>Manes.15G190400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:28166002:28167942:-1 gene:Manes.15G190400.v8.1 transcript:Manes.15G190400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTKAVFSALDGAKTQLYHFKAIVIAGMGFFTDAYDLFCITAVTKLLGRLYYYDPVKGKPGKLPDDINNAITGVALCGTLVGQLFFGWLGDKLGRKKVYGITLVTMVGCALASGLSFGATAHSVVATLCFFRFWLGFGIGGDYPLSAVIMSEYANQKTRGAFIAAVFAMQGMGILFAGAISTVVSKIFMHYFDAPAFSKNPILSTQPQADLAWRIVLMLGAIPAALTFYWRMKMPETARYTALVQGNHKKAAADMARVLEKDIYIEEGSSKPSAAADPSTSYGLFSSEFFNRHGVHLLGTTTTWFLLDIAFYSLQLTQKDIYPASGLINKASSMNALEEMYHLSKAMSIIALVATVPGYWFTVFLIDKIGRYIIQLGGFLLMSICMAILGIQYGNLRGEKNKCGPNSQKDFCDGNPILFVVLYGLTLFFANFGPNSTTFIVPAELFPARFRSTCHGLSAAAGKGGAIIGAFVVQTYTLDGDSKQIKKAIIGLAVVNLIGFFFSFLVPETKGRSLEEISGEDKELDRANENDRNYNGGTEMI >Manes.04G133900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33475681:33482520:1 gene:Manes.04G133900.v8.1 transcript:Manes.04G133900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKSSFLSNTGISGSCSPFSGKQRGLVPTAPTSLKVQVLNPNRERNGSVLMDSSLQEMRDGASVVDLDPKPTVAGGVRDVYGEDTATEDQLVTPWSLSVASGYSLLRDPHHNKGLAFNEKERDAHYLRGLLPPAVVSQEVQVKKMMHIIRQYQLPLQKYMAMMDLQERNEKLFYKLLIDNVEEMLPIVYTPTVGEACQKYGSIFSRPQGLYISLNEKGRILEVLRNWPEKNIQVIVVTDGERILGLGDLGCHGMGIPVGKLSLYTALGGVRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGQEYSELLHEFMSAVKQKYGERVLIQFEDFANHNAFDLLAKYGATHLVFNDDIQGTASVVLAGLVAALKLVGGTLADHKFLFLGAGEAGTGIAELIALEMSKQTNMPVEETRKRIWLVDSKGLIVSSRKESLQHFKKPWAHEHKPIKTLLDAVNDIKPTVLIGTSGVGRTFTKEVIEAMASFNERPIILALSNPTSQSECTAEEAYTWSKGRAIFASGSPFAPVEYEGKVHVPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAAQVTQENFDKGQIYPPFTNIRKISAEIAANVAAKAYELGLATRLPQPKDLVKYAESCMYSPVYRSYR >Manes.07G080713.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24690379:24691889:1 gene:Manes.07G080713.v8.1 transcript:Manes.07G080713.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.01G014500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3897820:3901732:1 gene:Manes.01G014500.v8.1 transcript:Manes.01G014500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAKRFLDDSSQDPDRPNEKRIRSRPSFASVITEAVMVNSLQNLCMALEPMLRRVVNEEVENSLKRCSSRSFTRSPSLRIQAPESSPSSLQLKFRKNLLLPIFTGSKIVDIDNSSLQILLVETRGDLAVPTSLPHPIKVEILVLDGDFPSDDRKTWTSEEFVNNILKERTGKRPLLAGDCLMVTLRDGIAPIGEIEFTDNSSWIRSRKFRIGARVVSGSSNGVRIHEAITEAFVVKDHRGELYKKHHPPMLDDEVWRLEKIGKDGAFHRKLSAEGINTVQEFLKLSTVDQHRLRRILGPGMSEKTWEVTIKHAKTCELGNKRYILHGQNFSITLNPICQVVSAVIDGQTFSTSDLPRLSKSYIQNLVRQAYANWSSLQEVVGVSSEIALLTQGG >Manes.01G014500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3897820:3901732:1 gene:Manes.01G014500.v8.1 transcript:Manes.01G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAKRFLDDSSQDPDRPNEKRIRSRPSFASVITEAVMVNSLQNLCMALEPMLRRVVNEEVENSLKRCSSRSFTRSPSLRIQAPESSPSSLQLKFRKNLLLPIFTGSKIVDIDNSSLQILLVETRGDLAVPTSLPHPIKVEILVLDGDFPSDDRKTWTSEEFVNNILKERTGKRPLLAGDCLMVTLRDGIAPIGEIEFTDNSSWIRSRKFRIGARVVSGSSNGVRIHEAITEAFVVKDHRGELYKKHHPPMLDDEVWRLEKIGKDGAFHRKLSAEGINTVQEFLKLSTVDQHRLRRILGPGMSEKTWEVTIKHAKTCELGNKRYILHGQNFSITLNPICQVVSAVIDGQTFSTSDLPRLSKSYIQNLVRQAYANWSSLQEVVGVSSEIALLTQGEQVEEYPNHHSQAKTFHHQIGYSSENRSIEMGEQYNQANSTSANMGYSNWHGAAGINYSISEASSESELTPTSFFNRN >Manes.09G096400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29211308:29212772:-1 gene:Manes.09G096400.v8.1 transcript:Manes.09G096400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVFLLFINLSLIQAQPAAFDITKFGATSDGKADASKAIMDAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQGTVQAPPDLGGDAWFSFSHINQFTLSGKGTLDGQGEVAWKGESCDKDPKCKKNPMNIRFNYLNQSLVRDITSLNSKRFHVTVLGCDNFTFEGFTVTAPEDSDNTNGIHIGRSKGVTISNTKIGTGGDCISIGDGAQNLKVTKVTCGPGHGISIGSLGKYENEEPVSGINVADCTLKSTTNGVRIKTWPALFPNSASNIHFQDINMENVSNPIIIDQVYCPWDKCNKKEPSKVKISDVSFKNIKGTSATALAVQLICSSGIPCEKVELTDIDLTYSGPQGPAKSECIDVKPIITGKMIPEGCK >Manes.13G087200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15147283:15156221:1 gene:Manes.13G087200.v8.1 transcript:Manes.13G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDLSSVFGISTRIPSLHFSPSARFKIHSSVIGNSSNRFPVSLTRLTTGNIAIEGSNLSFSFATRQGKLVPILKDCSLRVPSGQLWMLLGPNGCGKSTLLKILAGLLQPTSGALYVKRPKSFVFQNPDHQVVMPTVEADVSFGLGKFNLTEGEVRQRVSKALDDVGMSPYKQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDQNDQIGVIKAVKNALAASNEITALWVTHRLEELEYADGAFYMENGRVVKDGDGSSIMDFINARQSSYINRINS >Manes.13G087200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15147283:15156221:1 gene:Manes.13G087200.v8.1 transcript:Manes.13G087200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDLSSVFGISTRIPSLHFSPSARFKIHSSVIGLTTGNIAIEGSNLSFSFATRQGKLVPILKDCSLRVPSGQLWMLLGPNGCGKSTLLKILAGLLQPTSGALYVKRPKSFVFQNPDHQVVMPTVEADVSFGLGKFNLTEGEVRQRVSKALDDVGMSPYKQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDQNDQIGVIKAVKNALAASNEITALWVTHRLEELEYADGAFYMENGRVVKDGDGSSIMDFINARQSSYINRINS >Manes.08G169400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40388125:40392994:1 gene:Manes.08G169400.v8.1 transcript:Manes.08G169400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSLRESLVGGRNVPPGSHSQHHRRGHSLTAFARDTDENLDLFSKSRRSLSVASSDEASDVSVKLGRLSVGSAKLAKSGIDDLLSSTDGGKHDYDWLLTPPGTPLFPSSEGSESQPTSVVPRSSSLARSVSTTKASRLSVTQSESHHSTRPTRSSSVTRSSISSSQFSTHLSNRSSSILNTSSASVSSYTRPSSPITRSPSTARPSTPSSRPLTSRSSTPSRVRPAPTSSLVDKTRQSQSSRPSTPSSRAQIPANLSSTASRSNSRPSTPTRRNPVPSVSSASGPSISTSAGRVLSNGRTSAPSSRPSSPGPRVRPPQQPVVPPDFPLDTPPNLRTTLPDRPLSAGRSRPGAAVTMKGNPETTGSTNAPRRHSSPIVSRGRLVEAPGKCRVHSNGHVTDMSEPRKLSHVSDLAMRKSVKSSSTTTESTGFGRTISKKSLDMAIRHMDIRNGTGSTRALSGTTLFPQSIRSASKTQSVRSMSAPESINNRDIIENGNHMSSPAEIGSEAIDGRYYAKLSEADNYESSRYDAILLKEDLKNTNWLHSIDDKSDQGSIFDNGFESLPEPFGPL >Manes.16G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14943572:14948363:-1 gene:Manes.16G048600.v8.1 transcript:Manes.16G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIQKHTKTPVEEMMRNLKVNEASVELRDSMVPSNDGSPSDTTSCISSAGDATVNAKEGDVDRESVVTDQGLPNPACGYYGYFYPGYDGTHGGVDDQAYYVAGDGMEIQYPVMQADNGSLVYLMPGIYPGHSPYLPVTMVAIDGQHVGQHPYPSNTIFQPSIAPGYFPTPFLMPPPYLWDPSTFVGGGYGNDYSGIVEISGAKPNMSSHSHTHAHSKNFLTPDFSDSLKNKTALQSLEVPSGHGTHNQLKSVAKSDVPREGYLPFAKFPPYNQGKGGVLYTVAPVKASARGWSNSERPKARSKISNISNFGSLTEQNYVPRTTNSKGSFAFGGNAAGSLDSDGTGNSNSLTSVIRRDQYNLPDFPTKYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQKKIAEKGSNCPVFLFFSVNASGQFCGVAEMIGQVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVKFPQGMEMLNVFKSHVSKTSILDDFDFYESRQKVMQEKRLRSLVTHLDHNQKADELIGEEIEASGKAKE >Manes.16G048600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14943572:14948290:-1 gene:Manes.16G048600.v8.1 transcript:Manes.16G048600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADNGSLVYLMPGIYPGHSPYLPVTMVAIDGQHVGQHPYPSNTIFQPSIAPGYFPTPFLMPPPYLWDPSTFVGGGYGNDYSGIVEISGAKPNMSSHSHTHAHSKNFLTPDFSDSLKNKTALQSLEVPSGHGTHNQLKSVAKVFLLPVQLLSSFQLMLMNSFKLYRVSDVSTFQSDVPREGYLPFAKFPPYNQGKGGVLYTVAPVKASARGWSNSERPKARSKISNISNFGSLTEQNYVPRTTNSKGSFAFGGNAAGSLDSDGTGNSNSLTSVIRRDQYNLPDFPTKYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQKKIAEKGSNCPVFLFFSVNASGQFCGVAEMIGQVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVKFPQGMEMLNVFKSHVSKTSILDDFDFYESRQKVMQEKRLRSLVTHLDHNQKADELIGEEIEASGKAKE >Manes.16G048600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14943572:14948290:-1 gene:Manes.16G048600.v8.1 transcript:Manes.16G048600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADNGSLVYLMPGIYPGHSPYLPVTMVAIDGQHVGQHPYPSNTIFQPSIAPGYFPTPFLMPPPYLWDPSTFVGGGYGNDYSGIVEISGAKPNMSSHSHTHAHSKNFLTPDFSDSLKNKTALQSLEVPSGHGTHNQLKSVAKVFLLPVQLLSSFQLMLMNSFKLYRVSDVSTFQSDVPREGYLPFAKFPPYNQGKGGVLYTVAPVKASARGWSNSERPKARSKISNISNFGSLTEQNYVPRTTNSKGSFAFGGNAAGSLDSDGTGNSNSLTSVIRRDQYNLPDFPTKYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQKKIAEKGSNCPVFLFFSVNASGQFCGVAEMIGQVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVKFPQGMEMLNVFKSHVSKTSILDDFDFYESRQKVMQEKRLRSLVTHLDHNQQKADELIGEEIEASGKAKE >Manes.16G048600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14943572:14948290:-1 gene:Manes.16G048600.v8.1 transcript:Manes.16G048600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIQKHTKTPVEEMMRNLKVNEASVELRDSMVPSNDGSPSDTTSCISSAGDATVNAKEGDVDRESVVTDQGLPNPACGYYGYFYPGYDGTHGGVDDQAYYVAGDGMEIQYPVMQADNGSLVYLMPGIYPGHSPYLPVTMVAIDGQHVGQHPYPSNTIFQPSIAPGYFPTPFLMPPPYLWDPSTFVGGGYGNDYSGIVEISGAKPNMSSHSHTHAHSKNFLTPDFSDSLKNKTALQSLEVPSGHGTHNQLKSVAKVFLLPVQLLSSFQLMLMNSFKLYRVSDVSTFQSDVPREGYLPFAKFPPYNQGKGGVLYTVAPVKASARGWSNSERPKARSKISNISNFGSLTEQNYVPRTTNSKGSFAFGGNAAGSLDSDGTGNSNSLTSVIRRDQYNLPDFPTKYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQKKIAEKGSNCPVFLFFSVNASGQFCGVAEMIGQVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVKFPQGMEMLNVFKSHVSKTSILDDFDFYESRQKVMQEKRLRSLVTHLDHNQQKADELIGEEIEASGKAKE >Manes.16G048600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14943572:14948290:-1 gene:Manes.16G048600.v8.1 transcript:Manes.16G048600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIQKHTKTPVEEMMRNLKVNEASVELRDSMVPSNDGSPSDTTSCISSAGDATVNAKEGDVDRESVVTDQGLPNPACGYYGYFYPGYDGTHGGVDDQAYYVAGDGMEIQYPVMQADNGSLVYLMPGIYPGHSPYLPVTMVAIDGQHVGQHPYPSNTIFQPSIAPGYFPTPFLMPPPYLWDPSTFVGGGYGNDYSGIVEISGAKPNMSSHSHTHAHSKNFLTPDFSDSLKNKTALQSLEVPSGHGTHNQLKSVAKVFLLPVQLLSSFQLMLMNSFKLYRVSDVSTFQSDVPREGYLPFAKFPPYNQGKGGVLYTVAPVKASARGWSNSERPKARSKISNISNFGSLTEQNYVPRTTNSKGSFAFGGNAAGSLDSDGTGNSNSLTSVIRRDQYNLPDFPTKYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQKKIAEKGSNCPVFLFFSVNASGQFCGVAEMIGQVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVKFPQGMEMLNVFKSHVSKTSILDDFDFYESRQKVMQEKRLRSLVTHLDHNQKADELIGEEIEASGKAKE >Manes.16G048600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14943572:14948290:-1 gene:Manes.16G048600.v8.1 transcript:Manes.16G048600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIQKHTKTPVEEMMRNLKVNEASVELRDSMVPSNDGSPSDTTSCISSAGDATVNAKEGDVDRESVVTDQGLPNPACGYYGYFYPGYDGTHGGVDDQAYYVAGDGMEIQYPVMQADNGSLVYLMPGIYPGHSPYLPVTMVAIDGQHVGQHPYPSNTIFQPSIAPGYFPTPFLMPPPYLWDPSTFVGGGYGNDYSGIVEISGAKPNMSSHSHTHAHSKNFLTPDFSDSLKNKTALQSLEVPSGHGTHNQLKSVAKSDVPREGYLPFAKFPPYNQGKGGVLYTVAPVKASARGWSNSERPKARSKISNISNFGSLTEQNYVPRTTNSKGSFAFGGNAAGSLDSDGTGNSNSLTSVIRRDQYNLPDFPTKYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQKKIAEKGSNCPVFLFFSVNASGQFCGVAEMIGQVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVTNSRDTQEVKFPQGMEMLNVFKSHVSKTSILDDFDFYESRQKVMQEKRLRSLVTHLDHNQQKADELIGEEIEASGKAKE >Manes.04G058904.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14617426:14665156:1 gene:Manes.04G058904.v8.1 transcript:Manes.04G058904.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVSLLLILHCGTSAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIDRVSNPGHNTRTLRKAGQSRWLGRRPVVCGVAMNPVDHPHSGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNDKFK >Manes.08G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1178540:1180829:1 gene:Manes.08G009300.v8.1 transcript:Manes.08G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTEMSPGSSMHGVSAKEQTFAFSVTNPDVPTDTTAKFSLPVDSEHKAKVFNLFSLANPHMTTFHLSWFSFFTCFVSTFAAAPLVPIIRDNLNLTKKDIGNAGIASVSGSIFSRLAMGAACDLLGPRYACAFLLMLSAPTVFCMSFVSSAGGYIAVRFMIGFSLATFVSCQFWMSTMFNGKIIGVVNGTAAGWGNMGGGATQLLMPLLYELIKRAGATPFTAWRIAFFIPGWLHVIMGIFVLCLGQDLPDGNLTTLQKKGDVHKDHFSKVLWYAVTNYRTWIFFLLYGMSLGVELTTDNVIAEYFFDRFNLKLRTAGTIAATFGMANIFARPAGGLLSDLAARRFGMRGRLWNLYILQTLGGIFCICLGRANSLSISVLFMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLLFFTSSRYSTATGLSLMGIMIVACTLPVSLVHFPQWGSMFLPATKNEEKCSEEYYYGLEWNKEEKEKGLHQGSLKFAENSQSERGRRAVSSVTQPSSPPGNV >Manes.16G010000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1040853:1041903:-1 gene:Manes.16G010000.v8.1 transcript:Manes.16G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASNPVAVGTRGTVGSLVRKEIEYFTNDSRRCGSRKPEGQMIDNDSSCIGCSRPCFWSLTLSWKRKNRRSNDSGFLPSICSAVQVAETINGIPGFSYRILKNDETES >Manes.04G110509.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31473420:31481536:-1 gene:Manes.04G110509.v8.1 transcript:Manes.04G110509.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYLQVILLLSFNLEWWKQANASGNETDKLALLKFKEAISAGDPNQLLDSWNDSLPFCNWFGITCSRRHQRVKSLDLEGQNLFGTISPYIGNLSFLRVINLQNNSFHGEIPQELGRLFRLEELFLNNNTLAGEIPINLTRCSKLMFLDLGWNYHVSGKIPAELGSLTKLQNLNLVANNLIGEIPASLGNLSSLTFFCVTYNRLLGNIPDDLGKLTRLTVFAVAANQLSGTIPLPLFNISSIRKFSVIQNQLHGNLPENLGITLPNLIFFSVGNNSFSGTIPNSLFNASHLEIVNLGWSNFVGQVPMNLGNLKNLWWLRLHGNALGSNSTNDLAFLDSLTNCTKMKKLDLGTNNFGGVLPNSVANLSTELGLFYIGENQITGTIPAGLENLIKLTGIALHNNLLSGVFPNYFGKFQKLQFLSLDGNRLSGEIPSSIGNLTHLLELYLQDNNFQGSIPSSIGNCQNLYFLDISQNHLNGVIPPEILLVRSFTRLLSLSQNSLTGVLPFEVGKLSNIGALDFSENNLSGKIPATIGDCLSLEFLYLQGNSFQGTIPPSLASLRGLQYLDLSRNKLTGRIPKDLQDIPYLLFLNLSFNDLEGEVPTGGVFRNASSVSLIGNDKLCGGVSELNLPKCPNKRGGLFFHKLEIILTVMAVCILLTLAFLLVYWKRNPKQKSSSSSSMMKQFLKVSYGDICRATNGFSPENLIGSGSFGSVYKGFLDQVERPVAVKVLKLEHKGASKSFISECIVLRNIRHRNLVKMLTCCSSMDYKLNDFKALILEFMGNGSLEKWLHPEIEGKNQSWNLNLLQRLNVAVDVASALQYLHEQCENPIIHCDLKPSNVLFDDDMVAHVSDFGLARLVSTSKSSSQSLSTTTGIKGTIGYAPPEYGMGCPASREGDVYSFGILVLEMFSGKRPTDEIFKDGLNLHSFVKTALPESLTQIIDPNLITATEEERELSNSNGNLSKMSAKARSCVVSVLEIGIGCSAESPKGRMSMEDVSRQLDLIRKTFL >Manes.13G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5383507:5385014:-1 gene:Manes.13G045900.v8.1 transcript:Manes.13G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGKVFNLPEVSEHNTHKDCWLVIEGKVYDVTKFLEDHPGGDEVLLAATGKDATDDFDDVGHSSSARAMMDEFYVGEIDTSTIPSRKAYTPPKQPHYNQDKTPEFIMKLLQFIVPLLILGLAFGIRFYTKKAEA >Manes.13G092700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27353076:27355882:-1 gene:Manes.13G092700.v8.1 transcript:Manes.13G092700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHFVLIHTICHGAWIWYKLKPVLEAAGHKVTALDLAASGVDPRQIEQINSFDEYSEPLLTFMESLPQGEKVILVGESCGGLNIGRENYFLIAAGVFLNSLLPDTKNKPSYVVDKLMDVFPYWKDTEYFEFSNSNGETITGMVLGLNLTRENLYTICPPEILGVKRQSGENALKTAKKC >Manes.09G090949.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25840811:25842312:1 gene:Manes.09G090949.v8.1 transcript:Manes.09G090949.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPLQNPTSRRKLQLQNLLDNLFFTTISILYIGENDNDDPQRGEAIELLDIMSRFEFVFVLLFMRKILRITHDLSQTLQRRDQDIANVIQLPNVVCKFKHDIIVLEMDDLYTMRGRSRRKTEKMTNLYFYRVELFYYVIDMQFQELNNRFNKVNTNLFLCMACLDFKDLFSTFDVSKLIGFNFIFDCMDKKFSDVKGIEAVVENMVATRKHIVFPLVYTLVKLLLLLQVAIATVEKVFSAMHIIKNRLHNKVGDALLNDCLVTYIERDVFVNIDNEDNTNRF >Manes.09G026400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5977558:5982648:1 gene:Manes.09G026400.v8.1 transcript:Manes.09G026400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSSSASSLEWLWTIEYLARFRQLDPSILHHLIDAAPVLSEHLGKSTREMVSLRCLEQLFGIGNGVPNDVPSVGEPKRTFDFSGTCEDALKSILKETSVSDLRMTRSDVLRWDIHPFITHKRACMPKCALEKLKDEISKGTHPNAAALTELIGVVHKNDENGKITVDSGHHNAVTGRIDSDETDAQVMAPEESTNSLPLENKNGMVGGDSHHRNLLPLKRNKSSLDNENPAGEHQEDQGGVGNGDLLLNVKRVKSNTMCISNSTERSFILQNGKRLVEDSSEKLVRVKEKGSCQMERESQIRLAECKSLENGHDNFVATKELGNSHNVGVSADFLNNQCENAGNANRMQSDRSGDGPSQHILVDEVHEAEHILVGVKERGSCQMQRESQIGELAECRSLENGHDKFVATKELGDSHNAGVNADFQHNQSESAGDANKMQSDRSGDGPSHHISVDSLSGLHRDAAVEKLGGMEHLCQEDTSSDGDEYHLRKVDVAMEKSHFLSSQCTLNHVSPTNWTELHLCVKCSKDGQLLVCNAVGCPLVVHKECLGSLPRFDEEGNFYCPFCSYSLAISEYMEAKKKASLARRELSAFIHKQTESSHSKEHNNLNQDGDEDDMSGNVRESESNQTNNGGYAFEVNSQLEKRRGDKQPVEPTVSCSDIKLINQEEDPDVTHAITNVSTGEKEREEMASECLTVGGLERQDQTFANPKCNGDNPMRKDSECFPLNGKQAGVIEKNVLEQQSSDQENISDEGNKENLVSNYSIRFQRREKQYLSPAIPQLRRKKVHWTTEEEEMLKEGVQKFSNVGERAIPWKKILEYGSSVFLNGRTTVDLKDKWRNICKGSPKCK >Manes.09G026400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5977512:5982648:1 gene:Manes.09G026400.v8.1 transcript:Manes.09G026400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSSSASSLEWLWTIEYLARFRQLDPSILHHLIDAAPVLSEHLGKSTREMVSLRCLEQLFGIGNGVPNDVPSVGEPKRTFDFSGTCEDALKSILKETSVSDLRMTRSDVLRWDIHPFITHKRACMPKCALEKLKDEISKGTHPNAAALTELIGVVHKNDENGKITVDSGHHNAVTGRIDSDETDAQVMAPEESTNSLPLENKNGMVGGDSHHRNLLPLKRNKSSLDNENPAGEHQEDQGGVGNGDLLLNVKRVKSNTMCISNSTERSFILQNGKRLVEDSSEKLVRVKEKGSCQMERESQIRLAECKSLENGHDNFVATKELGNSHNVGVSADFLNNQCENAGNANRMQSDRSGDGPSQHILVDEVHEAEHILVGVKERGSCQMQRESQIGELAECRSLENGHDKFVATKELGDSHNAGVNADFQHNQSESAGDANKMQSDRSGDGPSHHISVDSLSGLHRDAAVEKLGGMEHLCQEDTSSDGDEYHLRKVDVAMEKSHFLSSQCTLNHVSPTNWTELHLCVKCSKDGQLLVCNAVGCPLVVHKECLGSLPRFDEEGNFYCPFCSYSLAISEYMEAKKKASLARRELSAFIHKQTESSHSKEHNNLNQDGDEDDMSGNVRESESNQTNNGGYAFEVNSQLEKRRGDKQPVEPTVSCSDIKLINQEEDPDVTHAITNVSTGEKEREEMASECLTVGGLERQDQTFANPKCNGDNPMRKDSECFPLNGKQAGVIEKNVLEQQSSDQENISDEGNKENLVSNYSIRFQRREKQYLSPAIPQLRRKKVHWTTEEEEMLKEGVQKFSNVGERAIPWKKILEYGSSVFLNGRTTVDLKDKWRNICKGSPKCK >Manes.12G054904.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5383403:5387494:-1 gene:Manes.12G054904.v8.1 transcript:Manes.12G054904.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHRELQVGEEVESHVPTEATGHAPPQAPPGARRPEQEVLLQQLIEIFRQVAGVAQPAIVPPPVPAPAPARPPIDKLRKYGATEFKGRKEDDASAAEYWLQSTDRVLQQLQCSPEDSLVCAVSLLKEEAYQWWDTVAQTVQPIQRTWEFFLNEFRKRYVGDIYLEERKREFIYLRQGRMTVAEYEREFIRLSRYAREIIPTEEAKCKRFEQGLNTEIKMLLVALQIRDFSALVNAALNVEKVREEDQSRRQRSQQKRTHSQNQSQGQMIASQGSSKRQKSFQPARSSQSQRQGQKSAQSLASGSVQQTASVASSGGSGRSLPPECDHCKRRHTGTCRLLTGACFICGSMDHIMKDCPKKQTASAPATERTAPVTQRTRSKGRSEPIGTSSQRVSETVDRPESRAPARAYAIKAREDQDSPDVIMEEFEEKKGNQREISRVRGE >Manes.03G122401.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24876313:24912818:-1 gene:Manes.03G122401.v8.1 transcript:Manes.03G122401.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTRSSRKAKDDENSNSNGVSGAETPSTSGTRRSVRETPSKKSTTASPSSTRKSKRIGKRTSTPPSRRISGRVEKQSTPSPLRRSERAKQHSSSSSSGSKKSTKASSLSVMKKKLKKEKSVKRLTLETKEVSKSKEHDIQRVQVGKKRLDARAYRALFKQQQNKVTVAGNCKELKKEDKLSHDSSNHQAGASKNFGSRSNKCSQMKVQELREAFAGRDFKRALEESNCSIGTPANEVLENNIGLEPLHLSQNQRLEGEAYQSEVGDAMKASKGDDCALYSCHKTMPKMLDGIAEKANKELQPELVNPMLNNEPSGSEIGLESGYKDTPLKRKRNTLDLDSDDVAMASSKASVADISSPDTNNFAETCATCFKRQRVGLYSKQQDICSCDTNLNQDICGSSFVEDRRKIGPGVTTGYAAECITNFQFKGSDLDFQPDGNLNTCLICKLGGTLLCCDGKGCKRSYHVSCLDPPLKAVPVGVWYCLACGRKKIKSGVHSVSEGIESIWDYKDVEASDGSGLLKKQYFVKYKGLAHVHNHWVPECQLLVEAPSLVSKFNRKKQVTKWKQEWNEPRRLLQKRSVIYPREHDETYKEHAKDISECHNEWLVKWRGLDYDLATWELEDASFMSLANIQSLMRDYENRHEKAKRHSLSGVNKKLERKEDSSVQSMKLSAGDLAGCDNNHLDIINNICEHCDKGQNGVIIDDQERIMNVVSFISSVSSNVGRPFMIITTPIALHLWDEEFFQLAPSVDSVVYHGNKDVRKSIRAVEFYGDEGGIMFEVLITSPEVLAEDLNVLESIKWQAVIVDECQRSRIYSHFQQVKSLNADMRLLLVNGQLKDVVIEHLLCVLDSQSDENRSECLLTNSNHRSGNLKERLSKYIINVSKSDSLRFVEYWVPVQISNIQLEQYCCTLLSNHLPLFSSSKNDPVGTLRDILISIRKSCDHPYIVDPLLQTSLILDVGIKASGKLQLLDAMLLEIRNRGLRVIILFQSSVGSGRDNIGDILEDFVRQRFGQDCYERVDGLVIPTRKQAAVNNFNSQKGRFVFLLENRACFSRIKLSSVDTVIIFSSDWSPANDLRNLQKITLDTQLEQLKIFRLYSCFTVEENVLILAKQDKTLDSKLQSISRATSQTLLMWGASHLFSQLDDLHSGSSTSVTSGGPLFGRLLLKDIIQEFLTVLSQDAKEKITCNSSIVLKVKQNQGRYSTDFPLPGEQKIQSRDEELPHIFWRKLLEGKEPHWKYLSGLPQRNRKRFQQVDDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNFHGSMSDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNMHGSMSGSTGHLNKRHANHDSSTPNLASNLSEVSTVNLAEFNEKVNLHDSQKSLQKSLHLLLKPEIAKLCEILQLPEDVKAMVHSFLEYVMSNHHVSREPETILQAFQISLCWTAASLLKHKLDHKESLVLARQHLKFSCKKEEADYVYSMLRCLKKMFLCRTGNSTPECSANTSELTNHSHPTSAQPTPSTPRKMKVGFGNLLHGQELSDDQMRKLLLKQKQELEEYDRKYKEDKAQLKNSEKTDAAVIRLLSNSSTGTDQLKLLDIEYKKKFEELERKMVIHRKNLEDVQLAAREKLEERKACWVEGVKSWAKVELINKPPSNETGPNQENDFSLNSHLKEQNPEGNQSMQDGVVLLEVPETVISNDNEMLSGGPCRNEQIPFLVNTDMLDGESPLRVSRAICLRSGSENVNSANVCSSEEQMQASNGASLIVSSRKLPMEVPENVSSSGSLENMRVPEIASSLTIGAVNTASREKDEVHAERTDNSTEIDQQDGVVCIVNQDLYPNTTARDQHNRKVSSGVPENASSIVGDKTGKQQDGESVVPETSLGATGEDGQVLANGTVANQHSHSMDKTAGVNQQDLELPSRVIENASGEVVEGAGTGRESNGVCFMASSSSTIVDLQAGVIASQLKNVPELVVGGSSGTEVDGVCDVVASSNSSGVDQQDGVVPVINEGNHPQELHLLNSPAIQPEPSLVQDFPVPLNQALQEECPIPTVSTGLQDGVTPRSGNNSLQQVEALLPNPDDVAVSNQTNSDAAVEENLQEMQLSPSTDSALCLDAAEFPVVSGIEHQPVRELHVTANMGDSTQIVVDHGECSNEAVLQHATQLAHHLLSGSGMHVSDTRTVPISSGVNNRTVQTVPPAQNIPLLPSDCDPLQIEMERICKEREQIISTHEDTKVRLKSDCEKEIEEIIAQIRRKYEIKLQEVESEFTLKKNELDTIHHKVLMNKILADAFRSKCMDDRPSSAPGMQQEVASSFRQQLLQLSLQPTLQRNANFTGLSSAIPPTGGPQIAASCSHNAAPSLQVVHHSSALFTGALTRPPLISSISPPPGNLQISSEIRAPAPHLQPFRPSASAVATNLSSLSVGMPGQHIPSHHPTTSATSSQLPLRPQSSAQQSRPHNFIQRHETAGTLPALSSSSLSALELLMDVDNQTSRNSPYGLHRHTDLGPNSDIPVPTELRLPNGKRTNVACPTEVVCLSDDD >Manes.03G122401.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24876313:24912819:-1 gene:Manes.03G122401.v8.1 transcript:Manes.03G122401.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTRSSRKAKDDENSNSNGVSGAETPSTSGTRRSVRETPSKKSTTASPSSTRKSKRIGKRTSTPPSRRISGRVEKQSTPSPLRRSERAKQHSSSSSSGSKKSTKASSLSVMKKKLKKEKSVKRLTLETKEVSKSKEHDIQRVQVGKKRLDARAYRALFKQQQNKVTVAGNCKELKKEDKLSHDSSNHQAGASKNFGSRSNKCSQMKVQELREAFAGRDFKRALEESNCSIGTPANEVLENNIGLEPLHLSQNQRLEGEAYQSEVGDAMKASKGDDCALYSCHKTMPKMLDGIAEKANKELQPELVNPMLNNEPSGSEIGLESGYKDTPLKRKRNTLDLDSDDVAMASSKASVADISSPDTNNFAETCATCFKRQRVGLYSKQQDICSCDTNLNQDICGSSFVEDRRKIGPGVTTGYAAECITNFQFKGSDLDFQPDGNLNTCLICKLGGTLLCCDGKGCKRSYHVSCLDPPLKAVPVGVWYCLACGRKKIKSGVHSVSEGIESIWDYKDVEASDGSGLLKKQYFVKYKGLAHVHNHWVPECQLLVEAPSLVSKFNRKKQVTKWKQEWNEPRRLLQKRSVIYPREHDETYKEHAKDISECHNEWLVKWRGLDYDLATWELEDASFMSLANIQSLMRDYENRHEKAKRHSLSGVNKKLERKEDSSVQSMKLSAGDLAGCDNNHLDIINNICEHCDKGQNGVIIDDQERIMNVVSFISSVSSNVGRPFMIITTPIALHLWDEEFFQLAPSVDSVVYHGNKDVRKSIRAVEFYGDEGGIMFEVLITSPEVLAEDLNVLESIKWQAVIVDECQRSRIYSHFQQVKSLNADMRLLLVNGQLKDVVIEHLLCVLDSQSDENRSECLLTNSNHRSGNLKERLSKYIINVSKSDSLRFVEYWVPVQISNIQLEQYCCTLLSNHLPLFSSSKNDPVGTLRDILISIRKSCDHPYIVDPLLQTSLILDVGIKASGKLQLLDAMLLEIRNRGLRVIILFQSSVGSGRDNIGDILEDFVRQRFGQDCYERVDGLVIPTRKQAAVNNFNSQKGRFVFLLENRACFSRIKLSSVDTVIIFSSDWSPANDLRNLQKITLDTQLEQLKIFRLYSCFTVEENVLILAKQDKTLDSKLQSISRATSQTLLMWGASHLFSQLDDLHSGSSTSVTSGGPLFGRLLLKDIIQEFLTVLSQDAKEKITCNSSIVLKVKQNQGRYSTDFPLPGEQKIQSRDEELPHIFWRKLLEGKEPHWKYLSGLPQRNRKRFQQVDDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNMHGSMSGSTGHLNKRHANHDSSTPNLASNLSEVSTVNLAEFNEKVNLHDSQKSLQKSLHLLLKPEIAKLCEILQLPEDVKAMVHSFLEYVMSNHHVSREPETILQAFQISLCWTAASLLKHKLDHKESLVLARQHLKFSCKKEEADYVYSMLRCLKKMFLCRTGNSTPECSANTSELTNHSHPTSAQPTPSTPRKMKVGFGNLLHGQELSDDQVLSQLGLAWQDFSKSIKDIEKKCDKQMRKLLLKQKQELEEYDRKYKEDKAQLKNSEKTDAAVIRLLSNSSTGTDQLKLLDIEYKKKFEELERKMVIHRKNLEDVQLAAREKLEERKACWVEGVKSWAKVELINKPPSNETGPNQENDFSLNSHLKEQNPEGNQSMQDGVVLLEVPETVISNDNEMLSGGPCRNEQIPFLVNTDMLDGESPLRVSRAICLRSGSENVNSANVCSSEEQMQASNGASLIVSSRKLPMEVPENVSSSGSLENMRVPEIASSLTIGAVNTASREKDEVHAERTDNSTEIDQQDGVVCIVNQDLYPNTTARDQHNRKVSSGVPENASSIVGDKTGKQQDGESVVPETSLGATGEDGQVLANGTVANQHSHSMDKTAGVNQQDLELPSRVIENASGEVVEGAGTGRESNGVCFMASSSSTIVDLQAGVIASQLKNVPELVVGGSSGTEVDGVCDVVASSNSSGVDQQDGVVPVINEGNHPQELHLLNSPAIQPEPSLVQDFPVPLNQALQEECPIPTVSTGLQDGVTPRSGNNSLQQVEALLPNPDDVAVSNQTNSDAAVEENLQEMQLSPSTDSALCLDAAEFPVVSGIEHQPVRELHVTANMGDSTQIVVDHGECSNEAVLQHATQLAHHLLSGSGMHVSDTRTVPISSGVNNRTVQTVPPAQNIPLLPSDCDPLQIEMERICKEREQIISTHEDTKVRLKSDCEKEIEEIIAQIRRKYEIKLQEVESEFTLKKNELDTIHHKVLMNKILADAFRSKCMDDRPSSAPGMQQEVASSFRQQLLQLSLQPTLQRNANFTGLSSAIPPTGGPQIAASCSHNAAPSLQVVHHSSALFTGALTRPPLISSISPPPGNLQISSEIRAPAPHLQPFRPSASAVATNLSSLSVGMPGQHIPSHHPTTSATSSQLPLRPQSSAQQSRPHNFIQRHETAGTLPALSSSSLSALELLMDVDNQTSRNSPYGLHRHTDLGPNSDIPVPTELRLPNGKRTNVACPTEVVCLSDDD >Manes.03G122401.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24876313:24912818:-1 gene:Manes.03G122401.v8.1 transcript:Manes.03G122401.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTRSSRKAKDDENSNSNGVSGAETPSTSGTRRSVRETPSKKSTTASPSSTRKSKRIGKRTSTPPSRRISGRVEKQSTPSPLRRSERAKQHSSSSSSGSKKSTKASSLSVMKKKLKKEKSVKRLTLETKEVSKSKEHDIQRVQVGKKRLDARAYRALFKQQQNKVTVAGNCKELKKEDKLSHDSSNHQAGASKNFGSRSNKCSQMKVQELREAFAGRDFKRALEESNCSIGTPANEVLENNIGLEPLHLSQNQRLEGEAYQSEVGDAMKASKGDDCALYSCHKTMPKMLDGIAEKANKELQPELVNPMLNNEPSGSEIGLESGYKDTPLKRKRNTLDLDSDDVAMASSKASVADISSPDTNNFAETCATCFKRQRVGLYSKQQDICSCDTNLNQDICGSSFVEDRRKIGPGVTTGYAAECITNFQFKGSDLDFQPDGNLNTCLICKLGGTLLCCDGKGCKRSYHVSCLDPPLKAVPVGVWYCLACGRKKIKSGVHSVSEGIESIWDYKDVEASDGSGLLKKQYFVKYKGLAHVHNHWVPECQLLVEAPSLVSKFNRKKQVTKWKQEWNEPRRLLQKRSVIYPREHDETYKEHAKDISECHNEWLVKWRGLDYDLATWELEDASFMSLANIQSLMRDYENRHEKAKRHSLSGVNKKLERKEDSSVQSMKLSAGDLAGCDNNHLDIINNICEHCDKGQNGVIIDDQERIMNVVSFISSVSSNVGRPFMIITTPIALHLWDEEFFQLAPSVDSVVYHGNKDVRKSIRAVEFYGDEGGIMFEVLITSPEVLAEDLNVLESIKWQAVIVDECQRSRIYSHFQQVKSLNADMRLLLVNGQLKDVVIEHLLCVLDSQSDENRSECLLTNSNHRSGNLKERLSKYIINVSKSDSLRFVEYWVPVQISNIQLEQYCCTLLSNHLPLFSSSKNDPVGTLRDILISIRKSCDHPYIVDPLLQTSLILDVGIKASGKLQLLDAMLLEIRNRGLRVIILFQSSVGSGRDNIGDILEDFVRQRFGQDCYERVDGLVIPTRKQAAVNNFNSQKGRFVFLLENRACFSRIKLSSVDTVIIFSSDWSPANDLRNLQKITLDTQLEQLKIFRLYSCFTVEENVLILAKQDKTLDSKLQSISRATSQTLLMWGASHLFSQLDDLHSGSSTSVTSGGPLFGRLLLKDIIQEFLTVLSQDAKEKITCNSSIVLKVKQNQGRYSTDFPLPGEQKIQSRDEELPHIFWRKLLEGKEPHWKYLSGLPQRNRKRFQQVDDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNFHGSMSDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNMHGSMSGSTGHLNKRHANHDSSTPNLASNLSEVSTVNLAEFNEKVNLHDSQKSLQKSLHLLLKPEIAKLCEILQLPEDVKAMVHSFLEYVMSNHHVSREPETILQAFQISLCWTAASLLKHKLDHKESLVLARQHLKFSCKKEEADYVYSMLRCLKKMFLCRTGNSTPECSANTSELTNHSHPTSAQPTPSTPRKMKVGFGNLLHGQELSDDQMRKLLLKQKQELEEYDRKYKEDKAQLKNSEKTDAAVIRLLSNSSTGTDQLKLLDIEYKKKFEELERKMVIHRKNLEDVQLAAREKLEERKACWVEGVKSWAKVELINKPPSNETGPNQENDFSLNSHLKEQNPEGNQSMQDGVVLLEVPETVISNDNEMLSGGPCRNEQIPFLVNTDMLDGESPLRVSRAICLRSGSENVNSANVCSSEEQMQASNGASLIVSSRKLPMEVPENVSSSGSLENMRVPEIASSLTIGAVNTASREKDEVHAERTDNSTEIDQQDGVVCIVNQDLYPNTTARDQHNRKVSSGVPENASSIVGDKTGKQQDGESVVPETSLGATGEDGQVLANGTVANQHSHSMDKTAGVNQQDLELPSRVIENASGEVVEGAGTGRESNGVCFMASSSSTIVDLQAGVIASQLKNVPELVVGGSSGTEVDGVCDVVASSNSSGVDQQDGVVPVINEGNHPQELHLLNSPAIQPEPSLVQDFPVPLNQALQEECPIPTVSTGLQDGVTPRSGNNSLQQVEALLPNPDDVAVSNQTNSDAAVEENLQEMQLSPSTDSALCLDAAEFPVVSGIEHQPVRELHVTANMGDSTQIVVDHGECSNEAVLQHATQLAHHLLSGSGMHVSDTRTVPISSGVNNRTVQTVPPAQNIPLLPSDCDPLQIEMERICKEREQIISTHEDTKVRLKSDCEKEIEEIIAQIRRKYEIKLQEVESEFTLKKNELDTIHHKVLMNKILADAFRSKCMDDRPSSAPGMQQEVASSFRQQLLQLSLQPTLQRNANFTGLSSAIPPTGGPQIAASCSHNAAPSLQVVHHSSALFTGALTRPPLISSISPPPGNLQISSEIRAPAPHLQPFRPSASAVATNLSSLSVGMPGQHIPSHHPTTSATSSQLPLRPQSSAQQSRPHNFIQRHETAGTLPALSSSSLSALELLMDVDNQTSRNSPYGLHRHTDLGPNSDIPVPTELRLPNGKRTNVACPTEVVCLSDDD >Manes.03G122401.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24876272:24912818:-1 gene:Manes.03G122401.v8.1 transcript:Manes.03G122401.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTRSSRKAKDDENSNSNGVSGAETPSTSGTRRSVRETPSKKSTTASPSSTRKSKRIGKRTSTPPSRRISGRVEKQSTPSPLRRSERAKQHSSSSSSGSKKSTKASSLSVMKKKLKKEKSVKRLTLETKEVSKSKEHDIQRVQVGKKRLDARAYRALFKQQQNKVTVAGNCKELKKEDKLSHDSSNHQAGASKNFGSRSNKCSQMKVQELREAFAGRDFKRALEESNCSIGTPANEVLENNIGLEPLHLSQNQRLEGEAYQSEVGDAMKASKGDDCALYSCHKTMPKMLDGIAEKANKELQPELVNPMLNNEPSGSEIGLESGYKDTPLKRKRNTLDLDSDDVAMASSKASVADISSPDTNNFAETCATCFKRQRVGLYSKQQDICSCDTNLNQDICGSSFVEDRRKIGPGVTTGYAAECITNFQFKGSDLDFQPDGNLNTCLICKLGGTLLCCDGKGCKRSYHVSCLDPPLKAVPVGVWYCLACGRKKIKSGVHSVSEGIESIWDYKDVEASDGSGLLKKQYFVKYKGLAHVHNHWVPECQLLVEAPSLVSKFNRKKQVTKWKQEWNEPRRLLQKRSVIYPREHDETYKEHAKDISECHNEWLVKWRGLDYDLATWELEDASFMSLANIQSLMRDYENRHEKAKRHSLSGVNKKLERKEDSSVQSMKLSAGDLAGCDNNHLDIINNICEHCDKGQNGVIIDDQERIMNVVSFISSVSSNVGRPFMIITTPIALHLWDEEFFQLAPSVDSVVYHGNKDVRKSIRAVEFYGDEGGIMFEVLITSPEVLAEDLNVLESIKWQAVIVDECQRSRIYSHFQQVKSLNADMRLLLVNGQLKDVVIEHLLCVLDSQSDENRSECLLTNSNHRSGNLKERLSKYIINVSKSDSLRFVEYWVPVQISNIQLEQYCCTLLSNHLPLFSSSKNDPVGTLRDILISIRKSCDHPYIVDPLLQTSLILDVGIKASGKLQLLDAMLLEIRNRGLRVIILFQSSVGSGRDNIGDILEDFVRQRFGQDCYERVDGLVIPTRKQAAVNNFNSQKGRFVFLLENRACFSRIKLSSVDTVIIFSSDWSPANDLRNLQKITLDTQLEQLKIFRLYSCFTVEENVLILAKQDKTLDSKLQSISRATSQTLLMWGASHLFSQLDDLHSGSSTSVTSGGPLFGRLLLKDIIQEFLTVLSQDAKEKITCNSSIVLKVKQNQGRYSTDFPLPGEQKIQSRDEELPHIFWRKLLEGKEPHWKYLSGLPQRNRKRFQQVDDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNFHGSMSDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNMHGSMSGSTGHLNKRHANHDSSTPNLASNLSEVSTVNLAEFNEKVNLHDSQKSLQKSLHLLLKPEIAKLCEILQLPEDVKAMVHSFLEYVMSNHHVSREPETILQAFQISLCWTAASLLKHKLDHKESLVLARQHLKFSCKKEEADYVYSMLRCLKKMFLCRTGNSTPECSANTSELTNHSHPTSAQPTPSTPRKMKVGFGNLLHGQELSDDQVLSQLGLAWQDFSKSIKDIEKKCDKQMRKLLLKQKQELEEYDRKYKEDKAQLKNSEKTDAAVIRLLSNSSTGTDQLKLLDIEYKKKFEELERKMVIHRKNLEDVQLAAREKLEERKACWVEGVKSWAKVELINKPPSNETGPNQENDFSLNSHLKEQNPEGNQSMQDGVVLLEVPETVISNDNEMLSGGPCRNEQIPFLVNTDMLDGESPLRVSRAICLRSGSENVNSANVCSSEEQMQASNGASLIVSSRKLPMEVPENVSSSGSLENMRVPEIASSLTIGAVNTASREKDEVHAERTDNSTEIDQQDGVVCIVNQDLYPNTTARDQHNRKVSSGVPENASSIVGDKTGKQQDGESVVPETSLGATGEDGQVLANGTVANQHSHSMDKTAGVNQQDLELPSRVIENASGEVVEGAGTGRESNGVCFMASSSSTIVDLQAGVIASQLKNVPELVVGGSSGTEVDGVCDVVASSNSSGVDQQDGVVPVINEGNHPQELHLLNSPAIQPEPSLVQDFPVPLNQALQEECPIPTVSTGLQDGVTPRSGNNSLQQVEALLPNPDDVAVSNQTNSDAAVEENLQEMQLSPSTDSALCLDAAEFPVVSGIEHQPVRELHVTANMGDSTQIVVDHGECSNEAVLQHATQLAHHLLSGSGMHVSDTRTVPISSGVNNRTVQTVPPAQNIPLLPSDCDPLQIEMERICKEREQIISTHEDTKVRLKSDCEKEIEEIIAQIRRKYEIKLQEVESEFTLKKNELDTIHHKVLMNKILADAFRSKCMDDRPSSAPGMQQEVASSFRQQLLQLSLQPTLQRNANFTGLSSAIPPTGGPQIAASCSHNAAPSLQVVHHSSALFTGALTRPPLISSISPPPGNLQISSEIRAPAPHLQPFRPSASAVATNLSSLSVGMPGQHIPSHHPTTSATSSQLPLRPQSSAQQSRPHNFIQRHETAGTLPALSSSSLSALELLMDVDNQTSRNSPYGLHRHTDLGPNSDIPVPTELRLPNGKRTNVACPTEVVCLSDDD >Manes.03G122401.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24876272:24912818:-1 gene:Manes.03G122401.v8.1 transcript:Manes.03G122401.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTRSSRKAKDDENSNSNGVSGAETPSTSGTRRSVRETPSKKSTTASPSSTRKSKRIGKRTSTPPSRRISGRVEKQSTPSPLRRSERAKQHSSSSSSGSKKSTKASSLSVMKKKLKKEKSVKRLTLETKEVSKSKEHDIQRVQVGKKRLDARAYRALFKQQQNKVTVAGNCKELKKEDKLSHDSSNHQAGASKNFGSRSNKCSQMKVQELREAFAGRDFKRALEESNCSIGTPANEVLENNIGLEPLHLSQNQRLEGEAYQSEVGDAMKASKGDDCALYSCHKTMPKMLDGIAEKANKELQPELVNPMLNNEPSGSEIGLESGYKDTPLKRKRNTLDLDSDDVAMASSKASVADISSPDTNNFAETCATCFKRQRVGLYSKQQDICSCDTNLNQDICGSSFVEDRRKIGPGVTTGYAAECITNFQFKGSDLDFQPDGNLNTCLICKLGGTLLCCDGKGCKRSYHVSCLDPPLKAVPVGVWYCLACGRKKIKSGVHSVSEGIESIWDYKDVEASDGSGLLKKQYFVKYKGLAHVHNHWVPECQLLVEAPSLVSKFNRKKQVTKWKQEWNEPRRLLQKRSVIYPREHDETYKEHAKDISECHNEWLVKWRGLDYDLATWELEDASFMSLANIQSLMRDYENRHEKAKRHSLSGVNKKLERKEDSSVQSMKLSAGDLAGCDNNHLDIINNICEHCDKGQNGVIIDDQERIMNVVSFISSVSSNVGRPFMIITTPIALHLWDEEFFQLAPSVDSVVYHGNKDVRKSIRAVEFYGDEGGIMFEVLITSPEVLAEDLNVLESIKWQAVIVDECQRSRIYSHFQQVKSLNADMRLLLVNGQLKDVVIEHLLCVLDSQSDENRSECLLTNSNHRSGNLKERLSKYIINVSKSDSLRFVEYWVPVQISNIQLEQYCCTLLSNHLPLFSSSKNDPVGTLRDILISIRKSCDHPYIVDPLLQTSLILDVGIKASGKLQLLDAMLLEIRNRGLRVIILFQSSVGSGRDNIGDILEDFVRQRFGQDCYERVDGLVIPTRKQAAVNNFNSQKGRFVFLLENRACFSRIKLSSVDTVIIFSSDWSPANDLRNLQKITLDTQLEQLKIFRLYSCFTVEENVLILAKQDKTLDSKLQSISRATSQTLLMWGASHLFSQLDDLHSGSSTSVTSGGPLFGRLLLKDIIQEFLTVLSQDAKEKITCNSSIVLKVKQNQGRYSTDFPLPGEQKIQSRDEELPHIFWRKLLEGKEPHWKYLSGLPQRNRKRFQQVDDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNFHGSMSDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNMHGSMSGSTGHLNKRHANHDSSTPNLASNLSEVSTVNLAEFNEKVNLHDSQKSLQKSLHLLLKPEIAKLCEILQLPEDVKAMVHSFLEYVMSNHHVSREPETILQAFQISLCWTAASLLKHKLDHKESLVLARQHLKFSCKKEEADYVYSMLRCLKKMFLCRTGNSTPECSANTSELTNHSHPTSAQPTPSTPRKMKVGFGNLLHGQELSDDQVLSQLGLAWQDFSKSIKDIEKKCDKQMRKLLLKQKQELEEYDRKYKEDKAQLKNSEKTDAAVIRLLSNSSTGTDQLKLLDIEYKKKFEELERKMVIHRKNLEDVQLAAREKLEERKACWVEGVKSWAKVELINKPPSNETGPNQENDFSLNSHLKEQNPEGNQSMQDGVVLLEVPETVISNDNEMLSGGPCRNEQIPFLVNTDMLDGESPLRVSRAICLRSGSENVNSANVCSSEEQMQASNGASLIVSSRKLPMEVPENVSSSGSLENMRVPEIASSLTIGAVNTASREKDEVHAERTDNSTEIDQQDGVVCIVNQDLYPNTTARDQHNRKVSSGVPENASSIVGDKTGKQQDGESVVPETSLGATGEDGQVLANGTVANQHSHSMDKTAGVNQQDLELPSRVIENASGEVVEGAGTGRESNGVCFMASSSSTIVDLQAGVIASQLKNVPELVVGGSSGTEVDGVCDVVASSNSSGVDQQDGVVPVINEGNHPQELHLLNSPAIQPEPSLVQDFPVPLNQALQEECPIPTVSTGLQDGVTPRSGNNSLQQVEALLPNPDDVAVSNQTNSDAAVEENLQEMQLSPSTDSALCLDAAEFPVVSGIEHQPVRELHVTANMGDSTQIVVDHGECSNEAVLQHATQLAHHLLSGSGMHVSDTRTVPISSGVNNRTVQTVPPAQNIPLLPSDCDPLQIEMERICKEREQIISTHEDTKVRLKSDCEKEIEEIIAQIRRKYEIKLQEVESEFTLKKNELDTIHHKVLMNKILADAFRSKCMDDRPSSAPGMQQEVASSFRQQLLQLSLQPTLQRNANFTGLSSAIPPTGGPQIAASCSHNAAPSLQVVHHSSALFTGALTRPPLISSISPPPGNLQISSEIRAPAPHLQPFRPSASAVATNLSSLSVGMPGQHIPSHHPTTSATSSQLPLRPQSSAQQSRPHNFIQRHETAGTLPALSSSSLSALELLMDVDNQTSRNSPYGLHRHTDLGPNSDIPVPTELRLPNGKRTNVACPTEVVCLSDDD >Manes.03G122401.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24876313:24912819:-1 gene:Manes.03G122401.v8.1 transcript:Manes.03G122401.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTRSSRKAKDDENSNSNGVSGAETPSTSGTRRSVRETPSKKSTTASPSSTRKSKRIGKRTSTPPSRRISGRVEKQSTPSPLRRSERAKQHSSSSSSGSKKSTKASSLSVMKKKLKKEKSVKRLTLETKEVSKSKEHDIQRVQVGKKRLDARAYRALFKQQQNKVTVAGNCKELKKEDKLSHDSSNHQAGASKNFGSRSNKCSQMKVQELREAFAGRDFKRALEESNCSIGTPANEVLENNIGLEPLHLSQNQRLEGEAYQSEVGDAMKASKGDDCALYSCHKTMPKMLDGIAEKANKELQPELVNPMLNNEPSGSEIGLESGYKDTPLKRKRNTLDLDSDDVAMASSKASVADISSPDTNNFAETCATCFKRQRVGLYSKQQDICSCDTNLNQDICGSSFVEDRRKIGPGVTTGYAAECITNFQFKGSDLDFQPDGNLNTCLICKLGGTLLCCDGKGCKRSYHVSCLDPPLKAVPVGVWYCLACGRKKIKSGVHSVSEGIESIWDYKDVEASDGSGLLKKQYFVKYKGLAHVHNHWVPECQLLVEAPSLVSKFNRKKQVTKWKQEWNEPRRLLQKRSVIYPREHDETYKEHAKDISECHNEWLVKWRGLDYDLATWELEDASFMSLANIQSLMRDYENRHEKAKRHSLSGVNKKLERKEDSSVQSMKLSAGDLAGCDNNHLDIINNICEHCDKGQNGVIIDDQERIMNVVSFISSVSSNVGRPFMIITTPIALHLWDEEFFQLAPSVDSVVYHGNKDVRKSIRAVEFYGDEGGIMFEVLITSPEVLAEDLNVLESIKWQAVIVDECQRSRIYSHFQQVKSLNADMRLLLVNGQLKDVVIEHLLCVLDSQSDENRSECLLTNSNHRSGNLKERLSKYIINVSKSDSLRFVEYWVPVQISNIQLEQYCCTLLSNHLPLFSSSKNDPVGTLRDILISIRKSCDHPYIVDPLLQTSLILDVGIKASGKLQLLDAMLLEIRNRGLRVIILFQSSVGSGRDNIGDILEDFVRQRFGQDCYERVDGLVIPTRKQAAVNNFNSQKGRFVFLLENRACFSRIKLSSVDTVIIFSSDWSPANDLRNLQKITLDTQLEQLKIFRLYSCFTVEENVLILAKQDKTLDSKLQSISRATSQTLLMWGASHLFSQLDDLHSGSSTSVTSGGPLFGRLLLKDIIQEFLTVLSQDAKEKITCNSSIVLKVKQNQGRYSTDFPLPGEQKIQSRDEELPHIFWRKLLEGKEPHWKYLSGLPQRNRKRFQQVDDLPKKPEGEVHEVVKKRKKAANNDIDPTSLKPSICEGNKVAGDSEGILGAPLHNMHGSMSGSTGHLNKRHANHDSSTPNLASNLSEVSTVNLAEFNEKVNLHDSQKSLQKSLHLLLKPEIAKLCEILQLPEDVKAMVHSFLEYVMSNHHVSREPETILQAFQISLCWTAASLLKHKLDHKESLVLARQHLKFSCKKEEADYVYSMLRCLKKMFLCRTGNSTPECSANTSELTNHSHPTSAQPTPSTPRKMKVGFGNLLHGQELSDDQVLSQLGLAWQDFSKSIKDIEKKCDKQMRKLLLKQKQELEEYDRKYKEDKAQLKNSEKTDAAVIRLLSNSSTGTDQLKLLDIEYKKKFEELERKMVIHRKNLEDVQLAAREKLEERKACWVEGVKSWAKVELINKPPSNETGPNQENDFSLNSHLKEQNPEGNQSMQDGVVLLEVPETVISNDNEMLSGGPCRNEQIPFLVNTDMLDGESPLRVSRAICLRSGSENVNSANVCSSEEQMQASNGASLIVSSRKLPMEVPENVSSSGSLENMRVPEIASSLTIGAVNTASREKDEVHAERTDNSTEIDQQDGVVCIVNQDLYPNTTARDQHNRKVSSGVPENASSIVGDKTGKQQDGESVVPETSLGATGEDGQVLANGTVANQHSHSMDKTAGVNQQDLELPSRVIENASGEVVEGAGTGRESNGVCFMASSSSTIVDLQAGVIASQLKNVPELVVGGSSGTEVDGVCDVVASSNSSGVDQQDGVVPVINEGNHPQELHLLNSPAIQPEPSLVQDFPVPLNQALQEECPIPTVSTGLQDGVTPRSGNNSLQQVEALLPNPDDVAVSNQTNSDAAVEENLQEMQLSPSTDSALCLDAAEFPVVSGIEHQPVRELHVTANMGDSTQIVVDHGECSNEAVLQHATQLAHHLLSGSGMHVSDTRTVPISSGVNNRTVQTVPPAQNIPLLPSDCDPLQIEMERICKEREQIISTHEDTKVRLKSDCEKEIEEIIAQIRRKYEIKLQEVESEFTLKKNELDTIHHKVLMNKILADAFRSKCMDDRPSSAPGMQQEVASSFRQQLLQLSLQPTLQRNANFTGLSSAIPPTGGPQIAASCSHNAAPSLQVVHHSSALFTGALTRPPLISSISPPPGNLQISSEIRAPAPHLQPFRPSASAVATNLSSLSVGMPGQHIPSHHPTTSATSSQLPLRPQSSAQQSRPHNFIQRHETAGTLPALSSSSLSALELLMDVDNQTSRNSPYGLHRHTDLGPNSDIPVPTELRLPNGKRTNVACPTEVVCLSDDD >Manes.04G137100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33687750:33688481:-1 gene:Manes.04G137100.v8.1 transcript:Manes.04G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITKTLSLNRRKTTANNEEAAAKRGRFGRCFSVMQEISIEPAAKSLKHLDSNKLKLDIKRWAKAVVAYARQVSGRFGSSRKSDDPAANSRQFSQEFK >Manes.14G016000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:971965:976349:-1 gene:Manes.14G016000.v8.1 transcript:Manes.14G016000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISDRVVRWFHGGYWPSVPYLLQLILSSLPKSKLSSANILLSMASSTLSPVAPSQLCSGKSAMFSPTHAAFAKPTRSHMVTKDRSMRITCQATSVPADDRVPDMGKRQLMNLLLLGAISLPTGFMVVPYATFFVPAGSGGSGGGTVAKDATGNDVIAEQWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNDQGRVVRGPAPLSLALAHADVDDGKVVFVPWVETDFRTGDAPWWA >Manes.14G016000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:973730:976352:-1 gene:Manes.14G016000.v8.1 transcript:Manes.14G016000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISDRVVRWFHGGYWPSVPYLLQLILSSLPKSKLSSANILLSMASSTLSPVAPSQLCSGKSAMFSPTHAAFAKPTRSHMVTKDRSMRITCQATSVPADDRVPDMGKRQLMNLLLLGAISLPTGFMVVPYATFFVPAGSGGSGGGTVAKDATGNDVIAEQWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNDQGRVVRGPAPLSLALAHADVDDGKVVFVPWVETDFRTGDAPWWA >Manes.03G037900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3342721:3349970:1 gene:Manes.03G037900.v8.1 transcript:Manes.03G037900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIPRSKQPGDESLSQPNESAATNIPNAKHHQAIKSLTTQLRDMALKASGAYRHCNPCTAPTTQSRFRNSSNESDAESERFRLSLRRTGSSSSTTPRTWGKEMEARLKGISSSSGEGTPNSLNGSGRRVDPPIVFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRDMFNKWQAQRWWTENYDRVMELYNVQRFNRQAFPLPTPPRSEDENSKMESAEESPVTPPLTRERLPRNLFRPTGMGYSSSDSLDHHPMQARHYCDSGGLTSTPKLSSISGAKTETSSMDASIRSSSSREADRSGELSISNASDMETEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEVHARLWWEENRARIHEQYL >Manes.03G037900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3342721:3348831:1 gene:Manes.03G037900.v8.1 transcript:Manes.03G037900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIPRSKQPGDESLSQPNESAATNIPNAKHHQAIKSLTTQLRDMALKASGAYRHCNPCTAPTTQSRFRNSSNESDAESERFRLSLRRTGSSSSTTPRTWGKEMEARLKGISSSSGEGTPNSLNGSGRRVDPPIVFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRDMFNKWQAQRWWTENYDRVMELYNVQRFNRQAFPLPTPPRSEDENSKMESAEESPVTPPLTRERLPRNLFRPTGMGYSSSDSLDHHPMQARHYCDSGGLTSTPKLSSISGAKTETSSMDASIRSSSSREADRSGELSISNASDMETEWVEQDEPGVYITIRALPGGKRELRRVRFR >Manes.03G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3342614:3350030:1 gene:Manes.03G037900.v8.1 transcript:Manes.03G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIPRSKQPGDESLSQPNESAATNIPNAKHHQAIKSLTTQLRDMALKASGAYRHCNPCTAPTTQSRFRNSSNESDAESERFRLSLRRTGSSSSTTPRTWGKEMEARLKGISSSSGEGTPNSLNGSGRRVDPPIVFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRDMFNKWQAQRWWTENYDRVMELYNVQRFNRQAFPLPTPPRSEDENSKMESAEESPVTPPLTRERLPRNLFRPTGMGYSSSDSLDHHPMQARHYCDSGGLTSTPKLSSISGAKTETSSMDASIRSSSSREADRSGELSISNASDMETEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEVHARLWWEENRARIHEQYL >Manes.18G118000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12213781:12214552:1 gene:Manes.18G118000.v8.1 transcript:Manes.18G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAQPSTSLNGDHRPLRPPQSSNTHHHHPYYPTSSSSKSASLKGCCCCCCLFLLFSFLAPLVLAIFLIIILTVKPKKPEFDLQQVGVQYMGIPASNLNSLDPTIGTTTMTTGATTASLSLTIHMLFTAVNPNKVGIKYSESKFTVMYHGIPLGKASVPGFLGKASVPGFYQEAHSERQVEATISVDRYSLIQANAVDLIRDASLNDRVEPRVLGEVGAKIRVVDFDSPGVQVSH >Manes.03G002200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:281107:283994:-1 gene:Manes.03G002200.v8.1 transcript:Manes.03G002200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAKEETLPPGFRFHPTDEELITYYLVNKISDANFTGRAVADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSGFRTSKQDEWVVCRVFQKSAGAKKYPSNQSRAVNPYSLEMGSSVVPSQMMQAPENYQFPVGRNYMNQAELAELTRAFRAGGSSSVNLPVQSQMNYPLGVGGGCFTISGLNINLGGAPTQPVYRPMQPPQVMNQQDVSSSMMTSSAFAAEGGYVAEINNPNGASNRFMGVDHCMDFDNYWPSY >Manes.03G002200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:281107:283968:-1 gene:Manes.03G002200.v8.1 transcript:Manes.03G002200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAKEETLPPGFRFHPTDEELITYYLVNKISDANFTGRAVADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSGFRTSKQDEWVVCRVFQKSAGAKKYPSNQSRAVNPYSLEMGSSVVPSQMMQAPENYQFPVGRNYMNQAELAELTRAFRAGGSSSVNLPVQSQMNYPLGVGGGCFTISGLNINLGGAPTQPVYRPMQPPQVMNQQDVSSSMMTSSAFAAEGGYVAEINNPNGASNRFMGVDHCMDFDNYWPSY >Manes.03G002200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:281107:283994:-1 gene:Manes.03G002200.v8.1 transcript:Manes.03G002200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAKEETLPPGFRFHPTDEELITYYLVNKISDANFTGRAVADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSGFRTSKDEWVVCRVFQKSAGAKKYPSNQSRAVNPYSLEMGSSVVPSQMMQAPENYQFPVGRNYMNQAELAELTRAFRAGGSSSVNLPVQSQMNYPLGVGGGCFTISGLNINLGGAPTQPVYRPMQPPQVMNQQDVSSSMMTSSAFAAEGGYVAEINNPNGASNRFMGVDHCMDFDNYWPSY >Manes.03G002200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:281107:283968:-1 gene:Manes.03G002200.v8.1 transcript:Manes.03G002200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKHTGEKGKKEKVMEGDAKEETLPPGFRFHPTDEELITYYLVNKISDANFTGRAVADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSGFRTSKQDEWVVCRVFQKSAGAKKYPSNQSRAVNPYSLEMGSSVVPSQMMQAPENYQFPVGRNYMNQAELAELTRAFRAGGSSSVNLPVQSQMNYPLGVGGGCFTISGLNINLGGAPTQPVYRPMQPPQVMNQQDVSSSMMTSSAFAAEGGYVAEINNPNGASNRFMGVDHCMDFDNYWPSY >Manes.03G002200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:281107:283968:-1 gene:Manes.03G002200.v8.1 transcript:Manes.03G002200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKHTGEKGKKEKVMEGDAKEETLPPGFRFHPTDEELITYYLVNKISDANFTGRAVADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSGFRTSKDEWVVCRVFQKSAGAKKYPSNQSRAVNPYSLEMGSSVVPSQMMQAPENYQFPVGRNYMNQAELAELTRAFRAGGSSSVNLPVQSQMNYPLGVGGGCFTISGLNINLGGAPTQPVYRPMQPPQVMNQQDVSSSMMTSSAFAAEGGYVAEINNPNGASNRFMGVDHCMDFDNYWPSY >Manes.03G002200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:281107:283968:-1 gene:Manes.03G002200.v8.1 transcript:Manes.03G002200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAKEETLPPGFRFHPTDEELITYYLVNKISDANFTGRAVADVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSELVGMKKTLVFYRGRAPRGEKTNWVMHEYRIHSKSGFRTSKDEWVVCRVFQKSAGAKKYPSNQSRAVNPYSLEMGSSVVPSQMMQAPENYQFPVGRNYMNQAELAELTRAFRAGGSSSVNLPVQSQMNYPLGVGGGCFTISGLNINLGGAPTQPVYRPMQPPQVMNQQDVSSSMMTSSAFAAEGGYVAEINNPNGASNRFMGVDHCMDFDNYWPSY >Manes.13G065700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8275975:8282669:1 gene:Manes.13G065700.v8.1 transcript:Manes.13G065700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDHNTTATSEGEMCKTIEVALVDHRDLSPPSPTVLPSPIVGDDLTFTPPLNFAMVDNGIFRSGFPDSANFSFLQTLGLRSIICLCPEPYPEANTDFLMANGIRLFQLGIEGYKEPFVNIPEDTIREALKVVLDVRNHPVLIHCKRGKHRTGVVVGCLRKSQKWCLSSIFNEYQRFAAAKARVSDQSERES >Manes.13G065700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8275975:8282669:1 gene:Manes.13G065700.v8.1 transcript:Manes.13G065700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDHNTTATSEGEMCKTIEVALVDHRDLSPPSPTVLPSPIVGDDLTFTPPLNFAMVDNGIFRSGFPDSANFSFLQTLGLRSIICLCPEPYPEANTDFLMANGIRLFQLGIEGYKHRTGVVVGCLRKSQKWCLSSIFNEYQRFAAAKARVSDQSERES >Manes.13G065700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8275975:8282669:1 gene:Manes.13G065700.v8.1 transcript:Manes.13G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDHNTTATSEGEMCKTIEVALVDHRDLSPPSPTVLPSPIVGDDLTFTPPLNFAMVDNGIFRSGFPDSANFSFLQTLGLRSIICLCPEPYPEANTDFLMANGIRLFQLGIEGYKEPFVNIPEDTIREALKVVLDVRNHPVLIHCKRGKHRTGVVVGCLRKSQKWCLSSIFNEYQRFAAAKARVSDQRFIELFEVSTLKHIPMSFSCW >Manes.06G111150.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24303471:24306114:-1 gene:Manes.06G111150.v8.1 transcript:Manes.06G111150.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWSLWTAAGPPFLSRTMTTAGNSAESAAKVASKAITDGASRIKFKRLDKTARHIMQILDKEAVQEVRAQREITDIKPGYIVQLKVEVPENERRVLRWSTTVNLLMVEGA >Manes.06G111150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24303472:24312810:-1 gene:Manes.06G111150.v8.1 transcript:Manes.06G111150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWSLWTAAGPPFLSRTMTTAGNSAESAAKVASKAITDGASRIKFKRLDKTARHIMQILDKEAVQEVRAQREITDIKPGYIVQLKVEVPENERRVLRWSTTVNLLMVEGTHLT >Manes.06G111150.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24305366:24305951:-1 gene:Manes.06G111150.v8.1 transcript:Manes.06G111150.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWSLWTAAGPPFLSRTMTTAGNSAESAAKVASKAITDGASRIKFKRLDKTARHIMQILDKEAVQEVRAQREITDIKPGYIVQLKVEVPENERRVLRWSTTVNLLMVEGA >Manes.06G111150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24303472:24312810:-1 gene:Manes.06G111150.v8.1 transcript:Manes.06G111150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWSLWTAAGPPFLSRTMTTAGNSAESAAKVASKAITDGASRIKFKRLDKTARHIMQILDKEAVQEVRAQREITDIKPGYIVQLKVEVPENERRVLRWSTTVNLLMVEGA >Manes.13G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35364534:35366496:1 gene:Manes.13G140500.v8.1 transcript:Manes.13G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSGETIDELLEAQTHIWNNTFHIFKSMALKCAVQLGIPNAVNSHGKAMTLSELVAALPVHPTKTHHFYRLMRLLVHMGFFTLEKTADQEGYLLTPASRLLLKNNPLNTSSYVFFVLDQVLLETFNCMSNWFQKDEPNPFVTVFGEPLWEYASHEARVNNLFNGAMSNDSSLIGKAVVVKCKEVFQGLNSLVDVAGGTGNMAKAISDAFPNLKCTVLDLPHVVADLEGNKNLNFLAGDMFKAVPPADAILLKWILHDWPDEECVKILKNCKEAIRKNGNEQQTGKIIIIDMVMGNQTWNSTKDDDKITEVQLLFDMEMMCRVIGKERNEKEWAKLFSDAGFSNYKIISVLGSRTLIEVYP >Manes.15G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5843778:5848141:1 gene:Manes.15G077900.v8.1 transcript:Manes.15G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWFSLKKSLHCQSEPSEVHDPKSRKQLSTILTRKAGRSGCSRSIANLKDVIHGSKRHTEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGFGGFQEGIGNAANNASNGTGAGNHGGVDSTFVGTLRPGTPGPGGHPTMHYFNPSFRSSATPPRKSPFLLSEREVSGFGGSGILGGGGHSIARISLETDSNRSSKITCHKCGEQFTKWEAAENHHLSKHAVTELVEGDLSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEYREMVKIKASKLPKKHPRCIADGNELLRFYGTTVACNLGLNGCSSLCVSEKCCVCRIIRNGFSAKKELKGGIGVFTTSTSGRAFESIEIFEEDPCTRKALIVCRVIAGRVHRPLDNIQEISGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >Manes.04G161900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35586587:35586820:-1 gene:Manes.04G161900.v8.1 transcript:Manes.04G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFDPNVVLLCAKRKFRSLFWKVRAEIRRQVKNRSKQRSSFHYDPFSYALNFDNGNVGFLCSSTPSSTRNALKSC >Manes.15G176964.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18697166:18698139:1 gene:Manes.15G176964.v8.1 transcript:Manes.15G176964.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSEENVEDVRVAEKILRLLDTKFNNVVVAIEESKDLYPMINNSSKEEVNYADVEEEEHSVLLLTHTGDKDKNFWYLNSDASNHMTGNKDLFSSLNESEGSTISFGDKTKVLIIGEGDILFKLRNGGYDFISQVYYVPVLKSNILNLGKEKKKITRVLMSKNRIFRIQLNTDLTKYLKATTTNTSWL >Manes.01G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24410730:24412972:-1 gene:Manes.01G057200.v8.1 transcript:Manes.01G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIINLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSASTTTVAATSSGIDHSHINYGSNQIDLVHQDLTTRPHVQETLFSSPAPLFMFDTGTTPLDGIADNSVRGEFFNEPASLNTETWNTNQHHHHQVQILPPQATFSIGMDTNYLPPLIENMENMVPIEMQSCSMDDEGEIALGCLQRQELNEWVDSQQCSNFLFWDNVDGPLGGEEIAPNSSSMGAALSSFPSSL >Manes.16G121200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32383000:32385123:1 gene:Manes.16G121200.v8.1 transcript:Manes.16G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSCLRLPLPVRATQDSGIYGTGTSSVKQEILGIHDFFHPLSTARHHHLKTRAAPSNQNPKPKSVICADCDGNGAVLCSQCKGSGVNSVDLFNGQFKAGDSCWLCGGKKDILCGNCNGAGFIGGFMSTFDE >Manes.02G218000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30112423:30115836:-1 gene:Manes.02G218000.v8.1 transcript:Manes.02G218000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYVKLKSENRIVSDGVNAKLLGCHGPLANRQPGRAFLRATA >Manes.09G113525.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31558689:31558931:-1 gene:Manes.09G113525.v8.1 transcript:Manes.09G113525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRSLLLEQQHKQQLASHLESAAADDSVCEMAKEIKDLRCWIEVAPALFISLHRTRNSPGLETIPEEQIEDGDDDSEF >Manes.06G010650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1669116:1674192:1 gene:Manes.06G010650.v8.1 transcript:Manes.06G010650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAMSLHMGTNFLLVYYYLSKDTITINQTFEDGDLLTSQGNKFALGFFSPGNSKYKYLGIWYHNIPEQTVVWVANRNKPINGASGVLSIDQYGNLILRSNQNQKVPVWHTNITVEVTETCVAQLLDSGNLILIQGRSKRVVWQSFNQPTDTLLPGMRLGLNKKKGIYWFLTSWRSADDPGIGNFSLQVNTVGVPQFLLYRGTEYYWRITETLKVSEEVWNLSSVYNQDEIYASYNTVDASVIFRVVLDHSGTVKTLAWHEKVKKWKEFWSAPSSLCDKYGHCGTYGICYPDFSGRFECDCLPGYEPKSHKDWNIIRDASGGCVRKRLESSSVCGHGEGFVKVAHVKLPDTSTAVLGSTNVSPIDCEKECRRNCSCVAYAIMKETGCLTWYGELMDTVDNLKAGYDIHIRVDALELEIAQESNGFPVSKDMLAVLVVCVVSAWFVIIILFAYLWLKKKRRRVRNQWSERLLDAIGDAYYKDVLVKNEVEGSMNQLDIAFFNLSTILVATNNFSQANKLGEGGFGLVYKGQLFNGQEIAVKRLSKNSCQGIEEFKNEVILIAKLQHKNLVKLLGCCVQGDEAMLVYEYLPNKSLNSFIFDATKSAILDWRKRFDIIVGIARGILYIHQDSRLSIIHRDLKTSNILLDAEMNPKISDFGLARIFKGDQIQEKTKRIVGTFGYMSPEYSVFGKFSAKSDVFSFGVILLEIITGKKNNSYCQEDSFLTLIGHVWHLWRENRALEIIDSSLRESCPSDEVLRCIQIGLLCVQEDVMERPMMSTVVLMLNSDITLPFPKQPAFIFSDSSDSSSYLARKKSCYSVDDKTITEVVCR >Manes.01G027000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5625064:5635303:-1 gene:Manes.01G027000.v8.1 transcript:Manes.01G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAQNGPRSNLQNQKKQSNFPNKSRNSDSLARRERIKSQRLSLPIASVKERLIEEVKTHDILIIVGETGSGKTTQLPQFLFNAGFCRDGKAIGITQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFDDKTSSSTRIKYMTDGLLLREALLDPYLSRYSVIIVDEAHERTVHTDVLLGLLKNVQNVRSNSMKDHVNTDDKKSKNRTVQEKGTGAQCTSFLKQGHGRKFPPLKLIIMSASLDARVFSEYFGGARAVHIEGRQHHVDILYALHPVQDYLDATLVTIFQIHLEEAPGDILVFLTGQEEIESIEGLVQEQLHKLPEANRKLSTVPIFSSLPSEQQMRVFMPASPGHRKVILATNIAETSVTIPGIKYVIDPGFVKARSYDPVKGMESLIIIPTSKAQALQRSGRAGREGPGKCFRLYPEHEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIIGFDFMEKPSRAAIIKSLEHLFLLGALTDDCKLSEPVGHQMARLPLDPIYSKALILASQFNCLEEMLITVAMLSVESIFYTPREKLDEARTAAKCFSSPDGDHLTLVNVYRAVDGLLEKRKMEFGNEKSLKGKNEKFLRKWCKENFINGRSLRHARDIHSQIRGHVEQMGLRISSCGDDVLQFRRCLAASFFLNAALKQPEGTYRALASGQVVQIHPSSVLFQSKAECVIFNELVQTTKKYIRNVTRIDYLWLTELAPHYYASQS >Manes.01G027000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5625064:5635303:-1 gene:Manes.01G027000.v8.1 transcript:Manes.01G027000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAQNGPRSNLQNQKKQSNFPNKSRNSDSLARRERIKSQRLSLPIASVKERLIEEVKTHDILIIVGETGSGKTTQLPQFLFNAGFCRDGKAIGITQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFDDKTSSSTRIKYMTDGLLLREALLDPYLSRYSVIIVDEAHERTVHTDVLLGLLKNVQNVRSNSMKDHVNTDDKKSKNRTVQEKGTGAQCTSFLKQGHGRKFPPLKLIIMSASLDARVFSEYFGGARAVHIEGRQHHVDILYALHPVQDYLDATLVTIFQIHLEEAPGDILVFLTGQEEIESIEGLVQEQLHKLPEANRKLSTVPIFSSLPSEQQMRVFMPASPGHRKVILATNIAETSVTIPGIKYVIDPGFVKARSYDPVKGMESLIIIPTSKAQALQRSGRAGREGPGKCFRLYPEHEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIIGFDFMEKPSRAAIIKSLEHLFLLGALTDDCKLSEPVGHQMARLPLDPIYSKALILASQFNCLEEMLITVAMLSVESIFYTPREKLDEARTAAKCFSSPDGDHLTLVNVYRAVDGLLEKRKMEFGNEKSLKGKNEKFLRKWCKENFINGRSLRHARDIHSQIRGHVEQMGLRISSCGDDVLQFRRCLAASFFLNAALKQPEGTYRY >Manes.05G070900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5838497:5840567:-1 gene:Manes.05G070900.v8.1 transcript:Manes.05G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAEHNLRVVVSYIFLAFFFLQCKFFVHAILDPVDFLALQSIRKALDDLPGSNFFASWDFTSDPCNFAGVYCEDDKVISLNLGDPRAGSPGLTGRLDPAIGKLSALAEFSIVPGRIIGSLPESLSQLKDMRFLAISRNFLSGGIPATLGQLRNLRTLDLSYNQLTGEIPRSIGTIPGLSNVILCHNRLSGSVPPFLSQSLTRLDLKHNALSGSLAPSSLPPSLQYLSLSWNRLSGPVDRLLNRLDQLNYLDLSMNLFSGCIPSRVFTFPITNLQLQRNLFTGPIEPPNEVTIGTVDLSYNRLSGQISPMFSSVQNLYLNNNRFTGQVPGSFVDRLLAASIQILYLQHNYLTGIEINPSAEIPLSSSLCLQYNCMVPPVQTPCPLKAGKQKTRPTEQCGEWKG >Manes.05G159700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27233014:27239559:1 gene:Manes.05G159700.v8.1 transcript:Manes.05G159700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARLARHAKRPYGLCAKMTAVAIMGLCFIFVWSLFSSSSSSSVTIQRESFDDIAEPEPANNRVSNSKTQSEKKKSEKHESSREDHKVKVESDLESKKDEKKINGSASLSVNEHESREKGKKESSNKKGSARKLPHGMAKNGNSQEESESEESEKGEEEGEVVDGREEAADGQSEGNGDDEGEGGLIETIDEETTEKVEEQSSGSKNAGKKGKIRGPLFDPKAHYSWRLCSTRSKHNYIPCIDIESGIGRLQSYRHTERSCPRTPPMCLVPLPHESYGFPVRWPESKLKISYKNVAHPKLDAFTKKNNWLVQSGEYITFPQNQSEFKGGVQHYLESIEEMVPDIEWGKNIRVVLEIGCADSSFVASLLDKDVLTLSLGLKDDLVDLAQVALERGFPAIVSPFATRRLPFPSGVFDAIHCGECNIPWHSHGGKLLLEMNRILRPGGYFILSTKHDNIEEEEVMTTFTASICWNILAHKTDEVSEVGVKIYQKPESNDIYELRRKKNPPLCKENENPDAAWYVPMKSCLHAIPSSIEQHGTDWPEEWPKRLETYPDWINDKEKLIADTNHWKAIVEKSYLTGIGIDWSNIRNVMDMKAINGGFAAALSGQKVWVMNVVPVHAPDTLPIVYERGLVGVYHDWCESFGTYPRTYDLLHADHLFSRLKNRCKQPVSIVVEMDRILRPGGWAIIREKVEILDPLEAILKSLNWEIRMTYSQDKEGILCAQKTMWRP >Manes.01G065800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26555878:26560210:-1 gene:Manes.01G065800.v8.1 transcript:Manes.01G065800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRAILGLKKPVHHPSEGQQQTSGRSKEKRRWSFVKSYREKDQPQHHIKREVLDGKEVSLTFQNSSDYEEQPNKHAIAVAAATAAVAEAAVAAAHAAAEVVRLTSSGRDANTLSMTYVSESHAWREDLAAVKIQAAFRGYLARRALRALKALVRLQALVRGHIERKRTAEWVQRMQALLRAQARARAGRIQLHVSESSHSSSKSSHFHHPRTGSKSNSRAISDEDKAQLPFNWSGRRTDESSWEKSDKILEVDTEKPHINLKRRNLFHSSHLALASDQYTHSFTTSKDSTTHQTVPSPSSGEIQSSSPLKFPHEDEDVYSASSKGGCGRRSPFTPSKSDASASFLSGYSDYYYPNYMCYTESSRAKLRSLSAPKQRPQYERSSSTKRYSIHGFGEQRSNSAQRASALRASFTSKAYPGSGHLDRLGMPIEQRF >Manes.01G065800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26555879:26560209:-1 gene:Manes.01G065800.v8.1 transcript:Manes.01G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRAILGLKKPVHHPSEGQQQTSGRSKEKRRWSFVKSYREKDQPQHHIKREVLDGKEVSLTFQNSSDYEEQPNKHAIAVAAATAAVAEAAVAAAHAAAEVVRLTSSGRDANTLSMTYVSESHAWREDLAAVKIQAAFRGYLARRALRALKALVRLQALVRGHIERKRTAEWVQRMQALLRAQARARAGRIQLHVSESSHSSSKSSHFHHPGPPTPEKFENAIRPRSGKYEQPSMLKRTGSKSNSRAISDEDKAQLPFNWSGRRTDESSWEKSDKILEVDTEKPHINLKRRNLFHSSHLALASDQYTHSFTTSKDSTTHQTVPSPSSGEIQSSSPLKFPHEDEDVYSASSKGGCGRRSPFTPSKSDASASFLSGYSDYYYPNYMCYTESSRAKLRSLSAPKQRPQYERSSSTKRYSIHGFGEQRSNSAQRASALRASFTSKAYPGSGHLDRLGMPIEQRF >Manes.01G065800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26555879:26560209:-1 gene:Manes.01G065800.v8.1 transcript:Manes.01G065800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRAILGLKKPVHHPSEGQQQTSGRSKEKRRWSFVKSYREKDQPQHHIKREVLDVAAATAAVAEAAVAAAHAAAEVVRLTSSGRDANTLSMTYVSESHAWREDLAAVKIQAAFRGYLARRALRALKALVRLQALVRGHIERKRTAEWVQRMQALLRAQARARAGRIQLHVSESSHSSSKSSHFHHPGPPTPEKFENAIRPRSGKYEQPSMLKRTGSKSNSRAISDEDKAQLPFNWSGRRTDESSWEKSDKILEVDTEKPHINLKRRNLFHSSHLALASDQYTHSFTTSKDSTTHQTVPSPSSGEIQSSSPLKFPHEDEDVYSASSKGGCGRRSPFTPSKSDASASFLSGYSDYYYPNYMCYTESSRAKLRSLSAPKQRPQYERSSSTKRYSIHGFGEQRSNSAQRASALRASFTSKAYPGSGHLDRLGMPIEQRF >Manes.01G065800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26555878:26560210:-1 gene:Manes.01G065800.v8.1 transcript:Manes.01G065800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRAILGLKKPVHHPSEGQQQTSGRSKEKRRWSFVKSYREKDQPQHHIKREVLDVAAATAAVAEAAVAAAHAAAEVVRLTSSGRDANTLSMTYVSESHAWREDLAAVKIQAAFRGYLARRALRALKALVRLQALVRGHIERKRTAEWVQRMQALLRAQARARAGRIQLHVSESSHSSSKSSHFHHPRTGSKSNSRAISDEDKAQLPFNWSGRRTDESSWEKSDKILEVDTEKPHINLKRRNLFHSSHLALASDQYTHSFTTSKDSTTHQTVPSPSSGEIQSSSPLKFPHEDEDVYSASSKGGCGRRSPFTPSKSDASASFLSGYSDYYYPNYMCYTESSRAKLRSLSAPKQRPQYERSSSTKRYSIHGFGEQRSNSAQRASALRASFTSKAYPGSGHLDRLGMPIEQRF >Manes.12G035200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095182:3104855:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRHLAVVLALLILFGASRRTSVDGEDFSGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCLLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEDRDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTREFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRVVG >Manes.12G035200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095045:3104977:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRHLAVVLALLILFGASRRTSVDGEDFSGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCLLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEDRDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTREFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRYLFGDVHLGQSCRLKNSSADTLLHYL >Manes.12G035200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095181:3104857:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLFHMTGDWRQQCLRIETFIFTDSSLLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTREFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRYLFGDVHLGQSCRLKNSSADTLLHYL >Manes.12G035200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095182:3104855:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRHLAVVLALLILFGASRRTSVDGEDFSGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCLLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEDRDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTQFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRYLFGDVHLGQSCRLKNSSADTLLHYL >Manes.12G035200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095182:3104855:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRHLAVVLALLILFGASRRTSVDGEDFSGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCLLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEDRDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTREFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRYLFGDVHLGQSCRLKNSSADTLLHYL >Manes.12G035200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095045:3104868:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRHLAVVLALLILFGASRRTSVDGEDFSGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCLLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEDRDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTQFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRVVG >Manes.12G035200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095045:3104868:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRHLAVVLALLILFGASRRTSVDGEDFSGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCLLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEDRDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTQFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRYLFGDVHLGQSCRLKNSSADTLLHYL >Manes.12G035200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095182:3104855:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRHLAVVLALLILFGASRRTSVDGEDFSGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCLLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEDRDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTQFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRVVG >Manes.12G035200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3095045:3104977:-1 gene:Manes.12G035200.v8.1 transcript:Manes.12G035200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLRHLAVVLALLILFGASRRTSVDGEDFSGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCLLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSIWKEWIKWCIEFGIEANAIIAVPYDWRLAPAMLEDRDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLRLELAPKHYNQWLDDHIHAYFAVGAPLLGATETVKATLFGNTFGLPVSEGTARLMFNSFASSLWMLPFSKYCTAESPYWRHFSRASGKSHQTYQCEEREFRSNYSGWPTNIVNIEIPFTREFDAYPSVTEIAQTNLSSMECGLPTQLSFSAREISDGTFFKAIEDYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGVDLKTEVGYYFAPSGKPYPDNWIITDVIYELEGSLVSRSGNLVEGNPGAASGDETVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVEHQHEADIVPNMTRSPRVKYITYYEDSESIPRKRTAVWEIDKANHRNIVRSPVLMRELWLQMWHDIHPNKKSEFVTKAKRGPLRDDDCYWDYGKARCAWPEYCEYRVVG >Manes.12G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18136085:18142167:1 gene:Manes.12G092800.v8.1 transcript:Manes.12G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQYSSDRAWIRTRILTNHLLSFSSQSVSPLESNVCLSYSPPELHESCSFDTNEMRKLLDMHNIEDRDWLFGLMRQSKVFNPQEKGGRVYVSPDYNQSMEQQREMTMKRIAYLLERGVFDGWLTGKGLESEMKKFALFEVLGIFDHSLAIKLGVHFFLWGGAIQFFGTKRHHDKWLRDTETYAIKGCFAMSELGHGSNVRGIETVTVYDSNTREFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGTNQGVHAFIAQIRDADGNICPNIRVADCGHKIGLNGVDNGRIWFDNVRIPRENLLNSVADVSSDGQYLSAIKDSDQRFAAFLAPLTSGRVTIAVSSIYTSKIGLATAIRYSLTRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKTYAMSFAGNYLKMIYWNRTPESNKTLHVISSAFKATLTWHNMRTLQECREACGGQGLKTENRVGQLKSEFDVQTTFEGDNNVLMQQVSKALLAEYVAAHKKKKPFNSLGLEHMNGPLPTIPSHLTSTVLRSTQFQIDAFCLRERDLLNRFAAEVSERQANGESKEYAFISSYQLAEDLGRAFSDRTILQTFIDTEATVSVGPLKNVLSLLRSMYALICLEEDAAFLRYGYISTENAAAVRKELTKLCSELRPHALALVSSLGIPDAFLSPIAYNWIDANSWSSIKR >Manes.17G008000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2935313:2942690:-1 gene:Manes.17G008000.v8.1 transcript:Manes.17G008000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQMVPMIIKGLFRRYERWNPVHPTFGSFWGMGLGIGCGVGWGPGFGPEVIGYVGAGCGVGFSVGITLAGFGIGLPANYIFQVPYNAIVATRRRALTFFQSGGLLSGKDVSGDEWNSFAPDISVFQREASRRLFAFNKNIFLDKGIDLFDMKSKLSVHARSFSKDLETFSTCFFHPRKGPKD >Manes.17G008000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2935296:2942828:-1 gene:Manes.17G008000.v8.1 transcript:Manes.17G008000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKGLFRRYERWNPVHPTFGSFWGMGLGIGCGVGWGPGFGPEVIGYVGAGCGVGFSVGITLAGFGIGLPANYIFQVPYNAIVATRRRALTFFQSGGLLSGKDVSGDEWNSFAPDISVFQREASRRLFAFNKNIFLDKGIDLFDMKSKLSVHARSFSKDLETFSTCFFHPRKGPKD >Manes.10G128600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29507946:29512250:1 gene:Manes.10G128600.v8.1 transcript:Manes.10G128600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPYPEPISPAKTRIGWIGVGVMGAAMASRLLSAGYSLTIYARTPSKALSLQSKGAQLTSSPFDVAKCSDVVFTMLGHPSDVRSVVLESQGKGVLAGLNPGGVIVDTTSSHPSLAREIFAAARTKGCWAVDSPVSGGDIGARDGKLAILAGGDEGVIKWLSPLFELLGKSTYMGPAGCGQSCKIANQIVVGGNLLGLSEGLLFAEKAGLDMKKWMDAVRGGAAGSMVMELFGERMIGRDFRPGGFTEYMVKDLGMGVDVVEESDARRVPVLPGAALSKQLFAGMVANGDGHLGTQGLITVIERLNGKLVVIL >Manes.14G012605.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:832726:836999:1 gene:Manes.14G012605.v8.1 transcript:Manes.14G012605.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDRGCSWGDICGSLSQMVFQCSMLHGLFTCGMLIAKTIVILIICSAKMEQTVFGHGPVKYHGMAV >Manes.14G012605.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:832726:837000:1 gene:Manes.14G012605.v8.1 transcript:Manes.14G012605.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDRGCSWGDICGSLSQMVFQCSMLHGLFTCGMLIAKTIVILIICSAKMEQTVFGHGPVKYHGMAV >Manes.14G012605.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:834866:835876:1 gene:Manes.14G012605.v8.1 transcript:Manes.14G012605.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDRGCSWGDICGSLSQMVFQCSMLHGLFTCGMLIAKTIVILIICSAKMEQTVFGHGPVKYHGMAV >Manes.14G012605.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:832726:836859:1 gene:Manes.14G012605.v8.1 transcript:Manes.14G012605.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDRGCSWGDICGSLSQMVFQCSMLHGLFTCGMLIAKTIVILIICSAKMEQTVFGHGPVKYHGMAV >Manes.16G018720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1911299:1912911:-1 gene:Manes.16G018720.v8.1 transcript:Manes.16G018720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTIRLYFALLVLHRLTLSTSTDTITINQTLREGDLLVSKQNKFALGFFSPGNSSYRYLGIWFYKISLQTDTVVWVANRDKAINGSAGFLSINQYGNLVLYGNRDEKLPIWSTNVSVDLANTCVAQLFDSGNFVLIQGSRKIIVWQSFDHPTNTLLPGMRLGLDKRTGLNRFLTSWKSTDDPGIGDYSLKLNPVGSPVFFLYDGSKPYWRGTPWPCRRPPDIYNYSYVNSEEEISYSYSHDDSSVLFQLMVHESGTLMWVSRRESDANWKEFWSASKYRCDSYGRCGANSVCDPKHVNVFECSCLPGYEPKFPRNWFPMRDGSGGCVRKRLKSSSVCGQGEGFVKVPQVKVPDTSTAVWVHMSMSRVDCEQECYEDCSCSAYASIKIPGKDVACLAWYGDLMDIVDLMDHSGYDVYVRVDAIELAEIERSNGFLEMKGMLAFLVVSVSSAWFVIILFVYLWLRKRKKRGK >Manes.16G096900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30259146:30260521:-1 gene:Manes.16G096900.v8.1 transcript:Manes.16G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCSKEGLNRGPWSAPEDKILVNYIKLHGEGKWRDLPRRAGLKRCGKSCRLRWLNYLRPGIKRGNISVDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLRKRAKGEKGSREYSGKQLLSKEKKITSPPPSPPAGVVQTKPVRCNKVVIIPQALDKEHVTQKKAAESQRNLSCSALQQQEDNSLDLLLDNFDIDNFLLLEAPNSELDQAQLNINEFHENALGAGYY >Manes.13G040300.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670649:4678360:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670588:4678361:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670588:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670649:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670588:4678360:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670649:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670647:4678360:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670588:4678360:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670648:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670649:4678360:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWVGNTNLIVLVFLLGFACLVA >Manes.13G040300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670588:4678360:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670647:4678303:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670654:4678360:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670654:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670649:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670649:4678361:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670649:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670654:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKVSEEKENGNQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670647:4678303:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670654:4678443:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.13G040300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4670654:4678359:-1 gene:Manes.13G040300.v8.1 transcript:Manes.13G040300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVMKAFRAMKAIGLNEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDEDDSKQGEDFADEAEVHDEPERPLKRLRPRSQEEQASQSTVVGTSLRKPLLDDEGKLGANSLQQPPDMMKLQSGPISPQNHPRDKGKQQASPIHFGAQGISNSYTDRTLPYDSDSPHVRHAYKGKEPLLPQVAPREKRPVVERSPHAVRFKDSTVDPDGALIPTQKVLDSHALLKPKDEPYTDDLPPDDVPRYETPIAVIRPDSIRKEDISVRRILTGKPDDQEPPASHFAAEEDRGGSVPTSSSSPRATSELAAVREGSPANLEIASSSLGEESLMNVQPSVGALKSSGAYSALDVGGIGKLNGSIDTHCFSEAAALQLPRQIKSVGEDTHFSTSGSAESNGGQELRDPESCSLVVVPQHQLMFEEQRSHHHFNDITKGEENVEISWLNEINHERPPSFIYIPQNLVFQNANLTFTLSQINAEDCCHSCIVDCLSSTSVCVCVHETGNEFAYTSKGLIREDFLEECISMTRDPQRQCLSYCKACPLEISKNDECLEPCKGHLKRKYIKECWSKCACHKRCGNRVVQQGIRCKLQVYFTPEGKGWGLRTIEKLPKGTFVCEYVGEILTTKELHERNVQRTRGINNERYTYPVLLDAYWCLKGALKEEEALCLDATFYGNVARFINHRCLDANLIEIPVKMETPDHHYYHSAFFTAREVNALEELTWDYGFDFDDDDHPVEMFRCLCGSKFCRNMKRPNRSKSSLR >Manes.11G096250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20020831:20022372:1 gene:Manes.11G096250.v8.1 transcript:Manes.11G096250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELSKRLAKLGIKEDTKMKAIASLTMELEEEEVDLPNKERELIQLESMLQETEPAEVNRIKYHKPQSTMDLKPYYPRPSPINLQYEDMNYNPVQVDGSSIIEWNIDGLSDYQIKNVLQYMTMHATACRSKGNDDPAAARALIAGFSGQLKGWWDFSVSNEGKIQIFNMVKQEGAQQVPDVVNTLLYTIGLHFIGSVNMFTDRAQEQLINLRCPDLSHFKWYKDTFFSLVFTRVDSQNNVWKEKFLAGLPALFAERVRDQIRSKHNGNIPYHDYTYGELASEVVTTGIYLCNELKIHKQMQKERFYGKQILGNFCEQREDDDRVRQHRHRRTKRFFKDKRPYKEKHKRFQKTETSRKPKEKRKPNQGKAEKTIVCYRCGKALTIEESIKEALAKILLNETDSEQEVMAVKAMDYTTEEEESSTEEEENQKEDCEGNCDYYKSLCTMNGLLVLTKEDNLILDLIDNIEDPEKKREKLYNTS >Manes.09G102900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30199664:30208049:-1 gene:Manes.09G102900.v8.1 transcript:Manes.09G102900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHGKLIVFHPKAEGSIVSHCPRSQIREFSLYSGLSSLDSFQHSCSKLRHGLKSVIKLRKLQHPHALVITNEYPQNADFPRNYSTKEKKPFPVPVVELRRAARERFKNRKGQPKRQAPPPKNGLVVKSLIPLAYDVFNARIIMINNLKKLLKVVPVHACGWCNEIHVGPVGHPFKSCKGKYASLRKGLHEWTNATIEDVFVLIEAYHLFDRLGKRISHEERFSIPRIPAVVELCIQAGVDMSEYPTKRRRKPIIRISRSEFVDADESELPDPVPEVPVKPLLSEIPNSEIVAPSNEEESKFLAEETLQAWEKMRKGAKRLMKIYPVRVCGYCPEVHVGPSGHKAQNCGAHKHQQRNGQHGWQAAVLDDLIPPRYVWHVPDVNGSPMQRELRNFYGQAPAIVEICIQAGADVPEQYKSTMRLDIGIPTSVREAEMVV >Manes.09G102900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30199664:30206419:-1 gene:Manes.09G102900.v8.1 transcript:Manes.09G102900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHGKLIVFHPKAEGSIVSHCPRSQIREFSLYSGLSSLDSFQHSCSKLRHGLKSVIKLRKLQHPHALVITNEYPQNADFPRNYSTKEKKPFPVPVVELRRAARERFKNRKGQPKRQAPPPKNGLVVKSLIPLAYDVFNARIIMINNLKKLLKVVPVHACGWCNEIHVGPVGHPFKSCKGKYASLRKGLHEWTNATIEDVFVLIEAYHLFDRLGKRISHEERFSIPRIPAVVELCIQAGVDMSEYPTKRRRKPIIRISRSEFVDADESELPDPVPEVPVKPLLSEIPNSEIVAPSNEEESKFLAEETLQAWEKMRKGAKRLMKIYPVRVCGYCPEVHVGPSGHKAQNCGAHKHQQRNGQHGWQAAVLDDLIPPRYVWHVPDVNGSPMQRELRNFYGQAPAIVEICIQAGADVPEQYKSTMRLDIGIPTSVREAEMVV >Manes.09G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30199664:30208046:-1 gene:Manes.09G102900.v8.1 transcript:Manes.09G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFHGKLIVFHPKAEGSIVSHCPRSQIREFSLYSGLSSLDSFQHSCSKLRHGLKSVIKLRKLQHPHALVITNEYPQNADFPRNYSTKEKKPFPVPVVELRRAARERFKNRKGQPKRQAPPPKNGLVVKSLIPLAYDVFNARIIMINNLKKLLKVVPVHACGWCNEIHVGPVGHPFKSCKGKYASLRKGLHEWTNATIEDVFVLIEAYHLFDRLGKRISHEERFSIPRIPAVVELCIQAGVDMSEYPTKRRRKPIIRISRSEFVDADESELPDPVPEVPVKPLLSEIPNSEIVAPSNEEESKFLAEETLQAWEKMRKGAKRLMKIYPVRVCGYCPEVHVGPSGHKAQNCGAHKHQQRNGQHGWQAAVLDDLIPPRYVWHVPDVNGSPMQRELRNFYGQAPAIVEICIQAGADVPEQYKSTMRLDIGIPTSVREAEMVV >Manes.10G065951.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9528756:9529109:1 gene:Manes.10G065951.v8.1 transcript:Manes.10G065951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYVPHPTHKLRKRYYGPFSITKKIGEAAYKLKLPPKTKFHNVFHVSLLRPTYASVTASLDLPTAVVDTIPYPQAVLERKMVKQRNQATTQWLIHWAGSYLADATWEFAKEIQAQFPPF >Manes.15G149000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12295427:12299385:1 gene:Manes.15G149000.v8.1 transcript:Manes.15G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGFALSLSTPFLIPQKLLYGNSIPRFNPTVKLTSFRQPQTSCSLGLSCQRTSFLSSSCQCFKSRVSSSIICSNHGCQSLRVRAASVPGSTSENETSDLFRITQLGAMFGIWYLLNIYFNIFNKQVLKVYPFPATVTAFQFGCGTVMIIIMWALNLYHRPKLTPSQLAAIMPLAVMHTVGNLLTNVSIGKVAVSFTHTIKAMEPFFTVLFAGLFLGERAHFWVLSSLVPIVGGVALASFTEASFNWIGFCSAMASNVTNQSRNVLSKKLMVNREETLDNINLFSVITIISFTLLAPTAILMDGIKFTPAYLQSAANQGLNVRELCLRALLTGFCLHSYQQISYMILSMVNPVTHAVGNSVKRVVVIIASVIFFQTPISPINSLGTVLALAGVFLYSRAKQIKPMPKAS >Manes.06G168800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29369521:29373222:-1 gene:Manes.06G168800.v8.1 transcript:Manes.06G168800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELVEIGADISSDIEVDDIAEKEVSDEEIEAEELERRMWKDRIKLKRIKERQKFEVQQAADKQKLKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEAECLAMGEANKSRKGNAQSVLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVSPPWWPTGNEEWWVKLGLPEGQSPYKKPHDLKKMWKVGVLMAVIKHMSPDIAKIRRHVRQSKCLQDKMTAKESAIWLSVLSREESLIQQLSSDNGTSGITEMPQGGHGERKRERPAVSSDSDYDVDAADDSVASVSSKDNRRNTATEVEPSSIVRTDNPHAVGDKEQGEEQRRKKPRQKSSQAGQQSEPPRNKHSFIEEPVPDINTADLSVGEYQTNGTEQENDTTAGLRPLQKGLESGPNLHQSEFNYFPAIPSANVDSMRGTHAHRSSMFLCVSPNSELHNRVAYDIYNPPVDYGPAHDAQQSQMTLDHAPEIRPLYDGGHIPALQGDGNEIIGGELHRPFGSPPLLHNLSLEYGGIPSPYHFEIDEFLLDDELIQCFGA >Manes.16G019800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2208099:2208344:1 gene:Manes.16G019800.v8.1 transcript:Manes.16G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRYMSRVVFQEGMRVVQGMKDQASRSDSSSIKSLRDSAACSSSSKQALRFSAATKASEKLRQAEESLRTIMYLSCWVAN >Manes.01G025000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5345070:5351462:1 gene:Manes.01G025000.v8.1 transcript:Manes.01G025000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEETSSNYSMPRTGSGKKAMRSCNMREHLDNVVIIDVDSDGIDNVIIIDVPESLQQKFKGSSVLREGKRFPIQGIISIDDDESDYGDHPEFNVENDRGVECDDNPGKNSPASESMKKSEDADAQDCQVVLEKRSAFKSSKCKTAYTKKSPSRNRYGLNSESESDSSESSSSDCEVMEGSSSDLRKQWEKASLKRKSHIYRKSQSVLEGQESPCSSHSDNHSNVRVENRTKQNQEEPVCSSSKNVNFQEESLSYSNASGDVFVGSAFNPGMECPFARSEQKIDKESSSWWWNSAWGKEAHKKADVKLRGETCMDNCSSLHDESQSVNGCDNRFQNERKDPLRPPPPCSIHKGGTEQCQCHDTRNSFQHGNQRTIGEHSCPSFQQKPNLNVDYERDSIYDKDASLCKSQFLGETCSVSLRNGVSREKGANFTPVPPSFNTCSNVAKHNSTDSKDKDKVDSGILSSIEGDAPFNNSSSDIPISDGKNTLYTLNPDVIHGMQRDIINEREKLKETDEYKRAEEEEWAARQRQLQIQAEEAMRLRKRRKAESLRILDVEKRQKQRVEEMRETQRKDEENLNMKENLRAEVRKELYKLENTCIDMASLLRGLGIHVGGGFYPLSHEVHAAYKRALLKFHPDRASRADIREQVEAEEKFKLISRMKEKILSTSCH >Manes.01G025000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5345225:5351098:1 gene:Manes.01G025000.v8.1 transcript:Manes.01G025000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEETSSNYSMPRTGSGKKAMRSCNMREHLDNVVIIDVDSDGIDNVIIIDVPESLQQKFKGSSVLREGKRFPIQGIISIDDDESDYGDHPEFNVENDRGVECDDNPGKNSPASESMKKSEDADAQDCQVVLEKRSAFKSSKCKTAYTKKSPSRNRYGLNSESESDSSESSSSDCEVMEGSSSDLRKQWEKASLKRKSHIYRKSQSVLEGQESPCSSHSDNHSNVRVENRTKQNQEEPVCSSSKNVNFQEESLSYSNASGDVFVGSAFNPGMECPFARSEQKIDKESSSWWWNSAWGKEAHKKADVKLRGETCMDNCSSLHDESQSVNGCDNRFQNERKDPLRPPPPCSIHKGGTEQCQCHDTRNSFQHGNQRTIGEHSCPSFQQKPNLNVDYERDSIYDKDASLCKSQFLGETCSVSLRNGVSREKGANFTPVPPSFNTCSNVAKHNSTDSKDKDKVDSGILSSIEGDAPFNNSSSDIPISDGKNTLYTLNPDVIHGMQRDIINEREKLKETDEYKRAEEEEWAARQRQLQIQAEEAMRLRKRRKAESLRILDVEKRQKQRVEEMRETQRKDEENLNMKENLRAEVRKELYKLENTCIDMASLLRGLGIHVGGGFYPLSHEVHAAYKRALLKFHPDRASRADIREQVEAEEKFKLISRMKEKILSTSCH >Manes.01G025000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5345225:5351370:1 gene:Manes.01G025000.v8.1 transcript:Manes.01G025000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEETSSNYSMPRTGSGKKAMRSCNMREHLDNVVIIDVDSDGIDNVIIIDVPESLQQKFKGSSVLREGKRFPIQGIISIDDDESDYGDHPEFNVENDRGVECDDNPGKNSPASESMKKSEDADAQDCQVVLEKRSAFKSSKCKTAYTKKSPSRNRYGLNSESESDSSESSSSDCEVMEGSSSDLRKQWEKASLKRKSHIYRKSQSVLEGQESPCSSHSDNHSNVRVENRTKQNQEEPVCSSSKNVNFQEESLSYSNASGDVFVGSAFNPGMECPFARSEQKIDKESSSWWWNSAWGKEAHKKADVKLRGETCMDNCSSLHDESQSVNGCDNRFQNERKDPLRPPPPCSIHKGGTEQCQCHDTRNSFQHGNQRTIGEHSCPSFQQKPNLNVDYERDSIYDKDASLCKSQFLGETCSVSLRNGVSREKGANFTPVPPSFNTCSNVAKHNSTDSKDKDKVDSGILSSIEGDAPFNNSSSDIPISDGKNTLYTLNPDVIHGMQRDIINEREKLKETDEYKRAEEEEWAARQRQLQIQAEEAMRLRKRRKAESLRILDVEKRQKQRVEEMRETQRKDEENLNMKENLRAEVRKELYKLENTCIDMASLLRGLGIHVGGGFYPLSHEVHAAYKRALLKFHPDRASRADIREQVEAEEKFKLISRMKEKILSTSCH >Manes.01G025000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5345225:5351098:1 gene:Manes.01G025000.v8.1 transcript:Manes.01G025000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEETSSNYSMPRTGSGKKAMRSCNMREHLDNVVIIDVDSDGIDNVIIIDVPESLQQKFKGSSVLREGKRFPIQGIISIDDDESDYGDHPEFNVENDRGVECDDNPGKNSPASESMKKSEDADAQDCQVVLEKRSAFKSSKCKTAYTKKSPSRNRYGLNSESESDSSESSSSDCEVMEGSSSDLRKQWEKASLKRKSHIYRKSQSVLEGQESPCSSHSDNHSNVRVENRTKQNQEEPVCSSSKNVNFQEESLSYSNASGDVFVGSAFNPGMECPFARSEQKIDKESSSWWWNSAWGKEAHKKADVKLRGETCMDNCSSLHDESQSVNGCDNRFQNERKDPLRPPPPCSIHKGGTEQCQCHDTRNSFQHGNQRTIGEHSCPSFQQKPNLNVDYERDSIYDKDASLCKSQFLGETCSVSLRNGVSREKGANFTPVPPSFNTCSNVAKHNSTDSKDKDKVDSGILSSIEGDAPFNNSSSDIPISDGKNTLYTLNPDVIHGMQRDIINEREKLKETDEYKRAEEEEWAARQRQLQIQAEEAMRLRKRRKAESLRILDVEKRQKQRVEEMRETQRKDEENLNMKENLRAEVRKELYKLENTCIDMASLLRGLGIHVGGGFYPLSHEVHAAYKRALLKFHPDRASRADIREQVEAEEKFKLISRMKEKILSTSCH >Manes.10G099200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24796053:24797354:-1 gene:Manes.10G099200.v8.1 transcript:Manes.10G099200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGYRIEEERWLTIAEKLLTARDFQGAKSFAIRATESDPRLMEFSDQIIVVADTILAGELRIVSYNGGGSHDYYAILQLPRLSQSMEHVANQYRKLALLLNPTRNRLSFADHAFRLVSEAWMVFSNPSKKAMYDHELQMSQLGKLDQLGQVGQLVPPAQEFPQGQSSQGNVRSNHKISRDGRVVLDEDDMAQEPTQSTRQETQPRMPEPIRPIPQHRITELNHPVPQAVPQAKATEPIRPSPQPVATEPIRTARESTRKASEPKAAVPSRPAPQRNVSEPSRPAAQPTSVESSHGTRSTTQTSVTESDIPSFWTACPYCYILYEYPKGYEDCAIRCQKCKRAFHAVMIPSPPVEGTDTYFCCWAYFPLGFNGHGKCGGGASTNWSLVSAMFSTPLPGGGKSSQSNPSKRSEPKVIYKDDVFIDISDPSDDE >Manes.18G078600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7185154:7190505:-1 gene:Manes.18G078600.v8.1 transcript:Manes.18G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSIWFCMFVVSLIVAISAGESEEKEFVLTLDHSNFTDTVSKHDFIVVEFYAPWCGHCKKLAPEYEKAASILHSHDHPVVLAKIDANEEANKDLATQYEVNGFPTIKILRNGGKSIQEYKGPRDADGIVEYLKKQSGPASVEIKSAEDASNFVGDKKIVIVGVFPKFSGQEFESFIAVAEKLRSDYEFGHTLDAKHLPRGESSVTGPLVRLFKPFDELFVDFKDFNVDALEKFVEESSIPVVTLFNNDPSNHPFVIKFFNSPDAKAMLFTNFSHEAADSFKTKYHETAQQFKGQGIIFLLGDVEASQGAFQYFGVKEDQVPLIIIQTNDGQKYLKAKLEPDHIAPWVKEYQEGKVLPYKKSEPIPEVNNEPVKVVVADSLQDMVINSGKNVLLEFYAPWCGHCKNLAPILEEVAISYQSDPDVVIAKLDATANDIPSDTFDVKGYPTVYLRSASGKLVQYEGDRTKEDIIDFIEKNRDKDKVAQEESPKAKDEL >Manes.10G038112.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3581726:3585757:-1 gene:Manes.10G038112.v8.1 transcript:Manes.10G038112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNSPSLSRTLSPNSFPQIGLQRYHSSFPCCRRGFSFVVRAHQSLAHAQVKSRRRQLIAVSVIAPWVSLVNQTSFAAETKKGFLLVTDKKDGYSFLYPFGWQEVVIDGQDKVFKDVIEPLESVSVNMIPTNKQDIRDFGPPQQIAETLIKKVLAPPSQKTKLIEASEHDVDGKAYYTFEFIAQAPNYTRHALSTISIGNGKFYTLTTGANERRWDKMKDKLHAVVDSFNIFSV >Manes.13G097600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:28877562:28877972:-1 gene:Manes.13G097600.v8.1 transcript:Manes.13G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.06G115000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24594205:24598361:1 gene:Manes.06G115000.v8.1 transcript:Manes.06G115000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITATSLILLMISLFLQQTLSKEILGDAAERNVKQEKEQAHEVHCSRERSRAAWNIIEEYLMPFVERENYQISTKCRLHPDNDLFRNQEQHKIQVDTNDWKCGYCKKSFHAEKYLDQHFDSRHYNLLNVSEGKCLADLCGALHCDFVMNSKSPKTKCKPAAVAKNRHLCESLADTCFPPNQGRSASRLHELFLRQFCNAHTCSGKKKHFPEGRKKETSVIYLAMSILTLMLLPLFYLVVYLYQREMRQGTQELRRIARVGRKTKPS >Manes.06G115000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24594205:24598361:1 gene:Manes.06G115000.v8.1 transcript:Manes.06G115000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITATSLILLMISLFLQQTLSKEILGDAAERNVKQEKEQAHEVHCSRERSRAAWNIIEEYLMPFVERENYQISTKCRLHPDNDLFRNQEQHKIQVDTNDWKCGYCKKSFHAEKYLDQHFDSRHYNLLNVSEGKCLADLCGALHCDFVMNSKSPKTKCKPAAVAKNRHLCESLADTCFPPNQGRSASRLHELFLRQFCNAHTCSGKKKHFPEGRKVRFYLRTAVA >Manes.17G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19788570:19804386:1 gene:Manes.17G028300.v8.1 transcript:Manes.17G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPSFTLTKMLPSSYFHHHNLPFSTQKPLPSKPSISSHNNLCIPRRAYTYSFNNLSYGFKRSVVKSQLNEKVNKVEDLEQKQNQKQPLMNRAYPFHEIEPKWQRYWEDNHTFRTPDEVDTSKPKFYVLDMFPYPSGSGLHVGHPLGYTATDILARFKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKITTLRNINRFRFQLKSLGFSYDWDREISTIEPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDGLDWPESIKEMQRNWIGRSEGAEMDFHVLDDGGKERDIKITVYTTRPDTIFGATYLVVAPEHSLLSSLVSHAQSRSVEEYKDLASRKSDLERTELQKEKTGVFSGCYAKNPANGESIPIWVADYVLGSYGTGAIMAVPAHDTRDYEFAAKYDIPICWVVKPDGEDSQNSGMAYAGEGTILNSSNSTMGLDINGFSSKVAACKVIEWAEKTGNGKKKVNYKLRDWLFARQRYWGEPIPVVFLEDTGEGVPLLETDLPLKLPELDDFTPTGTGEPPLSKAISWVTTIDPLSGKPARRETSTMPQWAGSCWYYLRYMDPKNSKELVDKTKEMYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTEEPFKCVINQGIILGEVQYIAYKDPDGNYVSADSADMLGELHQEIIPEEKVMKSGDSFVLKDDYKIHLVARAHKMSKSRGNVVNPDDVVAEYGADSLRLYEMFMGPFRDSKIWSTSGIEGVHRFLGRTWRLIVGPLLPNGALRDGTVTVDDEPTFVQLRALHKCIAKVTEEIEGTRFNTGISAMMEFINAAYKWDKLPRSIMEAFVLLLSPYAPHIAEELWFRLGHSNSLAYEPFPEANPAYLKDAFVVLPVQINGKTRGTIQVEEGCSEEDAFRFASQDEKLSKYLDGKSIKKRIFVPGKILNVILGPQNFKAGVR >Manes.03G015400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1341649:1343240:1 gene:Manes.03G015400.v8.1 transcript:Manes.03G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSKKFRGVRQRQWGSWVSEIRHPLLKRRIWLGTFETAEAAARAYDQAAILMNGQKAKTNFPRDDDNDHHHDYSSSNDQSPLPPKALSEMLDTKLRKCCKDASPASLTCLRLDDDSSRIGVWQKGAGSDSRSNWVMKVELGNKKTQVIEDGSSLSSSLPALGMEPGKGTSDEEDRIAIQMIEELLNWN >Manes.09G062900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10615022:10620389:-1 gene:Manes.09G062900.v8.1 transcript:Manes.09G062900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKIIKGGHKKPSKSDGHDHGFGPPGSGPASNVVVNHASRPSHAPEGPHNGTMVVAPPPMNSIEILPHFRDVPVSERQHLFLRKLQVCCFQLDFSDTLRSVREKEIKRQTLLELVDFIQSGSGKITETCQDGMIRMISVNIFRCLPPAAYENTGQEVSDPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHCFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPVSIYHQQLSYCIVQFVEKDYKLADTVIRGLLKFWPLTNCQKEVLYLGELEEVLEATQSAEFQRCIVPLFRQIARCLTSSHFQLIGSLEGI >Manes.09G062900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10615022:10620389:-1 gene:Manes.09G062900.v8.1 transcript:Manes.09G062900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKIIKGGHKKPSKSDGHDHGFGPPGSGPASNVVVNHASRPSHAPEGPHNGTMVVAPPPMNSIEILPHFRDVPVSERQHLFLRKLQVCCFQLDFSDTLRSVREKEIKRQTLLELVDFIQSGSGKITETCQDGMIRMISVNIFRCLPPAAYENTGQEVSDPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHCFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPVSIYHQQLSYCIVQFVEKDYKLADTVIRGLLKFWPLTNCQKEVLYLGELEEVLEATQSAEFQRCIVPLFRQIARCLTSSHFQVAERALFLWNNEHIVSLIAQNRSEVLPIIFEALEKNIQSHWNQAVHGLTVNVRKMFMEMDAELFEECERQYEEKESRAKEVEEQREMTWKRLADVASQRGGDGMITV >Manes.09G062900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10615022:10620389:-1 gene:Manes.09G062900.v8.1 transcript:Manes.09G062900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKIIKGGHKKPSKSDGHDHGFGPPGSGPASNVVVNHASRPSHAPEGPHNGTMVVAPPPMNSIEILPHFRDVPVSERQHLFLRKLQVCCFQLDFSDTLRSVREKEIKRQTLLELVDFIQSGSGKITETCQDGMIRMISVNIFRCLPPAAYENTGQEVSDPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHCFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPVSIYHQQLSYCIVQFVEKDYKLADTVIRGLLKFWPLTNCQKEVLYLGELEEVLEATQSAEFQRCIVPLFRQIARCLTSSHFQLIGSLEGI >Manes.09G062900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10614987:10620389:-1 gene:Manes.09G062900.v8.1 transcript:Manes.09G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKIIKGGHKKPSKSDGHDHGFGPPGSGPASNVVVNHASRPSHAPEGPHNGTMVVAPPPMNSIEILPHFRDVPVSERQHLFLRKLQVCCFQLDFSDTLRSVREKEIKRQTLLELVDFIQSGSGKITETCQDGMIRMISVNIFRCLPPAAYENTGQEVSDPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHCFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPVSIYHQQLSYCIVQFVEKDYKLADTVIRGLLKFWPLTNCQKEVLYLGELEEVLEATQSAEFQRCIVPLFRQIARCLTSSHFQVAERALFLWNNEHIVSLIAQNRSEVLPIIFEALEKNIQSHWNQAVHGLTVNVRKMFMEMDAELFEECERQYEEKESRAKEVEEQREMTWKRLADVASQRGGDGMITV >Manes.06G128900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25816421:25820969:1 gene:Manes.06G128900.v8.1 transcript:Manes.06G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPLAMSAIFNSTAHAPSLVHVKLEQQSTLTPPQDQSAPPQPQVKRDPEEPLIVSLQALGENQSKEDEQKGENLSKSIDELCNLSAAVNEFYSRYQELQNHLDFIQSAIDAHSKNQQQPEVIRLETMHPPLLTPIFTEKQTTETATTSSAPAKSELLLLCEMMCGKDLRRYITSHLTNIRKLRAEVPSAIKCAPNPAKLVLDCIGRFYLQGSKAYTKNSPMIPGRKASILALEFFLLIIDSGIEFDSALKQEAEQAALAWRKRLIAEGGLAKASDIDARGLLLFVGCYGIPKAFTNEDVWDLVRLSNSKQIADALRRSQLLVARVSDILERMMNNGMKIEAVDVAYSFGIEDKFPPQKLLNSFLRDTNEASKRRKREANNSPILLKEANEKQLAALKSVIKLLEDRKLDPVKLLPGWQLREMRDKLEKEIADLNKKMEDKVTPKRKADGNEFSNHLKSQETKRSRFAGSPLISSPGIGLHEQRAATHVDGSGLYNASLRMNLLDGGYSGHVNNPSVAGSLLYGSGVGSLSEHVLGTLAGGGTIMHGTGVGLSTAYSIPSNSSFAGVHREMLVDRSGQIMGSSGPAYGWHGVGDAALIDRSRVQSFVHQPASGLFGPSPSIEGFVGLTNSPPTGAAKRSSTSDLYRFADAVM >Manes.14G162132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25502263:25506273:-1 gene:Manes.14G162132.v8.1 transcript:Manes.14G162132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATAKWVFSFLYLTIILSVLFSLHFQIALKLIIGHHKPHLKRSPDLPLRFRDDGTFKILQVADMHYGTGAVTRCRDVLPSEFDFCSDLNTTRFLERMIRSEKPDFIAFTGDNIFGTSTTDAAESLLRAFGPAMDSGLPWAAVLGNHDHESTMNREELMSFISLMDYSVSQTNPYAEDPAGSGKGAMITDIDGFGNYNLRVYGAPGSILANHSVLNLFFLDSGAREVVQGIRTYGWIKESQLRWLRGVSKGYQGQKQDHQLAEGSLSSRPPAMGFFHIPIPEIRQLYNQKVVGHFQEAASCSLVNSGVLQTFVSMGDVKAVFWGHDHNNEFCGKLDGIWFCYGGGFGYHAYGKAGWPRRARIILAELGKGEKSWMGVERIRTWKRLDDEKLSKIDEQSLWELE >Manes.01G080000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28291349:28294997:-1 gene:Manes.01G080000.v8.1 transcript:Manes.01G080000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKDTYREIVISRGGEGEESPVPMKTQSAAERTKSIHPPIGSHWLLPSAGEDKASSSSNIKSMLTYPFKFRDSLKKRGRSQSFQKVLEGAHDPKDERLVESFRELLFVEGILLGKHNDYHTLLRFLRMRDFDLSKAKEMLVNYLKWRECYKVDAIPKDFNFEEYAEVKKCYPHGYHGVDRCGRPIYIERIGIVDLNRLFQVTSIEKFVKYHVSEQEKTLNVRFPACSIAARRHIASMTSILDVNGVGMSNFSKPARCLFMEIQKIDSNYYPETLNQLFIVNAGSGFRMLWKAVRAFLDARTLAKIHVLGYNYQNNLLEAIDASNLPGFLGGNCTCSDYGGCLFSDKGPWNNPEIQEILQAVSATEEVSNTGENNGVASEETPWPK >Manes.01G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28291349:28294997:-1 gene:Manes.01G080000.v8.1 transcript:Manes.01G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKDTYREIVISRGGEGEESPVPMKTQSAAERTKSIHPPIGSHWLLPSAGEDKASSSSNIKSMLTYPFKFRDSLKKRGRSQSFQKVLEGAHDPKDERLVESFRELLFVEGILLGKHNDYHTLLRFLRMRDFDLSKAKEMLVNYLKWRECYKVDAIPKDFNFEEYAEVKKCYPHGYHGVDRCGRPIYIERIGIVDLNRLFQVTSIEKFVKYHVSEQEKTLNVRFPACSIAARRHIASMTSILDVNGVGMSNFSKPARCLFMEIQKIDSNYYPETLNQLFIVNAGSGFRMLWKAVRAFLDARTLAKIHVLGYNYQNNLLEAIDASNLPGFLGGNCTCSDYGGCLFSDKGPWNNPEIQEILQAVSATEEVSNTGENNGVASEETPDSGQSKGANATSTAREDLEFRETKLIEKLQAQKIQALEASLANTNLKLKSLETAIEETKMVLKELAQHVEALRI >Manes.01G080000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28291349:28294997:-1 gene:Manes.01G080000.v8.1 transcript:Manes.01G080000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKDTYREIVISRGGEGEESPVPMKTQSAAERTKSIHPPIGSHWLLPSAGEDKASSSSNIKSMLTYPFKFRDSLKKRGRSQSFQKVLEGAHDPKDERLVESFRELLFVEGILLGKHNDYHTLLRFLRMRDFDLSKAKEMLVNYLKWRECYKVDAIPKDFNFEEYAEVKKCYPHGYHGVDRCGRPIYIERIGIVDLNRLFQVTSIEKFVKYHVSEQEKTLNVRFPACSIAARRHIASMTSILDVNGVGMSNFSKPARCLFMEIQKIDSNYYPETLNQLFIVNAGSGFRMLWKAVRAFLDARTLAKIHVLGYNYQNNLLEAIDASNLPGFLGGNCTCSDYGGCLFSDKGPWNNPEIQEILQAVSATEEVSNTGENNGVASEETPWPK >Manes.01G080000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28291349:28294997:-1 gene:Manes.01G080000.v8.1 transcript:Manes.01G080000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKDTYREIVISRGGEGEESPVPMKTQSAAERTKSIHPPIGSHWLLPSAGEDKASSSSNIKSMLTYPFKFRDSLKKRGRSQSFQKVLEGAHDPKDERLVESFRELLFVEGILLGKHNDYHTLLRFLRMRDFDLSKAKEMLVNYLKWRECYKVDAIPKDFNFEEYAEVKKCYPHGYHGVDRCGRPIYIERIGIVDLNRLFQVTSIEKFVKYHVSEQEKTLNVRFPACSIAARRHIASMTSILDVNGVGMSNFSKPARCLFMEIQKIDSNYYPETLNQLFIVNAGSGFRMLWKAVRAFLDARTLAKIHVLGYNYQNNLLEAIDASNLPGFLGGNCTCSDYGGCLFSDKGPWNNPEIQEILQAVSATEEVSNTGENNGVASEETPWPK >Manes.01G080000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28291349:28294997:-1 gene:Manes.01G080000.v8.1 transcript:Manes.01G080000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKDTYREIVISRGGEGEESPVPMKTQSAAERTKSIHPPIGSHWLLPSAGEDKASSSSNIKSMLTYPFKFRDSLKKRGRSQSFQKVLEGAHDPKDERLVESFRELLFVEGILLGKHNDYHTLLRFLRMRDFDLSKAKEMLVNYLKWRECYKVDAIPKDFNFEEYAEVKKCYPHGYHGVDRCGRPIYIERIGIVDLNRLFQVTSIEKFVKYHVSEQEKTLNVRFPACSIAARRHIASMTSILDVNGVGMSNFSKPARCLFMEIQKIDSNYYPETLNQLFIVNAGSGFRMLWKAVRAFLDARTLAKIHVLGYNYQNNLLEAIDASNLPGFLGGNCTCSDYGGCLFSDKGPWNNPEIQEILQAVSATEEVSNTGENNGVASEETPDSGQSKGANATSTAREDLEFRETKLIEKLQAQKIQALEASLANTNLVSDVHASLVGCSPLNL >Manes.01G080000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28291349:28294997:-1 gene:Manes.01G080000.v8.1 transcript:Manes.01G080000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKDTYREIVISRGGEGEESPVPMKTQSAAERTKSIHPPIGSHWLLPSAGEDKASSSSNIKSMLTYPFKFRDSLKKRGRSQSFQKVLEGAHDPKDERLVESFRELLFVEGILLGKHNDYHTLLRFLRMRDFDLSKAKEMLVNYLKWRECYKVDAIPKDFNFEEYAEVKKCYPHGYHGVDRCGRPIYIERIGIVDLNRLFQVTSIEKFVKYHVSEQEKTLNVRFPACSIAARRHIASMTSILDVNGVGMSNFSKPARCLFMEIQKIDSNYYPETLNQLFIVNAGSGFRMLWKAVRAFLDARTLAKIHVLGYNYQNNLLEAIDASNLPGFLGGNCTCSDYGGCLFSDKGPWNNPEIQEILQAVSATEEVSNTGENNGVASEETPDSGQSKGANATSTAREDLEFRETKLIEKLQAQKIQALEASLANTNLVSDVHASLVGCSPLNL >Manes.16G069600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26556953:26562803:-1 gene:Manes.16G069600.v8.1 transcript:Manes.16G069600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVEKVEKERIRKRPRLAWDVGPSEPEAQRDLVVVGNEGASRHASPPKRDDDREGHYVFNLGENLTPRCTFGRVLECWDRQTREYVAIKVVRSIRKYRDAAMIEVDILQHLAKNDKARGSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLAREFGRQLLESVAYMHDLRLIHTDLKPENVLLVSSEYIRVPGCKRNSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEVILGVGWTYPCDLWSVGCVLVELCLGEALFQTHENLEHLAMMERVLGPLPEHMIQKANRGAEKYFRRGLRLNWPEGAISRESIRAVRKLDRLKDMLAQRVENCRSSLVDLLHGLLKYEPSERLTAQQALNHPFFQNPT >Manes.16G069600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26556953:26562802:-1 gene:Manes.16G069600.v8.1 transcript:Manes.16G069600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVEKVEKERIRKRPRLAWDVGPSEPEAQRDLVVVGNEGASRHASPPKRDDDREGHYVFNLGENLTPRYKILSKMGEGTFGRVLECWDRQTREYVAIKVVRSIRKYRDAAMIEVDILQHLAKNDKARGSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLAREFGRQLLESVAYMHDLRLIHTDLKPENVLLVSSEYIRVPGCKRNSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEVILGVGWTYPCDLWSVGCVLVELCLGEALFQTHENLEHLAMMERVLGPLPEHMIQKANRGAEKYFRRGLRLNWPEGAISRESIRAVRKLDRLKDMLAQRVENCRSSLVDLLHGLLKYEPSERLTAQQALNHPFFQNPT >Manes.16G069600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26556953:26562802:-1 gene:Manes.16G069600.v8.1 transcript:Manes.16G069600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGRVLECWDRQTREYVAIKVVRSIRKYRDAAMIEVDILQHLAKNDKARGSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLAREFGRQLLESVAYMHDLRLIHTDLKPENVLLVSSEYIRVPGCKRNSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEVILGVGWTYPCDLWSVGCVLVELCLGEALFQTHENLEHLAMMERVLGPLPEHMIQKANRGAEKYFRRGLRLNWPEGAISRESIRAVRKLDRLKDMLAQRVENCRSSLVDLLHGLLKYEPSERLTAQQALNHPFFQNPT >Manes.16G069600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26556953:26562802:-1 gene:Manes.16G069600.v8.1 transcript:Manes.16G069600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVEKVEKERIRKRPRLAWDVGPSEPEAQRDLVVVGNEGASRHASPPKRDDDREGHYVFNLGENLTPRYKILSKMGEGTFGRVLECWDRQTREYVAIKVVRSIRKYRDAAMIEVDILQHLAKNDKARGSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLAREFGRQLLESVAYMHDLRLIHTDLKPENVLLVSSEYIRVPGCKRNSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEVILGVGWTYPCDLWSVGCVLVELCLGEALFQTHENLEHLAMMERVLGPLPEHMIQKANRGAEKYFRRGLRLNWPEGAISRESIRAVRKLDRLKDMLAQRVENCRSSLVDLLHGLLKYEPSERLTAQQALNHPFFQNPT >Manes.16G069600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26556953:26562803:-1 gene:Manes.16G069600.v8.1 transcript:Manes.16G069600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVEKVEKERIRKRPRLAWDVGPSEPEAQRDLVVVGNEGASRHASPPKRDDDREGHYVFNLGENLTPRCTFGRVLECWDRQTREYVAIKVVRSIRKYRDAAMIEVDILQHLAKNDKARGSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLAREFGRQLLESVAYMHDLRLIHTDLKPENVLLVSSEYIRVPGCKRNSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEVILGVGWTYPCDLWSVGCVLVELCLGEALFQTHENLEHLAMMERVLGPLPEHMIQKANRGAEKYFRRGLRLNWPEGAISRESIRAVRKLDRLKDMLAQRVENCRSSLVDLLHGLLKYEPSERLTAQQALNHPFFQNPT >Manes.16G069600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26556953:26562802:-1 gene:Manes.16G069600.v8.1 transcript:Manes.16G069600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGRVLECWDRQTREYVAIKVVRSIRKYRDAAMIEVDILQHLAKNDKARGSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYCPFPVDLAREFGRQLLESVAYMHDLRLIHTDLKPENVLLVSSEYIRVPGCKRNSSDETHFRCLPKSSAIKLIDFGSTAFDNQNHSSIVSTRHYRAPEVILGVGWTYPCDLWSVGCVLVELCLGEALFQTHENLEHLAMMERVLGPLPEHMIQKANRGAEKYFRRGLRLNWPEGAISRESIRAVRKLDRLKDMLAQRVENCRSSLVDLLHGLLKYEPSERLTAQQALNHPFFQNPT >Manes.04G063974.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24543616:24544281:1 gene:Manes.04G063974.v8.1 transcript:Manes.04G063974.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIAVSSRGRGHSQQLSMDEIDEAVQVQEETQEHTPQALGGQANASSPSSVRTRGPNLGHSIPSNPSDRQLIRLKGNVFLYFTVTRSITNDIKICYTAPWKTWLEIPLKTRDQLFELFWSEEDMVQIAWEKVVRSELLCKHKKTDVAY >Manes.02G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7255578:7262067:1 gene:Manes.02G092600.v8.1 transcript:Manes.02G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYNDAFMRNQNAAVRGTTKGQNRANVLQLKLIGQSHPTGLTANLLKLFEPRPPLEYKPPPEKRKCPPYTGMGQFVSQFAEPGDPEYAPPVQKAETPAQKRARIHKLRLEKGAEKAAEELQKYDPISDPNISGDPYKTLFVARLSYETTESRIKREFESYGPIKRVRLITDTVTNKPKGYAFIEYVHTRDMKAAYKQADGRKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHSGRELQQSGRSSLSEEPRVREDRHGERDREKSRERGGRERERERERSHERSHEKSRDRDHREDRHHKDRDREKDRERERERGRDKDRDRDRARDRDRGRDRGRDYDRDRDKDRSRDHDRDRHRERDYEVGDIDRGHSRDRDSDYDRVDSKHERGRHVDGDYDHAELEDNIGWYEKPEQGYRRPDAEHDRRYGHYEDHRSRGQYDHVEVQGDHDHYDQYPDRGHDRYDRMDDDDYNREASESHEREHEYRRSERSLSRDYDN >Manes.05G137052.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:15630536:15631609:1 gene:Manes.05G137052.v8.1 transcript:Manes.05G137052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKNRDTLPFDPEIERTLRRLRKQAAKASSEAIEFYQQAAPMAEPIPQDAAPNGLAIQNQIVQENPANRPQEQRERTMGELATPVGDYAPLCITYPPLTVPFELKIGLIHILPKFRGRENENPHKHLKAFNMICSSMKPQGISEDQIKLRAFPFSLEDFAKDWLFYLPPGSITSWDDMVKAFLNKYFPTHKSIGIIREITSIKQKPSEDLYDYWERFERLCTECPQHDMSDKALIQFFFGGLSPSERKFIYVTCGGSIEDKTPRQMRELISTLAASSRQYGEERQLQRANEVNFPSMSELTSVIKNAVVDVVQQIQAPQPPRPCGICLCVGHPTDQCPTLQEDHQQANAIGRYNN >Manes.02G163200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12798760:12800858:-1 gene:Manes.02G163200.v8.1 transcript:Manes.02G163200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYATNRSAISSFCLLLLTFAGILNPTFALKSCKFPAIFNFGDSNSDTGGLPAAFGPPNRPYGNTFFHMPSGRYSDGRVIIDFIAESFNLPYLSAYLNSLGTSFTHGANFATGASTIRLPSSVIPSGISSPFFLDVQFQQFVQFKNRTKLIRKQRGLFAKLMPKEEYFRNALYTFDIGQNDLEAGLLLNKSFEEVKASVPDILNRLSVNIKNISNLGGRSFWIHNTRPIGCLPYILMNFPSAERDEAGCAKAFNEVAQHFNSRLKETIAQLRKEIPSAAFTYVDIYSVKYSLISQPQEYGFELPLVACCGYGGKYNNSKTARCGSPAIINGSQIILDSSCDRPWVRVSWDGVHYTEAANKFTFDQISTGAFSDPPIPLNFACHTSTN >Manes.07G121200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32561361:32564366:1 gene:Manes.07G121200.v8.1 transcript:Manes.07G121200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIVSFAIERIADVLVREASLLYGVRDEVEQLQTELKRIRCFLKDADSKQDQDERVSNWVAEIRDIAYEAEDVIDTFLLLRAQTGSKRRVCGLVKRATSMFTKVPDLHEIGTQIKSIQAKIVSVSASMQNYGIKLDPEGEGSRSTSESQRRFRRSYPHDQEDDVISLEVTIRDVKSQLLMEEEQRRVVSLVGMGGLGKTTLAKTIYNDIHVRQHFDCLSWSFLSQQFSTRDVLVGILMEVASKQDKFELVKLEEEKLLQSRLESIERNVDSMKVKDLSEEQKKRMKEEQLSESMFKSMLKQEKRTEEEQLFKSVMKQIKVEPMFNSMLERMKEEKLVETLFKVLESKRYLVVLDDIWKNEAWDGLKHAFPSTGKKGSKVLLTTRNKEVASYADPWSTPVEPSLLTNNEAWQLLSKKAFRRATLIEHGCPPEYEKLGREMVKKCGGLPLAVVVLGGLLATKKTLKEWEAVLRNINAQFVKWEKKNQYGGVYGILALSYHDMPFYLKPFFLYFSQFPEDWEIHKRVLIRMWIAEGFVPRALAREGEETMEDVGEQCLEELVGRCMIQVSRRDHTGIGIKTCRVHDLMRDMCILKAREENFLGVFEHYRKNIVARRIAIHPEISPEFAGQCSVPLQQGGNRGLRSVSYFLEEQRYWLTIDQKILIFKDSRMLRVLNLKGVYIGNLPNEIGDLIHLRYLGLRKTELSSETSLPMSIGKLKSLYTFDVRDNQLGRLPDVVWKLEDLRHLLIDLHNILGNCQMDTLRNLETLRWAHCENLVLRNAMQNLTNLRNLAVNFKRMEDIDRVMKSPIFSTGRLRSLNMQGKGSSFPNLEPLSHCQCLTKLELHGIIPEDPELVHHNLGYLPGSITKLVLSNSQLMQDPMIFLEKLPSLSFLYLGEVSYKGTKMLCSAQGFPQLEILELDGLAALQAWEIKEGAFPCLKILRLERLGKLKMIPEGLKSVTNLQELKVTNMTETFAKRIRVINGVEGEDFEKVRHIPSIST >Manes.07G121200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32561226:32564588:1 gene:Manes.07G121200.v8.1 transcript:Manes.07G121200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASKQDKFELVKLEEEKLLQSRLESIERNVDSMKVKDLSEEQKKRMKEEQLSESMFKSMLKQEKRTEEEQLFKSVMKQIKVEPMFNSMLERMKEEKLVETLFKVLESKRYLVVLDDIWKNEAWDGLKHAFPSTGKKGSKVLLTTRNKEVASYADPWSTPVEPSLLTNNEAWQLLSKKAFRRATLIEHGCPPEYEKLGREMVKKCGGLPLAVVVLGGLLATKKTLKEWEAVLRNINAQFVKWEKKNQYGGVYGILALSYHDMPFYLKPFFLYFSQFPEDWEIHKRVLIRMWIAEGFVPRALAREGEETMEDVGEQCLEELVGRCMIQVSRRDHTGIGIKTCRVHDLMRDMCILKAREENFLGVFEHYRKNIVARRIAIHPEISPEFAGQCSVPLQQGGNRGLRSVSYFLEEQRYWLTIDQKILIFKDSRMLRVLNLKGVYIGNLPNEIGDLIHLRYLGLRKTELSSETSLPMSIGKLKSLYTFDVRDNQLGRLPDVVWKLEDLRHLLIDLHNILGNCQMDTLRNLETLRWAHCENLVLRNAMQNLTNLRNLAVNFKRMEDIDRVMKSPIFSTGRLRSLNMQGKGSSFPNLEPLSHCQCLTKLELHGIIPEDPELVHHNLGYLPGSITKLVLSNSQLMQDPMIFLEKLPSLSFLYLGEVSYKGTKMLCSAQGFPQLEILELDGLAALQAWEIKEGAFPCLKILRLERLGKLKMIPEGLKSVTNLQELKVTNMTETFAKRIRVINGVEGEDFEKVRHIPSIST >Manes.15G188210.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31313998:31314691:-1 gene:Manes.15G188210.v8.1 transcript:Manes.15G188210.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLKSQAWIQWLPMAEWWYNSTLHSALKMSLFQTLYGYPPFSFPMELPIDIIVDNVDQFLHDRQKLGQLLKENLDGKVAYKLEEPPESSIHPVFHVYLLTKKVGESPIISTVLPNLRDDTFVVASEKVLRTRTVLRSTDYVIQGLIKWVNLSLEDATREDKTFIETQFPTHCLS >Manes.05G203200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32834430:32844031:-1 gene:Manes.05G203200.v8.1 transcript:Manes.05G203200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTNGSDLKSAHPPPPPQQQQQQQPHQNQRQQQQQWVPHMPPHPQQQWMAAMQYPAAAMVMMQQQMMMYPQQHHHYMAYYQQQQQQYQKQQQQYQKHYKSQPQGASEEVKTIWVGDLLHWMDETYLHNCFSHTGEVSSVKVIRNKQTGQPEGYGFVEFYSHSTAEKVLQNYNNSVMPNTDQPFRLNWASFAGDRRLEAGSDLSIFVGDLAADVTDAMLQETFVSKYPSVKGAKVVTDPNTGCSKGYGFVRFADENERSRAMVEMNGIYCSSRPMRIGVATPKKSSSYQQYSSQALVLAGGHAPNGAVAQGAQSDGDSNNTTIFVGGIDSDISDEDLRQPFSQFGEVVSVKIPVGKGCGFVQFANRKSAEDALQSLNGTTIGKQNVRLSWGRSPANKQWRGDHKYNQWNGAYFGEQGHGGYGYAMAPNQDQNMYVAAAASGAS >Manes.01G077200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28053528:28059079:1 gene:Manes.01G077200.v8.1 transcript:Manes.01G077200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDFDLNPVQMHTDPLKEVLKQTILDQDVVFKNQVDASTISQELLKDCQVTYPKLQHRPLDLQLSADEFINHVEEDLPKKGNFQNCLYGLRDFQLPLSCSNFSDAEELKLSLSIVGNDRPIEGTMRTCFMKKSYSYSQNVIDLEESFERIQDGYGKSPPPHGCAFLGTHSECMHESQGSPFIDPTISTIVKKDLSHVIAEISIQEHSECCQEETFSCEGFRECHDDFPSDNLSTKRQLFTSDFGGQLDLNKVNLDDSSCCSDDHMLAYPSTSSRGSSDGLTGSMQDGTCPRTFKKNEATDCLNEPSELLKKDNAVNLTFIDFNSKNKGTDIWAINNNIVGSFVGPESMLSPTIGISEELCCCSRDHKNDSVELKPKLASEVSCDKSEIGNANFSCTAQSQNTIDRHGNKSPASCKSCISDNDSSSAKTKHYLGSQVADVLTGKPDQRASDSGDLKIGCYKRKEESAEVDVLMQQAAELLIHLSSERSACDEDSSEKVGSKEMEDCKRERPECSFDSFELITLNLEETNMDDNSVSSKPFEVNDMEMKDFGLKLRRGRRMKDFQREILPSLASLSRHEILEDVNIMEGVLRSREYRKYRAKMATQGEKWSAPVRSRRSRLRYAGRRNFS >Manes.01G077200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28053556:28058702:1 gene:Manes.01G077200.v8.1 transcript:Manes.01G077200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDFDLNPVQMHTDPLKEVLKQTILDQDVVFKNQVHELHRLYRVQESLMKDFGWKECNRYNSWDPKAQSSPLPLIHPTRYQSSTKENGFSSTVKVDASTISQELLKDCQVTYPKLQHRPLDLQLSADEFINHVEEDLPKKGNFQNCLYGLRDFQLPLSCSNFSDAEELKLSLSIVGNDRPIEGTMRTCFMKKSYSYSQNVIDLEESFERIQDGYGKSPPPHGCAFLGTHSECMHESQGSPFIDPTISTIVKKDLSHVIAEISIQEHSECCQEETFSCEGFRECHDDFPSDNLSTKRQLFTSDFGGQLDLNKVNLDDSSCCSDDHMLAYPSTSSRGSSDGLTGSMQDGTCPRTFKKNEATDCLNEPSELLKKDNAVNLTFIDFNSKNKGTDIWAINNNIVGSFVGPESMLSPTIGISEELCCCSRDHKNDSVELKPKLASEVSCDKSEIGNANFSCTAQSQNTIDRHGNKSPASCKSCISDNDSSSAKTKHYLGSQVADVLTGKPDQRASDSGDLKIGCYKRKEESAEVDVLMQQAAELLIHLSSERSACDEDSSEKVGSKEMEDCKRERPECSFDSFELITLNLEETNMDDNSVSSKPFEVNDMEMKDFGLKLRRGRRMKDFQREILPSLASLSRHEILEDVNIMEGVLRSREYRKYRAKMATQGEKWSAPVRSRRSRLRYAGRRNFS >Manes.01G077200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28053528:28059079:1 gene:Manes.01G077200.v8.1 transcript:Manes.01G077200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDFDLNPVQMHTDPLKEVLKQTILDQDVVFKNQVDASTISQELLKDCQVTYPKLQHRPLDLQLSADEFINHVEEDLPKKGNFQNCLYGLRDFQLPLSCSNFSDAEELKLSLSIVGNDRPIEGTMRTCFMKKSYSYSQNVIDLEESFERIQDGYGKSPPPHGCAFLGTHSECMHESQGSPFIDPTISTIVKKDLSHVIAEISIQEHSECCQEETFSCEGFRECHDDFPSDNLSTKRQLFTSDFGGQLDLNKVNLDDSSCCSDDHMLAYPSTSSRGSSDGLTGSMQDGTCPRTFKKNEATDCLNEPSELLKKDNAVNLTFIDFNSKNKGTDIWAINNNIVGSFVGPESMLSPTIGISEELCCCSRDHKNDSVELKPKLASEVSCDKSEIGNANFSCTAQSQNTIDRHGNKSPASCKSCISDNDSSSAKTKHYLGSQVADVLTGKPDQRASDSGDLKIGCYKRKEESAEVDVLMQQAAELLIHLSSERSACDEDSSEKVGSKEMEDCKRERPECSFDSFELITLNLEETNMDDNSVSSKPFEVNDMEMKDFGLKLRRGRRMKDFQREILPSLASLSRHEILEDVNIMEGVLRSREYRKYRAKMATQGEKWSAPVRSRRSRLRYAGRRNFS >Manes.01G077200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28053525:28059079:1 gene:Manes.01G077200.v8.1 transcript:Manes.01G077200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDFDLNPVQMHTDPLKEVLKQTILDQDVVFKNQVHELHRLYRVQESLMKDFGWKECNRYNSWDPKAQSSPLPLIHPTRYQSSTKENGFSSTVKVDASTISQELLKDCQVTYPKLQHRPLDLQLSADEFINHVEEDLPKKGNFQNCLYGLRDFQLPLSCSNFSDAEELKLSLSIVGNDRPIEGTMRTCFMKKSYSYSQNVIDLEESFERIQDGYGKSPPPHGCAFLGTHSECMHESQGSPFIDPTISTIVKKDLSHVIAEISIQEHSECCQEETFSCEGFRECHDDFPSDNLSTKRQLFTSDFGGQLDLNKVNLDDSSCCSDDHMLAYPSTSSRGSSDGLTGSMQDGTCPRTFKKNEATDCLNEPSELLKKDNAVNLTFIDFNSKNKGTDIWAINNNIVGSFVGPESMLSPTIGISEELCCCSRDHKNDSVELKPKLASEVSCDKSEIGNANFSCTAQSQNTIDRHGNKSPASCKSCISDNDSSSAKTKHYLGSQVADVLTGKPDQRASDSGDLKIGCYKRKEESAEVDVLMQQAAELLIHLSSERSACDEDSSEKVGSKEMEDCKRERPECSFDSFELITLNLEETNMDDNSVSSKPFEVNDMEMKDFGLKLRRGRRMKDFQREILPSLASLSRHEILEDVNIMEGVLRSREYRKYRAKMATQGEKWSAPVRSRRSRLRYAGRRNFS >Manes.01G077200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28054909:28058722:1 gene:Manes.01G077200.v8.1 transcript:Manes.01G077200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDFDLNPVQMHTDPLKEVLKQTILDQDVVFKNQVHELHRLYRVQESLMKDFGWKECNRYNSWDPKAQSSPLPLIHPTRYQSSTKENGFSSTVKVDASTISQELLKDCQVTYPKLQHRPLDLQLSADEFINHVEEDLPKKGNFQNCLYGLRDFQLPLSCSNFSDAEELKLSLSIVGNDRPIEGTMRTCFMKKSYSYSQNVIDLEESFERIQDGYGKSPPPHGCAFLGTHSECMHESQGSPFIDPTISTIVKKDLSHVIAEISIQEHSECCQEETFSCEGFRECHDDFPSDNLSTKRQLFTSDFGGQLDLNKVNLDDSSCCSDDHMLAYPSTSSRGSSDGLTGSMQDGTCPRTFKKNEATDCLNEPSELLKKDNAVNLTFIDFNSKNKGTDIWAINNNIVGSFVGPESMLSPTIGISEELCCCSRDHKNDSVELKPKLASEVSCDKSEIGNANFSCTAQSQNTIDRHGNKSPASCKSCISDNDSSSAKTKHYLGSQVADVLTGKPDQRASDSGDLKIGCYKRKEESAEVDVLMQQAAELLIHLSSERSACDEDSSEKVGSKEMEDCKRERPECSFDSFELITLNLEETNMDDNSVSSKPFEVNDMEMKDFGLKLRRGRRMKDFQREILPSLASLSRHEILEDVNIMEGVLRSREYRKYRAKMATQGEKWSAPVRSRRSRLRYAGRRNFS >Manes.01G077200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28053525:28059079:1 gene:Manes.01G077200.v8.1 transcript:Manes.01G077200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDFDLNPVQMHTDPLKEVLKQTILDQDVVFKNQVHELHRLYRVQESLMKDFGWKECNRYNSWDPKAQSSPLPLIHPTRYQSSTKENGFSSTVKVDASTISQELLKDCQVTYPKLQHRPLDLQLSADEFINHVEEDLPKKGNFQNCLYGLRDFQLPLSCSNFSDAEELKLSLSIVGNDRPIEGTMRTCFMKKSYSYSQNVIDLEESFERIQDGYGKSPPPHGCAFLGTHSECMHESQGSPFIDPTISTIVKKDLSHVIAEISIQEHSECCQEETFSCEGFRECHDDFPSDNLSTKRQLFTSDFGGQLDLNKVNLDDSSCCSDDHMLAYPSTSSRGSSDGLTGSMQDGTCPRTFKKNEATDCLNEPSELLKKDNAVNLTFIDFNSKNKGTDIWAINNNIVGSFVGPESMLSPTIGISEELCCCSRDHKNDSVELKPKLASEVSCDKSEIGNANFSCTAQSQNTIDRHGNKSPASCKSCISDNDSSSAKTKHYLGSQVADVLTGKPDQRASDSGDLKIGCYKRKEESAEVDVLMQQAAELLIHLSSERSACDEDSSEKVGSKEMEDCKRERPECSFDSFELITLNLEETNMDDNSVSSKPFEVNDMEMKDFGLKLRRGRRMKDFQREILPSLASLSRHEILEDVNIMEGVLRSREYRKYRAKMATQGEKWSAPVRSRRSRLRYAGRRNFS >Manes.13G023400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3266509:3268909:1 gene:Manes.13G023400.v8.1 transcript:Manes.13G023400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENMQILQNGGLKCKISHPYAMPRPIERRLYCIDKTNTKLARFSLQGMVKAQKTPKIKLASYAGHQEMPVIGMGTAADPFDEATMKTAVLEAIKVGYKHFDTAPLYRSEKALGEAIAEALSLGLIGSRDELFITSKLWCSDAHSDLVIPALNNSLRNLQLEYLDLYLIHWPISCKPGRSMFPIPKDELLPMDFKSVWAAMEECQQLGLTKAIGVCNFSCKKLEHLLAFATIPPAVNQVEMSPLWQQKKLREFCKANDIVVTAYSPLGAKGARWGTSFVMDNEVLNEIAKTRGKTVAQVCLRWVHEQGTSFIVKSYRKGRLKENMDIFDWALLEDDHERINQIPQRRLQPKEQLVSANGPYKSLEELWDGEI >Manes.15G114700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9109896:9114914:-1 gene:Manes.15G114700.v8.1 transcript:Manes.15G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGNSVIVQNRVPIPITLPFLKHRPTSKSINFQSNVHFHYKRPLTPFSCVAKSTTVSTTPTTTSGWSEFARNVSGEWDGFGADFTPQGNPIELPESAVPEAFREWEVKVFDWQTQCPTLARPEDLHMIHKNIKLLPTVGCEADAATRYSIDERSVGGADDKVSAFAYQSSGCYVAVWPIENQLELEYCLINPQDRESRVRIIQVIQIDNAKITLKNIRVFVEHWYGPFRDGDQLGGCAILNSAFASTAALEASQVVGHWEGSRGVTSFCATQTGSLQELKDNGVQKLVRDDSDLILLPKQLWCSLKGSKDGETFCEAGWLFNHPFAITSRCIFSSNANLKEISIARETATSQGV >Manes.09G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32495385:32498518:1 gene:Manes.09G122200.v8.1 transcript:Manes.09G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHHPWRGYLCKLNNVIHTPSIITPINCCRQFSFYSSFSRETREMVSENPFSSSKRSPSPKFSNSFTNRIFSDVAGDITIVVDGDSFLLHKFPLVSRSGKIRKMVADAKDSNVSKMELENFPGGSHTFELAMKFCYSMNFEITPANLAPLRCAAEYLEMTEDYRDENLIERTEVYLNDIVLQSLEKSVEVLSTCEMLPSIAEEVGIPSRCIDAIAINACKEQLVSGLSRLDCDAESTELKSGCIEWWIEDLSVLKIDYYERVICAMCKVGVRSDSIIASLMHYAQTSLKGIGKYQIWNPSKVKQSPSMAENDQCSIMATLVSLLPAEKSSSIPLSFLFGMLRMSIMLDATIACRLELERRIAFRLEMVSLDDLLIPSVRSGDSLFDVDTVHRILVNFLQRIEEENVDCGYESDGLGSSGYGSLLKVGRLIDAYLAEIAPDPYLSLQKFIAMIEILPDYARVIDDGLYRAIDIYLKAHAILSEHESKKLCKFIDCQKLSQEASNHAAQNDRLPVQMTVRVLYFEQLRLKNAISGNSGDGFLSQRISSGVPSAVMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMYMKQGMIDKSGNGKTFLTSLSKGIGRIGIFSSPTGGRRQKSSRKYRGSEGKPGRNRRSFVS >Manes.09G122200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32496212:32498518:1 gene:Manes.09G122200.v8.1 transcript:Manes.09G122200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGTSQLLWMGIHFYCTRLCSLNSDLLWWNQCLQKILAHHFRSLQFPLVSRSGKIRKMVADAKDSNVSKMELENFPGGSHTFELAMKFCYSMNFEITPANLAPLRCAAEYLEMTEDYRDENLIERTEVYLNDIVLQSLEKSVEVLSTCEMLPSIAEEVGIPSRCIDAIAINACKEQLVSGLSRLDCDAESTELKSGCIEWWIEDLSVLKIDYYERVICAMCKVGVRSDSIIASLMHYAQTSLKGIGKYQIWNPSKVKQSPSMAENDQCSIMATLVSLLPAEKSSSIPLSFLFGMLRMSIMLDATIACRLELERRIAFRLEMVSLDDLLIPSVRSGDSLFDVDTVHRILVNFLQRIEEENVDCGYESDGLGSSGYGSLLKVGRLIDAYLAEIAPDPYLSLQKFIAMIEILPDYARVIDDGLYRAIDIYLKAHAILSEHESKKLCKFIDCQKLSQEASNHAAQNDRLPVQMTVRVLYFEQLRLKNAISGNSGDGFLSQRISSGVPSAVMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMYMKQGMIDKSGNGKTFLTSLSKGIGRIGIFSSPTGGRRQKSSRKYRGSEGKPGRNRRSFVS >Manes.09G122200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32496231:32498519:1 gene:Manes.09G122200.v8.1 transcript:Manes.09G122200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGCEQFQIFSDVAGDITIVVDGDSFLLHKFPLVSRSGKIRKMVADAKDSNVSKMELENFPGGSHTFELAMKFCYSMNFEITPANLAPLRCAAEYLEMTEDYRDENLIERTEVYLNDIVLQSLEKSVEVLSTCEMLPSIAEEVGIPSRCIDAIAINACKEQLVSGLSRLDCDAESTELKSGCIEWWIEDLSVLKIDYYERVICAMCKVGVRSDSIIASLMHYAQTSLKGIGKYQIWNPSKVKQSPSMAENDQCSIMATLVSLLPAEKSSSIPLSFLFGMLRMSIMLDATIACRLELERRIAFRLEMVSLDDLLIPSVRSGDSLFDVDTVHRILVNFLQRIEEENVDCGYESDGLGSSGYGSLLKVGRLIDAYLAEIAPDPYLSLQKFIAMIEILPDYARVIDDGLYRAIDIYLKAHAILSEHESKKLCKFIDCQKLSQEASNHAAQNDRLPVQMTVRVLYFEQLRLKNAISGNSGDGFLSQRISSGVPSAVMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMYMKQGMIDKSGNGKTFLTSLSKGIGRIGIFSSPTGGRRQKSSRKYRGSEGKPGRNRRSFVS >Manes.18G015400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1638932:1645840:1 gene:Manes.18G015400.v8.1 transcript:Manes.18G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKQKHMMMGSVEEKIKAGGLVGGIQTNLLEEMKLLKEIQDHSGTRKTINSELWYACAGPLVSLPQVGSLVYYFPQGHSEQVAVSTKRTATSQIPNYPNLPSQLLCQVHNVTLHADKDTDEIYAQMSLQPVNSEKDVFPIPDFGLKPSKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPALDYTMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSIFVGTKRLKAGDSVLFIRDEKSQLLVGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPLAKHRKAVYGTQVSVGMRFGMMFETEESGKRRYMGTIVGISDIDPLRWPGSKWRNLQVEWDEPGCSDKQNRVSPWEIETPESLFIFPSLTSGLKRPLHSGFLGGETEWGNLIKRPLIWLPENGNGNFPYSSIPNTCSERLLKMLMKPHDNHPGIYESALPEIAASKGTPLDDMKAMQGTVNQMPQLNQSVAMSVENQTYSQFCASQSNAMISSSSKINLPGKLHPPCNLENQTADGISNEKLKSEPDHSTDKLSQVTSVGESNEEKSSSSPTNLQNCGNQMDFQNQNQAQLHAQSSLWSVQPLLEPSGVHPQQINISQADSIPLSGSLPFLDTDEWISNPSCISLPGMYGSSGPLSMFGLQEQSAGLPDPSIPLMNQGLWDQQLNNLRFLSPGSHLIPLAPQEPCNLNSSGAKDLSDESNDQSGIYDTLNIDVGNGGSAVIDPSVSNVILDEFCTSKGVDFQNPSDCLVGNFSSSQDVQSQITSASLADSQAFSQQEFPDSLGGTPSSNVDFEKGNYMQNNSWQQVAPRVRTYTKVQKAGSVGRSIDVSSFRNYEELCSAIECMFGLEGLLNNPRESGWKLVYVDYENDVLLIGDDPWEEFVGCVRCIRILSPSEVQQMSEEGMKLLNNANIQGLAASVTEGSRA >Manes.16G115900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32057156:32059964:1 gene:Manes.16G115900.v8.1 transcript:Manes.16G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNSMSSTKKFFQKSLESFKSFFSGNSYQRMPKTSPYDNPRTYNHLATDINVQNRFKAKDLDTFYDDFNDRWENDEGKARKRNKKKRIPPSSAAKQEQDELNGSFMKFSKAIPVKDYQIQKRDDYNYNPKNKLKGFQGGKKWQLENSSNYKDTRDQGRSWLLAQKLKELEMMDVCNVDHVLDIEEVRHYYSRLTCPAYLDIVEKFFMDMYTEFFGPPGTPRSVNSRKIRLPSMRS >Manes.14G084200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6968872:6971470:-1 gene:Manes.14G084200.v8.1 transcript:Manes.14G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVSRIAAWNSDQLPIYEPGLDEVVKQCRGKNLFFSTDVEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSRGIKYQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPDGQKAIQALKDVYAHWVPEDRIISTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKNRFVNRLVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAHLKIYDPQVTEDQIQRDLSMNKFDWDHPVHLQPMSPGAVKQVSVAWDAYEATKDAHGICILTEWDEFKTLDYQRIYDNMQKPAFVFDGRNIVNVDKLREIGFIVYSIGKPLDPWLKDMPAVA >Manes.14G084200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6968874:6971436:-1 gene:Manes.14G084200.v8.1 transcript:Manes.14G084200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVSRIAAWNSDQLPIYEPGLDEVVKQCRGKNLFFSTDVEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSRGIKYQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPDGQKAIQALKDVYAHWVPEDRIISTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKNRFVNRLVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAHLKIYDPQVTEDQIQRDLSMNKFDWDHPVHLQPMSPGAVKQVSVAWDAYEATKDAHGICILTEWDEFKTLDYQRIYDNMQKPAFVFDGRNIVNVDKLREIGFIVYSIGKPLDPWLKDMPAVA >Manes.06G043801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13761764:13765134:1 gene:Manes.06G043801.v8.1 transcript:Manes.06G043801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTLLGVANDIGENVGLLPGIACNKFPPWSILLIGAFACFFGYGVLWLALSRTVQSMPYWLLWLALCIATNSSAWLTTSVLVTNMRNFPLSRGTVAGILKGYGGISAAVFTAIYSMLLDSSSSKLLVFLALGIPVLCFLMMYFVRACTPASGEDSSEHAHFLFTQAALITLGLYILITTILDRILHLSTPITYTFLIIMFILLMAPLAIPIKMTFYRSRTGKSGMPDQSVGSSENLVQEEAIADKTEPLLKSSSSAHILGSFHENEEASEVAMLLAEGEGAVKKKRRPKRGEDFKFSEAVVKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGLHDTTILLSLFSFCNFVGRLGGGTVSEHFVRSKTIPRTIWMTCTQVIMIITYLLFASGIDGTLFAATALLGICYGVQFSIMIPTVSELFGLNHFGVFYNFMSLGNPLGAFLFSGLLAGYVYDTEAEKQHGLNLLPGSSISCIGPQCFRLTFLVLAGVCGVGSILSLILTMRIWPVYEMLYAGGSFRLRQTSTH >Manes.13G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1418821:1426859:-1 gene:Manes.13G010500.v8.1 transcript:Manes.13G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHVFGFGLKVGHLLWMLCCWIVSVISMNWFINGGIVESKTGLLGDGGKMWLRFWGKISGNSCKMHHHYYQYIGSKRVRKTWWRKLLVSWVIGWIMVSLWILWYMSSQATEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDTLEQNPVHKDDYTPELLEPSPIQEEYAPVIFAQDTISHVVSLDMLSGKEDSENVLRARESGTGVLTAPFRLLKTNRLGVILTFAIYKKDLPSNATPNERIQATDGYLGGVFDIESLVEKLLQQLASKQTILVDVYDTTNESHPISMYGSNVSDDGLQHVSSLNFGDPHRKHEMHCRFKQKPPWPWLAITTSFGVLVIALLIGHIFHATVNRIAKVEDDYHEMMELKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQDYVKTAQASGKALVSLINEVLDQAKIESGKLELEDVQFNLRAILDDVLSLFSDKSQGKGVELAVYISDSVPEMLIGDPGRFRQIIINLMGNSIKFTHQGHIFVTVHLVEEVIESIDVETESSSRNTLSGLPVADRRRSWAGFRTFSQEGSSRTLLSTSSDLINLIISVEDTGEGIPLEAQSRIFTPFMQVGPSTSRKYGGTGIGLSISKCLVGLMNGEIGFVSIPKIGTTFTFTAVFANGCSDSNEYNSYKISNQSNAITSEFRGMTALVVDPRSVRAKVSRYHIQRLGIHVEVVSDLNQALCSTSNGNAVVNMVLIEQEVWDRDSSVSAQFVNNIKKIDGGVSLKLFLLANSISSSRTNDASSGVYTPSVIMKPLRASMLAASLQRAMGVGNKGNPRNGELGNLLLGRKILIVDDNGVNLKVAAGALKKYGADVVCAESGEKAIKLLTPPHQFDACFMDIQMPEMDGFEATKRIRDKECSFKSTIQNGDASVGAYENLPNWHVPILAMTADVIQATHEECLKCGMDGYVSKPFEAEQLYREVSRFFQSTSTVIL >Manes.13G010500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1418821:1426859:-1 gene:Manes.13G010500.v8.1 transcript:Manes.13G010500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHVFGFGLKVGHLLWMLCCWIVSVISMNWFINGGIVESKTGLLGDGGKMWLRFWGKISGNSCKMHHHYYQYIGSKRVRKTWWRKLLVSWVIGWIMVSLWILWYMSSQATEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDTLEQNPVHKDDYTPELLEPSPIQEEYAPVIFAQDTISHVVSLDMLSGKEDSENVLRARESGTGVLTAPFRLLKTNRLGVILTFAIYKKDLPSNATPNERIQATDGMYGSNVSDDGLQHVSSLNFGDPHRKHEMHCRFKQKPPWPWLAITTSFGVLVIALLIGHIFHATVNRIAKVEDDYHEMMELKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQDYVKTAQASGKALVSLINEVLDQAKIESGKLELEDVQFNLRAILDDVLSLFSDKSQGKGVELAVYISDSVPEMLIGDPGRFRQIIINLMGNSIKFTHQGHIFVTVHLVEEVIESIDVETESSSRNTLSGLPVADRRRSWAGFRTFSQEGSSRTLLSTSSDLINLIISVEDTGEGIPLEAQSRIFTPFMQVGPSTSRKYGGTGIGLSISKCLVGLMNGEIGFVSIPKIGTTFTFTAVFANGCSDSNEYNSYKISNQSNAITSEFRGMTALVVDPRSVRAKVSRYHIQRLGIHVEVVSDLNQALCSTSNGNAVVNMVLIEQEVWDRDSSVSAQFVNNIKKIDGGVSLKLFLLANSISSSRTNDASSGVYTPSVIMKPLRASMLAASLQRAMGVGNKGNPRNGELGNLLLGRKILIVDDNGVNLKVAAGALKKYGADVVCAESGEKAIKLLTPPHQFDACFMDIQMPEMDGFEATKRIRDKECSFKSTIQNGDASVGAYENLPNWHVPILAMTADVIQATHEECLKCGMDGYVSKPFEAEQLYREVSRFFQSTSTVIL >Manes.12G031900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2774023:2777788:1 gene:Manes.12G031900.v8.1 transcript:Manes.12G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT1 MAKDLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAEKPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICSVASGLSFGHNAKAVMSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIIISSAFRDRFSAPAYEVDALASTVPQADFVWRIILMVGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDIEAEEQKVQQLAQDETNSFGLLSKEFLRRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNALEEVYKIARAQTLIALCSTVPGYWFTVAFIDRMGRFAIQLMGFFFMTVFMFALAIPYNHWTHKENRIGFVAMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQNKDKAKADAGYPAGIGVRNSLLVLGVINFLGMIFTFLVPESKGKSLEELSGENEEDDQPEEQSSYNDRTVPV >Manes.02G013767.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1354093:1355580:1 gene:Manes.02G013767.v8.1 transcript:Manes.02G013767.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTASKVDETIQRAHNEGPFPLSGHYSPRDFVLSIQRPRSVIILVKAGAPVDQTISALSEHMEAGDCIIDGGNEWYQNTERRIHEVKERGILYLGMGVSGGEEGARYGPSLMPGGSFEAYNNIKDILQKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNAELAEIFAEWNRGELESFLIEITSDIFRVKDEHGEGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERESAAEALKEAGLKEEVVAVKSGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSNEKGWNLNLGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPEFAREMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERIDRPGSFHTEWTKLARKSNAGVGAFN >Manes.05G109800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11044070:11051153:1 gene:Manes.05G109800.v8.1 transcript:Manes.05G109800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALIIRGIRIDLSATLLHSQSPAPNPEPVSSSNFVFSVDALEAALRRRGPDSLGTKKVVISAGDQELFSAIDDEDCRARLLCCANRETCSRVPYAVGQLHFIGATLQLRGRTAVTQPFVDSSGDILIYNGEIFGGINVESDSNDGEILMRTLGSCCSCSSREHASACSSNGKGRTSVLDVLSTIKGPWAVIYWQDNSRTLWFGRDAFGRRSLLVHWPTMKDSRFLLSSVSPFSSVDRSSDLGVEDSTSPSFWEELSCGVYSLSMSTSELYGCLVGEIKKHEWANTGLIDLIKWERVSVEPNPEDIYFSCGPDQSSVSLPAQNVLNALRRSVMLHTSVHKIFQVETSDTGKQELVPVAILFSGGLDSMILAALLDECLDTSYGIDLLNVSFDGQFAPDRISAKAGFEELRRIAPLRRWKLVEIDADLSKLTLEMKHVMSLINPANTYMDLNIGIALWLAASGYGWVSEGTSNNNDDENQQRIRYKSSARIVLVGSGADEQCAGYGRHKTKYRCGSWLGLNEEMKLDMQRIWKRNMGRDDRCIADNGKEARFPFLDEDVIRTILDIPLWEVANLNQPSGTGDKKILREVAKMLGLHEAAVLPKRAIQVFDISVQECSASLARIMI >Manes.05G109800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11044070:11051153:1 gene:Manes.05G109800.v8.1 transcript:Manes.05G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIALIIRGIRIDLSATLLHSQSPAPNPEPVSSSNFVFSVDALEAALRRRGPDSLGTKKVVISAGDQELFSAIDDEDCRARLLCCANRETCSRVPYAVGQLHFIGATLQLRGRTAVTQPFVDSSGDILIYNGEIFGGINVESDSNDGEILMRTLGSCCSCSSREHASACSSNGKGRTSVLDVLSTIKGPWAVIYWQDNSRTLWFGRDAFGRRSLLVHWPTMKDSRFLLSSVSPFSSVDRSSDLGVEDSTSPSFWEELSCGVYSLSMSTSELYGCLVGEIKKHEWANTGLIDLIKWERVSVEPNPEDIYFSCGPDQSSVSLPAQNVLNALRRSVMLHTSVHKIFQVETSDTGKQELVPVAILFSGGLDSMILAALLDECLDTSYGIDLLNVSFDGQFAPDRISAKAGFEELRRIAPLRRWKLVEIDADLSKLTLEMKHVMSLINPANTYMDLNIGIALWLAASGYGWVSEGTSNNNDDENQQRIRYKSSARIVLVGSGADEQCAGYGRHKTKYRCGSWLGLNEEMKLDMQRIWKRNMGRDDRCIADNGKEARFPFLDEDVIRTILDIPLWEVANLNQPSGTGDKKILREVAKMLGLHEAAVLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVVINMS >Manes.10G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1839153:1843137:-1 gene:Manes.10G018100.v8.1 transcript:Manes.10G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGIACCARGALFPGVSSQHSKVLASPSSSISRSLKSSSLFGESLRLVPRSSLKVSKTKNASLVTRCEIGESLEEFLTKATPDKGLARLLVCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANQLLFEALTYSHFCKYACSEEVPELQDMGGPAEGGFSVAFDPLDGSSIVDTNFSVGTIFGVWPGDKLTGVTGRDQVAAAMGVYGPRTTYVLALKDYPGTHEFLLLDEGKWQHVKETTEVGEGKLFSPGNLRATSDNPDYAKLINFYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVISTSSKAKLRLLFEVAPLGFLVEKAGGYSSDGFQSVLDKEIKNLDERTQVAYGSKNEIIRFEETLYGKSRLKAGGVPAGAAA >Manes.14G117600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11306781:11313607:-1 gene:Manes.14G117600.v8.1 transcript:Manes.14G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIRDWVFTRLLSSSRSLSGSGSFFSEGPADEDSNAPAHLAFSEVAPVLPDTSYFSGNTEGNEPHPSLPGDLNRSNNVNDCEKADSLAKIEDLEVKFFRLLRRLGHSSDNLLVAKVLYRIHLATSIRGRESDLKRARKIAAEQEAIDKPKLNFSIRILVLGKTGVGKSATINSIFDQRKTTTDAFEAATKHIQEIVGTVSGVKVTFIDTPGFLPASANNVRRNRKIMLSVKRFIRKSPPDIVLFCERLDLVNMGYSDFPLVKLMTEVLGSAIWFNTILVMTHASSMLPEGPNGFSVNYESYVTKCTDLMQQFIHQAVLDRKLENPVLLVENHPQCKKNFAGENILPNGQAWKSQFLLLCICTKILGDVSKLLEFQGSIELGSSSPRVPSLPHLLSSLLRHHVSNPNEVDDDVYEILLSDAEEEDEYDQLPPIRILTKSQFERLTKSQKRDYLDELDYRETLYLKKQLKEDARSKREKKLAEAERLGEDNSDDQQTPTEAVLLPDMAVPPTFDSDCPVHRYRCLATSDQWLMRPVLDPRGWDHDVGFDGINLETAVEIKRNISASIAGQMCKDKQNFSIQSECAAAYTDPRGPTYSVGFDVQSAGKDIIYTVHGNTKLATLKHNNADCAVSLTSFGKKYYVGAKIEDNILIGKRLKFVMNAGQMRGRGQVAYGGTFEATLRGQDFPVRNDIISLSLTALSFKKEMVLSGGFQSEFRPVRNMRVAVNANLNSQKMGQVSIKMSSAEHIEIALAAIFSIFRVMLYRKESKNGSRETLEM >Manes.14G117600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11306781:11313607:-1 gene:Manes.14G117600.v8.1 transcript:Manes.14G117600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIRDWVFTRLLSSSRSLSGSGSFFSEGPADEDSNAPEVAPVLPDTSYFSGNTEGNEPHPSLPGDLNRSNNVNDCEKADSLAKIEDLEVKFFRLLRRLGHSSDNLLVAKVLYRIHLATSIRGRESDLKRARKIAAEQEAIDKPKLNFSIRILVLGKTGVGKSATINSIFDQRKTTTDAFEAATKHIQEIVGTVSGVKVTFIDTPGFLPASANNVRRNRKIMLSVKRFIRKSPPDIVLFCERLDLVNMGYSDFPLVKLMTEVLGSAIWFNTILVMTHASSMLPEGPNGFSVNYESYVTKCTDLMQQFIHQAVLDRKLENPVLLVENHPQCKKNFAGENILPNGQAWKSQFLLLCICTKILGDVSKLLEFQGSIELGSSSPRVPSLPHLLSSLLRHHVSNPNEVDDDVYEILLSDAEEEDEYDQLPPIRILTKSQFERLTKSQKRDYLDELDYRETLYLKKQLKEDARSKREKKLAEAERLGEDNSDDQQTPTEAVLLPDMAVPPTFDSDCPVHRYRCLATSDQWLMRPVLDPRGWDHDVGFDGINLETAVEIKRNISASIAGQMCKDKQNFSIQSECAAAYTDPRGPTYSVGFDVQSAGKDIIYTVHGNTKLATLKHNNADCAVSLTSFGKKYYVGAKIEDNILIGKRLKFVMNAGQMRGRGQVAYGGTFEATLRGQDFPVRNDIISLSLTALSFKKEMVLSGGFQSEFRPVRNMRVAVNANLNSQKMGQVSIKMSSAEHIEIALAAIFSIFRVMLYRKESKNGSRETLEM >Manes.12G071300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7665831:7666767:1 gene:Manes.12G071300.v8.1 transcript:Manes.12G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAKLQNDFTATLLTQTHERGCCLTYNYVTDNATDLLGERDLDMIFKLGGLLISRSADSSLSHKNVL >Manes.05G203600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32914778:32916130:1 gene:Manes.05G203600.v8.1 transcript:Manes.05G203600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLNSSSSSSLDQEEKEALDLQYLENLMAPPVAPRGTTSSFTPSAIHMRQLLITCAELISQSDFSAAHRLISVLSASSSPYGDSRERLVHQFVKALSLRLNPHGNAMATVLMNITNLPSSGGGGGIGSASTATVSAINVGSLFTRESEEALQSCYLSLNQITPFIRFSHLTANQAILEAIEVGQRAIHIIDFDIMHGVQWPPLMQALAERSSNTLYPPPALRITGTGHDLNTLNRTGDRLLKFAQSLGLKFQFHPLLLLNTDPSSLPFAITLLPDEALAVNCVLYLHRLLKDDSRGLRIFLNRIKALNPKVVTIAEREANHNHPFFFQRFLEALDHYTALFDSLEATLPPNSRERLAVEQIWFGREIMNIVAADGEDRRERHERFESWEMMLRSTGFTNVPLSPFALSQAKLLLRLHYPSEGYHIEIVNNSFILGWQSHSLFSVSSWH >Manes.07G094902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29457994:29458613:-1 gene:Manes.07G094902.v8.1 transcript:Manes.07G094902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIPYVNNLMQPVVHQDQLREESPSFYVQNAESASYQ >Manes.14G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11677214:11680632:1 gene:Manes.14G120700.v8.1 transcript:Manes.14G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNRSSSPLLILLVGFVFFLFLSTSSSATTLTSHLQTLNIPEAVSDESFNGVLIPLETRRHLEEENSNSTLILAAKRTRRKDPLDNLNRYTGGYNISNKHYWASVSLTAAPFFLIAGIWFVLFGLSLAFICLCYCCCRREPYGYSRMCYALSLIFLIFFTISAIVGCVVLYTGQQKFHSITTHTLDYVVNQANVTAENLRNVSDYLAAARSVSVDNMLLPGNIRNSISDIETKINSSSSTLSNRTQENSKDIEDGLDTMRLVLIILAAVMLALAFLGFLFSILGMQCLVYSLVILGWILVAGTFILCGVFLLVHNVVADTCVAMDEWVLHPTAKTAMDDIIPCVDNATAQATLRQTKEVTYQLVNVVDTIINSVSNRNFPPQAGPLYYNQSGPLMPVLCNPFNSDFTERQCVAGEVDFNNATEVWKNYICQDQSGICKTTGRITPSTYNQMATAVNLSYGLRRYGPFLVSLQDCTFVRQTLTVISHSYCPNLRRYTEWIYVGLVMVSAAVMLSLIFWVIYARERRHRVYTKQLLSRGMESRDKAP >Manes.03G081362.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15541536:15573569:1 gene:Manes.03G081362.v8.1 transcript:Manes.03G081362.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSFRFLSRFHHSHFHKHTSSVSSSILWNKDLIFSLIQYNSNNENPNNNSGLDPNFAKQVLGFGSVTQSRGSFTSDRLLLGLVSLDNRNYHNYSTRRSFFRRAKQVKKIEINDQHSQRAVTTALWCNFLVFSLKFGVWLASSSHVMLAEVVHSVADFANQALLAYGLSSSRRAPDAIHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWTSHPPANIQYAALVIGGSFIIEGASLVVAIQAVRKGAAAEGMKVRDYVWRGHDPTSVAVMTEDGAAVTGLAIAAVSLVAVNTTGNAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAMDDHDMEKVLHFLKNDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLNRTGRVEWARQFREAAKEKDDTALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPTGPTP >Manes.03G081362.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15543115:15573569:1 gene:Manes.03G081362.v8.1 transcript:Manes.03G081362.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCQRAVTTALWCNFLVFSLKFGVWLASSSHVMLAEVVHSVADFANQALLAYGLSSSRRAPDAIHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWTSHPPANIQYAALVIGGSFIIEGASLVVAIQAVRKGAAAEGMKVRDYVWRGHDPTSVAVMTEDGAAVTGLAIAAVSLVAVNTTGNAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAMDDHDMEKVLHFLKNDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLNRTGRVEWARQFREAAKEKDDTALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPTGPTP >Manes.10G120200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28506864:28511851:1 gene:Manes.10G120200.v8.1 transcript:Manes.10G120200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFVIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILNEKLHIFGILGCVLCVVGSTTIVLHAPQEREIESVIEVWDLATEPAFLFYAALVITAVFILIFHYIPDYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTLSGMNQLIYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPTQIVTEMCGFVTILSGTFLLHKTKDMADGSLPVRLPKHTDDDGYGVEGIPLRRQESSRSAQN >Manes.10G120200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28506864:28511851:1 gene:Manes.10G120200.v8.1 transcript:Manes.10G120200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSWRDSYKGMSSDNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGIRAGSGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILNEKLHIFGILGCVLCVVGSTTIVLHAPQEREIESVIEVWDLATEPAFLFYAALVITAVFILIFHYIPDYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTLSGMNQLIYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPTQIVTEMCGFVTILSGTFLLHKTKDMADDAGSLPVRLPKHTDDDGYGVEGIPLRRQESSRSAQN >Manes.10G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28506834:28512178:1 gene:Manes.10G120200.v8.1 transcript:Manes.10G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSWRDSYKGMSSDNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGIRAGSGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILNEKLHIFGILGCVLCVVGSTTIVLHAPQEREIESVIEVWDLATEPAFLFYAALVITAVFILIFHYIPDYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTLSGMNQLIYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPTQIVTEMCGFVTILSGTFLLHKTKDMADGSLPVRLPKHTDDDGYGVEGIPLRRQESSRSAQN >Manes.08G158000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39431390:39432691:1 gene:Manes.08G158000.v8.1 transcript:Manes.08G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSAPPSSLEARQGDPRIYFLSAFFFACIVSGGVFLGLYIFLPLYQTQSWYPVAGLILVAVPWIFWFFTYLYRCIKPSYNPSKPSRAVPRSAPGDVEASANEPTNPSSHIDSQMQSTNDVEQSGNDQHSTNDGGRHVHFGGVNVIREYDNDDNQDNNSSRDEQDGREGSESEHGGSPEREKRESEIPLTSSAGLS >Manes.07G018600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2121599:2123247:-1 gene:Manes.07G018600.v8.1 transcript:Manes.07G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMLVFVPAPGMGHLVSAVEVAKLLLTRHHGLSITVLILNHSSVDSKVYDCVESQRASSSAISHRLQFVDLPRDETELVDFSSFVERQKPHAKKAVLKMIQSESSVNSNQLVGFIVDIFCTSMIDVANEFGVSAYVFFPSGAASLGFTLYVQKLHDEENFDPAEFKDSDADLQVPSLVNPFPSRVTPTSVLSREWFPPLLDNIRRFGEAKGIVVNTFLELESYAIESIKMPPVYPVGPILDVVSVGINTNKEEIMQWLDDQPPSSVVFLCFGSQGSFGEDQVKEIAHALEQSGHRFLWSLRRPRSPGLLSCPSDYENPQEVLPEGFLNRTAENGKVIGWAPQVAVLAHPAIGGFVSHCGWNSILESIWFGVPVATWPMYAEQHFNAFQMVIELGLAVEIKMDYRNDSEEIVKCDEIERGIRCLMKHDSDRRKKVKVMSEKSRKALMDGGSSYYWLDRFINDVMKL >Manes.09G063060.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10584752:10586365:-1 gene:Manes.09G063060.v8.1 transcript:Manes.09G063060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQATATQEVNKYRKGTWLEEEDEQLVTFVTLFGDRKWDFIAEASGLKRTGKSCRLRWLNYLRPNLKRGQISAEEEQIIVQLHELWGNKWSKIARRLPGRTDNEIKNYWRTHLKRKLQAQEGKQDFLYQKTDPSSWNYDMEEYKSVGTTDSSLDQNYELSSFTYLNSPYETRLHDWMSSELSGDKTEVKIHGDCGGFDSNFCYLKWNSEDGDNSVSDSLGSLWDMN >Manes.04G125801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32588743:32592023:1 gene:Manes.04G125801.v8.1 transcript:Manes.04G125801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRHLNPRGGNSSHQPRRRTGSSPAAKKPVDATSVAAPETHGVDYRIQQAKNFAVAQAQQEGCTANFRIFDSPFGNFLVPVIPTRAELAE >Manes.07G056800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6451929:6454194:-1 gene:Manes.07G056800.v8.1 transcript:Manes.07G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKKICEHLQEQQESFVLDIQRPSMSNLTRRRILHTRKILKLIFYKLICSNHSKQRLNCHKAHIYELKLLQSGGSKRYSSRGSSVAWYESSMCGMKDSPCKKNQAAVLAETCQPHERSSFIEQQCKEDGGKLGPGSLPFEAIRSNTGYDHQPNEEEDASTSSNIVAEEDSIFSAFLRELLVKQQIEKQNHAGFLIQQGKIDPGFCQILENRRILQQTKQLLFDCIKEAIERHQRKNRNQKLTQESMDLEELGKIICEKICPWGNQNGAQHFNFDVSSIIEEWDDVQKLHRKIERDIGDAIMDEIIQEIIGFLCSAKYVH >Manes.01G243100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40424295:40439452:-1 gene:Manes.01G243100.v8.1 transcript:Manes.01G243100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAFPAWSWSVEQCLKEYNVKLDKGLSSYEVEKRRERYGWNELAKEKGKPLWRLVLEQFDDTLVKILLVAAFISFILAYLHGSESGEESGFEAYVEPFVIVLILVLNAIVGVWQESNAERALEALKEMQCESGKVLRDGYWVPDLQARKLVPGDIVELRVGDKAPADMRVAALKTSTLRVEQSSLTGEAMPVLKGTAPIFIDDCELQAKENMVFAGTTVVNGTCVCVVVSTGMSTEIGKIQKQIHEASLEDSDTPLKKKLDEFGGRLTTAIGLVCLIVWVINYKNFLSWDVVHGWPADIRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMAVTEFFTLGGKTTSSRIFRVEGTTYDPKDGGIVDWNCYNMDANLQAMAEICAVCNDAGIFCDGRLFRATGLPTEAALKVLVEKMGVPDAKARNKIRDTELVANYLIDRSRVRLGNCDWWTKRSKRVATLEFDRIRKSMSVIVREPNGRNRLLVKGAVEGLVERSSHIQLADGSLVPIDEPCRQLLLLRLMEMSSKGLRCLGLAYKDDLGEFSDYYSQNHPAHKKLLDPGCYSSIESDLIFVGVVGLRDPPRDEVRKAIEDCRGAGIRVMVITGDNKSTAEAICKEIKLFYEDEDLRDRSFTGKEFMALTPSQQMEILSKPGGKVFSRAEPRHKQEIVRLLRDMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRKSNDALISSWVLFRYLVIGSYVGIATVGIFILWYTHASFLGINLVSDGHTLVELSQLRNWGECPKWSNFSVAPYSVGGRMITFSNPCDYFSVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLFTMPPWRNPWLLVAMSVSFGLHCLILYVPFLADVFGIVPLSLNEWVLVILVSAPVILIDEILKFVVRSQRYRTKEKTA >Manes.11G090800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17450004:17452599:1 gene:Manes.11G090800.v8.1 transcript:Manes.11G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADNCNNMGHWSQHKLHFLAFLILASAIDEALGDAMVSGSVFCDQCKDGQLSLFDYPISGIKVTMTCEDSNGQITTSREETTDWFGKYAMRFDGAPDLSNCYAQVSSNGQGSNGCGAVAGPAQKLSLMFRMFDMEIYNVDSLLSQPAQPMSFCPRSKKPVPAPVNPVRPPVPVSPVTPPPPHFKLPPMPRLPPLPPMPPVPFLEPSACAHQNWTKPEYKCYWRALNPETKVGVVFGLVAARRYGADMTLWQALQGRGDPYRTLLREATTALLNSYNSLQFSYNSISVVTQMNLSLMGSQRSVLLTALRFMRANSGYGHTTCKFTPCK >Manes.09G056100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9017038:9019600:-1 gene:Manes.09G056100.v8.1 transcript:Manes.09G056100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTESEDISQGEVESPLQSDHHFRSNLLSSLGRQSSIYSLTLDEFQHALCEGGKNFGSMNMDEFIASIWNAEEHQAVNGATSNNDQINNKGEQGFNNLSGVRVISKQPSLSRQGSLSLPAPLCRKTVDEVWSEMHREQEQEQQYQGQSRSNGNNIVNNPESAAPRQPTFGEMTLEDFLVKAGVVREQYPAAPSGPPQQQQYGMYQSSNNNNKTATGTGFVSRTVLGIGGGTGGGAVSYQTMPQGAGPIGETSGRNGGFPQQTAAAAGSAYGGRVGNGGGYAPSQAMGVMGQVSPVSSDTIRSSSIDNAANQFGIDVGGLRGRKRMVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENKQLRHALAELERKRKQQYMEELRMKAQSKAQNSKEKLRRMRRNFSCPL >Manes.09G056100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9017038:9021159:-1 gene:Manes.09G056100.v8.1 transcript:Manes.09G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTESEDISQGEVESPLQSDHHFRSNLLSSLGRQSSIYSLTLDEFQHALCEGGKNFGSMNMDEFIASIWNAEEHQAVNGATSNNDQINNKGEQGFNNLSGVRVISKQPSLSRQGSLSLPAPLCRKTVDEVWSEMHREQEQEQQYQGQSRSNGNNIVNNPESAAPRQPTFGEMTLEDFLVKAGVVREQYPAAPSGPPQQQQYGMYQSSNNNNKTATGTGFVSRTVLGIGGGTGGGAVSYQTMPQGAGPIGETSGRNGGFPQQTAAAAGSAYGGRVGNGGGYAPSQAMGVMGQVSPVSSDTIRSSSIDNAANQFGIDVGGLRGRKRMVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENKQLRHALYMEELRMKAQSKAQNSKEKLRRMRRNFSCPL >Manes.09G056100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9017038:9021159:-1 gene:Manes.09G056100.v8.1 transcript:Manes.09G056100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTESEDISQGEVESPLQSDHHFRSNLLSSLGRQSSIYSLTLDEFQHALCEGGKNFGSMNMDEFIASIWNAEEHQAVNGATSNNDQINNKGEQGFNNLSGVRVISKQPSLSRQGSLSLPAPLCRKTVDEVWSEMHREQEQEQQYQGQSRSNGNNIVNNPESAAPRQPTFGEMTLEDFLVKAGVVREQYPAAPSGPPQQQQYGMYQSSNNNNKTATGTGFVSRTVLGIGGGTGGGAVSYQTMPQGAGPIGETSGRNGGFPQQTAAAAGSAYGGRVGNGGGYAPSQAMGVMGQVSPVSSDTIRSSSIDNAANQFGIDVGGLRGRKRMVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENKQLRHALAELERKRKQQYMEELRMKAQSKAQNSKEKLRRMRRNFSCPL >Manes.01G169200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35169677:35173723:-1 gene:Manes.01G169200.v8.1 transcript:Manes.01G169200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILTSNSFLLAPKPHSRHPLKIPRLRVFAKRAGSFSPFQLGKPKDDGASEVSQNGGSGNSGPFSFNFDNVPDVKSLIPIVSEPTSGLSFPRRKDASTVFVAGATGQAGIRIAQTLLREGFSVRAGVPVLETAQELARFAGEYKIISKEELRRLNAVQSTYEDAESIAKAIGNASKVVVTIGPAENGPNSQVSTSDALQVIQAAQLAGVGHVAIIYDSNAAGASTYNVLDGFTSFFNNLFSQFQPLSIPEFLQKVIETNVNYTFIKTSLTEDFLPESSYNVVLSAEGSTGSNDYKVAKSRIATIVADVFSNTKVAENKVVEVFTDPSAPSRTIDELFSVIPEDGRRKVYAETLAKAKAEEEARVAAEKAREAADEAKKLEEEVNRLSKQETKVDSLAEEAQEKAEAAGISVENLLSRAKDIGAGFSWEKLGSQISTAVQTTNGENLNVQIATVRGQAKARSLPFQKASVKRPTPKLSLKPREEPKAKAKAEETESKTEVKKLFGGLFQQETIYVDNE >Manes.02G117800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9017836:9020814:1 gene:Manes.02G117800.v8.1 transcript:Manes.02G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVLLLTTTVTVLYLILPTASLAQKLPLSNFKFGPFNSSYYATFAVLTPATISNDALQVTPDSAGNFTLSNRSGRVFFNRSFTLWEDLKGGAARVASFNSSFLINVFRISNTSVPGEGLAFLIAPDLTLPLNSSGQFLGLTNSTTDGDSSNGIVAIELDTFKQDFDPDDNHMGLNIHSVRSKKTVSLSNFGIQIAPLGAVVYMVWVHYDGVQKELQVYMAERGKTKPTTPVLTADLDLKGLVNQNSYFGFAASTGSDIQLNCVLAWNLTVEQLSSAVVKNGDGDNNNKPIKIGIGVGVSVLVLLLIVVPAVTYYLMKKRAASDPNLLGALKSLPGMPREFRFKDLKKATNSFDAKNKLGEGGFGVVYKGVLPNENVPVAVKKFSRDNIKGQDDFLAELTIINRLRHKHLVRLLGWCHKNGMLLLVYDYMPNGSLDTHIFNGPEEKTTLEWKLRYNIIAGVASALHYLHNEYDQRVVHRDLKASNIMLDSNFNARLGDFGLARALENEKTSYAELEGVPGTMGYIAPECFHTGKATRESDVYGFGAVVLEVVCGQRPWTKIAGFQFLVDWVWWLHREGRILEAVDERLRNDYVVEEAQRLLLLGLACSHPIASERPKTQDIFQMIAGSVAVPRVPPFKPAFVWPSAAGPDITGNSSRDNTADTTPITSGWSPQCVTPSSYAGEYTDSFLV >Manes.03G213400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:33297963:33298625:1 gene:Manes.03G213400.v8.1 transcript:Manes.03G213400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGRQRKSSCFSIFNIFKTCCSHGSDDISEDGFYVRRICPSDEDGRRWIADPGIDGRASAFIARFYEARVSDPERQTLAL >Manes.05G194500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31823523:31827183:-1 gene:Manes.05G194500.v8.1 transcript:Manes.05G194500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVKRIKLGSQGLEVSAQGLGCMSMSAFYGPPKPESDMIALIHHAINTGVTFFDTSDVYGPHTNEILLGKALKGDIRKKVELATKFSINLKDGKMEIRGDPAYVRAACEASLKRLDVDCIDLYYQHRVDTSVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIVPTCRELGIGIVAYSPLGRGFFSSGPKLVETLSEGDFRKYLPRFQPENLEHNKHLFERVNEIAARKQCTPSQLALAWVHHQGDDVCPIPGTTKIENFNQNIGALSVKLTPEDMAELESIASASAVKGGRYGSDMGTYKDSDTPPLSSWKAV >Manes.17G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32034649:32037665:-1 gene:Manes.17G113400.v8.1 transcript:Manes.17G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNLENISLITILIILLFVWSSRFEPCHARQGKYWRQSKTAPADSQFLQKEKLHGVSHHHSSSLTKFMDSYYISPDETMETHKGQSSATFNVLDYGAKGDGHTDDTKAFEAAWAAACNVEASTIVVPSGSIFLLHPISFSGPNCAENIVFQLDGKIIAPTTPGSWESELLQWIEFTTIKGITIKGKGVIDGQGSVWWNDLPTHSPDSKVTNELRKKMPSTKPTALRFYGSTDVTVTGITIQNSPQTHLKFDNCTSVQVYGFTAASPGNSPHTDGIHLQNSQDVLIYGTDLACGDDCISIQTGCSNVYIHNVNCGPGHGISIGGLGKDNTKACVSNVTVRDIAIQDTLTGGGSGSVQGITFSNIQVSRVETPIMIDQFYCDSSKCSNESSAVAVSNINYADIKGTYTRNPIHFACSDNLPCTGVSLDTIELKSVGEDSQPFCWNTYGDLKGTTVPPINCLQSGKSSKKVVYC >Manes.12G035600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3139930:3146002:-1 gene:Manes.12G035600.v8.1 transcript:Manes.12G035600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSIQRSGAKQISNHGLSAALSSSLPVLPTSIEETCPKLSNSQQVSLEGGLMTKPIAHASQLPSNSGVVGHLFSSSAGFLSDLQYSSVSPQEKQSGNAPFISQSSTNVTALTLPQSSHSGLLQSTKSSQYAKETNASWCPESMPGFLDLPVNNPVQNNQVESNSCSGVIVSEEFSKRNDWQEWADQLITDDDALTSNWSELLIDTSVVEVEQKMAYQVSKPSSNVLSQQPQVHQQLPAPSGEIHPVLTPTSSTNNVPSKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKFTPREEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGSDKLTASSSAMENTSAISSDAIHDSPAKNEMEASQLDHDKTNCNPLDAKSMVEEGSHDPSEKQKAPEVDGSENPQPDNCESSSPSAKRRRTDA >Manes.12G035600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3139766:3146204:-1 gene:Manes.12G035600.v8.1 transcript:Manes.12G035600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSIQRSGAKQISNHGLSAALSSSLPVLPTSIEETCPKLSNSQQVSLEGGLMTKPIAHASQLPSNSGVVGHLFSSSAGFLSDLQYSSVSPQEKQSGNAPFISQSSTNVTALTLPQSSHSGLLQSTKSSQYAKETNASWCPESMPGFLDLPEWADQLITDDDALTSNWSELLIDTSVVEVEQKMAYQVSKPSSNVLSQQPQVHQQLPAPSGEIHPVLTPTSSTNNVPSKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKFTPREEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGSDKLTASSSAMENTSAISSDAIHDSPAKNEMEASQLDHDKTNCNPLDAKSMVEEGSHDPSEKQKAPEVDGSENPQPDNCESSSPSAKRRRTDA >Manes.12G035600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3139876:3146002:-1 gene:Manes.12G035600.v8.1 transcript:Manes.12G035600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSIQRSGAKQISNHGLSAALSSSLPVLPTSIEETCPKLSNSQQVSLEGGLMTKPIAHASQLPSNSGVVGHLFSSSAGFLSDLQYSSVSPQEKQSGNAPFISQSSTNVTALTLPQSSHSGLLQSTKSSQYAKETNASWCPESMPGFLDLPVNNPVQNNQVESNSCSGVIVSEEFSKRNDWQEWADQLITDDDALTSNWSELLIDTSVVEVEQKMAYQVSKPSSNVLSQQPQVHQQLPAPSGEIHPVLTPTSSTNNVPSKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKFTPREEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGSDKLTASSSAMENTSAISSDAIHDSPAKNEMEASQLDHDKTNCNPLDAKSMVEEGSHDPSEKQKAPEVDGSENPQPDNCESSSPSAKRRRTDA >Manes.12G035600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3139880:3146002:-1 gene:Manes.12G035600.v8.1 transcript:Manes.12G035600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSIQRSGAKQISNHGLSAALSSSLPVLPTSIEETCPKLSNSQQVSLEGGLMTKPIAHASQLPSNSGVVGHLFSSSAGFLSDLQYSSVSPQEKQSGNAPFISQSSTNVTALTLPQSSHSGLLQSTKSSQYAKETNASWCPESMPGFLDLPVNNPVQNNQVESNSCSGVIVSEEFSKRNDWQEWADQLITDDDALTSNWSELLIDTSVVEVEQKMAYQVSKPSSNVLSQQPQVHQQLPAPSGEIHPVLTPTSSTNNVPSKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKFTPREEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGSDKLTASSSAMENTSAISSDAIHDSPAKNEMEASQLDHDKTNCNPLDAKSMVEEGSHDPSEKQKAPEVDGSENPQPDNCESSSPSAKRRRTDA >Manes.12G035600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3139930:3146002:-1 gene:Manes.12G035600.v8.1 transcript:Manes.12G035600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSIQRSGAKQISNHGLSAALSSSLPVLPTSIEETCPKLSNSQQVSLEGGLMTKPIAHASQLPSNSGVVGHLFSSSAGFLSDLQYSSVSPQEKQSGNAPFISQSSTNVTALTLPQSSHSGLLQSTKSSQYAKETNASWCPESMPGFLDLPVNNPVQNNQVESNSCSGVIVSEEFSKRNDWQEWADQLITDDDALTSNWSELLIDTSVVEVEQKMAYQVSKPSSNVLSQQPQVHQQLPAPSGEIHPVLTPTSSTNNVPSKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKFTPREEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGSDKLTASSSAMENTSAISSDAIHDSPAKNEMEASQLDHDKTNCNPLDAKSMVEEGSHDPSEKQKAPEVDGSENPQPDNCESSSPSAKRRRTDA >Manes.12G035600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3139846:3146204:-1 gene:Manes.12G035600.v8.1 transcript:Manes.12G035600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSIQRSGAKQISNHGLSAALSSSLPVLPTSIEETCPKLSNSQQVSLEGGLMTKPIAHASQLPSNSGVVGHLFSSSAGFLSDLQYSSVSPQEKQSGNAPFISQSSTNVTALTLPQSSHSGLLQSTKSSQYAKETNASWCPESMPGFLDLPEWADQLITDDDALTSNWSELLIDTSVVEVEQKMAYQVSKPSSNVLSQQPQVHQQLPAPSGEIHPVLTPTSSTNNVPSKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKFTPREEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGSDKLTASSSAMENTSAISSDAIHDSPAKNEMEASQLDHDKTNCNPLDAKSMVEEGSHDPSEKQKAPEVDGSENPQPDNCESSSPSAKRRRTDA >Manes.04G053766.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:8991661:8992371:1 gene:Manes.04G053766.v8.1 transcript:Manes.04G053766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFVALYGRAPPTIPRYEAGTTAIQEADNILTTRDEILEQLKLNLSRSVNQMKQRADKHQKEVEFQVGDWAYLKLQPYRQHSVARRAFQKLASRYYGPYLILAKLGKVAYRLQLPASSRIHPVFHISLLKKYIGKQGEVPISTNLPTFNDDGDVLLEPYGILDTRWIKQGSRFVEESLVQWKDLPVEDATWENTAALFERFSSINLEDKVQANGGSIDKPRRSKRLPVPNRKYRS >Manes.10G103300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25106399:25107838:1 gene:Manes.10G103300.v8.1 transcript:Manes.10G103300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTLNTDTSTRNLQVPEISRSLRDAPPANYTLKIESFSQLSSMLIDDKTDKYESQDFEACGYKWKLVLYPYGNLKRGVNDHISLYLAMEEAKEIYPGSQVDVILKFFVYDHIRDKFLTIQYDRTCRYHSLKTENGFDKLISLELFNDSSNGYLVDDCCLFGVEVNVINNEGKGEKISIIKEPKNGTFTWKIENFSAIQESRYPPEEFTVANLKWRLLLYPKGDSRASGKSLSLYLELLDNSAHPQLRVFTKYYLMVKRQLLHNHRELTASRWFTSKSGPTWGFSDFMPLSDIHDLSKTFLVKDSLIVEAKITLLADVEGL >Manes.15G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7852220:7858354:1 gene:Manes.15G100400.v8.1 transcript:Manes.15G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMTCHSLDFGRTVFDLAACGCSSNASVNRCSVRNYAKSVSRSCNRDCAAGRLLCYRKDFARCRVSSTKTPETLLKGVTQGPAALVNLKESRGPISLINVFEVVADDLQTLNQNLLSIVGAENPVLMSAADQIFGAGGKRMRPALVFLVSRATAEIMGLKELTTKHRRLAEIIEMIHTASLIHDDVLDESDMRRGKQTVHQLYGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVELEEYLIKSYYKTASLIAASTKGAAIFSGVESSVAEQMYEYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEKEPKLREIIDSEFCEPGSLDEAVKLVKQCGGIERAQELAKEKASLAIQNLSCLPRAAFRSALEEMVLYNLERID >Manes.15G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12791053:12792909:1 gene:Manes.15G154300.v8.1 transcript:Manes.15G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDQSLAQQKGCTFHSAFPFLSTSDWKIKQNSAKQRNQRERSSKNMCSKSSPIRQRKSARKVVASPAKSARKTRTKTRKPKYLSLKLQLSASKKPQTQPKPTKMPHKQQLNLFPLHPENLVHDKDFHDSDQVSDHVAFLFETATDTSTSLHGILDSTTTTTSENGPLSPSLTYVYRGHDGEEKGAVSSLVKTAMKCKEREASEERWVSYCELVEKKEQEEVSSCYAAADTLEKMVQAQGDCKKGLVGLKLDYQEIMNVWSDKSSPYIKGESPQIVPDLHEDSKWSVDGWTVPETGSTKKLGQREASVLRYKEKRQSRLFAKRIRYQVRKLNAEKRPRLKGRFVKRNGEE >Manes.15G089200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6837620:6845038:1 gene:Manes.15G089200.v8.1 transcript:Manes.15G089200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRFFKHLSYTFSWGLHRPLSLSTFKACQYQSKPNSSLFSKMISSSSSIRSCTSLAASHDTSATTDSSAYLSVRIRCPKDVADMLSEALLCFGASSTSIDEQDDCESSHEIHIDSVFPQFQDVDVSLSQAADSIGLKEIPAYEVKMGKQCDWIRKTQDVRATNIILDPGLAFGTGEHPTTKLCLLLLRGLIKGGELFLDYGTGSGILAIAALKFGASLSVGLDVDPQAITSASHNAALNNIEPETMELRLVSKKTCSPLMDEGTNEVVKEQRTDGMVPVSETEKYDVVIANILLNPLLELADQIVSYAKPGAVVGLSGILSEQLPYIMDRYSVLLEDISVSEMDGWACASGKKKSSL >Manes.15G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6837620:6845038:1 gene:Manes.15G089200.v8.1 transcript:Manes.15G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRFFKHLSYTFSWGLHRPLSLSTFKACQYQSKPNSSLFSKMISSSSSIRSCTSLAASHDTSATTDSSAYLSVRIRCPKDVADMLSEALLCFGASSTSIDEQDDCESSHEIHIDSVFPQFQDVDVSLSQAADSIGLKEIPAYEVKMGKQCDWIRKTQESFHPVEVTEGLWIVPDWRAPPDVRATNIILDPGLAFGTGEHPTTKLCLLLLRGLIKGGELFLDYGTGSGILAIAALKFGASLSVGLDVDPQAITSASHNAALNNIEPETMELRLVSKKTCSPLMDEGTNEVVKEQRTDGMVPVSETEKYDVVIANILLNPLLELADQIVSYAKPGAVVGLSGILSEQLPYIMDRYSVLLEDISVSEMDGWACASGKKKSSL >Manes.04G160400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35491099:35495972:-1 gene:Manes.04G160400.v8.1 transcript:Manes.04G160400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDIEGILKELPEDGRVPKTKIVCTLGPASRSVPMAEKLLRAGMNVARFNFSHGTHEYHQETLNNLRVAMQNTQILCAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITVTTDYDIKGDTEMISMSYKKLPVDVKPGNTILCADGTITLTVLSCNPEAGSVRCRCENTATLGERKNVNLPGVVVDLPTLTEKDKEDILGWGVPNKIDMIALSFVRKGSDLVHVRKVLGSHAKHIQLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVRIMRRICIEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPILAEGSAKATDAESTEVILEAALKSATERRLCKPGDAVVALHRIGAASVIKICIVK >Manes.08G045300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4507865:4512802:-1 gene:Manes.08G045300.v8.1 transcript:Manes.08G045300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMKKRKIKMDEASQGAEFSTTQEHLRSLLDPLNKSQLVDLLSRLGSQYPSIADEIKSVASADPVHRKLFVRGLAWNTTSETLCAAFQVHGEIEEGAVIYDKATGKSRGYGFITYKDMESTQSALRAPSKLIDGRMAVCNLACEGLSGASTTPDLVQRKLYIGGLSPEITSEMLLNFFARHGEIEEGSVAYDKDTNESRGFGFVTYKTVEAAKKAIDDPHKILGGRTLIVKLADTHKKQTVQTQLPAPVVPVPLPMAAGYTQPGKSHPGAAPVGYSYPQTVGSYAASSYPSPPTAPAPYPQQSQISYPAVAMRKDPVGLSPPTPMGMGGYPYYLPKQ >Manes.03G123200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25071892:25072909:-1 gene:Manes.03G123200.v8.1 transcript:Manes.03G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSGGCCKCCCSFIFTLGLTALFMWLSLRTSNPKCLLQKFYIPALNKTLNPPKNTSLFFELRLENTNKDKGVYYDPINVTFFDNPNKNHSIGNFTIQKFYQGHKKKATKGGDFNASGLDVEAISRAISNGSAIFRVDIATAVRYKIMAWKTKRHRITVGADVNINDQGTQVNPDKRIKLSNAEMIGSSYGKTIGILLFLFYLNLW >Manes.14G116121.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11012823:11023203:-1 gene:Manes.14G116121.v8.1 transcript:Manes.14G116121.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVALAIFTFCLFCLVSIDAASEPGNGFSVELINRDSPTSPFYRPEETHTQRFANAFRISIGRVHHFNQESSSVFPTMAESKVFNNQGEYLMKISLGTPAFEILAIADTGSDPIWTKCLPCIECYQQDAPIFNPKSSSTYRDLSCSTRQCRSLSERKCKRNQCHYSYSYGDGSFTVGNLAAETITLGSTSGRPVALAKSVFGCGHNMSGAFSGQVSGLVGLGGGSISLISQLGSSIGGKFSYCLVPFFSRTANSSKLNFGSKGVVSGEGTMSTPLIRKNPDTFYFLTLEAISVGKKRIKFPSY >Manes.04G038000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5380391:5384237:-1 gene:Manes.04G038000.v8.1 transcript:Manes.04G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVLFEDIFLVDKLNPDGKKFDKVSRIEAHSQNCDMFMHLDVNTEIYPMAVGDKFTMALAHTLNLDGTPDTGYYIQGARKTLADKYEYIMHGKLYKISEEGSGKAVKAEIYVSYGGLLMMLKGDPSHVSHFELDQRLFLLIRKL >Manes.04G038000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5381399:5383462:-1 gene:Manes.04G038000.v8.1 transcript:Manes.04G038000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVLFEDIFLVDKLNPDGKKFDKVSRIEAHSQNCDMFMHLDVNTEIYPMAVGDKFTMALAHTLNLDGTPDTGYYIQGARKTLADKYEYIMHGKLYKISEEGSGKAVKAEIYVSYGGLLMMLKGDPSHVSHFELDQRLFLLIRKL >Manes.12G065301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6344107:6349621:-1 gene:Manes.12G065301.v8.1 transcript:Manes.12G065301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYGGKSKIPEDVSGKIECCSSGRGKVVIGSDDGTVSLLDRGLNFNFAFPAHSSSVLFIQQLKQRNFLVTVGEDEQISPQQSAMCLKVFDLDKMQPEGTSSSIPDCIGILRIFTNQFPQAKITSFLVLEEAPPILLIAIGLDNGSIYCIKGDIARERITRFKLQVDSVSDKSHSSITGLGFRVDGHALQLFAVTPNSVSLFSMHNQPPRRQMLDQIGSNVNSVTMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRSGKDTFNVYDLKNRLIAHSLAVKEVSHILCEWGNIILIMTDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYSKQDYDEAMAQYINTIGHLEPSYVIQKFLDAQRIYNLTNYLENLHKKGLASKDHTTLLLNCYTKLKDVDKLNVFIKSEDGVGEHMFDVETAIRVCRAANYHEHAMYVAKKAGRHELYLKILLEDLGRYDEALQYISSLEPSQAGVTVKEYGKILIDHRPVETIEILLRLCTEDGESAKQESSSSAYLSMLPSPVDFLNIFMHHPQSLMDFLEKYTDHVKDSPAQVEIHNTLLELYLSNDLNFPSISQVCNDIDLSLRGKSGVPRKSKAQSNGKLVGNSKDTYKEKECKRRREKGLCLLKSAWPSDLEHPLYDVDLAIILCEMNGFKEGLLYLYEKMKLYKEVIACYMQAHDHEGLIACCKRLGDSGKGGDSSLWADLLKYFGELGEDCSKEVKDVLTYIERDDILPPIIVLQTLSRSPCLTLSVIKDYIARKLEQESKLIEEDRRAIEKYQEGTVAMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAAEYRSVLEMRRSLEQNSKDQDQFFQQVKSSKDGFSVIAEYFGKGIISKTSTGTHRSSSLSSSSDF >Manes.17G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29387883:29392203:-1 gene:Manes.17G086400.v8.1 transcript:Manes.17G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSHLGPTVVPFFLLCLVSFARSQQSEDAAVMLKLRDSLGNPSNLGWSGSDPCSSWAHVSCTGNRVTAIQIGRQNLEGTLPPDLKNLTALQRFEVMGNNLTGSVPSLAGLSSLQAVYLHDNGFTSFPSDFFDGMTSLFDVNLDYNPFQPWEIPLSIKSATALKDFSANGASITGTIPDFFNNDVFTSLESLHLAMNFLEGGLPVNFSRAMSFSSLWLNGQKSNSRLNGTIDVLQNMTGLQEIWLHGNYFTGPLPDFTPLVSLKKLSLRDNQLTGIVPPPLWNLPTLSVVNLTNNLLQGPTPNFTEGIRVDMNSGSNRFCLPGPGVACDPRVNVLLSIVKDFGYPASLADSWEGNDPCAQWKGISCSPGGNITVINFPKMGLIGTISPSFSLIPSLQKLILSDNLLTGTIPTELTTLPSLTLLNVANNRLYGKVPSFRQVQVITDGNPDIGKNSSSFTPPGTPPGTPESPSGKDGGGSGSDGSGSKNSDVGKIVGSVVGAVSGLCVVGLAVFFYRRKQKHYSKVQSPNTMIIHPRHSGDEDGVKITVAQSGSNGRAESYTESSGPSDIHVVDTGNMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMESGVMSEKGLAEFMSEIAVLTKVRHRHLVSLLGYCLDGNERLLVYEYMPQGTLSRYLFDWKLEELKPLEWTRRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKASVETRLAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMEMMTGRKALDDTQPEESLHLVTWFRRIHINKDTFFKCIDPTIELDEETLASISTVAELAGHCTAREPYQRPDMGHVVNVLSSLVELWKPAEPDSDDMYGIDLEMTLPQALKKWQAFEGSNLDASSSFTTSGDNTQTSIPTRPSGFADSFTSADGR >Manes.09G183401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37102687:37108070:-1 gene:Manes.09G183401.v8.1 transcript:Manes.09G183401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKVYLTYKRKLPLYRTGTAHQNGCHDSLSEGPSDTSVTVPDKHDAPSDEHISENQQRKSLIFPGCVVCGVTGNLLQCNDCHQPYHQECSDRLLMTKHTMNREKVSCGCKIQDSSLLQPIRKSSRLEAVKHTGGSDKKLMSDTSGKDAQAPSYLDISLTSNLNHVQFNSYSIMNIDSEEKLISQSTGINTRKSLDFISSNSSLESICIAVCDTRTGTNSIDKSSDGRGHLHGPTKKKDKIEADIKSSFLDEKICSFHTTQSKTTDGAVSVKVSLEDNSRYLEIHGMDVDSRNMFHQNQDNQSGALFFFSLRNSILLEKLMHFSNWYWAKVTNIWYSSLTCEEKISHGSESKSKDISPISRQWQIFKANMHTAEAFLGNLQISLNDAANDPWEARGIDMELVNTKCQAESEILSSDGAKATVVADYTKEGKRPYLDLSVTPDSCGTLDHDVIVNLDLGCQKEPLHGASESLRGSMDSTCTSRSHATVCDRVSPAELLQGKNKREGEVSPVHSTRAVSDASTSVEEAGDNSKDNDLDCHQFSMDNTSKDEYLGLSLSQPTGSDMANSYSEKCPTTSSMWNSHRKIIDFVQDVLPQSSSNHAASLLRHKLMLDSIVIRASSLNAKDALQDKFKSYTIWDLSEQWEEEEAKLLSGSRIVQFNSPITHGISLDNNDHYVCKKYGIWRENATEETTLSLGDIYARRTSNSSKRQRVNFTSVERNDIHRPATYPRSASYSNFHGEIYSRGSCDNFGCMTLPSCDHIANHVQALPFSGMRNLMDGGFGGIRANELQTSGSAHHSNVALGMRHGKSDMCSSLGLVSKPDNLIIIDSDASSEETISDDRSARP >Manes.17G085700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29292056:29294994:-1 gene:Manes.17G085700.v8.1 transcript:Manes.17G085700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEEVTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAMPVNKDRFISKMFLRGDSVIIVLRNPK >Manes.17G085700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29291831:29294994:-1 gene:Manes.17G085700.v8.1 transcript:Manes.17G085700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEEVTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAMPVNKDRFISKMFLRGDSVIIVLRNPK >Manes.17G085700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29292513:29293376:-1 gene:Manes.17G085700.v8.1 transcript:Manes.17G085700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEEVTKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAMPVNKDRFISKMFLRGDSVIIVLRNPK >Manes.03G180700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30289535:30301127:-1 gene:Manes.03G180700.v8.1 transcript:Manes.03G180700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPDHPDIGTLHDNFVHAVDAFRDYKYLGTRIRVDGTVGEYKWMTYGEASTARTAVGSGLMYLGIPNGSCVGIYFINRPEWLIVDHACSAYSYISVPLYDTLGPDAVKYITNHADVRAIFCVPQTLNPLLSFLSEISTVRLIVVVGGMDDQMPSLPSSTGVQVITYSKLLGQGRSNPQPFCPPKPEDVATICYTSGTTGTPKGAALTHGNLIASVAGASTANRFYPSDIYISYLPLAHIYERANQVITAYYGSAVGFYQGDNMKLMDDMAALRPTIFCSVPRLYNRIYASITNAVKTSGALKERLFNAAYNAKKQAILNGKNPSPMWDRLVFNKIRERLGGRVRFMGSGASPLSPDVMEFLKICFSCRVVEGYGMTETSCLISSIDEGDNLIGHVGSPNPACEIKLADVPEMNYTSDDQPYPRGEICVRGPIVFQGYHKDEAQTREVIDADGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFAAQCFVYGDSLNSSLVAIVSVDPDVLKAWAASEDIKYENLEQLCNDPRARAAVLADMDAVGREAQLRGFEFAKAVTLVLEPFSMENGLLTPTMKIKRPQAKAYFKNAISKMCAELATSDPSPKGKL >Manes.03G180700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30289535:30301127:-1 gene:Manes.03G180700.v8.1 transcript:Manes.03G180700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPISMDSAERRMQAINAHLVAAGDSPSQLRFNPTAGEFFSEQGYSVVLPEKLQDGKWNVYRSVRSPLKLMSRFPDHPDIGTLHDNFVHAVDAFRDYKYLGTRIRVDGTVGEYKWMTYGEASTARTAVGSGLMYLGIPNGSCVGIYFINRPEWLIVDHACSAYSYISVPLYDTLGPDAVKYITNHADVRAIFCVPQTLNPLLSFLSEISTVRLIVVVGGMDDQMPSLPSSTGVQVITYSKLLGQGRSNPQPFCPPKPEDVATICYTSGTTGTPKGAALTHGNLIASVAGASTANRFYPSDIYISYLPLAHIYERANQVITAYYGSAVGFYQGDNMKLMDDMAALRPTIFCSVPRLYNRIYASITNAVKTSGALKERLFNAAYNAKKQAILNGKNPSPMWDRLVFNKIRERLGGRVRFMGSGASPLSPDVMEFLKICFSCRVVEGYGMTETSCLISSIDEGDNLIGHVGSPNPACEIKLADVPEMNYTSDDQPYPRGEICVRGPIVFQGYHKDEAQTREVIDADGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFAAQCFVYGDSLNSSLVAIVSVDPDVLKAWAASEDIKYENLEQLCNDPRARAAVLADMDAVGREAQLRGFEFAKAVTLVLEPFSMENGLLTPTMKIKRPQAKAYFKNAISKMCAELATSDPSPKGKL >Manes.14G062950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5241434:5250997:1 gene:Manes.14G062950.v8.1 transcript:Manes.14G062950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIGGQIEKVNGKELCYNEFAERYLAKNQPVVLTGLMDDWRACRDWKTDNGYPNLQFFSTHFGKSKVQVADCGTREFTDQKRVEMTVSEFIEHWVEGSSNSLLYLKDWHFVKEYPEYVAYRTPLLFCDDWLNLYLDHYRMHKDPDTNQENDDITCSDYRFVYMGAKGSWTPLHADVFRSYSWSANVCGKKKWLFLSPSQCHLVFDRNLKSTVYNIFDDVSETKFPDFKKAVWLECIQDKNEIIFVPSGWYHQVHNLEDTISINHNWFNAHNLSWVWDLLWRDYTEAKEYIEDIRDICDDFEGVCQRNLAANTGMNFVDFLIFLARFFLANMVQLYCQPRDNEISLSGCSKVTRHLIFNFASIQKIALKMKSNEGVIGNHGFFLDLKDTLEGDLEFFKLCNDVGRIYGMINEQQNWNRDPKKAFVVDILEYSSSICNLEDFVKFIDNAVAKLGNVWFEEKKLLSA >Manes.04G094600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30019893:30020690:1 gene:Manes.04G094600.v8.1 transcript:Manes.04G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSPSKTKKKQTHQQPQAPTQAAAGGARFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSRTRTNFVYSDMPAGSSVTSIISPDEQQQIQSLQQQQQANNFSSSIFVSPSQPDAASIINNQQDFSLSQCHFSDEFSSLDGGDIWGCSSITTYNYHEQIPVITNDELPPFPSDISGSFSSSFDSGIQSGWSDTNSSGFVGLEDQTTGGSYLGFDTSEYVHSPLFSRMPPVSETVPDGFDLGSSSYFF >Manes.04G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33962980:33966102:1 gene:Manes.04G140700.v8.1 transcript:Manes.04G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLIRTGASLMNRMFLSNPILHQNANVNAQFVSHSFEITPQLFPTLSKLQTSIHLPQNDAHSVTRLSKEEFFHPCGLPSLRFFLPDGDSSEPMLLFPKRTFQPSLIRRKRNHGFFARKATKGGRRVIARRIAKGRSRITA >Manes.01G090300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29182520:29184023:1 gene:Manes.01G090300.v8.1 transcript:Manes.01G090300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNDVDKIDDVMLPGFRFHPTDEELVGFYLKRKIQQRSLPIELIKQVDIYKYDPWDLPKELATTGEKEWYFYCPRDRKYRNSARPNRVTRAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLAEPSPPKKLLERSLPPNDAWAICRIFKKTNSMAQRALNYSWISQLPEATASDVVNQGTHCTQFSSENISCTTESVFQICSNNLQQASSGNFRALDISSYKSITTTVDKPSLFPSSNGDLTNSFLFSSIEMSAPTKCPVDDSSMLLNSALIGNVSKTSESIDYEGSQHQFNGFSISLPQVTQDHMAADEDEACLRKNPSGVHENSQWETMRSIGFPFSLTSNLPDAWKSNLPWDSPPCPSEMSSTYSTNKCYT >Manes.01G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29181716:29184451:1 gene:Manes.01G090300.v8.1 transcript:Manes.01G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEKNDVDKIDDVMLPGFRFHPTDEELVGFYLKRKIQQRSLPIELIKQVDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTRAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLAEPSPPKKLLERSLPPNDAWAICRIFKKTNSMAQRALNYSWISQLPEATASDVVNQGTHCTQFSSENISCTTESVFQICSNNLQQASSGNFRALDISSYKSITTTVDKPSLFPSSNGDLTNSFLFSSIEMSAPTKCPVDDSSMLLNSALIGNVSKTSESIDYEGSQHQFNGFSISLPQVTQDHMAADEDEACLRKNPSGVHENSQWETMRSIGFPFSLTSNLPDAWKSNLPWDSPPCPSEMSSTYSTNKCYT >Manes.06G016200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2679814:2681876:-1 gene:Manes.06G016200.v8.1 transcript:Manes.06G016200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKIETGHQDTVHDVAMDYYGKRIATASADHSIKIIGISNNTSQQLTAHQGPVWQVSWAHPKFGSLLASCSYDGRVIIWKEGNQNEWTQAHVFDDHKSSVNSIAWAPHEVGLCLACGSSDGNISVFTVRSDGGWDTSRIDQAHPVGVTSVSWAPSTAPGAFIGSSLLDPVQKLCSGGLDNTVKVWKLDNGIWKMDCFPSLQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVITWTVGKEGDQWEGKILHDFKTPVWRVSWSLTGNVLAVADGNNNVTLWKEAVDGEWQQVTTIAS >Manes.06G016200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2678572:2681905:-1 gene:Manes.06G016200.v8.1 transcript:Manes.06G016200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKIETGHQDTVHDVAMDYYGKRIATASADHSIKIIGISNNTSQQLTAHQGPVWQVSWAHPKFGSLLASCSYDGRVIIWKEGNQNEWTQAHVFDDHKSSVNSIAWAPHEVGLCLACGSSDGNISVFTVRSDGGWDTSRIDQAHPVGVTSVSWAPSTAPGAFIGSSLLDPVQKLCSGGLDNTVKVWKLDNGIWKMDCFPSLQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVITWTVGKEGDQWEGKILHDFKTPVWRVSWSLTGNVLAVADGNNNVTLWKEAVDGEWQQVTTIAS >Manes.06G016200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2679814:2681876:-1 gene:Manes.06G016200.v8.1 transcript:Manes.06G016200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKIETGHQDTVHDVAMDYYGKRIATASADHSIKIIGISNNTSQQLTAHQGPVWQVSWAHPKFGSLLASCSYDGRVIIWKEGNQNEWTQAHVFDDHKSSVNSIAWAPHEVGLCLACGSSDGNISVFTVRSDGGWDTSRIDQAHPVGVTSVSWAPSTAPGAFIGSSLLDPVQKLCSGGLDNTVKVWKLDNGIWKMDCFPSLQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVITWTVGKEGDQWEGKILHDFKTPVWRVSWSLTGNVLAVADGNNNVTLWKEAVDGEWQQVTTIAS >Manes.11G137400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30295872:30304830:1 gene:Manes.11G137400.v8.1 transcript:Manes.11G137400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQSQHRRGGLILLSPSQTPRSSDKTARDPRSGESNSSSKHDKEKGVNVQVIVRCRPLSEDEMRVHTPVVVSCNEGKREVSAVQNIANKHIDRTFLFDKVFGPTSQQKDLYDLAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLTLEETSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVDHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLDETLSTLDYAHRAKNIKNRPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQDEAEKKAMAEKIERMELESESKDKQIMELQELYNSQLLLTAELSEKLEKTENKLGETENSLFDLEEKHRQANATIKEKEFLISNLLKSEKALVERAFELRAELENAASDISNLFAKIERKDKIEDGNRVLIQKFQSQLTQQLEILHRTVATSVTQQEQQLKDMEEDMQSFVSTKAEATEGLQGKVGKLKTMYGSGIQALDEMAKELEGNSRSTFVNLNSEVSKHSHALEGLFQGIASEADALLNDLQSSLHIQEEKLTMFAKQQCQAHSRAVETARSVSKITVNFFKTLDMHASNLTHIVEEAQTVNDKKLSELERKFEECAANEERQLLEKVAELLANSNSRKKKLVQTAVHDLRQSANSRTNELQKEMSTMQDSTSSVMAEWTVHMDKTETNYLEDTSAVESGKRDMEDVLHNCLNKARMGAQQWKDAQHSLLDLEKGNVASVNSIIGGGMEANQVLCTRFSSAVSAALEDVDVANNNLLSSIDYSLKLDHDACRNLNSLIFPCCEDMRELKGDHHQKIVEITDNAGKYLQDEYTVDEPSCSTPRKRSFNLPSVTSIDELRTPAFEELLKSFWETKYAKQANGDIKNLAAAYEALRDSRVPLTAIN >Manes.11G137400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30295873:30304986:1 gene:Manes.11G137400.v8.1 transcript:Manes.11G137400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQSQHRRGGLILLSPSQTPRSSDKTARDPRSGESNSSSKHDKEKGVNVQVIVRCRPLSEDEMRVHTPVVVSCNEGKREVSAVQNIANKHIDRTFLFDKVFGPTSQQKDLYDLAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLTLEETSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVDHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLDETLSTLDYAHRAKNIKNRPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQDEAEKKAMAEKIERMELESESKDKQIMELQELYNSQLLLTAELSEKLEKTENKLGETENSLFDLEEKHRQANATIKEKEFLISNLLKSEKALVERAFELRAELENAASDISNLFAKIERKDKIEDGNRVLIQKFQSQLTQQLEILHRTVATSVTQQEQQLKDMEEDMQSFVSTKAEATEGLQGKVGKLKTMYGSGIQALDEMAKELEGNSRSTFVNLNSEVSKHSHALEGLFQGIASEADALLNDLQSSLHIQEEKLTMFAKQQCQAHSRAVETARSVSKITVNFFKTLDMHASNLTHIVEEAQTVNDKKLSELERKFEECAANEERQLLEKVAELLANSNSRKKKLVQTAVHDLRQSANSRTNELQKEMSTMQDSTSSVMAEWTVHMDKTETNYLEDTSAVESGKRDMEDVLHNCLNKARMGAQQWKDAQHSLLDLEKGNVASVNSIIGGGMEANQVLCTRFSSAVSAALEDVDVANNNLLSSIDYSLKLDHDACRNLNSLIFPCCEDMRELKGDHHQKIVEITDNAGKYLQDEYTVDEPSCSTPRKRSFNLPSVTSIDELRTPAFEELLKSFWETKYAKQANGDIKNLAAAYEALRDSRVPLTAIN >Manes.11G137400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30295899:30304830:1 gene:Manes.11G137400.v8.1 transcript:Manes.11G137400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQSQHRRGGLILLSPSQTPRSSDKTARDPRSGESNSSSKHDKEKGVNVQVIVRCRPLSEDEMRVHTPVVVSCNEGKREVSAVQNIANKHIDRTFLFDKVFGPTSQQKDLYDLAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLTLEETSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVDHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLDETLSTLDYAHRAKNIKNRPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQDEAEKKAMAEKIERMELESESKDKQIMELQELYNSQLLLTAELSEKLEKTENKLGETENSLFDLEEKHRQANATIKEKEFLISNLLKSEKALVERAFELRAELENAASDISNLFAKIERKDKIEDGNRVLIQKFQSQLTQQLEILHRTVATSVTQQEQQLKDMEEDMQSFVSTKAEATEGLQGKVGKLKTMYGSGIQALDEMAKELEGNSRSTFVNLNSEVSKHSHALEGLFQGIASEADALLNDLQSSLHIQEEKLTMFAKQQCQAHSRAVETARSVSKITVNFFKTLDMHASNLTHIVEEAQTVNDKKLSELERKFEECAANEERQLLEKVAELLANSNSRKKKLVQTAVHDLRQSANSRTNELQKEMSTMQDSTSSVMAEWTVHMDKTETNYLEDTSAVESGKRDMEDVLHNCLNKARMGAQQWKDAQHSLLDLEKGNVASVNSIIGGGMEANQVLCTRFSSAVSAALEDVDVANNNLLSSIDYSLKLDHDACRNLNSLIFPCCEDMRELKGDHHQKIVEITDNAGKYLQDEYTVDEPSCSTPRKRSFNLPSVTSIDELRTPAFEELLKSFWETKYAKQANGDIKNLAAAYEALRDSRVPLTAIN >Manes.01G039200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7077898:7085460:1 gene:Manes.01G039200.v8.1 transcript:Manes.01G039200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHQKPLIIHLVSSTDSPEFTLLNRALTRTSVIGLDAEWKPIRNPHKSTFPTVSLLQLACQLRPQLGSDSDESLVFLLDLSLIPLSSIWELLREIFVSPDILKLGFRFKQDLVFLSSTFCSQGCDPGFDKVEPYLDITSINHFLQHKHRGRKTPKDTKSLASICNDLLGISLSKELQCSDWSCRPLTEEQKTYAAIDAHCLLEIFNVFKANLVKEGKTYGTIMELHSSNINLGLKELLQKQDIGDALIRSNFPEALNIVQATVTSEDSHRVANGEKLISMPSTRNTMPMDEVLLNIVRKYGEKILLKASDRKPKSSKKKGKKRSSLVAVCREKQLDGIGDWQGPPPWDLSLGGDGWPKFLCDVMVEGLAKHLRCVGMDAAIPYSKKPEPRELIDQAYKEQRVLLTRDAKLLRHQYLIKNQIYRVKSLLKNEQLLEVIESFQLKITEDKLMSRCTKCNGRFIQKPLTTEEAVEAAKGFQKIPDCLFNKNLEFWQCMDCNQLYWEGTQYQNAVQKFIDICKLNE >Manes.01G039200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7077898:7085460:1 gene:Manes.01G039200.v8.1 transcript:Manes.01G039200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHQKPLIIHLVSSTDSPEFTLLNRALTRTSVIGLDAEWKPIRNPHKSTFPTVSLLQLACQLRPQLGSDSDESLVFLLDLSLIPLSSIWELLREIFVSPDILKLGFRFKQDLVFLSSTFCSQGCDPGFDKVEPYLDITSINHFLQHKHRGRKTPKDTKSLASICNDLLGISLSKELQCSDWSCRPLTEEQKTYAAIDAHCLLEIFNVFKANLVKEGKTYGTIMELHSSNINLGLKELLQKQDIGDALIRSNFPEALNIVQATVTSEDSHRVANGEKLISMPSTRNTMPMDEVLLNIVRKYGEKILLKASDRKPKSSKKKGKKRSSLVAVCREKQLDGIGDWQGPPPWDLSLGGDGWPKFLCDVMVEGLAKHLRCVGMDAAIPYSKKPEPRELIDQAYKEQRVLLTRDAKLLRHQYLIKNQIYRVKSLLKNEQLLEYNGTLEVYLFCQFALES >Manes.10G059500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8155000:8164414:-1 gene:Manes.10G059500.v8.1 transcript:Manes.10G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDNSYEDGGGFGKFRKRPFRRVQATPYDRPPTAIRNPSHASNTNNNNGWLSKLVDPAQRLITSSAHRFFASMFRKRLPPPPPPQPPEPEAHGGAPDEQKETIPKDPPGIRGSATNEIDNPDNSFDKGGLTKLELILKQKTFTRSEIDRLTALLQSRTVDADLGNQEKKSEVIPSEGFLSLDRKEFPHTPIKDNGLESHPISTPNVSSSVLDEDVASPAELAKAYMGSRPSKISPSVLGLSSRAVGEHSIVQIDRPVPSKSTIMSVVPRSSGRVTSAENGFVTPRSHGRSAIYSMARTPYSRVHSSSTLQGAGSETNVLGLPSFSSPSIWENSRFSGSKQRALKRRSSVLENDIGSVGPIRRIRQKSNLLPSSSSLSIRGSSLGSDSAQLLSSSQKLAIASEGSVENGDTGIHGSSFAHVPSKSSEMASKILQQLDMLVSSREKSPTKLSPSMLRGPALRSLENVDSSKFLETVQDNNKTDVKYETSQPDVRDSLSQKQDRVEENGHKKLAVSYEKSASAVNGTNPANLVNNVSDQKTVSFPMVNSVAQPPTQKKWAFQMSAHEDDLELDDDENFDRSTSAMLAEEKQKLDTALPESKASSAEAITSEKPAAFLQFKSQASAIFNQNPPVASGGSAGTEKNSGFSVPAAAPLPNATVQQAVVDKQATVTSDKASSPNESSAALPIFNFGDKVVSQKEPNGVPPKSNFSSGTGSVVPQMTFASNSQVASETTGLKFDTSNPRSESPSSFAFNAVDATKPGLKEPESDKTDSCNSLKAGVFFSSNETLSSTVSTSSLAKQGIISFGVGSNPSIVNGNGSLADSTRSFSSPPTVVPDNISVQNSSISYNSSGITPAMIAASSNATNISKISASASAPSLESGSAFKFGSSSTSTSSISATTGVGLTEIKKETNFVNLVSAPFSSTSSVTTSTGGSLFGGTSTAMTSTGSNILDGTSSAVVSSGSSSFCGTSTSVTITGSSIFSFNAGSNTSTAAASATQGFNPFSAGTAPASGAGSLLAATTQSMPIQFSSSTSFPFGLTASPTFSSGSSLFSSPNNMNKLFSSGATFGLTSTSSDANTVGSTTSSMSTGFGSTWQTPKSPIFNSASSSTGFAFGASSSSSASSTTSVMFGSSTNASSDSVFSFSSPAASTPPQPVFGNTNPAFTFGSSPSGNSDQMNMEDSMAEDTVQTTTSAVPVFGQQPVAPPSSSFVFGCTAPSTANQFGSAAPSGGNQFGSTAPSGANPFQFGSQSNLAVSQNQSPFQASGSLEFNARGSFSLGTGGSDKSGRKFVRVRKTQRKK >Manes.10G059500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8155000:8164414:-1 gene:Manes.10G059500.v8.1 transcript:Manes.10G059500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDNSYEDGGGFGKFRKRPFRRVQATPYDRPPTAIRNPSHASNTNNNNGWLSKLVDPAQRLITSSAHRFFASMFRKRLPPPPPPQPPEPEAHGGAPDEQKETIPKDPPGIRGSATNEIDNPDNSFDKGGLTKLELILKQKTFTRSEIDRLTALLQSRTVDADLGNQEKKSEVIPSEGFLSLDRKEFPHTPIKDNGLESHPISTPNVLDEDVASPAELAKAYMGSRPSKISPSVLGLSSRAVGEHSIVQIDRPVPSKSTIMSVVPRSSGRVTSAENGFVTPRSHGRSAIYSMARTPYSRVHSSSTLQGAGSETNVLGLPSFSSPSIWENSRFSGSKQRALKRRSSVLENDIGSVGPIRRIRQKSNLLPSSSSLSIRGSSLGSDSAQLLSSSQKLAIASEGSVENGDTGIHGSSFAHVPSKSSEMASKILQQLDMLVSSREKSPTKLSPSMLRGPALRSLENVDSSKFLETVQDNNKTDVKYETSQPDVRDSLSQKQDRVEENGHKKLAVSYEKSASAVNGTNPANLVNNVSDQKTVSFPMVNSVAQPPTQKKWAFQMSAHEDDLELDDDENFDRSTSAMLAEEKQKLDTALPESKASSAEAITSEKPAAFLQFKSQASAIFNQNPPVASGGSAGTEKNSGFSVPAAAPLPNATVQQAVVDKQATVTSDKASSPNESSAALPIFNFGDKVVSQKEPNGVPPKSNFSSGTGSVVPQMTFASNSQVASETTGLKFDTSNPRSESPSSFAFNAVDATKPGLKEPESDKTDSCNSLKAGVFFSSNETLSSTVSTSSLAKQGIISFGVGSNPSIVNGNGSLADSTRSFSSPPTVVPDNISVQNSSISYNSSGITPAMIAASSNATNISKISASASAPSLESGSAFKFGSSSTSTSSISATTGVGLTEIKKETNFVNLVSAPFSSTSSVTTSTGGSLFGGTSTAMTSTGSNILDGTSSAVVSSGSSSFCGTSTSVTITGSSIFSFNAGSNTSTAAASATQGFNPFSAGTAPASGAGSLLAATTQSMPIQFSSSTSFPFGLTASPTFSSGSSLFSSPNNMNKLFSSGATFGLTSTSSDANTVGSTTSSMSTGFGSTWQTPKSPIFNSASSSTGFAFGASSSSSASSTTSVMFGSSTNASSDSVFSFSSPAASTPPQPVFGNTNPAFTFGSSPSGNSDQMNMEDSMAEDTVQTTTSAVPVFGQQPVAPPSSSFVFGCTAPSTANQFGSAAPSGGNQFGSTAPSGANPFQFGSQSNLAVSQNQSPFQASGSLEFNARGSFSLGTGGSDKSGRKFVRVRKTQRKK >Manes.01G195900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37003156:37012829:1 gene:Manes.01G195900.v8.1 transcript:Manes.01G195900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVRTLNLYRGPFEQLNLTHLFHSIADPVGSSISRIESNLFLNKLSKCGRIDEARYMFDKMPCRDEFAWNTMIAAYANSGRLTEAKQLFHEAPLKSSITWTSLISGYCTHGCEIEAFEWYWQMQLAGQKPNTYTLGSVIRLCSTMGFLRRGEQIHGYALKTRLDGDVFVITGLVDMYAKCESISEAEYLFEIATDSKNHATWTAMLAGYSRNGDGFKAIKCFRDMRTEGVESNQFTFPSILTACGAISAHDFGVQVHGCIVRSGFGANIFVQSALVDMYSKCRDLSNAKRVLENMQINDVISWNSMIVGCVREGFEEEALSLFREMHARNMKIDDYTYPSVLNSFVSMRDIQNAKSVHCLIVKTGFGAYKLVNNALVDMYAKQGKLDYAFMVFDQMPDKDVISWTSLVTGCSYNDSYEDAIKLFCDMRIAGICPDQIALASVLSACAELTVMEFGQQVHATIIKSGLGSSLSVDNSLLTMYAKCGCIEDANHVFDSMRTRNVISWTALIVGYAKNGRGKDSLHFYDQMIATGTKPDFITFIGLLFACSHTGLVEEGRQYFESMDNEYGIKPGPEHYACMIDLLGRSGKLAEAKELLNQMVVEPDATVWKALLGACRVHGELELGETAAKKLFELEPVNSVPYVLLSNMYSLAGRWEDAARVRRLMKSRGVNKEPGCSWIESNSKVHTFMSEDRSHPMMNEIYLKIDEIIILIKEAGYVPDMNFALQDMDKEGKELGLAYHSEKLAVAFGLLTSPQGAAIRIFKNLRVCGDCHAAMKYISKVYLRRIILRDSNCFHHFREGKCSCGDYW >Manes.08G122800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36248275:36250544:-1 gene:Manes.08G122800.v8.1 transcript:Manes.08G122800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQELMKMQTCVLKVNIQCHCDGCKKKIKKLLQKVDGVYNSTINAEQGKVTVTGNVDPAKLIKKLEKSGKHAELWGAPKGFNNYQNFVNNQFKDMQSGKENKSQKGGKGGQQVQHQMQQFKGSNDLKMPQKDQKSAKSNLQDGYDTSDDDFDDEFDDDFDDDDDEEEEFGHGYGHGQVQVQGNHLPNKMMPMMGKGHGLNGPGGMTGGPMFNAKKDGDGGGGGGGGGGGGGNAKKGGGDFEIPVVMKGKGNYNDGQNKGGGGDRKNGNSKGGSEKQESRGKKGGKGGIGGLFSFGRKSKNGREGGTGNKSFNNGSGAMKDGGKNDGVHDSNKIKQNSEIDVNRGGAGANNMGQMVGQMRPMGSYPAVQGLPSPAAMNGGYYQGMGGSNPYNQQYMSMMMNQQRPNGNDMFQPMMYAPPHPAVNYIPPPPMPSHPMADPITHFFSDENTNSCSIM >Manes.08G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36248275:36250544:-1 gene:Manes.08G122800.v8.1 transcript:Manes.08G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQELMKMQQTCVLKVNIQCHCDGCKKKIKKLLQKVDGVYNSTINAEQGKVTVTGNVDPAKLIKKLEKSGKHAELWGAPKGFNNYQNFVNNQFKDMQSGKENKSQKGGKGGQQVQHQMQQFKGSNDLKMPQKDQKSAKSNLQDGYDTSDDDFDDEFDDDFDDDDDEEEEFGHGYGHGQVQVQGNHLPNKMMPMMGKGHGLNGPGGMTGGPMFNAKKDGDGGGGGGGGGGGGGNAKKGGGDFEIPVVMKGKGNYNDGQNKGGGGDRKNGNSKGGSEKQESRGKKGGKGGIGGLFSFGRKSKNGREGGTGNKSFNNGSGAMKDGGKNDGVHDSNKIKQNSEIDVNRGGAGANNMGQMVGQMRPMGSYPAVQGLPSPAAMNGGYYQGMGGSNPYNQQYMSMMMNQQRPNGNDMFQPMMYAPPHPAVNYIPPPPMPSHPMADPITHFFSDENTNSCSIM >Manes.08G122800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36248275:36255627:-1 gene:Manes.08G122800.v8.1 transcript:Manes.08G122800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQELMKMQQTCVLKVNIQCHCDGCKKKIKKLLQKVDGVYNSTINAEQGKVTVTGNVDPAKLIKKLEKSGKHAELWGAPKGFNNYQNFVNNQFKDMQSGKENKSQKGGKGGQQVQHQMQQFKGSNDLKMPQKDQKSAKSNLQDGYDTSDDDFDDEFDDDFDDDDDEEEEFGHGYGHGQVQVQGNHLPNKMMPMMGKGHGLNGPGGMTGGPMFNAKKDGDGGGGGGGGGGGGGNAKKGGGDFEIPVVMKGKGNYNDGQNKGGGGDRKNGNSKGGSEKQESRGKKGGKGGIGGLFSFGRKSKNGREGGTGNKSFNNGSGAMKDGGKNDGVHDSNKIKQNSEIDVNRGGAGANNMGQMVGQMRPMGSYPAVQGLPSPAAMNGGYYQGMGGSNPYNQQYMSMMMNQQRPNGNDMFQPMMYAPPHPAVNYIPPPPMPSHPMADPITHFFSDENTNSCSIM >Manes.12G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4917861:4921845:-1 gene:Manes.12G052400.v8.1 transcript:Manes.12G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDPILASQKSKIPSEEPETNPQETVPITPLIPPFKYSNRVVLKSIVERSDAGAGLVGETMVIGGWVKSSKEVKKDPPGQLLSEDNDGAVVFQGHKDGSCIDIFQTRVPLFRSIANAFGGSRNHPARSKLQPATSKPPAISRPPPPLSIVYLLINDGSCVASLQFTIEFSDAFPIRPLPIGTCILAEGVLNQLPDQQGKNSIEFKVKKFLHIGTVEDDKYILSRKRLPLETLRDYSHFRPRTTTVASVMRIRSALAFATHTFFQNNGFLSVEAPIITTTDEGFGAKFRVTTLADKEVKKEEPKITDDTEGVSLEDVKAAIKEKNNLIQQLQRSDSNSEALLAAEQDLLKTNQLASHLEEKQKLRLETLMKAAKADKPEDFFSQHTYLTVSGLLHLESYACSLGNVYSFGPRFRADRSGTEKQVAEMWMVETEMAFSELEDAMNCAEDYFKFLCKWVLENCSADMKFVSRRIDKTRTNLLEAMISSFYERITYMEAVNVLKKIADRKFETQPEWGTELTSQHLSYLVDEIYKKPIMVYNFPKDLRPFYVRLNDDGKTVATFDLVIPRGGKFITGSQKEERFDLLNERISELGLPKEQYEWYLDLRRYGTVKHSGFTVGFDIMVLFATGIPDVRDAIPFPRSSGKLNN >Manes.09G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1032972:1039903:1 gene:Manes.09G003500.v8.1 transcript:Manes.09G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPTESHQHESNNATLSFETDSEPPNSHTSKTSNPFYSPSPLRSLFKNSPGISSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEAPIPEDNDSDIALDKNFGFSKQFASHYVLFEEVGRGHFGYTCSAKAKKGSMKGQDVAVKLIPKSKMTTSIAIEDVRREVQILRALNGHKNLVQFYDAYEDDDNVYVVMELCKGGELLDRILARGGKYSEDDAKTVMVQILSAVAYCHLQGVVHRDLKPENFLFTTKDENCTLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSVGVIAYILLCGSRPFWARTESGIFRAVLKADPIFDDAPWTSLSPEAIDFVKRLLNKDYRKRPTAAQALSHPWLADHHDMKIPLDMIVYKLVKAYISSSSLRKSALGALAKTLTVNQLAYLREQFMLLGPSKNGFISMSNFKTAVIRNSTDAVKDSRVLDYVSMVSSLQYKKLDFEEFCAAAISVHQLEGMDCWEQHARLAYEMFEKNGNRPIMIEELASELGLSPSVPVHVVLQDWIRREDGKLSFLGFVRLLHGVSSRTFQKG >Manes.17G103500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31111440:31113583:1 gene:Manes.17G103500.v8.1 transcript:Manes.17G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIFLFTCHCISSVLLYIPFLYILNVILCKFRQVSGHGPPTYPIIGCLISFYKNQNRLLDWYTELLAKSATNTIVVERLGARRTIVTANPENVKYMLKTNFNNFPKGKPFTEILGDFLGYGIFNVDGELWHIQRKLASHEFTAKSLREFFMMTLEEEVDKGLLPVLESLEETGEVVDLQELLRRLAFNMICKVSLGIDRCCLDPSLPVSPLARAFDMASEICARRGAAPLFVVWKMKRWLGIGSEKRLKEAVEQVHQYVEEIIVNREKMIVKGSENQAEDLLSRLILAGHEEKVIRDMMISFIMAGRDTTSAAMTWLFWLLSCHPDVEEEVVKEIQFTKETKLDYESLKELRILKACLCESMRLYPPVAWDSKHAVVDDLLPDNTPVRSGDRVTYFPYGMGRMEALWGKNRLEFKPERWFLEPEKRSSLKKMCAYKYPIFQAGPRVCLGKEMAFIQMKYVVASILRQYEIRPVKSEQPPIFVPRLTAHMAGGLKVVIRKR >Manes.02G017401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1614493:1617083:-1 gene:Manes.02G017401.v8.1 transcript:Manes.02G017401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPDKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIINLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSASPTTVAATSRGTEHSSNQIDLMYQDLTTRPQVQETLFSTPAPLFMFDTGTSPFDATAHNSVRGELFNDPASLTAETWNSNQHHHHHQVQAFPHHQATFSIGIDTNNYLPPLIDNMIPMDEEGDIALRCMQRQELNSNFLFWDNVDEPLGGEEIAAISSSMEASLSSFPSSL >Manes.02G225200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37783136:37785270:1 gene:Manes.02G225200.v8.1 transcript:Manes.02G225200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREEFIELARKSGKFGDAAIEFQQQALKNSGIGDESYMPRVVFRPGQGITLKDGREEAATVMFGAVDDLLAATKIKTKDIKVLVVNCGILNPTPSLSAMVINHYKLRHNISSFNLGGMGCAAGVIAIDLAKDLLNAYPGSYALVVSTEAVTYTWYTGNDPDMLLANSFFRMGAAAMLLSSCRLDRWRSKYELKQLVRTHKGMDNRSFKSVHLKEDRQGRQGLSVSKDVIEVAGHALKANITTLGPLVLPVSEQVHFFTNLLFKKKSKKPYIPDYKLAFEHVCLLATSKMVLNELQKNLELTDEYMEASRKTLERFGNTSSSSIWYELAYLEANSRIKKGDRIWQIAFGSGFKCNSVVWKALRSVGKPERSPWIQESV >Manes.02G225200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37783136:37785270:1 gene:Manes.02G225200.v8.1 transcript:Manes.02G225200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQDTLSTEIVNRGIQDSGPYAGSLSFSVRVRRGLPDFLNTVNLKYVKLGYAYLLSHSIYFFTAPFVIFIFSFVLGKLTWKDFYPKCDHLDAVFLCGMLGLILYLYLDSTPRSTYLVDFACFRPPDELKISREEFIELARKSGKFGDAAIEFQQQALKNSGIGDESYMPRVVFRPGQGITLKDGREEAATVMFGAVDDLLAATKIKTKDIKVLVVNCGILNPTPSLSAMVINHYKLRHNISSFNLGGMGCAAGVIAIDLAKDLLNAYPGSYALVVSTEAVTYTWYTGNDPDMLLANSFFRMGAAAMLLSSCRLDRWRSKYELKQLVRTHKGMDNRSFKSVHLKEDRQGRQGLSVSKDVIEVAGHALKANITTLGPLVLPVSEQVHFFTNLLFKKKSKKPYIPDYKLAFEHVCLLATSKMVLNELQKNLELTDEYMEASRKTLERFGNTSSSSIWYELAYLEANSRIKKGDRIWQIAFGSGFKCNSVVWKALRSVGKPERSPWIQESV >Manes.01G194500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36901071:36906564:1 gene:Manes.01G194500.v8.1 transcript:Manes.01G194500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANCASSSRSNLLKPLIAVLSYTETLRRPISTSTDPLTIETSLPFTSHNCEPPSRSVETNPQELISFFRDMATMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAGITKKDCIITSYRDHCTFVGRGGTLLEVFSELMGRQGGCSKGKGGSMHFYKKDAGFYGGHGIVGAQVPLGCGLAFAQKYSKDETVTFALYGDGAANQGQLFEALNISALWDLPVILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHVLRKGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKVILAHDLATERELKDFEKEIRKEVDQAIAQAKESPMPEPSELFTNVYVKGLGTEAFGADRKEVRAVLP >Manes.01G194500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36901071:36907663:1 gene:Manes.01G194500.v8.1 transcript:Manes.01G194500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANCASSSRSNLLKPLIAVLSYTETLRRPISTSTDPLTIETSLPFTSHNCEPPSRSVETNPQELISFFRDMATMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAGITKKDCIITSYRDHCTFVGRGGTLLEVFSELMGRQGGCSKGKGGSMHFYKKDAGFYGGHGIVGAQVPLGCGLAFAQKYSKDETVTFALYGDGAANQGQLFEALNISALWDLPVILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHVLRKGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKVILAHDLATERELKDFEKEIRKEVDQAIAQAKESPMPEPSELFTNVYVKGLGTEVKILPCISVFFFPSLFSFTFMRILYM >Manes.01G194500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36901071:36907676:1 gene:Manes.01G194500.v8.1 transcript:Manes.01G194500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANCASSSRSNLLKPLIAVLSYTETLRRPISTSTDPLTIETSLPFTSHNCEPPSRSVETNPQELISFFRDMATMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAGITKKDCIITSYRDHCTFVGRGGTLLEVFSELMGRQGGCSKGKGGSMHFYKKDAGFYGGHGIVGAQVPLGCGLAFAQKYSKDETVTFALYGDGAANQGQLFEALNISALWDLPVILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHVLRKGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKVILAHDLATERELKDFEKEIRKEVDQAIAQAKESPMPEPSELFTNVYVKGLGTEAFGADRKEVRAVLP >Manes.09G011660.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2442370:2445341:1 gene:Manes.09G011660.v8.1 transcript:Manes.09G011660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLYHQAESLPFPILYFLSSLPNPNLRSIPKTTDETMMKMPWRRKSRSVHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTRLDDAIASFYHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPTTVTFTTLINGLCMERKIDKAVEFFDDMVARGYQPDVYTYNTIVNGMCKFGKTNVAIGLLKRMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDEMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPDVITYNSLMDEYCLCKQIDKARKVFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPNAVTYSTLIKGMFEAGRPQTAQELFKNMCSHGQQPNIVTFSIMIAGLCRQGNLDETLTLLKAMEKSQLKPNFVIYSSMINGMCKVGKISDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNEGSAN >Manes.08G063666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8688898:8692251:1 gene:Manes.08G063666.v8.1 transcript:Manes.08G063666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNSQEGQSVVRPPFFDGNDFLYWKNRMYYFLKSEGVDLWDIVENGPFFPTRFIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTAKEIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTFLKDAKDLTKVQLDELLGNLIDYEMTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALNFRKENGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDSEEEEVGDEIANMCFMALEESSDEVCLKSSKIESKWYLDSGCSRHMTGNSSHFISLEKKDGSGQVTFGDNGKGKIVGIGKVGKENSPILDKVLLVDGLKHNLLSVSQLCDKGCRVIFESKSCFVSRMSDNKILFVGERVENIYLIDLQAMTNQDMKCFVSISDNSWIWHRRLSHASMDLLKNLSKDELVDGLPKIKYEKDKVCDACQMGKQVKSSFKAINKVISSRTLQLLHMDLFGPTRVASLGGMHYGFVIVDDYSRYTWVVFLAHKDDCFDAFKSFTKKVQNEKGFQISSIRSDHGLPTKWKPRVSYFRVFGCKCFILNNKDNLGKFDSKTDEGIFLGYSISSKSYRVFNKRTLIVEESMHVVFDESNPFAPRKEILNLMEIKINPRRFQ >Manes.15G072800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5498001:5507679:-1 gene:Manes.15G072800.v8.1 transcript:Manes.15G072800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENISVSIASKIAELLVEPIVHQLRYIFCFSNIAEDLKQQEKNLTLAQDRVQNAVDAATRNAEEIEKDVLAWLTDANKALEDAKCLENEKEEGKRCFSKWCPYFISRYRLSRKMAKKGLSLSKLQEKGNFSRVFYYATLPCIEFLSKDFMPSESSKLALNRIMEALRDDNVNMIGLYGMGGVGKTTLVKEVGKQVNQLKLFDEVLMVVISQAQDLIQIQDRMADKLYLDIREKSKEGRASRIWKSLKNKKKILIILDDIWRYLDLKEIGIPLGDDHKGCKVLLTTRLQHVCISMDCQREIPLHHLTEDEAWALFKKNAGVCDDSSSVLNNMAVEVARECKGLPIAIVTMGRALRGKNLEGWEAASQKLKKSRLTDIRDVDKDENAYACLKSSFDYLQREQTKLCFLLCSLFPEDCEIFVEDLVRYTVALGLYVDAQSIEETRSEVCEAICVLQASSMLLETESEESVKMHDVFRDFALWIGSKMENGFKLRAGFGQEERLRTNSFEKCTAISLMDSDIREVANWLVCPQLKMLLLLYGKRTEFSLKEDSSDTEEGSTNVEEGCNSIHTFFEGMKELQVLSIAHEFLSTQSLEFLTNLHTLQLKYCSIATDLTSLKYLKKLMILDLHGSPIKELPEEIGELNNLRLLDLADCQQLKRIPPSTIQRLSKLEELYIGNSSFCKWGVEGTSDQRSNASLMELNSLSHLAILWLYINDKHIPRDFAFPNLNKYCVEINSGNMSRKFSLRPGTSTSRCISISDHNINTPNVLKELFLNVYDLSLDNSGIQNIIPEMDQRGFNHLIQLSFFLCSMKCLISTMQQQVPAIAFSNLQRIHIGQTILREICDGEPPEKFLEKLQTIEMFASHGMLTLFPAKLWRALQNLETVVIQECDDLQEVFQLDGLSGADRNLLSKLVTMRLESIPKLRYIWKGPVCHVNLKSLTYLKLDGCDRLTFIFSPSLARSLLLLETLDIRYCNQLKHVIAEKDEEREEAVLEACEQPVGLQNLKTLKICGCDKLEYVFPISFSQGLLHLEEINIQNAIELKHIFSKGKEGLHSGDGNDNMLHEPRELELSYSSNPSYFCSGNYVVVLPFLKHLEFEGCPKLSINYVIKISILLKESTSSGQARVKNPEGIQLKTLIITGCAELEHIIVKDDDERDKVSLQNHIQSMCFTNLLHIEVYRCNKLKRLFPIAIAQGRYHFVFPCLETLTVQGCPLITTRFTIAPNGSVHAKAKKTQIVKQDVANWNASTLGSANEEIEWNREQQGALPAYMEDENEAKALQVVEDSSNGNSRPTNMIFRNIQGMGCCLSRGG >Manes.S024352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2069884:2070018:1 gene:Manes.S024352.v8.1 transcript:Manes.S024352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.11G023500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2406192:2406758:1 gene:Manes.11G023500.v8.1 transcript:Manes.11G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGPDHELNHTESSQTRLYNPYQDLNVPIQTLYQLPTSPEFLFTEESLRQRRSWGENLTFYTGSAYISASIGGGSIGFFSALKSFEPTDTLKLKVNRILNSSGHSGRVWGNRIGVVGLIYAMTESGIVAITDRDDVWTSMAAGLGTGAVCRAARGVRSAAVAGALGGVVAGAAVAGKQTLKRYAMI >Manes.14G074101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6214006:6215620:1 gene:Manes.14G074101.v8.1 transcript:Manes.14G074101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATPLVLAPLPLATAVLHKFSSSSSWSTFSRNRRRVSSSLSPLLDTKSTATFKAPCISSLSSSTSPLDSSSEMRRSMSSHFSLCSDIAASTLASLSSNAANTSRDFSLRPLPSLEGCALVVVSIPLLPDILDICTTNSAFPACTNSLARPFVKNAIQIACLSSPKTPYFGGDDSHFTQPRVSSRRGYDTNFTSPREACSRNRLNQAQLALIPTVTGTMLHPNESTRAALVNSPQA >Manes.06G013200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2170043:2173586:1 gene:Manes.06G013200.v8.1 transcript:Manes.06G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMANACFLCLLLSPVLSLLQATAKKTYIVHMNHNSKPHSYSTHSDWYQSLTSTSDAILYTYTTAFHGFAAYLEPEEAESLRNMDSVVNVFEDVLYSVQTTRTPHFLGLNSNFALAGGRKFQEIEQVTHDVIVGVLDTGIWPESKSFDDTGLPEIPKRWRGNCNSTLDFDHKFCNKKLIGANYFMEGHKKEAPRSKDIASPRDYDGHGTHTASTIAGSPVKNATLLGFARGTARGMAARARLAIYKVCWSSGCSGADILAGMERAVLDGVDILSVSIGMTSVEPLPYLHDPIALGALCAMLNGVLVSCSAGNDGPARSSVKNVAPWILTVGAGSIDRNFPAYALLGNKRRVTGVSLYKGPGMGRKPAKLVYLKGRNSYSNLCLPGTLEPAMVRGRVVICDIGVIIPEEKSLVVRKAGGFGMILVDSVAAKALDTNIFLVPAVTVAKKEGDLIKKYVKTEPNPTVLLSFGGTVVNVRPSPIVGSFSSRGPNPVTPQILKPDILAPGVNILAAWSEATSPSGLKEDNRVTKFNIISGTSMACPHASGIAALLKAAHPTWSLSAIRSALMTTAYSVDDTNSAIMDSATAASSNPWAYGSGHIDPKKALSPGLVYDLSTEDYITCMCTLNFPLSFLQAITENPNLNCSKKFSDLGELNYPSFSVLFGNKTVVKYTRELTNVEAAKSSYEVKVIRPANVAVRVKPSKLVFKKIGEKKRYEVKFAAKKNQKPMGGVAFGSIVWSNVKNQVSSPIAFTWT >Manes.13G020350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2962634:2964223:1 gene:Manes.13G020350.v8.1 transcript:Manes.13G020350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIQPLLIGSFYSRTILMKSLSERAQKAQNEGSQLASEAIINHRTITAFSSQKRIMGFFRKSMKGPKKETAKQSWISGFGLFSSQFLTTASVALTFWYGGRLMAQGKIESKHLFRVFFLLMSTGKSIADAGSMSSDLAKGNNAIRSVFAILDRKTEIYPDDPNGIEIKRSIKGCIELKNIFFSYPARPNQMIFKDLSLTIEAGKTIALVGHSGSGKSTIIGLIERFYDPQSGLVLIDNRDIKSYNLRKLRSHIALVSQEPTLFAGTIHQNIACGKEDATEAEIRKAAMLANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAILKNPEILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQKADSIAVIKNGKVVERGSHSELLAIGRHGSYYSLIKLQSGILPFSFCKC >Manes.03G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2300567:2302120:-1 gene:Manes.03G028300.v8.1 transcript:Manes.03G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCEIESNHLTAAAIIGQDGSVWAQSSSFPQFKPEEITGIMNDFNEPGTLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTNLALIIGIYDEPMTPGQCNMIVERLGDYLIDQGL >Manes.01G090800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29250883:29251868:1 gene:Manes.01G090800.v8.1 transcript:Manes.01G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQVVVRREESSSRRSSSSSFTVRSVRYGECQKNHAAEIGGYAVDGCREFMASGEEGTDAALTCAACGCHRNFHRRELETEVACDCSSPSSNGN >Manes.01G242500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40345752:40347433:-1 gene:Manes.01G242500.v8.1 transcript:Manes.01G242500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPKIYHFDEVAKHNDRHDCWLLIHGKVYNVTRFLEEHPGGEEVLIAASEKDATDDFDDVGHSSSAKELMNKYYIGDVDVKTVPPPGKKYTPPPINPPKAINKYYAMLAKLLQLLLPLLILGGAFAVRSAIKRD >Manes.01G242500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40345752:40347002:-1 gene:Manes.01G242500.v8.1 transcript:Manes.01G242500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPKIYHFDEVAKHNDRHDCWLLIHGKVYNVTRFLEEHPGGEEVLIAASEKDATDDFDDVGHSSSAKELMNKYYIGDVDVKTVPPPGKKYTPPPINPPKAINKYYAMLAKLLQLLLPLLILGGAFAVRSAIKRD >Manes.01G242500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40345752:40347433:-1 gene:Manes.01G242500.v8.1 transcript:Manes.01G242500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPKIYHFDEVAKHNDRHDCWLLIHGKVYNVTRFLEEHPGGEEVLIAASEKDATDDFDDVGHSSSAKELMNKYYIGDVDVKTVPPPGKKYTPPPINPPKAINKYYAMLAKLLQLLLPLLILGGAFAVRSAIKRD >Manes.18G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4858045:4861676:1 gene:Manes.18G057000.v8.1 transcript:Manes.18G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVQSGTLSPELAIQVLVQFDKSMTEALESQVKSKVSIKGHLHTYRFCDNVWTFILQDALFKNEDSQENVGRVKIVACDSKLLTQ >Manes.05G166700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27868420:27873926:-1 gene:Manes.05G166700.v8.1 transcript:Manes.05G166700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQFHSQVEVGVRIKVVPGTSGSAVAAEAMRNKANWVVLDKKLKQELRHCIEELRCNIVLMKGSQAKVLRLNLGCSDEDQAPYCSASSSPLRNFGGQRIKHSTPMTSPEGSSTSCSGTREGSLSSCDSMTPLFLIHKQNPLFERTNKGNHAPENNQNDSDDSLKASDCKLITLSANLTSSAAGNHNSVFWIPQNHHVDEKSSTTQNRSSVKIGTQTSRTLLDKFMQHDQDARAGIVLLSQNHQKEHTATSSPRNAVSLGRMSSVPPPLCSLCQHKAPVFGIPPRQFSYKELEEATEGFSDMNFLARGGFGNVYRGILRDGQMVALKLLKSGNSQAGADFDRAVWVLSCAQHRNVVLLVGFCIDGKKRILVYEYICNGSLEFHLHGNKRAPLDLHSKMKIAIGTARGLRYLHEDCRVGCIVHRDMRPNNILVTHDFEPLVADFGLARWHSEWSISTEERVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRISELHFYEGLNFLSDWYTLAALDPIHALTKIYRLLDRYLATAQVHEFLYQLQAMGEAAFLCLHPDPESRPAMSKILKILE >Manes.05G166700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27869450:27874113:-1 gene:Manes.05G166700.v8.1 transcript:Manes.05G166700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKIEPRIIQRDAFTAAVNVVVAVKAEKVISKTALAWALTHLVHPGDYITLLAVLSNCKTGKRFWSFPRWTGDSGSSQRERLPDRICEISESCSQMVLQFHSQVEVGVRIKVVPGTSGSAVAAEAMRNKANWVVLDKKLKQELRHCIEELRCNIVLMKGSQAKVLRLNLGCSDEDQAPYCSASSSPLRNFGGQRIKHSTPMTSPEGSSTSCSGTREGSLSSCDSMTPLFLIHKQNPLFERTNKGNHAPENNQNDSDDSLKASDCKLITLSANLTSSAAGNHNSVFWIPQNHHVDEKSSTTQNRSSVKIGTQTSRTLLDKFMQHDQDARAGIVLLSQNHQKEHTATSSPRNAVSLGRMSSVPPPLCSLCQHKAPVFGIPPRQFSYKELEEATEGFSDMNFLARGGFGNVYRGILRDGQMVALKLLKSGNSQAGADFDRAVWVLSCAQHRNVVLLVGFCIDGKKRILVYEYICNGSLEFHLHGNKRAPLDLHSKMKIAIGTARGLRYLHEDCRVGCIVHRDMRPNNILVTHDFEPLVADFGLARWHSEWSISTEERVIGTSGYLADPAFYLWPVLSL >Manes.05G166700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27867681:27874112:-1 gene:Manes.05G166700.v8.1 transcript:Manes.05G166700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSQAKVLRLNLGCSDEDQAPYCSASSSPLRNFGGQRIKHSTPMTSPEGSSTSCSGTREGSLSSCDSMTPLFLIHKQNPLFERTNKGNHAPENNQNDSDDSLKASDCKLITLSANLTSSAAGNHNSVFWIPQNHHVDEKSSTTQNRSSVKIGTQTSRTLLDKFMQHDQDARAGIVLLSQNHQKEHTATSSPRNAVSLGRMSSVPPPLCSLCQHKAPVFGIPPRQFSYKELEEATEGFSDMNFLARGGFGNVYRGILRDGQMVALKLLKSGNSQAGADFDRAVWVLSCAQHRNVVLLVGFCIDGKKRILVYEYICNGSLEFHLHGNKRAPLDLHSKMKIAIGTARGLRYLHEDCRVGCIVHRDMRPNNILVTHDFEPLVADFGLARWHSEWSISTEERVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRISELHFYEGLNFLSDWYTLAALDPIHALTKIYRLLDRYLATAQVHEFLYQLQAMGEAAFLCLHPDPESRPAMSKILKILE >Manes.05G166700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27867681:27874112:-1 gene:Manes.05G166700.v8.1 transcript:Manes.05G166700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKIEPRIIQRDAFTAAVNVVVAVKAEKVISKTALAWALTHLVHPGDYITLLAVLSNCKTGKRFWSFPRWTGDSGSSQRERLPDRICEISESCSQMVLQFHSQVEVGVRIKVVPGTSGSAVAAEAMRNKANWVVLDKKLKQELRHCIEELRCNIVLMKGSQAKVLRLNLGCSDEDQAPYCSASSSPLRNFGGQRIKHSTPMTSPEGSSTSCSGTREGSLSSCDSMTPLFLIHKQNPLFERTNKGNHAPENNQNDSDDSLKASDCKLITLSANLTSSAAGNHNSVFWIPQNHHVDEKSSTTQNRSSVKIGTQTSRTLLDKFMQHDQDARAGIVLLSQNHQKEHTATSSPRNAVSLGRMSSVPPPLCSLCQHKAPVFGIPPRQFSYKELEEATEGFSDMNFLARGGFGNVYRGILRDGQMVALKLLKSGNSQAGADFDRAVWVLSCAQHRNVVLLVGFCIDGKKRILVYEYICNGSLEFHLHGNKRAPLDLHSKMKIAIGTARGLRYLHEDCRVGCIVHRDMRPNNILVTHDFEPLVADFGLARWHSEWSISTEERVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRISELHFYEGLNFLSDWYTLAALDPIHALTKIYRLLDRYLATAQVHEFLYQLQAMGEAAFLCLHPDPESRPAMSKILKILE >Manes.05G166700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27868425:27874112:-1 gene:Manes.05G166700.v8.1 transcript:Manes.05G166700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSQAKVLRLNLGCSDEDQAPYCSASSSPLRNFGGQRIKHSTPMTSPEGSSTSCSGTREGSLSSCDSMTPLFLIHKQNPLFERTNKGNHAPENNQNDSDDSLKASDCKLITLSANLTSSAAGNHNSVFWIPQNHHVDEKSSTTQNRSSVKIGTQTSRTLLDKFMQHDQDARAGIVLLSQNHQKEHTATSSPRNAVSLGRMSSVPPPLCSLCQHKAPVFGIPPRQFSYKELEEATEGFSDMNFLARGGFGNVYRGILRDGQMVALKLLKSGNSQAGADFDRAVWVLSCAQHRNVVLLVGFCIDGKKRILVYEYICNGSLEFHLHGNKRAPLDLHSKMKIAIGTARGLRYLHEDCRVGCIVHRDMRPNNILVTHDFEPLVADFGLARWHSEWSISTEERVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRISELHFYEGLNFLSDWYTLAALDPIHALTKIYRLLDRYLATAQVHEFLYQLQAMGEAAFLCLHPDPESRPAMSKILKILE >Manes.05G166700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27868099:27873936:-1 gene:Manes.05G166700.v8.1 transcript:Manes.05G166700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKIEPRIIQRDAFTAAVNVVVAVKAEKVISKTALAWALTHLVHPGDYITLLAVLSNCKTGKRFWSFPRWTGDSGSSQRERLPDRICEISESCSQMVLQFHSQVEVGVRIKVVPGTSGSAVAAEAMRNKANWVVLDKKLKQELRHCIEELRCNIVLMKGSQAKVLRLNLGCSDEDQAPYCSASSSPLRNFGGQRIKHSTPMTSPEGSSTSCSGTREGSLSSCDSMTPLFLIHKQNPLFERTNKGNHAPENNQNDSDDSLKASDCKLITLSANLTSSAAGNHNSVFWIPQNHHVDEKSSTTQNRSSVKIGTQTSRTLLDKFMQHDQDARAGIVLLSQNHQKEHTATSSPRNAVSLGRMSSVPPPLCSLCQHKAPVFGIPPRQFSYKELEEATEGFSDMNFLARGGFGNVYRGILRDGQMVALKLLKSGNSQAGADFDRAVWVLSCAQHRNVVLLVGFCIDGKKRILVYEYICNGSLEFHLHGNKRAPLDLHSKMKIAIGTARGLRYLHEDCRVGCIVHRDMRPNNILVTHDFEPLVADFGLARWHSEWSISTEERVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRISELHFYEGLNFLSDWYTLAALDPIHALTKIYRLLDRYLATAQVHEFLYQLQAMGEAAFLCLHPDPESRPAMSKILKILE >Manes.05G166700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27868355:27874112:-1 gene:Manes.05G166700.v8.1 transcript:Manes.05G166700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKIEPRIIQRDAFTAAVNVVVAVKAEKVISKTALAWALTHLVHPGDYITLLAVLSNCKTGKRFWSFPRWTGDSGSSQRERLPDRICEISESCSQMVLQFHSQVEVGVRIKVVPGTSGSAVAAEAMRNKANWVVLDKKLKQELRHCIEELRCNIVLMKGSQAKVLRLNLGCSDEDQAPYCSASSSPLRNFGGQRIKHSTPMTSPEGSSTSCSGTREGSLSSCDSMTPLFLIHKQNPLFERTNKGNHAPENNQNDSDDSLKASDCKLITLSANLTSSAAGNHNSVFWIPQNHHVDEKSSTTQNRSSVKIGTQTSRTLLDKFMQHDQDARAGIVLLSQNHQKEHTATSSPRNAVSLGRMSSVPPPLCSLCQHKAPVFGIPPRQFSYKELEEATEGFSDMNFLARGGFGNVYRGILRDGQMVALKLLKSGNSQAGADFDRAVWVLSCAQHRNVVLLVGFCIDGKKRILVYEYICNGSLEFHLHGNKRAPLDLHSKMKIAIGTARGLRYLHEDCRVGCIVHRDMRPNNILVTHDFEPLVADFGLARWHSEWSISTEERVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRISELHFYEGLNFLSDWYTLAALDPIHALTKIYRLLDRYLATAQVHEFLYQLQAMGEAAFLCLHPDPESRPAMSKILKILE >Manes.05G166700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27868148:27873911:-1 gene:Manes.05G166700.v8.1 transcript:Manes.05G166700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKIEPRIIQRDAFTAAVNVVVAVKAEKVISKTALAWALTHLVHPGDYITLLAVLSNCKTGKRFWSFPRWTGDSGSSQRERLPDRICEISESCSQMVLQFHSQVEVGVRIKVVPGTSGSAVAAEAMRNKANWVVLDKKLKQELRHCIEELRCNIVLMKGSQAKVLRLNLGCSDEDQAPYCSASSSPLRNFGGQRIKHSTPMTSPEGSSTSCSGTREGSLSSCDSMTPLFLIHKQNPLFERTNKGNHAPENNQNDSDDSLKASDCKLITLSANLTSSAAGNHNSVFWIPQNHHVDEKSSTTQNRSSVKIGTQTSRTLLDKFMQHDQDARAGIVLLSQNHQKEHTATSSPRNAVSLGRMSSVPPPLCSLCQHKAPVFGIPPRQFSYKELEEATEGFSDMNFLARGGFGNVYRGILRDGQMVALKLLKSGNSQAGADFDRAVWVLSCAQHRNVVLLVGFCIDGKKRILVYEYICNGSLEFHLHGNKRAPLDLHSKMKIAIGTARGLRYLHEDCRVGCIVHRDMRPNNILVTHDFEPLVADFGLARWHSEWSISTEERVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRISELHFYEGLNFLSDWYTLAALDPIHALTKIYRLLDRYLATAQVHEFLYQLQAMGEAAFLCLHPDPESRPAMSKILKILE >Manes.07G132500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33409984:33412311:-1 gene:Manes.07G132500.v8.1 transcript:Manes.07G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSDSFHGSKSSYSCKKVSQDDEADEEADKSCSCKPRNDGDTTSNSSDEESDKKEGASRSVRQYIRSKMPRLRWTPDLHLCFVHAVERLGGQEKATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKLDDANQGQRLLFEGGAHNIFKLSQLPMLQGFNQRNFTSLRFGDAPWRGLDHHQIYGSFMKHHNSLDYDSNIINIKSSSFNGQNIINGTHQPLESIHRSWKMGSSKPSSLDFKYIKLQEREADVTEAEKEEEEEEEEEDCFKNHNKNWRTIQETGNMLKRKNLDADCNLDLNLSLKVTLQDGIENGLKSDRCDNEVNSSLSLSLSSSSSSKPSGLKQEDDEDGSRKHARRASTLGLTL >Manes.05G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8134873:8137096:1 gene:Manes.05G089100.v8.1 transcript:Manes.05G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLGVTAVLLTMEFLEVAMNTVNKAAMSKGMSQFVLVVYSNILGVLLLLSSSFIFYRKRIAPPITWSIMGRIFVLSLLCCTGQVFTYIGLGYSSPTLASAMTDLTPAFTFILGVVSRMERLDLRSKSSQAKFVGTMVLIAGGLVVTLYKGLPIAGSPTSTDKLQNLLLLLGPSNWAIGGFILAAHSFVLALIFVVQTWIIRDYPSEILITLISSSFVTILSAIVSLIVEEDLNAWRIRPNIELAATGFSAVFAVSLRSIVHTWACHKKGPVYTSMFKPIGMVLAVFIGVSFLGDTLYLGSVMGAVIIALGFYAVVWGKSQEARTVEDEEKRCFESSSPRVPLLQNICTNL >Manes.05G089100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8134873:8137096:1 gene:Manes.05G089100.v8.1 transcript:Manes.05G089100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLGVTAVLLTMEFLEVAMNTVNKAAMSKGMSQFVLVVYSNILGVLLLLSSSFIFYSCTGQVFTYIGLGYSSPTLASAMTDLTPAFTFILGVVSRMERLDLRSKSSQAKFVGTMVLIAGGLVVTLYKGLPIAGSPTSTDKLQNLLLLLGPSNWAIGGFILAAHSFVLALIFVVQTWIIRDYPSEILITLISSSFVTILSAIVSLIVEEDLNAWRIRPNIELAATGFSAVFAVSLRSIVHTWACHKKGPVYTSMFKPIGMVLAVFIGVSFLGDTLYLGSVMGAVIIALGFYAVVWGKSQEARTVEDEEKRCFESSSPRVPLLQNICTNL >Manes.05G089100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8134873:8137097:1 gene:Manes.05G089100.v8.1 transcript:Manes.05G089100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLGVTAVLLTMEFLEVAMNTVNKAAMSKGMSQFVLVVYSNILGVLLLLSSSFIFYRMERLDLRSKSSQAKFVGTMVLIAGGLVVTLYKGLPIAGSPTSTDKLQNLLLLLGPSNWAIGGFILAAHSFVLALIFVVQTWIIRDYPSEILITLISSSFVTILSAIVSLIVEEDLNAWRIRPNIELAATGFSAVFAVSLRSIVHTWACHKKGPVYTSMFKPIGMVLAVFIGVSFLGDTLYLGSVMGAVIIALGFYAVVWGKSQEARTVEDEEKRCFESSSPRVPLLQNICTNL >Manes.05G089100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8134873:8137096:1 gene:Manes.05G089100.v8.1 transcript:Manes.05G089100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLGVTAVLLTMEFLEVAMNTVNKAAMSKGMSQFVLVVYSNILGVLLLLSSSFIFYRKRIAPPITWSIMGRIFVLSLLCCTGQVFTYIGLGYSSPTLASAMTDLTPAFTFILGVVSRMERLDLRSKSSQAKFVGTMVLIAGGLVVTLYKGLPIAGSPTSTDKLQNLLLLLGPSNWAIGGFILAAHSFVLALIFVVQTWIIRDYPSEILITLISSSFVTILSAIVSLIVEEDLNAWRIRPNIELAATGFSYHFEALFIHGHATRKGLSTLPCLNQLGWF >Manes.02G050100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4043119:4045421:-1 gene:Manes.02G050100.v8.1 transcript:Manes.02G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRRGCGFGSPVMTLVAMVVMLLVVVVPEVSATRWTVGANMGWSPNVNYTIWAKDKHFYNGDWLFFVYDRNQMNVLEVNKTDYESCNSDHPLHNWTRGAGRDVVPLNVTRNYYFISGKGFCFGGMKVAVHVENLPPPPTAAPLNEKSASPSPSFYLRGQYILPAVLAIGALWDAFVLMW >Manes.04G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:362996:366524:1 gene:Manes.04G000700.v8.1 transcript:Manes.04G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAEPPPDAPLFPEIDMGADSSAPTIRATVVQASTVFYDTPATLDKAERLLAEAAGYGSQLVVFPEAFVGGYPRGSSFGAAIGNRTPKGREDFRKYHASAINVPGPEVDRLAAMAGKYKIYLVMGVIERDGYTLYCTILFFDSQGHYLGKHRKLMPTATERIVWGFGDGSTIPVLDTPIGKIGGAICWENRMPLLRTAMYGKGVEIYCAPTADARDTWQATMKHIALEGGCFVLSANQFCRRKDYPSPPEYIFSGIEEDLTPDSVVCAGGSVIISPLGNVLSGPNYDEEALISADLDLGEIARAKFDFDVVGHYARPEVLSLNVRDHPTNAVTFTSSAAKTEGTNR >Manes.06G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20258484:20273342:1 gene:Manes.06G066500.v8.1 transcript:Manes.06G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKEESSDTPSEQFLKIGLDERTARNTIANNKVTNNLTAVIHEAGVTDGCSRTIGNLLYTVATKYPANALIHRPTLLGYIVSSKVKTPAQLEAAFSFLSKTASENFELNEFEEACGVGVEVSVEDIERTVNEIFEQNKESILELRYRTNVGDLFGHVRKRLPWADPKIVKQLIDAKLFELLGERTAADNEKPSKQKKEKPAKVEDKKVADDNPVQPSEEDLNPFLIFPNPEENFKVHTEIFFSDKSVLRCCNTKEMLDKHLKATGGKVFTRFPPEPNGYLHIGHAKAMFVSFGLAKERDGCCYLRYDDTNPEAERKEYIDHIQEIVQWMGWKPFKITYSSDYFQDLYDLAVELIQRGHAYVDHQTPEEIKEYREKKMNSPWRDRPIAESLKLFDEMRRGMIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHSGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNITNTVMSKRKLNFLVTKNYVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDGSLIRLERLEYHIREELNKTASRTMVVLHPLKVVITNLESGCIMDLEAKKWPDAQNDDASAFYKVPFSNVVYIEHSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTEVVLADDNETIIEVRAEYDPSKKTKPKGVLHWVAESSLGVGPLKVEVRLFDKLFNSENPAELEDWLADLNPLSKVVMSGAYAVPSLKNAAVGERFQFERLGYFVVDKDSTPDKLVFNRTVTLRDGYSKGGK >Manes.09G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8812726:8817980:1 gene:Manes.09G053300.v8.1 transcript:Manes.09G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSKTGLNLLTRSFLRRISGFSYSSTRTLETLAFEELRSSPDKPYTSTAFILHGLLGSGRNWRSFSRNLASYLSNSSSSSSEWRMVLVDMRNHGKSADIEGLHPPHNLFNAAKDLANLVKSHCWAWPDVVIGHSMGGKVALQFAQSCYRGDYGESVALPKQLWVLDSVPGEVGLEKSDGEVEKVLKTLQSLPSLIPSRKWLVNHMIELGFSKSLSEWIGINLKKSGDQETWAFNLEGAIQMFKSYRETSYWSLLEHPPKGMEIDIVIAEKSDRWEPDTILRLESLDNQKENGSAGKVAVYVLPNSGHWVHVDNPKGLLQIVAPKIASLQA >Manes.06G133500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26186041:26186994:-1 gene:Manes.06G133500.v8.1 transcript:Manes.06G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRICKICNRRFANGKAMGGHMRSHLAKLPLPPKPIPSEQVEPPSSKSLSPSSSAVSLNYPSTKNPMQSHRSVNHRLPLMSKTNLAALLDGDSETESPKNTTQRRSKRRRKSLEKVAESIVKVSESTEQVSSISCIFNEEEVAMCLLMLSRDKRWTKKVVDKYMEDEAEDHSEEEDEPSGVIRLRSKTNGRHTCETCKRVFRSYQALGGHKSSHTRIKTSVADEDEDRSRSSNGGVVGNEPRIFKCPYCDKVFESGQALGGHKKVHLSYLGNYKISIKSADNLLDLNLPAPEDDGEVSQAEVSTFSNPKAYQMRK >Manes.02G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11076270:11077435:-1 gene:Manes.02G144400.v8.1 transcript:Manes.02G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKITVELMNFPKNDTDCFLMDEYFERLSELSPLESFLEFDSLSLPYDTNFGFEDYLLENGHPLSWDVDIPIDAKPLRVFTSTIDNTVKYDDDFTGTSSNEEEKRVIMGRKRSAPLEMEEIRKHFDKPITKAAKEMKVGLTVLKKRCRELSIMRWPHRKIKSLKSLIRNVKEKGLTNEAVMLEEHQRLLEKMPDMELNDSTKKLRQAIFKDNYKKRRCLEAHA >Manes.05G158800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27151618:27152602:-1 gene:Manes.05G158800.v8.1 transcript:Manes.05G158800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPRYEVEVRLPQGKYYYKYIVNGQWRHSTASPTERDERGNVNNIIMIGDTASVRPSIRQQKKVGHDSYMNLKYKAS >Manes.05G061200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5145308:5152883:1 gene:Manes.05G061200.v8.1 transcript:Manes.05G061200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKTTHIASPDQEPLPPQEPKADLANGATDLDHHQDLDQVPTFREFSLAELRTATNGFSSDLIVSESGEKAPNVVYRGKLNANRLVAIKRFSRLSWPDPHQFVTEASGVGKVRHKRLVNLIGCCAEGDERLLVAEFMPNDTLSKHLFHWEKQPLPWEMRVRVAYYIAQALGHCNAQNRKLYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYANEDATELVELASKCLQYEAKDRPDIQFLLTAVTPLQKQKEVASLVLMGLSKTPVVLPTMLSPLGKACVRMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAVDYYSKLVAMMSVPSGTVFVRRALSYLMIGQAELALRDAMQAQVCLPEWPTAFYMQALALSKLGMESDAQDMLNDGASFEAKKQSSWRI >Manes.05G061200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5145291:5152883:1 gene:Manes.05G061200.v8.1 transcript:Manes.05G061200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKTTHIASPDQEPLPPQEPKADLANGATDLDHHQDLDQVPTFREFSLAELRTATNGFSSDLIVSESGEKAPNVVYRGKLNANRLVAIKRFSRLSWPDPHQFVTEASGVGKVRHKRLVNLIGCCAEGDERLLVAEFMPNDTLSKHLFHWEKQPLPWEMRVRVAYYIAQALGHCNAQNRKLYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYANEDATELVELASKCLQYEAKDRPDIQFLLTAVTPLQKQKEVASLVLMGLSKTPVVLPTMLSPLGKACVRMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAVDYYSKLVAMMSVPSGTVFVRRALSYLMIGQAELALRDAMQAQVCLPEWPTAFYMQALALSKLGMESDAQDMLNDGASFEAKKQSSWRI >Manes.01G209675.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38087724:38089770:-1 gene:Manes.01G209675.v8.1 transcript:Manes.01G209675.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSVPQKSIHEFTVKDARGQDVDLSIYKGKVLLVVNVASKCGFTDSNYTQLTDLYNKYKDKGFEILAFPCNQFLKQEPGTSEDAQQFACTRYKAEYPIFQKVRVNGPNTAPVYRFLKASKCGIMGSGIKWNFTKFLVNKEGQVIGRYSPTTSPLSIEADIKKALEIK >Manes.08G075525.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16937262:16940187:-1 gene:Manes.08G075525.v8.1 transcript:Manes.08G075525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENRGSIAFFATYKPPVPLDIYSCPLPPTGRHDELHMTDGLSSNYDCRIIPSEALKTIIKRPKLASEANEADVDSGRLSGLIFVSERDKNLETLHIALRFTEKVKVFSFSDVYGTFSDVRMEDSGSIGGGYRVGNRTIDHSLVYVTTKDTPKERRQPWTAVYKTNLKTGKTERLTPSGVSDLSPSVSPSGRKIAVASFQGKGWNGEIEDLQTDIYVMNVEKPPLERKRIIKNGGWPTWGSENIIFFHRKVGKFWGVFRFDIASGETVRVTTDGIDAITPAAISDTKVAVATIRKKSKFSEVRVEAQYRHIEIFDSSAPDQPIKITQITRPKADHFNPFVLDGGKRIGYHRCKSDLLNHGAEIPRNFHKLHSPHPDVGLFRVSGVFPTFSKDGSKLAFVDNEFKAVWVADSQGLRIVFETKGPDNIFSPVWNQNPQKDILYVCMGPSFNAGKTLEICAIPNVSSGVRQRRKLTKKFNNAFPSTSPDGKKLVFRSTRDGGDKKHKNLYIMEDAEVGEYGDGTITRLTNGPWTDTHCQWSPSGDWIVFSSTRDKPKDAPETDNGLDPGYFSVFLIKANDPSVVVRVIKSGDDLSGHVNHPFFSPDGKSIVVTSDLAAVSVDPISLPLFLYSVRPYGDVFTVDINTDDITKNKDVKKFNRITHSRYENSTPTWTMFTTADPNATWNLLLKDRYTPSCPYMYPDGGESWHMTGHLCIPKRCC >Manes.05G085100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7015719:7020650:1 gene:Manes.05G085100.v8.1 transcript:Manes.05G085100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEVLVPVETQASRKKKTAVSRSWILLDQNGESTILDVDKYAIMRRVQIHARDLRILDPLLSYPSAILGRERVIVLNLEHIKAIITAEEVLLRDPLDENVIPIVEEFQRRLPLVNITNQIQGEEEVHPGERKDFDTGEENEFPFEFRALEVALEAICSFLDARTRELETDAYPALDELTSKISSRNLDRVRKLKSSMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGSSSPVSGSGAPNWFLNSPTIGSKISRTSRASATTMQEENDVEELEMLLEAYFMQIDGTLNKLNTLREYIDDTEDYINIQLDNHRNQLIQLELFLCSGTVSLSVYSLVAAIFGMNFQYTWREGHGYVFKWVVILTSIICASLFASIISYARHKGLVGS >Manes.05G085100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7015698:7019553:1 gene:Manes.05G085100.v8.1 transcript:Manes.05G085100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEVLVPVETQASRKKKTAVSRSWILLDQNGESTILDVDKYAIMRRVQIHARDLRILDPLLSYPSAILGRERVIVLNLEHIKAIITAEEVLLRDPLDENVIPIVEEFQRRLPLVNITNQIQGEEEVHPGERKDFDTGEENEFPFEFRALEVALEAICSFLDARTRELETDAYPALDELTSKISSRNLDRVRKLKSSMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGSSSPVSGSGAPNWFLNSPTIGSKISRTSRASATTMQEENDVEELEMLLEAYFMQIDGTLNKLNTLREYIDDTEDYINIQLDNHRNQLIQLELFLCSGTVSLSVYSLVAAIFGMNFQYTWREGHGYVFKWVVILTSIICASLFASIISYARHKGLVGS >Manes.14G144800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13372811:13386021:-1 gene:Manes.14G144800.v8.1 transcript:Manes.14G144800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKFRALDGGSSVQFRSSSTTECLPEQPFRANYPNMDPRRNLELMRMREIEKEHIRNEIIAEEIARRALKAEVWRELMMEREMATGIGVIGGGLTFGERLTMHHAPRPRFTLMNQFDNRWLEEHSAFHGRGVFDPRVQSPRVSGALVAPDVKPASEDNKDKLTVLAKSDPNRCGVKRKAPTPPEGGAAGLPYVGLNKKPKEEWSCALCQVSAISERGLNEHLRGKKHKARQARLRASKMAKSPRSVCLSGNSSRSAKLATRNTSSDKKADKNTNDTDKKTGNKLDSGNHNGKLRLQKNVRKKTMNKDRAAKLKRKGSKAELSKPKKFKFWCETCQVGAYSAVVIEAHKKGKKHLFRLLELDQTGEAVSTIMTIASSKAGEKAKDTEVTTGKTNMKIMEDANVNEKITETVADNQKMKGSLIADWDGLGQSSMLIIKTE >Manes.11G136200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30121939:30128154:1 gene:Manes.11G136200.v8.1 transcript:Manes.11G136200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPLPNPAPRKKMTKQLTGKKDDTKLHSAARAGNLAAVMEIFSDTGEKALKELLGKQNQSGETALYVAAEYGYVDLVREMIKYYDLADAGIKARNGFDAFHIAAKQGDLEILALLMEAHPELAMTVDLSNTTALHTAATQGHIEVVNFLLSVGSGLATIARSNGKTALHSAARNGHLEVVRALVAMEPGIVTRIDKKGQTALHMAVKGQNVEVVAELIRAEPSSVNMVDTKGNTALHIATRKGRTQIVRLLLRRDATDTKAVNKTGETAIDTAEKTGHPDIASILQEHGVQSAKTIKPQVTNPVRELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPSKIHPGQSLGEANIAAKAPFIIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQLMAIINKLMWIACALISVAFLALSFIVVGKHGRWLAIGVTIMGTSIMVTTLGTMCYWVIKHRIEASNMRSIRRSSLGSRSRSFSMSVVSDTDILNNEYKKMYAI >Manes.11G136200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30124395:30128154:1 gene:Manes.11G136200.v8.1 transcript:Manes.11G136200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPLPNPAPRKKMTKQLTGKKDDTKLHSAARAGNLAAVMEIFSDTGEKALKELLGKQNQSGETALYVAAEYGYVDLVREMIKYYDLADAGIKARNGFDAFHIAAKQGDLEILALLMEAHPELAMTVDLSNTTALHTAATQGHIEVVNFLLSVGSGLATIARSNGKTALHSAARNGHLEVVRALVAMEPGIVTRIDKKGQTALHMAVKGQNVEVVAELIRAEPSSVNMVDTKGNTALHIATRKGRTQIVRLLLRRDATDTKAVNKTGETAIDTAEKTGHPDIASILQEHGVQSAKTIKPQVTNPVRELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPSKIHPGQSLGEANIAAKAPFIIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQLMAIINKLMWIACALISVAFLALSFIVVGKHGRWLAIGVTIMGTSIMVTTLGTMCYWVIKHRIEASNMRSIRRSSLGSRSRSFSMSVVSDTDILNNEYKKMYAI >Manes.11G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30121844:30128154:1 gene:Manes.11G136200.v8.1 transcript:Manes.11G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPLPNPAPRKKMTKQLTGKKDDTKLHSAARAGNLAAVMEIFSDTGEKALKELLGKQNQSGETALYVAAEYGYVDLVREMIKYYDLADAGIKARNGFDAFHIAAKQGDLEILALLMEAHPELAMTVDLSNTTALHTAATQGHIEVVNFLLSVGSGLATIARSNGKTALHSAARNGHLEVVRALVAMEPGIVTRIDKKGQTALHMAVKGQNVEVVAELIRAEPSSVNMVDTKGNTALHIATRKGRTQIVRLLLRRDATDTKAVNKTGETAIDTAEKTGHPDIASILQEHGVQSAKTIKPQVTNPVRELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPSKIHPGQSLGEANIAAKAPFIIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQLMAIINKLMWIACALISVAFLALSFIVVGKHGRWLAIGVTIMGTSIMVTTLGTMCYWVIKHRIEASNMRSIRRSSLGSRSRSFSMSVVSDTDILNNEYKKMYAI >Manes.11G136200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30124395:30128154:1 gene:Manes.11G136200.v8.1 transcript:Manes.11G136200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPLPNPAPRKKMTKQLTGKKDDTKLHSAARAGNLAAVMEIFSDTGEKALKELLGKQNQSGETALYVAAEYGYVDLVREMIKYYDLADAGIKARNGFDAFHIAAKQGDLEILALLMEAHPELAMTVDLSNTTALHTAATQGHIEVVNFLLSVGSGLATIARSNGKTALHSAARNGHLEVVRALVAMEPGIVTRIDKKGQTALHMAVKGQNVEVVAELIRAEPSSVNMVDTKGNTALHIATRKGRTQIVRLLLRRDATDTKAVNKTGETAIDTAEKTGHPDIASILQEHGVQSAKTIKPQVTNPVRELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPSKIHPGQSLGEANIAAKAPFIIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQLMAIINKLMWIACALISVAFLALSFIVVGKHGRWLAIGVTIMGTSIMVTTLGTMCYWVIKHRIEASNMRSIRRSSLGSRSRSFSMSVVSDTDILNNEYKKMYAI >Manes.11G136200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30124395:30128154:1 gene:Manes.11G136200.v8.1 transcript:Manes.11G136200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPLPNPAPRKKMTKQLTGKKDDTKLHSAARAGNLAAVMEIFSDTGEKALKELLGKQNQSGETALYVAAEYGYVDLVREMIKYYDLADAGIKARNGFDAFHIAAKQGDLEILALLMEAHPELAMTVDLSNTTALHTAATQGHIEVVNFLLSVGSGLATIARSNGKTALHSAARNGHLEVVRALVAMEPGIVTRIDKKGQTALHMAVKGQNVEVVAELIRAEPSSVNMVDTKGNTALHIATRKGRTQIVRLLLRRDATDTKAVNKTGETAIDTAEKTGHPDIASILQEHGVQSAKTIKPQVTNPVRELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPSKIHPGQSLGEANIAAKAPFIIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQLMAIINKLMWIACALISVAFLALSFIVVGKHGRWLAIGVTIMGTSIMVTTLGTMCYWVIKHRIEASNMRSIRRSSLGSRSRSFSMSVVSDTDILNNEYKKMYAI >Manes.11G136200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30124395:30128154:1 gene:Manes.11G136200.v8.1 transcript:Manes.11G136200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPLPNPAPRKKMTKQLTGKKDDTKLHSAARAGNLAAVMEIFSDTGEKALKELLGKQNQSGETALYVAAEYGYVDLVREMIKYYDLADAGIKARNGFDAFHIAAKQGDLEILALLMEAHPELAMTVDLSNTTALHTAATQGHIEVVNFLLSVGSGLATIARSNGKTALHSAARNGHLEVVRALVAMEPGIVTRIDKKGQTALHMAVKGQNVEVVAELIRAEPSSVNMVDTKGNTALHIATRKGRTQIVRLLLRRDATDTKAVNKTGETAIDTAEKTGHPDIASILQEHGVQSAKTIKPQVTNPVRELKQTVSDIKHEVHYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPSKIHPGQSLGEANIAAKAPFIIFFVFDSIALFISLAVVVVQTSVVVIESKAKKQLMAIINKLMWIACALISVAFLALSFIVVGKHGRWLAIGVTIMGTSIMVTTLGTMCYWVIKHRIEASNMRSIRRSSLGSRSRSFSMSVVSDTDILNNEYKKMYAI >Manes.07G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31485571:31490532:-1 gene:Manes.07G109400.v8.1 transcript:Manes.07G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRESKQPAPSVMSRLMGLDEMPTQQPVQKKQRVLSENYLRRVASIGVREKWSERHSFNSSIKKQGGNNSVFQALETLRKNKHQSMPIQKREVNLVSSEIKMKVPEAKCDLWNKKLPSSKEFVSKTDNILKYLQKPDLCFTKEINKPNDENLSLHSGPFAVPKSLCSSECGDDSMCRKFRRKSEQGYVNSLNKVQSSIGIYSSGEVFHDNVKKFSRSKFKPNNISYLPTTKMDVLKPNLGNADSAARSFSISSQSHEVSHPGNGNQNRNRNPSNGNLFVEVKKSKNLGNGMEPVRPRSRFLRERTRTVGHGISSTPIEGPSTETSGSDSFVKASESTIPSSPTLSDRKRQYHISDGPNAAMDVEDQTFERWKMSKRFQQVELSSRSTISGTMHSIPDKPGDCGLSKQGGPDSRDANFGTPLGISSWDGCSNECVRELPTSSSLLSCFNAVENANAWTRHEHLENGWSMRDLKSIDLEQNKPKEQDFDCKDDGSECRSSDSGYKKSRDSLCLESENNSPVGDNYAVQNELENKLKEKDSGGQISAVAKSSSRINQTLQDVWMKQDEDNNEGCEEDLLGHQLESRNCILSTREEDSSCHIGDTSLQQELSHQFFEEESFSSLLSGTDPESLMSFEEAYQPSPNSVLEPFYNKEISSISECFKSVNASLHGLHMQLELLKSETSNEYSEGSSMMVSCNEDDWEGSIHDSEENECLMITFGFEGIRNFSYLADVLTEAGFHDRDLRAGFDTGPFQVSYSFFENLEKKYGEQNSWKRSERRLLFDRINSELMEILQPSTGVLTWTKPLVRRLNFSLRHDMIEEELWTLLVSQEKEASKGSEKFSIKDDERLELGDDIQVIGREIENYLFDELLADVVMESSC >Manes.06G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16043429:16045699:-1 gene:Manes.06G048900.v8.1 transcript:Manes.06G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEAVNPKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTQIQQLKDAIEKLLI >Manes.06G048900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16043429:16045419:-1 gene:Manes.06G048900.v8.1 transcript:Manes.06G048900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFLQTGEAVNPKAYPLADAQLTITILDLVQQAANYKQLKKGANEATKTLNRGISEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTQIQQLKDAIEKLLI >Manes.15G180890.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24107163:24107777:1 gene:Manes.15G180890.v8.1 transcript:Manes.15G180890.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYLSLHQLKRNFLLNYILMATKLNKPSNFLVLVLFWSFVIMPLCTIQARPLASKHLHYGTLRPSPAGGDQLSTELAEALLEDLDLFGIKKEGPSNGGEGH >Manes.14G132000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10504021:10511332:1 gene:Manes.14G132000.v8.1 transcript:Manes.14G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSNTANKLQKKRKWLLLFVFSLLFSTLLILITITSSSTSTQLLFLRQNRNIDHFRPQFPQFVESKLPKPVVSERTIPRLAYLISGSAGDGVSVKRTLKALYHPRNQYAVHLDLEAAAEERLDLMRWVRSQKLFKEVGNVRVIVRSNLVTYRGPTMVSNTLHAASILLKDGGDWDWFINLSASDYPLLTQDDLLHILSTIPRNLNFIEQTSDIGWKEYQRAKPVIIDPGLYSLQKSDVYWVSEKRSVPTAYKLFTGSAWMMLSRPFMEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAEEFKNTTVNHDLHFISWDNPPKQHPHFLTVDDYQRMVDSNAPFARKFGKNDPVLDKIDSEILGRVADGFVPGGWFSNEGDANGTIPDNIKTNTTKLKPGPGAQRFKRLITNLLSAEDFHSTHCI >Manes.04G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30913857:30917451:1 gene:Manes.04G104100.v8.1 transcript:Manes.04G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNEEIPSAPSTPATPGTPGAPLFGGLRGERSGGAIRKSLLKSCKCFSVEEWTLEEGRLPPVSCSLPPPPASLARKVGAEFIGTLILIFAGTATAIVNQKTQGTETLIGLAASTGLAVMIVILSTGHISGAHLNPSVTIAFAALKHFPWKHVPVYIGAQVMASMSAAFALKGIFHPIMGGGVTVPSGGYGEAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGQSTGASMNPVRTLGPAIAANNYKGIWIYLTAPILGALCGAGTYSAVKLPEEDGDAHEKPSESRSFRR >Manes.04G104100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30913857:30917451:1 gene:Manes.04G104100.v8.1 transcript:Manes.04G104100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNEEIPSAPSTPATPGTPGAPLFGGLRGERSGGAIRKSLLKSCKCFSVEEWTLEEGRLPPVSCSLPPPPASLARKVGAEFIGTLILIFAGTATAIVNQKTQGTETLIGLAASTGLAVMIVILSTGHISGAHLNPSVTIAFAALKHFPWKHVPVYIGAQVMASMSAAFALKGIFHPIMGGGVTVPSGGYGEAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGYAIIHNSRKS >Manes.15G061300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4685830:4687036:-1 gene:Manes.15G061300.v8.1 transcript:Manes.15G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNLQTLSQFSRYQFVKKITQFLLSVSVFSLLLSNSARLSFLHSFNFSFSTVPVQLFSHSLDKNCIFLLCNGLLVFVAKFSGLISSSSVYTNHSSIESVKSYEDDAQPVLDQYSKVSLLVKEDLMAQENVAAEERRESDECITREVEERETKQFIAEDEPDQERESGFSITPGNKEVSEVFVEEDDDHQEETIEEFVDKFPIQEDEEEYEYEEENGTLSTEELNKKFEEFIRKMKEEIRIEAQQQLVMVN >Manes.15G061300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4685830:4687201:-1 gene:Manes.15G061300.v8.1 transcript:Manes.15G061300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNLQTLSQFSSFSTVPVQLFSHSLDKNCIFLLCNGLLVFVAKFSGLISSSSVYTNHSSIESVKSYEDDAQPVLDQYSKVSLLVKEDLMAQENVAAEERRESDECITREVEERETKQFIAEDEPDQERESGFSITPGNKEVSEVFVEEDDDHQEETIEEFVDKFPIQEDEEEYEYEEENGTLSTEELNKKFEEFIRKMKEEIRIEAQQQLVMVN >Manes.06G140800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26829042:26830667:1 gene:Manes.06G140800.v8.1 transcript:Manes.06G140800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSLITHLHGQLASDCLLDWTRRMNIAIGSAEGLAYLHHKANPHIIHRDIKASNVLLDKEFQAKVADFGFAKLIPDGVTHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISSKKPLEKLRGGGKRDIVQWVTPYIQKGAFDHIVDPRLKGKFDRTQLKSTIMVAIRCTDGNPENRPNMMEVVDWLKGGLKRRTKEVSYVEDKVDEDDQNDTDYEEIFEVENFNMK >Manes.06G140800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26829042:26830668:1 gene:Manes.06G140800.v8.1 transcript:Manes.06G140800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKCLCCGFPRETQPKMISASNETDYPWERYTLKELLHATNNFHNDNKIGEGGFGSVYWGRTSKGIEIAVKRLKAMSAKAEMEFAVEVEILGRVRHKNLLGLRGFYADCLLDWTRRMNIAIGSAEGLAYLHHKANPHIIHRDIKASNVLLDKEFQAKVADFGFAKLIPDGVTHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISSKKPLEKLRGGGKRDIVQWVTPYIQKGAFDHIVDPRLKGKFDRTQLKSTIMVAIRCTDGNPENRPNMMEVVDWLKGGLKRRTKEVSYVEDKVDEDDQNDTDYEEIFEVENFNMK >Manes.06G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26829042:26830667:1 gene:Manes.06G140800.v8.1 transcript:Manes.06G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKCLCCGFPRETQPKMISASNETDYPWERYTLKELLHATNNFHNDNKIGEGGFGSVYWGRTSKGIEIAVKRLKAMSAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSLITHLHGQLASDCLLDWTRRMNIAIGSAEGLAYLHHKANPHIIHRDIKASNVLLDKEFQAKVADFGFAKLIPDGVTHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISSKKPLEKLRGGGKRDIVQWVTPYIQKGAFDHIVDPRLKGKFDRTQLKSTIMVAIRCTDGNPENRPNMMEVVDWLKGGLKRRTKEVSYVEDKVDEDDQNDTDYEEIFEVENFNMK >Manes.11G040457.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4191143:4191523:1 gene:Manes.11G040457.v8.1 transcript:Manes.11G040457.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKGNSININLENETENNVNQNFQETQELYQNQASNFQGNTSQKTMRYHYEGHFIFSPNRIYENGRFMEKPNFDVDFISFFDILDDLKKGLWI >Manes.11G040457.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4191063:4191605:1 gene:Manes.11G040457.v8.1 transcript:Manes.11G040457.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKGNSININLENETENNVNQNFQETQELYQNQASNFQGNTSQKTMRYHYEGHFIFSPNRIYENGRFMEKPNFDVDFISFFDILDDLKKGLWI >Manes.03G175900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29924507:29929480:1 gene:Manes.03G175900.v8.1 transcript:Manes.03G175900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDGKWDDDEYSVIGDKGEVGFIDYQEDKSVCSYNPNEEGPIVISVPFPFIDGKPRSVFLGETVADSITIKNTTTEAVDLWTKIYASNPENSFTLSLMEPPSANGGNDGRGFLESYALEDRMLQPGDTLTVWLNCRPKEIGLYTSIVHFDVGIDSIERVVFLLAEDNISRSLASRKPYSRATRKKQFTVDTFVGGSRPVRPKGQALKKRLPRYDIPKETRELIVSKQNLDYITGVLTRQNYANYFKMLLMMEEIQMEEDMRTYDMEGVSMRKKGNFLSLMVPGLAERRPSLVHGDYIFAKIANSDETATYQGFIHRVEADEVYLKFAPAFHCHHLNGNLYDVHFTYSRLNMRRQYQAIDAAENLETELLFPSESSGNRLIETTSLVPITCSLNEEQMCSIELILGCKGAPPYVIYGPPGTGKTITIVEAILQLYRNRKDARILVCAPSNSAADHLLEKLLCKNVANIRDNEIFRLNATSRPFEDVKPNHVRFCFFDDCLFKCPPLNALTRYRIIISTYMSSCLLYSEGIGRGHFSHIFLDESGQASEPESMVPISNLCDRNTVVVLAGDPMQLGPVIYSRDAEAYGLGKSYMERLFECESYSSGDENYVTKLVRNYRCHPDILNLPSDLFYEGELIASKESTDDTTSLLSMVNLLPGRNFPVLFFGIQGCDEREGNNPSWFNRIEASKVVELINKLTAMGSLNEADIGVITPYRQQVLKLKKALDDLDMPNIQVGTVEQFQGQERKVIIVSTVRSTVRHNEFDRVHCLGFLSNPRRFNVAITRAIALLIIIGNPHIISKDPYWNKLLWYCADNDSYQGCGLPDRQDPVDEYQIQEDCVNYDNSEENPQHTEEVGRAEESFPPEIPKPVTDEAEWSDGWK >Manes.03G175900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29924507:29929480:1 gene:Manes.03G175900.v8.1 transcript:Manes.03G175900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDGKWDDDEYSVIGDKGEVGFIDYQEDKSVCSYNPNEEGPIVISVPFPFIDGKPRSVFLGETVADSITIKNTTTEAVDLWTKIYASNPENSFTLSLMEPPSANGGNDGRGFLESYALEDRMLQPGDTLTVWLNCRPKEIGLYTSIVHFDVGIDSIERVVFLLAEDNISRSLASRKPYSRATRKKQFTVDTFVGGSRPVRPKGQALKKRLPRYDIPKETRELIVSKQNLDYITGVLTRQNYANYFKMLLMMEEIQMEEDMRTYDMEGVSMRKKGNFLSLMVPGLAERRPSLVHGDYIFAKIANSDETATYQGFIHRVEADEVYLKFAPAFHCHHLNGNLYDVHFTYSRLNMRRQYQAIDAAENLETELLFPSESSGNRLIETTSLVPITCSLNEEQMCSIELILGCKGAPPYVIYGPPGTGKTITIVEAILQLYRNRKDARILVCAPSNSAADHLLEKLLCKNVANIRDNEIFRLNATSRPFEDVKPNHVRFCFFDDCLFKCPPLNALTRYRIIISTYMSSCLLYSEGIGRGHFSHIFLDESGQASEPESMVPISNLCDRNTVVVLAGDPMQLGPVIYSRDAEAYGLGKSYMERLFECESYSSGDENYVTKLVRNYRCHPDILNLPSDLFYEGELIASKESTDDTTSLLSMVNLLPGRNFPVLFFGIQGCDEREGNNPSWFNRIEASKVVELINKLTAMGSLNEADIGVITPYRQQVLKLKKALDDLDMPNIQVGTVEQFQGQERKVIIVSTVRSTVRHNEFDRVHCLGFLSNPRRFNVAITRAIALLIIIGNPHIISKDPYWNKLLWYCADNDSYQGCGLPDRQDPVDEYQIQEDCVNYDNSEENPQHTEEVGRAEESFPPEIPKPVTDEAEWSDGWK >Manes.15G078300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5872541:5877275:1 gene:Manes.15G078300.v8.1 transcript:Manes.15G078300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHQLFGYSVTGNSPSSPFSNHFDCDTITTLSDSREQYSTTDNLSGASPSCNSSLESGNHFHQLSPTLLQDANSSQNVKYTLLQLETALMAPDDEDITMSDTSLGESSRPQTSGQTTRAWSQDHHGSCVIQPRQRQSDEGALIEEHQKQMEEAKFQSIPPGNLKQLLIACAKALAENNINDFDKLIGKARIAVSIGGEPIQRLGAYLVEGLVARKELSGNNIYRALRCREPESNDLLSYMRILYEICPYLKFGYMAANGAIAEACKNDERIHIIDFQIAQGTQWITLLQALAAKPDGAPHVRITGIDDPVNKHARGDGLEAVHRRLAAISEKFNIPIEFHGVPVFAPDVTPDMLDIRPGEALAVNFPLQLHHTPDESVDVSNPRDGLLRMVKSLNPKVVTLVEQESNTNTTPFLTRFIETLDYYLAMFESIDVTLPRDQKERIGVEQHCLAKDIVNVIACEGKERVERHELFGKWKSRLIMAGFRQYPLSSYVNSVIRSLLRCYSEHYTLVEKDGAMLLGWKNRNLISASAWN >Manes.15G078300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5872541:5877275:1 gene:Manes.15G078300.v8.1 transcript:Manes.15G078300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHQLFGYSVTGAGLSYSSSYQISPSIPNRFFGSFKFDIGNSPSSPFSNHFDCDTITTLSDSREQYSTTDNLSGASPSCNSSLESGNHFHQLSPTLLQDANSSQNVKYTLLQLETALMAPDDEDITMSDTSLGESSRPQTSGQTTRAWSQDHHGSCVIQPRQRQSDEGALIEEHQKQMEEAKFQSIPPGNLKQLLIACAKALAENNINDFDKLIGKARIAVSIGGEPIQRLGAYLVEGLVARKELSGNNIYRALRCREPESNDLLSYMRILYEICPYLKFGYMAANGAIAEACKNDERIHIIDFQIAQGTQWITLLQALAAKPDGAPHVRITGIDDPVNKHARGDGLEAVHRRLAAISEKFNIPIEFHGVPVFAPDVTPDMLDIRPGEALAVNFPLQLHHTPDESVDVSNPRDGLLRMVKSLNPKVVTLVEQESNTNTTPFLTRFIETLDYYLAMFESIDVTLPRDQKERIGVEQHCLAKDIVNVIACEGKERVERHELFGKWKSRLIMAGFRQYPLSSYVNSVIRSLLRCYSEHYTLVEKDGAMLLGWKNRNLISASAWN >Manes.15G078300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5872541:5877275:1 gene:Manes.15G078300.v8.1 transcript:Manes.15G078300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHQLFGYSVTGNSPSSPFSNHFDCDTITTLSDSREQYSTTDNLSGASPSCNSSLESGNHFHQLSPTLLQDANSSQNVKYTLLQLETALMAPDDEDITMSDTSLGESSRPQTSGQTTRAWSQDHHGSCVIQPRQRQSDEGALIEEHQKQMEEAKFQSIPPGNLKQLLIACAKALAENNINDFDKLIGKARIAVSIGGEPIQRLGAYLVEGLVARKELSGNNIYRALRCREPESNDLLSYMRILYEICPYLKFGYMAANGAIAEACKNDERIHIIDFQIAQGTQWITLLQALAAKPDGAPHVRITGIDDPVNKHARGDGLEAVHRRLAAISEKFNIPIEFHGVPVFAPDVTPDMLDIRPGEALAVNFPLQLHHTPDESVDVSNPRDGLLRMVKSLNPKVVTLVEQESNTNTTPFLTRFIETLDYYLAMFESIDVTLPRDQKERIGVEQHCLAKDIVNVIACEGKERVERHELFGKWKSRLIMAGFRQYPLSSYVNSVIRSLLRCYSEHYTLVEKDGAMLLGWKNRNLISASAWN >Manes.13G062300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7256152:7262608:1 gene:Manes.13G062300.v8.1 transcript:Manes.13G062300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTLTCRIDKRENCEDKMDQFLKRCFYQAGQYNSEEHFSELDKKLKEKEDGKLSNRLFYLSVPPNIFVDVVRCASCSAASANGWTRVIVEKPFGRDSESSGELTRCLKQYLNEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLQLEDVIVGQYKGHSKGGRSYPAYTDDPMVPNDSLTPTFAAAALFINNARWDGIPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYRKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELEEKKIVPELYPYGSRGPVGAHYLAAKHNVRWGDLGNEMYE >Manes.13G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7256152:7262608:1 gene:Manes.13G062300.v8.1 transcript:Manes.13G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLMTPPSSSSTNFSPSSSFNNEAKLFTRFISRSRKSCLSTWVSQIHSKMNAKKHFRLKSSNGHPLNAVSLHYDGKPIAKENIESPGKERQSILISEAEKGESTLSITVVGASGDLAKKKIFPALFALFYEDCLPENFTVFGYARTKLTDEELRNMISKTLTCRIDKRENCEDKMDQFLKRCFYQAGQYNSEEHFSELDKKLKEKEDGKLSNRLFYLSVPPNIFVDVVRCASCSAASANGWTRVIVEKPFGRDSESSGELTRCLKQYLNEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLQLEDVIVGQYKGHSKGGRSYPAYTDDPMVPNDSLTPTFAAAALFINNARWDGIPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYRKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELEEKKIVPELYPYGSRGPVGAHYLAAKHNVRWGDLGNEMYE >Manes.13G062300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7256152:7262608:1 gene:Manes.13G062300.v8.1 transcript:Manes.13G062300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTLTCRIDKRENCEDKMDQFLKRCFYQAGQYNSEEHFSELDKKLKEKEDGKLSNRLFYLSVPPNIFVDVVRCASCSAASANGWTRVIVEKPFGRDSESSGELTRCLKQYLNEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLQLEDVIVGQYKGHSKGGRSYPAYTDDPMVPNDSLTPTFAAAALFINNARWDGIPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYRKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELEEKKIVPELYPYGSRGPVGAHYLAAKHNVRWGDLGNEMYE >Manes.13G062300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7256152:7262608:1 gene:Manes.13G062300.v8.1 transcript:Manes.13G062300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLMTPPSSSSTNFSPSSSFNNEAKLFTRFISRSRKSCLSTWVSQIHSKMNAKKHFRLKSSNGHPLNAVSLHYGLDGKPIAKENIESPGKERQSILISEAEKGESTLSITVVGASGDLAKKKIFPALFALFYEDCLPENFTVFGYARTKLTDEELRNMISKTLTCRIDKRENCEDKMDQFLKRCFYQAGQYNSEEHFSELDKKLKEKEDGKLSNRLFYLSVPPNIFVDVVRCASCSAASANGWTRVIVEKPFGRDSESSGELTRCLKQYLNEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMKPLQLEDVIVGQYKGHSKGGRSYPAYTDDPMVPNDSLTPTFAAAALFINNARWDGIPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYRKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELEEKKIVPELYPYGSRGPVGAHYLAAKHNVRWGDLGNEMYE >Manes.17G028999.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:19942718:19943026:-1 gene:Manes.17G028999.v8.1 transcript:Manes.17G028999.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKERVYDFLAGLNNKYDPIRVQVLGRNLFPSLEEAHAHVQQEESHRHAMLYTALVEKAGLTTSLSTPQPPTSEKDHLHCDYCWKSRHTKRDLLEVTWSSH >Manes.10G003101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:546199:550774:1 gene:Manes.10G003101.v8.1 transcript:Manes.10G003101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKELLISLLALLVFVSRCVGSLNPSLNDDVLGLIVFKADLQDPKGKLSSWNQDDDSPCNWVAVKCNPRSNRVTELTLDGFFLSGRIGRGLLQLQFLRKLSLARNNLTGSISPNIVRLENLRIIDLSENSLSGPIPDEFFKQCGSLRSVSLARNKFSGKIPASLSLCATLASINLSSNQFSGSLPSGIWGLSALRSLDLSNNLLEGEIPKGIEALNNLRAINLSKNQFTGEFPGGIGNCLLLRSIDLSDNSISGYPPETMQQLSLCSYLSLSNNLLAGDFPSWIGEMKRLETLDLSGNRFSGQVPSSIGNLQSLKVLNLSANGLSGNLPESMANCGNLLALDVSRNSMSGDLPAWIFGLGSEKVKNLENKLGSLNSVPKLQVLDLSENEFSGKISFSVEVLSSLQFLNLSGNSLVGPISGTIGELKELYVLDLSENGLNGSIPRELGGAFSLKELRLERNLLTGQIPSSVGNCSSLTTLILSRNNLTGQIPAAIAKLTSLQDVDLSFNSLTGGLPKQLANLPNLSTFNISHNKLQGELPAGGFFNTISPFSVVGNPSLCGAAVNKSCPAVLPKPIVLNPNSSSNSAPDVLPENLGHKRIILSISALIAIGAAAVIVVGVIAITVLNLRVRSSTVRSAPAQTLSMGDVFSHSPTTDANSGKLVMFSGDPNFSTGTHALLNKDCELGRGGFGAVYRTVLQDGHPVAIKKLTVSSLVKSQEDFEREVKKLGKVRHQNLVALEGYYWTPSLQLLIYEFVSGGSLYKHLHEASGGNFLSWNERFNIILGTAKSLAHLHQSNITHYNIKSSNVLIDSSGEPKVGDFGLARLLPMLDHYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECVDERLQGNFPVDEAVPVMKLGLICTSQVPSNRPDMGEVVNILELIRCPSEGQEELG >Manes.07G059700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8487386:8493536:1 gene:Manes.07G059700.v8.1 transcript:Manes.07G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADFDNLSVSRSELHDLLSKSSLNGIPLLVLGNKIDKQGALSKQDFTEQMGLKSITDREVCCFMISCKNSTNIDTVIDWLVKHSKSKN >Manes.01G254900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41218543:41221502:-1 gene:Manes.01G254900.v8.1 transcript:Manes.01G254900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAPHSVCGSRNAESDARSMQDFHYSPTSSCSEASTVRKRNPLAVAAKSVARSFVACFTPPETTNYKNFDDSEEFKPPSVASYATGSNRDRRRISGRGIYGSPQNSVHGREPGSVKFTMEEIYKATRNFSPSFKIGQGGSGTVYKGRLEDGTVVAIKRAKKSAYDKHLGVEFRSEVQTLAQVEHLSLVKFYGFFQNENERIVVVEYIPNGTLREHLDCLHGNVLQLAVRLDIAIDVAHAVTYLHMYTDHPIIHRDIKSSNILLTENFRAKVADFGFARMAADTDSGATHISTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVELVTGRRPIEPKREIQERITARWAMEKFAEGDAISTLDPRLERTDITDLLLEKILKLASQCLAPRRQNRPGMKKCAEILWGIRKDCKELSASDFRSLSSNSRSSSVRKE >Manes.01G254900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41218542:41221502:-1 gene:Manes.01G254900.v8.1 transcript:Manes.01G254900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAPHSVCGSRNAESDARSMQDFHYSPTSSCSEASTVRKRNPLAVAAKSVARSFVACFTPPETTNYKNFDDSEEFKPPSVASYATGSNRDRRRISGRGIYGSPQNSVHGREPGSVKFTMEEIYKATRNFSPSFKIGQGGSGTVYKGRLEDGTVVAIKRAKKSAYDKHLGVEFRSEVQTLAQVEHLSLVKFYGFFQNENERIVVVEYIPNGTLREHLDCLHGNVLQLAVRLDIAIDVAHAVTYLHISPDYSQGHKVIQHSSHRKF >Manes.01G254900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41218542:41221502:-1 gene:Manes.01G254900.v8.1 transcript:Manes.01G254900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAPHSVCGSRNAESDARSMQDFHYSPTSSCSEASTVRKRNPLAVAAKSVARSFVACFTPPETTNYKNFDDSEEFKPPSVASYATGSNRDRRRISGRGIYGSPQNSVHGREPGSVKFTMEEIYKATRNFSPSFKIGQGGSGTVYKGRLEDGTVVAIKRAKKSAYDKHLGVEFRSEVQTLAQVEHLSLVKFYGFFQNENERIVVVEYIPNGTLREHLDCLHGNVLQLAVRLDIAIDVAHAVTYLHISPDYSQGHKVIQHSSHRKF >Manes.01G254900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41218724:41221502:-1 gene:Manes.01G254900.v8.1 transcript:Manes.01G254900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAPHSVCGSRNAESDARSMQDFHYSPTSSCSEASTVRKRNPLAVAAKSVARSFVACFTPPETTNYKNFDDSEEFKPPSANFYVISVASYATGSNRDRRRISGRGIYGSPQNSVHGREPGSVKFTMEEIYKATRNFSPSFKIGQGGSGTVYKGRLEDGTVVAIKRAKKSAYDKHLGVEFRSEVQTLAQVEHLSLVKFYGFFQNENERIVVVEYIPNGTLREHLDCLHGNVLQLAVRLDIAIDVAHAVTYLHMYTDHPIIHRDIKSSNILLTENFRAKVADFGFARMAADTDSGATHISTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVELVTGRRPIEPKREIQERITARWAMEKFAEGDAISTLDPRLERTDITDLLLEKILKLASQCLAPRRQNRPGMKKCAEILWGIRKDCKELSASDFRSLSSNSRSSSVRKE >Manes.01G254900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41218542:41221502:-1 gene:Manes.01G254900.v8.1 transcript:Manes.01G254900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAPHSVCGSRNAESDARSMQDFHYSPTSSCSEASTVRKRNPLAVAAKSVARSFVACFTPPETTNYKNFDDSEEFKPPSVASYATGSNRDRRRISGRGIYGSPQNSVHGREPGSVKFTMEEIYKATRNFSPSFKIGQGGSGTVYKGRLEDGTVVAIKRAKKSAYDKHLGVEFRSEVQTLAQVEHLSLVKFYGFFQNENERIVVVEYIPNGTLREHLDCLHGNVLQLAVRLDIAIDVAHAVTYLHMYTDHPIIHRDIKSSNILLTENFRAKVADFGFARMAADTDSGATHISTQVKGTAGYLDPEYLKTYQLTEKSDVYSFGVLLVELVTGRRPIEPKREIQERITARWHRLWRNSLREMQFQPWTQGWNVLI >Manes.09G184200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37171206:37182630:1 gene:Manes.09G184200.v8.1 transcript:Manes.09G184200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCPRGFITYNATLCACPPGRLLNRTSNTCSLFTASSAIHTETGIAYSASFPETIFSFDSIKKFTQSQAVFLEATSVMLISWLLFCLFLRFMKLGDGRNVWFKIRWWISRLDVCFATRHWLDDQQVVVKRKTELGGTFSMASWILFIGLFAALLYQIISKRTIEVHNVRATNAPDLASFVNDMEFNVTTVSSMSCSNLHGLGNLVTGNPGFIDYKVVSLTNLVNYTCQNTSTGPTLTFKCNNCQFNKDFMYISWEFVDLPNAPASAVGFQFNLTIRNHVDKRHISVVSGTLKSGSASDDSPVTFRGRDPNVLKFNLFPQIYHNVRDLRLIQPLFHEFVPGSFSRDATHLQASLQTSSDGLINTTLYVNYLSAYLVEIEKQNIMGPVSFLADLGGLYCISIGIFFYFLVQCEYRIKKLRNEDSTMRNIRHRLKAQKRWDKLRKYVMYTWGCGKWADDLESSKQGSSCRFFVAPSVRGNGSLSSRKRTSQRRTDGIRLNRRISIPSEKNVVEGDTHTQRVKSSVAGCTVNTEGRFPNSGAQRSLIGEILVSSNDGTQHSVGLCEGDASQSHPHSVTHDNVIPLPPPLEFKGGSDVEISDIQKNLQNLYNYNVILREKLLATQSLLHTLTSKSSSSSSGNHT >Manes.17G029200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21823279:21825097:1 gene:Manes.17G029200.v8.1 transcript:Manes.17G029200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPSRLPLRSGQEAPGIKRQHTARYYAHRVRESLTTRVSKLICAIFLIILFVLGIVAFILWLSLRPHRPRIYIHDFSIPGLGQANGFENAEIIFNVTARNSNQHIGFYYDNVVGSVYYKDQRIGDTPLLNPFYQEAKNTTIMYGVLSGATLTVNSHRWMEFLNDRSKGTVIFRLDVTSNIRFKVSSWSSKRHRMHANCDVGVDPNGSILASYKNKRNLLETQKAPRHQRLPFCLSLVSSRFSSC >Manes.01G048400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11515290:11517122:-1 gene:Manes.01G048400.v8.1 transcript:Manes.01G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALLWSSFLGSGQPLSASVAARPNQASYPRFVRIEAKVRTRREDRIARHIRIRKKVEGTPERPRLSVFRSNKHLYVQVIDDSKMHTLASASTMQKSVSVSEEFDYSSGPTLEVAKKVGEIIAKACLEKGITKVAFDRGGYPYHGRIQALADAARENGLQF >Manes.10G103000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25090205:25093307:-1 gene:Manes.10G103000.v8.1 transcript:Manes.10G103000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDISNNQESGEEEKMSKSLKKFLLILNGAMLAIGNCGGPLVLRLYFLKGGKSVWTSSFLQTAGWPFIIFPLIISYSYRRKKKGSRTELFYISPHLFLACAVIGVLTGLDDFLSAYGVSLLPVSTSALIIATQLGFTAGFAYILVKQKFTHFTVNAIFLLSIGAVILVLHASSDRPAHETNKQYFIGVFMTLGASALYGFVLPLIELTYKKTKQTITYTLVMEMQMVLSFFATAFCTIGMLLHKDFVAIPREAREFELGRAKYYLVLVFTAVFWQCFFMGAIGVVFCHSSLLSGIIIATLLPVTETLAVLFYHEKFRVEKGISLGLSLWGFISYFYGELQQNKKLRIRLQN >Manes.18G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4158163:4161419:-1 gene:Manes.18G047800.v8.1 transcript:Manes.18G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYQTYKTSSGLYPYPSRSPQKTIYFLSNFILSSTQGRDHYQQSSLRAKKMVRSEAICIIFILVLEGWLQLAVSHTKVEFLPGFQGPLPFEFETGYIGVDETEDVQLFYYFVKSQRNAKEDPLVLWLTGGPGCSSLSGLIYEIGPIYFEVVEYNGSLPTLVLNPHSWTQVASIIFVDSPVDTGFSYARTAVAALSGDFIQVKQADQFLRKWLTDHPEFLSNPVYVGGDSYSGLPIPPLVQLISDGNEDGSKPLINLKGYILGNAATDYSIDDNSKVPFAHGMGLISDELYESLKRSCRGEYTLVDPSNADCLQLMQEFDKCTSGLDAAHILEPLCAFVSPKPMEISFHKRRSLILNDNSGDLVDPDPSLPTIGCRTYGYLLSKYWVNDKNVQKALGIREGTIGEWTRCSYGISYTREISSTIKYHLYLGKKGYRSLVYSGDHDMLVPFVGTQAWIKSLNFSIVDDWRPWLLEGQVAGYTRTYSNQMTYATVKGGGHTAPEYRPAECFSMFKRWINQEPL >Manes.11G152042.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31608618:31616498:1 gene:Manes.11G152042.v8.1 transcript:Manes.11G152042.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLIEVSDSEVRLDFMLNSKCRANVRLRSLSATTPIAFKVQTSSPHKFLTTNPSPILFPSFSLRPFPPNSSDLTNPDSLSSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSSGDVNSVKNIIKRQRSILSELSPREAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWSELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPQSQIVMAVQLLMFLGTRDIFGPLDLRDKEGRTPLHLAAGRGNIKCSRVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHLNIEILETLERGEVVLMAARRGNLEHLESLLKKGANENYKDQYGFTALRAAAIKGHKDIVSML >Manes.01G150100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33903118:33905896:1 gene:Manes.01G150100.v8.1 transcript:Manes.01G150100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGDYHEEEEELSDRNMSTISRHVFGDNLEQPAFSVSIIENMKEEYGLFLWPCSVILAEYVWQQRERFAGVPVVELGAGTSLPGLTAAALGSDVTLTDDSNRVEVLENIRTVCELNKLECKVLGLTWGVWDEFVFSLKPKIILGADVLYEASAFDDLFATVTFLLQNSPGSVFITTYHNRSGHHLIEFLMVKWGLRCARLLDGFSFMPSCKASGLSGNIQLAEIVLNSEHSEETTTIAS >Manes.01G150100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33903092:33904834:1 gene:Manes.01G150100.v8.1 transcript:Manes.01G150100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGDYHEEEEELSDRNMSTISRHVFGDNLEQPAFSVSIIENMKEEYGLFLWPCSVILAEYVWQQRERFAGVPVVELGAGTSLPGLTAAALGSDVTLTDDSNRVEVLENIRTVCELNKLECKVLGLTWGVWDEFVFSLKPKIILGADVLYEASAFDDLFATVTFLLQNSPGSVFITTYHNRSGHHLIEFLMVKWGLRCARLLDGFSFMPSCKASGLSGNIQLAEIVLNSEHSEETTTIAR >Manes.10G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13842879:13845284:-1 gene:Manes.10G076200.v8.1 transcript:Manes.10G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPSFAGLSNLLQGRIPRTHLLQIHARVFRLHAHQDDLIATRLIGHYPSHLSLRVFYQLQRPNLFPFNAIIRVFAHEGPFRESFFLFRRLKRQSLSPNDLTFSFILKACFGSRNVLYVKQIHTHILKVGLVTDPFVCNGLVAVYSKCFEDLASARLLFDEMPDKGVVCCWTSLIAGFAQSGQSEEVLRLFCDMIVKENLRPENDTMVSVLSACSNLEFHEIEKWVTVLSEFTNNTESKTSSCDSVNNVLVYLYGKWGKIQKSRERFDNVSCNGIRSVLPWNSMINAYVQNGFPLEALGLFRLMVENPTCRPNHVTMVSVLSACAQTGDLELGKWVHEYLKSKGHKGVLEFNTFLSTALIDMYSKCGSLDKAKEVFNQMVSKDVVSFNAMIMGLAINGEGIEAVKLFYTMKEFGLHPNAGTFLGLLWACSHSGLSDEGRKIFQEMSSSFFVLPKLEHYACYIDLLARNGHLEEAIKVATLMPFKPNNFVWGALLGGCLLHSKVDLAKLVYKRFLEVDPANSAGYVILANVFAADHRWNDVSALRWFMKEKGVRKQPGCSWISINGVVHEFLVGSPLHPQIESIHHMLHGLVMDMKIASSQETPKLEL >Manes.02G103300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8105139:8105450:-1 gene:Manes.02G103300.v8.1 transcript:Manes.02G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLPQTAALKQILKRCSSFGKKLYEQQGLPDDVPKGHFAVYVGENRSRYIIPISWLDHPEIRSLLQRAEEEFGFKHEMGLTIPCEEIVFRSLTAMIK >Manes.15G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4156916:4161771:1 gene:Manes.15G054100.v8.1 transcript:Manes.15G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKKKSRSLQKEKRATAHSPKPQQVNPSVDNIDGITVLKVRKSCAHLNKGFNLNSLSEKLGSSDPSQCEDCNEGVANKRGAKGKGKHGKKKVPVVSKSEPKAIWVCLECGHYACGGVGLPTIPHSHAVRHARQTRHPLVIQWENPHLRWCFHCSTLIPVETTEENGENKDALFDVVKLIVTWSSEKPSVDVENLWFGGGSVASEIKEEGTISGSLEGRGHYMVRGLVNLGNTCFFNSVMQNLLGINKLQDFFFSQDASFGPLTIALKKLYDETKPETGLKNVINPRSFFGSVCSKAPQFRGYQQQDSHELLRCLLEGLSSEELAMRKQINASKEDGIPLKHGPTFVDSLFGGQISSTVSCIECGYSSTVYEPFLDLSLPIPAKKPPTKKVQPVTRPKKTKLPPKRGGRIRGKANKDTDTVSADSAPSISNPSAGNEYYNQKHTTVPNVVTSGDAAGSDSICLTTVPDKSGSPSEHFSASPNIDNEQEATLEQTAASFEDFSWMDYLEPETISDEHDFTSQNNDVSTSRYSGTMKSNDELMENIQLYSVDGEPHLKPGSSSVNHLEKEVHLEVRSSEVLLLPNKEESFTHGGIIKGEAEASSSVVGCGQDEADFDGFGDLFNEQEVSSGSVAGPSLDNETVGTGFTAGNSSESDPGEVGDSDSPVSVKSCLAHFIKLELLSNDNAWECESCSKTLERLEAKKKQGKTVSKTMINGSEIRVQSHPPNLDNISCSTETRDLHNGDINTNSFLDSTGEGLVLDNGKFDSSNRNCIGTEISQKDELKPIVSRSEEHMGEMTSALVEQTHSSAHYESCSHGSFSCPAVDSSRLSGYATVKDQMDDSQLSGSSGAEEDEEKMSRKVKVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLSKLNGHVNFGEVLDLRPYMDPRHADREKYVYRLVGIVEHSGTMRGGHYVAYVRGGEKSKGKAENENGGFEWYHASDAYVREVSLEEVLRCEAYILFYEKI >Manes.15G054100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4156916:4161812:1 gene:Manes.15G054100.v8.1 transcript:Manes.15G054100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKKKSRSLQKEKRATAHSPKPQQVNPSVDNIDGITVLKVRKSCAHLNKGFNLNSLSEKLGSSDPSQCEDCNEGVANKRGAKGKGKHGKKKVPVVSKSEPKAIWVCLECGHYACGGVGLPTIPHSHAVRHARQTRHPLVIQWENPHLRWCFHCSTLIPVETTEENGENKDALFDVVKLIVTWSSEKPSVDVENLWFGGGSVASEIKEEGTISGSLEGRGHYMVRGLVNLGNTCFFNSVMQNLLGINKLQDFFFSQDASFGPLTIALKKLYDETKPETGLKNVINPRSFFGSVCSKAPQFRGYQQQDSHELLRCLLEGLSSEELAMRKQINASKEDGIPLKHGPTFVDSLFGGQISSTVSCIECGYSSTVYEPFLDLSLPIPAKKPPTKKVQPVTRPKKTKLPPKRGGRIRGKANKDTDTVSADSAPRSDSICLTTVPDKSGSPSEHFSASPNIDNEQEATLEQTAASFEDFSWMDYLEPETISDEHDFTSQNNDVSTSRYSGTMKSNDELMENIQLYSVDGEPHLKPGSSSVNHLEKEVHLEVRSSEVLLLPNKEESFTHGGIIKGEAEASSSVVGCGQDEADFDGFGDLFNEQEVSSGSVAGPSLDNETVGTGFTAGNSSESDPGEVGDSDSPVSVKSCLAHFIKLELLSNDNAWECESCSKTLERLEAKKKQGKTVSKTMINGSEIRVQSHPPNLDNISCSTETRDLHNGDINTNSFLDSTGEGLVLDNGKFDSSNRNCIGTEISQKDELKPIVSRSEEHMGEMTSALVEQTHSSAHYESCSHGSFSCPAVDSSRLSGYATVKDQMDDSQLSGSSGAEEDEEKMSRKVKVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLSKLNGHVNFGEVLDLRPYMDPRHADREKYVYRLVGIVEHSGTMRGGHYVAYVRGGEKSKGKAENENGGFEWYHASDAYVREVSLEEVLRCEAYILFYEKI >Manes.12G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2437626:2440705:-1 gene:Manes.12G027800.v8.1 transcript:Manes.12G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADSHDIASLLSSSERDYLIRNNDVQVKIDSLKGKKLALYFSASWCGPCQRFTPTLVEAYNELAPKGDFEVVFISADEDDESFKQYFSKMPWLAIPFSDSETRDRLDELFKVQGIPHLVILDENGKVSCESGVEIIREYGVEGYPFTPEKIKELKEQEEAARRNQSLRSILVSHSRDYVISSDGKKILVSELEGKTVGLYFSLSSFKRCDEFTPKLAEVYDKLKEKGEKFEVVFISLDDEEEAFQQSLQNMPWLALPFKDKCCEKLVRYFELSTVPTLVVIGPDGKTLHSNIAEAIEEHGLEAYPFTPEKFAELAEIEKAREASQTLESVLVSGDRNFVIRKDGAKIPVSDLVGKNILLYFSAQWCPPCRAFLPKLIEAYHKIKAKDDAFEVIFISSDSDQESFDEFFAEMPWLALPFGDERKASLSRKFKVQGIPMLVALGPSGQTITKEARMLVMLHGADAYPFTDEHLKGMEAKFEEMAKGLPEKIKHALHEEHELVLARRMVYNCDGCGDEGNIWSYYCQECDFDLHPKCAVKEGEETKDEQKDEPKPGEGWVCDGEVCYKA >Manes.10G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1177318:1179931:-1 gene:Manes.10G010800.v8.1 transcript:Manes.10G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIGTQKLLCTPILVVVILLLSISLVECMKNDKKDRRKKVEDCDIFIGEWILDNQTHPLYKEDECEFLSQWSACLKNGRPDSLYQNWRWQPRDCSLPKFKAKRFLQELRGKKLMFVGDSIHINQWQSLVCLVQSAVSPSKKSVDYSGYPQVFRIQKYNVTIEFYWAPYLVESNVDPPEFRDGKTIGIIMSESIEKHGNNWKDADYLIFNSYAWWIKQPTVRVLQGSFEEGDTKYVEIERHVIFEKVLRTWANWVEDNIDHKRTSIFFNSAAPEHYRSLDWNNPKGVNCATETTPIPNTATPIDVGTDRKIFTIAAEVVKSMKVKVNFLNITTLSEYRKDAHPSVYILQGGKFLTPKQKSDPAKYADCVHWCIPGLPDTWNELLYAFIVPQS >Manes.15G035300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2792107:2795108:-1 gene:Manes.15G035300.v8.1 transcript:Manes.15G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKLQLDTNSFHIFCNNRASPNVGVSVSPLEKIERIRKLREQTLLETPTGDEKRFNLIHKQDREKPVLTKRVLEKNSDRDLRITKRGGAKVSSNKRFGAKVNGLNGNGSVSKLHNRCSTKWVNYGGCIPAILHALDTIKDLDEALKPWEDTLTNKERSIILKEQSSWQRAMEIFEWFKGKGCYELNVIHYNIMIRILGKQRQWSHLERLCDEMKNRNILPINSTYGTLIDVYTKGGLKGEALVWLEKMNEQGMEPDEVTMGIVVQMYKKTGEFQKAEEFFKRWSLGESLKHRGISKASSRQENETRVNLFLSSYTYNTLIDTYGKAGQLKEASETFAEMLRKGIVPTTVTFNTMIHICGNHGQLEEVALLMQKMEELRCPPDTRTYNILISIHAKHNDINMAASYFKRMKEDQLQPDLVSYRTLLYAFSIRHMVDDAEDLVSEMDEKGLEIDEYTQSALTRMYIEAGKLEKSWLWFMRFHLVGNMTSECYSANIDAYGEHGHVVEAEKVFRCCQDQNKLTVLEFNVMIKAYGLGKNYDKACVLFDSMESYGVVPDKCSYSSLIQILASADLPDKAKHYLKKMQEAGLVSDCIQYCAVVSSFVKLGRLEMAEELYKEMISFDVKPDVIVYGVLINAFADIGSVKKAITYVDAMKRAGLPGNTVIYNSLIKLYTKVGYLREAQETYKLLQSSEAGPDAYSSNCMIDLYSEQSMVKQAEDIFESMKRKADANEFTFAMMLCMYKRLGRFEEAIKIAKHMRDLGLLTDLLSFNNVLGLYALDGRFKEAVGTFREMVGADIQPDDCTFKSLGIVLVKCGISKQAIGKLEATRKKDPNSGLQAWLVALSTIVDVDNDDENDDTADV >Manes.17G015168.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7998254:7999715:1 gene:Manes.17G015168.v8.1 transcript:Manes.17G015168.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.01G248300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40788998:40790576:-1 gene:Manes.01G248300.v8.1 transcript:Manes.01G248300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLGFQEALLIWDLDSLLYDSYELVSLSHHIERHLMILPSLGVSRRPSNTVTGSALLLGSGERERTRGRSSMVKNWSEFVKSKLWRRKRRRNGIGHSFKDKPKKVKAGVLPCGFRNSFHL >Manes.12G089636.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15281832:15282967:1 gene:Manes.12G089636.v8.1 transcript:Manes.12G089636.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDLRSILEKQPAPGNFDQAVQFWKEQLRRGCPPYLITYTVLIELVCKHCGAVRAMEVLEDMAIEGCYPDLVTYNSLVNFTCKQGKYEDAALIIYNILSHGMEPNAVTYNTLLHSLCSSGLWDEVDEILEIMKATYHPPTVVTYNTLINGLCKSGLVDLAIDFFLQMVHEDCSPDIVTYNTLLGALCKEGKVDEALQLLGLLSFSSCSPGLITYNTVIDGLTRWGCMEKAMMLYSQMIENGITPDGITHRSLVWGFCSANQVEDAIELLREMGKRDHRINISAYKLEIDGLCKKKKVDIAIQVLEIMISSRCKPDEEIYSILIKGLADAGMIKEANELRQKLINKKVLNDQIILD >Manes.12G087100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13363430:13365385:-1 gene:Manes.12G087100.v8.1 transcript:Manes.12G087100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLWRPPSHLSAILHLSQPPLTCNYGGSVPKLGRTTCFISIFRWIFMSSSVPPPEWIDPFVDLSDVASRSFQNLNPSPWVHQIITLLDGSSKMESNLDSFCHKFLIKLSPSFVSFVLRSTEVQKNPDVAWRFFIWAGKQKKYTHNIESYVSLIDVFSAHGDLDRVKFVFTKFTEMRFLMTVHSSNSLIKSFGSLGMVEELLWVWRKMKENGIEPSLFTYNFLLNGLVNSKFIESAERVFDVMENGKIGPDVVTYNTMIKGYCEVGKTQKAFEKLRDMELRNMAPDKITYMTLIQACYAEGKFDSCLGLYHEMDEKCLEIPSHVFSLVIGGLCKEEKLVEAYSIFENMFEKGCKANVAIYTALIDANAKCGNMREAMLLFDRMKKEGLAPDEVTYGVIVNGLCKSGRMDEAMDFMEFCRGNAVAVNAMFYSSLIDGFGKLGRVDEAEKLFEEMVQKGCPPDSYCYNALIDALAKCSKIDEALTVFKRMEMEGCDQTVYTFTIIISGLFREHRTEEALELWDVMIDKGITPTAAAFRALSIGLCLSGKVARACKILDELAPMGVIPETAFEDMLNILCKAGRVKEACKLADGIVDRGREIPGRVRTILINALRKAGNADLALKLMHSKIGIGYDRMGSIKRRVKFRILVES >Manes.14G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8878764:8882668:-1 gene:Manes.14G105800.v8.1 transcript:Manes.14G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQHLSVLPFFAFSLLLFLYSAETSNYPTRCPNFNCGKFGDIRFPFTQAKDPTCGLLMVNCSDQKIQLKKGGRWFKADNISEADTISINDSELQNHLDKQICDFVEEFQLSSPDIVSFRIIPNITLFKCNRTSITHASNFSHYKDCNNNSFYLAYPTGSNSRFLSHNCSTILLPGVQNQTHYDIKLKFQVRVSVSFNCSSCHFEKGGQCQINNNGAFLCANASIASKCETDGHSKNHCSNAEKGGQRWGWRGTLALVLGGLAAIMVISLTIILIWCRYERKRSSSKLISANNSYDSSINPEMEGQNIYFGVPIFSYTELEEATNNFDSQKELGDGGSGAVYYGKLQDGREVAVKCLYEHNYKRVKQFLNEIEILTRLRHRNLVSLYGSTSHRSRELLLVYEYIPNGTVANHLHGDRAKSGSLTWPIRMRIAAETASALAYLHVSDIIHRDVKTENILLDNNFCVKVADFGLSRLFPIDATHISTAPQGTPGYVDPEYYYSYQLTEKSDVYSFGVVLVELISSLPAVDITRHRDDVNLANLAINKIQRCAFDELIDPCLGYKSDEEVKRMTTSIAELAFLCLQQDKEMRPSMCEVVEQLKRIEYGEFVEGA >Manes.01G130600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32392945:32395111:1 gene:Manes.01G130600.v8.1 transcript:Manes.01G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYPWKRHCSRVGLLVSLADNFLIIFQRERAREKQEILKHFKIATHQCKCSIRKEKAFVESVKMFLCKRIQPLQKLLCFTIYASLLLVSSSPELLNTTATQTSSGNDIHKLSPKLVFEIRIHGILLWTSMGFLMPIGILIIRISNREECGKKLKILFYLHSISQMLSVLLVTAGAVMSIKNFNNAFNNNHQRLGLALYGIVWLQALSGLLRPHRGSKGRSVWFFWHWMLGTAVSLLGIINVYTGLEAYHQKTSKSIRAWGIVFITEVCLIVLFYLLQDKWGYMQKQRAILGSE >Manes.06G144300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27141034:27143480:-1 gene:Manes.06G144300.v8.1 transcript:Manes.06G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGFSGFELPSNGGGSPSLDDVPESCVSSILMYLDPPEICKLARLNRTFRGASLADLVWETKLPSNYKFVVKKVIQDSPENLSKKEIYARLCHPNCFDGGTKQVWLDKSSGKICLSVSYKALRITGIDDRRYWNHISSEESRFNKIAYLQQIWWFEAVGELEFEFPPDTYTLSFRLQLGKASKRFGRRVCNTAQVHGWNIKPVQFQLSTSNDQHVSSERHLHEQGNWIYYNVGEFTVEKPHTQVKIKFSMTQIDCTHSKGGVCLDSVFICPSELREKLKH >Manes.15G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1139443:1144907:1 gene:Manes.15G013400.v8.1 transcript:Manes.15G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEPGKLQRALIDTTAGALAGAISRTVTSPLDVIKIRFQVQLEPTYSRALIRGNIGGPSKYTGISQAAKDIFREEGLPGFWRGNVPALLMVMPYTAIQFTVLHKIKTFAAGSSKSENHIQLSPYLSYVSGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMRSAFVDIIRTRGFRGLYAGLSPTLVEIVPYAGLQFGTYDTFKRWTMAWNQRRFSNTSSTNIGDNLSSFQLFVCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGARVEHRAYRNMIDALRRILQVEGWAGLYKGIVPSTIKAAPAGAVTFVAYELTSDWLESILT >Manes.07G030300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3281479:3282058:-1 gene:Manes.07G030300.v8.1 transcript:Manes.07G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKAFKKLWPKKKKKKKHQEHHCVAPSPCYGCCCPYSNPVQPSAPPLPPWVGQEQSQDTITAAELEYQYQQYADANAVYGVPLLQSHKKERPAQFIGYVTSFGANLINCFTPCFGIREVQY >Manes.06G081900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21726856:21730696:-1 gene:Manes.06G081900.v8.1 transcript:Manes.06G081900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEIALIIFSTRGKLYEFCSTSSMMRTLERYQKCSYGAMEASYPGYETQSNHQEYLKLKARFEVLQRSQRNLLGDELGPLNTKELEQLELQLETSLKRIRFTKTQFMLHQLADLQNKEYLLLETNKALKRKLEEGRGSGSGSGQVPLLAWEGLAAGSDGFFQPLQIGYNPEVNVVHTQHVNGFIPGWML >Manes.09G058544.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9349572:9350434:1 gene:Manes.09G058544.v8.1 transcript:Manes.09G058544.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAFTLLPRLLAPIGMVVLRTAAPSRVYLSRPAKSYTSRMNMCFQWWWSNFEFYLVYFFPYIEYRDYKIIKIFSMKFFFKVEIIFNSSELYDDHVEYKNNERK >Manes.01G187900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36461484:36465493:1 gene:Manes.01G187900.v8.1 transcript:Manes.01G187900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNGMAFFPANFMLQTPHEEDHHQPPTSLNPILPSCTAQDFHGVASFLGKRSMSFSGIDASCHEEANGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKADNDALQAQNQKLHAEILALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSNRPTSRTLFPSSSTMRPTGVAQLFQNSSSRPDHIHCQKNIDQMVKEESLSNMFCGIDDQSGFWPWLEQQHFN >Manes.01G187900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36461547:36463736:1 gene:Manes.01G187900.v8.1 transcript:Manes.01G187900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNGMAFFPANFMLQTPHEEDHHQPPTSLNPILPSCTAQDFHGVASFLGKRSMSFSGIDASCHEEANGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKADNDALQAQNQKLHAEILALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSNRPTSRTLFPSSSTMRPTGVAQLFQNSSSRPDHIHCQKNIDQMVKEESLSNMFCGIDDQSGFWPWLEQQHFN >Manes.01G187900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36461483:36463736:1 gene:Manes.01G187900.v8.1 transcript:Manes.01G187900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNGMAFFPANFMLQTPHEEDHHQPPTSLNPILPSCTAQDFHGVASFLGKRSMSFSGIDASCHEEANGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKADNDALQAQNQKLHAEILALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSNRPTSRTLFPSSSTMRPTGVAQLFQNSSSRPDHIHCQKNIDQMVKEESLSNMFCGIDDQSGFWPWLEQQHFN >Manes.07G029501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3242873:3243700:1 gene:Manes.07G029501.v8.1 transcript:Manes.07G029501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMMKLVLLMLVICGSISCSLGVEPLQILASQKGGKKKTGCQLAGKAANPSEHVKKNHENMIGKLKEYGENLQPAADCKLNIDGNFRCNNKFRMRRLLQTALRPSPGSVSNTGTHG >Manes.07G029501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3197700:3198137:1 gene:Manes.07G029501.v8.1 transcript:Manes.07G029501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMMKLLLLMLVICGSIPCSLGFEPLEILASQKGGIEKTGRQLSGKAANPSEDVKKNHENMIGKLREYGENLQHAADSKLNIDGNFRCNNQFGTQPTDSLGSISCHDNHG >Manes.07G029501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3197700:3243420:1 gene:Manes.07G029501.v8.1 transcript:Manes.07G029501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMMKLLLLMLVICGSIPCSLGFEPLEILASQKGGIEKTGRQLSGKAANPSEDVKKNHENMIGKLKEYGENLQPAADCKLNIDGNFRCNNKFRMRRLLQTALRPSPGSVSNTGTHG >Manes.03G090380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20204046:20207467:-1 gene:Manes.03G090380.v8.1 transcript:Manes.03G090380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTYSMAQSSSLFHQRMSNSLPITKACLKTTSSHSLLFVFSYILSSLVPLLSTIFSLYFYFSFGLFDLLFKPSMAAMDVHNSANEVALKEREENISSTDAVTVVGNRNEHRLRRKSSGGWTAASILLANQGLATLAFFGVGVNLVLFLTRVLGQDNAVAANNVSKWTGTVYMCSLIGAFLSDSYWGRYLTCALFQIIFVSGLLLLSLSSWIFLIKPRGCGNEVIACRPPSPVGIAIFYLSIYLVAFGYGGHQPTIATFGADQFDEEKPKEKTSKEAFFCYFYFALNFGSLFSNTILVYFEDSGEWTLGFLASLGSAVIALVSFLVGTPGYRYIKPCGNPLPRVAQVFVASARKWDVVPANSDELYEVEGPESAIKGSRKILHSDEFDFLDKAATITEEDMLHQSDPWRLCTVTQVEEAKCVLKLLPIWLCTIIYSVVFTQMASLFVEQGNVMSSNLGKFHLPAASMSAFDICSVLICTGIYRQILVPLAGKLSGNPRGLTELQRMGIGLIIGILAMVAAGITEIQRLKHVIPGQKISSLSIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISVGNYVSSLLVNMVMKITARNNKPGWIPADLNTGHMDRFYFLIAILTAFDFLIYLFCSNWYKPINLHETEMDKQEDDVLGRA >Manes.09G087500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24339367:24342345:1 gene:Manes.09G087500.v8.1 transcript:Manes.09G087500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLYIFHQNIRFNFITKGLVRDIRSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVRVLHPVSEITVSDCTLTGTTNGVRIKTWPVMFPNIATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTIQLICSSGVPCEKVELANIDLTYSSPEGPAKSECIDVKPTIVGKIPEGCK >Manes.12G139000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34560948:34562905:1 gene:Manes.12G139000.v8.1 transcript:Manes.12G139000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLEYLSYLITSSGHKHKKKKKQLQTVELKVRMDCDGCELKVKNALSSLSGVKKVEINRKQQKVTVTGYVDSNKVLKKAKATGKKAEIWPYVPYNLVAQPYIAQAYDKKAPPGYVRNVETTATTGTVTRYDQDPYISMFSDDNPNACSIM >Manes.10G098300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24703792:24705955:1 gene:Manes.10G098300.v8.1 transcript:Manes.10G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFFNRNSDTGEGFFGEMPAEKAFSDDPIPTGETSSYKSTYESLPHHLKSCLDYIYILLNNFYWERRKVVRLLLAQGLIQEKPGEIMEDTAGDIIDELICLEMLREESYFESMLYVSKFYGKSCLIEVEEHDFVAKAANSPIHGLISNNEVLPPNFKNLLIRSLFASSLRSASCYSYESVHFSQAYLQTVCALQFILVLDLYGNSEYLPDELGNLVHLRYLGLRYTHIKKLPHTVGNLQNLQTLEVTYTKLRHLPVEILNIKQLRHLLLNDCKIHNDGIRVPRGIGTLVNLHTCAGVYVDAGFAIELSTLTHLRNLDVRNVSEDHASELFVSICKLENLVSLSLNAERTYLGTPLPELEALCPPPHLQELSLRGGLFEIPNWLASIENLTSLELRDSNLLENPSSVLQFLPKLKHLVLDNAYKAKIISKEFCEAGGYPELETLLISSRDLVEWTEIVNGAFPSLKRLKFQSCHNLRFLPEGLQQLTTIQELCLFDSHGDLARRSKGAENYKIKHISNLRIY >Manes.01G188000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36474557:36479956:1 gene:Manes.01G188000.v8.1 transcript:Manes.01G188000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETKKKNNGGNEDSKKKERHIVTWTQQEDDILRQQISLHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENRKTYINSNNKRILFHNGFNADGILENAAPDKRTRRSHIPDHSEHCKLADRSHPKQQSRPPLAELAQNFNNVQVAGQHNATSGKEVSIHATKKGKIQGTFLRKDDPKITALMQQAELLSSLALKVNTENTEKSLENAWKVLQDFLNQKDNDTLRYRFADIDSQFEDFKDLIEDLKSSNDGSQPSWRQPDLYESPTSSEYSTGSTLTPYTTAGKTEQNQVEVGELHEDVPNELQSVLTKEQSNTDECEKGIISLPNVTQGGTFPSFDEDTNADVVVSVSSSTEFSSPFQVTPVFRSLAAGIPSPKFSESERNFLLKTLGVESPCLNPSTNPSQPPPCRRALLQSL >Manes.01G188000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36474557:36479956:1 gene:Manes.01G188000.v8.1 transcript:Manes.01G188000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETKKKNNGGNEDSKKKERHIVTWTQQEDDILRQQISLHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENRKTYINSNNKRILFHNGFNADGILENAAPDKRTRRSHIPDHSEHCKLADRSHPKQQSRPPLAELAQNFNNVQVAGQHNATSGKEVSIHATKKGKIQGTFLRKDDPKITALMQQAELLSSLALKVNTENTEKSLENAWKVLQDFLNQKDNDTLRYRFADIDSQFEDFKDLIEDLKSSNDGSQPSWRQPDLYESPTSSEYSTGSTLTPYTTAGKTEQNQVEVGELHEDVPNELQSVLTKEQSNTDECEKGIISLPNVTQGGTFPSFDEDTNADVVVSVSSSTEFSSPFQVTPVFRSLAAGIPSPKFSESERNFLLKTLGVESPCLNPSTNPSQPPPCRRALLQSL >Manes.01G188000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36474557:36479368:1 gene:Manes.01G188000.v8.1 transcript:Manes.01G188000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETKKKNNGGNEDSKKKERHIVTWTQQEDDILRQQISLHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENRKTYINSNNKRILFHNGFNADGILENAAPDKRTRRSHIPDHSEHCKLADRSHPKQQSRPPLAELAQNFNNVQVAGQHNATSGKEVSIHATKKGKIQGTFLRKDDPKITALMQQAELLSSLALKVNTENTEKSLENAWKVLQDFLNQKDNDTLRYRFADIDSQFEDFKDLIEDLKSSNDGSQPSWRQPDLYESPTSSEYSTGSTLTPYTTAGKTEQNQVEVGELHEDVPNELQSVLTKEQSNTDECEKGIISLPNVTQGGTFPSFDEDTNADVVVSVSSSTEFSSPFQVTPVFRSLAAGIPSPKFSESSLI >Manes.02G207700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23218985:23225835:1 gene:Manes.02G207700.v8.1 transcript:Manes.02G207700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVVAPAASPIPSDHKRKLEDLEPEAPQPTEANPEQEAEVSAEPDADKKADEVVGGDSPEAKRLRVDEDKPDGLASENGFEGAKSEEDAKEEPGVENEQAEDAALPPSEGVSETTGKEEPAADTHDTVDTQNASLDDSKTDDAEKNEESEKHSEEDEDPASDTQTTSRKMEVPNDKVGVLIGKGGDTIRYLQYNSGAKIQITRDADADPQSTTRPVELIGTLSSIKKAEKLINAVIAEADAGGSPSLVARGLPSAQTGGVGDQLEMQVPNEKVGLIIGRGGDTIKALQAKSGARIQVLIPQHLPEGDGSKERTVRVTGDRKQIEMAREMIKDVMNQTVRSSPYSGSFNQQHSYRPRGPTGPSNWGPRGPHSSQPSPYDYHHRGPYPSQNSQYPPPSYGGYPPQQMGPRGNFGSGWEQRPPSMQGPVPHGGVYDYYGGQGGHASDHPVSGPISTPASGHAPGPSATPTMSHPPSQANYNYGQSHGPDYGHQAPYSQAVPSQQSYGHGYDEPKYDNHAPMQHPYGHGNSQPVYGQAGNQPGYGAQQQYGKQPPYGMPSQGPPPQSYGPPRPGQPGDLPYQGPIQSGQSYGPNVPPQQQYPYVSSGPMQQSYPQYGSASGADGYNQAMPTSGPGYPQQGGQPVPSYGQSGGQQATGYVQGPTGGYGSYPSSQQGYAEQAAPNSAGYGYQGSQDTAYGSGPGATYSAPPSGQQAFAQPTATQPTYDQSVPQSGGYGAAPGSAPVGYGKTLSPQPTYPQYDSTTQMYVAPR >Manes.18G066500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5994595:6000807:1 gene:Manes.18G066500.v8.1 transcript:Manes.18G066500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVDASASNHHLNHLHAESLPLIDLRLLSQSELLSLSFCSSLRYSQNDGDVATPKIDRSVFNESAGSRKQTFSRLRLAPRNNSQFSSSPSIKSPIIPQTAEQGLDEENSQIISLLKSLFGGNSHSDEKEDSKNNYDNNLVSVPIQYNQFLGFPPTGSESLENVPISAANYGGETVMKQEFPTTIVDCTTKKRKRGRPRKNETSFYSNNNNIDKVIETERRQNERETIGVDGSKEKEGEMLMMNKNGMKMDLVTLGSTEDPYGEELAQRTVGMYTEAELVGFLQGLKGEWLTNRKKKMIVEASVLGNVLPSGWKLMLCIKKKASFFWIACRRYISPSGQQFMSCKEVSSYLLSSFGLQDASQPNFGHVDGNDRLIGIVSSGNAAELTLKDDKIGDEKQLGNYKCHKCTMAFDKSDDLLQHLLSSHHRTPKRLRHEMSMNEEVIIKNGKYECQFCHKSFEERHRYNGHLGNHIKDYFKRIEASSGFKTIQRSPVPPLVGVDPGVSKIQESVGIDMGTIAINSDIKINDGISSTAENYKIEENTTVESYSSKQDIVCSTTNDKAEKRNRVTDVAVENNVYQGAVSAISSKGNDSICKSSDEKNVECTIGRNNNLGSQEEGSQNCSLAVVGGNQSCAYDKNEDQVCVRLMEEQHKERGSDSGLIVPNSEEKTVDGKTIKDRQLFSTISCTTTDGRVLFGKEKLEHCSAQENVAINSKEKGSEACLVVSSGGYQRYGSVLNMHGLSTSTVGEMTHKMDSKVGLPSSLGFTCVSNNKVNQVSAITVNKPKLGEVDKSRNDVQAFGFGSNSTLAVEDMKTINDLESSYGSCSAFPSWNEQMSFTENNIKGNPSCTSKIHHQEKESQGSKLTLYAHRQCFGPENVIVNVSNGISEVPNQVQGTCYNNSEHRKDVHVLEGCVTNIELGRGSNFLLVPSANGLTFALKDDGVLNDTSKNFMQDRIFESNVTEPASDVQRHVNENYMTNIPCNTMDWSNHKGLKGLCDGEPLTGFDHGHREQEVDVAKSTMLENCSKNCQLVNSGNQHKFSLEDNVTGFYSCNLDEKKRASLDSSLCLSNSEQVWNVESNLNRISIGKAQEEPRLEDLNPRRNESVIGFSSHAQNSENVASGFMWRTDEENDLLGSFADNSSRLVHSSGCFPSYDVMSDKGETEFFGEKFSGISGFEGLRSGSMENMEYNFMNPHSDESRVFSYDADIAQGLDSSVWLDKEALPLFPKIASRRCICVWCRNEFHCEALETETQVGSMGSTCAACKAKFSGQFNLL >Manes.06G012550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1982865:2001946:-1 gene:Manes.06G012550.v8.1 transcript:Manes.06G012550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKCGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGLEITVQFAKYGPNAERIHKGRIIEPVPRSRYRSQSRSPRRRYRDDYSDRDYRRRSRSRSLDRYEHENYRGRDRDYHRRSRSRSASPDYSRGRGRGRYDDECRSTSRSVDSASPVRQSRSPRRSSSPRKNSPSKGESPDRHSRGGRSPSPRSVSPSGRPSASRSPSP >Manes.06G012550.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1982865:2001946:-1 gene:Manes.06G012550.v8.1 transcript:Manes.06G012550.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKCGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGLEITVQFAKYGPNAERIHKGRIIEPVPRSRYRSQSRSPRRRYRDDYSDRDYRRRSRSRSLDRYEHENYRGRDRDYHRRSRSRSASPDYSRGRGRGRYDDECRSTSRSVDSASPVRQSRSPRRSSSPRKNSPSKGESPDRHSRGGRSPSPRSVSPSGRPSASRSPSP >Manes.06G012550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1982865:2001937:-1 gene:Manes.06G012550.v8.1 transcript:Manes.06G012550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKCGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGLEITVQFAKYGPNAERIHKGRIIEPVPRSRYRSQSRSPRRRYRDDYSDRDYRRRSRSRSLDRYEHENYRGRDRDYHRRSRSRSASPDYSRGRGRGRYDDECRSTSRSVDSASPVRQSRSPRRSSSPRKNSPSKGESPDRHSRGGRSPSPRSVSPSGRPSASRSPSP >Manes.06G012550.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1982865:2001946:-1 gene:Manes.06G012550.v8.1 transcript:Manes.06G012550.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKCGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGLEITVQFAKYGPNAERIHKGRIIEPVPRSRYRSQSRSPRRRYRDDYSDRDYRRRSRSRSLDRYEHENYRGRDRDYHRRSRSRSASPDYSRGRGRGRYDDECRSTSRSVDSASPVRQSRSPRRSSSPRKNSPSKGESPDRHSRGGRSPSPRSVSPSGRPSASRSPSP >Manes.06G012550.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1985447:2001938:-1 gene:Manes.06G012550.v8.1 transcript:Manes.06G012550.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKCGKVVDIFIPKDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGLEITVQFAKYGPNAERIHKGRIIEPVPRSRYRSQSRSPRRRYRDDYSDRDYRRRSRSRSLDRYEHENYRGRDRDYHRRSRSRSASPDYSRGRGRGRYDDECRSTSRSVDRFTTLLISASLWI >Manes.02G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4811702:4815019:-1 gene:Manes.02G062700.v8.1 transcript:Manes.02G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNENAGDGGGGASNNWARVCDTCRAAACTVYCRADSAYLCAGCDARVHAANRVASRHERVWVCEACERAPAAFLCKADAASLCTACDADIHSANPLARRHQRVPILPISGCLYGPQVGPATGETTEDMFMTQEGEEGVGEEEEDEAASWLLLNTAKNSNGQNNNGFLFGGGEVDEYLDLVEYNSNSCGDQNQYSDQNNLQHYSVPHQKSCYGGDSVVPVQCAEAAGKDQLHQQYHNFHLGLEFESSSKAAYSYNGSISHSVSISSMDVGVVPDSTMTEASISHPRPPKGTIDLFSSPPMPMPSQLSPRDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVEVEVDQMFSTTLMAEAGYGIVPSF >Manes.10G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2143759:2145923:1 gene:Manes.10G021900.v8.1 transcript:Manes.10G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSSDDAQLLAEKECLSFLETSALEALNVEKAFQTILLDIYHIISKKALAAQEAANSTGPPQGTTINVANLSANTNKRPCCSN >Manes.04G063600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23367938:23392697:-1 gene:Manes.04G063600.v8.1 transcript:Manes.04G063600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQQDDDEMLVPHSDLADNHQPMEVVAQPEAANSVENQPPEDPPSRFTWKIENFTRLNTRKHYSDIFVVGDYKWRVLIFPKGNNVDFLSMYLDVADSANLPYGWSRYAQFSLAVVNQINPKYTIRKDTQHQFNARESDWGFTSFTPLSELYDPSRGYIVNDTLIVEAEVLIRRVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHFPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCCDVYASFDKYVEVERLEGDNKYHAEQYGLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSAQWYKFDDERVTKEDMKRALEEQYGGEEELPPTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLKERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLAKQIGKDIYFDLVDHDKVSSFRIQKQMPFHLFKEEVAKEFGVSVQFQRFWLWAKRQNHTYRPNRPLTLLEENQSVGQLREASNKVHNAELKLFLEVELGPDLCPKAPPYKTKEDILLFFKLYDPEKEELRYVGRLFVKTNGKPTEILTKLNEMAGYAPDEEIELYEEIKFEPSVMCEPIDKKSTFRASQLEDGDIICFQKSPLVETDEQFRCTDVPTFLEYVHNRQVVHFRSLENPKEDDFCLELSKLHTYDDVVERVAQHIGLDDPSKIRMTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQISDILYYEVLDIPLPELQGLKTLKVAFHHANRDEVVIHTIRLPKQSTVADVINDLKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQIQNFGEPFFFVIHEGETLAEIKTRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYTTNQNRHTFEKPVKIYN >Manes.04G063600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23367938:23392702:-1 gene:Manes.04G063600.v8.1 transcript:Manes.04G063600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQQDDDEMLVPHSDLADNHQPMEVVAQPEAANSVENQPPEDPPSRFTWKIENFTRLNTRKHYSDIFVVGDYKWRVLIFPKGNNVDFLSMYLDVADSANLPYGWSRYAQFSLAVVNQINPKYTIRKDTQHQFNARESDWGFTSFTPLSELYDPSRGYIVNDTLIVEAEVLIRRVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHFPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCCDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFTDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSAQWYKFDDERVTKEDMKRALEEQYGGEEELPPTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLKERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLAKQIGKDIYFDLVDHDKVSSFRIQKQMPFHLFKEEVAKEFGVSVQFQRFWLWAKRQNHTYRPNRPLTLLEENQSVGQLREASNKVHNAELKLFLEVELGPDLCPKAPPYKTKEDILLFFKLYDPEKEELRYVGRLFVKTNGKPTEILTKLNEMAGYAPDEEIELYEEIKFEPSVMCEPIDKKSTFRASQLEDGDIICFQKSPLVETDEQFRCTDVPTFLEYVHNRQVVHFRSLENPKEDDFCLELSKLHTYDDVVERVAQHIGLDDPSKIRMTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQISDILYYEVLDIPLPELQGLKTLKVAFHHANRDEVVIHTIRLPKQSTVADVINDLKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQIQNFGEPFFFVIHEGETLAEIKTRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYTTNQNRHTFEKPVKIYN >Manes.04G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23367938:23392697:-1 gene:Manes.04G063600.v8.1 transcript:Manes.04G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQQQDDDEMLVPHSDLADNHQPMEVVAQPEAANSVENQPPEDPPSRFTWKIENFTRLNTRKHYSDIFVVGDYKWRVLIFPKGNNVDFLSMYLDVADSANLPYGWSRYAQFSLAVVNQINPKYTIRKDTQHQFNARESDWGFTSFTPLSELYDPSRGYIVNDTLIVEAEVLIRRVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHFPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCCDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFTDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSAQWYKFDDERVTKEDMKRALEEQYGGEEELPPTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLKERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLAKQIGKDIYFDLVDHDKVSSFRIQKQMPFHLFKEEVAKEFGVSVQFQRFWLWAKRQNHTYRPNRPLTLLEENQSVGQLREASNKVHNAELKLFLEVELGPDLCPKAPPYKTKEDILLFFKLYDPEKEELRYVGRLFVKTNGKPTEILTKLNEMAGYAPDEEIELYEEIKFEPSVMCEPIDKKSTFRASQLEDGDIICFQKSPLVETDEQFRCTDVPTFLEYVHNRQVVHFRSLENPKEDDFCLELSKLHTYDDVVERVAQHIGLDDPSKIRMTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQISDILYYEVLDIPLPELQGLKTLKVAFHHANRDEVVIHTIRLPKQSTVADVINDLKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQIQNFGEPFFFVIHEGETLAEIKTRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYTTNQNRHTFEKPVKIYN >Manes.04G063600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23367938:23392697:-1 gene:Manes.04G063600.v8.1 transcript:Manes.04G063600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQQQDDDEMLVPHSDLADNHQPMEVVAQPEAANSVENQPPEDPPSRFTWKIENFTRLNTRKHYSDIFVVGDYKWRVLIFPKGNNVDFLSMYLDVADSANLPYGWSRYAQFSLAVVNQINPKYTIRKDTQHQFNARESDWGFTSFTPLSELYDPSRGYIVNDTLIVEAEVLIRRVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHFPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYEVERLEGDNKYHAEQYGLQDAKKGVLFTDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSAQWYKFDDERVTKEDMKRALEEQYGGEEELPPTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLKERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLAKQIGKDIYFDLVDHDKVSSFRIQKQMPFHLFKEEVAKEFGVSVQFQRFWLWAKRQNHTYRPNRPLTLLEENQSVGQLREASNKVHNAELKLFLEVELGPDLCPKAPPYKTKEDILLFFKLYDPEKEELRYVGRLFVKTNGKPTEILTKLNEMAGYAPDEEIELYEEIKFEPSVMCEPIDKKSTFRASQLEDGDIICFQKSPLVETDEQFRCTDVPTFLEYVHNRQVVHFRSLENPKEDDFCLELSKLHTYDDVVERVAQHIGLDDPSKIRMTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQISDILYYEVLDIPLPELQGLKTLKVAFHHANRDEVVIHTIRLPKQSTVADVINDLKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQIQNFGEPFFFVIHEGETLAEIKTRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYTTNQNRHTFEKPVKIYN >Manes.04G063600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23367938:23392697:-1 gene:Manes.04G063600.v8.1 transcript:Manes.04G063600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMTPAPIDQQDDDEMLVPHSDLADNHQPMEVVAQPEAANSVENQPPEDPPSRFTWKIENFTRLNTRKHYSDIFVVGDYKWRVLIFPKGNNVDFLSMYLDVADSANLPYGWSRYAQFSLAVVNQINPKYTIRKDTQHQFNARESDWGFTSFTPLSELYDPSRGYIVNDTLIVEAEVLIRRVVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHFPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYEVERLEGDNKYHAEQYGLQDAKKGVLFTDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSAQWYKFDDERVTKEDMKRALEEQYGGEEELPPTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLKERLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLAKQIGKDIYFDLVDHDKVSSFRIQKQMPFHLFKEEVAKEFGVSVQFQRFWLWAKRQNHTYRPNRPLTLLEENQSVGQLREASNKVHNAELKLFLEVELGPDLCPKAPPYKTKEDILLFFKLYDPEKEELRYVGRLFVKTNGKPTEILTKLNEMAGYAPDEEIELYEEIKFEPSVMCEPIDKKSTFRASQLEDGDIICFQKSPLVETDEQFRCTDVPTFLEYVHNRQVVHFRSLENPKEDDFCLELSKLHTYDDVVERVAQHIGLDDPSKIRMTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQISDILYYEVLDIPLPELQGLKTLKVAFHHANRDEVVIHTIRLPKQSTVADVINDLKTKVELSHPNAELRLLEVFYHKIYKIFPPSEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQIQNFGEPFFFVIHEGETLAEIKTRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDSAPKRAYTTNQNRHTFEKPVKIYN >Manes.S029852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2426832:2427212:1 gene:Manes.S029852.v8.1 transcript:Manes.S029852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.16G071200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27264844:27279454:1 gene:Manes.16G071200.v8.1 transcript:Manes.16G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVERATSDMLIGPDWAMNIEICDMCNNDPAQAKDVVKGIKKRIGSKNSKVQLLALTLLETIVKNCGDIVHMHVAEKDILHEMVKIVKKKPDFHVKEKILILIDTWQEAFGSARARYPQYYAAYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYPQSLQNNGHRLEAAESSAESEFPTLSLTEIQNARGIMDVLAEMLSALDPGNIEGLRQEVIIDLVEQCRAYKQRVVHLVNSTVDESLLCQGLALNDDLQRVLAKHEAIASGTPAPAPAAPEKPKPESGGALVDVDSGGNHKQPVEGSTSATGIMNQLLLPAPTATNGPATPAAANSKMDLLSGDDFSSPKNDNSLALVPVGDAQPATSPSKQNALALIDMFSDNSSPRNAVNVQPAHLAGLTNSSPPQIQQQHNFHPPEAGIYSNGSAPNMGSPQYEHSPYMQAASPAWNGLVPQQQPSLPVFGAQGSGSLPPPPWEAQPVDGSPVAGTQYPQPMQVTQVVAAHMQPAVTGMLPQGLQPSGNDHLMGMYIQPITTGQLSAFNNPAMQSNQLGLHPQAVQGGMYMGMLPQPMQTGQIASMYPQPIYGSQMAGYGYAPQQGTQYLEQQMYGMTIRDDSSFRNSSYQVSNSSYMPLKKPSKPEDKLFGDLVDIAKLKTTKSTPGRAGSM >Manes.16G071200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27264844:27279454:1 gene:Manes.16G071200.v8.1 transcript:Manes.16G071200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAEKDILHEMVKIVKKKPDFHVKEKILILIDTWQEAFGSARARYPQYYAAYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYPQSLQNNGHRLEAAESSAESEFPTLSLTEIQNARGIMDVLAEMLSALDPGNIEGLRQEVIIDLVEQCRAYKQRVVHLVNSTVDESLLCQGLALNDDLQRVLAKHEAIASGTPAPAPAAPEKPKPESGGALVDVDSGGNHKQPVEGSTSATGIMNQLLLPAPTATNGPATPAAANSKMDLLSGDDFSSPKNDNSLALVPVGDAQPATSPSKQNALALIDMFSDNSSPRNAVNVQPAHLAGLTNSSPPQIQQQHNFHPPEAGIYSNGSAPNMGSPQYEHSPYMQAASPAWNGLVPQQQPSLPVFGAQGSGSLPPPPWEAQPVDGSPVAGTQYPQPMQVTQVVAAHMQPAVTGMLPQGLQPSGNDHLMGMYIQPITTGQLSAFNNPAMQSNQLGLHPQAVQGGMYMGMLPQPMQTGQIASMYPQPIYGSQMAGYGYAPQQGTQYLEQQMYGMTIRDDSSFRNSSYQVSNSSYMPLKKPSKPEDKLFGDLVDIAKLKTTKSTPGRAGSM >Manes.16G071200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27270067:27279454:1 gene:Manes.16G071200.v8.1 transcript:Manes.16G071200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAEKDILHEMVKIVKKKPDFHVKEKILILIDTWQEAFGSARARYPQYYAAYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYPQSLQNNGHRLEAAESSAESEFPTLSLTEIQNARGIMDVLAEMLSALDPGNIEGLRQEVIIDLVEQCRAYKQRVVHLVNSTVDESLLCQGLALNDDLQRVLAKHEAIASGTPAPAPAAPEKPKPESGGALVDVDSGGNHKQPVEGSTSATGIMNQLLLPAPTATNGPATPAAANSKMDLLSGDDFSSPKNDNSLALVPVGDAQPATSPSKQNALALIDMFSDNSSPRNAVNVQPAHLAGLTNSSPPQIQQQHNFHPPEAGIYSNGSAPNMGSPQYEHSPYMQAASPAWNGLVPQQQPSLPVFGAQGSGSLPPPPWEAQPVDGSPVAGTQYPQPMQVTQVVAAHMQPAVTGMLPQGLQPSGNDHLMGMYIQPITTGQLSAFNNPAMQSNQLGLHPQAVQGGMYMGMLPQPMQTGQIASMYPQPIYGSQMAGYGYAPQQGTQYLEQQMYGMTIRDDSSFRNSSYQVSNSSYMPLKKPSKPEDKLFGDLVDIAKLKTTKSTPGRAGSM >Manes.04G071900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27180898:27194936:1 gene:Manes.04G071900.v8.1 transcript:Manes.04G071900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNEKIPNANEPTARIDDLNTLNQSGALTQGSGHVLIVNSQNNGKLDAFVTNLHNVPYVYRQDTVIHKKTGTIGVVDEVAGDSDSDSSITDDEDDEDEGDEVDDEDEEGDADGNANANRSSDKNKGSSNYKNEILQADQVRVLWMDDTEPIQHVNDIQVVDRGFLHGDYVASASDPTGQVGVVLDVNISVDLQVPDGSIIKDVSSRELKRVREFAIGDYVVLGPWLGRVDDVLDNVTVLIDDGSMCKVVGAEPLRLKPVSKSMFDVDEHIPYYPGQRVRASSSSVFRNSWFQSGSWKANRLEGTVTKVRAGSVFIYWIASAGHGPDSSTAPAEEQSPKNLKLLSCFAHASWQVGDWCHLPPAIRQSSSITLDQGLSKLGLYDSVKSELSSDQLENGCDSDQVAPEESDGNNETMDTDPMVAPDGINGKTVSNGSSESSSCGSSASVSKDPVHETWPLHRKKIRKVVIRREKKARKKEENFEKALLVVNTRTRVDVAWQDGSIERGLESTLLIPIDSPGDHEFVAEQYVVEKSSDDIDNASEARRVGVVKSVNAKERTACVRWLKPVTRAEDPREFDKEEIVSVYELEGHPDYDYSYGDVVVRLSPVSVSAQATSDGESVRELKWKATLNNSTVNVKKRSGSKKEDDEFIGEACLDFSDLSWVGNITGLRNGDIEVTWADGMVSTVGPQAIFVVGRDDDDDSIAAGSEVSDDAASWETVDDDEMDAVENGQEEVRLQNAAHGNSEAEVTLESNNSGRNPALSLPLAALDFVTRLATGFFSRGRKNVDPDFSGSRGENGLQTQGVMDISEERDSADDTSSLKSNVIDNGGGQIIHDNVEEHSTIDMEVPISSDAPDTLCNLSTDKSDTPTCFEDDTLSFKRFDIAKDPVDHYFLGSNAQINNGRKWLKKVQQDWNILQNNLPDGIYVRVYEDRMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDPTSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLSCKTMMYLMRKPPKDFEGLAQEHFRKRGYYILKACDAYMKGSLIGSLTKDASISNNENSNLTSVGFKLMLAKIVPKLYSALNEVGADCHDFKHLLQ >Manes.11G055800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844352:6848470:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844352:6848476:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNTHCLSMVKNFWPCSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844357:6848081:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNTHCLSMVKNFWPCSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844357:6848590:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844352:6848470:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844357:6848081:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844352:6848476:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNTHCLSMVKNFWPCSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844357:6848506:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNTHCLSMVKNFWPCSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844357:6848590:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844357:6848081:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844357:6848506:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNTHCLSMVKNFWPCSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.11G055800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6844357:6848081:1 gene:Manes.11G055800.v8.1 transcript:Manes.11G055800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLGCYKKPTLITSVDEPSKGSKVQGQTVKKPSISEEFWTTSTCDMDNSAVQSQGSMSSISTINQIHDLHGGSSSTTAPSEFVNHGLLLWNQTRQRWVGEKRSGNRAQQSREPKLNTHCLSMVKNFWPCSWNATYDSLLGTNKPFPQPIPLSEMVDFLVDVWEQEGMYD >Manes.13G143500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35730707:35732204:1 gene:Manes.13G143500.v8.1 transcript:Manes.13G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKNNATAGLKKGSSINKDKKEMHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDKAAREFRGSKAKTNFPLPDEIIPINITKVVNHVNNQSPSQSSTVESSSPPAVVREVTSKLGAVSGVVGRFPFVYQQQNVVGPVWFFDGSTVRPEFVTQQFPAVRFDPVGVELNGGLSGSQSDSDSSSVVDCKPTRDIDLNLPPLEDA >Manes.15G051466.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3947267:3964764:1 gene:Manes.15G051466.v8.1 transcript:Manes.15G051466.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMDIPNLDELEWLEANSHIHEEDLELSSPFPPEEERQSQPQSPSPLSVSQVDSHKRLRSDGPDSPNIEDVIPSDEKRSRVDDAGTETEEDWLRYSPPQERNAGAEEHETEVVVNEEKVVSRFASEIDGDFIPVTAPSGGDRVYAKICRVEREEKSKKLVVKPQSNGLLFEPVNVLLRRVEEDAFAKALHASSEGSGDVVLDETQMVHQHEKLWVDKYAPNSFTELLSVEQTNREVLLWLKQWDSRVFGSEIRSTSDDILSALRRHSSVTQQKKYSDSTFPRRSKDIRWTNENFRNSNNWNDENSNVKGIQDSWNKISRLTGPPEQKILLLCGPPGLGKTTLAHVAAKHCGYQVVEVNASDDRSSSTIEAKILDVVQMNSVIADSRPKCLVIDEIDGALGDGKGAVDVILKMVSAERNSDTGKENAAKGEQSGRPSSKKVRKTMSLSRPVICICNDLYAPVLRPLRQVAKVHIFVQPTVSRVVNRLKHICSKEGMKVSTIALTALVEYTECDIRSCLNTLQFLNKKKETLNVLEIGSQVVGRKDMSKNAFDVWKEIFQKRKMKRERISSCGTPCNEFDFLHSIVSNHGEYDVIFDGIHENILQLHYHDPLMQKTVKCFNSLGISDLLHQYIMRTQQIHIHAYQPPLAIIVHHLVAHVQKPNIEWPKSYQRYRMSLMERVEILRSWQNKIPPYISRHLAIKSLVEDSISPLLHILSPSTLRPVALHLLSQKDKNDLAQLVSTMVSYSVTYKNVKSSPLSSNQEYEATLDASALSFDPPICDFINFKGYSSGHHVLPLAVKQVLVHEVEKQNILQVSRSLHLTDGCNKEILDLADERNSENSVSNSPNDRAALSTAKIETGKNKVNPNQRNPICSTTLSNLDCSAMSNVKLKSSGNPKKPCTGSSFFDRFKKVSSKGSQNTDIAVQNTTTLERDSRPVLFKFNEGFTNAVKRPVRMREFLL >Manes.15G051466.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3947267:3964764:1 gene:Manes.15G051466.v8.1 transcript:Manes.15G051466.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHASSEGSGDVVLDETQMVHQHEKLWVDKYAPNSFTELLSVEQTNREVLLWLKQWDSRVFGSEIRSTSDDILSALRRHSSVTQQKKYSDSTFPRRSKDIRWTNENFRNSNNWNDENSNVKGIQDSWNKISRLTGPPEQKILLLCGPPGLGKTTLAHVAAKHCGYQVVEVNASDDRSSSTIEAKILDVVQMNSVIADSRPKCLVIDEIDGALGDGKGAVDVILKMVSAERNSDTGKENAAKGEQSGRPSSKKVRKTMSLSRPVICICNDLYAPVLRPLRQVAKVHIFVQPTVSRVVNRLKHICSKEGMKVSTIALTALVEYTECDIRSCLNTLQFLNKKKETLNVLEIGSQVVGRKDMSKNAFDVWKEIFQKRKMKRERISSCGTPCNEFDFLHSIVSNHGEYDVIFDGIHENILQLHYHDPLMQKTVKCFNSLGISDLLHQYIMRTQQIHIHAYQPPLAIIVHHLVAHVQKPNIEWPKSYQRYRMSLMERVEILRSWQNKIPPYISRHLAIKSLVEDSISPLLHILSPSTLRPVALHLLSQKDKNDLAQLVSTMVSYSVTYKNVKSSPLSSNQEYEATLDASALSFDPPICDFINFKGYSSGHHVLPLAVKQVLVHEVEKQNILQVSRSLHLTDGCNKEILDLADERNSENSVSNSPNDRAALSTAKIETGKNKVNPNQRNPICSTTLSNLDCSAMSNVKLKSSGNPKKPCTGSSFFDRFKKVSSKGSQNTDIAVQNTTTLERDSRPVLFKFNEGFTNAVKRPVRMREFLL >Manes.S032116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:792610:792768:1 gene:Manes.S032116.v8.1 transcript:Manes.S032116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.14G047368.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4150052:4151813:-1 gene:Manes.14G047368.v8.1 transcript:Manes.14G047368.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLPPGFRFQPTDEELVFEYLKRKVLSWPLPASIIPVINLCNYDPWDLPGDKEQERYFFSHREAKYRNGNRINRATASGYWKATGLDRQIGPSHKNTALGMKKTLVFYRGKAPHASRTDWIMHEYRLVSVGDVASNSFPQTKNSAQNSCDEIEKWVLCRIYLKKRNSEIDENCEDERVENAAVTYDQRRFFYFTRRDEIVFDSVSSSSSSSSSSGITEVSSNGEDLDEESSSSSCNFF >Manes.02G110200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8545575:8552950:1 gene:Manes.02G110200.v8.1 transcript:Manes.02G110200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNENPFKSILKTLERPDGGEFGKYYSLPALNDPRIDKLPYSIRILLESAIRNCDEFQVKSKDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNSLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTNGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGELSLADRATIANMSPEYGATMGFFPVDHVTLHYLKLTGRSDDTVSMIESYLRANKMFVDYSEPQIERVYSSNLELNLEDVEPCVSGPKRPHDRVPLKEMKADWHSCLDSKVGFKGFSIPKESQGKVAEFSFHGTPAQLRHGDVVIAAITSCTNTSNPSVMLGAALVAQKACELGLEVKPWVKTSLAPGSGVVTKYLEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDIDEAVASAITENDLVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGVGKDGKEVFFRDIWPSNEEVAKVVQSNVLPDMFKATYEAITKGNPMWNHLSVPSGTLYSWDPTSTYIHEPPYFKSMTMSPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMEHGVDRRDFNSYGSRRGNDEVMARGTFANIRIVNKLLGGEVGPKTVHIPSGEKLSVFDVSMRYKSEGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDTETLGLTGHERYSIELPSSVSEIRPGQDITVVTDNGKSFTCTLRFDTEVELAYFDHGGILQYVIRNLISAKQ >Manes.09G163600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35759966:35764411:-1 gene:Manes.09G163600.v8.1 transcript:Manes.09G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKCFLQMFTLICVFYFLPLVHSIEFNFPAVFNFGDSNSDTGNLVSSGIETINPPYGQFYFEKPSGRYCDGRLTIDFLMDAMDLPFLNAYLESIGLPNFRKGCNFAAAGSKILPATAGSVSPFSFGIQVNQFLRFKARVLELLGKGKKLDKYLPAEDYFAKGLYMLDIGQNDLAGAFYSQTFDQIVASIPNILVEFEAGIKRLYDQGARSFWIHNTGPLGCLTQNVAKFGTDPSKLDEFGCVSGHNQAAKLFNLQLHALCKKLQGQYVDSNVTYVDIYTIKYNLISNYSRYGFEQPIMACCGYGGPPLNYDSRISCGQTKVLNGTTVSVKACNDSTEYVNWDGIHYTEAANQYVSSQILTGKYSDPPFSDKMPFLLNLKF >Manes.09G163600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35760430:35764374:-1 gene:Manes.09G163600.v8.1 transcript:Manes.09G163600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLEGKFKPLLAQWCSGDLVAALGILLDPPNGQTYFKTPSGRFCDGRLIVDFLMDAMDLPFLNAYLESIGLPNFRKGCNFAAAGSKILPATAGSVSPFSFGIQVNQFLRFKARVLELLGKGKKLDKYLPAEDYFAKGLYMLDIGQNDLAGAFYSQTFDQIVASIPNILVEFEAGIKRLYDQGARSFWIHNTGPLGCLTQNVAKFGTDPSKLDEFGCVSGHNQAAKLFNLQLHALCKKLQGQYVDSNVTYVDIYTIKYNLISNYSRYGFEQPIMACCGYGGPPLNYDSRISCGQTKVLNGTTVSVKACNDSTEYVNWDGIHYTEAANQYVSSQILTGKYSDPPFSDKMPFLLNLKF >Manes.09G163600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35760430:35764372:-1 gene:Manes.09G163600.v8.1 transcript:Manes.09G163600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFICMATKFFAFLYILILPIFSSEAKSIDFNYPAVFNFGDSNSDTGDLVAALGILLDPPNGQTYFKTPSGRFCDGRLIVDFLMDAMDLPFLNAYLESIGLPNFRKGCNFAAAGSKILPATAGSVSPFSFGIQVNQFLRFKARVLELLGKGKKLDKYLPAEDYFAKGLYMLDIGQNDLAGAFYSQTFDQIVASIPNILVEFEAGIKRLYDQGARSFWIHNTGPLGCLTQNVAKFGTDPSKLDEFGCVSGHNQAAKLFNLQLHALCKKLQGQYVDSNVTYVDIYTIKYNLISNYSRYGFEQPIMACCGYGGPPLNYDSRISCGQTKVLNGTTVSVKACNDSTEYVNWDGIHYTEAANQYVSSQILTGKYSDPPFSDKMPFLLNLKF >Manes.12G000300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239901:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLEVARTGRVALVRESGVDSTYLRGYPLPL >Manes.12G000300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239901:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVARTGRVALVRESGVDSTYLRGYPLPL >Manes.12G000300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:249614:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLEYKRTISIPPTISATIGVHKHLVLLLYIPPQHLIEQQHFVFCFSK >Manes.12G000300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVRPLSFLSYQVLFHCKGALTCFRESCWTWFIC >Manes.12G000300.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:249614:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQVCSLCSSLFSNYFDLFLSLLFLFQISTSQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRVRELIMPLKALTLKH >Manes.12G000300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLEVARTGRVALVRESGVDSTYLRGFIRRISLTVSDGSIISSSVVK >Manes.12G000300.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239901:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQVCSLCSSLFSNYFDLFLSLLFLFQISTSQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRVRELIMPLKALTLKH >Manes.12G000300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLEVARTGRVALVRESGVDSTYLRGYPLPL >Manes.12G000300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:249614:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLEFCCHSTREQFQFLQQSLQP >Manes.12G000300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239901:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLEVARTGRVALVRESGVDSTYLRGYPLPL >Manes.12G000300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVARTGRVALVRESGVDSTYLRGFIRRISLTVSDGSIISSSVVK >Manes.12G000300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVRPLSFLSYQVLFHCKGALTCFRESCWTWFIC >Manes.12G000300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLEVARTGRVALVRESGVDSTYLRGFIRRISLTVSDGSIISSSVVK >Manes.12G000300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVARTGRVALVRESGVDSTYLRGFIRRISLTVSDGSIISSSVVK >Manes.12G000300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVRPLSFLSYQVLFHCKGALTCFRESCWTWFIC >Manes.12G000300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVARTGRVALVRESGVDSTYLRGFIRRISLTVSDGSIISSSVVK >Manes.12G000300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239901:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVARTGRVALVRESGVDSTYLRGYPLPL >Manes.12G000300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239901:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVARTGRVALVRESGVDSTYLRGYPLPL >Manes.12G000300.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239901:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQVCSLCSSLFSNYFDLFLSLLFLFQISTSQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRVRELIMPLKALTLKH >Manes.12G000300.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:249614:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQVCSLCSSLFSNYFDLFLSLLFLFQISTSQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRVRELIMPLKALTLKH >Manes.12G000300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:239861:264316:-1 gene:Manes.12G000300.v8.1 transcript:Manes.12G000300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASILPYSTSTTTREPCFRLDFSNGFSASSSVVSLHFLRPHTRRTNAVVKVSASIRKDNGNAAPVTRSVSAFSPPKLLWHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVRGTDNVLQQVVEQLNKLVNVIKVEDISREPQVERELMLIKLNVDPSTRPEIMWLVDIFRAKVVDISEHTLTIEITGDPGKTSAVLRNLSKFRIKELSRTGKIAVRRERMGETAPFWRFSAAAYPDLDKAQPNDVLSSNPTNSFTWNSNGSINGSMISSSKGDVYPVEPYNDDSVNQVLDAHWGVIYDDDSSGLRSHTLSMLVNDSPGVLNMVTGVISRRGYNIQSLAVGPAERERLSRITTVIPGTDESIGKLVLHLHKLIDMHEVRDITHLPFAERELMLIKIAVNTAARRDVLDIASIFRAKAVDVSDHTITLELTGDLNKMAALQRLLEPYGICEVARTGRVALVRESGVDSTYLRGFIRRISLTVSDGSIISSSVVK >Manes.06G132400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26093655:26097158:-1 gene:Manes.06G132400.v8.1 transcript:Manes.06G132400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSIPTFLLFISTFFFMVLGDDAVGNSVKYPLVVSTWPFKEAVKAAWKAVDGGLSAVDAVVEGCSTCEELRCDGTVGPGGSPDENGETTIDALVMNGVTMEVGAVAAMRYVKDGIRAARLVMQHTEHTLLVGEKASVFAISMGLPGPSNLSSSESIEKWTKWKENHCQPNFWKNVVPAGSCGPYHPKGKVDVSEECTKTNLMGVAELRSSHISPHNHDTISMTVIDKMGHVAVGTSTNGATYKIPGRVGDGPIAGSSAYADNDVGACGATGDGDIMMRFLPCYQVVESMRLGMEPRAAAKDAIYRIARKFPNFVGAVFAVNKNGEHGGACHGWTFQYSVRSPEMDDVEVFTVFP >Manes.06G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26093403:26097494:-1 gene:Manes.06G132400.v8.1 transcript:Manes.06G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSIPTFLLFISTFFFMVLGDDAVGNSVKYPLVVSTWPFKEAVKAAWKAVDGGLSAVDAVVEGCSTCEELRCDGTVGPGGSPDENGETTIDALVMNGVTMEVGAVAAMRYVKDGIRAARLVMQHTEHTLLVGEKASVFAISMGLPGPSNLSSSESIEKWTKWKENHCQPNFWKNVVPAGSCGPYHPKGKVDVSEECTKTNLMGVAELRSSHISPHNHDTISMTVIDKMGHVAVGTSTNGATYKIPGRVGDGPIAGSSAYADNDVGACGATGDGDIMMRFLPCYQVVESMRLGMEPRAAAKDAIYRIARKFPNFVGAVFAVNKNGEHGGACHGWTFQYSVRSPEMDDVEVFTVFP >Manes.06G132400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26093646:26097169:-1 gene:Manes.06G132400.v8.1 transcript:Manes.06G132400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSIPTFLLFISTFFFMVLGDDAVGNSVKYPLVVSTWPFKEAVKAAWKAVDGGLSAVDAVVEGCSTCEELRCDGTVGPGGSPDENGETTIDALVMNGVTMEVGAVAAMRYVKDGIRAARLVMQHTEHTLLVGEKASVFAISMGLPGPSNLSSSESIEKWTKWKENHCQPNFWKNVVPAGSCGPYHPKGKVDVSEECTKTNLMGVAELRSSHISPHNHDTISMTVIDKMGHVAVGTSTNGATYKIPGR >Manes.03G024800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2018960:2020222:-1 gene:Manes.03G024800.v8.1 transcript:Manes.03G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFGLLFLLHLFFVFFHVEAQVNSVGDEGSPNFQPSLAVVIGILCVMFALTFVLLVYAKFCHRVASVRGDSQNLPALIRSTSRFSGIDKTVIESLPFFRFSSLKGSRDGLECVVCLSKFEDIEVLRLLPKCKHAFHINCVDQWLEKHSSCPLCRCKVSAEDPTIFTYSNSMRFLFGNQSELQADSNVELFVQREETHRGSSRFSIGSSFRKVEKGNKDEEDALIQVEEEEADTSPDDDQTKIFDKFNHKIVLSDVVLKNRWSSVSSSDLMFLSSEMLQEMSSNRFSSLDSNNEEFTATRTIEGKQIMKIKEEMEMKRLFESKFSSINNYPFPDHPSTSDSNATSSIMNSAGRRSVSEITALSRFRNLSVKNTIRETLSGGNNTNLERQQRLWLPIARRTVEWFANRERRSGKTKHTLDV >Manes.18G008200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1105028:1107236:1 gene:Manes.18G008200.v8.1 transcript:Manes.18G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQCMRAENTFPNAHTFAGVFNAASNLSCTLGGQQAHALAIKMANFYDVYVGSSLLNMCCKASLHLEARKVFDRMPERNVVTWATMISGYAIQRLAREALRLFEWMLKEDEDLNEFVFTSVLSALAIPEFINSGKQMHCLAVKSGLLAFVSTLNALVTMYAKCGRLHDSLQVFEMSGSKDSITWSAMITGYAQSGDSQKALKLFSKMHFHGIKPNEFTLVGVLNACSDIGIDEEGKQVHSYLLKLGFEFQMYIMTALVDMYAKCGCTADARKGFDYLQEPDIVLWTSMITGYVQNGENEDALSSYGLMQMEGIFPNELTMASVLKACSSLAALDQGRQIHARTTKYGLSLEVPAGSALSTMYAKCGSLDEGNVVFKRMPERDTVSCNAMISGLSQNGYGKEALDLFEEMRLEGTKPDDVTFVNVLSTCSHMGLVEEGWAYFKMMFHGFGIVPKVEHYACMVDVMSRAGKFKEAKEFIESATIDHGMCLWRILLSACRNYRNYELGAYAGEKLMALGSQESSAYVLLSSIYIALGRLEDMERVRSMMRVRGVSEEPGCSWIELKSRVHVFVVGDQIHPHIGEIRLEVRRLSKQMKDEGSQPTSKNFDLN >Manes.02G189901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15171395:15171697:-1 gene:Manes.02G189901.v8.1 transcript:Manes.02G189901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESHLHCDYCGKPRHTKEICWKLHGRSTKSCGGKRGTSRTQANLAETVEETFKETTTTKFLSPNEIQSLKCLLSDIDTSSSSGTISNFVKSDNTSSLDNILW >Manes.06G168600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29362451:29366632:-1 gene:Manes.06G168600.v8.1 transcript:Manes.06G168600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAAVADQLSTPILDAESSNLLQSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHYRNGELKDALRVLDMGLIMGGMLLRKDLDSAVEIISAKTRENDDRCESFEKSEHNLAPEAEFDKVEVLQFLPAKSLSCKTVVNKSAPSMEAFLREHFLCGSPVIISDCMTHWPARKKWNDMDYLKRVAGDRTVPVEVGKNYLCQEWKQELITFSQFLERIQSNGTSSDGPTYLAQHPLFDQINELRDDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHHDPHHNILAQVVGKKYIRLYPASLCEELYPHGETMLFNSSQVDLDNIDEKEFPKVHDLEFLDCILEEGEMLYIPPKWWHYVRSLTISFSVTYWWSDTGSSAVS >Manes.06G168600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29362451:29366632:-1 gene:Manes.06G168600.v8.1 transcript:Manes.06G168600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAAVADQLSTPILDAESSNLLQSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHYRNGELKDALRVLDMGLIMGGMLLRKDLDSAVEIISAKTRENDDRCESFEKSEHNLAPEAEFDKVEVLQFLPAKSLSCKTVVNKSAPSMEAFLREHFLCGSPVIISDCMTHWPARKKWNDMDYLKRVAGDRTVPVEVGKNYLCQEWKQELITFSQFLERIQSNGTSSDGPTYLAQHPLFDQINELRDDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHHDPHHNILAQVDLDNIDEKEFPKVHDLEFLDCILEEVTYWWSDTGSSAVS >Manes.06G168600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29362357:29366632:-1 gene:Manes.06G168600.v8.1 transcript:Manes.06G168600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAAVADQLSTPILDAESSNLLQSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHYRNGELKDALRVLDMGLIMGGMLLRKDLDSAVEIISAKTRENDDRCESFEKSEHNLAPEAEFDKVEVLQFLPAKSLSCKTVVNKSAPSMEAFLREHFLCGSPVIISDCMTHWPARKKWNDMDYLKRVAGDRTVPVEVGKNYLCQEWKQELITFSQFLERIQSNGTSSDGPTYLAQHPLFDQINELRDDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHHDPHHNILAQVVGKKYIRLYPASLCEELYPHGETMLFNSSQVDLDNIDEKEFPKVHDLEFLDCILEEVTYWWSDTGSSAVS >Manes.06G168600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29362451:29366632:-1 gene:Manes.06G168600.v8.1 transcript:Manes.06G168600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAAVADQLSTPILDAESSNLLQSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHYRNGELKDALRVLDMGLIMGGMLLRKDLDSAVEIISAKTRENDDRCESFEKSEHNLAPEAEFDKVEVLQFLPAKSLSCKTVVNKSAPSMEAFLREHFLCGSPVIISDCMTHWPARKKWNDMDYLKRVAGDRTVPVEINELRDDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHHDPHHNILAQVVGKKYIRLYPASLCEELYPHGETMLFNSSQVDLDNIDEKEFPKVHDLEFLDCILEEVTYWWSDTGSSAVS >Manes.09G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32451039:32457592:1 gene:Manes.09G121700.v8.1 transcript:Manes.09G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPICSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLMSNVAGTGLGSWNGLPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKFRGRPGYEHLNDPLHILIEADLPANIVDMRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREESPGPSGSISPFNTSGMKRAKTGR >Manes.18G077006.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7032357:7039245:-1 gene:Manes.18G077006.v8.1 transcript:Manes.18G077006.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNFSHGANFAAGGSTIRIPTSIIPHGISSPFSLDVQLLQFLQFKLKSQLIRKQGGVFATLMPKEEIFPKALYTFDIGQNDIGNALLSNLTIEEVNASVPDVVNRFSENIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLSMSCMRFNNIAF >Manes.18G108300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10700754:10706732:1 gene:Manes.18G108300.v8.1 transcript:Manes.18G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSREDSPDWLRCFQAPTHSTVTLSSDSDSLPNASPSRDDKIDSQSPERYNNHGIASGETGAESPLQQISKAKAPKRGRTGDGTPTKKERNKKKKKGVEEEEEEQREGNGADELAAEEVTHAVNHSILALSSDSESSHDNSSGRKAKNIESKESGEDEDSMVGSSSGAVKKASKEKSPKKLLKVEGHTHKKGKNKNDNVLKGDHDAVEVVDEDTSVKRTDPHVSTSRLPLLLPEKVGRSKALVECEGDSIDLSGDMGAVGRVVIPDGSSGNHDMYLDLKGTIYRTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKAQSNVYEAETMVEGTLEGFSFDSEDEADKMPKAISHQTDQNEGNEEQTNGRTKGKAKKSSGMAQNKAKTGGKTQPGKRIRKKAQVSKKTKAKK >Manes.01G216700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38560859:38563429:1 gene:Manes.01G216700.v8.1 transcript:Manes.01G216700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRYYLFFALVCLVALFPALALANAYCGPIEADDKDQLQFALNLEFFETEFFLFGALGCGLDAIAPALAKGGPPPIGAQKAKLDLVSRKIVEEFGYQEIGHLRAIITTVGGFPRPLYDLSPQNFAEVFDRAIGYKLVPPFNPYLSTVNYFLAAYLLPYVGLVGYVGTIPNLKYYPTKRLAASLLGVEAGQDAVIRALLYERAYEKVYPYNITVAEFTNKISCLRNELAMCGIKDEGLIVPLEYGAENMTESNILSADTNSLSYARTPLEILRIIYGTGSEYEPGGFLPHGANGRIARSFYKV >Manes.09G042200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7684203:7686092:1 gene:Manes.09G042200.v8.1 transcript:Manes.09G042200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIVGLGCMAAFAISAGSVILIAHQLNERLVSDFMKKVEFELMGSKRGFQAKKRVRFAEDVIEPLPENEEDCDKHLLRITEDGEALETMPVNRQILYKGILEYRTLQKGCYISA >Manes.09G042200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7685370:7685801:1 gene:Manes.09G042200.v8.1 transcript:Manes.09G042200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIVGLGCMAAFAISAGSVILIAHQLNERLVSDFMKKVEFELMGSKRGFQAKKRVRFAEDVIEPLPENEEDCDKHLLRITEDGEALETMPVNRQILYKGILEYRTLQKGCYISA >Manes.18G144500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26941901:26957534:-1 gene:Manes.18G144500.v8.1 transcript:Manes.18G144500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASTDEDMVEQVLMRTQDRSETTLQPEISSSSSSNLPIKDPSSSIMQKRFQRLSRNVSEAIASLKNSLNLDSARDPQVQVLQQQQEQASQQFSNNGTKIESCRKVVWANVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKDVFLHIKLIEQASVDEQPAIMIQEVSDDEVQGSVFKLTFACNSSISWPVMSGALDSTSICCKKIQIFEKKGFTLGVVLLLVQAGQEKSFKTRIESALKSAIKKPKPTAVKLPFGLCGCQEENTRGRDFGEIEEDSSEQNYKNSAENLSAKIQLEMPLPTSSLVVSVDEWQTIQLGGDEIGKWLLNSDNLELIDQIGPNSFKGVYKGKRVGIEKLKGCDKGSSYEFELRKDLLELMTCGHKNILQFYGVCVDENHGLCVATKLMEGGSVNDLMLKNKKLQMKEIIRIAIDVAEGIKFMNDHGVAYRDLNTQRILLDRHGNACLGDMGIVTACKSMGEAMEYETDGYRWLAPEIIAGDPESVTETWMSNAYSFGMVIWEMVTGEAAYAACSPVQAAVGIAACGLRPDIPKDCPQILKSLMTKCWDNSPSKRPQFSEILSILSRFSNNNTR >Manes.18G144500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26950122:26957534:-1 gene:Manes.18G144500.v8.1 transcript:Manes.18G144500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASTDEDMVEQVLMRTQDRSETTLQPEISSSSSSNLPIKDPSSSIMQKRFQRLSRNVSEAIASLKNSLNLDSARDPQVQVLQQQQEQASQQFSNNGTKIESCRKVVWANVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKDVFLHIKLIEQASVDEQPAIMIQEVSDDEVQGSVFKLTFACNSSISWPVMSGALDSTSICCKKIQIFEKKGFTLGVVLLLVQAGQEKSFKTRIESALKSAIKKPKPTAVKLPFGLCGCQEENTRGRDFGEIEEDSSEQNYKNSAENLSAKIQLEMPLPTSSLVVSVDEWQTIQLGGDEIGKWLLNSDNLELIDQIGPNSFKGVYKGKRVGIEKLKGCDKGSSYEFELRKDLLELMTCGHKNILQFYGVCVDENHGLCVATKLMEGGSVNDLMLKNKKLQMKEIIRIAIDVAEGIKFMNDHGVAYRDLNTQRILLDRHGNACLGDMGIVTACKSMGEAMEYETDGYRWLAPEIIAGDPESVTETWMSNAYSFGMVIWEMVTGEAAYAACSPVQAAVGIAACGLRPDIPKDCPQILKSLMTKCWDNSPSKRPQFSEILSILSRFSNNNTR >Manes.18G144500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26950645:26957493:-1 gene:Manes.18G144500.v8.1 transcript:Manes.18G144500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASTDEDMVEQVLMRTQDRSETTLQPEISSSSSSNLPIKDPSSSIMQKRFQRLSRNVSEAIASLKNSLNLDSARDPQVQVLQQQQEQASQQFSNNGTKIESCRKVVWANVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKDVFLHIKLIEQASVDEQPAIMIQEVSDDEVQGSVFKLTFACNSSISWPVMSGALDSTSICCKKIQIFEKKGFTLGVVLLLVQAGQEKSFKTRIESALKSAIKKPKPTAVKLPFGLCGCQEENTRGRDFGEIEEDSSEQNYKNSAENLSAKIQLEMPLPTSSLVVSVDEWQTIQLGGDEIGKWLLNSDNLELIDQIGPNSFKGVYKGKRVGIEKLKGCDKGSSYEFELRKDLLELMTCGHKNILQFYGVCVDENHGLCVATKLMEGGSVNDLMLKNKKLQMKEIIRIAIDVAEGIKFMNDHGVAYRDLNTQRILLDRHGNACLGDMGIVTACKSMGEAMEYETDGYRWLAPEIIAGDPESVTETWMSNAYSFGMVIWEMVTGEAAYAACSPVQAAVGIAACGLRPDIPKDCPQILKSLMTKCWDNSPSKRPQFSEILSILSRFSNNNTRLCYDGYGRSGLPILHAWHYVRERPRLPILRPWHLWICYV >Manes.18G144500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26950614:26957534:-1 gene:Manes.18G144500.v8.1 transcript:Manes.18G144500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASTDEDMVEQVLMRTQDRSETTLQPEISSSSSSNLPIKDPSSSIMQKRFQRLSRNVSEAIASLKNSLNLDSARDPQVQVLQQQQEQASQQFSNNGTKIESCRKVVWANVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKDVFLHIKLIEQASVDEQPAIMIQEVSDDEVQGSVFKLTFACNSSISWPVMSGALDSTSICCKKIQIFEKKGFTLGVVLLLVQAGQEKSFKTRIESALKSAIKKPKPTAVKLPFGLCGCQEENTRGRDFGEIEEDSSEQNYKNSAENLSAKIQLEMPLPTSSLVVSVDEWQTIQLGGDEIGKWLLNSDNLELIDQIGPNSFKGVYKGKRVGIEKLKGCDKGSSYEFELRKDLLELMTCGHKNILQFYGVCVDENHGLCVATKLMEGGSVNDLMLKNKKLQMKEIIRIAIDVAEGIKFMNDHGVAYRDLNTQRILLDRHGNACLGDMGIVTACKSMGEAMEYETDGYRWLAPEIIAGDPESVTETWMSNAYSFGMVIWEMVTGEAAYAACSPVQAAVGIAACGLRPDIPKDCPQILKSLMTKCWDNSPSKRPQFSEILSILSRFSNNNTR >Manes.02G170601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13499723:13508413:1 gene:Manes.02G170601.v8.1 transcript:Manes.02G170601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTTTKNNDIVPYLTGGSVKVLNISLDGKLQIFFWAAKDCYDKSGKRENSTSACKEHAQSYKPPDNVSGYLCKCKAGYQGNPYVGCQDINECENEHQCTDKCTNTDGNYTCSCPKGYHGDGRKDGQGCTRNQLSFVKIILGIGIGFTALVVAASWLYLIFRKRKLIQLKEKFFRQNGGAVLQQKLSRREGTPDTAKIFTAEELKKATRNYDETTIIGKGGFGTVYKGILTDQNQIDQFINEVVVLSQINHKNVVRLLGCCLEPPVPLLVYEFITNDTLFDHIHNESNGLSALSWQIRLKIAAETAGALSYLHSAASVPIIHRDVKTTNILLDADYTAKVSDFGASRLAPMDEAQLSTVVQGTWGYLDPEYLHTNQLTDKSDVYSFGVILEERSLALYFLSSMKGGKLFEVVDCRVINQGTEEQIKEVARLAARCLRLKGEERPSMKEVAMELEGLRMMEVHTWDAENQEETELLLSEKKKDFGHGDSNSASAVYDSIQSHVNLSLGDGR >Manes.12G098900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:24181165:24183141:1 gene:Manes.12G098900.v8.1 transcript:Manes.12G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFQKLTYYLSEHPSIVTFRWNHTQSWGSTWSFLFSSIILYLGFCALVHVFLSILLRRGRAVPLGPIPAFHSLSMALISATIFAGILLSTKAEIRETRWFWRRSKTPFQWLLCFPLGTRPSGRVFFWSYMYYLSRFLHTFRTLFSILKLRKLAFFQLFNNSISIFMSFLWLEFSQSFQVLAILLATLAYSVVYGYRFWTAIGLPSACFPFVVNCQMVLLSCNLACHVGVLLLHFMKGGCNGIGAWLFNSVLNGAVLLLFLNFYIKMHLGNKNKEIKSSSSTAESTD >Manes.17G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13780670:13799859:-1 gene:Manes.17G021900.v8.1 transcript:Manes.17G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQSNLYETASQPDTGTDAYTFLEFNTQGESDFDYSEFRSPVAWPTPSDSLAATSSSSAIDPTTSDHRAAASSSDHHSDSPAASPVSSKAARSGVGGNSQGVDGIVAGMGGLNFEETGDEDGYEFGKGDFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRIAYFVFPKEDNEIRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVENQNVRNTLPRRFGAPGLPELNASQVFAVKSVLQRPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPNQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIVSNDNFGSVASSSPNADRRSYRGRGSYMPPGPPNGNHKPGVHPTGFPMPRVPIPQFHGGPPSQPYAIPTRGAVHGPVGAVPHVPAPGSRGFGAGRGNAGAPIGSHLPHQQSTQQNIGNMGSTFNFPALENPNSQPSVGAPLSQPGYVNNMPGQGPSQTFRDGFSMGGMSQDFLGDDFKSQGSHVPYNVADFSTQASQSGYAVDYVTQGAQGGFPGNFMNQNSQAGFSRFGSGNDFMSQDYMTHGSQGLFTQIGFNDPPQDDASQSHFGIANPNPLQSQGLMNSLYSQPFAHYNTQPLNLQSQQQPQQGQGSQNQKIHYNG >Manes.17G021900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13783565:13799859:-1 gene:Manes.17G021900.v8.1 transcript:Manes.17G021900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQSNLYETASQPDTGTDAYTFLEFNTQGESDFDYSEFRSPVAWPTPSDSLAATSSSSAIDPTTSDHRAAASSSDHHSDSPAASPVSSKAARSGVGGNSQGVDGIVAGMGGLNFEETGDEDGYEFGKGDFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRIAYFVFPKEDNEIRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVENQNVRNTLPRRFGAPGLPELNASQVFAVKSVLQRPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPNQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIVSNDNFGSVASSSPNADRRSYRGRGSYMPPGPPNGNHKPGVHPTGFPMPRVPIPQFHGGPPSQPYAIPTRGAVHGPVGAVPHVPAPGSRGFGAGRGNAGAPIGSHLPHQQSTQQNIGNMGSTFNFPALENPNSQPSVGAPLSQPGYVNNMPGQGPSQTFRDGFSMGGMSQDFLGDDFKSQGSHVPYNVADFSTQIWEFVIMHFIYAWSSSHGFKSWSRPAGN >Manes.02G029475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2564528:2569225:1 gene:Manes.02G029475.v8.1 transcript:Manes.02G029475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQTWTTRRMSNPRLDANSTTVATTTDQVLDIPATPPSDARNNAYSTAGSYFSPNTLTALIIASWYFSNIGVLLLNKYLLSFYGYRYPIFLTMLHMVSCAIYSYVAIKFLEIVPLQHILSRRQSLKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFSFIITCKKESAEVYCVLLPVVFGIVLASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAALILLPFTLYIEGNVAAITIEKARGDPFIVFLLVGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFAVTIMGVVLYSEAKKRSKVTTH >Manes.02G141700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10883155:10904293:-1 gene:Manes.02G141700.v8.1 transcript:Manes.02G141700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYTNQTVSHSVLEEKGQGQQIGRMAGNEDIDATSSEREFNINMDAQYESEGEDACGMQGDAATDNEGGVSNSHVQSSGRRNTAGKWGSTFWKDCRPMVAQAASDSGHEAKSDYKNVEVSEDDVSDGMDDRLVSEDDGQKEVGRGQKGHSDVPADEMLSDEYYEQDGEDQSDSMHYRGFNHSNGLNSRSRSVSNNFSRSSRDLNNNEEDDDGDYNNDHDADYEEEDEEDEDDPEDADFDPDYGVASGHAGNKDKDWDGEDSDEDDDVDNDMDVSNEDDSYYVKKPKGRQRGKGLCHTKSARESKSFHASSLQKRGKTSFEEDEYSAEDSDSESDGDIKSMARRGAHIRKSNARSTMSTVLSGRNNEVRMSSRSVRKVSYAESEESEEIDEGKKKKPQKDETEEEDGDAIERVLWHQPKGMAEEALMNNQSAEPVLLSLLFDSQPDWNELEFLIKWKGQSHLHCQWKSFADLQNLSGFKKVLNYTKKVNEDVKYRRMLTREEIEVNDVSKEMDLDLIKQNSQVERIISDRISKDSSGNIVPEYLVKWQGLSYAEATWEKDIDIEFAQDAIDEYKGREAAMAMQGKMVDLQRKKSKESLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSVLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKVGRPIKFNALLSTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVQNYKNLSSFNENELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDNSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSNFDKNELSAILRFGAEELFKEDRNEEESKKRLLSMDIDEILERAEKVEDEEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVAQAEEALAPRAARNNKSYTEAKQSERSSKRKKKNSELLEPQERVQKRRKADHSAPSVPMIDGASAQVREWSQGNLSKRDALRFSRAVMKFGNVNQIDLIVAEFGGSVAAAPLDAQIELFDALIDGCKEAVDGGNLDPKGPLLDFFGVPVKANDLLNRVQQLQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARFLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELAVVGGKNANVKVGRKASKKQKENIVNIPVSRTRIKKGKHSSASVSANASKNRPQKPQRAEQLVKEEGEMSDNEELREQFKEVKWMEWCEDVMVGEIKTLRRLQRLQTTSADLPKEKVLSKIRKYLQLIGRRIDQIVIEYEEELYRQDRMTTRLWNYVSTFSNLSGERLHQIYSKLRQKQEEEAGVGPSHVNGSASGPVDRDGDANCFPLTRHAERQRGYKNVNAYQVSESIQKGHDNGKFEAWKRRRRAEADTHPQFQPPLQRPMSNGARLMDPNSLGILGAAPSDNRPLRMRQTSFPPRQNYSSGIK >Manes.02G141700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10883155:10904293:-1 gene:Manes.02G141700.v8.1 transcript:Manes.02G141700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYTNQTVSHSVLEEKGQGQQIGRMAGNEDIDATSSEREFNINMDAQYESEGEDACGMQGDAATDNEGGVSNSHVQSSGRRNTAGKWGSTFWKDCRPMVAQAASDSGHEAKSDYKNVEVSEDDVSDGMDDRLVSEDDGQKEVGRGQKGHSDVPADEMLSDEYYEQDGEDQSDSMHYRGFNHSNGLNSRSRSVSNNFSRSSRDLNNNEEDDDGDYNNDHDADYEEEDEEDEDDPEDADFDPDYGVASGHAGNKDKDWDGEDSDEDDDVDNDMDVSNEDDSYYVKKPKGRQRGKGLCHTKSARESKSFHASSLQKRGKTSFEEDEYSAEDSDSESDGDIKSMARRGAHIRKSNARSTMSTVLSGRNNEVRMSSRSVRKVSYAESEESEEIDEGKKKKPQKDETEEEDGDAIERVLWHQPKGMAEEALMNNQSAEPVLLSLLFDSQPDWNELEFLIKWKGQSHLHCQWKSFADLQNLSGFKKVLNYTKKVNEDVKYRRMLTREEIEVNDVSKEMDLDLIKQNSQVERIISDRISKDSSGNIVPEYLVKWQGLSYAEATWEKDIDIEFAQDAIDEYKGREAAMAMQGKMVDLQRKKSKESLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSVLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKVGRPIKFNALLSTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVQNYKNLSSFNENELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDNSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSNFDKNELSAILRFGAEELFKEDRNEEESKKRLLSMDIDEILERAEKVEDEEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVAQAEEALAPRAARNNKSYTEAKQSERSSKRKKKNSELLEPQERVQKRRKADHSAPSVPMIDGASAQVREWSQGNLSKRDALRFSRAVMKFGNVNQIDLIVAEFGGSVAAAPLDAQIELFDALIDGCKEAVDGGNLDPKGPLLDFFGVPVKANDLLNRVQQLQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARFLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELAVVGGKNANVKVGRKASKKQKENIVNIPVSRTRIKKGKHSSASVSANASKNRPQKPQRAEQLVKEEGEMSDNEELREQFKEVKWMEWCEDVMVGEIKTLRRLQRLQTTSADLPKEKVLSKIRKYLQLIGRRIDQIVIEYEEELYRQDRMTTRLWNYVSTFSNLSGERLHQIYSKLRQKQEEEAGVGPSHVNGSASGPVDRDGDANCFPLTRHAERQRGYKNVNAYQVSESIQKGHDNGKFEAWKRRRRAEADTHPQFQPPLQRPMSNGARLMDPNSLGILGAAPSDNRPLRMRQTSFPPRQNYSSGIK >Manes.02G141700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10883154:10904293:-1 gene:Manes.02G141700.v8.1 transcript:Manes.02G141700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYTNQTVSHSVLEEKGQGQQIGRMAGNEDIDATSSEREFNINMDAQYESEGEDACGMQGDAATDNEGGVSNSHVQSSGRRNTAGKWGSTFWKDCRPMVAQAASDSGHEAKSDYKNVEVSEDDVSDGMDDRLVSEDDGQKEVGRGQKGHSDVPADEMLSDEYYEQDGEDQSDSMHYRGFNHSNGLNSRSRSVSNNFSRSSRDLNNNEEDDDGDYNNDHDADYEEEDEEDEDDPEDADFDPDYGVASGHAGNKDKDWDGEDSDEDDDVDNDMDVSNEDDSYYVKKPKGRQRGKGLCHTKSARESKSFHASSLQKRGKTSFEEDEYSAEDSDSESDGDIKSMARRGAHIRKSNARSTMSTVLSGRNNEVRMSSRSVRKVSYAESEESEEIDEGKKKKPQKDETEEEDGDAIERVLWHQPKGMAEEALMNNQSAEPVLLSLLFDSQPDWNELEFLIKWKGQSHLHCQWKSFADLQNLSGFKKVLNYTKKVNEDVKYRRMLTREEIEVNDVSKEMDLDLIKQNSQVERIISDRISKDSSGNIVPEYLVKWQGLSYAEATWEKDIDIEFAQDAIDEYKGREAAMAMQGKMVDLQRKKSKESLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSVLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKVGRPIKFNALLSTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVQNYKNLSSFNENELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDNSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSNFDKNELSAILRFGAEELFKEDRNEEESKKRLLSMDIDEILERAEKVEDEEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVAQAEEALAPRAARNNKSYTEAKQSERSSKRKKKNSELLEPQERVQKRRKADHSAPSVPMIDGASAQVREWSQGNLSKRDALRFSRAVMKFGNVNQIDLIVAEFGGSVAAAPLDAQIELFDALIDGCKEAVDGGNLDPKGPLLDFFGVPVKANDLLNRVQQLQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARFLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELAVVGGKNANVKVGRKASKKQKENIVNIPVSRTRIKKGKHSSASVSANASKNRPQKPQRAEQLVKEEGEMSDNEELREQFKEVKWMEWCEDVMVGEIKTLRRLQRLQTTSADLPKEKVLSKIRKYLQLIGRRIDQIVIEYEEELYRQDRMTTRLWNYVSTFSNLSGERLHQIYSKLRQKQEEEAGVGPSHVNGSASGPVDRDGDANCFPLTRHAERQRGYKNVNAYQVSESIQKGHDNGKFEAWKRRRRAEADTHPQFQPPLQRPMSNGARLMDPNSLGILGAAPSDNRPLRMRQTSFPPRQNYSSGIK >Manes.02G141700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10883155:10904293:-1 gene:Manes.02G141700.v8.1 transcript:Manes.02G141700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYTNQTVSHSVLEEKGQGQQIGRMAGNEDIDATSSEREFNINMDAQYESEGEDACGMQGDAATDNEGGVSNSHVQSSGRRNTAGKWGSTFWKDCRPMVAQAASDSGHEAKSDYKNVEVSEDDVSDGMDDRLVSEDDGQKEVGRGQKGHSDVPADEMLSDEYYEQDGEDQSDSMHYRGFNHSNGLNSRSRSVSNNFSRSSRDLNNNEEDDDGDYNNDHDADYEEEDEEDEDDPEDADFDPDYGVASGHAGNKDKDWDGEDSDEDDDVDNDMDVSNEDDSYYVKKPKGRQRGKGLCHTKSARESKSFHASSLQKRGKTSFEEDEYSAEDSDSESDGDIKSMARRGAHIRKSNARSTMSTVLSGRNNEVRMSSRSVRKVSYAESEESEEIDEGKKKKPQKDETEEEDGDAIERVLWHQPKGMAEEALMNNQSAEPVLLSLLFDSQPDWNELEFLIKWKGQSHLHCQWKSFADLQNLSGFKKVLNYTKKVNEDVKYRRMLTREEIEVNDVSKEMDLDLIKQNSQVERIISDRISKDSSGNIVPEYLVKWQGLSYAEATWEKDIDIEFAQDAIDEYKGREAAMAMQGKMVDLQRKKSKESLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSVLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKVGRPIKFNALLSTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVQNYKNLSSFNENELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDNSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSNFDKNELSAILRFGAEELFKEDRNEEESKKRLLSMDIDEILERAEKVEDEEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVAQAEEALAPRAARNNKSYTEAKQSERSSKRKKKNSELLEPQERVQKRRKADHSAPSVPMIDGASAQVREWSQGNLSKRDALRFSRAVMKFGNVNQIDLIVAEFGGSVAAAPLDAQIELFDALIDGCKEAVDGGNLDPKGPLLDFFGVPVKANDLLNRVQQLQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARFLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELAVVGGKNANVKVGRKASKKQKENIVNIPVSRTRIKKGKHSSASVSANASKNRPQKPQRAEQLVKEEGEMSDNEELREQFKEVKWMEWCEDVMVGEIKTLRRLQRLQTTSADLPKEKVLSKIRKYLQLIGRRIDQIVIEYEEELYRQDRMTTRLWNYVSTFSNLSGERLHQIYSKLRQKQEEEAGVGPSHVNGSASGPVDRDGDANCFPLTRHAERQRGYKNVNAYQVSESIQKGHDNGKFEAWKRRRRAEADTHPQFQPPLQRPMSNGARLMDPNSLGILGAAPSDNRPLRMRQTSFPPRQNYSSGIK >Manes.02G141700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10883155:10904293:-1 gene:Manes.02G141700.v8.1 transcript:Manes.02G141700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYTNQTVSHSVLEEKGQGQQIGRMAGNEDIDATSSEREFNINMDAQYESEGEDACGMQGDAATDNEGGVSNSHVQSSGRRNTAGKWGSTFWKDCRPMVAQAASDSGHEAKSDYKNVEVSEDDVSDGMDDRLVSEDDGQKEVGRGQKGHSDVPADEMLSDEYYEQDGEDQSDSMHYRGFNHSNGLNSRSRSVSNNFSRSSRDLNNNEEDDDGDYNNDHDADYEEEDEEDEDDPEDADFDPDYGVASGHAGNKDKDWDGEDSDEDDDVDNDMDVSNEDDSYYVKKPKGRQRGKGLCHTKSARESKSFHASSLQKRGKTSFEEDEYSAEDSDSESDGDIKSMARRGAHIRKSNARSTMSTVLSGRNNEVRMSSRSVRKVSYAESEESEEIDEGKKKKPQKDETEEEDGDAIERVLWHQPKGMAEEALMNNQSAEPVLLSLLFDSQPDWNELEFLIKWKGQSHLHCQWKSFADLQNLSGFKKVLNYTKKVNEDVKYRRMLTREEIEVNDVSKEMDLDLIKQNSQVERIISDRISKDSSGNIVPEYLVKWQGLSYAEATWEKDIDIEFAQDAIDEYKGREAAMAMQGKMVDLQRKKSKESLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSVLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKVGRPIKFNALLSTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVQNYKNLSSFNENELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDNSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSNFDKNELSAILRFGAEELFKEDRNEEESKKRLLSMDIDEILERAEKVEDEEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVAQAEEALAPRAARNNKSYTEAKQSERSSKRKKKNSELLEPQERVQKRRKADHSAPSVPMIDGASAQVREWSQGNLSKRDALRFSRAVMKFGNVNQIDLIVAEFGGSVAAAPLDAQIELFDALIDGCKEAVDGGNLDPKGPLLDFFGVPVKANDLLNRVQQLQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARFLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELAVVGGKNANVKVGRKASKKQKENIVNIPVSRTRIKKGKHSSASVSANASKNRPQKPQRAEQLVKEEGEMSDNEELREQFKEVKWMEWCEDVMVGEIKTLRRLQRLQTTSADLPKEKVLSKIRKYLQLIGRRIDQIVIEYEEELYRQDRMTTRLWNYVSTFSNLSGERLHQIYSKLRQKQEEEAGVGPSHVNGSASGPVDRDGDANCFPLTRHAERQRGYKNVNAYQVSESIQKGHDNGKFEAWKRRRRAEADTHPQFQPPLQRPMSNGARLMDPNSLGILGAAPSDNRPLRMRQTSFPPRQNYSSGIK >Manes.02G141700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10883155:10904293:-1 gene:Manes.02G141700.v8.1 transcript:Manes.02G141700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYTNQTVSHSVLEEKGQGQQIGRMAGNEDIDATSSEREFNINMDAQYESEGEDACGMQGDAATDNEGGVSNSHVQSSGRRNTAGKWGSTFWKDCRPMVAQAASDSGHEAKSDYKNVEVSEDDVSDGMDDRLVSEDDGQKEVGRGQKGHSDVPADEMLSDEYYEQDGEDQSDSMHYRGFNHSNGLNSRSRSVSNNFSRSSRDLNNNEEDDDGDYNNDHDADYEEEDEEDEDDPEDADFDPDYGVASGHAGNKDKDWDGEDSDEDDDVDNDMDVSNEDDSYYVKKPKGRQRGKGLCHTKSARESKSFHASSLQKRGKTSFEEDEYSAEDSDSESDGDIKSMARRGAHIRKSNARSTMSTVLSGRNNEVRMSSRSVRKVSYAESEESEEIDEGKKKKPQKDETEEEDGDAIERVLWHQPKGMAEEALMNNQSAEPVLLSLLFDSQPDWNELEFLIKWKGQSHLHCQWKSFADLQNLSGFKKVLNYTKKVNEDVKYRRMLTREEIEVNDVSKEMDLDLIKQNSQVERIISDRISKDSSGNIVPEYLVKWQGLSYAEATWEKDIDIEFAQDAIDEYKGREAAMAMQGKMVDLQRKKSKESLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSVLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKVGRPIKFNALLSTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVQNYKNLSSFNENELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDNSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSNFDKNELSAILRFGAEELFKEDRNEEESKKRLLSMDIDEILERAEKVEDEEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVAQAEEALAPRAARNNKSYTEAKQSERSSKRKKKNSELLEPQERVQKRRKADHSAPSVPMIDGASAQVREWSQGNLSKRDALRFSRAVMKFGNVNQIDLIVAEFGGSVAAAPLDAQIELFDALIDGCKEAVDGGNLDPKGPLLDFFGVPVKANDLLNRVQQLQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARFLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELAVVGGKNANVKVGRKASKKQKENIVNIPVSRTRIKKGKHSSASVSANASKNRPQKPQRAEQLVKEEGEMSDNEELREQFKEVKWMEWCEDVMVGEIKTLRRLQRLQTTSADLPKEKVLSKIRKYLQLIGRRIDQIVIEYEEELYRQDRMTTRLWNYVSTFSNLSGERLHQIYSKLRQKQEEEAGVGPSHVNGSASGPVDRDGDANCFPLTRHAERQRGYKNVNAYQVSESIQKGHDNGKFEAWKRRRRAEADTHPQFQPPLQRPMSNGARLMDPNSLGILGAAPSDNRPLRMRQTSFPPRQNYSSGIK >Manes.02G141700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10883155:10904293:-1 gene:Manes.02G141700.v8.1 transcript:Manes.02G141700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLIKQNSQVERIISDRISKDSSGNIVPEYLVKWQGLSYAEATWEKDIDIEFAQDAIDEYKGREAAMAMQGKMVDLQRKKSKESLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSVLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKVGRPIKFNALLSTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVQNYKNLSSFNENELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDNSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSNFDKNELSAILRFGAEELFKEDRNEEESKKRLLSMDIDEILERAEKVEDEEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVAQAEEALAPRAARNNKSYTEAKQSERSSKRKKKNSELLEPQERVQKRRKADHSAPSVPMIDGASAQVREWSQGNLSKRDALRFSRAVMKFGNVNQIDLIVAEFGGSVAAAPLDAQIELFDALIDGCKEAVDGGNLDPKGPLLDFFGVPVKANDLLNRVQQLQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARFLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELAVVGGKNANVKVGRKASKKQKENIVNIPVSRTRIKKGKHSSASVSANASKNRPQKPQRAEQLVKEEGEMSDNEELREQFKEVKWMEWCEDVMVGEIKTLRRLQRLQTTSADLPKEKVLSKIRKYLQLIGRRIDQIVIEYEEELYRQDRMTTRLWNYVSTFSNLSGERLHQIYSKLRQKQEEEAGVGPSHVNGSASGPVDRDGDANCFPLTRHAERQRGYKNVNAYQVSESIQKGHDNGKFEAWKRRRRAEADTHPQFQPPLQRPMSNGARLMDPNSLGILGAAPSDNRPLRMRQTSFPPRQNYSSGIK >Manes.02G141700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10883155:10904293:-1 gene:Manes.02G141700.v8.1 transcript:Manes.02G141700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYTNQTVSHSVLEEKGQGQQIGRMAGNEDIDATSSEREFNINMDAQYESEGEDACGMQGDAATDNEGGVSNSHVQSSGRRNTAGKWGSTFWKDCRPMVAQAASDSGHEAKSDYKNVEVSEDDVSDGMDDRLVSEDDGQKEVGRGQKGHSDVPADEMLSDEYYEQDGEDQSDSMHYRGFNHSNGLNSRSRSVSNNFSRSSRDLNNNEEDDDGDYNNDHDADYEEEDEEDEDDPEDADFDPDYGVASGHAGNKDKDWDGEDSDEDDDVDNDMDVSNEDDSYYVKKPKGRQRGKGLCHTKSARESKSFHASSLQKRGKTSFEEDEYSAEDSDSESDGDIKSMARRGAHIRKSNARSTMSTVLSGRNNEVRMSSRSVRKVSYAESEESEEIDEGKKKKPQKDETEEEDGDAIERVLWHQPKGMAEEALMNNQSAEPVLLSLLFDSQPDWNELEFLIKWKGQSHLHCQWKSFADLQNLSGFKKVLNYTKKVNEDVKYRRMLTREEIEVNDVSKEMDLDLIKQNSQVERIISDRISKDSSGNIVPEYLVKWQGLSYAEATWEKDIDIEFAQDAIDEYKGREAAMAMQGKMVDLQRKKSKESLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSVLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKVGRPIKFNALLSTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVQNYKNLSSFNENELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDNSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSIRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSNFDKNELSAILRFGAEELFKEDRNEEESKKRLLSMDIDEILERAEKVEDEEAGGEEGHELLSAFKVANFCSAEDDGSFWSRWIKPEAVAQAEEALAPRAARNNKSYTEAKQSERSSKRKKKNSELLEPQERVQKRRKADHSAPSVPMIDGASAQVREWSQGNLSKRDALRFSRAVMKFGNVNQIDLIVAEFGGSVAAAPLDAQIELFDALIDGCKEAVDGGNLDPKGPLLDFFGVPVKANDLLNRVQQLQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARFLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELAVVGGKNANVKVGRKASKKQKENIVNIPVSRTRIKKGKHSSASVSANASKNRPQKPQRAEQLVKEEGEMSDNEELREQFKEVKWMEWCEDVMVGEIKTLRRLQRLQTTSADLPKEKVLSKIRKYLQLIGRRIDQIVIEYEEELYRQDRMTTRLWNYVSTFSNLSGERLHQIYSKLRQKQEEEAGVGPSHVNGSASGPVDRDGDANCFPLTRHAERQRGYKNVNAYQVSESIQKGHDNGKFEAWKRRRRAEADTHPQFQPPLQRPMSNGARLMDPNSLGILGAAPSDNRPLRMRQTSFPPRQNYSSGIK >Manes.06G073000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20904654:20906605:1 gene:Manes.06G073000.v8.1 transcript:Manes.06G073000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVSHSTLIYIILWLSLLLLVFHELYNFNTNIIISKKGIINTTTHYSSIPHPSFLNRKVLASTFDFTPFQKKHHRHRGKPSPPEKQVHKEEDGNEIDPRYGVEKRLVPTGPNPLHH >Manes.09G172200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36323981:36326209:-1 gene:Manes.09G172200.v8.1 transcript:Manes.09G172200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIHRTVTVNGINTHIAEKGEGPIILFLHGFPQLWYSWRHQILALSSLGYRAVAPDLRGYGDSDAPASSSNYTSLHIVGDLIALIDQLGSDQVFLVAHDWGAIVGWYLCLFRPDRVKAFVCLSFPYRPRNPKMKPVQGFRLLHGDDYYVCRFQEPGEIEAEIARVGTAEVLKKIWAIRKPGAPCMPKENAFEISPENPATLPSWLKEEDLAYYVSKFNQKGFTGGLNYYRALDLNWELTASWNEAEVKVPVKFAIGDMDMVYTTPGMKEYIHSSGFRHYVPLMEDIVVMEGVGHFINEEKAVEINTLVYDFIRKY >Manes.08G048400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4906481:4907975:1 gene:Manes.08G048400.v8.1 transcript:Manes.08G048400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEIIDWSSIESIFVEDEVYENLDAPKWFDFSATSHDEQPTDDDDAWFCKSNCKHPKTAEDFKKSTFNSKVKFLRSMNISEMVPFRDRTCRDAKKKEAEKANQQSLESVENLGEDVENKDPNLNDFHPFTNLVSKKAMTKSCAEKNQQLGDPQVNSSKPPRLRSTFSARNLKGGREILNQITEFCSELKKLAKKGSKRATTEKVLGEMKERVEEKGRVPLLEVKK >Manes.08G048400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4906481:4908000:1 gene:Manes.08G048400.v8.1 transcript:Manes.08G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEIIDWSSIESIFVEDEVYENLDAPKWFDFSATSHDEQPTDDDDAWFCKSNCKHPKTAEDFKKSTFNSKVKFLRSMNISEMVPFRDRTCRDAKKKEAEKANQQSLESVENLGEDVENKDPNLNDFHPFTNLVSKKAMTKSCAEKNQQLGDPQVNSSKPPRLRSTFSARNLKGGREILNQITEFCSELKKLAKKGSKRATTEKVLGEMKERVEEKGRVPLLEVKK >Manes.03G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22639291:22648159:-1 gene:Manes.03G102500.v8.1 transcript:Manes.03G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVEAVETVKKEWDEAYAKMQEHIRAIEEYGKSRRGEVAATQEKNSLPRLNGLAQDGLALLQSLQFKLDLLAPQLPTDDEVKSAQASLESWKNQCQKLRLSLRNANLQAKANMRKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERSASTLMTFEESTGVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVLDRVILAVGFFLFTFAVLYVVSKRIGLLKLQRTVTAAIKAGMAGQGEMRPRGVENGVDIGQAYGNVVRKVEVPLGQPMHDEL >Manes.09G184900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37218445:37218969:-1 gene:Manes.09G184900.v8.1 transcript:Manes.09G184900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAYGVTFPLILLFLLLANVISYSEASTSVAQANGSATINEMVPLMEPEKVVEMRMMMNESRRRLGSFQICALCTCCGGAKGVCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCFGCHI >Manes.13G107200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30989216:30995874:-1 gene:Manes.13G107200.v8.1 transcript:Manes.13G107200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEENRSRELAASSSFYRSVYSEIEEIGWEHLVNLAGDLKFISFRIVARDKKGRVHILEVQLDKTYPKCPPSVSADVPYIFNVEWSMNSRLKDLVQQFQEHLEKLQEFWATLDDIDNSLCVVNLKQTSRAVSFRQMDIGNDCFIMLSINSKNPKALPECRFLGSGPIVNSLRKLWKRNSKQWMKDKTILENLTSILETQLPKPPDVQKNNQQVECGICYAQYLPTDDELGPRSGTGTDYTCDNSHCNRAFHSVCLGDWLRSITTTRQASCGQDQQHKEVNLGLHWNHVKYF >Manes.13G107200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30988917:30995874:-1 gene:Manes.13G107200.v8.1 transcript:Manes.13G107200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEENRSRELAASSSFYRSVYSEIEEIGWEHLVNLAGDLKFISFRIVARDKKGRVHILEVQLDKTYPKCPPSVSADVPYIFNVEWSMNSRLKDLVQQFQEHLEKLQEFWATLDDIDNSLCVVNLKQTSRAVSFRQMDIGNDCFIMLSINSKNPKALPECRFLGSGPIVNSLRKLWKRNSKQWMKDKTILENLTSILETQLPKPPDVQKNNQQVECGICYAQYLPTDDELGPRSGTGTDYTCDNSHCNRAFHSVCLGDWLRSITTTRQASCGQDQQHKEVNLGLHWNHVKYF >Manes.13G107200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30988775:30995986:-1 gene:Manes.13G107200.v8.1 transcript:Manes.13G107200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEENRSRELAASSSFYRSVYSEIEEIGWEHLVNLAGDLKFISFRIVDKKGRVHILEVQLDKTYPKCPPSVSADVPYIFNVEWSMNSRLKDLVQQFQEHLEKLQEFWATLDDIDNSLCVVNLKQTSRAVSFRQMDIGNDCFIMLSINSKNPKALPECRFLGSGPIVNSLRKLWKRNSKQWMKDKTILENLTSILETQLPKPPDVQKNNQQVECGICYAQYLPTDDELGPRSGTGTDYTCDNSHCNRAFHSVCLGDWLRSITTTRQSFNVLFGNCPYCSEPVAVKISNTKK >Manes.13G107200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30989216:30995874:-1 gene:Manes.13G107200.v8.1 transcript:Manes.13G107200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEENRSRELAASSSFYRSVYSEIEEIGWEHLVNLAGDLKFISFRIVDKKGRVHILEVQLDKTYPKCPPSVSADVPYIFNVEWSMNSRLKDLVQQFQEHLEKLQEFWATLDDIDNSLCVVNLKQTSRAVSFRQMDIGNDCFIMLSINSKNPKALPECRFLGSGPIVNSLRKLWKRNSKQWMKDKTILENLTSILETQLPKPPDVQKNNQQVECGICYAQYLPTDDELGPRSGTGTDYTCDNSHCNRAFHSVCLGDWLRSITTTRQASCGQDQQHKEVNLGLHWNHVKYF >Manes.13G107200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30988775:30995986:-1 gene:Manes.13G107200.v8.1 transcript:Manes.13G107200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEENRSRELAASSSFYRSVYSEIEEIGWEHLVNLAGDLKFISFRIVARDKKGRVHILEVQLDKTYPKCPPSVSADVPYIFNVEWSMNSRLKDLVQQFQEHLEKLQEFWATLDDIDNSLCVVNLKQTSRAVSFRQMDIGNDCFIMLSINSKNPKALPECRFLGSGPIVNSLRKLWKRNSKQWMKDKTILENLTSILETQLPKPPDVQKNNQQVECGICYAQYLPTDDELGPRSGTGTDYTCDNSHCNRAFHSVCLGDWLRSITTTRQSFNVLFGNCPYCSEPVAVKISNTKK >Manes.13G107200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30988917:30995874:-1 gene:Manes.13G107200.v8.1 transcript:Manes.13G107200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEENRSRELAASSSFYRSVYSEIEEIGWEHLVNLAGDLKFISFRIVARDKKGRVHILEVQLDKTYPKCPPSVSADVPYIFNVEWSMNSRLKDLVQQFQEHLEKLQEFWATLDDIDNSLCVVNLKQTSRAVSFRQMDIGNDCFIMLSINSKNPKALPECRFLGSGPIVNSLRKLWKRNSKQWMKDKTILENLTSILETQLPKPPDVQKNNQQVECGICYAQYLPTDDELGPRSGTGTDYTCDNSHCNRAFHSVCLGDWLRSITTTRQSFNVLFGNCPYCSEPVAVKISNTKK >Manes.13G107200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30988917:30995874:-1 gene:Manes.13G107200.v8.1 transcript:Manes.13G107200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEENRSRELAASSSFYRSVYSEIEEIGWEHLVNLAGDLKFISFRIVDKKGRVHILEVQLDKTYPKCPPSVSADVPYIFNVEWSMNSRLKDLVQQFQEHLEKLQEFWATLDDIDNSLCVVNLKQTSRAVSFRQMDIGNDCFIMLSINSKNPKALPECRFLGSGPIVNSLRKLWKRNSKQWMKDKTILENLTSILETQLPKPPDVQKNNQQVECGICYAQYLPTDDELGPRSGTGTDYTCDNSHCNRAFHSVCLGDWLRSITTTRQASCGQDQQHKEVNLGLHWNHVKYF >Manes.13G107200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30988917:30995874:-1 gene:Manes.13G107200.v8.1 transcript:Manes.13G107200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEENRSRELAASSSFYRSVYSEIEEIGWEHLVNLAGDLKFISFRIVDKKGRVHILEVQLDKTYPKCPPSVSADVPYIFNVEWSMNSRLKDLVQQFQEHLEKLQEFWATLDDIDNSLCVVNLKQTSRAVSFRQMDIGNDCFIMLSINSKNPKALPECRFLGSGPIVNSLRKLWKRNSKQWMKDKTILENLTSILETQLPKPPDVQKNNQQVECGICYAQYLPTDDELGPRSGTGTDYTCDNSHCNRAFHSVCLGDWLRSITTTRQSFNVLFGNCPYCSEPVAVKISNTKK >Manes.06G023800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4949959:4973506:-1 gene:Manes.06G023800.v8.1 transcript:Manes.06G023800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLLSTLLRRQHGHRHSLRRFLLQLHCQPCRLFSTTTSSPLNFPLSHPTYMIWGSNTSLGKTLVSAGLASSFLLSPPAQCSSGRRKFVYLKPVQTGFPSDSDSHFVFAKLSAIASRCNLPFSFLASNSVLKSSLSAAKSVVSIGGHLEINNLTFGMHDLNFHESNAVLSDGDSVSELVSKTLYAWREAVSPHLAAERENGVVEDSAVVEMLQQCLINDLEVKGENEKMDVFCVVETAGGVASPGPSGTLQCDMYRPFRFPGVLVGDGRLGGISGTISAYESLKLRGYDIVAVVFEDHGLVNEMPLISYLRNRVPVILLPPIPQDMSNDLVEWFGDSEEIFNSLKRIMILAFSERIQRLNDMPKKARDVFWWPFTQHRLVPEETVTVIDSRCGENFAVYKAQNNECMIEQFDACASWWTQGPDATLQIELARDMGYAAGRFGHVMFPENVYEPALKCSELLLEGVGKGWASRTYFSDNGSTAVEIALKMAFRKFSVDSGILLDYAKNNTAETSIELKVLALKGSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLDPPTVCMCNRIWKLCFPEGMRSGNVICKDMAFSSRDDIFHKSRDKSDLYGIYSSYISQQLSPYSRSNRTIHIGALIIEPVIQGAGGMLMIDPLFQRVLVNECRSRNIPVIFDEVFTGFWRLGAESAAELLGCVPDIACYAKLMTGGMIPLAATLATEEVFDSFIGDSKLKALLHGHSYSAHAIGCTAAAKSIKWFKDPLTNHNVIAEQGLLRELWNVKLVQQISSHPSVQRVVALGTLFALELRAEGNNAGMDPHNCICMWLPGASIVPSIIIKEEEQGGRMKDEADKEYEQRYRHNE >Manes.06G023800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4948215:4973506:-1 gene:Manes.06G023800.v8.1 transcript:Manes.06G023800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLLSTLLRRQHGHRHSLRRFLLQLHCQPCRLFSTTTSSPLNFPLSHPTYMIWGSNTSLGKTLVSAGLASSFLLSPPAQCSSGRRKFVYLKPVQTGFPSDSDSHFVFAKLSAIASRCNLPFSFLASNSVLKSSLSAAKSVVSIGGHLEINNLTFGMHDLNFHESNAVLSDGDSVSELVSKTLYAWREAVSPHLAAERENGVVEDSAVVEMLQQCLINDLEVKGENEKMDVFCVVETAGGVASPGPSGTLQCDMYRPFRFPGVLVGDGRLGGISGTISAYESLKLRGYDIVAVVFEDHGLVNEMPLISYLRNRVPVILLPPIPQDMSNDLVEWFGDSEEIFNSLKRIMILAFSERIQRLNDMPKKARDVFWWPFTQHRLVPEETVTVIDSRCGENFAVYKAQNNECMIEQFDACASWWTQGPDATLQIELARDMGYAAGRFGHVMFPENVYEPALKCSELLLEGVGKGWASRTYFSDNGSTAVEIALKMAFRKFSVDSGILLDYAKNNTAETSIELKVLALKGSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLDPPTVCMCNRIWKLCFPEGMRSGNVICKDMAFSSRDDIFHKSRDKSDLYGIYSSYISQQLSPYSRSNRTIHIGALIIEPVIQGAGGMLMIDPLFQRVLVNECRSRNIPVIFDEVFTGFWRLGAESAAELLGCVPDIACYAKLMTGGMIPLAATLATEEVFDSFIGDSKLKALLHGHSYSAHAIGCTAAAKSIKWFKDPLTNHNVIAEQGLLRELWNVKLVQQISSHPSVQRVVALGTLFALELRAEGNNAGYGSLYARPFLQRLREDGVYMRPLGNVIYLLCGPCASPEICNQLLIKLHTRLDEFSQS >Manes.18G140597.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20356169:20361725:-1 gene:Manes.18G140597.v8.1 transcript:Manes.18G140597.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAADEEVESHAPSEAAAAAAPAPAAAPPPAAAGGPGQDALFQQIAELIRRVTQNVPEVPPPPPVVAQAQPRPPIEKLRKYGATEFRGKKEDDPSAAEFWLESTERVLQQLQCSPAESLMCAVSLLKDEAYRWWTTLTQMVRLEQQTWEFFLAEFKKKYIGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCKRFEQGLHADIKMYLTAMHIRELSVLVEIAHSLERIKEEEQSRRQKGQQKRSQSQYQGQSSASQTSRKRQREFQQTGQRGPPRQIQRPGQSSVVRSGQQTTSVSSTRGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPIQTERSLPTGSRGRGRGRGESSSAQSHRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAGEGTSKGKEIARD >Manes.03G058500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5890295:5892439:1 gene:Manes.03G058500.v8.1 transcript:Manes.03G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQAQNSETESCSNSSSSSSSPPSPSPSSPGSAANSNSVPSKTQQEPVSVQEPNSPTKKIKRLRDSNKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSTILNFPELANYLPRPASLAPRDVQAAAAKAAQMDNFDKKFESKTTSSLCSSSATSLSSLVSAMDLSTGSGSEEELSEIVELPSLGTSYDSSELMSDFLLDDSVEEWVYPPPWLQFTEDNYSGGCVFDEPTAAAGEGFGQGLLWECN >Manes.12G139700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34598520:34599056:-1 gene:Manes.12G139700.v8.1 transcript:Manes.12G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAILVAEEYERRVKNSRKLSKASDSEMEVVNWVSFLAQNVKDKVRVRVAEENIEIPKWILEPKSQVGVAASNGFFSA >Manes.10G077241.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:16976246:16977422:-1 gene:Manes.10G077241.v8.1 transcript:Manes.10G077241.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFIQFSAYTSFLSSGTGLRSLIWDWVAFSHLELGLSSGTGLRLGLGCVLSSGTGSLIWDWVAFGTGLRSLIWDWVSHLGLSSGSLIWDWVASDFWPWFLIEYLLNYFVDPTILLLSTIVALEVVV >Manes.14G158657.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21091512:21094483:1 gene:Manes.14G158657.v8.1 transcript:Manes.14G158657.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVISASILAQVFEAGAISIHEVRYRMGTGTAHLLHESLRVKHIPSRIEVLDDDGAAALRKFCLTYYDIRAVILDLALKLDMMRHLDYLPRYQQQMLSLQVMKIHAPLAYAVGTNYLSLELEDLSFRYLFPYSYRYVDTWLHSHETGSKSLIDTYMEELHCSLKADPILADMVEDISIKGRYKSRFSTMKKLLKDGRKPEEVNDVLGLRVILSPRYTENMPEVGEKACYRTREIIQSLWKEMPHRTKDFIPRPKANGYRSLHMAVDVSDNGKSRPLMEIQIRTVEMDLQAVNGMASHSLYKGGLTDPEEAKRLKAIMMAAADLAALRLRDLPSAKGIEIDQREREYFVFLTRMVMARSALMNLWK >Manes.14G158657.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21091488:21093399:1 gene:Manes.14G158657.v8.1 transcript:Manes.14G158657.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVISASILAQVFEAGAISIHEVRYRMGTGTAHLLHESLRVKHIPSRIEVLDDDGAAALRKFCLTYYDIRAVILDLALKLDMMRHLDYLPRYQQQMLSLQVMKIHAPLAYAVGTNYLSLELEDLSFRYLFPYSYRYVDTWLHSHETGSKSLIDTYMEELHCSLKADPILADMVEDISIKGRYKSRFSTMKKLLKDGRKPEEVNDVLGLRVILSPRYTENMPEVGEKACYRTREIIQSLWKEMPHRTKDFIPRPKANGYRSLHMAVDVSDNGKSRPLMEIQIRTVEMDLQAVNGMASHSLYKGGLTDPEEAKRLKAIMMAAADLAALRLRDLPSAKGIEIDQREREYFVFLTRMVMARSALMNLWK >Manes.14G158657.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21091440:21095360:1 gene:Manes.14G158657.v8.1 transcript:Manes.14G158657.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVISASILAQVFEAGAISIHEVRYRMGTGTAHLLHESLRVKHIPSRIEVLDDDGAAALRKFCLTYYDIRAVILDLALKLDMMRHLDYLPRYQQQMLSLQVMKIHAPLAYAVGTNYLSLELEDLSFRYLFPYSYRYVDTWLHSHETGSKSLIDTYMEELHCSLKADPILADMVEDISIKGRYKSRFSTMKKLLKDGRKPEEVNDVLGLRVILSPRYTENMPEVGEKACYRTREIIQSLWKEMPHRTKDFIPRPKANGYRSLHMAVDVSDNGKSRPLMEIQIRTVEMDLQAVNGMASHSLYKGGLTDPEEAKRLKAIMMAAADLAALRLRDLPSAKGIEIDQREREYFVFLTRMVMARSALMNLWK >Manes.01G258600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41493579:41497992:-1 gene:Manes.01G258600.v8.1 transcript:Manes.01G258600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQCSKLTPCCWDSQFKAAAVVEVPDANGNEGKSEVDYLPAFREFTFEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRLNRTAWPDARQFLEEARSVGQLRNRRLANLLGCCCEEDERLLVAEYLPNETLAKHLFHWETQPIKWAMRLRVALHLAEALEYCTSKGRALYHDLNAYRILFDEDGNPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLVDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYELRERPNSKSLVAALNPLQKEIEVPSHVLMGIPHSASLSPLSPFGEACLRKDLTAIHEILDNLGYKDDEGVTNELSFQMWTDEMQETLNFKKKGDSAFKQKDFRDAIECYTQFIDAGTMVSPTVFARRSLSFLMSDMPQEALNDAMQAQVISPVWHVASYLQAVAHAALGMENEAQVALKEGTNLEAEKTATSEQK >Manes.12G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3705182:3707870:1 gene:Manes.12G043500.v8.1 transcript:Manes.12G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQAIADEDGEIWLEREQDDLLDVNDSSIFYDDFPPLPDFPCMSSSSSSSSTPAPVKAMAPSSSCSSASSSSSAASWAVLKSDAEDDAEKKNNYDSQHYHHHHSQYDQVEAPTAALSSTASMEIPQPSDQGVEGAGCTDMMETFGYLDLIENDGFFDPSSIFQADEHFLDEFQQEQNMQPEQEPQQGNEELIMETKTEETQQQGAAASDDLAMVFLEWLKSNKETVSAEDLRKVKIKKATIECAAKRLGGGKEAMKQLLQLILEWVKTNHLQKRRMKESSSNYPPYQCQEPLQNPNPSASSNLNMNSNSIPPDQSTPCFTQSPWIAPPPYVVDRGTLMPGISPMVGYMADPFASGASNMAGHSYPPAPPTDYHMLDSAQSWPPSQFALSSPYPAFPDNNLQPVQAHHPVFTGYGNQYACQYLPGQVDDRLMRLGSSATKEARKKRMARQRRFLTHHRNQNHHNNQPIEHHQNQSADHHARLGNDNVALTAQPNPGNWVYWPAVSAVSTLPVLPMDAQPVHIVDRPAMQAHESHQRQVASDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRIWNMRYRFWPNNKSRMYLLENTGDFVRANELQEGDFIVIYSDVKCGKYLIRGVKVRQPGSKSENKKSGKSQKNLHASSPATALNGSSSTPINQAQTVK >Manes.02G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4314481:4316853:1 gene:Manes.02G054100.v8.1 transcript:Manes.02G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHILAIPYPAQGHVIPLMELSQCLVRHGLKVTFVNTEYNHKRVVNALAEKNYFGDQISLVSLPDGMEPWGDRNELGKLTKAIFSVMPGKLEDLIDRINASEDEKITCIIADESMGWALEVAEKMKIRRVAFWPASAALLTLSFTIPKLIDDGIIDSNGTPLKNQTIQLAPAMPEVHAANLVWACIGDSTTQKIIFDVSVKNNKAVKLADSIICNSAYDFEPGAFTLTPNILPIGPLLADSREGDSVGYFWPEDSNCLKWLDQQPLKSVIYVAFGSFTIFDKSQFQELALGLEISRRPFLWVVRPDITSDTNAYPEGFQERVATRGQMVGWAPQQKVLSHPSIACFLSHCGWNSTMEGVANGVPFLCWPYFADQFLNQNYIADVWKVGLKFKRNESGIITREEIKNKVEQVLSDENITARAAEFKERAMISVGEGGYSRKNFNDLIGWMKA >Manes.15G008800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:808154:810973:1 gene:Manes.15G008800.v8.1 transcript:Manes.15G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNGCVQKIKKALHGIRGIYDLYIDFPQQKLTVIGWADPEQIVKAIRKTRKIATICSHTEPSDQPAAHPTEPPQPPPEGAATPPPNTEAANPSPAALPAEASSPAEPPKDPPPPENPPPADKPSSSQVDTETNAKQPAGPAQAPGQKDVGEVHVIYHHPPDYGYRYSYPSYGGPWNIHPNNHGLPSDPRYPNGHGLPPEPRYPNGHGLPPEPRYPNAHVLPRESPQPIYVTHSYNTYRPSPYVTEYEYIHSPPRHTIYSRMDHYSEDYHENTRNGNITSIFSDENPNACRIV >Manes.15G008800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:808154:811015:1 gene:Manes.15G008800.v8.1 transcript:Manes.15G008800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELEVQKPQVTEIQVRMDCNGCVQKIKKALHGIRGIYDLYIDFPQQKLTVIGWADPEQIVKAIRKTRKIATICSHTEPSDQPAAHPTEPPQPPPEGAATPPPNTEAANPSPAALPAEASSPAEPPKDPPPPENPPPADKPSSSQVDTETNAKQPAGPAQAPGQKDVGEVHVIYHHPPDYGYRYSYPSYGGPWNIHPNNHGLPSDPRYPNGHGLPPEPRYPNGHGLPPEPRYPNAHVLPRESPQPIYVTHSYNTYRPSPYVTEYEYIHSPPRHTIYSRMDHYSEDYHENTRNGNITSIFSDENPNACRIV >Manes.15G008800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:808549:811015:1 gene:Manes.15G008800.v8.1 transcript:Manes.15G008800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELEKPQVTEIQVRMDCNGCVQKIKKALHGIRGIYDLYIDFPQQKLTVIGWADPEQIVKAIRKTRKIATICSHTEPSDQPAAHPTEPPQPPPEGAATPPPNTEAANPSPAALPAEASSPAEPPKDPPPPENPPPADKPSSSQVDTETNAKQPAGPAQAPGQKDVGEVHVIYHHPPDYGYRYSYPSYGGPWNIHPNNHGLPSDPRYPNGHGLPPEPRYPNGHGLPPEPRYPNAHVLPRESPQPIYVTHSYNTYRPSPYVTEYEYIHSPPRHTIYSRMDHYSEDYHENTRNGNITSIFSDENPNACRIV >Manes.15G008800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:808548:811015:1 gene:Manes.15G008800.v8.1 transcript:Manes.15G008800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELEVQKPQVTEIQVRMDCNGCVQKIKKALHGIRGIYDLYIDFPQQKLTVIGWADPEQIVKAIRKTRKIATICSHTEPSDQPAAHPTEPPQPPPEGAATPPPNTEAANPSPAALPAEASSPAEPPKDPPPPENPPPADKPSSSQVDTETNAKQPAGPAQAPGQKDVGEVHVIYHHPPDYGYRYSYPSYGGPWNIHPNNHGLPSDPRYPNGHGLPPEPRYPNGHGLPPEPRYPNAHVLPRESPQPIYVTHSYNTYRPSPYVTEYEYIHSPPRHTIYSRMDHYSEDYHENTRNGNITSIFSDENPNACRIV >Manes.15G008800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:808235:811492:1 gene:Manes.15G008800.v8.1 transcript:Manes.15G008800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELEKPQVTEIQVRMDCNGCVQKIKKALHGIRGIYDLYIDFPQQKLTVIGWADPEQIVKAIRKTRKIATICSHTEPSDQPAAHPTEPPQPPPEGAATPPPNTEAANPSPAALPAEASSPAEPPKDPPPPENPPPADKPSSSQVDTETNAKQPAGPAQAPGQKDVGEVHVIYHHPPDYGYRYSYPSYGGPWNIHPNNHGLPSDPRYPNGHGLPPEPRYPNGHGLPPEPRYPNAHVLPRESPQPIYVTHSYNTYRPSPYVTEYEYIHSPPRHTIYSRMDHYSEDYHENTRNGNITSIFSDENPNACRIV >Manes.15G008800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:808235:811492:1 gene:Manes.15G008800.v8.1 transcript:Manes.15G008800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELEVQKPQVTEIQVRMDCNGCVQKIKKALHGIRGIYDLYIDFPQQKLTVIGWADPEQIVKAIRKTRKIATICSHTEPSDQPAAHPTEPPQPPPEGAATPPPNTEAANPSPAALPAEASSPAEPPKDPPPPENPPPADKPSSSQVDTETNAKQPAGPAQAPGQKDVGEVHVIYHHPPDYGYRYSYPSYGGPWNIHPNNHGLPSDPRYPNGHGLPPEPRYPNGHGLPPEPRYPNAHVLPRESPQPIYVTHSYNTYRPSPYVTEYEYIHSPPRHTIYSRMDHYSEDYHENTRNGNITSIFSDENPNACRIV >Manes.07G126200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32905098:32906947:-1 gene:Manes.07G126200.v8.1 transcript:Manes.07G126200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMSGFCITKARNISSNGSGDSNSGNKCGRWNPTSEQVKVLTDLFRSGLRTPSTDQIQKISSQLSFYGKIESKNVFYWFQNHKARERQKRRRVFVDDEDMMIRREDNLSTSARYFSEINQVNEQERVIETLELFPLNSFREGESDKLRFQSNECKEPTPFSYTFGTEMDHPPLDLRLSFL >Manes.07G038243.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4665881:4666312:1 gene:Manes.07G038243.v8.1 transcript:Manes.07G038243.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKKLLKLARKWQKMAAIRRRRIMSSQNIGSIDTPLIAKKGHFVVYSADQKRFLLSLEYLNNEIIRELFNMAEEEFGLQSEAPLTLPCDADLIEYAIALIRQNASIDVERVVLVSIASSCCSSSFPFQHHATRHQLPISSC >Manes.01G214100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38392036:38395840:1 gene:Manes.01G214100.v8.1 transcript:Manes.01G214100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSILQDMRGEFGSMSRKGFDVKFGYGMRSRSHRVVQDSSFMPVDAFKQSCWANMPPELLRDVLMRIEASETTWPSLKNVVACAGVCRNWREIMKEIVKTLEESGKLTFPVSLKQPGPRDSLLQCYIKRNRSNQTYYLYLSLNQASNDDGKFLLAARRCRRATCTDYIMSLNCDNLSKGSSTYIGKLRSNFLGTKFTIYDAQPPISGAKVAKCRSTRIVNMKQVSPTVPAGNYPVAHISYELNVLGSRGPRRMQCVMDAIPASAIEPGGVAPTQTELLHSNLDSFPSIPFFRSKSSRTENFQSGPLAGYKDGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASAENGVGRQEHESVVLQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >Manes.09G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10809273:10810997:1 gene:Manes.09G065400.v8.1 transcript:Manes.09G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKDYIEKQGTVGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDKIICKLYSNIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGMMMIHPSQTKLPHQLTTKFASLLCQASSSSSSIPSSPSTAISSPSSSYALARSFTEPIPFSSNNSFTAANKSILPSQESSFVAALENYQMKDSSALLMCGGETSCSSSDGSCNNQITHEYGGGASSAEQMGLQSYFYNGVEESQKLVGDGGWSEKQNGLWGENPIIDYGLEEIKLLISTSSCNNFLFEENKTAEESVMYY >Manes.04G164000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35737945:35745547:1 gene:Manes.04G164000.v8.1 transcript:Manes.04G164000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQKQVICPENEELVSYMLMKRQELAEKPKGIKENVDVILSKACNSVCSAKTPIKTLKDLSQIKCVGKWVLKLMQGFFDSGSGISEPEDFTKKVKRAKGAKRYVPQKNSVAYALLITLYRGTSNGSEFMRKQELIDAAEASGLSRASILPEKGKGKAAQFGSSPRDWYSGWSCMKTLISKGLVVKSSCPAKYMLTEQGKEAACECLLRSRLLDEGSTNVEVLSDLDVNIVSNLESALPDSTAPVISSSAVLRTKKKSSDVPLESLERFMRMGYTKEQVLDAFAAVSETSLNKEISSLWPAVLCHLREDQVYGLHSEPQTLRKGQVDLVGNQSVRMNLTADGGNMPNLHSVCYVPHASTLKTCSSSVCPAKKLSLGDLEANLNILSMPPLSLGERFEDVYEVILILDDREQFAIQGSKYWKLIESICKEHKIKIVVRRLPVGDAIWIACQKYLHCEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKLIYLVEGDPNSSEAAESIKTANSGRI >Manes.04G164000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35737945:35745547:1 gene:Manes.04G164000.v8.1 transcript:Manes.04G164000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQKQVICPENEELVSYMLMKRQELAEKPKGIKENVDVILSKACNSVCSAKTPIKTLKDLSQIKCVGKWVLKLMQGFFDSGSGISEPEDFTKKVKRAKGAKRYVPQKNSVAYALLITLYRPEKGKGKAAQFGSSPRDWYSGWSCMKTLISKGLVVKSSCPAKYMLTEQGKEAACECLLRSRLLDEGSTNVEVLSDLDVNIVSNLESALPDSTAPVISSSAVLRTKKKSSDVPLESLERFMRMGYTKEQVLDAFAAVSETSLNKEISSLWPAVLCHLREDQVYGLHSEPQTLRKGQVDLVGNQSVRMNLTADGGNMPNLHSVCYVPHASTLKTCSSSVCPAKKLSLGDLEANLNILSMPPLSLGERFEDVYEVILILDDREQFAIQGSKYWKLIESICKEHKIKIVVRRLPVGDAIWIACQKYLHCEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKLIYLVEGDPNSSEAAESIKTACFTTEILEGFDVQRTSCLRDTLKKYGYLTRSITQYYGAQLLDYEPKGAGICPTFDEFIKRCQDLEKMTVSDVFAIQLMQVPQVTEEIAISVLDLYPTIISLAHAYSLLDGDTSAQEEMLRKQSNNAINAAASRNIFRLVWGQ >Manes.04G164000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35737945:35745547:1 gene:Manes.04G164000.v8.1 transcript:Manes.04G164000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQKQVICPENEELVSYMLMKRQELAEKPKGIKENVDVILSKACNSVCSAKTPIKTLKDLSQIKCVGKWVLKLMQGFFDSGSGISEPEDFTKKVKRAKGAKRYVPQKNSVAYALLITLYRGTSNGSEFMRKQELIDAAEASGLSRASILPEKGKGKAAQFGSSPRDWYSGWSCMKTLISKGLVVKSSCPAKYMLTEQGKEAACECLLRSRLLDEGSTNVEVLSDLDVNIVSNLESALPDSTAPVISSSAVLRTKKKSSDVPLESLERFMRMGYTKEQVLDAFAAVSETSLNKEISSLWPAVLCHLREDQVYGLHSEPQTLRKGQVDLVGNQSVRMNLTADGGNMPNLHSVCYVPHASTLKTCSSSVCPAKKLSLGDLEANLNILSMPPLSLGERFEDVYEVILILDDREQFAIQGSKYWKLIESICKEHKIKIVVRRLPVGDAIWIACQKYLHCEYVLDFIVERKKVDDLRSSIRDNRYKDQKLRLLRCGLKKLIYLVEGDPNSSEAAESIKTACFTTEILEGFDVQRTSCLRDTLKKYGYLTRSITQYYGAQLLDYEPKGAGICPTFDEFIKRCQDLEKMTVSDVFAIQLMQVPQVTEEIAISVLDLYPTIISLAHAYSLLDGDTSAQEEMLRKQSNNAINAAASRNIFRLVWGQ >Manes.09G104500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30458842:30461668:1 gene:Manes.09G104500.v8.1 transcript:Manes.09G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSIATTTKFKENCTRIQNLHLLSSFCNSARSADSLMKTLATSPSFSKIALQRNGNSRDFNLTRHGIGFFSSKKLLHVGFLISVKRKTFQPISASTPSSLSKPGLEDAETKTQEKYQSKTVHVKFQLKKECSFGEQFVLVGDDPLFGMWDPANAIPLKWSDGHVWTVELDIPIGKSIQFKFILKEVTGRILWQPGPDRIFKAWETENTIVVSEDWEDDNFQELLEEETIYNNKVEPVVNSEMLDLAENLTHQIEELASEVNFYPPKEPLTQAPEEPTIADSIPSPELEPVVIVADNISYITEDPVVRASHQVLDDFESNHEKDGNEAISNKEAMAAEEVVGNNGSFPTEMITVNMNMEGNLVTHEGDPVLVPGLPLLSSESVIQDEVETSSGLDASVGVTEVETSSGFDASVGAMDEVKNHNLPELEKKTETDDDQHQAEPVELLRDQAQLHSELEQNSLADDGNKQESEPTNNGVMKSKIHWGQKTIQKLLINLGFLKHQTLQ >Manes.05G101600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9138868:9142727:1 gene:Manes.05G101600.v8.1 transcript:Manes.05G101600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGAGLQRVLVLAFCVAGIWSAYIYQGVLQETLSTKRFGPDGKRFEHLAFLNLAQNVICLVWSYIMIKLWSRSSAGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYACTFLVAGGVSTFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSLTARYPKTTAWDIMLGMNLWGTIYNMLYMFGWPQGIGFEAVQFCKQHPEAAWDIFLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSTKQWGCVVMVFSGLSYQIYIKWKKLQKLQKKRKAA >Manes.11G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10842411:10844084:1 gene:Manes.11G075100.v8.1 transcript:Manes.11G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVEEIRKAQRAQGPATILAIGTATPSNCVNQADYPDYYFRITKSEHMAELKEKFKRMCDKSMIKKRYMHLDEEILKENPNMCGYWEPSLDARQDIVVVEVPKLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGCDYQLTKLLGLRPSVKRFMMYQQGCFAGGTVLRMAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAGALIVGSDPDTSIERPLFQLVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPSLISKNIEKSLVEAFKPIGISDWNSIFWIAHPGGPAILDQVEAKLSLKPEKMRATRHVLSEYGNMSSACVLFILDEMRKKSLEEGKVTTGEGLEWGVLFGFGPGLTVETVVLHSVST >Manes.15G059300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4544014:4553854:1 gene:Manes.15G059300.v8.1 transcript:Manes.15G059300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLSLHLYLSADASKTSPPMPLKFSKRTNKHNKSISAPRKRPPSPLRRTQKLNLEVSPHRAVSAVRLMRIEMGGAFADLLNEKGKGSGDNEMGYVERTLGFRTKDLDDRDLRLVTDIVGGTIRWRRYLDHLICSLCHDERKFRSMEPLLLQIIRIGFYEIVKLDMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPILEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMQWNNGEPSFNLRANSGKGVTRDDLVMQLNLLKVPHELSLHLDHFVRIKKGMQIVIQAELLKQGLCSVQDESAGLVVSVVNPQPGESIIDCCAAPGGKTLYMASLMDGQGMVYAIDINKGRLRILRETAKLHQVDTVITTIPADLRVFAETYPMKSDKVLLDAPCSGLGVLSKRADLRWNRSLEDLEELKILQDELLNAASKLVKPGGILVYSTCSVDPEENEDRVDAFLLRHPEFQIDPVDAYVPPDFVTERGFYFSYPVKHSIDGAFAARLVKT >Manes.15G059300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4544092:4553854:1 gene:Manes.15G059300.v8.1 transcript:Manes.15G059300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKVLVIMRWGMLKELWGFEPRIWMIVILDCLQVTDIVGGTIRWRRYLDHLICSLCHDERKFRSMEPLLLQIIRIGFYEIVKLDMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPILEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMQWNNGEPSFNLRANSGKGVTRDDLVMQLNLLKVPHELSLHLDHFVRIKKGMQIVIQAELLKQGLCSVQDESAGLVVSVVNPQPGESIIDCCAAPGGKTLYMASLMDGQGMVYAIDINKGRLRILRETAKLHQVDTVITTIPADLRVFAETYPMKSDKVLLDAPCSGLGVLSKRADLRWNRSLEDLEELKILQDELLNAASKLVKPGGILVYSTCSVDPEENEDRVDAFLLRHPEFQIDPVDAYVPPDFVTERGFYFSYPVKHSIDGAFAARLVKT >Manes.15G059300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4544093:4553854:1 gene:Manes.15G059300.v8.1 transcript:Manes.15G059300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLSLHLYLSADASKTSPPMPLKFSKRTNKHNKSISAPRKRPPSPLRRTQKLNLEVSPHRAVSAVRLMRIEMGGAFADLLNEKGKGSGDNEMGYVERTLGFRTKDLDDRDLRLVTDIVGGTIRWRRYLDHLICSLCHDERKFRSMEPLLLQIIRIGFYEIVKLDMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPILEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMQWNNGEPSFNLRANSGKGVTRDDLVMQLNLLKVPHELSLHLDHFVRIKKGMQIVIQAELLKQGLCSVQDESAGLVVSVVNPQPGESIIDCCAAPGGKTLYMASLMDGQGMVYAIDINKGRLRILRETAKLHQVDTVITTIPADLRVFAETYPMKSDKVLLDAPCSGLGVLSKVIIY >Manes.15G059300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4544093:4553854:1 gene:Manes.15G059300.v8.1 transcript:Manes.15G059300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLSLHLYLSADASKTSPPMPLKFSKRTNKHNKSISAPRKRPPSPLRRTQKLNLEVSPHRAVSAVRLMRIEMGGAFADLLNEKGKGSGDNEMGYVERTLGFRTKDLDDRDLRLVTDIVGGTIRWRRYLDHLICSLCHDERKFRSMEPLLLQIIRIGFYEIVKLDMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPILEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMQWNNGEPSFNLRANSGKGVTRDDLVMQLNLLKVPHELSLHLDHFVRIKKGMQIVIQAELLKQGLCSVQDESAGLVVSVVNPQPGESIIDCCAAPGGKTLYMASLMDGQGMVYAIDINKGRLRILRETAKLHQVDTVITTIPADLRVFAETYPMKSDKVLLDAPCSGLGVLSKRADLRWNRSLEDLEELKILQDELLNAASKLVKPGGILVYSTCSVDPEENEDRVDAFLLRHPEFQIDPVDAYVPPDFVTERGFYFSYPVKHSIDGAFAARLVKT >Manes.15G059300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4544093:4553854:1 gene:Manes.15G059300.v8.1 transcript:Manes.15G059300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLSLHLYLSADASKTSPPMPLKFSKRTNKHNKSISAPRKRPPSPLRRTQKLNLEVSPHRAVSAVRLMRIEMGGAFADLLNEKGKGSGDNEMGYVERTLGFRTKDLDDRDLRLVTDIVGGTIRWRRYLDHLICSLCHDERKFRSMEPLLLQIIRIGFYEIVKLDMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPILEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMQWNNGEPSFNLRANSGKGVTRDDLVMQLNLLKVPHELSLHLDHFVRIKKGMQIVIQAELLKQGLCSVQDESAGLVVSVVNPQPGESIIDCCAAPGGKTLYMASLMDGQGMVYAIDINKGRLRILRETAKLHQVDTVITTIPADLRVFAETYPMKSDKVLLDAPCSGLGVLSKVIIY >Manes.15G059300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4544093:4553854:1 gene:Manes.15G059300.v8.1 transcript:Manes.15G059300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLSLHLYLSADASKTSPPMPLKFSKRTNKHNKSISAPRKRPPSPLRRTQKLNLEVSPHRAVSAVRLMRIEMGGAFADLLNEKGKGSGDNEMGYVERTLGFRTKDLDDRDLRLVTDIVGGTIRWRRYLDHLICSLCHDERKFRSMEPLLLQIIRIGFYEIVKLDMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPILEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMQWNNGEPSFNLRANSGKGVTRDDLVMQLNLLKVPHELSLHLDHFVRIKKGMQIVIQAELLKQGLCSVQDESAGLVVSVVNPQPGESIIDCCAAPGGKTLYMASLMDGQGMVYAIDINKGRLRILRETAKLHQVDTVITTIPADLRVFAETYPMKSDKVLLDAPCSGLGVLSKVIIY >Manes.15G059300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4544093:4553854:1 gene:Manes.15G059300.v8.1 transcript:Manes.15G059300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVLSLHLYLSADASKTSPPMPLKFSKRTNKHNKSISAPRKRPPSPLRRTQKLNLEVSPHRAVSAVRLMRIEMGGAFADLLNEKGKGSGDNEMGYVERTLGFRTKDLDDRDLRLVTDIVGGTIRWRRYLDHLICSLCHDERKFRSMEPLLLQIIRIGFYEIVKLDMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPILEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMQWNNGEPSFNLRANSGKGVTRDDLVMQLNLLKVPHELSLHLDHFVRIKKGMQIVIQAELLKQGLCSVQDESAGLVVSVVNPQPGESIIDCCAAPGGKTLYMASLMDGQGMVYAIDINKGRLRILRETAKLHQVDTVITTIPADLRVFAETYPMKSDKVLLDAPCSGLGVLSKRADLRWNRSLEDLEELKILQDELLNAASKLVKPGGILVYSTCSVDPEENEDRVDAFLLRHPEFQIDPVDAYVPPDFVTERGFYFSYPVKHSIDGAFAARLVKT >Manes.15G059300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4544014:4553854:1 gene:Manes.15G059300.v8.1 transcript:Manes.15G059300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGKVLVIMRWGMLKELWGFEPRIWMIVILDCLQVTDIVGGTIRWRRYLDHLICSLCHDERKFRSMEPLLLQIIRIGFYEIVKLDMPPYAVVDENVRLAKVALRPGAGNMVNGILRKLVLLKETNSLPLPILEGDDRAQARALATLYSHPVWMVRRWTKYLGQEEAIRLMQWNNGEPSFNLRANSGKGVTRDDLVMQLNLLKVPHELSLHLDHFVRIKKGMQIVIQAELLKQGLCSVQDESAGLVVSVVNPQPGESIIDCCAAPGGKTLYMASLMDGQGMVYAIDINKGRLRILRETAKLHQVDTVITTIPADLRVFAETYPMKSDKVLLDAPCSGLGVLSKRADLRWNRSLEDLEELKILQDELLNAASKLVKPGGILVYSTCSVDPEENEDRVDAFLLRHPEFQIDPVDAYVPPDFVTERGFYFSYPVKHSIDGAFAARLVKT >Manes.13G083500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14450920:14460684:-1 gene:Manes.13G083500.v8.1 transcript:Manes.13G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSARRKRGSHTRKLSWCCSLSGHPPSPENSVIIAKTLNKKRDSFSKPSSNSLPNSPLNSKSGLNFVGRIDPRRILSPGRVSPIDSDPTAVYSIQEIVPDPSPVVDSALKSRSESFRGKNEDSLSHSDSSSEPCGGVFDVRLNLKGKKGGALVLETCSEVLIANSEVFSRLISEYRQGLGSTGDRKINNDSSKMCRIEVPDVENLGVFRDTIELMFEDNITKRLLKVGVYRAIDILEVSAGIMFKRGVSSCLKYIEAVPWTEEEEEKLRGLFSVCKFEETVTQDILARLYLHNSVDCQQNLARQLVWSITTCTDANARNELKSLVKGLFSKSSIYEKDQPELNKEDLYVVCQSCLSSLGNLLDEASTTSPNRKVTKRETGKTLIERISRQVDNINWLLEILLDQQMGEEFVDMWADQGELLKMHESTSPMVRYELSRVSATLFIAMGTRKLHCRSEARAGLFQAWFNPMLSDFGWLQRCKKGLDMKVLEEAMGQTLLTLPLRQQYMLFMEWFRCFSKHGTECPNLSRAFQIWWRRSFLRGSETCAIESR >Manes.07G122900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32653265:32660809:1 gene:Manes.07G122900.v8.1 transcript:Manes.07G122900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLYFLLSKIFNVEEAEAKLNGSVADNVNARECHLIGPSSSGRKCQDDVLCCSLFIEVPHISQLHSWDCGLACVLMALNTIGINNCSIQALAELCCTTSIWTVDLAYLLQKFSVQFSYFTVTIGANPNYSAETFYKEQLPTDLVRVDMLFQKAREEGINIQCRSINEKEISLLILSGKYIAIALVDQYKLSRSWMEDIILSGLNGSNSNYTGHYVVICGYDAGTDEFEIRDPASSRKSQRISSKCLEEARKSFGTDEDLLLVN >Manes.07G122900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32653265:32660809:1 gene:Manes.07G122900.v8.1 transcript:Manes.07G122900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTIGINNCSIQALAELCCTTSIWTVDLAYLLQKFSVQFSYFTVTIGANPNYSAETFYKEQLPTDLVRVDMLFQKAREEGINIQCRSINEKEISLLILSGKYIAIALVDQYKLSRSWMEDIILSGLNGSNSNYTGHYVVICGYDAGTDEFEIRDPASSRKSQRISSKCLEEARKSFGTDEDLLLISLEKSDKQNSS >Manes.07G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32653265:32660809:1 gene:Manes.07G122900.v8.1 transcript:Manes.07G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLYFLLSKIFNVEEAEAKLNGSVADNVNARECHLIGPSSSGRKCQDDVLCCSLFIEVPHISQLHSWDCGLACVLMALNTIGINNCSIQALAELCCTTSIWTVDLAYLLQKFSVQFSYFTVTIGANPNYSAETFYKEQLPTDLVRVDMLFQKAREEGINIQCRSINEKEISLLILSGKYIAIALVDQYKLSRSWMEDIILSGLNGSNSNYTGHYVVICGYDAGTDEFEIRDPASSRKSQRISSKCLEEARKSFGTDEDLLLISLEKSDKQNSS >Manes.07G122900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32653265:32660809:1 gene:Manes.07G122900.v8.1 transcript:Manes.07G122900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTIGINNCSIQALAELCCTTSIWTVDLAYLLQKFSVQFSYFTVTIGANPNYSAETFYKEQLPTDLVRVDMLFQKAREEGINIQCRSINEKEISLLILSGKYIAIALVDQYKLSRSWMEDIILSGLNGSNSNYTGHYVVICGYDAGTDEFEIRDPASSRKSQRISSKCLEEARKSFGTDEDLLLISLEKSDKQNSS >Manes.07G122900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32654948:32659093:1 gene:Manes.07G122900.v8.1 transcript:Manes.07G122900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTIGINNCSIQALAELCCTTSIWTVDLAYLLQKFSVQFSYFTVTIGANPNYSAETFYKEQLPTDLVRVDMLFQKAREEGINIQCRSINEKEISLLILSGKYIAIALVDQYKLSRSWMEDIILSGLNGSNSNYTGHYVVICGYDAGTDEFEIRDPASSRKSQRISSKCLEEARKSFGTDEDLLLVN >Manes.07G072314.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:19661945:19662790:-1 gene:Manes.07G072314.v8.1 transcript:Manes.07G072314.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKTTVTDVIPVMTKITEHKLNGSNFLDWSKTIRIYLRSIAMDDHLTKDPPTDETRRDWMRDDARFEVISLINHCEFVKELMEYLEFLYSGKGNISRIYDVCKAFYRAQKNDRTLTSYFMDFKRVYEELNVLMPFSTDVEFETAKSQILSDSEISSLHDVFTRVLRTESPIPSHPTSALVSRNDSGRQNNRGGQRGGFNAGKRSQHSGETGPTSDSGGIILHTNGAYGS >Manes.07G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34226574:34232508:1 gene:Manes.07G140800.v8.1 transcript:Manes.07G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLPHRPHRLLLDTESSTPAPANGSRSRNSYTSEANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFAFETPDEAAARLAATGLKKSALRQIPVVIYGSAGIHIKATDCAICLGEFMDGEKVRVLPKCSHGFHVRCIDTWLGSHSSCPTCRQSLLEAPAASSDGAEADAGIRIHGNGAAAQSDVSMAADEEILFEEEAEQRGSLHT >Manes.13G098600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29137297:29137821:-1 gene:Manes.13G098600.v8.1 transcript:Manes.13G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASKLVLLFALLVLSFNHIEAKMKITRMQFYMHDVVGGPNPTAVRVAGRSNFTGTNPIEAMFGSIFIMDNPLTATPNPNSTVLGRAQGIYAMSSKETEFSLLMTLTYGFISGPYNGSSFSVIGRNPVMSEVREMPVVGGTGMFRLARGYCLAKTYSMDQMDAIIGYNVTLIH >Manes.04G081100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28229904:28230209:1 gene:Manes.04G081100.v8.1 transcript:Manes.04G081100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHAKQILRRSNLIPNYQSASSFTDVPKGHLAVYVGEDQKKRFIVPVSLLNRPSFQELLRKAEEEFGFSHPMGAITIPCREDIFIDLTSRLNRS >Manes.12G016200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1499369:1499979:1 gene:Manes.12G016200.v8.1 transcript:Manes.12G016200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITKEAMEERKEKEKEKGEMNSGPTWADQWDNQNPDPPPQTESETDKKKEKDGSKNTLGKKLLSLRWMKELKKKSQKGEGK >Manes.14G157801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22309747:22314282:-1 gene:Manes.14G157801.v8.1 transcript:Manes.14G157801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLQFQRLPFKLFSLLIFLSFNTAFPYDIIPRLDPLRGRVRRLPETSGASMSDDFQTFYYTQTLDHFNYRPESYTTFKQRYFINFKYWGGANVSAPIFAYLGAEAPIDNDLASIGFLTENAAQFGALVVFIEHRFYGKSVPFGSFIKALENANLRSHFNSAQALADYAEILIYLKTKFSAPYSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPLLYFDNITPQDAYFWVVTKDFREASESCYQTIRKSWGEIDKVASQPNGLSILSQRFNTCYPLKDPSDLKEFLISIYADAAQYDAPPDYRVTMICDAIDEGPFGKDILSKIFAGVVAYSGTSPCYVNPHETPTESDMGWEWQTCSEMVIPLGISNNSMFQTDPFIVSSRIKQCKTEFGVVPRPHWITTYYGGNDIKLILQRFGSNIIFSNGLRDPYSSGGILENISDTVLAVYTVNGSHALDVLRAEATDPQWLIKQRKTEVEIIKAWIAKYYADLLAYKH >Manes.01G101700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30180656:30181351:-1 gene:Manes.01G101700.v8.1 transcript:Manes.01G101700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSCESVSVIAVLVLILLRTSPCRAAVPMAADANHFSVGDTNLDVEFMMDSEFSRMLAAAGSTNAFDSLDESKAVFNCGRGKGYCLPPPNNKDCRGTYCRTRNV >Manes.17G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22800165:22802578:1 gene:Manes.17G032800.v8.1 transcript:Manes.17G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYDEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPMSCQKGHVFCKECILECLLAQKKDIQRKLAAHESQKKQEKEEEEEKLMLQKARELDAFDQQNHGAVPQYSDRNQGQDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEAPVKIDTPSTSTICPEGKEKLKMKSLFPIYLTEDNSEKKSSALDKTYICPSCKVTLTNTLSLVALSSCGHVFCKKCADKFMAVDKVCLVCNKACKERNLVNLEKGGTGFAGHGDNLLATDFKHLGSGSGLGLVRPAAMKI >Manes.10G137900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30602615:30606342:1 gene:Manes.10G137900.v8.1 transcript:Manes.10G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMLVTSVTEQLLVKLASQELWSMRIGLSRDWNGEFESLNAKISRINGLLRDAEKKHLRSPSSIENWISKLKGVIYSANDLLDDIYTEASRRQLGTGRENANECLEEPAETGRSEENVSAMASKWTESEENSDEIVFGRNDDKHAITNFLLDSNYGDNLSIISICGIGGIGKSTLAQLVFRDEQVQTHFELKLWVSLSDIAFDAKLIVERILESITGERHKNIKMDTLIVFLHKNINEKRYLIVLDDVREVDDEKWLKLKDLLLGGARGSKILITTRSKRVARITRDRVHELSGLSEEDSWSLLNHIASKPGKPVNFELEVLGKQIALNCQGVPLAIKPVGTVLYFKDTVDEWKQVCNNELAKVDKEKGITHTLKLNYDYLPSHLKNCFAYCVLFPKDHKFDVEMLIYLWMGQGFINSSDPDECLEDVGLKYFMDLLWRSFFQEVKWDELGNIKSCKMNNLMGDLATSVAGIGNKVINTDAENVDEKIYHMSLGFHFDSTWQIPVRLFRARQLRTFLLPSQEVWLSNEGRWKIPDLFSNFRHLHVFDLHNSGIEKVPTSIHKMKYLRYLDVSKNDRIKSLPNSITRLKYLQVLKLSDCDELRELPKDLRKLVNLRHLDCERCWNLMHMPYGFGQLTSLQMLTWFAVSKDSSVFNRIGGMNELNGLNLRGRIEIRNLKFVKNISEFEAANLREKQRLQSLSLCWNRDDDDSVDGDYDEKSLQSLQPHQNLKKLKVCDYGGMRFPDWLSSLTKLVDIWLQDCKKCDHLPQLGQIPSLKYLGIQGFTNLEYVDHEGDNFGVRGKGSTFFPSLKELYLLDCLILKGWAKNRDDLGLHFTSLSKLEIRNCPQLTSMPPFSELDEKLLLENCSLEPLQQKIKMMIKAVPSSSSSSSSMLGHHLFKLKVLWIVSIEDMEAFPEELLQNLSSLKELHLMDCPRLASLPLEMCRLPLRELDIRGCAQMKERYGTRKCSDWPIISHIPNIRIDGQKVQWAGSYLLDHSASPLSKLRTMTVEHLEYLPEEWLPNLTCLQELHIVHCPNLKSLPRGMLHLTSLKVLDISQCPHLKEICANKESVDWLNIAHIPNIQIDGEKIQ >Manes.01G152700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34042744:34044580:-1 gene:Manes.01G152700.v8.1 transcript:Manes.01G152700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKQNFAFYFLLLAICVVDSNPAGANERIVPAIFIFGDSAIDIGTNNFLNSYAKANFRYNGIDFPYSKATGRFSNGYNIGDQLAMRFGFKKSPPAFLYLLNNTSIDFKKKIQRGVNFASAGAGILDDTGFKAWNAVIPLSQQIQQFKTVIGNITEVRGPKATAKLLAKSFYIFCVGANEFFDYMRNKSTAPREPFVASILSTYYNHLKNVYGMGARKFGIMGVAPIGCCPYARAINKKEGGGDACMPLLNDFAQAFYNSTFVLLQELSSELPELKYSFANVYNMTVDMLDNYPSFGLKDVKNACCGLGDYNGVFACYEPIYPNLCQNRSEYLFWDLYHPSQAASELLAETLFHGDSKYMTPMNFSVLAEVQVHI >Manes.02G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:879803:881903:1 gene:Manes.02G007100.v8.1 transcript:Manes.02G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTPTATPPHLYPQELQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRPTSVSSPTQILPTSSNQSTQPVLSVCQIGLKKEIKDKLPIVLFDEELKTRESQCCVCLGEFEIKEELLQIPSCKHVFHIECIHHWLHSNSTCPLCRSFVIPTTKLDNLAQSGGHETPIEQDNPNSNYHTQIASEQQQQQQQAEFSHSLVIPIEESSSAEACSMASAGSPELSISTENGRGCSSQESVAINIQT >Manes.14G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5843902:5859033:1 gene:Manes.14G070200.v8.1 transcript:Manes.14G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFPAISIVFLVFSIFSAVHSSTIGVDYISRLLGIQERERAPPSVQLAAARGVLRRLLPSHSSAFEFRIVSKEQCGGESCFIIKNHPSYTRPGTPEIIISGVTGVEVLAGLHWYLKYWCGSHISWEKTGGAQLNSIPDLGFLPRVQVSGISVQRPIPWNYYQNAVTSSYTFAWWDWERWEKEIDWMALHGINLPLAFTGQEAIWQKVFKKFNISKVELDDFFGGPAFLAWSRMGNLHRWGGPLPQSWLDQQLILQKKILTRMYELGMNPVLPAFSGNVPAALANIFPSAKITRLGNWFSVKSDLRWCCTYLLDATDPLFIEIGRAFIEQQIKEYGRISHIYNCDTFDENTPPVDDPDYISALGAAVFKGMQSGDNDAVWLMQGWLFSYDPFWRPLQMKALLHSVPLGRLVVLDLFAEVKPIWITSEQFYGVPYIWCMLHNFAGNIEMYGILDSIASGPVEARASENSTMVGVGMSMEGIEQNPVIYDLMSEMAFQRKKVDVKAWIDLYSTRRYGRSDPLVQDAWNILYHTVYNCTDGAYDKNRDVIVAFPDVDPFFILASHKKYHHNGKPVSRRAVLKENSDSYDHPHLWYSTSEVIRALEFLIKGGEELSGSSTYSYDLVDLTRQALAKYANELFLKIIESYQSNDVNGVANLSQKFLNLVEDMDTLLACHKGFLLGPWLESAKQLAKDKEQERQFEWNARTQITMWFDNTEDKASLLRDYGNKYWSGLLIDYYGPRAAIYFKYLISSLENGHGFPLKEWRREWIKLTNNWQKSRNNFPVESNGNPLIISRWLYEKYLHSPDTYDQ >Manes.06G012800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2100335:2116497:1 gene:Manes.06G012800.v8.1 transcript:Manes.06G012800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSYGLGPRLDIQQLFIEAQHRWLRPAEICEILRNYQKFHIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEDNENFQRRSYWMLEQELMHIVFVHYLEVKGNRTNSSPSNSLAASYNKEPSGNTDSTSPTSTLASFCEDADSADSQQSSAGRHTFLESPQMQSNPAIDKINAGVLSSEFLHHGSDNREVRSSNPVSGSVSYVHRDGPGANGGTCITESESLASWEEVLEQYAVGNKNAASNLSVTSNHSNPTGIGRNEIFSEILAGGGAAKDELSGSLTMESHWQHNEELVQNNLQMQLANSEPHLSMQTKSENEISVGENNIYAFKPALLGGEEGLKKVDSFSRWVTKELGEVDDLHMRSSSGLSWSTVECGNVVTEESLSPSLSQDQLFSIIDFSPKWAYADSKTEVHITGTFLRSQQEVAKYNWSCMFGEVEVPAEVLADGILCCYAPPHNVARVPFYVTCSNRLACSEVREFDYQVGSAQDVDVKEVYSASINDMHLHLRLERLLSVRSSSAPDCLSDGARAKQRLVSEIILLREEDEGCPIAEPTPERPLSQDEIKWQVLQKAMQEKLYSWLLHMVAENDKGPSVLDDEGQGALHLVAALGYDWAIKPSITAGVPINFRDVNGWTALHWAAFYGREQTVAALVLLGADTRVLTDPSPEFPLGRTPADLASGNGHKGISGFLAESALTSYLQLLTLNDPKEGVAPDVSGMTAVQTIAERMATPVNETDVPNLLPLKDSLTAIRNATQAANRIHQVFRMQSFQRKQLTESGGDGSNIFDERALALIAGKTNKPSDGLANAAAIQIQKKFRGWKKRKEFLIIRQRIVKLQAHVRGHQVRKQYRTIIWSVGILEKVILRWRRKGSGLRGFRRDALTKDSNVQCVPAPAPPPPPPKEDEYDFLKEGRKQNEERQQKALSRVKSMYHCEEGQAQYRRLLTYFEQLRETTESEMVVSSPSEMRYGDEELFDSLLDDDTFMSIAFE >Manes.06G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2100335:2116497:1 gene:Manes.06G012800.v8.1 transcript:Manes.06G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSYGLGPRLDIQQLFIEAQHRWLRPAEICEILRNYQKFHIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEDNENFQRRSYWMLEQELMHIVFVHYLEVKGNRTNSSPSNSLAASYNKEPSGNTDSTSPTSTLASFCEDADSADSQQSSAGRHTFLESPQMQSNPAIDKINAGVLSSEFLHHGSDNREVRSSNPVSGSVSYVHRDGPGANGGTCITESESLASWEEVLEQYAVGNKNAASNLSVTSNHSNPTGIGRNEIFSEILAGGGAAKDELSGSLTMESHWQIPFENSSLHLPEGSLDQTLDLEFAYNLDPRFFDQRAHNVDLQNAFDEIFSCAVQHNEELVQNNLQMQLANSEPHLSMQTKSENEISVGENNIYAFKPALLGGEEGLKKVDSFSRWVTKELGEVDDLHMRSSSGLSWSTVECGNVVTEESLSPSLSQDQLFSIIDFSPKWAYADSKTEVHITGTFLRSQQEVAKYNWSCMFGEVEVPAEVLADGILCCYAPPHNVARVPFYVTCSNRLACSEVREFDYQVGSAQDVDVKEVYSASINDMHLHLRLERLLSVRSSSAPDCLSDGARAKQRLVSEIILLREEDEGCPIAEPTPERPLSQDEIKWQVLQKAMQEKLYSWLLHMVAENDKGPSVLDDEGQGALHLVAALGYDWAIKPSITAGVPINFRDVNGWTALHWAAFYGREQTVAALVLLGADTRVLTDPSPEFPLGRTPADLASGNGHKGISGFLAESALTSYLQLLTLNDPKEGVAPDVSGMTAVQTIAERMATPVNETDVPNLLPLKDSLTAIRNATQAANRIHQVFRMQSFQRKQLTESGGDGSNIFDERALALIAGKTNKPSDGLANAAAIQIQKKFRGWKKRKEFLIIRQRIVKLQAHVRGHQVRKQYRTIIWSVGILEKVILRWRRKGSGLRGFRRDALTKDSNVQCVPAPAPPPPPPKEDEYDFLKEGRKQNEERQQKALSRVKSMYHCEEGQAQYRRLLTYFEQLRETTESEMVVSSPSEMRYGDEELFDSLLDDDTFMSIAFE >Manes.03G146250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27380822:27381419:1 gene:Manes.03G146250.v8.1 transcript:Manes.03G146250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKSLDFFIFDQIRRRLLDWHKRMHIIEGIARGLVYLHQDSRLRIIHRDLKASNILLDRDMNPKISDFGLARIFGGDQTEGFLPNKVKKKNIS >Manes.01G126100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32106218:32107035:1 gene:Manes.01G126100.v8.1 transcript:Manes.01G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPNTLNFSLATMLRLIGSLSFLWLLMAISTAAQTPAVLDTTGRPLRSGVRYYVLPAATDIAGGLTLINRTDSCPLYVGQEPLSTVVSPGLPVIFTPFSAGDGIIREGRDLRIEFSAVSICAQSTAWRVGEEDAKTSRRFIVTGGEQSYFRIDNNRGLYELVWCPGESCPDCGRPRCGSAGILIENGKRFLALDGRAFPFRFRRAYRRHRLSLLSLFS >Manes.05G109900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11032810:11037612:-1 gene:Manes.05G109900.v8.1 transcript:Manes.05G109900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAEESTPTPQVVGNAFVEQYYNILSKSPEVVHKFYQNSSVISRPDFDGLMSSASTLDGIDKMILSLDYKDCVVEILTTDAQESFGDGVIVLVTGFFTGKENIRRKFTQVFFLAPQDSRAYFVLNDVFRYVDEEAAVPIKINDADEAAPVTPDPEPTLVSNHTSVDPAAPSLEEDTVQAEETSHPLDNGKISTPDEVVSSPSVGTQQNDVPPVSSNTVQTDASSVPKAIVSDVQEDLPKKSYASVANALNYKKQPFQQRILPAKPVEQSRAIVVPEASPRPANNKPVEKNNTTNPVKGYSIFVANLPMNATVEQLVETFEKFGPIKPNGVQVRSYKQEKNCFGFVEFESANSMQSALEISSIKIGDRLAHIEEKKANNEGGKFPSRKVGFRNDSFRSRGNFGGGRGYGRNEFDNQGGSSGGQSRGSGSGSGSGRRNGEANQKVYQNGGGRVARDVQVQAQSSGGKN >Manes.05G109900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11032810:11037612:-1 gene:Manes.05G109900.v8.1 transcript:Manes.05G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAEESTPTPQVVGNAFVEQYYNILSKSPEVVHKFYQNSSVISRPDFDGLMSSASTLDGIDKMILSLDYKDCVVEILTTDAQESFGDGVIVLVTGFFTGKENIRRKFTQVFFLAPQDSRAYFVLNDVFRYVDEEAAVPIKINDADEAAPVTPDPEPTLVSNHTSVDPAAPSLEEDTVQAEETSHPLDNGKISTPDEVVSSPSVGTQQNDVPPVSSNTVQTDASSVPKAIVSDVQEDLPKKSYASVANALNYKKQPFQQRILPAKPVEQSRAIVVPEASPRPANNKPVEKNNTTNPAAVKGYSIFVANLPMNATVEQLVETFEKFGPIKPNGVQVRSYKQEKNCFGFVEFESANSMQSALEISSIKIGDRLAHIEEKKANNEGGKFPSRKVGFRNDSFRSRGNFGGGRGYGRNEFDNQGGSSGGQSRGSGSGSGSGRRNGEANQKVYQNGGGRVARDVQVQAQSSGGKN >Manes.05G109900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11032810:11037612:-1 gene:Manes.05G109900.v8.1 transcript:Manes.05G109900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAEESTPTPQVVGNAFVEQYYNILSKSPEVVHKFYQNSSVISRPDFDGLMSSASTLDDCVVEILTTDAQESFGDGVIVLVTGFFTGKENIRRKFTQVFFLAPQDSRAYFVLNDVFRYVDEEAAVPIKINDADEAAPVTPDPEPTLVSNHTSVDPAAPSLEEDTVQAEETSHPLDNGKISTPDEVVSSPSVGTQQNDVPPVSSNTVQTDASSVPKAIVSDVQEDLPKKSYASVANALNYKKQPFQQRILPAKPVEQSRAIVVPEASPRPANNKPVEKNNTTNPAAVKGYSIFVANLPMNATVEQLVETFEKFGPIKPNGVQVRSYKQEKNCFGFVEFESANSMQSALEISSIKIGDRLAHIEEKKANNEGGKFPSRKVGFRNDSFRSRGNFGGGRGYGRNEFDNQGGSSGGQSRGSGSGSGSGRRNGEANQKVYQNGGGRVARDVQVQAQSSGGKN >Manes.10G144100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31212252:31215441:1 gene:Manes.10G144100.v8.1 transcript:Manes.10G144100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFKHSLLLGMLVFLIALLTLTEPAMADDVDAVPDDFNRTYFPDDFIFGTATSSYQIEGSTNISGRAPSVWDIFTHEYPERIKDNSTGDIAVDFYNRYKEDIKNVKDMHFNAFRFSISWSRVIPSGRRDEGVNEEGIKFYDNVINEVVNNGLIPFVTIFHWDTPQALEDKYGGFLSRNIVDDYRDYAELLFERFGSRVKFWMTFNEPWALSGFAYDDGLFAPGRCSSWVNRQCRAGNSATEPYIVAHHLLLAHSAAVNLYREKYQKSQTGEINGKIGITLFTFWFEPLSNRSIDIEASRTALDFMFGLWMDPLTYGRYPRRVQELVGDRLLNFTDKETEMLRKSYDFLGLQYYTSYYAKPNAPIDPNYIRYKTDSRTTVTAYDYEGKPIGPPAYSPWFYIFPKGIRHLLNYTKDTYQDPIIYITENGVDRYNNESRTPEEVRNDTFRINYYKEHMWHALGSLKNYNVSLKGYFAWSYLDNFEWNIGYTSRFGLYYVDYQNNLTRSPKNSANWFKHEFLIKPKSSSKDSRKVGRYYIM >Manes.05G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2731070:2734480:1 gene:Manes.05G032400.v8.1 transcript:Manes.05G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSSRKPHLHGTPVYYYCPPALEEHESLTSHNGAASAFAAGLLAELNLNTSTPDTFRSPPAPLPYDVVLGGLLSSDSESVRETISGGSFETLATCEDLEEPDCKTLASSLPISPKKPEISKLNEAIVSATEEEDACPICLEEYDTQNPQFITKCEHHFHLSCILEWMERSDTCPICDQEMVFDHDFN >Manes.18G144832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21507108:21511765:1 gene:Manes.18G144832.v8.1 transcript:Manes.18G144832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.02G017100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1604021:1605760:-1 gene:Manes.02G017100.v8.1 transcript:Manes.02G017100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTFMEDWDLQALVRGCTTDAFANIMNNDPPSLFTPQNLDLDELFTFPEIFESATDFNVKEEIYDSTYPVLHQHSLSTQSNPRVISSISEEKQPENLQKKHPRPLAESASPASRIGDATLVAKSKRSRKNHQKRVVKHVTSDGLSSDMWAWRKYGQKPIKGSPYPRSYYRCSSLKGCLARKQVERIVQIHQYS >Manes.02G017100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1604021:1605760:-1 gene:Manes.02G017100.v8.1 transcript:Manes.02G017100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFTFMEDWDLQALVRGCTTDAFANIMNNDPPSLFTPQNLDLDELFTFPEIFESATDFNVKEEIYDSTYPVLHQHSLSTQSNPRVISSISEEKQPENLQKKHPRPLAESASPASRIGDATLVAKSKRRKNHQKRVVKHVTSDGLSSDMWAWRKYGQKPIKGSPYPRSYYRCSSLKGCLARKQVERIVQIHQYS >Manes.15G006500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:686772:693043:1 gene:Manes.15G006500.v8.1 transcript:Manes.15G006500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVNKIARKVEVDNRFPLLYYCRIADSLLRQANVHREEKNILDLYIILLRFSSLVSETIPFHGDYQVLPQKEKVAYRKRLLAVLDELEALKPEFQSQVDELNKAYARIQHGKIDGPETISYVSEPSSSERPSVNKVSYSNANVKQPSRKYNNNHVQVSSSISPQFDKKLQKLSFHLPLPKQETLSRHSFLGPNGLRGQWQGPSAETKVQYPTYTDLTSSENSNHIWGDSLDQAAECGTEAVKGSDAESIVFTMESVLSLDDGRWSRPVEKLSPGLINEAKKDPFQFVGVKQPPPPPILAQVHDFSPTTPLKVADPRSGPEKSSEDGLPSSNSYQHLHVPVSLMEDFLTLARANTQKNLETCGVLAGSLKNRVFHITTLIVPKQESTSDSCQTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDALSPHGIFHLSDPGGISVIRNCQQRGFHPHEEPLDGSSIYEHCSHVYMNPNLKFKVVDLR >Manes.15G006500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:686772:693104:1 gene:Manes.15G006500.v8.1 transcript:Manes.15G006500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVNKIARKVEVDNRFPLLYYCRIADSLLRQANVHREEKNILDLYIILLRFSSLVSETIPFHGDYQVLPQKEKVAYRKRLLAVLDELEALKPEFQSQVDELNKAYARIQHGKIDGPETISYVSEPSSSERPSVNKVSYSNANVKQPSRKYNNNHVQVSSSISPQFDKKLQKLSFHLPLPKQETLSRHSFLGPNGLRGQWQGPSAETKVQYPTYTDLTSSENSNLDQAAECGTEAVKGSDAESIVFTMESVLSLDDGRWSRPVEKLSPGLINEAKKDPFQFVGVKQPPPPPILAQVHDFSPTTPLKVADPRSGPEKSSEDGLPSSNSYQHLHVPVSLMEDFLTLARANTQKNLETCGVLAGSLKNRVFHITTLIVPKQESTSDSCQTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDALSPHGIFHLSDPGGISVIRNCQQRGFHPHEEPLDGSSIYEHCSHVYMNPNLKFKVVDLR >Manes.15G006500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:686772:693043:1 gene:Manes.15G006500.v8.1 transcript:Manes.15G006500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVNKIARKVEVDNRFPLLYYCRIADSLLRQANVHREEKNILDLYIILLRFSSLVSETIPFHGDYQVLPQKEKVAYRKRLLAVLDELEALKPEFQSQVDELNKAYARIQHGKIDGPETISYVSEPSSSERPSVNKVSYSNANVKQPSRKYNNNHVQVSSSISPQFDKKLQKLSFHLPLPKQETLSRHSFLGPNGLRGQWQGPSAETKVQYPTYTDLTSSENSNLDQAAECGTEAVKGSDAESIVFTMESVLSLDDGRWSRPVEKLSPGLINEAKKDPFQFVGVKQPPPPPILAQVHDFSPTTPLKVADPRSGPEKSSEDGLPSSNSYQHLHVPVSLMEDFLTLARANTQKNLETCGVLAGSLCQTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDALSPHGIFHLSDPGGISVIRNCQQRGFHPHEEPLDGSSIYEHCSHVYMNPNLKFKVVDLR >Manes.15G006500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:686772:693104:1 gene:Manes.15G006500.v8.1 transcript:Manes.15G006500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVNKIARKVEVDNRFPLLYYCRIADSLLRQANVHREEKNILDLYIILLRFSSLVSETIPFHGDYQVLPQKEKVAYRKRLLAVLDELEALKPEFQSQVDELNKAYARIQHGKIDGPETISYVSEPSSSERPSVNKVSYSNANVKQPSRKYNNNHVQVSSSISPQFDKKLQKLSFHLPLPKQETLSRHSFLGPNGLRGQWQGPSAETKVQYPTYTDLTSSENSNLDQAAECGTEAVKGSDAESIVFTMESVLSLDDGRWSRPVEKLSPGLINEAKKDPFQFVGVKQPPPPPILAQVHDFSPTTPLKVADPRSGPEKSSEDGLPSSNSYQHLHVILLTHLQPVSLMEDFLTLARANTQKNLETCGVLAGSLKNRVFHITTLIVPKQESTSDSCQTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDALSPHGIFHLSDPGGISVIRNCQQRGFHPHEEPLDGSSIYEHCSHVYMNPNLKFKVVDLR >Manes.15G006500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:686772:693104:1 gene:Manes.15G006500.v8.1 transcript:Manes.15G006500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVNKIARKVEVDNRFPLLYYCRIADSLLRQANVHREEKNILDLYIILLRFSSLVSETIPFHGDYQVLPQKEKVAYRKRLLAVLDELEALKPEFQSQVDELNKAYARIQHGKIDGPETISYVSEPSSSERPSVNKVSYSNANVKQPSRKYNNNHVQVSSSISPQFDKKLQKLSFHLPLPKQETLSRHSFLGPNGLRGQWQGPSAETKVQYPTYTDLTSSENSNLDQAAECGTEAVKGSDAESIVFTMESVLSLDDGRWSRPVEKLSPGLINEAKKDPFQFVGVKQPPPPPILAQVHDFSPTTPLKVADPRSGPEKSSEDGLPSSNSYQHLHVILLTHLQPVSLMEDFLTLARANTQKNLETCGVLAGSLKNRVFHITTLIVPKQESTSDSCQTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDALSPHGIFHLSDPGGISVIRNCQQRGFHPHEEPLDGSSIYEHCSHVYMNPNLKFKVVDLR >Manes.15G006500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:686772:693043:1 gene:Manes.15G006500.v8.1 transcript:Manes.15G006500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVNKIARKVEVDNRFPLLYYCRIADSLLRQANVHREEKNILDLYIILLRFSSLVSETIPFHGDYQVLPQKEKVAYRKRLLAVLDELEALKPEFQSQVDELNKAYARIQHGKIDGPETISYVSEPSSSERPSVNKVSYSNANVKQPSRKYNNNHVQVSSSISPQFDKKLQKLSFHLPLPKQETLSRHSFLGPNGLRGQWQGPSAETKVQYPTYTDLTSSENSNLDQAAECGTEAVKGSDAESIVFTMESVLSLDDGRWSRPVEKLSPGLINEAKKDPFQFVGVKQPPPPPILAQVHDFSPTTPLKVADPRSGPEKSSEDGLPSSNSYQHLHVCQTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDALSPHGIFHLSDPGGISVIRNCQQRGFHPHEEPLDGSSIYEHCSHVYMNPNLKFKVVDLR >Manes.15G006500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:686772:693104:1 gene:Manes.15G006500.v8.1 transcript:Manes.15G006500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINVNKIARKVEVDNRFPLLYYCRIADSLLRQANVHREEKNILDLYIILLRFSSLVSETIPFHGDYQVLPQKEKVAYRKRLLAVLDELEALKPEFQSQVDELNKAYARIQHGKIDGPETISYVSEPSSSERPSVNKVSYSNANVKQPSRKYNNNHVQVSSSISPQFDKKLQKLSFHLPLPKQETLSRHSFLGPNGLRGQWQGPSAETKVQYPTYTDLTSSENSNLDQAAECGTEAVKGSDAESIVFTMESVLSLDDGRWSRPVEKLSPGLINEAKKDPFQFVGVKQPPPPPILAQVHDFSPTTPLKVADPRSGPEKSSEDGLPSSNSYQHLHVPVSLMEDFLTLARANTQKNLETCGVLAGSLKNRVFHITTLIVPKQESTSDSCQTLNEEEIFEVQDSLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDALSPHGIFHLSDPGGISVIRNCQQRGFHPHEEPLDGSSIYEHCSHVYMNPNLKFKVVDLR >Manes.13G082512.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17361505:17362262:1 gene:Manes.13G082512.v8.1 transcript:Manes.13G082512.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISMLLKTIHESFIYIFKIKCRVRSITSIMPRHYRKKNSQFSQLTCLIFKHSLGISKVHFRIKTQ >Manes.01G025700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5391847:5401673:-1 gene:Manes.01G025700.v8.1 transcript:Manes.01G025700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFCSTSSMAKTIDKYQRCSYAPVESNQSMHDTQSCYQEYLKLKAKVEMLQRSQRNLLGEELGDLNTKELKQLEHQLDSSLKQIRSTKTQFMVDQLSELQRKEELLLETNHALRKKLEETDAALQSSWATREQNVHYNHHPAAQPGDFVNPLQCNRNFRIGFNAGETGQVTVAATTEQNFNGFIPGWML >Manes.15G145000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11913214:11917554:1 gene:Manes.15G145000.v8.1 transcript:Manes.15G145000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLNSLTFLVQVDFFFQGTVLTKTSSEGEKKWRRNRSESREIFFELQVVSSYHGLIDHLSPPLRLFLTNSKNPKMPRPYFYKLILANTIRDKKLRIPDNFVKKFGNDLSAFGRISVPGGPVWPVGLIKADDKFWFHEGWQEFMESYSIRVGYFLVFRYEGHAVFTVHIFNLSASEISYQSNSLSGRRYLAFEEMEDDDLIEYLSSSSPFLVPNSLKSKVFDKHLDQMTINKSYNPPALQNLFHESKLNYINWSGEGNLHSSKGASISHATNQDARDVGVQFNAIEQKNYKDDVKFYNPDGEIQKPKKPGRKRKNDPNEMQPAALQGDEVEMRFRFYQSASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQFCDGKQWSVRCLYRGGRAKLSQGWYEFTLENNLGEGDVCIFELMRSRDIVLKVTVFRVLENARHMNRS >Manes.02G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:584826:589247:1 gene:Manes.02G004900.v8.1 transcript:Manes.02G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKAVPPTDLNRNTEWFTYPGVWTTYILMVLMSWLIVLSLFGCSHGMAWTIVHLAHFLITYHFFHWKKGTPFADDQGIYNGLTWWEQIENGKQLTRNRKFLTVAPVVLYLIASHTTDYQNPMLFFNTLAVFVLVVAKFPHMHKVRIFGINADQ >Manes.05G025600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2252804:2258701:1 gene:Manes.05G025600.v8.1 transcript:Manes.05G025600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKTTESAVTTIVNLAEEAKLARGGVKAPSYAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEEASKGILWLYRQQPGNEDARLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLIKAKPFGLVQDSDLSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASIVTGDGRSKASLEYNGMVDAFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >Manes.02G193833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15657034:15659134:1 gene:Manes.02G193833.v8.1 transcript:Manes.02G193833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLFSFLVSSSLFLFLAVILCLRGNFLGNRIRNLPPGPPGWPVFGNIFDLGSAPHRALYELKLKYGSILWLRLGYRNTVVIQSAKAAAELFKNHDTSFCDRKPLDVFTCHNYNDGSLAVGRFSPYWRMVRRLCSMDMMTNKRINDTTSIRQKCILQMIRSIEDDITAAKARGEPGIVNLPQHLFLMSFNIVGNIMLSRDLLDSKCKKGYDFFHAMEMVAVWAGKPNLADFIPFLKWLDPQGLKKKMSKDLGQALEIAEGFMKERIEEYKLGNKGKVGKDFLDTLLEFEGDGKDWSDKIPYERVIVIISEMFFGGSETTSTSIEWTMAELLRNPEAMRKAKEELNKVVGENKNVEETDIEKLPYLQAVVKEAFRLHPPLPLLIPRNTIKDTNFMGYHIPKDTQVLVSAWAMGRDPDSWEDPLAFKPERFLGSNIDYKGQNFELLPFGSGRRICVGMLLGQRVVLLGLASLIHCFDWELDKHTTPGTLDMRELVGMVVRKLVPLNLIPKRRPTMKVA >Manes.03G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:640600:643637:-1 gene:Manes.03G007600.v8.1 transcript:Manes.03G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHTIILMQTSQSRASRTFMDYDSISQAMDGICGLYERKLKELNPAIRNITYDIADLYNFIDGLADMSALVYDHSVRAYLPYDRQWIKQRTFQHLKKLAQ >Manes.15G020350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1669015:1670307:1 gene:Manes.15G020350.v8.1 transcript:Manes.15G020350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGFSFPYSSVGTSQIELYCGHALFYPDSGLVPFPPSALFCCFSALFLPRISWHGNDENMKMEVNEITSQGHNTTTTTIIIIIKSPSQFTDSREKGLGRRVRKADEAKVCL >Manes.13G096200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28468440:28471752:-1 gene:Manes.13G096200.v8.1 transcript:Manes.13G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIAEKKKRIAKAKAEAAEYQKLLATRLKEQRDRRSESLAKKRSRLSAASKPSVAA >Manes.10G104700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25245178:25246541:1 gene:Manes.10G104700.v8.1 transcript:Manes.10G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMAVSSADSFDLTDFVINKGNGVKGLSDLGIKSLPSQYIQPQEALINIIPQQSIPVIDMSNWETDPKVAESVCEAAQQFGFFQLVNHGVPLEVLDGVKDATHRFFGLPAEEKRKYSKELSSTNSVRFGTSFSPDAEKALEWKDYLSLFYVSEEEAFALWPNACRDEVLEYMKRSQILCRKLMSALMENLNVKEIDETKESLLMGSKRINLNYYPRCPNPNLTVGVGRHSDVSSLTFLLQDEIGGLYVRVNEGKGEKDGWVHVPPIEGSLVINVGDALQILSNGRYRSVEHCVITSGSKNRISIPIFVNPKPSDVIGPLPELIAAGEKPKYKDILYSDYVKHFFRKAHDGKKTVAFAEISS >Manes.11G043100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4708272:4711148:-1 gene:Manes.11G043100.v8.1 transcript:Manes.11G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVVAVVIAVLAILCLFLGLYFCDLCDTSSQGNGRDIKVSHKAVLPVHVDRKQTPVPHMKTRIEQEPPTVQIIHESPETNQLKIFNYKELAKATGSFSNFNHLGDGGWSQVFKGTLPDGEVVAIKKLAHESEQRERDQFNAEVATINRTRHKNVVKLIGYCDDKANRLIIYEYVPNNSLRDNLHGKNKKIIDLSTRFKIALASAEGLAYLHECNPRVIHRDIKTANILLDDNFEPKIADFGLAKDFSNSVTHISTDPKGTKGYVAPENLKGKKLTDKSDVFSFGVVLLELVTGKQAVEGKNAVELAVWIAPQLKKVFYSGSYDTLVDDKLREEYNKNEAAKNEAARMLHCAAACVYKPAAGRPKMSEIVEVLKGNMALECVWQSRDSKFLHDGAPYYSIV >Manes.11G043100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4708272:4711148:-1 gene:Manes.11G043100.v8.1 transcript:Manes.11G043100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVVAVVIAVLAILCLFLGLYFCDLCDTSSQGNGRDIKGDGGWSQVFKGTLPDGEVVAIKKLAHESEQRERDQFNAEVATINRTRHKNVVKLIGYCDDKANRLIIYEYVPNNSLRDNLHGKNKKIIDLSTRFKIALASAEGLAYLHECNPRVIHRDIKTANILLDDNFEPKIADFGLAKDFSNSVTHISTDPKGTKGYVAPENLKGKKLTDKSDVFSFGVVLLELVTGKQAVEGKNAVELAVWIAPQLKKVFYSGSYDTLVDDKLREEYNKNEAAKNEAARMLHCAAACVYKPAAGRPKMSEIVEVLKGNMALECVWQSRDSKFLHDGAPYYSIV >Manes.10G059200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8100617:8108025:-1 gene:Manes.10G059200.v8.1 transcript:Manes.10G059200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRYQRSIITFPARFFIIIPCRFWHCRVSFKNRMATEGHELSNVTVVDDLLSLQKKIAAIRMAGPSKLQVIADFDATLTKYMVNDRRGHSSHGLLRQGNPEYDAKRHALYEYYHPLEFSPTIPIQEKTKLMKEWWGKTHNLLIEGGLTCDAIRESVANSAIAFRDGVVELFQFLEERDIPVLIFSAGLADIIEEVLRQKVHRSFRNVKIVSNRMVFDDNGRLISFKGKLIHSLNKNEHALDMAAPVHEHLGDVDGVSDDSASVKKRTNVLLLGDHLGDLGMSDGLDYETRISVGFLNDNIENNLASYRKAFDVVYLNDAPMWGVVKLASQLCSTASA >Manes.12G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30685784:30689306:-1 gene:Manes.12G110100.v8.1 transcript:Manes.12G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERMIVVREFDPSRDGVGVEEVERRCEVGPSGKLSLFTDLLGDPICRVRHSPAFLMLVAELGEEIVGMIRGCIKTVTCGKKLSRQVKISAAAATTTTNDPPKPLPVYTKLAYILGLRVSPSHRRMGIGLKLVRTMEEWFRENGAEYSYLATENDNHASVQLFTDKCGYSKFRTPSILVNPVFAHRIPISNRVTIFQLDSHDAELLYRRRFSTTEFFPRDIDSVLNNKLSLGTFLAVPRDSYKSDSWPGIDKFLFNPPESWAVLSVWNCKDVFRLEVRGVSRVKRTFATTTRMVDKALPFLRLPSVPEVFRPFGLHFLYGLGGEGPRAVKMIKALCAYAHNLAKESGCGVVATEVSSREPLKLGIPHWNMLSCAEDLWCIKRLGEDYSDGSIGDWTKSPPGTSIFVDPREF >Manes.14G159600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22649672:22652066:-1 gene:Manes.14G159600.v8.1 transcript:Manes.14G159600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKQLQDSERKLGLSMPLDEAKERVSQLESEVTSLDRRLILASGVEGIEGFRQRWSLHGRLTDTTRRLESLKQGIENRKKDVNKDESAPSSTTKRWFFW >Manes.06G160201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28634064:28637334:-1 gene:Manes.06G160201.v8.1 transcript:Manes.06G160201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEFHLLPIFVLFSVVLSAIAVDKIAMVTTTVERGDISVPAEIYWHSKLSNTSIPRDLLNLIQHVGDKYIFWNMEYGKRYEKKFEQATAEDTADVPKVKYGVKYGKRYEKEFEQATAEDTADVPKVKYGVKYGKRYEKEFEQATAEDTADVPKVKYGVKYGKRYEKEFEQATAEDTADVPKVKYGKRYELKFNKHARSNSIVFFLPNDLHAGKKMRLHITKSVNKARILPRQVADSLPFSTNKLAEIMKYFSVNPESSKGRMLKETVEDCESPGIKGEDRFCPTSLESLVDFSVKHVGNKAQVLMNEIDRPKREQEYTIKEVKFIGENHVVCHKQNYPYAVYYCHALNGTKVYTAQVVGADGTKAKAVAVCHTDTSAWNPGHLAFLVLKTKPGEGTVCHFIRSDTFVMVSN >Manes.13G103928.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30262631:30264035:1 gene:Manes.13G103928.v8.1 transcript:Manes.13G103928.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTNKQPLGHILGGHPLGVVLQMCITTHTIRIDHCFVIANRLYNFSGRGDTDPSLDPAFAAQLKTKCKPGDTTTVVDMDPGSPKLFDDNYYTVVARRGLFQSDAALLNDIQTRAYVTLQAATNGITFARDFGASMVKLGNVGVLTGSQGEIRKQCALVN >Manes.07G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32382638:32389333:-1 gene:Manes.07G118900.v8.1 transcript:Manes.07G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVRLPASHDPVKTLKFISILDKPCEIFSRICMISFLVTSISLVLYTAFSPLTPSLRFPAPKRRAPEPETKLNLESGPTNISHILFGIGGSAETWKDRCHYNSLWWDANKTRGFVWLDEIPGDNYNDSDISVIPYRISSPGWTQFRFSSSRSAVRIARIISDSFKLNVPDVRWFVMGDDDTVYFTENLVSVLAKHDHNEMWYIGGNSESVEQDEMHSYDMAFGGGGFAISYALAEKLVNILDGCLDRYFYFYGSDQRIWACISEIGVPLTREQGFHQFDIKGNAYGLLAAHPLAPLVSLHHLDYVDSLFPYKTQADSLWTLGLAYRLDPPRILQQSFCYDYKRKWSISVAWGYSVQLYPFLLPANNLQTPLQTFKTWRTESDGPFTFNTQPLKSNPCEHPVLFMLNQAKKVGETGSLTSYERLEPRPEKMCNRTDYEEARSLQRVIVSALKLDPEYWTKDQARRRQCCELGESLRESTMHIRIRKCRPWETITTERVECSAA >Manes.07G118900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32384707:32389406:-1 gene:Manes.07G118900.v8.1 transcript:Manes.07G118900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVRLPASHDPVKTLKFISILDKPCEIFSRICMISFLVTSISLVLYTAFSPLTPSLRFPAPKRRAPEPETKLNLESGPTNISHILFGIGGSAETWKDRCHYNSLWWDANKTRGFVWLDEIPGDNYNDSDISVIPYRISSPGWTQFRFSSSRSAVRIARIISDSFKLNVPDVRWFVMGDDDTVYFTENLVSVLAKHDHNEMWYIGGNSESVEQDEMHSYDMAFGGGGFAISYALAEKLVNILDGCLDRYFYFYGSDQRIWACISEIGVPLTREQGFHQFDIKGNAYGLLAAHPLAPLVSLHHLDYVDSLFPYKTQADSLWTLGLAYRLDPPRILQQSFCYDYKRKWSISVAWGYSVQLYPFLLPANNLQTPLQTFKTWRTESDGPFTFNTQPLKSNPCEHPVLFMLNQAKKVGETGSLTSYERLEPRPEKMCNRTDYEEARSLQRVIVSALKLDPEYWTKDQARRRQCCELGESLRESTMHIRIRKCRPWETITTER >Manes.02G146700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11291766:11295304:1 gene:Manes.02G146700.v8.1 transcript:Manes.02G146700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEECLALMVEKECQHLPNADYLNRLRKGDLDLGGRKEAIDWIGKAHAHFGFGPLCAYLSINYLDRFLSAYELPKGKAWMMQLLAVACLSLAAKMEETEVPLSVDLQVGESKFVFEAKTIQRMELLVLSTLSWRMQAITPFSFIDHFLNKINNDETPPRSLILQSIQLILATIKGIDFLEFRPSEIAAAVAIAVIGEIKTVEAEQAISALTQHVQKERVLKCIQLIHDVVLIGGSVKNASVSILSVPQSPIGVLDAACLSYRSDDTTAGSCANSSQNTPDAKRRKLNRPWEV >Manes.02G146700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11291766:11295304:1 gene:Manes.02G146700.v8.1 transcript:Manes.02G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFDCAVSSLLCAEDNNSIFDDNDCYGAVVEEYESTWHHKNHRIHHEGRAYGGGGDGELPMQSEECLALMVEKECQHLPNADYLNRLRKGDLDLGGRKEAIDWIGKAHAHFGFGPLCAYLSINYLDRFLSAYELPKGKAWMMQLLAVACLSLAAKMEETEVPLSVDLQVGESKFVFEAKTIQRMELLVLSTLSWRMQAITPFSFIDHFLNKINNDETPPRSLILQSIQLILATIKGIDFLEFRPSEIAAAVAIAVIGEIKTVEAEQAISALTQHVQKERVLKCIQLIHDVVLIGGSVKNASVSILSVPQSPIGVLDAACLSYRSDDTTAGSCANSSQNTPDAKRRKLNRPWEV >Manes.11G161100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32658861:32660283:-1 gene:Manes.11G161100.v8.1 transcript:Manes.11G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSDPLVVGRVIGDVIDYFSPSVKMTVSYCSNKQVYNGHELFPSAVKLKPKVEVQGGDMRSFFTLIMTDPDVPGPSDPYLREHLHWVVTDIPGTTDATFGREVVSYEMPRPNIGIHRFVFLLFKQQRRQAIVSTPSSREKFNTRKFAEENGLGLPVAAVFFNAQRETAARRR >Manes.03G125066.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25493114:25497838:1 gene:Manes.03G125066.v8.1 transcript:Manes.03G125066.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVVDAMIAEAVRFEMASFAGDLQSISWLSMALGGICGSLLGGYALTNIQIETIFLLFSVLPAIQLLSCGLVKENHIGSKGLAEFDNSVSSSHKEKENAIILDEDRFSLKKSNRSASRRKNSQKHKTRRTAISAKSQISDKESYLALNWLHSLKAATYSLIEAFRQPIILRPMTWFFLAHITVPNLSTVMFYYQTEYLNLNASFLGTVRVVGWLGLMLGTFAYNRYLKSMKLRKILLSAHIGLSLMSVLDMILVSRISLAYGISDKIMVLCGSALADAINQFKLMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFAGAALASFLNLSSGSFDNLLLGIAIQVFCTYIPVVLLFLIPKHATGIST >Manes.03G125066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25493114:25497837:1 gene:Manes.03G125066.v8.1 transcript:Manes.03G125066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWLKQLRNAFGASFLWLICLIYFTQGFRSFVWTAVSYHLKDRLKLSPSASQFVSSVAFFPWSIKPLYGILSDCFPIKGRKRIPYLVIATVLSLVPWPVLGLNAFFRSSRWHISVLLTVQNLGSAMADVVVDAMIAEAVRFEMASFAGDLQSISWLSMALGGICGSLLGGYALTNIQIETIFLLFSVLPAIQLLSCGLVKENHIGSKGLAEFDNSVSSSHKEKENAIILDEDRFSLKKSNRSASRRKNSQKHKTRRTAISAKSQISDKESYLALNWLHSLKAATYSLIEAFRQPIILRPMTWFFLAHITVPNLSTVMFYYQTEYLNLNASFLGTVRVVGWLGLMLGTFAYNRYLKSMKLRKILLSAHIGLSLMSVLDMILVSRISLAYGISDKIMVLCGSALADAINQFKLMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFAGAALASFLNLSSGSFDNLLLGIAIQVFCTYIPVVLLFLIPKHATGIST >Manes.03G068500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9504962:9506859:-1 gene:Manes.03G068500.v8.1 transcript:Manes.03G068500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGKPHVVCVPFPLQGHIIPMLKLAKLLHYKGFHVTFVNTQFNHQRILESRGSDDALDGLPDFHFATIPLQHPPSNCHTSLALNFLALREICRKSFLPLFGDLVTKLNDTSSSNPPVSCILSDAILNHSLELSQELNIPNVFLWNMGASGFLSFKHSRDQIKQCLAFLKDPSNKAAANENLDSMMEWIPGMKGAQVRDLSKFIKTKDQVDSMAESSGGELERAAKASAVIFHTFDALESKVLNSLLPMFQGVYSIGPLQLLLDQIPNGHYDSIEGNLWNEEPECIKWLDSKEPNSVIYINFGSTTVMTVEQLVELAWGLANSNHNFLWITRPDLIMGDSAVLPPEFLLETKERGLIASWCPQEQVLNHPSTGGFITHCGWNSIVESISAGIPMICWPFFGEHFVNCRKSCNEWGIGVELSSNFQRHEVEKLVEELLSGEKGKKMKEKAMEWKKLSEEATSPNGSSSLNLNNLVNEVLLSKN >Manes.07G066300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12537517:12556556:1 gene:Manes.07G066300.v8.1 transcript:Manes.07G066300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAEHMGVSPAQVTFDAKSYSFHNQSTPVETPEYGAEHKHIEILEQKHQIMSETVQTEPGDTNTVVSQSGASEALHQSSEDASEALHQSSEDATKSSLSENLEWPSEGTRKSMLTDKSLGPRPITIDQKLEFDSEDTCNGPSKEQYSLRSGITENHNALPAFTVNETADAAKNSLTEDLEFPHEDARNSSQIDKSLCPQQSIPEEILEFSSDGAHCEPLIERQKAGCDIVKGELLEISTPLSSCTAANHLEPPPALVAKSYPIICLGLPHFKKNNVPATKKLLMLHDDMDTRSKLKQSKTPSKDMVSNSSRMGRKVKITAKSSKRKYVLQSLVRSDRVLRSRSHEKPKAPDSSINLPKVSSKKEKTKQKKKKGQGMRIEVDEYSRIRKHLRYLLNCMSYEQSLITAYSAEGWKGLSLEKLKPEKELQRATSEIFRRKLKIRDLFQRIDSLCAEGKLPESLFDSEGQISSEDIFCAKCGSKDFTADNDIILCDGACDRGFHQYCLTPPLLKDEIPPDDEGWLCPGCDCKVDCIELLNDCQGTNISISDSWEKVFPEAAAAGQNSDQNIGLPSDDSDDNDYDPDEPEIDKKSEGDESSSDESDFTSASDELEASPGDKQNLGIPFDDSEDGDDDYDPDGPDIDENVKEESSSSDFTSDSEDLAAYLDDKELSREVESPGSSGPQGGVVREGSKHGGKKMQSLHGELLSILELNPRQDGGTPVSEKRNVERLNYKKLYDETYGNVSSDSSDDEDFTDTVGPRKRRKSTEVAPASVNGDAFVIKNGKQDRKETEYAPKKSQQSNIQNTSISPAKSQEGSSPSSLCGKTVKPSAYRRLGEAVTQRLYKSFKENQYPDRATKDNLAKELGVTFRQVNKWFENARWSFNHSSSMDALVRKASGKDSPLPKTNPKLPEGRQSAGKDATLDGAHSEKTPKISNATPKSNIGDARDAKLGSEKGSKQNSRKRKSGTDSTAEAAKKLPANLPKDQETRAGGRMTRSRSLR >Manes.07G066300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12537485:12556556:1 gene:Manes.07G066300.v8.1 transcript:Manes.07G066300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAEHMGVSPAQVTFDAKSYSFHNQSTPVETPEYGAEHKHIEILEQKHQIMSETVQTEPGDTNTVVSQSGASEALHQSSEDASEALHQSSEDATKSSLSENLEWPSEGTRKSMLTDKSLGPRPITIDQKLEFDSEDTCNGPSKEQYSLRSGITENHNALPAFTVNETADAAKNSLTEDLEFPHEDARNSSQIDKSLCPQQSIPEEILEFSSDGAHCEPLIERQKAGCDIVKGELLEISTPLSSCTAANHLEPPPALVAKSYPIICLGLPHFKKNNVPATKKLLMLHDDMDTRSKLKQSKTPSKDMVSNSSRMGRKVKITAKSSKRKYVLQSLVRSDRVLRSRSHEKPKAPDSSINLPKVSSKKEKTKQKKKKGQGMRIEVDEYSRIRKHLRYLLNCMSYEQSLITAYSAEGWKGLSLEKLKPEKELQRATSEIFRRKLKIRDLFQRIDSLCAEGKLPESLFDSEGQISSEDIFCAKCGSKDFTADNDIILCDGACDRGFHQYCLTPPLLKDEIPPDDEGWLCPGCDCKVDCIELLNDCQGTNISISDSWEKVFPEAAAAGQNSDQNIGLPSDDSDDNDYDPDEPEIDKKSEGDESSSDESDFTSASDELEASPGDKQNLGIPFDDSEDGDDDYDPDGPDIDENVKEESSSSDFTSDSEDLAAYLDDKELSREVESPGSSGPQGGVVREGSKHGGKKMQSLHGELLSILELNPRQDGGTPVSEKRNVERLNYKKLYDETYGNVSSDSSDDEDFTDTVGPRKRRKSTEVAPASVNGDAFVIKNGKQDRKETEYAPKKSQQSNIQNTSISPAKSQEGSSPSSLCGKTVKPSAYRRLGEAVTQRLYKSFKENQYPDRATKDNLAKELGVTFRQVNKWFENARWSFNHSSSMDALVRKASGKDSPLPKTNPKLPEGRQSAGKDATLDGAHSEKTPKISNATPKSNIGDARDAKLGSEKGSKQNSRKRKSGTDSTAEAAKKLPANLPKDQETRAGGRMTRSRSLR >Manes.07G066300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12542595:12556556:1 gene:Manes.07G066300.v8.1 transcript:Manes.07G066300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAEHMGVSPAQVTFDAKSYSFHNQSTPVETPEYGAEHKHIEILEQKHQIMSETVQTEPGDTNTVVSQSGASEALHQSSEDASEALHQSSEDATKSSLSENLEWPSEGTRKSMLTDKSLGPRPITIDQKLEFDSEDTCNGPSKEQYSLRSGITENHNALPAFTVNETADAAKNSLTEDLEFPHEDARNSSQIDKSLCPQQSIPEEILEFSSDGAHCEPLIERQKAGCDIVKGELLEISTPLSSCTAANHLEPPPALVAKSYPIICLGLPHFKKNNVPATKKLLMLHDDMDTRSKLKQSKTPSKDMVSNSSRMGRKVKITAKSSKRKYVLQSLVRSDRVLRSRSHEKPKAPDSSINLPKVSSKKEKTKQKKKKGQGMRIEVDEYSRIRKHLRYLLNCMSYEQSLITAYSAEGWKGLSLEKLKPEKELQRATSEIFRRKLKIRDLFQRIDSLCAEGKLPESLFDSEGQISSEDIFCAKCGSKDFTADNDIILCDGACDRGFHQYCLTPPLLKDEIPPDDEGWLCPGCDCKVDCIELLNDCQGTNISISDSWEKVFPEAAAAGQNSDQNIGLPSDDSDDNDYDPDEPEIDKKSEGDESSSDESDFTSASDELEASPGDKQNLGIPFDDSEDGDDDYDPDGPDIDENVKEESSSSDFTSDSEDLAAYLDDKELSREVESPGSSGPQGGVVREGSKHGGKKMQSLHGELLSILELNPRQDGGTPVSEKRNVERLNYKKLYDETYGNVSSDSSDDEDFTDTVGPRKRRKSTEVAPASVNGDAFVIKNGKQDRKETEYAPKKSQQSNIQNTSISPAKSQEGSSPSSLCGKTVKPSAYRRLGEAVTQRLYKSFKENQYPDRATKDNLAKELGVTFRQVNKWFENARWSFNHSSSMDALVRKASGKDSPLPKTNPKLPEGRQSAGKDATLDGAHSEKTPKISNATPKSNIGDARDAKLGSEKGSKQNSRKRKSGTDSTAEAAKKLPANLPKDQETRAGGRMTRSRSLR >Manes.03G157600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28580361:28582070:-1 gene:Manes.03G157600.v8.1 transcript:Manes.03G157600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETAPARKVIVLADPTRESAVALQYALSNVVLENDELILLHVESPNSWRKNTLSFLRRSSLPSHYVPNLEGGDIDFLEAMKQVCEVAQPGIRIRKEKMQMEAKVKDKDKANAILVRCNTLGVDAVIIGQRRSLSSALLGIKRPGSAGLKAFDMAEYLIENSNCNCVGVQKKGQNAGYLINTKTQKNFWLLA >Manes.17G021800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTGNKAAFATSKQNKRMNEQKQPTEVDHESNGKMEPTNAQEPPQDEQKQPTEVDRESSGKTQPMNAQERPQDEQKQPTEIDRGSNAKMQPMHAQERPQDERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTAGNKAAFATSKQNKRMNEQKQPTEVDHESNDEQKQPTEVDRESSGKTQPMNAQERPQDEQKQPTEIDRGSNAKMQPMHAQERPQDERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTAGNKAAFATSKQNKRMNEQKQPTEVDHESNGKMEPTNAQEPPQDEQKQPTEVDRESSGKTQPMNAQERPQVLVADERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTGNKAAFATSKQNKRMNEQKQPTEVDHESNGKMEPTNAQEPPQDEQKQPTEVDRESSGKTQPMNAQERPQDEQKQPTEIDRGSNAKMQPMHAQERPQVLVADERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTAGNKAAFATSKQNKRMNEQKQPTEVDHESNGKMEPTNAQEPPQDEQKQPTEVDRESSGKTQPMNAQERPQDEQKQPTEIDRGSNAKMQPMHAQERPQDERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTGNKAAFATSKQNKRMNEQKQPTEVDRESSGKTQPMNAQERPQDEQKQPTEIDRGSNAKMQPMHAQERPQDERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTGNKAAFATSKQNKRMNEQKQPTEVDHESNGKMEPTNAQEPPQDEQKQPTEVDRESSGKTQPMNAQERPQVLVADERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTAGNKAAFATSKQNKRMNEQKQPTEVDHESNDEQKQPTEVDRESSGKTQPMNAQERPQDEQKQPTEIDRGSNAKMQPMHAQERPQVLVADERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTGNKAAFATSKQNKRMNEQKQPTEVDRESSGKTQPMNAQERPQDEQKQPTEIDRGSNAKMQPMHAQERPQVLVADERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTAGNKAAFATSKQNKRMNEQKQPTEVDHESNDEQKQPTEVDRESSGKTQPMNAQERPQVLVADERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.17G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13831440:13851110:1 gene:Manes.17G021800.v8.1 transcript:Manes.17G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEPFFWMEEHAGQLYGPVGTKRKARTRKMEFVGWGSRPLIEFLESIGKDTSNQISQYDVTAIINKYVNDHNLHHPTKKKRILCDERLLTLFGRKTVARIKIYEMLGSHFAENQVDSDDDFLYSSEEEDSACERQKKLTSERKTYSKKKVLEAPKSCFAAIIPDNIKLVYLKRSLVQDLLKEPEAFEGKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLKKVSESNDKGAEVVLQVSNFMKDVRISMLSDDNFSEAECEDLHQRIKDGLLKRPTVVELEEKVHVLHQDITNHWLLGELALLQKLIDRANEKGWRKELFEYLERRQLLQSPDEKSRLLREVPKIIADEIEVETTSQDCPANMEEGNHVPSEPVSNEGAEIHIRDIVAKSTPSAWISFDMDSTAGNKAAFATSKQNKRMNEQKQPTEVDHESNGKMEPTNAQEPPQDEQKQPTEVDRESSGKTQPMNAQERPQDEQKQPTEIDRGSNAKMQPMHAQERPQVLVADERKQPTESDHESNAKMQPMNAAQRPQGVTVIEVIDLSSDEEDEDSGGEAQILDDDEESCIWYYEDPQGDIQGPFPISSLKRWYDADYFPPDFKIWQEGQSQREGVLLTDVLRETYPH >Manes.06G076600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21290847:21292022:-1 gene:Manes.06G076600.v8.1 transcript:Manes.06G076600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGKAVEMYDNDMFQDYSISSSDLPCKKHPSSSSVGICAYCLKDRLVKLVCSDCGEQRLSSCSCSEISSNRNSCTVEPKNNGEKADEVFLLKRSSSSCVEIKRKGGFWRIGKLFGKKKEKGTCERSSVGGFEEKSDLWVVDYMGVSRSRSLCSFRGGGFFGSEDGTFSGARSSISAARSSISAARNSGVNGGLLFDPDRKSGFSEAEPRRSGFDGEKKDANIVSDPEKVDPGFNGANTRRVFSLKEGNFTTMDDSGFIDLKFDFSSESKADLPSARIGGAWSDSNSAFGSMRSSDFLTHDQCAGPFGSLVGDGVFTNGGSCRITVSDRGIKRSRKSFKSWRWIFRHNLNARKKDEELVVNSLA >Manes.09G031500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6399216:6400960:-1 gene:Manes.09G031500.v8.1 transcript:Manes.09G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILFLSLLISTLFSLSLSELCNHHDKKALLQIKQDFGNPYLLASWKSDTDCCTQWYQVECDSTTHRIISLTIFAGELSGEIPPAVGDLPYLQTLEFHKLTNVTGPIQPAIAKLKSLNFLRLSWLSLTGSVPDFLSQLKSLTLLDLAFNSLSGSIPSSLALLPNLGTLHLDRNKLTGSIPESFGAFQGKVPYLYLSHNQLSGKIPASLGKTDFNVLDFSRNRLEGDASVLFGPNKTTQIVDLSRNLLTFDLSKVVFPSSLTSLDLNHNKIYGNIPQQMTQLTMQLLNVSYNRLCGQIPVGGKLQSFDSYTYFHNRCLCGAPLESCK >Manes.18G098200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9082361:9085425:1 gene:Manes.18G098200.v8.1 transcript:Manes.18G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPSLILPLLVFLSFHFIISSALITLGSSLSASNPNQTWRSPNSTFFLGFLQVGSSYVAGINYFGGVPIWTAGGPSVSVDVGGAFHFSSNGTLQLVNGSGAVVWDSNTSHLGVTSASLDDSGNLVLRNGTGFSVWSSFQNPTDTIVPYQNFTVNQVLKSGVYSFQLLKSGNLMLSWNDSINYWNQGLNSSIDSKLTSPVLGLQPIGILSISHFTLTSAHIVAYSDDYAEGNDILRFLKLDSDGNLRIYSSALGSGRTTVRWAALTDQCQVFGYCGNLGICSYNDSSSNPICGCPSENFEPVDVNDSRKGCKRKVEIENCPGSTTMLEMVHAEFLTYQPELSSQVFFVGISACRLNCLVSSSCAASTSLSDGSGLCYLKISDFVSGYQNPSLPSTSYVKVCGPVKPNPPANLQVVGNGKSRLHVWLVLVVVVITFAGLVAVEGGVWWWFCRNSPKFSSLSAQYALLEYASGAPVQFSHKDLNRATKGFKEKLGAGGFGAVYKGILANKTAVAVKRLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDKFLFTTDEQLGKLLNWENRFNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYNSKVSDFGLAKLVNPKDHRYRTLRSVRGTRGYLAPEWLANLPITSKSDVYSYGMVLLELVSGRRNFEVFAETNQRRFSLWAYEEFEKGNVHAIVDKRLVDHDVDMEQLTRAIQVSFWCIQEQPSQRPMMGKVVQMLEGIIDIEKPPAPKAIIIGSACGTSINTSSSDTAFSTFAASASAPAPSSFSSFQTTVVSPLP >Manes.06G151500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27912345:27914884:1 gene:Manes.06G151500.v8.1 transcript:Manes.06G151500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGGVVQDQNINVHYNEASVGWKTNVSKVLPRKGVLGGRTPLGDLSNSLKPSLNQASKKHTTSISSLAEKETGSSLNALDVTKKKSMKVQTSGRKALSDISNSGKPNLNEGSKKKCNTKLSVVAEESIDANAIADERFLHNHQECIKAQSRPMDLDQFLQTIGLDNVFPKLPANRMSIKAPSPPRHLELEEMTDQLFEDQSWKRKQSRKHDSPPATPRSPKHYMHLDYNFKLLESP >Manes.06G151500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27912309:27914884:1 gene:Manes.06G151500.v8.1 transcript:Manes.06G151500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGGVVQDQNINVHYNEASVGWKTNVSKVLPRKGVLGGRTPLGDLSNSLKPSLNQASKKHTTSISSLAEKETGSSLNALDVTKKKSMKVQTSGRKALSDISNSGKPNLNEGSKKKCNTKLSVVAEESIDANAIADERFLHNHQECIKAQSRPMDLDQFLQTIGLDNVFPKLPANRMSIKAPSPPRHLELEEMTDQLFEDQSWKRKQSRKHDSPPATPRSPKHYMHLDYNFKLLESP >Manes.06G151500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27912395:27914884:1 gene:Manes.06G151500.v8.1 transcript:Manes.06G151500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGGVVQDQNINVHYNEASVGWKTNVSKVLPRKGVLGGRTPLGDLSNSLKPSLNQASKKHTTSISSLAEKETGSSLNALDVTKKKSMKVQTSGRKALSDISNSGKPNLNEGSKKKCNTKLSVVAEESIDANAIADERFLHNHQECIKAQSRPMDLDQFLQTIGLDNVFPKLPANRMSIKFALQAPSPPRHLELEEMTDQLFEDQSWKRKQSRKHDSPPATPRSPKHYMHLDYNFKLLESP >Manes.06G151500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27912319:27915221:1 gene:Manes.06G151500.v8.1 transcript:Manes.06G151500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGGVVQDQNINVHYNEASVGWKTNVSKVLPRKGVLGGRTPLGDLSNSLKPSLNQASKKHTTSISSLAEKETGSSLNALDVTKKKSMKVQTSGRKALSDISNSGKPNLNEGSKKKCNTKLSVVAEESIDANAIADERFLHNHQECIKAQSRPMDLDQFLQTIGLDNVFPKLPANRMSIKAPSPPRHLELEEMTDQLFEDQSWKRKQSRKHDSPPATPRSPKHYMHLDYNFKLLESP >Manes.06G151500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27912307:27915221:1 gene:Manes.06G151500.v8.1 transcript:Manes.06G151500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGGVVQDQNINVHYNEASVGWKTNVSKVLPRKGVLGGRTPLGDLSNSLKPSLNQASKKHTTSISSLAEKETGSSLNALDVTKKKSMKVQTSGRKALSDISNSGKPNLNEGSKKKCNTKLSVVAEESIDANAIADERFLHNHQECIKAQSRPMDLDQFLQTIGLDNVFPKLPANRMSIKAPSPPRHLELEEMTDQLFEDQSWKRKQSRKHDSPPATPRSPKHYMHLDYNFKLLESP >Manes.06G151500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27912395:27914884:1 gene:Manes.06G151500.v8.1 transcript:Manes.06G151500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVGGVVQDQNINVHYNEASVGWKTNVSKVLPRKGVLGGRTPLGDLSNSLKPSLNQASKKHTTSISSLAEKETGSSLNALDVTKKKSMKVQTSGRKALSDISNSGKPNLNEGSKKKCNTKLSVVAEESIDANAIADERFLHNHQECIKAQSRPMDLDQFLQTIGLDNVFPKLPANRMSIKFALQAPSPPRHLELEEMTDQLFEDQSWKRKQSRKHDSPPATPRSPKHYMHLDYNFKLLESP >Manes.01G064000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26249998:26253948:-1 gene:Manes.01G064000.v8.1 transcript:Manes.01G064000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFDISGNNLSLALFADVTNSKELLDSMQAGTLEPEVALLNASLIPDVFPVLAAAHKTLISKSRDSLTTRTLHSELVYNYSGSKHITESLKRCGISETSTYILVARFNASLDEMKVVEKLINGKEIDLEELEGRANQAQIQKHYKISGVEAGLSTLADAITCRIAARDAL >Manes.01G064000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26249998:26253948:-1 gene:Manes.01G064000.v8.1 transcript:Manes.01G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFDISGNNLSLALFADVTNSKELLDSMQAGTLEPEVALLNASLIPDVFPVLAAAHKTLISKSRDSLTTRTLHSELVYNYSGSKHITESLKRCGISETSTYILVARFNASLDEMKVVEKLINGKEIDLEELEGRANQAQIQKHYKISGVEAGLSTLADAITCRIAARDAL >Manes.05G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5132748:5136907:-1 gene:Manes.05G061100.v8.1 transcript:Manes.05G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHIFKEDKVDGKMKKKEAVYTQDGSVDGHGHPAIRDRTGTWFAGILILVNQGLATLAFFGVGVNLVLFLTRVLGQDNAAAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAIFVVGLVSLSLSSYIFLLKPRGCGNDQFPCESHSSFQIALFYLSIYLVALGNGGYQPNIATLGADQFDEEHPEEGHSKIAFFSYFYLALNLGSLFSNTILGYFEDHGMWALGFWASTGSAVLALVLFLIGTPRYRHFKPQGNPLSRFCQVVVAATRKWKFEMVQNPEDMFEVDEKKCSNDGDRKIIHTDGFRFLDRAALVTPNDFSDKENYILNPWRLCTVTQVEEVKCILRLLPIWLCTILYSVVFTQMASLFVEQGAAMKTTISGFHIPPASMSSFDIISVAVFIFIYRRVLDPLFARLRKDPRGLTELQRMGIGLVIAIIAMISAGVVELFRLKHAQKGKTCTSCKSASSLSIFWQIPQYVLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTVVMKISATDDMPGWIPGNLNKGHLDRFYFLLAALTSADFIVYIICAKWYRYIKFEGKCDAIADNNVDDQEADHRV >Manes.06G035650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10379055:10380522:1 gene:Manes.06G035650.v8.1 transcript:Manes.06G035650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.01G259550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41585227:41587937:-1 gene:Manes.01G259550.v8.1 transcript:Manes.01G259550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKKGLKKGPWTPEEDETLVSYIKKNGYGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLCMGLDPQTHEPFTSCGPTIKAPASPATRHMAQWESARLEAEARLSRESSLFNRPTPGKTDSDYFLRMWNSEVGESFRKFNQEDKTTCQSPASASQASSSTKCCSFSAVTADISPNLAGYSTTASNQNEDMECKSCKSYNAGSDSSSSIELEDSSDSTLQLLLDFPINNDMSFLEENVDSFTTYSAMQL >Manes.01G259550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41585227:41587937:-1 gene:Manes.01G259550.v8.1 transcript:Manes.01G259550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSVISRKMAMEAGDLSPSSQVFSGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLCMGLDPQTHEPFTSCGPTIKAPASPATRHMAQWESARLEAEARLSRESSLFNRPTPGKTDSDYFLRMWNSEVGESFRKFNQEDKTTCQSPASASQASSSTKCCSFSAVTADISPNLAGYSTTASNQNEDMECKSCKSYNAGSDSSSSIELEDSSDSTLQLLLDFPINNDMSFLEENVDSFTTYSAMQL >Manes.08G025200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2494523:2495992:-1 gene:Manes.08G025200.v8.1 transcript:Manes.08G025200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSLILRITPFFTSRIRQNHRLLNSSSALRETSSPSPSPSLDEAIYLTDNCVRRMKELQTSEGLTEEKMLRLGVETGGCSGFQYVFDLDSKINPDDRVFESGGVKLVVDNISYDFVKGATVDYVEELIRSAFVGRQD >Manes.08G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2493456:2495992:-1 gene:Manes.08G025200.v8.1 transcript:Manes.08G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSLILRITPFFTSRIRQNHRLLNSSSALRETSSPSPSPSLDEAIYLTDNCVRRMKELQTSEGLTEEKMLRLGVETGGCSGFQYVFDLDSKINPDDRVFESGGVKLVVDNISYDFVKGATVDYVEELIRSAFVVTTNPSAVGGCSCKSSFMVKQ >Manes.02G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3816092:3819494:-1 gene:Manes.02G046700.v8.1 transcript:Manes.02G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALSLSISHIPICKSQDLSKDAHISKISGRENPSHKTSSDVKLSKRKLLNATAFGLLSEGISIAQPAKAEPESPVAATSSRMSYSRFLQYLDEGAVRKVDLFENGTVAIAEIFNPTLSKMQRVKIQLPGLPQELLRKLKDKNVDFAAHPLEINWGAALLDLLGNLAFPLILLGSLLLRSSSTNPDGGPNLPFGLGRSKAKFQMEPNTGVTFNDVAGVDEAKQDFQEIVEFLKTPEKFAAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGNSGVIVIAATNRPEILDSALLRPGRFDRQVTVGLPDIRGREEILQVHSKGKKLDKDVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGKGKITLKEIDDSIDRIVAGMEGTKMTDGKSKILVAYHEVGHAVCATLTPGHDPVQKVTLIPRGQARGLTWFMPGEDPTLISKQQLFARIVGGLGGRAAEEVIFGESEITTGAAGDLQQITQIARQMVTMFGMSEIGPWALTDPAVQSSDVVLRMLARNSMSEKLAKDIDSAVRDIIERAYKIAKEHVRNNREAIDKLVEVLLERETLTGDEFRAILSEFTDISVGKVDRISVREMIKV >Manes.04G046300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7502348:7507333:-1 gene:Manes.04G046300.v8.1 transcript:Manes.04G046300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTETMSISVYLNSRIGEIVIFVFLLISQTCKGGDSNQCPPSSCGKNHNISYPFRLQTDPKNCGNHSYELSCENNLTVFNLNGGRYFVQSINYDNFTIRLVDAGVHPDNCSSIPRFPFIYDLSERYSTYRYQWSETEERKWAKLRQRPELSQMIMFIKCQNPVKSPPYVETAPCLNSSYVNIGDMKANDLMELCSVEMISLFPLFPAKKNMSFLEIHRQLAFGFQLSWHNIHCGQCDGGCYLDSRKGIRCLYNDYWWNMLSGIIRLLVFFLELPFQYIAKFSLRLPDPGNSIFWNIIWLLVWTFVYLGMCFVARAFCGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNLMPIRYSYSDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGTKHALVYEFMSNGSLDNYIFCQEGSISLSWEKLYEISFGVARGIEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAARGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLTIEDSSEEENILARKMIITGLWCIQMQPCNRPPMNKVLDMLEGDLKSLELPPRPVLYPVESMTMDEGESSSISSEVK >Manes.06G009850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1526241:1526590:-1 gene:Manes.06G009850.v8.1 transcript:Manes.06G009850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSWIIIFWILQCGYSCFQVENSWSIGIAFRSECSLFLVVVRELLMFKAHPARRWPHDLHFRNKFMFGIYVHVYCQYN >Manes.08G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30842202:30849283:-1 gene:Manes.08G091400.v8.1 transcript:Manes.08G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKEKDEELALFLEMRRREKEKERNNLLLNNSSDELNTCLGTNNPGGEPISKVISCVPPRKTAADKFLDSENDKSDYDWLLTPPETPLFPSLEMESKKAAMDQIGMSNDRPTALKARLTNIQEEPASKIKVASKRTTLHSGLNSSNTSNRRPSSSGGPAAATRPATPTRRLTVPTTAKPSRASTPTSRATLPSTKPAGPPVRSSTPNRTSARSSTPTARPLPSALKSTSRPATPTRQILTPSGAATVAAPPSSRSSSVPKSVPTTLKNPVSSRGSSPTVKPRPWKPNEMPGFSLDAPPNLKKSLPERPASASRGRPSDASARSFSIEAGSKGRPRQQSCSPAKGRASNGGWLSIPTKSKAQHNGDDDVNPVLMGTQMVERVVNMRKLAPPKQDYSTHNNSGGKSSSLDSTGFGRTLSKKSLDMALRHMDIRRSIAGNNLRPLTSIPASSVYSVRSGGSSKSKTSSASDSPLASSSDASEPSVNDNSPFVDGIETEDNDYGSERGSSSPPSHLGK >Manes.08G091400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30842202:30849283:-1 gene:Manes.08G091400.v8.1 transcript:Manes.08G091400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKEKDEELALFLEMRRREKEKERNNLLLNNSSDELNTCLGTNNPGGEPISKVISCVPPRKTAADKFLDSENDKSDYDWLLTPPETPLFPSLEMESKKAAMDQIGMSNDRPTALKAREEPASKIKVASKRTTLHSGLNSSNTSNRRPSSSGGPAAATRPATPTRRLTVPTTAKPSRASTPTSRATLPSTKPAGPPVRSSTPNRTSARSSTPTARPLPSALKSTSRPATPTRQILTPSGAATVAAPPSSRSSSVPKSVPTTLKNPVSSRGSSPTVKPRPWKPNEMPGFSLDAPPNLKKSLPERPASASRGRPSDASARSFSIEAGSKGRPRQQSCSPAKGRASNGGWLSIPTKSKAQHNGDDDVNPVLMGTQMVERVVNMRKLAPPKQDYSTHNNSGGKSSSLDSTGFGRTLSKKSLDMALRHMDIRRSIAGNNLRPLTSIPASSVYSVRSGGSSKSKTSSASDSPLASSSDASEPSVNDNSPFVDGIETEDNDYGSERGSSSPPSHLGK >Manes.04G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26723341:26725473:1 gene:Manes.04G069300.v8.1 transcript:Manes.04G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSFKMGTPTFVSIPFSTSSSFTLKVNCQKTLAETSAKNLPPGPRKLPLIGNLHNLVGSLPHHVLKDLAIEHGPLMHLQLGEVPTVVVSSAKMAQELMKTHDHVFSQRPEILASRILSYDHTDIVFSKGDYWKQMRKICLTELLGLRKVKSFAPIRDDEVSNLLESIRLAGGSSPVNLTEKIMWLTSAIVCRAAFGNRWEDQKAVISIAREAVSLSGGFDLADLYPSKEFLHVITNMKPRLEKMYLKLDKILDNIVNEHKQKLANRKGEPADDEDLVDVLLRLQGSGRLECPITIDNIKAVIWDLFAAGTDTSSTTTEWALAEMIRNPRILKKAQEEIRQSVQGKETICEEDIQRLQYLKMVIKETLRVHPPLPLLIPRESKETCEIGGYEIPEKTKVIVNVWAIGRDPEYWKDPDKFIPERFHDNSIDFKGINFAYIPFGAGRRICPGISFGLANIELPLANLLYHFDWKLPGEMRPEDLDMTEAFGATVGRKENLQLIAIPYKPSSSYQAST >Manes.09G137500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33747579:33753075:-1 gene:Manes.09G137500.v8.1 transcript:Manes.09G137500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFQHSAISVFTIILLLIEHSEQLQTSQGQTLVRIQRLLSYPDILNGWNSTSDFCNIDPTSSVTVVCYEDTITQLHIIGNKGSPMLPRNFSIDSFVTTLVSLPDLKVLTLASLGLWGSLPGKIARLSSLEIMNMSSNFLYDPIPKDLSSLTSLQTLILDDNMFSGELPHWLGSLPLLTVLSLRKNMFNGSLPSSFNALENLRVLALSHNYFDGEVPDFSSLTNLQVLDLEDNAFGPQYPQLGKKLVTLVLSKNKFRDGLPDEVSSYYQLHHLDLSHNKFVGPFPQYLLSLPSITYLNVADNKFTGVLFENQSCSVELEFVDLSSNLISGHLPKCLKSDSKEKIMYAGNCLATRNQNQHPLAFCRNEALAVGILPQHKKRRRDSNIIALGVIGGIVGGIALVGLIFLAVKKVNSRKTIKRPTTRLISENASTGYPSKLLSDARYISQTMKLGALGIPAYHTFSLEELEEATNNFDTSAFMGEGSQGQMYRGRLKNGSYVAIRCLKMKRSYSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISERRAKRTLNWAQRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLVAKISSYNLPLLTENTGKVVHRVSSVGSKDSSTSSRTNQEEKIDVYDFGVILLEIIVGKPMNHRNEVDVLKDQLQASITSDAAARRSMVDPAVQRGCSDQSLKTMMEVCVRCLQKNPADRPSVEDVIWNLQFAAQVQDGWRGDSSEGSPGSPSHPPQLHLMLP >Manes.09G137500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33747579:33752887:-1 gene:Manes.09G137500.v8.1 transcript:Manes.09G137500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFQHSAISVFTIILLLIEHSEQLQTSQGQTLVRIQRLLSYPDILNGWNSTSDFCNIDPTSSVTVVCYEDTITQLHIIGNKGSPMLPRNFSIDSFVTTLVSLPDLKVLTLASLGLWGSLPGKIARLSSLEIMNMSSNFLYDPIPKDLSSLTSLQTLILDDNMFSGELPHWLGSLPLLTVLSLRKNMFNGSLPSSFNALENLRVLALSHNYFDGEVPDFSSLTNLQVLDLEDNAFGPQYPQLGKKLVTLVLSKNKFRDGLPDEVSSYYQLHHLDLSHNKFVGPFPQYLLSLPSITYLNVADNKFTGVLFENQSCSVELEFVDLSSNLISGHLPKCLKSDSKEKIMYAGNCLATRNQNQHPLAFCRNEALAVGILPQHKKRRRDSNIIALGVIGGIVGGIALVGLIFLAVKKVNSRKTIKRPTTRLISENASTGYPSKLLSDARYISQTMKLGALGIPAYHTFSLEELEEATNNFDTSAFMGEGSQGQMYRGRLKNGSYVAIRCLKMKRSYSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISERRAKRTLNWAQRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLVAKISSYNLPLLTENTGKVVHRVSSVGSKDSSTSSRTNQEEKIDVYDFGVILLEIIVGKPMNHRNEVDVLKDQLQASITSDAAARRSMVDPAVQRGCSDQSLKTMMEVCVRCLQKNPADRPSVEDVIWNLQFAAQVQDGWRGDSSEGSPGSPSHPPQLHLMLP >Manes.09G137500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33747571:33753075:-1 gene:Manes.09G137500.v8.1 transcript:Manes.09G137500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFQHSAISVFTIILLLIEHSEQLQTSQGQTLVRIQRLLSYPDILNGWNSTSDFCNIDPTSSVTVVCYEDTITQLHIIGNKGSPMLPRNFSIDSFVTTLVSLPDLKVLTLASLGLWGSLPGKIARLSSLEIMNMSSNFLYDPIPKDLSSLTSLQTLILDDNMFSGELPHWLGSLPLLTVLSLRKNMFNGSLPSSFNALENLRVLALSHNYFDGEVPDFSSLTNLQVLDLEDNAFGPQYPQLGKKLVTLVLSKNKFRDGLPDEVSSYYQLHHLDLSHNKFVGPFPQYLLSLPSITYLNVADNKFTGVLFENQSCSVELEFVDLSSNLISGHLPKCLKSDSKEKIMYAGNCLATRNQNQHPLAFCRNEALAVGILPQHKKRRRDSNIIALGVIGGIVGGIALVGLIFLAVKKVNSRKTIKRPTTRLISENASTGYPSKLLSDARYISQTMKLGALGIPAYHTFSLEELEEATNNFDTSAFMGEGSQGQMYRGRLKNGSYVAIRCLKMKRSYSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISERRAKRTLNWAQRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLVAKISSYNLPLLTENTGKVVHRVSSVGSKDSSTSSRTNQEEKIDVYDFGVILLEIIVGKPMNHRNEVDVLKDQLQASITSDAAARRSMVDPAVQRGCSDQSLKTMMEVCVRCLQKNPADRPSVEDVIWNLQFAAQVQDGWRGDSSEGSPGSPSHPPQLHLMLP >Manes.09G137500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33747571:33753075:-1 gene:Manes.09G137500.v8.1 transcript:Manes.09G137500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFQHSAISVFTIILLLIEHSEQLQTSQGQTLVRIQRLLSYPDILNGWNSTSDFCNIDPTSSVTVVCYEDTITQLHIIGNKGSPMLPRNFSIDSFVTTLVSLPDLKVLTLASLGLWGSLPGKIARLSSLEIMNMSSNFLYDPIPKDLSSLTSLQTLILDDNMFSGELPHWLGSLPLLTVLSLRKNMFNGSLPSSFNALENLRVLALSHNYFDGEVPDFSSLTNLQVLDLEDNAFGPQYPQLGKKLVTLVLSKNKFRDGLPDEVSSYYQLHHLDLSHNKFVGPFPQYLLSLPSITYLNVADNKFTGVLFENQSCSVELEFVDLSSNLISGHLPKCLKSDSKEKIMYAGNCLATRNQNQHPLAFCRNEALAVGILPQHKKRRRDSNIIALGVIGGIVGGIALVGLIFLAVKKVNSRKTIKRPTTRLISENASTGYPSKLLSDARYISQTMKLGALGIPAYHTFSLEELEEATNNFDTSAFMGEGSQGQMYRGRLKNGSYVAIRCLKMKRSYSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISERRAKRTLNWAQRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLVAKISSYNLPLLTENTGKVVHRVSSVGSKDSSTSSRTNQEEKIDVYDFGVILLEIIVGKPMNHRNEVDVLKDQLQASITSDAAARRSMVDPAVQRGCSDQSLKTMMEVCVRCLQKNPADRPSVEDVIWNLQFAAQVQDGWRGDSSEGSPGSPSHPPQLHLMLP >Manes.09G137500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33747579:33753075:-1 gene:Manes.09G137500.v8.1 transcript:Manes.09G137500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTFQHSAISVFTIILLLIEHSEQLQTSQGQTLVRIQRLLSYPDILNGWNSTSDFCNIDPTSSVTVVCYEDTITQLHIIGNKGSPMLPRNFSIDSFVTTLVSLPDLKVLTLASLGLWGSLPGKIARLSSLEIMNMSSNFLYDPIPKDLSSLTSLQTLILDDNMFSGELPHWLGSLPLLTVLSLRKNMFNGSLPSSFNALENLRVLALSHNYFDGEVPDFSSLTNLQVLDLEDNAFGPQYPQLGKKLVTLVLSKNKFRDGLPDEVSSYYQLHHLDLSHNKFVGPFPQYLLSLPSITYLNVADNKFTGVLFENQSCSVELEFVDLSSNLISGHLPKCLKSDSKEKIMYAGNCLATRNQNQHPLAFCRNEALAVGILPQHKKRRRDSNIIALGVIGGIVGGIALVGLIFLAVKKVNSRKTIKRPTTRLISENASTGYPSKLLSDARYISQTMKLGALGIPAYHTFSLEELEEATNNFDTSAFMGEGSQGQMYRGRLKNGSYVAIRCLKMKRSYSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISERRAKRTLNWAQRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLVAKISSYNLPLLTENTGKVVHRVSSVGSKDSSTSSRTNQEEKIDVYDFGVILLEIIVGKPMNHRNEVDVLKDQLQASITSDAAARRSMVDPAVQRGCSDQSLKTMMEVCVRCLQKNPADRPSVEDVIWNLQFAAQVQDGWRGDSSEGSPGSPSHPPQLHLMLP >Manes.12G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4213754:4218197:1 gene:Manes.12G046800.v8.1 transcript:Manes.12G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSHVPKFGNWESEENVPYTAYFEKARKGRGEGKMINPNDPQENPDLGSDFAAPAQAPPSRGRVASEETTGQGAVKRAHMRQRSREDGELRRFADSPARHDNMNRRASNEPANQRHGGRGVIHGENPRRTGKTSAQSIGSDNSMEHSPVHHKARISGKGSGAPSPAWEGKGSYESSHGTPGRSRLKPKGDESPVKGAAVPKFGEWDENNPASADGYTHIFNQVREEKHSGAGKVPGMPTESSMGNDRKRPPSNSSKSCCFPWWRK >Manes.15G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8848952:8873469:-1 gene:Manes.15G110800.v8.1 transcript:Manes.15G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGYDESIRKVQIGEDIEGGHEDSEDYFFDRIGKPIPIVKGDAYQLQSPPSRPLAVSEHHRLIFIAHSSGFCVARTKDVMDAAEDIKAKGTSSSIEELSVVNVPFENVNILSLSTDSSTLAVSVAAFVHFFSVDSLLKKDLNPTFSCSLSESSAFVKDMIWRRRLETSFLVLSNHGKLYHGALGAPLKDVMDNVDAVEWSLKGKYIAVARENILHILSSKFREKLHISLPLKSWIGDSEENFCVKVDSIRWVRPDSIVVGCFQQTADGKEENYLIQVIRSKNGKITDAFSKSSVLSFYDLFPGLIDNIVPHGNGPYLFLSYLGQWALAITANRKNIDQHIQLLRWSVEDDTTETSVIDIDRDTWVPRIELQGNGDDNLIMGLCVDKVSLFGKVRAEVGLEQKELSPYCVLFCVTLEGKLVMFYVASATELTIPPEDFALYDEEDSLSDIPAECAQSELPSGLGKQTSEQVDVGLQLKNESKWESNIGKVSEIPRTTGLMPSGKGGSSMALLATEQTSYKGTIPKGRQVESLVNFEFSVADGQENASVTKLHHSVEGQQAQISGQQSAKVGQSSLKNSPLDGPSYFDKHSSKAESQKFVEFRSDSTALLNKVPKDIPSQLYDKELQKSNDMPEASPVAISSTGLQSAPSHSWSSGKVMFSGGYESRSALLTSTSIQGNKFDNTGVSVDAGIVSRSSTNLPVRSNQNLGLKASVIGTIQSLPSIRSSHLPSQETFALGNHSPYSSKDAHKTLSLSNSEPYLSKQFGNIKEMTKELDSLLHCIEEPGGFMDACTVSQRSSIEALEGHMQTLSEKCRAWKIMMDEQLGEIRHLLDKTVQVLAREIYIDGIVKQASDSQYWDLWNRQKLSSELELKRRHILKLNQVLTNQLIELERHFNTLELHKFGETGVHVKCRRAFQSRYGPSRQVQSLHTLHNTTYSQLAAAEQLSECLSKQMDLLSIESPVKQKNVKKELFETIGITYDPTFTSPDAAKVGDSSSLKKLLISSGSAANKSQSRRRQSSAMNSSDSETARRRRDSLDQSWARFEPTKTTVKRVLLQESQKTSMNKSSLMNMQQLGPSFVDSSTVSHPKDLTSPSTYMYSSGNKGIQHMVPKQALVNRSTPSKWVNDSPPQSQSTSKAIGLRPPMLQNNNAVLPAISPSQVLPVTTQLLVRESSNITADRLNSTASSVGKSDSVFIKDTKSIQQSEASIHKKSSVSMELPAQTPVLTKKSSEMKGTVLTNSTTETVDHGPSSTKSYGSSFPLLTTAVPASLSHPGKVSQFNVAASGSQPSAKTSFSQASSMPLTVSSSPMINSSNFASSASPPSSTGLSFLAAMPFGTSSITSKDNFDVKQTISLASLSSVSPPSTLSLQAPKSLSPSHSPPPVSESSRPELRSSTKQMSPPINPSHSPSVSESLKTEVHLPTGKLSISSALPLASVSHKPGPEPPTGKTSPFSPPISPLTSESPKIQLQTSTDKFSSRTAVDTAITVPLTQLDPPAFNVKLAPPVSSDPMTEISTQLGYGSQSSLNVANPVSGIELNVQPKQPDDARVLFGAPLASDNVASGKNANLDVAVTEEDEMEEEAPETSRANEISLGSLGAFGLGSTPSSTAPRANPFGGSFGNVGTNQASSGFNMTVPSGELFRPASFSFQSPQPSQQPSQPSPPTNLGAFSGGFGAGAVGQAPGGFGQPARIGAGQAALGSVLGSFGQSRQFGAGLPSGFASASSMGGFSSAAAGGGFAGAGSTGVGFAGLASGGGGFAGAASGAGGFGGMASAGGGFAVAGSGGFSGAGGGFGAFNNQQGSSGFSAFSGNAGGNQQGTGGFSAFGGNAGGTGKPPELITQMRK >Manes.02G121800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9264996:9272497:-1 gene:Manes.02G121800.v8.1 transcript:Manes.02G121800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMIETLRPITHAKFQANSTCNIHSSHGLCFFRYRSRVFLHHFSHRSIPHPASFPPVVSCKALLQGGGERLSVWGSGFLRNQKIRESRILANGQDSDSTASSSEKRSESEGQKVSNNPPNSGPKQRREKQGKSQWWWSKKQSWKWQPLIQAQEISVLLLQLGIVMFVMRLLRPGIPLPGSEPRQPTTFISVPYSEFLSKINTNQVQKVEVDGVHIMFKLKNEGSTNYESGEAVNTKFQESESLLRSMAPTTKRIVFTTTRPSDIKTPYEKMLENQVEFGSPDKRSGGFLNSALIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHASKKELPLSEDVDLSEIASMTTGFTGADLANLVNEAALLAGRKNKLVVEKIDFIQAVERSIAGIEKKTAKLQGSEKGVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDESGVAPWGRDQGHLVDLVQIEVKTLLQSALDVALAVVRANPTVLEGLGAHLEAKEKVEGEELQQWLKLVVAPKELSIFVRGEQESLLLQQAGL >Manes.02G121800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9264996:9272514:-1 gene:Manes.02G121800.v8.1 transcript:Manes.02G121800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMIETLRPITHAKFQANSTCNIHSSHGLCFFRYRSRVFLHHFSHRSIPHPASFPPVVSCKALLQGGGERLSVWGSGFLRNQKIRESRILANGQDSDSTASSSEKRSESEGQKVSNNPPNSGPKQRREKQGKSQWWWSKKQSWKWQPLIQAQEISVLLLQLGIVMFVMRLLRPGIPLPGSEPRQPTTFISVPYSEFLSKINTNQVQKVEVDGVHIMFKLKNEGSTNYESGEAVNTKFQESESLLRSMAPTTKRIVFTTTRPSDIKTPYEKMLENQVEFGSPDKRSGGFLNSALIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHASKKELPLSEDVDLSEIASMTTGFTGADLANLVNEAALLAGRKNKLVVEKIDFIQAVERSIAGIEKKTAKLQGSEKGVVARHEAGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDESGVAPWGRDQGHLVDLVQIEVKTLLQSALDVALAVVRANPTVLEGLGAHLEAKEKVEGEELQQWLKLVVAPKELSIFVRGEQESLLLQQAGL >Manes.09G123200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32559380:32563545:1 gene:Manes.09G123200.v8.1 transcript:Manes.09G123200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCVSSIPLALSVTQVRYLGLATLRRRYLSSNHWSSARNGRLKSLTTRSSRNSRVLHSEGQEFYGELGFKDKGDEFMELESRMGNDKGSDSPFLNALELKDEKRSGENEERAEQEDLIKVKDDKAIGESGQLNEKMGFRRGRQVIRRFNMLAKQVISIQSARSLGFVSQIWVDTTSWVVLTVEVRPNLLSGEPERFLLEDVRQVGDVVLVEDENVLEVELKMIGLETLVGYRVVTAGRRYIGKVRGFSFDVNSGTVELLELDSFGISIIPSSLVSTYALPIEDVLEVLSDTVIVHEAAASRIQRLTKGFWDAQNVSNSIDEMEEYSDNEISVGSGHGRSTRRSPRSQKKFRSKIRDAEDDWELPMDYL >Manes.09G123200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32559049:32563545:1 gene:Manes.09G123200.v8.1 transcript:Manes.09G123200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCVSSIPLALSVTQVRYLGLATLRRRYLSSNHWSSARNGRLKSLTTRSSRNSRVLHSEGQEFYGELGFKDKGDEFMELESRMGNDKGSDSPFLNALELKDEKRSGENEERAEQEDLIKVKDDKAIGESGQLNEKMGFRRGRQVIRRFNMLAKQVISIQSARSLGFVSQIWVDTTSWVVLTVEVRPNLLSGEPERFLLEDVRQVGDVVLVEDENVLEVELKMIGLETLVGYRVVTAGRRYIGKVRGFSFDVNSGTVELLELDSFGISIIPSSLVSTYALPIEDVLEVLSDTVIVHEAAASRIQRLTKGFWDAQNVSNSIDEMEEYSDNEISVGSGHGRSTRRSPRSQKKFRSKIRDAEDDWELPMDYL >Manes.09G123200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32559605:32563540:1 gene:Manes.09G123200.v8.1 transcript:Manes.09G123200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCVSSIPLALSVTQVRYLGLATLRRRYLSSNHWSSARNGRLKSLTTRSSRNSRVLHSEGQEFYGELGFKDKGDEFMELESRMGNDKGSDSPFLNALELKDEKRSGENEERAEQEDLIKVKDDKAIGESGQLNEKMGFRRGRQVIRRFNMLAKQVISIQSARSLGFVSQIWVDTTSWVVLTVEVRPNLLSGEPERFLLEDVRQVGDVVLVEDENVLEVELKMIGLETLVGYRVVTAGRRYIGKVRGFSFDVNSGTVELLELDSFGISIIPSSLVSTYALPIEDVLEVLSDTVIVHEAAASRIQRLTKGFWDAQNVSNSIDEMEEYSDNEISVGSGHGRSTRRSPRSQKKFRSKIRDAEDDWELPMDYL >Manes.01G003700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2037666:2042729:1 gene:Manes.01G003700.v8.1 transcript:Manes.01G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL2 MLQCIEGFVSSLLRCFDLDLYKQSRGLEDPERLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIEDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDM >Manes.12G135600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34235090:34263496:-1 gene:Manes.12G135600.v8.1 transcript:Manes.12G135600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTKQGQSLLASRRKSLLLATGILVVGGTAAYVQSRHSSKKPDSFGYSNGLKDNNDKLDKQVTDEYYLKKTIQNKGSLKPLHVLAAVLLSDMGKMGARDLFTMVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSVIHSTSKYVTGTLSLCFRKILTKHIHANYFENMAYYRMSHVDCRITNPEQRIASDVPRFCSELSELVQDDLTAVTDSLLYTWRLCSYAGPKYFFWILAYVVGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRAHAESIAFYGGECREESHIQQKFKDLVKHMRVALHDHWWFGMIQDFLLKYLGATVAVILTMEPFFAGPLRPDTSTLGRATMLSNLRYHTSVITSLFQSLGTLSRSARQLNRLSGYAGRIYELIVVSRELNSDDRAYLQKIGSGNYFSEADHVEFSGVKVVTPTGNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLLSGHIVKPGVGSDLNKEIFYVPQRPYTVIGTLRDQLIYPLTVDQEVEPLTPTGMMELLKNVDLEYLLDRYPAEQEVNWGEELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCTKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWQVSYKRKDSSSLMTNVKKTTATERRSDAVVVQRAFATSDKDSAFSKSKAQSYISEVIVASPRADAGLPLPIFPQLQRAPRVLALRVAAMFRILASTVLDKQGAHLLALAFLVLSRTWVTDRIASLNGTTLKYVLEQDKTSFIQLIGVSILQSAASSFIAPSLRHLKARLALGWRICLTQHLLKKYLRNNAFYKVFHMLGKNIDADQRITHDLEKLSRDFSGLLTGMIKPSVDILWFTWRMKLLMGQKGVAILYAYMLLGLGFLRTTTPDFGDLTSQAQQLEGTFRFIHERLRMHAESIAFFGGGTREKAMIDSRFRELLDHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRALTSNQAELAHALRFLASVVSQSFLAFGDILELHKKFLELSGGVNRLFELEEILDAAQFGDWPTDKLSPSKENDVDAKDFISFTEVDIISPAQKLLAKQLTFDIVQGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLSKPSRHINGDSESGCGIFYVPQQPYTCFGTLRDQIIYPLSHDEAVQMTLKLHREEILDARLKAILENVRLNYLLERDEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYELAHDMNITVVTSSQRPALIPFHSLELRLTDGEGNWELRTIKQ >Manes.12G135600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34235090:34263645:-1 gene:Manes.12G135600.v8.1 transcript:Manes.12G135600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTKQGQSLLASRRKSLLLATGILVVGGTAAYVQSRHSSKKPDSFGYSNGLKDNNDKLDKQVTDEYYLKKTIQNKGSLKPLHVLAAVLLSDMGKMGARDLFTMVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSVIHSTSKYVTGTLSLCFRKILTKHIHANYFENMAYYRMSHVDCRITNPEQRIASDVPRFCSELSELVQDDLTAVTDSLLYTWRLCSYAGPKYFFWILAYVVGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRAHAESIAFYGGECREESHIQQKFKDLVKHMRVALHDHWWFGMIQDFLLKYLGATVAVILTMEPFFAGPLRPDTSTLGRATMLSNLRYHTSVITSLFQSLGTLSRSARQLNRLSGYAGRIYELIVVSRELNSDDRAYLQKIGSGNYFSEADHVEFSGVKVVTPTGNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLLSGHIVKPGVGSDLNKEIFYVPQRPYTVIGTLRDQLIYPLTVDQEVEPLTPTGMMELLKNVDLEYLLDRYPAEQEVNWGEELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCTKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWQVSYKRKDSSSLMTNVKKTTATERRSDAVVVQRAFATSDKDSAFSKSKAQSYISEVIVASPRADAGLPLPIFPQLQRAPRVLALRVAAMFRILASTVLDKQGAHLLALAFLVLSRTWVTDRIASLNGTTLKYVLEQDKTSFIQLIGVSILQSAASSFIAPSLRHLKARLALGWRICLTQHLLKKYLRNNAFYKVFHMLGKNIDADQRITHDLEKLSRDFSGLLTGMIKPSVDILWFTWRMKLLMGQKGVAILYAYMLLGLGFLRTTTPDFGDLTSQAQQLEGTFRFIHERLRMHAESIAFFGGGTREKAMIDSRFRELLDHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRALTSNQAELAHALRFLASVVSQSFLAFGDILELHKKFLELSGGVNRLFELEEILDAAQFGDWPTDKLSPSKENDVDAKDFISFTEVDIISPAQKLLAKQLTFDIVQGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLSKPSRHINGDSESGCGIFYVPQQPYTCFGTLRDQIIYPLSHDEAVQMTLKLHREDKISDDTTEILDARLKAILENVRLNYLLERDEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYELAHDMNITVVTSSQRPALIPFHSLELRLTDGEGNWELRTIKQ >Manes.12G135600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34235090:34263496:-1 gene:Manes.12G135600.v8.1 transcript:Manes.12G135600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTKQGQSLLASRRKSLLLATGILVVGGTAAYVQSRHSSKKPDSFGYSNGLKDNNDKLDKQVTDEYYLKKTIQNKGSLKPLHVLAAVLLSDMGKMGARDLFTMVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSVIHSTSKYVTGTLSLCFRKILTKHIHANYFENMAYYRMSHVDCRITNPEQRIASDVPRFCSELSELVQDDLTAVTDSLLYTWRLCSYAGPKYFFWILAYVVGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRAHAESIAFYGGECREESHIQQKFKDLVKHMRVALHDHWWFGMIQDFLLKYLGATVAVILTMEPFFAGPLRPDTSTLGRATMLSNLRYHTSVITSLFQSLGTLSRSARQLNRLSGYAGRIYELIVVSRELNSDDRAYLQKIGSGNYFSEADHVEFSGVKVVTPTGNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLLSGHIVKPGVGSDLNKEIFYVPQRPYTVIGTLRDQLIYPLTVDQEVEPLTPTGMMELLKNVDLEYLLDRYPAEQEVNWGEELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCTKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWQVSYKRKDSSSLMTNVKKTTATERRSDAVVVQRAFATSDKDSAFSKSKAQSYISEVIVASPRADAGLPLPIFPQLQRAPRVLALRVAAMFRILASTVLDKQGAHLLALAFLVLSRTWVTDRIASLNGTTLKYVLEQDKTSFIQLIGVSILQSAASSFIAPSLRHLKARLALGWRICLTQHLLKKYLRNNAFYKVFHMLGKNIDADQRITHDLEKLSRDFSGLLTGMIKPSVDILWFTWRMKLLMGQKGVAILYAYMLLGLGFLRTTTPDFGDLTSQAQQLEGTFRFIHERLRMHAESIAFFGGGTREKAMIDSRFRELLDHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRALTSNQAELAHALRFLASVVSQSFLAFGDILELHKKFLELSGGVNRLFELEEILDAAQFGDWPTDKLSPSKENDVDAKDFISFTEVDIISPAQKLLAKQLTFDIVQGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLSKPSRHINGDSESGCGIFYVPQQPYTCFGTLRDQIIYPLSHDEAVQMTLKLHREEILDARLKAILENVRLNYLLERDEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYELAHDMNITVVTSSQRPALIPFHSLELRLTDGEGNWELRTIKQ >Manes.12G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34235090:34263496:-1 gene:Manes.12G135600.v8.1 transcript:Manes.12G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTKQGQSLLASRRKSLLLATGILVVGGTAAYVQSRHSSKKPDSFGYSNGLKDNNDKLDKQVTDEYYLKKTIQNKGSLKPLHVLAAVLLSDMGKMGARDLFTMVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSVIHSTSKYVTGTLSLCFRKILTKHIHANYFENMAYYRMSHVDCRITNPEQRIASDVPRFCSELSELVQDDLTAVTDSLLYTWRLCSYAGPKYFFWILAYVVGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRAHAESIAFYGGECREESHIQQKFKDLVKHMRVALHDHWWFGMIQDFLLKYLGATVAVILTMEPFFAGPLRPDTSTLGRATMLSNLRYHTSVITSLFQSLGTLSRSARQLNRLSGYAGRIYELIVVSRELNSDDRAYLQKIGSGNYFSEADHVEFSGVKVVTPTGNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLLSGHIVKPGVGSDLNKEIFYVPQRPYTVIGTLRDQLIYPLTVDQEVEPLTPTGMMELLKNVDLEYLLDRYPAEQEVNWGEELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCTKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWQVSYKRKDSSSLMTNVKKTTATERRSDAVVVQRAFATSDKDSAFSKSKAQSYISEVIVASPRADAGLPLPIFPQLQRAPRVLALRVAAMFRILASTVLDKQGAHLLALAFLVLSRTWVTDRIASLNGTTLKYVLEQDKTSFIQLIGVSILQSAASSFIAPSLRHLKARLALGWRICLTQHLLKKYLRNNAFYKVFHMLGKNIDADQRITHDLEKLSRDFSGLLTGMIKPSVDILWFTWRMKLLMGQKGVAILYAYMLLGLGFLRTTTPDFGDLTSQAQQLEGTFRFIHERLRMHAESIAFFGGGTREKAMIDSRFRELLDHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRALTSNQAELAHALRFLASVVSQSFLAFGDILELHKKFLELSGGVNRLFELEEILDAAQFGDWPTDKLSPSKENDVDAKDFISFTEVDIISPAQKLLAKQLTFDIVQGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLSKPSRHINGDSESGCGIFYVPQQPYTCFGTLRDQIIYPLSHDEAVQMTLKLHREDKISDDTTEILDARLKAILENVRLNYLLERDEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYELAHDMNITVVTSSQRPALIPFHSLELRLTDGEGNWELRTIKQ >Manes.12G135600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34235090:34263496:-1 gene:Manes.12G135600.v8.1 transcript:Manes.12G135600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTKQGQSLLASRRKSLLLATGILVVGGTAAYVQSRHSSKKPDSFGYSNGLKDNNDKLDKQVTDEYYLKKTIQNKGSLKPLHVLAAVLLSDMGKMGARDLFTMVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSVIHSTSKYVTGTLSLCFRKILTKHIHANYFENMAYYRMSHVDCRITNPEQRIASDVPRFCSELSELVQDDLTAVTDSLLYTWRLCSYAGPKYFFWILAYVVGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRAHAESIAFYGGECREESHIQQKFKDLVKHMRVALHDHWWFGMIQDFLLKYLGATVAVILTMEPFFAGPLRPDTSTLGRATMLSNLRYHTSVITSLFQSLGTLSRSARQLNRLSGYAGRIYELIVVSRELNSDDRAYLQKIGSGNYFSEADHVEFSGVKVVTPTGNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLLSGHIVKPGVGSDLNKEIFYVPQRPYTVIGTLRDQLIYPLTVDQEVEPLTPTGMMELLKNVDLEYLLDRYPAEQEVNWGEELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCTKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWQVSYKRKDSSSLMTNVKKTTATERRSDAVVVQRAFATSDKDSAFSKSKAQSYISEVIVASPRADAGLPLPIFPQLQRAPRVLALRVAAMFRILASTVLDKQGAHLLALAFLVLSRTWVTDRIASLNGTTLKYVLEQDKTSFIQLIGVSILQSAASSFIAPSLRHLKARLALGWRICLTQHLLKKYLRNNAFYKVFHMLGKNIDADQRITHDLEKLSRDFSGLLTGMIKPSVDILWFTWRMKLLMGQKGVAILYAYMLLGLGFLRTTTPDFGDLTSQAQQLEGTFRFIHERLRMHAESIAFFGGGTREKAMIDSRFRELLDHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRALTSNQAELAHALRFLASVVSQSFLAFGDILELHKKFLELSGGVNRLFELEEILDAAQFGDWPTDKLSPSKENDVDAKDFISFTEVDIISPAQKLLAKQLTFDIVQGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLSKPSRHINGDSESGCGIFYVPQQPYTCFGTLRDQIIYPLSHDEAVQMTLKLHREEILDARLKAILENVRLNYLLERDEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYELAHDMNITVVTSSQRPALIPFHSLELRLTDGEGNWELRTIKQ >Manes.12G135600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34235090:34263645:-1 gene:Manes.12G135600.v8.1 transcript:Manes.12G135600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTKQGQSLLASRRKSLLLATGILVVGGTAAYVQSRHSSKKPDSFGYSNGLKDNNDKLDKQVTDEYYLKKTIQNKGSLKPLHVLAAVLLSDMGKMGARDLFTMVAIAVLRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSVIHSTSKYVTGTLSLCFRKILTKHIHANYFENMAYYRMSHVDCRITNPEQRIASDVPRFCSELSELVQDDLTAVTDSLLYTWRLCSYAGPKYFFWILAYVVGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRAHAESIAFYGGECREESHIQQKFKDLVKHMRVALHDHWWFGMIQDFLLKYLGATVAVILTMEPFFAGPLRPDTSTLGRATMLSNLRYHTSVITSLFQSLGTLSRSARQLNRLSGYAGRIYELIVVSRELNSDDRAYLQKIGSGNYFSEADHVEFSGVKVVTPTGNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLLSGHIVKPGVGSDLNKEIFYVPQRPYTVIGTLRDQLIYPLTVDQEVEPLTPTGMMELLKNVDLEYLLDRYPAEQEVNWGEELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCTKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWQVSYKRKDSSSLMTNVKKTTATERRSDAVVVQRAFATSDKDSAFSKSKAQSYISEVIVASPRADAGLPLPIFPQLQRAPRVLALRVAAMFRILASTVLDKQGAHLLALAFLVLSRTWVTDRIASLNGTTLKYVLEQDKTSFIQLIGVSILQSAASSFIAPSLRHLKARLALGWRICLTQHLLKKYLRNNAFYKVFHMLGKNIDADQRITHDLEKLSRDFSGLLTGMIKPSVDILWFTWRMKLLMGQKGVAILYAYMLLGLGFLRTTTPDFGDLTSQAQQLEGTFRFIHERLRMHAESIAFFGGGTREKAMIDSRFRELLDHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRALTSNQAELAHALRFLASVVSQSFLAFGDILELHKKFLELSGGVNRLFELEEILDAAQFGDWPTDKLSPSKENDVDAKDFISFTEVDIISPAQKLLAKQLTFDIVQGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLSKPSRHINGDSESGCGIFYVPQQPYTCFGTLRDQIIYPLSHDEAVQMTLKLHREDKISDDTTEILDARLKAILENVRLNYLLERDEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYELAHDMNITVVTSSQRPALIPFHSLELRLTDGEGNWELRTIKQ >Manes.07G035700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3861517:3861888:-1 gene:Manes.07G035700.v8.1 transcript:Manes.07G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTRVLLLALLVLLMTSAMAHSSAPSPSKCASTPSPAASPPSPTPVPHVESPAASPNTPHATAPSPTTGESPTSLPSSAPSGHTAASSPAKAPGPSATGAVSSRLSIVGLMSVGLLGAAFVI >Manes.01G073112.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27518330:27519313:-1 gene:Manes.01G073112.v8.1 transcript:Manes.01G073112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVIIVTAHYYDYYYIYALKAILGYGHLTICCWIKISRNINYSLLLRLRLNDPDLVNDLYFLLELKIGQQMVSLAPGLKILLWQSRNLARKSRRGRRN >Manes.14G150600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17064797:17065633:-1 gene:Manes.14G150600.v8.1 transcript:Manes.14G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQIPAELLIARITQIHNSISKLNSLRPSKQVNTLFSHLVKLCISPSSIDIRLLSPEVQEMRNNLIVLCGKAESLLELESAIFLNKIPQPLDNLNLFPYYENYVKLANLEYKILSENGVVQPKKVAFVGSGPMPLTSLVMATHHLKATHFDNFDLDEAANDVARQMVASDGELEKRMKFETCDVMEMREKLGEYDCIFLAALVGMSKEEKVKILGHIRKYMKKGGILLVRSANGARAFLYPVVEEPDLVGFEVLSIFHPTNDVINSVVLARKPIAF >Manes.13G056102.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6474246:6476141:-1 gene:Manes.13G056102.v8.1 transcript:Manes.13G056102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSTLPIAAAYPSFSPKLDSKSNTSPKRKTFLSQLQSCKNINQVIPIHAKIIRTNHDQDPFIVFELLRVCSNLKSIDYASKIFSCTQQPNVYLYTVLIDGFVLSGYYIDGIHLYYQMINLSILPDNYAITSVLKACGYQLALQECREVHCQILKLGLSSNRSIRMKLMEVYGKCGVLEDAKQVFDEMPEQDVVASTIMINSFFHHGLIQEATGIFNSVKTKDTVCWTAMIDGLVRNGEMNLALELFREMQRLNVRPNEFTVVCVLSACSRLGTLELGRWVHSYMGRYGIELNHFVGGALINMYWRCGDIDEAWRIFEEMKERNVITYNSMIMGLALHGKSSQAIELFRRMTKQGLAPTSVTFVGVLSACSHGGLADLGFEIFHSMARYYGIEPQIEHYGCMVDLLGRLGMLKEAYHFIRTMKIAPDHVMLGALLSACKIHGNLELGEEIAKSLVNCGNADSGTYVLLSNAYSSSGKWKEAAQVRAKMKGEGIEKEPGCSSIEVNNEIHEFILGDLRHPQKEEIYKKLEEMNEILRLEGYTPATEVVLHDIEKWQKEWALAIHSERLAICYGLISTKPFTTIRVVKNLRVCDDCHSMIKLISKITKRKIVVRDRNRFHHFENGTCSCGDYW >Manes.08G081000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25105673:25108925:-1 gene:Manes.08G081000.v8.1 transcript:Manes.08G081000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLPILMAIVLALCSFCIFPELVLAKHAGITRHYKFNIVMQNVTRLCQTKSIVTVNGKFPGPRIIAREGDRLLIKVVNHVQYNVTLHWHGIRQLRSGWADGPAYITQCPIQTGQTYVYNFTVTGQRGTLFWHAHISWLRVTLYGPLVILPKKHASYPFPQPHKEVPVLFGEWWKADTETIINQATQAGGAPNISDAYTINGLPGPLYNCSAKDTFKLKLKPGKTYLLRLINAALNDELFFSIANHSLTVVETDAVYVKPFKTQTLLITPGQTMNVLLKAKATAPNATFLMAARPYATGPATFDNTTTAAILEYEKPSLSNSNNKKRDPLLRPSLPMFNDTAFSMKFFQKIRSLATGNFPAKVPKKIDRRFFFTVGLGILPCSKNQTCQGPNNTRVAASINNVSFVQPNVALLQAHFFNQSKGVYTTDFPANPPFKFNYTGTPPSNIMATTGTKVVVLPFNTNVELVLQDTSIIGAESHPLHLHGFNFFIVGQGLGNFNSSKDPAKFNLVDPAERNTVSVPSGGWVAIRFHADNPGVWFMHCHLEVHTSWGLKMAWVVMDGKKQNQKLPPPPSDLPKC >Manes.13G126100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33332794:33335777:-1 gene:Manes.13G126100.v8.1 transcript:Manes.13G126100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMVSSANPKGIAAIVGVGPKLGRSIARKFAHEGYTVAILSRDLGRLSRFADEIAREEKAQVFAIRIDCSDPRSVREAFEGVLSLGFVEVLIYNAYQPCSWLPTSFTDIRIDSFEKSLAVSSVGAFLCSQQVIPGMVERGKGTILFTGCSASLHGIAGFSDLCCGKFALRALSQCLAREFQPLGVHIAHVIIDGIVGPPRGPSSSQRTLMGEQQQQQSIGGEGVMDPDSLAQTYWHLHVQDRTAWTQEIDLRPSNSIPRFC >Manes.13G126100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33332794:33335777:-1 gene:Manes.13G126100.v8.1 transcript:Manes.13G126100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMVSSANPKGIAAIVGVGPKLGRSIARKFAHEGYTVAILSRDLGRLSRFADEIAREEKAQVFAIRIDCSDPRSVREAFEGVLSLGFVEVLIYNAYQPCSWLPTSFTDIRIDSFEKSLAVSSVGAFLCSQQVIPGMVERGKGTILFTGCSASLHGIAGFSDLCCGKFALRALSQCLAREFQPLGVHIAHVIIDGIVGPPRGPSSSQRTLMGEQQQQQSIGGEGVMDPDSLAQTYWHLHVQDRTAWTQEIDLRPSNSIPRFC >Manes.13G126100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33332794:33335777:-1 gene:Manes.13G126100.v8.1 transcript:Manes.13G126100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMVSSANPKGIAAIVGVGPKLGRSIARKFAHEGYTVAILSRDLGRLSRFADEIAREEKAQVFAIRIDCSDPRSVREAFEGVLSLGFVEVLIYNAYQPCSWLPTSFTDIRIDSFEKSLAVSSVGAFLCSQQVIPGMVERGKGTILFTGCSASLHGIAGFSDLCCGKFALRALSQCLAREFQPLGVHIAHVIIDGIVGPPRGPSSSQRTLMGEQQQQQSIGGEGVMDPDSLAQTYWHLHVQDRTAWTQEIDLRPSNSIPRFC >Manes.03G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24024694:24028636:-1 gene:Manes.03G114500.v8.1 transcript:Manes.03G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHTMSPHGLFPLSKSKKPTSRTLPNSYSLGSNFPQTPIFSRSKQRAESWLLNSVVKEELDVIPVQSGDSTDQQEGVVLSQVESEGTELATQVTGFGSNEGQLSLEGFSSASSSGIGDDGGRQSELEMDRFIDRSINAMIVLAAGSCAITKLLTIDHDYWHGWTLLEILRYVPQHNWTAYEEALKTNPVLAKMMISGVVYSIGDWIAQCFEGKPLFEFDRARMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPAKVAFDQTVWAAIWNSIYYIVLGFLRFESPVNIFSELKATFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAPAEASSNSLLKGSHEE >Manes.01G056450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23997861:24056598:-1 gene:Manes.01G056450.v8.1 transcript:Manes.01G056450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSAATANLIYSISCDRRHAHVPCVLYNFKNYNRFLLRKCDRPSSIGRFRVCGESKTEENKVRRCSPCLEKTLLTSQSNVALASDEWRAVPDIWRTSAEKYGDRVALVDPYHDPPTKMTYKELEQEILHFSEGLRVIGVKPNEKLALFADNSCRWLVADQGLMAIGAINTVRGSRSSVEELLQIYNHSESVAIVVDNPELFNRIEKTFSSQAVIRFIILLWGDKSCLDLAINAVDGTSVFNYKEIIDLGRESRRSLFDSDNASQHYMYETINSDDIATIVYTSGTTGNPKGVMLTHKNLLHQINNLWEIVPALPGDRFLSMLPPWHAYERACEYFIMTCGVEQVYTTVRNLREDLKHYQPHYMISVPLVYETLYSGVHKQISTSSTIRKLVAFAFIKVSLAYTKLKRIYEGTYLTRSRKEPPYLVLVLDCLWARIVAAILLPMHILADKLVYSKIRSAIGMSKAGISGGGSLPSYVDEFFEAIGVKVQNGYGMTESSPVTAARRPTCNNPMATKQVLDEDGWLNTGDIGWIAPHHSVGRSRHCGGVIVLEGRAKDTIVLSTGENVEPSELEEAALRSALIQQIVVVGQDQRRLGAIVVPNKEEVLLAAKQLSIIDANASDLSKEKMTSLVDEELRKWTSGCSFQIGPILLVDEPFTIEDGLMTPTMKIRRDRVLERYREQIANLYK >Manes.01G056450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23997861:24056598:-1 gene:Manes.01G056450.v8.1 transcript:Manes.01G056450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSAATANLIYSISCDRRHAHVPCVLYNFKNYNRFLLRKCDRPSSIGRFRVCGESKTEENKVRRCSPCLEKTLLTSQSNVALASDEWRAVPDIWRTSAEKYGDRVALVDPYHDPPTKMTYKELEQEILHFSEGLRVIGVKPNEKLALFADNSCRWLVADQGLMAIGAINTVRGSRSSVEELLQIYNHSESVAIVVDNPELFNRIEKTFSSQAVIRFIILLWGDKSCLDLAINAVDGTSVFNYKEIIDLGRESRRSLFDSDNASQHYMYETINSDDIATIVYTSGTTGNPKGVMLTHKNLLHQINNLWEIVPALPGDRFLSMLPPWHAYERACEYFIMTCGVEQVYTTVRNLREDLKHYQPHYMISVPLVYETLYSGVHKQISTSSTIRKLVAFAFIKVSLAYTKLKRIYEGTYLTRSRKEPPYLVLVLDCLWARIVAAILLPMHILADKLVYSKIRSAIGMSKAGISGGGSLPSYVDEFFEAIGVKVQNGYGMTESSPVTAARRPTCNVLGSIGHPIQYTEFKVIDAESGETLPDGSKGIVKVKGSQVMLGYYKNPMATKQVLDEDGWLNTGDIGWIAPHHSVGRSRHCGGVIVLEGRAKDTIVLSTGENVEPSELEEAALRSALIQQIVVVGQDQRRLGAIVVPNKEEVLLAAKQLSIIDANASDLSKEKMTSLVDEELRKWTSGCSFQIGPILLVDEPFTIEDGLMTPTMKIRRDRVLERYREQIANLYK >Manes.01G056450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23997861:24056598:-1 gene:Manes.01G056450.v8.1 transcript:Manes.01G056450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSAATANLIYSISCDRRHAHVPCVLYNFKNYNRFLLRKCDRPSSIGRFRVCGESKTEENKVRRCSPCLEKTLLTSQSNVALASDEWRAVPDIWRTSAEKYGDRVALVDPYHDPPTKMTYKELEQEILHFSEGLRVIGVKPNEKLALFADNSCRWLVADQGLMAIGAINTVRGSRSSVEELLQIYNHSESVAIVVDNPELFNRIEKTFSSQAVIRFIILLWGDKSCLDLAINAVDGTSVFNYKEIIDLGRESRRSLFDSDNASQHYMYETINSDDIATIVYTSGTTGNPKGVMLTHKNLLHQINNLWEIVPALPGDRFLSMLPPWHAYERACEYFIMTCGVEQVYTTVRNLREDLKHYQPHYMISVPLVYETLYSGVHKQISTSSTIRKLVAFAFIKVSLAYTKLKRIYEGTYLTRSRKEPPYLVLVLDCLWARIVAAILLPMHILADKLVYSKIRSAIGMSKAGISGGGSLPSYVDEFFEAIGVKVQNGYGMTESSPVTAARRPTCNVLGSIGHPIQYTEFKVIDAESGETLPDGSKGIVKVKGSQVMLGYYKNPMATKQVLDEDGWLNTGDIGWIAPHHSVGRSRHCGGVIVLEGRAKDTIVLSTGENVEPSELEEAALRSALIQQIVVVGQDQRRLGAIVVPNKEEVLLAAKQLSIIDANASDLSKEKMTSLVDEELRK >Manes.12G110400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30714694:30721204:1 gene:Manes.12G110400.v8.1 transcript:Manes.12G110400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRATAAWVASRSSHVIVDSAGIEKAVENIREIPRIEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKEALENDKSVFDADRLQKFTGPQLRKVLKWPRQLPLEDERIRLLHEVGFELERSFGGKASNLVESCGKSAAKLVATVTSLFPGFRDHSLYKGHQVFLYKRAQIFAADLYGAFKGRGYGEFKDIGSITIFADYIVPAVLQQLGVLKYSSTLGSMIKSDTEILSGSEEEVELRACSIFAVEKMRDLLRVKSGKQVLSIELDLWLWAFGVRCPSLQHHRTLSIYY >Manes.12G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30717468:30720564:1 gene:Manes.12G110400.v8.1 transcript:Manes.12G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDRVTYSAINAIFMQFTNVFSPSFGSCLFFADKDLSYDHLASGLKEALENDKSVFDADRLQKFTGPQLRKVLKWPRQLPLEDERIRLLHEVGFELERSFGGKASNLVESCGKSAAKLVATVTSLFPGFRDHSLYKGHQVFLYKRAQIFAADLYGAFKGRGYGEFKDIGSITIFADYIVPAVLQQLGVLKYSSTLGSMIKSDTEILSGSEEEVELRACSIFAVEKMRDLLRVKSGKQVLSIELDLWLWAFGVRCPSLQHHRTLSIYY >Manes.18G057200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4852101:4856256:1 gene:Manes.18G057200.v8.1 transcript:Manes.18G057200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRVKLHIQERHVVMDNGILQVTISKPEGIVTGIRYNGISNLLEVRNDEPNGGYWDLVWSKEGSTGTTGTQNVIKGTNFKVIMENEEQVETSFTKLWDPSLEGQLAPLNIDKRFIMLRNSSGFYSYAIYEHLQEWPAFNVPQTRIVFKLRKDKFRYMVVADNRQRFMPLPEDRLPPRGKPLDVPEAVLLVDPVEPEFKGEVDDKYQYSCENRNLQVHGWICFDPPVGFWQITPSNEFRSGGPLKQNLTSHVGPVTLAMFLSAHYVGEDMVLKFKSGEPWKKVFGPVFIYLNTLLDANDPQLLWEDAKEQMLIEVQSWPYSFPASEDFPTCSQRGNVSGRLLVRDSCASDFDIPANRAYVGLAPPGDVGSWQTESKGYQFWAEADADGYFSINNIRTGFYNLYGWVPGFIGDYRYDEAITITEGCDIDLGDIVYEPPRDGPTLWEIGMPDRSAAEFYVPDPDPMYINKLYVNHPDRFRQYGLWTRYTDLYPDSDLVYTIGTSDYSKDWFYAQVPRKTGDGNYQGTTWQIKFRLENPNKSGTYNLRLALATANSAELQVRINDPGTNLPLFSTGVIGHDNTITRHGIHGLYRLYSVEIPGAQLLEEDNTIFLTQTMVTSPFQAGIMYDYIRLEGPASSNS >Manes.18G057200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4852101:4856256:1 gene:Manes.18G057200.v8.1 transcript:Manes.18G057200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRVVMDNGILQVTISKPEGIVTGIRYNGISNLLEVRNDEPNGGYWDLVWSKEGSTGTTGTQNVIKGTNFKVIMENEEQVETSFTKLWDPSLEGQLAPLNIDKRFIMLRNSSGFYSYAIYEHLQEWPAFNVPQTRIVFKLRKDKFRYMVVADNRQRFMPLPEDRLPPRGKPLDVPEAVLLVDPVEPEFKGEVDDKYQYSCENRNLQVHGWICFDPPVGFWQITPSNEFRSGGPLKQNLTSHVGPVTLAMFLSAHYVGEDMVLKFKSGEPWKKVFGPVFIYLNTLLDANDPQLLWEDAKEQMLIEVQSWPYSFPASEDFPTCSQRGNVSGRLLVRDSCASDFDIPANRAYVGLAPPGDVGSWQTESKGYQFWAEADADGYFSINNIRTGFYNLYGWVPGFIGDYRYDEAITITEGCDIDLGDIVYEPPRDGPTLWEIGMPDRSAAEFYVPDPDPMYINKLYVNHPDRFRQYGLWTRYTDLYPDSDLVYTIGTSDYSKDWFYAQVPRKTGDGNYQGTTWQIKFRLENPNKSGTYNLRLALATANSAELQVRINDPGTNLPLFSTGVIGHDNTITRHGIHGLYRLYSVEIPGAQLLEEDNTIFLTQTMVTSPFQAGIMYDYIRLEGPASSNS >Manes.14G015000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1090918:1096606:1 gene:Manes.14G015000.v8.1 transcript:Manes.14G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQMTVPICCRVLIATILLLFSSVAQTVGNFPVGSALTAVVGASQWLSPSGDFAFGFKQLENKDFFLLSIWCENIPEKTVVWYANGDNPAPTGSKVELTADHGLVLRNPLGEQIWSSNITDGLVSQGVMRDTGNFVLQNASSDELWQSFDHPTDTILPTQQIEIGGVLISRLTETNFSQGRFQLRLQEDGNLLLNPINLETQYAYDAYYVSRTSDTRNSSNSGYAFGFSDSGALYILKRNGDAILLTTATQSAADFYRRATLNFDGVFAQYSYPKRSMGSANWTVIWAEPENICTVINGTLGSGACGYNSICSLDERRRPACACPEGFSLLNLEDEYGSCKPDYEVGCEEDWLGPMEDSVDFVVLNNTDWPSSDYELLRPYREEECRNSCLHDCLCAVAIFRGSSCWKKKLPLSNGRFDRSIEGKAFVKIKKDYSLTGKRKSWRIVVVITVCVLLGSSMFINLVLCLRYFFYLKKRRENGQGRISVETSVHCFTYKELARATNDFKQELGRGAFGVVYKGVMQIGSVSAIAVKELDNMVQDGEREFNTEVHVIGRTQHKNLVRLIGFCDEGHHRLLVYEYLSNGTLAQMIFEKSKPSWSRRTEMATGIASGLLYLHEECGNTPIIHCDIKPQNILIDDNGNPRISDFGLAKLLRMDQSQTSTVIRGTRGYIAPEWFKRTPITVKVDVYSYGVMLLEIVYCRRHVYVETDGEEAILIDLAYDCYREGTVHILVEDDADAMNDLEKLERLVKIAIWCVQEDPSLRPSMKKVLQMVEGVVEVPVPPNPHWFSADY >Manes.03G076166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13228421:13229060:1 gene:Manes.03G076166.v8.1 transcript:Manes.03G076166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRLKEKNIGYVVLSDTYCIFHYFFCHTRTLKLLLVLACIRPSIFKMISLQICSCNNKRRITCNKINTYGELSFLGEHAFMSYILKVYNTSWIKSQFPPKKNPHLIHIQTQFLNLNTAYFYYRVIFLTAL >Manes.09G156000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35155327:35158299:1 gene:Manes.09G156000.v8.1 transcript:Manes.09G156000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISPNPTLTLKRIHGIRCCSGTPGNEKWSRVETKAKTPGVLKFAVSGVTELLRLFSFSSKDSLDRVSCEERDEISVSGIDDVVMILMADYENAYFVTGIFTSAIYAEDCLFEDPTIRFRGTELYARNLKLLVPFFDSPSIRLQDIEKGVNSETKFVQAKWKLRTYLKFPWRPLISIDGNTIYEINDEFKVVRHAESWNVSALEAIGQIFTPSYEKPGD >Manes.09G156000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35155327:35159050:1 gene:Manes.09G156000.v8.1 transcript:Manes.09G156000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISPNPTLTLKRIHGIRCCSGTPGNEKWSRVETKAKTPGVLKFAVSGVTELLRLFSFSSKDSLDRVSCEERDEISVSGIDDVVMILMADYENAYFVTGIFTSAIYAEDCLFEDPTIRFRGTELYARNLKLLVPFFDSPSIRLQDIEKGVNSETKFVQAKWKLRTYLKFPWRPLISIDGNTIYEINDEFKVVRHAESWNVSALEAIGQIFTPSYEKPDEKQKQS >Manes.18G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6947755:6950943:-1 gene:Manes.18G076300.v8.1 transcript:Manes.18G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSKPIILLLIFINVVAVVTSKSTIEPCSNNDSCNALLGYTLYTDLKVSEVASLFQIDPIAFLTANSIDISYPDIENYILPSQLFVKVPIVCSCADGIRKSVSTHYKTRPSDTLSSIADSIYSGLVSADQLQEANSIQDPSVLDVGQSLVVPLPCTCFNGTDNSLPAIYLSYVVKEIDTLVGIASRYSITITDLMNVNAMGNPAIKAGDIIAVPLPACASKFTIYAFDYGLIVANGSYAISASHCVQCSCGPENLELYCMPASLAVSCSSMQCKNSNLMLGNVTWKQSSAGCNVTSCSYGGYVNGSIMTTLSTSLQPRCPGPQQLPSLIAPPTSVTRDSVLGPAPAPAPQSAGSGTTTPKSTVVPTTGTILGLPPLGGPIGGASGACSLVNPLATYLITLVLYQFTNFMSSLSL >Manes.01G183500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36169036:36188175:-1 gene:Manes.01G183500.v8.1 transcript:Manes.01G183500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSKRQLVAMEMIYRFRILLPNGMTVGLRLTDPGSEILFEDFIKLVKEEYLLSQRQSESKRKSPLNWNGANLYLEDANDNKIRHMMNLANYKPHKCHILRLHDGSALTTDTYENMWDLTPDTDLLRELPEEYTFETALADLIDNSLQAVWSNGKNDRRLIRVDFTTKEDRISIFDTGPGMDNSDEKSIVKWGKIGASLHRSSKTQAIGGRPPYLMPYFGMFGYGGPMASMHLGRRAIVSSKTKESRKVYTLHLEREALLSSTHSELTWRTKGSMRNPTDDENRESRQGSFTKVEILELRVKNMDIFQLQCRLKDIYFPYIQCDDLSNKGKTTTPITFQVNGVDLAEIEGGEVSITNFHSCNGPEFVLQLHFSINQDDIGLKPGLRSPREANACLKCVYFPIVEGKESIEKILEKLEAGGCGIAENFETFSRVSIRRLGRLLPDARWASLPFMESRQKKGDKAYLLKRCCSRVKCYVETDAGFNPTPSKTDLAHHNPFTIALKNLGRKMFEKEKVINVEISRNGKLITPLQLEKEYQAWVLQMHDHYDEEVGYGEDDPILIVSPTNKKHLGISSDVVRVYQILKRKGASWKRGQKIKVLKGACPGLYKSNVYATLEYFLIEGFEGDAGGEARIICRPLDVEDEDGCVLELKNGIASFDIRRSLSLPFSVVDSGKCMAIERIEWNNQLEKRRLRAPSTIDLLDAEHCRELEVGGALPFDATVDVGQVPPLEVVAVVRPASYDASSTSNSLDQKYIFKDTTEMSMVVTFRRAAKGCGSADHIYSKRVAPSCHKGLNGLYIFPLGQKFPKLFRRPGVYRFLFSLVGSSCKECEKNVVVRADAAKWKLLSNNGHRPYVVRVGSTLQPITIACFDKYGNQIPIVSPPEIRVILKLRGSHAQIDKVKTRLSSDKLMLEVMDLLIESSELDKVRPSYEAILLIFLQDEQDPLSIPCKVTPGSLDHVRPRPPFPENQLFPGFVFKELILEMFDVYGNHVAKDVEVKLNTDGFYILDQIGSSRKVDDNGCIDLSGLVKVTAGFGKTVSISISSSNKIVFKLEFQTGKRELRIASKVPDYLAPGSRLENLVFEVVNSEGDVDETIHDEDKYSQSHMLTIKSDSFKLDDCVGYAFRHGRCTVPVVPVPAVEGNFCLTVAHSCYPELHLSIKLSVFQTRNMEYDDVQSPCSHGKLLLLEDSSMQKNVGNTSSLDNAGNLMRSIVKLEKGLEEEIFKYGQRIGRCENQLKELNEFKADHEQRLAELQASAELQLFNNVNYLSTKEEIIEQIKSGRNSAAATICHISGNFSFLETQKLFTLDIIGLVALLGTVHSDKLSRILAEYLGEDQMLAIVCSSYEAASTLEKYKENGEVDCNLAFHSVAAALGKHISGRFLVICLEDIRAYTGEVDESDPQRRLALPDPILCSGNTPSGFIGYAVNMINLDVHRLRFKTRSGNGLRETLFYRLFGELQVYGTRQHMIEARASIKHGAVSLDGGILRENGIISLGYGNPEICFPVEMRDEVGSPRSIEIKKQMEEKERNLQIIESQIEKSNRNREKALKKFRKKYEQYNKFIDHMEPALQVYRCDNS >Manes.01G183500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36169036:36185092:-1 gene:Manes.01G183500.v8.1 transcript:Manes.01G183500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCNRRAIVSSKTKESRKVYTLHLEREALLSSTHSELTWRTKGSMRNPTDDENRESRQGSFTKVEILELRVKNMDIFQLQCRLKDIYFPYIQCDDLSNKGKTTTPITFQVNGVDLAEIEGGEVSITNFHSCNGPEFVLQLHFSINQDDIGLKPGLRSPREANACLKCVYFPIVEGKESIEKILEKLEAGGCGIAENFETFSRVSIRRLGRLLPDARWASLPFMESRQKKGDKAYLLKRCCSRVKCYVETDAGFNPTPSKTDLAHHNPFTIALKNLGRKMFEKEKVINVEISRNGKLITPLQLEKEYQAWVLQMHDHYDEEVGYGEDDPILIVSPTNKKHLGISSDVVRVYQILKRKGASWKRGQKIKVLKGACPGLYKSNVYATLEYFLIEGFEGDAGGEARIICRPLDVEDEDGCVLELKNGIASFDIRRSLSLPFSVVDSGKCMAIERIEWNNQLEKRRLRAPSTIDLLDAEHCRELEVGGALPFDATVDVGQVPPLEVVAVVRPASYDASSTSNSLDQKYIFKDTTEMSMVVTFRRAAKGCGSADHIYSKRVAPSCHKGLNGLYIFPLGQKFPKLFRRPGVYRFLFSLVGSSCKECEKNVVVRADAAKWKLLSNNGHRPYVVRVGSTLQPITIACFDKYGNQIPIVSPPEIRVILKLRGSHAQIDKVKTRLSSDKLMLEVMDLLIESSELDKVRPSYEAILLIFLQDEQDPLSIPCKVTPGSLDHVRPRPPFPENQLFPGFVFKELILEMFDVYGNHVAKDVEVKLNTDGFYILDQIGSSRKVDDNGCIDLSGLVKVTAGFGKTVSISISSSNKIVFKLEFQTGKRELRIASKVPDYLAPGSRLENLVFEVVNSEGDVDETIHDEDKYSQSHMLTIKSDSFKLDDCVGYAFRHGRCTVPVVPVPAVEGNFCLTVAHSCYPELHLSIKLSVFQTRNMEYDDVQSPCSHGKLLLLEDSSMQKNVGNTSSLDNAGNLMRSIVKLEKGLEEEIFKYGQRIGRCENQLKELNEFKADHEQRLAELQASAELQLFNNVNYLSTKEEIIEQIKSGRNSAAATICHISGNFSFLETQKLFTLDIIGLVALLGTVHSDKLSRILAEYLGEDQMLAIVCSSYEAASTLEKYKENGEVDCNLAFHSVAAALGKHISGRFLVICLEDIRAYTGEVDESDPQRRLALPDPILCSGNTPSGFIGYAVNMINLDVHRLRFKTRSGNGLRETLFYRLFGELQVYGTRQHMIEARASIKHGAVSLDGGILRENGIISLGYGNPEICFPVEMRDEVGSPRSIEIKKQMEEKERNLQIIESQIEKSNRNREKALKKFRKKYEQYNKFIDHMEPALQVYRCDNS >Manes.01G183500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36169036:36188053:-1 gene:Manes.01G183500.v8.1 transcript:Manes.01G183500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSKRQLVAMEMIYRFRILLPNGMTVGLRLTDPGSEILFEDFIKLVKEEYLLSQRQSESKRKSPLNWNGANLYLEDANDNKIRHMMNLANYKPHKCHILRLHDGSALTTDTYENMWDLTPDTDLLRELPEEYTFETALADLIDNSLQAVWSNGKNDRRLIRVDFTTKEDRISIFDTGPGMDNSDEKSIVKWGKIGASLHRSSKTQAIGGRPPYLMPYFGMFGYGGPMASMHLGRRAIVSSKTKESRKVYTLHLEREALLSSTHSELTWRTKGSMRNPTDDENRESRQGSFTKVEILELRVKNMDIFQLQCRLKDIYFPYIQCDDLSNKGKTTTPITFQVNGVDLAEIEGGEVSITNFHSCNGPEFVLQLHFSINQDDIGLKPGLRSPREANACLKCVYFPIVEGKESIEKILEKLEAGGCGIAENFETFSRVSIRRLGRLLPDARWASLPFMESRQKKGDKAYLLKRCCSRVKCYVETDAGFNPTPSKTDLAHHNPFTIALKNLGRKMFEKEKVINVEISRNGKLITPLQLEKEYQAWVLQMHDHYDEEVGYGEDDPILIVSPTNKKHLGISSDVVRVYQILKRKGASWKRGQKIKVLKGACPGLYKSNVYATLEYFLIEGFEGDAGGEARIICRPLDVEDEDGCVLELKNGIASFDIRRSLSLPFSVVDSGKCMAIERIEWNNQLEKRRLRAPSTIDLLDAEHCRELEVGGALPFDATVDVGQVPPLEVVAVVRPASYDASSTSNSLDQKYIFKDTTEMSMVVTFRRAAKGCGSADHIYSKRVAPSCHKGLNGLYIFPLGQKFPKLFRRPGVYRFLFSLVGSSCKECEKNVVVRADAAKWKLLSNNGHRPYVVRVGSTLQPITIACFDKYGNQIPIVSPPEIRVILKLRGSHAQIDKVKTRLSSDKLMLEVMDLLIESSELDKVRPSYEAILLIFLQDEQDPLSIPCKVTPGSLDHVRPRPPFPENQLFPGFVFKELILEMFDVYGNHVAKDVEVKLNTDGFYILDQIGSSRKVDDNGCIDLSGLVKVTAGFGKTVSISISSSNKIVFKLEFQTGKRELRIASKVPDYLAPGSRLENLVFEVVNSEGDVDETIHDEDKYSQSHMLTIKSDSFKLDDCVGYAFRHGRCTVPVVPVPAVEGNFCLTVAHSCYPELHLSIKLSVFQTRNMEYDDVQSPCSHGKLLLLEDSSMQKNVGNTSSLDNAGNLMRSIVKLEKGLEEEIFKYGQRIGRCENQLKELNEFKADHEQRLAELQELQLFNNVNYLSTKEEIIEQIKSGRNSAAATICHISGNFSFLETQKLFTLDIIGLVALLGTVHSDKLSRILAEYLGEDQMLAIVCSSYEAASTLEKYKENGEVDCNLAFHSVAAALGKHISGRFLVICLEDIRAYTGEVDESDPQRRLALPDPILCSGNTPSGFIGYAVNMINLDVHRLRFKTRSGNGLRETLFYRLFGELQVYGTRQHMIEARASIKHGAVSLDGGILRENGIISLGYGNPEICFPVEMRDEVGSPRSIEIKKQMEEKERNLQIIESQIEKSNRNREKALKKFRKKYEQYNKFIDHMEPALQVYRCDNS >Manes.01G183500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36169036:36188053:-1 gene:Manes.01G183500.v8.1 transcript:Manes.01G183500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSKRQLVAMEMIYRFRILLPNGMTVGLRLTDPGSEILFEDFIKLVKEEYLLSQRQSESKRKSPLNWNGANLYLEDANDNKIRHMMNLANYKPHKCHILRLHDGSALTTDTYENMWDLTPDTDLLRELPEEYTFETALADLIDNSLQAVWSNGKNDRRLIRVDFTTKEDRISIFDTGPGMDNSDEKSIVKWGKIGASLHRSSKTQAIGGRPPYLMPYFGMFGYGGPMASMHLGRRAIVSSKTKESRKVYTLHLEREALLSSTHSELTWRTKGSMRNPTDDENRESRQGSFTKVEILELRVKNMDIFQLQCRLKDIYFPYIQCDDLSNKGKTTTPITFQVNGVDLAEIEGGEVSITNFHSCNGPEFVLQLHFSINQDDIGLKPGLRSPREANACLKCVYFPIVEGKESIEKILEKLEAGGCGIAENFETFSRVSIRRLGRLLPDARWASLPFMESRQKKGDKAYLLKRCCSRVKCYVETDAGFNPTPSKTDLAHHNPFTIALKNLGRKMFEKEKVINVEISRNGKLITPLQLEKEYQAWVLQMHDHYDEEVGYGEDDPILIVSPTNKKHLGISSDVVRVYQILKRKGASWKRGQKIKVLKGACPGLYKSNVYATLEYFLIEGFEGDAGGEARIICRPLDVEDEDGCVLELKNGIASFDIRRSLSLPFSVVDSGKCMAIERIEWNNQLEKRRLRAPSTIDLLDAEHCRELEVGGALPFDATVDVGQVPPLEVVAVVRPASYDASSTSNSLDQKYIFKDTTEMSMVVTFRRAAKGCGSADHIYSKRVAPSCHKGLNGLYIFPLGQKFPKLFRRPGVYRFLFSLVGSSCKECEKNVVVRADAAKWKLLSNNGHRPYVVRVGSTLQPITIACFDKYGNQIPIVSPPEIRVILKLRGSHAQIDKVKTRLSSDKLMLEVMDLLIESSELDKVRPSYEAILLIFLQDEQDPLSIPCKVTPGSLDHVRPRPPFPENQLFPGFVFKELILEMFDVYGNHVAKDVEVKLNTDGFYILDQIGSSRKVDDNGCIDLSGLVKVTAGFGKTVSISISSSNKIVFKLEFQTGKRELRIASKVPDYLAPGSRLENLVFEVVNSEGDVDETIHDEDKYSQSHMLTIKSDSFKLDDCVGYAFRHGRCTVPVVPVPAVEGNFCLTVAHSCYPELHLSIKLSVFQTRNMEYDDVQSPCSHGKLLLLEDSSMQKNVGNTSSLDNAGNLMRSIVKLEKGLEEEIFKYGQRIGRCENQLKELNEFKADHEQRLAELQASAELQLFNNVNYLSTKEEIIEQIKSGRNSAAATICHISGNFSFLETQKLFTLDIIGLVALLGTVHSDKLSRILAEYLGEDQMLAIVCSSYEAASTLEKYKENGEVDCNLAFHSVAAALGKHISGRFLVICLEDIRAYTGEVDESDPQRRLALPDPILCSGNTPSGFIGYAVNMINLDVHRLRFKTRSGNGLRETLFYRLFGELQVYGTRQHMIEARASIKHGAVSLDGGILRENGIISLGYGNPEICFPVEMRDEVGSPRSIEIKKQMEEKERNLQIIESQIEKSNRNREKALKKFRKKYEQYNKFIDHMEPALQVYRCDNS >Manes.13G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1827704:1831097:1 gene:Manes.13G016400.v8.1 transcript:Manes.13G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHLQIDQESLPPSQTPLLPPTHNNNKSHHRSRRQDQGTDLDQALKGLETFLTFLGFNQSSALSFVLSWTAFIVIGVLLPVVILELSKCGDCKKYQIKDFELDIVASQACLAAVSLACISHSLRKYGIRKFLFVERCAGHGMARFSHKYVQQIKDSLRLLVLWSLPCFILKVVREVIRVLYVQHESWWLSAAILFGLILSWVYVSTVSLSASILFHLVCNLQVIHFDDYAKLLERESDVLVFIEEHIHLRYHLSKISHRFRIFLLLEFCAVTASQFVTLFQTTGYSGIITVINGGDFAVSSIVQVVGIILCLHAATKISHRAQGIASVVSRWHAMATCASIDSSHQLRVSSSMGNLEAANSLNSLHFSYSESDLESLDYIAMPTNTQLASHMASYHKRLAFVLYLQNNPGGITIFGWTVDRALINTIFFIELSLVTFVLGKTIVFTTK >Manes.16G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1025321:1031267:-1 gene:Manes.16G009700.v8.1 transcript:Manes.16G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMPVQLAPLEIVHLVGNWWDEINESTQWQDGIFYALCAAYALVSAVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHKQVFILHPKVLTLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIFYISVNCGVYFIQVCIWVYLWIDDNSVVEFIGKIFIAVVSIIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVLLSAFDSDASLDVLDHPVLNVIYYMLVEILPSALVLYILRKLPPKRISAQYHPIR >Manes.08G073600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14443634:14447510:-1 gene:Manes.08G073600.v8.1 transcript:Manes.08G073600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQSRHQGQYQHDSYNYGAPSLPSSLPYLSHSSRCVDYDGRSKLQSRYSRIGDDYYSLEQVTQALAQAGLESSNLILGIDFTKSNDWTGARSFHRKSLHHLGDSMNPYEQAISIIGRTISDFDEDNLIPCYGFGDASTHDKEVFSFNPEDRPCNGFEEVLSRYREIVPNVHLAGPTSFAPIIETAIGIVDNSGGQYHVLMIIADGQVTRSVDTGNGQLSPQEQCTINAIVKASEHPLSIVLVGVGDGPWDMMHTFDDNIPSRAFDNFQFVNFTEIMLKNIPMSKKETEFSLAALMEIPSQYKATIGLHLLGCQRGIPKRYPLPPPLGNSLVTYYPTYKGPSRNEQRMGYDNDPSRCLVNHTSHSRSCPVCLWSTKDLAFGCGHQTCYDCGRDLNLCPICQTYIITRIRLYE >Manes.08G073600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14443476:14447300:-1 gene:Manes.08G073600.v8.1 transcript:Manes.08G073600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQSRHQGQYQHDSYNYGAPSLPSSLPYLSHSSRCVDYDGRSKLQSRYSRIGDDYYSLEQVTQALAQAGLESSNLILGIDFTKSNDWTGARSFHRKSLHHLGDSMNPYEQAISIIGRTISDFDEDNLIPCYGFGDASTHDKEVFSFNPEDRPCNGFEEVLSRYREIVPNVHLAGPTSFAPIIETAIGIVDNSGGQYHVLMIIADGQVTRSVDTGNGQLSPQEQCTINAIVKASEHPLSIVLVGVGDGPWDMMHTFDDNIPSRAFDNFQFVNFTEIMLKNIPMSKKETEFSLAALMEIPSQYKATIGLHLLGCQRGIPKRYPLPPPLGNSLVTYYPTYKGPSRNEQRMGYDNDPSRCLVNHTSHSRSCPVCLWSTKDLAFGCGHQTCYDCGRDLNLCPICQTYIITRIRLYE >Manes.08G073600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14443476:14446674:-1 gene:Manes.08G073600.v8.1 transcript:Manes.08G073600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQSRHQGQYQHDSYNYGAPSLPSSLPYLSHSSRCVDYDGRSKLQSRYSRIGDDYYSLEQVTQALAQAGLESSNLILGIDFTKSNDWTGARSFHRKSLHHLGDSMNPYEQAISIIGRTISDFDEDNLIPCYGFGDASTHDKEVFSFNPEDRPCNGFEEVLSRYREIVPNVHLAGPTSFAPIIETAIGIVDNSGGQYHVLMIIADGQVTRSVDTGNGQLSPQEQCTINAIVKASEHPLSIVLVGVGDGPWDMMHTFDDNIPSRAFDNFQFVNFTEIMLKNIPMSKKETEFSLAALMEIPSQYKATIGLHLLGCQRGIPKRYPLPPPLGNSLVTYYPTYKGPSRNEQRMGYDNDPSRCLVNHTSHSRSCPVCLWSTKDLAFGCGHQTCYDCGRDLNLCPICQTYIITRIRLYE >Manes.08G073600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14443477:14447398:-1 gene:Manes.08G073600.v8.1 transcript:Manes.08G073600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQSRHQGQYQHDSYNYGAPSLPSSLPYLSHSSRCVDYDGRSKLQSRYSRIGDDYYSLEQVTQALAQAGLESSNLILGIDFTKSNDWTGARSFHRKSLHHLGDSMNPYEQAISIIGRTISDFDEDNLIPCYGFGDASTHDKEVFSFNPEDRPCNGFEEVLSRYREIVPNVHLAGPTSFAPIIETAIGIVDNSGGQYHVLMIIADGQVTRSVDTGNGQLSPQEQCTINAIVKASEHPLSIVLVGVGDGPWDMMHTFDDNIPSRAFDNFQFVNFTEIMLKNIPMSKKETEFSLAALMEIPSQYKATIGLHLLGCQRGIPKRYPLPPPLGNSLVTYYPTYKGPSRNEQRMGYDNDPSRCLVNHTSHSRSCPVCLWSTKDLAFGCGHQTCYDCGRDLNLCPICQTYIITRIRLYE >Manes.08G073600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14443501:14447510:-1 gene:Manes.08G073600.v8.1 transcript:Manes.08G073600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKQSRHQGQYQHDSYNYGAPSLPSSLPYLSHSSRCVDYDGRSKLQSRYSRIGDDYYSLEQVTQALAQAGLESSNLILGIDFTKSNDWTGARSFHRKSLHHLGDSMNPYEQAISIIGRTISDFDEDNLIPCYGFGDASTHDKEVFSFNPEDRPCNGFEEVLSRYREIVPNVHLAGPTSFAPIIETAIGIVDNSGGQYHVLMIIADGQVTRSVDTGNGQLSPQEQCTINAIVKASEHPLSIVLVGVGDGPWDMMHTFDDNIPSRAFDNFQFVNFTEIMLKNIPMSKKETEFSLAALMEIPSQYKATIGLHLLGCQRGIPKRYPLPPPLGNSLVTYYPTYKGPSRNEQRMGYDNDPSRCLVNHTSHSRSCPVCLWSTKDLAFGCGHQGLELVPHLPNLHNHQDKTVRVIHQI >Manes.08G086522.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:27020066:27021144:-1 gene:Manes.08G086522.v8.1 transcript:Manes.08G086522.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTWLIIIIVWCSFVALFAIFALLRHANLAATHGGGFHSNGGHGGGFHSNGGHGGDIEGGGTDGVGDTVDFNGGGWDTFGGGGGAGSDFGGGGGGGFDSGGGGGGGGGCDSGGGGVGGGGGGGGGF >Manes.15G157800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13014200:13021129:-1 gene:Manes.15G157800.v8.1 transcript:Manes.15G157800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSMELASLHDKFIVPLAVYSRVSTSLCNSSKRTVPFRSLRQRNLCYASSSEALVANSRKDNEKQSSSGVVVSKKEDASGDLKSWMHKNGLPPCKVVLLERPSHDAKHMPIHYIAASEDLQAGDVAFSVPNSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEDELAYLTGSPTKAEVLERADGIKREYDELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAIQSCVVHLQKVSLARRFALVPLGPPLLAYRSNCKAMLSAVDGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDEDNQYDRIVIKAALNTEDPQYQEKRMVAQRNGRLSVQVFQIYVGKEKEAISDVLPYLRLGYVSDPSEMQTVICSQGPICPVSPCMERAVLDQLVDYFMNRLAGYSTSLSEDELMLADHNLNPKKRVATQLVRLEKKILNACLQATFDLINQLPDHTVSPCPAPYAPILK >Manes.15G157800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13014908:13021129:-1 gene:Manes.15G157800.v8.1 transcript:Manes.15G157800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSMELASLHDKFIVPLAVYSRVSTSLCNSSKRTVPFRSLRQRNLCYASSSEALVANSRKDNEKQSSSGVVVSKKEDASGDLKSWMHKNGLPPCKVVLLERPSHDAKHMPIHYIAASEDLQAGDVAFSVPNSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEDELAYLTGSPTKAEVLERADGIKREYDELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAIQSCVVHLQKVSLARRFALVPLGPPLLAYRSNCKAMLSAVDGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDEDNQYDRIVIKAALNTEDPQYQEKRMVAQRNGRLSVQVFQIYVGKEKEAISDVLPYLRLGYVSDPSEMQTVICSQGPICPVSPCMERAVLDQLVDYFMNRLAGYSTSLSEDELMLADHNLNPKKRVATQLVRLEKKILNACLQATFDLINQLPDHTVSPCPAPYAPILK >Manes.16G069151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27193431:27198036:-1 gene:Manes.16G069151.v8.1 transcript:Manes.16G069151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQQPLYVEAQTSKNPTDLLCPSLNPNLSSHTRLAQLTLPICPLLLCSTMATGYDYDDATAVNYDDQGGPQRQDLGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYETSFQTLSERLFKETPWPSVDAVAHYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQHDQAWNVYGVLNFLQALVEKSMIIQILEHEKQGLEQFTATDGYDYNGGSNVLKVLGYFSMVGLLRVHCLLGDYHTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVDAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVEETVNSQLREKYGEKMIRMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLINYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLAKLANYMEVDEPTLRTILMTYKHKTHAVDSEGKIISNADVDFYIDDDMIHVIESKPLKRYGDYFLRQIVKLEGVINDMDRIKLE >Manes.15G150000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12442251:12449234:-1 gene:Manes.15G150000.v8.1 transcript:Manes.15G150000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLEPCAAMDSFFQGIRSRELTGFRVRKRPYIADKASDFAEFGALAVEHNGEETPPLAVSFGKTSKTSHILAVSDEDGYLSLFDVRKKLPYASHRECSDKSRISEWAAHQNAIFDICWIKEGTSILTASGDQTIKVWDAQKEKCTGILMGHTGSIKSMYPHPTNSDLLVSGSRDGSFAIWDLRCKIVSKSRCPEACITSTAMVRRAHVSPQAKRIRRNKAASMSITSVLYLKDEISIATAGAVDSIVKFWDTRNLRAQVTQACPHPKSSTEQERRLHGISSLSQDTNGVFLTTSCMDNRIYLYNVLQLEKGPIRSFSGCRIESFFVKSVISPDATQILSGSSDGNAYIWQVNKSNTDPITLKTHDGEVTAVDWCPLDGRVATASDDFTVRIWNVQSSYYSNSRPPSSIRRRIMAIPTEECKRLLRNEELTGLAKYPAPGYLHPEEVCHETECHETDSPISITMPAVSTPEAQEKRYSLGSDSKETFERTPEAAMKSPSSVLNPPSSLKRKTIRDYFLAAQ >Manes.01G073125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27519316:27531625:-1 gene:Manes.01G073125.v8.1 transcript:Manes.01G073125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIELDQGWDFMQKGITKLKRILEGSVEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYINSTVLPCIREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYGEVNGKARDAVIVLIDKEREGEQIDRALLKNVLDIFVEIGMGAMDRYEQDFETHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLRKERDRVSHYLHSSSEPKLVEKVQHELLVVYANQLLEKEHSGCRALLQDDKVEDLSRMYRLYHKIPKGLEPVAAIFKQHITAEGTVFVQQAEDAASSQAASGGIQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVIGSSSAELLATFCDNILKKGGSEKLSDEAIEEMLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGNNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPEEMVRGVEIFKEFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSEIITQLNLTHDDLVRVLHSLSCAKYKILAKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKENPNVFKYLA >Manes.03G124200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25529106:25535009:-1 gene:Manes.03G124200.v8.1 transcript:Manes.03G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEMWTIIDTGNPAFTHITKMPSFKSPLSMQNTRLNPSFNVETTAVAAYSSKTPGQSSDTIKWIFTPLLTAKEACTSELMGLKLILTVVFLLVFISATVTAEYIRPPPRKTLHFPWSSRPSSHPQQVHISLAGDKHIRVSWVTDDKSCPSTVEYGTSPGRYSAISHGESTSYNYLFYSSGQIHHTVIGPLEPNAVYFYRCGGEGPEFQLKTPPAQLPITFAVAGDLGQTGWTKSTLDHIDQCKYDVHLLPGDLSYADYMQHRWDTFGKLVEPLASARPWMVTEGNHEEESIPIFKDGFQSYNSRWKMPFEESGSSSNLYYSFDVAGAHIIMLGSYTDYDEYSEQYNWLKADLAKVDRKKVPWLLVLFHVPWYNSNHAHQGEGDEMKAAIEPLLYGAGVDIVLAGHVHAYERTKRVNQGNLDPCGAVHITIGDGGNREGLAQKYINPQPEWSVFREASFGHGELKLVNSSHAYWTWHRNDDDEPVRSDQVWITSLASSGCLHEKRQLRKILMGP >Manes.03G113600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23818001:23825648:-1 gene:Manes.03G113600.v8.1 transcript:Manes.03G113600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIVLDHVLFQLTPTRTRCDLVIFAGGASEKLASGLLEPFVIHLKTAKDQISKGGYSISLRPVLANAHWFTKATLQSFVRFVSTPEVLERFVTIEKELEQIESSVQSNELPNTDVEGFVGSFQKSSVSSKSKGDYDGAGDAKQEDNSKVRLQRALETRKAVLRKEQAMAYARALVTGFDLDCINDLISFSDAFGASRLREACFNFVELCKKKNQDRLWMDEIAAMQASRVELPFLGSSGIVLASEENYPSQISGLSGGKQNGSIDASVSDSSLGSVDLNQESSLPTSASVQSTDGKAHVPMAWPNHLPQYMHNFPGPVFQQMPPYQGYLFPGMQVAPPYFPGNMQWPPKVDDSSLGHDWEPDGRKKHKSSSRNKKSSHSKGMDSNQDDSSEPSYSSSETESDEDLQNGEKQSSVEQVQRKKHGKKSSRKVVIRNINYITSKRDGEKDSMSDETSDEDEFIDGEALKQQVEEAVGSLERRHKSTSRHNKKSNRRTTDNSNDEDKQKVAPDNPEGLKGNQQWGAFQNLLLKDKDLDSFETDPHPLQVQQYSIAKSSEEGISLAFNLESEKTSKQRAISSDSFVAAKRETFSDDQFRIGNFEAGENLKPMTKQRDGTHEEMLFSQRTDEPWNHPQTTVSDHSTESLMIRSQKAGDWFISNQLDKPTNKDENMNLRTFDGDYASSIADDHFRCEKNKKDVLVDDSLMIQAKPFIDDQSVSILRTDISMAADIIEATKSENATSEISHNKGDANGTHEPEDLYMVLGRDSAAENAISSWNPEMDYENDLSAEANIKQSGTETNGAEDKLPSNGKGTNGKPGGNAGRKIPGEGARSKVSNASGKSKSSLMSRTTKPAPGGVTKVQRGKSDKDEENRKRKEELLLQRQRRIAERSAAANGPATSKRMPVKRTSSLKNEESKTQPPSQETKKTVFRSSTIDRLAASRTTPKLESAQAKPAQLKKASVKANGLPQKAAGSDKKSSPNTVKPDVPQKKESKVTAEEELKKVAATDSIDQFKDMELHSIASIEKNEGNVLTQTVASNDKGFNGDLPHINSSAELDHLKDNDEGLSIAAAPILSENIKTSDEHDQYTSEMTKHPLPESPNKDLNHSDENVRENGMRTENLPSPTKSEIQISTPPPAEISPEPVHSRKKWNSDETSPKAAKGFRKLLLFGRKSRTSSTN >Manes.03G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23818854:23825675:-1 gene:Manes.03G113600.v8.1 transcript:Manes.03G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIVLDHVLFQLTPTRTRCDLVIFAGGASEKLASGLLEPFVIHLKTAKDQISKGGYSISLRPVLANAHWFTKATLQSFVRFVSTPEVLERFVTIEKELEQIESSVQSNELPNTDVEGFVGSFQKSSVSSKSKGDYDGAGDAKQEDNSKVRLQRALETRKAVLRKEQAMAYARALVTGFDLDCINDLISFSDAFGASRLREACFNFVELCKKKNQDRLWMDEIAAMQASRVELPFLGSSGIVLASEENYPSQISGLSGGKQNGSIDASVSDSSLGSVDLNQESSLPTSASVQSTDGKAHVPMAWPNHLPQYMHNFPGPVFQQMPPYQGYLFPGMQVAPPYFPGNMQWPPKVDDSSLGHDWEPDGRKKHKSSSRNKKSSHSKGMDSNQDDSSEPSYSSSETESDEDLQNGEKQSSVEQVQRKKHGKKSSRKVVIRNINYITSKRDGEKDSMSDETSDEDEFIDGEALKQQVEEAVGSLERRHKSTSRHNKKSNRRTTDNSNDEDKQKVAPDNPEGLKGNQQWGAFQNLLLKDKDLDSFETDPHPLQVQQYSIAKSSEEGISLAFNLESEKTSKQRAISSDSFVAAKRETFSDDQFRIGNFEAGENLKPMTKQRDGTHEEMLFSQRTDEPWNHPQTTVSDHSTESLMIRSQKAGDWFISNQLDKPTNKDENMNLRTFDGDYASSIADDHFRCEKNKKDVLVDDSLMIQAKPFIDDQSVSILRTDISMAADIIEATKSENATSEISHNKGDANGTHEPEDLYMVLGRDSAAENAISSWNPEMDYENDLSAEANIKQSGTETNGAEDKLPSNGKGTNGKPGGNAGRKIPGEGARSKVSNASGKSKSSLMSRTTKPAPGGVTKVQRGKSDKDEENRKRKEELLLQRQRRIAERSAAANGPATSKRMPVKRTSSLKNEESKTQPPSQETKKTVFRSSTIDRLAASRTTPKLESAQAKPAQLKKASVKANGLPQKAAGSDKKSSPNTVKPDVPQKKESKVTAEEELKKVAATDSIDQFKDMELHSIASIEKNEGNVLTQTVASNDKGFNGDLPHINSSAELDHLKDNDEGLSIAAAPILSENIKTSDEHDQYTSEMTKHPLPESPNKDLNHSDENVRENGMRTENLPSPTKSEIQISTPPPAEISPEPVHSRKKWNSDETSPKAAKGFRKLLLFGRKSRTSSTN >Manes.05G065100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5406783:5412288:1 gene:Manes.05G065100.v8.1 transcript:Manes.05G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTLKGSKRKRRESSKMKSTLARHLQVQLGQISVIPLQYQQSSSSTALVSNSSSRFQNLLDSEAFDSQLFSSTENPSIGDVDVDEKDFILSQDFFCTPDYITPVDQNLLNSSDYNKENMLCPESPEKLNTTKTKKLRQDVILANPLSPTLSDQQQILEIGKATFDTDEGNIEKTTVTGTQRCHNYVSQSAVALRCRVMPPPCIKNPYLMDASEVDVDPYGNQRSKCAGFFSAIGCGDGLSRYQTDFHEMQQIGTGNFSRVFKVLKRIDGYLYAVKHSTRQLYQDAEKRKALMEVQALAALGCHENIVGYYSSWFENEQLYIQMELCDCSLSINKSSKSFTENKVLEVLYQIAKALRFIHGRGIAHLDVKPDNIYVKNGVYKLGDFGCATLLNQSLPIEEGDARYMPQEILNENYDHLDKVDIFSLGAAMYELVRGSTLPQSGSQFFNLREGKMPLLPGHSLHFQNLLKVMLDPDPVQRPSAKELLENPIFNKRQRNLSEA >Manes.08G067400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10309129:10311247:1 gene:Manes.08G067400.v8.1 transcript:Manes.08G067400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Manes.02G084000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6572557:6575817:-1 gene:Manes.02G084000.v8.1 transcript:Manes.02G084000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLCANVAPLPSYTYLVPTSLHIFPCRSHHRLKSNSVSLPKSQTHSKNISSLPLLTTSNCNHKQQDNCHTTESTSCSDPRYRHSLFAIDGNSITNQNPKAQNQEKEVEKEDKRHTRIKKPKGLFTNMWWVDLKAALGQRINVEGIVSSVAMLVKEQHLALPHLKVRDIRYIDWAELHRRGFKGVVFDKDNTITVPYTLTLWDPLGSSIEQCKSVFGNDLAVFSNSAGLYEYDHDGSKARALEKAIGIKVVRHRVKKPAGTAEEIEKHFGCKSSELIMVGDRPFTDVVYGNRNGFLTILTEPLSLAEEPFIVRQVRELEVSLTSYWLRRGLKPIRHSLLTDAEQCVKDLPP >Manes.02G084000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6572557:6575588:-1 gene:Manes.02G084000.v8.1 transcript:Manes.02G084000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLCANVAPLPSYTYLVPTSLHIFPCRSHHRLKSNSVSLPKSQTHSKNISSLPLLTTSNCNHKQQDNCHTTESTSCSDPRYRHSLFAIDGNSITNQNPKAQNQEKEVEKEDKRHTRIKKPKGLFTNMWWVDLKAALGQRINVEGIVSSVAMLVKEQHLALPHLKVRDIRYIDWAELHRRGFKGVVFDKDNTITVPYTLTLWDPLGSSIEQCKSVFGNDLAVFSNSAGLYEYDHDGSKARALEKAIGIKVVRHRVKKPAGTAEEIEKHFGCKSSELIMVIDPSQMLFMETGMAF >Manes.02G084000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6572557:6575588:-1 gene:Manes.02G084000.v8.1 transcript:Manes.02G084000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLCANVAPLPSYTYLVPTSLHIFPCRSHHRLKSNSVSLPKSQTHSKNISSLPLLTTSNCNHKQQDNCHTTESTSCSDPRYRHSLFAIDGNSITNQNPKAQNQEKEVEKEDKRHTRIKKPKGLFTNMWWVDLKAALGQRINVEGIVSSVAMLVKEQHLALPHLKVRDIRYIDWAELHRRGFKGVVFDKDNTITVPYTLTLWDPLGSSIEQCKSVFGNDLAVFSNSAGLYEYDHDGSKARALEKAIGIKVVRHRVKKPAGTAEEIEKHFGCKSSELIMVGDRPFTDVVYGNRNGFLTILTEPLSLAEEPFIVRQVRELEVSLTSYWLRRGLKPIRHSLLTDAEQCVKDLPP >Manes.15G149100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12301000:12304051:-1 gene:Manes.15G149100.v8.1 transcript:Manes.15G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRKLREEFPYLSSLLSDFPLKHEIESGFSSHEAPFSSNKGLFQSIHHLDHHNLHLPSPFNSQYHLDHFTIEGSSKNPFLGVSATCIDPLEPLPNGFSSDLNAFVSAALLPANGGESGYDHRPLHGSLQRRSFGDYNPQKFDEANDPLGQKLTYHHQSLNMRSMNLAKLPDEVSCITGDNGYGKEADHRKDQRFQIKKDGKVHKKAQIIKGQWTPQEDRMLVHLVKQNGVKKWSQIAKMMEGRVGKQCRERWHNHLRPDIKKDAWTEEEDEILIEAHKEIGNRWAEIAKKLPGRTENTIKNHWNATKRRQFTRRKGKEANSKPTILQCYIKNLTSSSATNHHQENNNPQDYLHKETSVSSADHHHHLLKFPSSSLMHCDHNEGPNKFCVDTNFLFNDSYGFASSSLEEIPCTSVVDESNLEYEISLELYSLMKGAAAPAKEEMDLLEMITQ >Manes.01G166000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34983882:34987137:1 gene:Manes.01G166000.v8.1 transcript:Manes.01G166000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLIRSNKALAETIGRITVHCLYHRSGCTWQGPLSECTYHCFGCAFGYYPVVCNWCGILIVHRQAQEHAQNCPCAVWDTTARGTSPVDDRNQAQTSQYYQTAASSLPGQGLNQKAYQAAQHQTAVQATVPTAELWYQQQQQYQQYDQRYPRYAYQQYYPYQQQAVPYYQQPQLYLQSEVPQPHIQPQSLLQTQWLYPPQAQVHTEARSQLHPQYPFPQPQHYSQGLPQTHAQHTAQPLPQPFTSQPNPPLNHHLQPQLQHSLALAVTGHISYPQPNPQQQMPLGGPQHPAQFYPQGGHQPQSHLVRMQGKFPQQPPLLHPSQSHGANQNPQKPGLLPSSGQVPSAPPAEQQPVHSHARQPGLPHQRPLMQSIQQQVHEQCVQQQPFSGPVPSSDQNQVLQQGAYIQQQQHVHSQIHAQGPSSSFQQPFSAYPLPQHDAVLPHGTHPYQAHSHGGKPMVPPAGVLTQPHSYSSASMQVTAMEVGAGQSGNAPSINNQDQLSSEQQSGPTSRQTCEGQGDHTIEKSSVTESTHKNVTSDASDLDVASSVGADAGEVKTVNSGNTLKPVDDDNKPMGDVKNISESLGAGNEEYLIKQVKKEPEETSGDQRDVFNIDHKRVEDSVSEDEEMKDGPLLNSPQLEEGEILEDHNM >Manes.02G161400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12677030:12678931:-1 gene:Manes.02G161400.v8.1 transcript:Manes.02G161400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEPKDPLKGVDWKAIGSELQKDPSAGAKPVIKKRLPKKIRQIPEYYFLPRRSLPSAIAFYGACIAGGIGAGMLLEVWINKKVEEDGGIIWEFDK >Manes.06G033425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9064542:9100237:-1 gene:Manes.06G033425.v8.1 transcript:Manes.06G033425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVVRFGKKGKLAPRYIGPFEILQKIGNVSYKLDLPPSMGRIHPVFHVSMLRKFV >Manes.02G176550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13919660:13920955:-1 gene:Manes.02G176550.v8.1 transcript:Manes.02G176550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVRLRSCSASSCHLHVPMRIGTSSCLWVTLEGETPWELCQSTLSVLSLRLFDLIFSCPNGCWKDGYFECSYFWAMVDHLPPIRKQRLAVESPVFLPTSVSWGLS >Manes.11G087800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14059403:14070734:-1 gene:Manes.11G087800.v8.1 transcript:Manes.11G087800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDANPQELQKLVVENILAFNEGFWIRLAARTDTCKSEDDKKDYEELAISVMSIVDCLVHKTNEKIESSTDVLKEILKPVVHEEEEISWPPRDPDALKLMEKKITQREQEGQLDEGFLAEVSAQLRQAKEDRDKPGLQAMLQKVLQLYASRVLSKRSYAKKGDEVLKAEQFLETIIQAPEEEWSKLLIDGLTVGKGEISPDELRAVIKKRIERMLIRTEGGSYQQRILNEYLKGIESRAEDIVQVLQGKP >Manes.11G087800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14056823:14071768:-1 gene:Manes.11G087800.v8.1 transcript:Manes.11G087800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDANPQELQKLVVENILAFNEGFWIRLAARTDTCKSEDDKKDYEELAISVMSIVDCLVHKTNEKIESSTDVLKEILKPVVHEEEEISWPPRDPDALKLMEKKITQREQEGQLDEGFLAEVSAQLRQAKEDRDKPGLQAMLQKVLQLYASRVLSKRSYAKKGDEVLKAEQFLETIIQAPEEEWSKLLIDGLTVGKGEISPDELRAVIKKRIERMLIRTEGGSYQQRILNEYLKGIESRAEDIVQVLQGKP >Manes.11G087800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14056823:14071768:-1 gene:Manes.11G087800.v8.1 transcript:Manes.11G087800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFAAPPKSPTLRFFSSSSFCHKHNLLLQGWKIRSFSMQKLMPHFAATSLKSKRRKTLICAVSQDAEESFKKTVEVDRLIDMLRDANPQELQKLVVENILAFNEGFWIRLAARTDTCKSEDDKKDYEELAISVMSIVDCLVHKTNEKIESSTDVLKEILKPVVHEEEEISWPPRDPDALKLMEKKITQREQEGQLDEGFLAEVSAQLRQAKEDRDKPGLQAMLQKVLQLYASRVLSKRSYAKKGDEVLKAEQFLETIIQAPEEEWSKLLIDGLTVGKGEISPDELRAVIKKRIERMLIRTEGGSYQQRILNEYLKGIESRAEDIVQVLQGKP >Manes.11G087800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14056311:14071795:-1 gene:Manes.11G087800.v8.1 transcript:Manes.11G087800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFAAPPKSPTLRFFSSSSFCHKHNLLLQGWKIRSFSMQKLMPHFAATSLKSKRRKTLICAVSQDAEESFKKTVEVDRLIDMLRDANPQELQKLVVENILAFNEGFWIRLAARTDTCKSEDDKKDYEELAISVMSIVDCLVHKTNEKIESSTDVLKEILKPVVHEEEEISWPPRDPDALKLMEKKITQREQEGQLDEGFLAEVSAQLRQAKEDRDKPGLQAMLQKVLQLYASRVLSKRSYAKKGDEVLKAEQFLETIIQAPEEEWSKLLIDGLTVGKGEISPDELRAVIKKRIERMLIRTEGGSYQQRILNEYLKGIESRAEDIVQVLQGKP >Manes.17G048200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24515633:24517717:1 gene:Manes.17G048200.v8.1 transcript:Manes.17G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPSPATGLSLDLNSPSNSHHHWSSAAGHRFAFGEFLQTGSLKEISFNEEAPGSVKNNGPVSGASAASPVLETGVQQSVLGIVRRGLPIDLNEPPPLWL >Manes.17G048200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24516594:24520712:1 gene:Manes.17G048200.v8.1 transcript:Manes.17G048200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPSPATGLSLDLNSPSNSHHHWSSAAGHRFAFGEFLQTGSLKEISFNEEAPGSVKNNGPVSGASAASPVLETGVQQSVLGIVRRGLPIDLNEPPPLWL >Manes.01G186200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36334872:36341471:1 gene:Manes.01G186200.v8.1 transcript:Manes.01G186200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTNSADSRTRSSIQIFVVVGLCCFFYILGAWQRSGFGKADNLAMEITKNTVDCNLTPSLNFETHHGGEIGTINDSESKPKVFKPCKPRYTDYTPCQDQKRAMTFPRENMIYRERHCPQEEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGADDGMYLKEVDRVLRPGGYWVLSGPPINWKNNYKAWQRPKEELQEEQRKIEEVAKLLCWDKKYEKGEMAIWQKRVNAESCPGRQDDSKVTFCKNADPNDVWYKKMEGCITSYPDVGSQDEVAGGEVKAFPERLFAVPPRVSSGSVPGVSVETYQEDNNKWKKHVNAYRKINKLIDSGRYRNIMDMNAGLGGFAAAIESPKLWVMNVVPTIAKKGTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCNIEDILLEMDRILRPEGAIIFRDEVDVLIKVRKIVGGMRWDTKMVDHEDGPLVPEKILVAVKQYWVGNSTSSE >Manes.17G089020.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29736496:29736885:1 gene:Manes.17G089020.v8.1 transcript:Manes.17G089020.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKHPRLILHEFLSLDECKELEFIHKSSSTSQRLLHHSLSSHRYELSHFIIPFVPIREKVEEFFGC >Manes.16G043152.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6407564:6408887:-1 gene:Manes.16G043152.v8.1 transcript:Manes.16G043152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVVVSVDVVRLDELEEQIRNLQGCQVRALESIKAELKADSIIDVRKIMMAEMQSIMSGVLKEKGNVDGGDQGENLGVQIGVATALLPKIELMTCDGKEPEAWVRKCEKYFDIYKVLVEQRVGIATSLFLIDKADSWYQNWSKGKEILRWEEFELCRRFGEDEMADVVEEFMRVRQDGIVRDYQDRFEELRLRMERILPNLDEKYYLSVPMVRIVKPTTLSHAFEIIRFQEKLINPSGTYKNGTNSYKPYSSSPSHLTYKTSQLHHNFPHATKPPNTSPAVTKNPINFQKSNQNSITNSTHTSTSSMGQNSVNKGSAVTKYFPGHVCKQKSVNA >Manes.12G116900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31836636:31838579:1 gene:Manes.12G116900.v8.1 transcript:Manes.12G116900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLVKKGLLDYTVKRKRLIALLVSLGFSTCVAYGVYNLPSIACKRKRISKLLGVIFSIAEVVSDSAETVGVISKDLKDFLQSESDQIPNSLKQISKVARSSEFSGSVIGLTQALTLGILRGYQSSARINHDTTNPSFLDKVFDKLSTPAGSGFVSIVVGSFARNLVTACYQDGTCSSSRELNSNSELNGPITSDQVGLEMNSVTELVDVVCSHKCKSLIGDCIQLFVSTAVAVYLDKTMHINTYDEIFAGLTNPKHENKVRDVLVSVCNGAVETLVKTSHQVLTTADSEANSSQESPNLAIDEEEEEEEEGSSENEESTSQQKANKSIDKDEDGGWIRKVSSTLAVPSNRRLVLDVTGRITFETVRSFLEFLVGRVYDAIRRCVYAVHGAVVDSGLGVVRYVTAKSSVIATICLSLCLHLLDSAWILVPA >Manes.12G116900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31836634:31838764:1 gene:Manes.12G116900.v8.1 transcript:Manes.12G116900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLVKKGLLDYTVKRKRLIALLVSLGFSTCVAYGVYNLPSIACKRKRISKLLGVIFSIAEVVSDSAETVGVISKDLKDFLQSESDQIPNSLKQISKVARSSEFSGSVIGLTQALTLGILRGYQSSARINHDTTNPSFLDKVFDKLSTPAGSGFVSIVVGSFARNLVTACYQDGTCSSSRELNSNSELNGPITSDQVGLEMNSVTELVDVVCSHKCKSLIGDCIQLFVSTAVAVYLDKTMHINTYDEIFAGLTNPKHENKVRDVLVSVCNGAVETLVKTSHQVLTTADSEANSSQESPNLAIDEEEEEEEEGSSENEESTSQQKANKSIDKDEDGGWIRKVSSTLAVPSNRRLVLDVTGRITFETVRSFLEFLVGRVYDAIRRCVYAVHGAVVDSGLGVVRYVTAKSSVIATICLSLCLHLLDSAWILVPA >Manes.03G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5763249:5780151:-1 gene:Manes.03G057600.v8.1 transcript:Manes.03G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIAAINDTDSREQWEPLAPTKEAQEFHLTQTYHDGLLKLQAKEYDKARQLLESVLKDPLLSNVQVDSNASDGHLQQLRFLALKNLATVFLQQGSTHYENALHCYLQAVEIDTKDSVIWNQLGTLSCSMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIRDEVACLSVAELILSHWPSHSRALLVKSTIEESELVPFAPRGIDKLEPKHVRLKFLDKRKATDENIDEGKGISCKKLKKNIELCLPESSWAALADALLEILLPLKGGVSETRSEKDYRSGDVTLTVRLPSHLDVVMSSNENKVLNPISSESLCAADYNSERSNLVKEREANTFEEHPHERRSTRLERLRSRKPGKEELDFAASKDLAKGVLQLLEPFIVCRLRSKGSDQEASCSVSCPHQPTLDTEYKDVSTFVEETSTNYGAYHMCHLLLDHAATRSFAYQDAFIKFLELERLTRHWGQDRTPECSLFLAELYHDLGSLPSNSLKLPEFMSEVSYHLCKIIESVALDYPFHSNHISGNESCSFLKNSQENSEMFAKDSSLQDSFFNNLLVNDKSSFWVRYFWLSGKLSIYDGNKSKAHEEFCISLSLLVKKEKVNDAPCSVQLPHLKLNKELTVNRILHEINLLKVDFLLEKTVGEMIEKEMYVECINLLAPLLFSTENVHVDVLPSHASNSKGEGLACIELSAIDLLIQACEKTKPMDIEVHLNCHRRKLQILMQAAGIDEYGTLRQKYGLNALSASDITPKENPGNHGLELVMEEVKAISHCVSQLKMDSSLNSNCVVTPMGIITDIQTLLLAVMCHVAINCLCKRSSADESEQKQEFCFVDAGIAFCKLQHLIPTVPVKTQVALIVAIHDLLAEYGLCCVGEGGKGEEGTFLRFAIKHLLALDMKLKSNLNSSSRESTQHDKQLSPHSQNKISKKELKSDTLDVVMGGTEIDETSAVGNDAVGGITSASIHSLFGPEKDNAGVGCEMQVSDEDKNKGGKTTERSTESRNELTEDEGEELELIIDGALDQCFFCLYGLNIRSDSSYEDDLAMHKNTSRGDYQTKEQCADVFQYILPYAKASSRTGLVKLRRVLRAIRKHFPQPPEDVLIGNAIDRFLDDLNLCEDKLSEEAGSEGYLETITKMVFPDVETVKQHRSMMVGSSEPYLDVYCNLYYFLALSEEMSATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVAGWRKNTTLPQRVETSRRRSRRCLLVSLALAKTSVQQCEIHELLALVYYDSLQNVVPFYDQRSVVPAKDAAWVAHCENSLKHFRKASLHKQDWSHAFYMGKLCEKLGYSYGTSLSYYDKAIALNPSAVDPVYRMHASRLKLLCFYGKQNLALLKVLSGYSFNLSIKEAAMKILGELALEMPHLPDDTKDRSTQEDSLQRKHEEFIRIEEVWNMLYNDCISALEICVDGDLKHFHKARYMLAQGLYRRGLKGDLERAKDELSFCFKSSRSSFTINMWEIDSMVKKGRRKTSNFPGNKKILEINLPESSRKFITCIRKYVLFYLKLLEETGDICTLDRAFISLRADKRFSLCIEDLVPVALGRFIKALVSSMHQAGSGALGSSEHQLEKMFSLFMEQGNLWPEIFTLPEIRSPEISEASLYTYLHRYIASLERNGKLETLEAINEKIRKRFKNPKLSNSNCAKVCRHASVAWCRSLVINLALISPLRPGTPIEMPSLNPSDNSLETNPLLCVDLKTNEFWNSAFEDSIHLENLETKWNPVLGKIKNIIVERASDENFETANSLLRSSYNFFRESSCVLLPSGLNLYLVPTRLSKETQLQPLINGVEILDLSIPRKLLLWAYTLLHGRYANISVVLKHCEENIKSKMKKGASTSSTPSNTSSPATAAVHTGSAKDGANHGGGIEPDTVLTTAPMSVTTSVSLSENENTQSTNPSPTSGENQKNLVASSQLNPVNATLAERSSTVHGEDQNRG >Manes.18G042900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3793750:3796545:-1 gene:Manes.18G042900.v8.1 transcript:Manes.18G042900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHYYLALLLFIFLLKRVLFRQKQNLPPSPRALPVLGHLHLIRKPLPLALETLLSQYGPVLSLKFGSRSVLIVSSPSAVEECLTRNDIIFANRPRSMAGDHFTYNYSSFVWAPYGDFWRILRRLSVVEIFSSKSLQKTCAIREEEVRILLCRLFKISAGAKKQVNLKFLFSLLTCNIMMRTTVGKRCVEVEAEDSELENQLFQEFKEIFFPIISLNICDFIPVLRVIGFKGIEKSMIKLNDVRNEFLQNWLDELKLKRINSKTSDEKEKRSVVETLLSLQELEPEFYTDEVIKSTMVIMLIAGAETSAVTLEWAMSLLLNNPEALQKLKAEIDHHVGHGNLLNDLDLVKLPYLRCVINETLRLYPVAPLVLPHLSSENCTVGGFEIPKDTMLLVNVLAMHRDPKNWEDPNEFKPERFEGDLGEQHGYKFIPFGVGRRACPGAAMGIRMVSLALGLLIQCFEWEKDGLEKVDMSQSFGLSLSRAKPLVALCSPRQESVELISQI >Manes.01G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31121950:31124017:1 gene:Manes.01G113300.v8.1 transcript:Manes.01G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQSIEFNLSSTSSPQLHNFMPMSSFLLPNYNHTTKQGCEEARNSRFMQVLKQNLDNFWNRQLWKIQNISAFKSRHQLPLARIKRVMKTNREVKMISADTPILLSKACELFILELTLRSWLQTQECKRQMLQRFDIARAIRLVDTLDFLVELVSFDHHNKDDETGNCGEDVEPLSAVQVPVPMIDINEDLVLTDQEIAP >Manes.01G113300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31121974:31123982:1 gene:Manes.01G113300.v8.1 transcript:Manes.01G113300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQSIEFNLSSTSSPQLHNFMPMSSFLLPNYNHTTKQGCEEARNSRFMQVLKQNLDNFWNRQLWKIQNISAFKSRHQLPLARIKRVMKTNREVKMISADTPILLSKACELFILELTLRSWLQTQECKRQMLQRFDIARAIRLVDTLDFLVELVSFDHHNKDDETGNCGEDVEPLSAVQVPVPMIDINEDLVLTDQEIAP >Manes.01G113300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31121960:31123982:1 gene:Manes.01G113300.v8.1 transcript:Manes.01G113300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQSIEFNLSSTSSPQLHNFMPMSSFLLPNYNHTTKQVLKQNLDNFWNRQLWKIQNISAFKSRHQLPLARIKRVMKTNREVKMISADTPILLSKACELFILELTLRSWLQTQECKRQMLQRFDIARAIRLVDTLDFLVELVSFDHHNKDDETGNCGEDVEPLSAVQVPVPMIDINEDLVLTDQEIAP >Manes.06G012301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1908468:1914108:-1 gene:Manes.06G012301.v8.1 transcript:Manes.06G012301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKCFPLFFILMIIHFAVSASMDTIAINQTIEDGGFLISKENNFVLGFFSPGNPKYRYLGIWYYKVREQTVVWVANRNHPINGSSGVLSVNQYGNLVLYSNHSRKVPVWSANVSREVTKTDTCLAQLLDSGNLILVQERSGRVLWESFDYPTDTQLPGMKLGLNRETGIHQFLTSWRSADDPGTGDYVLELNLKGSPQGILYKGTKRYWRCVPWPGKNYVLNNISFVHNKKETFFTFFPVDASLIVRTRLEYSGLLSHLIWHESEGKWKELRSAPKYLCESYNHCGSYGMCNPLYLYPNFECDCLPGYEPQSPRDWNFLKDGSGGCVRKRKESSSLCNQGEGFVKVTAVKVPDTSEAVWLGLNMSSVDCELQCKRNCTCSAYSSTSISGKETGCLAWYGELKDTVIDIAEGSDIYVRVDALELAEITQKSNGFLEKKHLLAILLVSIFSAWFVIILFAYLWFKKKRKTVRNKWNAKLFNTINDPYYIENEDGGGVSYPEIVYFNLSTILSATDNFSLANKLGQGGFGLVYKGQLSNGQEIAVKRLSKSSGQGIKEFKNEILLIAKLQHQNLVKILGCCIQGEELMLVYEYMPNKSLDSFLFDETRRSILDWRKRFDIIVGIARGILYIHQDSRLRIIHRDLKTSNILLDKEMNPKISDFGLARVFKGDQSLEKTNKIAGTFGYMSPEYVAFGKFSTKSDVFSFGVILLEIVTGKKNNSFCQEDSYLSMAGKIWHLWKEERALEMVDSSLKESCSAHEVLRCIQIGLLCVQEDAFERPSMSAVVVMLNSEISLPSPRQPPFTFRKPSNSYSPLVTQKEFYSVDEETITQVYAKPQILDSGNLVLIQDRSERTT >Manes.11G018200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2053334:2054877:1 gene:Manes.11G018200.v8.1 transcript:Manes.11G018200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGLPMLCEGGVADNTTVEEVKFADSEGVKVADKPIGGDVEEGCDGEDFEEGSDGGDVEEGSDGEEGSDGEEDSSDSENTTKRILLRNKDEPYGYDDPDYEGNQRDVYINYRRQYKESDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDDEFTIGCKEALAYAIEEQNKKGANLILLDIIKANRESVALYHITFKAEDVSLGEVKVYQTRVFHSLVPGHKETVVHIFRLKEPTNKDDKTD >Manes.15G024600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1932884:1938494:1 gene:Manes.15G024600.v8.1 transcript:Manes.15G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSTVQPSRSRDLDKLLLRPGNLVAPTFEPGIQLRGDLQEYVRILVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVSNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKEIDFYNDFSIIVLGLDSVEARSYINAVACSFLEYDSDDNPREETIKPMVDGGTEGFKGHARVIMPGVTPCFECTIWLFPPQVKFPLCTLAETPRTPAHCIEYAHLIKWDEVHTGKAFDPDDPEHMKWVYAEAVKRAELFGIQGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKIASGCSKSLSNYLTYNGVEGLHIKVTEFVKDNDCLVCGPGVLIELDTSVTLQKFIDMLEEHPKLFLSKASVRHLGKNLYMQAPPVLEEMTRSNLSLPLFELMGKVPKDVVHVTGVTIQNDSKSSCLRKLRVVFKGIDGVTDMDMAGGA >Manes.03G011600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:976768:982997:-1 gene:Manes.03G011600.v8.1 transcript:Manes.03G011600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTQLRESPSIHRLVLRLQEILCSCSKSIEGGDGSSVSELVDFLETVSGSALENPEDEVAQNNALEILSEIQTFLLSATLDQEVIDALSFELPKVASKFAGLSSRCLEIADGIIDHFIAKCSPRDMLSILCGALSATDRPIYSSGYVASILRGLSKVFISLQRRHFEQMKVAIPIILNVLKAACSELGDEDTEDLNLFHRAIGIADSIRAVCAKFEGRINEKFHALLGLYVLQVMALVSFSAGHKVSNCLPLVSQLSNFLPYCDLSYLGLITGSDVDAATNIIIEEVEDDFMSCLSYVKHGASLSVIWGYIYDDVAQAAGGDMSFVRGELQSNQTNRWQAVGMLKHILASTDVLWELKKHAINFLLCITNGNGTRNDGLTDCSIFLPSLCAASQAITKVIIYAPNTELRKNAYEALKRVLADTPTSERFDILTALLTTSDSSSMIAILLDLVRGELHMESLQRVSIKKDEAQQTKNQGSSMTSIWTTEVLKLVDIVLRPPEGGPPSFPEHGDAVLAALNLYRFILITESAGKTNFTEVLSKKNLQKAYNEWLLPLRTVVTGIMTENKNDYDQLAIDTVCALNPVELVLYRCIELVEEKLKHSS >Manes.09G177000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36746031:36755997:-1 gene:Manes.09G177000.v8.1 transcript:Manes.09G177000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKLFPACESMCVCCPALRSRSRQPVKRYKKLLAEIFPKSDGVPNERKIIKLCEYAAKNPFRIPKIAKYLEERCYKELRSEHIKFINIVTETYNKLLCMCKEQIAYFAISLLSVVSELLDKPKQDALLILGCQTLTSFIYSQTDGTYTHNIEKFVHQVCNLARVHGDEHHTSCLRASSLQCLSAMVWFMAKFLYIFSAFDEIVQVTLDNYGPDMHDDARGETHHNWVDEVVRSEGRGAVGSCDTSSSCMIIRPRPERKEPSLLTREEIETPKVWAQICIQRMVELAKESTTMRQVLDPMFVYFDSGRHWVPLQGLSITVLSDMCYLLESSGHQQLVLAAVIRHLDHKNVVHDPQLKSYVIQVAALLARQIRSEVELAEIGFVSDLCRHLRKSLQATVESVGEQESNLNVLLQKSIEDCLLEIAKAIRDAQPLFDMMAITLENLPSSGVVAHATVGSLIVLAHMISLSSVSSSSQQGFPEALLVQLLKVMLHPDVGVRVGAHQIFSMLLIPSSNHSRHGVVSLHSGYICEPRRWRSNAASTFSSISELLEKLRREKDGARMEKHKSYSPDDYRERDITEEDWKQGRPRKNSPNFYKISSIIDRTSGTTSLIEVEPYVMTLSEDQIAQLLSAFWLQANLPDNLPSNFEAMAHSYMLTLISSRLKSSSDGLMVRFFQLSLSLRNLSLDPNNGIGMLRPACRRSILVLSTGMLMFSAKIYQFTELDNLVKLVLPYDVDPYLGISDDLQVHAKPQADVRDYGSASDNQLASSLLLEMKNKAFESDKVIMDTLIQNISNATELEVDYLAGQLSEPFTPDDAFVFGPRSLLDLDHNPMVSHSKESLSFDEDMPTSSLIEDDATSEASVADMSRFIPKIPSSPSVSHIISIGKLLESALEVAGQVAGTSISTSPLPYDTMAKQCEDLGKGTRKKLSNWLAQETQYARGADKFLPAIPASGCPAVEQVMCNMQGAVKPMDPCLAMRLPPASPFDNFLKAAGC >Manes.09G177000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36746031:36756589:-1 gene:Manes.09G177000.v8.1 transcript:Manes.09G177000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKLFPACESMCVCCPALRSRSRQPVKRYKKLLAEIFPKSDGVPNERKIIKLCEYAAKNPFRIPKIAKYLEERCYKELRSEHIKFINIVTETYNKLLCMCKEQIAYFAISLLSVVSELLDKPKQDALLILGCQTLTSFIYSQTDGTYTHNIEKFVHQVCNLARVHGDEHHTSCLRASSLQCLSAMVWFMAKFLYIFSAFDEIVQVTLDNYGPDMHDDARGETHHNWVDEVVRSEGRGAVGSCDTSSSCMIIRPRPERKEPSLLTREEIETPKVWAQICIQRMVELAKESTTMRQVLDPMFVYFDSGRHWVPLQGLSITVLSDMCYLLESSGHQQLVLAAVIRHLDHKNVVHDPQLKSYVIQVAALLARQIRSEVELAEIGFVSDLCRHLRKSLQATVESVGEQESNLNVLLQKSIEDCLLEIAKAIRDAQPLFDMMAITLENLPSSGVVAHATVGSLIVLAHMISLSSVSSSSQQGFPEALLVQLLKVMLHPDVGVRVGAHQIFSMLLIPSSNHSRHGVVSLHSGYICEPRRWRSNAASTFSSISELLEKLRREKDGARMEKHKSYSPDDYRERDITEEDWKQGRPRKNSPNFYKISSIIDRTSGTTSLIEEPYVMTLSEDQIAQLLSAFWLQANLPDNLPSNFEAMAHSYMLTLISSRLKSSSDGLMVRFFQLSLSLRNLSLDPNNGIGMLRPACRRSILVLSTGMLMFSAKIYQFTELDNLVKLVLPYDVDPYLGISDDLQVHAKPQADVRDYGSASDNQLASSLLLEMKNKAFESDKVIMDTLIQNISNATELEVDYLAGQLSEPFTPDDAFVFGPRSLLDLDHNPMVSHSKESLSFDEDMPTSSLIEDDATSEASVADMSRFIPKIPSSPSVSHIISIGKLLESALEVAGQVAGTSISTSPLPYDTMAKQCEDLGKGTRKKLSNWLAQETQYARGADKFLPAIPASGCPAVEQVMCNMQGAVKPMDPCLAMRLPPASPFDNFLKAAGC >Manes.09G177000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36746031:36755997:-1 gene:Manes.09G177000.v8.1 transcript:Manes.09G177000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKLFPACESMCVCCPALRSRSRQPVKRYKKLLAEIFPKSDGVPNERKIIKLCEYAAKNPFRIPKIAKYLEERCYKELRSEHIKFINIVTETYNKLLCMCKEQIAYFAISLLSVVSELLDKPKQDALLILGCQTLTSFIYSQTDGTYTHNIEKFVHQVCNLARVHGDEHHTSCLRASSLQCLSAMVWFMAKFLYIFSAFDEIVQVTLDNYGPDMHDDARGETHHNWVDEVVRSEGRGAVGSCDTSSSCMIIRPRPERKEPSLLTREEIETPKVWAQICIQRMVELAKESTTMRQVLDPMFVYFDSGRHWVPLQGLSITVLSDMCYLLESSGHQQLVLAAVIRHLDHKNVVHDPQLKSYVIQVAALLARQIRSEVELAEIGFVSDLCRHLRKSLQATVESVGEQESNLNVLLQKSIEDCLLEIAKAIRDAQPLFDMMAITLENLPSSGVVAHATVGSLIVLAHMISLSSVSSSSQQGFPEALLVQLLKVMLHPDVGVRVGAHQIFSMLLIPSSNHSRHGVVSLHSGYICEPRRWRSNAASTFSSISELLEKLRREKDGARMEKHKSYSPDDYRERDITEEDWKQGRPRKNSPNFYKISSIIDRTSGTTSLIEEPYVMTLSEDQIAQLLSAFWLQANLPDNLPSNFEAMAHSYMLTLISSRLKSSSDGLMVRFFQLSLSLRNLSLDPNNGIGMLRPACRRSILVLSTGMLMFSAKIYQFTELDNLVKLVLPYDVDPYLGISDDLQVHAKPQADVRDYGSASDNQLASSLLLEMKNKAFESDKVIMDTLIQNISNATELEVDYLAGQLSEPFTPDDAFVFGPRSLLDLDHNPMVSHSKESLSFDEDMPTSSLIEDDATSEASVADMSRFIPKIPSSPSVSHIISIGKLLESALEVAGQVAGTSISTSPLPYDTMAKQCEDLGKGTRKKLSNWLAQETQYARGADKFLPAIPASGCPAVEQVMCNMQGAVKPMDPCLAMRLPPASPFDNFLKAAGC >Manes.09G177000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36746031:36756589:-1 gene:Manes.09G177000.v8.1 transcript:Manes.09G177000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKLFPACESMCVCCPALRSRSRQPVKRYKKLLAEIFPKSDGVPNERKIIKLCEYAAKNPFRIPKIAKYLEERCYKELRSEHIKFINIVTETYNKLLCMCKEQIAYFAISLLSVVSELLDKPKQDALLILGCQTLTSFIYSQTDGTYTHNIEKFVHQVCNLARVHGDEHHTSCLRASSLQCLSAMVWFMAKFLYIFSAFDEIVQVTLDNYGPDMHDDARGETHHNWVDEVVRSEGRGAVGSCDTSSSCMIIRPRPERKEPSLLTREEIETPKVWAQICIQRMVELAKESTTMRQVLDPMFVYFDSGRHWVPLQGLSITVLSDMCYLLESSGHQQLVLAAVIRHLDHKNVVHDPQLKSYVIQVAALLARQIRSEVELAEIGFVSDLCRHLRKSLQATVESVGEQESNLNVLLQKSIEDCLLEIAKAIRDAQPLFDMMAITLENLPSSGVVAHATVGSLIVLAHMISLSSVSSSSQQGFPEALLVQLLKVMLHPDVGVRVGAHQIFSMLLIPSSNHSRHGVVSLHSGYICEPRRWRSNAASTFSSISELLEKLRREKDGARMEKHKSYSPDDYRERDITEEDWKQGRPRKNSPNFYKISSIIDRTSGTTSLIEVEPYVMTLSEDQIAQLLSAFWLQANLPDNLPSNFEAMAHSYMLTLISSRLKSSSDGLMVRFFQLSLSLRNLSLDPNNGIGMLRPACRRSILVLSTGMLMFSAKIYQFTELDNLVKLVLPYDVDPYLGISDDLQVHAKPQADVRDYGSASDNQLASSLLLEMKNKAFESDKVIMDTLIQNISNATELEVDYLAGQLSEPFTPDDAFVFGPRSLLDLDHNPMVSHSKESLSFDEDMPTSSLIEDDATSEASVADMSRFIPKIPSSPSVSHIISIGKLLESALEVAGQVAGTSISTSPLPYDTMAKQCEDLGKGTRKKLSNWLAQETQYARGADKFLPAIPASGCPAVEQVMCNMQGAVKPMDPCLAMRLPPASPFDNFLKAAGC >Manes.01G194200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36861924:36865074:-1 gene:Manes.01G194200.v8.1 transcript:Manes.01G194200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQRRKRKRIYKSHANFFSAKSLTVCLSFFAFLLFISSDRSPIRTGSFRPVLSVPTSLSLLPTRLALTRDSFDARSLSLVVEDRVLLPDHLLLIVSNKLHNTENLYCVYYSLLNSSSSVDVVLKPTLFVDEYREAKSIVRCQLPPTNFSAAVDLRRTWELAEGDGLLRSNADSAVPSWERVVYEAVLDLNTAVVFVKGLNLRPHKDSDPTQFRCHFALTNFDKNEGFVFTTKAIAAAQEVIRCLMPRSVRRNPDKAQGIRVTVGRVDAGEAALLPSVAKVYSTNLHRRKSYSRKKYELCACTMLWNQASFLREWIVYHAWLGVERWFIYDNNSDDGIQVVIDELNLQNYNVSRYAWPWPKAQEAGFSHCALRARSECKWLGFFDVDEFFYFPRHRGQDMLGQNSLRTLVANYTNSTTYAEIRTICHSFGPSGLTSAPSQGVTVGYTCRLQAPERHKSIVRPELLDVTLLNVVHHFRLQRGYRYLNVPESTAVVNHYKYQVWDTFKAKFFRRVSTYVTNWQEDQNQGSKDRAPGLGTMAIEPPNWQLRFCEVWDTGLKDFVLANFADTATGVLPWERSPF >Manes.11G057100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6928278:6929862:1 gene:Manes.11G057100.v8.1 transcript:Manes.11G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSTQTLLLLPLLMSLLLPFSMPFTVIVSDSGNQSSIIDGLQNGFSMNLSGAQTEAHEQEAVYDIMKATGNAWATDIPDVCRGRWHGIECMLDKDNVYHVVSLSFGALSDDTAFPTCDPTSSYISQSITKLPHIRTLFFYRCLSHNPQPIPSFLGQLGPTLQTLVLRENGHVGPIPNELGNLTRLKVLDLHKNSINGSIPVSLGRLTGLKSLDLSGNRLTGSIPSLSFPVLNVLDLNRNLLTGSISSSLGSCHSLIKMDFSHNRLSGSIPDSFSGLKELILMDLSQNRLSEPLPISIRSLSSLQALILEGNPMSTTLNGEEFDGMKGLTILILSNTNLHGPIPDSLGRLTNLRVIHLDQNCLNGSIPRNFKNLKNLSELKLNDNQLSGPVPFGREMVWRMRRKLRLHNNTGLCYDADSGFEDGLDSSFDSGIGLCDKGRPSSAKTVQHLSTTAKSLPLASRVNKSSGADQKVPILVMLLGQTIVVVLILLLL >Manes.13G060700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7106153:7109888:-1 gene:Manes.13G060700.v8.1 transcript:Manes.13G060700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANSSLISCNFSSLPLKAPRDRAHLQTRTPNNGLLYLRPRSGTTLKVSNFLKPRICKTSNFPFQKKGLLQVCHSVLDSKDSEKDPVLENETNNEKEGRDWTTSILLFVLWGVLMYYVFNLAPNQTPATDEYFLKKLLNLKGDDGFEMNQVLVSLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLILSCFGGAYALLPYFVLWRPPPPPVEESELGKWPLNFLESKLTAGISFAVGIGLFLYAGLANGDVWKEFYQYFRESKFIHIMSLDFTLLSAFAPFWVYNDMTARKWFNKGSWLLPISLVPLLGPALYLVLRPSLSQMPVSLGPASSEQK >Manes.07G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34492527:34494762:1 gene:Manes.07G142200.v8.1 transcript:Manes.07G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETAIFKFLKPRLRPQPTDVQAAAFWGVAAASAALYLIQPFDWIKKTFFENGEPGGN >Manes.03G199100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31588373:31590039:1 gene:Manes.03G199100.v8.1 transcript:Manes.03G199100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAITKKLLANAILLLCLAMASCEAKGLRGGMIKPSRLPGREDPTVKIFNILHFGAKTDPKEDNALHLIRAWNAACNWKGKARLLVPNGVFVASSMVFVGPCNNTAPIVFQLVGTLKALSDLTCYSEDFWMIFQNINGLVISGNGIVDGQGPNVWKYNDHSGSVFPASIKLYHVTDGIIRQINSVNPMGFHIGIVLSQNIKAIDLHLTAPEDSPNTDGIHISQSSQVVIDRSVIATGDDCVGMIQGSTDITIKKVICGPGHGISIGSLGKYPDEKDVKGILVTNCTMKKTENGIRIKTWGVSPPSKATALTFRDLIMHEVRNPIIIDQSYGSKSKGPSKVQISDVKYMNVRGTTTSEVGVDIECSKQFPCQRVQLANINLKYTGAKKNPLSSVCENAEVSYSGIQFPPPC >Manes.05G131100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23225552:23230247:1 gene:Manes.05G131100.v8.1 transcript:Manes.05G131100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFSCISPHKNDTKIDIENGLRSTSRHSSDSSVSGRGRSSSHGSGKVNRRKTTDTSGARSFTFRELAAATSNFRELNLIGEGGFGRVYKGRLESSEIVAVKQLNHDGVQGFQEFIVEVLMLSLLHHPNLVTLIGYCTSGDQRLLVYEYMPMGSLENHLFDLGSDKEPLNWSTRMKIAVGAARGLEYLHCRANPPVIYRDLKSANILLDADFSPKLSDFGLAKLGPVGEKTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDRSKMPGEQNLVAWSRPFLKDQKKFYQLVDPLLEGRYPRRCLNYAIAITAMCLHEEAHFRPLIGDIVVALEYLASQCQGTESNSNQVRSDVPQSSLPIDKDSCPREPV >Manes.03G066501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7298703:7301059:-1 gene:Manes.03G066501.v8.1 transcript:Manes.03G066501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACAYPASRVRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTNFREGTQATPRNKGAAAASS >Manes.11G133800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29823558:29825731:1 gene:Manes.11G133800.v8.1 transcript:Manes.11G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Manes.09G125401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32743047:32748178:1 gene:Manes.09G125401.v8.1 transcript:Manes.09G125401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSKETALKAFSSCGRSTRTKKSSFSQSNRPSVEKDISSKRTAGKCGLIDLEWTDKISECPIYHPSYEEFEDPFNYIQKIAAEASNYGILNFILHSPLFENMGAQIPYAKNYLFRVKLIIVFTRDVQNFQLSPIKPSVPASEVLRDFKFPTYVQPLRLTECNMDDKFTFSIGKSILDSYLLLLFSFGYENFFCRFSSSKYLSPEHLEKNFWLEMSCGKEETAEYAINVDGSAFSSDPDDQLGASKWNLKTLPKLPNSVLLLVENEIPGVTNPMLYIGMVYSMFAWHVEDHYLFSINYDHTGAPKTWYGVPSYAGLQFEKVVLENVYAHDILSIDNEDGVFKEIAEKTTMFPPSILLKQSVPVYKAVQMPGEFVVTFPRAYHAGFSNGFNCGEAVNFAIGDWFPFGELASKRYARIGMMAILPREEILCKESAQLLKHEDLNRSSAGLASHNSLEISFVRHMRFFNNALWKLKNNVENLNHIKSLDCPCGGKRNLFIRENIRDMEELAQKLEEEGIMRKIQKETKYGNNVGLQPNAINFCKKSERIPDSKNDDLQRQESGATRTGESIKEMTATNARGKDDNGDSDVVKSNKRGCLGLTGLLPFLSDCKRARTSCKLQKTPRKFSKSASEVPSSA >Manes.01G057100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24360017:24361525:-1 gene:Manes.01G057100.v8.1 transcript:Manes.01G057100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFTFMEDWDLQAVVRGCSSEAFANIMNDSPPLFTPLNLDPDELFSFPEIFDTTTDYNGQEDIYEPLCSLHQESISSSSDVNVTSSVSLPICKVKEPEKLQKKHPRSESAAPGSSSDTAVAAKSKRRKNQQKRVVNHVTEDGLSSDMWAWRKYGQKPIKGSPYPRNYYRCSSLKGCLARKHVERSSADPSVFIVTYTAEHSHARPTRRNSLAGTTRIKSSMAKQAISNEPNSPIIKDECLDTVPSSTTLSMASKDELVPDVRIKNEEEGEEEQISNEIVMPDIIFSDDLFPSFEDFEELNMEHGFAATVD >Manes.01G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24360017:24361525:-1 gene:Manes.01G057100.v8.1 transcript:Manes.01G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFTFMEDWDLQAVVRGCSSEAFANIMNDSPPLFTPLNLDPDELFSFPEIFDTTTDYNGQEDIYEPLCSLHQESISSSSDVNVTSSVSLPICKVKEPEKLQKKHPRSESAAPGSSSDTAVAAKSKRSRKNQQKRVVNHVTEDGLSSDMWAWRKYGQKPIKGSPYPRNYYRCSSLKGCLARKHVERSSADPSVFIVTYTAEHSHARPTRRNSLAGTTRIKSSMAKQAISNEPNSPIIKDECLDTVPSSTTLSMASKDELVPDVRIKNEEEGEEEQISNEIVMPDIIFSDDLFPSFEDFEELNMEHGFAATVD >Manes.S025952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2203349:2203507:1 gene:Manes.S025952.v8.1 transcript:Manes.S025952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.09G062800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10590305:10591633:1 gene:Manes.09G062800.v8.1 transcript:Manes.09G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHSRIVLLFFLYATFTIFCYVSVISEVKTKPTKLITKLIHRNSIHSPFHNPHHGIEDKAKFIFESSLARFANHNYKTYLIPGTHMWFIFLVRFYIGNPTIPQLAIMDTASSLLWVQCSARRSPIPLLDPIKSSTYANIVCKSKFCRHFPDNSCIKKHCTYNISYVNAPTSLGNAATEQLLFESDGNIVVVSQVIFGCSTVEKTYIDNGINGVVGLGPENISMARQLANKFSYCIGDFYDPNYNYNRLILGDEARLEGDATSLEMSEVHYYLNLRGISIGDNKLDIDKNVFKRNLTDQSKLTGVIIDSGSIATWLINEAYYKFRNEVKRILSDSILEDMDECRWCLCYKGEMSEDLKGFPEVVYHFSEEADLEVGFDGIFYEATTSTFCMAVYPSSHLPDKHFWDITVIGIMAQQNHNIAYDLQEKKLYFESIDCEVYEG >Manes.08G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37847807:37850848:-1 gene:Manes.08G142200.v8.1 transcript:Manes.08G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKQTEEEIMQEEEEMVTPGEVLGKAAEVKPGKGAYLAPYRNTEILYVYSSLTGVRRTLSPPADSTDQRPIVEVIGHKAHGAVPEPGCVVIARVTKVMAKMASADIMCVGPKSVREKFTGIIRQQDVRATEIDKVDMHLCFRPGDIVRAQVLSLGDARAYYLSTAKNELGVVSAESTAGATLVPISWTEMQCSLTGQIEQRKVAKVGS >Manes.09G012601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2956835:2957168:1 gene:Manes.09G012601.v8.1 transcript:Manes.09G012601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSKILGQRHVTKSSFSYKLLSSSTDQKTKIPQIQKGYVAIYVGDERKRYQVLIENLEFSTLQELIKQSHDSDFDSKIDGPIVLTCTTDREF >Manes.04G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31069934:31077860:1 gene:Manes.04G105800.v8.1 transcript:Manes.04G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHYRASNSLRRGSSSVWRNNGLDVFSRSSREEDDEEALKWAALEKLPTYDRLRKGILVSVSKGGANEIDVDNLGFQERKTLLERLVKVAEEDNEKFLLKLKNRIDRVGIEVPTIEVRYEHLNVEAEALVGSNALPSFLNFSFSLVEGLFRYILPNRKRPLTILKDVSGVIKPSRMTLLLGPPSSGKTSLLLALAGKLDPSLKVSGTVTYNGHSLNEFIPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTQHEMLAELSRREKAANIKPDPDLDVFMKAAATEGQETSVVTDYVLKILGLDICADTLVGNEMIRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFSIVNSLKQSIHILNGTAVISLLQPAPETYNLFDDIILISDGQIVYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSKKDQQQYWARKDQPYRFVTVNEFAEAFQSYEVGRKIAEDLSVPFDRTKNHPAALTTKPYGVGKMELIKANFSREYLLMKRNSFVYVFKLTQLIVMAIIGMTLFFRTEMKHDSFEDAGVYAGALFFTLITIMFNGMAELSMTIAKLPVFYKQRNLLFFPAWSYAIPSWILKIPVTFLEVGVWVFITYYVIGFDPNVGRLFKQYMLLLLVNQMASGLFRFIASVGRNMIVANTFGSFALLTLFALGGFVLKRSDIKKWWIWGYWVSPLMYGQNAIVANEFLGNSWNHIPANSTSTDSLGVQFLKTNGFFPHAYWYWLGVGASAGYILVFNLLYTVALTFLDQFEKPQAVISDEPEESNRSGGAIQLSQAESSHRTQTESGTSGIDESNHNKKKGMVLPFEPHSITFDNVIYSVDMPQEMKSQGVVEDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPYVTVYESLVYSAWLRLPPDVDSETRRMFVEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRHSCHLIKYFEGIGGVSKITDGYNPATWMLEVSSSAQELTLGVDFANVYRNSDLYRRNKAMIQELSKPAPGTEDLYFPTQYSQPFLTQCMACLWKQSWSYWRNPPYTGVRFWFTTFIALMFGTIFWDLGSKMEKEGDLTNAMGSMYAAVLFLGVQNSSSVQPVVAVERTVFYREKAAGMYSAMPYAYAQALIELPYIFAQAGVYSLITYAMIGFEWTAAKFFWYLFFLYFTLLYFTFYGMMTVAVTPNHHIASIVSSAFYSIWNLFSGFIVPRPKMPVWWRWYYWGCPISWTLYGLFASQFADITKPLGTTGKTVEEYVNETYGIKHDFLGASAGVIFGIALLFAVIFAVSIKAFNFQRR >Manes.07G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32594947:32597600:1 gene:Manes.07G121600.v8.1 transcript:Manes.07G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEEERLSIEEQQKQEQCGRGTSLTKQRSFDLEADGVTTYTDRHKKDWRTILKLAFQCIGVVYGDLGTSPLYVLPGIFPDGAENKEDVLAVLSLIIYSIIIISLIKYVFIVLSANDNGDGGTFALYTLICRHAKVSLIPTQQAEDKEVSTYKLDLPKHSTKMASALKTSLESSLIIKYSLLFTTMVGVSMVLGDGILTPCISVLSAVGGIKEAVPNLSEDVIMWISVVILILLFQVQRFGTHKVGYSFAPILVLWFAFIASIGLFNFIKHDPGVIKAVNPWHIVKYFQRKKKNAWISLGGVVLCLTGSEALFADLGHFHIHSIRLSSCALIVPSVLLAYMGQCSYLSKNTEDAANSFYKSIPKALYWPQFVLAVLASIIASQSLISASFSIIQQSVALGCFPRVKVVHTSSEHEGQVYVPEINTLLMLACVGVTLGFKNTLTIGNAYGIAVTFCFVITSSFLVLVMVMVWKTNIILIIIYVLTIGFIEMLFLSSTLYKFADGGYIPLLFAFIIVSIMFIWNYGYRKKYMYELKNKVPIETLTAIVSNERICRVEGLALFYTRLVHGISPTFTHYVSTVPALHEVLIFVSIKSLPVSKVPAEERFLFQRVKPGELIFRCIVRYGYKDQRMDHKTFQEMLADQLKAFIEEDNCEEDRQRELALVDNALEDGFVYLMGEGEVMAANGSSWVKKLVVNHLYNWLSRCVRQPDEVFLIPHKQLLKVGMTYDV >Manes.02G143100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10969557:10975615:-1 gene:Manes.02G143100.v8.1 transcript:Manes.02G143100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYVKDSELHVLNLLYNEPKQLTHGAQGNVVTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTEVDSSKIPLFRIMHQGKSSVGVEAQEDHAYPFAGASNAKVCLGVVSVAGGPTTWLDLDCGGTKELDNEEEYLARVNWMHGDILIAQVLNRSHSKLKILKFDIKTGQRKVILVEEQDTWVNLHDCFTPLDKGVSEYSGGFIWASEKTGFRHLYLHDANGPCLAPITEGEWMVEQIVGVNEAAGLVYFTATLDGPLQSNLYCCKLYPDGNQNSLGPVRLTHGKGKHVVVLDHHMRNFVDIHDSLACPPRILLCSLLDGTVIMPLHEQSSTVPRFKRLELEPPKIVQVQANDGTILYGALYKPDPTKFGPPPYKTLINVYGGPGVQYVSDSWLNTVDMRAQYLRSKGILVWKLDNRGSARRGLRFEGALKYNAGGVDADDQLAGAEWLIKQGLAKVGHIGLYGWSYGGYMSAMILARFPDVFRCAGAGAPVTSWDGYDTFYTEKYMGLPSQNPTGYEYSSVMHHVHKIKGKLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHRDRVYMEERIWEFVERSL >Manes.02G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10969557:10975614:-1 gene:Manes.02G143100.v8.1 transcript:Manes.02G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVNENQNNKLKRLRSFSNDMPVTDTIAPQNLDDCIFFPVEDIVQSPLPGYDAPTSISFSPDDTLITFLFSPDQNLSRMVFAFDLKTGKRELFFSPPDGGLDESNISPEEKLRRERSRERGLGVTRYEWVKTNSKKKAIMVPLPAGIYLQELYSSKVELKLPSSPFSPIIDPHLSPDGTMLAYVKDSELHVLNLLYNEPKQLTHGAQGNVVTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTEVDSSKIPLFRIMHQGKSSVGVEAQEDHAYPFAGASNAKVCLGVVSVAGGPTTWLDLDCGGTKELDNEEEYLARVNWMHGDILIAQVLNRSHSKLKILKFDIKTGQRKVILVEEQDTWVNLHDCFTPLDKGVSEYSGGFIWASEKTGFRHLYLHDANGPCLAPITEGEWMVEQIVGVNEAAGLVYFTATLDGPLQSNLYCCKLYPDGNQNSLGPVRLTHGKGKHVVVLDHHMRNFVDIHDSLACPPRILLCSLLDGTVIMPLHEQSSTVPRFKRLELEPPKIVQVQANDGTILYGALYKPDPTKFGPPPYKTLINVYGGPGVQYVSDSWLNTVDMRAQYLRSKGILVWKLDNRGSARRGLRFEGALKYNAGGVDADDQLAGAEWLIKQGLAKVGHIGLYGWSYGGYMSAMILARFPDVFRCAGAGAPVTSWDGYDTFYTEKYMGLPSQNPTGYEYSSVMHHVHKIKGKLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHRDRVYMEERIWEFVERSL >Manes.01G149000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33835417:33838750:1 gene:Manes.01G149000.v8.1 transcript:Manes.01G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFENHGYSSSVMVVFFLTVARLFPLNTAVPSIKLPRNEKAEAVFVFGDSIVDTGNNNNILTTAKCNFPPYGRDFMGGKPTGRFSNGRVPSDFIAEAFGVKKFLPAYLDPDLQLQDLLTGVSFASGGCGYDPITSTLAPAFSLSDQLDQFKDYIKRIDSAVGEARRAKIVSKSVFVICTGTNDILNTYYSTALRQFHYTIDSYTDFLISCASSFIQELHGLGARIFLVLGLPPMGCVPSQRTIHGGIHRKCADYANQAAILFNSKLLSSIHSLNSTLSHAFATYLDVYNPLLFLIQNPAKYGFQEATKGCCGTGKIEVTYLCYHLDYPLTCKDDSKYVFWDSFHPTQKAYETLITIVLKSVNYLFG >Manes.05G046100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3839557:3844002:1 gene:Manes.05G046100.v8.1 transcript:Manes.05G046100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGAAMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPALYDSGLDSVTAFAVLIPFHCLLVMLLWSYFSVVLTDPGSVPPNWRPATDEEGGEAYPLNASDFNGLPANPSNQSIRYCRKCTQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLSLLPHFIAFFSDNDIPGSPGTLATTFLAFVLNLAFALSVLGFLVMHISLVSANTTTIEAYEKKTTPKWRYDLGRRKNFEQMKLDVCLIVWNLPDCSEVFPVLVGLD >Manes.05G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3839557:3844002:1 gene:Manes.05G046100.v8.1 transcript:Manes.05G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGAAMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPALYDSGLDSVTAFAVLIPFHCLLVMLLWSYFSVVLTDPGSVPPNWRPATDEEGGEAYPLNASDFNGLPANPSNQSIRYCRKCTQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLSLLPHFIAFFSDNDIPGSPGTLATTFLAFVLNLAFALSVLGFLVMHISLVSANTTTIEAYEKKTTPKWRYDLGRRKNFEQVFGADKRYWFIPAYSEEDLRRMPALQGLEYPSKPDLDSQEF >Manes.06G086800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22345968:22346414:-1 gene:Manes.06G086800.v8.1 transcript:Manes.06G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALQQRNLPPSLDISVEEEKPNPPSTDVHKPILTPSPRCNGSTLYYDSFELRAVTNHLNKAMQNLNTSSPTLISFLKSPFYSHYLDSIHKRNAKTPKMIMGSQFTRRSLDCKASRAGTTAARGGFIRRLWKKVKQGVLRNKQGNEG >Manes.16G027300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2939422:2942819:1 gene:Manes.16G027300.v8.1 transcript:Manes.16G027300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGALTFELPLCSSFSSLCNSTRSVKYSARLRIQCSNSTPEVPLATEMVQNDAFITGGAYGFERATISLTQKLLSSPKKVTLIRHGLSSWNEEGRIQGSSNLSVLTETGVQQAERCRQALENMHFDRCFSSPISRAKSSAEVIWQGKEEPLVFLDSLKEAHLFFLEGMRNVDARVRYPKEYTSWREDPANFYVNGVYPVRKLWGTAREAWKEILFSPGENFLVVTHKSILRALICTALGLGPERFRAMDVNNGGISVFSFNKRGEAMLQSLNMTAHMYTDHIYQY >Manes.05G113166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14017199:14035210:-1 gene:Manes.05G113166.v8.1 transcript:Manes.05G113166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKGRAKINIEEVVEFFNNLYGEKPLLPFLIPLVLIFWVIEKWVFSVSYWVPLVVAVWATIQYYTYQRKILVEDLNRKWKRVVLDTSPITPLEHCEWLNKLFMEVWLNYINSKLSIRFSSMVEKRLKQRKSKLIERIELLEFSLGAYPPYLGLHGIRWSTSGDQRFMHVGFDWDTNDISIMLMAKLAKPMGTARIVINSLHIKGDLLIIPVLDGKAILYSFVSTPEVRIGVAFGSGGSQSLPATELPGVSSWLVKILTETLVKTMVEPRRRCYSLPAVDLRKKAVGGVIHVTVISASKISSTSFRGSPSRRQQNYSANGSLEEHFDDKDLQTFVEVELEQLTRRTGVKPGSSPRWDSTFNMILHEETGILRFHLYNSNPSSVKCDYLASCEIKMKYVADDSTMFWAVGPNSGVIAEHVEFCGKEVEMAIPFEGVNSGELIVKLVLKEWQFSDGSHSFNKFRVSSRQSTDGLSNVLSRTGRKVNVIVVEGKDLTTKERSGKCDPYVKLQYGKVFQRTRTAHNPNPLWNQKFEFDEIGGGEYLKIKCYNEETFADDNIGSAQVNIEGLVEGSIRDVWVPLEKVNSGELRLQIEALRADECDGSKGSTAGSGNGWIELVLIEARDLIAADLRGTSDPYVRVQYGNLKRRTKVMYKTLNPKWNQTLEFPDDGSPLELHVKDHNALLPTLSIGDCVVEYQRLPPNQMSDKWIPLQGVKRGEIHIQITRKVPEVQRRPSLDSDASVIKSREISTQMRESMIKFRSLIEDGDLEGLSTTLSEMEVLEDMQDDYMLQLETEQNLLLNKIKELGQEIFSSSSSIIRRSIS >Manes.14G129500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10331525:10337442:-1 gene:Manes.14G129500.v8.1 transcript:Manes.14G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRRDRSVSFDRCKGSPYTCSSSRSRRTSPKIPAETGVNLKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCDTSYRHSNCLDQFRKSFTETPLTNLQPEENSFTATNSTTVGISEPTVTGEQSEEGPLSAVAITCEKKMQPTLVCPLCRGQIKDWTVMEPARRFMNAKPRSCACETCDFSGTYSDLRKHARLEHPLVRPSQADPERQQNWRMLERQRDLGDLISTLHSSFGEERGDDNILPIDDGGWLTVFFLIRVFRPGSSTRSSSWSGTSRARGQLSFRRRSTRLWGETHDGETGSSSRDEGNDSSDGGSGTWRHSEHIGQQPSPDHL >Manes.11G112200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25991725:25995677:1 gene:Manes.11G112200.v8.1 transcript:Manes.11G112200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFQNPPCHLACVEFDQRVSTSVFCISALGAVLHVFSTSILGITAVTMANTIAGEETVHKLASLLLVFLGGSYVLLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAAGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGILTLIFHDHDHDHDGDGGFSGERLHRKIVVL >Manes.11G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25991725:25995677:1 gene:Manes.11G112200.v8.1 transcript:Manes.11G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLLVTALGAVLHVFSTSILGITAVTMANTIAGEETVHKLASLLLVFLGGSYVLLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAAGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGILTLIFHDHDHDHDGDGGFSGERLHRKIVVL >Manes.17G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24813540:24815965:-1 gene:Manes.17G050900.v8.1 transcript:Manes.17G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEALCAICQESFSTTITALTEPIDVYSEWIDECERVNNLDDDDA >Manes.09G014201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3167516:3167857:1 gene:Manes.09G014201.v8.1 transcript:Manes.09G014201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRVFSRKMNSLFKILGQPYAIKSSFYYKLLSNSTDQKTKTPQVRKGYIAMYVGDESKRYQIRVENLKFPTLQELIKQSQNGDLDSKIDGPIVFACTTDKFDETCKEILTV >Manes.14G086600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7161562:7168070:-1 gene:Manes.14G086600.v8.1 transcript:Manes.14G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRQHVITGRRLSQRRLPPGDSHLSNGSSDKESFDVYHRATAAEMNLNKSLNEKQRISTESSRPSFSSSCSSSLSSLDCNRTAQPEASSFDRIIFPETPSRDAALTQQSTSPHLGRQSLDLRDVVKDSMYREAKGLSVRTSTKEEAVAYAVKHKDSPRPLQLSKSVDGSYGIGNKGKQNSAVDLNESLRVLAKLREAPWYYNETKERPRSLHDLKEEPSHIISKDAPRFSYDEREMNRFSFESRDTIKSNLRLKELPRLSLDSREISMRGSNSDSKSSFISKDLQNGVNPNEKVYNIQQSLGTQKRPPNVVAKLMGLEALPHSASTSSSQSDLIKSFPVDHNDSLSTSSKANDLNRQIRIPKSPRSSSKEPISPRWKNPDLIMKPISRLPIEPAPWKQQEGNRPSQRPAKFSAEIPNPFPTVYSEIEKRLKDLQFNQSGKDLRALKQILEAMQAKGLLETRKEEQGTNFGIQREHEPNCNSASQRPRLLRQRYQQNSHLSASTTRSSGSLRGHESPIVIMKPTKLVEKSGIPASSVISIDSLSNLHKTPSSGHADVKSRSVNSRTAKDQSPGISHLDSAAKSIDKKASVRNRSTQSSTRPQQLSKENTTSSIKSSGSVSPRLQPKKLELEKRSRPPTPPSDSNRPRRQSSRISTDSGSPGGKNRLKAHKLPQSDDQLSQISTESSHQGDDISLQSDSTVIFDSKADMEVTSTEKSTEINGSHSPSMKARNHLVLGAEIPTSMLEEDGILAEFAVDTLEHPSPISVLDASVYRDGTPSPVKQISNVAEGDGAEDSKDQQSENQWNLADNFVSNSVGSGLTSEISRKKLQNVESLVKKLRRLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSGMAAFQLHSSGHPINPELFFVLEQTKGSTLLSKEECSPGKTSHSKPNPERFHRKLIFDAVNEMIVKKLALAMPSAEPWLKSDKLAKKTLSAQKLLKELCSEIEQLQSKKSGSWLEEEEDGMKGILCDDVMHRSEIWTDFHDEVSGLVLDVERSIFKDLVDEIVMGEAAGLRTRPARRRLLSTK >Manes.04G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27639599:27641072:1 gene:Manes.04G074900.v8.1 transcript:Manes.04G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNEPPQEASTTRTTTTISFNHVKEENEMISNTTPVVCKEEKNPVQEKCPDLNLELKISLPYQSQVPEPMKTGARDLCFACRLGLQNSKHCSCNLGAHIGSSSGSTNSGYEFLAMKSGVLDYRSLEMK >Manes.01G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31112982:31113828:1 gene:Manes.01G113100.v8.1 transcript:Manes.01G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISTPFAGTWRRYWRRKRYQRLDGAFTGRKNMRVVRFGGGSPRRAWKIRPKLRILKIAATSPLRLLRKLKNAYVDMMLNLAGNVSFLNNDTVFGNKRIPKARQAPAAAYSNEEFETRLVYEIYKALKSTQVNCKSFN >Manes.07G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14536824:14540884:-1 gene:Manes.07G070100.v8.1 transcript:Manes.07G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKMDLLQLMLLLQLWIGVLTLTEAVRNDSDPPVRGDIYSNGKIYDITHLINPKMPKWGSPDGMGQVVTIIDDMQEGAEAYTSEMDLPSHTGTHVNAPSHFFEDYFEQGFDTSTLSLKTLNGPALVVDVPRNTNITAEVMRNLQIPQGIHRLLFRTLNTDRKLMYQSKFHSDYVGITGNGANWIVDNTNIKLVGIDYLSIATYTDAVPTHHALLRSREIVIVEGLKLDNVKAGIYDLHCLPLRVLGAEGTPARCILMSSNFQASDFMSKDFVPLL >Manes.01G145300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33557399:33562415:1 gene:Manes.01G145300.v8.1 transcript:Manes.01G145300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGREGDWECSGCKNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMPGASLPTYSHYFARAPGGLEQKMNIGFAGNGALPQPLPLASTWSAGGPDKYGVQSASTWPIGGNQISGPPYINTVNQPPTVPKGWRNGDWMCNCGFHNYSSRAQCKNCNASVPPALGTKRLASEDFTQDWENKRLNLGNNNQTNEGQQTYPVFNQVVGNSGDPRPGSYATYPIVNSGAAPNWQVPVQFPLQLTTPALLGKGAKQWRNGDWMCTNCHNHNYASRSQCNRQLLSQ >Manes.01G145300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33557399:33562415:1 gene:Manes.01G145300.v8.1 transcript:Manes.01G145300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHRRLDLHRAPGGLEQKMNIGFAGNGALPQPLPLASTWSAGGPDKYGVQSASTWPIGGNQISGPPYINTVNQPPTVPKGWRNGDWMCNCGFHNYSSRAQCKNCNASVPPALGTKRLASEDFTQDWENKRLNLGNNNQTNEGQQTYPVFNQVVGNSGDPRPGSYATYPIVNSGAAPNWQVPVQFPLQLTTPALLGKGAKQWRNGDWMCTNCHNHNYASRSQCNRLVSKWICFTAY >Manes.01G145300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33557399:33562415:1 gene:Manes.01G145300.v8.1 transcript:Manes.01G145300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGREGDWECSGCKNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMPGASLPTYSHYFARAPGGLEQKMNIGFAGNGALPQPLPLASTWSAGGPDKYGVQSASTWPIGGNQISGPPYINTVNQPPTVPKGWRNGDWMCNCGFHNYSSRAQCKNCNASVPPALGTKRLASEDFTQDWENKRLNLGNNNQTNEGQQTYPVFNQVVGNSGDPRPGSYATYPIVNSGAAPNWQVPVQFPLQLTTPALLGKGAKQWRNGDWMCTNCHNHNYASRSQCNRLVSKWICFTAY >Manes.01G145300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33557399:33562415:1 gene:Manes.01G145300.v8.1 transcript:Manes.01G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGREGDWECSGCKNRNYAFRSFCNRCKQPRLLVDTKTPADSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMPGASLPTYSHYFARAPGGLEQKMNIGFAGNGALPQPLPLASTWSAGGPDKYGVQSASTWPIGGNQISGPPYINTVNQPPTVPKGWRNGDWMCNCGFHNYSSRAQCKNCNASVPPALGTKRLASEDFTQDWENKRLNLGNNNQTNEGQQTYPVFNQVVGNSGDPRPGSYATYPIVNSGAAPNWQVPVQFPLQLTTPALLGKGAKQWRNGDWMCTNCHNHNYASRSQCNRCKTQRDAVVQATSQPMNAV >Manes.01G145300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33557399:33562415:1 gene:Manes.01G145300.v8.1 transcript:Manes.01G145300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSHRRLDLHRAPGGLEQKMNIGFAGNGALPQPLPLASTWSAGGPDKYGVQSASTWPIGGNQISGPPYINTVNQPPTVPKGWRNGDWMCNCGFHNYSSRAQCKNCNASVPPALGTKRLASEDFTQDWENKRLNLGNNNQTNEGQQTYPVFNQVVGNSGDPRPGSYATYPIVNSGAAPNWQVPVQFPLQLTTPALLGKGAKQWRNGDWMCTNCHNHNYASRSQCNRCKTQRDAVVQATSQPMNAV >Manes.01G145300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33558503:33562415:1 gene:Manes.01G145300.v8.1 transcript:Manes.01G145300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLVCVYMASFPSSWLHSGLHVYGNGSIGCTNNNYASREKCKKCGQPKEVAAMPAIAMPGASLPTYSHYFARAPGGLEQKMNIGFAGNGALPQPLPLASTWSAGGPDKYGVQSASTWPIGGNQISGPPYINTVNQPPTVPKGWRNGDWMCNCGFHNYSSRAQCKNCNASVPPALGTKRLASEDFTQDWENKRLNLGNNNQTNEGQQTYPVFNQVVGNSGDPRPGSYATYPIVNSGAAPNWQVPVQFPLQLTTPALLGKGAKQWRNGDWMCTNCHNHNYASRSQCNRCKTQRDAVVQATSQPMNAV >Manes.14G048400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4217723:4218985:1 gene:Manes.14G048400.v8.1 transcript:Manes.14G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGKAMWRKNLFLKAWERCRSLGSGSKKSARNIISSSLIKSKSWHCTTRSIEGDNEKRKRKIKVAPDGCFSVYVGPEKQRFVIKTEFANHPLFKLLLEDAELEYGFNSEGPILLPCDVDLFYKVLAEMDSGEEEIMSIPSWSPLILCSPSRRSTNKGYGAYTPVHRKQLLKLNQL >Manes.10G057700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7703251:7711376:-1 gene:Manes.10G057700.v8.1 transcript:Manes.10G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTSEAALQILSSGCRILSSDPYASNLDWKFASKFHINCVKKRALRHKQLFNCSSFLQNQIGIQRLKMIGDYGLFGNTSVDSLQLLSCKCQQSETVGGLTSEDGKGTWFVDSARVLHFNGAVNPTNVLEFGNVQQKQGNGELTSNGAVKQGKESLPTDGGALGIGRDASNKVTVDSIEDEAWNLLLNSVVYYCGSPIGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSSTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALTNRLVALSFHIREYYWIDLRKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVKWIPNQGGYLIGNLQPAHMDFRFFSLGNLWSVVSGLATTEQSHAILDLIEAKWIDLVADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTVACIKMNRPEIAARAIEVAERRISWDKWPEYYDTKRARFIGKQARLFQTWSIAGYLVAKLLLADPSAAKMLITEEDPELVNAFSCMISANPRRQRGRKNSKQTYIVDHGDCSLLHTFYIG >Manes.10G057700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7703179:7711376:-1 gene:Manes.10G057700.v8.1 transcript:Manes.10G057700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTSEAALQILSSGCRILSSDPYASNLDWKFASKFHINCVKKRALRHKQLFNCSSFLQNQIGIQRLKMIGDYGLFGNTSVDSLQLLSCKCQQSETVGGLTSEDGKGTWFVDSARVLHFNGAVNPTNVLEFGNVQQKQGNGELTSNGAVKQGKESLPTDGGALGIGRDASNKVTVDSIEDEAWNLLLNSVVYYCGSPIGTIAANDPTSSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSSTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALTNRLVALSFHIREYYWIDLRKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVKWIPNQGGYLIGNLQPAHMDFRFFSLGNLWSVVSGLATTEQSHAILDLIEAKWIDLVADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTVACIKMNRPEIAARAIEVAERRISWDKWPEYYDTKRARFIGKQARLFQTWSIAGYLVAKLLLADPSAAKMLITEEDPELVNAFSCMISANPRRQRGRKNSKQTYIV >Manes.01G123701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31941308:31942293:-1 gene:Manes.01G123701.v8.1 transcript:Manes.01G123701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLDALDLWEAVEKDYEIKNHKEKKTKKSKAKNCLFSIVSNTIFTRIMPLKTTKEFELQRMKESKTTKEYSERLLDIVNKVRLLDTTFDDSIIIQKNKSENSKEYPLCKHCGKTNHSPFKYWRRPDAKCKKCNQLGHEAVICKNKKQEAYAQVVDQDEEDQIFVATCFSTGCNSECWLIDIGCTNHMTYDKTLFKDLNLTEITKVRIGNGVYIPAKGKGTIVIITTSGIKTISEIFCV >Manes.13G085300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13891267:13916786:1 gene:Manes.13G085300.v8.1 transcript:Manes.13G085300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIELGISLVWVASALLGLLAVIYVFVRWQRKTSLNWVKAAARAKKEVWKELDVPRSCHSWMEDLGYGHQPSTCSVCLTSLVTPENVSLKAGFHTSVHLCAVCGVAAHLYCSKYAAMDCKCVAQAGFSHVRHQWSEKWFNMDENPEMSAFCFYCDEPCGVPLIDGSPMWHCLWCQRLIHVKCHANMSKGSDDICDLGPLRRIILSPLCVKEVNDGHTLNFVSEEIMASSAGGQMRRRRSRAKYGSGHSVNGKLQDSSATNRALEYVLNGLVGLKKSKGEKNNVCLKKNGKMKSTSNWLMPKKVGTTICAEVKKYVLVDLAQDTRPLLVFINSKSGGQLGPSLQKRLNMLLNPLQVFELSDSQGPEVGLEFFSNLQYFRVLVCGGDGTVAWVLDAIERHNFGSPPPVAVLPLGTGNDLSRVLHWGRGFSMVNGQYGLRTLLHDIDCAAVTMLDRWKVNIKEENLEGYPNKEQSKFMMNYLGIGCDAKLAYEFHVTRKNKPEKFSSQFVNKLRYAKEGARDIMDRACADLPWQVWLEVDGKDIYIPKDSEGLIVLNIGSYMGGVDLWQNDSEHDDSFSFQSMQDKTLEIVCVHGAWHLGKLQVGLSHARRLAQGKVVKIHASSSFPVQIDGEPFIHQPGCLEIEHDGQVFVLRKASEEPRGHPAAIMTQVLVDAECKGIINASQKKILLEQLALNLS >Manes.13G085300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13891267:13916786:1 gene:Manes.13G085300.v8.1 transcript:Manes.13G085300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIELGISLVWVASALLGLLAVIYVFVRWQRKTSLNWVKAAARAKKEVWKELDVPRSCHSWMEDLGYGHQPSTCSVCLTSLVTPENVSLKAGFHTSVHLCAVCGVAAHLYCSKYAAMDCKCVAQAGFSHVRHQWSEKWFNMDENPEMSAFCFYCDEPCGVPLIDGSPMWHCLWCQRLIHVKCHANMSKGSDDICDLGPLRRIILSPLCVKEVNDGHTLNFVSEEIMASSAGGQMRRRRSRAKYGSGHSVNGKLQDSSATNRALEYVLNGLVGLKKSKGEKNNVCLKKNGKMKSTSNWLMPKKVGTTICAEVKKYVLVDLAQDTRPLLVFINSKSGGQLGPSLQKRLNMLLNPLQVFELSDSQGPEVGLEFFSNLQYFRVLVCGGDGTVAWVLDAIERHNFGSPPPVAVLPLGTGNDLSRVLHWGRGFSMVNGQYGLRTLLHDIDCAAVTMLDRWKVNIKEENLEGYPNKEQSKFMMNYLGIGCDAKLAYEFHVTRKNKPEKFSSQFVNKLRYAKEGARDIMDRACADLPWQVWLEVDGKDIYIPKDSEGLIVLNIGSYMGGVDLWQNDSEHDDSFSFQSMQDKTLEIVCVHGAWHLGKLQVGLSHARRLAQGKVVKIHASSSFPVQIDGEPFIHQPGCLEIEHDGQVFVLRKASEEPRGHPAAIMTQVLVDAECKGIINASQKKILLEQLALNLS >Manes.13G085300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13891267:13916786:1 gene:Manes.13G085300.v8.1 transcript:Manes.13G085300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIELGISLVWVASALLGLLAVIYVFVRWQRKTSLNWVKAAARAKKEVWKELDVPRSCHSWMEDLGYGHQPSTCSVCLTSLVTPENVSLKAGFHTSVHLCAVCGVAAHLYCSKYAAMDCKCVAQAGFSHVRHQWSEKWFNMDENPEMSAFCFYCDEPCGVPLIDGSPMWHCLWCQRLIHVKCHANMSKGSDDICDLGPLRRIILSPLCVKEVNDGHTLNFVSEEIMASSAGGQMRRRRSRAKYGSGHSVNGKLQDSSATNRALEYVLNGLVGLKKSKGEKNNVCLKKNGKMKSTSNWLMPKKVGTTICAEVKKYVLVDLAQDTRPLLVFINSKSGGQLGPSLQKRLNMLLNPLQVFELSDSQGPEVGLEFFSNLQYFRVLVCGGDGTVAWVLDAIERHNFGSPPPVAVLPLGTGNDLSRVLHWGRGFSMVNGQYGLRTLLHDIDCAAVTMLDRWKVNIKEENLEGYPNKEQSKFMMNYLGIGCDAKLAYEFHVTRKNKPEKFSSQFVNKLRYAKEGARDIMDRACADLPWQVWLEVDGKDIYIPKDSEGLIVLNIGSYMGGVDLWQNDSEHDDSFSFQSMQDKTLEIVCVHGAWHLGKLQVGLSHARRLAQGKVVKIHASSSFPVQIDGEPFIHQPGCLEIEHDGQVFVLRKASEEPRGHPAAIMTQVLVDAECKGIINASQKKILLEQLALNLS >Manes.13G085300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13891267:13916786:1 gene:Manes.13G085300.v8.1 transcript:Manes.13G085300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIELGISLVWVASALLGLLAVIYVFVRWQRKTSLNWVKAAARAKKEVWKELDVPRSCHSWMEDLGYGHQPSTCSVCLTSLVTPENVSLKAGFHTSVHLCAVCGVAAHLYCSKYAAMDCKCVAQAGFSHVRHQWSEKWFNMDENPEMSAFCFYCDEPCGVPLIDGSPMWHCLWCQRLIHVKCHANMSKGSDDICDLGPLRRIILSPLCVKEVNDGHTLNFVSEEIMASSAGGQMRRRRSRAKYGSGHSVNGKLQDSSATNRALEYVLNGLVGLKKSKGEKNNVCLKKNGKMKSTSNWLMPKKVGTTICAEVKKYVLVDLAQDTRPLLVFINSKSGGQLGPSLQKRLNMLLNPLQVFELSDSQGPEVGLEFFSNLQYFRVLVCGGDGTVAWVLDAIERHNFGSPPPVAVLPLGTGNDLSRVLHWGRGFSMVNGQYGLRTLLHDIDCAAVTMLDRWKVNIKEENLEGYPNKEQSKFMMNYLGIGCDAKLAYEFHVTRKNKPEKFSSQFVNKLRYAKEGARDIMDRACADLPWQVWLEVDGKDIYIPKDSEGLIVLNIGSYMGGVDLWQNDSEHDDSFSFQSMQDKTLEIVCVHGAWHLGKLQVGLSHARRLAQGKVVKIHASSSFPVQIDGEPFIHQPGCLEIEHDGQVFVLRKASEEPRGHPAAIMTQVLVDAECKGIINASQKKILLEQLALNLS >Manes.13G085300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13891267:13916787:1 gene:Manes.13G085300.v8.1 transcript:Manes.13G085300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIELGISLVWVASALLGLLAVIYVFVRWQRKTSLNWVKAAARAKKEVWKELDVPRSCHSWMEDLGYGHQPSTCSVCLTSLVTPENVSLKAGFHTSVHLCAVCGVAAHLYCSKYAAMDCKCVAQAGFSHVRHQWSEKWFNMDENPEMSAFCFYCDEPCGVPLIDGSPMWHCLWCQRLIHVKCHANMSKGSDDICDLGPLRRIILSPLCVKEVNDGHTLNFVSEEIMASSAGGQMRRRRSRAKYGSGHSVNGKLQDSSATNRALEYVLNGLVGLKKSKGEKNNVCLKKNGKMKSTSNWLMPKKVGTTICAEVKKYVLVDLAQDTRPLLVFINSKSGGQLGPSLQKRLNMLLNPLQVFELSDSQGPEVGLEFFSNLQYFRVLVCGGDGTVAWVLDAIERHNFGSPPPVAVLPLGTGNDLSRVLHWGRGFSMVNGQYGLRTLLHDIDCAAVTMLDRWKVNIKEENLEGYPNKEQSKFMMNYLGIGCDAKLAYEFHVTRKNKPEKFSSQFVNKLRYAKEGARDIMDRACADLPWQVWLEVDGKDIYIPKDSEGLIVLNIGSYMGGVDLWQNDSEHDDSFSFQSMQDKTLEIVCVHGAWHLGKLQVGLSHARRLAQGKVVKIHASSSFPVQIDGEPFIHQPGCLEIEHDGQVFVLRKASEEPRGHPAAIMTQVLVDAECKGIINASQKKILLEQLALNLS >Manes.18G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5419488:5421065:1 gene:Manes.18G061400.v8.1 transcript:Manes.18G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTPKGAIASSNPSPECCMCGDFGLSYELFQCKLCQFRSQHRYCSNLYPKAETYQVCNWCLSHETKEKSHNSSNSSSSNKNSSEDDSSKNKNKGGTLKSQRGSLQLQVNSPIKKQRSPERSPVTRRRLITNGRLEEKLIRRTKSEEISNNIGMTKQVFRNKVRRYKLLEEVSS >Manes.18G061400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5419508:5421065:1 gene:Manes.18G061400.v8.1 transcript:Manes.18G061400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTPKGAIASSNPSPECCMCGDFGLSYELFQCKLCQFRSQHRYCSNLYPKAETYQVCNWCLSHETKEKSHNSSNSSSSNKNSSEDDSSKNKNKGGTLKSQRGSLQLQVNSPIKKQRSPERSPVTRRRLITNGRLEEKLIRRTKSEEISNNIGMTKQVFRNKVRRYKLLEEVSS >Manes.13G013900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1964307:1965734:1 gene:Manes.13G013900.v8.1 transcript:Manes.13G013900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAMKMEIKSREMIKPSSPTPPDLKIFKLSLIDQLHPSVFTPILLFYSINSPAANKSHHLKSSLSKYLTLFYPLAGRIKDSSSIDCNDEGIVYIEANVNCPISGILKQPPNVDVLEQFLPGIDRSIEVNTDRPLLFIQENSFTCGGIAIGILISHKITDAATVGTFLKGWATVAADGSSEILAPNLTAPSVLPPLDSPLILPADAIKVIASKSTTRRLVFDASNIAALRAKAASSNVPNPTRVETVTAFIWKHSIKASRLNSGFPKLTIASQAVNIRKRIIQSLPENSVGNILGCYFVSAREITDLQSLVGLLRKGLEEFNKTWADHFQRNDPVSAFLGLLQEISGLPKQATTDFYNFASWCKMPLYETDFGWGKPTWVAIPKNEAYNTVAIMDTREGGGIEAWLCLSEEDMALLEHDEELLAYATINPSII >Manes.14G092500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7703321:7718702:-1 gene:Manes.14G092500.v8.1 transcript:Manes.14G092500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHASEACCSLLDCANINEVWASLIVEECSRLGLTYFCIAPGSRSSPLAIAASTHPLTTCIACFDERSLAFHAVGYARGSHRPAVVITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPPELQNAGANQSINQVNHFGSFVRFFFSLPAPTDNIPARMVLTTLDSAVHWSTSSPYGPVHINCPFREPLDNSPSKWMLSCLKGLDKWMSSAEPFTKYIQMQNSLACYENTVISMKQVIEIIQQAKRGLLLVGAIHTEDEIWAALLLAKHLNWPVVADILSGLRLRRLSSYFPKDEENVLFIDHLDHALLSDFVKGWVRFEVVIQIGSRITSKRISQMLEDCCPCSYILVDNHPCRHDPSHFVSHRVQCSILQFVDSLNKVQFPCRSSIWCDYLGALDKMVARDISFQIHVENSLTEPHVAHVISGALSAGSALFIGNSMVIRDADMYGYSYDHHIHRIADILNSEHHGLGIQVVGNRGASGIDGLLSTAIGFAVGCNKRILCVIGDVSFLHDSNGLSILNPRMSRKPMTIIVINNHGGAIFSLLPIADGTDQRTLNQYFYTSHNISIQKLCMAHSVQHSHVKTKMELQDALVASQHQKTDCVIEVESSISDNAIFHSTLRKSGCQAADRALSILSRLSVPYSVSDRFFLCKILKMEYSLYRIELSAPPTSSSVDHDCNKFHKEGYILSLSLEDGSVGYGEVAPLEIHKENLLDVEEQLQFLLHVIKGTKISLSLPLMSGSFSSWIWNNLGIPENSIFPSVRCGLEMAILNAIAERQGCSLLNIIQPWRETEKICEKSNVKICGLIDSTGTPAEVAVIASALVEEGFSALKLKVARRIDPIQDAAAIQEVRKKVGRQIELRVDANRNWSYEEAIRFGSLVKDCDLQYIEEPVQDENDIIKYCEESGLPVALDETIDKICENPLDMLMKYAHPGIVAVVIKPSVVGGFERAALIAQWAHRQGKMAVVSAAFESGLSLLTYIQFSYYLELQNADICGVMNYKLRPSIAHGLGTYQWLKQDVTTKPLEIRRHPHSGFMGASVADNIQLLQMFQINHNVIYRTSTGDQVHRYNLAVSSMDFTCSIKVHEVGEKNNDNVVIFLHGFLGTGEDWISIMKAISGSARCISIDLPGHGGSKIQNCGCEEAKEEATLSVEMVAHILYKLIPDITPKKVAIVGYSMGARIALRMALRHSDKVSGAVIISGSPGLKDGQERKIRQARDFSRSRTLMDYGLQLFLDSWYAGELWNSLRSHSRFKEIVASRMLHDDVYSLAKVLSDLSVGRQMPLWEELKQCNIPLLLMVGEKDKKFQAIAEKMYSEICQFEKGKEDDMGINVPEIVKIPNCGHAVHLENPLPVIGELRRFLTKLRKFSTLEHTENMH >Manes.14G092500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7703321:7718702:-1 gene:Manes.14G092500.v8.1 transcript:Manes.14G092500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHASEACCSLLDCANINEVWASLIVEECSRLGLTYFCIAPGSRSSPLAIAASTHPLTTCIACFDERSLAFHAVGYARGSHRPAVVITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPPELQNAGANQSINQVNHFGSFVRFFFSLPAPTDNIPARMVLTTLDSAVHWSTSSPYGPVHINCPFREPLDNSPSKWMLSCLKGLDKWMSSAEPFTKYIQMQNSLACYENTVISMKQVIEIIQQAKRGLLLVGAIHTEDEIWAALLLAKHLNWPVVADILSGLRLRRLSSYFPKDEENVLFIDHLDHALLSDFVKGWVRFEVVIQIGSRITSKRISQMLEDCCPCSYILVDNHPCRHDPSHFVSHRVQCSILQFVDSLNKVQFPCRSSIWCDYLGALDKMVARDISFQIHVENSLTEPHVAHVISGALSAGSALFIGNSMVIRDADMYGYSYDHHIHRIADILNSEHHGLGIQVVGNRGASGIDGLLSTAIGFAVGCNKRILCVIGDVSFLHDSNGLSILNPRMSRKPMTIIVINNHGGAIFSLLPIADGTDQRTLNQYFYTSHNISIQKLCMAHSVQHSHVKTKMELQDALVASQHQKTDCVIEVESSISDNAIFHSTLRKSGCQAADRALSILSRLSVPYSVSDRFFLCKILKMEYSLYRIELSAPPTSSSVDHDCNKFHKEGYILSLSLEDGSVGYGEVAPLEIHKENLLDVEEQLQFLLHVIKGTKISLSLPLMSGSFSSWIWNNLGIPENSIFPSVRCGLEMAILNAIAERQGCSLLNIIQPWRETEKICEKSNVKICGLIDSTGTPAEVAVIASALVEEGFSALKLKVARRIDPIQDAAAIQEVRKKVGRQIELRVDANRNWSYEEAIRFGSLVKDCDLQYIEEPVQDENDIIKYCEESGLPVALDETIDKICENPLDMLMKYAHPGIVAVVIKPSVVGGFERAALIAQWAHRQGKMAVVSAAFESGLSLLTYIQFSYYLELQNADICGVMNYKLRPSIAHGLGTYQWLKQDVTTKPLEIRRHPHSGFMGASVADNIQLLQMFQINHNVIYRTSTGDQVHRYNLAVSSMDFTCSIKVHEVGEKNNDNVVIFLHGFLGTGEDWISIMKAISGSARCISIDLPGHGGSKIQNCGCEEAKEEATLSVEMVAHILYKLIPDITPKKVAIVGYSMGARIALRMALRHSDKVSGAVIISGSPGLKDGQERKIRQARDFSRSRTLMDYGLQLFLDSWYAGELWNSLRSHSRFKEIVASRMLHDDVYSLAKVLSDLSVGRQMPLWEELKQCNIPLLLMVGEKDKKFQAIAEKMYSEICQFEKGKEDDMGINVPEIVKIPNCGHAVHLENPLPVIGELRRFLTKLRKFSTLEHTENMH >Manes.14G092500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7703326:7727620:-1 gene:Manes.14G092500.v8.1 transcript:Manes.14G092500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHILLATNLWILPSLNLHSRIRRSPPTLAFPNRNTAIHFNLLRRPAILSPNFKVVEAVRFDGPIITIEGLEDCELVIETCITRTLSPVVTLERGLQSIREAVEELKLNHPPSSSGILRFQVAVPPGPKALNWFCCQLESSRVFPQFFVSKEAENPSCKSLYLNRTRGVFGIGAAIYFIQSSHTVGEHKSIRRYVSSDSVNMATYGFVDAKFDTFSSSIKHEAGSFYLVIPEIELDEHEDFSILSATLAWDAALFCTFKQAIQSFESSIYEVGYHFCPTGEKCGFNNIRSALNRVNPVEDKTFRMVSSNAVLLDRRDYQNNLLELRGTAFFCQFYFRISPIIGISHNMLDHASEACCSLLDCANINEVWASLIVEECSRLGLTYFCIAPGSRSSPLAIAASTHPLTTCIACFDERSLAFHAVGYARGSHRPAVVITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPPELQNAGANQSINQVNHFGSFVRFFFSLPAPTDNIPARMVLTTLDSAVHWSTSSPYGPVHINCPFREPLDNSPSKWMLSCLKGLDKWMSSAEPFTKYIQMQNSLACYENTVISMKQVIEIIQQAKRGLLLVGAIHTEDEIWAALLLAKHLNWPVVADILSGLRLRRLSSYFPKDEENVLFIDHLDHALLSDFVKGWVRFEVVIQIGSRITSKRISQMLEDCCPCSYILVDNHPCRHDPSHFVSHRVQCSILQFVDSLNKVQFPCRSSIWCDYLGALDKMVARDISFQIHVENSLTEPHVAHVISGALSAGSALFIGNSMVIRDADMWLETGELVALMAYLAQQLVLQLDATREMSRKPMTIIVINNHGGAIFSLLPIADGTDQRTLNQYFYTSHNISIQKLCMAHSVQHSHVKTKMELQDALVASQHQKTDCVIEVESSISDNAIFHSTLRKSGCQAADRALSILSRLSVPYSVSDRFFLCKILKMEYSLYRIELSAPPTSSSVDHDCNKFHKEGYILSLSLEDGSVGYGEVAPLEIHKENLLDVEEQLQFLLHVIKGTKISLSLPLMSGSFSSWIWNNLGIPENSIFPSVRCGLEMAILNAIAERQGCSLLNIIQPWRETEKICEKSNVKICGLIDSTGTPAEVAVIASALVEEGFSALKLKVARRIDPIQDAAAIQEVRKKVGRQIELRVDANRNWSYEEAIRFGSLVKDCDLQYIEEPVQDENDIIKYCEESGLPVALDETIDKICENPLDMLMKYAHPGIVAVVIKPSVVGGFERAALIAQWAHRQGKMAVVSAAFESGLSLLTYIQFSYYLELQNADICGVMNYKLRPSIAHGLGTYQWLKQDVTTKPLEIRRHPHSGFMGASVADNIQLLQMFQINHNVIYRTSTGDQVHRYNLAVSSMDFTCSIKVHEVGEKNNDNVVIFLHGFLGTGEDWISIMKAISGSARCISIDLPGHGGSKIQNCGCEEAKEEATLSVEMVAHILYKLIPDITPKKVAIVGYSMGARIALRMALRHSDKVSGAVIISGSPGLKDGQERKIRQARDFSRSRTLMDYGLQLFLDSWYAGELWNSLRSHSRFKEIVASRMLHDDVYSLAKVLSDLSVGRQMPLWEELKQCNIPLLLMVGEKDKKFQAIAEKMYSEICQFEKGKEDDMGINVPEIVKIPNCGHAVHLENPLPVIGELRRFLTKLRKFSTLEHTENMH >Manes.02G169600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13349110:13355383:1 gene:Manes.02G169600.v8.1 transcript:Manes.02G169600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKGTSNDDDTGERVQCLKSEEVNNGFWIRIENSSADGGSESIKCFQTYKRRKHTRWSSESKGQEEGKGSVEAASKFAEQAKKEPTPNCVPEGHASSDGSDDVSHKQCRNVILECIHQSLNDEEGGIRGCIRDALMMPVKESDTCDEYGHKFSSQAREMKVDNLIGLSIMNARMKDGAYERSPSLFFTDIQLVWKKLQGIGNDLISLAKGLSDVSLTCYNEQFLTQGFDFHHKSEKIDSCGVYSVCTCWRCGGIADGRNCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCASCKAVGIASPHEDCLVCERLNATRTNEKGSSTIERIVREFEENSNCTRDDFCQPPAGIKKVCVCNICGNEVENGEKLKICDHDLCPYKYYHMRCLTTNLLKSHGRHWYCPSCLCRVCLTDKDDSKIVLCDGCDNAYHLYCMSPLRTSVPRGKWFCRQCDVKIKKIRGVRQVYEEQGCRMKKKMEAAERACENIEKILDEKCEPESFEGRGSMDMLLTAALYEEKKCMTF >Manes.02G169600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13349110:13355383:1 gene:Manes.02G169600.v8.1 transcript:Manes.02G169600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKGTSNDDDTGERVQCLKSEEVNNGFWIRIENSSADGGSESIKCFQTYKRRKHTRWSSESKGQEEGKGSVEAASKFAEQAKKEPTPNCVPEGHASSDGSDDVSHKQCRNVILECIHQSLNDEEGGIRGCIRDALMMPVKESDTCDEYGHKFSSQAREMKVDNLIGLSIMNARMKDGAYERSPSLFFTDIQLVWKKLQGIGNDLISLAKGLSDVSLTCYNEQFLTQGFDFHHKSEKIDSCGVYSVCTCWRCGGIADGRNCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCASCKAVGIASPHEDCLVCERLNATRTNEKGSSTIERIVREFEENSNCTRDDFCQPPAGIKKVCVCNICGNEVENGEKLKICDHDLCPYKYYHMRCLTTNLLKSHGRHWYCPSCLCRVCLTDKDDSKIVLCDGCDNAYHLYCMSPLRTSVPRGKWFCRQCDVKIKKIRGVRQVYEEQGCRMKKKMEAAERACENIEKILDEKCEPESFEGRGSMDMLLTAALYEEKKCMTF >Manes.02G169600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13349110:13355383:1 gene:Manes.02G169600.v8.1 transcript:Manes.02G169600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKGTSNDDDTGERVQCLKSEEVNNGFWIRIENSSADGGSESIKCFQTYKRRKHTRWSSESKGQEEGKGSVEAASKFAEQAKKEPTPNCVPEGHASSDGSDDVSHKQCRNVILECIHQSLNDEEGGIRGCIRDALMMPVKESDTCDEYGHKFSSQARWISNGTHAAKAHVDFKSSESLDESHCPVTRKCQHAFLNIILSENFTLLCKLLVENFREMKVDNLIGLSIMNARMKDGAYERSPSLFFTDIQLVWKKLQGIGNDLISLAKGLSDVSLTCYNEQFLTQGFDFHHKSEKIDSCGVYSVCTCWRCGGIADGRNCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCASCKAVGIASPHEDCLVCERLNATRTNEKGSSTIERIVREFEENSNCTRDDFCQPPAGIKKVCVCNICGNEVENGEKLKICDHDLCPYKYYHMRCLTTNLLKSHGRHWYCPSCLCRVCLTDKDDSKIVLCDGCDNAYHLYCMSPLRTSVPRGKWFCRQCDVKIKKIRGVRQVYEEQGCRMKKKMEAAERACENIEKILDEKCEPESFEGRGSMDMLLTAALYEEKKCMTF >Manes.02G169600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13349110:13355383:1 gene:Manes.02G169600.v8.1 transcript:Manes.02G169600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKGTSNDDDTGERVQCLKSEEVNNGFWIRIENSSADGGSESIKCFQTYKRRKHTRWSSESKGQEEGKGSVEAASKFAEQAKKEPTPNCVPEGHASSDGSDDVSHKQCRNVILECIHQSLNDEEGGIRGCIRDALMMPVKESDTCDEYGHKFSSQARWISNGTHAAKAHVDFKSSESLDESHCPVTRKCQHAFLNIILSENFTLLCKLLVENFREMKVDNLIGLSIMNARMKDGAYERSPSLFFTDIQLVWKKLQGIGNDLISLAKGLSDVSLTCYNEQFLTQGFDFHHKSEKIDSCGVYSVCTCWRCGGIADGRNCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCASCKAVGIASPHEDCLVCERLNATRTNEKGSSTIERIVREFEENSNCTRDDFCQPPAGIKKVCVCNICGNEVENGEKLKICDHDLCPYKYYHMRCLTTNLLKSHGRHWYCPSCLCRVCLTDKDDSKIVLCDGCDNAYHLYCMSPLRTSVPRGKWFCRQCDVKIKKIRGVRQVYEEQGCRMKKKMEAAERACENIEKILDEKCEPESFEGRGSMDMLLTAALYEEKKCMTF >Manes.15G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11695331:11696159:-1 gene:Manes.15G142900.v8.1 transcript:Manes.15G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTHTHLLIFLLIVCASVFRDGVSATGVSSSSCTSTPRSPRRITVGGSARWTFGFNYSTWAFNRNPFYVNDTLVFNYEPPSEKNIHPHSVYLLPDLESFVNCNLTNGVKIANETQGTGKGFRFVLKKWKPYYFSCGASDGYHCRFGGMKFFVLPLRRRNY >Manes.09G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33627527:33630631:1 gene:Manes.09G136100.v8.1 transcript:Manes.09G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQHLRSESNSFSRYQNVNFLPPPAASHLSLATFGVMSKRRDTETVPPQMGFHISSHSMDQKGRQWSIQQVENRGTKRPHDGSDGIFGVQKKDLSLDIGEEEEPKSCATGKNGHTKLCARGHWRPAEDAKLKDLVAQYGPQNWNLIAENLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLLSAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKHREQSSIYRRRKPSSSSQIAPPIKGLDVNSQKNACSESTTISSTIDESASTCTDLYLSPSSTKAPPMLFTRFSPQGAPMGPFAEKEVAMGNVELDKLYLSRGKGFYQAGSIGLVTGLDQSGQSDSNSEVSASESVGTNGIGDNENRSQKINVTFIDFLGLGAT >Manes.04G080600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28218240:28218515:-1 gene:Manes.04G080600.v8.1 transcript:Manes.04G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLAAVLAKQIRKAPPTSFDVPKGFIAVYVGQAQKKRFLIPVSYLNQPSFQHLLSLAEEEFGFHHPMGGLTIPCRVDTFNAVISNLSRS >Manes.01G226700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39170692:39174058:-1 gene:Manes.01G226700.v8.1 transcript:Manes.01G226700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSSATRKVATMATSPCLNATEKKHWWLTNRKIVDNYIKDARNLIATQEQSDIASALSLLDAALALSPRFEVALELKARSLLYLRRFKDVADMLQDYIPSLKIGNDDSGSISSENSSQQLSRERVKLLPSTNSSSGTAERDPSFKCFSVLDLKKKVMAGLCKNCEKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLSTAAFRRESISWSDDSFSISNFPISGDISTSSAPPTPPRTLTESESISQLLSHIKLLLRRRAAAIAALDAGIYSEAIRHFSKIVEGRRGAPQGFLAECYLHRAFAYKSSGRIAESIGDCNKTLALDPMCIQALETRASLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALTTKIQELKQRVASGETGNVDYYALIGLRRGCSRSELDRAHLLLCLRHKPDKATNFIERCEFADDRDIDSVKDRAKMSALLLYRLLQKGYSSVMATIMDEEAAEKQRKKAAAALQAAQAAAAIQIQQTSQNPKPESKPSTIEKLGPNRNNSAENKAATSSGTSNQSVFQGVFCRDLTAVGNLLSQVGFSSAIPMKYEALSC >Manes.03G194700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31228354:31236641:-1 gene:Manes.03G194700.v8.1 transcript:Manes.03G194700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTIPRTKLEYYDDMWKLQSKTTLISSSKGDDGRFVLILLSTIFHPQGGGQPADTGFITTALDDSNCKFIVQDVRSKDGIVYHYGVLEEDSGGDFLEKGREVLLHVDECRRKLNSRLHSAGHLLDGCLLNVGLGYLQPGKAYHFPDGPFVEYKGTVPQNELQTKQKELEVEANALISTGGKVSAAILPYEEASALCGGHLPDYIPKGSTPRIVKLGNFPGCPCGGTHVSDISEIVSMKVSQIRTKKGMTKVFYTVGSCEDVALPVN >Manes.03G194700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31228354:31236641:-1 gene:Manes.03G194700.v8.1 transcript:Manes.03G194700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTIPRTKLEYYDDMWKLQSKTTLISSSKGDDGRFVLILLSTIFHPQGGGQPADTGFITTALDDSNCKFIVQDVRSKDGIVYHYGVLEEDSGGDFLEKGREVLLHVDECRRKLNSRLHSAGHLLDGCLLNVGLGYLQPGKAYHFPDGPFVEYKGTVPQNELQTKQKELEVEANALISTGGKVSAAILPYEEASALCGGHLPDYIPKGSTPRIVKLGNFPGCPCGGTHVSDISEIVSMKSEVISKLLL >Manes.09G016600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3394534:3395632:-1 gene:Manes.09G016600.v8.1 transcript:Manes.09G016600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHAWQSVLLKCQLFHAKGHLLTHGSSSNTNENILIYKTVPHIHVGKREENVAKLSLMSPKSTDASTPPLPWEVVVLVAHKLDPKTLAIASCVSKSWYISMSSDHNWDSHCITQYPSLARLKYTNPLIPYRRLYTMGHTAAKRRVKSPCKPRLSLDNIIFVIDLSTENQLIINSAKSGRELEKRERKGVFRFEFDVDVNYESWSSVDDKVLLEGVKISWNVVLKGWRAVFTMMECGGKVRIGKGGDGWFSEELPSPGCCFSDSRSGMVADMKVGFSSGRSRIGKVSLGILSIINWRYLSLEDGLRYLQHYLLP >Manes.12G030800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2670362:2677224:-1 gene:Manes.12G030800.v8.1 transcript:Manes.12G030800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRFMKRFFQELYYTNLIKRQKTYQRFVYGVLSRCQSQMSKPDKGEADVVKEAKNAAQVSSRPKLDKMTDYKTGLGYNSGKDLSDNKWRLELAWLTKALEPALQLWNGLGNKTPPSGRSLMEIVASIQRSKIAIEGWSLSDLTIGLYLIYLRQSSLNPVEDFKGVHVSSELIVQDLIYHIELAKGCYKDDAFWLARNSMLLESNILKFVKDSSVMRPGYYIGIDPRKKLIIFGIRGTHTVYDIITDIVSSSDGEVTFEGYSTHFGTAEAARWFLTHEMGTIRECLKKYEGFRLRLVGHSLGAAIASLLAIMLRKRSHEELGFSPDIVSAVGFATPPCVSRELAESCADFVTTVVMQDDIVPRLSAASLARLRNEILQTDWMSVIEKEDWKSVIGLVTNAKHVVSSVQDVAWKLADYAKLRSSKNSPDSPNHKQLQNPTSKTTIDDDSVLKKEGAGCTVPEELLVPGTIYHLKRNIDTNAYSRSGREVEVFTMWKRHPGEHFQRIVLSSNIISDHKCDSHYYALRDVLKGLPVTN >Manes.07G050200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5966109:5966784:1 gene:Manes.07G050200.v8.1 transcript:Manes.07G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRNLLLATLLVGSIQVQISVSQNSPQDYVNAHNAVRAEVGVSPLSWNKTVAAYAQKYANSRINENCELEHSYGPYGENIAEGYGNLNGVDAVTMWVSEKPNYDYKSNSCVGDECLHYTQVVWRNSVHLGCGRAKCKNGWWFVTCNYEPVGNIEGQRPF >Manes.13G153100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36734085:36741636:1 gene:Manes.13G153100.v8.1 transcript:Manes.13G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSAPTTSSSHATSSKPKWVLPYRTDNLRDHYSIGKKLGQGQFGTTYLCTHKPSGLNYACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHPHVVRIRGAFEDAYCVHLVMELCEGGELFDRIVKKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFHSVEEDAALKATDFGLSVFYKPGESFSDVVGSPYYVAPEVLRKHYGPEADVWSAGIILYILLSGVPPFWAETEMGIFRQILQGKIDFESEPWPSISESAKDLIRKMLERNPTKRLSAHEVLCHPWIVDDTIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFDELKEGLKRVGSELMESEIKDLMEAADIDNSGTIDYGEFLAATVHLNKLEREENLVSAFSFFDKDGSGYITIDELQQACKEFGLSELHLDEMIKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRTTVNLGDALGLTTNGSKEFD >Manes.16G071900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27347715:27350520:-1 gene:Manes.16G071900.v8.1 transcript:Manes.16G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASRARLFKEYKEVQREKAVDPDIQLVCDDSNIFKWTALIKGPTETPYEGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGFQSMARMYTRLAASPKKG >Manes.16G071900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27347969:27350350:-1 gene:Manes.16G071900.v8.1 transcript:Manes.16G071900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASRARLFKEYKEVQREKAVDPDIQLVCDDSNIFKWTALIKGPTETPYEGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGFQSMARMYTRLAASPKKG >Manes.03G068200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9302880:9326500:-1 gene:Manes.03G068200.v8.1 transcript:Manes.03G068200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNNLSSSSSSPANSAPPLQEEPEYLARYLVVKHSWRGRYKRILCISNVSIITLDPNTLSVTNSYDVGSDFDGASPIIGRDENSNEFNLSVRTDGKGKFKAIKFSSRYRASILTELHRIRWNRLSPVAEFPVLHLRRRNGEWVPFKFKVTYVGVELVELKTGDLRWCLDFRDMNSPAIILLSDGYGKIASDCGGFVLCSLYGRKSKAFQAASGTTNKAIISNLTKTALSMAGVSLSVDSSQTLTIMEYIKQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNVPGLSLGIGPKGGLGEHGDAVSRQLILTKVSLVERRPENYEAVIVRPLSAVSSLVRFAEEPQMFAIEYNDGCPIHVYASTSRDSLLAAVRDVLQTECQSPVPILPRLTMPGHRIDPSCGRVHLLVGPKRPIADMESASMHLKHLAAAAKDAIAEGGSIPGSRAKLWRRIREFNACIPYSGVPPNIEVPEVTLMALITMLPATPNLPPESPPLPPPSPKAAATVMGFIACLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLIATLIGGGPTDPSLLTDSKGERHATIMHTKSVLFSHNGYVIILANRLKPMSVSPLLSMTIVEVLEAMICDPHGETTQYTVFVELLRQVAGLRRRLFALFAHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFYLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGLQLEEVNREGSLISRRQRRLLQQRRGRAGRGITSQDPVNYEVGDSVIQANAGGLKGSDNYQKTAVDPISGQFSTLQTVENLTSDTPSSGVLQNDHSPASADKPSTDVHVTTEPNVSNSVDFDSHVAGFQNSDLPAPAQVVVENTPVGSGRLLCNWHEFWRAFSLDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDIVPEGASVEMITGQDSVPQISWNYSEFSVNYHSLSKEVCVGQYYLRLLLDSTSSGRAQDFPLRDPVAFFRALYHRFLCDADTGLTVDGAVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYITIGPFEGAAHITVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVLVGGCVLAVDLLTVVHEASERTAIPLQSNLLAATAFMEPLKEWMFTNKDGEQVGPVEKDAIRRFWSKKEIDWTTKCWASGMVEWKRLRDIRELRWALAVRVPVLTSFQVGDAALSILHSMVAAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPAIVEAAAALLKAVVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFPQKLSQHCHSLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACRILEVSLEDVSSEDAKKHSFGTSEVTGILKQIGSIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYECLQASMQGLKGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDNADNDFLSSDRAPLLVAASELTWLTCASSSLNGEELVRDGGIQLLATLLSRCMCVVQPTTSPSDPSAIIVTNVMRTFSVLSQFESARAEMLELSGLVDDIVHCTELELVPDAVDAALQTIAHVSVSSGLQDALLKAGVLWYLLPLLLQYDSTAEESDKTESHGVGSSVQIAKNMHAIRASQALSRLSGLCIDGSSTPYNAAAADALRALLTPKLASMLKEQLPKELLSKLNTNLESPEIIWNSSTRAELLKFVDKQRANVGPDGSYDLKDSQIFAYEALSTELVVGNVYLRVYNDQPEFEISEPEAFCVALIDFISFLVRNKFPFASDAQSKPSSSSSSLETSEIQNNITDESINGQAPDDSSAVSDGKSTDNEELKLVKNLKLGLTALMNLLTSNPNLASIFSSKEKLLPLFECFSVPIASESNIPQLCLGVLSLLTTYAPCLEAMVADGSSLLLLLQLLYSSPNCREGVLHVLYALASTPELAWAAAKHGGVVYILELLLPLQKDIPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSIIRDGPGEAVVSALEQTTETPELVWTPAMAASLSAQIATMASDLYREQMKGRVVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDAQAIDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEARREAMSSEEVKNGNYAEKTYEADDGSVPPAQTPQERVRLSCLRVLHQLAASTICAEAMAATSAGSPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRNGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCNKVREILNASEVWSAYKDQKHDLFLPSSAQSAAAGVAGLIENSSSRLTYALTAPPPQPTQARPPAPAPTAFDSNGKEDQFS >Manes.07G121000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32540094:32542639:-1 gene:Manes.07G121000.v8.1 transcript:Manes.07G121000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWETVTAEDLIGALKEVDWSMPPRSVNEFFSKFTIPRSYPKWNSRFKCNLYYYRTNYFILIVFVLGFACLTRPMAILAAAFTALSIAFFNDSFAATFSEKVTKTVRKFSPHLAAKMRPPHMPVIRGRPSAKKSVYICGQPRGVFVFLFSAASFILWFTSGSVLSVLWAFGIGIIVTVIHSSVKTPNLKARLNTFREEFRAVWRNYSEL >Manes.07G121000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32539544:32543111:-1 gene:Manes.07G121000.v8.1 transcript:Manes.07G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWETVTAEDLIGALKEVDWSMPPRSVNEFFSKFTIPRSYPKWNSRFKCNLYYYRTNYFILIVFVLGFACLTRPMAILAAAFTALSIAFFNDSFAATFSEKVTKTVRKFSPHLAAKMRPPHMPVIRGRPSAKKSVYICGQPRGVFVFLFSAASFILWFTSGSVLSVLWAFGIGIIVTVIHSSVKTPNLKARLNTFREEFRAVWRNYSEL >Manes.13G073701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10911430:10914069:-1 gene:Manes.13G073701.v8.1 transcript:Manes.13G073701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNDQILQNSTSDSNQTSTDVSTELTTSSKAKRKPVKPRSVVWDHFTRFVNSEGELKGKCNYCKKEFCCDPKKNGTTALRNHLNSCKKHPHSIETRQAQLSLQKTASDNDVNDLGTLTTWKYDENAIRKALVHMIIIDELPFRFVEGEGFRSFMRAICPRFRIPSRWTISRDCYDLFIEERSKLRSFFKKNCQRVSLTTDTWTSLQRINYMCITAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTITVDNASSNDVAISYLKKKFANWGVSVANSIYLHMRCMAHIINLVVQDGLKDVNDSVMKVRDAVRYIKSSPARLKRFKECVLHEKIESKSSLCLDVPTRWNSTYLMLNTVQKYERAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRMRVKFDKYCGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGQEKGVELFNKVKSCLFDLFNEYKKMYQPDVEQINDNSLQQLSGSCTTTGSINPKPKFFLKHHYKKQKLEESGGFDSKTELEVYLSEAIQEEKEDFDVMKWWKINSERFPILGKMARDILAIPVSTVASESAFSTGGRVLDSFRSSLTPKIVEGLICVQDWIRPSNVQVNVEEDLEELEKLEEELPSVGITYGLGGSCSTPTS >Manes.16G082000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28819950:28820597:-1 gene:Manes.16G082000.v8.1 transcript:Manes.16G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVSTTLCGIRFGKKSETKDSPSNEGDGNLTFNTPSSPSASTSPSETTKTAGEEASVKSQDGKENEVPGRMELPLPPAKQLREAFSCNNFMTKSASTRQFAKNMSMKMPRSMSMARRDHHREDKYNQKKKAKAKHEDSVWMKTIILGEKCKRRNEDEPEIYDANGDKISANHRKSTSSVSISTQSSFGDVNAVASQEGEKKVTKKEEEEVS >Manes.06G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7885196:7886963:-1 gene:Manes.06G030100.v8.1 transcript:Manes.06G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDENIKTLIAVLAFPFGTHAAPLLSLVKRLSLTVPDARFIFFNTAKSNDAIFPQDSIKKSDSIRPYNVSDGTPENYLFSGNPIEPVEYFINSTPENFKRAMVEVAEETGRGFRCIMTDAFFGFAADIAQELQIPWVAFWTGGPRSVLAHIETDLIREKLGVLDDGNDEKTIDFLAGFSGIFASDLPGEIICGDITSPMAGMLHQMGLKLPQATVVVINSIEEIDSDMVNMLNSRFNKFLNIGPLVLTLADQTISDPQGCLQWLDEQAKESVAYISFGSTVMPPSHELKALAEALETSGFRFIWSIRGNPEEKLPQGFLERTKDKGKVVSWAPQLKILQHEATGAFITHCGWNSVLESIVGGVPMICRTFFGDQRLNMRTVENLWGIGKGIEEGEITKAGAIKALKLVLSSDEGKQMRQKLGSLKKLACDAVQPRGSSTANFETLTSIITH >Manes.16G063600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:24875423:24877425:1 gene:Manes.16G063600.v8.1 transcript:Manes.16G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSISITQIVLSVLLLLFSAPTSYPQVLENFLNCLPNHVHGSNPIFEAIYTPSNSSFQSVLVAYIKNRRFLTSDTPKPLAIVVALHESHVQATVICAKFHSLQIRIRSGGHDFEGLSYRSDVPFVILDLFNLRSIDIDIDSETAWVQSGAILGELYYAIAKKSKVHGFPAGVCPSIGVGGHFSGGGYGNMMRKYGLSVDHVIDAKLVDVNGKILNRKSMGEDLFWAIRGGGGASFGVILSWKIKLVRVPEKVTVFMVDRTLEEGATDIVYQWQAINKLDKELFIRAQPEVRRNDKDEKTVRVRFIALFLGNTKKLLSLLKKSIPKLGLKQEDCKEVSWLESTMFWTNIPLGTPTEALLNRSIPAELFFKSKSDYVKKIISKHDLENIWKQFMKTEGMVLQWNPYGGRMKEISASETPFPHRAGYLFKIQYFTLWFTQGTEASDRHIRLAREMYDSMAPHVSKNPREAYLNYRDLDIGRNPSNETNFQEAQVYGSKYFKGNFLKLVAVKKRVDPDNFFKNEQSIPPL >Manes.04G111200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31592883:31595912:1 gene:Manes.04G111200.v8.1 transcript:Manes.04G111200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKDSCLEATLITVTLQISYCSRVMDEVSLNTEPIGDDDADEFEIEGDCGIAEYVGQTGAIQGENPIPPAVGMEFESYEDVYYFYNCFAKEQGFGVRVSNTWYRKSKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMIKFRLMENRRWRIIEVELEHNHLISPASGKFYKSHKLIGAGTKRTLQLDSPDEVQKIKMYRTVIIDSEGNGVVDDEGGFRNFVHSNQLKLREGDARGVQDLFCRLQLMDPNFFYVVDLNEKGCMRNLFWADARSRATYGYFGDVVAIDTTCLRDKFEVPLVSFIGVNHHGHSTLLGCGLLAGETSESYIWLLRAWITCMLGRPPQAVITDQCRNLQTAVADVFPRASHCLHLACIMQKFPENLGGLLEYEAIKEALTRIVYYFLRPEEFEAAWEEKVQHHGIRDHKWIQALYEDRKRWVPAYVKETFLAGLLPFQQNEMVPSIFEGYLDKHTPLKDFLAKYDQALQMNLQLEALADMDSRNSSLVLKSRCYFELQLSKLYTNETLKRFGKEVEGMYACFSTRQVTIDGPLITYIVKEQVEVDGNRQETRDFEVMYNASEMDVLCVCGLFNFKGYLCRHALSVLNQNGLEEIPPQYILTRWRKDVKRSYAVDHSSGGIDTNNPVHRYDHLYKCIVRVVEEGRKSQDRYKVTLQGLGEILNRLHLVED >Manes.S048916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1572303:1573115:-1 gene:Manes.S048916.v8.1 transcript:Manes.S048916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31861406:31872906:-1 gene:Manes.07G113300.v8.1 transcript:Manes.07G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTDEVKSTKSMSSNPENPNPPERHTKTREEDELSSSTDSDENPVCLATQSAGSVNPLAPAGSAPVPTLSKFTEGIQARKASSGANPANSVDVQSHTSVQPNNDKGFEKNRVPFKLANPGWRGPQGANTNLVISFSDDDTGSESEDHTAEKALEIKRNTAGVDGNRRLPSLASEQSSKLQQIARNVNKVMPKKFSLSRTFISPTTNINGGAHSRSSAPSSIDQGSRVKNFTMQKKFTSPEHSFDQGVGLNNTKLQDLRQQIALRERELKLKAAHQNKESASVSGRDYTIVNLVADSVRKSDAISADVRQVDSDRKRLKVSGSYSTQLASDKRQEIIAVKSVMPLKEQALENSALQDKNMVDHGQKGSPSIRAESSVVKWQKQDDKQADISSGNLPGANINHNCPQTDRSTVQVDPCVLLNQTATMTNMKSSVLQKNINSIELNRHVNIGVHQPPCSVLKTSSNEQHLMNGCEYRGGVSNDRTVESSLNNISQASLNDIGLWNYLGAPNGSENNNVDMHSLVEMEESLDKELEEAQEHRRMCEIEERNALKVYRKAQRALVEANARCTELYHKRELYSAQFRSFVLNDSSLLWSTRKHEHVGIGLNHMDNMSRNLELIPPSSHFRRPQYDGLNQPGYDSDIQCANGAPLKMSYRHVNGQNLGSEPCSEPDGSTSEPLHLNRENAANVVSSPSNDPNISADEDEETSPLDHVTAQPTSNEQREQNSLGRQKNTSNHSNKNFSADGSQDSLTLEATLRSELFARLGRRVFPKNNGLSNLGSAEELGTENDNGSERTQTSNGSIPLSEAERNQEFNLGGNDLPEREVFGATVQIQGHKKKENEYSVRGHKSAAVLFSPTSILRSALGHMKVESQFNSLVLQSRKNQQGDTCDVYNVAGGCINSGELKQSLLLAKPLKQSFGNTFENGVGSFTCDLAVDPFWPLCMYELRGKCNNDQCPWQHVRDFSNENVGQHEHDDSDIAGCLVGLALQKRKCNRATELPKCHSALTPPTYLVGLDVLKADPHSYESVVARRYGQCWQKSFSICLALSNLIQKDLLADETFLHGSDGRIEVNGSWDKQSSFFQSRNSIVNHLNQMLPGDMLSLEKALLILSQEVNKLEGMKKALSILSRAIEADPKSEILWITYLLIYYGNYKSIEEDMLSYAVKHNDRSYGLWLMYINSRMHLDDRLAAYNAALTALCRHISACERDEMYASACILDVFLQMMNCLCMSGNVEKAIQKICELFPVASNSDQQSHSLLLSDILACLTISDKYMFWVCCVYLVIYRKLPEAVVQKFECDKGLLAIEWPHAHLLDEEKMRAIKLVEMAVDSVKLSGDAESLASEADIRSARHFGLCHIRCVAALDGLESCGNLLEEYLKLYPYSLEFLLISARIWMNEFDSFKGFEEALGKWPKETPGIHCIWNQYIECALQKGDVGFVKELLGRWFNSFSVQYHQPEKLDNLCTNSSDGLIRLASASNPDFLTSNANQMDVTFGYLNFSLAKLLLNDHSGARDAIDKAFKAAAPPIFNHCLREHATFLLNYELQAKEDAFVSEQLNVLKGYADDARALVSEPLSRRFMNEIEKPRVRQLISNILSPVSSDFSLVNIVLEVWYGPSLLPLTFTQPKELVDFIEAILEIVPSNYQLAFSACKLLSRGEHFSKLASGSMLYWASSTLVNAIFRAIPIAPEYAWVDAAGVLDGIEGVESITERFYKKTLSVYPLSIKLWNCYYNLYKNRGDAISIVEAAREKGIELEFSER >Manes.07G113300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31861406:31872924:-1 gene:Manes.07G113300.v8.1 transcript:Manes.07G113300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTDEVKSTKSMSSNPENPNPPERHTKTREEDELSSSTDSDENPVCLATQSAGSVNPLAPAGSAPVPTLSKFTEGIQARKASSGANPANSVDVQSHTSVQPNNDKGFEKNRVPFKLANPGWRGPQGANTNLVISFSDDDTGSESEDHTAEKALEIKRNTAGVDGNRRLPSLASEQSSKLQQIARNVNKVMPKKFSLSRTFISPTTNINGGAHSRSSAPSSIDQGSRVKNFTMQKKFTSPEHSFDQGVGLNNTKLQDLRQQIALRERELKLKAAHQNKESASVSGRDYTIVNLVADSVRKSDAISADVRQVDSDRKRLKVSGSYSTQLASDKRQEIIAVKSVMPLKEQALENSALQDKNMVDHGQKGSPSIRAESSVVKWQKQDDKQADISSGNLPGANINHNCPQTDRSTVQVDPCVLLNQTATMTNMKSSVLQKNIASLNDIGLWNYLGAPNGSENNNVDMHSLVEMEESLDKELEEAQEHRRMCEIEERNALKVYRKAQRALVEANARCTELYHKRELYSAQFRSFVLNDSSLLWSTRKHEHVGIGLNHMDNMSRNLELIPPSSHFRRPQYDGLNQPGYDSDIQCANGAPLKMSYRHVNGQNLGSEPCSEPDGSTSEPLHLNRENAANVVSSPSNDPNISADEDEETSPLDHVTAQPTSNEQREQNSLGRQKNTSNHSNKNFSADGSQDSLTLEATLRSELFARLGRRVFPKNNGLSNLGSAEELGTENDNGSERTQTSNGSIPLSEAERNQEFNLGGNDLPEREVFGATVQIQGHKKKENEYSVRGHKSAAVLFSPTSILRSALGHMKVESQFNSLVLQSRKNQQGDTCDVYNVAGGCINSGELKQSLLLAKPLKQSFGNTFENGVGSFTCDLAVDPFWPLCMYELRGKCNNDQCPWQHVRDFSNENVGQHEHDDSDIAGCLVGLALQKRKCNRATELPKCHSALTPPTYLVGLDVLKADPHSYESVVARRYGQCWQKSFSICLALSNLIQKDLLADETFLHGSDGRIEVNGSWDKQSSFFQSRNSIVNHLNQMLPGDMLSLEKALLILSQEVNKLEGMKKALSILSRAIEADPKSEILWITYLLIYYGNYKSIEEDMLSYAVKHNDRSYGLWLMYINSRMHLDDRLAAYNAALTALCRHISACERDEMYASACILDVFLQMMNCLCMSGNVEKAIQKICELFPVASNSDQQSHSLLLSDILACLTISDKYMFWVCCVYLVIYRKLPEAVVQKFECDKGLLAIEWPHAHLLDEEKMRAIKLVEMAVDSVKLSGDAESLASEADIRSARHFGLCHIRCVAALDGLESCGNLLEEYLKLYPYSLEFLLISARIWMNEFDSFKGFEEALGKWPKETPGIHCIWNQYIECALQKGDVGFVKELLGRWFNSFSVQYHQPEKLDNLCTNSSDGLIRLASASNPDFLTSNANQMDVTFGYLNFSLAKLLLNDHSGARDAIDKAFKAAAPPIFNHCLREHATFLLNYELQAKEDAFVSEQLNVLKGYADDARALVSEPLSRRFMNEIEKPRVRQLISNILSPVSSDFSLVNIVLEVWYGPSLLPLTFTQPKELVDFIEAILEIVPSNYQLAFSACKLLSRGEHFSKLASGSMLYWASSTLVNAIFRAIPIAPEYAWVDAAGVLDGIEGVESITERFYKKTLSVYPLSIKLWNCYYNLYKNRGDAISIVEAAREKGIELEFSER >Manes.18G077000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7027751:7029510:1 gene:Manes.18G077000.v8.1 transcript:Manes.18G077000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYREDNCCCYFHPKESVIGVCPLCLNEKLLVLAAKQGQVSSSRSHQAFSTRKPSISLSKIFALGSLLNRLEFRHWKSDNNSDKSDASTSPEESFISIKFEENGAASWEKGNKVSVEQSSKSWNHDLNRENKDAKQGKDTKETMSVIEHSKPRGSLRWRKRIGHLFQVIRWKRSNRGNNVCHVGTKVEGVKVRKGWIRTLTKRRTKE >Manes.01G150701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33939812:33940690:-1 gene:Manes.01G150701.v8.1 transcript:Manes.01G150701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAQKQNHQLPPTTTTSKILGSKNCDYNWWQKHIEQDGYLLAAVKAAARVGGLNLTATKPQHKGDALLWSSVAICLVCGNNYVPILDYWSIAPTELNWCPFLLSALADKTWWVVVTFELSGLA >Manes.12G093950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18616376:18617151:1 gene:Manes.12G093950.v8.1 transcript:Manes.12G093950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRRSARLTGVPPEDEGMSARPPALPRAMSSRASRERAARDPRRSLDLGRSRSVRGTVQGGISEDMGDDMDVEQRRDGSLGVSMSEEGMGESQGCTQASGFAQPPHYPHFSQNPGYSMGGTSDYPSFTPYPTHMPYPPYYPP >Manes.08G060401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7124608:7125558:-1 gene:Manes.08G060401.v8.1 transcript:Manes.08G060401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIQTQTSFITDSTTEPIIFKLNGSNYALCMDKLGYINGDLPQPPEINPSFLRWRIENVIVYNFRQWVSRMWKNGGSIEKYYTDLPRLLCEIYFCRPNPMDCAVYIQKYNSLLQDERFYIFLDDLDDRLDHIRSDVLQFKPFPIIEQAYAYQAKIKALIKSKGQSSEGKCTNCGNSKHIRDMCFKLYGYPDWWHELQVKKKKDITNRSGTTGRATIATVESQLSLFVSSKLPP >Manes.18G122600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13229320:13231210:-1 gene:Manes.18G122600.v8.1 transcript:Manes.18G122600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKGMVNLITESAIALVNSWKSMIERDGGIMAYIKVDEGLGSFSADVISRACFGSNYSKGEQIFLNLRDLLKASSKKDLAVGIPGMRYLPTKSNRKAWALEKEIRNLILKVVKERQEAADEKDLLQMILEGAKNSNLSREETDRFIVDNCKNIYMAGWETTAVSASWCLMLLGVNQEWQDRVRAEVLEICGGSMPDYDMIRKMKLLHMVIHETLRLYTPVPLIAREALKDMKLGNINVPKGVNIWTMVSLLHTDPEIWGSDSYKFNPERFANGIAGACKYPFSYMPFGAGPRVCLGQHFATVELKILLALILSNFSFTISPRYVHSPIFNLVVKPDHMESISW >Manes.05G058700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4886459:4890072:-1 gene:Manes.05G058700.v8.1 transcript:Manes.05G058700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAVVLRHFRIPVQSLALAGGKSQPWSFSSSIRLMSSHDDHLSKEQVIERVLDVIKSFPKVDPSRVTPDVHFQKDLGLDSLDNVEIIMAIEEEFKLEIPDKEAVKIDSCNLAIEYVYNHPMAA >Manes.03G163666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29044934:29045479:-1 gene:Manes.03G163666.v8.1 transcript:Manes.03G163666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQEFDSTSIALPLTSTTGNTTATVASSSPISSTSNLSRYENQKRRDWNTFGNTSATTGPHSPSPGAAGRTLGKPKSIPNSVPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPENNPFGARAVRLYLREVRDSQSKARGISYEKKKERDPHINSNRILR >Manes.05G186901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30732263:30734290:-1 gene:Manes.05G186901.v8.1 transcript:Manes.05G186901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLIHDLARSIAESEYVWVENDRMLQNFSQIRHSSVICNFSLHTIPESLYEARKLRTLILLLPKGDLGEVPRNVFSSFRYLRVLDLSSSGIKKLSASISSFIFLKYLDISNTHVKDLPESVCNLQNLQVMDLSGCYDLNKLPRGIARISKLRHLILNGCDRLRKIPPLIGKLVYLRTLSMFVVGREIGESIRELENLNLGGQLTIRCLENVREAAEAIKADLIGKRNLQSLSLSWGNDNGVTGSANNGQVEQVLNYLQPHKYLKKLSIDGYQGLHFPGWMAFCNLPNLTELVLMNCRRCKDLPALGQLPFLKVLYLQGMDAVTSIGSQFYGQSEKAFPSLEELSLVDFPNLETWRSFNRREDFPSLAKLVINKCFKLRNMPYFPCVQHLELRSCDNMVLESASELTSITVLVIDKFAELVFLENLLQSNNLLTSLMISSCPKLCSISPSLAELKNLKSLAVRWCKELHSLPHGLQNLASLESLEIVECHSLVSLPEGIQGLRSLRYLSIENCNNLTSLPLELQFPASLEHLTVMYCPQLASMPDDFQHPSALRSLSLLNLPKLSSLPQGLQYVTTLQNLEIRGCPTLKALPEWLVNLTLLRSLALSECQNLKSLPEGLQRLSSLQHLSIQECPVLEERCRRDVGEDWPKIASIAHFYMGSQEQRDHNGTSSSTHRN >Manes.01G232050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39599849:39601706:-1 gene:Manes.01G232050.v8.1 transcript:Manes.01G232050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTCILSFTWEDFAGVKDSIVKLSPSTFMRPLFSLSSKALTLQLDDLGATAKMISSTFYKDNLEQSQWPIIRQVLAVSWV >Manes.11G159200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32483862:32485459:-1 gene:Manes.11G159200.v8.1 transcript:Manes.11G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPFRPREKLIEKQKYFQSVHKYTYLKGPYDKITSVAIPIALAASSIILIARGIYNMTHGIGKKE >Manes.03G144300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27192189:27196943:1 gene:Manes.03G144300.v8.1 transcript:Manes.03G144300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQETSSGDSNSKIASHSPPSVSVPDWDQPLTEEELQAIDAVEAAFQRSTPTNTIPSSSVIRKRHSPPPNDLDMRKNRRQLPNSVVGLSRPFSLSPCEANTKVRYPALKFGGHIIYSRTPMEVEKAARELLQSLEAKQGEMGQVIVGFDIEWRPSFRRGVLPGKAAVMQLCCGTDYCHVMHIIHSGITETLQFLLEDSMLLKVGVGIRNDSVKVFKDYNVSVKAVEDLSYMANKKLGGKPKSWGLRSLTELLICKELQKLNRIRLGNWEVDVLSKKQLEYAATDAFASWQLYQVLKTLPDAKDATAERSDELNVVPQQ >Manes.03G144300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27192196:27199587:1 gene:Manes.03G144300.v8.1 transcript:Manes.03G144300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQETSSGDSNSKIASHSPPSVSVPDWDQPLTEEELQAIDAVEAAFQRSTPTNTIPSSSVIRKRHSPPPNDLDMRKNRRQLPNSVVGLSRPFSLSPCEANTKVRYPALKFGGHIIYSRTPMEVEKAARELLQSLEAKQGEMGQVIVGFDIEWRPSFRRGVLPGKAAVMQLCCGTDYCHVMHIIHSGITETLQFLLEDSMLLKVGVGIRNDSVKVFKDYNVSVKAVEDLSYMANKKLGGKPKSWGLRSLTELLICKELQKLNRIRLGNWEVDVLSKKQLEYAATDAFASWQLYQVLKTLPDAKDATAERSDELNVVPQQ >Manes.03G144300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27192180:27199869:1 gene:Manes.03G144300.v8.1 transcript:Manes.03G144300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQETSSGDSNSKIASHSPPSVSVPDWDQPLTEEELQAIDAVEAAFQRSTPTNTIPSSSVIRKRHSPPPNDLDMRKNRRQLPNSVVGLSRPFSLSPCEANTKVRYPALKFGGHIIYSRTPMEVEKAARELLQSLEAKQGEMGQVIVGFDIEWRPSFRRGVLPGKAAVMQLCCGTDYCHVMHIIHSGITETLQFLLEDSMLLKVGVGIRNDSVKVFKDYNVSVKAVEDLSYMANKKLGGKPKSWGLRSLTELLICKELQKLNRIRLGNWEVDVLSKKQLEYAATDAFASWQLYQVLKTLPDAKDATAERSDELNVVPQQ >Manes.16G068000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26209806:26214793:1 gene:Manes.16G068000.v8.1 transcript:Manes.16G068000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSTERHSVSQTETYPLLMERPESLSASEHIIDIPRSSDSSPSTSHDRTASGLEASSHIDRPSTSVRTSLPQPSTMTSGGNGSNTRNSSFIRRGEARRRRSPLNSGLWISVELVLTISQIVASIVVLSASRNEHPRAPLFPWIVGYASGCVATLPLLYWRYRHRNQVLEQESTQSRQGSTHITVPAGPYSVSVSRSSEADDRRTATTSSRSSLNSEALNARLKTLVEYFKMALDCFFAVWFVVGNVWIFGGHSSASEAPNMYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISLLGFREDLGQTRGATAESIDALPTYKFKVSKNRNSDDRDSNSGAVDGGIVAAGTEHERMISGEDAVCCICLAKYANNDELRELPCSHFFHKECVDKWLKINASCPLCKSEVGESIIGSLSGSNSR >Manes.16G068000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26209847:26213929:1 gene:Manes.16G068000.v8.1 transcript:Manes.16G068000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPESLSASEHIIDIPRSSDSSPSTSHDRTASGLEASSHIDRPSTSVRTSLPQPSTMTSGGNGSNTRNSSFIRRGEARRRRSPLNSGLWISVELVLTISQIVASIVVLSASRNEHPRAPLFPWIVGYASGCVATLPLLYWRYRHRNQVLEQESTQSRQGSTHITVPAGPYSVSVSRSSEADDRRTATTSSRSSLNSEALNARLKTLVEYFKMALDCFFAVWFVVGNVWIFGGHSSASEAPNMYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISLLGFREDLGQTRGATAESIDALPTYKFKVSKNRNSDDRDSNSGAVDGGIVAAGTEHERMISGEDAVCCICLAKYANNDELRELPCSHFFHKECVDKWLKINASCPLCKSEVGESIIGSLSGSNSR >Manes.15G136900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11073467:11080450:-1 gene:Manes.15G136900.v8.1 transcript:Manes.15G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSGRKLSFNILSESSSVEEDDFLLYQSNMDPIEKISGATENPNRKKKRHRKKKTPESYSMIPEHPITHSGFIESNSAVQNGNVFLDNRNSYVGGGSVVCTVSDVTEDCQSVFNNSGSELRQRNVSNGGGGEEIVSSSSRVEENGTVDREVEVSSTEKHWSSEPNGSIVPTVKLETAESLDWNRLMAEDPNFLFSVEISPVKYFMDEMYKGNSLRSTTTLGSEMERERVYDTIFRLPWRCELLIDVGFFVCLDSFLSLLTIMPTRMLMTLWRLLSARQFKKPSAAELSDVGCFLVLASGVALLERTDISLIYHMIRGQGTIKLYVIYNVLEVFDKLCQSFGGDVLQTLFNSAEGLASCSEENPRFWIWRFMSDQALAMAFSILHSFILLAQAIALSTCIIAHNNALLALLVSNNFAEIKSNVFKRFSKDNIHNLVYSDSIERFHISAFLLFVLAQNILEAEGPWFESFLFNALMVFICEILIDIIKHSFLAKFNDIKPIAYSEFLEDLCNQTLNTQTEDKKKNLTFVPLAPACVVIRVLTPVYAAHLPYGPRAWRFFWILLLSAMTYVMLTSLKVMIGMGLRKHATWYINRCRNRKRHLHND >Manes.01G192950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36767912:36769766:-1 gene:Manes.01G192950.v8.1 transcript:Manes.01G192950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLLFAANSCSCCFVVIAGDLFSQFPIGQMCSFTQFRAQLKSILCKFPVTWRPSCSISCTIFGEKILVYFVFLTFKFVAFGFYGFSSIEFYIAHFP >Manes.05G114600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14481064:14485660:-1 gene:Manes.05G114600.v8.1 transcript:Manes.05G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSILCSCLDRKRKSTASDGADDDFEIHDLFFDLRTLQVATNFFSNLNQLGHGGFGPVYRGLIPNGQEVAVKKLSLNSRQGLREFTNEVKLLLKLQHKNLVTLLGCCVEGTEKMLVYEYLPNKSLDYFLFGKQNSSSLDWTTRFRIVTGVARGLLYLHEEAPERIIHRDIKASNILLDEHLNPKISDFGLARLFPGEDTHLNTFRISGTHGYMAPEYAMHGYLSVKSDVFSYGVLVLEIVSGRKNHDSQLGGEKADILSYTWRLYEGGKTLDLVDQSLAKFNRDEAAMCIQLGLLCCQQSVADRPDMNSVHLMLLSDSFTLPKPGKPGIQGRRGRWTTSSTSAFTKTNTNTNTNASSTSTGIAKSSAGNSLVEEYSRNSMSISSMGEGR >Manes.05G114600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14481064:14485660:-1 gene:Manes.05G114600.v8.1 transcript:Manes.05G114600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVPFTGNSRSNTGLITYTLVMSLGLIPNGQEVAVKKLSLNSRQGLREFTNEVKLLLKLQHKNLVTLLGCCVEGTEKMLVYEYLPNKSLDYFLFGKQNSSSLDWTTRFRIVTGVARGLLYLHEEAPERIIHRDIKASNILLDEHLNPKISDFGLARLFPGEDTHLNTFRISGTHGYMAPEYAMHGYLSVKSDVFSYGVLVLEIVSGRKNHDSQLGGEKADILSYTWRLYEGGKTLDLVDQSLAKFNRDEAAMCIQLGLLCCQQSVADRPDMNSVHLMLLSDSFTLPKPGKPGIQGRRGRWTTSSTSAFTKTNTNTNTNASSTSTGIAKSSAGNSLVEEYSRNSMSISSMGEGR >Manes.10G090101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23325902:23336279:-1 gene:Manes.10G090101.v8.1 transcript:Manes.10G090101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENYELPYQNHGHVILADPSPILFYRISSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.08G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33576923:33583361:-1 gene:Manes.08G100900.v8.1 transcript:Manes.08G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAARRLSSLPWRSTQATSSVVHRSHRISTNDHDADDLSSSRSVLSPDRDSFTRDLIRGFSSEALAPGHDLGMISDIPATVAAVKNPTSKIVYDEHNHERFPPGDPSKRAFAYFVLTGGRFVYASLIRLLILKFVLSMSASKDVLALASLEVDLSSIQPGTTITVKWRGKPVFIRRRTEEDIRLANSVDDASLRDPQEDSSRVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLDENKLLIG >Manes.03G034901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2944831:2947567:1 gene:Manes.03G034901.v8.1 transcript:Manes.03G034901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPIPFIEENYGLAFHQSMQQLVDEIHKETLNLSHFINVFYRLMQSKVDPPIETIWIYSALSFRSRKKANQDLSDHILIVKELFQLISRCSGPCSASKSIALLAPVVFQVYNLVVELLGKDLGARRVKKAAKEAKSLIGEIIGYVSVCCGKDVSKESDSNLSVSFLDLASLWIDGNDGFKGFLPLTSDEIYKEISVGGSTVANLAGVVISEVFLLKLCLDLRIGNRGEALEKELRSWIVGSITGLQSFYFFDCLICYFSQCILLDLLLLDLLLLTIISSEDESFLRRILYDAAILVEYSFLSPEKAVNITANHVRDLAVKRLIITHEALELFRKSGDQKRAIAYSSSFSNSGFRTQIIQYITSQVGIGEEASRLKGASPKALIKFLLNLDGQGIRLFDDTISKFHAKLAFDDSKSDYEQLAFKPGGKKADADLFYIDNKGEEENLGEDDKEVNESMSNAFIASAQRMRLMENGGKKRKEERNARKKKKIKLLKHTLSDTSDSDEERSTSASDDDSGSESEVENPTSDEDV >Manes.13G073300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10769179:10786899:-1 gene:Manes.13G073300.v8.1 transcript:Manes.13G073300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGQVIAPSNSPHLRKSGSRPVVLDLVGDQGNGAEEGFLHSMESNDLKSTVTPISTVAIMPSPVLLWRFKVLLFFLWGFICCKIGWDSVMRMSVDLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTINYAKIFDLDQNHLTHREIWKVSTWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYLAVVMVLIFPFDIFHLSSRYYFLRTLWRIVFPLQAITFSDFFLADILTSMSKVFSDLERSACRMVHRQVATIAWFEADSVCGSHSIAIPLVLVSPYIFRLFQCLRQYKDTREKTSLFNALKYSTAVPVIFLSALKYHVFPDKWTNFYRPLWLLSSVLNSLYSFYWDVTRDWDLSCFTRIFKFNKTSLCSYLLYGRKWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSMNDSSTEEAKLLASIDHNV >Manes.11G144900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30948583:30952893:-1 gene:Manes.11G144900.v8.1 transcript:Manes.11G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLILLLSLTTLLLFSMLSPSISKTQNDKVKNDDNDDEDLSFLEEETDKKDATLHDHHYPDSEQFEEDDIDSDDFENYPDFDDSESDPYKMPEVDDKDVVVLKERNFSDFIENHKFVMVEFYAPWCGHCQALAPEYAAAATELKGEDVALAKVDATEESELAQEYDVQGFPTVYFFVDGDHKPYPGQRTKDAIVTWIKKKIGPGINNITTLDDAERVLTTDSKVVLGYLNSLVGPESEELASASRLEDDVNFYQTVDPDVAKVFHLDPKVKRPALVLIKKEAEKLSYFDGNFSKSQIVEFVFANKLPLVTTFTRESAPTIFESPIKKQLLLFATSNDSEKVLPLFQEAAKFFKGKLIFVYVELDNEDVGKPVADYFGIAGNAPTVLAYTGNEDGKKFVLNGEVTLEKIKTFGEEFLEDKLKPFFKSDPIPETNDGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIESLVIAKMDGTTNEHPRAKSDGFPTILFFPAGNKSFEPLTVDTDRTVVALYKFIKKHASIPFKLQKPASTAKSESSDDKGSHENSTNDVKDEL >Manes.18G141001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25185288:25189206:1 gene:Manes.18G141001.v8.1 transcript:Manes.18G141001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLRFLFLFLATVCPLRSTAVAIGVNWGTTSSHPLPPLKVLELLKSNNISKVKLFDADPLVLQALSGSNIGVTVGIPNSMLKSFNSSKKVAESWVHDNVTRYFSSGSSGVRIEYIAVGDEPFHLSYGEQFHPFVTGAAINVQTALTRANLASQVKVVVPCSYDIIQSESGLPSKGHFRSDLNKTMIELLTFLRKHHSPFFVTISPFITFHKSKNISLDFALFKEAAHPRNDSHRIYKNSFDLSYDTLVTALSVVGFPEMNIVVAKIGWPTDGAANATSFISETFMKGLMDHVHSKSGTPLRPRNPPAETYIFSLLDEDQRSIATGNFERHWGLFTFDGQAKYRIDFGQGLKKPENAKNVEYLPSKWCVVNNNKDLSNASTNAMDACSVADCTALSPGGSCFNISWPGNISYAFNSYYQQHDQRADSCDFGGLGLITTVDPSVGNCRFSIELRTSHTVSLSGSYHFPWMILLTVKSYFFLLYFY >Manes.18G141001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25185230:25189206:1 gene:Manes.18G141001.v8.1 transcript:Manes.18G141001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLRFLFLFLATVCPLRSTAVAIGVNWGTTSSHPLPPLKVLELLKSNNISKVKLFDADPLVLQALSGSNIGVTVGIPNSMLKSFNSSKKVAESWVHDNVTRYFSSGSSGVRIEYIAVGDEPFHLSYGEQFHPFVTGAAINVQTALTRANLASQVKVVVPCSYDIIQSESGLPSKGHFSKNISLDFALFKEAAHPRNDSHRIYKNSFDLSYDTLVTALSVVGFPEMNIVVAKIGWPTDGAANATSFISETFMKGLMDHVHSKSGTPLRPRNPPAETYIFSLLDEDQRSIATGNFERHWGLFTFDGQAKYRIDFGQGLKKPENAKNVEYLPSKWCVVNNNKDLSNASTNAMDACSVADCTALSPGGSCFNISWPGNISYAFNSYYQQHDQRADSCDFGGLGLITTVDPSVGNCRFSIELRTSHTVSLSGSYHFPWMILLTVKSYFFLLYFY >Manes.10G078932.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18341893:18356678:-1 gene:Manes.10G078932.v8.1 transcript:Manes.10G078932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIALTIIISGVAASIMLGGRTTHLRLSILLSPTESSMYGISKQSGQIELLCTAKLIIWDKVSMAKRLAIETIDRILKDIMNNSQPFGRKLMAFGGDFRQVLPVVPKPLRQKNVSASLIKSYLWSKMEVLKLTTNMRVRTYQYFGEFILRVGNREELETKEDNIRIPEEMVVKYENENSCEEVLIDAVYSSLEKIARLA >Manes.01G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27180726:27184749:-1 gene:Manes.01G070700.v8.1 transcript:Manes.01G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLPKCSVLATSLSLLHQSRRTRRAFCLKMSAESPPQLTQSLVLASQPGQPVQVVAAPGVSDSDFRSAIDSSLFKQWLKNLQSETGILGSGGFSLKRVLVQGVDMFGQRIGFLKFKADIFCQQTGSKVPGIVFARGPAVAVLILLESEGETYAVLTEQVRVPIGRLVLELPAGMLDDDKGDFVGTAVREVEEETGIHLNLEDMVDLTAFLDSSTGGRVIPSPGGCDEEISVFLYRGCVDKGVITQLQGKETGLRDHGELIKVRVVPYGNLWRMTSDAKVLMAIALYEMAKKGGLLPSKARSPNSSI >Manes.18G090800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8359403:8360761:1 gene:Manes.18G090800.v8.1 transcript:Manes.18G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTSRFPLAVNDVEQQATVLELEPTKDQDFDYSKRAQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKVMILTGFAGLVAGACSMAIGEFVSVYSQLDIELAQMKRDKQRGGNNNEGKQENGGHEEEEEEKESLPNPLQAAAASALAFSVGAMVPLLAASFIRNYKLRLGAVVGSVSLALLVFGWLGAVLGKAPAVRSSARVLVGGLMAMAITFGLTKLIGSSGL >Manes.06G071700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20793741:20796979:-1 gene:Manes.06G071700.v8.1 transcript:Manes.06G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCIDESTATSDSISVSISPTSAVSHFPPPTKSPESPLCRVGSGSSVILDSEFGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAKAYDIAAQRFRGRDAITNFKPQGEENEDDDIETAFLNSRSKAEIVDMLRKHTYKDELEQSKRNYLIDGQGKQHRNPGANNAALSGSGRVLKEREQLFEKAVTPSDVGKLNRLVIPKQHAEKYFPLQNVSNSTKGVLLNFEDITGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGPDKQLYIDWKVKTGPNPVVCSVKPVQMVRLFGVNIFKLAENNSGGAEGAGGCNGKRSIREVELSSLECIKKQRIIGAL >Manes.08G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3770644:3774674:1 gene:Manes.08G038400.v8.1 transcript:Manes.08G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSISIFSNALASFCNHLQTSCDALKQSVDRRPIPLDSASSTFIQCLNRRVSAVTSDLSLLESMSFGTVSFEELLGHCNEVYKKNQSDLIQLEDRLKDFGYVPEEVVEIDYQDKHSSIPTALDLEDKDVLDLPSYCRPVSAVSSIMKNLEEDPLMDESLSLKSLGLSDVCLATLASEANNKIDDPDVFRRESMKHYGDKGYNIRNPDQNAVDILEVTEGKIEGDLQPAGTPGALIHVSKDDYGSLPSYMKSLTSWEDLLEAVEKINCRLRKKEKTKSGIYFHQDEIASLGLGPKARTYLLLLMRMNQLVVETIDGLISYKVLSTR >Manes.08G038400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3770644:3774674:1 gene:Manes.08G038400.v8.1 transcript:Manes.08G038400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSISIFSNALASFCNHLQTSCDALKQSVDRRPIPLAVTSDLSLLESMSFGTVSFEELLGHCNEVYKKNQSDLIQLEDRLKDFGYVPEEVVEIDYQDKHSSIPTALDLEDKDVLDLPSYCRPVSAVSSIMKNLEEDPLMDESLSLKSLGLSDVCLATLASEANNKIDDPDVFRRESMKHYGDKGYNIRNPDQNAVDILEVTEGKIEGDLQPAGTPGALIHVSKDDYGSLPSYMKSLTSWEDLLEAVEKINCRLRKKEKTKSGIYFHQDEIASLGLGPKARTYLLLLMRMNQLVVETIDGLISYKVLSTR >Manes.03G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17808350:17811340:1 gene:Manes.03G098700.v8.1 transcript:Manes.03G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSMSASSGGAQAVTMAKTPCCSKVGLKRGPWTPQEDELLANYIKKKGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGQIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGMDPRTHKPLKPECLDQNKASSSKATKSKTSSPKSNNKNPAAGAGAGVEETPSGDKENVYIESTYIDYQYQITGNSNPNHGYSSLLNSGYGNCGMDFRSIIHGPINEHGDINYCTDDVFSSFLNSLINEEAFTSQQHQQMQQQDNLIVPTSDPLVSLGASTFGYGPSCESELMSSTFNQNDPQQEG >Manes.07G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3965498:3969391:-1 gene:Manes.07G037900.v8.1 transcript:Manes.07G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCLSLSRRCKEYWSHCRIFFCKPVFFISGLILSHAFVENAVIFPFITYIMDNWKNQTLPKAAAIVNVQEGLSTFFRLIIVHIADAHMGYFKIVAFTTALYILGLVLLIFAPHTGLLPMLLMTVGIAGRGPILKPFLAKHVTNTQEQNADNVVDKDQVVARTNVWWSVVWFLGAVTSVISLLVFPLEYTEAFKVSTAIMGVAYVLFWSGFFCYDLHHEPTECLFSIVFRVFKVAVLKRFLGYPTNGSVYFEEDSNEVLLLPHNPIFRVFSCLDKAAIPETSFPDQERQQGKLCTIEEVNRVKKLLGLLPMWTTLLIYALVEATGSTFFIEQVDSLNPIKFFGFKLPINAFSALESLVSFTVPYLFNLLIPKHWNKNKEKRQLIVLLRIGLGMMCSILCCVAASKVEVHRLNSIDNLTSMSQFWLFPQFILLGIMEGLVRDGLCEFFYSQVDESMKHYESSMNDCVLGIGKFLSVICVVTFKDYFGDSVDESRLDKYYFKLAALSCGNLLFYVLVACIYSWKESTPQTVMDIEAGNAESAIVLTSTSSEIINKMRDNLYKRWRSLEEYDDMASNKLLLRSRTFQ >Manes.03G040000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3523629:3527171:1 gene:Manes.03G040000.v8.1 transcript:Manes.03G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSRNQKTFRPKKNAPSGSKGAQLQKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLTEFCTASNCPTMTAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIESQLDNEMIFPQKLGVPFPQNFRDVVKTIFKRLFRVYAHIYHEHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIDKGELAPLQELVESILQL >Manes.18G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3315675:3320467:-1 gene:Manes.18G037500.v8.1 transcript:Manes.18G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFISTSKAVRSLITSRNAAKHLIRSAKNGHSKIDQIRCYSSSIFGISTPSLSEVINRKIDFSLALGSLYNNITVSQRRRFLGCGDGDEGGVLSKVYEERRVMGYSPEQLFDVVASVDLYHGFVPWCQRCEILKRYPDGSFDAELEIGFKFLVESYVSHVELNRPKSVKTTVSESTLFDHLINIWEFNPGPAPGTCDLHFLVDFKFQSPLYRQVASMFFKEVVSKLVASFSDRCRLIYGPGVPVLENSYGQ >Manes.18G037500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3315320:3320620:-1 gene:Manes.18G037500.v8.1 transcript:Manes.18G037500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFISTSKAVRSLITSRNAAKHLIRSAKNGHSKIDQIRCYSSSIFGISTPSLSEVINRKIDFSLALGSLYNNITVSQRRRFLGCGDGDEGGVLSKVYEERRVMGYSPEQLFDVVASVDLYHGFVPWCQRCEILKRYPDGSFDAELEIGFKFLVESYVSHVELNRPKSVKTTVSESTLFDHLINIWEFNPGPAPGTCDLHFLVDFKFQSPLYRQVASMFFKEVVSKLVASFSDRCRLIYGPGVPVLENSYGQ >Manes.18G037500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3315665:3320468:-1 gene:Manes.18G037500.v8.1 transcript:Manes.18G037500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFISTSKAVRSLITSRNAAKHLIRSAKNGHSKIDQIRCYSSSIFGISTPSLSEVINRKIDFSLALGSLYNNITVSQRRRFLGCGDGDEGGVLSKVYEERRVMGYSPEQLFDVVASVDLYHGFVPWCQRCEILKRYPDGSFDAELEIGFKFLVESYVSHVELNRPKSVKVASMFFKEVVSKLVASFSDRCRLIYGPGVPVLENSYGQ >Manes.18G037500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3315665:3320468:-1 gene:Manes.18G037500.v8.1 transcript:Manes.18G037500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFISTSKAVRSLITSRNAAKHLIRSAKNGHSKIDQIRCYSSSIFGISTPSLSEVINRKIDFSLALGSLYNNITVSQRRRFLGCGDGDEGGVLSKVYEERRVMGYSPEQLFDVVASVDLYHGFVPWCQRCEILKRYPDGSFDAELEIGFKFLVESYVSHVELNRPKSVKVASMFFKEVVSKLVASFSDRCRLIYGPGVPVLENSYGQ >Manes.18G037500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3316879:3320269:-1 gene:Manes.18G037500.v8.1 transcript:Manes.18G037500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFISTSKAVRSLITSRNAAKHLIRSAKNGHSKIDQIRCYSSSIFGISTPSLSEVINRKIDFSLALGSLYNNITVSQRRRFLGCGDGDEGGVLSKVYEERRVMGYSPEQLFDVVASVDLYHGFVPWCQRCEILKRYPDGSFDAELEIGFKFLVESYVSHVELNRPKSVKTTVSESTLFDHLINIWEFNPGPAPGTCDLHFLVDFKFQSPLYRQVASMFFKEVVSKLVASFSDRCRLIYGPGVPVLENSYGQ >Manes.18G144969.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21782431:21783885:1 gene:Manes.18G144969.v8.1 transcript:Manes.18G144969.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTVLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGACEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKV >Manes.13G149600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36539928:36541334:1 gene:Manes.13G149600.v8.1 transcript:Manes.13G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSQLPLQPSQENPPSNYSIYASFCCRDASPNTNMSIWIECYSPSINAWHRITRIPGLIENHVLKGFSMASIGDSIYIIGGRLCHKLPGHVHDELDLEVRSSVLRYNVRDNEWHKCASLSTPRFDFACTVSDNKIYVAGGKCALACPRGISSAEVYDPALDEWKALPNMSTMRYKCVGVTWQGKIHVVGGFAERDNSYAERPWHILERCSAEVYDSEHEKWDLVLGMWQLDVPPNQIVAVSGNLFSSGDCLNAWKGHIEAYDGKQNMWNEVDGSHLETLSSPISTSDATEENWPPIQRLYLTMAPIGTHLYFLAGYRLPGEISRSMSVVHVFDTSANEYGWRSFEPMEEEGEKELCSHCCVVRQTL >Manes.04G077801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28199254:28199547:1 gene:Manes.04G077801.v8.1 transcript:Manes.04G077801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLAILRAKQILQRSSSANQSASMDVPKGYLAVYVGEKQRKRFVIPVSYLNKPSFQDLLIQAEEEFGYDHPMGGLTIPCCENMFIDIISCLNCS >Manes.01G220900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38872195:38873043:1 gene:Manes.01G220900.v8.1 transcript:Manes.01G220900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKVSDYWVHIKTEKSSAVWFSKERKRQKQRRASTDFGSPTHQILYFRHARSGLWFIIFLVLCSVTSAMEEWRGSFWKVVLWFLG >Manes.10G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18821424:18827345:-1 gene:Manes.10G081300.v8.1 transcript:Manes.10G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLLQHLFQTFQKISGCVQAHFSNLVAQPHHRSPSAKNPLFSISASSSKVNPSTTDADLLQPKDILIKIKSTAPVTKEELGRATWTFLHTLAAQYPEKPTRQQKKDVKELMAILSRMYPCKECADHFKEVLRANPVLAGSQTEFSQWLCHVHNVVNRSLGKLVFPCERVDARWGKLECEQRACDLQGTDFPVD >Manes.01G011100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3665716:3670359:1 gene:Manes.01G011100.v8.1 transcript:Manes.01G011100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIMLGMVQPPQVIPNIQPAAPQQPQQSSQPAQQSNIQAAHSLPGQIVQEQTVASHNQPPMRKQHPNQPSISMSSTSAPPSSLQSQPTPSHPLQTPQLPKGHLNPQMPQISVPQSSQLPNLPPPLHSASQPPPLHQPHMPSVSTQLQQPLQTTGIPHLPLQPPMPLQGRPPSVPSFHHQYGPQMGPNVGFQHAGAPQHPSQPMFHSGSKPQGSLGPSFPQGQPALPSQLPSLYQGGGSHLGAEFNNQIGNTMQVDRGPSWMAGPPESSTMTLLPGPQPMVPGQMGPGNQPIRAPPLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQ >Manes.01G011100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3665716:3670359:1 gene:Manes.01G011100.v8.1 transcript:Manes.01G011100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIMLGMVQPPQVIPNIQPAAPQQPQQSSQPAQQSNIQAAHSLPGQIVQEQTVASHNQPPMRKQHPNQPSISMSSTSAPPSSLQSQPTPSHPLQTPQLPKGHLNPQMPQISVPQSSQLPNLPPPLHSASQPPPLHQPHMPSVSTQLQQPLQTTGIPHLPLQPPMPLQGRPPSVPSFHHQYGPQMGPNVGFQHAGAPQHPSQPMFHSGSKPQGSLGPSFPQGQPALPSQLPSLYQGGGSHLGAEFNNQIGNTMQVDRGPSWMAGPPESSTMTLLPGPQPMVPGQMGPGNQPIRAPPLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQ >Manes.01G011100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3665716:3670359:1 gene:Manes.01G011100.v8.1 transcript:Manes.01G011100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIMLGMVQPPQVIPNIQPAAPQQPQQSSQPAQQSNIQAAHSLPGQIVQEQTVASHNQPPMRKQHPNQPSISMSSTSAPPSSLQSQPTPSHPLQTPQLPKGHLNPQMPQISVPQSSQLPNLPPPLHSASQPPPLHQPHMPSVSTQLQQPLQTTGIPHLPLQPPMPLQGRPPSVPSFHHQYGPQMGPNVGFQHAGAPQHPSQPMFHSGSKPQGSLGPSFPQGQPALPSQLPSLYQGGGSHLGAEFNNQIGNTMQVDRGPSWMAGPPESSTMTLLPGPQPMVPGQMGPGNQPIRAPPLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQ >Manes.01G011100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3662328:3670359:1 gene:Manes.01G011100.v8.1 transcript:Manes.01G011100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPIPGDGLTENLAGMTKNQLYDIMSQMKTLIEQNKQQAREILIQNPLLTKALFQAQIMLGMVQPPQVIPNIQPAAPQQPQQSSQPAQQSNIQAAHSLPGQIVQEQTVASHNQPPMRKQHPNQPSISMSSTSAPPSSLQSQPTPSHPLQTPQLPKGHLNPQMPQISVPQSSQLPNLPPPLHSASQPPPLHQPHMPSVSTQLQQPLQTTGIPHLPLQPPMPLQGRPPSVPSFHHQYGPQMGPNVGFQHAGAPQHPSQPMFHSGSKPQGSLGPSFPQGQPALPSQLPSLYQGGGSHLGAEFNNQIGNTMQVDRGPSWMAGPPESSTMTLLPGPQPMVPGQMGPGNQPIRAPPLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQ >Manes.01G011100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3662328:3670359:1 gene:Manes.01G011100.v8.1 transcript:Manes.01G011100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPIPGDGLTENLAGMTKNQLYDIMSQMKTLIEQNKQQAREILIQNPLLTKALFQAQIMLGMVQPPQVIPNIQPAAPQQPQQSSQPAQQSNIQAAHSLPGQIVQEQTVASHNQPPMRKQHPNQPSISMSSTSAPPSSLQSQPTPSHPLQTPQLPKGHLNPQMPQISVPQSSQLPNLPPPLHSASQPPPLHQPHMPSVSTQLQQPLQTTGIPHLPLQPPMPLQGRPPSVPSFHHQYGPQMGPNVGFQHAGAPQHPSQPMFHSGSKPQGSLGPSFPQGQPALPSQLPSLYQGGGSHLGAEFNNQIGNTMQVDRGPSWMAGPPESSTMTLLPGPQPMVPGQMGPGNQPIRAPPLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQ >Manes.01G011100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3662328:3670359:1 gene:Manes.01G011100.v8.1 transcript:Manes.01G011100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPIPGDGLTENLAGMTKNQLYDIMSQMKTLIEQNKQQAREILIQNPLLTKALFQAQIMLGMVQPPQVIPNIQPAAPQQPQQSSQPAQQSNIQAAHSLPGQIVQEQTVASHNQPPMRKQHPNQPSISMSSTSAPPSSLQSQPTPSHPLQTPQLPKGHLNPQMPQISVPQSSQLPNLPPPLHSASQPPPLHQPHMPSVSTQLQQPLQTTGIPHLPLQPPMPLQGRPPSVPSFHHQYGPQMGPNVGFQHAGAPQHPSQPMFHSGSKPQGSLGPSFPQGQPALPSQLPSLYQGGGSHLGAEFNNQIGNTMQVDRGPSWMAGPPESSTMTLLPGPQPMVPGQMGPGNQPIRAPPLTPEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQ >Manes.01G090700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29202812:29205005:1 gene:Manes.01G090700.v8.1 transcript:Manes.01G090700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKLGVKKGPWTPEEDIMLVSYIQEHGPGNWRAVPNNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKLQTTGHEGDSKNDILSSSTVSQPITRGQWERRLQTDIHTARQALYEALSPEKKSSLLLPEFKRSNGGHSCAKPASTYASSTENIAKLLKGWMRNGPNKQAQTKSSATTKNSFNSIARTTDSICSEGIPSKADKNGTELAQAFELLFGFESFDYPNSDFSHTDEANLFQVETKPNSSAQILPPLSLLEKWLLDEGTLQGKDYLSEVSVDENNIF >Manes.01G027300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5716538:5718686:-1 gene:Manes.01G027300.v8.1 transcript:Manes.01G027300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSWNRSHKQSKSNTNVDVSDGNKASNVDDVEKNPNPRVTYGSNEHLKKTKPAIDLNESDHHHHLPVFPSDGVLPSASENSDEHRRSSSLTDLKDEKFGILQHHKPSGSEESENSFVDQSITFPVPDFIPQSNHEHVASMMQSPPMQVMERSKGGGGGGGGYDPSRIPSGIFETNKTTPVEWSSTSNESLFSLQLGTSFSRGSRLLSMEYKPGEVDFQFSPSPPVSVTDSEPRMSVMDTDNQPSVPTEETREETTETKKTEKATSKEASKPDDVEDTSDRNEKALERLDEIEESNLPCCYCTWPQCHCIWPSCYCSCWNCGSKRLRWWSCCSPSPTFAARLKTTADGIAQSKSQLPPPVHEATPAATKANTKSASGCCFNWFSWSCCSCRPSCCYSWRPSRCCSWNPFSYCSNCHTCSCSSCHQPCRLSSSCCCPCLCSSCCPCKVAKPVL >Manes.09G092900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28399581:28404535:1 gene:Manes.09G092900.v8.1 transcript:Manes.09G092900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRAEEDALLRAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKENNKTVEPIDEGKYDRILETFAEKLVKERSTPAFVMATSNGGFLHTDPPAPAPTLLPPWLSASNSSSAVRPPSPSVTLSLSPSTVAAPPPIPWLQPERGQDNAPFVLGSLPPHGTVAACENLMISELMECCKELEEGHRALAGHRKEAAWRLRRVELQLESEKTCRKREKMEEIESKINALREEQKASLDRIEAEYREQLAELRRDAETKEQKLAEQWAAKHLCLSKFLEQMACRPRLAESNGR >Manes.09G092900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28399631:28404535:1 gene:Manes.09G092900.v8.1 transcript:Manes.09G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRAEEDALLRAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKENNKTVEPIDEGKYDRILETFAEKLVKERSTPAFVMATSNGGFLHTDPPAPAPTLLPPWLSASNSSSAVRPPSPSVTLSLSPSTVAAPPPIPWLQPERGQDNAPFVLGSLPPHGTVAACENLMISELMECCKELEEGHRALAGHRKEAAWRLRRVELQLESEKTCRKREKMEEIESKINALREEQKASLDRIEAEYREQLAELRRDAETKEQKLAEQWAAKHLCLSKFLEQMACRPRLAESNGR >Manes.09G092900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28399773:28404535:1 gene:Manes.09G092900.v8.1 transcript:Manes.09G092900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWRAEEDALLRAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKENNKTVEPIDEGKYDRILETFAEKLVKERSTPAFVMATSNGGFLHTDPPAPAPTLLPPWLSASNSSSAVRPPSPSVTLSLSPSTVAAPPPIPWLQPERGQDNAPFVLGSLPPHGTVAACENLMISELMECCKELEEGHRALAGHRKEAAWRLRRVELQLESEKTCRKREKMEEIESKINALREEQKASLDRIEAEYREQLAELRRDAETKEQKLAEQWAAKHLCLSKFLEQMACRPRLAESNGR >Manes.03G037300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3251929:3256523:1 gene:Manes.03G037300.v8.1 transcript:Manes.03G037300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVAIEEKECLRNGLEMVKSASDKHIDLLRPSARHHSVSRGQGSDAADREKGKYTLLRDPEDFQAGIYDKPLPCFGCGVGWFSFLLGFMFPLMWYYATILYFGNYYRKDPRERAGLAASAIAALACSVVLLVIVSYCILF >Manes.03G037300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3253269:3255285:1 gene:Manes.03G037300.v8.1 transcript:Manes.03G037300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVAIEEKECLRNGLEMVKSASDKHIDLLRPSARHHSVSRGQGSDAADREKGKYTLLRDPEDFQAGIYDKPLPCFGCGVGWFSFLLGFMFPLMWYYATILYFGNYYRKDPRERAGLAASAIAALACSVVLLVIVSYCILF >Manes.03G037300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3251929:3255095:1 gene:Manes.03G037300.v8.1 transcript:Manes.03G037300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVAIEEKECLRNGLEMVKSASDKHIDLLRPSARHHSVSRGQGSDAADREKGKYTLLRDPEDFQAGIYDKPLPCFGCGVGWFSFLLGFMFPLMWYYATILYFGNYYRKDPRERAGLAASAIAVSYLPPCTQQ >Manes.03G037300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3253762:3255285:1 gene:Manes.03G037300.v8.1 transcript:Manes.03G037300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSASDKHIDLLRPSARHHSVSRGQGSDAADREKGKYTLLRDPEDFQAGIYDKPLPCFGCGVGWFSFLLGFMFPLMWYYATILYFGNYYRKDPRERAGLAASAIAALACSVVLLVIVSYCILF >Manes.03G037300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3251929:3256523:1 gene:Manes.03G037300.v8.1 transcript:Manes.03G037300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVAIEEKECLRNGLEMVKSASDKHIDLLRPSARHHSVSRGQGSDAADREKGKYTLLRDPEDFQAGIYDKPLPCFGCGVGWFSFLLGFMFPLMWYYATILYFGNYYRKDPRERAGLAASAIAALACSVVLLVIVSYCILF >Manes.10G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29277647:29279179:1 gene:Manes.10G125400.v8.1 transcript:Manes.10G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIENLNNSIEHDQLTIYQVSLRGDVAELDALLQQDELILDRVTVSSFHETPLHIAAMRGHLQFAQALLNLKPKLAEELDSLCRLPLHLASAEGYSHIVKELVTVNPDACWARDQDGRIPLHLATMKGRVAVVKELVSVCPESIREKMDTGETILHLCVKYNRLEVLKLLVETVRDDEFVSAPDDNGNTILHLAAILKHVQITKYLLLETSIKENANTLNKNGFTALEALEHTPVDSNGLEIKIILLEAEAGHAQRNEERNSKVRSSTVQRKRGLAARTCKWWNNYLKNVGKRFEEARGNILIAATLTATIAFQAGVNPPQFNKDQNATVVSTSSFYLDSYLGNSETYFWYCNTVSLMLSLIIIMLMFSGIPFKNKILGVFLVIIMCSAILYISQAYFFAAASNMRLGENGWTLAVKTVLLIPTIIRFLLWFIILIHFASFVIWLFQKIYRCISKLISSLCL >Manes.02G064202.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4911376:4912277:-1 gene:Manes.02G064202.v8.1 transcript:Manes.02G064202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASINLGFSLFIFLNKFLHTINLWVSPLSFATPTKERYNILMLLRKSCKLSRTALFHFLSNFLNKFFFFSVKGRRLRMGILTLAIVFTIMVVFIIGICMWSLYQGKKVRDAKKKNAAQNEEEQPANQEEPRV >Manes.10G055732.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7199226:7200590:-1 gene:Manes.10G055732.v8.1 transcript:Manes.10G055732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTVIAYQPTENTKVECIPFSHDHTENPAAKQQNYTNLSLHTIGQQLNRVENQVSKMAAQPRSIDIIPTKEVAESSGTKVDEKVLFKPMDSKPIGIKLNKNEEMLEELSKRLAKLGIKEDGKMKAIAPLTMELEEEKLSTDHELIQLEKMLQETEPAEINRIKYPKARATMDLKPYYPRPSPINLQFEDTSYNYMQYDGTSIVEWNIDGLSDYQIKNVLQYMTMYATASRAKGNDDPNTARALIAEFGGQLKGWWDFTVSNEGKELIFKMIKQEGTQQVLDVVNTLLYTIGLHFIGLVNMLTDRTHEQLINLRRPDLSHFKWYKDTFFSLVFIREDSNNSVWKEKFLAGLPALFAERVKDQIRSKHNGNIPYHQYTYGELASEVVSTGIILCNELKIHKQMQKERFHGKRILGSFCEQYGLPPLKFPTTKFTLLLLLNEIATVPSFDCMIV >Manes.04G097800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30254907:30256720:1 gene:Manes.04G097800.v8.1 transcript:Manes.04G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENVSDLDFTDISALLVPENEEHQGDVFSLYAEELQLQETLMGSVIISQMKMSNPPSALMIEPPPAENLQEAGQSSSLEMCFCEICTETKESNQMFTTDRCAHSYCSDCISKHVAAKIEDSITKVTCPGLNCETVLELETCRVKLSKEVIGVWEEALCKELINASQRFYCPFRDCSAMLVADNEGETINVAECPFCHRLFCARCYVPWHSGVECEVYQKLNEDERGRDDLMVMVIAKEKKWSRCPNCKFFVERTEGCPRIACRCGFQFCYGCESPWTSYETHGQCERK >Manes.17G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16430290:16434112:-1 gene:Manes.17G034500.v8.1 transcript:Manes.17G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTTSSPRLSVRRRGPELIVPAKPTPCEVKKLSDIDDQEGLHFQLPILMYYHSVPSMKEKDVVGIIREAMSEALVFYYPFAGRIIQGPNRKLMVECKGQGILFIEADADITIEQLNDSMQLLPCPFIEDLLYDVPGSSGILGCPLLLIQVTQLACGGFVFAIRLNHTMSDALGLAKFLMATAEFARGAKAPSIFPVWQRDILYARDPPHVTHAHREYDEIPINDHNKKSIMTLDQPNMAHRSFLFGPREITSLRNHFPPHLRKCSTFEVLTACLWKCRTAALELDPDEIIRLSCMINLGGKGNQLQLPVGYYGNAFAFPAALSRAGDLCKNPLAYAIDLIRKSKDLMSEEYIRSVADFMVIKGRPRYTTVWNFLVADASRAGLVDVDFGWGKPVFGGPVGALPCGIIFNRFKNSEGEDGILVPILLPRPAMEKFQDELLKLMSEESVVYNNKHPKIPSML >Manes.08G131111.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38072864:38073804:1 gene:Manes.08G131111.v8.1 transcript:Manes.08G131111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVLLALAVAFSFVSAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPYGGLNPPHTHPRATEILVVMEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAALSSQNPGVITIANAIFGPSPPINPDVLAKAFQLDNYTVEKLQKLFANA >Manes.08G131111.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38072871:38091882:1 gene:Manes.08G131111.v8.1 transcript:Manes.08G131111.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVLLALAVAFSFVSAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLINVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDNGTVEKLQKLFDSA >Manes.S042416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1259079:1259246:1 gene:Manes.S042416.v8.1 transcript:Manes.S042416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.01G266700.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42105113:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42105113:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42104815:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42105113:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42104815:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42104816:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42104815:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42105113:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42105113:42110273:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42104727:42110272:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42105113:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.01G266700.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42105113:42110227:-1 gene:Manes.01G266700.v8.1 transcript:Manes.01G266700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHIPSTPGKFKIDKSPYTYNRVRWTSSLAKLTFWSFVFIGLIFLFFYRSPSSSANPSQSDLSRRSLRSSWGGSAWEKRVRASARIRSRNGFSVLVTGAAGFVGTHISAALKRRGDGVLGLDNFNHYYDPSLKRARQALLERTGVYIVEGDINDVALLKKLFELVQFTHVMHLAAQAGVRYAMENPSSYVHSNIAGFVSLLEVCKNANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNYIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLVSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKRNIMKLPRNGDVQFTHANISSAQRELGYKPTTDLQTGLKKFVRWYLSYYHAGDKKADA >Manes.07G092300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28847644:28855994:-1 gene:Manes.07G092300.v8.1 transcript:Manes.07G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEMGKIVTHQYISDKPKNLFSFLPRFDLKLPFFNQEKKAPPQSVVKEEQRMAVVGEGEAENAKQKPNFVTFPNTRTIMHPPLDVELEESSGRTHNPVVIWQVYAIGGFIILKWVWARWKERNQRAKKASSDDDQSSDESQSPADDN >Manes.08G140500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37693352:37694855:-1 gene:Manes.08G140500.v8.1 transcript:Manes.08G140500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALKFFPLFSCLLLFLPVAKTSSHHSGLVFSKCANQTHTASTESHSQILSSLFHELSLQSSGSKFFKATAGDDTIGVSGFFQCRGDLGGNECYDCVNSLPQVLNNTCKQAVAARVQLNGCYFHYETDGFELYGGDDMALKHELLHGTCSEKKAVDGGFVEVRDAAFVAMESEGMSINGFYEADYEYVQVMAQCEGDLWGCDCSECVGIAVEIAREDCGSSVSGKVYLDNCFLSYGYNSHGKPGNLYPEEDEHGNNTGKKVAIVLGAAAAALSGGFIFLKLMKSRCGKDDDV >Manes.12G109900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30588040:30589372:-1 gene:Manes.12G109900.v8.1 transcript:Manes.12G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLATFLLLSLVLSSSLLQFTTFAATPAAPPTPAAPSDCDLKCGARCGNAGYKDRCLKYCGICCKECKCVPSGTYGNKSECPCYRDKKNSKGTSKCP >Manes.09G140601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33963311:33963787:-1 gene:Manes.09G140601.v8.1 transcript:Manes.09G140601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYELHRKISLIYQENASVLVYFTKLKRLWDEFGSVETLPTCTCGASKAIAGITNRNRFMQFLMGLNETFESVRDQVLGMDPLPTINKAYSMVMKFESQRKILGAMNDNTKSLALLNKTQSQNLTRPRRSENKKGHCTFCNMNGHNREGCFKLIGYPD >Manes.11G051100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5915629:5916939:1 gene:Manes.11G051100.v8.1 transcript:Manes.11G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDIEIPVVDSFADNNGEEVQENLFSVKVGFAQSMLVSGGQVIVEVTNAEEAKIAEESGAACCVVHQERRMPDLSIVRKIKRAVSIPVMVRIRVGHFVEAWILEAAGVDYIDESELMGYAANETYISKQYFRVPFACTCKNLKQALARIKEGAAIIWIQGDQEGSLLNETVDNVKSIMQTTSNLKNCNQDEVSTFAKEMDASDELVREIREMGKLPVVQIAMGGIETPADAALMMHLGCDGVCIRSEIFEFEHAYSWNPSKRIRAMVKAVEHYDNPRVLAECSNTEEDDDTEDLV >Manes.01G254700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41205903:41207974:1 gene:Manes.01G254700.v8.1 transcript:Manes.01G254700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNANISSLMFSHASGPEALQTHVCQLNQSPWDVIPFAQETYPSSLHQFEGEDSFNGNGSLGDSIGAVESVASLMEDAEDKAAIKMRVDNMVIDDNYEMERIPEKKRVFNDYGEMKIGNEFKFKKGEKIHCCNKSDGKGWHCKNEPRDGHSMCDHHLLKSYASNDNVTCCLGLPTSPSSKKQEKAMTGGRRGRTKASKKGPSSSSNPYEFYYYSGFGPLWGKRRGEGNKSGVRESEIDGINIATMSSPQNTTPSSTPRIENREEFDYVDDYDDDEDSENGDSGKKRMRKPVKARSLKSLM >Manes.01G254700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41205903:41207974:1 gene:Manes.01G254700.v8.1 transcript:Manes.01G254700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNANISSLMFSHASGPEALQTHVCQLNQSPWDVIPFAQETYPSSLHQFEGEDSFNGNGSLGDSIGAVESSVASLMEDAEDKAAIKMRVDNMVIDDNYEMERIPEKKRVFNDYGEMKIGNEFKFKKGEKIHCCNKSDGKGWHCKNEPRDGHSMCDHHLLKSYASNDNVTCCLGLPTSPSSKKQEKAMTGGRRGRTKASKKGPSSSSNPYEFYYYSGFGPLWGKRRGEGNKSGVRESEIDGINIATMSSPQNTTPSSTPRIENREEFDYVDDYDDDEDSENGDSGKKRMRKPVKARSLKSLM >Manes.09G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29704116:29707872:-1 gene:Manes.09G098700.v8.1 transcript:Manes.09G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAFTQQQERETTQLQQQHQHYQLKLCVPLHKLRSSNNLNSFSLLGTWISKSLRNLYNKACFSNGIALERLEFTGMVVNNIVFCDSPQFFEEEKAAMEGFIEKSNEGVQEIRETFDEVQTFGGFIEGKGRDSSSSSDFLTSETTGLEEQSHISSEEESSSPPSMGWPIQKQLTDYKKTCGATEEGERLHLDDRKLEKQGSSISETEMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQLWRLEPLPAEKKAMWRREMEWLLCVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDSFDNAEFWYVDQGILAPDADGSSSFRRTFQRQEEKWWLPVPRVPPGGLHENSRKQLQHKRDCTNQILKAAMAINNIALADMEVPEPYLEALPKNGKASLGDLIYRYISSDQFSPECLFDCLDISSEHQAIELANRVEASIYVWRKRTSAKPANNATRSASKSSWELVKELVMDAEKRELLADRAESLLLCLKQWFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLTKHSDQFSSISKVSVIAQKSVSIPYSVPVSSTPYKTAYTTPSFSPGHLVSPAKGDRSSFTMTGRKIPQQRGLGVKKVLTDYLSIDAKGKDCRNAAEGTDYMSRKASASQSVVESMEFIKEAVSSIGSPVEDLAVEE >Manes.18G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7831353:7833867:1 gene:Manes.18G084500.v8.1 transcript:Manes.18G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHSAYCACSPRDFPFINTTKLSTRSKPFLLSSIANTTRASFNTLVSQAVRHLVPPARFEASKLKVVLLGEDVNEYSGVIPRTYILSHCDFTANLTLTISNVINLDQLRGWYSKDDVVAEWKKLEGQLGLHIHCYVSGPNLMLDLAAELRYHIFSKEMPLVLEAVLHGDSVLLRDHPELMDALVWVYFHSSSPKYNRLECWGPLKDAAKGRQGDHFQALLTASKEGSTPAKKWRGPKSIFQAIFAFLL >Manes.06G062600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19713201:19722474:-1 gene:Manes.06G062600.v8.1 transcript:Manes.06G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSASATTNIMLAIYEKKTTSLDLYRPLRNYISMVYSEREAQNLDDDLDTLKQYRSDLERQSDPSPTSRRDLLQNYFKALCLVETRFPISPDKDHINTVTFVWYDAFKQKQKASQQNIHLEKAAVLFNLGAVYSQIGLSFDRATVEGRRQAIHAFIAAAGSFAYLRDNAATKASMGSSTTVDVSVECAGMLERLILAQAQECVFENTIAKGSTPGVCAKIARQVGLYYEESLAALNVAPLKDHFDKPWIAHVQLKAALFYAEACYRYSLELHEKEEVAEEIARLRSGFSALAEAKKNSKGAAAQLLEAISKLEANINPNLERAVKENDRVYLMRVPSPSSLPALPAFSMVKPMSMDEVLDASKEKMFASLVPDSSAKALSRYTEMVDDVIRIQAEKLQQASELTRVRLKEMDLPDSILALEGNFTLPTDLKEDVEAVQISGGPAGLEAELQQLRDLRRVNQELLVEIEELLQKEATEDAQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQASDSDGRIERSVRDHSALMSILDHRPIESALPTLARPIMSLDANEDAIVGSLKQSLRQLEILGTQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDLFRKEIAKYDQICEDIGQNIEAQEQVLLQIQAQNEEFSAVFNLEDYKASREKCYKQIQAAIAKYREIKENINEGLKFYVTLQDAINNVKQQCSDFVMTRSIQCKEMIEDVQRQMAGLSFQDRKNTGSYYYAAVNQTHQAPRSSPQPPVNPQNVSHPRPQTPYYQPPEQPTMPGYAHPPPPYTASQQPPPYHIHPGPGTPYPHPQVQQPPPASQEYGQPAYPGWQGPYYNANAQQPGSLPRPPYTVPNAYPPSHQGSYYKQ >Manes.03G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5160043:5162217:-1 gene:Manes.03G053300.v8.1 transcript:Manes.03G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRENSTGTVPSVVPSFVLLSSWIAQNPFLRPLKPNLASPNRMIRELHLSFTSTAEKCSRFLHLFASENPILRRILSFSSDYSHHLTQIQCRDSRSRNSLSNHKFAAVLPGDSVAGIVVANGILNFLNIYNTLLIVRLVLTWFPNSPPAIVSPLSTLCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPGAEASQGRCPPHRTFTNLTTSQKKWLRRCYGNKTQSSNGAN >Manes.11G099850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22291007:22293580:1 gene:Manes.11G099850.v8.1 transcript:Manes.11G099850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLVRASGCFLLFTLFISFFSSSLFALTNDEASLIARRQLLSLLENEELPYGFEYKVDINVTFPNQRLRRAYIALQAWKKAIYSDPFNTTSNWVGANVCSYKGVFCAPALDNRNLSVVAGIDINGADIAGYLPPEMGLMTDVALFHINSNRICGIVPNSFSRLSLMYEFDISNNRFVGYFPKVVLAWPNLKYLDIRFNNFEGCLPKQVFLKGLDALFLNNNRFRCNIPETIGNSTVSTVVFANNNFTGCIPHSIGNMANLNEIIFMGNGLGGCFPPEIGKLKNATVFDVSFNQFAGNLPSSFADLKNVEELILANNKLIGVMPKNICKLPCLKNLNFSFNFFQGEDEACLQASKKDIVVDGDSNCMDNRQNQKSLDTCLSILSNPINCSKDKCGGGGGEKPPSPPLVLSPPPLPPVPMQSPPQPPTPVQSPPPSVQSSPPPVHSPPPPPPVHSPPPPIPSPPPPVLSPPPPVQSPPPPPPVQSPPPPVHSPPPPPPVHSPPPPIPSPPPPVLSPPPPVQSPPPPPPVRSPPPPIHSSPPPPPPPPMHSPPPPVPSPPPPMLSPPPPVQSPPPPPPVQSPPPPVHSPPPPPPVHSPPPPTPSPPPPVLSPPPPVQSPPPPPPVQSPPPPVHSPPPPPPVHSPPPPVPSPPPPMLSPPPPVQSPPPPPPPPPVHSPPPPAPSPPPPSPPPPVHSPPPPPPVHSPPPPVPSPPPPMLSPPPPVQSPPPPPPVQSPPPPIHSPPPPVQSPPPPVHSPPPPVHSPPPPLVPSPPPPVHSPPPPPPVYSPPPPTASPPPPLIISPPPADDVVLPPHIGFRYSSPPPPMFPGY >Manes.16G040225.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5359623:5361066:1 gene:Manes.16G040225.v8.1 transcript:Manes.16G040225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDKPVSWQILSESKSCPKLNKTTLKTQYQMQKIKNYTAKEKWTKAKFKLFSAYPSRIQVSLALFAIKLDSKCNPNIMTNSVPIQPNSIAKTPNKKQLKIINIGTKFT >Manes.10G057500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7685208:7689497:1 gene:Manes.10G057500.v8.1 transcript:Manes.10G057500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISHRAYVRKKLTLVIQLIHTLSPCKTFSARKTALTKPLHKALRILDIIAPQKGATTTGRHSHLRLIQDFLETNSHHISKDYLNSNFSASSSVERISNGLDEILESSFVDNEDPDVVCLRSDAAALSSGLSLCASSHNLRGGVQYHCLAASTGFISNAYVGSSLITLYGKCGELDNAYKVFHEMPTRTVVSWTAIIYGFAQEWQVDVCMELFSMMRYSTLEPNDFTFTSLLSACTGSGALEQGRSAHCQIIHMGYDSYLHIANALISMYSKCGSVPDAFYIFDNMCGKDIVSWNSMISGYAQHGLAMQAIQLFEKMKKLGIKPDSITFLGVLSSCRHAGYVEGGRNYFNLMVKYGLRPELDHYSCLVDLLGRAGLVEEARDVISRMPFSPNAIIWGSLLSSCRLHRSVWIGIQAAEGRLLLEPDCTATHVQLANLYASVNCWDQAARVRKLMKDRGLKTNPGYSWIEVKNKVCRFRAEDRSNTRISEILTVLDCLVDHMLTLGYVPEIHEEEVHDIQYKCN >Manes.09G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1870970:1874088:1 gene:Manes.09G008300.v8.1 transcript:Manes.09G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPTDDFSVLVLASDLGIDATPFLTNRERDIKEEDDQGPEDWHDCSQDLLSDEDFSDLDLLQFFRLEGSDKCGNRIFRIVGKYFPAQVVSGERLKKYIIHKICSELPEGPFCIVYMHSTVQKEENSPGATILRWIYEELPANYKDRLQVVYFIHPGLRSRLVIATVGRFFLSGGLYWKIKYVNRLQYLWDDIKKGEIEIPEFVKNHDDILEKRPLTDYGIEPDPFHLNEAPSTAYSFGRFEQRWASREYAT >Manes.06G086600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22244843:22246342:-1 gene:Manes.06G086600.v8.1 transcript:Manes.06G086600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLNRLLPANIAPDIDASEFYSKPPNSLSVSFPLSMVSPSCGEREWYFFIHEHEDFLGKSRERIRMVGDGTGFWRSSGLEKYIRNSDGRVLAFKSRFFYFSGNDANAKKTHWKMDQYRLHNQCPAPDHNSKDEQWVLGKLKRGMEINSSF >Manes.06G086600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22244953:22246342:-1 gene:Manes.06G086600.v8.1 transcript:Manes.06G086600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMDHKIDEILAQLPVGYKFLPTDEELVIHYLMNKLLNRLLPANIAPDIDASEFYSKPPNSLSVSFPLSMVSPSCGEREWYFFIHEHEDFLGKSRERIRMVGDGTGFWRSSGLEKYIRNSDGRVLAFKSRFFYFSGNDANAKKTHWKMDQYRLHNQCPAPDHNSKDEQWVLGKLKRGMEINSSF >Manes.03G101500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22533076:22542378:1 gene:Manes.03G101500.v8.1 transcript:Manes.03G101500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSPPTSACSSLSWRTSSISSRHLGFQFNRSVGFFISCPTRKFFRNPRFQVSCSSSSSSDPLLVKAARGEPVNRPPAWMMRQAGRYMAVYRKLAEKYPSFRQRSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIQTPIRSEEGLKALHSIELEKLHFVGESLRILRHEVDGQAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPHVLRALLSHLTQTLADYIVFQVESGAHCIQIFDSWGGQLPPSMWDCWSKPYIEEIVRIVKSKCPETPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRNRLGSGISVQGNVDPAYLFSPLPALTDEIQRVVRCAGSRGHILNLGHGVLVGTPEEAVAHFFDVARSMKFDTAGLDHVAEQELVV >Manes.03G101500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22533076:22543352:1 gene:Manes.03G101500.v8.1 transcript:Manes.03G101500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSPPTSACSSLSWRTSSISSRHLGFQFNRSVGFFISCPTRKFFRNPRFQVSCSSSSSSDPLLVKAARGEPVNRPPAWMMRQAGRYMAVYRKLAEKYPSFRQRSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIQTPIRSEEGLKALHSIELEKLHFVGESLRILRHEVDGQAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPHVLRALLSHLTQTLADYIVFQVESGAHCIQIFDSWGGQLPPSMWDCWSKPYIEEIVRIVKSKCPETPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRNRLGSGISVQGNVDPAYLFSPLPALTDEIQRVVRCAGSRGHILNLGHGVLVGTPEEAVAHFFDVARSMKFDTAGLDHVAEQELVV >Manes.07G005600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:761393:761599:-1 gene:Manes.07G005600.v8.1 transcript:Manes.07G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFTVAFSAVPLTLYIPPVRSLNLFVETMEDLFRESREYTNRVYPRARQFWSRFLDLLLCNLRLD >Manes.11G054000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6649263:6649943:1 gene:Manes.11G054000.v8.1 transcript:Manes.11G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRTMKKQSMEARIQQSRTREEATMKSSFSSQCQISKPFEEKEEAQVFSSEEERAVVTENKSAEKLERNQSMDINESAEAFIKNFRKQLLIQRLESIENYEKMLERGVN >Manes.15G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9558953:9560873:-1 gene:Manes.15G120400.v8.1 transcript:Manes.15G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSHLLSSLSLLECFVTLLPFVSCSSHEDVKGHKSTKAENTHKLSPTMKSDVAFHGVLLWVSMGFLAPLGLLIIRMSHREESGSRKKVLFYLHVILQVLSVLLVTSGAIMSIKSFENSFDNNHQRIGLALYVVVWVQAVIGFLRPLRGSKRRSIWYFVHWILGTVITLVGIINIYTGLEAYHKKMSADTRIWTILFTAQVSVMAFFYLFQDKWDYMQKQGVILGNIQPITSTNIITAQSDDTQKVLVPEPCAKNNALRNLFD >Manes.12G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4069658:4070249:-1 gene:Manes.12G045600.v8.1 transcript:Manes.12G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQRERDRERAQARAGNKSKNTKDDGLTREQRRERDAKALQEKAARKADQAAAGGNNAGGGGKNSKK >Manes.10G123200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28948453:28949601:-1 gene:Manes.10G123200.v8.1 transcript:Manes.10G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLSSAMFSTSFTRQQPATSLRALPNVGQALFGLKSSRGGRFKAMATYKVKAITPEGEVEIECPDDVYILDAFEEAGIDLPYSCRAGSCSSCAGKIVGGTLDQSDGSFLEDDQMEAGWVLTCVAYPQSDCVIETHKEEELTA >Manes.10G123200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28948453:28949601:-1 gene:Manes.10G123200.v8.1 transcript:Manes.10G123200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLSSAMFSTSFTRQQPATSLRALPNVGQALFGLKSSRGGRFKAMATYKVKAITPEGEVEIECPDDVYILDAFEEAGIDLPYSCRAGSCSSYDQMEAGWVLTCVAYPQSDCVIETHKEEELTA >Manes.10G054700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6813248:6842713:-1 gene:Manes.10G054700.v8.1 transcript:Manes.10G054700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKQRRSTSLRDHSTESEKMEGSGGWDDPLDWFKLEEPHPVSRSVSRHANYKCLLEAEQVMVEGRGVVLVNTDEAGTLLVTNFRLIFMSEGTEDIVTLGTIPLATIEKFNKMVVKNQSAPRQPDKTTSRRLLQVIGKDMRIVVFGFRPKTKQRRVVFDALLRCTKPSSLWDLYAFTCGPSKFRNANPKVRLLNEYFRLLGKGSMCASMDMIEHGSYTLSNELWRISTVNCNYSMCQSYPFALIVPKSISDEEVLQASTFRARCRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRNNTDEKLVAALCSQLGGARGRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEVVFFGIDNIHAMRESLSRLRDYLDTHGTTSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDTGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLANLLLDPYYRTFTGFQALVEKDWLAFGHPFADRVGMPTVSGNGNMPFELSRQSSGSFSSSTMRQSSGSFTSQTPSSSHAQNNYSPIFLQWVDCVSQLLRMYPFAFEFSSAFLVDLLDAVLSCRFGNFFCNSEKERQQVGVSEACACMWAYLTDLRSLEGRSHLHYNLFYDPHKHDGPLLPPAAALAPTLWPKFHLRWACPSEAQAGELEAQCRNMSMKFFELQKVTYLYYVFLVQSLYDDYFLTCD >Manes.10G054700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6811778:6842713:-1 gene:Manes.10G054700.v8.1 transcript:Manes.10G054700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKQRRSTSLRDHSTESEKMEGSGGWDDPLDWFKLEEPHPVSRSVSRHANYKCLLEAEQVMVEGRGVVLVNTDEAGTLLVTNFRLIFMSEGTEDIVTLGTIPLATIEKFNKMVVKNQSAPRQPDKTTSRRLLQVIGKDMRIVVFGFRPKTKQRRVVFDALLRCTKPSSLWDLYAFTCGPSKFRNANPKVRLLNEYFRLLGKGSMCASMDMIEHGSYTLSNELWRISTVNCNYSMCQSYPFALIVPKSISDEEVLQASTFRARCRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRNNTDEKLVAALCSQLGGARGRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEVVFFGIDNIHAMRESLSRLRDYLDTHGTTSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDTGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLANLLLDPYYRTFTGFQALVEKDWLAFGHPFADRVGMPTVSGNGNMPFELSRQSSGSFSSSTMRQSSGSFTSQTPSSSHAQNNYSPIFLQWVDCVSQLLRMYPFAFEFSSAFLVDLLDAVLSCRFGNFFCNSEKERQQVGVSEACACMWAYLTDLRSLEGRSHLHYNLFYDPHKHDGPLLPPAAALAPTLWPKFHLRWACPSEAQAGELEAQCRNMSMKFFELQKAKEVAERKAKEMAISMESLSAELSNEKQISSSAAALAKRASKENAAIKRAIQSFGCKVHFARSGDTTVDVESNTRETPQKLMYSSSRSKSDGNLRHDEKSDLSVSITVAAEDAPNNSISRLCETLCPLRTTDGGCRWPEAGCAQLGSQFVGLKANFDAFDRLSIYDGYFETE >Manes.10G054700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6811778:6827511:-1 gene:Manes.10G054700.v8.1 transcript:Manes.10G054700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASMDMIEHGSYTLSNELWRISTVNCNYSMCQSYPFALIVPKSISDEEVLQASTFRARCRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRNNTDEKLVAALCSQLGGARGRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEVVFFGIDNIHAMRESLSRLRDYLDTHGTTSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDTGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLANLLLDPYYRTFTGFQALVEKDWLAFGHPFADRVGMPTVSGNGNMPFELSRQSSGSFSSSTMRQSSGSFTSQTPSSSHAQNNYSPIFLQWVDCVSQLLRMYPFAFEFSSAFLVDLLDAVLSCRFGNFFCNSEKERQQVGVSEACACMWAYLTDLRSLEGRSHLHYNLFYDPHKHDGPLLPPAAALAPTLWPKFHLRWACPSEAQAGELEAQCRNMSMKFFELQKAKEVAERKAKEMAISMESLSAELSNEKQISSSAAALAKRASKENAAIKRAIQSFGCKVHFARSGDTTVDVESNTRETPQKLMYSSSRSKSDGNLRHDEKSDLSVSITVAAEDAPNNSISRLCETLCPLRTTDGGCRWPEAGCAQLGSQFVGLKANFDAFDRLSIYDGYFETE >Manes.10G054700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6811778:6842722:-1 gene:Manes.10G054700.v8.1 transcript:Manes.10G054700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITSVYLRLSKLWLRDAELFLLILMKLVLCWLQIFALFLCEGTEDIVTLGTIPLATIEKFNKMVVKNQSAPRQPDKTTSRRLLQVIGKDMRIVVFGFRPKTKQRRVVFDALLRCTKPSSLWDLYAFTCGPSKFRNANPKVRLLNEYFRLLGKGSMCASMDMIEHGSYTLSNELWRISTVNCNYSMCQSYPFALIVPKSISDEEVLQASTFRARCRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRNNTDEKLVAALCSQLGGARGRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEVVFFGIDNIHAMRESLSRLRDYLDTHGTTSSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDTGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTTQLVSLANLLLDPYYRTFTGFQALVEKDWLAFGHPFADRVGMPTVSGNGNMPFELSRQSSGSFSSSTMRQSSGSFTSQTPSSSHAQNNYSPIFLQWVDCVSQLLRMYPFAFEFSSAFLVDLLDAVLSCRFGNFFCNSEKERQQVGVSEACACMWAYLTDLRSLEGRSHLHYNLFYDPHKHDGPLLPPAAALAPTLWPKFHLRWACPSEAQAGELEAQCRNMSMKFFELQKAKEVAERKAKEMAISMESLSAELSNEKQISSSAAALAKRASKENAAIKRAIQSFGCKVHFARSGDTTVDVESNTRETPQKLMYSSSRSKSDGNLRHDEKSDLSVSITVAAEDAPNNSISRLCETLCPLRTTDGGCRWPEAGCAQLGSQFVGLKANFDAFDRLSIYDGYFETE >Manes.06G083200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21859610:21866744:1 gene:Manes.06G083200.v8.1 transcript:Manes.06G083200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTTDEMTSYNAETRSCKDEKERKSSSETFGHLSEVDVLDCDYSHKSCSMGTTPLDGDIGGDHPINNNLGSDVAECNLESNVSQIEEKKRDKKKKRPQTEQNGQIDCLGVCTAVKLGENVLISNNQNDSLSPNTSLVEDHDNKIDADPVSVEKGDVKIYQIKGKSRPNRRKRWNSFDSEENAESNVGDRQTSTNSNEVSSFELSENEIGRVQPGEYVTSVKVVENVLTEKTSSNPSNGDLTCAGGKEITEKEKDVDLDPPLKEGNLPQVSLSSLQGLHFRGANKKLLILDVNGLLADIVPYCYDEYKADIVISRKSVFKRPFCDNFMQFCFEKFNVGVWSSRTKKNVDMVIDFLMGDSRRKLLFSWHQSHCTDTGFTTVENSNKPLLLKELKKLWDKLEPDLPWNKGEYNESNTLLLDDSPYKALRNPDLEVTLGYILND >Manes.06G083200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21859617:21864373:1 gene:Manes.06G083200.v8.1 transcript:Manes.06G083200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTTDEMTSYNAETRSCKDEKERKSSSETFGHLSEVDVLDCDYSHKSCSMGTTPLDGDIGGDHPINNNLGSDVAECNLESNVSQIEEKKRDKKKKRPQTEQNGQIDCLGVCTAVKLGENVLISNNQNDSLSPNTSLVEDHDNKIDADPVSVEKGDVKIYQIKGKSRPNRRKRWNSFDSEENAESNVGDRQTSTNSNEVSSFELSENEIGRVQPGEYVTSVKVVENVLTEKTSSNPSNGDLTCAGGKEITEKEKDVDLDPPLKEGNLPQVSLSSLQGLHFRGANKKLLILDVNGLLADIVPYCYDEYKADIVISRKSVFKRPFCDNFMQFCFEKFNVGVWSSRTKKNVDMVIDFLMGDSRRKLLFSWHQSHCTDTGFTTVENSNKPLLLKELKKLWDKLEPDLPWNKGEYNESNTLLLDDSPYKALRNPAHTAVFPLSYRYKNSGDTSLGFHLKGHHVGGRLPEHI >Manes.06G083200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21859610:21866749:1 gene:Manes.06G083200.v8.1 transcript:Manes.06G083200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTTDEMTSYNAETRSCKDEKERKSSSETFGHLSEVDVLDCDYSHKSCSMGTTPLDGDIGGDHPINNNLGSDVAECNLESNVSQIEEKKRDKKKKRPQTEQNGQIDCLGVCTAVKLGENVLISNNQNDSLSPNTSLVEDHDNKIDADPVSVEKGDVKIYQIKGKSRPNRRKRWNSFDSEENAESNVGDRQTSTNSNEVSSFELSENEIGRVQPGEYVTSVKVVENVLTEKTSSNPSNGDLTCAGGKEITEKEKDVDLDPPLKEGNLPQVSLSSLQGLHFRGANKKLLILDVNGLLADIVPYCYDEYKADIVISRKSVFKRPFCDNFMQFCFEKFNVGVWSSRTKKNVDMVIDFLMGDSRRKLLFSWHQSHCTDTGFTTVENSNKPLLLKELKKLWDKLEPDLPWNKGEYNESNTLLLDDSPYKALRNPAHTAVFPLSYRYKNSGDTSLGPGGDLRVYLERLAEAQNVQEFVAQNLFGQRPITESNPSWGFYRRILIADSCQSQDVASSSVIPQ >Manes.06G083200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21859635:21865787:1 gene:Manes.06G083200.v8.1 transcript:Manes.06G083200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTTDEMTSYNAETRSCKDEKERKSSSETFGHLSEVDVLDCDYSHKSCSMGTTPLDGDIGGDHPINNNLGSDVAECNLESNVSQIEEKKRDKKKKRPQTEQNGQIDCLGVCTAVKLGENVLISNNQNDSLSPNTSLVEDHDNKIDADPVSVEKGDVKIYQIKGKSRPNRRKRWNSFDSEENAESNVGDRQTSTNSNEVSSFELSENEIGRVQPGEYVTSVKVVENVLTEKTSSNPSNGDLTCAGGKEITEKEKDVDLDPPLKEGNLPQVSLSSLQGLHFRGANKKLLILDVNGLLADIVPYCYDEYKADIVISRKSVFKRPFCDNFMQFCFEKFNVGVWSSRTKKNVDMVIDFLMGDSRRKLLFSWHQSHCTDTGFTTVENSNKPLLLKELKKLWDKLEPDLPWNKGEYNESNTLLLDDSPYKALRNPAHTAVFPLSYRYKNSGDTSLGPGGDLRVYLERLAEAQNVQEFVAQNLFGQRPITESNPSWGFYRRILIADSCQSQDVASSSVIPQ >Manes.06G083200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21859635:21865787:1 gene:Manes.06G083200.v8.1 transcript:Manes.06G083200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTTDEMTSYNAETRSCKDEKERKSSSETFGHLSEVDVLDCDYSHKSCSMGTTPLDGDIGGDHPINNNLGSDVAECNLESNVSQIEEKKRDKKKKRPQTEQNGQIDCLGVCTAVKLGENVLISNNQNDSLSPNTSLVEDHDNKIDADPVSVEKGDVKIYQIKGKSRPNRRKRWNSFDSEENAESNVGDRQTSTNSNEVSSFELSENEIGRVQPGEYVTSVKVVENVLTEKTSSNPSNGDLTCAGGKEITEKEKDVDLDPPLKEGNLPQVSLSSLQGLHFRGANKKLLILDVNGLLADIVPYCYDEYKADIVISRKSVFKRPFCDNFMQFCFEKFNVGVWSSRTKKNVDMVIDFLMGDSRRKLLFSWHQSHCTDTGFTTVENSNKPLLLKELKKLWDKLEPDLPWNKGEYNESNTLLLDDSPYKALRNPAHTAVFPLSYRYKNSGDTSLGPGGDLRVYLERLAEAQNVQEFVAQNLFGQRPITESNPSWGFYRRILIADSCQSQDVASSSVIPQ >Manes.06G083200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21859617:21863487:1 gene:Manes.06G083200.v8.1 transcript:Manes.06G083200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTTDEMTSYNAETRSCKDEKERKSSSETFGHLSEVDVLDCDYSHKSCSMGTTPLDGDIGGDHPINNNLGSDVAECNLESNVSQIEEKKRDKKKKRPQTEQNGQIDCLGVCTAVKLGENVLISNNQNDSLSPNTSLVEDHDNKIDADPVSVEKGDVKIYQIKGKSRPNRRKRWNSFDSEENAESNVGDRQTSTNSNEVSSFELSENEIGRVQPGEYVTSVKVVENVLTEKTSSNPSNGDLTCAGGKEITEKEKDVDLDPPLKEGNLPQVSLSSLQGLHFRGANKKLLILDVNGLLADIVPYCYDEYKADIVISRKSVFKRPFCDNFMQFCFEKFNVGVWSSRTKKNVDMVIDFLMGDSRRKLLFSWHQSHCTDTGFTTVENSNKPLLLKELKKLWDKLEPDLPWNKGEYNESNTLLLDDSPYKALRNPAHTAVFPLSYRYKNSGDTSLGERLSM >Manes.06G083200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21859635:21865787:1 gene:Manes.06G083200.v8.1 transcript:Manes.06G083200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLTTDEMTSYNAETRSCKDEKERKSSSETFGHLSEVDVLDCDYSHKSCSMGTTPLDGDIGGDHPINNNLGSDVAECNLESNVSQIEEKKRDKKKKRPQTEQNGQIDCLGVCTAVKLGENVLISNNQNDSLSPNTSLVEDHDNKIDADPVSVEKGDVKIYQIKGKSRPNRRKRWNSFDSEENAESNVGDRQTSTNSNEVSSFELSENEIGRVQPGEYVTSVKVVENVLTEKTSSNPSNGDLTCAGGKEITEKEKDVDLDPPLKEGNLPQVSLSSLQGLHFRGANKKLLILDVNGLLADIVPYCYDEYKADIVISRKSVFKRPFCDNFMQFCFEKFNVGVWSSRTKKNVDMVIDFLMGDSRRKLLFSWHQSHCTDTGFTTVENSNKPLLLKELKKLWDKLEPDLPWNKGEYNESNTLLLDDSPYKALRNPDLEVTLGYILND >Manes.08G149000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38479247:38482227:1 gene:Manes.08G149000.v8.1 transcript:Manes.08G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSEMRDYFAQTIRNNKNNTSFVIPSPAEDQRMLESKRCTEEGARAGAKAAAITCVVTAVPTLTAVRVIPWAKANLNYTAQALIISGASIASYFITADKTILECARRNARYEKKD >Manes.06G016300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2702749:2705449:1 gene:Manes.06G016300.v8.1 transcript:Manes.06G016300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMVRRSLSSQSYSELQSFYYSQTLDHFNYRPDSYQTFQQRYFINSQYWGGANTSSPIFAYLGAETDVTLNIPAIGFIDDLAARFKGLLLYMEHRYYGESIPFGSNQNSSTLGYFSSTQALADYAQLIIDVKKNLSAENCPVIAVGGSYGGMLASWFRLKYPHIVIGALASSAPILYFDDITPQDGYYVVVSQVFRNKSESCYSNITQSWSEIDRVAGESNGLATLEKIFTLCNPLNSALELKNILASMYMYSAQYDSPEENYVENVCGAIDGAPEGTDVLGRIAAGLNSSSSSCQSMSVGRSPALQDYVQEQGWSWQKCTEIVMPFGIDNNNTMFWIAPFNLSNYISNCQEAFGVTPRPHWITTEFGGRDIKSVLGNFGSNIIFSNGLRDPYSAGGVVEDISENIVAVNTERGSHCLDLYTPSVNDPDWLVAQRDKETKIIAQWITDYAKPKKN >Manes.06G016300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2702552:2705448:1 gene:Manes.06G016300.v8.1 transcript:Manes.06G016300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRYYGESIPFGSNQNSSTLGYFSSTQALADYAQLIIDVKKNLSAENCPVIAVGGSYGGMLASWFRLKYPHIVIGALASSAPILYFDDITPQDGYYVVVSQVFRNKSESCYSNITQSWSEIDRVAGESNGLATLEKIFTLCNPLNSALELKNILASMYMYSAQYDSPEENYVENVCGAIDGAPEGTDVLGRIAAGLNSSSSSCQSMSVGRSPALQDYVQEQGWSWQKCTEIVMPFGIDNNNTMFWIAPFNLSNYISNCQEAFGVTPRPHWITTEFGGRDIKSVLGNFGSNIIFSNGLRDPYSAGGVVEDISENIVAVNTERGSHCLDLYTPSVNDPDWLVAQRDKETKIIAQWITDYAKPKKN >Manes.06G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2702795:2705448:1 gene:Manes.06G016300.v8.1 transcript:Manes.06G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVLFPLLILLLILRTTYASAPSPGRMGLKMVRRSLSSQSYSELQSFYYSQTLDHFNYRPDSYQTFQQRYFINSQYWGGANTSSPIFAYLGAETDVTLNIPAIGFIDDLAARFKGLLLYMEHRYYGESIPFGSNQNSSTLGYFSSTQALADYAQLIIDVKKNLSAENCPVIAVGGSYGGMLASWFRLKYPHIVIGALASSAPILYFDDITPQDGYYVVVSQVFRNKSESCYSNITQSWSEIDRVAGESNGLATLEKIFTLCNPLNSALELKNILASMYMYSAQYDSPEENYVENVCGAIDGAPEGTDVLGRIAAGLNSSSSSCQSMSVGRSPALQDYVQEQGWSWQKCTEIVMPFGIDNNNTMFWIAPFNLSNYISNCQEAFGVTPRPHWITTEFGGRDIKSVLGNFGSNIIFSNGLRDPYSAGGVVEDISENIVAVNTERGSHCLDLYTPSVNDPDWLVAQRDKETKIIAQWITDYAKPKKN >Manes.18G040200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3562428:3563327:-1 gene:Manes.18G040200.v8.1 transcript:Manes.18G040200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKTVHHISECFIKPHRILPEAMRPWYLTPWELVMLSVNYIQKGLLFAKPTSHQDFNIVDLLQKLKHSLALTLVHFYPLSGRLATSKTEDPASYVVYVDCSNSPGARFVYAALDMTISDILSPAYVPQVVQSFFDHDRSLNHDGHVQSLVTIQVTELIDVVFIGCSMNHVLGDGSSFWHFLNTLSEIFQAQGGGVPITHPPVLERWFPDGCGPIISLPFTHHDHFLSPFEAPLLKERIFHFSSESIAKLKAKANAESNTNKISSFQSLSAFMWRCITRIRHLPHDQITSCRLACNNRD >Manes.01G049585.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:15604664:15605330:-1 gene:Manes.01G049585.v8.1 transcript:Manes.01G049585.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFRERFWPPKALFERTKVRPPNLKFGRRTCMSLGGTLGCRRLLTRPPIKSPQIGNGRVFSPFSSSVLKLK >Manes.10G033150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3348390:3351054:-1 gene:Manes.10G033150.v8.1 transcript:Manes.10G033150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTEGSDAPRFFKYIHENTIREEKLMVPQKFVSRHGNCLSSRVTLEVPSGANWEVELLNNDGEIWLGEGWKEFSQHYSLEHGYMIFFKYVEFCRFHVIICDGSGLEIEYPDTPSDDFEVLELDMEEERQEQEQEQEGKSGIQLLQQSCNSEQALKASSSIIYPAIEASNNCISQYPSFKKILQPDHLEHWNMNIPFSFVQKHLESKTQTVKLQIADRAWPVKLMFHPSSNMSCLCEGFCEFARENSLAVGDVCVFELIKRNVLKVSFLKPSSWMDRKMVL >Manes.09G111500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31365568:31370542:-1 gene:Manes.09G111500.v8.1 transcript:Manes.09G111500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSLDGVDTSAILSEATSTVAKLIGKPEAYVMIVLKGSVPIAFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLSVPKSRFFLKFYDTKASYLIL >Manes.09G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31364431:31370785:-1 gene:Manes.09G111500.v8.1 transcript:Manes.09G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSLDGVDTSAILSEATSTVAKLIGKPEAYVMIVLKGSVPIAFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLSVPKSRFFLKFYDTKGSNFGWNGSTF >Manes.13G066148.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8160840:8161643:1 gene:Manes.13G066148.v8.1 transcript:Manes.13G066148.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPTIPAFLDPAYNISDFASVIPLWKQLEFYKDYQRRLRGYLGESKASQTISEALHLISIGTNDFVENHYAFPGRSAEYSITGYQNFLAGIAENFLRKLYGLGAQKISFGGLPPMEFMPMEGTANIMSGYDCVESYNNVAMEFNGKLYNLVAKFNKELAEIKLIFSNPYYIFLHIIRNRSLYGR >Manes.03G119000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24497606:24500932:1 gene:Manes.03G119000.v8.1 transcript:Manes.03G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVNSLLFTSRFSSATDTLTSTQSLADGGTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSLVWSTNSKREVQTPLLQLLDSGNLVLTDASDGHSGIYLWQSFDYPTDTLLPGMKLGVNLKTGFDRRLTSWKNWDDPSPGDFVWKILVHNNPEPTMWKGSKLYFRSGPWNGITYSGTPQLKPNQVFSFNFVHSDDEVYYTYYPKNKSVISRFVMNQTNYLRELYIWDEASQSWSLFLYLPTDYCDRYGLCGAYGNCIITDSPVCQCLKGFNPKSPDQWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKSMSLEECRGKCLNNCNCTAYSVFDIRNGGSGCALWFNDLIDIRQIPSSGQDLYIRMSASELARLETEKDEPDEKIVAIVIPAIVLVFAFFVVCYYFCQRRLIKLKDENEIDGSDQSSEEDLDLPLFDLVTISHATNKFSLSNRLGRGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGSCIQGNERMLIYEYMPNKSLDFFIFDRTGGKLLDWSNRFNIVCGIARGLLYLHQDSRLRIIHRDLKASNVLLDADMNPKISDFGMAKTFREDQTEGNTKRVAGTYGYMAPEYATDGLFSVKSDVFSFGILILEIISGQKSRGFYHPNHSLNLIGYAWRLWKEGSPLELAALIILDSCHVSEVIRCIHISLLCVQQHAEDRPSMASVVLMLGSETALLPQPKEPGFFKDKGPTEAESSSSNHVSWSTNEISVSVLEAR >Manes.01G232300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39609702:39610476:-1 gene:Manes.01G232300.v8.1 transcript:Manes.01G232300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTKKRNLPQGDAHDDEDNEEIKIEKFFALVKSIREARDRFPNGSDASKQEMDCKNKKRKLEEENKRQVAVWKPCFQPEDFLEEFHARKSWATVAVTPQKSEGLPTQEEAKEELDLRLSL >Manes.01G171200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35312696:35318260:1 gene:Manes.01G171200.v8.1 transcript:Manes.01G171200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSKLTGSFARSSRSANVLRGAGGGRLAISRVAGGAGGVGNTDKFDGGLGFVRGYLASIGAHKDFGSKSNLSDLNYLLANPRIRRFFSSEAPKKKNYENFYPKEKKEVPKGNEHKSESKDDSNADDEWNFQKTFVKQFNLLTPLLVIGILLSSFSFGPTEQQQISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPQNQTSNDVVQGPVSGAPAGGRGGQYKYYFNIGSVESFEEKLEEAQEALGIDPHDYVPVTYVSEMVWYQELMRFAPTLLLLGTLMYMGRRMQSGLGVGGGNSKGGRGIFNIGKAHVTKVDKNAKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGREQIFNIYLQKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARNEGSQVTMEHFEAAIDRIIGGLEKKNKVISKQERRTVAYHESGHAVTGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLLTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDTFEMSKPYSSKTGALIDNEVREWVGKAYEKTVQLVKEHKEQVAEIAELLLEKEVLHQDDLVRVLGERPFKSSEVTNYDRFKEGFKEEEKGTEMPASGNEEEHTSPIQVAPA >Manes.06G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17512006:17513645:-1 gene:Manes.06G050700.v8.1 transcript:Manes.06G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTTPSSLLPRQPLFLFLLLISQLLSSSHGDVGTATQYSPPYLPTTCFGIDPSQFPSNNLFAAAGDGIWDNGASCGREYLVRCISATVAGSCRPGQTIQVKIVDYALSTTTPPSVSGTTIVLSETAFGNIANSSANSINIEFQQV >Manes.06G050700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17512006:17513645:-1 gene:Manes.06G050700.v8.1 transcript:Manes.06G050700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTTPSSLLPRQPLFLFLLLISQLLSSSHGDVGTATQYSPPYLPTTCFGIDPSQFPSNNLFAAAGDGIWDNGASCGREYLVRCISATVAGSCRPGQTIQVKIVDYALSTTTPPSVSGTTIVLSETAFGNIANSSANSINIEFQQ >Manes.09G134100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33423894:33429300:-1 gene:Manes.09G134100.v8.1 transcript:Manes.09G134100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGRRRRRAGEGRKTEMSGGESEEEYSLEFTPTWVVAAVCTVIVAVSLALERFLHYSGKYFKRKKQKPLYEALQKIKEELMLLGFISLLLTVSQGTISKFCVPERVINNMLPCDLSEKREEGQESNTTATTASISGTTRHLLAETVESQIDYCQKKIRQWQHWENSIGKDRYDTDEVLRKKVTSVYQHKFIQEHFAGIFKDLALLGWIHSFLKQFHASVTKSDYITLRLGFIMTHCRGNPKFNFHRYMVRALEDDFKTVVGTSWYLWIFVVIFLLLNVNGWHTYFWIAFIPFLLLFAVGTKLEHVITELAREVAEKHIAIEGDLVVKPSDEHFWFNRPDIILYLIHFVLFQNAFEIAVFFWIWVLYGFDSCIMGKVRYIVPRMVIGVFIQIFCSYSTLPLYAIVTQMGSSYKKVIFDEHIQAGIIDWAEKVKKKRGLKEAAAAAASSSSRNGSSQTNYHEGSSSGIQIGRAERNGSTTQEIQPSADREGQT >Manes.09G134100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33423894:33429300:-1 gene:Manes.09G134100.v8.1 transcript:Manes.09G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGRRRRRAGEGRKTEMSGGESEEEYSLEFTPTWVVAAVCTVIVAVSLALERFLHYSGKYFKRKKQKPLYEALQKIKEELMLLGFISLLLTVSQGTISKFCVPERVINNMLPCDLSEKREEGQESNTTATTASISGTTRHLLAETVESQIDYCQKKGEVPLLSLEALHHLHIFIFVLAIVHVTFSVFIVIFGGARIRQWQHWENSIGKDRYDTDEVLRKKVTSVYQHKFIQEHFAGIFKDLALLGWIHSFLKQFHASVTKSDYITLRLGFIMTHCRGNPKFNFHRYMVRALEDDFKTVVGTSWYLWIFVVIFLLLNVNGWHTYFWIAFIPFLLLFAVGTKLEHVITELAREVAEKHIAIEGDLVVKPSDEHFWFNRPDIILYLIHFVLFQNAFEIAVFFWIWVLYGFDSCIMGKVRYIVPRMVIGVFIQIFCSYSTLPLYAIVTQMGSSYKKVIFDEHIQAGIIDWAEKVKKKRGLKEAAAAAASSSSRNGSSQTNYHEGSSSGIQIGRAERNGSTTQEIQPSADREGQT >Manes.09G134100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33423894:33429300:-1 gene:Manes.09G134100.v8.1 transcript:Manes.09G134100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGRRRRRAGEGRKTEMSGGESEEEYSLEFTPTWVVAAVCTVIVAVSLALERFLHYSGKYFKRKKQKPLYEALQKIKEELMLLGFISLLLTVSQGTISKFCVPERVINNMLPCDLSEKREEGQESNTTATTASISGTTRHLLAETVESQIDYCQKKIRQWQHWENSIGKDRYDTDEVLRKKVTSVYQHKFIQEHFAGIFKDLALLGWITHCRGNPKFNFHRYMVRALEDDFKTVVGTSWYLWIFVVIFLLLNVNGWHTYFWIAFIPFLLLFAVGTKLEHVITELAREVAEKHIAIEGDLVVKPSDEHFWFNRPDIILYLIHFVLFQNAFEIAVFFWIWVLYGFDSCIMGKVRYIVPRMVIGVFIQIFCSYSTLPLYAIVTQMGSSYKKVIFDEHIQAGIIDWAEKVKKKRGLKEAAAAAASSSSRNGSSQTNYHEGSSSGIQIGRAERNGSTTQEIQPSADREGQT >Manes.09G134100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33423893:33429300:-1 gene:Manes.09G134100.v8.1 transcript:Manes.09G134100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGRRRRRAGEGRKTEMSGGESEEEYSLEFTPTWVVAAVCTVIVAVSLALERFLHYSGKYFKRKKQKPLYEALQKIKEELMLLGFISLLLTVSQGTISKFCVPERVINNMLPCDLSEKREEGQESNTTATTASISGTTRHLLAETVESQIDYCQKKGEVPLLSLEALHHLHIFIFVLAIVHVTFSVFIVIFGGARIRQWQHWENSIGKDRYDTDEVLRKKVTSVYQHKFIQEHFAGIFKDLALLGWITHCRGNPKFNFHRYMVRALEDDFKTVVGTSWYLWIFVVIFLLLNVNGWHTYFWIAFIPFLLLFAVGTKLEHVITELAREVAEKHIAIEGDLVVKPSDEHFWFNRPDIILYLIHFVLFQNAFEIAVFFWIWVLYGFDSCIMGKVRYIVPRMVIGVFIQIFCSYSTLPLYAIVTQMGSSYKKVIFDEHIQAGIIDWAEKVKKKRGLKEAAAAAASSSSRNGSSQTNYHEGSSSGIQIGRAERNGSTTQEIQPSADREGQT >Manes.02G168901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13311040:13316338:-1 gene:Manes.02G168901.v8.1 transcript:Manes.02G168901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNDQILQNSTSDSNQTSTDASTELTTSSKAKRKAVKPRSVVWDHFTRFINSEGELKGKCNYCKKEFCCDPKKNGTTALRNHLNSCKKHPHSIETRQAQLSLQKTASDNDVNDLGTLTTWKYDENAIRKALVHMIIIDELPFSFVEGEGFRSFMRAICPRFMIPSRWTISRDCYDMFIEERSKLRSFFKKNCQRVSLTTDTWTSLQRINYMCITAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTITVDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMAHIINLVVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKECVLHEKIESKSSLCLDVPSRWNSTYLMLNTAQKYERAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEISDLAFILNQWINSNDLDMKSMGERMRVKFDKYWGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGQEKGVELFNKVKSCLFDLFNEYKKMYQPNVEQINDNSSQQLSGSCTTTGSINPKPKFFLKHHYKKQKLEESGGFDSKTELEVYLSVAIQEEKEDFDVMKWWKINSERFPILGKMARDILAIPVSTVASESAFSTGGRVLDSFRSSLTPKIVEGLICVQDWIRPSNVQVNVEEDLEELEKLEEELPSVGITYGLGGSCSTPIS >Manes.07G076901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20968566:20974478:1 gene:Manes.07G076901.v8.1 transcript:Manes.07G076901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVNISDEPLTPAGRLFLQPELKTIIHCALGFKYHMDIDAIKSTIKSSLMINHPRFCSLLVHDKNGFEHWRRTEIDIDRHIILVDETSVANSGDDVEKIVNDYIADLSVSTPLGSDKPLWEIHIMMEKKCVIFRIHHALGDGISLMSMLLANCRKAEDPTAVPTLMTGGRRDWREEKDWRGVLMGVLKMVLFSLVFCLEFVLKSLWIRDRKTVISGGDGVELWPKKLATAKFLLEDMKTVKKAVANATINDVVFGVISAGISTYLDHRSPKSLKEGQQLTGISMVNLREKSGLQDLKKMMESNLTCRWGNKFGILLLPTYYYHKIEPLEHVKRSKAMIDRKKKSLEAHFSYQLVDLAMSWLGPKVASLLNYKIMCNTTFTISNVVGPKEEITIAGNPITFIRVSTSSLPQALVMHAVSYAGKVEMQIVVAKDIIPDPEFLAKCFQDSLLEMKNAALANL >Manes.05G126656.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14803307:14807422:1 gene:Manes.05G126656.v8.1 transcript:Manes.05G126656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRFLDESGSDPDHPYEKRMRTTPSFASVITKAITVNSLQSLCSALEPMLRRVVNEEVESSLRLRSSRPFTRSPSLRIQAPEPLPSSLQLMFSKNLSLPIFTGSKIADIDGASLQILLLDTRGDQAVPASLPPAVKVEIVVLDADFPSDDRNTWTSKEFDNNILKERTGKRPLLAGDCLMVTLRDGIATVGEIEFTDNSSWIRGRKFRLGARVVPGSSNGVRIREAMTEAFVVKDHRGELYKKHHPPMLNDEVWRLEKIGKGGAFHKKLAAEAIDSVQELLKLFTVNQPKLRRILGPGMSEKIWEATIKHAKTCELGNKLYMYHGQNFSVTLNPICQVVGAIINGHTYFARDLPRINRGFIQNLVNQAYANWSSLEEVVGVSSEIALLTQGEELVEEYPNHHHPQAYIEMGDQYQPDQLF >Manes.05G126656.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14803307:14807463:1 gene:Manes.05G126656.v8.1 transcript:Manes.05G126656.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVVNEEVESSLRLRSSRPFTRSPSLRIQAPEPLPSSLQLMFSKNLSLPIFTGSKIADIDGASLQILLLDTRGDQAVPASLPPAVKVEIVVLDADFPSDDRNTWTSKEFDNNILKERTGKRPLLAGDCLMVTLRDGIATVGEIEFTDNSSWIRGRKFRLGARVVPGSSNGVRIREAMTEAFVVKDHRGELYKKHHPPMLNDEVWRLEKIGKGGAFHKKLAAEAIDSVQELLKLFTVNQPKLRRILGPGMSEKIWEATIKHAKTCELGNKLYMYHGQNFSVTLNPICQVVGAIINGHTYFARDLPRINRGFIQNLVNQAYANWSSLEEVVGVSSEIALLTQGEELVEEYPNHHHPQAYIEMGDQYQPDQLF >Manes.06G061200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19555530:19557802:-1 gene:Manes.06G061200.v8.1 transcript:Manes.06G061200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKMWALRCLLFLECFLVMAVEANVQEKAEATIPVTTLSPPEGNTTFLGGTTWCVALAGVSQIDLQNALDWACGLGMADCSPIQQGGSCFEPDTLLSHASFAFNNYYQQNGNSDIACNFGGTATLTKSNPSYGKCNYAAPGLGNVFYRSLHSSAPPFSDCMPKIVWWKFLVILLLLYLRS >Manes.06G061200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19555504:19557901:-1 gene:Manes.06G061200.v8.1 transcript:Manes.06G061200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKMWALRCLLFLECFLVMAVEANVQEKAEATIPVTTLSPPEGNTTFLGGTTWCVALAGVSQIDLQNALDWACGLGMADCSPIQQGGSCFEPDTLLSHASFAFNNYYQQNGNSDIACNFGGTATLTKSNPSYGKCNYAAPGLG >Manes.06G061200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19555399:19558844:-1 gene:Manes.06G061200.v8.1 transcript:Manes.06G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKMWALRCLLFLECFLVMAVEANVQEKAEATIPVTTLSPPEGNTTFLGGTTWCVALAGVSQIDLQNALDWACGLGMADCSPIQQGGSCFEPDTLLSHASFAFNNYYQQNGNSDIACNFGGTATLTKSNPSYGKCNYAAPGLGSLHSSAPPFSDCMPKIVWWKFLVILLLLYLRS >Manes.15G039300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3075503:3078048:-1 gene:Manes.15G039300.v8.1 transcript:Manes.15G039300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEDNSCWDFLDYNFIEETTTSSDFLWPNNQSGGLDVDFSSGGAVSQDKPCTRKRGRSDSCSKSRTKACREKLRREKLNDRFQDLSSVLEPGRPARIDKPAILDDAVRVLNQLKTEAQELKETNEKLQEEIKSLKAEKNELREEKLTLKADKERMEQQLKVIAVPPSGFMPAHPAAYHAGVNKMAVFPGYGLMPMWQLPLASRDISRDHEYWPPAA >Manes.13G145800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35899716:35900150:1 gene:Manes.13G145800.v8.1 transcript:Manes.13G145800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMFTMICILHSTVAIFCGSSIMFYLKEISVLGHGIETAQKLLGSTPHDQLLIQISNSFAGLLLFVIGFLVFMVAFVKDKEFQSFFAKGCVLLHVSMALWRFYFERRLEDLACHWPKQVIGDLLLSLSWVFFLVYTWREKYD >Manes.13G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1703676:1706562:-1 gene:Manes.13G018000.v8.1 transcript:Manes.13G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIEIHGSITDMGNCVSAQKSKEPAMKLKGSVNSEDNCVRIESPIKEDKVIGDLSMKGLNSKLQPSSQKASFGDLSNNRDEMFFDSQPWLESDIEDFISVNGDLTPVGSTSPLHQNSFTKNPENYDESLYLSSTVRTIPEPSPTDMKKQLIEFFRESFNADVDNSENLQDMTEAKPVPFYLPSKCRNRSSYESVNGSTCCNGTTPDRCCIRTKGKPAHSAQGCLPNLLRSLSFREKKKKLSPA >Manes.09G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8734846:8735879:-1 gene:Manes.09G052600.v8.1 transcript:Manes.09G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIGFRFYPTEEELISFYLHNKLQDKREDDLIQAIDRVVAVLDIYDFDPWQLPRELCRRDPEQWFFFVPRQEREAKGGKPKRLTPCGYWKSTGSAGYVYSSNNSCIGAIRTMAFYKGRVPNGRKTQWKMNEYKATEAAAVSCSSSTGAHPRLRKEFSLCRVYKKSAKRLRTFGRRPSATERGESGARQVHSEEGRTIHESPPMLDRRSSPSFSQHNDGYLPQRAGDQSNTAVALSNEPLWDWDHLYHWYHDGKDMHPMK >Manes.10G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:952731:958155:-1 gene:Manes.10G008200.v8.1 transcript:Manes.10G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVLSFVVEGTLARVVSLITEEIILQWNLKEDLKRLQESLAMIHDVLQDAEEQQVTQKAVKRWLKKLRDVAYDAEDVIDEFAYEILRRKVEIQDQPGNEVRSFFSFSKGTQYVKKAAFHVKMSHKVKNINESLDKIKKEAAEFGLKVITVDRLPQISLDRVTDSYLDNSQVVGREDDVSKIVNLLTTSCNQPLTVVPIVGMAGLGKTTVAKLVCKAAKEKKLYDVTMWVCVSDNFDEQRILGEMLQTLDKNTGGMTNKDAILKHLEKELEMKRFLLILDDVWNEESEKWESLKSRLLTICGSKGNAIVVTTRSELVASIVETSLHCRHKIRELLNDECWFIIKERVFGSGGASIPSDLEAIGREIAERCRGVPLAARVLGGTMRIKRDKKDWLSIQESKVWEVSGYNDRILPILKLSFDHLPSSLKACFAYCSIFPKDFCIEKEQLVQLWMAEGFLGTSSESSLMEAIGNKYFNDLLVNSFFQDVERNVYERIRSCKMHDLVHDLALSVSNETMALEACSNLDDMSQIRRLNLICGGKPAPALPKGGSGKLRSFFAKDVLLYDESWKFKRLRTLNLVGSGIRELPFSIGKLKHLRYLDVSWTEIAAFPDSITKLYNLMTLRLIMPANVGCLTSLQTLPLFVLGPDRGGAIQELECLNELRGNLAIINLEHVKDKQEAEKANLQEKKGLVALRLTWSSSREDNYNDEGVLEGLQPHSNIESLEIESYGGEKFPSWLLMNISTHSNSLHLNNLVRLELKFCENCEQIPKLGLLPHLKILMIARLVNVKRISNEFYYSNGSEIANDACRLFPVLKEFSLAFMNGLVEWMVPDVVREGCTIAFPCLGSLTIRWCHQLTSLPISYLSSLTKLKINGCGGLSYLCDVLHAFTSLEELSLLDCHKLVSIPSIQGLTSLKNLEIVACYNIVSLPSGLQSCTSLEKLTITSCSKLMSIHKDLQELRSLVYLYISNCPSLASSPPDDCLSFLTQLKQLTIGSEELKAFPGLESIKESLEELVLICGKKELKSLPSQLQNLTALKALQIVNFTAVEAFPEWLGNLKSLEKLEIGGCENLMYLPTTMQDLCKLKRLKIYGCPLLQERCAKKGGSEWPKISHIPEIIISQFR >Manes.11G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24996922:25001649:1 gene:Manes.11G107700.v8.1 transcript:Manes.11G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISIQPTAELKPLIPKFPPNSPSSPSSLNLRLSYPKSATATCASMRGLISTAKLFGTTAIRSKIPVSSSPSMRSTRVYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGQVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGAKGERKALPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDSLNQLYSKHTGQSIDVIQKNMDRDYFMTPEEAKEFGIIDDVIDQRPMALVTDAVGDEGKEKGSK >Manes.05G064900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5375338:5381005:-1 gene:Manes.05G064900.v8.1 transcript:Manes.05G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAYSPPWPNPNPNATLLSTQEAVEGLESQSQSQNSYHSLSSIMPQDQLTDQLSPVNPDPNYHASLSSPPDSTLPVSLLHLTFNQDFGCFSAGTDHGFRIYNCDPFREIFRRDFDCGGGGGIGVVEMLFRCNILALVGGGSVPQYPPNKVMIWDDHQNRCIGELSFRSEVRSVKLRRDRIIVVLEQKIFVYNFADLKLLHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALTHDGQLLATASTKGTLVRIFNTADGTLLQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKIPGSPAADKLWNTPDSNSAVNSSTSPLSFFKGVLPKYFSSEWSVAQFRLVEGSQYVVAFGHQKNTILILGLDGSFYRCQFDPVNGGEMTQLEYHNFLKPEAAF >Manes.03G180000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30248006:30250817:1 gene:Manes.03G180000.v8.1 transcript:Manes.03G180000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTKVVAYLLILAINSCGLHAQILPNISLGSGITAGTNDSWRSLSGEFAFGFYRLQNNLYLMGIWFDKIPERTLVWSANRDTPAEAGSIIRLTFAGQLFLTYSNGSVQSVYSGAAASLGFMQNDGNFVLRNANSRVIWQSFDSPTDTLLPTQILTNGEKLYSNAKGTTDYSTGNFMLEMQFDGNLVLSAYHFSDPGYWYTGTLVSNDSLVFNSNASLYIVNSTNDIIYSLTANFSAPAGEYYHRAMIDDMGNFQQYVYHKSNSSGWISVWKAIHEPCFVNAVCGVNGMCSSPDNETVTCNCIPGYIPLDPNHVSKGCHPETVVNYCADPSMRNFTIVAINDTDFPFEGFADLDRVLNVDVEGCKVALMDDCYSIAASLVDSRCNKKRTPLLNARKSASTKGITALVKVPMKGRNPGIQEGEKKNHFNFRAFLQISLIVSATLAFVSGATAIYYHPAARKFIRRKHSSDTTIGINFREFKYSELHEATNGFSKTLGRGSSGKVYSGILRLKNVQIDIAVKKLDKEIDKSEEEFMTELKIIGRTYHRNLVRLLGFCVENNQRLLVYELMANGTLSNLLFEEEERPGWDLRAEMVLGISRGLLYLHEECETQIIHCDVKPQNVLLDANYNAKIADFGLSKLLNKDQTRTDTNVRGTMGYLAPEWLRNAPVTSKVDVYSFGVMLLEILCCRRHIELNRVEEESEEDDLVLSDWVVSCIIRGKLEIVVRHDPEVLRDLKRFERMAMVGIWCIHPEPVLRPSMKKVTQMLEGTLEVGIPPMLHDQICLKTHDR >Manes.18G141017.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25704512:25705214:-1 gene:Manes.18G141017.v8.1 transcript:Manes.18G141017.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELAGFIHVITGRPSDDKGKNKCVAEDVLSEFFQNELLVVTIHLNRYEVRRVLVDTGSSVNLLPLDVFNKLGLDKNNLVKVFYLLMELGDKTVVVLDTINLPLVLGDEKHKQELYAKFAVVDISLAYNVILGRPVLNSHGIVINMDAIRLKLLSPGGLAVVRGKQKST >Manes.06G044501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13926173:13926370:-1 gene:Manes.06G044501.v8.1 transcript:Manes.06G044501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLGLLNALIYARLNPLPSSFHLKTLHQQNLFFDSDAATLSSQRAVTMTRVVFSPSRPSQF >Manes.06G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25237562:25239773:1 gene:Manes.06G121400.v8.1 transcript:Manes.06G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPAAEEKNMEEKKTEEAKKPEGDKAEKKEEEKPADKPPAEEKKEEKKAEDSKESKEESPPPPQEIVLKVYMHCEGCARKVRRCLKGFEGVEDVITDCKASKVVVKGEKADPLKVLERVQKKSHRQVVLISPIPKPPSEEEKKAAEEKEKPKPEEKKEEPPVIIVVLKVYMHCEACAMEIKKRIQRMKGVETAEPDLKSSQVTVKGVFDPPKLVEYVYKRTGKHAVIVKQEAEKKPEEEKGKESKAEKKEEGSDKEKKGGEQEENKENKENEGEAKTEAPPTEETKVVELKKNEYFHYPPRYAMELYAYPPQIFSDENPNACSVM >Manes.14G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5997978:6003217:1 gene:Manes.14G072100.v8.1 transcript:Manes.14G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSETEDPSPTIYIPDEWSEAADAIAYNSPTSTAPIVLICGAKNCGKTTFSRYLLNILLRRYRKVGYLDTDVGQPEFTTPGFVSFTVVDKIKPDLTTPCLKTPERCFFFGDISSKRYPAAYLKYISTLYYYYQKEYCMINNSESPTKTELPIVVNTPGWVKGVGYDILVDIVRCIAPTHVVKINIYSERKNLPAGAFWLGNDFHGDVNLIEINSACQDSFNRSMPVQKDAHLLRDLRIMAYFRQCFLSSLNITTIKELANALASHVPYQVPISSIKIRHLHCQVPCTEVFYSLNASIVGLAVSSEQLEDLPLCVGLGIVRGIDTSKGLLYMVTPVPPNILEKVDLLLQGFIQIPTCLLQVQGCMSPYMSTNVLPTS >Manes.14G072100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5997978:6003217:1 gene:Manes.14G072100.v8.1 transcript:Manes.14G072100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSETEDPSPTIYIPDEWSEAADAIAYNSPTSTAPIVLICGAKNCGKTTFSRYLLNILLRRYRKVGYLDTDVGQPEFTTPGFVSFTVVDKIKPDLTTPCLKTPERCFFFGDISSKRYPAAYLKYISTLYYYYQKEYCMINNSESPTKTELPIVVNTPGWVKGVGYDILVDIVRCIAPTHVVKINIYSERKNLPAGAFWLGNDFHGDVNLIEINSACQDSFNRSMPVQKDAHLLRDLRIMAYFRQCFLSSLNITTIKELANALASHVPYQVPISSIKIRHLHCQVPCTEVFYSLNASIVGLAVSSEQLEDLPLCVGLGPRMHVTLYVYKCFAHKLDDTTYAASFFICILLHR >Manes.01G215300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38474937:38478129:-1 gene:Manes.01G215300.v8.1 transcript:Manes.01G215300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTAHFLEMTEDFAEKNLEARGEAYLKEMVLPNISSSISVLHRCEALLPVSEEINLVGRLINAIASNACKEQLTSGLLKLDHNFPAKQANMEPETPADWWGKSLTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVVRDPHFVKGSLLDMELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIASSTTTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSIFRIFSMFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLMPSKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKTHPANKLFKSFTKKLSKLNSLFRTNGLKPIGGKANSETRFLFQKRRRNSVS >Manes.01G215300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38474944:38480051:-1 gene:Manes.01G215300.v8.1 transcript:Manes.01G215300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVAELKPSISGKRSFRPSSSIRHATEWPISDVSSDLTIEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSHINIPAVPGGAEAFELAAKFCYGVNVEITQSNVAMLLCTAHFLEMTEDFAEKNLEARGEAYLKEMVLPNISSSISVLHRCEALLPVSEEINLVGRLINAIASNACKEQLTSGLLKLDHNFPAKQANMEPETPADWWGKSLTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVVRDPHFVKGSLLDMELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIASSTTTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSIFRIFSMFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKTHPANKLFKSFTKKLSKLNSLFRTNGLKPIGGKANSETRFLFQKRRRNSVS >Manes.01G215300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38474936:38478129:-1 gene:Manes.01G215300.v8.1 transcript:Manes.01G215300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKMGVQVYSRTSYVVSKLLAMTNMPISDVSSDLTIEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSHINIPAVPGGAEAFELAAKFCYGVNVEITQSNVAMLLCTAHFLEMTEDFAEKNLEARGEAYLKEMVLPNISSSISVLHRCEALLPVSEEINLVGRLINAIASNACKEQLTSGLLKLDHNFPAKQANMEPETPADWWGKSLTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVVRDPHFVKGSLLDMELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIASSTTTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSIFRIFSMFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKTHPANKLFKSFTKKLSKLNSLFRTNGLKPIGGKANSETRFLFQKRRRNSVS >Manes.01G215300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38474944:38478129:-1 gene:Manes.01G215300.v8.1 transcript:Manes.01G215300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKMGVQVYSRTSYVVSKLLAMTNMPISDVSSDLTIEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSHINIPAVPGGAEAFELAAKFCYGVNVEITQSNVAMLLCTAHFLEMTEDFAEKNLEARGEAYLKEMVLPNISSSISVLHRCEALLPVSEEINLVGRLINAIASNACKEQLTSGLLKLDHNFPAKQANMEPETPADWWGKSLTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVVRDPHFVKGSLLDMELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIASSTTTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSIFRIFSMFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLMPSKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKTHPANKLFKSFTKKLSKLNSLFRTNGLKPIGGKANSETRFLFQKRRRNSVS >Manes.01G215300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38474944:38478129:-1 gene:Manes.01G215300.v8.1 transcript:Manes.01G215300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTAHFLEMTEDFAEKNLEARGEAYLKEMVLPNISSSISVLHRCEALLPVSEEINLVGRLINAIASNACKEQLTSGLLKLDHNFPAKQANMEPETPADWWGKSLTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVVRDPHFVKGSLLDMELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIASSTTTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSIFRIFSMFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLMPSKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKTHPANKLFKSFTKKLSKLNSLFRTNGLKPIGGKANSETRFLFQKRRRNSVS >Manes.01G215300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38474944:38479764:-1 gene:Manes.01G215300.v8.1 transcript:Manes.01G215300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVAELKPSISGKRSFRPSSSIRHATEWPISDVSSDLTIEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSHINIPAVPGGAEAFELAAKFCYGVNVEITQSNVAMLLCTAHFLEMTEDFAEKNLEARGEAYLKEMVLPNISSSISVLHRCEALLPVSEEINLVGRLINAIASNACKEQLTSGLLKLDHNFPAKQANMEPETPADWWGKSLTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVVRDPHFVKGSLLDMELQKKQRVIVEAIVSLLPTQSRKSPVPMAFLSSLLKTAIASSTTTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSIFRIFSMFLNLDEDDEEDNHLRDESEMVYDFDSPGSPKQSSILKVSKLLDNYLAEVALDSNLMPSKFIALAELLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGAINGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKTHPANKLFKSFTKKLSKLNSLFRTNGLKPIGGKANSETRFLFQKRRRNSVS >Manes.01G202100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37489947:37494518:1 gene:Manes.01G202100.v8.1 transcript:Manes.01G202100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALKSTTSFLNLKKPETHLPIRFPSYKSSIVSTRRLTPMATLTTAPTLGLRDTFSNLKKQGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLARGTNFNAITSMLKEVVPQLSCPIALFTYYNPILKRGIEKFMSTVQDIGVHGLVVPDVPLEETEVLRTEAVKHNIELVLLTTPTTPSERMKAIVEASEGFVYLVSSVGVTGTRASVSNRVQTLLQDIKEVTTKPVAVGFGISKPEHVKQIAEWGADGVIVGSAMVKLLGEAKSPQEGLKELENLTKSLKSALP >Manes.06G149050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27751331:27756543:-1 gene:Manes.06G149050.v8.1 transcript:Manes.06G149050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTILAILLNCGSFCICAPPQRLLVKMTMVTNAPALGAFCLDGSLPAYHLHRGFGAGASNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNDTKLNPDFYNWNRVKLRYCDGASFGGDAMFKDGSSVLYFRGQKIWEAIIQDLLPKGLGQARQALLSGCSAGGLSSFLHCDDLAKVLPNAGVKCLSDAGFFLDEKDVSLKYAMRSFYAKLVALQGVEKNLNKKCTNSFSKNPELCIFPQHALKFITPPFFILNAAYDVFQINHILVPPSADMRGLWKRCKNNTAQCNEKQIDTLQGFRQNMLAALGSFSKNFNQWGMYINSCFAHCQSESQDTWLAVDSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDNTCHNLITTAPVP >Manes.01G191401.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36675696:36678124:-1 gene:Manes.01G191401.v8.1 transcript:Manes.01G191401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAYSKSSTPQKSFHFFNKIFHCGNRISPDNYTFNFLVRASAQLLAWRIGPAVHGSLIKYGFEFDPHVQSGLIFVYSELGFLGSCHQVFESIPNPDLVCQTAMVSACAKCGDVGFARKLFDSMPRRDPIAWNAVISGYAQCGQSRDALRLFHFMQLEGVKVSEVTMVSVLSACSQLGALEHGRWAHAYVQKNKIPVTVTLGTALIDMYAKCGDMNEAMQVFWGMKEKNVYTWSSAMNGLARNGAGDKCLELFSLMQNEGVLPSEITFLSILRACCVLGLVEDGRKYLVSMRKDYGIEPLVEHYGCIVDLYGRAGRLDEALNIINEMPLKPHTGAWGALLNACKMYKNMELGELASRKIIELEGKNHGAYVLLSNIYADSKKWEMVDNVRQAMKVNGVRKQPGCSVIEIDGEAHEFFVGDNSHPKYGDIEVILEEISMRLKSYATNSNSLLSDIGEEEKEPL >Manes.11G161900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32715524:32719295:1 gene:Manes.11G161900.v8.1 transcript:Manes.11G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVPSQCLVKIASKNQFASTIMKSPSSLGSVRNISKSFGLKCSQNFKASMAVYKIKLVGPEGEEHEFEASDDTYILDAAENAGVELPYSCRAGACSTCAGKMVSGSVDQSDGSFLDENQMEGGYLLTCVSYPTSDCVIHTHKEGELC >Manes.09G093000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28307115:28310688:-1 gene:Manes.09G093000.v8.1 transcript:Manes.09G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSNRVKAYTPSNTGLNSKTSSRTGKNLSGLSSKVSSTSVPQTPRSEGEILQAENLKNFSFNELKTATRNFRPDSVLGEGGFGSVFKGWIDEHSLTPTRPGSGIVIAVKRLNQEGFQGHREWLAEINYLGQLQHPNLVKLVGYCFEDDHRLLVYEFMPRGSMENHLFRRGSHFQPLSWNIRMKVALGAARGLAFLHRAETKVIYRDFKTSNILLDSNYNAKLSDFGLARDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPLGQHNLVEWAKPYLASKRRIFRVLDARLNGQYSLSQAQKVANLALQCLDEPKFRPDMDEVVKTLVQLQESNKKENNPITHGEKKNGGCVAYPRPSASLFMHKQA >Manes.05G127901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22580430:22580810:1 gene:Manes.05G127901.v8.1 transcript:Manes.05G127901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLRNLSRKSQHELEKSYNPINLDYIFEEDDPLNPWLEERENPMLDGKENPWLEEDESAPSQSQQVNAPTHGHNIGGSGDAELEDSFILSSSSDDDDGGSGKGGRGEGRGATSSLQSHDDPSSY >Manes.01G245400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40585423:40591246:1 gene:Manes.01G245400.v8.1 transcript:Manes.01G245400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPEFLSQCFLHTLSPAPEPRRAAEAKLSEAADQPNYALAVLRLVAEPSVDEQIRHAAAVNFKNHLRSRWAPSPESSLSPILDAEKAQIKTLIVSLMLSSTPRIQSQLSESLSLIGKHDFPKSWPTLLPELISNLHAASGNNDYVSINGVLGTANSIFKKFRYQYKTNDLLLELKYCLDNFAAPLLEIFLRTAGLIDSTVSSGGGSPVTLKPLFESQRLCCRIFYSLNFQELPEFFEDNMDKWMNEFKKYLTTSYPALESTADGLAVVDDLRAAVCENISLYMEKNEEEFKGYVEGFALAVWTLLGNVSQSSTRDRLAVTAIKFLTTVSTSVQHILFANDGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYRARVTELVAVQIQNLLNSYAANPAANWKDKDCAIYLVVSLATKKSGGTSVSTDLVDVENFFAQVILPELRNQDVNGFPMLKAGALKFFTVFRSLIPKPLAVQLFQDLVRFLGAESNVVHSYAASCIEKLLLVKDEGGRPRYNSADIAPFLQVLMSNLFNALKFPESEENQYLMKCIMRVLGVAEISSEIAAPCIAGLTSILNEVCKNPKNPIFNHYLFESVAVLVRRACERDISLIPAFETSLFPSLQIILANDVTEFLPYAFQLLAQLVELSRPPISPNYMQIFSLLLSPDSWKRNSNVPALVRLLQAFLQKAPHELNQEGRLSQVLGIFNRLVASPSTDEQGFYVLNTVIENLDYGVIAPYMVHIWNALFTRLQNKRTVKFVKSLLIFMSLFLVKHGSVNLVDTMNAVQPNIFLVILEQFWIPNVKLITGPIEVKLAAVASSKLICESPSLLDAAASRHWGKMLDSIVTLLSRPEEDRVEDEPEMPDIAENVGYTATFVNLYNAGKKEEDPLKDIKDPKQFLVASLANLSARSPGRYPQVINENLEPANQTALLQLCTTYNCPIV >Manes.04G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34354492:34356935:1 gene:Manes.04G146000.v8.1 transcript:Manes.04G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDVTMVPAGEASSSVAGPSSSTKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Manes.06G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25928460:25932172:1 gene:Manes.06G129500.v8.1 transcript:Manes.06G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHEEQEHEAYGSDFPDEGEMDADIDMSSGALEDEDHGPNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSPSGSATQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEIDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRFPNPHGFRSRRPFMPGPPFYPPYSYGRSPRFRRPMRYRPY >Manes.S028416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:539632:550875:1 gene:Manes.S028416.v8.1 transcript:Manes.S028416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSVYQLT >Manes.02G124500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9473166:9474855:1 gene:Manes.02G124500.v8.1 transcript:Manes.02G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPSFYGNSTRGVSDPLSSFSLWDPFKDFPFPLSSSENSAFVNTRIDWKETPEAHIFKADLPGLKKEQVKVEIEDDRVLQISGERSVEKEDKNDTWHRVERSSGKFLRRFRLPDNVKMEQVKASMDNGVLTVTVPKEEVKKADAKAIEISG >Manes.18G141041.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:26183229:26184782:1 gene:Manes.18G141041.v8.1 transcript:Manes.18G141041.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSLMTAVESDIATKKLKHREHSPQFVRSDVSFGDKVVDSSGMDHDVDDSFLDEIDFDDSEISVDPSGSFPVISFSDDLDNLLAKRWSRALVIHLLRRKIGFKALHTRLQVL >Manes.13G000400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:477746:478306:1 gene:Manes.13G000400.v8.1 transcript:Manes.13G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLGNPQAVSQSHFRTHKVFLLSNYVLLGAASSCIFLTLSLRLVPSLCGFFLILLHIFTIAGAISGCAATSSGTNRWYAAHMVATVLTAIFQGSVSVLIFTRTGDFLWQLKSYVRQEDGEMILKLAGGLCVLIFCLEWVMLTLAFFLKYYAYVEGDIGSTGAMKTSSKMQQGEGLKDCPWPHQV >Manes.06G134000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26232215:26235303:-1 gene:Manes.06G134000.v8.1 transcript:Manes.06G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHQVRLNCGITMPVLGLGTYSFQNDRDTTELASHMALKMGYRLFDTAKIYGSEPALGKALTEAIFNQTVNREDIFVTSKLWGSDHHDPVSALRQTLKNLGMEYLDMYLVHWPVKLKPWVCYPVPHEEDFEKLDLESTWAGMERCLDLGLCRCIGVSNFSTTKIVRLLDFASVPPAVNQVEMHPMWRQAKLRGVCADYKIHVSAYSPLGAPGNLWGTTAVVENPVIKSIALKHQATPAQVALKWGLSKGASMIVKSFNQNRLKENMEALDLKLDDQDFVDIDKLEEKKIMRGDVYVNETTSPYKTIEDLWDDEI >Manes.17G109200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31567700:31568260:-1 gene:Manes.17G109200.v8.1 transcript:Manes.17G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQFLVLALIYVAISGAFSDGNAPSDSPSSSPSENSSSSPAPSKAPAGPANNSSASASAPSGDAKAPSSSESPKSSAKSPAPAKAPKSSAPSPRASSPGPSPAASSPEEGVAPESAASSPPAPSSQGSPSPDSDDQAADDSEEPAASDGPSSDSPADAPDGSHAAILKVSAVAVAAAAGFFLLSF >Manes.02G217900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30573895:30585576:-1 gene:Manes.02G217900.v8.1 transcript:Manes.02G217900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNSGVFSLLGMPISRVSTLGAVLLMWLCWSPLLIEAQDGITDPLEVSALRDIKSSLIDINKNLSNWNRGDPCTSNWTGVLCFNTTMDDGYLHVRELQLLNMNLSGTLSPSLGRLSYMKILDFMWNNISGGIPKEIGDIKSLELLLLNGNQLTGPLPEELGNLPNLDRIQIDQNHISGFLPVSFANLNKTKHFHMNNNSISGQIPPELSRLPNLVHFLLDNNNLSGYLPPELSELPKLLILQLDNNNFDGASIPDSYGNMTKLLKLSLRNCSLQGPIPDLSRITNLGYLDLSSNQLNGSIPTERLSENITTIDLSNNDLTGSIPANFSGLPHLQRLSIANNSLGGSISSTLWQGRTLNASERLTFDFENNRLTNISGDGTISLPQNVSLWLQGNPVCSNSNLVQFCGPQNEDLDKQNSANTTDNCPLQACPFVYSPVSPVRCFCAAPLIVEYRLKSPGFSNFLEYREMFEVYLTSGLELKLYQLYLVNFQWEEGPRLKMNLQLFPVYDASNKSSHLFDRSEVLRIRSKFTGWRIPDSDIFGPYELLSFTLLDPYKDVIPSSSSPSGIGKGALAGIVLGAIAGTVALSAIVSLLIMRRYMRKYRAVSKRRHASKASLKIDGVKDFRYAEMALATNNFNSSTQVGQGGYGKVYKGILADGIVVAIKRAQEHSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDNLSAKSKEPLSFALRLRIAMGSAKGILYLHKEADPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNVSYQSGMIFSVIDGRMGSYPSDCVEKFLNLAIKCCQDDTDSRPSMADVVRELETIWLMMPESDKKTTDKVTSDPEKLASSSPSSSSMVKHPYVSSDVSGSDLVSGVIPSITPR >Manes.11G106600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24722612:24729924:-1 gene:Manes.11G106600.v8.1 transcript:Manes.11G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDQAIIQRYALAEAHNAYTHSLRMIGGSLHHFIEQDCSGSGFVPLSPELNLPPQRKGDPKSLSDEGTSSPKKANLAHHSHSNSGSHLHFHSDSDDDDDDISHLHHSDHSSPLHGVGGGGHMEYMPSEYMGTEQDSYPGGGFLHMNYMKNKATTPSVVYEQRPMTSETVHFGESSSSSSYFYPNNSYPMNYFGYPNYGAGGGAMTSYYGSSIPYGSSSTPAPAASSSKTPPPPPPPASDWDFLNVFESHDNYYPPYTPSRNSKDLREEEGIPDLEDEDYQQEVVKEVHGHEKYVDDGGGGAKANNYSKSVVMDDGDAKVGGNSEASLYQTRASVSLDNEGLEYEVHVVEKKVVDDERSEEQKNAGFRRGGGFRDVSQVAFEIKILFERASDSGKEIAQMLEVGRLPYQRKHAVSKMLHVVTPSLSVVSSQPSTSKSAEASSSTDKADPAYLEIDEDLKMRSNNLSATLQKLHLWEKKLYNEVKAEEKMRVAHERKCRKLKHLDERGAEVHKVDATRTLIRNLSTKIKIAIQVVDKISVTINNIRDEELWPQLNKLIQGLSRMWKSMLECHQNQCQAIKEAKGFGSIGSGKKLGDDHLKATLQLEHDLLNWTSSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQAMDTISEKEVIDAMRIFASSVLKLWEQDKLEMRQRMMMNKDLERKVRNLDREDQKIHKELQALDKKIVLFAGDNYSMSVTGNIVYQSDTSNSSLWGSLQRIFEAMEKFMADSTKAYEELIQRSEEERLSREHERVS >Manes.11G106600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24722565:24729924:-1 gene:Manes.11G106600.v8.1 transcript:Manes.11G106600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDQAIIQRYALAEAHNAYTHSLRMIGGSLHHFIEQDCSGSGFVPLSPELNLPPQRKGDPKSLSDEGTSSPKKANLAHHSHSNSGSHLHFHSDSDDDDDDISHLHHSDHSSPLHGVGGGGHMEYMPSEYMGTEQDSYPGGGFLHMNYMKNKATTPSVVYEQRPMTSETVHFGESSSSSSYFYPNNSYPMNYFGYPNYGAGGGAMTSYYGSSIPYGSSSTPAPAASSSKTPPPPPPPASDWDFLNVFESHDNYYPPYTPSRNSKDLREEEGIPDLEDEDYQQEVVKEVHGHEKYVDDGGGGAKANNYSKSVVMDDGDAKVGGNSEASLYQTRASVSLDNEGLEYEVHVVEKKVVDDERSEEQKNAGFRRGGGFRDVSQVAFEIKILFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVTPSLSVVSSQPSTSKSAEASSSTDKADPAYLEIDEDLKMRSNNLSATLQKLHLWEKKLYNEVKAEEKMRVAHERKCRKLKHLDERGAEVHKVDATRTLIRNLSTKIKIAIQVVDKISVTINNIRDEELWPQLNKLIQGLSRMWKSMLECHQNQCQAIKEAKGFGSIGSGKKLGDDHLKATLQLEHDLLNWTSSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQAMDTISEKEVIDAMRIFASSVLKLWEQDKLEMRQRMMMNKDLERKVRNLDREDQKIHKELQALDKKIVLFAGDNYSMSVTGNIVYQSDTSNSSLWGSLQRIFEAMEKFMADSTKAYEELIQRSEEERLSREHERVS >Manes.03G195500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31370188:31376828:-1 gene:Manes.03G195500.v8.1 transcript:Manes.03G195500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPHVSLSKVQGSPSLSTSLLLPAASLPIRAPITPDDDSLDYESADYIDHAYSDTDTSSSIDHGSEEYVSCEEFESASEKFFAGDPDDEKPEESRILDKYQLSKPFVPNPDEESSGNSTVNEQESGVTNEHSHAVGSYSPDLQHNMPVAQLSMDEDDFEELISNEGDGRFSAIFIAPRVKVPAAEEDKDELLLRRDPYVVNKIELLPVEDNDDLLSGNSVRAADHSVPRCEDGKFAGKASPNGFVSVALTEDDSDVVTLEAQVEMENKENSEMKEKVKHVVDDLVSIELRKDGGQVVVPKASIEFSMESKDDAFLSQTGGDARQFVEERLQLDNLEQEKIERIGIDGHNRKSLENDFMDHVYEPCGASQLKEQIAEVINGCGDSCESEMNQVHNILPHIGELKGDGTILLKQQMSEIVNSFNHTFIDVINPDDESIQKIVPEPQEMKVEVVGVEEENAIDFMKGDKGRVFNGSLALEKGSEDASLVEYVDNAFEVNEIQTEDLLGDSDLPEICGGDRLEEASQGDTISLGIRDEANHDSEEVGGQKGLLSEGVEELIFEGTGNIENILSKLEKSSTSSPSPIADDFHDHQETVDGQIILDPDEKLETDKEHETKVFDSATLTLLKAATGAELDGDSTTETLVDSGVVSVGRPAGSGSSFDTISRASQSGMIKDVVNNDTGKEEKKMIEKIQHIRVKYLRLVQRLGHSLEDSIVTHVLHRLVLASGLHVHQESNLEDSKKMAAQLEAEGKDDLDFCLNILVIGKTGVGKSATINSIFGEKKAMVNAFESGTTRVGEIVGIIDGVRFRILDTPGLRSSMKEEATNRRILASIKKITKKYPPDVVLYVDRLDAHTRDLDLSLLASLTNSLTASVWRNAIVILTHAAATPPDGPFGSPLSFEVFVAQRSHSVQLAISQAVGDLRLMHPSMMRPVCLAENHPSCERNKEGQSILPNGQSWRPQLLLLCYSLKILSKSRPHPKLAAEQGGDDVDSDVELIDLSDSDGENEYDRLPPFKPLKKSQVNKLSRKQKKAYFDEYDYRVKLLQKKQWREEVKRLKVLKKKSKDSRREDVDQEDASPATVPVPMPEFVLPHSFDSDNPSYRYRMLEPAPQLLVRPVLDSKGWDHDCGYDGVSLEGNLALAGQFPGAFTVQITKDKKDFNIHLDSSISAKHGENGSTMAGFDIQTIGSQLGYILRSETKFKNFKINKTSAGISITKLSKNVATGLKIEDQIGIGKLLALVGNAGAVRSGGDTAYGANLEVHLKSKEFPVEQDESTLGLSLMKWRGDLGVMANLQSQFSIGRNSKMAINVGMNNRQSGQITIKTSSSELQIALISMIPMAISLLKSIYPGLQGQRELRRSVRIMVMSM >Manes.17G121360.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32610063:32610762:-1 gene:Manes.17G121360.v8.1 transcript:Manes.17G121360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPKRLKRRKKDVTCQAALRGDWITAKRIFYDDPAAVTVKISGIEEITLHVARSRGRSSLRFIQMLVESMPEHSLETTNIHGETPLHYAAIAGNIQAISLLLKKNPALLQIANFHGLAPLHFAAQCCHKEAVSLLLSCDRSKCIIRPK >Manes.09G162000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35649304:35653089:-1 gene:Manes.09G162000.v8.1 transcript:Manes.09G162000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDGGQLNQASIFSAIPGENGKDYCLLSERYTQAETPVKHKKFGKLFSFSASFQDLRRDTGKDKQVPSPTGVIEACLEDLISGSVSSESSEEEQKADCSRAKSNWSRFFQLWKKKSLKHLASFTPLPVPRILTRKSRSARENPALREFFKFKSSLETFTLAQLETASNNFSPENLIGKGGYSEVYKGRLRNGKLVAIKRLTKGTADERTTQFLSELGIMAHVDHPNTAKLLGCGIDGGMHLVFELSPLGSMRSVLHGSEVKLEWSKRYKIALGTAEGLLYLHRSCRKRIIHRDIKADNILLTEDFEPQICDFGLAKWLPRQWTHHNVSKFEGTFGYFAPEYFMHGIVDEKTDTFAFGVLLLELITGRPAVDHLQQSIVIWAKPLLDNNSIKELADPSLGDNYDVEEMERVILTASLCVEQSPILRPRMNQESADS >Manes.09G162000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35649304:35653089:-1 gene:Manes.09G162000.v8.1 transcript:Manes.09G162000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDGGQLNQASIFSAIPGENGKDYCLLSERYTQAETPVKHKKFGKLFSFSASFQDLRRDTGKDKQVPSPTGVIEACLEDLISGSVSSESSEEEQKADCSRAKSNWSRFFQLWKKKSLKHLASFTPLPVPRILTRKSRSARENPALREFFKFKSSLETFTLAQLETASNNFSPENLIGKGGYSEVYKGRLRNGKLVAIKRLTKGTADERTTQFLSELGIMAHVDHPNTAKLLGCGIDGGMHLVFELSPLGSMRSVLHGSEVKLEWSKRYKIALGTAEGLLYLHRSCRKRIIHRDIKADNILLTEDFEPQICDFGLAKWLPRQWTHHNVSKFEGTFGYFAPEYFMHGIVDEKTDTFAFGVLLLELITGRPAVDHLQQSIVIWAKPLLDNNSIKELADPSLGDNYDVEEMERVILTASLCVEQSPILRPRMNQVVILLKGDECAKEATNEGKKKTLQRTYSEELLDAQEYNSTKYLNDLKRHRELALEC >Manes.02G058200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4549487:4552037:-1 gene:Manes.02G058200.v8.1 transcript:Manes.02G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFVTSAFFFLVLFSCAAAYDPLDPTGNITIRWDIMAWTADGYTATVTLNNFQTFRHIMNPGWTIGWAWKKKEIIWSVIGAQATEQGDCSKFKGNIPHCCKRNPAVVDLMPNAPYNQQFANCCKGGVLSAWGQDSAASVSQFQITVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKLVPSTKFLTPDGRRRTQALMTWNVTCTYSQFLARKYPSCCVSFSSFYNQEITPCPTCACGCQNNNTCVNRDSNILKVVEANISKRENTSLLQCTHHMCPIRVHWHVKVNYKEYWRVKLAIVNFNYRMNYSLWSLVIQHPNLNNVTQVFSFNYQPLIPYESINDTGLFYGTKYYNDVLMQAGPLGNVQSEVLLQKDLNTFTFKQGWAFPRKVYFNGDECMLPPPDTYPFLPNSGHGFPVSFFSLISTLFSLFYVFIW >Manes.02G195400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16125487:16131910:1 gene:Manes.02G195400.v8.1 transcript:Manes.02G195400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSSARQCLTDEAARVLDDAVAVARRRSHAQTTSLHVVSALLALPSSMLREACARARNSPCSSRLQFRALELCVGVSLDRLPSAKSLDEPPISNSLMAAIKRSQANQRRHPDNFHLQQIHCNQQPASVLKVEPKHFMLSILDDPIVSRVLGEAGFRSCDIKLAIIHPPVTPTSKFLRTRCPPIFLSNLPGLDLGRSRLSFPFSVLDDGEENCRRICEALVKRNGKGKNLLLIGACAIDALNRFIECVNTDRGGALPSEISGLSAISIENEIIEFVSEGRNDREKMGSKFEELRHKLEQCSGPGIVLSVGELKALVDENASSGALSYLVSKLTGLLEGFRDKLWLMGAAATYETYSKILGQFPAIEKDWDLHLLPITSSKSPMDCLGSKSSLMGSFIPFGGFFSIPSDLKYPLPNINQSITRCPLCTAKYEQEVAALLKMGSTISVADQYSDNLPSWLQMAQLDTGKGLDAAKTKNDGTALDARILGLQKKWSDICQRLHHAQPFSKLDISQDRSQASVAEGFQFADRKESSSSSCSRDSSFNESQCANLSLGLHMDLQNVFPKKHSIPITVASEAEPVNYQFKLLKEASKDLGLGTLYASSSRETDTPKLCNHREHFQDMSGSKSFEFGVKDSSSHIIKSSCSNPSLGAHFGSRDYKSIRKALTEKVGWQEDAIHAISQAITRCKVGYGRHRGSTARGDIWLNFIGPDKVGKKRIASVLAEIIFGSQENLVSVDLSFHGGVSPSNSVFECQELNDYDLKFRGKTIVDYIAMELSKKPHSVVLLENVDKADFLALTSLSQAVRTGKFPDSHGREIGINNMIFVTTSKIALGNIKFLPHNETIKLSEENILRAKSWQMQILIEHAAEAARRSNEMNVKISRKLTSSASSVYKRKLDETTKSAEEEFSYEGKKRAHKLLGSFLDLNLPVDEAEDNTNSGSCDSDSISESSEALLEDFFDQVDENVLFKSFDFDALGEKIVREISKQFQKAFGSEISLEIDDEVILQIIAASWLSTRSRAMEDWIESVLGKGCSEARDKCCSNVQYVVKLVSCKGLLADERAPGICLPSRINV >Manes.07G079455.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24570692:24572202:1 gene:Manes.07G079455.v8.1 transcript:Manes.07G079455.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGTASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.16G110500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31607345:31610948:1 gene:Manes.16G110500.v8.1 transcript:Manes.16G110500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDQPPTESNPDTDDQTVEIDPSSTVLDLTSFQLHNLDSVELPLVLTELDLTANRLSSLDPRIALLSNLKKFSLRQNLIDDAAIEPFSGWEALSGLEELVLRDNKLSKVPDVSIFKSLLVFDVSFNEIGSLRGVSKVSNTLKELYVSKNEVTKMEEIEHLYQLQILELGSNRLRVMENLENLTNLQELWLGRNRIKAVNLCGLKCIKKLSLQSNRLTSMKGFEECVALEELYLSHNGITKMEGLSTLVNLCVLDVSSNKLTSVDDIQNLTRLQDLWLNDNQIESLEGIADAIAGSRQKLTTIYLENNPCARSPNYATTLRQICPNIQQIDSNVFA >Manes.16G110500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31607343:31611274:1 gene:Manes.16G110500.v8.1 transcript:Manes.16G110500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKDQPPTESNPDTDDQTVEIDPSSTVLDLTSFQLHNLDSVELPLVLTELDLTANRLSSLDPRIALLSNLKKFSLRQNLIDDAAIEPFSGWEALSGLEELVLRDNKLSKVPDVSIFKSLLVFDVSFNEIGSLRGVSKVSNTLKELYVSKNEVTKMEEIEHLYQLQILELGSNRLRVMENLENLTNLQELWLGRNRIKAVNLCGLKCIKKLSLQSNRLTSMKGFEECVALEELYLSHNGITKMEGLSTLVNLCVLDVSSNKLTSVDDIQNLTRLQDLWLNDNQIESLEGIADAIAGSRQKLTTIYLENNPCARSPNYATTLRQICPNIQQIDSNVFA >Manes.02G057400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4512977:4513814:1 gene:Manes.02G057400.v8.1 transcript:Manes.02G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPEMRSEYWKIQKGLCLSLLVFIIASACIAEHLQGNTTDCFCNATIGEFHEEAFSDVRPTPPFATQLQMVPPRVTYDSFGKQQICKGKIYGDCLGASLIKGPPMRTCAPYTRCRVKDLLQL >Manes.12G059866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5146274:5147063:-1 gene:Manes.12G059866.v8.1 transcript:Manes.12G059866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLNRILATVMISSAALSLTPKPAFAYLTHPASTDSIIFDAKEKMKTMGYHSFFTKKDHESGDKGNEMHDEIKCGNVVSRVLQVVIKDYKCPTLDHSVSELNKTNCGTFPLEKGKL >Manes.08G086022.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27320121:27321635:1 gene:Manes.08G086022.v8.1 transcript:Manes.08G086022.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYVWDESEEGMVRIAWEKVGKERLRDILNRVRSELLRKHKKTDVAYLYNLGPDWMEAEIWNELVAYWSTLEWRKKSEAGKTNRNVEKEGLLRNTLVVQ >Manes.08G018900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1908619:1910963:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQV >Manes.08G018900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1904567:1911190:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQELQKEGLNVALRSQHRRIMPGTQIYMVDTLGELRCLYSLSAVAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVKEMQRLNPLSITQVSGTLELEEAIKELLNDAKLLDARRMAAKQAFHALSSGIIANVWNLLNFHVLEISS >Manes.08G018900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1903499:1911190:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQELQKEGLNVALRSQHRRIMPGTQIYMVDTLGQKFSIFFPFPTNSFCLSTMDY >Manes.08G018900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1903499:1911192:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQELQKEGLNVALRSQHRRIMPGTQIYMVDTLGELRCLYSLSAVAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVKEMQRLNPLSITQNCHLWSFNP >Manes.08G018900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1904800:1911190:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQELQKEGLNVALRSQHRRIMPGTQIYMVDTLGELRCLYSLSAVAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVKEMQRLNPLSITQVSGTLELEEAIKELLNDAKLLDARRMAAKQAFHALSSGIIANVWNLLNFHVLEISS >Manes.08G018900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1903499:1911190:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQELQKEGLNVALRSQHRRIMPGTQIYMVDTLGELRCLYSLSAVAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVKEMQRLNPLSITQVSGTLELEEAIKELLNDAKLLDARRMAAKQAFHALSSGIIANVWNLLNFHVLEISS >Manes.08G018900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1903499:1911190:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQELQKEGLNVALRSQHRRIMPGTQIYMVDTLGQKFSIFFPFPTNSFCLSTMDY >Manes.08G018900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1904567:1911190:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQELQKEGLNVALRSQHRRIMPGTQIYMVDTLGELRCLYSLSAVAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVKEMQRLNPLSITQVSGTLELEEAIKELLNDAKLLDARRMAAKQAFHALSSGIIANVWNLLNFHVLEISS >Manes.08G018900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1903499:1911192:-1 gene:Manes.08G018900.v8.1 transcript:Manes.08G018900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNTGMILYKIYRALTYGLTPLVHLHLLWRRRRGLEHPTRWPERLGRSSLPRPSGPLIWFHAVSLGEGMAAIPVIKRCVHCRPDLSILMTTTTFSAFEVITNQLPSGVLYQFSPVDTPTAVDAFLDHWKPNAIILLESELWPNLIMGSSRKRISLALLNARLSMKSFRLWSQPVLLPLISLMLSQFSLIVPLSTMQAIRFQVLQAPPFIINFSGDLKYAVEFDASSGEIGSIEYLKGQLASRHVWMASSIHRGEEKVMLGVHRALIQMYPDLVTIIVPRYPQDALEIAQELQKEGLNVALRSQHRRIMPGTQIYMVDTLGELRCLYSLSAVAVIGGSFFPGLAGHNISEAAAAGCAVLTGHHVGHFSHMVKEMQRLNPLSITQNCHLWSFNP >Manes.13G053700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6221186:6226107:1 gene:Manes.13G053700.v8.1 transcript:Manes.13G053700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPISKRNLSLNLIAAKMALMLSCQTGALFLSNTKLSGRPPVKPAASISSPIQGPLKPFSISINANKAPLASIFIAKQPRVRVLASGTSQHSQSPTLSRPTESQDLLTGSTRTLTTIVTLAFSLSRIFINSIQKLALSVGGISILPNLNELAAIRALQGNLIHSVGPLFFAAVRNRPKGFLNTPLTVVAAGLGKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGFLGSILHSTRAAV >Manes.13G053700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6221320:6222141:1 gene:Manes.13G053700.v8.1 transcript:Manes.13G053700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPISKRNLSLNLIAAKMALMLSCQTGALFLSNTKLSGRPPVKPAASISSPIQGPLKPFSISINANKAPLASIFIAKQPRVRVLASGTSQHSQSPTLSRPTESQDLLTGSTRTLTTIVTLAFSLSRIFINSIQKLALSVGGISILPNLNELAAIRALQGNLIHSVGPLFFAAVRNRPKGFLNTPLTVVAAGLGKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGFLGSILHSTRAAV >Manes.13G053700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6221320:6226107:1 gene:Manes.13G053700.v8.1 transcript:Manes.13G053700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPISKRNLSLNLIAAKMALMLSCQTGALFLSNTKLSGRPPVKPAASISSPIQGPLKPFSISINANKAPLASIFIAKQPRVRVLASGTSQHSQSPTLSRPTESQDLLTGSTRTLTTIVTLAFSLSRIFINSIQKLALSVGGISILPNLNELAAIRALQGNLIHSVGPLFFAAVRNRPKGFLNTPLTVVAAGLGKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPVFDTLDVSPLLAFAVLGFLGSILHSTRAAV >Manes.10G153700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:32041128:32046139:-1 gene:Manes.10G153700.v8.1 transcript:Manes.10G153700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNFYPEGLLSGSKVPSNSEEESKLTTQLWHLNGRCPEETIPIRRTKKNDILRANSLERFGKKRPLAAPQPKNAEPDLISQSGHQHAIVYVEGDKYYGAKATINVWEPKIQQPNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNRTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAIGASIYPVSGYDGSQYDISLLIWKDPKEGNWWIQFGNSYVLGYWPASLFSYLADSATMIEWGGEIVNSEFDGQHSTTQMGSGHFPEEGFGKAGYFKNIQIVDGSNNLRPPKDLNTFTEQSNCYNVGNDNDGDWGNYFFYGGPGRNPNCP >Manes.10G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:32041128:32046139:-1 gene:Manes.10G153700.v8.1 transcript:Manes.10G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVHMVASFLVVFLITPWTHAGNRSSLSQQKLEVKNHLKNLNKPPVKSIKSPDGDIIDCVHITHQPAFDHPLIKNHKIQMRPNFYPEGLLSGSKVPSNSEEESKLTTQLWHLNGRCPEETIPIRRTKKNDILRANSLERFGKKRPLAAPQPKNAEPDLISQSGHQHAIVYVEGDKYYGAKATINVWEPKIQQPNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNRTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAIGASIYPVSGYDGSQYDISLLIWKDPKEGNWWIQFGNSYVLGYWPASLFSYLADSATMIEWGGEIVNSEFDGQHSTTQMGSGHFPEEGFGKAGYFKNIQIVDGSNNLRPPKDLNTFTEQSNCYNVGNDNDGDWGNYFFYGGPGRNPNCP >Manes.01G062600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25980700:25984366:1 gene:Manes.01G062600.v8.1 transcript:Manes.01G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYADRMSQPSRAVIIFCKVNEIDFEEVRIDLSKRQQFSPEFKEINPMGKVPAIVDGRFKLFESHAILIYLACVFPGVADHWYPADLFKRVKIQSVLDWHHTNLRQGAAKYVLHTTLAPAFGLPLNPQAAAEAEKVLSSSLSKMESFWLKGSGRFLLGGNQPSIADLSLVCEIMQLEVLDENDRSRILAPYKKVQQWIEDTRQATRPHFDEVHKILFKAKARLQKQRSMAKSSETQSSLKTTLPSKL >Manes.01G062600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25980700:25984366:1 gene:Manes.01G062600.v8.1 transcript:Manes.01G062600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPAIVDGRFKLFESHAILIYLACVFPGVADHWYPADLFKRVKIQSVLDWHHTNLRQGAAKYVLHTTLAPAFGLPLNPQAAAEAEKVLSSSLSKMESFWLKGSGRFLLGGNQPSIADLSLVCEIMQLEVLDENDRSRILAPYKKVQQWIEDTRQATRPHFDEVHKILFKAKARLQKQRSMAKSSETQSSLKTTLPSKL >Manes.14G039700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3406091:3409972:-1 gene:Manes.14G039700.v8.1 transcript:Manes.14G039700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSVQDFFVRFLLISMEGDLKSSSSFTIGNTAQETGLSYLPDCYVFPPSHRPSLAPEVANVPIIDFAMLKQNPGQRAQVIRAIGNACRRLGYFQIINHGICPTTLEGALSAASDYFELPMEEKIKLMSNDVRKPVRYGTSIRDGTDKFQFWRVFLKHYAYPLSDWLDLWPNNPPHYREKMGKYCQEARKLALELMAAITESLGLGPTYLGSKMEEGVHVMAVNCYPPCPKPDMALGLPPHSDYSCLTILLQSSPGLEIMDPEDGKWKAVPDQLHGTLQVQVGDHVEVLSNGLYKSILHRVTLNSQKTRISIASLHSLGADEKMGTAKELVDDQHPERYKESSFRDFLNFISSNDIGEGKSFKSTLIRH >Manes.14G039700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3406091:3408951:-1 gene:Manes.14G039700.v8.1 transcript:Manes.14G039700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLKSSSSFTIGNTAQETGLSYLPDCYVFPPSHRPSLAPEVANVPIIDFAMLKQNPGQRAQVIRAIGNACRRLGYFQIINHGICPTTLEGALSAASDYFELPMEEKIKLMSNDVRKPVRYGTSIRDGTDKFQFWRVFLKHYAYPLSDWLDLWPNNPPHYREKMGKYCQEARKLALELMAAITESLGLGPTYLGSKMEEGVHVMAVNCYPPCPKPDMALGLPPHSDYSCLTILLQSSPGLEIMDPEDGKWKAVPDQLHGTLQVQVGDHVEVLSNGLYKSILHRVTLNSQKTRISIASLHSLGADEKMGTAKELVDDQHPERYKESSFRDFLNFISSNDIGEGKSFKSTLIRH >Manes.14G039700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3406091:3409972:-1 gene:Manes.14G039700.v8.1 transcript:Manes.14G039700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSVQDFFVRFLLISMEGDLKSSSSFTIGNTAQETGLSYLPDCYVFPPSHRPSLAPEVANVPIIDFAMLKQNPGQRAQVIRAIGNACRRLGYFQIINHGICPTTLEGALSAASDYFELPMEEKIKLMSNDVRKPVRYGTSIRDGTDKFQFWRVFLKHYAYPLSDWLDLWPNNPPHYREKMGKYCQEARKLALELMAAITESLGLGPTYLGSKMEEGVHVMAVNCYPPCPKPDMALGLPPHSDYSCLTILLQSSPGLEIMDPEDGKWKAVPDQLHGTLQVQVGDHVESWCR >Manes.10G002640.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:174297:177948:1 gene:Manes.10G002640.v8.1 transcript:Manes.10G002640.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTLSTVVSYLALLALVFLFLHRIVMLKSNWIRRKLRMQGIQGPRPSFLYGNLPEMQKIQSKIIKGKASSSHGELIAHDYTSTLFPYLERWRKEYGPLYTYSTGMKQHLYVNQPELVKEMNQSMTLDLGKPCYVTRRLAPMLGNGILRSNGLLWAQQRKIVAPEFYMDKVKGMVGLMVESAQPLLRKWEECIEAQGGMAADVIVDEDLRRFSAEVISRACFGISYTKGKQIFSLLRTMQKAISKQSILFGVTGHSFRFFPVKKLNEIVSLEREVESLIWAAVKERERECQETSSSKDLMQLILEGAINDQNLGKDSSKRFIVDNCKNIYFAGHESTAVAASWCLMLLALHPEWQDPIRIELAQVCKDGFPADDLLHLKTVTMVIQEALRLYPPAAFVSREALGDTQIGNIFVPKGVCLWTLIPTLHRDPEIWGPDANEFKPERFAGGVSKACKLPQAYIPFGVGPRLCLGKNFAMAQLKVVLSLVVSKFSLSLSAKYRHSPTYRMIVEPEHGVHVIIRKIH >Manes.17G052900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24946328:24946975:-1 gene:Manes.17G052900.v8.1 transcript:Manes.17G052900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDDDKDQFGDNQKIIFAAIFSLFAVVILVVLLHLYSRYLFRRQQRRRRAALHRQSRQIAPADMESNYTIEPPKVGLDPLVIASFPPVAYKPTNQQELDHGEPMECSVCLGTIVEDAMVRVLPNCKHMFHVECIDMWLGSNTTCPICRTVAEPRVPPEGSEVQVQVQVQPSAPPLASGSGSLFGSFRWMVSRDRSSRARSCGDEVSVEDSGRQ >Manes.07G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33876157:33879770:-1 gene:Manes.07G136200.v8.1 transcript:Manes.07G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISQYKSIVNVSAECFDYVSGSSDGIWNVKHGQTILQHPFFRFQLQLIAMYTAAHFFHLFLKRFDFPRLTSDFLAGVLLGPTFMERFFPHASELLFPPVPNQVMASMIKIGYILFTFLAAVRMDISLVKKSGKRIIILGILISAFPYITVRSLTVKFDRDMTEAAKGSRLNNAVLYFSAFTTSEFIDVSALLLQLKITNSRLGHLALATTLVCDVARICYDSTVNSVMSKLIFTTSIRAVVLSFAYLSIFLASTVLVAQRLILWFIRSTPPGKPIKDLYSNFVIAAVLVSSCLGDSAGLNYLMGPLTLGLVVPAGSPLATNLTSKLDTVVSGLLIPLLYIFCASKFNLWVFLAHCNEALNFQMAIIGYAIKLVATASLIIFMMIDFRDAITLALILNFKGPREMGTFYSYTPIEQKDLDSVSGVFLICLLASIVAPVIKMLYDPSKHYIGYKKKCIQYATDDAPLNLLVCAHKQEDAMAATKLLEFSNPTKLSPLVIYGLCLEELLGSDTPYMINHQLGQRRSHSQASRSLPIIDVFNYFMVGNKKGAQVHVYTAVSPIKMMHEDICWLAFDKTCSLIILPFHKKWNSKGKLVSNSNELRKMNINVLERAPCSVGILIDRSRTRGLSSIFSSAMVCRAGVLFIGGADDREALAYALRMAKSPKVQLTVVCCEIPEESYRDKWEETLDIESLTSMRHEMSINGNIRYIEETVTNGSDTVAVVRTMEENYELVIVGRLHETKPEAMSGLSEWAELPELGEIGDQLASAEIENEVAILVVQQQILKASHSSILN >Manes.12G039850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3347308:3351709:1 gene:Manes.12G039850.v8.1 transcript:Manes.12G039850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTIVASPSLMNPVKLGGAVDKTQAADWRKCEDCLLDSIDKGLPVSKQENEEQSPKPLSNKSQRHQPPYSSSPSPEHLRRQVQWFPFSLFVLQGHHEEFHRSHITCRGELHSGPRGELFNNINNFLIFNMNYDGNPSLFLMINMIQCVHFLTLETKTTFLLSFRPSSNLESYFRRE >Manes.S045716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1375499:1376512:-1 gene:Manes.S045716.v8.1 transcript:Manes.S045716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQRKEDDEGDHEKDKNKKRRRRRRRRRKRTRQKMIMKPEKLIKRKRNKISKTRKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEETKGRERKRKRRNTMMKQRMSKKRKVKRRRKRKRKRKLMSQRAMPKLCQGRLNQNQKERGRTRRKRKIRQRKVKRRRKIKTRKRMVIR >Manes.18G144905.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21683891:21685382:1 gene:Manes.18G144905.v8.1 transcript:Manes.18G144905.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVNNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITALGDSVNTDGIHIGHSNRINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.11G159401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32496773:32500404:-1 gene:Manes.11G159401.v8.1 transcript:Manes.11G159401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDVLPRTSEIVEPTEGLDFDLKIDKKAGKCYVPKLGRRYSIEDEINSLFEAIDIRTSGKGVGLPHESSKASLWKKAMKRPIRVGSSQLSGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPAVTSGASEAGTIKRLDSTVIVEANGSGHPLSECNLVEKSLVPERITSDFSKKTCESSQKEKAELSHQNTYCSPYRVVPLHTGCSSEVAKNEVERFKSTDFSSTSHATKKLAEVDEKTAASIQVLGKAPVSEGKKTIFHTSSLSPNCRFGSTANIPARTPPQLMKLIFRKNIFVKRKLKPDLSPVSGISSHCGGRDNNDHDPSTSNSDVCEYTPDWKGGRFESFSGIQCHEL >Manes.07G007700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:937903:941385:-1 gene:Manes.07G007700.v8.1 transcript:Manes.07G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSDFTFWQVGVTAQDAVETKKLASDIDGMVIKDGPSTGTKGSQSTGILWKDSLPSDAYSKKKKTVGSLSFNVIDEPSLKKSSDLSIKVASLDVGTSVTNSQGQKSSARKPAARTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNKRLISMNEVKQHQNEGSMWTVLKGRVYNLSPYMKFHPGGADMLMKAVGKDCTSLFNKYHAWVNAEFLMEKCLVGTLDESR >Manes.07G007700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:937903:941385:-1 gene:Manes.07G007700.v8.1 transcript:Manes.07G007700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSDFTFWQVGVTAQDAVETKKLASDIDGMVIKDGPSTGTKGSQSTGILWKDSLPSDAYSKKKKTVGSLSFNVIDEPSLKKSSDLSIKVASLDVGTSVTNSQGQKSSARKPAARTKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNKRLISMNEVKQHQNEGSMWTVLKGRVYNLSPYMKFHPGGLVA >Manes.14G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4572063:4574690:1 gene:Manes.14G054100.v8.1 transcript:Manes.14G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVGSYYAPPTAKSGGAYDAPEGVDIRGRYDEEFAKILTRDALQFVADLQRQFRNRIKYAMECRREAKRRYNEGALPGFDPATKYIREGNWTCAAVPPAVADRKVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGQVNLRDAVNGTISFHDKDRNRVYKLNDQTAKLFVRPRGWHLPEAHILIDGEPATGCLVDFGLYFYHNHAPFRRTQGAGYGPFFYLPKMEHSREAKIWNCVFERAEKMAGIERGSIRATVLIETLPAVFQMNEILYELKDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVQVGMTQHFMKSYSDLLIWTCHRRGVHAMGGMAAQIPIRDDREANETALELVRKDKLREVRAGHDGTWAAHPGLIPACMEVFTNNMGNIPHQIQVTKREDAANITEEDLLQRPRGVRTMEGLRLNTRVGIQYLAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVKVGYDLFWRVVEEEMARIEREVGKEKFKKGMYKEACKIFTRQCTAPTLDDFLTLDAYNNIVTHYPKGSSRL >Manes.14G054100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4572063:4575002:1 gene:Manes.14G054100.v8.1 transcript:Manes.14G054100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQVNLRDAVNGTISFHDKDRNRVYKLNDQTAKLFVRPRGWHLPEAHILIDGEPATGCLVDFGLYFYHNHAPFRRTQGAGYGPFFYLPKMEHSREAKIWNCVFERAEKMAGIERGSIRATVLIETLPAVFQMNEILYELKDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVQVGMTQHFMKSYSDLLIWTCHRRGVHAMGGMAAQIPIRDDREANETALELVRKDKLREVRAGHDGTWAAHPGLIPACMEVFTNNMGNIPHQIQVTKREDAANITEEDLLQRPRGVRTMEGLRLNTRVGIQYLAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVKVGYDLFWRVVEEEMARIEREVGKEKFKKGMYKEACKIFTRQCTAPTLDDFLTLDAYNNIVTHYPKGSSRL >Manes.02G075800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5756967:5758803:1 gene:Manes.02G075800.v8.1 transcript:Manes.02G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNVSEKLMLHRQKKKGDDKKNRLLITINVLGSAGPIRFVVNEDDSVAGVIDTALKTYSREGRLPVLGSDINNFLLYCANAGSDALNPWEAIGSGGGRNFVLCKKQGQPQMTEGRSEMIAHKASGWKAWLNKSFSFKVLSH >Manes.12G122300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32750298:32754133:-1 gene:Manes.12G122300.v8.1 transcript:Manes.12G122300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWSIFFFFFISFFAVSSGREILPNAYCSSPGPDVRLSWKNNHRMVIDNGFVQVTLSNPTGDITEIQYNEMGNVLEFHNGESNRGYWDIVWNKPGTRVAYDRLKGTNSSVIMEDENQVEVSFTRIWNASIGNYTAPLNVDKRYIVRRGSSGIYMYTTLERLEGWPDFDMDQIRIVFKLRSDKFHTMVISDDKQRVMPAVKDCRKGQRLAYPEAVLLTDPTNPNHKGEVDDKYQYSCENKDNRVHGWISEDPPVGFWVITPSDEFRAGGPLKQDLTSHVGPTALSMFTSTHYSGKDLDTRYRNGKPWKKVFGPVYVFINSISIDEDPLLLWEDAKEQMLVEVESWPYDFPESEDFPSSFQRGSVSGQLLIHDRYVNKRLTWAGSAYVGLAAPGDVGSWQRDSQGYQFWTRANKEGYFLIENVRAGEYNLYAWIPGIIGDWKFDGNITVQSGYEIELGVLVYEPPRNGPTLWEIGVPDRTAAEFFIPDTYPTLQNKLYTNHPTDKFRQYGLWQRYTDMYPTQDLIYTIGISNYRQDWFFAQVPRNTRNKTFQATTWQIKYDLENVNQTASYTLRVALASASAAELQVRFNDPNRRRPHFTTGLIGRDNAIARHGIHGLYWLYNINVSGDLLGEKNNTIYLTQSRSKSPFCGIMYDYIRFEGPSFTST >Manes.12G122300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32750324:32754027:-1 gene:Manes.12G122300.v8.1 transcript:Manes.12G122300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWSIFFFFFISFFAVSSGREILPNAYCSSPGPDVRLSWKNNHRMVIDNGFVQVTLSNPTGDITEIQYNEMGNVLEFHNGESNRGYWDIVWNKPGTRVAYDRLKGTNSSVIMEDENQVEVSFTRIWNASIGNYTAPLNVDKRYIVRRGSSGIYMYTTLERLEGWPDFDMDQIRIVFKLRSDKFHTMVISDDKQRVMPAVKDCRKGQRLAYPEAVLLTDPTNPNHKGEVDDKYQYSCENKDNRVHGWISEDPPVGFWVITPSDEFRAGGPLKQDLTSHVGPTALSMFTSTHYSGKDLDTRYRNGKPWKKVFGPVYVFINSISIDEDPLLLWEDAKEQMLVEVESWPYDFPESEDFPSSFQRGSVSGQLLIHDRYVNKRLTWAGSAYVGLAAPGDVGSWQRDSQGYQFWTRANKEGYFLIENVRAGEYNLYAWIPGIIGDWKFDGNITVQSGYEIELGVLVYEPPRNGPTLWEIGVPDRTAAEFFIPDTYPTLQNKLYTNHPTDKFRQYGLWQRYTDMYPTQDLIYTIGISNYRQDWFFAQVPRNTRNKTFQATTWQIKYDLENVNQTASYTLRVALASASAAELQVRFNDPNRRRPHFTTGLIGRDNAIARHGIHGLYWLYNINVSGDLLGEKNNTIYLTQSRSKSPFCGIMYDYIRFEGPSFTST >Manes.04G148200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34512969:34522616:1 gene:Manes.04G148200.v8.1 transcript:Manes.04G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVSKTGTRFHPKPVILPEPALDEVSENSKEGSVIVSKNDSSTRKLEVGLVEGSDDVSGVSSSTISEHEVSFALNIYPDGYSIGKPSENEVAHQAMLQDASKLLHPYDKTSETLFLAIESGWLPGDILDDIPCKYVNGTLVCEVRDYRNNVSEQGSNTPPVDGNPVVNRVRLRMSLENVVKDIPLISDNTWTYGDLMEVESRILKALQPHLLLDPTPKLDRLCNDPTPTKLNLGLSNLRRKRLRQMPEVTSSNRIHGKKVCIDRVPESSSSRLGDSVIISGNMMPQSIPENLTPQNLGPSNMLAMGARSFAPDGNVPALPLVSQQPRYQIGVGTQRSIQEQGSGTPTANSGVSSAGQDMVISYGDNTNSGASSHGKRENQDGQMSSLSSFNKRARLASVGPDGIQQQQIGLHMEGLHASDMNWKISLSQQQAMARGIHYANAGVQKYPQQMFEGVINQNGAPTSFSAAQPGVRFGPKEEQFETEKLDGSELNQGKNDIMETETGHLDPQQSRLQQRLPHHLMRSSFAQAAWSNLSQDSRKEEQLQKRKTVQSPRLSAGTLPQSPLSSKSGEFSSGSAGPHFGAVAANAAIGSSQKERSAVTSVPAVGGTPSLTSSANDSLQRHQAQAAAKRRSNSLPKTPVMSGIGSPASVSNISVPLNATSPSVGTPSMADQSMLERFSKIEMLTMRHQLNCKKNKVDEYSVRKSNTFSHQNLMACLSSLPNSEDVKDDASARQLSKSIVGGSMNVCKMRIINFLQADRVLPGNVGAYGHRLCTRMIMLEKPSDGTVAMHYGEPEDGDVLSAEDYLPTLPNTHFADLLAAQFCSLMVREGYIVEDNIQPKPIRMNIASSSHPNAAGISPNNSAVEVQQYNEAVPGQASNEVKPSISGNASINPSQNLLANTRMLPPGNAQALPMSQGLLSTVPMSTRPHQLDSQPSLQQQQQPQQLQQQPQQQQQQPNQHPLIQQQHSQFQRSPMVLPSNPLSHLNTLGQNSNMQLGNHMVNKSSHLQNQLLQQQQQQQPQQQQLQTQQQQQPQQQQQQQPQMQQRKMMMGLGTAMGMGNMANNMVGLGGLGNTMGIGGARGIGPGISGPMASISSINNVGQNPLNLGQTSNINAITQRIRTGQMAQAQAALLTSRLMVQNRTGVLGGPQSGIAGMSGARQMHPGSAGLSMLGQPLNRANMNPMQRNVMGNVMGPMGPPKLMSGMNLYMNQQQQPQQLQLQQQLQQQQQQQQQLQQQLQQQQLQQQQQQQDPSSSLQAVVSPPQVGSPSTMGIPQLNQQAQQQPQQQPSPQQMSQRTPMSPQLSSGAIHALSAGNPEACPASPQLSSQTLGSVGSITNSPLELQGVNKSNSVNNA >Manes.04G148200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34512969:34522616:1 gene:Manes.04G148200.v8.1 transcript:Manes.04G148200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVSKTGTRFHPKPVILPEPALDEVSENSKEGSVIVSKNDSSTRKLEVGLVEGSDDVSGVSSSTISEHEVSFALNIYPDGYSIGKPSENEVAHQAMLQDASKLLHPYDKTSETLFLAIESGWLPGDILDDIPCKYVNGTLVCEVRDYRNNVSEQGSNTPPVDGNPVVNRVRLRMSLENVVKDIPLISDNTWTYGDLMEVESRILKALQPHLLLDPTPKLDRLCNDPTPTKLNLGLSNLRRKRLRQMPEVTSSNRIHGKKVCIDRVPESSSSRLGDSVIISGNMMPQSIPENLTPQNLGPSNMLAMGARSFAPDGNVPALPLVSQQPRYQIGVGTQRSIQEQGSGTPTANSGVSSAGQDMVISYGDNTNSGASSHGKRENQDGQMSSLSSFNKRARLASVGPDGIQQQQIGLHMEGLHASDMNWKISLSQQQAMARGIHYANAGVQKYPQQMFEGVINQNGAPTSFSAAQPGVRFGPKEEQFETEKLDGSELNQGKNDIMETETGHLDPQQSRLQQRLPHHLMRSSFAQAAWSNLSQDSRKEEQLQKRKTVQSPRLSAGTLPQSPLSSKSGEFSSGSAGPHFGAVAANAAIGSSQKERSAVTSVPAVGGTPSLTSSANDSLQRHQAQAAAKRRSNSLPKTPVMSGIGSPASVSNISVPLNATSPSVGTPSMADQSMLERFSKIEMLTMRHQLNCKKNKVDEYSVRKSNTFSHQNLMACLSSLPNSEDVKDDASARQLSKSIVGGSMNVCKMRIINFLQADRVLPGNVGAYGHRLCTRMIMLEKPSDGTVAMHYGEPEDGDVLSAEDYLPTLPNTHFADLLAAQFCSLMVREGYIVEDNIQPKPIRMNIASSSHPNAAGISPNNSAVEVQQYNEAVPGQASNEVKPSISVPMSTRPHQLDSQPSLQQQQQPQQLQQQPQQQQQQPNQHPLIQQQHSQFQRSPMVLPSNPLSHLNTLGQNSNMQLGNHMVNKSSHLQNQLLQQQQQQQPQQQQLQTQQQQQPQQQQQQQPQMQQRKMMMGLGTAMGMGNMANNMVGLGGLGNTMGIGGARGIGPGISGPMASISSINNVGQNPLNLGQTSNINAITQRIRTGQMAQAQAALLTSRLMVQNRTGVLGGPQSGIAGMSGARQMHPGSAGLSMLGQPLNRANMNPMQRNVMGNVMGPMGPPKLMSGMNLYMNQQQQPQQLQLQQQLQQQQQQQQQLQQQLQQQQLQQQQQQQDPSSSLQAVVSPPQVGSPSTMGIPQLNQQAQQQPQQQPSPQQMSQRTPMSPQLSSGAIHALSAGNPEACPASPQLSSQTLGSVGSITNSPLELQGVNKSNSVNNA >Manes.05G050200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4161969:4186098:-1 gene:Manes.05G050200.v8.1 transcript:Manes.05G050200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSSTVPNQIRFLLQSLNEANVDSVFRELCQFIEYGVEGSVLVLQTCLEQLHFNRTDLKGMQLEPVFVSIFKFLLDKPNFSTVFCQSLRSLEINDEFLENLSNVLHLSLSEKISIGLALSDSEIVESRMSAKKFCMAQIEELCENPVSLNSAEQIQNIVMFLQRSGGLSKHIDHLLQILSSVQPKESFPFVLTPLLSDEMREANFLRNLDMYHECKESEFDALLAELEKERSAGDIVKELGYGCTFDVSHCKEILSHFLPLTESTISKILGTIASNPTGLEDNQSTFSNFGIALGCSIYPDLPQLSSWDIDILVKTIKQLAPGTNWIQVMENMDHEGFYIPSEEAFSFFMSVYRHACQDLFPLHAICGSLWKNTQGQLSFLKYAVLAPPEVFTFGHSGRQLVYNDAVHGHKLHPGHSNHAWFCLDLLDVLCQLAEMGHSSSIRSMLEYPLKHCPEILLLGMSHINTSYNLLQYEVSIKVFPMLIKSSAGSGMILYLWHVNPSLVLRGFVDSHNIEPDCMTKILDICQELKILSSVLDMIPSPSGIRLAAVASRKELIDLEKWLTTNLVTYKDFFFEECFKFLKEVQHGGSQDFSTKPFHHTSSITNVYLETCSTFLKVLKAHTSLTISSRLSEEMEKLNLTIMDSNPRLQNGASVDSSASEGFSDDVEAEANSYFHQMFSSQLTIDAMVQMLARFKESPVRREQLIFECMIGNLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRGTHSELVSFIERALARISSGHLESDGSNNPSAANHHGLSQAGSGNGELNSVNVTQPVQQLSSALHVQRHETPLDDRHKISVVSSNDTKSHLSAGGHSSVAPLGDISSIQKNVASTSSILSSSHGFIRPSRGTTSARFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISAANIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDLKDITPTSLLKDRKREIEGNPDFSNKDAGASQPQIVADVKSGMISPLNHAELPLEVANPPSSGGHTHLLSQYAAPVHLPSGTLMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVSQLPTAMPNIGTHVIINPKLNSWGLHLHFQRVVPIVMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRTSISTQLRNSLQGLSVASELLEHAVQLATNDNLDLGCAIIEQAATDKAIQTIDGEISQQLSLRRKHRDGVGPTFFDASMYTQGSMGVVPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSQSSHSVPTGSSSSSGASGLANTFGSASGSGQLNAGYSSPPGNLGFEAVSRTVEMASDSVESNSAALLSASSIHIGASDGVIQQNSENNSISASFSSAAAAPEPQLGDSSDAVKESIISPQPISSSAASDRLGSGISEPSLNTRDALDKYQIVAQKLEALINSDAREVEIQGVIAEVPEIILRCISRDEAALAVAQKVFKGLYENASNNFHVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLIHSELLNLAEYNVHMAKLIDGGRNKGATEFAISLVQTLVMEESKVISELHNLVDALAKLAAKPGSPESLQQLIEIVRNPVANSAVFSGFTVGKEDKTRQSRDKKAVSQSVSNREDYGNAESVEPDPVGLHEQVTMLFAEWYRICELPGANDAACTHYILQLHQNGLLKGDDMTDCFFRILTELSVAHCLSSEVITSATLQSTQQGQTLSFLAIDIYAKLVFSILKVEQGSSRFFLLSKILAVTVRFIQKDSEEKKTSFNPRPYFRLIVNWLMDLISPDPVIDGANFQILTAFAGAFHNLQPLKVPAFSFAWLELVSHRSFMPKLLTGNAQKGWPYVQRLLVDLFQFLEPFLRSAELGMPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREAPHILSEVDAVLKAKQMKADVDEYLKTRQQGSSFLTELKQRLLLSPSEATSAGTRYNVPLINSLVLYAGMQAIQQLQARTPHAQSTGNTSALAVLLVDAALNIYQTLILELDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDESMVSSWVSEGTH >Manes.05G050200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4161969:4186211:-1 gene:Manes.05G050200.v8.1 transcript:Manes.05G050200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSSTVPNQIRFLLQSLNEANVDSVFRELCQFIEYGVEGSVLVLQTCLEQLHFNRTDLKGMQLEPVFVSIFKFLLDKPNFSTVFCQSLRSLEINDEFLENLSNVLHLSLSEKISIGLALSDSEIVESRMSAKKFCMAQIEELCENPVSLNSAEQIQNIVMFLQRSGGLSKHIDHLLQILSSVQPKESFPFVLTPLLSDEMREANFLRNLDMYHECKESEFDALLAELEKERSAGDIVKELGYGCTFDVSHCKEILSHFLPLTESTISKILGTIASNPTGLEDNQSTFSNFGIALGCSIYPDLPQLSSWDIDILVKTIKQLAPGTNWIQVMENMDHEGFYIPSEEAFSFFMSVYRHACQDLFPLHAICGSLWKNTQGQLSFLKYAVLAPPEVFTFGHSGRQLVYNDAVHGHKLHPGHSNHAWFCLDLLDVLCQLAEMGHSSSIRSMLEYPLKHCPEILLLGMSHINTSYNLLQYEVSIKVFPMLIKSSAGSGMILYLWHVNPSLVLRGFVDSHNIEPDCMTKILDICQELKILSSVLDMIPSPSGIRLAAVASRKELIDLEKWLTTNLVTYKDFFFEECFKFLKEVQHGGSQDFSTKPFHHTSSITNVYLETCSTFLKVLKAHTSLTISSRLSEEMEKLNLTIMDSNPRLQNGASVDSSASEGFSDDVEAEANSYFHQMFSSQLTIDAMVQMLARFKESPVRREQLIFECMIGNLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRGTHSELVSFIERALARISSGHLESDGSNNPSAANHHGLSQAGSGNGELNSVNVTQPVQQLSSALHVQRHETPLDDRHKISVVSSNDTKSHLSAGGHSSVAPLGDISSIQKNVASTSSILSSSHGFIRPSRGTTSARFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISAANIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDLKDITPTSLLKDRKREIEGNPDFSNKDAGASQPQIVADVKSGMISPLNHAELPLEVANPPSSGGHTHLLSQYAAPVHLPSGTLMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVSQLPTAMPNIGTHVIINPKLNSWGLHLHFQRVVPIVMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRTSISTQLRNSLQGLSVASELLEHAVQLATNDNLDLGCAIIEQAATDKAIQTIDGEISQQLSLRRKHRDGVGPTFFDASMYTQGSMGVVPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSQSSHSVPTGSSSSSGASGLANTFGSASGSGQLNAGYSSPPGNLGFEAVSRTVEMASDSVESNSAALLSASSIHIGASDGVIQQNSENNSISASFSSAAAAPEPQLGDSSDAVKESIISPQPISSSAASDRLGSGISEPSLNTRDALDKYQIVAQKLEALINSDAREVEIQGVIAEVPEIILRCISRDEAALAVAQKVFKGLYENASNNFHVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLIHSELLNLAEYNVHMAKLIDGGRNKGATEFAISLVQTLVMEESKVISELHNLVDALAKLAAKPGSPESLQQLIEIVRNPVANSAVFSGFTVGKEDKTRQSRDKKAVSQSVSNREDYGNAESVEPDPVGLHEQVTMLFAEWYRICELPGANDAACTHYILQLHQNGLLKGDDMTDCFFRILTELSVAHCLSSEVITSATLQSTQQGQTLSFLAIDIYAKLVFSILKVEQGSSRFFLLSKILAVTVRFIQKDSEEKKTSFNPRPYFRLIVNWLMDLISPDPVIDGANFQILTAFAGAFHNLQPLKVPAFSFAWLELVSHRSFMPKLLTGNAQKGWPYVQRLLVDLFQFLEPFLRSAELGMPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREAPHILSEVDAVLKAKQMKADVDEYLKTRQQGSSFLTELKQRLLLSPSEATSAGTRYNVPLINSLVLYAGMQAIQQLQARTPHAQSTGNTSALAVLLVDAALNIYQTLILELDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDESMVSSWVSEGTH >Manes.05G050200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4161969:4186098:-1 gene:Manes.05G050200.v8.1 transcript:Manes.05G050200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSSTVPNQIRFLLQSLNEANVDSVFRELCQFIEYGVEGSVLVLQTCLEQLHFNRTDLKGMQLEPVFVSIFKFLLDKPNFSTVFCQSLRSLEINDEFLENLSNVLHLSLSEKISIGLALSDSEIVESRMSAKKFCMAQIEELCENPVSLNSAEQIQNIVMFLQRSGGLSKHIDHLLQILSSVQPKESFPFVLTPLLSDEMREANFLRNLDMYHECKESEFDALLAELEKERSAGDIVKELGYGCTFDVSHCKEILSHFLPLTESTISKILGTIASNPTGLEDNQSTFSNFGIALGCSIYPDLPQLSSWDIDILVKTIKQLAPGTNWIQVMENMDHEGFYIPSEEAFSFFMSVYRHACQDLFPLHAICGSLWKNTQGQLSFLKYAVLAPPEVFTFGHSGRQLVYNDAVHGHKLHPGHSNHAWFCLDLLDVLCQLAEMGHSSSIRSMLEYPLKHCPEILLLGMSHINTSYNLLQYEVSIKVFPMLIKSSAGSGMILYLWHVNPSLVLRGFVDSHNIEPDCMTKILDICQELKILSSVLDMIPSPSGIRLAAVASRKELIDLEKWLTTNLVTYKDFFFEECFKFLKEVQHGGSQDFSTKPFHHTSSITNVYLETCSTFLKVLKAHTSLTISSRLSEEMEKLNLTIMDSNPRLQNGASVDSSASEGFSDDVEAEANSYFHQMFSSQLTIDAMVQMLARFKESPVRREQLIFECMIGNLFEEYRFFPKYPERQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRGTHSELVSFIERALARISSGHLESDGSNNPSAANHHGLSQAGSGNGELNSVNVTQPVQQLSSALHVQRHETPLDDRHKISVVSSNDTKSHLSAGGHSSVAPLGDISSIQKNVASTSSILSSSHGFIRPSRGTTSARFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISAANIEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDLKDITPTSLLKDRKREIEGNPDFSNKDAGASQPQIVADVKSGMISPLNHAELPLEVANPPSSGGHTHLLSQYAAPVHLPSGTLMEDEKLAALGLSDQLPSAQGLFQATPSQSPFSVSQLPTAMPNIGTHVIINPKLNSWGLHLHFQRVVPIVMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRTSISTQLRNSLQGLSVASELLEHAVQLATNDNLDLGCAIIEQAATDKAIQTIDGEISQQLSLRRKHRDGVGPTFFDASMYTQGSMGVVPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSQSSHSVPTGSSSSSGASGLANTFGSASGSGQLNAGYSSPPGNLGFEAVSRTVEMASDSVESNSAALLSASSIHIGASDGVIQQNSENNSISASFSSAAAAPEPQLGDSSDAVKPISSSAASDRLGSGISEPSLNTRDALDKYQIVAQKLEALINSDAREVEIQGVIAEVPEIILRCISRDEAALAVAQKVFKGLYENASNNFHVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLIHSELLNLAEYNVHMAKLIDGGRNKGATEFAISLVQTLVMEESKVISELHNLVDALAKLAAKPGSPESLQQLIEIVRNPVANSAVFSGFTVGKEDKTRQSRDKKAVSQSVSNREDYGNAESVEPDPVGLHEQVTMLFAEWYRICELPGANDAACTHYILQLHQNGLLKGDDMTDCFFRILTELSVAHCLSSEVITSATLQSTQQGQTLSFLAIDIYAKLVFSILKVEQGSSRFFLLSKILAVTVRFIQKDSEEKKTSFNPRPYFRLIVNWLMDLISPDPVIDGANFQILTAFAGAFHNLQPLKVPAFSFAWLELVSHRSFMPKLLTGNAQKGWPYVQRLLVDLFQFLEPFLRSAELGMPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREAPHILSEVDAVLKAKQMKADVDEYLKTRQQGSSFLTELKQRLLLSPSEATSAGTRYNVPLINSLVLYAGMQAIQQLQARTPHAQSTGNTSALAVLLVDAALNIYQTLILELDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDESMVSSWVSEGTH >Manes.12G145300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35206599:35210064:1 gene:Manes.12G145300.v8.1 transcript:Manes.12G145300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIMMLQKLDHPNVIKLEGLATSRMQYSLYLVFDYMQSDLTRIISRPGQRLTEPQVKSYMHQLLSGLQHCHERGILHRDIKASNLLIDKNGRLKIADFGLANLFIPKPKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFIGRPIMPGRTEVEQLHRIFKLCGSPPEDYWKIMRLATSFRPPQHYKPSFQEAFRDLPDSSFGLLTTLLALNPAYRGTAASALHSLFFTSSPLACELSDLPVIYREEDEPAQANNRKKHKKSKKQSSRAFHEGHGRRRSLSAEQLKEDIESSKEAERYSEANMSGQETGNSGSSSYSSSVKGNREVQKLRASFSPIRLSRQNMAMRTEAHPNAVRNIQNYTLLQASITDIINHNPAGGGNGMPAYRRSISTLDFRTLDPDKINKLFGLDKD >Manes.12G145300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35206599:35210064:1 gene:Manes.12G145300.v8.1 transcript:Manes.12G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLCKDSTSPPLNQRLEKLKQERGYVVGRHNNGQADPAGKLFRQETDNKRVVILNGVGEKLVAGEGTKNGMVGNVSQRINLKKIGGDELVNGWPKWLVDNIPRDVLAALVPKSADSYDKLAKIGQGTYSNVYKARDRDTGKIVALKKVRFDTSESESIKFMAREIMMLQKLDHPNVIKLEGLATSRMQYSLYLVFDYMQSDLTRIISRPGQRLTEPQVKSYMHQLLSGLQHCHERGILHRDIKASNLLIDKNGRLKIADFGLANLFIPKPKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFIGRPIMPGRTEVEQLHRIFKLCGSPPEDYWKIMRLATSFRPPQHYKPSFQEAFRDLPDSSFGLLTTLLALNPAYRGTAASALHSLFFTSSPLACELSDLPVIYREEDEPAQANNRKKHKKSKKQSSRAFHEGHGRRRSLSAEQLKEDIESSKEAERYSEANMSGQETGNSGSSSYSSSVKGNREVQKLRASFSPIRLSRQNMAMRTEAHPNAVRNIQNYTLLQASITDIINHNPAGGGNGMPAYRRSISTLDFRTLDPDKINKLFGLDKD >Manes.04G079800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28176563:28176856:1 gene:Manes.04G079800.v8.1 transcript:Manes.04G079800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLPAILRAKQILQRSSSANQTASMDVPKGYLAVYVGEKQRKRFVIPVSYLNKPSFQDLLIQAEEEFGYDHPMGGLTIPCCENMFIDVISCLNCS >Manes.15G131800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10564429:10573101:1 gene:Manes.15G131800.v8.1 transcript:Manes.15G131800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFKVSRVETQPIDGQKPGTSGLRKKVKVFIQPHYLQNFVQSTFNALTAEKVRGATLVVSGDGRYYSKDAIQIIIKMAAANGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPQEDFGIKYNMENGGPAPEGITDKIYENTKTIKEYLTADLPDVDITTIGVTNFGGPEGQFDVEVFDSASDYVKLMKSIFDFESIRKLLSSPKFTFCYDALHGVAGAYAKRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLSKSSSQVEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVEAIPYFSSGLKGVARSMPTSAALDVVAKHLKLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLNGGKLVTVEDIVRNHWATYGRHYYTRYDYENVDASSAKELMAHLVKLQSSLGEVNEIVKGIRSDVSKVVNADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >Manes.15G131800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10564469:10573101:1 gene:Manes.15G131800.v8.1 transcript:Manes.15G131800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFKVSRVETQPIDGQKPGTSGLRKKVKVFIQPHYLQNFVQSTFNALTAEKVRGATLVVSGDGRYYSKDAIQIIIKMAAANGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPQEDFGIKYNMENGGPAPEGITDKIYENTKTIKEYLTADLPDVDITTIGVTNFGGPEGQFDVEVFDSASDYVKLMKSIFDFESIRKLLSSPKFTFCYDALHGVAGAYAKRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLSKSSSQVEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVEAIPYFSSGLKGVARSMPTSAALDVVAKHLKLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLNGGKLVTVEDIVRNHWATYGRHYYTRYDYENVDASSAKELMAHLVKLQSSLGEVNEIVKGIRSDVSKVVNADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >Manes.15G131800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10564469:10573101:1 gene:Manes.15G131800.v8.1 transcript:Manes.15G131800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFKVSRVETQPIDGQKPGTSGLRKKVKVFIQPHYLQNFVQSTFNALTAEKVRGATLVVSGDGRYYSKDAIQIIIKMAAANGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPQEDFGIKYNMENGGPAPEGITDKIYENTKTIKEYLTADLPDVDITTIGVTNFGGPEGQFDVEVFDSASDYVKLMKSIFDFESIRKLLSSPKFTFCYDALHGVAGAYAKRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLSKSSSQVEPPEFGAAADGDADRNMVLGKRFFVTPSDSVAIIAANAVEAIPYFSSGLKGVARSMPTSAALDVVAKHLKLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLNGGKLVTVEDIVRNHWATYGRHYYTRYDYENVDASSAKELMAHLVKLQSSLGEVNEIVKGIRSDVSKVVNADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >Manes.14G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7335859:7337585:1 gene:Manes.14G088600.v8.1 transcript:Manes.14G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNSYLYILLSFPPTLVLKIMALLKTRSPKLSISRSVARIRISSPSLCGKPTSNSVDNDQTIEFLGIGMEEFVGEDGHRNGNKVMVLVDFSPEAKGALEWALSHTVQSQDTIVLLYVGKPSNFSKGPECKLKGNLRAYELLHSMKNVCQRKRPGVQVEVAISEGKEKGPMVVEEAKQQRVSLLVLGQRKRSIIWRLKKLWRGQGKGGGAVEYCIQKSSCMTIAVRRKGKKLGGYLITTKRHKNFWLLA >Manes.13G026300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3493083:3495461:1 gene:Manes.13G026300.v8.1 transcript:Manes.13G026300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRADNIIDELLVLGVLQKSSDRNGQQISSTSQEFCLVEADEQDFVAKAANLPAHAIIEDDGKNIPPSFKSLQIQSLFVITAQRRGLVNQGLSRVYVETLCGLQSLSLLDLDGVVEYLPDEVGDLVHLRYLGLANSKLQELPKTLANLQKLQTLDIRFCNLSALPMEILNFQQLRHLLMENELLSRAVTIPNGIGKLVNLHTCTGVFAGCGIFNEFSSLTQLQKFGVTCVSEDHASEVFAAIMKMKNLTSLSLRSDTEACEGTFFPDMEQFSPPPLLQELHLDGRLFEMPQWLASMENLTTLFLSSSCLYENPTSVLQFLPKLQILTLWEAYQKKLICKEFCNAGGFPALQSLTIAAKYLVAWTEIANGAFPSLRCLSFNDCPNLMFLPEGLQNIKTLESLYLRKVHEDLVRRLMSVENYKVKHISDLKFK >Manes.13G026300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3493092:3495469:1 gene:Manes.13G026300.v8.1 transcript:Manes.13G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFCFTNKSGNRIWSFFAKSPSSKSPEVAIIPSVNGVAKSLSTAKITNGRGEIAYFSASKFNCDKFPDHLKSCFEYCCIVSRRNSVEKGRLVRLLLAEGLIPEKTGEIMEDRADNIIDELLVLGVLQKSSDRNGQQISSTSQEFCLVEADEQDFVAKAANLPAHAIIEDDGKNIPPSFKSLQIQSLFVITAQRRGLVNQGLSRVYVETLCGLQSLSLLDLDGVVEYLPDEVGDLVHLRYLGLANSKLQELPKTLANLQKLQTLDIRFCNLSALPMEILNFQQLRHLLMENELLSRAVTIPNGIGKLVNLHTCTGVFAGCGIFNEFSSLTQLQKFGVTCVSEDHASEVFAAIMKMKNLTSLSLRSDTEACEGTFFPDMEQFSPPPLLQELHLDGRLFEMPQWLASMENLTTLFLSSSCLYENPTSVLQFLPKLQILTLWEAYQKKLICKEFCNAGGFPALQSLTIAAKYLVAWTEIANGAFPSLRCLSFNDCPNLMFLPEGLQNIKTLESLYLRKVHEDLVRRLMSVENYKVKHISDLKFK >Manes.03G200250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31664400:31665729:-1 gene:Manes.03G200250.v8.1 transcript:Manes.03G200250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHAKANSHRLINHHAQSLPLLPFSSSSFDGDSFKGKQNSTTKIKKALHGINGIYDLYIDFPQQKLTVIGWADPEKIVKAIRKTRKIVTICSHRQPSEPPQQPPEGGATPPTTEEIKRTPAEAPQAEAAEPPKDPPPPENPTTAEKPSSSPDTTDVNASQPTLAPEPKDVGEVHVICHHPPDYRYGYSFGHNYGDPWNRHPNCHGLPLEPTHPNGHELPTEPPQPVYVTHSYNIYRPSPYVTEYEYIHSPPCNIISSRMDNYRKDYHENTRNGNITSIFSDENPNVCGIV >Manes.11G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5119570:5124506:1 gene:Manes.11G047800.v8.1 transcript:Manes.11G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAMGSSHLLQSYFPFSSCSSSSFPSHSHSVIFHVKNCDGKSKRKKRAAIVACTRQEDPDSIIFSKKRAVLFVGIWVLPFLQLRARALEGSITKENELSTFEDNQKEQLAIQRHTPPNPFLSVLNGLGIFGTGVLGALLALTKKEKKATETELESMTAKLKEKEAAIVSLEKNFESKLLNEQEEWTKQLRKAKDEQLVLVNQLKSANSTIAGLGQELKNEKRIVDELKVQIDGLERILSKAEEDKKALEQELKEKLHSIEGLQDKINLLSLELKDKEENVHHLNCSLAGKELELKNLNSIYKETKDELAKVHGEIKTLKDELQKNRKELGLKNSVVEELNLKVSSLIFERDESNKKLDAVQEQYSDLKLSSEKKAALDAKLLEEREDELHQVNEKLKLSLNEASRSQAMITDLTREKEDLKNMLDTELNNVVNLKHELQSTQEVLGKLRNEASDLGEELKQSRNRCTELEAEASRVQVEFAEATETMQKSLEKANQSGEVLAEEITAAKEQLRNTEEELKLVSRELAAVTENRDSLQKELVDVNEKAEVTANELTEERELVSSLNKEVQSLENQIMKDNGVRKSLETDLEEATKSLDEMNRNALILSGELEIANSRISSLEDEKQVLYKSLTEQKNASKEAQENMEDAHNMVLTLGKERENLERKANKLEEALALAKGEILRLRSTIHSSKTPAKEEQPQKDEGEDKITVTAKRTGRRRRSTSQ >Manes.14G079800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6632055:6633526:-1 gene:Manes.14G079800.v8.1 transcript:Manes.14G079800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTTQASAAVFRPCASRSRFLTGSSGKLNREVSFKPIASSSSASFKVEAKKGEWLPGLPSPAYLNGSLPGDNGFDPLGLAEDPENLKWFIQAELVNGRWAMLGVAGMLLPEVFTKIGIINVPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPNEVGYPGGIFNPLNFAPTLEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFDNLLQHLSDPWHNTIVQTLSGN >Manes.17G112150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31871874:31873990:-1 gene:Manes.17G112150.v8.1 transcript:Manes.17G112150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRQKPKLFHSDVKSNIRYGCHRHIKQEDIEYAAKLAYAHEFILSLPDGYGTLVDDDLLSGGQKQRIAIARAILRNPVILIFDEATSALDPESEQYFKGLLQAFKNEDKETRTVIVIANRLSTIKAADRIIVMNGGRIVEVMLPYYSAHWAL >Manes.17G112150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31871666:31873443:-1 gene:Manes.17G112150.v8.1 transcript:Manes.17G112150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRQKPKLFHSDVKSNIRYGCHRHIKQEDIEYAAKLAYAHEFILSLPDGYGTLVDDDLLSGGQKQRIAIARAILRNPVILIFDEATSALDPESEQYFKGLLQAFKNEDKETRTVIVIANRLSTIKAADRIIVMNGGRIVEVMLPYYSAHWAL >Manes.17G112150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31871874:31873991:-1 gene:Manes.17G112150.v8.1 transcript:Manes.17G112150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSSIFGQKPKLFHSDVKSNIRYGCHRHIKQEDIEYAAKLAYAHEFILSLPDGYGTLVDDDLLSGGQKQRIAIARAILRNPVILIFDEATSALDPESEQYFKGLLQAFKNEDKETRTVIVIANRLSTIKAADRIIVMNGGRIVEVMLPYYSAHWAL >Manes.17G112150.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31871874:31873423:-1 gene:Manes.17G112150.v8.1 transcript:Manes.17G112150.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRQKPKLFHSDVKSNIRYGCHRHIKQEDIEYAAKLAYAHEFILSLPDGYGTLVDDDLLSGGQKQRIAIARAILRNPVILIFDEATSALDPESEQYFKGLLQAFKNEDKETRTVIVIANRLSTIKAADRIIVMNGGRIVEVMLPYYSAHWAL >Manes.07G034350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3757474:3758199:-1 gene:Manes.07G034350.v8.1 transcript:Manes.07G034350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVWNSQGIASKQSQRFLHNLCSQYKPLLLVVKKKVNGHHANLILANMHYSDWVCIESASMSGGIWLFWNCSNTSVSVLKMHSQFLYVIVDDQLHLSWFFTAIYGSPRKQLRLSLYTTLHELASCIFGPWLLSGDFNDYVDPSETSSSGIHIVARCTHLRQWMSNLRLLDLLVSGPKFTWHRDCISGFFKAARLDCSIYTIVWYHLLSFCYNFSSGKALFRLLSLINTTLHDFSSWYDP >Manes.13G078039.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12408003:12413336:-1 gene:Manes.13G078039.v8.1 transcript:Manes.13G078039.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVQNDPLLQVETTCGSLLFELQVIWDEVGESDTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAAICSAMGERPVHIRQADQNAGSLKEELQRILPQLDEMRKRKLERRNQFHEVLEEIQKISNEIYGSAAHLFIDEADLSLRKLEELHRQLHALQTEKSDRLKQVQEHLDTLNSLCLVLGMDFQQTVSEIHPSFGDTGGSRNINTDAIHHLSTTILKLRDIKIQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTSNIAASENEITEPNTLSVDFINYVETEVSRLEELKSSKMKDLVLKKRSELEEICRKTHMIPEADTAMEYAIEAIGSGDVDPASVLEQIELQIAKVKEEAFSRKEILEKIDKWLAACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPGMVEALASKTIAWEKERGIEFLYDGIRLLSMLEEYTILRQEKEEEKRRQRDQKKLQGQLIAEQEALYGSKPSPSKTQNVKKAPRVSTGGASNRRLSLGAAMLQTPKPDLTHSNKATPHARPGKKVDRIHQYDPSNHRHDDGFAVLSAGSSKANILEDMITAKTETTKKTLATNEQQLSFTATTPLKTYIPDEENRTPKVMPIPVPTTPATLSIPMQTAMTPAPPPPVPCGAAPAEEVPDEIEYSFEERRAGFVLPRTHIKSIKV >Manes.13G078039.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12408003:12413336:-1 gene:Manes.13G078039.v8.1 transcript:Manes.13G078039.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVQNDPLLQVETTCGSLLFELQVIWDEVGESDTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAAICSAMGERPVHIRQADQNAGSLKEELQRILPQLDEMRKRKLERRNQFHEVLEEIQKISNEIYGSAAHLFIDEADLSLRKLEELHRQLHALQTEKSDRLKQVQEHLDTLNSLCLVLGMDFQQTVSEIHPSFGDTGGSRNINTDAIHHLSTTILKLRDIKIQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTSNIAASENEITEPNTLSVDFINYVETEVSRLEELKSSKMKDLVLKKRSELEEICRKTHMIPEADTAMEYAIEAIGSGDVDPASVLEQIELQIAKVKEEAFSRKEILEKIDKWLAACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPGMVEALASKTIAWEKERGIEFLYDGIRLLSMLEEYTILRQEKEEEKRRQRDQKKLQGQLIAEQEALYGSKPSPSKTQNVKKAPRVSTGGASNRRLSLGAAMLQTPKPDLTHSNKATPHARPGKKVDRIHQYDPSNHRHDDGFAVLSAGRRGSDIAGLPAKKHSFSSVNGHETDSPMLRKPFSPISSTGSSKANILEDMITAKTETTKKTLATNEQQLSFTATTPLKTYIPDEENRTPKVMPIPVPTTPATLSIPMQTAMTPAPPPPVPCGAAPAEEVPDEIEYSFEERRAGFVLPRTHIKSIKV >Manes.13G078039.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12407854:12414238:-1 gene:Manes.13G078039.v8.1 transcript:Manes.13G078039.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVQNDPLLQVETTCGSLLFELQVIWDEVGESDTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAAICSAMGERPVHIRQADQNAGSLKEELQRILPQLDEMRKRKLERRNQFHEVLEEIQKISNEIYGSAAHLFIDEADLSLRKLEELHRQLHALQTEKSDRLKQVQEHLDTLNSLCLVLGMDFQQTVSEIHPSFGDTGGSRNINTDAIHHLSTTILKLRDIKIQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTSNIAASENEITEPNTLSVDFINYVETEVSRLEELKSSKMKDLVLKKRSELEEICRKTHMIPEADTAMEYAIEAIGSGDVDPASVLEQIELQIAKVKEEAFSRKEILEKIDKWLAACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPGMVEALASKTIAWEKERGIEFLYDGIRLLSMLEEYTILRQEKEEEKRRQRDQKKLQGQLIAEQEALYGSKPSPSKTQNVKKAPRVSTGGASNRRLSLGAAMLQTPKPDLTHSNKATPHARPGKKVDRIHQYDPSNHRHDDGFAVLSAGRRGSDIAGLPAKKHSFSSVNGHETDSPMLRKPFSPISSTGSSKANILEDMITAKTETTKKTLATNEQQLSFTATTPLKTYIPDEENRTPKVMPIPVPTTPATLSIPMQTAMTPAPPPPVPCGAAPAEEVPDEIEYSFEERRAGFVLPRTHIKSIKV >Manes.13G078039.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12407854:12414238:-1 gene:Manes.13G078039.v8.1 transcript:Manes.13G078039.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVQNDPLLQVETTCGSLLFELQVIWDEVGESDTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAAICSAMGERPVHIRQADQNAGSLKEELQRILPQLDEMRKRKLERRNQFHEVLEEIQKISNEIYGSAAHLFIDEADLSLRKLEELHRQLHALQTEKSDRLKQVQEHLDTLNSLCLVLGMDFQQTVSEIHPSFGDTGGSRNINTDAIHHLSTTILKLRDIKIQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTSNIAASENEITEPNTLSVDFINYVETEVSRLEELKSSKMKDLVLKKRSELEEICRKTHMIPEADTAMEYAIEAIGSGDVDPASVLEQIELQIAKVKEEAFSRKEILEKIDKWLAACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPGMVEALASKTIAWEKERGIEFLYDGIRLLSMLEEYTILRQEKEEEKRRQRDQKKLQGQLIAEQEALYGSKPSPSKTQNVKKAPRVSTGGASNRRLSLGAAMLQTPKPDLTHSNKATPHARPGKKVDRIHQYDPSNHRHDDGFAVLSAGSSKANILEDMITAKTETTKKTLATNEQQLSFTATTPLKTYIPDEENRTPKVMPIPVPTTPATLSIPMQTAMTPAPPPPVPCGAAPAEEVPDEIEYSFEERRAGFVLPRTHIKSIKV >Manes.13G078039.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12408003:12414159:-1 gene:Manes.13G078039.v8.1 transcript:Manes.13G078039.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAAICSAMGERPVHIRQADQNAGSLKEELQRILPQLDEMRKRKLERRNQFHEVLEEIQKISNEIYGSAAHLFIDEADLSLRKLEELHRQLHALQTEKSDRLKQVQEHLDTLNSLCLVLGMDFQQTVSEIHPSFGDTGGSRNINTDAIHHLSTTILKLRDIKIQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTSNIAASENEITEPNTLSVDFINYVETEVSRLEELKSSKMKDLVLKKRSELEEICRKTHMIPEADTAMEYAIEAIGSGDVDPASVLEQIELQIAKVKEEAFSRKEILEKIDKWLAACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPGMVEALASKTIAWEKERGIEFLYDGIRLLSMLEEYTILRQEKEEEKRRQRDQKKLQGQLIAEQEALYGSKPSPSKTQNVKKAPRVSTGGASNRRLSLGAAMLQTPKPDLTHSNKATPHARPGKKVDRIHQYDPSNHRHDDGFAVLSAGSSKANILEDMITAKTETTKKTLATNEQQLSFTATTPLKTYIPDEENRTPKVMPIPVPTTPATLSIPMQTAMTPAPPPPVPCGAAPAEEVPDEIEYSFEERRAGFVLPRTHIKSIKV >Manes.13G078039.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12408003:12414159:-1 gene:Manes.13G078039.v8.1 transcript:Manes.13G078039.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAAICSAMGERPVHIRQADQNAGSLKEELQRILPQLDEMRKRKLERRNQFHEVLEEIQKISNEIYGSAAHLFIDEADLSLRKLEELHRQLHALQTEKSDRLKQVQEHLDTLNSLCLVLGMDFQQTVSEIHPSFGDTGGSRNINTDAIHHLSTTILKLRDIKIQRMQKLQDLATTMLELWNLMDTPIEEQQMFQNVTSNIAASENEITEPNTLSVDFINYVETEVSRLEELKSSKMKDLVLKKRSELEEICRKTHMIPEADTAMEYAIEAIGSGDVDPASVLEQIELQIAKVKEEAFSRKEILEKIDKWLAACDEECWLEEYNRDENRYNAGRGAHLTLKRAEKARALVNKLPGMVEALASKTIAWEKERGIEFLYDGIRLLSMLEEYTILRQEKEEEKRRQRDQKKLQGQLIAEQEALYGSKPSPSKTQNVKKAPRVSTGGASNRRLSLGAAMLQTPKPDLTHSNKATPHARPGKKVDRIHQYDPSNHRHDDGFAVLSAGRRGSDIAGLPAKKHSFSSVNGHETDSPMLRKPFSPISSTGSSKANILEDMITAKTETTKKTLATNEQQLSFTATTPLKTYIPDEENRTPKVMPIPVPTTPATLSIPMQTAMTPAPPPPVPCGAAPAEEVPDEIEYSFEERRAGFVLPRTHIKSIKV >Manes.11G122841.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28419114:28420158:-1 gene:Manes.11G122841.v8.1 transcript:Manes.11G122841.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFDKIGWSVETEFDQSILLWHIATDLCFYVDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTYAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLTRS >Manes.04G091900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29728595:29736047:1 gene:Manes.04G091900.v8.1 transcript:Manes.04G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWVVSLPVQNSASTLWNRLQEQISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLLKSNSFIEGVSHKIRRQIEELERVSGVESSALTVDGVPVDSYLTRFIWDEAKYPTMSPLKEIVDSIHTQVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNLVKPEDIISSEHLVTLLAVVPKYSQKDWLASYETLTSYVVPRSSKKLHEDNEYALYTVTLFRRVADNFRTSARDKGFQIRDFEYSPEAQESRKQELEKLVQDQESFRSSLLQWSYTSYGEVFSSWMHFCAVRIFSESILRYGLPPSFLACVLAPSVKGEKKVRSILEGLCDSANSSFWKSEDEVGGGMAALGGDADAHPYVSFTINLV >Manes.17G020200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:11122452:11122760:1 gene:Manes.17G020200.v8.1 transcript:Manes.17G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKGTIDKRYMILNLKHLPFFLNSFTASIMSSFDSLNVALQQHVHELEKQIEDERQVTSNHLEKLPLDLDSKIDRVRSSMMEKVTGLLFRGNLTLFPAPQN >Manes.18G144816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21339467:21340182:1 gene:Manes.18G144816.v8.1 transcript:Manes.18G144816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKRLYVFDQNIPDVPDENANDNVKDKYDRHIDEDVQATCVMLASMTPELQKKHENMNTRTIIFHLKELFLKGGYRGFVMDHELSVNLVLQPLPPSFAQFIINFNMHKLDIELPELVSMLVITEKSLKKEKVSILLVQSFKAKKNPKKKANIALKPTGGVKKDKGICHHCLKEGH >Manes.05G205400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33203302:33210937:-1 gene:Manes.05G205400.v8.1 transcript:Manes.05G205400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGGGGGGRYMAYSPSPSAPHSPHLSGLRSASSALVEQEKYLSELLAERHKLSPFMPVLPHTYRLLNQEILRVTTLLGNASVLGQSGLEHASPLASGGIFSNGGADSNGWASRFQSEMSGLLQPSSAQNWLSSQGSSSVLVVKRAMRVDIPIDKYPNYNFVGRLLGPRGNSLKRVEASTKCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKTRG >Manes.06G053400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16283398:16284590:1 gene:Manes.06G053400.v8.1 transcript:Manes.06G053400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKPLRPLATGAAIFLGTVAALNITSSIAIGTFRYATELKLKKDALPCGVCRGKGYYICKLCKGNATIKWSPLHDPIAMNPCLCPTCDGNRVQRCLNCLGKGYN >Manes.06G053400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16283338:16285800:1 gene:Manes.06G053400.v8.1 transcript:Manes.06G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKPLRPLATGAAIFLGTVAALNITSSIAIGTFRYATELKLKKDALPCGVCRGKGYYICKLCKGNATIKWSPLHDPIAMNPCLCPTCDGNRVQRCLNCLGKGYN >Manes.02G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5743201:5745198:1 gene:Manes.02G075500.v8.1 transcript:Manes.02G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAQLSKLERQIGIKSSPDKFYGFFRNNMPRFPQMFQSNIKSFEIVGGGELKSGSVTRWKYCLDGSPLMGAKVKLEAIDDARKTMIFDVVEGDVLKMYKSFKAKVEIGADYVKWSLEYEKANNNIPDPDVYLDMAAKLSKGIDAHLSK >Manes.04G016500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2111231:2113654:1 gene:Manes.04G016500.v8.1 transcript:Manes.04G016500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFFFGCALGGDMKLLKKGFGWSIGMSIEAALRDPFLQLGVLAHIKPIFFIMRKSKKGLMLNAWLSDFSCCVVFRLVSRIGNNQLRVLKEILVYLSTKIVGRKGHEGEKMNNQVHSSKDVTPYANSSFLNTWKGLTFSNEYKKKKSKRHKAYGDDVELSATETEAISLEGNIDQSNREIGKNRKKKKKRKSLQINVESDVVSHKVRKRDKVGDTIEEVSKSKEDLEEFCIGVGEMMSLKKDDNMDLESNFESGVLDYKKKDKEKKSKDKNGNGRDHLDENILDHVESLWKERKQKKYQLKGDLGTKLPKVMAGMHGNESNAIEEDGGNIDSSEDVNLGAHARNDQRKDEKERKKRKRERHASDAGVIINEIDKKNVDNNNELDGNILENVEHSRKKRKKKHNVDLAVALKEHMDVKLCNESNLMGEHEGNECSIKIKVGRGKIEDCTVKKVKKKKSKSIENNSEGKGSERAQRVGKGVKHTNPFENFIPQGTCKRVRFSEEVEVFPLFNGPSTDNVQEEELVRGKRFSLEEDEMVKEAVLNYIDAHGLGEEGLTMVLNCKKYPELRNCWKEIGAALPWRPSVSVYYRAHVLFERDEKHSWTLEEYEFVHKFHEKHGSNWKTLAEALGKHRVHVKDTWRRIKVANRRRGKWSQEEYQTLFDLVNMDLRMKACEESKSSKHGMLRDNISWTAISEKLGTRATPMCCMKWYDQLTPPMVAEGKWLDVDDYRLVIALYVLDACCMEDVDWDNLLEHRSGDLCRKRWNQMVKHIGEYGNKSFADQVEILIERYCPDVLEAREAYNSKPIVP >Manes.16G048683.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:10390056:10395076:1 gene:Manes.16G048683.v8.1 transcript:Manes.16G048683.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGYGYRGRRTGGRGQRGRGRGGSGGFGKEQQWIPSTQGGGGAQGHMGSNQSASSGGSAWHPSGGSSRGGGERGGSTSTRGREHMIPAPPPSAHRSSDVPESGPAVSGKGPSTRPVNISQHLASSSSPQDASNRFVPVKRPDKGGTLAVRTASLHVNHFPVRFNSDSIIRHYDIDVKPDVSPKNGRSAKISKSDLAMIRSKLFTDDPSQFPLSMTAYDGEKNIFSAVPLPTGKIKVEFSEGEDMKDRAYIINIKLVNELKLCKLKDYLSGKLFSIPRDILQGMDVVMKENPARHMVSVGRSFHSVEANEEDDLGFGITASRGFQHSLKPTFQGLAMCLDYSVLAFRKRLPVINFLMEHIPGFNLNDFGSFRKDVENALKGLKVTVTHRVTKQKYTIAGLTKDNALYLSFLSEDPNGKTPPKKVHLVEYFRQKYQDIKFKDIPCLDLGTNNRKNYVPMEFCVLVEGQIYPKEHLDRNAALFLKKMSLATPRDRQRIICDMVSAGDGPCGGNIIRNFGMEVDMNMTSVVGRVIGPPELKLSAPNGRVIRIAVDKEKCHWNLLGKGVVEGKPADRWAVLDFSSSERGRYKLRSEQFIPKLRARCENLRISMKEPLFYHPATMHAFSNIDLLHHLLEVANDRAYKICGGRLQFILCVMSRKDSGYKYLKWISETRVGVVTQCCLSDLANNGNDQYLANLALKINAKLGGSNVELIDRLPLFEGEGHVMFVGADVNHPGSRNKTSPSIAAVVATVNWPAANRYAARVRPQEHRKEKIINFGDMCVELVETYVRLNRVKPGNIVIFRDGVSEGQFDMVLNEELIDLKRAFRSINYAPTVTLIVAQKRHQTRLFPAGRSGGNSNGNVSPGTVVDSKIVHPFEYDFYLCSHYGSLGTSKPTHYHVLWDEHGFSSDQLQKLIYNMCYTFARCTKPVSLVPPVYYADLVAYRGRLYYEAVMDGQSPASASSSTSSAATSSLSSAASLDDRFYRLHAELENMMFFV >Manes.16G048683.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:10390056:10395076:1 gene:Manes.16G048683.v8.1 transcript:Manes.16G048683.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGYGYRGRRTGGRGQRGRGRGGSGGFGKEQQWIPSTQGGGGAQGHMGSNQSASSGGSAWHPSGGSSRGGGERGGSTSTRGREHMIPAPPPSAHRSSDVPESGPAVSGPSTRPVNISQHLASSSSPQDASNRFVPVKRPDKGGTLAVRTASLHVNHFPVRFNSDSIIRHYDIDVKPDVSPKNGRSAKISKSDLAMIRSKLFTDDPSQFPLSMTAYDGEKNIFSAVPLPTGKIKVEFSEGEDMKDRAYIINIKLVNELKLCKLKDYLSGKLFSIPRDILQGMDVVMKENPARHMVSVGRSFHSVEANEEDDLGFGITASRGFQHSLKPTFQGLAMCLDYSVLAFRKRLPVINFLMEHIPGFNLNDFGSFRKDVENALKGLKVTVTHRVTKQKYTIAGLTKDNALYLSFLSEDPNGKTPPKKVHLVEYFRQKYQDIKFKDIPCLDLGTNNRKNYVPMEFCVLVEGQIYPKEHLDRNAALFLKKMSLATPRDRQRIICDMVSAGDGPCGGNIIRNFGMEVDMNMTSVVGRVIGPPELKLSAPNGRVIRIAVDKEKCHWNLLGKGVVEGKPADRWAVLDFSSSERGRYKLRSEQFIPKLRARCENLRISMKEPLFYHPATMHAFSNIDLLHHLLEVANDRAYKICGGRLQFILCVMSRKDSGYKYLKWISETRVGVVTQCCLSDLANNGNDQYLANLALKINAKLGGSNVELIDRLPLFEGEGHVMFVGADVNHPGSRNKTSPSIAAVVATVNWPAANRYAARVRPQEHRKEKIINFGDMCVELVETYVRLNRVKPGNIVIFRDGVSEGQFDMVLNEELIDLKRAFRSINYAPTVTLIVAQKRHQTRLFPAGRSGGNSNGNVSPGTVVDSKIVHPFEYDFYLCSHYGSLGTSKPTHYHVLWDEHGFSSDQLQKLIYNMCYTFARCTKPVSLVPPVYYADLVAYRGRLYYEAVMDGQSPASASSSTSSAATSSLSSAASLDDRFYRLHAELENMMFFV >Manes.07G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32462923:32466271:1 gene:Manes.07G119900.v8.1 transcript:Manes.07G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPDLLLLLFTAALLLLTYAMPALSATRCPNCGSTPVPYPFSTGSNCGDQSYKIRCDAGTLMFDTLNNSYPITSINPSIQRLVIRPASLLPNTCVTSDFVNQGIQMNSSLPFNITGDNTIMYLNCTESLLRSPLNCSANSLCQTYVNDARSVSPCQDASICCTFKAGGGTTSYMIRVREAGCMAYTSFVNLDPSLPVGRWPSPGVAIQWVLPQEPLCVAQASCDRNSTCGPDPLSTGVKRCFCNGGLRWDPIQGICVENVTCQDPDGCGGSSKTALIAGLTSGLGAAILIGTIAILLYRRHRRITEARERLAKEREEILNAGGTRAAKLFTGREIKKATNSFSKDRLLGSGGYGEVYKGVLNDGTLVAIKCAKLGNTKGTDQVLNEVRILCQVNHRSLVGLLGCCVELEQPILVYEYIQNGTLLDHLQGGGRGQLSWIQLLGIAHDTAEGLSYLHFSAVPPIYHRDVKSSNILLDEKLNAKVSDFGLSRLAHSDLSHISTCAQGTLGYLDPEYYRKYQLTDKSDVYSFGVVLLELLTSMKAIDFSRGEDDVNLAVYAQRMVEEEKLMDIVDPLLKEKATTVELETMKALGFLALGCLEEKRQNRPSMKEVAEEIEYIMSIAMAKNVES >Manes.01G015000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3957552:3957878:-1 gene:Manes.01G015000.v8.1 transcript:Manes.01G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRCSSSSSSSLSKDQDSDSYYKVVEFTCSACLFCVCCPLCIACCCIKLPCKICWKAAKFACRNYRICCGSKKKVYASYSSFSDIDSHSLPKLKAHELQCRRSSPS >Manes.01G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4408047:4410740:-1 gene:Manes.01G018900.v8.1 transcript:Manes.01G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGRFPLGIQNCTSLTGLNLSNNQLQGPIPLNISKIVPFVTSLDLSSNNFSGEIPSNIANCSYLNFLKLDHNRLTGHIPEKIGWLPKIKSFSVSDNLLSGPVPNFVNVTVLADSYVNNVGLCGGPLEIRCRVHRPRKFDYSFKSGFAIGYVVFGVSVAAVHMSYCVPWFSVGKRNKMITVAAMVMLIIRRRKNKKVDADQLSGGSTVDFLLQTQISSSEKYVTRMNFRDLSTATENFSQQNIIGVGQMGTMYKAALPNGWSIAVKRLFNPQHSDLEEQFTSELNTLGRLRHQNLLTLLGFCIEPKEKLLVYKYISNGSLFDWLHSTDDKKRILEWPLRVKIAVGVARGLAWLHHGCCNFWVAHLNISSKIILLDQNFEPKLSNFGKAMHINPQEINSSRSFSMDIEFLEQCFLKEDVFNFGILLLELITGKNATSLKNSDDGSLDKWISDVSSSSFCMYDAIDKLVIGQGHDGEIFEFFRVAYKCVVGLPEKRPNMLDVYNAARIIGERYYVADNSEISEQAEIKC >Manes.01G018900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4408048:4410740:-1 gene:Manes.01G018900.v8.1 transcript:Manes.01G018900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSILFWSLLGSFTAAVATETDISCLKSIKASLEDPFGYLNSSWDFSNNTEAYICRFAGVDCWHPDESRILNLRLSDMGLRGRFPLGIQNCTSLTGLNLSNNQLQGPIPLNISKIVPFVTSLDLSSNNFSGEIPSNIANCSYLNFLKLDHNRLTGHIPEKIGWLPKIKSFSVSDNLLSGPVPNFVNVTVLADSYVNNVGLCGGPLEIRCRVHRPRKFDYSFKSGFAIGYVVFGVSVAAVHMSYCVPWFSVGKRNKMITVAAMVMLIIRRRKNKKVDADQLSGGSTVDFLLQTQISSSEKYVTRMNFRDLSTATENFSQQNIIGVGQMGTMYKAALPNGWSIAVKRLFNPQHSDLEEQFTSELNTLGRLRHQNLLTLLGFCIEPKEKLLVYKYISNGSLFDWLHSTDDKKRILEWPLRVKIAVGVARGLAWLHHGCCNFWVAHLNISSKIILLDQNFEPKLSNFGKAMHINPQEINSSRSFSMDIEFLEQCFLKEDVFNFGILLLELITGKNATSLKNSDDGSLDKWISDVSSSSFCMYDAIDKLVIGQGHDGEIFEFFRVAYKCVVGLPEKRPNMLDVYNAARIIGERYYVADNSEISEQAEIKC >Manes.01G018900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4408047:4410740:-1 gene:Manes.01G018900.v8.1 transcript:Manes.01G018900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLSILFWSLLGSFTAAVATETDISCLKSIKASLEDPFGYLNSSWDFSNNTEAYICRFAGVDCWHPDESRILNLRLSDMGLRGRFPLGIQNCTSLTGLNLSNNQLQGPIPLNISKIVPFVTSLDLSSNNFSGEIPSNIANCSYLNFLKLDHNRLTGHIPEKIGWLPKIKSFSVSDNLLSGPVPNFVNVTVLADSYVNNVGLCGGPLEIRCRVHRPRKFDYSFKSGFAIGYVVFGVSVAAVHMSYCVPWFSVGKRNKMITVAAMVMLIIRRRKNKKVDADQLSGGSTVDFLLQTQISSSEKYVTRMNFRDLSTATENFSQQNIIGVGQMGTMYKAALPNGWSIAVKRLFNPQHSDLEEQFTSELNTLGRLRHQNLLTLLGFCIEPKEKLLVYKYISNGSLFDWLHSTDDKKRILEWPLRVKIAVGVARGLAWLHHGCCNFWVAHLNISSKIILLDQNFEPKLSNFGKAMHINPQEINSSRSFSMDIEFLEQCFLKEDVFNFGILLLELITGKNATSLKNSDDGSLDKWISDVSSSSFCMYDAIDKLVIGQGHDGEIFEFFRVAYKCVVGLPEKRPNMLDVYNAARIIGERYYVADNSEISEQAEIKC >Manes.18G093500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8654901:8658779:1 gene:Manes.18G093500.v8.1 transcript:Manes.18G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLNRISASAAPFSVYKFQKLHFLSSSSTRISVKRLFCSNSKLEINRPQDDAVLDESAFEAQRLRLDAKAREAMAETSKREMEADTEDDPKAWKWVIRKRIWDFMEARNFAQNPRPVHHRIPNFVGASAAAKNLAGLDVFRNADCVKVNPDSPQKQVRFLTLSGGKKLLTPQPRLRTGFFSVLESHFLPPNAINEACTSVGVAKYGRPIGLDEKIKVDLIVIGSVAVDPNTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTSVHDCQLVDDIPVEKLLIHDVPVDIICTPTQVIFTNTTILKPQGIYWEKLSLEKLGQIRILRELKSRIERESGQKLPCGPSEKLPPTAQRRRNSRK >Manes.05G141000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24051431:24057216:1 gene:Manes.05G141000.v8.1 transcript:Manes.05G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKILKDEASEEKGERARMASFVGAMAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIIAGYRMAAECAHNALLQKVVDNKENEEKFKLDLMKIAMTTLSSKILSQDKEHFAKLAVDAVLRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSRVADIEAAEKQKMREKVDKIIAHGINCFVNRQLIYNFPEELFANAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVELGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKDVDELARTTPGKKSHAIEAFSRALVAIPTIIADNAGLDSAELIAQLRAEHQKEGCTAGIDVITGSVGDMAELGISEAFKVKQAILLSATEAAEMILRVDQIITCAPRRREDRM >Manes.04G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29979597:29981259:-1 gene:Manes.04G094200.v8.1 transcript:Manes.04G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEEIRKAQRAQGPATILAIGTATPSNCVNQADYPDYYFRITNSEHMTELKEKFKRMCDKSMIKKRYMHLDEEILKENPNMCAYWEPSLDARQDIVVVEVPKLGKEAATKAIKEWGQPKSKITHLIFCTTSGVDMPGCDYQLTKLLGLRPSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAGALIVGSDPDTSIERPLFQLVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFTPIGINDWNSIFWIAHPGGPAILDQVEAKLSLKPEKMRATRHVLSEYGNMSSACVLFILDEMRKKSLKEGKPTTGEGLEWGVLFGFGPGLTVETVVLHSVPTETTAY >Manes.05G149900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25326875:25331307:-1 gene:Manes.05G149900.v8.1 transcript:Manes.05G149900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDNYHFHSPLSVTPQSYIGLIFFYLCSYNLLLFLLHKSHSFSELNLTSLSMKQKKAKTGSVSLKIGDGTARFKRATVCSSTVNILMIFSVVATNLFALYAFTSLPKHYQNHIFHNTHKNISLLSEQVSAILSEIDSSQKQLAKMEKELLGYETIDISRPNIANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWNLGQKLILRGCEPLPRRRCLAKPIPKVGLSPFPISLWKPISEKILTWSGLGCKNFECLNKKKLSRECVGCFDLANGYENQKFVKAKSKNDFLIDDVLALASGGIRIGFDIGGGSGTFAATMAERNVTVITNTLNIDAPFSEFIASRGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGSKSEKLEFLMFDIDRILRAGGLLWLDNFYCIDEEKKRTLTRLIERFGYKKLKWIVGGKIDTAFSGKSEIYLSAVLQKPARV >Manes.05G149900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25327495:25331351:-1 gene:Manes.05G149900.v8.1 transcript:Manes.05G149900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDNYHFHSPLSVTPQSYIGLIFFYLCSYNLLLFLLHKSHSFSELNLTSLSMKQKKAKTGSVSLKIGDGTARFKRATVCSSTVNILMIFSVVATNLFALYAFTSLPKHYQNHIFHNTHKNISLLSEQVSAILSEIDSSQKQLAKMEKELLGYETIDISRPNIANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWNLGQKLILRGCEPLPRRRCLAKPIPKVGLSPFPISLWKPISEKILTWSGLGCKNFECLNKKKLSRECVGCFDLANGYENQKFVKAKSKNDFLIDDVLALASGGIRIGFDIGGGSGTFAATMAERNVTVITNTLNIDAPFSEFIASRGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGSKSEKLEFLMFDIDRILRAGGLLWLDNFYCIDEEKKRTLTRLIERFGYKKLKWIVGGKIDTAFSGKSEIYLSAVLQKPARV >Manes.05G149900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25326875:25331351:-1 gene:Manes.05G149900.v8.1 transcript:Manes.05G149900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDNYHFHSPLSVTPQSYIGLIFFYLCSYNLLLFLLHKSHSFSELNLTSLSMKQKKAKTGSVSLKIGDGTARFKRATVCSSTVNILMIFSVVATNLFALYAFTSLPKHYQNHIFHNTHKNISLLSEQVSAILSEIDSSQKQLAKMEKELLGYETIDISRPNIANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWNLGQKLILRGCEPLPRRRCLAKPIPKVGLSPFPISLWKPISEKILTWSGLGCKNFECLNKKKLSRECVGCFDLANGYENQKFVKAKSKNDFLIDDVLALASGGIRIGFDIGGGSGTFAATMAERNVTVITNTLNIDAPFSEFIASRGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGSKSEKLEFLMFDIDRILRAGGLLWLDNFYCIDEEKKRTLTRLIERFGYKKLKWIVGGKIDTAFSGKSEIYLSAVLQKPARV >Manes.05G149900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25326875:25331307:-1 gene:Manes.05G149900.v8.1 transcript:Manes.05G149900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDNYHFHSPLSVTPQSYIGLIFFYLCSYNLLLFLLHKSHSFSELNLTSLSMKQKKAKTGSVSLKIGDGTARFKRATVCSSTVNILMIFSVVATNLFALYAFTSLPKHYQNHIFHNTHKNISLLSEQVSAILSEIDSSQKQLAKMEKELLGYETIDISRPNIANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWNLGQKLILRGCEPLPRRRCLAKPIPKVGLSPFPISLWKPISEKILTWSGLGCKNFECLNKKKLSRECVGCFDLANGYENQKFVKAKSKNDFLIDDVLALASGGIRIGFDIGGGSGTFAATMAERNVTVITNTLNIDAPFSEFIASRGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGSKSEKLEFLMFDIDRILRAGGLLWLDNFYCIDEEKKRTLTRLIERFGYKKLKWIVGGKIDTAFSGKSEIYLSAVLQKPARV >Manes.05G149900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25326875:25331328:-1 gene:Manes.05G149900.v8.1 transcript:Manes.05G149900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDNYHFHSPLSVTPQSYIGLIFFYLCSYNLLLFLLHKSHSFSELNLTSLSMKQKKAKTGSVSLKIGDGTARFKRATVCSSTVNILMIFSVVATNLFALYAFTSLPKHYQNHIFHNTHKNISLLSEQVSAILSEIDSSQKQLAKMEKELLGYETIDISRPNIANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWNLGQKLILRGCEPLPRRRCLAKPIPKVGLSPFPISLWKPISEKILTWSGLGCKNFECLNKKKLSRECVGCFDLANGYENQKFVKAKSKNDFLIDDVLALASGGIRIGFDIGGGSGTFAATMAERNVTVITNTLNIDAPFSEFIASRGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGSKSEKLEFLMFDIDRILRAGGLLWLDNFYCIDEEKKRTLTRLIERFGYKKLKWIVGGKIDTAFSGKSEIYLSAVLQKPARV >Manes.05G149900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25326875:25331307:-1 gene:Manes.05G149900.v8.1 transcript:Manes.05G149900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDNYHFHSPLSVTPQSYIGLIFFYLCSYNLLLFLLHKSHSFSELNLTSLSMKQKKAKTGSVSLKIGDGTARFKRATVCSSTVNILMIFSVVATNLFALYAFTSLPKHYQNHIFHNTHKNISLLSEQVSAILSEIDSSQKQLAKMEKELLGYETIDISRPNIANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWNLGQKLILRGCEPLPRRRCLAKPIPKVGLSPFPISLWKPISEKILTWSGLGCKNFECLNKKKLSRECVGCFDLANGYENQKFVKAKSKNDFLIDDVLALASGGIRIGFDIGGGSGTFAATMAERNVTVITNTLNIDAPFSEFIASRGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGSKSEKLEFLMFDIDRILRAGGLLWLDNFYCIDEEKKRTLTRLIERFGYKKLKWIVGGKIDTAFSGKSEIYLSAVLQKPARV >Manes.05G149900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25327259:25331351:-1 gene:Manes.05G149900.v8.1 transcript:Manes.05G149900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDNYHFHSPLSVTPQSYIGLIFFYLCSYNLLLFLLHKSHSFSELNLTSLSMKQKKAKTGSVSLKIGDGTARFKRATVCSSTVNILMIFSVVATNLFALYAFTSLPKHYQNHIFHNTHKNISLLSEQVSAILSEIDSSQKQLAKMEKELLGYETIDISRPNIANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWNLGQKLILRGCEPLPRRRCLAKPIPKVGLSPFPISLWKPISEKILTWSGLGCKNFECLNKKKLSRECVGCFDLANGYENQKFVKAKSKNDFLIDDVLALASGGIRIGFDIGGGSGTFAATMAERNVTVITNTLNIDAPFSEFIASRGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGSKSEKLEFLMFDIDRILRAGGLLWLDNFYCIDEEKKRTLTRLIERFGYKKLKWIVGGKIDTAFSGKSEIYLSAVLQKPARV >Manes.05G149900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25327989:25331308:-1 gene:Manes.05G149900.v8.1 transcript:Manes.05G149900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDNYHFHSPLSVTPQSYIGLIFFYLCSYNLLLFLLHKSHSFSELNLTSLSMKQKKAKTGSVSLKIGDGTARFKRATVCSSTVNILMIFSVVATNLFALYAFTSLPKHYQNHIFHNTHKNISLLSEQVSAILSEIDSSQKQLAKMEKELLGYETIDISRPNIANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMNYKVSGPCPDDWNLGQKLILRGCEPLPRRRCLAKPIPKVGLSPFPISLWKPISEKILTWSGLGCKNFECLNKKKLSRECVGCFDLANGYENQKFVKAKSKNDFLIDDVLALASGGIRIGFDIGGGSGTFAATMAERNVTVITNTLNIDAPFSEFIASRGLFPLYLSLDHRFPFYDNVFDLVHASSGLDVGSKSEKLEFLMFDIDRILRAGGLLWLDNFYCIDEEKKRTLTRLIERFGYKKLKWIVGGKIDTAFSGKSEIYLSAVLQKPARV >Manes.01G067900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26905309:26908607:1 gene:Manes.01G067900.v8.1 transcript:Manes.01G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEDQSRSLFGISLSDRPKWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLVLIYFQGFTTKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYSFHEYISALLLVIGLILFTLADAQTSPNFSVIGILMICGALIMDSLMGNLQEAIFTMNPDTKQIEVLFCSTLVGLPFLLPPMILTGELFKAWNSCSQHPYVYGVLVFEAMATFIGQLSVLSLVAIFGAATTAMITTARKAVTLLLSYMIFTKPLTDQHGTGLLLIAMGIILKMLLDNDAPKKQGKSQLKEEKRLVDNDGEGEGDEENRPLV >Manes.01G226102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39139513:39140359:-1 gene:Manes.01G226102.v8.1 transcript:Manes.01G226102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCCCAGHAGYFLLSFFGCWWVSMMAAVLTVGWEGFQNSMGCGVCGLLSSFDLYVESKFIRKERRGGEIGATYAGGGQSSQIEIGAEECGANSVKVGPRYSGDRPAAIVGEIIQ >Manes.02G162200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12736126:12742782:-1 gene:Manes.02G162200.v8.1 transcript:Manes.02G162200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSKLYSDDVSLVMVLLDTNPFFWTTSSLPFSQFLAQVLAFLNSMLLLNQLNQVKLEEFMIRDEKLGKEEPRGKLASSLLSGSLSMALCYIQRVFRSGPLHPQPRGSPDGPEQYVAVMNAIFSAQRSMVPIDSCYVGAHNSAFLQQASYITGGVYVKPQHLDGLFQYLVTVFATDLHSRSFLQLPRPAGVDFRASCFCHKMTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSDNTSASDRKRKAPET >Manes.02G162200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12736126:12742782:-1 gene:Manes.02G162200.v8.1 transcript:Manes.02G162200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSKLYSDDVSLVMVLLDTNPFFWTTSSLPFSQFLAQVLAFLNSMLLLNQLNQVKLEEFMIRDEKLGKEEPRGKLASSLLSGSLSMALCYIQRVFRSGPLHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMVPIDSCYVGAHNSAFLQQASYITGGVYVKPQHLDGLFQYLVTVFATDLHSRSFLQLPRPAGVDFRASCFCHKMTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSDNTSASDRKRKAPET >Manes.02G162200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12736126:12742782:-1 gene:Manes.02G162200.v8.1 transcript:Manes.02G162200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSKLYSDDVSLVMVLLDTNPFFWTTSSLPFSQFLAQVLAFLNSMLLLNQLNQVVVIATGYNSCDYIYDSSSAPNHSSEDARLPALYSNLLQKLEEFMIRDEKLGKEEPRGKLASSLLSGSLSMALCYIQRVFRSGPLHPQPRGSPDGPEQYVAVMNAIFSAQRSMVPIDSCYVGAHNSAFLQQASYITGGVYVKPQHLDGLFQYLVTVFATDLHSRSFLQLPRPAGVDFRASCFCHKMTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSDNTSASDRKRKAPET >Manes.02G162200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12736126:12742782:-1 gene:Manes.02G162200.v8.1 transcript:Manes.02G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSKLYSDDVSLVMVLLDTNPFFWTTSSLPFSQFLAQVLAFLNSMLLLNQLNQVVVIATGYNSCDYIYDSSSAPNHSSEDARLPALYSNLLQKLEEFMIRDEKLGKEEPRGKLASSLLSGSLSMALCYIQRVFRSGPLHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMVPIDSCYVGAHNSAFLQQASYITGGVYVKPQHLDGLFQYLVTVFATDLHSRSFLQLPRPAGVDFRASCFCHKMTIDMGYICSVCLSIFCKHHKKCSTCGSVFGQAQSDNTSASDRKRKAPET >Manes.02G162200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12736126:12742782:-1 gene:Manes.02G162200.v8.1 transcript:Manes.02G162200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSKLYSDDVSLVMVLLDTNPFFWTTSSLPFSQFLAQVLAFLNSMLLLNQLNQVVVIATGYNSCDYIYDSSSAPNHSSEDARLPALYSNLLQKLEEFMIRDEKLGKEEPRGKLASSLLSGSLSMALCYIQRVFRSGPLHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMVPIDSCYVGAHNSAFLQQASYITGGVYVKPQHLDGLFQYLVTVFATDLHSRSFLQLPRPAGVDFRAS >Manes.13G130300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33895238:33896601:-1 gene:Manes.13G130300.v8.1 transcript:Manes.13G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTSTETRRLTKPHTAGAPPPEQEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGTRKNAKRSRTAATANFIGPITTTAGDNFPLPATPVLVPLMTNHATSVQFGCGGGHGKGGGSSTTADSAVCGSFTSLLNTQGPGFLALGGFGLGLGSGFEDMGCGLGRGVWPFPSVGDVGAGDGGGHGGMSGGMGNTWQFESGDNGFVGGDCFSWPDLAISTPGNGLK >Manes.05G016750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1567660:1569975:1 gene:Manes.05G016750.v8.1 transcript:Manes.05G016750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVIHQMDRSAVSLRYFFVPSRARDPFLDEIRCKVTKMHRGIGREVRAGGSRKRDELVLTPCCKKVYVSKVFSLNTHFLPFQYGKIL >Manes.11G013950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1316539:1318305:-1 gene:Manes.11G013950.v8.1 transcript:Manes.11G013950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLAHSLFILSLSVLTLVNPTPVYSFDKYFFIFGDGLYDPGNKIFLNPDEYLPSFHSPYGTTFFTGHPTGRYSDGRTVADFIAEKEGFPFLIPALNGSEDFTYGANFAIEGATVLDRKKDKTSLNLKRQVELFNFIIDLWKPLYNETEVKRRVNKAVYLISIGAQDYFDSVHFIGNHTFIVEKVVAGILDAIKALYGIGARKFVVQNVAQLGGLPFAKQKYGKWNEILAAYAETHRDELTRRLVKLIEEYPQLNYTVFNAYCAIGSLIDAPEDYGFKNGSSACCGNSTYRGEACGALEYEYCVCGNKAEYVFFDGVHNTDAANELLAEWMWNKTKGYVRPYGVHDFFKSSSDNSNLQIQIQMPRTQAARARPFKVYY >Manes.01G273600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42677984:42680949:-1 gene:Manes.01G273600.v8.1 transcript:Manes.01G273600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPYLHHHKASLSSAISYSRPLPWINRYCALLQSVKYRKITVTCSISQVHSYGTVDYERRPMIKWSSIYRRISLMEKPELGAATVLNQMEKDGKKLTKWELCRVVKELRKFKRHKQALEVYDWMNNREERFRLSASDAAIQLDLIAKVRGVSAAEDFFLRLPETVKDRRIYGALLNAYVRARMREKAESMIDSIRNKGFATHALPFNVMMTLYMNLKEYDKVDMMISEMLEKNIRLDIYSYNIWLSSRGSQGSVERMEQVFEQMKLDTTINPNWTTFSTMATMYIKMGQLDKAEDCLRKVESRITGRDRIPYHYLLSLYGNVGKKEEVYRVWNVYKSSFPNIPNLGYHAIISSLVRMGDIEGAEKLYNEWLPTKTSYDPRIGNLLMGWYVKEGNFDKAESVFDHMAEVGGKPNSSTWEILADGHVREKRISEALSCFKEAFLAEGSKRWKPKPIIVLSFFKLCEEEADMSSKGVLEVWLRQSGYLEDENYASLVGLSKELSNEKDRTGNISDNEESEILLNQLQGSL >Manes.07G117000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32252972:32256215:1 gene:Manes.07G117000.v8.1 transcript:Manes.07G117000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIQIMKFEDFLMQQSDDKQKRLDLENEVESLRVKLEEEQTMNKVLHSALHGPVSSQPCLAFLLPPQVQGILTELAMVEEEIIWLERKVDELKLNLYQERKQTEKWKLQRKQQRKLRNQNHLPPCRPGNASLLEDNCSHLSRSQHFKEFRKFRRASVGSAEEMSCVISTGSTSDEKSRRGRMQKEHHMYKEICDEKPNELSEELIKCLIGIFLDLNQPPQDRAESAIIPKLGLSCMSSKISKPSFNSKSSMFPLNHNTSNLDPYCIIPDLDSNIRDIGPYKNFIHIVRNSLDVCRFSEGLSSSGKMRVLIQKLGNVDLTFLTYKQKLAFWINIYNASIMHAFLEHGLPSSQEKLLAIMNKAALNVGGIVLNALAIEHFILRHPCETKHMRRKCYYDVLMVLGIQNPTSPSLFAGAPGPPQH >Manes.07G117000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32252972:32256215:1 gene:Manes.07G117000.v8.1 transcript:Manes.07G117000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIQIMKFEDFLMQQSDDKQKRLDLENEVNINFIFEVESLRVKLEEEQTMNKVLHSALHGPVSSQPCLAFLLPPQVQGILTELAMVEEEIIWLERKVDELKLNLYQERKQTEKWKLQRKQQRKLRNQNHLPPCRPGNASLLEDNCSHLSRSQHFKEFRKFRRASVGSAEEMSCVISTGSTSDEKSRRGRMQKEHHMYKEICDEKPNELSEELIKCLIGIFLDLNQPPQDRAESAIIPKLGLSCMSSKISKPSFNSKSSMFPLNHNTSNLDPYCIIPDLDSNIRDIGPYKNFIHIVRNSLDVCRFSEGLSSSGKMRVLIQKLGNVDLTFLTYKQKLAFWINIYNASIMHAFLEHGLPSSQEKLLAIMNKAALNVGGIVLNALAIEHFILRHPCETKHGPPDEKEMLLRRAYGLGYPESNITFALCRGTWSSPALRVYTPEEVVNELEKAKVEYLEASVGVTSKRKIMIPKLLQWYMQDFADNMESLLEWIYSQLPRSGSLKRLMMECINGEVKSPSTKMVEIQAYESEFRYLLPL >Manes.07G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32252972:32256215:1 gene:Manes.07G117000.v8.1 transcript:Manes.07G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIQIMKFEDFLMQQSDDKQKRLDLENEVESLRVKLEEEQTMNKVLHSALHGPVSSQPCLAFLLPPQVQGILTELAMVEEEIIWLERKVDELKLNLYQERKQTEKWKLQRKQQRKLRNQNHLPPCRPGNASLLEDNCSHLSRSQHFKEFRKFRRASVGSAEEMSCVISTGSTSDEKSRRGRMQKEHHMYKEICDEKPNELSEELIKCLIGIFLDLNQPPQDRAESAIIPKLGLSCMSSKISKPSFNSKSSMFPLNHNTSNLDPYCIIPDLDSNIRDIGPYKNFIHIVRNSLDVCRFSEGLSSSGKMRVLIQKLGNVDLTFLTYKQKLAFWINIYNASIMHAFLEHGLPSSQEKLLAIMNKAALNVGGIVLNALAIEHFILRHPCETKHGPPDEKEMLLRRAYGLGYPESNITFALCRGTWSSPALRVYTPEEVVNELEKAKVEYLEASVGVTSKRKIMIPKLLQWYMQDFADNMESLLEWIYSQLPRSGSLKRLMMECINGEVKSPSTKMVEIQAYESEFRYLLPL >Manes.07G117000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32252972:32256215:1 gene:Manes.07G117000.v8.1 transcript:Manes.07G117000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIQIMKFEDFLMQQSDDKQKRLDLENEVNINFIFEVESLRVKLEEEQTMNKVLHSALHGPVSSQPCLAFLLPPQVQGILTELAMVEEEIIWLERKVDELKLNLYQERKQTEKWKLQRKQQRKLRNQNHLPPCRPGNASLLEDNCSHLSRSQHFKEFRKFRRASVGSAEEMSCVISTGSTSDEKSRRGRMQKEHHMYKEICDEKPNELSEELIKCLIGIFLDLNQPPQDRAESAIIPKLGLSCMSSKISKPSFNSKSSMFPLNHNTSNLDPYCIIPDLDSNIRDIGPYKNFIHIVRNSLDVCRFSEGLSSSGKMRVLIQKLGNVDLTFLTYKQKLAFWINIYNASIMHAFLEHGLPSSQEKLLAIMNKAALNVGGIVLNALAIEHFILRHPCETKHGPPDEKEMLLRRAYGLGYPESNITFALCRGTWSSPAFVCS >Manes.07G117000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32252972:32256215:1 gene:Manes.07G117000.v8.1 transcript:Manes.07G117000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIQIMKFEDFLMQQSDDKQKRLDLENEVNINFIFEVESLRVKLEEEQTMNKVLHSALHGPVSSQPCLAFLLPPQVQGILTELAMVEEEIIWLERKVDELKLNLYQERKQTEKWKLQRKQQRKLRNQNHLPPCRPGNASLLEDNCSHLSRSQHFKEFRKFRRASVGSAEEMSCVISTGSTSDEKSRRGRMQKEHHMYKEICDEKPNELSEELIKCLIGIFLDLNQPPQDRAESAIIPKLGLSCMSSKISKPSFNSKSSMFPLNHNTSNLDPYCIIPDLDSNIRDIGPYKNFIHIVRNSLDVCRFSEGLSSSGKMRVLIQKLGNVDLTFLTYKQKLAFWINIYNASIMHAFLEHGLPSSQEKLLAIMNKAALNVGGIVLNALAIEHFILRHPCETKHMRRKCYYDVLMVLGIQNPTSPSLFAGAPGPPQH >Manes.17G014900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7236108:7239409:1 gene:Manes.17G014900.v8.1 transcript:Manes.17G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAKQKIHKDKDAEPTEFEESVAQAFFDLENTNQELKSDMKDLYINSAIQIDLAGNRKAVVIHVPFRLRKAYRKIHVRLVRELEKKFSGKDVILIASRRILRPPKKGSAAQRPRTRTLTAVHEAMLEDIVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEFPVTEA >Manes.07G093800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29114433:29120999:-1 gene:Manes.07G093800.v8.1 transcript:Manes.07G093800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFQPSQQKPESTDDARAEFERGLEELMRGHLDDCMSFASCSTTRNAEEEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRRQGAVERPTTQASAILQMWRELEDEHLLNRARGRVRERMRQQRSVESNTNMSSTNMSESRGSENPGSLVDASESENEFVPWSHEQLDTQNENGDTNGSSREQSPDLGEVERERVRQIVRGWMESGISDRTSNVSQRNDSPRGEWLGETERERVRIVREWVQMASQQRGGRGGRREEQTAGLDAQVRDGSAADHDEGQPEHIRRDMLRLRGRQALLDLLVRIERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPDEEERPPSMAASELVQLRQRHTVSGLREGFRFRLENIVRSQASGHSDSIPDNNVTDSGNDWNQTHTSQNIQVEANEQLQSTSQENDIHRLSDQTDNLQSNAAANNMNWQETAGQAGGWQGQITDDEERNWQQSDYSRFNEWRNGDAEPIDRNWQENSVNDWPQETTGNVQSEQSRPQEAPRIWHENVSREAVENWTQGPSDPPRTRRAVPMRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVDRQGRSPIEWDLHRNLPTPTPTSPEQDEDQQRDEQNEDQRDGMNRPSLVLPAPPVPPPQPLWHQDLHHTSWSRHSMQRSELEWEMINDLRADMARLQQGMNHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKVLIAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >Manes.18G051800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4427053:4434651:-1 gene:Manes.18G051800.v8.1 transcript:Manes.18G051800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSAWRFSSRASKTSRRQKQPQPQKPTATMENPQVKNGNKKSENVNPGMSGSSGNSATNIIINHDFSGGLHSWHPNCCNGFVVSAESGHPGFVAKPGSNYAVVSNRKECWQGLEQDITSRISPGYTYSVSARVGVSGPMQGPADVLGTLKLRYRDSLTDYLFIAKTTVSKEGWEKLEGTFTLLTMPDQVVFYLEGPSPGVDLLIESVVITCSSQSNFSNICTPSDIAGDGDANIIINPKFEDGLNNWSGRGCKIALHDSMADGKIVPQSGKIFASATERNQSWNGIQQEITGRVQRKLAYEAIAVVRIFGNNVTSADVRATLWVQTPDLREQYIGIANLQATDKDWVQLQGKFLLNGNPKRVVIYLEGPPAGVDILVNSLVVKHAEKIPPSPPPAIENPAYGVNIIQNSNLSDGTNGWFPLGNCNLSVATGSPYILPPMARDSLGPYEPLSGRYILVTKRTQTWMGPAQMITDNIKLLLTYQVSAWVKISSGATGPQNVNVALGVDSQWVNGGQVEISDNRWHEIGGSFRIEKQPSKVMVYVQGPAPGVDLMVAGLQIFPVDREARFKHLRRQTDKIRKRNVILKFSGVDASSLHGTLVKVNQTQNSFPFGTCISRTNIDNEDFVSFFVKNFNWAVFGNELKWYWTEAQQGNFNYRDADEMLDICVKNKIETRGHCIFWEVEGTVQPWIKALNKNDLMTAVQNRLTGLLTRYKGKFMHYDVNNEMLHGSFYQDRLGKDIRANMFKTANQLDQSATLFVNDYHVEDGDDTRSCPEKYITQILDLQEQGAPVGGIGIQGHIDSPVGPIVSSALDKLGILGLPIWFTELDVSSINEYVRGDDLEVMLREAYAHPAVEGIMLWGFWELFMSRDNAHLVNAEGELNEAGKRYLALKEEWLTGSHGRINEQGEFSFRGFHGTYKVEIVTHSKKITETFVVDKGDTPLVVNIDLK >Manes.18G051800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4427053:4434651:-1 gene:Manes.18G051800.v8.1 transcript:Manes.18G051800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSAWRFSSRASKTSRRQKQPQPQKPTATMENPQVKNGNKKSENVNPGMSGSSGNSATNIIINHDFSGGLHSWHPNCCNGFVVSAESGHPGFVAKPGSNYAVVSNRKECWQGLEQDITSRISPGYTYSVSARVGVSGPMQGPADVLGTLKLRYRDSLTDYLFIAKTTVSKEGWEKLEGTFTLLTMPDQVVFYLEGPSPGVDLLIESVVITCSSQSNFSNICTPSDIAGDGDANIIINPKFEDGLNNWSGRGCKIALHDSMADGKIVPQSGKIFASATERNQSWNGIQQEITGRVQRKLAYEAIAVVRIFGNNVTSADVRATLWVQTPDLREQYIGIANLQATDKDWVQLQGKFLLNGNPKRVVIYLEGPPAGVDILVNSLVVKHAEKIPPSPPPAIENPAYGVNIIQNSNLSDGTNGWFPLGNCNLSVATGSPYILPPMARDSLGPYEPLSGRYILVTKRTQTWMGPAQMITDNIKLLLTYQVSAWVKISSGATGPQNVNVALGVDSQWVNGGQVEISDNRWHEIGGSFRIEKQPSKVMVYVQGPAPGVDLMVAGLQIFPVDREARFKHLRRQTDKIRKRNVILKFSGVDASSLHGTLVKVNQTQNSFPFGTCISRTNIDNEDFVSFFVKNFNWAVFGNELKWYWTEAQQGNFNYRDADEMLDICVKNKIETRGHCIFWEVEGTVQPWIKALNKNDLMTAVQNRLTGLLTRYKGKFMHYDVNNEMLHGSFYQDRLGKDIRANMFKTANQLDQSATLFVNDYHVEDGDDTRSCPEKYITQILDLQEQGAPVGGIGIQGHIDSPVGPIVSSALDKLGILGLPIWFTELDVSSINEYVRGDDLEVMLREAYAHPAVEGIMLWGFWELFMSRDNAHLVNAEGELNEAGKRYLALKEEWLTGSHGRINEQGEFSFRGFHGTYKVEIVTHSKKITETFVVDKGDTPLVVNIDLK >Manes.18G051800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4427053:4434651:-1 gene:Manes.18G051800.v8.1 transcript:Manes.18G051800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSAWRFSSRASKTSRRQKQPQPQKPTATMENPQVKNGNKKSENVNPGMSGSSGNSATNIIINHDFSGGLHSWHPNCCNGFVVSAESGHPGFVAKPGSNYAVVSNRKECWQGLEQDITSRISPGYTYSVSARVGVSGPMQGPADVLGTLKLRYRDSLTDYLFIAKTTVSKEGWEKLEGTFTLLTMPDQVVFYLEGPSPGVDLLIESVVITCSSQSNFSNICTPSDIAGDGDANIIINPKFEDGLNNWSGRGCKIALHDSMADGKIVPQSGKIFASATERNQSWNGIQQEITGRVQRKLAYEAIAVVRIFGNNVTSADVRATLWVQTPDLREQYIGIANLQATDKDWVQLQGKFLLNGNPKRVVIYLEGPPAGVDILVNSLVVKHAEKIPPSPPPAIENPAYGVNIIQNSNLSDGTNGWFPLGNCNLSVATGSPYILPPMARDSLGPYEPLSGRYILVTKRTQTWMGPAQMITDNIKLLLTYQVSAWVKISSGATGPQNVNVALGVDSQWVNGGQVEISDNRWHEIGGSFRIEKQPSKVMVYVQGPAPGVDLMVAGLQIFPVDREARFKHLRRQTDKIRKRNVILKFSGVDASSLHGTLVKVNQTQNSFPFGTCISRTNIDNEDFVSFFVKNFNWAVFGNELKWYWTEAQQGNFNYRDADEMLDICVKNKIETRGHCIFWEVEGTVQPWIKALNKNDLMTAVQNRLTGLLTRYKGKFMHYDVNNEMLHGSFYQDRLGKDIRANMFKTANQLDQSATLFVNDYHVEDGDDTRSCPEKYITQILDLQEQGAPVGGIGIQGHIDSPVGPIVSSALDKLGILGLPIWFTELDVSSINEYVRGDDLEVMLREAYAHPAVEGIMLWGFWELFMSRDNAHLVNAEGELNEAGKRYLALKEEWLTGSHGRINEQGEFSFRGFHGTYKVEIVTHSKKITETFVVDKGDTPLVVNIDLK >Manes.08G096900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32668736:32670935:-1 gene:Manes.08G096900.v8.1 transcript:Manes.08G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMGDAAKESSCSGSSSNSRKKLRRRRGGCESLEDTLDKWKKRNKLGEIRKPPSKGSRKGCMRGKGGPDNQSCRYRGVRQRVWGKWVAEIREPAGKFSLLNDARGHRRWLGTFATAIEAAQAYDSAAKAMYGSNAILNFPDYNSETESRTTSNSRDDNHIEKTKNHSCGVGGFKEQNGKSKEAESISRLKDEGSISRADYRSSTDLVKAEPMVKGTKEEFARVMESRGHYLQSEMKNVKAELSTDYECSNEIKEELGRSMESNQHDGLNNMHMPIYKDDDLRIDCKPFNDVEKLMMRKVMEGEGSSYCNPLEVRHDNMSIDFRDMNCYQELDLKCGINSALQAERTYDHDHFQLGSANYQQSNLAYQFQKQNPIADLLDCQNQTEEAKGSVDCSFNLWEPEFNWGSMEELGLMEPWDFGF >Manes.14G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15856946:15860851:-1 gene:Manes.14G147800.v8.1 transcript:Manes.14G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRISLGVQHKNNKKPTIKRNKKRKLLKKVVDYLKSDTYMFAPLISPTATHHFLASRIATSFTARVEVKDCMKGRTEKVVEYLKSDSFFYAPLFASQQIASSHRGFGEYWKQETMQVSRGRKDDEATTVKALNIRADEEMSNVSGQKKLVHRESVKHVVFQSCRSSSMSGEGVLQSRLRKLLID >Manes.04G060345.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:15092250:15092779:-1 gene:Manes.04G060345.v8.1 transcript:Manes.04G060345.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGAVSMIEEGVEEEIRQEQEIEETVEEDIGKDFKLGVEETMNQERGEVKEQKRWVDLVAQNRSRIPECELKNVLPVIIEGRRVVRFHSNELKTKVQ >Manes.01G257400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41417405:41423501:-1 gene:Manes.01G257400.v8.1 transcript:Manes.01G257400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLICGLPILECVYCLGCARWVSQKFLYNAGHESENWGLATAEEFEPVPRLCRLIHASYEYDPRDPFWATPGDHGINADWVILRKSHAETGGRSTPYMIYLDNENAEIVLAIRGLNMAKESDFVVLLDNKLGQTKFDGGYVHNGLLKTAKWVFDTECSVLRDLVEKNPDYRLTFAGHSLGAGVVALMVIYAIQNRKRLGNIERKRIRCFGMAPPRCMSLNLAVRYADVINSVVLQDDFLPRSTTALEDIFKSILCFPCLLCLMCLKDTFTFEEKMLRDPRRLYAPGRLYHIVERKSLRIGRYPPVVRTAVPVDGRFEHIVLSCNATSDHALLWIERESRRALDLMLEKDQIMGIPAKQWMDRRQHLAREQSEEHEAAIQRAVALDVPQAYSSSPYGTFDEMEEGETSSSSTRGISMLSFKKIGARWDHFIDRLFDVDESGLLVFKKPIS >Manes.13G070900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10188335:10190941:1 gene:Manes.13G070900.v8.1 transcript:Manes.13G070900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTNLIDVVLLFFFLGIAVAAPLIDAQTCLPSCYFPDFLIDLKNWYSQEYGDYLLSEKPHFFVGAVWLELFFQWPLALFNLYGILASKPWFNTTCLIYGASLFTSMVAILAELMGSGKASDKLMMIYSPFMGFGILAILRGLMPVSANASAMGKRPLLPRKKRD >Manes.13G070900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10188335:10191052:1 gene:Manes.13G070900.v8.1 transcript:Manes.13G070900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTNLIDVVLLFFFLGIAVAAPLIDAQTCLPSCYFPDFLIDLKNWYSQEYGDYLLSEKPHFFVGAVWLELFFQWPLALFNLYGILASKPWFNTTCLIYGASLFTSMVAILAELMGSGKASDKLMMIYSPFMGFGILAILRGLMPVSANASAMGKRPLLPRKKRD >Manes.13G070900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10188335:10192735:1 gene:Manes.13G070900.v8.1 transcript:Manes.13G070900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTNLIDVVLLFFFLGIAVAAPLIDAQTCLPSCYFPDFLIDLKNWYSQEYGDYLLSEKPHFFVGAVWLELFFQWPLALFNLYGILASKPWFNTTCLIYGASLFTSMVAILAELMGSGKASDKLMMIYSPFMGFGILAILRGLMPVSANASAMGKRPLLPRKKRD >Manes.13G070900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10188335:10192735:1 gene:Manes.13G070900.v8.1 transcript:Manes.13G070900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTNLIDVVLLFFFLGIAVAAPLIDAQTCLPSCYFPDFLIDLKNWYSQEYGDYLLSEKPHFFVGAVWLELFFQWPLALFNLYGILASKPWFNTTCLIYGASLFTSMVAILAELMGSGKASDKLMMIYSPFMGFGILAILRGLMPVSANASAMGKRPLLPRKKRD >Manes.13G070900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10188333:10193452:1 gene:Manes.13G070900.v8.1 transcript:Manes.13G070900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTNLIDVVLLFFFLGIAVAAPLIDAQTCLPSCYFPDFLIDLKNWYSQEYGDYLLSEKPHFFVGAVWLELFFQWPLALFNLYGILASKPWFNTTCLIYGASLFTSMVAILAELMGSGKASDKLMMIYSPFMGFGILAILRGLMPVSANASAMGKRPLLPRKKRD >Manes.04G114901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31783639:31786130:1 gene:Manes.04G114901.v8.1 transcript:Manes.04G114901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPHPPFNPPPPEQWLSWLVPLIFAANVAMFVYAMYVNDCPATTGPENCILYDHLGRFSFQPLKENAILGPSLITLERLGALDPIAVVKKGEGWRLFSCIWLHAGVAHLLTNMMSLLFIGVPLEQEFGFLKIGVLYILSGFGGSLMSALSPKPNISVGASGALLGLLGSMLSELFLNWTIYANKCKTVSTLLLIITLNLAFGLIPHVDNSAHIGGFLSGFLLGFILLIRPQYGYVSRRYIPAGYDIKRKPKHKCYQYVMVVTALIVLIIGYLWGLDKLYGNSSLKYAPENFN >Manes.09G028600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6121394:6130574:-1 gene:Manes.09G028600.v8.1 transcript:Manes.09G028600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEREKTKKKASALSLQEFISITTPLIDLEKEAEISASISSGASRNLDTAQKRGSTILNLKCVDVQTGLMGKTLLELQSNKGDVLPAHKLSPHDVVVLKPNKADIGSPALGQGVVYRLKVTYRRLKETLIQLSKGVQKGPAADLIPVLFGERPPTVIKKGVTFTPFNSNLDHSQKDAISKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVRHRVKLVRLGHPARLLPQVLDSALDAQVLKGDNSALANDIRKEMKALNGKLLKTKDKSTRRDIQRELRSLSKEERKRQQLAVSDVIKNADIVLTTLTGALSHKLDSTSFDLVIIDEAAQALEIACWIALLKGSRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERLADLYGDEVTSMLTVQYRMHELIMNWSSKELYDSKIKAHASVAAHKLLDLEGVKRSSSTEQTLLLIDIAGCDMEEKKDEEDSTMNEGEAEVAIAHAKRLVESGVLASDIGIITPYAAQVLLLKILRSNEDKLKDMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDTETVTSDAFLKRLVEYFEEHGEYLSASEYRNE >Manes.09G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6121394:6130574:-1 gene:Manes.09G028600.v8.1 transcript:Manes.09G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEREKTKKKASALSLQEFISITTPLIDLEKEAEISASISSGASRNLDTAQKRGSTILNLKCVDVQTGLMGKTLLELQSNKGDVLPAHKLSPHDVVVLKPNKADIGSPALGQGVVYRLKDSSITIAFDDIPEEGLNSPLRLEKLANEVTYRRLKETLIQLSKGVQKGPAADLIPVLFGERPPTVIKKGVTFTPFNSNLDHSQKDAISKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVRHRVKLVRLGHPARLLPQVLDSALDAQVLKGDNSALANDIRKEMKALNGKLLKTKDKSTRRDIQRELRSLSKEERKRQQLAVSDVIKNADIVLTTLTGALSHKLDSTSFDLVIIDEAAQALEIACWIALLKGSRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERLADLYGDEVTSMLTVQYRMHELIMNWSSKELYDSKIKAHASVAAHKLLDLEGVKRSSSTEQTLLLIDIAGCDMEEKKDEEDSTMNEGEAEVAIAHAKRLVESGVLASDIGIITPYAAQVLLLKILRSNEDKLKDMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDTETVTSDAFLKRLVEYFEEHGEYLSASEYRNE >Manes.08G144300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38637068:38638861:1 gene:Manes.08G144300.v8.1 transcript:Manes.08G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVSPVSISIDQKSSHSSSCLKILWESFKILARNKRLFSLIYVLLALPLSFLNVSLSLSSYPIKSEIFRLEFVASRASTRFETRQFWRESREVAISLLHLKLIYLLPSYLFSLIAAVTLVVSTESSYTRRPTSLKTAFAAVKSTWTRPLVTSICIYAILLLYSSVPYTLGAMIGSSSPGLRFVIRLIGLGVELYIISVLGLGLVVSIVERRYGWDAIWIASRLMEGRRICGWVLSGLLAVMTGGIGWRLESLIMETDVSAEESKRTGTEAEGWKKAWLVGIYGVVVIWGFAVTTVFYSECRKRQDVRAAAAEDVESIDS >Manes.09G075919.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18673882:18676173:-1 gene:Manes.09G075919.v8.1 transcript:Manes.09G075919.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIDYFLLINFSLVQAQPAVFDITKFGAASDGKDASQAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTMQAPPELAGGDGWFNFNHIDQFTLSRKGTLDGQGQVAWKGVSCDKDPKNCKKHPINIRFNFITKGLVRDTTSLNSKDFHVNVLGCDDFTFEGFIVSTPEGSLNTDGIHIGRLKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGYGINIGSLGKYENEDHVSGITVSDCTLTGTTNGIRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKMITEPSKVKISDVSFKNIKGTFATALTVQLICSSGVPCEKVELVNIDLTYSGLEGPAKSECIDIKPTIVGKIPEGCK >Manes.15G188400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31202800:31205848:1 gene:Manes.15G188400.v8.1 transcript:Manes.15G188400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPEEDDDDNRWPKWLRPLLKESFFVQCKLHADSHKSECNMYCMDCMNGALCSLCLAYHKDHHAIQIRRSSYHDVIRVSEIQKVLDISGVQTYVINSARVVFLNERPQPRPGKGVTNTCDVCERSLLDSFRFCSLGCKLAGTSKNFQKKKKQQAVMKSDSEDSYSSSRHGRYKNNDKERSFSPSTPPPTLVRYQTAKRRKGIPHRAPFGGLTIEY >Manes.11G052180.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5568628:5593889:1 gene:Manes.11G052180.v8.1 transcript:Manes.11G052180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDPSARTVLEPLSNIDLSSYSGTSLRSLAISSISDSQSLIYVGTFSGSVLLFSATTTAAAPKDAPPALDSEASRKNVSFLRSISLGDSPLETMLVLPEIGKVLVLCDGSLFLVDTLLSHSVKKLSFAKGVCAIAKSIRSSELESTSLLGISVNTLESSSASQRILQKFGGGIRANGVKTKEPLQWSEGNNVFAVVIGKRLVLVELVFGSNRLGKTDRDVDSSSGSFVILKEIHCIDGVKTIAWLNDSIIVGTINGYSLFSCVTGQSGVIFSLPDISSRPQLKLLMKEKKVLMLVDNVGIVVNEHGQPVGGSLVFRISPHSVGELSPYVVLVRNGKMELYNKRSGSCIQTLTFGGEGVGPCIVANEEGGIGKLVAVASPTKVICYCKVSSEEQIKDLLRKKNFKEAISLVEELEYEGEMSNEMLSFVHAQVGFLLLFDLHFEEAVNHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVDTAVDDDFVLNPPTRSNLLESAIKNIIRYLEVSREKELTLSVQEGVDTLLMYLYRALNRVDDMERLASSENSCIVEELETLLDDSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWEDPVVETDLQDGSKNIISGREIAAIEASKILEESSDQDLVLQHLGWIADINPILAVEILTSDKRVNQLLPDAVIAAIDPRKVEILQSYLQWLIEDQDSIDTQFHTLYALSLAKSAIETFEVQSVSKSPDDERLEEAKFSDFSQKSIFQSPVRERLQIFLLSSDLYDPEEVLDLIEGSELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQNGKEPMFKAAVRLLHNHGESLDPLQVLETLFPDMPLQLASDTILRMLRARLHHHRQGQIVHNLSRAIDVDARLARLEERSRHVQINDESLCDSCHARLGTKLFAMYPDDTIVCYKCFRRQGESTSVTGRNFKRDILIKPGWLVTR >Manes.11G052180.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5568628:5593889:1 gene:Manes.11G052180.v8.1 transcript:Manes.11G052180.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDPSARTVLEPLSNIDLSSYSGTSLRSLAISSISDSQSLIYVGTFSGSVLLFSATTTAAAPKDAPPALDSEASRKNVSFLRSISLGDSPLETMLVLPEIGKVLVLCDGSLFLVDTLLSHSVKKLSFAKGVCAIAKSIRSSELESTSLLGISVNTLESSSASQRILQKFGGGIRANGVKTKEPLQWSEGNNVFAVVIGKRLVLVELVFGSNRLGKTDRDVDSSSGSFVILKEIHCIDGVKTIAWLNDSIIVGTINGYSLFSCVTGQSGVIFSLPDISSRPQLKLLMKEKKVLMLVDNVGIVVNEHGQPVGGSLVFRISPHSVGELSPYVVLVRNGKMELYNKRSGSCIQTLTFGGEGVGPCIVANEEGGIGKLVAVASPTKVICYCKVSSEEQIKDLLRKKNFKEAISLVEELEYEGEMSNEMLSFVHAQVGFLLLFDLHFEEAVNHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVDTAVDDDFVLNPPTRSNLLESAIKNIIRYLEVSREKELTLSVQEGVDTLLMYLYRALNRVDDMERLASSENSCIVEELETLLDDSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWEDPVVETDLQDGSKNIISGREIAAIEASKILEESSDQDLVLQHLGWIADINPILAVEILTSDKRVNQLLPDAVIAAIDPRKVEILQSYLQWLIEDQDSIDTQFHTLYALSLAKSAIETFEVQSVSKSPDDERLEEAKFSDFSQKSIFQSPVRERLQIFLLSSDLYDPEEVLDLIEGSELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQNGKEPMFKAAVRLLHNHGESLDPLQVLETLFPDMPLQLASDTILRMLRARLHHHRQGQVSFFT >Manes.11G052180.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5568628:5593914:1 gene:Manes.11G052180.v8.1 transcript:Manes.11G052180.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDPSARTVLEPLSNIDLSSYSGTSLRSLAISSISDSQSLIYVGTFSGSVLLFSATTTAAAPKDAPPALDSEASRKNVSFLRSISLGDSPLETMLVLPEIGKVLVLCDGSLFLVDTLLSHSVKKLSFAKGVCAIAKSIRSSELESTSLLGISVNTLESSSASQRILQKFGGGIRANGVKTKEPLQWSEGNNVFAVVIGKRLVLVELVFGSNRLGKTDRDVDSSSGSFVILKEIHCIDGVKTIAWLNDSIIVGTINGYSLFSCVTGQSGVIFSLPDISSRPQLKLLMKEKKVLMLVDNVGIVVNEHGQPVGGSLVFRISPHSVGELSPYVVLVRNGKMELYNKRSGSCIQTLTFGGEGVGPCIVANEEGGIGKLVAVASPTKVICYCKVSSEEQIKDLLRKKNFKEAISLVEELEYEGEMSNEMLSFVHAQVGFLLLFDLHFEEAVNHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVDTAVDDDFVLNPPTRSNLLESAIKNIIRYLEVSREKELTLSVQEGVDTLLMYLYRALNRVDDMERLASSENSCIVEELETLLDDSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWEDPVVETDLQDGSKNIISGREIAAIEASKILEESSDQDLVLQHLGWIADINPILAVEILTSDKRVNQLLPDAVIAAIDPRKVEILQSYLQWLIEDQDSIDTQFHTLYALSLAKSAIETFEVQSVSKSPDDERLEEAKFSDFSQKSIFQSPVRERLQIFLLSSDLYDPEEVLDLIEGSELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQNGKEPMFKAAVRLLHNHGESLDPLQVLETLFPDMPLQLASDTILRMLRARLHHHRQGQIVHNLSRAIDVDARLARLEERSRHVQINDESLCDSCHARLGTKLFAMYPDDTIVCYKVVSVVARSGED >Manes.11G052180.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5568628:5593889:1 gene:Manes.11G052180.v8.1 transcript:Manes.11G052180.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDPSARTVLEPLSNIDLSSYSGTSLRSLAISSISDSQSLIYVGTFSGSVLLFSATTTAAAPKDAPPALDSEASRKNVSFLRSISLGDSPLETMLVLPEIGKVLVLCDGSLFLVDTLLSHSVKKLSFAKGVCAIAKSIRSSELESTSLLGISVNTLESSSASQRILQKFGGGIRANGVKTKEPLQWSEGNNVFAVVIGKRLVLVELVFGSNRLGKTDRDVDSSSGSFVILKEIHCIDGVKTIAWLNDSIIVGTINGYSLFSCVTGQSGVIFSLPDISSRPQLKLLMKEKKVLMLVDNVGIVVNEHGQPVGGSLVFRISPHSVGELSPYVVLVRNGKMELYNKRSGSCIQTLTFGGEGVGPCIVANEEGGIGKLVAVASPTKVICYCKVSSEEQIKDLLRKKNFKEAISLVEELEYEGEMSNEMLSFVHAQVGFLLLFDLHFEEAVNHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVDTAVDDDFVLNPPTRSNLLESAIKNIIRYLEVSREKELTLSVQEGVDTLLMYLYRALNRVDDMERLASSENSCIVEELETLLDDSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWEDPVVETDLQDGSKNIISGREIAAIEASKILEESSDQDLVLQHLGWIADINPILAVEILTSDKRVNQLLPDAVIAAIDPRKVEILQSYLQWLIEDQDSIDTQFHTLYALSLAKSAIETFEVQSVSKSPDDERLEEAKFSDFSQKSIFQSPVRERLQIFLLSSDLYDPEEVLDLIEGSELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQHCSQTCLFNLHLIQYYEC >Manes.11G052180.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5568628:5593889:1 gene:Manes.11G052180.v8.1 transcript:Manes.11G052180.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDPSARTVLEPLSNIDLSSYSGTSLRSLAISSISDSQSLIYVGTFSGSVLLFSATTTAAAPKDAPPALDSEASRKNVSFLRSISLGDSPLETMLVLPEIGKVLVLCDGSLFLVDTLLSHSVKKLSFAKGVCAIAKSIRSSELESTSLLGISVNTLESSSASQRILQKFGGGIRANGVKTKEPLQWSEGNNVFAVVIGKRLVLVELVFGSNRLGKTDRDVDSSSGSFVILKEIHCIDGVKTIAWLNDSIIVGTINGYSLFSCVTGQSGVIFSLPDISSRPQLKLLMKEKKVLMLVDNVGIVVNEHGQPVGGSLVFRISPHSVGELSPYVVLVRNGKMELYNKRSGSCIQTLTFGGEGVGPCIVANEEGGIGKLVAVASPTKVICYCKVSSEEQIKDLLRKKNFKEAISLVEELEYEGEMSNEMLSFVHAQVGFLLLFDLHFEEAVNHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVDTAVDDDFVLNPPTRSNLLESAIKNIIRYLEVSREKELTLSVQEGVDTLLMYLYRALNRVDDMERLASSENSCIVEELETLLDDSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWEDPVVETDLQDGSKNIISGREIAAIEASKILEESSDQDLVLQHLGWIADINPILAVEILTSDKRVNQLLPDAVIAAIDPRKVEILQSYLQWLIEDQDSIDTQFHTLYALSLAKSAIETFEVQSVSKSPDDERLEEAKFSDFSQKSIFQSPVRERLQIFLLSSDLYDPEEVLDLIEGSELWLEKAILYRKLGQETLVLQILAL >Manes.06G173600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29767173:29768572:-1 gene:Manes.06G173600.v8.1 transcript:Manes.06G173600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPHFYFDYQFSPEGFSNITSAIAQDGTFLSTSTPTISCGAISTTASAMLGPEQNQPYHGGLECLYDNNGGVECFPVEYDILSRVPMTTTTPFTEQLGVSELDVPSFMDYKMGFSGLAKIQNFGGRFQYSDTYEYGEDCCGFLQNGKSVSPESGENWRIQCNQMPAIEHTNIKVGRYTVEERKDRILRYLKKRNQRNFNKTIKYACRKTLADRRVRIRGRFARNNELCEDEIIEKKSENHLQEKDSYSNDAFEMKNEDDDDWVQEAVASLIYVPYIAG >Manes.17G001900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1904819:1909039:1 gene:Manes.17G001900.v8.1 transcript:Manes.17G001900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRFGHQWCFLLFLVCLLLLKAEGGSIPITIVETAKAKGAVCLDGSPPAYHMVEGSGSGINNWLVHMEGGGWCGDIESCLERKDTYKGSSSKMEKIMGFSGLLGEKQASNPDFYNWNRIKIKYCDGSSFTGDVETVDPKNQLYFRGDRIWQAVIDDLLAKGMKNAQNAILSGCSAGGLAAILHCDRFKSLLPATAKVGCVSDAGYFIHGKDVAGGFEIENFFGRIVGLHGSVKSLPPSCTSKMKPEMCFFPQYVAQSMETPLFVLNPAYDFWQLKNIMAPSAVDPKGTWKSCKFDITKCSADQLKTVQDYRTQFLNALSSGAGSKPANGYFIDSCYAHCQSGATATWFDSKSPQVNKTKIGTAVGDWFFNRAKIEKIDCPYPCNPTCVKVDSDS >Manes.17G001900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1904819:1909039:1 gene:Manes.17G001900.v8.1 transcript:Manes.17G001900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMGDSRFGHQWCFLLFLVCLLLLKAEGGSIPITIVETAKAKGAVCLDGSPPAYHMVEGSGSGINNWLVHMEGGGWCGDIESCLERKDTYKGSSSKMEKIMGFSGLLGEKQASNPDFYNWNRIKIKYCDGSSFTGDVETVDPKNQLYFRGDRIWQAVIDDLLAKGMKNAQNAILSGCSAGGLAAILHCDRFKSLLPATAKVGCVSDAGYFIHGKDVAGGFEIENFFGRIVGLHGSVKSLPPSCTSKMKPEMCFFPQYVAQSMETPLFVLNPAYDFWQLKNIMAPSAVDPKGTWKSCKFDITKCSADQLKTVQDYRTQFLNALSSGAGSKPANGYFIDSCYAHCQSGATATWFDSKSPQVNKTKIGTAVGDWFFNRAKIEKIDCPYPCNPTCVKVDSDS >Manes.15G127200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10134453:10135104:1 gene:Manes.15G127200.v8.1 transcript:Manes.15G127200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDRSWLCLRFVFVLFLAVFAMASTAACARPLKVAELGFLLDNRLPRGPVPPSGPSPCHNKFSQSHTDTTDYIICP >Manes.09G009100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2002729:2008317:-1 gene:Manes.09G009100.v8.1 transcript:Manes.09G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFIKIINPKRLNLTPKRLFRSKKDRSIVCRSDPSSYSYGATSSSSSDSFVSNHKGGSRDGVVDVGTPTSVLPEISSDWSDISCDIYSELVQAFKLIDRDNDGIVSRTELEALLSRLGAQPPSQEEVESMLSEVDHDGDGCISVEALINRIGSACEPAADEEMRVAFEFFDMDQDGKITAEELLGVYKAIGDEKCTLDDCRRMIAEVDKNGDGFVCFEDFSRMMELQR >Manes.03G134708.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26272352:26273551:-1 gene:Manes.03G134708.v8.1 transcript:Manes.03G134708.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMDMDMEMELKRYVKVWVLATTCLCYCYYVAARLPKGALRLLSILPVIYIFIILPITLTSVSLCGPTAFFLVWLANFKLLLFSFGQAPLSPPPPKLFHFISLACLPIKLVQRTDNDNNPSPSFMPRLLLVIKTFVLLLLLHVHNYRQFIHPYVFLSLYCLEMYLQIELVLAISAIPARALFRFEIEPQFNEPYLATSLQDFWGHRWNLMVTSILRPTVYYPVSEFSKRLIGPKWASLPGVVATFVVSGLMHEVIFFYLTRVSPTWEVTWFFILHGICVAMEVALKKMVKDRWQLHRAISGPLAVSFAGVTGFWLFFPQLTRNRVDDQVVWECYILLNFIKHKVSSFFIG >Manes.17G015167.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7995290:7996751:1 gene:Manes.17G015167.v8.1 transcript:Manes.17G015167.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.07G082700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26112525:26116671:-1 gene:Manes.07G082700.v8.1 transcript:Manes.07G082700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPINPTSLFFPSNPYPLLPLPSSATTSHRCFAAGDFRLGPTFPRWFHFTSATADAGSIRIGQESDGAASAPADGSSTAPLRPGVGGSGSSGSIRVNAREKKWSRNRESYLADNEDALPLPMTYPDSKPVPPEEIDKRLRCDPEIQDCKEVVYEWTGKCRSCQGSGYVSYYNKRGKETICKCVPCLGIGYVQKITARKDIDVMEDLDNGKPP >Manes.01G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31255703:31257156:1 gene:Manes.01G115400.v8.1 transcript:Manes.01G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCCDKQGNNNKGAWSKQEDQKLIDYITTHGQGCWRSIPQAAGLHRCGKSCRLRWRNYLRPDIKRGNFAQDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNHWNSYLRKKLINMGIDPNNHRLNQILPRSQPVDDDEEACKSNKSKADTDGVSDAATSSLEEDETSAGSYHINLDLTIAVPSPAHAPNLETKPENCGIAATGEVLQNETSPALLLFL >Manes.01G115400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31255703:31257156:1 gene:Manes.01G115400.v8.1 transcript:Manes.01G115400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCCDKQGNNNKGAWSKQEDQKLIDYITTHGQGCWRSIPQAAGIFTTRGGDQGLHRCGKSCRLRWRNYLRPDIKRGNFAQDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNHWNSYLRKKLINMGIDPNNHRLNQILPRSQPVDDDEEACKSNKSKADTDGVSDAATSSLEEDETSAGSYHINLDLTIAVPSPAHAPNLETKPENCGIAATGEVLQNETSPALLLFL >Manes.01G053875.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:21161296:21163787:-1 gene:Manes.01G053875.v8.1 transcript:Manes.01G053875.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDHELVTSDQASFPPIVASSQADLFQVRDGDVLFPHLPASTTASFPTSFLPLMLQETNSELPCLADWNPFSWNTGFFVIRSRNIHRKDTF >Manes.18G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23947709:23954966:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRVRRYGRGQTNTLLPLRTAETRIRRLSNTISCWYCDYKISALNVPLFRFGRRHAGFLRVWFSIGVGFTLTALVVVTLILLWVLGSSLQIFRGNTELSNILSSIIFGFSPRVYALRLSLADASYLLLSTLISISVHEFGHALAAASEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQDETCLSPVELPGLIWAEITYSSPYSPECLQVGRKSFPHSETADFTEDNCGGTFVFVGDVISMAHSIHLTSYQPRWAFGWSAYIPKVLEKSLIFTFQVSLTLALLNSLPVYFLDGESILEAALCHFTLLGPRKRAEFLRACLLGGTIICVLAFVRIFFFNFL >Manes.18G124500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23947709:23954966:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRVRRYGRGQTNTLLPLRTAETRIRRLSNTISCWYCDYKISALNVPLFRFGRRHAGFLRVWFSIGVGFTLTALVVVTLILLWVLGSSLQIFRGNTELSNILSSIIFGFSPRVYALRLSLADASYLLLSTLISISVHEFGHALAAASEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQVYFLDGESILEAALCHFTLLGPRKRAEFLRACLLGGTIICVLAFVRIFFFNFL >Manes.18G124500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23947317:23955042:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRVRRYGRGQTNTLLPLRTAETRIRRLSNTISCWYCDYKISALNVPLFRFGRRHAGFLRVWFSIGVGFTLTALVVVTLILLWVLGSSLQIFRGNTELSNILSSIIFGFSPRVYALRLSLADASYLLLSTLISISVHEFGHALAAASEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQNACKLEGNLFHTQKLQTSLKITVVGLLSSLVM >Manes.18G124500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23950130:23954966:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRVRRYGRGQTNTLLPLRTAETRIRRLSNTISCWYCDYKISALNVPLFRFGRRHAGFLRVWFSIGVGFTLTALVVVTLILLWVLGSSLQIFRGNTELSNILSSIIFGFSPRVYALRLSLADASYLLLSTLISISVHEFGHALAAASEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQVEYFQILLYFATFIIISMFLSFAHFLKGHCLEL >Manes.18G124500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23947709:23954966:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVGTFSLKSSEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQDETCLSPVELPGLIWAEITYSSPYSPECLQVGRKSFPHSETADFTEDNCGGTFVFVGDVISMAHSIHLTSYQPRWAFGWSAYIPKVLEKSLIFTFQVSLTLALLNSLPVYFLDGESILEAALCHFTLLGPRKRAEFLRACLLGGTIICVLAFVRIFFFNFL >Manes.18G124500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23947313:23955042:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRVRRYGRGQTNTLLPLRTAETRIRRLSNTISCWYCDYKISALNVPLFRFGRRHAGFLRVWFSIGVGFTLTALVVVTLILLWVLGSSLQIFRGNTELSNILSSIIFGFSPRVYALRLSLADASYLLLSTLISISVHEFGHALAAASEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQDETCLSPVELPGLIWAEITYSSPYSPECLQVGRKSFPHSETADFTEDNCGGTFVFVGDVISMAHSIHLTSYQPRWAFGWSAYIPKVLEKSLIFTFQVSLTLALLNSLPVYFLDGESILEAALCHFTLLGPRKRAEFLRACLLGGTIICVLAFVRIFFFNFL >Manes.18G124500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23947709:23954966:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVGTFSLKSSEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQDETCLSPVELPGLIWAEITYSSPYSPECLQVGRKSFPHSETADFTEDNCGGTFVFVGDVISMAHSIHLTSYQPRWAFGWSAYIPKVLEKSLIFTFQVSLTLALLNSLPVYFLDGESILEAALCHFTLLGPRKRAEFLRACLLGGTIICVLAFVRIFFFNFL >Manes.18G124500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23947317:23955042:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRVRRYGRGQTNTLLPLRTAETRIRRLSNTISCWYCDYKISALNVPLFRFGRRHAGFLRVWFSIGVGFTLTALVVVTLILLWVLGSSLQIFRGNTELSNILSSIIFGFSPRVYALRLSLADASYLLLSTLISISVHEFGHALAAASEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQVYFLDGESILEAALCHFTLLGPRKRAEFLRACLLGGTIICVLAFVRIFFFNFL >Manes.18G124500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23947709:23954966:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRVRRYGRGQTNTLLPLRTAETRIRRLSNTISCWYCDYKISALNVPLFRFGRRHAGFLRVWFSIGVGFTLTALVVVTLILLWVLGSSLQIFRGNTELSNILSSIIFGFSPRVYALRLSLADASYLLLSTLISISVHEFGHALAAASEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQDETCLSPVELPGLIWAEITYSSPYSPECLQVGRKSFPHSETADFTEDNCGGTFVFVGDVISMAHSIHLTSYQPRWAFGWSAYIPKVLEKSLIFTFQVSLTLALLNSLPVYFLDGESILEAALCHFTLLGPRKRAEFLRACLLGGTIICVLAFVRIFFFNFL >Manes.18G124500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23950130:23954966:-1 gene:Manes.18G124500.v8.1 transcript:Manes.18G124500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRVRRYGRGQTNTLLPLRTAETRIRRLSNTISCWYCDYKISALNVPLFRFGRRHAGFLRVWFSIGVGFTLTALVVVTLILLWVLGSSLQIFRGNTELSNILSSIIFGFSPRVYALRLSLADASYLLLSTLISISVHEFGHALAAASEGIQVEYIAIFVAVLFPGALVAFNYELLQILERFAALRLYCAGIWHNAVCCAACGLVLFLLPLILCPFYMHGNRPMVFDVPSTSPLSGYLSPGDVIMSLDGKHIHNEQEWMEMTSVIHERALQHSNHSENSIGFAMIHNRKGYCVPTSLIEESKKIHLVYNQSSCPDDLTEFVAIQCYGSSKLDDVSNGDDHLNKRESRLCLKATDVVKLNKCSDGWVTEITNGSSCICSQDETCLSPVELPGLIWAEITYSSPYSPECLQVGRKSFPHSETADFTEDNCGGTFVFVGDVISMAHSIHLTSYQPRWAFGWSAYIPKVLEKSLIFTFQVSLTLALLNSLPVNFLPFDILLQFYLH >Manes.07G123900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32732778:32735545:-1 gene:Manes.07G123900.v8.1 transcript:Manes.07G123900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRFFLRRQPYVNNWIISAACMMIVKRNYDSDDSQIDEICNYSFLLIYSGESIEGMVIPDEWKESMLWVQTLILLTLEPDEMEVDLPHELFFDHIVLMQPHIRHFCYQLLNDGLLTTAFLVFPPHYLVAGALYAAKKLFGYPFRDDWWEQYGLTPDHLEVVGEFFCESQRIKQQSSLMSSFKYILRTLHTTVEGLSQKLADANQEIQRLTRALAESHRTTN >Manes.07G123900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32732778:32735545:-1 gene:Manes.07G123900.v8.1 transcript:Manes.07G123900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTQAIWVDSFWFIDNLSRLLNFYRYDRYRCAAAATVMCQRFFLRRQPYVNNWIISAACMMIVKRNYDSDDSQIDEICNYSFLLIYSGESIEGMVIPDEWKESMLWVQTLILLTLEPDEMEVDLPHELFFDHIVLMQPHIRHFCYQLLNDGLLTTAFLVFPPHYLVAGALYAAKKLFGYPFRDDWWEQYGLTPDHLEVVGEFFCESQRIKQQSSLMSSFKYILRTLHTTVEGLSQKLADANQEIQRLTRALAESHRTTN >Manes.07G123900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32732778:32735545:-1 gene:Manes.07G123900.v8.1 transcript:Manes.07G123900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTQAIWVDSFWFIDNLSRLLNFYRYDRYRCAAAATVMCQRFFLRRQPYVNNWIDEICNYSFLLIYSGESIEGMVIPDEWKESMLWVQTLILLTLEPDEMEVDLPHELFFDHIVLMQPHIRHFCYQLLNDGLLTTAFLVFPPHYLVAGALYAAKKLFGYPFRDDWWEQYGLTPDHLEVVGEFFCESQRIKQQSSLMSSFKYILRTLHTTVEGLSQKLADANQEIQRLTRALAESHRTTN >Manes.14G117042.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11160516:11161679:1 gene:Manes.14G117042.v8.1 transcript:Manes.14G117042.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEFEMSDLGLMHFFLGIEVKQSSILDRFKMKDCNAIFTPVECGLKLRRNDEGKKINATLYKQMVGSLMYLTSTRPDIMHGVSLISRYIENPTESHLLAAKRILRYLRGTVDFGIFYKRGVKENLFGFCDSNYAGDVDDQKSTSGFVFIMSSGAISWSSKKQQIVTLSTTEAEFISAATCSCQVIWLRRLLEMLQCQQQGPTKVFCDNVSAIKILKNPVLHGRSKHIDIRYHFLRDLCNDGTVDLLFCRSEDQVADIMTKPLKQAAFVKLRGLLGVCSVDQTFT >Manes.04G059444.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9847998:9850724:-1 gene:Manes.04G059444.v8.1 transcript:Manes.04G059444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATPYYQKVSRATARKDYFSAYEIEKMKVKVLLKDTDKVSVTIDLWKSKQQISYMVVTAHFVNSEWKLQKCTLNFCDIPSPHTGVVICDVLQKCLVKWGIEDKVWTISVDNASYNDVAIRMLKDNLVYNNSLALYGKLFHVRCCAHILNLLVQDGLSEIVDIIKNVRESVKYLVASESCRLIFSEIAKQLKLPSKKLLLDCGTRWNATYFMLSAALDENDWQKVKEVCSFLEEFNEVTNVISGTEYPTSNLFLPELHSIKKLLDEAHENGNIYMKAMVEDVHICQDNSNFDALEWWKMNNMKFRILSKMTRDILSISITTVASESAFSAASRIIDPYRDKEDIQEVILP >Manes.08G162700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39790467:39794364:-1 gene:Manes.08G162700.v8.1 transcript:Manes.08G162700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVKGALKAIREKGFGTFLRELREEGYTKCLLDGNLLQTKIHNIGATLVGVDKFGNKYYQRLEDMQYGRHRWVEYAEKGRYNASQVPPEWHGWLHFITDHTGDELLMLKPKRYGAEHKENLSGEGEEYIYHSKGHALNPGQKDWTRYQPWQPAKSE >Manes.15G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6268747:6270449:-1 gene:Manes.15G081600.v8.1 transcript:Manes.15G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVSGAWGNSNSFIEYIKMENKDGMIFPRLYSALPTSTTSSFSSPLQKDEAGHRKKKIKRKFLFAVKAVLFETSFAKMIKKKAFQRKMKQAKTDPLTKVEKDLNHVKGNSPFRKFSKKSDGTSITFARSSISSAWSSRNSSPNPGNSKPFVDNRLSFESCSSLETKKKQDMEQDNNGKGYHSSNTGLLLLLVTLLVLVFWGKVYAILCTSTWLFFVPNCNSGKRLQRIKANSHSMDFEEYKKKIVMDRNRSRHT >Manes.07G101700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30746974:30750011:1 gene:Manes.07G101700.v8.1 transcript:Manes.07G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDLNLDIISSESCCDGYHLSSNKNKNLLVCRELENDKLKAEEAACRAQMEDSAISNSSIIINVQEALTNPADDENSSNSALIFDILKKERLNDGNHGNNAFKESNPLRDFTTHQLFPQRNTGLELDFKSGFPKIMPQWLKLSQMEPSGEAELKIVQQKQQQMRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTALAAAKAYDRAAIKFRGVDADINFDLRDYDEDMKQMRNLSKEEFIHTLRRQSTGYARNNSKYRGLTLHECGRWEARLGQINAKMAYDKAAIKCNGRDAATNFKPSVYEGEIIFCPSSRGMSDHNLDLSLGMSAVTKDSKANNKVESSASKPEGVQTLHSLPIASKNLPTLSGIYPGFLQRNQERAEEKRIEPDSTTKSSSWGWKINGNNNNNINNVAKVSEMSSTVAASSGFSSSATVTATTSIAFAFNQQTDNNSMNNLCLATPTTNSYHYYSNRS >Manes.07G101700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30746974:30750011:1 gene:Manes.07G101700.v8.1 transcript:Manes.07G101700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDLNLDIISSESCCDGYHLSSNKNKNLLVCRELENDKLKAEEAACRAQMEDSAISNSSIIINVQEALTNPADDENSSNSALIFDILKKERLNDGNHGNNAFKESNPLRDFTTHQLFPQRNTGLELDFKSGFPKIMPQWLKLSQMEPSGEAELKIVQQKQQQMRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTALAAAKAYDRAAIKFRGVDADINFDLRDYDEDMKQMRNLSKEEFIHTLRRQSTGYARNNSKYRGLTLHECGRWEARLGQINAKMAYDKAAIKCNGRDAATNFKPSVYEGEIIFCPSSRGMSDHNLDLSLGMSAVTKDSKANNKERAEEKRIEPDSTTKSSSWGWKINGNNNNNINNVAKVSEMSSTVAASSGFSSSATVTATTSIAFAFNQQTDNNSMNNLCLATPTTNSYHYYSNRS >Manes.02G194800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15939603:15941455:-1 gene:Manes.02G194800.v8.1 transcript:Manes.02G194800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIDYIRVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGIDPQTHRPLNVKPTTTTTTTTTTTTTTTTTSAGAAKPTANRVTQLNFENASPQSKSEITLLKSNIDFKYSNSFNPIKAESIEDNNCTSSGMTTEEEHHHLHHHRQEEERNSHENQEVNLELTIGLPPMQSELTRTSSSNSADAESKLQQQTPYQFLSKMVTWGVCTCCQLGSQRSELCRNCQNSNGFYRFYH >Manes.15G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:247453:249459:1 gene:Manes.15G002500.v8.1 transcript:Manes.15G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLLANKAINTTTMALSTCTTIAPIVAYLVLLLTPISYSSPLVIRNVVDDERISSSISEKDIDLLEFPLNLEYLGAEFFLHASTGHGLERYAPNLASGGPRPLGAKKAKLDPLIRDVIEQFAWQDVGHLRAIKQTVEGFPRPLLDLRAESFAKVMDKAFGRSLSPPFDPYASGINFLIASYMIPYVGLTGYIGTNQRLKGSASKQLVAGLLSVKSGQDAVIRGLLYERALEKVQPYGITVAEFTDRLSDLRNKLGDRGLKDEGLIVPKYKGAEGKIRGNVLAGNQDSVGFARSPREILRIVYGGGNEHSAGGFFPHGANGRIARSYLR >Manes.06G032900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:8772663:8774006:1 gene:Manes.06G032900.v8.1 transcript:Manes.06G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAISSLLLLIFPAFTASKSIAESTVQSKRLVAKLIHRDSVLSPYYNSNETVVEQLQRSMKASAARLAYLYAQIERDIVYVNDHFLLSLLPSAYEPLFLVSFSMGQPPIPQLTIMDTGSSLLWVQCAPCKRCSQQTGPLLDPKKSSTYASLSCNHHLCHRAPGGDCNWLNQCVYKQSYVNGKKSIGVLATEQLVFQNSDGSINALPDVVFGCSHENGNYKDRRFTGVFGLGNGTTSLVSQMGSKFSYCIGNIADPNYRYNHLILGDGANIEGYSTPLEVVEGHYYITLEGISVGEKRLVVDSNSFRREEKRKRVIIDSGTALTFLAEDLYRALENEIGSLLDTVLKPFWRESFLCYKGSVSQDLYGFPTVTFHFAEEADLVLDSESLFYQATADVLCMAVRKASGYGKDLSVIGLMAQQYYNMAYDLSQYKLFFQRIDCELLVD >Manes.09G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:312481:320500:1 gene:Manes.09G001400.v8.1 transcript:Manes.09G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLPSGEHDKDNEEPNGIDNMLSEDKLHNGDVGTENIVNVAEEVHAIEGGPMCSPTTEFKEEANLEPLAGMEFESHGAAYSFYQEYARSTGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQNKQDPENGTGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVIGLKNDLKNPFDKGRNSALEAADAKILLDFFTQLQSLNSNFFYAVELGEDQLLKNLVWIDAKSRYDYVNFCDVVSFDATYIRSKYKIPLGLFVGVNQHCQFMLLGCALLSEESTTSYSWLMQTWLRGMGGLAPKVIITDQDKALKSVITEVFPNAHHYFFLWSILGKVAENLSQVTKRHENFMAKFEKCIFRSWTNDEFVKRWLKILDRFELRENDVMQSLYEDRDLWVPIYMRDATLAGISTIQRAESLNSYFDKYLHKKTTVQEFVKQYEAILQDRYEEEAKADSDTWNKQPTLKSPSPLEKSVSGIYTHAVFKKFQVEVLGVVACHPKMESQDETTVSFRVQDLEKHQDFTVVWNQIRSEVACICRLYEYKGYLCRHALVVLQMCQQSAIPSQYILKRWTKDVKSRHLLGEDCEQVQSRVQRYNLLCQRALKLSEEGSLSQESYNIAFRALEEAFGNCISANNSNKTLAEAGSSATHGLLCIEEDNQSRSMNKTNKKKNPAKKRKMNSEQEVTTVGAEDSLQQMDKLRSRAVTLDGYYGAQPSVPGMVQLNLMAPRDNFYGNQQTIQGLGQLNSIAPSHDGYYNAQQSMHGLAQMDFFRTPAGFSYGIRQDDPNMRTAQLHDNASRHA >Manes.09G001400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:312481:320500:1 gene:Manes.09G001400.v8.1 transcript:Manes.09G001400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLPSGEHDKDNEEPNGIDNMLSEDKLHNGDVGTENIVNVAEEVHAIEGGPMCSPTTEFKEEANLEPLAGMEFESHGAAYSFYQEYARSTGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQNKQDPENGTGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVIGLKNDLKNPFDKGRNSALEAADAKILLDFFTQLQSLNSNFFYAVELGEDQLLKNLVWIDAKSRYDYVNFCDVVSFDATYIRSKYKIPLGLFVGVNQHCQFMLLGCALLSEESTTSYSWLMQTWLRGMGGLAPKVIITDQDKALKSVITEVFPNAHHYFFLWSILGKVAENLSQVTKRHENFMAKFEKCIFRSWTNDEFVKRWLKILDRFELRENDVMQSLYEDRDLWVPIYMRDATLAGISTIQRAESLNSYFDKYLHKKTTVQEFVKQYEAILQDRYEEEAKADSDTWNKQPTLKSPSPLEKSVSGIYTHAVFKKFQVEVLGVVACHPKMESQDETTVSFRVQDLEKHQDFTVVWNQIRSEVACICRLYEYKGYLCRHALVVLQMCQQSAIPSQYILKRWTKDVKSRHLLGEDCEQVQSRVQRYNLLCQRALKLSEEGSLSQESYNIAFRALEEAFGNCISANNSNKTLAEAGSSATHGLLCIEEDNQSRSMNKTNKKKNPAKKRKMNSEQEVTTVGAEDSLQQMDKLRSRAVTLDGYYGAQPSVPGMVQLNLMAPRDNFYGNQQTIQGLGQLNSIAPSHDGYYNAQQSMHGLAQMDFFRTPAGFSYGIRDDPNMRTAQLHDNASRHA >Manes.09G001400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:312481:320500:1 gene:Manes.09G001400.v8.1 transcript:Manes.09G001400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLPSGEHDKDNEEPNGIDNMLSEDKLHNGDVGTENIVNVAEEVHAIEGGPMCSPTTEFKEEANLEPLAGMEFESHGAAYSFYQEYARSTGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRARQNKQDPENGTGRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVIGLKNDLKNPFDKGRNSALEAADAKILLDFFTQLQSLNSNFFYAVELGEDQLLKNLVWIDAKSRYDYVNFCDVVSFDATYIRSKYKIPLGLFVGVNQHCQFMLLGCALLSEESTTSYSWLMQTWLRGMGGLAPKVIITDQDKALKSVITEVFPNAHHYFFLWSILGKVAENLSQVTKRHENFMAKFEKCIFRSWTNDEFVKRWLKILDRFELRENDVMQSLYEDRDLWVPIYMRDATLAGISTIQRAESLNSYFDKYLHKKTTVQEFVKQYEAILQDRYEEEAKADSDTWNKQPTLKSPSPLEKSVSGIYTHAVFKKFQVEVLGVVACHPKMESQDETTVSFRVQDLEKHQDFTVVWNQIRSEVACICRLYEYKGYLCRHALVVLQMCQQSAIPSQYILKRWTKDVKSRHLLGEDCEQVQSRVQRYNLLCQRALKLSEEGSLSQESYNIAFRALEEAFGNCISANNSNKTLAEAGSSATHGLLCIEEDNQSRSMNKTNKKKNPAKKRKMNSEQEVTTVGAEDSLQQMDKLRSRAVTLDGYYGAQPSVPGMVQLNLMAPRDNFYGNQQTIQGLGQLNSIAPSHDGYYNAQQSMHGLAQMDFFRTPAGFSYGIRQDDPNMRTAQLHDNASRHA >Manes.17G062500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26200317:26210852:1 gene:Manes.17G062500.v8.1 transcript:Manes.17G062500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKLQGSSQNKNMSGNKDNSAPVTHRTRLERLLRDRELRKFNRNVNQTEELSDGVKEAELSAKDSVTEETETCGPLHEEASFEGVDVNVCERPRRPKQRLLVVANSLPVSAIRKGQDSWKLEISVDGLVNPLLGVKEFEARWIGWAGVNVPDEIGQKALSTALAEKKCIPVFLDEHIVHQYYNGYCNNVLWPLFHYLGLPQEDRLPTTRSFQSQFDAYKKANQMFADVVNRHYEEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLIGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKDKFSGRKVMLGVDRLDMIKGIPRKILAFEEFLEENPEWRDKVVLLQIAVPSRTHVPEHQKLTSQVHKIVTRINGRFGTLTAVPIHHLDRTLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQTSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVASSISYALNMPADERENRHNHNFRHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVLPLLPIHDAVERYLQSNNRLLILGFNATLTEPVDSLGRRSGQIREMEPRLHPGLKEPLKKLCDDQMTTIVVLSGSDRTILDENFGGYNMWLAAENGMSLRLTTGEWMTTMPENLNMDWVDSHVFEYFTERTPRAYYELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGGRSVEVRAVGITKGAAIDRILRQIVHNQGMRTPIDYVLCVGHFLAKDEDIYTFFEPEHPSESPGIVRTKSVPKVPYGGSRAQYHRQKQRSLSTLEGNTAGSAGWRPIVSDRVSEHEGSSILDLKRENYFSCAVSRKQSIARYLLGTSDDVVALLKQMADSSSLT >Manes.08G024700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2467084:2469551:1 gene:Manes.08G024700.v8.1 transcript:Manes.08G024700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPDEISQGEVESPLQSDHHLRNPLFSSLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLASIWNAEEHQATAATSNSDQIKNSNYNSRGTQGFNDGSGQIAISTQPSLPRQGSLSLPEPLCRKTVDEVWSEIHREQQQQQQGNQGRETSNVNNIQNPEPAASRRQTFGEMTLEDFLVKAGVVREQCPAPPLPTQQRQYGLYQSNNNNNPTMGTSFVSRPFLGIGSGGGGVAVSYQTMPQEAGQIGEVSGRNGGLPTQAAAAAPGSAYGGRVGNGGGYPPSQAMGVMGQVSPVTPDGLVDNAANQFGIDMSGLRGGKRIVDGPKEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENMQLRQSLAELENKRKQQYLEESRMKVQTKAQKTKEKLRMMRRNVSCPL >Manes.08G024700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2467084:2469551:1 gene:Manes.08G024700.v8.1 transcript:Manes.08G024700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPDEISQGEVESPLQSDHHLRNPLFSSLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLASIWNAEEHQATAATSNSDQIKNSNYNSRGTQGFNDGSGQIAISTQPSLPRQGSLSLPEPLCRKTVDEVWSEIHREQQQQQQGNQGRETSNVNNIQNPEPAASRRQTFGEMTLEDFLVKAGVVREQCPAPPLPTQQRQYGLYQSNNNNNPTMGTSFVSRPFLGIGSGGGGVAVSYQTMPQEAGQIGEVSGRNGGLPTQAAAAAPGSAYGGRVGNGGGYPPSQAMGVMGQVSPVTPDGLVDNAANQFGIDMSGLRGGKRIVDGPKEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENMQLRQSLAELENKRKQQYLEESRMKVQTKAQKTKEKLRMMRRNVSCPL >Manes.08G024700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2467084:2469551:1 gene:Manes.08G024700.v8.1 transcript:Manes.08G024700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPDEISQGEVESPLQSDHHLRNPLFSSLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLASIWNAEEHQATAATSNSDQIKNSNYNSRGTQGFNDGSGQIAISTQPSLPRQGSLSLPEPLCRKTVDEVWSEIHREQQQQQQGNQGRETSNVNNIQNPEPAASRRQTFGEMTLEDFLVKAGVVREQCPAPPLPTQQRQYGLYQSNNNNNPTMGTSFVSRPFLGIGSGGGGVAVSYQTMPQEAGQIGEVSGRNGGLPTQAAAAAPGSAYGGRVGNGGGYPPSQAMGVMGQVSPVTPDGLVDNAANQFGIDMSGLRGGKRIVDGPKEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENMQLRQSLAELENKRKQQYLEESRMKVQTKAQKTKEKLRMMRRNVSCPL >Manes.08G024700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2467084:2469551:1 gene:Manes.08G024700.v8.1 transcript:Manes.08G024700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPDEISQGEVESPLQSDHHLRNPLFSSLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLASIWNAEEHQATAATSNSDQIKNSNYNSRGTQGFNDGSGQIAISTQPSLPRQGSLSLPEPLCRKTVDEVWSEIHREQQQQQQGNQGRETSNVNNIQNPEPAASRRQTFGEMTLEDFLVKAGVVREQCPAPPLPTQQRQYGLYQSNNNNNPTMGTSFVSRPFLGIGSGGGGVAVSYQTMPQEAGQIGEVSGRNGGLPTQAAAAAPGSAYGGRVGNGGGYPPSQAMGVMGQVSPVTPDGLVDNAANQFGIDMSGLRGGKRIVDGPKEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENMQLRQSLAELENKRKQQYLEESRMKVQTKAQKTKEKLRMMRRNVSCPL >Manes.08G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2467084:2469551:1 gene:Manes.08G024700.v8.1 transcript:Manes.08G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPDEISQGEVESPLQSDHHLRNPLFSSLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLASIWNAEEHQATAATSNSDQIKNSNYNSRGTQGFNDGSGQIAISTQPSLPRQGSLSLPEPLCRKTVDEVWSEIHREQQQQQQGNQGRETSNVNNIQNPEPAASRRQTFGEMTLEDFLVKAGVVREQCPAPPLPTQQRQYGLYQSNNNNNPTMGTSFVSRPFLGIGSGGGGVAAAAAAPGSAYGGRVGNGGGYPPSQAMGVMGQVSPVTPDGLVDNAANQFGIDMSGLRGGKRIVDGPKEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENMQLRQSLAELENKRKQQYLEESRMKVQTKAQKTKEKLRMMRRNVSCPL >Manes.01G013500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3410244:3414241:-1 gene:Manes.01G013500.v8.1 transcript:Manes.01G013500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEISTIHTQHGGGPVRRNVVCHFWMLGKCNRNPCRFMHRELPHHNVYQRTSKQPNVLADAQSMKRSRYGLGNPEALSGGSEAKTAQKSSNHVIKHWPSKRSNIRNSLASATGDGGSEYKYTQESISSGTNMELDNVKKIRESNKCSNSSDLIAEGDASEASSKKACEHWMSNNCIKGDRCQYLHSLFFGDWFSLLAKLEGHTEGVSGVAFPSGSDKLYSGSSDGTVRVWDCHTGQSSRVLNLGDKIGSLTSEGPWIFVGLPNVVKAWNIETAAEYNLNGPVGQVHAMAVAFDILFAGSQDGVILAWKGSNENPNLFEPATSLKGHTGAVICLTVGKKRLYSGSTDNSIRGVVAVCGIYDTEAKPVLLCSCNDNTVHLYDLPSFKERGRIFSKDEVRTMEMGPNGIFFTGDGAGLLNVWKIAESHLIVPQVA >Manes.01G013500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3410244:3414241:-1 gene:Manes.01G013500.v8.1 transcript:Manes.01G013500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEISTIHTQHGGGPVRRNVVCHFWMLGKCNRNPCRFMHRELPHHNVYQRTSKQPNVLADAQSMKRSRYGLGNPEALSGGSEAKTAQKSSNHVIKHWPSKRSNIRNSLASATGDGGSEYKYTQESISSGTNMELDNVKKIRESNKCSNSSDLIAEGDASEASSKKACEHWMSNNCIKGDRCQYLHSLFFGDWFSLLAKLEGHTEGVSGVAFPSGSDKLYSGSSDGTVRVWDCHTGQSSRVLNLGDKIGSLTSEGPWIFVGLPNVVKAWNIETAAEYNLNGPVGQVHAMAVAFDILFAGSQDGVILAWKGSNENPNLFEPATSLKGHTGAVICLTVGKKRLYSGSTDNSIRGVVAVCGIYDTEAKPVLLCSCNDNTVHLYDLPSFKERGRIFSKDEVRTMEMGPNGIFFTGDGAGLLNVWKIAESHLIVPQVA >Manes.01G013500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3410244:3414241:-1 gene:Manes.01G013500.v8.1 transcript:Manes.01G013500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEISTIHTQHGGGPVRRNVVCHFWMLGKCNRNPCRFMHRELPHHNVYQRTSKQPNVLADAQSMKRSRYGLGNPEALSGGSEAKTAQKSSNHVIKHWPSKRSNIRNSLASATGDGGSEYKYTQESISSGTNMELDNVKKIRESNKCSNSSDLIAEGDASEASSKKACEHWMSNNCIKGDRCQYLHSLFFGDWFSLLAKLEGHTEGVSGVAFPSGSDKLYSGSSDGTVRVWDCHTGQSSRVLNLGDKIGSLTSEGPWIFVGLPNVVKAWNIETAAEYNLNGPVGQVHAMAVAFDILFAGSQDGVILAWKGSNENPNLFEPATSLKGHTGAVICLTVGKKRLYSGSTDNSIRVWDLDYLQCIHTLNGHVDAVTSLICWNEYLLSCSLDRTIKVWAATEDDNIEGVVAVCGIYDTEAKPVLLCSCNDNTVHLYDLPSFKERGRIFSKDEVRTMEMGPNGIFFTGDGAGLLNVWKIAESHLIVPQVA >Manes.01G013500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3410244:3414241:-1 gene:Manes.01G013500.v8.1 transcript:Manes.01G013500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEISTIHTQHGGGPVRRNVVCHFWMLGKCNRNPCRFMHRELPHHNVYQRTSKQPNVLADAQSMKRSRYGLGNPEALSGGSEAKTAQKSSNHVIKHWPSKRSNIRNSLASATGDGGSEYKYTQESISSGTNMELDNVKKIRESNKCSNSSDLIAEGDASEASSKKACEHWMSNNCIKGDRCQYLHSLFFGDWFSLLAKLEGHTEGVSGVAFPSGSDKLYSGSSDGTVRVWDCHTGQSSRVLNLGDKIGSLTSEGPWIFVGLPNVVKAWNIETAAEYNLNGPVGQVHAMAVAFDILFAGSQDGVILAWKGSNENPNLFEPATSLKGHTGAVICLTVGKKRLYSGSTDNSIRVWDLDYLQCIHTLNGHVDAVTSLICWNEYLLSCSLDRTIKVWAATEDDNIEVIYTHDEEHGVVAVCGIYDTEAKPVLLCSCNDNTVHLYDLPSFKERGRIFSKDEVRTMEMGPNGIFFTGDGAGLLNVWKIAESHLIVPQVA >Manes.01G013500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3410150:3414241:-1 gene:Manes.01G013500.v8.1 transcript:Manes.01G013500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEISTIHTQHGGGPVRRNVVCHFWMLGKCNRNPCRFMHRELPHHNVYQRTSKQPNVLADAQSMKRSRYGLGNPEALSGGSEAKTAQKSSNHVIKHWPSKRSNIRNSLASATGDGGSEYKYTQESISSGTNMELDNVKKIRESNKCSNSSDLIAEGDASEASSKKACEHWMSNNCIKGDRCQYLHSLFFGDWFSLLAKLEGHTEGVSGVAFPSGSDKLYSGSSDGTVRVWDCHTGQSSRVLNLGDKIGSLTSEGPWIFVGLPNVVKAWNIETAAEYNLNGPVGQVHAMAVAFDILFAGSQDGVILAWKGSNENPNLFEPATSLKGHTGAVICLTVGKKRLYSGSTDNSIRVWDLDYLQCIHTLNGHVDAVTSLICWNEYLLSCSLDRTIKVWAATEDDNIEGVVAVCGIYDTEAKPVLLCSCNDNTVHLYDLPSFKERGRIFSKDEVRTMEMGPNGIFFTGDGAGLLNVWKIAESHLIVPQVA >Manes.01G013500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3410128:3414241:-1 gene:Manes.01G013500.v8.1 transcript:Manes.01G013500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEISTIHTQHGGGPVRRNVVCHFWMLGKCNRNPCRFMHRELPHHNVYQRTSKQPNVLADAQSMKRSRYGLGNPEALSGGSEAKTAQKSSNHVIKHWPSKRSNIRNSLASATGDGGSEYKYTQESISSGTNMELDNVKKIRESNKCSNSSDLIAEGDASEASSKKACEHWMSNNCIKGDRCQYLHSLFFGDWFSLLAKLEGHTEGVSGVAFPSGSDKLYSGSSDGTVRVWDCHTGQSSRVLNLGDKIGSLTSEGPWIFVGLPNVVKAWNIETAAEYNLNGPVGQVHAMAVAFDILFAGSQDGVILAWKGSNENPNLFEPATSLKGHTGAVICLTVGKKRLYSGSTDNSIRVWDLDYLQCIHTLNGHVDAVTSLICWNEYLLSCSLDRTIKVWAATEDDNIEVIYTHDEEHGVVAVCGIYDTEAKPVLLCSCNDNTVHLYDLPSFKERGRIFSKDEVRTMEMGPNGIFFTGDGAGLLNVWKIAESHLIVPQVA >Manes.11G050300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5985219:5986905:-1 gene:Manes.11G050300.v8.1 transcript:Manes.11G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSPPKIPVVDFSQENLKPGTSSWVSTCKEVMQALEEYGCFEAVYDKVPLEFHKAFFEKLEELYDLPLETKRRNVSNIPYHGYVGNQPFIPAVYQGMGINNAATLEGTQAFTNSIWPEGNEDFCKTALEYSKLIAELEQTVMKMVFESYGAKQTHESHQESITYLFRLMKYRLPEKNEDDLGVGIHTDKCFVAALHQNEVSGLEVKTKDGQWILYEPSSPSSFIVNAGDALMAWSNDRIHAPLHRVVMRGSKEKARYSVGIFSFHKGVVQVPEELIDEQHPLRYKPFNNFDMLRYYNTEEGRAQENTVKAYCGI >Manes.13G021201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3055892:3062335:-1 gene:Manes.13G021201.v8.1 transcript:Manes.13G021201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYALPSWLLFLVLSLLLVFRCKEHRRRNNPILGNLHQLGELPHQAYWQLSKKYGSVMLVKLGRISNVIVSSAEAAKQVLKDHDLARCSRPQLAGATRLSYNYSDVAFTPYGDYWRNMKKLIILELYSLKRVKSFQALREREIELFINSISESAASATPVNLTEKLLSLTANITFKMSFGFDYHGTDFDRKRFPEVVHDSEAVVAAFSIGELIPYVGWILDTFFQYVINDHLKPERKKEQDDVIDVLIRTKKEQADLGNSKFTNNTIKGVLLNLFAAGVDTSAIIVNWAMAELAKNPRVMKKVQNEIRNCYRNHVGKKGRLTKDDIDKLEYLKMVIKETFRLHPAAPLLIPRETISHCNINGYNIYPKTIIQVNVWAIGHSLGQNFEFLPFGAGRRICPGMHMGTITINSILANLLYWFNWKLPNRMKSEDINMEEKAEISLTVSKKIPLSFVPVKYLQ >Manes.12G100800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:26736823:26737235:1 gene:Manes.12G100800.v8.1 transcript:Manes.12G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALKLFVYTLVIFFVSLFIFGFLSNDPGHNHGREE >Manes.13G037600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4533186:4537460:-1 gene:Manes.13G037600.v8.1 transcript:Manes.13G037600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKRVEAGPSTTGIVSEKQERQKEMAEKAPAETVIDDGEEEDEDEDNGVPVAGFVPGPLLSLKEQIEKDKDDDSLRRWKEKLLGCVEGDLNDQMEPEVKFHSIGIISNDFGEINTPLPVDANRSGQVLFTLKEGSHYQLKLKFSVLHNIVSGLTYKNTVWKGGIQVDQSKGMLGTFAPQREPYEHVLEEETTPSGVLARGIYSAKLKFEDDDRRCHMDLNYSFEIKKR >Manes.07G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2148194:2155082:1 gene:Manes.07G018900.v8.1 transcript:Manes.07G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSSWENHHEEKKQSNHYEEDDTSLSLSSLSKIILPPLGVSSYNHNPIETKGWIISPMNSKYRCWETYMVVLVAYSAWVSPFEVAFLKSNPNKGLYVADSVVDLFFAIDIVLTFFVAYIDSTTHLMVRDRRKISIRYLSTWFSMDVASTIPFEALGYLFTGKRKMGLSYSLLGMLRFWRLRRVKQLFTRLEKDIRFSYFWVRCTRLLFVTLLLVHCAGCLCYLLADRYPHQGRTWLGSVNPNFRETSLRNRYISALYWSVTTMTTVGYGDLHAVNTGEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQNHLIEQLPKSICKCICQHLFLPIAEKVYLFKGVSREILLLLVAEMKAEYIPPREDVIMQNEAPDDVYIIVSGEVEIIDSALEKERIFGILQSGDMFGEVGALCCKPQSFTFRTKTLSQLLKLKTSALIETMQIKQEDYVAIIKNFLQHHKKLKDFKIGEFIAEGGEEDGDPNMAFNLLTAASAGNAAFLEELLRAKLDPDIGDSKGRTPLHFAASKGHEDCALALLRHGCNIHLKDVNGNTALWEALSSKHQSVFRILYHFANVSDPHTAGDLLCTAAKRNDLTMMNSLLKHGLNVDSKDRQGKTAVQIAMAQNYIDMVDLLVMNGADVSAANSSEFCSTTLNKMLQRRESGHRITMPDTVTSDEVILKMDQEEKQCKSSEKSNELKYTRVSIYRGHPLVRKETCCRQAGRLIRLPNSMEELKSIAGEKFRFDARNAMVTDEEGSEIDSIEVIRDNDKLFIVEDPTPFM >Manes.10G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:317318:331368:1 gene:Manes.10G004100.v8.1 transcript:Manes.10G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSPRIVHRLLRFRYCLHVSSSKPSCPSTSSVASRIRSSLPRPYSNGFSNSTLLNTQIPSRSSFSSTPTSNGGLSQVLSWAKPHFSFSFRTSHAFAKQSCFYGVRQFSFKTSSNFGKTANGNFAKKVFEKPATAVSAAISRYRDAIGLQIDAFWKRNLLILLGAGGVVLCALLWRVMFGIANTFIGLSEGMAKYGFLALSSAIVAFAGLYIRSRITINPDRVYRMAMRKLNTSAGILEVMGAPLTGTELRAYVMSGGGITLKNFKPRLRSKRCFLIFPIRGSEKKGLVSVEIKKKKGQYDMKLLAVDIPMATGPDQRLFLIGDEDEYKVGGGLIAELRDPVVKAMAASKEFDDLDRIEEEEDAARELQEAERKHREEIEKLERDGS >Manes.17G059000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25793760:25800031:-1 gene:Manes.17G059000.v8.1 transcript:Manes.17G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVENAFAEVLTQIYRIVSKKAMETGDEGAASAVPSQGEKIDVSKDVSAMKRVGCCSS >Manes.14G169900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27742745:27752511:-1 gene:Manes.14G169900.v8.1 transcript:Manes.14G169900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTFVDGVRRWFQRRNTSASSSSASNNFVNGADTDLSGHPQSSATSVHERGRKEEGGELENQLTVIEDFDFSGLKHIRVPKRSTHFAFGSTTTIGPPKKGSAEAEFFTEYGEASRYQIQEVVGTGSYGVVCSAIDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLQHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDLGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKARRYLNNMRKKLPVPFSQKFPNVDPLALRLLERLLAFDPKDRPTAEEALADPYFHGLSNVDREPSTQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLEEYLQGGEQTSFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPAPKEAASEKNNDLERETSTSGATNGPGNATATENGSAKGNHSTRSLLKSASISASKCIGAQPGTDTEDTVGEVSDESVNVDLSQKVATLHA >Manes.03G038000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3350956:3352789:1 gene:Manes.03G038000.v8.1 transcript:Manes.03G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREMENTFSQRRRTPSFSSSLLDAICRSFDEPNCREEEIFSQCRKTTVIKKQSIVNSVSTTRRDGFVEEDKEIFDLRRAIMIESWMEKQSTRGSVHCNSTSASSDSSSATGRNGGVFSPSEAESSVKDLTPQRNKPISEKQQKPKCEGGFTKTKLQALRIYGELKKVKQPISPGGRIASFLNSIFRSGTAKKAKMCSIGDMGDASYQRKSKSACSSVTSFSNSCFNKTPPSREKQSDGNKRSVRFYPVSVIVDEDSKPCGHKCIYEDDPGLMPMPVPKISRGSSVKQDAAKGGSYIRNYQKKNISEFDFRGFHSYVEDSNDDDSSCSSSDLFELDHLIGIGRYIEELPVYETTSLKTNQAIANGFSL >Manes.09G019100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4025429:4038500:1 gene:Manes.09G019100.v8.1 transcript:Manes.09G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLKDFEVHHKNPSEEALLRWRKAVSIVKNPRRRFRMVADLVKRSEAEKKKRSIQEKIRVALYVKKAALQFIDAAAAAAGGLPTERKLSDEVRKAGFLIEPDELACVARDHNIKALRANGGVEGIARQVSVSLNNGIHESSVATRQKIYGCNRYTEKPPRSFWMFVWEALQDVTLIILMVCAVVSVGVGIATEGWPKGVYDGLGIILSIFLVVMVTAISDYRQSLQFRDLDREKKKISIQVIRDGRTKEVFIYDLVIGDVVQLSTGDIVPTDGIYISGYSLVIDESSLTGESEPVNIDDKKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLAFAVLTFLVLTVRFLVEKALHNEFTHWSSYDAFALLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQDMALVRHLSACETMGSANCICTDKTGTLTTNHMVVDKIWICGKAKAINNRNGEGILGLEISDSALSFLLQVIFQNTGCEISRDEDGQIKILGTPTEKALFEFGLLLGGDFASQRKEVQILKVQPFSSDRKKMSVLVALPKGGLRASCKGASEIVLKMCDKVVDDSGKPVHLSEEQTRNVSDVINGFASEALRTLCLAFKDLDDTCEESRIPDFGYTLVAIVGIKDPVRPGVKDAVRTCLEAGITVRMVTGDNINTAKAIAKECGILTEGGLAIEAQDFRNKTPEEMRDIIPKIQVMARSLPLDKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKWGRAVYKNIQKFVQFQLTVNVVALVINFVSACISGSTPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRTPVGKGDSFITRAMWRNIFGQSIYQLVVLAVLNFDGKRLLGLNGSDATKVVNTLIFNSFVFCQVFNEINSRDIEKINIFRGMFDSWIFMVVIVATVTFQVIIVEFLGAFASTVPLSWQLWVLSVVIGAVSMPVAVVLKCIPVHRTTAKHHDGYDALPTGQELA >Manes.09G019100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4026384:4038500:1 gene:Manes.09G019100.v8.1 transcript:Manes.09G019100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKGLHGVGIATEGWPKGVYDGLGIILSIFLVVMVTAISDYRQSLQFRDLDREKKKISIQVIRDGRTKEVFIYDLVIGDVVQLSTGDIVPTDGIYISGYSLVIDESSLTGESEPVNIDDKKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLAFAVLTFLVLTVRFLVEKALHNEFTHWSSYDAFALLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQDMALVRHLSACETMGSANCICTDKTGTLTTNHMVVDKIWICGKAKAINNRNGEGILGLEISDSALSFLLQVIFQNTGCEISRDEDGQIKILGTPTEKALFEFGLLLGGDFASQRKEVQILKVQPFSSDRKKMSVLVALPKGGLRASCKGASEIVLKMCDKVVDDSGKPVHLSEEQTRNVSDVINGFASEALRTLCLAFKDLDDTCEESRIPDFGYTLVAIVGIKDPVRPGVKDAVRTCLEAGITVRMVTGDNINTAKAIAKECGILTEGGLAIEAQDFRNKTPEEMRDIIPKIQVMARSLPLDKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKWGRAVYKNIQKFVQFQLTVNVVALVINFVSACISGSTPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRTPVGKGDSFITRAMWRNIFGQSIYQLVVLAVLNFDGKRLLGLNGSDATKVVNTLIFNSFVFCQVFNEINSRDIEKINIFRGMFDSWIFMVVIVATVTFQVIIVEFLGAFASTVPLSWQLWVLSVVIGAVSMPVAVVLKCIPVHRTTAKHHDGYDALPTGQELA >Manes.09G019100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4025429:4038502:1 gene:Manes.09G019100.v8.1 transcript:Manes.09G019100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKGLHGVGIATEGWPKGVYDGLGIILSIFLVVMVTAISDYRQSLQFRDLDREKKKISIQVIRDGRTKEVFIYDLVIGDVVQLSTGDIVPTDGIYISGYSLVIDESSLTGESEPVNIDDKKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLAFAVLTFLVLTVRFLVEKALHNEFTHWSSYDAFALLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQDMALVRHLSACETMGSANCICTDKTGTLTTNHMVVDKIWICGKAKAINNRNGEGILGLEISDSALSFLLQVIFQNTGCEISRDEDGQIKILGTPTEKALFEFGLLLGGDFASQRKEVQILKVQPFSSDRKKMSVLVALPKGGLRASCKGASEIVLKMCDKVVDDSGKPVHLSEEQTRNVSDVINGFASEALRTLCLAFKDLDDTCEESRIPDFGYTLVAIVGIKDPVRPGVKDAVRTCLEAGITVRMVTGDNINTAKAIAKECGILTEGGLAIEAQDFRNKTPEEMRDIIPKIQVMARSLPLDKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKWGRAVYKNIQKFVQFQLTVNVVALVINFVSACISGSTPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRTPVGKGDSFITRAMWRNIFGQSIYQLVVLAVLNFDGKRLLGLNGSDATKVVNTLIFNSFVFCQVFNEINSRDIEKINIFRGMFDSWIFMVVIVATVTFQVIIVEFLGAFASTVPLSWQLWVLSVVIGAVSMPVAVVLKCIPVHRTTAKHHDGYDALPTGQELA >Manes.09G019100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4026465:4038532:1 gene:Manes.09G019100.v8.1 transcript:Manes.09G019100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWEALQDVTLIILMVCAVVSVGVGIATEGWPKGVYDGLGIILSIFLVVMVTAISDYRQSLQFRDLDREKKKISIQVIRDGRTKEVFIYDLVIGDVVQLSTGDIVPTDGIYISGYSLVIDESSLTGESEPVNIDDKKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLAFAVLTFLVLTVRFLVEKALHNEFTHWSSYDAFALLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQDMALVRHLSACETMGSANCICTDKTGTLTTNHMVVDKIWICGKAKAINNRNGEGILGLEISDSALSFLLQVIFQNTGCEISRDEDGQIKILGTPTEKALFEFGLLLGGDFASQRKEVQILKVQPFSSDRKKMSVLVALPKGGLRASCKGASEIVLKMCDKVVDDSGKPVHLSEEQTRNVSDVINGFASEALRTLCLAFKDLDDTCEESRIPDFGYTLVAIVGIKDPVRPGVKDAVRTCLEAGITVRMVTGDNINTAKAIAKECGILTEGGLAIEAQDFRNKTPEEMRDIIPKIQVMARSLPLDKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKWGRAVYKNIQKFVQFQLTVNVVALVINFVSACISGSTPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRTPVGKGDSFITRAMWRNIFGQSIYQLVVLAVLNFDGKRLLGLNGSDATKVVNTLIFNSFVFCQVFNEINSRDIEKINIFRGMFDSWIFMVVIVATVTFQVIIVEFLGAFASTVPLSWQLWVLSVVIGAVSMPVAVVLKCIPVHRTTAKHHDGYDALPTGQELA >Manes.08G161520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39721074:39726748:-1 gene:Manes.08G161520.v8.1 transcript:Manes.08G161520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMVLDPKSAPELPPSIPAVGSDLQKAFADLSNGDEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTSKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >Manes.07G029850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3247984:3248706:-1 gene:Manes.07G029850.v8.1 transcript:Manes.07G029850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMMKLLFLCLIFAGSISHSLEAEAEELTSEERSKEKNIHPILHMPAEIDNLQSPLIFRQGDGKFRKIVRKLTDVKLPSSPPSGPSGPSPSNHH >Manes.02G029900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2473259:2477890:-1 gene:Manes.02G029900.v8.1 transcript:Manes.02G029900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTISPLTVQPVPSSQLNVPAIMQRPSLNLDTVTPSDHVSRLINSNHYKSPSRTIYSDRFIPSRSNSNFALFNISSSSPPLPTNPPASEGGKEDSSSAYAALLRSALFGPHTPDKRDSPGRNIFRFKTETRQSMHSLSPFGHDEDRPGSSHSPVKTPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKVQIWDASRCRRVRTMEGHHLRVGALAWSSSVLSSGSRDKSILQRDIRAQEDFVSKLSGPKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCVRFWNTTTNSHLSCMDTGSQVCNLVWSRNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLALSPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGASSLGRTTIR >Manes.04G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31849707:31851150:1 gene:Manes.04G116100.v8.1 transcript:Manes.04G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRFASCFLLFNVLLFMCGHHASAARDVTKQAHFHNKNLKDDEVATKHPLKGLYYRILDELFKSPTSGPAGPGGSNTLNEFEDFVFDYVEPSYIGLFIPDQVYSGKIMPIYFPIQDPSTTVLPFIPQQKQMGYSISHSPSRLPNIFKNMPPKILKHPPAYDICDIDPDETKICAKDIESTLDFIGRAFDSNEEFKIVGTKQSMSTAVLQEYVVSEDPQEIRGSRKVICHPKHGSYYCHYDVKGANKVLKVLLDGENGDKVEAIAVCHLHTPVTVENAGHPLYRMLGLKAGISPVCHFLAVGNFVLVQT >Manes.03G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26012318:26015770:1 gene:Manes.03G132900.v8.1 transcript:Manes.03G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMLRIKRVPTVVSNFQKEEAEEGARRSEGCGRNCLRKCCIQGAKLPLYAFKKLNKIVCEKEVRGQENNEPPVAFLESLLLGEWEDRVQRGLFRYDVTACETKVIPGQYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFESSEDGDVQFFPSAPIDVDNSPSVVAINVSPIEYGHVLLIPRILECLPQRIDRESFLLALHMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVQFPIEKAPTKNITTLDSGVKIYELVNYPVRGLLFEGGNTLQDLSNTVSDACICLQDNNIPYNVLISDCGKRIFLLPQCYAEKQALGEVSPELLDTQVNPAVWEISGHMVLKRKKDYEEASEENAWRLLAEVSLSEARFEEVNALIFEAISCSNGTENDGQDLLEDPNDNKSLEEVDAAINKGSHCAMVSGTHECLVQQ >Manes.01G142700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33359584:33366778:-1 gene:Manes.01G142700.v8.1 transcript:Manes.01G142700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTTTTSTSLLPPTQRYAAGALFAIALHQAQIHQTQPLGVPPDDEPTEGERISSSSSNSSDSVSEDPDLWVHENSALLRPVFRFLDVDSNAWPGLEETAGSSPAKHHVGAFLRLLSEDSDDTSSQGSDQELALSKAVDAIADHMGKNADSYNSKKEKHREYENECREKLSAGEVQSHSETVKMSSETAEERYSNLIGVNYTQHGATSTVDQKPIEEEKMLSYHKKIKVLYELLSACLADKRGDNKKCARRRKGYDARHRVALRLLATWLDIKWIKMEAIETMVASSAMAVAKEEESKEEASKSAESKWAKWKRGGIIGAAAVTGGTLMAITGGLAAPAIAAGFSALAPTLGTLIPVIGASGFAAAATAAGTVAGSVAVAASFGAAGAGLAGTKMARRTGSVDEFEFKAIGENHNQGRLAVEILVSGFVFDEEDFTRPWEGHNDNLERYALQWESKNLIAVSTAIQDWLTSRIAFQLMKQGAMMTVLSTLLAALAWPATLLAATDFIDSKWTIAIDRADKAGKLLAEVLMKGLQGNRPVTLVGYSLGARVVFKCLETLAATEHNAEIVERVVLLGAPISIKGEKWEAARKMVAGRFVNAYSTNDWTLGVAFRARSSCNFSGPIYLTLFPVPI >Manes.01G142700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33359584:33366777:-1 gene:Manes.01G142700.v8.1 transcript:Manes.01G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTTTTSTSLLPPTQRYAAGALFAIALHQAQIHQTQPLGVPPDDEPTEGERISSSSSNSSDSVSEDPDLWVHENSALLRPVFRFLDVDSNAWPGLEETAGSSPAKHHVGAFLRLLSEDSDDTSSQGSDQELALSKAVDAIADHMGKNADSYNSKKEKHREYENECREKLSAGEVQSHSETVKMSSETAEERYSNLIGVNYTQHGATSTVDQKPIEEEKMLSYHKKIKVLYELLSACLADKRGDNKKCARRRKGYDARHRVALRLLATWLDIKWIKMEAIETMVASSAMAVAKEEESKEEASKSAESKWAKWKRGGIIGAAAVTGGTLMAITGGLAAPAIAAGFSALAPTLGTLIPVIGASGFAAAATAAGTVAGSVAVAASFGAAGAGLAGTKMARRTGSVDEFEFKAIGENHNQGRLAVEILVSGFVFDEEDFTRPWEGHNDNLERYALQWESKNLIAVSTAIQDWLTSRIAFQLMKQGAMMTVLSTLLAALAWPATLLAATDFIDSKWTIAIDRADKAGKLLAEVLMKGLQGNRPVTLVGYSLGARVVFKCLETLAATEHNAEIVERVVLLGAPISIKGEKWEAARKMVAGRFVNAYSTNDWTLGVAFRASLLTQGLAGIQPVNVPGIENIDITDIIEGHSSYLWATRQILEQLELDAYYPVFRSIAQQE >Manes.01G142700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33359583:33366777:-1 gene:Manes.01G142700.v8.1 transcript:Manes.01G142700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTTTTSTSLLPPTQRYAAGALFAIALHQAQIHQTQPLGVPPDDEPTEGERISSSSSNSSDSVSEDPDLWVHENSALLRPVFRFLDVDSNAWPGLEETAGSSPAKHHVGAFLRLLSEDSDDTSSQGSDQELALSKAVDAIADHMGKNADSYNSKKEKHREYENECREKLSAGEVQSHSETVKMSSETAEERYSNLIGVNYTQHGATSTVDQKPIEEEKMLSYHKKIKVLYELLSACLADKRGDNKKCARRRKGYDARHRVALRLLATWLDIKWIKMEAIETMVASSAMAVAKEEESKEEASKSAESKWAKWKRGGIIGAAAVTGGTLMAITGAAGAGLAGTKMARRTGSVDEFEFKAIGENHNQGRLAVEILVSGFVFDEEDFTRPWEGHNDNLERYALQWESKNLIAVSTAIQDWLTSRIAFQLMKQGAMMTVLSTLLAALAWPATLLAATDFIDSKWTIAIDRADKAGKLLAEVLMKGLQGNRPVTLVGYSLGARVVFKCLETLAATEHNAEIVERVVLLGAPISIKGEKWEAARKMVAGRFVNAYSTNDWTLGVAFRASLLTQGLAGIQPVNVPGIENIDITDIIEGHSSYLWATRQILEQLELDAYYPVFRSIAQQE >Manes.02G201800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19016901:19022459:1 gene:Manes.02G201800.v8.1 transcript:Manes.02G201800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSAEFQDWEVLVNSDADLINSPNSPNNSRTLDEIEADSEGVLKLDYFSLDNDSRYAKTVVDASEEGSVESDNPSWIDPGPEPRYQRRNSGEFWSDSGSDRSDERKFSDSDVKNELGIVENVKTEVGFEGIREIEGKDGKEGKFESPEGNFSNMVSKNEISFDEKFLPDSSGEYLVFGDIGKVNEGSEFMGESNTENAPKEESSNVVAAGERKPVGNEENRKTVWWKVPFELLKYCLFRVGPVWSFSMAAAVMGFVILGRRLYKMKRKTRSLPLKVTVDDKKVSQFVSRAGRLNEAFSVVRRVPIVRPLLPSSGVNSWPMMTLR >Manes.10G010000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1087947:1098117:-1 gene:Manes.10G010000.v8.1 transcript:Manes.10G010000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKATTIIIFSIVTSLSSLPSLMAAASSSNKTTYITAVFGFGDSTIDTGNNNYLPTNNRAVHPPYGIDFPTHIPNGRVSNGKLAIDFIASSLAHKIYMLPYLDPKLTDHDLLTGACFASAGSGLDPLTGMFDNVLNVSTQLDLFDEALRRIERLVGDGKARFIVENALFFFSVGTNDLLNNFYNVPIRTSEFTISGYQDFLLHKLEYAIKRLYSKGARRFALSSLGPIGCLPLQVSLFSKKLERRCVEQQNNDSIAYNRKLRLLASRLETQGARVVYCDAYNLTLDFINNPAKYGFKQTHKGCCGTGLVEYGRTCNVATPICQDRSEYHILGCFTSIAYNSYISCPTCSSDCDFTCFCQLELCLFPHPSIITYILKS >Manes.02G214200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:28061216:28065030:-1 gene:Manes.02G214200.v8.1 transcript:Manes.02G214200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSNCVQNVVWLPLSSSNDKRKPRPLSPTAKTEHIRVCTNRTCRRQGSMQTLEIMRALSPPDLPINSCGCLGRCGAGPNVALVPEGIIIGHCGTAAAAARIIARHYEHEDDDATIRKSLDALALRKRAQVEFDMGNFPQADLLLSQAIHLKPFGGLHIIYTYRSQVRLAMKHYLEALEDAQNALNLAPQYTEQACICEGDAFMAMEQYDAAEKSYSMCLQIDPTIRSSKAFKSRVAQVQEKLVAANMPDNQQ >Manes.04G034700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4477399:4478685:-1 gene:Manes.04G034700.v8.1 transcript:Manes.04G034700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPISNSHFTPVTFTYLLLLSSTTTPILAINITTLLSSYPDFSSFTSLLSSTPSLADDLTRRSSLTLLAVPNSFLSSSVDFTRHLSPSSLADLLRYHVLLQYFSWSDLHQIPTSGVLITTLFQTTGRAASNSGTVNITRNPTTNTVTINSPPPYSTSNATVLSLVKSLPYSISIISVNSLLIPDGFNLMESESRPPLGLNITKALLDGHNFFVAASMLSASGVVDEFEADERGAGITLFIPTDSAFADLPASVSLQSLPAEKKAVVLKFHVLHSYYPLGSLESIVNPVQPTLATEATGAGSYTLNISRVNGSLAIDTGIVQASVTQTVFDQNPVAIFGVSKVLLPREIFGKNAVTTSKPENEVMGSAQPPDISLSPESSPGYDEQPSHLSSPPGFRANIKSGDTAEAATDGPQSLILALYCTLLYLLV >Manes.14G105200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8811143:8823639:1 gene:Manes.14G105200.v8.1 transcript:Manes.14G105200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYNLALILKNCLNENEFLLVKQTPPPKFFDEEYDSFVDTDLWDLPSTKLNLLDGELEPGIAIEGMESLLPKINFRKYDIQSAINRVLEQVRIEAVDKGDWKFLKLVEEPEFGPGLPAHTIYVTGLTGGNESLPELCKWMHIQSCLNWLLDVKPSSDRVGPLAVIGVINDAVQYAEPKVHTTLKHQEYPPGVTLVPMKSRTQKPFHTTNVIIFAPQSVSTECGDYKFVASGDALIVDPGCLADFHGELLKIVSALPRKLIVFVTHHHRDHVDGLSIIQKCNPDATLLAHENTMHRIGKEDWPLGYTPVSGGEDLCIGGQRLKVIFAPGHTDGHMALLHISTHSLIVGDHCVGQGSAILDVASGGNMTDYFQSTYKFIELAPNALIPMHGRVNLWPKHMLCAYLKNRRSRETAILKAIENGAKTLIDIVASVYCDVDRRAWIAAASNVRLHVDHLAQQNKLPKGFSLDNFNCSVTTFVDDLGKFESK >Manes.14G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8811143:8823639:1 gene:Manes.14G105200.v8.1 transcript:Manes.14G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYNLALILKNCLNENEFLLVKQTPPPKFFDEEYDSFVDTDLWDLPSTKLNLLDGELEPGIAIEGMESLLPKINFRKYDIQSAINRVLEQVRIEAVDKGDWKFLKLVEEPEFGPGLPAHTIYVTGLTGGNESLPELCKWMHIQSCLNWLLDVKPSSDRVGPLAVIGVINDAVQYAEPKVHTTLKHQEYPPGVTLVPMKSRTQKPFHTTNVIIFAPQSVSTECGDYKFVASGDALIVDPGCLADFHGELLKIVSALPRKLIVFVTHHHRDHVDGLSIIQKCNPDATLLAHENTMHRIGKEDWPLGYTPVSGGEDLCIGGQRLKVIFAPGHTDGHMALLHISTHSLIVGDHCVGQGSAILDVASGGNMTDYFQSTYKFIELAPNALIPMHGRVNLWPKHMLCAYLKNRRSRETAILKAIENGAKTLIDIVASVYCDVDRRAWIAAASNVRLHVDHLAQQNKLPKEFSTQKFQTTCGLHFLVRWTLAYLAGGFLSNYKQNMSKLLIAGAVAGFAATYSVKYKFNCK >Manes.14G105200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8812328:8823639:1 gene:Manes.14G105200.v8.1 transcript:Manes.14G105200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQSCLNWLLDVKPSSDRVGPLAVIGVINDAVQYAEPKVHTTLKHQEYPPGVTLVPMKSRTQKPFHTTNVIIFAPQSVSTECGDYKFVASGDALIVDPGCLADFHGELLKIVSALPRKLIVFVTHHHRDHVDGLSIIQKCNPDATLLAHENTMHRIGKEDWPLGYTPVSGGEDLCIGGQRLKVIFAPGHTDGHMALLHISTHSLIVGDHCVGQGSAILDVASGGNMTDYFQSTYKFIELAPNALIPMHGRVNLWPKHMLCAYLKNRRSRETAILKAIENGAKTLIDIVASVYCDVDRRAWIAAASNVRLHVDHLAQQNKLPKEFSTQKFQTTCGLHFLVRWTLAYLAGGFLSNYKQNMSKLLIAGAVAGFAATYSVKYKFNCK >Manes.14G105200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8812328:8823639:1 gene:Manes.14G105200.v8.1 transcript:Manes.14G105200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQSCLNWLLDVKPSSDRVGPLAVIGVINDAVQYAEPKVHTTLKHQEYPPGVTLVPMKSRTQKPFHTTNVIIFAPQSVSTECGDYKFVASGDALIVDPGCLADFHGELLKIVSALPRKLIVFVTHHHRDHVDGLSIIQKCNPDATLLAHENTMHRIGKEDWPLGYTPVSGGEDLCIGGQRLKVIFAPGHTDGHMALLHISTHSLIVGDHCVGQGSAILDVASGGNMTDYFQSTYKFIELAPNALIPMHGRVNLWPKHMLCAYLKNRRSRETAILKAIENGAKTLIDIVASVYCDVDRRAWIAAASNVRLHVDHLAQQNKLPKGFSLDNFNCSVTTFVDDLGKFESK >Manes.03G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:405365:407056:-1 gene:Manes.03G004500.v8.1 transcript:Manes.03G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVGPTFSIEVSSPTAFVVPSSQDNLHGSAAPSPCIFLKQDDDDGGSEGLISSRIPPEKPLDYSSDSSSSIGVPDDSEEEKDEEEEGEEVDSSVSLKGAFACLDSLEDSLPIKRGLSNHFSGKSKSFGNLSDMSCVNRVKDLEKPVNPFNKRRRLIMANKWSRKSSFYNWPNPKSMPLLALNEEDENDATALTEEEEEEHQQASPSSSSSFSEQEGQKDHIIPLKLSKLQERKFKASFKSQSCFSLTDLQEQHYQ >Manes.09G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30912052:30921925:-1 gene:Manes.09G107100.v8.1 transcript:Manes.09G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYTVHLTMAALLGASLVAVSAYYMHRKTLTQLLEFAKTVERERERERDDNSDGDSPQHLKKRRSHGRRKGNGYYRRGSASLPDVSAISGGGGGVDGMEKRNGPLHVDGIPPGLPRLHTLPEVKAAGHVKRAGNHIRPTSPKSPVPSASAFESVEGSDEEDNMTDSTKIDITYIHTNGNAAAGPECKGLFDNLPKQVNANGEQIPIPASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFARLKISPTEVPSPDEVESYIVLQECLEMRKRYVFKEAIAPWEKEVISDPSTPKPNPEPFFYAPEGKSDHYFEMQDGVIHVYADKDSQEELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGREYYKRGPDGNDIHKTNVPHIRVEFRETIWREEMQQVYLGKAIIPAELDK >Manes.01G194000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36843390:36845597:-1 gene:Manes.01G194000.v8.1 transcript:Manes.01G194000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCSQCGNNGHNSRTCGESSSGGAAAVKGEENGIMLFGVRVMAEGASFRKSVSMNNLSQYDYEQQPQDPNADAAAGYESDDVVHASGRSRERKRGVPWTEEEHRLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNQNRRRRRSSLFDITTDTFVGSSMEEEQETVALPLPPPPHLTNNLGGFPMSTFPVSLSPLVLPGTGDNSVDNLSLGSSSSKLQISPKPIRPIPIIPVPPSSKMADLNLNQKSPTKDPLPLSLKLSAPSSEEQSPTASTTTHSSSAFQALSGGDSIISVA >Manes.11G071550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10355210:10355518:-1 gene:Manes.11G071550.v8.1 transcript:Manes.11G071550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTALVEKAGLATSLSTLQPPKETCWKLHGRPTRGRREKQDTSRTQANLVETVETTAAEFLSPNEIQSLKRLLSHIDTSSSSGATSNFVKSGNAFSLDNIP >Manes.01G092700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29402553:29412768:-1 gene:Manes.01G092700.v8.1 transcript:Manes.01G092700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAIQMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDVPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARVALDGRSIPRYLLPEHVGSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAIEGPVQPVVGPDGKKKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDIATAAVAKETLEGHCIYDGGYCKLHLSYSRHTDLNVKAYSEKSRDYTIPDASLLAGGQVSAPTAWQNPQAGPMYPMNNYAAGPTQVPAGQVPAWDPAVQAGGPVYASAPGTFPGQTYSAPPVPTYVTAAMPVGSSPLSQSSTISPGVASMPMTQTGIQPNLRPGGAPPVGQPPYYGR >Manes.01G092700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29402099:29410661:-1 gene:Manes.01G092700.v8.1 transcript:Manes.01G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAIQMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDVPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARVALDGRSIPRYLLPEHVGSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAIEGPVQPVVGPDGKKKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDIATAAVAKETLEGHCIYDGGYCKLHLSYSRHTDLNVKAYSEKSRDYTIPDASLLAGGQVSAPTAWQNPQAGPMYPMNNYAAGPTQVPAGQVPAWDPAVQAGGPVYASAPGTFPGQTYSAPPVPTYVTAAMPVGSSPLSQSSTISPGVASMPMTQTGIQPNLRPGGAPPVGQPPYYGR >Manes.04G065700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26149719:26151211:1 gene:Manes.04G065700.v8.1 transcript:Manes.04G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYAFIVSSFLLTSFLLSISAEQCGDQAGGALCPEGQCCSQWGWCGSTADFCCEGCQSQCNPDNICFGSGGVGGGGGSGGGNLSRIISKTSFEEMLIRRNDAACPALKFYTYEAFITAAQAFPTFGTTGDDATRKREIAAFFGETSHETIGGWPTAPDGPYASGYCFLKELSPSDYCSPSAGYPCAPGKQYYGRGPIQLTWNYNYGQCGNALGIDLLNNPDLLEKDPVISFKTAIWFWMTPQSPKPSCHDVITGKWSPSPADSVAGRVPGYGLITNIINGGLECGKGPDSRVEDRIGFYKRYCDILGVDYGDNLDCNSQRPFGNGLVDSM >Manes.01G069050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27037272:27038069:1 gene:Manes.01G069050.v8.1 transcript:Manes.01G069050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALTSKNKIKFIDGSLPLAKIDLISVIWIDKSVGVWNDLKDRFSQGDMICIFYLQEEIYSFKQGELYVTDYFNQLKILWDEFINFWPHLACTCVNPCNCGAVQTGQQYMKNDYVIRFLKGRIEQYANVKSQILMMEPLPSINKVFSLVLQQERNANKCSIRSKGFCY >Manes.05G111700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11401075:11402695:1 gene:Manes.05G111700.v8.1 transcript:Manes.05G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCSKFLRIIIFLHLCFSFFHLKDSLCADNFSYVPIQNTALDCGSYGLQTLSFDGRNWTGDVGSEFVAFSRHANSTVSTASSMDPGIPEVPYKTARLFYSEFTYIFNVTPGPKFVRLHFYPYSYSGLDASKAFLSVTYGHYTLLNNFSASLAANYDNAHTFFKEFIIHVQNHSLHLTFSPSSNASDAFAFVNGIEVVSMPLHLYVQGENVHLPSVGYPATPITLDNTSALETVYRINVGGDDVSPEADTGMFRTWTRDDQFIFGAAFGQLAYDFDLNFRYTAAVPAYTAPAVVYRTGRSMGANAAINLNYNLSWFFPVETGFLYLVRLHFCELDRNITKINQRVFSIYINNQTAYGGADVIAWSGGQGVPVYKDFITMFPQERERIQDLWLELHPNTRSQSEYYDAILNGVEIFKLNNHDGDLAGLNPPQKQGPLVNSTSRSSGSSKKRLLTVVGCSVSGVVLAFLILFVLRSGSEQQGYKKNLR >Manes.11G086565.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13784662:13787214:-1 gene:Manes.11G086565.v8.1 transcript:Manes.11G086565.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALRAKDKLGFVTGKISKPNSDAPEYKKWITVDSMIISWILNSISRDLSDGFLYAPSAHDLWNDIAERFGESNGPLFFQIKKELANISQGSMTLAAYYNKLKRYWDELSILCLLPPCACGVAKELTAFEERERLIQFLMGLNHQYENVSNQILLLDSLPSASKAYGMVQNVEKQKEIQVTFPESSDITTNKADRYCDFCQTSGHLKEKCFKLHGYPEWFSDFKKQKYGAKSNNTMAFNTIAESPLDTETTNAPHIVTDNMINSISRIVQFEISKALKGKSIQSRVEEVATAHQASSFAGIASVNCSSNFKCMDKGTWIIDSGATYHMSGDLALFDSISKLKTPRHVRLPDGRTKLVTHIGTIQLSPRITLFNTFYITDFHCNLLLGHPSTNKMRHLSVVPIISSDVLPCTVCPMAKQERFVFPSKVEHSLTIFELLHMDLWGPYRIKSVTGAYYMFTIVDDHSRFTWTYMLNSKTQVPYFPLYFWSETLLTATHLINRLPSEILKWKSPYELVHGHKPKLDYLRVIGSLCFATNLSPGKTKFSVRGLPSVLLGYGPQQKGYKLYNLHTKQIYISRGVRFHENVFPFHLTNPSSVKDFCLPECIVDEMAIHSSTIPIEPSFNPLTDQIPNVNISDLSLSSPIPSMGLSSPIHNSTPVEPNIELEPLSTEITMCNTPTRLFN >Manes.15G026400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2070330:2077625:-1 gene:Manes.15G026400.v8.1 transcript:Manes.15G026400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRSQDQSLSERKGQKRKLEEEIEEKQREISAAVPFGDAREALLYEVTAQVNILNSTFSWNEADRAAAKRATHVLAEFAKNEELVNVIVEGGAVPALIKHLQAPYTNEADRNPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSLLVDLLKRHKDGSSSRAVNSVIRRAADAITNLAHENSSIKTRVRVEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKKQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPSIKKEVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQDSHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQKLQDGEFIVQATKDCVSKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRTIFIDNNGLELLLGLLGSTSPKQQIDGAVALYRLANKAMSLSPVDAAPPSPTPQVYLGEQYVNNSTLSDVTFLVEGRRFCAHRICLLASSDAFRAMFDGGYLEKDARDIDIPNIRWEVFELMMRFIYTGSIDISLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVASMYELSEAFHAISLRHTCILFILEHFDKLNAKPRHSHLIQRIIPEIRNYFAKALTKINPRNLRL >Manes.12G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3558819:3563640:-1 gene:Manes.12G040400.v8.1 transcript:Manes.12G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDQLIIPGLPDDLALRCLARLSHGHHGLLETVSKRWRDLIRSSEYAHYKAREGWCGNWLFALTEQSNNQWIAYDPDADRWHPLPKFSGDYADWHHSGFSCVCIYNRLLVIGGSYAPQDSSLPHQKPLITDYVLQFDPFKKEWKRMESMRTPRSHFACSVIGGKVYVAGGRNLSSTRGLALAEVYDPLIDKWEELPPMPNPQMDCLGLSYKGKLHVLSDQVGLSDMNASQVFNLSEKTWCIVEDIWPFSRAMQFSVQVMGGDRVYTVVDWGESLIKTRDSEKGEWYNIGAIPSVILHNHTRALEAFGYGFAALQEELYILGGKVLKWEEAGTGRFDIVRLGLVRVCNPLVRPLKWKEARPMCGRACGSILGCASLEEEYSV >Manes.14G143400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13619880:13625542:1 gene:Manes.14G143400.v8.1 transcript:Manes.14G143400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTSTSSFPFNPLLTPSTTKTTSSLSPLSSGLFGVRLNISKPDSLISVSCAFPTSVVTARYGGSRSYGGSRRSRQSESDDEQALDISSIRSSTVRLIDQQQNMVGVVPKSEAIQMAEDAELDLVILSPDADPPVVRIMDYNKYRYELQKKKKGQQKKSAATRMDLKELKMGYNIDQHDYDVRLRAARKFLKDGDKVKVIVNLKGRENDFRNIAIELIRRFQNDVGELATEESKNFRDRNIFITLVPNKAVLSKTQDPPKKRDKSAVNEVSAGV >Manes.14G143400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13619880:13625542:1 gene:Manes.14G143400.v8.1 transcript:Manes.14G143400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTSTSSFPFNPLLTPSTTKTTSSLSPLSSGLFGVRLNISKPDSLISVSCAFPTSVVTARYGGSRSYGGSRRSRQSESDDEQALDISSIRSSTVRLIDQQQNMVGVVPKSEAIQMAEDAELDLVILSPDADPPVVRIMDYNKYRYELQKKKKGQQKKSAATRMDLKELKMGYNIDQHDYDVRLRAARKFLKDGDKVKVIVNLKGRENDFRNIAIELIRRFQNDVGELATEESKNFRDRNIFITLVPNKAVLSKTQDPPKKRDKSAVNEVSAGV >Manes.14G143400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13619880:13625542:1 gene:Manes.14G143400.v8.1 transcript:Manes.14G143400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTSTSSFPFNPLLTPSTTKTTSSLSPLSSGLFGVRLNISKPDSLISVSCAFPTSVVTARYGGSRSYGGSRRSRQSESDDEQALDISSIRSSTVRLIDQQQNMVGVVPKSEAIQMAEDAELDLVILSPDADPPVVRIMDYNKYRYELQKKKKGQQKKSAATRMDLKELKMGYNIDQHDYDVRLRAARKFLKDGDKVKVIVNLKGRENDFRNIAIELIRRFQNDVGELATEESKNFRDRNIFITLVPNKAVLSKTQDPPKKRDKSAVNEVSAGV >Manes.06G152400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27968898:27971843:-1 gene:Manes.06G152400.v8.1 transcript:Manes.06G152400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQESSDRNLSKHTSFFGIRLWVILLAFILLFTVIILIVTFLCIIYLCRQKSKSLKPPHFRLPNPISCNNYWNSFNASSLDKRLLSSRMSEFEMSISTLDRHNSLVSPLASGMIATQGSGNVADLELDDRSFSVILDVRKGNMFALRDIDVMTNGFADQNVIGNGDNGVVYRGILLDATRVAVKRLLNKSCLAEDFVPEMEVIGHARHKNLVKLLGYCIEGDYRMLVNEYMDNGNLHQWLHGCPEEASPLTWEIRMNIIQGVAKGLAYLHEDIEPKIVHGNLKSRNILLDHQWNPKISDFGIVKLFGPDGNSRAGYLMGTSGFGILVMEIICGRIPVDHNQPQVYLIEWLKSMVANQKIMYVADPKLAEMPSSKDLKRILLLALRCTDLNTKYRPTMGDVIHMLEPRDLLLYDEYRIRKGSSSHRSCSQESLTVVKSGKCDLNAYESESSCNVYQKMI >Manes.06G152400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27968898:27971843:-1 gene:Manes.06G152400.v8.1 transcript:Manes.06G152400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQESSDRNLSKHTSFFGIRLWVILLAFILLFTVIILIVTFLCIIYLCRQKSKSLKPPHFRLPNPISCNNYWNSFNASSLDKRLLSSRMSEFEMSISTLDRHNSLVSPLASGMIATQGSGNVADLELDDRSFSVILDVRKGNMFALRDIDVMTNGFADQNVIGNGDNGVVYRGILLDATRVAVKRLLNKSCLAEDFVPEMEVIGHARHKNLVKLLGYCIEGDYRMLVNEYMDNGNLHQWLHGCPEEASPLTWEIRMNIIQGVAKGLAYLHEDIEPKIVHGNLKSRNILLDHQWNPKISDFGIVKLFGPDGNSRAGYLMGTSGYLSLENASNGVLDERADVYSFGILVMEIICGRIPVDHNQPQVYLIEWLKSMVANQKIMYVADPKLAEMPSSKDLKRILLLALRCTDLNTKYRPTMGDVIHMLEPRDLLLYDEYRIRKGSSSHRSCSQESLTVVKSGKCDLNAYESESSCNVYQKMI >Manes.06G152400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27968898:27971843:-1 gene:Manes.06G152400.v8.1 transcript:Manes.06G152400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQESSDRNLSKHTSFFGIRLWVILLAFILLFTVIILIVTFLCIIYLCRQKSKSLKPPHFRLPNPISCNNYWNSFNASSLDKRLLSSRMSEFEMSISTLDRHNSLVSPLASGMIATQGSGNVADLELDDRSFSVILDVRKGNMFALRDIDVMTNGFADQNVIGNGDNGVVYRGILLDATRVAVKRLLNKSCLAEDFVPEMEVIGHARHKNLVKLLGYCIEGDYRMLVNEYMDNGNLHQWLHGCPEEASPLTWEIRMNIIQGVAKGLAYLHEDIEPKIVHGNLKSRNILLDHQWNPKISDFGIVKLFGPDGNSRAGYLMGTSGYLSLENASNGVLDERADVYSFGILVMEIICGRIPVDHNQPQVYLIEWLKSMVANQKIMYVADPKLAEMPSSKDLKRILLLALRCTDLNTKYRPTMGDVIHMLEPRDLLLYDVRRNFYKDI >Manes.03G193300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31149943:31152899:1 gene:Manes.03G193300.v8.1 transcript:Manes.03G193300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVLHHCIIRLWKFSCTVNYVKCQRIRKDFLGNKVIIFQESNYKLYNTGSNQEIAAVTEYLDIACIRTHLLLLFQEEMSVIMAGLVEERLSIEEQQKQEQCGRGTSLTKQRSFDLEADGFTTYTDRQKKDWRTILKLAFQCIGVVYGDLGTSPLYVLPGIFPDGIENKEDILGVLSLIIYSIIIISLIKYVFIVLSANDNGDGGTFALYTLICRHAKVSLIPTQQAEDTEVSTYKLDLPKHSTKMASALKTSLESSLIIKYSLLFTTMVGVSMVLGDGILTPCISVLSAVGGIKEAVPNLSEDVIMWISVVILILLFQVQRFGTYKVGYSFAPILVLWFAFIASIGLFNFIKYDPGVIKAVNPWHIAKYFQRNKKSAWISLGGVILCLTGSEALFADLGHFHIHSIRLSSCAVLVPSVLLAYMGQCSYLSKNTEDVADSFYKSIPKSLYWPQFVLAVVAAIIASQSLISASFSIIQQSVALGCFPRVKVVHTSTEHEGQVYVPEINTLLMLACVGVTLGFRNTLTIGNAYGIAVTFCFVITSFFLVLVMVMIWKTNIILIIIYVLTIGFIEMLFLSSTLYKFVDGGYIPLLFAFIIVSIMFIWNYGYRKKYMYELKNKVAVETLTAIVSNERICRVEGLGLFYTRLVHGISPIFTHYVSTVPALHEVLIFVSIKSLPVGKVPAEERFLFQRVKPGELIFRCTVRYGYKDQRMDHKTFQEMLADQLKAFIEEDNCEEDRQRELALVDNALEDGFVYLMGEGEVMAANGSSWVKKLVVDRLYNWLSRCVRQPDEVFLIPHKQLLKVGMTYDV >Manes.08G169100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40372031:40375421:1 gene:Manes.08G169100.v8.1 transcript:Manes.08G169100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTKDSNISKLELHNLPGGPHTFELAMKFCYGMNFEITPANVAHLRCAAEYLEMTEDYTEENLIERTEVYLNDVVVQSLEKSVEVLSTCEMLTSIAEEVGIPSRCIEAIAINACKEQLASGLSRLDCDGESTELKSGCIEWWIEDLSVLKIGYYQRVICAMESVGVRPDSIVASLMHYAQASLKGIGKCQIWNPAKAKPRPILDENDQSRIMEALVSLMPTGKSSFVPLNFLFGMLRMAIMLDATIACRLELERRIAFRLEMVSLDDLLIPSVPSGDSLFDVDTVHRILVNFLQRIEEEENVECGYESEGLGSPGHGSLLKVGKLIDAYLAEIAPDPYLSLQKFIAMIEILPDYARVIDDGLYRAIDIYLKAHPILSEHDCKKLCKFIDCQKLSQEACNHAAQNDRLPVQMTVRVLYFEQLRLKSTLSGSSGDGFLSQRTSSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMYMKQGMVVKSGNGKTFFTSLSRGIGRIGIFGSPAGGRTQKSSRKSRGSDGKPGRSRRHSVS >Manes.08G169100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40372031:40375421:1 gene:Manes.08G169100.v8.1 transcript:Manes.08G169100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTKDSNISKLELHNLPGGPHTFELAMKFCYGMNFEITPANVAHLRCAAEYLEMTEDYTEENLIERTEVYLNDVVVQSLEKSVEVLSTCEMLTSIAEEVGIPSRCIEAIAINACKEQLASGLSRLDCDGESTELKSGCIEWWIEDLSVLKIGYYQRVICAMESVGVRPDSIVASLMHYAQASLKGIGKCQIWNPAKAKPRPILDENDQSRIMEALVSLMPTGKSSFVPLNFLFGMLRMAIMLDATIACRLELERRIAFRLEMVSLDDLLIPSVPSGDSLFDVDTVHRILVNFLQRIEEEENVECGYESEGLGSPGHGSLLKVGKLIDAYLAEIAPDPYLSLQKFIAMIEILPDYARVIDDGLYRAIDIYLKAHPILSEHDCKKLCKFIDCQKLSQEACNHAAQNDRLPVQMTVRVLYFEQLRLKSTLSGSSGDGFLSQRTSSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMYMKQGMVVKSGNGKTFFTSLSRGIGRIGIFGSPAGGRTQKSSRKSRGSDGKPGRSRRHSVS >Manes.08G169100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40372031:40375421:1 gene:Manes.08G169100.v8.1 transcript:Manes.08G169100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSENPFSSTKRSPTPKFSNSFTTRIFSDVAGDITIVVDGDSFLLHKFPLVSRSGKIRKMAADTKDSNISKLELHNLPGGPHTFELAMKFCYGMNFEITPANVAHLRCAAEYLEMTEDYTEENLIERTEVYLNDVVVQSLEKSVEVLSTCEMLTSIAEEVGIPSRCIEAIAINACKEQLASGLSRLDCDGESTELKSGCIEWWIEDLSVLKIGYYQRVICAMESVGVRPDSIVASLMHYAQASLKGIGKCQIWNPAKAKPRPILDENDQSRIMEALVSLMPTGKSSFVPLNFLFGMLRMAIMLDATIACRLELERRIAFRLEMVSLDDLLIPSVPSGDSLFDVDTVHRILVNFLQRIEEEENVECGYESEGLGSPGHGSLLKVGKLIDAYLAEIAPDPYLSLQKFIAMIEILPDYARVIDDGLYRAIDIYLKAHPILSEHDCKKLCKFIDCQKLSQEACNHAAQNDRLPVQMTVRVLYFEQLRLKSTLSGSSGDGFLSQRTSSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMYMKQGMVVKSGNGKTFFTSLSRGIGRIGIFGSPAGGRTQKSSRKSRGSDGKPGRSRRHSVS >Manes.09G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25174001:25177543:-1 gene:Manes.09G088500.v8.1 transcript:Manes.09G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLRQKSFRQRKMTKQMTGKRDDSLFHAAARTGDLELFLEMVSETGEAELKEQLSKQNQSGETALYVAAECGHVELVKEMIKYYDTGSAGIKARNGYDAFHIAAKQGYLEILRVLMEANPELAMTFDSSNTTALHSAASQGHVEVVNFLLERGSSNLVNIAKSNSKTALHSAARNGHLEILKALLSKEPGLATRVDKKGQTALHMAVKGQNVELVNELVASDSSLINMVDSKGNTALHIAARKGRAQIVAKLLEHKVFDKTAINKSGETAFDTAEKTGHSEIASFLEEHGVQSAKFMKPNTTNTARELKQTVSDIKHEVHNQLQTTRLTRKRVQGIAKRLNKMHTEGLNNAINSTTVVAVLIATVAFAAIFQVPGQFADDPKHLAPGQSAGEANAAPKPEFMIFIIFDSIALFISLAVVVVQTSVVVIERKAKKQLMSVINKLMWLACVLISVAFLALAYVVVGNKERWLALWTTAIGTVIMVTTIGTMCYWVIIQRIESSKLRSIRRSSMSRSLSGSMSIMAESEILENEYKKLYAI >Manes.S044716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1331453:1331878:1 gene:Manes.S044716.v8.1 transcript:Manes.S044716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGSGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.13G073800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10960588:10961901:-1 gene:Manes.13G073800.v8.1 transcript:Manes.13G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGVEERSHSHGRFCRKQEEEEAGSGNETSSLVCCELCGSQASLYCQADNAFLCRKCDKWVHGANFLAFRHIRCFLCNTCQNLTQRYLIGASTEIVLPTIVSLRERRQGCNTNNDDKLCSTSLKMPFLFL >Manes.01G134000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32699894:32701145:1 gene:Manes.01G134000.v8.1 transcript:Manes.01G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMLLLSPPATTSLFPTRHRSFNVANAQTRFHQRLLKVRSMAEESREEGNKALEIAGIGGGLIATPVIAWSLYTLKTTGCGLPPGPGGSLGAVEGVSYLIVVGIIGWSLYTKAKTGSGLPNGPFGLLGAVEGLSYLSLLAILVVFGLQFLDKGYIPGPVPGDQCFG >Manes.04G150700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34679022:34683172:1 gene:Manes.04G150700.v8.1 transcript:Manes.04G150700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASPKCFQAAPVFASTGDPALQSKDNRFADAFQDPLCKLNLKETSEFVKSFPMAGNMECRGFLEFPPQRRREGVHSMAQRRVEIPSTPGRPIINYSIGNHTKKSLPSKWEDAEKWLMSSSCHESPAHAFKPSPGSSKIQKQSDNFKHQMEEVAEKSRVTEEKVSKLVPSFQGSVSLERIAFSGVSSSSNVLLKDKFIDEEKPVLLNFRFSDQSKEGFLFRNSANEAMKGVGPEVFHEVEHRDIGTEMTPLGSSINSRCHTPIKSSSPARHNTPANRSGPLPLGTSGSTNSSIDISQLQACHLAKLQLGSQYDSITSNWSSREEEEEEISKSLRHFETGITCRKSVSDSRAAAWEEEEKTKCCLRYQGEEAKIQAWLNLQTAKAEAQTRKLEVKIQKMRSNLEEKLMKRMAIVHRKAEEWRATASQQHTEQMQRASEQTKKMMNGQFSGHTSCGCFPCSIYP >Manes.04G150700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34679022:34683172:1 gene:Manes.04G150700.v8.1 transcript:Manes.04G150700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASPKCFQAAPVFASTGDPALQSKDNRFADAFQDPLCKLNLKETSEFVKSFPMAGNMECRGFLEFPPQRRREGVHSMAQRRVEIPSTPGRPIINYSIGNHTKKSLPSKWEDAEKWLMSSSCHESPAHAFKPSPGSSKIQKQSDNFKHQMEEVAEKSRVTEEKVSKLVPSFQGSVSLERIAFSGVSSSSNVLLKDKFIDEEKPVLLNFRFSDQSKEGFLFRNSANEAMKGVGPEVFHEVEHRDIGTEMTPLGSSINSRCHTPIKSSSPARHNTPANRSGPLPLGTSGSTNSSIDISQLQACHLAKLQLGSQYDSITSNWSSREEEEEEISKSLRHFETGITCRKSVSDSRAAAWEEEEKTKCCLRYQGEEAKIQAWLNLQTAKAEAQTRKLEVKIQKMRSNLEEKLMKRMAIVHRKAEEWRATASQQHTEQMQRASEQTKKMMNGQFSGHTSCGCFPCSIYP >Manes.02G081200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6363898:6368109:1 gene:Manes.02G081200.v8.1 transcript:Manes.02G081200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGHKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSHTRPVGMKKTLVYYRGRAPHGARTGWVMHEYRLDERECETASGLQDAYALCRVFKKTANIPKIGEHFASTSNQMASELSSSMELYSEGRCEDFESSNYPMPLDTCSPSIANNGSPLHLGETRDGKWGQCLSEEAFGFSSPSFSGYGNVPYYPPSKVDIALECARLQHRFSLPPLEVEDFPQVGLTDLRVMHSNPTYDQNTNSTDILQEILSVAHASQELINQSNLQDTWGGNYSADNNDFTFIAGKDAHGHLYSDICSTRCMDKSWEDPNLRSIEIGNLDEDFKTGRMIENLRWVGMSNEELEKSFMEEHKVVPIENISTFQTRKENEFQGEKGDCLGFNGTDDYSLEFINDDPNGNFIDEGNVDDLASSPSFEVVEEIKVNHGMFVSTRQAAETFFHRLVPSQTVKIHLNPEMTDSFSIQKVDMQGALDETTRSYNTFSRESKFLEISKLIIHPWKTMATTVICMILIILMRFFEVGENVGNENKVMRGFREIGNVRPKKKEERNRCWNEKTEKDLVVSIRGRNQFSVLLKKLGVFLTISLAVCTMWVNHVILAS >Manes.02G081200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6363898:6368109:1 gene:Manes.02G081200.v8.1 transcript:Manes.02G081200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGHKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSHTRPVGMKKTLVYYRGRAPHGARTGWVMHEYRLDERECETASGLQDAYALCRVFKKTANIPKIGEHFASTSNQMASELSSSMELYSEGRCEDFESSNYPMPLDTCSPSIANNGSPLHLGETRDGKWGQCLSEEAFGFSSPSFSGYGNVPYYPPSKVDIALECARLQHRFSLPPLEVEDFPQVGLTDLRVMHSNPTYDQNTNSTDILQEILSVAHASQELINQSNLQDTWGGNYSADNNDFTFIAGKDAHGHLYSDICSTRCMDKSWEDPNLRSIEIGNLDEDFKTGRMIENLRWVGMSNEELEKSFMEEHKVVPIENISTFQTRKENEFQGEKGDCLGFNGTDDYSLEFINDDPNGNFIDEGNVDDLASSPSFEVVEEIKVNHGMFVSTRQAAETFFHRLVPSQTVKIHLNPEMTDSFSIQKVDMQGALDETTRSYNTFSRESKFLEISKLIIHPWKTMATTVICMILIILMRFFEVGENVGNENKVMRGFREIGNVRPKKKEERNRCWNEKTEKDLVVSIRGRNQFSVLLKKLGVFLTISLAVCTMWVNHVILAS >Manes.06G093260.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22828614:22829609:-1 gene:Manes.06G093260.v8.1 transcript:Manes.06G093260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENKVKLHGMWASPYVKRVELALRAKGIPYEYIEEDLNNKSQLLFQYNSIHKKVPVLGAPQLLSDDPYHRAQVRFWAAFIQQQLIEGIGRIITSDGEAQERATKELREKMNVFEEEMKLFPSGPIIQGGNLGLLDILVSATFSPFKAQEEVSGAKILDPKRNPLIFSWVTTLNQMPIVKGALPSHEKLVALLHFIRKTPIPTPKITPAVRHSTQSVEPSQPNLTIRTAGSKQKGCEELNQFLWDEGS >Manes.08G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5213665:5220450:-1 gene:Manes.08G050700.v8.1 transcript:Manes.08G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQERTAAPKSSSPLPTTLHTNHNNNHHHHHQNYRFSPSKSLDFSTWFSENLYKIIICFFLIATVAAVFFLRNTGDTAAFLYLQSKSQPIEKTLPFPHINWNHIAPIADKTSIYANFRTERWIIVSVSDYPSDSLKKLVKIKGWQLLAIGNSKTPRDWGLKGAIYLSLEQQASLGFRVVDFVPYDSYVRKSVGYLFAIQHGAKKIFDADDRGEVIGQDLGKHFDVELIGEGARQEIILQYSHENENRTVLNPYIHFGQRSVWPRGLPLENVGEIEHEEFYTEIFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEGFDIRFDEHAPKVALPQGIMVPVNSFNTIFHSSAFWGLMLPVSVSTMASDVLRGYWAQRLLWEIGGYVVVYPPTVHRYDRIKGYPFSEEKDLHVNVGRLIKFLVAWRSSKHRLFEKILELSYAMAEEGFWNEQDVKFTAAWLHDLIAVGYQQPRLMSLELDRPRASIGHGDRREFIPRKLPSVHLAVEETGTVSYEIGNLIRWRKNFGNIVLIMFCTGPVERTALEWRLLYGRIFKTVVILSWRKNEDLAVEEGNLELLYKHLPKIFHRFTSAEGFLFLKDDTILNYWNLLQADKTKLWITDKVSKSWSTVSTNGNSDWYGKQAEMVKKVVGSMPVHFQVNYKEAMKNDQSVTICSSDIFYIPHQYVADFVDLVSLVDDLEIHHKIAIPMFFVSMDSPQNFDSVLSKMVYKPKPPSTNSTIYSAQTPAVHPWNVSSEQDFIKLVRIMAEGDPLLMELV >Manes.15G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8935844:8940134:1 gene:Manes.15G112000.v8.1 transcript:Manes.15G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDDEAKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLESNKIADFIKFDVGNIVMVTGGRNRGRVGIIKNREKHKGSFETIHIQDATGHEFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEAKKRLAAAPTVA >Manes.03G136908.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26072882:26075499:1 gene:Manes.03G136908.v8.1 transcript:Manes.03G136908.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGAAAAAKQVAEPNGSAPQNIINGKEPRYRGVRRRPWGKFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARSLRGPKAKTNFLISDSHLSPFTYQNPPDHFVGHRLYTANGFHEHHVNPQRPTSSSQSSTVESFSGPRPPAQQQTTRTTEKSGLSLAVTAPRKSHHRTPPMVPEDCQSDCDSSSSVVDDREIASSSSLCCRKPLPFDLNFPPLDEVDFVIDNLHCTDLRL >Manes.03G136908.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26072882:26075499:1 gene:Manes.03G136908.v8.1 transcript:Manes.03G136908.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGAAAAAKQVAEPNGSAPQNIINGKEPRYRGVRRRPWGKFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARSLRGPKAKTNFLISDSHLSPFTYQNPPDHFVGHRLYTANGFHEHHVNPQRPTSSSQSSTVESFSGPRPPAQQQTTRTTEKSGLSLAVTAPRKSHHRTPPMVPEDCQSDCDSSSSVVDDREIASSSSLCCRKPLPFDLNFPPLDEVDFVIDNLHCTDLRL >Manes.03G136908.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26072882:26075499:1 gene:Manes.03G136908.v8.1 transcript:Manes.03G136908.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGAAAAAKQVAEPNGSAPQNIINGKEPRYRGVRRRPWGKFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARSLRGPKAKTNFLISDSHLSPFTYQNPPDHFVGHRLYTANGFHEHHVNPQRPTSSSQSSTVESFSGPRPPAQQQTTRTTEKSGLSLAVTAPRKSHHRTPPMVPEDCQSDCDSSSSVVDDREIASSSSLCCRKPLPFDLNFPPLDEVDFVIDNLHCTDLRL >Manes.03G136908.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26072882:26075499:1 gene:Manes.03G136908.v8.1 transcript:Manes.03G136908.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGAAAAAKQVAEPNGSAPQNIINGKEPRYRGVRRRPWGKFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARSLRGPKAKTNFLISDSHLSPFTYQNPPDHFVGHRLYTANGFHEHHVNPQRPTSSSQSSTVESFSGPRPPAQQQTTRTTEKSGLSLAVTAPRKSHHRTPPMVPEDCQSDCDSSSSVVDDREIASSSSLCCRKPLPFDLNFPPLDEVDFVIDNLHCTDLRL >Manes.03G136908.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26072882:26075499:1 gene:Manes.03G136908.v8.1 transcript:Manes.03G136908.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGAAAAAKQVAEPNGSAPQNIINGKEPRYRGVRRRPWGKFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARSLRGPKAKTNFLISDSHLSPFTYQNPPDHFVGHRLYTANGFHEHHVNPQRPTSSSQSSTVESFSGPRPPAQQQTTRTTEKSGLSLAVTAPRKSHHRTPPMVPEDCQSDCDSSSSVVDDREIASSSSLCCRKPLPFDLNFPPLDEVDFVIDNLHCTDLRL >Manes.05G159800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27246194:27250272:1 gene:Manes.05G159800.v8.1 transcript:Manes.05G159800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTTSSNGEAANSNLQIIRYSPFQPCNKFSSSWFDLRVFYVRISNVQVDASTPEFLTLNHIPLSPDTLLELNGVRSSMYSDGVSSFLRRDRVDKKSEEATFVSTDSIRTTGSVKFEVFDKEDLILSGVLEMSNTNGFVGESKGNVKRWSMNCEPEITAGSGFLRGKHNAGTELPTIEIYVTGCFLGTPIILTKTLQLSYRKKHIRKGMLDSIPEYETTESPKNVSPKHDLQQIADYRSYKLENEEDYSNMNWRSGYMEGEDGELSWFNAGVRVGVGIGLGICLGVGVGVGLLVRTYQATTRNFKRRLL >Manes.05G159800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27246280:27249859:1 gene:Manes.05G159800.v8.1 transcript:Manes.05G159800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTTSSNGEAANSNLQIIRYSPFQPCNKFSSSWFDLRVFYVRISNVQVDASTPEFLTLNHIPLSPDTLLELNGVRSSMYSDGVSSFLRRDRVDKKSEEATFVSTDSIRTTGSVKFEVFDKEDLILSGVLEMSNTNGFVGESKGNVKRWSMNCEPEITAGSGFLRGKHNAGTELPTIEIYVTGCFLGTPIILTKTLQLSYRKKHIRKGMLDSIPEYETTESPKNVSPKHDLQIADYRSYKLENEEDYSNMNWRSGYMEGEDGELSWFNAGVRVGVGIGLGICLGVGVGVGLLVRTYQATTRNFKRRLL >Manes.05G159800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27246280:27250272:1 gene:Manes.05G159800.v8.1 transcript:Manes.05G159800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTTSSNGEAANSNLQIIRYSPFQPCNKFSSSWFDLRVFYVRISNVQVDASTPEFLTLNHIPLSPDTLLELNGVRSSMYSDGVSSFLRRDRVDKKSEEATFVSTDSIRTTGSVKFEVFDKEDLILSGVLEMSNTNGFVGESKGNVKRWSMNCEPEITAGSGFLRGKHNAGTELPTIEIYVTGCFLGTPIILTKTLQLSYRKKHIRKGMLDSIPEYETTESPKNVSPKHDLQQIADYRSYKLENEEDYSNMNWRSGYMEGEDGELSWFNAGVRVGVGIGLGICLGVGVGVGLLVRTYQATTRNFKRRLL >Manes.05G159800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27246280:27249859:1 gene:Manes.05G159800.v8.1 transcript:Manes.05G159800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTTSSNGEAANSNLQIIRYSPFQPCNKFSSSWFDLRVFYVRISNVQVDASTPEFLTLNHIPLSPDTLLELNGVRSSMYSDGVSSFLRRDRVDKKSEEATFVSTDSIRTTGSVKFEVFDKEDLILSGVLEMSNTNGFVGESKGNVKRWSMNCEPEITAGSGFLRGKHNAGTELPTIEIYVTGCFLGTPIILTKTLQLSYRKKHIRKGMLDSIPEYETTESPKNVSPKHDLQQIADYRSYKLENEEDYSNMNWRSGYMEGEDGELSWFNAGVRVGVGIGLGICLGVGVGVGLLVRTYQATTRNFKRRLL >Manes.05G159800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27246280:27250272:1 gene:Manes.05G159800.v8.1 transcript:Manes.05G159800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTTSSNGEAANSNLQIIRYSPFQPCNKFSSSWFDLRVFYVRISNVQVDASTPEFLTLNHIPLSPDTLLELNGVRSSMYSDGVSSFLRRDRVDKKSEEATFVSTDSIRTTGSVKFEVFDKEDLILSGVLEMSNTNGFVGESKGNVKRWSMNCEPEITAGSGFLRGKHNAGTELPTIEIYVTGCFLGTPIILTKTLQLSYRKKHIRKGMLDSIPEYETTESPKNVSPKHDLQIADYRSYKLENEEDYSNMNWRSGYMEGEDGELSWFNAGVRVGVGIGLGICLGVGVGVGLLVRTYQATTRNFKRRLL >Manes.05G159800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27246192:27250230:1 gene:Manes.05G159800.v8.1 transcript:Manes.05G159800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTTSSNGEAANSNLQIIRYSPFQPCNKFSSSWFDLRVFYVRISNVQVDASTPEFLTLNHIPLSPDTLLELNGVRSSMYSDGVSSFLRRDRVDKKSEEATFVSTDSIRTTGSVKFEVFDKEDLILSGVLEMSNTNGFVGESKGNVKRWSMNCEPEITAGSGFLRGKHNAGTELPTIEIYVTGCFLGTPIILTKTLQLSYRKKHIRKGMLDSIPEYETTESPKNVSPKHDLQIADYRSYKLENEEDYSNMNWRSGYMEGEDGELSWFNAGVRVGVGIGLGICLGVGVGVGLLVRTYQATTRNFKRRLL >Manes.05G159800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27246192:27250230:1 gene:Manes.05G159800.v8.1 transcript:Manes.05G159800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTTSSNGEAANSNLQIIRYSPFQPCNKFSSSWFDLRVFYVRISNVQVDASTPEFLTLNHIPLSPDTLLELNGVRSSMYSDGVSSFLRRDRVDKKSEEATFVSTDSIRTTGSVKFEVFDKEDLILSGVLEMSNTNGFVGESKGNVKRWSMNCEPEITAGSGFLRGKHNAGTELPTIEIYVTGCFLGTPIILTKTLQLSYRKKHIRKGMLDSIPEYETTESPKNVSPKHDLQIADYRSYKLENEEDYSNMNWRSGYMEGEDGELSWFNAGVRVGVGIGLGICLGVGVGVGLLVRTYQATTRNFKRRLL >Manes.05G159800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27246280:27249863:1 gene:Manes.05G159800.v8.1 transcript:Manes.05G159800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTTSSNGEAANSNLQIIRYSPFQPCNKFSSSWFDLRVFYVRISNVQVDASTPEFLTLNHIPLSPDTLLELNGVRSSMYSDGVSSFLRRDRVDKKSEEATFVSTDSIRTTGSVKFEVFDKEDLILSGVLEMSNTNGFVGESKGNVKRWSMNCEPEITAGSGFLRGKHNAGTELPTIEIYVTGCFLGTPIILTKTLQLSYRKKHIRKGMLDSIPEYETTESPKNVSPKHDLQQIADYRSYKLENEEDYSNMNWRSGYMEGEDGELSWFNAGVRVGVGIGLGICLGVGVGVGLLVRTYQATTRNFKRRLL >Manes.18G100600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9351207:9351907:-1 gene:Manes.18G100600.v8.1 transcript:Manes.18G100600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSIFVVIILLLQVSLGHSFLSNSANFHANIDEGSDAVAIDKKHHYKKINCGYACSRRCKDSSRKNVCHRACRSCCLRCQCVPPGTYGNKQACPCYASLRTHGNKPKCP >Manes.16G118000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32190487:32191541:1 gene:Manes.16G118000.v8.1 transcript:Manes.16G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKNRICLFQTKKKALTTLEADDTPVMNSQGQRIVYKIVAGLMLCLVVAVSTLEADDTPVMNIQEKKKIYIN >Manes.09G035800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6895647:6900520:1 gene:Manes.09G035800.v8.1 transcript:Manes.09G035800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNSFKYQTQETNSQHPWTGDFGFGANDRRYTYWRQSSFHQQSGEPHTPISVISDDTARPLLSRTVSSIDVPPEIFSDDYERESTYEEAKDSMKEKKTLSVLYSVISIFRVARSGNRQMKRLFMMISLNVAYSTAELGIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGYKRLEVLAAFTNALFLLFMSFSLAVEALHAFIQEESEHKHYLIVSAVTNLFVNLIGVWYFRNYARVNLVYRKAEDMNYHSVCLHVLADSIRRFDIGILVSVPRG >Manes.09G035800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6895647:6900520:1 gene:Manes.09G035800.v8.1 transcript:Manes.09G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNSFKYQTQETNSQHPWTGDFGFGANDRRYTYWRQSSFHQQSGEPHTPISVISDDTARPLLSRTVSSIDVPPEIFSDDYERESTYEEAKDSMKEKKTLSVLYSVISIFRVARSGNRQMKRLFMMISLNVAYSTAELGIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGYKRLEVLAAFTNALFLLFMSFSLAVEALHAFIQEESEHKHYLIVSAVTNLFVNLIGVWYFRNYARVNLVYRKAEDMNYHSVCLHVLADSIRSAGLILASWFLSLGVENAEVLCLGLVSVAVFMLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQVTAREDVSEVSQARFWELVPGHVVGSLSVQVKKGMDDRPTLQFLHGLYHDLGIQDLTVQTNYDD >Manes.16G047151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8528404:8529800:1 gene:Manes.16G047151.v8.1 transcript:Manes.16G047151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWQKLLEHTLRNHNYMPWQQLLDWLSTEEFPHIA >Manes.06G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19954320:19958950:-1 gene:Manes.06G064400.v8.1 transcript:Manes.06G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQLGSHTVRSHGVKLARKHMHDWLILLFLVVIDVILNVIEPFHRFVGRDEMTDLSYPLKDNTVPFWAVPIFGILLPFAIIIAYYFIRKDVYDLHHAILGLLFSVLITGVITDAIKVAVGRPRPDFFWRCFPDGKGLFDNITTNVMCTGVKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDRRGHIAKLCVIVFPLLVAALVGISRVDDYWHHWQDVFAGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGAQTSDNTNFLNGRQPELQTVCIDSQHQSVTDRDTNPILEQNRGERRR >Manes.06G064400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19954469:19958945:-1 gene:Manes.06G064400.v8.1 transcript:Manes.06G064400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLASVVFVFISNKMPEIQLGSHTVRSHGVKLARKHMHDWLILLFLVVIDVILNVIEPFHRFVGRDEMTDLSYPLKDNTVPFWAVPIFGILLPFAIIIAYYFIRKDVYDLHHAILGLLFSVLITGVITDAIKVAVGRPRPDFFWRCFPDGKGLFDNITTNVMCTGVKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDRRGHIAKLCVIVFPLLVAALVGISRVDDYWHHWQDVFAGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGAQTSDNTNFLNGRQPELQTVCIDSQHQSVTDRDTNPILEQNRGERRR >Manes.06G064400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19954320:19958950:-1 gene:Manes.06G064400.v8.1 transcript:Manes.06G064400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLSYPLKDNTVPFWAVPIFGILLPFAIIIAYYFIRKDVYDLHHAILGLLFSVLITGVITDAIKVAVGRPRPDFFWRCFPDGKGLFDNITTNVMCTGVKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDRRGHIAKLCVIVFPLLVAALVGISRVDDYWHHWQDVFAGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGAQTSDNTNFLNGRQPELQTVCIDSQHQSVTDRDTNPILEQNRGERRR >Manes.06G064400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19954320:19958950:-1 gene:Manes.06G064400.v8.1 transcript:Manes.06G064400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLSYPLKDNTVPFWAVPIFGILLPFAIIIAYYFIRKDVYDLHHAILGLLFSVLITGVITDAIKVAVGRPRPDFFWRCFPDGKGLFDNITTNVMCTGVKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDRRGHIAKLCVIVFPLLVAALVGISRVDDYWHHWQDVFAGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGAQTSDNTNFLNGRQPELQTVCIDSQHQSVTDRDTNPILEQNRGERRR >Manes.06G064400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19954485:19958918:-1 gene:Manes.06G064400.v8.1 transcript:Manes.06G064400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICMKKYKISNKMPEIQLGSHTVRSHGVKLARKHMHDWLILLFLVVIDVILNVIEPFHRFVGRDEMTDLSYPLKDNTVPFWAVPIFGILLPFAIIIAYYFIRKDVYDLHHAILGLLFSVLITGVITDAIKVAVGRPRPDFFWRCFPDGKGLFDNITTNVMCTGVKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDRRGHIAKLCVIVFPLLVAALVGISRVDDYWHHWQDVFAGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGAQTSDNTNFLNGRQPELQTVCIDSQHQSVTDRDTNPILEQNRGERRR >Manes.03G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1938499:1939515:-1 gene:Manes.03G023600.v8.1 transcript:Manes.03G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVADTLPEGTLAHFDEQDQLQQVSVHSLAAGKKVVIVGVPGAFTPTCSLKHIPGFIEKAEVLKSNGVGEILCISVNDPFVMKAWAKTYPENKHVKFLADGCATYTHALGLELDLKDIGLGTRSRRFALLVDNLKVKAANLEEGGEFSISSVDEILKAL >Manes.S056616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1995045:1995179:1 gene:Manes.S056616.v8.1 transcript:Manes.S056616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.06G160100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28614737:28618186:-1 gene:Manes.06G160100.v8.1 transcript:Manes.06G160100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEFHLLPIFVLFSVVLSAIAVDKIEMVTTTVERGDISVPAEIYWHSKLSNTSIPRDLLNLIQHVGDKYIFWNMEYGKRYEKKFEQATAEDTADVPKVKYGVKYGKRYEKEFEQATAEDTADVPKVKYGVKYGKRYEKEFEQATAEDTADVPKVKYGVKYGKRYEKEFEQATAEDTADVPKVKYGKRYELKFNKHARSNSIVFFLPNDLHAGKKMRLHITKSVNKARILPRQVADSLPFSTNKLAEIMKYFSVNPESSKGRMLKETVEDCESPGIKGEDRFCPTSLESLVDFSVKHVGNKAQVLMNEIDRPKREQEYTIKEVKFIGENHVVCHKQNYPYAVYYCHALNGTKVYTAQVVGADGTKAKAVAVCHTDTSAWNPGHLAFLVLKTKPGEGTVCHFIRSDTFVMVSN >Manes.04G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10487606:10502983:1 gene:Manes.04G052100.v8.1 transcript:Manes.04G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIYLVLLLLLVNAFGQYDFEALLELKKGIEKDPSGEVLVSWDSKSLASDGCPQRWYGVVCIDGNVHSITLNDLGLIGNFSFPVLTGLKMLRNISILNNQLMGTISNVGSIQSLEYLDISCNLFHGFLPTTIVNLRNIVLLNLSSNNFQGMIPSGFGSLERLKYLDLRANNFSGDIMKLLSQLGSVVHVDLSNNQFSGSLDLGLGDDSFVSSIQYLNISHNSLVGKLFAHDGVPFFDSLEVFDATNNQLDGDIPPFQFVVSLQILRLGSNLLSGSLPEALFEDKSMVLSELDLSLNQLEGPLRSITSATLKKLNLSSNKLTGFLPATVGHCAIVDLSNNMLSGNVSRIQNWGNYVEDIQLSNNSLTGSLPNQTSQFLRLTSLKASNNSLNGELPIVLSTYSQLQVIDLSLNFLSGFLLPDLFTSTTLTHLNLSANNFTGSIPLQKVQSSTQNLSLMSLDLSYNSLDGIFPREVNKFHNLVLLNLSNNILKGPIPGDLPDQLKGFNVSYNNLSGVVPDNLRRFSDSAFHPGNPFLIFPNLQLSTEGATDMTLKKRRSHMKPSIKIFLIAGMVGTATVVAILCMLIYYWTRWQTHGRRSLKGDERHEGVSQEHSSLSHSTSINKNLDRSLSSFSFHQSLHPSSQLGSAYYPGDTSSALQKPTDHPESVRKNEGLSSPLSYFSSSNPSPSKSQLSSEHPGVLQVYSPEKLAGDLHLFDASLMFTAEELSHAPAEVIGRSCHGTLYKATLDSGVVLAVKWLKEGIAKGRKEFAREVKKLGNIRHPNLVSLQGYYWGPKDHEKMILYNYVNAQCLAFYLQGRNSNRVGKRVLFFINMYLSHLFYGLSFMVHEY >Manes.04G052100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10487658:10502912:1 gene:Manes.04G052100.v8.1 transcript:Manes.04G052100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSELDLSLNQLEGPLRSITSATLKKLNLSSNKLTGFLPATVGHCAIVDLSNNMLSGNVSRIQNWGNYVEDIQLSNNSLTGSLPNQTSQFLRLTSLKASNNSLNGELPIVLSTYSQLQVIDLSLNFLSGFLLPDLFTSTTLTHLNLSANNFTGSIPLQKVQSSTQNLSLMSLDLSYNSLDGIFPREVNKFHNLVLLNLSNNILKGPIPGDLPDQLKGFNVSYNNLSGVVPDNLRRFSDSAFHPGNPFLIFPNLQLSTEGATDMTLKKRRSHMKPSIKIFLIAGMVGTATVVAILCMLIYYWTRWQTHGRRSLKGDERHEGVSQEHSSLSHSTSINKNLDRSLSSFSFHQSLHPSSQLGSAYYPGDTSSALQKPTDHPESVRKNEGLSSPLSYFSSSNPSPSKSQLSSEHPGVLQVYSPEKLAGDLHLFDASLMFTAEELSHAPAEVIGRSCHGTLYKATLDSGVVLAVKWLKEGIAKGRKEFAREVKKLGNIRHPNLVSLQGYYWGPKDHEKMILYNYVNAQCLAFYLQDTEPRKLPPLSLHDRLRVAVNVARCLSYLHNEKAIPHGNLKSTNILLQPPNMNPLLSDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILLELLTGKGSGEIVSGDPGVVDLTEWVRLLAQENRCSECYDKLLLNEPNAEAPRILGEMLQVGLRCILPASERPDMKSVFEDLSMIVL >Manes.04G052100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10487560:10503013:1 gene:Manes.04G052100.v8.1 transcript:Manes.04G052100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIYLVLLLLLVNAFGQYDFEALLELKKGIEKDPSGEVLVSWDSKSLASDGCPQRWYGVVCIDGNVHSITLNDLGLIGNFSFPVLTGLKMLRNISILNNQLMGTISNVGSIQSLEYLDISCNLFHGFLPTTIVNLRNIVLLNLSSNNFQGMIPSGFGSLERLKYLDLRANNFSGDIMKLLSQLGSVVHVDLSNNQFSGSLDLGLGDDSFVSSIQYLNISHNSLVGKLFAHDGVPFFDSLEVFDATNNQLDGDIPPFQFVVSLQILRLGSNLLSGSLPEALFEDKSMVLSELDLSLNQLEGPLRSITSATLKKLNLSSNKLTGFLPATVGHCAIVDLSNNMLSGNVSRIQNWGNYVEDIQLSNNSLTGSLPNQTSQFLRLTSLKASNNSLNGELPIVLSTYSQLQVIDLSLNFLSGFLLPDLFTSTTLTHLNLSANNFTGSIPLQKVQSSTQNLSLMSLDLSYNSLDGIFPREVNKFHNLVLLNLSNNILKGPIPGDLPDQLKGFNVSYNNLSGVVPDNLRRFSDSAFHPGNPFLIFPNLQLSTEGATDMTLKKRRSHMKPSIKIFLIAGMVGTATVVAILCMLIYYWTRWQTHGRRSLKGDERHEGVSQEHSSLSHSTSINKNLDRSLSSFSFHQSLHPSSQLGSAYYPGDTSSALQKPTDHPESVRKNEGLSSPLSYFSSSNPSPSKSQLSSEHPGVLQVYSPEKLAGDLHLFDASLMFTAEELSHAPAEVIGRSCHGTLYKATLDSGVVLAVKWLKEGIAKGRKEFAREVKKLGNIRHPNLVSLQGYYWGPKDHEKMILYNYVNAQCLAFYLQDTEPRKLPPLSLHDRLRVAVNVARCLSYLHNEKAIPHGNLKSTNILLQPPNMNPLLSDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILLELLTGKGSGEIVSGDPGVVDLTEWVRLLAQENRCSECYDKLLLNEPNAEAPRILGEMLQVGLRCILPASERPDMKSVFEDLSMIVL >Manes.04G052100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10487665:10502842:1 gene:Manes.04G052100.v8.1 transcript:Manes.04G052100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIYLVLLLLLVNAFGQYDFEALLELKKGIEKDPSGEVLVSWDSKSLASDGCPQRWYGVVCIDGNVHSITLNDLGLIGNFSFPVLTGLKMLRNISILNNQLMGTISNVGSIQSLEYLDISCNLFHGFLPTTIVNLRNIVLLNLSSNNFQGMIPSGFGSLERLKYLDLRANNFSGDIMKLLSQLGSVVHVDLSNNQFSGSLDLGLGDDSFVSSIQYLNISHNSLVGKLFAHDGVPFFDSLEVFDATNNQLDGDIPPFQFVVSLQILRLGSNLLSGSLPEALFEDKSMVLSELDLSLNQLEGPLRSITSATLKKLNLSSNKLTGFLPATVGHCAIVDLSNNMLSGNVSRIQNWGNYVEDIQLSNNSLTGSLPNQTSQFLRLTSLKASNNSLNGELPIVLSTYSQLQVIDLSLNFLSGFLLPDLFTSTTLTHLNLSANNFTGSIPLQKVQSSTQNLSLMSLDLSYNSLDGIFPREVNKFHNLVLLNLSNNILKGPIPGDLPDQLKGFNVSYNNLSGVVPDNLRRFSDSAFHPGNPFLIFPNLQLSTEGATDMTLKKRRSHMKPSIKIFLIAGMVGTATVVAILCMLIYYWTRWQTHGRRSLKGDERHEGVSQEHSSLSHSTSINKNLDRSLSSFSFHQSLHPSSQLGSAYYPGDTSSALQKPTDHPESVRKNEGLSSPLSYFSSSNPSPSKSQLSSEHPGVLQVYSPEKLAGDLHLFDASLMFTAEELSHAPAEVIGRSCHGTLYKATLDSGVVLAVKWLKEGIAKGRKEFAREVKKLGNIRHPNLVSLQGYYWGPKDHEKMILYNYVNAQCLAFYLQDTEPRKLPPLSLHDRLRVAVNVARCLSYLHNEKAIPHGNLKSTNILLQPPNMNPLLSDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILLELLTGKGSGEIVSGDPGVVDLTEWVRLLAQENRCSECYDKLLLNEPNAEAPRILGEMLQVGLRCILPASERPDMKSVFEDLSMIVL >Manes.01G097300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29867321:29870542:1 gene:Manes.01G097300.v8.1 transcript:Manes.01G097300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCKQWRHIARDDYFWKCLCAERWPSICKRPSPPTVTYYKLYQTFYKQQCHTLLPPRLSFDDLEFFFDIWTEEKLIFSEVVPGPVLLAGIRAPPPGVCDILRFHLEGPECKMTLPVEPRVKIHLSQILSVSVLVGRKDSNEVACVIDGSVFDYIDRTAYRAMAFEYLDFSPVHPFIPNIRAWISLLFMEDGNEGVIDVFGIELDFRDAANSREEVLWLLDVLDWK >Manes.01G097300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29867321:29870542:1 gene:Manes.01G097300.v8.1 transcript:Manes.01G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDEVLKAVFALLDGIDLASCMAVCKQWRHIARDDYFWKCLCAERWPSICKRPSPPTVTYYKLYQTFYKQQCHTLLPPRLSFDDLEFFFDIWTEEKLIFSEVVPGPVLLAGIRAPPPGVCDILRFHLEGPECKMTLPVEPRVKIHLSQILSVSVLVGRKDSNEVACVIDGSVFDYIDRTAYRAMAFEYLDFSPVHPFIPNIRAWISLLFMEDGNEGVIDVFGIELDFRDAANSREEVLWLLDVLDWK >Manes.04G066600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25184202:25188552:1 gene:Manes.04G066600.v8.1 transcript:Manes.04G066600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTAILPLPAPPPGGDSSPPPSQLSEQHSSKEDKTTNENQNKAPVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHHLSEFRAQNQSSAQQPPSQPADSDATESTQSAPASDGTDAAPKPDPTAQFRPPPRKVLDPPEAEQYTIRLPEGITGEELDIIKLTAQFVARNGQAFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPKGLTEKLTKSVADMTTVLERCLHRLEWEHSQEQARQKAEDEIEQERIQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKVSSMVEDEVIEPGKEVEMEMDEEEVQLVEEGMRAASLEENDSEKKFAKANEEPEEPMRIVKNWKRPEERIPAERDPTKVVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNIIGEDQSEAGNDDARGLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRMPPNTIQYSAPTSGAFPVPPPRPPGMPMVPSIRPMQAPMPVAPGQQPIMMNRQLTMPPSISGNPPSMPVPPPPGSQFTPIPRPFAPLPVPPTAIGMMPPPPPLPQGMPPPPPPDDAPPPLPDEPEPKRQKLDSMLIPEDQFLAQHPGPVRITISVPNVDEGNLKGQVLEITMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNIGAGEALSLSLRERGGRKR >Manes.04G066600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25183616:25188552:1 gene:Manes.04G066600.v8.1 transcript:Manes.04G066600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTAILPLPAPPPGGDSSPPPSQLSEQHSSKEDKTTNENQNKAPVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHHLSEFRAQNQSSAQQPPSQPADSDATESTQSAPASDGTDAAPKPDPTAQFRPPPRKVLDPPEAEQYTIRLPEGITGEELDIIKLTAQFVARNGQAFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPKGLTEKLTKSVADMTTVLERCLHRLEWEHSQEQARQKAEDEIEQERIQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKVSSMVEDEVIEPGKEVEMEMDEEEVQLVEEGMRAASLEENDSEKKFAKANEEPEEPMRIVKNWKRPEERIPAERDPTKVVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNIIGEDQSEAGNDDARGLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRMPPNTIQYSAPTSGAFPVPPPRPPGMPMVPSIRPMQAPMPVAPGQQPIMMNRQLTMPPSISGNPPSMPVPPPPGSQFTPIPRPFAPLPVPPTAIGMMPPPPPLPQGMPPPPPPDDAPPPLPDEPEPKRQKLDSMLIPEDQFLAQHPGPVRITISVPNVDEGNLKGQVLEITMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNIGAGEALSLSLRERGGRKR >Manes.04G066600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25183616:25189306:1 gene:Manes.04G066600.v8.1 transcript:Manes.04G066600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTAILPLPAPPPGGDSSPPPSQLSEQHSSKEDKTTNENQNKAPVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHHLSEFRAQNQSSAQQPPSQPADSDATESTQSAPASDGTDAAPKPDPTAQFRPPPRKVLDPPEAEQYTIRLPEGITGEELDIIKLTAQFVARNGQAFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPKGLTEKLTKSVADMTTVLERCLHRLEWEHSQEQARQKAEDEIEQERIQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKVSSMVEDEVIEPGKEVEMEMDEEEVQLVEEGMRAASLEENDSEKKFAKANEEPEEPMRIVKNWKRPEERIPAERDPTKVVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNIIGEDQSEAGNDDARGLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRMPPNTIQYSAPTSGAFPVPPPRPPGMPMVPSIRPMQAPMPVAPGQQPIMMNRQLTMPPSISGNPPSMPVPPPPGSQFTPIPRPFAPLPVPPTAIGMMPPPPPLPQGMPPPPPPDDAPPPLPDEPEPKRQKLDSMLIPEDQFLAQHPGPVRITISVPNVDEGNLKGQVLEITMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNIGAGEALSLSLRERGGRKR >Manes.04G066600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25183616:25188552:1 gene:Manes.04G066600.v8.1 transcript:Manes.04G066600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTAILPLPAPPPGGDSSPPPSQLSEQHSSKEDKTTNENQNKAPVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHHLSEFRAQNQSSAQQPPSQPADSDATESTQSAPASDGTDAAPKPDPTAQFRPPPRKVLDPPEAEQYTIRLPEGITGEELDIIKLTAQFVARNGQAFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPKGLTEKLTKSVADMTTVLERCLHRLEWEHSQEQARQKAEDEIEQERIQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKVSSMVEDEVIEPGKEVEMEMDEEEVQLVEEGMRAASLEENDSEKKFAKANEEPEEPMRIVKNWKRPEERIPAERDPTKVVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNIIGEDQSEAGNDDARGLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRMPPNTIQYSAPTSGAFPVPPPRPPGMPMVPSIRPMQAPMPVAPGQQPIMMNRQLTMPPSISGNPPSMPVPPPPGSQFTPIPRPFAPLPVPPTAIGMMPPPPPLPQGMPPPPPPDDAPPPLPDEPEPKRQKLDSMLIPEDQFLAQHPGPVRITISVPNVDEGNLKGQVLEITMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNIGAGEALSLSLRERGGRKR >Manes.04G066600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25183610:25189696:1 gene:Manes.04G066600.v8.1 transcript:Manes.04G066600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTAILPLPAPPPGGDSSPPPSQLSEQHSSKEDKTTNENQNKAPVATHTRTIGIIHPPPDIRNIVDKTAQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHHLSEFRAQNQSSAQQPPSQPADSDATESTQSAPASDGTDAAPKPDPTAQFRPPPRKVLDPPEAEQYTIRLPEGITGEELDIIKLTAQFVARNGQAFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPKGLTEKLTKSVADMTTVLERCLHRLEWEHSQEQARQKAEDEIEQERIQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKVSSMVEDEVIEPGKEVEMEMDEEEVQLVEEGMRAASLEENDSEKKFAKANEEPEEPMRIVKNWKRPEERIPAERDPTKVVVSPITGELIPINEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNIIGEDQSEAGNDDARGLPGPAAPPPRPGVPSVRPLPPPPGLALNLPRMPPNTIQYSAPTSGAFPVPPPRPPGMPMVPSIRPMQAPMPVAPGQQPIMMNRQLTMPPSISGNPPSMPVPPPPGSQFTPIPRPFAPLPVPPTAIGMMPPPPPLPQGMPPPPPPDDAPPPLPDEPEPKRQKLDSMLIPEDQFLAQHPGPVRITISVPNVDEGNLKGQVLEITMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNIGAGEALSLSLRERGGRKR >Manes.11G110300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25494401:25500263:1 gene:Manes.11G110300.v8.1 transcript:Manes.11G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKGTSIVACNDRCGCPVPCSGGTGCRCRIVSETASGGVGGVGDGHSRCSCGEHCGCNPCVCPKGSQTSGVGKANCKCGSGCSCATCAS >Manes.01G131500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32444525:32449910:-1 gene:Manes.01G131500.v8.1 transcript:Manes.01G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATHVTSGAGLSVFCQRESIHRVRAVASERPPAPSKIEEEKVKLGGSDLRVTRLGIGAWSWGDTSYWNNFQWDDRKLKDAKSAFSASVDCGITFFDTAEVYGSRFSFGAVNSETLLGRFFKERKETDSEFEIAIATKFAALPWRLGRQSVLTALKDSLCRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSERRLRDAYERLKKRGIPLASNQVNYSLIYRAPEENGVKAACDELGITLIAYSPIAQGALTGKYTPENPPTGPRGRIYTPEFLTKLQPLLKRIKDIGENHSKTPTQVVLNWLIAQENVVPIPGAKNAEQAKEFAGALGWRLTSEEIDELRSMALEIQSVIGFPVEKL >Manes.15G158800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13140709:13150003:1 gene:Manes.15G158800.v8.1 transcript:Manes.15G158800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVNAKNRRGASIQVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTDNVVPLLGSIVGEGKIEFNESFRLPVTLIREMSSKGKNADSFQKNCLEFNLCEPRRDKIQMLATAVIDLADYGVVKETISVNAPMSSNRSFKNTSQPVLHVKIQPIDKGRSSSSSRDSLLKGVSHEKNGGKSVSALMNGEYAEEAEIASFTDDDVSSHSSLTNGGLHPQNEANGTDRSTERKGGVNREQAVALEVDIEEHIASQENLKESSSCSSSVDFELQNGTEISAERKGGTAREHAVASKMGIEKQIASKENLESSSHLSSVNLSADFEGPVNGHSSVLNTPNSKYEVAQSVHSSSSAFNYGCQEEEPNISMTNNCLLDFMQEADEKLPNSSIKIGGDAHQVRAGKNTMERITAIDDVYNSSMEDMNRHDLEEKGHFSEDEPIDTLSQDSTRNESALGTNTLSSSGGIEVKGNILKLDRLKHVKSVRSSSDSSRNNGLISRNQHGEVMEIGALGGLQNSAGSFKVNERKNAKVYSQDTRSTILNGKIQQMEHKIKMLEGELREAAGIEAALYSVVAEHGSSISKVHAPARRLSRLYLHACKESSQPRRASAARSAVSGLVLVAKACGNDVPRLTFWLSNSVVLRAIVHQEIDEKEPSVSGKKNIEMNGGGKGSKMISSSPKWKLSSSGRKENNKVCGYLGDLEDPREFISALEKVEAWIFSRIVESIWWQTLTPHMQSTATKAIDELIGSGSKKRLGRMSSSGDHDQGNFSLELWKKAFKDACERLCPLRAGGHECGCLPVLAQLIMEQCVARLDVAMFNAILRDSADEIPTDPVSDPISDSRVLPIPAGKSSFGAGAQLKNAIGNWSRWLTDLFGIDDDSNEEEDDDERQDISVKSFHHLNALSDLMMLPKDMLLNRSIRKEVCPAFGAPLIKRVLDNFVTDEFCPDPIPEAVLEALESEDPLDADEESVTTIPHIAAPPLYLPPLAASVAEIIGESGSQSQLRRSSSLLRKSYTSDDELDELNSPLASIFHDGSRTSPARTKLGWKSKETGKYNSFRYELLREVWTKSE >Manes.15G158800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13142570:13150003:1 gene:Manes.15G158800.v8.1 transcript:Manes.15G158800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKQIASKENLESSSHLSSVNLSADFEGPVNGHSSVLNTPNSKYEVAQSVHSSSSAFNYGCQEEEPNISMTNNCLLDFMQEADEKLPNSSIKIGGDAHQVRAGKNTMERITAIDDVYNSSMEDMNRHDLEEKGHFSEDEPIDTLSQDSTRNESALGTNTLSSSGGIEVKGNILKLDRLKHVKSVRSSSDSSRNNGLISRNQHGEVMEIGALGGLQNSAGSFKVNERKNAKVYSQDTRSTILNGKIQQMEHKIKMLEGELREAAGIEAALYSVVAEHGSSISKVHAPARRLSRLYLHACKESSQPRRASAARSAVSGLVLVAKACGNDVPRLTFWLSNSVVLRAIVHQEIDEKEPSVSGKKNIEMNGGGKGSKMISSSPKWKLSSSGRKENNKVCGYLGDLEDPREFISALEKVEAWIFSRIVESIWWQTLTPHMQSTATKAIDELIGSGSKKRLGRMSSSGDHDQGNFSLELWKKAFKDACERLCPLRAGGHECGCLPVLAQLIMEQCVARLDVAMFNAILRDSADEIPTDPVSDPISDSRVLPIPAGKSSFGAGAQLKNAIGNWSRWLTDLFGIDDDSNEEEDDDERQDISVKSFHHLNALSDLMMLPKDMLLNRSIRKEVCPAFGAPLIKRVLDNFVTDEFCPDPIPEAVLEALESEDPLDADEESVTTIPHIAAPPLYLPPLAASVAEIIGESGSQSQLRRSSSLLRKSYTSDDELDELNSPLASIFHDGSRTSPARTKLGWKSKETGKYNSFRYELLREVWTKSE >Manes.15G158800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13140739:13150003:1 gene:Manes.15G158800.v8.1 transcript:Manes.15G158800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVNAKNRRGASIQVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTDNVVPLLGSIVGEGKIEFNESFRLPVTLIREMSSKGKNADSFQKNCLEFNLCEPRRDKIQMLATAVIDLADYGVVKETISVNAPMSSNRSFKNTSQPVLHVKIQPIDKGRSSSSSRDSLLKGVSHEKNGGKSVSALMNGEYAEEAEIASFTDDDVSSHSSLTNGGLHPQNEANGTDRSTERKGGVNREQAVALEVDIEEHIASQENLKESSSCSSSVDFELQNGTEISAERKGGTAREHAVASKMGIEKQIASKENLESSSHLSSVNLSADFEGPEADEKLPNSSIKIGGDAHQVRAGKNTMERITAIDDVYNSSMEDMNRHDLEEKGHFSEDEPIDTLSQDSTRNESALGTNTLSSSGGIEVKGNILKLDRLKHVKSVRSSSDSSRNNGLISRNQHGEVMEIGALGGLQNSAGSFKVNERKNAKVYSQDTRSTILNGKIQQMEHKIKMLEGELREAAGIEAALYSVVAEHGSSISKVHAPARRLSRLYLHACKESSQPRRASAARSAVSGLVLVAKACGNDVPRLTFWLSNSVVLRAIVHQEIDEKEPSVSGKKNIEMNGGGKGSKMISSSPKWKLSSSGRKENNKVCGYLGDLEDPREFISALEKVEAWIFSRIVESIWWQTLTPHMQSTATKAIDELIGSGSKKRLGRMSSSGDHDQGNFSLELWKKAFKDACERLCPLRAGGHECGCLPVLAQLIMEQCVARLDVAMFNAILRDSADEIPTDPVSDPISDSRVLPIPAGKSSFGAGAQLKNAIGNWSRWLTDLFGIDDDSNEEEDDDERQDISVKSFHHLNALSDLMMLPKDMLLNRSIRKEVCPAFGAPLIKRVLDNFVTDEFCPDPIPEAVLEALESEDPLDADEESVTTIPHIAAPPLYLPPLAASVAEIIGESGSQSQLRRSSSLLRKSYTSDDELDELNSPLASIFHDGSRTSPARTKLGWKSKETGKYNSFRYELLREVWTKSE >Manes.15G158800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13140739:13150003:1 gene:Manes.15G158800.v8.1 transcript:Manes.15G158800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVNAKNRRGASIQVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTDNVVPLLGSIVGEGKIEFNESFRLPVTLIREMSSKGKNADSFQKNCLEFNLCEPRRDKIQMLATAVIDLADYGVVKETISVNAPMSSNRSFKNTSQPVLHVKIQPIDKGRSSSSSRDSLLKGVSHEKNGGKSVSALMNGEYAEEAEIASFTDDDVSSHSSLTNGGLHPQNEANGTDRSTERKGGVNREQAVALEVDIEEHIASQENLKESSSCSSSVDFELQNGTEISAERKGGTAREHAVASKMGIEKQIASKENLESSSHLSSVNLSADFEGPVNGHSSVLNTPNSKYEVAQSVHSSSSAFNYGCQEEEPNISMTNNCLLDFMQEADEKLPNSSIKIGGDAHQVRAGKNTMERITAIDDVYNSSMEDMNRHDLEEKGHFSEDEPIDTLSQDSTRNESALGTNTLSSSGGIEVKGNILKLDRLKHVKSVRSSSDSSRNNGLISRNQHGEVMEIGALGGLQNSAGSFKVNERKNAKVYSQDTRSTILNGKIQQMEHKIKMLEGELREAAGIEAALYSVVAEHGSSISKVHAPARRLSRLYLHACKESSQPRRASAARSAVSGLVLVAKACGNDVPRLTFWLSNSVVLRAIVHQEIDEKEPSVSGKKNIEMNGGGKGSKMISSSPKWKLSSSGRKENNKVCGYLGDLEDPREFISALEKVEAWIFSRIVESIWWQTLTPHMQSTATKAIDELIGSGSKKRLGRMSSSGDHDQGNFSLELWKKAFKDACERLCPLRAGGHECGCLPVLAQLIMEQCVARLDVAMFNAILRDSADEIPTDPVSDPISDSRVLPIPAGKSSFGAGAQLKNAIGNWSRWLTDLFGIDDDSNEEEDDDERQDISVKSFHHLNALSDLMMLPKDMLLNRSIRKEVCPAFGAPLIKRVLDNFVTDEFCPDPIPEAVLEALESEDPLDADEESVTTIPHIAAPPLYLPPLAASVAEIIGESGSQSQLRRSSSLLRKSYTSDDELDELNSPLASIFHDGSRTSPARTKLGWKSKETGKYNSFRYELLREVWTKSE >Manes.15G158800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13140497:13150760:1 gene:Manes.15G158800.v8.1 transcript:Manes.15G158800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVNAKNRRGASIQVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTDNVVPLLGSIVGEGKIEFNESFRLPVTLIREMSSKGKNADSFQKNCLEFNLCEPRRDKIQMLATAVIDLADYGVVKETISVNAPMSSNRSFKNTSQPVLHVKIQPIDKGRSSSSSRDSLLKGVSHEKNGGKSVSALMNGEYAEEAEIASFTDDDVSSHSSLTNGGLHPQNEANGTDRSTERKGGVNREQAVALEVDIEEHIASQENLKESSSCSSSVDFELQNGTEISAERKGGTAREHAVASKMGIEKQIASKENLESSSHLSSVNLSADFEGPVNGHSSVLNTPNSKYEVAQSVHSSSSAFNYGCQEEEPNISMTNNCLLDFMQEADEKLPNSSIKIGGDAHQVRAGKNTMERITAIDDVYNSSMEDMNRHDLEEKGHFSEDEPIDTLSQDSTRNESALGTNTLSSSGGIEVKGNILKLDRLKHVKSVRSSSDSSRNNGLISRNQHGEVMEIGALGGLQNSAGSFKVNERKNAKVYSQDTRSTILNGKIQQMEHKIKMLEGELREAAGIEAALYSVVAEHGSSISKVHAPARRLSRLYLHACKESSQPRRASAARSAVSGLVLVAKACGNDVPRLTFWLSNSVVLRAIVHQEIDEKEPSVSGKKNIEMNGGGKGSKMISSSPKWKLSSSGRKENNKVCGYLGDLEDPREFISALEKVEAWIFSRIVESIWWQTLTPHMQSTATKAIDELIGSGSKKRLGRMSSSGDHDQGNFSLELWKKAFKDACERLCPLRAGGHECGCLPVLAQLIMEQCVARLDVAMFNAILRDSADEIPTDPVSDPISDSRVLPIPAGKSSFGAGAQLKNAIGNWSRWLTDLFGIDDDSNEEEDDDERQDISVKSFHHLNALSDLMMLPKDMLLNRSIRKEVCPAFGAPLIKRVLDNFVTDEFCPDPIPEAVLEALESEDPLDADEESVTTIPHIAAPPLYLPPLAASVAEIIGESGSQSQLRRSSSLLRKSYTSDDELDELNSPLASIFHDGSRTSPARTKLGWKSKETGKYNSFRYELLREVWTKSE >Manes.15G158800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13140739:13150003:1 gene:Manes.15G158800.v8.1 transcript:Manes.15G158800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVNAKNRRGASIQVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTDNVVPLLGSIVGEGKIEFNESFRLPVTLIREMSSKGKNADSFQKNCLEFNLCEPRRDKIQMLATAVIDLADYGVVKETISVNAPMSSNRSFKNTSQPVLHVKIQPIDKGRSSSSSRDSLLKGVSHEKNGGKSVSALMNGEYAEEAEIASFTDDDVSSHSSLTNGGLHPQNEANGTDRSTERKGGVNREQAVALEVDIEEHIASQENLKESSSCSSSVDFELQNGTEISAERKGGTAREHAVASKMGIEKQIASKENLESSSHLSSVNLSADFEGPVNGHSSVLNTPNSKYEVAQSVHSSSSAFNYGCQEEEPNISMTNNCLLDFMQEADEKLPNSSIKIGGDAHQVRAGKNTMERITAIDDVYNSSMEDMNRHDLEEKGHFSEDEPIDTLSQDSTRNESALGTNTLSSSGGIEVKGNILKLDRLKHVKSVRSSSDSSRNNGLISRNQHGEVMEIGALGGLQNSAGSFKVNERKNAKVYSQDTRSTILNGKIQQMEHKIKMLEGELREAAGIEAALYSVVAEHGSSISKVHAPARRLSRLYLHACKESSQPRRASAARSAVSGLVLVAKACGNDVPRLTFWLSNSVVLRAIVHQEIDEKEPSVSGKKNIEMNGGGKGSKMISSSPKWKLSSSGRKENNKVCGYLGDLEDPREFISALEKVEAWIFSRIVESIWWQTLTPHMQSTATKAIDELIGSGSKKRLGRMSSSGDHDQGNFSLELWKKAFKDACERLCPLRAGGHECGCLPVLAQLIMEQCVARLDVAMFNAILRDSADEIPTDPVSDPISDSRVLPIPAGKSSFGAGAQLKNAIGNWSRWLTDLFGIDDDSNEEEDDDERQDISVKSFHHLNALSDLMMLPKDMLLNRSIRKEVCPAFGAPLIKRVLDNFVTDEFCPDPIPEAVLEALESEDPLDADEESVTTIPHIAAPPLYLPPLAASVAEIIGESGSQSQLRRSSSLLRKSYTSDDELDELNSPLASIFHDGSRTSPARTKLGWKSKETGKYNSFRYELLREVWTKSE >Manes.10G144300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31240082:31243206:1 gene:Manes.10G144300.v8.1 transcript:Manes.10G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFKHSLLLGMLVFLIALLALTEPAMADDVDAVPDNFNRTYFPDDFIFGTATSSYQIEGSTNISCRGPSVWDTFTHEYPERIKDHSNGDVAVDFYHRYREDIKNVKNMNFDAFRFSISWSRVIPSGRRSEGVNEEGIKFYDDVINEVLRNELIPFVTIFHWDTPQALEDKYGGFLSRNIVDDYCDYADLLFEKFGNRVKFWMTFNEPWSLSGFAYDDGIFAPGRCSSWVNRQCRAGNSATEPYIVAHHLLLAHSAAVNLYREKYQKIQTGGTMGKIGITLFTFWFEPLSNRLIDIEASRTALDFMFGLWMDPLTYGRYPRRVQDLVGDRLLTFTEKETKMLRKSYDFLGLQYYTSYYAKPNAPIDPDYIRYKTDSRTTTTAYDYDGNPIGPHAYSPWFYIFPKGIRHLLNYTKDTYEDPVIYITENGVDRYNNESRTPEQMRNDTFRINYYKEHMWHALGSLKNYNVNLKGYFAWSYLDNFEWNIGYTSRFGLYYVDYHDHLKRMPKDSANWFKHKFLKSPLKITSQDSREVGKYYIM >Manes.09G078408.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:20997958:20998341:-1 gene:Manes.09G078408.v8.1 transcript:Manes.09G078408.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEAGHHRKLQLQELEKIRRDAYENSWNYKTKTKASHDNHLSRKQFEVGDKVLLFDSRLKLFPGKLRSRWIGPFIVEHTYPHGAVDIRSIETGKIFKVNGHHLKLYFEGFAVQVVEEIPLQHPSA >Manes.07G062580.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10995952:10996272:1 gene:Manes.07G062580.v8.1 transcript:Manes.07G062580.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVGDFNCVLNSWEKKGGNAVNWNVADSFRFLINSLGLTDLGFRGPIFTWNNRRDGSLNIQERLDRSLASINWIHLYPSVAVEHLEDRGSDHRPLLVNISPSMPKAK >Manes.11G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3110720:3116877:1 gene:Manes.11G031800.v8.1 transcript:Manes.11G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTVASRFRALKSQVGNLRASQYATSSAVASRTSSPGFFSWLTGEHSTSLPPLDSPMLGVPLPPVLPDYIAPSKVMSKTLENGVRIVSEASQNPAASIGLYLDCGSIHETPMSCGASHLLERMAFKSTRNRSHLRIVREVEAIGGSVAASASREQMAYTFDALKTHVPEMVELLVDSVRNPVFLDWEVNEELKKMKDELGQLSNNPQGLLLEAIHSAGYSGALANPLLAPESALNRLDGAILEEFVHEHYTAPQMVLAASGVEFEELISVAEPLLSDLPRVQRPEEPKSVYVGGDYRRQADSPMTHVALAFEVPGGWHNEKEAIVLTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYQQLQSFSAFTSILNNTGLFGIYASTTPDFAPKAVDIAVGELLAIAMPGQVSKLQLDRAKESTKSAVLMNLESRMIVAEDIGRQFLTYGERRPVEHFLKTVDEITAKDITNIAQKIISSPLTMASYGHVLNVPSYEYVSSKFHA >Manes.02G043000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3520901:3523660:1 gene:Manes.02G043000.v8.1 transcript:Manes.02G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYELTDRKQKCVICLSSDTEGEKQMDSMLDPDYIRTRPRRRNNNPPRSNQNMEIETVFPGVDGISIGRKRRAPWKRANNGNEELKAKPRKRRKPAFRLSRSITQSKSDEKTVLSLLMNQNAIFEYDRVQYSVDNGPSLKEGIARRDGIWCRCCNKLMTVWEFEIHAGSNLKMPYFNIKMVRTSKSLLNLLVALCEIEEAERRHFNHVGPIPGATDANDDACQICADGGELICCENCPSTFHPSCLEMESIPQGDWLCPYCVCIFCDGGNRDMLTCQQCQKKFHWECFLERQPIDLKIYWLTQFCGPNCEQLHRLIGVKHEIKEGFSWTLLRRLDPFDDIDVQTRMECNSKSALALEVLNECFMSCTDRHTRINILQSVVYNRGSNLSRMNFEGFYTLILEKKDAIVSAATIRMHKNDLAEMPYIATRERYRCMGLSRMLFDALRYVFSCIGAKHLVIPSLPELANMWQEKYGFRPIDDVVKQKLMTYNTLMFPGTIRLQMTFPDTSASSSRAMDIGANKESRLKLPLLDLNLSPPEAADDELAEPVPYGKQIKSD >Manes.02G186100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14918529:14925013:1 gene:Manes.02G186100.v8.1 transcript:Manes.02G186100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGLMRRIHPKQSETALSALINLLPHHSSDLLSQVDQALQVLCDVDCGKEFILCEYNRDADSYRSPWSNKYHPPLEDALYPSLELRKLEIEANEVFAVYRDQYYEGGISSVYLWEDDDNEGFVACFLIKKDGSKTGHGRRGYLQEGAWEAIHVIQVGPEEEGTAHYCLTSTIMLSLTTNDESSGTFSLSGSIRRQMNMDLAIADGHLCNMGRMIEEMEGKLRNSLDQVYFGKTKEMVCTLRPPAEVVMRLPNS >Manes.02G186100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14918529:14925013:1 gene:Manes.02G186100.v8.1 transcript:Manes.02G186100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGLMRRIHPKQSETALSALINLLPHHSSDLLSQVDQALQVLCDVDCGKEFILCEYNRDADSYRSPWSNKYHPPLEDALYPSLELRKLEIEANEVFAVYRDQYYEGGISSVYLWEDDDNEGFVACFLIKKDGSKTGHGRREEGTAHYCLTSTIMLSLTTNDESSGTFSLSGSIRRQMNMDLAIADGHLCNMGRMIEEMEGKLRNSLDQVYFGKTKEMVCTLRPPAEVVMRLPNS >Manes.08G148000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38373600:38375484:1 gene:Manes.08G148000.v8.1 transcript:Manes.08G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVVVNKGEDLIDLPPGFRFHPTDEEIITHYLTEKVMNSCFSACAIGEVDLNKSEPWDLPKKAKMGEKEWYFFCQRDRKYPTGMRTNRATEAGYWKATGKDKEIYKGKNCLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGKFSYYNLPKASKDEWVVCRVFHKSTGIKKTSIQDLLRVNSFGDDFLDYSSLPPLMDPPQYNRPGSSSFNDEDDEFKAMINNNQNYLHHQLPNSSYEAPITSTFYSQIPASSPLFTFQTTPTMSGYFPSSSFGANEQTILRALAANTETSVQEKHCKVEQFSSNQSVATLSQDTGLSTDINTTTTEISSVVSKQEIGSNKLYDNLEGPSSVSPIADLEVLWDY >Manes.S013407.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:99196:99354:1 gene:Manes.S013407.v8.1 transcript:Manes.S013407.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.13G072600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10600272:10601752:1 gene:Manes.13G072600.v8.1 transcript:Manes.13G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSCFGILVFAFLAYIASTEAQLQMGFYSQSCPRAEQIVQDFVNQHIHNAPSLAATIIRMHFHDCFVRGCDGSVLINSTSNNQAEKAAIPNLTLRGFDFIDRVKSLLEEECPGVVSCADVIALVARDSIVATGGPSWRVPTGRRDGTISNASEALASIPAPTSNFTNLQRLFGNVGLDLKDLVLLSGAHTIGVAHCPAFSNRLYNFTGVGDQDPALDSEYAANLKARKCTTPNDNTTIVEMDPGSRKTFDLSYYSNLIKRRGLFRSDSVLTTNSDTLSIINQLLSGSLQYFFDEFAASMEKMGRINVKTGSAGEIRKLCSVVNS >Manes.09G128900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33129996:33131935:-1 gene:Manes.09G128900.v8.1 transcript:Manes.09G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITDQETTVREIKPKSRRIMGGGGPDYDDDDDDDGGDVDNKEDIKWPPWLRPLLQTSFFVQCKLHADAHKSECNMYCLDCMNGALCSLCLSFHKDHRAIQIRRSSYHDVIRVSEIQKYLDITGVQTYIINSARIVFLNERPQPRPGKGVTNTCQVCERSLLDSFSFCSLGCKIVGTSKKFRKKKIRKEMEGSDTEESMNGNSVIREKGNGNGNKRKIQSFTPSTPPPTSASYRATAKRRKGVPHRSPMGGFIIEY >Manes.13G089210.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23089469:23090021:-1 gene:Manes.13G089210.v8.1 transcript:Manes.13G089210.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKLACRFYGPYLVKEKIGKLAYRLQLPAESRVHPVFHVSLLKKYVGDADPISSDIPQLTYDGYFVFEPAAILDTRWVRLGNRFTEESLVQWTHLPKEDATWEVTADLSARFPHLNLEDKVHFEGKGIDRPLRRTSRVIIKNRKYLD >Manes.03G158701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28653265:28655343:1 gene:Manes.03G158701.v8.1 transcript:Manes.03G158701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVFPCRGLLKLNRVLFLNFVKSLSILSPTNSPEIPVSKALPYLKPLNSKISNYMKNGRINEAQDLFDEMPQRNTVTWNAMIRGYFQNGLSHKALSLFSVMPERDIYSYNIVISGLIQCCDLDSAREIFDGMPFRDVVTWNSIIAGYVHEGLIDEAVRIFNGIPLEMRNVISWNLVIGGLMNGQQVDLAKEYFRQMDIRDAVSWKIMVSGFARVGRIKEAHDFFEEMPVKDVRAWNALLDGYMENRRIEMAEVLFQRMPERDLDSWKCFINGLVSCQRVNDALKFFMEMPQKCQKTWNGVLCGLIRNGHVKAVHGFLEKLPYADIVSWTNVLVGYFEMGEVSGAIRLFELIQIPDTTVWNVMICGLGENGHGEEGLKLFVRMKELGSSPDKATLTSVLTICSDLPASHLGEQMHAEAIKAGFDRVTEVSNAMITMYARSGHMHCALLEFSSMPSHNIISWNSIICGFSHHGYGEQAIKIFEQMRLSDVQPNHVTFVGVLSACSHSGLVDQGRYYFGYMKNKCGLQPTNEHYTCLIDLLGRFGLVDEAMTFVNQMRKDGIEVPASVWGALLGACRIHKNIGIGEIAGKSLLEIEPNRSGIYLILAEMYMSVGRREDAEYILNQMKEKGVKKQPGCSWIEVNNNGHVFLSGDSTHQEFSRICCLLDLLHKDIEIMISKSNTTLEQFQVAQDGP >Manes.06G043600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13668890:13670835:1 gene:Manes.06G043600.v8.1 transcript:Manes.06G043600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATRSSRKSSTGPGIRSLSPSGRFHLPYSQSSSTSFASSSSSFASRSSTLFSGHHQYHRSASPTRVNLYGNAPTASSIRFSLDRPISPNRSISTTNPSRGHQVVRKPSTPKRTCMCSPTTHPGSFRCSLHKNSNNSHSVNYSPNTRLNARRSAMTNSLVRICGVEGDLVKRALSALIRPSSHQQRRRAAFQPRPSRLSVMCKAGDVQ >Manes.06G043600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13668890:13670853:1 gene:Manes.06G043600.v8.1 transcript:Manes.06G043600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATRSSRKSSTGPGIRSLSPSGRFHLPYSQSSSTSFASSSSSFASRSSTLFSGHHQYHRSASPTRVNLYGNAPTASSIRFSLDRPISPNRSISTTNPSRGHQVVRKPSTPKRTCMCSPTTHPGSFRCSLHKNSNNSHSVNYSPNTRLNARRSAMTNSLVRICGVEGDLVKRALSALIRPSSHQQRRRAAFQPRPSRLSVMCKAGDVQ >Manes.06G043600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13668890:13670835:1 gene:Manes.06G043600.v8.1 transcript:Manes.06G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATRSSRKSSTGPGIRSLSPSGRFHLPYSQSSSTSFASSSSSFASRSSTLFSGHHQYHRSASPTRVNLYGNAPTASSIRFSLDRPISPNRSISTTNPSRGHQVVRKPSTPKRTCMCSPTTHPGSFRCSLHKNSNNSHSVNYSPNTRLNARRSAMTNSLVRICGVEGDLVKRALSALIRPSSHQQRRRAAFQPRPSRLSVMCKAGDVQ >Manes.12G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31493136:31500755:1 gene:Manes.12G114000.v8.1 transcript:Manes.12G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITKTEWATEWGGAKSKEVVRFKRLPFYCCALTFTPFEYPVGTADGSVFDLMNITPYIRKYGKHPVTGAPLKQEDLIPLTFHKNAEGEYHCPVLNKVFTEFTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFTKEDLITIQNPNALDSRVTLDFDHVKHGLKVDDEELKKMNSDATYNINANGDMKQMLEELGTEKAKETALHGGGGSKAQKERAAALATILAARSRIKEDSKSDSNGQSKPPQAYSIVDAASAAVHGRSAAAAKAASGDKTAARIAMHMAGERAPVNAKMVKSQYTTGAASRSFTSTSFDPVTKNDFEYIKVEKNPKKKGYVQLHTTHGDLNIELHCDITPRTCENFITLCEQGYYNGVAFHRSIRNFMIQGGDPTGTGRGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLAAMEKVPVDDNDRPLEEIKINSITVFVNPYTEPDEEDEQEEAKDEKATEDEENEKVGSWYSNPGTGTTESGTMGSGGGVGKYLKARNSQTESATMDAGLSTNGVAKKMKVGVSTGEFKDFSGW >Manes.01G060875.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25720809:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25721112:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAALSHVRERERDHHQYCSSLFFSIHNEDAENKIMKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25721112:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSSFLLLLLAWNSSPNTVLPCRHFSDAENKIMKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25721112:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEREREITINTVPLFSFLFITKSSPNTVLPCRHFSDAENKIMKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25720743:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25721112:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25721112:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25721112:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIILLIFFDLCLLQSSPNTVLPCRHFSDAENKIMKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25721112:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.01G060875.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25716425:25721112:-1 gene:Manes.01G060875.v8.1 transcript:Manes.01G060875.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLAHKDGLLTVKQGFTEISFRRYRSSSCKTPTRTVGLEGNVELKRGSVYQNSTEVRKMKNMGVNEGRRKIELSLDNESTFSFSIVDSLCCSDEENTQKRSPKLSVNSSLNPTSVRKSCIEPCSSEGFIEICPNLGKREKQSSGTVRCDSIENPTFRCEQVAGPVNDANDMLENDMALTFHKSLSAKLEMPHSPSPSESSCSSRASSKSRFSPIKKMFDPFMKSKSLRSSLSYIAEHGDVKTTGISNVRNNQITRKSLTHDFANTIGKSDIGSPLVRNGHNLSTVACSPVHLHGCLKLENKHGVPYFKFSLDCPEEVFVAKTRKENNAVNWVYTFHSICNRKKSNVSGWSLTDSNKESLMVGQMQVSCYLNSDLNDGGDVDNSMVMEFVLYDIAHARQSVCSQDSLDIVKSPNCSKAGYGGATHELDSGSDAMKFKHQTQRASYSNNSDSSSPYPTALLHSDLEIAAIVIQLPFAKRESMKCNRGNRSNVTMHSNLLNHSTVGQRRKDFTDRENPDKLNVVIPTGNHSLPIDESQGPSSLLDRWRIGGGCDCGGWDMSCPLTVFGSPGIRCAEDKPLMDYQKPLELFVQGTKQKIPALTMRAVEEGQYAVHFHAQLSTLQAFSICVAALHGIEASNAIGKERSKQLAHGSSLKALIEEEMQFLIETVTEEEKKKAASKKMEEIQQTYVLNPPFSPIARV >Manes.18G008400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1125209:1128635:1 gene:Manes.18G008400.v8.1 transcript:Manes.18G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQMQMHIRANDWMEFSPVRETREDMAVDWTQLLPELLETISKRIKVYADYIHCRAVCRNWRSSISGTPYHLPPQLPWLMLPQSQSNKSHRAFFDLSTNNYHFLNLPEASHLKRHCGSSHGWLILLDDSPLVLLINPLTRAKSTLPSLSSFPNVVGFNYSNIGQEYTLQNSSGGRYTCSLRQMRDGFIKKIALSSSPLKAINFTAIAILNQTGDLAYCSNRSQSWTIIENARSFCEDVIYLNGFFYAVNKSGQIAVCDLTGYSPEVSFIETPSQAGGDMQYLVSSADELLLVTRYLDLEFQDDHPFMQQYILYRTIRFEVFRLDRSGPRWVRVRNLGDKVLFIGENSSLSLSATDFSGCIGNCIYHTDDYSETNYDGHFREHDLGIFKLWDGSIEALPCYPRNFLSRSPWPPPIWISPNPF >Manes.13G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31068246:31077333:1 gene:Manes.13G107700.v8.1 transcript:Manes.13G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHAQSFLLFFQRHAFALRLQRKRPQTRPQMESAALLHNYLLVPSRNSSSSSPLLHLPSSSDRSLHFSPSPSSLSLKLLKGESLSSNALQAVSPFRRHAVVSDTYSSETTELADIDWDSLGFAYVPTDYMYTMKCVRGGSFSKGELQRFGNIELNPSAGVLNYGQGLFEGLKAYRKVDGNILLFRPEENALRMKIGAERMCMPSPTVEQFVEAVKATVLANKRWVPPPGKGSLYIRPLLMGTGTVLGVAPAPEYTLLIYVSPVGNYFKEGVAAINLVVEHELHRATPGGTGGVKTIGNYAAVLKAQSIAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKDNVISTPSIKGTILPGITRKSIIDVARSQGFQVEERLVAVDELFDADEVFCTGTAVVVSPVGSITYKGKRVSYGEGGFGAVSQQLYTVLTRLQMGLIEDKMNWTVELK >Manes.13G107700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31068246:31077333:1 gene:Manes.13G107700.v8.1 transcript:Manes.13G107700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHAQSFLLFFQRHAFALRLQRKRPQTRPQMESAALLHNYLLVPSRNSSSSSPLLHLPSSSDRSLHFSPSPSSLSLKLLKGESLSSNALQAVSPFRRHAVVSDTYSETTELADIDWDSLGFAYVPTDYMYTMKCVRGGSFSKGELQRFGNIELNPSAGVLNYGQGLFEGLKAYRKVDGNILLFRPEENALRMKIGAERMCMPSPTVEQFVEAVKATVLANKRWVPPPGKGSLYIRPLLMGTGTVLGVAPAPEYTLLIYVSPVGNYFKEGVAAINLVVEHELHRATPGGTGGVKTIGNYAAVLKAQSIAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKDNVISTPSIKGTILPGITRKSIIDVARSQGFQVEERLVAVDELFDADEVFCTGTAVVVSPVGSITYKGKRVSYGEGGFGAVSQQLYTVLTRLQMGLIEDKMNWTVELK >Manes.16G066700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26662988:26667818:-1 gene:Manes.16G066700.v8.1 transcript:Manes.16G066700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLRSSLSLSLSIVLSYQIHPPNQLLNPSATSLSIFKPDAEICPGVVHEMGCAQSKVDDEESVVRCKERKFLMKEAVAARNAFAAGHSGFAISLKNTGAALGDYAQGEVLEPHAHLQQPPHEPISQTPPPPPPPPPPPSMESFPPPPPPPPNFSPSPINRALSMPAIPMKPHRLVGEEIDSVAAAEEEELELDHGARNNGNKSKKKKDLSGSPGPSNGMAGPDETPRSPPRTPENHAVPPMPEAKNMAWDYFFRVDNMPGPSLEPEVDANRNGNTFGSVEDVGVRFGGIENPDGGEINGVEPKTPEKSTEHLATVVEEEEEEGKETKTEKQIAHSKTAPPDFEVAGKKAVPVPTVNLMQVLGVIDDHFLKASESAQEVSKMLEATRLHYHSNYADNRGYVDHSARVMRVITWNRSFRGEGGKDELDSEDYETHATVLDKLLAWEKKLYDEVKQGELMKLEYKKKVAQLNRQKKRGASAESLEKTKAAVSHLHTRYIVDMQSIDSTVSEVNDIRDKQLYPKLVNLVDGMAKMWINMCMHHDSQLKIVMDLKSLDVSQAVKETTRHHHERTKQLYNVVQGWHLQFEKLVTHQKQYIQTLNNWLKLNLIPIESSLKEKISSPPKSPNPPIQPLLRSWHDYLEKLPDEVAKSAIASFAAVIKTIELHQEEELKLKEKYEETRKEFLRKNQAFEEWYQKYMQRRTPTDETDAERGEDANSSDPMSERQFAVESLKKRMEEEVEAHQRHCLQVREKSLGTLKLRLPELFRAMSDYAHACSDAYEKLRGLTQLQNSTHSPQ >Manes.11G082891.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:18511289:18513250:1 gene:Manes.11G082891.v8.1 transcript:Manes.11G082891.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKEILARPIQLADQVIKSIDEAQSFKQECQEIKTKTEKLAILLRQAARASNDLYERPTRRIIDDTEQVLDKTLILVIKCRATGLMKRMFTIIPSGAFRKTSMQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQVAILFTGSLEERSDAAASLVSLARDNDRYGKLIIEEGGVPPLLKLAKEGKMEGQENAARAIGLLGRDPESVEQIVNAGVCTVFAKILKEGHMKVQAVVAWAVSELAANHPKCQDHFAQNNIIRFLVSHLAFETIQEHSKYAIASKHSMSIHSVVMANNEPNPHEKKENEDENLRISHPMNHNSSSQMQNVVTNTLAMKNITPNTTKQKQALSPSNSQPHHPNPARGNQSHSKRHHHHVLTGTSIKGREFEDPATKAEMKAMAARALWQLCKGNVSICRSITESRALLCFAVLLEKGVDDIQSNSAMALMEITAVAEQTPELRRSAFKPTSPTAKAVVDQLLKVIEKADSDLLMPCIRAIGNLARTFRATETRIIGPLVKLLDEREPEITMESAIALNKFACTENYLCVNHSKAIINAGGAKHLIQLVYFGEQMVQIPSLILVCYITLNCPDSEVLANEEMLIVLEWSSKQAHLVQDPTIESILPDAKSRLELYQSRGSRGFH >Manes.03G066733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8873562:8881827:1 gene:Manes.03G066733.v8.1 transcript:Manes.03G066733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNYWVHFVVVLCLGLFVGISCQNDSDTSITAVYIVTLKQAPAARFNGELRKETNVFNHRSPNRRNKLHRSRNNGSSHQSPESYITRVHDSLLRRVLRGEKYLKLYSYHYLINGFAVLVTSQQADKLSRRREVANVVLDFSVRTATTHTPQFLGLPHGAWAKEGGYETAGEGIVIGFIDTGIDPTHPSFADDLSENSYPVPGHFSGVCEVTRDFPSGSCNRKLIAARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVIVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDILSLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGAASHDREYTNSIRLGNNVTIPGVGLAPGTDNDTMYTLISALHALNNDTTVANDMYVGECQDSSNFNEDLVQGKLLICSYSIRFVLGLSTIKQALETAKNLSAAGVVFYMDPFVIGFQLNPIPMRMSGIIVSSPDDSKILLKYYNSSLERDGFTKKITRFGAVASISGGLKANYNSSAPAIMYYSARGPDPEDNLLDDADILKPNLVAPGNSIWAAWSSLGTDSVEFQGESFAMMSGTSMAAPHVAGLAALIKQKFPSFSPSEVASALSTTASLYDKNGGPIMAQRSYANPELNKSPATPFDMGSGFVNATAALDPGLIFYSSYSDYMSFLCGINGSSPVIFNYTGQNCCMYNSTISGADLNLPSVTIAKLDQYRTVQRTVINIAGNETYSVGWSAPYGVSVKVAPTHFFIGSGEKLVLNIIFNATMNSSTASFGRIGLFGNMGHVLNIPLSVILKISYNTTNG >Manes.13G069750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:9320858:9322009:1 gene:Manes.13G069750.v8.1 transcript:Manes.13G069750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKAAIGDAILTSMWVFSMPFLGIFSSTIAEFIGVEAKSLASLFITINLATCFVLTFSLIGAALGGASFNPTTTLSFYAAGIKPDASLMSMAVRFPAQAAGGVGGATAILQAMPGKYKHLLKGPSLKVDLHTGAVAEGAFTFVFCLALLLVMLKGPKNLLLKVWMVAVVTVGLVISGRRYTGPSLNPANAYGWAYVNNWHNTWDLFYVYWICPFVGAILAAWVFRYLFKAPIKKDKQA >Manes.03G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:672145:673006:-1 gene:Manes.03G008300.v8.1 transcript:Manes.03G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLRVATSSLLLMITLFLSLSEAKEIIIGGKTDAWKIPSSQSDSLNKWAESSRFRIGDTLVWKYDSEKDSVLQVTREAYLSCNISNPIEEYKDGNTKVKLDRSGPFYFISGAEGHCVKGQKMIVVVLSPRHRYTDISPAPSPAESEGPAVAPTSTATSLKGSLVMLMGILIWVLF >Manes.14G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2121995:2124751:1 gene:Manes.14G021800.v8.1 transcript:Manes.14G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICSESCRIKVYEIFCILVLVGSFGIRIGESKNVQVLKPNSKLEYSALNCRKHSGFLTDYGGVGDGKTLNTKAFQSAIANLSQYASDGGAELIVTSGRWLTGSFNLTSHFTLFIHRSAVILASQNESDWPLLAPLPSYGVDQNLTEGRFSSLLFGMNLTDVVITGNNGTIDGQGAPWWDKFKKGQFKATRPFLIELMYTDQLQISNITLANSPFWHVHPVYSSNVVIQMVTILAPVEVPNTDGINPDSCTNVLIEDVYIVSGDDCIAIKSGWDQYGIKVGLPTEHLVIRRLTCKSPDSAAIALGSEMSGGIQDVRAEDITAFDTQSAVRIKTAPGRGGYIKDIFVKGMTLKNMQYVFWVSGAYKTHPDDGYDPKALAEFKNINYRDVFAENVTIAGSLEGYANHPFTGFCMSNVSMTLNEEAKESSPWTCTDIKGVSKNVIPKPCDLLTGKETDCSFPEDKLSIETIEMKSCISKKKFF >Manes.01G061600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:25847765:25850759:-1 gene:Manes.01G061600.v8.1 transcript:Manes.01G061600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVAATSLIGYRPLCRGFSVKNPSYRRKSLNLSNCHFLGSKFMGRRIFCFYPRLKDDRRVNTSVKALAMELTKEAYSYKEEERIPRPWSYRPDTGVDRKPGLWPPENRADNPSLHNPLLRQERMGCGWLGAIFEWEGVIIEDNPDLEKQAWLALSQEEGKPPPPAFILRRMEGMKNEQAISEVLCWSRDPAELRRMATRKEDIYQALQGGIYRLRSGSKEFVNILMHYKIPMALISTRPRKILESAIGSIGIDGYFTAIVAAEDVHRGKPDPEMFVYAAQLLKFIPERCIVFGNSNPTVEAAHDVRMKCVAVASKHPMYELSAADLVVKHLDELSIVDLKNLADIESPEFGSLEPEMELEEEEEDRSTAVGVDDIFW >Manes.01G061600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25848966:25850725:-1 gene:Manes.01G061600.v8.1 transcript:Manes.01G061600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVAATSLIGYRPLCRGFSVKNPSYRRKSLNLSNCHFLGSKFMGRRIFCFYPRLKDDRRVNTSVKALAMELTKEAYSYKEEERIPRPWSYRPDTGVDRKPGLWPPENRADNPSLHNPLLRQERMGCGWLGAIFEWEGVIIEDNPDLEKQAWLALSQEEGKPPPPAFILRRMEGMKNEQAISEVLCWSRDPAELRRMATRKEDIYQALQGGIYRLRSGSKEFVNILMHYKIPMALISTRPRKILESAIGSIGIDGYFTAIVAAEDVHRGKPDPEMFVYAAQLLKFIPERCIVFGNSNPTVEAAHDVRMKCVAVASKHPMYELSAADLVVKHLDELSIVDLKNLADIESPEFGSLEPEMELEEEEEDRSTAVGVDDIF >Manes.01G061600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25848543:25850728:-1 gene:Manes.01G061600.v8.1 transcript:Manes.01G061600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVAATSLIGYRPLCRGFSVKNPSYRRKSLNLSNCHFLGSKFMGRRIFCFYPRLKDDRRVNTSVKALAMELTKEAYSYKEEERIPRPWSYRPDTGVDRKPGLWPPENRADNPSLHNPLLRQERMGCGWLGAIFEWEGVIIEDNPDLEKQAWLALSQEEGKPPPPAFILRRMEGMKNEQAISEVLCWSRDPAELRRMATRKEDIYQALQGGIYRLRSGSKEFVNILMHYKIPMALISTRPRKILESAIGSIGIDGYFTAIVAAEDVHRGKPDPEMFVYAAQLLKFIPERCIVFGNSNPTVEAAHDVRMKCVAVASKHPMYELSAADLVVKHLDELSIVDLKNLADIESPEFGSLEPEMELEEEEEDRSTAVGVDDIF >Manes.07G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33002047:33007584:1 gene:Manes.07G127800.v8.1 transcript:Manes.07G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAPFRGNFSPLSSLSSQYSSCSHFELRSPPFLASLVFSRRKKRCCKDLNLYHVSRFHGKRGIICRVTETQTEPDSNNDKEKEEHGGGEAPPTTDSIEQSNSQPDSQPTVVNQITNSDGETSAEGATQETDAVEVVSGSPLPGVKPQQLDVSVRIPKGTIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGQAAKSYEKLANRMQNKFGDEYKLFLLVNPEDDKPVAVVVPRKTLQPETTAVPEWFAAGAFGVVTVFTLLLRNVPALQSNLLSTFDNLELLKNGLPGAIVTALILGVHELSHILVAKRSNVKLGVPYFVPSWQIGSFGAITRIVSIVPKREDLLKIAAAGPVAGFTLGFVLFLLGFILPPSDGVGLIVDASVFHESFLAGGIAKLLLGDALKEGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKASARFTAVSIVLLGLSSLFNDVAFYWVVLIFFLQRGPIAPLSEEITDPEDKYVALGVIVLLLGLLVCLPYPFPFTDEVISSF >Manes.06G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15122574:15126945:1 gene:Manes.06G048000.v8.1 transcript:Manes.06G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKCGCWALLKRGLTGACKSSASKDSANTIPRTSLVYDAATETRYLNASNRELCPPNEAKLSSDNPDPLSTDNKSPCQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIMIALGAAKGLAFLHGGPEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVAWARPYLADKRKLYQLADPRLELHYSLKGVQKVSQLAYNCLNRDPKSRPTMDEVVKALIPLQDLNDLAILSYHSRLSQQARRKKKYEGTQQHTNAASKSIRDSPLNTGKQRCR >Manes.13G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31861779:31863248:1 gene:Manes.13G113000.v8.1 transcript:Manes.13G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCILRPCLQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPENQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPMPELLAAGGSPSPASDEASEVEVTCTDMWTLQDPNPNPHSRFSNSRSKVSPKRKPIDEPVNLVKQQQLQLQLTGKDLDLRLTPTFSKKTSHRKLENRRLGSPSMNSEESVTTRTTCLESGPGDLYGNRGETKLLNLFV >Manes.13G113000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31861779:31863248:1 gene:Manes.13G113000.v8.1 transcript:Manes.13G113000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCILRPCLQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPENQRPACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPMPELLAAGGSPSPASDEASEVEVTCTDMWTLQDPNPNPHSRFSNSRSKVSPKRKPIDEPVNLVKQQQLQLQLTGKDLDLRLTPTFSKKTSHRKLENRRLGSPSMNSEESVTTRTTCLESGPGDLYGNRGETKLLNLFV >Manes.03G180400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30274778:30278932:1 gene:Manes.03G180400.v8.1 transcript:Manes.03G180400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFSAAPAGGVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPDFLKKFFPTVYDKTQDPTINSNYCKYDNQGLQLFTSSLYLAGLVATFFASYTTRKLGRRPTMLIAGIFFIIGVVLNTAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGIVFANLVNYGTAKIKSGWGWRLSLGLAGIPALLLTFGSLLVSETPNSLIERGRLEEGKAILRKIRGTDKIEPEFLELVEASRIAKEVKHPFRNLMKRRNRPQLVISVALQIFQQLTGINAIMFYAPVLFDTLGFGSDASLYSAVITGAVNVISTVVSIYSVDRVGRRVLLLEAGVQMFVSQVIIAIILGIKVKDHSEDLHRGIAVLVVIMICTFVSGFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFAIAQAFLSMLCHFKYGIFLFFSSWVFVMSFFVFFLVPETKNIPIEEMTERVWKQHWLWKRFMDDNEEGAIEINGQKSQKKGHANGFDPVTQF >Manes.09G076819.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:17649913:17650311:1 gene:Manes.09G076819.v8.1 transcript:Manes.09G076819.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSFYLLIFISFSLFSLIFFSFIFFYFLSFSSIFLFSLPHSIIFHSFFFHYSFIYFLFSHIYTLTFFFHSFLYLHFLFIFLHFFFIFLSFSFLFSFLFFFLFLLHFFSFSLISTFSLFSLHFYHYFLLFSLFSY >Manes.08G002202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:525245:532155:1 gene:Manes.08G002202.v8.1 transcript:Manes.08G002202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVASEQNQERLPHRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHNASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFICLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMISGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNHQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGF >Manes.08G002202.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:525245:532155:1 gene:Manes.08G002202.v8.1 transcript:Manes.08G002202.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVASEQNQERLPHRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHNASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFICLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMISGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNHQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGF >Manes.08G002202.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:525245:532155:1 gene:Manes.08G002202.v8.1 transcript:Manes.08G002202.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVASEQNQERLPHRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHNASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFICLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMISGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNHQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGF >Manes.08G002202.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:525245:531127:1 gene:Manes.08G002202.v8.1 transcript:Manes.08G002202.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVASEQNQERLPHRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHNASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFICLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMISGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNHQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGF >Manes.08G002202.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:525245:532090:1 gene:Manes.08G002202.v8.1 transcript:Manes.08G002202.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVASEQNQERLPHRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHNASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFICLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMISGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNHQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGF >Manes.08G002202.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:525245:532155:1 gene:Manes.08G002202.v8.1 transcript:Manes.08G002202.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVASEQNQERLPHRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHNASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFICLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMISGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNHQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGF >Manes.10G140419.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30514647:30517754:-1 gene:Manes.10G140419.v8.1 transcript:Manes.10G140419.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFPFLWIICLLCGELLCGGVAQSVHCNAADREALLDLKRGLNDSWNRLSSWHGTNCCGWSGIACHNTTGAVLAVDLPKSSGLQPLGGEIRPSLAKLKSLKHLDLSGNNFHGKIPHFLSDLQNLQYLNLSFAGFSGEIPPNLGNLSSLQFLDVSSVSLTVDNIEWVSGLLSLKYLSMNYLNLSSLGGAWIEPLNKLPLLSELHLEYCGLSGFIYSLPSVNFTSLKVMKLQCSLFHAKLPNWFTNISSLVSVDIGNSWLTGRIPLGFGELPNLQSLKLNYNMELSASCFQLFARSWKKIRVLDFSIINLHGRLPALLGNLTSLTDFDLHFNNIEGGIPSSIGKLSKLKYIDLSSNKLIGSLPNSIGQLKNLVELRLNSNLLQGSIPYSIGNLQHLTILKLSSNNINGTLPDSIGLLSELSTLDVSLNKLTGIISEAHFHRLENLEQIILSDNSVILNVSSHWVPPFQVIFLQMSSCHVGPSFPYWLRSQKKIQVLDFSRAGVSGCIPNWFWNMTSILSFLNFSFNSLEGHIPNTFKLIPYAIVDLSFNQFKGPVPLPNALSLDLSHNQFYGSMPENISQVMSSLQFLSLSSNQLTGGIPASIGALSLNVLDLSKNNLAGSIPPNIGNCSFLTVLDLQNNNLSGRIPNSIGRLNGLQTLHLSNNKFSGEIPSSLQNLSKLETLDLGSNMLTGKLPFWVGEAFPLLRILNLRANKLSGELPLTLSNSSSLQILDLAENQLNGSIPANLGNLKAMAQQQKVNHYLLYGVDESHNYQENIHVTINGLGLTYTRTLSLLTSIDLSGNNLSGELSEQITRLVGLEVLNLSRNHISGQIPDSISELHELLSLDLSGNRLSGPIPQSITSLTFLGNLNVSNNNLSGKIPSANQMSTFNASSFAGNPGLCGDPLAVKCGKGSNNGDDNYPDGGRKADEDDNSNGFVDNWFYMSIGVGFAVGLLLPYLVFAMKRSWGGVYFAFVDGTAYRLSSEKMQAAMRRRTREKH >Manes.06G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27135664:27140166:-1 gene:Manes.06G144200.v8.1 transcript:Manes.06G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKENRGDFVQWIGADMSIQVFLHLDDPSDLARVCSVSSSWRHFVIANGLFKQLCLKLLPEMRSVAHVIEVNNMIEPVRVGQSDCMEWECLNRNHRVFAQLAQGLTPFLQNDCISEAISASSTDNHPEESIWNTLEPNDKVGRGASYWSSKGQSDPAVPEALVYKFIANLCLVTEIHIQPFQAYFQHGFPIYSSKAVRFRLGHYKLPLQLENNVTVNSAINQNSMDDKFVWTYTSPEYPMAQENCLQKFKLPEAVLCIGGILQVELLGRVQRQEMDGLYYICISHVQAVGRPLSRPFDVEILDPTGKCSLKYFPQREYSMLSHGSPKGETGATSRWHALTVRLMERGVRGWENMILNTLLGIGPVVEEDESDEELLEDDSDDEPWHNG >Manes.11G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4949623:4950715:1 gene:Manes.11G046200.v8.1 transcript:Manes.11G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADQLLLLDLQPSPFAARVRIALAEKGLKYESKEEDLSNKSPLLLKMNPVHKQIPVLIHNGRPICESMSIVEYINEIWNHKSPLLPSDPYQRAHARFWADYIDKKIYPIGRMLWASKGETKEASTKELIECFKTLEGELGDKAYFGGESFGYVDVALIPFYSVFYAFEKLGNFSMAVESPKLLEWAQRCLQKESVAKSLCEPSKVYEVVLEIRKELGAE >Manes.14G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3922041:3931682:1 gene:Manes.14G045200.v8.1 transcript:Manes.14G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSETTAMEIDASKSTSDQINPRFSINVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESTVTEVRFLHLVLYLAERAWSHAMEKRQLPDGPNARQRIYLIGKLRKAVKWADLFARLCATKGDSRTSLEAEAYAAYMNGNLLFEQDRNWDTALKNFISARAVYEELGKYGDLENQLLCRERVEELEPSIRYCRHKIGESNLQTSDLLQIGEMEGPALDLFRAKLEAVMAEARSQQAASLTEFHWLGHKFPISNAKTRVAILKAQELEKDLRGPTADSLPAEKKLAIFDKIFTAYHDARSSIRSDLVSAGNADSVKDDLSGLDKAVSAVLGQRTIERNQLLVTIAKSKLTRGRDDKNEKVTRPEELVRLYDLLLQNTSDLSDLVSSGRDTKHEEVAFSEECAVRNLAFRAQRCFYVAKSYSLAGKRTEAYALYCRARSLAENALQKFQSLNNSDEMMMKELKTLYNDCRSNSCIEHASGILEEEKAPEKLSEKVSAISLSGGDKKVEKFLLEKLDAYESAVGNANVKAPPRIEVFPPAFQSISRNPIILDLAYNYIDFPSLENRMKKDKKGFISRLWG >Manes.14G045200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3922041:3931682:1 gene:Manes.14G045200.v8.1 transcript:Manes.14G045200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSETTAMEIDASKSTSDQINPRFSINVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESTVTEVRFLHLVLYLAERAWSHAMEKRQLPDGPNARQRIYLIGKLRKAVKWADLFARLCATKGDSRTSLEAEAYAAYMNGNLLFEQDRNWDTALKNFISARAVYEELGKYGDLENQLLCRERVEELEPSIRYCRHKIGESNLQTSDLLQIGEMEGPALDLFRAKLEAVMAEARSQQAASLTEFHWLGHKFPISNAKTRVAILKAQELEKDLRGPTADSLPAEKKLAIFDKIFTAYHDARSSIRSDLVSAGNADSVKDDLSGLDKAVSAVLGQRTIERNQLLVTIAKSKLTRGRDDKNEKVTRPEELVRLYDLLLQNTSDLSDLVSSGRDTKHEEVAFSEECAVRNLAFRAQRCFYVAKSYSLAGKRTEAYALYCRARSLAENALQKFQSLNNSDEI >Manes.07G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5752629:5756366:1 gene:Manes.07G049200.v8.1 transcript:Manes.07G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKYCRWDSQVFCFLSFIMLLTPTKSDELQMLMNLKSALKNSKTDVFSSWTRENSVCNFTGIICNDNGLVKEINLPQQQLEGFLPFDSICSLQSLKKISLGSNSLHGGISEELKNCRSLQVLDLGGNLFSGHVPELSSLRELRFLSLNASGFSGSFPWNSLENLTNLEFLSLGDNPFDGTSSFPVEVLKLNKLYWLYLTNCSIKGQIPEGISNLAMLQNLELSDNQLFGEIPAGIGKLNKLWQLEIYNNSFTGKLPVGFSNLTSLVNFDASHNKLEGEIGVLKFLKQLSSLQLFENQFSGEIPEEFGELKYLTEFSLYRNKFTGSLPQKLGSWADFLYIDVSENFLTGPIPPDMCKNGKMTDLLILQNKFTGKIPDSYASCKSLIRLRVNNNSLSGPVPAGIWGLPNLIVMDLSINQFEGPVTPDIGNAESLSLLILANNQFSGELPAAISDASSLVSVQLSSNQFVGAIPESIGKLKKLNGLYLNGNLFSGNIPDSVGSCVSLTVINLSGNSFSGEIPESLGDLFTLNSLNLSNNKLSGEIPVSLSSLRLSNLDLSNNQLIGPIPQSLSLEVFHESFDGNLGLCSNNLRNIQPCSSATSNTSGHLRVLLSCFAAGLLVLVIFAGCLLFVKLRPNNLDRPLKPSSWDMKSFRVLSFSERDIIDSIKSGNLIGKGGSGNVYKVVLGNGNELAVKHIWTSNSSTHQKSFRSSSAMLTKRNFRSAEFDAEVATLSAVRHVNVVKLYCSITSEDSNLLVYEYLPNGSLWDQLHSCNKIKMGWELRYAIAVGAARGLEYLHHGFDRPVIHRDVKSSNILLDEEWKPRIADFGLAKIVQAAGGGEWSHIIAGTHGYMAPEYAYTCKVNEKSDVYSFGVVLMELVTGKRPVESEYGENKDIVNWVCSKITNRESAINLVDSNILENLKEDAIKVLRIAVHCTAKIPALRPSMRMVVQMLEDADPHKLTDVIVVKKESGSSPDEKLKGGSLFDLSN >Manes.15G021600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1713345:1721078:1 gene:Manes.15G021600.v8.1 transcript:Manes.15G021600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYNPKHDLLDQEFMLKGKWYQRKDLEIKNSRGDILQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFALDFSGSGISGGEHVTLGWNEKDDLRTVVEYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRKAVQRKAKFDIMDLNTIKVAKSCFVPALFGHAIDDDFIQPHHSDRIYEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMLDNFGKGSWSTVHEVGNNLEFTVVSKVAEPSTSNGEDAHSLEQVRPKRPMSRTEVPSDIPSEGKQSEVEVGEINDNNLASSSKMISFELSNGHPYGPHVPTMMDDDQYVEYQLDDLAGFPCDVEEEERMFMEAVIASLKDLEMRNPNAEEQQPSINPKSMESSQRQYVDASSIAEHCDSLKTVEQHELSKMEPTSSPATNLVNSATKHPSPDPIVSFRGPAFDTPPSMTESGSASTSTRSDTSGSGQSSTDTDLSSKTKATLTVERNPASHIIDGLMRRWDFSLFRNR >Manes.15G021600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1713342:1721250:1 gene:Manes.15G021600.v8.1 transcript:Manes.15G021600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYNPKHDLLDQEFMLKGKWYQRKDLEIKNSRGDILQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFALDFSGSGISGGEHVTLGWNEKDDLRTVVEYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRKAVQRKAKFDIMDLNTIKVAKSCFVPALFGHAIDDDFIQPHHSDRIYEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMLDNFGKGSWSTVHEVGNNLEFTVVSKVAEPSTSNGEDAHSLEQVRPKRPMSRTEVGEINDNNLASSSKMISFELSNGHPYGPHVPTMMDDDQYVEYQLDDLAGFPCDVEEEERMFMEAVIASLKDLEMRNPNAEEQQPSINPKSMESSQRQYVDASSIAEHCDSLKTVEQHELSKMEPTSSPATNLVNSATKHPSPDPIVSFRGPAFDTPPSMTESGSASTSTRSDTSGSGQSSTDTDLSSKTKATLTVERNPASHIIDGLMRRWDFSLFRNR >Manes.15G021600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1713335:1721408:1 gene:Manes.15G021600.v8.1 transcript:Manes.15G021600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYNPKHDLLDQEFMLKGKWYQRKDLEIKNSRGDILQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFALDFSGSGISGGEHVTLGWNEKDDLRTVVEYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRKAVQRKAKFDIMDLNTIKVAKSCFVPALFGHAIDDDFIQPHHSDRIYEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMLDNFGKGSWSTVHEVGNNLEFTVVSKVAEPSTSNGEDAHSLEQVRPKRPMSRTEVPSDIPSEGKQSEVEVGEINDNNLASSSKMISFELSNGHPYGPHVPTMMDDDQYVEYQLDDLAGFPCDVEEEERMFMEAVIASLKDLEMRNPNAEEQQPSINPKSMESSQRQYVDASSIAEHCDSLKTVEQHELSKMEPTSSPATNLVNSATKHPSPDPIVSFRGPAFDTPPSMTESGSASTSTRSDTSGSGQSSTDTDLSSKTKATLTVERNPASHIIDGLMRRWDFSLFRNR >Manes.15G021600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1713345:1721078:1 gene:Manes.15G021600.v8.1 transcript:Manes.15G021600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYNPKHDLLDQEFMLKGKWYQRKDLEIKNSRGDILQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFALDFSGSGISGGEHVTLGWNEKDDLRTVVEYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRKAVQRKAKFDIMDLNTIKVAKSCFVPALFGHAIDDDFIQPHHSDRIYEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMLDNFGKGSWSTVHEVGNNLEFTVVSKVAEPSTSNGEDAHSLEQVRPKRPMSRTEVGEINDNNLASSSKMISFELSNGHPYGPHVPTMMDDDQYVEYQLDDLAGFPCDVEEEERMFMEAVIASLKDLEMRNPNAEEQQPSINPKSMESSQRQYVDASSIAEHCDSLKTVEQHELSKMEPTSSPATNLVNSATKHPSPDPIVSFRGPAFDTPPSMTESGSASTSTRSDTSGSGQSSTDTDLSSKTKATLTVERNPASHIIDGLMRRWDFSLFRNR >Manes.15G021600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1713345:1721408:1 gene:Manes.15G021600.v8.1 transcript:Manes.15G021600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYNPKHDLLDQEFMLKGKWYQRKDLEIKNSRGDILQCSHYMPIVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFALDFSGSGISGGEHVTLGWNEKDDLRTVVEYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRKAVQRKAKFDIMDLNTIKVAKSCFVPALFGHAIDDDFIQPHHSDRIYEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMLDNFGKGSWSTVHEVGNNLEFTVVSKVAEPSTSNGEDAHSLEQVRPKRPMSRTEVPSDIPSEGKQSEVEVGEINDNNLASSSKMISFELSNGHPYGPHVPTMMDDDQYVEYQLDDLAGFPCDVEEEERMFMEAVIASLKDLEMRNPNAEEQQPSINPKSMESSQRQYVDASSIAEHCDSLKTVEQHELSKMEPTSSPATNLVNSATKHPSPDPIVSFRGPAFDTPPSMTESGSASTSTRSDTSGSGQSSTDTDLSSKTKATLTVERNPASHIIDGLMRRWDFSLFRNR >Manes.08G062933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8523924:8524672:1 gene:Manes.08G062933.v8.1 transcript:Manes.08G062933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNNQTFSLRSVNEKDKLNGTNFIDWSHNLRIILKQEKKLNVLDHPLPIEPARNAIAAQRETFEKKKSDSNDMTCLMLATMTLNLQKQLMDQEAFLIMVHLKKMFQEEARHERFVTTKALTSCKDGTGDFYYYNSFIMNYNMHGMDKSITELHGMLKNAEENIHKTNPVLIVQKGISKKGKHKGKVPPKPKDNKDRPKSKGKEKKAPKPK >Manes.11G041300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4538516:4550348:1 gene:Manes.11G041300.v8.1 transcript:Manes.11G041300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRDPNESGSSSSASENYDGIGPKSYSYDELAKVTGHFSHNNLIGRGGFGHVFRASLDGETRAIKRLDYPGVESEGDLEREIMVVKSISHKNLVELIGYCIDGANRLLILKYFPNGSLRSKLDGKENVLDWKKRMNIAIGSARGLEYLHEHCKHKIIHLDIKPDNILLDEHFEPKITDFGLAHFFTDAATHISKSSVMGTQVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRKPLDNGIDIVTWANLHIREALDGKYANFVDSKIQSFDDEEMHRMVSCINSCLNRLPKFRPTMEKIRLVLEGKSLPEVLYDHNLQCDENTIGPKSYSYGELARVTDHFSLNNQIGRGSFGHVFKASLDGEIRAIKRLDFPDVQFEEGLEREIMVFKSVRHKNLVELVGYCIHGSNRLLISKYFPNGSLRSKLHGSGDVLDWKKRMKIAIGSAKGLEYLHEHCNPKIIHLNMKPDNIFLDEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELIMGRKPIENGIDIVTWANRLIKEEGRYTNFVDFNLQSFDREQMYRMISCVNSCLNQSPNSRPTMKKEADEPKPSLKPFIMEETNNYGIRDRGHASRRPTEATSYGSSHIIPETSFDEMNMLHLIKTLNISSYF >Manes.10G043000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4437433:4441898:1 gene:Manes.10G043000.v8.1 transcript:Manes.10G043000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIKKVTDVAFKASKTIDWEGMAKLLVSDEARKEFATLRRAFDEEPEPVDWEYYRKTIGSRLVDMYKEAYDSIEIPKYVDTVTPEYKPKFDSLLVELKEAEGKSLKESERLEKEIAEVQELKSKLSTMTAEEYFAKHPELKKKFDDEIRNDYWGY >Manes.10G043000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4437433:4441898:1 gene:Manes.10G043000.v8.1 transcript:Manes.10G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIKKVTDVAFKASKTIDWEGMAKLLVSDEARKEFATLRRAFDEVNSTLQTKFSQEPEPVDWEYYRKTIGSRLVDMYKEAYDSIEIPKYVDTVTPEYKPKFDSLLVELKEAEGKSLKESERLEKEIAEVQELKSKLSTMTAEEYFAKHPELKKKFDDEIRNDYWGY >Manes.10G114400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27535719:27545608:1 gene:Manes.10G114400.v8.1 transcript:Manes.10G114400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKALQGVISHKELWIEDEKQLVSPLQHANLNKSRSSEDFEAHGPRKFTFEELAIATRHFSNNNVLSIGDFGDVYKGILPNGETIAIKKLTYVDEQLEEEFENQIMAVGKVRHRNLVRLIGYCCEEYDKLLVLEFIPNKSLRFHLSDTKQRSNLEWSKRMKIAIDSAKGLEYLHEHYHHSKIIHKDIKAENVLLDENFTPKIVDFGLAEFFPKTDPRRISADRKEASAYTDPKISDSQSIFDGSDTYSFGIVLLELITGGRNKDTVNWARPLMIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILKALEGLIPHQQLWAVEETTTESKTILEEGDPSETITSAQFKEHKDITEVSNQLWSKATSSQGTEPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVTRIENSNVSTIGVYGGEGIGKTTLLEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLHIGRQLPLPDKKIINAWTLLSFLESVKFILILDGVHGFMSLNMVGIPEPTPENGSKIVLTTRSAEVCDRMSADLKINLEYLFWELFCENVGEIVHSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWNNAVEMLSRQPASPEQEIEKVMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDRYLLLEYVFPSRLFLRRKDSRLTGLLMDENWENSDEIYLMDNELTELSEKLSSRAQAFFLQRNLKLRKISNTFFQDMLALQILNLSVTSIKSLPDSLFGLVNLKRLSLNRCVLLKLLPSSVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVSFDHPRKMISDGVIKKLSKLKNLCIDVSPEDGRWKASVISIVLEVCSLTTLDTLQFYFPNVELLSQINWDTTPTFPPLSHFKFIVGDHTNRIIC >Manes.10G114400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27535719:27545608:1 gene:Manes.10G114400.v8.1 transcript:Manes.10G114400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKALQGVISHKELWIEDEKQLVSPLQHANLNKSRSSEDFEAHGPRKFTFEELAIATRHFSNNNVLSIGDFGDVYKGILPNGETIAIKKLTYVDEQLEEEFENQIMAVGKVRHRNLVRLIGYCCEEYDKLLVLEFIPNKSLRFHLSDTKQRSNLEWSKRMKIAIDSAKGLEYLHEHYHHSKIIHKDIKAENVLLDENFTPKIVDFGLAEFFPKTDPRRISADRKEASAYTDPKISDSQSIFDGSDTYSFGIVLLELITGGRNKDTVNWARPLMIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILKALEGLIPHQQLWAVEEHKEITEVSNQLWSKATSSQGTEPKIMQIQEMPGESNYSTEHKDITEVSNQLWSKATSSQGTEPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVTRIENSNVSTIGVYGGEGIGKTTLLEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLHIGRQLPLPDKKIINAWTLLSFLESVKFILILDGVHGFMSLNMVGIPEPTPENGSKIVLTTRSAEVCDRMSADLKINLEYLFWELFCENVGEIVHSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWNNAVEMLSRQPASPEQEIEKVMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDRYLLLEYVFPSRLFLRRKDSRLTGLLMDENWENSDEIYLMDNELTELSEKLSSRAQAFFLQRNLKLRKISNTFFQDMLALQILNLSVTSIKSLPDSLFGLVNLKRLSLNRCVLLKLLPSSVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVSFDHPRKMISDGVIKKLSKLKNLCIDVSPEDGRWKASVISIVLEVCSLTTLDTLQFYFPNVELLSQINWDTTPTFPPLSHFKFIVGDHTNRIIC >Manes.10G114400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27535719:27545608:1 gene:Manes.10G114400.v8.1 transcript:Manes.10G114400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKALQGVISHKELWIEDEKQLVSPLQHANLNKSRSSEDFEAHGPRKFTFEELAIATRHFSNNNVLSIGDFGDVYKGILPNGETIAIKKLTYVDEQLEEEFENQIMAVGKVRHRNLVRLIGYCCEEYDKLLVLEFIPNKSLRFHLSDTKQRSNLEWSKRMKIAIDSAKGLEYLHEHYHHSKIIHKDIKAENVLLDENFTPKIVDFGLAEFFPKTDPRRISADRKEASAYTDPKISDSQSIFDGSDTYSFGIVLLELITGGRNKDTVNWARPLMIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILKALEGLIPHQQLWAVEETTTESKTILEEGDPSETITSAQFKEHKEITEVSNQLWSKATSSQGTEPKIMQIQEMPGESNYSTEHKDITEVSNQLWSKATSSQGTEPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVTRIENSNVSTIGVYGGEGIGKTTLLEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLHIGRQLPLPDKKIINAWTLLSFLESVKFILILDGVHGFMSLNMVGIPEPTPENGSKIVLTTRSAEVCDRMSADLKINLEYLFWELFCENVGEIVHSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWNNAVEMLSRQPASPEQEIEKVMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDRYLLLEYVFPSRLFLRRKDSRLTGLLMDENWENSDEIYLMDNELTELSEKLSSRAQAFFLQRNLKLRKISNTFFQDMLALQILNLSVTSIKSLPDSLFGLVNLKRLSLNRCVLLKLLPSSVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVSFDHPRKMISDGVIKKLSKLKNLCIDVSPEDGRWKASVISIVLEVCSLTTLDTLQFYFPNVELLSQINWDTTPTFPPLSHFKFIVGDHTNRIIC >Manes.10G114400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27535719:27545608:1 gene:Manes.10G114400.v8.1 transcript:Manes.10G114400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKALQGVISHKELWIEDEKQLVSPLQHANLNKSRSSEDFEAHGPRKFTFEELAIATRHFSNNNVLSIGDFGDVYKGILPNGETIAIKKLTYVDEQLEEEFENQIMAVGKVRHRNLVRLIGYCCEEYDKLLVLEFIPNKSLRFHLSDTKQRSNLEWSKRMKIAIDSAKGLEYLHEHYHHSKIIHKDIKAENVLLDENFTPKIVDFGLAEFFPKTDPRRISADRKEASAYTDPKISDSQSIFDGSDTYSFGIVLLELITGGRNKDTVNWARPLMIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILKALEGLIPHQQLWAVEEHKDITEVSNQLWSKATSSQGTEPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVTRIENSNVSTIGVYGGEGIGKTTLLEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLHIGRQLPLPDKKIINAWTLLSFLESVKFILILDGVHGFMSLNMVGIPEPTPENGSKIVLTTRSAEVCDRMSADLKINLEYLFWELFCENVGEIVHSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWNNAVEMLSRQPASPEQEIEKVMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDRYLLLEYVFPSRLFLRRKDSRLTGLLMDENWENSDEIYLMDNELTELSEKLSSRAQAFFLQRNLKLRKISNTFFQDMLALQILNLSVTSIKSLPDSLFGLVNLKRLSLNRCVLLKLLPSSVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVSFDHPRKMISDGVIKKLSKLKNLCIDVSPEDGRWKASVISIVLEVCSLTTLDTLQFYFPNVELLSQINWDTTPTFPPLSHFKFIVGDHTNRIIC >Manes.10G114400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27535719:27545608:1 gene:Manes.10G114400.v8.1 transcript:Manes.10G114400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKALQGVISHKELWIEDEKQLVSPLQHANLNKSRSSEDFEAHGPRKFTFEELAIATRHFSNNNVLSIGDFGDVYKGILPNGETIAIKKLTYVDEQLEEEFENQIMAVGKVRHRNLVRLIGYCCEEYDKLLVLEFIPNKSLRFHLSDTKQRSNLEWSKRMKIAIDSAKGLEYLHEHYHHSKIIHKDIKAENVLLDENFTPKIVDFGLAEFFPKTDPRRISADRKEASAYTDPKISDSQSIFDGSDTYSFGIVLLELITGGRNKDTVNWARPLMIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILKALEGLIPHQQLWAVEESKTILEEGDPSETITSAQFKEHKEITEVSNQLWSKATSSQGTEPKIMQIQEMPGESNYSTEHKDITEVSNQLWSKATSSQGTEPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVTRIENSNVSTIGVYGGEGIGKTTLLEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLHIGRQLPLPDKKIINAWTLLSFLESVKFILILDGVHGFMSLNMVGIPEPTPENGSKIVLTTRSAEVCDRMSADLKINLEYLFWELFCENVGEIVHSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWNNAVEMLSRQPASPEQEIEKVMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDRYLLLEYVFPSRLFLRRKDSRLTGLLMDENWENSDEIYLMDNELTELSEKLSSRAQAFFLQRNLKLRKISNTFFQDMLALQILNLSVTSIKSLPDSLFGLVNLKRLSLNRCVLLKLLPSSVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVSFDHPRKMISDGVIKKLSKLKNLCIDVSPEDGRWKASVISIVLEVCSLTTLDTLQFYFPNVELLSQINWDTTPTFPPLSHFKFIVGDHTNRIIC >Manes.04G152100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34759870:34768578:-1 gene:Manes.04G152100.v8.1 transcript:Manes.04G152100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIWRRVHLVVTVIFCILRCINGDTDPNDASALRVMYSSLGSPGQLSQWNSNGGDPCGESWKGVTCSGSRVTEIKLSGLQLSGSMGYQLSSLTALTNLDLSNNNLAGGIPYGLPPNLTQLNLANNQFTGEIPYSISLMTKLTYLNVAHNQLQNQLNDMFEKLSSLSTLDISFNQLPGNLPESFSNLSSMNSMYLQNNQFTGTIDVLADLPLKNLNVANNHFTGWIPEQFKGIDLQMEGNNWNSGPAPPPPPGTPPAHRNPSHKSGGNNSPSDSDADSGGKKSGIGGGGIAGIIISILVVGGVLAFFLVKKRSKRSSTDIEKLDNQPLAPLSSNEVQEMKSIQTSSTANAKTFDTSASINLRPPPIDRHKSFDDDDFSNRPIVVKKPVTAPTNVESYSIADLQIATGSFSIDNLLGEGSFGRVYRAQFDDGKVLAVKKIDSSTLTSDMTDDFIEMVSKISELHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSTVHKNIKSANILLDTELNPHLSDCGLASFLPNADQALNHDAGSGYGAPEVAMSGQYTLKSDVYSFGVVMLELLTGRKPFDSARPRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTIGNDQGTPRQSDNPDAHDYMS >Manes.04G152100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34759870:34768578:-1 gene:Manes.04G152100.v8.1 transcript:Manes.04G152100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQLSSLTALTNLDLSNNNLAGGIPYGLPPNLTQLNLANNQFTGEIPYSISLMTKLTYLNVAHNQLQNQLNDMFEKLSSLSTLDISFNQLPGNLPESFSNLSSMNSMYLQNNQFTGTIDVLADLPLKNLNVANNHFTGWIPEQFKGIDLQMEGNNWNSGPAPPPPPGTPPAHRNPSHKSGGNNSPSDSDADSGGKKSGIGGGGIAGIIISILVVGGVLAFFLVKKRSKRSSTDIEKLDNQPLAPLSSNEVQEMKSIQTSSTANAKTFDTSASINLRPPPIDRHKSFDDDDFSNRPIVVKKPVTAPTNVESYSIADLQIATGSFSIDNLLGEGSFGRVYRAQFDDGKVLAVKKIDSSTLTSDMTDDFIEMVSKISELHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSTVHKNIKSANILLDTELNPHLSDCGLASFLPNADQALNHDAGSGYGAPEVAMSGQYTLKSDVYSFGVVMLELLTGRKPFDSARPRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTIGNDQGTPRQSDNPDAHDYMS >Manes.04G152100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34759869:34768578:-1 gene:Manes.04G152100.v8.1 transcript:Manes.04G152100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLGSPGQLSQWNSNGGDPCGESWKGVTCSGSRVTEIKLSGLQLSGSMGYQLSSLTALTNLDLSNNNLAGGIPYGLPPNLTQLNLANNQFTGEIPYSISLMTKLTYLNVAHNQLQNQLNDMFEKLSSLSTLDISFNQLPGNLPESFSNLSSMNSMYLQNNQFTGTIDVLADLPLKNLNVANNHFTGWIPEQFKGIDLQMEGNNWNSGPAPPPPPGTPPAHRNPSHKSGGNNSPSDSDADSGGKKSGIGGGGIAGIIISILVVGGVLAFFLVKKRSKRSSTDIEKLDNQPLAPLSSNEVQEMKSIQTSSTANAKTFDTSASINLRPPPIDRHKSFDDDDFSNRPIVVKKPVTAPTNVESYSIADLQIATGSFSIDNLLGEGSFGRVYRAQFDDGKVLAVKKIDSSTLTSDMTDDFIEMVSKISELHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSTVHKNIKSANILLDTELNPHLSDCGLASFLPNADQALNHDAGSGYGAPEVAMSGQYTLKSDVYSFGVVMLELLTGRKPFDSARPRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTIGNDQGTPRQSDNPDAHDYMS >Manes.10G024651.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2482220:2485212:1 gene:Manes.10G024651.v8.1 transcript:Manes.10G024651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSIPSSILKLADLNVLILSSNKLIGEVSSAVCKLNSLQILDLSNNSLNGFIPQCLGNFSNDLSVLHLGMNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMGQPNYSYDYSVSLTLKGVVIELVRIQTLLTTIDLSGNKFTGEIPQSIGKLKALKLLNLSHNQLTGNIQPSLRELSNLESLDLSSNFLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDKS >Manes.02G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:326884:330025:-1 gene:Manes.02G002900.v8.1 transcript:Manes.02G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKSHAFLLTGKKRPSQDDFEGDDIFLAKKSSHLSSDVPYSDDPLAALAATRHEFGEHGGVNMSIEASATFTVMEPETMGRMFSGELGPDRDFFIYSRHFNPTVLNLGRQMAALEGTEAAYCTASGMSAISSVLLQLCSNGGHVVAPRNLYGGTHALLSHFLPRTCNITTTFVEIGDIDMVKNAIIEGKTKVLYFESMSNPTLIVANIPELSRIAHKKGVVVVVDNTFAPVVLSPARLGADVVVHSISKFISGGADIIAGAVCGPANLVNSMMDLHQGTLMLLGPTMNAKVAFELSGRIPHLGLRMKEHCHRAMEFATRMKKLGLKVIYPGLEEHPQHELLKSMANKEYGFGALLCLDMEKEERANKLMHHLQNFTQFGFMAVSLGYYETLMSVSGSSTSSEMSAKEKELAGISPGLIRMSVGYIGNLEQKWSQFEKALSRMQDSIFTNKNSVSSILK >Manes.01G196800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37082695:37085914:-1 gene:Manes.01G196800.v8.1 transcript:Manes.01G196800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIARLRNLTTRFPFSSSSSLLKHRLFSHSSPSPTDVVSGSADVAAEEDLLYSDASISSAVKPATIPILLQPRVVVYDGVCHLCHRGVKWVIEADKYRKIKFCCLQSKAAEPYLRLCGLEREDVLRRFLFIEGPELYHQASTAALRVLSYLPLPYSALSALLIIPTPLRDAVYDYVAKRRYDWFGKADNCLVLKDKDLLERFIDRDEMIDRS >Manes.02G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:843632:848331:-1 gene:Manes.02G006700.v8.1 transcript:Manes.02G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEDDKSKDNQSVAGNKGETLMDLDLDLDTSWPLDQIYFLSNPLSPLLLSSSDQPCSPLWAFSDADDERLAASSSSHATHPLRLSDYPIFLTCNPSSLAESQTENDDKRKLPSPLLGLMPFDNPDGYCIIKERMTQALRNFKESTEQHVLAQIWAPVKNGARYVLTTSGQPFVIDPHSNGLHQYRMVSLTYIFSLDGEFECEPGLPGRVFRQKLPEWTPNVQYYSSKEYSRRDHALHYNVQGTLALPVFEPSGQSCVGVIELIMTSQKINYGPEVDKVCKALKAVNLKSSEILDHPSTQICNEGRKNALAEILEILTVVCETHKLALAQTWIPCMHRSVLAFGGGLKKSCTSFDGSCNGQVCMSTTDVAFYVVDPHMWGFREASLEHHLQKGQGVTGRAFLSHNACFCPDITQFCKTEYPLVHYARMFGLTGCFAICLRSSHTGDDEYILEFFLPPGISDVFQQKALLGSLLATMKQHFQSLKVASGMDLEEEEGFVEIIQTSVSGKLDLRLECIQTPESPESLPNVNTSPKEGQMAQVDPVVNLDVVNNGGSTGDAEGGHFPTSPPENKGNKKPSERKRGKTEKTISLEVLQQYFSGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGTFDLTPIATSPLPVAFGSISWPSNLNGCNQQNSPNSRSSEPNNERNGFPICKVAGSEEQSGSENQLPGGRVISHEELFLQNCFSPEIGQGSSRHKAGNVSREESAGTPTSHGSCQGSLANDIAPAKVASASPVQEQGNEVGTIPELSFQPKGKPNLSALYPIPDAFVATEAQAPFRERLIEDAGSSKDLRNLCPSVADTMLEERVPEYSWTNHPGPEFPTKQSMAALEHATPRVTARQEMRFVTIKATYREDIIRFRISVGSGITELKEEVAKRVKLEVGSFDIKYLDDDHEWVLIACDADLHECIDISRLSGGNIIRLSIHDVNTNLGSSCESNGELLLGCTYGKV >Manes.02G006700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:843632:847289:-1 gene:Manes.02G006700.v8.1 transcript:Manes.02G006700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDNPDGYCIIKERMTQALRNFKESTEQHVLAQIWAPVKNGARYVLTTSGQPFVIDPHSNGLHQYRMVSLTYIFSLDGEFECEPGLPGRVFRQKLPEWTPNVQYYSSKEYSRRDHALHYNVQGTLALPVFEPSGQSCVGVIELIMTSQKINYGPEVDKVCKALKAVNLKSSEILDHPSTQICNEGRKNALAEILEILTVVCETHKLALAQTWIPCMHRSVLAFGGGLKKSCTSFDGSCNGQVCMSTTDVAFYVVDPHMWGFREASLEHHLQKGQGVTGRAFLSHNACFCPDITQFCKTEYPLVHYARMFGLTGCFAICLRSSHTGDDEYILEFFLPPGISDVFQQKALLGSLLATMKQHFQSLKVASGMDLEEEEGFVEIIQTSVSGKLDLRLECIQTPESPESLPNVNTSPKEGQMAQVDPVVNLDVVNNGGSTGDAEGGHFPTSPPENKGNKKPSERKRGKTEKTISLEVLQQYFSGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGTFDLTPIATSPLPVAFGSISWPSNLNGCNQQNSPNSRSSEPNNERNGFPICKVAGSEEQSGSENQLPGGRVISHEELFLQNCFSPEIGQGSSRHKAGNVSREESAGTPTSHGSCQGSLANDIAPAKVASASPVQEQGNEVGTIPELSFQPKGKPNLSALYPIPDAFVATEAQAPFRERLIEDAGSSKDLRNLCPSVADTMLEERVPEYSWTNHPGPEFPTKQSMAALEHATPRVTARQEMRFVTIKATYREDIIRFRISVGSGITELKEEVAKRVKLEVGSFDIKYLDDDHEWVLIACDADLHECIDISRLSGGNIIRLSIHDVNTNLGSSCESNGELLLGCTYGKV >Manes.02G006700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:843632:848331:-1 gene:Manes.02G006700.v8.1 transcript:Manes.02G006700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKINYGPEVDKVCKALKAVNLKSSEILDHPSTQICNEGRKNALAEILEILTVVCETHKLALAQTWIPCMHRSVLAFGGGLKKSCTSFDGSCNGQVCMSTTDVAFYVVDPHMWGFREASLEHHLQKGQGVTGRAFLSHNACFCPDITQFCKTEYPLVHYARMFGLTGCFAICLRSSHTGDDEYILEFFLPPGISDVFQQKALLGSLLATMKQHFQSLKVASGMDLEEEEGFVEIIQTSVSGKLDLRLECIQTPESPESLPNVNTSPKEGQMAQVDPVVNLDVVNNGGSTGDAEGGHFPTSPPENKGNKKPSERKRGKTEKTISLEVLQQYFSGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGTFDLTPIATSPLPVAFGSISWPSNLNGCNQQNSPNSRSSEPNNERNGFPICKVAGSEEQSGSENQLPGGRVISHEELFLQNCFSPEIGQGSSRHKAGNVSREESAGTPTSHGSCQGSLANDIAPAKVASASPVQEQGNEVGTIPELSFQPKGKPNLSALYPIPDAFVATEAQAPFRERLIEDAGSSKDLRNLCPSVADTMLEERVPEYSWTNHPGPEFPTKQSMAALEHATPRVTARQEMRFVTIKATYREDIIRFRISVGSGITELKEEVAKRVKLEVGSFDIKYLDDDHEWVLIACDADLHECIDISRLSGGNIIRLSIHDVNTNLGSSCESNGELLLGCTYGKV >Manes.02G006700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:843632:848331:-1 gene:Manes.02G006700.v8.1 transcript:Manes.02G006700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEDDKSKDNQSVAGNKGETLMDLDLDLDTSWPLDQIYFLSNPLSPLLLSSSDQPCSPLWAFSDADDERLAASSSSHATHPLRLSDYPIFLTCNPSSLAESQTENDDKRKLPSPLLGLMPFDNPDGYCIIKERMTQALRNFKESTEQHVLAQIWAPVKNGARMVSLTYIFSLDGEFECEPGLPGRVFRQKLPEWTPNVQYYSSKEYSRRDHALHYNVQGTLALPVFEPSGQSCVGVIELIMTSQKINYGPEVDKVCKALKAVNLKSSEILDHPSTQICNEGRKNALAEILEILTVVCETHKLALAQTWIPCMHRSVLAFGGGLKKSCTSFDGSCNGQVCMSTTDVAFYVVDPHMWGFREASLEHHLQKGQGVTGRAFLSHNACFCPDITQFCKTEYPLVHYARMFGLTGCFAICLRSSHTGDDEYILEFFLPPGISDVFQQKALLGSLLATMKQHFQSLKVASGMDLEEEEGFVEIIQTSVSGKLDLRLECIQTPESPESLPNVNTSPKEGQMAQVDPVVNLDVVNNGGSTGDAEGGHFPTSPPENKGNKKPSERKRGKTEKTISLEVLQQYFSGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGTFDLTPIATSPLPVAFGSISWPSNLNGCNQQNSPNSRSSEPNNERNGFPICKVAGSEEQSGSENQLPGGRVISHEELFLQNCFSPEIGQGSSRHKAGNVSREESAGTPTSHGSCQGSLANDIAPAKVASASPVQEQGNEVGTIPELSFQPKGKPNLSALYPIPDAFVATEAQAPFRERLIEDAGSSKDLRNLCPSVADTMLEERVPEYSWTNHPGPEFPTKQSMAALEHATPRVTARQEMRFVTIKATYREDIIRFRISVGSGITELKEEVAKRVKLEVGSFDIKYLDDDHEWVLIACDADLHECIDISRLSGGNIIRLSIHDVNTNLGSSCESNGELLLGCTYGKV >Manes.17G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24229034:24235373:-1 gene:Manes.17G045600.v8.1 transcript:Manes.17G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDMLPSDSDWESFSDSGSELDFLYGGQAHSILSSLEESIGKIDDFLSFERGFLHGDIVCAVADPAGQMGRVVNVKMLVDLENIHGELTKGVDSKNILKIRSISVGDYVVHGPWIGRADKIVDNVVIIFDDGTKCEVTAEEKEQLVPVSPNVLEDSMYPYYPGQRVQVRLSAVSKSTKWLSGAWKENQNVGTVSSVRAGLVYVNWLACAIVGSSLSLPAPQRLQDAKNLTLLPCLSHENWQLGDWCILPGVELIKEQDKIGKGLKKRDLCSNFEEAFVILKRKTIVDVLWQDGGCSLEIDSQSLVPVNNVNAHEFWPGQFVLERGASDEPHVSGNRKWGVISAVDAKERTVRVKWNQANNVCANQVEEIVSAYELVEHPDYSYCYGDIVLKNVELDDKCHLEKENSMVEDVDLKDQDCRRGQIDYSSDGYLSSIGYVTGFEDGAVKVIWASGLETKVAPNGIFRIDKYEISTASSATNEQNVREMNMNQEMVDLDKQSVSLKGKDLLNSNSNNAYKSLPWKSSPFFLPQSTVGFFMRIAESIFGCIGSTSLSIPLSSASFPKDDNQSQTLEEKGTMENCELCTEMQPLTPCEMQIFEKTSLKPKVNYMQENQELQSLSTNKKLGKFTQFDMVSDCSDHHFIEHAEKGLALSQVKRSWLKKVQEEWSNLEKDLPESIYVRVYEDRMDLLGAAIVGAPGTPYHDGLFFFDIYLPPDYPHETPLVHYRSGGLRVNPNLYESGKVCLSLLNTWTGTGTEVWNPESSSVMQVLLSLQALVLNEKPYFNEAGYDMQIGRAEGEKNSVSYNENAFIVTWKSMLYLIRQPPKHFEALIEEHLRQRAEYIVSAYKAYMEGAPVAYPFGCGQIEHETQQGSSTGFKIMLGKLFPKLVEAFSAKGIDCSQFTD >Manes.13G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3957044:3961233:1 gene:Manes.13G030600.v8.1 transcript:Manes.13G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPIIPVVFVVFLSFPFLLNLSLARVLLAAGESPLTPKAYLSRYWDKEIHNNLPQSQFILSKASPLNAVDAAIFTKLASQNALSIKFSAFCSSAKLFCFPDLAPSLEKHDGNSDFAVYQNKNFTNYGTGVVEGVDSFKNYSDGVNVPVDTFRRYGRVAIDHREKFSNYGPEGNVVDQSFNTYGARSSGGESEFKNYNERVNVPNLRFTSYSDHGNLKAQKFSSYTGDTNSGSETFTSYGKNGNAAPNEFTSYGENSNVIGSDFSNYGENSNGANNSFKSYGVNGNVPQNNFKNYGAEGNGGFDTFTSYREQSNVGDDSFQSYAKKSTQGTVDFRNYGKSFNEGTDTFSAYGEEADGQKIGFKIYGVNNTFKEYTNKKSISFSEYSNISSTAITASEEITGKNLSGSLVNKWIEPGKFFRESELKMGNVMPMPDIRDKMPPRSFLPRSITSKLPFSSSKIAPLKETFHAADNSTMEKVIIDALNECERDPSPGETKRCVGSAEDMIDFATSVLGHNVVVRTTENVKGSKKDILIGSVKGINGGKVTKSVSCHQSLFPYLLYYCHSVPKVRIYEAEIFDPNSKAKINHGVAICHLDTSAWSPTHGAFLALGSDPGQIEVCHWIFENDMTWTTADE >Manes.13G030600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3957044:3961233:1 gene:Manes.13G030600.v8.1 transcript:Manes.13G030600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPIIPVVFVVFLSFPFLLNLSLARVLLAAGESPLTPKAYLSRYWDKEIHNNLPQSQFILSKASPLNAVDAAIFTKLASQNALSIKFSAFCSSAKLFCFPDLAPSLEKHDGNSDFAVYQNKNFTNYGTGVVEGVDSFKNYSDGVNVPVDTFRRYGRVAIDHREKFSNYGPEGNVVDQSFNTYGARSSGGESEFKNYNERVNVPNLRFTSYSDHGNLKAQKFSSYTGDTNSGSETFTSYGKNGNAAPNEFTSYGENSNVIGSDFSNYGENSNGANNSFKSYGVNGNVPQNNFKNYGAEGNGGFDTFTSYREQSNVGDDSFQSYAKKSTQGTVDFRNYGKSFNEGTDTFSAYGEEADGQKIGFKIYGVNNTFKEYTNKKSISFSEYSNISSTAITASEEITGKNLSGSLVNKWIEPGKFFRESELKMGNVMPMPDIRDKMPPRSFLPRSITSKLPFSSSKIAPLKETFHAADNSTMEKVIIDALNECERDPSPGETKRCVGSAEDMIDFATSVLGHNVVVRTTENVKGSKKDILIGSVKGINGGKVTKSVSCHQSLFPYLLYYCHSVPKVRIYEAEIFDPNSKAKINHGVAICHLDTSAWSPTHGAFLALGSDPGQIEVCHWIFENDMTWTTADE >Manes.05G092000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8451774:8455084:1 gene:Manes.05G092000.v8.1 transcript:Manes.05G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFGILPAVLFLLVSVLYAPICLGIRSIPGREMNGGGDGLELGGLRFAEAPEYRNGVECPVSGNSQFVSTCDPSLVHVAMTLDSEYLRGSIAAVHSVLKHASCPENVFLHFIAAEFDMASPRVLSQLVRSTFPSLSFKVYIFREDTVINLISSSIRQALENPLNYARNYLGDILDPCVDRVIYLDSDVVVVDDIHKLWNTTLSGSRIIGAPEYCRANFTKYFTDGFWSDPVLSLVFSARKPCYFNTGVMVMDLVRWREGNYRRRIENWMEIQRKRRIYELGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNVRGSCRSLHPGPVSLLHWSGKGKPWVRLDGKKPCPLDHLWEPYDLYKGYENSRARDQSLGFFSSSQSSTIMGYSSYL >Manes.11G088335.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16426105:16426398:1 gene:Manes.11G088335.v8.1 transcript:Manes.11G088335.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPSMISCAMQSMKKQSFQGRNQSSVPKGHVAVYVGEFQWKRFIVPISYLNHPCFQDLLNQAAEEFGFNHPMGAITIPCKEDDFIDLTSRFLAF >Manes.06G125000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25488390:25489715:-1 gene:Manes.06G125000.v8.1 transcript:Manes.06G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPPPESHLNGGNTAGERGGPSIAVASSETVGSKRQRRPSVRLGEIGGDQLYDSHSRRTTSNKQWKHHHLLSLDHKKDPNTSSKGSKTRALTNLTISGEFTETLDEDKEVNLDAVAIGSWRVKDSKKRASNATTKRVRSSWVSKIDDAGGGSANNNVEGDEKYSGGEDVDDTYRGFDMENSESPLKEQSPVHSLENLGDGNERNEREIYYNRWPLRARDNHNYNHHHDGVELSGPSDTDARDYRNNGRCGGGGEDGVRIWLNSIGLGRYAPVFEIHEVDDEILPMLTLEDLKDMGINAVGTRRKMFCAIQKLGKGFS >Manes.S048016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1523766:1524191:-1 gene:Manes.S048016.v8.1 transcript:Manes.S048016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.06G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19693296:19694472:1 gene:Manes.06G062300.v8.1 transcript:Manes.06G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFIPSSCSFSHLCRLKELKHPQVKSQSFKDDGTSANIVDANMGVLRERVAEMRTKERLEKCCRLQNGWNYGSAYVQKHQRYDKLSECLEAVFLAGGALGFVFLSGSLCLCLVSLLVHFKF >Manes.14G081100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6730792:6736677:-1 gene:Manes.14G081100.v8.1 transcript:Manes.14G081100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICVGPIMERIVETEGNRVLYSVPRLVAAAISGALTGLFALVGALTGAITGAFAGGASGCGFLRGAGIGAIAGAVLSVEVLEASRAYWGFEQSGSRGSSSMADFIEELLRGRFIDEQFSPAMLTAYYWQVNVSNTSYDEIHYDDSEVVSRGLSGDSLKKLPCYTLDETKAKQTICCTICLQDMRIGEMARRLPHCHHTFHLTCVDKWLMQHVSCPICRQDV >Manes.14G081100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6730792:6736775:-1 gene:Manes.14G081100.v8.1 transcript:Manes.14G081100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICVGPIMERIVETEGNRVLYSVPRLVAAAISGALTGLFALVGALTGAITGAFAGGASGCGFLRGAGIGAIAGAVLSVEVLEASRAYWGFEQSGSRGSSSMADFIEELLRGRFIDEQFSPAMLTAYYWQVNVSNTSYDEIHYDDSEVVSRGLSGDSLKKLPCYTLDETKAKQTICCTICLQDMRIGEMARRLPHCHHTFHLTCVDKWLMQHVSCPICRQDV >Manes.13G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31715880:31718331:-1 gene:Manes.13G112000.v8.1 transcript:Manes.13G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDWKGLALVDTIYEEDYDFSSASPSISSPPVPLHSRVESWSMATGKKTNVLIYVQGTCFHLHKEPLASRSTYLKRQLTELSEITLPLNITAETFALVADFCYGTNLVLTPFNVAALRTAAELLGMTGTKGKREENLRQITETYFRRVIAVNRELAQIVFGSCLRLLPEAETTAFLLSRCMEALGDDGSEMDRVVDDIIDLSADEFEVVAETMQYRLTSHDVLYRVIDLYIQEHGGKITEEQKMDICNFIDCEKLSTQLLVHAVQNPRLPLRFIVRAMLIEQLNTRRAIFTSSAAAANNYAHPHGDDNEVITLGLILQRDAAAREAAQLKAEMEATSSRIQSLEKELAGMKKILQKSEKETSLMEKKLLVGPRKERTGKVLHETEREERSVIDSSRSASFHYGLRDAKIEKGERGSTSFAGFRLGVRGEKSGGSLHKDSPRSKKNISMGMGLIHRLKSTLWVSKSAPKCQSKTKISSKEDGAAAEAGNGEVSVTT >Manes.13G112000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31715880:31718331:-1 gene:Manes.13G112000.v8.1 transcript:Manes.13G112000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPPLLLFPLLPSLCILESMATGKKTNVLIYVQGTCFHLHKEPLASRSTYLKRQLTELSEITLPLNITAETFALVADFCYGTNLVLTPFNVAALRTAAELLGMTGTKGKREENLRQITETYFRRVIAVNRELAQIVFGSCLRLLPEAETTAFLLSRCMEALGDDGSEMDRVVDDIIDLSADEFEVVAETMQYRLTSHDVLYRVIDLYIQEHGGKITEEQKMDICNFIDCEKLSTQLLVHAVQNPRLPLRFIVRAMLIEQLNTRRAIFTSSAAAANNYAHPHGDDNEVITLGLILQRDAAAREAAQLKAEMEATSSRIQSLEKELAGMKKILQKSEKETSLMEKKLLVGPRKERTGKVLHETEREERSVIDSSRSASFHYGLRDAKIEKGERGSTSFAGFRLGVRGEKSGGSLHKDSPRSKKNISMGMGLIHRLKSTLWVSKSAPKCQSKTKISSKEDGAAAEAGNGEVSVTT >Manes.06G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25254044:25255800:1 gene:Manes.06G121700.v8.1 transcript:Manes.06G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVHKLLNVLLPLISTFVLLCFLPIYLVFKFLSYIKRHIYSEDVSGKVVLITGAASGIGEQISYEYAKRGACLVLVDVREDGLEAVVKKAKNFGSPDVIAIAADVSKVEDAERFVNAAVEHFGRLDHLVNNAGVGDPDELRKNNNILQPRRVMDINFWGTIYGTHFALPHLKKSKGKIIVVASIVGWYPIATWGFYGASKAALINFYESLRSEIGHKVRITIVTPGLIKTRMTENLAEKGYDLSFLPSTSKQACAKAIVKSGCRGDKYLTEPSWIRALYPWKVLCPEVTEFCNRFVIIKWKLAWKKSLTRSPQPKID >Manes.05G152300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26254089:26257417:1 gene:Manes.05G152300.v8.1 transcript:Manes.05G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGSRSEKVKRIFQKFDSNRDGGLNREEMAALVVAVNPRVKFSDEQINAILDEVFRTYGEFIDGDKGLTYDGLLRTYDDGAGDVDRDFDALELELNSDDNKGISMASEASSSLILDETAMESQKKQRTAAWAVSPNHGIVFDDTWKIVDDLEILVKRLKAKQSKDGKLKGDNFDAYSDAGWSRELGPSSEISEKRIFWEESGHDYAVFVKELGVLRSRADGARSREEAFDGHMAIGRVLYEHQLFKEALVSFKRACELQPVDVRPHFRAGNCLYVLGRYRESKEEFLLALEAAEAGGNQWAYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYMAAVKALEEAIFMKPDYADAHCDLASALHAMGEDEKAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKKALKEALKMTNRVELHDAISHLKQLQKKKVKPNGGANGEGTFVIVEPSKFKTVSEKTTLRQELANALQIRAFQRITRLGRCDVDLLRKEMNENDVPLSYSGGGVPEKSIRKPNLEEILRRLLNFLKPETFQGTVKAINERVLSVLDETGSGRVDLGMFYAVLAPICSGSPDKRRRIAFDALLWRPANQGVSQIRKVDAVGYIKLLRAIYVPSHGVSEMLEIHGETDSSLVSFNDFLVMFNDPDWGFGIMSTLIKLESGDRNRHGNHVCSVCRYPIIGSRFKEMKSHFSLCNQCYSEGKVPPAFKQEEYKFKEYGSEAEAMKDKCMCFTLQSHND >Manes.18G001700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:654764:658801:1 gene:Manes.18G001700.v8.1 transcript:Manes.18G001700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKGKLCVTGAGGYLGSWVVQLLLSKGYSVHGTVREPADAKYAHLSKLEKASDNLKLFKADLLDYNSLYSAVEGCSGVFHVASPVPSITVPNPEVELMDPAVKGTLNVLEVCAQVKVKRVVVVSSGAAVSMNPSWPKGKVMDESCWSNEEYCTSTKNWYCLSKTKAESQAFEYAKQSGLDVVTVCPTLIWGPILQSTVNASSMALIKLLKGYETVENKFRLVVDVRDVAEALLLAYEKPEAEGRYICSAHAVWTKDLVEKLRSIYPSFNYPKNFSEGGEEQKLSSEKLKRLGWRYRTLEETLIDSVERYHKTEILD >Manes.06G080101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21576671:21578623:1 gene:Manes.06G080101.v8.1 transcript:Manes.06G080101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTRFSSFLLMSILLLHVGNSSTVVVLAHQAKKIPVVAEGGELPVAESTRSLEISDGVPAPMDELISNTRLGGRKMMPMKRVLTKKMKEEPLNKEDSEISGKSTFASKNPRSRLLHANSENPRSQQAPAKGPCFQYLKPVSATTGSSLECSSKSINPIPQELDGSSQNLEFQKLLDKAAMEIVNLMNKDYTGGGGPRHKPPVNNEQPMSMSDQQHDLIP >Manes.08G018600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1890233:1892887:1 gene:Manes.08G018600.v8.1 transcript:Manes.08G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGLPGGIFSGMGSGILGLERPLHQQQNPHNSQNSHHLHHPQMVAYAHRDSDHHLQSQQQTLKHSYPYPSSASREKPQSPLSDEDEPGFAGDDNTSDGKRKVSPWQRMKWTDSMVRLLIMAVFYIGDEAGSELNDPTGKKKAGGLSQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACKVVENQTLLETMDLSQKMKEEVKKLLNSKHLFFREMCAYHNSCGHGSNGVASGTNHSPELATDQSHAQHPQSSHAQQQRCSHSSETAHFVTNSRNETEGSKMGKRARNEEDDEEDDDESEDDDDDYDEDEDEAIEGNSRGQNGHGHEDEDEHEEKGSRKRRKTGVFSLISSLMQQLNSELMSVIQDGGRSTWEKKHWMKLRLMQLEEQQVSYQCQAFELEKQRLKWVKFSSKKEREMERAKLENERRRLEGERMVLLIRQKELELLDIHQQQQLSSNKRSDPSSITG >Manes.08G018600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1890896:1892442:1 gene:Manes.08G018600.v8.1 transcript:Manes.08G018600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGLPGGIFSGMGSGILGLERPLHQQQNPHNSQNSHHLHHPQMVAYAHRDSDHHLQSQQQTLKHSYPYPSSASREKPQSPLSDEDEPGFAGDDNTSDGKRKVSPWQRMKWTDSMVRLLIMAVFYIGDEAGSELNDPTGKKKAGGLSQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACKVVENQTLLETMDLSQKMKEEVKKLLNSKHLFFREMCAYHNSCGHGSNGVASGTNHSPELATDQSHAQHPQSSHAQQQRCSHSSETAHFVTNSRNETEGSKMGKRARNEEDDEEDDDESEDDDDDYDEDEDEDEHEEKGSRKRRKTGVFSLISSLMQQLNSELMSVIQDGGRSTWEKKHWMKLRLMQLEEQQVSYQCQAFELEKQRLKWVKFSSKKEREMERAKLENERRRLEGERMVLLIRQKELELLDIHQQQQLSSNKRSDPSSITG >Manes.09G011000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2183670:2193660:-1 gene:Manes.09G011000.v8.1 transcript:Manes.09G011000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSGEVSHDAYDNSKMKEATESHHTDTKEVAGPVPSSPGDRKRINMVEGKDEKIVSQNVPVDGATSGEVNMEASITTDDVIRAGGFGARDDISSFLPVASDSTDFEATIRDARNYEEPQGEICRHGLGWKEPADGK >Manes.13G090350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22303430:22305741:-1 gene:Manes.13G090350.v8.1 transcript:Manes.13G090350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKIEIKKFYVALFERDFGLQSQILTYPPDQRDEVRRAYITFGSFQSYVLRAFKKFTSQEIANNHLQLNSSIEVIQWLTFQGCALRGYDKSRYSGNRGNFLKLLKFLACHNEKVDAIEILHVIATRIKKATREEINDENFCITVDEARDEPKNEQMAIVLRFVDRDGFVCECFFGLVHNICVHGYYGASNMRSEWKGLQALISNRCASCKRQDELQKAQAIELTHMLVVDERDTVQGLNQMDTLQRPGDTRWSSHLEFVSILIKMFNATCVVLLNIIDEGTTSANMEMLIQHKSDLLYQVLPCQSQDMLDVMHLVLSTKSLIQELRDNGWNILLIEVKCFCELRNIDTLDLSAHHIARKRRARHQQGKDKSFRIDYICQLVKKYYLLDFDYCKMSQLELQLQHYSRIHEYSDFQKLSTISKIENSFAYFFRFYCNNDSLLIYVEKDIAEKFCIKYIINGFYDFKKYRISLY >Manes.09G188300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37471722:37473974:1 gene:Manes.09G188300.v8.1 transcript:Manes.09G188300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVARRRLSSLPWRSSQATSTIFHQTHSLSTDVHDADDSLLLDPSLLPIRLNLPTFVFPGFSSETLAPGHDLGMIPDIPATVSAHNHERFPPGDPSKRAFAYFVLTGGRFVYASLVRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTITVKCVDVASLCDPQEDSSRAKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNFEVPTYSFLDENKLLIG >Manes.01G202200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37495642:37500457:1 gene:Manes.01G202200.v8.1 transcript:Manes.01G202200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIFYVDDFSDLLWQPPVHALAMSRSASEWELLEEFFAHASASARENNSPFVVQSPTSRKPLNSDDGVVEIENPEIPAPTPLPLAPLSRDRPPRPSHRAILSQVDAEDYPAFLKSQLDLTYAAAAKSRESSVKPEGASSLPEDQIVASKNFQSGSHVSGNGDCILKAQSEADGGSLCFPALPPTQKKQDVPARQTTSQSSREDSDDDDLDGDTETNDHMDPADEKRVRRMQSNRESARRSRRRKQAQLSELEAEVGQLRDECTSLLTRLTDINKKCDEASVENRILNANIETLRTKVKMAEDQVKRATGLNPMLFARSNIPSVGMQLAAGQTDASASVDVQMPPNHRHFYHQSVPNIPSSTPSLQGRNNSYPNNNLNSHATNPQGDNGTSNIGGVPFIRPLTVSGRHMLETPPLQHVQKQTGPTVSHA >Manes.18G013900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1524560:1527521:-1 gene:Manes.18G013900.v8.1 transcript:Manes.18G013900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSICVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >Manes.16G128400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32945782:32951447:1 gene:Manes.16G128400.v8.1 transcript:Manes.16G128400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVFSDIVDGDDVYKLYADAEWKKSSSGKSVPIINPTTRKTQFKVQACTQEEVNKIIETAKTAQKSWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVAAIHMIHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIILEDADLDLAAANIVKGGFSYSGQRCTAVKVVLVMESVADILVEKVKSKVSKLTVGPPEDDCDITPVVTESSANFIEGLIMDAKQKGATFCQEYRREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAMLISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKVKTTVINLPTPSYTMG >Manes.02G159700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12474458:12480174:-1 gene:Manes.02G159700.v8.1 transcript:Manes.02G159700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGVVVDEPSDVVSSAKKKKSGEEDKVLVLVADKASSKQLPLVVSVPPQPIVVNRARSQSATRRVTPTTTGAGGVDTSNDGGSIAVEKLLPNGDLYIGSFSGNAPHGSGKYLWTDGCMYEGEWRRGKASGKGKFSWPSGATFEGEFKSGRMEGFGTFIGSDGDTYRGSWSADRKHGYGQKRYANGDFYEGSWKKNLQDGKGRYVWKNGNVYDGEWKNGVISGRGVLIWANGNRYDGQWENGVPKGNGIFTWPDESCCVGTWNINKDIMTQELNGTFYTGNGKEHCLKGSENDLALPATATRKRSSVDGARGSNINFPRICIWESDGEAGDITCDIIDTVEASMIYREGLDRDGLRQFRRGPCCFNGEVKKPGETISKGHKNYELMLNLQLGIRYSVGKHAQIRRDLKPSDFDPKEKFWTRFPPEGSKITPPHQSVEFRWKDYCPMVFRHLREHFQVDTADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVCRYENSLVTKFFGVHCVKPIGGQKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDKPEGEIDETTTLKDLDLNFVFRLQRNWYQELIKQINRDCEFLEAERIMDYSLLVGLHFRDDNTYDKMGLSPFVLRTGIDDSYQNEKFMRSCRFLEAELQDMDRILAGRKPLIRLGANMPARAERMARRSDFDQYTPGGISHLTPSRSGEIYEVVLYFGIIDILQDYDISKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFIGRIFIEDR >Manes.13G081800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16929028:16929736:-1 gene:Manes.13G081800.v8.1 transcript:Manes.13G081800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLLFFTLFILGSKTATASLTSQQLDSALFALRSRGYTLFPNAITTSDLRLLLLSLNFKFTLFSPPDPLIYSLDLSSTAPLYIRSLLRHMSPLRLSMSDLRSIRGSPYLDTLVPPNRISINKSIFTDKGTVSESLTLDGVRVSVPDIFIGTDIAVHGLEGILVAGVESNFQEEHIDRRCDPPLMSPVASWSRNSPASSPTAEAKEEGWEAWLSESESARVSW >Manes.05G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:126046:130538:1 gene:Manes.05G000900.v8.1 transcript:Manes.05G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVDSPSSPVTITVSSGGKGGGSRSMGLTSPVPRASISNNQNSPLNNSKNRTSSGGRYCSMSKDDTTEEINSEYFTYTVHIPPTPDHQPMSVSQTSLSEDINNADKPERSFISGTIFTGGFNSVTRGHVIDCSMEVTKSLKSGLVCGMKGCDDKAIQGKCECGFKICSDCYLDCVGSNGLGHCPGCKEPYKDVDDNDFTEDDEAKSEEEDQALPLPKLDKRLSLVKSFKAMSHPPDFDHTRWLFETKGTYGYGNAVWPKDGYGAGSGANGFEHPPDFGERSRRPLTRKVGVSAAILSPYRLLIAIRLAALGMFLAWRIRHPNREAMWLWGMSITCETWFAISWLLDQLPKLCPVNRVTDLSVLKDRFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPMVTANTILSILAVDYPVEKLACYLSDDGGSLLTFEALAETASFARIWIPFCRKHNIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLPDSIRRRSDAYNAHEELRAKKKQMEMGGNPSEPIKVPKATWMSDGSHWPGTWASGETDHSRGDHAGIIQAMLAPSNAEPVFGAEADGENLIDTSEVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRTTEHHGWLGRKKVKLFLRKPKTTKKQEDEIALPINGEHNDDDADIESLLLPKRFGNSTSLAASIPIAEYQGRLLQDVQGKGNQGRPAGSLAVPREPLDAAIVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSMFLLVYCILPAVSLFSGQFIVQSLSVTFLVFLLAITITLCLLALLEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPDEGDDEFAELYMVKWSYLMVPPITIMMLNVIAIAVGVSRTMYSTYPQWSKLVGGVFFSFWVLSHLYPFAKGLMGRRGKVPTIVYVWSGLLSIIISLLWVYISPPSGREDYMKFHFP >Manes.11G104200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24100728:24101476:1 gene:Manes.11G104200.v8.1 transcript:Manes.11G104200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLSSTSTFPCQKLHCSVFQFTNSNSKNLSFKSNPITKCESKESTSSSETSLSAKIRKLEIGTPVIVTESPQMIKTAASVPCLRVNSGIVSRKPKDVWAVRLSIGTYLIDAKYFKPLELHE >Manes.11G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24100728:24101476:1 gene:Manes.11G104200.v8.1 transcript:Manes.11G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLSSTSTFPCQKLHCSVFQFTNSNSKNLSFKSNPITKCESKESTSSSETSLSAKIRKLEIGTPVIVTESPQMIKTAASVPCLRVNSGLVKAGDVGRIVSRKPKDVWAVRLSIGTYLIDAKYFKPLELHE >Manes.06G078900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21501176:21505053:-1 gene:Manes.06G078900.v8.1 transcript:Manes.06G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLDSPVQTQMAVAIFKSPLGGEYHGNRRMEGKQPAGRRRVFVQTETGCVLGMELDRGDNAHTVKRRLQIALEVPTEESCLTFGDMVLNNDLSAVRNDSPLLLTRNCLHRSSSTPCLSPTSKEVQQRDQSGLVEILGQSNSFSKMKKLVKDTVKAIKMGVDPIPVHSGLGGAYYFRNSRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNISDGVNCNKPHKKKPVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDGVGRFRQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFLDDELEYIQKLNPGRDCDMLRRELPMIREACLRVLVLCTIFLKEAAAAGLCLAEIGEMMSREFRAGEEEPSELEVVCMEARRLITEGEVRSPLSDLEDEEFQFDIDCEEIKYDFIPKTITEDYMARTPSQFGTVTANGCFPLSKLEESIEEEEEEEEEKASIGNDVGKVGLAVLPIPERLTTMSKLSTSLKNSTLCDKKQKSQKLAKAENGYFANTSSGHRSANEQLPPSMTFVKLADMSEQEWTLFLDKFQELLYPAFSKRKSVTLGQRQIQRLGTSCQF >Manes.13G135200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34276530:34277126:-1 gene:Manes.13G135200.v8.1 transcript:Manes.13G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKLPKPAKWFSSKGLSLSYQHLRSKSSSSRRLDYVPSSRLTSPDSPTSPKIVNNNAVISRQEELRQVFGYFDGDGDGKISAIELRAYFGSIGEYMSYEDALCVIKELDTDGDGLLDFHDFLRLMKRGADQEDLKKAFEMFELEKGDGCITPRGLQRMLSRLGDPKSLDECATMIQVFDTDGDGVIDFHEFHEMMT >Manes.06G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25283387:25288604:-1 gene:Manes.06G122300.v8.1 transcript:Manes.06G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHHDSDPRPTRPSEQELDLYTIPSYSSWFAWDDIHETERAGLRDFFDGSSITRTPKIYKEYRDFIINKYREDPSRRLTFTEVRKSLVGDVTLLHKVFQFLDKWGLINFSANSTGDDDLEREETGKFRVEDGPPNGVRVVAMPNSLKPLSVPQSATGNEDIVEHALKLPPLTSYSNVFGELGKQKELLCGNCGETCDSGLYEYIKGHYVLCGKCFKDGRYRENKSKDDFKLNDNVDSSSTNEAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKTKLDCITKLIELPFGDLMLSSAHRNGNVNGLFGSVNNSKQVPLSSSENQDAIKNEDLVHEQTNMNEQNGDAVDEGPPMKRKRTTLLSDAGSSLMKQVALISTITGPDIAAAAAEAAVAALCDETSCPKEIFDGKDTFPTNGLWSPTVHSKPERPHHVEDSEIKESSTQSETQETYTGQNDIPLTLRLRTAVATALGAAAAHAKLLADKEDREIENLVTTIIETQLKKLHYKIKRFDNLELIMEEEYAELEDLKESLIEERIDVLQRAITAGMPKWRDQTSVKSFLSDSLL >Manes.06G122300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25284013:25288487:-1 gene:Manes.06G122300.v8.1 transcript:Manes.06G122300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHHDSDPRPTRPSEQELDLYTIPSYSSWFAWDDIHETERAGLRDFFDGSSITRTPKIYKEYRDFIINKYREDPSRRLTFTEVRKSLVGDVTLLHKVFQFLDKWGLINFSANSTGDDDLEREETGKFRVEDGPPNGVRVVAMPNSLKPLSVPQSATGNEDIVEHALKLPPLTSYSNVFGELGKQKELLCGNCGETCDSGLYEYIKGHYVLCGKCFKDGRYRENKSKDDFKLNDNVDSSSTNEAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKTKLDCITKLIELPFGDLMLSSAHRNGNVNGLFGSVNNSKQVPLSSSENQDAIKNEDLVHEQTNMNEQNGDAVDEGPPMKRKRTTLLSDAGSSLMKQVALISTITGPDIAAAAAEAAVAALCDETSCPKEIFDGKDTFPTNGLWSPTVHSKPERPHHVEDSEIKESSTQSGFSFSETQETYTGQNDIPLTLRLRTAVATALGAAAAHAKLLADKEDREIENLVTTIIETQLKKLHYKIKRFDNLELIMEEEYAELEDLKESLIEERIDVLQRAITAGMPKWRDQTSVKSFLSDSLL >Manes.06G122300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25284013:25288487:-1 gene:Manes.06G122300.v8.1 transcript:Manes.06G122300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHHDSDPRPTRPSEQELDLYTIPSYSSWFAWDDIHETERAGLRDFFDGSSITRTPKIYKEYRDFIINKYREDPSRRLTFTEVRKSLVGDVTLLHKVFQFLDKWGLINFSANSTGDDDLEREETGKFRVEDGPPNGVRVVAMPNSLKPLSVPQSATGNEDIVEHALKLPPLTSYSNVFGELGKQKELLCGNCGETCDSGLYEYIKGHYVLCGKCFKDGRYRENKSKDDFKLNDNVDSSSTNEAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKTKLDCITKLIELPFGDLMLSSAHRNGNVNGLFGSVNNSKQVPLSSSENQDAIKNEDLVHEQTNMNEQNGDAVDEGPPMKRKRTTLLSDAGSSLMKQVALISTITGPDIAAAAAEAAVAALCDETSCPKEIFDGKDTFPTNGLWSPTVHSKPERFFFFRNSGDIYRTE >Manes.06G122300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25283613:25288604:-1 gene:Manes.06G122300.v8.1 transcript:Manes.06G122300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHHDSDPRPTRPSEQELDLYTIPSYSSWFAWDDIHETERAGLRDFFDGSSITRTPKIYKEYRDFIINKYREDPSRRLTFTEVRKSLVGDVTLLHKVFQFLDKWGLINFSANSTGDDDLEREETGKFRVEDGPPNGVRVVAMPNSLKPLSVPQSATGNEDIVEHALKLPPLTSYSNVFGELGKQKELLCGNCGETCDSGLYEYIKGHYVLCGKCFKDGRYRENKSKDDFKLNDNVDSSSTNEAVWTEAETLLLLESVLKHGDDWDLVAQDVQTKTKLDCITKLIELPFGDLMLSSAHRNGNVNGLFGSVNNSKQVPLSSSENQDAIKNEDLVHEQTNMNEQNGDAVDEGPPMKRKRTTLLSDAGSSLMKQVALISTITGPDIAAAAAEAAVAALCDETSCPKEIFDGKDTFPTNGLWSPTVHSKPERNSGDIYRTE >Manes.18G016000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1667370:1672967:-1 gene:Manes.18G016000.v8.1 transcript:Manes.18G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQKSKRVSWATDVNLCQVRLFLSEESPSQVGLGAQDHLQAKASWLPHTAGTNAEDTLPPGFEGLSATQSHTKSSDIPVIKWRCPPRFILDLAWQVVAGEESKEVEVQNQREMRVLEAVYPRTSAIPQNPTFSADLGDYRHSDQVPLIPITPIEDEDATDAPSDLMGSFNVPMSSQSQLLVSGIPPPQCSIPSISSTINEKPASGAIPGVEPDVIAAASAAFAAINKSNEQGGLVDNDLLIKILNNPKLIEKLIQDYAAESNGQNIPKPNAPFVPSSDVPPPLPTLSEPSYLHMNRTDTCTTSSFVANSSGPVYAQSNGVGPGYISNAQVPPAAAPASSGPPVGVPQVKDVNYYKNLIQQHGGERHESPHQYGGRYSHQVGTNQELLHLKSRDSKPKIMKPCIYFNSSRGCRHGANCAYQHDASSQQRSSSMSEVQTAKRMKMDREISS >Manes.18G016000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1667792:1668887:-1 gene:Manes.18G016000.v8.1 transcript:Manes.18G016000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEAVYPRTSAIPQNPTFSADLGDYRHSDQVPLIPITPIEDEDATDAPSDLMGSFNVPMSSQSQLLVSGIPPPQCSIPSISSTINEKPASGAIPGVEPDVIAAASAAFAAINKSNEQGGLVDNDLLIKILNNPKLIEKLIQDYAAESNGQNIPKPNAPFVPSSDVPPPLPTLSEPSYLHMNRTDTCTTSSFVANSSGPVYAQSNGVGPGYISNAQVPPAAAPASSGPPVGVPQVKDVNYYKNLIQQHGGERHESPHQYGGRYSHQVGTNQELLHLKSRDSKPKIMKPCIYFNSSRGCRHGANCAYQHDASSQQRSSSMSEVQTAKRMKMDREISS >Manes.06G099200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308588:23314947:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAV >Manes.06G099200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308588:23315016:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVRGRMEKSSVSQEFPLVKAVYSFLQDNVSSLFSFPVWNKGRITCQRSHLKRILSGTDSTSDENRKDEFIALSNSPLSLPSTRTSTSNVNSQHENPNSETRTELVTSRV >Manes.06G099200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308590:23314954:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRYYKIDLFSKLSSSLHFSAQTL >Manes.06G099200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308590:23314942:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVRGRMEKSSVSQEFPLVKAVYSFLQDNVSSLFSFPVWNKGRITCQRSHLKRILSGTDSTSDENRKDEFIALSNSPLSLPSTRTSTSNVNSQHENPNSETRTELVTSRV >Manes.06G099200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308572:23314942:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVYRFRVVRDERIFLRWKKDSLVY >Manes.06G099200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308588:23314947:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAV >Manes.06G099200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308572:23314942:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVRGRMEKSSVSQEFPLVKAVYSFLQDNVSSLFSFPVWNKGRITCQRSHLKRILSGTDSTSDENRKDEFIALSNSPLSLPSTRTSTSNVNSQHENPNSETRTELVTSRV >Manes.06G099200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308572:23314942:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVRGRMEKSSVSQEFPLVKAVYSFLQDNVSSLFSFPVWNKGRITCQRSHLKRILSGTDSTSDENRKDEFIALSNSPLSLPSTRTSTSNVNSQHENPNSETRTELVTSRV >Manes.06G099200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308588:23314947:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAV >Manes.06G099200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308573:23314957:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVRGRMEKSSVSQEFPLVKAVYSFLQDNVSSLFSFPVWNKGRITCQRSHLKRILSGTDSTSDENRKDEFIALSNSPLSLPSTRTSTSNVNSQHENPNSETRTELVTSRV >Manes.06G099200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308590:23315016:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVRGRMEKSSVSQEFPLVKAVYSFLQDNVSSLFSFPVWNKGRITCQRSHLKRILSGTDSTSDENRKDEFIALSNSPLSLPSTRTSTSNVNSQHENPNSETRTELVTSRV >Manes.06G099200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308571:23314965:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVRGRMEKSSVSQEFPLVKAVYSFLQDNVSSLFSFPVWNKGRITCQRSHLKRILSGTDSTSDENRKDEFIALSNSPLSLPSTRTSTSNVNSQHENPNSETRTELVTSRV >Manes.06G099200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308572:23314942:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVYRFRVVRDERIFLRWKKDSLVY >Manes.06G099200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23308583:23314947:-1 gene:Manes.06G099200.v8.1 transcript:Manes.06G099200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSHLWILNPSPISTELVKPKSKPPCFSFSSFPVHRRLISVSGERRTYSGAGKARAKTKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLEVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCAEIFEEMPTHGVPRSVFSYTALINSYGRHGQYEVSLELLERMKKEKVPPSILTYNTVINSCARGGLDWEGLLSLFAEMRHEGVQPDIVTYNTLLSACANRGLGDEAEMVFRTMNEGGMVPDITTYRNLVETFGKLNKLEKVSELFKEMESSGNLPEISSYNVLLEAYASKGNIKDAMGVFRQMQEAGCVPNAVTYTMLLNLYGRHGRYDDVRELFLEMKVSNTEPDVATYNILIEVFGEGGYFKEVVTLFHDMVEENVEPNMGTYEGLIYACGKGGLHEDAKKILLHMDGKGIVPSSKVYTGVIEAYGQAALYDEALVMFNTMNEMGSKPTVETYNSLIYMFASGGLYKESEAMLWKMGESGFAQDRDSFNGAIEGYRQGGQFEEAIKAYVDMEKARFQPDERTLEAGLSVYCTAGLVDESEEQFREIRASGILPSVMCYCMMLAVYAKNNRWSDAYEVLDEMATNRVSNIHQVIGQMIKGDYDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKKRAARVLSEATRRGLFPELFRKSKLVWAVDVHRMWEGGACTAISVWLNDMYKMFLAGVDLPQLASVVAVRGRMEKSSVSQEFPLVKAVYSFLQDNVSSLFSFPVWNKGRITCQRSHLKRILSGTDSTSDENRKDEFIALSNSPLSLPSTRTSTSNVNSQHENPNSETRTELVTSRV >Manes.09G121900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32463538:32465492:1 gene:Manes.09G121900.v8.1 transcript:Manes.09G121900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLRCYVSARQYSSSSSQNPRVLNPNCPAVSFPFSDPQIHSLRLLPHPKLSKPEFSYGGGNGSSGIGRGFGGGGSGGGGESGGWSSDSNFENSSSSGFGILGLFLSGWRSRVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSIMNFTLMYLLAPTASAASTSLPAIFASCPTSHMFEPGAFTLMNRLGTFVYKGTIFAAVGFGAGLVGTAISNGLIAMRKKMDPTFETPNKPPPTVLNAVTWALHMGISSNLRYQTLNGVEFLLQKGLSPLAFKSSVIVLRCLNNVLGGMSFVILARLTGSQSVGEAKSVGDEGAEKEKLIDGEDELQSNQSTFK >Manes.09G121900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32463683:32465492:1 gene:Manes.09G121900.v8.1 transcript:Manes.09G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLRCYVSARQYSSSSSQNPRVLNPNCPAVSFPFSDPQIHSLRLLPHPKLSKPEFSYGGGNGSSGIGRGFGGGGSGGGGESGGWSSDSNFENSSSSGFGILGLFLSGWRSRVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSIMNFTLMYLLAPTASAASTSLPAIFASCPTSHMFEPGAFTLMNRLGTFVYKGTIFAAVGFGAGLVGTAISNGLIAMRKKMDPTFETPNKPPPTVLNAVTWALHMGISSNLRYQTLNGVEFLLQKGLSPLAFKSSVIVLRCLNNVLGGMSFVILARLTGSQSVGEAKSVGDEGAEKEKLIDGEDELQSNQSTFK >Manes.09G121900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32463689:32465492:1 gene:Manes.09G121900.v8.1 transcript:Manes.09G121900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQLRCYVSARQYSSSSSQNPRVLNPNCPAVSFPFSDPQIHSLRLLPHPKLSKPEFSYGGGNGSSGIGRGFGGGGSGGGGESGGWSSDSNFENSSSSGFGILGLFLSGWRSRVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSIMNFTLMYLLAPTASAASTSLPAIFASCPTSHMFEPGAFTLMNRLGTFVYKGTIFAAVGFGAGLVGTAISNGLIAMRKKMDPTFETPNKPPPTVLNAVTWALHMGISSNLRYQTLNGVEFLLQKGLSPLAFKSSVIVLRCLNNVLGGMSFVILARLTGSQSVGEAKSVGDEGAEKEKLIDGEDELQSNQSTFK >Manes.17G102200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31011294:31012336:-1 gene:Manes.17G102200.v8.1 transcript:Manes.17G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVAVVLFVVLSPGVLFQLPGKGRVVEFANMQTSGASVFVHTIIFFGLITIFLIAIGIHITTG >Manes.03G137802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26390184:26392458:1 gene:Manes.03G137802.v8.1 transcript:Manes.03G137802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALWLSLKENANCGSKLINVVAHPEKCNGRRSSSYEKENFDRKLIRHTRKSFGDVIIKGYHTRTRYLELKMGDPARNITEMIFQRASTYPSKPSRKIQKVLRVKNSIEILERFEKYREKVKESAYKQQKTHPRSIVDGNELLRFYGTTMSCCSEKSMRVSELCKDPTCRVCRMIQSNFDTEYTKKNGIRLSTNSEELSENMITLSMLKMERAAIVCRIIAGTVDNKVNNGLKEECDSIVSEAQYSESESLIVRNPSAVLPCFVIVFS >Manes.12G023800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2031153:2034760:1 gene:Manes.12G023800.v8.1 transcript:Manes.12G023800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRIEEELTYLILLAERLRSAVEEADSFQVECTSIGNYADILAAKLRFLVRFTCSAQSLYERPVRRIGAEVFKNLDRALTLVRKCRRRSVLRRVVTIIGAADFRKVQNLLEVSVGDVKWLENILGFSSGAAGEDVGIDLSLPPIASNDPILAWVWSSIASIHLRPFHEKIEAANQLEQLAQDNDRNKQIIVEEGGVPQLLKLLQETASPEAQIAGATALLYLANDQERVTTIVNEQGVPIVAKVLADSPMRVQTLTASLVAKMAEHDSVAQNDFARENAIRPLVSLLSFETFSDDQRVQLDKHSIHSIVQIKKEMEKFSNNGSKNNNQYRPYMTSFSSFHSEGSTRGGNRKERENEKPEVKLKLKISCAEALWMLARGSVSNSKRITETKGLLCLAKLVEKGEGKLKFNCLMTIKEIAAAAESNADLRRAAFKTNSPAAKAVVDQLLKVIKESDSPKLQIPAIISIGSLARTFPARDTRVIGPLVAQLNKSRIQEVTTEAAIALGKFTCPENFLRAAHSKAIVEFNGVPHLMRLLRLLRGNERAQLQGLILLCYLVLHAGNHEALEQGRVLTALEEADRTVVAQHPELRELVSKAIYHINLYHTSAHSQRFSFVP >Manes.18G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11281865:11290462:-1 gene:Manes.18G112500.v8.1 transcript:Manes.18G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDEESSKSISKKAAKKEAAKQEKLRRRQEAALASAAASITIEEQEDPLAVNYGNVALNELQSKEETDLTEWTRVGDLNEELKDKEVLIRGRAQTTRAVGKNMAFVVVRQKGFTVQCVVTVQPDFVSRQMVKFVAGLSRESIIDVRGLVSVPSVPIKGTTQQVEVEVRKLHCISKAMPTLPINIEDAARSEKEIEEALQAGEQLVRVNQDTRLNYRVLDMRTPANQGIFHIQHEVGSIFRKFLESEGFDEIHTPKLIAGTSEGGSAVFKLDYKGQPACLAQSPQLHKQIAICGDKERVFEVGPVFRAEDSYTHRHLCEFVGLDVEMEIKKHYSEVMGIVDRLFVTMFDHLNEKCKKELDAVRRQYPFEPLKYLRKTLRLRFEEGVQMLKEAGVEIDPYGDLNTESERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDDAKYSNSFDVFIRGEEIISGAQRVHVPELLEERAKACGIDVSAITTYIDSFRYGAPPHGGFGVGLERVVMLFCGLNNIRKASLFPRDPLRLAP >Manes.03G139884.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26755952:26762155:-1 gene:Manes.03G139884.v8.1 transcript:Manes.03G139884.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGHCFVEWKEQFVSQERGNRVVHYFLKDSAGESILAVVGTERSVRHMFYVVAEEFVQAYGADNSIHAGFKWRSRREVVDWLTSMLSKQHLQGDRSKSPKYDSLQTSESPQHTLNGFSAQHTQGRLSRSLTGHNSDIVWSGVPWTCGKQLKHYPAFCRNGVRIVIQSFVFVMAKGENHYLAYLEDMYEDKRGQKKVKVRWFHHNQEVKGVVPLRNTHPKEVFITPYSQVISAECVDGPATVLTLEHYEECLASFPNALSTRTHLCFRQFRSNKVKPFDLSKLRGYFDQPILSCLNSKPFSGADSIGLDNGLAGEEDEELSLNENVKLGAKRTRSGRGIETFARDHSGVGISGSQMMTLEPSCFNLRYGMPGKRLLPLKHVESQNPCSPLFKVDEKIELLCQDSGIRGCWFKCTVLQVSQKRIKIKYDDLRDEDEYGNIEEWIPAFKLAMLDKLGMRHSGRSTIRPSPPVNEQADLAFEVGSAVDAWWSDGWWEGVVTGINSSCDDMLQVYFPGESFFLSIHKKDLRTSRDWVGNQWIDIQVKPDILSAISATFSPDAKMSMSSTVTEDVKPSGFALSCTEVPTSAELVSNKEKKPDVATLISNDGILDHMDSDDKADEDGSNDINVCHDKVGEVQDSDEGDGRDNNEKTDIEALESSEKNCKAVEFMGVTA >Manes.02G161200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12648182:12650003:1 gene:Manes.02G161200.v8.1 transcript:Manes.02G161200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRCAWTPPSMPAPLLFKPSAFLTFPISRHLHTQPFDSLTSRRGISHYPSLVATLSTRTSSTTDKDAVPVQSEPTQKTQQPLQVAKRLEKFKTTIFTQMSSLAIKHGAINLGQGFPNFDGPEFVKEAAIQAIRDAKNQYARGYGVPEFNSAIAARFKKDTGIVVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGAKIKSITLRPPNFAVPINELKSTISKNTRAILINTPHNPTGKMFTREELNTIASLCIENDVLVFTDEVYDKLAFEMDHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALKAPDSYYEELKRDYMAKKSILADGLKAVGFKVFPSSGTYFIVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEETLRTAVERMKEKLKRN >Manes.03G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4455756:4462448:-1 gene:Manes.03G049000.v8.1 transcript:Manes.03G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLITQVARHQSESGLYKNLFVRCYFPVYKHGVDAGNGLLKAGRRFQSSYVGNLARRVRDTDEASEIAHLKELYRRNDPEAVIRTFESQPSLHSNTSALSEYVKALVRVDRLDESELLKTLQRGIANSAREEEGIGGLSAFKNVGKVTKDSILGTASAPIHMVATEGGHFKEQLWRTVRTIALAFLLISGVGALIEDRGISKGLGLHEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVTMADLEYAKDKIMMGSERKSAVISDESRRLTAFHEGGHALVAIHTDGALPVHKATIVPRGMSLGMVAQLPDKDETSISRKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATSLARAMVTKYGMSKEVGVVTHNYDDNGKSMSTETRLLIEKEVKNFLERAYNNAKTILTTHSKELHALANALLEHETLSGSQIKALLAQVNSQQQQQQQHPQIVASQGSSQSNPVPPSTPNPAASAAAAAAAAAAAATAAAKAKGIAPVGS >Manes.11G107750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25002929:25003303:1 gene:Manes.11G107750.v8.1 transcript:Manes.11G107750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILELSSSRSFSLRVFKLKVGILSLVVPLSFPWVEKIVSRVTPSSLSEAIPQFFLSIETHYIRTLNFNEQIPLFSFPPPYDLVDAQFERRLVFYLKQVNYGLSFPFFGFFTLFSNFSRSPLGY >Manes.01G070800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27189384:27190553:1 gene:Manes.01G070800.v8.1 transcript:Manes.01G070800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGSSEGSTTGSPPIALGLALGLDGSSDHRPTPIPGCSKPYGFTILQLHELQLQSLIYKYLESGFPVPYHLLLPIWKSVSSSLNSVNDSSLYQLYPSFMGGSFSLHADYRNVMEAEPGRCRRTDGKKWRCSKEALPDQKYCDRHMHRGRHRSRKLVEPSSSQPISATTNLSISLPISNDPSNGEVGLRP >Manes.01G070800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27188748:27190479:1 gene:Manes.01G070800.v8.1 transcript:Manes.01G070800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMESQTPPSKIARLASGVGQTGCLNMERHGSSEGSTTGSPPIALGLALGLDGSSDHRPTPIPGCSKPYGFTILQLHELQLQSLIYKYLESGFPVPYHLLLPIWKSVSSSLNSVNDSSLYQLYPSFMGGSFSLHADYRNVMEAEPGRCRRTDGKKWRCSKEALPDQKYCDRHMHRGRHRSRKLVEPSSSQPISATTNLSISLPISNDPSNGEVGLRP >Manes.01G070800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27188643:27190572:1 gene:Manes.01G070800.v8.1 transcript:Manes.01G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMESQTPPSKIARLASGVGQTGCLNMERHGSSEGSTTGSPPIALGLALGLDGSSDHRPTPIPGCSKPYGFTILQLHELQLQSLIYKYLESGFPVPYHLLLPIWKSVSSSLNSVNDSSLYQLYPSFMGGSFSLHADYRNVMEAEPGRCRRTDGKKWRCSKEALPDQKYCDRHMHRGRHRSRKLVEPSSSQPISATTNLSISLPISNDPSNGEVGLRP >Manes.11G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32780106:32781874:1 gene:Manes.11G163300.v8.1 transcript:Manes.11G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPVAVLLFALVISGIIQLSSCQVLKGKLTCSDCGSHYDFSGIKVLVKCANVKRLATSTTSSEGSFEVELPSGSGTRTSTTPLNCLAKLIGGASQIYASRKNMVSKIVKTQDSSSYAISTPLVFSTTSPPVGKLKDTGSSKTIDLPLPREWGLAPSSYYIPFFPIIGIP >Manes.10G128001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29258148:29259898:1 gene:Manes.10G128001.v8.1 transcript:Manes.10G128001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLELLKNLYTCGSGIKTLPSSINQLGRLEELRCGGCEGLTLPPLTGLSCVREIDLSDCGILEIPQSLWFLESLEELHLGGNNFETTPASIKHLIELKKLFLIGCKRLKCLPELPSCLEVLDASDCTSLESASTPFLFLEHDDEKEEKHLEFRNCINLDKNVHDKVMEDVLKTHLLKHKIVKLYIPGVEVPETMRYKNKSGSSLSFRLDQANLIGFSLCAVFDPESFSHGPITGITCIANFIDKTGHSSENEPSLQNMCFVEDPLEDTLSEDFQHIFLWNKLLDMEESFLEASFQFCIARHSFVPTYHVDRDYDSIIMCGVHPIFREDRLSRDKKRSRIEEDKEDEPSL >Manes.03G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22810766:22813139:1 gene:Manes.03G104600.v8.1 transcript:Manes.03G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCHHHTLSTRFFPLSLHRRSPSLASTSFYKPTSPFSFSALPPPRPDLWLAELPDLATTVTPITPLEEGGPVELPLSSPSIFATTDDPTPLQVATSVLLTGAIAVFLFRSIRRRAKRAKELRFRSSGAKKTIKEEALDSLKAMGSATIDAKKPPSPVQAFLGGISAAVIALILYKFTTTIEASLNRQTMSDNFSVRQITITIRTIINGLCYLATFVFGINAVGLILYSAQLAINSFMEDSTSEESESKGNEKSGSLKSTTESSTAELNSSQGDQNPDNSQ >Manes.09G013600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3040277:3043153:1 gene:Manes.09G013600.v8.1 transcript:Manes.09G013600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWRRKCRSFHLQLQGAIGTIQSPFLFLFPNYCHSSTSTLEDARFNVIVNGLCKFGKTNEAIGLLKGMADRGCEPNVVTYSAIIEALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVAEAQNTFNVMIQRGVEPNVITYTSLIDGLCISDQFKEALALLKEMVGRNISLDVFTFNILIDTLCKKGLVSSAENIIKIMIQRGVEPSVVTYSSLMDGYCLCREIDKARKVFDLMVTNEMADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPDVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEFGLQPNVYVYNAIMKGLCQQGLMDEAYKIFRDMEKGGCLPNNFSYNIIIQGFLGHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNDLILSKPRNRSEASKAVQ >Manes.01G147800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33752944:33763010:1 gene:Manes.01G147800.v8.1 transcript:Manes.01G147800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEKKTNVSGKPKHSLDINRSNGTNNDSRSAATVRRLKMYNTKPQRDSKGKILKHELQSKELPNTRIQPDRRWFGNTRVVNQKELEFFREELQNRMSSSYNVILKERKLPLSLLNDHQKQARVHLLDTEPFEDAFGPKRKRRRPKLLAADYESLIKRTDGSQDAFELSHGTNTSAEGSEEYGFRELVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCYHLEKHLKEHCKHKHMILLLNKCDLVPAWATKGWLRILSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNSDSETDIVLKGVVRVTNLHDAAEHIGEVLNRVKKEHLERAYKIEDW >Manes.01G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33752944:33763010:1 gene:Manes.01G147800.v8.1 transcript:Manes.01G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEKKTNVSGKPKHSLDINRSNGTNNDSRSAATVRRLKMYNTKPQRDSKGKILKHELQSKELPNTRIQPDRRWFGNTRVVNQKELEFFREELQNRMSSSYNVILKERKLPLSLLNDHQKQARVHLLDTEPFEDAFGPKRKRRRPKLLAADYESLIKRTDGSQDAFELSHGTNTSAEGSEEYGFRELVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCYHLEKHLKEHCKHKHMILLLNKCDLVPAWATKGWLRILSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNSDSETDIVLKGVVRVTNLHDAAEHIGEVLNRVKKEHLERAYKIEDWDDENDFLIQLCKLTGKLLKGGEPDLKTAAKMVLHDWQRGRIPFFVPPPRQEENSIDESNTYGINEETMENSNQASAAFRAIANVISSQQQKSVPVQRDLFSENELIADQLPTAENELPVQAPGMEDEIANKLPAREEKIDENVGAAES >Manes.01G147800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33752944:33763010:1 gene:Manes.01G147800.v8.1 transcript:Manes.01G147800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEKKTNVSGKPKHSLDINRSNGTNNDSRSAATVRRLKMYNTKPQRDSKGKILKHELQSKELPNTRIQPDRRWFGNTRVVNQKELEFFREELQNRMSSSYNVILKERKLPLSLLNDHQKQARVHLLDTEPFEDAFGPKRKRRRPKLLAADYESLIKRTDGSQDAFELSHGTNTSAEGSEEYGFRELVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCYHLEKHLKEHCKHKHMILLLNKCDLVPAWATKGWLRILSKEYPTLAFHASINKSFGKVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNSDSETDIVLKGVVRVTNLHDAAEHIGEVLNRVKKEHLERAYKIEDWDDENDFLIQLCKLTGKLLKGGEPDLKTAAKMVLHDWQRGRIPFFVPPPRQEENSIDESNTYGINEETMENSNQASAAFRAIANVISSQQQKSVPVQRDLFSENELIADQLPTAENELPVQAPGMEDEIANKLPAREEKIDENVGAAES >Manes.01G257000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41399469:41403770:-1 gene:Manes.01G257000.v8.1 transcript:Manes.01G257000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSNYDDSSDVGYHPSPSSLDQTETPGFSTSGDSFLFRRTYSETSAFSDPSDPIEDNSYSSEPSPCHWPPNKSAALNQPVLGRLGMKQRKHIIDDQELVDPEIETMKERFAKLLLGEDMSGSGKGVCTAVTISNSITNLYATVFGQNLRLEPLKPEKKAMWKREMDCLLSVCDYIVEFIPKSQNLKDGTALEVMEGILRSDIYINLPALRKLDAMLIEILDGFHDIEFWYAEQGSMSSNSTRSGSFRRVIVQRKEEKWWVPVPCVPPGGLSEKSRKHLRHKRDSANQIHKAAMAINSSILAEMDIPDTYIESLPKSGRASVGDIIYRYMCSTDKFSPDHVLDCLNIASEHEALELADRVEASMYTWRRKACMKNSKSSWEMVKDLMSDMDRTDKNHALAERAESLLFCLKRKYPELSQTSLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWIEDVLFVDQSVRSRGQ >Manes.01G257000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41399525:41403770:-1 gene:Manes.01G257000.v8.1 transcript:Manes.01G257000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSNYDDSSDVGYHPSPSSLDQTETPGFSTSGDSFLFRRTYSETSAFSDPSDPIEDNSYSSEPSPCHWPPNKSAALNQPVLGRLGMKQRKHIIDDQELVDPEIETMKERFAKLLLGEDMSGSGKGVCTAVTISNSITNLYATVFGQNLRLEPLKPEKKAMWKREMDCLLSVCDYIVEFIPKSQNLKDGTALEVMEGILRSDIYINLPALRKLDAMLIEILDGFHDIEFWYAEQGSMSSNSTRSGSFRRVIVQRKEEKWWVPVPCVPPGGLSEKSRKHLRHKRDSANQIHKAAMAINSSILAEMDIPDTYIESLPKSGRASVGDIIYRYMCSTDKFSPDHVLDCLNIASEHEALELADRVEASMYTWRRKACMKNSKSSWEMVKDLMSDMDRTDKNHALAERAESLLFCLKRKYPELSQTSLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWIEDVLFVDQSVRSRGQ >Manes.16G103100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30819322:30821237:1 gene:Manes.16G103100.v8.1 transcript:Manes.16G103100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSRILSFLAFDHERFCKRDLSMLARSMLTDNQGVDFWVKRSARNLLDEVSESNYQWISGLSLDSEEQRLDEEFETLPGWLKSMASADDSVFPWLPLSPGELNSRELLGGHENERDSFTQIGEGEGENFEEVVVEMEVDRPVDAPLALEIQNMAASLRAQVLNFESRAKTLELVDEICHLCLEKGGDSFAVLGLIEPWKADDEIASLLISHLSNGIDQDDLGWPSQVLSSIILPKFLILEEPASRVLVTAVIDYCKLYQRAAEYALLFPLIMRTGGINNPLCDVVTRIVKECLHPVHVSSFCQKLLCAGEDEKRFICLPCHQCLISNELVWTESLFILFNNILNLNVKLTQDSVDLLVLRIQELAQSFSKSLRFGNFLLCFLTKCSLLLKPHKLLLADAVKQTNTLVTKTILSKLSSF >Manes.16G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30819322:30821237:1 gene:Manes.16G103100.v8.1 transcript:Manes.16G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWVPLFDIFLNSLTPETEASMWLQQSFNVSSSNRITTGSFLSLLTKPIDATISSSSPPTSKRVMFIQTLPDMVQSRILSFLAFDHERFCKRDLSMLARSMLTDNQGVDFWVKRSARNLLDEVSESNYQWISGLSLDSEEQRLDEEFETLPGWLKSMASADDSVFPWLPLSPGELNSRELLGGHENERDSFTQIGEGEGENFEEVVVEMEVDRPVDAPLALEIQNMAASLRAQVLNFESRAKTLELVDEICHLCLEKGGDSFAVLGLIEPWKADDEIASLLISHLSNGIDQDDLGWPSQVLSSIILPKFLILEEPASRVLVTAVIDYCKLYQRAAEYALLFPLIMRTGGINNPLCDVVTRIVKECLHPVHVSSFCQKLLCAGEDEKRFICLPCHQCLISNELVWTESLFILFNNILNLNVKLTQDSVDLLVLRIQELAQSFSKSLRFGNFLLCFLTKCSLLLKPHKLLLADAVKQTNTLVTKTILSKLSSF >Manes.02G180601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14327112:14340538:1 gene:Manes.02G180601.v8.1 transcript:Manes.02G180601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMVNTEMQMRAGEDIGIGEDITPPLSPLSFSLHDSFIHSHCSSCFCPLPNRPSHSTTIPHSLLYCSPLCSSFGSLLHFHSAEFHLLRCLSSTSPPPSSTSDLRAALRLLRLLPSHSSQLGRTSGLLTNRHKLLADEQIVARIRCGARAMAIARRLRDGKQELIEVDENEYDAVLFEEEEAALCLVLTNSVEVQDNDGRTLGIAVYDPTFSWINHSCSPNSCYRFLISPPSTAASPADSKLRIVPSCSNGEKSVYSNIEFRKGYGEYGPRMIVRSIKRIKRSEEVTVAYTDLLQPKAIRRSELWSKYCFICCCKRCSASPPAYVDHILQEITASNHAPSRLSSDHSSSRDEANRKLTDYVDEIISEYLSVGDPESCCEKLESILVLGLHDEPLETKEGKIQLNFRLHPLHHMALNAYITLASSYKIHANDMLALCSEMNGHQLKPFHMSRAGAAYSFLLAAAAHHLFSFETSLIVSVANFWTSAGESLLTFARSSAWDLFGKQEITGLELLSHGNRKCSKCSFLDKFEANFSLSQNLNEDFENISSKFLDCISSYSREVWNFLSQGSHYLKMFKEPFDFSSSANMPKISDLEPTLCRKNMDSYCWSSSGLEEAQQATNQERINILHLGVHCLLFGEYLASICYGTHSHYTRQVQRLVYCDDK >Manes.12G084600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:12575267:12575686:1 gene:Manes.12G084600.v8.1 transcript:Manes.12G084600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVRSATTSLSRRLNGYEALDHYYDAFNRPENLGGRTPASKPRNVHASTTHPAGMASLGYRIAKAKQRQIFLQSYKLASRSKLRRSRSGKLKKVVVKVRTIVVSLVSFLRLGTSRSCSSRSAISISISSPIRFGKCC >Manes.12G084600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12575219:12576334:1 gene:Manes.12G084600.v8.1 transcript:Manes.12G084600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVRSATTSLSRRLNGYEALDHYYDAFNRPENLGGRTPASKPRNVHASTTHPAGMASLGYRIAKAKQRQIFLQSYKLASRSKLRRSRSGKLKKVVVKCYISLSHRSVRTDIPTHLSLSDGHLISLRRAC >Manes.18G099100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9118017:9124724:1 gene:Manes.18G099100.v8.1 transcript:Manes.18G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSASNPSPADVSSSRPPPFVFSSDSPTRISLTPDQRKHCSEALQLFSEKLRTPDQIIQEFARLQATRVTPSQMSRSCKVAFDSVNTSKNRYMDVVPFDQNRVILNPCKDYRPSAKGYINASFITTSSSESVSRFIATQGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTIKCGDYFQAEDGPRDFGNICLVTKWIRSTDTSLVLRNLEVGYKESEEPPMSVLHVQYPEWPDHGVPKDTLAVREIFKRLYQVPSNLGPVVVHCSAGIGRTGTYCAIHNTIQRILVGDMSALDLANTVTSFRSQRVGMVQTKEQYFFCYKAIVDELEDLISEGNT >Manes.01G064200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26259220:26260165:1 gene:Manes.01G064200.v8.1 transcript:Manes.01G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNNFLISIILLSTLFFTSTYGATFTIRNDCSYPVWAAASPGGGRRLNQGQTWNLNVPAGTSMARIWGRTNCNFDGSGRGRCQTGDCGGVLNCQAWGQPPNTLAEYALNQYGNLDFYDISLVDGFNIPMEFSPTSGARDKCRPLFCTADINGQCPNPLRAPGGCNNPCTVFKTNEYCCTQGQGTCGPTEYSRFFKSRCPDAYSYPQDDPSSTFTCPGGTNYRVVFCPRRSPHFPLEMVGEKIVEQ >Manes.06G149400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27781672:27792432:1 gene:Manes.06G149400.v8.1 transcript:Manes.06G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCGLPLLECVYCLACARWAWKRCLHSAGHDSETWGLATAEEFEPVPRLCRYILAVYEDDLRHPMWEPPGGYGMNPDLVILKRTYEDTLGRAPPYILYLDHDHSDIVLAIRGLNLAKESDYAVLLDNSLGKRKIDGGYVHNGLLKAAGWVLDAECEILKELVKKYPNYTLTFAGHSLGSGVAAMLTLVVVLNQDKLGNIERRRVRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCIPEEHLLKDPRRLYAPGRLYHIVERKPFRMGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREATRALDLMLEKDHIMEIPVKQRMERQETLAREHSEEYKAALQRAVTLAVPHAYSPSQYGTFDEQEEGLKGYESHRLSGESSFGSSRKNTSRENWDELIERLFEKDESGHMVLKQSH >Manes.08G062701.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8431878:8436058:1 gene:Manes.08G062701.v8.1 transcript:Manes.08G062701.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWLYRSPYRVEISSKPWPIILWLQGGLGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFVVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLKDVSRLDNNGLEMANRFILFNNFCIKSSLKKIKSIFRMKHI >Manes.08G062701.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8431878:8436057:1 gene:Manes.08G062701.v8.1 transcript:Manes.08G062701.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWLYRSPYRVEISSKPWPIILWLQGGLGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFVVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLKDVSRLDNNGLEMANSLAEKIKQQIRDGQYVSATDTWAELEGVISRSSNSVVICIWRMYTIQK >Manes.08G062701.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8431878:8434655:1 gene:Manes.08G062701.v8.1 transcript:Manes.08G062701.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWLYRSPYRVEISSKPWPIILWLQGGLGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFVVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLKDVSRLDNNGLEMANSLAEKIKQQIRDGQYVSATDTWAELEGVISRSSNSVDFYNFLLDYGMDPVSLTAKFF >Manes.08G062701.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8431878:8434655:1 gene:Manes.08G062701.v8.1 transcript:Manes.08G062701.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWLYRSPYRVEISSKPWPIILWLQGGLGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFVVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLKDVSRLDNNGLEMANRFILFNNFCIKSSLKKIKSIFRMKHI >Manes.08G062701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8431878:8436057:1 gene:Manes.08G062701.v8.1 transcript:Manes.08G062701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWLYRSPYRVEISSKPWPIILWLQGGLGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFVVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLKDVSRLDNNGLEMANSLAEKIKQQIRDGQYVSATDTWAELEGVISRSSNSVVICIWRMYTIQK >Manes.08G062701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8431878:8434655:1 gene:Manes.08G062701.v8.1 transcript:Manes.08G062701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWLYRSPYRVEISSKPWPIILWLQGGLGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFVVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLKDVSRLDNNGLEMANSLAEKIKQQIRDGQYVSATDTWAELEGVISRSSNSVDFYNFLLDYGMDPVSLTAKFF >Manes.09G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8868340:8868988:-1 gene:Manes.09G054100.v8.1 transcript:Manes.09G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVRFEFNARDPRIGSCMEFLAQCNTRKAKESNPACQILVKRRVDDIPPKIGVTFVNGVEEVFDGTTVSVQTLRNLISEKSQLLETEQRFRQAGHEWPVIIPEEELQQPVPAAKHSSDEAANKQTKENRRVRRDISEAQISVRILHWSM >Manes.07G047567.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5556379:5560203:1 gene:Manes.07G047567.v8.1 transcript:Manes.07G047567.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPIPSWFWDISGNLSLLNFSSNSLWGQLPNKFKVHFNAFTDLSFNLLEGPIPLPTNQIIILNLSHNNFSGPIPENIGDLSFLSFFSLANNQISGEIPTSIGELQVASVIDLSRNTLTGSIPKSIGNCLYLEVLDLQNNNLSGKIPRSLGQLSDLQTLHLRDNMITGKLPSSFKGLWSLETLDLGYNRLIVKIPQWPGDVFPYLKVLSLRSNAFSGKIPSALLNLTSLKILDLAENQLSGSIPPGMSNLKAMTQEQNIKQDLIYGWVAGVYYEENVIVNTKGQSLEYTRTLSFLTCIDLSGNNFHGEFPHEVTKLAGLVVLNLSRNEISGQIPQSISELHQLASLDLSCNRFSGPIPSSIISMSFLEFLNMS >Manes.04G133100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33367883:33377294:-1 gene:Manes.04G133100.v8.1 transcript:Manes.04G133100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCRSRCHSWCMRMATFANIPRMLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAMDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPSMPSVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNLTNWGRRPAALRALSQRLSRGFNEALNGFTDEGWSVMGNDGMDDVTILVNSSPEKLMGLNLSFSNGFSAVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYSAAAIKVGPCSLLGSRVGSYGGQVILPLAHTIEHEEFLEVIKLEGMGHSPEDAIMPRDMFLLQLCSGMDENAIGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSAKEASSPNRTLDLASALETGPAGNKSSTDYSANSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLGSHAGLRSPLGTPEAQTLARWICQSYRCYLGVELLKSSSEGSETILKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKTLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEETPHCICFMFINWSFV >Manes.04G133100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33367883:33377294:-1 gene:Manes.04G133100.v8.1 transcript:Manes.04G133100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCKDGKQPANLDNGKYVRYTPEQVEALERFYHECPKPSSIRRQQFIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTTLATKDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAMDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPSMPSVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSNLTNWGRRPAALRALSQRLSRGFNEALNGFTDEGWSVMGNDGMDDVTILVNSSPEKLMGLNLSFSNGFSAVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYSAAAIKVGPCSLLGSRVGSYGGQVILPLAHTIEHEEFLEVIKLEGMGHSPEDAIMPRDMFLLQLCSGMDENAIGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSAKEASSPNRTLDLASALETGPAGNKSSTDYSANSGCMRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLGSHAGLRSPLGTPEAQTLARWICQSYRCYLGVELLKSSSEGSETILKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKTLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEETPHCICFMFINWSFV >Manes.06G154200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28117842:28120373:-1 gene:Manes.06G154200.v8.1 transcript:Manes.06G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYFLKFWRPNLHNQAHRPSIGNSDTTEIISTVVDADYEFNEEEDSFFELELTVPDFDNSKCKNKSSIPLDNHIDAFDSKEETLHSWANKAGNIDRKFAPPNVSLAATDLSKRKILPIEPISKPESPISLLKSAPRFRVLMFKKSKSMAEHQTGKTGESEMKSVFVDTAKNKKQESKLLTVKFKLKEAANVPIFTRDNSLRKQISDDSSKRFPKEVIRKYLKLIKPLYIKVSKRQSEKPKFTGELSMPSPSSSPATQPSCSPKKEKQGSIPAGIRVVRKHLGKSKSASAATIVMPSTVNRRDDSLLLQHDGIQSAILHCKKSFSSSSSVDSSLFSRFANDPLHEKSMASPRNSHEDKGNN >Manes.S024552.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2087743:2099410:1 gene:Manes.S024552.v8.1 transcript:Manes.S024552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTRFPLSLSTIQRNHSQGNGLGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.09G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25715542:25717051:-1 gene:Manes.09G086400.v8.1 transcript:Manes.09G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAEVILLDFWPSPFGMRVRIALAEKGIKYEYREEDLKNKSDLLQQMNPVHKKIPVLIHNGKPVAESLIAVQYIDEVWKVKAPLLPSDPYQRAQANFWADFVDKKLFELGRKIWATKGEEQEAAKQGFIESLKLLEGELGEKPFFGGENLGYVDVALMPFYSWFYTYEVCGNFSIEAECPKLIEWAKRCLAKESVFNSLPDHKKVYGFMLELKKRFGIE >Manes.17G023847.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14825313:14826540:-1 gene:Manes.17G023847.v8.1 transcript:Manes.17G023847.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISPHSRAQVCSCPPLVIFMFSLHLLHIFYEFHGCFEEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.18G060301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5341759:5342232:-1 gene:Manes.18G060301.v8.1 transcript:Manes.18G060301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSIQRLYGWESLRIYYPYSDFLNAPVHRRASWGWNSLMEGRIVFDMGLRWNITGPSFLNVWEDPWIPTMLAFKLSSQRPLDNPIIYISDIIDANTNQWIQPLLHANFSPFECAQIFKIPLGQMHKEAELVWHFNSSGIFFVKSAYYLLKQDQLREQS >Manes.10G064100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10018650:10020879:1 gene:Manes.10G064100.v8.1 transcript:Manes.10G064100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDEKQITIKGDNDIIDCVDIYKQPAFSHPLLKNHTIQMKPSSYPKGFKEGSNTDLLLEWNKKGRCPEGTIPIIRTQHKVSPKRRQHNSNILGFDVNTSQLEYAQVSTDLGTYFGAFAKFLVWNPKTVAKEFSSAQMWVVAGDGPNLNSLEAGWQVHFGEKFTRLFISWTRDNYKETGCYNLECPDFVQINNNIALGSALLPVSVYDGNQYEIEITIFKDMQNGNWWLVVQGQKLGYWPASILTTLANQANVIRWGGKVYNTETDGEHTFTQMGTGHFSYEGYGKAGLIHNLKYVDNSGALTDPNKVIPFASRPSCYDVQLGETGKDDHGTHFYYGGPGYSEICMK >Manes.11G155400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32203114:32208552:-1 gene:Manes.11G155400.v8.1 transcript:Manes.11G155400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILRFRKLCFVEPVRFQSFESQQCEKKEKIVASAIKNSSEINKKRTKTKPRELSCIDYCCWTIGYMCTIWWFLFFLYHCLSATLPRFQVPESPGTRLKREGLIAQHPVVLVPGFITGGLELWEGKPCAEGLFRKRLWGGTFSEMFKRPLCWLDHLILHNETGLDPPGVRVRAVPGLAAADYFASGYFVWAVLIENLAKIGYEGKNLFMAAYDWRLSFQNTEIRDQALSRLKSKIELMYVTNGYKKVVVVPHSMGAIYFLHFLKWVELPPLLGGGGGPGWCNKHIKAIMNTGPTFLGSPKMVTSILTTEGKDVASFRAMLAGILDSEILGIQTLEHVLRVSRTWDSTVSLLPKGGETIWGNLDWFPEEEQACVLSKRSSQTLVNDSSTDVKGGLQLKESIKGGRIVSFSKSASQLPSSELPPFDAKEFFGAHTGKNSGSSCEEVWTEYDLINRESIRKFVENKAFTAATVFDLLRFVAPKMMQRAESQFSHGIADNLDDPKYEHYRYWSNPLESRLPDAPDMEIYCLYGVGAPTERSYVLKVSPSDRCKSIPFRIDTSVDGEEGSCLKSGVYSVDGDESVPTLSAGFMCAKGWKGSTRFNPYDIRTYVREYQHKPSTSLLEGRSIESGSHVDILGNYAYVEDILRVAAGATGADIGGDRIHSDILKMSEKIKLQL >Manes.14G098200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8153703:8155866:1 gene:Manes.14G098200.v8.1 transcript:Manes.14G098200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIYSFASQSPTAGFLHFQSYIKLLPHPSSMATATSLKPISSLKFSNLKSNSSFFQPQTQLGFARSQDFSIQKLKLSTSHHHFSSRKSSWSIGTKASFSFFKKPKSSSESREPTKVQELNVYEINERDKDSPAVLKLSKKQELSLGDLVPFTNKLYTGDLKKRLGITAGLCVLIQHVPEKKGDRYEATYSFYFGEYGHISVQGAYLTYEDTYLAVTGGSGIFEGVYGQVKLKQIVFPFKLFYTFYLKGIPDLPCELLGKPVIPSPNVEPAPAAKATLPSGTVLHFTN >Manes.09G093300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28196041:28200525:1 gene:Manes.09G093300.v8.1 transcript:Manes.09G093300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPAPSAPPPSAGTQIRVNSKRAYNVVHPVETGTPQLLSQSPVMYTEVKHFKKWVPWIILAFVIGNIVMFIITMYVNNCPKNTVSCTVRFLGRFSFQPFKENPLLGPSSTTLQKLGALDVSKVVDDHQGWRLLSCNWLHAGVFHLLANMLSLLIIGIRLEQEFGFVKIGLLYIISGFGGSLLSALFIQSNISVGASGALFGLLGAMLSELITNWSMYTNKVAAFITLLVIIAINLAVGILPHVDNFAHIGGFLSGFFIGFVFLIRPQFGWVSQRYAPPGYLPVSVKSKFKSYQRILWIISLIIIIVGFTIGLVLLLRGFDANEHCSWCHYLSCVPTAKWSCNTGPASCLVSPIGVLNC >Manes.09G093300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28196041:28200525:1 gene:Manes.09G093300.v8.1 transcript:Manes.09G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPAPSAPPPSAGTQIRVNSKRAYNVVHPVETGTPQLLSQSPVMYTEVKHFKKWVPWIILAFVIGNIVMFIITMYVNNCPKNTVSCTVRFLGRFSFQPFKENPLLGPSSTTLQKLGALDVSKVVDDHQGWRLLSCNWLHAGVFHLLANMLSLLIIGIRLEQEFGFVKIGLLYIISGFGGSLLSALFIQSNISVGASGALFGLLGAMLSELITNWSMYTNKVAAFITLLVIIAINLAVGILPHVDNFAHIGGFLSGFFIGFVFLIRPQFGWVSQRYAPPGYLPVSVKSKFKSYQRILWIISLIIIIVGFTIGLVLLLRGFDANEHCSWCHYLSCVPTAKWSCNTGPASCLSNQFGNQLNLTCTTNGKSFSYTLPGATKSQIQGLCSELCR >Manes.03G136000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26142761:26148022:-1 gene:Manes.03G136000.v8.1 transcript:Manes.03G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLNFMASLICFLVLSLVLIPASTHPQSNEQGYTSVILSDKGIDFAKDMLIKKAVSSMIPLQLPDIEKYVKIPLLGRVHVVLSNITINSVIAPSSVETGATGIVLLASGATADLTMNWRYSYKSWIVVISDSGDASVKVKDMEVGLTVTSEEQDGTLTLSLLDCGCYVKDISIKMDGGASWLYQVVVDAFEGPIGSAVENAISKKIKEGISKLDSRLQSLPKQVSVDHVSAMNVTFTGNPVLVNSSIEIDINGLFMTRDNVLIPSYYYKGLQASDSSNCPAKMIGISLHENVFNTAAVVYFNAGYMHWTVDRFPNQSLLNTTTWRYIYPQLYEVYPNDGMKLNISLTSPPVIKIVENDLDVTIYLDVTVNVLDADEVIPVASVSLVVNASCSPHILRNKLAGILKLKNFTVSYNWSNIGDLHVPLVSPIAYAVVETIFLPYVNLPLLKGLPLPFLHGFTLKNAEIHCMKSKMMICSNLAFSQQYHIS >Manes.01G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6898686:6905146:-1 gene:Manes.01G040500.v8.1 transcript:Manes.01G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPQLETFLLTKLHCGASNLKSSTKNNFLPVRVKSQCSAIAIDAPSSLTDVAGIRWGSASLQGPREEMEDAIIVRSEGVDGFSFAGVFDGHGGISSVNFLRDELYKEFVAALQGGLLSGKDFSAITNAIKEVFENVDRKLLNWLETIGEEDESGATATVMFIGNNVLIISHIGDSCAVLSRSGKAEVLTDSHRPYGSNKASLQEIRRIREAGGWIVNGRICGDIAVSRAFGDIRFKTKKNEMVQKGVEEGRWSEKFGSRVQFNGDLVIASPDVFQVALDSDAEFVLLASDGLWDYMKSSDAVAFVRNQLRQHGDVQIACEELARAALDLRSQDNISIVIADLGQTDWQTLPLQKQNLFFELGQAFATVGLVSLGIWLSSQLSL >Manes.04G078002.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28006941:28007961:-1 gene:Manes.04G078002.v8.1 transcript:Manes.04G078002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSVFRGALLCLFVSLVFAASFSYGDDKTQDVVDQPLFFGAKVFPPLPPKVYPPHVPIFKKPLPPPIPIFKKPLPPPIPIFKKPLPPPIPVYKKPLPPPIPIYKKPLPPPIPIYKKPLPPPIPIYKKPLPPPIPKFEKPLPPPIPLFKKPLIPIFKKPLPPHIPIFKKPLPPHIPIFKKPKPPVFYKPIPPIPKIPPFHHHKKPFPPLPKLPPHP >Manes.12G051800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4873317:4874883:1 gene:Manes.12G051800.v8.1 transcript:Manes.12G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSLSCSSLLIALWAVVVILLISPANSLNCTSQKFTNKLYENCTDLPTLKASLHYTYNASNSSLSIAFKAPPAKSDGWVGWGINLNGTGMAGAQALVAMKNGSIVVVKKYSIASYSDIKETSKLAVDVWNLSSESDANGNFVIFASVNIPGSLEKVNQIWQVGPSVKDGFPAKHEFAAANLQAKATLELVASKSSGGNTTESGTNSTTPDSRSSSTNGTSAAYKIKDLNVRFQFGIFALLGSLMVF >Manes.03G152700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28059603:28062789:-1 gene:Manes.03G152700.v8.1 transcript:Manes.03G152700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAEAAKVVLTRPVTFVTGNAKKLEEVRAIVGQSIPLRSLKIDLPELQGEPEVISKEKARLAAEKVEGPVLVEDTCLCYNALKGLPGPYVKWHLDKTGLEGLNNLLAAYEDKSAYALCTFSFALDAESEPVTFLGKTMGKIVPPRGPKDFGWDPVFQPDGYEQTFAEMPKEEKNTISHRYRALLLVKTYFAESGFVFEIDDSKENEQNASDKIKKDSSVENENDGATPNKRKREEEVVSETTEENASAKKTKVKEN >Manes.14G079700.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626225:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQQSN >Manes.14G079700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626225:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626206:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQQSN >Manes.14G079700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626233:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQQSN >Manes.14G079700.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQQSN >Manes.14G079700.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQQSN >Manes.14G079700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626205:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626206:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQQSN >Manes.14G079700.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQQSN >Manes.14G079700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQTSHL >Manes.14G079700.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6626238:6632054:1 gene:Manes.14G079700.v8.1 transcript:Manes.14G079700.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIALLMFSPTGRPTLYQGEHSSVEGVIAKFTQLTPQERAKRKLESLEVLRKTFKKLDHDVNIQDFLGARTQTFEELTDQVRLLQTQLTELHNRMSYWSNPGKVDSIERLSQMEDSLKESINQIRLQKESFGKCQLMPVECNSQFQNGMALPLMMNNMQEAQSLSWLPNNGNHHLILSNEQNFFPQSDIECSTDASLPDYSGYNDTGKHTEIQIRGPLDNIGQDGGALSNLSSNKCLSVQLNEQFSYSPFSSLNLPDVGKMKPEMQMNCQGNNSVYRVNNNFEPPRPIYDNVQHNWGSASGPCSIEMFNENQYHQQSN >Manes.05G040800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3296445:3300231:1 gene:Manes.05G040800.v8.1 transcript:Manes.05G040800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDQEIPEGSVRNILEQITLKWVFVGGKGGVGKTTSSSILSILLSKVRSSVLIISTDPAHNLSDAFQQRFTKIPTLINGYTNLYAMEVDANVENDDMGGNDGMDTVFSELANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLEKMMSLKSKFGGLLSQMTRMFGIDDEFGEDALLGRLEGMKDVIEQVNRQFKDPDMTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNILINQVLYSDEDVESKLLKARMRMQQKYLDQFYMLYDDFHITKLPLLPDEVTGVEALEAFSSRFVTPYKPSTSHDTVEDLERKINTIRRQLTDAEGELEILKKEKQTE >Manes.16G040000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5225496:5226640:-1 gene:Manes.16G040000.v8.1 transcript:Manes.16G040000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTQALPSVGGAASACSSLNRPIASISSAFRSADMSVSTVTLSKKSLVCLSSSSSSSSSSSAIMPATRTNINNGSMMIICSVEGRYCYSTIPAGIMIIGRRKKRFIPCDVALRSNISASSSLSSSSTTVTQGEEEGEEAAKKIGARVRVKVPLKVYHVPRVPEVDLTGKEGHLKQYVALWKGKRISANLPYKVEFVVDIEGRGPVKFLAHLKEDEFDYLE >Manes.01G165500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34945551:34949376:1 gene:Manes.01G165500.v8.1 transcript:Manes.01G165500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIKDLVNDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNISMGMNLNNMSKMLKCSGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFAKICKDLSSIGDTVVISVTKEGVKFSTRGDIGTANIVVRQNTTVDKPEEATVIEMNEPVSLTFALRYMNSFTKATPLSNTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEDETKPHV >Manes.14G049601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4296503:4300303:1 gene:Manes.14G049601.v8.1 transcript:Manes.14G049601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKATSWDLTEFKQEAIPNIDAFQRTSSEEFSVDLKLGRVGSNSNDESTNQWKQPRVSKTESSPSISTKRARATNGTQAAFCLVDGCSSDLSNCRDYHRRHKVCELHSKSPQVTIGGLKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDLLTRPGSFLSNYKGTLRLPYPSPHVYPSTTMVSPTWSGVVNNDADASTHFSLQQEMHMLGKQNLCFGSSLSSSYKLGWKQFPFLEVDKPPTFNRQTTSSPPRPSHEAFICQPLLRNIPLSESGGAGSYSIFCDRLTTTTQVQDSDCALSLLSSTQTQTSENHMILQPNSIPLVLPMDPMDSVLVSNHRDEDSPWSSGSKSSQTLPFNWE >Manes.14G049601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4297136:4300301:1 gene:Manes.14G049601.v8.1 transcript:Manes.14G049601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKATSWDLTEFKQEAIPNIDAFQRTSSEEFSVDLKLGRVGSNSNDESTNQWKQPRVSKTESSPSISTKRARATNGTQAAFCLVDGCSSDLSNCRDYHRRHKVCELHSKSPQVTIGGLKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDLLTRPGSFLSNYKGTLRLPYPSPHVYPSTTMVSPTWSGVVNNDADASTHFSLQQEMHMLGKQNLCFGSSLSSSYKLGWKQFPFLEVDKPPTFNRQTTSSPPRPSHEAFICQPLLRNIPLSESGGAGSYSIFCDRLTTTTQVQDSDCALSLLSSTQTQTSENHMILQPNSIPLVLPMDPMDSVLVSNHRDEDSPWSSGSKSSQTLPFNWE >Manes.14G049601.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4296893:4300301:1 gene:Manes.14G049601.v8.1 transcript:Manes.14G049601.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNLKATSWDLTEFKQEAIPNIDAFQRTSSEEFSVDLKLGRVGSNSNDESTNQWKQPRVSKTESSPSISTKRARATNGTQAAFCLVDGCSSDLSNCRDYHRRHKVCELHSKSPQVTIGGLKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDLLTRPGSFLSNYKGTLRLPYPSPHVYPSTTMVSPTWSGVVNNDADASTHFSLQQEMHMLGKQNLCFGSSLSSSYKLGWKQFPFLEVDKPPTFNRQTTSSPPRPSHEAFICQPLLRNIPLSESGGAGSYSIFCDRLTTTTQVQDSDCALSLLSSTQTQTSENHMILQPNSIPLVLPMDPMDSVLVSNHRDEDSPWSSGSKSSQTLPFNWE >Manes.01G148900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33829949:33831221:-1 gene:Manes.01G148900.v8.1 transcript:Manes.01G148900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDFFSAHQHDSKKLKKSKQLKTVEIKVRMDCEGCERKVKKAVEGMKGVTKVEVEPKQHKLTVTGYVDPNKVLQRVRHRTGKKADFWPYVPYDLVPHPYAPGAYDKKAPPGYVRNVVDDSAAPLAHASSFEVKTLSAFSDENPNACVIM >Manes.14G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4528680:4532814:1 gene:Manes.14G053300.v8.1 transcript:Manes.14G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLYESASGYSLFLANGLDEIGQNTEAVRSSVADLNRFGKVVQLTAFHPFESSLDALNQCNSVSEGLMTDELRSFLELNLPKVKEGKKPKFSLGVAEPKLGSHIFEETKIPCQSNEFVLELLRGVRLHFERFIKDLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKLAKFIEDKAKLSEDKIPELTDILGDEEKAKEVVEAAKASMGQDLSPIDLINVQQFAQRVMDLSEYRKKLYEYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFADSGTTIFGEKLREQVEERLDFYDKGVAPRKNIDVMKAAIESTQNKDIEMETEAPAGASAKKSKKKKSKSEATDAAEPMAEDKPAVGVNGDGLEDAKSEKKKKEKRKIEEQAVDNSNGLNGANAEQDGTTKKKKKKKSKDEDMEDVQPASEIKKKKKKKSKNEDE >Manes.10G015215.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1490087:1490538:-1 gene:Manes.10G015215.v8.1 transcript:Manes.10G015215.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATSATSIVSVKLEYSCSKNTTTFNTKARDWTPMDDDIEVYVSELDKYPTPRKEVDAFIHKILHDPTPRKRLSVFSEICAGNR >Manes.05G147000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24945304:24949215:1 gene:Manes.05G147000.v8.1 transcript:Manes.05G147000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVICDEHGVDPTGRYTGDGSSDLQIERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLRMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDVEYEDEGVEENYEG >Manes.10G097001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24537414:24542483:1 gene:Manes.10G097001.v8.1 transcript:Manes.10G097001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMNFLRRKFTEKDDSEGIIEFNVEELEGYMDMFSERKIIGRGGFGPVYAGIIKKRPGINVKELHVAIKSSRNKDAKATEQWLAEKEYLPEVKHQNIIKLIGYCEAKDKFYLVYPYMQNQTVFTHLSGLDWRKTLKIIKGIASAIQKLHEFKPALVHRDLKLDNILLDKNFTPKLADFGKVTPEGEHIISGNRADDIYSFGEIIIQLITKKERTYSIGASVPWRIGDWAMRTYPTQKLLHPQLARTGCSKEAADAITALGLDCVNPQPSDRPTIADVLHKLADLS >Manes.09G071500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12175996:12178453:-1 gene:Manes.09G071500.v8.1 transcript:Manes.09G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAKEDKSWSVKKKMEGDDSLRTLECLRGRLLAERQASRAAKEEAQLMGNKLIELENKLREETKLREKAENKLKFLKKKLESLKSSTALEGLDQSGSYENCGSSCTSSASTSGPKGFEDMKENSSIRDTSDSNSDPHLKDSPLHKSSYHCPLNSQSYPKLEAGMERNGRTRESDNEDYVDNSLALVPVSASTMAEPTKSSELKIMNRSISEVLDALRHARESIQSSIERRKMIRVGPF >Manes.09G071500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12175996:12178453:-1 gene:Manes.09G071500.v8.1 transcript:Manes.09G071500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDSLRTLECLRGRLLAERQASRAAKEEAQLMGNKLIELENKLREETKLREKAENKLKFLKKKLESLKSSTALEGLDQSGSYENCGSSCTSSASTSGPKGFEDMKENSSIRDTSDSNSDPHLKDSPLHKSSYHCPLNSQSYPKLEAGMERNGRTRESDNEDYVDNSLALVPVSASTMAEPTKSSELKIMNRSISEVLDALRHARESIQSSIERRKMIRVGPF >Manes.15G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2508734:2511821:-1 gene:Manes.15G032200.v8.1 transcript:Manes.15G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGKWLRSFLAGKKDKEKEKEKCRIDQNSATNIENPLTPISIPPTTPKEKRRWSFRRSSAAAAAPSRDMKITEATALPQSEVQATLESENEQKKHAMAMAVATAAAADAAVAAAQAAAAVIRLTATAPGRTSSIEEAAAIKIQSVFRSYLARKALCALKGLVKLQALVRGHLVRKQATTTLRCMQALVTAQARARAQRIRMTEETKPTSQRQSIHIKSTQENRFRHTNYDIDRGMEDNIKIVEMDLGQPKGNTKSRNSYSHQPQAERVEHRFSTRYASSNRAYSKPDYCQVSPAPSVLTDMSPRACSGHFEDYSFNTTQSSPQYYSAVSKPDPSRIPFCFPRPEYAESLSYDYPFPNYMANTESSRAKVRSQSAPKQRPDSFERQPSRRRASVEGRNIPRSNRMQRSSSHLGATTQNYQYPWSIKLDRSTVSLKDSECGSISTALTNTSYCRTLVGFDVQGYRY >Manes.13G056200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6515597:6518805:-1 gene:Manes.13G056200.v8.1 transcript:Manes.13G056200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSWDGTLSLNEFSLAARSFAEKWKISNSASPPWLWVNAPKLPFVASQQVDGFLSMENICLLRPSEENDAAASRLEEEGPGFSKKEDAIDDATLVHSNHHEVHYYDFHIVYSASYRVPVLYFRGYDSEGLPLQLNEIEKDLPACSAKVLLESKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLFLDEAALAENRVAIELYLVSWFSVVGQVIGLRVPIEMVNGRIN >Manes.13G056200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6515597:6518975:-1 gene:Manes.13G056200.v8.1 transcript:Manes.13G056200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLLLDLLRRNGKSVTLLPLLGYGLTPQNCLLLLLNKLMDSCQWKTYVFSDQENDAAASRLEEEGPGFSKKEDAIDDATLVHSNHHEVHYYDFHIVYSASYRVPVLYFRGYDSEGLPLQLNEIEKDLPACSAKVLLESKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLFLDEAALAENRVAIELYLVSWFSVVGQVIGLRVPIEMVNGRIN >Manes.13G056200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6515597:6518723:-1 gene:Manes.13G056200.v8.1 transcript:Manes.13G056200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLLLDLLRRNGKSVDGFLSMENICLLRPSEENDAAASRLEEEGPGFSKKEDAIDDATLVHSNHHEVHYYDFHIVYSASYRVPVLYFRGYDSEGLPLQLNEIEKDLPACSAKVLLESKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLFLDEAALAENRVAIELYLVSWFSVVGQVIGLRVPIEMVNGRIN >Manes.13G056200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6515597:6518971:-1 gene:Manes.13G056200.v8.1 transcript:Manes.13G056200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLLLDLLRRNGKSVDGFLSMENICLLRPSEENDAAASRLEEEGPGFSKKEDAIDDATLVHSNHHEVHYYDFHIVYSASYRVPVLYFRGYDSEGLPLQLNEIEKDLPACSAKVLLESKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLFLDEAALAENRVAIELYLVSWFSVVGQVIGLRVPIEMVNGRIN >Manes.13G056200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6515597:6518975:-1 gene:Manes.13G056200.v8.1 transcript:Manes.13G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSWDGTLSLNEFSLAARSFAEKWKISNSASPPWLWVNAPKLPFVASQQVDGFLSMENICLLRPSEENDAAASRLEEEGPGFSKKEDAIDDATLVHSNHHEVHYYDFHIVYSASYRVPVLYFRGYDSEGLPLQLNEIEKDLPACSAKVLLESKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLFLDEAALAENRVAIELYLVSWFSVVGQVIGLRVPIEMVNGRIN >Manes.13G056200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6515597:6518975:-1 gene:Manes.13G056200.v8.1 transcript:Manes.13G056200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENICLLRPSEENDAAASRLEEEGPGFSKKEDAIDDATLVHSNHHEVHYYDFHIVYSASYRVPVLYFRGYDSEGLPLQLNEIEKDLPACSAKVLLESKWTFITQEEHPYLNRPWYKLHPCGTSEWMKLLFLDEAALAENRVAIELYLVSWFSVVGQVIGLRVPIEMVNGRIN >Manes.16G113700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31924914:31932338:-1 gene:Manes.16G113700.v8.1 transcript:Manes.16G113700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRTACCIFGMWGNSTYKRTSSRDYTNVVDVEDNSALVQNNEDPEASNPSWRLSLPHILVATISSFLFGYHIGVVNEPLESISSDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGFGRRRAFQLCALPMVIGASISATATNLAAMLFGRLLVGMGIGVGPPVASLYVTEVSPAFIRGTYGSFIQIGNCIGLMGALFIGIPVREIAGWWRICFWVSAIPAGILALAMGFCAESPHWLYKQGRSAEAEIEFEKLLGKGLVKFAMHELSKLDRGDDTDTVKLSELFYGRHFRALWYKCCVLFLFNCI >Manes.16G113700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31924914:31932338:-1 gene:Manes.16G113700.v8.1 transcript:Manes.16G113700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRTACCIFGMWGNSTYKRTSSRDYTNVVDVEDNSALVQNNEDPEASNPSWRLSLPHILVATISSFLFGYHIGVVNEPLESISSDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGFGRRRAFQLCALPMVIGASISATATNLAAMLFGRLLVGMGIGVGPPVASLYVTEVSPAFIRGTYGSFIQIGNCIGLMGALFIGIPVREIAGWWRICFWVSAIPAGILALAMGFCAESPHWLYKQGRSAEAEIEFEKLLGKGLVKFAMHELSKLDRGDDTDTVKLSELFYGRHFRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVFVGIANLSGSIGAMVLMDKLGRKVLLLGSFFGMDIRFPPIIIQYPEVDRLSERESIQFASE >Manes.16G113700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31913893:31932338:-1 gene:Manes.16G113700.v8.1 transcript:Manes.16G113700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRTACCIFGMWGNSTYKRTSSRDYTNVVDVEDNSALVQNNEDPEASNPSWRLSLPHILVATISSFLFGYHIGVVNEPLESISSDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGFGRRRAFQLCALPMVIGASISATATNLAAMLFGRLLVGMGIGVGPPVASLYVTEVSPAFIRGTYGSFIQIGNCIGLMGALFIGIPVREIAGWWRICFWVSAIPAGILALAMGFCAESPHWLYKQGRSAEAEIEFEKLLGKGLVKFAMHELSKLDRGDDTDTVKLSELFYGRHFRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVFVGIANLSGSIGAMVLMDKLGRKVLLLGSFFGMAISMGFQVAGASSYVLGSGALYLSVGGMLMYVFTFALGAGPVPGLLLPEIFPNRIRAKAMAVCMSVHWVINFFVGLLFLPLLKQLGPQLLYTIFATFCMIAVVFVKRNVMETKGKSLQEIEIALLPPE >Manes.16G113700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31924914:31932338:-1 gene:Manes.16G113700.v8.1 transcript:Manes.16G113700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRTACCIFGMWGNSTYKRTSSRDYTNVVDVEDNSALVQNNEDPEASNPSWRLSLPHILVATISSFLFGYHIGVVNEPLESISSDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGFGRRRAFQLCALPMVIGASISATATNLAAMLFGRLLVGMGIGVGPPVASLYVTEVSPAFIRGTYGSFIQIGNCIGLMGALFIGIPVREIAGWWRICFWVSAIPAGILALAMGFCAESPHWLYKQGRSAEAEIEFEKLLGKGLVKFAMHELSKLDRGDDTDTVKLSELFYGRHFRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVFVGIANLSGSIGAMVLMDKLGRKVLLLGSFFGMDIRFPPIIIQYPEVDRLSERESIQFASE >Manes.16G113700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31924914:31932338:-1 gene:Manes.16G113700.v8.1 transcript:Manes.16G113700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRTACCIFGMWGNSTYKRTSSRDYTNVVDVEDNSALVQNNEDPEASNPSWRLSLPHILVATISSFLFGYHIGVVNEPLESISSDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGFGRRRAFQLCALPMVIGASISATATNLAAMLFGRLLVGMGIGVGPPVASLYVTEVSPAFIRGTYGSFIQIGNCIGLMGALFIGIPVREIAGWWRICFWVSAIPAGILALAMGFCAESPHWLYKQGRSAEAEIEFEKLLGKGLVKFAMHELSKLDRGDDTDTVKLSELFYGRHFRALWYKCCVLFLFNCI >Manes.16G113700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31913893:31932338:-1 gene:Manes.16G113700.v8.1 transcript:Manes.16G113700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRTACCIFGMWGNSTYKRTSSRDYTNVVDVEDNSALVQNNEDPEASNPSWRLSLPHILVATISSFLFGYHIGVVNEPLESISSDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGFGRRRAFQLCALPMVIGASISATATNLAAMLFGRLLVGMGIGVGPPVASLYVTEVSPAFIRGTYGSFIQIGNCIGLMGALFIGIPVREIAGWWRICFWVSAIPAGILALAMGFCAESPHWLYKQGRSAEAEIEFEKLLGKGLVKFAMHELSKLDRGDDTDTVKLSELFYGRHFRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDLANVFVGIANLSGSIGAMVLMDKLGRKVLLLGSFFGMAISMGFQVAGASSYVLGSGALYLSVGGMLMYVFTFALGAGPVPGLLLPEIFPNRIRAKAMAVCMSVHWVINFFVGLLFLPLLKQLGPQLLYTIFATFCMIAVVFVKRNVMETKGKSLQEIEIALLPPE >Manes.05G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2201171:2202039:1 gene:Manes.05G025100.v8.1 transcript:Manes.05G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVARGASPIHIGNNFVIAGCSDLFFWLGEEAYRQQREKMIHRKWSLLTGPVAILGGIVATVVVANLIFVKDDPFLKPKKKNQDSPPSTK >Manes.08G105900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34568025:34568378:1 gene:Manes.08G105900.v8.1 transcript:Manes.08G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMALGNRNFANMGPTPILRSDSSLGYTEQNSLMMEKRRLFLRSYQFCRKKSLTERIKLSLFRVKKVMWLRLRSARKLRRLVWSRLRCAFYCRRRRSFLRLRSPNHHYYYSSSSCFW >Manes.14G001500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1371555:1380063:-1 gene:Manes.14G001500.v8.1 transcript:Manes.14G001500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLFGLVSGVEILSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSIIPQPAGDTGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFTYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIQGDDKRTSRTIMVQNSRTPGNLVHGNQPGNTSLTIGRVIAGVEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVLNVEQGLPLWPEWLFFNRVNARARKGIEIGPALFLVSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYSVGSGEISFPVLGPVEGVLFADYGTDLGSGPTVPGDPAGARLKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRHAKRFHFGVGHRN >Manes.14G001500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1371555:1380063:-1 gene:Manes.14G001500.v8.1 transcript:Manes.14G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNESVRFTSSLLKIPSLPSQQLKKQPSHPPPPLPFFSHILNSQLANTVISFTNFIDSLITRSRLHVPRTPGIRIRRSNLFANSPLTCLASLTLTRSTDSAHSESHIKSPILCSASLSLSQPAEPAAPGSEALVTQQKGSGGGGAHSASRHDEERVLISEVLVRNKDGEELERKDLEAEAVAALKACRANSALTVREVQEDVHRIIDSGYFCSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGASVLPAKFLEDAFRDGYGKVVNIRHLDDVITSINGWYMERGLFGLVSGVEILSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSIIPQPAGDTGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFTYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIQGDDKRTSRTIMVQNSRTPGNLVHGNQPGNTSLTIGRVIAGVEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVLNVEQGLPLWPEWLFFNRVNARARKGIEIGPALFLVSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYSVGSGEISFPVLGPVEGVLFADYGTDLGSGPTVPGDPAGARLKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRHAKRFHFGVGHRN >Manes.14G001500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1371554:1380063:-1 gene:Manes.14G001500.v8.1 transcript:Manes.14G001500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNESVRFTSSLLKIPSLPSQQLKKQPSHPPPPLPFFSHILNSQLANTVISFTNFIDSLITRSRLHVPRTPGIRIRRSNLFANSPLTCLASLTLTRSTDSAHSESHIKSPILCSASLSLSQPAEPAAPGSEALVTQQKGSGGGGAHSASRHDEERVLISEVLVRNKDGEELERKDLEAEAVAALKACRANSALTVREVQEDVHRIIDSGYFCSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGASVLPAKFLEDAFRDGYGKVVNIRHLDDVITSINGWYMERGLFGLVSGVEILSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSIIPQPAGDTGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFTYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIQGDDKRTSRTIMVQNSRTPGNLVHGNQPGNTSLTIGRVIAGVEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVLNVEQGLPLWPEWLFFNRVNARARKGIEIGPALFLVRCIRSV >Manes.14G001500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1371555:1380063:-1 gene:Manes.14G001500.v8.1 transcript:Manes.14G001500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNESVRFTSSLLKIPSLPSQQLKKQPSHPPPPLPFFSHILNSQLANTVISFTNFIDSLITRSRLHVPRTPGIRIRRSNLFANSPLTCLASLTLTRSTDSAHSESHIKSPILCSASLSLSQPAEPAAPGSEALVTQQKGSGGGGAHSASRHDEERVLISEVLVRNKDGEELERKDLEAEAVAALKACRANSALTVREVQEDVHRIIDSGYFCSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGASVLPAKFLEDAFRDGYGKVVNIRHLDDVITSINGWYMERGLFGLVSGVEILSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSIIPQPAGDTGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFTYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIQGDDKRTSRTIMVQNSRTPGNLVHGNQPGNTSLTIGRVIAGVEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVLNVEQGLPLWPEWLFFNRVNARARKGIEIGPALFLVRCIRSV >Manes.14G001500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1371555:1380063:-1 gene:Manes.14G001500.v8.1 transcript:Manes.14G001500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLFGLVSGVEILSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSIIPQPAGDTGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFTYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIQGDDKRTSRTIMVQNSRTPGNLVHGNQPGNTSLTIGRVIAGVEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVLNVEQGLPLWPEWLFFNRVNARARKGIEIGPALFLVSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYSVGSGEISFPVLGPVEGVLFADYGTDLGSGPTVPGDPAGARLKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRHAKRFHFGVGHRN >Manes.14G001500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1371554:1380063:-1 gene:Manes.14G001500.v8.1 transcript:Manes.14G001500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNESVRFTSSLLKIPSLPSQQLKKQPSHPPPPLPFFSHILNSQLANTVISFTNFIDSLITRSRLHVPRTPGIRIRRSNLFANSPLTCLASLTLTRSTDSAHSESHIKSPILCSASLSLSQPAEPAAPGSEALVTQQKGSGGGGAHSASRHDEERVLISEVLVRNKDGEELERKDLEAEAVAALKACRANSALTVREVQEDVHRIIDSGYFCSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGASVLPAKFLEDAFRDGYGKVVNIRHLDDVITSINGWYMERGLFGLVSGVEILSGGIIRLQVAEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSIIPQPAGDTGKVDLVMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFTYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIQGDDKRTSRTIMVQNSRTPGNLVHGNQPGNTSLTIGRVIAGVEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVLNVEQGLPLWPEWLFFNRVNARARKGIEIGPALFLVSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYSVGSGEISFPVLGPVEGVLFADYGTDLGSGPTVPGRDKDWKCASSRS >Manes.17G020900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:11674545:11675342:1 gene:Manes.17G020900.v8.1 transcript:Manes.17G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNHESQFPTASSSSSFALPISYFYITFNCITTIYRAYVNNDMPMVAFIVFVFLGYFVLDYCLANYRRLPESPMKEFLKITIWALSSAIFFGFCYQFSTFTSLVAVVTMYGFAIVGSVFLFYFYFLHENDQKGCVSLEILLCSCDRRGLGDKNKTGGLENV >Manes.14G136800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11932300:11934330:1 gene:Manes.14G136800.v8.1 transcript:Manes.14G136800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDISYNDLSGNLPLCLANLTSLRRLYLSFNHFIGNISSSPLRDLINLEYLSVSGNLFQIPISLSPFFNHSKFKYMESRDNKIFAETNDQHLNPRFQLERLVLSSGGYCGVFPKFLYYQHNLQVVDLSHNQMREGFPSWLLQNNTKLEQLYLINNSLSGPLKLPIHSHMYLSWLDISDNFFQDFITPEIGTYLPRLRYVKMSGNGFSGSLPSSLGNMSLLAYLDLSNNKLSGNIPEDLTIGCVSLIELILSNNSLQGQIFSETSNLRFLYELQLDGNQFTGSIPHSLSNNSFIEVLDLSHNNLYGRIPRWLGNMHFLRVLDLSMNNISGSLPSNFCSSKIKEIYLSRNGLQGSLEDAFYGCSELIVLDLGHNHMTGSIPSWIGEFSQLSYLILGHNHIDGEIPVQLCNLTQLSLLDLSHNHFSGPILPCLRSASNSYRLQEDSYNASAAVSMDEHLEFTTKSTSYSYQGRTLFCISGIDLSCNHLTGQIPIEIGYLNEIHVLNLSHNSLTGKIPASFSNLRQIESLDLSYNNLEGNIPPQLTKLTFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRNCTEMVSPPSKSRTSIDNEESNGFMDMGVFYVSFGVAYSMVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFITDNLVVLSKFRFCS >Manes.04G048650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7912882:7913256:-1 gene:Manes.04G048650.v8.1 transcript:Manes.04G048650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIANTEVVAELRRVVNEWMVAHAGQDTIAGRMKQHSEPQKWCKPSVGFLKCNVDASINQGFCSTSFGAFLRDADGQVVYGYSGYSPEVLSPLAAEVIALRETLLWILSMQLPLKKISPFLYMI >Manes.08G038100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3742739:3746499:1 gene:Manes.08G038100.v8.1 transcript:Manes.08G038100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQRQPVVPTSQDGSPSDTDLDGEDSWVIVKRQRVTILIPTLPHAKKSTMPKPGSSELEAMPLEAVNNTSTVPVETSNRMPLVDEQEKVTSIAPNRGTKMSRKIPTQHILASHKLPRVNVEIESENLDRTETFKPQNVLGLSNNSRTIKQPRLLHVQGHFLDGSKLLNQRLRASLLEKKLQKAGGLSRWLASIGLGQFVKIFQGRSVSKFQLVSLTMQKLKDMGADAVGPRRKLMHAIDCICQPYCFESY >Manes.08G038100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3742736:3746788:1 gene:Manes.08G038100.v8.1 transcript:Manes.08G038100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQRQPVVPTSQDGSPSDTDLDGEDSWVIVKRQRVTILIPTLPHAKKSTMPKPGSSELEAMPLEAVNNTSTVPVETSNRMPLVDEQEKVTSIAPNRGTKMSRKIPTQHILASHKLPRVNVEIESENLDRTETFKPQNVLGLSNNSRTIKQPRLLHVQGHFLDGSKLLNQRLRASLLEKKLQKAGGLSRWLASIGLGQFVKIFQGRSVSKFQLVSLTMQKLKDMGADAVGPRRKLMHAIDCICQPYCFESY >Manes.08G038100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3742738:3746788:1 gene:Manes.08G038100.v8.1 transcript:Manes.08G038100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQRQPVVPTSQDGSPSDTDLDGEDSWVIVKRQRVTILIPTLPHAKKSTMPKPGSSELEAMPLEAVNNTSTVPVETSNRMPLVDEQEKVTSIAPNRGTKMSRKIPTQHILASHKLPRVNVEIESENLDRTETFKPQNVLGLSNNSRTIKQPRLLHVQGHFLDGSKLLNQRLRASLLEKKLQKAGGLSRWLASIGLGQFVKIFQGRSVSKFQLVSLTMQKLKDMGADAVGPRRKLMHAIDCICQPYCFESY >Manes.15G072400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5445319:5454948:1 gene:Manes.15G072400.v8.1 transcript:Manes.15G072400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGGGSFMRNRRLESFLNTNSTPNAKEIPKKWGKEEEEKRVSRDVYVDDDGWISALISYIRIVFCFVSMMLTTFIWALIMLFLLPWPHERIRQSNIYGHVTGRMLMWILGNSVKIEGTEFSNEKAIYISNHASPMDILLMMWLTPTGTVGIAKKEIIWYPLFGQLYVLASHLRIDRSNPAAAIQSMKEAACAVVRNNLSLIIFPEGTRSINGRLLSFKKGFVHLALQTRLPIVPMVLTGTHLAWRKGSLHVRPVPITVKFLRPIKTDDWTADKIDDYVKMVHDTYVENLPESQKPLC >Manes.15G072400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5445319:5462698:1 gene:Manes.15G072400.v8.1 transcript:Manes.15G072400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGGGSFMRNRRLESFLNTNSTPNAKEIPKKWGKEEEEKRVSRDVYVDDDGWISALISYIRIVFCFVSMMLTTFIWALIMLFLLPWPHERIRQSNIYGHVTGRMLMWILGNSVKIEGTEFSNEKAIYISNHASPMDILLMMWLTPTGTVGIAKKEIIWYPLFGQLYVLASHLRIDRSNPAAAIQSMKEAACAVVRNNLSLIIFPEGTRSINGRLLSFKKGFVHLALQTRLPIVPMVLTGTHLAWRKGSLHVRPVPITVKFLRPIKTDDWTADKIDDYVKMVHDTYVENLPESQKPLC >Manes.15G072400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5445319:5462698:1 gene:Manes.15G072400.v8.1 transcript:Manes.15G072400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGGGSFMRNRRLESFLNTNSTPNAKEIPKKWGKEEEEKRVSRDVYVDDDGWISALISYIRIVFCFVSMMLTTFIWALIMLFLLPWPHERIRQSNIYGHVTGRMLMWILGNSVKIEGTEFSNEKAIYISNHASPMDILLMMWLTPTGTVGIAKKEIIWYPLFGQLYVLASHLRIDRSNPAAAIQSMKEAACAVVRNNLSLIIFPEGTRSINGRLLSFKKGFVHLALQTRLPIVPMVLTGTHLAWRKGSLHVRPVPITVKFLRPIKTDDWTADKIDDYVKMVHDTYVENLPESQKPLC >Manes.15G072400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5445319:5450135:1 gene:Manes.15G072400.v8.1 transcript:Manes.15G072400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGGGSFMRNRRLESFLNTNSTPNAKEIPKKWGKEEEEKRVSRDVYVDDDGWISALISYIRIVFCFVSMMLTTFIWALIMLFLLPWPHERIRQSNIYGHVTGRMLMWILGNSVKIEGTEFSNEKAIYISNHASPMDILLMMWLTPTGTVGIAKKEIIWYPLFGQLYVLASHLRIDRSNPAAAIQSMKEAACAVVRNNLSLIIFPEGTRSINGRLLSFKKGFVHLALQTRLPIVPMVLTGTHLAWRKGSLHVRPVPITVKFLRPIKTDDWTADKIDDYVKMVHDTYVENLPESQKPLC >Manes.15G072400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5445288:5450185:1 gene:Manes.15G072400.v8.1 transcript:Manes.15G072400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGGGSFMRNRRLESFLNTNSTPNAKEIPKKWGKEEEEKRVSRDVYVDDDGWISALISYIRIVFCFVSMMLTTFIWALIMLFLLPWPHERIRQSNIYGHVTGRMLMWILGNSVKIEGTEFSNEKAIYISNHASPMDILLMMWLTPTGTVGIAKKEIIWYPLFGQLYVLASHLRIDRSNPAAAIQSMKEAACAVVRNNLSLIIFPEGTRSINGRLLSFKKGFVHLALQTRLPIVPMVLTGTHLAWRKGSLHVRPVPITVKFLRPIKTDDWTADKIDDYVKMVHDTYVENLPESQKPLC >Manes.15G072400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5445288:5454948:1 gene:Manes.15G072400.v8.1 transcript:Manes.15G072400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGGGSFMRNRRLESFLNTNSTPNAKEIPKKWGKEEEEKRVSRDVYVDDDGWISALISYIRIVFCFVSMMLTTFIWALIMLFLLPWPHERIRQSNIYGHVTGRMLMWILGNSVKIEGTEFSNEKAIYISNHASPMDILLMMWLTPTGTVGIAKKEIIWYPLFGQLYVLASHLRIDRSNPAAAIQSMKEAACAVVRNNLSLIIFPEGTRSINGRLLSFKKGFVHLALQTRLPIVPMVLTGTHLAWRKGSLHVRPVPITVKFLRPIKTDDWTADKIDDYVKMVHDTYVENLPESQKPLC >Manes.18G144872.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21592327:21593817:1 gene:Manes.18G144872.v8.1 transcript:Manes.18G144872.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVVVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.05G183100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30132025:30147331:1 gene:Manes.05G183100.v8.1 transcript:Manes.05G183100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGEEAPSRHKLLSMVKKHSTLLGKGSASDVEMDGPFWYDMLDLYFVRGKESRGRQDDDLVFFIRKKGTEGYGFNDNVQGIPPYFVRRWAPKLDNLVSENSKEVDWRRSFYLNLIAHTSFTVTVAICSNHILCNHQAGRDTPLSPIYKEVETTPAYPDICFAVDDFDSTFDAVVLTETDHCYCVLLNAHDGAAFPSEKESRDKTSSTNSGKTKNSKLTLFSGFVSYQMVREAYDAGKSRFGSFLSRGHSPGKTDKLYMKGPGGRGEVEVAVCVCDQSQQDFGPFSPVTSKKGFGIGSIVRKAASVASVAAKHAYAAAAASTSSDEEVLPLKCCLMSVSLPWEHIAHDLLFKGSPPVNL >Manes.05G183100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30132025:30147331:1 gene:Manes.05G183100.v8.1 transcript:Manes.05G183100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGEEAPSRHKLLSMVKKHSTLLGKGSASDVEMDGPFWYDMLDLYFVRGKESRGRQDDDLVFFIRKKGTEGYGFNDNVQGIPPYFVRRWAPKLDNLVSENSKEVDWRRSFYLNLIAHTSFTVTVAICSNHILCNHQAGRDTPLSPIYKVVKTVYASPSRVDFHLDSKKEVETTPAYPDICFAVDDFDSTFDAVVLTETDHCYCVLLNAHDGAAFPSEKESRDKTSSTNSGKTKNSKLTLFSGFVSYQMVREAYDAGKSRFGSFLSRGHSPGKTDKLYMKGPGGRGEVEVAVCVCDQSQQDFGPFSPVTSKKGFGIGSIVRKAASVASVAAKHAYAAAAASTSSDEEVLPLKCCLMSVSLPWEHIAHDLLFKGSPPVNL >Manes.05G183100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30132025:30147331:1 gene:Manes.05G183100.v8.1 transcript:Manes.05G183100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGEEAPSRHKLLSMVKKHSTLLGKGSASDVEMDGPFWYDMLDLYFVRGKESRGRQDDDLVFFIRKKGTEGYGFNDNVQGIPPYFVRRWAPKLDNLVSENSKEVDWRRSFYLNLIAHTSFTVTVAICSNHILCNHQAGRDTPLSPIYKVVKTVYASPSRVDFHLDSKKEVETTPAYPDICFAVDDFDSTFDAVVLTETDHCYCVLLNAHDGAAFPSEKESRDKTSSTNSGKTKNSKLTLFSGFVSYQMVREAYDAGKSRFGSFLSRGHSPGKTDKLYMKGPGGRGEVEVAVCVCEPAGFWSFFTSYIKERIWNWLNCSKSCFCCICGGKACVCSCCCLHKF >Manes.05G183100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30132025:30147331:1 gene:Manes.05G183100.v8.1 transcript:Manes.05G183100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGEEAPSRHKLLSMVKKHSTLLGKGSASDVEMDGPFWYDMLDLYFVRGKESRGRQDDDLVFFIRKKGTEGYGFNDNVQGIPPYFVRRWAPKLDNLVSENSKEVDWRRSFYLNLIAHTSFTVTVAICSNHILCNHQAGRDTPLSPIYKEVETTPAYPDICFAVDDFDSTFDAVVLTETDHCYCVLLNAHDGAAFPSEKESRDKTSSTNSGKTKNSKLTLFSGFVSYQMVREAYDAGKSRFGSFLSRGHSPGKTDKLYMKGPGGRGEVEVAVCVCEPAGFWSFFTSYIKERIWNWLNCSKSCFCCICGGKACVCSCCCLHKF >Manes.04G056100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:17638744:17639238:1 gene:Manes.04G056100.v8.1 transcript:Manes.04G056100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRREKRMAMAKRGIRSLAIAVALPLSLNLINICLLGSSNGYGTVSKPFWFLPMWVLHFTCLASSFLMGLSAWLVWVEGGFHNEPTTLSLYMAQLGLSLAWNPIVFRMGATWIGLVVCLAMYGALVGCSRHFKEINPIAGDLVKPCLAWATILAIVNLKLVFL >Manes.02G042900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3511951:3515165:-1 gene:Manes.02G042900.v8.1 transcript:Manes.02G042900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASDSQLSNASHSLSLPSEPPDIRNWFPSYRYESFVLDTYEFKERECEGDGFSAAAERSREKEGHLGESRRNGDAGDKHEHQSLNGTTDSTYSLSILSEPPHVRNWFSSYVYESPVLDTLDDFKESVTKERVCAKEGFAYEGSEGKKEDNFEYESRNSWNSNEVDAGGGTLRSTGPVNCENTFEDTCVNQPSNEIPDSSEAPSSLSEPPDIRHWFFSYAYEYPESNALVDKEADCDGLVNQHNHNEKESNLGKFGQTRVTGKSVVDEKVFPKGSFFSSLRGDKQESSSLNKGMRTPVHENSSTQDNLFCESSQRLEDKIFPNHPRCSTNDLEKVSSNGDCTPHKLQQKHNFVQEPLLVTCQKTNSCKNDMTSPTKLSLMKDLTENLKTKAEMGVDLASPTTNGNFTQVAGDLIRKSTGENKNKENEGKEVVESGFVTTKNRWIPNDENSLHKPQKNISECSRNKRITSDCGNNTTKRKVLSERTNLERSNAVEITGKWLCPLKTKPNIGPPLKQLRLERWVHRL >Manes.15G060500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4628630:4635179:-1 gene:Manes.15G060500.v8.1 transcript:Manes.15G060500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEETATEIPEVVENGTNALEKCSKVVTEKKEKESSELKSMDEDKVDSEKAETEKMDEDPKVNEEKESKEEKEKEDEEKGNEEPITEVEEEKTELIKEETGSKGDAEVEGNEESKELEEKVDRSEEKEEKTEQNEDKGVKKRGRGRSSGEKKVVREKGEKKEPEPRTPASDRPQRERKSVERLVASIERDATKEFHIEKGRGTPLKDIPNVAFKLSRRKTDDTFKLLHSILFGRRGKAILIKSNISRFSGFAWHENEEKPKMRVKEKFDKCNKEKLLEFCDLLDIPVAKATTKKEDIVTKLIDFLLAPHATTAVLLAEKEKATKSKKRKRGTKTSASGSVSSTSSAKSRKKAEDASKYDKKDTSDTEESEEEKEEEEEEEIKEENDNGLPEKSDAEMPEHSENESEEESEEDVDKHKRSSRTSRKKESTGKAKTRNLTISIKCSPPPKASPKKSSSKHAEVDDDSDGTPKISSRKKKNDKVAKEKSSTPKKYPSKEKAGRRTAKGNEKAEVKEDKLKPTDDELRDAICEILKEVDFNTATFTDILKQLARRFDTDLSLRKSSVKLMIQEELTKLADDEDGDDDAEKDENQPTGQEVEA >Manes.15G060500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4628630:4635179:-1 gene:Manes.15G060500.v8.1 transcript:Manes.15G060500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEETATEIPEVVENGTNALEKCSKVVTEKKEKESSELKSMDEDKVDSEKAETEKMDEDPKVNEEKESKEEKEKEDEEKGNEEPITEVEEEKTELIKEETGSKGDAEVEGNEESKELEEKVDRSEEKEEKTEQNEDKGVKKRGRGRSSGEKKVVREKGEKKEPEPRTPASDRPQRERKSVERLVASIERDATKEFHIEKGRGTPLKDIPNVAFKLSRRKTDDTFKLLHSILFGRRGKAILIKSNISRFSGFAWHENEEKPKMRVKEKFDKCNKEKLLEFCDLLDIPVAKATTKKEDIVTKLIDFLLAPHATTAVLLAEKEKATKSKKRKRGTKTSASGSVSSTSSAKSRKKAEDASKYDKKDTSDTEESEEEKEEEEEEEIKEENDNGLPEKSDAEMPEHSENESEEESEEDVDKHKRSSRTSRKKESTGKAKTRNLTISIKCSPPPKASPKKSSSKHAEVDDDSDGTPKISSRKKKNDKVAKEKSSTPKKYPSKEKAGRRTAKGNEKAEVKEDKLKPTDDELRDAICEILKEVDFNTATFTDILKQLARRFDTDLSLRKSSVKLMIQEELTKLADDEDGDDDAEKDENQPTGQEVEA >Manes.01G005584.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2371535:2372954:-1 gene:Manes.01G005584.v8.1 transcript:Manes.01G005584.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAKSRILVYGLIAVFGSGDKTVERKYGLFGSFGYSEYECREIFRKAPYLLTRSEEKLKLGISVFLNSVKFKEALVCSASILIQSMEKRVVPGFRVWDILKSKKLYKEPSFRKMLFMTEVMFVDKFISSFPDEAEELLLAYKGHTLVSSSKEKNS >Manes.02G169300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13328080:13333772:1 gene:Manes.02G169300.v8.1 transcript:Manes.02G169300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLTWQIELAKMLVDMAQSRLFLHWPKPGVEMRRRNKDVGFRWVLLFQDTNGLLFKAIPASLGVSGTKQYHVNSLAVPRKAKEEIGGITRLTHADGRLMVINVEYNQLDPLLKASGYPDGDVNCETGYSPFLSTVKQATLLSWELILELGPYIEELKKTGGAIMESVNPKYKDVRKTSFKSSTQLECMMQDYPKTLPPSARAGFTVMDTWLAYAPVKNNPSCLIKVPKGNPYHSATSGEMAVYPANSLILRKINLLLSAGVQVDGPVHQVFNGQEVEVWSRTTWNPKWGLKFSDVKNKVSGSCSISQRSTMAIKGLNVFVEDLSLDGGLLIESVDESEVKVGGTLQNKGWILQNVYRKDTSVPEEIRIRGFKINKIEQPEKHNSEPGKFDLKANLYRHSIISFQCHAFVIYIGL >Manes.13G092480.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:27626899:27627420:1 gene:Manes.13G092480.v8.1 transcript:Manes.13G092480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKHKCVAEDVLSVGQEPWTKQEVRFRPVNKAIRFFQNDPLVVNIHLNKYEVRQVLVDTGSFVDLLALNIFNKLGLNKNNLAKVSYPLVGLGDTTVTVLGTINLPHVLGDEKQTRELYAKFMVVDIPLTYNVILGRLVLNCHGIVINMHAMCLKLPTPRGLAVFQGKHRSA >Manes.08G115000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35394631:35398496:1 gene:Manes.08G115000.v8.1 transcript:Manes.08G115000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSCRHKDKLEKDNVVIITKYICIKYPKFLTQQTKGLKMSLDLLPEDCFAYILSLTSPKNACCISVVSSEIRALAGLDAVWEKFLPSDYPEIISRLVSPVTCSPKKELFLMLCNPQLIDEGRKIISLEKSTGKKSYILSSREISISWANNPLYWSWKPHLHSRFREVVELRTICWLQINGKFNTQMLSSRTDYGVYLIFKFADRAYGLDTLPSEVSVEVGNFKMQSKVYLRRQDKSKQAVDSVGFPNRMTRSRAQGRDGSRSNDGAPCEREDGWMEIELGSFYNHGGENKEVEMGLKEVTGEHLKGGLIVEGIEIRPKH >Manes.05G206700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33350752:33352386:1 gene:Manes.05G206700.v8.1 transcript:Manes.05G206700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTNCTRGTGGRRVLHSTPDGSAVTQKEEFGTYSAEPFSATPRVALCMHSAVREPLDGRDFLETDAEIGDDGKLHVTVRKSNASRRSLGPGSFSGLTPHPSNLTGAEIYSLSSSRNPTPRGSNFNTSDFYNMMGVQGFPGGRLSNFGPADLYSVQSSRGPTPRPSNFEENCAPMATNSSPRFGFYPAQTVPTSYPAPNPEFSSTVSTKNTKNLQQQQQEQQQQPQQQNSKANHDAKELHMFVWSSSASPVSDVFGGNDFERVMRDEIK >Manes.08G061300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7815542:7820804:-1 gene:Manes.08G061300.v8.1 transcript:Manes.08G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSRMIIKATVICMILMQRCIAARFSSKEDDKIVRLPGQPQVRFQQYAGYIPIDEKQERALFYYFVEAETEPASKPLLLWLNGGPGCSSVGAGGFSEHGPFRTTDGRTLIRHQYSWNKEANILYLESPAGVGFSYSADASFYNIVNDTITAQDNLKFLQNWLVKFPEYKSRDLFIAGESYGGHYVPQLAKLIVQSGLHFNLKRIALGNPLLDFSTDLNSQGDYYWSHGLISDSTYKLITTTCNTSQLAREEIVRGSASVACKAVRNQLTKEIPDEIDNYDIIADVCESFGKARLSAYNHPLRPRFHVSLLSQSLEEDPIQPKSAENIDVCVQPKTSVYLNRKEVQEALHAQLVGVGNWSFCSQVLKYDMRNLEIPTVDVLGSLISSGIPVLVYSGDLDSVIPFTGTRTLVNGLARKLGLKATVTYRPWFHDKQVGGWTQVYGDNLTFTTIRGGSHLVPLSSPKRSLALFKAFLAGKPLA >Manes.08G061300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7796824:7820827:-1 gene:Manes.08G061300.v8.1 transcript:Manes.08G061300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSRMIIKATVICMILMQRCIAARFSSKEDDKIVRLPGQPQVRFQQYAGYIPIDEKQERALFYYFVEAETEPASKPLLLWLNGGPGCSSVGAGGFSEHGPFRTTDGRTLIRHQYSWNKEANILYLESPAGVGFSYSADASFYNIVNDTITAQDNLKFLQNWLVKFPEYKSRDLFIAGESYGGHYVPQLAKLIVQSGLHFNLKRIALGNPLLDFSTDLNSQGDYYWSHGLISDSTYKLITTTCNTSQLAREEIVRGSASVACKAVRNQLTKEIPDEIDNYDIIADVCESFGKARLSAYNHPLRPRFHVSLLSQSLEEDPIQPKSAENIDVCVQPKTSVYLNRKEVQEALHAQLVGVGNWSFCSQVLKYDMRNLEIPTVDVLGSLISSGIPVLVYSGDLDSVIPFTGTRTLVNGLARKLGLKATVTYRPWFHDKQVRTRGTEDPSSEFSYGVVRVSQRYRPEEPERAAVSTASEVQSLQSQ >Manes.08G061300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7808807:7820827:-1 gene:Manes.08G061300.v8.1 transcript:Manes.08G061300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSRMIIKATVICMILMQRCIAARFSSKEDDKIVRLPGQPQVRFQQYAGYIPIDEKQERALFYYFVEAETEPASKPLLLWLNGGPGCSSVGAGGFSEHGPFRTTDGRTLIRHQYSWNKEANILYLESPAGVGFSYSADASFYNIVNDTITAQDNLKFLQNWLVKFPEYKSRDLFIAGESYGGHYVPQLAKLIVQSGLHFNLKRIALGNPLLDFSTDLNSQGDYYWSHGLISDSTYKLITTTCNTSQLAREEIVRGSASVACKAVRNQLTKEIPDEIDNYDIIADVCESFGKARLSAYNHPLRPRFHVSLLSQSLEEDPIQPKSAENIDVCVQPKTSVYLNRKEVQEALHAQLVGVGNWSFCSQVLKYDMRNLEIPTVDVLGSLISSGIPVLVYSGDLDSVIPFTGTRTLVNGLARKLGLKATVTYRPWFHDKQVRTRGTEDPSSEFSYGVVRVSQRLAGTV >Manes.08G061300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7816722:7820827:-1 gene:Manes.08G061300.v8.1 transcript:Manes.08G061300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSRMIIKATVICMILMQRCIAARFSSKEDDKIVRLPGQPQVRFQQYAGYIPIDEKQERALFYYFVEAETEPASKPLLLWLNGGPGCSSVGAGGFSEHGPFRTTDGRTLIRHQYSWNKEANILYLESPAGVGFSYSADASFYNIVNDTITAQDNLKFLQNWLVKFPEYKSRDLFIAGESYGGHYVPQLAKLIVQSGLHFNLKRIALGNPLLDFSTDLNSQGDYYWSHGLISDSTYKLITTTCNTSQLAREEIVRGSASVACKAVRNQLTKEIPDEIDNYDIIADVCESFGKARLSAYNHPLRPRFHVSLLSQSLEEDPIQPKSAENIDVCVQPKTSVYLNRKEVQEALHAQLVGVGNWSFCSQVLKYDMRNLEIPTVDVLGSLISSGIPVLVYSGDLDSVIPFTGTRTLVNGLARKLGLKATVTYRPWFHDKQVSILLQSRYNYIALRFFFFPFLA >Manes.03G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25804609:25807555:1 gene:Manes.03G131400.v8.1 transcript:Manes.03G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVVTMSFFFFIMVMSFKYSKAQMVPAMFVFGDSSVDVGNNNYLPLSIARADFPFNGIDFPTRKPTGRFSNGKNAADFLAEKVGLPTSPPYMSLLFNKKKRSFLAGVNFASGASGILNATGQSLGVVIPLTKQVDYYATVHEDLLEKLGSSGAQKHLCKSLFTIITGSNDLLRYSGSSDLHKKNTPQQYVDMMILTLKSQIKRLYTYGARKFLLPGLAPVGCAPSQRIKNKAEECNEEANGLSVKYNEGIKSMLLQLKLELKSINYSYFDTYSVLQNIIQKPAAYGFAEVKAACCGLGKLNAEIPCIPISTYCSNRSNHVFWDIVHPTEATDRVVVNTIFDGPPKYTFPINIKQLIAV >Manes.13G050500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5768803:5770782:-1 gene:Manes.13G050500.v8.1 transcript:Manes.13G050500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFPSIQTILFALALLFLCGFWRTMSIGRRKSKSKKNGVPEPSGAWPLIGHLHLLGDKAPACKILGALADKAGPIYSLRLGMNRILVVSGREMVKECLTTNDKIFATRASIAAGKYIGYNNAIIALAPYGEYWREIRKLATLQLLSNHRLEVLKHVRLSEVDMFLKDLYNIYVENVRNPSKVTISKLFEQVTFNISLRMIVGKRFSSSKYGEENSEACRYKKAIAEALYLAGTFVASDAIPWVEWMDLKGHIAAMKRTGKELDAVIETWLEEHVNKRLVKDEKNGEGDLMDIMLENLEEDVVMSGHTRDIVIKATTLILTLTGAGSTAVTLTWALSLLLNNPNVLKAAQEELDVHVGKQKWVQESDIPQLKYLQAIVKETLRLYPPGPLTGIREAMDDCYVGGYHVSKGTRLVVNIWKLHRDPLVWKDPNLFQPERFLTTHAHLDVRGQNFEYIPFSSGRRSCPAINFGLQVVQLTLARLLQGFDLTTIGGLPVDMKEGLGIALPKVDPVEVIIEPRLALELYQCL >Manes.18G081300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7480091:7482673:1 gene:Manes.18G081300.v8.1 transcript:Manes.18G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSSYKKRISNKLNLRFRCFTIRTYAIQPEIAIKQDLSWRDINATPLTNLRSLLQLCAKSRKPMNGKACHAQLIHFGLEPDTLTSNILINMYSKCGLIDFARKVFDKMPQRSLVSWNTMITTYTQNMEEQNALTLFLELQREGNPFSEFTVSSILCACAAKRDAFACRQFHAFVVKAAMDANVFVGTALLDIYAKSGLIQDANRVFEGMSERSAVTWSSMMAGYVQNELYEQALVFFTRALTNELEYNQFTMSSVICACAGLAAFIEGRQVHAIVCKIGFCSNNFVASSVVDMYAKCGSVKEAYTAFLDAEGKNVVLWNVMISGFAKHSHSLEVMILFEKMQQIGMRPDEVTYISVLSACSHRGLVDTGQSYFNLMTREHNVSPNVLHYSCLVDILGRAGQIHEAYELIQNMPFVATASMWGSILASRRIHGNLKLAEIAAKNLFEMEPNNAGNYVLLSNVYAANKKWKEVAKARKLLKQNEVKKDTGKSWIEIKDKVHIFMVGETNHPRIGGIYLELDKLLEEIKKLGYKVETDNDLHDVDESRKQELLRHHSEKLALTFGLMSLPLNAPIRIMKNLRICGDCHSFMKLASTVTRREIIVRDVNRFHHFRDGCCSCGEFW >Manes.02G062300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4779237:4779650:-1 gene:Manes.02G062300.v8.1 transcript:Manes.02G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCKLNLGTEGCSSSESGWTLYIGSPMQEDDDECSDKDNDNDQNTIANDGNAGDDYDDEQYSDDSLASDASSGPNHRHKPEGGLQSNHGTASFKHENGNNFNHCAPATKSNKKEKKNDGDSRVKDRRLSDNRKYSK >Manes.18G029900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2531524:2538948:1 gene:Manes.18G029900.v8.1 transcript:Manes.18G029900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIICCSPCLLTRFDIKLRLAVVVFEIAVLFLCFQAAYADTQEHPIQWRGSESEGGNIVSHSCIHDQIIEQRRRPGRKVYSVTPQVYDQSIMSKFIHHKGRALLGISELQLRQKDAKQPIRIFLNYDAVGLSPDRDCQKVGDIVKLGEPAVTSLPGTPPCNPPLYGDCWYNCTLDDISGEDKRRRLHKALGQTVDWFRRALAVEPVKGNLQLSGYSACGQDGGVQLPHEYIEEGVAEADLVLFVTTRPTTANTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRGQVTEQVMDEKLGRMVTRVVLPRVVMQSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFVTSPCNLWKGAYHCNRTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDSNSTRAPDRMLGEVRGSSSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPIQFHGFNGELICPAYHELCSIGTVSISGHCPSACHFNGDCVDGKCHCFLGFHGYDCSKRSCPGNCNGHGICLSNGICKCQDGFTGIDCSTAACDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSALLSSLSVCRNVLKSDMSSQHCAPSESSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSSYCDTVAKRLACWISIQKCDKDGEDRLRVCHSACESYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKASWLNRLGIRLFSSSASLKGTSASVKYRQL >Manes.18G029900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2531524:2538948:1 gene:Manes.18G029900.v8.1 transcript:Manes.18G029900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSTITFGCFVSFQLSAVQLMESKVFIFFLIAVLFLCFQAAYADTQEHPIQWRGSESEGGNIVSHSCIHDQIIEQRRRPGRKVYSVTPQVYDQSIMSKFIHHKGRALLGISELQLRQKDAKQPIRIFLNYDAVGLSPDRDCQKVGDIVKLGEPAVTSLPGTPPCNPPLYGDCWYNCTLDDISGEDKRRRLHKALGQTVDWFRRALAVEPVKGNLQLSGYSACGQDGGVQLPHEYIEEGVAEADLVLFVTTRPTTANTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRGQVTEQVMDEKLGRMVTRVVLPRVVMQSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFVTSPCNLWKGAYHCNRTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDSNSTRAPDRMLGEVRGSSSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPIQFHGFNGELICPAYHELCSIGTVSISGHCPSACHFNGDCVDGKCHCFLGFHGYDCSKRSCPGNCNGHGICLSNGICKCQDGFTGIDCSTAACDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSALLSSLSVCRNVLKSDMSSQHCAPSESSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSSYCDTVAKRLACWISIQKCDKDGEDRLRVCHSACESYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKASWLNRLGIRLFSSSASLKGTSASVKYRQL >Manes.18G029900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2531524:2538948:1 gene:Manes.18G029900.v8.1 transcript:Manes.18G029900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIICCSPCLLTRFDIKLRLAVVVFEIAVLFLCFQAAYADTQEHPIQWRGSESEGGNIVSHSCIHDQIIEQRRRPGRKVYSVTPQVYDQSIMSKFIHHKGRALLGISELQLRQKDAKQPIRIFLNYDAVGLSPDRDCQKVGDIVKLGEPAVTSLPGTPPCNPPLYGDCWYNCTLDDISGEDKRRRLHKALGQTVDWFRRALAVEPVKGNLQLSGYSACGQDGGVQLPHEYIEEGVAEADLVLFVTTRPTTANTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRGQAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFVTSPCNLWKGAYHCNRTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDSNSTRAPDRMLGEVRGSSSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPIQFHGFNGELICPAYHELCSIGTVSISGHCPSACHFNGDCVDGKCHCFLGFHGYDCSKRSCPGNCNGHGICLSNGICKCQDGFTGIDCSTAACDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSALLSSLSVCRNVLKSDMSSQHCAPSESSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSSYCDTVAKRLACWISIQKCDKDGEDRLRVCHSACESYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKASWLNRLGIRLFSSSASLKGTSASVKYRQL >Manes.18G029900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2531529:2538948:1 gene:Manes.18G029900.v8.1 transcript:Manes.18G029900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIICCSPCLLTRFDIKLRLAVVVFEIAVLFLCFQAAYADTQEHPIQWRGSESEGGNIVSHSCIHDQIIEQRRRPGRKVYSVTPQVYDQSIMSKFIHHKGRALLGISELQLRQKDAKQPIRIFLNYDAVGLSPDRDCQKVGDIVKLGEPAVTSLPGTPPCNPPLYGDCWYNCTLDDISGEDKRRRLHKALGQTVDWFRRALAVEPVKGNLQLSGYSACGQDGGVQLPHEYIEEGVAEADLVLFVTTRPTTANTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRGQVTEQVMDEKLGRMVTRVVLPRVVMQSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFVTSPCNLWKGAYHCNRTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDSNSTRAPDRMLGEVRGSSSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVCSGALMHLMFPNMPKLYLYASASATYLFSSSITF >Manes.18G029900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2532540:2538948:1 gene:Manes.18G029900.v8.1 transcript:Manes.18G029900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSTITFGCFIAVLFLCFQAAYADTQEHPIQWRGSESEGGNIVSHSCIHDQIIEQRRRPGRKVYSVTPQVYDQSIMSKFIHHKGRALLGISELQLRQKDAKQPIRIFLNYDAVGLSPDRDCQKVGDIVKLGEPAVTSLPGTPPCNPPLYGDCWYNCTLDDISGEDKRRRLHKALGQTVDWFRRALAVEPVKGNLQLSGYSACGQDGGVQLPHEYIEEGVAEADLVLFVTTRPTTANTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRGQVTEQVMDEKLGRMVTRVVLPRVVMQSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFVTSPCNLWKGAYHCNRTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDSNSTRAPDRMLGEVRGSSSRCMASSLVRTGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPIQFHGFNGELICPAYHELCSIGTVSISGHCPSACHFNGDCVDGKCHCFLGFHGYDCSKRSCPGNCNGHGICLSNGICKCQDGFTGIDCSTAACDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSALLSSLSVCRNVLKSDMSSQHCAPSESSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSSYCDTVAKRLACWISIQKCDKDGEDRLRVCHSACESYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKASWLNRLGIRLFSSSASLKGTSASVKYRQL >Manes.13G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30593289:30596956:-1 gene:Manes.13G105100.v8.1 transcript:Manes.13G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEGLFKAEFQIFKDFLTSVAKFEELGAVGSRLLGEFQQGLELLRRPPINRKSELIENIIRANETERFKSYMAAGFITSHDRIQNISKLHTCILGLHNHLTKAKSILNELDKLLEDSTFAIKTANGSFSPLTDEDLCEKFDQQAAINQEETSSVDLQELQMTDYAALMGSIYIMVKQDYMMQERIVTSLNLTSSSGEMESYCLMWSLHPYINDEIMQQAWRLIH >Manes.13G105100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30593289:30596956:-1 gene:Manes.13G105100.v8.1 transcript:Manes.13G105100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEGLFKAEFQIFKDFLTSVAKFEELGAVGSRLLGEFQQGLELLRRPPINRKSELIENIIRANETERFKSYMAAGFITSHDRIQNISKSKSILNELDKLLEDSTFAIKTANGSFSPLTDEDLCEKFDQQAAINQEETSSVDLQELQMTDYAALMGSIYIMVKQDYMMQERIVTSLNLTSSSGEMESYCLMWSLHPYINDEIMQQAWRLIH >Manes.04G014300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1875773:1879371:1 gene:Manes.04G014300.v8.1 transcript:Manes.04G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSYRFLCLFLSLSISSPFAYAASHHHDQLHLSSAANLTKLQAEKMIRAFNLFPKHSINMPTHVDSLVTYPQIVEKPLNLNILGGLEPSVQDLGHHAGYYKLPHAEAARMFYFFFESRNSKDDPVVIWLTGGPGCSSELALFYENGPFHLSKNKSLMWNDYGWDKASNLIYVDQPTGTGFSYTTDNKDIRHDENGVSNDLYDFLQAFFKEHPQFVKNDFYITGESYAGHYIPAFAARVHQGNKNKEGIQINLKGFAIGNGLTNPAIQYQAYTDYAMENKLIQNYDYDRINKLVPECEEAIKACGIDGELDCETAYVNCNNIFSEILSVVGNINYYDIRKECEGQLCYDFSAMDTFLNEDAVRHALGVGNIDFVSCSSEVYDAMILDWMRNLEVDIPALLEDGIRVLIYAGEEDLICNWLGNSRWVHAMEWSGQQEFGAAATVPFVVEGAEAGQMKSHGPLTFLKVHEAGHMVPMDQPKAALQMLKSWMQGELGEAGTKERISPK >Manes.04G014300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1875773:1879371:1 gene:Manes.04G014300.v8.1 transcript:Manes.04G014300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSYRFLCLFLSLSISSPFAYAASHHHDQLHLSSAANLTKLQAEKMIRAFNLFPKHSINMPTHVDSLVTYPQIVEKPLNLNILGGLEPSVQDLGHHAGYYKLPHAEAARMFYFFFESRNSKDDPVVIWLTGGPGCSSELALFYENGPFHLSKNKSLMWNDYGWDKASNLIYVDQPTGTGFSYTTDNKDIRHDENGVSNDLYDFLQAFFKEHPQFVKNDFYITGESYAGHYIPAFAARVHQGNKNKEGIQINLKGFAIGNGLTNPAIQYQAYTDYAMENKLIQNYDYDRINKLVPECEEAIKACGIDGELDCETAYVNCNNIFSEILSVVGNINYYDIRKECEGQLCYDFSAMDTFLNEDAVRHALGVGNIDFVSCSSEVYDAMILDWMRNLEVDIPALLEDGIRVLIYAGEEDLICNWLGKPIWFNQNLKSSLCCSYNIKMLVFEQEIQGGFMQWNGLASKNLEQPQLFHLWLRVQKQDK >Manes.12G012500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1251447:1255565:-1 gene:Manes.12G012500.v8.1 transcript:Manes.12G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKVIFSIGFIFLLVFSYSIFIGTLDFRSYFLPGLQQLPIGAVSVCATGPRLKVYMYELPRRFHVGMMDHKSKIDDDVPVTADNLPRWPKNVGIKKQHSVEYWLMASLLYDGGKEEREVVRVLDPEAADAFFVPFFSSLSFNTHGHNMTDPETEKDRQLQVALIEFLYKSKYWQRSGGRDHVIPMTHPNAFRFLRQQLNASILIVADFGRYPKSMSTLRKDVVAPYVHVVDSFTDDEISDPFESRTTLLFFRGNTVRKDEGRVRAKLEKILASYDDVHFERSTPTTQTIKVSTQGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDQIELPYEDEIDYSQFSIFFSVNEAIQPGFIINQLRQFPKDRWIKMWRQLKNISHHFEFQYPPKKEDAIDMLWRQVKHKLPSVKLSVHRSRRLKVPDWWQRRR >Manes.12G012500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1251447:1255565:-1 gene:Manes.12G012500.v8.1 transcript:Manes.12G012500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKVIFSIGFIFLLVFSYSIFIGTLDFRSYFLPGLQQLPIGAVSVCATGPRLKVYMYELPRRFHVGMMDHKSKIDDDVPVTADNLPRWPKNVGIKKQHSVEYWLMASLLYDGGKEEREVVRVLDPEAADAFFVPFFSSLSFNTHGHNMTDPETEKDRQLQEGRVRAKLEKILASYDDVHFERSTPTTQTIKVSTQGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDQIELPYEDEIDYSQFSIFFSVNEAIQPGFIINQLRQFPKDRWIKMWRQLKNISHHFEFQYPPKKEDAIDMLWRQVKHKLPSVKLSVHRSRRLKVPDWWQRRR >Manes.02G011600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1171668:1173781:-1 gene:Manes.02G011600.v8.1 transcript:Manes.02G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISCLRPPCIVPCSLLPVSFMGSSSLLQNPQNDSCKIVKDLRCWKERRLGGKKRGGIVCAASLLPVDPWAPSIDSQSIASQLFAFSLFPYIGFLYFITKSKSAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRQALRYNTRDTQKGTSNLVPAIKEEEKSST >Manes.17G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30431786:30438447:-1 gene:Manes.17G097400.v8.1 transcript:Manes.17G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEYLVKVEESRPASDGKPAAGPVFRCIYAKDGLLEVPAGLESPWKFFSDSALKNPNNKMLGRRQLTDSKVGPYVWLTYKEVYDSAIRIGSSMRSRGLNPGDRCGIYGSNCPEWITAMEACNSQAITYVPLYETLGPNAVEFIINHAEVSLAFVQETKLSSILPCLPNCNSYLKTIVSFGSVSSMQKKEAEEQGVSCFSWEEFCQLGSLDCELPPKQKTDICTIMYTSGTTGEPKGVILTNGAIMAEVLSVDHLLFLTDRVATEEDSYFSFLPLAHVYDQIIETYCIYRGASIGFWRGDVRYLIEDAQELKPSIFCGVPRVYDRIYTGTMSKISSGGALRKKLFDLAYNYKLGNLEKGLPQAQAAPLLDRLVFEKTKQTLGGRVRIMLSGAAPLPRHVEEFLRVTSCSTLSQGYGLTESCGGCLTSIGDVFPMVGTVGVPMTTIEVRLESVPEMGYDALSGLPQGEICIRGNSLFSGYHKRDDLTKEVLIDGWFHTGDIGELQPNGAMKIIDRKKNIFKLSQGEYVAVENLENTYTRCPLVSSIWIYGNSFESFLVAVVIPERQALEDWAATHNVTEDFKYLCKNLKARKYILDELNSTGQKHKLRGFEMLKAIHLEPHPFDMERDLITPTFKLKRPQLLKYYKGCIDQLYSEAKGLKA >Manes.16G066100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26918221:26923062:1 gene:Manes.16G066100.v8.1 transcript:Manes.16G066100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFGEEKALSRTSNKNQVETTSIKKGWENNPDYKNKFPPTSKSREYQNAGSCMFCMTKDGCRTVRSKSKLMNVLVEKGKPQEAESIFDSLIEGGHKPSLIAYTTLLAALTMQKRFNSIHSIISRVEENGMKPDSIFLNAVINAFSESGNMEEAMETFWKMKEIGMKPTTSTYNTLIKGYGIAGKPEESVKLLDLMTREGDVKPNLRTYNVLVRVWCNKKNIAEAWNVVHKMVASGMQPDVVTYNTLATAYAQKGETNLAEEMISEMQNNGLHPNERTCGIIISGYCKEGRINEALRFVYRMKELGLHPNLVVLNSLIKGFVDIMDRDGVDEVLKLMEEFNVKPDVITFSTIMNAWSTAGFMEKCREIFDDMVKAGIEPDAHAYSILAKGYVRAQEPEKAEELLTTMIKSGFHLNVVIFTTVISGWCSAGRTEDAIRVFDKMCEYGISPNLKTFETLIWGFSEAKQPWKAEEILQIMPAFKVEPERSTILLVAEAWRAIGLTKEATRMLSTIKRNQSIHHKDTVEEDIPVESLEKLYQKQNTSVSYSNLLRVPSTVTSDQKGSSAALKKGRMVLRDAEFSMEYSWLANKSMCLSHTCKFGARLPIICQKQYQRQNGMCAQLAQSCTAVFLN >Manes.16G066100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26918221:26923062:1 gene:Manes.16G066100.v8.1 transcript:Manes.16G066100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFGEEKALSRTSNKNQVETTSIKKGWENNPDYKNKFPPTSKSREYQNAGSCMFCMTKDGCRTVRSKSKLMNVLVEKGKPQEAESIFDSLIEGGHKPSLIAYTTLLAALTMQKRFNSIHSIISRVEENGMKPDSIFLNAVINAFSESGNMEEAMETFWKMKEIGMKPTTSTYNTLIKGYGIAGKPEESVKLLDLMTREGDVKPNLRTYNVLVRVWCNKKNIAEAWNVVHKMVASGMQPDVVTYNTLATAYAQKGETNLAEEMISEMQNNGLHPNERTCGIIISGYCKEGRINEALRFVYRMKELGLHPNLVVLNSLIKGFVDIMDRDGVDEVLKLMEEFNVKPDVITFSTIMNAWSTAGFMEKCREIFDDMVKAGIEPDAHAYSILAKGYVRAQEPEKAEELLTTMIKSGFHLNVVIFTTVISGWCSAGRTEDAIRVFDKMCEYGISPNLKTFETLIWGFSEAKQPWKAEEILQIMPAFKVEPERSTILLVAEAWRAIGLTKEATRMLSTIKRNQSIHHKDTVEEDIPVESLEKLYQKQNTSVSYSNLLRVPSTVTSDQKGSSAALKKGRMVLRDAEFSMEYSWLANKSMCLSHTCKFGARLPIICQKQYQRQNGMCAQLAQSCTAVFLN >Manes.16G066100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26919944:26923062:1 gene:Manes.16G066100.v8.1 transcript:Manes.16G066100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFGEEKALSRTSNKNQVETTSIKKGWENNPDYKNKFPPTSKSREYQNAGSCMFCMTKDGCRTVRSKSKLMNVLVEKGKPQEAESIFDSLIEGGHKPSLIAYTTLLAALTMQKRFNSIHSIISRVEENGMKPDSIFLNAVINAFSESGNMEEAMETFWKMKEIGMKPTTSTYNTLIKGYGIAGKPEESVKLLDLMTREGDVKPNLRTYNVLVRVWCNKKNIAEAWNVVHKMVASGMQPDVVTYNTLATAYAQKGETNLAEEMISEMQNNGLHPNERTCGIIISGYCKEGRINEALRFVYRMKELGLHPNLVVLNSLIKGFVDIMDRDGVDEVLKLMEEFNVKPDVITFSTIMNAWSTAGFMEKCREIFDDMVKAGIEPDAHAYSILAKGYVRAQEPEKAEELLTTMIKSGFHLNVVIFTTVISGWCSAGRTEDAIRVFDKMCEYGISPNLKTFETLIWGFSEAKQPWKAEEILQIMPAFKVEPERSTILLVAEAWRAIGLTKEATRMLSTIKRNQSIHHKDTVEEDIPVESLEKLYQKQNTSVSYSNLLRVPSTVTSDQKGSSAALKKGRMVLRDAEFSMEYSWLANKSMCLSHTCKFGARLPIICQKQYQRQNGMCAQLAQSCTAVFLN >Manes.10G064700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9131760:9133761:1 gene:Manes.10G064700.v8.1 transcript:Manes.10G064700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGSVRGISLKLQEEERERRMDFVPEESAIKIDEIKVDKETIDMLAALGMSDIPGLVEFEPQPLVPSQVFGRGPAGAPGRRF >Manes.S019621.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:198718:199530:-1 gene:Manes.S019621.v8.1 transcript:Manes.S019621.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G180600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23272767:23273459:1 gene:Manes.15G180600.v8.1 transcript:Manes.15G180600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGTEAFPDLGRHCQHSDCNQLDFLPFKCQGCQKVFCLEHRSYKSHDCPKSDHNSRKVVVCEICSTSIETTGRDEDDEKLILEKHLKSGNCDPKKKKKPTCAVRRCKEILTFSNTCICKTCELKVCLKHRFPADHSCNKASSTPAATAASGGLFNNKFLAALASRMGKDWAKDQPSSSKTKSNTLSVKVF >Manes.02G217700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30694138:30697113:1 gene:Manes.02G217700.v8.1 transcript:Manes.02G217700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDSKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYVKLKSENRIAPDGVNAKLLGCHGPLANRQPGRAFLPATA >Manes.02G217700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30694358:30697121:1 gene:Manes.02G217700.v8.1 transcript:Manes.02G217700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDSKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYVKLKSENRIAPDGVNAKLLGCHGPLANRQPGRAFLPATA >Manes.14G159800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:22511907:22518078:-1 gene:Manes.14G159800.v8.1 transcript:Manes.14G159800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYNAINLLCKRNFFMGATGFYKVARRNKSILSSKSYYWTIRRLFGEGKFQESQPFLCSFIKEDGLFEPKVSRILLHYLCLKDVNSALYFLNKIKENDVAITLPISALRALTKIGCVLTAYRLVVGAKDDLPVMDVVDYSIMVDGLCKIGHPIKALKLCTLAELKGIVLNIITYNSVINGLCNQGCFVEAFRLFDSLERIDLAPSEITYSILIDNLCKEGCLQDAKQLFERMILRGYEANIRIYNSFLNGYCNFGQFEEALGILKNIQIEHLDPDEFTISSMINGYCQKGDLEGALNFFIECNQKGTSPDLLGFLQLMRGLCAKGRMEEARSILREMLQSKSVMEMLNKVNTGVETESMQSFLIFLCQQGSIKEAVTVLNEVVSMFFPVQKCGPCESQKLETLPESEAFNIFASRTISQEGNEFDVLDCYIREDDKMVEKNDEFGRSEIEFFDSYYSLIASLCSRGKMSEANRLAKEILSFALS >Manes.14G159800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:22481463:22518078:-1 gene:Manes.14G159800.v8.1 transcript:Manes.14G159800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYNAINLLCKRNFFMGATGFYKVARRNKSILSSKSYYWTIRRLFGEGKFQESQPFLCSFIKEDGLFEPKVSRILLHYLCLKDVNSALYFLNKIKENDVAITLPISALRALTKIGCVLTAYRLVVGAKDDLPVMDVVDYSIMVDGLCKIGHPIKALKLCTLAELKGIVLNIITYNSVINGLCNQGCFVEAFRLFDSLERIDLAPSEITYSILIDNLCKEGCLQDAKQLFERMILRGYEANIRIYNSFLNGYCNFGQFEEALGILKNIQIEHLDPDEFTISSMINGYCQKGDLEGALNFFIECNQKGTSPDLLGFLQLMRGLCAKGRMEEARSILREMLQSKSVMEMLNKVNTGVETESMQSFLIFLCQQGSIKEAVTVLNEVVSMFFPVQKCGPCESQKLETLPESEAFNIFASRTISQEGNEFDVLDCYIREDDKMVEKNDEFGRSEIEFFDSYYSLIASLCSRGKMSEANRLAKEILSFALS >Manes.14G159800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:22512109:22513566:-1 gene:Manes.14G159800.v8.1 transcript:Manes.14G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYNAINLLCKRNFFMGATGFYKVARRNKSILSSKSYYWTIRRLFGEGKFQESQPFLCSFIKEDGLFEPKVSRILLHYLCLKDVNSALYFLNKIKENDVAITLPISALRALTKIGCVLTAYRLVVGAKDDLPVMDVVDYSIMVDGLCKIGHPIKALKLCTLAELKGIVLNIITYNSVINGLCNQGCFVEAFRLFDSLERIDLAPSEITYSILIDNLCKEGCLQDAKQLFERMILRGYEANIRIYNSFLNGYCNFGQFEEALGILKNIQIEHLDPDEFTISSMINGYCQKGDLEGALNFFIECNQKGTSPDLLGFLQLMRGLCAKGRMEEARSILREMLQSKSVMEMLNKVNTGVETESMQSFLIFLCQQGSIKEAVTVLNEVVSMFFPVQKCGPCESQKLETLPESEAFNIFASRTISQEGNEFDVLDCYIREDDKMVEKNDEFGRSEIEFFDSYYSLIASLCSRGKMSEANRLAKEILSFALS >Manes.17G021500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12592714:12597822:-1 gene:Manes.17G021500.v8.1 transcript:Manes.17G021500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEPIKELGSGNFGVARLVRDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYAAGGELFARICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKISDVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYSIPDYVRVSADCKHLLSRIFVANPAKRITIPEIKQHPWFLKNFPKELIEIEKKNYAESERDQPSQSVDEVMRIIQEAKTPGEGAKLSEQAIAGTSDDLDADLESEIDVSGDMEPPI >Manes.17G021500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12592714:12597822:-1 gene:Manes.17G021500.v8.1 transcript:Manes.17G021500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEPIKELGSGNFGVARLVRDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYAAGGELFARICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKISDVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYSIPDYVRVSADCKHLLSRIFVANPAKRITIPEIKQHPWFLKNFPKELIEIEKKNYAESERDQPSQSVDEVMRIIQEAKTPGEGAKLSEQAIAGTSDDLDADLESEIDVSGDMEPPI >Manes.17G021500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12592714:12597822:-1 gene:Manes.17G021500.v8.1 transcript:Manes.17G021500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEPIKELGSGNFGVARLVRDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYAAGGELFARICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKISDVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYSIPDYVRVSADCKHLLSRIFVANPAKRITIPEIKQHPWFLKNFPKELIEIEKKNYAESERDQPSQSVDEVMRIIQEAKTPGEGAKLSEQAIAGTSDDLDADLESEIDVSGDMEPPI >Manes.17G021500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12592713:12597822:-1 gene:Manes.17G021500.v8.1 transcript:Manes.17G021500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEPIKELGSGNFGVARLVRDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYAAGGELFARICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKISDVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYSIPDYVRVSADCKHLLSRIFVANPAKRITIPEIKQHPWFLKNFPKELIEIEKKNYAESERDQPSQSVDEVMRIIQEAKTPGEGAKLSEQAIAGTSDDLDADLESEIDVSGDMEPPI >Manes.05G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4789998:4793975:1 gene:Manes.05G057200.v8.1 transcript:Manes.05G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDRGGVAGASSRSEIERKRINDALDKHLEKSSPSTSRALNSKDKERLSVPSSSTGKSQLDHRDPRSGALSKNKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSVMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKATQSYVPRVFGFKLHKP >Manes.05G057200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4789998:4792582:1 gene:Manes.05G057200.v8.1 transcript:Manes.05G057200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDRGGVAGASSRSEIERKRINDALDKHLEKSSPSTSRALNSKDKERLSVPSSSTGKSQLDHRDPRSGALSKNKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSVMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGSILLFVLCQSFVALRF >Manes.15G058900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4509821:4519273:-1 gene:Manes.15G058900.v8.1 transcript:Manes.15G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSCLTFSTSKTISQFCRRLSKFRIISHMGFSHFTKQRLRNDHKSAAVTNSLTPGTNMEKSIYAILTVERWESLNHMEYKLAALRPVHGRLALKFLNWVIRQPGLELGHLIHIFSITAHILVRARMHNHAKSILKHLSQIDIDSRSVFSALMSTYQFCKSNPAIFDLLIRSYLREGMVSDALKSFYLMGFRGFNPSVYTCNKMLGAMVKEQRVGMVWLVFKEMLARRICPNVATFNILINILCVEGKIKKADYLLRKMRESGYMPNVVTYNTVLNWYCKKRQYKTAFELIKQMESVGIEADVCTYNMLIDDLCRNNRSAKGYLLLKKMRKKMISPNEVTYNTLINGFVKERKMEVATRVFDEMYMLNILPNKVTYNALIDGHCYEGNFEKAFRLLDMMEARGLRPNEVSYCALLNGLCRHAKFELARSLLERMRMDGMIIGCIAYTTIIDGLCKNGLLNESVQLLDKMLKDGASPDAITFSVLINGFCRVGKFKNVKELICKMYKAGFSPNNIIYATLIYNYCKLGNVMEAFKVYAAMARNGYDASYFVCNVLVSSLCKDGKVGEAEDFLHHLHRIDIIPHSITFDCIINGYGNLGNGLKAFSMFDEMIQLGHHPSHFTYGGLLKGLCKGGNLEEAKKLLDKLHYIPYAVSTATYNTILAGACKWGRLSDAVALFDEMVQCNVLPDSYTYAIILAGLSRRGKLVAALLFLGELLAKGTLSPDKVIYTSLVDGLFKVGQSKAAMYVYEEMENNGLNPDTVAINAIIDGYSRMGKVAKGGDLFTMMWNGSMASPSLATYNILLHGHAKKKDLPNCFNLYKLMMRMGILPNKLTYHSLILGLCECFMLEIGLKMLKKMIIEGIVVDQCTFNILIMKCCETGEMGKAFDLLNIMNLSGVFPDVNTLNSILNGLNRISAVQESHLVLREMLESGSIPQCTQYITLINNMCRMGDIQGAFKLKDEMEAFGVSSPSVAESAMARALAKCGKVEEAKLVLDFMLQKSLIPTRATFTTLMHMYCVNNNLLEALKLRNTMEIWGVKLDVVAYNVLISGLCADGDVASGFKLYEEMKERGFLPNMTTYHVLIDSIFKDGSIILKGEILLKDLQARGAISNHWNGGIRQGLIIALNKLKSWRQNRSR >Manes.17G052200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24888072:24892330:1 gene:Manes.17G052200.v8.1 transcript:Manes.17G052200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGVLKDQIQNWLRDYDRLQYLAVILIYIQIGCALIGSLGASYNGVLLVNLGIALFALVAIESSSQSLGRTYAVLLFCSILLDISWFILFARDIWNISSEKYGMFFIFSVKLTLAMQIVGFSVRLSSSLLWIQIYRLGVSYVESSGPREADFDLRNSFLSPVTPAAARESSDSDVALGGSVYYPAYYSPLFEDGRNNGYLHLDQSSSIDNGSTSSAEISQLKVSMGRSFQTIDISRLLNGDYGFERTICVSIMDFGVSTSDSLLLLLQKEMDLKSSF >Manes.17G052200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24888072:24892348:1 gene:Manes.17G052200.v8.1 transcript:Manes.17G052200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGVLKDQIQNWLRDYDRLQYLAVILIYIQIGCALIGSLGASYNGVLLVNLGIALFALVAIESSSQSLGRTYAVLLFCSILLDISWFILFARDIWNISSEKYGMFFIFSVKLTLAMQIVGFSVRLSSSLLWIQIYRLGVSYVESSGPREADFDLRNSFLSPVTPAAARESSDSDVALGGSVYYPAYYSPLFEDGRNNGYLHLDQSSSIDNGSTSSAEISQLKVSMGRSFQTIDEENATGEPQTV >Manes.17G052200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24888069:24892336:1 gene:Manes.17G052200.v8.1 transcript:Manes.17G052200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGVLKDQIQNWLRDYDRLQYLAVILIYIQIGCALIGSLGASYNGVLLVNLGIALFALVAIESSSQSLGRTYAVLLFCSILLDISWFILFARDIWNISSEKYGMFFIFSVKLTLAMQIVGFSVRLSSSLLWIQIYRLGVSYVESSGPREADFDLRNSFLSPVTPAAARESSDSDVALGGSVYYPAYYSPLFEDGRNNGYLHLDQSSSIDNGSTSSAEISQLKVSMGRSFQTIDEENATGEPQTV >Manes.17G052200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24888072:24892330:1 gene:Manes.17G052200.v8.1 transcript:Manes.17G052200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGVLKDQIQNWLRDYDRLQYLAVILIYIQIGCALIGSLGASYNGVLLVNLGIALFALVAIESSSQSLGRTYAVLLFCSILLDISWFILFARDIWNISSEKYGMFFIFSVKLTLAMQIVGFSVRLSSSLLWIQIYRLGVSYVESSGPREADFDLRNSFLSPVTPAAARESSDSDVALGGSVYYPAYYSPLFEDGRNNGYLHLDQSSSIDNGSTSSAEISQLKVSMGRSFQTIDISRLLNGDYGFERTICVSIMDFGVSTSDSLLLLLQKEMDLKSSF >Manes.17G052200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24888069:24892348:1 gene:Manes.17G052200.v8.1 transcript:Manes.17G052200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCGVLKDQIQNWLRDYDRLQYLAVILIYIQIGCALIGSLGASYNGVLLVNLGIALFALVAIESSSQSLGRTYAVLLFCSILLDISWFILFARDIWNISSEKYGMFFIFSVKLTLAMQIVGFSVRLSSSLLWIQIYRLGVSYVESSGPREADFDLRNSFLSPVTPAAARESSDSDVALGGSVYYPAYYSPLFEDGRNNGYLHLDQSSSIDNGSTSSAEISQLKVSMGRSFQTIDEENATGEPQTV >Manes.05G139450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25690115:25697730:1 gene:Manes.05G139450.v8.1 transcript:Manes.05G139450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDVLDILLQLWKNRSFKVALTFDHIKAVLMNVFVGGTDTGAATVVWAMTILMKNPIAMKKAQEEIRKLVGKKGFVEEDDVQQLPYLKAVIKETMRLQPTVPLLVPRESTEDCVLYGYDIAAKTVVYVNARAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFEAGRRICPGIFMGLATVEVSLANLLYKFDWEMPVGMKKEDLDMDVQPGITMHKVL >Manes.09G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26393435:26398983:1 gene:Manes.09G087100.v8.1 transcript:Manes.09G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDARDEEAPLVVDNLRHTSKNHTRDIHILSSAFLLVFLAFGAAQNLETTVNTEQGLGTISLGILYLSFTFFSTVASLVVRFLGSRNAMILGTTGYWFFIAANLLPTWYTMVPASLYLGFAASIIWVGQGTYLTSSARSHATDYHLQEGTVIGNFNGEFWGMFASHQFVGNLISLAILRDGTEGRTSGTTLLFIAFLCIVTLGIILMFFLSKRDAKRERLEDSSVSIYHSLISLSKSVVTPLLDIRMILVIPLIAYSGLQQAFVWAEFTKDIVTPAIGVSGVGGAMAVYGAFDAICSLTAGRLTSGLPSITWIVSAGAFLHAIVFLWILLKYSLTSGILGVTYPLLMAAMLGIGDGVLNTQLSALLGILFKHDMEGAFAQLKLWQSASIAVVFFVNSYISLHAMVVIMLAALLVSIAGFLFLVFKIEKAFSMPSS >Manes.14G030800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750191:2757165:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKKRVLLEENTILREKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750290:2757133:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKKRVLLEENTILREKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750290:2757133:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKKRVLLEENTILREKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750191:2757165:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKKRVLLEENTILREKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750290:2757133:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINILVYVNLCRVWFFMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKKRVLLEENTILREKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750290:2757133:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750191:2757165:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750191:2757133:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQDMGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750290:2757133:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750191:2757133:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQDMGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKKRVLLEENTILREKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.14G030800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2750191:2757165:1 gene:Manes.14G030800.v8.1 transcript:Manes.14G030800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQMKRIENAASRQVTFSKRRNGLLKKAYELSVLCDAEVAVLIFSQKGRLFEFSSNDMQKTVERYRKHPKAHVQADSVDKEQYIQQIISESTEMVNEIEQLEISQRKLLGRGLNSCSLEELQDMHNLLEKSLSNIRARKDEFFKEKMEKLQEKCGEKPWPHPTQQKEAVKNLSSSRKNSEVETELSIGFPKPKLH >Manes.18G112080.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11245386:11250953:-1 gene:Manes.18G112080.v8.1 transcript:Manes.18G112080.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIWVFDFLASALPPSYIPHSFLSHPAIPLVQFQGLVKMASTSSSIVASKTTYDVFLSFRGPDTRKNITSHLYAGLLRNHITTFIDVSLDKGEEISVNLLKTIEESKISLIIFSENYASSRWCLDELVKIMECMKTMGRKVFSVFYDVDPSNVRNQTGNFGDAFGEVKERFKDSFDRVQRWSSALTEAANLSGWDLSKYRHESELCDAVVNEIKKKLYPMSFTVSSQLVGIDSHIAEILSLLCIESTDVRFIGIWGMGGIGKTTIAEALTSQISDRFDACYFFSSVREKSSKHELVHLQKSLLSKLLLDEDLGIQMLHALPTFVIDRLRRKKVFVILDDVNESIQLDALAGDHDWFGPGSRLVVTSRDKEVLNYRCDKIYEVKGLKFSDALQLFSIKAFKQKHPLEDFMELSKRAVDYAKGVPLALKVLGSHLCKKSPKECEIVLRKLKQYPDGNILKIMRVSYDGLDQMEKDIFLDIACFFNRKRKCWVENILDGCDFPSSWGLIRLQEKCLITIVNNMLGMHDLIREMGQYIARQRCSRLWNPKDICHMLATNKGREAIEGIFLNVSNMEMMQLSHVAFSQMHNLRLLKLFRPRNWPENKALGSMFESSQTNHLSHLSNKLSLLHWDEYPYKSLPSNFSMHNLVELDMEGSRIEQLWDGDKYPQKLKRLNLSESVHLKRLPSLSLATELEWIILQGCESLLEIPSSIECLQKLAILNLHGCKKIRSLPNLTQLRSLVELWLSYCSNLKMLPEIPIGIELLDLEGCGLEELPLFLKDLNRCHLEIRNCKNLTSLPRCLHKCVWKLDLSGCSNLKNFPEIIGNVKELVLSQTAIEELPSSIKFLTSLVVLNMEKCERLKSISSGICELKRLKKLVLSGCTKLVKFPTLYGLCSLRELYLHDTALEEIPVDICELKCIEKLVLSGCAKLEKLPPLYGLCSLRELYLDDTALVELPTDICELKCLEKLVLSGCVKLEKLPPLYSLCSLTKLYLDGTALVEIPIDICELKCLEELVLSRCTKLEKLPPLYGLCSLRSLFLNDSALVEIPIDILSLSSLRTVCLKNCKRLQGLPELPFQLQNLNAINCTSLETAVSTSYIAPMEEHKDERRNHYRFNYCNCINLDQKSRGNILADVQLRIEERAMANTTRLSLNQIFCSFGTIVIQVVN >Manes.18G112080.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11245386:11250953:-1 gene:Manes.18G112080.v8.1 transcript:Manes.18G112080.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIWVFDFLASALPPSYIPHSFLSHPAIPLVQFQGLVKMASTSSSIVASKTTYDVFLSFRGPDTRKNITSHLYAGLLRNHITTFIDVSLDKGEEISVNLLKTIEESKISLIIFSENYASSRWCLDELVKIMECMKTMGRKVFSVFYDVDPSNVRNQTGNFGDAFGEVKERFKDSFDRVQRWSSALTEAANLSGWDLSKYRHESELCDAVVNEIKKKLYPMSFTVSSQLVGIDSHIAEILSLLCIESTDVRFIGIWGMGGIGKTTIAEALTSQISDRFDACYFFSSVREKSSKHELVHLQKSLLSKLLLDEDLGIQMLHALPTFVIDRLRRKKVFVILDDVNESIQLDALAGDHDWFGPGSRLVVTSRDKEVLNYRCDKIYEVKGLKFSDALQLFSIKAFKQKHPLEDFMELSKRAVDYAKGVPLALKVLGSHLCKKSPKECEIVLRKLKQYPDGNILKIMRVSYDGLDQMEKDIFLDIACFFNRKRKCWVENILDGCDFPSSWGLIRLQEKCLITIVNNMLGMHDLIREMGQYIARQRCSRLWNPKDICHMLATNKGREAIEGIFLNVSNMEMMQLSHVAFSQMHNLRLLKLFRPRNWPENKALGSMFESSQTNHLSHLSNKLSLLHWDEYPYKSLPSNFSMHNLVELDMEGSRIEQLWDGDKYPQKLKRLNLSESVHLKRLPSLSLATELEWIILQGCESLLEIPSSIECLQKLAILNLHGCKKIRSLPNLTQLRSLVELWLSYCSNLKMLPEIPIGIELLDLEGCGLEELPLFLKDLNRCHLEIRNCKNLTSLPRCLHKCVWKLDLSGCSNLKNFPEIIGNVKELVLSQTAIEELPSSIKFLTSLVVLNMEKCERLKSISSGICELKRLKKLVLSGCTKLVKFPTLYGLCSLRELYLHDTALEEIPVDICELKCIEKLVLSGCAKLEKLPPLYGLCSLRELYLDDTALVELPTDICELKCLEKLVLSGCVKLEKLPPLYSLCSLTKLYLDGTALVEIPIDICELKCLEELVLSRCTKLEKLPPLYGLCSLRSLFLNDSALVEIPIDILSLSSLRTVCLKNCKRLQGLPELPFQLQNLNAINCTSLETAVSTSYIAPMEEHKDERRNHYRFNYCNCINLDQKSRGNILADVQLRIEERAMANTTRNRKEDFPNSSFGLPGSEIPEWFTYQNSGSSIDVLLPPSCFNSKFLGLAFCVVLQFRDTVVTLSHRLTFTCKCHFRNSEVN >Manes.18G112080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11245386:11250953:-1 gene:Manes.18G112080.v8.1 transcript:Manes.18G112080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIWVFDFLASALPPSYIPHSFLSHPAIPLVQFQGLVKMASTSSSIVASKTTYDVFLSFRGPDTRKNITSHLYAGLLRNHITTFIDVSLDKGEEISVNLLKTIEESKISLIIFSENYASSRWCLDELVKIMECMKTMGRKVFSVFYDVDPSNVRNQTGNFGDAFGEVKERFKDSFDRVQRWSSALTEAANLSGWDLSKYRHESELCDAVVNEIKKKLYPMSFTVSSQLVGIDSHIAEILSLLCIESTDVRFIGIWGMGGIGKTTIAEALTSQISDRFDACYFFSSVREKSSKHELVHLQKSLLSKLLLDEDLGIQMLHALPTFVIDRLRRKKVFVILDDVNESIQLDALAGDHDWFGPGSRLVVTSRDKEVLNYRCDKIYEVKGLKFSDALQLFSIKAFKQKHPLEDFMELSKRAVDYAKGVPLALKVLGSHLCKKSPKECEIVLRKLKQYPDGNILKIMRVSYDGLDQMEKDIFLDIACFFNRKRKCWVENILDGCDFPSSWGLIRLQEKCLITIVNNMLGMHDLIREMGQYIARQRCSRLWNPKDICHMLATNKGREAIEGIFLNVSNMEMMQLSHVAFSQMHNLRLLKLFRPRNWPENKALGSMFESSQTNHLSHLSNKLSLLHWDEYPYKSLPSNFSMHNLVELDMEGSRIEQLWDGDKYPQKLKRLNLSESVHLKRLPSLSLATELEWIILQGCESLLEIPSSIECLQKLAILNLHGCKKIRSLPNLTQLRSLVELWLSYCSNLKMLPEIPIGIELLDLEGCGLEELPLFLKDLNRCHLEIRNCKNLTSLPRCLHKCVWKLDLSGCSNLKNFPEIIGNVKELVLSQTAIEELPSSIKFLTSLVVLNMEKCERLKSISSGICELKRLKKLVLSGCTKLVKFPTLYGLCSLRELYLHDTALEEIPVDICELKCIEKLVLSGCAKLEKLPPLYGLCSLRELYLDDTALVELPTDICELKCLEKLVLSGCVKLEKLPPLYSLCSLTKLYLDGTALVEIPIDICELKCLEELVLSRCTKLEKLPPLYGLCSLRSLFLNDSALVEIPIDILSLSSLRTVCLKNCKRLQGLPELPFQLQNLNAINCTSLETAVSTSYIAPMEEHKDERRNHYRFNYCNCINLDQKSRGNILADVQLRIEERAMANTTRNRKEDFPNSSFGLPGSEIPEWFTYQNSGSSIDVLLPPSCFNSKFLGLAFCVVLQFRDTVVTLSHRLTFTCKCHFRNSEGKREVNIFAFLWPLETVPESDLLFLWDNCNSSSELNDWVKENCCNVNEASFEFKVTLDLLMGKEIVELKMERCGVQLLHAKDEPQHVAANPDSLEQVIETNINNKRSRENISQVDQSNIITAGAPVHEEEESHPKRVK >Manes.06G162400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29000317:29005434:1 gene:Manes.06G162400.v8.1 transcript:Manes.06G162400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQDISCNGAGSSSCGDVEAAAALTREEGGGSMYLVWEDLTVLLPNFSEGPTRRLLNGLNGYAEPGKIMAIMGPSGSGKSTLLDALAGRLSRNVIMTGNVLVNGKKRRLGYGGVAYVTQENTLLGTLTVRETLTYSAHLRLPSSMTREEIEGIVQGTIMEMGLQDCADRRIGNWHLRGISGGEKKRLSIALEILIRPYLLFLDEPTSGLDSASAFFVVQTLRNIARDGRTVISSIHQPSSEVFALFDNLFLLSSGEVVYFGETKMAVEFFAEAGFPCPSRRNPSDHFLRCINSDFDRVTATLMGSQREIQTSSDSFANLPTAEIKAVLVRKYRLSSHAAKAKSRIREILATKGLEIKRKRESHANWRKQLSILTQRSFTNMWRDLGYYWVRIGIYLVLSICVGTVFSGVGKGYTAILARGACGGFISGFMTFMSIGGFPSFIEELKVFSKERLNGHYGVGLYILSNSLASFPYLTVMSVATASIIFYMVKFRPEFSHLVYACLDLMASIAAVESSMMTIASLVPNFLMGVIIGAGYVGIMMITSGFFRRLPDLPKVFWRYPVSYINYGAWGLQGLYKNDMIGLEFDPLVPGSPKLKGEFVLRTMIGIDVNHSKWWDLAFVVVILIAFRVLFYGILKFKERAMPVFHKLYAKRTIKHLKKRPSFRKSSPFPSKRHQTLHSLSSQEGLNSPIH >Manes.15G095900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484574:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKDLWNMAIAMVFKDNKLSSHSKVIDTLMTS >Manes.15G095900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484574:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKGFTPRSSSICKFVNSLCQEGNEGLLWSVLNSLIKANVIDTDLWNMAIAMVFKDNKLSSHSKVIDTLMTS >Manes.15G095900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484574:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKDLWNMAIAMVFKDNKLSSHSKVRTRGTEDPSSESSCFGVVRVCQR >Manes.15G095900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484752:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKDLWNMAIAMVFKDNKLSSHSKVRTRGTEDPSSESSCFGVVRVCQRLAGTGYSRSPDRVKKSCLCNS >Manes.15G095900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484574:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKGFTPRSSSICKFVNSLCQEGNEGLLWSVLNSLIKANVIDTDLWNMAIAMVFKDNKLSSHSKVRTRGTEDPSSESSCFGVVRVCQRLAGTGYSRSPDRVKKSCLCNS >Manes.15G095900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484574:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKDLWNMAIAMVFKDNKLSSHSKVIDTLMTS >Manes.15G095900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484753:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKDLWNMAIAMVFKDNKLSSHSKVIDTLMTS >Manes.15G095900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484752:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKDLWNMAIAMVFKDNKLSSHSKVIDTLMTS >Manes.15G095900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484753:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKGSDPRNRGPQQ >Manes.15G095900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7479292:7484752:1 gene:Manes.15G095900.v8.1 transcript:Manes.15G095900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKPINSFRLTSLLRLQKDPKLALHLFQNPNPQIPQKRFRYSLLAYDLIITKLGRAKMLDEMEKILIQLKGETSFVPKEALFCNIITYYGRARLPNNALQTFDKMPSFRCQRTIKSFNSLLNVFIMCKEFGRMRELFADIERNLKPDACTYNILIRGLCADRRLDDAWKVFDEMRKRDLFPNVVTFGILIYGLCLDLKLKEALDLKNHMARVHGVCPNTHVYASLLKGFCGAGELSSAFGLKEEMVRNGVELDSAIYSTLISGLFKSGRKEEVPGILEEMNLSGCKPDTVTYNVMINGFCKDKDFKAAHKILVEMTEKGCKPDVISYNMILGELCKDGNWSEAKDLFEDMPRRGCAPDIVSYRILFDGLCDGMQFNEAAFILDEMIFKGSDPRNRGPQQ >Manes.09G090928.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25835331:25840278:1 gene:Manes.09G090928.v8.1 transcript:Manes.09G090928.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSCGSNSKFHYSEQRHAEELARSAGYSTNEDVLLCRIYLDILQDPIIGKQQSSQRFWSRVAEAYEVAKNEFWESRNARSLQCRMQVIVKAIRKLNGCYRQVENLHLSDASEQNLLNQAKTLFMQDPSYEKGFKFDHVWSMMKDAEKFKGEYTSSDRPLGVKKAKLKKKFDESFSSALKCFHSDNEKLVESLANATAEREKERLMRSRALDLKEFKEENKILLLDLNSISDPIAHETFRQEKIRILEKRAQRQQPPPSSASNVYGQYLNDISGSRSNLSEY >Manes.09G090928.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25811660:25840299:1 gene:Manes.09G090928.v8.1 transcript:Manes.09G090928.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDHLQDLQVDKLNLVQIGFKFEHHEVFPAQKNTAASSCGSNSKFHYSEQRHAEELARSAGYSTNEDVLLCRIYLDILQDPIIGKQQSSQRFWSRVAEAYEVAKNEFWESRNARSLQCRMQVIVKAIRKLNGCYRQVENLHLSDASEQNLLNQAKTLFMQDPSYEKGFKFDHVWSMMKDAEKFKGEYTSSDRPLGVKKAKLKKKFDESFSSALKCFHSDNEKLVESLANATAEREKERLMRSRALDLKEFKEENKILLLDLNSISDPIAHETFRQEKIRILEKRAQRQQPPPSSASNVYGQYLNDISGSRSNLSEY >Manes.09G090928.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25835331:25840278:1 gene:Manes.09G090928.v8.1 transcript:Manes.09G090928.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSCGSNSKFHYSEQRHAEELARSAGYSTNEDVLLCRIYLDILQDPIIGKQQSSQRFWSRVAEAYEVAKNEFWESRNARSLQCRMQVIVKAIRKLNGCYRQVENLHLSDASEQNLLNQAKTLFMQDPSYEKGFKFDHVWSMMKDAEKFKDIAGEYTSSDRPLGVKKAKLKKKFDESFSSALKCFHSDNEKLVESLANATAEREKERLMRSRALDLKEFKEENKILLLDLNSISDPIAHETFRQEKIRILEKRAQRQQPPPSSASNVYGQYLNDISGSRSNLSEY >Manes.01G144500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33504174:33508651:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILDKETRWTEPISAMRHRLCKNLKIPAAMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQAKGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33505805:33508651:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33504174:33508651:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILDKETRWTEPISAMRHRLCKNLKIPAAMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33504174:33508651:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILDKETRWTEPISAMRHRLCKNLKIPAAMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33504174:33509191:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVPAAMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33504162:33509191:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33505981:33508651:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33504174:33509191:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVPAAMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33504174:33509148:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVPAAMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.01G144500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33504174:33508651:1 gene:Manes.01G144500.v8.1 transcript:Manes.01G144500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHIDGNVGSGGEFDAVPAAMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKNIEGWDSSYFYDESSVVSSVIDPIDYISRQPQNFLAQNFLCKQELEADNLSFMNCENFVQLPQLASPSLPLIKRPSSMSLILENNNNNSNNNEEEEQARGCNVNSNKKKVTDWRALDKFVASQLSQEDKYDGDNAVSSFGGEYGSDMSLLLLQSGRDDENKFNGFLTSSSDDCDIGICIFEK >Manes.05G202700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32728885:32731077:1 gene:Manes.05G202700.v8.1 transcript:Manes.05G202700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIRTAKYIATPGKGILAADESTGTIGKRLASISVENVESNRQALRELLFTSPNALPYLSGVILFEETLYQKTSDGKPFVEVLQENNVVPGIKVDKGTVELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPTEPSELAIQQNAQGLARYAIICQENGLVPIVEPEVLTDGPHDIKKCAYVTEIVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVAAEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATLNLNAMNKLPVLKPWTLSFSFGRALQQSTLKIWAGKKENIEKAQKAFLERCTANSEATLGKYTGGSSGGLASESLYVKGYKY >Manes.17G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26461133:26462532:-1 gene:Manes.17G064500.v8.1 transcript:Manes.17G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQLKPVASLLLVLNFCMYVIILAIGGWAVNKAIDHGFIIGPAFDLPAHFSPIYFPMGNAATGFFVTFALIAGVVGAASALAGINHIRAWNADSLPAAASVATIAWTLTLLAMGFGCKEIELHIRNARLRTMEAFLIILSATQLLYIAAIHSASTIRT >Manes.07G138300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34080468:34082916:-1 gene:Manes.07G138300.v8.1 transcript:Manes.07G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASDSVAEPVPGTPGIREVNSDMGPESFGLCSQENGANNGTTRKVGMRAEIDTSPPFGSVKEAVTRFGGSGSWIPYYKGFEEMDIKKIEEQAAALEKDLIRKELETLDVLEELGTTKRIVEELKLQLQKEALKCLTIPDEHMSSPAIKEMNKENYSFHVDYRDPRMGSLSSCTTSSPELILMELKQAKLNLGKTINDLGVIQTSVESLNKKMRKEKTFLQKTRKRITSKFAGVLSLEEELKQAREKPHVADNVITDSKSEPLKKMAEAEKSEVSRAILANEQTKTNLRTAELKLLAARKMEEAARAAEAVALAEIKALSSNETPSGFVLPEPEKIALFEVRSPLITKTQKAEGLAKKVDIAKLQKREANIHKSSVLKKLREATEEVKRSKQALEEALTKVEMSNRKQFAIEEAIRKWMPENDQERQAAYYATTLGNFHLHQPENLQDSPLNAVRASNLANDDPKPVLRSTISMRDALSRKQVIPEEYAMARPIEGCEERHKVALSQMLHELREDLAFHPRAEKDGGDQKQLLAQRRKFGFIHISLPMTKPSKKKTQDFHNVMH >Manes.01G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31803279:31805873:-1 gene:Manes.01G122200.v8.1 transcript:Manes.01G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTETALNTDLSVHSTFASRYVRASLPRFRIPENSIPKEAAFQIITDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNRRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVRLREDYYVMDPDKAVEMVDENTICIAAILGSTLNGEFEDVKRLNDLLVAKNKETGWETPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRMGFQGYKNVMENCRDNMVVLKEALGKTGMFNIISKDIGVPLVAFSLKDNSKHNEFEISDMLRRYGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVNDIQKVLHELESLPSKKVSISGDGKVVVKKTALETQREVTSVWRKFVMERKKMNVVC >Manes.06G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22458402:22460623:1 gene:Manes.06G088600.v8.1 transcript:Manes.06G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNTKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDENLLSQIKDGFAEGKDLVVTVMSSMGEEQICALKDIGPK >Manes.08G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:189502:191910:-1 gene:Manes.08G015500.v8.1 transcript:Manes.08G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGVPGPSGGRDGSSSQAGGCCG >Manes.09G133900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33418581:33420592:-1 gene:Manes.09G133900.v8.1 transcript:Manes.09G133900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNAQGSEIVDISSYLPLVSSFNESIRPLLDAVDKLRHLRVIEEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQHNPSPKLELSLEFNGNTVITDEDHVSDAINDATAVIAGCGKGISNTPLILLYIIPEESIILNVLSATVDFTTCESIRMSQKVDKTGVRTLAVVTKADKSPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEEARREEARLFETHPLLSKLDKSMVGVPVLAQKLMQIQATIISKCLPDIVRQIDDKLNANISELDKMPKKMSSVSEAMTAFISIIVSAKETLRKILVRGEFDEYPDDKKMHCTARLVEMLNEYKNTLYSCPESDPKRDFLVEEIEVFEEAQGIKLPNFLGHNVFQSILWRKVEGISKMPVRFLEQVWQYIESVVISVLLHHSSNYHQLQCSIKQAGFNIIAKMKERSTNWVVETVQMEKLTDYTSSPDYLKDWNYLMAQKQKFLDDMSKTSVVANGNLRDFGHVLNQAPQTTIQGLGVVRIGHLKNDWNVLNQAFDLKMRMVAYWKIVLWRLVDFMALHLQYSVKNLVNEEMEPEFINELMVSNGGEILKLLEESPAVSCKRVKLKMSIKKLTESKEVVAKILDKHGA >Manes.09G173900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36577996:36579940:-1 gene:Manes.09G173900.v8.1 transcript:Manes.09G173900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIGLNPAQAMSYACGFSVLDSTSHFLSSSSLYLSSFIFFCNLLCPLFYSKFLDNMPGTEPQPSFLGRISIRRNQVMDVNYDSEQEDLELFQRNVADRFTDLLSPMDDAASTETLLSISWLRKLTDVFLCCEAEFKAVFIMGRDNSQICKPPMDRLIPELLDRAVKALDICNAVSSGVESVRQYEKFAGIAVSALEQKPMDDGQVKRARKALNSLLTAMNIDDKEKDFKGADRTGSFGRRGNTNSAHKEQVLGYFRSLSMIVAKNWSASKQIQAMCYNLVPPRGGEPTGLALPVYVISCVMVLVMWVLVAAVPCQERSGLATHFQIPRHLTWAHSMLGLQEKIWEEWKKKEKKGSMGLLEEIQRMEKLTMSLIEFADGFQFPGETEKMEEVEAQVAELAEICKKMEEGLVPLQTQIREVFHRIMRSRAEVLEMLDNASRVS >Manes.09G174600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36629110:36633716:-1 gene:Manes.09G174600.v8.1 transcript:Manes.09G174600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSWSARLSSASKRYQSVLQSRSDMFIGFEEIDGDDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGRKRKSRKGGHHSTLTLLRKELREGNLQSLFGGSSCIVSSTNVAPDPLLSSFILPMADDFGSAQPSFSSETSSAKKSLDENVSARNMKSSPLSIKDQEEKAKRSEFVQGLLFSAILDDIL >Manes.09G174600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36629110:36633716:-1 gene:Manes.09G174600.v8.1 transcript:Manes.09G174600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSWSARLSSASKRYQSVLQSRSDMFIGFEEIDGDDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGNIFKMQRKRKSRKGGHHSTLTLLRKELREGNLQSLFGGSSCIVSSTNVAPDPLLSSFILPMADDFGSAQPSFSSETSSAKKSLDENVSARNMKSSPLSIKDQEEKAKRSEFVQGLLFSAILDDIL >Manes.11G050500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5965531:5968078:1 gene:Manes.11G050500.v8.1 transcript:Manes.11G050500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLTDAFRAHPVHLHHKLLDFNSLQELPDSYKWTQFDDHFHCSSTGDSFMAESIPVIDLSDPNALKMIGHACKTWGVFQVTNHGVPSSLIDNTEKVSQSLFCLPIQQKLKAARSPEGVSGYGIARISSFFSKLMWSEGFTALGSPVEHFRQLWPQDYLKFCDVIEEYQKEMQKLAARLMWLTLGSLGITTEDVKWAGPKGDFKGASGALQFNYYPACPDPDQAMGLAAHTDSTLLTILYQNNTSGLQVLREGIGWVTVPPIPGGLVINIGDLLHILSNGLYPSVLHRAVVNRTKHRLSMAYLYGPPSSVKISPLSKLVGPNQPPLYRPVTWNEYLGTKAKHFNKALSSVRVCAPFNGLVDVNDHNNRVKVG >Manes.08G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34150283:34152556:1 gene:Manes.08G103000.v8.1 transcript:Manes.08G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPQDPKIHYPSKEAESHDPSQPHQPPPKEETEKWGTHIMGSPAAPTVHPDNQKAASWNAADHQQIYQQPYLVYSPIEKPTNNPLEPVIQAFNTWSRKAEDIARNIWHNLTTGPSVSEAAWGKVNLTAKAITEGGFESLFKHIFETDPNEKLKKTFACYLSTSTGPVAGTLYLSTARVAFCSDRPLSFMAPSGQETWCYYKVMIPLNKIAQVNPVVMKENPPEKYIQMVTVDGHDFWFMGFVNFEKACHNLLDAVSSFRATATAV >Manes.06G034200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9866408:9872162:-1 gene:Manes.06G034200.v8.1 transcript:Manes.06G034200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVVFKLWICVSALLFLNGHCFYLPGVAPEDFLMGDELKVKVNKLTSTKTQLPYSYYSLPYCHPKQIVDSAENLGEVLRGDRIENSPYVFKMREPQMCKILCRITLDAKTAKEFKEKIDDEYRVNMILDNLPLVFPIPRLDQENSLVYQHGFHVGLRGQYAGSKEQKHFIHNHLAFTVKFHKDAQTDSARIVGFEVKPFSVKHEFEGEWKNENTRLTTCDPHAKRLVTNSETPQEVEDKKDIIFTYDVEFQESNVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAALGFLSPSNRGGLMTAMLILWVFMGLFAGYSSARLYKLFKGTEWKKMTLKTAFMFPAVVFAIFFVLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKILRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYAAFYFFTKLEITKPVSGMLYFGYMLIVSYAFFVLTGTIGFYACFWFTRLIYSSVKID >Manes.18G116401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11984713:12016229:1 gene:Manes.18G116401.v8.1 transcript:Manes.18G116401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASISTTSHILSSGALPHKKKISSSRCSALSLLSRSSLFRTGLVSQWGRRDSACGRVSSSVKAVLLDESKEKVRLSKGDMWSIHKFGGTCVGSSERIKNVAEIIINDGSEGKLVVVSAMSKVTDMMYDLIYKAQSRDDSYIAAADAVFEKHRLTAMDLLGGDDLASFLSRLHHDVNNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYVVRKSGLDCKWMDTREVLIVNPTSADQVDPDFVQSEKRLQEWFSRNPCKTIVATGFIASTPQNIPTTLKRDGSDFSAAIMAALLRARQVTIWTDVDGVFSADPRKVSDAVILRTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIMIRNIFNLSSPGTMICRPTEDENEDGQKLGSPVKGFATIDNIALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVADALQSRFRQALDAGRLSQVAIIPNCSILATVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEHNITVVIKREDCIRALGAVHSRFYHSQTTIAMGIIGPGLIGGTLLDQLKDQAAVLKEEFNIDLRVMGITGSRRMLLSEVGIDLSRWRELIMEAGEVADMEKFTQHVHGNHFIPNTVFVDCTADSNVASCYYDWLRKGIHVITPNKKANSGPLDQYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILQIEGIFSGTLSYIFNNFIGAESFSEVVAQAKQAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQSLVPEPLRASASAEEFMKQLPQFDQDLAKERKEAEDAGDVLRYVGVVDVVKQEGRVELRRYKKDHPFSQLSGSDNIIAFTTTRYKEQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >Manes.01G162700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34721358:34722713:-1 gene:Manes.01G162700.v8.1 transcript:Manes.01G162700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTKIYIVYYSLYGHVETMAREVQQGANSVPGVEATLWQVPETLSNVIMQKMKAPPKADDVPVIQPEQLLEADGFIFGFPSRFGVMAAQFKAFFDATHELWASQALAGKPAGIFWSTGFHGGGQELTALTAITQLAHHGMLFVPLGYTFGSGMFEMNEVKGGSSYGAGTYAAEGTRHPTELELQQAFHQGKYVAEIAKKLKG >Manes.07G014000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1723575:1726413:1 gene:Manes.07G014000.v8.1 transcript:Manes.07G014000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGDSFPQLGSVYRKGTGGSQVKRLNKDAANLNVESAHRHSGKVAAGGGGPQISAPSPWGRPNLLDKLRMQINVNARTNQNVDSKKCSISGNEFSSQQSSYIVQGQNEITPQIVSQQLDGVEESDNKNGIDYNTDEDEEIFGSSDDDELSDDDFDLYASEKSHEMRKKSKWCKGFFEDMEKLTVEELNLPRRKWHCPACKGGPGAIDWYRGLEPLMYHAMTTKTRRAKLHRLFAELLDEETRREGISVAPVGVAFGRWQGLNDKIKDFEIVWPPMVVIMNTRYEQEENGKYIGMGNQELLDHFKAYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEANRLHKHFKEQGRDRDAWDSKRVPFCSGGKRQLYGYMALKEDLQIFNQHSQGRSKLKYEMRSYQEMVEKRIKEINENSQQLVKFKDKITQEQKHSKVLADSLSRLSEELRKTIEKNRSMRQRTKLLLEENRGEMDSQEQFFKEQIKVIHQAIDTKEDDFEKLLEEKWEKVKQSNANLSATDDTNRMEDMVSFIKFQDKEMEEFEAERKKLIKNHEDKKSSIMKRYWEEILDLEKDLETELNQLMEKYKSST >Manes.07G014000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1722167:1726413:1 gene:Manes.07G014000.v8.1 transcript:Manes.07G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGDSFPQLGSVYRKGTGGSQVKRLNKDAANLNVESAHRHSGKVAAGGGGPQISAPSPWGRPNLLDKLRMQINVNARTNQNVDSKKCSISGNEFSSQQSSYIVQGQNEITPQIVSQQLDGVEESDNKNGIDYNTDEDEEIFGSSDDDELSDDDFDLYASEKSHEMRKKSKWCKGFFEDMEKLTVEELNLPRRKWHCPACKGGPGAIDWYRGLEPLMYHAMTTKTRRAKLHRLFAELLDEETRREGISVAPVGVAFGRWQGLNDKIKDFEIVWPPMVVIMNTRYEQEENGKYIGMGNQELLDHFKAYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEANRLHKHFKEQGRDRDAWDSKRVPFCSGGKRQLYGYMALKEDLQIFNQHSQGRSKLKYEMRSYQEMVEKRIKEINENSQQLVKFKDKITQEQKHSKVLADSLSRLSEELRKTIEKNRSMRQRTKLLLEENRGEMDSQEQFFKEQIKVIHQAIDTKEDDFEKLLEEKWEKVKQSNANLSATDDTNRMEDMVSFIKFQDKEMEEFEAERKKLIKNHEDKKSSIMKRYWEEILDLEKDLETELNQLMEKYKSST >Manes.10G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28237141:28255216:1 gene:Manes.10G117200.v8.1 transcript:Manes.10G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSD2 MGHGSSKSLPEVEEASHLSRLKQKLHIHGHHHHRFRRIFRRSHGKGSVSGAYCLKLLTAEDFAGIALISLISAEMKFKDKWFACVSLGEKTFRTEISDHTENPVWNSEKKLLLERNGPHVARISVFETNRISKNNLIGYCEIDLLEFLTRDLDSDFEVFELFDPSSSGIIVGKISISCSVEDPIETEKSFARRILSIMDYNEDGQLSFSEFSDLIKAFGNQVAANKKEELFKAADKNGDGVVSMDELADLLAIQQEKEPLITCCPVCGEIVEVSDRLNTVVHLSLCFDEGTGNQIMTGGFLTDKQASYGWIFKLSEWAHFSKYDVGLNSGSSASHILVFDRRTKRLVEELIDGKIVMSMRTIYQSKIGLRLIDKGAKELLISISEKQGRKMNSVESAKDIPKFIEFFKDQINLDEVKYPLEHFETFNEFFIRELKPGARPIAFMERDDIAVCAADCRLMAFKNIEDSLRFWIKGQKFSIQGLLGKEINSSTFINGSLVIFRLAPQDYHRFHVPVSGTIEKFVNIPGNLYTVNPIAVNSKYCNVFTENKRVVSIISTTEFGKVAFVAIGATMVGSITFSKKEGDYVKKGDEFGYFSFGGSTVICVFEKGAIQIDEDLLANSSRSLETLVSVGMKLGNSHKVTTV >Manes.13G145824.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36025041:36041498:1 gene:Manes.13G145824.v8.1 transcript:Manes.13G145824.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLSDNPDIDEEDAPSSPVQNGHQYPKQSRLDPPPLDDTELHQQQELDEEEEEEDDEAPHKPEEDAEGQEDEEQEEQAEEKQPAQDSEESQSSSSEDEKPEFVFVELPEVRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRQK >Manes.12G089476.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13923339:13923817:1 gene:Manes.12G089476.v8.1 transcript:Manes.12G089476.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFCMHVRPPKEVWLATYKSPSDRKWASFLPILELRVLKLGSRFGELGDFKSLGSPHLKFWVAPTLDLQEVSVDLCFSLHFMKF >Manes.14G096300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8000033:8007862:1 gene:Manes.14G096300.v8.1 transcript:Manes.14G096300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSTNTASTSRSNSTADSYLGSLISLTSKSEIRYEGVLYNINTEESSIGLKNVRSFGTEGRKKDGPQIPPSDKVYEYILFRGSDIKDLQVKSSPPFQPTPPINNDPAIIQSHYPHPVSTSASLSPAVSGSLSDIGSHNAQMGLPGSNFQGGLPLYQPGGNLGTWGASPPPPNANGSGLAMPMYWQGYYGPPNGLPHLHQQSLLRPPPGLSIPPSLQQPMQYPNYNAPLPAGASNLPLSNLPTANLSVSNLPASLSDMQSPLLSAGTSSLNLVSSSSASSTLPSTLHSVPSASLGSEILPSLIPNKTSSSALPAANLNASLQALSPLTSSGPELNTIVPPISSKPNAISGPTIPYQSTVQSMPSVVASNTLRTEAPTPSLVTPGQLLQSGPTTVPSSQPAQTAHKDVEVVQASSTLSMEPSVPVVTEGQPPILPTPVPARANNKPNGAPFHSRHGYRGRGGGRGIGSSHPVTRFTEEFDFMAMNEKFKKDEVWGHLGKSNKSHSKDREYGKGSDDDDSQYEDDDELTEIEVKPVYNKDDFFDTLSCNALDRDSQNGRTRFSEQLKIDTETFGNFSRYRGGRGGRGIPRGGRSRGSYGRGYGYGYGYGYNYGGRGRGRAMPDRAS >Manes.14G096300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7999815:8007862:1 gene:Manes.14G096300.v8.1 transcript:Manes.14G096300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSTNTASTSRSNSTADSYLGSLISLTSKSEIRYEGVLYNINTEESSIGLKNVRSFGTEGRKKDGPQIPPSDKVYEYILFRGSDIKDLQVKSSPPFQPTPPINNDPAIIQSHYPHPVSTSASLSPAVSGSLSDIGSHNAQMGLPGSNFQGGLPLYQPGGNLGTWGASPPPPNANGSGLAMPMYWQGYYGPPNGLPHLHQQSLLRPPPGLSIPPSLQQPMQYPNYNAPLPAGASNLPLSNLPTANLSVSNLPASLSDMQSPLLSAGTSSLNLVSSSSASSTLPSTLHSVPSASLGSEILPSLIPNKTSSSALPAANLNASLQALSPLTSSGPELNTIVPPISSKPNAISGPTIPYQSTVQSMPSVVASNTLRTEAPTPSLVTPGQLLQSGPTTVPSSQPAQTAHKDVEVVQASSTLSMEPSVPVVTEGQPPILPTPVPARANNKPNGAPFHSRHGYRGRGGGRGIGSSHPVTRFTEEFDFMAMNEKFKKDEVWGHLGKSNKSHSKDREYGKGSDDDDSQYEDDDELTEIEVKPVYNKDDFFDTLSCNALDRDSQNGRTRFSEQLKIDTETFGNFSRYRGGRGGRGIPRGGRSRGSYGRGYGYGYGYGYNYGGRGRGRAMPDRAS >Manes.15G048400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3765746:3767180:1 gene:Manes.15G048400.v8.1 transcript:Manes.15G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIEMRVHMDCAGCESKVKTALQKLKGVDDVDIDMGLQKVTVTGWADQKKVLKTVRKTGRRAELWQLPYNPEHHSDATYYYDQHQVNGPLNYYAPQPSSSYNYHKHGYDSSDHRYYRHPVQSSIFGHQTGAAFSDENPHGCSIM >Manes.13G146800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36268752:36274262:1 gene:Manes.13G146800.v8.1 transcript:Manes.13G146800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSSLASAPKFSSSITSLSATKRITNLLSIKPRFKLNPPSKSYSPSLFTAKPNLNPVLKPHLPSPAIRCLFTGIVEEMGEIKHLGATEDGGFDLNIRAKTVLEDVNLGDSIAVNGTCLTVTSFTNKEFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVEKEAEGDSLWVKVKVDKGLLRYVVPKGFIAVDGTSLTVVDVFDEEGCFNFMLVAYTQQKVVVPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKAS >Manes.13G146800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36268791:36269630:1 gene:Manes.13G146800.v8.1 transcript:Manes.13G146800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSSLASAPKFSSSITSLSATKRITNLLSIKPRFKLNPPSKSYSPSLFTAKPNLNPVLKPHLPSPAIRCLFTGIVEEMGEIKHLGATEDGGFDLNIRAKTVLEDVNLGDSIAVNGTCLTVTSFTNKEFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVEKEAEGDSLWVKVKVDKGLLRYVVPKGFIAVDGTSLTVVDVFDEEGCFNFMLVAYTQQKVVVPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKAS >Manes.13G146800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36268766:36274217:1 gene:Manes.13G146800.v8.1 transcript:Manes.13G146800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSSLASAPKFSSSITSLSATKRITNLLSIKPRFKLNPPSKSYSPSLFTAKPNLNPVLKPHLPSPAIRCLFTGIVEEMGEIKHLGATEDGGFDLNIRAKTVLEDVNLGDSIAVNGTCLTVTSFTNKEFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVEKEAEGDSLWVKVKVDKGLLRYVVPKGFIAVDGTSLTVVDVFDEEGCFNFMLVAYTQQKVVVPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKAS >Manes.13G146800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36268743:36274262:1 gene:Manes.13G146800.v8.1 transcript:Manes.13G146800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSSLASAPKFSSSITSLSATKRITNLLSIKPRFKLNPPSKSYSPSLFTAKPNLNPVLKPHLPSPAIRCLFTGIVEEMGEIKHLGATEDGGFDLNIRAKTVLEDVNLGDSIAVNGTCLTVTSFTNKEFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVEKEAEGDSLWVKVKVDKGLLRYVVPKGFIAVDGTSLTVVDVFDEEGCFNFMLVAYTQQKVVVPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKAS >Manes.13G146800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36268766:36274218:1 gene:Manes.13G146800.v8.1 transcript:Manes.13G146800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSSLASAPKFSSSITSLSATKRITNLLSIKPRFKLNPPSKSYSPSLFTAKPNLNPVLKPHLPSPAIRCLFTGIVEEMGEIKHLGATEDGGFDLNIRAKTVLEDVNLGDSIAVNGTCLTVTSFTNKEFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVEKEAEGDSLWVKVKVDKGLLRYVVPKGFIAVDGTSLTVVDVFDEEGCFNFMLVAYTQQKVVVPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKAS >Manes.13G146800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36268766:36274218:1 gene:Manes.13G146800.v8.1 transcript:Manes.13G146800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHSSLASAPKFSSSITSLSATKRITNLLSIKPRFKLNPPSKSYSPSLFTAKPNLNPVLKPHLPSPAIRCLFTGIVEEMGEIKHLGATEDGGFDLNIRAKTVLEDVNLGDSIAVNGTCLTVTSFTNKEFTVGLSPETLRKTSLIELEPGSLVNLERAVQPTSRMGGHFVQGHVDGTGEIVEKEAEGDSLWVKVKVDKGLLRYVVPKGFIAVDGTSLTVVDVFDEEGCFNFMLVAYTQQKVVVPLKKVGQKVNLEVDILGKYVERLLSSGFVESIKAS >Manes.17G058300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25703726:25705888:-1 gene:Manes.17G058300.v8.1 transcript:Manes.17G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSSPPKPPFFLSLSLLFLLLSSSTKAASKTNTTTTTVVSPTPSPISSPTPRSTTSSPTSAPTTPSPSTHSTLDPKQLRALQTLDIPTSKDPCIQPSPHNSTICDSASPFRHLVSLRLSNCSSDVSFSYTALKSLSTLQSLTFTNCPITPIRFPSDLALSLHSFTCINSLKRLTGVWLSHFVNLTDLTVSNVPVNASGLYVILGNMDELKSLSISNANVTGSIPKHLPFNLTHVDFSGNKLKGRIPSSISILENLETLNLFSNALTGEMPTNFGDLISLKNVSLGSNSLSGSIPDSLSAIPGLVHVDLSSNQFNGTIPRFFSEMKHLRYLNLANNEFHGVLPFNLTFLKRLEAFKVGGNSNLCYNHTILSSKLKLGIAPCDKHGLPMSPPPAKDSSGGDSEGDSSDYDDSSKDDSSNKGGNHHGPNKVVLGVAIALSSIVFLIVFLILLSKRCG >Manes.09G111300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31352727:31359159:-1 gene:Manes.09G111300.v8.1 transcript:Manes.09G111300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLQKVAISGPTLTYMIQRFSSSLGDVDGFLFGHVTHIAPSTLSDDSPQTSSDSDSPQLVATVTSFLCPSSPLSFYDALGRVDSSSLHRFLSPQTHHQFIGWFSARRKTPIRPSMREFSVSRSLSTNSQLSFPIKNSENPIKLSPCIFLLFATPLQDQLIHTHEYRAYQFRVSTQSFEPKSIDIVNIGPAFRGHYGSFSPNSPFPMLNCELSSLSAMNEDRRDEALSEIKQVSKDQRELDMCAEDFPVSNLSRLIGSEASNHTAGLENLYEKMLAKIDSLARQVEKSNAKVFEQEAHNRKLRYKVARTGPE >Manes.09G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31357453:31358860:-1 gene:Manes.09G111300.v8.1 transcript:Manes.09G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLQKVAISGPTLTYMIQRFSSSLGDVDGFLFGHVTHIAPSTLSDDSPQTSSDSDSPQLVATVTSFLCPSSPLSFYDALGRVDSSSLHRFLSPQTHHQFIGWFSARRKTPIRPSMREFSVSRSLSTNSQLSFPIKNSENPIKLSPCIFLLFATPLQDQLIHTHEYRAYQFRVSTQSFEPKSIDIVNIGPAFRGHYGSFSPNSPFPMLNCELSSLSAMNEDRRDEALSEIKQVSKDQRELDMCAEDFPVSNLSRLIGSEASNHTAGLENLYEKMLAKIDSLARQVEKSNAKVFEQEAHNRKLRYKVARTGPE >Manes.09G111300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31354680:31359159:-1 gene:Manes.09G111300.v8.1 transcript:Manes.09G111300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLQKVAISGPTLTYMIQRFSSSLGDVDGFLFGHVTHIAPSTLSDDSPQTSSDSDSPQLVATVTSFLCPSSPLSFYDALGRVDSSSLHRFLSPQTHHQFIGWFSARRKTPIRPSMREFSVSRSLSTNSQLSFPIKNSENPIKLSPCIFLLFATPLQDQLIHTHEYRAYQFRVSTQSFEPKSIDIVNIGPAFRGHYGSFSPNSPFPMLNCELSSLSAMNEDRRDEALSEIKQVSKDQRELDMCAEDFPVSNLSRLIGSEASNHTAGLENLYEKMLAKIDSLARQVEKSNAKVFEQEAHNRKLRYKVARTGPE >Manes.02G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4962162:4968764:1 gene:Manes.02G065300.v8.1 transcript:Manes.02G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLDTDMASTTSPSLNSSPSAVPPTASSTASPPAPSLPSNSTSTSPPPTVSLPNQTTDPTVPSTPSNSSTPPPQSPTTAIPAAPPSSPLASTTTPSTPPPSTSPPSPPASPPPAPPTSPPPSTPIAPPPAITTSPPPPPPASSSLSPQFSPPTPVASPPSPQAVAPSQPSPVNVSTPPPVDSPPPPAVKAPAAPPNVRSLPSSPPPVTNSPPTSALPSPPPSVPSTSMPPVMPPVSPDNSSTTGTSPLSSMPSIPTEKPTATSTNDTNISAKTTSTGVRNLNTGTAVAIGIVVGFVVLSFLMMAVWVVHKRKRRHAKSKIGYTMPSPFASSQNSESVFVRPHSHGPLRGSPSGSDFMYGPPEPGVSTSRSWFTYEELVQATNGFSIQNLLGEGGFGCVYKGKLVDGREVAVKQLKMGGSQGEREFKAEVEIISRVHHRHLVSLVGYCISESQRLLIYDYVPNNTLHYHLHGKGRPVMDWAIRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEARVSDFGLAKIALELDSNTHVTTRVMGTFGYMAPEYATTGKLTEKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLVEALDSEDFGALVDPRLEKNYVPREMFRMIEAAAACVRHSAAKRPRMSQLVRALDSLDELSDLSNGMKPGQSEIFDSRQHSAEIRIFQRLAFGSQDYSSDFFDDSRSSWRSRQHSRSNFMP >Manes.14G062500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5220457:5223245:-1 gene:Manes.14G062500.v8.1 transcript:Manes.14G062500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDRSDSGRPDVLLLPLIEGSFRSSLQAGVDDYVDICVESGSSRVCESRFRSCLYIHVGHDPYRLVRDAMKVVKARLGTFKLLEEKTPPNIVDKFGWCTWDAVYREVNPNVVRSGVKGLLQGGCPPKWVLIDDGWQSICHDDQDPVSDVEGMDRMVTGTTMFNESPRLKTFEVNYKFKDYKSPKVPFNKGMGAFIKDLKEDVRTVEDVYVWHAFLGYWGGIRPNSPAVPESKIIIPRLSKGLQKTMDDLAVNNILTYGVGFVQPELVSKLYEGLHSHLASAGIDGVKIDAIHLLEMISEEYGGQVEVAKTYYKALRASIRKHFKGNGVIASMEQGNDFMFLGTEAISLGRVGDDFWGSDPAGDPRGTFWLQGCHIVHCAYNSLWMCNFIHPDWDMFQSTHPVAEFHAASRAISGGPIYVSYRIREHNFKLLKRLVLPDGSILRCESYALPTRDCLFRDPLHDGETMLKLWNLNKYTGVLGLFNCQGGGWCCITRRNKGAPMFSNRVHCLTAPEDIEWKNGRNPVPMEGAYTFAVYIFHEKKLKLMKRAGETLEFWLEPFTYELLTFSPVHMLSKKVIQFAPIGLVNMLNSGGAIQSLDVDEEGSWVRIGVKGIGEMKMYASERPRRCKIDGVEGEFCYDDDTVTVQVPWHGSPGLSVMEYLF >Manes.09G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32448110:32448417:-1 gene:Manes.09G121600.v8.1 transcript:Manes.09G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLWLEAALPLGIIAGMLCVMGNAQYYIHRAAHGRPKHIGNDMWDVAMERRDKKLVENLSAPSPN >Manes.01G071600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27309325:27311650:-1 gene:Manes.01G071600.v8.1 transcript:Manes.01G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAHGHSLPPPFHTRDFQLHQHQFPHHQQHNSEDEQSGSSSGAGLNKSQKRERDETSNNNSEGKELIPTGSGGEINRRPRGRPAGSKNKPKPPIIITRDSANALRTHLMEVADGCDIVESVATFARRRQRGISIMSGTGTVTNVTLRQPASPGAVVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLTASGPVVIMAASFSNAAYERLPLEEEDPQLPMQGGGIGSPGAVGQQQQQQQQALGEANAQLFHGLQPNLLNSIQLPAEAYWATGRPPY >Manes.16G031800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3801057:3802567:-1 gene:Manes.16G031800.v8.1 transcript:Manes.16G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATWEQRLQALTHVLTSPTTTPPLYSQLFISRQIPCYLNWEYPPILCTKGTRIFPSLHLRWGFSLFLKRVSRLGLPETSWRSKCPYQQPPPLILAKGVEEAQWGDEQKREYFRKRIRRKKLLSNIHPSIPILVPNLFLFSLLLWNPFPDLES >Manes.16G019700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2186974:2188667:-1 gene:Manes.16G019700.v8.1 transcript:Manes.16G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNGLKKGPWTPEEDQKMIDFIQKHGYGNWRTLPKKAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIASRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSIFGSSFYNSSQMNISRFLGIQPMVNPELLRLATSIISSQRENHNFVPQNCQENQLCNLQIQNQYHPVIQADQFQSQVQEMPSCTTLANPFVHFSGETQLMDEMNHQEWQRDDEMASNLTDNFMTLQSYENYYGASDQTAMMDPSCETTSTFISKNSNQNLSLASVLSTPSSSPKPLNSNSTYINCSSSTEDERESYCSNMLKFEIPDILDVSNFM >Manes.15G042700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3289188:3290811:1 gene:Manes.15G042700.v8.1 transcript:Manes.15G042700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGEEVAEEKVTDISLKELAKKLEEFAKARDWEKYHSPRNLLLAMVGEVGELSEIFQWKGEVDKGLPNWEESDKEHLGEELSDVLLYLVRLADICGIDLGDVATKKIVKNSIKYPPKIC >Manes.07G073500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:21745141:21747011:-1 gene:Manes.07G073500.v8.1 transcript:Manes.07G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKRHDLLHIFFFPFMAQGHTIPLIDTAKLFASRGLKVSIITTPVNAPLLSKSIERNRLLGHKIDLLVIQFPCVEAGLPEGCERLDLVTSHEMGIDFLAATTLLAQPLEELLKEYRPNCLISDLFFPWSTQVASKFGIPRIVFGGTCFFSSCAAHCMRLYQPHKRVSSDIDHFVIPNFPGEIKLTGNQLPDFVKQETRFSKFFREAKEAEFKSFGVIVNSFYELEPTYADYYRNALGLKAWHIGPISLWNTNIEDKARRGKESSMDENECLKWLDSKKPNSVVYICFGSLANFPASQFLEIAMALEDSGQQFIWIVRENKNNMLDNEEWLPEGFEERMKGKGLIIRGWAPQVLMLEHEAVGGFVTHCGWNSTLEAISAGVPMVTWPLSAEQFYNEKLVTQILRIGVAVGAQQWASTVGDSVKKEAIKKAVEHIMASEETEEMRCRAKKLAEMARKATEEGGSSHSDFNSLIEQLRCKGM >Manes.15G094300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7346033:7347705:-1 gene:Manes.15G094300.v8.1 transcript:Manes.15G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLHQLLLSLCLSFAIAQASVPPSATFKYVNEGEFGDYIVEYGANYRVLDPFAQPFQLCFYNTTPNAYTLALRMGTVRSESLMRWVWEANRGNPVGENATLTFGTDGNLVLADADGRIAWQTNTANKGVVGFKLLSNGNMVLHDSKGRFIWQSFDHPTDTLLVGQSLKLGAANKLVSRASEKQNANGAYSLVMEDKTLAMYYKSPNSPKSLLYFSFSELLSVLEGPLNHVTFISGLSLEYQGPTSSSGGTLTLRRPKYNTTLSYLRLEIDGNLRIHTYEDNADWSAWQVTYTLFSKDSWETQCQLPEKCGNFGLCQDDQCIACPSPKGLLGWSKNCQAPKLSSCGVKDFYYYKLEGVDHFNSKYTNGDGPMKQNACSSKCSKDCKCLAYFYHTQSSKCWIAYDLKTLTKVDNSTHLAFIKTPNK >Manes.11G110000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25402791:25432396:-1 gene:Manes.11G110000.v8.1 transcript:Manes.11G110000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFSCDFRCLLIPAALAFIYIQMRLFATQSEYADRLAAAVESENHCTSQLRLLIDQISMQQGRIVALEEEKKRQGEECRQLKAVIEDLERKGLQRVVDKVPVAAVVIMACNRADYLERTIKSVLKYQSSIASKYPLFVSQDGSNPDVRSKAMSYDQVIYMQHLNSELVHTERPGELIAYYKIARHYKWALDQLFYKHNFNRVIILEDDMEIAPDFFDYFEAAAALLDKDKSIMAVSSWNDNGQKQFVYDPYTLYRSDFFPGLGWMLTKSTWDELSPKWPKAYWDDWLRLEENRKGRQFVRPEVCRTYNFGEHGSSLGQFFKQYLENIKLNDAQVDWKTMNLSYLMEYNYPKYFANILRNAKAVHGNDAVLKAYNTEGDVHIQYKDQSDFERIARQFGIFEEWKDGVPRTAYKGIVVFRYQTQRRIFLVGPDSLRQLGVDDA >Manes.05G194100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31755552:31766829:1 gene:Manes.05G194100.v8.1 transcript:Manes.05G194100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQESKEEEMEAQHRSMEVRAMEALGKGFDLTSDFRLKFTKERLVELDHQNKRDVIVPGGLTIPDVSQDIRFDKGDRIRFKSDVLEFNQMSELLNQKCSIQGKVPSGYLNSIFDLSGDWFRDASDTKYLAFDGYFIALYYLHLTASPLILQEKVKKSVPPHWNPASLARFIRTFGTHIIVGMAVGGQDIICVRQQPTSPIPSAELRKHLEDLGDFLFSDRRSPSLLQKNTRDGKHKVPEVFSRILQSNPMPLTSITETSSKEGLTIICSKRGGDVFSHCHSNWLQTVPINPEAILFKFVPITSLLAGIPGSGYLSHAINLYLRYKPAPEDLQYFLEFQVPKQWAPMFCELPLGHQRRKASCPSLRFSILGPKIYVSPSQVSSDQKPVVGLRLYLEGKKSNLLALHLQHLSSLPNIMTFPSTDTTICRPCQWLGSDDYKSSDQFLEPIRWKRYSKVCTSVVKHDPNWLQGDSTGVFIVTGAQLLSKGKWPQTVLHLRLLFTHLPNCAIRKTEWAVAPEASHKSTFLTNLSTTFTFTQRNITGPEKQPPATLNSGVYPDGPPVPVRSGKLLKYVDTAEILRGPHDAPGHWLVTAAKLVTDGGKIGLHVKFALLDYQ >Manes.05G194100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31759972:31766829:1 gene:Manes.05G194100.v8.1 transcript:Manes.05G194100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGQDIICVRQQPTSPIPSAELRKHLEDLGDFLFSDRRSPSLLQKNTRDGKHKVPEVFSRILQSNPMPLTSITETSSKEGLTIICSKRGGDVFSHCHSNWLQTVPINPEAILFKFVPITSLLAGIPGSGYLSHAINLYLRYKPAPEDLQYFLEFQVPKQWAPMFCELPLGHQRRKASCPSLRFSILGPKIYVSPSQVSSDQKPVVGLRLYLEGKKSNLLALHLQHLSSLPNIMTFPSTDTTICRPCQWLGSDDYKSSDQFLEPIRWKRYSKVCTSVVKHDPNWLQGDSTGVFIVTGAQLLSKGKWPQTVLHLRLLFTHLPNCAIRKTEWAVAPEASHKSTFLTNLSTTFTFTQRNITGPEKQPPATLNSGVYPDGPPVPVRSGKLLKYVDTAEILRGPHDAPGHWLVTAAKLVTDGGKIGLHVKFALLDYQ >Manes.05G194100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31760263:31766829:1 gene:Manes.05G194100.v8.1 transcript:Manes.05G194100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKGCGNSGFIRTFGTHIIVGMAVGGQDIICVRQQPTSPIPSAELRKHLEDLGDFLFSDRRSPSLLQKNTRDGKHKVPEVFSRILQSNPMPLTSITETSSKEGLTIICSKRGGDVFSHCHSNWLQTVPINPEAILFKFVPITSLLAGIPGSGYLSHAINLYLRYKPAPEDLQYFLEFQVPKQWAPMFCELPLGHQRRKASCPSLRFSILGPKIYVSPSQVSSDQKPVVGLRLYLEGKKSNLLALHLQHLSSLPNIMTFPSTDTTICRPCQWLGSDDYKSSDQFLEPIRWKRYSKVCTSVVKHDPNWLQGDSTGVFIVTGAQLLSKGKWPQTVLHLRLLFTHLPNCAIRKTEWAVAPEASHKSTFLTNLSTTFTFTQRNITGPEKQPPATLNSGVYPDGPPVPVRSGKLLKYVDTAEILRGPHDAPGHWLVTAAKLVTDGGKIGLHVKFALLDYQ >Manes.05G194100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31755552:31766829:1 gene:Manes.05G194100.v8.1 transcript:Manes.05G194100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGQDIICVRQQPTSPIPSAELRKHLEDLGDFLFSDRRSPSLLQKNTRDGKHKVPEVFSRILQSNPMPLTSITETSSKEGLTIICSKRGGDVFSHCHSNWLQTVPINPEAILFKFVPITSLLAGIPGSGYLSHAINLYLRYKPAPEDLQYFLEFQVPKQWAPMFCELPLGHQRRKASCPSLRFSILGPKIYVSPSQVSSDQKPVVGLRLYLEGKKSNLLALHLQHLSSLPNIMTFPSTDTTICRPCQWLGSDDYKSSDQFLEPIRWKRYSKVCTSVVKHDPNWLQGDSTGVFIVTGAQLLSKGKWPQTVLHLRLLFTHLPNCAIRKTEWAVAPEASHKSTFLTNLSTTFTFTQRNITGPEKQPPATLNSGVYPDGPPVPVRSGKLLKYVDTAEILRGPHDAPGHWLVTAAKLVTDGGKIGLHVKFALLDYQ >Manes.02G206700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18375116:18376906:1 gene:Manes.02G206700.v8.1 transcript:Manes.02G206700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKLPEQEHPQQAFGWAARDDSGVLSPFTFSRRATGEKDVCFKVLYCGMCHSDLHMVKNEWGNSTYPLVPGHEIVGLVTEVGSKVEKFNVGDKVGVGCMVGSCHSCHNCTNNLENYCPEMILTYSAKYYDGTTTYGGYSNIMVADEHFIVRIPDTLPLDATAPLLCAGITVYSPLKHYGLDKPGMHVGVVGLGGLGHMAVKFAKAMGVKVTVISTSPSKKQEAVEHLGADSFLVSRDQDQMKAAMGTMDGIIDTVSAMHPLMPLIGLLKTNGKLVLVGAPEKPLELPAFPLLMGRKMVGGSAIGGMKETQEMIDFAAKHNITADIEVIPMKYVNTAMERILKADVRYRFVIDIGNTISSAH >Manes.18G074000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6768288:6770952:1 gene:Manes.18G074000.v8.1 transcript:Manes.18G074000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIKEIHQNQNQNQHPQKSEPSPSWLAVRGLLTCKDLQKQQQQEQALEETSKKCKKMKCSGSLCSNTKVMHRPETASPEVQRKRAAVRLTSNNNTSCRSMKAPLNEINGVPSSTTSSLSVSSNSSINGASGSFKGMPFRRLSGCYECRMVVDPVIGFTRDPSLRSSICSCPECGEIFVKPENLEHHQAVRHAVSELGPEDTSKNIVEIIFQSSWLKKESPICQIDRILKVHNTQRTISRFEEYRDSIKAKATKLPKKHPRCIADGNELLRFYCTSFACSLGLNGSSNLCNSIPHCNVCSIIKNGFKESTAAGGKGNGILTTATSGKAHDKAKILEESNGKSDKRAMLVCRVVAGRVKKNMESNMEEYDSVAGAVGFYSNLNELYVFNARAILPCFVVIYGGF >Manes.18G074000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6768288:6770952:1 gene:Manes.18G074000.v8.1 transcript:Manes.18G074000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIKEIHQNQNQNQHPQKSEPSPSWLAVRGLLTCKDLQKQQQQEQALEETSKKCKKMKCSGSLCSNTKVMHRPETASPEVQRKRAAVRLTSNNNTSCRSMKAPLNEINGVPSSTTSSLSVSSNSSINGASGSFKGMPFRRLSGCYECRMVVDPVIGFTRDPSLRSSICSCPECGEIFVKPENLEHHQAVRHAVSELGPEDTSKNIVEIIFQSSWLKKESPICQIDRILKVHNTQRTISRFEEYRDSIKAKATKLPKKHPRCIADGNELLRFYCTSFACSLGLNGSSNLCNSIPHCNVCSIIKNGFKESTAAGGKGNGILTTATSGKAHDKAKILEESNGKSDKRAMLVCRVVAGRVKKNMESNMEEYDSVAGAVGFYSNLNELYVFNARAILPCFVVIYGGF >Manes.18G074000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6768288:6770953:1 gene:Manes.18G074000.v8.1 transcript:Manes.18G074000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIKEIHQNQNQNQHPQKSEPSPSWLAVRGLLTCKDLQKQQQQEQALEETSKKCKKMKCSGSLCSNTKVMHRPETASPEVQRKRAAVRLTSNNNTSCRSMKAPLNEINGVPSSTTSSLSVSSNSSINGASGSFKGMPFRRLSGCYECRMVVDPVIGFTRDPSLRSSICSCPECGEIFVKPENLEHHQAVRHAVSELGPEDTSKNIVEIIFQSSWLKKESPICQIDRILKVHNTQRTISRFEEYRDSIKAKATKLPKKHPRCIADGNELLRFYCTSFACSLGLNGSSNLCNSIPHCNVCSIIKNGFKESTAAGGKGNGILTTATSGKAHDKAKILEESNGKSDKRAMLVCRVVAGRVKKNMESNMEEYDSVAGAVGFYSNLNELYVFNARAILPCFVVIYGGF >Manes.02G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9063590:9065219:-1 gene:Manes.02G118300.v8.1 transcript:Manes.02G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTYAFGRAEEAIHPDSLRASLAEFVSTLIFVFAGEGSVLALDKLYTETGSPASGLVMVALAHALALFSAVSASINISGGHVNPAVTFGALVGGRISVLRAFYYWVAQLLGSIVASLLLRLVTNGMRPVGFYVASGVGVVHGLILEMVLTFGLVYTVYATAVDPKRGSLGIIAPLAIGLIVGANILVGGPFDGASMNPARAFGPALVGWRWRNHWIYWLGPFVGGGLAALIYEYMVIPTEPLPHHTHHQPLAPEDY >Manes.13G003702.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:954009:956568:-1 gene:Manes.13G003702.v8.1 transcript:Manes.13G003702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTPRSIFTRTWRSFHFQLQIEIGTLYYPILLFKYSFHSSNSTRSRKDARLRSKFYSASFPDLDDALSSFNHIILMHPLPSIVQFVRFLSALVRMKQYHTVLSSSRKTDSLGISRSVNSLNILINCYCRLHRVDFGFSVLGKSLKLGLEPDIVTFTTLIDGMCKDSTINRQ >Manes.16G044450.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7299134:7307796:1 gene:Manes.16G044450.v8.1 transcript:Manes.16G044450.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLEKRNTGVPGVSRITCSLLMFMLLRCTVEVQAESCSLPLPYEEVQALREIAGQVGKKDWNFSVDPCSNHLSWQTPKSNLMPSYNNSLICNSTGLDGVCHVVKLFLKGQDLQGVLPASIVKLPFLQTLDLTRNYLSGNIPPEWASTKLEYLSITVNRLTGRIPSYLGNITSLVYLSLENNLFYGTVPPELGNLVNLVNLILNANNLSGELPRALTKISKLTELRISSNNFSGKIPSFIQSWKRLEMLAIQGSGLEGPIPSSISVLTNLTQLRISDLGGGGSKFPDLRNMTNLKYLLLSNCNISGNFPEYIKHMKNLKDLDLSFNRLTGNLSTDFQGLESLESMYLTSNFLTGPIPKWILERNHRYAIDFSYNNFSETSVPSTCPATVNLFKSSSRGKKLMAEECLTNFPCSKEHYSLHINCGGGATTIGDISYDGDEEPGEAARYAPTKENWGVSTTGHFWDVQNASLLAYTAENISTLRMPNSEIYRKARLSPLSLTYYARCLTNGNYNVKLHFAEIVIRDNRSFHSLGRRIFDVYIQDELVLKDFEIKKEAHGVDKEVIKPFKAVVKDGTLDIRFHWAGKGTTTAPVKGVYGPLISAIDVVSESKPPNERKKKLIVAGAVVLPLLLIFIVVAILWWKGCIGGRILREKDLRGLDLRTGSFTLRQLRAATKNFSSENKIGEGGFGSVYKGELLDGTFIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGNQLLLVYEYLENNNLARALFGSETSVLTLDWATRQKICVGIARGLAFLHEESTLRIVHRDIKATNVLLDRDLNAKISDFGLAKLCEEENTHISTRIAGTVGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRNNANYRPENEAVCLLDWAFILQQKGNLMEIVDPRLKSEFNVEEAERMIKVALLCANASPTLRPIMSVALSMLEGKTTIDEEVTSDPGIYAEDMRFKPLKSHYQQMQRLSSSRSQEPIFSSDNTWAGSSTTASGYDLYPIDHDSMNLNVSETSSLTR >Manes.16G044450.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7298872:7323104:1 gene:Manes.16G044450.v8.1 transcript:Manes.16G044450.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFDRLPSHPEEVSSNMKWLEKRNTGVPGVSRITCSLLMFMLLRCTVEVQAESCSLPLPYEEVQALREIAGQVGKKDWNFSVDPCSNHLSWQTPKSNLMPSYNNSLICNSTGLDGVCHVVKLFLKGQDLQGVLPASIVKLPFLQTLDLTRNYLSGNIPPEWASTKLEYLSITVNRLTGRIPSYLGNITSLVYLSLENNLFYGTVPPELGNLVNLVNLILNANNLSGELPRALTKISKLTELRISSNNFSGKIPSFIQSWKRLEMLAIQGSGLEGPIPSSISVLTNLTQLRISDLGGGGSKFPDLRNMTNLKYLLLSNCNISGNFPEYIKHMKNLKDLDLSFNRLTGNLSTDFQGLESLESMYLTSNFLTGPIPKWILERNHRYAIDFSYNNFSETSVPSTCPATVNLFKSSSRGKKLMAEECLTNFPCSKEHYSLHINCGGGATTIGDISYDGDEEPGEAARYAPTKENWGVSTTGHFWDVQNASLLAYTAENISTLRMPNSEIYRKARLSPLSLTYYARCLTNGNYNVKLHFAEIVIRDNRSFHSLGRRIFDVYIQDELVLKDFEIKKEAHGVDKEVIKPFKAVVKDGTLDIRFHWAGKGTTTAPVKGVYGPLISAIDVVSESKPPNERKKKLIVAGAVVLPLLLIFIVVAILWWKGCIGGRILREKDLRGLDLRTGSFTLRQLRAATKNFSSENKIGEGGFGSVYKGELLDGTFIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGNQLLLVYEYLENNNLARALFGSETSVLTLDWATRQKICVGIARGLAFLHEESTLRIVHRDIKATNVLLDRDLNAKISDFGLAKLCEEENTHISTRIAGTVGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRNNANYRPENEAVCLLDWAFILQQKGNLMEIVDPRLKSEFNVEEAERMIKVALLCANASPTLRPIMSVALSMLEGKTTIDEEVTSDPGIYAEDMRFKPLKSHYQQMQRLSSSRSQEPIFSSDNTWAGSSTTASGYDLYPIDHDSMNLNVSETSSLTR >Manes.16G044450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7298872:7307747:1 gene:Manes.16G044450.v8.1 transcript:Manes.16G044450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFDRLPSHPEEVSSNMKWLEKRNTGVPGVSRITCSLLMFMLLRCTVEVQAESCSLPLPYEEVQALREIAGQVGKKDWNFSVDPCSNHLSWQTPKSNLMPSYNNSLICNSTGLDGVCHVVKLFLKGQDLQGVLPASIVKLPFLQTLDLTRNYLSGNIPPEWASTKLEYLSITVNRLTGRIPSYLGNITSLVYLSLENNLFYGTVPPELGNLVNLVNLILNANNLSGELPRALTKISKLTELRISSNNFSGKIPSFIQSWKRLEMLAIQGSGLEGPIPSSISVLTNLTQLRISDLGGGGSKFPDLRNMTNLKYLLLSNCNISGNFPEYIKHMKNLKDLDLSFNRLTGNLSTDFQGLESLESMYLTSNFLTGPIPKWILERNHRYAIDFSYNNFSETSVPSTCPATVNLFKSSSRGKKLMAEECLTNFPCSKEHYSLHINCGGGATTIGDISYDGDEEPGEAARYAPTKENWGVSTTGHFWDVQNASLLAYTAENISTLRMPNSEIYRKARLSPLSLTYYARCLTNGNYNVKLHFAEIVIRDNRSFHSLGRRIFDVYIQDELVLKDFEIKKEAHGVDKEVIKPFKAVVKDGTLDIRFHWAGKGTTTAPVKGVYGPLISAIDVVSESKPPNERKKKLIVAGAVVLPLLLIFIVVAILWWKGCIGGRILREKDLRGLDLRTGSFTLRQLRAATKNFSSENKIGEGGFGSVYKGELLDGTFIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGNQLLLVYEYLENNNLARALFGSETSVLTLDWATRQKICVGIARGLAFLHEESTLRIVHRDIKATNVLLDRDLNAKISDFGLAKLCEEENTHISTRIAGTVGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRNNANYRPENEAVCLLDWAFILQQKGNLMEIVDPRLKSEFNVEEAERMIKVALLCANASPTLRPIMSVALSMLEGKTTIDEEVTSDPGIYAEDMRFKPLKSHYQQMQRLSSSRSQEPIFSSDNTWAGSSTTASGYDLYPIDHDSMNLNVSETSSLTR >Manes.16G044450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7298872:7322370:1 gene:Manes.16G044450.v8.1 transcript:Manes.16G044450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFDRLPSHPEEVSSNMKWLEKRNTGVPGVSRITCSLLMFMLLRCTVEVQAESCSLPLPYEEVQALREIAGQVGKKDWNFSVDPCSNHLSWQTPKSNLMPSYNNSLICNSTGLDGVCHVVKLFLKGQDLQGVLPASIVKLPFLQTLDLTRNYLSGNIPPEWASTKLEYLSITVNRLTGRIPSYLGNITSLVYLSLENNLFYGTVPPELGNLVNLVNLILNANNLSGELPRALTKISKLTELRISSNNFSGKIPSFIQSWKRLEMLAIQGSGLEGPIPSSISVLTNLTQLRISDLGGGGSKFPDLRNMTNLKYLLLSNCNISGNFPEYIKHMKNLKDLDLSFNRLTGNLSTDFQGLESLESMYLTSNFLTGPIPKWILERNHRYAIDFSYNNFSETSVPSTCPATVNLFKSSSRGKKLMAEECLTNFPCSKEHYSLHINCGGGATTIGDISYDGDEEPGEAARYAPTKENWGVSTTGHFWDVQNASLLAYTAENISTLRMPNSEIYRKARLSPLSLTYYARCLTNGNYNVKLHFAEIVIRDNRSFHSLGRRIFDVYIQDELVLKDFEIKKEAHGVDKEVIKPFKAVVKDGTLDIRFHWAGKGTTTAPVKGVYGPLISAIDVVSESKPPNERKKKLIVAGAVVLPLLLIFIVVAILWWKGCIGGRILREKDLRGLDLRTGSFTLRQLRAATKNFSSENKIGEGGFGSVYKGELLDGTFIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGNQLLLVYEYLENNNLARALFGSETSVLTLDWATRQKICVGIARGLAFLHEESTLRIVHRDIKATNVLLDRDLNAKISDFGLAKLCEEENTHISTRIAGTVGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRNNANYRPENEAVCLLDWAFILQQKGNLMEIVDPRLKSEFNVEEAERMIKVALLCANASPTLRPIMSVALSMLEGKTTIDEEVTSDPGIYAEDMRFKPLKSHYQQMQRLSSSRSQEPIFSSDNTWAGSSTTASGYDLYPIDHDSMNLNVSETSSLTR >Manes.16G044450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7298872:7307796:1 gene:Manes.16G044450.v8.1 transcript:Manes.16G044450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFDRLPSHPEEVSSNMKWLEKRNTGVPGVSRITCSLLMFMLLRCTVEVQAESCSLPLPYEEVQALREIAGQVGKKDWNFSVDPCSNHLSWQTPKSNLMPSYNNSLICNSTGLDGVCHVVKLFLKGQDLQGVLPASIVKLPFLQTLDLTRNYLSGNIPPEWASTKLEYLSITVNRLTGRIPSYLGNITSLVYLSLENNLFYGTVPPELGNLVNLVNLILNANNLSGELPRALTKISKLTELRISSNNFSGKIPSFIQSWKRLEMLAIQGSGLEGPIPSSISVLTNLTQLRISDLGGGGSKFPDLRNMTNLKYLLLSNCNISGNFPEYIKHMKNLKDLDLSFNRLTGNLSTDFQGLESLESMYLTSNFLTGPIPKWILERNHRYAIDFSYNNFSETSVPSTCPATVNLFKSSSRGKKLMAEECLTNFPCSKEHYSLHINCGGGATTIGDISYDGDEEPGEAARYAPTKENWGVSTTGHFWDVQNASLLAYTAENISTLRMPNSEIYRKARLSPLSLTYYARCLTNGNYNVKLHFAEIVIRDNRSFHSLGRRIFDVYIQDELVLKDFEIKKEAHGVDKEVIKPFKAVVKDGTLDIRFHWAGKGTTTAPVKGVYGPLISAIDVVSESKPPNERKKKLIVAGAVVLPLLLIFIVVAILWWKGCIGGRILREKDLRGLDLRTGSFTLRQLRAATKNFSSENKIGEGGFGSVYKGELLDGTFIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGNQLLLVYEYLENNNLARALFETSVLTLDWATRQKICVGIARGLAFLHEESTLRIVHRDIKATNVLLDRDLNAKISDFGLAKLCEEENTHISTRIAGTVGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRNNANYRPENEAVCLLDWAFILQQKGNLMEIVDPRLKSEFNVEEAERMIKVALLCANASPTLRPIMSVALSMLEGKTTIDEEVTSDPGIYAEDMRFKPLKSHYQQMQRLSSSRSQEPIFSSDNTWAGSSTTASGYDLYPIDHDSMNLNVSETSSLTR >Manes.16G044450.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7298872:7322370:1 gene:Manes.16G044450.v8.1 transcript:Manes.16G044450.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFDRLPSHPEEVSSNMKWLEKRNTGVPGVSRITCSLLMFMLLRCTVEVQAESCSLPLPYEEVQALREIAGQVGKKDWNFSVDPCSNHLSWQTPKSNLMPSYNNSLICNSTGLDGVCHVVKLFLKGQDLQGVLPASIVKLPFLQTLDLTRNYLSGNIPPEWASTKLEYLSITVNRLTGRIPSYLGNITSLVYLSLENNLFYGTVPPELGNLVNLVNLILNANNLSGELPRALTKISKLTELRISSNNFSGKIPSFIQSWKRLEMLAIQGSGLEGPIPSSISVLTNLTQLRISDLGGGGSKFPDLRNMTNLKYLLLSNCNISGNFPEYIKHMKNLKDLDLSFNRLTGNLSTDFQGLESLESMYLTSNFLTGPIPKWILERNHRYAIDFSYNNFSETSVPSTCPATVNLFKSSSRGKKLMAEECLTNFPCSKEHYSLHINCGGGATTIGDISYDGDEEPGEAARYAPTKENWGVSTTGHFWDVQNASLLAYTAENISTLRMPNSEIYRKARLSPLSLTYYARCLTNGNYNVKLHFAEIVIRDNRSFHSLGRRIFDVYIQDELVLKDFEIKKEAHGVDKEVIKPFKAVVKDGTLDIRFHWAGKGTTTAPVKGVYGPLISAIDVVSESKPPNERKKKLIVAGAVVLPLLLIFIVVAILWWKGCIGGRILREKDLRGLDLRTGSFTLRQLRAATKNFSSENKIGEGGFGSVYKGELLDGTFIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGNQLLLVYEYLENNNLARALFETSVLTLDWATRQKICVGIARGLAFLHEESTLRIVHRDIKATNVLLDRDLNAKISDFGLAKLCEEENTHISTRIAGTVGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRNNANYRPENEAVCLLDWAFILQQKGNLMEIVDPRLKSEFNVEEAERMIKVALLCANASPTLRPIMSVALSMLEGKTTIDEEVTSDPGIYAEDMRFKPLKSHYQQMQRLSSSRSQEPIFSSDNTWAGSSTTASGYDLYPIDHDSMNLNVSETSSLTR >Manes.16G044450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7298790:7308733:1 gene:Manes.16G044450.v8.1 transcript:Manes.16G044450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFDRLPSHPEEVSSNMKWLEKRNTGVPGVSRITCSLLMFMLLRCTVEVQAESCSLPLPYEEVQALREIAGQVGKKDWNFSVDPCSNHLSWQTPKSNLMPSYNNSLICNSTGLDGVCHVVKLFLKGQDLQGVLPASIVKLPFLQTLDLTRNYLSGNIPPEWASTKLEYLSITVNRLTGRIPSYLGNITSLVYLSLENNLFYGTVPPELGNLVNLVNLILNANNLSGELPRALTKISKLTELRISSNNFSGKIPSFIQSWKRLEMLAIQGSGLEGPIPSSISVLTNLTQLRISDLGGGGSKFPDLRNMTNLKYLLLSNCNISGNFPEYIKHMKNLKDLDLSFNRLTGNLSTDFQGLESLESMYLTSNFLTGPIPKWILERNHRYAIDFSYNNFSETSVPSTCPATVNLFKSSSRGKKLMAEECLTNFPCSKEHYSLHINCGGGATTIGDISYDGDEEPGEAARYAPTKENWGVSTTGHFWDVQNASLLAYTAENISTLRMPNSEIYRKARLSPLSLTYYARCLTNGNYNVKLHFAEIVIRDNRSFHSLGRRIFDVYIQDELVLKDFEIKKEAHGVDKEVIKPFKAVVKDGTLDIRFHWAGKGTTTAPVKGVYGPLISAIDVVSESKPPNERKKKLIVAGAVVLPLLLIFIVVAILWWKGCIGGRILREKDLRGLDLRTGSFTLRQLRAATKNFSSENKIGEGGFGSVYKGELLDGTFIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGNQLLLVYEYLENNNLARALFGSETSVLTLDWATRQKICVGIARGLAFLHEESTLRIVHRDIKATNVLLDRDLNAKISDFGLAKLCEEENTHISTRIAGTVGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRNNANYRPENEAVCLLDWAFILQQKGNLMEIVDPRLKSEFNVEEAERMIKVALLCANASPTLRPIMSVALSMLEGKTTIDEEVTSDPGIYAEDMRFKPLKSHYQQMQRLSSSRSQEPIFSSDNTWAGSSTTASGYDLYPIDHDSMNLNVSETSSLTR >Manes.05G182800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30103335:30105178:1 gene:Manes.05G182800.v8.1 transcript:Manes.05G182800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWLLRLRILSTALMLYSCQATGNLHPLILVPGNGGNQLEARLTRGYKPTSLVCNRWYPLVKQKDGWFRLWFDPSVLLAPFTECFADRMMLHYNEESDDYFNAIGVETRVPNFGSTQSLLYLDPNLKHVTEYMATLVESLEEIGYVDGETLFGAPYDFRYGLAAEGHPSKIGTKFLQDLKELIEKASSVNGGKPVIILSHSLGGLFVLQLLNRNPPSWRKKFIKHFVALSAPWGGAIEVMLTFASGNTLGVPLVDPLLVREEQRSSESNLWLLPNPIIFGTKQLVITPNASYSANEIAQFLENIGFSEGIHPYKSRILPLIEKTIAPEIPITCIIGSGVRTAETLLYRRNGYDEQPEIVYGDGDGTVNMASLLALESLWTGNKNQYVKVIRIGGVSHTSILKQDIALDEIIGEISCINSNAMRTAV >Manes.01G273400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42655052:42659082:1 gene:Manes.01G273400.v8.1 transcript:Manes.01G273400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQTDSELGRYALLVLFLLAIISCCMVYLCFSLVFRPRTISLDSGVSKFVESRQPEDGDCCRGIEHLELWGDAVKWGSDFRVNSSKQCCMACKNMCSGEGGPCLCDSWVFCEDKQACGSKFGECWLKKQKDPLEPDRRDYGDQVMWTSGQVFGKGEGIVGLETEYGILHMKLLPDCAPHSVSYILELLTLGHCAGCHFYRAESRGRFWDIEGNHLKQASFGPPFALVQGTLEAHGTIFKDIPTEACPTIKRGSIAWVGSGPEFFISLANHNEWRKAYTVFGFVLPEDMEIVEKIAHLPTKPEVWSNINVAVLEKPVQIHFRRIRSPGSLNRDSSRSLE >Manes.01G273400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42655052:42659083:1 gene:Manes.01G273400.v8.1 transcript:Manes.01G273400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQTDSELGRYALLVLFLLAIISCCMVYLCFSLVFRPRTISLDSGVSKFVESRQPEDGDCCRGIEHLELWGDAVKWGSDFRVNSSKQCCMACKNMCSGEGGPCLCDSWVFCEDKQACGSKFGECWLKKQKDPLEPDRRDYGDQVMWTSGQVFGKGEGIVGLETEYGILHMKASFGPPFALVQGTLEAHGTIFKDIPTEACPTIKRGSIAWVGSGPEFFISLANHNEWRKAYTVFGFVLPEDMEIVEKIAHLPTKPEVWSNINVAVLEKPVQIHFRRIRSPGSLNRDSSRSLE >Manes.05G065000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5395623:5397833:1 gene:Manes.05G065000.v8.1 transcript:Manes.05G065000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNFLQEWCQGKTIILYFLVLFSLLLLFQRTRSSKLNLPPSPPKLPIIGNLHQLSTLPYESFRNLSNKYGPLMLLHLGSVPTLVVSSLETAEEITKKHDIIFADRPSLTSVAIVFKDCLDMAFGPYCEYWRGARKLCALQLLSQRRVQAFHFVREEEVAKMVEKIRLLSINGAAINISDHFMSLSHNVLSKSAFGCLYDGEGGKYKSFGEMARRTMDLLASFCFRDLFPYLSWIDHLTGLVGNLEEISRELNDFFDRVIRERQALMNDDNEQYLVDILLRLRMEGTELDLSRNNVKAILMDMFIVGTDTTAATMDWMMSELMKNPRIMNKAQEEVRRVVRNKSNITESDINQMEYLKCVMKETVRFHASAMMRRQTSASIKLQGYDIPKKTRVFINTWAIQRDSKLWDRPEEFLPERFLNCSQDSKEHKQLLFSFGTGRRFCPGMSYAYAETEYAIANLLYWFDWELPHGTRAEDLDMSDKYTLIIRRKTPLCVVAHAHSP >Manes.03G070216.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10187727:10193214:-1 gene:Manes.03G070216.v8.1 transcript:Manes.03G070216.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPSQLGRSTVDSPTSTSSSTSAVSFRINSSLSKSISDASVQSLSSILNNPHVGKSGVYGSDASWVGWWSSSTAVAPPEFAPLIPNKASSDLSRSDFQSYLSSIAEPYHRFEDVRNHTSKEENLDLESIGGQGEALVACLREVPALYFKEDFALEDGPTFRAACPFSNVSENVVLQEKLSQYLDVVELHLVKEIALRSNSFFEAQGQLQDLNVKILGGCSRLRELKETIRLLDKDLVESARHIQELNATRSNLLALQQKLRVILYVNQALSALKLLVASADCAGALDVTDDLQHLLDGDELTGLHCFRHLRDHVGASIDSINSILSAEFMRAAIHDAGDADVVILSKAKARASISTNGKDDEVKLDEEETSNFRDRLLPLIVGLLRTVSIMHTYYWPYIVHLHTLLH >Manes.03G070216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10187729:10193214:-1 gene:Manes.03G070216.v8.1 transcript:Manes.03G070216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPSQLGRSTVDSPTSTSSSTSAVSFRINSSLSKSISDASVQSLSSILNNPHVGKSGVYGSDASWVGWWSSSTAVAPPEFAPLIPNKASSDLSRSDFQSYLSSIAEPYHRFEDVRNHTSKEENLDLESIGGQGEALVACLREVPALYFKEDFALEDGPTFRAACPFSNVSENVVLQEKLSQYLDVVELHLVKEIALRSNSFFEAQGQLQDLNVKILGGCSRLRELKETIRLLDKDLVESARHIQELNATRSNLLALQQKLRVILYVNQALSALKLLVASADCAGALDVTDDLQHLLDGDELTGLHCFRHLRDHVGASIDSINSILSAEFMRAAIHDAGDADVVILSKAKARASISTNGKDDEVKLDEEETSNFRDRLLPLIVGLLRTELGPCTAWIRLTSLKHAFCYCQVW >Manes.03G070216.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10187727:10193214:-1 gene:Manes.03G070216.v8.1 transcript:Manes.03G070216.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPSQLGRSTVDSPTSTSSSTSAVSFRINSSLSKSISDASVQSLSSILNNPHVGKSGVYGSDASWVGWWSSSTAVAPPEFAPLIPNKASSDLSRSDFQSYLSSIAEPYHRFEDVRNHTSKEENLDLESIGGQGEALVACLREVPALYFKEDFALEDGPTFRAACPFSNVSENVVLQEKLSQYLDVVELHLVKEIALRSNSFFEAQGQLQDLNVKILGGCSRLRELKETIRLLDKDLVESARHIQELNATRSNLLALQQKLRVILYVNQALSALKLLVASADCAGALDVTDDLQHLLDGDELTGLHCFRHLRDHVGASIDSINSILSAEFMRAAIHDAGDADVVILSKAKARASISTNGKDDEVKLDEEETSNFRDRLLPLIVGLLRTEF >Manes.01G140800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33230876:33235985:1 gene:Manes.01G140800.v8.1 transcript:Manes.01G140800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAATRKALSKIACNRLQKELVEWQVNPPAGFKHKATDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPEDNDRYVRNCRNGRSPKETRWWFHDDKV >Manes.01G140800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33231019:33234937:1 gene:Manes.01G140800.v8.1 transcript:Manes.01G140800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAATRKALSKIACNRLQKELVEWQVNPPAGFKHKATDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPEDNDRYVRNCRNGRSPKETRWWFHDDKV >Manes.01G140800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33230809:33236013:1 gene:Manes.01G140800.v8.1 transcript:Manes.01G140800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAATRKALSKIACNRLQKELVEWQVNPPAGFKHKATDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPEDNDRYVRNCRNGRSPKETRWWFHDDKV >Manes.01G140800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33230765:33235987:1 gene:Manes.01G140800.v8.1 transcript:Manes.01G140800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAATRKALSKIACNRLQKELVEWQVNPPAGFKHKATDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPEDNDRYVRNCRNGRSPKETRWWFHDDKV >Manes.17G088600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29673823:29675884:-1 gene:Manes.17G088600.v8.1 transcript:Manes.17G088600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKPTMLSLIFAAFIICFCFSSSLAELQRFQQPLKSDGSLSFLVIGDWGRRGLFNQSEVASQMGVIGEELDIDFVISTGDNFYEDGLKGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSSSNAKWKLVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSRHSNIQFITSGGGSKAWRGDIRKWDREELKLYYDGQGFMSVQMTDSMATFAFYDAFGSVLHQWSISKESHAAA >Manes.16G129700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33080589:33083451:1 gene:Manes.16G129700.v8.1 transcript:Manes.16G129700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRDRLSRAFDVATLFARRRSEILAIYEGQPDLERALFVSPCRPQMAANRTGALGTSPIGRGRGTPRGQTGRGRNIYRTSGLGRENTPVGSMMRGNGSGRGRASNSILPSWYPRTPLRDITAIIRAIERRRESLGVSRAQEIESSVPHAYGVLDSSEESPDAHLKHSNTIMFLIPNLELKRCPPTVGKIPRILLDITKQPSEDSLLTPQKKLLNSIDTVEKEVMEELQKLKRTASAKKAERDKKVRTLMSMR >Manes.16G129700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33080437:33083451:1 gene:Manes.16G129700.v8.1 transcript:Manes.16G129700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRDRLSRAFDVATLFARRRSEILAIYEGQPDLERALFVSPCRPQMAANRTGALGTSPIGRGRGTPRGQTGRGRNIYRTSGLGRENTPVGSMMRGNGSGRGRASNSILPSWYPRTPLRDITAIIRAIERRRESLGVSRAQEIESSVPHAYGVLDSSEESPDAHLKHSNTIMFLIPNLELKRCPPTVGKIPRILLDITKQPSEDSLLTPQKKLLNSIDTVEKEVMEELQKLKRTASAKKAERDKKVRTLMSMR >Manes.10G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:877320:882836:1 gene:Manes.10G007300.v8.1 transcript:Manes.10G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVALQSFQCKLYGQRLTVCQNFISSSVKRNVSLLSCVKAPEAASTATAKSYAGGVNGSLEKSSLRSATFPNGFEALVLEVCDETEVAEVKLKVGDFEMHLRRNVGATIVPMSSISPTEPPPIPTKPMNVSASVAPSPSPPKTSTEKATPFKNISFGKSSKLAALEASGTTGYVLVASPTVGSFQRNRTVKEKRQPPTFKEGDMIEEGQVIGYLDQFGTELPVKSDVAGEVLKLLFDDGDAVGYGDPLIAVLPSFHGIDN >Manes.09G127800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33058547:33060493:1 gene:Manes.09G127800.v8.1 transcript:Manes.09G127800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSSPFEDENGLKKGPWTPEEDKKLIDYIQEHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEEQIIINLHAVLGNKWSAIAQNLPGRTDNEIKNFWNTHLKKKLLQMGIDPVTHRPRTDLNLLSNLQQLLAAANLSNLMNNVYWDNGLRPLQNNNTDTTQLAKLQLLNNMILQFLSSSSSPFPNMEAAATNILGPNSVYEYLTMISQLEGLLSSGAGQAPAGYNSLSPQDLSRFLPSFPNVETQQPPVCDEYHPMKDSKVGCNGNGQLGCDSYGVSASNSPLPPLVSASPDDHHHCPTMNQTESCNRINPNDTSNPSSTSTTFEAWGDLMDDEASDTYWREIIDQASSQPWPLS >Manes.03G128100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25310117:25311344:1 gene:Manes.03G128100.v8.1 transcript:Manes.03G128100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLFPLFALLLVLEGINSSLLPAEVYWRSKLPNTPLPKALQDLLKPADERKKITYSFSEDAVGARICYEISYWEKMTKISNQNSIPSNTTTIFFLQDDLLPGKKMKLAFTKSTNGSNFLPREIAESIPFSTNKLSEILNHFSINPRSKEAEIMKITIEECEAPNLRGQDKYCATSLESLVDFAIGKYGKNVEAVLNEAEEENESQEYTILKGIIMVGEEQIVCHRERYVYAVFYCHTIKFTKVYSISMVGEDGSKAKAIVVCHTDTSAWSPKHYAFQVLKVKPGGPPICHFLNDDAIVWVPY >Manes.03G128100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25310117:25311344:1 gene:Manes.03G128100.v8.1 transcript:Manes.03G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLFPLFALLLVLEGINSSLLPAEVYWRSKLPNTPLPKALQDLLKPAADERKKITYSFSEDAVGARICYEISYWEKMTKISNQNSIPSNTTTIFFLQDDLLPGKKMKLAFTKSTNGSNFLPREIAESIPFSTNKLSEILNHFSINPRSKEAEIMKITIEECEAPNLRGQDKYCATSLESLVDFAIGKYGKNVEAVLNEAEEENESQEYTILKGIIMVGEEQIVCHRERYVYAVFYCHTIKFTKVYSISMVGEDGSKAKAIVVCHTDTSAWSPKHYAFQVLKVKPGGPPICHFLNDDAIVWVPY >Manes.S095214.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251195.1:4337:5707:-1 gene:Manes.S095214.v8.1 transcript:Manes.S095214.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELTKRLSKLGIKGDDKKKEEVESEAEEKSDTDHELIQLEQMLKETEPAEVNRIKYPKARATMELKPYYPRPSPINLQFEDTGYNYMQYDGTSIVEWNIDGLSDYQIKNVLQYMTMYATASRAKGNDDPSTAKALIAGFGGQLKGWWDFAVSTEGKEIIFKMVKQEGAQQVPDVVNTLLYTIGLHFIGSVNMLIERAQEQLINLRCPDLSHFKWYKDTFFSLVFIREDSNNSVWKEKFLAGLPALFAERVRDQIRSKHNGNIPYQDYTYGELASEIVTAGVSLCNELKIHKQMKKERFHGKQILGSFCDQYGIQPLKFPSTKFRGGRPYKPYKKNRRLYYKKPWKEKGKTVRVNNPEKGRKRPDQSKAEKTIVCYRCGKRGHYANKCRVKQQIQALTIDEDLKEALAKILLNETDSEQETMEINAVDYTTEEESS >Manes.18G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:652329:652823:-1 gene:Manes.18G001600.v8.1 transcript:Manes.18G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNWRRTLGNVRSFVGNSMGGLRGGSNLASWLVAGTLAYFLWIKPSQDLKKEQEQRAALAAADPYRYVEKRKPLPDPQETGLMYGNKNKTNKQVE >Manes.01G059900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25436368:25439681:-1 gene:Manes.01G059900.v8.1 transcript:Manes.01G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVNGDSRPSSNAFDTINAAASAIASAENRAPQDMVQKRRWGSCWNVYWCFGYHGHRKRIGHAALVPETSVPGNDTSVAENPTQEPSITIPFVAPPSSPASFLQSEFPSASQSPVGVLSLTSVSANIYSPSGPASIFAIGPYAYETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQLLHPSVRNGEAGLQFPLSNYEIQPYQFYPGSPVGQLISPRSVISGSGTSSPFPDGEFAAAGSHFLEFRMADPPKLLNLDNFSTHEWGSCEGSGTLTPDAATPTSCSFPPDHHLSDVISQSHSNNRNQNDEVTDGRVSFELTAQDVLRCAEQKPSSRVKIMPLSIDNVTTAAREIISTEIVNNFESCVGETSNDMPEIASTDGDKAPGHQKNRSITLGSLKEFNFDNADGEDSHKPNTGPDLWAKGSESDFVKEDGVSKNWSFPVMQPGVG >Manes.15G162300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13489574:13490319:1 gene:Manes.15G162300.v8.1 transcript:Manes.15G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIGVVLFVLLTPGLLFQIPGNSRTLEFGSMKTNGKAIAIHTLIFFTIYAILILAVHVHIYTG >Manes.03G149900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27706953:27712710:-1 gene:Manes.03G149900.v8.1 transcript:Manes.03G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDISKYAHSPVHKAIATRDYATLRKILAGLPRLCDPAEIRTEAVSLVEEEKADTIAAVIDRRDVPNRDTPLHLAVKLGDETAAEMLLVAGADWSLQNEQGWSALQEAICNREEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMQRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDEKVPPGSLCMISHKDKEVMNALDGAGSPATEEEVRQEVAAMFQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGPWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFSSCNENETESEELNDILTEEERRQLEVALKLDSSELTSENGDGIIAHRHSCYEHREIPIEDGNSCRNGETKQEKKGWFGGWRKRDSKVEGQKKIVPPRSSLCVDEKVSDLLGDSPSGSQIKPGRHSVEIVVRDEHRKGRDTKASASLSTESSNRRKEGSHENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFTTPPSSPTATGRESPAGTQPSSSSWFQWIKAPYHRPSSSGGGSSSRIENIQDPFVIPPDYTWISAEAKKKKMQEKNKSKKAKTQNR >Manes.03G149900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27706953:27712710:-1 gene:Manes.03G149900.v8.1 transcript:Manes.03G149900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDISKYAHSPVHKAIATRDYATLRKILAGLPRLCDPAEIRTEAVSLVEEEKADTIAAVIDRRDVPNRDTPLHLAVKLGDETAAEMLLVAGADWSLQNEQGWSALQEAICNREEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMQRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDEKVPPGSLCMISHKDKEVMNALDGAGSPATEEEVRQEVAAMFQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGPWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFSSCNENETESEELNDILTEEERRQLEVALKLDSSELTSENGDGIIAHRHSCYEHREIPIEDGNSCRNGETKQEKKGWFGGWRKRDSKVEGQKKIVPPRSSLCVDEKVSDLLGDSPSGSQIKPGRHSVEIVVRDEHRKGRDTKASASLSTESSNRRKEGSHENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKMKKGTYARGTRSL >Manes.03G149900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27706953:27712710:-1 gene:Manes.03G149900.v8.1 transcript:Manes.03G149900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDISKYAHSPVHKAIATRDYATLRKILAGLPRLCDPAEIRTEAVSLVEEEKADTIAAVIDRRDVPNRDTPLHLAVKLGDETAAEMLLVAGADWSLQNEQGWSALQEAICNREEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMQRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDEKVPPGSLCMISHKDKEVMNALDGAGSPATEEEVRQEVAAMFQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGPWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFSSCNENETESEELNDILTEEERRQLEVALKLDSSELTSENGDGIIAHRHSCYEHREIPIEDGNSCRNGETKQEKKGWFGGWRKRDSKVEGQKKIVPPRSSLCVDEKVSDLLGDSPSGSQIKPGRHSVEIVVRDEHRKGRDTKASASLSTESSNRRKEGSHENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKMKKGTYARGTRSL >Manes.03G149900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27706953:27712710:-1 gene:Manes.03G149900.v8.1 transcript:Manes.03G149900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDISKYAHSPVHKAIATRDYATLRKILAGLPRLCDPAEIRTEAVSLVEEEKADTIAAVIDRRDVPNRDTPLHLAVKLGDETAAEMLLVAGADWSLQNEQGWSALQEAICNREEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMQRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDEKVPPGSLCMISHKDKEVMNALDGAGSPATEEEVRQEVAAMFQTNIFRPGIDVTQAVLLPQLTWRRQEKTELVGPWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFSSCNENETESEELNDILTEEERRQLEVALKLDSSELTSENGDGIIAHRHSCYEHREIPIEDGNSCRNGETKQEKKGWFGGWRKRDSKVEGQKKIVPPRSSLCVDEKVSDLLGDSPSGSQIKPGRHSVEIVVRDEHRKGRDTKASASLSTESSNRRKEGSHENEYKKGLRPILWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKFFFIQMKKGTYARGTRSL >Manes.16G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31398832:31401195:-1 gene:Manes.16G108700.v8.1 transcript:Manes.16G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEGSVYFCNSGIRTVIFSIQKASCLLLDLAKNQAFPMVFRVSPNSGYFKQFCIPKSQSLPIGFQLKSQLNSKCESVSNGFRLISAVYCCTVLRARSFSSSCSQRIGKGTLRARKSLPWLSSHKITASKGFENGARPNRSRFQLEESNKRLGKVTAGSSWQESVKRLEKGEAAKTHSSSWEEPAKGLEKGAVRRNARSSWEDSIETASEKQSNYMSRNKDNRNRLLGVMKEGEHDYGYKEKNDTVEEEEDGLDNVDDPRWDKIKNTFEGMADVKGRTDKPEFRRWNEQENWGRKTWREATESTLPKMVGEGVYGVGPVLAALSAGRRELYALYVQEGLDLGGNNKKKKDKKGFEKVLRMAQKMGLSIKEASKHDLNMVVDNRPHQGLVLDASPLEMVKIKELDPVSPEDEKGSLWVALDEVTDPQNLGAIIRSAYFFGASGVVLCAKNSAPLSGVVSKASAGSLEIMELRYCKNMMQFLVASAENGWRVLGGSVSPKAVSLNKILPGEPTILVLGSEGTGLRPLVERSCTQLVRIPGNIPVDVTRRGNDDLESEETNLEHSSEEFRSFLAVESLNVSVAAGVLLHHLIGSSHNDAVCVDDK >Manes.04G127667.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33036217:33037589:-1 gene:Manes.04G127667.v8.1 transcript:Manes.04G127667.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCIQSNRRSGTAPPVLPSHNSPNRRISSPNGGQSQGYGLRQFTYMELAIATEHFSNNVILGEGGFGQVYKGFIDGKLYAVKKLKNQPDEHIEATMEEEIKVISRVRHRNLVELIGYCIQGNNRLLVLEFLSNKSLKFHLHGKEVLDWSNRMKIAIGSAKGLKYLHKDCEPSILAEFCYAF >Manes.05G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10033346:10035161:-1 gene:Manes.05G106200.v8.1 transcript:Manes.05G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEGAESLDEWEQVQLEIVAVRNNSHVQDDLSVFPPSYHEDLQLPPPSPKSPPDSPVLSRPSSVAASNSTEAEEGLPLLSDSISKPIAGNEFGKRLRLRFEILRSGICWIVSRARGGSGFWSFASVSVVVATAVLLYSRVQRWRQRLRKESENRLIHIIKEKDQKISQLLLQIAQMNEMISARKKVPVIRVA >Manes.10G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24606746:24614847:-1 gene:Manes.10G097700.v8.1 transcript:Manes.10G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPPTPPHKLSFRTHRRFLPKRPSYPSPSPSPFPSHSFSWDSSDSSSSAAVGGGTSRCRCTNSSSSDSSSSEWRWDAALQGFIGSAMKRINSFISPRRKEDKELGNVMDVERDDGKGEKEWDWNRWRRHFEEVDEQERVVSVLKSQLDHAVKREDYDDAARLKVAIAAAATNDAVGRVMSQLNRAVAEERYRDAALLRDYAGTGLVGWWSGISEDVHDPYGLIIRITAEHGRYVARSYSPRQLATAAVGVPVFEIFLTKNRKGEYKQQAVYLKRKGFSQDSSTVSSKSPGATNHLNQPGTVEDKSDLLVVSTEDAEDGDDNEDGSDLNDGLPGFQNILRDMIPGVKVKVLKVTAPAKVDRDFISKVIEQIMDEEDDEKDIELESIDSEDEVRGESDQERDEIEMDATHGIIDNEGPSEISVKVVVGGLTQKLSGSMPMKHSLRVPAKLEKKGRRSFCFSIEKDVNQQDSGAKEAGSLDKKAKFQGQRSVDSIMLDLAKFIGREKIPLKVLKDVGDLINFTLSQAQNRQPLSGSTTFHRIEISTSPDPLNGLYIGAHGLYTSEVIHLQRKFGQWQDERGTKEPSSLEFYEYVEAVKLTGDPYVPAGQVAFRAKVGKRYQLPHKGIIPEEFGVIARYRGQGRLAEPGFQNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNRLRLQ >Manes.06G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1559041:1560909:1 gene:Manes.06G010200.v8.1 transcript:Manes.06G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFATIFLLFDVAGLLAVILLFILYFNKSRKLKKMLKKNNPEEGKGSGVVDDDDNDDDNYLEEETKNMNRIELQSKEEAVREEKGGGLIFMEEEEAASFELDDLLKASAEGLGKGIFGNSYKAMMEGRSAIVVKRLRDLKPLSYEEFRKQLSVISEMKHPNLLPLVAYYYSKEEKLLLYKFAEKGNLFNRIHGGKGNKDRIPFRWGARLSVARGVARALEYLHLKNKSQTIVPHGNLKSTNVLLDDNDMVLVSDYGMSSLLALPIAANRLASYKSPEYQASKRVYKKSDVWSYGGLILELLTGRVQAHTAPPGTKGVDLCSWVHRAVREEWTAEIFDIEISVQRSSTPGMLKLLQIAMRCCDKSPENRPEMTEVVREVENIKLVESEDDEDLSLDQSLTDESLSTSASGIMGDER >Manes.04G121600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32333934:32335861:1 gene:Manes.04G121600.v8.1 transcript:Manes.04G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPGLPDDVARDCLIRVMHPQFSTVVSVCKAWKTELELPEFHRRRKLTCNTQKLVVMAQARVERKEDSKAMKYGVSPIYRFTVLEPDTGDWCELPPIPWFSDGLLMFCQVVSVGSDIVVLGGLDPATWEVSNSVSIFNFVSATWRRGSSMPGVRRSFFGCASDSDRTVFVVGGHDGDKNALRSGLAYDVKDDNWFPLPDMTRERDECKAAFLGGKLHVIGGYCTEMQGKFEKDAEVFDFATWKWDHLGDNFLESASCPRTCTICDDELYMCHGGDVLALKGTTWQAIARLPCDVSKIAYVAAWQGKLMVIGSGGFGQPHMAYVLNMQKYEWVKLETPEQYSGHVQSGCYLEI >Manes.17G094500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30258505:30262984:-1 gene:Manes.17G094500.v8.1 transcript:Manes.17G094500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPELSLDFRPTYVPKTISDFLNEVSIIGDISEKVSKLDGFVKGLEEEMRKIDAFKRELPLCMLLLNDAILFLKAESTQCAASNNQPVLEEFIPLKTNCDDDDDEQDGPIKKEKDSKDKKNWMSSVQLWNSNDHHSTDYIFDQKQNLKLESKTTKKGNQFANEDTFQACKGRSPARTFLPFKTYSGLSRKEDNDTSEELPVPGLSLLTPGIKNLRAESGSTRISCSRAVSSSAPNPQPNLRNGQPSQQQTARKQRRCWSPELHRRFVNALQQLGGSQTATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRMPPATAASANQSVVVLGGLWMSQDQYGDSSKTTSSQSGSPQGPLQLAGNTGGTSTTGGDSMEDDEDAKSEGYSWKSHIHRSGKDDV >Manes.08G115900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35548255:35549389:-1 gene:Manes.08G115900.v8.1 transcript:Manes.08G115900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLITFMKGKENKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVCAPFLSSYMLLETYVFFYC >Manes.08G115900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35547060:35549389:-1 gene:Manes.08G115900.v8.1 transcript:Manes.08G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLITFMKGKENKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKYERARGRRNSRGFRV >Manes.05G177700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29402723:29403878:-1 gene:Manes.05G177700.v8.1 transcript:Manes.05G177700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKLLWLLLALQIIHSSSAIDLHPTDVFILAGQSNMAGRGGVDKRKWNGFILPECRPNPSILRLNAQFKWELARDPLHADIDVGKTCGVGPGMAFANGIKANESRIGVVGLVPCAVGGTKISKWAQGTRLYNQLVSRANESVRYGGRIRAILWYQGESDTVWRKDAEAYKGKMEGLIGSLRRDLNIPNIPVIQVALASGEGRYTELVRRGQLAIKLPNVEFVDAKGLSLKADKLHLATTSEVHLGLKLADAFITSFGHMLSN >Manes.15G105102.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8331591:8332181:-1 gene:Manes.15G105102.v8.1 transcript:Manes.15G105102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLLPIIAFHLAIFTLFSSCPTSIVAEVDNDFGTTINHNLYRLKKEKLTHFRFYWHDIYSGPNPTVVPIVKSPNKNSVAGFGFVSMMDDPLTLEPQLSSKVVGRSQGLYAAASQEEAGLLMVMNFVLLEGKYNGSTITILGRNRVVSKVREMPVIGGSGLFRYARGYVEASTYSFNQTSGDAVVEYNVYVLHY >Manes.07G062300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9070686:9080741:-1 gene:Manes.07G062300.v8.1 transcript:Manes.07G062300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISQAAAASTDKTPNHARPPLLPSEKDQQNNGGHVPARKPRGKQVPSRYLSPSPSSSTTTTSTISSSSSASSFSKRFPSPLLSRSTNSGPAHTPSSFSSFSGPKRSQSVDRRRLVTPRPTTPNPESKQGNATEMSAATRMLITSTRSLSVSFQGEAFSLPISKAKAVTSPNVGRKATPERRKATPVRDQGENSRPLDQHRWPGRSREGNLGSAERKPMLSRSLDCSGGDKMSLGSGLMMVKSLQPSVMVDERRLSLDLGNAKQNPDANLVIEYSLTGDLTVSDSDSVSSGSTSGLHELGSGISKGKNGARGIFVSARFWQETNSRLRRLQDPGSLLPTSPNSRMSISSKTSQSKRFGVDGTVTSPRTIASSTIRGATRPASPTKLWTPTASSPSRGISSPSRVRPMSSYPGSTPSILSFSVDLRRVKMGEDRIVDAHMLRLLYNRNLQWRFVNARADASFMLHRLNAEEILWNVWVTISELRHSVILKRIKLLLLRQKLKLTSILKGQINYLEEWSHLDRDHSVSLEGATEALKASTLRLPIVGKAILM >Manes.07G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9070686:9080741:-1 gene:Manes.07G062300.v8.1 transcript:Manes.07G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISQAAAASTDKTPNHARPPLLPSEKDQQNNGGHVPARKPRGKQVPSRYLSPSPSSSTTTTSTISSSSSASSFSKRFPSPLLSRSTNSGPAHTPSSFSSFSGPKRSQSVDRRRLVTPRPTTPNPESKQGNATEMSAATRMLITSTRSLSVSFQGEAFSLPISKAKAVTSPNVGRKATPERRKATPVRDQGENSRPLDQHRWPGRSREGNLGSAERKPMLSRSLDCSGGDKMSLGSGLMMVKSLQPSVMVDERRLSLDLGNAKQNPDANLVIEYSLTGDLTVSDSDSVSSGSTSGLHELGSGISKGKNGARGIFVSARFWQETNSRLRRLQDPGSLLPTSPNSRMSISSKTSQSKRFGVDGTVTSPRTIASSTIRGATRPASPTKLWTPTASSPSRGISSPSRVRPMSSYPGSTPSILSFSVDLRRVKMGEDRIVDAHMLRLLYNRNLQWRFVNARADASFMLHRLNAEEILWNVWVTISELRHSVILKRIKLLLLRQKLKLTSILKGQINYLEEWSHLDRDHSVSLEGATEALKASTLRLPIVGKAIADVQNLKDAVGSAVDVMQVMAPSICLLSSKVEEINSLVAELLNVTAKEKVFLDQCKDFLSTLAAVQVKDCSLRTHIIQLINYPQPVT >Manes.07G062300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9075321:9080741:-1 gene:Manes.07G062300.v8.1 transcript:Manes.07G062300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISQAAAASTDKTPNHARPPLLPSEKDQQNNGGHVPARKPRGKQVPSRYLSPSPSSSTTTTSTISSSSSASSFSKRFPSPLLSRSTNSGPAHTPSSFSSFSGPKRSQSVDRRRLVTPRPTTPNPESKQGNATEMSAATRMLITSTRSLSVSFQGEAFSLPISKAKAVTSPNVGRKATPERRKATPVRDQGENSRPLDQHRWPGRSREGNLGSAERKPMLSRSLDCSGGDKMSLGSGLMMVKSLQPSVMVDERRLSLDLGNAKQNPDANLVIEYSLTGDLTVSDSDSVSSGSTSGLHELGSGISKGKNGARGIFVSARFWQETNSRLRRLQDPGSLLPTSPNSRMSISSKTSQSKRFGVDGTVTSPRTIASSTIRGATRPASPTKLWTPTASSPSRGISSPSRVRPMSSYPGSTPSILSFSVDLRRVKMGEDRIVDAHMLRLLYNRNLQWRFVNARADASFMLHRLNAEEILWNVWVTISELRHSVILKRIKLLLLRQKLKLTSILKGQEVQSLHIMIDGDGWEMIHSTEPLVLS >Manes.14G130800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10434266:10436293:-1 gene:Manes.14G130800.v8.1 transcript:Manes.14G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHEKQKKGFIMSFYMSATKISHRQKTNHQPNVVTNNAVLSRNFSSRKSRNYQETVHGGARVAEGGMVEARKSVSHVETNIGSVASFLQVKVLVTDMPGSMQVRAFRCARRTYDSLDKFSSKHMAFNIKKEFDKVYGPAWHCIVGSSFGSFVTHSTGCFLYFSMDNLYILVFKTKVQRALEN >Manes.08G069400.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741548:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10747538:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741547:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVVWNL >Manes.08G069400.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVGQLNSISPIRDGYYSHHQGLPGMGQIGFRTPTVQGCFHIHSNLQDTALQEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741547:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKISFLILGTF >Manes.08G069400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741548:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTALQEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVGQLNSISPIRDGYYSHHQGLPGMGQIGFRTPTVQGCFHIHSNLQDTEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741547:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKISFLILGTF >Manes.08G069400.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10743426:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLVCHI >Manes.08G069400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTALQEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741547:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVVWNL >Manes.08G069400.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10747538:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741548:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTALQEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10747538:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTALQEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10743426:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLVCHI >Manes.08G069400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10747538:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTALQEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741695:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G069400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10741548:10748369:-1 gene:Manes.08G069400.v8.1 transcript:Manes.08G069400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEMPSHEQKKQNTGSNKNIDVMDNAYGLHVTEEEMYSPTCEYVEEACGPNENDGCTGGGDHVEGNTLKADAVSKGVTIEPQNGLEFETKEAAYSFYREYARSIGFGITIKASRRSKKSGKFIDVKIACSRFGSKRESSATVNPRSCIKTDCKAGMHMKRTQDEKWIIYSFIKEHNHEICPDDFYNSIQGRNKQSGVVACQKKGLQLALDEDDIQVMLEHFMCMQTENPNFFYSLDLDLDKRLRNVFWIDAKGRHDYNFFCDVVFLDTFYVRNNYKVPYAPIIGVNHHFQYMLLGCALIGEQTTSTFIWLMQTWRKAVGGQAPKVIITEQDKCLNEAVSDVFPDTRHCFCLWHILSKMPENLPCVANEGEIFMAKFNKCIYRSWTDEQFEKRWWKMVDKFELKDDEWVNSLFEDRKRWVPTYMQDTFLAGMSTTERYGSIASLFDKYIHKEGTFKEFMEQYKIFLQDLSEMEVTAGFETQNKQTALRSQSSFEKQVLGVYTDAVFEKFQVEVLGAVSCQLQKESEDGPTCNFRVDDFEERQNFLIAWNEAALDIHCLCRSFEYRGFLCKHAILVLQMSGLSNIPSHYILKRWTKDAKIAQSASVISKNLPYRVQRFNDLCKQAIKLCEAGSLCEEAYHIAFQALEEVLKSCVGVNNSVRSASAPNTLAVHGFLDIEEENRSNNMAKSSKKKKIYKKRKVLSEPEGPAVGLQDCYQQLENINSRAHTTENCYVPQQDIHDVELGSRAQALDGFYGPQHGMQVGQLNSISPIRDGYYSHHQGLPGMGQLHSILTCVSSYGSQQSMQALGQIGFRTPTVQGCFHIHSNLQDTALQEQPVGSTQYQSTATKQLHDKRHSH >Manes.08G125000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36528671:36532665:1 gene:Manes.08G125000.v8.1 transcript:Manes.08G125000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMPRTGHTASGGLLPLWSMAYLPGHSHRTPPLLPHLRRLRLLRFFVRRFIGAVFGSKGTHFVFSIEHFCCDRPNPTLQVIYLAIIGATYYFIINSSFSYIPGYYLSEIHWYTSFFAVGVGILFFLLTSFSDPGTVKADNVSEYLSAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIALGLVLAGRLKELRVIYILTVYYGIENSFRSLAPHVVQWLLGSYNTQILLMVFLAIVSLLLAGFFGYHANLCLKNTTTNETFKWQEYINWQRKLSEARASAAALKASISGMSSEEKRPASKCKSFFQRSPLEDVEVVVKRNMYDKGYFHNLCEIIFPLSTRPSFLQKKSK >Manes.08G125000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36528671:36532663:1 gene:Manes.08G125000.v8.1 transcript:Manes.08G125000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMPRTGHTASGGLLPLWSMAYLPGHSHRTPPLLPHLRRLRLLRFFVRRFIGAVFGSKGTHFVFSIEHFCCDRPNPTLQVIYLAIIGATYYFIINSSFSYIPGYYLSEIHWYTSFFAVGVGILFFLLTSFSDPGTVKADNVSEYLSAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIALGLVLAGRLKELRVIYILTVYYGIENSFRSLAPHVVQWLLGSYNTQILLMVFLAIVSLLLAGFFGYHANLCLKNTTTNETFKWQEYINWQRKLSEARASAAALKASISGMSSEEKRPASKCKSFFQRSPLEDVEVVVKRNMYDKGYFHNLCEIIFPLSTRPSFLQKKSK >Manes.08G125000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36528671:36532665:1 gene:Manes.08G125000.v8.1 transcript:Manes.08G125000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQWILICHGLATLLVVVSFLCGQWPIFQGTPIERLHYFLTFGAYDYFVRFIGAVFGSKGTHFVFSIEHFCCDRPNPTLQVIYLAIIGATYYFIINSSFSYIPGYYLSEIHWYTSFFAVGVGILFFLLTSFSDPGTVKADNVSEYLSAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIALGLVLAGRLKELRVIYILTVYYGIENSFRSLAPHVVQWLLGSYNTQILLMVFLAIVSLLLAGFFGYHANLCLKNTTTNETFKWQEYINWQRKLSEARASAAALKASISGMSSEEKRPASKCKSFFQRSPLEDVEVVVKRNMYDKGYFHNLCEIIFPLSTRPSFLQKKSK >Manes.08G125000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36528671:36532665:1 gene:Manes.08G125000.v8.1 transcript:Manes.08G125000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQWILICHGLATLLVVVSFLCGQWPIFQGTPIERLHYFLTFGAYDYFVRFIGAVFGSKGTHFVFSIEHFCCDRPNPTLQVIYLAIIGATYYFIINSSFSYIPGYYLSEIHWYTSFFAVGVGILFFLLTSFSDPGTVKADNVSEYLSAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIALGLVLAGRLKELRVIYILTVYYGIENSFRSLAPHVVQFLSYWQDFLDTMPTCVSRTPQQTRPSSGKST >Manes.08G125000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36528671:36532662:1 gene:Manes.08G125000.v8.1 transcript:Manes.08G125000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQWILICHGLATLLVVVSFLCGQWPIFQGTPIERLHYFLTFGAYDYFVRFIGAVFGSKGTHFVFSIEHFCCDRPNPTLQVIYLAIIGATYYFIINSSFSYIPGYYLSEIHWYTSFFAVGVGILFFLLTSFSDPGTVKADNVSEYLSAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIALGLVLAGRLKELRVIYILTVYYGIENSFRSLAPHVVQWLLGSYNTQILLMVFLAIVSLLLAGFFGYHANLCLKNTTTNETFKWQEYINWQRKLSEARASAAALKASISGMSSEEKRPASKCKSFFQRSPLEDVEVVVKRNMYDKGYFHNLCEIIFPLSTRPSFLQKKSK >Manes.08G125000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36528671:36532662:1 gene:Manes.08G125000.v8.1 transcript:Manes.08G125000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQWILICHGLATLLVVVSFLCGQWPIFQGTPIERLHYFLTFGAYDYFVRFIGAVFGSKGTHFVFSIEHFCCDRPNPTLQVIYLAIIGATYYFIINSSFSYIPGYYLSEIHWYTSFFAVGVGILFFLLTSFSDPGTVKADNVSEYLSAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIALGLVLAGRLKELRVIYILTVYYGIENSFRSLAPHVVQFLSYWQDFLDTMPTCVSRTPQQTRPSSGKST >Manes.08G125000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36528671:36532665:1 gene:Manes.08G125000.v8.1 transcript:Manes.08G125000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRLVSDSFSLLFDVLVFYLEFFVRRFIGAVFGSKGTHFVFSIEHFCCDRPNPTLQVIYLAIIGATYYFIINSSFSYIPGYYLSEIHWYTSFFAVGVGILFFLLTSFSDPGTVKADNVSEYLSAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHFLLCIYGTIALGLVLAGRLKELRVIYILTVYYGIENSFRSLAPHVVQWLLGSYNTQILLMVFLAIVSLLLAGFFGYHANLCLKNTTTNETFKWQEYINWQRKLSEARASAAALKASISGMSSEEKRPASKCKSFFQRSPLEDVEVVVKRNMYDKGYFHNLCEIIFPLSTRPSFLQKKSK >Manes.02G162050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12732628:12733279:1 gene:Manes.02G162050.v8.1 transcript:Manes.02G162050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRAAAFFIYLLFFFFLVFLIEKERKRCGGNIINDLKCDRDEFSRGVARGRGEIL >Manes.06G057500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15614096:15616482:-1 gene:Manes.06G057500.v8.1 transcript:Manes.06G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPMLNSWFPHSKEPSAEPEFFHQIYKTRSLALTASCYSSFSSSSISSHDDSMKKMTKALSEPDLRCLSALKKKPVIKVMDGFALEDEDEKMASSGTGLSLGCVVLNEESNVRTKDNRLLGLLVGGGIGSGGGKICGGGGGGSDNGDGGNSGFWDSNSGNDRTDVYYQKMIEANPGNSLLLSNYAKFLKEVRADFVKAEEYCGRAILENPNDGNVLSMYADLIWQRHRDASRAEAYFDQAVKAAPDDCFVLASYARFLWDTEEDEGHEGEEMSESSPPTLFHGKSPPLAAAS >Manes.18G025104.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5850373:5851041:-1 gene:Manes.18G025104.v8.1 transcript:Manes.18G025104.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFHSPDILFLIETKNNRNFVKKKINQCGFVDMLVVNPQGLAGGLVLAWRDYMSVSVVKYYSFFVHVSIMDQNSNAMFSAIFLYARCVDAERNDQFNFILEYSRALNDAFILIDDFNCILHSWERKDGRGINLSQIAIFRSFVNTLRNNNRDDCLNIQERLDWSLASFSWCQFYPNAYVERLEDIGFDHRPLLVCSSPNMAKAKKYFY >Manes.15G179456.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16766516:16774567:1 gene:Manes.15G179456.v8.1 transcript:Manes.15G179456.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPDSIKVKNLEDKSKSKKKKKDEGRRKEEEIGCWAKFRLMGGCMPKRSKVDSSLSGITTQYVESKSTDEKSKDQPVIPVVSPPTSNGESASSTPKFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGNLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRVKIALGAAKGLAFLHEEAERSVIYRDFKTSNILLDADYNAKLSDFGLAKDGPESGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPLFGDRRRFYRLLDPRLEGHFSIKGAQKAIQLAAQCLSRDPKARPRMSEVVETLKPLPNLKDMASSSYYFQAMQADANKSSVHNTNGIRTQGGFIKRNGQPLRSLSSLSDSRASPYNQPYQSPKPNGKKS >Manes.15G179456.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16766537:16774567:1 gene:Manes.15G179456.v8.1 transcript:Manes.15G179456.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPDSIKVKNLEDKSKSKKKKKDEGRRKEEEIGCWAKFRLMGGCMPKRSKVDSSLSGITTQYVESKSTDEKSKDQPVIPVVSPPTSNGESASSTPKFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGNLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRVKIALGAAKGLAFLHEEAERSVIYRDFKTSNILLDADYNAKLSDFGLAKDGPESGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPLFGDRRRFYRLLDPRLEGHFSIKGAQKAIQLAAQCLSRDPKARPRMSEVVETLKPLPNLKDMASSSYYFQAMQADANKSSVHNTNGIRTQGGFIKRNGQPLRSLSSLSDSRASPYNQPYQSPKPNGKKS >Manes.15G179456.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16766622:16774567:1 gene:Manes.15G179456.v8.1 transcript:Manes.15G179456.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPDSIKVKNLEDKSKSKKKKKDEGRRKEEEIGCWAKFRLMGGCMPKRSKVDSSLSGITTQYVESKSTDEKSKDQPVIPVVSPPTSNGESASSTPKFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGNLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRVKIALGAAKGLAFLHEEAERSVIYRDFKTSNILLDADYNAKLSDFGLAKDGPESGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPLFGDRRRFYRLLDPRLEGHFSIKGAQKAIQLAAQCLSRDPKARPRMSEVVETLKPLPNLKDMASSSYYFQAMQADANKSSVHNTNGIRTQGGFIKRNGQPLRSLSSLSDSRASPYNQPYQSPKPNGKKS >Manes.15G179456.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16766622:16774567:1 gene:Manes.15G179456.v8.1 transcript:Manes.15G179456.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPDSIKVKNLEDKSKSKKKKKDEGRRKEEEIGCWAKFRLMGGCMPKRSKVDSSLSGITTQYVESKSTDEKSKDQPVIPVVSPPTSNGESASSTPKFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGNLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRKGSLPLPWSIRVKIALGAAKGLAFLHEEAERSVIYRDFKTSNILLDADYNAKLSDFGLAKDGPESGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPLFGDRRRFYRLLDPRLEGHFSIKGAQKAIQLAAQCLSRDPKARPRMSEVVETLKPLPNLKDMASSSYYFQAMQADANKSSVHNTNGIRTQGGFIKRNGQPLRSLSSLSDSRASPYNQPYQSPKPNGKKS >Manes.15G179456.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16766515:16774567:1 gene:Manes.15G179456.v8.1 transcript:Manes.15G179456.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPDSIKVKNLEDKSKSKKKKKDEGRRKEEEIGCWAKFRLMGGCMPKRSKVDSSLSGITTQYVESKSTDEKSKDQPVIPVVSPPTSNGESASSTPKFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGNLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRKGSLPLPWSIRVKIALGAAKGLAFLHEEAERSVIYRDFKTSNILLDADYNAKLSDFGLAKDGPESGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPLFGDRRRFYRLLDPRLEGHFSIKGAQKAIQLAAQCLSRDPKARPRMSEVVETLKPLPNLKDMASSSYYFQAMQADANKSSVHNTNGIRTQGGFIKRNGQPLRSLSSLSDSRASPYNQPYQSPKPNGKKS >Manes.03G139664.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26723905:26728580:1 gene:Manes.03G139664.v8.1 transcript:Manes.03G139664.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPFPFFQLLFTFIFVILPITFKILQKYKTEKLPPGPRKLPLVGNLHQLVGSLPHHSLRNLAKKYGPVVHLQLGQVSTVIISSPDMAKEVMKTHDIIFAYRPNLLAARIMSYDSTNIAFSPYGNYWRQLRKICMMELLSPNRVQSFRSIREDEVETLIKTISSSAGSPVNLGEKVFSMIYSITARAAFGEKCKDQEQFMSLILRSSALAGGFCLGDMYPSVKALQVISGMKPKLEKLHQEMDKILDNILKEHREEKLAAKTGDEEGREDLVDILLRFQDKGDLEFSLADNNIKAVILDILGGGSETSATTIEWAMSEMLRNPRVMKEAQAEVRRVLDGKVDMDEGSIQELKYLKLVIKETLRLHPPVPLLLPRECSETCEINGYKIPAKTRVIVNAWTLGRDPSYWSEAETFFPERFVDSSIDFKGTNFEYIPFGAGRRICPGISFAQPSIELPLAHLLYHFDWKLANGLKHEDLDMTETCGLTARRKQFLVLIPIPYK >Manes.12G035400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3126608:3132585:1 gene:Manes.12G035400.v8.1 transcript:Manes.12G035400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTKQNSVRTMNPWVLHLQKLGLELKCPLCLNFLKRPFLLPCDHIFCNACLPETTQFGPQCPVCKAQYVDRDLRLMPFIENMVTIYRSLDAAFFANLFQSSSSDTGRILEQYPTSKSADCNDKFCKEPFKAAREDNSNSGRSMFMLTSNNLAQVPLNGSAENGVQKIDMADKCNVTKHLKYKEYDMLASGGDKGDGEQNINSPPASSLIRAGGIQECSIMQIGMNQVDQLSAGSPPSFGDIKSSENDSYDQGGDSSPKNYQAMRLIKRNLDDSIRPERYDSFASRIDGVDTRDSKRHKKLSYGPLNVCTNNMNHMVASSQAENLGTNCCQSEDKSVPPHNGAQPLALLDDLSTGKIICEFCQSSRISKDTGPMLHYVNGKPVEGDEAFLSSAIHVHRACIEWAPQVYFVGENVKNLKAELARGAKLKCSSCGLKGAALGCYHKSCRRSYHVPCARAVAGCRWDFDDFLVLCPSHSSVRFPSEKKFKSKKHNLEHCHVPTQIAPKQSNFLSESLHGAEEWVFCGSALSSEEKCLLVEFGSMIGVPVTKFWKQNVTHVIAATDTKGACTRTLKVLMAILNGRWVLTIDWVKACMKSMCPVDEEPYEVGLDNHGCCNGPRTGRLSVLDKAPKLFDGLRFYFSGDFVLGYKEDLQNLVTTAGGTVLRIVEELVEKTHEPAAAAAPSKTIVVYNLDPPQGCKLGEEVSILWQRLNEAENLAAKIGSQVIGHTWVLESIASYKLQPFVSLHGIRDASNSIIEE >Manes.04G098500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30288836:30289606:-1 gene:Manes.04G098500.v8.1 transcript:Manes.04G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVNFSSQFALFFSFFLLFFTVSAFNVTELLSDHSDFSNFNDKLSRTKLADTINHRKSITILAVDNGNLSPLDGLSSDAQKRVLSLHVILDYYDAAKLKKIAKKSATLTTLYQSTGQARGRQGFLNATDRGGGQVAFGSAVAGSNLNSNLVKSVTSKPYDISVLQVSSLIMPDSVVKSGSPAKAPSPLSDSPSPAPATSPSPPADSSASSPMTDGPDAADGPAADSPSGANGGTGTSLAMVATALSSAWVLAMMI >Manes.17G030610.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22563031:22563994:-1 gene:Manes.17G030610.v8.1 transcript:Manes.17G030610.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLKNIACKISKDHTSNETNTLIGCCCIRDDFESKHEKKLKEFKNMLKEDGENAAVQGLAMVDAIQRLGIEYYFQEEINAILQNHYTIINTHDYDINLPETALCFRLLRQEGYYVPAEVFDSFKDDEGNFKQELSSDIKGLMELYEASQLSIEGVDILDEAGEYSHQLLNSYVTCLGYTQERALVEHTLKHPHHKSLPKLLAKDFISRNFRGANGWMNELQELAKFDFEMTQSQHQQEILQISE >Manes.S034916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:889972:890139:1 gene:Manes.S034916.v8.1 transcript:Manes.S034916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.17G019783.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10559948:10671160:-1 gene:Manes.17G019783.v8.1 transcript:Manes.17G019783.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVANLLQRYLGNYVHGLSKEALKISAWKGDVELTNMQLKPEALNALQLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDQIFLLAEPATQVEGRSEDAVQEAKRSRVREMEMKLLERAQLLKSEVNTTWLGSLINTIIGNLKLSISNIHIRYEDLESNPGHPFAAGLSLGRLSAVTVDDNGMETFVIGGTLDRVQKSVELDQLAIYLDSDISPWYISKPWENLRPSEWVQVFRFGTKDGKPSDSIMKKHSYILQPVTGNAKYSKLRSNESVNGGQPLQKAEVNLDDVTLCLSKDGYRDILKLADNFAAFNQRLKYAHYRPLVPVKYNTRLWWNYAYRVVSDQLKKASGKLSWEQVLRYASLRKRYISLYASLLKSDPKRAIIDDNKEIEELDRELDIELILQWRMLAHKFVERSMESELDSMKQKAKKSWWSFGWSSQSFKDESEQFRFSDEDWDQLNKLIGYKEGDDGQSVIFNEKMDALHTYLEVHMQHNASKLVEDHKCLAELSCDGFDCSIKLYPETKVFDMKLGSYQLSSPNGLLAQSATALDSLIGAFCYKPFDAKVDWSMVVKASPCYMTYLKDAIHEIIKFFESNHSVSQTIALETAAAVQMTIDGVKRTAQQQVNRALKDQARFLLDLDIAAPKITIPTEFRPNNVHATKLLLDLGNLVIRSQDDNKRRPSEELNMYLQFDLVLSDISAFLVDGDYNWSQAPLRKSADSGKSNGVSFLPVIDKCGVIVRLQQIRLENPSYPSTRLSVRLPSLGFHFSPARYHRLMQVARMFQDEDAENSSLLCFWDQADFEGWLHLLIRKGVANRAAEWQRRYLCLVGPFLYLLEDSSSKSYKQYLSLRGKQLYQLPAELVGGMHHVLAICDTGRPVNKVVEDVNSVILLCDSGDSRRNWQSRLQRAIYSASGSAPITGLSETSSDSESSEMGLNSNTDTSDALEMERVFLTGVLDELKFCFNYNRQHDLSFLKVLLSEEIPLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGISRSSFLARSFIRSEEHSSSLEQAGSKSFNNNNVTPSEGEDKFYEASEDLVDPECLASPISHPFEDALLKLPTFTRISGLLPVDTVQNRMEDVELTDTLDSFVKAQIIIYDQNSSLYNNIDMQVSVMLATLSFFCRRPTILAIMEFVNAISVEEGNSESGSGNYSALMVEHDDIRGVVDDQNLSTIEDPVVKGLLGKGKSRIIFNIVLNMARAQIMLMNENETKLASLSQDNLLTDIKVFPSSFSIKAALGNLRISDESLPSNHAYFWICDMRNPGGSSFVELVFASFSVDDGDYEGYEYSLFGQLSEVRIVYLNRFVQEIVSYFMGLSPNNSKGLVKLNDQVTNSGKSFTTSEIEGSPALKLDISLRKPIILMPRRTDSPDYLKLDVVQITVQNTFHWFYGDKSEMSAVHLELLMIQVEDINLNVGIGTELGESIIQDVKGVSIGIRRSLRDLLHQIPIVEATIKIEDLKAVLSNREYQIITECALSNMSETPHLLPPLELDSGAFSADAVEPVVSQDNIGELEAQNGKVWISMKVSVLINLVELSLHVGVARDASLATIQVAGGWLLYKSNNLGEGFLSATLKDFIVIDDREGIEEEFRLAIGKPEKIDYGPLCAIAEHENQNVANANFKTETEMKPTPTMLILDAKFGQRSTIVSLCVQRPQLLVALDFLLAVVEFFVPAVGGMLSNEENKNPLHEVDAVILDKSIYRQSLAEVSLSPLTPLIVDEERYDHFVFDGQGGILHLKDKQGHNLSAPSKEAIIYVGSGKKLQFKNVVIKNGKYLDSCIFLGSNSSYVASRDDQVHLEEEDEASNMDSSSGSINGLQTQNAAVDRSTEIVLEFQAIGPEFTFYNRSKDLGMSSTISNKLLHAQLDAFARLVLRGDIIEMTANALGLMMESNGIRILEPFDTSMSYLNASGKTEIHLSITNIFMNFTFSILRLFLAVEEDILSFLRMTSKQKTVACFEFDKVGTIRNPHSDQVYAFWRPRAPPGFAVLGDYLTPLDKPPTKGVVAVNMNFARVKRPISFKLIWPLLASKEISNQGITSSVTLPNGQSSGDDSCSIWFPEAPRGYVALGCVVSAGRKPPPLSSVFCILASLVSPCPLRDCIAIFSPNLYPSALAFWRVENSLGTFLPADPMTLGLLGGSYELRNIKFGLQEFSPKASKNSDAQAFSTGDVDGLQSGKSSSVNSARRFEAVASFQLIWWNRTSTSRKKFSIWRPIVPQGMVYFGDIAVKGYEPPNTCIVLHDTGDEEFFKAPLDYQLVGQIKKQRGMESISFWMPQAPPGFVSLGCIACKGSPKLHDFSKLRCMRSDMVAGDQFLEESVWDTSEAKLTAEPFSVWTVGSELGTFMVRNGFKKPPKRFALKLADTNIPSVSNDTVVDAKIGTFSAVIFDDYGGMMVPLFNVSLSGIGFNLHGRTDYLNSTISFSLAARSYNDKYEAWEPLVEPVVGFLRYQYDLNAPGAASQLRLTSTRDLNLNITVSNVNMIIQAYASWNILSNVHEYYKKREEFSPTYGANSVIDVHQKRNYYIIPQNNLGQDIFIRATEIRGPANIIRMPSGDMKPVKVPVSKNMLDSHLNGKLCSKVRKMVTVVILDAQLPGVGGLSSNFYTVAIRLTPNQVLDSEPVFHQQSARTSGSISNSSSEVELVNWNEIFFFKVDSQDNFLLELIVTDMGKGDPVGFFSAPLNQIAGNIQENLNWCDYLNYLTWIDLSPAKYLTTHLGDKHKSSRRIRCAVLLSPGSDSEDRNDVSNDRSKSGFIQISPSMQGPWTTVRLNYAAPAACWRLGNDVVASEVSVKDGNRYVNIRSLVSVYNNTDFMLDLHLVSSDSRTPKDLQSDDGAETDEFLETEIYSPTVGWVSYSNYLEREGFHEASFGGQLPSGWEWIDDWHLDTPSVNTEGWVYSPDVESLKWPESFDPLKFVNHARQRRWIRNRRQISGGVKQEISIEPLKPGETVPLPLSGLTHSGMYVLQFRPSRASTHDEYSWSSVVDRPDRTLQYGMLRGSEICVSTLTESDELLYCTQITGTSSNSSHRLWFCVSIQATEIAKDIHSDPIQDWTLVVKSPLSISNYLPFAAEYSVLEMQVSGHFVACARGIFAPGKTVKIHTADIGKPLFFSLVPQRGWLPIQDAVLISHPSGFPSKTISLRSSVSGRIVQLILEQSYGKEQPLLAKVIRVYAPYWFSIVSCPPLTYRLIDLTQKKHARKIAMPFESKKSNEVILGEITEEEIYEGCTIASALNFNFLGISVSIAQPGEDQHHGPVTDLSPLGDMDGSLDLYAYDANGNCMKLFISTKPCLYQSVPTKVISVRPFMTFTNRLGQDISIKLNTGDEPKVLLAFDSRVSFVHCRADGADQLQVRLEDTEWSYPVKITKEDTFFLVLRRSNGTRKILRTEVRGFEEGSRFIVVFRCGSTDGPIRIENRTSSKTISIRQSGFDDDAWFVLVPLSTTNFSWEDPYGQKFIDVKIDSDGRISILKFDLERTGISSAQDGGETRLQFYVTEMGDIKVARFTDNRGSISHGESTSVTPSGYWGDSHRQNEILNETTPLELIVELGVVGISVVDHRPKELCYLYLERVLVSYSTGYDGGKTSRFKIILGYLQLDNQLPLSLMPVLLAPEQTADIHHPVFKMTITKHNENTDGIQVYPYVYIRVTEKVWRLNIHEPIIWAFVDFYNKLQLDHVPQSSSVTQVDPEIRVELIDVSEIRLKVSLETAPAQRPHGVLGVWSPILSAVGNAFKIQVHLRRVMHRDRFMRRSSIMPAVGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVGSRRITGVGDGIIRGTEALAQGVAFGVSGVLTKPVESAKQNGLLGLAHGLGRAFLGFIVQPVSGALDFFSLTVDGIGASCSKCLEVFSDKTTFHRVRNPRAIRADCILREYCEKAAVGQMILCLAEASRRFGCTEIFKEPSKYAWSDYFEEFFIVPYQRIVLVTNKRVMLLQCSSLDKMERKPSKIMWDVPWEELMTLELAKAGCRQPSHLLLHLKNFKRSENFVRVIKCNVEEESERSEPQAVRICSVVRRMWKAYQSDLKSLILKVPSSQRHVYFSWSEADGEEPHIPSKAIIESRELSSSSNASGEGKFVKHSINFLKIWSSEYEPKSRCKLCRKQVPGENRICSIWRPVCPNGYISIGDIARVGSHPPNVAALYHDIDRLFALPVGYDLVWRNCPDDYKNPVSIWHPRAPEGFVAPGCVAVAGFEEPEPHLVRCVAESLLEETEFEEQKIWSAPDSYPWACHIYQVKSDALHFAALRQKREDSDWKPVRVVDYPHFPAIG >Manes.01G191000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36657869:36659007:-1 gene:Manes.01G191000.v8.1 transcript:Manes.01G191000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTETLTKSFSFLNRAVVKRQVHSSLECTVQQVEEVKVVIKILPIFSCTIMLTCCLAQLSTFSVQQAATMSTKLGSLKVPPASLPFFPVIFIMILAPIYDHFIIPFARKVTKSEMGITHLQRIGIGLVLSVIAMGVVALVEIKRKKVATNSGLLDSNDPLPITFFWIAFQYLFLGSADLFTLAGLMEFLFTEAPTSMRSLATSLSWASLAMGYYLSSVIVSIVNSITGNSTRRPWLSGDNINYYQLERFYWLMCVLSAVNFLHYLLWANWYKYRSTRSGWQSQFTHST >Manes.07G074366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15623376:15625629:-1 gene:Manes.07G074366.v8.1 transcript:Manes.07G074366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFLIPFMQHLTCSVIPSIFEPCGLTQVTKQDMEDTFQPPFRSCVLDGNVASVMCSYNQVNGKPTCADPNLLSGVIRGEWKLNGYIVSDCDSVYEFFNGQHYTKTPEEAAATQYWQVWISIAGDS >Manes.01G073100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27505227:27514019:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGGGIMAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVIFALKNLLNVCFFCMLVLASSYV >Manes.01G073100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27505227:27514014:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGGGIMAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVFGMDKRYWFIPAYSEDDLKRMPVLQGFEYPTRPELNELQQL >Manes.01G073100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27506292:27515041:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGGGIMAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVIFALKNLLNVCFFCMLVLASSYV >Manes.01G073100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27506295:27514019:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGGGIMAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVIFALKNLLNVCFFCMLVLASSYV >Manes.01G073100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27504967:27514014:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVFGMDKRYWFIPAYSEDDLKRMPVLQGFEYPTRPELNELQQL >Manes.01G073100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27506532:27510880:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGGGIMAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVIFALKNLLNVCFFCMLVLASSYV >Manes.01G073100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27506292:27515045:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGGGIMAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVFGMDKRYWFIPAYSEDDLKRMPVLQGFEYPTRPELNELQQL >Manes.01G073100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27504967:27514014:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVIFALKNLLNVCFFCMLVLASSYV >Manes.01G073100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27506295:27514019:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGGGIMAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVFGMDKRYWFIPAYSEDDLKRMPVLQGFEYPTRPELNELQQL >Manes.01G073100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27505227:27514014:1 gene:Manes.01G073100.v8.1 transcript:Manes.01G073100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGGGIMAWNVFRFCTALRALGSIMMVMVLGIIGLTYYVLVIADYGPALFHGGLESLVSFIVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSVDEERGDADPLIGLEHGSNFGPNQSSVFGDPANQRMRFCRKCNQFKPPRCHHCSVCRRCILKMDHHCVWVVNCVGALNYKFFLLFLVYTFLETTLVTLSLLRLFIAFFTDGEISGTPGTLVATFITFVLNLSFALSVVGFLIMHISLVLANTTTIEAYEKKIDPKWQYDLGRKKNFEQVIFALKNLLNVCFFCMLVLASSYV >Manes.02G028000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2342228:2346038:1 gene:Manes.02G028000.v8.1 transcript:Manes.02G028000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEDQSMSLFGISLSVRPKWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGLVYLVLIYFQGFTIKQMVNPWKTYVKLSAVLMSSHGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPFHEYISALLLVIGLILFTLADAQTSPNFSMIGVVMICGALIMDSLMGNLQEAIFTMNSNTTQIEVLFCSTVVGLPFLIPPMILTGELFKAWNSCSQHPYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYMIFTKPLTEQHGTGLLLIAMGIILKMLPDNQPPKKQQGKSHLKEVKGVVDNRESEGDEENRPLV >Manes.14G101700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8425385:8431446:1 gene:Manes.14G101700.v8.1 transcript:Manes.14G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTSLASFFILILCFNGVTSSSTPTKIGKGYRLISIEETPDGGFLGHLQVKQKNNIYGPDIPLLQLFVKHETQDRLRVHITDAEKTRWEVPYNLLPREQPPALKQAIGRSRKNPITVQEFSGSELIFSYKADPFSFAVKRKSNGQTLFNSSSDESDPFGEMVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLNPGDPYTLYTLDISAINLNTDLYGSHPVYMDHRVVNGQTFTHSVLLLNSNGMDVFYRGTSLTYKIIGGVFDFYFFAGPSPLTVIDQYTTFIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVDSYKKAQIPLDVIWNDDDHMDGKKDFTINPTNYPRPKLLAFLEKIHSIGMKYIVIVDPGIGVNSSYGVYQRGIANDVFIKYHGKPFIAQVWPGAVHFPDFLNPKTVEWWGDEIRRFHELAPVDGLWIDMNEASNFCTGLCTIPNKQCPTGTGPGWICCLDCKNITNTRWDDPPYKINASGIQSPIGYKTIATSAVHYNGVLEYDAHSLYGFSQSIATHKALQGLEGKRPFILSRSTYVGSGKYVAHWTGDNQGTWENLRYSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWETVAESARNALGMRYKLLPYLYTLNYEAHISGAPIARPLFFSFPSYAECYGLSTQFLLGSSLMVSPVLEQGKSQVKALFPPGSWYSIFDMAQTITSKDGKYVTLDAPLHVVNVHLYQNSILPMQQGGLISKQARMTPFSLVVTFPAGASNAEAEGSLYLDNDELPEMKLGNGYSTYVHLYATANEGTVKLWSKVEEGKFALQKGWIIEKVTVLGLDGSGQPSALEVNGSPIAGASKVELSSMEQKYHKDVEVGDIKKSVMVEVKGLDLPVGKDFSMSWKMGISR >Manes.16G051100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:11368070:11370897:-1 gene:Manes.16G051100.v8.1 transcript:Manes.16G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIIFKRASKLSNMIAQVKCFLVVSPVVSEKSNPSNNPFSILVKNRCFCSNRLTHNLKFYCSGSTQSHSSFSGSPVSRTAKTEAQAVLCEYLHYTRSLSITDAEHISKNSPHFLHDLLSKIDTDKDVTRSLNKYLRYNPINEFEPFFESLGLCPSEFSPLLPRHLMFLSDDHVLLENFHVLCNYGIPRSKIGKMYKEAREIFMYEYGLLDSKLLAYENLGLSKSTVVKLVSCCPSLLIGGVDSDFVNVLEKLIRLGVGNDHIRGYFSCSDSCNWKRILDTMDFLDKVGYREEQLCNLFKTNPHLVLHGSGKKVYVLFGRLLKLGLDMNELYSLFVQNPQILSAKCEKNLLRTLDILLYFGMGAEEIANLVSNHMELLCSCSLKGPKTICKDLNIKKDSLSEIIRHDPIKFFSLASKSVRSSEQIVSEDHGKKMDKTAFLLSLGYVENSDEMTRALKRFRGRGDQLQERFDCLVKAGLDCNSVSSLIKQAPMVLNQSKDVIEKKIDYLANCLGYPLAAVVAFPAFLCYDIERINHRFRMYVWLRDRGAAKRMLSLSTILACSDARFVKYFVDVHPEGPAMWESIRNASSPS >Manes.10G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5396253:5416133:-1 gene:Manes.10G049000.v8.1 transcript:Manes.10G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSNIEMLLSLDDRLQGPSGNLEDNLQNELELILQSQQNQRVIDRDRNLNIFRSGSAPPTVEGSLSAVGSLLRNSNFSDINSISNNSGNNLVLSDDEIRSHPAYLSYYYSHDNINPRLPPPLLSKEDWRVAQRFQAGGALRGGSGDLRKKKLVDEGNGSSLFSMQPGLSVQKLETDLMELRNPNRSNFSMQNSAEWLDRGSGGLTGLQGAGIGGRRKSFADIVQEGSDRPASLSGHLSSPASHNAFGDLVGTMGMCDPYQPGLCGGLDSLEGLGSGSASLGMVRVQSHGSAVSHSFSSALGSSLSRSTTPEQKLVGRSPSPGLPPLGSRVAPLEKRNVVGSTNQNGHSSGINELGEIAATLSGLNLSNIRHAEQDGHVHLNKQPDFLFNTSNGHNHLQQQLIQMSNAENLSFSSNYIDVARKNGGLPNLNASEFISHGEVSIPKRTTFANLHSKSSGFGGLERSDGHNQNAKVPALGGSGIRHSLNREGNQTGTDFHFPVMDARYIQYLQRTSDYATHANGPQVRNCFPTSHGDLDGIQKAYLEALLAQQNQLYETAVVKSGNLNQEHHMNSSYGLGMPHLGNSMANSMLIPSVGSGSFQDERVAHLTSMVRNSMGGSIGPWHSDVGNNVERRYVSSVLDEFKNNKTRSFDLSDIVDHVVEFSMDQYGSRFIQQKLETATVEEKNKIFPEVVPHARTLMTDVFGNYVIQKFFEHGTECQRTELASQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQTQMVAELDGSVMKCVRDQNGNHVIQKCIECVPEDRIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCENMKTQQLIMDEIMQSVCILAQDQYGNYVIQHVIEHGKPNERSAIISKLAGQIVKMSQQKFASNVVEKCLTFGGPEERQILVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQNLELILSRIRLHLNALKRYTFGKHIVSRVEKLITTGERRIGLSSSLSS >Manes.10G049000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5397853:5415576:-1 gene:Manes.10G049000.v8.1 transcript:Manes.10G049000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALWKQLFAIVYTAWFYQSFIEHRLILRNFCALSEMVTGSNIEMLLSLDDRLQGPSGNLEDNLQNELELILQSQQNQRVIDRDRNLNIFRSGSAPPTVEGSLSAVGSLLRNSNFSDINSISNNSGNNLVLSDDEIRSHPAYLSYYYSHDNINPRLPPPLLSKEDWRVAQRFQAGGALRGGSGDLRKKKLVDEGNGSSLFSMQPGLSVQKLETDLMELRNPNRSNFSMQNSAEWLDRGSGGLTGLQGAGIGGRRKSFADIVQEGSDRPASLSGHLSSPASHNAFGDLVGTMGMCDPYQPGLCGGLDSLEGLGSGSASLGMVRVQSHGSAVSHSFSSALGSSLSRSTTPEQKLVGRSPSPGLPPLGSRVAPLEKRNVVGSTNQNGHSSGINELGEIAATLSGLNLSNIRHAEQDGHVHLNKQPDFLFNTSNGHNHLQQQLIQMSNAENLSFSSNYIDVARKNGGLPNLNASEFISHGEVSIPKRTTFANLHSKSSGFGGLERSDGHNQNAKVPALGGSGIRHSLNREGNQTGTDFHFPVMDARYIQYLQRTSDYATHANGPQVRNCFPTSHGDLDGIQKAYLEALLAQQNQLYETAVVKSGNLNQEHHMNSSYGLGMPHLGNSMANSMLIPSVGSGSFQDERVAHLTSMVRNSMGGSIGPWHSDVGNNVERRYVSSVLDEFKNNKTRSFDLSDIVDHVVEFSMDQYGSRFIQQKLETATVEEKNKIFPEVVPHARTLMTDVFGNYVIQKFFEHGTECQRTELASQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQTQMVAELDGSVMKCVRDQNGNHVIQKCIECVPEDRIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCENMKTQQLIMDEIMQSVCILAQDQYGNYVIQHVIEHGKPNERSAIISKLAGQIVKMSQQKFASNVVEKCLTFGGPEERQILVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDQNLELILSRIRLHLNALKRYTFGKHIVSRVEKLITTGERRIGLSSSLSS >Manes.01G114100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31177809:31178956:1 gene:Manes.01G114100.v8.1 transcript:Manes.01G114100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPVLFLFLLSFLLLVSVSSSHFSSVAMKSGPLHSKKNAVANPEKVSLSLYYETLCPYCRNFIVDPLAKAINTDLMTILDLEMVPWGNAMILPNTSILCQHGEDECYLNTIHACVINIWPDPIKHFNLIQCIEEQSSAIGLGNGADASYNICAKQLGFPAKPIKYCYESGRGRQLLLQYGSKTDNLNPPHRYVPWVVVNGTPLLENYGNFVEYVCKSYGGQTLPAACGSYSTSSIPKDRSLHSVCPAGLAGPAKDPTLVQENMESLA >Manes.16G092400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29853859:29856493:1 gene:Manes.16G092400.v8.1 transcript:Manes.16G092400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGGSKLTGLRQIVRLKEFIHKWQSVAIGSKVTSPQESNTPGGIPPVINRRLLSIKNCDSDDESCHSPGSPPDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLQKAEEEFGFDHSGGLTIPCEIETFKFLLKCMEHHPKDHQHDSTGEVINH >Manes.16G092400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29854389:29856215:1 gene:Manes.16G092400.v8.1 transcript:Manes.16G092400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGGSKLTGLRQIVRLKEFIHKWQSVAIGSKVTSPQESNTPGGIPPVINRRLLSIKNCDSDDESCHSPGSPPDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLQKAEEEFGFDHSGGLTIPCEIETFKFLLKCMEHHPKDHQHDSTGEVINH >Manes.16G092400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29853896:29856205:1 gene:Manes.16G092400.v8.1 transcript:Manes.16G092400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGGSKLTGLRQIVRLKEFIHKWQSVAIGSKVTSPQESNTPGGIPPVINRRLLSIKNCDSDDESCHSPGSPPDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLQKAEEEFGFDHSGGLTIPCEIETFKFLLKCMEHHPKDHQHDSTGEVINH >Manes.16G092400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29853896:29856205:1 gene:Manes.16G092400.v8.1 transcript:Manes.16G092400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGGSKLTGLRQIVRLKEFIHKWQSVAIGSKVTSPQESNTPGGIPPVINRRLLSIKNCDSDDESCHSPGSPPDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLQKAEEEFGFDHSGGLTIPCEIETFKFLLKCMEHHPKDHQHDSTGEVINH >Manes.16G092400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29853859:29856493:1 gene:Manes.16G092400.v8.1 transcript:Manes.16G092400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGGSKLTGLRQIVRLKEFIHKWQSVAIGSKVTSPQESNTPGGIPPVINRRLLSIKNCDSDDESCHSPGSPPDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLQKAEEEFGFDHSGGLTIPCEIETFKFLLKCMEHHPKDHQHDSTGEVINH >Manes.06G094100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22890408:22893717:1 gene:Manes.06G094100.v8.1 transcript:Manes.06G094100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGSPSASDEVNVAAEITEKRCFHGRNKGKVPKRIHKAEREKLKREQLNELFLQLASALELTQPNNGKASILSEATRLLKDLLGQIEGLNKENASLLSESRYVTIEKNELKEENSALETQIEGLKSELEARVSQSKPDLNVPPPEFHHPELTQHFPGESLRLPAADATLQQAQAVIVVPLHPELQGYPVTNSNVSKPHARYPTSTDSWPSQLLEEQLRGRKEVQ >Manes.06G094100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22890408:22893717:1 gene:Manes.06G094100.v8.1 transcript:Manes.06G094100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCFHGRNKGKVPKRIHKAEREKLKREQLNELFLQLASALELTQPNNGKASILSEATRLLKDLLGQIEGLNKENASLLSESRYVTIEKNELKEENSALETQIEGLKSELEARVSQSKPDLNVPPPEFHHPELTQHFPGESLRLPAADATLQQAQAVIVVPLHPELQGYPVTNSNVSKPHARYPTSTDSWPSQLLEEQLRGRKEVQ >Manes.06G094100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22890408:22893717:1 gene:Manes.06G094100.v8.1 transcript:Manes.06G094100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCFHGRNKGKVPKRIHKAEREKLKREQLNELFLQLASALELTQPNNGKASILSEATRLLKDLLGQIEGLNKENASLLSESRYVTIEKNELKEENSALETQIEGLKSELEARVSQSKPDLNVPPPEFHHPELTQHFPGESLRLPAADATLQQAQAVIVVPLHPELQGYPVTNSNVSKPHARYPTSTDSWPSQLLEEQLRGRKEVQ >Manes.06G094100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22890405:22893717:1 gene:Manes.06G094100.v8.1 transcript:Manes.06G094100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGSPSASDEVNVAAEITEKRCFHGRNKGKVPKRIHKAEREKLKREQLNELFLQLASALELTQPNNGKASILSEATRLLKDLLGQIEGLNKENASLLSESRYVTIEKNELKEENSALETQIEGLKSELEARVSQSKPDLNVPPPEFHHPELTQHFPGESLRLPAADATLQQAQAVIVVPLHPELQGYPVTNSNVSKPHARYPTSTDSWPSQLLEEQLRGRKEVQ >Manes.08G019600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1975378:1979216:-1 gene:Manes.08G019600.v8.1 transcript:Manes.08G019600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNESWFSNLWWSAWKGVPENDKAAIGVLAFEVTNLMSKVVHLWHFLSDNEICRLRKEILNSIGMRKLVADDDDDDRIMDLVLNEIMENFGVLSRSVARLGKKCIDPLFRRFEQFVNNPLQNNLEWFGWDYRLRKMERKVKKMERFVAVTMQLSQELEVLAELEQTLRRMHLNPDLSQGKLFEFQQKVMWQRQEVRNLRELSPWIRTYDYIVRLLVRSLLTILQRIKHVFEISQLPTQEKNNGHEYMNPTCVSRSRSFSAMHSSIHPSESIYCGLSSGPLGRSDSKSIVMAEKNKINKQLQLRSQSSTLHGKHHHSRTGRLAPVGPFKECMMSRTESPLLQRCKPYICDSVEFTGDYMKNVSEMENVNKGPLTTCSNQIYYKLALLSSKRGLLNAPPSTLGHAALALHYANMIVMVERLASSPHMIDFETRDDLYMMLPTTIRVALRTRLKPSGKSLAPSVFDASVAAKWSLVLANILEWLSPLAHNMIRWHSERSYEREQLVSRTNVLLVQTLHYANQAKTEAAITELLVGLNYICRINGDISEKDWSESSRCRAQHMKKGRTLYAID >Manes.08G019600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1975572:1979217:-1 gene:Manes.08G019600.v8.1 transcript:Manes.08G019600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNESWFSNLWWSAWKGVPENDKAAIGVLAFEVTNLMSKVVHLWHFLSDNEICRLRKEILNSIGMRKLVADDDDDDRIMDLVLNEIMENFGVLSRSVARLGKKCIDPLFRRFEQFVNNPLQNNLEWFGWDYRLRKMERKVKKMERFVAVTMQLSQELEVLAELEQTLRRMHLNPDLSQGKLFEFQQKVMWQRQEVRNLRELSPWIRTYDYIVRLLVRSLLTILQRIKHVFEISQLPTQEKNNGHEYMNPTCVSRSRSFSAMHSSIHPSESIYCGLSSGPLGRSDSKSIVMAEKNKINKQLQLRSQSSTLHGKHHHSRTGRLAPVGPFKECMMSRTESPLLQRCKPYICDSVEFTGDYMKNVSEMENVNKGPLTTCSNQIYYKLALLSSKRGLLNAPPSTLGHAALALHYANMIVMVERLASSPHMIDFETRDDLYMMLPTTIRVALRTRLKPSGKSLAPSVFDASVAAKWSLVLANILEWLSPLAHNMIRWHSERSYEREQLVSRTNVLLVQTLHYANQAKTEAAITELLVGLNYICRINGDISEKDWSESSRCRAQHMKKGRTLYAID >Manes.08G019600.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1975625:1979132:-1 gene:Manes.08G019600.v8.1 transcript:Manes.08G019600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNESWFSNLWWSAWKGVPENDKAAIGVLAFEVTNLMSKVVHLWHFLSDNEICRLRKEILNSIGMRKLVADDDDDDRIMDLVLNEIMENFGVLSRSVARLGKKCIDPLFRRFEQFVNNPLQNNLEWFGWDYRLRKMERKVKKMERFVAVTMQLSQELEVLAELEQTLRRMHLNPDLSQGKLFEFQQKVMWQRQEVRNLRELSPWIRTYDYIVRLLVRSLLTILQRIKHVFEISQLPTQEKNNGHEYMNPTCVSRSRSFSAMHSSIHPSESIYCGLSSGPLGRSDSKSIVMAEKNKINKQLQLRSQSSTLHGKHHHSRTGRLAPVGPFKECMMSRTESPLLQRCKPYICDSVEFTGDYMKNVSEMENVNKGPLTTCSNQIYYKLALLSSKRGLLNAPPSTLGHAALALHYANMIVMVERLASSPHMIDFETRDDLYMMLPTTIRVALRTRLKPSGKSLAPSVFDASVAAKWSLVLANILEWLSPLAHNMIRWHSERSYEREQLVSRTNVLLVQTLHYANQAKTEAAITELLVGLNYICRINGDISEKDWSESSRCRAQHMKKGRTLYAID >Manes.08G019600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1975528:1979192:-1 gene:Manes.08G019600.v8.1 transcript:Manes.08G019600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNESWFSNLWWSAWKGVPENDKAAIGVLAFEVTNLMSKVVHLWHFLSDNEICRLRKEILNSIGMRKLVADDDDDDRIMDLVLNEIMENFGVLSRSVARLGKKCIDPLFRRFEQFVNNPLQNNLEWFGWDYRLRKMERKVKKMERFVAVTMQLSQELEVLAELEQTLRRMHLNPDLSQGKLFEFQQKVMWQRQEVRNLRELSPWIRTYDYIVRLLVRSLLTILQRIKHVFEISQLPTQEKNNGHEYMNPTCVSRSRSFSAMHSSIHPSESIYCGLSSGPLGRSDSKSIVMAEKNKINKQLQLRSQSSTLHGKHHHSRTGRLAPVGPFKECMMSRTESPLLQRCKPYICDSVEFTGDYMKNVSEMENVNKGPLTTCSNQIYYKLALLSSKRGLLNAPPSTLGHAALALHYANMIVMVERLASSPHMIDFETRDDLYMMLPTTIRVALRTRLKPSGKSLAPSVFDASVAAKWSLVLANILEWLSPLAHNMIRWHSERSYEREQLVSRTNVLLVQTLHYANQAKTEAAITELLVGLNYICRINGDISEKDWSESSRCRAQHMKKGRTLYAID >Manes.08G019600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1975625:1979132:-1 gene:Manes.08G019600.v8.1 transcript:Manes.08G019600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNESWFSNLWWSAWKGVPENDKAAIGVLAFEVTNLMSKVVHLWHFLSDNEICRLRKEILNSIGMRKLVADDDDDDRIMDLVLNEIMENFGVLSRSVARLGKKCIDPLFRRFEQFVNNPLQNNLEWFGWDYRLRKMERKVKKMERFVAVTMQLSQELEVLAELEQTLRRMHLNPDLSQGKLFEFQQKVMWQRQEVRNLRELSPWIRTYDYIVRLLVRSLLTILQRIKHVFEISQLPTQEKNNGHEYMNPTCVSRSRSFSAMHSSIHPSESIYCGLSSGPLGRSDSKSIVMAEKNKINKQLQLRSQSSTLHGKHHHSRTGRLAPVGPFKECMMSRTESPLLQRCKPYICDSVEFTGDYMKNVSEMENVNKGPLTTCSNQIYYKLALLSSKRGLLNAPPSTLGHAALALHYANMIVMVERLASSPHMIDFETRDDLYMMLPTTIRVALRTRLKPSGKSLAPSVFDASVAAKWSLVLANILEWLSPLAHNMIRWHSERSYEREQLVSRTNVLLVQTLHYANQAKTEAAITELLVGLNYICRINGDISEKDWSESSRCRAQHMKKGRTLYAID >Manes.03G137500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26369379:26371813:-1 gene:Manes.03G137500.v8.1 transcript:Manes.03G137500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVIPDPSDKDSEPFVEVDPTGRYGRYAELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRNFSNDPTMIDRLYSEVRLLRSLTNKNIISFYNVWRDEDHNTLNFITEVCTSGNLREYRKKHRHVSMKALKKWSKQILKGLNYLHTHEPCIIHRDLNCSNLFVNGNIGQVKIGDLGLAATVGKSHAAHTILGTPEYMAPELYEEDYTEMVDIYSFGMCVLEMVTLQIPYSECENVARIYKKVSSGIRPQALNKVRDPEVRAFIEKCLAQPKARPSAADLLKDPFFDGIVDDDDNDDNSCS >Manes.15G031300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2440487:2444004:-1 gene:Manes.15G031300.v8.1 transcript:Manes.15G031300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESSDMSCFISDFVQKYGGYAVVDGGFATELERHGANLNDPLWSAKCLISSPHLVRRVHLDYLDAGANIILTASYQATIQGFEAKGLATEEAESLLRRSVEIACEAREIYYDNCTKGSWDLVENGNTTRRPVLVAASIGSYGAYLADGSEYSGDYGDAVTLQKLKDFHRRRLQILAEAGADLIAFETIPNKLEAKAYAELLEEEAINIPAWFSFNSKDGINVVSGDSILECASIADSCRQVAAVGINCTPPRFIHGLILSIRKVTSKPIVIYPNSGETYDAQLKEWVVRFLP >Manes.15G031300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2440487:2444004:-1 gene:Manes.15G031300.v8.1 transcript:Manes.15G031300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESSDMSCFISDFVQKYGGYAVVDGGFATELERHGANLNDPLWSAKCLISSPHLVRRVHLDYLDAGANIILTASYQATIQGFEAKGLATEEAESLLRRSVEIACEAREIYYDNCTKGSWDLVENGNTTRRPVLVAASIGSYGAYLADGSEYSGDYGDAVTLQKLKDFHRRRLQILAEAGADLIAFETIPNKLEAKAYAELLEEEAINIPAWFSFNSKDGINVVSGDSILECASIADSCRQVAAVGINCTPPRFIHGLILSIRKKSSGVSDEDFVSYIGKWHEAGASLFGGCCRTTPNTIRAISGVLSNKSSSPFKS >Manes.15G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2440487:2444004:-1 gene:Manes.15G031300.v8.1 transcript:Manes.15G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESSDMSCFISDFVQKYGGYAVVDGGFATELERHGANLNDPLWSAKCLISSPHLVRRVHLDYLDAGANIILTASYQATIQGFEAKGLATEEAESLLRRSVEIACEAREIYYDNCTKGSWDLVENGNTTRRPVLVAASIGSYGAYLADGSEYSGDYGDAVTLQKLKDFHRRRLQILAEAGADLIAFETIPNKLEAKAYAELLEEEAINIPAWFSFNSKDGINVVSGDSILECASIADSCRQVAAVGINCTPPRFIHGLILSIRKVTSKPIVIYPNSGETYDAQLKEWVKSSGVSDEDFVSYIGKWHEAGASLFGGCCRTTPNTIRAISGVLSNKSSSPFKS >Manes.18G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9971320:9975236:1 gene:Manes.18G103700.v8.1 transcript:Manes.18G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNAHSNPQDPASYFLKLTRQYSLPLPSLITGVQTKMATLAPLRNETQLVQSICAAVLKGGWKNLLTSKTGSGLTTTTVHQVLLQLSLYNHSPSLSWAFFKWMESSIPNYKHSLQSSWTMIHILTKHQHFKTAQCLVEKIAYKDFLSTPSVLSALVRLHDDPDVNSHVCSWLVIVYANSKMTQEALQVLEHMRVHGFRPHLHACTVLLNSLVKDRLTDMVWKVYKKMVRIGVAANIHVYNVLIHACCKSGDVEKAEKLLSEMESKCVLPDLFTYNTLISLYCKKGMHYEALCVQDRMDRGRISPDIVTYNSLIYGFCREGRMREALRLFREIKNATPNHVTYTTLIDGYCRVNGLDEALRLREVMEAKGLYPTVVTYNSILCKLCEEGRIRDANKLLNEMNERKIEPDNITCNTLINAYCKIGDLQSALKVKSKMVDAGLKLDKFTYKALIHGFCKIQEMDSAKELLFSMLEAGFSPSYHTYSWLVDGFCDQHKEETVIRLPDEFVRRGLCADISLYRALIRGFCKREKVDCAQRIFKLMQEKGIPGDSVTHTSLAYAYWKLGKANAASDMLDEMYRRRLMITVKIYKCFNASYAGDSSILSLFWDHMVGRRLMSKSILKDLEQIN >Manes.18G103700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9971269:9975236:1 gene:Manes.18G103700.v8.1 transcript:Manes.18G103700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNAHSNPQDPASYFLKLTRQYSLPLPSLITAGVQTKMATLAPLRNETQLVQSICAAVLKGGWKNLLTSKTGSGLTTTTVHQVLLQLSLYNHSPSLSWAFFKWMESSIPNYKHSLQSSWTMIHILTKHQHFKTAQCLVEKIAYKDFLSTPSVLSALVRLHDDPDVNSHVCSWLVIVYANSKMTQEALQVLEHMRVHGFRPHLHACTVLLNSLVKDRLTDMVWKVYKKMVRIGVAANIHVYNVLIHACCKSGDVEKAEKLLSEMESKCVLPDLFTYNTLISLYCKKGMHYEALCVQDRMDRGRISPDIVTYNSLIYGFCREGRMREALRLFREIKNATPNHVTYTTLIDGYCRVNGLDEALRLREVMEAKGLYPTVVTYNSILCKLCEEGRIRDANKLLNEMNERKIEPDNITCNTLINAYCKIGDLQSALKVKSKMVDAGLKLDKFTYKALIHGFCKIQEMDSAKELLFSMLEAGFSPSYHTYSWLVDGFCDQHKEETVIRLPDEFVRRGLCADISLYRALIRGFCKREKVDCAQRIFKLMQEKGIPGDSVTHTSLAYAYWKLGKANAASDMLDEMYRRRLMITVKIYKCFNASYAGDSSILSLFWDHMVGRRLMSKSILKDLEQIN >Manes.05G086346.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7251684:7252486:1 gene:Manes.05G086346.v8.1 transcript:Manes.05G086346.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMDQEICPPGKWAWPELVGKDGKVAAAIIEKENKNVRAIVVSVNAPRDVMQLCHRVRVVVDENGKVVRTPIIG >Manes.02G016900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1597326:1598711:-1 gene:Manes.02G016900.v8.1 transcript:Manes.02G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGETTPMLTVDDVLKLNPLLPSTSMLPPMATDQLGHDSHLGSDIDWISLLSGSFELGDQNPSPSKARYGENVGTKKKKGGRTKKATPARIAFHTRSADDILDDGYRWRKYGQKAVKNSTHQRSYYRCTQHTCNVKKQIQRLSKDSSIVVTTYEGIHNHPSEKVMESLSPLLRQLQFLSRI >Manes.12G102501.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:27360556:27361047:1 gene:Manes.12G102501.v8.1 transcript:Manes.12G102501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHCVQVQPSREMTVEEFKAWLRQFDIDSDGRISREDLKEALHSMRVGFAWWKARQAMKEADLNRNGQIDNPKEIDKLINFAQQRLHMKIHRN >Manes.09G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32718008:32724837:-1 gene:Manes.09G125100.v8.1 transcript:Manes.09G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRPFLQKKPKEKDPSQAVAAGIFQMNTAVYSFNRLVNSLGTPKDTIELREKLHKTRQHIGELVKETSAKLKQASETDHYEEVSPAKKISDAKLAKEFRNALNEFQKSQRLAAERETMYAPYVPKILPSSYHAHEPDENSSRSSMQQSLLVESRRQEIVLLDNEIAFNDAIIEEREQGIKEVQQQISEVNEIFKDLAVLVHEQGVMIDDIGSNIESSHAATVQATSQLAKASKLQRSNSSMFCLLLVIFGIILLIVIIVVVA >Manes.04G151000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34702007:34706328:-1 gene:Manes.04G151000.v8.1 transcript:Manes.04G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDKESLNGMPETRRNLISFSMKHKKLFLALFAFLLLVAAMIAIVTGINSHDNGENSTSHAILKSSCSSTRFPDLCFSAVASVRGATSNLASQKDVIEVSLNLTTTAVEHNYFTVKKLLATRKLTKREKTALHDCLETIDETLDELHKALVDLKEYPSKKSLTQHADDLKTLMSAAMTNQETCLDGFSYEKADKKVREALLAGQVHVERMCSNALAMIKNMTDTDIAKAAAATTNRKLKEEKDEESERWPEWMSVADRRLLQTSSVTPDAVVAADGSGDYETVSDAVAAAPKKSSKRYIIRIKAGVYRENVEVPKSKTNIMFLGDGRNTTIITASRNVVDGSTTFKSATVAVVGQGFLAKGVTFQNTAGPSKHQAVALRVGADLAAFYECDMLAYQDTLYVHSNRQFFINCLIAGTVDFIFGNSAVVFQDCDIHARKPNSGQKNMVTAQGRIDPNQNTGIVIQKSRIGATSDLLPVQSSFPTYLGRPWKEYSRTVIMQSVISDVIDPAGWHEWNGDFALSTLFYGEYENSGAGSGTSDRVNWEGYKVITNASEAQGFTPSEFIAGHTWLTSTTFPFSLGL >Manes.17G060500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25911178:25912488:1 gene:Manes.17G060500.v8.1 transcript:Manes.17G060500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGRKKPSSSDSSRSFLISQVIPNSWLTKFKQMSINSGQKHAKQKVKWNSVYTNSSRCSGGGGKFYGGDGDAFWRLSFGDESLDDMKNRNVLRSVRYNSDDDLGLPPSSYHRSKASTVNGNEQAQKFCNMVSHARTIRGMPKEEALTEAICTREKVAEIRTPRLKIEKAKRLKKGNQRVFEEKQLEQDGRPHEAERIPRNAEAKNMYETEPRRTGGMVEREDVKLAAADSRKDCYFSSMNSRDSYGRKVEDCVFAAENNNVGISAEEFSFQWQKLKEKKIEGLKTRGEEQRKSLYISRELQRKRPKHNSKVRVYSPRTASKAEICKIKALEDMKKAKLKMKKKAKEKQMEEFKGLESFAVVKCSYDPQKDFRDSMIEMIKEQRIRQPEELEELLACYLTLNSDEYHDLIIRVFRQVWFDLNRACFHSEFDNEEGY >Manes.11G024600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2465694:2468781:1 gene:Manes.11G024600.v8.1 transcript:Manes.11G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGKWLMSLITLKKLPPTDFGKQSDNKGKKKWRLWRSSSEGSIPSSSKELKRVHVASSESSLVLDHEFAAAMATVVRAPPRDFMVVKQEWAAIRIQTAFRGLLARRALRALKAVVRIQAIFRGRQVRKQAAVTLRCMEALVRVQARMRAQGARMSSEAQASQKLLDEYNNVDPTKQAEQGWCDSLGTVDEVRAKLQLRQEGAIKRERAIAYALSQQQSRSCASPARRTNKSASAIKNQKLDNSSPGWSWLERWMAAKPWENRLMEETHTVLSETSFSRKSEDKIANISSYPSIHDSVKVIRNNVSTRILAKPPVVGQQSTRSSSAPSSESLYDESSDCTSSTSVSPIPLSSNTIVVDKGEESCNRNPTYMNLTESTKAKQKGCRHVMEDQFHMLSMPLSNGDAKGSAGSNPSFKVCRDLYPPIPLGRHDELRNRRH >Manes.05G035900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2989881:2991111:-1 gene:Manes.05G035900.v8.1 transcript:Manes.05G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQVCSSILLLGLLFLVISIAESQTCKPSGKIRGKKPPPGQCNQENDSDCCVDGKLYTTYKCSPPVSSHTKAKLTVNSFEPGGDGGAPSECDNKYHSDKELVVALSTGWFNNKSRCLNYITIYGNGKSVKAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWNALGVSDPDDVGEMDIYWTDA >Manes.11G067200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9572905:9574259:-1 gene:Manes.11G067200.v8.1 transcript:Manes.11G067200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSPLLVFFCFFIIFSATSTTATDHIVGANKGWNPGINYTLWANNHTFYVGDLISFRYQKTQYNVFEVNQTGYDNCTTEGALGNWTSGKDFIPLNKAKRYYFICGNGQCFNGMKVSVVVHPLPPAPSGSVSSSNHTSPASAAPVVLQKGLVSFRALVVAFASIWFGSGWI >Manes.17G083800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29022646:29034582:-1 gene:Manes.17G083800.v8.1 transcript:Manes.17G083800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALSVVPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISAVINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIKADPAEGFDVKAILSIARSQLSSEWEATRIEALHWISTLLNRHRAEVLSFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDPQHFRQLVVFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLTSSELAELRQLLKQSLVNPAGKDLFVSLYASWCHSPMAIISLCLLAQTYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFNGDQIKRTSSGNPYSQILHHIPSGSQISEDGDVNQDVGNSSLHNGINFGLRLQQFEQIQHQHRMHVKAQIQSRNSSTSLKEIQRPEDTRRQPPAAEMNRPPSRSSRRGPGQLQL >Manes.01G143300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33404366:33409035:-1 gene:Manes.01G143300.v8.1 transcript:Manes.01G143300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWLCGFRFKPTDEDLVHFYLQKKLDRQALPLGLITECDIYAGNPWKILDNVKNFDGFYYVFTNLKRMSKAKIDRRAGSGTWKGQTTNRFQQSEGKSRWAKKAFIFEVDKKNLKSVGQNNGRWLMVEFSLGDEGFDGKAVLCRIYNKHARDFHKKEQVGVDYSNCEAIVPYVKKKQVSLADDKEGNQHPTKKMKKQRSIADDEEGHQNSKKMKQQEPVDLYGESIGSTSCCPSNFVVEQLPVSSDYSSRFPEDEAVFLADGELNSLLDFPSDYDLTSLLLDMDVGTGTFPEDELSKFLAENIDDGGFFSSMPPLTMQCNKVNKDDENGNNLSSSMPLQGGLCDRNAVLRDVN >Manes.01G143300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33404366:33409577:-1 gene:Manes.01G143300.v8.1 transcript:Manes.01G143300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWLCGFRFKPTDEDLVHFYLQKKLDRQALPLGLITECDIYAGNPWKILDNVKNFDGFYYVFTNLKRMSKAKIDRRAGSGTWKGQTTNRFQQSEGKSRWAKKAFIFEVDKKNLKSVGQNNGRWLMVEFSLGDEGFDGKAVLCRIYNKHARDFHKKEQVGVDYSNCEAIVPYVKKKQVSLADDKEGNQHPTKKMKKQRSIADDEEGHQNSKKMKQQEPVDLYGESIGSTSCCPSNFVVEQLPVSSDYSSRFPEDEAVFLADGELNSLLDFPSDYDLTSLLLDMDVGTGTFPEDELSKFLAENIDDGGFFSSMPPLTMQCNKVNKDDENGNNLSSSMPLQGGLCDRNAVLRDVN >Manes.02G135900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10320537:10322430:1 gene:Manes.02G135900.v8.1 transcript:Manes.02G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRQMASPIWLTPLLLMIPVLFYLLKNKIQAQRSQRKQQPPGPPGIPIIGNLHQLGELRHRSLWQFSKKYGPVMLLHLGRVPTVIVSSADAAKEVLKTHDLNCCSRPLLAGTGKLSYNYLDVAFTPYGDYWRDMRKLCVLELFSAKRVQSFQFVREEEIDLLISSISKFSSSATPVDLSEKILSLTANITCRAAFGKIFEEKEFGDERFQEVIHEGLAMLGSFSAADFFPYVGWIVDRLTGLHARLEKNFKEFDVFYQKVIDDHIQKQTKEPGNEDIIDVLLGMERPQTESSAIQLSQDHIKAILMNIFLAGVDTGAITMVWAMAELARHPRIMKKAQEEIRGCIGKKRKVSESDIDKLVYLKMIVKETMRLHPPSTLLIPRETMSKFSINGYEIEPKTRIQVNVWAIGRDPKIWRNPEEFYPERFVDNHIDFRGQNYELLPFGGGRRGCPAISMGITMVELALANLLFWFDWKLPFNTKEEDINMEEKVGLTTYKKTALLLVPIKSDP >Manes.03G167800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29326404:29330012:-1 gene:Manes.03G167800.v8.1 transcript:Manes.03G167800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPPLHLSTPHIYSTIINRSYSLFHFMALIALLYYRLSSFLLQNPTLPYLLLFASELLLSFIWLCSQAYLWAPVSRTPLPQRLPENKELPAIDVFICAADPKKEPPVEVMNTVLSAMALDYPPEKLSVYLSDDGGSSLTLKGMREASAFARSWLPFCRRFGIKNRCPKVYFSSLEDDLIHSRSMEYEEEKEKIKKEYEKFKESVKMAEESEENAIDHPPAIEVLHDKSDKEIPLLVYVSREKRPHQPHHFKAGALNVLLRISGILTNSPYILVLDCDMYCNDPTSARQAMCFHLDPEISSSLAFVQFPQKFHNISKSDIYDAQLRTLFVIRWPGMDGLQGPILSGTGFYMKRKALYGNLPLKDVEQLKQSFGLSNDFIMSVHHINQHNLHDNKESSSKPFQLQEAQFLANCNYEKQTLWGKQIGFLYDSVVEDYFTGFILHCRGWTSVFCNPSRPAFLGSATTTLNDTLIQGTRWNSGLMEVAISRYCPFIYALSRMSLLQTMCYGYLALQPLYSFSLWCLATLPQLYLWNGIPIYPKVASSWFFIFAFVFLGSFFKHLEEVVSSGGSIQTWWNEQQIWMIKSVTAYTYGSIDAMMKWVGLRKASFVPTNKVSDEGKFTLYQKGKFNYQTSTVLLAPIVALVILNMVSLVGGVARMLVTGTWKHMFGQVFLSLYVVVVNFPVIEGMLMRKDEGSVPVSVSILSLILSLTFLYLGSIVL >Manes.15G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12200523:12203518:-1 gene:Manes.15G147900.v8.1 transcript:Manes.15G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENDDLQENHFEMDMQMMGRKTTVKKSWDESKKMWEIAAPAMITTVTQFSIGFVTSAFVGHLGEMELAAVSIVHNVIEGFVDGVMLGMGSALETLCGQAVGAGQMNLLGIYMQRSWIITGVTALVFTPFYVFASPLLQLLHQDKDISNLAGKYSIWVIPQLFAYAVNYPIQKFLQAQSRVWVMTIISVVALAFHVLLNWVLVTKLDHGLAGAAIAGNISWWLVVLGQIVYVVCGYFPEAWTGFSWSALKSLASFLKLSIASAVMLCLEVWYFTTLILMVGRLDNPEIAVDAISICMNLQLWALTIALGFNAAISVRVSNELGAGNPKAAKFSAVVTLLTSTAIGVVFIALILINKNDFPKVFTEKPVVMKEASKLSYFLAATIFLDSIQPVLYGVAVGAGWQVSVALINIGCYYIIGLPVGAVLGYKLKLGVKGIWSGMLAGCLLQTVILFCGFLRTNWQKEAAKAEKHVRTWGGSQESQQSSSENIMNR >Manes.09G123400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32565307:32570034:-1 gene:Manes.09G123400.v8.1 transcript:Manes.09G123400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELVELFEAAKKAADSAASDGVSSNGPEVVRCVDALKRLKSFPITYDILVSSQVGKRLRPLTKHPREKIQTVASDLLEIWKRIVIDETTRKKNGVVDNKSSGKAEVSKVETIKVEKIQKSSTVKVDKIDREEIIKVEKISKEEKHASNSKKPSQVPIAPPKLTTMVKCNDALRDKVRELLVEALSKVASEVDEDARDEIRACDPIRIAVSVESAMFEKMGRSNGAQKFKYRSIMFNMKDPNNPDLRRKVLLGLVKPERLITMSPEEMASEKRQQENNQIKEKALFDCERGGGPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNRWKFC >Manes.09G123400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32565307:32570034:-1 gene:Manes.09G123400.v8.1 transcript:Manes.09G123400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELVELFEAAKKAADSAASDGVSSNGPEVVRCVDALKRLKSFPITYDILVSSQVGKRLRPLTKHPREKIQTVASDLLEIWKRIVIDETTRKKNGVVDNKSSGKAEVSKVETIKVEKIQKSSTVKVDKIDREEIIKVEKISKEEKHASNSKKPSQVPIAPPKLTTMVKCNDALRDKVRELLVEALSKVASEVDEDARDEIRACDPIRIAVSVESAMFEKMGRSNGAQKFKYRSIMFNMKDPNNPDLRRKVLLGLVKPERLITMSPEEMASEKRQQENNQIKEKALFDCERGGGPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNRWKFC >Manes.09G123400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32565307:32570034:-1 gene:Manes.09G123400.v8.1 transcript:Manes.09G123400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELVELFEAAKKAADSAASDGVSSNGPEVVRCVDALKRLKSFPITYDILVSSQVGKRLRPLTKHPREKIQTVASDLLEIWKRIVIDETTRKKNGVVDNKSSGKAEVSKVETIKVEKIQKSSTVKVDKIDREEIIKVEKISKEEKHASNSKKPSQVPIAPPKLTTMVKCNDALRDKVRELLVEALSKVASEVDEDARDEIRACDPIRIAVSVESAMFEKMGRSNGAQKFKYRSIMFNMKDPNNPDLRRKVLLGLVKPERLITMSPEEMASEKRQQENNQIKEKALFDCERGGGPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNRWKFC >Manes.06G130200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25979559:25980878:1 gene:Manes.06G130200.v8.1 transcript:Manes.06G130200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQVRTHKPALISSNLSLRVKLIQKMNLTSFRFTPFFLLLIIGVTVAASAADKKVVSPEMSTGPNNGQGGGSSGATGSGHGPNWDYNWGWGSSPGSGWGYGSGSGRSPNGFGRGFGFGFGSGSGSGSGYGYGSGSGGAHGGGYGAGSGSGNSDGGGSGGGSGGNSPVDSRGKNNHG >Manes.02G168300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13237525:13244289:-1 gene:Manes.02G168300.v8.1 transcript:Manes.02G168300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGAGAESSSRTTPTYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKVNSRSAPALDLPPANAHPNLESRLAPGQPAENEEKDAPHPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPTVLPNQQAKKRRRKELTKASGESDDGSVSNKHVKLVKSAAGKIASPLGKNSSNFSQSLVVTSEHCEDVRSQNLSHAPGISSKKKSAETKMNTDPSSLIQISNGDTSVSPAEAKDIENPKTGGLLAKNMTNKSKDVGGSLDVSHQKYHDKSAYPQSKFHAAKSVTSVDELEPSVRSKERNGVRELPDLNMPDAKMSMQVTKPSHVHKKDGSSVKAKSSMLENAIRELEKMVAESRPPALENQEADISSQAIKRRLPREIKLKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKVMINMGMSAKQEKDDRFQQIKKEVAEMVKICVPSSESKTLEQQAGASGDLQEIASEEKGAFRRKFSMDTVLEDKICDLYDLFVDGLDEDAGPQVRKLYLELAQLWPRGFMDNHGIKRAICRAKERRRSLYVRHKDQEKIKRKKMLAPRLDDGDGDDSSIIFSSAARQQYMRERLLTDTGGPVLALASKSLPNSLMAAVRLPSPSRNIPNWDRLKQEKPKGSSSNAIDEAKMAVDVALTKKKVKRKSEQELNETHFRSEKLQSQSSEERHKSLKQASGLPQKLNLQFTTSSFEQSS >Manes.02G168300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13237525:13244289:-1 gene:Manes.02G168300.v8.1 transcript:Manes.02G168300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGAGAESSSRTTPTYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKVNSRSAPALDLPPANAHPNLESRLAPGQPAENEEKDAPHPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPTVLPNQQAKKRRRKELTKASGESDDGSVSNKHVKLVKSAAGKIASPLGKNSSNFSQSLVVTSEHCEDVRSQNLSHAPGISSKKKSAETKMNTDPSSLIQISNGDTSVSPAEAKDIENPKTGGLLAKNMTNKSKDVGGSLDVSHQKYHDKSAYPQSKFHAAKSVTSVDELEPSVRSKERNGVRELPDLNMPDAKMSMQVTKPSHVHKKDGSSVKAKSSMLENAIRELEKMVAESRPPALENQEADISSQAIKRRLPREIKLKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKVMINMGMSAKQEKDDRFQQIKKEVAEMVKICVPSSESKTLEQQAGASGDLQEIASEEKGAFRRKFSMDTVLEDKICDLYDLFVDGLDEDAGPQVRKLYLELAQLWPRGFMDNHGIKRAICRAKERRRSLYVRHKDQEKIKRKKMLAPRLDDGDGDDSSIIFSSAARQQYMRERLLTDTGGPVLALASKSLPNSLMAAVRLPSPSRNIPNWDRLKQEKPKGSSSNAIDEAKMAVDVALTKKKVKRKSEQELNETHFRSEKLQSQSSEERHKSLKQASGLPQKLNLQFTTSSFEQSS >Manes.S023252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2039102:2039224:1 gene:Manes.S023252.v8.1 transcript:Manes.S023252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.10G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30650461:30653608:1 gene:Manes.10G138800.v8.1 transcript:Manes.10G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCSPSLHRPTHRVTQRMSGEGKVVCVTGGSGYIASWLVNFLLQKGYTVNATVRDPSDSKKTDHLLALDGAKERLNLFKADLLEEGSFDSAIDGCEGVFHAASPVLYSVTDPQAELVDPAVKGTLNVLKSCIKFQSIKRVVITSSMAAVLYGRKSLTPDVVVDETWHSDLAVCKEMKLWYMVAKTLAEEVAWNFAEENGIDLVTIHPAYVIGPLLQPTLNFSVEMILNIVNGAETYPDAYYRSTDVRDVAEAHIQAFEVPSACGRYCLVANVLHFSEVLKIVHEHFPSLHLPAKCDDKNHPFLPHFKVSKEKAKTLGINFIPLEVTLKDTIESLKEKDFLSI >Manes.10G138800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30650461:30653608:1 gene:Manes.10G138800.v8.1 transcript:Manes.10G138800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCSPSLHRPTHRVTQRMSGEGKVVCVTGGSGYIASWLVNFLLQKGYTVNATVRDPTGDSKKTDHLLALDGAKERLNLFKADLLEEGSFDSAIDGCEGVFHAASPVLYSVTDPQAELVDPAVKGTLNVLKSCIKFQSIKRVVITSSMAAVLYGRKSLTPDVVVDETWHSDLAVCKEMKLWYMVAKTLAEEVAWNFAEENGIDLVTIHPAYVIGPLLQPTLNFSVEMILNIVNGAETYPDAYYRSTDVRDVAEAHIQAFEVPSACGRYCLVANVLHFSEVLKIVHEHFPSLHLPAKCDDKNHPFLPHFKVSKEKAKTLGINFIPLEVTLKDTIESLKEKDFLSI >Manes.10G138800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30650461:30653608:1 gene:Manes.10G138800.v8.1 transcript:Manes.10G138800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCSPSLHRPTHRVTQRMSGEGKVVCVTGGSGYIASWLVNFLLQKGYTVNATVRDPSDSKKTDHLLALDGAKERLNLFKADLLEEGSFDSAIDGCEGVFHAASPVLYSAELVDPAVKGTLNVLKSCIKFQSIKRVVITSSMAAVLYGRKSLTPDVVVDETWHSDLAVCKEMKLWYMVAKTLAEEVAWNFAEENGIDLVTIHPAYVIGPLLQPTLNFSVEMILNIVNGAETYPDAYYRSTDVRDVAEAHIQAFEVPSACGRYCLVANVLHFSEVLKIVHEHFPSLHLPAKCDDKNHPFLPHFKVSKEKAKTLGINFIPLEVTLKDTIESLKEKDFLSI >Manes.09G096850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29419661:29420119:-1 gene:Manes.09G096850.v8.1 transcript:Manes.09G096850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTTKRELIYSCGSMSSRVRDVYWESLVGLPKLLFPNLLFLRPTKRALLEPSHSKTDLKSKFSDKFPHSDTIDVLSSHSVWFSQTVLVRVPLETQVHSLNLSQMDRAQSPAYQEIHLPQTQMLQFPNLPHYSRQILILSSQTLLSISHPP >Manes.05G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8958873:8960426:1 gene:Manes.05G097300.v8.1 transcript:Manes.05G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKFLLIFLAAFVVIVHADLPHFDEYWNVRAVEAKQNTIEAYHPDPFNVTNKFNYLVNQATIDLNGTRRSLFRKNRKKGGPCVATNPIDQCWRCNPRWAENRKRLAECALGFGYKTTGGKNGKFYVVTDPSDNDMVNPKPGTLRYAVIQKVPLWITFSRNMNIRLNQELIMTGDKTIDARGAQVHITGGAGLTLQFIKNVIIHGLYFHDIVVGSGGMIRDSVDHYGLRTQSDGDGISIFGSTNVWIDHVSMSKCQDGLIDAIMGSTAVTVSNCHFTDHNEVMLFGASDGYTGDTLMQITVAFNHFGKGLVQRMPRCRYGFVHVVNNDYTHWRMYAIGGSRNPTIISQGNRFIAPNDLNCKQVTKREYAMESEWKNWNWRSQEDLLMNGAYFVQSGNSKINRKFTTQQIIKSKPGSFVSKLTRSSGPLGCAVGRAC >Manes.11G082735.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18493908:18496057:-1 gene:Manes.11G082735.v8.1 transcript:Manes.11G082735.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRKKTLNPNTQTPAIPLTHRPITRSTIRDHNSLVSEPGVMGDSVQYQLAKLFELLLAEQQANKDRNEKVEQLHAKLNAISTGSQAKSSSGGSSVVPKFTKLDFPRYDGLEDPLGWLARCQHFFRHQQTPEEEKVSLASYHLEGIAQLWYMQLLDDIPDPNWDEFTHQCNLRFGPPIRNNKLSELAKLKQTGSVAEYQNRFEALVSRAGTLTQDQKVQLYLSGLQDSIAVEVELHHPKDLVNAMSISRLYERKLFLSSPAVRDTRCYAFTSAPCANKLVKRLNREEMEERRKKGLCFNCDEQFFSLNAITGIRNPQSMRLQEYWNGGQVFILIDYGSTHSFVSATKVEELGAEVNGQDGLKVNVAHGEQLDSPGICKGIPILLDSHSFSVNLFVLPLTDFDVVLGVNWLRTLGPILWDFAVMRMYFFKQGILIELQGIIRPSRSPFSSPVILVPKANDELLEELGGVRYFTKLDLHSGYFQVGMRPFDVEKTAFRTHYSHFEFLSWAILDHRIKGGSQEVLIHWSHFSPTDASWEKVQAFSAKYSDFQLEDKLPLGAGSNVTKPLQVYTQFSHGPKSKQPHIEPLN >Manes.03G136500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108383:26112437:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIFCNSGVEQITVIAMHKDHNTSSSAPSTRRIRHRRRSNEIPHELSKLNGTHLLINDQDKYKSMWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108344:26112437:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDHNTSSSAPSTRRIRHRRRSNEIPHELSKLNGTHLLINDQDKYKSMWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108340:26112437:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDHNTSSSAPSTRRIRHRRRSNEIPHELSKLNGTHLLINDQDKYKSMWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108346:26112446:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108345:26112692:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108357:26112437:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDHNTSSSAPSTRRIRHRRRSNEIPHELSKLNGTHLLINDQDKYKSMWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108371:26112437:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108345:26112692:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108356:26112437:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDHNTSSSAPSTRRIRHRRRSNEIPHELSKLNGTHLLINDQDKYKSMWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.03G136500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26108380:26112437:-1 gene:Manes.03G136500.v8.1 transcript:Manes.03G136500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRAHSSLWMVGGFLVIIYLGHLYIWATVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVSGFVKYQMVICYFLYIAGFMWFILALKKKMYEYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYIFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANILGNFQWLTCPRKDLSAGWLKCDPGPLFKAENYPLPGWISDWFPWKEISVLPVQWHAMWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFQQDYSVETVLDQILGSLTLEEQQILYLKLRQIFQERQLKGT >Manes.12G143300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34905126:34907013:-1 gene:Manes.12G143300.v8.1 transcript:Manes.12G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLLEIFLAIISFVVVRVLLNKNGQPIYWPVVGMLPDTLFHLHRAHERFTEVIERSGHTFFYLGPWFSRVKLLGTVDPANVHYIMSSNFSNFPKGPEFSKIFDVLGTGIFNSDSEWWKNQRKLAQALINHRRFHQFLVKTSREMVEKGLVPVLDHVAEQGSVVDMQDLFQRFTFDITCILVTGYNPRCLSIDFPEVEFSKAMDDAEETLFFRHLTPEWFWKLQRILGIGQERKMKKAWKTLDRTSAEYISRKRKQLNNQSPFSSDDRSEGVDLLTSYMTETQIMGSKPNDKFLRDTIVNFLLAGRDTTSSALTWFLWLISKNPQAETKIREELKASLPETEAETWRIFNPEELNKLVYLHGAICEALRLYPPVPFQHKAPLHEDLLPSGHRVNPEMKIVLCLYSMGRMESIWGKDCLEFKPERWITEGAKIRHEPSYKFLAFNAGPRTCLGKEMAFIQMKTVAAAIIHNYKVQVVEDHPVSPNISIILQMKHGLKVRISRRWI >Manes.09G000400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:494332:495935:1 gene:Manes.09G000400.v8.1 transcript:Manes.09G000400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVDLIASLAFNTIGTLQRDAPPVRLSPEYPEPPANPSDDFAEQPKLMSSALVKAAKQFDALVAALPLAEGGEEVQLKRIAELQAENDAVGREFQRQLEAAEKELKQVQELFSQATDNCLNLKKPD >Manes.10G112229.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26622037:26623233:1 gene:Manes.10G112229.v8.1 transcript:Manes.10G112229.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSSFVQPAIPKFDGHYDHWSMLMENFLRSKEYWSLVENGVPAAAEGETLTDVQKKSIDDQKLKDLKAKNFLFQALDHSVLETILEKDTAKDIWDSMKQKHQGTTQVKRAQLQALRKEFEVLQMKSGETVNEYIARTLSIEESNDTSKLTIDELQSSLLVHEQRMSTQIEEEHALKISHGDQYRGRGRGSGRGGCGRGQGRQNFDKSTVECFRCHKLGHFQWECLQKEANFAEGQEELLLMAYTATGIANNENIWFLDSGCSNHMCGKKEYFFDFDANFRDSVKLGNNSSLLVKGKGNIRLVMHGIAQIITSVFFVPELKNNLLSIGQLQEKGLAILFQHNKCKVYHSEKGLIMDTTMCSN >Manes.18G029400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2498862:2500265:-1 gene:Manes.18G029400.v8.1 transcript:Manes.18G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIIPLLELAKRLVTYHDFHVSFLVITTNEASAAQEQLLRSPTLPSGLDIVELPPVDVFSLTTDDMLVLTRVCVIVEESLKSLKHVLVELGNIKALVVDLFCTQAFQVCRELSIPVYSFCTGSIALLTLSLYLPTLDREVDGEFVDLPEPIKVPGCTPIRTEDLLDQIKNRKIDEYKWYLLHVNRLPLAAGIFLNSWEDLEPAGLKAVTENSFFKQIPTPRVHPIGPLIRQEETLTAAASNTECVAWLDKQPPGSVLFVSLGSGGTLTAEQLTELAWGLELSQHRFILVVRSPTNSSASAAFFNVGSEDNHPKAYLPEGFLDRTEERGLVVPSWAPQEHVLKHPSTGGFLSHCGWNSILESVTYGVPIIAWPLYAEQRMNATMLAEEVGVGIKPEVREGNSTIGRKEIERVVRIVMESEDGKLMKIKALKLQESAAKALNACGSSYDSLGRVVEEWKAEPKCTCIE >Manes.14G107700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9033105:9038250:1 gene:Manes.14G107700.v8.1 transcript:Manes.14G107700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEHLIYLVSDTMPEIQLGAHTVRSHGVKVARTHMHDWLILLLLVVMDVVLNVIEPFHRFVGRDMMTDLSYPMKDNTIPFWAVPIIAILLPFVIIIVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGAYNNVTTDVMCTGIKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDQRGHVAKLCIIFLPLLVAALVGISRVDDYWHHWQDVFTGGLIGLTVASFCYLQFFPPPYDIDEIAW >Manes.14G107700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9033066:9038309:1 gene:Manes.14G107700.v8.1 transcript:Manes.14G107700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQLGAHTVRSHGVKVARTHMHDWLILLLLVVMDVVLNVIEPFHRFVGRDMMTDLSYPMKDNTIPFWAVPIIAILLPFVIIIVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGAYNNVTTDVMCTGIKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDQRGHVAKLCIIFLPLLVAALVGISRVDDYWHHWQDVFTGGLIGLTVASFCYLQFFPPPYDIDEIAW >Manes.14G107700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9033066:9038189:1 gene:Manes.14G107700.v8.1 transcript:Manes.14G107700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQLGAHTVRSHGVKVARTHMHDWLILLLLVVMDVVLNVIEPFHRFVGRDMMTDLSYPMKDNTIPFWAVPIIAILLPFVIIIVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGAYNNVTTDVMCTGIKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDQRGHVAKLCIIFLPLLVAALVGISRVDDYWHHWQDVFTGGLIGLTVASFCYLQFFPPPYDIDGIFFLISISILLIDLTIYTLMSKIYKWRC >Manes.14G107700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9033066:9038170:1 gene:Manes.14G107700.v8.1 transcript:Manes.14G107700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDTMPEIQLGAHTVRSHGVKVARTHMHDWLILLLLVVMDVVLNVIEPFHRFVGRDMMTDLSYPMKDNTIPFWAVPIIAILLPFVIIIVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGAYNNVTTDVMCTGIKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDQRGHVAKLCIIFLPLLVAALVGISRVDDYWHHWQDVFTGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGTQPSNNTSCLNVRQSELQNVYIDSQHQNVTTRDTSPILEGTEGERRR >Manes.14G107700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9034843:9038170:1 gene:Manes.14G107700.v8.1 transcript:Manes.14G107700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQLGAHTVRSHGVKVARTHMHDWLILLLLVVMDVVLNVIEPFHRFVGRDMMTDLSYPMKDNTIPFWAVPIIAILLPFVIIIVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGAYNNVTTDVMCTGIKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDQRGHVAKLCIIFLPLLVAALVGISRVDDYWHHWQDVFTGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGTQPSNNTSCLNVRQSELQNVYIDSQHQNVTTRDTSPILEGTEGERRR >Manes.14G107700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9033066:9038254:1 gene:Manes.14G107700.v8.1 transcript:Manes.14G107700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQLGAHTVRSHGVKVARTHMHDWLILLLLVVMDVVLNVIEPFHRFVGRDMMTDLSYPMKDNTIPFWAVPIIAILLPFVIIIVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGAYNNVTTDVMCTGIKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDQRGHVAKLCIIFLPLLVAALVGISRVDDYWHHWQDVFTGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGTQPSNNTSCLNVRQSELQNVYIDSQHQNVTTRDTSPILEGTEGERRR >Manes.14G107700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9033105:9038250:1 gene:Manes.14G107700.v8.1 transcript:Manes.14G107700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEHLIYLVSDTMPEIQLGAHTVRSHGVKVARTHMHDWLILLLLVVMDVVLNVIEPFHRFVGRDMMTDLSYPMKDNTIPFWAVPIIAILLPFVIIIVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGAYNNVTTDVMCTGIKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLSGKIRAFDQRGHVAKLCIIFLPLLVAALVGISRVDDYWHHWQDVFTGGLIGLTVASFCYLQFFPPPYDIDGWGPHAYFQMLAESRNGTQPSNNTSCLNVRQSELQNVYIDSQHQNVTTRDTSPILEGTEGERRR >Manes.15G137700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11121430:11127329:1 gene:Manes.15G137700.v8.1 transcript:Manes.15G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGERDHHLSNGEAESSHNQQNPEEKIGLSHKGIDFLKESKMGLDGFASKPQELTLSYLCENPKLGFAQKGKEVILTENSNQDEKWVERDFMNLRETKCNSSSKREFHEEEDIERENSSRGKKPKLESTLNLSLALPDVSLSLTASNAFQNAEPVIKPKPSRSMQSLGAAPSNNTQTTCSNDFTAASLSYSYSHPFSHNPSCSLTRNSTENYDYSVGRDDQIWCGGEGTNGSVHSRFRPIGDGLVALNNNNHGGGGNGGVSIIQGHRLKDSCNNSLYKTTSSDNLPFFPSELPARPRLEAYSGDSRRRNSENMRGGDGVDGGGKARKLSRPEKILHETVSESIPVMAQIIQELSEETLESTKEYLENLIAMPEKKEELAGLQNRLERRSDLSNEALLKCQKDQLEILVAVRMGLGSFISGKVRLPASELVEIFLYMRCRNMNCKSILPVDDCDCKFCSASKGFCSSCMCPVCMNFDCANNTCSWVGCDVCSHWCHAACGIQRNFIRPGPSLKGPKGTTEMQFHCIGCNHASEMFGFVKDVFMCCAKDWGLETLIKELDCVRKIFKGSDDFKGKELHIKAEELLSKLENRILSPSDACNIIIQFFNHADGISDFPASAVSAKELMPTEASLRKDDAPIPPSTSLPPKYALYNTNSSSGRRVSLSNDIHQNDLRAALLGDIKMNDEFQFGNLSKKDGLESLESIVRIKEAEARMFQSKADEARREAEGYRQMIRAKSEKLEEEYAEKLAKLCLQETEERRRKKLEELKVLESSHCDYYNMKLRMQTEIAGLLERMETTKQQWV >Manes.05G137800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:13409772:13410491:-1 gene:Manes.05G137800.v8.1 transcript:Manes.05G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQESKDNRKRPRDESDQDTPEAKLARVESDGDSLHLGESPVDNENSVVNTCEDGDNHVTSNVSGLNSPDAKRIQDDLLNILDESDEPIIQGLDSVIRSFEEEILVPDFGTGTANAESCGVGSQPELGYLLEASDDELGLPPTFSGEEKSSEVNLAAETGGSGAASFCEMIEFENVIPSFDSFEFGLTCDSDGNSYNSSYNDSGDFVALGGLFDYSDENCTPAGEVAGLQWQPESLSAL >Manes.11G154200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32117018:32119950:1 gene:Manes.11G154200.v8.1 transcript:Manes.11G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASCQLLALLLLLTTTYISAASHPGGLRLGPIRRPLIQKSSLQSTEIPPEYETHYYTQTLDHFNYYPKSYATFQQRYILNFKYWGGANTSSPIFFYAGEEADILGDVLYVNFIADLAARFKGLLLYVEHRYYGESFPFGSNRETYQNGSTLGYLSSEQALADYAQVITDVKKNLSALNSPVIAVGASYGGMLASWFRLKYPHIIIGALASSAPILYFEDITPQHGYHAVASRDFRNTSESCYNTIKQSWSEIDRVAAETNGLVTLGNIFNACTPLNSSQELKDYLTIIYLVSAQYDNPPDYFVENLCKAIDGAPQGTDVLARIAVGLNASIIFGQGSCHYIVEPETVYRQSSWSWQTCTEMVIPRGVDTNETMFEFSPFDLNKFTKACQEVFGITVIPRPGWAPVQYGGRNIKSALENFASNIIFSNGFRDPWSAGGILKDISDTVVAIHTDQGAHCLDVLSPNATSDPAWLLAQRDKEIQVIAFWLAEYYAKLATNTAN >Manes.12G130800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33860907:33862821:-1 gene:Manes.12G130800.v8.1 transcript:Manes.12G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFTAFLLLVAVASAAPLRPRFYSETCPEAEVIVRNVMKKTMIREPRSVASVMRFQFHDCFVNGCDASMLLDDTATMPGEKQALSNVNSLRSYEVVDEIKQELEEVCPETVSCADIIIMASRDAVALSGGPDWEVKLGRRDSLTASQEDSNNIMPSPRANASLLIDLFARFNLSIKDLVALSGSHSIGKARCFSIVFRLYNQSGTGKPDPTIEPSYRQKLNTLCPLGGDGNVTGDLDATTTVFDNVYFQDLVAGRGFLNSDQTLFTFPETRQYVRLFSKDQKAFFKAFVEGMIKMGDLQSGRPGEIRTNCRVANGQRPVDVLLES >Manes.01G065050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26460998:26462089:1 gene:Manes.01G065050.v8.1 transcript:Manes.01G065050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGSWRLTGYYGEADRGSRHLSWQRLRMLASHLEAPWVCLGDFNDILSPSEKRGGRPQPPRLINGFRKALCDSGLMEFPMTGYPFTWEHSRNSGSWVESKLDRVLTNAQWRARFSNSSTEVMGFSTSDHLPILLVVKCFVEQRHAHRFHFENMWLRETGCRNLIFDIWQSSSNMDAAGKLEACRSALKSWGINLRLKHKVEMDECLAIMSRLRGSRLQEHITEFLRAKARFFHLLNLHEIFWKQRAKQFWLKEGDANTRFFHQSASARKRKNTIVKLLDDSNVWRDRNSGLEGVMTDYFMTLFTSHSCNSEHVLQCVPTLVSQDHNASLLAPYSCDEVRSAAFSMKIDKSPGLDGFNPGFF >Manes.02G212120.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27285002:27285418:-1 gene:Manes.02G212120.v8.1 transcript:Manes.02G212120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLAEMNRIWEQKFKMLLEKNNNIASPMEDSQDDEIGG >Manes.09G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8357499:8360734:-1 gene:Manes.09G049000.v8.1 transcript:Manes.09G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNADKALPSPTLSRRRPVEVRSVWADNLESEFKMIRSLVDSYPLISMDTEFPGIVFRPAADDPYNRYRDSKAHYLNLKANVDMLNLIQVGLTIADHDGNLPDLGTDSCYIWEFNFRDFDVSRDAHAYDSVEMLRSQGIDFERNRQCGIESVKFAELLMSSGLVLNDSVSWVAFHGAYDFGYLVKCLTQRVLPVELTQFLDTVRLFFGDCVYDVKHLNRFCDLYGGLDRVGMALGADRVIGKKHQAGSDSLLTLYVFQKIKENHFKDKKLEKYANVLYGLEGN >Manes.01G026600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5484547:5486954:1 gene:Manes.01G026600.v8.1 transcript:Manes.01G026600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQQQQQPVQKNTLYVGGLAEEVNESILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEKEDASAAMDNMDGAELYGKVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQQEEMDRIQAENKAAMQAADELHRKKMAEEREGEKEDEMEIKDDPMARAEAEVLQQTSS >Manes.18G145966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:32155364:32155657:-1 gene:Manes.18G145966.v8.1 transcript:Manes.18G145966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLMRLDENFEQVRNQILLLDPFPFINKVYSMVLKFETQKVVFSFTENVGSVALLTKGQGPKNTKKKPDHKKEYYNHYNMDGHMKDNYFKLIGYPD >Manes.07G125402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32856213:32856823:1 gene:Manes.07G125402.v8.1 transcript:Manes.07G125402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILCWNCRGVGNPLAVNAMEDLVFYYKPSILFLMETKVHGVRMNQIKNLFHYSNCFSVDSIGIGGGLSLMWNNDVQLQVSYFSSNFIDCTIGTGSAQWCFTGFYGCPEPTRRRTSWNLLRELSSRNDLPWLCCGNYNDIASHLISGFRGTLLDSSLSDIQHNGSFLSYTYREGTPFCSKERLDRACSNTA >Manes.02G139100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10700550:10703981:1 gene:Manes.02G139100.v8.1 transcript:Manes.02G139100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSFLGYIPWFSKHSNEDLRTSQKPTDVVLSMGSTLEQPKQKAHFDVKLWRWSLLSFVPLAINAKDKIRTPNTINKGLKRRAQSHGVIKNGGAGGNPLRFRPYVSKVPWHTGARGFLSQLFPRYGHYCGPNWSSGKDRGSLLWDKRPIDWLDYCCYCHDIGYDTHDQAHLLKADLQFLACLEKPNMIAKGDTHIALIYKTMCITGLRNLLIPYRIHLVKLQSGQPLLNFGWLSNVKWRGWNCQKT >Manes.02G139100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10700714:10701619:1 gene:Manes.02G139100.v8.1 transcript:Manes.02G139100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSFLGYIPWFSKHSNEDLRTSQKPTDVVLSMGSTLEQPKQKAHFDVKLWRWSLLSFVPLAINAKDKIRTPNTINKGLKRRAQSHGVIKNGGAGGNPLRFRPYVSKVPWHTGARGFLSQLFPRYGHYCGPNWSSGKDRGSLLWDKRPIDWLDYCCYCHDIGYDTHDQAHLLKADLQFLACLEKPNMIAKGDTHIALIYKTMCITGLRNLLIPYRIHLVKLQSGQPLLNFGWLSNVKWRGWNCQKT >Manes.13G004800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1046558:1049258:-1 gene:Manes.13G004800.v8.1 transcript:Manes.13G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAWSALAISSPSFATTGGCFHSQTRPSKCTVTFSRPFTSTPHYPFSLRVTNESNRTELSPDPEIEMSEADKIVDGMDFGELCNEFECISSPLVESTARQLARDILELRQGNRALGTYAVSVKYKDPLRSFTGRDKYKRPLWITGALDNPSVSVQEMVMLSTSVLSIKWTIKGKPKSLVADIGGDLILKVNSRFTLNQISGQVIEHEELWDLSASSPIAQAFFWASRRLFATIETGKDLADLLKNLSRRLPTQKENLEIYPDPSGDPTKFFQRDEGFQRDAYQIALFLAVLYFVVQFLKTTL >Manes.04G035200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4582981:4590719:-1 gene:Manes.04G035200.v8.1 transcript:Manes.04G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEPLVSSKAELKHKQKLKKKAKSGGFESLNLSPNVYRGIKRKGYRVPTPIQRKTMPRILAGSDVVAMARTGSGKTAAFLIPMLERLKQHVPQAGVRALILSPTRDLALQTLKFTKELGRFTDLRTSLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLSEVEDMSLRTVEYVVFDEADSLFGMGFAEQLHKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLDTKISPDLKMLFFTLRHEEKHAALLYLVREHISPDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKIHISRFRARKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTTEDMPYLLDLHLFLSKPIRAAPAEEEVLQDMDGVMKKIDQAIANGETVYGRFPQTVLDLVSDRVREIIDSSAELTSLQKTCTNAFRLYTKTKPLPAKESIRRVKDLSREGLHPDFKNVLGGGELVALAFSERLKAFRPKQTILEAEGEAAKSKNMQGPSSQWVDVMKRKRAIHEEIINLVHQQRSSKKMEKEVQSEIASSNGREKKEARGSKRKAKNFKDEEYYISSVPTNHHTEVGLSVRANEGFGSNRLDTAVLDLVADDSQGMQKQKSVYHWDKRSKKYIKLNNGERVTASGKIKTESGAKVKAKSTGIYKKWKEQSHRKVSLKGTSNEGDAEQSSSFSGDHQLRGNNRKFKGGRNNHYVPNANVRSEIKNLEQVRKERQKKANKISHMKNKAATGKKFGKNGKKGKARKQR >Manes.04G035200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4582981:4590720:-1 gene:Manes.04G035200.v8.1 transcript:Manes.04G035200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEPLVSSKAELKHKQKLKKKAKSGGFESLNLSPNVYRGIKRKGYRVPTPIQRKTMPRILAGSDVVAMARTGSGKTAAFLIPMLERLKQHVPQAGVRALILSPTRDLALQTLKFTKELGRFTDLRTSLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLSEVEDMSLRTVEYVVFDEADSLFGMGFAEQLHKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLDTKISPDLKMLFFTLRHEEKHAALLYLVREHISPDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKIHISRFRARKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTTEDMPYLLDLHLFLSKPIRAAPAEEEVLQDMDGVMKKIDQAIANGETVYGRFPQTVLDLVSDRVREIIDSSAELTSLQKTCTNAFRLYTKTKPLPAKESIRRVKDLSREGLHPDFKNVLGGGELVALAFSERLKAFRPKQTILEAEGEAAKSKNMQGPSSQWVDVMKRKRAIHEEIINLVHQQRSSKKMEKEVQSEIASSNGREKKARGSKRKAKNFKDEEYYISSVPTNHHTEVGLSVRANEGFGSNRLDTAVLDLVADDSQGMQKQKSVYHWDKRSKKYIKLNNGERVTASGKIKTESGAKVKAKSTGIYKKWKEQSHRKVSLKGTSNEGDAEQSSSFSGDHQLRGNNRKFKGGRNNHYVPNANVRSEIKNLEQVRKERQKKANKISHMKNKAATGKKFGKNGKKGKARKQR >Manes.01G149400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33861242:33863286:-1 gene:Manes.01G149400.v8.1 transcript:Manes.01G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTNNHRCITLALIFVLGALASPAVARTLQYASMYERHGEWMTQYGRVYKDANEKEMRYQIFKENVERIESFNKDSGKPYKLGVNKFADLTNEEFKTTRNRFKGHMCSAQAGPFKYENVSAVPSSMDWRKKGAVTAIKDQGQCGSCWAFSAVAAVEGVTQLSTGKLISLSEQELVDCDTKGEDQGCQGGLMDDAFKFTEQNHGLTTEANYPYEGSDGTCNTQKEANHAAKITGYEDVPANNEAALMKAVAKQPVAVAIDASGYEFQFYSSGIFTGSCGTELDHGVAAVGYGKSNGMNYWLVKNSWGTQWGEEGYIRMQKDVDAKEGLCGIAMQASYPTA >Manes.06G109550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24135670:24136778:1 gene:Manes.06G109550.v8.1 transcript:Manes.06G109550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVEIQSITFTHKGVEIQRFSLTMGYLFCAVWLSGPIGQLSIIFLWLSGMYLHGARFSNYESCTIMQGCFPYDRW >Manes.05G040900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3302709:3307472:1 gene:Manes.05G040900.v8.1 transcript:Manes.05G040900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLETLVEVTAEHYFGEDKKWNFMAVTEALKVLVRLGLFRNSGYKMLLHGGETPNIEKQSNLSSQHTVGGFPKPGNHHGPSHLGDINGRNPWNLEGRALSALSRFGQNARMVSDPVWLSRVQHQHAIMGPPSPMVDRMTLSTILSEKGLQGALFVLGEVLCITRPLIYVLFIRKYGIRSWTPWFFSLAVDLIGISFLTQATKPMHGGKGQPFHFSVSEQDELKRRKLQWALYLMRDPFFSKYTRQRLESSEKLLEPIPLIGILTAKIVELVIGAQTRYTYMSGS >Manes.05G040900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3302709:3307472:1 gene:Manes.05G040900.v8.1 transcript:Manes.05G040900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKRWVRTNKDYVHSLESLANGLTWLLPERFSASEIGPEAVTAILGIITAINEHIIDTTPTQWHPGSVEPYSFPYSLCISAMKDLETLVEVTAEHYFGEDKKWNFMAVTEALKVLVRLGLFRNSGYKMLLHGGETPNIEKQSNLSSQHTVGGFPKPGNHHGPSHLGDINGRNPWNLEGRALSALSRFGQNARMVSDPVWLSRVQHQHAIMGPPSPMVDRMTLSTILSEKGLQGALFVLGEVLCITRPLIYVLFIRKYGIRSWTPWFFSLAVDLIGISFLTQATKPMHGGKGQPFHFSVSEQDELKRRKLQWALYLMRDPFFSKYTRQRLESSEKLLEPIPLIGILTAKIVELVIGAQTRYTYMSGS >Manes.12G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31347989:31354677:1 gene:Manes.12G113300.v8.1 transcript:Manes.12G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSAFGASRSCSQKKLPILHALTFSLPLSLSLLSERKFLQEKNKSRERDEFRERKREEENKRNSEKFAMKALTNHHFSFFFILLLLTISSAIQHFTAGPKTQRRILHQPLFPASSAPPPVTDSSSSPPPPPPADGQVLPSPDQPFFPEVPSGPNPDRSQPPPASPVNGTFPIPTATQPAKPAKKVAIAISVGIVTLGMLSGLAFFLYRHRVKNPSETQKLVGDNSQRFADESLVPNSSVLYMGTVQPGRTSGEVNGTTMEANVSPYHKLNSIKRSDRYRPSPDLQPLPPLPRPPSQHDNENENSPSSSASLSDEESHGTAFFTPQGSIISNDDGYYMPVPVPGSRPFINGNWAKSANGSSVPHSKRTSPKSRFSSVTSPEMKHVIIPSSNQTLPSPVVPPPQPPPPALAHRDRADNVEPSLYFPKRPKFSAPPPPPNMALLRSIHNQQSSKISPPPPPPPPPPPPLQPLSMLTPRKIGSSETAKTSVSSTPSTVSSKQQSWTRSPRASSKTETPTIEQVDRGIRFSEKTDAEEQDGAKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGCNSTNSIPKEPTRRSVLPPVEQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDANPESLGADLLETLVKMAPTKEEEIKLREYSGDSSKLGSAERFLKAILDIPFAFKRVEAMLYRANFDAEVKYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLETLLKLVDIKGTDGKTTLLHFVVQEIIRAEGAGNDSTKENPQKSTHTKFKEEEFRKQGLQVVSGLSRDLTNVKKAAGMDSDVLSSYVSKLEQGLEKVRLVLQYEKPDMQGKFFNSMKLFLREAEEEIIRIKADERKSLSHVKEVTEYFHGDAAKEEAHPFRIFMIVRDFLTILDQVCKEVGNMQDKTMVGAARSFRISGSASLPVLNRYNVRQDRSSDEESSSP >Manes.12G113300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31347991:31354677:1 gene:Manes.12G113300.v8.1 transcript:Manes.12G113300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSAFGASRSCSQKKLPILHALTFSLPLSLSLLSERKFLQEKNKSRERDEFRERKREEENKRNSEKFAMKALTNHHFSFFFILLLLTISSAIQHFTAGPKTQRRILHQPLFPASSAPPPVTDSSSSPPPPPPADGQVLPSPDQPFFPEVPSGPNPDRSQPPPASPVNGTFPIPTATQPAKPAKKVAIAISVGIVTLGMLSGLAFFLYRHRVKNPSETQKLVGDNSQRFADESLVPNSSVLYMGTVQPGRTSGEVNGTTMEANVSPYHKLNSIKRSDRYRPSPDLQPLPPLPRPPSQHDNENENSPSSSASLSDEESHGTAFFTPQGSIISNDDGYYMPVPVPGSRPFINGNWAKSANGSSVPHSKRTSPKSRFSSVTSPEMKHVIIPSSNQTLPSPVVPPPQPPPPALAHRDRADNVEPSLYFPKRPKFSAPPPPPNMALLRSIHNQQSSKISPPPPPPPPPPPPLQPLSMLTPRKIGSSETAKTSVSSTPSTVSSKQQSWTRSPRASSKTETPTIEQVDRGIRFSEKTDAEEQDGAKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGCNSTNSIPKEPTRRSVLPPVEQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGCVYGATANPESLGADLLETLVKMAPTKEEEIKLREYSGDSSKLGSAERFLKAILDIPFAFKRVEAMLYRANFDAEVKYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLETLLKLVDIKGTDGKTTLLHFVVQEIIRAEGAGNDSTKENPQKSTHTKFKEEEFRKQGLQVVSGLSRDLTNVKKAAGMDSDVLSSYVSKLEQGLEKVRLVLQYEKPDMQGKFFNSMKLFLREAEEEIIRIKADERKSLSHVKEVTEYFHGDAAKEEAHPFRIFMIVRDFLTILDQVCKEVGNMQDKTMVGAARSFRISGSASLPVLNRYNVRQDRSSDEESSSP >Manes.S038416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1075305:1075685:1 gene:Manes.S038416.v8.1 transcript:Manes.S038416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.06G139700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26772705:26774254:1 gene:Manes.06G139700.v8.1 transcript:Manes.06G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINHALRFHTNSSLPSRLLSTLTLVILVKTIFLIIILQKWILLISFLVLIFIFKGYFLNASPVYLVDFSCFKPPNFCRVSFSAFLEHASMIECFDSESVAFMSRILTSSGQSEETYLPPALHSIPPITNQEESVKEVQMVLFPIVEDLLSKTGVSPGDIDILIVNCSGFCPSPSLTSVIINKYSMRNDIKSYNLSGMGCSAGAIAIDLAHGILKTHKNFYALVLSTEILSTGWYSGHERSKLLLNCLFRMGSAAILLTNKKEAKKSSKYKLFCTVRTQRAFEDNAYFAAFREEDSNGKLGVTLKKDLLQVAGETLKPNITILGSKILSLLEKLRHGISIIGKRYIDKTRGTYVPNFKTVIQHFCLPTSGRPVIKEIVKGLKLGDREVEAALMTLHRFGNQSSSSLWYELAYMEAKERVKKGDKVWQLGMGSGPKCNSLVWECLRPITGESKKGPWADSVHRYPIMAVDTPRRRYFN >Manes.09G143100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34128664:34130404:-1 gene:Manes.09G143100.v8.1 transcript:Manes.09G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDATVDGYWCHMCSRMVTPVMESGIKCPLCDNGFVEEMGSSTRDLNNNVVDFRPERSFSLWAPILFGLVGGLSPPARAQHQNSRSSNNNNAQEENGELEREFRSMFRRMRRRRSLASNLGMLQGLHSGPENSENNRESNTNSSNDNSNSVVLVNPFNEEALVVQGSFEVNRSENPIRNMATSLGEYLMGPGLDLLLQHLSENIPNQYGTPPAEKEAVQAMPTVAVEQSFVCSICLEELNVGDEAKEMPCKHKFHSECILPWLEIHSSCPVCRFQMPCDESKIQANNSRSSEGRTENNDATTVMGNGVGGEQIGNGIGRRYWINVPWPFEGLFSLSTPQSGGSSTSPPSIETTPGNPSHTDET >Manes.06G112600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24373218:24377747:1 gene:Manes.06G112600.v8.1 transcript:Manes.06G112600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYKLITDDATGLLLLDHFPHRENVDVLRLPTRRGTEIVAVYVRYPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEHNTYADIEAAYKCLEESYGTKQENIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTSDEVVDCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPEYLRHLKKFLSTVEKSPLRRNTARRSTDGLEQPRRSTDCYEGPRKSTDRREKPRKSTDRPEKLKFHEYKFTNIEKLEKLKTSFDQMERSRRSVEYHDKSRKSIDLQLEKARKSVDWIDRIRTG >Manes.06G112600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24373239:24377715:1 gene:Manes.06G112600.v8.1 transcript:Manes.06G112600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYKLITDDATGLLLLDHFPHRENVDVLRLPTRRGTEIVAVYVRYPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEHNTYADIEAAYKCLEESYGTKQENIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTSDEVVDCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPEYLRHLKKFLSTVEKSPLRRNTARRSTDGLEQPRRSTDCYEGPRKSTDRREKPRKSTDRPEKLKFHEYKFTNIEKLEKLKTSFDQMERSRRSVEYHDKSRKSIDLQLEKARKSVDWIDRIRTG >Manes.05G086300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7144911:7146987:1 gene:Manes.05G086300.v8.1 transcript:Manes.05G086300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELLFLFSLLLLSLVFLFSIAKSSKSNKPISNHLSKSYPLIGNYLDLKANWHRRVQWTSDLLQNSPSATCVLYRPLGARAILTANPANVQHMLKSHFHNYQKGDFFRSTLFDFLGNGIFNADGDTWKFQRQVASHEFNTKSLRKFVETVVDTELYQRLIPVLSAAAADGTVLDFQDILQRFAFDNICKIAFGYDPAYLLPSLPQAEFAQAFEDSVRIISDRFNSLFWKIKRAFGMGSEKRLREAMSEVRDFAVNLVKEKKEELKKNSSIESVDLLSRFLSSGHSDETFIVDIVISFILAGRDTTSAALTWFFWLLSKHPEVEANVLQEIHEKSEMPIFEEVKDMVYTHASLCESMRLYPPVPTDTKLAVSDDVLPDGTPVTKGNRVTYHPYAMGRLETLWGPDWADFKPERWLERDTKSEKWSFVGRDSYTYPVFQAGPRICLGKEMAFLQMKRVVAGVLSRFKVVPAAETGVEPEFISYLTAKMKGGFPVKIEERSK >Manes.08G150901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38787016:38788729:-1 gene:Manes.08G150901.v8.1 transcript:Manes.08G150901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVFFIFMLIAAIVPTSRARWKEFIVGDEAGWTLGFDYQAWAQGKKFHVGDKLVFKYHVGAHNVFKVNGTGFQNCIKPKVGEALSTGNDTIVLATPGRKWYVCGVGKHCENGMKLVIDVFPLPEQAPKPSPPAPLTYGKEFIVGDDAGWTLGFDYQAWAQGKKFHVGDKLVFRYYVGDHNVFKVNGTGFQNCIKPKVGEALSTGNDTIVLTTPGRKWYVCGVGKHCENGMKLFINVFPLNAQAPKPSPPPAPLTYGREFIVGDEAGWRLGFDYQAWARDKHFRVGDKLVFQYYPGAHNVFKVNGTGFQNCIRPPATEALTTGNDTIVLATPGRKWYICGVGQHCEKGMKLFLTVLPPATA >Manes.16G092800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29889321:29903342:1 gene:Manes.16G092800.v8.1 transcript:Manes.16G092800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGWGYGANMLTKYLAEVGEMTPLMAATCINNPFDLEEATTCSPYHDALDKKLTGGLKDILRSNKELFQGKAKGFHVEKALMAKSVRDFEKAISMVSYGFEKIEEFYSKSSTRDVVGNVNIPVLFIQNDDGTVPLFSTPRSLIAENPFTSLLLCSCLPSDIVGKGRAAVSWCQNLTIEWLSAVELGLLKGRHPLLKDVDVNFNPITSLTLVGGRTSNKGPLKERIKDSITTVQSRSRQDAYRNFEIKERLQEVENGAFQQTSSVDVELVKEEVADTVDTGSGQVLQTAQVVMNMLDVTMPGILEEEEKKKVLSAVGQGETLMKALQDAVPEDVRGKLTSSVTGILHAQNANLKLDGLLGKVPAVSSGIKSKIQEKAGGTLNDEPTSNDPHSSEEIKKPDDLADVSDNNQPGSYKSVTGLELGHRSSDNLHNFSDFGQPQTVNIQLGDTCDYVKDKNESGNNHESDDLVKEKTISYSNSSEKGLDTSTKQSVTSRPENAAGTEEAIVDELKVDENGGTPQLEMKREMHTQKNEEKTPNSSTDLSKMASGNMVEEAPSPAVLSPNSLPMENDSNDTQKRDNIAVQPVSDQNKPIMSDSNSPAFNVVQALDALTGMDDSTQVAVNSVFGVIEDMISQLEEEKDDENQNQDRDEVEGETDDSRSRKENATGDNIVKINGKNDLTMQPGISQDSAPCKKKATGINPQNVVSAGRIEEKLTGNSVIYGENVTDGYWGVTSSNHKYKKGIKKNQLVGGNFLADYADRQVNSIPLYITGNPYGEYIQNEYFRKYLLSKMPNDKPLDLDMTTALLLDYFPEEGQWKLLEQPGNIGESRHDVKAHSVVDRKDRVHSPVNVNDTDNIIEPSYILLDAEKQQETVGEYSIVNSSNENVENRLEEVMQLVKLIIVDALRIEIDRKLSADTMKEMEPTLTSDLEQVANAVSLAIGHEGSLYLQGKDLSIDGASEKFGTLQGEHIVRAISSAVLSTSYLRRILPVGVVIGSSLAGLRKYFNVGTRPDNDLTLNGQTKISREESLDKPSMREDDQKPITRMDQNISMKGTRGREGKEAELKNRKNDSVMVGAVTAALGASALLVQQQSQYHGKETEESSLKSLNEKVDDVKAVDKVGESISEKMQNNVVASLAEKAMSVAAPVVPTNEDGEVDQDRLVAMLADLGQKGGLLRLVGKAALLWGGLRGATSLTDKLISFLRMAERPLYQRIFGFVGMVLVLWSPILVPLLPTLVRSWTTSNPSRFAYLVSIIGLYTAVMILVMLWGRRIRGYEDPLEEYGLDFMKPHKIKFFFMGLIGGIMLVLSIQSVNVLLGCASFSRPASLPTSSDAIACLKVCGQVIMLAGRGIITATGLVLVEELLFRSWLPEEIATDLGYHQGIIISGLAFSLVQRSPLAIPGLWLLSLALAGLRQRSEGSLSIPIGLHAGITASSFILQTGGFFTYKPNYPLWITGTHSFQPFSGVVGLAFSALLAIVLYPREPLKKKKTVRE >Manes.16G092800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29887283:29903342:1 gene:Manes.16G092800.v8.1 transcript:Manes.16G092800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGWGYGANMLTKYLAEVGEMTPLMAATCINNPFDLEEATTCSPYHDALDKKLTGGLKDILRSNKELFQGKAKGFHVEKALMAKSVRDFEKAISMVSYGFEKIEEFYSKSSTRDVVGNVNIPVLFIQNDDGTVPLFSTPRSLIAENPFTSLLLCSCLPSDIVGKGRAAVSWCQNLTIEWLSAVELGLLKGRHPLLKDVDVNFNPITSLTLVGGRTSNKGIKLEKFLDVAASDANRYTIGPLKERIKDSITTVQSRSRQDAYRNFEIKERLQEVENGAFQQTSSVDVELVKEEVADTVDTGSGQVLQTAQVVMNMLDVTMPGILEEEEKKKVLSAVGQGETLMKALQDAVPEDVRGKLTSSVTGILHAQNANLKLDGLLGKVPAVSSGIKSKIQEKAGGTLNDEPTSNDPHSSEEIKKPDDLADVSDNNQPGSYKSVTGLELGHRSSDNLHNFSDFGQPQTVNIQLGDTCDYVKDKNESGNNHESDDLVKEKTISYSNSSEKGLDTSTKQSVTSRPENAAGTEEAIVDELKVDENGGTPQLEMKREMHTQKNEEKTPNSSTDLSKMASGNMVEEAPSPAVLSPNSLPMENDSNDTQKRDNIAVQPVSDQNKPIMSDSNSPAFNVVQALDALTGMDDSTQVAVNSVFGVIEDMISQLEEEKDDENQNQDRDEVEGETDDSRSRKENATGDNIVKINGKNDLTMQPGISQDSAPCKKKATGINPQNVVSAGRIEEKLTGNSVIYGENVTDGYWGVTSSNHKYKKGIKKNQLVGGNFLADYADRQVNSIPLYITGNPYGEYIQNEYFRKYLLSKMPNDKPLDLDMTTALLLDYFPEEGQWKLLEQPGNIGESRHDVKAHSVVDRKDRVHSPVNVNDTDNIIEPSYILLDAEKQQETVGEYSIVNSSNENVENRLEEVMQLVKLIIVDALRIEIDRKLSADTMKEMEPTLTSDLEQVANAVSLAIGHEGSLYLQGKDLSIDGASEKFGTLQGEHIVRAISSAVLSTSYLRRILPVGVVIGSSLAGLRKYFNVGTRPDNDLTLNGQTKISREESLDKPSMREDDQKPITRMDQNISMKGTRGREGKEAELKNRKNDSVMVGAVTAALGASALLVQQQSQYHGKETEESSLKSLNEKVDDVKAVDKVGESISEKMQNNVVASLAEKAMSVAAPVVPTNEDGEVDQDRLVAMLADLGQKGGLLRLVGKAALLWGGLRGATSLTDKLISFLRMAERPLYQRIFGFVGMVLVLWSPILVPLLPTLVRSWTTSNPSRFAYLVSIIGLYTAVMILVMLWGRRIRGYEDPLEEYGLDFMKPHKIKFFFMGLIGGIMLVLSIQSVNVLLGCASFSRPASLPTSSDAIACLKVCGQVIMLAGRGIITATGLVLVEELLFRSWLPEEIATDLGYHQGIIISGLAFSLVQRSPLAIPGLWLLSLALAGLRQRSEGSLSIPIGLHAGITASSFILQTGGFFTYKPNYPLWITGTHSFQPFSGVVGLAFSALLAIVLYPREPLKKKKTVRE >Manes.16G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29887283:29903353:1 gene:Manes.16G092800.v8.1 transcript:Manes.16G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASILFLTPPHSHSNRLFSSQKLFSVRQFRLYRRRRIKPTSTRNLTVYNNLLDPFQNFLSQSPSSFSLNLLAPVLGFASGLTLYLSKFNSSGLSSTSDIGEWILFSSPTPFNRFVFLRCPSISFVGSELLEDVNERLVEQDRHFVRLDSGRIQVRESGYGRLEEKLEYQRVCVSTEDGGVISLDWPANLDLREEHGLDTTVLLVPGTTEGSLDESIRSFVCEALSRGFFPVVMNPRGCAGSPLTTARLFSAADSDDISTAIQFINKARPWTSLMSVGWGYGANMLTKYLAEVGEMTPLMAATCINNPFDLEEATTCSPYHDALDKKLTGGLKDILRSNKELFQGKAKGFHVEKALMAKSVRDFEKAISMVSYGFEKIEEFYSKSSTRDVVGNVNIPVLFIQNDDGTVPLFSTPRSLIAENPFTSLLLCSCLPSDIVGKGRAAVSWCQNLTIEWLSAVELGLLKGRHPLLKDVDVNFNPITSLTLVGGRTSNKGIKLEKFLDVAASDANRYTIGPLKERIKDSITTVQSRSRQDAYRNFEIKERLQEVENGAFQQTSSVDVELVKEEVADTVDTGSGQVLQTAQVVMNMLDVTMPGILEEEEKKKVLSAVGQGETLMKALQDAVPEDVRGKLTSSVTGILHAQNANLKLDGLLGKVPAVSSGIKSKIQEKAGGTLNDEPTSNDPHSSEEIKKPDDLADVSDNNQPGSYKSVTGLELGHRSSDNLHNFSDFGQPQTVNIQLGDTCDYVKDKNESGNNHESDDLVKEKTISYSNSSEKGLDTSTKQSVTSRPENAAGTEEAIVDELKVDENGGTPQLEMKREMHTQKNEEKTPNSSTDLSKMASGNMVEEAPSPAVLSPNSLPMENDSNDTQKRDNIAVQPVSDQNKPIMSDSNSPAFNVVQALDALTGMDDSTQVAVNSVFGVIEDMISQLEEEKDDENQNQDRDEVEGETDDSRSRKENATGDNIVKINGKNDLTMQPGISQDSAPCKKKATGINPQNVVSAGRIEEKLTGNSVIYGENVTDGYWGVTSSNHKYKKGIKKNQLVGGNFLADYADRQVNSIPLYITGNPYGEYIQNEYFRKYLLSKMPNDKPLDLDMTTALLLDYFPEEGQWKLLEQPGNIGESRHDVKAHSVVDRKDRVHSPVNVNDTDNIIEPSYILLDAEKQQETVGEYSIVNSSNENVENRLEEVMQLVKLIIVDALRIEIDRKLSADTMKEMEPTLTSDLEQVANAVSLAIGHEGSLYLQGKDLSIDGASEKFGTLQGEHIVRAISSAVLSTSYLRRILPVGVVIGSSLAGLRKYFNVGTRPDNDLTLNGQTKISREESLDKPSMREDDQKPITRMDQNISMKGTRGREGKEAELKNRKNDSVMVGAVTAALGASALLVQQQSQYHGKETEESSLKSLNEKVDDVKAVDKVGESISEKMQNNVVASLAEKAMSVAAPVVPTNEDGEVDQDRLVAMLADLGQKGGLLRLVGKAALLWGGLRGATSLTDKLISFLRMAERPLYQRIFGFVGMVLVLWSPILVPLLPTLVRSWTTSNPSRFAYLVSIIGLYTAVMILVMLWGRRIRGYEDPLEEYGLDFMKPHKIKFFFMGLIGGIMLVLSIQSVNVLLGCASFSRPASLPTSSDAIACLKVCGQVIMLAGRGIITATGLVLVEELLFRSWLPEEIATDLGYHQGIIISGLAFSLVQRSPLAIPGLWLLSLALAGLRQRSEGSLSIPIGLHAGITASSFILQTGGFFTYKPNYPLWITGTHSFQPFSGVVGLAFSALLAIVLYPREPLKKKKTVRE >Manes.16G092800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29887283:29903342:1 gene:Manes.16G092800.v8.1 transcript:Manes.16G092800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGWGYGANMLTKYLAEVGEMTPLMAATCINNPFDLEEATTCSPYHDALDKKLTGGLKDILRSNKELFQGKAKGFHVEKALMAKSVRDFEKAISMVSYGFEKIEEFYSKSSTRDVVGNVNIPVLFIQNDDGTVPLFSTPRSLIAENPFTSLLLCSCLPSDIVGKGRAAVSWCQNLTIEWLSAVELGLLKGRHPLLKDVDVNFNPITSLTLVGGRTSNKGIKLEKFLDVAASDANRYTIGPLKERIKDSITTVQSRSRQDAYRNFEIKERLQEVENGAFQQTSSVDVELVKEEVADTVDTGSGQVLQTAQVVMNMLDVTMPGILEEEEKKKVLSAVGQGETLMKALQDAVPEDVRGKLTSSVTGILHAQNANLKLDGLLGKVPAVSSGIKSKIQEKAGGTLNDEPTSNDPHSSEEIKKPDDLADVSDNNQPGSYKSVTGLELGHRSSDNLHNFSDFGQPQTVNIQLGDTCDYVKDKNESGNNHESDDLVKEKTISYSNSSEKGLDTSTKQSVTSRPENAAGTEEAIVDELKVDENGGTPQLEMKREMHTQKNEEKTPNSSTDLSKMASGNMVEEAPSPAVLSPNSLPMENDSNDTQKRDNIAVQPVSDQNKPIMSDSNSPAFNVVQALDALTGMDDSTQVAVNSVFGVIEDMISQLEEEKDDENQNQDRDEVEGETDDSRSRKENATGDNIVKINGKNDLTMQPGISQDSAPCKKKATGINPQNVVSAGRIEEKLTGNSVIYGENVTDGYWGVTSSNHKYKKGIKKNQLVGGNFLADYADRQVNSIPLYITGNPYGEYIQNEYFRKYLLSKMPNDKPLDLDMTTALLLDYFPEEGQWKLLEQPGNIGESRHDVKAHSVVDRKDRVHSPVNVNDTDNIIEPSYILLDAEKQQETVGEYSIVNSSNENVENRLEEVMQLVKLIIVDALRIEIDRKLSADTMKEMEPTLTSDLEQVANAVSLAIGHEGSLYLQGKDLSIDGASEKFGTLQGEHIVRAISSAVLSTSYLRRILPVGVVIGSSLAGLRKYFNVGTRPDNDLTLNGQTKISREESLDKPSMREDDQKPITRMDQNISMKGTRGREGKEAELKNRKNDSVMVGAVTAALGASALLVQQQSQYHGKETEESSLKSLNEKVDDVKAVDKVGESISEKMQNNVVASLAEKAMSVAAPVVPTNEDGEVDQDRLVAMLADLGQKGGLLRLVGKAALLWGGLRGATSLTDKLISFLRMAERPLYQRIFGFVGMVLVLWSPILVPLLPTLVRSWTTSNPSRFAYLVSIIGLYTAVMILVMLWGRRIRGYEDPLEEYGLDFMKPHKIKFFFMGLIGGIMLVLSIQSVNVLLGCASFSRPASLPTSSDAIACLKVCGQVIMLAGRGIITATGLVLVEELLFRSWLPEEIATDLGYHQGIIISGLAFSLVQRSPLAIPGLWLLSLALAGLRQRSEGSLSIPIGLHAGITASSFILQTGGFFTYKPNYPLWITGTHSFQPFSGVVGLAFSALLAIVLYPREPLKKKKTVRE >Manes.16G092800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29887282:29903343:1 gene:Manes.16G092800.v8.1 transcript:Manes.16G092800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGWGYGANMLTKYLAEVGEMTPLMAATCINNPFDLEEATTCSPYHDALDKKLTGGLKDILRSNKELFQGKAKGFHVEKALMAKSVRDFEKAISMVSYGFEKIEEFYSKSSTRDVVGNVNIPVLFIQNDDGTVPLFSTPRSLIAENPFTSLLLCSCLPSDIVGKGRAAVSWCQNLTIEWLSAVELGLLKGRHPLLKDVDVNFNPITSLTLVGGRTSNKGPLKERIKDSITTVQSRSRQDAYRNFEIKERLQEVENGAFQQTSSVDVELVKEEVADTVDTGSGQVLQTAQVVMNMLDVTMPGILEEEEKKKVLSAVGQGETLMKALQDAVPEDVRGKLTSSVTGILHAQNANLKLDGLLGKVPAVSSGIKSKIQEKAGGTLNDEPTSNDPHSSEEIKKPDDLADVSDNNQPGSYKSVTGLELGHRSSDNLHNFSDFGQPQTVNIQLGDTCDYVKDKNESGNNHESDDLVKEKTISYSNSSEKGLDTSTKQSVTSRPENAAGTEEAIVDELKVDENGGTPQLEMKREMHTQKNEEKTPNSSTDLSKMASGNMVEEAPSPAVLSPNSLPMENDSNDTQKRDNIAVQPVSDQNKPIMSDSNSPAFNVVQALDALTGMDDSTQVAVNSVFGVIEDMISQLEEEKDDENQNQDRDEVEGETDDSRSRKENATGDNIVKINGKNDLTMQPGISQDSAPCKKKATGINPQNVVSAGRIEEKLTGNSVIYGENVTDGYWGVTSSNHKYKKGIKKNQLVGGNFLADYADRQVNSIPLYITGNPYGEYIQNEYFRKYLLSKMPNDKPLDLDMTTALLLDYFPEEGQWKLLEQPGNIGESRHDVKAHSVVDRKDRVHSPVNVNDTDNIIEPSYILLDAEKQQETVGEYSIVNSSNENVENRLEEVMQLVKLIIVDALRIEIDRKLSADTMKEMEPTLTSDLEQVANAVSLAIGHEGSLYLQGKDLSIDGASEKFGTLQGEHIVRAISSAVLSTSYLRRILPVGVVIGSSLAGLRKYFNVGTRPDNDLTLNGQTKISREESLDKPSMREDDQKPITRMDQNISMKGTRGREGKEAELKNRKNDSVMVGAVTAALGASALLVQQQSQYHGKETEESSLKSLNEKVDDVKAVDKVGESISEKMQNNVVASLAEKAMSVAAPVVPTNEDGEVDQDRLVAMLADLGQKGGLLRLVGKAALLWGGLRGATSLTDKLISFLRMAERPLYQRIFGFVGMVLVLWSPILVPLLPTLVRSWTTSNPSRFAYLVSIIGLYTAVMILVMLWGRRIRGYEDPLEEYGLDFMKPHKIKFFFMGLIGGIMLVLSIQSVNVLLGCASFSRPASLPTSSDAIACLKVCGQVIMLAGRGIITATGLVLVEELLFRSWLPEEIATDLGYHQGIIISGLAFSLVQRSPLAIPGLWLLSLALAGLRQRSEGSLSIPIGLHAGITASSFILQTGGFFTYKPNYPLWITGTHSFQPFSGVVGLAFSALLAIVLYPREPLKKKKTVRE >Manes.16G092800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29887283:29903342:1 gene:Manes.16G092800.v8.1 transcript:Manes.16G092800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASILFLTPPHSHSNRLFSSQKLFSVRQFRLYRRRRIKPTSTRNLTVYNNLLDPFQNFLSQSPSSFSLNLLAPVLGFASGLTLYLSKFNSSGLSSTSDIGEWILFSSPTPFNRFVFLRCPSISFVGSELLEDVNERLVEQDRHFVRLDSGRIQVRESGYGRLEEKLEYQRVCVSTEDGGVISLDWPANLDLREEHGLDTTVLLVPGTTEGSLDESIRSFVCEALSRGFFPVVMNPRGCAGSPLTTARLFSAADSDDISTAIQFINKARPWTSLMSVGWGYGANMLTKYLAEVGEMTPLMAATCINNPFDLEEATTCSPYHDALDKKLTGGLKDILRSNKELFQGKAKGFHVEKALMAKSVRDFEKAISMVSYGFEKIEEFYSKSSTRDVVGNVNIPVLFIQNDDGTVPLFSTPRSLIAENPFTSLLLCSCLPSDIVGKGRAAVSWCQNLTIEWLSAVELGLLKGRHPLLKDVDVNFNPITSLTLVGGRTSNKGPLKERIKDSITTVQSRSRQDAYRNFEIKERLQEVENGAFQQTSSVDVELVKEEVADTVDTGSGQVLQTAQVVMNMLDVTMPGILEEEEKKKVLSAVGQGETLMKALQDAVPEDVRGKLTSSVTGILHAQNANLKLDGLLGKVPAVSSGIKSKIQEKAGGTLNDEPTSNDPHSSEEIKKPDDLADVSDNNQPGSYKSVTGLELGHRSSDNLHNFSDFGQPQTVNIQLGDTCDYVKDKNESGNNHESDDLVKEKTISYSNSSEKGLDTSTKQSVTSRPENAAGTEEAIVDELKVDENGGTPQLEMKREMHTQKNEEKTPNSSTDLSKMASGNMVEEAPSPAVLSPNSLPMENDSNDTQKRDNIAVQPVSDQNKPIMSDSNSPAFNVVQALDALTGMDDSTQVAVNSVFGVIEDMISQLEEEKDDENQNQDRDEVEGETDDSRSRKENATGDNIVKINGKNDLTMQPGISQDSAPCKKKATGINPQNVVSAGRIEEKLTGNSVIYGENVTDGYWGVTSSNHKYKKGIKKNQLVGGNFLADYADRQVNSIPLYITGNPYGEYIQNEYFRKYLLSKMPNDKPLDLDMTTALLLDYFPEEGQWKLLEQPGNIGESRHDVKAHSVVDRKDRVHSPVNVNDTDNIIEPSYILLDAEKQQETVGEYSIVNSSNENVENRLEEVMQLVKLIIVDALRIEIDRKLSADTMKEMEPTLTSDLEQVANAVSLAIGHEGSLYLQGKDLSIDGASEKFGTLQGEHIVRAISSAVLSTSYLRRILPVGVVIGSSLAGLRKYFNVGTRPDNDLTLNGQTKISREESLDKPSMREDDQKPITRMDQNISMKGTRGREGKEAELKNRKNDSVMVGAVTAALGASALLVQQQSQYHGKETEESSLKSLNEKVDDVKAVDKVGESISEKMQNNVVASLAEKAMSVAAPVVPTNEDGEVDQDRLVAMLADLGQKGGLLRLVGKAALLWGGLRGATSLTDKLISFLRMAERPLYQRIFGFVGMVLVLWSPILVPLLPTLVRSWTTSNPSRFAYLVSIIGLYTAVMILVMLWGRRIRGYEDPLEEYGLDFMKPHKIKFFFMGLIGGIMLVLSIQSVNVLLGCASFSRPASLPTSSDAIACLKVCGQVIMLAGRGIITATGLVLVEELLFRSWLPEEIATDLGYHQGIIISGLAFSLVQRSPLAIPGLWLLSLALAGLRQRSEGSLSIPIGLHAGITASSFILQTGGFFTYKPNYPLWITGTHSFQPFSGVVGLAFSALLAIVLYPREPLKKKKTVRE >Manes.16G092800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29889321:29903342:1 gene:Manes.16G092800.v8.1 transcript:Manes.16G092800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGWGYGANMLTKYLAEVGEMTPLMAATCINNPFDLEEATTCSPYHDALDKKLTGGLKDILRSNKELFQGKAKGFHVEKALMAKSVRDFEKAISMVSYGFEKIEEFYSKSSTRDVVGNVNIPVLFIQNDDGTVPLFSTPRSLIAENPFTSLLLCSCLPSDIVGKGRAAVSWCQNLTIEWLSAVELGLLKGRHPLLKDVDVNFNPITSLTLVGGRTSNKGPLKERIKDSITTVQSRSRQDAYRNFEIKERLQEVENGAFQQTSSVDVELVKEEVADTVDTGSGQVLQTAQVVMNMLDVTMPGILEEEEKKKVLSAVGQGETLMKALQDAVPEDVRGKLTSSVTGILHAQNANLKLDGLLGKVPAVSSGIKSKIQEKAGGTLNDEPTSNDPHSSEEIKKPDDLADVSDNNQPGSYKSVTGLELGHRSSDNLHNFSDFGQPQTVNIQLGDTCDYVKDKNESGNNHESDDLVKEKTISYSNSSEKGLDTSTKQSVTSRPENAAGTEEAIVDELKVDENGGTPQLEMKREMHTQKNEEKTPNSSTDLSKMASGNMVEEAPSPAVLSPNSLPMENDSNDTQKRDNIAVQPVSDQNKPIMSDSNSPAFNVVQALDALTGMDDSTQVAVNSVFGVIEDMISQLEEEKDDENQNQDRDEVEGETDDSRSRKENATGDNIVKINGKNDLTMQPGISQDSAPCKKKATGINPQNVVSAGRIEEKLTGNSVIYGENVTDGYWGVTSSNHKYKKGIKKNQLVGGNFLADYADRQVNSIPLYITGNPYGEYIQNEYFRKYLLSKMPNDKPLDLDMTTALLLDYFPEEGQWKLLEQPGNIGESRHDVKAHSVVDRKDRVHSPVNVNDTDNIIEPSYILLDAEKQQETVGEYSIVNSSNENVENRLEEVMQLVKLIIVDALRIEIDRKLSADTMKEMEPTLTSDLEQVANAVSLAIGHEGSLYLQGKDLSIDGASEKFGTLQGEHIVRAISSAVLSTSYLRRILPVGVVIGSSLAGLRKYFNVGTRPDNDLTLNGQTKISREESLDKPSMREDDQKPITRMDQNISMKGTRGREGKEAELKNRKNDSVMVGAVTAALGASALLVQQQSQYHGKETEESSLKSLNEKVDDVKAVDKVGESISEKMQNNVVASLAEKAMSVAAPVVPTNEDGEVDQDRLVAMLADLGQKGGLLRLVGKAALLWGGLRGATSLTDKLISFLRMAERPLYQRIFGFVGMVLVLWSPILVPLLPTLVRSWTTSNPSRFAYLVSIIGLYTAVMILVMLWGRRIRGYEDPLEEYGLDFMKPHKIKFFFMGLIGGIMLVLSIQSVNVLLGCASFSRPASLPTSSDAIACLKVCGQVIMLAGRGIITATGLVLVEELLFRSWLPEEIATDLGYHQGIIISGLAFSLVQRSPLAIPGLWLLSLALAGLRQRSEGSLSIPIGLHAGITASSFILQTGGFFTYKPNYPLWITGTHSFQPFSGVVGLAFSALLAIVLYPREPLKKKKTVRE >Manes.16G092800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29887283:29903342:1 gene:Manes.16G092800.v8.1 transcript:Manes.16G092800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGWGYGANMLTKYLAEVGEMTPLMAATCINNPFDLEEATTCSPYHDALDKKLTGGLKDILRSNKELFQGKAKGFHVEKALMAKSVRDFEKAISMVSYGFEKIEEFYSKSSTRDVVGNVNIPVLFIQNDDGTVPLFSTPRSLIAENPFTSLLLCSCLPSDIVGKGRAAVSWCQNLTIEWLSAVELGLLKGRHPLLKDVDVNFNPITSLTLVGGRTSNKGIKLEKFLDVAASDANRYTIGPLKERIKDSITTVQSRSRQDAYRNFEIKERLQEVENGAFQQTSSVDVELVKEEVADTVDTGSGQVLQTAQVVMNMLDVTMPGILEEEEKKKVLSAVGQGETLMKALQDAVPEDVRGKLTSSVTGILHAQNANLKLDGLLGKVPAVSSGIKSKIQEKAGGTLNDEPTSNDPHSSEEIKKPDDLADVSDNNQPGSYKSVTGLELGHRSSDNLHNFSDFGQPQTVNIQLGDTCDYVKDKNESGNNHESDDLVKEKTISYSNSSEKGLDTSTKQSVTSRPENAAGTEEAIVDELKVDENGGTPQLEMKREMHTQKNEEKTPNSSTDLSKMASGNMVEEAPSPAVLSPNSLPMENDSNDTQKRDNIAVQPVSDQNKPIMSDSNSPAFNVVQALDALTGMDDSTQVAVNSVFGVIEDMISQLEEEKDDENQNQDRDEVEGETDDSRSRKENATGDNIVKINGKNDLTMQPGISQDSAPCKKKATGINPQNVVSAGRIEEKLTGNSVIYGENVTDGYWGVTSSNHKYKKGIKKNQLVGGNFLADYADRQVNSIPLYITGNPYGEYIQNEYFRKYLLSKMPNDKPLDLDMTTALLLDYFPEEGQWKLLEQPGNIGESRHDVKAHSVVDRKDRVHSPVNVNDTDNIIEPSYILLDAEKQQETVGEYSIVNSSNENVENRLEEVMQLVKLIIVDALRIEIDRKLSADTMKEMEPTLTSDLEQVANAVSLAIGHEGSLYLQGKDLSIDGASEKFGTLQGEHIVRAISSAVLSTSYLRRILPVGVVIGSSLAGLRKYFNVGTRPDNDLTLNGQTKISREESLDKPSMREDDQKPITRMDQNISMKGTRGREGKEAELKNRKNDSVMVGAVTAALGASALLVQQQSQYHGKETEESSLKSLNEKVDDVKAVDKVGESISEKMQNNVVASLAEKAMSVAAPVVPTNEDGEVDQDRLVAMLADLGQKGGLLRLVGKAALLWGGLRGATSLTDKLISFLRMAERPLYQRIFGFVGMVLVLWSPILVPLLPTLVRSWTTSNPSRFAYLVSIIGLYTAVMILVMLWGRRIRGYEDPLEEYGLDFMKPHKIKFFFMGLIGGIMLVLSIQSVNVLLGCASFSRPASLPTSSDAIACLKVCGQVIMLAGRGIITATGLVLVEELLFRSWLPEEIATDLGYHQGIIISGLAFSLVQRSPLAIPGLWLLSLALAGLRQRSEGSLSIPIGLHAGITASSFILQTGGFFTYKPNYPLWITGTHSFQPFSGVVGLAFSALLAIVLYPREPLKKKKTVRE >Manes.07G132300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33403425:33405912:1 gene:Manes.07G132300.v8.1 transcript:Manes.07G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAQIPSNMISSGSLLESLIHISNEVSSMDKLPCLQMRNISIMVRRIKLVSSLFEDLQEANCPLPPSSILCLSELFYVIRRVKILIQDFKDGSSLWGLIQTEFVSNQFYVLVKEMGRALDILPLSLLNLSADTREQVELLHKQAKRVDLLIDPKELQIREELLQIMACNNDKKNRKNKGSIDFVKVKEVLSSIGLRSSLDYDEEILKLKFEAEKQAGTGGLIVVSNLNNLVSLLTFTKSMIFSDEDEELEHQSASVNRNQDVSSSSQSILPNIPDEFRCPISLDLMKDPVIVASGHTYDRNSIAQWINAGHHTCPKSGQRLIHMALIPNYALKSLVHQWCQDNNIPMADFSPSSSSELERSNSKNKLQEEKAIDHISAIKAATDAVKMTAEFLVGKLAMGSLEIQRQAAYELRLLAKTGMDNRRIIAEAGAIPFLVTLLGSTDARIQENAVTALLNLSIYENNKRLVMAAGATDSIINVLESGKTMESRENAAAAIFSLSMINDSKVTIGGRPRAIPALVRLLREGTTAGKRDAASALFNLAVHNANKANVVVSGAVPLLIELLVDDKAGITDDALAVLSLLLSCSEGLEEIRNSRVLVPLLIDLLRFGSAKGKENSITLLLGLCKNGGEEVARRLLMNPRSVPSLQSLSVDGSLKARRKADALLRLLNRCCSQAQNPVG >Manes.09G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8703388:8705325:1 gene:Manes.09G052400.v8.1 transcript:Manes.09G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPHRSMQSSSENKRVGVYTYMAQWPVYALAWSVRHEKTRRLAIGSFLEDYSNKVELVQFNLDTCDFSTDNRLIFDHPYAPTNLMFFPSEDDSNPDIVATSGDFLRLWQIYDDRIELKSLFNGNKSSEFNSAITSFDWADFDVRRVATSSVDTTCTIWDIEKETVDSQLVAHDKEVYDISWGGFNVFASVSGDGSVRVFDLRDKERSTIIYENPIQDCPLLRLEWNKFDPRFVATVGMDSNKVVVLDIRFPTTPLMVLCKHKASVNAISWASVAGRQICSVGDDSRALIWEVVESVFRSENGDGGDMEPVMWYGSMAEINNVHWSPVHLDWIAVASLNKLQLLKALVTVGYFPAVKSHR >Manes.09G052400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8703382:8704863:1 gene:Manes.09G052400.v8.1 transcript:Manes.09G052400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPHRSMQSSSENKRVGVYTYMAQWPVYALAWSVRHEKTRRLAIGSFLEDYSNKVELVQFNLDTCDFSTDNRLIFDHPYAPTNLMFFPSEDDSNPDIVATSGDFLRLWQIYDDRIELKSLFNGNKSSEFNSAITSFDWADFDVRRVATSSVDTTCTIWDIEKETVDSQLVAHDKEVYDISWGGFNVFASVSGDGSVRVFDLRDKERSTIIYENPIQDCPLLRLEWNKFDPRFVATVGMDSNKVVVLDIRFPTTPLMVLCKHKASVNAISWASVAGRQICSVGDDSRALIWEVVESVFRSENGDGGDMEPVMWYGSMAEINNVHWSPVHLDWIAVASLNKLQLLKV >Manes.03G091122.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21960172:21965339:-1 gene:Manes.03G091122.v8.1 transcript:Manes.03G091122.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVMILWYQLVLCLFLPFLHFPVGSSSSSPSSAPKLAKGCPTEESFALLQFKNSLSVESSLLSSPSCYGAAYAKTNSWNNATNCCSWNGHVISVDLSCSQLYGAFPSNSTLFFLSHLQKLNLANNNFYDSPIPSEFGLFKKLTHLNLSQSEFSGLIPHDICRLSNLLSNLTKLRVLDLTGVNMSEVAPNSMLNLSSSLTSLRLRNCFLQVQLPDDFSSFPSLMMLDLSANNQVTGKFPNSNSTHPLRYINLKLVSFSGELPDSLGNFKFLEYLDLSYNNLFGSIPASIGNLQHLRFLDLGFNNLAGELPGSFGNLSSLEFSDLGFNHFSGQLPLSFGKLNKLTSLYLMSNNFSGHLPFSLGNLSMLSDVKLDMNSIGGPLPFSIFNLKKLQTLDLSFNQFFGTVPDRGSGLTDLYVLHMSNNKLSGKIPSWFFTLPSLLDLDISNNKLTGPFEQFQQPNSLLYVMLQNNEIHGPIPSSVFQLRNLTKLDFSSNNLSGTVDWDMFQKLENLRELDLSQNSKLSFTSSGISNFTLPNLMDMNLSSCDLREFPSFLRTLENLQTLDLSNNRISGKISKQDSEVWQSLLFLDLSHNFLTNVEHHPWNNIRTLNLRFNLLQGPFPTPPPSIEVLTVSNNKLSGEIPSLFCNLSSLSFLDMSDNSLGGVIPKCLGNSSQYLLVLDLRNNNFHGSIPRNFAKDNRLKYLNLNVNCRELEVLDVGNNKINDTFPHWLESLQELRILILRSNSFHGTIGHPKNNFPFPKLQILDLSHNGFTGRLPTSYLQNLKSLMNVDKAEAKYVGESYYYIQDSLVVDLTMKGLEIELKKILTIFTTIDFSSNRFQGEILQEVGMLKSLVVLNFSHNDLTGYIPSAFGNLTEVESLDLSSNRLVGEIPQQLAVLTFLVVFNVSYNQLNGPIPKGNQFNTFANDSYVGNLGLCGFPLPQKCNSGDQPPQFPSQRPDEEQDSTEWFDWKIALMGYGCGVVFGLSMGYIVFATRKPQWLLRLIDGIEYKNLRRRNQRRRGRRNH >Manes.05G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1082481:1083997:1 gene:Manes.05G008400.v8.1 transcript:Manes.05G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHALLFSFFLCSALLSFASYEAEARRCISVSSILSKDLFDSMFLHKDDSACPAKDFFTYNSFIQASKCFPKFGTTGSLATRKREIAAFLAQISHETTGGWATAPDGPYAWGLCFKEEVSPQSNYCDSTNTQWPCYPGKSYKGRGPIQLSWNYNYGPAGKALGFDGLRNPEIVSNNSVVAFKTALWFWMTEQKPKPSCHNVMVGRYTPTEADVKANRTAGFGLLTNIINGGLECGIPNDSRVNDRIGFFKRYASLFHVNTGPNLDCENQKSFN >Manes.18G039400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3495975:3500482:-1 gene:Manes.18G039400.v8.1 transcript:Manes.18G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSFLSDQMSKRTSIFGLRLWVVIGICVGAAIVLVLFLISLWFTSKRNKNNNTAAQSKFKSSINNPTIPSVSKEIQEIRLDPSHLAPVPKPLSPDPVPESEPVLLLQYEEGTSPVGERNRIHIEIGKDHRISYPERAGGSGHGSGETRSGARSGDQAGASIAVPEVSHLGWGHWYTLRELEVSTNGFADENVIGEGGYGIVYSGIMEDNTKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNAKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIISGRSPVDYSRPPGEVNLVDWLKTMVTNRNAEGVLDPRLPEKPSTRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADEFPFRDDRRTGREHGRTHCDVEKTDKRVTESGDSSGYESGARTNRSLWRKQDPEEQ >Manes.07G053000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:7647877:7648542:1 gene:Manes.07G053000.v8.1 transcript:Manes.07G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKANKLLLRIILAPIMILSKARDFYVKRMLECGGRVGYNAVIIGGPAVSQSVYLPESCSVVISSEGGNQDEEFRQLLQRTMRESLNMSNRQRLELRRRTMEFSDMGRSYSVGVGKIGRIDEDKPCSFREVEDEDSFAKSRSHALRRNVVNYC >Manes.11G065125.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9035323:9035643:-1 gene:Manes.11G065125.v8.1 transcript:Manes.11G065125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIQRITFTAHMCPTFSLNPTKSFFESNSFSHSRREETNNSAIPSSYEPAMFIPSNKCHTCKRVIHVRSSINIYFYPPKIRGFPNSWPSNSRTLFSLLLNILPVT >Manes.05G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1785016:1787043:-1 gene:Manes.05G019400.v8.1 transcript:Manes.05G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEMNGGELENHEEKIDYVFKVVVIGDSAVGKTQLLSRFTKNEFCFDSKSTIGVEFQTRTVTIKGKVVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTKRPTFDHVARWVEELRAHADNSIVITLIGNKADLMDQRVVPTEDAVEFAEDQGLFFSETSALSGDNVDKAFLRLLEEIYGVISKKALECGDKKSNGADAAMLKGSKIDLISGSDLEISEMKKLSACTC >Manes.13G150000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36554365:36561834:1 gene:Manes.13G150000.v8.1 transcript:Manes.13G150000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSIRSIDLSNNRIGGSIPSNLPATMQNFFLSANNFTGSIPDSISSLTLLTAMSLNNNFLSGEIPDSFQSLTGLINLDLSSNNLSGHLPASFQNLIRLTTLRLQENQLSGTLNVLQDLPLKDLNIENNLFSGPIPDKMLTIPDFRKDGNPFNDTSVPLPAPTSPLTPPPAPVLSGAPTSPSSGKTPGKQVDGPSSSEEPGSGGKKSVSTKKVVWISIAGVLLFVILALGLVLFMPRCSRRREEASRIFKQHQVGAYRGNRENQRDHESLVQPTNETEKVPKEATVLQKEDQPKPQAVHERTMPRIPKRDDYEVDLSRVDSFFMPPPPPPPPPPPPPPPPLPPPPPPPPQSPPPLQSPPLPSAEVIVTPIVPAEANTAKPLTKSQNPFTSARSFTIASLQQYTNSFSQENLIGGGMLGNVYRAELPNGKLLAVKKLDKKASSQKDDEFIELVNNIDRIRHANVVELMGYCAEHGQRLLIYEYCSNGTLQDALHSDDELKKQLSWNTRIRMALGAARALEYLHEICQPPVVHRNFKSANILLDDDLAVHVSDCGLAPLISSGAVSQLSGQLLTAYGYGAPEFESGIYTAQSDVYSFGVVMLELLTGRKSHDRTRNRGEQFLVRWAVPQLHDIDALSRMVDPSLNGAYPAKSLSHFADIISRCVQTQPEFRPPMSEVVQDLVDMIRRERLSNESIGD >Manes.13G150000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36553879:36561834:1 gene:Manes.13G150000.v8.1 transcript:Manes.13G150000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGRKYMNLEIYLKVFVGFFFICSATISFGATNPSDVAAINSLYASLGNPVLPGWVASGGDPCGERWQGVGCEVSDITSIVLNGANLGGELGNDLGMFTSIRSIDLSNNRIGGSIPSNLPATMQNFFLSANNFTGSIPDSISSLTLLTAMSLNNNFLSGEIPDSFQSLTGLINLDLSSNNLSGHLPASFQNLIRLTTLRLQENQLSGTLNVLQDLPLKDLNIENNLFSGPIPDKMLTIPDFRKDGNPFNDTSVPLPAPTSPLTPPPAPVLSGAPTSPSSGKTPGKQVDGPSSSEEPGSGGKKSVSTKKVVWISIAGVLLFVILALGLVLFMPRCSRRREEASRIFKQHQVGAYRGNRENQRDHESLVQPTNETEKVPKEATVLQKEDQPKPQAVHERTMPRIPKRDDYEVDLSRVDSFFMPPPPPPPPPPPPPPPPLPPPPPPPPQSPPPLQSPPLPSAEVIVTPIVPAEANTAKPLTKSQNPFTSARSFTIASLQQYTNSFSQENLIGGGMLGNVYRAELPNGKLLAVKKLDKKASSQKDDEFIELVNNIDRIRHANVVELMGYCAEHGQRLLIYEYCSNGTLQDALHSDDELKKQLSWNTRIRMALGAARALEYLHEICQPPVVHRNFKSANILLDDDLAVHVSDCGLAPLISSGAVSQLSGQLLTAYGYGAPEFESGIYTAQSDVYSFGVVMLELLTGRKSHDRTRNRGEQFLVRWAVPQLHDIDALSRMVDPSLNGAYPAKSLSHFADIISRCVQTQPEFRPPMSEVVQDLVDMIRRERLSNESIGD >Manes.13G150000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36554365:36561834:1 gene:Manes.13G150000.v8.1 transcript:Manes.13G150000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGRKYMNLEIYLKVFVGFFFICSATISFGATNPSDVAAINSLYASLGNPVLPGWVASGGDPCGERWQGVGCEVSDITSIVLNGANLGGELGNDLGMFTSIRSIDLSNNRIGGSIPSNLPATMQNFFLSANNFTGSIPDSISSLTLLTAMSLNNNFLSGEIPDSFQSLTGLINLDLSSNNLSGHLPASFQNLIRLTTLRLQENQLSGTLNVLQDLPLKDLNIENNLFSGPIPDKMLTIPDFRKDGNPFNDTSVPLPAPTSPLTPPPAPVLSGAPTSPSSGKTPGKQVDGPSSSEEPGSGGKKSVSTKKVVWISIAGVLLFVILALGLVLFMPRCSRRREEASRIFKQHQVGAYRGNRENQRDHESLVQPTNETEKVPKEATVLQKEDQPKPQAVHERTMPRIPKRDDYEVDLSRVDSFFMPPPPPPPPPPPPPPPPLPPPPPPPPQSPPPLQSPPLPSAEVIVTPIVPAEANTAKPLTKSQNPFTSARSFTIASLQQYTNSFSQENLIGGGMLGNVYRAELPNGKLLAVKKLDKKASSQKDDEFIELVNNIDRIRHANVVELMGYCAEHGQRLLIYEYCSNGTLQDALHSDDELKKQLSWNTRIRMALGAARALEYLHEICQPPVVHRNFKSANILLDDDLAVHVSDCGLAPLISSGAVSQLSGQLLTAYGYGAPEFESGIYTAQSDVYSFGVVMLELLTGRKSHDRTRNRGEQFLVRWAVPQLHDIDALSRMVDPSLNGAYPAKSLSHFADIISRCVQTQPEFRPPMSEVVQDLVDMIRRERLSNESIGD >Manes.03G023200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRAACCIFCMWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLIFISSLSINRDHEPTA >Manes.03G023200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLIFISSLSINRDHEPTA >Manes.03G023200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLRLLEQLGPQLLYTIFATFCMIAVVFVKRNIMETKGKSLKEIEIALLPPEY >Manes.03G023200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRAACCIFCMWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLIFISSLSINRDHEPTA >Manes.03G023200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLRLLEQLGPQLLYTIFATFCMIAVVFVKRNIMETKGKSLKEIEIALLPPEY >Manes.03G023200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRAACCIFCMWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLRLLEQLGPQLLYTIFATFCMIAVVFVKRNIMETKGKSLKEIEIALLPPEY >Manes.03G023200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLRLLEQLGPQLLYTIFATFCMIAVVFVKRNIMETKGKSLKEIEIALLPPEY >Manes.03G023200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRAACCIFCMWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLIFISSLSINRDHEPTA >Manes.03G023200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRAACCIFCMWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLRLLEQLGPQLLYTIFATFCMIAVVFVKRNIMETKGKSLKEIEIALLPPEY >Manes.03G023200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRAACCIFCMWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLMFVFTFALGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLRLLEQLGPQLLYTIFATFCMIAVVFVKRNIMETKGKSLKEIEIALLPPEY >Manes.03G023200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1905114:1912422:1 gene:Manes.03G023200.v8.1 transcript:Manes.03G023200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPLRAACCIFCMWGREREANSMYKRLLPRDHTNIVDVEDNSALVQNDQDPDAANPSWRLSFPHVLVATISSFLFGYHLGVVNEPLEIISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGVGRRRAFQLCALPMVIGASISATTTTLAGMLFGRFLVGMGMGVGPPVASLYVTEVSPPFVRGTYGSFIQIATCLGLMGALFIGIPVREIAGWWRICFWVSALPAGLLALAMGCCAESPHWLYKQGRSVEAEIEFQKLLGGAHVKLAMQELSKLDRGDDTDSVKLSELFFGRHGRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDQANVFVGIANLSGSIAAMVLMDKLGRKVLLLWSFFGMAVSMGFQVAGASSYMSGSVALYLSVGGMLIFISSLSINRDHEPTA >Manes.18G021506.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1967845:1970890:-1 gene:Manes.18G021506.v8.1 transcript:Manes.18G021506.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNIVHHNIILTKMLNSLLWPDAHPCLCLFAALVMCILVFSICQFVKMLLFPHQESMSQIPPGSQGLPLIGETLQFMAAINCAKGFYDFVRIRRLRYGNCFKTNIFGQTHVFVSSMESAKAILNNESGKFSKRYIKSIAELVGDQSLLCASHQHHKLIRSRLINLFSTNSLSFFIQQFDQLIVESLHTWENKGTVIVLDHALKITFRAMCKILMSIEDGQELEMLQQDITHVCEAMLAFPLRFPWTRFYKGLKARKRIMSKLEMIMAERRRCSHPNNKQDFLQQLMTGNDDKACSEQVSKLTDSEIKDNILTMIIAGQDTTASAITWMVKYLGENQDVLDRLSAEQFHIAHKTSKRPFVTLEDLNEMPYASKVVKESLRLASIVPWFPRLSLDECEIEGFKIMKGWNINVDAKSIHCDPILYEKPNTFNPSRFDDESRPYSFLAFGMGGRTCLGMNMGRAMMLVFLHRLVTSYKWKVVDSDSSIEKWALFSRLRTGCPIHVTPITPD >Manes.11G033850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3256610:3257920:1 gene:Manes.11G033850.v8.1 transcript:Manes.11G033850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKELISKNDSPIEGLIVRGRPTNRDFASISRPKSRSKSRCRNMTCNYCKKKGHCFKLKIKQNNKIDQNKPVEASMVEDVIVSNILFVTRAVPRSKQNWCLDIVTSFHMFVNREWFSTYEPIIDIVLMVNNESCEVRGIGTVKLKMHDGVIRTLTGIRHILNTRKNLISLSTLTMGGHTFIRDDHNIAYHIDGLV >Manes.14G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2808783:2810788:1 gene:Manes.14G031200.v8.1 transcript:Manes.14G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDCIKTNLSIPHHNPKEERKDEQKPLVFDARVLSHQSSIPQQFIWPDTEKPKVNAPELLVPLIDLGGFLSGDPVAAMEASKLVGEACQKHGFFLVVNHGVDEKLIADAHRYMDSFFELPLSEKQKAQRKIGEHWGYASSFTGRFCSKLPWKETLSFRYSADKNSVKLVQDYFLNTMGDNYAEFGKLYQRYCECMSTLSLGIMELLGISLGVSKAHFREFFEDNDSIMRLNYYPTCQKPDLTLGTGPHCDPTSLTILHQDQVGGLQVFVDDEWRSINPNSKAFVVNIGDTFMALSNGKYKSCLHRAVVNSVAQRKSLAFFLCPKSDKMVTPPTELVDSCNPRICPDFTWAMLLEFTQKHYRADMKTLEMFKKWLQQTN >Manes.09G029600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6239703:6239903:-1 gene:Manes.09G029600.v8.1 transcript:Manes.09G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRSFNAFVVASMAAIFLAATCVSAQTAAAPAPSMDNGAGFSLPVSTAIVASSLVLSFVALLRH >Manes.12G085400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10684733:10686297:-1 gene:Manes.12G085400.v8.1 transcript:Manes.12G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVSKNKFMLCFRPVVNVDEVVPGSKADDKCSNDQPLACVGVDKGKNVKEHLKSNSLILDYRFSKCSGNSLIIHSARKTSFFQSFKSIFFLYSLPKRVRDGKGLTPDSDGSKLDSSSSKSTKSLETSDGDSVDMNNAQVMKTKLVSSCTSSSSKFSRGACLTSTSEPNNLLTSLSKTTDNSRSNKERKSRSKTKGSFVIYLMLFSLAVTVFWGKVCAILFTSISLYIMQYVSDNIRPSENATSLPKRRECKEYKKRIIMEGLLERNRRHVPESQRRIVKFLT >Manes.06G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21566371:21572454:-1 gene:Manes.06G080000.v8.1 transcript:Manes.06G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKRKNPQQKSKIPNPPNQINSSQILSCKFLTMKIRTLKLREAHKSSTSNATQPSFCSILWDQKATHLVTASSSDPAICIHDSLLLSDVPRILRHHRDGVTALALSPNSTCLASGSIDHSVKLYKFPGGEFETNITRFTLPIRALAFNKSGSMLAAAGDDEGIKLINTIDGSIARVLKGHKGPVTGLGFDPNGEYLASADSTGTVIFWELQSGGMLHTLKGIAPNTVSDTSFMNIVSWSPDGETLAVPGLRNDVVMYDRDTAEKLFSLRGDHVQPICFLSWSPNGKYMATSGLDRQVLIWDVDKKQDIDRQKFDDRICCMAWKPIGNALAVIDVMGKYGVWESVVPPSMKSPTEDIPSLLSKNNNGLLLFEEEDEEEPSVSGSLSDIGEDSLGESELPSRKRLRKQSEVNEEQEEDINDEFNWYPKAEPRKKVHCAGKENKDKGKEGQRITVTSARPKMQDAFQPGGTPVQPGKRRFLCYNMLGTITTIEHDGYSHIEIDFHDTSRGPRVPSMTDYFGFTMASLNENGSVFANPCKGEKNMSTLMYRPFGSWANNSEWSMRFEGEEVKVVALGTAWVAAVTSLNFLRIYTEGGLQRHILSLDGPVVTASGFKNQLAVVTHVSDCLPSSDQMLEFRVFDISNGTQPFRGRLPLSPGSHLTWFGFSEEGQLSSYDSKGVLRVFTSQYGGSWLPLFSAAKEKKSNENYWMVGLNASKLFCVVCNSPDLFPQVMPKPVLTLLNFSFPLASSDLGADVLENEFILNNMHLSQIQRRMEEMAGSGLDTNALDDESFNMEAAQDRCILRLIASCCNGDKLVRATELVKLLSLEKSVKGAIKLVTALKLPNLAERFNSILEERLLNESKETLNRSQLNSSSVVATRADVNGSKTFYSSGKSETLEPGIPSSSPQLSAPLFTKKVNKRDETEKSDPKQTAHQETELDVGNTEKLKNGGNMNSLGQVKIAEVSKVQSQRPYNPFVKSANNQKTEKKENVNQVNSQRPANPFKKPQN >Manes.01G268900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42246945:42250244:-1 gene:Manes.01G268900.v8.1 transcript:Manes.01G268900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGFKLEHDFEKRRAEAARIRDKYPDRIPVIVEKAERSDIPTIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAVMSTIYDEKKDADGFLYVTYSGENTFGRADAAVAQGGL >Manes.01G268900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42246945:42250245:-1 gene:Manes.01G268900.v8.1 transcript:Manes.01G268900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGFKLEHDFEKRRAEAARIRDKYPDRIPVIVEKAERSDIPTIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAVMSTIYDEKKDADGFLYVTYSGENTFGRADAAVAQGGL >Manes.10G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24272283:24273549:-1 gene:Manes.10G095300.v8.1 transcript:Manes.10G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLEITYADPYFPLSTDETSPFLSSFVDSKNEKKSRRQFKSTDEQPNNSKLWPDLPHQLIYLIARKSSLKYQICSKGVTKSWRVEPNKCRPNSRPPWLELSSDYRSQHKETHTFNIPFKMGFFCYWRKERCVLPAYHILGCSHGLLVAKGDSDYSLCDPITLSWWSYWHIPEWDPKVPFLSAALSSPPRTGNRKNKCIVMVLTGISNPAFAFYRISEGREWIKKDSNIVDPHCSSSSLLQFTNGIWCKEKFYALSLQGTLAVIEDIDSDLSITALGKKRAVPSVLSMHFRECLMESEGKILLVFLISRKCIKVVDCLEVYQLDTAKLTWVKKENLGSDRTLFVGGNCCMSVSASEVGCRKNCVYFTQGKVDGWWVYDMETSTINSFSEFPAWDEAMLIE >Manes.16G004400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:568534:574051:-1 gene:Manes.16G004400.v8.1 transcript:Manes.16G004400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLIFSEMALIMVLVFKNPLRKFVLMGLDRVKRGHGPIVVKTVAGTVFVVLMSSVYSMTKIQKRLIDEGAVNPTDQVLMAGHLLEATLMGSSLFLALMIDRLHHYIRELRMRRKNMEAIKKQNRVLEDGKVEESKTLEKDMTTLQAKLEQLESELEVKTKEANASEANAEALRKQSEGFLLEYDRLLEENQNLRNQLQSLDLRLSHSGTPIVRARLT >Manes.16G004400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:568036:574058:-1 gene:Manes.16G004400.v8.1 transcript:Manes.16G004400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLIFSEMALIMVLVFKNPLRKFVLMGLDRVKRGHGPIVVKTVAGTVFVVLMSSVYSMTKIQKRLIDEGAVNPTDQVLMAGHLLEATLMGSSLFLALMIDRLHHYIRELRMRRKNMEAIKKQNRVLEDGKVEESKTLEKDMTTLQAKLEQLESELEVKTKEANASEANAEALRKQSEGFLLEYDRLLEENQNLRNQLQSLDLRLSHSGTPIVRARLT >Manes.16G004400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:568036:574058:-1 gene:Manes.16G004400.v8.1 transcript:Manes.16G004400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLIFSEMALIMVLVFKNPLRKFVLMGLDRVKRGHGPIVVKTVAGTVFVVLMSSVYSMTKIQKRLIDEGAVNPTDQVLMAGHLLEATLMGSSLFLALMIDRLHHYIRELRMRRKNMEAIKKQNRVLEDGKVEESKTLEKDMTTLQAKLEQLESELEVKTKEANASEANAEALRKQSEGFLLEYDRLLEENQNLRNQLQSLDLRLSHSGTPIVRARLT >Manes.16G004400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:571543:574058:-1 gene:Manes.16G004400.v8.1 transcript:Manes.16G004400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLIFSEMALIMVLVFKNPLRKFVLMGLDRVKRGHGPIVVKTVAGTVFVVLMSSVYSMTKIQKRLIDEGAVNPTDQVLMAGHLLEATLMGSSLFLALMIDRLHHYIRELRMRRKNMEAIKKQNRVLEDGKVEESKTLEKDMTTLQAKLEQLESELEVKTKEANASEANAEALRKQSEGFLLEYDRLLEENQNLRNQLQSLDLRLSHSGSKKNT >Manes.04G128550.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33149950:33152719:1 gene:Manes.04G128550.v8.1 transcript:Manes.04G128550.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRDRGKLTDLMAGIRSKRWCLILLGATLSSFLVVASICVLAEPRSKQKGSSYKTEEVESRPVMRALNFFYQKGRVGYKHVWPEMRFGWKIMLGSIIGFFGAACGSVGGVGGGGIFVPMLTLIIGFDAKSSTALSKCMITGAAAATVYYNLKLRHPTLELPIIDYDLALLFQPMLVLGISLGVALNVIFADWTITILLIILFIVTSSKACFRGIETWKKETKLKKEASRHLESVENTTEEVEDKTPPGGPISAVQAEASQSKEKVSIVKNVRWKELGLLVAVWLIILGLQIGKNYLTTCSATYWVLNILQIPVAVGVTAYEAVGLYKGQRKIASMGDVSTNWQVRQLVLYCVIGVFAGIVGGMLGLGGGFILGPLFLEMGVPPQVSSATATFAMTFSASMSVIEYYLLKRFPVPYALYFFAVAVIAAFVGQHVVRKLISILGRASLIIFILAFTIFVSALLLGGVGIAHMIKKIEKKEYMGFENICSYGD >Manes.08G065225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9397916:9398920:-1 gene:Manes.08G065225.v8.1 transcript:Manes.08G065225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNNIKKDILKIYDVEFNKLYKSLEKLKSRIAITTDMWTSNQKKGYMSITAHYIDDFWVLQNRILRFVYVPTPHTKEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIVVDKLFGDLLCDGAVLHMRCCAHILNLVVKDGLATIESSLSRIRDSVVFWVASSQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFPRLKIREKSYKDVPTYDDWEMAKRVAEKLETFHSITEIFSGRKYPTSNCFFISICQLRNSIVEWMSSDDDVIKSMSARMFEKFEKY >Manes.11G132000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29652098:29652645:-1 gene:Manes.11G132000.v8.1 transcript:Manes.11G132000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLMPRMSRQLLIHLLLTWLLLVASLHDFCNHSKVQAIESAHFKGKPAQPSLRSHKANIWPSWYRLQRRKFTKLHLGQIQ >Manes.11G132000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29652069:29652645:-1 gene:Manes.11G132000.v8.1 transcript:Manes.11G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLMPRMSRQLLIHLLLTWLLLVASLHDFCNHSKVQAIESAHFKGKPAQPSLRSHKANIWPSWVAEKKVHKAPSGPNPVGNHNPPTKH >Manes.11G132000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29652190:29652645:-1 gene:Manes.11G132000.v8.1 transcript:Manes.11G132000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLMPRMSRQLLIHLLLTWLLLVASLHDFCNHSKVQAIESAHFKGKPAQPSLRSHKANIWPSWVRNLIYLNPKNILNTLNIGD >Manes.04G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1724329:1735178:1 gene:Manes.04G013000.v8.1 transcript:Manes.04G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANNPFSVVVLEVGMHCESCASKVVECARRLKGVENVMVDIDSNKLTVVGEVDPSQIQEELSRKTNKKVDVVSSHHRIDDNGAIADKNKEDKKSIREENSDVDKKQPKQALETTVVVLKLGHYCQGCCPKIHKIVQETKGVQEMALDEENETITVKGTMDVKVLLEKLNRPVHILSLKKEKYSNGGDKDSKTGNDDRKKKKGSAQENGNHDTEMKGSLLELKPIEAPAIMAVFKVPLHCDGCIGRIRKIIRKIRGVQEVRINKEEETVTVKATIDVNILTETMKKRLKKLVEERKIEIKKEAELVVKSTSQYSFRKQDHKQEIVPSTALQLAEQQEEIIEIIYVQDSNDGRDQNQSLVSSPDAHTKLDSEIGKSTPNTETAEWAFILASLFLEVVSAVLDQLGYLKASMVLSFVALLLCTIDLVLSARRDLGLCLSGTVHGYCSRVLFIEYRLRVLFISIVHGYCSSDPLFILGWLAL >Manes.18G079300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7277377:7278787:-1 gene:Manes.18G079300.v8.1 transcript:Manes.18G079300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESIPPVDDSLSRSEKQHTTTSSTLLPKTQPKGFLGKHRMAAAISDLQSQINFLQEELDQLEELGEASIVCREIISSVESIPDPLTKGSTNISWDRWFTGAPNSCKR >Manes.18G079300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7277819:7278259:-1 gene:Manes.18G079300.v8.1 transcript:Manes.18G079300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESIPPVDDSLSRSEKQHTTTSSTLLPKTQPKGFLGKHRMAAAISDLQSQINFLQEELDQLEELGEASIVCREIISSVESIPDPL >Manes.18G079300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7277377:7278787:-1 gene:Manes.18G079300.v8.1 transcript:Manes.18G079300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESIPPVDDSLSRSEKQHTTTSSTLLPKTQPKGFLGKHRMAAAISDLQSQINFLQEELDQLEELGEASIVCRETKGSTNISWDRWFTGAPNSCKR >Manes.11G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20187252:20188929:1 gene:Manes.11G096600.v8.1 transcript:Manes.11G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVSEETQPAHGKDYVDPPPAPLIDTAELKLWSFYRALIAEFIATLLFLYVTVATVIGHKKQTDPCGGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMVAQCLGAICGVGLVKAFMKHSYNGLGGGANSVAPGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNGKIWDDHWIFWVGPLIGALAAAAYHQYVLRAAAIKGLGSFRSNATN >Manes.17G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24729517:24732486:1 gene:Manes.17G049900.v8.1 transcript:Manes.17G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIHLVTACPKEDTVIDNKIDELRNITCPSCGHNIQMQDQAAGGIVHDLPGLPAGVKFDPNDQEILEHLEAKILSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSNDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFSAGIVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGHNEEEKDGELVVSKVFYQTQPRQCGSSIKDSLDQSLRNRIDHHEHTTPIAKNPGLVDYYNPGLISYDHGSYHRESPPQLIPNMVVPGDGSSYYRLAADTSKGKIQRR >Manes.17G049900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24729517:24732486:1 gene:Manes.17G049900.v8.1 transcript:Manes.17G049900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIHLVTACPKEDTVIDNKIDELRNITCPSCGHNIQMQDQAAGGIVHDLPGLPAGVKFDPNDQEILEHLEAKILSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSNDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFSAGIVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGHNEEEKDGELVVSKVFYQTQPRQCGSSIKDSLDQSLRNRIDHHEHTTPIAKNPGLVDYYNPGLISYDHGSYHRESPPQLIPNMVVPGDGSSYYRLAADTSKGKIQRR >Manes.17G049900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24729445:24732486:1 gene:Manes.17G049900.v8.1 transcript:Manes.17G049900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIHLVTACPKEDTVIDNKIDELRNITCPSCGHNIQMQDQAAGGIVHDLPGLPAGVKFDPNDQEILEHLEAKILSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSNDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFSAGIVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGHNEEEKDGELVVSKVFYQTQPRQCGSSIKDSLDQSLRNRIDHHEHTTPIAKNPGLVDYYNPGLISYDHGSYHRESPPQLIPNMVVPGDGSSYYRLAADTSKGKIQRR >Manes.11G152170.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31815742:31828323:1 gene:Manes.11G152170.v8.1 transcript:Manes.11G152170.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding STNWAYPSFILYHLSNHTQTTNPSPILFPSFSLRPFPPNSSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSSGDVNSVKNIIKRQRSILSELSPREAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWCELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHEEILETLERGEVVLMAARRGNLEHLESLLKKGANENYKDQYGFTALRAAAIKGHKDIVSMLVDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGS >Manes.15G049850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3841505:3843054:-1 gene:Manes.15G049850.v8.1 transcript:Manes.15G049850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKYIYCQLQQNVLHIPLAYSFVIPKNLEYKHQRMFKLPPPSIFIAVLIVTINMATYLAAIDPAATAGEEPVLELYMHDILGGSNPTARPITGLLGNIYSGQVPFAKPVGFIPPDGAVAIPNANGAIPTVNGNNGIPLGTGLAGTAFAGNPNGQGGQVQTQLGPDGLGLGFGTITVVDDVLTSSPELGSQQLGKAQGVYIASSADGSTQMMAFTAIFEGGEFGDSLNFYGIYKIGSAMSHLSVTGGTGKYKNAVGIAEVRGLIPSGQHTTDGAETLLRIIVHLKY >Manes.13G065600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8246182:8248125:1 gene:Manes.13G065600.v8.1 transcript:Manes.13G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNASLLRGNAVLSSTLITILDKCKSMLELKQVHALVITLGLSQDESFVSRILSFSALSDSGNVHYSLLVLLSLSNPTIFNWNTVIRGYSKSKNPNKSVSVFIKMLRVGILPDYLTFPFLAKASARLLNRELGMAFHAHITKAGYASDRFVSNSLIHMYASFGEILHARKVFDGILMKNSVSWNSMIDGYAKCGDMGLACELFDSMPQRDVLSWSCLIDGYVKSGACGDALAVFEKMRVLGPNPNEVTMVSVLCACAHLGALDKGRTMHRYVIDNGLPLTLVLRTSLVDMYAKCGAIQEAFNVFRGVSKEPGDVLIWNAMIGGLATHGLVKESLDLFTEMLIVGVKPDEITYLNLLSACAHGGLVKEAWYFFEYLGKHGMALKSEHYACMIDAMARAGQIAEAYQFLCQMPIQPTASMLGALLSGCMNHRKFDLAEIIGRKLIELEPHHDGRYIGLSNIYAIVRRWDKARSMREAMERMGVKKSPGYSFVEIYGALHTFIAHDKTHPSSEQIYRILNFVVSQMKHTVDYENQEYFFAR >Manes.17G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33021820:33026668:1 gene:Manes.17G124300.v8.1 transcript:Manes.17G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFIMKSLKSLLTSHLHRYIHEDFHAVVAQMTIVDTFLFLIVHSIDKLGIWPKLPVFLGLFYLAIRRHLHQEYNLLNVGKTPTGVRFNPVDYPYRTADGKFNDPFNEGAGSQGSFFGRNMLPVDQKDKLKKPDPMVVATKLLARRKFIDTGKQFNMIAASWIQFMIHDWIDHLEETNQIELTAPREVVSQCPLKSFKFYKTKQVPTGFYEIKTGTMNIRTPWWDGSAVYGSNAETLNKVRTYKDGKLKISEDDGLLLHDQDGIALSGDVRNSWIGVSTLQALFVKEHNAVCDALKKEYPDMDDEELYRHARLVTAAVIAKIHTIDWTVELLKTDTLLAGMRANWYGLLGKKFKDTFGHVGNAVLGGLVGTKKPENHGVPYSLTEEFVSVYRMHSLLPDHLALRDISSEPGPNKSLPLKEEIPMQNLIGLKGEKALPRIGFTSQMVSMGHQACGALELWNYPLWLRDLIPQDITGHDRLDHVDLPALEVYRDRERNVARYNDFRRSLLLIPISKWEDLTDDKEAIEVLNEVYGDDVEELDVQVGLMAEKKIKGFAISETAFIIFLVMATRRLEADRFFTSNFNEETYTKKGFEWVNTTESLKDVLDRHYPEMTKKWMNSASAFSVWDSPPAARNPIPLYLRVPQ >Manes.10G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4474590:4479185:-1 gene:Manes.10G043300.v8.1 transcript:Manes.10G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGSWFFSLKRIFNPKRSKSKKQEKDLDLLCSECEETTALAISAPPPPPPPPPPPASASAPAPAPASAPAPAPPPPPPPPPPRAVLLPPPVENVKLTEAENEQCKLAYTAAIATAAAAEAAVAAAHAAAEVVRLTSVSGYSEKLSEEEAAIRIQTAFRGYLARRALRALKGLMRLKMLIQGQSVKRQATNTLQAMQTLARVQSQIHARRIRMSEENQALQRQLQQRREKELQKLKDAIGEQWDDSMQSKEQIEASLLQKQEAAMRRERALAYAFSRQQTWKTSSKSANPTFIDQNNPQWGWSWLERWMAARPWESRSTVDTNDHASVKSTASRAMSIDEISRSYSLRDLNRDNKLSPSPLTSNRPLLLRSPSTHPSKAPSISLLTRNTKPSSPKGSVRGGDDDSRSLFRVQSERYSNDHTSVKSTTSLAISIGEISRAYSLRDFNRDNKLSPSVSRPSIRRSPSTSSFKVHSISLLTRNTKPSSPKESVWGEDDDSRSLLSVQSEHYWRHSIAGSSVRDDESLASLPSVPSYMSSTKSAKAKSRLPSPLGLYKKGTQEKASVGSAKKRLSFSASLAGSRRHHGPPRMDPIVNNNYDLHTEEKTVNGKSNI >Manes.02G079100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6113505:6115135:-1 gene:Manes.02G079100.v8.1 transcript:Manes.02G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYLRRRRAAARLARKNELSNDDSGDNLEMQTLIPAHFRCPISLDLMKDPVILSTGITYDRESIEKWIEDGNQTCPVTNQVLLSFDQIPNHFIRKMIQDWCVENTSYGIQRIPTPRIPVTPYEVSETCKRIVAATQRKDHMKCKELVVKVENWGKESQRNKRCIVDNGTGSVLAATFESFAEAISTSSTVEKYTDLLVKILSVLVWMFPLSGEGQLKLGSVNSLRCMVWLLKSNGDLSARQNAALVLKELLYLDQKHVNTLGEMGIIQALMELIKEPICHTSMKASLMAICYMISPSTISDNISSEIVELGAVSLIIEILVDGDKSICEKALGVLDHICESKEGREKVADNALTIPLLFRKILASELTSKFSVSILWKLCKDEKSEEEGGVVAEALQLKVGAFQKLLILLQIGCDKSTKEKVTELLKLFNISRAKLDCIDSSMDFRYLEKSY >Manes.15G116700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9313144:9320192:1 gene:Manes.15G116700.v8.1 transcript:Manes.15G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQASKFREQVAKQQQAVIKQLSGTGYERSDVMVIDEVEMQRHQQLEKLYRLTRAGKDFQKDIVKAAETFTAIGYKHIEAGTKLSEDCCRYGTENAKDNVLAKAAAIYGDACKHVEKEQEDLNGLLSSQVLDPLRAMITGAPLEDARHLAQRYSRMRQEAETQAAEVSRRQARVRETPIPENVAKLHAAEAKMQELKANMAVLGKEAAAALAAVESQQQRLTFQRIIAMVEGEKNYHLRIAAILSEVEAEMVSEKQQKESAPPVISSPIIPSENGSEKTIYFLAEARHPFIAETEKELSLAVGDYVVVRKVNPTGWSEGECKGKAGWFPSAYVEKRQRIPTSNGAAQVY >Manes.15G116700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9313144:9324209:1 gene:Manes.15G116700.v8.1 transcript:Manes.15G116700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQASKFREQVAKQQQDFQKDIVKAAETFTAIGYKHIEAGTKLSEDCCRYGTENAKDNVLAKAAAIYGDACKHVEKEQEDLNGLLSSQVLDPLRAMITGAPLEDARHLAQRYSRMRQEAETQAAEVSRRQARVRETPIPENVAKLHAAEAKMQELKANMAVLGKEAAAALAAVESQQQRLTFQRIIAMVEGEKNYHLRIAAILSEVEAEMVSEKQQKESAPPVISSPIIPSENGSEKTIYFLAEARHPFIAETEKELSLAVGDYVVVRKVNPTGWSEGECKGKAGWFPSAYVEKRQRIPTSNGAAQVY >Manes.15G116700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9313144:9324209:1 gene:Manes.15G116700.v8.1 transcript:Manes.15G116700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQASKFREQVAKQQQAVIKQLSGTGYERSDVMVIDEVEMQRHQQLEKLYRLTRAGKDFQKDIVKAAETFTAIGYKHIEAGTKLSEDCCRYGTENAKDNVLAKAAAIYGDACKHVEKEQEDLNGLLSSQVLDPLRAMITGAPLEDARHLAQRYSRMRQEAETQAAEVSRRQARVRETPIPENVAKLHAAEAKMQELKANMAVLGKEAAAALAAVESQQQRLTFQRIIAMVEGEKNYHLRIAAILSEVEAEMVSEKQQKESAPPVISSPIIPSENGSEKTIYFLAEARHPFIAETEKELSLAVGDYVVVRKVNPTGWSEGECKGKAGWFPSAYVEKRQRIPTSNGAAQVY >Manes.10G112223.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26460523:26460843:-1 gene:Manes.10G112223.v8.1 transcript:Manes.10G112223.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNWFYEIILLEFFCFFSLQKDPTKRWSAYDLLKHPFMIKYDDLQAEALKSYFTNTGSPETTSE >Manes.S043916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1310336:1310710:-1 gene:Manes.S043916.v8.1 transcript:Manes.S043916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.08G034100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3257001:3259350:1 gene:Manes.08G034100.v8.1 transcript:Manes.08G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDVPPFFLCPISLQIMKDPVTVPTGITYDRESIEKWLFSGKNDTCPVTKQVISDCDLTPNLTLMRLIQSWCTLNASHGIERIPTPKPPISKAQIAKIIKDANKSPQQQITCLKRLRSIANLNDANKRCMEAAGAVEFLASIVNGFNSLSFEQSTSDGDESEVTRPSDEALSILYALQLSESGLKTLIGNNGEIIQSLTNILQNGNYESRAYAVLLLKSVFEVADPMQLINLRIELFAEIVQVLSDQISHQAHKAALQLLISLCPWGRNRIRAVEANAVSVLIDLLLDSRDKRACEMALNVLELLCQCAEGRAELLRHGAGLAIVSKKILRVSQVASEKAVRILLSVSKFSATPSVLQEMLQIGVVAKLCLVLQVDCGSKTKDKAKEVLKLHARAWKNSACIPANLLFFYPA >Manes.16G015600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1715550:1717488:-1 gene:Manes.16G015600.v8.1 transcript:Manes.16G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSSSYYMDDHGEYSGDYPFNPYYNSYDSAAVQASMAYSSYKSNDYQIFAYDPIPLYDPVSSYASTTAYSVSSSIESKYVLHDPDLHYPAQSQFIISHSVSEFYEPDFEEYDPTPYGGGYDLTLTYGKPLPPSDETCYPRSKPDPGTLPSNGVSSGQEHAETPNKGSQPTATSEPEKQQQLGGYEPVDLYPGEETKERYGYGDEIGYEYEKRVAGHEIPSGYGLEAMDICEGLFGYWPCLSRSARRAKECEEMADVGNCSNQWKGSADYLFGSPYPYGDTSDSWSAS >Manes.17G001120.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1607610:1611201:-1 gene:Manes.17G001120.v8.1 transcript:Manes.17G001120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAENPRTKLQLRLHSQSNHYPTHHDTVDVSSSARLFSEILSHTDPKDVESALSSSGIPPTPDLVHQVLKLSYNNPSSAVVFFRWVGRAHKPTPYAWNLMVDLLGKNGLFESMWDAIRSMKQENVLSIATFASVFGSYCAAGRFDEAVMSFDVMDKYGIQQDVVAVNSLLSAMCNEDNQTIKALEFFERVKSKIPPDGDTFAILLEGWEKEGNPAKARTTFGEMVVRIGWSPSNMPAYDAFLTTLVQASQTDEALKFLHVMKGKACMPGQKGLPSRKVFLRDDQE >Manes.10G092100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23738300:23741627:1 gene:Manes.10G092100.v8.1 transcript:Manes.10G092100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRTVKDAFDLQLSIVRDTFERVAKKQKLSSSKSQEVIDQVSHEIEQALANIKSVQGPMSPVDQKSILTELKHRLNAISALNQLEGSQKELNIDLSKYPKLLEKSFSSDMSKTYRNVDFDFHIVNQIIATHFYRQGLFDLGDCLINEAGEPEATALRSQFLELHQILDAIKVKNLEPALKWASTNREKLKLNGSNLELKIHRLQFVEILKGGNRAAALNYAKTFLSPFAALHMREIQKLIVCICWMGKLESSPHSELLAPTHWEKFPDELTRDFCNLLGQSCRSALSLAIAAGIEGLPTLLKLANVMAAKKQEWLAMKQLPVPVELGREYQFHSIFVCPVSREQGTEDNPPMLMPCLHVLCKQSITKMSKGSSRTFKCPYCPVEASVAQCRQLYF >Manes.10G092100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23738300:23741627:1 gene:Manes.10G092100.v8.1 transcript:Manes.10G092100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRTVKDAFDLQLSIVRDTFERVAKKQKLSSSKSQEVIDQVSHEIEQALANIKSVQGPMSPVDQKSILTELKHRLNAISALNQLEGSQKELNIDLSKYPKLLEKSFSSDMSKTYRNVDFDFHIVNQIIATHFYRQGLFDLGDCLINEAGEPEATALRSQFLELHQILDAIKVKNLEPALKWASTNREKLKLNGSNLELKIHRLQFVEILKGGNRAAALNYAKTFLSPFAALHMREIQKLIVCICWMGKLESSPHSELLAPTHWEKFPDELTRDFCNLLGQSCRSALSLAIAAGIEGLPTLLKLANVMAAKKQEWLAMKQLPVPVELGREYQFHSIFVCPVSREQGTEDNPPMLMPCLHVLCKQSITKMSKGSSRTFKCPYCPVEASVAQCRQLYF >Manes.10G092100.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23738328:23741536:1 gene:Manes.10G092100.v8.1 transcript:Manes.10G092100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRTVKDAFDLQLSIVRDTFERVAKKQKLSSSKSQEVIDQVSHEIEQALANIKSVQGPMSPVDQKSILTELKHRLNAISALNQLEGSQKELNIDLSKYPKLLEKSFSSDMSKTYRNVDFDFHIVNQIIATHFYRQGLFDLGDCLINEAGEPEATALRSQFLELHQILDAIKVKNLEPALKWASTNREKLKLNGSNLELKIHRLQFVEILKGGNRAAALNYAKTFLSPFAALHMREIQKLIVCICWMGKLESSPHSELLAPTHWEKFPDELTRDFCNLLGQSCRSALSLAIAAGIEGLPTLLKLANVMAAKKQEWLAMKQLPVPVELGREYQFHSIFVCPVSREQGTEDNPPMLMPCLHVLCKQSITKMSKGSSRTFKCPYCPVEASVAQCRQLYF >Manes.10G092100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23738301:23741627:1 gene:Manes.10G092100.v8.1 transcript:Manes.10G092100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRTVKDAFDLQLSIVRDTFERVAKKQKLSSSKSQEVIDQVSHEIEQALANIKSVQGPMSPVDQKSILTELKHRLNAISALNQLEGSQKELNIDLSKYPKLLEKSFSSDMSKTYRNVDFDFHIVNQIIATHFYRQGLFDLGDCLINEAGEPEATALRSQFLELHQILDAIKVKNLEPALKWASTNREKLKLNGSNLELKIHRLQFVEILKGGNRAAALNYAKTFLSPFAALHMREIQKLIVCICWMGKLESSPHSELLAPTHWEKFPDELTRDFCNLLGQSCRSALSLAIAAGIEGLPTLLKLANVMAAKKQEWLAMKQLPVPVELGREYQFHSIFVCPVSREQGTEDNPPMLMPCLHVLCKQSITKMSKGSSRTFKCPYCPVEASVAQCRQLYF >Manes.10G092100.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23738303:23741627:1 gene:Manes.10G092100.v8.1 transcript:Manes.10G092100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRTVKDAFDLQLSIVRDTFERVAKKQKLSSSKSQEVIDQVSHEIEQALANIKSVQGPMSPVDQKSILTELKHRLNAISALNQLEGSQKELNIDLSKYPKLLEKSFSSDMSKTYRNVDFDFHIVNQIIATHFYRQGLFDLGDCLINEAGEPEATALRSQFLELHQILDAIKVKNLEPALKWASTNREKLKLNGSNLELKIHRLQFVEILKGGNRAAALNYAKTFLSPFAALHMREIQKLIVCICWMGKLESSPHSELLAPTHWEKFPDELTRDFCNLLGQSCRSALSLAIAAGIEGLPTLLKLANVMAAKKQEWLAMKQLPVPVELGREYQFHSIFVCPVSREQGTEDNPPMLMPCLHVLCKQSITKMSKGSSRTFKCPYCPVEASVAQCRQLYF >Manes.10G092100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23738303:23741627:1 gene:Manes.10G092100.v8.1 transcript:Manes.10G092100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRTVKDAFDLQLSIVRDTFERVAKKQKLSSSKSQEVIDQVSHEIEQALANIKSVQGPMSPVDQKSILTELKHRLNAISALNQLEGSQKELNIDLSKYPKLLEKSFSSDMSKTYRNVDFDFHIVNQIIATHFYRQGLFDLGDCLINEAGEPEATALRSQFLELHQILDAIKVKNLEPALKWASTNREKLKLNGSNLELKIHRLQFVEILKGGNRAAALNYAKTFLSPFAALHMREIQKLIVCICWMGKLESSPHSELLAPTHWEKFPDELTRDFCNLLGQSCRSALSLAIAAGIEGLPTLLKLANVMAAKKQEWLAMKQLPVPVELGREYQFHSIFVCPVSREQGTEDNPPMLMPCLHVLCKQSITKMSKGSSRTFKCPYCPVEASVAQCRQLYF >Manes.02G197400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16346201:16353709:-1 gene:Manes.02G197400.v8.1 transcript:Manes.02G197400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKMLLEKKKPGKLNCLSNTIVLPNFLVPFTQSKPSFTAFPSTLPVSPQLSQPCLRIFSLDSVAYTKLIQFSAKSESLIQGKLAHAHMIKTAFKPCLFLFNNMLNMYGKCGEMRTAQKLFDRMPKSSVISYNILISGYAEMGSYDKAIGMFSEARMACLKLDKFSYAGVLSACGQTGDLEVGKVIHGMAVVCGLVRQVFLTNLFIDMYCKCGKVDQARLLFESSDGLDIVSWNSLIAGYVRVGAYEETLELLIKMHQTGLSLNSFTLGSAFKACSSNLVNMIDYGKTLHGYTVKLGLNSNVVIGTALVDMYVKTGFSDYAIQIFRTIPDQNVVAFNAMIAGFIQIEAISKECASEAFNLFSQMQRRGINPSNFTFSSMIKLCNQIKAFEYGKQIHAQICKNNIQSDEFIGSTLIELYSLLGSSEDQFKCFNSTPKLDIVSWTSMIAGHVHNGNFESALALFYELLASGKKPDEFIMSTMLGACADLAAERSGEQVQGFALKTGLGVFSIVQNSQISMYAKSGNITSARLTFDEIKNPDVVSWSVMICSNAQHGHAADALNLFELMKSYGIFPNHITFLGVLTACSHGGLVEEGLRYYESMKNDYGMKTNVQHCACVADLLSRSGSLVDAENFILNSGFKDNPVMWRALLSSCRVYKDTVIGKHVAEKVIELDPQESSSYVLLYNIYADAGMESPARKIRELMEHRGIKKEPGQSWIELGNKVHSFVVGDVSHPMSHLIYKKLEEMLEKIRKIGYADQNLVSSHSRAEVKGISMVNHHSEKLAATLGIISLPQSASVKVMKNLRVCHDCHTAMKLFSKVEKREIILRDPLRFHHFRGGSCSCNDYW >Manes.08G037632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3685206:3688643:-1 gene:Manes.08G037632.v8.1 transcript:Manes.08G037632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCRNFLSKAIRKKNLKAKNKARKKNIARRKQDSIFKHQHLQEDQEIQDIEEESEGFSLKASAPSHTYGVQPLGNLYLNPGSVNSRNTGLGSLQTLSDELILDILGLLDGAHLGVLAAVSKSFYFFANHEPLWRNLVLDNLNNGFLFNGSWKSTYVAAFYPSFDISDMGTSNVKVRDFYSDYLFQSWLCANLDMKPEWLERDNIVRKNGISVEDFVLNFEEPNKPVLFEGCMDNWAALRKWDRDYLLKVCGDSKFAAGPVEMRLDEYFRYADQVREERPLYLFDPKFAEKVPTLGSEYEVPIYFREDLFSVLGNERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWILFPPDVIPPGVLPSPDGAEVACPVSINEWFMNFYDATKHWKKRPIECICKAGEVIFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLEFLKRPNASELVSGTRDRVNLHDKFKNAIESAFPGTINQLMQKAEEKNAQQKKLSFWDSVTDSNAGAFKFSF >Manes.08G037632.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3685206:3688643:-1 gene:Manes.08G037632.v8.1 transcript:Manes.08G037632.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCRNFLSKAIRKKNLKAKNKARKKNIARRKQDSIFKHQHLQEDQEIQDIEEESEGFSLKASAPSHTYGVQPLGNLYLNPGSVNSRNTGLGSLQTLSDELILDILGLLDGAHLGVLAAVSKSFYFFANHEPLWRNLVLDNLNNGFLFNGSWKSTYVAAFYPSFDISDMGTSNVKVRDFYSDYLFQSWLCANLDMKPEWLERDNIVRKNGISVEDFVLNFEEPNKPVLFEGCMDNWAALRKWDRDYLLKVCGDSKFAAGPVEMRLDEYFRYADQVREERPLYLFDPKFAEKVPTLGSEYEVPIYFREDLFSVLGNERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWILFPPDVIPPGVLPSPDGAEVACPVSINEWFMNFYDATKHWKKRPIECICKAGEVIFVPNGWWHLVINLEESIAITQNYVSRITISKA >Manes.08G105100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34521514:34524941:1 gene:Manes.08G105100.v8.1 transcript:Manes.08G105100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVNFEDSDVDIVIAALNSDLTSFMNDWRPIFSRFHLIIVKDPGLNEELKIPEGFNVDVYTKFHMDRAVGSSTSVLFSGYSCRYFGFLISRKKYIVSIDDDCVPAKDEKGFLIDAVDQHIKNLTTPATPFFFNTLYDPYREGADFVRGYPFSLRNGVTCALSCGLWLNLADLDAPTQALKPGQRNSRYVDAVMTVPARTMMPISGINIAFDREMVGPALLPALRLAGEGKLRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRKDRGNAIESLKKEWEGVKLMEEVVPFFQSVRLPPTAATPEDCVVQIATAVKQQLGPLDPVFSGAADAMSDWVKLWKAIGSGSSPV >Manes.08G105100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34521087:34524941:1 gene:Manes.08G105100.v8.1 transcript:Manes.08G105100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVNFEDSDVDIVIAALNSDLTSFMNDWRPIFSRFHLIIVKDPGLNEELKIPEGFNVDVYTKFHMDRAVGSSTSVLFSGYSCRYFGFLISRKKYIVSIDDDCVPAKDEKGFLIDAVDQHIKNLTTPATPFFFNTLYDPYREGADFVRGYPFSLRNGVTCALSCGLWLNLADLDAPTQALKPGQRNSRYVDAVMTVPARTMMPISGINIAFDREMVGPALLPALRLAGEGKLRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRKDRGNAIESLKKEWEGVKLMEEVVPFFQSVRLPPTAATPEDCVVQIATAVKQQLGPLDPVFSGAADAMSDWVKLWKAIGSGSSPV >Manes.11G137100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30232409:30233337:-1 gene:Manes.11G137100.v8.1 transcript:Manes.11G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.18G081400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7483762:7485897:-1 gene:Manes.18G081400.v8.1 transcript:Manes.18G081400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNVILILLLHFSLAVSSASSDHQSSGATSIIFATLGSRSDYAFDIFTLPTRASPSSADELQLTDGKSFNFNGNFPSLSSSLLSLLPNRTLLQNEGPQDSAPLSLVYVTERGGSSNIFYDLVYLNSPRNSRSRSALEIPKRVQVPLLKGKNGIAMKDKPTVTGEYVIYVSTHEDPGKPRASWAAVYSTELKTGLTQRLTPYGIADFSPAVSPSGVYTAVASYGESGWNGEVEELSTDIYVFLTRDGTQRVKVVEHGGWPSWVDDSTLYFHRRSEEDNWISIYRAILPSGKSFSTDSVIVERVTPPGLHAFTPATSPYNNKFIAVATRRSDSDYRHVELYDLVKNKFIELTRLVSPQTHHLNPFISPDSTRVGYHRCRGASNDKKSSHFILENLNSPVAETSLFRVDGSFPSWSPGGDRIAYVDFPGIYVVNRDGSNRRQVYPKTAFSTVWDPVRPGVVYSSTGPTFASESTKVDIISINVDGDAEEAYNFKKLTTNGENNAFPAVSPDGKWVVFRSGRSGHKNLYVMDAVEGEKGGLRRLTEGPWSDTMCSWSPDGEWIAFASDRENPGSGSFELFLIHPNGTGLRKLIQSGLGGRTNHPYFSPDGKSIVFTTDYAGISAEPISNPHHYQPYGEIYTVKLDGSDLKRLTHNSFEDGTPAWGPVYIKPEDVEWPINRPKCSFEECHWLNEMPSKSGEVESLKPSKPQCGA >Manes.14G155500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19700718:19705617:-1 gene:Manes.14G155500.v8.1 transcript:Manes.14G155500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIKQILVVLVTLLVASTCVPVSLCAKKPVFSARKEDIPYIKCQVCEKVAKELYQLVEKKKAQFLPKKISEYQIIEMAENMCHLKKEEADWIMKIDIVEQGDKLELVEQEAEGQCNSECKTIERTCQEVLGNSDTDIAEYIFSFKPSIDSVVNYLCKDLTNACRKKPPPVPKDRIPGEPFVPKPSKEVEMEKILRSMEGMPGAPNMQMYSREDLMNMKNFGNEKADDDEDEDEDDETNFPSKLAKVLREKESKKDDWKEKIAEGIKTASETLKSHVNSVSNRMRHWWKGIKASWTNKNSETVKLEL >Manes.14G155500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19700718:19705617:-1 gene:Manes.14G155500.v8.1 transcript:Manes.14G155500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIKQILVVLVTLLVASTCVPVSLCAKKPVFSARKEDIPYIKCQVCEKVAKELYQLVEKKKAQFLPKKISEYQIIEMAENMCHLKKEEADWIMKIDIVEQGDKLELVEQEAEGQCNSECKTIERTCQEVLGNSDTDIAEYIFSFKPSIDSVVNYLCKDLTNACRKKPPPVPKDRIPGEPFVPKPSKEVEMEKILRSMEGMPGAPNMQMYSREDLMNMKNFGNEKADDDEDEDEDDETNFPSKLAKVLREKESKKDDWKEKIAEGIKTASETLKSHVNSVSNRMRHWWKGIKASWTNKNSETVKLEL >Manes.06G008200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1307039:1309853:1 gene:Manes.06G008200.v8.1 transcript:Manes.06G008200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDPLVVGRVIGDVIDMFIPSVNMSVYYGAKRVTNGCDVKPSLAVLPPQLTISGLPHDLYTLVMTDPDAPSPSEPTMREWVHWIVADIPGGTNPTKEILAYVSPRPPVGIHRYILVLFRQRKALGMMEQPQSRANFNTRMFAAHLELGLPVATVYFNAQKEPAARRR >Manes.06G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1307039:1309853:1 gene:Manes.06G008200.v8.1 transcript:Manes.06G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDPLVVGRVIGDVIDMFIPSVNMSVYYGAKRVTNGCDVKPSLAVLPPQLTISGLPHDLYTLVMTDPDAPSPSEPTMREWVHWIVADIPGGTNPTKGKEILAYVSPRPPVGIHRYILVLFRQRKALGMMEQPQSRANFNTRMFAAHLELGLPVATVYFNAQKEPAARRR >Manes.06G094700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22959503:22961298:1 gene:Manes.06G094700.v8.1 transcript:Manes.06G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRPSGSSRITDDQILDLVTKLQQLLPEIGNRRSDKVSAAKVLQETCNYIRSLHREVDDLSERLSELLANTDTAQAAVIRNLLMQ >Manes.11G068900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9782186:9783011:1 gene:Manes.11G068900.v8.1 transcript:Manes.11G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMPPPTLFLASTLAFITLLTTAAHARILQATILATYERHLTPPPTPILSPSSHQLTDGYVRPYHHPPPPPKPATPIGQLENIAFPCAQGQSDQPCNNYISMVTDYGRTSISPPPPPMPASPSHPLHRTLQEGSPPSHLASA >Manes.11G068900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9782206:9783011:1 gene:Manes.11G068900.v8.1 transcript:Manes.11G068900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMPPPTLFLASTLAFITLLTTAAHARILQATDCSLLKATILATYERHLTPPPTPILSPSSHQLTDGYVRPYHHPPPPPKPATPIGQLENIAFPCAQGQSDQPCNNYISMVTDYGRTSISPPPPPMPASPSHPLHRTLQEGSPPSHLASA >Manes.11G068900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9782186:9783011:1 gene:Manes.11G068900.v8.1 transcript:Manes.11G068900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMPPPTLFLASTLAFITLLTTAAHARILQDCSLLKATILATYERHLTPPPTPILSPSSHQLTDGYVRPYHHPPPPPKPATPIGQLENIAFPCAQGQSDQPCNNYISMVTDYGRTSISPPPPPMPASPSHPLHRTLQEGSPPSHLASA >Manes.04G068400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26614234:26616162:-1 gene:Manes.04G068400.v8.1 transcript:Manes.04G068400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVKTVVALAVISVSLCGKWVAAEVNHLVGGDHGWDPSTDVASWSSGRTFRIGDKIWFAYSMAQGTIAELKTKEEYDSCDISNPIRMYTNGLDSISLHEEGIRYFVSTNSKICKKGLKLHVEVTSEKSGTCDDIPKSEDSALAVAAGPTASDSTHVGASGVMFMLLSGIWLSYYYSIMGI >Manes.04G068400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26614307:26616143:-1 gene:Manes.04G068400.v8.1 transcript:Manes.04G068400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVKTVVALAVISVSLCGKWVAAEVNHLVGGDHGWDPSTDVASWSSGRTFRIGDKIWFAYSMAQGTIAELKTKEEYDSCDISNPIRMYTNGLDSISLHEEGIRYFVSTNSKICKKGLKLHVEVTSEKSGTCDDIPKSEDSALAVAAGPTASDSTHVGASGVMFMLLSGIWLSYYYSIMGI >Manes.11G044733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4831149:4831857:1 gene:Manes.11G044733.v8.1 transcript:Manes.11G044733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVREEMNLLVEADQPGNQLDDYITRLNTILSQKAAGKL >Manes.18G077648.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7017757:7025469:1 gene:Manes.18G077648.v8.1 transcript:Manes.18G077648.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYIVGVIVPLAVTLFFRNSKRSAKKRGVPVDVGAEPGYAIRNARFPTQLETAWEGVFTLAALFEFACKSHGDKFLLGTRRLIARENEVTPDGRTFEKVHLGEYEWLTYDKVFEKVCNFASGLAHIGHGREERVAIFADTRAEWFISLQGCFRRNVTVVTIYASLGEDALCHSLNETEVTTVICGNKELKKLVDISGQLHTVKRLICMDDEIPSSASDEQSGRWTITSLSNVEKLGQENPVEPDLPQSTDIAVIMYTSGSTGLPKGVMMTHANVLAVVSSVRTIVPGLESKDVYLAYLPLAHILELAAENIVAGVGSAIGYGSPLTLTDTSNKIKKGTKGDASVLRPTVMAAVPAILDRVRDGVRKKVDATGGLSKKLFDLAYARRLSALNGSWFGAWGLEMLFWNFLVFRKVRAVLGGRIRFLLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEFDDTSVGRVGNPVPSSFIKLIDWPEGGYLVSDLPMPRGEIVIGGPNVTVGYFKNEKKTMEVYKVDERGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAVLNVSPYVDNLMLHADPFHSYCVALVVPSQHALEEWASKLGIAFSDFADLCEKQETVKEVQASFVKEAKKSRLEKFEIPAKIKLLSNPWTPESGLVTAALKIKREAIRKAFSEELSKLYES >Manes.18G077648.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7017757:7025469:1 gene:Manes.18G077648.v8.1 transcript:Manes.18G077648.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYIVGVIVPLAVTLFFRNSKRSAKKRGVPVDVGAEPGYAIRNARFPTQLETAWEGVFTLAALFEFACKSHGDKFLLGTRRLIARENEVTPDGRTFEKVHLGEYEWLTYDKVFEKVCNFASGLAHIGHGREERVAIFADTRAEWFISLQGCFRRNVTVVTIYASLGEDALCHSLNETEVTTVICGNKELKKLVDISGQLHTVKRLICMDDEIPSSASDEQSGRWTITSLSNVEKLGQENPVEPDLPQSTDIAVIMYTSGSTGLPKGVMMTHANVLAVVSSVRTIVPGLESKDVYLAYLPLAHILELAAENIVAGVGSAIGYGSPLTLTDTSNKIKKGTKGDASVLRPTVMAAVPAILDRVRDGVRKKVDATGGLSKKLFDLAYARRLSALNGSWFGAWGLEMLFWNFLVFRKVRAVLGGRIRFLLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEFDDTSVGRVGNPVPSSFIKLIDWPEGGYLVSDLPMPRGEIVIGGPNVTVGYFKNEKKTMEVYKVDERGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAVLNVSPYVDNLMLHADPFHSYCVALVVPSQHALEEWASKLGIAFSDFADLCEKQETVKEVQASFVKEAKKSRLEKFEIPAKIKLLSNPWTPESGLVTAALKIKREAIRKAFSEELSKLYES >Manes.03G081200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15817950:15822735:-1 gene:Manes.03G081200.v8.1 transcript:Manes.03G081200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEAPLRPKRKKVLVDYLVKFRWILVIFVVLPISFTFYFLIYLGDVKSGMKSYKQRQKEHEENVQKVVKRLKQRNPSKDGLVCTARKPWVAVGMRNVDYKRARHFEVDLSGFRHILDIDKERMIARVEPLVNMGQISRETVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIILADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPVQEYMKVTYKPVVGNLKELAQAYIDSFAPRDLDQDNPAKVPDFVEGMIYSRTEGVMMTGRYASKEEAKQKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRYLLGWLMPPKVSLLKATQGEAIRNYYHENHVIQDMLVPLYKVGDALQWVDREMEIYPIWLCPHRLFKLPVKTMVYPEPGFEHQLRQGDTPYAQMYTDVGVYYTPACIFRGEEFDGAEAVRRMENWLIENHGFQPQYAVSELTEKNFWRMFDAGLYEQCRRRYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEGV >Manes.03G081200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15817950:15820508:-1 gene:Manes.03G081200.v8.1 transcript:Manes.03G081200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEAPLRPKRKKVLVDYLVKFRWILVIFVVLPISFTFYFLIYLGDVKSGMKSYKQRQKEHEENVQKVVKRLKQRNPSKDGLVCTARKPWVAVGMRNVDYKRARHFEVDLSGFRHILDIDKERMIARVEPLVNMGQISRETVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIILADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPVQEYMKVTYKPVVGNLKELAQAYIDSFAPRDLDQDNPAKVPDFVEGMIYSRTEGVMMTGRYASKEEAKQKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRYLLGWLMPPKVSLLKATQGEAIRNYYHENHVIQDMLVPLYKVGDALQWVDREMEIYPIWLCPHRLFKLPVKTMVYPEPGFEHQLRQGDTPYAQMYTDVGVYYTPACIFRGEEFDGAEAVRRMENWLIENHGFQPQYAVSELTEKNFWRMFDAGLYEQCRRRYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEGV >Manes.14G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5671253:5673633:-1 gene:Manes.14G068100.v8.1 transcript:Manes.14G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCFLTRPSARMGRALFEIKQKDGFSINPPLLSPPTSTSTPYNSSFSYEKQSSPSSSFNRISPVLLLVIIILAAVFFVSGVLHLLVRFFMKRSHFSPIYHSNRIPETSRSHSLQRQLQQLFRQHDSGLDQAFVDALPVFYHKDIMGLKEPFDCAVCLCEFTDKDKLRLLPMCSHAFHIDCIDTWLLSNSTCPLCRGTLLSSSLPMENPLSNFEFLMEVSNGFSSDGENGSSNGPKPGNMEENVGEKRVFSVRLGKFRSLNEGEPSWTGQGETSRCNLDARRCYSMGTVQYVVDDSNLQVALSLDIANGRGDNECPLIDGDVEDKKIRGRTRGDSFSVSKIWLWSKNNRFPTCSRTQMDMSSLPELIQM >Manes.18G141904.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25090650:25091021:-1 gene:Manes.18G141904.v8.1 transcript:Manes.18G141904.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLLVPYIFFSLPAAIFNLLRGGREMDCFHCSGAQAFLSLTLPRYQVHLFFQALFSFALSNFFLPCPLYLTVNELCCSREPEFPKLV >Manes.03G040700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3582387:3586414:-1 gene:Manes.03G040700.v8.1 transcript:Manes.03G040700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVRVIFISSLLFLLFSAVAAGLTFDDSNPIKLVSDSLHGFEASVVKVIGDTRHALSFARFAHRYGKSYENEKELKMRFAIFSENLDLIRSINKKGLPYTLALNDFADLTWQEFQKHRLGAAQNCSATTKGNHKLSGDVLPERKDWREEGIVSPVKDQGNCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCDGGLPSQAFEYIRYNGGLETEEAYPYTAHDGTCKFSSENVGVRVLDSVNITLGAEDELKHAVAFVRPVSVAFEVASDFRFYKDGVYTSTICGKTPMVLQHAHHTLL >Manes.03G040700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3582387:3586414:-1 gene:Manes.03G040700.v8.1 transcript:Manes.03G040700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVRVIFISSLLFLLFSAVAAGLTFDDSNPIKLVSDSLHGFEASVVKVIGDTRHALSFARFAHRYGKSYENEKELKMRFAIFSENLDLIRSINKKGLPYTLALNDFADLTWQEFQKHRLGAAQNCSATTKGNHKLSGDVLPERKDWREEGIVSPVKDQGNCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCDGGLPSQAFEYIRYNGGLETEEAYPYTAHDGTCKFSSENVGVRVLDSVNITLGAEDELKHAVAFVRPVSVAFEVASDFRFYKDGVYTSTICGKTPMDVNHAVLAVGYGVKNDVPYWLIKNSWGDDWGDNGYFRMEMGKNMCGKFQTLHLDNVKQMHIT >Manes.03G040700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3582387:3586414:-1 gene:Manes.03G040700.v8.1 transcript:Manes.03G040700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVRVIFISSLLFLLFSAVAAGLTFDDSNPIKLVSDSLHGFEASVVKVIGDTRHALSFARFAHRYGKSYENEKELKMRFAIFSENLDLIRSINKKGLPYTLALNDFADLTWQEFQKHRLGAAQNCSATTKGNHKLSGDVLPERKDWREEGIVSPVKDQGNCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCDGGLPSQAFEYIRYNGGLETEEAYPYTAHDGTCKFSSENVGVRVLDSVNITLGAEDELKHAVAFVRPVSVAFEVASDFRFYKDGVYTSTICGKTPMDVNHAVLAVGYGVKNDVPYWLIKNSWGDDWGDNGYFRMEMGKNMCGIATCASYPVVA >Manes.15G087500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6704341:6705152:-1 gene:Manes.15G087500.v8.1 transcript:Manes.15G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLKLTTIFSVLILLILHTQQTLSTDIDDDEEYVLDTPLPNLRSRSRFLATVLKKGASCNPITRNICNGVSANNGTSWLQCCKTHCRNILGDENNCGLCGNKCRFGQLCCHGSCVTAANNNDHCGKCDNKCAPGTSCSFGSCGYA >Manes.01G129501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32330915:32333958:-1 gene:Manes.01G129501.v8.1 transcript:Manes.01G129501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLAMEATAVMFMVLLSAVHHVHGDEQLQKVLTTENSGCDLFEGSWVRDESNPVYETSKCPFLEKEFDCLKNGRPDTDYLKYRWQPAAANCSLPRFNGGDFLSKLEGKSIMFVGDSLSLNQWQSLTCMLHVAVPNASYTSVRTGGLSTFTFPEYKAKVMFSRNAFLVDIVNTSIGTVLKLDSIEASKLWNGVDVLIFDSWHWWLHTGRKQPWNFIQEGNNEYKDMDRLVAYEKALNTWANWVDANVDPSKTSVFFQGVSPDHNNGSDWGEDTARFCKEQKQPFQGSEYPAGPHRAEQVVERVLGAMQKPVYLLNITALSQLRIDGHPSVYGSGGHTGMDCSHWCLPGVPDTWNQFLYALLLQIYG >Manes.02G069600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5316931:5318116:-1 gene:Manes.02G069600.v8.1 transcript:Manes.02G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNATLQSLFHALDPISLILSQNSSDSRQPVPLKLTTDSYLMERGPRYTAYAELRESKLRMKILRQQERDETDFKQTPPKKQVKFQANLTASRKGSSVLAQSVPDFSATLRKENRKPPPQWTPPSKNLSNVNGILSNCRGSKSASAGEKKNRNGGLMSRKSYASVEELKGLSMAAATAINGENKGGRSSRLIGKTVLGFRQF >Manes.03G078900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21264378:21269693:1 gene:Manes.03G078900.v8.1 transcript:Manes.03G078900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSSLNNLLPSKSSPNFKFVKGDIGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQVRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFSMDPETNIKFVDNRPFNDQRYFLDDEKLKNLGWSEQTIWEDGLKKTMEWYIQNPDWWGDVTGALLPHPRMLMMPGGRHFDGSEENKSASYASNNSNQSRMVIPVSRTGSSGSPRKSSLKFLIYGRTGWIGGLLGKLCEKQGIPFEYGKGRLEDRSSLLSDIQNVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVSGTLTLADVCRQHDILMINYATGCIFEYDSNHPEGSGIGFTEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILQMYKSYINPNFTWVNFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKDSLIKYVFEPNKKT >Manes.03G078900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21264541:21268101:1 gene:Manes.03G078900.v8.1 transcript:Manes.03G078900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSSLNNLLPSKSSPNFKFVKGDIGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQVRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFSMDPETNIKFVDNRPFNDQRYFLDDEKLKNLGWSEQTIWEDGLKKTMEWYIQNPDWWGDVTGALLPHPRMLMMPGGRHFDGSEENKSASYASNNSNQSRMVIPVSRTGSSGSPRKSSLKFLIYGRTGWIGGLLGKLCEKQGIPFEYGKGRLEDRSSLLSDIQNVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVSGTLTLADVCRQHDILMINYATGCIFEYDSNHPEGSGIGFTEEDKPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILQMYKSYINPNFTWVNFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKDSLIKYVFEPNKKT >Manes.09G025816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5879613:5885042:-1 gene:Manes.09G025816.v8.1 transcript:Manes.09G025816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENMAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGMGPWSFLRK >Manes.11G121000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28142958:28157140:-1 gene:Manes.11G121000.v8.1 transcript:Manes.11G121000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHVHTGKNTFLFVATFQIWKMSIEEGEAFYRSLSRKELQSLCKKYGLPARKSSSEMAGSLFSFFQKNDLSFASLGKSRGGIQDVLLSPSPVTNFQTKAPFSLTGNVTKDSFRQRSYTREIGNKGNTSTKCNEMESCMGLRPYDKEAVGCSIDYFQDPSQSQIIAQSACGGLIHKEPSSSLSGREEDTPQFHCGQMNIGVCPIKNASPTRTYRRAPASFEFYVSSEEGIKLSVDLNSSPSDWIKDYKNQVSLCRHVDSTTSRSLCKELGCIGESDNTQVKGFFPQSVGPGEIKDGYVQAKTSPSFVMENNIEIDEGNKLVMILPTRQCSVEGLECLGEEQGPISSKPSADVQNQNQIISITESCTKNVYAAILDSDIADTPTEKTACNFAVNSISDGSVDLIANEHHNLKHGDEMCENLTWQNSSDLENNCSVFPGRLASCSTEIQLSEAGNYHKDTLSLPNKNGGFVGLDDSNHNAGNEQATLATLSENNHCGNHLPTCSEEQEWNNIVNVIETSICSQVDNSVEKTCLKFDNQGSNEEFSRKRPFAGNECQNSCSKHDTKFLRSMMHSAGEALPRRSMRLVSKDWKEALADPK >Manes.17G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24207838:24209070:-1 gene:Manes.17G045200.v8.1 transcript:Manes.17G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANVSPAYAKKLQARGKSPGWAAFDLKQRQEQSLQLQQVGDDPFPPLPTTSTTSIRSCGNPPSNNGFFAGSCSSVSLPSADFRRIAENTNCNTSTRKKPAFAVNRLKELHSWADESLIEDVMASVNNDTDKATVFLKEMISTDNCEENGEANYFSNCEDESVLTSDLAAETADLSSTLEDSLHAATNTKLNLGHLRSSPVEPEWEQQDVYLNHRRNALRMMRLAARHSRAVTNAFQRGDHFSAQQHSSKARKEWLDAERLNAKAAKRILTITNSENNPWKLDLHGLHAAEAVKALQEHLNKIETLLPRDQPVSPGRFKTLEPFSSIDMENLDKQQTGSRHRTASLLVITGVGNHSRGEAAIPTAVRSFLSENRYHFDEARPGVISVRAKFRHPRMIS >Manes.01G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7049202:7054689:-1 gene:Manes.01G039500.v8.1 transcript:Manes.01G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTQRIMGSLIEEEHRFFDAYDDIASISDGKSDVIQTFDSHSTSDDSIPSSPPYEVWMRSPISVVERRSKFLNWMRVGLDQSGNENLIAVHNVEGETDRIRESSGAVLRKSVFEDEFCSTRSTMSCWSNDSSNLIEELGSKADFICREGSSGGGIVCNDEVSFEHSLTAEESENTSRSSSSFKQLIQKEVEEPSTPMGTPRSAKKRWLSLLRSIACVVDKQREAEKLRHDGVDSLLEYRVQRVKVRQCGKRTKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDRIVRVWKVLEDERSNELDIPEIDPSCIYFTVNQLSELKPLFTDKEKTAKLRNLRKTSDSACVIFPPKIFRILEKPLHEFRGHNREILDLSWSKDHHLLSASEDKSVRLWRVGTDHCLRVFSHSNYVTCVQFNPVNNNYFMSGSIDGKVRIWAIPSCQVVDWTDIKEIVTAVCYHPNGQGGIVGSIGGNCHFYNMSDSHLQLDAQISLHGKKKSPCKRITSFQFFPQDSTKVMVTCADSQVRILQGLNVIGKYRGLKNAANQISACFTSDGKHIISACEDSNIYVWSCVNQEEHVPAQAKSTRSCERFSTNASVAIPWCGFQHGNSENGGGFYVSNDDPPEAVPFSSPAGFSLSQEYFLEPFPKGSATWPEETLPSSSPLSTSSAMHKSQYKFLKASCQSAATSHAWGLVIVTAGWDGRIRSFHNYGLPVYV >Manes.12G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17362888:17365775:-1 gene:Manes.12G091500.v8.1 transcript:Manes.12G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNLQPSWPDFSYQKFMPPSHNPAAVATNTTAAQNPSWVDEFLDFSSARRGTHRRSMSDSIAFLEAPLIEECRGTGGAGVVPNHHHHHHHRGSGHNSSTDFDKFDDEQFMSMFTDDFSNAMAQSCSNPSTPSDHNSFNDDKDTTLSDQKQQKTRNESDEVQSQCQQENQIPPSSTNNTSSDRISDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQNLKNMNNAADPTAPTEKEQQLLQV >Manes.15G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8271567:8273516:1 gene:Manes.15G104300.v8.1 transcript:Manes.15G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPSPLLMIVAGLVSAILVGAQLGYAQLSPTFYDQTCPNVSFIIREVILEAMLTDPRIDASLNRLHFHDCFVNGCDGSLLLDTTPTMEGEKEALGNNNSARGFDVVDTMKARLESACPGVVSCADILTIASQESVTLAGGPTWTNLLGRRDSFNASRAQANLSLPSPFMTLEELIVNFRNVGLPNVTDLVALSGAHTFGQAQCRTFRTRIYDSPELPLNSTYNVTLSRICSRNGTTDANSRTQLDATTPNVFDNQYFSNLQFGRGLLKSDQVLFSTPGSNTTEIVNRFTANRAVFFQSFVDAMIRMGNLRPLTGTEGEIRLNCRRRNGNLAEPGIRMVTSM >Manes.08G137100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37377713:37378480:-1 gene:Manes.08G137100.v8.1 transcript:Manes.08G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQYCSQLHRPRSQKPSKNKKKPVKVTYISNPTMVRATNASEFRAIVQELTGKDSKIVDTWDPCNYAEASQVLVNSETLRLTMDSENADDIFFNCASSSSTLEMNDSFFWKDFSESFFEFQSACAFE >Manes.03G119732.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24608124:24608965:1 gene:Manes.03G119732.v8.1 transcript:Manes.03G119732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLAATWGCAAAAGWWIPCCRVSCPIISLNSAKDASIAASRASWLSAMVELRSDTNLM >Manes.09G094800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28694432:28695133:1 gene:Manes.09G094800.v8.1 transcript:Manes.09G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQETKLSQQHPPESNPSLLPSAKTTWPELVGLTAEEAERKIKEDFPRAEIQVILPDCFVTMDFKQDRVRLYVDGFGKVARIPRIG >Manes.08G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2752787:2759043:1 gene:Manes.08G028800.v8.1 transcript:Manes.08G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTGKLMPNLDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIESNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTENLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNSRECEEVANLFSRILNAYAKVPPKPKVSSSNGEFEELEAVPSMSVIEGPLEPSSTVSAATDGPEDSSFENFFSVAMNIGSSAPNLGNSRQSYHSSATVPLSSQTPNIVSLPSQTPQVPSLPLSSMPTSVTIHNTPDSVSNSNRVTNLVKPSSFFTPPSSALMVPAPLISSPLPTAPALHSPLNLQRPYGIPVLQPFPPPNPPPSLTPSSPTTVPVIHRDKVRDALLMLVQDDRFIDMFYQAMLKVHHS >Manes.09G175700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36693967:36696828:1 gene:Manes.09G175700.v8.1 transcript:Manes.09G175700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNAVGVDNTFRRKFDREEYLERAREREKQEADSRFKSKSKAPPVQRKPLKHRDYEVDLESRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQQRFESLKKRKSPGSFTEQDLDERILKQQQEEEERKRQRRERKKEKKKEKVAEEEEADIDPDVAAMMGFGGFRSSKK >Manes.11G067400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9607129:9614127:1 gene:Manes.11G067400.v8.1 transcript:Manes.11G067400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDENSGRRLYKSYHHHSLLTDKAALLAFRRTVILDPNFRLANWVEAVDVCNFTGVRCDKHRHHIAQLNLSNYELTGPLSPIISNLTGLRALNLVENNFFGLIPPELSSLQHLRHLQLDSNNLTGSLPESFALLSKLTLITLMGNNMKGELPPSFFSNCTSLRNVDLSYNFFTGNIPKEIGNCPDLWTINFYNNQFTGELPESLTNISFSLYNIDVENNYLSGELPANIVGNLPSLSLLHLSYNHMVSHNNNTNLEPFFTALGNCTDLEELELAGMGLTGTLPSSIGQLSSKLSSLMLQENQIYGSIPSDIANLTGLTVLNLTSNSLNGTISAKISHLFNLEQLFLSHNLFTGEIPAALGNIPPTLGHCIDLYKLDLSYNRLIGSIPPEISQIRELRIFLNLSHNQLEGPLPIELSKLENVQEMDVSSNNLTGNIFFQISSCIALRMMNFAHNSLQGNLPDSLGDLKNLESFDVSGNHLSGKIPESLNKIDTLTYLNLSFNNFEGLIPHGGIFNLVTERSFLGNQHLCGTISGMPDCSQKRRWFGTSIFLIIFILIIFVSAFFSTICCFIGIRHIKVMVSSRNSLRTARTGKSTVPKLIHNFPRITYRELAEATEGFDEQKVVGKGSYGRVYKGILPDGTPIAVKVLQLQSENSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALILPYMANGSLDSRLYPHSGTGLGSGFSDLTLIQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGMARLILTVGGGNAGATENMGNSTANSCGSIGYIAPEYGLGSNTSTKGDVYSFGIVVLEIVTRKRPTDEIFVGGLNLHKWVKNHYHCRAEQVIDSSLLRASRDQSPEIKNMWEVAIRELVELGILCTQESPSTRPTMLDAADDLDRLKRYLSGDTTATFASSWEIHHPQ >Manes.11G067400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9607129:9614127:1 gene:Manes.11G067400.v8.1 transcript:Manes.11G067400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDENSGRRLYKISGYHHHSLLTDKAALLAFRRTVILDPNFRLANWVEAVDVCNFTGVRCDKHRHHIAQLNLSNYELTGPLSPIISNLTGLRALNLVENNFFGLIPPELSSLQHLRHLQLDSNNLTGSLPESFALLSKLTLITLMGNNMKGELPPSFFSNCTSLRNVDLSYNFFTGNIPKEIGNCPDLWTINFYNNQFTGELPESLTNISFSLYNIDVENNYLSGELPANIVGNLPSLSLLHLSYNHMVSHNNNTNLEPFFTALGNCTDLEELELAGMGLTGTLPSSIGQLSSKLSSLMLQENQIYGSIPSDIANLTGLTVLNLTSNSLNGTISAKISHLFNLEQLFLSHNLFTGEIPAALGQLSHLGLLDLSNNQFSGEIPASLGDLVRVNYMFLNHNLLSGNIPPTLGHCIDLYKLDLSYNRLIGSIPPEISQIRELRIFLNLSHNQLEGPLPIELSKLENVQEMDVSSNNLTGNIFFQISSCIALRMMNFAHNSLQGNLPDSLGDLKNLESFDVSGNHLSGKIPESLNKIDTLTYLNLSFNNFEGLIPHGGIFNLVTERSFLGNQHLCGTISGMPDCSQKRRWFGTSIFLIIFILIIFVSAFFSTICCFIGIRHIKVMVSSRNSLRTARTGKSTVPKLIHNFPRITYRELAEATEGFDEQKVVGKGSYGRVYKGILPDGTPIAVKVLQLQSENSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALILPYMANGSLDSRLYPHSGTGLGSGFSDLTLIQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGMARLILTVGGGNAGATENMGNSTANSCGSIGYIAPEYGLGSNTSTKGDVYSFGIVVLEIVTRKRPTDEIFVGGLNLHKWVKNHYHCRAEQVIDSSLLRASRDQSPEIKNMWEVAIRELVELGILCTQESPSTRPTMLDAADDLDRLKRYLSGDTTATFASSWEIHHPQ >Manes.11G067400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9607129:9614127:1 gene:Manes.11G067400.v8.1 transcript:Manes.11G067400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEEGFTKVILVSTYRIIEMAFIWAVLLLLQHLVLTSPFTVSGYHHHSLLTDKAALLAFRRTVILDPNFRLANWVEAVDVCNFTGVRCDKHRHHIAQLNLSNYELTGPLSPIISNLTGLRALNLVENNFFGLIPPELSSLQHLRHLQLDSNNLTGSLPESFALLSKLTLITLMGNNMKGELPPSFFSNCTSLRNVDLSYNFFTGNIPKEIGNCPDLWTINFYNNQFTGELPESLTNISFSLYNIDVENNYLSGELPANIVGNLPSLSLLHLSYNHMVSHNNNTNLEPFFTALGNCTDLEELELAGMGLTGTLPSSIGQLSSKLSSLMLQENQIYGSIPSDIANLTGLTVLNLTSNSLNGTISAKISHLFNLEQLFLSHNLFTGEIPAALGNIPPTLGHCIDLYKLDLSYNRLIGSIPPEISQIRELRIFLNLSHNQLEGPLPIELSKLENVQEMDVSSNNLTGNIFFQISSCIALRMMNFAHNSLQGNLPDSLGDLKNLESFDVSGNHLSGKIPESLNKIDTLTYLNLSFNNFEGLIPHGGIFNLVTERSFLGNQHLCGTISGMPDCSQKRRWFGTSIFLIIFILIIFVSAFFSTICCFIGIRHIKVMVSSRNSLRTARTGKSTVPKLIHNFPRITYRELAEATEGFDEQKVVGKGSYGRVYKGILPDGTPIAVKVLQLQSENSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALILPYMANGSLDSRLYPHSGTGLGSGFSDLTLIQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGMARLILTVGGGNAGATENMGNSTANSCGSIGYIAPEYGLGSNTSTKGDVYSFGIVVLEIVTRKRPTDEIFVGGLNLHKWVKNHYHCRAEQVIDSSLLRASRDQSPEIKNMWEVAIRELVELGILCTQESPSTRPTMLDAADDLDRLKRYLSGDTTATFASSWEIHHPQ >Manes.11G067400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9607129:9614127:1 gene:Manes.11G067400.v8.1 transcript:Manes.11G067400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVEEGFTKVILVSTYRIIEMAFIWAVLLLLQHLVLTSPFTVSGYHHHSLLTDKAALLAFRRTVILDPNFRLANWVEAVDVCNFTGVRCDKHRHHIAQLNLSNYELTGPLSPIISNLTGLRALNLVENNFFGLIPPELSSLQHLRHLQLDSNNLTGSLPESFALLSKLTLITLMGNNMKGELPPSFFSNCTSLRNVDLSYNFFTGNIPKEIGNCPDLWTINFYNNQFTGELPESLTNISFSLYNIDVENNYLSGELPANIVGNLPSLSLLHLSYNHMVSHNNNTNLEPFFTALGNCTDLEELELAGMGLTGTLPSSIGQLSSKLSSLMLQENQIYGSIPSDIANLTGLTVLNLTSNSLNGTISAKISHLFNLEQLFLSHNLFTGEIPAALGQLSHLGLLDLSNNQFSGEIPASLGDLVRVNYMFLNHNLLSGNIPPTLGHCIDLYKLDLSYNRLIGSIPPEISQIRELRIFLNLSHNQLEGPLPIELSKLENVQEMDVSSNNLTGNIFFQISSCIALRMMNFAHNSLQGNLPDSLGDLKNLESFDVSGNHLSGKIPESLNKIDTLTYLNLSFNNFEGLIPHGGIFNLVTERSFLGNQHLCGTISGMPDCSQKRRWFGTSIFLIIFILIIFVSAFFSTICCFIGIRHIKVMVSSRNSLRTARTGKSTVPKLIHNFPRITYRELAEATEGFDEQKVVGKGSYGRVYKGILPDGTPIAVKVLQLQSENSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALILPYMANGSLDSRLYPHSGTGLGSGFSDLTLIQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGMARLILTVGGGNAGATENMGNSTANSCGSIGYIAPEYGLGSNTSTKGDVYSFGIVVLEIVTRKRPTDEIFVGGLNLHKWVKNHYHCRAEQVIDSSLLRASRDQSPEIKNMWEVAIRELVELGILCTQESPSTRPTMLDAADDLDRLKRYLSGDTTATFASSWEIHHPQ >Manes.11G067400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9607129:9614127:1 gene:Manes.11G067400.v8.1 transcript:Manes.11G067400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDENSGRRLYKISGYHHHSLLTDKAALLAFRRTVILDPNFRLANWVEAVDVCNFTGVRCDKHRHHIAQLNLSNYELTGPLSPIISNLTGLRALNLVENNFFGLIPPELSSLQHLRHLQLDSNNLTGSLPESFALLSKLTLITLMGNNMKGELPPSFFSNCTSLRNVDLSYNFFTGNIPKEIGNCPDLWTINFYNNQFTGELPESLTNISFSLYNIDVENNYLSGELPANIVGNLPSLSLLHLSYNHMVSHNNNTNLEPFFTALGNCTDLEELELAGMGLTGTLPSSIGQLSSKLSSLMLQENQIYGSIPSDIANLTGLTVLNLTSNSLNGTISAKISHLFNLEQLFLSHNLFTGEIPAALGNIPPTLGHCIDLYKLDLSYNRLIGSIPPEISQIRELRIFLNLSHNQLEGPLPIELSKLENVQEMDVSSNNLTGNIFFQISSCIALRMMNFAHNSLQGNLPDSLGDLKNLESFDVSGNHLSGKIPESLNKIDTLTYLNLSFNNFEGLIPHGGIFNLVTERSFLGNQHLCGTISGMPDCSQKRRWFGTSIFLIIFILIIFVSAFFSTICCFIGIRHIKVMVSSRNSLRTARTGKSTVPKLIHNFPRITYRELAEATEGFDEQKVVGKGSYGRVYKGILPDGTPIAVKVLQLQSENSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALILPYMANGSLDSRLYPHSGTGLGSGFSDLTLIQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGMARLILTVGGGNAGATENMGNSTANSCGSIGYIAPEYGLGSNTSTKGDVYSFGIVVLEIVTRKRPTDEIFVGGLNLHKWVKNHYHCRAEQVIDSSLLRASRDQSPEIKNMWEVAIRELVELGILCTQESPSTRPTMLDAADDLDRLKRYLSGDTTATFASSWEIHHPQ >Manes.14G002700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1473942:1477052:-1 gene:Manes.14G002700.v8.1 transcript:Manes.14G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDYTNGTVEAEHSFSVLLEYAADNDVEGFKRSVCDESEIMEVGLWYGHQRLFKRGILELRTPLMVAATYGSVEVVKLILSLPEVDVNFSCGTDKSTALHCAAAGGSVDAINAVKLLLLAGADPNSTDANGHRPIDVVVASPNFPYLKTALVKLLKNSVNHWDLQFSPSISLSSEDGSSSSVSGSVLSPTTSKLHDVRVSSAKKEYPVDPTVPDIMSSIYTTDEFRMFSFKIQPCSRAYSHDWTECPFVHPGENARRRDPRRFHYSCLPCPDHRKGACRRGDLCEYSHGIFECWLHPAQYRTRLCKDGTSCIRRVCFFAHTSDELRPLYMSNGAAAADFTAALSNLSGSPSAVSAMSPLSFTPPISPSSNDLCLSMSWPQCNILNLKTPSNNLQASRLRTPLNAKDIPSEEFNRFQDFELLQLRLLNELSCVSQPHYNSSSATLKQLNATDVDRFLSSKVSSLQNTYQLGAAPVFFPSYNPAVINEFQPQSIPSPIKTGVFSPKNVDYSPLQASFDSSSPMKMSPRLNELTSPMSSQLPTLPQSEKLQLQLGSLRSQELGVNADWSIRADEVGLLQKLCSNEKSVDEPDVSWVQSVLKESPSETEEKQISFRFS >Manes.13G079400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13259928:13285100:-1 gene:Manes.13G079400.v8.1 transcript:Manes.13G079400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTKLKKRQIVKERDCEDWCFVCKDGGDLILCDFNDCLKVYHPGCVGKDDSIGEHWTCDRHSCLMCHKSPKFYCYCCPNAVCGQCLIAAEFAPVRGKKGLCDECLELVLLVEDKEDLDIDGGKIDLKDRNTYECLFLEYWDIIKEDEGLNLEDVYSADARLKKGQLSKCSSKPKMIGKGKKDTTVILSDSELDDTEEFERIVKGKGSRKMEFMGWGSKPLIEFLGSIGKDTKKELSRYDVHSIICEYIQEKRLLDPRKRKRILCDERLYSVFRRRSMNKNKLYNLLEAHFVVNLDLSDDDDEKLDKAEICALGKNEKTFTIHKKQKTISSNGKLQGLEIDPRVQESCFASIVSENIRLVYLRKSLVQELLKDPQSFEQKVVGSFVRVKNDRRDCWLRNSHQLLQVTGIKQISTTSENDGDIVLRVSNFPTDVFISMLSDSDFCEEEIDDLKQKVEKEFLPKPTVVELEQKAKYLHEYIMKDWIQKELIRLQKRIDFANEKGWRRELDEYLQLLEHLKKPSEQERLMKQSPKVKAELVQCKTCSVEVVESSPDHDETSVNPCGDLDH >Manes.13G079400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13259928:13285100:-1 gene:Manes.13G079400.v8.1 transcript:Manes.13G079400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVDNASLLLSLHLLEGRKGYATSVWSLYCLLKIKRIWTLMGYVNYPGKIDLKDRNTYECLFLEYWDIIKEDEGLNLEDVYSADARLKKGQLSKCSSKPKMIGKGKKDTTVILSDSELDDTEEFERIVKGKGSRKMEFMGWGSKPLIEFLGSIGKDTKKELSRYDVHSIICEYIQEKRLLDPRKRKRILCDERLYSVFRRRSMNKNKLYNLLEAHFVVNLDLSDDDDEKLDKAEICALGKNEKTFTIHKKQKTISSNGKLQGLEIDPRVQESCFASIVSENIRLVYLRKSLVQELLKDPQSFEQKVVGSFVRVKNDRRDCWLRNSHQLLQVTGIKQISTTSENDGDIVLRVSNFPTDVFISMLSDSDFCEEEIDDLKQKVEKEFLPKPTVVELEQKAKYLHEYIMKDWIQKELIRLQKRIDFANEKGWRRELDEYLQLLEHLKKPSEQERLMKQSPKVKAELVQCKTCSVEVVESSPDHDETSVNPCGDLDH >Manes.13G079400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13259928:13285100:-1 gene:Manes.13G079400.v8.1 transcript:Manes.13G079400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHKSPKFYCYCCPNAVCGQCLIAAEFAPVRGKKGLCDECLELVLLVEDKEDLDIDGGKIDLKDRNTYECLFLEYWDIIKEDEGLNLEDVYSADARLKKGQLSKCSSKPKMIGKGKKDTTVILSDSELDDTEEFERIVKGKGSRKMEFMGWGSKPLIEFLGSIGKDTKKELSRYDVHSIICEYIQEKRLLDPRKRKRILCDERLYSVFRRRSMNKNKLYNLLEAHFVVNLDLSDDDDEKLDKAEICALGKNEKTFTIHKKQKTISSNGKLQGLEIDPRVQESCFASIVSENIRLVYLRKSLVQELLKDPQSFEQKVVGSFVRVKNDRRDCWLRNSHQLLQVTGIKQISTTSENDGDIVLRVSNFPTDVFISMLSDSDFCEEEIDDLKQKVEKEFLPKPTVVELEQKAKYLHEYIMKDWIQKELIRLQKRIDFANEKGWRRELDEYLQLLEHLKKPSEQERLMKQSPKVKAELVQCKTCSVEVVESSPDHDETSVNPCGDLDH >Manes.S095425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251225.1:319799:322580:-1 gene:Manes.S095425.v8.1 transcript:Manes.S095425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSVPDLGLTPSIPKTAKSITHVTSGARLRTNSIHPKNCQVYHPCYIRCPISD >Manes.11G132700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29718752:29720069:-1 gene:Manes.11G132700.v8.1 transcript:Manes.11G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFDPWPVFFKREFNRNWPFLVGFAVTGTLITKFSLSLTEEDAKNSPFVQRHKK >Manes.14G101650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8422666:8424010:-1 gene:Manes.14G101650.v8.1 transcript:Manes.14G101650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANEFINTKIRNICWKNWIICLLSCLMVFKDTYFYPAWCFEWFTGSRSSNHVHKGGVVLWMVHLQESIKKLVTPIAPRGFRAPSIPPSQASLAVDLFGLQLLPLHSRFRMILESQLILRIFTFSDVRWIASSSLNLPQKVLSYCSSHFYYFY >Manes.11G033800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3230092:3232146:-1 gene:Manes.11G033800.v8.1 transcript:Manes.11G033800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQIHFKILGLQGFLDEQFLQLEELQDEANPNFVEEVVTLYYRDSARLILNIEQALERNPLDFNKLDILMHQFKGSSSSIGAKKVKAECTLFREYCRAGNGEGCIRTFQQLKKEYTTLKKKLESYFQLARQVGPAETACRPK >Manes.11G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3230092:3232146:-1 gene:Manes.11G033800.v8.1 transcript:Manes.11G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNQLHRQVALSRQPLFDQGFLDEQFLQLEELQDEANPNFVEEVVTLYYRDSARLILNIEQALERNPLDFNKLDILMHQFKGSSSSIGAKKVKAECTLFREYCRAGNGEGCIRTFQQLKKEYTTLKKKLESYFQLARQVGPAETACRPK >Manes.17G075650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27624241:27626908:-1 gene:Manes.17G075650.v8.1 transcript:Manes.17G075650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIQINSHPTNELKRPELESGSRSTPDRRFEEGMPQPSPQPNQHLTCRHGDSVVLTEKNRKGEGILFSTEEMIKFWSGRPKR >Manes.01G158400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34392517:34392945:-1 gene:Manes.01G158400.v8.1 transcript:Manes.01G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATPTLQSSGFEYVNQSIFYDPLLGFFAFTSIPTSEFCGSSLLKLEAEKQDRRGGYL >Manes.01G158400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34392294:34393267:-1 gene:Manes.01G158400.v8.1 transcript:Manes.01G158400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGSGFEYVNQSIFYDPLLGFFAFTSIPTSEFCGSSLLKLEAEKQDRRGGYL >Manes.01G116000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31312352:31336629:-1 gene:Manes.01G116000.v8.1 transcript:Manes.01G116000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLESEMESMGLQSSDDDLDQAEDLCRETDVPFQEKVDTADSWRETINKVVPAVVVLRVTACRSFDTELPSSGSATGFVVDKQRGIILTNRHVVKPGPIVAQAIFVNHEEIPVYPIYRDPVHDFGFFRYDPGAIQFLNYEEIPLAPEAACVGLEIRIVGNDSNEKVSILAGTLARLDRDAPTYRKDGYNDFNTFYIQAASGTRGGSSGSPVIDRQGKAVALNGGGKNNRSSSSSAFFLPLERVVRTLKLIQKGKDSNMSRWGTVFISRGTLQVTFRYKGFDEVRRLGLKRETEQMVRQASPTGETGMLVVHSVVPGGPSHTKLEPGDVLVLVNGEVTTQFLKLETLLDDNVDHEVELQIERGGTSLTINIVVQDLHSITPNHFLEVSGAVIHPLSYQQARNFRFHCGLVYVSDPGYMLFRAGVPRHAIITKFADVEILQLEELISVFSKLSRGARVPLEYISYKDRHRTKSVLVTMDRHEWYDIPKIYTRDDSSGLWIARPAIPLNSLLLASCVSDLGQGLKNEMSELSCEYTVVENMPQVNDMELTNSITSMDANYDHDSKGAYFGDENDVSTKTQVLGDLSRSGIGLVDSSSCEIGEIKLEDPMIMESANSSPHESTTKAATNGLFPECVIEPSLVMLEVNVPPSCLLDGVHTQHFFGTGVIVHHSQEMGLVAVDKNTVAISASDVMLSFAAYPIEIPGEVVFLHPVYNYALVAYNPSALGALGASMVHAAELLPKPELSRGDTVYLVGLRSLKAISRKSTVTNPCLSLHVSSSDPPCYRATNMEVIELDSDFGNAFTGVLSNEHGKVQAIWASFSSSAKPKSGGSLPFVRGIPIYMVSQVLDKIISGGDGPSLLINGVKRPRPLVRTLEVELIPRLLSKARSFGLNDDWIQALVKKDPIKQQVLRVKGCLAGSKAENLLKQGDMVLAINKEPVTCFQDIENACKALEKCGDNDGKLSLTIFRQGHEVDLLVGTDIRDGNGTTRMLNWCGCILQYPHPAVRALGFLPEEGHGVYVTKSYRGSPADRYGLCSLRWIVEINGRPTPDLDTFVSVTKELGSEEFVRIRTIKLNGRPQVLTLKQDLHYWPTWELRFDPDTAIWRRKIIKALQ >Manes.01G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28099762:28103532:-1 gene:Manes.01G077900.v8.1 transcript:Manes.01G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLPQTLGKTIPDAWDYKDCPAERSKTGGWGSAAMILGGEACERLTTLGIVVNLVTYLTGTMHLGNATSANTVTNFLGTSFMLCLLGGFIADTFVGRYLTIAIFATVQALGITVLTISTAVPSLRPPKCVNDTGCVPANSKQLTILYLALYMYALGTGGLKSSVSGFGSDQFDETDDKEKKQMTSFFNWFFFLINIGSLGAVTILVYIQDHVGRKWGYGICACAIVLGLIVYLSGTRQYRFKKLAGSPLTQIATVFVAAWRKRHLEMPSDPSFLYDVDFIEEGHKNKQRLPHSKQLRFLDKAAIKDPKINVVNKWNLSTLTDIEEVKLVLRMLPIWATTIMFWTVYAQMTTFSVAQATTMDRHIGKSFQIPAASLTVFFVGSILLTVPVYDWVIAPIAKKVLKNQYGLTPLQRIAVGLVFSIVAMIAAALCELKRLRAARSNGLTNDPTAEIPLSVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSLLVSIVHKVTAHGPWLADNLNQAKLYNFYWLLAILSALNFLIFLVCYKWYVYKDERLAESGIELEELDEPAMH >Manes.12G063500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6707148:6713794:1 gene:Manes.12G063500.v8.1 transcript:Manes.12G063500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAEIKPRDVCIVGVARTPMGGFLGLLSTLPATKLGSIAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARRGSRLGHDSLIDGMLKDGLWDVYNDVGMGSCAEICADKHSITREDQDNYAIHSFERGIAAQESGAFAWEIVPVEVSGGRGKPSITVDKDEGLGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVSNAGLDSSQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVLELL >Manes.12G063500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6707188:6713182:1 gene:Manes.12G063500.v8.1 transcript:Manes.12G063500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAEIKPRDVCIVGVARTPMGGFLGLLSTLPATKLGSIAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARRGSRLGHDSLIDGMLKDGLWDVYNDVGMGSCAEICADKHSITREDQDNYAIHSFERGIAAQESGAFAWEIVPVEVSGGRGKPSITVDKDEGLGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVSNAGLDSSQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVLELL >Manes.12G063500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6707144:6713794:1 gene:Manes.12G063500.v8.1 transcript:Manes.12G063500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAEIKPRDVCIVGVARTPMGGFLGLLSTLPATKLGSIAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARRGSRLGHDSLIDGMLKDGLWDVYNDVGMGSCAEICADKHSITREDQDNYAIHSFERGIAAQESGAFAWEIVPVEVSGGRGKPSITVDKDEGLGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVSNAGLDSSQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVLELL >Manes.12G063500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6707188:6713677:1 gene:Manes.12G063500.v8.1 transcript:Manes.12G063500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAEIKPRDVCIVGVARTPMGGFLGLLSTLPATKLGSIAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARRGSRLGHDSLIDGMLKDGLWDVYNDVGMGSCAEICADKHSITREDQDNYAIHSFERGIAAQESGAFAWEIVPVEVSGGRGKPSITVDKDEGLGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVSNAGLDSSQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVLELL >Manes.12G063500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6707773:6713197:1 gene:Manes.12G063500.v8.1 transcript:Manes.12G063500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAEIKPRDVCIVGVARTPMGGFLGLLSTLPATKLGSIAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARRGSRLGHDSLIDGMLKDGLWDVYNDVGMGSCAEICADKHSITREDQDNYAIHSFERGIAAQESGAFAWEIVPVEVSGGRGKPSITVDKDEGLGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVSNAGLDSSQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVLELL >Manes.12G063500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6707188:6713677:1 gene:Manes.12G063500.v8.1 transcript:Manes.12G063500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAEIKPRDVCIVGVARTPMGGFLGLLSTLPATKLGSIAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARRGSRLGHDSLIDGMLKDGLWDVYNDVGMGSCAEICADKHSITREDQDNYAIHSFERGIAAQESGAFAWEIVPVEVSGGRGKPSITVDKDEGLGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVSNAGLDSSQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVLELL >Manes.10G078100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18175318:18176775:-1 gene:Manes.10G078100.v8.1 transcript:Manes.10G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSRQLHIFFFPFMAHGHMIPTVDMAKLFASRGVKATIITTPLNSLLFSKTIERNKNLGVDIDLRILNFPSMEAGLPEGFENMDSVTSHANAGELIIKFFHAVSMLQEPLEKLLQECQPDCLVADMFFPWATDAAAKFGIPRLVFHGIGFFSLCTGLVIKQYKPHKKVSSDSEPFVVPHLPGDIKLTRKRLPDTVRQEVETELTKLVEASEESETKSFGVVINSFYELEPAYADFYRKVLGRRAWHIGPVSLCNRVIEDKAQRGKQASIGEHECLKWLDSNKPNSVIYICFGSVANFSASQLMEIAMALESSKQQFIWVVRRDKNYKEDEEKWLPEGFEERMKEKGLIIRGWAPQVLILDHEAIGGFVTHCGWNSTLEGITAGKPMVTWPVSAEQFYNEKLVTDVLKIGIGVGVKEWVRLRGDFVERKAIEKAISRVMEGEEAVEMRSRSKKLGEMAREAVEEGGSSYSDFNALVEELQRRRP >Manes.01G066400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26668184:26671460:1 gene:Manes.01G066400.v8.1 transcript:Manes.01G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSTLLSPRHRLRSESPAQFQACHFHLPSMSTQRLDLPCTTFSRKESSRSQPIRPVGVGLSVDKSLESKTSSCSLKQNIRLPPLATSTQSVKNEFWDKGKSLKRFAEQGSVDESCTNRAKRKRGSNDNGNSGDVHEGGNFWFQSGFEVPRSLNPPQVPFSLTCSGDEERVCFVPGEVISPPLPPSNNPWLDSVITEITGFGEKDAESSQRRPVKEASGSSASSESHSLGLRLSENVVEHEVGNGSRNPHPQQGTAAEVAEENHQEYQAFELVSLLTACVEAIGSKNMALINHCIAKLGDLASPRGSAFKRLSAYFTEALALRVTRLWPHIFHITTPREFDRVDEDSGTALRLLNQVSPIPKFIHFTANEILLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRTNPPSHIRITGIGESKQELNETGDRLARFAEAFNLPFEFHPVVDRLEDVRLWMLHVKEGECVAINCIFQMHKTLYDGNGGVLRDFLGLIRSTNPTAVLMAEQEAEHNAPNLEGRVCNSLKYYSAIFDSIDYSLPLDSPVRFKIEEMFAREIRNIVACEGSDRLERHESFEKWRKLMEQGGFRCTGISEREVLQSQMLLKMYSCEDYRAQQGQDKAALTLSWLDQPLYTVSAWAPLDVAGSSSSFSQPS >Manes.16G067901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27025884:27029655:1 gene:Manes.16G067901.v8.1 transcript:Manes.16G067901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCTANLKIVLTQSTTPKPHSADPSRLPFNKKLHNVKDPLGKIRDLLKKDENKLPVSSYDTAWVAMVPSQNGYKQPLFPECLDWIMENQQPDGSWALDPTHPLLIKDSLSSTLACLLALHKWDAGDQLVNKGLDFIASNIWAATDQHQLSPLGFDIIFPGMIEHARDVGLNLPINDSSIEGMLSKRDLEIKSFQGEINKLAYFAEGLTRLNDWQKLMKYQSSNGSLFNSPSATAAALTHLHDEKCLDYLHSLVTKFDKAVPTLYPLDIYSRLYMIDSLAKLGIDRHFTEEIATTLDDIYRSWKQGNEEIFSNPGCCAMAFRLLRMNGYEISSDPLENFDKQENMLNSVSDVKSVLELYKASQMTIFQNEPVLERIYAWTSTYLEEKAASAGEIQDKSLQNDVDYTLKHPYANLERIESRRYMENYHLDKVSLLKTSYRCLNIDKRDLLTFSFQDFNECQAMHRKELDYLERWMKEYNVGKLEFARQKVAYAYFSIAAVLPHPEFSDARISWAQNTVLTTVVDDFFDFAGSMEELLNLIELLQRWDEHSAVGFMSKDVEILFYAIYGTTNDLAEKASKQQGRCVKKHLIDIWITLLNTMLKEAEWARNKLVPTMYEYMTNAYVSFALGPVILISLYFLGCKLSEQVVQSQEYDNLFINVSIIGRLLNDRVTVKREGAQGKLNGASLPIIHGRGAITEKEAEEEVERLIESHRRELLRMVQQTEGSVVPKVCKDLYWKMSKILHLFYMGDDAYSSPHKMVGPVNAIVNEPILLPPYSKLD >Manes.06G100500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23448870:23450694:1 gene:Manes.06G100500.v8.1 transcript:Manes.06G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSMPCHSMYDSQSHSNHHQSNLPSVCSQSSLPSIPSLSSSSQRHHQHFLPNVHHRCLTTLKGHTTYVSSLTLTGKFLYSGSSDKEIRSWKRNHLYSELDHEILCNNVVAVGKGAVKSLVVLADKLFSAHQDHKIRVWKINNNQETDQQKHTHLATLPTLGDRALNIFLPKNQVQIRRHKTCTWVHHADTVSALALSKDKSLLYSVSWDRTLKIWRTNDFKCLESISRAHDDAINAVAASSDGDVYTGSADRKIKVWRSSGEKKHSLVATLEKHSSGINALALSNDGSILYSGACDRTIVIWEKDVGGGSGMVAVGALRGHTQSVLCLAVVCDLVCSGSADKTIRVWRCDDRNGCCLAVLEGHKGPVKCLTATIDHQNPSNTSYLIYSGNLDCDIKVWQLNVPTDL >Manes.02G042300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3457590:3463397:-1 gene:Manes.02G042300.v8.1 transcript:Manes.02G042300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLLDFQSVSLSELAIFGRTYWSPFSTLRHTAGVRFSTGSCRGISVKVSSSSTSNGTYLSKKPVSSDDQEKTAPEKYLYRINGANGSNSSLFSGRMKVLDAFDDEYGGVVVDSKNLPKNSDDFASALHFSLSHWKITEGFQYHHAEPAYVMLTYWLPEEPCMLPANATHQVGVGGFVINDKNEILVVQEKFCAPSFFGLWKIPTGFIHESEEIYTGAVREVKEETGIDTEFIEVVAFRHAHNLAFDKSDLFFVCMLKPLSTQIIVDDLEIQAAKWMPLLEFVKQPLIEGDSMFKKIIDICIARLGKRYCGLSKHQLVSKFDGRLSCLYYNVIDAADVNCRGN >Manes.02G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3457590:3463397:-1 gene:Manes.02G042300.v8.1 transcript:Manes.02G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLLDFQSVSLSELAIFGRTYWSPFSTLRHTAGVRFSTGSCRGISVKVSSSSTSNGTYLSKKPVSSDDQEKTAPEKYLYRINGANGSNSSLFSGRMKVLDAFDDEYGGVVVDSKNLPKNSDDFASALHFSLSHWKITGKKGIWLKLPLERSELVPIAVKEGFQYHHAEPAYVMLTYWLPEEPCMLPANATHQVGVGGFVINDKNEILVVQEKFCAPSFFGLWKIPTGFIHESEEIYTGAVREVKEETGIDTEFIEVVAFRHAHNLAFDKSDLFFVCMLKPLSTQIIVDDLEIQAAKWMPLLEFVKQPLIEGDSMFKKIIDICIARLGKRYCGLSKHQLVSKFDGRLSCLYYNVIDAADVNCRGN >Manes.02G042300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3457590:3462365:-1 gene:Manes.02G042300.v8.1 transcript:Manes.02G042300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLDAFDDEYGGVVVDSKNLPKNSDDFASALHFSLSHWKITGKKGIWLKLPLERSELVPIAVKEGFQYHHAEPAYVMLTYWLPEEPCMLPANATHQVGVGGFVINDKNEILVVQEKFCAPSFFGLWKIPTGFIHESEEIYTGAVREVKEETGIDTEFIEVVAFRHAHNLAFDKSDLFFVCMLKPLSTQIIVDDLEIQAAKWMPLLEFVKQPLIEGDSMFKKIIDICIARLGKRYCGLSKHQLVSKFDGRLSCLYYNVIDAADVNCRGN >Manes.14G084950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7028655:7030106:1 gene:Manes.14G084950.v8.1 transcript:Manes.14G084950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQKSSLKQYMPRLLVNIHATSLSKRPIRRWLEKKVVTELSRMLLKVETDENSTVYIDARPKGNELVYQVENNGGLVNATAGQKAEVLIQIPSIPRNDAAQAVKKMMRRLE >Manes.02G034700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2870656:2873619:1 gene:Manes.02G034700.v8.1 transcript:Manes.02G034700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVLSLVVLLFALYTPGMAGSASSPADFIKASCKATQYPDLCVQCLSGYASAIQQNEQHLALTALSVSLSRAKSAAAFVAKLTKVRGIKPREYQAVRDCIENMGDSVDRLSQSIRELGHMERAVGRDFVWHMSNVQTWVSAALTDENTCLDGFAGHFMDGNVKIAIKHRITDVAKVTSNALALVNHFASRHRLSGSGKKP >Manes.11G059100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7168333:7171021:1 gene:Manes.11G059100.v8.1 transcript:Manes.11G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPSLSSLCYFNFDVKLSCFLLWIQHFGNSFSLPSRVPFISCKNYEFLGSMPWMIVLFSLDLEFF >Manes.05G166301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27842649:27844815:1 gene:Manes.05G166301.v8.1 transcript:Manes.05G166301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALPSPYLQSQFQPLRSSSKPCSRRSFVLPIKASISEKPSIAASPRSVSPVEPSKLPFRKIPGDYGLPFIGPIKDRLDYFYNQGKEEYFKSRAQKYQSTVFRANMPPGPLIASNPHVVVLLDGKSFSVLFDVTKVEKKDLFTGTFMPSTDLTGGYRILSYLDPSEPKHTQLKNFLFYLLKARRDHVIPEFSSTYTGLFESLEKDLASKGKVGFNDPGEQAAFSFLGRCYFGVDPVNTKVGTDGPSLIAKWQLFQLAPILTLGLPAFIEEPTIHTFRLPPQLVKKDYQRLYDYFYSSAGSLLDEAEKMGLSREEACHNILFATCFNTFGGLKIFFPNILKWIGRAGVKLHTQLAQEIRSVLKSNGEQITMAALEQMPLMKSTVYEAFRIEPPVRAQYGKAKRDLVIESHDAAFEVKEGEMIFGYQPFATRDPKIFDRPDEYIPDRFVGDGEKLLKHVLWSNGPETEHPTVGNKQCAGKDFVVFISRLFVVELFRRYDSFEIEVGSSALGSSITITSLKRASF >Manes.16G091600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29790037:29790812:-1 gene:Manes.16G091600.v8.1 transcript:Manes.16G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFFSLLLFIFLSFTPSSAAPNFSQNAQQDLVRSSCLHASYPTLCIHTLSSYSGPANTPRDLAQAAVKVSLARASKASKYLSSLSGLKAKRERVALSDCVEQISDAVEELSSTLDELKHLRSETFRWQMSNAETWVSAALTNEDTCLDGFEGVESKVKSDVKRKIRNMARVTSNALYMINRLDENSGGRPSVDNP >Manes.01G201600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37461163:37463283:-1 gene:Manes.01G201600.v8.1 transcript:Manes.01G201600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDKAKMVFEETELRLGLPGNNGGGSEGEVARKRGFSDTVDLKLNLSSKDPVIDSNGNTDNLPRENNLLATDPEKPPAKAQVVGWPPVRSFRKNMLSVQKSSTEDCEQKLNATFVKVSMDGAPYLRKVDLKMYKSYQELSDALGKMFSSFSTIGNCGSQGMKDFLNESKLIDLLNGTDYVPTYEDKDGDWMLVGDVPWEMFAESCKRLRIMKGTEATGLAPRAMEKRKNRS >Manes.15G042000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3241322:3248482:1 gene:Manes.15G042000.v8.1 transcript:Manes.15G042000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFKLGRKIGSGSFGELYLGINVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEAEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEFLCKNYPSEFTSYFHYCRTLRFEDSPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRARPSSKPAVNPGPSAERVERPSVGQEIRDRFSGAVEAFARRNGSGHALHGDHSRHRSSDDVPSSKDVHPDPERPRSSSRNGSTSKRAVVSSSRPSSSGEPSENRSSRLLSNSGRLSMTHRMQPGFESKSSSFTRATATRGGRDDTLRSFELLSIGTGKRK >Manes.07G049800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5802453:5808454:-1 gene:Manes.07G049800.v8.1 transcript:Manes.07G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQHLSISISSSSSSFLASSGFTYRNRDVSLPSKNFGICRCVAIPQEEKITYKTKVSRNANMAKLQAGYLFPEIARRRNAHLQKYPDSKVISLGIGDTTEPIPEVITSAMAKRSQALSTLEGYSGYGAEQGEKPLRAAIASTFYGGLGIEEDDIFVSDGAKCDISRLQVLFGSEVTIAVQDPSYPAYVDSSVIMGQTGLYEKDAEKFQNIEYMRCNPENGFFPDLSKVSRTDIIFFCSPNNPTGSAATREQLTRLVQFAKDNGSIIVYDSAYAMYITEDKPRSIFEIPGAKEVALETASFSKYAGFTGVRLGWTVVPKELLFSDGFPVAKDFNRIVCTCFNGASNVVQAGGLACLSPEGRNAMQKVVGFYKENADIIMDTFNSLGFNVYGGRNAPYVWVHFPGRSSWDVFSEILEKTHVVTTPGSGFGPGGEGFIRVSAFGHRDNVLEACRRFKQLYK >Manes.07G049800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5802453:5808454:-1 gene:Manes.07G049800.v8.1 transcript:Manes.07G049800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQHLSISISSSSSSFLASSGFTYRDVSLPSKNFGICRCVAIPQEEKITYKTKVSRNANMAKLQAGYLFPEIARRRNAHLQKYPDSKVISLGIGDTTEPIPEVITSAMAKRSQALSTLEGYSGYGAEQGEKPLRAAIASTFYGGLGIEEDDIFVSDGAKCDISRLQVLFGSEVTIAVQDPSYPAYVDSSVIMGQTGLYEKDAEKFQNIEYMRCNPENGFFPDLSKVSRTDIIFFCSPNNPTGSAATREQLTRLVQFAKDNGSIIVYDSAYAMYITEDKPRSIFEIPGAKEVALETASFSKYAGFTGVRLGWTVVPKELLFSDGFPVAKDFNRIVCTCFNGASNVVQAGGLACLSPEGRNAMQKVVGFYKENADIIMDTFNSLGFNVYGGRNAPYVWVHFPGRSSWDVFSEILEKTHVVTTPGSGFGPGGEGFIRVSAFGHRDNVLEACRRFKQLYK >Manes.12G100500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25606508:25613845:-1 gene:Manes.12G100500.v8.1 transcript:Manes.12G100500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSLTITAPQKRQQQQQQQQQLSMCYLRGLFHQVKAFQFFLVLSCTIFCLATCGPCLMDGMQKSKKHDGCGAYGDDHDLGFQDIIVADASSGYDTGSPVTRMNIKNICTNSHSFCFPSTLHGLPSYEQEYKADALEFSRSHPDSLSSVGPTQDSKGASNRSWFSDSGMFELSNGQTVSCSLNSIEDINQLLCVQNSSANQNDFSSCGGPLIIKKSASLRLTSNSEVTKSSPLHVSSSPHVKISPPVLDWGRKHLHFPSVAFLTVANTCNNSLLYVYEPFSTNIQFYPCNHSKFFLGPGEVASVCFVFLPRWLGLSSAHLILQTSSGGFLVQVKGYALESPYKISPVIKVDAASSGRLIRNLSLFNPYNENLHVREISAWILVSQGNISHHTEAICSIGNYQDSDRLSLSVKDWLVVKSGQVDFPLMAMRPHEDWEIGPYGSGTVLEIDFSFESEAQFVGSFCMQLLRSSQDKPDTVLVPLEINVDGKVAYQDFASSVSVSLEALVSCDASNTFVAISLRNGAPDVLTFVKIREVAAENVFQFKYIQGLLLFPGTVTQVATITCTQLLVELHDSPTELSNIYKNCKLVVLTNDSSRPQIEIPCQNVIHICSRHQKDSSIGIGHQSEKADSGNKWSGSLDSSTKLPSKIMELENVEADEFVLENWKSQGITSSMSVLDDHEVLFPMVQVGTQHSKWITVKNPSELPVVMQLILNSGEIVDECKGTDGFIQSFSLSSLAHSEFKTTRYGFSMPEDAQTIAYVHPYGTASFGPIFFHPSSRCGWTSSALIRNNLSGVEWLSLRGVGASLSLVLLDGSEPIQSIEFNFNLSFPLNFSSPDLLFHMEETTYACSRPLSKELYAQNIGDLPFEVKRIEISGTVCGLDGFVVHNCNGFSLEPGESTKLLISYQSDFYAPILQRDLKLALASGIFVIPMRASLPVYMFNLCKKSVFWMRLKKFSAVVFLSTSLMFLICCCIFPQLMNFGSHDYWYKSDRSSHTTVRDPGKSARQDLNQKSRKLSMSPETDGLLSSVEGKTSKQASGYNYPDSQLRGPDHEITVENGIPTAENHKALPSLLSKSVVIGSPNAVEASQPCNLHVRTGKEKGRRRRKRKGVAAGLAGLFEVSSSQSGNSTPSSPLSPVTNVTPNRTLSPPPDMESIEARNPFSQVADQQCKKVQAAVSISKASVLEPKVSLNCCTSNRFSATTEQLSVPRKTTGKPVLLPSATFPSGSRTVPNLLYPSSPASKSAIAPHARAPGPKLYKQKEVEEKVGDEYTYDIWGDHFSGLHLIGSSKDVTTMKTITENNSNSFFVRGPQALVMKYPPKSVNFFQDEG >Manes.12G100500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25605042:25613846:-1 gene:Manes.12G100500.v8.1 transcript:Manes.12G100500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSLTITAPQKRQQQQQQQQQLSMCYLRGLFHQVKAFQFFLVLSCTIFCLATCGPCLMDGMQKSKKHDGCGAYGDDHDLGFQDIIVADASSGYDTGSPVTRMNIKNICTNSHSFCFPSTLHGLPSYEQEYKADALEFSRSHPDSLSSVGPTQDSKGASNRSWFSDSGMFELSNGQTVSCSLNSIEDINQLLCVQNSSANQNDFSSCGGPLIIKKSASLRLTSNSEVTKSSPLHVSSSPHVKISPPVLDWGRKHLHFPSVAFLTVANTCNNSLLYVYEPFSTNIQFYPCNHSKFFLGPGEVASVCFVFLPRWLGLSSAHLILQTSSGGFLVQVKGYALESPYKISPVIKVDAASSGRLIRNLSLFNPYNENLHVREISAWILVSQGNISHHTEAICSIGNYQDSDRLSLSVKDWLVVKSGQVDFPLMAMRPHEDWEIGPYGSGTVLEIDFSFESEAQFVGSFCMQLLRSSQDKPDTVLVPLEINVDGKVAYQDFASSVSVSLEALVSCDASNTFVAISLRNGAPDVLTFVKIREVAAENVFQFKYIQGLLLFPGTVTQVATITCTQLLVELHDSPTELSNIYKNCKLVVLTNDSSRPQIEIPCQNVIHICSRHQKDSSIGIGHQSEKADSGNKWSGSLDSSTKLPSKIMELENVEADEFVLENWKSQGITSSMSVLDDHEVLFPMVQVGTQHSKWITVKNPSELPVVMQLILNSGEIVDECKGTDGFIQSFSLSSLAHSEFKTTRYGFSMPEDAQTIAYVHPYGTASFGPIFFHPSSRCGWTSSALIRNNLSGVEWLSLRGVGASLSLVLLDGSEPIQSIEFNFNLSFPLNFSSPDLLFHMEETTYACSRPLSKELYAQNIGDLPFEVKRIEISGTVCGLDGFVVHNCNGFSLEPGESTKLLISYQSDFYAPILQRDLKLALASGIFVIPMRASLPVYMFNLCKKSVFWMRLKKFSAVVFLSTSLMFLICCCIFPQLMNFGSHDYWYKSDRSSHTTVRDPGKSARQDLNQKSRKLSMSPETDGLLSSVEGKTSKQASGYNYPDSQLRGPDHEITVENGIPTAENHKALPSLLSKSVVIGSPNAVEASQPCNLHVRTGKEKGRRRRKRKGVAAGLAGLFEVSSSQSGNSTPSSPLSPVTNVTPNRTLSPPPDMESIEARNPFSQVADQQCKKVQAAVSISKASVLEPKVSLNCCTSNRFSATTEQLSVPRKTTGKPVLLPSATFPSGSRTVPNLLYPSSPASKSAIAPHARAPGPKLYKQKEVEEKVGDEYTYDIWGDHFSGLHLIGSSKDVTTMKTITENNSNSFFVRGPQALVMKYPPKSVNFFQDEGEDIVHGL >Manes.12G100500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25605042:25613845:-1 gene:Manes.12G100500.v8.1 transcript:Manes.12G100500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSLTITAPQKRQQQQQQQQQLSMCYLRGLFHQVKAFQFFLVLSCTIFCLATCGPCLMDGMQKSKKHDGCGAYGDDHDLGFQDIIVADASSGYDTGSPVTRMNIKNICTNSHSFCFPSTLHGLPSYEQEYKADALEFSRSHPDSLSSVGPTQDSKGASNRSWFSDSGMFELSNGQTVSCSLNSIEDINQLLCVQNSSANQNDFSSCGGPLIIKKSASLRLTSNSEVTKSSPLHVSSSPHVKISPPVLDWGRKHLHFPSVAFLTVANTCNNSLLYVYEPFSTNIQFYPCNHSKFFLGPGEVASVCFVFLPRWLGLSSAHLILQTSSGGFLVQVKGYALESPYKISPVIKVDAASSGRLIRNLSLFNPYNENLHVREISAWILVSQGNISHHTEAICSIGNYQDSDRLSLSVKDWLVVKSGQVDFPLMAMRPHEDWEIGPYGSGTVLEIDFSFESEAQFVGSFCMQLLRSSQDKPDTVLVPLEINVDGKVAYQDFASSVSVSLEALVSCDASNTFVAISLRNGAPDVLTFVKIREVAAENVFQFKYIQGLLLFPGTVTQVATITCTQLLVELHDSPTELSNIYKNCKLVVLTNDSSRPQIEIPCQNVIHICSRHQKDSSIGIGHQSEKADSGNKWSGSLDSSTKLPSKIMELENVEADEFVLENWKSQGITSSMSVLDDHEVLFPMVQVGTQHSKWITVKNPSELPVVMQLILNSGEIVDECKGTDGFIQSFSLSSLAHSEFKTTRYGFSMPEDAQTIAYVHPYGTASFGPIFFHPSSRCGWTSSALIRNNLSGVEWLSLRGVGASLSLVLLDGSEPIQSIEFNFNLSFPLNFSSPDLLFHMEETTYACSRPLSKELYAQNIGDLPFEVKRIEISGTVCGLDGFVVHNCNGFSLEPGESTKLLISYQSDFYAPILQRDLKLALASGIFVIPMRASLPVYMFNLCKKSVFWMRLKKFSAVVFLSTSLMFLICCCIFPQLMNFGSHDYWYKSDRSSHTTVRDPGKSARQDLNQKSRKLSMSPETDGLLSSVEGKTSKQASGYNYPDSQLRGPDHEITVENGIPTAENHKALPSLLSKSVVIGSPNAVEASQPCNLHVRTGKEKGRRRRKRKGVAAGLAGLFEVSSSQSGNSTPSSPLSPVTNVTPNRTLSPPPDMESIEARNPFSQVADQQCKKVQAAVSISKASVLEPKVSLNCCTSNRFSATTEQLSVPRKTTGKPVLLPSATFPSGSRTVPNLLYPSSPASKSAIAPHARAPGPKLYKQKEVEEKVPSCFTSQDKDVS >Manes.12G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25605042:25613845:-1 gene:Manes.12G100500.v8.1 transcript:Manes.12G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSLTITAPQKRQQQQQQQQQLSMCYLRGLFHQVKAFQFFLVLSCTIFCLATCGPCLMDGMQKSKKHDGCGAYGDDHDLGFQDIIVADASSGYDTGSPVTRMNIKNICTNSHSFCFPSTLHGLPSYEQEYKADALEFSRSHPDSLSSVGPTQDSKGASNRSWFSDSGMFELSNGQTVSCSLNSIEDINQLLCVQNSSANQNDFSSCGGPLIIKKSASLRLTSNSEVTKSSPLHVSSSPHVKISPPVLDWGRKHLHFPSVAFLTVANTCNNSLLYVYEPFSTNIQFYPCNHSKFFLGPGEVASVCFVFLPRWLGLSSAHLILQTSSGGFLVQVKGYALESPYKISPVIKVDAASSGRLIRNLSLFNPYNENLHVREISAWILVSQGNISHHTEAICSIGNYQDSDRLSLSVKDWLVVKSGQVDFPLMAMRPHEDWEIGPYGSGTVLEIDFSFESEAQFVGSFCMQLLRSSQDKPDTVLVPLEINVDGKVAYQDFASSVSVSLEALVSCDASNTFVAISLRNGAPDVLTFVKIREVAAENVFQFKYIQGLLLFPGTVTQVATITCTQLLVELHDSPTELSNIYKNCKLVVLTNDSSRPQIEIPCQNVIHICSRHQKDSSIGIGHQSEKADSGNKWSGSLDSSTKLPSKIMELENVEADEFVLENWKSQGITSSMSVLDDHEVLFPMVQVGTQHSKWITVKNPSELPVVMQLILNSGEIVDECKGTDGFIQSFSLSSLAHSEFKTTRYGFSMPEDAQTIAYVHPYGTASFGPIFFHPSSRCGWTSSALIRNNLSGVEWLSLRGVGASLSLVLLDGSEPIQSIEFNFNLSFPLNFSSPDLLFHMEETTYACSRPLSKELYAQNIGDLPFEVKRIEISGTVCGLDGFVVHNCNGFSLEPGESTKLLISYQSDFYAPILQRDLKLALASGIFVIPMRASLPVYMFNLCKKSVFWMRLKKFSAVVFLSTSLMFLICCCIFPQLMNFGSHDYWYKSDRSSHTTVRDPGKSARQDLNQKSRKLSMSPETDGLLSSVEGKTSKQASGYNYPDSQLRGPDHEITVENGIPTAENHKALPSLLSKSVVIGSPNAVEASQPCNLHVRTGKEKGRRRRKRKGVAAGLAGLFEVSSSQSGNSTPSSPLSPVTNVTPNRTLSPPPDMESIEARNPFSQVADQQCKKVQAAVSISKASVLEPKVSLNCCTSNRFSATTEQLSVPRKTTGKPVLLPSATFPSGSRTVPNLLYPSSPASKSAIAPHARAPGPKLYKQKEVEEKVGDEYTYDIWGDHFSGLHLIGSSKDVTTMKTITENNSNSFFVRGPQALVMKYPPKSVNFFQDEGYPVALHPKTRMSLEFPLAINVIVIAVPHASS >Manes.07G136500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33885585:33888622:1 gene:Manes.07G136500.v8.1 transcript:Manes.07G136500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGHCCKPTTPGDSESLGPHGVSAATVGVSALAQDLFNFDITSQVPEGLSKHVVSSKKAQANWYRKLLEAWREAKPPPKTTEEAARLVIQTLKRHQKADVEGLLAFYGLPLPHTLIPLSAGLPTSLPEGVKFELQTLPVDAKAVPDGDTISVYVSTADPRESSSVPRDVQMAAVQRSKARADRNYERADALHKKIIDSGYRVINVQNEEILARKYRIRLRI >Manes.07G136500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33885585:33888622:1 gene:Manes.07G136500.v8.1 transcript:Manes.07G136500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGHCCKPTTPGDSESLGPHGVSAATVGVSALAQDLFNFDITSQVPEGLSKHVVSSKKAQANWYRKLLEAWREAKPPPKTTEEAARLVIQTLKRHQKADVEGLLAFYGLPLPHTLIPLSAGLPTSLPEGVKFELQTLPVDAKAVPDGDTISVYVSTADPRESSSVPRDVQMAAVQRSKARADRNYERADALHKKIIDSGYRVINVQNEEILARKYRIRLRI >Manes.07G136500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33885585:33888622:1 gene:Manes.07G136500.v8.1 transcript:Manes.07G136500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGHCCKPTTPGDSESLGPHGVSAATVGVSALAQDLFNFDITSQVPEGLSKHVVSSKKAQANWYRKLLEAWREAKPPPKTTEEAARLVIQTLKRHQKADVEGLLAFYGLPLPHTLIPLSAGLPTSLPEGVKFELQTLPVDAKAVPDGDTISVYVSTADPRESSSVPRDVQMAAVQRSKARADRNYERADALHKKIIDSGYRVINVQNEEILARKYRIRLRGIDAPESSMPYGKEAQEELVKLIQGKCLRIFVYGEDRYGRCVGDVYCNGIFAQEVMLKKGLAWHYTAYDQRFELASVSKRDDLIDSCTC >Manes.07G136500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33885585:33888622:1 gene:Manes.07G136500.v8.1 transcript:Manes.07G136500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGHCCKPTTPGDSESLGPHGVSAATVGVSALAQDLFNFDITSQVPEGLSKHVVSSKKAQANWYRKLLEAWREAKPPPKTTEEAARLVIQTLKRHQKADVEGLLAFYGLPLPHTLIPLSAGLPTSLPEGVKFELQTLPVDAKAVPDGDTISVYVSTADPRESSSVPRDVQMAAVQRSKARADRNYERADALHKKIIDSGYRVINVQNEEILARKYRIRLRI >Manes.07G136500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33885585:33888622:1 gene:Manes.07G136500.v8.1 transcript:Manes.07G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGHCCKPTTPGDSESLGPHGVSAATVGVSALAQDLFNFDITSQVPEGLSKHVVSSKKAQANWYRKLLEAWREAKPPPKTTEEAARLVIQTLKRHQKADVEGLLAFYGLPLPHTLIPLSAGLPTSLPEGVKFELQTLPVDAKAVPDGDTISVYVSTADPRESSSVPRDVQMAAVQRSKARADRNYERADALHKKIIDSGYRVINVQNEEILARKYRIRLRGIDAPESSMPYGKEAQEELVKLIQGKCLRIFVYGEDRYGRCVGDVYCNGIFAQEVMLKKGLAWHYTAYDQRFELASWEKEARAKRVGLWASSNPEKPWEWRKDRREGR >Manes.07G136500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33885585:33888622:1 gene:Manes.07G136500.v8.1 transcript:Manes.07G136500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYGHCCKPTTPGDSESLGPHGVSAATVGVSALAQDLFNFDITSQVPEGLSKHVVSSKKAQANWYRKLLEAWREAKPPPKTTEEAARLVIQTLKRHQKADVEGLLAFYGLPLPHTLIPLSAGLPTSLPEGVKFELQTLPVDAKAVPDGDTISVYVSTADPRESSSVPRDVQMAAVQRSKARADRNYERADALHKKIIDSGYRVINVQNEEILARKYRIRLRI >Manes.15G185150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26254365:26254995:-1 gene:Manes.15G185150.v8.1 transcript:Manes.15G185150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSIFLQDKGIDEVVLKAPGRAINKTVMIAKLLKRRIVGLHQITSIGSIDITDTWEPLEEGLLPLETTRHVSVITITLSRKELNMSSIG >Manes.S039216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1086006:1086173:1 gene:Manes.S039216.v8.1 transcript:Manes.S039216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.03G086700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17238061:17243830:1 gene:Manes.03G086700.v8.1 transcript:Manes.03G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFAAMSSVGSLAAANGCVMDKKLASSSNRLSSFASISGSSFSRRQNVVLHRSRSPKICAMAKELHFNKDGTTIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTSKALVNELKSMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLINILEDAIRSGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLALDKVGKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVAQIRNLIEAAEQDYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIRDGLDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNPKFGYNAATGNYEDLIAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >Manes.18G030940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2838196:2841230:-1 gene:Manes.18G030940.v8.1 transcript:Manes.18G030940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSPFFNSTIAAVIFNHLSPFFNSTIAAVIFAMLLFPYYLLRKWKSRASKGIPAPQPQAAWPLIGHLPLLSGSDPPHITLAALANTCGPLFSIRLGIQFVPVVSCSKVGKELFTGVNDVIVTFRPALVAGKLIGYNYALFPFTPGGLYWRETRKISTLELLSNRRLELLKHIRNQEMQQWFSDLSLNILLRMIIGKKYFGAGADGDEKEGRQFQERTTTLLHYLGTLVLRDAAPFLGHLLDKWLQEHERNRYFGEKSKEDQDFMDVLLSALDGKSIEGYDADTINKAVSLSMVAGNETIAVAMTWALALLLNHKPVLRKAQKGLDEVIGKERLVNDKDISKLVYLLAIVKGTLRLYPPAFISGPRQFTVDCNINTVVWPDPMVFKPERFLTTHNNVDVRSHKFEMLPFGGGRRACPGASYALQIIHLTMATLLQSFEISTSSDAAIDMTPGVGLTNMKTTPLEVVFSPRLPLCCLE >Manes.13G054400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6264036:6264918:1 gene:Manes.13G054400.v8.1 transcript:Manes.13G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERASAGRWVVVMVVVMAAIFEGTRSLSLCDMNEDGLLACKPSVSKTNPVDPPSKECCKALKFANLTCLCSYRNSLLLPSLGIDPDLALALPAKCNLTAPADC >Manes.10G029200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2960204:2963128:-1 gene:Manes.10G029200.v8.1 transcript:Manes.10G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDQPLTGLYGSVNGLKLNDETLSVNGLKSNDSFVQQISANNPPLPPDLNLALSNSVLSLSKSQDGDSREDFDFSDVVLKYINTMLMEEDIEEKSCMFQESSAALQAAEKSLYELIGEKYPPPPTNCHSVPCLDQNHKNSYQKLDSNCFTYTSSSSSTSDHRCEYKPLKYDSQSGAQSSHSYGNSTGVVVEGFVSSPVSTISEIFSDSGSIKQFKEGFEEASKFIPNGSLITDLKSNSLFLKDLNKESKDVAVKVVEKPEIGYVPDESRKKKNPHPEDLDLEGERSNKQSAIYTESTVSSADFDTVLLSCGIIESAIPEAWQNGRSKNGQQNGQTKGTSVGKGRGKKQGSKRNMVDLRTLLTLCAQAVAADDRRNANDLLMQIRQNASPTGDGMQRVAHIFADGLEARMAGSGTQIYKAFMSKHTSAADVLKAYHLFLAACPFKKLSNFFSNKTIMNVAQNAKRLHIVDFGILYGFQWPCLIQRLSSRENGPPELRITGIDFPHPGFRPAVRVEETGHRLSNYAKTFNVPFEFNAIAQKWDTIKIEDLKLDRDEVLVVNCVLRLRNLLDETVVVESPRNIVLNLIQKMNPDVFITGIVNGTYSSPYFITRFREALFHYSALFDMLEANVPREVPERMLIERDIFGWEAMNVIACEGAERLERPETYKQWQFRILRAGFRQLPLNQEIFAEVKKKVNELYHKDFVIDEDCQWLLKGWKGRIIYALASWKPDY >Manes.07G065200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:12135772:12137465:-1 gene:Manes.07G065200.v8.1 transcript:Manes.07G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPEIIEDILLRLPVKSLVRFKSASKTLYSLISESRFVNLQAKRASNNPKILLFSVSGTCQSLDYETPFGNTCAFVNLNIPRKVLKQVELGVDVIGSCSGLVCIGSNFDHKLVIWNPCTGTHLVIPYPSDSFTYYSYGFGYDPSSECFKIVLVSLEERTIFQIFSSKSSSWRSLCCPYGSTYILVNYIGDSPAPLLNGALHWLSYSKPQLPSTFLRPYEISSEFIALDLAKEKFQKLPMPQSIPNHRLVLGELGGCLSLLFSPSMDKVEIWAMKEYGVKASWSKLLNVFETEYGSIYDFWPLYLCRSREVVGLQDGMKLKRWNIQDGKLVDTFNICEELCEAFMYRAVVYAENLISPTDFNGELSILFYKHLAMHACNILFS >Manes.01G256501.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKSTVQEKQCSFIIESDEDIDGGFSCFMDENKTGIY >Manes.01G256501.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKSTVQEKQCSFIIESDEDIDGGFSCFMDENKTGIGNYLIDEATEESELILRKARRRKRKHCNPIVIN >Manes.01G256501.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSKYAELFPSFFHMEYSLDPLMTLCIVDFAKFQWLA >Manes.01G256501.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKCLKLQLQAACLHAWFWVSFVKDHTLWKFFPDRRFEKL >Manes.01G256501.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKCLKLQLQAACLHAWFWVSFVKDHTLWKFFPDRRFEKL >Manes.01G256501.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKEKQCSFIIESDEDIDGGFSCFMDENKTGIGNYLIDEATEESELILRKARRRKRKHCNPIVIN >Manes.01G256501.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKSTVQEKQCSFIIESDEDIDGGFSCFMDENKTGIGNYLIDEATEESELILRKARRRKRKHCNPIVIN >Manes.01G256501.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKEKQCSFIIESDEDIDGGFSCFMDENKTGIGNYLIDEATEESELILRKARRRKRKHCNPIVIN >Manes.01G256501.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345556:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKEKQCSFIIESDEDIDGGFSCFMDENKTGIGNYLIDEATEESELILRKARRRKRKHCNPIVIN >Manes.01G256501.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345556:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKSTVQEKQCSFIIESDEDIDGGFSCFMDENKTGIGNYLIDEATEESELILRKARRRKRKHCNPIVIN >Manes.01G256501.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41345557:41353590:1 gene:Manes.01G256501.v8.1 transcript:Manes.01G256501.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPHQSRRMSVIPTGLQTSQLRSQPSQQSFSQGISSQHGMFSQLSQTSFDEAVTNDQRFNSQERENSVKKTACLPLVSYAREESQVPISRSTTNLMRKWNPVSVPDSKCQINEELEHRIGMLETSLNKFGKILGAVQSDVMQVNKGMKEVSLEMEGIRQKLIVLDASLQLMIKGQEDTKFSLDGNLKSISDQLCKDIYQEKLQEIFSVLSALPKQMEALLLKSQNALCMTFNKVTQATTCNLKTPNQNSPSITVLLPKVTGRYTTPQRKLKPLSNPAMPSKLCRQTTVRPKIEMGEWNTVRPEQDTIRQRASHKEQTSKRVSSFQKCLKLQLQAACLHAWFWVSFVKDHTLWKFFPDRRFEKL >Manes.08G052800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5669535:5675531:-1 gene:Manes.08G052800.v8.1 transcript:Manes.08G052800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAFCSDCKRQTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLTDGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLAEEKKPSLKDISGATGVAEGTIRNSYKDLYPHVLKIIPSWFAKEEDLKNLCSP >Manes.08G052800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5669535:5675531:-1 gene:Manes.08G052800.v8.1 transcript:Manes.08G052800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAFCSDCKRQTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLTDGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLAEEKKPSLKDISGATGVAEGTIRNSYKDLYPHVLKIIPSWFAKEEDLKNLCSP >Manes.08G088800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:24095662:24096399:1 gene:Manes.08G088800.v8.1 transcript:Manes.08G088800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLNSYKAVHFRAIVPQSQKAGFSSLKSSSITVNRTWIFSHSTQTPRARRSISVINKSGEESPQNNQQDEEASNLGVKAALSMLTFYKREISPLLPKSCRYVPTCSEYSMIAYKKYGVVKGTILTAWRLCRCNPLGGSGFDPPRWFDEQIPPESDEMS >Manes.14G064600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5345287:5348812:-1 gene:Manes.14G064600.v8.1 transcript:Manes.14G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLPTIKVFTDGTAGARTFLPVRSSNFGGRNWLNFGDSARNCRLVACSVESNGNGGGGGGGGSSSSSSSGMGSDYRTSSFLSRTQTYAMLKQQMEVAAKSEDYEEAARIRDSLKSFEEEEPVLRLRRLLKDAINEERFEDAVRYRDELKEIAPHSLLKCSSDATTLGIRVQVRSVYIEGRSQPSKGQYFFAYRIRITNNSDRPVQLLRRHWIITDANGKTENVWGTGVIGEQPVILPRTGFEYSSACPLPTPNGRMEGDFEMKHIDRVGSPNFNVAIAPFSLSLLGDESEPF >Manes.18G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2437569:2439751:1 gene:Manes.18G028800.v8.1 transcript:Manes.18G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILSISVILAILILYLLKLVYSILWIPYKIQRHFRNQGIGGPSYRPIIGNSSELRRKMAEAKSKKSHGHNVLHRVVPSYHTWSMMYGKNYLYWFGPKPRLAIADPGMIKEVLMNTGGPFERLFNNPSSKKLLGEGLAELRGEKWAVHRRISNLALNMEQVKGWVPKMVASTMMMLEKWEERRAGKDEFEIEVHKEIHDLSADIISRTIFGSSFAEGKRIFELQEQQMRLFFVSLTSVYIPGFRFLPTKKNKERWRLDKEICDSIRKLIETNNRRAENSRNLLSLLMSPHKFHDQEDKLEIDEIIDECKTFYFAGKETSANVLTWALILLAMHQDWQTKAREEINIVCKDNELPTAENLSQLKIISMIINETLRLYTPVTMLMRRTCKDVKLGDLEIPGDTQLALAIIATHHDPEIWGEDADKFNPQRFSEPRKHLASFFPWGLGPRICVGQSFAMVELKLVLAIVISRFSFVLSPTYVHAPMQFLTVQPQYGAHILFRRI >Manes.11G061100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8530829:8544232:1 gene:Manes.11G061100.v8.1 transcript:Manes.11G061100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRGQLDNEDNFHCGLSRRYSKSASSKWLATSFSRPACELQRGNQRCPSLMELCIQNIREDMDKYSTFSMLPRDISQQIFNELVCSQRLTDNSLEAFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIHLKDCTNLQALNFNCCDQISDLGLNHISGLSNLTSLSFRRNDSITAKGMSAFASLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDTDMKHLSGLTNLKGLQISCSKVTDVGISYLKGLHKLNLLNLEGCPVTAACLETLSALTSLLYLNLNRCHLSDDGCEGFSNLTQLKVLNLGFSDITDACLVHLKGLTNLESLNLDSCRIDDEGLANIAGLQRLKCLVLSDTEVGSSGLRYLSGLTNLESINLSFTMITDGGMRKLSGLSSLKSLNLDARQITDSGLAALTNLTGLTHLDLFGARITDSGTNYLRNFKNLQSLEICGGGLTDAGTRNIKDLSSLMLLNLSQNCNLTDKSLELISGLTRLVSLNMSNSRITSAGLKHLKPLKNLKSLTLESCKVTANDIKRLQSTDLPQLVSFRPE >Manes.11G061100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8530438:8544269:1 gene:Manes.11G061100.v8.1 transcript:Manes.11G061100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRGQLDNEDNFHCGLSRRYSKSASSKWLATSFSRPACELQRGNQRCPSLMELCIQNIREDMDKYSTFSMLPRDISQQIFNELVCSQRLTDNSLEAFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIHLKDCTNLQALNFNCCDQISDLGLNHISGLSNLTSLSFRRNDSITAKGMSAFASLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDTDMKHLSGLTNLKGLQISCSKVTDVGISYLKGLHKLNLLNLEGCPVTAACLETLSALTSLLYLNLNRCHLSDDGCEGFSNLTQLKVLNLGFSDITDACLVHLKGLTNLESLNLDSCRIDDEGLANIAGLQRLKCLVLSDTEVGSSGLRYLSGLTNLESINLSFTMITDGGMRKLSGLSSLKSLNLDARQITDSGLAALTNLTGLTHLDLFGARITDSGTNYLRNFKNLQSLEICGGGLTDAGTRNIKDLSSLMLLNLSQNCNLTDKSLELISGLTRLVSLNMSNSRITSAGLKHLKPLKNLKSLTLESCKVTANDIKRLQSTDLPQLVSFRPE >Manes.11G061100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8530653:8544232:1 gene:Manes.11G061100.v8.1 transcript:Manes.11G061100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRGQLDNEDNFHCGLSRRYSKSASSKWLATSFSRPACELQRGNQRCPSLMELCIQNIREDMDKYSTFSMLPRDISQQIFNELVCSQRLTDNSLEAFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIHLKDCTNLQALNFNCCDQISDLGLNHISGLSNLTSLSFRRNDSITAKGMSAFASLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDTDMKHLSGLTNLKGLQISCSKVTDVGISYLKGLHKLNLLNLEGCPVTAACLETLSALTSLLYLNLNRCHLSDDGCEGFSNLTQLKVLNLGFSDITDACLVHLKGLTNLESLNLDSCRIDDEGLANIAGLQRLKCLVLSDTEVGSSGLRYLSGLTNLESINLSFTMITDGGMRKLSGLSSLKSLNLDARQITDSGLAALTNLTGLTHLDLFGARITDSGTNYLRNFKNLQSLEICGGGLTDAGTRNIKDLSSLMLLNLSQNCNLTDKSLELISGLTRLVSLNMSNSRITSAGLKHLKPLKNLKSLTLESCKVTANDIKRLQSTDLPQLVSFRPE >Manes.11G061100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8530829:8544126:1 gene:Manes.11G061100.v8.1 transcript:Manes.11G061100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRGQLDNEDNFHCGLSRRYSKSASSKWLATSFSRPACELQRGNQRCPSLMELCIQNIREDMDKYSTFSMLPRDISQQIFNELVCSQRLTDNSLEAFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIHLKDCTNLQALNFNCCDQISDLGLNHISGLSNLTSLSFRRNDSITAKGMSAFASLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDTDMKHLSGLTNLKGLQISCSKVTDVGISYLKGLHKLNLLNLEGCPVTAACLETLSALTSLLYLNLNRCHLSDDGCEGFSNLTQLKVLNLGFSDITDACLVHLKGLTNLESLNLDSCRIDDEGLANIAGLQRLKCLVLSDTEVGSSGLRYLSGLTNLESINLSFTMITDGGMRKLSGLSSLKSLNLDARQITDSGLAALTNLTGLTHLDLFGARITDSGTNYLRNFKNLQSLEICGGGLTDAGTRNIKDLSSLMLLNLSQNCNLTDKSLELISGLTRLVSLNMSNSRITSAGLKHLKPLKNLKSLTLESCKVTANDIKRLQSTDLPQLVSFRPE >Manes.14G011800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:780572:786755:1 gene:Manes.14G011800.v8.1 transcript:Manes.14G011800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPGDSSHHGTNLQNHSQEVLEDGGRWYFSRKEIEENSPSRRDGIDLKKENYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGGVTHQTTSKASSGKEEHATANSHSQAGVTTSRPGTSNSLSLADNCGGAPRTTHTQSKENASGEVKSASAHNADGESSDYVDQGVDRVVHHGNMGEAQNPLRHASHGKEDQESNAARSEISEAGELKDKHFGRNLENREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSRRERRQSYSKPLDRQEHENPHHEKTQEDAGDGERQGIKGHLSHKSDLNNMEEGEVPDEVFHSPKSSNRKRKSWSPSDKMPEGKHRNDYVPSSHHYNNHDYLDDRNRMSRLGYVERDH >Manes.14G011800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:780572:786735:1 gene:Manes.14G011800.v8.1 transcript:Manes.14G011800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPGDSSHHGTNLQNHSQEVLEDGGRWYFSRKEIEENSPSRRDGIDLKKENYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGGVTHQTTSKASSGKEEHATANSHSQAGVTTSRPGTSNSLSLADNCGGAPRTTHTQSKENASGEVKSASAHNADGESSDYVDQGVDRVVHHGNMGEAQNPLRHASHGKEDQESNAARSEISEAGELKDKHFGRNLENREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSRRERRQSYSKPLDRQEHENPHHEKTQEDAGDGERQGIKGHLSHKSDLNNMEEGEVPDEVFHSPKSSNRKRKSWSPSDKMPEGKHRNDYVPSSHHYNNHDYLDDRNRMSRLGYVERDH >Manes.14G011800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:780572:786735:1 gene:Manes.14G011800.v8.1 transcript:Manes.14G011800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPGDSSHHGTNLQNHSQEVLEDGGRWYFSRKEIEENSPSRRDGIDLKKENYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGGVTHQTTSKASSGKEEHATANSHSQAGVTTSRPGTSNSLSLADNCGGAPRTTHTQSKENASGEVKSASAHNADGESSDYVDQGVDRVVHHGNMGEAQNPLRHASHGKEDQESNAARSEISEAGELKDKHFGRNLENREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSRRERRQSYSKPLDRQEHENPHHEKTQEDAGDGERQGIKGHLSHKSDLNNMEEGEVPDEVFHSPKSSNRKRKSWSPSDKMPEGKHRNDYVPSSHHYNNHDYLDDRNRMSRLGYVERDH >Manes.14G011800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:780572:786755:1 gene:Manes.14G011800.v8.1 transcript:Manes.14G011800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPGDSSHHGTNLQNHSQEVLEDGGRWYFSRKEIEENSPSRRDGIDLKKENYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGGVTHQTTSKASSGKEEHATANSHSQAGVTTSRPGTSNSLSLADNCGGAPRTTHTQSKENASGEVKSASAHNADGESSDYVDQGVDRVVHHGNMGEAQNPLRHASHGKEDQESNAARSEISEAGELKDKHFGRNLENREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSRRERRQSYSKPLDRQEHENPHHEKTQEDAGDGERQGIKGHLSHKSDLNNMEEGEVPDEVFHSPKSSNRKRKSWSPSDKMPEGKHRNDYVPSSHHYNNHDYLDDRNRMSRLGYVERDH >Manes.14G011800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:780573:786724:1 gene:Manes.14G011800.v8.1 transcript:Manes.14G011800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPGDSSHHGTNLQNHSQEVLEDGGRWYFSRKEIEENSPSRRDGIDLKKENYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGGVTHQTTSKASSGKEEHATANSHSQAGVTTSRPGTSNSLSLADNCGGAPRTTHTQSKENASGEVKSASAHNADGESSDYVDQGVDRVVHHGNMGEAQNPLRHASHGKEDQESNAARSEISEAGELKDKHFGRNLENREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSRRERRQSYSKPLDRQEHENPHHEKTQEDAGDGERQGIKGHLSHKSDLNNMEEGEVPDEVFHSPKSSNRKRKSWSPSDKMPEGKHRNDYVPSSHHYNNHDYLDDRNRMSRLGYVERDH >Manes.14G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:780572:786755:1 gene:Manes.14G011800.v8.1 transcript:Manes.14G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPGDSSHHGTNLQNHSQEVLEDGGRWYFSRKEIEENSPSRRDGIDLKKENYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGGVTHQTTSKASSGKEEHATANSHSQAGVTTSRPGTSNSLSLADNCGGAPRTTHTQSKENASGEVKSASAHNADGESSDYVDQGVDRVVHHGNMGEAQNPLRHASHGKEDQESNAARSEISEAGELKDKHFGRNLENREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSRRERRQSYSKPLDRQEHENPHHEKTQEDAGDGERQGIKGHLSHKSDLNNMEEGEVPDEVFHSPKSSNRKRKSWSPSDKMPEGKHRNDYVPSSHHYNNHDYLDDRNRMSRLGYVERDH >Manes.08G034200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3262252:3263133:-1 gene:Manes.08G034200.v8.1 transcript:Manes.08G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGWKTNVEISPSCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSLKLSSTDVAHSRNLGYGVTVSNREASSVESCSSSMTSDGSHIDLALVYANFLNPRPDSKTTTTTTTANTADFEVQELHTSDFSSFPNTNLESTSVQLHGENHNSTTLGGCLTTFCDSSTETPLTENDHHLMYFHQAEENKVQQCTSTLHESIHYGLPPLPGEEVGPHHEILWSNSHLMGNDHTLQVTQDPPILGPETQDPNNLLFGNWNPFDLSSDHDTFSGP >Manes.05G188500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31025295:31027510:-1 gene:Manes.05G188500.v8.1 transcript:Manes.05G188500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRNFPLALSLVIFFFMTPSFCVAQMMNPIDSCWRQNPNWRRSRQQLATCSVGFSGKMTNNIGKDTIRYKVSDPSDDPLNPKKGTLRYGATMITGKVWITFQKNMNIQLVKPLLISSFTTLDGRGVDIHITGNACLLAYKATDVIIHGLRIHHCKAVGPSSVRGPNGEMVPLGQMDGDAIRLVTASKVWIDHNTLYSCQDGLLDVTRGSTDVTISNNWFKDQDKVMLLGHDDGYLRDKNMRVTVVFNHFGPNCNQRMPRVRHGYAHVANNLYQGWEQYAIGGSMNPSIKSEANYFIAPKSGNKEVTWRNGINENSKPWKFYSVGDVFENGASFIQTGLGGANPNYNDQQRFKVVDAKFVRSITKSSGALKCFRTIMC >Manes.18G121800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13045614:13048326:1 gene:Manes.18G121800.v8.1 transcript:Manes.18G121800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAVSAFLSHGDLVKNAVLQRFRVANPVLRPILFSRFESVSSARMEEQSFESTTIADILKAKGKGADGSWLWCTTDDTVYDAVKSMTHHNVGALVVVKPGEQKSLAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPHTKVLQAMQLMTDNRIRHIPVIDDKDMIGMVSIGDVVRAVVTEHREELDRLNAYIQGSY >Manes.18G121800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13045978:13048326:1 gene:Manes.18G121800.v8.1 transcript:Manes.18G121800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAVSAFLSHGDLVKNAVLQRFRVANPVLRPILFSRFESVSSARMEEQSFESTTIADILKAKGKGADGSWLWCTTDDTVYDAVKSMTHHNVGALVVVKPGEQKSLAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPHTKVLQAMQLMTDNRIRHIPVIDDKDMIGMVSIGDVVRAVVTEHREELDRLNAYIQGSY >Manes.07G137100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33903620:33908705:-1 gene:Manes.07G137100.v8.1 transcript:Manes.07G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQMARGEEFEKKADKKLSGWGLFGSKFEDAADLFEKAANSFKLAKSWDKAGSTYVKLANCHLRLDSKHEAAQAYVDAAHCYKKTSTNEAISCLCQAVDLFCDIGRISMAARYYKEIAELYESEANIEKAIDFYEKAADFFQGEEVTTSANQCKQKVAQFAAQLEQYQKAIEIYEEIARHSLSVNLLKYGVKGHLLNAGICHLCKGDVVSITNALERYQDMDPTFSGSREYRLLADIAAAIDEEDVAKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKEMEEDDLT >Manes.12G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32709074:32717369:-1 gene:Manes.12G121600.v8.1 transcript:Manes.12G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTTLHIPIKVLFLFISATLLLVVGVLMASRISLSRSINPILKRSPSSRLAPKQFLKSHPCPLWSASFSFCLQSLHKSTSPSVFPSRSFSPCYSSFSSPSIAAPDETLHSNPLLQDFQFPPFDVVEAKHVRPGIRALLKKLESDLEELERTVEPSWPKLVEPLEKIIDKLAVAWGVINHLKSVKDTPELRAAIEEVQPEKVKFLLRLGQSKPIYNAVKAIQDSPKWQSLNNAQKRIVETLIKEAVLSGVALEDDKREKFNKIKQELERLSQKFGENVLDATKKFEKLITDKREIEGLPATAVALAAQTAMSKGHKEATAENGPWIITLDAPSLMSVVQHARNRDLREEVYRAFVTRASSGDLDNTPIIDQILRLRQEKAKLLNYNNYAEVSMATKMATVQKAEELLEKLRSASWDAAVQDMEDLKTFAKTQGAIEANELSHWDMSFWAERLRESRYDINEEELRPYFSLPKVMDGLFGIAKTLFGIDIEPADGLAPVWNNDVRFYRVKDSSGSPIAYFYFDPYSRPSEKRGGAWMDEVVGRSQVLSCNGTDPRLPIAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRETLMGIAKHYVTGETLPEDIYLKLLAARNFRAGSLSLRQIRFASLDLELHTRYVPGGSETIFDFDQKVSKRTQVIPPLPEDRFLCSFNHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDSKAVQETGHKFRETILALGGGKAPLEVFVEFRGREPSPEALLRHNGLLSVTASA >Manes.04G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34773333:34775908:1 gene:Manes.04G152200.v8.1 transcript:Manes.04G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPTFLIVDPHKGRKRDIFNFLFMKNEWSGMRFLDGSDEGVVRGAVSDHRWILLVSVIIRRILAFINTPLMYLGYVVDFFLNLISQNGGVSGILCNSLQGKLMIPKKGTENFISTIGQLDGRIELYKTEIMSEKVDDSVSADAYHMRSGLGNRYLMDLCIMASKLVYENENVVKNVVERYWKMHFVDFYNCWNENQKEENTQVFICCDKPKDANLIVISFRGTEPFNAQDWSTDFDFSWYEVEKVGKIHVGFLEAMGLGSRGDPSTFKNHLRRKLAGFFHLNQETETMMMEWAKKSAYYAVSMKLKSLLTEHRNAKFVVTGHSLGGALAILFPCVLVIQEETEIIRRLLNIYTFGQPRIGDAQLGKFMEAHLNFPANRYYRVVYCNDMVPRVPFDDKVFAFKHFGVCLYYDSRYFGQFMDEEPNKNFFGLKNFIPMRVNVVWEIFRSFAIGHTHGQEYKESWFCTFFRALGLVLPGIAAHSPIDYVNSVRLGRERRTPLSSLKSFVRKPHDG >Manes.06G010800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1755281:1759840:-1 gene:Manes.06G010800.v8.1 transcript:Manes.06G010800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKLFLQTFLLVLSFTFSSSLDTITVNQPIEDGNLLISNENKFALGFFSPRNSKYRYLGIWYHNVPQQTVVWVANRNHPINGSSGILSVDKLGNLILYSNHNQKVPVWYTSVSVEVRGTCVAQLLDSGNLILIQVSSKKVIWQSFDHPTDTVLPGMKLGVNQNTGMFWFLTSWRSPEDPGIGNFSVKVNTIGTPQFFLYRGTVYYWRTTMWPMTSYGDVWTYSVINNQDEIYMAYTLTDASIIFRIVLDYSGVIKKLVWHEKIGKWKEFWSVPSSVCDIYGHCGTYGICYPNFVGKFECDCLPGYEPNSLRDWNILRDASGGCIRKQQDSSSICGHREGFIKVAHVKLPDTSAAVWEGTNMSPMDCEKECRRNCSCSAYASIDIAGEGTGCLRWYGELMDTVNNMKDGYDINVRVGALELEIAQKSSGFPDGEDTLAVLIVFAISAWFIIIFFACLWLKKKKKIKGARNQWNERLLDAIGDACYKNTLLRNDVEGSMNPSAIAFFNLHTMLVATNNFSQANKLGEGGFGLVYKGELSNGQEIAVKRLSKNSDKGIEEFKTELMLIAKLQHKNLVKLLGCCIQGEEVMLVYEYLPNKSLDLFLFDATKSVVLDWRKRFDVIVGIARGILYLHQDSRLSIVHRDLKTSNILLDAEMNPKISDFGLARIFKSDQIQDKTERIVGTFGYMSPEYVVFGKFSAKSDIFSFGIILFEIITGRKNNSYCQESSSLSMIGHIWHSWRENRALEIVDSSIRESCPFDKVLRCIQIGLLCVQENVTDRPMMSTIILMLNCEITLPSPKQPAFNFRKS >Manes.17G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26550456:26553851:-1 gene:Manes.17G065300.v8.1 transcript:Manes.17G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHVARYFTIFLYFFFFTSGSSVAETPPLEEIQKNRIADNQENQIPFSSPVFTTQLDTTGTIPIVNPTTPGTTSPIVNPIESPPAPTIITTTPPAPAAVTTTPPATGTPTSSGGSWCIASPTAAETALQVALDYACGYGGADCSAIQSGASCYNPNTVRDHASYAFNNYYQKNPIPNSCVFGGTAQLTSTDPSSGNCQYASPNSTPSLSPPVNPTPMTPTTTTTTPTTMTPPITTTPYGVAEPTGLPSSATSVSFSFLLLCSPMATVGSILLANHL >Manes.13G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1566458:1568611:1 gene:Manes.13G012200.v8.1 transcript:Manes.13G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGFCYPTGDDHIDNRSVKRRNGGYFTTSTAAGEQMLSRKRQKLSHEIAGETDLFDSLPDDLILSILCKLSSSASCPSDFSNVLITCKRLNGLGLHSLVLSKASQKTFAIKAKNWSDSAHRFLKLCTDAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAISSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNVPEGRRFLVQANARELAAVLINPKSGLNTRAFLNWNPPAHPNHRHANGPGCPLLSDFGCNVPAPEAHPASQFMVEWFASRGGTPGSGLRLCSHVGCGRPETRKHEFRRCSVCGGVNYCSRACQALDWKMRHKEECSPVERWVDEDGEGNQNAVADS >Manes.11G018100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2042610:2044397:1 gene:Manes.11G018100.v8.1 transcript:Manes.11G018100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSRKKKALTDLDGLPKQCEEGVADKATGEEDEDADSTIGEEAEDSSDGEESEEGSPFDPYKLGSEVIVFDPSEGNRDGYGSDDTDYEGEQRDVYLKYRRQYRESEGFDFDDYPKPIKGELFFGVARHVNLEDEDGFYTKGCREALAYAVQEQNKKGANLRHLEIIKANVESIGLYHITFKAEDTKLGETKVYQTKVFYSLVPDRHQDQVFIFRLKEDDKAN >Manes.07G083301.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26156855:26159323:1 gene:Manes.07G083301.v8.1 transcript:Manes.07G083301.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSIDGESHEAAQRKIPPASSMLWVRNLRRYIGSGVGLGSEALMELETKRILLDIFKEKQQRSAEAATVPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDILLNADDLDAMWVCLRENCVIDDATGAEKVCENCRYGHTCSIYVTDTLTIGVFHAMGKFYVIVHSSWIYAMQMGKF >Manes.07G083301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26156855:26159318:1 gene:Manes.07G083301.v8.1 transcript:Manes.07G083301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSIDGESHEAAQRKIPPASSMLWVRNLRRYIGSGVGLGSEALMELETKRILLDIFKEKQQRSAEAATVPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDILLNADDLDAMWVCLRENCVIDDATGAEKVCENCRYGHTCSIYVTDTLTIGVFHAMGKFYVIVHSSWIYAMQMGKF >Manes.07G083301.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26156855:26159323:1 gene:Manes.07G083301.v8.1 transcript:Manes.07G083301.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSIDGESHEAAQRKIPPASSMLWVRNLRRYIGSGVGLGSEALMELETKRILLDIFKEKQQRSAEAATVPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDILLNADDLDAMWVCLRENCVIDDATGAEKVCENCRYGHTCSIYVTDTLTIGFVRMDHCVNKPCLLLNI >Manes.07G083301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26156855:26159312:1 gene:Manes.07G083301.v8.1 transcript:Manes.07G083301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSIDGESHEAAQRKIPPASSMLWVRNLRRYIGSGVGLGSEALMELETKRILLDIFKEKQQRSAEAATVPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDILLNADDLDAMWVCLRENCVIDDATGAEKVCENCRYGHTCSIYVTDTLTIGVFHAMGKFYVIVHSSWIYAMQMGKF >Manes.07G083301.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26156855:26159322:1 gene:Manes.07G083301.v8.1 transcript:Manes.07G083301.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSIDGESHEAAQRKIPPASSMLWVRNLRRYIGSGVGLGSEALMELETKRILLDIFKEKQQRSAEAATVPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDILLNADDLDAMWVCLRENCVIDDATGAEKVCENCRYGHTCSIYVTDTLTIE >Manes.07G083301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26156855:26159312:1 gene:Manes.07G083301.v8.1 transcript:Manes.07G083301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSIDGESHEAAQRKIPPASSMLWVRNLRRYIGSGVGLGSEALMELETKRILLDIFKEKQQRSAEAATVPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDILLNADDLDAMWVCLRENCVIDDATGAEKVCENCRYGHTCSIYVTDTLTIAKSMSARINSEAEFAYGAGQVNPTRARSPQQL >Manes.06G014800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2430138:2437023:-1 gene:Manes.06G014800.v8.1 transcript:Manes.06G014800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPHPIPRTVEEVFSDFKGRRNGLIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDVPTIFEVVSGNGKNPKDQSATNNSSKSKSSGKMQSRQPEPQTKAVKMSQPPKEDDDSGEEEDEDDEQGATCGACGESYGTDEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSGKRARV >Manes.06G014800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2430450:2434440:-1 gene:Manes.06G014800.v8.1 transcript:Manes.06G014800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCVIIEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINDVPTIFEVVSGNGKNPKDQSATNNSSKSKSSGKMQSRQPEPQTKAVKMSQPPKEDDDSGEEEDEDDEQGATCGACGESYGTDEFWICCDVCERWFHGKCVKITPAKAEHIKQYKCPGCSGKRARV >Manes.06G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26980248:26982885:-1 gene:Manes.06G141700.v8.1 transcript:Manes.06G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFASNLSFLSTFFLLLVLISPAKSRLDAHYYDQTCPQAEKIILDTVQNASMHDPKVPARILRMFFHDCFIRGCDASILLDSTPGNLAEKDGPPNISVRSFYVIDDAKAKLEMACPHTISCADIIAIAARDVVAMSGGPYWNVLKGRKDGRVSKANDTINLPAPTFNVTQLIQSFAKRGLGVKDMVALSGGHTLGFSHCSSFEARLGNFSSVHAIDTSMNREFAVKLRKKCPKSNKDHNAGEFLDSTAATFDNDYYKRLKEGKGVFGSDQALFGDYRTRWIVESFAKDQSLFFREFATSMVKLGNVEVIENEEVRRKCRVVNSYSE >Manes.12G070350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7529421:7530026:1 gene:Manes.12G070350.v8.1 transcript:Manes.12G070350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLILLRMFTLHTNFRKQKSEIKQLKPAGDVESVIRAAEVTTRRVATAEPNGAAERKGAGGDDWTRGSDRRRRLNQTQGQRTLQGYKMDEQRRRRLDQDERQEAMAGPEGLSGGDDWTRCSGREQSDREIGRERAAVRRSLSFEKICN >Manes.04G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:421504:427244:-1 gene:Manes.04G001300.v8.1 transcript:Manes.04G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMKIEEVQSTAKKQRIATHTHIKGLGLEPSGKAIPLGAGFVGQMEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTQIYGPAEMIQILAIRAQVEELIVDEESLAFLGEIGQRSSLRHAVQLLSPASIVAKMNGRDNICKADLEEVSALYLDAKSSAKLLQEQQEKYIS >Manes.10G061900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8647213:8649314:1 gene:Manes.10G061900.v8.1 transcript:Manes.10G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKMMLLFLMFFNYFHFIAFSSSSSPLHKSFVSDSLQKDITGRHEDENNGIERDGYSNGRSGIINSSKFARGGAAGGRSTGAHGGAAENGNENGNSQAGAAVVPVIVAGAANNNRPKNSHRGAANSDRNCIRFPAMIMITLATLTVHIYM >Manes.14G043400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3801070:3804374:1 gene:Manes.14G043400.v8.1 transcript:Manes.14G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPSALKTWNQNVPKVLLPLSLLSNPNKCFSEFIKHPSHFNCILSYAIASGLYKDPFISSKLLYYSFSICRNLSFSRSLFFQIQNPNIFAYNFMIKAHSQSSTPIESIILYNLMLRNGIFPDNYTFPFILKACGHLLLLNKGQEVHALSLKLGLEYDIFVQNSLISMYSSCRKIEIARGVFYLVPIFIRDVVSWNSMMSGYVQCDCSGEALKLFGNLLGENCARFDEVTLINALTASGRMGFLDLGKELHGLIIVNSFVLDVFLGSSLIDMYAKCGKMEDARKVFAKIPDRNLVCWTSMIVGYVRLDMFKEAIELFREIQLAKVVADAALAASVISACGHMGALEQGRWLHAYCERNGIDMNLSVRNALIDMYSKCGEIEKACQIFNEMVNRDVFSWTSMISGLAMNGKSDEALDLFAQMEMSSDVKPNEVTFLGVLSACSHGGFVVKGFHYFKAMSLIHHIKPRIEHYGCMVDLLGRANLMVEAENFIRAMPVEPDVVTWRSLLFACRSHGNAELAELAINKIEELEPRRSEAHVLLSHVYASASRWGDVNKVRKCMARQKIQKNPGCSFVEINGLVHEFFAEDKSYNQINVLYETNMQIHKVLQSEGLESDLLDHQQQ >Manes.06G162600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29017412:29019086:1 gene:Manes.06G162600.v8.1 transcript:Manes.06G162600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPNATEMNLFQISPLGIFWKSLAIGCPLLFYAFLFSHNPHTQFSSDPFSPLQLKFPASSSPNLSIHSPTNISHIGFIVISSLKTWNTRNPYILSWWRPNLTRGFVFLDEQPTQEYLPWPSTSPPYQINENVSNLRIYPKLVSPVQVRMFRSLLDMYRVVGDGNKDLRWFMMCDDDTVLFVDNLVEILDKYDHSKYFYIGDNSECVGSNFYITFDMGYGGAGYALSYPLVELLATRLDACIERYSHLHFSDHMAQSCLADIGVALTIEKGIHQIDLQGDISGLLSAHPQSPLLSLHHFDFINPIFPSMDRYEATNHLMKAAKFDQSRLMQQTICYDKKRNWSFSVSWGYSTHIYENTIPRSILRKPLETFKPWHGNANPPFYMFNTRSVTNDPCEAPHVFFFQSIEFDSASKNHLLTTYFRASPRNLPPCSPDGNHSADSISKIQVLSPATTRKPAGVIECCDVEYKAEMDSTEIVIRSCMKGEVVA >Manes.10G042000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4372565:4378357:-1 gene:Manes.10G042000.v8.1 transcript:Manes.10G042000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRITKHPQQLCKTISPINPNSVSIRNLSTDPEDFTGTINYFISIFTKQPFCPDSPELKSLAPMLNPRVVEYVLNSFKSWRIAYSFFNWASNQYGYKHNIYTYNAMASILSHARENAPLRALSSAILNSRCSMTPGALGFFIRCLGSVGLADEANVLFDQVKMMGLCMPNSYSYNCLLEAISRSKCRSTSVILVETRLQEMRNQGWEFDKYTLTPVLQVYCNAGKFNEALGVFNKICDRGWVDEHILSILVLCFSKCSEVDKAFELIEKMEDQNVRLNEKTFCILIHGFVKLSRVDKALQLFDKMQKYGFTPDLALFDVLIGGLCKSNELEKALSLYAQMKLFKILPDVGIFTKLISSFSEEGELTYVLDKIHEDMDLEGLTMLCNSCLNSLVNSGLIDKAHCLLQGMMGKAYGDNVELHKLFQDKKVFAPNTASFAIVIKALVPAGKLDLALSLFQDMAQIGCSRNLVIYNNLIDGLCKSDRLEESYEILREMKESGIEPTQFTHNAMFGCLCRRGDASGALDLVKKMRIHGHEPWIKHYTFLVRKMCKNGKATQACKFLADMVQEGFLPDIIAYSASLSGLIEIQEVDQALKLFRDICARGHCPDVVAYNILISGLFKTQRITEAHTIFEEMVMKGLVPSVVTYNLLIDGWCKSGCIDEALHCLSRMSANEREPNVITYTTLIGGLCNAGRPDDAVMLWTEMRRRGCTPNRVAFMAFIHGLCQCGRPNAALVHLREMEDNEMEPDSFIYVALVSAFLADVKLPLAFEVLKEMVDKGKFPDLLDKNYIIVRDAILKLSKDARTSSSVRSLISNGSIPSITL >Manes.10G042000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4372586:4378356:-1 gene:Manes.10G042000.v8.1 transcript:Manes.10G042000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRITKHPQQLCKTISPINPNSVSIRNLSTDPEDFTGTINYFISIFTKQPFCPDSPELKSLAPMLNPRVVEYVLNSFKSWRIAYSFFNWASNQYGYKHNIYTYNAMASILSHARENAPLRALSSAILNSRCSMTPGALGFFIRCLGSVGLADEANVLFDQVKMMGLCMPNSYSYNCLLEAISRSKCRSTSVILVETRLQEMRNQGWEFDKYTLTPVLQVYCNAGKFNEALGVFNKICDRGWVDEHILSILVLCFSKCSEVDKAFELIEKMEDQNVRLNEKTFCILIHGFVKLSRVDKALQLFDKMQKYGFTPDLALFDVLIGGLCKSNELEKALSLYAQMKLFKILPDVGIFTKLISSFSEEGELTYVLDKIHEDMDLEGLTMLCNSCLNSLVNSGLIDKAHCLLQGMMGKAYGDNVELHKLFQDKKVFAPNTASFAIVIKALVPAGKLDLALSLFQDMAQIGCSRNLVIYNNLIDGLCKSDRLEESYEILREMKESGIEPTQFTHNAMFGCLCRRGDASGALDLVKKMRIHGHEPWIKHYTFLVRKMCKNGKATQACKFLADMVQEGFLPDIIAYSASLSGLIEIQEVDQALKLFRDICARGHCPDVVAYNILISGLFKTQRITEAHTIFEEMVMKGLVPSVVTYNLLIDGWCKSGCIDEALHCLSRMSANEREPNVITYTTLIGGLCNAGRPDDAVMLWTEMRRRGCTPNRVAFMAFIHGLCQCGRPNAALVHLREMEDNEMEPDSFIYVALVSAFLADVKLPLAFEVLKEMVDKGKFPDLLDKNYIIVRDAILKLSKDARTSSSVRSLISNGSIPSITL >Manes.10G042000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4357497:4378356:-1 gene:Manes.10G042000.v8.1 transcript:Manes.10G042000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRITKHPQQLCKTISPINPNSVSIRNLSTDPEDFTGTINYFISIFTKQPFCPDSPELKSLAPMLNPRVVEYVLNSFKSWRIAYSFFNWASNQYGYKHNIYTYNAMASILSHARENAPLRALSSAILNSRCSMTPGALGFFIRCLGSVGLADEANVLFDQVKMMGLCMPNSYSYNCLLEAISRSKCRSTSVILVETRLQEMRNQGWEFDKYTLTPVLQVYCNAGKFNEALGVFNKICDRGWVDEHILSILVLCFSKCSEVDKAFELIEKMEDQNVRLNEKTFCILIHGFVKLSRVDKALQLFDKMQKYGFTPDLALFDVLIGGLCKSNELEKALSLYAQMKLFKILPDVGIFTKLISSFSEEGELTYVLDKIHEDMDLEGLTMLCNSCLNSLVNSGLIDKAHCLLQGMMGKAYGDNVELHKLFQDKKVFAPNTASFAIVIKALVPAGKLDLALSLFQDMAQIGCSRNLVIYNNLIDGLCKSDRLEESYEILREMKESGIEPTQFTHNAMFGCLCRRGDASGALDLVKKMRIHGHEPWIKHYTFLVRKMCKNGKATQACKFLADMVQEGFLPDIIAYSASLSGLIEIQEVDQALKLFRDICARGHCPDVVAYNILISGLFKTQRITEAHTIFEEMVMKGLVPSVVTYNLLIDGWCKSGCIDEALHCLSRMSANEREPNVITYTTLIGGLCNAGRPDDAVMLWTEMRRRGCTPNRVAFMAFIHGLCQCGRPNAALVHLREMEDNEMEPDSFIYVALVSAFLADVKLPLAFEVLKEMVDKGKFPDLLDKNYIIVRDAILKLSKDARTSSSVRSLISNGSIPSITL >Manes.10G042000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4374651:4378350:-1 gene:Manes.10G042000.v8.1 transcript:Manes.10G042000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRITKHPQQLCKTISPINPNSVSIRNLSTDPEDFTGTINYFISIFTKQPFCPDSPELKSLAPMLNPRVVEYVLNSFKSWRIAYSFFNWASNQYGYKHNIYTYNAMASILSHARENAPLRALSSAILNSRCSMTPGALGFFIRCLGSVGLADEANVLFDQVKMMGLCMPNSYSYNCLLEAISRSKCRSTSVILVETRLQEMRNQGWEFDKYTLTPVLQVYCNAGKFNEALGVFNKICDRGWVDEHILSILVLCFSKCSEVDKAFELIEKMEDQNVRLNEKTFCILIHGFVKLSRVDKALQLFDKMQKYGFTPDLALFDVLIGGLCKSNELEKALSLYAQMKLFKILPDVGIFTKLISSFSEEGELTYVLDKIHEDMDLEGLTMLCNSCLNSLVNSGLIDKAHCLLQGMMGKAYGDNVELHKLFQDKKVFAPNTASFAIVIKALVPAGKLDLALSLFQDMAQIGCSRNLVIYNNLIDGLCKSDRLEESYEILREMKESGIEPTQFTHNAMFGCLCRRGDASGALDLVKKMRIHGHEPWIKHYTFLVRKMCKNGKATQACKFLADMVQEGFLPDIIAYSASLSGLIEIQEVDQALKLFRDICARGHCPDVVAYNILISGLFKTQRITEAHTIFEEMVMKGLVPSVVTYNLLIDGWCKSGCIDEALHCLSRMSANEREPNVITYTTLIGGLCNAGRPDDAVMLWTEMRRRGCTPNRVAFMAFIHGLCQCGRPNAALVHLREMEDNEMEPDSFIYVALVSAFLADVKLPLAFEVLKEMVDKGKFPDLLDKNYIIVRDAILKLSKDARTSSSVRSLISNGSIPSITL >Manes.10G042000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4357550:4378350:-1 gene:Manes.10G042000.v8.1 transcript:Manes.10G042000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRITKHPQQLCKTISPINPNSVSIRNLSTDPEDFTGTINYFISIFTKQPFCPDSPELKSLAPMLNPRVVEYVLNSFKSWRIAYSFFNWASNQYGYKHNIYTYNAMASILSHARENAPLRALSSAILNSRCSMTPGALGFFIRCLGSVGLADEANVLFDQVKMMGLCMPNSYSYNCLLEAISRSKCRSTSVILVETRLQEMRNQGWEFDKYTLTPVLQVYCNAGKFNEALGVFNKICDRGWVDEHILSILVLCFSKCSEVDKAFELIEKMEDQNVRLNEKTFCILIHGFVKLSRVDKALQLFDKMQKYGFTPDLALFDVLIGGLCKSNELEKALSLYAQMKLFKILPDVGIFTKLISSFSEEGELTYVLDKIHEDMDLEGLTMLCNSCLNSLVNSGLIDKAHCLLQGMMGKAYGDNVELHKLFQDKKVFAPNTASFAIVIKALVPAGKLDLALSLFQDMAQIGCSRNLVIYNNLIDGLCKSDRLEESYEILREMKESGIEPTQFTHNAMFGCLCRRGDASGALDLVKKMRIHGHEPWIKHYTFLVRKMCKNGKATQACKFLADMVQEGFLPDIIAYSASLSGLIEIQEVDQALKLFRDICARGHCPDVVAYNILISGLFKTQRITEAHTIFEEMVMKGLVPSVVTYNLLIDGWCKSGCIDEALHCLSRMSANEREPNVITYTTLIGGLCNAGRPDDAVMLWTEMRRRGCTPNRVAFMAFIHGLCQCGRPNAALVHLREMEDNEMEPDSFIYVALVSAFLADVKLPLAFEVLKEMVDKGKFPDLLDKNYIIVRDAILKLSKDARTSSSVRSLISNGSIPSITL >Manes.12G112300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30980946:30981645:1 gene:Manes.12G112300.v8.1 transcript:Manes.12G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQQGQNMEKKPENDQEQKNVKSLEGLPVEDSPYLQYKDLEDYKQKGYGTQGHLPPKPGRGAGATDAPTLSGGSVPRESDVSTIDAITNRRNQGTR >Manes.03G193900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31183836:31188920:1 gene:Manes.03G193900.v8.1 transcript:Manes.03G193900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAYLLVTEMGNDPTILPCTSHDFLMGLPISLMWIKEEVKNIKHERQEFPGDLNGKSIKYESITEMQIFTGGELQVKTEPVDITTNGGIEDSEPGKYSLQEDKKNQMHQQHGCKGYLMVPGTLGVAWNDLEEASFLLGLYIFGKNLVQVKKFVESTEMGDILSFYYGKFYRSERYHRWSECRKVRSRRCIYGQRIFTGSTQQELLSRLFLHVSAECKNGLMEVSKTFGEGKMSLEEYVLTLKATVGLNTLVEAVGIGKGKQDLTGIAVEPLKSNHVASARPEIPVGKACSTLTPLEIVNFLNGGYRLSKARSNDLFWEAVWPRLLARGWHSEQPNDHGFVAASRNYLVFLIPGIKKFSRRKLVKGNHYFDSVTDVLNKVASDPALLELDVGTDKGYGNKEENGWTSDKIMDQQDFPDKQRHCYLKPRTPSRSAEIMKFTVVDTSLANGETSKVRALRSLPFEMINISTFTSDSEESDEDSSDDSRNESDSSDNLCFHHDKTDISKSAKINIDKGDSSGRENFENNVLMQCSPILGTGFTKVPVKIPKDQKDGKYGDRQPSKHVKGHAIKRTKPADRNLLAPVAKRCRRLTACDRTATTSGTVNVSVDPRLEQDEVGCTSGNPDLQESTLSHRDSHQAKLSSTSSSSRGSPTITDECTLSSNSPFAEHHNGKSQPRTLIDLNIPIPQDADSEPLMMEITESQHDQASGQAEDSVMLKSSISVCDSTTEQPSGISRRQSTRNRPLTTKALEALACGFLSIKQKRRSREDDFSLENSISRPSRRARSKVRVTENFGTGVMDFKGDERANGVCKGSSDMFSELHV >Manes.03G193900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31183162:31188907:1 gene:Manes.03G193900.v8.1 transcript:Manes.03G193900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVVQVKHDRNCIEHESSEQSLCPETLGISDAFRDPELLPRIGDRYQVEIPSLMTRSAYLLVTEMGNDPTILPCTSHDFLMGLPISLMWIKEEVKNIKHERQEFPGDLNGKSIKYESITEMQIFTGGELQVKTEPVDITTNGGIEDSEPGKYSLQEDKKNQMHQQHGCKGYLMVPGTLGVAWNDLEEASFLLGLYIFGKNLVQVKKFVESTEMGDILSFYYGKFYRSERYHRWSECRKVRSRRCIYGQRIFTGSTQQELLSRLFLHVSAECKNGLMEVSKTFGEGKMSLEEYVLTLKATVGLNTLVEAVGIGKGKQDLTGIAVEPLKSNHVASARPEIPVGKACSTLTPLEIVNFLNGGYRLSKARSNDLFWEAVWPRLLARGWHSEQPNDHGFVAASRNYLVFLIPGIKKFSRRKLVKGNHYFDSVTDVLNKVASDPALLELDVGTDKGYGNKEENGWTSDKIMDQQDFPDKQRHCYLKPRTPSRSAEIMKFTVVDTSLANGETSKVRALRSLPFEMINISTFTSDSEESDEDSSDDSRNESDSSDNLCFHHDKTDISKSAKINIDKGDSSGRENFENNVLMQCSPILGTGFTKVPVKIPKDQKDGKYGDRQPSKHVKGHAIKRTKPADRNLLAPVAKRCRRLTACDRTATTSGTVNVSVDPRLEQDEVGCTSGNPDLQESTLSHRDSHQAKLSSTSSSSRGSPTITDECTLSSNSPFAEHHNGKSQPRTLIDLNIPIPQDADSEPLMMEITESQHDQASGQAEDSVMLKSSISVCDSTTEQPSGISRRQSTRNRPLTTKALEALACGFLSIKQKRRSREDDFSLENSISRPSRRARSKVRVTENFGTGVMDFKGDERANGVCKGSSDMFSELHV >Manes.01G149100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33838760:33842106:-1 gene:Manes.01G149100.v8.1 transcript:Manes.01G149100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKDRDLKTPKQKRRLRSSSNRFLKPGALAQLRYSKAAATKSCTDLGKKRVAVFTTKKAEEDDLMNENKVVDKSPLMLSPVDILKQSHLVRTPKTPQTEESESESRLESLPMDLLVKILCHLHHDQLRAVFHVSRRIRRAVLLARQFHFNYTTPDRSRQEMLRTMTPRPTEHWPFIGKKDGKAVFIPSPHTPKAPRHGPRPPSRIKVAEMKQIAAVLFQDSAFPSRYMVPSALSKPLCKSLASNRVLFYEDELCQAVAQNKLR >Manes.01G149100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33838143:33842130:-1 gene:Manes.01G149100.v8.1 transcript:Manes.01G149100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKDRDLKTPKQKRRLRSSSNRFLKPGALAQLRYSKAAATKSCTDLGKKRVAVFTTKKAEEDDLMNENKVVDKSPLMLSPVDILKQSHLVRTPKTPQTEESESESRLESLPMDLLVLLARQFHFNYTTPDRSRQEMLRTMTPRPTEHWPFIGKKDGKAVFIPSPHTPKAPRHGPRPPSRIKVAEMKQIAAVLFQDSAFPSRYMVPSALSKPLCKSLASNRVLFYEDELCQAVAQNKLR >Manes.01G149100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33838144:33842129:-1 gene:Manes.01G149100.v8.1 transcript:Manes.01G149100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKDRDLKTPKQKRRLRSSSNRFLKPGALAQLRYSKAAATKSCTDLGKKRVAVFTTKKAEEDDLMNENKVVDKSPLMLSPVDILKQSHLVRTPKTPQTEESESESRLESLPMDLLVKILCHLHHDQLRAVFHVSRRIRRAVLLARQFHFNYTTPDRSRQEMLRTMTPRPTEHWPFIGKKDGKAVFIPSPHTPKAPRHGPRPPSRIKVAEMKQIAAVLFQDSAFPSRYMVPSALSKPLCKSLASNRVLFYEDELCQAVAQNKLR >Manes.01G149100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33838506:33842129:-1 gene:Manes.01G149100.v8.1 transcript:Manes.01G149100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKDRDLKTPKQKRRLRSSSNRFLKPGALAQLRYSKAAATKSCTDLGKKRVAVFTTKKAEEDDLMNENKVVDKSPLMLSPVDILKQSHLVRTPKTPQTEESESESRLESLPMDLLVKILCHLHHDQLRAVFHVSRRIRRAVLLARQFHFNYTTPDRSRQEMLRTMTPRPTEHWPFIGKKDGKAVFIPSPHTPKAPRHGPRPPSRIKVAEMKQIAAVLFQDSAFPSRYMVPSALSKPLCKSLASNRVLFYEDELCQAVAQNKLR >Manes.01G149100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33838760:33841648:-1 gene:Manes.01G149100.v8.1 transcript:Manes.01G149100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKDRDLKTPKQKRRLRSSSNRFLKPGALAQLRYSKAAATKSCTDLGKKRVAVFTTKKAEEDDLMNENKVVDKSPLMLSPVDILKQSHLVRTPKTPQTEESESESRLESLPMDLLVKILCHLHHDQLRAVFHVSRRIRRAVLLARQFHFNYTTPDRSRQEMLRTMTPRPTEHWPFIGKKDGKAVFIPSPHTPKAPRHGPRPPSRIKVAEMKQIAAVLFQDSAFPSRYMVPSALSKPLCKSLASNRVLFYEDELCQAVAQNKLR >Manes.01G252700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41088184:41091151:1 gene:Manes.01G252700.v8.1 transcript:Manes.01G252700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGQLLSKIATNEGHGENSPYFDGWKAYDRNPFHPIDNPDGVIQMGLAENQLCFDLIRDWIKQHPEASICTFDGVDKFKDIANFQDYHGLIEFRQAIAKFMGMVRGGRVTFDPDRIVMGGGATGANELIMFCLADPGDGFLVPSPYYPAFDRDLTWRTGVQIIPVDSYSSNKFRVTKKALEAAYDKAQEAGINVKGLIIANPSNPLGTILDRETLKDLVSFINERNIHLVIDEIYAATVFSSPSFVSVAEIIQEMDCKRDLIHIVYSLSKDMGLPGFRVGVVYSYNDAVVSCGRKMSSFGLVSSQTQYLLASMLSDEEFVKNFLAESSRRLNKRHSMFTKGLGQVGISCLKSNAGLYVWMDLRHLLKESTFEGEMALWRMIINQVKLNVSPGSSFHCKEPGWFRVCFANMDDQTVEAALKRIRAFVSKGEEDEEMPTKNSKRWQRNLRLSFSARRFEEGVMPPHIMSPHSPIPHSPLVRAK >Manes.15G065254.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4992363:4993670:-1 gene:Manes.15G065254.v8.1 transcript:Manes.15G065254.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKTLFLSGLAVAFIALISFRVSSARELAEAVQSEESAQEKLAQVQNVKEDLTHKNWYSYSYWFEDKHRHDHDHNHKHDGDHKHDHKHDHKHKHDHDGKHDHDHDHKRHHDHKHKHDHDHDYKRHHDHDHKHDCDHKDHKHDCDHDRKHDHKHHKHDGDHNRKHDHDHDHKRDHDHKHDHHHDHDRKHDHKHDHDHKHDHDHDRKHDHHHDHKHDHDHKHDYDHNRKHDYGHNHEHDHHHKKDGYKNYGKVTTMTSLGMESQIEMKPEN >Manes.15G065254.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4992363:4993670:-1 gene:Manes.15G065254.v8.1 transcript:Manes.15G065254.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKTLFLSGLAVAFIALISFRVSSARELAEAVQSESAQEKLAQVQNVKEDLTHKNWYSYSYWFEDKHRHDHDHNHKHDGDHKHDHKHDHKHKHDHDGKHDHDHDHKRHHDHKHKHDHDHDYKRHHDHDHKHDCDHKDHKHDCDHDRKHDHKHHKHDGDHNRKHDHDHDHKRDHDHKHDHHHDHDRKHDHKHDHDHKHDHDHDRKHDHHHDHKHDHDHKHDYDHNRKHDYGHNHEHDHHHKKDGYKNYGKVTTMTSLGMESQIEMKPEN >Manes.01G113600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31146883:31149328:-1 gene:Manes.01G113600.v8.1 transcript:Manes.01G113600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTVRCENLEAHNAEEDGLSQKAETSNTNSTVCCICIQDDGNGNDDAAAADASDLNQMGNIDSSAPLLPLPETDQSIRVGVEGDDDDGVGNREYGLDETPTTIERLERIFLIVNFVIELSTAVFDQLSSVHKPHFALISLLMSSVVMLISVAILVYKGRKQRVKWMKRGLIPWFYYPSPNHKPFGAFPDIIGLVCAFFQCVFSTIGYGFLSRHANNPVKVSFWPIIFAGGLLYSGFVGNPSKGKPLIDTSAHKQMEMRSSVEIVEMALVDGRGNRRKGATLEHLELLRRFEQLEMLKDLGRLNLLEMLSANLEVSSEQLERHRTDDHGALGNDVEASYVALTGDEGRNSNIMVSP >Manes.01G113600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31146882:31148517:-1 gene:Manes.01G113600.v8.1 transcript:Manes.01G113600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTVRCENLEAHNAEEDGLSQKAETSNTNSTVCCICIQDDGNGNDDAAAADASDLNQMGNIDSSAPLLPLPETDQSIRVGVEGDDDDGVGNREYGLDETPTTIERLERIFLIVNFVIELSTAVFDQLSSVHKPHFALISLLMSSVVMLISVAILVYKGRKQRVKWMKRGLIPWFYYPSPNHKPFGAFPDIIGLVCAFFQCVFSTIGYGFLSRHANNPVKVSFWPIIFAGGLLYSGFVGNPSKGKPLIDTSAHKQMEMRSSVEIVEMALVDGRGNRRKGATLEHLELLRRFEQLEMLKDLGRLNLLEMLSANLEVSSEQLERHRTDDHGALGNDVEASYVALTGDEGRNSNIMVSP >Manes.05G101000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9415320:9417789:1 gene:Manes.05G101000.v8.1 transcript:Manes.05G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERNDVDKIDEVMLPGFRFHPTDEELVGFYLKRKIQQRPLSIELIKQLDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGNKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPCLADSAPPKRFIDKTIPANDSWAICRIFKKTNSTAQRALSNPWVSPLPEPSASSLISKGSQTSHHHFSSQNMPLATETSTGIQLNYNNHEIQHSSITSFSPSDFSSFKPVNHNSSLPIKLSHHLPISNGDLTNNFFFSPIETLAPAKCTVDVSSVLLNMSSSMLEDIGYHKVATDSSLDFAGPQDHCNGFSLTCLPQVMQVNAGNGDDHAPMKNPNATHINDQWETVPSIGFPFSLPMSMGDTWKPNLVWDSSSCSSEMSTSFSTAECYT >Manes.02G199500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16858554:16862226:-1 gene:Manes.02G199500.v8.1 transcript:Manes.02G199500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSCGVSLRVAEKSQPHPPLYASVCPPSSPSAKSSKLFFCSQLSFASSNACLSLRSNQTLHSPRLAKSSNPALVVASAKPEPLKIMISGAPASGKGTQCELITKKYGLVHVAAGDLLRAEIASGSENGKRAKEYMEKGQLVPNEIVVMMVKERLLQPDAQENGWLLDGYPRSLSQATALMEFDFWPDLFILLEVPEELLVERVVGRRLDPVTGKIYHLKYSPPESEEIAARLTQRFDDTEEKVKLRLHTHHQNVEAVLSLYKDVILKVDGSVSKEDVFAQIHRALTKLLEDKKATSGSLAA >Manes.09G076395.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13227574:13228165:1 gene:Manes.09G076395.v8.1 transcript:Manes.09G076395.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMIKRLVYLINEILVTLVRRKIRKIVESTQLLRTLHI >Manes.03G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27306112:27327573:1 gene:Manes.03G145400.v8.1 transcript:Manes.03G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARARGGARLQCLSLFIVIHFLVVSANFFKPFNVSYDHRALIIDGQRRMLISGGIHYPRATPEMWPDLIAKSKEGGLDVIQTYVFWNGHEPVKGQYFFEGRYDLVKFVKLVGGSGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIVFRTNNDPFKEEMQRFVKKIVDLMREEMLFSWQGGPIIMLQIENEYGNIEHSFGPEGREYVKWAARMALGLGAGVPWIMCRQTDAPENIIDACNAYYCDGYKPNSHNKPIFWTEDWDGWYTTWGGSLPHRPVEDLAFAVARFFERGGSFQNYYMYFGGTNFGRTAGGPFYITSYDYDAPIDEYGLLSEPKWGHLKDLHAAIKLCEPALVAADSPQYIKLGPKQEAHVYHANARVDSLNSTWHGSQSRCSAFLANIDERKTTTVRFLGQSYTLPPWSVSILPDCKNVVFNTAKVAAQTSIKPVELALPYFSDISTLQQFTAHAEGFNKRASWLTVKEPIRVWSEKNFTVEGILEHLNVTKDYSDYLWYFTRIYVSDDDLAFWEENKVSPAVTIDSMRDVLRIFINGQLIGSVIGHWVKVVQPVQFQKGYNDLVLLSQTVGLQNYGAFLERDGAGFKGQTKLTGFKDGDIDLSNLSWTYQVGLQGEHLKLYTQQNNKEAEWTDLTLDEIPSTFTWYKTYFDAPAGVDPVALDLGSMGKGQAWVNGHHLGRYWTLVAPEGGCQEICDYRGAYSSGKCTTNCGKPTQTWYHIPRSWLQASNNLLVLFEETGGNPFEISIKIRSARVICAQVSETHYPPLHKWVHPDFIDGNISVKDMTPEMQLQCQDGYIISSIEFASYGTPQGSCQKFSRSNCHAPNSLSVVAKACEGRIKCSISISNAVFGGDPCHGIVKTLAAQARCVSSTKVGSSQY >Manes.03G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19612626:19618451:-1 gene:Manes.03G089300.v8.1 transcript:Manes.03G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIARNLSASKSRIKSLLSLSPFAQSLASLSNTQFHHCPMPPPSLSLSFFSSQSTNSNPPPKHQPSPLLLSRDGNYDDATPPTAVCPGCGIHMQNSNPKLPGFFTKPSIKDPNYKSSTHLVPVSLEFEFSNSLKKGVVTDPESPSSNPGSTQNSALERPVVCARCHSLRHYGKVKDPTVENLLPEFDFYHTVGKRLVSATGARSVVLLVVDAVDFVGSFPKKVAKLVSDAIEDNFTAWKEGKSGNVPRIVLVVTKLDLLPTSVSPTRFEHWVRQRAREGGASVIKKVHFVSAVKDWGLKDLVEDVIQLAGPRGNVWAVGMQNAGKSTLINAMVKWAGGDEGNLSLLTEAPVPGTTLGIVRMEGVLPRQAKLFDTPGLLNPHQITTRLTREEQKLVHIGKELKPRTYRIKEGHSIHIGGLIRLDIEELSADSVYVTVWASPYLPLHMGKTENANTMLEDHFGRQLQPPIGEKRVQELGKWVRKEFHISGSTWDSSSVDIAAAGLGWFAIGLKGEAVVGAWTYEGVDVVACNSLIPFRSQIFEIAGFTVSKIVSKADQALNKLKRQSENKRKRSDHQESICG >Manes.14G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5940002:5942005:-1 gene:Manes.14G071600.v8.1 transcript:Manes.14G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSINASIPYAPKDFAKKKKANRSAKLKQCKLDARREQWLSQVAVKNKVSKQETNGQQVSLFDNEKRNAIENLETNRRGRRDEDEKENGTIYHDFDSDSRSHSPPGSRVLSGTNAGTNFTGSSSSSSSSSSGGCCSGSITEEEEEEADDDCLDDWEAMADALAANDDNKQENQNDNSCPRLVTESSPQIDPGVRSDSNSNNLGYSREDLKQEGPRTASPRLSSGHSRAWRPDDAFRPQSLPNLSKQRSFPSAEGHRGQGGLPWHFSKVVNVPSSCPICCEELDVTDTSFLPCMCGYRLCLFCYNRILHVEDGRCPGCRKNYEGNAEEAELSVNGGSLTFWLSRSYSMVART >Manes.11G161050.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32649349:32651118:1 gene:Manes.11G161050.v8.1 transcript:Manes.11G161050.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEYSHNCHRRIILVYSFHTWRSDVEATTILNTSAFTMSSRANETALFSDVSLLSTLNLRASPFVGRAFERSGKFRLKQMLGGNHFREWIEFHKNTVKFCCTRLHSSRNSYFLLYANNFIKWSCTLHKYAFLLLLIGFLPFHFDSKSNVSPVFTT >Manes.11G161050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32649349:32654694:1 gene:Manes.11G161050.v8.1 transcript:Manes.11G161050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEYSHNCHRRIILVYSFHTWRSDVEATTILNTSAFTMSSRANETALFSDVSLLSTLNLRASPFVGRAFERSGKFRLKQMLGGNHFREWIEFHKNTVKFCCTRLHSSRNSYFLLYANNFIKWSCTLHKLLGGILLLLLQRQCFPIYSCSNSKFKVALRMLRQKSDLRC >Manes.11G161050.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32649349:32650397:1 gene:Manes.11G161050.v8.1 transcript:Manes.11G161050.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEYSHNCHRRIILVYSFHTWRSDVEATTILNTSAFTMSSRANETALFSDVSLLSTLNLRASPFVGRAFERSGKFRLKQMLGGNHFREWIEFHKNTVKFCCTRLHSSRLNVLQMSRLANFILQKLGMDGGVCFEVLLGTALFMTWTVILTQGAF >Manes.11G161050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32649349:32651364:1 gene:Manes.11G161050.v8.1 transcript:Manes.11G161050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEYSHNCHRRIILVYSFHTWRSDVEATTILNTSAFTMSSRANETALFSDVSLLSTLNLRASPFVGRAFERSGKFRLKQMLGGNHFREWIEFHKNTVKFCCTRLHSSRNSYFLLYANNFIKWSCTLHKLLGGILLLLLQRQCFPIYSCSNSKFKVALRMSVIFIQEFINFCILLIAHQYFNFS >Manes.11G161050.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32649349:32654694:1 gene:Manes.11G161050.v8.1 transcript:Manes.11G161050.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEYSHNCHRRIILVYSFHTWRSDVEATTILNTSAFTMSSRANETALFSDVSLLSTLNLRASPFVGRAFERSGKFRLKQMLGGNHFREWIEFHKNTVKFCCTRLHSSRLLGGILLLLLQRQCFPIYSCSNSKFKVALRMLRQKSDLRC >Manes.11G161050.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32649349:32651364:1 gene:Manes.11G161050.v8.1 transcript:Manes.11G161050.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEYSHNCHRRIILVYSFHTWRSDVEATTILNTSAFTMSSRANETALFSDVSLLSTLNLRASPFVGRAFERSGKFRLKQMLGGNHFREWIEFHKNTVKFCCTRLHSSRLLGGILLLLLQRQCFPIYSCSNSKFKVALRMSVIFIQEFINFCILLIAHQYFNFS >Manes.05G015600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483116:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKGAKLASCPFHEKFVQGKKSNEFNNALPTDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483118:1497699:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKGAKLASCPFHEKFVQGKKSNEFNNALPTDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483118:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483118:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKGAKLASCPFHEKFVQGKKSNEFNNALPTDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483118:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKGAKLASCPFHEKFVQGKKSNEFNNALPTDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483118:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483118:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483118:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKGAKLASCPFHEKFVQGKKSNEFNNALPTDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEVTVSVFISDSLEYLSRLIWN >Manes.05G015600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483116:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKGAKLASCPFHEKFVQGKKSNEFNNALPTDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483117:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKGAKLASCPFHEKFVQGKKSNEFNNALPTDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483118:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.05G015600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1483117:1497377:-1 gene:Manes.05G015600.v8.1 transcript:Manes.05G015600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGQALDIDIPPPRPKRKPHNPYPRKTGVGPPPSHVAVKDGNPLNCKQTLDLEKEPPPEKSSGDEKHANGKENQDNSRSEVFTVVQEAHCPSVPSANKNSVPMPEALRKSCSVREFVPSLKEVVNQDASNESYITIELHGNQKLDKPDAKQTVQDNGTNKGAKLASCPFHEKFVQGKKSNEFNNALPTDEMEAVQNYPRHVPVHVLDGSLGTCTHTPPSDVSFQDSIFQPIGEVHGHPNLYSYPAASTTTQNQTYPPSLAPTHHNQDDYRSFLHISSAFSSLIVSTLLQNPAAHAAASFAATFWPYANMASSADSPPCAQGGFPSRQMNSAPSMAAIAAATVAAATAWWAAHGLLPLCAPLHAAFTCSPAATAVPSVDAGQVPAARTERKETTLRNPHLQDQQLDLERSEALQAQNSASKSPNVSLSDSDESGGPKQNTVSKSTDHEMAATAPDIHDPSKMKNRKQVDRSSCGSNTSSSSEVETDAPKKLEKDKEESKEVDPNHLANESSSRRSRCSSNTSDSWKEVSEEGRLAFQALFSREILPQSFSPPHDLKGEAHRKDIVEDKQNTDEKDGNASPVNITSMIRESCTSHHELEKKYSGENGLLTIGLGHGKLKARRTGFKPYKRCSMEAKENRVMTTGCQYEEKGPKRIRVDGEALI >Manes.18G064980.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5876551:5879847:-1 gene:Manes.18G064980.v8.1 transcript:Manes.18G064980.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAREESVYMAKLAEQAERYEEMVEFMEKVSASVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSTIRDYRGKIESELSSICDGILKLLDTRLIPSASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEASKPTDEQQ >Manes.04G063836.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23489056:23491867:-1 gene:Manes.04G063836.v8.1 transcript:Manes.04G063836.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPFKFTWRINNFSTLTPKELYSEVFYAGGCQWCLLVFPKGNKVDYLSIYLAVADSTSLPQEWSRDAKFSFAVINQINKSLTVRKDTQHVFKAIEKDWGFTSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVKHYSKPEPKKQEAKDETKPSEPVAAPPTSQVPSSEKVVDTKAKVDTKPLNQTKEGIQATATPTSDKEVIKSSPPPSVTVETKILPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQKQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESVPMVITTAETAQARRTSLSEKTADLDAKLAQRHEELSSKEAEFLRLSTEEEKLEAQIQLLIKQKEDVVAHKKSVLVELEKSNKEVSKDLEEWKKLESEIKQANVNWLGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.01G074200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27676768:27680082:-1 gene:Manes.01G074200.v8.1 transcript:Manes.01G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFFSGLWSSSCSKERENFWLTISVSAILILWFCSNLRKSKKRIPPLPPGPRGLPVVGYLPFLDTELHKQFTELAGVYGPIYKLWLGKKLCVVVSSNSAAKEVLRDQDAIVGNRDPPVVAQIISYGVLDIAWSSLGPAWKKMRKIFAREMLSNSNLETLSSLRKQEVKKTVRNTYNNTGKPVDIGELAFLVSINTMTNMLWGSIHEGDDTHNDGKQFKKLMAGLMVLLGKPNISDFFPMLAALDLQGMQKQARKFVQSFDNFINPIIERGQKLVEANQGRTTAEKDKQRKDFLILLLELKEQHENTETSFSMNEIKSLLTDVVVGGSDTTSTVVEWVFAELMHNQEMMEKVHQELDEVVGLNNCVEEFHLPRLSYLDAVVKETLRLHPPLPLLVPRRPSQSCSIGGYTIPKGSAIFLNVYAIHRDPNLWDNPLEFRPERFLNEDSTTKFDYSGNNFQFFPFGSGRRICAGLPLAEKMLMYQLASLLHSFEWKLPNNTKLELSDKYGIVVKVLKPVVVIPTPRLSNLDMY >Manes.14G047246.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4121310:4123010:-1 gene:Manes.14G047246.v8.1 transcript:Manes.14G047246.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGSKFKYSSEPIPARLRPLLLRKFEKMRRWRHGVSLEDNDSVTCSKKELLKDGFFDTDNSSHPHNSDHKSSSSQEDHGLNVAPAPESDETALKNKMDPNKAAETAPLQPMSLAKDVSVEALLPKESTNESKKSKKEKNIQQDRKDEYIEKFAEIETTEDENWEEEDGRLSRLTEKCDYPGSPSFRFYCIESLSNKDDGSDKDVDDDASDNCLATSESTNNFDAGSVTKTKKKGRKVIRLRRVIPKGRSSAVMTLLNVKSCYTPTCIRRDNAYILAEKAVA >Manes.05G057800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4845588:4846515:-1 gene:Manes.05G057800.v8.1 transcript:Manes.05G057800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGHKPHFLEACFLCRKPLGCNADIFMYRGNTPFCSKECRQEQIEMDECRKNKNWKLSSSSSRSLRKSEAEETSPNKTVRTDTVAVA >Manes.05G151701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26113327:26113673:-1 gene:Manes.05G151701.v8.1 transcript:Manes.05G151701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATKQQRKIQQICCIVCINLILLLQGIYQCLWFSCRTIITGYGRKVCHDMRSNLASIEVNRMKIFSAMNGMQ >Manes.09G109900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31254023:31260052:-1 gene:Manes.09G109900.v8.1 transcript:Manes.09G109900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDLNSSAHYMLPTKRAGGGELVIEEDGPIRTATESLTKKPCLDCVASDAAAAASPATTGNAKSTGNSTISIIADNNHGSGELESPIMTLRNGNSQDIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGVVELWDLSGNFVFTEEDVGKNRALASVQKLQELNNSVVISTLTTELTKERLSDFQAVVFTDLSLEKAVEFDEYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFMVLDVDGEDPHTGIIASISNDDPALVACVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKVTNARPYSFQIEEDTTKYGSYVKGGIVTQVKQPKVLNFKPLRDALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFISELGRFPVAGSEEDAQKLISFVASINDSLPDGRLEEINEEILRLFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTESLDPSDLKPLNSRYDAQISVFGSKLQKKLGDANVFMVGSGALGCEFLKNLALMGVSCCEKGKLIITDDDVIEKSNLSRQFLFRDWNIGQAKSMVAASAATLINPHLNIEALQNRASPETESVFNDTFWENLSVVINALDNVNARLYIDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLRNPKEYTSAMKNAGDAQAKDNLERILECLDKERCKEFQDCITWARLKFEDYFVNRVKQLTFTFPEDATTSNGTPFWSAPKRFPQPLEFSTDDLSHLHFVMAASILRAGIFGIPVPDWVKSPKKLADAVSKVMVPKFQPKENVKIETDEKATNIPPSSVDDALVIDELIIKLENCQQHLLPGFKMNPVQFEKDDDTNYHMDMIAGLANMRARNYGIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLDGGHKLEDYRNSFANLSLPLFSMAEPVPPKKIKHQDMSWTVWDRWILTDNPTLRELLDWLKNKGLNAYSISYGSCLLYNSMFPRHKERMDKKLVDLAREVAKAELPPYQQHFDVVVACEDDEDNDIDIPQISIRLG >Manes.09G109900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31254021:31260625:-1 gene:Manes.09G109900.v8.1 transcript:Manes.09G109900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRAGGGELVIEEDGPIRTATESLTKKPCLDCVASDAAAAASPATTGNAKSTGNSTISIIADNNHGSGELESPIMTLRNGNSQDIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGVVELWDLSGNFVFTEEDVGKNRALASVQKLQELNNSVVISTLTTELTKERLSDFQAVVFTDLSLEKAVEFDEYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFMVLDVDGEDPHTGIIASISNDDPALVACVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKVTNARPYSFQIEEDTTKYGSYVKGGIVTQVKQPKVLNFKPLRDALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFISELGRFPVAGSEEDAQKLISFVASINDSLPDGRLEEINEEILRLFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTESLDPSDLKPLNSRYDAQISVFGSKLQKKLGDANVFMVGSGALGCEFLKNLALMGVSCCEKGKLIITDDDVIEKSNLSRQFLFRDWNIGQAKSMVAASAATLINPHLNIEALQNRASPETESVFNDTFWENLSVVINALDNVNARLYIDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLRNPKEYTSAMKNAGDAQAKDNLERILECLDKERCKEFQDCITWARLKFEDYFVNRVKQLTFTFPEDATTSNGTPFWSAPKRFPQPLEFSTDDLSHLHFVMAASILRAGIFGIPVPDWVKSPKKLADAVSKVMVPKFQPKENVKIETDEKATNIPPSSVDDALVIDELIIKLENCQQHLLPGFKMNPVQFEKDDDTNYHMDMIAGLANMRARNYGIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLDGGHKLEDYRNSFANLSLPLFSMAEPVPPKKIKHQDMSWTVWDRWILTDNPTLRELLDWLKNKGLNAYSISYGSCLLYNSMFPRHKERMDKKLVDLAREVAKAELPPYQQHFDVVVACEDDEDNDIDIPQISIRLG >Manes.09G109900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31254021:31260625:-1 gene:Manes.09G109900.v8.1 transcript:Manes.09G109900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRAGGGELVIEEDGPIRTATESLTKKPCLDCVASDAAAAASPATTGNAKSTGNSTISIIADNNHGSGELESPIMTLRNGNSQDIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGVVELWDLSGNFVFTEEDVGKNRALASVQKLQELNNSVVISTLTTELTKERLSDFQAVVFTDLSLEKAVEFDEYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFMVLDVDGEDPHTGIIASISNDDPALVACVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKVTNARPYSFQIEEDTTKYGSYVKGGIVTQVKQPKVLNFKPLRDALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFISELGRFPVAGSEEDAQKLISFVASINDSLPDGRLEEINEEILRLFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTESLDPSDLKPLNSRYDAQISVFGSKLQKKLGDANVFMVGSGALGCEFLKNLALMGVSCCEKGKLIITDDDVIEKSNLSRQFLFRDWNIGQAKSMVAASAATLINPHLNIEALQNRASPETESVFNDTFWENLSVVINALDNVNARLYIDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLRNPKEYTSAMKNAGDAQAKDNLERILECLDKERCKEFQDCITWARLKFEDYFVNRVKQLTFTFPEDATTSNGTPFWSAPKRFPQPLEFSTDDLSHLHFVMAASILRAGIFGIPVPDWVKSPKKLADAVSKVMVPKFQPKENVKIETDEKATNIPPSSVDDALVIDELIIKLENCQQHLLPGFKMNPVQFEKDDDTNYHMDMIAGLANMRARNYGIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLDGGHKLEDYRNSFANLSLPLFSMAEPVPPKKIKHQDMSWTVWDRWILTDNPTLRELLDWLKNKGLNAYSISYGSCLLYNSMFPRHKERMDKKLVDLAREVAKAELPPYQQHFDVVVACEDDEDNDIDIPQISIRLG >Manes.09G109900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31254023:31260192:-1 gene:Manes.09G109900.v8.1 transcript:Manes.09G109900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRAGGGELVIEEDGPIRTATESLTKKPCLDCVASDAAAAASPATTGNAKSTGNSTISIIADNNHGSGELESPIMTLRNGNSQDIDEDLHSRQLAVYGRETMRRLFASNILVSGMQGLGAEIAKNLILAGVKSVTLHDEGVVELWDLSGNFVFTEEDVGKNRALASVQKLQELNNSVVISTLTTELTKERLSDFQAVVFTDLSLEKAVEFDEYCHNHQPPIAFIKSEVRGLFGSVFCDFGPEFMVLDVDGEDPHTGIIASISNDDPALVACVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKVTNARPYSFQIEEDTTKYGSYVKGGIVTQVKQPKVLNFKPLRDALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFISELGRFPVAGSEEDAQKLISFVASINDSLPDGRLEEINEEILRLFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTESLDPSDLKPLNSRYDAQISVFGSKLQKKLGDANVFMVGSGALGCEFLKNLALMGVSCCEKGKLIITDDDVIEKSNLSRQFLFRDWNIGQAKSMVAASAATLINPHLNIEALQNRASPETESVFNDTFWENLSVVINALDNVNARLYIDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLRNPKEYTSAMKNAGDAQAKDNLERILECLDKERCKEFQDCITWARLKFEDYFVNRVKQLTFTFPEDATTSNGTPFWSAPKRFPQPLEFSTDDLSHLHFVMAASILRAGIFGIPVPDWVKSPKKLADAVSKVMVPKFQPKENVKIETDEKATNIPPSSVDDALVIDELIIKLENCQQHLLPGFKMNPVQFEKDDDTNYHMDMIAGLANMRARNYGIPEVDKLKAKFIAGRIIPAIATSTALATGLVCLELYKVLDGGHKLEDYRNSFANLSLPLFSMAEPVPPKKIKHQDMSWTVWDRWILTDNPTLRELLDWLKNKGLNAYSISYGSCLLYNSMFPRHKERMDKKLVDLAREVAKAELPPYQQHFDVVVACEDDEDNDIDIPQISIRLG >Manes.16G117901.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32188336:32189935:1 gene:Manes.16G117901.v8.1 transcript:Manes.16G117901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLTTTFENRSSLEMEVRVFVPPDRPDHFRKIIRIKPGEKKQVLVKCFCDLDTNPERAVMILVFVEGVYTGVSLLPRHLLGYNKVIWDRSEVGLMHLRGIKNTLTDFCRPRLCFFFFNLSSFLSEISLTHLIFDDGFCLLTYLLNQVVWQWLDSWTGVLLEVQRRYNMSLEANHQTTSVFFFFSSTWNPS >Manes.16G117901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32188141:32190340:1 gene:Manes.16G117901.v8.1 transcript:Manes.16G117901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLTTTFENRSSLEMEVRVFVPPDRPDHFRKIIRIKPGEKKQVLVKCFCDLDTNPERAVMILVFVEGVYTGVSLLPRHLLGYNKVIWDRSEVGLMHLRGIKNTLTDFCRPRWCGSGLIPGLECCWRSNVDII >Manes.16G117901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32188335:32190218:1 gene:Manes.16G117901.v8.1 transcript:Manes.16G117901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARLTTTFENRSSLEMEVRVFVPPDRPDHFRKIIRIKPGEKKQVLVKCFCDLDTNPERAVMILVFVEGVYTGVSLLPRHLLGYNKVIWDRSEVGLMHLRGIKNTLTDFCRPRLCFFFFNLSSFLSEISLTHLIFDDGFCLLTYLLNQVVWQWLDSWTGVLLEVQRRYNMSLEANHQTTSVFFFFSSTWNPS >Manes.15G049200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3811149:3811550:1 gene:Manes.15G049200.v8.1 transcript:Manes.15G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPFLDDIFHGLAILTILLAAATKAHGDTIVHVLNGLPKGSQALQNDFKSNDTSIPIIRKIMGAGEEYHWKVEGGVEYTCFSVWTSNFQKWTVFEPRRDQNHADVFWVVKEDGFYRSWDNKTWVKKAEWESE >Manes.02G014000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1376093:1378549:1 gene:Manes.02G014000.v8.1 transcript:Manes.02G014000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIMEAKKLEVFEVGPCNDAYQMGFLIGQRFSNEIRSRLSRDLILQNQLLPFAQTLESQQLIKSLIDNNRKKFPGYWDELIGTAEGSGVPVLDVILINFRKEILPFLPKTQTNTKVDASDDCSDVLVVSDTMAIAAHNEDANVALVGHTYLIRATLSDGSSFVGYTYAGELPSCAFGFNTHGLAFTLNSVPPSESEIMAGGIGRNFTSRDLLEATSIDDALSRIQSSEISVGHSYNLIDTRWRKILNVETASRNRVSVCEVGGSPFFHANMYLHLQIEQVQDDNSTSRQERATVLPKETKDDFLSLLGDMEDNRYPIYMTGSPGII >Manes.02G014000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1376093:1378549:1 gene:Manes.02G014000.v8.1 transcript:Manes.02G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIMEAKKLEVFEVGPCNDAYQMGFLIGQRFSNEIRSRLSRDLILQNQLLPFAQTLESQQLIKSLIDNNRKKFPGYWDELIGTAEGSGVPVLDVILINFRKEILPFLPKTQTNTKVDASDDCSDVLVVSDTMAIAAHNEDANVALVGHTYLIRATLSDGSSFVGYTYAGELPSCAFGFNTHGLAFTLNSVPPSESEIMAGGIGRNFTSRDLLEATSIDDALSRIQSSEISVGHSYNLIDTRWRKILNVETASRNRVSVCEVGGSPFFHANMYLHLQIEQVQDDNSTSRQERATVLPKETKDDFLSLLGDMEDNRYPIYMTGPTLYTLCTALIDLDDQTLTIIEGNPKNGKVSYVFSM >Manes.02G014000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1376093:1378549:1 gene:Manes.02G014000.v8.1 transcript:Manes.02G014000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIMEAKKLEVFEVGPCNDAYQMGFLIGQRFSNEIRSRLSRDLILQNQLLPFAQTLESQQLIKSLIDNNRKKFPGYWDELIGTAEGSGVPVLDVILINFRKEILPFLPKTQTNTKVDASDDCSDVLVVSDTMAIAAHNEDANVALVGHTYLIRATLSDGSSFVGYTYAGELPSCAFGFNTHGLAFTLNSVPPSESEIMAGGIGRNFTSRDLLEATSIDDALSRIQSSEISVGHSYNLIDTRWRKILNVETASRNRVSVCEVGGSPFFHANMYLHLQIEQRLSKKQNR >Manes.S056716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1997795:1998607:-1 gene:Manes.S056716.v8.1 transcript:Manes.S056716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G152400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28040074:28042497:-1 gene:Manes.03G152400.v8.1 transcript:Manes.03G152400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP23 MNYFTPWIPVTIFCIIIIQIKLVTSQIPTTLKGPFKPVTRKFDSSLRRGSDDLAMDHPLLKKNVTGNFPEQISLAISSPTSMWVSWVTGDAQIGSNVTALDPASVASEVWYGKESGKYTSKQKGNSTVYSQLYPFEGLLNYTSGIIHHVRIDGLEPGTKYYYKCGDSSIPAMSEEHVFETSPPSGPNSYPRRIAVVGDLGLTSNSSTTMDHVVMNDPSLILMVGDLTYANQYRTIGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMEPLISRVPMMVIEGNHEIEPQVAGVTFKSYLTRFSVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGSQYAWLKEDLHGVDRTRTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYRVDIVFSGHESC >Manes.03G152400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28038091:28042497:-1 gene:Manes.03G152400.v8.1 transcript:Manes.03G152400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP23 MNYFTPWIPVTIFCIIIIQIKLVTSQIPTTLKGPFKPVTRKFDSSLRRGSDDLAMDHPLLKKNVTGNFPEQISLAISSPTSMWVSWVTGDAQIGSNVTALDPASVASEVWYGKESGKYTSKQKGNSTVYSQLYPFEGLLNYTSGIIHHVRIDGLEPGTKYYYKCGDSSIPAMSEEHVFETSPPSGPNSYPRRIAVVGDLGLTSNSSTTMDHVVMNDPSLILMVGDLTYANQYRTIGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMEPLISRVPMMVIEGNHEIEPQVAGVTFKSYLTRFSVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGSQYAWLKEDLHGVDRTRTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYRVDIVFSGHVHAYERMNRVYNYTLDHCGPVYITVGDGGNIEKVDVDHADDIGKCPSAGDNIPEFGGTCHINFSSGPAKGKFCWDIQPEWSAFRESSFGHGILEVWS >Manes.03G152400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28038091:28042498:-1 gene:Manes.03G152400.v8.1 transcript:Manes.03G152400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP23 MSEEHVFETSPPSGPNSYPRRIAVVGDLGLTSNSSTTMDHVVMNDPSLILMVGDLTYANQYRTIGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMEPLISRVPMMVIEGNHEIEPQVAGVTFKSYLTRFSVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGSQYAWLKEDLHGVDRTRTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYRVDIVFSGHVHAYERMNRVYNYTLDHCGPVYITVGDGGNIEKVDVDHADDIGKCPSAGDNIPEFGGTCHINFSSGPAKGKFCWDIQPEWSAFRESSFGHGILEVLNSTYALWTWHRNQDIYKNNTRGDEIYVVRQPELCLPSTSSKGSINETQMGGNRNEASAMFTRMWIPALTVLVAIVVA >Manes.03G152400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28038091:28042498:-1 gene:Manes.03G152400.v8.1 transcript:Manes.03G152400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP23 MNYFTPWIPVTIFCIIIIQIKLVTSQIPTTLKGPFKPVTRKFDSSLRRGSDDLAMDHPLLKKNVTGNFPEQISLAISSPTSMWVSWVTGDAQIGSNVTALDPASVASEVWYGKESGKYTSKQKGNSTVYSQLYPFEGLLNYTSGIIHHVRIDGLEPGTKYYYKCGDSSIPAMSEEHVFETSPPSGPNSYPRRIAVVGDLGLTSNSSTTMDHVVMNDPSLILMVGDLTYANQYRTIGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMEPLISRVPMMVIEGNHEIEPQVAGVTFKSYLTRFSVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGSQYAWLKEDLHGVDRTRTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYRVDIVFSGHVHAYERMNRVYNYTLDHCGPVYITVGDGGNIEKVDVDHADDIGKCPSAGDNIPEFGGTCHINFSSGPAKGKFCWDIQPEWSAFRESSFGHGILEVFGEEGRGIEYWGSSQTYLDNPSNGW >Manes.03G152400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28038091:28042497:-1 gene:Manes.03G152400.v8.1 transcript:Manes.03G152400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP23 MNYFTPWIPVTIFCIIIIQIKLVTSQIPTTLKGPFKPVTRKFDSSLRRGSDDLAMDHPLLKKNVTGNFPEQISLAISSPTSMWVSWVTGDAQIGSNVTALDPASVASEVWYGKESGKYTSKQKGNSTVYSQLYPFEGLLNYTSGIIHHVRIDGLEPGTKYYYKCGDSSIPAMSEEHVFETSPPSGPNSYPRRIAVVGDLGLTSNSSTTMDHVVMNDPSLILMVGDLTYANQYRTIGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMEPLISRVPMMVIEGNHEIEPQVAGVTFKSYLTRFSVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGSQYAWLKEDLHGVDRTRTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYRVDIVFSGHVHAYERMNRVYNYTLDHCGPVYITVGDGGNIEKVDVDHADDIGKCPSAGDNIPEFGGTCHINFSSGPAKGKFCWDIQPEWSAFRESSFGHGILEVLNSTYALWTWHRNQDIYKNNTRGDEIYVVRQPELCLPSTSSK >Manes.03G152400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28038091:28042497:-1 gene:Manes.03G152400.v8.1 transcript:Manes.03G152400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP23 MNYFTPWIPVTIFCIIIIQIKLVTSQIPTTLKGPFKPVTRKFDSSLRRGSDDLAMDHPLLKKNVTGNFPEQISLAISSPTSMWVSWVTGDAQIGSNVTALDPASVASEVWYGKESGKYTSKQKGNSTVYSQLYPFEGLLNYTSGIIHHVRIDGLEPGTKYYYKCGDSSIPAMSEEHVFETSPPSGPNSYPRRIAVVGDLGLTSNSSTTMDHVVMNDPSLILMVGDLTYANQYRTIGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMEPLISRVPMMVIEGNHEIEPQVAGVTFKSYLTRFSVPAEESGSKSNFYYSFDAGGIHFIMLGAYVDYNSTGSQYAWLKEDLHGVDRTRTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYRVDIVFSGHVHAYERMNRVYNYTLDHCGPVYITVGDGGNIEKVDVDHADDIGKCPSAGDNIPEFGGTCHINFSSGPAKGKFCWDIQPEWSAFRESSFGHGILEVLNSTYALWTWHRNQDIYKNNTRGDEIYVVRQPELCLPSTSSKGSINETQMGGNRNEASAMFTRMWIPALTVLVAIVVA >Manes.06G148300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27431066:27434880:1 gene:Manes.06G148300.v8.1 transcript:Manes.06G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFHLFKLIFSGVFLYLLFRLLISFWISPARTYMKLKKNGFGGPTPSFPLGNIEEMKKIRRSSFAAASSGPLAVSNDIHSTVFPYFAQWQKSHGKVFIYWLGTEPFLYIADPEFLKRMSTGVMGKSWGKPNVFKHDRKPMFGNGLVMVEGDDWVRHRHIITPALSQASVKAMASLMAESTTKMLDKWTSLIHSGCQEVDVEREIIATAGEIIAKTSFGIGYENGRQVFEKLRAMQFTLFKTNRYVGVPFSSFLCPKQTLEAKNLGKEIDDLLMTIITDRRNSKGGCPQKDLLGLLLEENHEEGRLGKTLTARELVDECKTFFFGGHETTALALSWTLLLLAAHPEWQDRLREEIRQVTGDTDIDFSMLAGLKKMGWVMNEVLRLYSPAPNVQRQTREDITVNNLTIPEGTNVWIDVVGMHHDPDLWGQDVYEFKPERFDNDLFGGCNHKMGFLPFGFGGRMCIGRHLTIMEYKVVLSLILTRFCFSLSPNYSHSPSVMLSLRPSLGLPLIVKPL >Manes.01G158700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34402421:34404373:-1 gene:Manes.01G158700.v8.1 transcript:Manes.01G158700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGVPTIDLQDFPGQFERLRKACEELGCFRIVNHNIPLPLMSEMKNVVRSLLDLPMEIKKRNTDVISGSGYMAPSQVNPLYEALGLFDMGSSQAVDTFCSQLDASPHHREVIEMYAKAIYELAMDLAKKLAQSMGLMRSDLFEGWPCQFRINKYNFTPEAVGSLGVQIHTDSGFLTILQDDENVGGLEVMDKSGAFVAVDPEPGTLLVNLGDIATVWSNGRLRTVKHRVQCKEATMRISIATFLLGPKGTALEAPAEFVDSEHPRLYTAFTFEDYRKLRLSTKLHSGEALELVRIQPSN >Manes.03G067700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9081315:9098082:1 gene:Manes.03G067700.v8.1 transcript:Manes.03G067700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKWEADKMLDVYIYDYLMKRKLHNSAKAFQSEGKVSTNPVAIDAPGGFLSEWWSVFWDIFIARTNENYSEDAMSYIETQMVKARELHQQHQQQQQNSKPQQHQQMRMQQLILQQQQQQQQQRRDGNQHPSGTVNRPAAKDVARHSPATANASAAKIYEDRLNLPLQRDSLDEVSMKQQLSDNVGQLLDPNHASLLKAAALGRLPPRQTLHGTPGGSGNLQQAQHRSQQLPASNQDTKNEINAMINPRTGGTEGSLIGVHGPNQGGSNLTLKGWPLTGVDQLGLLQHQKLMPSPLPLNKFQLQQQFILQAQQYLASQSMSDLERRKLRMLLSNQNMGLGKDDALNSGDILGNFGSLLQVNSPILPHGDTDLLIKLQQQQLQNQNQQHPHVSQQPQNPNQSLQQQDKIIGSSSMTVDGSMSDTFRGNDQTSKGQIVRKRKQPGSSSGAANSSGTTNTTGPSLSSPSTPSTHTPGDDISVPTLPHNGGSSKSMLMFGSDGLGPLASSPNELADMDHFVDDRTLEDNVDSFFSHDVADLRDRFGQSADINKGFALSEIRHVPVSTSKVECCHFSSDGKLLATGGHDKKATLWCTESFTAKSTLEEHSQWITDVCFSPSISRLATSSADKTVRVWDADNPGYSLHTFTGHSTTVMSVEFHPSKEDLICSCDNNSDIRYWSIRNGSCVGVLKGGATQTRFQPHLGRVLAAAAENVVSILDVETQVCRLKLQGHKNHIHSVCWDPSGEFVASVSDDLVRVWTVGSGSKGECVHELSCTGNKFHTCVFHPTYSSMLIIGCYETLELWNMAENKMMTIAAHDKLVSDLAVSSVTGFVASASHDKHVKLWK >Manes.15G129725.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10386408:10387085:1 gene:Manes.15G129725.v8.1 transcript:Manes.15G129725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKITASFVSLSLSFIGFHLLRPNRGELLLSFWSVDLPPLLQSSFFVFLFSFNFFLRIDLKEIEDFCFKFTVFLWLCIFIWFLICLLVKTFKRDTHLDLGVLLLKSHD >Manes.02G053500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4284927:4288358:1 gene:Manes.02G053500.v8.1 transcript:Manes.02G053500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNKNSKSVTPLKDPHGFKSKVQEISKISENSNPNVSYSSPGAKHTNSPIFKSAKSQKSASKNLFVSHNAVPYSPRNKIRERKFVVAKKNSKKENVDSTSTVGCKCKERFGGNVKKCVCVAYETLRASQEEFFKKRDSIGEKGEMDKEKASDYKSESEVEEKEIEKGFMAQMEEAESGYGSDNQSLGESEQSGEVGISTIKRRRDRQLEQARKSVPESGIVMHLVKAFEQLRTVPDSKGSGKNEEENTKEEKKKAMLWELPGLQNPKMPEIQINSSFSIPEFQTCKVPETQVSPSSLCPSDLFLTSENLGLDPRLSVSSSWDSSHGSIYSRTSNGGRTSRRNSSESCETMGGSRWKKKQLKITCQKPFKLRTEQRGIKKEEEFMKKLQKIMSEEEKQRIPIAQGLPWTTDEPECLIKPRVKENTRPIDLMLHSDTRAVERAEFDQQVAEKLSLIEQYKMERERQQKIAQEEEISRLRKELVPKAQPMPYFDRPFIPRRSTKHPTVPKEPKFHIPQHKKIKCCLSWNDLSTFTYQQ >Manes.11G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21913980:21915819:-1 gene:Manes.11G100500.v8.1 transcript:Manes.11G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIFLVVLCLATVVDLLLSANAEVPAVFILGDSTADVGANNFLPDSKDRADFPPYGIDFPHARPTGRFSNGLNSADFLAKLMGFKRSPLPFFYLVNNTKLIKRPSFRGVNFASAGSGLLNITGQTMNGKKNVFTMAEQVEQFSSIYSVLVAVKGQASAEAFLSKSLFFISTGSNDIFAYYLSKSTAPKQDFLATLGLLYDSYLRSLYKLGARKFGIISVPPIGCCPALRIQNTTGGCLEDLNTLATEFYSTINTLLIKLSTDYSDIKYSLGNAYEMTINVIDNPHPFGFENVANACCGDEKIPCSPNATFCSNRHEYLFWDLFHPTQAAARLAAITLYSGEPQFVSPINFKQLAEA >Manes.04G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1012005:1012911:1 gene:Manes.04G007800.v8.1 transcript:Manes.04G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYKHLVIFRFKAGAEVEEIMKGLEKLVSETDLVKSFEWGRDLDNPEILTQEFTHAISMTFDKKQDYDAFQNLPSHIDFSLTFSTAVQKIVVLCFPSVQVKPAVA >Manes.03G104400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22789584:22790963:-1 gene:Manes.03G104400.v8.1 transcript:Manes.03G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPKKSNFHLIMFPWFAFGHMTPFLHLSNKLAEKGCSSTFLLPNKAIQQLQHLNLYPHLITFHPLTVPHVDGLPPGTQTASDIPIQFVHLLAAAMDRTRDQVERVVRDTKPKLIIYDVAHWVPEITKAFGIKAINYSVVSAAAIAIVLVPARNVPKDRPITEAELLVPPTGYPSSAIVFRGHEVRSLLFVSQPFGEGITFHERISKAMKESDALAMRTCDETEGKFCEYIGSQYGKRVFLTGPVLPEPPKIPLEDRWVKWLSGFQPGSVVFCAFGSQIVLEKNQFQELVLGLELTGLPFLVALKPPVGTSTVEEALPEAFEERVRGRGVVWGGWVQQLKVLEHPSVGCFVSHCGFGSMWEALMSDCQIVLVPHLGDQILNTRFMAEELQVAVEVVREESGWFSKENVSKSIKSVMDKGSETSLRLKENHRKWRDMLVNNGFMTGYVDKFIQSMHELVN >Manes.13G068000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9002876:9018690:-1 gene:Manes.13G068000.v8.1 transcript:Manes.13G068000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKFSALWFALLLLFPSVLSANRDGLIRIGLKKKKLDQVNRPVRTFGSTEGEAMRVAIKKNNFYGNIGNSGDADIVELKNYLDAQYYGEIGIGTPSQTFTVIFDTGSSNLWVPSSKCYFSLACYFHSKYKSSESRTYEKNGTSAAIQYGTGSIAGFFSQDNVKVGDIVVRNQDFIEATKEPGVTFLAAKFDGILGLGLQEISVGKAVPVWYNMVNEGLVTEQVFSFWLNRNVKGEEGGEIVFGGVDANHYKGEHTYVPVTQKGYWQFEMGDILVGNDTTGLCGTGCNAIADSGTSLLAGPTTVITQINHAIGASGIVSQECKTVVAQYGKVILEMLIAQQAKPQKICSQMGFCTFDGTRGVSTNIESVVDETTERVSNGVQDAMCTACEMMVVWMQNRIKLNQTEDQILNYVNELCDRLPSPNGESAVDCSSLSSMPHVSFTIGGKEFGLTPEQYVLKVGEGVSAQCISGFTALDVPPPRGPLWILGDVFMGPYHTVFDYGNLRVGFAEAA >Manes.13G068000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9002876:9018692:-1 gene:Manes.13G068000.v8.1 transcript:Manes.13G068000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKFSALWFALLLLFPSVLSANRDGLIRIGLKKKKLDQVNRPVRTFGSTEGEAMRVAIKKNNFYGNIGNSGDADIVELKNYLDAQYYGEIGIGTPSQTFTVIFDTGSSNLWVPSSKCYFSLACYFHSKYKSSESRTYEKNGTSAAIQYGTGSIAGFFSQDNVKVGDIVVRNQDFIEATKEPGVTFLAAKFDGILGLGLQEISVGKAVPVWYNMVNEGLVTEQVFSFWLNRNVKGEEGGEIVFGGVDANHYKGEHTYVPVTQKGYWQFEMGDILVGNDTTGLCGTGCNAIADSGTSLLAGPTTVITQINHAIGASGIVSQECKTVVAQYGKVILEMLIAQAKPQKICSQMGFCTFDGTRGVSTNIESVVDETTERVSNGVQDAMCTACEMMVVWMQNRIKLNQTEDQILNYVNELCDRLPSPNGESAVDCSSLSSMPHVSFTIGGKEFGLTPEQYVLKVGEGVSAQCISGFTALDVPPPRGPLWILGDVFMGPYHTVFDYGNLRVGFAEAA >Manes.13G093240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27833662:27834505:-1 gene:Manes.13G093240.v8.1 transcript:Manes.13G093240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYSFSLSLPQLSLISNNNPTPLRSLRLPHGETHFSKKTISCTVHAVEKDSQQFEIDPDKAREALKKLDQQLQDLSKKQVTPPKLKASEMKITRDQTTEEVPVPEISGSFLGFFTAALFLFTIFYNILYITVIEPSIERAEWTPTTDLETQIS >Manes.14G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4343835:4350217:1 gene:Manes.14G050600.v8.1 transcript:Manes.14G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLYERFRAFVSNRWLVFVCAMWVQSCAGIGYLFGSISPVIKSAMGYNQRQVAMLGVAKDLGDSIGFVAGALCEVLPIWALLLIGAIQNFVGYGLVWLIIINKLPSLPLWVLCVAVFVGTNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPNEASLIFMVAVGPSMVVIALMFIIRPVGGHKQVRPSDNSSFLFVYSICLILAAYLLGVLILEDLVDVNQTLVTVFAVVLIILVLLPITIPILLVFFFQQRYQVQESLLSEPDKQEGGKSGQEQDRNEVLLSEVEDEKPAEMESLPASERQKRIAHLQAKLFQAAAEGAVRVKPKKGPRRGEDFTLMQALIKADFLLMFFSLILASGSGLTVIDNLGQICQSLGYTDTNIFVSMISIWNFLGRVGGGYFSEAIIRKFAYPRPVAMAVVQVIMAVGLFYYAMGWPGEIYVVSILIGLGYGAHWAIVPAAASELFGLKSFGALYNFLTLSSTAGSLIFSGIIGSGIYDYYAEKQAGIQKLNSESVLTMPIREESLTCMGTICYSLTCGIMSGLCVIAMILSLIVVHRTRSVYAQLYGKTNA >Manes.12G024200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2057162:2061469:1 gene:Manes.12G024200.v8.1 transcript:Manes.12G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLQSPLKFFSNSTTGAPKLSFLLPVNPSEAKCLTNRSISIRCDNLPQNSIGFLSGTDLIPSMQSSPLSLSSSVSMAAASGSDSASKNVKRVCLFYCDETRALAERIAAQSDAIELRSISWRTFDDGFPNLFIPNAQAIRGQHVAFLASFSSPKVIFEQLSIIYALPKLFISSFTLVLPFFPTGTYERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNIAIAFPDDGAWKRFHKQLQHFPTIVCAKVREGSQRIVRIKEGDPQGRHVVIVDDLVQSGGTLVECQKVLAKHGAAKISAYVTHGIFPNKSWERFEYDNGGRPGTGLSYFWITDSCPFTVKEVINKPPFEILSLASSIAAALQI >Manes.12G024200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2057162:2061469:1 gene:Manes.12G024200.v8.1 transcript:Manes.12G024200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLQSPLKFFSNSTTGAPKLSFLLPVNPSEAKCLTNRSISIRCDNLPQNSIGFLSGTDLIPSMQSSPLSLSSSVSMAAASGSDSASKNVKRVCLFYCDETRALAERIAAQSDAIELRSISWRTFDDGFPNLFIPNAQAIRGQHVAFLASFSSPKVIFEQLSIIYALPKLFISSFTLVLPFFPTGTYERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNIAIAFPDDGAWKRFHKQLQHFPTIVCAKVREGSQRIVRIKEGDPQGRHVVIVDDLVQSGGTLVECQAVQGLVCPTSGLQILALSQ >Manes.12G024200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2057162:2061469:1 gene:Manes.12G024200.v8.1 transcript:Manes.12G024200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLQSPLKFFSNSTTGAPKLSFLLPVNPSEAKCLTNRSISIRCDNLPQNSIGFLSGTDLIPSMQSSPLSLSSSVSMAAASGSDSASKNVKRVCLFYCDETRALAERIAAQSDAIELRSISWRTFDDGFPNLFIPNAQAIRGQHVAFLASFSSPKVIFEQLSIIYALPKLFISSFTLVLPFFPTGTYERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNIAIAFPDDGAWKRFHKQLQHFPTIVCAKVREGSQRIVRIKEGDPQGRHVVIVDDLVQSGGTLVECQKVLAKHGAAKISAYVTHGIFPNKSWERFEYDNGGRPGTGLSYFWITDSCPFTVKECMF >Manes.09G031600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6402327:6405826:-1 gene:Manes.09G031600.v8.1 transcript:Manes.09G031600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLNAPNRQEDFKLKDTKPQLGERWPHGGARGGGGWISSDRATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGNIDPYIEVKLGNYKGKTKHFEKKTNPEWNQVFAFSKDKIQSSILEVFVRDREMVGRDDYIGKVIFDMHEVPTRVPPDSPLAPQWYRLEDRHGDSKVKGEVMLAVWMGTQADEAFPEAWHSDAATVQGEGVFNVRSKVYVSPKLWYLRVNVIEAQDVEPSDKSQQPQVFVKAQVGNQVLKTKLCPVRTNNPTWNEDLIFVAAEPFEEQLALTVENKVTSGKDEVVGRLVLPLHIFERRLDHRPVHSRWFNLEKYGFGALEGDKRHELKFSSRVHLRVCLEGAYHVLDESTMYISDQRPTARQLWKNPIGILEVGILSAQGLLPMKTNDGKGTTDAYCVAKYGLKWVRTRTILESFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGNEKPGGGGGGGRLDSRIGKVRIRLSTLETDRIYTNSYPLLVLQPSGLKKMGELQLAVRFTCLSFANMIYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRILSLFSGVISMSKWLGEVCQWKNPVTTVLVHVLFFILICYPELILPTIFLYMFLIGIWNYRFRPRHPPHMDTKLSCAEVVHPDELDEEFDTFPTSKQQDVARMRYDRLRSVAGRIQTVVGDMATQGERFQALLSWRDPRATALYTS >Manes.09G031600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6402307:6405826:-1 gene:Manes.09G031600.v8.1 transcript:Manes.09G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLNAPNRQEDFKLKDTKPQLGERWPHGGARGGGGWISSDRATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGNIDPYIEVKLGNYKGKTKHFEKKTNPEWNQVFAFSKDKIQSSILEVFVRDREMVGRDDYIGKVIFDMHEVPTRVPPDSPLAPQWYRLEDRHGDSKVKGEVMLAVWMGTQADEAFPEAWHSDAATVQGEGVFNVRSKVYVSPKLWYLRVNVIEAQDVEPSDKSQQPQVFVKAQVGNQVLKTKLCPVRTNNPTWNEDLIFVAAEPFEEQLALTVENKVTSGKDEVVGRLVLPLHIFERRLDHRPVHSRWFNLEKYGFGALEGDKRHELKFSSRVHLRVCLEGAYHVLDESTMYISDQRPTARQLWKNPIGILEVGILSAQGLLPMKTNDGKGTTDAYCVAKYGLKWVRTRTILESFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGNEKPGGGGGGGRLDSRIGKVRIRLSTLETDRIYTNSYPLLVLQPSGLKKMGELQLAVRFTCLSFANMIYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRILSLFSGVISMSKWLGEVCQWKNPVTTVLVHVLFFILICYPELILPTIFLYMFLIGIWNYRFRPRHPPHMDTKLSCAEVVHPDELDEEFDTFPTSKQQDVARMRYDRLRSVAGRIQTVVGDMATQGERFQALLSWRDPRATALYVIFCFIAAFVLYITPFKIIILVTGLFWFRHPRFRSKLPSVPSNFFRRLPSRADSLL >Manes.15G139302.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11279634:11283041:-1 gene:Manes.15G139302.v8.1 transcript:Manes.15G139302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPVQHFHHLLLSLLLFAVLASVSTAEQGVGTSIKTDAAGLFLFKKLIQKDPNGVLSGWQLNRSPCAWYGVTCSLGRVTQLDLSGSNLVGFISFDPLTSLDMLSSLKLSSNLFTVNSTSLLHLPYALQYLELSSAGLVGVVPQNFFSQNPNLVYVNLSHNNLTGFLPDDLLSNSDKLQNLDLSYNNFTGSISGLKIDNSCNSLSQLDLSGNHLMDYIPASLANCTNLKTLNLSTNMLTGEIPRSFGELSSLQRLDISHNHLTGWIPSELANACGSLLELKLSFNNISGSIPGTFSSCSFLQLLDLSNNNISGPFPDTVLQNLNSLEVLLLSFNLISGSFPASISYCKNLRIVDFSSNRFSGSIPPDICPGAASLEELRMPDNLITGQIPAQLSQCSKLKTLDFSINFLNGSIPHELGKLENLEQLIAWYNGLEGRIPPELGKCTNLKDLILNNNHLTGEIPVELFSCSNLEWISLTSNRISGKIPKEFGLLSRLAVLQLGNNTLSGEIPNELGNCNSLVWLDLGSNRLTGEIPPRLGRQPGAKTPGVIPSGNTLVFVRNVGNSCEGVGGLLEFAGIRPERFMLVPTLKTCDFTRLYTGPVLSLFTQYQTLEYLDLSNNQLRGKIPDEMGEMIALQVLVLAHNQLSGEIPPSLGQLKNLGVFDASHNRLQGQIPDSFSNLSFLVQIDLSYNDLTGEIPQRGQLSTLPASQYSHNPGLCGVPLPDCHGGNGQATSSPTTDGDRGGRKKSAASGANSIVLGILISVASLCILIVWAVAMRVKHKEAEEVKMLNSLQASHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSQASLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEFMEFGSLDEMLHGKVRTRDRRILTWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHELEARVSDFGMARLINALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKDDFGDTNLVGWVKMKVSEGKQMEVIDPELLSVTKVTDEAEAEEVKEMVRYLEITLQCVDDFPSKRPNMLQVVAMLRELMPGSANGSSNSG >Manes.03G142800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27091263:27097087:-1 gene:Manes.03G142800.v8.1 transcript:Manes.03G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPNVATSPEKLRNGNQTPEGKSRRPSPLQITKTSKSEPVTPRKPPQSAQVASKQVSVGTTEDKKSLISHKSDNVNSLVDKVSSGLEFVDPKQGPTSAGSKVSETRDSPEGTADQESKSLQNEIDPSSAKVISDGTSSLLKTSENAKVSERADFVESGKSSVNRGSTSTDVSDESTCSSLSSSVNKPHKANDMRWEAIQAVHAKGLLGVNHFRLLKMLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSERAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLLREDGHIMLSDFDLSLRCTVSPTLVKSSAPEGERLRKNPAYCVQPACIEPSCIQPSCVAPTTCFSPRLFLSKSRKDRKPKKEMGNQVTSLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSTVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPPEIERIPAPPSTSGKGAPPAVLPEQNNYLEFDFF >Manes.03G142800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27091263:27095205:-1 gene:Manes.03G142800.v8.1 transcript:Manes.03G142800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPNVATSPEKLRNGNQTPEGKSRRPSPLQITKTSKSEPVTPRKPPQSAQVASKQVSVGTTEDKKSLISHKSDNVNSLVDKVSSGLEFVDPKQGPTSAGSKVSETRDSPEGTADQESKSLQNEIDPSSAKVISDGTSSLLKTSENAKVSERADFVESGKSSVNRGSTSTDVSDESTCSSLSSSVNKPHKANDMRWEAIQAVHAKGLLGVNHFRLLKMLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSERAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLLREDGHIMLSDFDLSLRCTVSPTLVKSSAPEGERLRKNPAYCVQPACIEPSCIQPSCVAPTTCFSPRLFLSKSRKDRKPKKEMGNQVTSLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSTVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPPEIERIPAPPSTSGKGAPPAVLPEQNNYLEFDFF >Manes.13G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3762745:3768449:1 gene:Manes.13G028500.v8.1 transcript:Manes.13G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKSLFHYWRRNPRCSNQISSMVHAGAETMVYNDIGMLHELESFEIDEENDVELFDTPSWTSERGGKVLVNVDSFGAVGDGVSDDTQAFVKAWETACGTPKSVFFVPPGHRYLVNATKFKGPCENKLVIQIDGTIVAPDEPNDWDPDLPRVWLEFSKLNGVLFQGNGVIDGSGRNWWESSCKKNKSNPCRGAPTALTIDSSSSVRVRGLTIQNSQQMHFVISKSASVRVSEVLVSAPEDSPNTDGIHITGSTNVVLQDCKIGTGDDCISIVNASSGIKMKRIYCGPGHGVSIGSLGKDNSIGIVTKVVLDTALLKETTNGLRIKTWQGGNGYVRGIRFENVRMEDVANPIIIDQFYCDSPKVCSNQTSAVKISDIMYRNVTGTTKSANAMKFACSDTVPCSNIVLSNINLEKKDGTVETYCNAAQGFGYGIVHPSAECLTSQDRDYCRVGLTDIAQDYVTDQTKITQLAESSSEAIVHTEL >Manes.10G076962.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:16104460:16106464:1 gene:Manes.10G076962.v8.1 transcript:Manes.10G076962.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDAFTIRLSLGVLLSIRCKPMTMEENKEEIHVLMVAFSAQGHINPMLSLAKRLISKGLHVTLATTEFARHRMLKSSTLNSSTTTSITISGVQILFFSDGLSLDYDRKSNIDNYMESLANFGPVNLTNLIKDYYSENGHKKLACIINNPFVPWAINVAADLGIPCAMLWIQPCALYAIYYRFYNNLNSFPTLTNPEMSVELPGLPLLRTEDLPSFVLPSNPFGSFPKLLAEMFVNLKKYKMVFGNSFFELENDVIESMAEHFPIRPVGPLVPPSLLGEDDDQDMGVEMWKAEDTCLEWLNKQEPSSVIYVSFGSVIVLSAKQMGSIAKALKNSKHPFLWVVKQPDIPAGEGQLPLGFLEETKDQGLVVSWSPQTKVLTKPAIACFVTHCGWNSLLETIASGVPVIAYPQWTDQPTNAKLIVDVFRIGLRLRANQDGSISDGEIERCIMEIMDGPKAEELKANAKELKRAAREAVACGGLSDRNIQLFVHEISGRRCSDATP >Manes.10G150700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31812009:31815618:1 gene:Manes.10G150700.v8.1 transcript:Manes.10G150700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHSFTSFHYQYKNFDGFLHFRSKKSLTRRYAVRSEGSHHDQDSCKEGDEKKRLRILIAGGGIGGLVLALAAKNRGFDVCVFEKDLSAVRGEGRHRGPIQLLSSALAVLQAIDENAARQIMEAGCVTGDRINGLADGLSGDWFTKFDLSTPALKKGLPVTRVICRMALQDILLEAVGLDIVINKSKVVDFMEESTKVTVFLEDGRQYDGDVLVGADGIWSKVRSKLFGKEDAKYSDYTCYSGLTSFVPPYIDSVGYRVFLGLNQYFVASDVGHGRMQWYAFYKQPLSSPDPPAGKKKRLQELFHDWCGEVTELISETPEDVILRRDIFDRDMIHTWGIGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLALELEKFVNSRLDFQRSGGISSALRRYEKKRMFRVSTVHAASRMASKVLTAYQPYIEFGFGSSPLSVIFFLPRFLYLILSVHAYPQASLDRCKFQMIRVYQP >Manes.10G150700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31812009:31815618:1 gene:Manes.10G150700.v8.1 transcript:Manes.10G150700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHSFTSFHYQYKNFDGFLHFRSKKSLTRRYAVRSEGSHHDQDSCKEGDEKKRLRILIAGGGIGGLVLALAAKNRGFDVCVFEKDLSAVRGEGRHRGPIQLLSSALAVLQAIDENAARQIMEAGCVTGDRINGLADGLSGDWFTKFDLSTPALKKGLPVTRVICRMALQDILLEAVGLDIVINKSKVVDFMEESTKVTVFLEDGRQYDGDVLVGADGIWSKVRSKLFGKEDAKYSDYTCYSGLTSFVPPYIDSVGYRVFLGLNQYFVASDVGHGRMQWYAFYKQPLSSPDPPAGKKKRLQELFHDWCGEVTELISETPEDVILRRDIFDRDMIHTWGIGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLALELEKFVNSRLDFQRSGGISSALRRYEKKRMFRVSTVHAASRMASKVLTAYQPYIEFGFGSSPLSSISTIRITKPSVHVVRMFLQIFLPQFMTWMIAGNGEDGSCI >Manes.10G150700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31812009:31815618:1 gene:Manes.10G150700.v8.1 transcript:Manes.10G150700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHSFTSFHYQYKNFDGFLHFRSKKSLTRRYAVRSEGSHHDQDSCKEGDEKKRLRILIAGGGIGGLVLALAAKNRGFDVCVFEKDLSAVRGEGRHRGPIQLLSSALAVLQAIDENAARQIMEAGCVTGDRINGLADGLSGDWFTKFDLSTPALKKGLPVTRVICRMALQDILLEAVGLDIVINKSKVVDFMEESTKVTVFLEDGRQYDGDVLVGADGIWSKVRSKLFGKEDAKYSDYTCYSGLTSFVPPYIDSVGYRVFLGLNQYFVASDVGHGRMQWYAFYKQPLSSPDPPAGKKKRLQELFHDWCGEVTELISETPEDVILRRDIFDRDMIHTWGIGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLALELEKFVNSRLDFQRSGGISSALRRYEKKRMFRVSTVHAASRMASKVLTAYQPYIEFGFGSSPLSVIFFLPRFLYLILSVHAYPQASLDRCKFQMIRVYQP >Manes.10G150700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31812009:31815618:1 gene:Manes.10G150700.v8.1 transcript:Manes.10G150700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHSFTSFHYQYKNFDGFLHFRSKKSLTRRYAVRSEGSHHDQDSCKEGDEKKRLRILIAGGGIGGLVLALAAKNRGFDVCVFEKDLSAVRGEGRHRGPIQLLSSALAVLQAIDENAARQIMEAGCVTGDRINGLADGLSGDWFTKFDLSTPALKKGLPVTRVICRMALQDILLEAVGLDIVINKSKVVDFMEESTKVTVFLEDGRQYDGDVLVGADGIWSKVRSKLFGKEDAKYSDYTCYSGLTSFVPPYIDSVGYRVFLGLNQYFVASDVGHGRMQWYAFYKQPLSSPDPPAGKKKRLQELFHDWCGEVTELISETPEDVILRRDIFDRDMIHTWGIGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLALELEKFVNSRLDFQRSGGISSALRRYEKKRMFRVSTVHAASRMASKVLTAYQPYIEFGFGSSPLSSISTIRITKPSVHVVRMFLQIFLPQFMTWMIAG >Manes.10G150700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31812009:31815618:1 gene:Manes.10G150700.v8.1 transcript:Manes.10G150700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHSFTSFHYQYKNFDGFLHFRSKKSLTRRYAVRSEGSHHDQDSCKEGDEKKRLRILIAGGGIGGLVLALAAKNRGFDVCVFEKDLSAVRGEGRHRGPIQLLSSALAVLQAIDENAARQIMEAGCVTGDRINGLADGLSGDWFTKFDLSTPALKKGLPVTRVICRMALQDILLEAVGLDIVINKSKVVDFMEESTKVTVFLEDGRQYDGDVLVGADGIWSKVRSKLFGKEDAKYSDYTCYSGLTSFVPPYIDSVGYRVFLGLNQYFVASDVGHGRMQWYAFYKQPLSSPDPPAGKKKRLQELFHDWCGEVTELISETPEDVILRRDIFDRDMIHTWGIGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLALELEKFVNSRLDFQRSGGISSALRRYEKKRMFRVSTVHAASRMASKVLTAYQPYIEFGFGSSPLSSISTIRITKPSVHVVRMFLQIFLPQFMTWMIAGNGEDGSCI >Manes.04G126300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32632923:32644295:-1 gene:Manes.04G126300.v8.1 transcript:Manes.04G126300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLIGCCKTTSESDRCQNDTDDRSKLPAPPPYQLKEYDDRSELPAPPPHELKLYGYKELAVATEYFSQDYLLGEGGFGQVYKATLDGEEVAIKKLKIIKLEKKLEESEFLTCVNHPNIVKMIGLCREGSNRVLVLEFVPNKTLTYHLHDEKNKILDWPTRMKIALQSANGLLYLHQGCKPKIIHRDMKVDNILLDNNFNAKVADFSLSNFLSDTDKVSHITSLFRGTNGYADPEYGNIQKISDKLDIYSFGVILLELITGRKPCSDYGDATIVKWAKSRIGQALYENDYTSLVDPRLKKYEELEMIRMIYCAAASLYKPSSFRPNIKQIIEVLDRKMLPEEIMDRNDIDGLLDGIPPNTQINMPKMYGFEELATATEFFSNAHLLGEGALGQVFKATLDGNDVVIKRLKRIRPENTLKEMKFLGVVRHPNLVKVIGYCSEGANRVLVSEFVPNRTLTYHLHGKYILDWSKRINIAIHSAKGLEYLHENCKPKVLHGYIKTNNILLDDNFEPKIADFGLYDFLPDRFFSTHISTGSIFYADIDQQKLSEKSDVYFFGIILLELISGREYIVNGFPIFSWAEDLIKHALDNGECEYTNLVDSRLQEEYDREEMLRMIYCVAASVYKPPRFRPNISKIVQVLEGRMPWSIIWGENDNAFLNSLVRPFDL >Manes.05G039100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3194631:3202315:-1 gene:Manes.05G039100.v8.1 transcript:Manes.05G039100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRTSISSDPGGHGLTKRVKVDQEAEQKIDGELCLDERGASGLEQHMASTSLENAASTSNPSSMTRTEKSGYDQLPKEMNEVGIRDDKATNHDDKPTKHVEKDMEPSIVTGNGTETGHIIATTVGGQNGQPKRTISFMAERVVGTGSFGVVYQAKCLETGEAVAIKKVLQDRRYKNRELQVMRLLDHPNVVELKHCFFSTTEKDELYLNLVLEYIPETVHRVSRHYSKTNHQHMPILYVQLYTYQICRGLSYLHHVVGVCHRDIKPQNLLVNPHTHHLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATAYTTAIDMWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPHEAVDLVSRLLQYSPNLRCSALEACAHPFFDDLRNPNACLPNGRALPPLFDFTAQELAGASAELRERLIPEHARKEDC >Manes.05G039100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3195961:3200693:-1 gene:Manes.05G039100.v8.1 transcript:Manes.05G039100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFWNQRIGQLLLHHYWSFTEGRFKGGHGLTKRVKVDQEAEQKIDGELCLDERGASGLEQHMASTSLENAASTSNPSSMTRTEKSGYDQLPKEMNEVGIRDDKATNHDDKPTKHVEKDMEPSIVTGNGTETGHIIATTVGGQNGQPKRTISFMAERVVGTGSFGVVYQAKCLETGEAVAIKKVLQDRRYKNRELQVMRLLDHPNVVELKHCFFSTTEKDELYLNLVLEYIPETVHRVSRHYSKTNHQHMPILYVQLYTYQICRGLSYLHHVVGVCHRDIKPQNLLVNPHTHHLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATAYTTAIDMWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPHEAVDLVSRLLQYSPNLRCSALEACAHPFFDDLRNPNACLPNGRALPPLFDFTAQELAGASAELRERLIPEHARKEDC >Manes.06G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:307126:315941:1 gene:Manes.06G000700.v8.1 transcript:Manes.06G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNRYLYFPCPLSFKRSVRPINHLIVRSLYGISHLQTMEFDEINKHKSSLDFLTHKPYTPPSWASHLNPLPSHHFSLGHLPTPIHPWKLPNLPNGTELWLKRDDLSGMQLSGNKVRKLEFLMADAVANGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLVDQDPGLTGNLLVERLIGAHVQLISKEEYAQIGSVTLTNILKEKLLKEGRKPYVIPVGGSNPIGTWGYIEATREIEQQVQASTGRLKFDDIVVACGSGGTIAGLSLGSWLGTLKAKVHAYCVCDDPDYFYNFVQALTDGLKAGVNSSDIVDIQNAKGLGYAMNTSDELQFVREVATATGVVLDPVYSGKAAYGMMKDMKENPEKWEGRRILFIHTGGLLGLYDKVDQMAPLVKNWSRMDVDESVPRNDGIGKMF >Manes.06G000700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:307126:315941:1 gene:Manes.06G000700.v8.1 transcript:Manes.06G000700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNRYLYFPCPLSFKRSVRPINHLIVRSLYGISHLQTMEFDEINKHKSSLDFLTHKPYTPPSWASHLNPLPSHHFSLGHLPTPIHPWKLPNLPNGTELWLKRDDLSGMQLSGNKVRKLEFLMADAVANGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRTSKVLVDQDPGLTGNLLVERLIGAHVQLISKEEYAQIGSVTLTNILKEKLLKEGRKPYVIPVGGSNPIGTWGYIEATREIEQQVQASTGRLKFDDIVVACGSGGTIAGLSLGSWLGTLKAKVHAYCVCDDPDYFYNFVQALTDGLKAGVNSSDIVDIQNAKGLGYAMNTSDELQFVREVATATGVVLDPVYS >Manes.09G129600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33176222:33180623:1 gene:Manes.09G129600.v8.1 transcript:Manes.09G129600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRDTIKEPKVKVEGAGCDLPLAVSLQSRVASQLPAYLEEGLGFSQKNLHSLASFLLSRKVNPKDVDKMLLERQMLGFDLERILLKRMRSSVLGRTGENHDGQASDDSLLPGLHEDTALDILAWSCRSYYPNLACVNKKFKALIGSGYLYRLRRQLGVMEHWVYLACILMPWEAFDPVRQRWMRLPRMPCDECFTYADKESLAVGTELLVFGRELSGFAIWMYSLLSHEWSRCPPMNLPRCLFGSSSLGEIAIIAGGSDKNGRILRSAELYNSELGVWQNLPDMNLPRKLCSGLFMDGKFYVIGGMSSQTECLSCGEEYNLETRIWRRIENMYPVSSVGHPAMRSPPLVAVVNNQLYSADQATNQVKKYDKTNNSWSVVKQLPVRADSSHGWGLAFKACGSSLLVIGGHRGPEGEVIVLHSWDPQDRSMAGPEWNVLAVKERAGAFVYNCAVMGC >Manes.01G193100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36770981:36775932:1 gene:Manes.01G193100.v8.1 transcript:Manes.01G193100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEALTDRMFRNSSAGDSADLEGNLTLGDRLKVFKSSTFSPDAYVTSKCQTMHEKEIRHLCSYLNELKKASAEEMRKSVYANYGAFIRTSKEILTLEGQLLSMRNHLSTQAALVHGLAERVRIESLWADSDDSLADDLFKFENRELPKTEDWLIEFLETLDVLLAERRVDEAMEALDKGESLAKGESLAKGASKKHTLSPTALITLQTAIREQRQRLTDQIADTISQSSTRVVERRSSVLALKKLGDGPRAHSLLLNSHHQKLQSNMQNLRSYNAVAYTAALSQLVFSTIAQASSDSLAVFGEEPAYSSELVTWAVKETEVYASLLKRHVLASSAIAGGLRVAAESVQICLGHCSLLEARGLALSPVLMRLFKSSVEQALSANLKRIEHTSTALAAAEDWVLAYPPVAGRPLTSSSSLSNATASQSKLTSSANRFNSMVQEFLEDVGPLESLQLDGPALEGVLKVFNSYVNLLVRAIPGSMDNEELEGSGNKIVRMAETESQQLALLANASLLADELLPRAAMKLLPLPNRTDEQPRRASERQSRLPDQREWKRKLQRLVDCLRDSFCRQHALELIFTEYGEIRLNAKIYTSMDDQAEEPEWFPSPIMQELFIKLTRLASIGSDMFVGRERFATILLMRLTETVILWLSDDQTFWEEVEGTKPLGPFGLQQFYLDMEFVLLFASQGRYLSRNLHQVIKNIIARAIDVVSATGVDPYSVLPGDDWFAEVAQIAIKMLSGGADFGDVEQDVGSPTASSVLSHGSN >Manes.01G271200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42475272:42483409:1 gene:Manes.01G271200.v8.1 transcript:Manes.01G271200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKENASEKIKNVLGHIVAAIDDLWRLKDGLHATLLNEISKDGAGSQRESCELVEEVKNLRLALNDVHLKHKSLARELQSYRDIDGKSKAELKQLKGELESAISDLEESNLKLATLKAERDATKGTFFPVLNLGNKPVPGDRARDKQKILQEMQSALKELLDQASSQLLELKGLHGERIKILQQLSNLQNSLKNVKYISSSQVYLLLKDQLEKSKSEFFQYQALYEKLQVERDNLVWREKELNMKNDLVDVFRRSSAVVDSRIADLGIGIQRQINERNMIKAKLEEASGEPGRKQIIAELKALVSSFPEEMGSMQRQLSTYKEAASDIHYLRAEVQSLSAVLDRKVKECETLSARSKNQDAEIQKLQSVVHDLKESDRELRLIMEMYRRESIDSRDVMEARDLEYKAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATTEAKIADLRQKLDTSKRDMSRLSNVLKSKNEENEAYLSEIEMIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLESVKARQQQDSLLMEKRILEREILQANISLDLYNVKAARIEDQLKNCFDHVHKLTEEKFQNSGALENTQKRLLDASKSSDQARNSLEDSQSKVERSRAALLELQIELESERFDKRRVEEELEVARRKVSCLQAQIEGSSIVEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNPCVQSIMGSRHRKCPACATTFGSNDVKPVYI >Manes.01G271200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42472395:42483409:1 gene:Manes.01G271200.v8.1 transcript:Manes.01G271200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFSSISPTAAMAKKQPFFHSSEDKKLDAAVLQFQNQKLGQKLEAQKIEYSVLENKFSHLKEKQLPYDSTLKSVHKSWELLVNDLEAHSTCTRESSIGQDIGCLSIKDDGVSPSFEKAFLSRLVETGATETSSSNGCLNSMEEDKENASEKIKNVLGHIVAAIDDLWRLKDGLHATLLNEISKDGAGSQRESCELVEEVKNLRLALNDVHLKHKSLARELQSYRDIDGKSKAELKQLKGELESAISDLEESNLKLATLKAERDATKGTFFPVLNLGNKPVPGDRARDKQKILQEMQSALKELLDQASSQLLELKGLHGERIKILQQLSNLQNSLKNVKYISSSQVYLLLKDQLEKSKSEFFQYQALYEKLQVERDNLVWREKELNMKNDLVDVFRRSSAVVDSRIADLGIGIQRQINERNMIKAKLEEASGEPGRKQIIAELKALVSSFPEEMGSMQRQLSTYKEAASDIHYLRAEVQSLSAVLDRKVKECETLSARSKNQDAEIQKLQSVVHDLKESDRELRLIMEMYRRESIDSRDVMEARDLEYKAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATTEAKIADLRQKLDTSKRDMSRLSNVLKSKNEENEAYLSEIEMIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLESVKARQQQDSLLMEKRILEREILQANISLDLYNVKAARIEDQLKNCFDHVHKLTEEKFQNSGALENTQKRLLDASKSSDQARNSLEDSQSKVERSRAALLELQIELESERFDKRRVEEELEVARRKVSCLQAQIEGSSIVEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNPCVQSIMGSRHRKCPACATTFGSNDVKPVYI >Manes.01G271200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42475272:42483409:1 gene:Manes.01G271200.v8.1 transcript:Manes.01G271200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKENASEKIKNVLGHIVAAIDDLWRLKDGLHATLLNEISKDGAGSQRESCELVEEVKNLRLALNDVHLKHKSLARELQSYRDIDGKSKAELKQLKGELESAISDLEESNLKLATLKAERDATKGTFFPVLNLGNKPVPGDRARDKQKILQEMQSALKELLDQASSQLLELKGLHGERIKILQQLSNLQNSLKNVKYISSSQVYLLLKDQLEKSKSEFFQYQALYEKLQVERDNLVWREKELNMKNDLVDVFRRSSAVVDSRIADLGIGIQRQINERNMIKAKLEEASGEPGRKQIIAELKALVSSFPEEMGSMQRQLSTYKEAASDIHYLRAEVQSLSAVLDRKVKECETLSARSKNQDAEIQKLQSVVHDLKESDRELRLIMEMYRRESIDSRDVMEARDLEYKAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATTEAKIADLRQKLDTSKRDMSRLSNVLKSKNEENEAYLSEIEMIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLESVKARQQQDSLLMEKRILEREILQANISLDLYNVKAARIEDQLKNCFDHVHKLTEEKFQNSGALENTQKRLLDASKSSDQARNSLEDSQSKVERSRAALLELQIELESERFDKRRVEEELEVARRKVSCLQAQIEGSSIVEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNPCVQSIMGSRHRKCPACATTFGSNDVKPVYI >Manes.01G271200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42472395:42483409:1 gene:Manes.01G271200.v8.1 transcript:Manes.01G271200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFSSISPTAAMAKKQPFFHSSEDKKLDAAVLQFQNQKLGQKLEAQKIEYSVLENKFSHLKEKQLPYDSTLKSVHKSWELLVNDLEAHSTCTRESSIGQDIGCLSIKDDGVSPSFEKAFLSRLVETGATETSSSNGCLNSMEEDKENASEKIKNVLGHIVAAIDDLWRLKDGLHATLLNEISKDGSQRESCELVEEVKNLRLALNDVHLKHKSLARELQSYRDIDGKSKAELKQLKGELESAISDLEESNLKLATLKAERDATKGTFFPVLNLGNKPVPGDRARDKQKILQEMQSALKELLDQASSQLLELKGLHGERIKILQQLSNLQNSLKNVKYISSSQVYLLLKDQLEKSKSEFFQYQALYEKLQVERDNLVWREKELNMKNDLVDVFRRSSAVVDSRIADLGIGIQRQINERNMIKAKLEEASGEPGRKQIIAELKALVSSFPEEMGSMQRQLSTYKEAASDIHYLRAEVQSLSAVLDRKVKECETLSARSKNQDAEIQKLQSVVHDLKESDRELRLIMEMYRRESIDSRDVMEARDLEYKAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATTEAKIADLRQKLDTSKRDMSRLSNVLKSKNEENEAYLSEIEMIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLESVKARQQQDSLLMEKRILEREILQANISLDLYNVKAARIEDQLKNCFDHVHKLTEEKFQNSGALENTQKRLLDASKSSDQARNSLEDSQSKVERSRAALLELQIELESERFDKRRVEEELEVARRKVSCLQAQIEGSSIVEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNPCVQSIMGSRHRKCPACATTFGSNDVKPVYI >Manes.01G271200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42472394:42483409:1 gene:Manes.01G271200.v8.1 transcript:Manes.01G271200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFSSISPTAAMAKKQPFFHSSEDKKLDAAVLQFQNQKLGQKLEAQKIEYSVLENKFSHLKEKQLPYDSTLKSVHKSWELLVNDLEAHSTCTRESSIGQDIGCLSIKDDGVSPSFEKAFLSRLVETGATETSSSNGCLNSMEEDKENASEKIKNVLGHIVAAIDDLWRLKDGLHATLLNEISKDGAGSQRESCELVEEVKNLRLALNDVHLKHKSLARELQSYRDIDGKSKAELKQLKGELESAISDLEESNLKLATLKAERDATKGTFFPVLNLGNKPVPGDRARDKQKILQEMQSALKELLDQASSQLLELKGLHGERIKILQQLSNLQVERDNLVWREKELNMKNDLVDVFRRSSAVVDSRIADLGIGIQRQINERNMIKAKLEEASGEPGRKQIIAELKALVSSFPEEMGSMQRQLSTYKEAASDIHYLRAEVQSLSAVLDRKVKECETLSARSKNQDAEIQKLQSVVHDLKESDRELRLIMEMYRRESIDSRDVMEARDLEYKAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATTEAKIADLRQKLDTSKRDMSRLSNVLKSKNEENEAYLSEIEMIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLESVKARQQQDSLLMEKRILEREILQANISLDLYNVKAARIEDQLKNCFDHVHKLTEEKFQNSGALENTQKRLLDASKSSDQARNSLEDSQSKVERSRAALLELQIELESERFDKRRVEEELEVARRKVSCLQAQIEGSSIVEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNPCVQSIMGSRHRKCPACATTFGSNDVKPVYI >Manes.01G271200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42472419:42483409:1 gene:Manes.01G271200.v8.1 transcript:Manes.01G271200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFSSISPTAAMAKKQPFFHSSEDKKLDAAVLQFQNQKLGQKLEAQKIEYSVLENKFSHLKEKQLPYDSTLKSVHKSWELLVNDLEAHSTCTRESSIGQDIGCLSIKDDGVSPSFEKAFLSRLVETGATETSSSNGCLNSMEEDKENASEKIKNVLGHIVAAIDDLWRLKDGLHATLLNEISKDGSQRESCELVEEVKNLRLALNDVHLKHKSLARELQSYRDIDGKSKAELKQLKGELESAISDLEESNLKLATLKAERDATKGTFFPVLNLGNKPVPGDRARDKQKILQEMQSALKELLDQASSQLLELKGLHGERIKILQQLSNLQNSLKNVKYISSSQVYLLLKDQLEKSKSEFFQYQALYEKLQVERDNLVWREKELNMKNDLVDVFRRSSAVVDSRIADLGIGIQRQINERNMIKAKLEEASGEPGRKQIIAELKALVSSFPEEMGSMQRQLSTYKEAASDIHYLRAEVQSLSAVLDRKVKECETLSARSKNQDAEIQKLQSVVHDLKESDRELRLIMEMYRRESIDSRDVMEARDLEYKAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATTEAKIADLRQKLDTSKRDMSRLSNVLKSKNEENEAYLSEIEMIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLESVKARQQQDSLLMEKRILEREILQANISLDLYNVKAARIEDQLKNCFDHVHKLTEEKFQNSGALENTQKRLLDASKSSDQARNSLEDSQSKVERSRAALLELQIELESERFDKRRVEEELEVARRKVSCLQAQIEGSSIVEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNPCVQSIMGSRHRKCPACATTFGSNDVKPVYI >Manes.01G271200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42472394:42483409:1 gene:Manes.01G271200.v8.1 transcript:Manes.01G271200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFSSISPTAAMAKKQPFFHSSEDKKLDAAVLQFQNQKLGQKLEAQKIEYSVLENKFSHLKEKQLPYDSTLKSVHKSWELLVNDLEAHSTCTRESSIGQDIGCLSIKDDGVSPSFEKAFLSRLVETGATETSSSNGCLNSMEEDKENASEKIKNVLGHIVAAIDDLWRLKDGLHATLLNEISKDGSQRESCELVEEVKNLRLALNDVHLKHKSLARELQSYRDIDGKSKAELKQLKGELESAISDLEESNLKLATLKAERDATKGTFFPVLNLGNKPVPGDRARDKQKILQEMQSALKELLDQASSQLLELKGLHGERIKILQQLSNLQVERDNLVWREKELNMKNDLVDVFRRSSAVVDSRIADLGIGIQRQINERNMIKAKLEEASGEPGRKQIIAELKALVSSFPEEMGSMQRQLSTYKEAASDIHYLRAEVQSLSAVLDRKVKECETLSARSKNQDAEIQKLQSVVHDLKESDRELRLIMEMYRRESIDSRDVMEARDLEYKAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATTEAKIADLRQKLDTSKRDMSRLSNVLKSKNEENEAYLSEIEMIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLESVKARQQQDSLLMEKRILEREILQANISLDLYNVKAARIEDQLKNCFDHVHKLTEEKFQNSGALENTQKRLLDASKSSDQARNSLEDSQSKVERSRAALLELQIELESERFDKRRVEEELEVARRKVSCLQAQIEGSSIVEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNPCVQSIMGSRHRKCPACATTFGSNDVKPVYI >Manes.01G271200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42472419:42483409:1 gene:Manes.01G271200.v8.1 transcript:Manes.01G271200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFSSISPTAAMAKKQPFFHSSEDKKLDAAVLQFQNQKLGQKLEAQKIEYSVLENKFSHLKEKQLPYDSTLKSVHKSWELLVNDLEAHSTCTRESSIGQDIGCLSIKDDGVSPSFEKAFLSRLVETGATETSSSNGCLNSMEEDKENASEKIKNVLGHIVAAIDDLWRLKDGLHATLLNEISKDGAGSQRESCELVEEVKNLRLALNDVHLKHKSLARELQSYRDIDGKSKAELKQLKGELESAISDLEESNLKLATLKAERDATKGTFFPVLNLGNKPVPGDRARDKQKILQEMQSALKELLDQASSQLLELKGLHGERIKILQQLSNLQNSLKNVKYISSSQVYLLLKDQLEKSKSEFFQYQALYEKLQVERDNLVWREKELNMKNDLVDVFRRSSAVVDSRIADLGIGIQRQINERNMIKAKLEEASGEPGRKQIIAELKALVSSFPEEMGSMQRQLSTYKEAASDIHYLRAEVQSLSAVLDRKVKECETLSARSKNQDAEIQKLQSVVHDLKESDRELRLIMEMYRRESIDSRDVMEARDLEYKAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATTEAKIADLRQKLDTSKRDMSRLSNVLKSKNEENEAYLSEIEMIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLESVKARQQQDSLLMEKRILEREILQANISLDLYNVKAARIEDQLKNCFDHVHKLTEEKFQNSGALENTQKRLLDASKSSDQARNSLEDSQSKVERSRAALLELQIELESERFDKRRVEEELEVARRKVSCLQAQIEGSSIVEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNPCVQSIMGSRHRKCPACATTFGSNDVKPVYI >Manes.14G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5969436:5971290:-1 gene:Manes.14G071800.v8.1 transcript:Manes.14G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSRVGDWAFKAFTASLGVATIYLTATFSVNVYRGLSWHNAQSKAEKQSTSEQSS >Manes.11G075900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11100794:11110627:-1 gene:Manes.11G075900.v8.1 transcript:Manes.11G075900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKEIIRLEKEAVIPILKSQLILNLTGFIADPSERAEFLKLCKRIEYTIRAWYHLQFEDLMHFYSLFDPESGAQKLEQQNLSPQEIDKFEQNFLTCFFQVMDKSNFKIATDEEIDVALSGQYLLNLPITVDDSKLDKELLKRYFAENHHVKLPAFADKYIIFRRGIGIDHTTDYFILEKVDILIARFWAFLLRLMRIGNLLSKTTIQEPTFERIIVVYRKTSTKTKKDRGIYVKHFQKIPMADMEIVLPEKKNPGLTPVDWVWFLGSAIIGLVAVMSSLKMPMADLWVTFAVISTIIGYFAKIYIMYQQSLATYQKLITQLMYDKQLDSGRGTLLHLCDSVIQQEVKEVIISFFILMKQGKATRQDLDKRCEQLIKEVFDESCNFDVDDAVQKLERLGIITRDDLGQYSSVDMKRANEIIGTTTEEVVLNVKQQGNGKP >Manes.11G075900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11100794:11110627:-1 gene:Manes.11G075900.v8.1 transcript:Manes.11G075900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKEIIRLEKEAVIPILKSQLILNLTGFIADPSERAEFLKLCKRIEYTIRAWYHLQFEDLMHFYSLFDPESGAQKLEQQNLSPQEIDKFEQNFLTCFFQVMDKSNFKIATDEEIDVALSGQYLLNLPITVDDSKLDKELLKRYFAENHHVKLPAFADKYIIFRRGIGIDHTTDYFILEKVDILIARFWAFLLRLMRLEEMLTRRSSRQQKKHVKKNNEITTLADQDFELVERICLENMEISIGNLLSKTTIQEPTFERIIVVYRKTSTKTKKDRGIYVKHFQKIPMADMEIVLPEKKNPGLTPVDWVWFLGSAIIGLVAVMSSLKMPMADLWVTFAVISTIIGYFAKIYIMYQQSLATYQKLITQLMYDKQLDSGRGTLLHLCDSVIQQEVKEVIISFFILMKQGKATRQDLDKRCEQLIKEVFDESCNFDVDDAVQKLERLGIITRASPKEIMGQVANFFFFLYLSLPFFCDCCVIYEFFLTREAFNAG >Manes.11G075900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11100794:11110627:-1 gene:Manes.11G075900.v8.1 transcript:Manes.11G075900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKEIIRLEKEAVIPILKSQLILNLTGFIADPSERAEFLKLCKRIEYTIRAWYHLQFEDLMHFYSLFDPESGAQKLEQQNLSPQEIDKFEQNFLTCFFQVMDKSNFKIATDEEIDVALSGQYLLNLPITVDDSKLDKELLKRYFAENHHVKLPAFADKYIIFRRGIGIDHTTDYFILEKVDILIARFWAFLLRLMRRLEEMLTRRSSRQQKKHVKKNNEITTLADQDFELVERICLENMEISIGNLLSKTTIQEPTFERIIVVYRKTSTKTKKDRGIYVKHFQKIPMADMEIVLPEKKNPGLTPVDWVWFLGSAIIGLVAVMSSLKMPMADLWVTFAVISTIIGYFAKIYIMYQQSLATYQKLITQLMYDKQLDSGRGTLLHLCDSVIQQEVKEVIISFFILMKQGKATRQDLDKRCEQLIKEVFDESCNFDVDDAVQKLERLGIITRASPKEIMGQVANFFFFLYLSLPFFCDCCVIYEFFLTREAFNAG >Manes.11G075900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11103897:11110627:-1 gene:Manes.11G075900.v8.1 transcript:Manes.11G075900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKEIIRLEKEAVIPILKSQLILNLTGFIADPSERAEFLKLCKRIEYTIRAWYHLQFEDLMHFYSLFDPESGAQKLEQQNLSPQEIDKFEQNFLTCFFQVMDKSNFKIATDEEIDVALSGQYLLNLPITVDDSKLDKELLKRYFAENHHVKLPAFADKYIIFRRGIGIDHTTDYFILEKVDILIARFWAFLLRLMRRLEEMLTRRSSRQQKKHVKKNNEITTLADQDFELVERICLENMEISIGNLLSKTTIQEPTFERIIVVYRKTSTKTKKDRGIYVKHFQKIPMADMEIVLPEKKNPGLTPVDWVWFLGSAIIGLVAVMSSLKMPMADLWVTFAVISTIIGYFAKIYIM >Manes.11G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11100794:11110627:-1 gene:Manes.11G075900.v8.1 transcript:Manes.11G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKEIIRLEKEAVIPILKSQLILNLTGFIADPSERAEFLKLCKRIEYTIRAWYHLQFEDLMHFYSLFDPESGAQKLEQQNLSPQEIDKFEQNFLTCFFQVMDKSNFKIATDEEIDVALSGQYLLNLPITVDDSKLDKELLKRYFAENHHVKLPAFADKYIIFRRGIGIDHTTDYFILEKVDILIARFWAFLLRLMRRLEEMLTRRSSRQQKKHVKKNNEITTLADQDFELVERICLENMEISIGNLLSKTTIQEPTFERIIVVYRKTSTKTKKDRGIYVKHFQKIPMADMEIVLPEKKNPGLTPVDWVWFLGSAIIGLVAVMSSLKMPMADLWVTFAVISTIIGYFAKIYIMYQQSLATYQKLITQLMYDKQLDSGRGTLLHLCDSVIQQEVKEVIISFFILMKQGKATRQDLDKRCEQLIKEVFDESCNFDVDDAVQKLERLGIITRDDLGQYSSVDMKRANEIIGTTTEEVVLNVKQQGNGKP >Manes.11G075900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11100794:11110627:-1 gene:Manes.11G075900.v8.1 transcript:Manes.11G075900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKEIIRLEKEAVIPILKSQLILNLTGFIADPSERAEFLKLCKRIEYTIRAWYHLQFEDLMHFYSLFDPESGAQKLEQQNLSPQEIDKFEQNFLTCFFQVMDKSNFKIATDEEIDVALSGQYLLNLPITVDDSKLDKELLKRYFAENHHVKLPAFADKYIIFRRGIGIDHTTDYFILEKVDILIARFWAFLLRLMRLEEMLTRRSSRQQKKHVKKNNEITTLADQDFELVERICLENMEISIGNLLSKTTIQEPTFERIIVVYRKTSTKTKKDRGIYVKHFQKIPMADMEIVLPEKKNPGLTPVDWVWFLGSAIIGLVAVMSSLKMPMADLWVTFAVISTIIGYFAKIYIMYQQSLATYQKLITQLMYDKQLDSGRGTLLHLCDSVIQQEVKEVIISFFILMKQGKATRQDLDKRCEQLIKEVFDESCNFDVDDAVQKLERLGIITRDDLGQYSSVDMKRANEIIGTTTEEVVLNVKQQGNGKP >Manes.11G075900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11103897:11110627:-1 gene:Manes.11G075900.v8.1 transcript:Manes.11G075900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQKEIIRLEKEAVIPILKSQLILNLTGFIADPSERAEFLKLCKRIEYTIRAWYHLQFEDLMHFYSLFDPESGAQKLEQQNLSPQEIDKFEQNFLTCFFQVMDKSNFKIATDEEIDVALSGQYLLNLPITVDDSKLDKELLKRYFAENHHVKLPAFADKYIIFRRGIGIDHTTDYFILEKVDILIARFWAFLLRLMRLEEMLTRRSSRQQKKHVKKNNEITTLADQDFELVERICLENMEISIGNLLSKTTIQEPTFERIIVVYRKTSTKTKKDRGIYVKHFQKIPMADMEIVLPEKKNPGLTPVDWVWFLGSAIIGLVAVMSSLKMPMADLWVTFAVISTIIGYFAKIYIM >Manes.04G099100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30355305:30362981:1 gene:Manes.04G099100.v8.1 transcript:Manes.04G099100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLILFLLQHVWILVVSALNSDGLTLLSLMRHWTSVPPSINLGWNASDSIPCSWAGIGCNNKTQNVISLNLSGYAISGQLGSEIARLAHLQTLNLGNNNFSGVIPSELSNCTLLEELDLSENHFTREIPHSLKNLQNLKVLSLYSNWLSGEIPDWLFQFPHLETIYLDLNRFTGSIPSNIGNCTNVSKLWLNKNLLSGTIPDSIRNCSKLEQLYLRDNKLVGFLPQSLNFLENLIRLDVKSNSLEGNILLGLGNCKNLEWLVLSYNSFSGGLPPDLSNCSSLETLAIVHNNLTGHIPSSYGLLDKLLSLYLSENRLSGRIPPELGNCKSLKELMLYTNQLEGEIPSELGMLSELVSLELFENHLTGEVPISIWKIQSLRNFTLYDNNLSGELPLELTHLKHLEFLLLFKNNFSGVIPQSLGVNSSLEELDLMYNQFTGPIPPNLCFGQRLTVLNLGNNQLQGSIPSDVGKCPTLRRMKLEHNNLSGVLPEFLHNSDLEHVNIAWNNISGKIPSSLGNCTNLTFIDLSMSKLGGFIPPELGNLVNLTELFLARNHLQGCLPPQLSTLKKLDKFDVGFNSLNCTLPSSLGNMTSLSELILEENQFTGGIPPFLSELKRLSLLRMGGNLLGGEIPSWIGTLKNLQYGLDLRSNGLIGQIPGSLGDLRMLVSLNISSNNLTGNLEVLNRMEALTEVNISYNGFTGPIPEKLMKLLNHSSSSFVGNPDLCINCPPSDGLNCERTSGFRACARSFNSHKSLSKTEIIMIALGSSLGVLLLLGLACVFLLHRRRKQHEVGRKSMEDEDLLFLQIMEATENLNDKYIVGKGAHGEVFKAALGPTNVYAVKKLKFSDHRDGSHSMVREIQIIENIRHRNLVKVEDFWFKKDYGLILYRYMENGNLHDVLYATHPPRNLEWSVRYKIAVGTAHGLAYLHYDCDPPVLHRDIKPQNILLDCEMEPRISDFGIAKLLDPSSASGTSMSISGTLGYIAPENAYMTARGMESDVYSYGVVLLELITRRKPSDSSFMEEIDIVGWVRSTWSKTQDINAVVDSSLVEDTFDSNIVEQISGVLLIALRCTEKEPSSRPTMRDVVKQLLDVNPPQRSKCNKVADKVGAAEIIRGLHQISKPLS >Manes.04G035100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4573106:4578612:-1 gene:Manes.04G035100.v8.1 transcript:Manes.04G035100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQPLMDIDPDHKHHSIKVEVDIDDKTSLARSDGMSSRCVDNIGETVGERSTLLDEQPKPMDHQDVEVDITTYKNISENKSAEPEDPDATEYSSSFANTLSDTEKCSGRSETEVESQFFDDSDLASPYDAFSSIFQTRKKKLTNHWRSFIRPLMWRCKWTELKVKEIESQALKYARELTTIEQRKNSQIYQSTFEDFCSKSVPFTNQCYRRRAVKRRKRKRIEDTADATSYMLHHKLFSYLESKRSNPDGSSMIDDFDNTAIAEHHADCNDKFGNKNEQLSFELEDSDNSLEQVLWKIELVQSRIHKLKNQLDMVMSKNATKFSSSENLSLLAPGDAQTSSAPSPTFSAGNGETMPIGAIHNVNQHISGYDIGDLVMPDSAISSYGEAIHVPDIIESTVGMLAAADVTFCQPQIGDSCEDIVDNVLIHTEAAEGETHAFIGTNNQLLEKHREQDKGEEGESTNPCPVSTSEPDPTTKTIVSQEQSTLKSYLASDFQFPKNKRKRGERKAGSGGWSKKCSGELDSQ >Manes.04G035100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4573106:4578612:-1 gene:Manes.04G035100.v8.1 transcript:Manes.04G035100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAQPLMDIDPDHKHHSIKVEVDIDDKTSLARSDGMSSRCVDNIGETVGERSTLLDEQPKPMDHQDVEVDITTYKNISENKSAEPEDPDATEYSSSFANTLSDTEKCSGRSETEVESQFFDDSDLASPYDAFSSIFQTRKKKLTNHWRSFIRPLMWRCKWTELKVKEIESQALKYARELTTIEQRKNSQIYQSTFEDFCSKSVPFTNQCYRRRAVKRRKRKRIEDTADATSYMLHHKLFSYLESKRSNPDGSSMIDDFDNTAIAEHHADCNDKFGNKNEQLSFELEDSDNSLEQVLWKIELVQSRIHKLKNQLDMVMSKNATKFSSSENLSLLAPGDAQTSSAPSPTFSAGNGETMPIGAIHNVNQHISGYDIGDLVMPDSAISSYGEAIHVPDIIESTVGMLAAADVTFCQPQIGDSCEDIVDNVLIHTEAAEGETHAFIGTNNQLLEKHREQDKGEEGESTNPCPVSTSEPDPTTKTIVSQEQSTLKSYLASDFQFPKNKRKRGERKAGSGGWSKKCSGELDSQ >Manes.12G155300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36340493:36361809:-1 gene:Manes.12G155300.v8.1 transcript:Manes.12G155300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQEPNLQSLTRRPSRSAATTFSTEVFDNEVVPSSLGSIAPILRIANEIENERPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTSLLHRLERDNASSLASRVKKTDAREIESFYQQYYEHYVRALDQGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQEKKEIYAPYNILPLDSAGASQSIMQLEEVKAAVAALWNTRGLNWPTSFEQQRQKAGDLDLLDWLRAIDNVRNQREHLILLLADNHIRLNPKPEPLNQAFTNLSFYFCYHFGSWTNLDERAVDAVMNKIFKNYKNWCKFLGRKHSLRLPQGQQEIQQRKILYMGLYLLIWGEAANVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPIYRVIQKEASKCQNGKASHTKWCNYDDLNEYFWSTDCFSLGWPMRDDGTLFRSTQDMGQGRKASPRKSGSTGKSYFVETRTFWHIFRSFDRLWTFYILALQAMVIFAWSGASFSEILQKEVVYSVSSIFITAAFLRFLQSILDLFLNFPGFHRWKFTDVLRNILKIIVSLAWLVILPLCYAQAFNVSRKRIRNSLSFLRDVKEIPPLYIIAVIVYLIPNILSAALFIFPMFRRWIENSDWLVIRFLLWWSQPRIYIGRGMHESQFALIKYTFFWVLLLCSKFAFSYFVQIKPLVKPTKDIMKIKHVDYRWHEFFPNAKHNFGAVLSLWAPVILVYFMDTQIWYAIYSTIYGGFVGAFDRLGEIRTLGMLRSRFQSLPGAFNTYLVPSDKTKKKGFSFSKRFAEVTASRRSEAAKFAQLWNEVICSFREEDLIIPYSSDPSLKLIQWPPFLLASKIPIALDMAAQFRSKDADLWKRICADEYMKCAVIECYESFKHVLNILVVGENEKRIIGIIIKEVESNISKNAFLANFRMGPLPALCKKFVDLVEILKVGDPSKRDNAVLLLQDMLEVVTRDMMVNENRELVDLGHGGKDSGRQLFSGTDTKPAIMFPPAMTAQWEEQIKRLHLLLTVKESAMDVPTNLEARRRISFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETIYSKSDLEMENEDGVSIIYYLQKIYPDEWNNFMERVNCKNESEVWENEENILLLRHWASLRGQTLFRTVRGMMYYRRALRLQAFLDMATETEILEGYKAITIPSEEDKKSQRSLYAQLEAVADMKFTYVATCQNYGNQKRSGDRHATDILNLMVNNPSLRVAYIDEVEENEGGKTQKVYYSVLIKALDNLDQEIYRIKLPGAAKIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFHEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKIRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQSLSRDIYRLGHRFDFFRMLSCYFTTTGFYISAMMVVFTVYAYLYGRLYLSLSGLEGSILKYAKIRGDDPLKAAMASQSLVQIGLLMALPMVMEMGLERGFRTALGDMIIMQLQLAAVFFTFSLGTKVHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGLELMLLLICYQIYGKAATDATAYALLTFSMWFLVGSWLFAPFLFNPSGFEWQKIVDDWDDWAKWISSQGGIGVPANKSWESWWEEEQEHLLYTGLLGRICEIILAMRFIIYQYGIVYQLQVTRQSSAGKSRSIAVYGLSWLVIVALMIILKIVSKGRKKFSADFQLMFRLLKMFLFIGFLVILVILFTTLHLTVGDIFQSLLAFLPTGWALLQMSQASRPLLKGVKMWGSVKALARGYEYMMGLIIFTPVAVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILSGGKKNK >Manes.11G052120.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5757208:5760646:1 gene:Manes.11G052120.v8.1 transcript:Manes.11G052120.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIDYIRDLEKNLESLRYLVYDLKGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGYCSKLCHCFFKYKLGVTVPEEIDEVKRVIADRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAIRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDDDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGMRLDVFPKLRFSYDNLSDDVHKKCFLYCSLFPEDYEINKRQLINLWIGEGFIKDFGNIHQARYHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLLSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFNLTVTECHDLPLLNISAMQYLETLELRACHSLEEIKIFPDQSTLTMQDCFSNLSHVAFQDCPVKSVTWLIYARRLQTLELDDCHSIAGVIADRFDLTEIEETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPKLRNLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.11G052120.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5757208:5760646:1 gene:Manes.11G052120.v8.1 transcript:Manes.11G052120.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIDYIRDLEKNLESLRYLVYDLKGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGYCSKLCHCFFKYKLGVTVPEEIDEVKRVIADRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAIRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDDDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGMRLDVFPKLRFSYDNLSDDVHKKCFLYCSLFPEDYEINKRQLINLWIGEGFIKDFGNIHQARYHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLLSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFNLTVTECHDLPLLNISAMQYLETLELRACHSLEEIKIFPDQSTLTMQDCFSNLSHVAFQDCPVKSVTWLIYARRLQTLELDDCHSIAGVIADRFDLTEIEETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPKLRNLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.11G052120.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5757003:5760798:1 gene:Manes.11G052120.v8.1 transcript:Manes.11G052120.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIDYIRDLEKNLESLRYLVYDLKGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGYCSKLCHCFFKYKLGVTVPEEIDEVKRVIADRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAIRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDDDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGMRLDVFPKLRFSYDNLSDDVHKKCFLYCSLFPEDYEINKRQLINLWIGEGFIKDFGNIHQARYHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLLSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFNLTVTECHDLPLLNISAMQYLETLELRACHSLEEIKIFPDQSTLTMQDCFSNLSHVAFQDCPVKSVTWLIYARRLQTLELDDCHSIAGVIADRFDLTEIEETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPKLRNLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.11G052120.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5757208:5760646:1 gene:Manes.11G052120.v8.1 transcript:Manes.11G052120.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIDYIRDLEKNLESLRYLVYDLKGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGYCSKLCHCFFKYKLGVTVPEEIDEVKRVIADRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAIRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDDDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGEGFIKDFGNIHQARYHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLLSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFNLTVTECHDLPLLNISAMQYLETLELRACHSLEEIKIFPDQSTLTMQDCFSNLSHVAFQDCPVKSVTWLIYARRLQTLELDDCHSIAGVIADRFDLTEIEETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPKLRNLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.11G052120.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5757208:5760646:1 gene:Manes.11G052120.v8.1 transcript:Manes.11G052120.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIDYIRDLEKNLESLRYLVYDLKGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGYCSKLCHCFFKYKLGVTVPEEIDEVKRVIADRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAIRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDDDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGMRLDVFPKLRFSYDNLSDDVHKKCFLYCSLFPEDYEINKRQLINLWIGEGFIKDFGNIHQARYHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLLSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFNLTVTECHDLPLLNISAMQYLETLELRACHSLEEIKIFPDQSTLTMQDCFSNLSHVAFQDCPVKSVTWLIYARRLQTLELDDCHSIAGVIADRFDLTEIEETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPKLRNLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.11G052120.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5757208:5760646:1 gene:Manes.11G052120.v8.1 transcript:Manes.11G052120.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIDYIRDLEKNLESLRYLVYDLKGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGYCSKLCHCFFKYKLGVTVPEEIDEVKRVIADRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAIRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDDDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGEGFIKDFGNIHQARYHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLLSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFNLTVTECHDLPLLNISAMQYLETLELRACHSLEEIKIFPDQSTLTMQDCFSNLSHVAFQDCPVKSVTWLIYARRLQTLELDDCHSIAGVIADRFDLTEIEETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPKLRNLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.03G140300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26859532:26860888:1 gene:Manes.03G140300.v8.1 transcript:Manes.03G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIGHQNLQTFSQFSRSQFLKKIIIQLFVSVSVFSLLFSNSSRFSLLHSFNFYFSTVPVQLFSRTIDKNCMFLLCNGLLVFVTTLSGLDSSFSKYNDHSSVNESVNNYEDDTATSLVLAHYSKEPLLEREDMMAKEKVDDEERRENKECIPREAGDRETPQFVVEDEAEESRESGFLITSGKENSDEVLVEEDDHQREVVIELDENFLVPEEEDYEEEEEEEEEENEMLSTEELNKKFEEFIRKMKEEIRIEAQQQLVMVS >Manes.02G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8997571:9000140:1 gene:Manes.02G117300.v8.1 transcript:Manes.02G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDLFVIASMPVLKVLLLTGLGSLLALDSVNVLGEDARKQINQVVFYVFNPALVGSNLANTITIESMGLLWFMPFNILVTFIVGSALGWMLIKITSPPKHLKGLILGCCAAGNMGNLPLIVIPAICKEKGSPFGSPDVCYTYGIAYASLSMAIGAVYLWSYVYNIIRICSSEINQEVADVDVKANGEAPKLVQENYYNSEELTYGLLLPDNTDSQTNIKTFSRTRIVWDKIKIWTRMISEKLNLKSLFAPSTSGAVVGFVIGVIPQIRTLFIGASAPLRVVEDSAFLVGNAAIPVTTLIVGGNLLKGLKGSGIRFTLIVGILAVRFVLLPLLGIVIVKSAVQFGLVHSDPLYQFILLLQFAVPPAMNIGTMTQLFGTGQNECSVIMLWSYALASVSLTFWSTIFLWMLS >Manes.01G044850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9012513:9013460:1 gene:Manes.01G044850.v8.1 transcript:Manes.01G044850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYLQAWDLWEAVAEDLEIDQLSENPTMQIMNLETAFHIWQYLKTKFQGNARTKSMRILNIRRELKGEECLWPIQGAHYPFPQSMWDLTKMKDFETVKEYVDRLLDIINKLRLLGEKIPDGKIVEKILVTLPERLEAKISALEEVHDLTKIFLAELLNALHAQEQRRQIGEDGSIESAMQAKLQLKDSWKNKKKKGKEEQRQSSCSNNGATSNKGRNYHPCQHCGRTNHPYFRCWNRPDAKCNTCNQMGHIDKICKNKGNQVVNEAQAVNQEEEE >Manes.11G090556.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17400334:17408307:1 gene:Manes.11G090556.v8.1 transcript:Manes.11G090556.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRKKKIHLKSTYLRYPAGMGTCRSRFIYREKDCNNRRRKETKEPHVERVVASASTTDLLLRVTKARMPISTVGDNHR >Manes.01G208700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38047775:38048971:-1 gene:Manes.01G208700.v8.1 transcript:Manes.01G208700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAQLLYIFTQNFGYLIMQGTMAMSVAPDEGTASLSSDIFYDILRRLDGPTLASAACACVAFCSISKEEKLWENVCSSMWPSTNREDVKNLITSIGGFRKFYADCFPLIVNKEVIECQWNDYPEYPEEWTEAEYYGDLDEFESIAPSDFVSIVDIRYKDKAICSKVLWGIPNANGFNGWFYSCPFRIDLLTYAARDDDSEGEVLLSVSDGLPPIFSMEKERKDGKLWRDLRDGLRLSWIVVNKKIKQAANLASWSPLGGQRHWPTDKDFVIRFGSVLSAKDILPCQVVECILIMKFRVIRTEGEGVHTTLKLTELSMQLEDMEGAHVNGRNSLLILKEALSCCRSKNYSEVLEACHLYSKVQSELKEEKMRNESRIDRLCIISGIAAFLTLWYYIL >Manes.10G013900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1434129:1440892:-1 gene:Manes.10G013900.v8.1 transcript:Manes.10G013900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIHSQGVHNYNLNVGLLAHSITVDDYQDLLDRGWRRSGCFLYKPEMEKTCCPSYTIRLRASDFAPSKEQLRVLRKMQRLLDGTVDVKKTVGLVDDPNISKGTCSCTCHEVSSSGANESLSAKNEEKNKAELVSNYLSDQVDNAVHSCAESGEFLCSLQLPKASVKQVSSAKRKMLAEGAKDLMYSSNIAFQIVATIRRMVASENETRHDAKNNTLSPEIVAEKLAAALNQLSETSGFSIRACNGHINFYSTATQASLGEGAQNVTSSKESAAGCKRKACCMRKNVKHSQVKRRKLEIHLKRSSFDPEEFALYRRYQIKVHNDTPDHVTESSYRRFLVDTPLVFVPPSGDGRVPPCGFGSFHQQYVVDGKLIAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYSALQEIGWVKENQVHCPSLQYYYLGYYIHSCSKMRYKAAYRPSELLCPLRYEWVPFNIASPLLDRKPYVVLSDHALLQDGESSTPPASEIAIEVQHDDNCDEDTNDVLIDDTEMIEPESESSDDGSGPETSVETLENFDVGDILIGLKGSRVRYKDLQRAFGPNARSYLESQLYRYKRVVGAELSERIVYSLG >Manes.10G013900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1434129:1440892:-1 gene:Manes.10G013900.v8.1 transcript:Manes.10G013900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLRRSEASTSSSSNSRGETVVVDCFRRRSSCGYCRSVGRTSISHGLLAHSITVDDYQDLLDRGWRRSGCFLYKPEMEKTCCPSYTIRLRASDFAPSKEQLRVLRKMQRLLDGTVDVKKTVGLVDDPNISKGTCSCTCHEVSSSGANESLSAKNEEKNKAELVSNYLSDQVDNAVHSCAESGEFLCSLQLPKASVKQVSSAKRKMLAEGAKDLMYSSNIAFQIVATIRRMVASENETRHDAKNNTLSPEIVAEKLAAALNQLSETSGFSIRACNGHINFYSTATQASLGEGAQNVTSSKESAAGCKRKACCMRKNVKHSQVKRRKLEIHLKRSSFDPEEFALYRRYQIKVHNDTPDHVTESSYRRFLVDTPLVFVPPSGDGRVPPCGFGSFHQQYVVDGKLIAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYSALQEIGWVKENQVHCPSLQYYYLGYYIHSCSKMRYKAAYRPSELLCPLRYEWVPFNIASPLLDRKPYVVLSDHALLQDGESSTPPASEIAIEVQHDDNCDEDTNDVLIDDTEMIEPESESSDDGSGPETSVETLENFDVGDILIGLKGSRVRYKDLQRAFGPNARSYLESQLYRYKRVVGAELSERIVYSLG >Manes.10G029400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2990430:2992260:1 gene:Manes.10G029400.v8.1 transcript:Manes.10G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAICIVSLVLFFTLVDARIPGVYIGGPWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCANDPQWCHPGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVKASVKGSNTGWMSMSRNWGQNWQSNAILVGQSLSFRITGSDRRTSTSWNIVPSNWQFGQTFTGKNFRV >Manes.03G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23213151:23219259:-1 gene:Manes.03G109600.v8.1 transcript:Manes.03G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVMGSEMSQIPVETVAKIDNLFVHEKENGKLDKESGLGNQFGSHEEPAKGKGDEVADSKFPKDAVDEWPAPQQIHAFYFVRYRLFDDPKIKSKIDQFDREIQKSNQSRFQITEELKAKRSERAELLEQVRALRNENEQYRTIFDEKRKEIEPLQQALGKLRNTNNPGRGGLCSSEEELNDLIYSLQYRIQHESIPLTEEKQILREIKQLQGTREKVIANAAMRAKIQDALGQKEAIQDQVKLMGVDLDGVKKEQQAVRGKITQLREKVNGLDDEIKSLQDDLTAVTQKRDKAFENIKSLRQQRDEGNVHFYNSRKAMTEAKELAANKDIEALENLSLEEVEKFMSLWSSNKAFRDDYEKRILPSLDARQLSRDGRIRNPDEKPLVVLETAVPSAPEPVAKANIKRVKEEPKSTVQKDNLPTQNIRKESSKTDTSASSEHVEVAEEISGLQKHQMNPPAKMEVDEAKLKELRREEEMAKAKQAMERKKKLADKAAAKAFIRAQKEAEKKLKGREKKLKKKAAATAPSAEPEDPAEEVAEAAETEKVEAHDESLVPVKEKVKKENAVRHRTRPRGPDALTKAILRRKKSTNYWMWAAVAAATLLLVLVALGCCYLL >Manes.10G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28441148:28443933:1 gene:Manes.10G119600.v8.1 transcript:Manes.10G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLNLNAESVESTQNSEPNERERLGNSGSSTVVSPPRNAANDDNVGVNDVIEVGGGGDGIENENGAAITTELFNGRGVGMDFGNSQRQEKLSSEIGQEISDGEVQGNIRRRRGPRSRSSQNKGITFYRRTERRESHSWDEGKLVYLGDFYTAHAAARAYDRKAVKFWGVEADITFNLSDNETDLMQMKRLAKEEVVHGFRRQRTRFSRGSPFGNSNGESEEHIHSHSGSYDVNRRGSRGQTEDNEIQFEDNEMQSCLASPAPRSPENDHFICMDVEHGNGSCRTCFRADSLKRKVFEWMFAIANFIVELLSIVFEQLSSQHYSLFLPICMGMSLLALFICTFELVYKAQKERATWRWCGTIPWFYYPSQSGIRLGSFVDIAGLACAFGQCILTIIGYCLFLRNGHNPIKISIWASIFASCQLCSRFCGEFKHDVHGQDSSTQDFTIS >Manes.03G179600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30148036:30151288:1 gene:Manes.03G179600.v8.1 transcript:Manes.03G179600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEQQLQRDHKANEKVEAVLELLRKQTPLTLKQEKFCNDACVERFLNAKGDNVKKAAKHLRACLSWRESIGAEQLMADEFSAELAEGLAYVSGHDEESRPVVIFRIKQDYQKFHSQKLLARLLVFTLEVAIGTMAKNVEQFVLLFDASLFRSASAFMNLLLATLKIVAEYYPCRLYEAFIIDPPSLFSYIWKGVRSFVELSTVTMVVASLDFEESLEFSDFSSYPRASSLRFDSSSIKSTAKIGSCSSSRFSFTVSHHFDSLKPWYLTLTDASTYKVGPTTTSPSPLGPALISPNNARSFSFASPAVRTPHKSSIKGRSSGGGRLFPSTPLPQRVTPSEPMKISQPRTPRPSFLHSPASFFKKECHVNKTDKSRESFLPFLKFYRRPYDEMTYRSNMRPPLGGLISIVSSHLRRPHVSVSQRF >Manes.05G155200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26622978:26628622:-1 gene:Manes.05G155200.v8.1 transcript:Manes.05G155200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEIETWKNSCWKRGKKEPGNLVSQPVISLLVLARGRSECGARSLTPTFVVFISFLFCISFCRPIHGKQLSCTREFELVENLKQSVLPLPFFCIFSFFLMIANALQLLSDCLQGKNSAESPDTEELPAPKLSSSLHSSFSTSDADPLPTCRHPLPPNSTPSRRARRSPGHQLLRQISDSRIMGLKSPNNYSLSEGRSSFVLSTCSHDLAMGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKVSGCSSRFSCSPSLDIQTCGACSKFLTEGSSWSSRRILASNELSMVAVLVCGHVYHADCLETLTLEVDRYDPACPICTGGEKQVSKVSKKVLRAEAELKARSHKISRSRVIDSYLDSDSDDFDYQKNAIWRGAPKMEASSSAESSSAKPFLKKNFLFGSKWSRSLSEKDSARKKGFWARYRKY >Manes.05G155200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26622978:26628622:-1 gene:Manes.05G155200.v8.1 transcript:Manes.05G155200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEIETWKNSCWKRGKKEPGNLVSQPVISLLVLARGRSECGARSLTPTFVVFISFLFCISFCRPIHGKQLSCTREFELVENLKQSVLPLPFFCIFSFFLMIANALQLLSDCLQGKNSAESPDTEELPAPKLSSSLHSSFSTSDADPLPTCRHPLPPNSTPSRRARRSPGHQLLRQISDSRIMGLKSPNNYSLSEGRSSFVLSTCSHDLAMGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKVSGCSSRFSCSPSLDIQTCGACSKFLTEGSSWSSRRILASNELSMVAVLVCGHVYHADCLETLTLEVDRYDPACPICTGGEKQVSKVSKKVLRAEAELKARSHKISRSRVIDSYLDSDSDDFDYQKNAIWRGAPKMEASSSAESSSAKPFLKKNFLFGSKWSRSLSEKDSARKKGFWARYRKY >Manes.05G155200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26622796:26628622:-1 gene:Manes.05G155200.v8.1 transcript:Manes.05G155200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCTATREKDLPNRTGGNTVTRNVMCSPTWSFRWESRRRVAGEVYDSPYQTSLGFSREVSVEVKGPLCSDRGILSDEVSLHESFGTHVSLKSPVHEGMSANLIVQPSGLSMESNYPVHGKNSAESPDTEELPAPKLSSSLHSSFSTSDADPLPTCRHPLPPNSTPSRRARRSPGHQLLRQISDSRIMGLKSPNNYSLSEGRSSFVLSTCSHDLAMGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKVSGCSSRFSCSPSLDIQTCGACSKFLTEGSSWSSRRILASNELSMVAVLVCGHVYHADCLETLTLEVDRYDPACPICTGGEKQVSKVSKKVLRAEAELKARSHKISRSRVIDSYLDSDSDDFDYQKNAIWRGAPKMEASSSAESSSAKPFLKKNFLFGSKWSRSLSEKDSARKKGFWARYRKY >Manes.05G155200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26622978:26628622:-1 gene:Manes.05G155200.v8.1 transcript:Manes.05G155200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCTATREKDLPNRTGGNTVTRNVMCSPTWSFRWESRRRVAGEVYDSPYQTSLGFSREVSVEVKGPLCSDRGILSDEVSLHESFGTHVSLKSPVHEGMSANLIVQPSGLSMESNYPVHGKNSAESPDTEELPAPKLSSSLHSSFSTSDADPLPTCRHPLPPNSTPSRRARRSPGHQLLRQISDSRIMGLKSPNNYSLSEGRSSFVLSTCSHDLAMGSHGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKVSGCSSRFSCSPSLDIQTCGACSKFLTEGSSWSSRRILASNELSMVAVLVCGHVYHADCLETLTLEVDRYDPACPICTGGEKQVSKVSKKVLRAEAELKARSHKISRSRVIDSYLDSDSDDFDYQKNAIWRGAPKMEASSSAESSSAKPFLKKNFLFGSKWSRSLSEKDSARKKGFWARYRKY >Manes.17G015184.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8123472:8124933:1 gene:Manes.17G015184.v8.1 transcript:Manes.17G015184.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFTFTSGAQPNTFDVTKYGAKEGLDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKAKASQHRKNSWVTLRYLDRLTVSSGGAFDGQGEIAWQRNSCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKASGHSPNTDGIHIGRSEEINIIDSNTMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSKTPTAVQLTCSSNVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.18G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12345508:12348006:1 gene:Manes.18G118400.v8.1 transcript:Manes.18G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFFSYLLHLAVFFISLSLIFLLYKQKPSASHGDNLPPGNKGWPFVGESLEYLKVGRRGEPEKFIKDRVSKYSPDVFQTSLLGERIAVFCGASGNKLLFTSEKKYVTAWWPRSLMKVLFQDSLQLSLKEESTRIRRLLPEILKTEALQHHIPVMDSMAREHLKKDWYPYKQVKIFPLSKKYTFALACRLFLSIKDPNQVTKFADPFALVTSGMMSVPMNFPGTAYNRAIKGSKFILNELLVMIQQRKMEMLEKKETAYADLLNRMLLAKDENGRPLMSEIEIANRIMALLIASHDTISTTITFTLKNLVEHPHVYTKVLNEQMEIAKSKAPEELLNWEDVQKMKYSWCVACETMRLLPPSPGGFREAITDFTYAGFTIPKGWKAHWTVYSTHKNPKYFPNPEKFDPSRFEGDGPAPYTFVPFGGGPLMCPGKEYARLEILIFMHNVVTKFKWKKVIEDEKVIFDPTPIPVNDLPIHLEPL >Manes.15G190150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27965937:27984952:-1 gene:Manes.15G190150.v8.1 transcript:Manes.15G190150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLCSSTRLPIPFFTRPICSRKMTTAPSPICPKFISIEGGDIYSRSKRDGIRFRLVSYNILAQVYVKSSLFPHSPSPCLKWKPRSQAILTVLMSIGADFLCLQELDEYDSFYKQNIGSHDYSSIYIQRSGQKRDGCGIFYKQNRAELLLEERIEYNDLVNSLEDESNLHECKRVDTQVEEDNNSETKNGSSLKNAPEDRGDPNDPRVRLKRDCVGIMAAFKLKDAFHHIVIVANTHLYWDPAWADVKLAQAKYLLSRISHFKTLVSDKFECTPSIILAGDFNSTPGDKVYQYLVSGNSSSAPLAECVDELPIPLCSFYDHTRGEPPFTNCTPDFTNTLDYIFFSPDEKLKPIGFLELPEANSPDVVGGLPNYYHPSDHLPIGAEFEISTE >Manes.04G128200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33124468:33125953:-1 gene:Manes.04G128200.v8.1 transcript:Manes.04G128200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDRLRKIRDKIKDGKSKLSSAWEKLFTTHYGLRQFTYKKLADATQNFSNNRLLGEGGFGQVYKGKIHGNTYAIKKLKRLPKKMEAEIKILSTVSHQNLVKLIGCCIEGADTLLVLEFAPNNSLKYHLHGNQGNEILEWSNRMKIAIGSANGLKYLHEDPYKIIHRDIKTDNIVLDYDFEPKVTDFGLALFFPNDVSHLSRGN >Manes.01G248800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40851415:40853511:-1 gene:Manes.01G248800.v8.1 transcript:Manes.01G248800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEVLGSLKAVMVFRDNIEINQRQCCLLLDMFNSAYDSIAEEMKQNLKFEEKHTKWRLLEQPLREIYRIFKEGEGYIKQCLETKDWCAKAIVLSQNTDCVQFYIHNLLTYITIVIEAIETVGEFSGWDQDEVQKKRLLYSNKYQKQWRDPQLFQWRFGKQHLITQELCERFASVWKEDRWILLNKIREKKISCSSKYERQLAELLLKNLDGSEHQNKKLLPSSILVGSKDYQVRRRLGSGSQYKEILWLGESLAIRHFFGEIEHLVPEISSLLSLSHTNISHFLCGFADEEKNECFLVMELMHRDLSSYIREICGPRKRIPFSLPVALDLMLQIARGMEYLHSKKIYHGKLKPSNILVKPRNVSSEGYLHAKVSGFGLSSVQKFSPKNSSNQNETLPFIWYAPEVLEAQEQAGSTRNSKYTEKSDVYSFGMVCFELVTGKVPFEDSHLQGDKMSRNIRAGERPLFPLNSPKFVTNLTKRCWQADPNQRPSFSSICRILRYAKRFLAMNPDYNREPDPLMPAMDYGDMESNLVKEFPSWNATNSSAIAQIPFQIFVYKVYEKEKTRATQKEPSESGSDKASVSGDENINSMDDPFPSSTLPSPTERKFLTSPDHTNRKPRPSRKPTDLKISRQPGTAKGGCKPPQLTSRGRGLRMNSESQLIMTSPRLRRSSSGHASDSELSA >Manes.02G108800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8452393:8453036:1 gene:Manes.02G108800.v8.1 transcript:Manes.02G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSLCLESLFWISNLCNGYLEILGDWLSRLFLLSNGDLAWPEVAAALEEFLSSSYSCSQGYSGLDFGIVCVRTLDLYCIWALSIFSVSPIVSLV >Manes.07G092700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28881819:28882745:1 gene:Manes.07G092700.v8.1 transcript:Manes.07G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKTFQLFGAILLLFLFQLPNRIQSKPSGNPFGFIQHLEGCHKGESISGLKDLKRYLEKFGYLNYAKKSRNNHANDDEFDDLLEAAIKDYQHYYHLQATGVLDNNTVSQMTKPRCGVPDVVKNGTNKHYHNPKSIHSVAHFSFFPGPRRWPAERSHLRYRFRSSVQVPGTGNFRSICAQAFQRWAQVTHFTFEEVDANSEAEIEIGFHRRFHGDSDPFDGISGTFAHATAPTHGRCHFDGDEIWSGNPGPNELDLESVAVHEIGHLLGLDHSEDPNAIMYSTFDYGITKRDLGTDDIQGIRTLYGLQ >Manes.02G194200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15858440:15861279:1 gene:Manes.02G194200.v8.1 transcript:Manes.02G194200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMESQSSNQQNLNSSSSKDHHHGHKQESSAAASLQLVSFETQTSQLQQRGSTAATTTISQGPFMGSISNQITVHPSTSNSNSSALTKPTTKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKYDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGVTVSAPSSKSAPLHGGLAFYDANNGSENRRVIIGSNTPTLGFHHQPYPYHTLVSDENYIRKTHRDDLFKETTTTRQESTETANPPSSNKPPVAAAARTGIEDHQEQGSTRSSNILATPAMWAVAPAATNGGNAFWMLPVGGATTTTTAAAIPEPQVWTFPAAGVTSMQRVSFRGGGRMSPVQLGSMMVHQAVGGQQLGLGVGESNIGILGGGGSGSGANAYSSRFGLGMNLEQHNQQNQQQGSDSDDENPTDSQ >Manes.10G028900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2942310:2942516:-1 gene:Manes.10G028900.v8.1 transcript:Manes.10G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADHEGCKTPMHRESRILTALECPPPPARKKPVCTKQRSPPKDGYFNPPDLEAFFTMLPRTRREACA >Manes.03G126000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25311756:25316148:1 gene:Manes.03G126000.v8.1 transcript:Manes.03G126000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLQPLPLNPHFLFSPMFPFSQFMASKLQKSLCLPPSLLFHLIPLMVFSVHVLATSSTSAAVQSQVTEADALLRWKASLDNHSQSFLSSWSPTAGRPCNWFGIHCNEAGNVSNISLTDSGLKGTLQSFSFPSFPNLVKLNLSNNSFHGNIPSHIGNLSKLNVLDFSVNGFSGSIPQEIGMLNSLIYIDLSNNFLAGTIPASIGNLTTLPILYIHMNQLSGTIPQQLGMLKFATAIDFSVNNLTGRIPTSIGNLTNLWVLSLYGNKLSGSIPEEIGMLSSLTELALSQNNLTGPIPASIGNLTELSYLYVTNNRLSNSLPREIGKLTKLTRLFLEMNELSGTLPSEMNNFTLLEVFIIYSNRFTGQLPQDICIGGGLKSFAINGNDFTGPIPRSMRNCSRLMRLHLEANQLTGNISEDFGTYPELNFMDLSDNRFYGELSWKWEGFSNLSTLKISNNNISGIIPADIGMAAQLHLLDLSSNHLAGMIPKELGKLSLFQLSLDDNELSGGIPEEIGLLSDLERVNLAANNLSGPIPKKLGDCSKLLFLNLSKNQLSESIPVELGNLGSLESLDLSQNLLAAEIPPQLGKLQRMELLNLSYNLLSGSIPTTFDYLSSLTVVNISHNELEGPIPHNKAFQEASFEAFQNNRHLCGNNTGLEACVSVAINKSIRKKDSKLVLVIIIPLICSLFLLGVLVGGFLVLRKRIRSRETNSGEGEPSGEDIYAIWGRDKDMQYENIVKATEDFNSKYCIGEGGYGIVYKAVLPTGRAVAVKKLHQSQNGEITDFKAFKSEICVLMNIHHQNIVKLHGFCSHPKRSFLVYEFIERGSLKNTLSNEEQAVELNWFRRLNVVKGIANALSYMHHDCSPSIIHRDISSNNVLLDSEFEAHVSDFGTARILMPDSSNWTSFAVTFGYSAPELAYTMMVNEKCDVYSFGVVTIEILMGRHPGDFISSLSSSFSIPSSSLMDENTPLKDVIDQRLPTPQNKSAEGIIHIARIAHACLNGNPQSRPTMKQVSSHLMDKWHPLAKPFSEVKLGEIYSFKEDSVVKP >Manes.13G062800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7310223:7314432:1 gene:Manes.13G062800.v8.1 transcript:Manes.13G062800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGNYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKGSHLLSSCPSNTLSHTREHV >Manes.13G062800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7310815:7314186:1 gene:Manes.13G062800.v8.1 transcript:Manes.13G062800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGNYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKGSHLLSSCPSNTLSHTREHV >Manes.13G062800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7310223:7314432:1 gene:Manes.13G062800.v8.1 transcript:Manes.13G062800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGNYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKGSHLLSSCPSNTLSHTREHV >Manes.13G062800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7310815:7314682:1 gene:Manes.13G062800.v8.1 transcript:Manes.13G062800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGNYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKIRRPWWAPSCLSVVFIKVAKRNRQSRVVSLKLEP >Manes.11G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3516480:3517771:-1 gene:Manes.11G036500.v8.1 transcript:Manes.11G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAFGRFDDSFSLGSFKAYIAEFISTLLFVFAGVGSAIAYNKLTGNAALDPAGLVAIAVCHGFALFVAVAVGANISGGHVNPAVTFGLALGGQITILTGIFYWIAQLLGSIVACFLLKAVTGGLAVPTHGVAAGVGAVEGVVMEIVITFALVYTVYATAADPKKGSLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGNFHDNWIYWVGPLIGGGLAGLIYGNLYIPSDHAPLSNEF >Manes.10G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24999709:25000078:1 gene:Manes.10G102000.v8.1 transcript:Manes.10G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTRFRALKYENGIAGKPIIIVRVIACYQPLQDCQAEYFRHLLKPVT >Manes.13G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31806082:31808391:1 gene:Manes.13G112500.v8.1 transcript:Manes.13G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMEETCNKTGHPAPPPLPSPATTSNYLRRQLTMDGQTLRGHSSVSIRTKDMWELLFDEGYRADVRINTDKGGSIYAHANILGMASPVIRGMLKQAKNRTRQRSILIRGVPHDAVRIFIRFLYSSCYEKEEMEEFVLHLLVLSHVFVVPELKKICENKLEQSFLTTENVVDIFQLALLCDAPRLSLICHRMIVTNFQEISTTEGWKAMKQSHPVLEKELLDSMVDLETRKNERIRKLNERKIYLQLYEAMEALVHICRDGCRTIGPHDKDFREIKAPCPYSACRGLEMLVRHFASCKMRVSGGCSHCKRMWQLLELHSRLCADSNLCRVPLCRNFKVRIRKQNKKDEVKWRILVKKILRTKRIGSSPFFSLAISCSS >Manes.02G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10761177:10765048:-1 gene:Manes.02G139800.v8.1 transcript:Manes.02G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLINIDASQLPTALSHLAIQDQGEVETKMEIHEKPCGNHGGVCAICLDKIVLQETALIKGCEHAYCVMCILRWATYSEKPTCPQCKCPFEFLNVHRSLDGSILDYMFEESVCLLLRAAWFKPLIVEDYREDVYDDLEDYYPYGYEDDEDDLDEVYLSRSSNLRIGNRRWGDNGYVRAGRQEARPVYRPNSQDSGAGSSREPTKKEATKDRTGRRAKRTLKREAADKAAAAKHQQHLARLGRM >Manes.14G157474.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20284364:20288109:1 gene:Manes.14G157474.v8.1 transcript:Manes.14G157474.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPSLSLSRRTHHFPLFKNQILGSCGFSSRKSAFLRGQFHSRHFFSFKLNPNPRKFAGLVVSPSCVLPLTEENVEKVLDEVRPGLMADGGNVVLHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMSVEQIVDTETGLELNEENVEKVLAEIRPYLAGTGGGVLELVQINDYVVKVRLSGPAAEVMTVRVALIQKLRDKIPAIAAVQLID >Manes.14G005100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1600003:1619481:1 gene:Manes.14G005100.v8.1 transcript:Manes.14G005100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALVDTGAPVQNPNLNGQISLPLLSSFVASYLYSDSQFEQPPPPIQISMNPSNFGDLNSGFSNSSSNAQNLSSSGKPRPKPRLVKLRRQSNSQNFKSPADTCACPGFNPFQPVSPHAEQDVSKSSAFGFGGGGKESFVFGNGKSSFGGDSDSGKLNVENQVIEQMKNVRTGSGNVFGNNNLNASHRSDFVFGSDKSSSVDDNMKRLTLDDNEIEKVVDQRTKLTANDIAKFGLCSGDNVTTSFGKSAGLKLPDDLKKELTIKERGDFGGGSSISGADEAKKPGFKTNTSKSFVGRLDNALPDQIKNLKIKDSLDANDIDNKTYEKDSFASGGRKGTRNHVGRETENILLNEMESKLNLASAIRESSGQTDIGFSSSRTQTEDMQTGNGGDSKFHDSGNSVHTEFTFKGGLQGKEASGDQVTSDQPKVDTRPSGVAGPSSSFSPSGLPGGCAFGLPPTGREEKRDGLIFTSKQEGVGSPFVEFKTPNLKGNVFSGLNQKVEISAKFKDSKVKKKRGKLKQPTKVHLWPGQDFVSRESGSHEIPESSEPNSPMDVSPYQDPLSDTQFSRETSVASEESFSLDNQCPSTNSQPSAVNGTIDEDLIVATEKMEINEEYVQFRETKKEGSGYCSDKGIVAENPPEDPLSRAGAESLKSANVEIDFVNDIVVTSEENGANFSTNMEGQYSDVRFQFGSSASSEDIGGSCFTFAAATAATSSSNCQHKTKTWVKVGNTSYICSPNAKVSYPSSISEVTPISGASLPLSPTHGKKVDLSTPFHMIGDNSEGLRGQEMKQESDLTSAASVAAQEACEKWRLRGNQRYTCGDTSKAEDYYTQGINCVSKSETSRSSLRALMLCYSNRAATRMSLGRMRDALRDCKMAAEIDPNFIRVQVRAANCYLALGEVEDASQYFKKCLQIGSDICVDRKIAVEASEGLQKAQKVSECLQHSAELLQRKTSGDAGSALELILEASTISPFSETLLEMKATSLFLLRKYDEVIQLCERTFDSAKQNSSLIEADCLSADLDSSELMKNSFSLWRTHLIFKSYYYLGKLEEGSGWLEKQEELIAKSDLSRSANKLMESLLPLASTVRELLHHKAAGNEAFQAGKHSEAIEHYTAALSCNIESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKALSRRSTLYEMIRDYGQAATDLHRLVALLTKQLEEKTNQFGSSDRMGNLPNDLRQARMRLSTVEEESRKEVPLDMYLILGVEPFASASEIKKAYRKAALRHHPDKAGQSLARNENGDDGLWKEMGEEIHNHADRLFKIIGEAYAVLSDPTKRSEYDLEEERMNCQRKHNGSSKYRTHTDAQNYQFERSGTRRQWREVWRSYGR >Manes.14G005100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1599923:1619481:1 gene:Manes.14G005100.v8.1 transcript:Manes.14G005100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNFGDLNSGFSNSSSNAQNLSSSGKPRPKPRLVKLRRQSNSQNFKSPADTCACPGFNPFQPVSPHAEQDVSKSSAFGFGGGGKESFVFGNGKSSFGGDSDSGKLNVENQVIEQMKNVRTGSGNVFGNNNLNASHRSDFVFGSDKSSSVDDNMKRLTLDDNEIEKVVDQRTKLTANDIAKFGLCSGDNVTTSFGKSAGLKLPDDLKKELTIKERGDFGGGSSISGADEAKKPGFKTNTSKSFVGRLDNALPDQIKNLKIKDSLDANDIDNKTYEKDSFASGGRKGTRNHVGRETENILLNEMESKLNLASAIRESSGQTDIGFSSSRTQTEDMQTGNGGDSKFHDSGNSVHTEFTFKGGLQGKEASGDQVTSDQPKVDTRPSGVAGPSSSFSPSGLPGGCAFGLPPTGREEKRDGLIFTSKQEGVGSPFVEFKTPNLKGNVFSGLNQKVEISAKFKDSKVKKKRGKLKQPTKVHLWPGQDFVSRESGSHEIPESSEPNSPMDVSPYQDPLSDTQFSRETSVASEESFSLDNQCPSTNSQPSAVNGTIDEDLIVATEKMEINEEYVQFRETKKEGSGYCSDKGIVAENPPEDPLSRAGAESLKSANVEIDFVNDIVVTSEENGANFSTNMEGQYSDVRFQFGSSASSEDIGGSCFTFAAATAATSSSNCQHKTKTWVKVGNTSYICSPNAKVSYPSSISEVTPISGASLPLSPTHGKKVDLSTPFHMIGDNSEGLRGQEMKQESDLTSAASVAAQEACEKWRLRGNQRYTCGDTSKAEDYYTQGINCVSKSETSRSSLRALMLCYSNRAATRMSLGRMRDALRDCKMAAEIDPNFIRVQVRAANCYLALGEVEDASQYFKKCLQIGSDICVDRKIAVEASEGLQKAQKVSECLQHSAELLQRKTSGDAGSALELILEASTISPFSETLLEMKATSLFLLRKYDEVIQLCERTFDSAKQNSSLIEADCLSADLDSSELMKNSFSLWRTHLIFKSYYYLGKLEEGSGWLEKQEELIAKSDLSRSANKLMESLLPLASTVRELLHHKAAGNEAFQAGKHSEAIEHYTAALSCNIESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKALSRRSTLYEMIRDYGQAATDLHRLVALLTKQLEEKTNQFGSSDRMGNLPNDLRQARMRLSTVEEESRKEVPLDMYLILLVSPWQEMRMEMMGSGRRWEKKFTTMRTDCLK >Manes.14G005100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1600003:1619481:1 gene:Manes.14G005100.v8.1 transcript:Manes.14G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPALVDTGAPVQNPNLNGQISLPLLSSFVASYLYSDSQFEQPPPPIQISMNPSNFGDLNSGFSNSSSNAQNLSSSGKPRPKPRLVKLRRQSNSQNFKSPADTCACPGFNPFQPVSPHAEQDVSKSSAFGFGGGGKESFVFGNGKSSFGGDSDSGKLNVENQVIEQMKNVRTGSGNVFGNNNLNASHRSDFVFGSDKSSSVDDNMKRLTLDDNEIEKVVDQRTKLTANDIAKFGLCSGDNVTTSFGKSAGLKLPDDLKKELTIKERGDFGGGSSISGADEAKKPGFKTNTSKSFVGRLDNALPDQIKNLKIKDSLDANDIDNKTYEKDSFASGGRKGTRNHVGRETENILLNEMESKLNLASAIRESSGQTDIGFSSSRTQTEDMQTGNGGDSKFHDSGNSVHTEFTFKGGLQGKEASGDQVTSDQPKVDTRPSGVAGPSSSFSPSGLPGGCAFGLPPTGREEKRDGLIFTSKQEGVGSPFVEFKTPNLKGNVFSGLNQKVEISAKFKDSKVKKKRGKLKQPTKVHLWPGQDFVSRESGSHEIPESSEPNSPMDVSPYQDPLSDTQFSRETSVASEESFSLDNQCPSTNSQPSAVNGTIDEDLIVATEKMEINEEYVQFRETKKEGSGYCSDKGIVAENPPEDPLSRAGAESLKSANVEIDFVNDIVVTSEENGANFSTNMEGQYSDVRFQFGSSASSEDIGGSCFTFAAATAATSSSNCQHKTKTWVKVGNTSYICSPNAKVSYPSSISEVTPISGASLPLSPTHGKKVDLSTPFHMIGDNSEGLRGQEMKQESDLTSAASVAAQEACEKWRLRGNQRYTCGDTSKAEDYYTQGINCVSKSETSRSSLRALMLCYSNRAATRMSLGRMRDALRDCKMAAEIDPNFIRVQVRAANCYLALGEVEDASQYFKKCLQIGSDICVDRKIAVEASEGLQKAQKVSECLQHSAELLQRKTSGDAGSALELILEASTISPFSETLLEMKATSLFLLRKYDEVIQLCERTFDSAKQNSSLIEADCLSADLDSSELMKNSFSLWRTHLIFKSYYYLGKLEEGSGWLEKQEELIAKSDLSRSANKLMESLLPLASTVRELLHHKAAGNEAFQAGKHSEAIEHYTAALSCNIESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKALSRRSTLYEMIRDYGQAATDLHRLVALLTKQLEEKTNQFGSSDRMGNLPNDLRQARMRLSTVEEESRKEVPLDMYLILLVSPWQEMRMEMMGSGRRWEKKFTTMRTDCLK >Manes.14G005100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1599923:1619481:1 gene:Manes.14G005100.v8.1 transcript:Manes.14G005100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNFGDLNSGFSNSSSNAQNLSSSGKPRPKPRLVKLRRQSNSQNFKSPADTCACPGFNPFQPVSPHAEQDVSKSSAFGFGGGGKESFVFGNGKSSFGGDSDSGKLNVENQVIEQMKNVRTGSGNVFGNNNLNASHRSDFVFGSDKSSSVDDNMKRLTLDDNEIEKVVDQRTKLTANDIAKFGLCSGDNVTTSFGKSAGLKLPDDLKKELTIKERGDFGGGSSISGADEAKKPGFKTNTSKSFVGRLDNALPDQIKNLKIKDSLDANDIDNKTYEKDSFASGGRKGTRNHVGRETENILLNEMESKLNLASAIRESSGQTDIGFSSSRTQTEDMQTGNGGDSKFHDSGNSVHTEFTFKGGLQGKEASGDQVTSDQPKVDTRPSGVAGPSSSFSPSGLPGGCAFGLPPTGREEKRDGLIFTSKQEGVGSPFVEFKTPNLKGNVFSGLNQKVEISAKFKDSKVKKKRGKLKQPTKVHLWPGQDFVSRESGSHEIPESSEPNSPMDVSPYQDPLSDTQFSRETSVASEESFSLDNQCPSTNSQPSAVNGTIDEDLIVATEKMEINEEYVQFRETKKEGSGYCSDKGIVAENPPEDPLSRAGAESLKSANVEIDFVNDIVVTSEENGANFSTNMEGQYSDVRFQFGSSASSEDIGGSCFTFAAATAATSSSNCQHKTKTWVKVGNTSYICSPNAKVSYPSSISEVTPISGASLPLSPTHGKKVDLSTPFHMIGDNSEGLRGQEMKQESDLTSAASVAAQEACEKWRLRGNQRYTCGDTSKAEDYYTQGINCVSKSETSRSSLRALMLCYSNRAATRMSLGRMRDALRDCKMAAEIDPNFIRVQVRAANCYLALGEVEDASQYFKKCLQIGSDICVDRKIAVEASEGLQKAQKVSECLQHSAELLQRKTSGDAGSALELILEASTISPFSETLLEMKATSLFLLRKYDEVIQLCERTFDSAKQNSSLIEADCLSADLDSSELMKNSFSLWRTHLIFKSYYYLGKLEEGSGWLEKQEELIAKSDLSRSANKLMESLLPLASTVRELLHHKAAGNEAFQAGKHSEAIEHYTAALSCNIESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKALSRRSTLYEMIRDYGQAATDLHRLVALLTKQLEEKTNQFGSSDRMGNLPNDLRQARMRLSTVEEESRKEVPLDMYLILGVEPFASASEIKKAYRKAALRHHPDKAGQSLARNENGDDGLWKEMGEEIHNHADRLFKIIGEAYAVLSDPTKRSEYDLEEERMNCQRKHNGSSKYRTHTDAQNYQFERSGTRRQWREVWRSYGR >Manes.14G005100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1600003:1619481:1 gene:Manes.14G005100.v8.1 transcript:Manes.14G005100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNFGDLNSGFSNSSSNAQNLSSSGKPRPKPRLVKLRRQSNSQNFKSPADTCACPGFNPFQPVSPHAEQDVSKSSAFGFGGGGKESFVFGNGKSSFGGDSDSGKLNVENQVIEQMKNVRTGSGNVFGNNNLNASHRSDFVFGSDKSSSVDDNMKRLTLDDNEIEKVVDQRTKLTANDIAKFGLCSGDNVTTSFGKSAGLKLPDDLKKELTIKERGDFGGGSSISGADEAKKPGFKTNTSKSFVGRLDNALPDQIKNLKIKDSLDANDIDNKTYEKDSFASGGRKGTRNHVGRETENILLNEMESKLNLASAIRESSGQTDIGFSSSRTQTEDMQTGNGGDSKFHDSGNSVHTEFTFKGGLQGKEASGDQVTSDQPKVDTRPSGVAGPSSSFSPSGLPGGCAFGLPPTGREEKRDGLIFTSKQEGVGSPFVEFKTPNLKGNVFSGLNQKVEISAKFKDSKVKKKRGKLKQPTKVHLWPGQDFVSRESGSHEIPESSEPNSPMDVSPYQDPLSDTQFSRETSVASEESFSLDNQCPSTNSQPSAVNGTIDEDLIVATEKMEINEEYVQFRETKKEGSGYCSDKGIVAENPPEDPLSRAGAESLKSANVEIDFVNDIVVTSEENGANFSTNMEGQYSDVRFQFGSSASSEDIGGSCFTFAAATAATSSSNCQHKTKTWVKVGNTSYICSPNAKVSYPSSISEVTPISGASLPLSPTHGKKVDLSTPFHMIGDNSEGLRGQEMKQESDLTSAASVAAQEACEKWRLRGNQRYTCGDTSKAEDYYTQGINCVSKSETSRSSLRALMLCYSNRAATRMSLGRMRDALRDCKMAAEIDPNFIRVQVRAANCYLALGEVEDASQYFKKCLQIGSDICVDRKIAVEASEGLQKAQKVSECLQHSAELLQRKTSGDAGSALELILEASTISPFSETLLEMKATSLFLLRKYDEVIQLCERTFDSAKQNSSLIEADCLSADLDSSELMKNSFSLWRTHLIFKSYYYLGKLEEGSGWLEKQEELIAKSDLSRSANKLMESLLPLASTVRELLHHKAAGNEAFQAGKHSEAIEHYTAALSCNIESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKALSRRSTLYEMIRDYGQAATDLHRLVALLTKQLEEKTNQFGSSDRMGNLPNDLRQARMRLSTVEEESRKEVPLDMYLIL >Manes.14G005100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1599922:1619481:1 gene:Manes.14G005100.v8.1 transcript:Manes.14G005100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNFGDLNSGFSNSSSNAQNLSSSGKPRPKPRLVKLRRQSNSQNFKSPADTCACPGFNPFQPVSPHAEQDVSKSSAFGFGGGGKESFVFGNGKSSFGGDSDSGKLNVENQVIEQMKNVRTGSGNVFGNNNLNASHRSDFVFGSDKSSSVDDNMKRLTLDDNEIEKVVDQRTKLTANDIAKFGLCSGDNVTTSFGKSAGLKLPDDLKKELTIKERGDFGGGSSISGADEAKKPGFKTNTSKSFVGRLDNALPDQIKNLKIKDSLDANDIDNKTYEKDSFASGGRKGTRNHVGRETENILLNEMESKLNLASAIRESSGQTDIGFSSSRTQTEDMQTGNGGDSKFHDSGNSVHTEFTFKGGLQGKEASGDQVTSDQPKVDTRPSGVAGPSSSFSPSGLPGGCAFGLPPTGREEKRDGLIFTSKQEGVGSPFVEFKTPNLKGNVFSGLNQKVEISAKFKDSKVKKKRGKLKQPTKVHLWPGQDFVSRESGSHEIPESSEPNSPMDVSPYQDPLSDTQFSRETSVASEESFSLDNQCPSTNSQPSAVNGTIDEDLIVATEKMEINEEYVQFRETKKEGSGYCSDKGIVAENPPEDPLSRAGAESLKSANVEIDFVNDIVVTSEENGANFSTNMEGQYSDVRFQFGSSASSEDIGGSCFTFAAATAATSSSNCQHKTKTWVKVGNTSYICSPNAKVSYPSSISEVTPISGASLPLSPTHGKKVDLSTPFHMIGDNSEGLRGQEMKQESDLTSAASVAAQEACEKWRLRGNQRYTCGDTSKAEDYYTQGINCVSKSETSRSSLRALMLCYSNRAATRMSLGRMRDALRDCKMAAEIDPNFIRVQVRAANCYLALGEVEDASQYFKKCLQIGSDICVDRKIAVEASEGLQKAQKVSECLQHSAELLQRKTSGDAGSALELILEASTISPFSETLLEMKATSLFLLRKYDEVIQLCERTFDSAKQNSSLIEADCLSADLDSSELMKNSFSLWRTHLIFKSYYYLGKLEEGSGWLEKQEELIAKSDLSRSANKLMESLLPLASTVRELLHHKAAGNEAFQAGKHSEAIEHYTAALSCNIESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKALSRRSTLYEMIRDYGQAATDLHRLVALLTKQLEEKTNQFGSSDRMGNLPNDLRQARMRLSTVEEESRKEVPLDMYLIL >Manes.14G005100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1600003:1619481:1 gene:Manes.14G005100.v8.1 transcript:Manes.14G005100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNFGDLNSGFSNSSSNAQNLSSSGKPRPKPRLVKLRRQSNSQNFKSPADTCACPGFNPFQPVSPHAEQDVSKSSAFGFGGGGKESFVFGNGKSSFGGDSDSGKLNVENQVIEQMKNVRTGSGNVFGNNNLNASHRSDFVFGSDKSSSVDDNMKRLTLDDNEIEKVVDQRTKLTANDIAKFGLCSGDNVTTSFGKSAGLKLPDDLKKELTIKERGDFGGGSSISGADEAKKPGFKTNTSKSFVGRLDNALPDQIKNLKIKDSLDANDIDNKTYEKDSFASGGRKGTRNHVGRETENILLNEMESKLNLASAIRESSGQTDIGFSSSRTQTEDMQTGNGGDSKFHDSGNSVHTEFTFKGGLQGKEASGDQVTSDQPKVDTRPSGVAGPSSSFSPSGLPGGCAFGLPPTGREEKRDGLIFTSKQEGVGSPFVEFKTPNLKGNVFSGLNQKVEISAKFKDSKVKKKRGKLKQPTKVHLWPGQDFVSRESGSHEIPESSEPNSPMDVSPYQDPLSDTQFSRETSVASEESFSLDNQCPSTNSQPSAVNGTIDEDLIVATEKMEINEEYVQFRETKKEGSGYCSDKGIVAENPPEDPLSRAGAESLKSANVEIDFVNDIVVTSEENGANFSTNMEGQYSDVRFQFGSSASSEDIGGSCFTFAAATAATSSSNCQHKTKTWVKVGNTSYICSPNAKVSYPSSISEVTPISGASLPLSPTHGKKVDLSTPFHMIGDNSEGLRGQEMKQESDLTSAASVAAQEACEKWRLRGNQRYTCGDTSKAEDYYTQGINCVSKSETSRSSLRALMLCYSNRAATRMSLGRMRDALRDCKMAAEIDPNFIRVQVRAANCYLALGEVEDASQYFKKCLQIGSDICVDRKIAVEASEGLQKAQKVSECLQHSAELLQRKTSGDAGSALELILEASTISPFSETLLEMKATSLFLLRKYDEVIQLCERTFDSAKQNSSLIEADCLSADLDSSELMKNSFSLWRTHLIFKSYYYLGKLEEGSGWLEKQEELIAKSDLSRSANKLMESLLPLASTVRELLHHKAAGNEAFQAGKHSEAIEHYTAALSCNIESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKALSRRSTLYEMIRDYGQAATDLHRLVALLTKQLEEKTNQFGSSDRMGNLPNDLRQARMRLSTVEEESRKEVPLDMYLIFVQSMISKKRG >Manes.05G189000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31105674:31125731:1 gene:Manes.05G189000.v8.1 transcript:Manes.05G189000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTAGVRHFQLVDGMELQVLASALPKKNWFIPSEQSPGSKSYKQGALQTPFTKSGELYVVNIELRGPKFHAVEFVLKDSCSNRWLKLNNGNFRIDLPEHDESSVHPPISKDSIENKAYQTWESKGRPTGTPQQKQDYDAAVRELQNQLTKGISLNELQNSCISERTKTVTDNKGQSRFAVPRSYFQRHDVDQWLHKHSLGHAKSTNMTFPTLMDLVEGTTGGDKVISRQTHHVGNYEIVVITKIINSDHHVLVAINTMGAVVLHWGVSKLSPAEWLAPPSDVLPERSKLLDGACQTYFREISTRKGSFQIVDINLQQRNFFGIQFVIWTGGSWIKNNGANFSVLLKSINPSGKVDGDGRETLKWLLDEIYRRENEAERSLMHRFNIATELTERCKLEGELGLIGILIWLRFMACRHLTWNKNYNVKPREISEAQDKFTKLLQKIYVNQPNDREIVRLIMLCVGRGGQGDVGQRIRDEILVIQRNNECKTGMMEEWHQKLHNNSSSDDVIICEALLNYVRCGFRIDVYWQTLNANGLTKEKLASYDRPILSEPRLNTHAKEGLIRDLTMYLKTLKAVHSGADLESAIETCLGPSSKNLVDSRIELRPVLLTSSERAKDLLFLDLALDSAVRTTMERGLKELSFNQLPDIIFYISFVLENLCLSTVNNEDLIYCTKDWYRVCESYKANDVQWALQAKAILDRVQLVLADRSLNFQKKVQPSVQYLGNLLGIKKWVIDIFTEELVRAGSAAILSTLINRLDPTFRRVANLGCWQVISPVEVCGFITSVHELLTVQNKVYRKPTVIIANRVTGEEEIPDGVVAVLTPDMPDILSHVSIRARNSKVCFATCFDQDILRNLKLKEGKAISISMKSMNLIIRDINGSNLSLNTSASTSIPRAVSFKRKIFCGKFAISVEEFTADMVGAKSFNIKFLRERVPSWIKIPISVALTFGAFETVMSDNINKDIASRISVFYKSVLGGDFTKLQAIQDAIQQMNAPLSLIYELKSKMRSSRIPWPGDESEERWNRAWQAIKKVWASKWNERAYVSCRKANLNHDNLRMAVLIQEVISGDYAFVIHTKNPLSGDASEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLRFPIVTGYPSKNIGLYSKKSIIFRSDSNGEDLEGYAGAGLYDSVLMDEEEKVILDYSNDRLIVDKAFQTSIFSKIAEAGKIIEGLYGCAQDIEGVVKDGVIYVVQARPQI >Manes.05G189000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31105674:31125731:1 gene:Manes.05G189000.v8.1 transcript:Manes.05G189000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTAGVRHFQLVDGMELQINVSGSSSGPNVRIELRIKNCTRTWILHWGFVNRGNPNWFIPSEQSPGSKSYKQGALQTPFTKSGELYVVNIELRGPKFHAVEFVLKDSCSNRWLKLNNGNFRIDLPEHDESSVHPPISKDSIENKAYQTWESKGRPTGTPQQKQDYDAAVRELQNQLTKGISLNELQNSCISERTKTVTDNKGQSRFAVPRSYFQRHDVDQWLHKHSLGHAKSTNMTFPTLMDLVEGTTGGDKVISRQTHHVGNYEIVVITKIINSDHHVLVAINTMGAVVLHWGVSKLSPAEWLAPPSDVLPERSKLLDGACQTYFREISTRKGSFQIVDINLQQRNFFGIQFVIWTGGSWIKNNGANFSVLLKSINPSGKVDGDGRETLKWLLDEIYRRENEAERSLMHRFNIATELTERCKLEGELGLIGILIWLRFMACRHLTWNKNYNVKPREISEAQDKFTKLLQKIYVNQPNDREIVRLIMLCVGRGGQGDVGQRIRDEILVIQRNNECKTGMMEEWHQKLHNNSSSDDVIICEALLNYVRCGFRIDVYWQTLNANGLTKEKLASYDRPILSEPRLNTHAKEGLIRDLTMYLKTLKAVHSGADLESAIETCLGPSSKSDSTNAERFNSPGGISLELWDSLNSIRANVADTNIASLMENLVDSRIELRPVLLTSSERAKDLLFLDLALDSAVRTTMERGLKELSFNQLPDIIFYISFVLENLCLSTVNNEDLIYCTKDWYRVCESYKANDVQWALQAKAILDRVQLVLADRSLNFQKKVQPSVQYLGNLLGIKKWVIDIFTEELVRAGSAAILSTLINRLDPTFRRVANLGCWQVISPVEVCGFITSVHELLTVQNKVYRKPTVIIANRVTGEEEIPDGVVAVLTPDMPDILSHVSIRARNSKVCFATCFDQDILRNLKLKEGKAISISMKSMNLIIRDINGSNLSLNTSASTSIPRAVSFKRKIFCGKFAISVEEFTADMVGAKSFNIKFLRERVPSWIKIPISVALTFGAFETVMSDNINKDIASRISVFYKSVLGGDFTKLQAIQDAIQQMNAPLSLIYELKSKMRSSRIPWPGDESEERWNRAWQAIKKVWASKWNERAYVSCRKANLNHDNLRMAVLIQEVISGDYAFVIHTKNPLSGDASEIYTEIVKGLGETLVGAYPGRAMSFITKKSNLRFPIVTGYPSKNIGLYSKKSIIFRSDSNGEDLEGYAGAGLYDSVLMDEEEKVILDYSNDRLIVDKAFQTSIFSKIAEAGKIIEGLYGCAQDIEGVVKDGVIYVVQARPQI >Manes.02G219900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34699035:34707053:1 gene:Manes.02G219900.v8.1 transcript:Manes.02G219900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIISPCCVKKIFCLFFQVPNTLSTQRRKRGRRLVRRKKMVVSVNNPSCSVWNLNLKKLPRENHLSRWSSTSSWLNVKKSGGLMRFDIINRQPSTVQVKASCLFNPTDQPIVKEALKEPVAFLGGMFAGFLRLDLDDEPLKEWVTRTVDASGIAIEDTDGEGSKPEEIPQQIEIE >Manes.15G092200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7170508:7170936:-1 gene:Manes.15G092200.v8.1 transcript:Manes.15G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQGGNKKQDRATGEIRYRGIRRRPWGKFAAEIRDPTRNGARRWLGTFETVEEAARAYDRAAFAFRGHLAILNFPNEYQYHSHTSLPSFASSSSSLSVSAAPLASYGLVGSSGGQEVIEFEYLDDKLLEDLLEFQEGKQQ >Manes.11G045900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4917729:4925433:1 gene:Manes.11G045900.v8.1 transcript:Manes.11G045900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPCLDEREEEAQVMSEVHLGCPPDSSGPHISHFTISIPPDYPTHSFSVTIQHNITSSIPSVGLQVWKAELVLSDFVLHKMFTSSELDGISSLELGAGTGLVGMLLAHVAKTVFLTDRGYEILDNCARNVHLNSEVLKYHGAIHVRELDWLSSWPPMCLGNSGDHKSYLWIPTEVEEAQGASLLLAADVIYSDDLTDALFSILEKLMSLGSEKVLYLALEKRYNFSLDDLDVVANGYSHFLSYLRREEEEYEELGYGTSPCFVGKRVDLSLIPQYVREYDRGNDVELWHIKFAGRKPVQKIHGV >Manes.11G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4917729:4925433:1 gene:Manes.11G045900.v8.1 transcript:Manes.11G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPCLDEREEEAQVMSEVHLGCPPDSSGPHISHFTISIPPGVDHSRSKDLFKDESIPLQQMICLDEDGDLILNRRSYYPTHSFSVTIQHNITSSIPSVGLQVWKAELVLSDFVLHKMFTSSELDGISSLELGAGTGLVGMLLAHVAKTVFLTDRGYEILDNCARNVHLNSEVLKYHGAIHVRELDWLSSWPPMCLGNSGDHKSYLWIPTEVEEAQGASLLLAADVIYSDDLTDALFSILEKLMSLGSEKVLYLALEKRYNFSLDDLDVVANGYSHFLSYLRREEEEYEELGYGTSPCFVGKRVDLSLIPQYVREYDRGNDVELWHIKFAGRKPVQKIHGV >Manes.14G034800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3099911:3100489:-1 gene:Manes.14G034800.v8.1 transcript:Manes.14G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSLITISIALFCIANSWAARYQPREESSDDFIRSSCEVTRYPELCYKTLSAYSSTIQNDPLQLANAALNISLESAESTSNIVANMLKAHNVGPKEAGAIRDCVENVKDSIDELKQSLVAMKNLEGPDFEMIMRNIQTWVSAALTDEDTCLDGFEGNFMNGKVKNSIRSHIKKVAQLTSNALALVNKLVN >Manes.08G070840.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11972726:11974929:-1 gene:Manes.08G070840.v8.1 transcript:Manes.08G070840.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTSSPVHRPHLSLKSKSLIFLLCPLLLRSLLLRMLSIHFSSLLSPHHFTLASRSAALASTCVSTSPSRLFSRLRFFISPLLATTVTQLRLAFIFLSRSVFLPLDPPCCPHYASSTTDSSMKILNWALEAFISCNKKRHVFTWLAGITEAEGGVVEVSILFFCPKP >Manes.S023016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:250806:250964:1 gene:Manes.S023016.v8.1 transcript:Manes.S023016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.01G256600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41361754:41368510:1 gene:Manes.01G256600.v8.1 transcript:Manes.01G256600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRPVRHERHQSGCMWGLISMFDFRHGRSTQRLLSDRKRGPKHVVASGNATNMPFLMTNHDENYQDIIGGEESAVAAADAGKASVKKLMEEEMFCEIDLKEEINNTEIEAKQSNSEYGGNKRKNRKRTNRSRSRSCEIHIEDLDAAENLESEKPCHRNSEKQSTNTLDMGDLMEEFCQQMHHIVCLKHDQHVEVSSQPNWKNPDFEEKLSEAIKIFINQRLINGKHVTGDEEIHPSKELKDALRILSSDEELSQKFLQDPKSVMVKYVENLWNVQTGKDDVSKPPGGSNLSEQEINGLKQSDEVMHGKQRKFFRRKAKSLDKNPSKEIEPSQASNRIVILKPGPISTQKPETEGILGSSSVSQIATRNKGPNERVGAYFFFTEIKRRLKQAMGKEQQEIPPDGVSKKLPNKVRARGDSDKRYKENVGRNSPSKDHFFIEKIARPPTGVKKREKNDKLKEFEPGLKHETATYPKQRSSNIYMEAKKHLSEMLTPGTGDAEFSRRQVPRTLGRILSLPEYNFSPIGSPGRDWGQNAVTAQKRFSGNEKIQKQENNVDHLGQMTLNSETELCASNDSFDNKSRASSNQNSSIPNEPARDNEGEKILFSVEGGMTSEGDVDIVEVAEIVAQESSNILDTLSEPSDSSSTRDDQNGDMSEVCEEKRQSECLKHDMHEENQPPSSALTSPSTSTISKKNDSLEGIVEVLERPSPVSVLEPLFIEEEISPARTRFQPAELPIRPQRIQFEEHVPSAADIGTHLKAYMAEKESIFEYVKAVMLASGENWDEFYIMSNSSDPLLDPSIFDEVEFFPNQLSCDKKLLFDCIDEVLMEVYGRYFGCPLGLSFVKPAIRPAPDMKNAIREVWEGVYWYLLPLPLPHTLEQIVKKDMAKTGTWMDLRYDSETIIIEISEAIFKDLMEETMLSCVNESSESGNPSIAAESKDQSSIDV >Manes.01G256600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41361753:41368980:1 gene:Manes.01G256600.v8.1 transcript:Manes.01G256600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRPVRHERHQSGCMWGLISMFDFRHGRSTQRLLSDRKRGPKHVVASGNATNMPFLMTNHDENYQDIIGGEESAVAAADAGKASVKKLMEEEMFCEIDLKEEINNTEIEAKQSNSEYGGNKRKNRKRTNRSRSRSCEIHIEDLDAAENLESEKPCHRNSEKQSTNTLDMGDLMEEFCQQMHHIVCLKHDQHVEVSSQPNWKNPDFEEKLSEAIKIFINQRLINGKHVTGDEEIHPSKELKDALRILSSDEELSQKFLQDPKSVMVKYVENLWNVQTGKDDVSKPPGGSNLSEQEINGLKQSDEVMHGKQRKFFRRKAKSLDKNPSKEIEPSQASNRIVILKPGPISTQKPETEGILGSSSVSQIATRNKGPNERVGAYFFFTEIKRRLKQAMGKEQQEIPPDGVSKKLPNKVRARGDSDKRYKENVGRNSPSKDHFFIEKIARPPTGVKKREKNDKLKEFEPGLKHETATYPKQRSSNIYMEAKKHLSEMLTPGTGDAEFSRRQVPRTLGRILSLPEYNFSPIGSPGRDWGQNAVTAQKRFSGNEKIQKQENNVDHLGQMTLNSETELCASNDSFDNKSRASSNQNSSIPNEPARDNEGEKILFSVEGGMTSEGDVDIVEVAEIVAQESSNILDTLSEPSDSSSTRDDQNGDMSEVCEEKRQSECLKHDMHEENQPPSSALTSPSTSTISKKNDSLEGIVEVLERPSPVSVLEPLFIEEEISPARTRFQPAELPIRPQRIQFEEHVPSAADIGTHLKAYMAEKESIFEYVKAVMLASGENWDEFYIMSNSSDPLLDPSIFDEVEFFPNQLSCDKKLLFDCIDEVLMEVYGRYFGCPLGLSFVKPAIRPAPDMKNAIREVWEGVYWYLLPLPLPHTLEQIVKKDMAKTGTWMDLRYDSETIIIEISEAIFKDLMEETMLSCVNESSESGNPSIAAESKDQSSIDV >Manes.01G256600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41363686:41368511:1 gene:Manes.01G256600.v8.1 transcript:Manes.01G256600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRPVRHERHQSGCMWGLISMFDFRHGRSTQRLLSDRKRGPKHVVASGNATNMPFLMTNHDENYQDIIGGEESAVAAADAGKASVKKLMEEEMFCEIDLKEEINNTEIEAKQSNSEYGGNKRKNRKRTNRSRSRSCEIHIEDLDAAENLESEKPCHRNSEKQSTNTLDMGDLMEEFCQQMHHIVCLKHDQHVEVSSQPNWKNPDFEEKLSEAIKIFINQRLINGKHVTGDEEIHPSKELKDALRILSSDEELSQKFLQDPKSVMVKYVENLWNVQTGKDDVSKPPGGSNLSEQEINGLKQSDEVMHGKQRKFFRRKAKSLDKNPSKEIEPSQASNRIVILKPGPISTQKPETEGILGSSSVSQIATRNKGPNERVGAYFFFTEIKRRLKQAMGKEQQEIPPDGVSKKLPNKVRARGDSDKRYKENVGRNSPSKDHFFIEKIARPPTGVKKREKNDKLKEFEPGLKHETATYPKQRSSNIYMEAKKHLSEMLTPGTGDAEFSRRQVPRTLGRILSLPEYNFSPIGSPGRDWGQNAVTAQKRFSGNEKIQKQENNVDHLGQMTLNSETELCASNDSFDNKSRASSNQNSSIPNEPARDNEGEKILFSVEGGMTSEGDVDIVEVAEIVAQESSNILDTLSEPSDSSSTRDDQNGDMSEVCEEKRQSECLKHDMHEENQPPSSALTSPSTSTISKKNDSLEGIVEVLERPSPVSVLEPLFIEEEISPARTRFQPAELPIRPQRIQFEEHVPSAADIGTHLKAYMAEKESIFEYVKAVMLASGENWDEFYIMSNSSDPLLDPSIFDEVEFFPNQLSCDKKLLFDCIDEVLMEVYGRYFGCPLGLSFVKPAIRPAPDMKNAIREVWEGVYWYLLPLPLPHTLEQIVKKDMAKTGTWMDLRYDSETIIIEISEAIFKDLMEETMLSCVNESSESGNPSIAAESKDQSSIDV >Manes.01G256600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41361825:41368776:1 gene:Manes.01G256600.v8.1 transcript:Manes.01G256600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLMTNHDENYQDIIGGEESAVAAADAGKASVKKLMEEEMFCEIDLKEEINNTEIEAKQSNSEYGGNKRKNRKRTNRSRSRSCEIHIEDLDAAENLESEKPCHRNSEKQSTNTLDMGDLMEEFCQQMHHIVCLKHDQHVEVSSQPNWKNPDFEEKLSEAIKIFINQRLINGKHVTGDEEIHPSKELKDALRILSSDEELSQKFLQDPKSVMVKYVENLWNVQTGKDDVSKPPGGSNLSEQEINGLKQSDEVMHGKQRKFFRRKAKSLDKNPSKEIEPSQASNRIVILKPGPISTQKPETEGILGSSSVSQIATRNKGPNERVGAYFFFTEIKRRLKQAMGKEQQEIPPDGVSKKLPNKVRARGDSDKRYKENVGRNSPSKDHFFIEKIARPPTGVKKREKNDKLKEFEPGLKHETATYPKQRSSNIYMEAKKHLSEMLTPGTGDAEFSRRQVPRTLGRILSLPEYNFSPIGSPGRDWGQNAVTAQKRFSGNEKIQKQENNVDHLGQMTLNSETELCASNDSFDNKSRASSNQNSSIPNEPARDNEGEKILFSVEGGMTSEGDVDIVEVAEIVAQESSNILDTLSEPSDSSSTRDDQNGDMSEVCEEKRQSECLKHDMHEENQPPSSALTSPSTSTISKKNDSLEGIVEVLERPSPVSVLEPLFIEEEISPARTRFQPAELPIRPQRIQFEEHVPSAADIGTHLKAYMAEKESIFEYVKAVMLASGENWDEFYIMSNSSDPLLDPSIFDEVEFFPNQLSCDKKLLFDCIDEVLMEVYGRYFGCPLGLSFVKPAIRPAPDMKNAIREVWEGVYWYLLPLPLPHTLEQIVKKDMAKTGTWMDLRYDSETIIIEISEAIFKDLMEETMLSCVNESSESGNPSIAAESKDQSSIDV >Manes.01G256600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41361879:41368497:1 gene:Manes.01G256600.v8.1 transcript:Manes.01G256600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRPVRHERHQSGCMWGLISMFDFRHGRSTQRLLSDRKRGPKHVVASGNATNMPFLMTNHDENYQDIIGGEESAVAAADAGKASVKKLMEEEMFCEIDLKEEINNTEIEAKQSNSEYGGNKRKNRKRTNRSRSRSCEIHIEDLDAAENLESEKPCHRNSEKQSTNTLDMGDLMEEFCQQMHHIVCLKHDQHVEVSSQPNWKNPDFEEKLSEAIKIFINQRLINGKHVTGDEEIHPSKELKDALRILSSDEELSQKFLQDPKSVMVKYVENLWNVQTGKDDVSKPPGGSNLSEQEINGLKQSDEVMHGKQRKFFRRKAKSLDKNPSKEIEPSQASNRIVILKPGPISTQKPETEGILGSSSVSQIATRNKGPNERVGAYFFFTEIKRRLKQAMGKEQQEIPPDGVSKKLPNKVRARGDSDKRYKENVGRNSPSKDHFFIEKIARPPTGVKKREKNDKLKEFEPGLKHETATYPKQRSSNIYMEAKKHLSEMLTPGTGDAEFSRRQVPRTLGRILSLPEYNFSPIGSPGRDWGQNAVTAQKRFSGNEKIQKQENNVDHLGQMTLNSETELCASNDSFDNKSRASSNQNSSIPNEPARDNEGEKILFSVEGGMTSEGDVDIVEVAEIVAQESSNILDTLSEPSDSSSTRDDQNGDMSEVCEEKRQSECLKHDMHEENQPPSSALTSPSTSTISKKNDSLEGIVEVLERPSPVSVLEPLFIEEEISPARTRFQPAELPIRPQRIQFEEHVPSAADIGTHLKAYMAEKESIFEYVKAVMLASGENWDEFYIMSNSSDPLLDPSIFDEVEFFPNQLSCDKKLLFDCIDEVLMEVYGRYFGCPLGLSFVKPAIRPAPDMKNAIREVWEGVYWYLLPLPLPHTLEQIVKKDMAKTGTWMDLRYDSETIIIEISEAIFKDLMEETMLSCVNESSESGNPSIAAESKDQSSIDV >Manes.03G059750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6263960:6267126:-1 gene:Manes.03G059750.v8.1 transcript:Manes.03G059750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQNRQPKWKAKVTAKLTKAEPREIWPLFTDFFNLHKWLPTLRTCYGISGTNGEPGCIRYCSGSSIPSHNRSGDNNHLPVSWSTERLEAVDNVECSLRYVIVDSNIGFNSYVSTVRIVPVDGGGCVIEWWFEVNPVKGLVLEDLVSKYEAALLLMTRRMEDHLRASKQPPVTLSTEEREPKWEGKASVELKAATADQVWPFFQDYCNIHQWFPNLETSYHVEGELGKPGLIRYCAFKPKPSSDGTGGSVIDWVKEKLIMINPVERCLSYQFIENNMGFNSYTSTIQVLPVNGDGAGDEHGCKIEWSFVADPVEGWRFQDLESYISSALQFMGNKMEQALSVPA >Manes.13G072200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10402066:10405370:-1 gene:Manes.13G072200.v8.1 transcript:Manes.13G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSLPSMIMEEEGRFEAEVAEVQAWWNSERFRLTRRPYTARDVVALRGNLKQSYGSNEMAKKLWGTLKTHQANGTASRTFGALDPVQVAMMAKYLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGVETVLVARTDAVAANLIQTNVDTRDHQFILGATNPNLRGKSLATLLAEAMSASKTGAELQALEDNWIAMAQLKTFSECVTDAIKNMNIGEDEKRRRLNEWMNQSSYDKCHSYEQGREIAERMGVKDLFWDWDLPRTREGFYRFKGSVLAAIVRGCAFAPHADLIWMETSSPDLDECTKFARGVKSMHPEIMLAYNLSPSFNWDASGMTDEQMKDFIPRIARLGFCWQFITLGGFHAEALVTDTFASDYARRGILAYVERIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRPGAMEMSGEGSEVIAKARM >Manes.13G072200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10402228:10405247:-1 gene:Manes.13G072200.v8.1 transcript:Manes.13G072200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSLPSMQIMEEEGRFEAEVAEVQAWWNSERFRLTRRPYTARDVVALRGNLKQSYGSNEMAKKLWGTLKTHQANGTASRTFGALDPVQVAMMAKYLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGVETVLVARTDAVAANLIQTNVDTRDHQFILGATNPNLRGKSLATLLAEAMSASKTGAELQALEDNWIAMAQLKTFSECVTDAIKNMNIGEDEKRRRLNEWMNQSSYDKCHSYEQGREIAERMGVKDLFWDWDLPRTREGFYRFKGSVLAAIVRGCAFAPHADLIWMETSSPDLDECTKFARGVKSMHPEIMLAYNLSPSFNWDASGMTDEQMKDFIPRIARLGFCWQFITLGGFHAEALVTDTFASDYARRGILAYVERIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRPGAMEMSGEGSEVIAKARM >Manes.13G072200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10402228:10404469:-1 gene:Manes.13G072200.v8.1 transcript:Manes.13G072200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGRFEAEVAEVQAWWNSERFRLTRRPYTARDVVALRGNLKQSYGSNEMAKKLWGTLKTHQANGTASRTFGALDPVQVAMMAKYLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGVETVLVARTDAVAANLIQTNVDTRDHQFILGATNPNLRGKSLATLLAEAMSASKTGAELQALEDNWIAMAQLKTFSECVTDAIKNMNIGEDEKRRRLNEWMNQSSYDKCHSYEQGREIAERMGVKDLFWDWDLPRTREGFYRFKGSVLAAIVRGCAFAPHADLIWMETSSPDLDECTKFARGVKSMHPEIMLAYNLSPSFNWDASGMTDEQMKDFIPRIARLGFCWQFITLGGFHAEALVTDTFASDYARRGILAYVERIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRPGAMEMSGEGSEVIAKARM >Manes.01G075200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27788346:27789716:-1 gene:Manes.01G075200.v8.1 transcript:Manes.01G075200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRYIDAIYCVLIAIFVVATTVSSDDITPIPADDSKVSNWFQTNVKPWKSRKGTLDPALEAAEAKSKIIIVSKDGKGEFKTVTDAINSVPPKNKQRVIIKIGPGVYTEKIQIERTKHFITFLGDPKAMPTLAFNGTAYEYGTLASASVAIESTYFMAVNIIFKNTAPGPDSKKPGAQAVALRVGGDKAAFYNCKMFGFQDTLCDDRGRHFYKNCYVQGTVDFIFGRGRSLYLESHINVVNRKGSTYITAQAKQNKTEVYGYSFVQCKITGSGSRAYLGRAWRAMPEVVFSYTEMGAVVDPLGWSNNRLPERERTVFFAEYKNSGPGSNLKRRVKFAKKLTDREAKHFLSLGYIEGSKWLLPPPM >Manes.11G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29700768:29703862:1 gene:Manes.11G132400.v8.1 transcript:Manes.11G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPTNSPERVRAVWRWCLATAFRTGLACTIVGCLTLYGPASIHQQIAFPAFSYVTVILIATDATLGDTLHGCWLALYASIQSLGPALLTMWLIGPAKFTSGTISLAVALAAFVVALPEWTHLVAKRIALGQIVIVYVIAFIKGAHTERIMHPLHVAASTAIGVLACILALLLPYPRLACWEVKENCKLLAENASERLKLYVKAFCEKDSALASSSISQAKSLTISGAKFLQSIKRYQGSVKWERLPFRFLRPYYMNPGKKLAELELSLKGMEMALTNISSFPVRMMEGKSKEGLQLLEEHISLIFKQIKNSSPCDPLTFPESSAENIIESLQTLHITPTDDQDLCSLFFLFCMKLLHCKPLTKPITSKQENEGSNNSSKQNGFFKSIRSNWTMNLSSRRLMPAFKCSLALGLAILFGLLYSKENGYWSGLPVAISLASAREPTFKVANVKAQGTVLGTIYGVVGCFVFERFLPIRFLSLLPWFIVTSFLRRSKMYGQAGGISAAIGAVLILGRKNFGPPSEFAIARITETFIGLSCSIMVELLLQPTRAASLAKTQLTKCLSSLSACVGSMSLEANQAILLENQRRLKLEVSVLEKFIGEADVEPNFWFLPFHSACFGKILGSLSKMVDLLLFSAQSVEFLEQESQKMGISWKESANKLEGDLQLFKEMVGSLIKCFEDLSLLKSLAFLDKELENRNICYDPELGKSPNPKIFKVSSSSDDENEIEGIIKSYLKHSKEVIDKFHDHDEDEEQKSEMILSLGAMGFCLMNLIEEAREIEKGIQELVQWENPGKDINLYEISCKVRALYN >Manes.18G042850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3772733:3778947:-1 gene:Manes.18G042850.v8.1 transcript:Manes.18G042850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFQNEHCIAFEFAFMGSQEPAVNKLKEPILDEITAALVSRFELNFTRQDTASLWFLCKQVALLEWTDDLEVFILKGYGKSINYRMGVPLLEDVVQSMEQASKAQEGLKP >Manes.18G103100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9884072:9887603:1 gene:Manes.18G103100.v8.1 transcript:Manes.18G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMESQSNNQENIKSSSKDHHHHHQKQESSSAAAAAASLQLVSHENHGSTAAITTTSQAPFMGSISNQITLHPSTSNSNSSALTKPTTKKPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGTTISAPSSKSAPLSFHGGLAFYDAHNNNNGGENRRAVGSNTTMLGFHHQLYPQTLVPDENYMRVKTYREDLFKEPAAAATQQESTEPVNTTSNNKPPVAAAAARAGLQDHFHQELGSIRPSNILATPAMWAVAPAATNGSNAFWMLPVGGGTTTTAPMPETQMWTFPAGGVTPMQRVNFSGGGRMSQVQLGSMMVQQAVGGQQLGLGVAESNMGILGSGADAYNSSRVGLGMNLEQHNHENQQQGSDSGDENPNDSQ >Manes.15G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8042848:8047141:-1 gene:Manes.15G102400.v8.1 transcript:Manes.15G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSFLFGRKFGSSAESSFGVDEEVAGIHNVKLYTYKELRNATEDFNPANKIGEGGFGSVYKGKLKDGKIAAIKVLSAESRQGVKEFLTEINVISEIEHQNLVKLYGCCAEGKHRILVYNYLENNSLAQTLLGGGHSHSNIQFSWRTRSKICIGVARGLAFLHEDLRPHIVHRDIKASNILLDKDLTPKISDFGLAKLIPPNMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGVLLVEIVSGRCNTNTRLPVDEQYLLERTWDLYERRELVGLVDTSLDGDFDAEEACRFLKIGLLCTQDAPKLRPSMSTVVKLLTGEKDLDDSKITKPGLITDFMDLKVRGPPKAKSQKKTSYDKTNSETKSKSNVFTDSGKLDESTLLSSGNSTSAATSLTASYD >Manes.02G129600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9843459:9848259:-1 gene:Manes.02G129600.v8.1 transcript:Manes.02G129600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFTSPPIPQNPPWLLHSSSRSLLSLQNQGGPFIPTCRYSYGGDFYHLLFSPQTFSPIFWNFKNSRRNTLLRASRRESPYEVLGVSPSATPDEIKKAYRKLALKYHPDVNKEKNAQGKFMRIKHAYNTLLNSESRRKYDAGSSSGFSYSGTERSQSRNTQNEEEFYGFGDFFRDLQEEFQNWEATASSQGKPKSLWEELAEIGEEFVEFLEELNVTDTEVEANDYGRPIDSSFSASETKRTNGVKNEDGKGSSIKDNIDEIEATLAKLKRELGL >Manes.02G129600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9843459:9848259:-1 gene:Manes.02G129600.v8.1 transcript:Manes.02G129600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFTSPPIPQNPPWLLHSSSRSLLSLQNQGGPFIPTCRYSYGGDFYHLLFSPQTFSPIFWNFKNSRRNTLLRASRRESPYEVLGVSPSATPDEIKKAYRKLALKYHPDVNKEKNAQGKFMRIKHAYNTLLNSESRRKYDAGSSSGFSYSGTERSQSRNTQNEEEFYGFGDFLRDVQITIGDFFRDLQEEFQNWEATASSQGKPKSLWEELAEIGEEFVEFLEELNVTDTEVEANDYGRPIDSSFSASETKRTNGVKNEDGKGSSIKDNIDEIEATLAKLKRELGL >Manes.12G098649.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24771385:24773329:1 gene:Manes.12G098649.v8.1 transcript:Manes.12G098649.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNMNNMEKSIPDLHGMLKITEVNVKRMPTQILNVNKSKPMKNKGKPKLKGGNGPKGRVKPKWQTKEGICIHCKEPGHWKRNCKLYLDECKKKKSSVKTIGCKWVFKKKTDMD >Manes.11G063100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8758966:8761652:-1 gene:Manes.11G063100.v8.1 transcript:Manes.11G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPDQLVWEIMSRVKKTVDRNSVSLACKRLYELDREQRQSLRFGCGLDPANQALSSLCNRFPNLVKVEITYSGWMSKLGKQLDDHGLAILSNNCPSLIDLSLSYCTFITDVGLRHLASCSKLSALKLNFTPRITGCGILSLVVGCKKLTILHLNRCLNVTSVEWLEYLGKLETLEDLSIKNCRVIGEGDLIKLGSSWRKLKKLQFEVDANYRYMKVYDRLAVDRWQKQLVPCESMSELSLVNCIISPGRGLACVLGKCKNLEKIHLDMCVGVRDCDIIGLAQKSRNLLSISLRVPSDFSLPLLLNNPLRLTDESLKAVAENCPMLESVRISFSDGEFPSFSSFTLNGILALIQMCPIRELALDHVYSFNDAGMEALCSAQYLETLELVRCQEISDEGLQLVSQFPRLCILRLRKCLGVTDDGLKPLVESYKLEILTVEDCPQISERGVQGAARSVSFRQDLSWMY >Manes.01G255600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41293897:41296704:-1 gene:Manes.01G255600.v8.1 transcript:Manes.01G255600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPDLFDAVDSTLEEWIVDQMFIVRPVVETGYENLLLVRLLLEMRIPSIRKSSVAEGLTVEGILENWSKIKPVIMEAWGENKDALINLFGKVRDEWMDEDLAAWIGANRFYPGVPEALKFASSKVYIVTTKQSRFADTLLRELAGVTIPPERIYGLGTGPKVEVLKQLQQKPEHQGLKLHFVEDRLATLKNVIKEPELDGWNLYLGNWGYNTQKEREEAASIPRIHLLQISDFSKKLK >Manes.01G255600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41290087:41296673:-1 gene:Manes.01G255600.v8.1 transcript:Manes.01G255600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPDLFDAVDSTLEEWIVDQMFIVRPVVETGYENLLLVRLLLEMRIPSIRKSSVAEGLTVEGILENWSKIKPVIMEAWGENKDALINLFGKVRDEWMDEDLAAWIGANRFYPGVPEALKFASSKVYIVTTKQSRFADTLLRELAGVTIPPERIYGLGTGPKVEVLKQLQQKPEHQGLKLHFVEDRLATLKNVIKEPELDGWNLYLGNWGYNTQKEREEAASIPRIHLLQISDFRSDPRDRGDHQC >Manes.06G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25126697:25133472:-1 gene:Manes.06G120200.v8.1 transcript:Manes.06G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSQQAQSGSYPPQPPTSGAPAPPAPTPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAGLGGMVGATASGVPYYYPPMGHPAGAGPGGMMIGRPAVDPTGVYVQPPSQAWQSVWQTAAADDVSYGSGGSSGQGNLDGQG >Manes.06G120200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25126697:25133472:-1 gene:Manes.06G120200.v8.1 transcript:Manes.06G120200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSQQAQSGSYPPQPPTSGAPAPPAPTPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAGLGGMVGATASGVPYYYPPMGHPAGAGPGGMMIGRPAVDPTGVYVQPPSQAWQSVWQTAAADDVSYGSGGSSGQGNLDGQGKADEVVFSGAPEGNEPQLILLGFLLAYDL >Manes.09G136800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33710918:33713623:1 gene:Manes.09G136800.v8.1 transcript:Manes.09G136800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDIALAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMARADALEKAFPTQEYSDVARKDDPRLRRLAESRIDNRDEVRADHRRIRQAEIIATEEEETRRQEWADMEEENEEALEERRRRIKEKSRLREQEEAALPAEEEEEEVEEEEEEESEYETDSEEETMGMTMVKPIFVPKSERETIAERERLEAEERALEEKERRKLEERKVETKQILVEEIQKEELIQKNLEMEANIADVDTDDEVNEAEEYEAWKVREIARIKRDRDDREAMLKEKEEIEKVRNMTEEERREWERKNPKPGPAPKQKWRFMQKYYHKGAFFQNEADDIAGTAGSDGIYKRDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTHNDSLRAKYNGKMAGMNAPIARPRGSKKLKDWGV >Manes.09G109500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31190385:31191778:1 gene:Manes.09G109500.v8.1 transcript:Manes.09G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNAHMEKSREEAMKSNCPRKLDFNLPLLSTRRLGVFPGANSRIASQDTGDGIPFCWEQAPGKPKNLEINDIREGDTPRPKLPPCRWQPQKKPLPKPNALNIHAHDDGCDADVDDNGDAEDEDDVYSDAVDVLSLTEAIDIVQKAEDHQEAMDSLNLESVESRGGQSPNFMIERFLPDATAIAASSALYSAKNLNRKLPYFCSNYAEEYNSQTVVGSCSSEASQKGCGLELLFPWRMKHKLCGIKSPVRQVSSNVRSLSLTTKQHKHCSTARPFTRVKKDS >Manes.01G187700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36440345:36442073:1 gene:Manes.01G187700.v8.1 transcript:Manes.01G187700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQTPGVSRISDDQIVDLVSKLQQLIPEIRSSRSDKVSASKVLQETCNYIRRLHKEVDDLSDRLSQLLASTDSDSAQAAIIRSLLME >Manes.16G025900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2740369:2745141:1 gene:Manes.16G025900.v8.1 transcript:Manes.16G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIASMRKSFKDSLKVLEADIQHANTMASDFPREYDGACLQMRMSYSPAAHLFLFLVQWSDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQSGVTDTEDKKQKAACMERFRRRDDEERRQLSEVDIEREEECGICMEMNSKIVLPNCNHAMCLKCYREWRSRSQSCPFCRDSLKRVNSGDLWMFTDSRDIVDMATVTRENLRRLFMYIDKLPLIVPDSLFDNYDSHLR >Manes.03G179000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30113101:30116622:-1 gene:Manes.03G179000.v8.1 transcript:Manes.03G179000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKDKLASLYEVKDQNTIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRSKKVRGVKKTKAGDAAKAGKKK >Manes.03G179000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30113101:30116622:-1 gene:Manes.03G179000.v8.1 transcript:Manes.03G179000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKDKLASLYEVKDQNTIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRSKKVRGVKKTKAGDAAKAGKKK >Manes.03G191000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31007798:31010579:-1 gene:Manes.03G191000.v8.1 transcript:Manes.03G191000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVIGSSQATICRTELVHRELSFCVPRRNYTSVSFSDSGKRWRRNSSLRLTLNAIQTEPVLSNNLSTRDRASGSKSLDGVRLYVGLPLDAVSDCNTVNHARAISVGLKALKLLGIEGVEMAVWWGIAEKEAMGKYEWEGYLALAEMVENAGLKLHVSLCFHANEQPKIPLPVWVSHIGESEPGIFFADRSGHNFRECLSLAVDDLPVLGGKTPVQVYQEFCNSFKSSFSHLMGSTITGITMGLGPNGELRYPSYRGREGKSRKILGVGEFQCYDKNMLNLLKQHADTMGNPLWGLGGPHDAPGYDQLPNSNSFFKDQGGSWESPYGSFFLSWYSSQLLSHGNRLLSIASAEFGDSAVTTYGKVPLVHSWYKTRAHPSELTAGFYNTENRDGYEAVAGMFARNSCKMILPGMDLSDEHQPQGSLSSPELLLAQIRKACRKYGVDVSGQNSLVSKAPHHFEQMRENLLGANEIGMFTYQRMGAEFFSPEHFPAFTKFVRSLKQQEKHADDLPEEEEEVAESLLTSSESGIQMQAA >Manes.04G048400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5569953:5575269:1 gene:Manes.04G048400.v8.1 transcript:Manes.04G048400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKFGFYRADCESILSHLKHREKQLKLKRRWLMGLPMSKSEKQQILQYRSLPESLLRDDDVFYEIVKRRVEEAFGLHNDKREDNTVQECKPVSGMSNMKRLLLSCLDALTNKGLFLLAMILTRGSVNFIKTRPKMKKVIKESIPRVLRNQNNNVQKDLFTQLSQLLRDPQNVQDHCLTLLFPTCHSHHASAIKILERLEDLPSETLLAMHRKLRGVPAVAPRLQRKRHGLSRRELIYKVRRNIEKMLSELGEGKDMQAPLAKALAVAGLSLKLTPGYSNSFVQDFNLFSPEVKNLQNDIVKAIWLLKTKVRAPELKTLQLLLDPDAKVPNGCLRTAMKKMLTEYLFECSDLDTIPKSLLEALAIINRSSRSTPSGCLLKDEIEEEVECILSVSAQMKQIVMDLLPAHEFDEDFSDAYMEELEESDDSGGDDDVYHDENDDDGQYDKDDVVSGGGHQLQPRNIVSSRTYSIDLDNLEESCSEFAPMDSKPSISSPNGSCGSTLCLEKNNVDGMLNEEIGWFEVGNMGKFETSRGNGFPPHIPPIGRLNNVFIKGNKPEQCTTVDSGNSHLPSSNFCSRKVEFTSSGKDSHTNMYLGIQEVCDEMSMVSYNVISCMMEKFAQEEGLYLDWSESLYPRGDYRSQEYQVKWKN >Manes.04G048400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5569949:5575269:1 gene:Manes.04G048400.v8.1 transcript:Manes.04G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKFGFYRADCESILSHLKHREKQLKLKRRWLMGLPMSKSEKQQILQYRSLPESLLRDDDVFYEIVKRRVEEAFGLHNDKREDNTVQECKPVSGMSNMKRLLLSCLDALTNKGLFLLAMILTRGSVNFIKTRPKMKKVIKESIPRVLRNQNNNVQKDLFTQLSQLLRDPQNVQDHCLTLLFPTCHSHHASAIKILERLEDLPSETLLAMHRKLRGVPAVAPRLQRKRHGLSRRELIYKVRRNIEKMLSELGEGKDMQAPLAKALAVAGLSLKLTPGYSNSFVQDFNLFSPEVKNLQNDIVKAIWLLKTKVRAPELKTLQLLLDPDAKVPNGCLRTAMKKMLTEYLFECSDLDTIPKSLLEALAIINRSSRSTPSGCLLKDEIEEEVECILSVSAQMKQIVMDLLPAHEFDEDFSDAYMEELEESDDSGGDDDVYHDENDDDGQYDKDDVVSGGGHQLQPRNIVSSRTYSIDLDNLEESCSEFAPMDSKPSISSPNGSCGSTLCLEKNNVDGMLNEEIGWFEVGNMGKFETSRGNGFPPHIPPIGRLNNVFIKGNKPEQCTTVDSGNSHLPSSNFCSRKVEFTSSGKDSHTNMYLGIQEVCDEMSMVSYNVISCMMEKFAQEEGLYLDWSESLYPRGDYRSQEYQEKQNSPKKNVDGSVIVQVVEELVPSFPKSKMEKLKELIGIL >Manes.01G252400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41044566:41046679:-1 gene:Manes.01G252400.v8.1 transcript:Manes.01G252400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDWDLHAVVRSCTSSSSSIAATTTCPSSFTRADFCFSSSSFASEQAGPLFSAPDPFETRNAIGELHELYKPFFPKSHQAIFSPKPASIFSLADSNKEQTQIKQPKQSHALSVSSTANSHTPRSKRRKNQLKKICQVPAESLSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPGMFIVTYTGEHNHPAPTHRNSLAGSTRQKAPTPQIVTTGDSDKPSSPAQPTCLSPATSMDDELLPQSTNTESREEKDTMEDDEEDEFSGFSDMAVSDDFFAGLEELANPVTGDHFSDHPVNFGLPWVANNAATAAGGI >Manes.13G063275.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7733638:7735069:1 gene:Manes.13G063275.v8.1 transcript:Manes.13G063275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKSKTELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKFLPLDLRPKKTRSIRRKLTKHQQSLKTEREKKREMYFPMRKYAIKV >Manes.08G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38888173:38904050:1 gene:Manes.08G154300.v8.1 transcript:Manes.08G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSMNIDQTSKSPAEPQILQTLNTGPLSASLSSLSGSSRTIPSNKDFHFYYNFDDFKLPVQQIAAKSQSLLESIGSSNRIFKEKLNFPGDVDIDDAYDWLVNVNDEILERFDASIDEFQSIRKKEEETGKVSGMDTDSGFQLVYGKKKKGLVSLRSGSASDSVCDSGVKVADMKAKAVKAKVPFHIPTIKKPQDEYNILVNNSNQPFEHVWLQRREDGLGFVHPLEKLSVLDFVDRIAGNLDPTSPPPLECTPFKLVEEVKDLKELAAKLRGVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIIDTLKLRIHVGPYLREVFKDPTKKKVMHGADRDVVWLQRDFGIYICNLFDTGQASRVLKLDRNSLEYLLHHFCGITANKEYQNADWRLRPLPDEMLRYAREDTHYLFYIYDVMRTMLLSMPNGTENSDSPLVEVYKRSYDVCMQLYEKELLTESSYLHIYGLQNAGFNAQQLAIVAGLFEWRDVVARAEDESTGYILPNKTLLEIAKQMPVTPQKLRRALKTKHPYVERNLGTIVNIIRHAMQNSDEFQAAAQGLKDGLAQTASQENVELNDNGEGFAPDRHANLENAGTETIYDGIRNALQATSPQLRKGHSETKVCRDRQGGSCMHRGENGVESSSVSELHRENFPVMQNRDTVTRATVEVLKKPTGAFGAMLGSAAAKRRFDIDKKDKEEIKLESIRTSVSLPFHSFTGRNDPKPVEEPTPVSEIQRDVESVAVPPASSSLQEIIVLDDDSDLEVLENDESKTKDSHGDDRTDKEHASLSDLSTSFQKCFQSNNQSRAIENVQKSQEPTGLVGLKPFDYAAAIRFGKGPQKESAEVGEKDKSSNDSVGRKRNSVVRGVEKDGTKEFPQGRRRQAFPATGNRSATFR >Manes.17G082200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28760007:28761909:1 gene:Manes.17G082200.v8.1 transcript:Manes.17G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTITVFVSLLIISLISTPSMSSLESFVFGGCSQLKYISDSPYESNVNSLLTSMVNSASFTNYNNFTVQSPSSSQDTLYGLFQCRGDLSNGDCARCVASAVSQLGTLCLDSCGGGLQLDGCFVKFDNISYFGMEDKTVVLKKCGPPIGFESDSFTRRDAVLGYLGGSDGSYKPYRVGGSGDVYGVAQCVQDLSATECRDCVSDAIGRLKTDCGPAAWGDMYLAKCYVRFSERGAHSHGGNDNNNDEEIEKTLAILIGLIAGVTLLFVFLSCLRNACERGKDGK >Manes.13G088405.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:21264797:21265528:-1 gene:Manes.13G088405.v8.1 transcript:Manes.13G088405.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSQVFTSLKTIAILYINLLLLKLVSAIHSLIKRFSGKRVLTTTQFLNYLEEKNPAVRYKKVPMRRRETAPECAVCLSEFSGDESVRDLKCKHVFHKDCLDKWMLQCRSTCPLCRTKVLPDKVVATYRRLKDDEIEYDRNNQEMVFLVYRLDGNGFLSFFW >Manes.11G028500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2743549:2745385:1 gene:Manes.11G028500.v8.1 transcript:Manes.11G028500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPQLHAKLSSAAKHGRAFYKQLLEQNKQYVQDPPTVERCQLLANQLLYTRLASIPNRCEAFWKEVESLKGLMKSKQEFNIENAGLIALFGLECFAWFCGGEIIGRGFKITGYHV >Manes.11G028500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2744565:2745385:1 gene:Manes.11G028500.v8.1 transcript:Manes.11G028500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPQLHAKLSSAAKHGRAFYKQLLEQNKQYVQDPPTVERCQLLANQLLYTRLASIPNRCEAFWKEVESLKGLMKSKQEFNIENAGLIALFGLECFAWFCGGEIIGRGFKITGYHV >Manes.16G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3099502:3103467:1 gene:Manes.16G028000.v8.1 transcript:Manes.16G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMRAVSSFMEMDKYFSFPTLSTSGHISHFADFLFLGKKKRSQKMMRKLRTTTVASLIFYNPVVETVAEKSVKLKILAEMTLRNPEIDYWEKMLRFLDNTDSSLKGLVFQLVSTHIDPRTMKANLSNEATLELPKINKTEGKQVFYKLEFQVDSNFGVPGAITVINKYQKELFLESVTIEGVVQFACDSWVQPDKIHPEKRIFFSNKQVYLPCQTPLGLKDLRDMELEQLRGDGKGVRKLSDRIYDYDVYNDLGNPDKGVEYSRPTLGGENCPYPRRCRTGRRPNNSDDNTESPVNEELPIYVPRDEALDESKTKAVDEGKLKGLVKNVIHKLSNVSTMMKSDSIKDFSEVNSLYKERSLLGVQTPIENWRRLPLPSILSKIPLSITEISKFDPPKGISRAASCCLRDEEFGRLTLRGLNPLSIERLKVFPPVSKLNPSIYGPQESSLREEHIVGYLNGMTVQQALEEKKLFILDYHDIYLPFLNRINALGERKAHATRTIFFLNPLGTLKPIAIELSLPPMDMNSPSKQVVTPPVDDTSYWLWQLAKVHVCSNDAGAHQLIHHWLRVHACMEPFIIAAHRQLSVMHPVYKLLKPHMRDTLAINAQARKVLINAKGIIESYFSPGKYCLEITQSVYRDWWRFDLEGLPADLIRRGMAEPDPTQKHGLRLLIEDYPYANDGLLIWSAVETLVRTYVNYYYPEASLVQYDTELQACYNEFINVGHADVSHADWWPKLSTPEDLASFLTTIIWIVTAEHAALNYGQYHYGGFIPHRPPYMRKLMPSKGNGDFLADPQGHFLSSLPSLSETTYFMSVLDILSTHSMDEEYIGYRKDLSTWGGDPEIIEAFYKFSMEIKKIEKEIERRNADPKLSSRCGPGVAAYELLLPSSGPGVTGRGVTNSISM >Manes.18G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4128713:4131463:1 gene:Manes.18G047500.v8.1 transcript:Manes.18G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSRCSSSGCESGWTLYLDQSSLSKNQYQGFGGFVDGGYARVDDDEEEEDLSMVSDASSRPPHYCEDDEDCFDQLDKKNKSKKKSKEYDRSQQHSYLDDTASSPVLGKKVGKNEASMNHVLEFSQGFSATHFKGKSSLKKHFGFFQSSLPGKSASEEPGGFQGKNWK >Manes.18G047500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4128750:4131395:1 gene:Manes.18G047500.v8.1 transcript:Manes.18G047500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDLGMNMSRCSSSGCESGWTLYLDQSSLSKNQYQGFGGFVDGGYARVDDDEEEEDLSMVSDASSRPPHYCEDDEDCFDQLDKKNKSKKKSKEYDRSQQHSYLDDTASSPVLGKKVGKNEASMNHVLEFSQGFSATHFKGKSSLKKHFGFFQSSLPGKSASEEPGGFQGKNWK >Manes.05G109000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10780949:10786706:1 gene:Manes.05G109000.v8.1 transcript:Manes.05G109000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITPSIHSSIRPSRLPSLYLLPSRISPNHVLLQARSRLATKSTRLAFSPLPEIPNSPVALEFSRKPLRIAGWSPTIRQRGSIELPVVKAAAADSEGHVEPAKNFGERFPALLTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVIHLLVGVAYCLVCWAVGLPKRAPIDRDLLLLLTPVAICHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGHQIPLSLWLSLAPVVIGVSLASLTELSFNWIGFISAMISNVAFTYRSIYSKKAMTGMDSTNVYAYISIIALLFCIPPAVLIEGPQLIQYGFRGAIAKVGLFKFITDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNRISTQTGIGTAIAIAGVAIYSLIKANMEEQKRFIEQ >Manes.05G109000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10780959:10786705:1 gene:Manes.05G109000.v8.1 transcript:Manes.05G109000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITPSIHSSIRPSRLPSLYLLPSRISPNHVLLQARSRLATKSTRLAFSPLPEIPNSPVALEFSRKPLRIAGWSPTIRQRGSIELPVVKAAAADSEGHVEPAKNFGERFPALLTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVIHLLVGVAYCLVCWAVGLPKRAPIDRDLLLLLTPVAICHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGHQIPLSLWLSLAPVVIGVSLASLTELSFNWIGFISAMISNVAFTYRSIYSKKAMTGMDSTNVYAYISIIALLFCIPPAVLIEGPQLIQYGFRGAIAKVGLFKFITDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNRISTQTGIGTAIAIAGVAIYSLIKANMEEQKRVRYFNPSCFLHFFMIFSMDKIF >Manes.05G109000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10780906:10792370:1 gene:Manes.05G109000.v8.1 transcript:Manes.05G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITPSIHSSIRPSRLPSLYLLPSRISPNHVLLQARSRLATKSTRLAFSPLPEIPNSPVALEFSRKPLRIAGWSPTIRQRGSIELPVVKAAAADSEGHVEPAKNFGERFPALLTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVIHLLVGVAYCLVCWAVGLPKRAPIDRDLLLLLTPVAICHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGHQIPLSLWLSLAPVVIGVSLASLTELSFNWIGFISAMISNVAFTYRSIYSKKAMTGMDSTNVYAYISIIALLFCIPPAVLIEGPQLIQYGFRGAIAKVGLFKFITDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNRISTQTGIGTAIAIAGVAIYSLIKANMEEQKRKAALAPAS >Manes.11G056150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6863387:6864887:1 gene:Manes.11G056150.v8.1 transcript:Manes.11G056150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKDMANEQPIENLEKQMESLCLFVQMYINTLASSDLHRDKKCKTRGPITAILRPFLFFCSFTDGYDSKYRPVNSICQGFKMCASFISDRDASLMSSTISEEEPPLLFHLMKYDMEREAD >Manes.01G110300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30913017:30926597:-1 gene:Manes.01G110300.v8.1 transcript:Manes.01G110300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSNRVKATEFYCRYYRANLKMNHFNLHKDAFASHDETRGLVSIYDSKGSVLCPKPRRVGIPANNPIRPMRWHMSHQAEMCDSKARAELLDIILMKDGHGAEQSATHVASSPPFFCGSPPSRAANPVIQDARFGDEKLTPVSPLLIPSPSSLSSPTSRKGECMRMKFGLKPAAVRVEGFDCLNRDRQNSSIPAMA >Manes.18G097200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8995920:8996701:1 gene:Manes.18G097200.v8.1 transcript:Manes.18G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPLLYRNPRRSPRQSSRYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAIAYDLSSISFSGIERARTNFYYPFWCFPPSPPLPAAAVPPPPPSPTPAHQREESGESLSMEIMTTSSQDDESMVIASILQSFCQSTTGSFFP >Manes.06G143400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27086142:27090671:1 gene:Manes.06G143400.v8.1 transcript:Manes.06G143400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGREASTQSLNKNTCLFKIKILIVDDDSTSLAIVSSMLKSCRLSVVSIKDSLDALATLRSANGEFDLLITDLHMPGMNGLELQKHVKQEFNIPVIIMSADDKESAILKSIESGAAFYIVKPVNQDDLRNIWQYAMASKKGKEVVVCENAQEETSSNEKLIVCEEAASSSSANEEGSQKKRRGRKREREEEQQEEDGPAPAKKAKVVWTNTLHNRFLQAVSHIGLEKAVPKKILEFMNVPGLTRENVASHLQKYRMFLKKVAEKGFSTSRNLSEKVLRSSFAYGHATSMLQNSRQEHSSILRQQPFTRSSFQPGYGASVHGIGSSSFGLSRFHNEEASSSNSVTQICYGQSSLFCNQASTRQPMFGSPYPLHQANLSTFNNIGINLSSNTATSYGLTSATNPMQMNPQQNQSNLQNHASPSKFGLFRFGCSSYSSGTCSMGTINGSYPRLNQNSTYAGIRLTSDGELIGNGQKRFNGNELLSGCNNSGNGLVNWTRNNNGNNAPPRNGEFGFSDGQVTCFSSTGFGSESQCSPTLSPANPENTSVVTPLSQQNFITGLGNALGENDINALNNLMNNASNLGSISDNNQHEVGEGGLGELLFGTSCTNPCQEERNAEEFLNVNLLSSQYHAEVCYPVNELLNKEFSSACTIADTTSWTEQQSSPQRMEETVNPNNVGNVNPADVYYPVFEQASLLNNHHLCPSETPQSNNVKAVNNSELNGVHLDEAPISGNEACLYIQLHCFIKGYYKDFLNFLLGNDPYW >Manes.09G018400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3918899:3921222:1 gene:Manes.09G018400.v8.1 transcript:Manes.09G018400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATQILLKGRKDLDLLKVLQSEIKHELSSQPIQDNRRGSLGDFVVDWDSPDTLDVVLRRKCESGEQVAISALFRPQAFWEGEVTKMTVLLKVFVKKPGLDSVLRFDCGVYGTSDRSQLNIHHAYYLRPTTCPGPSAYLGPKFGEPELHDLHEVLKQYLEAKGINESLANFLIQHMSKKEQSQYVNWLQKLESMVAKDD >Manes.09G018400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3918899:3921222:1 gene:Manes.09G018400.v8.1 transcript:Manes.09G018400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATQILLKGRKDLDLLKVLQSEIKHELSSQPIQDNRRGSLGDFVVDWDSPDTLDVVLRRKCESVFVKKPGLDSVLRFDCGVYGTSDRSQLNIHHAYYLRPTTCPGPSAYLGPKFGEPELHDLHEVLKQYLEAKGINESLANFLIQHMSKKEQSQYVNWLQKLESMVAKDD >Manes.06G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15847697:15849121:1 gene:Manes.06G058100.v8.1 transcript:Manes.06G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGGVSAGLWSSGFFVVVILWLFLIVVESGIDKNFDRLLKYLAFRDRTATSSDLFYVDAPVELSVRRTRSISSYFLFSAFFMLVRWWNCRYGGRGRFGVSSVSID >Manes.03G013500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154777:1164643:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWSGRDTIWPHDPRSGWSYCVTIPSWAVLPKSRDSDPVVFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVERGLK >Manes.03G013500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154762:1162858:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVER >Manes.03G013500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154711:1163524:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQCLSMPRFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVER >Manes.03G013500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154777:1164643:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQCLSMPRFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVERGLK >Manes.03G013500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154777:1164643:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWSGRDTIWPHDPRSGWSYCVTIPSWAVLPKSRDSDPVVFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVERGLK >Manes.03G013500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154753:1162858:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVER >Manes.03G013500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154710:1163579:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWSGRDTIWPHDPRSGWSYCVTIPSWAVLPKSRDSDPVVFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVER >Manes.03G013500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154712:1163499:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQCLSMPRFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVER >Manes.03G013500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154710:1163579:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWSGRDTIWPHDPRSGWSYCVTIPSWAVLPKSRDSDPVVFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVER >Manes.03G013500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154762:1162858:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVER >Manes.03G013500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1154777:1164643:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQCLSMPRFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVERGLK >Manes.03G013500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1157312:1163523:1 gene:Manes.03G013500.v8.1 transcript:Manes.03G013500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHVCLRAYASPVLNIFNFNILKGQFYRVQVGVQSPEGITTTRGVLRRFNDFLKLFADLKKSFPKKNLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQDLNQPSSETSPAVDTTPSLQIPPPSSSSVVVGSSSVTSDYGSDTAYETSELGTPRLGRDGHFEIGTEDLILDEDLTNPIEKLVKYGVSNIDEGLFMGQTILEQLEGYPRHKPHDRHVNSIIGKDRYNGNASKASFLAGNGMEIFSEPEPGKGFDHIRRLSSESVGSDGSSLRASEISNSGILNSPGDGSFDLPGGAEVLNVAGILGSAELQLTGDAQIVLPFDQRHKMNRVLTAMQRRLATAKTDMEDLVARLNQEIAVKDYLTTKVKDLEAELETTKQKNKENLQQAILIERERLTQMQWDMEEMKRKSLEMELKLKSKKDENSSAELKVGSPYQEKDAVLQELDATRKELENLSKQYEELEAKSKADIKFLAKEFKCLKRSQAELKEKFGQSLKEKSEVEKLLQVEREMSMHEKNAQNMLLRYCWTLCNKIKECNLYLPSEEDDNFFMESSVADALDLLSTSDDQIGLLLAEAQALFEDGKIAASADDDVGATDPELRKMLANIFTDNANLRKQVNSVIRNVLHTSRNSDEPPTKIDHVER >Manes.14G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6789200:6796158:-1 gene:Manes.14G081500.v8.1 transcript:Manes.14G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEHNMNKESGGGVGNSKSGDGLIDRSKVRILLCDNDAKSCEEVLALLLKCSYQVTSVRSARQVIDALNAEGPDVDIILAEVDLPMNKGMKMLKYITRDKELRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNILNYDFDLVASDPSDANTNSTTLFSDDTDDKSRKCTNPETGVSTHQEDESVAAAAAATEPSPSNAMDYGPDVPGISDRRRGQLSSGPKKSELKIGESSAFFTYVKSSTIKSNFQGFASVEENAAQNLRVEEKVQACEQQVVIDARLHENGEAWESYSQDDFRSSSSIPDSLSLERSCTPPMSREFPQKNFKYENLHQVFMHPRNELQLDASGATQSAYPYYMSGVVNRVIMPSSGQLYQKNTHELQNGAPSTILPQYNHFPQCAPHATGMASFPYYPVNICLQPGQMPNTHSWPSFGSSSSPDVKLNKLDRREAALLKFRQKRKERCFDKKIRYVNRKKLAERRPRMRGQFVRKVNGVNVDLNGQPASADYDEDEEEDDDEQASKDSSPEDDASGS >Manes.03G054600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5304770:5309474:1 gene:Manes.03G054600.v8.1 transcript:Manes.03G054600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKPYCLQDIKTPEKRSGQLKFGNMSLYKCQLEEDVKKLQEQLQEEIELRLALASAVEHSDSSISSSPCQLPDKAQELLDSIAILEITVSKLEQEAIALQYQLSQEKNERHLAEWRLRRLACRTSPPLDSSHTCLTELIRRPSCGDNVEGKVEDKPPWDDANKLNRVHFVEKLWHYPNRLSEEMVWCMRDIFVFLADSSKPSSSECMASPSSPQGHLSYSSLASFSESPTMNSYRKSPSVDMEQGSEVSPRYCKIDPYIIPGKVDWIQGIGAYSTAVEVSWLSVGKKELEYASGALKRFRLLVEQLAEVDPSCLSCTEKLAFWINVYNALIMHAFLAYGVPRSDIKLFSLMQKAAYTIGGHTFSAADIEFVILRMKPPAHRPQIALLLALQKFKVSEDQKFSIDLPEPLLAFALSCGMHSSPAVRIFKPENVTELLKTSLKDYVQASVGISSKGKLLVPKLLYCFAKGIVDDLQLPEWICQFLNPEQASMVKDISSKHKWRLLGARSFSVIPFDSRFRFLFIL >Manes.03G054600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5303238:5309474:1 gene:Manes.03G054600.v8.1 transcript:Manes.03G054600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKPYCLQDIKTPEKRSGQLKFGNMSLYKCQLEEDVKKLQEQLQEEIELRLALASAVEHSDSSISSSPCQLPDKAQELLDSIAILEITVSKLEQEAIALQYQLSQEKNERHLAEWRLRRLACRTSPPLDSSHTCLTELIRRPSCGDNVEGKVEDKPPWDDANKLNRVHFVEKLWHYPNRLSEEMVWCMRDIFVFLADSSKPSSSECMASPSSPQGHLSYSSLASFSESPTMNSYRKSPSVDMEQGSEVSPRYCKIDPYIIPGKVDWIQGIGAYSTAVEVSWLSVGKKELEYASGALKRFRLLVEQLAEVDPSCLSCTEKLAFWINVYNALIMHAFLAYGVPRSDIKLFSLMQKAAYTIGGHTFSAADIEFVILRMKPPAHRPQIALLLALQKFKVSEDQKFSIDLPEPLLAFALSCGMHSSPAVRIFKPENVTELLKTSLKDYVQASVGISSKGKLLVPKLLYCFAKGIVDDLQLPEWICQFLNPEQASMVKDISSKHKWRLLGARSFSVIPFDSRFRFLFIL >Manes.03G054600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5303238:5309474:1 gene:Manes.03G054600.v8.1 transcript:Manes.03G054600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLKAEVLDDHDLNSSSISTNSNNGGGGGFGFILPMNLGSSIHKYLWRNGRFSNRSVSSGDGYPPSISDFEDIKTPEKRSGQLKFGNMSLYKCQLEEDVKKLQEQLQEEIELRLALASAVEHSDSSISSSPCQLPDKAQELLDSIAILEITVSKLEQEAIALQYQLSQEKNERHLAEWRLRRLACRTSPPLDSSHTCLTELIRRPSCGDNVEGKVEDKPPWDDANKLNRVHFVEKLWHYPNRLSEEMVWCMRDIFVFLADSSKPSSSECMASPSSPQGHLSYSSLASFSESPTMNSYRKSPSVDMEQGSEVSPRYCKIDPYIIPGKVDWIQGIGAYSTAVEVSWLSVGKKELEYASGALKRFRLLVEQLAEVDPSCLSCTEKLAFWINVYNALIMHAFLAYGVPRSDIKLFSLMQKAAYTIGGHTFSAADIEFVILRMKPPAHRPQIALLLALQKFKVSEDQKFSIDLPEPLLAFALSCGMHSSPAVRIFKPENVTELLKTSLKDYVQASVGISSKGKLLVPKLLYCFAKGIVDDLQLPEWICQFLNPEQASMVKDISSKHKWRLLGARSFSVIPFDSRFRFLFIL >Manes.03G054600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5303238:5309495:1 gene:Manes.03G054600.v8.1 transcript:Manes.03G054600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGSSIHKYLWRNGRFSNRSVSSGDGYPPSISDFEDIKTPEKRSGQLKFGNMSLYKCQLEEDVKKLQEQLQEEIELRLALASAVEHSDSSISSSPCQLPDKAQELLDSIAILEITVSKLEQEAIALQYQLSQEKNERHLAEWRLRRLACRTSPPLDSSHTCLTELIRRPSCGDNVEGKVEDKPPWDDANKLNRVHFVEKLWHYPNRLSEEMVWCMRDIFVFLADSSKPSSSECMASPSSPQGHLSYSSLASFSESPTMNSYRKSPSVDMEQGSEVSPRYCKIDPYIIPGKVDWIQGIGAYSTAVEVSWLSVGKKELEYASGALKRFRLLVEQLAEVDPSCLSCTEKLAFWINVYNALIMHAFLAYGVPRSDIKLFSLMQKAAYTIGGHTFSAADIEFVILRMKPPAHRPQIALLLALQKFKVSEDQKFSIDLPEPLLAFALSCGMHSSPAVRIFKPENVTELLKTSLKDYVQASVGISSKGKLLVPKLLYCFAKGIVDDLQLPEWICQFLNPEQASMVKDISSKHKWRLLGARSFSVIPFDSRFRFLFIL >Manes.03G054600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5304062:5309474:1 gene:Manes.03G054600.v8.1 transcript:Manes.03G054600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKPYCLQDIKTPEKRSGQLKFGNMSLYKCQLEEDVKKLQEQLQEEIELRLALASAVEHSDSSISSSPCQLPDKAQELLDSIAILEITVSKLEQEAIALQYQLSQEKNERHLAEWRLRRLACRTSPPLDSSHTCLTELIRRPSCGDNVEGKVEDKPPWDDANKLNRVHFVEKLWHYPNRLSEEMVWCMRDIFVFLADSSKPSSSECMASPSSPQGHLSYSSLASFSESPTMNSYRKSPSVDMEQGSEVSPRYCKIDPYIIPGKVDWIQGIGAYSTAVEVSWLSVGKKELEYASGALKRFRLLVEQLAEVDPSCLSCTEKLAFWINVYNALIMHAFLAYGVPRSDIKLFSLMQKAAYTIGGHTFSAADIEFVILRMKPPAHRPQIALLLALQKFKVSEDQKFSIDLPEPLLAFALSCGMHSSPAVRIFKPENVTELLKTSLKDYVQASVGISSKGKLLVPKLLYCFAKGIVDDLQLPEWICQFLNPEQASMVKDISSKHKWRLLGARSFSVIPFDSRFRFLFIL >Manes.03G054600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5304090:5309474:1 gene:Manes.03G054600.v8.1 transcript:Manes.03G054600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYKCQLEEDVKKLQEQLQEEIELRLALASAVEHSDSSISSSPCQLPDKAQELLDSIAILEITVSKLEQEAIALQYQLSQEKNERHLAEWRLRRLACRTSPPLDSSHTCLTELIRRPSCGDNVEGKVEDKPPWDDANKLNRVHFVEKLWHYPNRLSEEMVWCMRDIFVFLADSSKPSSSECMASPSSPQGHLSYSSLASFSESPTMNSYRKSPSVDMEQGSEVSPRYCKIDPYIIPGKVDWIQGIGAYSTAVEVSWLSVGKKELEYASGALKRFRLLVEQLAEVDPSCLSCTEKLAFWINVYNALIMHAFLAYGVPRSDIKLFSLMQKAAYTIGGHTFSAADIEFVILRMKPPAHRPQIALLLALQKFKVSEDQKFSIDLPEPLLAFALSCGMHSSPAVRIFKPENVTELLKTSLKDYVQASVGISSKGKLLVPKLLYCFAKGIVDDLQLPEWICQFLNPEQASMVKDISSKHKWRLLGARSFSVIPFDSRFRFLFIL >Manes.03G054600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5303238:5309474:1 gene:Manes.03G054600.v8.1 transcript:Manes.03G054600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGSSIHKYLWRNGRFSNRSVSSGDGYPPSISDFEDIKTPEKRSGQLKFGNMSLYKCQLEEDVKKLQEQLQEEIELRLALASAVEHSDSSISSSPCQLPDKAQELLDSIAILEITVSKLEQEAIALQYQLSQEKNERHLAEWRLRRLACRTSPPLDSSHTCLTELIRRPSCGDNVEGKVEDKPPWDDANKLNRVHFVEKLWHYPNRLSEEMVWCMRDIFVFLADSSKPSSSECMASPSSPQGHLSYSSLASFSESPTMNSYRKSPSVDMEQGSEVSPRYCKIDPYIIPGKVDWIQGIGAYSTAVEVSWLSVGKKELEYASGALKRFRLLVEQLAEVDPSCLSCTEKLAFWINVYNALIMHAFLAYGVPRSDIKLFSLMQKAAYTIGGHTFSAADIEFVILRMKPPAHRPQIALLLALQKFKVSEDQKFSIDLPEPLLAFALSCGMHSSPAVRIFKPENVTELLKTSLKDYVQASVGISSKGKLLVPKLLYCFAKGIVDDLQLPEWICQFLNPEQASMVKDISSKHKWRLLGARSFSVIPFDSRFRFLFIL >Manes.01G060300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25496418:25500766:-1 gene:Manes.01G060300.v8.1 transcript:Manes.01G060300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETELYSSRMLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDEDNDFDTSSGSDIGEKDHDFSSGSEFHKINKPRVRSTRPCIPLTKSTSRSSYRDVQSIIHNPQQKVQLAKLGTESLRRYCKRFSLAGIHSDSSREQMLSAVQQHFASQQPLDEVRVIAEFTHSAKRLKLAERSTE >Manes.01G060300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25496029:25500770:-1 gene:Manes.01G060300.v8.1 transcript:Manes.01G060300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETELYSSRMLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDEDNDFDTSSGSDIGEKDHDFSSGSEFHKINKPRVRSTRPCIPLTKSTSRSSYRDVQSIIHNPQQKVQLAKLGTESLRRYCKRFSLAGIHSDSSREQMLSAVQQHFASQQPLDEVRVIAEFTHSAKRLKLAERSTE >Manes.10G081475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18886878:18900755:-1 gene:Manes.10G081475.v8.1 transcript:Manes.10G081475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLWMQIIMHMGLMVQVNLQWKQPLPVIMDIKEIFNGNTQIHIHISLLHRILIYLCQSNHPPTPKPSPILVINLVWVHSSALIHHNTINIIKIKALNHKMKVVDLLKNLLDAHFGGN >Manes.06G153950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28108525:28109891:-1 gene:Manes.06G153950.v8.1 transcript:Manes.06G153950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLGRQKIAIEKISNKTHLQFCTLYGVEIAVIVFSPTNKIFSFGHPKVESIMERFLAGNYPPISGAHKLIEAHRNAKVSEKKQGEEFSQMTKSSQSQCWWEAPIHEFELHELLTLRDAMEELKKNVTRQANKILIEHKNSSPFLTGNCIGHVLDYESKTVPSTIPYVNKFGY >Manes.06G026760.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5919070:5921094:1 gene:Manes.06G026760.v8.1 transcript:Manes.06G026760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNDQMLQSTSDSNQTNTDASTETTTSSKAKRKPIKPRSAVWDHFTKFVSDEGELKGKCNYCKKEFCCDPKRNGTTALRNHLNSCKKHPHSIETRQAQLSLQKNASDNDVNDLGTLTTWKYDDNAIRKALVHMIIIDELPYRFVEGEGFRSFMRAICPRFRIPSRWTISRDCYDLLIEERSKLKSFSKKNCQRVSLTTDTWTSLQRINYMCITAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTVTVDNASSNDVAICYLKKKLANWGVSVANSTYLHMRCMAHIINLIVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKEYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEISDLAFILNQWINSNDFDMKSMGERMKVKFDKYWGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGKEKGVELFNKVKSCLFDLFNEYKKMYQPDVEQFNDNSSQQLSGSCSTTGSINPKPKFFLKHHYKKQKLEESGGFDSKIELEVYLSEAIQEEKEDFDVMKWWKINSKRFPILGKMARNILAIPVSTVASESAFSTGGRVLDSFRSSLTPKIVEGLICVQDWIRPLNIQVNVEEDLEELEKLEEGMLYTLSYLC >Manes.03G163000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28942964:28950053:-1 gene:Manes.03G163000.v8.1 transcript:Manes.03G163000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLETRKTVLQKEQGMAFARAVAAGFDIDHMTPLMSFAETFGASRLMDACVRFLDLWKRKHETGQWVEIEAAEATSSRSDFSAMTASGIILSSAINKQWPEATDSNGKADEKPQMDQQSSPSQQEYFQGQFPNPMFPHWPIHSPPGALPVFQGYPMQGIPYYQNYPGNSPFFQTPIPSGEDTRLSAGQRKGQRRHSMDSGNGNSDTETEGLETESGRKSSRSSRKQSGKVVIRNINFITSKRQESSGSESQAASGSETDEEDGDCSLTTSSSKHKDSLRSSKRKGSHTKSVDKLDSSYMEGTTHGNETDGGHWQAFQSYLLKGADEAEHAVDKGMFAMEKEVQVRRRQNNAGHDPLVYDGRDLEDDQEGNMTDMQMISGNLARNTKASNDESLMSRRIGQSSGSGTFMDGTIDIQPAEVDARKGRYRRSTNDDFMINRQQNQSGYMSSTDPLAVNGFVHPDKGLDHSSRNMDDDSYVVSLRSVSVDQVGADGRHAIDIDSEFPSSKVENLSNRVGNQVKYEPDDLSLLPERGTEKGTVGYDPALDYDTQVRTENNSSLDKKNKDVVAGIKKGTKKVDKDQKSRLIPDTSDKKKTVGPIRKAKPSKLSPLDDAKARAERLRSFKADLQKMKKEKEDEEKKRLEALKLERQKRIAARGSSIPAQLSSHQTRKHLPTKLSPSSYKGSKFSDSEPGSVSPLQRFPIKTVSAGSSDSLKGSKTNKLSTSSNSAGNRLSRSVSSLPEPKKENGSITPDAKASMARVRRLSEPKIINSNHVSSVKPRNTNPVTKPKVSNEPESKKISAIMNHDKNMTASLPELKIRTTKQPEVPQTKSSVKERPQKMNGSKSSTTGGAELTRSGHTRSNHSDGDDNPIVEKTVVMLECEKPSVPAAHTSEEKMEPEKGNSSNYIIGEKAETLPNYAAIRAPVSPLTVDGVDIEPSEPHLEVLPNTIKATTENAGNVEKELAKHSSIAFGEKPYQAPFARVSSLEDPCTGNSEYGKAPPTSLQSTTHVESLKVHISDPKGLKLEKIPEALDKPQLKESSKGFRRLLKFGKKSHTTSERNVEFDNGSLNGSETDDGFSNIASSSEVHTLKNLISQDETPTASTTPQKTSRHFSLLSPFRSKTNEKKPST >Manes.03G163000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28942964:28958898:-1 gene:Manes.03G163000.v8.1 transcript:Manes.03G163000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSRLDSAVFQLTPTRTRCDLVLSANGKTEKIASGLINPFLAHLKTARDQMAKGGYSIILEPEPGNDATWFTRGTVERFVRFVSTPEILERVYALESEILQIEEAIAIQSNNEIGLNMVEDHLAKPVEHIEGSRALLDSNEEKAIVLYKPGAHPPEANGSTAQEGNSKVQLMKVLETRKTVLQKEQGMAFARAVAAGFDIDHMTPLMSFAETFGASRLMDACVRFLDLWKRKHETGQWVEIEAAEATSSRSDFSAMTASGIILSSAINKQWPEATDSNGKADEKPQMDQQSSPSQQEYFQGQFPNPMFPHWPIHSPPGALPVFQGYPMQGIPYYQNYPGNSPFFQTPIPSGEDTRLSAGQRKGQRRHSMDSGNGNSDTETEGLETESGRKSSRSSRKQSGKVVIRNINFITSKRQESSGSESQAASGSETDEEDGDCSLTTSSSKHKDSLRSSKRKGSHTKSVDKLDSSYMEGTTHGNETDGGHWQAFQSYLLKGADEAEHAVDKGMFAMEKEVQVRRRQNNAGHDPLVYDGRDLEDDQEGNMTDMQMISGNLARNTKASNDESLMSRRIGQSSGSGTFMDGTIDIQPAEVDARKGRYRRSTNDDFMINRQQNQSGYMSSTDPLAVNGFVHPDKGLDHSSRNMDDDSYVVSLRSVSVDQVGADGRHAIDIDSEFPSSKVENLSNRVGNQVKYEPDDLSLLPERGTEKGTVGYDPALDYDTQVRTENNSSLDKKNKDVVAGIKKGTKKVDKDQKSRLIPDTSDKKKTVGPIRKAKPSKLSPLDDAKARAERLRSFKADLQKMKKEKEDEEKKRLEALKLERQKRIAARGSSIPAQLSSHQTRKHLPTKLSPSSYKGSKFSDSEPGSVSPLQRFPIKTVSAGSSDSLKGSKTNKLSTSSNSAGNRLSRSVSSLPEPKKENGSITPDAKASMARVRRLSEPKIINSNHVSSVKPRNTNPVTKPKVSNEPESKKISAIMNHDKNMTASLPELKIRTTKQPEVPQTKSSVKERPQKMNGSKSSTTGGAELTRSGHTRSNHSDGDDNPIVEKTVVMLECEKPSVPAAHTSEEKMEPEKGNSSNYIIGEKAETLPNYAAIRAPVSPLTVDGVDIEPSEPHLEVLPNTIKATTENAGNVEKELAKHSSIAFGEKPYQAPFARVSSLEDPCTGNSEYGKAPPTSLQSTTHVESLKVHISDPKGLKLEKIPEALDKPQLKESSKGFRRLLKFGKKSHTTSERNVEFDNGSLNGSETDDGFSNIASSSEVHTLKNLISQDETPTASTTPQKTSRHFSLLSPFRSKTNEKKPST >Manes.03G163000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28942964:28958898:-1 gene:Manes.03G163000.v8.1 transcript:Manes.03G163000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSRLDSAVFQLTPTRTRCDLVLSANGKTEKIASGLINPFLAHLKTARDQMAKGGYSIILEPEPGNDATWFTRGTVERFVRFVSTPEILERVYALESEILQIEEAIAIQSNNEIGLNMQVEDHLAKPVEHIEGSRALLDSNEEKAIVLYKPGAHPPEANGSTAQEGNSKVQLMKVLETRKTVLQKEQGMAFARAVAAGFDIDHMTPLMSFAETFGASRLMDACVRFLDLWKRKHETGQWVEIEAAEATSSRSDFSAMTASGIILSSAINKQWPEATDSNGKADEKPQMDQQSSPSQQEYFQGQFPNPMFPHWPIHSPPGALPVFQGYPMQGIPYYQNYPGNSPFFQTPIPSGEDTRLSAGQRKGQRRHSMDSGNGNSDTETEGLETESGRKSSRSSRKQSGKVVIRNINFITSKRQESSGSESQAASGSETDEEDGDCSLTTSSSKHKDSLRSSKRKGSHTKSVDKLDSSYMEGTTHGNETDGGHWQAFQSYLLKGADEAEHAVDKGMFAMEKEVQVRRRQNNAGHDPLVYDGRDLEDDQEGNMTDMQMISGNLARNTKASNDESLMSRRIGQSSGSGTFMDGTIDIQPAEVDARKGRYRRSTNDDFMINRQQNQSGYMSSTDPLAVNGFVHPDKGLDHSSRNMDDDSYVVSLRSVSVDQVGADGRHAIDIDSEFPSSKVENLSNRVGNQVKYEPDDLSLLPERGTEKGTVGYDPALDYDTQVRTENNSSLDKKNKDVVAGIKKGTKKVDKDQKSRLIPDTSDKKKTVGPIRKAKPSKLSPLDDAKARAERLRSFKADLQKMKKEKEDEEKKRLEALKLERQKRIAARGSSIPAQLSSHQTRKHLPTKLSPSSYKGSKFSDSEPGSVSPLQRFPIKTVSAGSSDSLKGSKTNKLSTSSNSAGNRLSRSVSSLPEPKKENGSITPDAKASMARVRRLSEPKIINSNHVSSVKPRNTNPVTKPKVSNEPESKKISAIMNHDKNMTASLPELKIRTTKQPEVPQTKSSVKERPQKMNGSKSSTTGGAELTRSGHTRSNHSDGDDNPIVEKTVVMLECEKPSVPAAHTSEEKMEPEKGNSSNYIIGEKAETLPNYAAIRAPVSPLTVDGVDIEPSEPHLEVLPNTIKATTENAGNVEKELAKHSSIAFGEKPYQAPFARVSSLEDPCTGNSEYGKAPPTSLQSTTHVESLKVHISDPKGLKLEKIPEALDKPQLKESSKGFRRLLKFGKKSHTTSERNVEFDNGSLNGSETDDGFSNIASSSEVHTLKNLISQDETPTASTTPQKTSRHFSLLSPFRSKTNEKKPST >Manes.02G174800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13808520:13812695:1 gene:Manes.02G174800.v8.1 transcript:Manes.02G174800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQANEVRLKILFTSLCHTDVYFWEAKGQAPLFPRIFGHEASGIVESVGPGVTDLQPGDRVLPVFTGECKECRHCKSEESNMCDLLRINTDRGHMLADGKTRFSINGKPIHHFLGTSTFSEYTVVHVGCVAKINPAAPLDKVCVLSCGISTGLGATLNVAKPKKGQSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNTSRFEEAKKFGVTEFVNPKDHDKPVQQVIAEMTDGGVDRSVECTGSIQAMVSAFECVHDGWGVAVLVGVPSKDDAFKTHPVNLLNERTIKGTFFGNYKPRSDLPSVVEMYMKKELEVEKFITHHVSFSEINKAFELMLKGESLRCLINMES >Manes.02G040600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327086:3339973:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSASDFAFEKGTNMSCDTVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKGNNHG >Manes.02G040600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327119:3339973:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSVEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKGNNHG >Manes.02G040600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327408:3339953:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSASDFAFEKGTNMSCDTVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSVEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKAGNNHG >Manes.02G040600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327408:3339953:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSASDFAFEKGTNMSCDTVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKAGNNHG >Manes.02G040600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327408:3339953:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSASDFAFEKGTNMSCDTVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSVEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKAGNNHG >Manes.02G040600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327119:3339973:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSVEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKGNNHG >Manes.02G040600.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327119:3339973:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKGNNHG >Manes.02G040600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327086:3339973:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSASDFAFEKGTNMSCDTVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSVEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKGNNHG >Manes.02G040600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327086:3339973:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSASDFAFEKGTNMSCDTVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSVEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKGNNHG >Manes.02G040600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327408:3339953:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSASDFAFEKGTNMSCDTVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKAGNNHG >Manes.02G040600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327086:3339973:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSASDFAFEKGTNMSCDTVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKGNNHG >Manes.02G040600.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3327119:3339973:-1 gene:Manes.02G040600.v8.1 transcript:Manes.02G040600.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDFQSQKLHLAGEGRDKFPPVLRPYALPKFDFDDTLHGTLRFDSLVETEVFLGIESNEDSQWIEDFSRGSSGIQFSSGATESCSISRCNNVWSEATSSESVEMLLKSVGQEEHIPAQVNMKESDSCDELGCMVKQMEPSSKQESSIPARMVDVTSIQLGELPENLSMLNDDGGEQQPQVGGSSQTPQGNPSVDQGLGDLTAISVEVRLPIAKGSQLIDDKCNGVSQREVDAVINESLDNRKEEGPDSGTQITNAFANAKNNVTGNDELVNEGSSNHVNETAEENLDVSGRDKDEPQEKGGVLLSQGAQIYAQVLNSQMAERDSPPCMASVESMEESSATKTSMGSVQDPNVIPKGDSGLEMQYVHSGVAAPEVPPVVVEGNATVGRHEVEKSNGSHLDTKNLSCKSEAYLLPIEGNGCSQNKVDRSSSYRAVNSSLPEVSSSVEFISETHAEGHVSPSTTVESMQMGEGNEVSRQCDDDKCDKDVPVIEQKGSEELPSDDNDRNTIINKGNGASSGEGSLGYELIVSKPHSDTAGNKSVDDVPVSSENCITTDGVIDQKDVEASGLPAVFTYSDKDVGKISKEASFSDHKASSQVTTGVDPVSESERGSSAAADQMLCESVAHSLSKVGTCNTESQTERQAVATKKVGEECTMDKEVCPAPCDSTTNKGYSVEALVQEKDDKKAKNVSEATVNNEMLGPAPSAIKEPCQDGSQKDQEENTVTGSGDLSFEQTAVPSSSEHESTADLDKAAGGSPIVISASEFSHDKNHEELKRLSDQSVSVSEVTDGDAIKMLSASKDPNQNDASKDDSSFTFEVTPLVDLPQKDAKKWQPFIEAGKVSPIVDVWTSSSGLGQLDPKIAHDLSHGSSKVSDVPVAHGASKSNSKCKTRRASGKAAAKGTAKKGRPVKVTSSVISEREVKTSCISLSPSGSSQLVPGHVDSSNLRPFVLATSTSLPDLNSSVCTASMFQQPFTDLQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGGRGLWENAWQSCLERLHGQKSHFITPETPLQSRSGARAPEQSIKQGGPQSKAVPSPVVRGSSKSTPTIVNPIVPPSSPLWGMPAPGDPLQTSGMARGPVIDYQLALSPLHPHRAPAIRNFVGHSPSWLSQSPFGGPWVASPHTSTFDTSGRFSVKAPAAETVQLTSGKESSVPHTAGAKPIGPMLQSGAAGAPASVFAGTSSVLDAKVATSASQTYSDLKPRKRKKASVSENPMQKLLPSQPHLEPISASVVSNPVSTSTAITNPIGLISRDPPGKFFTSLTPASSSDLRKCGQNAEPRTIFSDDTLGQIKKARLQAEDAAALATSAVSHSQETWEQLDKHRYSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKLASNAALQAKLMAEEAVISGGHHKPSQINVNSLSDSMESLGKATPASILKGDDGTNSSSSILVAAREAARKKVETAMAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPFSELVTAGPVGYWKVAQGALELVSKSNDIGREILDVDNVGGPDTSVRQLTEVPSDKKGNQITKHGKSHNFGNVSGEDHERLLDITGSGAIIAKDAKGQGCKPSDLAKTIGVVPESENGSRSSIVQNEYGKAETLKENSIKESSNVEVFKDGDGYRAAWFPAKILSLEDGKVYVSYTELTSGEGSENLREWVPLEGEGDEAPKIRVARPNTAMPFEGTRKRRRAAMGDYNWSVGDRVDAWVQDSWWEGEITEKSKKDEPMVTVNFPAQGETRAFKAWELRPSLVWKDGEWIERSNSGESNRSSHGGDTPQEKRPRLHGPVMEAKGKDKTSKSTDAMEFDESDDPTLIDLSADEKLFNIGKSSRDGNRRDALKMTRTGLQKDRSGVIFGVPKPGKKRKFMEVSKHYVADQSSRMNEANDSVKFVKPLVPQGAGFRGLKTTKTESNERRVADSKPKVVKSGKPHTVPVRTVPQKDSLSSIAISAPDDSAVADNTTKTKDSVNHGESTLEKQNVMAFQSFSSTDGAMEGPILFSALAHPSDNISSKIMSSTDAISERMSKGKLAPAGGKSSKIEDTDMHGYSTKSTSDSVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSLSHDKSHKSRNASKGNNHG >Manes.15G131100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10465184:10466525:-1 gene:Manes.15G131100.v8.1 transcript:Manes.15G131100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFSFQITKALPCLPQPQCRKSPLCIQSKYKPIQSAKLDKQKVACISGKPEDYNDEKKFSLAVQLGALFAAVEQPALAVTGVNNEEDLTWVLIQWAIVAFFYFLVMPPIIMNWLRVRWYRRKLFEMYLQFMFIFIFFPGILLWAPFLNFRKFPRDPSLKYPWSTPENPSQIKNAYSKYPYATPEDYD >Manes.15G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10464651:10466712:-1 gene:Manes.15G131100.v8.1 transcript:Manes.15G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFSFQITKALPCLPQPQCRKSPLCIQSKYKPIQSAKLDKKVACISGKPEDYNDEKKFSLAVQLGALFAAVEQPALAVTGVNNEEDLTWVLIQWAIVAFFYFLVMPPIIMNWLRVRWYRRKLFEMYLQFMFIFIFFPGILLWAPFLNFRKFPRDPSLKYPWSTPENPSQIKNAYSKYPYATPEDYD >Manes.15G175584.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19958206:19959486:-1 gene:Manes.15G175584.v8.1 transcript:Manes.15G175584.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHETLQLLSFACVSYVNAQCSKGESSLFFRSAGFSLSFGNGFRSELPSSLVILYIYIKFWLTILNFFCIILHVGYLYISNIHIQLP >Manes.17G012100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4903538:4908114:-1 gene:Manes.17G012100.v8.1 transcript:Manes.17G012100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDTASLAFLGTATSLVIFLFKFSTALDTITPSQSLSDGETLVSREGTFELGFFSLDDSEKRYLGIWYGNIPSKTVVWVANRNNPINGSSGLLTINSTGNPVLLSDNRTVVWSVSNLTKEAQEPILQLLDSGNFVLRNKNGGDLGIYLWQSFDYPCDTLLPGMKLGWDSKSGLNRHLSSWRSPTDPSPGDFMWEVQLHSNPEAVMWKGTEKYYRSGPWNGIAFSGGIALRPNPVFGFNFVSTEEEIYYKFNLNNESLLTRIVMNQTTYNRERYTWNDVNRSWSLYDIVPRDRCDSYGLCGAYGNCIVSELPVCQCLKGFKPRSLETWNLKDWSQGCMRNKPLNCETGDGFLKFSGLKLPDTTHSWVNKTMNLRECRATCLKNCSCMAYSSSDIRGGGSGCAIWFGDLMDMRQLPADGGQELYIRMNASETEQTSNNTQNNQDNEDIELPLFGLPIIVNSTNNFSINKKIGEGGFGPVYRGKLIDGQEIAVKRLSRTSGQGLNEFKNEVIFIAKLQHRNLVKLLGCCIQGEERMLVYEYMPNKSLDSFIFDKTRSRLLDWAKRFNIICGIARGLLYLHQDSRLRIIHRDLKASNILLDNEMNPKISDFGMAKTVGGEQTEGNTNRVVGTYGYMAPEYATDGIFSDKSDVFSFGILILEIISGKKSRGYHHPDHSHNLIGHAWRLWNEGKCLELIDSVSGDSLYISEVMRCYHISLLCAQHHAEDRPSMAVVVLMLGSKNLLPEPKEPGFFKYKGRHREESSSSKIESSSTNEMTISLLEAR >Manes.17G012100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4903538:4908114:-1 gene:Manes.17G012100.v8.1 transcript:Manes.17G012100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDTASLAFLGTATSLVIFLFKFSTALDTITPSQSLSDGETLVSREGTFELGFFSLDDSEKRYLGIWYGNIPSKTVVWVANRNNPINGSSGLLTINSTGNPVLLSDNRTVVWSVSNLTKEAQEPILQLLDSGNFVLRNKNGGDLGIYLWQSFDYPCDTLLPGMKLGWDSKSGLNRHLSSWRSPTDPSPGDFMWEVQLHSNPEAVMWKGTEKYYRSGPWNGIAFSGGIALRPNPVFGFNFVSTEEEIYYKFNLNNESLLTRIVMNQTTYNRERYTWNDVNRSWSLYDIVPRDRCDSYGLCGAYGNCIVSELPVCQCLKGFKPRSLETWNLKDWSQGCMRNKPLNCETGDGFLKFSGLKLPDTTHSWVNKTMNLRECRATCLKNCSCMAYSSSDIRGGGSGCAIWFGDLMDMRQLPADGGQELYIRMNASETEGKAKPKMKIAIITVAAIAMVSGMLIVSFAIYKRKTKSTEQTSNNTQNNQDNEDIELPLFGLPIIVNSTNNFSINKKIGEGGFGPVYRGKLIDGQEIAVKRLSRTSGQGLNEFKNEVIFIAKLQHRNLVKLLGCCIQGEERMLVYEYMPNKSLDSFIFDKTRSRLLDWAKRFNIICGIARGLLYLHQDSRLRIIHRDLKASNILLDNEMNPKISDFGMAKTVGGEQTEGNTNRVVGTYGYMAPEYATDGIFSDKSDVFSFGILILEIISGKKSRGYHHPDHSHNLIGHAWRLWNEGKCLELIDSVSGDSLYISEVMRCYHISLLCAQHHAEDRPSMAVVVLMLGSKNLLPEPKEPGFFKYKGRHREESSSSKIESSSTNEMTISLLEAR >Manes.17G012100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4903538:4908114:-1 gene:Manes.17G012100.v8.1 transcript:Manes.17G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDTASLAFLGTATSLVIFLFKFSTALDTITPSQSLSDGETLVSREGTFELGFFSLDDSEKRYLGIWYGNIPSKTVVWVANRNNPINGSSGLLTINSTGNPVLLSDNRTVVWSVSNLTKEAQEPILQLLDSGNFVLRNKNGGDLGIYLWQSFDYPCDTLLPGMKLGWDSKSGLNRHLSSWRSPTDPSPGDFMWEVQLHSNPEAVMWKGTEKYYRSGPWNGIAFSGGIALRPNPVFGFNFVSTEEEIYYKFNLNNESLLTRIVMNQTTYNRERYTWNDVNRSWSLYDIVPRDRCDSYGLCGAYGNCIVSELPVCQCLKGFKPRSLETWNLKDWSQGCMRNKPLNCETGDGFLKFSGLKLPDTTHSWVNKTMNLRECRATCLKNCSCMAYSSSDIRGGGSGCAIWFGDLMDMRQLPADGGQELYIRMNASETEGKAKPKMKIAIITVAAIAMVSGMLIVSFAIYKRKTKSTEQTSNNTQNNQDNEDIELPLFGLPIIVNSTNNFSINKKIGEGGFGPVYRGKLIDGQEIAVKRLSRTSGQGLNEFKNEVIFIAKLQHRNLVKLLGCCIQGEERMLVYEYMPNKSLDSFIFDKTRSRLLDWAKRFNIICGIARGLLYLHQDSRLRIIHRDLKASNILLDNEMNPKISDFGMAKTVGGEQTEGNTNRVVGTYGYMAPEYATDGIFSDKSDVFSFGILILEIISGKKSRGYHHPDHSHNLIGHAWRLWNEGKCLELIDSVSGDSLYISEVMRCYHISLLCAQHHAEDRPSMAVVVLMLGSKNLLPEPKEPGFFKYKGRHREESSSSKIESSSTNEMTISLLEAR >Manes.08G091300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30810368:30814527:-1 gene:Manes.08G091300.v8.1 transcript:Manes.08G091300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEINMISNIRHPNLVQLIGCCIEGNNRVLVYEYMENNSLATSLLGSKGKHIAMDWSTRAAICLGTATGIAFLHEEVEPPIVHRDIKASNILLDGNLQPKIGDFGLAKLFPDNVTHVTTQVAGTVGYLAPEYALLGQLTKKADVYSFGVLILEIISARSSSKAAFGEDLLVLVEWVWKLRKEERLMDLVDAELFEYPEDEVMRFIKVALFCTQAAAQQRPTMKQVLEMLSKNVNLNEKALTEPTVYRSWTSQWLASSTNETSSSQKNKGKKLVYPPVSFSQLDRDQEVTEMLPR >Manes.08G091300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30809711:30814527:-1 gene:Manes.08G091300.v8.1 transcript:Manes.08G091300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTCFDVLNCFKSNDTQSQQQPEDITTNNVRVFSYNSLRSATGNFHPSSRIGRGGFGVVHRGVLRDGTQVAIKCLSAESKQGKREFMTEINMISNIRHPNLVQLIGCCIEGNNRVLVYEYMENNSLATSLLGSKGKHIAMDWSTRAAICLGTATGIAFLHEEVEPPIVHRDIKASNILLDGNLQPKIGDFGLAKLFPDNVTHVTTQVAGTVGYLAPEYALLGQLTKKADVYSFGVLILEIISARSSSKAAFGEDLLVLVEWVWKLRKEERLMDLVDAELFEYPEDEVMRFIKVALFCTQAAAQQRPTMKQVLEMLSKNVNLNEKALTEPTVYRSWTSQWLASSTNETSSSQKNKGKKLVYPPVSFSQLDRDQEVTEMLPR >Manes.14G059300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4944965:4947130:-1 gene:Manes.14G059300.v8.1 transcript:Manes.14G059300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYVPFGTSSILLPLSFTILALCFIIFLLNRSPLRSKKTVPPSPPRLPIIGNLHQIGSHPHRSLRSLAQIHGDVMLLHFGRIPVLVISSAEMACEIMKTQDLVFANRRKTRMKEKLLYDHKDVAAAPYGEYWRQIKSLCVVHLLSTKRVQSFRAVREEETAYMIERIKKTCSSSPINLSEVFARLANDVVCRSALGRKYNASEGGTKFKDLLGEFGELLGSFDVGDYISWLGWINHVSGLYARADRVAKELDNFLDEVVEQHRGGSGDGRDENTSKDFVDFLLWIQKENIAGFQIDATSIKALILDVFAAGSDTTYTVLEWAMTELLRHPEVMKKLQNEIREISSNESSAITEDHLNELPYLKAVIKETLRLHPPIPLLVPRLSTQDVKLKGFDIAAGTEVIINAWGIGRDPALWDRSEEFWPERFLNTNIDYKGHHFELIPFGAGRRICPGIAFAMSADELALANVLHKFDWGLTGEELDITESTGLTIHRKFPLLAVATPYSQ >Manes.S022853.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251224.1:582856:607712:-1 gene:Manes.S022853.v8.1 transcript:Manes.S022853.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRTKSGTGCNMGDRLASVLGWKLLGRNRAQDVTWVIDLAVFGMEAIRPKSGTGCNMGDRLGSFWDGSY >Manes.03G029850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2399489:2403302:-1 gene:Manes.03G029850.v8.1 transcript:Manes.03G029850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLVKKAAAEVEKAREFTRARNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQRRTAEEDELAALQAEMAL >Manes.03G029850.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2399489:2403302:-1 gene:Manes.03G029850.v8.1 transcript:Manes.03G029850.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLVKKAAAEVEKAREFTRARNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQRRTAEEDELAALQAEMAL >Manes.03G029850.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2399489:2403302:-1 gene:Manes.03G029850.v8.1 transcript:Manes.03G029850.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLVKKAAAEVEKAREFTRARNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQRRTAEEDELAALQAEMAL >Manes.03G029850.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2399489:2403302:-1 gene:Manes.03G029850.v8.1 transcript:Manes.03G029850.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLVKKAAAEVEKAREFTRARNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVPQRRTAEEDELAALQAEMAL >Manes.03G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2657017:2658897:-1 gene:Manes.03G031800.v8.1 transcript:Manes.03G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGEIRCPSPARTPANNSYTADEDEAWVWSQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLRAAAVADLRAFRVRDPACRSFSHCLLNYKGFLACQAHRVAHKLWSQSRRPLALALHSRIADVFAIDIHPAARIGNGIMFDHATGVVIGETAVIGNNVSILHHVTLGGTGKASGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPAKNEECPGESMDHTSFISEWSDYII >Manes.09G011604.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2248810:2252032:1 gene:Manes.09G011604.v8.1 transcript:Manes.09G011604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYCLGNQIDKARKVFDLMVTNEIVDILGYSILINGYCKCKMIDDAEELFDEMSHKGLVPNVATYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSTMIDGLCRQGNLDEALTLLKAMEKSQLKPNFMIYSSLINGMCKVGKINDAKELFCSLFEIGLQPDVCVYNAIMKGLCQQGLMDEAYKLFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFFADDATTELVVHLSQNNDLILSKLRNRSEASKAVQ >Manes.07G042632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4897345:4897740:1 gene:Manes.07G042632.v8.1 transcript:Manes.07G042632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRITLPQTVGNTDTRNSCCTSAAKAEKEEFGSQSTGPLTLPCEAELIEYAITLIKQQVTRDIEKALLTSIASSFCSLSSNLQHQRTNHQIPICSF >Manes.13G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15228104:15236584:1 gene:Manes.13G087000.v8.1 transcript:Manes.13G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWWEWGKKSSKNKEEKQQQQDNPYGKNDVSTKKTTKKKPKSFDEVVLTRNSPRGSKDLAGGSSGFSGFDSDSVEKRGLPLPTPSGSGSDHGISGIGLGSGSASGSMSSVSSSGSSGEDHPISNEHNSSLFGVYRGQGDIRFNAGSRSPGPGSRSPGPGSRSPGPGSRSPGPASRGPSRSASPLHPLLGGVNVDSPTGRLEDGKSQCHPLPLPPGSPSSTSSFLPSTRTPVATESTTVLVSKWKKGKLLGRGTFGHVYLGFNSESGQMCAIKEVRVVSDDQTSKECLKQLNQEINLLSQLSHPNIVRYYGSELSEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILCGLSYLHGRNTVHRDIKGANILVDPNGEIKLVDFGMAKHITACSSMLSFKGSPYWMAPEVVMNTNGYSLAVDIWSLGCTILEMATSRPPWNQYEGVAAIFKIGNSKDMPDIPDHLSNDAKSFIKLCLQRDPSARPTASQLLDHPFIRDQSTARVANINIIREAFPYTSDGSRTLPVLDLHSNRTSLTLSDGDYAMKHVVTNARGLKNTRDDSRMITSLPVSPCSSPLRQYGPAHKSCFLSPSHPTFALAGQSGYNLSDYSLYSTRPNVTNAHDTCLETSLLRSQTPGASPRTRPI >Manes.07G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1580510:1585100:-1 gene:Manes.07G012600.v8.1 transcript:Manes.07G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTQSTPSLNGDHRPPRPPQSLNTHHHHQHQHHPYYPSTSSSNSASLKGCCCCLFLLFSFLALLVLAIFLIIILAVKPKKPQFDLQQVGVQYMGISASNTASFDPTTVATGPTTASLSLTIHMLFTAVNPNKVGIKYGESRFTVMYHGIPLGKASVPGFYQEAHSERQVEATISVDRYSLLQANAAELIRDASLNDRVELRVLGEVGAKIRVLGFDSPGVQVSVNCAIVISPRKQSLTYKQCGFDGLSV >Manes.16G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22132151:22135751:-1 gene:Manes.16G058800.v8.1 transcript:Manes.16G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVNSFILLFLAFPLISASDYNSAGNNENEAPSLIVPTLLDSLPSEDDLLSFVYYHQRCPEAEAIINRKVREWVNKDYTLAASLLRLHFHDCAVRGCDASILLNYEGSERTADVSKSLRGFDVIDDIKAEIEKKCPKTVSCADILTAASRDATVFLGGPYWTVPYGRKDGKISIDKEAQLVPMGLENITTLIEFFQSQGLDVLDLVVLSGAHTIGRATCGTIQHRLYNFEGTGKPDPSLDAKYLNFLTRKCRWASEYVELDGITPKTFDAEYYNNLQKNMGLLSTDQLLFSDPRTAPLVNTFASAPSVFYHQFGVSMAKLGNILVPSVQDQGEIRTICSSVNSYTN >Manes.12G113400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31365187:31368189:1 gene:Manes.12G113400.v8.1 transcript:Manes.12G113400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGTSLSLSSLLCQEDEASCFNLTEDDYTCCNDVHFDSCFVLESEEIEYIEKLVERETSSGSTTCIRSFGDCLTTSSNWLKCARLDAIEWIFNTRAIFGFRFHTAYLSGTYFDKFLSKRSIDDGKLWAIRLLAVACLSLAAKMEECRVPLLSEFQVDDYCFENKVIQRMELLVLNTLEWKMGSITPFSYLHYFISKICGESRPKDTVSRAVELIQALIKEINLLDHQPSIIAAAAVLAASDNQLTRQELEHKMKVISSWGSQENEIEMGKFKTPKQILSPNTSSMNSSSNAVVENSCLTTTGAGTKRRLTYSDCDQNCPVKKISHQP >Manes.12G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31365187:31368189:1 gene:Manes.12G113400.v8.1 transcript:Manes.12G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGTSLSLSSLLCQEDEASCFNLTEDDYTCCNDVHFDSCFVLESEEIEYIEKLVERETSSGSTTCIRSFGDCLTTSSNWLKCARLDAIEWIFNTRAIFGFRFHTAYLSGTYFDKFLSKRSIDDGKLWAIRLLAVACLSLAAKMEECRVPLLSEFQVDDYCFENKVIQRMELLVLNTLEWKMGSITPFSYLHYFISKICGESRPKDTVSRAVELIQALIKEINLLDHQPSIIAAAAVLAASDNQLTRQELEHKMKVISSWGSQENEHIFSCYIAMQEIEMGKFKTPKQILSPNTSSMNSSSNAVVENSCLTTTGAGTKRRLTYSDCDQNCPVKKISHQP >Manes.01G043650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8693010:8693798:1 gene:Manes.01G043650.v8.1 transcript:Manes.01G043650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASFLCPHDDSVISPATQHGVGAMYRDFTYAEYYKKFWSRNLDQEHCLELFKN >Manes.11G058150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7047285:7051699:1 gene:Manes.11G058150.v8.1 transcript:Manes.11G058150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRAIQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRRIIQQAIFNELCKILDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDLSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLMNESRIMRIARGSGRQVREVMEMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSTKDMMGMFGGGDK >Manes.12G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3157126:3158957:-1 gene:Manes.12G036000.v8.1 transcript:Manes.12G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Manes.09G025309.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5332416:5333434:1 gene:Manes.09G025309.v8.1 transcript:Manes.09G025309.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKARPVRSARNNPISSPGEKTLDIPSSETNTFDVIGPLDLLPIPERPWESISMDFIIGLPRVNKFGNIMVVVDQFNHYEVFIVVQANFDARDASQLFFRDMINYWRIPQSIISDRNTRFAENLRTKLFKIMRTDLNFSTSFHP >Manes.06G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11110103:11110637:1 gene:Manes.06G037000.v8.1 transcript:Manes.06G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETYASRNPEQIHTDVLSKSRQACYKARDAFYACLEKESAKKPTEIGSVGLLYPLECKASRTEFERNCRASWVKHFDRLYCKNKRVQRLLDDKESRRGPLSLPQPYTFKPTNS >Manes.05G133500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23518910:23521978:1 gene:Manes.05G133500.v8.1 transcript:Manes.05G133500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDENHPGVIGPANVQGGLHAGVGKFTAAAGNSRRALSNINRNLIEARVNKKGLSEKQSICCRNPPIPVHRPITRKFAAQLANNQPEEDKKSAISIPISSESEDCSTIDADHYKSTGDFSLPMFVQHTEAMLEEIDRMDEVQMEDVVEEPVVDIDSCDKNNPLAVVEYIDDLYNFYMKAEKSSCVSPSYMEQQCDINERMRGILIDWLIEVHYKFELMDETLYLTVNVIDRFLAVHPVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYTRKEVLDMEKLMVTSLQFNLSVPTQYVFMRRFLKAAQSDKKLELLSFFLIELCLVEYDMLKFTPSLLAAAAIYTAQYTLSGSRQWSKTNEWYTSYSQDQLMECSKLMITFHQKAGTGKLTGVHRKYSTSKFGYAAKTQPANFLLEKERMMN >Manes.05G133500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23518407:23522027:1 gene:Manes.05G133500.v8.1 transcript:Manes.05G133500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDENHPGVIGPANVQGGLHAGVGKFTAAAGNSRRALSNINRNLIEARVNKKGLSEKQSICCRNPPIPVHRPITRKFAAQLANNQPEEDKKSAISIPISSESEDCSTIDADHYKSTGDFSLPMFVQHTEAMLEEIDRMDEVQMEDVVEEPVVDIDSCDKNNPLAVVEYIDDLYNFYMKAEKSSCVSPSYMEQQCDINERMRGILIDWLIEVHYKFELMDETLYLTVNVIDRFLAVHPVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYTRKEVLDMEKLMVTSLQFNLSVPTQYVFMRRFLKAAQSDKKLELLSFFLIELCLVEYDMLKFTPSLLAAAAIYTAQYTLSGSRQWSKTNEWYTSYSQDQLMECSKLMITFHQKAGTGKLTGVHRKYSTSKFGYAAKTQPANFLLEKERMMN >Manes.05G133500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23518439:23521978:1 gene:Manes.05G133500.v8.1 transcript:Manes.05G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDENHPGVIGPANVQGGLHAGVGKFTAAAGNSRRALSNINRNLIEARVNKKGLSEKQSICCRNPPIPVHRPITRKFAAQLANNQPEEDKKSAISIPISSESEDCSTIDADHYKSTGDFSLPMFVQHTEAMLEEIDRMDEVQMEDVVEEPVVDIDSCDKNNPLAVVEYIDDLYNFYMKAEKSSCVSPSYMEQQCDINERMRGILIDWLIEVHYKFELMDETLYLTVNVIDRFLAVHPVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYTRKEVLDMEKLMVTSLQFNLSVPTQYVFMRRFLKAAQSDKKLELLSFFLIELCLVEYDMLKFTPSLLAAAAIYTAQYTLSGSRQWSKTNEWYTSYSQDQLMECSKLMITFHQKAGTGKLTGVHRKYSTSKFGYAAKTQPANFLLEKERMMN >Manes.05G133500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23518536:23521977:1 gene:Manes.05G133500.v8.1 transcript:Manes.05G133500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDENHPGVIGPANVQGGLHAGVGKFTAAAGNSRRALSNINRNLIEARVNKKGLSEKQSICCRNPPIPVHRPITRKFAAQLANNQPEQEDKKSAISIPISSESEDCSTIDADHYKSTGDFSLPMFVQHTEAMLEEIDRMDEVQMEDVVEEPVVDIDSCDKNNPLAVVEYIDDLYNFYMKAEKSSCVSPSYMEQQCDINERMRGILIDWLIEVHYKFELMDETLYLTVNVIDRFLAVHPVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYTRKEVLDMEKLMVTSLQFNLSVPTQYVFMRRFLKAAQSDKKLELLSFFLIELCLVEYDMLKFTPSLLAAAAIYTAQYTLSGSRQWSKTNEWYTSYSQDQLMECSKLMITFHQKAGTGKLTGVHRKYSTSKFGYAAKTQPANFLLEKERMMN >Manes.04G050266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8365018:8366467:1 gene:Manes.04G050266.v8.1 transcript:Manes.04G050266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFYAAIHRDKKLCSAERSYEEKKMEKHFLA >Manes.04G090400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29560902:29576274:1 gene:Manes.04G090400.v8.1 transcript:Manes.04G090400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVSSADDTDEDRAVLLGSFLQSPSQFSGVSTCNSDGLHGETISQTVGEALSSSLGDFQRKAALECSNFPEDSMRFGGATDSTLNVNGTITSSADKIGKITPKSGRSIQDPASRIIGFESRGASSLNNGIEGLSADHLNSSSVAGLTVNEVDLGGPLVRKRLLSPLSSMLSAEHFHGDNLNIGCCASQMSSSALIINLNVSASHDHKKANVGSKISFTSSSSCLEKRNMLYDNNTTASIFLTDGPLLENKDPLSNSSLLYSPILDRFRVSSEGRSQNGTISLSPKKAISPHVSLSPLSPKFSERVKTPGGSKDVKNCIEDCCSNLKNIEQSLAPEEADFRITSKSFEDIDLFHKDFRSSSLESATDSWCVFQESAPSQCARFVRSLSGLPVRRSLVGSFEESLLSGRFCCGKFTQRIDGFLAVLSITGGNFSPHSQKLPFSVTSVDGDCSLLYYASVDLAGNSSNKHRGQKLKRGLSNDDSQTVGSRFRIPMKGRVQLVLSNPEKTPLHTFLCNYDLGDMPPGTKTFLRQKVTLASSGTTSSELKHGHIGLDTKMKDKVTAVSQKNHPVNRASSISQNEVKGIECHDIIDSIDAQNLSKQSQSVGKTGIDSFILGNDCSNSKCQGNSGKECTGMEINGRSAHGCSKINDNAQGGGGALRYALHLRFLCPSPKKCSRSVQRCKSDPTSLPQKPHLDMEGERRFYLYNDMRVVFPQRHSDADEGKLNVEYHFPENPRYFDISN >Manes.04G090400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29560845:29576287:1 gene:Manes.04G090400.v8.1 transcript:Manes.04G090400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVSSADDTDEDRAVLLGSFLQSPSQFSGVSTCNSDGLHGETISQTVGEALSSSLGDFQRKAALECSNFPEDSMRFGGATDSTLNVNGTITSSADKIGKITPKSGRSIQDPASRIIGFESRGASSLNNGIEGLSADHLNSSSVAGLTVNEVDLGGPLVRKRLLSPLSSMLSAEHFHGDNLNIGCCASQMSSSALIINLNVSASHDHKKANVGSKISFTSSSSCLEKRNMLYDNNTTASIFLTDGPLLENKDPLSNSSLLYSPILDRFRVSSEGRSQNGTISLSPKKAISPHVSLSPLSPKFSERVKTPGGSKDVKNCIEDCCSNLKNIEQSLAPEEADFRITSKSFEDIDLFHKDFRSSSLESATDSWCVFQESAPSQCARFVRSLSGLPVRRSLVGSFEESLLSGRFCCGKFTQRIDGFLAVLSITGGNFSPHSQKLPFSVTSVDGDCSLLYYASVDLAGNSSNKHRGQKLKRGLSNDDSQTVGSRFRIPMKGRVQLVLSNPEKTPLHTFLCNYDLGDMPPGTKTFLRQKVTLASSGTTSSELKHGHIGLDTKMKDKVTAVSQKNHPVNRASSISQNEVKGIECHDIIDSIDAQNLSKQSQSVGKTGIDSFILGNDCSNSKCQGNSGKECTGMEINGRSAHGCSKINDNAQGGGGALRYALHLRFLCPSPKKCSRSVQRCKSDPTSLPQKPHLDMEGERRFYLYNDMRVVFPQRHSDADEGKLNVEYHFPENPRYFDISN >Manes.01G237100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39908901:39912072:-1 gene:Manes.01G237100.v8.1 transcript:Manes.01G237100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASSTSFCPASGGRAFFKIKPSILNLNATRKVSFRKNFPCSATLAADMTRVSEFEIENKKHYLLTAVQDTQRGLVASADQRSIIEEALVELEGYNTGAPIDLVKLDGTWRLQYTSAPDVLVLLELSARLPFLQVGQIFQKFECRDQSNGGIIRNVVQWSIPTLLEEQEGATLLVSAKFDVVSVRNIYLQFEEISVQNIKISEELQALIAPALLPRSFLSLQILQFIRTIKAQIPVRNPATPGRYNMRSYICMYKHYHVSNVHADGKKYLEFQAVCGRTILPFLSGWQYASRACCWRWWSICVH >Manes.01G237100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39908901:39912030:-1 gene:Manes.01G237100.v8.1 transcript:Manes.01G237100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASSTSFCPASGGRAFFKIKPSILNLNATRKVSFRKNFPCSATLAADMTRVSEFEIENKKHYLLTAVQDTQRGLVASADQRSIIEEALVELEGYNTGAPIDLVKLDGTWRLQYTSAPDVLVLLELSARLPFLQVGQIFQKFECRDQSNGGIIRNVVQWSIPTLLEISVQNIKISEELQALIAPALLPRSFLSLQILQFIRTIKAQIPVRNPATPGRRSVGGLYYLSYLDGNMLLGRAVGGGGVFVFTKSQPLDL >Manes.01G237100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39908901:39911975:-1 gene:Manes.01G237100.v8.1 transcript:Manes.01G237100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASSTSFCPASGGRAFFKIKPSILNLNATRKVSFRKNFPCSATLAADMTRVSEFEIENKKHYLLTAVQDTQRGLVASADQRSIIEEALVELEGYNTGAPIDLVKLDGTWRLQYTSAPDVLVLLELSARLPFLQVGQIFQKFECRDQSNGGIIRNVVQWSIPTLLEEQEGATLLVSAKFDVVSVRNIYLQFEEISVQNIKISEELQALIAPALLPRSFLSLQILQFIRTIKAQIPVRNPATPGRISGGLWEDYITFPIWMAICF >Manes.01G237100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39908901:39911973:-1 gene:Manes.01G237100.v8.1 transcript:Manes.01G237100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASSTSFCPASGGRAFFKIKPSILNLNATRKVSFRKNFPCSATLAADMTRVSEFEIENKKHYLLTAVQDTQRGLVASADQRSIIEEALVELEGYNTGAPIDLVKLDGTWRLQYTSAPDVLVLLELSARLPFLQRISGFCSLCLKWPLLIQLSCLNLILTHNLNVGQIFQKFECRDQSNGGIIRNVVQWSIPTLLEEQEGATLLVSAKFDVVSVRNIYLQFEEISVQNIKISEELQALIAPALLPRSFLSLQILQFIRTIKAQIPVRNPATPGRRSVGGLYYLSYLDGNMLLGRAVGGGGVFVFTKSQPLDL >Manes.01G237100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39908901:39912029:-1 gene:Manes.01G237100.v8.1 transcript:Manes.01G237100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASSTSFCPASGGRAFFKIKPSILNLNATRKVSFRKNFPCSATLAADMTRVSEFEIENKKHYLLTAVQDTQRGLVASADQRSIIEEALVELEGYNTGAPIDLVKLDGTWRLQYTSAPDVLVLLELSARLPFLQVGQIFQKFECRDQSNGGIIRNVVQWSIPTLLEEQEGATLLVSAKFDVVSVRNIYLQFEEISVQNIKISEELQALIAPALLPRSFLSLQILQFIRTIKAQIPVRNPATPGRRSVGGLYYLSYLDGNMLLGRAVGGGGVFVFTKSQPLDL >Manes.12G154800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36248297:36259189:-1 gene:Manes.12G154800.v8.1 transcript:Manes.12G154800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWKLKWNPQMTNLFLGIKRWLHLIVSCFKCFFGERGAWREYSFHELSRAAKLFSNGNLIGSGGFGDVYKGSLHGKDVAIKKFKHKINEKPQGKSEEIEYLKKVNHQNLVKLIGYCDDGIDKLLVLEYVPNKCLRHHLDGGNLNWSQRMNIAIKSARGLHYLHKDCNIIHRDIKPNNILLDDLFEPKIADFSLSMFLPKTDKSHITSTWQGTNIYADSEYGDKQNVCEKLDIYSFGIVLLELITGKKPTFDEGDIVNWAHSRITAKDRTDFVDLKLQGEYNEMQMENIINCAQVCVYEPSQSRPNMETIIQILEGKEALLKTSLRECDPINLECLLGIESKQINSPKIYSYDEVAKATGSFSNNNLISKNTIYLGSFDDKKNNAAIKKLEYLGENVNEQKIQEEIEAISHICYPHIVKLIGYCVDGSDRLLVYEYFSNKSLLSQFHENGGAIMDWPSRMKIAVGVAKGLAYLHEFCQPQIVHGNIKMDNIFVGESFQPKISNYRLAKDVLWAFKFKSSNVGNLKGKSVYLAPEYREDEKLTDKSDIFSLGIVFLELITGKPAIGNEDGVKLSIWAAPLLKKALPIKDYSGLVDARLKDNYNREEMIRMIYCAASCVYKRANYRPRANC >Manes.12G154800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36248297:36259190:-1 gene:Manes.12G154800.v8.1 transcript:Manes.12G154800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWKLKWNPQMTNLFLGIKRWLHLIVSCFKCFFGERGAWREYSFHELSRAAKLFSNGNLIGSGGFGDVYKGSLHGKDVAIKKFKHKINEKPQGKSEEIEYLKKVNHQNLVKLIGYCDDGIDKLLVLEYVPNKCLRHHLDGGNLNWSQRMNIAIKSARGLHYLHKDCNIIHRDIKPNNILLDDLFEPKIADFSLSMFLPKTDKSHITSTWQGTNIYADSEYGDKQNVCEKLDIYSFGIVLLELITGKKPTFDEGDIVNWAHSRITAKDRTDFVDLKLQGEYNEMQMENIINCAQVCVYEPSQSRPNMETIIQILEGKEALLKTSLRECDPINLECLLGIESKQINSPKIYSYDEVAKATGSFSNNNLISKNTIYLGSFDDKKNNAAIKKLEYLGENVNEQKIQEEIEAISHICYPHIVKLIGYCVDGSDRLLVYEYFSNKSLLSQFHENGGAIMDWPSRMKIAVGVAKGLAYLHEFCQPQIVHGNIKMDNIFVGESFQPKISNYRLAKDVLWAFKFKSSNVGNLKGKSV >Manes.12G154800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36248297:36259190:-1 gene:Manes.12G154800.v8.1 transcript:Manes.12G154800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWKLKWNPQMTNLFLGIKRWLHLIVSCFKCFFGERGAWREYSFHELSRAAKLFSNGNLIGSGGFGDVYKGSLHGKDVAIKKFKHKINEKPQGKSEEIEYLKKVNHQNLVKLIGYCDDGIDKLLVLEYVPNKCLRHHLDGGNLNWSQRMNIAIKSARGLHYLHKDCNIIHRDIKPNNILLDDLFEPKIADFSLSMFLPKTDKSHITSTWQGTNIYADSEYGDKQNVCEKLDIYSFGIVLLELITGKKPTFDEGDIVNWAHSRITAKDRTDFVDLKLQGEYNEMQMENIINCAQVCVYEPSQSRPNMETIIQILEGKEALLKTSLRECDPINLECLLGIESKQINSPKIYSYDEVAKATGSFSNNNLISKNTIYLGSFDDKKNNAAIKKLEYLGENVNEQKIQEEIEAISHICYPHIVKLIGYCVDGSDRLLVYEYFSNKSLLSQFHENGGAIMDWPSRMKIAVGVAKGLAYLHEFCQPQIVHGNIKMDNIFVGESFQPKISNYRLAKDVLWAFKFKSSNVGNLKGKSVHWERRWC >Manes.12G154800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36247913:36259189:-1 gene:Manes.12G154800.v8.1 transcript:Manes.12G154800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWKLKWNPQMTNLFLGIKRWLHLIVSCFKCFFGERGAWREYSFHELSRAAKLFSNGNLIGSGGFGDVYKGSLHGKDVAIKKFKHKINEKPQGKSEEIEYLKKVNHQNLVKLIGYCDDGIDKLLVLEYVPNKCLRHHLDGGNLNWSQRMNIAIKSARGLHYLHKDCNIIHRDIKPNNILLDDLFEPKIADFSLSMFLPKTDKSHITSTWQGTNIYADSEYGDKQNVCEKLDIYSFGIVLLELITGKKPTFDEGDIVNWAHSRITAKDRTDFVDLKLQGEYNEMQMENIINCAQVCVYEPSQSRPNMETIIQILEGKEALLKTSLRECDPINLECLLGIESKQINSPKIYSYDEVAKATGSFSNNNLISKNTIYLGSFDDKKNNAAIKKLEYLGENVNEQKIQEEIEAISHICYPHIVKLIGYCVDGSDRLLVYEYFSNKSLLSQFHENGGAIMDWPSRMKIAVGVAKGLAYLHEFCQPQIVHGNIKMDNIFVGESFQPKISNYRLAKDVLWAFKFKSSNVGNLKGKSVYLAPEYREDEKLTDKSDIFSLGIVFLELITGKPAIGNEDGVKLSIWAAPLLKKALPIKDYSGLVDARLKDNYNREEMIRMIYCAASCVYKRANYRPRASKTSP >Manes.12G154800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36247913:36259189:-1 gene:Manes.12G154800.v8.1 transcript:Manes.12G154800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWKLKWNPQMTNLFLGIKRWLHLIVSCFKCFFGERGAWREYSFHELSRAAKLFSNGNLIGSGGFGDVYKGSLHGKDVAIKKFKHKINEKPQGKSEEIEYLKKVNHQNLVKLIGYCDDGIDKLLVLEYVPNKCLRHHLDGGNLNWSQRMNIAIKSARGLHYLHKDCNIIHRDIKPNNILLDDLFEPKIADFSLSMFLPKTDKSHITSTWQGTNIYADSEYGDKQNVCEKLDIYSFGIVLLELITGKKPTFDEGDIVNWAHSRITAKDRTDFVDLKLQGEYNEMQMENIINCAQVCVYEPSQSRPNMETIIQILEGKEALLKTSLRECDPINLECLLGIESKQINSPKIYSYDEVAKATGSFSNNNLISKNTIYLGSFDDKKNNAAIKKLEYLGENVNEQKIQEEIEAISHICYPHIVKLIGYCVDGSDRLLVYEYFSNKSLLSQFHENGGAIMDWPSRMKIAVGVAKGLAYLHEFCQPQIVHGNIKMDNIFVGESFQPKISNYRLAKDVLWAFKFKSSNVGNLKGKSVYLAPEYREDEKLTDKSDIFSLGIVFLELITGKPAIGNEDGVKLSIWAAPLLKKALPIKDYSGLVDARLKDNYNREEMIRMIYCAASCVYKRANYRPRASKIVEILQGRDSVDRIWLSIDNTSLS >Manes.12G154800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36248151:36259189:-1 gene:Manes.12G154800.v8.1 transcript:Manes.12G154800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWKLKWNPQMTNLFLGIKRWLHLIVSCFKCFFGERGAWREYSFHELSRAAKLFSNGNLIGSGGFGDVYKGSLHGKDVAIKKFKHKINEKPQGKSEEIEYLKKVNHQNLVKLIGYCDDGIDKLLVLEYVPNKCLRHHLDGGNLNWSQRMNIAIKSARGLHYLHKDCNIIHRDIKPNNILLDDLFEPKIADFSLSMFLPKTDKSHITSTWQGTNIYADSEYGDKQNVCEKLDIYSFGIVLLELITGKKPTFDEGDIVNWAHSRITAKDRTDFVDLKLQGEYNEMQMENIINCAQVCVYEPSQSRPNMETIIQILEGKEALLKTSLRECDPINLECLLGIESKQINSPKIYSYDEVAKATGSFSNNNLISKNTIYLGSFDDKKNNAAIKKLEYLGENVNEQKIQEEIEAISHICYPHIVKLIGYCVDGSDRLLVYEYFSNKSLLSQFHENGGAIMDWPSRMKIAVGVAKGLAYLHEFCQPQIVHGNIKMDNIFVGESFQPKISNYRLAKDVLWAFKFKSSNVGNLKGKSVYLAPEYREDEKLTDKSDIFSLGIVFLELITGKPAIGNEDGVKLSIWAAPLLKKALPIKDYSGLVDARLKDNYNREEMIRMIYCAASCVYKRANYRPRASKIVEILQGRDSVDRIWLSIDNTSLS >Manes.12G154800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36247913:36259189:-1 gene:Manes.12G154800.v8.1 transcript:Manes.12G154800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWKLKWNPQMTNLFLGIKRWLHLIVSCFKCFFGERGAWREYSFHELSRAAKLFSNGNLIGSGGFGDVYKGSLHGKDVAIKKFKHKINEKPQGKSEEIEYLKKVNHQNLVKLIGYCDDGIDKLLVLEYVPNKCLRHHLDGGNLNWSQRMNIAIKSARGLHYLHKDCNIIHRDIKPNNILLDDLFEPKIADFSLSMFLPKTDKSHITSTWQGTNIYADSEYGDKQNVCEKLDIYSFGIVLLELITGKKPTFDEGDIVNWAHSRITAKDRTDFVDLKLQGEYNEMQMENIINCAQVCVYEPSQSRPNMETIIQILEGKEALLKTSLRECDPINLECLLGIESKQINSPKIYSYDEVAKATGSFSNNNLISKNTIYLGSFDDKKNNAAIKKLEYLGENVNEQKIQEEIEAISHICYPHIVKLIGYCVDGSDRLLVYEYFSNKSLLSQFHENGGAIMDWPSRMKIAVGVAKGLAYLHEFCQPQIVHGNIKMDNIFVGESFQPKISNYRLAKDVLWAFKFKSSNVGNLKGKSVYLAPEYREDEKLTDKSDIFSLGIVFLELITGKPAIGNEDGVKLSIWHLYSKKLCR >Manes.02G077600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5916691:5919818:-1 gene:Manes.02G077600.v8.1 transcript:Manes.02G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRLHFQESAISGWFPFSVARPEMIPQPKKLVLLFLVAILLLDSWSIVDSDLASDRIALQALRKAVGGRSLLWNVSTNPCSWVGVFCQRDRVVELRLPAMGLSGQLPVALGNLTQLQSLSLRFNALSGPVPADIANLASLRNLYLQGNLFSGEIPEFLFNLQNLVRIDLAHNNFSGEISPSFNKLTRLGTLHLENNQLTGSIPELNLPSLDQFNVSFNKLTGPIPQRLSGKPTAAFEGNSLCGKPLAPCNGTSNGNDKLSGGAIAGIVIGCVLGFLLIVMILIILYRRMRTKQGVAKDTQEPKQREVQIPREKAVADRGNGSPENSGTGDSESEIAKNEAKKGETKNLVFIGNTPRTFDLEDLLRASAEVLGKGTFGTTYKASLEMGVAVAVKRLKDVAVTEKEFREKIESIGKINHENLVPLRAYYYNKEEKLLVYDYMPMGSLSALLHGNRGAGRTPLNWDTRSGIALGAARGIAYLHSQGPAISHGNIKSSNILLTRSFEARVSDFGLAHLAGPTSTPNRVDGYRAPEVTDARKISQKADVYSFGILLLELLTGKAPTHSPLNDEGVDLPRWVQSVVREEWTSEVFDLELLRYQNIEEDMVQLLQLAINCTAQYPDTRPSMAEVRNQIEELCRSNSQDREDDKSSHSADSGAPPP >Manes.02G171900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13583570:13588947:-1 gene:Manes.02G171900.v8.1 transcript:Manes.02G171900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRYSRVDGRKSSGYCSTIVVVVFVAFCLVGVWMLMSSSVVPVHDSSLSSQETANEVKRTGTESNSKQFEDSSGDLPEDAIKEDGNTINSQIENKSAAQDDQNENTIETGITAEGNKEDKVETESADMKIESKNLEENQDEKTESQEEPKEKAENGGDEKKEDVTGQMQAEGGEVNKSEQTESEAASSENKSDSDEGNKNLAMGDSSEENRQDEKDGNQVEQSASEDNKESQEKSQTSVEVFPTGSLSEILNETDAQNGAWSTQAVESQNEKKSQQSSISKDPFAYGWKLCNATAGPDYIPCLDNWQTIRRLPSTKHYEHRERHCPEEAPTCLVPVPEGYRRSVKWPKSREKIWYYNVPHTKLAQVKGHQNWVKLTGEYLTFPGGGTQFKHGALHYIDFIEESLPDIAWGKRSRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPGSVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDIGIWKAMSELTKSMCWDLKVIKKDKLNGVGAAIFRKPTSNDCYNKRSQNEPPLCKESDDPNAAWNVPLEACMHKVPVDASERGYQWPEQWPKRLEKPPYWLDSQVGVYGKAAPEDFVADYNHWKHVVSQSYLNGMGIDWSSVRNIMDMRAVYGGFAAALKELKVWVMNVVPVDSPDTLPIIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLKKRCNLVPVMAEVDRILRPEGKLIVRDNNEVIGEIESMAKSMKWEIRMVFSKDNEGLLFVRKTMWRPTETELIKYAII >Manes.02G171900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13583202:13589174:-1 gene:Manes.02G171900.v8.1 transcript:Manes.02G171900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRYSRVDGRKSSGYCSTIVVVVFVAFCLVGVWMLMSSSVVPVHDSSLSSQETANEVKRTGTESNSKQFEDSSGDLPEDAIKEDGNTINSQIENKSAAQDDQNENTIETGITAEGNKEDKVETESADMKIESKNLEENQDEKTESQEEPKEKAENGGDEKKEDVTGQMQAEGGEVNKSEQTESEAASSENKSDSDEGNKNLAMGDSSEENRQDEKDGNQVEQSASEDNKESQEKSQTSVEVFPTGSLSEILNETDAQNGAWSTQAVESQNEKKSQQSSISKDPFAYGWKLCNATAGPDYIPCLDNWQTIRRLPSTKHYEHRERHCPEEAPTCLVPVPEGYRRSVKWPKSREKIWYYNVPHTKLAQVKGHQNWVKLTGEYLTFPGGGTQFKHGALHYIDFIEESLPDIAWGKRSRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPGSVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDIGIWKAMSELTKSMCWDLKVIKKDKLNGVGAAIFRKPTSNDCYNKRSQNEPPLCKESDDPNAAWNVPLEACMHKVPVDASERGYQWPEQWPKRLEKPPYWLDSQVGVYGKAAPEDFVADYNHWKHVVSQSYLNGMGIDWSSVRNIMDMRAVYGGFAAALKELKVWVMNVVPVDSPDTLPIIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLKKRCNLVPVMAEVDRILRPEGKLIVRDNNEVIGEIESMAKSMKWEIRMVFSKDNEGLLFVRKTMWRPTETELIKYAII >Manes.02G134500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10254260:10259027:-1 gene:Manes.02G134500.v8.1 transcript:Manes.02G134500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKYKSKDKKAGKEGQKASKSTGLSNAASGIPASAYNPLSGTFHALEMVPTSSASPLHANGRYQNIDETDDHFGGSLGAGVEYDSVSNNGSWSGESEDHKEKNAIVRQETIPGADNDKREKIRQKNERKHQRQKERRAQELHDRCSGYLMSRKLEALAQKLVVMGFSHERATVALILNEGKVEESVSWLFEGGEDADKHQDQNIGGANLKIDISEELARMTDMEIRYKCNRQEVERAVVAAEGDLDKAAESLRELKLDPPTGPPKPEETGDPPTVSNGKLSVSVSPNMVGQQQKPNPPSIIPQKRDDKDFNYTKTVVSVAGSPESGSKNLQSLKRLQPKLEWAKPQQTAVPAEKRWPSAASSPPVSYPLASPLQASTLPAKTEARFVAVGSEYKKVHLGTVREPVIMMQRPQSLNAKQVPATSISSPSGTAASWHPSNSVDIVKSNGLMPHIPSTRNLSPNNLNSNQMFHQLHYQQQQHFVPGSGPGDSPGTSRGNGLWNRLGVSPTLAAASSLGLFSGLGGPAGSSGASSPVDWSTAGSMTQLDYTSIDWSLDRNISFPRPSGLCLGPCSLKNGTQIYDSSATSTGAKLAMKTAANGNGVRIPGLQEGGVVTETSAANSHEWTSPFEGKDLFSLPRQFVSSPSL >Manes.04G031700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3986155:3988834:1 gene:Manes.04G031700.v8.1 transcript:Manes.04G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVIVEKLGEPAQSYEDFTASLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAS >Manes.04G014101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1858808:1873601:1 gene:Manes.04G014101.v8.1 transcript:Manes.04G014101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNMIKPKPNPQQQLRDWQRKLRQECRNIERQIRDIQREEKSVQKAIKDAAKRNDMGSAKALAKEIVRSRRTVNRLYENKAQMNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVAATMLEFSKEMTKAGVIEEFVNDAVDNALDSEDIEEEIEEEVDKVLTAIAGETAAQLPEAVRKERVKQSAGTERTAEEEEAIAEGVDDEEELEEIRARLAKVRS >Manes.04G014101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1867066:1873601:1 gene:Manes.04G014101.v8.1 transcript:Manes.04G014101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNMIKPKPNPQQQLRDWQRKLRQECRNIERQIRDIQREEKSVQKAIKDAAKRNDMGSAKALAKEIVRSRRTVNRLYENKAQMNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVAATMLEFSKEMTKAGVIEEFVNDAVDNALDSEDIEEEIEEEVDKVLTAIAGETAAQLPEAVRKERVKQSAGTERTAEEEEAIAEGVDDEEELEEIRARLAKVRS >Manes.08G007000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1014830:1016174:-1 gene:Manes.08G007000.v8.1 transcript:Manes.08G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RPL12 MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIAKVMRPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGEVEIPLD >Manes.18G140750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18295076:18312213:1 gene:Manes.18G140750.v8.1 transcript:Manes.18G140750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLLSSPSSSTPAFLSPFLTSSPLNLSCPSSISLPKRRRFRVSIPRNSSDKPADTSSDSVSTSSSSSASAISDVFGGKRELTGVQPLVANLSPPVRIASSVIILAGAVASGYGLGSRFGKTQNLALGGAAAMGAAGGAVVYAMNSCIPDVAAADLHNYVVGCDDPKAVKKEDIEQIAKKYGVSKQNEAFNAELCDLYCRFVSSVLPPGSEDLRGDEVEIITNFKSALGIDDPDAANMHVEIGRRIFRQRLETGDRDGDVEQRRAFQKLIYVSTLVFGEASSFLLPWKRVFKVTDSQVEIAIRDNAQRLYTSKLKSVTRDVDVEELVTLRRAQLQYKLSDELAEDLFRQQTRKLFEENISAALDVLKSRTRAVRGVVQVVEELDKILAFNNKLISLKNHPEAASLARGVGPVSLVGGEYDNERKMDDLKLLYRAYITDALSSSRMEENKLSALNQLRNIFGLGKREAEAITLDVTSKAYRKRLAQAVSSGDLDLATSKAAFLQNLCEELYFDAEKATEIHEEIYRQKLQQCVADGELSEDDVVALNRLRVMLCIRQQTIDAAHSDVCGSLFEKVVKEAIASGVDGYDADVKKAVRKAAHGLRLTREAAMSIAGKAVRKIFINYIKRARTADNRTEAAKELKKMIAFNTLVVTELVADIKGESSDTPPEEPKVEEKIKEDEEWDDDEEWESLETLRKIKPSEELTAKMGKPGQTEINLRDDLPERDRTDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYVLLNQLGGILGLTVKEIVEVHRSLAEQAFRQQAEVILADGQLTKARVEQLNEVQKQVGLPPEYAQKVIKSITTTKMAAALETAINRGRLNIKQIRELKEANVDLDSMISERLRENLFKKTVDEIFSSGTGEFDEEEVYEKIPADLNISAEKAKQVVRELAQNRLSNSLVQSVALLRQRNHQGVVSTLNDLLACDKAVPSEPLTWEVPEELADLFTIYMKNDPEQEKLSRLQYLLGISDSTAGALREMKDRVISVETEEEKFVF >Manes.07G093000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28898236:28904349:-1 gene:Manes.07G093000.v8.1 transcript:Manes.07G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEKDFDSKLRIQSSNNSSSSTTSSSPSSASSTSSNNTDTGNVPRSKSFAFRAPQENFSIQDFELGKIYGVGSYSKVVRAKKKDTGVVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDSFSLYMALESCEGGELFDQITRKGRLSEDDARFYAAEVVDALEYIHNMGLIHRDIKPENLLLTGDGHIKVADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATLGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPDYFSDEAKDIIDRLLDVDPSRRPGAGSDGYAALKAHPFFEGVNWKNIRGETPPKLALEPVAQSGEGDHNDESSMNPTNAGDGSVRQSDGNLGASAAEATASVTRLASIESFDSKWQQFLEPGESVVMISMVKKLQKLTSKKVQLILTNKPKLIYVDPAKLLVKGNIIWSDNSNDLSVQVLSPSNFKICTPKKVMSFEDAKQRASQWKKAIENLQNR >Manes.05G066900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5570682:5570990:1 gene:Manes.05G066900.v8.1 transcript:Manes.05G066900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRDLASTRAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDRDANGREMEWALRGLGCNPTVPAVFIGGKYVGSAKDVLSLHLDGSLKQKLIDAKAIWF >Manes.04G076400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27302418:27307948:-1 gene:Manes.04G076400.v8.1 transcript:Manes.04G076400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKAFLLQKQREATARFGGNMTWQSSRRMSSSRSSMSPIPFKSKKSLKSTPKSKPANPITTQTPDKPPAELPPRLRNRRVALSVKEVRKIAQGNRDGPHCNQTEQIVKSARRQISSWPEESIGESHRPHNAAVEEGPARLPAKYETLGQFFDSLDSSIRLLKLKGSMSTFTNVSPKIECLTDRRFSHQHLAQLKYILPEAIEIKKVLMFDERTSCMKPDLHVTVNVDAIECDGNLKSESKNLHLRKIFRARLAEFYRAHPEGDEIPEEMLPEPFNRSKQDLSSEIIKAPTTSSPIETSAADVYVDQNPNPSLPVESNPLERQQPAVASHFSGSFRRRFSQKIAKSEAENTNPNPQKICSQQSILPISEPCFDKISSREEASASASSLTRMPSEPTTDGDKFSAGVPMPCLPATPCKEINSTNYRDNSPKKIESFQSTPAKLASPSSSLMSMTPALHPPKRCYMSPDDGTTSLADKLTRRPSRTRSLKFETPEKNVVHELNDIEDVSAVDDDILNILPESLLQSIREKERKVQEERDPAISQAKKRRQMIACLPKLFNMIHFLFQSIKRSVITKEELIHKIIASHSDIVDRREVEEQLKLLLELVPEWISEKLATGGDLLFCINKLSSPETIRALLEQAK >Manes.04G076400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27302418:27307948:-1 gene:Manes.04G076400.v8.1 transcript:Manes.04G076400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSSMSPIPFKSKKSLKSTPKSKPANPITTQTPDKPPAELPPRLRNRRVALSVKEVRKIAQGNRDGPHCNQTEQIVKSARRQISSWPEESIGESHRPHNAAVEEGPARLPAKYETLGQFFDSLDSSIRLLKLKGSMSTFTNVSPKIECLTDRRFSHQHLAQLKYILPEAIEIKKVLMFDERTSCMKPDLHVTVNVDAIECDGNLKSESKNLHLRKIFRARLAEFYRAHPEGDEIPEEMLPEPFNRSKQDLSSEIIKAPTTSSPIETSAADVYVDQNPNPSLPVESNPLERQQPAVASHFSGSFRRRFSQKIAKSEAENTNPNPQKICSQQSILPISEPCFDKISSREEASASASSLTRMPSEPTTDGDKFSAGVPMPCLPATPCKEINSTNYRDNSPKKIESFQSTPAKLASPSSSLMSMTPALHPPKRCYMSPDDGTTSLADKLTRRPSRTRSLKFETPEKNVVHELNDIEDVSAVDDDILNILPESLLQSIREKERKVQEERDPAISQAKKRRQMIACLPKLFNMIHFLFQSIKRSVITKEELIHKIIASHSDIVDRREVEEQLKLLLELVPEWISEKLATGGDLLFCINKLSSPETIRALLEQAK >Manes.04G076400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27302418:27307948:-1 gene:Manes.04G076400.v8.1 transcript:Manes.04G076400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKAFLLQKQREATARFGGNMTWQSSRMSSSRSSMSPIPFKSKKSLKSTPKSKPANPITTQTPDKPPAELPPRLRNRRVALSVKEVRKIAQGNRDGPHCNQTEQIVKSARRQISSWPEESIGESHRPHNAAVEEGPARLPAKYETLGQFFDSLDSSIRLLKLKGSMSTFTNVSPKIECLTDRRFSHQHLAQLKYILPEAIEIKKVLMFDERTSCMKPDLHVTVNVDAIECDGNLKSESKNLHLRKIFRARLAEFYRAHPEGDEIPEEMLPEPFNRSKQDLSSEIIKAPTTSSPIETSAADVYVDQNPNPSLPVESNPLERQQPAVASHFSGSFRRRFSQKIAKSEAENTNPNPQKICSQQSILPISEPCFDKISSREEASASASSLTRMPSEPTTDGDKFSAGVPMPCLPATPCKEINSTNYRDNSPKKIESFQSTPAKLASPSSSLMSMTPALHPPKRCYMSPDDGTTSLADKLTRRPSRTRSLKFETPEKNVVHELNDIEDVSAVDDDILNILPESLLQSIREKERKVQEERDPAISQAKKRRQMIACLPKLFNMIHFLFQSIKRSVITKEELIHKIIASHSDIVDRREVEEQLKLLLELVPEWISEKLATGGDLLFCINKLSSPETIRALLEQAK >Manes.01G143650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33423354:33424770:1 gene:Manes.01G143650.v8.1 transcript:Manes.01G143650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPWLCGFRFMATDEELVHFYLQRKVDGQPLPPDLITHCDIYAGNPWEILANVKNFDGYYYVFTNLKRMSKNKIDRRAGSGTWKGQTTNRFSQAEGKPQWARKAFVFEVDKKNSDAVGPNNGRWLMVEYSVGDEGFDGKVVLCKIYNKHARDLLKGNQNPTKKTKKQTSCADDDEGDQNPRKKKKQNCTADDEEFPIASDFTSNMVAGPDHFR >Manes.01G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6905550:6910793:-1 gene:Manes.01G040400.v8.1 transcript:Manes.01G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLSSFLLSLSSELANDEKPVDSRKLAGLILKNALDAKDQHRKLELVQRWLSLDNNVKSQIKACLLRTLSSPVVDARSTASQVIAKIAGIELPQKQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDQVNKILTAVVQGMNASEASNDVRLAATRALYNALGFAQANFTNDMERDYIMRVVCEATLSPEVKIRQAAFECLVSISSSYYEKLSPYIQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIEILEEYGDDFTGDSDIPCFYFIRQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLIPIVNVALNFMLSALTKDPNNHVKDTTAWTLGRIFEFLHGSTIDAPIITQANCQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEVVGPSSPLTPYFQEIVQALLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHKTLEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGLDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTAGADDEMTEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHIVQFLDSIYMGKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKAFLNECLSSEDHMIKESAEWAKLAISRAISV >Manes.14G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10439201:10440856:-1 gene:Manes.14G130900.v8.1 transcript:Manes.14G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLVNNPLQLKSLNHISIVCRSLEKSLHFYRNVLGFFPIRRPGSFDFDGAWLFSYGIGIHLLQSEDPENMPKIRQINPKDNHISFQCENMTTVEKILKDMKIEYEKRRVQEGVIYIDQIFFHDPDGFMIEICNCEVLPVIPLAGEAIHSCSLMNCNIQQEQKKQIQQAVPRKTNTSTPNMHLQEDYLHCA >Manes.05G080000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6580816:6584940:1 gene:Manes.05G080000.v8.1 transcript:Manes.05G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKIETGHQDTVHDVVMDYYGKRIATASSDHTIKIIGVSNNTSQHLAQLTGHQGPVWQVAWAHPKFGSLLASCSYDGRIIIWKEGNQNEWTQAHVFDDHKSSVNSIAWAPHEVGLCLACGSSDGNISVFTARADGGWDTSRIDQAHPVGVTSVSWAPSTAPGALVGSALLDPVQKLCSGGCDNTVKVWKLYNGTWKMDCFPALHMHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWIVGKEGDQWEGKVLHDFKTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVDS >Manes.03G152001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28000600:28001007:-1 gene:Manes.03G152001.v8.1 transcript:Manes.03G152001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRIGSRNKVGYLIGEAKKTPLEDPSYPIWVTENYKVKSWLIDSMDLLLMQRIIRLSTGKKIWEAVAKTFYDESDETCLFELNKKSFSTTHNGRPLSTYYNELVAIFQEIDHRMTSQEEIVEGVVQLHSAMARL >Manes.12G045900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4107074:4109433:1 gene:Manes.12G045900.v8.1 transcript:Manes.12G045900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFENRRGLAGLSLDDVLAAEKEPAVAVSHTSAQVNRTLLDIIRDDENHGPLFGSKDRKSWKVFRDRLRLKRTGSAWVSTIPTPASDIPIRNNHHSSGNPRSFMTRHNSGRMSNISSNSGDSTHPEDSNDNPAVPSFGPQMSRRSSGRFSSLTPSESGRHVINAYAAADEASSRSFMSQMSRPNSIGTSDSFLDGGEELGREGSRRLGAALAEERAISAREAVVAQQAAEAAAAAQAAGEAATAEEEEEEEGSDPAGGGAAEPVRMSLMDLLETEGSRYITGEGEEYEEEEEEDEEDTRAGGDGIEHTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGFILEILDIF >Manes.12G045900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4107074:4109433:1 gene:Manes.12G045900.v8.1 transcript:Manes.12G045900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFENRRGLAGLSLDDVLAAEKEPAVAVSHTSAQVNRTLLDIIRDDENHGPLFGSKDRKSWKVFRDRLRLKRTGSAWVSTIPTPASDIPIRNNHHSSGNPRSFMTRHNSGRMSNISSNSGDSTHPEDSNDNPAVPSFGPQMSRRSSGRFSSLTPSESGRHVINAYAAADEASSRSFMSQMSRPNSIGTSDSFLDGGEELGREGSRRLGAALAEERAISAREAVVAQQAAEAAAAAQAAGEAATAEEEEEEEGSDPAGGGAAEPVRMSLMDLLETEGSRYITGEGEEYEEEEEEDEEDTRAGGDGIEHTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGFILEILDIF >Manes.12G045900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4107074:4109433:1 gene:Manes.12G045900.v8.1 transcript:Manes.12G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGGVGRRRLSLLDQMSAFENRRGLAGLSLDDVLAAEKEPAVAVSHTSAQVNRTLLDIIRDDENHGPLFGSKDRKSWKVFRDRLRLKRTGSAWVSTIPTPASDIPIRNNHHSSGNPRSFMTRHNSGRMSNISSNSGDSTHPEDSNDNPAVPSFGPQMSRRSSGRFSSLTPSESGRHVINAYAAADEASSRSFMSQMSRPNSIGTSDSFLDGGEELGREGSRRLGAALAEERAISAREAVVAQQAAEAAAAAQAAGEAATAEEEEEEEGSDPAGGGAAEPVRMSLMDLLETEGSRYITGEGEEYEEEEEEDEEDTRAGGDGIEHTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGFILEILDIF >Manes.01G118300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31485544:31496247:-1 gene:Manes.01G118300.v8.1 transcript:Manes.01G118300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERESLTDFSRESVDKVVRRVNARGSSGTKGNGKQVVVDTGVTWSDLDGGNFRDSLRNNDDEIDDIDWEDGSNSLLDSASNHHLGDDSTRTVTIEFSESLDFAKRKPVRRATSEEKELAEIVHKVHLLCLLARGRLVDSACDDPLIQASLLSLLPSHLLKVSGVPKLSANALSPLVSWFHNNFHVRSCASEKRSFQKALAFALETREGTPDEITALFVALFRALKLTTRFVSILDVASLKPSVDRCESSSQDKSRVHSGIFNNSTLMVNRQREFITPPVESFSYNEKNNMCETSSKLPWKSKISYPRGEQTCSKDPPDTIELKNQTTDTFSCEAQDRVSESCSSPKCQGSKRKGDLEFEMQIQMAMFATGITTPQSSMRSDVRSSTSDSSKIASPLKRIKTIASEGSPSHGISTAVGSQKIGSPLYWAEVYCSGENLTGKWVHVDVVNAIIDGEQKVEAAAAACKTSLRYVVAFAGHGAKDVTRRYCMKWYKIAPQRVNSDWWDEVLAPLRGLESGATGGPEVAERNIDIESSERNSSVATRNSLEDVELETRALTEPLPTNQLAYKNHQLYAIERWLTRCQILHPRGPTLGFCSGHPVYPRACVQTLKTKERWLREGLQVKSSELPAKVLRQSGKLKKVKLLEDDDYDGAEPRGTIELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCVPPGTVHLRLPRVFHVAKKLEIDYAPAMVGFEFKNGRSFPVFEGIVVCAEFKDAILEAYAEEEERRETEEKKRYEAQAISRWYQLLSSGITRQRLQNCYANSSLQMSSNIQDKNYKSDVHDSNQPRKQSRGCQKEDAQNTVSEVPPVDQTEDHEHVFLVEEERFDEEISVKTKRCHCGFSVQVEEL >Manes.01G118300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31485544:31496247:-1 gene:Manes.01G118300.v8.1 transcript:Manes.01G118300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERESLTDFSRESVDKVVRRVNARGSSGTKGNGKQVVVDTGVTWSDLDGGNFRDSLRNNDDEIDDIDWEDGSNSLLDSASNHHLGDDSTRTVTIEFSESLDFAKRKPVRRATSEEKELAEIVHKVHLLCLLARGRLVDSACDDPLIQASLLSLLPSHLLKVSGVPKLSANALSPLVSWFHNNFHVRSCASEKRSFQKALAFALETREGTPDEITALFVALFRALKLTTRFVSILDVASLKPSVDRCESSSQDKSRVHSGIFNNSTLMVNRQREFITPPVESFSYNEKNNMCETSSKLPWKSKISYPRGEQTCSKDPPDTIELKNQTTDTFSCEAQDRVSESCSSPKCQGSKRKGDLEFEMQIQMAMFATGITTPQSSMRSDVRSSTSDSSKIASPLKRIKTIASEGSPSHGISTAVGSQKIGSPLYWAEVYCSGENLTGKWVHVDVVNAIIDGEQKVEAAAAACKTSLRYVVAFAGHGAKDVTRRYCMKWYKIAPQRVNSDWWDEVLAPLRGLESGATGVAERNIDIESSERNSSVATRNSLEDVELETRALTEPLPTNQLAYKNHQLYAIERWLTRCQILHPRGPTLGFCSGHPVYPRACVQTLKTKERWLREGLQVKSSELPAKVLRQSGKLKKVKLLEDDDYDGAEPRGTIELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCVPPGTVHLRLPRVFHVAKKLEIDYAPAMVGFEFKNGRSFPVFEGIVVCAEFKDAILEAYAEEEERRETEEKKRYEAQAISRWYQLLSSGITRQRLQNCYANSSLQMSSNIQDKNYKSDVHDSNQPRKQSRGCQKEDAQNTVSEVPPVDQTEDHEHVFLVEEERFDEEISVKTKRCHCGFSVQVEEL >Manes.01G257600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41427463:41428034:1 gene:Manes.01G257600.v8.1 transcript:Manes.01G257600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSNSGLFVGLNKGHVVTKKELAPRPSDRKGRTSKRVSFVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAGGAGEKKK >Manes.06G177800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:30142747:30143172:1 gene:Manes.06G177800.v8.1 transcript:Manes.06G177800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHTPFNPARFSMGTTLSLEAVKTHKTIINNKSSAYLSRASSRCCLTITSFLAAYCTVVASVAGAVDAPQQAAETLLNVPQSLSGDCASSAKDCRKATIQRPKSKKAESCTVKCVNTCIRGGEGSPGEGPLNVRRYKLKF >Manes.06G177800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30142747:30144104:1 gene:Manes.06G177800.v8.1 transcript:Manes.06G177800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHTPFNPARFSMGTTLSLEAVKTHKTIINNKSSAYLSRASSRCCLTITSFLAAYCTVVASVAGAVDAPQQAAETLLNVPQSLSGDCASSAKDCRKATIQRPKSKKAESCTVKCVNTCIRGGEGSPGEGPLNVRRPLVVFKQGFRSRQYW >Manes.06G177800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30142747:30144595:1 gene:Manes.06G177800.v8.1 transcript:Manes.06G177800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHTPFNPARFSMGTTLSLEAVKTHKTIINNKSSAYLSRASSRCCLTITSFLAAYCTVVASVAGAVDAPQQAAETLLNVPQSLSGDCASSAKDCRKATIQRPKSKKAESCTVKCVNTCIRGGEGSPGEGPLNVRRPLVVFKQGFRSRQYCFNCNVKQTLRRMYMLSTPATSSTINGDKVYHLYHRYLQNNEANVLLPHRIISLLK >Manes.06G177800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30142646:30146292:1 gene:Manes.06G177800.v8.1 transcript:Manes.06G177800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHTPFNPARFSMGTTLSLEAVKTHKTIINNKSSAYLSRASSRCCLTITSFLAAYCTVVASVAGAVDAPQQAAETLLNVPQSLSGDCASSAKDCRKATIQRPKSKKAESCTVKCVNTCIRGGEGSPGEGPLNVRRPLVVFKQGFRSRQYCLVECSDICNLIGDGDDGP >Manes.06G177800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:30142646:30146292:1 gene:Manes.06G177800.v8.1 transcript:Manes.06G177800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHTPFNPARFSMGTTLSLEAVKTHKTIINNKSSAYLSRASSRCCLTITSFLAAYCTVVASVAGAVDAPQQAAETLLNVPQSLSGDCASSAKDCRKATIQRPKSKKAESCTVKCVNTCIRGGEGSPGEGPLNVRRYKLKF >Manes.01G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33270197:33275770:-1 gene:Manes.01G141300.v8.1 transcript:Manes.01G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGQVIGCHTVEIWNEQLQKANQSKKLVVVDFTASWCGPCRFITPFLVDLAKKLTNVIFLKVDVDELKTVAQDWAVEAMPTFIFLREGSIVDKVVGANKDELQKTIAKHMATASA >Manes.12G089218.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14750746:14751852:1 gene:Manes.12G089218.v8.1 transcript:Manes.12G089218.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLEGEVVLKIPAEKAWEMYRDDEIISKINPEMLALAQYVEGDGSPGSLRLFKLGPAICNYVKESMEKIEKVEKGRSVTYSVIGGELKKMYDPYKVTFSFTPVKGKENEQCLAAWKAEFEPLTPATPLPEKARDAAVGFLRCFDNFGRA >Manes.12G137100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34398330:34403556:-1 gene:Manes.12G137100.v8.1 transcript:Manes.12G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASSKAEKNEALRLCKERRRFIQQAIDSRYNLAAAHVSYINSLKNLGIALRRFAEAEILIESSVSTTSATELYKTPSHSSYPSPSPSHIAEVSDSPLHNESPISPPVISMSYMRVRGAANPVTVKINLNNSTDGFVEDESFCMPMPPPPPPFESGGSWDYFDPSDNCESFRFVRGNELDMDCDDARGFNESRGDRVGIDHNVVDAKGKWTKWSKVGLDGSGQAHEGTIGPGLEQRGLETSGNFATQNGSYGVRVESISHSGRLVGVEGFTRKPIDIQVRPAETAQNANGLSLEKSGSKKVKEAAMKDLSAEREDPSEFITHRAKDFLSSIKDIEHRFFRASESGKEVSRMLEANNIRVGYSERKGNSSASTVLTAFHICCQGKAGLSSYEPAEHVTKVITWKRTASSRSSSSRNPLAMATRDDISDSGSDFVEEFCMIAGSHTSTLDRLYAWERKLYDEVKASEYIRKEYDQKCDQLRHQFAKDHSALVIDKTRAVVKDLHSRIIVAIHSVDTISKRIEKMRDEELQPQLLELIQGLIRMWKAMLECHHAQYITISLAYHLRRTSGSPQGDTHRQIMAQLLEEIECFGLSFANWVNSHASYVDALNGWLQNCILQPRERSKSRRPFSPRRALAPPIFVLCRDWSAGIKALPSEELGNAIKAFLSDLCHLMDQKTEQLQNKEKLIDENNGELERKDDEKNDEAASSLSCIQASLTKVLDRLNKFSEASLKMYEDIRQKSEAARIAYLNCRPVRY >Manes.03G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:878152:885841:-1 gene:Manes.03G010300.v8.1 transcript:Manes.03G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNKRRRSLFKRRIDRNSSAILQPSACLFPKLLRRRHPSNIYTPLFSSLCGLVVTVSISTNMASRRLTKSAFAAIKSSARASRASLYQSPCLLSRGRAISSSASLFGNSANGQKVAANDNAVLANFATAALSRCFHSSTPRFSAATSSSQANPSEFTEMAWEGIVGAVDAARNSKQQVVETEHLMKSLLEQKDGLARRIFTKSGVDNTSVLQATDNFISQQPKVMGDTSGPIMGPYLGVLLDNARKHKKEMGDDFVSVEHFVLAFHLDKRFGQQLLRSLQLSEKDMRDAIQAVRGSQRVIDQNPEGKYEALDKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLNRKLISLDMGSLVAGAKYRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATTGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVFCDQPSVEDTISILRGLRERYELHHGVKISDSALVSAAILADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVLKLEMEKLSLKNDTDKASKERLSKLESDLSELKQKQKEVNDQWDREKALMTRIRSIKEEIDRVNLEMEAAEREYNLNRAAELKYGTLMSLQRQLEEAEKNLADFRKSGKSLLREEVSDLDIAEIVSKWTGIPVSNLQQSEREKLVFLEEVLHKRVVGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAYLFNTENAMVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHLILETLRNTQDSKEIVYDTMKWQVVELARQTFRPEFMNRIDEYIVFQPLDSKEISKIVEIQMNRVKERLKQKKIDLHYTKQAIELLATLGFDPNFGARPVKRVIQQLVENEIAMGVLRGEFKDEDSIIIDADVSSDLPPQSRLRVRKLENTSPMEAMVAND >Manes.04G150500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34665376:34676619:1 gene:Manes.04G150500.v8.1 transcript:Manes.04G150500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDKTFSELVGTLKSWIPWRSEPPTVSRDFWMPDQSCRVCYECDSQFTIINRRHHCRLCGRVFCAKCTANSVPASSSDQRTPPEEWEKIRVCNYCFKQWQQRIATFDNGIQVPSLDLSSSPSAASLASSKSSGTANSSTFTLGSMPYSVGPYKRAQQIAVHSPRQTSEMETNSSDKQRAVAFGRSNGLVSDIDYQSPNQYAFSMNRSDDDDDDYGVFRSDSEMRHFPQSEYSQSEYFHQVEFDDISNDEGSHKAHLDGENVDSKSSSCSPVNHGFGSHDLEGMTQHGKKQGVDMDDECEAPSSMYPGENGNAEPVDFENNGLLWLPPEPEVEEDEREAGFFDDDEDGDDVHEAGEWGRLCTSNSFGSGEFRSRDKPGEEHKKAMKNVVDGHFRALVAQLLQVENIPVGDEDDKESWLEIITSLSWEAATLLKPDMSKSGGMDPGGYVKVKCIASGRRCESMVVRGVVCKKNVAHRRMTSKIERPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVARIDAHQPDVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGYCDMFHVEKFLEDLGTAGQGGKKLVKTLMFLEGCPRPLGFTILLRGANGDELKKVKDVVQYGVFAAYHLALETSFLADEGASLPEFPLKSPITVALPEKPSSIERSISTVPGFTIPANEKPQGLHTSSEPQISNSVPIAYSDSTISSSSIDQVERQPLTDGHSSQSTALNLSDSGINSAAFLSTVPSRVKDISDSYCTYEQKIKMGSGGSAVAEITPVNNKVTVMGDHLTVNGFEHSEGIISNNSPDHLSEVVPTLSHSSEVSSSQQDNKSNLEEPGLLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDNGYTCSSCEMPSEAHVHCYTHRQGTLTISVKKLSEILLPGEKDGKIWMWHRCLRCPRTNGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGNMVACFRYATIDVLSVYLPPSKLDFNFENQEWIQKETVEVVNKAELLFSEVLNGLSQIAEKRCAAPPNNGTKLPESRRQIGELEAMLKREKAEFEELLQKVLNTEAKKGQPVIDVFEINKLRRQLLFQSYMWDHRLVYAASLDNSLQDDLNWSSSRPVEKPIANTEQLNEMDVNDKPGKGFNSYDSLPVDTTLLKIPGQQVGLSSNPDQSDTAHETDKSQGSNHGKEDQSEVSVVIPISDQPSGLEFSGNVLRTLSEGQVPVMSDLSDTLDAAWTGENLLGIGISKDDANVLSDSAMADFSTTSTLPEGLDLYNRVEDQNGPKVSYSPSHALSTKGSDNMEDSVSYLRMPFLNFYRTMNKNFVANTEKLDIGGEYNPVYVSSFRQLELQGGARLLLPVGVNDSVIPVYDDEPTSIVSYALLSPKYHGQLTDDGDVSSSLSDPMTSHSFHSVDEVTMDPHRSHGSTEESILSIHGSRSPLLSDPLFHTKAMHARISFGDEGPQGKVKYSVTCYCAKRFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISSRSPTCLAKILGIYQVASKHLKGGKESKMDVLIMENLLFGRNVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKNELVLGIIDFMRQYTWDKHLETWVKASGILGGPRNSSPTVISPKQYKKRFRKAMTAYFLMVPDQWSPPTIIPSKSQSDLCEENSQGGTAVE >Manes.17G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21393482:21396250:-1 gene:Manes.17G036500.v8.1 transcript:Manes.17G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKRMGVETVVAATGAGHHGLATAAACAKLSLDCTVFMGTADMEKQSLNVTLMKLLGTEVKTAEGSFKDASSQAIREWIGNLETTYHLTGTVVGPHPCPRVVREFQSVIGKETRRQAMEKWGGNPNVLLACVGSGSNALGLFHEFIGDEDVRLIGVEAAVFGLDSGKHAATLARGEVGVYHGAMSYLLQDEEGQIIKPHSIGVGLECPGVSPELCFLKETGRAEFYSATDDVVEAYKRLCRLEGIFPSLEASHALAFVDKLCPTLPSGSKGCSKL >Manes.09G040100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7358801:7360530:1 gene:Manes.09G040100.v8.1 transcript:Manes.09G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPELIPGLPEEIALECLTRLHYSTHSVAARVCRRWRHLLLSRGFYNNRKKSGHTHKAACLIQSLPAQFASSESKATGPPKYGVSIVDPVNGTWERVEPVPDYPDGLPLFCQVTSSEGKLVLMGGWDPVNYEPLIHVFVYDFTTRRWRKSKDMPETRSFFALGELNGQVIIAGGHDENKNALNSAWVYNVTCDEWTELPRMSQERDECEGLVIGGQFWVVSGYRTESQGQFEGSAESIELGASQWRKIEDAWKENRCPKSCIGVDKGGKLVNWIGCVREVKAGVCVGHTSEWTMVSGSAYEGGAQAFYIMEGQNGKWKRVDVAEGFSGFVQSGCFVEI >Manes.11G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27381857:27385076:1 gene:Manes.11G116900.v8.1 transcript:Manes.11G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVPFWPLPLLLFVPLLFIWKRKLHDKRRRSKNLPPGPPRLPFIGNLHQLGAIPHYSLWQLSKKYGPVMFLKLGRVPSVVISSAEAAKEVLKTHDLYTCSRPFSASTQKLSYNYLDVGFSPYGDYWRKMRKICVLELFSAKRVQSFQFVREEEVASLIDSITKSASTATPVNLSEKCMALTANITCRAAFGRSFQERGFAHERFHEVIREGMALLGCLSAAEFFPYVGWIVDKITNLHGRLERTFQELDGFYRKVIDDHVEKGRDESGQEDIVDVLLELERSPPDFGIADAAFRFSRDHIKAILMNIFLGGVDTGAITLIWAMTELIRHPTVMRKAQEEIRTCMGDKTKVTESDIDKLGYLKMVLKETLRLHPLGQLVRETMSKFSINGYVIEPKTLIQVNVFAIGRDPKVWRNPEEFIPERFIDNQYDFNGQSYEFLPFGAGRRSCPGMAMGLALVELALANLLFCFDWKLPCNMKKEDVNMDEAPGIVTGKKEALLLLPVKYPHACM >Manes.16G051600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13259275:13269210:-1 gene:Manes.16G051600.v8.1 transcript:Manes.16G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTKPKTHKTSYALQLNIGIPSQLFNFVLLCISPCPFSPSVCVFYPMYKPQSNRTALKLKKEMKILGALFTISLLLVQQSISCALRQQILTDFEAKLQKLAVASNPTKTKPPFQFPTSKKDGRVFYPIGYGADPTGAEESSDAILKALADALKVQNGSELLPGINDLGGVVIDFQGGNYKISKPIRFPAVASGNLVMKGGTLRASITFPGDRHLVELWPPNSELLKEMDSNNFLHLTDVNQQDNVGIYYEDITFRDILFDSSFRGGGILIIDSARIRIDNCFFLHFTTQGILVEKGHETFISSTFLGQHSSVGGDKGEKDYSGTAIDIAGNDNSINNAVIFSAAIGILLRGQANLVTGVHCYNKATGFGGIGILVKIGASLTRLDNCYLDFTAIVLEDPSQVHVTNGLFFGDANVVLKSIKGQVSGLTIVNNMFNGDPKFMNPVVKLDGDFTSIYQVVIHKNNVHGMRLKSTEGKLTVTGNGTKWVADFSSVLVFPNQISHFQYSFYVVEVPAAGLVTHAVTNVSNNVVVVESSTAVNGIISVAVDQYIYNK >Manes.03G194200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31200830:31201870:1 gene:Manes.03G194200.v8.1 transcript:Manes.03G194200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDETETDAVFRKLYSFTGHNLKNIVENPSHEGPDPNPGRYCFRLHKNKVYYVSESLVKRATNISRKNLASLGTCIGKFTHGGNFHLTIQALNLLAANAKHKVWLKPTSEMSFLYGNHVLKGGLGRITDSISKNDGVVVFSMSDVPLGFGSAARSTQDCRKLDPNGIVVHHQADIGEYLRMEDEL >Manes.06G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19256601:19258032:-1 gene:Manes.06G054500.v8.1 transcript:Manes.06G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSLLSVPAAATSRRLEGKVALITGAAMSIGECIARSFCKHGAKVVIADIQDDLGKSVAEDLGAHVALFVHCDVTIESDVEKAVDTAVSIFGKLDIMVNNAAVADPRKPSIVENELIDFERVVKVNLIGPFLGTKHAARVMIPARQGSIITLGSVCSSVGGVASHAYTSTKHAIVGLAKNAAAELGQFGIRVNCLSCYFVETPLTMKFFKMEEDGGRDGVYSNLKGVKLKEEDVAEAAIYLASDESKYMSGHNLALDGGFTTINPAFGLFSRNA >Manes.15G164700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13810360:13812056:1 gene:Manes.15G164700.v8.1 transcript:Manes.15G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHFPSRRIILSALLLLLFAKSSSSSRMIRDSEVKEAAADDSQPLIKIDHQMHHMDPSVHVFFTLDDLKAGKKIPVYFPNKDPSASPHLLSREETNSIPFSLSQLPYLLELFSLSKHSPQAKAMEYTLRLCDVKPTEGETKICATSLESMLDFVRATFVLDTQFKVLTTNYLTNPVKQLQNYTVLEEPREILAEKIVGCHVMPYPYVVYYCHRAESGDKLFEMLLGGENGERVQAPGICHRDTSKWDRDHVSFRLLKMKPGTSPVCHFFPANNIVWVPLSA >Manes.12G148700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35704251:35706891:1 gene:Manes.12G148700.v8.1 transcript:Manes.12G148700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLFASYPICSFTKQPSRTCSFRLLKIGNIFSPLGCLVSPKLAKKTIVRRSANYQPPIWDFNFVQSLKSEYGGEVYTNRISKLKEEVRLILLKQAVDPLDQLQLIDTLQRLGLAYHFEDEIKSILMSIYSHNNARREDLYATALEFRLLREHGYKIPQEIFNSFQDEVGNFKKCLCEDWEGMLSLYEASFLSDENEDILQNARDFTTTCLRKFVQQSQDQNLSNLVSHALEIPLHWRMLRLETRWFIDVYERKQGMNPLLLELAKLDFNNVQMIHQNDLKHVSRWWRSTGLGEKLSFARDRLMENFFWSIGVIFKPQFSYCRRMLTKVGALLTTIDDIYDVYGTLDELELFTDAVQRWDVNAVEQLPDYMKICYLSLHNTINEIAFDFLREQGIHIVPYLKRAWADQCKSYLLEARWYYNGYTPSLQEYIDNAWISIAGPVILVHAFFLVNSPISNDALKCLEEYSSIIRCSSMIFRFADDLGTSSDELKRGDVPKSIQCYMHETGASEKEARDHIRFLISETWKEMNEEKSTYSPFSETFISIAFNLARMAQCMYQHGDGHGIEDRETKDRVVSLLVQPIPCLNKVV >Manes.09G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34037115:34043677:1 gene:Manes.09G142300.v8.1 transcript:Manes.09G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHQHQGKSIHSSSRMPIPPERHLLLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQAVSRSSKIGANAVAVDRMSEANVTHLNNLSIGTQTNKNLHISEALQMQIEVQRRLQEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSAQCLNSAFSELKELQGLCPQQTQTTPPTDCSIDSCLTSCEGSQKEQETHNTGMGLRPYNGNAFLESKEMAEDHMLHPTELKWVENLRDSKMFLSPMGNNTESRIFSTERSSSNLAMRVGLQGESGKASTSFTEERYKGRNDDDNFPDQTNKRTDSVKLQHDNISTGYRLPYFATKLDLNSHDEIDAASNCKQLDLNGFSWN >Manes.04G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29009514:29017118:1 gene:Manes.04G074000.v8.1 transcript:Manes.04G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTKFKHSLKKKSSRRKSDGRVSSVSIEDVRDAEELQAVDEFRQALIIDELLPERHDDYHMLLRFLKARKFDIEKAKHMWADMLQWRKEFGADTIMKDFEFKEINEVLTYYPHGNHGVDKEGRPVYIERLGKVDPHKLMHVTTMDRYVKYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYHSKLLEIIDASELPEFLGGTCTCADQGGCLRSDKGPWKNPEILKMVLNGEARRANQIVKVLNSEGKVIAYAKPRYPLHFRGSDTSTAESGSEAEDIASPKALKNFSHLRLTPVREESKVIGKASYAANFSGYDEYVPMVDKPVDAGWKKQASLQRPSISKATLALPDTPKNSEGIRIRVLVAVTAFFMTLFTLFRTLVFRVTKRLPNASSDDQSLEATQGDFRPPSPSSTFTDAELLSSVLKRLGELEEKVDTLKTKPTEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMKQEELLAYIDSQEEAKYRKKKFCW >Manes.14G146333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14927473:14928783:-1 gene:Manes.14G146333.v8.1 transcript:Manes.14G146333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLTRLFLAMYYCPAHLSLHTLLSSCTATIEFNTKMASLNMVLSLFISVLVSSLMVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQASGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSNGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIIFSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNANACAWSNGVSSCGTSNSMTNSWLSEELDSTSQERLQWVRKNYMIYNYCTDANRFPQGFPPECNLS >Manes.08G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4025581:4027927:-1 gene:Manes.08G041300.v8.1 transcript:Manes.08G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQVGNRIIRKRIHVRVEHLQQSRCTEEFRLRKKKNDELKTAAKARGEVISTKRQPEGPKPGFMVEGTQLETVTPIPYDVVNDLKGGY >Manes.08G025900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2536639:2539001:-1 gene:Manes.08G025900.v8.1 transcript:Manes.08G025900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLETLVSACAGVSCDRKIACETLADTATEGQNHQPQESETDPPEIPPDFPPESFCLSKDAEFEWFDRNAFIERKDSTKANSHSTNLNPNVNPNANQSNSQRFSNLKSKASIIGLPKPQNSRFVDTRRHCRPGNTRLFPKRSASTSKSDSTVIEPSSPKVSCMGRVRSKKDRNRRLKDRQRSNETETRREKPERKQKHGFFASFRAIFGKTSKQNVKSGKDASHRGSLSRRSSSEKKIDIRERLPHVEKDMEPRKSVGSDGVGTASGVEPIGLGGMKRFASGRKSESWIDVA >Manes.08G025900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2535070:2539062:-1 gene:Manes.08G025900.v8.1 transcript:Manes.08G025900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLETLVSACAGVSCDRKIACETLADTATEGQNHQPQESETDPPEIPPDFPPESFCLSKDAEFEWFDRNAFIERKDSTKANSHSTNLNPNVNPNANQSNSQRFSNLKSKASIIGLPKPQNSRFVDTRRHCRPGNTRLFPKRSASTSKSDSTVIEPSSPKVSCMGRVRSKKDRNRRLKDRQRSNETETRREKPERKQKHGFFASFRAIFGKTSKQNVKSGKDASHRGSLSRRSSSEKKIDIRERLPHVEKDMEPRKSVGSDGVGTASGVEPIGLGGMKRFASGRKSESWIDVA >Manes.11G087900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14094485:14097169:-1 gene:Manes.11G087900.v8.1 transcript:Manes.11G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRCDACDMIEASVFCSADEAALCDACDHHVHNANKLASKHLRFSLLHPSFTQSPLCDICQERRAFLFCQEDRAILCRECDLPIHKANEHAENHNRFLLTGVELSTSSLYPTTSSSNSCNTNSNTNRQSPQQPYPQHPGSFSDKIFDTPSVERASPSVPNNYSQSSDNISVSTSSISEYLETLPGWRVDDFLDPSIAAHDGSSKDVIVETREHHHLC >Manes.17G033700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22906122:22913547:-1 gene:Manes.17G033700.v8.1 transcript:Manes.17G033700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHFSTVLCFPHRLSSPAPRCRRFFPVRSSLPFPIHEAKYHRELEAAVNVVEKACRLCVDVKRSLFSSEGRILEKNDQTPVTIADFGVQALVSLELSKLFPSIPLVAEEDSSFLRSNNLVESVVSVVTDKASCNDKPLKHADVLEAIDRGGNNAIVYGTKPATYWVLDPIDGTRGFVRGNEALYVVGLALIVEGEIALGVMGCPNWPVDVSYKSTAEVQEFENMQSSGILMVAHVGCGTWTKRLSHMPGRSARVSDDWNRCMVDGCRLVPEARFCIPESQTWESLPLSASFNATNSAGSIGEKEVLLLSACCGSLCKYLMVACGRASVFILRARTQTIIKAWDHAAGVMCVHEAGGKVTDWKGNQLDFAADQVERRIIFPSGGVLVSNGNIHNQILEIIYSSPSIV >Manes.07G009912.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1140633:1149861:1 gene:Manes.07G009912.v8.1 transcript:Manes.07G009912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNRMTKEVSLFFSSSNQLVYGFKMGHKIKAIRERLADIVADRKFNLEKRTYQERIVERDQTESSLPEVVIGREGDKKAITELVLSSNGEECVSVLSIVGMGGLGKTTLAQIIFNDELIKNSFERRIWVCVSDPFDVKMIVGKILESATGNKSEDLELEALKSQLGGIIDGKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKKVADISRTMAPHVLEGLSRDESWSLHVALEGQEPEHANVREIGEEILKKCCGVPLAIKTIAGLLYKKTPETEWPLFLRNELSKISQDDNDIVPTLKLSYDHLPSHLKHCFAYCTLYPKDYVIDVKILIHLWVAQGFVEAPITSDHLEDIGLEYFMKLWWRSFFQEVQRDEFGNVKSCKIHDLMHDLATIVGRTRIQLVNYDAPNIDEKIHHAALNLDVAPQKILNNAKRPRSFLLPEKHDCGELFIHKNLRCLRAYDMNDCGIEKVDSCIKMLNHLRYLDVSWNVELRALPNSITDLLNLQVLNVSCCIELKELPKDIKKLVNLRHLYCEGCSSLTHMPRGLGQLTLLQTLSRFIVAKGHISSKDVGKINELNKLNNLRGSLLIRNLRCVDNEIVNVNLNEKPLLQSLELHWERSWEDSIVDRDEMAFQNLQPHPNLKELSVVDYGGRRFPSWFSSLMNLVNLCILDYKRCQHLPSMDQIPSLQYLKIWGLDDLEYMEIEGQRTSFFPSLKTLRLEHCPKLKGWQKKRDDSTALELL >Manes.01G068901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27021262:27021802:1 gene:Manes.01G068901.v8.1 transcript:Manes.01G068901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWGCPLYSVVVCTLSVLSISQWVTMRASVTSFISGSSCGWCNRSSPLPSRLGLAHMWALYSALCCLRV >Manes.03G184200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30527622:30532290:1 gene:Manes.03G184200.v8.1 transcript:Manes.03G184200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVEDSIKNQIAALWRVINVTRSFKEDNLPCQIEEGLFLGSFGAANNKDALKSKNITHILTVANSLAPAHRDDFIYKVIGVADKEDTNLRQYFDECFSFIDQAKRQGGGVLVHCFVGRSRSVTIVVSYLMRKHGMSLSQALDHVKKRRPQAAPNLGFISQLQDFEKSLQGAST >Manes.03G184200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30527622:30532290:1 gene:Manes.03G184200.v8.1 transcript:Manes.03G184200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVEDSIKNQIAALWRVINVTRSFKEDNLPCQIEEGLFLGSFGAANNKDALKSKNITHILTVANSLAPAHRDDFIYKVIGVADKEDTNLRQYFDECFSFIDQAKRQGGGVLVHCFVGRSRSVTIVVSYLMRKHGMSLSQALDHVKKRRPQAAPNLGFISQLQDFEKSLQGIPS >Manes.03G184200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30527622:30530801:1 gene:Manes.03G184200.v8.1 transcript:Manes.03G184200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVEDSIKNQIAALWRVINVTRSFKEDNLPCQIEEGLFLGSFGAANNKDALKSKNITHILTVANSLAPAHRDDFIYKVIGVADKEDTNLRQYFDECFSFIDQAKRQGGGVLVHCFVGRSRSYLMRKHGMSLSQALDHVKKRRPQAAPNLGFISQLQDFEKSLQGAST >Manes.14G070600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5871357:5874101:1 gene:Manes.14G070600.v8.1 transcript:Manes.14G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQVMILILIYLFFHLTAVEWTAFGCFWTNGQICSATSRLLVHENIATELVDRLVKLCKNIKISDPLEEGCRLGPVVSGGQYEKILKFILTAESEGATILFGGARPKHLNKGFFIEPTIITDVNTSMQIWREEVFGPVVKTFSTEDEAIELANDTHYGLGAAVISNDLERCDRVSKAFRAGIV >Manes.05G138500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13261699:13268500:1 gene:Manes.05G138500.v8.1 transcript:Manes.05G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHLPPIKGVVIISLPPPDNPSLGKTITAFTLTDDDHYPHSYQSHQDYQLPVQSSPPPPPQNPQIQLSSSKFFLGIPRKLLGIVCISLFALMLYRSVFSSTLQELEASDDDQKPKSFIFPLYHKFGIREISQIDLPHKLVRFVYKESLVTPGDDAIGPQKNSKLASLTTAAAAVDSSSILPVRGNVFPDGLYFTYMLVGSPPRPYYLDIDTASDLTWIQCDAPCSSCAKGANALYKPRKDNIVTPRDSLCMELKRNQNARHCETCQQCDYEIEYADHSSSMGVLARDELRLTVANGSSTESKFIFGCAYDQQGLLLNTLAKTDGILGLSKAKVSLPSQLASQGIINNVVGHCLTNNVGGGGYMFLGDDFVPRWGMAWVPMLNRPSTDSYQTEIMKLNYGSSQLSLGGQDRRVRGVVFDSGSSYTYFPKEAYSELVSSVQEVSGKGLIRDTSDPTLPFCWRAEFPIRSVADVKQFFKTLTLQFGSKWWIISTKFRIPPEGYLIISNKGNVCLGILDGSMVHDGSTIILGDISLRGQLVIYDNENKKIGWMPSNCVKPTTFQSLPFFEG >Manes.05G138500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13263246:13268500:1 gene:Manes.05G138500.v8.1 transcript:Manes.05G138500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKPLTSRGFEAECLSMQAPFRYAFSRLYFTYMLVGSPPRPYYLDIDTASDLTWIQCDAPCSSCAKGANALYKPRKDNIVTPRDSLCMELKRNQNARHCETCQQCDYEIEYADHSSSMGVLARDELRLTVANGSSTESKFIFGCAYDQQGLLLNTLAKTDGILGLSKAKVSLPSQLASQGIINNVVGHCLTNNVGGGGYMFLGDDFVPRWGMAWVPMLNRPSTDSYQTEIMKLNYGSSQLSLGGQDRRVRGVVFDSGSSYTYFPKEAYSELVSSVQEVSGKGLIRDTSDPTLPFCWRAEFPIRSVADVKQFFKTLTLQFGSKWWIISTKFRIPPEGYLIISNKGNVCLGILDGSMVHDGSTIILGDISLRGQLVIYDNENKKIGWMPSNCVKPTTFQSLPFFEG >Manes.01G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7320409:7322776:1 gene:Manes.01G038200.v8.1 transcript:Manes.01G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKIHKFDDVVKHNKSKDCWLIISGKVYDVTPFMDDHPGGDEVLLSSTGKDATNDFEDVGHSDSARDMMENYYIGEIDASTIPAKRTIPPQQTAYKGDKSSDFFIKILQFLVPLLILGLAFAVRHFTKKE >Manes.16G015332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1828028:1829170:-1 gene:Manes.16G015332.v8.1 transcript:Manes.16G015332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAEKYIRQDDALVTSRFAKGVEGKEKAPEEGRSEKHEKKRGKRPEPYKQAWERRDQRPPPPRVLEPRVLPPWVPEKLTPLNASRAERNPDKYCQYHRTHGHDTNNCFQLIAEIERLIKRGHLKNFVKKPEGQRPQPGPAAQMPRRTGAGPVNDGSSGTINMIVGGTGGRMNRRGKKRNREGETSNGEVMQIVEHSPMTIAFSSEDAQGIQMPHDDALVIEAVIHNFRVKKVLVDDGSKVNLLPYRVFQQMGIPEEQLVRDQSPIKGIGGAPVLVEGKVKLALTLGEAPRARTHHEVFLVVKLPLSYNAILGRPALFNFEAVTSIRYLALKFPTEGGVGMVRGSQEEARAVYLATVT >Manes.06G040600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12534316:12560933:-1 gene:Manes.06G040600.v8.1 transcript:Manes.06G040600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIDSTTTTEVTVRKTPEGEGSEKDGSDSSGSGGSVLEDKEKGSSEYFGWVYHLGTNSIGHEYCHLRFLFIRGKYVEMYNRDPHENPDIPIRRGVVGPTFIVEDLGRREVNNGDLYVLKFYNRLDETKKGEIACASAGEARKWMEAFDHAKQCAEDELLRGGSTRNKLNMETEINLEGHRPRMRRYAHELKKLIKIGQGPEMLLRQSSDLQAAMRSDRYFEGEIGDAVEAHEWKCVRTINGVRFFEDVSDFKNGKGVLVKAVGVIDTTADTVFEVILSVDRHQRYEWDTLTGDLELVDSYSGHYDVVYGTHDPQYLTRWQSKRDFLFSRQWFRGQDGTYTILQFPAIHKQRPPRSGYRRTKINPSTWEIRNLNTPMGSNTMKCIVTQTLEINQAGWFRWKTNHCSKFEKTIPYALLSQVAGLKEYIGANPAVKSIFATTVVHSKACDDSIRISEYEDAEINDEFYDAISADSLSSEESDDEDEPTNEQDKKVKLKNVAWAITSLALKRTSVMDANKELDPSEAAIDIDLSQFQGSLPEGKDEKDSNCWTSPGGMGFMIRGKNYLKDNSKVMGGEPLLKLLAVDWFKVDSAMDKVALHPKCLVQTEAGKKIPFILVINLQIPAKPNYSMVLYYAADRPVNESSLLGKFIDGTDMFRDSRFKLIPSIIEGYWMVKRAVGTKACLLGKAVTCKYHRQDNFLEIDVDIGSSAVARSVIGLVLGYVTSLVVDLAILIEAKEEAELPEYILGTVRLNRVRVETAEHLEA >Manes.06G040600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12534316:12560933:-1 gene:Manes.06G040600.v8.1 transcript:Manes.06G040600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIDSTTTTEVTVRKTPEGEGSEKDGSDSSGSGGSVLEDKEKGSSEYFGWVYHLGTNSIGHEYCHLRFLFIRGKYVEMYNRDPHENPDIQPIRRGVVGPTFIVEDLGRREVNNGDLYVLKFYNRLDETKKGEIACASAGEARKWMEAFDHAKQCAEDELLRGGSTRNKLNMETEINLEGHRPRMRRYAHELKKLIKIGQGPEMLLRQSSDLQAAMRSDRYFEGEIGDAVEAHEWKCVRTINGVRFFEDVSDFKNGKGVLVKAVGVIDTTADTVFEVILSVDRHQRYEWDTLTGDLELVDSYSGHYDVVYGTHDPQYLTRWQSKRDFLFSRQWFRGQDGTYTILQFPAIHKQRPPRSGYRRTKINPSTWEIRNLNTPMGSNTMKCIVTQTLEINQAGWFRWKTNHCSKFEKTIPYALLSQVAGLKEYIGANPAVKSIFATTVVHSKACDDSIRISEYEDAEINDEFYDAISADSLSSEESDDEDEPTNEDKKVKLKNVAWAITSLALKRTSVMDANKELDPSEAAIDIDLSQFQGSLPEGKDEKDSNCWTSPGGMGFMIRGKNYLKDNSKVMGGEPLLKLLAVDWFKVDSAMDKVALHPKCLVQTEAGKKIPFILVINLQIPAKPNYSMVLYYAADRPVNESSLLGKFIDGTDMFRDSRFKLIPSIIEGYWMVKRAVGTKACLLGKAVTCKYHRQDNFLEIDVDIGSSAVARSVIGLVLGYVTSLVVDLAILIEAKEEAELPEYILGTVRLNRVRVETAEHLEA >Manes.06G040600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12534316:12560933:-1 gene:Manes.06G040600.v8.1 transcript:Manes.06G040600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIDSTTTTEVTVRKTPEGEGSEKDGSDSSGSGGSVLEDKEKGSSEYFGWVYHLGTNSIGHEYCHLRFLFIRGKYVEMYNRDPHENPDIPIRRGVVGPTFIVEDLGRREVNNGDLYVLKFYNRLDETKKGEIACASAGEARKWMEAFDHAKQCAEDELLRGGSTRNKLNMETEINLEGHRPRMRRYAHELKKLIKIGQGPEMLLRQSSDLQAAMRSDRYFEGEIGDAVEAHEWKCVRTINGVRFFEDVSDFKNGKGVLVKAVGVIDTTADTVFEVILSVDRHQRYEWDTLTGDLELVDSYSGHYDVVYGTHDPQYLTRWQSKRDFLFSRQWFRGQDGTYTILQFPAIHKQRPPRSGYRRTKINPSTWEIRNLNTPMGSNTMKCIVTQTLEINQAGWFRWKTNHCSKFEKTIPYALLSQVAGLKEYIGANPAVKSIFATTVVHSKACDDSIRISEYEDAEINDEFYDAISADSLSSEESDDEDEPTNEDKKVKLKNVAWAITSLALKRTSVMDANKELDPSEAAIDIDLSQFQGSLPEGKDEKDSNCWTSPGGMGFMIRGKNYLKDNSKVMGGEPLLKLLAVDWFKVDSAMDKVALHPKCLVQTEAGKKIPFILVINLQIPAKPNYSMVLYYAADRPVNESSLLGKFIDGTDMFRDSRFKLIPSIIEGYWMVKRAVGTKACLLGKAVTCKYHRQDNFLEIDVDIGSSAVARSVIGLVLGYVTSLVVDLAILIEAKEEAELPEYILGTVRLNRVRVETAEHLEA >Manes.06G040600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12534316:12560933:-1 gene:Manes.06G040600.v8.1 transcript:Manes.06G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIDSTTTTEVTVRKTPEGEGSEKDGSDSSGSGGSVLEDKEKGSSEYFGWVYHLGTNSIGHEYCHLRFLFIRGKYVEMYNRDPHENPDIQPIRRGVVGPTFIVEDLGRREVNNGDLYVLKFYNRLDETKKGEIACASAGEARKWMEAFDHAKQCAEDELLRGGSTRNKLNMETEINLEGHRPRMRRYAHELKKLIKIGQGPEMLLRQSSDLQAAMRSDRYFEGEIGDAVEAHEWKCVRTINGVRFFEDVSDFKNGKGVLVKAVGVIDTTADTVFEVILSVDRHQRYEWDTLTGDLELVDSYSGHYDVVYGTHDPQYLTRWQSKRDFLFSRQWFRGQDGTYTILQFPAIHKQRPPRSGYRRTKINPSTWEIRNLNTPMGSNTMKCIVTQTLEINQAGWFRWKTNHCSKFEKTIPYALLSQVAGLKEYIGANPAVKSIFATTVVHSKACDDSIRISEYEDAEINDEFYDAISADSLSSEESDDEDEPTNEQDKKVKLKNVAWAITSLALKRTSVMDANKELDPSEAAIDIDLSQFQGSLPEGKDEKDSNCWTSPGGMGFMIRGKNYLKDNSKVMGGEPLLKLLAVDWFKVDSAMDKVALHPKCLVQTEAGKKIPFILVINLQIPAKPNYSMVLYYAADRPVNESSLLGKFIDGTDMFRDSRFKLIPSIIEGYWMVKRAVGTKACLLGKAVTCKYHRQDNFLEIDVDIGSSAVARSVIGLVLGYVTSLVVDLAILIEAKEEAELPEYILGTVRLNRVRVETAEHLEA >Manes.11G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3609801:3610788:-1 gene:Manes.11G037300.v8.1 transcript:Manes.11G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDKVRISKKWLQQLSRGVGSPVDVSTSQSLQVIHAQKGIMRCCFVVSERLTDGNGNWHVGAMATLIDNIAGATVHSFAGQIRPTLDFSMSYYSSPKVQEEVEIEAKVVGEKAKLTSVLIEIRKKENGEVIGLGKQWTASNNYAGRGSELWTALNGNHNASSARSKL >Manes.01G062900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26105821:26106457:1 gene:Manes.01G062900.v8.1 transcript:Manes.01G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGVAVHDECKLKFLDLKAKRSYRFIVFKIEEGFQNVTVDQVGQPQQNYDDFVACLPADECRYAVYDFDFTTNENVQKSKIFFIAWSPDRSKVRSKMLYASSKDRFRRQLDGVQVELQATDPSEMSLDIVKARAI >Manes.03G077800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21140167:21141597:-1 gene:Manes.03G077800.v8.1 transcript:Manes.03G077800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRKLSLVPIPWRNHHHFHKGNNSSKTKETHFFFSSTNTHLPFPNATTKELSPITCPGNLSLYVYQLPPEFNVGLLRNCSHLNIYTNMCPHVSNNGLGQPISTTGTWFATHQFLAEMIFHARIENHPCRTWDPNLANLFYVPFYGGLHSSSKFREANHTARDELAVRLVEYIKIQPWWQRHHGYDHFLALGRTAWDFMRITNGGPDFGANCLLNLPPVKNMSVLTVERHPWQGVNQYGVPYPSYFHPSNVVQMRTWQQKMIRSERKHLFSFVGGPRKGVEKAAVRDELIRQCKESTRCFLVKCGGGGGKCHEPFKVLKVLSRSQFCLQAPGDSFTRRSTFDSVLAGCIPVFFSPHTAYTQYSWYFPANGSDYSVYIDLDGEKGNVKKSIEEELSKISSDRVEKMRRKIMEIMPSITYAHPNSSDVGFGDAVDVALEALLKNAGSKLGFL >Manes.15G001100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:399251:401786:-1 gene:Manes.15G001100.v8.1 transcript:Manes.15G001100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYHTPLKEDLSNRKSKEARLKKPQKITKKSLNGVFASVSEDVLLENVNESSDFSAISEISDASSTSQMTQSSVPAFNPILSASLEALPLSDLTPTSKIFTSSDEPGDVSMELYRFHKPKDSVEEDIVANLLKQARMRGLNSANADQQSKRLLDALVKVVVDECYTLHEQTDWYFELVSTRGYVVFLCFLLWSFVVSVVFFFGLGVDSYSGPSPT >Manes.15G001100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:394616:401780:-1 gene:Manes.15G001100.v8.1 transcript:Manes.15G001100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYHTPLKEDLSNRKSKEARLKKPQKITKKSLNGVFASVSEDVLLENVNESSDFSAISEISDASSTSQMTQSSVPAFNPILSASLEALPLSDLTPTSKIFTSSDEPGDVSMELYRFHKPKDSVEEDIVANLLKQARMRGLNSANADQQSKRLLDALVKVVVDECYTLHEQTDWYFELVSTRGYVVFLCFLLWSFVVSVVFFFGLGVDSYSGPSPT >Manes.15G001100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:399328:401780:-1 gene:Manes.15G001100.v8.1 transcript:Manes.15G001100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYHTPLKEDLSNRKSKEARLKKPQKITKKSLNGVFASVSEDVLLENVNESSDFSAISEISDASSTSQMTQSSVPAFNPILSASLEALPLSDLTPTSKIFTSSDEPGDVSMELYRFHKPKDSVEEDIVANLLKQARMRGLNSANADQQSKRLLDALVKVVVDECYTLHEQTDWYFELVSTRGYVVFLCFLLWSFVVSVVFFFGLGVDSYSGPSPT >Manes.03G073200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:11971788:11974215:1 gene:Manes.03G073200.v8.1 transcript:Manes.03G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFVEAPSENPPKNNLTHSPTLHSIHQELQLHQEASLGIMSNNINPIAQIQEADQKPKTTKRYMLLLVINYLCLFVGSVSSSLLSKFYFIHKGSSRWVSTWVQSAGFPLLLFPIYLPYYLFKCTERKPFDKFTQRKLILSIFVGLMLGLNNLLFSWGNSYLPVSTSSLLLSSQLVFNLILSVIIVKQRITFQNLNCVILLTLSSVLLALGSSHDRPQGLTPTKYFIGFFSTIGAGLLFALYLPVMEKIYKNVQCYEMVMEMQLVMEIAATALATVGMAIDGGFRDIKRESKSEFDKGETWYWITVIGNVVTWQMCFMGTAGMVFLTSSLTGGICMTALLGMNVVGGVLVYGDEFGGVKVVSTLLCGWGFCSYVYGMYLKMKDQKRLQERENKNLGMEMVHVVH >Manes.15G108000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8646073:8647492:-1 gene:Manes.15G108000.v8.1 transcript:Manes.15G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKRVCVFCGSNLGNKRVFSDAAVDLGRQLVKRKVDLVYGGGSSGLMGLLAQTVHNGGCHVLGVIPEALNVALGAEILGDHTVGEVLIVSDTNERKAEMAKRSDAFIALPGGYGTLEELLEMITCSQLGIHDKPVGLLNVDGYYDSLLGFFDKGVEDGFIKPYARNIVISAKNVQELMQLMEDYVPPDDEVAPSSHCWIMEKAMQICSCFLC >Manes.11G070800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10186038:10197370:-1 gene:Manes.11G070800.v8.1 transcript:Manes.11G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVQPTDTVMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLADNKVSEDGFLVVMLSKSKTSVGSSSTQPAAAPTITPTSNSTPAIAVQAPVSKSTSASETATATAQTDTYGQAASNLVADNNLDQMIQQIMDIGGGNWDIETVTRALRAAYNNPERAVDYLYSGIPETVEVAVPVAHFPAGQATETGAAGAPPGSGAPNTSPLNMFPQETLSAGGGDGGEGLGSLDFLRSNQQFQTLRSMVQANPQILQPMLQELGKQNPHLLGIIQEHHAEFLQLLSEPLEGSEGEMFEQVDQEMPHAINVTPAEQEAIERLEAMGFDRALVVEAFLACDRNEELAANYLLENAGDFED >Manes.03G031601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2643023:2646249:1 gene:Manes.03G031601.v8.1 transcript:Manes.03G031601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKPIPIIEENYGLAFHQSMQQLVDEMHKETLNLSHFINVFYRLMQSKVDPPIGTIWIYSALSFRRRKTANQDLSDHILIVKELFQLISRCSGPCSASKSIALLAPVVFQVYNLVVELLGKDLGARRVKKAAKEAKSLIGEIIGYVSVCCGKDVSKESDSNLSVSFLDLASLWIDGNDGFKGFLPLTSDEIYKEISVGGSTVAYLAGVVISEVFLLKLCLDLRIGNRGEELEKELRSWIVGSITGLQSFYFFETLMRMLLEPALPVTSLLSSEDESFLRRILYDAAILVEYSFLSPEKAVNITANHVRDLAVKRLIITHEAIELFRKSGDQKRAIAYSSSFSNSGFRTQIIQYITSQVGIGEEASRLKGASPKALIKFLLNLDGQGIRLFDDTISKFHAKLAFDDSKSDYEQLAFKPGGKKADADLFYIDNKGEEENLGEDDKEVNESMSNAFIASAQRMRLTENGGKKRKEERNARKKKKIKLLKHTLSDTSDSDEERSTSASDDDSGSESEVENPTSDEDV >Manes.15G134000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10793957:10797667:1 gene:Manes.15G134000.v8.1 transcript:Manes.15G134000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTDAGETALYIAAENNLEEVFSYLINFYDLETVKIRAKSGIDAFHVAAKKGHLGIVKKLLSIWPELCKFCDSSNTSPLYSAAVQDHLDVVNAILDADASSVRIVRKNGKTALHTAARYGLVRIVKALMDRDPGIVCIKDKKGQTALHMAVKGQSTAAVEDILLADSSILNERDKKGNTAVHIATRKSRPLILSLLLSYTSLDVNAINNQRETAMDLADKLQYGDSALEIKEALTEAGAKHARYVGQVDEAMELKRTVSDIKHEVHSQLIQNEKTNRRVSGIAKELRKLHREAVQNTTNSVTVVAVLFASIAFLAIFNLPGQYIKDGNEAGKANIADNVGFRVFCLLNATSLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACACTCGSFLSIAFVVVGKGSSWMAITITLMGAPILVGTLASMCYFVFRQHFGAFKDSQRRIKRASGSKSFSWSVYSANISDIEDYNSDMEKIYAL >Manes.15G134000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10793957:10797667:1 gene:Manes.15G134000.v8.1 transcript:Manes.15G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPKSLRFITHQSFFLAVRSGDLHSLKEIVEKLTKDEPSDGSSPVSDLMATQTDAGETALYIAAENNLEEVFSYLINFYDLETVKIRAKSGIDAFHVAAKKGHLGIVKKLLSIWPELCKFCDSSNTSPLYSAAVQDHLDVVNAILDADASSVRIVRKNGKTALHTAARYGLVRIVKALMDRDPGIVCIKDKKGQTALHMAVKGQSTAAVEDILLADSSILNERDKKGNTAVHIATRKSRPLILSLLLSYTSLDVNAINNQRETAMDLADKLQYGDSALEIKEALTEAGAKHARYVGQVDEAMELKRTVSDIKHEVHSQLIQNEKTNRRVSGIAKELRKLHREAVQNTTNSVTVVAVLFASIAFLAIFNLPGQYIKDGNEAGKANIADNVGFRVFCLLNATSLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACACTCGSFLSIAFVVVGKGSSWMAITITLMGAPILVGTLASMCYFVFRQHFGAFKDSQRRIKRASGSKSFSWSVYSANISDIEDYNSDMEKIYAL >Manes.13G135500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34321107:34322159:-1 gene:Manes.13G135500.v8.1 transcript:Manes.13G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLLLQPPPSHHHLYLLLLLLPFYICSTEAAGANGSQKFKEAPQFYNSPNCLLLSSNNMCSQEAVHVAMTLDFPYLRGTMAAILSILQHSSCPENLHFHFISSPSSTSLHATITSSFPSLRSQIYTFHTSSISGLISTSIRSALDSPLNYARNYLANLLPPCIKKVIYLDSDVILVDDISVLAATPLGKTAVLAAPEYCNANFTSYFTPTLWSNPSLSLIFEGRNACYFNTGVMVIDLERWRKGDYTKKIVEWMELQKRIRIYVLGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNFQGLCRDLHPGPVSLLHWSGKGKPWARLDANQPCPLDALWAPYDLLQTPFTLES >Manes.13G064036.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8066420:8067378:1 gene:Manes.13G064036.v8.1 transcript:Manes.13G064036.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVLDGATIVNFVEDEEAFTVSVRDRFDHLDTDQDGLLSYAEMLKELQSLRVFETHFGIDVKTDPEELARVYSSLFEQFDHDLSGRVDLEEFKEETKQMMLGMANGLGFLPVQMVLEEDSLLKKAVERKSASAAA >Manes.11G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2202467:2204463:-1 gene:Manes.11G020600.v8.1 transcript:Manes.11G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCAKEGLNRGAWTAMEDKILKDYIQIHGDGKWRSLPKKAGLKRCGKSCRLRWLNYLRPGIKRGNITHDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTIIGKKLQNQECSSSSRHDKQIKFKQSQRRIKPTIQTSISIVNADAKKIFWTKASKCSKVVIPIKTGSQNPVDDNTVVPPPSMPNISGDLHRYWQFTSEDEDNNKNSSSSSDLMLDFEMDEELLSDLLNADFSLLNYNLENGAASETNTVCEHPKLSLNSEKTLLLLDDEIQDSGFPSMDALIEFGEINWIQDLENKGAAEEEKV >Manes.03G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5115751:5118735:1 gene:Manes.03G053100.v8.1 transcript:Manes.03G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFILLSLLFFSASANVHNVVKVTDNPADQLVAVLNSNRTAHKGSSLYDNPGLACIALQYVKAYQGDCDAVGGADAKKPAESEFAETFAPACGVTVSTLTPITGRLLACQSKYVDPAEVFSEVLMKNSKSLDILYDKNHTEVGAAVTGTDGGSPYFWCVLFSNGKRNSSFALEGGVAKVTRPGCFSGANDACSGASDWPTRPLGLWPYATSALFLVMYAFGL >Manes.12G058106.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5616078:5622719:-1 gene:Manes.12G058106.v8.1 transcript:Manes.12G058106.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRSINIVNLDPAAENFDYPVAMDIKELISLDDVMEELGLGPNGALIYCMEELEYNLDGWFAEELENYRDDDYLVFDCPGQIELFSHVPVLRNFVEHLKSKNFNVCAVCLIDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKKDIEDYLNPEPRALLSELNQRMAPQFLKLNKALIELVDEYSMVNFVPLDLRKESSIQYVLGQIDNCIQYGEDADVKVRDFDPPDED >Manes.01G118200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31483934:31485543:1 gene:Manes.01G118200.v8.1 transcript:Manes.01G118200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAIAGLDEKNGSNKTSISKYIESKYRDLPAGHTTLLSHHLNRMKSTGELIFWKNNYMKADPNAPPRRGRGRPPKPKVPLPPGIVVSTARPRGRPPKDPNAPPKPLKPRPTPIGSGKPRGRPRKIARSMEETNGFTTTIVMAMATGRPRGRPPKVRPASMTEVSVQN >Manes.01G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31483934:31485543:1 gene:Manes.01G118200.v8.1 transcript:Manes.01G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGNKLPSLSSYPEMIMAAIAGLDEKNGSNKTSISKYIESKYRDLPAGHTTLLSHHLNRMKSTGELIFWKNNYMKADPNAPPRRGRGRPPKPKVPLPPGIVVSTARPRGRPPKDPNAPPKPLKPRPTPIGSGKPRGRPRKIARSMEETNGFTTTIVMAMATGRPRGRPPKVRPASMTEVSVQN >Manes.15G161800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13432092:13435676:-1 gene:Manes.15G161800.v8.1 transcript:Manes.15G161800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVDMDAKEELGFPYWTPVRRRFGPDSPFFAYGNIQRELFAKQVALDLTEDEKNQLQNLADEDGRDIFCPIVGCGSRLTSLEDFEDHYNARHTASCSVCSRVYPTARLLSIHVSEAHDSFFQAKVARGYAMYECLVEGCGLKFKNYKSRQQHLVDKHKFPSAFEFFKKAHPSKKARQKQRNQGIQKRGETSNKMEVEGETIDGLVSAVSRLSTSDSSPSSISFGRHHTRGFMFLPRTVQRGNSTQSGTKN >Manes.02G109300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8491730:8494015:-1 gene:Manes.02G109300.v8.1 transcript:Manes.02G109300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHYLVAVPVDTSIHLKTPIACTFSSPSAAAAAATPLSLPFSSLPVGSNTGKLSVIPRFPKIGHRAKAKAQESEVSLAADAFTHFKHLLLPITDRNPYLSEGTRQAAATTAALAKKYGADITVVVIDEKQKDSLPEHETQMSSIRWHLSEGGFQEFKLLERLGEGNKPTAIIGEVADDLNLDLVVISMEAIHSKHVDANLLAEFIPCPVLLLPL >Manes.01G059500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25056016:25064609:1 gene:Manes.01G059500.v8.1 transcript:Manes.01G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKLYLVISVAIVISLVSYPSSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWINGIEDAEFVGVGARFGTTIVSKEKNANQTRLTLSDPRDCCTPPKKKLDRDIIMVDRGKCKFTTKANNAEAAGASAVLIINNQKELYKMVCEPDETDLDIKIPAVMLPQDAGASLEKMLLNSSSVSVQLYSPKRPLVDIAEVFLWLMAVVTILCASYWSAWSAREAAIEHDKLLKDAVDEIPNDKVVGVSSIVDINTTSAVLFVVVASCFLVMLYKLMSYWFVELLVVLFCIGGVEGLQTCLVALLSRWFKHAGESYIKIPFFGPVSQLTLAVSPFCITFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLVIAFSLRYDWLASKSLRAGYFLWAMMAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRGDLNILWTKGEPERACPHVHLEHSHELNMEK >Manes.09G086700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26191507:26192796:1 gene:Manes.09G086700.v8.1 transcript:Manes.09G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISSGCVACDGVLVAETQTNCSKVDGDYKSDAEGFQELEPNGHESVNKSLEKAATLPSEDDEPEAALQLLFSGKSDQPALQLVSAMKGSREKLGASPRKLAVSWAPDVYDPIPNSLSHTVKSRQKKSRKDKNSNKSKKNGKKGQKGNSKGGGGGKDKKQFRKTGGRSDKFYEPLDACDDPELGEFAVGSPDYCGSSFLKKSPTMFHYPVAEAL >Manes.07G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32898845:32902855:-1 gene:Manes.07G126100.v8.1 transcript:Manes.07G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISARPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGAVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLLNDYVPNPVLVIIDVQPVELGIPTKAYCAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTSISTLATEVSGKLTALKGLDARLREIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDMMLVVYLSSLIRSVIALHNLINNKILNKEHEKAEDSKSVAVPAAAGS >Manes.06G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16025672:16030170:-1 gene:Manes.06G048700.v8.1 transcript:Manes.06G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSITATKLQKKHKWFLLLVFSLLFSTLLILITITSSSTSTQLLFLRQRGNADHFRPQYPHFVEFKLPKPVVSTRKIPRLAYLISGSAGDGVSIKRTLKALYHPRNQYAVHLDLEAAADERLDLARWVRSEKLFNEVGNVRVVVRSNLVTYRGPTMVSNTLHAAAILMREGGDWDWFINLSASDYPLLTQDDLLHTLSTIPRNLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLRKSDVYWVSEKRSVPTAFKLFTGSAWMMLSRPFVEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNADEFKNTTVNHDLHFISWDNPPRQHPHFLTVDDYQRMVDSNAPFARKFGKNDPILDKIDSNILGRSADGFVPGGWFRNEGDVNMTIPDPVRINTTELDPGPGAQRLKRLINNLLSAEDFHSKHCI >Manes.11G122880.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28473915:28477790:-1 gene:Manes.11G122880.v8.1 transcript:Manes.11G122880.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVNKEEEEEETIVFSELAQALRKPIVRKIEEEEEALKKPAQMARKIVLSVLGKISNLLIQESDSLLGVEDQIQCIETQLRKKADISDNFFGKTAFIETVYDLEDVIDQLIIKSAQRRIRYACIRSVMAFVHLPMSLFYILALVDLLDCYRLREKLEQIKITISKPRFIFHSGYWHKSFGIYEVGIGFSVISPVMGLFEALATQQELRPDVRRQARRLRDEFRYLQDFLKDVEQSKELSEAGMAWMEELCDVCRSAENVVGFFMHQMKNGRRGPFQNLVWAPRHFISKHKLFQQMARINDKIRDLSGRGHDAIAVSRSDNFKSLCQKGKPHPLDADQLDIVSFHEDVDAVMAQLLKDDPRCINISIVGVRGVGKTSLAKLIYESQTIVDHFPHRIWVSGATGVDIIHRILGIEQSIFYGYPWPEKYVCELRQAVNDFFLDEKHLIVVDDLCLKETCNPIEFLRNMGRVFNDISNGTRLLFTVSNLRKAPPVTETSLTYRLHLRSHDESWALFKHALKVNIFPEMENLKGHIIRKCGGLPWVIVKLSELLSQKDATLKEWSKVLDHLYQDQEPWLKILDEINKHLPLYLRRCLFYFGLFPAGFKIPARRLIALWVAEGLGRQQSNEQSPEYVAEACLIELMNYNMVQVTEKKLNGKVKTCCLPEALLVHWFSKAKEANFLQGHSDVSNSNIGVIRRLADHLQQSDAIFDDIHGYSNASLYSRYRDVVSFLSFDTREGSRPGEDIGNFLDRSISSKCFRFLWVLDLENVYKPKLPKAVGQLTCLRYFGLRSTYLEMLTVSINKLLNLQTLDLKRTFIDTLPSSIWKMQKLRHLFLDESFHNALRRQEDSSLVDLQTLWGAFVDEDSPVRNGLDTSLNITKLGMKCKISVPSQNAAMSLQLDNVANWVVKLKQLQCLRLKSFDESGQPWDLQLQSLIEHVKLSNIYLVGKLKNQHLVSELPKSLIELTLSASGLVEDPMQALYKLPNLKIIRLLSKSFIGKKMLCSFGGFPKLEILKLWELELLEEWNVEEGALPSLKDLEIRSCRNLKMLPHGLQHVGTLRELKLTKLPMVSSRIKDNLGGECDKIAHIRHVWKED >Manes.08G150475.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39226293:39237582:1 gene:Manes.08G150475.v8.1 transcript:Manes.08G150475.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLHQPFQFDIKEERLLAKSESSDLKEKFWEESKQLWKIAFPAIVSRVALFGIYVVTQAFIGHIGKLELAAYAIVQIMAIRFAHGILLGMTSAIDTLCGQAFGAKQYHMLGIYLQRSLIINLGTATVLLPAFIFSEFIFKLLVEQEDIAKLGGYISLWFIPILYFLALHITIQKYLQAQLKNSIVGWVSALSFLLHLVLSWIFVSKLSLGIPGAMIAMIASTWLILVGEFVYVIGGWCPDTWKGFTLAAFSNLFPVLKLSLSSGLMLCLELWYNAPAVLVLLAGYMKNATTEIAAFSICLNVTAWDFMICVGFWAAISVRVANELGRGNARAANLSVKVAVSTSVSIGVFFWILCLAFGRQIAYLFTNEKEVADAVSSLSFLLAFSVLLNSFQAVFS >Manes.03G196700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31441016:31441378:-1 gene:Manes.03G196700.v8.1 transcript:Manes.03G196700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDRAHGGTPHGIIIAVVVCTVVLAPFLLGDQGEAITEAITELLSPVGLLLLPIILLLTIQFLSSDRGSFVSAIFSTGEPDTIHRVSGSPFGVALFLVLILFLLYNRMSIFGGDDDSGA >Manes.15G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9827658:9837565:1 gene:Manes.15G123500.v8.1 transcript:Manes.15G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGKRSRPQRDHDGDGKTQKRRVNDKDERANDELVVYRILCPDEVIGSVIGKNGKVINSIRQETRAKVKVVDPFPGAKDRVITIYCYVKKKEDVEVDDEFNDHEPLCAAQDALIRVHASISNAVASVVDSDKKWKDKVECHILVPSSQSANIIGKAGMTIKKLRSKTRTNIKVTAKDASDPTHSCAMDFDNFILISGESEAVKKALFAVSAIMYKFNPKEEIPLETTVPEAPPSIIIPSDVSIYPPGGLYPNADPIVPSRSVPPILGSAHVPELQGYGDTGSSWPVYSSTLPVVPSFGSASHSEELIMRVLCPFDKIGRVIGKGGGTIKSIRQTSGARVEVDDTKADRDECIITVIATESPDDLKSMAVEAVLLLQGKINDEDDDTVSIRFLVPSKVIGCIIGKSGSIVNEIRKRTKADVRISKGQKPKCADSNDELVEVLGEVGSVRDALVQIVLRLRDDVLKEKDGSHNTSVGADSLYSGGAAIPVPSLLPSVPPVAPLTYDQRAETGSGLGLLSSNSLYGYGSLSMGENGYGSMSSYSSSKLYGGFPPPSTLEMLVPANAVGKVMGKGGANIANIRKISGAMIEISDAKSARGDRIAHISGTPEQKRAAENLIQAFIMAT >Manes.02G201400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19216217:19218959:1 gene:Manes.02G201400.v8.1 transcript:Manes.02G201400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDSKISTATSFRRPSSRLLYDRRYGWVFDEWKDPSEEALAGGRGMFCILPLTKAFLNTAKSSINLAADFALKVLEKPDLLSPQALQANLDKQLKQVISSIKTPEINIFALNVKKSSETSNFSSHLQIGNPESDMTRST >Manes.13G007800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177908:1186737:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKVEALGSDEEKVSLGLNGEIKVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNQVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.13G007800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177922:1186313:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKDNPSLQTCNDSVVVFICFLIVPVEALGSDEEKVSLGLNVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNQVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.13G007800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177922:1186313:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKDNPSLQTCNDSVVVFICFLIVPVEALGSDEEKVSLGLNVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNHAPYGRVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.13G007800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177908:1186737:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKVEALGSDEEKVSLGLNVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNHAPYGRVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.13G007800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177904:1186313:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKVEALGSDEEKVSLGLNGEIKVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNHAPYGRVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.13G007800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177908:1186737:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKVEALGSDEEKVSLGLNGEIKVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNHAPYGRVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.13G007800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177922:1186313:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKDNPSLQTCNDSVVVFICFLIVPVEALGSDEEKVSLGLNGEIKVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNHAPYGRVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.13G007800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177922:1186738:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKVEALGSDEEKVSLGLNVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNQGKSSEPNY >Manes.13G007800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177922:1186738:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKVEALGSDEEKVSLGLNGEIKVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNQGKSSEPNY >Manes.13G007800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177922:1186313:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKDNPSLQTCNDSVVVFICFLIVPVEALGSDEEKVSLGLNGEIKVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNQVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.13G007800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1177908:1186737:1 gene:Manes.13G007800.v8.1 transcript:Manes.13G007800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKLDLPEDLLSSKPSDHSWTTPKVEALGSDEEKVSLGLNVITDQLASESSIPLSPQWLYSKPNENKMDMRALTSVSLGNSIDPSLKDGWRLEGTEDKKDWRKTVTENESNRRWREEERETGLLGPRRDRRKIDRRVDSVSIRETVENRVFPSPDRWHEANNRNPVHEARRDSKWSSRWGPEDKEKEPRTEKRMDVDKEKDDAHNDNQSSIISNRSVSERDSDSRDKWRPRHRMEVHSTGSTTYRAAPGFGLERGRGESSNLGFALGRGRSNAIGRSSSAGTSTGPHAYNSGSVIGKPNVISETFCYPRGKLLDIYRRQKLDPSFAAMPDEMEECLPITQVDVIEPLAFVSPDAEEEVTLTDIWKGTITSSGVAYNSFRKGRSTENVSGAGDYECNEGKLGVLSSVPSDDSADTFQDASNNGTCQTDGGHSSWIHDSHLNVLNEKEVDHQGNKVTADGVTTTVLECNVAGTTKVSINTSSAASPIDIRDNGQGVRSALTNFPADEVDSASPFDVKSKLPDDSNSLFVLPSPDQDRSSAMLHLANKSDAKDLERSTQLEDFYFYYIDPHGNTQGPFLGADIVLWFEEGYFGTDLPVRLADAPEGTSFQSLGEVMPHLKLRGVYPSSEKEQSGALGGNLEPGLPVAAPENTDVINELCQPLSDLNSLSAQHVQSRMSEPENPLHLPHSESQSFHDFVAHDEEIVFPGRPGSSGYPTMQSSGNVHDPLAKSNGYPSLQNELSKPGLPYRSDNKLHPFGLFWSELEGSQNRQIEPDIPSSVGRPAPLGAMTDSAAVAEKWSDFYRQDILSVPNSFQDATAARHLSHVEQEPNRFDLAEQLMSQQFQQQQQQQQQRNILSHSHLNESLLEHVPGQNLMRHQQMVNRPVPDLEHLLALQLQQQRQLHLQEQQQQFHQQQKLLQERQQSQARQVLLEQLLHGQMADSGLVQSHVDPIRANNVLDQVLLEQQLLHELQQRSHHPQRHFVPSVEQLTQAKFGQTPQQDQQRDLFELLSRAQHGQMQSLEHQILQEQLQARQLPMGLRQRMNMEESHIGSMWQQVNENDQFFRSSVNHRAHSSGISPLDFYQRQQRPPHEDQLSHLERNLSFQDRLQQGLFEPGSMPFERSLSLPAGVSGMNMDVVNAMVHAHGLDMQELSTRMQSAGQVGTLSSGGHLHNSHHPVVPNQFHVSHLDAIDGRWPEGNGPVANDWMESRIQQLHINSEWQKREPDIKMTAKDSSLWMSDELNDDKSRQLLMELLHQKSGHPPSDTLHMSDGLSFEKRSMSGLYSGSSSSGHPLSVISDREASLNNSLAIGSYGSNSCELAEVSSAGEQASSMGSTERVLFRSESGAKCEGILSLLGVSETQAVLGDSNFVDKSAINREYLEVEGRKYGSKIQGTAKSSVADVHNGTADQTRLAAMDHGEVPVHALSRHSSLGVSGFYDDKIGQQNSYAEDVSLNQVTVLSKGQENILLRRSPVSRASSSQGLSDLVSDTVVRGKSSSSIEGGNPVSQTTDNTASGKKDVHSRRTSSCGDADVSEPLFIDMLKSNAKKTTASEVHMTAAVSESSDSTQGARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.05G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21759407:21764457:-1 gene:Manes.05G136700.v8.1 transcript:Manes.05G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSSFKVRTMEKQQSFKQKVMEKHPSFHGGTENHSTSRGVMEKHPSFRGALEKQKSFRGFVEKQKNFRAVMERQLSFIGGGERKKSKESPGKRGDSQIHLDARAGNLSRVREILQNCDGNDAKFLLALQNHEGETPLYAAAENGHAAVVAEMLGYMDLEIASVAARNGFDSFHIAAKQGHLEVLKELLRGFPNLAMTTDLTCTTALHTAATQGHVDVVNLLLETDSNLVKIARNNGKTALHSAARMGHVEVLRSLLGKDPSTGLRTDKKGQTALHMAVKGQNEEIVRELLKPDPSVLILEDNKGNTVLHIAAKKGRTQNVRCLLSVEGINVNVTNKAGETALDIAEKLHTPELVSILKAAGAVNSKDLGKPPNPAKQLKQTVSDIKHDVQTQLQQTRQTGVRVQKIAKRLKKLHISGLNNAINSSTVVAVLIATVAFAAIFTVPGQYVEDKEVGTSLGQAHIAKNPAFLVFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISIAFVSLTYVVVGQKSRWLAIYATVIGGSIMLTTIGSMCYCVILHRMEESRLRSIRRESRSRSYSMSMVSDQEILDSEYKRMYAL >Manes.02G071700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5486111:5488543:-1 gene:Manes.02G071700.v8.1 transcript:Manes.02G071700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSSTTKLRLMCSYGGHIITRPNTKSIYYAGGDTRIITIPTTSANNLTLSFLTSHLATVLHISYPFTLKYQLPDHDLDSLISLSTDEDLLIMLDEHQRLSPTPSRIRVFLFPVKPIFTQPELTQLNTGSNMGELSGNELRHPKTESWFADVLKSAKIMQKGGVGFGGEGQCDGNNVACSGAEVSGGLCGAESMVLETNSSFGSTSSSVSSSNLPAKVQVEDNLAGSLDNKVKLSTSELFASGNSVATAVSHPQTGTYQDSVASVPAMENTNSSPPLESEGKILDPRTGVETQNMVHVSGFPLSLQFDKPQVQFVHAAAPHHLPQNQSGMVPVTPYCLMNSPVPQQQMYYQTNQPHPIYVVPVGYPYRFPMQSSLVNPANVCSTHPPPHPNPSLNPAQMAYKVAAASPAPELGSQVYRMIPTASPLVNAPHNENHQQAEGLPQMNLQAQSMGTANYTNELDDDPARSQIYKSQPPPPTLPSRYRTMTKATPILLSEALAQLHTENIKQQP >Manes.02G071700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5484014:5488546:-1 gene:Manes.02G071700.v8.1 transcript:Manes.02G071700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSSTTKLRLMCSYGGHIITRPNTKSIYYAGGDTRIITIPTTSANNLTLSFLTSHLATVLHISYPFTLKYQLPDHDLDSLISLSTDEDLLIMLDEHQRLSPTPSRIRVFLFPVKPIFTQPELTQLNTGSNMGELSGNELRHPKTESWFADVLKSAKIMQKGGVGFGGEGQCDGNNVACSGAEVSGGLCGAESMVLETNSSFGSTSSSVSSSNLPAKVQVEDNLAGSLDNKVKLSTSELFASGNSVATAVSHPQTGTYQDSVASVPAMENTNSSPPLESEGKILDPRTGVETQNMVHVSGFPLSLQFDKPQVQFVHAAAPHHLPQNQSGMVPVTPYCLMNSPVPQQQMYYQTNQPHPIYVVPVGYPYRFPMQSSLVNPANVCSTHPPPHPNPSLNPAQMAYKVAAASPAPELGSQVYRMIPTASPLVNAPHNENHQQAEGLPQMNLQAQSMGTANYTNELDDDPARSQIYKSQPPPPTLPSRYRTMTKATPILLSEALAQLHTENIKQQP >Manes.02G071700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5484334:5488496:-1 gene:Manes.02G071700.v8.1 transcript:Manes.02G071700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPSSTTKLRLMCSYGGHIITRPNTKSIYYAGGDTRIITIPTTSANNLTLSFLTSHLATVLHISYPFTLKYQLPDHDLDSLISLSTDEDLLIMLDEHQRLSPTPSRIRVFLFPVKPIFTQPELTQLNTGSNMGELSGNELRHPKTESWFADVLKSAKIMQKGGVGFGGEGQCDGNNVACSGAEVSGGLCGAESMVLETNSSFGSTSSSVSSSNLPAKVQVEDNLAGSLDNKVKLSTSELFASGNSVATAVSHPQTGTYQDSVASVPAMENTNSSPPLESEGKILDPRTGVETQNMVHVSGFPLSLQFDKPQVQFVHAAAPHHLPQNQSGMVPVTPYCLMNSPVPQQQMYYQTNQPHPIYVVPVGYPYRFPMQSSLVNPANVCSTHPPPHPNPSLNPAQMAYKVAAASPAPELGSQVYRMIPTASPLVNAPHNENHQQAEGLPQMNLQAQSMGTANYTNELDDDPARSQIYKSQPPPPTLPSRYRTMTKATPILLSEALAQLHTENIKQQPSESNILGR >Manes.18G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9775716:9784081:-1 gene:Manes.18G102400.v8.1 transcript:Manes.18G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYLNAAIKLEPFRLLLSPIFLSSSSTGSPTFPLRNFQFPTETRAPRHVILRRCCCRAMGTSSPEAAVRVQVRDKIELTEIEKKIFDRLLNTLRQFNLQTELRVAGGWVRDKLLGKECYDIDIAIDNMLGSEFVDKVREYLLSTGEEVQGLGIIPRNPEQSKHLETARMRLFDLWIDFVNLRSEDYSEGSRIPTMKFGTAKEDALRRDLTINSLFYNINTCLVEDLTGRGIEDLKTGKIVTPLPAKATFLDDPLRVLRAIRFGARFEFILDEELKAAAACDDVKSALAAKISKERIGTEIDLMISGKHPVKAVTYIADLTLFWVVFGLPPKVEPAMSEGCHKLCIAYLDAAWNLIQLIGHSFFTVEQRRLSLYAALFLPFRNTTYKDEKGKKIPVVNFIFKDSLKQKSSDSETVMKIHRSLEKFLNLVDLLSSNEDTRPAEVDWGLELIDVPFSSKLRVLAGFLLRDIKDFWRVALLISTLIYPINVDSSKDFLEKQYQLDKRIELFKTVEDAITKLGLEKIWDAKPLVGGKEIMSVLQLKSGGPLVREWQQKLLAWQLAHPTGTAEECLDWMKETHAKRVRTV >Manes.18G064300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5826852:5830767:1 gene:Manes.18G064300.v8.1 transcript:Manes.18G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFLSTFPSLLHLSSLSNPNAGTHRYPSSRAGPIRCNKIETDVTGGENVFRRRDVLKCFGAAISLELITSSGSFTEMANAADLIQRRQRSEFQSSVKQTIFTAIKGKPEVIPSILTLALNDAMTFDKATKSGGPNGSVRFSSEISRPENKGLSAALDLLEEAKKEIDSYSKGGPISYADLIQFAAQSALKSTFLASAIRKCGGNEEKGTLLYTAYGSNGQWGLFDKQFGRTDTQEPDPEGRIPQWEKATVQEMKDKFKAVGFGPRQLAVMSAFLGPDQAATEALLATDPEVLPWVQKYQRSRETVSETDYEVDLITTLTKLSCLGQQINYEAYTYPVQKIDVTKLKL >Manes.15G132650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10700941:10703938:-1 gene:Manes.15G132650.v8.1 transcript:Manes.15G132650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDFLVVELIDKAMLLNCQETILHLTTTQITDDPFRKPILVGKLINQRCFNAQIIKAAVIKLWHPQHDLIVTASKQNTFIFQFNDSEDLHRAWKNRPWLVQNHHLYLQQWPSDITFDDIIFTSSLF >Manes.15G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11394629:11395771:-1 gene:Manes.15G140300.v8.1 transcript:Manes.15G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCKMRACIAALLVILIASNGFLGGVDAAGECGKTPIGSAAASLSPCLTAAGNVRASVPPACCAKVGALINTAPKCLCAVLLSPLAKQAGIKPGIAITIPKRCNIKNRPAGKKCGSYTVP >Manes.11G048100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5160419:5172760:1 gene:Manes.11G048100.v8.1 transcript:Manes.11G048100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMRSSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKVKIFSKNFQEKRSVRPAFSAERIYGGTLLAMCANDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDNGRPIDEEGVEDAFEPLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLDRANEILPSIPKEHHNSVARFLESRGMIENALEVATDPDYKFELAIQLGRLEVAKEIATEVQSESKWKQLGELALSAGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLASLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVIETRGVYPPAYEYLNHADKSQMTLVEAFRNMQIEEPLENGDYDHEAAEQNGDEHITEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWGTNNEGTPSA >Manes.11G048100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5160374:5172760:1 gene:Manes.11G048100.v8.1 transcript:Manes.11G048100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMRSSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKVKIFSKNFQEKRSVRPAFSAERIYGGTLLAMCANDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDNGRPIDEEGVEDAFEPLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLDRANEILPSIPKEHHNSVARFLESRGMIENALEVATDPDYKFELAIQLGRLEVAKEIATEVQSESKWKQLGELALSAGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLASLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVIETRGVYPPAYEYLNHADKSQMTLVEAFRNMQIEEPLENGDYDHEAAEQNGDEHITEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWGTNNEGTPSA >Manes.11G048100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5160419:5171893:1 gene:Manes.11G048100.v8.1 transcript:Manes.11G048100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMRSSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKVKIFSKNFQEKRSVRPAFSAERIYGGTLLAMCANDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDNGRPIDEEGVEDAFEPLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLDRANEILPSIPKEHHNSVARFLESRGMIENALEVATDPDYKFELAIQLGRLEVAKEIATEVQSESKWKQLGELALSAGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLASLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVIETRGVYPPAYEYLNHADKSQMTLVEAFRNMQIEEPLENGDYDHEAAEQNGDEHITEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWGTNNEGTPSA >Manes.11G048100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5160374:5172760:1 gene:Manes.11G048100.v8.1 transcript:Manes.11G048100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMRSSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKVKIFSKNFQEKRSVRPAFSAERIYGGTLLAMCANDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDNGRPIDEEGVEDAFEPLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLDRANEILPSIPKEHHNSVARFLESRGMIENALEVATDPDYKFELAIQLGRLEVAKEIATEVQSESKWKQLGELALSAGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLASLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVIETRGVYPPAYEYLNHADKSQMTLVEAFRNMQIEEPLENGDYDHEAAEQNGDEHITEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWVLTPHH >Manes.11G048100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5160374:5172760:1 gene:Manes.11G048100.v8.1 transcript:Manes.11G048100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMRSSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKVKIFSKNFQEKRSVRPAFSAERIYGGTLLAMCANDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDNGRPIDEEGVEDAFEPLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLDRANEILPSIPKEHHNSVARFLESRGMIENALEVATDPDYKFELAIQLGRLEVAKEIATEVQSESKWKQLGELALSAGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLASLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVIETRGVYPPAYEYLNHADKSQMTLVEAFRNMQIEEPLENGDYDHEAAEQNGDEHITEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWGTNNEGTPSA >Manes.11G048100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5160419:5172107:1 gene:Manes.11G048100.v8.1 transcript:Manes.11G048100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMRSSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKVKIFSKNFQEKRSVRPAFSAERIYGGTLLAMCANDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDNGRPIDEEGVEDAFEPLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLDRANEILPSIPKEHHNSVARFLESRGMIENALEVATDPDYKFELAIQLGRLEVAKEIATEVQSESKWKQLGELALSAGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLASLAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVIETRGVYPPAYEYLNHADKSQMTLVEAFRNMQIEEPLENGDYDHEAAEQNGDEHITEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWGTNNEGTPSA >Manes.07G029300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3188423:3188878:1 gene:Manes.07G029300.v8.1 transcript:Manes.07G029300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVGKATKIFIFIVTVLVVLGLTLGFGFLRHKSHKCSGDSCSSSPPITFPNPNTPVNPSPPNPDPVYTGTQPTPPSSGSNQPSPPQFSPPTSNPTPPPPPDTDLNAPPPPAPLLLSSPPPPIGPYITAAPPPSYSPPSNVALVTQGPVHA >Manes.12G000800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:140181:167945:-1 gene:Manes.12G000800.v8.1 transcript:Manes.12G000800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSEFSLNGRKDRDEDLLLFKELHKREKDRLVSLLQPVSDEFEPSSGNCVLYKIASSKKGSGYELFGEKGKNDYDWLKTPPATPLFPSLEMEANAPQLVVQREISIVQPLSRFADNTKSLKGSIETPKSPNSKPNIPLRSVTPASRRSSISSTETRNVKVTSLLLNQKKAQSTADRNKRTNMATNTPKSTNQKETQTNLLAKNITLSATGPDSNKTKPFSRGRVSPLRSIVPNQIPGFSNDTPPNLRTDRATSATRGRLVVSNLTLTLSVHQKPEPTLRSRRQSCSPSVMRGRKESEGKFITQKGKTETGNNNGTQIVGSRIVEKVMNIRKLIVEEREAKAPKPQTAPAAITSNGSSSGFGRIISKTSGEMAHKHMVRTRGPQQ >Manes.12G000800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:155721:167945:-1 gene:Manes.12G000800.v8.1 transcript:Manes.12G000800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSEFSLNGRKDRDEDLLLFKELHKREKDRLVSLLQPVSDEFEPSSGNCVLYKIASSKKGSGYELFGEKGKNDYDWLKTPPATPLFPSLEMEANAPQLVVQREISIVQPLSRFADNTKSLKGSIETPKSPNSKPNIPLRSVTPASRRSSISSTETRNVKVTSLLLNQKKAQSTADRNKRTNMATNTPKSTNQKETQTNLLAKNITLSATGPDSNKTKPFSRGRVSPLRSIVPNQIPGFSNDTPPNLRTDRATSATRGRLVVSNLTLTLSVHQKPEPTLRSRRQSCSPSVMRGRKESEGKFITQKGKTETGNNNGTQIVGSRIVEKVMNIRKLIVEEREAKAPKPQTAPAAITSNGSSSGFGRIISKTSGEMAHKHMVRTRGPQQ >Manes.12G000800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:157494:167945:-1 gene:Manes.12G000800.v8.1 transcript:Manes.12G000800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSEFSLNGRKDRDEDLLLFKELHKREKDRLVSLLQPVSDEFEPSSGNCVLYKIASSKKGSGYELFGEKGKNDYDWLKTPPATPLFPSLEMEANAPQLVVQREISIVQPLSRFADNTKSLKGSIETPKSPNSKPNIPLRSVTPASRRSSISSTETRNVKVTSLLLNQKKAQSTADRNKRTNMATNTPKSTNQKETQTNLLAKNITLSATGPDSNKTKPFSRGRVSPLRSIVPNQIPGFSNDTPPNLRTDRATSATRGRLVVSNLTLTLSVHQKPEPTLRSRRQSCSPSVMRGRKESEGKFITQKGKTETGNNNGTQIVGSRIVEKVMNIRKLIVEEREAKAPKPQTAPAAITSNGSSSGFGRIISKTSGEMAHKHMVRTRGPQQ >Manes.12G000800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:139577:167945:-1 gene:Manes.12G000800.v8.1 transcript:Manes.12G000800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSEFSLNGRKDRDEDLLLFKELHKREKDRLVSLLQPVSDEFEPSSGNCVLYKIASSKKGSGYELFGEKGKNDYDWLKTPPATPLFPSLEMEANAPQLVVQREISIVQPLSRFADNTKSLKGSIETPKSPNSKPNIPLRSVTPASRRSSISSTETRNVKVTSLLLNQKKAQSTADRNKRTNMATNTPKSTNQKETQTNLLAKNITLSATGPDSNKTKPFSRGRVSPLRSIVPNQIPGFSNDTPPNLRTDRATSATRGRLVVSNLTLTLSVHQKPEPTLRSRRQSCSPSVMRGRKESEGKFITQKGKTETGNNNGTQIVGSRIVEKVMNIRKLIVEEREAKAPKPQTAPAAITSNGSSSGFGRIISKTSGEMAHKHMVRTRGPQQ >Manes.12G000800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:165566:167945:-1 gene:Manes.12G000800.v8.1 transcript:Manes.12G000800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSEFSLNGRKDRDEDLLLFKELHKREKDRLVSLLQPVSDEFEPSSGNCVLYKIASSKKGSGYELFGEKGKNDYDWLKTPPATPLFPSLEMEANAPQLVVQREISIVQPLSRFADNTKSLKGSIETPKSPNSKPNIPLRSVTPASRRSSISSTETRNVKVTSLLLNQKKAQSTADRNKRTNMATNTPKSTNQKETQTNLLAKNITLSATGPDSNKTKPFSRGRVSPLRSIVPNQIPGFSNDTPPNLRTDRATSATRGRLVVSNLTLTLSVHQKPEPTLRSRRQSCSPSVMRGRKESEGKFITQKGKTETGNNNGTQIVGSRIVEKVMNIRKLIVEEREAKAPKPQTAPAAITSNGSSSGFGRIISKTSGEMAHKHMV >Manes.11G017551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1866044:1880346:1 gene:Manes.11G017551.v8.1 transcript:Manes.11G017551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGLPMLCEGGVADNTTVEEVKFADSEGVKVADKPIGGDVEEGCDGEDFEEGSDGGDVEEGSDGEEGFDGEEDSSDSENTTKRILLRNKDEPYGYDDPDYEGNQRDVYINYRRQYKESDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDDEFTIGCKEALAYAIEEQNKKGANLRLLDIIKANAQSVALYHITFRAEDVSLGEVKVYQTKVFHSLVPGHKETVVRIFRLKEPTNKGN >Manes.01G224400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39051944:39053539:-1 gene:Manes.01G224400.v8.1 transcript:Manes.01G224400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMDLKTNGQESDDMQNTPPSRSSELKKSCIDCHTTRTPCWRSGPAGPKTLCNACGIRYRKKKRNLLGLDKGRAEKSRRKIAKSSRSELGVPLKLGLMVAGREALLQEEWKRKLGEEEQAAILLMALSCGFVSA >Manes.05G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:164439:165849:-1 gene:Manes.05G001200.v8.1 transcript:Manes.05G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRLAATATRFYRALYLAHNQARQRGFAAASSGRSADPAVYSEDRDHELKPAVPSGKHEETRNLYEPDSTKRETETEAQYKPTKETQPSAQPRPLHVSSPRHESNGVNRPVEPQIQQKRQNSPVILEEISCAGLDGTPWPMEKGTQVQGNDNDYYRHHKASPLSEIKFADTRKPITRATDGTAYDSGMGRDVIGWRPEQLYTAEETLEMAQRTWRENAMRGDPDSPHGRVLRVLRGEWF >Manes.02G025701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2215588:2218944:1 gene:Manes.02G025701.v8.1 transcript:Manes.02G025701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSANTSSHSYDIPWVEKYRPHKVADIVGNEDAISRLQVIARDGNMPNLILAGPPGTGKTTSILALAHELLGANCKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLSPGQHKIVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLLVVVEAEKVPYVPEGLEAIIFTADGDMRQALNNLQATYSGFRFVNQENVFKVCDQPHPLHVKNMVRHVLEGKFDDACTGLKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLSLVRETAKAA >Manes.09G136900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33714201:33717741:1 gene:Manes.09G136900.v8.1 transcript:Manes.09G136900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEDSKVNSPQPSPQFLEVNCKSLGKISRFAAGTKAGFAVSLINRKLETGAPLVSHIEAVKDEEEPISFGPDAVLVDYSNGWKLQTVTELDFGGVRKAESIPMIPRQAPNVQSSDGQRPTKLVPKSGVSSLYIGKILLAFIFIFVLGAIFTLALENLPSLILLINSRIQH >Manes.05G104400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9850826:9851830:-1 gene:Manes.05G104400.v8.1 transcript:Manes.05G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSLTNIPTLLRAHSTTKLHNHSVLPLIKASISAQEHATSNRRRIILTTILATSVAPPALAENWGVRSFLWERFFEPDLSPEDSVARIRQTAEGLHSIRNMLETMSWRYVIFYIRLKQAYLSKDLKIAMATLPKGRWKEYVELANGLVDNMARLDQYVRSPKVYESYLYYEKTLKSIDDIVAYLA >Manes.05G104400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9848585:9851814:-1 gene:Manes.05G104400.v8.1 transcript:Manes.05G104400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSLTNIPTLLRAHSTTKLHNHSVLPLIKASISAQEHATSNRRRIILTTILATSVAPPALAENWGVRSFLWERFFEPDLSPEDSVARIRQTAEGLHSIRNMLETMSWRYVIFYIRLKQAYLSKDLKIAMATLPKGRWKEYVELANGLVDNMARLDQYVRSPKLQVQDMGLIVDPVIYR >Manes.18G096400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8913468:8919350:1 gene:Manes.18G096400.v8.1 transcript:Manes.18G096400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGLIRRIHPKRSDTALSALLSLLPHHSSDLLSQVDQPLQVLCDVDCGKGFILCEYNRDADSYRSPWSNKYHPPLEEALFPSSELRKLEIEANEAFTIYREQYYEGGISSVYLWEDDEREGFVACFLIKKDGSKTGHGRRGYLQEGAWDAIHVIEVGPEEEKAVHYCLTSTVMLSLTTNDESSGTFSLSGSIRRQMNMDLAIADGHLCNMGRIIEEMEGRLRNTLDQVYFGKTKEMVCTLRPPPEIVMRLPNS >Manes.05G159600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27226417:27232930:1 gene:Manes.05G159600.v8.1 transcript:Manes.05G159600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVGCCRTEFFLYLLSIVGLVTFAGLMAGLTLGLMSLGLVDLEVLKKSGRPQDRIHAAKILPVVKNQHLLLCTLLIGNSLAMEALPVFLDKIVPPWAAILISVTLILMFGEILPQAVCTRYGLKVGAIMAPFVRVLVMLFYPISYPISKVLDWMLGKGHAVLLRRAELKTFVNFHGNEAGKGGDLTHDETTIITGALELTEKTAKDAMTPISKAFSLDLDATLNLDTLNAIMTMGHSRVPVYSGDPKNIIGLILVKNLLSVDPEGAVPLRKMIIRKIPRVSEDMPLYDILNEFQKGHSHLAVVYKDLALKTDTPMKGKDGQQLEFKDSCRKQRGTETSFKKDDSSVGLTDAVQNTKAGLESHDTRIANRKNDEGQRRQKSPPPTPAFKKRHRGCSYCILDVENSPIPRFLSDEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMHASQEKASNSTSQPSVYDTSVAGTATPTSTISTGPTPTLSVSTGTSLAGSPPITNQVSEGDLSKNQ >Manes.03G064940.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:7564062:7565099:1 gene:Manes.03G064940.v8.1 transcript:Manes.03G064940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFKNWRNSSSRETIHLGRNHLQVKDEKDEVKEAKPKWFNFWRKIYREKKKKKKKFASGPVTLQASYDPDEYSQNFDQGTGWTEPDNHSRSFSARFADPSRILVLDMSRDFDDHHDA >Manes.14G121933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11848855:11851983:1 gene:Manes.14G121933.v8.1 transcript:Manes.14G121933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMKVCSMVLAMIMVLLLQGIWCSDDCWETEKIALLQLQSHFNYSLQDDFYYGPLSFLYDYSTFSPEIDVIKCCNWRRVRCSATTGRITQLNLEGIRYFSAKMWYLNASLFLPFQYLNHINLNHNHIAGCLKNEGFQRLSSLENLEFLNLGYNNFNTDILSSLTHLLSLKYLYLDGNHMKGRINIEELNRLTNLKNLSIWNNEIEGFKSFNGDEELLNMSNLQLLDMSENYIESDVLSSLRGLSSLKILRIYHNQLKGPFDLKELDTMSNLEELDLGKNNITKFIGSKGIRSLRNLRALYLRDIITINGSSMLFESLGALAHLEFLDLSGSIFEGATLSLGVSTNLKILHMIGSDLKGTKFSQRL >Manes.11G097700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20848350:20856591:1 gene:Manes.11G097700.v8.1 transcript:Manes.11G097700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNNLDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKLDNLLQDEEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVSQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRDSSN >Manes.11G097700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20848767:20856245:1 gene:Manes.11G097700.v8.1 transcript:Manes.11G097700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNNLDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKLDNLLQDEEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVSQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRDSSN >Manes.05G131901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19521219:19522586:-1 gene:Manes.05G131901.v8.1 transcript:Manes.05G131901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELTKRLSKLGIKGDDKKKEEVESEEEEKSSTDHELIQLETMLRETEPAEINRIKYPKARATMELKPYYPRPSPINLQFEDNSYNYMQYDGTSIVEWNIDGLSDYQIKNVLQYMTMYATASRAKGNDDPSTAKALIAGFGGQLKGWWDFAVSNEGKEIIFKMVKQEGTQQVPDVVNTLLYTIGLHFIGSVNMLIDRAQEQLINLRCPDLSHFKWYKDTFFSLVFIREDSNNSVWKEKFLAGLPALFAERVKEQIRSKHNGNIPYHDYTYGELASEVVTTGISLCNELKIHKQMKKERFSGKQILGSFCEQYGIQPFKFPSTKFRGGREPPPHKKPYYKKRRFYNYKKPLKDKGKTARVNNPEKRKKKHDQSKAEKTIVCYRCGKRGHYANKCRVKQQIQALTIDDDLKEALAKILLNETDSEQETMEINAVDYTTEEESSTEEE >Manes.01G215800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38509441:38517794:-1 gene:Manes.01G215800.v8.1 transcript:Manes.01G215800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENSNIAAQISINTGESSDQFSKPLSGKKLSWQKLRRNDSLEIESSKFPGRQLHGSKAVSWSVILHLAFQSLGIVYGDIGTSPLYVYSSTFTDGILHNDDILGVLSLILYTITLIPLVKYVLIVLRATDNGDGGTFALYSLICRYAKVGLIPSQQAEDHDVSNFQLELPSKRLRRATKLKSKLENSKFAKFFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKQATTKMTENMIVWISVAILISLFMVQRFGTDKVGYSFAPIICVWFAMNCGIGVYNFFKYDPAVIKALNPKYIIDYFTRNKDKAWISLGGIVLSITGTEALFADVGHFTVRSIQLSMCTVTYPALVCAYFGQAAFLRKHNDLVSDTFYESIPDPLYWPMFVVAVLSSIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSSKYEGQVYIPEINYLLMIACVGVTLGFRSTTKISNAYGIAVVFVMTLTSAFLVLIMLMIWKTNILLVIAYVLTIGVVELVYLSSVLYKFDQGGYLPLAFAAVLMTIMFVWNDVYRRKYYYELENKISPDKLKEIAAETNFSRLPGLAMFYSELVHGIPPIFKHYVANVPALHTVLVFVSIKSLPIGKVPVEERFLFRRVEPMELNVFRCVARYGYKDVRNYRHEPFERMLIEKLKEFVRDDYWLRQAILNKGEIANDQESDNGQIDENRDTKQEDLEDLDNQIDMIDRAWCTGVVHLIGENEVVAGEGANKGRRSILVSFFLAWRGKES >Manes.01G215800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38512905:38517794:-1 gene:Manes.01G215800.v8.1 transcript:Manes.01G215800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENSNIAAQISINTGESSDQFSKPLSGKKLSWQKLRRNDSLEIESSKFPGRQLHGSKAVSWSVILHLAFQSLGIVYGDIGTSPLYVYSSTFTDGILHNDDILGVLSLILYTITLIPLVKYVLIVLRATDNGDGGTFALYSLICRYAKVGLIPSQQAEDHDVSNFQLELPSKRLRRATKLKSKLENSKFAKFFLLFATMLGTSMVIGDGVLTPCISDMIVWISVAILISLFMVQRFGTDKVGYSFAPIICVWFAMNCGIGVYNFFKYDPAVIKALNPKYIIDYFTRNKDKAWISLGGIVLSITGTEALFADVGHFTVRSIQLSMCTVTYPALVCAYFGQAAFLRKHNDLVSDTFYESIPDPLYWPMFVVAVLSSIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSSKYEGQVYIPEINYLLMIACVGVTLGFRSTTKISNAYGIAVVFVMTLTSAFLVLIMLMIWKTNILLVIAYVLTIGVVELVYLSSVLYKFDQGGYLPLAFAAVLMTIMFVWNDVYRRKYYYELENKISPDKLKEIAAETNFSRLPGLAMFYSELVHGIPPIFKHYVANVPALHTVLVFVSIKSLPIGKVPVEERFLFRRVEPMELNVFRCVARYGYKDVRNYRHEPFERMLIEKLKEFVRDDYWLRQAILNKGEIANDQESDNGQIDENRDTKQEDLEDLDNQIDMIDRAWCTGVVHLIGENEVVAGEGANVGKRILIDYAYNFLKRNLRQSEKVFDIPQKRMLKVGMTYEL >Manes.01G215800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38512905:38517794:-1 gene:Manes.01G215800.v8.1 transcript:Manes.01G215800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENSNIAAQISINTGESSDQFSKPLSGKKLSWQKLRRNDSLEIESSKFPGRQLHGSKAVSWSVILHLAFQSLGIVYGDIGTSPLYVYSSTFTDGILHNDDILGVLSLILYTITLIPLVKYVLIVLRATDNGDGGTFALYSLICRYAKVGLIPSQQAEDHDVSNFQLELPSKRLRRATKLKSKLENSKFAKFFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKQATTKMTENMIVWISVAILISLFMVQRFGTDKVGYSFAPIICVWFAMNCGIGVYNFFKYDPAVIKALNPKYIIDYFTRNKDKAWISLGGIVLSITGTEALFADVGHFTVRSIQLSMCTVTYPALVCAYFGQAAFLRKHNDLVSDTFYESIPDPLYWPMFVVAVLSSIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSSKYEGQVYIPEINYLLMIACVGVTLGFRSTTKISNAYGIAVVFVMTLTSAFLVLIMLMIWKTNILLVIAYVLTIGVVELVYLSSVLYKFDQGGYLPLAFAAVLMTIMFVWNDVYRRKYYYELENKISPDKLKEIAAETNFSRLPGLAMFYSELVHGIPPIFKHYVANVPALHTVLVFVSIKSLPIGKVPVEERFLFRRVEPMELNVFRCVARYGYKDVRNYRHEPFERMLIEKLKEFVRDDYWLRQAILNKGEIANDQESDNGQIDENRDTKQEDLEDLDNQIDMIDRAWCTGVVHLIGENEVVAGEGANVGKRILIDYAYNFLKRNLRQSEKVFDIPQKRMLKVGMTYEL >Manes.06G093600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22846282:22848471:1 gene:Manes.06G093600.v8.1 transcript:Manes.06G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEIKSTDLRDASFSYLTAAQQNYVVKLTESAQFTHPETISTQDTSIPFNLERTKPEGGEISVFRAEKYFNMKLDDVSAGSMDVNAGKFAQETMEKRVELHRLRSKGSLGTPSVSSESSWNSQTTLLANYRRNSSHSRRKKVNERWFFPGFTCKGSCSDDKSVYIDKSVPHTGFRVARNPIMLEGRKQSQSRFLTKDHEFRSPSFGKLSIGSNREDYLVLPTVNSGVQNLSVKREKQKTLEEDARKSIDVFGSHKIKKEDIASNLERKLSVLTWDAIPFPKAQNLPSTSASSQMYEEAESDASSDLFEIENLSCSTQPMFRNQTSDGISGCMTPPSRYEPSETSIEWSVVTASAADFYAISDYDEKKPAESSTKTSVLASTPRSRRSNILLGCKNEKAVQVAESAYRRSEKAKPHLHEHASTPVMRKLPADSEVKDFDFP >Manes.04G087200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28861250:28866792:-1 gene:Manes.04G087200.v8.1 transcript:Manes.04G087200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSKKWGFEANNNKALMTASAITVRGVLNTLMANLNKQDQRQLIPLGHGDPSAFPCFRTTCIAEDAIVDALKSAKYNCYAPTVGILPARRAIADHLNRDLPFKLSPDDVFVTLGCTQAIEVTLTVLGRPGANILVPRPGFPYYEAVAARSNLEVRHFDLLSGKGWEVDLESVEALADDNTVAIVVINPGNPCGNVYSYGHLKQIAETARKIGIMVIADEVYAHLTFGSTPYVRMGSFGSIVPVLSLGSISKRWIVPGWRIGWLVAADPNGILQKSGVIDSIVSCLNLSSDPATFIQGAIPEILENTKKDFFLKIVNLLREAVDKCYDRIQQNPYITCPMKPEGSMFVMAKLNLSLLEDIKDDMDFCLKLAKEESIIVLPGIAVGMKNWLRITFAIEPSSLEDGLGRMKSFCERHAKKQ >Manes.15G100200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7847319:7849917:-1 gene:Manes.15G100200.v8.1 transcript:Manes.15G100200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFPFFESCFNFPLLKQNKSMLGTISAPTWFATCNPNHRISFPGKSPNPAISFRKAHSFLLLSLYCPQRDYVARTHFYLKASSSSSSVGSAEYAEEPATSVKFQTSLRLPGCSSSLSLLGTGYREKVFAIIGVKVYAAGLYVNQSVLNTLNAWKGLSAGEIQDNPDLFSSIFQATLEKSLQIILVRDVDGKTFWDALDNAISPRIKAPTSVDESALSTFRSIFQGRPLKKGTFIFLTWLDPLKMLTAGL >Manes.15G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7847319:7849917:-1 gene:Manes.15G100200.v8.1 transcript:Manes.15G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFPFFESCFNFPLLKQNKSMLGTISAPTWFATCNPNHRISFPGKSPNPAISFRKAHSFLLLSLYCPQRDYVARTHFYLKASSSSSSVGSAEYAEEPATSVKFQTSLRLPGCSSSLSLLGTGYREKVFAIIGVKVYAAGLYVNQSVLNTLNAWKGLSAGEIQDNPDLFSSIFQATLEKSLQIILVRDVDGKTFWDALDNAISPRIKAPTSVDESALSTFRSIFQGRPLKKGTFIFLTWLDPLKMLISVSSNGIPSGVDATIESANVTSALFDVFLGDAPVSPSLKASVANGLATILK >Manes.06G020500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3660735:3666552:1 gene:Manes.06G020500.v8.1 transcript:Manes.06G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKDKDGTRFVLTKPMSLDEDPDVYQAPNLVRRILSLFVNVRPGSDLTNFQLPPLFNIPKSHLQCFGESVYCVGKDLLHKCNIAESSQDRFICMVAWSISTARPSVFGVAPYNPVLGETHHVSRGNLNVLLEQVSHHPPVTALHATDKEENIEMIWCQNPVPKFYGTKVEAEVQGIRQLKLLNHGETYVMNSPSLLIRFLPPGLDWVGKVKIQCQQTGLEAELCYLSNSFLGRRGSHSIKGRIYHSSSLNTLYEIEGHWNSTVTMKDVNNGKKTVIYNAKEVISGLKTPIVKDPQGVLPSESAAVWSSVSEGILSKNWEKAREAKKSIEEKQRELVKDRNSKGQTWIPKYFNLSYTKECVWDCSPTQKWVPPAPIVVPL >Manes.14G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6363833:6365372:-1 gene:Manes.14G076300.v8.1 transcript:Manes.14G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRARSRPSGSSRITDDQILDLVTKLQQLLPEIGNRLSDKVSAAKVLQETCNYIRSLHREVDDLSERLSELLATTDTAQAAIIRNLLMQ >Manes.02G066700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5087561:5092892:-1 gene:Manes.02G066700.v8.1 transcript:Manes.02G066700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVLSPPPVPSRPAIFRSHHERFFLSVYPTSPPQQPARFDARLVANRPQNLKVVFVTRAADSTQPSTAASPSSAKTTVPDDEFSLAKVSFGVIGLGFGISLLSYGFGAYFNILPGSEWSALMLTYGFPLAIIGMALKYAELKPVPCLTYSDAQMLRETSATPILKQVRSDVTRYRYGDEQHLDEALKRIFQYGQGGGIPRRSAPILQMIREEVTEDGKYCLVLVFEAKALQLSDFEKRQAKFASFFGPGVTAEVGKGENNLYEVRLISNLTGSSTSS >Manes.04G109700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31342657:31346834:1 gene:Manes.04G109700.v8.1 transcript:Manes.04G109700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSILPAEVLLSKRVQEMVLNCEEPQPPYICRDDCTDTDVFVPPSQPPIIDFSILSPLESSTAQEELQKLRSALCSWGCFQAIGHGIPKSFLDKIRQVAREFFEQPMEEKKKHAKGVEEFEGYGADPVPAEGQSLDWSDRLFLDVYPEERRKPKFWPQNPRSFREILEEYTIKMKMFTEVVSKAMAKSLNLEENSFLDQFGTQAPLQARFNYYSCCQRPDLVLGLKAHADGSGYTIILQDDVEGLQVLEDKNWVTVPTISDALLILMGDQMEIMTNGLFKSPMHRVLTNSERERISVAVFYTPEPNKEIGPEEGLINVERPRIFKKVKDYADVHWEYYQQGKRALHVAKV >Manes.04G109700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31344259:31346408:1 gene:Manes.04G109700.v8.1 transcript:Manes.04G109700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSILPAEVLLSKRVQEMVLNCEEPQPPYICRDDCTDTDVFVPPSQPPIIDFSILSPLESSTAQEELQKLRSALCSWGCFQAIGHGIPKSFLDKIRQVAREFFEQPMEEKKKHAKGVEEFEGYGADPVPAEGQSLDWSDRLFLDVYPEERRKPKFWPQNPRSFREILEEYTIKMKMFTEVVSKAMAKSLNLEENSFLDQFGTQAPLQARFNYYSCCQRPDLVLGLKAHADGSGYTIILQDDVEGLQVLEDKNWVTVPTISDALLILMGDQMEIMTNGLFKSPMHRVLTNSERERISVAVFYTPEPNKEIGPEEGLINVERPRIFKKVKDYADVHWEYYQQGKRALHVAKV >Manes.17G079075.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27931651:27932227:1 gene:Manes.17G079075.v8.1 transcript:Manes.17G079075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQISFMISSMSPHKIHSFDLLEDGSAKVAKEIIETIDDVNLLTVFKVIKGNVLKEYKSFKLTIQATPKGEGCVVRWTLEYEKLHENIQDPYSLLEFIVQFSKDVSAYLMKCQKK >Manes.04G117566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31950352:31951548:1 gene:Manes.04G117566.v8.1 transcript:Manes.04G117566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDYDLFMEILCFLPIETLLRFRCLSKTCCSCIDSSEFINLHLNQSLKTNTNRNLIIHELEPKGSIYAIELDSLESDRCPVELHRLYNPHFDTFMHGHGSDVFGSCNGLLAMYNNEGIVLWNPATRKHKTLPRFWGHCYGDYEMLHGFGYDALNDDYKLIIMSQHYMENNIRVMVYSLKGNSSTRVEDLLGYSIIRTYDKRRSVGVLAGGSLHWVVNRKGDVTDRVILAFGVGDEKFCELPKPQMESENICLYVEEIGGRLAICRQWPYWIYEIWIMKEYGVMESWTKLFNFTSSTACRHNLVYVKALCCLRTKTGDEVLLLHDQNSKNLFFFGLRQGRTEKLATFGLPQHNIYSRISANVCMRSLAPVKFQRKELKRTREEKKEKEQRRQKQISLK >Manes.02G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7007955:7011038:-1 gene:Manes.02G089200.v8.1 transcript:Manes.02G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGTAYASPKRVLVCLIEKGIEFEAIPVDLIKGEHRSPEYLKLQPFGALPVIEDGDYTLYESRAIIRYYAEKYKSQGTDLLGKDIEERGLVEQWLEVEAHNYHPHIYNLTLHILFASALGFPADEKIIKESEEKLAKVLDVYEERLSKSKYLAGDFFSLADLSHLPFTQYLVGPMNREHMISSRKHVSRWWTEISNRPSWKKVLQL >Manes.02G073800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5640229:5643741:-1 gene:Manes.02G073800.v8.1 transcript:Manes.02G073800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAIPDSGITRISTSNRNASFCPQFHRRSPTLVSSTESPRLPVIRCSIINSNGGETLRTCKNCKTQFEPSLNHPRACRFHTAHFGGETKRKFESVYTGGTMDTPDSGRVFQYWHCCGSEDPFDPGCTAASHSSYDD >Manes.04G038100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5377937:5378930:-1 gene:Manes.04G038100.v8.1 transcript:Manes.04G038100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQGTRLRLGLCSFKHPFLWNNSPSIASTTTTTAGPIQTCLCLTWLFRLLHSNALNPLPQRSPAFPGVRYMQRQLPFQRLFCSEATGDKEKMKKKPVNTKTIRTSIEAKKEIEKSKLPPPYDPFNKKPVIEDPDNPKDLQFIFHKMRSEGLINNAVKMFDALSKDGLTHEALELFSQIKDKGHMPDVVAHTAVVEAYANAGQSKEALKVFLRMLACGVAPNVYTYSVLIKALAADGKLADANKYIMEMMSKGMRPNAATYTAVFEAYAKEQKEDQAREFLQQMKEKGFVPDEKAVREILNKRGQVFRTVINILFK >Manes.05G173500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28822249:28826538:1 gene:Manes.05G173500.v8.1 transcript:Manes.05G173500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNPSNPLLSLSTFIHQHCVRLRAEFATRLGDTTRALASNLPPGPNNRKLRPAPLFASVSQPKHSTAAGTLSPEHVAKTLAGTSVFTVSNTNNEFVLISDPDGSKSISLLCFRQEDAEAFLAQVRLRRRELRSEAKVVPITLDQVYMLKVEGIAFRFLPDPVQIRNALELKSSDTRSSFDGVPVFQSDLLVVKKKNKRYCPIYFYKEDIEKELSKVSKASRGPGLAQHIRVSDMQPLSFSALSYRKV >Manes.05G173500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28822249:28826538:1 gene:Manes.05G173500.v8.1 transcript:Manes.05G173500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNPSNPLLSLSTFIHQHCVRLRAEFATRLGDTTRALASNLPPGPNNRKLRPAPLFASVSQPKHSTAAGTLSPEHVAKTLAGTSVFTVSNTNNEFVLISDPDGSKSISLLCFRQEDAEAFLAQVRLRRRELRSEAKVVPITLDQVYMLKVEGIAFRFLPDPVQIRNALELKSSDTRSSFDGVPVFQSDLLVVKKKNKRYCPIYFYKEDIEKELSKVSKASRGPGLAQHIRVGSLEDVLRKMEMSEKNSGWEDLIFIPPGKSYSRHIQEAVNV >Manes.17G001400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1953953:1961811:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.17G001400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1953983:1961797:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.17G001400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1953983:1961796:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.17G001400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1953975:1961796:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.17G001400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1953983:1961796:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.17G001400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1953982:1961811:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.17G001400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1953975:1961796:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.17G001400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1954943:1958865:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.17G001400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1953953:1961811:-1 gene:Manes.17G001400.v8.1 transcript:Manes.17G001400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSQSRSRSRSRSPMDRKIRSDRLSYRGAPYRRDSRRSFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNDPICNLCNVSGHVARHCPKSNMLGDRRGSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.03G083210.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14789118:14789861:1 gene:Manes.03G083210.v8.1 transcript:Manes.03G083210.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYAMDIHKSLYHTEDVPQDMIERRAEVVARLKALEEGAAPLVAFLQNANTVQELRADKQYCLQMLNDRFQVCNCNLCFSNG >Manes.08G143300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38181463:38182441:1 gene:Manes.08G143300.v8.1 transcript:Manes.08G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLALLALAFSLASAYDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVANDFSFSGLNIPANTQNRVGSNVTLLNVDRIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRFISKVLYPGDVFVFPIGLIHFQFNIAKTHAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDKNVVENLQKLFKNNA >Manes.18G075650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6892239:6894157:-1 gene:Manes.18G075650.v8.1 transcript:Manes.18G075650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIIEELCAEIGLEIMFLLEATCNLQQQFDAELGLSKANAPLQDKIRAPSV >Manes.13G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6215490:6217103:1 gene:Manes.13G053600.v8.1 transcript:Manes.13G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSDGESVSLDLLKKKMAEFAQERDWDRFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKEEEKIHLGEELSDVLLYLVRLSDICGIDLGKAALRKVELNAIKYPVGMCKGSSKKYNSNNDNDTL >Manes.05G141400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24088243:24096445:-1 gene:Manes.05G141400.v8.1 transcript:Manes.05G141400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAENNKQTDIGGAVQNGREIILQAFNWESHKHDWWRNLERKVPDIAKSGFTSAWLPPSSQSFAPEGYLPQNLYSLNSSYGSEHLLRALIQKMKQSNVRAMADIVINHRVGTTQGHGGMYNRYDGIPLSWDERAVTSCTGGLGNRSTGDNFHGVPNIDHSQHFVRKDITAWLQWLRNNVGFQDFRFDFARGYSPKYVKEYIEGAKPIFSVGEYWDSCNYSGSYLEYNQDSHRQRIINWIDGTGQLSTAFDFTTKGILQEAVKGQFWRLRDSQGKPPGVIGWWPSRAVTFIDNHDTGSTQGHWPFPSNHIMEGYAYILTHPGIPTVFYDHFFDWGNSIHDQIVKLIDVRKRQDINSRSSIRILEAQPNLYSAIIGEKICMKIGDGSWCPAGKEWTLATSGHRYAVWQK >Manes.05G141400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24087626:24096445:-1 gene:Manes.05G141400.v8.1 transcript:Manes.05G141400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAENNKQTDIGGAVQNGREIILQAFNWESHKHDWWRNLERKVPDIAKSGFTSAWLPPSSQSFAPEGYLPQNLYSLNSSYGSEHLLRALIQKMKQSNVRAMADIVINHRVGTTQGHGGMYNRYDGIPLSWDERAVTSCTGGLGNRSTGDNFHGVPNIDHSQHFVRKDITAWLQWLRNNVGFQDFRFDFARGYSPKYVKEYIEGAKPIFSVGEYWDSCNYSGSYLEYNQDSHRQRIINWIDGTGQLSTAFDFTTKGILQEAVKGQFWRLRDSQGKPPGVIGWWPSRAVTFIDNHDTGSTQGHWPFPSNHIMEGYAYILTHPGIPTVFYDHFFDWGNSIHDQIVKLIDVRKRQDINSRSSIRILEAQPNLYSAIIGEKICMKIGDGSWCPAGKEWTLATSGHRYAVWQK >Manes.05G141400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24087626:24096445:-1 gene:Manes.05G141400.v8.1 transcript:Manes.05G141400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAENNKQTDIGGAVQNGREIILQAFNWESHKHDWWRNLERKVPDIAKSGFTSAWLPPSSQSFAPEGYLPQNLYSLNSSYGSEHLLRALIQKMKQSNVRAMADIVINHRVGTTQGHGGMYNRYDGIPLSWDERAVTSCTGGLGNRSTGDNFHGVPNIDHSQHFVRKDITAWLQWLRNNVGFQDFRFDFARGYSPKYVKEYIEGAKPIFSVGEYWDSCNYSGSYLEYNQDSHRQRIINWIDGTGQLSTAFDFTTKGILQEAVKGQFWRLRDSQGKPPGVIGWWPSRAVTFIDNHDTGSTQGHWPFPSNHIMEGYAYILTHPGIPTVFYDHFFDWGNSIHDQIVKLIDVRKRQDINSRSSIRILEAQPNLYSAIIGEKICMKIGDGSWCPAGKEWTLATSGHRYAVWQK >Manes.09G016100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3346310:3353636:-1 gene:Manes.09G016100.v8.1 transcript:Manes.09G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRPSSLSSLSSSQNFTSRLLFLLTLLPLTLAAFAFILQWRGGLTDPLSRWSPDHHEFPGMETASSSASLSRIKDSGCRDPLGRSHDPSFPYYRNWKFDFGSDLKPKICITSSTSAGLEQTLPWIFYHKFIGVSSFFLFVEGKAASPNVTKVLETIPGVKVIYRTRELEEKQARSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVLAREAGMDWIIHLDTDELLHPAGAREYSLRHLLSDVPGKIDMVIFPNYESGVERDDVKEPFSEVSLFKKNYDHLPKEVYFGNYKEATRGNPNYFLTYGNGKAAARIQDHLRPNGAHRWHNYMKIPNEIKLDDAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLEFDRAAFIIASTATEEEMLHWYRERVVWTDQSLKIKLMKKGILTRIYAPMIIVQGLRESGIFSNVIAMSHKNLTEFISSVEHSKSSKAVSSGENSYISNATESGVISSRKIGQHGKSNTTARRVLQINDNTSFPSAVPPQSPPGPEDIQVEIF >Manes.01G101000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30157250:30158458:-1 gene:Manes.01G101000.v8.1 transcript:Manes.01G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKGGGEAVEDKNSTEATVAWDEMVKEGALGGVRRVRKRFVGVRQRPSGRWVAEIKDTIQKIRVRLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSSSSSTTPALPSKITNLLLQRLKASPPSVPPSLPINLQELQEVEEYREETADFSDTQFTDFLNDPEDYTTCSNDNINTTSSSAVDYMERSLEPCLTEKEDNGGRELDFEYCNWHDIAESCSFNVNNSEDEGEEDEEEDEGEGIDMGALDFHFVDDVESSCYYSPFEIAEEIDEPVEPVTFGDECSMLRAAMKRMKYERKFSASLYAFNGIPECIRLKLESGNVKGRERSAQLTNLRDACGKIKEKERKAKEENLEVMEKKQENSQSSSIKMEASASSSSLSNDGELSLWNSLDLPPICFVN >Manes.10G126300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29371106:29373555:1 gene:Manes.10G126300.v8.1 transcript:Manes.10G126300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKLRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLESLQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELGTATQVQGEFMPIVREKPSVELVKVTDEMKSFKAYDKLRIERMNKRHAGARMKKAAEAEKEEKK >Manes.10G126300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29351018:29373555:1 gene:Manes.10G126300.v8.1 transcript:Manes.10G126300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKLRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLESLQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELGTATQVQGEFMPIVREKPSVELVKVTDEMKSFKAYDKLRIERMNKRHAGARMKKAAEAEKEEKK >Manes.08G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10750243:10756239:-1 gene:Manes.08G069300.v8.1 transcript:Manes.08G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMTGRNQHAVHDMVSLQENVLVGDARGENMVDIVDEAQNGDSGVVDFPKRAVTLFEEDTDFEPCNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGITPESDGSNSRRSSVKKTDCKASMHVKRRPDGKWIIHEFIKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKVYVEMLRQSGGFKNVSLVQNDNGTQFEKGRPLALEEGDAQALLEYFKHIKKENPNFFYAIDLNEEQRLRNLFWVDAKSRNDYISFNDAVSFDTFYAKYHEKLPFAPFVGVNHHCQLILLGCALVADDSMSTFVWLLRTWLRAMGGKAPKVIITDLDNTLKAAIEEVFPNTRHCFSLWHIFEKMPETLSHVIKRHGDFMPEFHKCVFKSWTDEEFDMRWWTMVTQFELQDNEWIQSLYDDRKKWVPTYMEDTFLAGIAASQRSESVNSFFDKYIHRKITLKEFMKQYGLILQNRYEEEAIADFDTSHKQPALKSPSPWEKQMSMVYTHAIFKKFQVEVLGVVGCHPKKEREDGTNVTFRVQDCEKNEYFLVSWNQSKSAVSCLCRLFEYKGFLCRHALIVLQICGLSSIPTHYILKRWTKDAKSGQQLAEGKARIETRVQRYNALCKLAIEMSEEGSLCEQSYNIAFRTLVETLKNCVNVNNRNNSALEPGSQSFAPCEAEDENQGNFSKSSKKKNPIRKRKVQADPDIMLVEAQESLQQMENLGSDTLNGYYGAQQNAQGLVQLNLMEPPHDGYYVSQPSIQGLGQLNSIAPGHDGFFGTQQSINGMGQFDYRPPTSFNYSLQEDSHLRSSQLHGSAPRHTQG >Manes.08G069300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10750243:10756239:-1 gene:Manes.08G069300.v8.1 transcript:Manes.08G069300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMTGRNQHAVHDMVSLQENVLVGDARGENMVDIVDEAQNGDSGVVDFPKRAVTLFEEDTDFEPCNGIEFESHEAAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGITPESDGSNSRRSSVKKTDCKASMHVKRRPDGKWIIHEFIKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKVYVEMLRQSGGFKNVSLVQNDNGTQFEKGRPLALEEGDAQALLEYFKHIKKENPNFFYAIDLNEEQRLRNLFWVDAKSRNDYISFNDAVSFDTFYAKYHEKLPFAPFVGVNHHCQLILLGCALVADDSMSTFVWLLRTWLRAMGGKAPKVIITDLDNTLKAAIEEVFPNTRHCFSLWHIFEKMPETLSHVIKRHGDFMPEFHKCVFKSWTDEEFDMRWWTMVTQFELQDNEWIQSLYDDRKKWVPTYMEDTFLAGIAASQRSESVNSFFDKYIHRKITLKEFMKQYGLILQNRYEEEAIADFDTSHKQPALKSPSPWEKQMSMVYTHAIFKKFQVEVLGVVGCHPKKEREDGTNVTFRVQDCEKNEYFLVSWNQSKSAVSCLCRLFEYKGFLCRHALIVLQICGLSSIPTHYILKRWTKDAKSGQQLAEGKARIETRVQRYNALCKLAIEMSEEGSLCEQSYNIAFRTLVETLKNCVNVNNRNNSALEPGSQSFAPCEAEDENQGNFSKSSKKKNPIRKRKVQADPDIMLVEAQESLQQMVQLNLMEPPHDGYYVSQPSIQGLGQLNSIAPGHDGFFGTQQSINGMGQFDYRPPTSFNYSLQEDSHLRSSQLHGSAPRHTQG >Manes.12G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6236984:6239837:1 gene:Manes.12G061800.v8.1 transcript:Manes.12G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELIVKHRRIDANIWLKVAAISGAAALGLGTYGFHIFKPQNPAFKEVWQTASLYHLVHTAALLAAPITKNSHVFGGLLTAGILAFSGTCYIVALLEDRKYAALAPFGGFAFIAAWASLLF >Manes.16G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30548858:30551927:1 gene:Manes.16G099500.v8.1 transcript:Manes.16G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLCPSAAISVQNKVNPLRARPICTNSISQFYQSTVGIDKYHELSFKTKAKLSVEADVVEKEANQVNEKIDYGVVSVHHVGVLCENLERSLEFYQGILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPQHGGRDRHTCIAIRDVSKLKAILDKAGIPYTLSRSGRPAIFTRDPDANALEFTQVDD >Manes.03G087800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19009582:19026948:1 gene:Manes.03G087800.v8.1 transcript:Manes.03G087800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSPRSQLLPQPLQPITTFTSAGTATKIPKKLALLPLVFLIFFEVSGGPYGAESTVGSAGPLWAILGFLVFPFIWSIPEALITAELTTVFPGNGSFVIWADQAFGPFWGSMMGSWKFLTGVLNLASFPVLFIDYLKLVIPIFSSGFPRYVAVIILTLMLSFLNYTGLAIVGYTAVTLGVVSLSPFVLLTLFSIPKINPSRWISLGEKAVQKDWTLYFNTLFWNLNFWDSASTLAGEVEDPQRTFPKALFAAGFVTCLSYLLPLLAATGAIPLKQEDWINGSFADFGEVIAGKWLKIWIEIGACLSVVGLYEAQLSSCVYQVLGMAEFGFLPVFWGMRSRWFNTPWVGILVSTIIALAGSCLDFTDIISSVNFLYCLGMLLEFATFLWLRKKLPNIKRPFRVPMGSPGLVIMCLIPCGFLVYVMAVATTTVYLFVAILLFLGMWCLKVFFLSFFDCVWSRWWIWLQLCWQWCMEGGGNLHINKINVLSFRNKKIYRLILTYRIK >Manes.02G129401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9827821:9830984:-1 gene:Manes.02G129401.v8.1 transcript:Manes.02G129401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLTSLKLLLAFFVCSIPLSLAQEANHFIYNGFKGANLSLNGIAKINPNGLLQLTNTSHQQIGHAFFPFPFHFNSSLSNNSRPSFSFSTSFVFAMVPESPARDGHGIAFTISPSLEFKGAIATQYLGLFNSNTLGLSSNHLLAVEFDTVRNPEFGDINDNHVGVDINNLTSIESAPAMYFSENDGKNKSLDLASGKPVQVWIDYDELEKLLNVTLAPLGTVKLEKPLLSTTVDISAVLLESMYVGFSASTGSVACYHYILGWSFNNNGQAQSLDLSKLPSLPLKRKSKSKLELKNFPPLLTVIVFLVTISVTIYVMRKKYEEIREDWELQYGPQRFSYKDLYKATKGFKDKQLLGSGGFGSVYRGTLPSSNVEVAVKKISHNSKQGMKEFVAEIASMGRLRHRNLVHLLGYCRRKGELFLVYDHMPNGSFDKFLFSNEKPNLDWVRRFQIIKGVASALFYLHEEWEQVVLHRDVKASNVLLDADLNGRLGDFGLSKFYDHGSTPQTTSVVGTVGYLAPELSTTGKPTTNSDVFAFGIFLLEVVCGRRPVEYKRPQEEAVLLDWVLECWKRGDMLMTVDPRLEGSFVVEEMELVLKLGLLCAHRLPMARPTMRQVVQYLDGNATLPEIPLHGAGVGVFSVSHEAPSDRPFLSAESIYSFSFSDTDSVRPSR >Manes.01G212600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38325428:38327812:1 gene:Manes.01G212600.v8.1 transcript:Manes.01G212600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCTFDTKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENGQEEHVRDQLNRGIVPNDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEECVELVKQLKATETALLNWAIDLMADVVQEEDSNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLITKTLREREETVTGGHSPMSSQSSGQQTDEDFDSQQETDTSCELRKAPLDRHKEDHAHYSPHNDDEVESLSDVEDCFLRHLDKNRSDRDIFFQQSPGDLSKEFSSPRTCSGFKMESDISFTDSKSESSSSATSDGEESRASMRGIGQEINKRSPSKAYKNSDDVKMVDSRLSSF >Manes.01G212600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38324712:38327812:1 gene:Manes.01G212600.v8.1 transcript:Manes.01G212600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIVMVTKGGGCAGGVTRAKGTRGSEHDQNQLSMMAILLEAVRKSLVSCRFEEREDGIPTVNHMEIGWPTNVQHISHVTFDRFNGFLGLPVEFEVEIPCRVPSASASVFGVSAESMQCTFDTKGNSVPTILLLMQERLYSQGGLKAEGIFRINPENGQEEHVRDQLNRGIVPNDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEECVELVKQLKATETALLNWAIDLMADVVQEEDSNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLITKTLREREETVTGGHSPMSSQSSGQQTDEDFDSQQETDTSCELRKAPLDRHKEDHAHYSPHNDDEVESLSDVEDCFLRHLDKNRSDRDIFFQQSPGDLSKEFSSPRTCSGFKMESDISFTDSKSESSSSATSDGEESRASMRGIGQEINKRSPSKAYKNSDDVKMVDSRLSSF >Manes.01G268700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42241678:42243088:1 gene:Manes.01G268700.v8.1 transcript:Manes.01G268700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKAAALLILLNLIFLACASSDKAPACPPPKVPSSSPPSAPKKQAKCPRDTLKFGVCGSWLGLVYEVVGTKPSKECCSLIKGLADLEAALCLCTAIKANVVGVAKLQVPVAISLLLSACGKQVPEGFKCA >Manes.05G171400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28617728:28619917:-1 gene:Manes.05G171400.v8.1 transcript:Manes.05G171400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPRSPLHPPTDGNLITILSIDGGGIRGIIPATILGFLESELQKLDGEEARLADYFDVIAGTSTGGLVTAMLTAPNEKNRPLFAAKDITDFYLHHCPKIFHQDKSPFASAANLIRSLAGPKYDGKYLHQIVKEKLGDIKLDQTLTNVVIPTFDIKRLQPTVFSTYEVKKNPSINALLSDICIATSAAPTYLPAHRFETKDATGSTLREFDLIDGGMAANNPALVAMNAVMKELNGSDNSDSFPIQPTEYSRFLVLSLGTGSPKSEEKYDANEAAEWGLLGWLTSEHSTPLVDVFMQASSDMVDFHISTVFKALNSEENYIRIQDDTLSGNLSSVDIATEENLKNLVKVGEDLLKKRVARVNLDTGVFEPAYEVTNEEALKKLAKILSSTKRSRGSK >Manes.12G142950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34872983:34874255:-1 gene:Manes.12G142950.v8.1 transcript:Manes.12G142950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILVILLDTALFFYSKDLTSQHIIVTDPNVNNELSGLYNTDKCFYLFIFPLPEFSIRKTITASTLSKPK >Manes.16G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33370873:33376165:-1 gene:Manes.16G133300.v8.1 transcript:Manes.16G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFSRMFSSIFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGIAKEEFHAILEEEELKGAVILVFANKQDLPGALDDAAVTEALELHKIKNRQWAIFKTCAVKGEGLFEGFDWLSNTLKSVGS >Manes.08G121800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36209659:36210480:-1 gene:Manes.08G121800.v8.1 transcript:Manes.08G121800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKLVLFLFVLFHAIFLLSPAVLSDGEEDIVLQGLNRYRTSSGLPAFANNEKASCLADKIAERVLENQPCSANANSIQLSNYPDFLQYCGVDVTHVRDGLVLPVCVPKLVPNLVLADYTKTQRANYIKDLKFTGAGIASEDNWMVVVLSTNNPEGSVTDIGGSSFTSSTTTGEDFPEGHSLISTVGGGHIAGANSLVSKVDFGYCLVSLLLGIALYAEVPLAWWN >Manes.10G072350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12001462:12004909:-1 gene:Manes.10G072350.v8.1 transcript:Manes.10G072350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFISLLFMLFFVSILLVFLFHSIFKFFDFNPRNLPLPPGSLGWPYIGKTFQLYSQNPNVFFASKLKRYDSVFKTHILGCPCVMISSPEAAKFVLVTRAHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFVPEAIKTTVCDIESIAKDSLKSWEGRIINTFQEMKTYTFNVALLSIFGKDEFLYREDLKRCYYILEKGYNSMPINLPGTLFNKSMKARKELAQILAKILSSRRQMKLDKNDLLGSFMGDKEGLTDDQIADNIIGVIFAARDTTASVLTWILKYLGENPSVLQAVTEEQEDIVTSKEKGGEEELLTWADTKKMPITTRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPEIFPDPEKFDPSRFEVAPKPNTFLPFVQIQNALLSSL >Manes.14G035600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3133841:3135142:-1 gene:Manes.14G035600.v8.1 transcript:Manes.14G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPNLILHKKLQECEAKLNEILETPYQEFYSDDQVQDIQKSLGFLKNFLSAEITSSPPIPHQLQQIANRISDLETNFRNWNDFRATTAMHNCIERSSTCSCTESCLNDDGEASPPQVQENVVEDEKAPPEKEGIKVEEWRADLKKEEKRGGRIFGTMAGGMLIGMALMGFVMVKFCACFPCAETSTPMCLPPT >Manes.03G156700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28496744:28499867:1 gene:Manes.03G156700.v8.1 transcript:Manes.03G156700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSSATKNPITTIVPKYPKLCSVPPLLSHFHSRPIQCSFENQNLKASNKLAKPRTHIFGLITPRLVFPREGNTSRGYEGKRDFAQMCSQQMAVICGFGYWMQGYRCFPWLALNFHMAHNLNLHPSILQLVQHSANLPMVAKPLYGILSDAICIGGAHRIPYILIGVLLQVLSWGPMGLTPIAREALPTLLACILLGNLGASITEVAKDALVAEYGQKHKKRGLQSYAFMALAVGGILGNLLGGCFLLKMPPENMFLIFAYLLSLQLAISSTAREESLGVSQQLAHYNLAKKSVWENIRKQISDLKLALLEDTISCPLIWVVASIAIVPTLSGSVFCYQMQCLHLDPSVIGMSRVTGQLMLLSLTILYDRYWKKVPMRKLIGAVQFLYAASLLLDFVLVGQINLRLGIPNEVFVCCFSGLAETLAQFKLLPFSVLLASLCPKGCEGSLTSFLASTLCLSSIFGGFLGVGVAFLMGITAGNYSKLPVGILIQVLAALLPLGWLRHLHSQPIVEKERKRSLSKRSRKIKKDWKSGVRFCLCLSARKGV >Manes.11G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24101477:24105858:-1 gene:Manes.11G104100.v8.1 transcript:Manes.11G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFFCLVFLSLTSPITAADSPDVVSGCPDTCGNVHVPYPFGINFTSGISDPGISDPRCALDSSYFIFNCDSSSNPPRLFLGSNMPIHNISVEEGTISVRIDAAYRCYDNTGPIRGFRQSISLGTGPFRFSASRNKLTVVGCDTLALIKDAAETFGSGCISLCDGNMTLQGSCSGFGCCQTSIPMSLKTLNISLASPNNHSRIWPFNPCEFAFIADERTYNVSDLQLSYNPLSPATRRIIDSEVVIEWVVREETCEAASDTNTYACGDNSNCLYSDNGQGYRCVCKDGFRGNPYLPQGCQDIDECEEPDKYKCDGTCKNTFGGYSCRCPLGMRGDGKVGCQGFRITTIAAVVGGILLIMIIALLIIIICKRRRKERNFLENGGMLLKHQRVRIFSEAELVKATKHFDASNLLGEGGFGYVYKGVLADNTQVAVKKPKDLDKARLNQEFQQEIGIVSQVNHKNVVKILGLCLETKVPLLGYEFISNGTLSQHIHQKKSQILANWKNRLRIAAETALALDYLHSLADPPIIHGDVKSSNILLDDSYTAKVSDFGASVLISPGQSDMATKIQGTFGYLDPEYLMTGNLTEKSDVYSFGVVLVELLTGEKPNSSMKLGDKSNIIQYFLSALEDHNLHRILCFDVASENEMEEIGVCAEVAEKCLRSSGMKRPTMKEVAEELGRLRKLHESCWDYSQNSQETEYLLAESSYSAIENGTSKLSQQEILSLRTFDIEYSTYSI >Manes.12G074900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8538258:8541261:1 gene:Manes.12G074900.v8.1 transcript:Manes.12G074900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRTAVIAHKKLDAEKLKARKAGTKTTKEKGSKKNSARKDPDAPKRPPSAFLVFMEEFRKSFKENFPENKSVSVVVKAGGAKWKSMSDSEKAPYAEKASRKKAEYEKAVEAYEKQKLSHSGDNEESEKSTSEIHDDAEQEASS >Manes.12G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8538258:8541261:1 gene:Manes.12G074900.v8.1 transcript:Manes.12G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLKSKAMRGPRTAVIAHKKLDAEKLKARKAGTKTTKEKGSKKNSARKDPDAPKRPPSAFLVFMEEFRKSFKENFPENKSVSVVVKAGGAKWKSMSDSEKAPYAEKASRKKAEYEKAVEAYEKQKLSHSGDNEESEKSTSEIHDDAEQEASS >Manes.12G074900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8538001:8541417:1 gene:Manes.12G074900.v8.1 transcript:Manes.12G074900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRTAVIAHKKLDAEKLKARKAGTKTTKEKGSKKNSARKDPDAPKRPPSAFLVFMEEFRKSFKENFPENKSVSVVVKAGGAKWKSMSDSEKAPYAEKASRKKAEYEKAVEAYEKQKLSHSGDNEESEKSTSEIHDDAEQEASS >Manes.12G157000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36521918:36525199:1 gene:Manes.12G157000.v8.1 transcript:Manes.12G157000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAPHTAGTSTSFTEYAANGVVGLEKEANQKPMENKASSMKKQGCEVEARGITFKISTKKRQHPFKIFNEDQQINQELKPNLAEKHVLNGVNCKAKPQEILAIVGPSGAGKSSLLEVLAGKLTPQNGSVFLNQNPVNKAQFKKISGYVTQRDTLFPLLTVEETLMFSAKLRLRLPEAQLISRVKSLVHELGLERVAMTRVGDERIRGISGGERRRVSIGVDVIHDPKVLILDEPTSGLDSTSALQIIDMLKVMAETRGRTIILSIHQPGFLIVKLFNSILLMANGSVLHHGTVDQLGVHLRTMGMQLPLHVNVVEFAIESIEAIQQQQQQQQIETTPFSITQQEIMKAEEGDGRNGKFTLQQLFQQSKVVDEEIINDGIDFPRGFANSRFQETLILTHRFSKNIFRTKELFAWRTIQMLISGLVLGSIFCNLKDDLTGAEERVGLFAFTLTFLLSSTTEALPIFLQEREILMKETSCGSYRVSSYAVANGLVYLPFLLILAILFSIPLYWLVGLNPNFTAFTHFVLLIWLILYTANSVVVCMSALVPNFIVGNSVISGVMGSFFLFSGYFISKNGIPNYWMFMHYVSVFKYPFEGMLINEFSKSGKCLQYMFGRCMVRGEDVLKEEGYGEESRWRNVVIMVCFIFVYRFISYVILRIRCSLSSPRSSVICG >Manes.12G157000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36521919:36525199:1 gene:Manes.12G157000.v8.1 transcript:Manes.12G157000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAPHTAGTSTSFTVTEYAANGVVGLEKEANQKPMENKASSMKKQGCEVEARGITFKISTKKRQHPFKIFNEDQQINQELKPNLAEKHVLNGVNCKAKPQEILAIVGPSGAGKSSLLEVLAGKLTPQNGSVFLNQNPVNKAQFKKISGYVTQRDTLFPLLTVEETLMFSAKLRLRLPEAQLISRVKSLVHELGLERVAMTRVGDERIRGISGGERRRVSIGVDVIHDPKVLILDEPTSGLDSTSALQIIDMLKVMAETRGRTIILSIHQPGFLIVKLFNSILLMANGSVLHHGTVDQLGVHLRTMGMQLPLHVNVVEFAIESIEAIQQQQQQQQIETTPFSITQQEIMKAEEGDGRNGKFTLQQLFQQSKVVDEEIINDGIDFPRGFANSRFQETLILTHRFSKNIFRTKELFAWRTIQMLISGLVLGSIFCNLKDDLTGAEERVGLFAFTLTFLLSSTTEALPIFLQEREILMKETSCGSYRVSSYAVANGLVYLPFLLILAILFSIPLYWLVGLNPNFTAFTHFVLLIWLILYTANSVVVCMSALVPNFIVGNSVISGVMGSFFLFSGYFISKNGIPNYWMFMHYVSVFKYPFEGMLINEFSKSGKCLQYMFGRCMVRGEDVLKEEGYGEESRWRNVVIMVCFIFVYRFISYVILRIRCSLSSPRSSVICG >Manes.13G061650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7168839:7170273:-1 gene:Manes.13G061650.v8.1 transcript:Manes.13G061650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKGILAAKPILQERIAELLRANALECLKMADMGCSSGPNTLLPLWEIIETIDSTCSRLNQKSPILQVFLNDLQGNDFNTIFRSLIPKFHKKLEKEKGSKFGPCYIAAVPGSFYGRLFPPHSLHFIHSSYSLHWLSQVPQGIQLNKGTICLDKTSPPSVHQAYKDQFERDFTIFMRLRSEEMISGGHMVLTFLLQSNNNPHCKYGIEIWQLLGLCLKEMVDEGLVEESALETCNLPLYAPCEEEVRWLTQREGSFKISRLEQFELSWDVEDGDEELGGDKWERGKIVGSRMRAVAESIVASHFGDAIIDDLFIRLSLKVLDCLEKRTGLCNNVVVSMIKK >Manes.13G061650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7168839:7170273:-1 gene:Manes.13G061650.v8.1 transcript:Manes.13G061650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPQVLHMNGGDGEVSYFQNSSFQKKGILAAKPILQERIAELLRANALECLKMADMGCSSGPNTLLPLWEIIETIDSTCSRLNQKSPILQVFLNDLQGNDFNTIFRSLIPKFHKKLEKEKGSKFGPCYIAAVPGSFYGRLFPPHSLHFIHSSYSLHWLSQVPQGIQLNKGTICLDKTSPPSVHQAYKDQFERDFTIFMRLRSEEMISGGHMVLTFLLQSNNNPHCKYGIEIWQLLGLCLKEMVDEGLVEESALETCNLPLYAPCEEEVRWLTQREGSFKISRLEQFELSWDVEDGDEELGGDKWERGKIVGSRMRAVAESIVASHFGDAIIDDLFIRLSLKVLDCLEKRTGLCNNVVVSMIKK >Manes.01G234600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39758735:39763628:-1 gene:Manes.01G234600.v8.1 transcript:Manes.01G234600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESPRPIRLMNFISEEQLDLAKKARGERVEDGTAQRDRPLYEILKENKDKRDAEFNERFKHRPPKALDDDETEFLDKLEMSKREYERQMADQEEEQLRSFQAAVAAQSTIVHELKAPPVPVVQEQKTVGKKNPPARSLSMIIKVKPQAKKAKLDVGNIEPSDIVRTPDDTEKSSDLLKIPNSDTNKFPDVAKTGLVSYSDESEEDD >Manes.01G234600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39759179:39763628:-1 gene:Manes.01G234600.v8.1 transcript:Manes.01G234600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESPRPIRLMNFISEEQLDLAKKARGERVEDGTAQRDRPLYEILKENKDKRDAEFNERFKHRPPKALDDDETEFLDKLEMSKREYERQMADQEEEQLRSFQAAVAAQSTIVHELKAPPVPVVQEQKTVGKKNPPARSLSMIIKVKPQAKKAKLDVGNIEPSDIVRTPDDTEKSSDLLKIPNSDTNKFPDVAKTGLVSYSDESEEDD >Manes.01G234600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39759217:39763628:-1 gene:Manes.01G234600.v8.1 transcript:Manes.01G234600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESPRPIRLMNFISEEQLDLAKKARGERVEDGTAQRDRPLYEILKENKDKRDAEFNERFKHRPPKALDDDETEFLDKLEMSKREYERQMADQEEEQLRSFQAAVAAQSTIVHELKAPPVPVVQEQKTVGKKNPPARSLSMIIKVKPQAKKAKLDVGNIEPSDIVRTPDDTEKSSDLLKIPNSDTNKFPDVAKTGLVSYSDESGSRGMQYGSKPVSCAVIG >Manes.01G234600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39758821:39763628:-1 gene:Manes.01G234600.v8.1 transcript:Manes.01G234600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESPRPIRLMNFISEEQLDLAKKARGERVEDGTAQRDRPLYEILKENKDKRDAEFNERFKHRPPKALDDDETEFLDKLEMSKREYERQMADQEEEQLRSFQAAVAAQSTIVHELKAPPVPVVQEQKTVGKKNPPARSLSMIIKVKPQAKKAKLDVGNIEPSDIVRTPDDTEKSSDLLKIPNSDTNKFPDVAKTGLVSYSDESGSRGMQYGSKPVSCAVIGEIKGN >Manes.06G034800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9483901:9486114:1 gene:Manes.06G034800.v8.1 transcript:Manes.06G034800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIKESNLIHQAEDLPNNQHQWLSNLDQIHERNIIPTVYFYKAADHPISFESKVLKEALSKVLVPFYPVAGRLGRDNKGRLEIVCNNEGVLFIEAETDSELDEVGDLMLVEVSQLIPSVDYSQGISSFPLLAVQITKFKCGGLSLGLRFHHIVADGVAALHFINTWCDVARGLSITMPPFIDRTILGCRAPPTPRFEHAEYDKPLSMNSATQILTSQQNCIQIFKITLQQLETLKNKVKNADGKTKYSTYEILTAHIWRSTCKARALSNHQPIKLLVPINGRSRLHPPLPPNFFGNVIFSATVFALSGEILSETLKNIVERIDKKIKMIDDEYMRSAIDYLEVMDDLTPILRDANTCRCPNLNIVSWMRLPFYDADFGMGKPIIVRPANPLEGTVYIMQTPSDDGSWQLAICLQADHMQSFQRLFYEF >Manes.06G034800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9483901:9486114:1 gene:Manes.06G034800.v8.1 transcript:Manes.06G034800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIKESNLIHQAEDLPNNQHQWLSNLDQIHERNIIPTVYFYKAADHPISFESKVLKEALSKVLVPFYPVAGRLGRDNKGRLEIVCNNEGVLFIEAETDSELDEVGDLMLVEVSQLIPSVDYSQGISSFPLLAVQITKFKCGGLSLGLRFHHIVADGVAALHFINTWCDVARGLSITMPPFIDRTILGCRAPPTPRFEHAEYDKPLSMNSATQILTSQQNCIQIFKITLQQLETLKNKVKNADGKTKYSTYEILTAHIWRSTCKARALSNHQPIKLLVPINGRSRLHPPLPPNFFGNVIFSATVFALSGEILSETLKNIVERIDKKIKMIDDEYMRSAIDYLEVMDDLTPILRDANTCRCPNLNIVSWMRLPFYDADFGMGKPIIVRPANPLEGTVYIMQTPSDDGSWQLAICLQADHMQSFQRLFYEF >Manes.06G034800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9483901:9486147:1 gene:Manes.06G034800.v8.1 transcript:Manes.06G034800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIKESNLIHQAEDLPNNQHQWLSNLDQIHERNIIPTVYFYKAADHPISFESKVLKEALSKVLVPFYPVAGRLGRDNKGRLEIVCNNEGVLFIEAETDSELDEVGDLMLVEVSQLIPSVDYSQGISSFPLLAVQITKFKCGGLSLGLRFHHIVADGVAALHFINTWCDVARGLSITMPPFIDRTILGCRAPPTPRFEHAEYDKPLSMNSATQILTSQQNCIQIFKITLQQLETLKNKVKNADGKTKYSTYEILTAHIWRSTCKARALSNHQPIKLLVPINGRSRLHPPLPPNFFGNVIFSATVFALSGEILSETLKNIVERIDKKIKMIDDEYMRSAIDYLEVMDDLTPILRDANTCRCPNLNIVSWMRLPFYDADFGMGKPIIVRPANPLEGTVYIMQTPSDDGSWQLAICLQADHMQSFQRLFYEF >Manes.07G026600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2843982:2848740:1 gene:Manes.07G026600.v8.1 transcript:Manes.07G026600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKSAADAFGLVTICLVALLIFLGFLCIAYSFYFQSRVRDQGFSQLTYFSGPWIVRITFILFVIWWGFGEIVRLSLLRRDGSVLNSLDLKWQETVCKGYIVSNLGFAEPCLFLTLVFLLRAPLQRMETGILSRKWNRKTAGYVLLYCLPIFALQLFIILIGPELRKNKGSLQTLPHYFTSPASLMLENAAAADVIALCTYPLLNTILLGIFATMLTVYLFWLGTQILELVINKGLQKRVYTLIFSVSSFLPLRVLLLGLSVLSKPEDFLFEALAFSAFLALFSCAGVCIFMIVYFPIADSLALGNLRDLEARRRITDEHNDTISLIANQSHLEESGRVSPGRNSDASTKRGSISFRTYERGGTSTAPFVELSLFSPSRDETPAGSPPLLGWPMRPPKQVQGY >Manes.07G026600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2843982:2848740:1 gene:Manes.07G026600.v8.1 transcript:Manes.07G026600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKSAADAFGLVTICLVALLIFLGFLCIAYSFYFQSRVRDQGFSQLTYFSGPWIVRITFILFVIWWGFGEIVRLSLLRRDGSVLNSLDLKWQETVCKGYIVSNLGFAEPCLFLTLVFLLRAPLQRMETGILSRKWNRKTAGYVLLYCLPIFALQLFIILIGPELRKNKGSLQTLPHYFTSPASLMLENAAAADVIALCTYPLLNTILLGIFATMLTVYLFWLGTQILELVINKGLQKRVYTLIFSVSSFLPLRVLLLGLSVLSKPEDFLFEALAFSAFLALFSCAGVCIFMIVYFPIADSLALGNLRDLEARRRITDEHNDTISLIANQSHLEESGRVSPGRNSDASTKRGSISFRTYERGGTSTAPFVELSLFSPSRDETPAGSPPLLGWPMRPPKQVQGY >Manes.07G026600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2843982:2848740:1 gene:Manes.07G026600.v8.1 transcript:Manes.07G026600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKSAADAFGLVTICLVALLIFLGFLCIAYSFYFQSRVRDQGFSQLTYFSGPWIVRITFILFVIWWGFGEIVRLSLLRRDGSVLNSLDLKWQETVCKGYIVSNLGFAEPCLFLTLVFLLRAPLQRMETGILSRKWNRKTAGYVLLYCLPIFALQLFIILIGPELRKNKGSLQTLPHYFTSPASLMLENAAAADVIALCTYPLLNTILLGIFATMLTVYLFWLGTQILELVINKGLQKRVYTLIFSVSSFLPLRVLLLGLSVLSKPEDFLFEALAFSAFLALFSCAGVCIFMIVYFPIADSLALGNLRDLEARRRITDEHNDTISLIANQSHLEESGRVSPGRNSDASTKRGSISFRTYERGGTSTAPFVELSLFSPSRDETPAGSPPLLGWPMRPPKQVQGY >Manes.07G052300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6226616:6234890:-1 gene:Manes.07G052300.v8.1 transcript:Manes.07G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKSLPLVTLSCRRSLPLLHTIFKHHFQKTIPKNTRNVFLSASASSTDTIPKAPSAAVKEALDWVSRTGFCGELSVNDVGKRVRLCGWVALHRVHGGLTFLNLRDHTGIVQVTTLPDDFPDAHSLINDLRLEYVIAVEGVVRSRPSESINKKMKTGLIEVAAEHVQVLNAVRSKLPFLVTTADDAKEFVKEEIRLRFRCLDLRRQQMNFNIMLRHRVVKLIRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFDRYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEDMLRLNEDLIRKIFLEIKGVHLPNPFPRLTYVEAMDRYGSDRPDTRFDLELKDVSDIFVESPFRVFADSLKNGGIIKVLSVPSGAKSYSNTALKKGDIYNEAFKSGAKGLPFLKVLDNGEVEGIPALVSSLDPMNKEQLLRRCSAGPGDLILFAVGHHASVNKTLDRLRMFIASEMGLIDHSRHSILWVTDFPMFEWNESEQRLEALHHPFTAPKPEDMEDLSSARALAYDMVYNGVEIGGGSLRIYKREVQQKVLEIVGISPEQVRRLLCTLQLGFMKFTTFICYMM >Manes.07G052300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6226616:6234890:-1 gene:Manes.07G052300.v8.1 transcript:Manes.07G052300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKSLPLVTLSCRRSLPLLHTIFKHHFQKTIPKNTRNVFLSASASSTDTIPKAPSAAVKEALDWVSRTGFCGELSVNDVGKRVRLCGWVALHRVHGGLTFLNLRDHTGIVQVTTLPDDFPDAHSLINDLRLEYVIAVEGVVRSRPSESINKKMKTGLIEVAAEHVQVLNAVRSKLPFLVTTADDAKEFVKEEIRLRFRCLDLRRQQMNFNIMLRHRVVKLIRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFDRYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEDMLRLNEDLIRKIFLEIKGVHLPNPFPRLTYVEAMDRYGSDRPDTRFDLELKDVSDIFVESPFRVFADSLKNGGIIKVLSVPSGAKSYSNTALKKGDIYNEAFKSGAKGLPFLKVLDNGEVEGIPALVSSLDPMNKEQLLRRCSAGPGDLILFAVGHHASVNKTLDRLRMFIASEMGLIDHSRHSILWVTDFPMFEWNESEQRLEALHHPFTAPKPEDMEDLSSARALAYDMVYNGVEIGGGSLRIYKREVQQKVLEIVGISPEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLAEANSIRDVIAFPKTTTAQCALTRAPSEVDPQQLKDLSFHTQ >Manes.07G052300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6226616:6234890:-1 gene:Manes.07G052300.v8.1 transcript:Manes.07G052300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYSVTTLPDDFPDAHSLINDLRLEYVIAVEGVVRSRPSESINKKMKTGLIEVAAEHVQVLNAVRSKLPFLVTTADDAKEFVKEEIRLRFRCLDLRRQQMNFNIMLRHRVVKLIRRYLEDVHGFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFDRYYQIARCFRDEDLRADRQPEFTQLDMELAFTPLEDMLRLNEDLIRKIFLEIKGVHLPNPFPRLTYVEAMDRYGSDRPDTRFDLELKDVSDIFVESPFRVFADSLKNGGIIKVLSVPSGAKSYSNTALKKGDIYNEAFKSGAKGLPFLKVLDNGEVEGIPALVSSLDPMNKEQLLRRCSAGPGDLILFAVGHHASVNKTLDRLRMFIASEMGLIDHSRHSILWVTDFPMFEWNESEQRLEALHHPFTAPKPEDMEDLSSARALAYDMVYNGVEIGGGSLRIYKREVQQKVLEIVGISPEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLAEANSIRDVIAFPKTTTAQCALTRAPSEVDPQQLKDLSFHTQ >Manes.05G174700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29109414:29113615:-1 gene:Manes.05G174700.v8.1 transcript:Manes.05G174700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSEAGEDFCDNKLLLDPEEASFFELIYLLISSDVKSRRFVECPEEQRLRDFSHRWLIFISVVAQKFLLYCRNPMAHIGHAIETWLNLVSINGGLFRLILKSLKGDVAWPDRLSARFTSLVGNLDSRVEMDRSIRAGHRKYKSSLALMAAKLSYENEAFIKSIITDHWNMKFLGFYNFWNEHQKLHSTQAFMLENTDLNLIVVAFRGTNPFDAYAWCTDVDFSWYELQGMGKIHRGFMHALGLQKNGWPTEIEPNKDHLYAYYEIRRLLRDILSKNEKAKFIVTGHSLGGALAILFVGVLAIHKEAWLLDRMEGVYTFGQPRVGDREFGSFMEDKLKENDVRYLRYVYCNDMVPRLPYDDSTLFYKHFGPCLYYNSLYQGKVLWEEPNKNYFNLFWVIPKNLNAMWELIRSFIIPCLKGQSYIEGWFMRVFRIIGLAIPGLSAHTPQDYDNSTRLGSLPQLQLHQD >Manes.03G023100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1896205:1897388:-1 gene:Manes.03G023100.v8.1 transcript:Manes.03G023100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGSGSPARRRDADHRYSSDFDHSGGPPRGREFGGGRDPGRFRDSSPPYARGRVGGRAPGRGFEGPGFGPGPFRGEGVSRNNPNVRPREGDWICSDPLCGNLNFARREYCNNCKRPRYSPGGSPRRGYPGPPPPHAPPRRFPGPPLDISPVRTMNGYRSPPRGWARDGPRDFGPGGPPPARHGGRFPDHDVRRVRPDYPDDDYRGRNKFDRAMPVDWGHRDRGRDNFFNDRKGFERRLPSPPPPPPPPGLPQRGRWGRDVRERSRSPIRGGPLVKEYRRDMYMERGRDDRRGMGRDRMGDVY >Manes.03G023100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1895182:1901664:-1 gene:Manes.03G023100.v8.1 transcript:Manes.03G023100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGSGSPARRRDADHRYSSDFDHSGGPPRGREFGGGRDPGRFRDSSPPYARGRVGGRAPGRGFEGPGFGPGPFRGEGVSRNNPNVRPREGDWICSDPLCGNLNFARREYCNNCKRPRYSPGGSPRRGYPGPPPPHAPPRRFPGPPLDISPVRTMNGYRSPPRGWARDGPRDFGPGGPPPARHGGRFPDHDVRRVRPDYPDDDYRGRNKFDRAMPVDWGHRDRGRDNFFNDRKGFERRLPSPPPPPPPPGLPQRGRWGRDVRERSRSPIRGGPLVKEYRRDMYMERGRDDRRGMGRDRMGDVY >Manes.03G023100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1895182:1901664:-1 gene:Manes.03G023100.v8.1 transcript:Manes.03G023100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGSGSPARRRDADHRYSSDFDHSGGPPRGREFGGGRDPGRFRDSSPPYARGRVGGRAPGRGFEGPGFGPGPFRGEGVSRNNPNVRPREGDWICSDPLCGNLNFARREYCNNCKRPRYSPGGSPRRGYPGPPPPHAPPRRFPGPPLDISPVRTMNGYRSPPRGWARDGPRDFGPGGPPPARHGGRFPDHDVRRVRPDYPDDDYRGRNKFDRAMPVDWGHRDRGRDNFFNDRKGFERRLPSPPPPPPPPGLPQRGRWGRDVRERSRSPIRGGPLVKEYRRDMYMERGRDDRRGMGRDRMGDVY >Manes.03G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1895182:1901664:-1 gene:Manes.03G023100.v8.1 transcript:Manes.03G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDKDQTTSHHQPLLSSLVVRPSVSDGGDGGGGGRAAGSDYEPGEVRREPLPYSRSDRYSDDPGYRMHAGSGSPARRRDADHRYSSDFDHSGGPPRGREFGGGRDPGRFRDSSPPYARGRVGGRAPGRGFEGPGFGPGPFRGEGVSRNNPNVRPREGDWICSDPLCGNLNFARREYCNNCKRPRYSPGGSPRRGYPGPPPPHAPPRRFPGPPLDISPVRTMNGYRSPPRGWARDGPRDFGPGGPPPARHGGRFPDHDVRRVRPDYPDDDYRGRNKFDRAMPVDWGHRDRGRDNFFNDRKGFERRLPSPPPPPPPPGLPQRGRWGRDVRERSRSPIRGGPLVKEYRRDMYMERGRDDRRGMGRDRMGDVY >Manes.13G095601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27969280:27977074:-1 gene:Manes.13G095601.v8.1 transcript:Manes.13G095601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVNTSSVNQIFTSAADVIESEDKELYQRIADSIKLGETRGNKVSRGSILHVLFIGGPRNMISVCMSHEDEPIDEIRQFQDARWLLAKEAMWRIFKFEFNEINPQFLMTCSLDEEARGLLYRKFLEYYIWDKQTKLWSKWKSRQVIDRVNDLRGERYYFRLFLRHIRGPKSFDDLLTVNNVQYLTFKEEITDEMVVEILEEVINAIQKLNIKQLHAYRIFLRRVDLNSSGVFFMDGLGGTGKTFLYHVLLVYVRSMGMIALAGATSGVAASIILGGRTTHSRFSILLSPTESSMYVQMEKRLAIETVDRSLRYIMNNSQPFGGKIMLFGEDFRQVLLVVSTALRQENVSAKFILRVGNGEELEIKEGNIRIPEEMVAKYENENSCEEALIDAVYPSLEENARLAQYMTNRAILATKNEYVNSFNEKMINIFPSESNIYTSFDEAVDDANNYYQEKFLNTLLPNELSPHKLEFKMNCPIILLRNLDPSNGLCNGTIIVHISFKKNVIYAEIISRKHGKQVLLPRISLSPTENERYPFYFKIKQFLGQTISNINMYLPHDVFSHGQLYVMLSRGVSMSTTKSDNKRKKK >Manes.01G138300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33004333:33006922:-1 gene:Manes.01G138300.v8.1 transcript:Manes.01G138300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLEPSPNPIPTSNKEILFFSWAFFIYISDFTWLCFQLLEIPRNMMVTKALPFNPTFYRPSELHSLKPTSLLRFPNSRTVLFGVFGSKVRVSKTITELVKSSAFRSGDGQKKFKVFQHEAFVKGSTEFHPESMADELGATLNRLSKWVVAFMFGVVLLWRHDAASLWLAMGSVINVIICLRLKRILNQQRPVSTLKSDPGMPSSHAQSIFYIIGVCILSIIERYGTNGSTLMVAVLALACGSYVSWLRVSQQFHTTSQVVVGGAIGSIFSILWYWWWHAFLREAYMSFRWVRIIVAFGGLAYSLCLLSHVIRSCLTNRQ >Manes.12G088990.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14373479:14376541:-1 gene:Manes.12G088990.v8.1 transcript:Manes.12G088990.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKERIENLEVGLGQLQDNLQVRVNQDESRDGGWSFYSAKLAKLEFPNYSGDDPTEWFARVDQFFDYQGTPDSEKVSLASYHLRGEANEWWQWLRRTHTAAGTIVTWEIFSEELWSRFGPTDCEDFDESLSKIRQTGLLRDYQREFERLGNRVKGWTQKALMGTFMGGLKTEIAEGIRMFKPKTLKKAISLARMKDEQLLRQKKAIRPSFQTSYSSPTKNKSSTSVKRLSWDEMQTRRAKGLCFNCDEKFVPGRRCAKPQLLILDGGFDIDEDDDEGEPEISLHALTGWSSSVAESLKLLAEPTRPFNVKVANGDPLQCSGKFRNTPALLQGIPFSITFYSLPLMGLDVVLGVQWLRQLGTVQCNWDILSLILQEFHDSPCGGHSGVLRTYKRIASHFFWPSMRKQIQEYVAACSLHVSSSYHPETDGQSKVTNRCLEQTIGMSPFLALYGRHPPMVPRDVEFQVNDHVYLKLQPYRQQSVSRRSS >Manes.04G088700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29412082:29418337:1 gene:Manes.04G088700.v8.1 transcript:Manes.04G088700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWMHNKIRDTNIEPFKDFKIGNYCACLSAKTPLDDQVSHIRPRFDSRHEARENNLSEVEAKKAEGNCEDETSMVIPDLFHGFLAIGTLGSEYGTSEPATPTFPRSLENIADEKIEVTDNDLKVLKDETRKFLDTEAEAKEDCNESLGRNSCISTITLGGMQMKGAKADDYVKVAPCPLQGYLFGSLRELPETTVELEKEKASPGEVFQRKKLTDETSAETEGKREMHAKQAHKSAKHLIKKILRKFHPSRSPAPPSTNEAASSVSTKKKLNKVLRMLHRQVHPENSLAEEEFIEFHKENIKALGHNADLASENEDNRKFLTESKSMERIQRYKNDFQYGLSGSNINGNGEYWIKTDADYLVLEL >Manes.04G088700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29415083:29416141:1 gene:Manes.04G088700.v8.1 transcript:Manes.04G088700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCMQYYHTVAQVNDSLTYIVHSSEACLIQLMEFGMTLIRSYMFAFSSIEIYYNCMPKKPIKMFFHVALIFLITPASGNYCACLSAKTPLDDQVSHIRPRFDSRHEARENNLSEVEAKKAEGNCEDETSMVIPDLFHGFLAIGTLGSEYGTSEPATPTFPRSLENIADEKIEVTDNDLKVLKDETRKFLDTEAEAKEDCNESLGRNSCISTITLGGMQMKGAKADDYVKVAPCPLQGYLFGSLRELPETTVELEKEKASPGEVFQRKKLTDETSAETEGKREMHAKQAHKSAKHLIKKILRKFHPSRSPAPPSTNEAASSVSTKKKLNKVSLPALGSSENVITDPASLSIIL >Manes.06G069000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20516147:20516519:-1 gene:Manes.06G069000.v8.1 transcript:Manes.06G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFDLALIRASSQLWLFTFASSKGSVCFGSLCCAFFCYMLLCYLQHRLNLWVAAASMRLRPKRTYSGVECFGGFHIKRFFYLFLFFRGDLT >Manes.06G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22574154:22576848:-1 gene:Manes.06G089900.v8.1 transcript:Manes.06G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKDVTGFRYSSLPSSSSPPAARNLGGWKAIRYILGNESFEKLASMSLIINMTVYLQNKYHMGGASNVYVTNIWSGSSNATSLLGAFLADTYLGRFRTLLFGTIFSLLGMVAMTLTAAVPQLTPPKCEGESHCLQPEKWQLGFLFTSLGFLSIGAGGIRPCNIAFGADQFDTRTAKGRANLESFFNWWYFFFTIALLVALTGVIYIQTDVSWVIGLLIPTACLCLSLSIFLIGRHTYICKKPQGSIFADMLKVITAAYRKRQLNIVPGSQHSFYDPPMNDSDLHLKLSPTDGFKLLDKAAVITDPSELDAQGRPKNTWRLCSLHQVEQLKCLVAILPVWVSGIACFIPMDQQNAFGILQAIQMNKSLGSHLTIPPGWMGLTSMIALSMWVFIYEFIFIRLAKKLTGRDKRLTVAQKITIGIVLSILCMLVAAIVEKQRRDSALKHGSFASPITVGLLLPQFILSGLVEAFAAVSIMEFYTTQMPESMRTVAGAVFFLSLSIASYVGSLIINIIHHVTGKNGKSPWLGGHDLNKNRLDYYYYIIAGLGAVNFVYFSFFASRYAVELEKSSNCHSRKLHGDETGDEEKELELHGRLIVTAMEEKQSQKSN >Manes.09G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16154089:16155150:1 gene:Manes.09G074900.v8.1 transcript:Manes.09G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKWVAVLLIACVMLSHLESVEPSAADCYDGCITACVQPNLRLMRRCEIKCGIRCNSASAIEDPLG >Manes.13G060201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6987246:6989741:-1 gene:Manes.13G060201.v8.1 transcript:Manes.13G060201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEADDDASTVSSGRDEEQEAWNLRNQTLILQLSESLINGDLQTQIQAAKDIRKLVRKSSAKTRSKFAAAGVIQPLVFMLLSSNFDARQASLLALLNLAVRNQRNKVQIVTAGAIPPLVQLLKFQNGSLRELAAAAILTLSAAEPNKPIIAASGAAPLLVQILNSGSVQGKVDAVTALHNLLSCTENSHPIADAKAVSPLIKLLKDCKKYSKFAEKATALLELVSNSEEGQIAISDTDGGILTLVEIVEDGSLVSMEHAVGALLSLCQSNRDKYRELILNEGAIPGLLRLTVEGTSEAQEKARMLLDLLRDTPEKKKLASSVLERIVYDIAAQVDGSDKAAETAKRLLQDMVQRSMQLSLDRIQRSATSFTPPEISST >Manes.05G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7002178:7009549:-1 gene:Manes.05G084900.v8.1 transcript:Manes.05G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINQCQRIPILFLLCFTVLAPLLFVSTRLKNFTPGRKEFVEDLSTFKYRTDTVKLRAIEQEAGEDLKGPKLFVYERNNLDSVVSNSSSNRRNDSKHSENNKDRSHAARQSKSTGYVFHPLHSNGTSHERKEENKYIQPERVSSMSDDKRQYNQSRSRHNLNLRTPTHRAIDVKVKEMKDQLIRAKAYLSSAPPGSNSHLVRELRVRIRELERAMGGVMWDKDLSRSALQKMKSMEVSLSKAGHVFPHCSSMATKLRAMTYNAEEQVRAQKNQTTFLIKLAARTTPKALHCLSMQLTAQFFALPPEERQFPNQQKLHDADLHHYAVFSDNVLACAVVVNSTVSSAKDAEKIVFHVVTDSLNFPAISMWFLLNRPGKATIHIQSIDNFDWLAAKYNSTLRRQNSHDPRYASSLNHLRFYLPEIFPLLNKIVFFDHDVVVQKDLTGLWSLNMKGKVNGAVETCLENEASFRQMDLLINFSDPYVTKRFDAKACTWAFGMNLFDLKEWRRRNLTALYHKYLQVGYKKPLWKAGSLPLGWATFYNHTVTLDRSWHRLGLGYELGIRQDSIDQAAVLHYDGVMKPWLDIGIARYKSYWTKHVNYDHPYLQQCNVHG >Manes.08G133700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37058535:37060169:-1 gene:Manes.08G133700.v8.1 transcript:Manes.08G133700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRLVIPKVKLGNQGLEVSKLGFGCMGLSGGYDAPVPEEVGISIMKEAFDKGITFFDTADIYGEKIQLATKFGIMFKSNDFKTPSFNGKPEYVRACCEASLKRLDVDYIDLYYQHRVDPSVPIEETGKLKKLVEEGKIKYIGLSEPSPDTIKRAHTVHPITALQMEWSIWSRELEEEIIPLCRELGIGIVPYSPLGQGFFAGKAVRLLPRFTEENLEHNKVLYSRVENLARKYGCSPAQLALAWVLNQGDDVVPIPGTTKIKNLEDNIGALRVKLTKDEFKEVSDAVPADQVAGFRTVDIRHTWKFGNTPPRV >Manes.07G102700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30850728:30860447:-1 gene:Manes.07G102700.v8.1 transcript:Manes.07G102700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSANDPMESFLNSIQVVKDALSPLELGIRKAAKDLESCWGVSKNFRVNHLELSSNDSKNKNNKVQICAVKKRSTSNINGNNGNSVAGQERKEGLSVKVPIETLLGMFSLNLEHGFNKRQMKEREMGSEDGFFISSFVQAFPSPFKTSKKQFQKVGDNDCSNLHLCRQGSKSKVSSELKQKESQGQYARAVQSDSENNKARKHVSLECFVGFIFNQLVHNLKNFDQNFQQADSKGSDYDCSTPPPESYQFDHLRAVMSIWEGRRMDVNGFLRNLKFARVGGVPSGIVGVSPSVNEEGDGSISAGRGEETGGSSPQKLASMLLSIPMSNVERLRSTLSTVSLTELIDLVPHLGRSSKDYPDKEKLFSVQDFFRYTESEGRRFFEELDRDGDGQVTLEDLEIAMKKRNLPPKYAREFMQRARSHLFAKSFGWKQFLSLMEQKEPTILRAYTSLCLNQSGTLKKSEILASLKNAGLPANEDNAFGMMRFLNAGTEESISYGHFRNFMLLLPSDRLQDDPRSVWFEAATVVAVAPPVEIPAGSVLKSALAGGLSCAFSCAMMHPVDTIKTRVQASTLSFPEIISKLPEIGLQGLYLGSIPAILGQFSSHGLRTGICEASKLLLIHVAPTLPDIQVESISSFCSTVLGTAARIPCEVLKQRLQAGLYDNVGQAIIGTWQQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKLAQHLLRRELEPWETIVVGAISGGLAAVTTTPFDVMKTRMMTTHGQSLSMTMVAFSILRSDGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDRF >Manes.03G004100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:395016:401565:1 gene:Manes.03G004100.v8.1 transcript:Manes.03G004100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIVEPLIPSVLQNLEHRHPFIRRNAILAVMSIHKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCAQDRAINYLLSNVDRVSEWGESLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRSAAGTYCQLLLSQSDNNVKLIVLDRLNELKASHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLMLKKEVVKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVENGIATIKQCLGELPFYSVSEEGEAPDASKKPPQANFITVSSRRPAILADGTYATQSAASETAFSPPTIVQGTLSSGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNKASTQALLVMVSMLQLGQSSVLSHPIDNDSYDRILLCIRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTIIQNEKEFLDHIIMSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Manes.03G004100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:394992:401254:1 gene:Manes.03G004100.v8.1 transcript:Manes.03G004100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIVEPLIPSVLQNLEHRHPFIRRNAILAVMSIHKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCAQDRAINYLLSNVDRVSEWGESLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRSAAGTYCQLLLSQSDNNVKLIVLDRLNELKASHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLMLKKEVVKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVENGIATIKQCLGELPFYSVSEEGEAPDASKKPPQANFITVSSRRPAILADGTYATQSAASETAFSPPTIVQGTLSSGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNKASTQALLVMVSMLQLGQSSVLSHPIDNDSYDRILLCIRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTIIQNEKEFLDHIIMSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Manes.03G004100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:395045:401254:1 gene:Manes.03G004100.v8.1 transcript:Manes.03G004100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIVEPLIPSVLQNLEHRHPFIRRNAILAVMSIHKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCAQDRAINYLLSNVDRVSEWGESLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRSAAGTYCQLLLSQSDNNVKLIVLDRLNELKASHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLMLKKEVVKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVENGIATIKQCLGELPFYSVSEEGEAPDASKKPPQANFITVSSRRPAILADGTYATQSAASETAFSPPTIVQGTLSSGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNKASTQALLVMVSMLQLGQSSVLSHPIDNDSYDRILLCIRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTIIQNEKEFLDHIIMSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Manes.03G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:395045:401254:1 gene:Manes.03G004100.v8.1 transcript:Manes.03G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIVEPLIPSVLQNLEHRHPFIRRNAILAVMSIHKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCAQDRAINYLLSNVDRVSEWGESLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRSAAGTYCQLLLSQSDNNVKLIVLDRLNELKASHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLMLKKEVVKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVENGIATIKQCLGELPFYSVSEEGEAPDASKKPPQANFITVSSRRPAILADGTYATQSAASETAFSPPTIVQGTLSSGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNKASTQALLVMVSMLQLGQSSVLSHPIDNDSYDRILLCIRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTIIQNEKEFLDHIIMSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Manes.10G126966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29169267:29171434:1 gene:Manes.10G126966.v8.1 transcript:Manes.10G126966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVAVVKELVSVCPESIREKMDNGETILHLCVKYNRLEALKLLVETVRDDEFVSAADDNGNTILHLAAILKHVQITKYLLLETSIKENANTLNKIGFTALDALEHSPMDSKGLEIKIILLAAEAGHAQRNEERNSKVRSSTVQRKRGLAARTCKWWNNYLKNVGKRFEEARGNILIAATLTATVAFQAGVNPPQFNKDQNATIASTSSFYLGSYFVISF >Manes.12G078600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9832391:9833452:1 gene:Manes.12G078600.v8.1 transcript:Manes.12G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSRWWRAPIYFTISVVLAFIAISTALNSPSNNTTPPTRPISHHLSLNASIALRRSGFNIMATLLLISPEIFLLSPNTTIFAIKDSALTNASLPPWFLKHLLQYHTSPLIFSLDDLLKKPQGSCFPTLLSPKNVAVTKVGARERLVEINHVLVSHPDIFLEGNVAIHGVLGPFSSLSSQDFGRVWDSIQAPICDANSSLVLDVSETKNLVEWTRIVHLLSSNGFVSFAIGLNSVLDGILEDYRVLNAVTIFAPPELACVASPSPMLDKIVRLHILPQRITYSQLAFLPDKTMLRTLLPNQEVEITGDLNVTKGLAVNGVEIVAPEIFSSKEVIVHGISQALEVAELPTTSR >Manes.04G058600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19019540:19027988:-1 gene:Manes.04G058600.v8.1 transcript:Manes.04G058600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSFISLSIFTYYTFWVIILPFVDSDHFIHQYFLPQEYAILIPVFAGVTLLFFLCIFVGMVMFKSNKKKP >Manes.04G058600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19019540:19027988:-1 gene:Manes.04G058600.v8.1 transcript:Manes.04G058600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSFISLSIFTYYTFWVIILPFVDSDHFIHQYFLPQEYAILIPVFAGVTLLFFLCIFVGMVMFKSNKKKP >Manes.04G058600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19019813:19020137:-1 gene:Manes.04G058600.v8.1 transcript:Manes.04G058600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSFISLSIFTYYTFWVIILPFVDSDHFIHQYFLPQEYAILIPVFAGVTLLFFLCIFVGMVMFKSNKKKP >Manes.04G058600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19019540:19027988:-1 gene:Manes.04G058600.v8.1 transcript:Manes.04G058600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSFISLSIFTYYTFWVIILPFVDSDHFIHQYFLPQEYAILIPVFAGVTLLFFLCIFVGMVMFKSNKKKP >Manes.04G058600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19019539:19028035:-1 gene:Manes.04G058600.v8.1 transcript:Manes.04G058600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSFISLSIFTYYTFWVIILPFVDSDHFIHQYFLPQEYAILIPVFAGVTLLFFLCIFVGMVMFKSNKKKP >Manes.04G058600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19019540:19027988:-1 gene:Manes.04G058600.v8.1 transcript:Manes.04G058600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGFLLSFISLSIFTYYTFWVIILPFVDSDHFIHQYFLPQEYAILIPVFAGVTLLFFLCIFVGMVMFKSNKKKP >Manes.15G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11427000:11430944:1 gene:Manes.15G140900.v8.1 transcript:Manes.15G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGRSGTVRQYIRSKVPRLRWTPELHHCFVHAIERLGGQDKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDLGKQDKSSTHQRRQSFEDHDGCVDEIKPIEESDSHFIYSTIPSKRARIETRSSISDQNLQCSQGICETVTNPYSLDDYMRMKEGNGGGFRWEQTHSKPEPTAFSLPHDLCSLNTFNYAVEESDFLKIAKQEQGKCKLESHIWKPEATRSRRRRREDEEEGRGGSGSGCCELSLSLSLPCPSSCTSEMSEAFSSYVSRSIFKDCSGSASGKRSVNLDLSIALCGT >Manes.10G088200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22574444:22578379:1 gene:Manes.10G088200.v8.1 transcript:Manes.10G088200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSKNPPFSSIPSRSSNPRNSEVSNPIRRSFSGSPVARPSIITIQRPGFNPNTPANSPSDYPRRKSLNGENIVVSLRDFEDKENRKDQNLKQARVRSPASSKGTKNFMSPTISATSKINASPRKKILTERNEPTRTSVSSFDCKSPLPLKEDLDLKPEKGLNQKKEVSFDPTITYLEDKDSSKSNEDYNSMVHSSTKDDRESLSESTADDWDSLSKSMTVDKDCVNLDPSFQISPRASCPLAPLDADSLMPRYDPRTNYLSPRPQFLHYKPNPRIQLYLNKERDGEGLVENSASEISSDAEVTEGEEYLSDYSHKESEDASSGDVLIKGEEEEEEEEEEEEELLVSEPNLISTSKEAAEVKRMSKKHFFTKRKFTTLLLILAVACLWGSVTYSPVMDPSVLNNLSFSNLYLPPEISEPIRDNLQGLAQKFQQWAYDFLSYIHNHIISFRERLKPGPLQFANLTTLLHDGLFDNYLLVDQSPFGAAVKYERNGLSLTREVDIKSLEEEEDQSIEVEENVKVEGDEVNENVEVEGDNKETDENIEEAAEGEKNDQEYEDDKVIALHDNLEVLVNDIVLESEEVIMTTVTQERVETAGCIEEPPSETDVTDLPVPIPQAAEIQPDDSYPRLALAENDMGSVESESSIAENKPESFDSDAAMNLESVDLAILGTNNMFSSQAVMCISLLALCLLAPFAFIYIKKKTSTTSNVVITMDRTPVTKKVDYSPLSATTGHSLQEVDETTDGESCPSEMSSFQYSYSSSYSKGRNKRTSESQSQKHRKNSRRESLASSDYSTESQSYGSFTSYEKIINKNGNGEEKLLTPVRRSSRIRNHVTSP >Manes.03G152900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28080569:28081513:1 gene:Manes.03G152900.v8.1 transcript:Manes.03G152900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSFVFVLVLVFAFAHATARNVPSDQAALSEQMHFLPSPPPKLTVNNNPPSDEQIFVHATAPVAESPTSTGLKDKKNFIYGGVGGFAGMGGYAGVVGGLPVLGGLGGIGKYGGIGGAGGIGGYSGLGGGGLGGIGGLGGIGGLGGGAGGGRKCDP >Manes.07G099100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30331447:30342730:1 gene:Manes.07G099100.v8.1 transcript:Manes.07G099100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQGAPLALALSIFAFLSLLTTSFSSQQIQILNAERRIDLTSHIVKVFLTLKVENAGAAPASEVLLAFPPTQVDHLAVVKAQAATGKRKKRIYMLLDVKPTELPDAPNGAKYFIISLLSPLNSGETATLEVLYLLTHSLEPFPAEISQSDLQLVYYHDSAIILSPYHIKQQTTFIKTPTAKVESFTRVGPTNHVSKELKYGPYEDRPPYSFSPIIVHFENNNPFAVVEELVREVEISHWGNLQITEQYKLVHAGARHKGVFSRVDYQNRPSFNGVSSFKHLLAILPPRTHSVYYRDEIGNISSSHFRTDNRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSDGKRYLNFSFGCPLAETVVDKLTVKVVLPEGSKEPSAVVPFPVEQHVETKYSYLDVVGRTVLVLEKKNVVPEHNSPFQVYYSFNQMVVLAEPLMLVSAFFFLFVACVAYLHIDLSIHK >Manes.07G099100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30331461:30342690:1 gene:Manes.07G099100.v8.1 transcript:Manes.07G099100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQGAPLALALSIFAFLSLLTTSFSSQQIQILNAERRIDLTSHIVKVFLTLKVENAGAAPASEVLLAFPPTQVDHLAVVKAQAATGKRKKRIYMLLDVKPTELPDAPNGAKYFIISLLSPLNSGETATLEVLYLLTHSLEPFPAEISQSDLQLVYYHDSAIILSPYHIKQQTTFIKTPTAKVESFTRVGPTNHVSKELKYGPYEDRPPYSFSPIIVHFENNNPFAVVEELVREVEISHWGNLQITEQYKLVHAGARHKGVFSRVDYQNRPSFNGVSSFKHLLAILPPRTHSVYYRDEIGNISSSHFRTDNRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSDGKRYLNFSFGCPLAETVVDKLTVKVVLPEGSKEPSAVVPFPVEQHVETKYSYLDVVGRTVLVLEKKNVVPEHNSPFQVYYSFNQMVVLAEPLMLVSAFFFLFVACVAYLHIDLSIHK >Manes.07G099100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30332295:30342289:1 gene:Manes.07G099100.v8.1 transcript:Manes.07G099100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDVKPTELPDAPNGAKYFIISLLSPLNSGETATLEVLYLLTHSLEPFPAEISQSDLQLVYYHDSAIILSPYHIKQQTTFIKTPTAKVESFTRVGPTNHVSKELKYGPYEDRPPYSFSPIIVHFENNNPFAVVEELVREVEISHWGNLQITEQYKLVHAGARHKGVFSRVDYQNRPSFNGVSSFKHLLAILPPRTHSVYYRDEIGNISSSHFRTDNRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSDGKRYLNFSFGCPLAETVVDKLTVKVVLPEGSKEPSAVVPFPVEQHVETKYSYLDVVGRTVLVLEKKNVVPEHNSPFQVYYSFNQMVVLAEPLMLVSAFFFLFVACVAYLHIDLSIHK >Manes.01G258900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41511271:41511846:-1 gene:Manes.01G258900.v8.1 transcript:Manes.01G258900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKLAFSIFMLFILLVTYTLEIGARPPKPCKPSGKIRGKKPPPNKCNTQNDSECCVEGKLYTTFNCSPPVTGHTKGFLTLNSFEKGGDGGGPSECDKRYHSDDIPIIALSTGWFNKKGMCFHNITIKGNGRSVVAMVVDECDSTMGCDDTHDYQPPCDNNIVDASKAVWKALGVPEDDWGGLDITWSDA >Manes.02G055200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4384532:4386419:1 gene:Manes.02G055200.v8.1 transcript:Manes.02G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHGFVTKKHLTNSEEANDGAVIIPEHKFLVNSPSSSSESSMDSSYVEKEDPSDTIQKSKQANLDPKGQVSGHNASTSIHEPSAAIYPQNQISKQSEDYDPNRIPASVFARTPMEWSVASTESLFSLHMGNSSFSRDSGFMQYKSGELHKFDETNSPPPAPLPIIVEKVLEKKIQDISEDSKVTEEKPVEPAKAVVKPELPPNNTKAVPVGTEKSISQEKASPAEDLRQSSSSTQSFKFPLFEANAAGSDSVKVVKEKQSSMKQPNPGTPPDPNPRGSSWFSCFSCCSFGC >Manes.02G048440.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3944389:3947203:-1 gene:Manes.02G048440.v8.1 transcript:Manes.02G048440.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYSRNEDDVNDFDEYDPTPYGGGYDLALTYGRPLPPSEETCYPNSSSADEIDYDRPHFTSYAEPSAYADEHLQEEYTSYARPKPRPGPAHGFTPGYAAGGEVFVDAKPEPAYGFQPGPGSDYGSGGYGGRPEYEKPPSQEYGSGYGQRPESEYGSGGYGGRTEYEKPASEEYGSGYGVRPESEYGSGGYGGKTEYGRPTSEEYGSGYGRRPEYGSGYGGRTDYERPSGGEYGSGYGRRPESEYGTGRTDYERPPGGEYGSGYGKRPESGYGGSTEYERPSSEYDSGYGGRTEYGSGHERRNESEYEGGGYGRKPSYGEERGYGERTEYERPSYGDEPPRRPSYGRQEEEYERPTYERRDDDDDDESRNKYGYGGEEGHSRKKYGDDDDDSGDEEKRNRYKHHHHKKHYDDE >Manes.18G104850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10177846:10178853:-1 gene:Manes.18G104850.v8.1 transcript:Manes.18G104850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRSNRKTEPNPTQGVGVLAYRTQPNPTQPSRPAPQPPPYLSPNPSIPFSTFSNLPIPPPLFSRISIPPLGSPHSHSRIRILNKSIIKSH >Manes.17G020166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10954284:10955091:1 gene:Manes.17G020166.v8.1 transcript:Manes.17G020166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEALYGFCPNPFPILSFGATSMGKVEEFLVHRTWQHRMKQQQADKHRSERELVVGYWVYLRLQSYRQATAAMRHSLKLSTKIYGPFKVIEKIGTIAYKLQLPAHATIHPVFHDLPEMQEDTFTVLPGRVLETRTVTRREQQVVQGLIKWLNLLEDDATWEDQSFITAQFPQFSHSWRQKRANGSGIFVILLDESIKGSRKGVCYQSRI >Manes.04G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30210174:30212547:-1 gene:Manes.04G096600.v8.1 transcript:Manes.04G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLWTLCLSFLLLASVSTAAAPRKPVDVPFGRNYAPTWAFDHIKYFNGGSEIQLTLDKYTGTGFQSKGSYLFGHFSMHIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHTYSVLWNMYQIVFFVDDVPIRVFKNSKDLGVRYPFNQPMKLYSSLWNADDWATRGGLEKTDWSKAPFIASYKGFHIDGCEASVNAKFCETQGKRWWDQREFQDLDAAQYRRLRWVRQKYTIYNYCTDRVRFPAAAPECKRDRDI >Manes.13G068647.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8897645:8904329:-1 gene:Manes.13G068647.v8.1 transcript:Manes.13G068647.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTSSCQGQSTVYLSLTTMAENPDSAEMLMVIQQIQALHCKETREAALQSLVQDDSIRESSLPLLLWHSFGAVSILIQEIMSINRSVSSPYLSNRVRWALALFQGIASHPRAKLILIYAEIPKHLKPFLDIRNEEMHHESLRHSSLVVLEALVKVDDAKVIGFFLKYDMITSFLNCMEFGGALSRTTATFIVHRILLNKDVFSHCDQAGRFLDILRRLELMINELAKGGSFAEDTRKQLLQQIILCYHRLLENPVHMLNLETSFPRS >Manes.15G167000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14179279:14182465:-1 gene:Manes.15G167000.v8.1 transcript:Manes.15G167000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRDGPLMRSGTTAQSLRKSRIAIAIAIGIFLGCVFAFFFPRGFFIFNPHFHSRQLSNSIAQVESTSCESSDRLNMLKAEFAAASEKNTELKTQVKELTEKLKWAEQGKDHAQKQVAVLGEQHKAGPFGTVKSLRTNPTVIPDESVNPRLAKVLEKVAVQREVIVALANSNVKGMLEVWFNSIKKVGIPNYLVVALDDEIVNFCESNDVPVYKRDPDEGIDSIGKTGGNHAVSGLKFHILREFLLLGYGVLLSDVDIVYLQNPFHFLYRDSDVESMTDGHNNITAYGYDDVFDDPAMGWARYAHTMRIWVYNSGFFYLRPTIPSIELLDRVANRLSHEKAWDQAVFNEELFFPSHSGYNGLHASRRTMDFYLFMNSKVLFKTVRKNANLSKLKPVIVHVNYHPDKLPRMKAVVEFYVNGKRDALKPFPDGSDW >Manes.12G115900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31747920:31753218:1 gene:Manes.12G115900.v8.1 transcript:Manes.12G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKQISGMSEVISETMEKHQLSFSKEGKGKRLWKKVKYQLVEYHSLPGYLRDNEYIVGHYRSEWPLKQVLLSIFSIHNETLNVWTHLIGFFLFLSLTIYTAMKVPKVVDLHSLQLPDVLKADLHKLHECLPSLPTLPNIHRLREEIRTTLPSMDLLPSLSGWHIMELLYNCLPERFFSGNHTDVCVLRSMKEDVVKMIAPLMVRPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERMSYIMLRLDYAGIAALICTSFYPPVYYSFMCDPFFCNLYLGFITILGIATILVSLLPMFQTPEFRTIRAALFSGMGMSGIAPILHKLVLFWDQPEAHHTTGYEVLMGILYGIGALVYATRIPERWMPGKFDIAGHSHQLFHIFVVAGAYTHYHAGLVYLKWRDLEGC >Manes.07G060300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10686039:10698025:-1 gene:Manes.07G060300.v8.1 transcript:Manes.07G060300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEAATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFLPPVQQIVAPPVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAEQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNREPHAWKDKLKQLSEFDNEEIYSKVHAVLKSSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYIMGLSLFKNITVEDARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFVVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLEIPDQFFKGIRNLEVVDFTGIHFVYLPSSLAFLSNLHTLCLHRCQLDDLGIIGDLKQLRVLSFANSNVVELPRKIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWKCNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLTPGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSKEVLEFNRLRSLNLQNLPNLRTFHSKMKTPLKIEEFLSQQQSDTHLSLFSRTVSFPNLENLLLHSVNCEKIWHDQLSATSSKLEILFVKDCNELKHLFTASIVKRLLQLKTLQIYDCSFMEEIILTEEFIEEEDERMNQILFPKLDELTLWNLPNLIRLCTGYQIEFQSLKDLNISNCNALMCLVPSVPHTGMMEKQDDSQNQNQNQNAEIQSLFNGMVGFPNLERLRLSGINELKRIWHSPLAPNSFFKLKSLNVFNCQKLMVVFPSNVLERFRRMEELHVSYCASLQEIYQLEGFNVDESFELRSLNIWRLRSLKHVWKKDAQRVFGFQNLKSVEVFECDILNWTHIFECQKLTTLNVYSCHKIIKFSSQEIQEEDRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKDYNSSEVLEELFSYEGLAGKEEEHARTLAPVKNLKLEYLFNLKHIWDPDSGLKPLLQYLETLTVSWCSSLINVAPSSSSFQNLATLQVSYCAGLANLITASTAKSMVHLTKMSIQHCSMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDPNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVSDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIMGGVQEDGSTDEIAFSKMKTLELEDLQNLTCFCFGSYTFNFPSLEQVTVFRCPKLRIFTVRKLSAPKIHGVFIGRRFNQTFHWEGDLNATIEQIYMKYIGFEWIDDVQLSNFPMLKEKWHGQFPFENLRHIRRLVVDECAFFSNAISSNLLKHLSCLNELAVERCDSVEELFDLEGLNDGLVKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPAMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVDCPNMELLASKFCKEHDLSMIAEGNGERIAASSDGKVSFLYNK >Manes.07G060300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10683434:10698026:-1 gene:Manes.07G060300.v8.1 transcript:Manes.07G060300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEAATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFLPPVQQIVAPPVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAEQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNREPHAWKDKLKQLSEFDNEEIYSKVHAVLKSSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYIMGLSLFKNITVEDARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFVVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLEIPDQFFKGIRNLEVVDFTGIHFVYLPSSLAFLSNLHTLCLHRCQLDDLGIIGDLKQLRVLSFANSNVVELPRKIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWKCNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLTPGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSKEVLEFNRLRSLNLQNLPNLRTFHSKMKTPLKIEEFLSQQQSDTHLSLFSRTVSFPNLENLLLHSVNCEKIWHDQLSATSSKLEILFVKDCNELKHLFTASIVKRLLQLKTLQIYDCSFMEEIILTEEFIEEEDERMNQILFPKLDELTLWNLPNLIRLCTGYQIEFQSLKDLNISNCNALMCLVPSVPHTGMMEKQDDSQNQNQNQNAEIQSLFNGMVGFPNLERLRLSGINELKRIWHSPLAPNSFFKLKSLNVFNCQKLMVVFPSNVLERFRRMEELHVSYCASLQEIYQLEGFNVDESFELRSLNIWRLRSLKHVWKKDAQRVFGFQNLKSVEVFECDILNWTHIFECQKLTTLNVYSCHKIIKFSSQEIQEEDRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKDYNSSEVLEELFSYEGLAGKEEEHARTLAPVKNLKLEYLFNLKHIWDPDSGLKPLLQYLETLTVSWCSSLINVAPSSSSFQNLATLQVSYCAGLANLITASTAKSMVHLTKMSIQHCSMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDPNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLVNTIDEFHKVF >Manes.07G060300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10683434:10698025:-1 gene:Manes.07G060300.v8.1 transcript:Manes.07G060300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEAATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFLPPVQQIVAPPVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAEQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNREPHAWKDKLKQLSEFDNEEIYSKVHAVLKSSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYIMGLSLFKNITVEDARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFVVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLEIPDQFFKGIRNLEVVDFTGIHFVYLPSSLAFLSNLHTLCLHRCQLDDLGIIGDLKQLRVLSFANSNVVELPRKIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWKCNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLTPGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSKEVLEFNRLRSLNLQNLPNLRTFHSKMKTPLKIEEFLSQQQSDTHLSLFSRTVSFPNLENLLLHSVNCEKIWHDQLSATSSKLEILFVKDCNELKHLFTASIVKRLLQLKTLQIYDCSFMEEIILTEEFIEEEDERMNQILFPKLDELTLWNLPNLIRLCTGYQIEFQSLKDLNISNCNALMCLVPSVPHTGMMEKQDDSQNQNQNQNAEIQSLFNGMVGFPNLERLRLSGINELKRIWHSPLAPNSFFKLKSLNVFNCQKLMVVFPSNVLERFRRMEELHVSYCASLQEIYQLEGFNVDESFELRSLNIWRLRSLKHVWKKDAQRVFGFQNLKSVEVFECDILNWTHIFECQKLTTLNVYSCHKIIKFSSQEIQEEDRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKDYNSSEVLEELFSYEGLAGKEEEHARTLAPVKNLKLEYLFNLKHIWDPDSGLKPLLQYLETLTVSWCSSLINVAPSSSSFQNLATLQVSYCAGLANLITASTAKSMVHLTKMSIQHCSMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDPNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLVNTIDEFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVSDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIMGGVQEDGSTDEIAFSKMKTLELEDLQNLTCFCFGSYTFNFPSLEQVTVFRCPKLRIFTVRKLSAPKIHGVFIGRRFNQTFHWEGDLNATIEQIYMKYIGFEWIDDVQLSNFPMLKEKWHGQFPFENLRHIRRLVVDECAFFSNAISSNLLKHLSCLNELAVERCDSVEELFDLEGLNDGLVKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPAMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVDCPNMELLASKFCKEHDLSMIAEGNGERIAASSDGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKAIELTCISSDSTLLPSQFFESLPILEKLVLSDASFEDFIFCEEIIGEEIHPQSLVKVKELSLSKLPRLKHLRDAKLLSIFQSLDTLNVMECGRLQVLVASSVSFQNLTTLQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVECPNMKIFAQEVSTPQLWRVQTGEQFKYDKEWDWEWEWKGSLNNTIQVICDWEWEGSLNNTIEALFKEKKAEETRIGQCSYG >Manes.07G060300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10683434:10698025:-1 gene:Manes.07G060300.v8.1 transcript:Manes.07G060300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEAATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFLPPVQQIVAPPVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAEQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNREPHAWKDKLKQLSEFDNEEIYSKVHAVLKSSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYIMGLSLFKNITVEDARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFVVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLEIPDQFFKGIRNLEVVDFTGIHFVYLPSSLAFLSNLHTLCLHRCQLDDLGIIGDLKQLRVLSFANSNVVELPRKIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWKCNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLTPGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSKEVLEFNRLRSLNLQNLPNLRTFHSKMKTPLKIEEFLSQQQSDTHLSLFSRTVSFPNLENLLLHSVNCEKIWHDQLSATSSKLEILFVKDCNELKHLFTASIVKRLLQLKTLQIYDCSFMEEIILTEEFIEEEDERMNQILFPKLDELTLWNLPNLIRLCTGYQIEFQSLKDLNISNCNALMCLVPSVPHTGMMEKQDDSQNQNQNQNAEIQSLFNGMVGFPNLERLRLSGINELKRIWHSPLAPNSFFKLKSLNVFNCQKLMVVFPSNVLERFRRMEELHVSYCASLQEIYQLEGFNVDESFELRSLNIWRLRSLKHVWKKDAQRVFGFQNLKSVEVFECDILNWTHIFECQKLTTLNVYSCHKIIKFSSQEIQEEDRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKDYNSSEVLEELFSYEGLAGKEEEHARTLAPVKNLKLEYLFNLKHIWDPDSGLKPLLQYLETLTVSWCSSLINVAPSSSSFQNLATLQVSYCAGLANLITASTAKSMVHLTKMSIQHCSMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDPNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVSDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIMGGVQEDGSTDEIAFSKMKTLELEDLQNLTCFCFGSYTFNFPSLEQVTVFRCPKLRIFTVRKLSAPKIHGVFIGRRFNQTFHWEGDLNATIEQIYMKYIGFEWIDDVQLSNFPMLKEKWHGQFPFENLRHIRRLVVDECAFFSNAISSNLLKHLSCLNELAVERCDSVEELFDLEGLNDGLVKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPAMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVDCPNMELLASKFCKEHDLSMIAEGNGERIAASSDGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKAIELTCISSDSTLLPSQFFESLPILEKLVLSDASFEDFIFCEEIIGEEIHPQSLVKVKELSLSKLPRLKHLRDAKLLSIFQSLDTLNVMECGRLQVLVASSVSFQNLTTLQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVECPNMKIFAQEVSTPQLWRVQTGEQFKYDKEWDWEWEWKGSLNNTIQVICDWEWEGSLNNTIEALFKEKKAEETRIGQCSYG >Manes.07G060300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10683434:10698025:-1 gene:Manes.07G060300.v8.1 transcript:Manes.07G060300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEAATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFLPPVQQIVAPPVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAEQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNREPHAWKDKLKQLSEFDNEEIYSKVHAVLKSSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYIMGLSLFKNITVEDARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFVVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLEIPDQFFKGIRNLEVVDFTGIHFVYLPSSLAFLSNLHTLCLHRCQLDDLGIIGDLKQLRVLSFANSNVVELPRKIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWKCNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLTPGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSKEVLEFNRLRSLNLQNLPNLRTFHSKMKTPLKIEEFLSQQQSDTHLSLFSRTVGFPNLERLRLSGINELKRIWHSPLAPNSFFKLKSLNVFNCQKLMVVFPSNVLERFRRMEELHVSYCASLQEIYQLEGFNVDESFELRSLNIWRLRSLKHVWKKDAQRVFGFQNLKSVEVFECDILNWTHIFECQKLTTLNVYSCHKIIKFSSQEIQEEDRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKDYNSSEVLEELFSYEGLAGKEEEHARTLAPVKNLKLEYLFNLKHIWDPDSGLKPLLQYLETLTVSWCSSLINVAPSSSSFQNLATLQVSYCAGLANLITASTAKSMVHLTKMSIQHCSMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDPNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLVNTIDEFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVSDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIMGGVQEDGSTDEIAFSKMKTLELEDLQNLTCFCFGSYTFNFPSLEQVTVFRCPKLRIFTVRKLSAPKIHGVFIGRRFNQTFHWEGDLNATIEQIYMKYIGFEWIDDVQLSNFPMLKEKWHGQFPFENLRHIRRLVVDECAFFSNAISSNLLKHLSCLNELAVERCDSVEELFDLEGLNDGLVKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPAMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVDCPNMELLASKFCKEHDLSMIAEGNGERIAASSDGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKAIELTCISSDSTLLPSQFFESLPILEKLVLSDASFEDFIFCEEIIGEEIHPQSLVKVKELSLSKLPRLKHLRDAKLLSIFQSLDTLNVMECGRLQVLVASSVSFQNLTTLQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVECPNMKIFAQEVSTPQLWRVQTGEQFKYDKEWDWEWEWKGSLNNTIQVICDWEWEGSLNNTIEALFKEKKAEETRIGQCSYG >Manes.07G060300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10684699:10698025:-1 gene:Manes.07G060300.v8.1 transcript:Manes.07G060300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEAATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFLPPVQQIVAPPVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAEQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNREPHAWKDKLKQLSEFDNEEIYSKVHAVLKSSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYIMGLSLFKNITVEDARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFVVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLEIPDQFFKGIRNLEVVDFTGIHFVYLPSSLAFLSNLHTLCLHRCQLDDLGIIGDLKQLRVLSFANSNVVELPRKIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWKCNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLTPGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSKEVLEFNRLRSLNLQNLPNLRTFHSKMKTPLKIEEFLSQQQSDTHLSLFSRTVSFPNLENLLLHSVNCEKIWHDQLSATSSKLEILFVKDCNELKHLFTASIVKRLLQLKTLQIYDCSFMEEIILTEEFIEEEDERMNQILFPKLDELTLWNLPNLIRLCTGYQIEFQSLKDLNISNCNALMCLVPSVPHTGMMEKQDDSQNQNQNQNAEIQSLFNGMVGFPNLERLRLSGINELKRIWHSPLAPNSFFKLKSLNVFNCQKLMVVFPSNVLERFRRMEELHVSYCASLQEIYQLEGFNVDESFELRSLNIWRLRSLKHVWKKDAQRVFGFQNLKSVEVFECDILNWTHIFECQKLTTLNVYSCHKIIKFSSQEIQEEDRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKDYNSSEVLEELFSYEGLAGKEEEHARTLAPVKNLKLEYLFNLKHIWDPDSGLKPLLQYLETLTVSWCSSLINVAPSSSSFQNLATLQVSYCAGLANLITASTAKSMVHLTKMSIQHCSMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDPNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLVNTIDEFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVSDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIMGGVQEDGSTDEIAFSKMKTLELEDLQNLTCFCFGSYTFNFPSLEQVTVFRCPKLRIFTVRKLSAPKIHGVFIGRRFNQTFHWEGDLNATIEQIYMKYIGFEWIDDVQLSNFPMLKEKWHGQFPFENLRHIRRLVVDECAFFSNAISSNLLKHLSCLNELAVERCDSVEELFDLEGLNDGLVKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPAMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVDCPNMELLASKFCKEHDLSMIAEGNGERIAASSDGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKAIELTCISSDSTLLPSQFFESLPILEKLVLSDASFEDFIFCEEIIGEEIHPQSLVKVKELSLSKLPRLKHLRDAKLLSIFQSLDTLNVMECGRLQVLVASSVSFQNLTTLQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVECPNMKIFAQEVSTPQLWRVQTGEQFKYDKEWDWEWEWKGSLNNTIQVICDWEWEGSLNNTIEALFKEKKAEETRIGQCSYG >Manes.07G060300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10686039:10698025:-1 gene:Manes.07G060300.v8.1 transcript:Manes.07G060300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEAATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFLPPVQQIVAPPVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAEQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNREPHAWKDKLKQLSEFDNEEIYSKVHAVLKSSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYIMGLSLFKNITVEDARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFVVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLEIPDQFFKGIRNLEVVDFTGIHFVYLPSSLAFLSNLHTLCLHRCQLDDLGIIGDLKQLRVLSFANSNVVELPRKIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWKCNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLTPGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSKEVLEFNRLRSLNLQNLPNLRTFHSKMKTPLKIEEFLSQQQSDTHLSLFSRTVSFPNLENLLLHSVNCEKIWHDQLSATSSKLEILFVKDCNELKHLFTASIVKRLLQLKTLQIYDCSFMEEIILTEEFIEEEDERMNQILFPKLDELTLWNLPNLIRLCTGYQIEFQSLKDLNISNCNALMCLVPSVPHTGMMEKQDDSQNQNQNQNAEIQSLFNGMVGFPNLERLRLSGINELKRIWHSPLAPNSFFKLKSLNVFNCQKLMVVFPSNVLERFRRMEELHVSYCASLQEIYQLEGFNVDESFELRSLNIWRLRSLKHVWKKDAQRVFGFQNLKSVEVFECDILNWTHIFECQKLTTLNVYSCHKIIKFSSQEIQEEDRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKDYNSSEVLEELFSYEGLAGKEEEHARTLAPVKNLKLEYLFNLKHIWDPDSGLKPLLQYLETLTVSWCSSLINVAPSSSSFQNLATLQVSYCAGLANLITASTAKSMVHLTKMSIQHCSMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDPNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLVNTIDEFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVSDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIMGGVQEDGSTDEIAFSKMKTLELEDLQNLTCFCFGSYTFNFPSLEQVTVFRCPKLRIFTVRKLSAPKIHGVFIGRRFNQTFHWEGDLNATIEQIYMKYIGFEWIDDVQLSNFPMLKEKWHGQFPFENLRHIRRLVVDECAFFSNAISSNLLKHLSCLNELAVERCDSVEELFDLEGLNDGLVKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPAMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVDCPNMELLASKFCKEHDLSMIAEGNGERIAASSDGKVSFLYNK >Manes.07G060300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10686039:10698025:-1 gene:Manes.07G060300.v8.1 transcript:Manes.07G060300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEAATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFLPPVQQIVAPPVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAEQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNREPHAWKDKLKQLSEFDNEEIYSKVHAVLKSSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYIMGLSLFKNITVEDARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFVVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLEIPDQFFKGIRNLEVVDFTGIHFVYLPSSLAFLSNLHTLCLHRCQLDDLGIIGDLKQLRVLSFANSNVVELPRKIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWKCNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLTPGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSKEVLEFNRLRSLNLQNLPNLRTFHSKMKTPLKIEEFLSQQQSDTHLSLFSRTVGFPNLERLRLSGINELKRIWHSPLAPNSFFKLKSLNVFNCQKLMVVFPSNVLERFRRMEELHVSYCASLQEIYQLEGFNVDESFELRSLNIWRLRSLKHVWKKDAQRVFGFQNLKSVEVFECDILNWTHIFECQKLTTLNVYSCHKIIKFSSQEIQEEDRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKDYNSSEVLEELFSYEGLAGKEEEHARTLAPVKNLKLEYLFNLKHIWDPDSGLKPLLQYLETLTVSWCSSLINVAPSSSSFQNLATLQVSYCAGLANLITASTAKSMVHLTKMSIQHCSMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDPNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLVNTIDEFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVSDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIMGGVQEDGSTDEIAFSKMKTLELEDLQNLTCFCFGSYTFNFPSLEQVTVFRCPKLRIFTVRKLSAPKIHGVFIGRRFNQTFHWEGDLNATIEQIYMKYIGFEWIDDVQLSNFPMLKEKWHGQFPFENLRHIRRLVVDECAFFSNAISSNLLKHLSCLNELAVERCDSVEELFDLEGLNDGLVKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPAMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVDCPNMELLASKFCKEHDLSMIAEGNGERIAASSDGKVSFLYNK >Manes.05G171100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28596461:28599293:-1 gene:Manes.05G171100.v8.1 transcript:Manes.05G171100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVSLPNKDSLTTKAPKKSTLSLRLLVLAFTVICGVYICSICLKQTAPHTTATLLNSKIFYQPCNISNAEPWELSYMHYPEPQTFSREECACNPVRYFAILSMQRSGSGWFETLLNSHINVSSNGEIFGAKDRRENISAIVKTLDKVYNLDWFSSASKNECNAAVGFKWMLNQGVLEHHDGIVEYFDKKGVHAIFLFRRNLLRRMISVLANSYDKSEKPLNGTHKSHVHSSAEAKVLAKYKPRINTTTLLSDLKHVADRANRAIKYFKSTRHIVVYYEDVMGNSTKLKEVQEFLRLPYGELTSRQIKIHSGVLSDQIENLDEVLQVLNGTSYGSFLHSDYPDVV >Manes.11G102100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23014037:23020891:-1 gene:Manes.11G102100.v8.1 transcript:Manes.11G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSVVPAGRSARRAAVEDDKLVFETTEGIEPITSFDEMGIKNDLLRGIYAYGFEKPSAIQQRAVMPIIKGRDVIAQAQSGTGKTSMIALTVCQLVDTSSREVQALILSPTRELAAQTEKVILAIGDYINIQAHACIGGKSVGEDIRKLEYGVHVVSGTPGRVCDMIKRRTLRTRAIRLLVLDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPNEILEMTSKFMTDPVKILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQRERDAIMSEFRSGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >Manes.17G022994.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:13718444:13719072:1 gene:Manes.17G022994.v8.1 transcript:Manes.17G022994.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMIAYVKMNCFLLWFLLTGLYSSPLSPNPRFAGSKVVQEVNRIWLWL >Manes.03G073800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12118575:12121603:1 gene:Manes.03G073800.v8.1 transcript:Manes.03G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANINHSLKLVAYHHHRHHLLVSCSIILALCFFSSVPVHALNIGVQKIDATVSVSKECSRKCESEFCSVPPFLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDACVQAKNNDYLSQECSQNFINCMTNFRNSGGHSFKGNKCQVDEVIDVISVVMEAALLAGRYLHKP >Manes.18G144824.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21481913:21483404:1 gene:Manes.18G144824.v8.1 transcript:Manes.18G144824.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELEVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.05G053800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4468628:4468966:-1 gene:Manes.05G053800.v8.1 transcript:Manes.05G053800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVILGLVMVALVAAMPGEAVDCRLVDTSLAFCIPFLARGGGFPSPTCCLGVRNLQVLTLTTEDRRAACECIKTVGALIPFINEDAASSLPQKCGVELNIPISKTADCQR >Manes.04G092900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29795189:29800283:-1 gene:Manes.04G092900.v8.1 transcript:Manes.04G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHKNSTFSRRPPILHLLFLAALFSILVFAIQSSLFSGDPKSDLSKEEIQALSDFQSSVQQCVANRGLGLTAHIVDICKLTLKFPEGTNSTWYNDQFKIYEPLEYHYDVCDAILLWEQYRNMTTVLTREYLDARPDGWLEYAAKRIAQLGSDKCYNKTLCEEHLNLILPAKPPFHPRQFHTCAVVGNSGDLLKTEFGEDIDGHDAVIRDNEAPVNEKYAKHVGLKRDFRLVVRGAARNMVKILNGSTDEVLIIKSVTHRDFNAMIKRIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDTYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRKQDWSDVPSREMISRAHAAALHLKRGRGPGQFGSCKVWGNVDPDNSGPISGSSDMSDVRKYSHYNRWEVMPFESLRREARDYYIQMENVSLYKMDGNKLDDLVCVAHSLKSEA >Manes.13G029300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3839481:3840228:-1 gene:Manes.13G029300.v8.1 transcript:Manes.13G029300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLQWLQSNIFAQIPATQVKYIAVGNEVSLRDSFFTPYVGKILSSPQAASVLSSSYPPSSGTFDPYIESVIVPLLQFLYNSRSPFMVNVYPYISYVNNLKHVELDYALFKTRSPMQDGVLEYRNLLDASVDALVYAMEREGFPGIKAVVTETGWPTAGGEAASVENALTYNKEVVRRVVNDVGTPKRPKEEMEVYLFSLYDENGKMGEDYEKHFGIFGLGGNKVYDLSFS >Manes.10G105170.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25405078:25424908:1 gene:Manes.10G105170.v8.1 transcript:Manes.10G105170.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNQLADPKPHAVCVPYPAQGHINPMFKLAKLLHFNGFHITFVNTDYNHKRLLKSTGPNSLAGSPDFRFESIPDGLPVTDDDNTTQDIPSLCDSTSKHCLIPFRNLLHRLNNDSSSSCASSSSDVPPVSCIVSDGSMSFTVEAAEELGLPRVLFWTPSACGVLAYAHYPLLVERGLVPLKDAIYLTNGFLETTIDWIPAMKNIRLKDLPSFIRTTDPNSIMLNYVPNEISKIPRASALILNTFDALEQDVLDVLSSMFPLVYSIGPLHSLLSYHISDDHELKTLGSNLWKEDTECIKWLDSQKPNSVIYVNFGSIAVMSSLQLIEFAWGLANSKKPFLWIMRPDLVTGDSMIVPPEFLAETNGRGIIAGWCPQEKVLNHPSIGGFLSHMGWNSTIESVTAGVPLLCWPFFAEQQTNCWFACNEWSIGVEINNDAKRDEVENLVRKLMGEEGKEMKKRAMEWKEKAEEAIRPSGSSYQNFQKLTAVLTNKQIN >Manes.16G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32451810:32452953:1 gene:Manes.16G122200.v8.1 transcript:Manes.16G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGWKKEPLIEKVNAENDVKDKSRIRSDCWELKELVSRDGQAKLKASVFFASFDQRTLATVIVHWLQSNQNFMPTASQFDSLIAEGSSEWCKLCNDQSYMNSFPDNHFNLETFENLKGAKSFDDIWKELSSSTEAYDQRIYIETCNDHFFVLKADADAFYIIDSLGERLFEGCNQAYILKFDESSVMYWKVVKEEADSNETVAAAAREKPKDVNEESADIVCKGKDCGREFIKRFLAAITLKELEEEEKMGKIDFHYCSSSTSSSSSFASPTSSQYSSDECSN >Manes.14G136100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9425401:9426299:1 gene:Manes.14G136100.v8.1 transcript:Manes.14G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLSTPLIKNSKAARVVLPTGEVRQFRHHPVNAAELMLECPNFFLVNSQSLHIGRRFSALSADEEVEFGNVYIMFPMKRLNSVVTAADMAALFMAANSAAKRISGGNNANKGRVLPESSGDVKAEESCMHGSEDGGSRLSGSEEEIEGFPMLEFRHRLSMCRSRKPMLETIKEESVCSR >Manes.07G076922.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22605693:22611207:1 gene:Manes.07G076922.v8.1 transcript:Manes.07G076922.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQKAIDEEVESHAPSEAAAPAATPPPAAAGGLGQDALFQQIAELLRRVTQNVPEVPPPPPVAVQVPPPVVARPPIEKLRKYGATDFRGKKEDDPSAAEFWLESTERVLQQLQCSPVDSLMCAVSLLKDEAYRWWTTLTQMVRPERQTWEFFLSEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCKRFEQGLHADIRMYLTAMHIRELSVLVETAHSLERIKEEEQSRRQKGQQKRSQSQYQGQSSASQTSSKRQREFQQTGQRGPPRQIQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPMQTERSMPIGSRGRGRDRGESSSAQSHRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAGEGTSKGKEIARD >Manes.07G015000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1796330:1797802:-1 gene:Manes.07G015000.v8.1 transcript:Manes.07G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFQTIKYCVANHLSKNPVYPILEYWLVKHPNILNFSWKQGETPGSSIFFLSSIVVSYLSLTYLLSRSTLVSCSPRFLKNLTAIHNIVLLSLSFVMALGCSLSIILFAPNVDYLVCFPKKTPPTGPLFFWAYIFYLSKIFEFMDTLLIILSNSVRRLTFLHVYHHATVVVMCYISLHSSQSMFPCVLVTNATVHVIMYFYYFLCAVGIRPKWKKLVTDCQILQFFSSFAIIAWIFYYHFTGAGCSGILGWCFDAVFITSLLVLFLDFHSKNYSKQKTKGN >Manes.09G081660.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20686464:20686882:-1 gene:Manes.09G081660.v8.1 transcript:Manes.09G081660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASAKPEYPVIDRNPPFTKVVGNFNFLDYCRFSTITGVSVTVGYLSGIKPGIRGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNEGEVAQYQKHGFKN >Manes.09G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22088138:22092938:-1 gene:Manes.09G084200.v8.1 transcript:Manes.09G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTTATPDNFHSEEETSSTTSNHHHNHQYYQYTSEIEEENSGELFEINHGDEPLESIKEEVFSLDVESRGEDCVYVGVGKSESSMDALSWTLKNLVNGDSTMIYLIHVFPEIHHIPSPLGKLPKNQVSPEQVEIYMAQERGKRRELLQKFIHLCSQSKVKVDTILVESDMVAKAILDLIPILNIKKLVLGTSKSSLRKLRARKGSGIADQILASPSEFCEIKIICEGKEVADNQTAGSPSPSPRPGGDATANPIQLQDQPGTNDSFSCMCFKSPRVM >Manes.02G220100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34768616:34775497:-1 gene:Manes.02G220100.v8.1 transcript:Manes.02G220100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSAVLYHYPCPDGAFAALAAHLYFSATSLPALFFPNTVYNPISIQQLPLHEIDDLYLLDFVGPSGFVQQISSKISRVVILDHHETALKMLGGGSEGETLLGKNVNAVLDMERSGAMIAYDYFKEKLVGIPNQNLISEFNRLRRIFEYIEDADIWRWRLENSKAFSNGLKDLNIEFNVQLNPSLFKQLLSLDLEHIITQGMMSLSIKQKLINDTLDQSYEIALGGGAFGHCLAVNADSISELRSELGHQLATKSSDQNLRAIGAVVYRVPELENDQLLKVSLRSLPSEDTIPISQEFGGGGHRSASSFIISFAEFENWKVSKRA >Manes.02G220100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34768607:34775497:-1 gene:Manes.02G220100.v8.1 transcript:Manes.02G220100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSAVLYHYPCPDGAFAALAAHLYFSATSLPALFFPNTVYNPISIQQLPLHEIDDLYLLDFVGPSGFVQQISSKISRVVILDHHETALKMLGGGSEGETLLEDADIWRWRLENSKAFSNGLKDLNIEFNVQLNPSLFKQLLSLDLEHIITQGMMSLSIKQKLINDTLDQSYEIALGGGAFGHCLAVNADSISELRSELGHQLATKSSDQNLRAIGAVVYRVPELENDQLLKVSLRSLPSEDTIPISQEFGGGGHRSASSFIISFAEFENWKVSKRA >Manes.02G220100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34768616:34775497:-1 gene:Manes.02G220100.v8.1 transcript:Manes.02G220100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSAVLYHYPCPDGAFAALAAHLYFSATSLPALFFPNTVYNPISIQQLPLHEIDDLYLLDFVGPSGFVQQISSKISRVVILDHHETALKMLGGGSEGETLLDADIWRWRLENSKAFSNGLKDLNIEFNVQLNPSLFKQLLSLDLEHIITQGMMSLSIKQKLINDTLDQSYEIALGGGAFGHCLAVNADSISELRSELGHQLATKSSDQNLRAIGAVVYRVPELENDQLLKVSLRSLPSEDTIPISQEFGGGGHRSASSFIISFAEFENWKVSKRA >Manes.16G138400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34138731:34146221:1 gene:Manes.16G138400.v8.1 transcript:Manes.16G138400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKAIAEGEKNEPRVIDKKKRKGVMSRIWSGIFRFHGDDIEKRLQNISKEEAAVLSRMKRRSLTWRKMTRHLIVFSVIFEVIAVGYAIMTTRSMDLNWKVRAFRVLPMFLLPGLSSLAYSAFVSFARMCERKDQKTLERLQAERQAKIDELKERTNYYTTQQLIQRYDSDPAAKAAAATVLASKLGADSGLQVYVEDEAKLNIPTGKSNDVELVQMSSLRNRKQVHTRSSSAGSASAFHSDEEMLGAAQGEGLPEHNELVFEHDNLQASTVHDGGWIARLAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCHTLNQPKQSEDRVSGFNSPTSGNLIAGGYAEAINKTSNSTSGSSSPIRACSENEEVIERPTSGELVS >Manes.16G138400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34138731:34146221:1 gene:Manes.16G138400.v8.1 transcript:Manes.16G138400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKAIAEGEKNEPRVIDKKKRKGVMSRIWSGIFRFHGDDIEKRLQNISKEEAAVLSRMKRRSLTWRKMTRHLIVFSVIFEVIAVGYAIMTTRSMDLNWKVRAFRVLPMFLLPGLSSLAYSAFVSFARMCERKDQKTLERLQAERQAKIDELKERTNYYTTQQLIQRYDSDPAAKAAAATVLASKLGADSGLQVYVEDEAKLNIPTGKSNDVELVQMSSLRNRKQVHTRSSSAGSASAFHSDEEMLGAAQGEGLPEHNELVFEHDNLQASTVHDGGWIARLAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCHTLNQPKQSEDRVSGFNSPTSGNLIAGGYAEAINKTSNSTSGSSSPIRACSENEEVIERPTSGELVS >Manes.16G138400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34138731:34146128:1 gene:Manes.16G138400.v8.1 transcript:Manes.16G138400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKAIAEGEKNEPRVIDKKKRKGVMSRIWSGIFRFHGDDIEKRLQNISKEEAAVLSRMKRRSLTWRKMTRHLIVFSVIFEVIAVGYAIMTTRSMDLNWKVRAFRVLPMFLLPGLSSLAYSAFVSFARMCERKDQKTLERLQAERQAKIDELKERTNYYTTQQLIQRYDSDPAAKAAAATVLASKLGADSGLQVYVEDEAKLNIPTGKSNDVELVQMSSLRNRKQVHTRSSSAGSASAFHSDEEMLGAAQGEGLPEHNELVFEHDNLQASTVHDGGWIARLAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCHTLNQPKQSEDRVSGFNSPTSGNLIAGGYAEAINKTSNSTSGSSSPIRACSENEEVIERPTSGELVS >Manes.16G138400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34138731:34146221:1 gene:Manes.16G138400.v8.1 transcript:Manes.16G138400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKAIAEGEKNEPRVIDKKKRKGVMSRIWSGIFRFHGDDIEKRLQNISKEEAAVLSRMKRRSLTWRKMTRHLIVFSVIFEVIAVGYAIMTTRSMDLNWKVRAFRVLPMFLLPGLSSLAYSAFVSFARMCERKDQKTLERLQAERQAKIDELKERTNYYTTQQLIQRYDSDPAAKAAAATVLASKLGADSGLQVYVEDEAKLNIPTGKSNDVELVQMSSLRNRKQVHTRSSSAGSASAFHSDEEMLGAAQGEGLPEHNELVFEHDNLQASTVHDGGWIARLAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCHTLNQPKQSEDRVSGFNSPTSGNLIAGGYAEAINKTSNSTSGSSSPIRACSENEEVIERPTSGELVS >Manes.10G029532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3030565:3032403:-1 gene:Manes.10G029532.v8.1 transcript:Manes.10G029532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGITEIVPERKSPTSCLMICLKDFNPRTINLYVVHWSAKSALMSSEEETPQIEYEADTDDLFKVAALCHSSPSQQGIATARSNKDIDAFPSSNQDLGT >Manes.16G132500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33268788:33275991:1 gene:Manes.16G132500.v8.1 transcript:Manes.16G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVMLMNEIESRAAEAGIDLSLVDLNSIQLPPGENFGIISDDEDVYQEEQLDFETGFGNIIVVDNLPVVPREKFEKLEGVIRKIYGQIGVIKDDGIWMPVDPDTQKTLGYCFIEYNTPQEAELAKEKTNGYKLDRSHIFAVNMFDDFDKFMRVPNEWAPPEFRPYVPGENLQHWLTDEKARDQFVIRAGSDTEVFWNDARQLKPDPVYKRAYWTESFVQWSPLGTYLATVHRQGAAVWGGASTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRIVINVFDVRTGKVMRDFRGSADEFAIGGTGGVAGVSWPIFRWGGGKDDKYFAKIGKNMISVYETETFSLVDKKSLKVENVMDFSWSPTDPILALFVPELAGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKSKRTTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDSPRPDVSFYSMRTSHNTGRVSKLTTLKGKQANALFWSPSGHYILLAGLKGFNGQLEFYNVDELETMATTEHFMATDIEWDPTGRYVATSVTSVHHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLSPEKEEEIANNLKKYSKKYEAEDQDVSLQLSEQDREKRRMLKDEWDKWVNEWKRLHEEEKLERQKLRDGEASDEEEEYEAKEIEVEELLDVSEEVLSFEFGQE >Manes.04G146725.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34420056:34420807:1 gene:Manes.04G146725.v8.1 transcript:Manes.04G146725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKIEDSFHYQGSAEETKIAGSEQDEDKDHKKGIQDPDKYQEELVNKYDRQFKESDGFEYDYWPVTMNWLGLGQRVHLEKDTVYAEQVKEALDFAIRKQNEKEANLEVDKILIATCFRPFLY >Manes.06G062500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19702114:19712859:1 gene:Manes.06G062500.v8.1 transcript:Manes.06G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGSRQEGEDLWAEVARAAEDLYNLRDTYFPSNPLDKISKLQAQSDLSLNLLDSIPLEERKSSIQRAKYEYLRGKILDVLPDYKKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLSAARNCFNLALSKGPNKKILSLLSMLERRMAQGAENQATLVEQSIQHAKDAISLDVKDGYSWYNLGNAFLTSFFVTGAWDHSKLLQALKAYQNAEKDEKMKSNPDLYFNSATVNKYLENYERALSGFEAAALKDPSLNASEEVQKIANLLDKLENLMRGQARAKRLASMASSLVAINSNSSHKRVTIDMLLDGLNKGVAVVGKVLLFVKHDNVTPLYYLVCDSNQICFVLSVYGISKDAIKEGDQLTLLEPHYHFVDFSWKEKHFDFKSIRVDFIEQVLVNGKALLRQHAVRSSIYAQHKP >Manes.09G147900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34543317:34561520:1 gene:Manes.09G147900.v8.1 transcript:Manes.09G147900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQGSSADLAQLQSTMQAIELACSSIQMHMNPAAAEATILSLNQSPQPYKACQFILENSQVANARFQAAAAIRDAAIREWGFLTSDDKKSLISFCLFYVMQHASSPEGYVQAKVSSVAAQLIKRGWLDFTAVEKETFFYQVNQAILGIHGVDVQFSGINFLESLVSEFSPSTSSAMGLPREFHQQCRMSLELDYLKTFYCWARDAAIGVTKSITESDTEVPEVKVCTAALRLMLQILNWDFHYNTAGTRTGIDVFSAGARTDSSSSKRSECTLVQPGPAWHDVLISSGHVVWLLGLYAALRGKFSCGGYWLDCPIAVSARKLIVQFCSLTGTIFVSDNRQMQEGHLLQLLSGIIQWIDPPDVVSQAIECGKSESEMLDGCRALLSMATVTTPFVFDQLLKSIRPFGTLALLSTLMCEVIKVLMTNNTDEETWSWEARDILLDTWTTLLMPMDGTGGNSLLPPEGINAAANLFSLIAESELRVASASAMDDNDEADYLQASISAMDERLSSYALIARAAVDATIPLLTRLFSELVARLHQGRGITDPTPTLEELYSLLLITGHVLADEGEGETPLVPNTIQTHFVDILEADKHPVVVLSTSIIKFAEQSLDPEMRASVFSPRLMEAVIWFLARWSRTYLMPEEFRDSNFNSGHDHEYQFRQLHSRKALLGFFGEHNQGKIVLDIIVRISVTTLLSYPGEKDLQAITCYQLLHALVRRKNICVHLVTLDSWRELANAFAHEKVLFLLNTANQRSLAQTLVLGASGMRNSEASNQYVRDLMGHMTNYLVELSNKNDLKSVAQQPDIILSVSCLLERLRGAASASEPRTQRSLYEMGVSVMNPVLVLLEAYKHESAVVYLLLKFVVDWVDGQISYLEAQETAAVIDFCMCLLQLYSSHNIGKISVSLSSSLLSEAKTEKYKDLRALLQLLSNLCSKDLVDFSSDSIEAQGTNISEVVYFGLHIVTPLIWIALQVVYFGLHIVTPLISLELLKYPKLCHDYFSLLSHMLEVYPETVARLNSEAFAHVLGTLDFGLHHQDTEVVNMCLRALKALASYHYKEKHAGKIGLGSHAMGIKDQQGNLQEGILSRFLKLLLQLILFEEYSPDLVSPAADALFPLILCEQDLYQKLAAELIERQLNPTLRSRLANAFQSLTSSNQLSSTLDRMNYQRFRKNVNNFLIEVRGFLRTM >Manes.09G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34543315:34561520:1 gene:Manes.09G147900.v8.1 transcript:Manes.09G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQGSSADLAQLQSTMQAIELACSSIQMHMNPAAAEATILSLNQSPQPYKACQFILENSQVANARFQAAAAIRDAAIREWGFLTSDDKKSLISFCLFYVMQHASSPEGYVQAKVSSVAAQLIKRGWLDFTAVEKETFFYQVNQAILGIHGVDVQFSGINFLESLVSEFSPSTSSAMGLPREFHQQCRMSLELDYLKTFYCWARDAAIGVTKSITESDTEVPEVKVCTAALRLMLQILNWDFHYNTAGTRTGIDVFSAGARTDSSSSKRSECTLVQPGPAWHDVLISSGHVVWLLGLYAALRGKFSCGGYWLDCPIAVSARKLIVQFCSLTGTIFVSDNRQMQEGHLLQLLSGIIQWIDPPDVVSQAIECGKSESEMLDGCRALLSMATVTTPFVFDQLLKSIRPFGTLALLSTLMCEVIKVLMTNNTDEETWSWEARDILLDTWTTLLMPMDGTGGNSLLPPEGINAAANLFSLIAESELRVASASAMDDNDEADYLQASISAMDERLSSYALIARAAVDATIPLLTRLFSELVARLHQGRGITDPTPTLEELYSLLLITGHVLADEGEGETPLVPNTIQTHFVDILEADKHPVVVLSTSIIKFAEQSLDPEMRASVFSPRLMEAVIWFLARWSRTYLMPEEFRDSNFNSGHDHEYQFRQLHSRKALLGFFGEHNQGKIVLDIIVRISVTTLLSYPGEKDLQAITCYQLLHALVRRKNICVHLVTLDSWRELANAFAHEKVLFLLNTANQRSLAQTLVLGASGMRNSEASNQYVRDLMGHMTNYLVELSNKNDLKSVAQQPDIILSVSCLLERLRGAASASEPRTQRSLYEMGVSVMNPVLVLLEAYKHESAVVYLLLKFVVDWVDGQISYLEAQETAAVIDFCMCLLQLYSSHNIGKISVSLSSSLLSEAKTEKYKDLRALLQLLSNLCSKDLVDFSSDSIEAQGTNISEVVYFGLHIVTPLISLELLKYPKLCHDYFSLLSHMLEVYPETVARLNSEAFAHVLGTLDFGLHHQDTEVVNMCLRALKALASYHYKEKHAGKIGLGSHAMGIKDQQGNLQEGILSRFLKLLLQLILFEEYSPDLVSPAADALFPLILCEQDLYQKLAAELIERQLNPTLRSRLANAFQSLTSSNQLSSTLDRMNYQRFRKNVNNFLIEVRGFLRTM >Manes.05G114500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14434393:14453609:-1 gene:Manes.05G114500.v8.1 transcript:Manes.05G114500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNWELKNCCNHQQVVFLVTVSVCAVVILALWRTVLLKPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTSRIAAGCFVAALLVVLLVAKNWTLRGLCIGFVVFLGVVWVLQETTKVRILQYTILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGMGWGIIWGLISFLFLCGAVYLGIVILS >Manes.05G114500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14437815:14452948:-1 gene:Manes.05G114500.v8.1 transcript:Manes.05G114500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTVLLKPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTSRIAAGCFVAALLVVLLVAKNWTLRGLCIGFVVFLGVVWVLQETTKVRILQYTILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGMGWGIIWGLISFLFLCGAVYLGIVILS >Manes.05G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14450032:14453336:-1 gene:Manes.05G114500.v8.1 transcript:Manes.05G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNWELKNCCNHQQVVFLVTVSVCAVVILALWRTVLLKPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTSRIAAGCFVAALLVVLLVAKNWTLRGLCIGFVVFLGVVWVLQETTKVRILQYTILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGMGWGIIWGLISFLFLCGAVYLGIVILS >Manes.05G114500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14434393:14453609:-1 gene:Manes.05G114500.v8.1 transcript:Manes.05G114500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNWELKNCCNHQQVVFLVTVSVCAVVILALWRTVLLKPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTSRIAAGCFVAALLVVLLVAKNWTLRGLCIGFVVFLGVVWVLQETTKVRILQYTILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGMGWGIIWGLISFLFLCGAVYLGIVILS >Manes.05G114500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14434416:14453597:-1 gene:Manes.05G114500.v8.1 transcript:Manes.05G114500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTVLLKPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTSRIAAGCFVAALLVVLLVAKNWTLRGLCIGFVVFLGVVWVLQETTKVRILQYTILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGMGWGIIWGLISFLFLCGAVYLGIVILS >Manes.05G114500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14438969:14453597:-1 gene:Manes.05G114500.v8.1 transcript:Manes.05G114500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNWELKNCCNHQQVVFLVTVSVCAVVILALWRTVLLKPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTSRIAAGCFVAALLVVLLVAKNWTLRGLCIGFVVFLGVVWVLQETTKVRILQYTILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGMGWGII >Manes.05G114500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14434416:14453597:-1 gene:Manes.05G114500.v8.1 transcript:Manes.05G114500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTVLLKPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTSRIAAGCFVAALLVVLLVAKNWTLRGLCIGFVVFLGVVWVLQETTKVRILQYTILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGMGWGIIWGLISFLFLCGAVYLGIVILS >Manes.05G114500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14410531:14453597:-1 gene:Manes.05G114500.v8.1 transcript:Manes.05G114500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNWELKNCCNHQQVVFLVTVSVCAVVILALWRTVLLKPFKLVTVFLHEASHAIACKLTCGHVEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTSRIAAGCFVAALLVVLLVAKNWTLRGLCIGFVVFLGVVWVLQETTKVRILQYTILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGMGWGIIWGLISFLFLCGAVYLGIVILS >Manes.11G081800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14907347:14908708:1 gene:Manes.11G081800.v8.1 transcript:Manes.11G081800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKVRKTNHRRAATVHAITLQISGSLVTMVMLLWAIRNGFKLATNSSRYKGVSDWAFHIGVVTMLLGSLFLILGIPILADLFLNLSEQLQEEAGFHKAWKINNF >Manes.04G146400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34377355:34379152:-1 gene:Manes.04G146400.v8.1 transcript:Manes.04G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIVSDPMVVSTPETQPSAAAAATKLIATQAEEFAKCDCCGLIEECTPAYIERIRERYHGKWICGLCAEAVKDEIVRATERLISTEEAMARHMNFCKKFVSSGPPPDPTIHLISAMRQILKRSLDSPRRLRSTPSSPTNGNGQMRASVLTRSESCFPTLSS >Manes.09G008400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1910774:1916336:-1 gene:Manes.09G008400.v8.1 transcript:Manes.09G008400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPSGSRINSLGSPGSRPNVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLATMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSHMPHHHHHHDLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLEILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAALVLSREEGNINAPTSTPIYPPMSEEHNSSSSSNLANLNLDSRLVYLNLGATSSGQMGSRMEGDDDCSHNSQRDVMSRHDPTMYHHSHDF >Manes.09G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1912401:1916324:-1 gene:Manes.09G008400.v8.1 transcript:Manes.09G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPSGSRINSLGSPGSRPNVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLATMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSHMPHHHHHHDLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLEILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAALVLSREEGNINAPTSTPIYPPMSEEHNSSSSSNLANLNLDSRLVYLNLGATSSGQMGSRMEGDDDCSHNSQRDVMSRHDPTMYHHSHDF >Manes.09G008400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1910822:1916319:-1 gene:Manes.09G008400.v8.1 transcript:Manes.09G008400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPSGSRINSLGSPGSRPNVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLATMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSHMPHHHHHHDLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLEILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAALVLSREEGNINAPTSTPIYPPMSEEHNSSSSSNLANLNLDSRLVYLNLGATSSGQMGSRMEGDDDCSHNSQRDVMSRHDPTMYHHSHDF >Manes.10G117700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28298346:28303716:1 gene:Manes.10G117700.v8.1 transcript:Manes.10G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLRCSEIKIMILKPLSFPSNLRYATKAQLPLICRLFFSTNPTSVDQDVEVVYRIITSSSSPEDLKQSINSSGIFLNNDLIDEVLKRFRFGHGNPLQALELFKFTANRKGFYHTPNSLDTMLYILGRSRQFDHIWDVLIKMKRKDPSLISSRTMQVVLGRIAKVCSVRQTVESFRRFKKLVPVFDTISFNALLRTLCQEKSMADARNVYHTLKKEFRPNLQTFNILLSGWKSSEEAESFFEEMKELGVKPDVVSYNSLIDVYCKGREMEKAYKVLEKMREEDISSDVITYTSIIGGLGLIGQPDKARDVLKEMKEYGCHPDVAAYNAAIRNYCIARRLGDASSLMEEMVSQGFSPNATTYNLFFRVFYWSNDLRRSWSLYRQMIDAVCLPNTQSCMFLVRLFKKHEKVDLALLLWNDMVEKGFGSYTLVSDVLFDLLCDLGRLAEAEKCFLQMIEKGQKPSNVAFRRIKVLMELANKHDALQNLSEKMAIFGSSIQVPKGEEHVNRTLCYRLS >Manes.13G093100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27194315:27196166:1 gene:Manes.13G093100.v8.1 transcript:Manes.13G093100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIASPQPHAILVPYPAQGHVSPLMQLGKLLHSRGFYITFVNTEHNHRRLIRSRGQEFINGLPDFKFEAIPDGLPYTDRDATQHVPSLSDSTRKHCLAPFIELIAKLKASPDVPPITCIISDGVMAFAIDAARHYGIPEIQFWTASAGSFMAYLHHIELVKRGIVPFKDESFLHDGTLNQPVDFIPGMPNLKLRDMPSFIRVTDVNDIMFDFMGSEAHKSLKADAIIFNTFYEFEQEVLDAIAALHPKIYTIGPFTLLEKGIPEGKSKAFRSSLWKEDLSCLVWLDKREPDSVVYVNYGCVTTITDKQLNEFAWGLANSKHPFLWIVRPDVVMGESAVLPEEFYEEIKDRGLLVSWVPQDRVLQHPSVGVFLSHCGWNSTMECVSGGKPLICWPFFAEQQTNCKYACDVWKTGVELSTDLSRDELVDIIKIMMESERGKEMRRNAAEWRKKAEAATSVGGVSCNNFDRFIKEAILQDKTQ >Manes.06G151100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27887453:27889408:-1 gene:Manes.06G151100.v8.1 transcript:Manes.06G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFIAFIRKLLLCPADAAAAPNLRVHVDVREEYANAFRTESYNEFWTRVLALSDVNSATHIPVHTSTSARLPSYRLFVEYLLDPDQPTVTRILAMAHYPPTPHSLLTQYFTLTANASLLCGSLLKDIDHTRVKYRSLRTTLQSMETTPERHFRILLTRLTDFANSLNPFHWSAPSPAQVRSTQSDCSNLLKKLESTRDKAKSKLQLRSRLKHGSALFIVALTASLTVILATHALALVVATPCCIRATMDVGYSRRLSRVMSQLDMAAKGSYILSRDLETISRLVARLNDELEHMRGTVKFWVERGEEWIRVQANGEVVGRMKKNDCSFSEQLDEVEEHLYLCFMTINRARKLVLREILDPGQPMKAPNLIR >Manes.02G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1173782:1176223:-1 gene:Manes.02G011700.v8.1 transcript:Manes.02G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVLSLLLLLSPIFFVSTFALGDTSPASVDTHLSSLREFCNGTPYPDACFNSLKLSISINIDTDLISYLLHTLQTAISEVEKLTNLFSSAGGSNDIIEKQRGTIQDCQQLQQITLSKLQRISVSGIQLGDPRKLADARSYLSAALTNKKTCLEGLDSASGPLKSVLINSLTSTYTHVSNSLSMLPKQGPKKGGKNRRLLGFPRWISKKDRRFLQSGTSKYDTSEMIVVAADGSGNFSTITDAIKFAPSRSEYRIFIYLKEGVYKENVEIPANKPNIVLLGDGSDVTFITGSRSVGDGWTTFRSATLGVSGDGFLARDITIDNIAGAEKHQAVALRINADLSAMYKCRINGHQDTLYAHSFRQFYRECEISGTIDYIFGNAAAMFQGCNIISRMPMSGQFTVITAQSRDSPDEDTGFSMQNCSIVATDDLNSAHNSRSVKNYLGRPWQVYSRTVILESFIDGFIDPAGWIPWSDDNPDTDDLYYGEYQNSGGGSGTDDRVNWQGYHLMDDDDADEFTVSNFIAGDEWLDSTSFPYDDGV >Manes.09G079657.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:15817663:15841216:1 gene:Manes.09G079657.v8.1 transcript:Manes.09G079657.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLLLELSYLFSFTEIVIFSQGVLADILEPIMGKGLIPADLGIWKQRRRVIAPGFHSLYLEAMVKIFTDCSERSTLKFEQLLEREYSLGRNVIELDLEAEFSNLALDIIGLGVFNYDFSSVTKESPVIKAVYGTLFEAEHRSTFYVPYWKIPLARWIVPRQRKFQNDLKIINDCLDVLIKNAKETRQETDVEKLQQRDYSNLKDASLLRFFVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSIFLLAQNPSKMKKAQAEIDTVLGQGRPTFDLLKKLEYIRLIVVEALRLYPQPPLLIRRALKSDVLPGGYRGDKDGYAVPSGTDIFISVYNLHRSPYFWHSPNEFEPERFLVQRKNEEIEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALAVLLQKFDVELKGSPESVELVTGATIHTKNGLWCKLRKRPNVH >Manes.09G079657.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:15802622:15842771:1 gene:Manes.09G079657.v8.1 transcript:Manes.09G079657.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSTTTVTSLLQLCSVAGNGTCHHRNDSGLLGISKFSSPCHFPNSKAKGSPVIRCQSTNTDEPKTRNLLDNASNLLTNLLSGGRLGSMPIAEGAVSDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPVVARHILRENAFSYDKGVLADILEPIMGKGLIPADLGIWKQRRRVIAPGFHSLYLEAMVKIFTDCSERSTLKFEQLLEREYSLGRNVIELDLEAEFSNLALDIIGLGVFNYDFSSVTKESPVIKAVYGTLFEAEHRSTFYVPYWKIPLARWIVPRQRKFQNDLKIINDCLDVLIKNAKETRQETDVEKLQQRDYSNLKDASLLRFFVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSIFLLAQNPSKMKKAQAEIDTVLGQGRPTFDLLKKLEYIRLIVVEALRLYPQPPLLIRRALKSDVLPGISHSTTLKNIGNSNI >Manes.09G079657.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:15802622:15842771:1 gene:Manes.09G079657.v8.1 transcript:Manes.09G079657.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSTTTVTSLLQLCSVAGNGTCHHRNDSGLLGISKFSSPCHFPNSKAKGSPVIRCQSTNTDEPKTRNLLDNASNLLTNLLSGGRLGSMPIAEGAVSDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPVVARHILRENAFSYDKGVLADILEPIMGKGLIPADLGIWKQRRRVIAPGFHSLYLEAMVKIFTDCSERSTLKFEQLLEREYSLGRNVIELDLEAEFSNLALDIIGLGVFNYDFSSVTKESPVIKAVYGTLFEAEHRSTFYVPYWKIPLARWIVPRQRKFQNDLKIINDCLDVLIKNAKETRQETDVEKLQQRDYSNLKDASLLRFFVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSIFLLAQNPSKMKKAQAEIDTVLGQGRPTFDLLKKLEYIRLIVVEALRLYPQPPLLIRRALKSDVLPGGYRGDKDGYAVPSGTDIFISVYNLHRSPYFWHSPNEFEPERFLVQRKNEEIEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALAVLLQKFDVELKGSPESVELVTGATIHTKNGLWCKLRKRPNVH >Manes.09G079657.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:15831720:15841216:1 gene:Manes.09G079657.v8.1 transcript:Manes.09G079657.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIFTDCSERSTLKFEQLLEREYSLGRNVIELDLEAEFSNLALDIIGLGVFNYDFSSVTKESPVIKAVYGTLFEAEHRSTFYVPYWKIPLARWIVPRQRKFQNDLKIINDCLDVLIKNAKETRQETDVEKLQQRDYSNLKDASLLRFFVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSIFLLAQNPSKMKKAQAEIDTVLGQGRPTFDLLKKLEYIRLIVVEALRLYPQPPLLIRRALKSDVLPGGYRGDKDGYAVPSGTDIFISVYNLHRSPYFWHSPNEFEPERFLVQRKNEEIEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTVALAVLLQKFDVELKGSPESVELVTGATIHTKNGLWCKLRKRPNVH >Manes.11G035600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3464292:3466673:-1 gene:Manes.11G035600.v8.1 transcript:Manes.11G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESTCSNSSSFPTAAAATTTTDTSSSNSKAQDVVARKPKRARDSKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFSTAEMAARAHDVAAMSIKGNTAILNFPELVDSLPRPVSLMPRDIQAAAAKAASMVEFNSLTSSSPSPSSSSSSSSLSSVLSESSSSVSESEELSEIVELPNIEGSFDSAKPQTEFILFESVDGWVYPPEDMYGEFSDQWLGLERLISSNFGASVWN >Manes.03G127200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25232921:25238640:1 gene:Manes.03G127200.v8.1 transcript:Manes.03G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSIPKSVAKSTSKPKPSSESNTVSQNSGAVKEYVTEFDPSKTLPSSNRNLIIPPKENEWRPHKRMKNLDLLPTLKSDNEGLRFEIATDGHGEDDKSMSYGLNIRQQSSTDGDNGDGDEGVKSYQKVETTENLLLEKLKYDLQRLPEDRGFEEFKDVPVEGFGAALLAGYGWHEGRGIGRNAKEDVKVKQYHKRTDKEGLGFVPPASNTTSTSVKDRDGQNERKRERVKDGHGDGFFVGKDVRVIAGGKGILGSKGRISKRLDDAKLSETTEELKLRVSDIADLGSKEEEKCLRKLKTLQIEGKQSKDRDSEKRIIEPIRESRESMRRDSGQEKDDRKRWLRNHIRVRIISKDLKGGRFYLKKGEVVDVVGPYVCDISMDETKELVQGIDQDLLETALPRRGGPVLVLYGRHKGVYGKLVQRDLDQETGVVQDSDSQELLNVKLEQIAEYLGDPSYIGY >Manes.05G115000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14550658:14551108:1 gene:Manes.05G115000.v8.1 transcript:Manes.05G115000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYMPLIVAIMNVGDSTARELQPSYHGLDYQSTPPAGENLPPSMKKFFGAMNSNDTTWWRSVSGEKGGGQGDGQGGGRLRHMLLVASLACGITGVELLVIFGFIYYVKHKKQTTSCLDSDKSIIVFTGK >Manes.01G211300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38218321:38221778:-1 gene:Manes.01G211300.v8.1 transcript:Manes.01G211300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALCFGLCHGKKASLSVSQEEARRILVVENIGTSVSSTMAWQWHFLNFFFFNLLIYSATTKASHCSIKGLPLVRNISELPQDDYDRKGLSHITVAGSVLHGLKEVEVWLQTFSPGSRTPIHRHSCEEVFIVLKGSGTLYLASSSHEKYPGKPQEFFFFSNSTFHIPVNDAHQVWNTNEHEDLQVLVIISRPPVKVFIYDDWFMPHIAAKLKFPYYWDEQCLQVQAPPKDEL >Manes.01G211300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38218321:38221778:-1 gene:Manes.01G211300.v8.1 transcript:Manes.01G211300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALCFGLCHGKKASLSVSQEEARRILVVENIGTSVSSTMAWQWHFLNFFFFNLLIYSATTKASHCSIKGLPLVRNISELPQDDYDRKGLSHITVAGSVLHGLKEVEVWLQTFSPGSRTPIHRHSCEEVFIVLKGSGTLYLASSSHEKYPGKPQEFFFFSNSTFHIPVNDAHQVWNTNEHEDLQVLVIISRPPVKVYIVTLLLSL >Manes.01G078200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28117976:28118667:1 gene:Manes.01G078200.v8.1 transcript:Manes.01G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSTASLALFFALNLLFFSLVSACGGGCPSPKPKPTPTPSPPKGKCPNDALKLGVCAKVLGDLLNVTIGKPPVEPCCSLIQGLVDLEAAVCLCTAIKANVLGINLNIPVSLSLLLNVCGKKAPSDFQCA >Manes.10G040100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4122665:4126357:-1 gene:Manes.10G040100.v8.1 transcript:Manes.10G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKLTTTVSFCGKPSKWARNATRTSSSTSATITTTTTAAAPFATLYTYSPSLSLALNSHRSDWRRLTPCASFASNSSCYNSNDNNLLGFAFSGQKHFSLFHCHCSSSSSSAPKTGGFSGSDSSSTGSGLADMGSENKVDVVDWHQNNHLNGRNHPLSASPSRSPSLQNCHKQQDSYYKLLTLPTILTLGRVAAVPLLVSTFYVDSWWGRTATTTIFIAAAVTDWLDGYLARKMKLGSAFGAFLDPVADKLMVAATLVLLCTRPLEVAMFGQASSLLTVPSIAIIGREITMSAVREWAASQNSKLLEAVAVNNLGKWKTATQMTALTILLATRDGSVGGPGILATSGVVLLYISGGLSLWSLAVYTSKIWKVLVK >Manes.09G110101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31274492:31277018:1 gene:Manes.09G110101.v8.1 transcript:Manes.09G110101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGKIDVEKLITYSDDLVAVLKEKRDINILTQCLDKSKALRSSCDAEFNEAQTLLEAHQKKINECKQKTEKAKLEVPADAELDILQKELEAEQEKEHLLMEELRVINNEISDLECQRISREDQKKALKKLEQEELRAQRKLSMYASVTNIIPDLDDHSKISGLDRENKMVEKFEFDPANVAAFDTCQSIWKMINMR >Manes.09G110101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31274492:31277018:1 gene:Manes.09G110101.v8.1 transcript:Manes.09G110101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGKIDVEKLITYSDDLVAVLKEKRDINILTQCLDKSKALRSSCDAEFNEAQTLLEAHQKKINECKQKTEKAKLEVPADAELDILQKELEAEQEKEHLLMEELRVINNEISDLECQRISREDQKKALKKLEQEELRAQRKLSMYASVTNIIPDLDDHSKISGHIVDRENKMVEKFEFDPANVAAFDTCQSIWKMINMR >Manes.09G110101.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31274492:31277018:1 gene:Manes.09G110101.v8.1 transcript:Manes.09G110101.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGKIDVEKLITYSDDLVAVLKEKRDINILTQCLDKSKALRSSCDAEFNEAQTLLEAHQKKINECKQKTEKAKLEVPADAELDILQKELEAEQEKEHLLMEELRVINNEISDLECQRISREDQKKALKKLEQEELRAQRKLSMYASVTNIIPDLDDHSKISGRILVLQPSLCFLVNVNLLVFPEYPFSLFWYGIWVVLNITLRYSG >Manes.09G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4959590:4961766:-1 gene:Manes.09G024700.v8.1 transcript:Manes.09G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAQRPTTSTATTTVETDQHHHDQDQDHETEHIRDIHALTPPQPPPVSRSHWETGNHHQSYSMSMSSEGASSENFTTMSREFNALVLAGSAIGTSMNNNNNSSSDHNNDDIHGNNLLAMIGEDDVPEETNPLAIVPDNTPLALDPTSSSRRVGNNQVGGGGGGGGSGGGGMEVSVQRVKKEEVETKISAWQNAKVAKINNRFKREDAIINGWENEQVQKSSAWMKKIERKLEEKRARALEKMQNEIAKAHRKAEERRASAEAKRGTKVARVLEIANLMRAVGRAPAKRSFF >Manes.S026452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2225997:2226809:-1 gene:Manes.S026452.v8.1 transcript:Manes.S026452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.17G022150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12600920:12601710:1 gene:Manes.17G022150.v8.1 transcript:Manes.17G022150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGVPKFSPSDFLDLVMDSRDLSASQSTAAENRDTATAIVASASSSITPTAADDDVVLSVTAALAKDAASHFHSRRYTECLAVLHQLKLKKEDDPKVITYASNYIDMVAFLFFIFGFSRVMDAA >Manes.12G120100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32409216:32414494:-1 gene:Manes.12G120100.v8.1 transcript:Manes.12G120100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGDAAMKGNGMAMPKSPLKKIATSDKHDGICQDMSAPTVKAQTIDELHSLQRKRSAPSTPNKGIQGAFATLSEEERQKQQLQSISASLASLTRETGPKVVRGDPANKTRPFVKDHHVAAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRAPRDKRVVKDDETSEELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTLEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDPNRYLIGDDEHCWTETGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVVENVVFDEHTREVDYVDRSVTENTRASYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLTLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLKANYKKTQVFGLEIPTEVEGVPSEILDPVNTWSDKQAYNETLLKLAGLFQNNFTTFSDYKIGKNNKLTEEILAAGPIF >Manes.12G120100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32409216:32414494:-1 gene:Manes.12G120100.v8.1 transcript:Manes.12G120100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGDAAMKGNGMAMPKSPLKKIATSDKHDGICQDMSAPTVKAQTIDELHSLQRKRSAPSTPNKGIQGAFATLSEEERQKQQLQSISASLASLTRETGPKVVRGDPANKTRPFVKDHHVAAPTISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRAPRDKRVVKDDETSEELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTLEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDPNRYLIGDDEHCWTETGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVVENVVFDEHTREVDYVDRSVTENTRASYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLTLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLKANYKKTQVFGLEIPTEVEGVPSEILDPVNTWSDKQAYNETLLKLAGLFQNNFTTFSDYKIGKNNKLTEEILAAGPIF >Manes.09G128550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33109378:33109795:1 gene:Manes.09G128550.v8.1 transcript:Manes.09G128550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTSSSSDFIGAESFLGSNINDTIIMEELMMRKAKPYKCICGGVKESKYGPCNILWLCWAKYLMPRKLTLKNHQYFEAHRANNDRPTLNLVYKGFKFPGTIESLELDGHHHSDNEKITIIK >Manes.11G142800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30801804:30804572:-1 gene:Manes.11G142800.v8.1 transcript:Manes.11G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEACQNFNLPPGFRFHPSDEELIVHYLKNKVASRPLPASIIAEINLYQHNPWELPKKALFGEDEWYFFSPRDRKYPKGARPNRAAGSGYWKATGTDRPILNSSGSKNIGVKKALVFYLGRPPKGTKTDWIMNEYRLLDAMVKPCRSKGSMRLDDWVLCRVRQKGNVSKNINEVQDDQNKDDIWYLPKMEEARGTYTNYSNELITDCFNKDRRFLASILAGQTLPPIDTISCLSNQRSDKDNSVVYEDGSDMLNSPITVSSFDNYSSLKMKPNEEARCENLHPSNNKLNNGNRDEDLLPRYMVPRSDLSYYHQNQSQERVYAPNTYDPINGFLELDELAFYCKYLQ >Manes.14G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10479743:10480714:1 gene:Manes.14G131600.v8.1 transcript:Manes.14G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSKTIFIVGVWVCFESILAAGDVGTATSYDPPYLPTRCRGYSEDQFPEGGYFVAASEAIWDNGAACGRKYRLRCISGLKRPCKDHAIVVQVVDLCRGNPCPSTLVLSDKAFSAISNLPAAKINVEFVQI >Manes.04G105502.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31057674:31059023:1 gene:Manes.04G105502.v8.1 transcript:Manes.04G105502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNLVILILLSLLLIAQSLAESTAYHQPGQEDKRRLSRSGRSRRSRSSRSSHGRRATRPSRCDPFFLYLFDACGRWPFPTSPSPDNPFNPTPTPSPPRRPPPLPPPLVPSPPPIPLLPSPPPPRVLVPSPPPLVPSPSPPPVVPSSPPSSPPPLVPSPPPVVPSRPPSSPPPIIPSPPPPSPPPPSLPPPPPLVPSPPPPVFPPPIIPVIFPPPPLVPSPPPPELIPSPIIPWLSPPNDFPFAPPLVPIVDPPNHPAPPLVPIFDPPAQPDTPPNLTPAPPLVPIFSPPTEPDLPPYLTPAPPLVPVFDAPTQPDIPPFFTPAPPLVPIFDTPPQPNIPPEFTPAPPLVPIFDAPTLPDMPVPGFTPAPPLVPIFDAPTQPDIPVPDFTPAPPLVPIFDAPTQPDIPPLFTPAPPLVPEIPQIPQGPLPFNEPVEPLTPSLPLLPPVD >Manes.06G087700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22403883:22406043:-1 gene:Manes.06G087700.v8.1 transcript:Manes.06G087700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVVKILSILVTISAIWVGLLQTSIVPRSHTWLLPIYFVVSLGCYGLLMVGVGLMQFPTCPQEALLLQQIHQLCD >Manes.06G087700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22403684:22406061:-1 gene:Manes.06G087700.v8.1 transcript:Manes.06G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVVKILSILVTISAIWVGLLQTSIVPRSHTWLLPIYFVVSLGCYGLLMVGVGLMQFPTCPQEALLLQQDIMEAKEFLKQKGVDVSSD >Manes.06G087700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22403891:22406000:-1 gene:Manes.06G087700.v8.1 transcript:Manes.06G087700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNMIRTEQCFSHLITCTMKHVVKILSILVTISAIWVGLLQTSIVPRSHTWLLPIYFVVSLGCYGLLMVGVGLMQFPTCPQEALLLQQDIMEAKEFLKQKGVDVSSD >Manes.01G204400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37758707:37764213:-1 gene:Manes.01G204400.v8.1 transcript:Manes.01G204400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFLPSESCKESQLNALNPQSWLQVERGKLSKITSSKLSSCSSSSSIESLIKVPEPAVLPFFKPVDYVEVLAQIHEELESCPPQERSNLYLLQFQVFRGLGEVKLMRRSLRSAWQNSSTVHEKLVFGAWLKYEKRREELISDLLASCGKCAEELGSIDIASQLHADLSLNSNETVLVNDDCNLRNVIFRIGDEKIVCDRKKIAGLSAPFHAMLNGCFSESLCENIDFSENCISPLGFKAVSEFSATGYLNEVSPNILLEILIFANKFCCERLKDECDRKLASLVSSIEDAVELMEFALQENCSILAAACLRVFLHELPACLNDDRVVEIFTHADKQKRMIMVGAASFSLYCLLSEVAMNLDPQSNRAACFLEQLVDSAKTNQQKILAFHQLGCVRLLRKEYDEAECLFEAALNSGHIYSVSGLARLGYIKGHRLWAYDKLSSVISSVTPVGWMYQERSLYCEGNKKFEDLEKATGLDPTLTYPYMYRAASLMRRQNVQAALAEINRILGFKLALECLELRFCFYLALEDYQAALCDVQAILTLSPDYRMFEGRVAAYQLRTLVREHVGNWTTADCWMQLYERWSSVDDIGSLSVIYQMLESDAPKGVLYFRQSLLLLRLNCPEAAMQSLQLARQHASTEHERLVYEGWILYDTGHCEEGLRKAEESIKINRSFEAFFLKAYALADSSQDPSCSVTVVSLLEDALKCPSDRLRKGQALNNLGSVYVDRGKLDLAADCYISALKIRHTRAHQGLARVRFLRNDKAAAYEEMTKLIEKARNNASAYEKRSEYCDRELAKADLEMVTKLDPLRVYPYKYRAAVLMDNHKEKEAIAELSRAIAFKADLHLLHLRAAFYEHIGDVLAALRDCRAALSVDPNHQEMLEFHSRVNSHEP >Manes.10G127332.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29143386:29144126:1 gene:Manes.10G127332.v8.1 transcript:Manes.10G127332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIENLNPSIEHDQLIIYQSSLKGDVAELDALLQQDQLILDRVTITSCHETPLHIAAMRGHLQFAQALLKRKPKLAEELDSLCCLPLHLASAEGL >Manes.11G091532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18039781:18043766:1 gene:Manes.11G091532.v8.1 transcript:Manes.11G091532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSPLVSILLSILLCGFFTKITIAFSIEEATIDDLLLAFKQKNLTSRQLVQFYLDKISRLDPILRGVIEVNPDSLDLADKADQEREAKVPECLPSLHGIPILLKDNIATKDKLNTTAGSYALLGSVVPRDAGVVVKLRKAGAIILGKASMSEWAGFRSIKIASGFCERRGQGKNPYVQSKGPCGSSSGSGISVAANMVAVSLGTETDGSMLCPASVNSVVGIKPTVGLTSRAGVIPISPRQDTVGPMCRTVRDAVYILDAIVGVDYDDNATKAAAQFIPPNRYKQFLNPNGLKGKRLGIVRKPFFEFFNDEGSVVAQAFERHLNTLRQKEAILVDHLEISNISLILDVSASGELDALLAEFKPALNAYLEQLVKSPVRTLADIIAFNKNSSNLPQDLLELAEYMEGADEKLKVAVENLNKLSRNGLEKLVREQNLDALVAPYDMERSSSISTVLAIGGYPGITVPAGYDTTGLPFGICFGGLKGTEPKLIEIAYGFEQATKIRKPPVIQAMSL >Manes.03G181100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30316510:30318288:1 gene:Manes.03G181100.v8.1 transcript:Manes.03G181100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRREKDSRSSQTLPSSPSHSFSSSSSDFEFTISLSPRKSSTALCPADELFYKGQLLPLHLSPRISMVRTLLLASSSTSSSSDTTTTASRYSTGSSNDSTSSFCNDLVLLGECDSSRPSSVTEDDEFKRLNNTYPPHFQTHVQFNPQIKKSIKYFSLSKFSSVFKKEPKNRENDAVSGSSVKRMSATAKEVIRKYLKKVKPLYEKLSQKQQQKMGGVNPMPTEPISSISFSIKSDRPDYHSVRNASKESNREFSHSFSGNLRYPRKRSCVSSCPSSMRSSPSHSGVLYRNGFMGSATSSRVGGGICYADNSSMEELQTAIQGAIAHCKNSMMQSKTVMSNEM >Manes.S052916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1824148:1824315:-1 gene:Manes.S052916.v8.1 transcript:Manes.S052916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.12G138400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34475204:34477651:-1 gene:Manes.12G138400.v8.1 transcript:Manes.12G138400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADTPDPQPEPVSSSADPLSNQFGSLNDLAHELASLQDLANRGSWRSILDKVARARSQSLLNTPHDHLTYLAYNVLALAKLRRFKDALTEIDTVDDFDSHHYRYETYPKIYPNRYGSMVPFSLRWLHALIPIKLGNRQQGLDRFYMLLDFVRGKLKEKQDYDISTKMWRKREIFVMNGIISEHLKNKEFGVCLDLIKDLVSRGNLDPVLLSKLGYIQMQIGDLEGAKGSFDRVEKLLNERNAGGDYGLLSEVELRNQVNRNKALVYLVGKDYVSAVREYEECIERDPMDAVAINNKALCLMYLRDLSDSIKVLENSLERVPTVALNETLVVNLCSMYELAYVNHSDIKRTLSNWIARVAPDDFDSSSTRI >Manes.12G138400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34475174:34477651:-1 gene:Manes.12G138400.v8.1 transcript:Manes.12G138400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADTPDPQPEPVSSSADPLSNQFGSLNDLAHELASLQDLANRGSWRSILDKVARARSQSLLNTPHDHLTYLAYNVLALAKLRRFKDALTEIDTVDDFDSHHYRYETYPKIYPNRYGSMVPFSLRWLHALIPIKLGNRQQGLDRFYMLLDFVRGKLKEKQDYDISTKMWRKREIFVMNGIISEHLKNKEFGVCLDLIKDLVSRGNLDPVLLSKLGYIQMQIGDLEGAKGSFDRVEKLLNERNAGGDYGLLSEVELRNQVNRNKALVYLVGKDYVSAVREYEECIERDPMDAVAINNKALCLMYLRDLSDSIKVLENSLERVPTVALNETLVVNLCSMYELAYVNHSDIKRTLSNWIARVAPDDFDSSSTRI >Manes.09G061628.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10045673:10047211:1 gene:Manes.09G061628.v8.1 transcript:Manes.09G061628.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLKFYNFFPASAKLGVLKQGKGVSRIRFFGCFNMEKSRPTWNDIGVNLSLMLDALLVAYLMKSLSVLFGIVLMLRRFGILNRGFGNGANERVFNGNCQSIRSPGQIFKNSISAWGSSNFISFSNAAVERAYQLKSSSLMILPNGYRAVVRGFKIQTTLVPRLVLLKKY >Manes.07G136700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33888623:33891093:-1 gene:Manes.07G136700.v8.1 transcript:Manes.07G136700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLPFTGKFQELTKIVSSHHPKRSKVAPVTPLRPREVRFDSELLKVKKMEDGSLCIDGQNRVPLSHVVSECTKRWFQDTLREAKAGDIAMQVLVGQMYCSGYGVHKNAQKGREWINRASKGRTSAWKVSNEHPGYNASDSDSDEAKGDANRNKNT >Manes.07G136700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33889378:33891093:-1 gene:Manes.07G136700.v8.1 transcript:Manes.07G136700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLPFTGKFQELTKIVSSHHPKRSKVAPVTPLRPREVRFDSELLKVKKMEDGSLCIDGQNRVPLSHVVSECTKRWFQDTLREAKAGDIAMQVLVGQMYCSGYGVHKNAQKSFEGSNFSMESEQ >Manes.07G136700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33888623:33891093:-1 gene:Manes.07G136700.v8.1 transcript:Manes.07G136700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLPFTGKFQELTKIVSSHHPKRSKVAPVTPLRPREVRFDSELLKVKKMEDGSLCIDGQNRVPLSHVVSECTKRWFQDTLREAKAGDIAMQVLVGQMYCSGYGVHKNAQKGREWINRASKGRTSAWKVSNEHPGVHTLLLGQILDYFSLCLIDFYLNSLGYNASDSDSDEAKGDANRNKNT >Manes.07G136700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33888681:33891093:-1 gene:Manes.07G136700.v8.1 transcript:Manes.07G136700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLPFTGKFQELTKIVSSHHPKRSKVAPVTPLRPREVRFDSELLKVKKMEDGSLCIDGQNRVPLSHVVSECTKRWFQDTLREAKAGDIAMQVLVGQMYCSGYGVHKNAQKGREWINRASKGRTSAWKVSNEHPGYNASDSDSDEAKGDANRNKNT >Manes.08G003700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:643314:648198:1 gene:Manes.08G003700.v8.1 transcript:Manes.08G003700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRGGNTWTEELASLVEDTGIRYAAASAAAGESLGLSVPALKKSGFIGDYGEEEGKTESLKEQVTGFLKSWGEMLLDLGKGCKDIVQQSLITEDSFIVRNVGKPMAKVSDRFKILNEFLPEDRDPAHAWPVIFFVLTLALAALSLNTMDDASVPAVKKVHVHPPSANHILLPDGRHMAYHENGVPASRARFSMIVPHSFISSRLAGIPGVRASLLEEFGVRLVTYDLPGFGESDPHPSRNLNSSALDMLHLANAIGVNDKFWVLGHSSGSIHAWAALRYIPDRIAGAAIIAPVINPYELGMTKEEMRRTWELWSSKRKLMYFLARKFPKFLAAFYRRTFLSGFHGQIDKWMYQSLGRKDQLLIEDPTFEEFWHRDVEESIRQRTTKPFIEEAALQVSNWGFSLADLHVQKKCQRKGILIWLRSMYSQAECELAGFLGPIHIWQGTDDKVAPPSMTNYISRVLPSVTLHKLPNEGHFSFFYFCNECHRQIFSALFGDALGPLEEIVDMNATPFEGEIEEVSSSTDSSPGDM >Manes.08G003700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:643314:648198:1 gene:Manes.08G003700.v8.1 transcript:Manes.08G003700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRGGNTWTEELASLVEDTGIRYAAASAAAGESLGLSVPALKKSGFIGDYGEEEGKTESLKEQVTGFLKSWGEMLLDLGKGCKDIVQQSLITEDSFIVRNVGKPMAKVSDRFKILNEFLPEDRDPAHAWPVIFFVLTLALAALSLNTMDDASVPAVKKVHVHPPSANHILLPDGRHMAYHENGVPASRARFSMIVPHSFISSRLAGIPGVRASLLEEFGVRLVTYDLPGFGESDPHPSRNLNSSALDMLHLANAIGVNDKFWVLGHSSGSIHAWAALRYIPDRIAGAAIIAPVINPYELGMTKEEMRRTWELWSSKRKLMYFLARKFPKFLAAFYRRTFLSGFHGQIDKWMYQSLGRKDQLLIEDPTFEEFWHRDVEESIRQRTTKPFIEEAALQVSNWGFSLADLHVQKKCQRKGILIWLRSMYSQAECELAGFLGPIHIWQGTDDKVAPPSMTNYISRVLPSVTLHKLPNEGHFSFFYFCNECHRQIFSALFGDALGPLEEIVDMNATPFEGEIEEVSSSTDSSPGDM >Manes.18G122701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13270628:13275040:1 gene:Manes.18G122701.v8.1 transcript:Manes.18G122701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDLAKILAAITTKSNETDPYQIHSSEAPGFRLVSVPLKGPNYIYWSRAVQIALRAKKKLGFVNGTIKAPEPDSDDYEKWATADSMVVSWLLNAMSKDISDAFVFSKRDESVTEYYTKLKKKWDDLLCLAPLPVCCETGTAISDYDNNRRLMQFLMGLGDEYDNVKNQVLLQSPLPSINKAYSMVMSIEKQREVQTSNATSTETAVIMMARKDNNNYSDNTSSSRNNNRYSSYPRKEDKKKEYCTKCKIGGHTIEDCFQINGYPGWFIEMQKKRGVDVRKYYSANNVAQVAISDSPLQQHVLSQKSSVVQDNAVTDYIQREFQKFLRAKGGFPDPAAEDVHNVNFTGILLNSVITSIDFNCKDNWIIDSGATDHITPKLSFFDQVVQLNPPKTICLLDKTTRKVTHIGNIKLNDRIILYNVLAQQPQLNTSADILTEIVECPTTDLSHVQPFVIRRGTRQRKPLSWLDDFVTDSQTHSNIYFSSSHLSFVAQISKVIAMNNELTALEQNNTWVLTDLPSNVTPTGYGSIERYKARLVAKGYNQLLVLLTIATASAWPIPPGYEKGKPGQAGRQRNKELTASLLTKGFHQSSFDHCLFTRGQVDLIAELKTYLHDKFTIKDLGHAKCFLDIVADTGLKLSSDMGKPLPDPECYRRLIGRFYAVQQLSQFMQTPHQQHFKAALAVGLFLPASNDLKLRAFSDADWASCTDSRRSITGRSSAESEYRAMASTVYFHSLVPTPIPLHCDNTTTIHIAANPVFHERTKHIDIDCHVTFVCKLGLVDFSPTPA >Manes.17G026600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20333473:20336524:-1 gene:Manes.17G026600.v8.1 transcript:Manes.17G026600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPRERRQAEAARIREKYPDRIPVIVEKAERSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKDTLPSTAALMSAIYEENKDEDGFLYMTYSGENTFGFHQEQQNSCM >Manes.17G026600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20333087:20336546:-1 gene:Manes.17G026600.v8.1 transcript:Manes.17G026600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPRERRQAEAARIREKYPDRIPVIVEKAERSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKDTLPSTAALMSAIYEENKDEDGFLYMTYSGENTFGFHQEQQNSCM >Manes.07G009924.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1195009:1200190:-1 gene:Manes.07G009924.v8.1 transcript:Manes.07G009924.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMFDGKPMIPDVVIDETWFSDPTYCESIKHWYLYAKTIAEKAASKFAEESGIDIVTIHPGFVIGPFLQPTLNVTVEVILNYINDDLKKTAHLLALEGAKERLHLLKADLLQEGSFDAAVDGCEAVFHTASPVSLQANADPQAELVDPAVKGTLNVLRSCAKVPSIKRVIITSSFASLPYNGKILAPDVVVDETWFSDPAVCAKRKLWYQLGKTLAEQAAWEFAKNNKMDFVTIHPVFVLGPLLQPTINSSIEILFNLINGGAQEYPDAYYRSIDVRDVAYAHIQALEIPSASGRYCLIESDVHFSEVLKIVQQHYLTLHLPKKCGSGLNYLTKSGVSKEKAKTLGIDFIPLEVSLKDTIESLKEKGFLSI >Manes.03G098100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17703789:17706972:-1 gene:Manes.03G098100.v8.1 transcript:Manes.03G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTSVGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYEPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDDEEEVEEY >Manes.07G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33624132:33626532:1 gene:Manes.07G135000.v8.1 transcript:Manes.07G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLSASSSSVLIRKARLSPFLFTLLAFIVFVAILYGEDFMCLLGQLDPNSGSLLTRTEKKWEKLPFAIGKTPEGCDIFSGRWVRDDLTRPLYDESECPYIQPQLTCQEHGRPDKDYQYWRWQPHGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEDAKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVIHRISDRIVRKGSINKHGKHWKKVDIMVFNTYLWWMTGLKMKILRGSFDDEAKDIVEIATEDAYRMAMKSMLRWVRKNMNPRKTRVFFTSMSPSHGKSIDWGGEPGLNCYNETTLIDNTTYWGSDCRKSIMEVIGEVFSKSKFPISFLNITQLSSYRKDAHTSIYKKQWSPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFTKLFYP >Manes.01G240500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40163727:40164035:-1 gene:Manes.01G240500.v8.1 transcript:Manes.01G240500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFIWRKRAVQPLNHLQDEEEDVKSSHTDTSSNKLRIKVVMTARQLEELAAKADLSKGNSELGRMILQQCLDGRLRGRVVGDQGLVSKYANTWTLNPIKEE >Manes.10G099800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24905557:24906172:1 gene:Manes.10G099800.v8.1 transcript:Manes.10G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSPCFIILLSIITLSINVDARRLLEATLPELPKPELPSLPKVELPPLREVPILPKPEIPTLPKPELPELPKPELPALPHLPELPKPTFPVIPTIPKDTKPLQSTTSP >Manes.01G166600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35004739:35008124:1 gene:Manes.01G166600.v8.1 transcript:Manes.01G166600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTDLPPGVDKEQVFGMLAMEMEYKVELFNRLAMGCFNKCVDKRYKEPELTMGENSCIDRCVSKYFLVNGIIGQMLSAGQRPM >Manes.01G166600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35004739:35008124:1 gene:Manes.01G166600.v8.1 transcript:Manes.01G166600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTDLPPGVDKEQVFGMLAMEMEYKVELFNRLAMGCFNKCVDKRYKEPELTMGENSCIDRCVSKYFLVNGIIGQMLSAGQRPM >Manes.01G222900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38967875:38973604:-1 gene:Manes.01G222900.v8.1 transcript:Manes.01G222900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMESCDCIDTQWHHEELLVKYQYISDVLIAFAYFSIPIELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFNMHSKAVAVVMTIAKVSCAIVSCATALMLVHIIPDLLSVKTRESFLKNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSDLTLQLSHTLRYQIQLGITVSINLPIVNEVFNSARAMRIPYTCPLAKVRPLVGRYTPPEVVAVRVPLLHLSNFQINDWPDLSAKSYAVMVLILPTDSARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNIALTSARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETDLTSEQRVMIETVLKSSNLLATLTNDVLDLSRLEDRSLELEIRIFNLHELFREVVNLIKPITSLKKLSMTLIMAPDLPVCAVGDEKRLMQTILNVVGNAVKFTKAGYVSVIVSVAKPDSLRDWRTPEFYPTTSDGHFYLRIQVKDSGCGVLPQDIPLLFTKFTQPRSGSSQNNGGAGLGLAICKRFVDLMGGHIWIESEGLDKGTSATVIVKLGFCKNPGDSSMHQVASGGRANHGSTDFIGHKALFRNSDGVAFPNPRYQRSV >Manes.11G113800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:26737430:26738311:-1 gene:Manes.11G113800.v8.1 transcript:Manes.11G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQKLFLVIVMIFAAISSKSVLQLSTAQTICNMPVAGLMACKPSVTPPNPTAPTSDCCSALSHADMNCLCSYKNSKLLPSLGIDPKLAVKLPAKCNLPHPANC >Manes.05G171940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28551253:28559672:-1 gene:Manes.05G171940.v8.1 transcript:Manes.05G171940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVKWGCSYKRTTLIVCSINIVVALYVLRSLYGSLYIYSNNDLKNVVNYTPDQINRMEESIQIRRANEPLELVKLVKQLNEELKTDEKAVELPMEVRRKITDEILQRLRSLNANANITEQREAVERWRKEKLLEVKQLIRGIGLLNSTILQEQTRMLIKALDSDWAVLSENIGLWMPTEIINQEHDDKPEGEEDDEEILPGRPVPPECHAELHTDYDGAAVRWGLTHHNESAADCCQACLDQAKRAKPGEMKCNIWVYCPSETGCYSPDIYQHKNQECWLKYAEKPKLNFKDRYSES >Manes.05G171940.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28551253:28559672:-1 gene:Manes.05G171940.v8.1 transcript:Manes.05G171940.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVKWGCSYKRTTLIVCSINIVVALYVLRSLYGSLYIYSNNDLKNVVNYTPDQINRMEESIQIRRANEPLELVKLVKQLNEELKTDEKAVELPMEVRRKITDEILQRLRSLNANANITEQREAVERWRKEKLLEVKQLIRGIGLLNSTILQEQTRMLIKALDSDWAVLSENIGLWMPTEIINQEHDDKPEGEEDDEEILPGRPVPPECHAELHTDYDGAAVRWGLTHHNESAADCCQACLDQAKRAKPGEMKCNIWVYCPSETGCYSPDIYQHKNQECWLKYAEKPKLNFKDRYSES >Manes.07G069233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12928809:12929916:-1 gene:Manes.07G069233.v8.1 transcript:Manes.07G069233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILLMMFMAYIFAGSFERFRGIFHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQEDIDTKITLDIFKLDPNFLENEKRYEELRKNILGEESADEEGSDAASGDEDDDEEEDDDDDDDDEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDRKDEKEEEEEEGERMGILVFLTKINGDLTENLTGVINGIVFKNHSD >Manes.09G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28655819:28658883:1 gene:Manes.09G094600.v8.1 transcript:Manes.09G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHLLGVFVFSFLNLCLLQELALAATTRHYKFDIKLKNVTRVCNTTAILTANGKFPGPRIVVREGDRLVVKVVNHSPKNVSIHWHGIRQLQSGWYDGPSYITQCPIQTGQTYVYNFTIVGQRGTLFWHAHISWLRATLYGPIIILPKRGVPYPFPKPYKQVPILFGEWFNADPEAIINQSLQTGGGPNVSVAYTINGLPGPLYNCSGDKDTYKLKVKPGKTYLLRLINAALNDEIFISIANHTVTVVEADASYIKPFETDKFLITPGQTMNVLLKTKPYYPNATFFIAARPYATGTGTFDNSTVAAILEYESPTNSTLLSIMQLPPLKPTLPSLNDTPFAFNFSRRFRSLASVQYPTNVPQTVDKRFFFTVGLGTSPCPKNQTCQGPNGTKFSASMNNISFALPTTALLQSYFFGKSNGVYTTNFPSKPIIPFDYTGTPPNNTMVINGTKVVVLPFNTNVEVVLQDTSILGNESHPLHLHGYNFFVLASGFGNFDPNSDPANFNTVDPVERNTIGVPSGGWVAIRFRADNPGAWLMHCHFDVHLSWGLRMAWIVLDGNLPSQKLPPPPADLPKC >Manes.05G083582.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6875032:6876312:1 gene:Manes.05G083582.v8.1 transcript:Manes.05G083582.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASSIQFIDTALSCTSPHALSYPDPKQKWLIRKHLLSLIQDYPSFTPSTDTFTHNNGTAVNLLNVTGELRVSRYTPHVPLTIWLHEKYPYMPPLVFVLANSMTPIHRDHPFVDLSGSTSSPYLQTWIFPRCNLIDLVRNLVRIFSRDHPFIYGSAVASFTHPSLVSKMEALDRLSGMLHYDMTAFLVKNEEELEDLSKLQEELIKRETITRNMISSLEQEKSSLKDKVTRLVDEADVVMNWLRVNGANSAAVGEDEAEAFEAADEESKLKIECFSADWAIEDLIYALDKAVEEGAVPFDAYIKQVRVLAREQFSYRAMLLTRSIQNDCSSMVAIGQYNT >Manes.03G193600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31171255:31171677:-1 gene:Manes.03G193600.v8.1 transcript:Manes.03G193600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNIGASASSEDGGIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDVCWAMAALGFDDYAGPLRTYLQRYRELEGDRANQEKASNSNNTEEKEEQSSSYRNNQLH >Manes.03G037700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3318665:3327158:-1 gene:Manes.03G037700.v8.1 transcript:Manes.03G037700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVNALLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYSITPARLRLIYEDVWLRSSDGVRLHAWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLVKNNSDKVAGLILENTFTSILDMAGILLPFLKWFIGSTYSKGPKILNFLIRSPWSTIDVINQVKQPILFLSGLQDEMVPPSHMEMLYAKAAAHNKECIFVEFPTGMHMDTWLAGGDHYWRTIQQFLEKYVPEEKENESYHTEQGSCSD >Manes.03G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3318665:3327158:-1 gene:Manes.03G037700.v8.1 transcript:Manes.03G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVNALLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYSITPARLRLIYEDVWLRSSDGVRLHAWFIKLFPECRGPTILFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLVKNNSDKVAGLILENTFTSILDMAGILLPFLKWFIGSTYSKGPKILNFLIRSPWSTIDVINQVKQPILFLSGLQDEMVPPSHMEMLYAKAAAHNKECIFVEFPTGMHMDTWLAGGDHYWRTIQQFLEKYVPEEKENESYHTEQDMQGR >Manes.03G144900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27277516:27285698:-1 gene:Manes.03G144900.v8.1 transcript:Manes.03G144900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRINNINNNNAVVKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKAVTSKPDKASDSDSEGEAEEDLADKPVGPVDPAKCTAVGAGIAGGTACAPSTFMVVTKDADGRKVLHGGAQIKVKVSPGVGVGGTEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIDCNGKPIMGSPFPVFFSAGTSTGGLLGMAPASNFPNLVNQTMPNMPNYSGSVSGAFPGLLGMIPGVVPGASGGAILPGIGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTTMGTVSQVPMPPSAAAMAAAQAIVAAQALQAHAAQFQAQNQSAKDSTVSPDKAGKEDTLKKTLQVSNLSPLLTVDQLKQLFGYFGTVVDCTITDSKHFAFIEYSKPEEATAALALNNMDVGGRPLNVEMAKSLPQKSILNASMASSSLPMMMQQAVAMQQMQFQQALLMQQTMTAQQAANRAATMKSATELAAARAAEISKKLKADGLVDEEKEPKRKSRSPSQSQPRSRSKSKSPVNYRLRRRSPSYSPPRRHRDRRSRTPLRSRHHFRYDDERRSYRDFRDDSDRTRRRDRPYDRHSSVSRKNRSRSVSPRRKKSYRADSGSPKHRQESSPHRERKSSRGGSRSPRHHRGSRSSPRDDSNNKQKYRKRSRSKSVEDTNDQGKETQNEKTKQQERRRSRSLSVEERKDGSNSSPRSSDGNETKHRRRLRSRSVEAHHRSNEKVNATRDERSKNRDRRRSRSKSVEDRYHSRDKGNDTIDKKSKHRVRKRSRSMSADGKHHRGSRSSPRGGDENKSKHRRRSRSKSPESKQYSSHKMGENRDEKSKHHKRRRSMSAEGLEGHDEYRSSLKEEKTDLTCASKDYEESHH >Manes.03G144900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27277061:27285698:-1 gene:Manes.03G144900.v8.1 transcript:Manes.03G144900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRINNINNNNAVVKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKAVTSKPDKASDSDSEGEAEEDLADKPVGPVDPAKCTAVGAGIAGGTACAPSTFMVVTKDADGRKVLHGGAQIKVKVSPGVGVGGTEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIDCNGKPIMGSPFPVFFSAGTSTGGLLGMAPASNFPNLVNQTMPNMPNYSGSVSGAFPGLLGMIPGVVPGASGGAILPGIGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTTMGTVSQVPMPPSAAAMAAAQAIVAAQALQAHAAQFQAQNQSAKDSTVSPDKAGKEDTLKKTLQVSNLSPLLTVDQLKQLFGYFGTVVDCTITDSKHFAFIEYSKPEEATAALALNNMDVGGRPLNVEMAKSLPQKSILNASMASSSLPMMMQQAVAMQQMQFQQALLMQQTMTAQQAANRAATMKSATELAAARAAEISKKLKADGLVDEEKEPKRKSRSPSQSQPRSRSKSKSPVNYRLRRRSPSYSPPRRHRDRRSRTPLRSRHHFRYDDERRSYRDFRDDSDRTRRRDRPYDRHSSVSRKNRSRSVSPRRKKSYRADSGSPKHRQESSPHRERKSSRGGSRSPRHHRGSRSSPRDDSNNKQKYRKRSRSKSVEDTNDQGKETQNEKTKQQERRRSRSLSVEERKDGSNSSPRSSDGNETKHRRRLRSRSVEAHHRSNEKVNATRDERSKNRDRRRSRSKSVEDRYHSRDKGNDTIDKKSKHRVRKRSRSMSADGKHHRGSRSSPRGGDENKSKHRRRSRSKSPESKQYSSHKMGENRDEKSKHHKRRRSMSAEGLEGHDEYRSSLKEEKTDLTCASKDYEESHH >Manes.02G154700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12030740:12037141:1 gene:Manes.02G154700.v8.1 transcript:Manes.02G154700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWAPNINIFRDPRWGRGQETPGEDPLVTGKYAVSYVRGVQGDSFQGGKLKGHLQASACCKHFTAYDLDNWKGVNRFVFDARVTIQDLADTYQPPFQSCVQQGKASGIMCAYNRVNGVPSCADFNLLSKTARGQWDFHGYITSDCDAVSIIYNDQGYAKSPEDAVVDVLKAGMDLNCGSFLQKHTKAAVEQKKLPESAIDRALHNLFSIRMRLGLFNGNPTEQPFSNIGPDQVCSQEHQMLALEAARNGIVLLKNSAKLLPLSKSTTTSLAVIGPNANSAQTLLGNYAGPPCKSVTPLQALQNYIKNTIYHPGCDTVQCSSASIDKAVDIAKGVDHVVLIMGLDQTQEREELDRVDLVLPGKQQELIINVARCAKNPIVLVLLCGGPVDVSFAKYDKNIGSILWAGYPGEAGGIALADIIFGDHNPGGRLPVTWYPQEFVKVPMTDMRMRPDSSSGYPGRTYRFYKGQSVFEFGYGLSYSKYSYELKSVTQNKLYLNQSSTMHVIDNSDSLRSTLVSELGAEFCKESEFSVRVGVENQGEMAGKHPILLFVRQATHGNGRPRKQLIGFKSVILSAGEKAEIEFELSPCEHFSRTNEDGLKVIEEGTHFLVVGDDKYPISIIV >Manes.02G154700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12030740:12037140:1 gene:Manes.02G154700.v8.1 transcript:Manes.02G154700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQTLSYFIFTLLVFRVASTQPPFSCDPSNPSTSSYLFCKTTIPISQRVRDLVCRLTLDEKISQLVSSAPPIPRLGIPAYEWWSEALHGVANVGRGIHFEGTIRSATSFPQVILTAASFDAYQWYRIGQVIGREARAVYNAGQATGMTFWAPNINIFRDPRWGRGQETPGEDPLVTGKYAVSYVRGVQGDSFQGGKLKGHLQASACCKHFTAYDLDNWKGVNRFVFDARVTIQDLADTYQPPFQSCVQQGKASGIMCAYNRVNGVPSCADFNLLSKTARGQWDFHGYITSDCDAVSIIYNDQGYAKSPEDAVVDVLKAGMDLNCGSFLQKHTKAAVEQKKLPESAIDRALHNLFSIRMRLGLFNGNPTEQPFSNIGPDQVCSQEHQMLALEAARNGIVLLKNSAKLLPLSKSTTTSLAVIGPNANSAQTLLGNYAGPPCKSVTPLQALQNYIKNTIYHPGCDTVQCSSASIDKAVDIAKGVDHVVLIMGLDQTQEREELDRVDLVLPGKQQELIINVARCAKNPIVLVLLCGGPVDVSFAKYDKNIGSILWAGYPGEAGGIALADIIFGDHNPGGRLPVTWYPQEFVKVPMTDMRMRPDSSSGYPGRTYRFYKGQSVFEFGYGLSYSKYSYELKSVTQNKLYLNQSSTMHVIDNSDSLRSTLVSELGAEFCKESEFSVRVGVENQGEMAGKHPILLFVRQATHGNGRPRKQLIGFKSVILSAGEKAEIEFELSPCEHFSRTNEDGLKVIEEGTHFLVVGDDKYPISIIV >Manes.01G209400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38073762:38079448:1 gene:Manes.01G209400.v8.1 transcript:Manes.01G209400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSLLLYSHTVFSSSTMLLVNAQTLARTMFPIDDIVFEPDDIAFGTLWWFVYAGVSCLLVLFAGIMSGLTLGLMSLGLVELEILQRSGSSTEKKQAAAILPVVKKQHQLLVTLLLCNACAMEALPIYLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLFVGANFVWLVRILMIICYPIAYPIGKVLDVALGHNDALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKVHAKNKNSQPTSDGEKFNEKKFTNGDSQLHAPLLPKHDEKSESFLIDFEKDVRPITINAKQVLGAATNISHLSDDIEDREVIGIITLEDVFEELLQEEIVDETDVYVDVHKRIRVAAAAAASSVARAPSNRRLTGQKPADIDWGPIFGKCCSKKFAISLSNP >Manes.12G026600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2350876:2352356:-1 gene:Manes.12G026600.v8.1 transcript:Manes.12G026600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTHQHQHLLPCLHCHPHSYIRMVQHLIERCLLLNMSREQCIKALAEHANIRPLVTLTVWRELQKENKDFFHAYFRSTSSRPFPNRFSQRVSRLDRRKQWN >Manes.12G026600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2351020:2352346:-1 gene:Manes.12G026600.v8.1 transcript:Manes.12G026600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKMHQTHQHQHLLPCLHCHPHSYIRMVQHLIERCLLLNMSREQCIKALAEHANIRPLVTLTVWRELQKENKDFFHAYFRSTSSRPFPNRFSQRVSRLDRRKQWN >Manes.03G062150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6681526:6694484:1 gene:Manes.03G062150.v8.1 transcript:Manes.03G062150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRARLCEEYKEVQREKAVDHDIQLVSDDFNIFKWTGLTETPYEGGIFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKLWMFREICLDILKNAWNPAWTLVLVMVFTLTDCSFCTGNLLRSGDIRGYQSMARMYTRLAASAKKG >Manes.11G155000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32180975:32182294:-1 gene:Manes.11G155000.v8.1 transcript:Manes.11G155000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIESTRIIKPIYEGMFPPSTSQFIPLSVFDRVTYNTHIAVIYAYRPPTPTNAIIELGLQKALSEYREWAGRLGEDEKGDPVIFLNDKGVKLVEASVDCMLDQLLPLKPSPVLLSLHPSLKDVEEHVQVQLTRFTCGSLVIGFTAHHSVADGHSTSNFLVSWGKACRGLDMSPFPLHDRTIFKPRKPPIFEFEHIGAEFKNKNACPNHYADNFSDDSIIVHKVHFTMDFLSNLKARASSLSNNGLQNKPYSTFESLVAHLWRAITKARGLGGYKTTHVRISVNGRMRMSPKVPNEYFGNLVLWAFPTAKVKDLLREPLPYAAKIIHEAIAKVNDNYFKSFIDFATHKAEKEEDLVPTAEMNKSVLYPNLEVDSWLRFPFYDLDFGGGSPYLFMPSYFPTEGMIFLLPSFIGDGSIDAFIPLFQENLATLKEIVYSLD >Manes.02G055400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4392219:4394706:-1 gene:Manes.02G055400.v8.1 transcript:Manes.02G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADEGHQQRQHERMSVSNNFSEDLLQKFMSTNRFNHKICEETEEEEEDEDVELSLGLSLNGRFGVDPRAKKLTRASSIPDFINPERDNGNSFMAPLVSNNLVRTCSLPTETDEEWRKRKEIQMLRRMEAKRKRSEKQRNLKAQKDRIRGFGEESCEEDKRENGTISKNHHHRQELFVKNCSGLFGAGAEGLLPRAQITAPSQGSTGSQGTGSSGLETENQHVQGMHKCSEARSPVSVQSLSECEQKLAASPESTMNEKSSSPAGVARENSRPSEATVKKAAKGNVRNVMEDMPCVSTKGEGPNGKRIEGFLYRYRKGEEVRIVCVCHGSFLSPAEFVKHAGGGEVAYPLKHIVVNPFPLL >Manes.12G095767.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:20872431:20872811:-1 gene:Manes.12G095767.v8.1 transcript:Manes.12G095767.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKHILRYVKSTINHGCYYTRRKDSSLKLIGYSDSDLASNVDDRKSTTGVI >Manes.02G216600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31511727:31513523:-1 gene:Manes.02G216600.v8.1 transcript:Manes.02G216600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDSLPVKSLKMEEQEVPETTIDDSCNGGFAGMDEFDGILDIEDEEKLSKDKNMYGFLNWDFMDMEEQPNINGEDEADEYCKFEDRSRSFFEEEESHFYSIKRESSNGFLLDGEDDDKRVSLNLNLNYQEVLDAWSDRGPLWADHSSLSCFPNNAYYMGEVPVMEEERTRREASVLRYKEKRQTRLFSKKIRYQVRKLNADKRPRLKGRFVKRDS >Manes.10G080475.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19228819:19230377:1 gene:Manes.10G080475.v8.1 transcript:Manes.10G080475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLMDFSLHDCVAESSAAEIKSKFWKFIGSVLSHRLNQNLGEFHFYFDRVIRYVVSHQVQHLHLEVDMEFPNSLLSCESIKTLRLARVTKLPESFAFTKLNSLHLKFCTFESYDRRDFLCPFANCFNLKTLNISYCCFRGIKSFRISGLQLLSLSFDYVQGRVCKVDIFAPNLTYFSVCWGVGSLVLFNELNLPFLNIVDVHGLSVCYKSSIMRNLSNYAVIPFRSKNQPCLVANLKSLKFYLGYGSESPVVPFLVRVFVLDSSVSLPL >Manes.14G032400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2922686:2927865:-1 gene:Manes.14G032400.v8.1 transcript:Manes.14G032400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMEEVYVEHFFAKEIDIDYEFDAATYYDFTRPETDFEAREAERWFETTGFCSTLQLQSYDEPKSPVKSRLLKSSSFMNPTASLLAKQNCPPQIQCDRLLRRSQKLVKNEDNMSQKLVKDDEKSSRNSSMNGTQATKRQKLEAGFSRKVARLKHQALFLHKAPKKVGLVDVRTSFAKPKATIPREPNLETACRAERRRSKINVESVENTKSNASTFKARPLNKKILEAPSLPLAKKSTPQLPEFQVFHLRTSKRAMQYESVNAAKAPSSSPSPQNQTKSSRRVTPVAALKEKLEALDKFKARCLNKKERNIPNDRRFPNEPPIEAFSKLSLKSEDSDAKSRSKMPLHHKGSKENAPDSLHPGYKMKNAAKSQRLCGKPFQCGSNGRVRSIVPQINRSFHIR >Manes.14G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2922686:2927865:-1 gene:Manes.14G032400.v8.1 transcript:Manes.14G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMEEVYVEHFFAKEIDIDYEFDAATYYDFTRPETDFEAREAERWFETTGFCSTLQLQSYDEPKSPVKSRLLKSSSFMNPTASLLAKQNCPPQIQCDRLLRRSQKLVKNEDNMSQKLVKDDEKSSRNSSMNGTQATKRQKLEAGFSRKVARLKHQALFLHKAPKKVGLVDVRTSFAKPKATIPREPNLETACRAERRRSKINVESVENTKSNASTFKARPLNKKILEAPSLPLAKKSTPQLPEFQVFHLRTSKRAMQYESVNAAKAPSSSPSPQNQTKSSRRVTPVAALKEKLEALDKFKARCLNKKERNIPNDRRFPNEPPIEAFSKLSLKSEDSDAKSRSKMPLHHKGSKENAPDSLHPGYKV >Manes.14G020900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2083873:2086764:-1 gene:Manes.14G020900.v8.1 transcript:Manes.14G020900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSDISPSPPAPTASGNNAHMMYVFNRNGVCLLYREWNRPLHTLNAQQDHKLMFGLLFSLKSLTAKMDPTSAEKGNLGMPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRESLKYIYNLYVEYVVKNPLYTPGTPIRCELFNTSLDQYVRSIA >Manes.14G167800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986964:26992574:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.14G167800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986964:26992574:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.14G167800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986947:26993406:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.14G167800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986964:26991704:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.14G167800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986947:26996063:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.14G167800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986947:26994180:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.14G167800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986947:26994180:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.14G167800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986964:26991704:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.14G167800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26986947:26993406:1 gene:Manes.14G167800.v8.1 transcript:Manes.14G167800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKIADAFSAATSHFAASKTSAVPYMSFGLLPPPAETTDHGFDLANSSHKNNLRLSSSLQDLKSYRRLDLEEGDYNIGIDRKHYLLQRENAGSSFSKEKPMLGRIPFLRRKWVRLVMILLCLLLLGFLTYLITTYILSYLSQGYSKFYVVLDCGSTGTRVYVYRASIDHNKDRSLPIALTSLTEGLSRKASGRAYDRMETEPGLHLLVHNTSGLKAAIKPLVRWAEKQIPERAHKTTSLFLYATAGVRRLPTADSKWLRDKVWSILKESPFLCKRTWIKVISGMDEAYYGWIALNYQTGVLGNTPKKATFGALDMGGSSLQVTFESKKHGHNMTDLNLRIGAANHHLTAYSLAGYGLNDAFDKSVVHILRGLPNADLASENIEIKHPCLQSGYKEQYICSQCASSQQNSPTAVVVGRNSVKGVKSGVPVQLIGAPNWEECSALAKVAINLSEWSNQTAAVDCDLQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEATLDDVLEKGQEFCEKTWEVARKSVSPQPFIEQYCFRAPYIVFLLREGLHITDNQIIIGSGSITWTLGVALFEAGKAFSPRLRLPSYQILQVRIHPIVLIVILIISLILLFCAISCLANWTPRIFRRPYLMLFKHNSGSATSVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRSFGLGHGLGGSGIQLIESSFYPSTSGVSHSYSSSSLGQMIDSNSMGSLWSPHRSQTSLQSRRSQSREDLSSSLAEAHMVKG >Manes.04G047561.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5286462:5286686:-1 gene:Manes.04G047561.v8.1 transcript:Manes.04G047561.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSKSTTVLVIFFILVAVLRQVRVEATRVLQEDFATENHLETYSLVYEKAKNTMACWLESLASGPSHKGPGH >Manes.02G051500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4115182:4118129:1 gene:Manes.02G051500.v8.1 transcript:Manes.02G051500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILGNSISVNLKWDRFAWQSSLYNPSNCEYGVCWRSYHCSLYSSSVMKMNRDPVGIREFSTFPMSMKGFGCAQRKTTHLSSLIGSTKMTNLLAISSLAASNEPGLISEENEENEFIQRRKDELNRDYFGEHLPPWGNLMAHHRSDMDTESTAQPSMLSRDVITVKEIRVHLLEETDEEDLSRKILMLSRSNKVRSALELFRSMEFSGLQPNGHACNSLISCLIRNQLLDNALRVFEFMKRIEITTGHTYSLILKAVADYQGCDYSLNMFRELGGFSGDRNDFDVIVYNTMISVCGRVNNWVETERIWKNMKQKGISGTQITCSLLVSIFVRCGQNELALDAYSEMIQNGIKPRDDALQALIGACTKEGKWDLALNVFQTMLNHGIRPNLTACNALINSLGKAGELKQALKVFQIAKSLGHTHDAYTWNALLNGLYRANRFSDALQLFENIKREQSSQINEHLYNTALMSCQKLGLWDKALQLLWQLEASGLSVSTTSYNLVIGACETARKPKVALQVYEHMIHQNCTPDTFTYLSLLRSCIWASLWSEVDEILDQQVAPDVSLYNAAIHGMCLRGKIESAKNLYMEMRRRGLKPDGKTRALMLQNLRKVSTKGRR >Manes.02G051500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4115182:4118129:1 gene:Manes.02G051500.v8.1 transcript:Manes.02G051500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILGNSISVNLKWDRFAWQSSLYNPSNCEYGVCWRSYHCSLYSSSVMKMNRDPVGIREFSTFPMSMKGFGCAQRKTTHLSSLIGSTKMTNLLAISSLAASNEPGLISEENEENEFIQRRKDELNRDYFGEHLPPWGNLMAHHRSDMDTESTAQPSMLSRDVITVKEIRVHLLEETDEEDLSRKILMLSRSNKVRSALELFRSMEFSGLQPNGHACNSLISCLIRNQLLDNALRVFEFMKRIEITTGHTYSLILKAVADYQGCDYSLNMFRELGGFSGDRNDFDVIVYNTMISVCGRVNNWVETERIWKNMKQKGISGTQITCSLLVSIFVRCGQNELALDAYSEMIQNGIKPRDDALQALIGACTKEGKWDLALNVFQTMLNHGIRPNLTACNALINSLGKAGELKQALKVFQIAKSLGHTHDAYTWNALLNGLYRANRFSDALQLFENIKREQSSQINEHLYNTALMSCQKLGLWDKALQLLWQLEASGLSVSTTSYNLVIGACETARKPKVALQVYEHMIHQNCTPDTFTYLSLLRSCIWASLWSEVDEILDQVAPDVSLYNAAIHGMCLRGKIESAKNLYMEMRRRGLKPDGKTRALMLQNLRKVSTKGRR >Manes.16G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32118393:32120926:1 gene:Manes.16G116400.v8.1 transcript:Manes.16G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCLSICSTSKPLVVPHASAAAVASSSSSSSSSSSSSRSGCRSSPFFSTPMFGSGCLISSTANTNRSRRRRGMVCMAPDEEKLTRRNPLDFPIEWERPKPGRRPDIFPQFSPMKTPIPPPLPYDPPEEDEEEEEKKKEEEEEDPEKEEEPGQPEKQ >Manes.07G077200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21078926:21100041:1 gene:Manes.07G077200.v8.1 transcript:Manes.07G077200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVNITDEPLSPSGRLFLQPEMNTIIHCALGVKNSMDVDAIKSAIKNSILAKHPRFCSLLVHDKNGLEHWRRTEVDFDKHIIFVGNPTATITTAKEAEKMVNDYIADLSVSSPLSMDKPLWEIHIMWEPRCAIFRLHHAIGDGIELMSMLVGSCRKVEDPEAIPSLDTVNGKNWRGSGRKWKDCRGILMGLLKMVLLNLVFCLELVLRSLWVGDRKTVISGGDGVELWPRKVATAHFLMEDMKVVKKAIANATINDVLLGVISAGLSTYLEHRSPTSLQENQRLTGVAMVNLREQLGLQDLTKMLESNPKSRRGNKFGIILLPIYYNKGVEPLQHVIRAKATIDRKKQTFEAHLSYKVADFAISLLGSKFVSYFNYRIHCNSSFVISNVVGPKEEATIAANPITYLRANTTSLPQALAMHMVSYAGRADMQIVVAKDIIPDPQFLAKCFEDSLLDMKEAAVATLTSLGGS >Manes.07G077200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21078926:21100041:1 gene:Manes.07G077200.v8.1 transcript:Manes.07G077200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVNITDEPLSPSGRLFLQPEMNTIIHCALGVKNSMDVDAIKSAIKNSILAKHPRFCSLLVHDKNGLEHWRRTEVDFDKHIIFVGNPTATITTAKEAEKMVNDYIADLSVSSPLSMDKPLWEIHIMWEPRCAIFRLHHAIGDGIELMSMLVGSCRKVEDPEAIPSLDTVNGKNWRGSGRKWKDCRGILMGLLKMVLLNLVFCLELVLRSLWVGDRKTVISGGDGVELWPRKVATAHFLMEDMKVVKKAIANATINDVLLGVISAGLSTYLEHRSPTSLQENQRLTGVAMVNLREQLGLQDLTKMLESNPKSRRGNKFGIILLPIYYNKGVEPLQHVIRAKATIDRKKQTFEAHLSYKVADFAISLLGSKDSL >Manes.07G077200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21078926:21098347:1 gene:Manes.07G077200.v8.1 transcript:Manes.07G077200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVNITDEPLSPSGRLFLQPEMNTIIHCALGVKNSMDVDAIKSAIKNSILAKHPRFCSLLVHDKNGLEHWRRTEVDFDKHIIFVGNPTATITTAKEAEKMVNDYIADLSVSSPLSMDKPLWEIHIMWEPRCAIFRLHHAIGDGIELMSMLVGSCRKVEDPEAIPSLDTVNGKNWRGSGRKWKDCRGILMGLLKMVLLNLVFCLELVLRSLWVGDRKTVISGGDGVELWPRKVATAHFLMEDMKVVKKAIANATINDVLLGVISAGLSTYLEHRSPTSLQENQRLTGVAMVNLREQLGLQDLTKMLESNPKSRRGNKFGIILLPIYYNKGVEPLQHVIRAKATIDRKKQTFEAHLSYKVADFAISLLGSKVKRNRPFWIKKT >Manes.05G089700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8170937:8171991:-1 gene:Manes.05G089700.v8.1 transcript:Manes.05G089700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALDPYPSSNTCLFICFVSLLAEMSVVSAEGGVRVKIRTSPDMFWNYIQHSKKYFPKAANSLYLSISSDSKNGNVRHVTYGPRAENIKKSTETITKNDRGEFAYTVTGGDILTRFPVTNFKAVIRYPRDQWVSWTWTYNYTSDKEEDAISVDKEMSEIASETLAKVDYYVQSESFNFAAANFAAAAKLQAQAS >Manes.02G167900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13211697:13214626:-1 gene:Manes.02G167900.v8.1 transcript:Manes.02G167900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVHAGSPPTVLEIDSGEKRLNELGYKQELRREMTLLKTLAITFSSMAVFTGTPLYGQSLLYAGPASMIWGWPVVTFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPSWGPFASWCCAWLETIGVISGIGAQAYSGSQALQMIILLSTGTNKGGGYFASRSVFLCMYIGFTMIWAVLNTFALEVIAFLDIISMWWQVIGGLVVIIMLPLVAKSTQPASYVFTHFETSPESTGISSKPYAVIMSVLLSNYCLYGYDAAAHLTEETKGADRTGPIAILSSIGIISVFGWAYYLALTFSIKDPSYLYDGNNETGGALVPAQIIYDAFHGRYHNSAGAVIFLCIIWGSFFFCGLSVTTSAGRVVYALSRDNGIPFSPVWRKIHPKYKVPRNAVWLCAAISIILGLPILKLDVIFTAIISISTIGWVGGYAVPILARLMMDEKNFKPGPFYLGRARRPICLVAFLWICYTCSAFLLPTSYPIRWKTFNYAPVALGVCLTLIMLWWALDARKWFKGPVRNIDRQHGDA >Manes.02G167900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13211697:13214626:-1 gene:Manes.02G167900.v8.1 transcript:Manes.02G167900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVHAGSPPTVLEIDSGEKRLNELGYKQELRREMTLLKTLAITFSSMAVFTGTPLYGQSLLYAGPASMIWGWPVVTFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPSWGPFASWCCAWLETIGVISGIGAQAYSGSQALQMIILLSTGTNKGGGYFASRSVFLCMYIGFTMIWAVLNTFALEVIAFLDIISMWWQVFYIIIIFHEFSHFIAEIYMSFRAVSLILQVIGGLVVIIMLPLVAKSTQPASYVFTHFETSPESTGISSKPYAVIMSVLLSNYCLYGYDAAAHLTEETKGADRTGPIAILSSIGIISVFGWAYYLALTFSIKDPSYLYDGNNETGGALVPAQIIYDAFHGRYHNSAGAVIFLCIIWGSFFFCGLSVTTSAGRVVYALSRDNGIPFSPVWRKIHPKYKVPRNAVWLCAAISIILGLPILKLDVIFTAIISISTIGWVGGYAVPILARLMMDEKNFKPGPFYLGRARRPICLVAFLWICYTCSAFLLPTSYPIRWKTFNYAPVALGVCLTLIMLWWALDARKWFKGPVRNIDRQHGDA >Manes.02G167900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13211697:13214626:-1 gene:Manes.02G167900.v8.1 transcript:Manes.02G167900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGWPVVTFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPSWGPFASWCCAWLETIGVISGIGAQAYSGSQALQMIILLSTGTNKGGGYFASRSVFLCMYIGFTMIWAVLNTFALEVIAFLDIISMWWQVIGGLVVIIMLPLVAKSTQPASYVFTHFETSPESTGISSKPYAVIMSVLLSNYCLYGYDAAAHLTEETKGADRTGPIAILSSIGIISVFGWAYYLALTFSIKDPSYLYDGNNETGGALVPAQIIYDAFHGRYHNSAGAVIFLCIIWGSFFFCGLSVTTSAGRVVYALSRDNGIPFSPVWRKIHPKYKVPRNAVWLCAAISIILGLPILKLDVIFTAIISISTIGWVGGYAVPILARLMMDEKNFKPGPFYLGRARRPICLVAFLWICYTCSAFLLPTSYPIRWKTFNYAPVALGVCLTLIMLWWALDARKWFKGPVRNIDRQHGDA >Manes.08G068000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKLEPFYLLYIFVKWIDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10610925:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10612282:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLVFQMSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615280:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKLEPFYLLYIFVKWIDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615280:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKLEPFYLLYIFVKWIDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10610925:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIKRCRVETVENIEDPKGSTSHGSLEQNLVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10615279:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.08G068000.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10596106:10612282:-1 gene:Manes.08G068000.v8.1 transcript:Manes.08G068000.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLVFQMSLATDSPVHSSSSGDFAAFLDAELDSISSDSSPNEEEVNDEFNSDSSDSSPNEEAENDSEIESQRIKRCRVETVENIEDPKGSTSHGSLEQNLEVSSSKDACTHPGSFGDMCILCGQMLNEETGGVTFGYIHKGLRLGNDEIVRLRRTDMKNLLRQKKLYLVLDLDHTLLNSTRLMHITLEEEYLYSQIDSLQDVSKGSLFKLDFLQMMTRLRPFVRMFLKEASQMFEMYIYTMGDRAYAMEMAKLLDPRREYFDARVISRDDGTQRHQKGLDIVLGQENAVLILDDTETAWTKHKGNLILMERYHFFASSCHQFGFNCKSLSELKSDESDRDGALASVLKVLRKIHHMFFDELVDNLDDRDVRQVLKIVRKDVLKGCKIVFTRVFPTQFQADNHHLWKMAEQLGATCSRDLDTSVTHVVSQDAGTEKSRWALKNKKFLVHPRWIEAANYLWQRQPEENFPVNQPKNH >Manes.18G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7054822:7056138:1 gene:Manes.18G077300.v8.1 transcript:Manes.18G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNEGTQISALPEGCISNVLSFTSPRDACTLSTVSSLFKNAAESDTVWERFFPKDFQSIIARADDHHSLLASSPTKKHLFLRLCQNPILIDDGKKSFALDKWTGKKCYMLSARDLTIVWSDTPVYWRWVSDPDSRFEEVAELIDVCWLEIHGKIETQMLSPATMYTAYLVFKLAREAYGWHAPPAEVSVGLAGSESTKSRVCLDTGRGRRLHLPIGYPKEREDGWLEVKLGEFFNKEGEDGELEMSFLEVKGGHWKRGLVVQGIEIRPASE >Manes.06G004000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:859746:865192:1 gene:Manes.06G004000.v8.1 transcript:Manes.06G004000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERDFLGLGSKNFPVTVKEEVTVTDGYKESVPMRGSAMQWSFSNKVSAIPQILSFKSGVEEKPRKPTHDRIASSGFIPISTADAFDSNHKSYNSMVQKNMTLDKQGARQYTMTAYAAQHVDSHLFHHSQQTRIFPVTNHQNQTITISLSNPVLQSHLASVGNNVGGNSMNSQSLAGVPSISPVSLHPTPSSIVGTTNLRNGPKPSGTPAQLTIFYGGSVCVYDDVSPEKAQAIMLLAGNGSSITQNKAVSSAQVQAPIRSPSAGDGFIVNRIYASAPCLGLPSPISVTSSSANDLATVAVPQARKASLARFLEKRKERVMNTLPYNVSKKSPDCSSATQCDSVSYSINSLSSPSHQ >Manes.06G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:859746:865192:1 gene:Manes.06G004000.v8.1 transcript:Manes.06G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERDFLGLGSKNFPVTVKEEVTVTDGYKESVPMRGSAMQWSFSNKVSAIPQILSFKSGVEEKPRKPTHDRIASSGFIPISTADAFDSNHKSYNSMVQKNMTLDKQGARQYTMTAYAAQHVDSHLFHHSQQTRIFPVTNHQNQTITISLSNPVLQSHLASVGNNVGGNSMNSQSLAGVPSISPVSLHPTPSSIVGTTNLRNGPKPSGTPAQLTIFYGGSVCVYDDVSPEKAQAIMLLAGNGSSITQNKAVSSAQVQAPIRSPSAGDGFIVNRIYASAPCLGLPSPISVTSSSANDLATGKPVGALVSANNHIESTKTVSSVGSGSATMIPAVAVPQARKASLARFLEKRKERVMNTLPYNVSKKSPDCSSATQCDSVSYSINSLSSPSHQ >Manes.06G004000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:859745:865192:1 gene:Manes.06G004000.v8.1 transcript:Manes.06G004000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAMQWSFSNKVSAIPQILSFKSGVEEKPRKPTHDRIASSGFIPISTADAFDSNHKSYNSMVQKNMTLDKQGARQYTMTAYAAQHVDSHLFHHSQQTRIFPVTNHQNQTITISLSNPVLQSHLASVGNNVGGNSMNSQSLAGVPSISPVSLHPTPSSIVGTTNLRNGPKPSGTPAQLTIFYGGSVCVYDDVSPEKAQAIMLLAGNGSSITQNKAVSSAQVQAPIRSPSAGDGFIVNRIYASAPCLGLPSPISVTSSSANDLATGKPVGALVSANNHIESTKTVSSVGSGSATMIPAVAVPQARKASLARFLEKRKERVMNTLPYNVSKKSPDCSSATQCDSVSYSINSLSSPSHQ >Manes.18G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12257585:12266077:-1 gene:Manes.18G118300.v8.1 transcript:Manes.18G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESTESSPDSFKLGQRVHSANDPSRIGTVKYVGPVQGYPGTWVGVDWDNGEGKHDGSVNGVRYFQAKSERSGSFVRTQNLSPGISFLEALHIRYKGESTKEEEDEMYVLSASNKRVSVEFVGKEKIQDKLSRFEELKGASLPYMGVSTPGNPSDISSLVPNLKELDLTGNLISEWKDVGAMCEQLPGLTALNLSHNLMSQNITGLLQLKNIRVLVLNNTGIKWTQVEELNHLLPVIEELHLMGNGISTIKSTSSSIVQEFGSLRLLNLEDNSITEWNEILKLSLLRSLEQLHLNKNSLKHIFYPDTDTMQNLLTGSESNVQMPFQNLRCLLLGGNNIEDLASIDSLNAFPKLVDIRLSENPIADTGRGGIPRFVLIARLSKVEILNGSEVSRRERKDSEIRYVRLVMSKLHDNPDEIKEHPRFAELKAYHGIEDERPSVATSGPQKMASGLLSVNLKCVAASIGEKPLLTKKLPATTTVGKLKILCESFFKLKSIKPKLFLQEEGSPLPSLLDDEMATLMDVGIGNESTILIDEES >Manes.05G167800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28041911:28048429:-1 gene:Manes.05G167800.v8.1 transcript:Manes.05G167800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRAVARSIDEDAHHRSKRKKTGSGLESAETASTVCQEMVEGKAALYHCNYCNKDITGMIRIKCAVCPDFDLCIECFSVGAEVTPHKSSHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGFGNWTEVAEHVGTKSKLQCIDHYNAVYMNSPCFPLPDMSHVMGKSREELLAMAKGHVVIKKEFPALGGLTLEEESPSSTIIKSEAQQKDVVCQLSSSLKAEFGPSMSSSGDNTFSGAVKKASNMAQIKDSIKVEDSQSDRSVGEKKLRISGEEGPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTNAERELKLQVLRIYSKRLDERKRRKDFILERNLLHPDAFENTLSPEEREIYQRYKVFMRFHSKEEHEELMERVIEEHRIVKRIQVLQEARAAGCRTAAEVNRFIEQKKKKEADESAKRVKESAVAALSGKVLQRPSNLKGEVDGSPRGVVRGSTGLHIGGIDSPSTIANKISSSLDDWDISGFLGADLLSESEKHLCGELRILPSQYLSMLQKMSEEIMKGTVSKKSDAHSLFKVDPSKVDKVYDMLLKRGLVKHD >Manes.02G199700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16877759:16894435:1 gene:Manes.02G199700.v8.1 transcript:Manes.02G199700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHFSRKNDLMLKLSTRLLRNKSGAQISIQSIKSICLSLTETSVSLSYSFFSFLVSAFASREQFEEKNNKEKLTPPTLLARSLSLSSPHPAADFILWRHCWEVEEMAGKDDKKITRVLFCGPHFPASHIYTKEYVQKYPFIQVDDVPLSDVPDVIEKYDICVSKTMRLDSNCISRATQMKLIMQFGVGLDGIDIDAATRCGIKVARIPGHNTGNAASCAEMAIYFILGLLRKQNEMQLSVKQKKLGVPVGQTLLGKTVYILGFGNIGIELAKRLRPFGVKIIATKRSWNSHLQVSNQPSGLPIQNGFNDDLVDGKGSHGDIYEFASNADIVVCCLSMNKETEGVVNRSFISSMRKGALLINIARGGLLDYEAVLQHLKSGHLGGLGIDVAWTEPFDPDDPILKFDNVLITPHVAGVTEHSYRSMAKVVGDVALQLHAGTPWTGIEIVN >Manes.02G199700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16877759:16894435:1 gene:Manes.02G199700.v8.1 transcript:Manes.02G199700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHFSRKNDLMLKLSTRLLRNKSGAQISIQSIKSICLSLTETSVSLSYSFFSFLVSAFASREQFEEKNNKEKLTPPTLLARSLSLSSPHPAADFILWRHCWEVEEMAGKDDKKITRVLFCGPHFPASHIYTKEYVQKYPFIQVDDVPLSDVPDVIEKYDICVSKTMRLDSNCISRATQMKLIMQFGVGLDGIDIDAATRCGIKVARIPGHNTGNAASCAEMAIYFILGLLRKQNEMQLSVKQKKLGVPVGQTLLGKTVYILGFGNIGIELAKRLRPFGVKIIATKRSWNSHLQVSNQPSGLPIQNGFNDDLVDGKGSHGDIYEFASNADIVVCCLSMNKETGALLINIARGGLLDYEAVLQHLKSGHLGGLGIDVAWTEPFDPDDPILKFDNVLITPHVAGVTEHSYRSMAKVVGDVALQLHAGTPWTGIEIVN >Manes.13G073566.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10883217:10885898:1 gene:Manes.13G073566.v8.1 transcript:Manes.13G073566.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCEFCGVVRAVVYYKSDSTRLCLNCDGFVHSANCLSRRHYRSLLCNKCNSQSAILLCLDDKLSICQTCDSNSTNGCSSSGHRLQVLSSYTGRPSLAEFSRIWSFVLDASPSTTTRYDPEGLGTTSLPSLQGNEDSIISYLKNNHNESSFGLDPNSLSFLSENPNMSKEKLIFHSNFSNYLKIQDGEDLCEGNMDDVALNFENGDDIFECLQVKSRYQLGDNEKDCILMEKNLSVTESDTGRVDNTIEQDCITFHSPQVTYSTSMIHAMYGSTSCLYMNSSCNRRIKLGFPTASGQIHSSISLSLSNITGESSAADYQDCGLSPVFLASESPWKLNLETSCPQAKDKAKMRYKEKKKTRIYIFVSNSNHISDSSYDIKQPNTCSS >Manes.01G031001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6146868:6147524:-1 gene:Manes.01G031001.v8.1 transcript:Manes.01G031001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKTLLRRGKCIKKGQVLADGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTHVTSQGPERITKEIPHLEAHLLRNLDKNGIVMLGS >Manes.03G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2200782:2212617:-1 gene:Manes.03G027200.v8.1 transcript:Manes.03G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMEFSEEDKQLMQKMAKILDEVKASNATHIRKLKEISILRSKSPSPLQFGALFFKTLAPLFQIQRRTASAERIVRFVSVFGSAPDSSNSSASDEFLGEFLKFLIVAAMSSNKTARFRACQIISEIIMRLPDDAEVSDDLWDEIIECMKVRMGDKVPVIRTFAVRALSRFASDTENSDILDLFLGALPLEQNAEVRKTIVLALPPSNATSLAIVNCTLDVSESVRRAAYCVLANKFPLQSLSIKLRTVILQRGLADRSVAVSKECLKLMRDEWLSKCCNDDPVQLLKYLDVETYESVGETVMIALLQNGLVKLHDGQSIRDYISLTSSEIEGESPDCHRGIHLMEPEFALYWKTVCKHLQTEAQEKGSNAATTMGTEAAVYAAEASDNNDLLERILPATVSDYIVLVKAHIDAGANYHFVSRQLLLLGAMLDYSDSTSRKVASSFVQELLHKPLQHEVDDEGNQVVIGDGVNLGGDKDWADAVSSLARKVHAATGEFEEVVVQVIEELAQPCRERTADFMQWMHCLAVTGLLLENSKSLQGLQGRAIEPSELLQSLLLPGAKHGHLDVQRVAIRCLGLFGLLERKPSKELVMQLTISFASDLPPISIMACKALIDVAMWHGPQEVDKALGQEHVSQFEDSKNAFNPEKISDADSNVELLDLLYAGLDRNDWAKSVQHDENETVQAILCEGFAKILLLSEKYSSLPTSLHSRILAKLIILYFSNETKDLQRLKQCLSVFFEHYPSLSVNHKKCLSKAFVPVMRSLWPGIYGNAGGAATVVSNMRKRAVQASRFMLQMMQAPLFAKQTKIEDENGSTELPETIDSTLQPSFECGEEGLAICIAAEVASFAIKKTAAERAYVSALSRILILLHFRVSEQLVIKLMRRLLNHVAESVSADKDLVKELKQMAEHLKSLDKLPDEELLPDQANHILGMLELDFNLDVDSSYAFPQTPAPPRSSAFPQTPAPPRSSKPTRTRRRARREEQTSSDEETSSPIIVQTVHGTTGRSQRASKTAALSKITSSRVVRRDKYNEEEEEEEEESSEVTSEEDSDDSDQYTE >Manes.10G041400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4271304:4275915:1 gene:Manes.10G041400.v8.1 transcript:Manes.10G041400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRFLFSNGLVSHSSTTPPVTTFLESHPEKSLSLPPLPIWDSAVKALVDDSARKVLPVALRERKDGEELAVTALVSGDSQRLRKIENLSGRSVVEVLDVCVHIASYVPPVCGLRENGARLAVVGHGRDIAEAKYSDWVRLRKPLENLRPPSVTELLLSNDGDQILEGCLTNFFVVCRKENNETKGKYFHNNESACDVEQERSDFNTAAACPFEVQTAPISDGVLPGVIRQLVIEVCISKGIPVREVAPLWSRREFWQEAFITNSLRILQHVEKIQVPSSWESIERKTLEAISWEEKWFGEDPGMITVAIQKEIMERASVEGYLL >Manes.10G041400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4271304:4275915:1 gene:Manes.10G041400.v8.1 transcript:Manes.10G041400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRFLFSNGLVSHSSTTPPVTTFLESHPGAYTTTRSHNNGSCLLFWDRHLNRLSNSARILFNSNPHLLFNFPNSTEKSLSLPPLPIWDSAVKALVDDSARKVLPVALRERKDGEELAVTALVSGDSQRLRKIENLSGRSVVEVLDVCVHIASYVPPVCGLRENGARLAVVGHGRDIAEAKYSDWVRLRKPLENLRPPSVTELLLSNDGDQILEGCLTNFFVVCRKENNETKGKYFHNNESACDVEQERSDFNTAAACPFEVQTAPISDGVLPGVIRQLVIEVCISKGIPVREVAPLWSRREF >Manes.10G041400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4271304:4275915:1 gene:Manes.10G041400.v8.1 transcript:Manes.10G041400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRFLFSNGLVSHSSTTPPVTTFLESHPGAYTTTRSHNNGSCLLFWDRHLNRLSNSARILFNSNPHLLFNFPNSTEKSLSLPPLPIWDSAVKALVDDSARKVLPVALRERKDGEELAVTALVSGDSQRLRKIENLSGRSVVEVLDVCVHIASYVPPVCGLRENGARLAVVGHGRDIAEAKYSDWVRLRKPLENLRPPSVTELLLSNDGDQILEGCLTNFFVVCRKENNETKGKYFHNNESACDVEQERSDFNTAAACPFEVQTAPISDGVLPGVIRQLVIE >Manes.10G041400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4271304:4275915:1 gene:Manes.10G041400.v8.1 transcript:Manes.10G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSRFLFSNGLVSHSSTTPPVTTFLESHPGAYTTTRSHNNGSCLLFWDRHLNRLSNSARILFNSNPHLLFNFPNSTEKSLSLPPLPIWDSAVKALVDDSARKVLPVALRERKDGEELAVTALVSGDSQRLRKIENLSGRSVVEVLDVCVHIASYVPPVCGLRENGARLAVVGHGRDIAEAKYSDWVRLRKPLENLRPPSVTELLLSNDGDQILEGCLTNFFVVCRKENNETKGKYFHNNESACDVEQERSDFNTAAACPFEVQTAPISDGVLPGVIRQLVIEVCISKGIPVREVAPLWSRREFWQEAFITNSLRILQHVEKIQVPSSWESIERKTLEAISWEEKWFGEDPGMITVAIQKEIMERASVEGYLL >Manes.02G149300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11466928:11468467:1 gene:Manes.02G149300.v8.1 transcript:Manes.02G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLKRSKETMSRSRPDGRCRKHPKHKQSPGVCSICLSEKLSQLSTSCSSRTTSSSTAVDSVSSSSSLSSYSSSSCSSPSSPMHRYRYGMEGKGSLSFLLSGKNVLTKSRSMVFVTRIRCNKDKVSDDNKKKGGFLSKLLRPKNKRIEEGLVRSRTVRERR >Manes.16G099400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30521116:30536579:-1 gene:Manes.16G099400.v8.1 transcript:Manes.16G099400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPNRSLYTSGQRGGSHLTAQLDRSGSFRESMENPILSSLPNMTRSSSMVAQGDVVNFFQCLRFDPKVVAADHKSNLHGDFKRHLNVALGVSVDDSPSGLSKGKVPAPEEIKRVKAGLRESCVKARLRTKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRSNSLLSNDRSVLGPSFGKMGVHNHAVSGGGFELEPQKSEERTKNVVPNKRTRTSLVDVRGNSLVRPAGTVDRDREMLRLANTAAVQGDDRTLSIGVDGWEKTKMKKKRSVIKADVSPSLVSTKSTDGYRESKQGMQQRPVTEARSRLNGDSHGFRPGVAASTVSIGKSDGNSQTGLSMRSSIPRADLDNSSLLNDRRERSIGSDKERVNIRAVNKATVRDDFNSASPTSSTKMNASIRAPWSGSGVAPKLSPVVHRATAPNDWELSHCTNKPPTVGTGNRKRTASARSSSPPVAHWAGQRPQKSSRTARRTNLIPIVSNNDESPALDTVSDVSGNELGLGFTKRLIGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDKGKRSDEIDEKAGHNVQKVSILGFSSRKNKPVNGEDLGDGVRRQGRTGRGFTTRTRLPISVEKVGNVGTAKQLRSARLNFDKNESKTGRPPTRKLSGRKAYKRQKHTTVNAAADFLVGSDDGHEELLAAASAVINPAHACPNSFWRQMEPFFGFISDADIAHLKKQGNIESTAASPTRVSSDVNNCNSVPNGYGLFECVGEVGLSTESRICELSVSGAREIPLCQRLLAAIIPEEDCAHRNRDFECDTYETGFELDGELGSNGLSHADNFNFSGHTAFNSYEVTGKTTHNVAEVDPLGFPTLGIYSNLNHSVNGVHSDRALVPGMVCSEFQYDDRQINENLLLEVQSLGVFPETMPDLQMEDDGISAEIISLEDKYHGQVSKKKGLLDKLLKSATGTKELQEKEFEPRAHDKLVTMAYEKYMACRGSSTTGGKSSSNKMAKQAALAFVKRTLERCHKFEETGKSCFSEPLFRDMFLSRSSNLSDGRSLGTTVDEESGKLHANTSSRTLEARVSASMVPQPSPQTSRLSQNGDFYVANSSDLLPPVNRFSEQSTAKEDLWSNKVKKRELSLDDVGGTIGTPSAPSGIGGSLVSSSAKGKRSERDRDAKGHNKEVLSRNGTNKIGRPALSNVKGERKSKTKPKQKTQLSVSVNGLLGKISEQPKPGLPANAKSTEIITSSNRREKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDDGLQDHGDFMGLEIPMDDLSDLNMMENGAPFCASYAGLCLVLEAQLSTRLPYGQVDLTYW >Manes.16G099400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30524354:30536579:-1 gene:Manes.16G099400.v8.1 transcript:Manes.16G099400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPNRSLYTSGQRGGSHLTAQLDRSGSFRESMENPILSSLPNMTRSSSMVAQGDVVNFFQCLRFDPKVVAADHKSNLHGDFKRHLNVALGVSVDDSPSGLSKGKVPAPEEIKRVKAGLRESCVKARLRTKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRSNSLLSNDRSVLGPSFGKMGVHNHAVSGGGFELEPQKSEERTKNVVPNKRTRTSLVDVRGNSLVRPAGTVDRDREMLRLANTAAVQGDDRTLSIGVDGWEKTKMKKKRSVIKADVSPSLVSTKSTDGYRESKQGMQQRPVTEARSRLNGDSHGFRPGVAASTVSIGKSDGNSQTGLSMRSSIPRADLDNSSLLNDRRERSIGSDKERVNIRAVNKATVRDDFNSASPTSSTKMNASIRAPWSGSGVAPKLSPVVHRATAPNDWELSHCTNKPPTVGTGNRKRTASARSSSPPVAHWAGQRPQKSSRTARRTNLIPIVSNNDESPALDTVSDVSGNELGLGFTKRLIGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDKGKRSDEIDEKAGHNVQKVSILGFSSRKNKPVNGEDLGDGVRRQGRTGRGFTTRTRLPISVEKVGNVGTAKQLRSARLNFDKNESKTGRPPTRKLSGRKAYKRQKHTTVNAAADFLDDGHEELLAAASAVINPAHACPNSFWRQMEPFFGFISDADIAHLKKQGNIESTAASPTRVSSDVNNCNSVPNGYGLFECVGEVGLSTESRICELSVSGAREIPLCQRLLAAIIPEEDCAHRNRDFECDTYETGFELDGELGSNGLSHADNFNFSGHTAFNSYEVTGKTTHNVAEVDPLGFPTLGIYSNLNHSVNGVHSDRALVPGMVCSEFQYDDRQINENLLLEVQSLGVFPETMPDLQMEDDGISAEIISLEDKYHGQVSKKKGLLDKLLKSATGTKELQEKEFEPRAHDKLVTMAYEKYMACRGSSTTGGKSSSNKMAKQAALAFVKRTLERCHKFEETGKSCFSEPLFRDMFLSRSSNLSDGRSLGTTVDEESGKLHANTSSRTLEARVSASMVPQPSPQTSRLSQNGDFYVANSSDLLPPVNRFSEQSTAKEDLWSNKVKKRELSLDDVGGTIGTPSAPSGIGGSLVSSSAKGKRSERDRDAKGHNKEVLSRNGTNKIGRPALSNVKGERKSKTKPKQKTQLSVSVNGLLGKISEQPKPGLPANAKSTEIITSSNRREKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.16G099400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30521116:30536661:-1 gene:Manes.16G099400.v8.1 transcript:Manes.16G099400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPNRSLYTSGQRGGSHLTAQLDRSGSFRESMENPILSSLPNMTRSSSMVAQGDVVNFFQCLRFDPKVVAADHKSNLHGDFKRHLNVALGVSVDDSPSGLSKGKVPAPEEIKRVKAGLRESCVKARLRTKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRSNSLLSNDRSVLGPSFGKMGVHNHAVSGGGFELEPQKSEERTKNVVPNKRTRTSLVDVRGNSLVRPAGTVDRDREMLRLANTAAVQGDDRTLSIGVDGWEKTKMKKKRSVIKADVSPSLVSTKSTDGYRESKQGMQQRPVTEARSRLNGDSHGFRPGVAASTVSIGKSDGNSQTGLSMRSSIPRADLDNSSLLNDRRERSIGSDKERVNIRAVNKATVRDDFNSASPTSSTKMNASIRAPWSGSGVAPKLSPVVHRATAPNDWELSHCTNKPPTVGTGNRKRTASARSSSPPVAHWAGQRPQKSSRTARRTNLIPIVSNNDESPALDTVSDVSGNELGLGFTKRLIGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDKGKRSDEIDEKAGHNVQKVSILGFSSRKNKPVNGEDLGDGVRRQGRTGRGFTTRTRLPISVEKVGNVGTAKQLRSARLNFDKNESKTGRPPTRKLSGRKAYKRQKHTTVNAAADFLVGSDDGHEELLAAASAVINPAHACPNSFWRQMEPFFGFISDADIAHLKKQGNIESTAASPTRVSSDVNNCNSVPNGYGLFECVGEVGLSTESRICELSVSGAREIPLCQRLLAAIIPEEDCAHRNRDFECDTYETGFELDGELGSNGLSHADNFNFSGHTAFNSYEVTGKTTHNVAEVDPLGFPTLGIYSNLNHSVNGVHSDRALVPGMVCSEFQYDDRQINENLLLEVQSLGVFPETMPDLQMEDDGISAEIISLEDKYHGQVSKKKGLLDKLLKSATGTKELQEKEFEPRAHDKLVTMAYEKYMACRGSSTTGGKSSSNKMAKQAALAFVKRTLERCHKFEETGKSCFSEPLFRDMFLSRSSNLSDGRSLGTTVDEESGKLHANTSSRTLEARVSASMVPQPSPQTSRLSQNGDFYVANSSDLLPPVNRFSEQSTAKEDLWSNKVKKRELSLDDVGGTIGTPSAPSGIGGSLVSSSAKGKRSERDRDAKGHNKEVLSRNGTNKIGRPALSNVKGERKSKTKPKQKTQLSVSVNGLLGKISEQPKPGLPANAKSTEIITSSNRREKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.16G099400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30521116:30536661:-1 gene:Manes.16G099400.v8.1 transcript:Manes.16G099400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPNRSLYTSGQRGGSHLTAQLDRSGSFRESMENPILSSLPNMTRSSSMVAQGDVVNFFQCLRFDPKVVAADHKSNLHGDFKRHLNVALGVSVDDSPSGLSKGKVPAPEEIKRVKAGLRESCVKARLRTKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRSNSLLSNDRSVLGPSFGKMGVHNHAVSGGGFELEPQKSEERTKNVVPNKRTRTSLVDVRGNSLVRPAGTVDRDREMLRLANTAAVQGDDRTLSIGVDGWEKTKMKKKRSVIKADVSPSLVSTKSTDGYRESKQGMQQRPVTEARSRLNGDSHGFRPGVAASTVSIGKSDGNSQTGLSMRSSIPRADLDNSSLLNDRRERSIGSDKERVNIRAVNKATVRDDFNSASPTSSTKMNASIRAPWSGSGVAPKLSPVVHRATAPNDWELSHCTNKPPTVGTGNRKRTASARSSSPPVAHWAGQRPQKSSRTARRTNLIPIVSNNDESPALDTVSDVSGNELGLGFTKRLIGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDKGKRSDEIDEKAGHNVQKVSILGFSSRKNKPVNGEDLGDGVRRQGRTGRGFTTRTRLPISVEKVGNVGTAKQLRSARLNFDKNESKTGRPPTRKLSGRKAYKRQKHTTVNAAADFLVGSDDGHEELLAAASAVINPAHACPNSFWRQMEPFFGFISDADIAHLKKQGNIESTAASPTRVSSDVNNCNSVPNGYGLFECVGEVGLSTESRICELSVSGAREIPLCQRLLAAIIPEEDCAHRNRDFECDTYETGFELDGELGSNGLSHADNFNFSGHTAFNSYEVTGKTTHNVAEVDPLGFPTLGIYSNLNHSVNGVHSDRALVPGMVCSEFQYDDRQINENLLLEVQSLGVFPETMPDLQMEDDGISAEIISLEDKYHGQVSKKKGLLDKLLKSATGTKELQEKEFEPRAHDKLVTMAYEKYMACRGSSTTGGKSSSNKMAKQAALAFVKRTLERCHKFEETGKSCFSEPLFRDMFLSRSSNLSDGRSLGTTVDEESGKLHANTSSRTLEARVSASMVPQPSPQTSRLSQNGDFYVANSSDLLPPVNRFSEQSTAKEDLWSNKVKKRELSLDDVGGTIGTPSAPSGIGGSLVSSSAKGKRSERDRDAKGHNKEVLSRNGTNKIGRPALSNVKGERKSKTKPKQKTQLSVSVNGLLGKISEQPKPGLPANAKSTEIITSSNRREKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.16G099400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30521116:30536662:-1 gene:Manes.16G099400.v8.1 transcript:Manes.16G099400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPNRSLYTSGQRGGSHLTAQLDRSGSFRESMENPILSSLPNMTRSSSMVAQGDVVNFFQCLRFDPKVVAADHKSNLHGDFKRHLNVALGVSVDDSPSGLSKGKVPAPEEIKRVKAGLRESCVKARLRTKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRSNSLLSNDRSVLGPSFGKMGVHNHAVSGGGFELEPQKSEERTKNVVPNKRTRTSLVDVRGNSLVRPAGTVDRDREMLRLANTAAVQGDDRTLSIGVDGWEKTKMKKKRSVIKADVSPSLVSTKSTDGYRESKQGMQQRPVTEARSRLNGDSHGFRPGVAASTVSIGKSDGNSQTGLSMRSSIPRADLDNSSLLNDRRERSIGSDKERVNIRAVNKATVRDDFNSASPTSSTKMNASIRAPWSGSGVAPKLSPVVHRATAPNDWELSHCTNKPPTVGTGNRKRTASARSSSPPVAHWAGQRPQKSSRTARRTNLIPIVSNNDESPALDTVSDVSGNELGLGFTKRLIGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDKGKRSDEIDEKAGHNVQKVSILGFSSRKNKPVNGEDLGDGVRRQGRTGRGFTTRTRLPISVEKVGNVGTAKQLRSARLNFDKNESKTGRPPTRKLSGRKAYKRQKHTTVNAAADFLVGSDDGHEELLAAASAVINPAHACPNSFWRQMEPFFGFISDADIAHLKKQGNIESTAASPTRVSSDVNNCNSVPNGYGLFECVGEVGLSTESRICELSVSGAREIPLCQRLLAAIIPEEDCAHRNRDFECDTYETGFELDGELGSNGLSHADNFNFSGHTAFNSYEVTGKTTHNVAEVDPLGFPTLGIYSNLNHSVNGVHSDRALVPGMVCSEFQYDDRQINENLLLEVQSLGVFPETMPDLQMEDDGISAEIISLEDKYHGQVSKKKGLLDKLLKSATGTKELQEKEFEPRAHDKLVTMAYEKYMLLWFHSQVLKLLG >Manes.06G150500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27847357:27851990:-1 gene:Manes.06G150500.v8.1 transcript:Manes.06G150500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASAVKVVPGSIAFAVFWILALFPSIPFLPVGRTAGSLLGAMLMVIFQVMTPDQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLSWKSKGAKDLLFRICLISAISSALFTNDTSCVVLTEFVLKVARQHNLPPEPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGNFLWRILPAMVVGITVNITILTCMYWKLLSTTQKDEEDATAEVVADEDVNSHRFTPATMSHFTSLNSQEWNSSLESSDIQSSPNMNGHMNHAETLRNQIGSTENKICSASTGAHEATRNSNASSRKATLDGSSQRKEDTVPSKRIASLDMSRDVSDTQLPGEKEKFATKWKRTLRKSSVYVVTIVMLISLLMGLNMSWTAITAALALVVLDFRDARPCLDKVSYSLLIFFCGMFITVDGFNKTGIPSALWDLMEPHAKIDHASGTAVLAIVILVLSNLASNVPTVLLLGGRVAASAAAISAADEKKAWLFLAWVSTVAGNLSLMGSAANLIVCEQAQKAPEFGYNLTFWKHLKFGVPSTIAVTAVGLMLIR >Manes.04G031960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4056233:4057592:1 gene:Manes.04G031960.v8.1 transcript:Manes.04G031960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSTNETDEPIQMQEETLEHTPAALGGQANASSSSSVQTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSISNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYVWDESEEDMIRTAWEKVGKERLRDILNRVRSELLRKHKKTDVAYLYNLGPDWMDGNFWK >Manes.11G095280.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19256859:19258264:-1 gene:Manes.11G095280.v8.1 transcript:Manes.11G095280.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIQKNSEHIKYPRRMNPNTTNGKDKNKFYRFHDGYNYTKYECQNLKDKIEKLVKKGVLNRFTRRSEDERAKGPKSLRDERKKRRQASGEINIMATEALSRHPISFESETDKVSTPHFDPLVTSARTNKFIMKRILIDTGSSINLITLEVFDKLRLDKKKNLSRVLYSLVGLDGKSLPVIGVTNLTVSIFGWPILNNHGPEQTMSPQPVDEVTIVELKEKKVRLASALEGENQCKVLETLKSRIPTFARKPEDIT >Manes.15G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5023861:5028827:1 gene:Manes.15G066100.v8.1 transcript:Manes.15G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGDNLVVSNVKLEKLLCMKGGKGEASYANNSQAQALHARSMLHLLEETLDMVHLSSPEVPFQVVDLGCSSGNNTIYIIDVIIKHMMKRYESSGLDPPEFSAFFSDLPSNDFNTLFQLLPPLANYGGSMEECLAASGHRNYFAAGVPGSFYRRLFPARSIDVFHSAFSLHWLSQVPESVVDKRSGAYNKERVFIHGASESTANAYKHQFQTDLAGFLRARSQEMKRGGSMFLVCLGRTSVDPTDQGGAGLLFGTHYQDAWDDLVQEGLITSEKRDNFNIPVYAPSLQDFKDVVEAHGSLAINKLEVFKGGSPLVVDCPDNADEVGRALANTCRSVSGVLVDAHIGDRLSEELFLRVERRATSHAKDLLEKLQFFHIVASLSLA >Manes.16G024600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2629137:2635503:-1 gene:Manes.16G024600.v8.1 transcript:Manes.16G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETLPYQNGVVSSGDLATSNPTSNNATAKKSRESERRRRRRKQKKNKKAASQSLDDTAHGNESDDDNGDEAKENSDHQQVLEQVVVEYVPEKAELEDGLDDEFRKIFEKFNFHEAAGSEETDKKDESAQNADPKKKVDSDSEEEEEDTKQKDKGLSNKRKKLLRRMKIAELKQICSRPDVVEVWDATASDPKLLVFLKSYRNSVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTHGDLYHEGKEFEVKLREMKPGSLSQELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEHGRPLYGDVFGVQQQEQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEEIEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEERIAPGTLLGTTHTYVVASGTQDKSAAKRVDLLRGQKTDRVDVTLQPEELDALDNVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >Manes.13G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5559435:5565096:-1 gene:Manes.13G048000.v8.1 transcript:Manes.13G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREGSKNLPKLPIPGKRNILTTSALPYVNNVPHLGNIIGSVLSADVFARYCRLQGYNVIFICGTDEYGTATETKAMEENCTPQEICDKYHAIHKAVYDWFDISFDEFGRTSTPQQTEICQAIFKKLMENNWLSENTMQQLYCDTCERFLADRFVEGTCPTPGCDYNSARGDQCEKCGKLLNPTELKDPRCKVCQTTPRICDTDHLFLELPLLKDKLKNYIDETSVIGSWSQNAIQATYAWLRDGLKPRCITRDLKWGVPVPLEKFKDKVFYVWFDAPIGYISITACYSPDWEKWWKNPEHVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKSISVTEYLNYESGKFSKSKGIGVFGNDAQDTEQPAEVWRYYLLANRPEISDTIFTWEDFQAKLNSELINNLGNFIHRVLSFIANTSGKGYETIIPDAPGADSHVLTVALAEKVGYHVDQYVKSMEQVKLKQGLKCAMNISGEGNAYLQESQYFRMFEEDQASCSIVVKTSVGLVYLLACLLEPFMPSFSHKVFKLLKLPPETTFSLSEKKDLERAQKPWELIPAGHKIEKPYPLFKKLTDDEVKVFKAKFAGSRGADREKIEAEAKKMAEKLKKTHISESKN >Manes.13G048000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5559442:5565036:-1 gene:Manes.13G048000.v8.1 transcript:Manes.13G048000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREGSKNLPKLPIPGKRNILTTSALPYVNNVPHLGNIIGSVLSADVFARYCRLQGYNVIFICGTDEYGTATETKAMEENCTPQEICDKYHAIHKAVYDWFDISFDEFGRTSTPQQTEICQAIFKKLMENNWLSENTMQQLYCDTCERFLADRFVEGTCPTPGCDYNSARGDQCEKCGKLLNPTELKDPRCKVCQTTPRICDTDHLFLELPLLKDKLKNYIDETSVIGSWSQNAIQATYAWLRDGLKPRCITRDLKWGVPVPLEKFKDKVFYVWFDAPIGYISITACYSPDWEKWWKNPEHVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKSISVTEYLNYESGKFSKSKGIGVFGNDAQDTEQPAEVWRYYLLANRPEISDTIFTWEDFQAKLNSELINNLGNFIHRVLSFIANTSGKGYETIIPDAPGADSHVLTVALAEKVGYHVDQYVKSMEQVKLKQGLKCAMNISGEGNAYLQESQYFRMFEEDQASCSIVVKTSVGLVYLLACLLEPFMPSFSHKVFKLLKLPPETTFSLSEKKDLERAQKPWELIPAGHKIEKPYPLFKKLTDDEVKVFKAKFAGSRGADREKIEAEAKKMAEKLKKTHISESKN >Manes.01G258500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41490730:41493873:1 gene:Manes.01G258500.v8.1 transcript:Manes.01G258500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVTARDPTQDPNPLTSDPPPPSQLTPPPHPPAPAAAAPAPAPPPVTSFLPPSPKGKKRPLELEGYDQIQHCSHFKMRAVLKDIRPHILEVLQTADFRSCKGADELREGLKLLMQLYKQMTAEAVAATNPKNEPEEQPLSSENGVGQEPQEQLQEAKPADLPQSDRVLAKPPESKEAIDLEEQSYYIGGSAFGWNFVTFSGNRPVYYGRTKESFRAAQVAL >Manes.13G045500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5351252:5361093:1 gene:Manes.13G045500.v8.1 transcript:Manes.13G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNNWEADKMLDVYIHDYLLKRKLHNSAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKAREQQMQMQQLQLMQHRNAQMQRRDPNHPALAGSISAINSEGMMGQPSASVLAMKMYEERIKHPRPMDSESSPALLDANRAALFKSATSHQTQLVPGNSGNISAALQQIQAQTPLTTDIKSEVNLGPTQKSLPMEPSSIYGQAILQSKSGLGGAGLNQGVTGLPLKGWPLTGIDQLRPALGVQMQKPNLQTQNQFLLASQQQQVLAQAQAPSSLGNSSNFGDMDPRRLNQLPRGSLNTKDGHSTRNDGSICSPVQSSSPKMKMAQMQHSSSQQPGQLQQQQGNRKRKQHSSSGAANSTGTGNTVGPSPNSPPSTHTPGDGITTASSLQHVNSVSKGLMMYGPEGTGGLASSSNMLEDMDCFGDIASLDDNVEQFLPHDGGDGSNLYGTLKQSSTEHQKESTKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDTLQTENTPEEHKLVITDVRFRPNSSQLATASVDKSVWLWDAANPSYSLHAHTGPTPVMSLDFHPKKTDLFCFSDNDNEIRYFNINPFACTRVSKGGTAQVRFQPRIGHLLAAASDKVVSIFDVETDRQTFTFQGHSEMVNYICWDANGDILASVSQNLVKIWSLASGECIQEFGSSGNQYHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTISAHDNIISALAQSPVNGMVASASHDTSVKLWK >Manes.13G045500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5351252:5361093:1 gene:Manes.13G045500.v8.1 transcript:Manes.13G045500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNNWEADKMLDVYIHDYLLKRKLHNSAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKAREQQMQMQQLQLMQHRNAQMQRRDPNHPALAGSISAINSEGMMGQPSASVLAMKMYEERIKHPRPMDSESSPALLDANRAALFKSATSHQTQLVPGNSGNISAALQQIQAQTPLTTDIKSEVNLGPTQKSLPMEPSSIYGQAILQSKSGLGGAGLNQGVTGLPLKGWPLTGIDQLRPALGVQMQKPNLQTQNQFLLASQQQQVLAQAQAPSSLGNSSNFGDMDPRRLNQLPRGSLNTKDGHSTRNDGSICSPVQSSSPKMKMAQMQHSSSQQPGQLQQQQGNRKRKQHSSSGAANSTGTGNTVGPSPNSPPSTHTPGDGITTASSLQHVNSVSKGLMMYGPEGTGGLASSSNMLEDMDCFGDIASLDDNVEQFLPHDGGDGSNLYGTLKQSSTEHQKESTKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDTLQTENTPEEHKLVITDVRFRPNSSQLATASVDKSVWLWDAANPSYSLHAHTGPTPVMSLDFHPKKTDLFCFSDNDNEIRYFNINPFACTRVSKGGTAQVRFQPRIGHLLAAASDKVVSIFDVETDRQTFTFQQGHSEMVNYICWDANGDILASVSQNLVKIWSLASGECIQEFGSSGNQYHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTISAHDNIISALAQSPVNGMVASASHDTSVKLWK >Manes.13G045500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5351252:5361093:1 gene:Manes.13G045500.v8.1 transcript:Manes.13G045500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNNWEADKMLDVYIHDYLLKRKLHNSAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKAREQQMQMQQLQLMQHRNAQMQRRDPNHPALAGSISAINSEGMMGQPSASVLAMKMYEERIKHPRPMDSESSPALLDANRAALFKSATSHQTQLVPGNSGNISAALQQIQAQTPLTTDIKSEVNLGPTQKSLPMEPSSIYGQAILQSKSGLGGAGLNQGVTGLPLKGWPLTGIDQLRPALGVQMQKPNLQTQNQFLLASQQQQVLAQAQAPSSLGNSSNFGDMDPRRLNQLPRGSLNTKDGHSTRNDGSICSPVQSSSPKMKMAQMQHSSSQQPGQLQQQQGNRKRKQHSSSGAANSTGTGNTVGPSPNSPPSTHTPGDGITTASSLQHVNSVSKGLMMYGPEGTGGLASSSNMLEDMDCFGDIASLDDNVEQFLPHDGGDGSNLYGTLKQSSTEHQKESTKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDTLQTENTPEEHKLVITDVRFRPNSSQLATASVDKSVWLWDAANPSYSLHAHTGPTPVMSLDFHPKKTDLFCFSDNDNEIRYFNINPFACTRVSKGGTAQVRFQPRIGHLLAAASDKVVSIFDVETDRQTFTFQQGHSEMVNYICWDANGDILASVSQNLVKIWSLASGECIQEFGSSGNQYHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTISAHDNIISALAQSPVNGMVASASHDTSVKLWK >Manes.13G045500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5351252:5361093:1 gene:Manes.13G045500.v8.1 transcript:Manes.13G045500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNNWEADKMLDVYIHDYLLKRKLHNSAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKAREQQMQMQQLQLMQHRNAQMQRRDPNHPALAGSISAINSEGMMGQPSASVLAMKMYEERIKHPRPMDSESSPALLDANRAALFKSATSHQTQLVPGNSGNISAALQQIQAQTPLTTDIKSEVNLGPTQKSLPMEPSSIYGQAILQSKSGLGGAGLNQGVTGLPLKGWPLTGIDQLRPALGVQMQKPNLQTQNQFLLASQQQQVLAQAQAPSSLGNSSNFGDMDPRRLNQLPRGSLNTKDGHSTRNDGSICSPVQSSSPKMKMAQMQHSSSQQPGQLQQQQGNRKRKQHSSSGAANSTGTGNTVGPSPNSPPSTHTPGDGITTASSLQHVNSVSKGLMMYGPEGTGGLASSSNMLEDMDCFGDIASLDDNVEQFLPHDGGDGSNLYGTLKQSSTEHQKESTKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDTLQTENTPEEHKLVITDVRFRPNSSQLATASVDKSVWLWDAANPSYSLHAHTGPTPVMSLDFHPKKTDLFCFSDNDNEIRYFNINPFACTRVSKGGTAQVRFQPRIGHLLAAASDKVVSIFDVETDRQTFTFQGHSEMVNYICWDANGDILASVSQNLVKIWSLASGECIQEFGSSGNQYHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTISAHDNIISALAQSPVNGMVASASHDTSVKLWK >Manes.06G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25992850:25993964:-1 gene:Manes.06G130500.v8.1 transcript:Manes.06G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLALFSFLVILCFALTSAATNYIVGDSSGWDISTDLDTWAQGKNFVVGDVLVFQYSSSDSVNEVTKESFDGCNTTKVIKTYTNNGNTTVTLSRPGPWYFISGNKLYCLGGMKLAINVQGKQADISPVAAPKAQPTGVVLPQPSTKNNNPTPTSSGSVYAAGGKDSLLKASFGFVAAVLWLVG >Manes.04G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31761195:31762855:1 gene:Manes.04G114400.v8.1 transcript:Manes.04G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGKAVTILLFLPFIFTLARARPIIRLPRPFRSFKINTTQNANSCSYTVEVSTSCSSTRYTRDQISLAFGDAYGNQVYVPRLDDPYSATFESCSTDTFHINGPCAYQICYLYVYRSGYDGWKPKSVTVYGHNTRSITFNYNIFIPIGIWYGFNYCNGASSASI >Manes.02G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9207146:9210110:1 gene:Manes.02G120400.v8.1 transcript:Manes.02G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTLVKRIQSINSKEAELGISEDASWHAKYKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFVAYEDQRSTNLAVDNLNGAEVAGRIIRVDHVTKYKKKEEEDEETQQQKREARGVCRAFQRGECTRGASCKFSHDEQRAANTGWGPEDKSTRWGHDKFDGPKKTQKISGNERPSGSLLNQSDKREEKKPRRHDDNEMERKLKEDYHRRDEKRWRRHEDDEFVPKSREYDGRDEKGSRRRGDDEFEPKSWDDYHRSDKRLRRHEDDQREDEYKKDERRLRRHDGDGLEPRSRDNDYKREENIVKRRESESYLREDQDKRGAKWSAHARDSSSSRHRERDDNRRKSER >Manes.18G008001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1073770:1078300:1 gene:Manes.18G008001.v8.1 transcript:Manes.18G008001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISSEDELDQLSERFEFDGYSLSADVSESESSSSFFSCRLYYQQGASTSFASSPLARPQFSDRDISPPPIPIMMPPVVGGRHVKFATDKADKPDNDLSEVEMMKELFAKLLLGEDASGGRKGVCTALAISNAITDLSASVFGELWKLEPLAPQKKSMWHREMELILCVSDSIVVLVPSMQDLPSGATVEVMVPQPRSDLSMNLPALKKLDTMLLSILDGFCSSEFRYIDHGVIVAGGGETETFPFSSSSSRLSSCQEEKWWLPFPKVPQNGLSEDARRRLQQCRECTNQILRAAMAINSSVLTEMEIPNAYLESLPKSEEACLHENVYRHITAHRFSPDCLLDYLDLSSEYSTLEIANRIEAAAHIWEKKCLQKQKVHARIGKKSSWGGKIKGFVCEVQRSKLLARRAETLLQRLRLRFPALPQTTLDVNKIQYNKDVGHAIIESYSRVMGRLALNIMARIDDLLYVDDATKQRAAAESTTLYDQGKPEGALLKHKWASPSPFSLQQNLSASPYLSSKFDSSHKKLRISSARRHHFAKKSNLKDSPIQTLQKLTF >Manes.18G008001.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1073770:1078308:1 gene:Manes.18G008001.v8.1 transcript:Manes.18G008001.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKELFAKLLLGEDASGGRKGVCTALAISNAITDLSASVFGELWKLEPLAPQKKSMWHREMELILCVSDSIVVLVPSMQDLPSGATVEVMVPQPRSDLSMNLPALKKLDTMLLSILDGFCSSEFRYIDHGVIVAGGGETETFPFSSSSSRLSSCQEEKWWLPFPKVPQNGLSEDARRRLQQCRECTNQILRAAMAINSSVLTEMEIPNAYLESLPKSEEACLHENVYRHITAHRFSPDCLLDYLDLSSEYSTLEIANRIEAAAHIWEKKCLQKQKVHARIGKKSSWGGKIKGFVCEVQRSKLLARRAETLLQRLRLRFPALPQTTLDVNKIQYNKDVGHAIIESYSRVMGRLALNIMARIDDLLYVDDATKQRAAAESTTLYDQGKPEGALLKHKWASPSPFSLQQNLSASPYLSSKFDSSHKKLRISSARRHHFAKKSNLKDSPIQTLQKLTF >Manes.18G008001.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1073770:1078317:1 gene:Manes.18G008001.v8.1 transcript:Manes.18G008001.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKELFAKLLLGEDASGGRKGVCTALAISNAITDLSASVFGELWKLEPLAPQKKSMWHREMELILCVSDSIVVLVPSMQDLPSGATVEVMVPQPRSDLSMNLPALKKLDTMLLSILDGFCSSEFRYIDHGVIVAGGGETETFPFSSSSSRLSSCQEEKWWLPFPKVPQNGLSEDARRRLQQCRECTNQILRAAMAINSSVLTEMEIPNAYLESLPKSEEACLHENVYRHITAHRFSPDCLLDYLDLSSEYSTLEIANRIEAAAHIWEKKCLQKQKVHARIGKKSSWGGKIKGFVCEVQRSKLLARRAETLLQRLRLRFPALPQTTLDVNKIQYNKDVGHAIIESYSRVMGRLALNIMARIDDLLYVDDATKQRAAAESTTLYDQGKPEGALLKHKWASPSPFSLQQNLSASPYLSSKFDSSHKKLRISSARRHHFAKKSNLKDSPIQTLQKLTF >Manes.18G008001.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1073770:1078657:1 gene:Manes.18G008001.v8.1 transcript:Manes.18G008001.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKELFAKLLLGEDASGGRKGVCTALAISNAITDLSASVFGELWKLEPLAPQKKSMWHREMELILCVSDSIVVLVPSMQDLPSGATVEVMVPQPRSDLSMNLPALKKLDTMLLSILDGFCSSEFRYIDHGVIVAGGGETETFPFSSSSSRLSSCQEEKWWLPFPKVPQNGLSEDARRRLQQCRECTNQILRAAMAINSSVLTEMEIPNAYLESLPKSEEACLHENVYRHITAHRFSPDCLLDYLDLSSEYSTLEIANRIEAAAHIWEKKCLQKQKVHARIGKKSSWGGKIKGFVCEVQRSKLLARRAETLLQRLRLRFPALPQTTLDVNKIQYNKDVGHAIIESYSRVMGRLALNIMARIDDLLYVDDATKQRAAAESTTLYDQGKPEGALLKHKWASPSPFSLQQNLSASPYLSSKFDSSHKKLRISSARRHHFAKKSNLKDSPIQTLQKLTF >Manes.18G008001.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1073770:1078361:1 gene:Manes.18G008001.v8.1 transcript:Manes.18G008001.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKELFAKLLLGEDASGGRKGVCTALAISNAITDLSASVFGELWKLEPLAPQKKSMWHREMELILCVSDSIVVLVPSMQDLPSGATVEVMVPQPRSDLSMNLPALKKLDTMLLSILDGFCSSEFRYIDHGVIVAGGGETETFPFSSSSSRLSSCQEEKWWLPFPKVPQNGLSEDARRRLQQCRECTNQILRAAMAINSSVLTEMEIPNAYLESLPKSEEACLHENVYRHITAHRFSPDCLLDYLDLSSEYSTLEIANRIEAAAHIWEKKCLQKQKVHARIGKKSSWGGKIKGFVCEVQRSKLLARRAETLLQRLRLRFPALPQTTLDVNKIQYNKDVGHAIIESYSRVMGRLALNIMARIDDLLYVDDATKQRAAAESTTLYDQGKPEGALLKHKWASPSPFSLQQNLSASPYLSSKFDSSHKKLRISSARRHHFAKKSNLKDSPIQTLQKLTF >Manes.03G008700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:709976:715543:1 gene:Manes.03G008700.v8.1 transcript:Manes.03G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFKLAISKSLLFLLLFTNLCFITVAAESHCKAWLVQSIPTDMPHLRRIPGVLSTGDVLRWLAGNSTKHLDVIAQYWQLVAHPDDSRSGDYGYSIDDMKKFGAFEGSAVYRAIEDAADRNVSIRLLQHSGVYPDYTKEPTELASGRPNVKNVTLLLGDWWGSGIVHAKVWISDNRDVYIGSANNDWKSLNQVKEVGIYLTGCRKIVKSVDTYFNNLWKLAHLNSSAHTRTVWDQQWQIERTFPCWSHFIDSKARCKSPLPEFVEVPHVAGYPMLSDPRMVKVPIETPGHNFSTLQPQLSYLSFAPPELSFGKYQADEQAWIDTIKSVGNEAIVRINTMDWLGQSQFTKPKIYWSSLSSAISEVVFSKQATVKILVAYWAHFIDNTDQYLKSLLYSNVLCSSSKFNNCSGKVEIKYYVVPGYNLTGPAMSNMTNTGNIYPAFTRVNHGKYAVSDVRAHIGTSNLVWDYFYTTAGVSFGTYNPAIVLQLQEIFDADWDSPYAVPVEEQETGHFFSS >Manes.05G030800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2614998:2617438:1 gene:Manes.05G030800.v8.1 transcript:Manes.05G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRDASNQTEDKSKELIIIDNIPQDLDTLISEPALWPELCIYRVPRKLRAINPASYTPQLISVGPFHCEDEALMPMEKQKLRYLAEFSKRTGITWTELANQIKEWEITIRHCYEETFDKVSSVEFIKMILLDSVFIIELFLRRGEKYGLIRKQRHGNFKDDFILGKSTREYGLLGDLILVENQLPYFVLDDLYEFSIGNDNEEGYPSFFNLMKFNLHDYLSPPEIQENENSPCDCFSCLYCFWISRCFSCQKHDCQSSDDEEEDDPLLEKPLHLTDLVRKHRSFKHPKSKNNGSVMKLYNATMLHEAGVKFQASPEAWPLDIKFERGELKMPRFLADDNTERVIRNLMAFEQCHYPNQPLICDYIWVLDFLINTAKDVDLLVRKGIIVNLLGDSEAVAKLVNNLGLEITASGSCFYDLSEQLNKHYENPWCRTVAIMRSVYFSNLWRGTGTIAAIVLLFFTFTQSVYSISQIF >Manes.05G030700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2612951:2614014:1 gene:Manes.05G030700.v8.1 transcript:Manes.05G030700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRKVCVTGAAGYLGDTSKAGLLKGLPNAETKIIYTASVVAASPMKEDGTGFKDSMNESCWTPFNLSFPYSNEIVTAYTQSKTLSEQRHNGELEIVSITCGLVGGGGTISTGISDSMLVMLSQAMNDKQRYEMLRYLEELMGKAHIFCMEKSSISGRFLCANEYLSSAEIAKCLQKLPADIRREIVWGSTKLEELGFKYKHDINNILDGSFKHARKLGLI >Manes.07G049500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5784017:5788104:-1 gene:Manes.07G049500.v8.1 transcript:Manes.07G049500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDSLHIIGEEENGDEFYEKIEAPKFVDLTAPDPYHPGDDRYWFCSRFGCDQKHEEEMDSEAIYRNFVLRVMAARSPNVRLRKALYRKDSGTQLKCPRTVPAKHSRSRVSRMALISSISKRIVDPKVKVKPLSKQSATPNAKTKQSSSVAKALTTQRTKKKLSNPDAFRSVRNTKTTAVAVPKNRVVAKTLVFHSPMKSVRIKSSLELNSPVRKLCAGMKKLEITSAKKQVLGYPRLLPPDAAKKQLRGREVKSRVFDGLRSQNHKGVEAKSSKCVKKNNKEKNLGVFRNSLPHEKDENDFSGKEIEDKRENGSEEVCSTSKIDEGNVNEEPLTIEVSKPSLDENKVEAPSDAINSDTNSLSNSEWRSPEDDDKRHSSTSHDKENDNEVMENDDKENASASDDNRETDLKTNNIEHDIQGKHETPKGNLKRTEAKSKHYKESSTTAATSAQGLKHKKPKPTNPKPFRLRTDERGILKEANLEKKLCPAPLGEITPVPRVTGGNSQKKHQNTLKKNGKLLEQTENHDSCEDIQKETNRAQRDQCLVQQKESFSLKISKEKVGQKISSTPQRHTISSQRKLVASKKEHNHDNSSLKLGNSSRRTKSTCIRQLARTQGSIVTTGQLGTIKETSPTILKAKEAAPTESGADASLVTKGLISPASRPSLQGKRFKTLPKEPNFHTIHVPKSCTKVQITC >Manes.07G049500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5784017:5788104:-1 gene:Manes.07G049500.v8.1 transcript:Manes.07G049500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDSLHIIGEEENGDEFYEKIEAPKFVDLTAPDPYHPGDDRYWFCSRFGCDQKHEEEMDSEAIYRNFVLRVMAARSPNVRLRKALYRKDSGTQLKCPRTVPAKHSRSRVSRMALISSISKRIVDPKVKVKPLSKQSATPNAKTKQSSSVAKALTTQRTKKKLSNPDAFRSVRNTKTTAVAVPKNRVVAKTLVFHSPMKSVRIKSSLELNSPVRKLCAGMKKLEITSAKKQVLGYPRLLPPDAAKKQLRGREVKSRVFDGLRSQNHKGVEAKSSKCVKKNNKEKNLGVFRNSLPHEKDENDFSGKEIEDKRENGSEEVCSTSKIDEGNVNEEPLTIEVSKPSLDENKVEAPSDAINSDTNSLSNSEWRSPEDDDKRHSSTSHDKENDNEVMENDDKENASASDDNRETDLKTNNIEHDIQGKHETPKGNLKRTEAKSKHYKESSTTAATSAQGLKHKKPKPTNPKPFRLRTDERGILKEANLEKKLCPAPLGEITPVPRVTGGNSQKKHQNTLKKNGKLLEQTENHDSCEDIQKETNRAQRDQCQKESFSLKISKEKVGQKISSTPQRHTISSQRKLVASKKEHNHDNSSLKLGNSSRRTKSTCIRQLARTQGSIVTTGQLGTIKETSPTILKAKEAAPTESGADASLVTKGLISPASRPSLQGKRFKTLPKEPNFHTIHVPKSCTKVQITC >Manes.14G158166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22002316:22008404:-1 gene:Manes.14G158166.v8.1 transcript:Manes.14G158166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCRRCLTFGSGVRLRPPNLAPNLHGFRLWKGYSAAEGAAKSALSSPFMVVFYACFDDVLGGFWELFLSCLKCVWHLIRVHLCRIRPEGLRRPSVLAVAESVQRLPEDRTRGTEEAISVSCCRVSPASARGE >Manes.01G038700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7335704:7338691:-1 gene:Manes.01G038700.v8.1 transcript:Manes.01G038700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISTSTSYFNCVSSSNLPSSSSSPFKPFKFPPFWPWQKVKMGPLTVSPMGFGTWAWGNQLLWGYKESMDTDLQQTFNLAVENGINLFDTADSYGTGRLNGQSEKLLGKFIREFPGNRLQDDIVIATKFAAYPWRLTPGQFVKACRASLERLQLEQIGIGQLHWSTANYAPPQELALWDGLVAMYEKGLVRAVGVSNYGPKQLVKIHDYLKARGVPLCSAQVQFSLLSMGKDQMEIRNICNSLGIRLIAYSPLGLGMLTGKYSSSKLPLGPRYMDYQVFTFIFNKRRRQVKYDIFHWLSTLSRNICCLLFFRALLFRQILPGLEPLLSSLREIAQKRNKTLPQVAINWCICNGTIPIPGIKTVKQVEENLGALGWQLSSDELLQLESAASESPQKMIQNIFQTR >Manes.01G038700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7331309:7338792:-1 gene:Manes.01G038700.v8.1 transcript:Manes.01G038700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISTSTSYFNCVSSSNLPSSSSSPFKPFKFPPFWPWQKVKMGPLTVSPMGFGTWAWGNQLLWGYKESMDTDLQQTFNLAVENGINLFDTADSYGTGRLNGQSEKLLGKFIREFPGNRLQDDIVIATKFAAYPWRLTPGQFVKACRLRRPDSLLISPQVAVNLKRLDCSIGLRPGSSAVIGTESRRFDCITKASSMAGITTAGKKKAVEVFDSEEVLAVSLAKYTADLSENFCKERGCFTVVLSGGSLIKSLRKLLEPPYIDSIEWSKWHVLWVDERVVPKDHVDSNYKLAYDGFLSKVPILPGNVYAINDALSAEGAAEDYETCLKHLVNTKVIETSSASGFPKLDLMLLGMGPDGHVASLFPGHHLCNENKKWVTFIKDSPKPPPERITFTFPVINSAAYIALVVCGAGKADAVQKALGKDQSSDVLPVQMVSAEGEFKWFLDKAAASKL >Manes.05G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11433058:11435512:1 gene:Manes.05G111300.v8.1 transcript:Manes.05G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSILVIIFSSSLCFYLLSNLFKFLDRVWVTPMRIQRLMTSQGIRGPSCRFIYGSTKEIIKMRNQSMSTSMKDLSHDMFSRIQPYFHSWTNTYGNSFLYWMGPRAQLLISEAELIREILTNRDKIYTKGDFQFYIKKLLGDGIFTSDGEKWSKMRKLANYAFHTENLKNMIPDMIASTVMMLERWKNHQGKEIEVVEEFRLLTCEVISRTAFGSSYLQGKKIFDMFTKLTMLITRNSFKHGISKIWKSRDEIEAEKLERELENSILDIIKKREDEMMGGEVENYGDDFLGILLRANHENDQSKRISLKDVIDECKTLYFAGQETTNVLLSWAVLLLAIHSDWQEKARNEVFKILGKQTPNADGIAKLKIMGMIINETLRLYPPVVGLTRKVDREVKLGKLTLPADIHVHISTLLLHHDPLTWGEDVHLFKPERFSEGLAKATNDNKATYLPFGMGPRICVGFNFAIIEAKIALSMILQRYSITLSPTYVHSPVQLVTIRPQHGIQVVLNSLHS >Manes.07G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22710531:22719359:-1 gene:Manes.07G078100.v8.1 transcript:Manes.07G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLARKAISASIKLDSHPWQANPIHVLPQRRPFCLGVLPNGVDRNSEAFIGNSGAMDDLISELQSHINKVLAGGGDVAVKRNRSRNKLLPRERIDRLIDPGSSFLELSQLAGHELYGDSLPSAGIITGIGAVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAARCKLPCIYLVDSGGAFLPKQAEVFPDRDNFGRIFYNQAVMSAEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNATIFLAGPPLVKAATGEEVSAEDLGGAALHCKTSGVSDYFAQDELHGLALGRSIIKNLHMAGKQGLINGLINGLNNLNLEYEEPLYDVKELRSIAPADLKQAFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARIFGQPVGIIGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKITIIVGGSFGAGNYAMCGRAYSPDFLFLWPNARISVMGGAQAAGVLSQIEMANKKKQGIQWTKEEEERFKTKVVEAYEREGNCYYSTARLWDDGIIDPADTRKILGLCISASMNRPLEDTKFGVFRM >Manes.07G078100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22710531:22719359:-1 gene:Manes.07G078100.v8.1 transcript:Manes.07G078100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVANDPTVKGGTYYPITVKKHLRAQEIAARCKLPCIYLVDSGGAFLPKQAEVFPDRDNFGRIFYNQAVMSAEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNATIFLAGPPLVKAATGEEVSAEDLGGAALHCKTSGVSDYFAQDELHGLALGRSIIKNLHMAGKQGLINGLINGLNNLNLEYEEPLYDVKELRSIAPADLKQAFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARIFGQPVGIIGNNGILFNESALKGTHFIELCSQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKITIIVGGSFGAGNYAMCGRAYSPDFLFLWPNARISVMGGAQAAGVLSQIEMANKKKQGIQWTKEEEERFKTKVVEAYEREGNCYYSTARLWDDGIIDPADTRKILGLCISASMNRPLEDTKFGVFRM >Manes.14G011200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:729478:739170:1 gene:Manes.14G011200.v8.1 transcript:Manes.14G011200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKQKQKIVLPPELPPEISEDEIEVSDEDLQFVNENRDYAGFVSRLDTDTITRHVTRVADLEGDDLEAAYEKRLRRKSQQKKVEEEGLQVDPVDALPVKTLDGKVYYRTLSKTTEKSENGRDTDDEKDDSGADKGILRLTKAERRAKLKKSKKEAKKQGKELEKTEEVQETPQASVLAKVKKDLTAEEMFENKKLKLAEMGIALLADPELNIKTLKEMLQFCKDDDHAIVKLALLSLLAVFKDIIPGYRIRLPTEKELEMKVSKDVKKMRYYESTLLSAYKVYLQKLMVLEKQSMFQHVAVRCICTLLEAAPHFNFRENLLGAVVENIGSSDDVIRRLCCATIKSLFTNEGKHGGQATVEAVRLIADLIKAHDCQLHPDSVEVFLSLSFDEDLGKPEEEDKENKVRNKKNKKRKNNEEPSQLQENDRKRSRKELMAKMREEVTADYKAAAFTTDVKDQKRLQSETLSAVFETYFRILKHTMLSTTASPDANDHLVANAPGAHPLLAPSLDGLGKFSHLLDLDYIGDLMNYLKKLAGGDTTSNSSEKSSKHLTVSERLQCCIVAFKVMRSNLDALNVDLQGFFVLLYNIILEYRPGRDQGEVLAEALKIMLCEDRQHDMQKAAAFVKRLATVSLCFGSAESMAALVTLKYLLQKNVKCRNLLENDAGGGSVSGSAAKYQPYASDPNLSGALASVLWELNLLSKHYHPAVSNMASSISSMSTSRNQVYLSSISPQQAFTDLSLERELLNPKYDIGKLIIKRKKGSSKVTASSIGGSVDKSSADEEELKKKLSDHFMLLRDFKENGRLRGELDRATLALQLYDEYKKQKRKSRKA >Manes.14G011200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:729478:739170:1 gene:Manes.14G011200.v8.1 transcript:Manes.14G011200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKQKQKIVLPPELPPEISEDEIEVSDEDLQFVNENRDYAGFVSRLDTDTITRHVTRVADLEGDDLEAAYEKRLRRKSQQKKVEEEGLQVDPVDALPVKTLDGKVYYRTLSKTTEKSENGRDTDDEKDDSGADKGILRLTKAERRAKLKKSKKEAKKQGKELEKTEEVQETPQASVLAKVKKDLTAEEMFENKKLKLAEMGIALLADPELNIKTLKEMLQFCKDDDHAIVKLALLSLLAVFKDIIPGYRIRLPTEKELEMKVSKDVKKMRYYESTLLSAYKVYLQKLMVLEKQSMFQHVAVRCICTLLEAAPHFNFRENLLGAVVENIGSSDDVIRRLCCATIKSLFTNEGKHGGQATVEAVRLIADLIKAHDCQLHPDSVEVFLSLSFDEDLGKPEEEDKENKVRNKKNKKRKNNEEPSQLQENDRKRSRKELMAKMREEVTADYKAAAFTTDVKDQKRLQSETLSAVFETYFRILKHTMLSTTASPDANDHLVANAPGAHPLLAPSLDGLGKFSHLLDLDYIGDLMNYLKKLAGGDTTSNSSEKSSKHLTVSERLQCCIVAFKVMRSNLDALNVDLQGFFVLLYNIILEYRPGRDQGEVLAEALKIMLCEDRQHDMQKAAAFVKRLATVSLCFGSAESMAALVTLKYLLQKNVKCRNLLENDAGGGSVSGSAAKYQPYASDPNLSGALASVLWELNLLSKHYHPAVSNMASSISSMSTSRNQVYLSSISPQQAFTDLSLERELLNPKYDIGKLIIKRKKGSSKVTASSIGGSVDKSSADEEELKKKLSDHFMLLRDFKENGRLRGELDRATLALQLYDEYKKQKRKSRKA >Manes.14G011200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:729475:739170:1 gene:Manes.14G011200.v8.1 transcript:Manes.14G011200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKQKQKIVLPPELPPEISEDEIEVSDEDLQFVNENRDYAGFVSRLDTDTITRHVTRVADLEGDDLEAAYEKRLRRKSQQKKVEEEGLQVDPVDALPVKTLDGKVYYRTLSKTTEKSENGRDTDDEKDDSGADKGILRLTKAERRAKLKKSKKEAKKQGKELEKTEEVQETPQASVLAKVKKDLTAEEMFENKKLKLAEMGIALLADPELNIKTLKEMLQFCKDDDHAIVKLALLSLLAVFKDIIPGYRIRLPTEKELEMKVSKDVKKMRYYESTLLSAYKVYLQKLMVLEKQSMFQHVAVRCICTLLEAAPHFNFRENLLGAVVENIGSSDDVIRRLCCATIKSLFTNEGKHGGQATVEAVRLIADLIKAHDCQLHPDSVEVFLSLSFDEDLGKPEEEDKENKVRNKKNKKRKNNEEPSQLQENDRKRSRKELMAKMREEVTADYKAAAFTTDVKDQKRLQSETLSAVFETYFRILKHTMLSTTASPDANDHLVANAPGAHPLLAPSLDGLGKFSHLLDLDYIGDLMNYLKKLAGGDTTSNSSEKSSKHLTVSERLQCCIVAFKVMRSNLDALNVDLQGFFVLLYNIILEYRPGRDQGEVLAEALKIMLCEDRQHDMQKAAAFVKRLATVSLCFGSAESMAALVTLKYLLQKNVKCRNLLENDAGGGSVSGSAAKYQPYASDPNLSGALASVLWELNLLSKHYHPAVSNMASSISSMSTSRNQVYLSSISPQQAFTDLSLERELLNPKYDIGKLIIKRKKGSSKVTASSIGGSVDKSSADEEELKKKLSDHFMLLRDFKENGRLRGELDRATLALQLYDEYKKQKRKSRKA >Manes.14G011200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:732558:739402:1 gene:Manes.14G011200.v8.1 transcript:Manes.14G011200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKQKQKIVLPPELPPEISEDEIEVSDEDLQFVNENRDYAGFVSRLDTDTITRHVTRVADLEGDDLEAAYEKRLRRKSQQKKVEEEGLQVDPVDALPVKTLDGKVYYRTLSKTTEKSENGRDTDDEKDDSGADKGILRLTKAERRAKLKKSKKEAKKQGKELEKTEEVQETPQASVLAKVKKDLTAEEMFENKKLKLAEMGIALLADPELNIKTLKEMLQFCKDDDHAIVKLALLSLLAVFKDIIPGYRIRLPTEKELEMKVSKDVKKMRYYESTLLSAYKVYLQKLMVLEKQSMFQHVAVRCICTLLEAAPHFNFRENLLGAVVENIGSSDDVIRRLCCATIKSLFTNEGKHGGQATVEAVRLIADLIKAHDCQLHPDSVEVFLSLSFDEDLGKPEEEDKENKVRNKKNKKRKNNEEPSQLQENDRKRSRKELMAKMREEVTADYKAAAFTTDVKDQKRLQSETLSAVFETYFRILKHTMLSTTASPDANDHLVANAPGAHPLLAPSLDGLGKFSHLLDLDYIGDLMNYLKKLAGGDTTSNSSEKSSKHLTVSERLQCCIVAFKVMRSNLDALNVDLQGFFVLLYNIILEYRPGRDQGEVLAEALKIMLCEDRQHDMQKAAAFVKRLATVSLCFGSAESMAALVTLKYLLQKNVKCRNLLENDAGGGSVSGSAAKYQPYASDPNLSGALASVLWELNLLSKHYHPAVSNMASSISSMSTSRNQVYLSSISPQQAFTDLSLERELLNPKYDIGKLIIKRKKGSSKVTASSIGGSVDKSSADEEELKKKLSDHFMLLRDFKENGRLRGELDRATLALQLYDEYKKQKRKSRKA >Manes.06G121800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25261039:25263138:1 gene:Manes.06G121800.v8.1 transcript:Manes.06G121800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVHKLLNILLPPISTILLLCFSPIYLIFKFLYYIKRHLSSENVAGKVVLITGAASGIGEQISYEYAKRGACLALVDIREDRLGAVVGKAKNVGSPDVVAIVADVSKVEDSERFVNAAVDHFGRLDHLVNIAGVAEPVQLKDMMKNNSSQPHPMMDINFWGTIYSTHFALPHLTKSKGKVIVMASILGLYPAPKAGFYGASKAALINFFESLRSEIGGDVGITIVNPGVIKTEMSESLEKKGVDVLVPLESKEACAKAIVKSGCRGDKYLAEPSWIRVLYWWKVLYPEVVEFCNYWAFFRRQATSIQSPQSKIA >Manes.06G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1739860:1744813:1 gene:Manes.06G010700.v8.1 transcript:Manes.06G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKLFLQYFLLLILLAFSSSKDTLTINQTIQDGNFMISRENNFALGFFSPRSSKFRYLGIWYHKVREQNVVWVANRNNPINDSSGVLSIDQYGNLILYSNHNQQVPVWSANVSVEDTGTCVAQLLDTGNLILVQDSSKGVVWQSFDHPTDTVLPGMKLGLDRRTGMNRFLISWRSANDPGVGNFSLQINPKGSPQVFLYWDIKCIWRGIAWPLKSYADETNVSFVNNQDEIYMSYVLSDASVFQRVILDYSGLVKKQTWHEKDGKWKEFWSVTMSFCDPYAHCGSYGICDPNYVSRRFECDCLPGYEPKSARDWHILKDASSGCVRKRLESISVCGHGEGFVKVTHVKVPDTSTAIWVSMNMSPRDCEKDCRRNCSCSAYASIDIAGKGTGCLTWYGKLMDTVHNIEEGYDIYVRVDAVEIDEIAQNSNGFFKRKDMLAVLVVSVVSAWLIIILFVCMWLKKKKKKRVRNKWSKRWLDTIGNTYYKQTWEENEVEGDMSHPKIAFFSLSTILSATSNFSPANKLGEGGFGMVYKGTLSNGKEVAVKRLSKSSAQGIEEFKNEVLLIAKLQHQNLVKLIGCCIQGQEPMLVYEYMPNKSLDSFIFNETRRSTLNWRKRFDIIVGIARGILYIHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARILKRDQSQEKTNKIVGTFGYMSPEYVVFGKFSTKSDVFSFGIILLEIITGKKSNSFCQEDSYPSMIGKMWHLWREERALEMVDSSLQESCSVHKVLRCIQIGLLCVQEDALERPDMSTVVVMLNSEDSLPTPKQPPFIFKRSSNSSSSLVIKEKGFYSVDEETITELVCR >Manes.18G060600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5375160:5382456:-1 gene:Manes.18G060600.v8.1 transcript:Manes.18G060600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGLCSSSPSLKPKIPLLKATLNSTPFKTSLPFPTRTRLEPIQSVAREISTDLSKTNDKLPSRPEQGLIKDPAALWRRYVDWLYQHKELGLYLDVSRIGFNDDFVRDMEPRLQKAFKDMEELEKGAIANPDEGRMVGHYWLRNSSLAPNSFLKTQIELTLDAVCKFAEEVVSGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLEFAKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLAGASLMDEANRNTALRDNPAAMLALCWYWASDGIGSKDMVVLPYKDSLLLISRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESITVTVQEVTPRSVGALIALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKEPVEPLTLDEVAERCHAEEDIEMIYKIIAHMAANDRALIAEGSCGSPRSIKVFLGECNMDELYA >Manes.14G089600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7426429:7434687:-1 gene:Manes.14G089600.v8.1 transcript:Manes.14G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQQQRLFETEGRKPAHDFLSLCSHSIVQQDPKPHSQGYLKTQDFLRPLERIGKVTAKEETTVDISLLEKPLPPAPPPSVGHILPGGIGTFSISHVSNCFNQRISKPESSAIFTVARASSTEKNEEDSNCSSYTGSGFTPWEESALKKGKTRKEKEEERSNTVRETAAKAKAGHWTSSDRPSPSSTNTHRNSFSSLSSSKPSGKKGQSFLEMIKSAKDSADDDNLDDEEKFVLKKETPSPIHKGELRVKVDEKSTDHKTNTPRSKHSATEQRRRSKINDRFQKLRELIPHGDQKRDKASFLLEVIEYIQFLQEKVQKYEGSYQGWNDEPAKLVPWRNGNRPSESCVDQPRGMNSGAGPALLFAAKFDEKNINIVPTIPGNTRNPVESDMNSTSAFELLDQHPGITNKAMSFPMPLQPNFFNSSRTGGAVAQVPPRLISDAENRTSQSQPQNQTHHTRSFTNNGAVAIDKLKEKELSIEGGTINISSAYSQGLLNTLTQALQSCGVDLSQASISVQIELGKRPNKRPITPTSIFKDNEIPSNNQGTIWPRVSSGEESHQALKKLKTRKS >Manes.14G089600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7426421:7430530:-1 gene:Manes.14G089600.v8.1 transcript:Manes.14G089600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSAKDSADDDNLDDEEKFVLKKETPSPIHKGELRVKVDEKSTDHKTNTPRSKHSATEQRRRSKINDRFQKLRELIPHGDQKRDKASFLLEVIEYIQFLQEKVQKYEGSYQGWNDEPAKLVPWRNGNRPSESCVDQPRGMNSGAGPALLFAAKFDEKNINIVPTIPGNTRNPVESDMNSTSAFELLDQHPGITNKAMSFPMPLQPNFFNSSRTGGAVAQVPPRLISDAENRTSQSQPQNQTHHTRSFTNNGAVAIDKLKEKELSIEGGTINISSAYSQGLLNTLTQALQSCGVDLSQASISVQIELGKRPNKRPITPTSIFKDNEIPSNNQGTIWPRVSSGEESHQALKKLKTRKS >Manes.14G089600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7426421:7434687:-1 gene:Manes.14G089600.v8.1 transcript:Manes.14G089600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQQQRLFETEGRKPAHDFLSLCSHSIVQQDPKPHSQGYLKTQDFLRPLERIGKVTAKEETTVDISLLEKPLPPAPPPSVGHILPGGIGTFSISHVSNCFNQRISKPESSAIFTVARASSTEKNEEDSNCSSYTGSGFTPWEESALKKGKTRKEKEEERSNTVRGELRVKVDEKSTDHKTNTPRSKHSATEQRRRSKINDRFQKLRELIPHGDQKRDKASFLLEVIEYIQFLQEKVQKYEGSYQGWNDEPAKLVPWRNGNRPSESCVDQPRGMNSGAGPALLFAAKFDEKNINIVPTIPGNTRNPVESDMNSTSAFELLDQHPGITNKAMSFPMPLQPNFFNSSRTGGAVAQVPPRLISDAENRTSQSQPQNQTHHTRSFTNNGAVAIDKLKEKELSIEGGTINISSAYSQGLLNTLTQALQSCGVDLSQASISVQIELGKRPNKRPITPTSIFKDNEIPSNNQGTIWPRVSSGEESHQALKKLKTRKS >Manes.14G089600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7426429:7434687:-1 gene:Manes.14G089600.v8.1 transcript:Manes.14G089600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQQQRLFETEGRKPAHDFLSLCSHSIVQQDPKPHSQGYLKTQDFLRPLERIGKVTAKEETTVDISLLEKPLPPAPPPSVGHILPGGIGTFSISHVSNCFNQRISKPESSAIFTVARASSTEKNEEDSNCSSYTGSGFTPWEESALKKGKTRKEKEEERSNTVRAKAKAGHWTSSDRPSPSSTNTHRNSFSSLSSSKPSGKKGQSFLEMIKSAKDSADDDNLDDEEKFVLKKETPSPIHKGELRVKVDEKSTDHKTNTPRSKHSATEQRRRSKINDRFQKLRELIPHGDQKRDKASFLLEVIEYIQFLQEKVQKYEGSYQGWNDEPAKLVPWRNGNRPSESCVDQPRGMNSGAGPALLFAAKFDEKNINIVPTIPGNTRNPVESDMNSTSAFELLDQHPGITNKAMSFPMPLQPNFFNSSRTGGAVAQVPPRLISDAENRTSQSQPQNQTHHTRSFTNNGAVAIDKLKEKELSIEGGTINISSAYSQGLLNTLTQALQSCGVDLSQASISVQIELGKRPNKRPITPTSIFKDNEIPSNNQGTIWPRVSSGEESHQALKKLKTRKS >Manes.06G034700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9365652:9369258:-1 gene:Manes.06G034700.v8.1 transcript:Manes.06G034700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGANHGYELLWIIVIGLVFALIIQSLAANLGVSTEKHLAELCKVEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVLVVQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGDMGHLLASRRMARKSSLWFR >Manes.04G005700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:804877:807967:1 gene:Manes.04G005700.v8.1 transcript:Manes.04G005700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQAILFNFFLLVALLHGSSFVHGSDKERKAYIVYMGDLKKSQKTADGYHITAADRHHKMLSSVIGDEDLARKSIIHSYVKSFDAFAAYLLPVEAERLKEHENVVSVFQNTYRNLHTTRSWDFLGMPLSLRRNIQRESDTIVGMIDTGIYIDAPSFNDKGYGPPPSRWKGACQIGGNFTGCNNKVIGARAYNKGEEDTVDLTPADMVGHGTHTASTVAGVPVKGASLYGLGQGVARGGVPSARLAIYKACSTESCSDMNLLAAFEDAIDDGVDIISISIGGGTMNYFTDPIAIGSYSAMRKGILTSCSAGNSGPYLGTVENSAPWVLTVGAGSLDRQFRTPVITGNGMEISGISVNTFTPEARSYPLTDSLKATNATGDEAGLCFTSTLNEDKVKGKIVLCYGGVPDDVKELGGTGTILVDDVMTDTGFTYGSPVTVLDSNQANKIEKYINSTKNPTALILKSTSVQIDAPSVATFSSRGPGLSTTLLKPDVIAPGIDILAAYTKLKSVTGSDEDNRFVVYNIFSGTSMSCPHASAAAAYIKTFHPTWSPAAIRSALMTTASEVKIKNRRKEWAYGSGQIDPTKALEPGLVYDMSERDYIRFLCSEGYSGLALTIVTGDHVKCSSIPYSKGQSHDAVNYPSMHIFVKDSNATISAIFHRIVTNVGPANSTYKAAVKAPEGYKITVKPDTLVFNRVHEKKTFRLKVEGPPPFDKDDNLVILSASLEWSDSKHKVRSPIVISSQRTDME >Manes.13G088337.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:21253777:21255155:1 gene:Manes.13G088337.v8.1 transcript:Manes.13G088337.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKMNACIIDNFVGDFLDVDEHFLNLNTTQGILQIKASINIEKPFITGFHKKKEDGSFGWVQFRYECLPNLCFNCGIVGHFSITYPHLANKSDFKTRRRNAFGPWLKGNSPKAKSLVPQLLLENNNPFGRGKPR >Manes.08G070500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:11746929:11747684:1 gene:Manes.08G070500.v8.1 transcript:Manes.08G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSILKSQFYLIGSTSLTNPSANFSIKTKSHLKNPTTTPTKPNFPRKILVKTRDSRKGIIINQELPKVSANGISSRFVVMSAVSVGFVLFVMGMDEHSKALALGPEGPLMEEFWDNVRRYALYALTVSTGALYTIFQPIVELLKNPISAVLIVIIFGGSIFLISQVLSAMVGVTDFTYDYGY >Manes.08G124800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36523363:36524685:1 gene:Manes.08G124800.v8.1 transcript:Manes.08G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGSTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKVGKGKGDIGSASQEF >Manes.14G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6201127:6203546:-1 gene:Manes.14G074000.v8.1 transcript:Manes.14G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGETIGEKERVSMEVHGKETHSPTMDNNHEDALDEEPNYRGWKAMPFIIGNETFEKLGAIGTLANLLIYLTTVFNMKSITAATIINIFNGTTNFGTLLGAYLCDTYFGRYKTLGFATITSFLGLLVIQLTAAISKLHPPQCGKDSSTCQGPTPGQMAFLLTGFGLMVVGAGGVRPCNLAFGADQFNPKTESGKKGINSFFNWYFFTFTFAQMISVTLIVYVQANVSWAIGLAIPAILMLIACILFFSGSKIYVKVKANGSPLNSVAQVIVVAIKKRRLKPVEQPWLSLFNYTSPKSINAKLPYTDQFRFLDKAAIRTPEDKINPDGSAADPWKLCSLQQVEEVKCLLRVFPIWVSDVIFFTAIVQQQTYAVFQAVQSDRRLGSSNFKVPAASYGVFVMLSLTIFIPIYDRILVPFLQRLTGKEGGITVLQRIGIGIALSSLTMLVSALVEEQRRTTALTKPTLGTAPRRGEISSMSSLWLIPQLALTGLAEAFGSVGLVEFYYKQFPENMRSIAGSLFFCGMAGSSYLSSLLITIVHKTTNWLPEDLNKGRLNCYYYIIAALGVLNFVYFLICAKWYKYKEGMRDTIEINQQVKPIKA >Manes.01G089800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29137415:29141251:-1 gene:Manes.01G089800.v8.1 transcript:Manes.01G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSNDPEASRFTSLALLLLGFISCALVYTILSLVLNPNVNFTNSGSKSLALIEESSEDNNGGCCSGIDNLELWGAAVKWGSEFKFNSSRECCEACKAMCHGNDGPCLCDTWVFCGNKETCGSKFGECWLKKQKDTMNPDRQEAGDKVSWTSGLIFGKGEGIVGLETEYGTLHIKLFPDCAPHSVAYILELLELHHCAGCQFFRAESRGQFWDSEGNHIKDAPYGPPFALIQGTLEAQGTTFKKIPKEVCPTIRRGSVAWVGSGPEFLISLANHQEWKKAYTVFGSVLPEDMKIAEKIAQLPTKSDVWNNINVTVLEKYVPLMFRRIKTSHGELGAKEQSD >Manes.08G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1068693:1073228:-1 gene:Manes.08G007900.v8.1 transcript:Manes.08G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTLSTISIQEDVELEKISRASKKAENVSACKIFPRKVLSRVFSEDYEYDAVEKTILDPRGPLIDKWNKIFFAACLTSLFVDPLFFLLPKVENHCIHVSTNLEVAFTAIRSLADIFYIFHVFVRFRMAYVAPSSRVIGRGELVVDPAKIASRYLDRDFWLDILAAQPIPQVLTWAVIPSLRGSSAAHTRLVIRTSIIIQYLLRLYLIFPLSSQINKATGLVLETAWAGAAYNLVLYMLASHVLGCLWYLLAIERQEHCWRKVCILEQGECNYSFMDCSFADDPGRESWLISSNVSNLCYPSSGFFEFGIYGDALSSQVTSSSFFKKFFYCLWWAFRNLNSLGQGLCTSTYIGEINYAMIVGIVSLILFGLLIGNMQRYLQSSSVRLEQWRTFKTDTERWMRHRQLPLEMKESIRRYNQHRWCATRGVDEEAILKSLPMDLRRNIKRHLCLDLVRKVQLFNMMDEQMLDAICERLKPCLYDQGTCLVREGDPVNEMIFIIRGRLNSYTTNGGRADFFNSCLIAPGDFCGEELLTWALDPRSNSTITLPSSTRTVIAITDVEVFALVAEDLKFVAFQFRRLNSKQLRNVFRFHSPQWRTWAACFIQAAWFRYKRRKEEAELDKIRTSMGGGEKKQTCSSLLLAATNFTIYASKLAASTKRGGSKPEEPDFSVDK >Manes.08G130650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37984592:37985815:-1 gene:Manes.08G130650.v8.1 transcript:Manes.08G130650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFHFLVFLAFALALSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVADDFFAWGLNIPGDTDQNRVRSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHIHPRATEILVVVEGTLYVGFVTSNPNRLIAKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIADAIFGPDPPINPDVLAKAFQLDKKDVEKLQKLFED >Manes.01G129200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32306264:32314450:1 gene:Manes.01G129200.v8.1 transcript:Manes.01G129200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVHQLAQCITCHAWSPDHSMIALCPNSNEVHIYKLSQDKWERVHVLQKHDQIVSGIDWSARSNRIVTASHDRNSYVWNQEGPEWVPTLVILRLNRAALCVQWSPRENKFAVGSGAKTVCICYYEQDNNWWVSKLIRKRHDSSVTSVAWHPNDILLATTSTDGKCRVFSTFIKGVDTRDSKAGSSSDSKFGEQIIQLDLSFSWAFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVAFRDLPLRDILFVSEKMVIGVGFDCNPMVFGADERGIWSFVRFLGERKLSFSGSKYGSQFSEAFGKFYGQSKIGASNDGTDPSRSRGVIHENCINCIASLGEVSSSRTTRFSTSGLDGRVVIWDLQNQEDLSQYLC >Manes.01G129200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32306264:32314444:1 gene:Manes.01G129200.v8.1 transcript:Manes.01G129200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAVHQLAQCITCHAWSPDHSMIALCPNSNEVHIYKLSQDKWERVHVLQKHDQIVSGIDWSARSNRIVTASHDRNSYVWNQEGPEWVPTLVILRLNRAALCVQWSPRENKFAVGSGAKTVCICYYEQDNNWWVSKLIRKRHDSSVTSVAWHPNDILLATTSTDGKCRVFSTFIKGVDTRDSKAGSSSDSKFGEQIIQLDLSFSWAFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVAFRDLPLRDILFVSEKMVIGVGFDCNPMVFGADERGIWSFVRFLGERKLSFSGSKYGSQFSEAFGKFYGQSKIGASNDGTDPSRSRGVIHENCINCIASLGEVSSSRTTRFSTSGLDGRVVIWDLQNQEDLSQYLC >Manes.18G144937.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21745356:21746846:1 gene:Manes.18G144937.v8.1 transcript:Manes.18G144937.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.11G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27352483:27359476:1 gene:Manes.11G116600.v8.1 transcript:Manes.11G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELQEHVESIDIANGKFSNFHEILLFSRNAIRLMFQRSLLFGGQIAFILLLLLQICHAKNDPCAPSSCGNILNISYPFRLQTDPKHCGDRRYSLSCEKNSTVLHLFPGKFYYVQAINYNNYTIRLLDPGVVKDDCSSMPRFPLTRPNFPDGGPYIPNKYLSTSSLTTFSAEIIFMNCVQPINSPDYIDATSCITNGSKHYYVRIDTFGGISGMDWMSTCTIVTAAFVPAERNYTSMSFVEVHRELAYGFEISWLNLYCERCESNQCFFNETENRIRCFEEFPDYFKEVCYLSSSLFRHVVECSFYNATSSTFGLSNKIKRSLPAVLAYVGLSFATKTLCGTPFVIAFLVYKWRKRHISGYYTIEEFLQSQNNMAPIRYSYLSIRRITGGFKEKLGEGGFGCVYKGKLRSGRIAAVKMLVKSKTDGQYFINEVATLGRIHHTNVVQLIGFCAEGSKYALVYDFMHNGSLDKYLSSREGSISLSWDKLYEISLGVARGIAYLHQGCDMQILHFDIKPHNILLDESFTPKISDFGLSKLYGTNESIKTLTAARGTIGYMAPELFYRNIGRVSQKADVYSFGMLLLEMAGKRKNLNTLLENSSETYFPLWVYDEASSGNVVEINDAIEEPNKIAKMMVVVGLWCIQMKPSDRPPMNKVIEMLEGDLESLQLPSRFALFPEETIIRDGDESSSMSTDFSETLSLIENAS >Manes.06G064900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20007091:20014947:1 gene:Manes.06G064900.v8.1 transcript:Manes.06G064900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAYNRALKLSSPGSPVLGVGFTGSLASTRAKLGDHRFYLSTRTSDRLWVSTVTLSKGLRTREQEDTISSHLVLKAIANACKVQATFVSHLTESDVSDDFEKQFTEDEELEQLINGQICFKVYPFSSVTYTSNEERKIILPGSFNPLHEGHLKLLEVATSICGNGYPCFEISAVNADKPPLSVSQIKDRVKQFEIAGKTVIISNQPFFYKKAELFPGSAFVIGADTAARLINPKYYDGNYGKMLEILVGCKNTGCTFLVGSRNVDGVFKVLEDFDIPEVLKDMFVSIPAEQFRMDISSTEIRKRSGM >Manes.06G064900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20007091:20014947:1 gene:Manes.06G064900.v8.1 transcript:Manes.06G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAWIRSLVEAVHSTPTQVVLHLSGGASQALGLLMSVPGATNTVLEAVVPYSRMSMIQLLGKIPTHYCSRQTAEEMALLAYNRALKLSSPGSPVLGVGFTGSLASTRAKLGDHRFYLSTRTSDRLWVSTVTLSKGLRTREQEDTISSHLVLKAIANACKVQATFVSHLTESDVSDDFEKQFTEDEELEQLINGQICFKVYPFSSVTYTSNEERKIILPGSFNPLHEGHLKLLEVATSICGNGYPCFEISAVNADKPPLSVSQIKDRVKQFEIAGKTVIISNQPFFYKKAELFPGSAFVIGADTAARLINPKYYDGNYGKMLEILVGCKNTGCTFLVGSRNVDGVFKVLEDFDIPEVLKDMFVSIPAEQFRMDISSTEIRKRSGM >Manes.06G064900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20007091:20014947:1 gene:Manes.06G064900.v8.1 transcript:Manes.06G064900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDAWIRSLVEAVHSTPTQVVLHLSGGASQALGLLMSVPGATNTVLEAVVPYSRMSMIQLLGKIPTHYCSRQTAEEMALLAYNRALKLSSPGSPVLGVGFTGSLASTRAKLGDHRFYLSTRTSDRLWVSTVTLSKGLRTREQEDTISSHLVLKAIANACKVQATFVSHLTESDVSDDFEKQFTEDEELEQLINGQICFKVYPFSSVTYTSNEERKIILPGSFNPLHEGHLKLLEVATSICGNGYPCFEISAVNADKPPLSVSQIKDRVKQFEIAGKTVIISNQPFFYKKAELFPGSAFVIGADTAARLINPKYYDGNYGKMLEILVGCKNTGCTFLVGSRNVDGVFKVCPLIGNL >Manes.03G196000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31393943:31399026:1 gene:Manes.03G196000.v8.1 transcript:Manes.03G196000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTCISRQDPYSNSPDFHISKKPRLSFMCQASNQTLGSSNSTVSRISRYPATTSKFRREVHAPCRILKFGFSRSNYTDYSETKKSSADGMGNFLSKQLDFARRSAIDAFRYLLKYKQVIDVDNELEQSGKEMLSDDSSIEEVEAIEENGREGTSTVLDQRSRDGLVCNEDDNDVKIVEERSVVTVDENVGLQNARKMLDSLVLNGEVDVSSVDVYKKLLESAERRNGRLKALDSEIELNQKKLSFYQSTRPVKKLEEKAVEEIPHEPFIPLTKEEESLVKRAFSPNNGRKVLVTHKNSNIDITGEILQCLGPGAWLNDEVINLYLELLKEREKREPQKFLKCHFFTTFFYKKLTNGEKNIYDYRAVRRWTTERKLGYYLIECDKAKYYVDEVKDKSKKDIDVSNWKREFLEELPEQQNGYDCGVFMIKYADFYSRNVGLCFSQDHISYFRMRTAKEILQLRAD >Manes.03G196000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31393943:31399026:1 gene:Manes.03G196000.v8.1 transcript:Manes.03G196000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTCISRQDPYSNSPDFHISKKPRLSFMCQASNQTLGSSNSTVSRISRYPATTSKFRREVHAPCRILKFGFSRSNYTDYSETKKSSADGMGNFLSKQLDFARRSAIDAFRYLLKYKQVIDVDNELEQSGKEMLSDDSSIEEVEAIEENGREGTSTVLDQRSRDGLVCNEDDNDVKIVEERSVVTVDENVGLQNARKMLDSLVLNGEVDVSSVDVYKKLLESAERRNGRLKALDSEIELNQKKLSFYQSTRPVKKLEEKAVEEIPHEPFIPLTKEEESLVKRAFSPNNGRKVLVTHKNSNIDITGEILQCLGPGAWLNDEVINLYLELLKEREKREPQKFLKCHFFTTFFYKKLTNGEKNIYDYRAVRRWTTERKLGYYLIECDKIFVPVHREIHWCLAIINKKDREFQYLDSLKGRDLRVLENLAKYYVDEVKDKSKKDIDV >Manes.03G196000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31393943:31399026:1 gene:Manes.03G196000.v8.1 transcript:Manes.03G196000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTCISRQDPYSNSPDFHISKKPRLSFMCQASNQTLGSSNSTVSRISRYPATTSKFRREVHAPCRILKFGFSRSNYTDYSETKKSSADGMGNFLSKQLDFARRSAIDAFRYLLKYKQVIDVDNELEQSGKEMLSDDSSIEEVEAIEENGREGTSTVLDQRSRDGLVCNEDDNDVKIVEERSVVTVDENVGLQNARKMLDSLVLNGEVDVSSVDVYKKLLESAERRNGRLKALDSEIELNQKKLSFYQSTRPVKKLEEKAVEEIPHEPFIPLTKEEESLVKRAFSPNNGRKVLVTHKNSNIDITGEILQCLGPGAWLNDEVINLYLELLKEREKREPQKFLKCHFFTTFFYKKLTNGEKNIYDYRAVRRWTTERKLGYYLIECDKIFVPVHREIHWCLAIINKKDREFQYLDSLKGRDLRVLENLAKYYVDEVKDKSKKDIDVSNWKREFLEELPEQQNGYDCGVFMIKYADFYSRNVGLCFSQDHISYFRMRTAKEILQLRAD >Manes.07G039200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4527353:4528528:1 gene:Manes.07G039200.v8.1 transcript:Manes.07G039200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLLPKDLLIEVLSSSPVKLLLKCRCVCKAWDSIITDPLFISKHLKKSTERNRFIMYCADGGTEHYLLYTKESFPGKPVEEFDCPWTSLSHLVNIVGSCNGVLCLSDDIRGVYPNRVALWNPSVRKIVTIPCPEVKLNADGEFCYYSLGFGFDSKSDDYKFVRIVYMADNVRIFSPPLVEIYSSKNRYWRNDVEYDLKSSIRPFTSAAFVNGACHWVASKPGNGGGEQEVIVSFDLGEEVFREMEIPNCLVNKYVFMDVAVFDGSLLLVAFVKEIGLERSFSVWRIAENGVSGSWTWTKLFSTSHLEGIGRFVAFRQNEEVLLERISGLLVLYDFKTEEISDTGISGKADYSYFDIFVDSLVLMAKLEVDASSSSSRMVIDKASGQSKEA >Manes.14G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9173064:9176549:-1 gene:Manes.14G109200.v8.1 transcript:Manes.14G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDNNSTGTSGAACSTATPNLDDFPQHLILHILSFLPTIDTITTSLVSKKWYPLWSLIPSLNFSFADFPPYSTPSTTRQFFAEFVDRTLVCRSHSPLIKFHLDFIFEDRYGFHVDSWIRYAIKNQAQELDLNFFIDESFYVDEPRLGDTYDFPFSALRNGKVRDLKLTRCDLALPANLRLLSMKSIYLDEIYLTDQMALDLINGCPNLEVLELGNCKGMDTLKVCSEKLKKLELKYFFCKENEVNLEIDCPNLVSLTIIWFEVGKCCVKNLSSLAHFRTFIGHRRDRYYGYWNKIMRMLDQVPHVRSLAVQNWWLKLAPNDFFPKGFLLYNLKQLELQTGYTRYDLLGMAALLELTPNVETIILDHLLKGDEDESLSEELLHRSIDLSMPSLKIVKMKQFTGTENEGSFLALVKKRGVVLEQIVIVPAKVGDIQCPPIVLRKRPKKIEVRELSSPEIEVKES >Manes.14G109200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9173064:9176549:-1 gene:Manes.14G109200.v8.1 transcript:Manes.14G109200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDNNSTGTSGAACSTATPNLDDFPQHLILHILSFLPTIDTITTSLVSKKWYPLWSLIPSLNFSFADFPPYSTPSTTRQFFAEFVDRTLVCRSHSPLIKFHLDFIFEDRYGFHVDSWIRYAIKNQAQELDLNFFIDESFYVDEPRLGDTYDFPFSALRNGKVRDLKLTRCDLALPANLRLLSMKSIYLDEIYLTDQMALDLINGCPNLEVLELGNCKGMDTLKVCSEKLKKLELKYFFCKENEVNLEIDCPNLVSLTIIWFEVGKCCVKNLSSLAHFRTFIGHRRDRYYGYWNKIMRMLDQVPHVRSLAVQNWWLKLTLADHWIRYRYLDIKSSHGTCLSEIIDS >Manes.14G109200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9173064:9176549:-1 gene:Manes.14G109200.v8.1 transcript:Manes.14G109200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTDNNSTGTSGAACSTATPNLDDFPQHLILHILSFLPTIDTITTSLVSKKWYPLWSLIPSLNFSFADFPPYSTPSTTRQFFAEFVDRTLVCRSHSPLIKFHLDFIFEDRYGFHVDSWIRYAIKNQAQELDLNFFIDESFYVDEPRLGDTYDFPFSALRNGKVRDLKLTRCDLALPANLRLLSMKSIYLDEIYLTDQMALDLINGCPNLEVLELGNCKGMDTLKVCSEKLKKLELKYFFCKENEVNLEIDCPNLVSLTIIWFEVGKCCVKNLSSLAHFRTFIGHRRDRYYGYWNKIMRMLDQVPHVRSLAVQNWWLKLTLADHWIRYRYLDIKSSHGTCLSEIIDS >Manes.05G050700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4231010:4232515:-1 gene:Manes.05G050700.v8.1 transcript:Manes.05G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLPCYLSTFLVLFLLQNPPCNASRRTPGLVLSPPMETTIQDNNNYTWHNFKTFLNAKMGSHVSGMSELKRYFHHFGYLQLRDFNNITDNFDSRFEAAVFRYQAKLALPVTGKLDSHTLSQIMAPRCGVPDTVRKLHAKRSYVYFPGKPRWTRSIPMTLTYAFSPENLISYLSLSAIKNVFKSAFGRWGSVIPVTFMETDDYGSADIKIGFYSGDHGDGEPFDGVLGVLAHSFSPESGRFHLDASETWAVEFEIEKSKVAIDLESVAIHEIGHLLGLAHSSVREAVMYPSLKPRKKKVDLSVDDIQGVQALYGSNPNFTLGSLLESDISTNQAVHQRVEHSLSPSLLTALILMFSSCM >Manes.03G032800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2753358:2755996:-1 gene:Manes.03G032800.v8.1 transcript:Manes.03G032800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLWRKYSDYVYTKWERTILWDMMEPYKKPKSFTPLVTIYIAAFYTGVIGSAITEQLYKEKYWEDHPGEAVPLMKPKFYSGPWKVLRGETLPPNQ >Manes.08G053200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5718594:5720451:-1 gene:Manes.08G053200.v8.1 transcript:Manes.08G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKLCYSVLVISIFSFFSPTLSSSVASNGLDSWCNKTPYPEPCKYFMNQNPNHFLYPKHKSEFRKLATELAMQRALTAQSHNKWLGSKCRNEKEKAAWADCLKLYENTIIQLNQTLDPNTKCTDYDVQTWLSTALTNLETCRSGFVELGVSDFMLPLMANNVSKLICNTLALNNGSSAALPQTYKDGFPNWVTAGDRKLLQSSSPAVNLVVAQDGSGNHRTIKEALDEAAKRSGTGRFVIRIKSGIYRENLEIGNKMKNIMLVGDGMRTTIITGSRSVGGGSTTFNSATVAVTGEGFIARGITFRNTAGPENHQAVALRSGSDLSVFYRCGFEGYQDTLYVHSQRQFYKECYIYGTVDFIFGNAAVVLQNCMIYARRPMDKQKNVVTAQGRTDPNQNTGISIHNSRVMAAPDLQPVLNSFKTYLGRPWKEYSRTVFLQTYLDSLVDPAGWLEWDGDFALNTLYYGEYKNMGPAASTGGRVKWGGYRVITSAAEASQFTVANFIAGRSWLPATEVPFTSGL >Manes.06G168100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29339090:29346777:1 gene:Manes.06G168100.v8.1 transcript:Manes.06G168100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLVPLNPSPVTHKRLPSHGWKRSLIELNGRFEPKYRHHLSALLMQSYSEIGAFSHFYHVNGVPCQTHLNRIDSVANMDSPVPITRQGISALEFDTKGVYLVSVTKSGCLTVHDFESLYCHTNALLQCLKEDESKHVLHLSLNRQLDVVRWNLSNQDEVACTSMKKNEVLIFDIGYISSEPVEVLRTRRTVTVHGSEVHKGLTDIAFTTVDKSRLIASDTNGVINLWDRRMGVLPSIELTTNCRSTINSIQLNVENQLVFGAGRHGIIYMWDLRGGRASAAFQSHKEVCHPPVTYWKLASMLEKIGTLKAQSAIVPKEVHSIDFDPSCPYQLAFHLDDGWSGVLDIYNFQVTHVHCPPPAWLNDSNISADLLYLRKPSWLPTYSVYVVGSSSANGIHLLDFYPDPSSPCHVDYSEEDVERHSGLGNQKQNKFVPLSEAVTACATHPINGTIIAGTKHSSLTVVSQRKQSISGGSHFIQK >Manes.09G177500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36780814:36796888:1 gene:Manes.09G177500.v8.1 transcript:Manes.09G177500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPPASLSRPTSLFFFSPKGPKPISNSVQLFQWRSKGVTFTRRMVVKACVKVEQKENSVSEEAGSQWGKVSAVLFDMDGVLCNSEEPSRMAAVDVFAEMGVAVTVEDFVPFMGTGEANFLGGVANVKGVKGFSTEAAKKRFFEIYLEKYAKPNSGIGFPGALELITQCKEKGLKVAVASSADRIKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFLAASKILDVPTSECIVIEDALAGVQAAEAARMRCIAVKTTLSEETLKNASPSLIRNDIGNISLDDILNGGSDGYNEMMQRPQVLHTSEQSSAAILKERTDNGALVNNVAASDEVFSAGGFQASRRNILRYGSLGVAFSCLFFAVSNWKAMQYASPQAIWNLVFGVNKPDFKQNEGKSDLEYSRVQQFVNYISDLETRGTARVVPEFPTKLDWLNSAPLQFHRELKGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFTVVGVHSAKFDNEKDLEAIRNAVLRYNISHPVVNDGDMYMWRELGINSWPTFAIVGPNGKLIAQVSGEGHRKDLDDLVEAALLYYGGKKLLEGTPIPLGLEKDDDPRLISSPLKFPGKLAIDGLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLRDGSFDEAMFNRPQGLAYNAKKNLLYVADTENHALREIDFVNEMVRTLAGNGTKGSDYKGGGKGTTQLLNSPWDVCYEPVNEKVYIAMAGQHQIWEHNTLDGVTIAFSGDGYERNLNGSSSTSTSFAQPSGISLSPDLKEIYVADSESSSIRALDLKTGGSRLLAGGDPIFFDNLFKFGDHDGIGSEVLLQHPLGVLCAKDGQIYIADSYNHKIKKLDPATKRVSTIAGTGKAGFKDGKALVAQLSEPSGIIEAENGRLIIADTNNSVIRYIDLNKEEAELLTLELKGVQPPAPKSRSFKRLRRRTSADTQTIKIDGGSSSEGDLCLKISLPEEYHFSKEARSKFIVETEPENAVLIDPSDGFLSPEGTAILHFRRSSASASTGRINSKVYYCKEDEVCLYESLLFEVAFQEETPSSSPSQITLAYVVKPKALTNSLELPVSR >Manes.09G177500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36780813:36796908:1 gene:Manes.09G177500.v8.1 transcript:Manes.09G177500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPPASLSRPTSLFFFSPKGPKPISNSVQLFQWRSKGVTFTRRMVVKACVKVEQKENSVSEEAGSQWGKVSAVLFDMDGVLCNSEEPSRMAAVDVFAEMGVAVTVEDFVPFMGTGEANFLGGVANVKGVKGFSTEAAKKRFFEIYLEKYAKPNSGIGFPGALELITQCKEKGLKVAVASSADRIKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFLAASKILDVPTSECIVIEDALAGVQAAEAARMRCIAVKTTLSEETLKNASPSLIRNDIGNISLDDILNGGSDGYNEMMQRPQVLHTSEQSSAAILKERTDNGALVNNVAASDEVFSAGGFQASRRNILRYGSLGVAFSCLFFAVSNWKAMQYASPQAIWNLVFGVNKPDFKQNEGKSDLEYSRVQQFVNYISDLETRGTARVVPEFPTKLDWLNSAPLQFHRELKGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFTVVGVHSAKFDNEKDLEAIRNAVLRYNISHPVVNDGDMYMWRELGINSWPTFAIVGPNGKLIAQVSGEGHRKDLDDLVEAALLYYGGKKLLEGTPIPLGLEKDDDPRLISSPLKFPGKLAIDGLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLRDGSFDEAMFNRPQGLAYNAKKNLLYVADTENHALREIDFVNEMVRTLAGNGTKGSDYKGGGKGTTQLLNSPWDVCYEPVNEKVYIAMAGQHQIWEHNTLDGVTIAFSGDGYERNLNGSSSTSTSFAQPSGISLSPDLKEIYVADSESSSIRALDLKTGGSRLLAGGDPIFFDNLFKFGDHDGIGSEVLLQHPLGVLCAKDGQIYIADSYNHKIKKLDPATKRVSTIAGTGKAGFKDGKALVAQLSEPSGIIEAENGRLIIADTNNSVIRYIDLNKEEAELLTLELKGVQPPAPKSRSFKRLRRRTSADTQTIKIDGGSSSEGDLCLKISLPEEYHFSKEARSKFIVETEPENAVLIDPSDGFLSPEGTAILHFRRSSASASTGRINSKVWLVLL >Manes.09G177500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36780814:36796888:1 gene:Manes.09G177500.v8.1 transcript:Manes.09G177500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPPASLSRPTSLFFFSPKGPKPISNSVQLFQWRSKGVTFTRRMVVKACVKVEQKENSVSEEAGSQWGKVSAVLFDMDGVLCNSEEPSRMAAVDVFAEMGVAVTVEDFVPFMGTGEANFLGGVANVKGVKGFSTEAAKKRFFEIYLEKYAKPNSGIGFPGALELITQCKEKGLKVAVASSADRIKVDANLAAAGLPLSMFDAIVSADAFENLKPAPDIFLAASKILDVPTSECIVIEDALAGVQAAEAARMRCIAVKTTLSEETLKNASPSLIRNDIGNISLDDILNGGSDGYNEMMQRPQVLHTSEQSSAAILKERTDNGALVNNVAASDEVFSAGGFQASRRNILRYGSLGVAFSCLFFAVSNWKAMQYASPQAIWNLVFGVNKPDFKQNEGKSDLEYSRVQQFVNYISDLETRGTARVVPEFPTKLDWLNSAPLQFHRELKGKVVLLDFWTYCCINCMHVLPDLEFLEKKYKDMPFTVVGVHSAKFDNEKDLEAIRNAVLRYNISHPVVNDGDMYMWRELGINSWPTFAIVGPNGKLIAQVSGEGHRKDLDDLVEAALLYYGGKKLLEGTPIPLGLEKDDDPRLISSPLKFPGKLAIDGLNNRLFISDSNHNRIVVTDLDGNFIVQIGSSGEEGLRDGSFDEAMFNRPQGLAYNAKKNLLYVADTENHALREIDFVNEMVRTLAGNGTKGSDYKGGGKGTTQLLNSPWDVCYEPVNEKVYIAMAGQHQIWEHNTLDGVTIAFSGDGYERNLNGSSSTSTSFAQPSGISLSPDLKEIYVADSESSSIRALDLKTGGSRLLAGGDPIFFDNLFKFGDHDGIGSEVLLQHPLGVLCAKDGQIYIADSYNHKIKKLDPATKRVSTIAGTGKAGFKDGKALVAQLSEPSGIIEAENGRLIIADTNNSVIRYIDLNKEEAELLTLELKGVQPPAPKSRSFKRLRRRTSADTQTIKIDGGSSSEGDLCLKISLPEEYHFSKVKCLLVGYFCFYYFVILSCALNAGSTK >Manes.12G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:939120:943618:1 gene:Manes.12G009000.v8.1 transcript:Manes.12G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNLKTTWRLAELENQNIPHIAQKLGTTCSSGLHQAPGHCSVDLKLGNSGDLEDKLMEKFAGPGESLMESSSSGTSKRVRTPVNGTQVPLCLVDGCTSDLSKCRDYHRRHKVCEIHSKTPKVFIKGQEQRFCQQCSRFHSLGEFDEGKRSCRKRLDGHNRRRRKPPPDSLSVNSATLFSNHQGTRYLQFGGFQMFSAGSESSAWTEAAKPDNGPMLYASQFSVSHSSGRNLFPGSLSQGYRSAKQFPFLQCTSSTLPGESVCQNIIDTDSILDSSQKMFSGGSNRVVDSNRALSLLSSPSAETQEIGLSHMVQPDLKPPAQSLIPSLNFSFSGMENGSVDSVLVSDGSSNANLHGQAMFQIGPDESSTSGSLQTLSFSWE >Manes.13G130600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33911140:33915182:1 gene:Manes.13G130600.v8.1 transcript:Manes.13G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALILDKKGRKKDGSESNLEIKKNPSILRKLQENKLREALEEASEDGSLFKSQDMESESLGNQDESLGRSRSLARLHAQREFLRATALAAERIFETEDSIPDLREAFSKFLTMYPKYQSSEKIDQLRSDEYAHLTPKVCLDYCGFGLFSYLQTLHYWESSTFSLSEITANLSNHALYGGAEKGTVEHDIKTRIMDYLNIPEHEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDYESQSVSWMAQSAREKGAKVYSAWFKWPTLKLCSTDLRKQISSKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGHDPTGFGCLLIKKSVMGSLQNQSGSTGSGMVKITPEYPLYLSDSVDGLDRLVGIEDDEVAGNAETTTETRPGSQLPAFSGAFTSAQVRDVFETEMEQDNSSDRDGTSTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDTAGQLNKQKMSSPLPPFWFSGKKNHKRLSPKPTSKIYGSPLYDDKGINMGPHDDHHMLSFDAAVMSVSQELDRVKEVPEEEQFADANCTPQNGRKGSDHPHVHEIEEEPGSSNTVSVGSLSNSDVNRSHLNNSKLAAAHHGLANGLISAIGSEVKESAIRRETEGEFRLLGRREGNRYAGGRFFGLEENEHPSRGRRVSFSMEDNRKEHLSHTLEPGEVSVTSLDDDEYTSDGEYGDGQEWDRREPEIICRHLNHVNMLGLNKTTLRLRFLINWLVTSLLQLRFPSSDGEGRVHLVHIYGPKIKYERGAAVAFNIRDRNQGLINPEVVQKLAEREGISLGIGYLSHIRILDSPKQQRGALNLEDTTLCMPMENGQNNGKSGFLRIEVVTASLGFLTNFEDVYKLWGFISKFLNPAFIKEGSLPTVEEGTES >Manes.04G045401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7248529:7262077:-1 gene:Manes.04G045401.v8.1 transcript:Manes.04G045401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEIVIFVFLFISQTCKGGDSNHCAASSCGNNHNISYPFRLQTDPKKCGDHRYELSCQNNLTVLNLNGGRYYVQSIDYDNFTIGLVDAGVHPDNCSSIPRFPFIYDLSERYSTTYSWLESEGYKWAYLSQQIMFIKCQNPVKSPPYVETAPCLNSSYVNIGDMTAYDLMELCSVEMISLFPLFPVKKNMSFLEIHRQLAFGFQLSWHNIYCGQCDGLCYLDSRKRFRCSSGWNENFLDFILWMLQWSLTFFAMCLVARAFCGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNLLPIRYSYSDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQEGPISLSWEKLYEISLGVARGIEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAVRGTIGYMAPELFYKNIGRVSHKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLTIEDSSEEENILARKMIITGLWCIQMQPCNRPPMNKVLDMLEGDFKSLELPPRPVLYPVESMTIDEGESSSTSSEVK >Manes.04G045401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7248556:7250350:-1 gene:Manes.04G045401.v8.1 transcript:Manes.04G045401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWSLTFFAMCLVARAFCGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNLLPIRYSYSDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQEGPISLSWEKLYEISLGVARGIEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAVRGTIGYMAPELFYKNIGRVSHKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLTIEDSSEEENILARKMIITGLWCIQMQPCNRPPMNKVLDMLEGDFKSLELPPRPVLYPVESMTIDEGESSSTSSEVK >Manes.14G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7566236:7568082:1 gene:Manes.14G091500.v8.1 transcript:Manes.14G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATSSMAAKFAFFPPNPPSYTITVDEATGKLRISDVQQKDDVDVLKLSTKKGNEIVAIYVKNPLASLTVLYSHGNAADLGQMYHIFTELSLHLNVNLMGYDYSGYGQSSGKPSEQDTYADIDAAYMCLEETYGVKEEDVILYGQSVGSGPTLELATRLSQLRAVILHSPILSGLRVMYPVKKTFWFDIYKNIDKIPLVNCPVLVIHGTEDEVVDFSHGKQLWELCKEKYEPLWLKGGSHCNLELYPEYLRHLRKFISAIEKMPPHLRNGPGQSTDQPDQPSNTADSNKETSRPSTDQRDKARASTGQREKSRLSTDSRDKGRASTERREKSRKSIDRSAKARNSTDQPEKARNSFDRLGDMVRSVGLCNVDCLKPTASEA >Manes.03G191200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31013817:31019232:-1 gene:Manes.03G191200.v8.1 transcript:Manes.03G191200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGREVTPRKAAAEREGRREREEVRVARVQEETGSQVRNGGEDRKEKTEVEVEEEGRVRPRGERRRSSRPNPRLSNPPKHVQGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPNIKFTEAQVKCYMHQLLSGLEHCHNLHVLHRDIKGSNLLIGNDGILRIADFGLASSFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPLSSLPLIETLLAIDPAERQTATAALKSEFFTTKPYACEPSSLPKYPPSKEMDAKLRDEEARRLRAAGKSNADGIKKSRPRDRAVKAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSHHIDPVFDPPDVPFSSTNFSYSKAPMQTWSGPLVDTASVVAPRRKKQKDKLSARV >Manes.04G059300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9766148:9787707:1 gene:Manes.04G059300.v8.1 transcript:Manes.04G059300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHKRKYHHHKIQRPPLGREFDEEGEAEEDINVDTHTDAPLRYVSLDRVYSSASLCVTASGSSNVMSKKVKARKLLVPDIDDPRLDRPPILHVYSRRPKRLRHSPPTPSFFESLILRAAELVPKLPVKTEFCGFEDSIDNNLKRKNKKSRIASSELIKLGVDSGMFEGVERPRLRDCRNHNVNSNSGTLRKKKRDSSQISNKVLSLPGSSKRWVRLSYDDVDPKRFIGLSCKVYWPLDADWYSGSVVGYNSETKRHHIEYADGDKEDLIISNEKMKFFISREEMDHLNLIFSVKNTDGDSYDYDEMVALAAVLDDCQDLEPGDIIWAKLTGHAMWPAIVVDESLIGDHKGLNKVSGGRSVFVQFFGTHDFARIKPKQIISFLKGLLSSFHLKCRKPHFTRSLEEAKMYLSEQKLPKRMLQLQNSMNAASCGSASSDDEGSTDSGEDCIKDEGIQRILRGLETSPYVIGDLQIISLGKIVKDSEYFQDDKFIWPEGYTALRKFTSITDPSICTIYKMEVLRDAESKIRPLFRVTLDGGEQIKESTPSACWEKIYRRIRKSEDRNCNGFIADGEVERFYESGSDMFGFSNPKVMKLIKGLSKSRLSSKMAFCKLASERYQDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCLPGTPDSPPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCFSDVKRMEPIDGLNRINKDRWKLLCSICGVAYGACIQCSNNNCRVAYHPLCARAAGLCVELEDEDRLHLLSVDDDDEDQCIRLLSFCKKHRQPSNERPVTDERISRVTRRCSDYVPPCNPSGCARTEPYNYFGRRGRKEPEAIAAASLKRLFVENQPYLVGGYCQHQSLGTKLPSNGVVGSRFSSNLQMIKASQLDAPKNILSMAEKYKYMWQTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPPIADRREHFIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIKRWEELTYDYRFFSIDEQLACYCGFPRCRGVVNDIEAEEQVAKLHAPRSELVDWRGE >Manes.06G089200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22515681:22518290:-1 gene:Manes.06G089200.v8.1 transcript:Manes.06G089200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPKIPMETAGNIIRRSIYIFLQNYQHFSTISALLAFPFSVSILLSQALIPSASLFPTVYNRLQNLFNSAGFPPSSEFFSILNLKLSQTISSSFFTLPFIFTFFLFSKASVIQALNHHKLPTSPPSFSSFVSIFNPLLLTYICNSILIISANATAFCLLFLSFNILEGFDFSSRNSFLLLSAVGVVVYSVLLGNALVIGNLSLVLSGTERSGGYLAILKACVMIRGRASTALALALPVNLSMAGIEALFQYRIVRVSHRGQTPTSLMALEGILIAYLYSIFVVLDTIATVIFFKSCKASSCTDQEGRYAYRVEIAEEDNAGYVSLKVSQELP >Manes.11G049055.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6186068:6190803:1 gene:Manes.11G049055.v8.1 transcript:Manes.11G049055.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRTPFRGVINDVRGRAACYKQDWIGGVRSGLGILAPTTYIFFASALPVIAFGEQLRRDTDGSLSTVETLASTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKGREDLGQKLFLAWAGWVCVWTALLLFLLAIYNGCTIINRFTRIAGELFGMLIAVLFIQQAIKGMVGEFKIPKAEDPKLEKYQFQWLYANGLLGIIFTFGLLYTALKSRKARSWWYGTGWFRSFIADYGVPLMVVVWTALSFGVPRKVPSGVPRRLFSPLPWESASLQHWTVIEDMRNVPPAYIFAALIPALMIAGLYFFDHSVASRLAQQKEFNLKNPSSYHYDILLLGFMTLLCGLLGLPPSNGVLPQSPMHTKSLAVLKRQFIRRKMVKSAKESIKQKASNSEIYGKMQAVFLEIDSSPAITVAKELDELKEAVMKAENSKGENLKDSFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVCAMPAIKQIPTSVLWGYFAYMAMDSLPGNQFWERLILLFITPGRRYKVLEGVHASFVESVPFKYIAIFTIFQLVYLVVCFGVTWIPIAGILFPIPFFILITIRQHILPKLFNPHHLLELDAAEYEEISGAPRLSFSLSFREKEGAGVGNEEGSKVEVCDAEMLDELTTSRGELKVRTVSFHEDNNRGQVYPEGIVELE >Manes.11G049055.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6186068:6190785:1 gene:Manes.11G049055.v8.1 transcript:Manes.11G049055.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRTPFRGVINDVRGRAACYKQDWIGGVRSGLGILAPTTYIFFASALPVIAFGEQLRRDTDGSLSTVETLASTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKGREDLGQKLFLAWAGWVCVWTALLLFLLAIYNGCTIINRFTRIAGELFGMLIAVLFIQQAIKGMVGEFKIPKAEDPKLEKYQFQWLYANGLLGIIFTFGLLYTALKSRKARSWWYGTGWFRSFIADYGVPLMVVVWTALSFGVPRKVPSGVPRRLFSPLPWESASLQHWTVIEDMRNVPPAYIFAALIPALMIAGLYFFDHSVASRLAQQKEFNLKNPSSYHYDILLLGFMTLLCGLLGLPPSNGVLPQSPMHTKSLAVLKRQFIRRKMVKSAKESIKQKASNSEIYGKMQAVFLEIDSSPAITVAKELDELKEAVMKAENSKGENLKDSFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVCAMPAIKQIPTSVLWGYFAYMAMDSLPGNQFWERLILLFITPGRRYKVLEGVHASFVESVPFKYIAIFTIFQLVYLVVCFGVTWIPIAGILFPIPFFILITIRQHILPKLFNPHHLLELDAAEYEEISGAPRLSFSLSFREKEGAGVGNEEGSKVEVCDAEMLDELTTSRGELKVRTVSFHEDNNRGQVYPEGIVELE >Manes.11G049055.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6186055:6190785:1 gene:Manes.11G049055.v8.1 transcript:Manes.11G049055.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRTPFRGVINDVRGRAACYKQDWIGGVRSGLGILAPTTYIFFASALPVIAFGEQLRRDTDGSLSTVETLASTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKGREDLGQKLFLAWAGWVCVWTALLLFLLAIYNGCTIINRFTRIAGELFGMLIAVLFIQQAIKGMVGEFKIPKAEDPKLEKYQFQWLYANGLLGIIFTFGLLYTALKSRKARSWWYGTGWFRSFIADYGVPLMVVVWTALSFGVPRKVPSGVPRRLFSPLPWESASLQHWTVIEDMRNVPPAYIFAALIPALMIAGLYFFDHSVASRLAQQKEFNLKNPSSYHYDILLLGFMTLLCGLLGLPPSNGVLPQSPMHTKSLAVLKRQFIRRKMVKSAKESIKQKASNSEIYGKMQAVFLEIDSSPAITVAKELDELKEAVMKAENSKGENLKDSFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVCAMPAIKQIPTSVLWGYFAYMAMDSLPGNQFWERLILLFITPGRRYKVLEGVHASFVESVPFKYIAIFTIFQLVYLVVCFGVTWIPIAGILFPIPFFILITIRQHILPKLFNPHHLLELDAAEYEEISGAPRLSFSLSFREKEGAGVGNEEGSKVEVCDAEMLDELTTSRGELKVRTVSFHEDNNRGQVYPEGIVELE >Manes.03G163400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29000779:29009152:-1 gene:Manes.03G163400.v8.1 transcript:Manes.03G163400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMRCWFNKLKSKDKLKSTKKKEATGNGKEGSKAPTGEEAPSNVTKQRVAAAKQYIENHYKKQMKSLQERKERRNILEKKLADSEVSEEEQNNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGRGAFGEVRVCREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAVESIHKHNYIHRDIKPDNLLLDRHGHMKLSDFGLCKPLDCSNLQEKDFSLGNNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSVEAKDLISKLLCNVDQRLGTKGADEIKAHPWFKGLEWDKLYQMKAAFIPEVNDELDTQNFEKFEEADSQIETSTRAGPWRKMLSSKDINFVGYTYKNFEIVNDNQLPGIAELKKKSTKPKRPSIKSLFEDDSAAANQPVQGSFLGLLPPKIEEDSELSGTSK >Manes.13G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9904554:9906225:-1 gene:Manes.13G069900.v8.1 transcript:Manes.13G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISSQENEGDPEMESIALSSPPIQELAANGLNNDCKGNKLQQQNKINVKLTNILVSIKEKFTAITKLIEDQWLKRYGLASDLDRTRAYQVWAGNNVFFFHGRLVCGPDPKGLLLTTVSIVLSCWIFAMYIGNEDGLPLHSSLILTLSLVLTIINVFGIQVLVNLFLVSATDPGIIPRDYQTPLEEMGASHGGSRRRKAMINGVEVKLKYCRVCKIYRPPRSCHCAICNNCVEKFDHHCPWIGHCIALRNYRFFLTFVISALSYFVYIFLLSFWRIQKRLSQIGTGLLGMLLNYPETLALLLFSFAAIWFLGGLAIFHAYLVAVNQTTCENTRQCYVRSRNPFDRGILCNIKEALFSELQPSRVDF >Manes.01G051515.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10117021:10119197:1 gene:Manes.01G051515.v8.1 transcript:Manes.01G051515.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKRTLLLLSFFFLFAIPCFSFPLSTNGKWIVDAKSGERVKLACANWPSHLECMLAEGLQERPLKRIVSQLVKLRFNCVRFTWATFMFTRYSNRTVAESFDSLNLTEAKAGIAERNPSLLSKTLVEAFETVIDELGAQGVMAILDCQVSKPTWCCGETDGNAFFGDTYFDVNEWLQGLTTVAELFKGKPQVVAISTRNELRGPLSNVDDWYKHILEGASAIHKANPDVLIFASGLSYANDLTFLREKPLGSNFDNKLVYEAHWYPWSWDDKKTWNVESLNEACYKKTQHFINQTGFTNTLDNPVPLFLGEFGLDQRGLSRADDHFFSCFLAYASDIDLDWGIWGLQGSYYFRQNKTDSEETFGVMDYRWNHVRNPRFQKRLQLIKTKLQDPTSKSTPSYIMFHPQSGSCVDPEDRKEIYAGTCKKPKRWLYSGDGNPIMLKGTQLCLEAVGDGESPILSKNCSSTQSSWKLLSETKLHVATSDKSGDYLCLQKESPYTSKIVTTKCRLTLENPDQCQTDSEKDPSSQWFKFVSSNVM >Manes.01G006000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2482823:2496701:1 gene:Manes.01G006000.v8.1 transcript:Manes.01G006000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPHTYRLLNQEILRVTTLLGNASVLGQSRLEQASPLASGGIFSKGVADANGWASQFQSEMSGLLQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGCGSIKDPAREEMKRGKPGYEHLSEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKARG >Manes.01G006000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2481553:2496701:1 gene:Manes.01G006000.v8.1 transcript:Manes.01G006000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGCGSIKDPAREEMKRGKPGYEHLSEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKARG >Manes.01G006000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2482195:2496701:1 gene:Manes.01G006000.v8.1 transcript:Manes.01G006000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSGGGGRYMAYSPSPSAPHSPHLSGLRSASSALVEEEKYLSELLAERHKLSPFMPVLPHTYRLLNQEILRVTTLLGNASVLGQSRLEQASPLASGGIFSKGVADANGWASQFQSEMSGLLQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGCGSIKDPAREEMKRGKPGYEHLSEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKARG >Manes.01G006000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2482195:2496701:1 gene:Manes.01G006000.v8.1 transcript:Manes.01G006000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGCGSIKDPAREEMKRGKPGYEHLSEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKARG >Manes.01G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2481553:2496701:1 gene:Manes.01G006000.v8.1 transcript:Manes.01G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLPHTYRLLNQEILRVTTLLGNASVLGQSRLEQASPLASGGIFSKGVADANGWASQFQSEMSGLLQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGCGSIKDPAREEMKRGKPGYEHLSEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKARG >Manes.01G006000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2482823:2496701:1 gene:Manes.01G006000.v8.1 transcript:Manes.01G006000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGCGSIKDPAREEMKRGKPGYEHLSEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKARG >Manes.12G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31953432:31957185:1 gene:Manes.12G118400.v8.1 transcript:Manes.12G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQVSSTRRLVDTGNFPFSGALHSKSRSSPLLSVGLILLGAFLLIAYAYSGHGIFGGNKEALSKVEGDFSCTLEVQRAIPILKKAYGDSMHKVLHVGPDTCSVVSELLKEEETEAWGVEPYDIEDADAKCKSAIHKGIVRVADIKFPLPYRSKSFSMVIVSDALDYLSPKYLNRTLPELARVASDGLVIFAGYPGQQRAKVAELSKFGRPAKMRSSTWWIRFFVQTSLEENEAASKKFEQAATKRSYKPACQVFHLNPYH >Manes.01G055700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23788227:23792160:1 gene:Manes.01G055700.v8.1 transcript:Manes.01G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAQFVSMSSHLSNHPSEPKANSGLMGLRSQSTTHHGLRYLNKIDKLQMRTQSKVQKKVSATENVRPSGKIICGHGMNLVFVGAEVGPWSKTGGLGDVLGGLPPALAARGHRVMTVSPRYDQYKDAWDTSVVVEIRVGERNETVRFFHCYKRGVDRVFVDHPLFLEKVWGKTGSKIYGPKAGLDYKDNQLRFSLLCLAALEAPRVLNLNSCKNFSGPYGEDVVFIANDWHTALLPCYLKTFYQPSGIYRTAKVAFCIHNIAYQGRFSFSEFSQLNLPDKFRSSFDFIDGYNKPVKGRKINWMKAGILESDKVLTVSPYYAQEVIDGIERGVELDNIIRKTGITGIINGMDVQEWNPATDKYISINYDATTVMKAKPLLKEALQAEVGLPVGADIPLIGFIGRLEEQKGSDILVAAISQLVEHDVQIVILGTGKSSFETQIEQLEVQYPDKARGLAKFNVTLAHMIIAGSDFMLIPSRFEPCGLIQLHAMRYGTIPIVASTGGLVDTVKEGYTGFHIGAFNVDCETIDPADVAAVVKTVGRAIATYTTSSLREMILNCMAQDFSWKGPARLWEKLLLSLEVADSEAGIEGEEITPLAMENVPAP >Manes.01G055700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23788227:23792160:1 gene:Manes.01G055700.v8.1 transcript:Manes.01G055700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAAQFVSMSSHLSNHPSEPKANSGLMGLRSQSTTHHGLRYLNKIDKLQMRTQSKVQKKVSATENVRPSGKIICGHGMNLVFVGAEVGPWSKTGGLGDVLGGLPPALAARGHRVMTVSPRYDQYKDAWDTSVVVEIRVGERNETVRFFHCYKRGVDRVFVDHPLFLEKVWGKTGSKIYGPKAGLDYKDNQLRFSLLCLAALEAPRVLNLNSCKNFSGPYGEDVVFIANDWHTALLPCYLKTFYQPSGIYRTAKVAFCIHNIAYQGRFSFSEFSQLNLPDKFRSSFDFIDGYNKPVKGRKINWMKAGILESDKVLTVSPYYAQEVIDGIERGVELDNIIRKTGITGIINGMDVQEWNPATDKYISINYDATTVMKAKPLLKEALQAEVGLPVGADIPLIGFIGRLEEQKGSDILVAAISQLVEHDVQIVILGTGKSSFETQIEQLEVQYPDKARGLAKFNVTLAHMIIAGSDFMLIPSRFEPCGLIQLHAMRYGTVMALKLSASF >Manes.03G155450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28312494:28314573:-1 gene:Manes.03G155450.v8.1 transcript:Manes.03G155450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSTCLNMPAMSQSSGHEDYFLSRRCIWVNGPVIVGAGPSGLAVAAGLKPQGVPFIILERAKCIASLWQNHTYDRLKLHLPKQFCQLPNFPFPEDFPEYPSKYQFVDYLESYAKHFDITPHFNEIVESAKYDEIFGFWRVKTISTSSCVPTEVEYICRWLVVATGENAEKVVPEFEGLQQFGGNVMHACDYKSGENYRGKRVLVVGCGNSGMEISLDLCNHNASPSMVVRSSVHVLPREVFGKSTFDLTTDMMKWLPLWLVDKMLLVIAWLILGNLEKYGLKRPCIGPLQLKNSRGKTPVLDIGALDKIRSGKIKVVPGIKRFSNGGVELVNGEKLEIDSVILATGYRSNVPSWLRDNELFSEDGISKKPFPNGWKGKAGLYAVGFTRRGLSGASVDAINVAADIGKS >Manes.13G039100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4752151:4756647:-1 gene:Manes.13G039100.v8.1 transcript:Manes.13G039100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISRRLGHRFISPAACTASLKSTYPLYYGADHPRYGSSLAPKGVGHLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHVMAEQLATIAKEHGGIMRFIQVSCLGASPSSPSRMLRAKAAAEEAILREIPEATVMKPAVMIGTEDRILNRWAHFAKKYSFIPLIGDGSTKIQPVYVVDVAAAIVAALKDDGTSMGKAYELGGPEIFTVHELAELMFDTIREWPRYVKVPIPIAKLLATPREVLLDKVPFPLPTPNMFNLDEIDALTMDTVVSDNAFTFNDLGIMPHKLKGYPVEFLISYRKGGPQFGSTVSERVSPDSFP >Manes.12G088214.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:16599276:16600073:-1 gene:Manes.12G088214.v8.1 transcript:Manes.12G088214.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPHPHPRLFPTPNSGLLMSDGVMDNSVFHQRDTYDLNSKIMLTAIVSLSFVVVLVVILHLYARCILRRQARQRAMIRSLGFTTTDAHNHPGEPPKIGLDPAVIASLPIFVYKQTAIGENDDSSSVECAVCLSVLEDQEKARLLPNCNHTFHAECIDKWLSSHSTCPICRSEAEPRIQPVAREGPVGGAAAAPPLEDVNSTLTVCVEGTSDGVNQVSSNKAAAGGSISRLSSFRRILSRERSSRRLQSEAQEEGFQDLERQ >Manes.17G069032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26906505:26909728:-1 gene:Manes.17G069032.v8.1 transcript:Manes.17G069032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGKDTGVAGNPQSRYYYGTFQGVANYHPPPQPPPHPVVGFPQPVAPNVYDVNPQYYSHGYNNYATGYAVVEGRALREHRLPCCGLGMGWFLFIIGFFLGGIPWYVGTFVLLCVHVDYREKPGYVACAIAAILAMIAVTLGVTKGSHAW >Manes.01G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31496348:31497946:1 gene:Manes.01G118400.v8.1 transcript:Manes.01G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPLVSRQNLPTFLCCLKPSLQTKEKTLSPPKILQTSISRRIGSIAAVASILLAREAILKPEIAFGADWKILASEQTVEEAESEIRIHAQSLLDIKALLESESWREAQKAMRNSSSNLKHDLYTIIQSRPGSERPYLRKLYSDLFNNVSRLDYAARDRDGSLVWKCYGNIVVALDDILGRI >Manes.02G221200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:21726664:21728973:-1 gene:Manes.02G221200.v8.1 transcript:Manes.02G221200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDGKRTEVGLLVNNAKSNLLVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDVRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNNEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHVWNDVLLQLNNSKLEDISGMKTKVFSAIELSYNCLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKRVEYGHEGRDRIYKLIDMLKGSNLLLEGDDEWNESVKMHDLVRDVAISLASRNKQWHTLQNQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWNDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLCNDRLNEMHTIGDLVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVVNLRYIPPGVLLRLSKLEELYLPQI >Manes.04G023400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2748155:2749644:1 gene:Manes.04G023400.v8.1 transcript:Manes.04G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSIFSFSLLLFFLHCTNTLSQSPASAPVAPPAQPPSHHPSHRPHSSPPPAPTDVMQILLKAGHFITFVRLIKATHVDFQLTSQLNSSTDGITIFAPTDTAFTKLKAGALDSLNDREKLSFVQFHILPRSLSTSDFQTLSNPIKTLAGSDDRFPMNISTTDSSVTISTGLTKTSIVNTVYTDKQVAIYEIDKVLLPKRLFPPAAAPAPAKPAESPEVNTKDASDAMSSVFHYNGVVVLGAGLASATLFF >Manes.06G075166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21080964:21088370:-1 gene:Manes.06G075166.v8.1 transcript:Manes.06G075166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMVKDASQGISFVCNNIAQYGGDPNRIYLMGQSAGAHIAACALVEQAIKEASEGESVTWRASQIMTYFGLSGGYNLLNLVDYFHSRGLYRSIFLGIMEGEESLERFSPEVIVQDPNLKNAVSLLPPIVLFHGTADYSIPCDASKNFAETLQRVGVRAESILYEGKTHTDVFLQDPMRGGNDQMFEDLVAIVHSGDPEAQAKDAVAPPRRRLVPELMLQVARRVNPF >Manes.11G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30626560:30628704:1 gene:Manes.11G140800.v8.1 transcript:Manes.11G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSEAANSNTNSTSPSSSCSPPPPPTVVISPCAACKILRRRCAEKCVLAPYFPPTEPAKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICHLQKQVNELQAQLAKAQAELVNMQCQQANLVAFLCMEMAQSPQQSSEQSVDNFISTPQSYQSNPCFMEDNNNFGSLWEPLWT >Manes.06G176900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031950:30038355:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFQRVPRCVYKSTRLFEYRGWGSKPANPNHRHFTWGLRSSERHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.06G176900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031985:30039111:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFQRVPRCVYKSTRLFEYRGWGSKPANPNHRHFTWGLRSSERHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.06G176900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031988:30038362:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFQRVPRCVYKSTRLFEYRGWGSKPANPNHRHFTWGLRSSERHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.06G176900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031988:30038362:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFQRVPRCVYKSTRLFEYRGWGSKPANPNHRHFTWGLRSSERHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.06G176900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031986:30038281:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGRHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.06G176900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031950:30038355:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFQRVPRCVYKSTRLFEYRGWGSKPANPNHRHFTWGLRSSERHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.06G176900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031988:30039111:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFQRVPRCVYKSTRLFEYRGWGSKPANPNHRHFTWGLRSSERHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.06G176900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031986:30036982:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGRHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.06G176900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30031986:30038280:-1 gene:Manes.06G176900.v8.1 transcript:Manes.06G176900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLSNGLFVSGRPEQPKERTPTMTSTAMPYTGGDIKKSGELGKMFDIPMDGSKSRKSGPIPNAPSRSGSFAGASSHSGSLMTNPAPRAGYNVSGPLSSGGMPGSASLKKSSSGPLNKHGDPVKKSSGPQSGGVMRQNSGPIPPVLPATGLITSGPLNSSGVPRKVSGPLESMGSGKISSFSSAHNLAVTTLSQDDDYSFRRNFPKTVLWLVILIFVMGFLAGGFILGAVHNAILLIVVVVIFGAVAALVVWNVCWGRKAIIDFITHYPDADLRTAKNGQYVKVTGRHVSDFYISDFQSGLRALVKTGSGTRVTPFVDDSYVIEINPEKKDLSPEFARWLGHKKLSGDDRIMQLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWSKCTFPASLDGIVLRCEDTSNFDVIPV >Manes.16G028900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3306778:3308418:1 gene:Manes.16G028900.v8.1 transcript:Manes.16G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPNHQENHNPQSLLPSPDSHSSGSSTSGSSNGNGNGNGNGSSTTTTNNGFHTNQLPHPSPKPITRSESANPYPTTFVQADTSSFKQVVQMLTGSPKPTNTAAVAAVSQPDLLPKSHSHNIPPIKSIPKKNQSPGFKLYERRNSLKHLKINPLNPIFAPPSSVFSPRKPEILSPSILDFPALVLSPVTPLIPDPFDRSGAANYSNCYNPMNNPNRNHNNDSVNGNLLDADAEEKAIKEKGFYFHPSPATTPREAEPRLLHLFPVTSPSVSGSSNPSS >Manes.01G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6468994:6473912:-1 gene:Manes.01G033100.v8.1 transcript:Manes.01G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQVSAIVIITILGILSSTSQSVRFDLQSGHTKCISEDIRSNSMTVGKYSIVNPHDGQPLPESHKLTARVTSSYGNSYHYADHVESGQFAFTAAEEGDYMACFWAADHKPQVTLTVDFDWKSGVAAKDWTNVAKKGSIDVMELELKKLHDTVVSIQEEMYFLREREEQMQELNRSTNSRMGWFSFLSLVLCLSVAGLQVFHLKTFFEKKKLI >Manes.01G033100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6468993:6473912:-1 gene:Manes.01G033100.v8.1 transcript:Manes.01G033100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQVSAIVIITILGILSSTSQSVRFDLQSGHTKCISEDIRSNSMTVGKYSIVNPHDGQPLPESHKLTARVTSSYGNSYHYADHVESGQFAFTAAEEGDYMACFWAADHKPQVTLTVDFDWKSGVAAKDWTNVAKKGSIDVMELELKKLHDTVVSIQEEMYFLRER >Manes.16G001300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:353623:354028:1 gene:Manes.16G001300.v8.1 transcript:Manes.16G001300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED11 MYLFGMKKIVRVLELAGGVMDELASPTGPRKEFINNHCSEFMHMIKGIQSTLRDEIKSACEYRPFEKCDYSCRISNEICSKKLEHVLAQLDAMKQAIDQYHDAI >Manes.16G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:353507:354028:1 gene:Manes.16G001300.v8.1 transcript:Manes.16G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED11 MDPSQTQTSSLQRLQDVEKKIVRVLELAGGVMDELASPTGPRKEFINNHCSEFMHMIKGIQSTLRDEIKSACEYRPFEKCDYSCRISNEICSKKLEHVLAQLDAMKQAIDQYHDAI >Manes.17G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30680272:30681539:-1 gene:Manes.17G100000.v8.1 transcript:Manes.17G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQTQAEMGIHFPSWRIILYLLLVLLLVCLKEAAGDLQESSKHSHLLMRSSRNIDHQMHHMDPSVHVFFTVDDLKAGKKFPIYFPYKDHSASPHLLSREEANSIPFSSSQLPHLLELFSVPKHSPQAKAMEYTLRLCDFEPTKEETKICATSLESVLDFVRAVFGLDTQFKVLTTNHLTNPVTQLQNYTVLEEPKVIVADKIVGCHVMPYPYAVYYCHKAEGGDRLFEMLLGGEDGERVEAPGICHMDTSHWDRDHVSFRVLKMEPGTSPVCHFFPASNLVWVPLSA >Manes.06G159400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28482565:28494695:-1 gene:Manes.06G159400.v8.1 transcript:Manes.06G159400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSNSLLKPRAHSSALSTLSKPSISPKLNAIAPVSCRNNRSARCSATKKSTVVDKKLFGTKLRAPGLERLHLWQSDGPGRSPKLRVVVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAELSGDSSRKTVADALEMLIRMAHRGACGCETNTGDGAGILVALPHDFYKEVAKDIGFDLPPPGGYAVGMFFLPTSDKRREESKNVFTKVAESLGHTVLGWRRVPTDNSGLGNAALQTEPVVEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNMQHGGVKDFYICSLSSRTIVYKGQLKPIQLKDYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAIMMMIPEAWQNDKNMDPHRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTRSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHIVVDDEALKHQYSLARPYGEWLKRQKIELNDIVGSVLETDKAIPCIAGVVPTSNDDEGMENMGVHGLLAPLKAFGYTVEALEMLLLPMAKDGSEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSTECMIGPEGDLTETTEEQCHRLSLKSPLLSIEEMEAIKKMNYRGWRSKVLDITYSKDCGRKGLEETLDRICAEAHDAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRIGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKSTGEFHSKDELVKRYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLASDALHLHELAFPTRVYPPGSAEAVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARANSVAAYKEYSRRIQELNKACNLRGLLKFKESDVKVPLDEVEPASEIVKRFCTGAMSYGSISLEAHTSLAIAMNKIGGKSNTGEGGEQPSRMEPLPNGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANNLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSELGFRTVNEMVGRTDMLEVDKEVTKNNEKLENIDLSLLLRPAADLRPEADLRPEAAQYCVQKQDHGLDMALDNKLITLSKAALEKNLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPADTIHVKLNGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPKGSLFDPKDNIVIGNVALYGATSGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGVAYVLDVDGNFHSRCNSELVDLDGVEEEEDIMTLRMMIQQHQRHTNSQLSREVLADFENLLPKFIKVFPRDYKRVLAKMKQEAALTELAVKEAEEKDEAELMEKDAFEELKKLAAASLNEKSSLKEVAETVKRPTLVNDAVKHRGFIAYEREGVRYRDPNVRMNDWNEVMEESEPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREAFDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFDEGWMVPRPPVKRTGKGVAIVGSGPAGLAAADQLNRMGHLVTVYERADRIGGLMMYGVPNMKTDKVDVVQRRVNLMAEEGINFVVNANVGIDPLYSLDKLREENDAIVLAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKRVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPEPPRTRAPGNPWPQWPRIFRVDYGHQEAATKFGKDPRSYEVLTKRFIGDEHGNVKGLEVIRVHWEKDASGKFQFKEVEGSEETIEADLVLLAMGFLGPESNVAEKLGMERDNRSNFKAEYGRFSTSVEGVFAAGDCRRGQSLVVWAISEGRQAASQVDKYLMREEEEDVTVSTDSQDDLVKRRRDLTKRQQDSKHTVVT >Manes.07G036901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4148819:4149442:-1 gene:Manes.07G036901.v8.1 transcript:Manes.07G036901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFNCFILAFFIFLSFLSMDVSIAARNLLQFPPLPSVPNLPKLAMPPIPAIPTLPQPSIPTLPTTQLSLPNPTLPPLPSLPTMPAAPKVTLPPMPSIPSIPSIPTTIPSIPFLSPPPSGN >Manes.13G019700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2903592:2904289:1 gene:Manes.13G019700.v8.1 transcript:Manes.13G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIIVALLLVALVGVVSAAEPATAATTAAAPAANDTAGAADAAGAPGAAAGEAASGPAGSTAATSSTTSPTAEAPASGATALHVSAIAGVAAVGAYFI >Manes.10G068101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10290089:10291321:-1 gene:Manes.10G068101.v8.1 transcript:Manes.10G068101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHQKVPRNLHKFVSDDDVPGKLSIFKCTGRTIGKEKSRYMTEDEIRAAQTYIFLNCPEVKTYIDIYVERVKSTQPNITDAAVDEKLEREFGQWFYKYAHEVQNNVDNQFIQNLSNGPLRSVTTFDGYCVNGCKFNTINGNSSTNSMNFGVCIKGSNYSYEESDYYGQMVEVLRLEYPGLPIKRTILFKCDWFDPTPNMGTKVHRQYRIVDVNNKRRYTKYEPFVLASQAIQVVYASYSSKRRDKNDWWAVMKVKGKPVVEVSETSSMTYEPFQEDEIDYAEVNLDDVTEQHCLNDPSGGMTEIHDDVSTDEDEFLSDPDSDADVEGDNELDSYVSE >Manes.15G131350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10505155:10506222:-1 gene:Manes.15G131350.v8.1 transcript:Manes.15G131350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLEIERARMLREREHKERELKESEEVSNEESSTTARGTLFPEKETWATKMEVFMMAQAVWDAVESPGPIDSRRDKMALAAIYQGIGEDTLLQLGAKKTAKEAWRKLTIIVNKLRSLGNTVEEVKVVKKLLRSVSPKFLQIAATIEEFSDLTTKSVEEVIGSLKAHEERLLSCGGRSDETVLLTRAEWKAREEAKKNKTADTRGGRGRGRGGRCRGRGRHCGESSRGGVDESKSQKKKFDKSKIKYYNCGKMGHFASECSSKEREEQANLTERDDGEEFTLKNPL >Manes.08G008900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1132386:1139889:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQRVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRYIEEFSVPKSRHAMERQKNLTADSRVISLTIRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVDQKLKHAMRPLPKSVICRILELATVHPYPRFTPGGRYIRRKN >Manes.08G008900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1132351:1139749:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQRVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRVISLTIRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVDQKLKHAMRPLPKSVICRILELATVHPYPRFTPGGRYIRRKN >Manes.08G008900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1132296:1139910:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQRVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVDQKLKHAMRPLPKSVICRILELATVHPYPRFTPGGRYIRRKN >Manes.08G008900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1132386:1139599:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKSCIQCCLFGNDQEENLNKKFATMKCQRVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRYIEEFSVPKSRHAMERQKNLTADSRVISLTIRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVDQKLKHAMRPLPKSVICRILELATVHPYPRFTPGGRYIRRKN >Manes.08G008900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1132126:1139915:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLIKSIWIPSIKTLHYATLEYFSFICSSCRFLAVLSFSVSNIRSNFSHTRAHSHSLFLSEVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVDQKLKHAMRPLPKSVICRILELATVHPYPRFTPGGRYIRRKN >Manes.08G008900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1132379:1139763:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQRVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVSLLITLLL >Manes.08G008900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1132350:1139772:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQRVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRYIEEFSVPKSRHAMERQKNLTADSRVISLTIRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVDQKLKHAMRPLPKSVICRILELATVHPYPRFTPGGRYIRRKN >Manes.08G008900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1132386:1137919:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQRVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRYIEEFSVPKSRHAMERQKNLTADSRVISLTIRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVDQKLKHAMRPLPKSVICRILELATVHPYPRFTPGGRYIRRKN >Manes.08G008900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1131659:1139910:-1 gene:Manes.08G008900.v8.1 transcript:Manes.08G008900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCQRVKVDESFVPSVEGKAVEVQPLLAESKPDHTSIDGDAWKHLDIEDFSYGFEYGLKRNYGGLDCNTTQGQDELEFGVLDGLLDEVDEVDDIHAANDISRACEEFLLDVELAEKISDLDCAPLGRLHFGDSSSESQSPGFSGSSNGVHGLSESSIAVVTDSECKIGVENKTVKCELNDSSGDNWDYQAPENVFTTTHDLENLDEVDDAKPESGMSSDDNAKKPVQASNLRQKRFRKPTLRSHDEHLGGSVTLTKEESLSEIITQETLDDDEKLSDLKSKCINRRQKFPSPASKDKCLKTESHNELNLVRGFKSVPREGSFTGTSTQASFESCPQRGRPKKRPYVPVPESDNEDAASESEGDNAKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASSVQKQKLKQNKKEVDQKLKHAMRPLPKSVICRILELATVHPYPRFTPGGRYIRRKN >Manes.07G058500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8771712:8775641:-1 gene:Manes.07G058500.v8.1 transcript:Manes.07G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQLLLTLLLSLLLILPTTPQNNFACDQRSPETSQYPFCNTTLSYEDRAKDLVSRLTLQEKVQQLVNHAAGVSRLGIPAYEWWSEALHGVSDVGFGVRFNATVPGATSFPAVILSAASFNETLWLKMGQVVSTEARAMHNVGLAGLTFWSPNVNVFRDPRWGRGQETPGEDPLVVSKYAVNYVRGLQEVGEEENCTRGDKLKVSSCCKHYTAYDLDKWKDVDRFHFDAKVTKQDLEDTYQPPFRSCVEDAHVSSVMCSYNKVNGIPTCADPDLLKGIIRDQWNLDGYIVSDCDSIEVYHDRMNYTATPEDAVALALKAGLNMNCGEFLRKYTENAVKLNKVEESVVDQALLYDFIVLMRLGFFDGDPKSLLFGNLGPSDVCSNEHQLLALDAARQGIVLLDNNGALPLSKNTTKSLAVIGPNANVTATMISNYAGIPCQYTTPLQGLQNYVPTATFEAGCSVSCTDDTQFEAAAKVGSTADAVVVVVGLDQSIEREELDRENLILPGYQEKLVLDVANAANGTVILVVMSGSPVDVSFAKNNSKIGGILWVGYPGQAGGDAIAQVIFGDYNPAGRSPFTWYPQAYVDQVPMTNMNMRANASGDYPGRTYRFYTGETIYKFGHGLSYSTFSKFIVSAPSTLPIKPNSHLKANTILSVDDSIEKYPFANNQAIDASSLNCTSLQLVLVIGVRNNGPRNGDHVVLVFWKPPNTAEVSVAPSLELVGFSRVEVNRGKTKNTTVVIDVCKRLALVDSEGKRKLVTGQHTFIIGSSSDRQVRHHVDIRLAQYELGESRVSM >Manes.14G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2176744:2180328:-1 gene:Manes.14G022300.v8.1 transcript:Manes.14G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKANKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVNGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDGPKKEEEGDVEEVDEEKETKSKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISREMLQQNKILKVIRKNIVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMEEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKNKREEKKKSFENLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEVDKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEDEIAGDDAEMPALEEDGVEESKMEEVD >Manes.11G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30899294:30902748:1 gene:Manes.11G144400.v8.1 transcript:Manes.11G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSASNSRRYHHHRPSPVPQPPVTASQYAFAAPSQYPNPNLPYQYHQYPPGYYPSQPVPFGPVPHNFHRYPCGVAPPMVSPPVEHQKAVTIRNDVNIRKDSIRVEEDDENPGKFLIAFTFDATAPGSITIGFFAKEGVNCNLIATKENVLQPVKVFFEQGLDQKFRQPSGTGIDFSMFEDKELKEESPEGVYPLMVKAEVCPLNNDELEANSTGNAQITLAVFDKKMNDKYLARVMKQILWVNSTRYELQEIYGIGNSVEVESDENDSGKECVICLSEPRDTTVLPCRHMCMCSTCAKVLRFQTDRCPICRQPVERLLEIKVKDGGDD >Manes.01G189300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36538632:36543222:-1 gene:Manes.01G189300.v8.1 transcript:Manes.01G189300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKMVQLNSLSPQLGTQNPKLCTCQSLPFHQSFRPTAFNPKIYTLSLTKTSAAAVSKLTVKCRQSEFYEQQRFNSSRSPSTDTSFAPRSPSASTGVGELPPRVFVGHSIYKGKAALTVEPRAPEFAALDSGAFKLAREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGARDSCEFFHDPNKGKSEEGKIRKVLKVEPLPDGSGHFFNLSVQNKLLNLDESIYIPITRAEFTVLISAFNYILPYLLGWHAFTNSIKPDDPNRVNNANPRYGGDYEWSR >Manes.07G106100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31232276:31239180:-1 gene:Manes.07G106100.v8.1 transcript:Manes.07G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSSNIGNNSNGSLPSTDKQVYCDIPLENGLNNQGGDKADKSFQSPKGLKSRKQVHDNLTSSCTSSYLRRSRSMSSAAFLLDGLEQKDFPYMDDHSRSPSSSISSAAHQQCDHQSRRRALTPEGQAKAKRFESTSIQNAYGKERPDSSGSTKSHHDSSGNSTSSNTSSKVLDRYIDGEHQRERSKPKNSSLQRNIVGNGNAGGKLPPRFQYTAPTSPTDGVKDKHRSKSFRDAKGTHLHFSSRDWVENGFGHESPQRLAKDVIERLSQTHSFHKSNFKEVNHDIPITIEDIYSRSMNKCIDSSIDVPSQKSYSPPEEPYETINSYSGEDFLGSQNQNCLLGNNYGIMNSVRTEDAVDVELQRRSKEAEQRVLLLSEELDQECFLLYGGFDIPSLIQNIRNLTEDRLSLAIEVSNILNSRISERDASKEEHRLAKAELESQTRRLEKEKGELQSALEKELDRRSSEWSLKLEKYQLEEHRLRERVRELAEQNVSLQKEVSSFTEREAESRSVITYSEQQLRHLTSRLEEASKENHDLRENFSELQDKYKVAEEDLNCIKRNFEEKDKECKELQKSTARLLRTCKEQEKTVEGLREAYSVEIEKQQSLEEYDKRVMKLQREQMRLTGVELALRRDAESHRIEIDSLRHENIGLLNRLKCNGEEIGALTHKLDKEMWTRICCLQNQGLSTLKESTQLCSSLLEFIKGKAGQIPESKQDIELIKNGLDAQFVVEADVRVQGFKRGAENLRRSLETISSVLQEKSSSFASKFELPCTNADGSVKLIHQTSEETLKVELKAESLLTNLLREKLYTKEMEVEQLQAELAAAVRGTEILKCEVQNAMDNLSCVSHELKNFELQMQKKDDNISRLQNDLQESMKELTIIRGILPKVSEERNMMWEEVKQYNEKNMLLNSEISILKKKIEALDEDILLKEGQITILKDSIGTKPFDLLASPDYAQEFLLK >Manes.07G106100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31232339:31239035:-1 gene:Manes.07G106100.v8.1 transcript:Manes.07G106100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSSNIGNNSNGSLPSTDKQVYCDIPLENGLNNQGGDKADKSFQSPKGLKSRKQVHDNLTSSCTSSYLRRSRSMSSAAFLLDGLEQKDFPYMDDHSRSPSSSISSAAHQQCDHQSRRRALTPEGQAKAKRFESTSIQNAYGKERPDSSGSTKSHHDSSGNSTSSNTSSKVLDRYIDGEHQRERSKPKNSSLQRNIVGNGNAGGKLPPRFQYTAPTSPTDGVKDKHRSKSFRDAKGTHLHFSSRDWVENGFGHESPQRLAKDVIERLSQTHSFHKSNFKEVNHDIPITIEDIYSRSMNKCIDSSIDVPSQKSYSPPEEPYETINSYSGEDFLGSQNQNCLLGNNYGIMNSVRTEDAVDVELQRRSKEAEQRVLLLSEELDQECFLLYGGFDIPSLIQNIRNLTEDRLSLAIEVSNILNSRISERDASKEEHRLAKAELESQTRRLEKEKGELQSALEKELDRRSSEWSLKLEKYQLEEHRLRERVRELAEQNVSLQKEVSSFTEREAESRSVITYSEQQLRHLTSRLEEASKENHDLRENFSELQDKYKVAEEDLNCIKRNFEEKDKECKELQKSTARLLRTCKEQEKTVEGLREAYSVEIEKQQSLEEYDKRVMKLQREQMRLTGVELALRRDAESHRIEIDSLRHENIGLLNRLKCNGEEIGALTHKLDKEMWTRICCLQNQGLSTLKESTQLCSSLLEFIKGKAGQIPESKQDIELIKNGLDAQFVVEADVRVQGFKRGAENLRRSLETISSVLQEKSSSFASKFELPCTNADGSVKLIHQTSEETLKVELKAESLLTNLLREKLYTKEMEVEQLQAELAAAVRGTEILKCEVQNAMDNLSCVSHELKNFELQMQKKDDNISRLQNDLQESMKELTIIRGILPKVSEERNMMWEEVKQYNEKNMLLNSEISILKKKIEALDEDILLKEGQITILKDSIGTKPFDLLASPDYAQEFLLK >Manes.06G001350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:441361:442678:-1 gene:Manes.06G001350.v8.1 transcript:Manes.06G001350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLNNEYDPIRVQVLGRNHFPSLEEAHAHVQQEESRRHAMLHTAPVEKAGLTTSLSTPQPPTSEKDHLHCDYCGKPRHTKETCWKLHGRPIRGR >Manes.10G086700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22252115:22252756:-1 gene:Manes.10G086700.v8.1 transcript:Manes.10G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSSLGRQRIAMEKISKKTHLQVTFSKRRAGLFKKASELCILCGVEIAIIVFSPANKIFSFSYPEVESILERFLVGNHPPTSDLAHQLIEAHRNAKVCELSAQLTHILDLLETEKKQGEELSQMRKASQSQCWWEAPIHELELPELLMLGDAMEDLKKNVIRQANKILIEHKNSSPFLAANCIRHVPDYGSKTNEFNVVPFTIPYVNNFGY >Manes.15G071900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5413615:5419677:1 gene:Manes.15G071900.v8.1 transcript:Manes.15G071900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVVRRVIPSDNSCLFNAVGYVMDRDRNKAPELRQVIAATVASDPEKYNEAFLGKPNAEYCAWILDSEKWGGAIELSILADYYGREIAAYDIQTARCDLYGQDSKYPERVMLIYDGLHYDALAVSPSEGAPEEFDQTIFVVQKDRTIGPAEGLALNLVKEQQRKRSYTDTANFTLRCGVCQIGVVGQKEAVEHAQATGHVNFQEYR >Manes.15G071900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5413693:5419404:1 gene:Manes.15G071900.v8.1 transcript:Manes.15G071900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVVRRVIPSDNSCLFNAVGYVMDRDRNKAPELRQVIAATVASDPEKYNEAFLGKPNAEYCAWILDSEKWGGAIELSILADYYGREIAAYDIQTARCDLYGQDSKYPERVMLIYDGLHYDALAVSPSEGAPEEFDQTIFVVQKDRTIGPAEGLALNLVKEQQRKRSYTDTANFTLRCGVCQIGVVGQKEAVEHAQATGHVNFQEYR >Manes.15G071900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5413615:5419677:1 gene:Manes.15G071900.v8.1 transcript:Manes.15G071900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVVRRVIPSDNSCLFNAVGYVMDRDRNKAPELRQVIAATVASDPEKYNEAFLGKPNAEYCAWILDSEKWGGAIELSILADYYGREIAAYDIQTARCDLYGQDSKYPERVMLIYDGLHYDALAVSPSEGAPEEFDQTIFVVQKDRTIGPAEGLALNLVKEQQRKRSYTDTANFTLRCGVCQIGVVGQKEAVEHAQATGHVNFQEYR >Manes.15G071900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5413615:5419677:1 gene:Manes.15G071900.v8.1 transcript:Manes.15G071900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVVRRVIPSDNSCLFNAVGYVMDRDRNKAPELRQVIAATVASDPEKYNEAFLGKPNAEYCAWILDSEKWGGAIELSILADYYGREIAAYDIQTARCDLYGQDSKYPERVMLIYDGLHYDALAVSPSEGAPEEFDQTIFVVQKDRTIGPAEGLALNLVKEQQRKRSYTDTANFTLRCGVCQIGVVGQKEAVEHAQATGHVNFQEYR >Manes.12G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21735045:21737046:-1 gene:Manes.12G097400.v8.1 transcript:Manes.12G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVDCKQCGKYSWSGCGKHLATIYGSIDKGKHCMCRPWPGVIIPTEKKPTTQPPPKTSTSTTTTDVEKSSKIDVGLC >Manes.09G018700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3954149:3957821:1 gene:Manes.09G018700.v8.1 transcript:Manes.09G018700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRSKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPDVVTFNTLINGLCIESKIDKAVEFFDDMVARGYQPDVCTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDALCKDGMVAEAQNTFNVMIQRGVEPNVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYSILINGYWYVSSREAPNCTGAL >Manes.09G018700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3954180:3957821:1 gene:Manes.09G018700.v8.1 transcript:Manes.09G018700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRSKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPDVVTFNTLINGLCIESKIDKAVEFFDDMVARGYQPDVCTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDALCKDGMVAEAQNTFNVMIQRGVEPNVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYSILINGYWYVSSREAPNCTGAL >Manes.05G111650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10889931:10890371:-1 gene:Manes.05G111650.v8.1 transcript:Manes.05G111650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDRDLFKELDTTVTSKVKIGNKEYTVVNGKGTVAVESFSGTKLIQEVLFVHNISQNLLSVGQLLENGFKVIFEGNRCLIKDSKDEDVFRVKMRGKSFALDSLEEEQTTFFATKVNKEICYKRLGHFHHKSYVEYVEERVSSKLA >Manes.12G156700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36483377:36488136:-1 gene:Manes.12G156700.v8.1 transcript:Manes.12G156700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHMRNIVKTRMGLLSVINHSASFSSPTHIGKFLATNPSVQDFKNPDANNNNKATCRFESTKADNGRLDSQHSSTDSNKVLDFPGGKVAFTPEMKFLSESPKERIPCYRVLDDNGQLLEHSNFVGVTSENARKIYNDMVKLQIMDTIFYEAQRQGRISFYVAAIGEEAINIASAAALTIDDLVVPQYREPGVLLWRGFTLQEFADQCFSNRGDSCKGRQMPAHYGSKKHNYFTVASTIASQLPHAVGAAYSLKMDGKDACVVTYFGDGGSSEGDFHAALNFAAVMEAPVIFICRNNGWAISTPISDQFRSDGVVVRGQAYGVRSIRVDGNDALAMYSAVNAARQMAISEHRPILVEALTYRVGHHSTSDDSTRYRSVDEIERWRSVHDPIARFRKWIENNGWWSSEAESELRSNVRKQILNAIQVAERVEKPPVGDLFADVYDTAASNLIEQEKWLREAIKRHPQDYPSVFPL >Manes.10G114300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27513609:27514345:1 gene:Manes.10G114300.v8.1 transcript:Manes.10G114300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAEDKMASTESNSPSAPGEKQSVSPSQHTDLTESTSSENFQADALRKFSYSELAEATNSFSNSVYLGDGTFGIVYRGCLPIGDFAVKKLYYTGDGQHKEEFENQINVIGMARHRHVVSLIGYCCEGNNRFLVLEFVPNRSLRFHLSSESFRCTYKRNPST >Manes.07G088200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27963120:27972222:-1 gene:Manes.07G088200.v8.1 transcript:Manes.07G088200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEAVLQVLSSGPCILSFDPCASNLDLKFVSKFHIKCVKKRASRRKQIFNCSSFQQNYTEIHRFKRTGDYGFFVNTSVDRLQLLRWKCHQAENVSGLTAEDGNKTWFVDNARPLNLNGVENSPNVLECGNVQHSKQENGNLTSNGAVKQENENLPTNGALGIGRDTPHKVTVDSIEDEAWDLLLNSMVYYCGSPVGTIAASDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDTVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLRRLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNLWSVISGLATIDQSHAILDLIEAKWTDLIADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEVAAKAVEVAERRISMDKWPEYYDTKSARFIGKQAHLFQTWSIAGYLVAKLLLSDPSAAKMLITEEDPELVNAFSFMISTNPRRNRGRKNSKQTYIV >Manes.07G088200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27963509:27971986:-1 gene:Manes.07G088200.v8.1 transcript:Manes.07G088200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEAVLQVLSSGPCILSFDPCASNLDLKFVSKFHIKCVKKRASRRKQIFNCSSFQQNYTEIHRFKRTGDYGFFVNTSVDRLQLLRWKCHQAENVSGLTAEDGNKTWFVDNARPLNLNGVENSPNVLECGNVQHSKQENGNLTSNGAVKQENENLPTNGALGIGRDTPHKVTVDSIEDEAWDLLLNSMVYYCGSPVGTIAASDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDTVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLRRLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNLWSVISGLATIDQSHAILDLIEAKWTDLIADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEVAAKAVEVAERRISMDKWPEYYDTKSARFIGKQAHLFQTWSIAGYLVAKLLLSDPSAAKMLITEEDPELVNAFSFMISTNPRRNRGRKNSKQTYIV >Manes.07G088200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27963509:27971986:-1 gene:Manes.07G088200.v8.1 transcript:Manes.07G088200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEAVLQVLSSGPCILSFDPCASNLDLKFVSKFHIKCVKKRASRRKQIFNCSSFQQNYTEIHRFKRTGDYGFFVNTSVDRLQLLRWKCHQAENVSGLTAEDGNKTWFVDNARPLNLNGVENSPNVLECGNVQHSKQENGNLTSNGAVKQENENLPTNGALGIGRDTPHKVTVDSIEDEAWDLLLNSMVYYCGSPVGTIAASDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDTVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLRRLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNLWSVISGLATIDQSHAILDLIEAKWTDLIADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEVAAKAVEVAERRISMDKWPEYYDTKSARFIGKQAHLFQTWSIAGYLVAKLLLSDPSAAKMLITEEDPELVNAFSFMISTNPRRNRGRKNSKQTYIV >Manes.07G088200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27963120:27971467:-1 gene:Manes.07G088200.v8.1 transcript:Manes.07G088200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEAVLQVLSSGPCILSFDPCASNLDLKFVSKFHIKCVKKRASRRKQIFNCSSFQQNYTEIHRFKRTGDYGFFVNTSVDRLQLLRWKCHQAENVSGLTAEDGNKTWFVDNARPLNLNGVENSPNVLECGNVQHSKQENGNLTSNGAVKQENENLPTNGALGIGRDTPHKVTVDSIEDEAWDLLLNSMVYYCGSPVGTIAASDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDTVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLRRLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNLWSVISGLATIDQSHAILDLIEAKWTDLIADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEVAAKAVEVAERRISMDKWPEYYDTKSARFIGKQAHLFQTWSIAGYLVAKLLLSDPSAAKMLITEEDPELVNAFSFMISTNPRRNRGRKNSKQTYIV >Manes.07G088200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27963106:27972221:-1 gene:Manes.07G088200.v8.1 transcript:Manes.07G088200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEAVLQVLSSGPCILSFDPCASNLDLKFVSKFHIKCVKKRASRRKQIFNCSSFQQNYTEIHRFKRTGDYGFFVNTSVDRLQLLRWKCHQAENVSGLTAEDGNKTWFVDNARPLNLNGVENSPNVLECGNVQHSKQENGNLTSNGAVKQENENLPTNGALGIGRDTPHKVTVDSIEDEAWDLLLNSMVYYCGSPVGTIAASDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDTVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLRRLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNLWSVISGLATIDQSHAILDLIEAKWTDLIADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEVAAKAVEVAERRISMDKWPEYYDTKSARFIGKQAHLFQTWSIAGYLVAKLLLSDPSAAKMLITEEDPELVNAFSFMISTNPRRNRGRKNSKQTYIV >Manes.15G192966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:32434663:32443210:-1 gene:Manes.15G192966.v8.1 transcript:Manes.15G192966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTARLDALEKRVEELFTRINDCPTHEELKIKLESALLRIDALEKRSEYLLAVVARVSGSEGEPIKLEAIQNSTNKSKGKGVAIEFEAYQHPKKSSQGKSVPIELNATKKPIFARNAQLRNSESKIREVEAVASRMEDLKEKLKSISASRNSLKEFVDISKVDDKENGKFFQGELQELRGKVNVLMKAVSNLNGGHGVGKIEIPMPKAFNGACDAEDVGNFLFDMDMYFNATNYNSDEGRLEIVPMFLKDNAKLWWRTKKETTIFGQCTIASWDDFKKELRARFYPENVARRKLNEIQQTGPIREYVKEFSVLMLDINNMTPSDRLFYFLRGLKPWAQRELLRRNLKDLSAAVDAANSLYDYSHIAHKRKFNLSQV >Manes.13G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31653123:31658760:-1 gene:Manes.13G111300.v8.1 transcript:Manes.13G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTAPSSSQPPQFRPNIDAIKRRLLKKGVYPTPKIIRSLSKKEIQKHNRKLANLAQTHQSPPLTTSQKLALAEEYHFQTLKREYRCFTKAIQTETRSGSSGLLVGRPWESIERAKLREVASGSKEFNGPKLKTENLRELKENLEDGLTWVLDNDIELEDDGWLRSENQRQFDPVKRRRSDKDAIRFLVNRLSSREVTVRDWKLARIMKQSGLRFSEGQLLKIVEELGDKGKWEQAMVVVEWVYNDKDRRDCKSRFVYTKLLSVLRKARRPQEALRIFNLMREDYNIYPDMAAYHVIAVTLGQAGILKELVKIIECLRQKPSKRIKHVHTKNWDPVLEPDLVIYNAVLNACIPSQQWKGVSWVFEQLRKSGIKPNGATYGLAMEVMLHSGKYNLVHELFRKMNRSGEAPKALTYKVLVRAFWEEGKVNEAVEAVRDMEQRGVVGTASVYYELSCCLCYNGRWQDAIPEVEKMKKLHRRKPLEVTFTGMITSSLDGGHVKDCISIFEYMKSHCVPNIGTINIMLKVYGRNDLFSEAKELFEKINRPNDGDTSPVPDEFTYSSMLEASASALQWEYFELVYKEMVFSGHQLDQSKYASLLVKASRAGKGHILEHAFDSALEAGNIPHPSLFTEMVFEATAQQNYERALILINSIAHAPFQITQRQWTDLFQKDREKITRDILEKLLDVLGNSDVSSEPTVANLSRSLCSLCERGKSAYLLSSIASGIEDTDGLDLDTDSNEMLDRRTENPISCATIVNGTPEMKEDQIVNKTDDADDEFGVVNHCSTCREGGEDGYGIVNSANLEAFVNEVACDSYSDLDGPFQEFDHTEYELPINQVDDCDASKLPSANEILDAWKESRKGGIFIPFQHGHKNDAISVPKNGR >Manes.11G034800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3394154:3395598:-1 gene:Manes.11G034800.v8.1 transcript:Manes.11G034800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLISHHSIGRNCRPKWLDKKRVLQVILLVTICMWLLHRVENSHHQHENYNQNLQNPSSERNILIVLGRRGIQKYINGANAEFQDKNDPHEYERRDGGVGDDEIDGNINDEENVLELLQEENKVVNGGDEAIDLAAKFHGVAKQDTEMEKLDDVERVEAFLDENGIPPDASSFFNSTSLEQFHSRTSFSV >Manes.18G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8999850:9000932:-1 gene:Manes.18G097300.v8.1 transcript:Manes.18G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSKREVAEEEATAPHISYINPCFLLQALLKCLGIETKSHEASSCPSSQHKDYDDGDGKFLSEKSSQASSSSAEEDACPPSITDLDAPPTATDDPVLARTPPRPPPSSGSGPQIN >Manes.08G039500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3860890:3865950:-1 gene:Manes.08G039500.v8.1 transcript:Manes.08G039500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALSPVSFKGRGSESAMTSFKGRDLPTTFSFKSLTSQMENGLFSRSISPESGEKDDKLATVDSLSVKNDQTPLAESGTQRHQAALKLQKVYKSFRTRRQLADCAVVVEQRWWKLLDFAELKRSSISFFDIEKPETAISRWSRARTKAAKVGKGLSKDAKARKLALQHWLEAIDPRHRYGHNLQFYYVNWLHCQSKQPFFYWLDIGEGKEVNLDKCPRSKLQQQCIKYLGPTERQAYEVVLENGKFFHKQSGKLLDTTGGPKDAKWIFVLSTSKTLYIGLKKKGTFQHSSFLAGGATLSAGRLVVKDGVLKAVWPHSGHYLPSEENFQAFKSFLKEQNVDLTDVKESPEEEEEEEEAITKKSSSISFRDTKVTSIESLPQQNTNSREQDSNAEENTDLHRTKMSPELRSKLTKLEIPSRVDIYEIFKEVATTEPSSNAKPASAKSSIEDGYESAKDSFSQDDDYESAEDSFFTEEDFMFLKTNLFDEDQEEADEESIPKEKILKRINSHKGMKSYQLANQLSSKWTTGAGPRIGCMRDYPSELQFRVLEQANLSPRLGSGNSTPRTISRFSPKALTPTSAGRETVSRSPLSSGGIVPHFSSLDSPGVEKVL >Manes.08G039500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3860890:3865950:-1 gene:Manes.08G039500.v8.1 transcript:Manes.08G039500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALSCPFADFDDLDSPLDAVLVRSIATVDGEMRAALSPVSFKGRGSESAMTSFKGRDLPTTFSFKSLTSQMENGLFSRSISPESGEKDDKLATVDSLSVKNDQTPLAESGTQRHQAALKLQKVYKSFRTRRQLADCAVVVEQRWWKLLDFAELKRSSISFFDIEKPETAISRWSRARTKAAKVGKGLSKDAKARKLALQHWLEAIDPRHRYGHNLQFYYVNWLHCQSKQPFFYWLDIGEGKEVNLDKCPRSKLQQQCIKYLGPTERQAYEVVLENGKFFHKQSGKLLDTTGGPKDAKWIFVLSTSKTLYIGLKKKGTFQHSSFLAGGATLSAGRLVVKDGVLKAVWPHSGHYLPSEENFQAFKSFLKEQNVDLTDVKESPEEEEEEEEAITKKSSSISFRDTKVTSIESLPQQNTNSREQDSNAEENTDLHRTKMSPELRSKLTKLEIPSRVDIYEIFKEVATTEPSSNAKPASAKSSIEDGYESAKDSFSQDDDYESAEDSFFTEEDFMFLKTNLFDEDQEEADEESIPKEKILKRINSHKGMKSYQLANQLSSKWTTGAGPRIGCMRDYPSELQFRVLEQANLSPRLGSGNSTPRTISRFSPKALTPTSAGRETVSRSPLSSGGIVPHFSSLDSPGVEKVL >Manes.08G039500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3860890:3865488:-1 gene:Manes.08G039500.v8.1 transcript:Manes.08G039500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIALSCPFADFDDLDSPLDAVLVRSIATVDGEMRAALSPVSFKGRGSESAMTSFKGRDLPTTFSFKSLTSQMENGLFSRSISPESGEKDDKLATVDSLSVKNDQTPLAESGTQRHQAALKLQKVYKSFRTRRQLADCAVVVEQRWWKLLDFAELKRSSISFFDIEKPETAISRWSRARTKAAKVGKGLSKDAKARKLALQHWLEAIDPRHRYGHNLQFYYVNWLHCQSKQPFFYWLDIGEGKEVNLDKCPRSKLQQQCIKYLGPTERQAYEVVLENGKFFHKQSGKLLDTTGGPKDAKWIFVLSTSKTLYIGLKKKGTFQHSSFLAGGATLSAGRLVVKDGVLKAVWPHSGHYLPSEENFQAFKSFLKEQNVDLTDVKESPEEEEEEEEAITKKSSSISFRDTKVTSIESLPQQNTNSREQDSNAEENTDLHRTKMSPELRSKLTKLEIPSRVDIYEIFKEVATTEPSSNAKPASAKSSIEDGYESAKDSFSQDDDYESAEDSFFTEEDFMFLKTNLFDEDQEEADEESIPKEKILKRINSHKGMKSYQLANQLSSKWTTGAGPRIGCMRDYPSELQFRVLEQANLSPRLGSGNSTPRTISRFSPKALTPTSAGRETVSRSPLSSGGIVPHFSSLDSPGVEKVL >Manes.04G033200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4226271:4230530:-1 gene:Manes.04G033200.v8.1 transcript:Manes.04G033200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKNPVEGFSAGLVDENNIFEWNVTIIGPPDTLYEGGCFHAVMSFPPDYPNSPPTVKFTSSIWHPNVHPNGFVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRENREEFKRKVSGCVRKSQEM >Manes.04G033200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4226137:4230530:-1 gene:Manes.04G033200.v8.1 transcript:Manes.04G033200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKNPVEGFSAGLVDENNIFEWNVTIIGPPDTLYEGGCFHAVMSFPPDYPNSPPTVKFTSSIWHPNVHPNGFVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRENREEFKRKVSGCVRKSQEM >Manes.04G033200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4226271:4229231:-1 gene:Manes.04G033200.v8.1 transcript:Manes.04G033200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKNPVEGFSAGLVDENNIFEWNVTIIGPPDTLYEGGCFHAVMSFPPDYPNSPPTVKFTSSIWHPNVHPNGFVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRENREEFKRKVSGCVRKSQEM >Manes.04G033200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4226136:4230530:-1 gene:Manes.04G033200.v8.1 transcript:Manes.04G033200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKNPVEGFSAGLVDENNIFEWNVTIIGPPDTLYEGGCFHAVMSFPPDYPNSPPTVKFTSSIWHPNVHPNGFVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRENREEFKRKVSGCVRKSQEM >Manes.02G001600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:199591:202157:1 gene:Manes.02G001600.v8.1 transcript:Manes.02G001600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPFTHTSPTLTLCQYYLSFLASLSSIPLPAFLISSFILYLVSLAMPEDMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDSTHDTTGSNTIGEAIPEEGWVVCRVFRKKNYQKTLESPKGSSSSMDSKTQILSAGNDGVLDQILLYMGRTCKMENETFSHMNLSNNSNNNSIRFLSANNTGISEGLHERFMHLPRLDSPTLPTVTINSPSFDQESNFKSCYQSYDEMLSENNEPSSTTTTTNHHHHHHHHPHPQGNGFDMTSIHEQNKSGFNDWATFDRLVASQLNGQLHVHSNRSNQTNSQVYSSENDLWSFTKSSSPSSSDPLCHLSV >Manes.02G001600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:199591:202157:1 gene:Manes.02G001600.v8.1 transcript:Manes.02G001600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPFTHTSPTLTLCQYYLSFLASLSSIPLPAFLISSFILYLVSLAMPEDMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDSTHDTTVSHLAHGSNTIGEAIPEEGWVVCRVFRKKNYQKTLESPKGSSSSMDSKTQILSAGNDGVLDQILLYMGRTCKMENETFSHMNLSNNSNNNSIRFLSANNTGISEGLHERFMHLPRLDSPTLPTVTINSPSFDQESNFKSCYQSYDEMLSENNEPSSTTTTTNHHHHHHHHPHPQGNGFDMTSIHEQNKSGFNDWATFDRLVASQLNGQLHVHSNRSNQTNSQVYSSENDLWSFTKSSSPSSSDPLCHLSV >Manes.02G001600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:199591:202064:1 gene:Manes.02G001600.v8.1 transcript:Manes.02G001600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPFTHTSPTLTLCQYYLSFLASLSSIPLPAFLISSFILYLVSLAMPEDMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDSTHDTTGSNTIGEAIPEEGWVVCRVFRKKNYQKTLESPKGSSSSMDSKTQILSAGNDGVLDQILLYMGRTCKMENETFSHMNLSNNSNNNSIRFLSANNTGISEGLHERFMHLPRLDSPTLPTVTINSPSFDQESNFKSCYQSYDEMLSENNEPSSTTTTTNHHHHHHHHPHPQGNGFDMTSIHEQNKSGFNDWATFDRLVASQLNGQVEASKQLSCFSEPNGCFGLSPDDDMHLSQLHVHSNRSNQTNSQVYSSENDLWSFTKSSSPSSSDPLCHLSV >Manes.02G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:199591:202064:1 gene:Manes.02G001600.v8.1 transcript:Manes.02G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPFTHTSPTLTLCQYYLSFLASLSSIPLPAFLISSFILYLVSLAMPEDMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDSTHDTTVSHLAHGSNTIGEAIPEEGWVVCRVFRKKNYQKTLESPKGSSSSMDSKTQILSAGNDGVLDQILLYMGRTCKMENETFSHMNLSNNSNNNSIRFLSANNTGISEGLHERFMHLPRLDSPTLPTVTINSPSFDQESNFKSCYQSYDEMLSENNEPSSTTTTTNHHHHHHHHPHPQGNGFDMTSIHEQNKSGFNDWATFDRLVASQLNGQVEASKQLSCFSEPNGCFGLSPDDDMHLSQLHVHSNRSNQTNSQVYSSENDLWSFTKSSSPSSSDPLCHLSV >Manes.09G077815.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:21569280:21569623:-1 gene:Manes.09G077815.v8.1 transcript:Manes.09G077815.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNETVRFFHCCKRVDRVFVDHQLLAWNVNVNEIGQYHTLGDSVAATANIHTTGLGNS >Manes.17G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24681657:24685304:-1 gene:Manes.17G049400.v8.1 transcript:Manes.17G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLSRATTTTVHSLPHLRRPMRESSTSSFVSMKPIGAVGEGGNIIWGRQLRPSLLLESSPAGKREILRQNMAAASSPAEGSDSSGDAKIAPVGFFNKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPIDSKLLKVLIPVALCHAIGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWLGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIFCIPPAIIVEGPQLLNVGFKDAIAKVGMTKLISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTCIAIAGVAIYSFLKAKIEEEKRQKKAA >Manes.01G117500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31443199:31444320:-1 gene:Manes.01G117500.v8.1 transcript:Manes.01G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATIPTYENSLLLKKSSFSGLKNLPKAIPCSHFKFLVPVKAKAANWVVSVSCSSVAQPLEAAEQSINGISPKIPLPAFPFQEYMATKAKHVNKALDESIPMQHPLKIHDAMRYSLLAGGKRVRPVLCIAACELVGGDEATAMPAACAMEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKQFGEETAILAGDALLSFSFEHVARATKNVSPDRVVRAIAELGSAVGAAGLVAGQIVDIESEGKQVTLDDLEYIHINKTSKLLEAAVVCGAILGGADDESVERVRKYARYIGLLFQVVDDILDVTKSSEELGKTAGKDLLSDKATYPKLMGIDEAKKFAAKLVDQANQELAYFDTTKAAPLYHFANYIASRQN >Manes.05G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24829941:24832563:-1 gene:Manes.05G146200.v8.1 transcript:Manes.05G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTLLIPLCSLFIAHSFISGVVSTTFTITNKCDYSVWPGILSNADAPPLSTTGFVLQKGETMTITAPTSWGGRMWGRTFCSEDSTGKFSCLTGDCGSNKLECSGSGAVPPATLAEFKLDGYGGMDYFDVSLVDGYNLPMLVVPQGGSGQNCSSTGCVVDLNGSCPSELKVTSSAGDNLACKSACEAFRQPQYCCNGAYSTPDTCKPSSYSEIFKNACPHAYSYAYDDKTSTFTCTAANYLITFCPTPNTSQKASQGQNTDGGNVNAFANNPSINGTMVYEGALDQSGASLSTCTDVLGSHGIAGIVSVTVAIWQLRHLF >Manes.05G146200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24831124:24832563:-1 gene:Manes.05G146200.v8.1 transcript:Manes.05G146200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTLLIPLCSLFIAHSFISGVVSTTFTITNKCDYSVWPGILSNADAPPLSTTGFVLQKGETMTITAPTSWGGRMWGRTFCSEDSTGKFSCLTGDCGSNKLECSGSGAVPPATLAEFKLDGYGGMDYFDVSLVDGYNLPMLVVPQGGSGQNCSSTGCVVDLNGSCPSELKVTSSAGDNLACKSACEAFRQPQYCCNGAYSTPDTCKPSSYSEIFKNACPHAYSYAYDDKTSTFTCTAANYLITFCPTPNTRTRFITLKNI >Manes.03G202400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31819973:31820259:1 gene:Manes.03G202400.v8.1 transcript:Manes.03G202400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKSGETATEEE >Manes.11G067300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9576520:9579871:-1 gene:Manes.11G067300.v8.1 transcript:Manes.11G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTTVARRLQRSHPQVILPLFCSVSSPSLSSPLTSNSVISFSSFEAFHRALSIAYQHPFRFFTFKSFSTQNLRDPFELVQERVHTRESLKPGLLDSLKRAAYFTAEAEAVASVDASGIKADQNLVYSVIWELRHEWRPAFLAFKWGEKWGCFDEKSYELMIWVLGNHKKFNIAWVLIRDLHRSSMNTRQAMLIMIDRYAAANDPGNAIHTLYIMEKFRMTPDEDAFYSLMNALCKYGNIEEAEEFMLVNKKLFPLETEAFNIILHGWCNICVDVLEGKRIWREMSKYCITPNAASYTHMISCFSKVGNLFDSLRLYDEMKKRGWVPCIKVYNSLIYVLTRENCLKEALKVLDKIKENGLQPDSTSYNSMIRPLCEGKKLAEARSILAAMIEENLRPTMETYHALLEGTGLEETLQVLNQMRIAGIDPTEDTFRLILVKFFKLEQPENALKIWLEMMQYEVTPNLTHYIVLVEGLAGCGLLTEAWEYYAEMRSNGFSDNPKLLKILKEPIQDQTSCKKQQGRQVKRDQYVSQKLGSKVRRKGRKGMQKGKEKL >Manes.07G014800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1782043:1785298:-1 gene:Manes.07G014800.v8.1 transcript:Manes.07G014800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYKNFTCNISVLHVNTAFRSVFEMTPNLSSSLAFFFIFFAFASGQNASTMQNTSTTTKTFTLYNHCKATIWPGIITKGDSGRGNGFTLNPGQTAFYTAPTGWSGRIWARTNCNFDKNGTGSCQTGSCGTSLNCSRPSSPPNTIAEFTLGDIDFYDVSLVDGFNLPIVVQPLNGKGNCSIAGCDGDVRNSCPSELSVRSDGKVIACRSACNVFDTDEYCCRGAYSDPVACVPSNYSRGFKQICPASSSYAFDGDATSIITCSASDYIVTFCASRNQTFCSYHDNKVICNDTRSGSKALLPQGWWNLMVTLPLAFILQTKI >Manes.07G014800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1782043:1784901:-1 gene:Manes.07G014800.v8.1 transcript:Manes.07G014800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTGQNASTMQNTSTTTKTFTLYNHCKATIWPGIITKGDSGRGNGFTLNPGQTAFYTAPTGWSGRIWARTNCNFDKNGTGSCQTGSCGTSLNCSRPSSPPNTIAEFTLGDIDFYDVSLVDGFNLPIVVQPLNGKGNCSIAGCDGDVRNSCPSELSVRSDGKVIACRSACNVFDTDEYCCRGAYSDPVACVPSNYSRGFKQICPASSSYAFDGDATSIITCSASDYIVTFCASRNQTFCSYHDNKVICNDTRSGSKALLPQGWWNLMVTLPLAFILQTKI >Manes.07G014800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1782043:1785298:-1 gene:Manes.07G014800.v8.1 transcript:Manes.07G014800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTSTTTKTFTLYNHCKATIWPGIITKGDSGRGNGFTLNPGQTAFYTAPTGWSGRIWARTNCNFDKNGTGSCQTGSCGTSLNCSRPSSPPNTIAEFTLGDIDFYDVSLVDGFNLPIVVQPLNGKGNCSIAGCDGDVRNSCPSELSVRSDGKVIACRSACNVFDTDEYCCRGAYSDPVACVPSNYSRGFKQICPASSSYAFDGDATSIITCSASDYIVTFCASRNQTFCSYHDNKVICNDTRSGSKALLPQGWWNLMVTLPLAFILQTKI >Manes.07G014800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1782043:1785298:-1 gene:Manes.07G014800.v8.1 transcript:Manes.07G014800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYKNFTCNISVLHVNTAFRSVFEMTPNLSSSLAFFFIFFAFASGQNASTMQNTSTTTKTFTLYNHCKATIWPGIITKGDSGRGNGFTLNPGQTAFYTAPTGWSGRIWARTNCNFDKNGTGSCQTGSCGTSLNCSRPSSPPNTIAEFTLGDIDFYDVSLVDGFNLPIVVQPLNGKGNCSIAGCDGDVRNSCPSELSVRSDGKVIACRSACNVFDTDEYCCRGAYSDPVACVPSNYSRGFKQICPASSSYAFDGDATSIITCSASDYIVTFCASRNQTFCSYHDNKVICNDTRSGSKALLPQGWWNLMVTLPLAFILQTKI >Manes.07G014800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1782043:1785298:-1 gene:Manes.07G014800.v8.1 transcript:Manes.07G014800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYKNFTCNISVLHVNTAFRSVFEMTPNLSSSLAFFFIFFAFASGQNASTMQNTSTTTKTFTLYNHCKATIWPGIITKGDSGRGNGFTLNPGQTAFYTAPTGWSGRIWARTNCNFDKNGTGSCQTGSCGTSLNCSRPSSPPNTIAEFTLGDIDFYDVSLVDGFNLPIVVQPLNGKGNCSIAGCDGDVRNSCPSELSVRSDGKVIACRSACNVFDTDEYCCRGAYSDPVACVPSNYSRGFKQICPASSSYAFDGDATSIITCSASDYIVTFCASRNQTFCSYHDNKVICNDTRSGSKALLPQGWWNLMVTLPLAFILQTKI >Manes.07G014800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1782043:1785298:-1 gene:Manes.07G014800.v8.1 transcript:Manes.07G014800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYKNFTCNISVLHVNTAFRSVFEMTPNLSSSLAFFFIFFAFASGQNASTMQNTSTTTKTFTLYNHCKATIWPGIITKGDSGRGNGFTLNPGQTAFYTAPTGWSGRIWARTNCNFDKNGTGSCQTGSCGTSLNCSRPSSPPNTIAEFTLGDIDFYDVSLVDGFNLPIVVQPLNGKGNCSIAGCDGDVRNSCPSELSVRSDGKVIACRSACNVFDTDEYCCRGAYSDPVACVPSNYSRGFKQICPASSSYAFDGDATSIITCSASDYIVTFCASRNQTFCSYHDNKVICNDTRSGSKALLPQGWWNLMVTLPLAFILQTKI >Manes.08G143500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38130907:38132060:-1 gene:Manes.08G143500.v8.1 transcript:Manes.08G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLAASAVLVLALAFSMASAYDPSPLQDFCVAMNDPKNAVFVNGKFCKNPNLTVADDFSFSGLNIPGNTENRVRSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVIEGTLYVGFVTSNPNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPSINPDVLAKAFQLDKNVVTSLQKLFWDSN >Manes.11G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31164525:31170213:-1 gene:Manes.11G147100.v8.1 transcript:Manes.11G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRNSSLKSGDYLEGMLSEYVGGRSKLKVNKSVSSRLVTALTCLQFAFAVYATFLLYYMSPAVDLRTKPDFAWATRIAQHWKQFIVPPHVLGRYQEAVSLVGAEIQPITPSEVCEHEKIDFQQKKSNDAQMIKLKRELYDEILGFQSKTIGTETLSELMAMNSKWDLRGPNKPKITVILNHFKRKTLCSQLDNLLQQTLPFHHVWVLAFGSPNELSLKRIVDSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGRKMLQILSHVAGTEKYQNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITIDKIVQVDFLSSSWFLSAELVKTLFVEAPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDLNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYVTQWAAMYSQKIDALFYTHSVDEVQALAPLLEKFRSTVGKKAYIAVSGGKFCPCEDAATALKWPKLVCKERRFKIFDLDVGALSGISNSEVPVVQAVYSSMKGLIKMHNPSVVITVADIDPNVKKALKMATETSNNGTTMILLPRPSIPKVLWMADLRSTALPNWNKMRISVNIITQNRAHSLTRLLNSISNAYYLGDEIPISFNMDSKVDAETIRLVNSFNWPHGPKTLRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWIKYALLAYKYDPQVSFPELSSISLYTPKLVEVVKERPKWNPTEFFKRIHPHTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKADFEVPLLKEDFRNFLPNGKLPPASKLPSLNLFNQPISLKGLKAAGAKLGQDVLRCNNVTEIVSVDHETGLPMQCSKF >Manes.11G147100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31164753:31170892:-1 gene:Manes.11G147100.v8.1 transcript:Manes.11G147100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRNSSLKSGDYLEGMLSEYVGGRSKLKVNKSVSSRLVTALTCLQFAFAVYATFLLYYMSPAVDLRTKPDFAWATRIAQHWKQFIVPPHVLGRYQEAVSLVGAEIQPITPSEVCEHEKIDFQQKKSNDAQMIKLKRELYDEILGFQSKTIGTETLSELMAMNSKWDLRGPNKPKITVILNHFKRKTLCSQLDNLLQQTLPFHHVWVLAFGSPNELSLKRIVDSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGRKMLQILSHVAGTEKYQNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITIDKIVQVDFLSSSWFLSAELVKTLFVEAPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDLNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSTGYVTQWAAMYSQKIDALFYTHSVDEVQALAPLLEKFRSTVGKKAYIAVSGGKFCPCEDAATALKWPKLVCKERRFKIFDLDVGALSGISNSEVPVVQAVYSSMKGLIKMHNPSVVITVADIDPNVKKALKMATETSNNGTTMILLPRPSIPKVLWMADLRSTALPNWNKMRISVNIITQNRAHSLTRLLNSISNAYYLGDEIPISFNMDSKVDAETIRLVNSFNWPHGPKTLRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWIKYALLAYKYDPQVSFPELSSISLYTPKLVEVVKERPKWNPTEFFKRIHPHTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKADFEVPLLKEDFRNFLPNGKLPPASKLPSLNLFNQPISLKGLKAAGAKLGQDVLRCNNVTEIVSVDHETGLPMQCSKF >Manes.10G050800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5628995:5630320:-1 gene:Manes.10G050800.v8.1 transcript:Manes.10G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKIVSRELIKPSSPAAQSQKPYKLCLLDQLTPHYYTPMILFYLMNPSHSTIALSHLKNSLSKTLNLYYPFCGRIRDDLYIDRFNEGVPFLEAQVNCRMSDFLRHHDIEYLNHFLPCQPFTKESDMNTPLLAVQVTMFTCGGIAVSMCASHKLCDGNTGRAFINTLASVSLGDLNGVSLPNLSEASLFFPPTTKFPQNHLSLMDSLWFTEGNYITRRFVFDAKAIAALRANAEEKLKARPSRIETISCFIWKCCMAASKAVSGSTKPSILLEAMNLRPHTKPPMSNSSIGNLFWWAPAVAHPNDTNTEMHELIKLASEAIKLYKSDFSQALQGDGGLETMSEHLNQLEELVSLEKADIFAFTSWCYLGLTKLNFGWGEPYWFAFMGKVGPAFRNLTLLIETKDGKGIEAWITLDKEKMSIVENDPEFLAFASSNPKISSL >Manes.02G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10433648:10441156:1 gene:Manes.02G137400.v8.1 transcript:Manes.02G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQLSVSLSFSVRDVCAITSVRRSNGFSHLPTSSFTKIGTTFAAGSPLLISRTSLQRKPVSRTLVPVRCEQSTQGGNSLDVWLGRLAMVGFAVAISVEIATGKGLLENFGLTTPLPTVALAVTGLVGVLTAVFIFQSASKN >Manes.11G068200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9692683:9694212:1 gene:Manes.11G068200.v8.1 transcript:Manes.11G068200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTEMWTQLGSAIAGLMFVWAMYERYFPYQLQEYVERYCQKLVALVSPYFQIVFDEYTGEDLKRSEVYAAIQSYLSANSSMRAKRLKADVVKDSHSVLLTMDDHEEITDNFNGIKVWWTSIKNKPNKQSFSFYPELDERRYFKLAVHRRYREIIVKSYIDHVIKEGKAVAVKNRQRKLYTNNPSDNWYGWKATKWSHVVFEHPASFDTLAMATKEKEEIMKDLVKFSKGKSYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFLNYDVYDLELTTVKDNSELRKLLIDTTSKSIIVIEDIDCSLDLTGQRKPKKEKEEDKDGEGKDPISKKKKEEEAENKKISKVTLSGLLNFIDGIWSACGGEKIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCCFEAFKVLAQNYLDVESHELFAKIRNLLEETKMTPADVAENLMPKSEDEDEESCLKKLIAALEEAKEEEARKKSEEEAKLKAEQEKEREKEKATEEEEKEKGNGKLKENGF >Manes.S043116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1293977:1294144:-1 gene:Manes.S043116.v8.1 transcript:Manes.S043116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.10G065700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9500373:9514747:1 gene:Manes.10G065700.v8.1 transcript:Manes.10G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKPGLSAFITGGASGIGKALSLALGAKGVYVTVVDFSEEKGKEVAALIEKENLKFHTKLEFPTALFIRCDVTNSRDLAAAFEKHVATYGGLDICINNAGINTSIPFYEDQTDGTRSWRHGVNVNLVAVIDCTKLAIQAMKAAQKPGVIINLGSASGLYPMYNDPIYSASKGGVVMFTRSLVPYKRQGIRINVLCPEFVKTELASKVSDKFIDMTGGFVAMEMVIKGAFELITDESKAGSCLWITNRRGMEYWPTPSEEAKYLVRSSASRRRVAFKAPTNLQLPQSFEKIVVHALSHNFRNATSIVRTPLQLPIEPHQVLVKVVYAGVNASDVNFSSGRYFTGNNKDVGSRLPFDAGFEAVGIVAALGKSVRDLKVGTPAAIMTFGSYAEFTVVPAKHILPVPRPDPEVVAMLTSGLTASIALEKAGQMESGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKAMLLKELGVDRVIDYKVENIKTVLKKEFPKGVDIIYESVGGDMFDLCLNALAVHGRLIVIGMISQYQGEHGWMPSNYTGLCEKILAKSQTVAGFFLIQYGHLWQQHLDRLFDLFSTGKLKISIDPKRFVGVRAVADAVEYLHSGKSVGKVVVCIDPTFSEQVAKL >Manes.01G269000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42251043:42260687:-1 gene:Manes.01G269000.v8.1 transcript:Manes.01G269000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVEIGRYNLIPLSVTCLCARPCRSLPKKTLILSRRCSQLNIRLVLNGSKSAFPVKANSISAMRHLVSAVASSEPDRLDHEDVKEEVNEGHKFPGKEESVSEPQQRGSKLRKRIIFGLGIGISVGGVVLAGGWVFTVFFAAVVFVGAREYFELVRSRGIASGMTPPPRYASRVCSVICAVMPLITLYFGQIDIPVTFAAFIVAMSLLLQRGNPRFAQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTRIGASWPVLLGGQAHWTVGLVATLISFSSIIAADTYAFIGGKAFGRTPLTSISPKKTWEGTIAGLGGCIATTVILSKIFSWPTSLLSAFAFGFLNFFGSIFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFVKTFLPLYGV >Manes.16G077600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28244738:28245616:1 gene:Manes.16G077600.v8.1 transcript:Manes.16G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSRTFLVAVMALMLSVLSVQSASPEAAPAPAPIAAAGLISPSFGFACVAAFVAFFFSYALKI >Manes.15G182700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:25536851:25538869:1 gene:Manes.15G182700.v8.1 transcript:Manes.15G182700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASVMEAFINSDLAAFSPPPQSAASTSTSTPAPSNIDPNRTTLINQSQPLFNQETLQQRLQALIEGARESWTYAIFWQLSYDYSGASVLGWGDGYYKGEEDKVKGMCKGSSSSAAEQEHRKKVLRELNSLISGPTTDTDTDDAVDEEVTDTEWFFLVSMTHSFVNGSGLPGQAFFNGSPVWVVGPDQLFASPCERARQGQVFGLQTLVCIPSADGVVELGSSEIIYQSSDLMNKVRVLFNFNNLEMGSWPMGTNPDQGENDPSSQWISDPSQSGIEMKEGNSTVPSLGGTMNYNNNNQHVSKGIQFGNPNCSSVTENPSGIHMRNHQQGQQQLQQQMTQGQSCFTRELNFGECGDVDGCSARNGNSNVFKPESGEILNFGESKRRSPDANGKFFSVTSQFVVEENNKKKRSPTSRGSNEEGMLSFTSGVILPSSGGVKSSGGTGDSDHSDLEASVVRETDSSRIVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAIAYIDELRTKLKTVESDKEELEKQVESMKQEFPSKDPRPEPPSLDQELKMSNNHGTQAIEMDIDVKIIGWDAMIRIQCTKKNHPAARLMAALRELGLDVQHASVSVVNDLMIQQATVKMGSRFYTQEQLRVALSTKVGDS >Manes.15G138800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11229545:11238582:1 gene:Manes.15G138800.v8.1 transcript:Manes.15G138800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRKKQIQILLVLLLVLLFCTNLCFCSGFCASPVHDHSHGHHHHHHDCHHHEDNHVAQSIESKMPEELAEEEDMRLYGFSNYHFHDHHHSHSHDPDLKLTVLGLWLHALGCSLLVSLASLICLIILPVMFIQGKPSKGVVDSLALFGAGAMLGDAFLHQLPHAFGGDHSHSHDHGADHSHHAHVGDGHSHAHSLKDLSVGLSVLAGIILFLLVEKLVRYVEDNSDGAWAHSHGHHHHRHHHHKSNKKLKDDDDVNNVMQSQTPKDTEGKVLDEVSDNSLNGDNLTGNESLLRKRKSTLDGKDGISDANATDGSTSAEKSLNKEEVAQAPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSIGGWSRTLFLLAHELPQEVGDFGILVRSGFSVTKALFFNFLSALLALAGTALALLWGQAPGQSSLIEGFTAGGFIYISVAGVLAEMNNSSSTFRSSVVHIISLVLGMAVALCISLVE >Manes.15G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11229564:11238582:1 gene:Manes.15G138800.v8.1 transcript:Manes.15G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRKKQIQILLVLLLVLLFCTNLCFCSGFCASPVHDHSHGHHHHHHDCHHHEDNHVAQSIESKMPEELAEEEDMRLYGFSNYHFHDHHHSHSHDPDLKLTVLGLWLHALGCSLLVSLASLICLIILPVMFIQGKPSKGVVDSLALFGAGAMLGDAFLHQLPHAFGGDHSHSHDHGADHSHHAHVGDGHSHAHSLKDLSVGLSVLAGIILFLLVEKLVRYVEDNSDGAWAHSHGHHHHRHHHHKSNKKLKDDDDVNNVMQSQTPKDTEGKVLDEVSDNSLNGDNLTGNESLLRKRKSTLDGKDGISDANATDGSTSAEKSLNKEEVAQAPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSIGGWSRTLFLLAHELPQEVGDFGILVRSGFSVTKALFFNFLSALLALAGTALALLWGQAPGQSSLIEGFTAGGFIYISVAGVLAEMNNSSSTFRSSVVHIISLVLGMAVALCISLVE >Manes.15G138800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11229564:11238582:1 gene:Manes.15G138800.v8.1 transcript:Manes.15G138800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRKKQIQILLVLLLVLLFCTNLCFCSGFCASPVHDHSHGHHHHHHDCHHHEDNHVAQSIESKMPEELAEEEDMRLYGFSNYHFHDHHHSHSHDPDLKLTVLGLWLHALGCSLLVSLASLICLIILPVMFIQGKPSKGVVDSLALFGAGAMLGDAFLHQLPHAFGGDHSHSHDHGADHSHHAHVGDGHSHAHSLKDLSVGLSVLAGIILFLLVEKLVRYVEDNSDGAWAHSHGHHHHRHHHHKSNKKLKDDDDVNNVMQSQTPKDTEGKVLDEVSDNSLNGDNLTGNESLLRKRKSTLDGKDGISDANATDGSTSAEKSLNKEEVAQAPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSIGGWSRTLFLLAHELPQEVGDFGILVRSGFSVTKALFFNFLSALLALAGTALALLWGQAPGQSSLIEVSPLR >Manes.18G141200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23461678:23510196:-1 gene:Manes.18G141200.v8.1 transcript:Manes.18G141200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVQLFCSYHLNYRPPPWSARLDLSPRHSPIPNTTTLLKAQRYRHWDLRSSAVAMLHQNPVVSDICATGLSAAIALSLLRIWQETAKRRIFDQKLNRKLVHISIGLVFMLCWPLFSSGRRGAILASLTPGVNIFRMLILGLGIWKDEATVKSMSRFGDHRELLKGPLYYALTVTLACAIYWRTSPIAIAAICNLCAGDGFADIVGRRFGSWKIPYNRDKSIAGSISMALAGFLASLLFGPEDPCSDSAASVIVRAS >Manes.18G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23503897:23510196:-1 gene:Manes.18G141200.v8.1 transcript:Manes.18G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVQLFCSYHLNYRPPPWSARLDLSPRHSPIPNTTTLLKAQRYRHWDLRSSAVAMLHQNPVVSDICATGLSAAIALSLLRIWQETAKRRIFDQKLNRKLVHISIGLVFMLCWPLFSSGRRGAILASLTPGVNIFRMLILGLGIWKDEATVKSMSRFGDHRELLKGPLYYALTVTLACAIYWRTSPIAIAAICNLCAGDGFADIVGRRFGSWKIPYNRDKSIAGSISMALAGFLASLLFMHYFASFGYVRESWEMAFGFLVISLASALVESLPISTKLDDNLTVTVTSLLVGSLVF >Manes.18G141200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23506858:23509584:-1 gene:Manes.18G141200.v8.1 transcript:Manes.18G141200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDNPLMSDVCAAFTSASIIFALLQLWKETAKHGVDQKLNRKLVHISIGLVFMLCWPLFSSGRRGAILASLTPGVNIFRMLILGLGIWKDEATVKSMSRFGDHRELLKGPLYYALTVTLACAIYWRTSPIAIAAICNLCAGDGFADIVGRRFGSWKIPYNRDKSIAGSISMALAGFLASLLFMHYFASFGYVRESWEMAFGFLVISLASALVESLPISTKLDDNLTVTVTSLLVGSLVF >Manes.18G141200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23461403:23510196:-1 gene:Manes.18G141200.v8.1 transcript:Manes.18G141200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVQLFCSYHLNYRPPPWSARLDLSPRHSPIPNTTTLLKAQRYRHWDLRSSAVAMLHQNPVVSDICATGLSAAIALSLLRIWQETAKRRIFDQKLNRKLVHISIGLVFMLCWPLFSSGRRGAILASLTPGVNIFRMLILGLGIWKDEATVKSMSRFGDHRELLKGPLYYALTVTLACAIYWRTSPIAIAAICNLCAGDGFADIVGRRFGSWKIPYNRDKSIAGSISMALAGFLASLLFGPEDPCSDSAASVIVRAS >Manes.18G141200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23493342:23510196:-1 gene:Manes.18G141200.v8.1 transcript:Manes.18G141200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVQLFCSYHLNYRPPPWSARLDLSPRHSPIPNTTTLLKAQRYRHWDLRSSAVAMLHQNPVVSDICATGLSAAIALSLLRIWQETAKRRIFDQKLNRKLVHISIGLVFMLCWPLFSSGRRGAILASLTPGVNIFRMLILGLGIWKDEATVKSMSRFGDHRELLKGPLYYALTVTLACAIYWRTSPIAIAAICNLCAGDGFADIVGRRFGSWKIPYNRDKSIAGSISMALAGFLASLLFAGSEIVQEVSRLWLWS >Manes.18G141200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23503897:23510196:-1 gene:Manes.18G141200.v8.1 transcript:Manes.18G141200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQVQLFCSYHLNYRPPPWSARLDLSPRHSPIPNTTTLLKAQRYRHWDLRSSAVAMLHQNPVVSDICATGLSAAIALSLLRIWQETAKRRIFDQKLNRKLVHISIGLVFMLCWPLFSSGRRGAILASLTPGVNIFRMLILGLGIWKDEATVKSMSRFGDHRELLKGPLYYALTVTLACAIYWRTSPIAIAAICNLCAGDGFADIVGRRFGSWKIPYNRDKSIAGSISMALAGFLASLLASQGIKLCLKRKNLP >Manes.13G012000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1517651:1528462:-1 gene:Manes.13G012000.v8.1 transcript:Manes.13G012000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGGSVWALDWCPRFHDRPASCVKCEFVAIAAHPPDSYYHKVGTLLTGRGLVQIWCILNVSENDEAPPSLKKPKRGHHSSHSVGDKSSLIIKGPKGRPSKKQVESPNGNGTEENSIQFKRPRGRPRKQQIEKPPSDEATKESSTQFKRPRGRPRKKEINESLDNSDCKNQCVEALAVQYPEDSSQLLAIEWVSGNTQEQTIQENKGRKRKASTKALSACNSAAETTGSRRQKTKASAAGKCAGETCPPLLTQNDDDQSSPAIHQIHENTIQDPAVLNCSSDNVPQENNSDSFSIPKDIALPRLVLCLAHNGKVVWDVKWQPCHSSDSKCQHRMGYLAVLLGNGTLEVWDVPLPHILKVIYSSSHREGTDPRFVKLEPVFRCSIAKCGEIQSIPLTVEWSTSCPHDYLLAGCHDGTVALWKFSASGPSGDTRPLLRFSADTLAIRAVAWAPVGSIQESANVIVTAGHGGLKFWDLRDPFRPLWELHPAPKFIYSLDWLPDPRCILLSFDDGTMRLLSLTKAAYDGHVNGKPTVGPKQQGIHVFNSSSFAIWSVQVSRKTGMVAYCSADGTVNRFQLTWKAVEKEASRHRAPHFMVGSLSKDESSITVNTPLLDSPLNLRKPFSVGDNPRTMRSLLEFNQTKRANDKKAKAPAAENQPLALCCGNDPGTQSGPDETLAALKNRIKPKSKNASTKMAGENLALVCVDERKDRGEKDGEKAEAAKEREVMPPKIVAMHRVRWNINKGSERWLCSGGAAGIVRCQEIIFSDTDKYLASKR >Manes.13G012000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1517651:1529586:-1 gene:Manes.13G012000.v8.1 transcript:Manes.13G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEVLEGNGAGIRVSLFDYSVENHFKAMDTISKLCEEAESDSLQEAEIQRLSSSITFLKEWRQFKYQPRVVRFACETENSQEKCFLGDTNLPQFSSATVPEEGLHGDAASVEPSKDFVMYVGGSVWALDWCPRFHDRPASCVKCEFVAIAAHPPDSYYHKVGTLLTGRGLVQIWCILNVSENDEAPPSLKKPKRGHHSSHSVGDKSSLIIKGPKGRPSKKQVESPNGNGTEENSIQFKRPRGRPRKQQIEKPPSDEATKESSTQFKRPRGRPRKKEINESLDNSDCKNQCVEALAVQYPEDSSQLLAIEWVSGNTQEQTIQENKGRKRKASTKALSACNSAAETTGSRRQKTKASAAGKCAGETCPPLLTQNDDDQSSPAIHQIHENTIQDPAVLNCSSDNVPQENNSDSFSIPKDIALPRLVLCLAHNGKVVWDVKWQPCHSSDSKCQHRMGYLAVLLGNGTLEVWDVPLPHILKVIYSSSHREGTDPRFVKLEPVFRCSIAKCGEIQSIPLTVEWSTSCPHDYLLAGCHDGTVALWKFSASGPSGDTRPLLRFSADTLAIRAVAWAPVGSIQESANVIVTAGHGGLKFWDLRDPFRPLWELHPAPKFIYSLDWLPDPRCILLSFDDGTMRLLSLTKAAYDGHVNGKPTVGPKQQGIHVFNSSSFAIWSVQVSRKTGMVAYCSADGTVNRFQLTWKAVEKEASRHRAPHFMVGSLSKDESSITVNTPLLDSPLNLRKPFSVGDNPRTMRSLLEFNQTKRANDKKAKAPAAENQPLALCCGNDPGTQSGPDETLAALKNRIKPKSKNASTKMAGENLALVCVDERKDRGEKDGEKAEAAKEREVMPPKIVAMHRVRWNINKGSERWLCSGGAAGIVRCQEIIFSDTDKYLASKR >Manes.14G005300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1623963:1629078:-1 gene:Manes.14G005300.v8.1 transcript:Manes.14G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALFHWKSTSLMACAKEISHDTSKVEIPDKTRNASVLVLGGTGRVGGSTAIALSKLCPDLRLVIGGRNREKGAAMVAKLGKNSDFVQVNIDRVDSLEAALSDVDLVVHTAGPFQQAEKCSVLEAAIETKTAYVDVCDDTTYALRAKSFKERALAAKVPAITTGGIYPGLSNVMAAELVRVARTESKGKPERLRFHYYTAGTGGAGPTILATSFLLLGEEVVAYSKGEKVKLKPYSGMLNIDFGKGIGKRDVYLLNLPEVRSAYEVLGVPTISARFGTAPFFWNWGMEAMTNLLPPEYLRDRSKVQQLVQLFDPLVRAVDGIAGERVSMRVDLECTDGRNTVGIFSHRRLSVSVGNATAAFVLAVLEGSTQPGVWFPEEPEGIAIEAREVLLQRAAEGTINFIMNKPPWMVETEPKELGLGIYV >Manes.11G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6573602:6574547:-1 gene:Manes.11G052600.v8.1 transcript:Manes.11G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDQSFRAGEATGRAEEKGDRATETVKEKGREAKDRTNQTAQQAKEKTGEAAESTKEKASEGKEKTKGVFQQTGEKVKQVAQSAADTVKTTLGLGQHRDDEHTTTPKESGR >Manes.14G036900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3237463:3239112:1 gene:Manes.14G036900.v8.1 transcript:Manes.14G036900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRICKICNRRFANGKAMGGHMRSHLAKLPLPPKPIPSEASILKSPSRSSSSSSFNYTSSENPMQSYRSINHELPFMSKTNLAALQDDESETESSRNPTRRRSKRSRKPVEKVAESVIKVADSTEQVSSISDISNEESVAMCLVMLSRDKWRRKKEVEEYMEEEAEAEDEDEDDYESIGEIQRRSKNKMKHKCETCQRSFRSYQALGGHKASHKKIKINLRDNEYEEEEGSGSGGIDSKNGVLGVKPRIFKCPFCDKVFESGQALGGHKKVHFSYLGNAKISVKSSDNLLDLNLPAPEDDGEVSQAEVSTVSNRNGK >Manes.12G060500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5967634:5969917:-1 gene:Manes.12G060500.v8.1 transcript:Manes.12G060500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMIFMFSSTLLFLVNSSANAQATSPPAPILPPTPSPAPAPAPISPYVNLTDLLSVAGPFHTFLNYLESTKVIDTFQNQANNTEQGITIFVPKDTAFSSLKKPSLSNLTQDQLKQLILFHALPRYYSLADFKNLSESSPVSTFAGSGQFALNFTDESGTVHLDSGWSKTKVSSSVHSTDPVAIYQVDKVLLPEAIFGTDIPPSSAPAPAPASEISPAADSPSSESTAGHSPGIAPPSSGHRIINSGVWIQLIFAVSGVLVLFL >Manes.12G060500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5967613:5969917:-1 gene:Manes.12G060500.v8.1 transcript:Manes.12G060500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMIFMFSSTLLFLVNSSANAQATSPPAPILPPTPSPAPAPAPISPYVNLTDLLSVAGPFHTFLNYLESTKVIDTFQNQANNTEQGITIFVPKDTAFSSLKKPSLSNLTQDQLKQLILFHALPRYYSLADFKNLSESSPVSTFAGSGQFALNFTDESGTVHLDSGWSKTKVSSSVHSTDPVAIYQVDKVLLPEAIFGTDIPPSSAPAPAPASEISPAADSPSSESTAGHSPGIAPPSSGHRIINSGVWIQLIFAVSGVLVLFL >Manes.S035916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:935044:935166:1 gene:Manes.S035916.v8.1 transcript:Manes.S035916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.13G004000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:965669:966769:-1 gene:Manes.13G004000.v8.1 transcript:Manes.13G004000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPRSSITRDSNLNNRNEESPSLFSLSGLPISISETKFIMGPCLKSLTFFLVLTAFFPFSAPSQPSIYDHLRQNGLPIGLLPKGITEFSLDPTTGHFQINLTQPCNAKFENQFHYDFNISGLLSYGKIGELSGVSQQELFLWFQVKGIRVDVPSSGLIYFDVGVVDKQFSLSLFENPLDCTASDPSDEHTDSRGSDDPKIQPGKLELENGAQDLRAAAAS >Manes.01G011432.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3288255:3289831:-1 gene:Manes.01G011432.v8.1 transcript:Manes.01G011432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLPHRSLYHLFKKYGPIMSMRLGSIPAIVVSSPQAAELFLKTHDVVFASRPIIQASVYMCYGNKGMAFSEYGPYWRSIRKLCTLQLLSPSKIEYFAPMRMEEVRLLVNSLKKAAAAREAVDISLGVGELIRNMSCKLVFGEANIYEFDLKLLIEEALNLTGAFNIADYVPFLGAFDLQGLKKRMKAFSKKMDKILDKIIDEHERKAQWQKQQQIRDFVDVLLSLMNQPTASNDESLSTLDRTNIKAILQDMIIGSFDTSAITIEWTLTEPLRHPSAMKRLQDELQSVVGLDKMVEEKDLSNLPYLDMVIKESLRLHPVGPLLIPRSCMEEIIIDGYHIPKKARIIVNAWGIGRDPDVWSDNAEEFLPERFAAKSIDIRGRDFEFLPFGSGRRGCPGMQLGLTVVRLVIAQLVHCFNWELPDGVLPGELDMSEVFGLSLPRASHLVVVPKYRLGI >Manes.01G264404.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41898759:41899972:-1 gene:Manes.01G264404.v8.1 transcript:Manes.01G264404.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRSTTKRTPIAKSSASSTDDSSSSNRSSSAHRRSRSLSCFSRPLPPSGMTYLATRPFQEGGSSTLLGDRVSRMLLSMISLFSSSVLLIEAVLIRELMMSALGIKSVCRKEEGGPCRGTVRELVKGKLVVEMAMSGDRLIPIIIQGGDALYLLSDIKLVILRQRV >Manes.12G114100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31504639:31507711:1 gene:Manes.12G114100.v8.1 transcript:Manes.12G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQQSDQSFNNSTSRTSSSSRSSRQNNHHHYQQEDEECFNFFMDEEDFSSSSSKHYYPYHHQQHTTATTPTTTTTNTSTPTNQHALESSDFSFSPTCEVNFEFSGNWASDILLETARAIADKNSSRVQQLMWMLNELASPYGDPDQKLASYFLQALFSRMTDSGERCYRTLASASDKTCSFESTRKMVLKFQEVSPWTTFGHVSCNGAIMEAFEGETKLHIIDISNTYCTQWPTLLEALATRTDETPHLRLTTVVAKKTSGGCGGNGGLAAAQKVMKEIGSRMEKFARLMGVPFKFNVIHHAGDLCDLNLAELDIKDDEALAINCVGSLHSVTSVANRRDYIISSFRRLQPRIITIVEEEADLDVGVDGLDFVRGFQECLRWFRVYFESLEESFSRTSNERLMLERTAGRAIVDLVACPPSDSIERRETATRWSSRLHASGFSAVLFSDEVCDDVRALLRRYKEGWSMTQCSDAGIFLCWKDQPVVWASAWRP >Manes.08G048500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4909856:4911560:-1 gene:Manes.08G048500.v8.1 transcript:Manes.08G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCRKSPLKPWKKGPTRGKGGPQNAMCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYLNLPHLHPSSIAPLINNKSHKFKWIPSKNFISMFPSRGLLNIHAQPSVHVIHQRLQELKKNGVFGQSSNVSSSSSSDSRNEVNIVNDKTHVENPPLMEKDMEITSEEMMGCHVHEEKPQIDLNEFLQQLGVLKVERQPENNDAPENFMENESPLKYYDEENNLAALEDKSFNWDSLIEMHGLADHHQAAETATLQVHDVQDDVSFPASIWNF >Manes.16G120500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32347997:32349910:1 gene:Manes.16G120500.v8.1 transcript:Manes.16G120500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTEGVNNLNITDSASANKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKRIMTSTVDMREETGGRPVPKAKIEILLGKTEKFDELMAAATEEVIDNDEQS >Manes.13G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5774982:5776255:-1 gene:Manes.13G050600.v8.1 transcript:Manes.13G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGVISTGSHASFHTISKSKLSTQPSSHLSFNTHFSKPSFSLRTHSKSIRTTPIKASATTTAAAVDNIYTNTQTFYDLLGISEGGTLSEIKKAYKQLARKYHPDVSPPGCTAEYTKRFIQVQEAYETLSDPQSRALYDRDIAGGLDLHMIFSSRKRSCSGEGLDEDTSEWKERWQSQLTELIRMSNYNDMESMSWGAKMRSQRSCRI >Manes.11G092400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14554332:14576229:-1 gene:Manes.11G092400.v8.1 transcript:Manes.11G092400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYRHLREISVSLSRIFLGNHLQQSREAINLCSSSLLGPVHHSGNYRISDSRILDKEVHSQRYSQVPNNIQVTDESTPLLVDDILSFIQSTFSELEGPDHCWLNRVEGDEDHFKKDGIFLALAGQFFENSHIVSMIEKMKLLQQKYPELCVLGFHSGNSIGNAAVQTCFIELIMQEYITFPILLSNKNFLELESGACYILFRDMMTPFIYHEKDLDLGMLNRDIEELHMQQNGNVVNKNSSSHNLKNTWVKQAEVIKEPDLSSPLQNMLLYFPGCISADESSDRIFLSDSNHHRIIIMDGNGKIMDCIGSSPGFEDGAFESAKLLRPSGSFYHDAEDCLYIVDSENQAIRRADMKRRVLDTLYPICSVNKSSSIWTWIANKIGFATEIDSKSKEFNSQLLAFPWHLLKSVDDSFLIINRRFETLWIMDLTSGEIKECIEGFPNIFETYGQLIMDKISLLKQMPSDWLQSHINANSSPKGLPFASLISAVTTFQNFLVVSDTVAQGVMKLDTESGGSSNFQFSNFGILGFPYWPSFYLERVYPNAPPCGGWTDHLQSFSSLPGRIEIRLNVDIPMDVELVEPLQEGCIWRQARGAATEVLGRECTVGSSEKVGVAQQWYDDLDNLAFSTPESESEITSEDSYTSDVKSEDGRMHIDCAVNTSPGTSEVIIYAALYLRLKRDPDLKEDSRENDAARIADILDPGRRRGIRRDACIQLLKTNANLRDLIFMRPLHVRIKLDCADHPKADNGKDIILTNSNMEVNVTLDT >Manes.14G032700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2945507:2946992:1 gene:Manes.14G032700.v8.1 transcript:Manes.14G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSIDPQHCANGCGFYGSTEYRNLCSSCYREEIKKASMEIEEPLNQKSSPPPSNATSRFFNSAPRSAFSFHSNNISGSNSFFGASSSNTKQFSFSAASSLTDKTCISHRANPNNFLFGSSTVRKDVCNTCNKRVGLTGFRCRCGNKFCGKHRYPEEHSCSFDYKAFARENMLKQNQVCKGDTDKLRNRI >Manes.09G088900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26292055:26310935:-1 gene:Manes.09G088900.v8.1 transcript:Manes.09G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAVCLDISTEVMGIGKENTGITELKRDHQSLVDDNEPESFPNKRQAREASNEDIKSEVSNPVISPKENAPSCQDITSQPTELATCKQMGAGEVTSTFSENSSPLDTLSEDGEHNSRNNACQNDFAGVGSSDSVSTTHVVLEIPKHASSSGIRKITFKFSKRKEDYDTQNFSSVTNPVGNGIGQKHCSKERERNYSAWVDSGAEMVGSENRHFCAPNMELKMSKKVVPGNYPTNVKKLLSTGILDGARVKYFSPERELDGIIDGGGYLCGCSSCNFSKVLSAYEFEQHAGCKTRHPNNHIYLGNGKPICSIIHELKTAPLSALDEVIKDVAGSCINEEFFQVWKASLQQSNEISGVEKRCYNMPPCSPSSLGSYSNQAFEGSFCLTSSSFVHNNPFRQQKYMEISEEQKRALKRPSSLVQQKKTNEGGTKRRDNDLHRLLFMPNGLPDGAELAYFVKGQKLLAGYKQGNGIVCSCCDREISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIAISLANGQSVTTGISDDMCANCGDGGDLIFCESCPRAFHMACLGLQCIPKGVWHCSNCNKFENGGNVVRPIVIRLTRVVKTPENEVGGCVFCRAHDFSTNNFDDRTVILCDQCEREFHVGCLRNNGLCDLKALPKGNWFCSSDCNRIYMALRNFVSDGVQLIPASQLNIITRKYAEKGLSIGGLANDDVQWRILMGKSRDKEDLSLLSAAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVLLLVRNVVVSAGLLRIFGREVAELPLVATSREHQGKGYFQALFSCIERLLCSLKVVNLVLPAAEEAESIWTGRFGFRKMSEGQVSKYTRELQLTIFKGTSMLEKAVSPIID >Manes.09G088900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26292055:26310926:-1 gene:Manes.09G088900.v8.1 transcript:Manes.09G088900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAVCLDISTEVMGIGKENTGITELKRDHQSLVDDNEPESFPNKRQAREASNEDIKSEVSNPVISPKENAPSCQDITSQPTELATCKQMGAGEVTSTFSENSSPLDTLSEDGEHNSRNNACQNDFAGVGSSDSVSTTHVVLEIPKHASSSGIRKITFKFSKRKEDYDTQNFSSVTNPVGNGIGQKHCSKERERNYSAWVDSGAEMVGSENRHFCAPNMELKMSKKVVPGNYPTNVKKLLSTGILDGARVKYFSPERELDGIIDGGGYLCGCSSCNFSKVLSAYEFEQHAGCKTRHPNNHIYLGNGKPICSIIHELKTAPLSALDEVIKDVAGSCINEEFFQVWKASLQQSNEISGVEKRCYNMPPCSPSSLGSYSNQAFEGSFCLTSSSFVHNNPFRQQKYMEISEEQKRALKRPSSLVQQKKTNEGGTKRRDNDLHRLLFMPNGLPDGAELAYFVKGQKLLAGYKQGNGIVCSCCDREISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIAISLANGQSVTTGISDDMCANCGDGGDLIFCESCPRAFHMACLGLQCIPKGVWHCSNCNKFENGGNVVRPIVIRLTRVVKTPENEVGGCVFCRAHDFSTNNFDDRTVILCDQCEREFHVGCLRNNGLCDLKALPKGNWFCSSDCNRIYMALRNFVSDGVQLIPASQLNIITRKYAEKGLSIGGLANDDVQWRILMGKSRDKEDLSLLSAAAAIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCVLLLVRNVVVSAGLLRIFGREVAELPLVATSREHQGKGYFQALFSCIERLLCSLKVVNLVLPAAEEAESIWTGRFGFRKMSEGQVSKYTRELQLTIFKGTSMLEKAVSPIID >Manes.11G052140.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5718083:5719469:-1 gene:Manes.11G052140.v8.1 transcript:Manes.11G052140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPGLPDDVARECLVRLMYRQFSTVLSVSKGWRTQLESPEFYRRRKDTSTSQKLVVMAQARVDPNEVFKFVKYPLIPVHRLTLLEVDTGDRCELPPIPEFSDGLPLFCQVVSVGSDIVVLGGLDPATWEVSGSVFVFDFVSATWRRGSDMPGVRRSFFGCASDSDRMVYVAGGHDSDKNALRSAMAYDVAKDEWIQLPDMARERDECKAIFHGGKLHVIGGYSTEKQGRFERDAEVLDLATWTWNHIQQFLESTTCPKTCTSGDDGIYMCQGDYVVALKGTTWQVVYMLPCDVDNVAYLAKWQDKLLVIGSAGFGEPHVAYVLDLNKYRWTKMETPRQYSGHVQSGCYLEI >Manes.14G067000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5610756:5613079:1 gene:Manes.14G067000.v8.1 transcript:Manes.14G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSISESSRPDRKTVERNRRIHMKGLCFKLASLIPSHHFKHSKDMLSQQGQLDHAAAYIKHLKERIEKLKKIKEQAMVISGANNNKIMMGLRLPIVELRDLGSSIEVVLISGLKKNFMMYEVITIIEEEGAEVVSVSFSTVGDKVFHTIHAQVKICRVGVETSRVCQRLQELIDWSCI >Manes.18G141013.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25631457:25632093:1 gene:Manes.18G141013.v8.1 transcript:Manes.18G141013.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLRRSCLGIYIVYAFNFAWFVEFYNYLRFRMALAVCRWVTRQWKSQRRTSRPHRRQSSKPWGPYLKLICTAACMKSNTEEKEEEGGGGGGGGGGGGDREGEEEEEEEEEGDREGEEEEEEEEEEGEEGDRKGEEEEEEGERMNILVFLTKTNDDLIENLTEGTNGMVFKNHSD >Manes.01G191900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36711281:36715656:1 gene:Manes.01G191900.v8.1 transcript:Manes.01G191900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGDWLYCCKRCFQKPSTSASASSSPESMEPTEETQGNVSSSVNGNSNIIQEKKPGGWRAMPYILGNETFERLATFGLLANFMVYLMREFHMEQVTAANVINIWSGITNFAPLIGAFISDSYVGRFTTIAFASCAAFLGMVTVTLTAWLPYLHPPKCYPDQHPFGPCESPTALQLGILLLGLALLSIGTGGIRPCSIPFGVDQFDPTTEDGMKGINSFFNWYYTTFTVVILITLTVVVYIQDTVSWVIGFGIPTVLMLCSIVLFFIGTNIYVHVKPEGSIFSGIAQVFVAAYTKRKLKLPDDGALEVDGVFYDPPLKETVLSKLPLTNNFRFLNKAAMIEKNDLNADGYCANEWKLCSIQQIEEVKCLLKIGPVWASGIISFTSMSQQGTFTVSQALKMDRHLGPKFQIPAGSISVISMITIGIWLPLYDRVMVPCLRKITKHESGITLLQRMGIGIVFSILSMVVAGLVERDRRAVAISHPGGAPMSVMWLAPQLVIMGLCEAFNFIGQIEFYNKEFPEHMRSIANSLFFCSFAGASYLSSLVITVVHKSTRTKDHPDWLTNDLNAGKLDYFYFLLAGMGSLNFLYFLFCAHRYRYKITSLQIEDKSKHDVELSLSKKIPT >Manes.03G126601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25315753:25316750:-1 gene:Manes.03G126601.v8.1 transcript:Manes.03G126601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCVNPSNPMLFDFCWVWFIGEDSGCPEQCCLTFREFGLDWFWKGFIFFFGIRPYVFGAYDTTAVKEGEA >Manes.01G134800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32780596:32787932:1 gene:Manes.01G134800.v8.1 transcript:Manes.01G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFVARGLRLPSSSKSISNDSLRSHISRFFSTESTGGRSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFRAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTVKGNDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQRPGGKQKPLEKDAGERTIAWLDKLRNSNGSLPTSQIRLNMQRVMQTNAAVFRTQETLEEGCQLIDKAWESFDDVKLKDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDANWMKHTLGYWEKEKVRLEYRPVHMNTLDDEIESFPPKARVY >Manes.10G098200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24700488:24701299:1 gene:Manes.10G098200.v8.1 transcript:Manes.10G098200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRSFIKMARKWKSIAFTRRKGISIPRSKGGGENESSYVTKGHFVVYSSDEKRFVIPLGHLNSEIFQVLLRLSEEEFGLTNEGPIRLTCDSLLMEYVVSIVEKG >Manes.09G080700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11961283:11964656:-1 gene:Manes.09G080700.v8.1 transcript:Manes.09G080700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANVVSQNKLTPKSATDKTNVVSKGSQEQANQVSPQALKAGCKNGESTSFCKPPKIVGRVSPMLTSANKRASLGVNRVKMEKDSAKPSTDHSDNPKKFTVAGRGTKLPTMGGSRNTLPKSTLPSKSSLQSSVASKTELTTPSSSVDSLESLSSESSTTRSLNSVKRKTDSRTGNRSSTVSTVKSTLRTASRSKNQSVTSHISPYLKSVTKLSSSVSPSSSISEWSLESVSPTSTLNKRTNSSRPTLDIASCEDALDNGDAPRVFDSKNHSGERGSVGHDTHVIGLPSESGKRASIGAGALGHLDSIKPSRLRMPSPKIGFFDGARSTVRSPGGSMQSQPAVPNGLPRYRVRNVSLSGGSNEAKLGKSQPARAALAVRAAKTCAQQPVCSTSTNGKYCPGMPPKVQNKMSPGNSGQRNLKVEKITAEDCDTVNTILSQKVGEKEILGSLSNTSEKGLDLAQQVEAINIHREIQQKPVLDCSLHQVSTSGKVNV >Manes.02G187850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15050751:15051458:1 gene:Manes.02G187850.v8.1 transcript:Manes.02G187850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFLALYGRNPPMVPRYELGSSLVHEVDNALATRDEILRDLKIHLSRSVHQMKQLADKKRRDMEFHPGDFIYLKLQPYRQQSVSKRAFQKLASRFYGPFLVEEKIGNLAYRLQLPSGSKIHPVFHVSLLKKHIGDAVPISSDFPQLTDDGYFIFEPAEVLDTRWVRLGNRLSEESLVRWKHLPQEDATWECTADLQARFPTLNLEDKVPADGGGTDRPIRRLSRVIIKNKKYLD >Manes.12G099200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24063439:24069839:1 gene:Manes.12G099200.v8.1 transcript:Manes.12G099200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYCVTEQKPCVGWVEKYFKDCLCNLRDDFSFGFGLISLVCWGVAEIPQIITNFRTKSSHGVSLLFLLTWVAGDVFNLVGCLLEPATLPTQFYTALLYTVSTVVLVLQGFYYDHIYKLWKWQRADADQQAVDDDKKPLKPKSAGSAIPIPTASPRATPRREYYYTSARSMASSGTPPFRSYLRAARSGPSAMGLDHESSSDDEAASVPSKFVSQPRPIPRSAGYGTFLATSLNLPLHSKALTDAYIGFTGRRLLHEGGAMEHSSFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALVANLTYVLSILVRTTEWDSIKANMPWLLDAAVCVALDLFIILQYIYYRYLHRKMMSREEEYGDYMDANKQ >Manes.07G094900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29449836:29451762:-1 gene:Manes.07G094900.v8.1 transcript:Manes.07G094900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHCSPLSWACFNQDEEIEELRHCLLYITELEATIFSAKEEIARREVEIVHIKDLLSRSVEERNEAKVECQKLMLEKISLQQLLLKKQQKPQNLQNHHHHQQQQLLLQEEIQNLKQEAPPPLSGSSSSEDGDSHNHLTSLDPTKITVSSQFSDPISQQPLPEVILKLAADRPLPEKGKLLQAVKEAGPLLQTLLLAGPLPQWQHPPPQLDSIEIPPVTISSPTAPLIHQDSFNNWSACLSKKRSLDLCESGPDSSFSPKTKHQMLVLH >Manes.07G094900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29449836:29451762:-1 gene:Manes.07G094900.v8.1 transcript:Manes.07G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHCSPLSWACFNQDEIEELRHCLLYITELEATIFSAKEEIARREVEIVHIKDLLSRSVEERNEAKVECQKLMLEKISLQQLLLKKQQKPQNLQNHHHHQQQQLLLQEEIQNLKQEAPPPLSGSSSSEDGDSHNHLTSLDPTKITVSSQFSDPISQQPLPEVILKLAADRPLPEKGKLLQAVKEAGPLLQTLLLAGPLPQWQHPPPQLDSIEIPPVTISSPTAPLIHQDSFNNWSACLSKKRSLDLCESGPDSSFSPKTKHQMLVLH >Manes.10G063800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9960856:9961866:-1 gene:Manes.10G063800.v8.1 transcript:Manes.10G063800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLATSFLHLKAPSLNSPSLPHFISLKSHNPNTNFSSISTLRSVRASSDHTHKPSILKSLTKAAILIGFTASVAVRFSVLPARAETSVPLTENRPTLEEEEEAEVEEVEVEKQQNQNLNDRSTPFSRLLDSSAEAIESLKSLLQQKLENGEDEEALKVLQRLVDAQPSVTEWKFLMARLLNEVGRTLDARQVFEEILHVNPLSFEALFENALLMDRCGEGDAVIRRLQEALDIAKEDNKVKEARDVRFIIAQIQFLQKNVEKALKSYKELSKEDPSDFRPYFCRGMIYSLLDKNEEAREQFAKYRELSPKKFEVEGYLRTPLSRMKVFGSNEEK >Manes.16G105300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31082324:31092906:1 gene:Manes.16G105300.v8.1 transcript:Manes.16G105300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYRGNRNASGRMQDAHWILMMEGSKYADRLPIGLEKVIRTVSAETVKQFYRKWYHLQNMAVIAVGDFSDTKSVVELIKMHFGQKCPERDPPLIPVFEVPPHEEPRFSCFVESEAAGSAVMISYKMPAEELKKVKDYKSMLVESMFLYALNQRFFKLSRRKDPPYFSCSAAADVIVSPLKACIMTSSCKEKGTLLALESMLLEVARVRLHGFSEREISIVRALLMAEIESAYLERDQMQSTNLRDEYLQHFLRNEPVVGIEYEAQLQKTILPQISALEVSKYSEKLRTSCSCVVKTIEPRASATVDGLKRVLLKISNLEEEGSISPWDDEKIPEEIVDTKPNPGSILHQLDYSNIGASELILSNGMRVCYKCTDLLDDQVLFTGFSYGGLSEIPESDYFSCSLGSTIAGEIGIFGYRPSVLTDMLAGKRVEVGTKIGAYMRTFSGDCSPSDLETALQLVYQLFTTNVIPGEEDVKIVMQMAEEAVRAQERDPYTAFADRVKELNYGSSYFFRPIRIKDLRKVDPLKACEYFNNCFKDPSTFTVVIVGNLDPTVALPLILQYLGGITKPPEPILHFNRDDLKGLPFTFPTSMIREVVRSPMVEAQCSVQLSFPVVLKNGTMVEEIHRIGFLSKLLETKIMQVLRFKHGQIYSAGVSVFLGGNRPSRTGDIRGDISINFSCDPEISSKLLDLALDEILRLQEEGPLVEDVATVLELEQRAHENGLQENIYWLERILRSYQSRIYDGDLGNAFEIQDEGRSNVRQSLTTTTVQSTLQGILPYPCKKQYTAVILMPQTSRFQLLRSFFKSTQIYARDAKIGASIACFTVLAFTFWRYARSNRRS >Manes.16G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31082324:31092906:1 gene:Manes.16G105300.v8.1 transcript:Manes.16G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPAETTSQIAKKHGFRSLKLVNVDLDQVLEGQPFGAEYGRLDNGLIYYVRRNSKPRMRAALALAVKAGSVLEEEEERGVAHIVEHLAFSATSKYTNHDIVKFLESIGAEFGACQNAVTSADETVYELFVPVDKPELLSQAISVLAEFSSEVRVSKDDLEKERGAVMEEYRGNRNASGRMQDAHWILMMEGSKYADRLPIGLEKVIRTVSAETVKQFYRKWYHLQNMAVIAVGDFSDTKSVVELIKMHFGQKCPERDPPLIPVFEVPPHEEPRFSCFVESEAAGSAVMISYKMPAEELKKVKDYKSMLVESMFLYALNQRFFKLSRRKDPPYFSCSAAADVIVSPLKACIMTSSCKEKGTLLALESMLLEVARVRLHGFSEREISIVRALLMAEIESAYLERDQMQSTNLRDEYLQHFLRNEPVVGIEYEAQLQKTILPQISALEVSKYSEKLRTSCSCVVKTIEPRASATVDGLKRVLLKISNLEEEGSISPWDDEKIPEEIVDTKPNPGSILHQLDYSNIGASELILSNGMRVCYKCTDLLDDQVLFTGFSYGGLSEIPESDYFSCSLGSTIAGEIGIFGYRPSVLTDMLAGKRVEVGTKIGAYMRTFSGDCSPSDLETALQLVYQLFTTNVIPGEEDVKIVMQMAEEAVRAQERDPYTAFADRVKELNYGSSYFFRPIRIKDLRKVDPLKACEYFNNCFKDPSTFTVVIVGNLDPTVALPLILQYLGGITKPPEPILHFNRDDLKGLPFTFPTSMIREVVRSPMVEAQCSVQLSFPVVLKNGTMVEEIHRIGFLSKLLETKIMQVLRFKHGQIYSAGVSVFLGGNRPSRTGDIRGDISINFSCDPEISSKLLDLALDEILRLQEEGPLVEDVATVLELEQRAHENGLQENIYWLERILRSYQSRIYDGDLGNAFEIQDEGRSNVRQSLTTTTVQSTLQGILPYPCKKQYTAVILMPQTSRFQLLRSFFKSTQIYARDAKIGASIACFTVLAFTFWRYARSNRRS >Manes.16G105300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31082324:31092906:1 gene:Manes.16G105300.v8.1 transcript:Manes.16G105300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTMFVGIPSLECVQLSLWPSRLVLEEEEERGVAHIVEHLAFSATSKYTNHDIVKFLESIGAEFGACQNAVTSADETVYELFVPVDKPELLSQAISVLAEFSSEVRVSKDDLEKERGAVMEEYRGNRNASGRMQDAHWILMMEGSKYADRLPIGLEKVIRTVSAETVKQFYRKWYHLQNMAVIAVGDFSDTKSVVELIKMHFGQKCPERDPPLIPVFEVPPHEEPRFSCFVESEAAGSAVMISYKMPAEELKKVKDYKSMLVESMFLYALNQRFFKLSRRKDPPYFSCSAAADVIVSPLKACIMTSSCKEKGTLLALESMLLEVARVRLHGFSEREISIVRALLMAEIESAYLERDQMQSTNLRDEYLQHFLRNEPVVGIEYEAQLQKTILPQISALEVSKYSEKLRTSCSCVVKTIEPRASATVDGLKRVLLKISNLEEEGSISPWDDEKIPEEIVDTKPNPGSILHQLDYSNIGASELILSNGMRVCYKCTDLLDDQVLFTGFSYGGLSEIPESDYFSCSLGSTIAGEIGIFGYRPSVLTDMLAGKRVEVGTKIGAYMRTFSGDCSPSDLETALQLVYQLFTTNVIPGEEDVKIVMQMAEEAVRAQERDPYTAFADRVKELNYGSSYFFRPIRIKDLRKVDPLKACEYFNNCFKDPSTFTVVIVGNLDPTVALPLILQYLGGITKPPEPILHFNRDDLKGLPFTFPTSMIREVVRSPMVEAQCSVQLSFPVVLKNGTMVEEIHRIGFLSKLLETKIMQVLRFKHGQIYSAGVSVFLGGNRPSRTGDIRGDISINFSCDPEISSKLLDLALDEILRLQEEGPLVEDVATVLELEQRAHENGLQENIYWLERILRSYQSRIYDGDLGNAFEIQDEGRSNVRQSLTTTTVQSTLQGILPYPCKKQYTAVILMPQTSRFQLLRSFFKSTQIYARDAKIGASIACFTVLAFTFWRYARSNRRS >Manes.03G210200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32524756:32534201:-1 gene:Manes.03G210200.v8.1 transcript:Manes.03G210200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKKVLDGESSSNPDINETIVPKPEMSDFELHSDAIYSRQLGDNVASSSGSNLRSFLTGMGFSPSHVDKVIEENGEDNVDLLLEILMECSGPQKSNSQSSDSLDRLFDDKDPTNLLEYSTFVQPKEEPDIFGVDDDKRASLLRMNFSVKEVDFAMEKLGVDAPVDEMVDFIIAAQVAADCNKEIDDKMDDGAEVDEDISNETLFGTMEKTLRLLEMGFSENEISLAIERFGSEVPVLELANSICAEQVGEKYIIRDKHSSKRSGMNRSRINSDAESFSVGMEGNKLKSEDFGQAAVSRSNNLNMEEVHRGKRPKQEHIVDYPDTQFGNIGFSDDHLKPEYTDDLSSYFEPTWVEEKVDEEIAGFKFPKALKSNSCRSVDRMVAKPPYFFYGNVATVSLITWGKISQFLYAIEPEFVNTRLFSALNRKEGYVHNLPTQSRFCILPKPPMSIEDAMPHTKEWWPSWDTRKQLSCINFETGGVSQLCDRLGRMLTDSVGLLPSERKRDILLHCQKLNLIWVGPHKLSPIEPEYLEIILGYPLNHTQATESSLTERLHSLKYSFQIDTLAHHLSVLKSMFPDGITMLSIFSGIGGAEVALDRLGVRMKGVVSVETSEMKRRILRRWWQISGQTGELEQIENIQKLTSGRIDKLLEKYGGFDFIICQSPCTHCARSPKLDDAPSDFDFSLFCEFVRVLQLVRRTMGRRR >Manes.03G210200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32524756:32534207:-1 gene:Manes.03G210200.v8.1 transcript:Manes.03G210200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKKVLDGESSSNPDINETIVPKPEMSDFELHSDAIYSRQLGDNVASSSGSNLRSFLTGMGFSPSHVDKVIEENGEDNVDLLLEILMECSGPQKSNSQSSDSLDRLFDDKDPTNLLEYSTFVQPKEEPDIFGVDDDKRASLLRMNFSVKEVDFAMEKLGVDAPVDEMVDFIIAAQVAADCNKEIDDKMDDGAEVDEDISNETLFGTMEKTLRLLEMGFSENEISLAIERFGSEVPVLELANSICAEQVGEKYIIRDKHSSKRSGMNRSRINSDAESFSVGMEGNKLKSEDFGQAAVSRSNNLNMEEVHRGKRPKQEHIVDYPDTQFGNIGFSDDHLKPEYTDDLSSYFEPTWVEEKVDEEIAGFKFPKALKSNSCRSVDRMVAKPPYFFYGNVATVSLITWGKISQFLYAIEPEFVNTRLFSALNRKEGYVHNLPTQSRFCILPKPPMSIEDAMPHTKEWWPSWDTRKQLSCINFETGGVSQLCDRLGRMLTDSVGLLPSERKRDILLHCQKLNLIWVGPHKLSPIEPEYLEIILGYPLNHTQATESSLTERLHSLKYSFQIDTLAHHLSVLKSMFPDGITMLSIFSGIGGAEVALDRLGVRMKGVVSVETSEMKRRILRRWWQISGQTGELEQIENIQKLTSGRIDKLLEKYGGFDFIICQSPCTHCARSPKLDDAPSDFDFSLFCEFVRVLQLVRRTMGRRR >Manes.03G210200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32524756:32534201:-1 gene:Manes.03G210200.v8.1 transcript:Manes.03G210200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGKLKKVLDGESSSNPDINETIVPKPEMSDFELHSDAIYSRQLGDNVASSSGSNLRSFLTGMGFSPSHVDKVIEENGEDNVDLLLEILMECSGPQKSNSQSSDSLDRLFDDKDPTNLLEYSTFVQPKEEPDIFGVDDDKRASLLRMNFSVKEVDFAMEKLGVDAPVDEMVDFIIAAQVAADCNKEIDDKMDDGAEVDEDISNETLFGTMEKTLRLLEMGFSENEISLAIERFGSEVPVLELANSICAEQVGEKYIIRDKHSSKRSGMNRSRINSDAESFSVGMEGNKLKSEDFGQAAVSRSNNLNMEEVHRGKRPKQEHIVDYPDTQFGNIGFSDDHLKPEYTDDLSSYFEPTWVEEKVDEEIAGFKFPKALKSNSCRSVDRMVAKPPYFFYGNVATVSLITWGKISQFLYAIEPEFVNTRLFSALNRKEGYVHNLPTQSRFCILPKPPMSIEDAMPHTKEWWPSWDTRKQLSCINFETGGVSQLCDRLGRMLTDSVGLLPSERKRDILLHCQKLNLIWVGPHKLSPIEPEYLEIILGYPLNHTQATESSLTERLHSLKYSFQIDTLAHHLSVLKSMFPDGITMLSIFSGIGGAEVALDRLGVRMKGVVSVETSEMKRRILRRWWQISGQTGELEQIENIQKLTSGRIDKLLEKYGGFDFIICQSPCTHCARSPKLDDAPSDFDFSLFCEFVRVLQLVRRTMGRRR >Manes.03G210200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32524756:32534201:-1 gene:Manes.03G210200.v8.1 transcript:Manes.03G210200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKKVLDGESSSNPDINETIVPKPEMSDFELHSDAIYSRQLGDNVASSSGSNLRSFLTGMGFSPSHVDKVIEENGEDNVDLLLEILMECSGPQKSNSQSSDSLDRLFDDKDPTNLLEYSTFVQPKEEPDIFGVDDDKRASLLRMNFSVKEVDFAMEKLGVDAPVDEMVDFIIAAQVAADCNKEIDDKMDDGAEVDEDISNETLFGTMEKTLRLLEMGFSENEISLAIERFGSEVPVLELANSICAEQVGEKYIIRDKHSSKRSGMNRSRINSDAESFSVGMEGNKLKSEDFGQAAVSRSNNLNMEEVHRGKRPKQEHIVDYPDTQFGNIGFSDDHLKPEYTDDLSSYFEPTWVEEKVDEEIAGFKFPKALKSNSCRSVDRMVAKPPYFFYGNVATVSLITWGKISQFLYAIEPEFVNTRLFSALNRKEGYVHNLPTQSRFCILPKPPMSIEDAMPHTKEWWPSWDTRKQLSCINFETGGVSQLCDRLGRMLTDSVGLLPSERKRDILLHCQKLNLIWVGPHKLSPIEPEYLEIILGYPLNHTQATESSLTERLHSLKYSFQIDTLAHHLSVLKSMFPDGITMLSIFSGIGGAEVALDRLGVRMKGVVSVETSEMKRRILRRWWQISGQTGELEQIENIQKLTSGRIDKLLEKYGGFDFIICQSPCTHCARSPKLDDAPSDFDFSLFCEFVRVLQLVRRTMGRRR >Manes.03G210200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32524756:32533332:-1 gene:Manes.03G210200.v8.1 transcript:Manes.03G210200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAFCSLGQLILHLIWLINLLQEPDIFGVDDDKRASLLRMNFSVKEVDFAMEKLGVDAPVDEMVDFIIAAQVAADCNKEIDDKMDDGAEVDEDISNETLFGTMEKTLRLLEMGFSENEISLAIERFGSEVPVLELANSICAEQVGEKYIIRDKHSSKRSGMNRSRINSDAESFSVGMEGNKLKSEDFGQAAVSRSNNLNMEEVHRGKRPKQEHIVDYPDTQFGNIGFSDDHLKPEYTDDLSSYFEPTWVEEKVDEEIAGFKFPKALKSNSCRSVDRMVAKPPYFFYGNVATVSLITWGKISQFLYAIEPEFVNTRLFSALNRKEGYVHNLPTQSRFCILPKPPMSIEDAMPHTKEWWPSWDTRKQLSCINFETGGVSQLCDRLGRMLTDSVGLLPSERKRDILLHCQKLNLIWVGPHKLSPIEPEYLEIILGYPLNHTQATESSLTERLHSLKYSFQIDTLAHHLSVLKSMFPDGITMLSIFSGIGGAEVALDRLGVRMKGVVSVETSEMKRRILRRWWQISGQTGELEQIENIQKLTSGRIDKLLEKYGGFDFIICQSPCTHCARSPKLDDAPSDFDFSLFCEFVRVLQLVRRTMGRRR >Manes.09G055100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8975661:8978250:1 gene:Manes.09G055100.v8.1 transcript:Manes.09G055100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRKLPLPILKKLDRQPLPPPPPPLPPLPDPPPTPLTPLPTVTLKPTSHHSHLLRFLQIHLATKPLTPSHLLQFLKSKLHHHPQFSHYDFLIFNWASTIDSFRHDHSTFEWMARTLAITNRFSDLATLLSFMASNPCPCSEGIFSCPRIEPIFQFAISGYCKARKLDDALLAFESMRKLIDGRPSIAVYNILLHGCVKCGQHDKVTEIYNRMLKDRVNPDVFTFNILISSYCKNKKFEMALELFREMREKGCSPNVVSFNTLIMGFFRERKFNEGIKMAYEMIDLGCEFSSVTCEILVDGLCREDKIMEACELLIDFSRRRALPSSFDFYILVEKLCGKSNTGAALELLNQLWRNGNVPSVISCTTLIEGLRKLRKIEEAFRLMERMLKESIIPDILTFNYLVQDLCNVGRTVDADKLRLLASSKGLEPDDMTLYTLVYGYTREGKREEGEVLVDEMLDREFIPDLATYNRLMDGLSKSRSPAKNHFD >Manes.05G096850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8903451:8903558:1 gene:Manes.05G096850.v8.1 transcript:Manes.05G096850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVSDIKLIRTDTTLDLSQKAEKGMLCRITLGWF >Manes.15G037400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2925273:2925572:-1 gene:Manes.15G037400.v8.1 transcript:Manes.15G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLNIALAAFLLLSLHVQTYQASRILSGDLYSKELVLQSLPRGPVPPSGASGCTHIPNTGGPSCPNTVNTMNVAGHVLSPTGVFPRLMVEFGVATTQK >Manes.10G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31393317:31394516:-1 gene:Manes.10G147700.v8.1 transcript:Manes.10G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAGANNKSLQLVMLVVVMTGLVEQGKGHVCANTFFSALVQMIPCRAAVAPFSPIPPSEACCNAVKSLGQPCLCVLVNGPPISGVDRNMALQLPDKCTANFEPCEITKK >Manes.02G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2653670:2656482:-1 gene:Manes.02G032400.v8.1 transcript:Manes.02G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSLANLPWMPPAFTESKLHGTRPKSLLPHSLKHYSSRKTSWLGSSTTHMANFSVQSSNPARRPISATALSSLPTANPERFASIEKVPKWSWRAIKAFSMAELEARKLKYANTGTEALLLGILIEGTSLAAKYLWKNGITLLKVREETIKLLGKADMYFFPPEQPPLTESGQRALDWAVDHKLKSGDSGEITTSDLLLGIWSEVESPGHKILAALGFSDEKAKELESLSSGPEFLDG >Manes.02G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2653670:2656482:-1 gene:Manes.02G032400.v8.1 transcript:Manes.02G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDPNLYYLTLLNTTLLEKHHGWAVPPPTWPISLFSPQILPAVPSPRRPSPAFPLRFCRNPERFASIEKVPKWSWRAIKAFSMAELEARKLKYANTGTEALLLGILIEGTSLAAKYLWKNGITLLKVREETIKLLGKADMYFFPPEQPPLTESGQRALDWAVDHKLKSGDSGEITTSDLLLGIWSEVESPGHKILAALGFSDEKAKELESLSSGPEFLDG >Manes.08G090700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30174271:30180625:1 gene:Manes.08G090700.v8.1 transcript:Manes.08G090700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSNFSETEHEGKEEDKEEEHSMFSVKSILWHGGSTWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQIFYGLVGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQAEGVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSASAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKNAAEKPPFFLPSWTAMYVVNTFVVVWIFVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPPVPPPAVAAPPPSKTTRHH >Manes.08G090700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30174271:30180625:1 gene:Manes.08G090700.v8.1 transcript:Manes.08G090700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSNFSETEHEGKEEDKEEEHSMFSVKSILWHGGSTWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQIFYGLVGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQAEGVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSASAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFLPSWTAMYVVNTFVVVWIFVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPPVPPPAVAAPPPSKTTRHH >Manes.08G090700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30174271:30180625:1 gene:Manes.08G090700.v8.1 transcript:Manes.08G090700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSNFSETEHEGKEEDKEEEHSMFSVKSILWHGGSTWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQIFYGLVGSWTAYLISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALVHGQAEGVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSASAVYWAFGDELLNHSNAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPFFLPSWTAMYVVNTFVVVWIFVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPPVPPPAVAAPPPSKTTRHH >Manes.13G029700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3862497:3867236:-1 gene:Manes.13G029700.v8.1 transcript:Manes.13G029700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINHPNLLRAHCSFISGSSLWIVMPFMAGGSCFHIMKSVRPNGFEQPVIATVLCEILKALAYLHAQGHIHRDVKAGNILIDSKGAVKLGDFGVSASMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLMMTLQNAPPGLDHERDKKFSKSFKEIVAACLVKDPKKRPSSEKLLKHRFFKHSRSNEFLARTILDGLSPLGDRFRMLKEKEAEFLAQNKAIYGDKEVLSQKEYIRGISEWNFDLEGLKKQATHIQDCDGISNGLQDLSSKEEGSDNLAVLGDRLSMQITNSSNCEKSTLLPEDGSKEMNNVQIVESSLASYPVRPPELQPLNSHFDSHLDNVNGHSSNQEGANHSISKDSMEVLSSISDKGTRSFGSDDLKFFSSLPLDGNSGSSSFSWSVQSKNDVPLNSSPIGSNNSSVGGSINSMTSSSVSILSSLQRILVQNTMQREQTLRVIRYVDNLTEFMKATSHNEYMQMLSASVRERELQSQIINMQLRIRQLVDDLQEQKNKNSELEGQLNTLLNKV >Manes.13G029700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3862497:3867236:-1 gene:Manes.13G029700.v8.1 transcript:Manes.13G029700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPTKSFPLSSEDYKLYEEVGEGVSATVYRALCIPRNEIVAIKVLDLERCNNDLDNIRREVHTMSLINHPNLLRAHCSFISGSSLWIVMPFMAGGSCFHIMKSVRPNGFEQPVIATVLCEILKALAYLHAQGHIHRDVKAGNILIDSKGAVKLGDFGVSASMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLMMTLQNAPPGLDHERDKKFSKSFKEIVAACLVKDPKKRPSSEKLLKHRFFKHSRSNEFLARTILDGLSPLGDRFRMLKEKEAEFLAQNKAIYGDKEVLSQKEYIRGISEWNFDLEGLKKQATHIQDCDGISNGLQDLSSKEEGSDNLAVLGDRLSMQITNSSNCEKSTLLPEDGSKEMNNVQIVESSLASYPVRPPELQPLNHFDSHLDNVNGHSSNQEGANHSISKDSMEVLSSISDKGTRSFGSDDLKFFSSLPLDGNSGSSSFSWSVQSKNDVPLNSSPIGSNNSSVGGSINSMTSSSVSILSSLQRILVQNTMQREQTLRVIRYVDNLTEFMKATSHNEYMQMLSASVRERELQSQIINMQLRIRQLVDDLQEQKNKNSEVSF >Manes.13G029700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3861866:3867236:-1 gene:Manes.13G029700.v8.1 transcript:Manes.13G029700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPTKSFPLSSEDYKLYEEVGEGVSATVYRALCIPRNEIVAIKVLDLERCNNDLDNIRREVHTMSLINHPNLLRAHCSFISGSSLWIVMPFMAGGSCFHIMKSVRPNGFEQPVIATVLCEILKALAYLHAQGHIHRDVKAGNILIDSKGAVKLGDFGVSASMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLMMTLQNAPPGLDHERDKKFSKSFKEIVAACLVKDPKKRPSSEKLLKHRFFKHSRSNEFLARTILDGLSPLGDRFRMLKEKEAEFLAQNKAIYGDKEVLSQKEYIRGISEWNFDLEGLKKQATHIQDCDGISNGLQDLSSKEEGSDNLAVLGDRLSMQITNSSNCEKSTLLPEDGSKEMNNVQIVESSLASYPVRPPELQPLNHFDSHLDNVNGHSSNQEGANHSISKDSMEVLSSISDKGTRSFGSDDLKFFSSLPLDGNSGSSSFSWSVQSKNDVPLNSSPIGSNNSSVGGSINSMTSSSVSILSSLQRILVQNTMQREQTLRVIRYVDNLTEFMKATSHNEYMQMLSASVRERELQSQIINMQLRIRQLVDDLQEQKNKNSELEGQLNTLLNKV >Manes.13G029700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3862497:3867236:-1 gene:Manes.13G029700.v8.1 transcript:Manes.13G029700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINHPNLLRAHCSFISGSSLWIVMPFMAGGSCFHIMKSVRPNGFEQPVIATVLCEILKALAYLHAQGHIHRDVKAGNILIDSKGAVKLGDFGVSASMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLMMTLQNAPPGLDHERDKKFSKSFKEIVAACLVKDPKKRPSSEKLLKHRFFKHSRSNEFLARTILDGLSPLGDRFRMLKEKEAEFLAQNKAIYGDKEVLSQKEYIRGISEWNFDLEGLKKQATHIQDCDGISNGLQDLSSKEEGSDNLAVLGDRLSMQITNSSNCEKSTLLPEDGSKEMNNVQIVESSLASYPVRPPELQPLNHFDSHLDNVNGHSSNQEGANHSISKDSMEVLSSISDKGTRSFGSDDLKFFSSLPLDGNSGSSSFSWSVQSKNDVPLNSSPIGSNNSSVGGSINSMTSSSVSILSSLQRILVQNTMQREQTLRVIRYVDNLTEFMKATSHNEYMQMLSASVRERELQSQIINMQLRIRQLVDDLQEQKNKNSEVSF >Manes.13G029700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3862497:3867236:-1 gene:Manes.13G029700.v8.1 transcript:Manes.13G029700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINHPNLLRAHCSFISGSSLWIVMPFMAGGSCFHIMKSVRPNGFEQPVIATVLCEILKALAYLHAQGHIHRDVKAGNILIDSKGAVKLGDFGVSASMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLMMTLQNAPPGLDHERDKKFSKSFKEIVAACLVKDPKKRPSSEKLLKHRFFKHSRSNEFLARTILDGLSPLGDRFRMLKEKEAEFLAQNKAIYGDKEVLSQKEYIRGISEWNFDLEGLKKQATHIQDCDGISNGLQDLSSKEEGSDNLAVLGDRLSMQITNSSNCEKSTLLPEDGSKEMNNVQIVESSLASYPVRPPELQPLNSHFDSHLDNVNGHSSNQEGANHSISKDSMEVLSSISDKGTRSFGSDDLKFFSSLPLDGNSGSSSFSWSVQSKNDVPLNSSPIGSNNSSVGGSINSMTSSSVSILSSLQRILVQNTMQREQTLRVIRYVDNLTEFMKATSHNEYMQMLSASVRERELQSQIINMQLRIRQLVDDLQEQKNKNSEVSF >Manes.13G029700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3862497:3867236:-1 gene:Manes.13G029700.v8.1 transcript:Manes.13G029700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINHPNLLRAHCSFISGSSLWIVMPFMAGGSCFHIMKSVRPNGFEQPVIATVLCEILKALAYLHAQGHIHRDVKAGNILIDSKGAVKLGDFGVSASMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLMMTLQNAPPGLDHERDKKFSKSFKEIVAACLVKDPKKRPSSEKLLKHRFFKHSRSNEFLARTILDGLSPLGDRFRMLKEKEAEFLAQNKAIYGDKEVLSQKEYIRGISEWNFDLEGLKKQATHIQDCDGISNGLQDLSSKEEGSDNLAVLGDRLSMQITNSSNCEKSTLLPEDGSKEMNNVQIVESSLASYPVRPPELQPLNHFDSHLDNVNGHSSNQEGANHSISKDSMEVLSSISDKGTRSFGSDDLKFFSSLPLDGNSGSSSFSWSVQSKNDVPLNSSPIGSNNSSVGGSINSMTSSSVSILSSLQRILVQNTMQREQTLRVIRYVDNLTEFMKATSHNEYMQMLSASVRERELQSQIINMQLRIRQLVDDLQEQKNKNSELEGQLNTLLNKV >Manes.13G029700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3862497:3867236:-1 gene:Manes.13G029700.v8.1 transcript:Manes.13G029700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPTKSFPLSSEDYKLYEEVGEGVSATVYRALCIPRNEIVAIKVLDLERCNNDLDNIRREVHTMSLINHPNLLRAHCSFISGSSLWIVMPFMAGGSCFHIMKSVRPNGFEQPVIATVLCEILKALAYLHAQGHIHRDVKAGNILIDSKGAVKLGDFGVSASMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLMMTLQNAPPGLDHERDKKFSKSFKEIVAACLVKDPKKRPSSEKLLKHRFFKHSRSNEFLARTILDGLSPLGDRFRMLKEKEAEFLAQNKAIYGDKEVLSQKEYIRGISEWNFDLEGLKKQATHIQDCDGISNGLQDLSSKEEGSDNLAVLGDRLSMQITNSSNCEKSTLLPEDGSKEMNNVQIVESSLASYPVRPPELQPLNSHFDSHLDNVNGHSSNQEGANHSISKDSMEVLSSISDKGTRSFGSDDLKFFSSLPLDGNSGSSSFSWSVQSKNDVPLNSSPIGSNNSSVGGSINSMTSSSVSILSSLQRILVQNTMQREQTLRVIRYVDNLTEFMKATSHNEYMQMLSASVRERELQSQIINMQLRIRQLVDDLQEQKNKNSEVSF >Manes.13G029700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3862497:3867236:-1 gene:Manes.13G029700.v8.1 transcript:Manes.13G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPTKSFPLSSEDYKLYEEVGEGVSATVYRALCIPRNEIVAIKVLDLERCNNDLDNIRREVHTMSLINHPNLLRAHCSFISGSSLWIVMPFMAGGSCFHIMKSVRPNGFEQPVIATVLCEILKALAYLHAQGHIHRDVKAGNILIDSKGAVKLGDFGVSASMFDSGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLMMTLQNAPPGLDHERDKKFSKSFKEIVAACLVKDPKKRPSSEKLLKHRFFKHSRSNEFLARTILDGLSPLGDRFRMLKEKEAEFLAQNKAIYGDKEVLSQKEYIRGISEWNFDLEGLKKQATHIQDCDGISNGLQDLSSKEEGSDNLAVLGDRLSMQITNSSNCEKSTLLPEDGSKEMNNVQIVESSLASYPVRPPELQPLNSHFDSHLDNVNGHSSNQEGANHSISKDSMEVLSSISDKGTRSFGSDDLKFFSSLPLDGNSGSSSFSWSVQSKNDVPLNSSPIGSNNSSVGGSINSMTSSSVSILSSLQRILVQNTMQREQTLRVIRYVDNLTEFMKATSHNEYMQMLSASVRERELQSQIINMQLRIRQLVDDLQEQKNKNSELEGQLNTLLNKV >Manes.10G056900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7358103:7363792:-1 gene:Manes.10G056900.v8.1 transcript:Manes.10G056900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTYVRHGRFNEALCCFYQFLLTSGFQPDFYTFPPVVKACQNLLDGEKIHCIILKLGFEWDVFVAASLIHMYSRFRLVHAARKLFDVMPSRDRGSWNAMISGYCQNGNFAKALDVADEMRLEGLPMDAFTVASILPVCAQMDDIVSGKLIHLLVIKHGLEFKLFVSNALINMYAKFGSLSQAQKIFDQMMTRDIVSWNSIIAAYEQNDDAIMARSYFDKMQQVGIQPDLLTLVSLASIVAQLNDHLNSRAVHGFISRKCWFEDTVIGNAVVDMYAKLGMTDFARMVFERLPARDVISWNTLITGYTQNGLASEAVEVYHVMKECKDIIPTQGTWVSILPAYSHLGALQQGMKIHGQVIKNSQFLDVFVGTCLIDMYGKCGKLDDAMSLFHDVPRKNSVPWNAMISCLGVHGDGEKALKLYRDMIAEGVKPDPVTFVSLLSACSHSGLVSDGQQCFQVMQEYGIKPTLKHYGCMVDLFGRAGDLEMAYTFIKNMPIQPDASMWGALLGACRIHGHTELGKYASARLFEVDSENVGYYVLLSNIYATVGKWEGADKVRSLARDRGLRKTPGWSSIEMNNKVDVFYTGVKTHPKYEEIFRELRDLNAKMKTLGYVPDFSFVLQDVEEDEKEYILMGHSERLAIAYGIISTPPKSPIRIFKNLRVCGDCHTATKFIARITEREIIVRDSNRFHHFRDGHCSCGDYW >Manes.10G056900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7358103:7363792:-1 gene:Manes.10G056900.v8.1 transcript:Manes.10G056900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLHPIGRLVQTCNCKGLNISKLLSSLQAYSPFFSAATDSWQVTSDHLENEKKYTDLDLCFQSCTKLPHAKCLHNVLLVSGQAQNILVSTKLVNLYSYLGEVLLSRCTFDQIPKKNVYTWNCMISTYVRHGRFNEALCCFYQFLLTSGFQPDFYTFPPVVKACQNLLDGEKIHCIILKLGFEWDVFVAASLIHMYSRFRLVHAARKLFDVMPSRDRGSWNAMISGYCQNGNFAKALDVADEMRLEGLPMDAFTVASILPVCAQMDDIVSGKLIHLLVIKHGLEFKLFVSNALINMYAKFGSLSQAQKIFDQMMTRDIVSWNSIIAAYEQNDDAIMARSYFDKMQQVGIQPDLLTLVSLASIVAQLNDHLNSRAVHGFISRKCWFEDTVIGNAVVDMYAKLGMTDFARMVFERLPARDVISWNTLITGYTQNGLASEAVEVYHVMKECKDIIPTQGTWVSILPAYSHLGALQQGMKIHGQVIKNSQFLDVFVGTCLIDMYGKCGKLDDAMSLFHDVPRKNSVPWNAMISCLGVHGDGEKALKLYRDMIAEGVKPDPVTFVSLLSACSHSGLVSDGQQCFQVMQEYGIKPTLKHYGCMVDLFGRAGDLEMAYTFIKNMPIQPDASMWGALLGACRIHGHTELGKYASARLFEVDSENVGYYVLLSNIYATVGKWEGADKVRSLARDRGLRKTPGWSSIEMNNKVDVFYTGVKTHPKYEEIFRELRDLNAKMKTLGYVPDFSFVLQDVEEDEKEYILMGHSERLAIAYGIISTPPKSPIRIFKNLRVCGDCHTATKFIARITEREIIVRDSNRFHHFRDGHCSCGDYW >Manes.10G056900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7358103:7363792:-1 gene:Manes.10G056900.v8.1 transcript:Manes.10G056900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTYVRHGRFNEALCCFYQFLLTSGFQPDFYTFPPVVKACQNLLDGEKIHCIILKLGFEWDVFVAASLIHMYSRFRLVHAARKLFDVMPSRDRGSWNAMISGYCQNGNFAKALDVADEMRLEGLPMDAFTVASILPVCAQMDDIVSGKLIHLLVIKHGLEFKLFVSNALINMYAKFGSLSQAQKIFDQMMTRDIVSWNSIIAAYEQNDDAIMARSYFDKMQQVGIQPDLLTLVSLASIVAQLNDHLNSRAVHGFISRKCWFEDTVIGNAVVDMYAKLGMTDFARMVFERLPARDVISWNTLITGYTQNGLASEAVEVYHVMKECKDIIPTQGTWVSILPAYSHLGALQQGMKIHGQVIKNSQFLDVFVGTCLIDMYGKCGKLDDAMSLFHDVPRKNSVPWNAMISCLGVHGDGEKALKLYRDMIAEGVKPDPVTFVSLLSACSHSGLVSDGQQCFQVMQEYGIKPTLKHYGCMVDLFGRAGDLEMAYTFIKNMPIQPDASMWGALLGACRIHGHTELGKYASARLFEVDSENVGYYVLLSNIYATVGKWEGADKVRSLARDRGLRKTPGWSSIEMNNKVDVFYTGVKTHPKYEEIFRELRDLNAKMKTLGYVPDFSFVLQDVEEDEKEYILMGHSERLAIAYGIISTPPKSPIRIFKNLRVCGDCHTATKFIARITEREIIVRDSNRFHHFRDGHCSCGDYW >Manes.10G056900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7358103:7363801:-1 gene:Manes.10G056900.v8.1 transcript:Manes.10G056900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTYVRHGRFNEALCCFYQFLLTSGFQPDFYTFPPVVKACQNLLDGEKIHCIILKLGFEWDVFVAASLIHMYSRFRLVHAARKLFDVMPSRDRGSWNAMISGYCQNGNFAKALDVADEMRLEGLPMDAFTVASILPVCAQMDDIVSGKLIHLLVIKHGLEFKLFVSNALINMYAKFGSLSQAQKIFDQMMTRDIVSWNSIIAAYEQNDDAIMARSYFDKMQQVGIQPDLLTLVSLASIVAQLNDHLNSRAVHGFISRKCWFEDTVIGNAVVDMYAKLGMTDFARMVFERLPARDVISWNTLITGYTQNGLASEAVEVYHVMKECKDIIPTQGTWVSILPAYSHLGALQQGMKIHGQVIKNSQFLDVFVGTCLIDMYGKCGKLDDAMSLFHDVPRKNSVPWNAMISCLGVHGDGEKALKLYRDMIAEGVKPDPVTFVSLLSACSHSGLVSDGQQCFQVMQEYGIKPTLKHYGCMVDLFGRAGDLEMAYTFIKNMPIQPDASMWGALLGACRIHGHTELGKYASARLFEVDSENVGYYVLLSNIYATVGKWEGADKVRSLARDRGLRKTPGWSSIEMNNKVDVFYTGVKTHPKYEEIFRELRDLNAKMKTLGYVPDFSFVLQDVEEDEKEYILMGHSERLAIAYGIISTPPKSPIRIFKNLRVCGDCHTATKFIARITEREIIVRDSNRFHHFRDGHCSCGDYW >Manes.10G056900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7358103:7363801:-1 gene:Manes.10G056900.v8.1 transcript:Manes.10G056900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTYVRHGRFNEALCCFYQFLLTSGFQPDFYTFPPVVKACQNLLDGEKIHCIILKLGFEWDVFVAASLIHMYSRFRLVHAARKLFDVMPSRDRGSWNAMISGYCQNGNFAKALDVADEMRLEGLPMDAFTVASILPVCAQMDDIVSGKLIHLLVIKHGLEFKLFVSNALINMYAKFGSLSQAQKIFDQMMTRDIVSWNSIIAAYEQNDDAIMARSYFDKMQQVGIQPDLLTLVSLASIVAQLNDHLNSRAVHGFISRKCWFEDTVIGNAVVDMYAKLGMTDFARMVFERLPARDVISWNTLITGYTQNGLASEAVEVYHVMKECKDIIPTQGTWVSILPAYSHLGALQQGMKIHGQVIKNSQFLDVFVGTCLIDMYGKCGKLDDAMSLFHDVPRKNSVPWNAMISCLGVHGDGEKALKLYRDMIAEGVKPDPVTFVSLLSACSHSGLVSDGQQCFQVMQEYGIKPTLKHYGCMVDLFGRAGDLEMAYTFIKNMPIQPDASMWGALLGACRIHGHTELGKYASARLFEVDSENVGYYVLLSNIYATVGKWEGADKVRSLARDRGLRKTPGWSSIEMNNKVDVFYTGVKTHPKYEEIFRELRDLNAKMKTLGYVPDFSFVLQDVEEDEKEYILMGHSERLAIAYGIISTPPKSPIRIFKNLRVCGDCHTATKFIARITEREIIVRDSNRFHHFRDGHCSCGDYW >Manes.07G007551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:931596:933102:1 gene:Manes.07G007551.v8.1 transcript:Manes.07G007551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKEQNQTNENQFRLQKYVWWPWSTNVRVIAIAIVTLAFFLGTTIKIERHLVGGKKQGDNIQWLVDWMKSPESQNKSMNLRCKKVNDELRCTREPEEGNGHAENSKQSQRFFLRLDGLKGDQTQSENSLCCRPCRHSSGKVFIYRIEFNLERYKKIYYWLLEIIKKGNGDITVDCNYHSVTLSTKFEYELKRMLEYELQLLQKNTVFQTSSTECKTDFFKTKFGDILSKTLEEVSLKKPTHSTEEVIFTSQVEQREEQVVYAQKYSTTTSTGVSE >Manes.07G007551.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:931596:933102:1 gene:Manes.07G007551.v8.1 transcript:Manes.07G007551.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKEQNQTNENQFRLQKYVWWPWSTNVRVIAIAIVTLAFFLGTTIKIERHLVGGKKQGDNIQWLVDWMKSPESQNKSMNLRCKKVNDELRCTREPEEGNGHAENSKQSQRFFLRLDGLKGDQTQSENSLCCRPCRHSSGKVFIYRIEFNLERYKKIYYWLLEIIKKGNGDITVDCNYHSVTLSTKFEYELKRMLEYELQLLQKNTVFQTSSTECKTDFFKTKFGDILSKTLEEVSLKKPTHSTEEVIFTSQVEQREEQVVYAQKYSTTTSTE >Manes.05G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4019447:4023966:-1 gene:Manes.05G048500.v8.1 transcript:Manes.05G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNQAGSSLDSLISSFNTRIAELQELVIGRNMYPASSINDLSAVDAALKAMELQIQAIKDRLREENEAIPKAKRLINASLQQQKKLQSMSVHVPSHLSERITVLNSDTNKGALTEASNLQPGFGTLKAEEEPAALPKGKKGRVSPPLWYITADELDSLSSYMRGRLTLDKVNSAINDMAAYAETNAQLIGASKKKVAENLWEKALEVRDIAMTETIKGKHFFLETDIKGPTLKLDNTGKAILTVLRHLGRINETRIGHHRVIILLKPQ >Manes.05G057000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4774816:4779846:-1 gene:Manes.05G057000.v8.1 transcript:Manes.05G057000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTATATKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVMVDGKTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSYENVSKKKWVPELRHYAPSIPIVLVGTKLDLREDGRFHLDYPGACTISTEQGMELKKQIGALAYVECSSKTQQNVKTVFDAAIKAVLQPPKTKKHKRKQRFCQIL >Manes.02G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1555232:1556564:1 gene:Manes.02G016300.v8.1 transcript:Manes.02G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFLKSLSRSVQDEEHRRESEIKIDSGVGTATFTSLTVWRKSLITNCNGFTVINSHGDLAYRVDNYVLHPDELILMDGSGRSLLTMHRRKKLGLGDSWLLYEGEMGRCCSRRKLSKKPTWSVKKSIKILQANQNVLAYVFRGNIEKRHTYVIEGSYTQRSCKVVDESRRVVAEIKRKEAIIGGVSFGVEVFVLVVEPGFDPGFAMALVLLLDQMFS >Manes.03G145200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27297490:27298539:-1 gene:Manes.03G145200.v8.1 transcript:Manes.03G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLPQDLIVEIFSRLPVKPLIRFKCLSKTWHSMISNPEFAKLQLSRSKDVSCRRLLLSTWPPQTLDYETFYDGNVRILSYPSIVKGPPHDFYVGILGSCNGLVYLLDDYGCMFLWNPTTGDYRELPNPNGAIYGMFRCGLGYNFSTDDYGVLFASKFTANDSKETIVELYTLTTGTWKRIEEIDAVSQSYGRPGIFWNGALYWLETKRRGLNEVYVLVAFDMVEEKFKEVLPLEDHFNPDDIVSLGVSGNRLCIFCETRGNSFEALILNVNGNEASLSRLFSFPHHEFPGYGNNALCLTENGEVLMDSDGWEIYLYNPKQGSMKMFRVQNYTDSESKLYVESLVSPNN >Manes.04G008000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1018600:1020319:-1 gene:Manes.04G008000.v8.1 transcript:Manes.04G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFVSCFNENAINVSHSSSCSSFSNNACISPALIPSVQNTVSCFYKIILSSQKQLLVTVTWFKKHTAQGLSISFGNESSTSFKLNTSGRLFRKKKGSKIIDSDSFKIEIFWDLSSAKYDSGPAPVDGFYVLVMVDSEIGLVLGDVKEETMFKKLKASNSVAKSSLISRQEHCSGNNLYVSKAKFCDTGIEHDVLIKCSDENEGLRYPVLAVCIDKKTVIRVKRLQWNFRGNQTIFVDGLLVDLMWDVHDWFYNPSSGSAVFMFKTRNGIESRLWLEEKLVQKDEERFEFSLLIFACRSP >Manes.18G060200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5338422:5344167:-1 gene:Manes.18G060200.v8.1 transcript:Manes.18G060200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDEAILFFQNLFTVGRCMRNDSDLLSILVTVTPEMNEALCFPVSEEEIHQVVFQMGAFKAPGPDGYQETFFPRTRRFFTSMAVQLTKGLPYSKEPKQMIKVLPLNYSNGFGLHGDAYLIIKLPHSRLLRLFARSMVLALCFVTFFVFGNLFGASMLVSLPQPPAEPETASPYSSSIDLGLLPFLSRDLANEGLLKRGDKVLLLSNDNDRNGAVYISDHILNGNYDVDLISISDFDRQSTILDGSLDFVITFSFSSAQEFIDRTLKVGGIAVVQLNDNPSLVFNKPLNYKIVYLRKFQPTVMAMRKTNYNSNANVSTQRKLHAYRNEARKAALKNLEDVLLEPPRKSSGKSRKYLKKTRYLPDLMGDSLEDYPRRVFIDVGLPEKAGGSGTGWFAKHYPTRNLDFEMYKIETVTEKSSGKEVPQVEEVIGISGWLTKNVKEEEYVVMKADVEVVEEMMESKTVRLVDELFLECSPRGNGGKGNNGGRRAYWECLSLYGRLRDEGVAVHQWWG >Manes.16G110600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31611092:31614500:-1 gene:Manes.16G110600.v8.1 transcript:Manes.16G110600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKDLLPPAKSTSSTYYDHSNDPWFKQRFSSSESEQTTAVHHKDVPPYLERKGFVPRRVEDFGDGGAFPEIHIAQYPLGMGRDKSTKPGSKILPLTVDAHGNVAYDAIVKQNENAKKIVYSQHKDLVPKILRNDEERDEDDDLEKEIEETTQETKAALEKIVNVRLSAAQPKNVPKQSSESKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAAPPASAPMLSDKNAMDDVDMTGDYERVREREKDVPKETREEREERLQREKIREERRRERERERRLDAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDADAEMYGGADEQLDKILKTERFKPDKAFAGTSEKTGPRERPVEFEKDAEEADPFGLDQFLTEVKKGKKAMDKVGTGGTMKASAGSSMRDGYEGGSGRTRIGFERGR >Manes.16G110600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31611680:31614513:-1 gene:Manes.16G110600.v8.1 transcript:Manes.16G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKDLLPPAKSTSSTYYDHSNDPWFKQRFSSSESEQTTAVHHKDVPPYLERKGFVPRRVEDFGDGGAFPEIHIAQYPLGMGRDKSTKPGSKILPLTVDAHGNVAYDAIVKQNENAKKIVYSQHKDLVPKILRNDEERDEDDDLEKEIEETTQETKAALEKIVNVRLSAAQPKNVPKQSSESKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAAPPASAPMLSDKNAMDDVDMTGDYERVREREKDVPKETREEREERLQREKIREERRRERERERRLDAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDADAEMYGGADEQLDKILKTERFKPDKAFAGTSEKTGPRERPVEFEKDAEEADPFGLDQFLTEVKKGKKAMDKVGTGGTMKASAGSSMRDGYEGGSGRTRIGFERGR >Manes.16G110600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31611680:31614508:-1 gene:Manes.16G110600.v8.1 transcript:Manes.16G110600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKDLLPPAKSTSSTYYDHSNDPWFKQRFSSSESEQTTAVHHKDVPPYLERKGFVPRRVEDFGDGGAFPEIHIAQYPLGMGRDKSTKPGSKILPLTVDAHGNVAYDAIVKQNENAKKIVYSQHKDLVPKILRNDEERDEDDDLEKEIEETTQETKAALEKIVNVRLSAAQPKNVPKQSSESKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAAPPASAPMLSDKNAMDDVDMTGDYERVREREKDVPKETREEREERLQREKIREERRRERERERRLDAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDADAEMYGGADEQLDKILKTERFKPDKAFAGTSEKTGPRERPVEFEKDAEEADPFGLDQFLTEVKKGKKAMDKVGTGGTMKASAGSSMRDGYEGGSGRTRIGFERGR >Manes.17G073500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27374644:27384156:1 gene:Manes.17G073500.v8.1 transcript:Manes.17G073500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGGSTSSDSARVRSIPIHSGQSKDFVYQFKQQSLPACKPVLTPTWVIATFLLLGFVFIPIGLVTLRTSRGVVEIVDRYDADCVPESFKGNKVSYIKDTSVPKNCTRFLKVPKYMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLKYNDTSSCKPEESNNGLPIVPCGLIAWSLFNDTYTFTRGTGKLRVNRKNIAWESDRNHKFGKHVYPFNFQNGSLIGGGKLDPNTPLAEQEDLIVWMRTSALPSFRKMYGRIEEDLEADNVIVVEVMNNYNTYSFGGKKKLVISTSSWLGGRNDFLGVAYIFVGSSAIILSLVFLLLHLKNPRPFGDTTYLSWNRKGISS >Manes.17G073500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27374599:27384156:1 gene:Manes.17G073500.v8.1 transcript:Manes.17G073500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCYIFVAGFCFYSHWACHSSYFSWYCVPESFKGNKVSYIKDTSVPKNCTRFLKVPKYMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLKYNDTSSCKPEESNNGLPIVPCGLIAWSLFNDTYTFTRGTGKLRVNRKNIAWESDRNHKFGKHVYPFNFQNGSLIGGGKLDPNTPLAEQEDLIVWMRTSALPSFRKMYGRIEEDLEADNVIVVEVMNNYNTYSFGGKKKLVISTSSWLGGRNDFLGVAYIFVGSSAIILSLVFLLLHLKNPRPFGDTTYLSWNRKGISS >Manes.17G073500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27374644:27384156:1 gene:Manes.17G073500.v8.1 transcript:Manes.17G073500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCYIFVAGFCFYSHWACHSSYFSWYCVPESFKGNKVSYIKDTSVPKNCTRFLKVPKYMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLKYNDTSSCKPEESNNGLPIVPCGLIAWSLFNDTYTFTRGTGKLRVNRKNIAWESDRNHKFGKHVYPFNFQNGSLIGGGKLDPNTPLAEQEDLIVWMRTSALPSFRKMYGRIEEDLEADNVIVVEVMNNYNTYSFGGKKKLVISTSSWLGGRNDFLGVAYIFVGSSAIILSLVFLLLHLKNPRPFGDTTYLSWNRKGISS >Manes.17G073500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27374574:27384237:1 gene:Manes.17G073500.v8.1 transcript:Manes.17G073500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDGGSTSSDSARVRSIPIHSGQSKDFVYQFKQQSLPACKPVLTPTWVIATFLLLGFVFIPIGLVTLRTSRGVVEIVDRYDADCVPESFKGNKVSYIKDTSVPKNCTRFLKVPKYMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLKYNDTSSCKPEESNNGLPIVPCGLIAWSLFNDTYTFTRGTGKLRVNRKNIAWESDRNHKFGKHVYPFNFQNGSLIGGGKLDPNTPLAEQEDLIVWMRTSALPSFRKMYGRIEEDLEADNVIVVEVMNNYNTYSFGGKKKLVISTSSWLGGRNDFLGVAYIFVGSSAIILSLVFLLLHLKNPRPFGDTTYLSWNRKGISS >Manes.18G021301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2094229:2098091:-1 gene:Manes.18G021301.v8.1 transcript:Manes.18G021301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGEDAARRRNAVADYRKKLLQHKELESRVRAVRDNLRSAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRSLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFIRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >Manes.18G021301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2094785:2098091:-1 gene:Manes.18G021301.v8.1 transcript:Manes.18G021301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGEDAARRRNAVADYRKKLLQHKELESRVRAVRDNLRSAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRSLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFIRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEVS >Manes.02G022400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1995821:1999144:1 gene:Manes.02G022400.v8.1 transcript:Manes.02G022400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKPLALFHGLLKTKAKERGRLLGLDVGDKYVGLAISDTHNKIASPLSVLLRKKTNIDLMATDFQSLISELSLVGFVVGYPFERGRAAPDAFQVKLFIDDLSQTGKLRNFKYTYWDECFTTKNAELLVKPLDLHPTQAKTITDKFAAVGILQGYLDYVNRKLEGGTRIRSLK >Manes.02G022400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1996574:1998538:1 gene:Manes.02G022400.v8.1 transcript:Manes.02G022400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKPLALFHGLLKTKAKERGRLLGLDVGDKYVGLAISDTHNKIASPLSVLLRKKTNIDLMATDFQSLISELSLVGFVVGYPFERGRAAPDAFQVKLFIDDLSQTGKLRNFKYTYWDECFTTKGYLDYVNRKLEGGTRIRSLK >Manes.02G022400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1995901:1999144:1 gene:Manes.02G022400.v8.1 transcript:Manes.02G022400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKPLALFHGLLKTKAKERGRLLGLDVGDKYVGLAISDTHNKIASPLSVLLRKKTNIDLMATDFQSLISELSLVGFVVGYPFERGRAAPDAFQVKLFIDDLSQTGKLRNFKYTYWDECFTTKNAELLVKPLDLHPTQAKTITDKFAAVGILQGYLDYVNRKLEGGTRIRSLK >Manes.02G022400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1996574:1998538:1 gene:Manes.02G022400.v8.1 transcript:Manes.02G022400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKPLALFHGLLKTKAKERGRLLGLDVGDKYVGLAISDTHNKIASPLSVLLRKKTNIDLMATDFQSLISELSLVGFVVGYPFERGRAAPDAFQVKLFIDDLSQTGKLRNFKYTYWDECFTTKNAELLVKPLDLHPTQAKTITDKFAAVGILQGYLDYVNRKLEGGTRIRSLK >Manes.08G127200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36826914:36829931:-1 gene:Manes.08G127200.v8.1 transcript:Manes.08G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDEIKKDVTELIGNTPMIYLNNIVDGCVARIAAKLEMMEPTSSVKDRIAYSMIKDAEDKGLITPGKTVLIEPTSGNTGIGLAAIAAIRGYKVILAMPASMSLERRVVLRALGAEIHLTDPAKAFEGGLKKAQEILSKTPDGYMLKQFENPANPNIHYETTGPEIWRDSGGKVDALVAGIGTGGTATGAGRFLKEKNKEIKVYGIEPAESAVLSGGKHGPHQIQGIGAGIIPSVLEVDMLDEVFQVSSEEAIETTKLLALKEGLLVGISSGAAAAVAIKLAKRPENAEKLIVVIFPSSGERYLSSKLFDSIRNEVDNMAY >Manes.08G127200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36826914:36829931:-1 gene:Manes.08G127200.v8.1 transcript:Manes.08G127200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDEIKKDVTELIGNTPMIYLNNIVDGCVARIAAKLEMMEPTSSVKDRIAYSMIKDAEDKGLITPGKTVLIEPTSGNTGIGLAAIAAIRGYKVILAMPASMSLERRVVLRALGAEIHLTDPAKAFEGGLKKAQEILSKTPDGYMLKQFENPANPNIHYETTGPEIWRDSGGKVDALVAGIGTGGTATGAGRFLKEKNKEIKVYGIEPAESAVLSGGKHGPHQIQGIGAGIIPSVLEVDMLDEVFQVSSEEAIETTKLLALKEGLLV >Manes.08G127200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36826914:36829931:-1 gene:Manes.08G127200.v8.1 transcript:Manes.08G127200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDEIKKDVTELIGNTPMIYLNNIVDGCVARIAAKLEMMEPTSSVKDRIAYSMIKDAEDKGLITPGKTVLIEPTSGNTGIGLAAIAAIRGYKVILAMPASMSLERRVVLRALGAEIHLTDPAKAFEGGLKKAQEILSKTPDGYMLKQFENPANPNIHYETTGPEIWRDSGGKVDALVAGIGTGGTATGAGRFLKEKNKEIKVYGIEPAESAVLSGGKHGIK >Manes.09G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29842014:29846895:-1 gene:Manes.09G100100.v8.1 transcript:Manes.09G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMIFLGRAHFRHFRKLYALHPLHYRASLASSVQILLYCALPQLKQTLLLGQPWETQQQRQRWYCGKKHCLHRFSGNRILCNSPTTMNRTTSHLSGTTIERFQACTNYSALTEVNDSIDIGNGKKTLAKNLGFLLEESSILNDKRSKTRMELKRSLVFRIKKRVKEQYLNGKFHDLMLRVIANPETLQDAYDCIRLNANVDIASDDDNIAFEPLAEELSSGSFDISTNTFSISTRGVRKEILVLPKLKLKIVQEAMRIVLEVVYRPHFSKISHGCRSGRGHHSALKYISKDISNPDWWFTLLVSKKLDTYVLDKLISIMEDKIEDPRFYDMIRGMYASQVLNMEFGGYPKGHGLPQEGVLSPILMNIYLNDFDHEFYRLSMKYEALNLGYHLEGEQFNSKLRSWFRRQLKGNDLKTLAVENSGPRIHCCRFMDELFFAVSGSKDVALGFKSEVVSYLQDTLQLNVSVETEVVSCAEPQTIRFLGTLVRRRMKDSAAVRAVHKLKDKVKIFALQKQEAWDVGTIRIGKKWLAHGLRKVKESEIKHLADSSSRLSQISCFRKAGMETDHWYKHLLKIWLHDINAKTVECEEFILSKYVAEPALPQELRDSFHEFQKRAKEYINAETATTLALLPNSNASSEIITEIVAPVNSIKKRLLRYGLTTSEGHSCVNPKLILQDKAQIIDWFSGIAYRWNRWYGDCENFADIELLIKNQVWKSCIRTLASKYRIHETEVANRFDLELSSIFSIQDIEQEVENEASDFLAFENDEALMYGISYSGMCLLSLARMVSLSRPCNCFVMGCSAAAPSVYTLHVMERQKCPGWKTGFAACIHPSLNGRRIGLCNQHLKDLYVGRISLQSVDFSSWK >Manes.13G016100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1845880:1851295:1 gene:Manes.13G016100.v8.1 transcript:Manes.13G016100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHECISISAADEFITATASHSVEGKYMERNINCHILVGTDGAGSTIRKLAGIELRGEKDLQKLVSIHFFSRGLGQYLLNKRPGMLFFVFNTEAIGVLVAHDLEQGEFVLQVPFYPPQQNVDDFSLEICEKLIFKLVGQELSDIDVIEIKPWVMHAEVAEKFVSCDNRIILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVMKGIAPSSIIRTYEMERRPIAIFNTALSVQNFRAAMAVPAALGIDPSFANSVHQVINDGVGSILPSSIQKTILEGIFTIGRAQLAESLLNEKNPLGSSRLAKVRRIFEEGKSLQLQFPAEDLGFRYLEGAVVPDNDSLVGVLEPPTGRRRDYIPSANPGSRLPHIDVRVLSNSSSEVTVSTLDLVCGDKVEFLLIVAPLKESYKLARAAFKVAEEFKVSAKVCVLWPADSLDEFEVRSKKALAPWEKFIDVVEVRKSSNPSSWWSMCQMTEKAAILVRPDEHIAWRVKSGVDKDPVSEMRRVFSAILGAK >Manes.13G016100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1845880:1851295:1 gene:Manes.13G016100.v8.1 transcript:Manes.13G016100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGFIKGLNGFYGNKPRIKLYPFGFHIQSRELSHSQDLNADDSILPVLIIGAGPVGLVLSIFLTKLGVKCAILEKSKTFSNHPQAHFINNRSMEVFRKLDGLAEEIQISQPPVELWRKFIYCTSLTGPILGSVDHMQPQDGAGSTIRKLAGIELRGEKDLQKLVSIHFFSRGLGQYLLNKRPGMLFFVFNTEAIGVLVAHDLEQGEFVLQVPFYPPQQNVDDFSLEICEKLIFKLVGQELSDIDVIEIKPWVMHAEVAEKFVSCDNRIILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVMKGIAPSSIIRTYEMERRPIAIFNTALSVQNFRAAMAVPAALGIDPSFANSVHQVINDGVGSILPSSIQKTILEGIFTIGRAQLAESLLNEKNPLGSSRLAKVRRIFEEGKSLQLQFPAEDLGFRYLEGAVVPDNDSLVGVLEPPTGRRRDYIPSANPGSRLPHIDVRVLSNSSSEVTVSTLDLVCGDKVEFLLIVAPLKESYKLARAAFKVAEEFKVSAKVCVLWPADSLDEFEVRSKKALAPWEKFIDVVEVRKSSNPSSWWSMCQMTEKAAILVRPDEHIAWRVKSGVDKDPVSEMRRVFSAILGAK >Manes.13G016100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1845880:1851295:1 gene:Manes.13G016100.v8.1 transcript:Manes.13G016100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGFIKGLNGFYGNKPRIKLYPFGFHIQSRELSHSQDLNADDSILPVLIIGAGPVGLVLSIFLTKLGVKCAILEKSKTFSNHPQAHFINNRSMEVFRKLDGLAEEIQISQPPVELWRKFIYCTSLTGPILGSVDHMQPQDFEKVISPESVAHFSQYKLSRLLVKKLEDLNFHVCGPGSQEGFDSCPLKGRELLMGHECISISAADEFITATASHSVEGKYMERNINCHILVGTDGAGSTIRKLAGIELRGEKDLQKLVSIHFFSRGLGQYLLNKRPGMLFFVFNTEAIGVLVAHDLEQGEFVLQVPFYPPQQNVDDFSLEICEKLIFKLVGQELSDIDVIEIKPWVMHAEVAEKFVSCDNRIILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIASVMKGIAPSSIIRTYEMERRPIAIFNTALSVQNFRAAMAVPAALGIDPSFANSVHQVINDGVGSILPSSIQKTILEGIFTIGRAQLAESLLNEKNPLGSSRLAKVRRIFEEGKSLQLQFPAEDLGFRYLEGAVVPDNDSLVGVLEPPTGRRRDYIPSANPGSRLPHIDVRVLSNSSSEVTVSTLDLVCGDKVEFLLIVAPLKESYKLARAAFKVAEEFKVSAKVCVLWPADSLDEFEVRSKKALAPWEKFIDVVEVRKSSNPSSWWSMCQMTEKAAILVRPDEHIAWRVKSGVDKDPVSEMRRVFSAILGAK >Manes.10G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12406002:12408744:1 gene:Manes.10G071100.v8.1 transcript:Manes.10G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAASKKASGGDGDEDDEE >Manes.02G065100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4947453:4951256:-1 gene:Manes.02G065100.v8.1 transcript:Manes.02G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGGHGGAQTNEADAIPMIFTEEQQNYVRELDRKAASLSRTIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSATKEQAQLREVTLQEENVAYQKAISNCENKIQEKFQEADLLQRKLQEMDENERKLRQELVNAETALNSSQSARSGDSVVSETKVETGLDTQTTKSTLLEKLENKKKELISMEEIVQDLEKKWAQVQDSALKQPMPAQREKILDKQLHSLIEQLAAKQEQAEGLVNEIHLKEMELERLNGLWRQLESSNVEANTTRYRFGRTNSGRGSASSDSISDKLPYSTGGRTEQQQRLMLLRSAFVLYILMLHILVFIKISF >Manes.18G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3022112:3027410:-1 gene:Manes.18G033900.v8.1 transcript:Manes.18G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNGSDSQPQKQEQNQRQQQPPPPPPLPQQQQQQQWMAMQYPAAAMVMQHQMMPPQHYAPPPPQHYMAAYHQYHHHHPHLQQQPQQHHQNQGSSGENKTIWIGDLHHWMDENYLHTCFASTGEIASIKVIRNKQTGLSEGYGFVEFFTHATAEKVLQNYTGILMPNTEQPFRLNWATFSTGDKRSDNAPDLSIFVGDLAADVTDSILHETFANRYPSVKAAKVVFDANTGRSKGYGFVRFGDDSERAQAMTEMNGVHCSSRPMRIGAATPRKSSGYQQQGGYASNGASGQGFQSDGDSTNTTIFVGGLDPNVTDEDLKQPFSQYGEVVSVKIPVGKGCGFVQFGNRSNAEEALQKLNGTVIGKQTVRLSWGRNPANKQFRSDLGNQWSGAYYGGQVYDGYGYALPPPHDPSMYAAAYGAYPVYGSHQQQVS >Manes.18G033900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3022112:3027410:-1 gene:Manes.18G033900.v8.1 transcript:Manes.18G033900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNGSDSQPQKQEQNQRQQQPPPPPPLPQQQQQQQWMAMQYPAAAMVMQHQMMPPQHYAPPPPQHYMAAYHQYHHHHPHLQQQPQQHHQNQGSSGENKTIWIGDLHHWMDENYLHTCFASTGEIASIKVIRNKQTGLSEGYGFVEFFTHATAEKVLQNYTGILMPNTEQPFRLNWATFSTGDKRSDNAPDLSIFVGDLAADVTDSILHETFANRYPSVKAAKVVFDANTGRSKGYGFVRFGDDSERAQAMTEMNGVHCSSRPMRIGAATPRKSSGYQQQGGYASNGASGQGFQSDGDSTNTTIFVGGLDPNVTDEDLKQPFSQYGVMLRRHCKN >Manes.01G158600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34399115:34401545:-1 gene:Manes.01G158600.v8.1 transcript:Manes.01G158600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWWTGPARFSRVLLLGRLPSCCSGLQTIQPIIQAILRPKPLVDRPRSLSISRPDLLLWARLNLILLVKNTQDSRMPPSGEIANSKPRKKKSSTSRVSFIPLQGNNIQQAQGPLRTSQKVSKRSLKNKGSPLIQQQERSNSDSLPDSSTSENEYRALRRKYLLLEEESFGLGRELKTVEEDVKTLEDEKLALLDQLVVLEGLLDPSEVHCHEFVSL >Manes.11G101500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22608215:22610538:1 gene:Manes.11G101500.v8.1 transcript:Manes.11G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQNQQNCRGRFLIACWWLIGLAQMSWKMVVGIAKKTKKIEKDDPRRIIHGLKAGLALTLVSLTYLYHPFYEVLGVNAIWAVLTVVVVFELSVGATLGKSMNRTMATMLGSVAGLGAHHLASASGHIGEPILLATFVFIIAAVVTFTRFFPAVKTRYDYGLSIFILTFTLVAVLAYRDNQVLRTVQMRIETIVIGCLVSVVINIGVYPFWMGEDLHNLIVQNLEKLAIFFEGFGREYFQAFEDGQSNDDKSFLEKYKSVLASKSNEQNMANLAKWEPCHGRFRFFHPWKQYLKIGNLARECAYRIEALNNILQSEIQAPIEIRNKFAESCTNVSLECGKALKEIASDFKVMKRSNTSAYIEKLNAAAEDLNLLLRTNMWEGAALVDVVPVASIASILLEAARITEKISDAINELASMAPFKDSEPTVSTDQPDMLALGMLQPV >Manes.03G172000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29665453:29669837:1 gene:Manes.03G172000.v8.1 transcript:Manes.03G172000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTILHENISMQSLFDVPSSRSKPNKRWHLAFTAIYCCRTIYYLSKYAIIKKKGSKISPSPSFIILDIQAGDICFKLHQASLTELVKKKNLDQFLKYGGVSGVASAIHTDIEAGISGNSQDIAHRREAFGSNKYKKPPTKSFFYFVVESFKDLTIAILLGCAALSLGFGIKEHGLKEGWYDGGSIFIAVFLVIAVSAVSNYRQNRQFDKLSKVSNNIQIEVVRQGRRQQVSIFELVVGDVVCLSIGDQVPVDGLFIDGHSLEIDESSMTGESDHVEINHNQNPFLFSGTKVADGYGRMLATSVGMNTTWGEMMSHISRNTNEKTPLQARLNKLTSSIGKVGLAVACLVLVVLLVRYFTGNTHDDNGNQEFNGKNTKADDIVNAVVGIVADAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTMNLMKVAKFWLGQELVDQSTSSISSHVLELIKQGVALNTTGTAYKANPEAEYEFSGSPTEKAILSWAILDLEMDMEEQKRNCIILHVEAFNSQKKRSGVLIKKKLDNTIHVHWKGAAEMIIAMCSSYYNASGIMKDLDDNQMSIFKQIIQGMATNSLRCIALAQAQMSGEQFEDGMEGKKLKENSLTLLGLVGIKDPCRPGVKKAVEDCQHAGVDIKMITGDNIFTARAIAIECGILKPGQDMFSGAVVEGEEFRNYTPEERMEKADKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEQPTKELMDKPPVGRTEPLITNIMWRNLLAQASYQIAVLLTLQFKGESIFGVEEKVNDTLMFNTFVLCQVFNEFNARKLEKKNVFKGIHKNKLFLGIIGITVVFQVLMIEFLKKFADTERLNWAQWVLCIGVAALSWPIGWFVKSIPVPEKPIFGYLNWKKQNSEN >Manes.01G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29297904:29300580:1 gene:Manes.01G091400.v8.1 transcript:Manes.01G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGTLTAPLIVDEKEHNFRQEDLESNYTHYTKGTTSTFKTIVNGLNALSGVGILSTPYAVASGGWLSLILLFIIAISAFYSGLLIKKCMEADSNIRTYPDIGERAFGSKGRLVISIFMYTELYLVATGFLILEGDNLQNLFPNVELEMAGFHIGARQTFVVIVALIILPTVWLDNLSILSYVSASGVLASAIILCSIFWAGAFDGIGFQKKGTLLNWDGIPTAVSLYAFCYCAHPVFPTLYTSMKKKHQFSNVLLICFISCTFSYASMAVIGYSMFGSAVESQVSLNLPTTKLSSRMAIYTTLVNPISKYALMVTPIVNVTKNWFPWYCNKKPFNIFISTALLMSTVIVALAVPLFGYLMSLVGAFLSATASIILPCLCYLKISGTYRRLGCEVVVIGGILVLGVAVVIFGTYTSLLQIVGHL >Manes.01G091400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29293718:29299979:1 gene:Manes.01G091400.v8.1 transcript:Manes.01G091400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMEGDRQEEAVSLTVPLIPEEKRQKLGFAEEKGDESDPDTSGYSTSFFMTCFNGLNALSGVGILSTPYAVASGGWLSLILLFIIAISAFYSGLLIKKCMEADSNIRTYPDIGERAFGSKGRLVISIFMYTELYLVATGFLILEGDNLQNLFPNVELEMAGFHIGARQTFVVIVALIILPTVWLDNLSILSYVSASGVLASAIILCSIFWAGAFDGIGFQKKGTLLNWDGIPTAVSLYAFCYCAHPVFPTLYTSMKKKHQFSNVLLICFISCTFSYASMAVIGYSMFGSAVESQVSLNLPTTKLSSRMAIYTTLVNPISKYALMVTPIVNVTKNWFPWYCNKKPFNIFISTALLMSTVIVALAVPLFGYLMSLVGAFLSATASIILPCLCYLKISGTYRRLGCEVVVIGGILVLGVAVVIFGTYTSLLQIVGHL >Manes.14G004200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1562217:1564604:1 gene:Manes.14G004200.v8.1 transcript:Manes.14G004200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTYCPDCKRPTEVVFDHSAGDTVCSECGLVLEAHSIDETSEWRTFANESTDNDPVRVGGPSNPLLADGGLSTVISKPTGTSGDFLTTSLGRWQNRGSNPDRSLIQAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKPLRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLEVELGQSMEMGTIHAGDFLRRFCSHLGMNNQAVKAAQEAVQKSEELDIRRSPISIAAAIIYMITQLSEDKKLLKDISLATGVAEGTIRNSYKDLYPYASRIIPSWYAKEDDLRSLCSP >Manes.02G151300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11584723:11586107:1 gene:Manes.02G151300.v8.1 transcript:Manes.02G151300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRFQRTVVPLFFLSFLLLTLANSCLAARTLTSLYQPPPLSLTYHNGALLEGNLHVSILWYGQFSPSQKSIIADFLLSLNPSAPSTSSTQPSVSYWWKLIQTYLKKAGKRETHILLSTQIYDTNCSLGKILEKSQITELATKVNSKPGGLTLVLTAEDVAVEGFCMSNCGFHGSNTKHESPFIWVGNSVTQCPGQCAWPFHQPIYGPQTTPLGAPNGDVGVDGMIINIAGSLAGTITNPFGNGYYIDSGGVPMEAASACTGMYGKGAYPGYAGELLVDSSSGASYNAVGVHGRKYLLPALFNPLTSECSPIV >Manes.08G153200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38950733:38954762:-1 gene:Manes.08G153200.v8.1 transcript:Manes.08G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDQVMVHEDIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQIFGSSAEKVKVENRTWKIYAGSRTIELELTTLSSTNHIELSPSDVGFQDRYVVQEIIKDMAKSRPIDIKGRKGYKVLVLNEVDKLSREAQYSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNVRINSPSEEQIVKVLEFIGKKEGLQLPPGFAARIAEKSNRSLRRAILSFETCRVQQYPFTSNQAIPPMDWEEYVSEIASDIMREQSPKKLFQIRGKLYELLINCIPPEIILKRLLYELLKKLDEELKHEVSHWAAYYEHRMRLGQKAIFHLEAFVAKFMSIYKAFLIETFG >Manes.08G153200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38950733:38954762:-1 gene:Manes.08G153200.v8.1 transcript:Manes.08G153200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDQVMVHEDIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQIFGSSAEKVKVENRTWKIYAGSRTIELELTTLSSTNHIELSPSDVGFQDRYVVQEIIKDMAKSRPIDIKGRKGYKVLNEVDKLSREAQYSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNVRINSPSEEQIVKVLEFIGKKEGLQLPPGFAARIAEKSNRSLRRAILSFETCRVQQYPFTSNQAIPPMDWEEYVSEIASDIMREQSPKKLFQIRGKLYELLINCIPPEIILKRLLYELLKKLDEELKHEVSHWAAYYEHRMRLGQKAIFHLEAFVAKFMSIYKAFLIETFG >Manes.02G215430.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36002557:36016572:-1 gene:Manes.02G215430.v8.1 transcript:Manes.02G215430.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKLVVYPIVSKVFELLLNPVVRQIKYVYNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGVREGGNFPRVSYCAPPQGIVAVKECEAFESRTSVVDEILNALKDADVNLIGVYGMGGVGKTTLVKRIATLVRELGIFKLVVIAIVTHSVVVTSVQQEIAEWLDFKLGAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEQLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEDIRDLLKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDGGHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFLLLNQDPSLKISGNLFSKMKELKVLDLTKINLSPLPSSLQSLENLQTLCLHFCDLEDTAAIGDLKQLQVLSLVGSTIVRLPNEVRKLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLERFRVFIGDGWDWANDEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLRVQNSLDIQYIIDWMKMNHFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCSALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNEKIRFSNLADMNLVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAHLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMGNCPRLQAFVSTQLNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVRADSFDGLKVLKVLNGKQLLEIFPSKLLEKFLVNLELLIVRNCDSVKEVFDLQAIIKEREAHVVRHNQLRTLDIRNLPNLIQIWNRDPHGILSFYNLREVFAWDCPNLKKLLPFSVAQDLPHLEFLRIVRCGMEEIVIKEERAEALAIIPKFAFRGLKAMVLRGLDELKYFYSGKHTLECPQLKQLNVNLCGKLQTFNFESQEIQEMLMDKQEDELKLQISQPLFSFRKIIGNLEKSAINDQDAAMIQQSQFPMNLFLKLKCLHLQSFGYSFLNLPLNLLQKFPNLETLVLTDCYFKELLQHGHGHDPVLSHIRYLELIRLPNIRHVWNQDSPFFQNLETLEIWDCHGLTNLAPSSATFQNLTTLRVWKCNGLSSLVSSSTAESMHNLATMSIEESDAIEEIVSSDKNNFQSQNEIILWKLTTLRLRCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTISCGLEQLQVLEVKSCAMVEEFITEESMDEIRFPQLNSIILESLPRLINFSSGSGTVHCPSLKEIAVVDCPTTFTCSFFREAEAAIDKIVERKVFFPNLKDLKLSLIDVEMMWHAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLDVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELETINSALFDEKVAFPDLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHSEELLKIFPSMLLRRLQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLDIRNLPNLKHVWNKDPMGLVLFDDLSSVVVWDCPNLKAIFPATIAKNLLQLETLYVESCGGVEEIVAQDQGTEASIEFLFPCLKFLKLQELNELKCFYSGIHTLESPLLKHLTVYHCEKLNIFCPESENLLETETKSQAMIQDPQSLFSFRKVVSNLEKLTLTRKDATMILEGQFPADLFHKLTTIGIHCFHDESAVFPFDLLERFQPMESLVVGCSQFKELLPCDGSVGRKKYAEVLRLIGGLTLNNLPDLTDIWNQYSQLDQVLQFLKLLHVERCNSLVALAPSSTSFQNLITLEVLECNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFCKLESLELHYLPSLVYFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQ >Manes.08G110150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34892148:34894810:-1 gene:Manes.08G110150.v8.1 transcript:Manes.08G110150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAYYLSSGVYRFQFAAAVKLRFLLSIAADPPIDEVIERGCVPRLVELIGDHVSYPDLTFEAAQALVIITGATLEQAKVVIEHGVVPKFVELLASEKHDLQKLAVQSLGSVSAQSIACRDLVLGHGALTPLLYLLEKYSEPSMLECTILLQIASRTLAILCYGSPPPPLDQVKSVLPTLRKLIHLHPTVGVLGNVVEDACLALSCLSNGTVEQIQVVIDANICERLVILQRHTQHEIVEPAVRIVGNIFRGSDSQIQVLIDHGVFASLRYILTEEVRSSILGKTCWAISNMTVGNRSKIQKVIEADIIPPLVNLLEDENYEAEVKWEAVCALSNATLRGSSK >Manes.01G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33875049:33877028:1 gene:Manes.01G149500.v8.1 transcript:Manes.01G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIILKSSDGESFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKRHVETPKSDDRNNSVDDDLKTWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Manes.15G192766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31837471:31851563:-1 gene:Manes.15G192766.v8.1 transcript:Manes.15G192766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLAHLGGRRGCVGPRSEDSNSVSKCSLYSICSLRFVSSGCLLIPKSLSWILTLNLFFNLSILTLFYYKKTLRFHTPDKTHYAKQASATLSSSSYSLFSLERDRKTSKLTLEYAFPCKKMVGIFSRFSVRRVGHRRTQSALDEGEVLPPNPDLTRAVTATASAPHGIEVAVEFKPVEHPIEPLDSDQPIQCPLPEPSILNDGRLWKERVSATVRRRADLPVMKEGGALESETAGTKPRPIQSNRLILPSMSAPEHNLLKLLEECSASGI >Manes.12G090700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12849498:12868141:-1 gene:Manes.12G090700.v8.1 transcript:Manes.12G090700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFQQQDSMTTRNRSANKTIEFANHERVEVRSLEEGFKGSWHPGTVIDHFKHDWDIKYAVKYDSILVDDGLDHLIDHVHVSQLRDENDSATINMCDYRGRIRPFPPPFQFGKWDLHYGICVDVCYSEGWWEGVVFDHEDGLEERKIFFPDLGDEMISHIDQIRITQDWSEVAGTWKNRGTWLFLEVVEEIEQENYIPISIKQLWYDLREKDNFHKLAEWTSSDKDLWKNLVLEAIDDNLKVAMDHLFQVIGLPEGALGLLESAKSLNNCLNTSVLTESNITSHEEAASVIPQVSLVLPSDWEENFSANTIIEEDFSSPNANKINRSSKCRRWLPAGIDLVPGAEFCPNAIIEYANMWGKRHRSVDLLVEVRKHLAYLKWKIEYCRERMIRLRYISPNGKVYYSLHEVCLNLTKSHADILSSMSQAEGTNLSCPANSPSVFSAQPTVSQDTIVSSQSDVVAMEPEYCPEAAVNWYRFGCELRFRSKMKREDIEQMTMKAKKHLLALGWSFRYRIRNGKRELQYCSPGGKCYNSLRTACMGSINERDPRICDSASTHFERKTVSKIAEGQSTSEKIPTALNKMPFQDIENCSSMTSCMSKLRKIEKKGKEKSYPACYLHQQKANADDQDFLLEMREGRKTRPLIKLKNTKRGTCPTHVFRSSKRVQHIVAPNPSHQKPRTILSWLIDNNVVLPRAKVYNYTAKGRHPITGRINRDGIKCNCCGEVYGLNNFAFHVSGKYCRPAISLFLEDGRSLLDCQMQIMHRNMQNFAAETLEIFKDNCHQSENDHICSVCHYGGELILCDQCPSSFHKSCLGMEAVPDGDWFCPSCCCKICGQNKLKRDTEHILDDDMVLCCNQCECKYHVGCLTIRKEDGWGYFPKQNWFCSKSCEVIFFGLRELLGKPIPVGSNNLTWTLLKFTQSDSQKLNCHDIEALTEIYSKLSVALDVMHECFEPVEEPLTKRDILRDVIFSKESKLNRLNFRGFYTVLLQKDDEFITVATVRIYGENVAEIPLVGTRFQYRRLGMCRILMNVLEKKLEELGVQRLILPAVSSVLKTWTGSFGFSKMTNSERLQFVAYTFLDFQDTVMCYKQLMKIPSVESLPSTVKPRLPDAATGSGGIVNQGQADVPESNNCSCSDEPMHSVKPVDSECEPFTGAVNVKSSVEDSTLNKEKRDNSNGGSNTFDINGSLKCYRRRTLM >Manes.12G090700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12849498:12868141:-1 gene:Manes.12G090700.v8.1 transcript:Manes.12G090700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFQQQDSMTTRNRSANKTIEFANHERVEVRSLEEGFKGSWHPGTVIDHFKHDWDIKYAVKYDSILVDDGLDHLIDHVHVSQLRDENDSATINMCDYRGRIRPFPPPFQFGKWDLHYGICVDVCYSEGWWEGVVFDHEDGLEERKIFFPDLGDEMISHIDQIRITQDWSEVAGTWKNRGTWLFLEVVEEIEQENYIPISIKQLWYDLREKDNFHKLAEWTSSDKDLWKNLVLEAIDDNLKVAMDHLFQVIGLPEGALGLLESAKSLNNCLNTSVLTESNITSHEEAASVIPQVSLVLPSDWEENFSANTIIEEDFSSPNANKINRSSKCRRWLPAGIDLVPGAEFCPNAIIEYANMWGKRHRSVDLLVEVRKHLAYLKWKIEYCRERMIRLRYISPNGKVYYSLHEVCLNLTKSHADILSSMSQAEGTNLSCPANSPSVFSAQPTVSQDTIVSSQSDVVAMEPEYCPEAAVNWYRFGCELRFRSKMKREDIEQMTMKAKKHLLALGWSFRYRIRNGKRELQYCSPGGKCYNSLRTACMGSINERDPRICDSASTHFERKTVSKIAEGQSTSEKIPTALNKMPFQDIENCSSMTSCMSKLRKIEKKGKEKSYPACYLHQQKANADDQDFLLEMREGRKTRPLIKLKNTKRGTCPTHVFRSSKRVQHIVAPNPSHQKPRTILSWLIDNNVVLPRAKVYNYTAKGRHPITGRINRDGIKCNCCGEVYGLNNFAFHVSGKYCRPAISLFLEDGRSLLDCQMQIMHRNMQNFAAETLEIFKDNCHQSENDHICSVCHYGGELILCDQCPSSFHKSCLGMEAVPDGDWFCPSCCCKICGQNKLKRDTEHILDDDMVLCCNQCECKYHVGCLTIRKEDGWGYFPKQNWFCSKSCEVIFFGLRELLGKPIPVGSNNLTWTLLKFTQSDSQKLNCHDIEALTEIYSKLSVALDVMHECFEPVEEPLTKRDILRDVIFSKESKLNRLNFRGFYTVLLQKDDEFITVATVRIYGENVAEIPLVGTRFQYRRLGMCRILMNVLEKKLEELGVQRLILPAVSSVLKTWTGSFGFSKMTNSERLQFVAYTFLDFQDTVMCYKQLMKIPSVESLPSIKPRLPDAATGSGGIVNQGQADVPESNNCSCSDEPMHSVKPVDSECEPFTGAVNVKSSVEDSTLNKEKRDNSNGGSNTFDINGSLKCYRRRTLM >Manes.12G090700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12853950:12868141:-1 gene:Manes.12G090700.v8.1 transcript:Manes.12G090700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFQQQDSMTTRNRSANKTIEFANHERVEVRSLEEGFKGSWHPGTVIDHFKHDWDIKYAVKYDSILVDDGLDHLIDHVHVSQLRDENDSATINMCDYRGRIRPFPPPFQFGKWDLHYGICVDVCYSEGWWEGVVFDHEDGLEERKIFFPDLGDEMISHIDQIRITQDWSEVAGTWKNRGTWLFLEVVEEIEQENYIPISIKQLWYDLREKDNFHKLAEWTSSDKDLWKNLVLEAIDDNLKVAMDHLFQVIGLPEGALGLLESAKSLNNCLNTSVLTESNITSHEEAASVIPQVSLVLPSDWEENFSANTIIEEDFSSPNANKINRSSKCRRWLPAGIDLVPGAEFCPNAIIEYANMWGKRHRSVDLLVEVRKHLAYLKWKIEYCRERMIRLRYISPNGKVYYSLHEVCLNLTKSHADILSSMSQAEGTNLSCPANSPSVFSAQPTVSQDTIVSSQSDVVAMEPEYCPEAAVNWYRFGCELRFRSKMKREDIEQMTMKAKKHLLALGWSFRYRIRNGKRELQYCSPGGKCYNSLRTACMGSINERDPRICDSASTHFERKTVSKIAEGQSTSEKIPTALNKMPFQDIENCSSMTSCMSKLRKIEKKGKEKSYPACYLHQQKANADDQDFLLEMREGRKTRPLIKLKNTKRGTCPTHVFRSSKRVQHIVAPNPSHQKPRTILSWLIDNNVVLPRAKVYNYTAKGRHPITGRINRDGIKCNCCGEVYGLNNFAFHVSGKYCRPAISLFLEDGRSLLDCQMQIMHRNMQNFAAETLEIFKDNCHQSENDHICSVCHYGGELILCDQCPSSFHKSCLGMEAVPDGDWFCPSCCCKICGQNKLKRDTEHILDDDMVLCCNQCECKYHVGCLTIRKEDGWGYFPKQNWFCSKSCEVIFFGLRELLGKPIPVGSNNLTWTLLKFTQSDSQKLNCHDIEALTEIYSKLSVALDVMHECFEPVEEPLTKRDILRDVIFSKESKLNRLNFRGFYTVLLQKDDEFITVATVSGGGGRESYALSCYPLHFVIVRKA >Manes.12G090700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12856946:12868141:-1 gene:Manes.12G090700.v8.1 transcript:Manes.12G090700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFQQQDSMTTRNRSANKTIEFANHERVEVRSLEEGFKGSWHPGTVIDHFKHDWDIKYAVKYDSILVDDGLDHLIDHVHVSQLRDENDSATINMCDYRGRIRPFPPPFQFGKWDLHYGICVDVCYSEGWWEGVVFDHEDGLEERKIFFPDLGDEMISHIDQIRITQDWSEVAGTWKNRGTWLFLEVVEEIEQENYIPISIKQLWYDLREKDNFHKLAEWTSSDKDLWKNLVLEAIDDNLKVAMDHLFQVIGLPEGALGLLESAKSLNNCLNTSVLTESNITSHEEAASVIPQVSLVLPSDWEENFSANTIIEEDFSSPNANKINRSSKCRRWLPAGIDLVPGAEFCPNAIIEYANMWGKRHRSVDLLVEVRKHLAYLKWKIEYCRERMIRLRYISPNGKVYYSLHEVCLNLTKSHADILSSMSQAEGTNLSCPANSPSVFSAQPTVSQDTIVSSQSDVVAMEPEYCPEAAVNWYRFGCELRFRSKMKREDIEQMTMKAKKHLLALGWSFRYRIRNGKRELQYCSPGGKCYNSLRTACMGSINERDPRICDSASTHFERKTVSKIAEGQSTSEKIPTALNKMPFQDIENCSSMTSCMSKLRKIEKKGKEKSYPACYLHQQKANADDQDFLLEMREGRKTRPLIKLKNTKRGTCPTHVFRSSKRVQHIVAPNPSHQKPRTILSWLIDNNVVLPRAKVYNYTAKGRHPITGRINRDGIKCNCCGEVYGLNNFAFHVSGKYCRPAISLFLEDGRSLLDCQMQIMHRNMQNFAAETLEIFKDNCHQSENDHICSVCHYGGELILCDQCPSSFHKSCLGMEAVPDGDWFCPSCCCKICGQNKLKRDTEHILDDDMVLCCNQCECKYHVGCLTIRKEDGWGYFPKQNWFCSKSCEVIFFGLRELLGKPIPVGSNNLTWTLLKFTQSDSQKLNCHDIEALTEIYSKLSVALDVMHECFEPVEEPLTKRDILRDVIFSKESKLNRLNFRGFYTVLLQKDDEFITVATVR >Manes.01G078400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28130101:28130511:-1 gene:Manes.01G078400.v8.1 transcript:Manes.01G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPASAALLLTFNLLFFTLASSTYCPPPNPKGHDATQPIKPSPTPITGRCPKDTLKLGVCVALLKDLLSVTVGTPPKTPCCSLIGNLVDLEAAVCLCTTIKASVLGINLNLPVNLSLLLNYCGKKVPEGFKCA >Manes.13G027500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3664904:3672219:-1 gene:Manes.13G027500.v8.1 transcript:Manes.13G027500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSFWLWRIGLLVLALLEISSATLSPTGINYEVVALVNIKNTLHDPYNVLESWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPWIGNLTNLQSVLLQNNAISGIIPAAIGRLDKLQTLDLSNNTFTGEIPASLGDLKNLNYLDLSFNNLSGSLPKISARTFKIIGNPLICGPKINSNCSAVFPEPLPLSPDRLKGQSDSETSGHHMAVAFGASFGAAFSIIIVIGLLVLWRYRQNQQIFFDVNEQYDRDVCLGHLRRYTFKELRAATDHFSSKNILGRGGFGIVYKGCLNDRTLVAVKRLKDYNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRDNIHGRPALDWARRKNIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGKAANQKGVMLDWVKKLHQEGKLNVLVDKDLKGNFDRIELAEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKVETPRFRSFENHPQKYSDFIEESSLVVEAMELSGPR >Manes.13G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3664904:3672218:-1 gene:Manes.13G027500.v8.1 transcript:Manes.13G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSFWLWRIGLLVLALLEISSATLSPTGINYEVVALVNIKNTLHDPYNVLESWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPWIGNLTNLQSVLLQNNAISGIIPAAIGRLDKLQTLDLSNNTFTGEIPASLGDLKNLNYLRLNNNSLSGPCPGSLSNIEGLTLVDLSFNNLSGSLPKISARTFKIIGNPLICGPKINSNCSAVFPEPLPLSPDRLKGQSDSETSGHHMAVAFGASFGAAFSIIIVIGLLVLWRYRQNQQIFFDVNEQYDRDVCLGHLRRYTFKELRAATDHFSSKNILGRGGFGIVYKGCLNDRTLVAVKRLKDYNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASRLRDNIHGRPALDWARRKNIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGKAANQKGVMLDWVKKLHQEGKLNVLVDKDLKGNFDRIELAEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKVETPRFRSFENHPQKYSDFIEESSLVVEAMELSGPR >Manes.16G111200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31675550:31681861:-1 gene:Manes.16G111200.v8.1 transcript:Manes.16G111200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFHFHKLCQIETRRWLLVVGAVAITHLLFQSLLLPYGNALRSLLPNSNDIINDKTSFPIIQSSTKSLIVRNPLTVDTSSFTKGHILDRVVVKDAIASGGSGDISHDSESQRKSGDTDSDFTSEDEDLDNPFELAVDNDGDNDDFVEEGLNNPIGLLVDRNVNEFPSGNGMHSNGTSSLESIESKGSDSIMEFTSESKHEFPLDQNGKLNDEISIENNLPLKDLQRIKVAVKSYPLEPKVVTSSKNISYSRSNGNSSIGSAILKDDFATSKNDSAKMVKPARKKMRCEMPPNSVTSIDDMNLILARHRRSSRSMRPRWSSHHDQEILAARSQIENAPIPVNDHDLYAPLFRNVSKFKRSYELMERMLKVYIYKDGKKPIFHLPILKGLYASEGWFMKLMQGNRHFVVKDPRKAHLFYMPFSSRMLEYTIYVRNSHNRTNLRQYLKEYTEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTSGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRHILAFYAGNMHGYLRPILLKHWKDKDPSMKIFGPMPPGVASKMNYIQHMKNSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVFNWGAFSVILEEKDIPNLKEILLSVPEKKYLELQLGVKKVQRHFLWHPNPVKYDLFYMTLHSIWYNRVYQIKPR >Manes.16G111200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31675550:31681861:-1 gene:Manes.16G111200.v8.1 transcript:Manes.16G111200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGTSSLESIESKGSDSIMEFTSESKHEFPLDQNGKLNDEISIENNLPLKDLQRIKVAVKSYPLEPKVVTSSKNISYSRSNGNSSIGSAILKDDFATSKNDSAKMVKPARKKMRCEMPPNSVTSIDDMNLILARHRRSSRSMRPRWSSHHDQEILAARSQIENAPIPVNDHDLYAPLFRNVSKFKRSYELMERMLKVYIYKDGKKPIFHLPILKGLYASEGWFMKLMQGNRHFVVKDPRKAHLFYMPFSSRMLEYTIYVRNSHNRTNLRQYLKEYTEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTSGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRHILAFYAGNMHGYLRPILLKHWKDKDPSMKIFGPMPPGVASKMNYIQHMKNSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVFNWGAFSVILEEKDIPNLKEILLSVPEKKYLELQLGVKKVQRHFLWHPNPVKYDLFYMTLHSIWYNRVYQIKPR >Manes.16G111200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31675550:31681861:-1 gene:Manes.16G111200.v8.1 transcript:Manes.16G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFHFHKLCQIETRRWLLVVGAVAITHLLFQSLLLPYGNALRSLLPNSNDIINDKTSFPIIQSSTKSLIVRNPLTVDTSSFTKGHILDRVVVKDAIASGGSGDISHDSESQRKSGDTDSDFTSEDEDLDNPFELAVDNDGDNDDFVEEGLNNPIGLLVDRNVNEFPSGNGMHSNGTSSLESIESKGSDSIMEFTSESKHEFPLDQNGKLNDEISIENNLPLKDLQRIKVAVKSYPLEPKVVTSSKNISYSRSNGNSSIGSAILKDDFATSKNDSAKMVKPARKKMRCEMPPNSVTSIDDMNLILARHRRSSRSMRPRWSSHHDQEILAARSQIENAPIPVNDHDLYAPLFRNVSKFKRSYELMERMLKVYIYKDGKKPIFHLPILKGLYASEGWFMKLMQGNRHFVVKDPRKAHLFYMPFSSRMLEYTIYVRNSHNRTNLRQYLKEYTEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTSGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRHILAFYAGNMHGYLRPILLKHWKDKDPSMKIFGPMPPGVASKMNYIQHMKNSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVFNWGAFSVILEEKDIPNLKEILLSVPEKKYLELQLGVKKVQRHFLWHPNPVKYDLFYMTLHSIWYNRVYQIKPR >Manes.16G111200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31675550:31681861:-1 gene:Manes.16G111200.v8.1 transcript:Manes.16G111200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFHFHKLCQIETRRWLLVVGAVAITHLLFQSLLLPYGNALRSLLPNSNDIINDKTSFPIIQSSTKSLIVRNPLTVDTSSFTKGHILDRVVVKDAIASGGSGDISHDSESQRKSGDTDSDFTSEDEDLDNPFELAVDNDGDNDDFVEEGLNNPIGLLVDRNVNEFPSGNGMHSNGTSSLESIESKGSDSIMEFTSESKHEFPLDQNGKLNDEISIENNLPLKDLQRIKVAVKSYPLEPKVVTSSKNISYSRSNGNSSIGSAILKDDFATSKNDSAKMVKPARKKMRCEMPPNSVTSIDDMNLILARHRRSSRSMRPRWSSHHDQEILAARSQIENAPIPVNDHDLYAPLFRNVSKFKRSYELMERMLKVYIYKDGKKPIFHLPILKGLYASEGWFMKLMQGNRHFVVKDPRKAHLFYMPFSSRMLEYTIYVRNSHNRTNLRQYLKEYTEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTSGFKIGRDVSLPETYVRSARNPLRDLGGKPPSQRHILAFYAGNMHGYLRPILLKHWKDKDPSMKIFGPMPPGVASKMNYIQHMKNSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVFNWGAFSVILEEKDIPNLKEILLSVPEKKYLELQLGVKKVQRHFLWHPNPVKYDLFYMTLHSIWYNRVYQIKPR >Manes.09G081580.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20009432:20010697:1 gene:Manes.09G081580.v8.1 transcript:Manes.09G081580.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADSLNDIKDNTAPLPEQSVVTYAAECGPYSDKNGSRDAPAASEIAQSYGFKRYEYQKLAKATRFFSNVHRIGEGGFGIVYKASLDDDDVAIKKLKIVKLENKLEEIEYLSVVRHPNIVKMIGYCSEGEDKLLVLEFVPNKSLRHHLHGECLFMH >Manes.17G067600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26788723:26791138:1 gene:Manes.17G067600.v8.1 transcript:Manes.17G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDQQFHPKEVPHFANQRGNSYMHIPVAPSFAAALPPTGKHLMPVHGIEFQPSEICPKNFIIFDQNDHRSQVMFHPAVAHKVRGPGLNLHRSYIQENFDGEEVNDIEREVSSLKEDSDDIDALLSLEEDEQDEYDEEEVSTARTYGDYGSNSPDSYSTCGSKPRKNGSSSIQRFSGNDSSCNSERKRQKMKKMVKALRGIVPGGDQMNTVTVLDEAVRYLKSLKVEVQKIGVGNFKN >Manes.09G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5335058:5345003:-1 gene:Manes.09G025200.v8.1 transcript:Manes.09G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKLDNTSHPQLDPTNCMASSKAWWHGVRSNVVLSDMLEESTKNLLTSNYMDDGCGGKSGKSRAANHQFGEGTDAYKEMQFTALPQSDGKYGEEHQQQHLQHSVSFMPPPMVEYLVPPTQLEHVGPSLVHSCYLGAYPTRMVLPLEMTEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHLHAMRRARGCGGRFINTKKLDNSAAKTTLDKANNFIDTVLKPSTNSSSAHSSKRSDSSAGNVVIEPDHLHKQISQRTYNGGDGTACSQYFLGFQLSSCHSQSDRMVEERDSNMKELW >Manes.13G036300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLADVGLGVQPKYVLHI >Manes.13G036300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437258:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWEIDFS >Manes.13G036300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4444552:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWALEYNPNMFSIYEEPSAATDKSSATLSTKELVKNCGKFERKNVKTGTSGQPTALAVFLVASVLETKNKRILNEAKGLDDVVQIVEEITGNLDAKKVCKDALKIHSKYLSKAKMP >Manes.13G036300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWEIDFS >Manes.13G036300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLADVGLGVQPKYVLHI >Manes.13G036300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWALEYNPNMFSIYEEPSAATDKSSATLSTKELVKNCGKFERKNVKTGTSGQPTALAVFLVASVLETKNKRILNEAKGLDDVVQIVEEITGNLDAKKVCKDALKIHSKYLSKAKMP >Manes.13G036300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437266:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWALEYNPNMFSIYEEPSAATDKSSATLSTKELVKNCGKFERKNVKTGTSGQPTALAVFLVASVLETKNKRILNEAKGLDDVVQIVEEITGNLDAKKVCKDALKIHSKYLSKAKMP >Manes.13G036300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437083:4445068:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWALEYNPNMFSIYEEPSAATDKSSATLSTKELVKNCGKFERKNVKTGTSGQPTALAVFLVASVLETKNKRILNEAKGLDDVVQIVEEITGNLDAKKVCKDALKIHSKYLSKAKMP >Manes.13G036300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWALEYNPNMFSIYEEPSAATDKSSATLSTKELVKNCGKFERKNVKTGTSGQPTALAVFLVASVLETKNKRILNEAKGLDDVVQIVEEITGNLDAKKVCKDALKIHSKYLSKAKMP >Manes.13G036300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437262:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWALEYNPNMFSIYEEPSAATDKSSATLSTKELVKNCGKFERKNVKTGTSGQPTALAVFLVASVLETKNKRILNEAKGLDDVVQIVEEITGNLDAKKVCKDALKIHSKYLSKAKMP >Manes.13G036300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4445069:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWALEYNPNMFSIYEEPSAATDKSSATLSTKELVKNCGKFERKNVKTGTSGQPTALAVFLVASVLETKNKRILNEAKGLDDVVQIVEEITGNLDAKKVCKDALKIHSKYLSKAKMP >Manes.13G036300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4444541:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWALEYNPNMFSIYEEPSAATDKSSATLSTKELVKNCGKFERKNVKTGTSGQPTALAVFLVASVLETKNKRILNEAKGLDDVVQIVEEITGNLDAKKVCKDALKIHSKYLSKAKMP >Manes.13G036300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4437269:4444542:1 gene:Manes.13G036300.v8.1 transcript:Manes.13G036300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGLAGLLCKKSGGEEELGVFYPIRPECQENASRTRFRLRAGKTLSPRKWHAAFCEDGHLDIAKVLTRIQRGGIHPAIKGLVWEFLLGCYGPNSTFEERNQIRQRRREQYSIWKAECKNLVPVIGSGKFITTPIITDDGQPITDSSTNNDQGVHVNNAVSDKKAIQWMLLLHQIGLDVVRTDRTLVFYESETNQAKLWDVLAVYAWVDSDIGYVQGMNDICSPMVILLENEADAFWCFDRAMKRLRENFRCSASSIGVQAQLSTLSQVIKTVDPKLHKHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWEIDFS >Manes.01G133800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32683663:32688484:-1 gene:Manes.01G133800.v8.1 transcript:Manes.01G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDSSLPTSTAAATLSAMPINAKKESSDSNLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSASNLNSLSDDLDTPIPDDLDVLEMEEREKVVKHMWDVYTNSRRVRLPRFWQEAFEAAYEELTSDVPGIRDAAFSEIARMSVHSIIIDPPPLQSTSARGLSKSLKIGGKSGAIAASRRSNR >Manes.01G133800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32687233:32688372:-1 gene:Manes.01G133800.v8.1 transcript:Manes.01G133800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDSSLPTSTAAATLSAMPINAKKESSDSNLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSASNLNSLSDDLDTPIPDDLDVLEMEEREKVVKHMWDVYTNSRRVRLPRFWQEAFEAAYEELTSDVPGIRDAAFSEIARMSVHSIIIDPPPLQSTKIFDSASGHAQYIKT >Manes.01G133800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32687484:32688107:-1 gene:Manes.01G133800.v8.1 transcript:Manes.01G133800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDSSLPTSTAAATLSAMPINAKKESSDSNLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSASNLNSLSDDLDTPIPDDLDVLEMEEREKVVKHMWDVYTNSRRVRLPRFWQEAFEAAYEELTSDVPGIRDAAFSEIARMSVHSIIIDPPPLQSTVSIVFQGFY >Manes.02G138800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10608274:10623593:-1 gene:Manes.02G138800.v8.1 transcript:Manes.02G138800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCHHRLTAGAAAPNGPLHCRPRTPEILSLPFSRNYANQSLGIILRSQKSSKPFIVEAVGPSGVWNRSDDGNQSEKAGPCLYSVGDQAIGDVAGKSGSSDRAVEINSIGRSRTTSKNRKLEVAVAVAATVILGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFTILHIRYNAGLVTDEMLSLPKAPFLLVGLLEALGAAAGMAAAAMLSGASIPILSQTFLVWQILLSITFLGRRYKVNQLLGCFLVAIGVIITVASGSSASHSIKDAGIFWSLLMIFSFLLQAADTVLKGGSVDLFVVNSYGSAFQALFVCLLLPFMSKLWGIPFSQLPSYLKDGAVCFLNIGSLSSGCDGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPISVYVFTLPLPYLGVASSLPTGFVAGAIILVLGLFIYAWTPNGRSKISTSPSPS >Manes.02G138800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10608274:10623593:-1 gene:Manes.02G138800.v8.1 transcript:Manes.02G138800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCHHRLTAGAAAPNGPLHCRPRTPEILSLPFSRNYANQSLGIILRSQKSSKPFIVEAVGPSGVWNRSDDGNQSEKAGPCLYSVGDQAIGDVAGKSGSSDRAVEINSIGRSRTTSKNRKLEVAVAVAATVILGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFTILHIRYNAGLVTDEMLSLPKAPFLLVGLLEALGAAAGMAAAAMLSGASIPILSQTFLVWQILLSITFLGRRYKVNQLLGCFLVAIGVIITVASGSSASHSIKDAGIFWSLLMIFSFLLQAADTVLKEFIFLDAARQLKGGSVDLFVVNSYGSAFQVGTVCMSSSSFHVKIMGYTI >Manes.02G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10608274:10623593:-1 gene:Manes.02G138800.v8.1 transcript:Manes.02G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCHHRLTAGAAAPNGPLHCRPRTPEILSLPFSRNYANQSLGIILRSQKSSKPFIVEAVGPSGVWNRSDDGNQSEKAGPCLYSVGDQAIGDVAGKSGSSDRAVEINSIGRSRTTSKNRKLEVAVAVAATVILGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFTILHIRYNAGLVTDEMLSLPKAPFLLVGLLEALGAAAGMAAAAMLSGASIPILSQTFLVWQILLSITFLGRRYKVNQLLGCFLVAIGVIITVASGSSASHSIKDAGIFWSLLMIFSFLLQAADTVLKEFIFLDAARQLKGGSVDLFVVNSYGSAFQALFVCLLLPFMSKLWGIPFSQLPSYLKDGAVCFLNIGSLSSGCDGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPISVYVFTLPLPYLGVASSLPTGFVAGAIILVLGLFIYAWTPNGRSKISTSPSPS >Manes.09G006800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1694589:1696808:1 gene:Manes.09G006800.v8.1 transcript:Manes.09G006800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAADSKFHVLAVDDSLIDRKLIERLLKISSYQVTTVDSGSKALQFLGLHEDEQSNPETPSVSPEHNQEVEVNLIITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRITRCLEEGAEEFFLKPVQLSDLNKLKPHMLKTKVNTQKQENEEINEDVGKSESCPQLQQQRPSPSPPPPSQSPQQPPANNNKRKAMEEGLSPDRTRPRYNNITTMV >Manes.04G081134.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29173233:29174468:-1 gene:Manes.04G081134.v8.1 transcript:Manes.04G081134.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVARFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFGDLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPPERFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSWELPSYKFENGDSEYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSRYFWRTILSLNEHVALSLSTNRHVELWVLLEYGVEESWTKLFTVAHPEYLRMALPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.18G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7902024:7903671:-1 gene:Manes.18G085600.v8.1 transcript:Manes.18G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNHHLCLVLVPISNRQVPLCECSHSFLCLVFYYKKAPLPSYLLHLSLKAAPTMAQGRGSASPATLTVAALICLVALTHQVHAATYTVGDTGGWTFNVDSWPKGKRFKAGDTLVFNYDATSHNVVAVNKAGYSSCSAPARAKVYKSGKDQIKLVKGQNYFICSVSGHCQSGMKIAINAAA >Manes.08G172000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40586677:40588775:1 gene:Manes.08G172000.v8.1 transcript:Manes.08G172000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACMLIDQKLSSLVAGPEPSRVTNPCTTSTINSPCHASFNCHLPTLVPNFSLCISKARMQILSFLRILVVFSLCVHGTYGDHNLGGWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGTHTAALSTALFNNGFSCGACFQIRCNNDPKWCLSRTITVTATNFCPPNYALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVLFRRVPCLKKGGLRFTINGNSYFNLVLITNVGGAGDVRAVSVKGSRTGWQTMSRNWGQNWQSNSFLSGQSLSFRVTTSDGRTVSSYNVVPANWQFGQTFEGGQF >Manes.02G134100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10232157:10238916:1 gene:Manes.02G134100.v8.1 transcript:Manes.02G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFSSLQFPTISIPCRKYKFLHIPPFHRQSSKSKSSFHLCHRPFSSHSSTIFRCQAQKQQEYQVERLFSNLNQATLKREPGSLSSAIFLVAGTTVGAGILAIPAVTEESGFLASAVACIVCWIFMVVTGLLIAEVNVNTMCELGSGGVSLVSMARRTLGTVGVQIACWTYIFIHYALLVAYVARSSEILTNFLGIPLWESAALFSLVFGGICYFGSQRFIGGVNGVLVLGIIISFTALVAVASGGLQLDALLKANFEAVPMSIPIIALSFVYQNVVPVLCTNLEGNISKVRAAIVLGTAIPLGLFLVWNGVILGSIPNSEMADKMIDPLQQLKSTNGVVGPIVEVFSLLAIATSYIGFILGLADFLADLLKLPANQSSPLPYILTLFPPLVLALLDPEIFFKALDFAGTYGVLVLFGIFPAAMAWSDRYSSSSSTVKLPQLVPGGRITLSLVMGGAGYIILSELRQNFGLS >Manes.15G117650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9390529:9392222:1 gene:Manes.15G117650.v8.1 transcript:Manes.15G117650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGIQANHMVVWYVVGLVHPAKTAPKQIKGCVPQSRGIASDILEECGDANWGKNALEIKVNVISISVENFISSIVKFMSVFNWYTHYSK >Manes.15G176224.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19625886:19626960:-1 gene:Manes.15G176224.v8.1 transcript:Manes.15G176224.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGKKRMAVLVGCNYPKTKNELHGCINDVVAMRDVLINRFGFQPAHVQLLTDAPGSSSSPPLVMPTGANIKKALDQMVDEAQPGDVLYFHYSGHGTRIPSLKPGHPFRQDEAIVPCDFNLITDMDFRQLVNRLPKGTSFTILSDSCHSGGLIDKEKEQIGPNSLIKSNANKQISHKPKAIPYESILQHLTSLTGINTTDIGTHLLECFGANASLSFRIPMLELDNFLQVLKSDEGILLSGCQANETSADMNPVESGGKAYGAFSNAVQMVLKEHSGELISNRQLVMMARQVLEVQGFEQHPCLYCSDENADAVFLWQPESQSQC >Manes.16G044000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7056704:7058303:1 gene:Manes.16G044000.v8.1 transcript:Manes.16G044000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDQNKIVLDVKDDNHCTSLPPCKDIPRCASDKGDSNLSISVPFIQKLIAEMIGTYLLVFTGCTAGSVNLNFDKVVTLPGVSIVWGLAVMVLIYSVGHISGAHFNPAVTLAFATCKRFPCKEVPAYIASQVVGATLAAGTIRLIFPGKQDQFVGTMPTGSDMQSFVIEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVMIAGPISGASMNPARSLGPAIMSWQYKGLWIYIISPILGAQAGAWSYNIVRYTDKPLREITKSASFIKSRARH >Manes.07G089101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:27941346:27942026:1 gene:Manes.07G089101.v8.1 transcript:Manes.07G089101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSLANMWTSIVPQNLTTHNYENWRIWMKNYLLAHDLWDIVEATTESPLPEQAEFKEWQKKNAAALYAIHISCSLDVFVKIKEIDSAGLCWNALADIKLECIREPKLQ >Manes.16G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32579100:32582770:-1 gene:Manes.16G123700.v8.1 transcript:Manes.16G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKLYGYSFLHCFLILLFIFFILILSGFFNSTSFSIFSRSNFQSSISVPAVQLQTLVSHSDQIHDVHSANSSYTSPVNQFRNSTAIRKISKLISREEELLEQGLARARASIRKAGTSSVIVSSIAGVNRSDVDRVVVYRNRAAFYRSYLEMERRFKVYVYSEGDLPIVHDGPCKDIYTIEGRFIQEMEHGARRFRTTDPRRAHVYFMPFSVTWMVKYLYKPLTYDLTPLRQFVSDYVRVVSTKYPFWNRTCGADHFMLACHDWGPHASRANPFLYNTSIRVLCNANTSEGFNPRKDVSLPEIHLYNGNFPPQLLSPPPANTSRPYLAFFAGGLHGPIRPLLVNQWKDRDSDLRVFEYLPKDLDYYSFMLQSKFCLCPSGHEVASPRIVEAIYAECVPVILSNYYVLPFSDVLRWDAFSVQVNVSEIPRLKEVLSSVPEDKYKNLKEGLRAVRRHFVLNQPAKRFDVFYMILHSIWLRRINLRLA >Manes.01G001100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1675191:1682155:-1 gene:Manes.01G001100.v8.1 transcript:Manes.01G001100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSNSHTESGKLEQIISQFLLKSLHIILDSRIPSLHPHDRNDDFQSGSRVRKSDRWFNLVLGDRPAALDNLNFWQRNVMDPMIIDIILVREGTTSSLVDNLYSSVAERRPIETVIERWVVQYKTQRVMSPQTGESSASYKKIYKKSIILLRSLYSQMRLLPAYRIFRQLSSYSQTYNFDIIYKVSSFCEPFSRSEEEMMKEYSFMPVEALFGHLSLSVNYRPSLSDFNLEPLATFPPKIITDYVGSPTTDPLRSFPSSERGVGATSFPLRGIRPPTSTPFQRPHSWTSGFHRPPPFMANQTFGGSPPAYPTSPMPYDFSYTPADSYGNRLQSYRPTTHSKNTGIDEYQLSPPFSPSVSPSTPTYFPGASPLQTLFTSETAPVTIPLPLTGRSAKYLSPNFSDPNKNSLPPLSPKSTRYDTSSQESPSGIRSIRKSESLRTGGGESNPGLVNHYSGHKILKDSKDDSGRLSGLLSSSGSPRIGISRSSSRLSFQDDLEDCDFSCPFDVDDVDISDSPNSQNLDGKKNLESTPHSLLMGKKSQDAAVGVLVRMLRTAPPLRQDPSCYSSKSLRTDGDEGIATASEFFMPRKTADALEELKSYREMKDLLLSKSGTRLISKEA >Manes.01G001100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1675191:1682155:-1 gene:Manes.01G001100.v8.1 transcript:Manes.01G001100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSNSHTESGKLEQIISQFLLKSLHIILDSRIPSLHPHDRNDDFQSGSRVRKSDRWFNLVLGDRPAALDNLNFWQRNVMDPMIIDIILVREGTTSSLVDNLYSSVAERRPIETVIERWVVQYKTQRVMSPQTGESSASYKKIYKKSIILLRSLYSQMRLLPAYRIFRQLSSYSQTYNFDIIYKVSSFCEPFSRSEEEMMKEYSFMPVEALFGHLSLSVNYRPSLSDFNLEPLATFPPKIITDYVGSPTTDPLRSFPSSERGVGATSFPLRGIRPPTSTPFQRPHSWTSGFHRPPPFMANQTFGGSPPAYPTSPMPYDFSYTPADSYGNRLQSYRPTTHSKNTGIDEYQLSPPFSPSVSPSTPTYFPGASPLQTLFTSETAPVTIPLPLTGRSAKYLSPNFSDPNKNSLPPLSPKSTRYDTSSQESPSGIRSIRKSESLRTGGGESNPGLVNHYSGHKILKDSKDDSGRLSGLLSSSGSPRIGISRSSSRLSFQDDLEDCDFSCPFDVDDVDISDSPNSQNLDGKKNLESTPHSLLMGKKSQDAAVGVLVRMLRTAPPLRQDPSCYSSKSLRTDGDEGIATASEFFMPRKTADALEELKSYREMKDLLLSKSGTRLISKEA >Manes.01G062200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25936894:25937453:1 gene:Manes.01G062200.v8.1 transcript:Manes.01G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAPTSFATYLLLFVVLLATSSVLVDGQKCKPSGNIKGKKPPKGKYNQGDDSDCCEAGNANLHFEKGGDGGALSKCDGKYHSDDIPVVASSTGWFNNMKRCHKFIKIHGNGRSMKAMVVDECDSTMGCDKDHDYQPPCPNNIVDASKAVWKALGVKESDDRFGFMDVTWSDT >Manes.13G103666.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30308498:30318941:-1 gene:Manes.13G103666.v8.1 transcript:Manes.13G103666.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIERYNKTKEENQQLLNPTSEVKFWQREAAGLRQQLNNLQESHRRLMGEQLYGLSMKDLHNLENQLEMSLRGIRMKKEQILTDEIKDLGQKGNLIHQENVELCKKMNLIRQENIELYKKVYGTRDENVTAGTGNSSFPHCFNNYGSSHLPTHLQLSQPEQSNFETPPTATK >Manes.13G103666.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30308498:30318941:-1 gene:Manes.13G103666.v8.1 transcript:Manes.13G103666.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIIERYNKTKEENQQLLNPTSEVKFWQREAAGLRQQLNNLQESHRRLMGEQLYGLSMKDLHNLENQLEMSLRGIRMKKEQILTDEIKDLGQKGNLIHQENVELCKKMNLIRQENIELYKKVYGTRDENVTAGTGNSSFPHCFNNYGSSHLPTHLQLSQPEQSNFETPPTATK >Manes.13G103666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30308498:30319084:-1 gene:Manes.13G103666.v8.1 transcript:Manes.13G103666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRKGLIKKAKELAILCDADVGLVIFSSSAKLYEFASSSSMISIIERYNKTKEENQQLLNPTSEVKFWQREAAGLRQQLNNLQESHRRLMGEQLYGLSMKDLHNLENQLEMSLRGIRMKKEQILTDEIKDLGQKGNLIHQENVELCKKMNLIRQENIELYKKVYGTRDENVTAGTGNSSFPHCFNNYGSSHLPTHLQLSQPEQSNFETPPTATK >Manes.13G103666.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30308498:30318943:-1 gene:Manes.13G103666.v8.1 transcript:Manes.13G103666.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRKGLIKKAKELAILCDADVGLVIFSSSAKLYEFASSSMISIIERYNKTKEENQQLLNPTSEVKFWQREAAGLRQQLNNLQESHRRLMGEQLYGLSMKDLHNLENQLEMSLRGIRMKKEQILTDEIKDLGQKGNLIHQENVELCKKMNLIRQENIELYKKVYGTRDENVTAGTGNSSFPHCFNNYGSSHLPTHLQLSQPEQSNFETPPTATK >Manes.13G103666.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30308498:30319084:-1 gene:Manes.13G103666.v8.1 transcript:Manes.13G103666.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRKGLIKKAKELAILCDADVGLVIFSSSAKLYEFASSSSMISIIERYNKTKEENQQLLNPTSEVKFWQREAAGLRQQLNNLQESHRRLMGEQLYGLSMKDLHNLENQLEMSLRGIRMKKEQILTDEIKDLGQKENVELCKKMNLIRQENIELYKKVYGTRDENVTAGTGNSSFPHCFNNYGSSHLPTHLQLSQPEQSNFETPPTATK >Manes.13G103666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30308498:30318943:-1 gene:Manes.13G103666.v8.1 transcript:Manes.13G103666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRKGLIKKAKELAILCDADVGLVIFSSSAKLYEFASSSSMISIIERYNKTKEENQQLLNPTSEVKFWQREAAGLRQQLNNLQESHRRLMGEQLYGLSMKDLHNLENQLEMSLRGIRMKKEQILTDEIKDLGQKGNLIHQENVELCKKMNLIRQENIELYKKVYGTRDENVTAGTGNSSFPHCFNNYGSSHLPTHLQLSQPEQSNFETPPTATK >Manes.13G103666.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30308498:30319084:-1 gene:Manes.13G103666.v8.1 transcript:Manes.13G103666.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRKGLIKKAKELAILCDADVGLVIFSSSAKLYEFASSSMISIIERYNKTKEENQQLLNPTSEVKFWQREAAGLRQQLNNLQESHRRLMGEQLYGLSMKDLHNLENQLEMSLRGIRMKKEQILTDEIKDLGQKGNLIHQENVELCKKMNLIRQENIELYKKVYGTRDENVTAGTGNSSFPHCFNNYGSSHLPTHLQLSQPEQSNFETPPTATK >Manes.08G137761.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37424952:37426082:-1 gene:Manes.08G137761.v8.1 transcript:Manes.08G137761.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYKLALKPEVVEMDAISLWFCRFTLIYFQIFSYMIESGDASRKFKLLQEVAVMTPPTVLPPVETEHCGHRICPGSPAAPNIPPPRVCAPAPPPPCSPPPPPPCSLPPPPPRSCSSPVPSLPPLPPSDLFPPPSIIKDPSSPPEINIPRIPSSPFPFPFPFSPPAPS >Manes.09G037800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118719:7127957:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEEIAVAYDKNHPCKLEKALCLGDAISDLPSVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERSISLYRFILKFGCFLLRIGLWGLLAYILLEN >Manes.09G037800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118719:7129978:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEEIAVAYDKNHPCKLEKALCLGDAISDLPSVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERYIQVGNAVAVPVATALGYSFGMAWQGFSDDEPLTALPFKFPSCLERSSSTHMEEDDSD >Manes.09G037800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118605:7128400:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEEIAVAYDKNHPCKLEKALCLGDAISDLPSVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVHTSWQCCSCSCCYCIGIQFWNGLARIFR >Manes.09G037800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118719:7127492:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERSISLYRYIQVGNAVAVPVATALGYSFGMAWQGFSDDEPLTALPFKFPSCLERSSSTHMEEDDSD >Manes.09G037800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118719:7127957:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEEIAVAYDKNHPCKLEKALCLGDAISDLPSVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERSISLYRFILKFGCFLLRIGLWGLLAYILLEN >Manes.09G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118719:7127492:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEEIAVAYDKNHPCKLEKALCLGDAISDLPSVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERSISLYRYIQVGNAVAVPVATALGYSFGMAWQGFSDDEPLTALPFKFPSCLERSSSTHMEEDDSD >Manes.09G037800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118719:7127492:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSEVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERYIQVGNAVAVPVATALGYSFGMAWQGFSDDEPLTALPFKFPSCLERSSSTHMEEDDSD >Manes.09G037800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118719:7127492:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERYIQVGNAVAVPVATALGYSFGMAWQGFSDDEPLTALPFKFPSCLERSSSTHMEEDDSD >Manes.09G037800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118606:7128399:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEEIAVAYDKNHPCKLEKALCLGDAISDLPSVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERYIQVGNAVAVPVATALGYSFGMAWQGFSDDEPLTALPFKFPSCLERSSSTHMEEDDSD >Manes.09G037800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118690:7128399:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSESLPQYPLPTHEVVARGGIPNEFEEIAVAYDKNHPCKLEKALCLGDAISDLPSVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERYIQVGNAVAVPVATALGYSFGMAWQGFSDDEPLTALPFKFPSCLERSSSTHMEEDDSD >Manes.09G037800.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7118719:7127492:1 gene:Manes.09G037800.v8.1 transcript:Manes.09G037800.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKREGPAPAGDSFPTQEEDPITLLSSKAKKTKKAKLDSELSLVGDPIPAAEARKKWPLRYKSQNKLKKGGVPESANGESGEEEDVLQAKSHYTQAMVDGVLYKLGDDAYVKADDGNPDYIARIVELFETTEGEPYFNAQWFYRIEDTVIKDYAQSAESKRVFLSEIKDDNPLNCIVSKIKIALVAPNMDLAAKEQKIPPCDLYYDMKFTLPFLTFQTMKTDNSARDSGSSSTISSESDSNNSTIDVNDAVAKPSKAHRREKSELYLLDLYSGCGAMSTGLCMGASLSGVKLVTRWAVDINPNACKSLKINHPETEVRNEAAEDFLFLLKEWEKLCRNFSLLASEEHPKQSSNSSSDNEEDEEDQDNDCANEGSEIPSEEFEVEKLLTICYGDPNKTKKRGIYFKVRWKGYGPSEDTWEPIEGLSKCKEKLKEFVTKGYRSNILPLPGNADFICGGPPCQGISGFNRFRNTKAPLDDPKNHQLVVFMDIVDYLKPKYVLMENVVDILKFAGGFLGRYALGRLISMNYQARLGMMAAGSYGLPQFRMRVFLWGSHPSEVTNDESQDERRYGTTCRTDFQRYIRLKRNDVVGYTTTAAQNVSSPRMLYDHRPLKLNTDDYERVCRIPKKKGANFRDLPGVSVGPDNKVEWDQSMERVMLPSGKPLVPDYAMKFVRGTSTKPFGRLWWDEIVATVVTRAEPHNQVVLHPVQDRVLTIRENARLQGFPDCYKLYGPIKERSISLYRYIQVGNAVAVPVATALGYSFGMAWQGFSDDEPLTALPFKFPSCLERSSSTHMEEDDSD >Manes.09G148800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34671188:34676039:1 gene:Manes.09G148800.v8.1 transcript:Manes.09G148800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGEGGGRRSHGNYTNPCLTMHQPWASLLVYGIKRIEGRSWPAPVRGRLWIHAASKVPEEATIKAMEEFYREIYAVNGITEIKFPEHYPVSRLLGCVEVVGCVRCEELASWEAVPVGVRLEGQTDFCWLCEQPKKLLVPFEMRGYQGVYNLEKKIYEAAVRGLVPVKGPMPVKFLLPNPRDPFSLKPGSISVLFPGKASEVEKSSSLTAAIAGARAAATQFNKKDQDLLANTVQNNNSNSKSDEMNGKPLEEHQKPKSNLYEGSNVIPSVSNEGEESSSQRQTHADMKQLPGAPAKIFAAAVRGLKPS >Manes.16G112600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31839664:31847153:1 gene:Manes.16G112600.v8.1 transcript:Manes.16G112600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTTIVPSSMSTVSFIPGTAFTIKKNICLTKCSLSRKSSKHKLSSQRLVLPLLTSAGLFPQYRTDCTLLHRSILHTVSATGTDTDIVVEEPDSLVADEDSDGASEIPVDAVDLSEKSSIKSDASTPPSQSRRSRPSRKSEMPPVKNVDLIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDNFVKDVGSIVSLGQEVKVRLVEVNTETGRISLTMRESDSTSKSQQRRDSPGTSSSDKPRPARRNTPKPGQKKEVVKTSKFVKGQELNGTVKNLTRSGAFISLPEGEEGFLPQSEESEDGFLNIMGGSSLQVGQEVSVRVLRVARGQVTLTMKKEEENEFNLEFSQGVVHDATNPFVLAFRKNKDIAAFLDEREKVEQAVKKPVKPKLSVEIGQVNQTEGIPEVQGQHSSSDERSVDLSSMVVETVDDDDITTREVTAGSSRAGNDEEPGSVESRSSQSVGVFETVEEAEASPKEVVIGSSSASNDEQPESIASTISQSVERVIETVEEAEATPNEDAVVSSNAGDDPELKGIESISSQSIDGALQIVEKEAEEAPSTDGVKDGTSDLSGEKVDQPGDAIAKDGVKIQTPTMQNEISSTEPVGNEGRARNPNENGSITGSESQAIEELVEGQVENTKIEVEIQTSVAEAEIPSVAPIENVVETVPEITGTFGDSNGQSSSPSNEESVAKATMSPALVKQLREETGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRTTAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVNDIAMQVAACPQVQYLGTEDVPEEIVNKEKEIEMQKEDLLLRPEQIRSKIVEGRIRKRLEELALLEQPYIRNDKIVVKDWVKQTIATIGENIKVKRFIRYNLGEGLEKKSQDFAAEVAAQTAAKPVASPAIEQPATAETKETIQKPPAVTVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKEMVDDLAMQVVACPQVQFVSIEDVPESVVKKEKELEMQREDLLSKPENIREKIVEGRISKRLGELALLEQPFIKNDSVLVKDLVKQTVAALGENMKVRRFVRFTLGESAEDTKTETEA >Manes.16G112600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31839648:31847159:1 gene:Manes.16G112600.v8.1 transcript:Manes.16G112600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTTIVPSSMSTVSFIPGTAFTIKKNICLTKCSLSRKSSKHKLSSQRLVLPLLTSAGLFPQYRTDCTLLHRSILHTVSATGTDTDIVVEEPDSLVADEDSDGASEIPVDAVDLSEKSSIKSDASTPPSQSRRSRPSRKSEMPPVKNVDLIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDNFVKDVGSIVSLGQEVKVRLVEVNTETGRISLTMRESDSTSKSQQRRDSPGTSSSDKPRPARRNTPKPGQKKEVVKTSKFVKGQELNGTVKNLTRSGAFISLPEGEEGFLPQSEESEDGFLNIMGGSSLQVGQEVSVRVLRVARGQVTLTMKKEEENEFNLEFSQGVVHDATNPFVLAFRKNKDIAAFLDEREKVEQAVKKPVKPKLSVEIGQVNQTEGIPEVQGQHSSSDERSVDLSSMVVETVDDDDITTREVTAGSSRAGNDEEPGSVESRSSQSVGVFETVEEAEASPKEVVIGSSSASNDEQPESIASTISQSVERVIETVEEAEATPNEDAVVSSNAGDDPELKGIESISSQSIDGALQIVEKEAEEAPSTDGVKDGTSDLSGEKVDQPGDAIAKDGVKIQTPTMQNEISSTEPVGNEGRARNPNENGSITGSESQAIEELVEGQVENTKIEVEIQTSVAEAEIPSVAPIENVVETVPEITGTFGDSNGQSSSPSNEESVAKATMSPALVKQLREETGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRTTAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVNDIAMQVAACPQVQYLGTEDVPEEIVNKEKEIEMQKEDLLLRPEQIRSKIVEGRIRKRLEELALLEQPYIRNDKIVVKDWVKQTIATIGENIKVKRFIRYNLGEGLEKKSQDFAAEVAAQTAAKPVASPAIEQPATAETKETIQKPPAVTVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKEMVDDLAMQVVACPQVQFVSIEDVPESVVKKEKELEMQREDLLSKPENIREKIVEGRISKRLGELALLEQPFIKNDSVLVKDLVKQTVAALGENMKVRRFVRFTLGESAEDTKTETEA >Manes.16G112600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31841439:31847042:1 gene:Manes.16G112600.v8.1 transcript:Manes.16G112600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MTTIVPSSMSTVSFIPGTAFTIKKNICLTKCSLSRKSSKHKLSSQRLVLPLLTSAGLFPQYRTDCTLLHRSILHTVSATGTDTDIVVEEPDSLVADEDSDGASEIPVDAVDLSEKSSIKSDASTPPSQSRRSRPSRKSEMPPVKNVDLIPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSRLSDNFVKDVGSIVSLGQEVKVRLVEVNTETGRISLTMRESDSTSKSQQRRDSPGTSSSDKPRPARRNTPKPGQKKEVVKTSKFVKGQELNGTVKNLTRSGAFISLPEGEEGFLPQSEESEDGFLNIMGGSSLQVGQEVSVRVLRVARGQVTLTMKKEEENEFNLEFSQGVVHDATNPFVLAFRKNKDIAAFLDEREKVEQAVKKPVKPKLSVEIGQVNQTEGIPEVQGQHSSSDERSVDLSSMVVETVDDDDITTREVTAGSSRAGNDEEPGSVESRSSQSVGVFETVEEAEASPKEVVIGSSSASNDEQPESIASTISQSVERVIETVEEAEATPNEDAVVSSNAGDDPELKGIESISSQSIDGALQIVEKEAEEAPSTDGVKDGTSDLSGEKVDQPGDAIAKDGVKIQTPTMQNEISSTEPVGNEGRARNPNENGSITGSESQAIEELVEGQVENTKIEVEIQTSVAEAEIPSVAPIENVVETVPEITGTFGDSNGQSSSPSNEESVAKATMSPALVKQLREETGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRTTAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVNDIAMQVAACPQVQYLGTEDVPEEIVNKEKEIEMQKEDLLLRPEQIRSKIVEGRIRKRLEELALLEQPYIRNDKIVVKDWVKQTIATIGENIKVKRFIRYNLGEGLEKKSQDFAAEVAAQTAAKPVASPAIEQPATAETKETIQKPPAVTVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKEMVDDLAMQVVACPQVQFVSIEDVPESVVKKEKELEMQREDLLSKPENIREKIVEGRISKRLGELALLEQPFIKNDSVLVKDLVKQTVAALGENMKVRRFVRFTLGESAEDTKTETEA >Manes.07G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31943226:31944453:1 gene:Manes.07G114000.v8.1 transcript:Manes.07G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMKRKHQLIAFFLFALFIASQSYCSPVIMVHAADSGKATIFDFRVRNTQQKTNSFTRHMLAYRKSAGAQVKEIIQKVPSGPNPIGNRHPPSIHV >Manes.16G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27531662:27534142:1 gene:Manes.16G073000.v8.1 transcript:Manes.16G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQQYVDEHLMCDIEGSHLTAAAIIGQDGSVWAQSSTFPQFTPEEITGIMNDFAEPGTLAPTGLFLGGTKYMVIQGEPGAVIRGKKGSGGVTIKKTNQALIIGIYDEPLTPGQCNMIVERLGDYLIDQGL >Manes.01G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4527022:4528722:1 gene:Manes.01G019400.v8.1 transcript:Manes.01G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAANPSFHVLLLFISLASSLSMGAVQYNVRSYGAKPDGKTDSTKAFLAAWTQACASTKSATIYVPKGRFLLSRVAFQGPCKNNATFLRIDGSLVAPSDYRVIGSSQNWIIFEHVNGVKVSGGVIDGRGTGLWSCKNSGKTCPDGATSLEFTNSNNIVISRLTSLNSQKFHIVINECQNVKVQGMKIIASGESPNTDGIHVQASTGVTILNSKIGTGDDCVSIGPGTTNMGIQNVACGPGHGISIGSLGKDVQENGVQNVTVTGATFTGTENGVRIKTWGRPSNGFARNIVFQHLVMNNVQNPMVIDQNYCPSHSDCPGQVSGVKISDVSYLDIHGSSASEIAVKFDCSKKYPCSGIKLLGINLTYKNQPVQASCNNTNGACL >Manes.13G009300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1279718:1290139:1 gene:Manes.13G009300.v8.1 transcript:Manes.13G009300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRPQWKPPSNDIVSTLPLYRSAPALEVRLEDFELYAMDRLRVLKGISDGLSRGKKPEEMKNLVNDLWKVNMKHPQASEVFNKDVISHFVLRLVYCRTEDLRKWFLSMETALFRHRFRLLTADSQRALLAELGLPYKAVARAEFEVIKEKLVQVARSISQPIPSSDAIFYKVPFEEVPELVAGRRIFICKGHAYVAMNQVVSLVVTQFRSLLSKALILTNRKWTSMIREQEKDRLTPIVESLCSSYLGPDYSQPNEFAEISVKDIDLVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISLTPSVGDHHGCPYRHFSEDNLRAALSRMGVNNGAVEDVMDKVRNRHYQLACTLTFEAIHGLSCDAGINHPNQYFSDSQKILKLKSHSSEQGESTAD >Manes.13G009300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1280031:1289943:1 gene:Manes.13G009300.v8.1 transcript:Manes.13G009300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRPQWKPPSNDIVSTLPLYRSAPALEVRLEDFELYAMDRLRVLKGISDGLSRGKKPEEMKNLVNDLWKVNMKHPQASEVFNKDVISHFVLRLVYCRTEDLRKWFLSMETALFRHRFRLLTADSQRALLAELGLPYKAVARAEFEVIKEKLVQVARSISQPIPSSDAIFYKVPFEEVPELVAGRRIFICKGHAYVAMNQVVSLVVTQFRSLLSKALILTNRKWTSMIREQEKDRLTPIVESLCSSYLGPDYSQPNEFAEISVKDIDLVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISLTPSVGDHHGCPYRHFSEDNLRAALSRMGVNNGAVEDVMDKVRNRHYQLACTLTFEAIHGLSCDAGINHPNQYFSDSQKILKLKSHSSEQGESTAD >Manes.13G009300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1280031:1289943:1 gene:Manes.13G009300.v8.1 transcript:Manes.13G009300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRPQWKPPSNDIVSTLPLYRSAPALEVRLEDFELYAMDRLRVLKGISDGLSRGKKPEEMKNLVNDLWKVNMKHPQASEVFNKDVISHFVLRLVYCRTEDLRKWFLSMETALFRHRFRLLTADSQRALLAELGLPYKAVARAEFEVIKEKLVQVARSISQPIPSSDAIFYKVPFEEVPELVAGRRIFICKGHAYVAMNQVVSLVVTQFRSLLSKALILTNRKWTSMIREQEKDRLTPIVESLCSSYLGPDYSQPNEFAEISVKDIDLVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISLTPSVGDHHGCPYRHFSEDNLRAALSRMGVNNGAVEDVMDKVRNRHYQLACTLTFEAIHGLSCDAGINHPNQYFSDSQKILKLKSHSSEQGESTAD >Manes.13G009300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1279719:1289948:1 gene:Manes.13G009300.v8.1 transcript:Manes.13G009300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRPQWKPPSNDIVSTLPLYRSAPALEVRLEDFELYAMDRLRVLKGISDGLSRGKKPEEMKNLVNDLWKVNMKHPQASEVFNKDVISHFVLRLVYCRTEDLRKWFLSMETALFRHRFRLLTADSQRALLAELGLPYKAVARAEFEVIKEKLVQVARSISQPIPSSDAIFYKVPFEEVPELVAGRRIFICKGHAYVAMNQVVSLVVTQFRSLLSKALILTNRKWTSMIREQEKDRLTPLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISLTPSVGDHHGCPYRHFSEDNLRAALSRMGVNNGAVEDVMDKVRNRHYQLACTLTFEAIHGLSCDAGINHPNQYFSDSQKILKLKSHSSEQGESTAD >Manes.13G009300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1280031:1289943:1 gene:Manes.13G009300.v8.1 transcript:Manes.13G009300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRPQWKPPSNDIVSTLPLYRSAPALEVRLEDFELYAMDRLRVLKGISDGLSRGKKPEEMKNLVNDLWKVNMKHPQASEVFNKDVISHFVLRLVYCRTEDLRKWFLSMETALFRHRFRLLTADSQRALLAELGLPYKAVARAEFEVIKEKLVQVARSISQPIPSSDAIFYKVPFEEVPELVAGRRIFICKGHAYVAMNQVVSLVVTQFRSLLSKALILTNRKWTSMIREQEKDRLTPIVESLCSSYLGPDYSQPNEFAEISVKDIDLVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISLTPSVGDHHGCPYRHFSEDNLRAALSRMGVNNGAVEDVMDKVRNRHYQLACTLTFEAIHGLSCDAGINHPNQYFSDSQKILKLKSHSSEQGESTAD >Manes.13G009300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1280031:1289943:1 gene:Manes.13G009300.v8.1 transcript:Manes.13G009300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRPQWKPPSNDIVSTLPLYRSAPALEVRLEDFELYAMDRLRVLKGISDGLSRGKKPEEMKNLVNDLWKVNMKHPQASEVFNKDVISHFVLRLVYCRTEDLRKWFLSMETALFRHRFRLLTADSQRALLAELGLPYKAVARAEFEVIKEKLVQVARSISQPIPSSDAIFYKVPFEEVPELVAGRRIFICKGHAYVAMNQVVSLVVTQFRSLLSKALILTNRKWTSMIREQEKDRLTPIVESLCSSYLGPDYSQPNEFAEISVKDIDLVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISLTPSVGDHHGCPYRHFSEDNLRAALSRMGVNNGAVEDVMDKVRNRHYQLACTLTFEAIHGLSCDAGINHPNQYFSDSQKILKLKSHSSEQGESTAD >Manes.13G009300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1280025:1288772:1 gene:Manes.13G009300.v8.1 transcript:Manes.13G009300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPQASEVFNKDVISHFVLRLVYCRTEDLRKWFLSMETALFRHRFRLLTADSQRALLAELGLPYKAVARAEFEVIKEKLVQVARSISQPIPSSDAIFYKVPFEEVPELVAGRRIFICKGHAYVAMNQVVSLVVTQFRSLLSKALILTNRKWTSMIREQEKDRLTPIVESLCSSYLGPDYSQPNEFAEISVKDIDLVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISLTPSVGDHHGCPYRHFSEDNLRAALSRMGVNNGAVEDVMDKVRNRHYQLACTLTFEAIHGLSCDAGINHPNQYFSDSQKILKLKSHSSEQGESTAD >Manes.05G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2794511:2797521:1 gene:Manes.05G033100.v8.1 transcript:Manes.05G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFFFFIFLILPFILSLNGQFFRFAEGGKRRIHITDDLDDVIDDEEDEAWKQWGKKATPDSDEFDPPPSDFSNMDMSQIQELMMKQHLGPVFGFVKLRLGVRRTPDMVGEIAMKWTKVLRTGAMEVRFNGVDTSTIMFTMENGKNKIELKEFILNQPEAYEIKIGDQVFRRPGDPPVEEVIEKLQIEKEKTSDTAEHLKEEL >Manes.16G057225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20562984:20588161:1 gene:Manes.16G057225.v8.1 transcript:Manes.16G057225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRLDLRLHHSGSTQSEESALDLERNCCNHPNPRWSSPTSLQPFASGGQHSESTAAYFSWPTLSRLNDTAEDRANYFGNLQKGVLPETLGRLPSGQRATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGALTDIPAILVFVARKVHRQWLNHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYTELVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPCLGPGVYLGAVERATSFITDELWYGIFAGINPETFVRADGAFIPFAEDFNMNNVTTSVKGVGEIGDVHIIDLQSPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQPFDLEGDSGSLILLTGQDGDRPQPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTKEGLQAAVRDQKYASVAGLDSTVGESSPDRVPSKDRVEENFEPLNLNIRQVLVEGESQQGLTGPSFHTEFHIEDGVEAVPNVEHQFIPSFAGRSPVHDKSQQENVEFKNLLTLKNGSDEDLYVSLQLGEPEPKRRKSD >Manes.16G057225.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20562984:20588161:1 gene:Manes.16G057225.v8.1 transcript:Manes.16G057225.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRLDLRLHHSGSTQSEESALDLERNCCNHPNPRWSSPTSLQPFASGGQHSESTAAYFSWPTLSRLNDTAEDRANYFGNLQKGVLPETLGRLPSGQRATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGALTDIPAILVFVARKVHRQWLNHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYTELVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPCLGPGVYLGAVERATSFITDELWYGIFAGINPAAVRDQKYASVAGLDSTVGESSPDRVPSKDRVEENFEPLNLNIRQVLVEGESQQGLTGPSFHTEFHIEDGVEAVPNVEHQFIPSFAGRSPVHDKSQQENVEFKNLLTLKNGSDEDLYVSLQLGEPEPKRRKSD >Manes.16G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2225407:2230315:-1 gene:Manes.16G020100.v8.1 transcript:Manes.16G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGGIAPGNGRKEYPGNLTAYVTVTCIVAAMGGLIFGYDIGISGGVTSMDSFLKKFFPSVYRKKKQDAVSNQYCQYDSQTLTMFTSSLYLAALMASLVASWITRKFGRKLSMLFGGVLFCAGAIINGAAKAVWMLILGRILLGFGIGFANQSVPLYLSEMAPYKYRGSLNIGFQLSITIGILIANVLNYFFAKIHGGWGWRLSLGGAMVPALIITVGSLVLPDTPNSMIERGQHEEARTKLRRVRGVDDVDEEFNDLVLASEESKKVEHPWRNLLQRKYRPHLAMAIAIPFFQQLTGINVIMFYAPVLFDTIGFGSDAALMSAVITGLVNVFATMVSIYGVDKWGRRFLFLEGGVQMLICQAVVAACIGAKFGVDGNPGDLPKWYAIVVVLFICLYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMLFTFAVAQVFLLMLCHLKFGLFLFFAFFVLVMSIFVYYFLPETKGIPIEEMGQVWKSHWYWSRFVADEDFANGKLEMGKGNQGQVPKIV >Manes.05G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5323669:5326316:1 gene:Manes.05G063800.v8.1 transcript:Manes.05G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDQEMLLKQVFGESSDSEDYEQQQPIQESVCEDGSRSTVDLNPIWEPIKEISGLWLCRDFLSPQQQSTVLSAIQNEGWFTVASNNQAMRFGDLPYWAIELSNSIREVVVLFGDQICESTESVGCGDKGTCIMPRNLLWREPLFDQLIVNVYQPGEGICAHVDLMRFEDGIAIVSLESSCVMHFTRVEEVCENGKGAKDQHVSRVPVHLTPGSVVLLWGDARYLWKHEINRKPGFQIWEGKELNQKRRTSITLRKLCCDE >Manes.01G127100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32160693:32163497:1 gene:Manes.01G127100.v8.1 transcript:Manes.01G127100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAIKSGELVTLNDLHPSSPLFKNGASLRVTGKLQEYTVETAIAIIADGNKILKIDTQHLRDLSFRIGSVYQFIGELVVQPDNEAILQARVGRNVDGIDLNLYHQSLQVLRQFQADHLKNSTI >Manes.16G135500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33619045:33619410:1 gene:Manes.16G135500.v8.1 transcript:Manes.16G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNWTVLGHLKRAVKKLNFLLSFNLRRWRVASILRNVSRRRRRLSFNDRLGLHGCIEDVESDENKRVRALQRTRSYASEDDIDQRAEIFIENFRRQLLLERQISLQLRYYRGNSFTRDY >Manes.01G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25926078:25932551:1 gene:Manes.01G062000.v8.1 transcript:Manes.01G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMALASGSAPYFIHRGGGIVGSGSGSQIGPIHVPPGFRPLSNPNLAAQSNARPGSSGPAFSMEPSNANFAHGINIAVPSGVPVGEPVKKKRGRPRKYAPDGQVSLGLSPMPVKSKPPSGPDPLTPRRGRGRPPGSGRKQQLALLGDWMNASAGVAFSPHVICIGSGEDIVAKLLSFAQQRPRAVCILSGTGTVSSVTLRQPASSGPTVTFEGRFEILCLSGSFLVAEDGGPRNRTGGISASLSSPDGHVIGGAIARLIAAGPVQVVVCSFVHGGSKNKDKQVGRLKLNKDSTSPPGDKSATPKSAIPINQPQNFTPSPMNIWPVSRSVDLRNPHTDIDLTRG >Manes.04G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3975279:3977036:-1 gene:Manes.04G031600.v8.1 transcript:Manes.04G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSEKAAKEPKSPSKATKSPKKA >Manes.03G117300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24260852:24261109:-1 gene:Manes.03G117300.v8.1 transcript:Manes.03G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWMVAASIGVVEALKDQGLCRWNYALRSLQQHAKNNIRSYSQQPKKLLPALPKKLRDDKLKQSEESLRTVMYLSCWGPN >Manes.10G077365.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17291695:17294408:-1 gene:Manes.10G077365.v8.1 transcript:Manes.10G077365.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRHDQHQSSSFLLDSTLYCEEERWVDEKEPEEVFQNECFGKYGTRKFPSFSPLSVLLEQDLFWDDGELLSLFSKEEEQQLSQVNVYNLETDSCLSVARQEAVEWMLKVNAHYGFSVLTAILAVNYLDRFLSSSYYQRDKPWMIQLVAVTCLSLAAKVEETQVPLLLDLQVEDTKYMFEAKTIQRMELLVLSTLKWKMHPVTPLSFIDHIIRRLGLKTHLHWEFLRRCERLLLTIVSDSRSIGYLPSALATATMMRVIDEVEPLNTMEYQKQLLGVLKICKEKVNDCYKLILELSKIKTNASVVYNKSLKRKYEPLPSSPSGVIDATVFSCDSSNDSWALGSSVSSTPEQQLFKKSRAQDQWVFVDMVGSPR >Manes.10G090400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23391027:23395654:-1 gene:Manes.10G090400.v8.1 transcript:Manes.10G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFAYFGKQLGYLFKSPCIKVNCFLKSYKTLSKSSFRILVFHVNPFWIQLVYFLILSLVGFLALKVSKPRTPPSLKPNDLDIFFTSVSTATVSSMTSVEMEVLSNTQLIIMTILMLVGGEVFTSMLEIQFKRSKFSRHSLSNPTSSLELVPADDSHGLAENEKSAEIDLESHRNSSGIESLQSASMKTLGYVVLGYLLVIHISGSSLVALYTSLVPSAREVLTKKAIQVQTFSLFTVVSTFSNCGFVPTNENMIVFKKNSGLLLLLIPQTLLGNTLYAPTLRFLIWVLEKMTKRVEYKYMLKNSREMGYSHLMSGVRCWFLFGTVLVFLLAELVVFCSMEWNSGVMDGLSWFEKLVAALFQVVSSRHSGESVFDLSTISPAILVIFVVMMYLPPYTSFLPMEIKEEEGIVRSESKNQRKTTMQCLLFSQLSYIVIFIILICIVEREKMKTDPLNFNVLNITIEVISAYGNVGFSSGYSCERRLHKESWCKDEWYGFVGKWSNLGKIILIIVMFFGRLKKFFINGGKAWKLS >Manes.04G022800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2724565:2727326:1 gene:Manes.04G022800.v8.1 transcript:Manes.04G022800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEKSKNEEEQKKKKKKNKEGAVTSKSPIKKTLTKSPKQEGEKEKKKKKKQQHSKTSNGTISEPPEVLIIPSSSCDSQESQDDDREEIAKRIEPSNRSNKSSKPVKTNKRKEKAEADNEDGEDGTMCRFPMARIKRIMKSEDPGLQLSQDVVFLVNKATEKFIEQFCEEAYGCSVRDRKNYLAYKHLSTAVSEQRRFDFLSDFVPEKLKAVDALAQRNLVENREG >Manes.10G065100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9166154:9170148:-1 gene:Manes.10G065100.v8.1 transcript:Manes.10G065100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGEDKPCRFGDRSTSDIIVCLKNRGGRPEIFYSHSSVLVNKSKFFADLLSSPDSGTCVEIHCSEFNYDHHVNFFRLLYLPVDSLLDSLESVKSAVGVLEVSVAFSCEEITKTCIQYLEAVPWEDKEEEEILKVVSKLGPIAMPIIARIQQVDLTATKNVFVSAVRFATSIGGSYPPFGDELKISAQEQVEYMLGEDEDMPLVRADDEVKSVVKVGLSKVFSSFEKELSSLLLDSDIVSETAEAKILQTVSDLQWMCNILPKLDLMKDFVLNWADISGKVLGIIEDKKLDYLMWGLKLKMIEVIAKVLEAVGYGTVILTPPYRVQLLSMWLPYIRKIKPLLDSKCNGDSSFPYKMDEDLCQSIEGAIVSMILALPSNDQADILADWMKVDQVTYPDLSEAFEVWCYRTKSAKRRLVENLVFLYLHE >Manes.10G065100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9166154:9170153:-1 gene:Manes.10G065100.v8.1 transcript:Manes.10G065100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGEDKPCRFGDRSTSDIIVCLKNRGGRPEIFYSHSSVLVNKSKFFADLLSSPDSGTCVEIHCSEFNYDHHVNFFRLLYLPVDSLLDSLESVKSAVGVLEVSVAFSCEEITKTCIQYLEAVPWEDKEEEEILKVVSKLGPIAMPIIARIQQVDLTATKNVFVSAVRFATSIGGSYPPFGDELKISAQEQVEYMLGEDEDMPLVRADDEVKSVVKVGLSKVFSSFEKELSSLLLDSDIVSETAEAKILQTVSDLQWMCNILPKLDLMKDFVLNWADISGKVLGIIEDKKLDYLMWGLKLKMIEVIAKVLEAVGYGTVILTPPYRVQLLSMWLPYIRKIKPLLDSKCNGDSSFPYKMDEDLCQSIEGAIVSMILALPSNDQADILADWMKVDQVTYPDLSEAFEVWCYRTKSAKRRLVENLGGQLFSL >Manes.10G065100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9166154:9170148:-1 gene:Manes.10G065100.v8.1 transcript:Manes.10G065100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGEDKPCRFGDRSTSDIIVCLKNRGGRPEIFYSHSSVLVNKSKFFADLLSSPDSGTCVEIHCSEFNYDHHVNFFRLLYLPVDSLLDSLESVKSAVGVLEVSVAFSCEEITKTCIQYLEAVPWEDKEEEEILKVVSKLGPIAMPIIARIQQVDLTATKNVFVSAVRFATSIGGSYPPFGDELKISAQEQVEYMLGEDEDMPLVRADDEVKSVVKVGLSKVFSSFEKELSSLLLDSDIVSETAEAKILQTVSDLQWMCNILPKLDLMKDFVLNWADISGKVLGIIEDKKLDYLMWGLKLKMIEVIAKVLEAVGYGTVILTPPYRVQLLSMWLPYIRKIKPLLDSKCNGDSSFPYKMDEDLCQSIEGAIVSMILALPSNDQADILADWMKVDQVTYPDLSEAFEVWCYRTKSAKRRLVENLGGQLFSL >Manes.10G065100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9166154:9170148:-1 gene:Manes.10G065100.v8.1 transcript:Manes.10G065100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGEDKPCRFGDRSTSDIIVCLKNRGGRPEIFYSHSSVLVNKSKFFADLLSSPDSGTCVEIHCSEFNYDHHVNFFRLLYLPVDSLLDSLESVKSAVGVLEVSVAFSCEEITKTCIQYLEAVPWEDKEEEEILKVVSKLGPIAMPIIARIQQVDLTATKNVFVSAVRFATSIGGSYPPFGDELKISAQEQVEYMLGEDEDMPLVRADDEVKSVVKVGLSKVFSSFEKELSSLLLDSDIVSETAEAKILQTVSDLQWMCNILPKLDLMKDFVLNWADISGKVLGIIEDKKLDYLMWGLKLKMIEVIAKVLEAVGYGTVILTPPYRVQLLSMWLPYIRKIKPLLDSKCNGDSSFPYKMDEDLCQSIEGAIVSMILALPSNDQADILADWMKVDQVTYPDLSEAFEVWCYRTKSAKRRLVENLVFLYLHE >Manes.10G065100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9166154:9170145:-1 gene:Manes.10G065100.v8.1 transcript:Manes.10G065100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSLFTIFLDNFIALRSRLYFLGEEFLSFQCLNNGLSRYFSNWTISLLCHNLDFYPFKCLKHEFCVPTYTWTKLERTNLADLETGVLVTSSLLYLPVDSLLDSLESVKSAVGVLEVSVAFSCEEITKTCIQYLEAVPWEDKEEEEILKVVSKLGPIAMPIIARIQQVDLTATKNVFVSAVRFATSIGGSYPPFGDELKISAQEQVEYMLGEDEDMPLVRADDEVKSVVKVGLSKVFSSFEKELSSLLLDSDIVSETAEAKILQTVSDLQWMCNILPKLDLMKDFVLNWADISGKVLGIIEDKKLDYLMWGLKLKMIEVIAKVLEAVGYGTVILTPPYRVQLLSMWLPYIRKIKPLLDSKCNGDSSFPYKMDEDLCQSIEGAIVSMILALPSNDQADILADWMKVDQVTYPDLSEAFEVWCYRTKSAKRRLVENLGGQLFSL >Manes.10G065100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9166154:9170148:-1 gene:Manes.10G065100.v8.1 transcript:Manes.10G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAGEDKPCRFGDRSTSDIIVCLKNRGGRPEIFYSHSSVLVNKSKFFADLLSSPDSGTCVEIHCSEFNYDHHVNFFRLLYLPVDSLLDSLESVKSAVGVLEVSVAFSCEEITKTCIQYLEAVPWEDKEEEEILKVVSKLGPIAMPIIARIQQVDLTATKNVFVSAVRFATSIGGSYPPFGDELKISAQEQVEYMLGEDEDMPLVRADDEVKSVVKVGLSKVFSSFEKELSSLLLDSDIVSETAEAKILQTVSDLQWMCNILPKLDLMKDFVLNWADISGKVLGIIEDKKLDYLMWGLKLKMIEVIAKVLEAVGYGTVILTPPYRVQLLSMWLPYIRKIKPLLDSKCNGDSSFPYKMDEDLCQSIEGAIVSMILALPSNDQADILADWMKVDQVTYPDLSEAFEVWCYRTKSAKRRLVENLGGQLFSL >Manes.07G084100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26586428:26595339:-1 gene:Manes.07G084100.v8.1 transcript:Manes.07G084100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNFFPSASITSSGRPVLLPNEVECQLLSAVDLESDDDPNFPSFKSGLLILTTHRLLWLPSNSTTHSNAIAIPLSLITHIFSPKKSIKAIFHSPRVRFQVSLNSKSLVVTVVARGKGDWDGFLPKFFENWRGRAWETDTVGSSTDGSVATAVSGASSNSGGFYSSDGSVRLVGVAGILRKEQEMWESTDKSLQEAFQDLNALMSKAKEMVMLAEKMRQKLLSGSNSQTSAANDEEMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRIPLVRAGGMINLIDIYCLFNRARGTELISPEDLLQACTLWEKFDAPVMLRKFDSGVMVIQNKSHSDEEVFARIKTLVTQPDALQGGITASDAAMTLGIAPAMAKEHLLTAESRGILCRDISPDGFRFYINLFPEINSDDMHMVKDHGIYSLWARAVSAL >Manes.07G084100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26585665:26595339:-1 gene:Manes.07G084100.v8.1 transcript:Manes.07G084100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNFFPSASITSSGRPVLLPNEVECQLLSAVDLESDDDPNFPSFKSGLLILTTHRLLWLPSNSTTHSNAIAIPLSLITHIFSPKKSIKAIFHSPRVRFQVSLNSKSLVVTVVARGKGDWDGFLPKFFENWRGRAWETDTVGSSTDGSVATAVSGASSNSGGFYSSDGSVRLVGVAGILRKEQEMWESTDKSLQEAFQDLNALMSKAKEMVMLAEKMRQKLLSGSNSQTSAANDEEMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRIPLVRAGGMINLIDIYCLFNRARGTELISPEDLLQACTLWEKFDAPVMLRKFDSGVMVIQNKSHSDEEVFARIKTLVTQPDALQGGITASDAAMTLGIAPAMAKEHLLTAESRGILCRDISPDGFRFYINLFPEINSDDMHMVKDHGIYSLWARAVSAL >Manes.07G084100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26591352:26595228:-1 gene:Manes.07G084100.v8.1 transcript:Manes.07G084100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNFFPSASITSSGRPVLLPNEVECQLLSAVDLESDDDPNFPSFKSGLLILTTHRLLWLPSNSTTHSNAIAIPLSLITHIFSPKKSIKAIFHSPRVRFQVSLNSKSLVVTVVARGKGDWDGFLPKFFENWRGRAWETDTVGSSTDGSVATAVSGASSNSGGFYSSDGSVRLVGVAGILRKEQEMWESTDKSLQEAFQDLNALMSKAKEMVMLAEKMRQKLLSGSNSQTSAANDEEMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRIPLVRAGGMINLIDIYCLFNRARGTELISPEDLLQACTLWEKFDAPVMLRKFDSGVMVIQNKSHSDEEVFARIKTLVTQPDALQGGITASDAAMTLGIAPAMAKEHLLTAESRGILCRDISPDGFRFYINLFPEINSDDMHM >Manes.07G084100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26585665:26595339:-1 gene:Manes.07G084100.v8.1 transcript:Manes.07G084100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNFFPSASITSSGRPVLLPNEVECQLLSAVDLESDDDPNFPSFKSGLLILTTHRLLWLPSNSTTHSNAIAIPLSLITHIFSPKKSIKAIFHSPRVRFQVSLNSKSLVVTVVARGKGDWDGFLPKFFENWRGRAWETDTVGSSTDGSVATAVSGASSNSGGFYSSDGSVRLVGVAGILRKEQEMWESTDKSLQEAFQDLNALMSKAKEMVMLAEKMRQKLLSGSNSQTSAANDEEMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRIPLVRAGGMINLIDIYCLFNRARGTELISPEDLLQACTLWEKFDAPVMLRKFDSGVMVIQNKSHSDEEVFARIKTLVTQPDALQGGITASDAAMTLGIAPAMAKEHLLTAESRGILCRDISPDGFRFYINLFPEINSDDMHMVKDHGIYSLWARAVSAL >Manes.07G084100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26585665:26595339:-1 gene:Manes.07G084100.v8.1 transcript:Manes.07G084100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNFFPSASITSSGRPVLLPNEVECQLLSAVDLESDDDPNFPSFKSGLLILTTHRLLWLPSNSTTHSNAIAIPLSLITHIFSPKKSIKAIFHSPRVRFQVSLNSKSLVVTVVARGKGDWDGFLPKFFENWRGRAWETDTVGSSTDGSVATAVSGASSNSGGFYSSDGSVRLVGVAGILRKEQEMWESTDKSLQEAFQDLNALMSKAKEMVMLAEKMRQKLLSGSNSQTSAANDEEMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRIPLVRAGGMINLIDIYCLFNRARGTELISPEDLLQACTLWEKFDAPVMLRKFDSGVMVIQNKSHSDEEVFARIKTLVTQPDALQGGITASDAAMTLGIAPAMAKEHLLTAESRGILCRDISPDGFRFYINLFPEINSDDMHMVKDHGIYSLWARAVSAL >Manes.14G038800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3366371:3372192:1 gene:Manes.14G038800.v8.1 transcript:Manes.14G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDLSIPSSFSVSEIFPSSRSLSPPSFQKLRLFPFIYDSNLKSRNFPTRSLFCRQNRLSLRAQTSNGALNDGFVLEDVPHLTDFLPDLPSYPNPLQSSQAYAIVKQTFVAPEDAVAQKIVVQKDSSRGVHFRRAGPREKVYFTPEEVRACIVTCGGLCPGINTVIREIVCGLNYMYGVNDILGIEGGYRGFYSKNTVTLTPKVVNDIHKRGGTFLRTSRGGHDTHKIVDNIQDRGINQVYIIGGDGTQKGADLIYKEVEKRGLRVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEFIEQRLKENGHLVIVIAEGAGQEYIAQSTRTNDERDASGNRLLLDVGLWLSQNIKDHFVNVRKMQVNMKYIDPTYMIRAIPSNASDNIYCTLLAHSAVHGAMAGYTGFTVGPVNSRHAYIPIARVTEAQNTVKLTDRMWARLLASTNQPSFLNSDDGETVEVRKDKMKINST >Manes.05G015200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1423290:1436171:1 gene:Manes.05G015200.v8.1 transcript:Manes.05G015200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGESRVSGAAGGGCPSYWLDACEDISCDLIDNFVDFDTSIAQDSVDDTSNQDNLVNDFFGGIDHILDSIKNGTGLPPLADGSSINNGTSATVENGIQDCNAGEGWFKNEAVEFCMNGEKSSSLQLNGSNKNNFESRVLLVNFDNGLNSLDGRAEERLNSLDNSVKENGHKGDHEGPRERDFDSEERYCKKARISGYKNERQYSSRGQYDSRDRERSSNRKRLRDWDDIDRRDKYHLRRRDRYNGVCRRDGRDRDWRDREPRGYWERDRSGSNGMIFHAGNWEADHNKEGKESNDKDQECNGKAEKKSEETKEKFPEEQARQYQLDVLEQAKRRNTIAFLETGAGKTLIAVLLIKSLCNDLQRQNKKMLAVFLVPKVPLVYQQAEVIRERTSFQVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPAEIVVEYDKAASLWSLHEQIKQMEVAVEEAAQSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLMALQNDERANYQLDVKFQESYLEKVVMLLQCQLTEGAVTGKDGKSTDNENGVSLGWTDPDEIEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQSLIKILIKYQYTEDFRAIIFVERVVAALVLPKVFAELPSLSFIRCASLIGHNNSQEMRTSQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHGAFLRNARNSEETLRKEAIERTDLSHLKDTTRLISVAAVPGTVYQVESTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHEKPGGPTEYSCKLQLPCNAPFEKLEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEKEKIDQNDEGEPLPGTARHREFYPEGVANILQRGFLKRMLNEKGNVSAIIMSGCGDFGISISILWQGEWIICGRDGCNNSKFLQLYMYSVRCVNSGTSKDPFLTQVSDFAVLFGNELDAEVLSMSMDLFIARTIITKASLVFRGPIDVTETQLASLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPVVGDKIVDPIKEIDWDLVEKIIRTDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRNGMAFGQKSHPTYGIRGAVAQFDIVKASGLVPNRDGAEMFKMELPKGKLMMADTCVDAEDLVGRIVTAAHSGKRFYVDSIRYDMTAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIFKQQPLIRGRGVSYCKNLLSPRFEHSESNEGESEEILDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKHIINYFVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFAPSRWASPGVLPVFDEDTKDGDSSLFDQERFLAEDKPGVDHAINGYEDDEIEDGELESDSSSYRVLSSKTLADVVEALIGVYYVEGGKHAANHFMKWIGIQVEFDQEEIDSAVKPANIPESILRSVNFDALEGSLNIKFNDRGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLLHGSSALEKQIREFVKEVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTAVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRIGNLATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAVLKEKETAEAKEKGDENGKKKKNGNQTFTRQTLNDICLRRNWPMPLYRCVNEGGPAHAKRFTFAVRVNTTDRGWTDECVGEPMPSVKKAKDSAAVLLLELLNKWYS >Manes.05G015200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1423290:1436171:1 gene:Manes.05G015200.v8.1 transcript:Manes.05G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGESRVSGAAGGGCPSYWLDACEDISCDLIDNFVDFDTSIAQDSVDDTSNQDNLVNDFFGGIDHILDSIKNGTGLPPLADGSSINNGTSATVENGIQDCNAGEGWFKNEAVEFCMNGEKSSSLQLNGSNKNNFESRVLLVNFDNGLNSLDGRAEERLNSLDNSVKENGHKGDHEGPRERDFDSEERYCKKARISGYKNERQYSSRGQYDSRDRERSSNRKRLRDWDDIDRRDKYHLRRRDRYNGVCRRDGRDRDWRDREPRGYWERDRSGSNGMIFHAGNWEADHNKEGKESNDKDQECNGKAEKKSEETKEKFPEEQARQYQLDVLEQAKRRNTIAFLETGAGKTLIAVLLIKSLCNDLQRQNKKMLAVFLVPKVPLVYQQAEVIRERTSFQVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPAEIVVEYDKAASLWSLHEQIKQMEVAVEEAAQSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLMALQNDERANYQLDVKFQESYLEKVVMLLQCQLTEGAVTGKDGKSTDNENGVSLGWTDPDEIEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQSLIKILIKYQYTEDFRAIIFVERVVAALVLPKVFAELPSLSFIRCASLIGHNNSQEMRTSQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHGAFLRNARNSEETLRKEAIERTDLSHLKDTTRLISVAAVPGTVYQVESTGAIVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMERHEKPGGPTEYSCKLQLPCNAPFEKLEGPVCSSMRLAQQAVCLAACKKLHEMGAFTDMLLPDKGSGEEKEKIDQNDEGEPLPGTARHREFYPEGVANILQGEWIICGRDGCNNSKFLQLYMYSVRCVNSGTSKDPFLTQVSDFAVLFGNELDAEVLSMSMDLFIARTIITKASLVFRGPIDVTETQLASLKSFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPVVGDKIVDPIKEIDWDLVEKIIRTDAWSNPLQRARPDVYLGTNERTLGGDRREYGFGKLRNGMAFGQKSHPTYGIRGAVAQFDIVKASGLVPNRDGAEMFKMELPKGKLMMADTCVDAEDLVGRIVTAAHSGKRFYVDSIRYDMTAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIFKQQPLIRGRGVSYCKNLLSPRFEHSESNEGESEEILDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKHIINYFVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFAPSRWASPGVLPVFDEDTKDGDSSLFDQERFLAEDKPGVDHAINGYEDDEIEDGELESDSSSYRVLSSKTLADVVEALIGVYYVEGGKHAANHFMKWIGIQVEFDQEEIDSAVKPANIPESILRSVNFDALEGSLNIKFNDRGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLLHGSSALEKQIREFVKEVQDELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTAVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRIGNLATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAVLKEKETAEAKEKGDENGKKKKNGNQTFTRQTLNDICLRRNWPMPLYRCVNEGGPAHAKRFTFAVRVNTTDRGWTDECVGEPMPSVKKAKDSAAVLLLELLNKWYS >Manes.06G176500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29990050:29994557:1 gene:Manes.06G176500.v8.1 transcript:Manes.06G176500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVSSLKEADLKGKRVFVRVDLNVPLDDNSNITDDTRIRAAVPTIKYLKDHGSKVILCTHLGRPKGVTPKYSLKPLVPRLSELLGVQVKIANDCIGEEVEKLVAELSEGGVLLLENVRFHKEEEKNDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILVLGGGMIFTFYKAQGYSVGSSLVEEDKLDLATSLIEKAKTKGVSLLLPTDVVIADKFAAEANSKVVPASAIPDGWMGLDVGPDAIKTFCEALDTTKTIIWNGPMGVFEFDKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKTLPGVLALDDA >Manes.12G058500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5759256:5762599:1 gene:Manes.12G058500.v8.1 transcript:Manes.12G058500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMRMPTVYWASLLVFIFVHACNAFYLPGSYMHTYSTGEPILAKVNSLTSIETELPFSYYSLPYCKPAGGIKKSAENLGELLMGDQIDNSPYQFRMNVNESVFLCTTPPLSEHEVKLLKQRTRDLYQVNMILDNLPAMRYAKQNGVNIQWTGFPVGYAPQNSNDDYIINHLKFTVLVHEYEGSGVEIIGTGEEGMGVISEADKKKASGYEIVGFEVVPCSVKYDPETMSKLHMYDNISSANCPLELDKSQVIREQERVSFTYEVSFVKSDTRWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCSKLLCVMVGDGVQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWRTVKGTSEGWRCVSWASACFFPGIAFVILTVLNFILWGSKSTGAIPISLYFVLLALWFCISVPLTLLGGFFGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLQSLSGPVSAILYLGYSLLMAIAIMLSTGTIGFLMSFYFVHYLFSSVKID >Manes.12G058500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5759226:5762599:1 gene:Manes.12G058500.v8.1 transcript:Manes.12G058500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMRMPTVYWASLLVFIFVHACNAFYLPGSYMHTYSTGEPILAKVNSLTSIETELPFSYYSLPYCKPAGGIKKSAENLGELLMGDQIDNSPYQFRMNVNESVFLCTTPPLSEHEVKLLKQRTRDLYQVNMILDNLPAMRYAKQNGVNIQWTGFPVGYAPQNSNDDYIINHLKFTVLVHEYEGSGVEIIGTGEEGMGVISEADKKKASGYEIVGFEVVPCSVKYDPETMSKLHMYDNISSANCPLELDKSQVIREQERVSFTYEVSFVKSDTRWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCSKLLCVMVGDGVQITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWRTVKGTSEGWRCVSWASACFFPGIAFVILTVLNFILWGSKSTGAIPISLYFVLLALWFCISVPLTLLGGFFGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLQSLSGPVSAILYLGYSLLMAIAIMLSTGTIGFLMSFYFVHYLFSSVKID >Manes.07G142700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34454275:34458696:1 gene:Manes.07G142700.v8.1 transcript:Manes.07G142700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIEDNSILTMTMALFEAPPSFNCNYPLFASSRNTSTVVISCSASSLPPPSSPKIPEGGTTNPNSLNTAPDRSRDRRKVVRLAWEKLVRWSRSWRSKNRTDVLQQTNKVVVLGGGSFGTAMAAHVANRKADLEVHMLLRDPLVCQSINDDHCNCKYFPEYKLPDNVIATTDPKTALIGADYCLHAVPVQFSSSFLEGISEFVDPGLPFISLSKGLELNTLRMMSQIIPQALKNPRQPFVALSGPSFALELMNKLPTAMVVASKDKKLANATQQLLASSHLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGNNSMAALVAQGCSEIRWLAMKKLSLDASCQLLLLQPIG >Manes.07G142700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34454275:34458696:1 gene:Manes.07G142700.v8.1 transcript:Manes.07G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIEDNSILTMTMALFEAPPSFNCNYPLFASSRNTSTVVISCSASSLPPPSSPKIPEGGTTNPNSLNTAPDRSRDRRKVVRLAWEKLVRWSRSWRSKNRTDVLQQTNKVVVLGGGSFGTAMAAHVANRKADLEVHMLLRDPLVCQSINDDHCNCKYFPEYKLPDNVIATTDPKTALIGADYCLHAVPVQFSSSFLEGISEFVDPGLPFISLSKGLELNTLRMMSQIIPQALKNPRQPFVALSGPSFALELMNKLPTAMVVASKDKKLANATQQLLASSHLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMHLGNNSMAALVAQGCSEIRWLAMKMGAKPATITGLSGTGDIMLTCFVNLSRNKTVGVRLGSGEQLDDILNSMNQVAEGVSTAGAVIALAQKYKVKMPVLTAVARIIDNELTPKKAVLELMRLPQVEEV >Manes.08G082600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28551070:28551656:-1 gene:Manes.08G082600.v8.1 transcript:Manes.08G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASSKEFHQPTAWNTTGGGGIHVHHRAIAHMTFYWGHKTEILFKGWPGSSSGMYALALIFVFTLAVIVEWFNYCSIIKPGTNKVAAGFFRAGMYAVRSGLSYLVMLAVMSFNGGVFLVAVAGHAVGFVLFGSRISKNSEKKPDLPAINFKC >Manes.07G060701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8473346:8478446:1 gene:Manes.07G060701.v8.1 transcript:Manes.07G060701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIKTCLGGRAHVSYQVILINDQFPGPVINSTSNNNVVVNLFNNLDEPFLLTWSGIQQRKNSWQEGVLGTNCPISPGTNHTYHFQVKDQIGSFLYYPSTSIHKANGAFGGLHINSGLLIPVPYDAPEDDFTVIVKIDWSLEHRHLKRGSTTIFSTQLAGQQCKYFQNHGQQSS >Manes.04G068000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26550760:26552505:-1 gene:Manes.04G068000.v8.1 transcript:Manes.04G068000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKIVKSRFKSVCVFCGSSTGKRDCYRDAAIELAQELVERRLDLVYGGGSIGLMGLVSQAVHQGGGNVLGIIPRTLMSKEITGETVGEVKPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDRPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRNIFVSAPNAKELLQKLEEYVPVREGVIAKARWEVELQQPQVGFNATTLQSEVAL >Manes.01G074800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27745373:27747197:1 gene:Manes.01G074800.v8.1 transcript:Manes.01G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLSLLVLSFAMSMAGIAGSASSPRDFIKASCKATRYPDLCVQCLSGYANAIQQNEQHLALTALSVSLARARSAAAFVTKLTKVRRIKPRENQAVKDCIENMGDSVDRLSQSITELGHLGQAVGQDFVRLISNVQTWVSAAITDQSTCLDGFAGRFMDGNVKIAVKRRVTNAAQVTSNALALVNHFASRHHTSACGEKP >Manes.09G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18457457:18459826:-1 gene:Manes.09G075900.v8.1 transcript:Manes.09G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLKLVLSLSILMSMILSSSAQTCSSYSFSTNQVFSACNDLPYLNSFLHWNYNSSASKLQIAYRQTGVSSSRWIAWAINPTSTGMVGSQALVAFQQSDGTIKAYTSPISSYQTSLPEGKLSFDVSDLSATYSNNEMIIFATLGISSIGTTTVNQVWQEGPVSSDSPQVHSTTGANVQSMGTVNLLSGTVAASGGNDRTRKKNIHGVLNSVSWGIMMPAGALIARYLKVFKSADPAWFYLHVSCQSIAYIVGVAGWGTGLKLGSESSGVQYDAHRTIGIILFCLGTLQVFALLLRPKPDHKYRFYWNIYHHTVGYTVIILSIINIFKGFDILNPSKKWKNAYIGVIAALAFNAVWLEGYTWYLVVKRRRSESASKMPQGINGSNGFNGHGAMQHGQGV >Manes.17G102600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31036577:31039964:1 gene:Manes.17G102600.v8.1 transcript:Manes.17G102600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILIFVGNNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMIHSPNHASLIFMVAVGPAMVVIALMFIVRPVGGHRQSRTSDGSSFTFIYSVCLLLAAYLMGIMLLEDLLDLSHTVIIIFTVVLFVLILLPIVIPISLSLLQEPRDPAEETLLPEPQKQDAGKSEPCVPEVIFSELEDEKPKEVDMLPASERQKRIAQLQAKLFQAAAEGAVRVRRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPVAMAIAQLVMAVGHVFFAFGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGLIASSIYDREAERQAHEHYHHKWNAGSAFPGFRMDEPLKCEGAVCYFLTSMIMSGFCIIAVVLSMILVHRTKIVYANLYGKSRT >Manes.17G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31035401:31039964:1 gene:Manes.17G102600.v8.1 transcript:Manes.17G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFQERFSGFFNNRWLVFVAAMWIQSCAGVGYLFGSISPVIKSSLNYNQRQLASLGVAKDLGDSVGFLAGSLSEILPLWGALLVGALQNLVGYGWVWLVVTGRSPVLPLWAMCILIFVGNNGETYFNTVSLVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMIHSPNHASLIFMVAVGPAMVVIALMFIVRPVGGHRQSRTSDGSSFTFIYSVCLLLAAYLMGIMLLEDLLDLSHTVIIIFTVVLFVLILLPIVIPISLSLLQEPRDPAEETLLPEPQKQDAGKSEPCVPEVIFSELEDEKPKEVDMLPASERQKRIAQLQAKLFQAAAEGAVRVRRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPVAMAIAQLVMAVGHVFFAFGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGLIASSIYDREAERQAHEHYHHKWNAGSAFPGFRMDEPLKCEGAVCYFLTSMIMSGFCIIAVVLSMILVHRTKIVYANLYGKSRT >Manes.15G026800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2123736:2125347:-1 gene:Manes.15G026800.v8.1 transcript:Manes.15G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRREKDLRSSQTLPSSPSHSFSSSSSSDFEFTISLSPRKSSTAICPADELFYKGQLLPLHLSPRISMVRTILLASSSTSSSSDTTTTASRDSTGSSNESTSSFSSDLVLLGECDSSRPSSVTEDDEFKRLNNVYPHFQSHVNLSSQIKKSNKYFSLSRFSSVFKKEPKNRENDAVSGSSVKRMSATAKEVIRKYLKKVKPLYEKLSQKQQQKMAGVNPMPAAPISSVSFSIKSERSDDSVKNANKESNRGFSHSFSGNLRYPRRRSCVSSCPSSMRSSPSHSGVLCRNGFAGSGTGSRVGMYYADNSSMEELQSAIQGAIAHCKNSMMQSKTMMSNEI >Manes.01G162001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34691503:34692348:1 gene:Manes.01G162001.v8.1 transcript:Manes.01G162001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIDGIVKEVTEPIRTTLPPLGRQRVESAPTLGSHAIAVIAMRDLPESRYQPSSTTLKRLEASNDELSIHRSGCYARQACEASDKRATLSSGVVERSCFGLH >Manes.05G132900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:15393793:15394457:1 gene:Manes.05G132900.v8.1 transcript:Manes.05G132900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLIKLPANSRAAKENHIKLNNNATVFVRLIHLSFIIMSIYIHIPTLFGAWRSLPTYTNTSINMVRQWKEATTVGGDGDGISYSCLMLVLWVGLLTLSIVSAIIFSCAQGMSKENKSAEVDETLYGGGCGAGCGAACGG >Manes.15G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7701336:7704427:-1 gene:Manes.15G098700.v8.1 transcript:Manes.15G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRLLLLLKPFDVYPVGQSKNLPHINNPQVLHYLDNRRKVHKDAINFCQDILRQKSVDWKPIIRNNLLQPIRGFDLVITIGGDGTLLQASHFMDGSIPVLGVNSDPTQVEEVEEFSGDFDATRSTGHLCAATVKNFEQVLDDILGGHIVPSDITRMSISANSQLLSTYALNDILIAHPCPATVSRFSFKIQRDGESCTLLANCRSSGMRVSTAAGSTAAMLSGGGFVMPVSSQDLQYMVREPISPGAAISSLMHGMVKSDQSMEVRWFSEKGVIYIDGSHVSHSIQYGDILEVSSKAPVLKIFMPHIM >Manes.05G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5779483:5781051:-1 gene:Manes.05G070200.v8.1 transcript:Manes.05G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSTKGGRGKPKSSKSVSRSQKAGLQFPVGRIARFLKAGKYADRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQNLLPKKIGKGKGDIGSASQEF >Manes.06G018450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3089339:3113830:-1 gene:Manes.06G018450.v8.1 transcript:Manes.06G018450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSSSSSSVLLSNSKNKNVWIRSNRFVLLIIGFVLLTCFFLDRQSLARDHQVEVSILNEELSDLRSQLEDLTTQLKDSGIDIPISDTKRKMKEEEEDDPISVERREKVKEAMLHAWTCYEKYAWGHDELQPQTRNGIDSFGGLGATLVDSLDTLFIMGLHEQFQRARDWIANSLDFNKNYDASVFETSIRVVGGLLSAYDLSGDKIFLDKARDIADRLLPAWNTPSGIPYNTINLAQGNPHNPGWTGGNSILADSATEQLEFIALSQRTKEPKYQQKVENVIRELHKNFPADGLLPIYINPHTGMSTHSVITFGAMGDSFYEYLLKVWIQGNKTEAVKHYREMWETSMKGLQSLVRRTAPSSFAYICEKNGNRISDKMDELACFAPGMLALGSFGYDTGEASKMLSLAEELAWTCYNFYQSTTTKLAGENYFFHAGQDMTVGTSWNILRPETVESLFYLWRFTGNKTYQEWGWNIFQAFERNSRIETGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVTRDENVQFDRQQELPTRLRGRKEGRSG >Manes.09G042100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7675881:7679859:1 gene:Manes.09G042100.v8.1 transcript:Manes.09G042100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKAPARLMIVSDLDHTMVDHHDPENMSILRFNALWEVHYRHDSLLCFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNKMVPDDGWVECLNKKWDRNIVTEETSKFPELTLQAETEQRPHKVSFYVDKDKAQTVTKSLSEILGKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKFKAEGKLPNNTLVCGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKNNPKVIHATERCAAGIIQAIGHFKLGPNTSPRDSTDFSNHELENVSPNNVLVKFFLFLEKWRRAEVENCEMYLASRKADCDPSGILVHPSGSELSLHDAINGLRCHFGDKQGKLFRIWVDQILPKKIGSDTWLVKFNQWELSGDEQQGCVTTAILKIQNCGDSAPAGATYMHMHQTWLEGSGAEDQSTWLF >Manes.09G042100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7675543:7680133:1 gene:Manes.09G042100.v8.1 transcript:Manes.09G042100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKAPARLMIVSDLDHTMVDHHDPENMSILRFNALWEVHYRHDSLLCFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNKMVPDDGWVECLNKKWDRNIVTEETSKFPELTLQAETEQRPHKVSFYVDKDKAQTVTKSLSEILGKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKFKAEGKLPNNTLVCGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAENAKNNPKVIHATERCAAGIIQAIGHFKLGPNTSPRDSTDFSNHELENVSPNNVLVKFFLFLEKWRRAEVENCEMYLASRKADCDPSGILVHPSGSELSLHDAINGLRCHFGDKQGKLFRIWVDQILPKKIGSDTWLVKFNQWELSGDEQQGCVTTAILKIQNCGDSAPAGATYMHMHQTWLEGSGAEDQSTWLF >Manes.04G057700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19249640:19259155:-1 gene:Manes.04G057700.v8.1 transcript:Manes.04G057700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQTVKNAEKLVERKMKGNDASHDASHVWRVRDLALSLAREEGLSSNSDSMEIVELAALLHDIGDYKYLRDPSEEQIVDKFLEEEGVDGVKKMKILAVINGMGFKEELAGQTTDGLPPEFGVVQDADRLDAIGAVGIARCFTFGGSRNRLLHDPAILPRSNLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTEVKAFTFGVLGKWCGNQI >Manes.04G057700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19248624:19259150:-1 gene:Manes.04G057700.v8.1 transcript:Manes.04G057700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQTVKNAEKLVERKMKGNDASHDASHVWRVRDLALSLAREEGLSSNSDSMEIVELAALLHDIGDYKYLRDPSEEQIVDKFLEEEGVDGVKKMKILAVINGMGFKEELAGQTTDGLPPEFGVVQDADRLDAIGAVGIARCFTFGGSRNRLLHDPAILPRSNLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTEAGQKRAERRHKFMEEYLKEFYDEWDGRA >Manes.04G057700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19248052:19259154:-1 gene:Manes.04G057700.v8.1 transcript:Manes.04G057700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQTVKNAEKLVERKMKGNDASHDASHVWRVRDLALSLAREEGLSSNSDSMEIVELAALLHDIGDYKYLRDPSEEQIVDKFLEEEGVDGVKKMKILAVINGMGFKEELAGQTTDGLPPEFGVVQDADRLDAIGAVGIARCFTFGGSRNRLLHDPAILPRSNLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTEAGQKRAERRHKFMEEYLKEFYDEWDGRA >Manes.04G057700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19248624:19259165:-1 gene:Manes.04G057700.v8.1 transcript:Manes.04G057700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQTVKNAEKLVERKMKGNDASHDASHVWRVRDLALSLAREEGLSSNSDSMEIVELAALLHDIGDYKYLRDPSEEQIVDKFLEEEGVDGVKKMKILAVINGMGIARCFTFGGSRNRLLHDPAILPRSNLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTEAGQKRAERRHKFMEEYLKEFYDEWDGRA >Manes.04G057700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19248624:19259165:-1 gene:Manes.04G057700.v8.1 transcript:Manes.04G057700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQTVKNAEKLVERKMKGNDASHDASHVWRVRDLALSLAREEGLSSNSDSMEIVELAALLHDIGDYKYLRDPSEEQIVDKFLEEEGVDGVKKMKILAVINGMGIARCFTFGGSRNRLLHDPAILPRSNLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTEAGQKRAERRHKFMEEYLKEFYDEWDGRA >Manes.04G057700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19248052:19259154:-1 gene:Manes.04G057700.v8.1 transcript:Manes.04G057700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQTVKNAEKLVERKMKGNDASHDASHVWRVRDLALSLAREEGLSSNSDSMEIVELAALLHDIGDYKYLRDPSEEQIVDKFLEEEGVDGVKKMKILAVINGMGFKEELAGQTTDGLPPEFGVVQDADRLDAIGAVGIARCFTFGGSRNRLLHDPAILPRSNLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTEAGQKRAERRHKFMEEYLKEFYDEWDGRA >Manes.04G057700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19248052:19259154:-1 gene:Manes.04G057700.v8.1 transcript:Manes.04G057700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQTVKNAEKLVERKMKGNDASHDASHVWRVRDLALSLAREEGLSSNSDSMEIVELAALLHDIGDYKYLRDPSEEQIVDKFLEEEGVDGVKKMKILAVINGMGFKEELAGQTTDGLPPEFGVVQDADRLDAIGAVGIARCFTFGGSRNRLLHDPAILPRSNLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTEAGQKRAERRHKFMEEYLKEFYDEWDGRA >Manes.03G164902.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29143078:29144231:1 gene:Manes.03G164902.v8.1 transcript:Manes.03G164902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPKCFLVLFLLVVAVIFSLTPSSLADDHHDTPEGEPKKPPHHDPPEGEPNKPPPKHKPPHGHLLVEEEEDFLKRHKGNGEKFPPHHKPPHHKPPHKPPTGYRRLFAEEEVVEDSHKGKGKGEKPPPKHKPPHGHLLAEEEIVEDSHKGKGKGEKPPPKHKPPHGHLLAEEEIVEDSHKGKGKGEKPPPKHKPPHGHLLAEEEIVEDSHVGKGEKFPPKHKPPHHKPPHKPPTGSRRLFAEEEVVEDSHKGRGKGEKFPPKHKPPHKPPPAN >Manes.13G061900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7219189:7221144:-1 gene:Manes.13G061900.v8.1 transcript:Manes.13G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFCFFKNPLSWISVLVLVLAAFLGFGFSSSVLLTSVLTLSPLFFKFFKQKPKLVGKSVTPDQETTPESPRIEEKEEEEEEDIVCKERMPEDESIKEEKEDQEDADIGQIDEHIARSESDCCLYRSSTSDQDSEADWPFQDKTFQIPDVLSDGSISDEESLIEIALPGGHYICNKEEPKFNLQKKLPDFTTAGSFFKQHTLMELLAELNEMNEEENLIEIDISMGSIKCPRFEIEA >Manes.17G104900.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31187622:31191063:1 gene:Manes.17G104900.v8.1 transcript:Manes.17G104900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLSKLLTNHNSKTGCTRFVRTLSSSSSKAHDLTLHYLTNSCGMSLQSATSACKFVRIQSEDKADLVLQLLRAKGFTLSQIASLISNRPYIISSDPDKILKPKLEYFESLGFAAPSLPNMLCADATILSTSLKNRILPNIDFLRGFLETEDDVLWTLKRWFSVVRYDTELMMSTICTLCACGVPVPTIRKLVLLHPKLLIIGFYSYEEKIQELKGMGIEPTSKVFLTAFFSMCVMSRRKWERKKKFLMSFGWSERDFLMAFRVQPLFVTTSEQKMKKVMEFYLTKAGLQVSDLVRSPHLLRISLEGNAIPRCSVLEVLMSKGLIKKKLNVVAALRMSRKPFETKYLTYFKEDCPELIQAYQAKTFQGFGMNI >Manes.09G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8306076:8308487:-1 gene:Manes.09G048500.v8.1 transcript:Manes.09G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRCLVLLFGYAYPAFECFKILEKNKVKIEELRFWCQYWIIIALLTVCERIGDIFLSWLPMYGEVKLAFFIYLWNPKTKGTGYIYETFLRPYIAEHESEIDRRLMQLKVKAWDLAVFYWQNWTSLGQTTLFQLVQQLASNSGKVSGTTAKKERDWEPSAPGLNESPSLSKRKNGKKPPRPPPPPSSPINRAVSESPKANNFLVHLNEQTDSVDSSTVSNSDVVSHQLQQVRTRLRRSKSIVN >Manes.07G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14819985:14829880:1 gene:Manes.07G069900.v8.1 transcript:Manes.07G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPESTLRRVLFQSALLFSTRCSTRTSISTAHVIRSRCITTTTTSNTTTRVHSSLKDQNCRDNVKKKGKWFTLPPYTCTISGSALGKALSAKITAKAATETTALKWVLRCCPELPRSLVQKLFRLRQVRRESSNPGDQTEEQRLKRVAAKDLLDVGDRIFLPITVQALPSEKQQCSYNEEEINFIRSLLLYKDDDIIVVNKPPGMPVQGGIGIKRSLDELAAHCLCYDYPESPRLVHRLDRDSSGILVMGRTQTSTTVLHSIFREKTFAASNDDIGDRRRILQKKYWALVIGSPRRQKGLISAPLGKVVVDNGKSDRITVVDNAHNTSSQHAVTQYRVIESSLGYTWLELSPLTGRKHQLRVHCAEVLGAPIVGDYKYGWQAHRKWKHLPWSDLEKSSIEKVACNKMLPFEIELEGGSIYEKQPRLHLHCKQMVLPNVSQALRGVKMSSEYDFSDLESIELDAALPPFMQRSWDILNSCAKN >Manes.01G103750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30347916:30349015:-1 gene:Manes.01G103750.v8.1 transcript:Manes.01G103750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPDGWSYFLLLLQNIIFISSYPLMSVLCFSFMYDLVTAIESLKAEEVMKIKMSRISEASEQLLMEWKNYKNMQSSTFEKYIPEEKIHFVSSSSQVNELNHCDKKQKPTLLNSCPILASKSDGISTMRLCSSTPALAVLKWPGQELAKGSSCNSLNQSVNEQPLKFESQPIRPKPKEMKHYRRSWTPELHAK >Manes.12G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2603548:2609478:-1 gene:Manes.12G030100.v8.1 transcript:Manes.12G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRISGLLSRSFTSASASALFSGGRSSNLGRGISRYSTTAAATAAEGPIVPSVAVNYTQLLINGQFVDAASGKTFPTYDPRTGEVIAHVAEGDVEDVNRAVSAARKAFDDGPWPKMTAYERARILLRFADLIEKHNDEIATLETWDNGKPYEQASKIEVPMVARLFRYYAGWADKIHGLVVPADGQHHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVIKTAEQTPLTALYAAKLFHEAGLPEGVLNVISGFGPTAGAALASHMDVDKLAFTGSTETGKVVLELAARSNLKPVTLELGGKSPFIVCEDADIDQAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFIEKAKARAVRRLVGDPFKGGVEQGPQVDSEQFEKILRYIRSGIESGAKLEAGGERFGTKGYYIQPTVFSNVTEEMLIAKEEIFGPVQSILKFKDLNEVIHRANSSQYGLAAGVFTQSIDTANILTRALRVGSVWINCFDIFDAAIPFGGYKMSGHGREKGIYSLSNYLQVKAVVTPLKNPAWL >Manes.12G030100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2603548:2609478:-1 gene:Manes.12G030100.v8.1 transcript:Manes.12G030100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRISGLLSRSFTSASASALFSGGRSSNLGRGISRYSTTAAATAAEGPIVPSVAVNYTQLLINGQFVDAASGKTFPTYDPRTGEVIAHVAEGDVEDVNRAVSAARKAFDDGPWPKMTAYERARILLRFADLIEKHNDEIATLETWDNGKPYEQASKIEVPMVARLFRYYAGWADKIHGLVVPADGQHHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVIKTAEQTPLTALYAAKLFHELAFTGSTETGKVVLELAARSNLKPVTLELGGKSPFIVCEDADIDQAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFIEKAKARAVRRLVGDPFKGGVEQGPQVDSEQFEKILRYIRSGIESGAKLEAGGERFGTKGYYIQPTVFSNVTEEMLIAKEEIFGPVQSILKFKDLNEVIHRANSSQYGLAAGVFTQSIDTANILTRALRVGSVWINCFDIFDAAIPFGGYKMSGHGREKGIYSLSNYLQVKAVVTPLKNPAWL >Manes.12G030100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2603548:2609478:-1 gene:Manes.12G030100.v8.1 transcript:Manes.12G030100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAGGRSSNLGRGISRYSTTAAATAAEGPIVPSVAVNYTQLLINGQFVDAASGKTFPTYDPRTGEVIAHVAEGDVEDVNRAVSAARKAFDDGPWPKMTAYERARILLRFADLIEKHNDEIATLETWDNGKPYEQASKIEVPMVARLFRYYAGWADKIHGLVVPADGQHHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVIKTAEQTPLTALYAAKLFHEAGLPEGVLNVISGFGPTAGAALASHMDVDKLAFTGSTETGKVVLELAARSNLKPVTLELGGKSPFIVCEDADIDQAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFIEKAKARAVRRLVGDPFKGGVEQGPQVDSEQFEKILRYIRSGIESGAKLEAGGERFGTKGYYIQPTVFSNVTEEMLIAKEEIFGPVQSILKFKDLNEVIHRANSSQYGLAAGVFTQSIDTANILTRALRVGSVWINCFDIFDAAIPFGGYKMSGHGREKGIYSLSNYLQVKAVVTPLKNPAWL >Manes.02G112600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8683507:8687781:1 gene:Manes.02G112600.v8.1 transcript:Manes.02G112600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNDQSWAPSLPEMLSTRLSFKEDIENGEIDANSTPFPFEKVFPVYAMGVSKPNSDPVVLPVDSADPIWDAVREEAKLEAEKEPILSSFLYASILSHDCLEQALAFVLANRLQNPTLLATQMLDTISYVITNDRGIQRSIRLDMQAFKDRDPACLSYCSALLYLKGYHSLQSYRVAHVLWNQGRKVLALALQSRISEVVFGVDIHPAARIGDGILLDHGTGVVIGETAVVGNRVSMMHGVTLGGTGKEVGDRHPKVGDGALIGACSTILGNIRIGEGAMIAAGSLVLKNVDPHSMMAGIPATVIGYVDEKHPSLTMKHDASKEFFKHLAVTFRRGKCSAAQDQGKNDEGT >Manes.02G112600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8683507:8687781:1 gene:Manes.02G112600.v8.1 transcript:Manes.02G112600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNDQSWAPSLPEMLSTRLSFKEDIENGEIDANSTPFPFEKVFPVYAMGVSKPNSDPVVLPVDSADPIWDAVREEAKLEAEKEPILSSFLYASILSHDCLEQALAFVLANRLQNPTLLATQMLDTISYVITNDRGIQRSIRLDMQAFKDRDPACLSYCSALLYLKGYHSLQSYRVAHVLWNQGRKVLALALQSRISEVVFGVDIHPAARIGDGILLDHGTGVVIGETAVVGNRVSMMHGVTLGGTGKEVGDRHPKVGDGALIGACSTILGNIRIGEGAMIAAGSLVLKNVDPHSMMAGIPATVIGYVDEKHPSLTMKHDASKEFFKHLAVTFRRGKCSAQDQGKNDEGT >Manes.02G112600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8683476:8687781:1 gene:Manes.02G112600.v8.1 transcript:Manes.02G112600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNDQSWAPSLPEMLSTRLSFKEDIENGEIDANSTPFPFEKVFPVYAMGVSKPNSDPVVLPVDSADPIWDAVREEAKLEAEKEPILSSFLYASILSHDCLEQALAFVLANRLQNPTLLATQMLDTISYVITNDRGIQRSIRLDMQAFKDRDPACLSYCSALLYLKGYHSLQSYRVAHVLWNQGRKVLALALQSRISEVFGVDIHPAARIGDGILLDHGTGVVIGETAVVGNRVSMMHGVTLGGTGKEVGDRHPKVGDGALIGACSTILGNIRIGEGAMIAAGSLVLKNVDPHSMMAGIPATVIGYVDEKHPSLTMKHDASKEFFKHLAVTFRRGKCSAQDQGKNDEGT >Manes.02G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8683476:8687781:1 gene:Manes.02G112600.v8.1 transcript:Manes.02G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNDQSWAPSLPEMLSTRLSFKEDIENGEIDANSTPFPFEKVFPVYAMGVSKPNSDPVVLPVDSADPIWDAVREEAKLEAEKEPILSSFLYASILSHDCLEQALAFVLANRLQNPTLLATQMLDTISYVITNDRGIQRSIRLDMQAFKDRDPACLSYCSALLYLKGYHSLQSYRVAHVLWNQGRKVLALALQSRISEVFGVDIHPAARIGDGILLDHGTGVVIGETAVVGNRVSMMHGVTLGGTGKEVGDRHPKVGDGALIGACSTILGNIRIGEGAMIAAGSLVLKNVDPHSMMAGIPATVIGYVDEKHPSLTMKHDASKEFFKHLAVTFRRGKCSAAQDQGKNDEGT >Manes.12G118700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31991083:32000155:-1 gene:Manes.12G118700.v8.1 transcript:Manes.12G118700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAISRPLHQSFLLSPSRNASSLLPLPSSSHLSLHFSPSPSSLSLKLQKENLSSNALQAVYTFRREATVSDTYGATTELADIDWDNLGFAYVPTDYMYIMKCARGGSFSKGELQRFGNIELSPSAGVLNYGQGLFEGLKAYRKEDGNIILFRPEENALRMKIGAERMCMPSPTVEQFVDAVKATVLANKRWIPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLTYVSPVGNYFKEGVAPIHLVVEHELHRASPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERLVAVDELLEADEVFCTGTAVVVSPVGSITYKGKRVSYAEGGGFGAVSQQLYTVLTRLQMGLTEDKMNWTVEL >Manes.12G118700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31991083:32000155:-1 gene:Manes.12G118700.v8.1 transcript:Manes.12G118700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAISRPLHQSFLLSPSRNASSLLPLPSSSHLSLHFSPSPSSLSLKLQKENLSSNALQAVYTFRREATVSDTYGSATTELADIDWDNLGFAYVPTDYMYIMKCARGGSFSKGELQRFGNIELSPSAGVLNYGQGLFEGLKAYRKEDGNIILFRPEENALRMKIGAERMCMPSPTVEQFVDAVKATVLANKRWIPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLTYVSPVGNYFKEGVAPIHLVVEHELHRASPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERLVAVDELLEADEVFCTGTAVVVSPVGSITYKGKRVSYAEGGGFGAVSQQLYTVLTRLQMGLTEDKMNWTVEL >Manes.01G259400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576007:41579650:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCKKRLLLIFLTLRQDLEHKSPTKGISYRGLKNGVEAYSLTSKTFKGKQPFNNWPVKPLNLEAFSPF >Manes.01G259400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576007:41579650:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCKMVLKPTV >Manes.01G259400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576007:41579650:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCK >Manes.01G259400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576007:41579672:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKVCEVHSIFNSRERSGILICFSLMPLAATILSSICTTQRISAC >Manes.01G259400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576006:41579672:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCKMVLKPTV >Manes.01G259400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576006:41579672:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCKKRLLLIFLTLRQDLEHKSPTKGISYRGLKNGVEAYSLTSKTFKGKQPFNNWPVKPLNLEAFSPF >Manes.01G259400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576007:41579650:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCK >Manes.01G259400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576007:41579650:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCK >Manes.01G259400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576006:41579672:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCKMVLKPTV >Manes.01G259400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41576006:41579672:-1 gene:Manes.01G259400.v8.1 transcript:Manes.01G259400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGCSAEKDWGEKVSEKVISLYNSLPKKGKPQGREVTVLASFLISSPSQDLEVVSLGTGTKCIGRSQLSTQGDIVNDSHAEIIARRALLRFFYSELQRLSNILNKLGNDNGSRQLQSDDFRNCLFHLDQDGSNQGKFKLRAGWQLHLYISQLPCGDASVNSPLLSSRNTFQREEASPLPMAKLNGSISELLGALEENNANDSQLSGMVQRKPGRGDTTVSVSCSDKIARWNVLGVQGALLSYFVHPVYLSSITVGKLPSSFQHIPLERHLQRSLYDRILPLSNNLIAPFQINKPLFCPASVPPKEFQHADTALATLTCGYSICWNKSGLHEVVLGTTGRKQGTSAKGALSPSSESSLCKKRLLLIFLTLRQDLEHKSPTKGISYRGLKNGVEAYSLTSKTFKGKQPFNNWPVKPLNLEAFSPF >Manes.02G140700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10826748:10838347:1 gene:Manes.02G140700.v8.1 transcript:Manes.02G140700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTDRMASDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKVCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKSLISRSHHRKWRTESRSDGVPSEANSPRTYTRRSSPLNSPFGSNDSLQKDRDHLRLHSPYESPPKNGLDKALSEVTLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGNHRVGSGFAGKMDSLLPKALESTVVLDVQNIACGGRHAALVTRQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNINIELVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSLPKEVESLKGLRTLQAACGVWHTAAVVEVMVGNSSSSNSSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPHADGKLPNRVEGKLSKSFVQEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDRNIPSLVEALRDKQVKNIACGTNFTAAICLHKWVSGVDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSIKKSLKASMAPNPNKPYRVCDNCFNKLKKTIETDASSQSSVSRRGGVNHGSNGFIDKDEKLDSTSGAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSNWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKLVVDDARRTNESLSQEVIKLRAQVENLTRKAQLQEVELERTTKQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARNMKSPSFTSLASTPSNDIPNVSLDCLNGQIASEGPETNRLNSQLSNGSGVIGIRNSGYSKQGHFDANGRNGSRTKEGASQHEAEWVEQDEPGVYITLTSLPGGVKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMVDKSSVGVGSDDLAH >Manes.02G140700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10826748:10838347:1 gene:Manes.02G140700.v8.1 transcript:Manes.02G140700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTDRMASDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKVCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTICKDKDEAEVWFSGLKSLISRSHHRKWRTESRSDGVPSEANSPRTYTRRSSPLNSPFGSNDSLQKDRDHLRLHSPYESPPKNGLDKALSEVTLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGNHRVGSGFAGKMDSLLPKALESTVVLDVQNIACGGRHAALVTRQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNINIELVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSLPKEVESLKGLRTLQAACGVWHTAAVVEVMVGNSSSSNSSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPHADGKLPNRVEGKLSKSFVQEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDRNIPSLVEALRDKQVKNIACGTNFTAAICLHKWVSGVDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSIKKSLKASMAPNPNKPYRVCDNCFNKLKKTIETDASSQSSVSRRGGVNHGSNGFIDKDEKLDSTSGAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSNWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKLVVDDARRTNESLSQEVIKLRAQVENLTRKAQLQEVELERTTKQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARNMKSPSFTSLASTPSNDIPNVSLDCLNGQIASEGPETNRLNSQLSNGSGVIGIRNSGYSKQGHFDANGRNGSRTKEGASQHEAEWVEQDEPGVYITLTSLPGGVKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMVDKSSVGVGSDDLAH >Manes.02G140700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10826627:10836978:1 gene:Manes.02G140700.v8.1 transcript:Manes.02G140700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTDRMASDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKVCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTICKDKDEAEVWFSGLKSLISRSHHRKWRTESRSDGVPSEANSPRTYTRRSSPLNSPFGSNDSLQKDRDHLRLHSPYESPPKNGLDKALSEVTLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGNHRVGSGFAGKMDSLLPKALESTVVLDVQNIACGGRHAALVTRQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNINIELVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSLPKEVESLKGLRTLQAACGVWHTAAVVEVMVGNSSSSNSSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPHADGKLPNRVEGKLSKSFVQEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDRNIPSLVEALRDKQVKNIACGTNFTAAICLHKWVSGVDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSIKKSLKASMAPNPNKPYRVCDNCFNKLKKTIETDASSQSSVSRRGGVNHGSNGFIDKDEKLDSTSGAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSNWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKLVVDDARRTNESLSQEVIKLRAQVENLTRKAQLQEVELERTTKQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARNMKSPSFTSLASTPSNDIPNVSLDCLNGQIASEGPETNRLNSQLSNGSGVIGIRNSGYSKQGHFDANGRNGSRTKEGASQHEAEWVEQDEPGVYITLTSLPGGVKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMVDKSSVGVGSDDLAH >Manes.02G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10826613:10836978:1 gene:Manes.02G140700.v8.1 transcript:Manes.02G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTDRMASDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKVCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKSLISRSHHRKWRTESRSDGVPSEANSPRTYTRRSSPLNSPFGSNDSLQKDRDHLRLHSPYESPPKNGLDKALSEVTLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGNHRVGSGFAGKMDSLLPKALESTVVLDVQNIACGGRHAALVTRQGEIFSWGEESGGRLGHGVDSDVLHPKLIDALSNINIELVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSLPKEVESLKGLRTLQAACGVWHTAAVVEVMVGNSSSSNSSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPHADGKLPNRVEGKLSKSFVQEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDRNIPSLVEALRDKQVKNIACGTNFTAAICLHKWVSGVDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSIKKSLKASMAPNPNKPYRVCDNCFNKLKKTIETDASSQSSVSRRGGVNHGSNGFIDKDEKLDSTSGAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSNWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKLVVDDARRTNESLSQEVIKLRAQVENLTRKAQLQEVELERTTKQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARNMKSPSFTSLASTPSNDIPNVSLDCLNGQIASEGPETNRLNSQLSNGSGVIGIRNSGYSKQGHFDANGRNGSRTKEGASQHEAEWVEQDEPGVYITLTSLPGGVKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMVDKSSVGVGSDDLAH >Manes.06G065600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20129757:20130221:1 gene:Manes.06G065600.v8.1 transcript:Manes.06G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKLLATQCGVAQSPTRSPRTSPLVHLRRKKTTLRMLLTRSSGSGRLSPPRHEPPPVHRQPAILAPLPEKKRDTLKDLFVSSPPPFENEGSKGEAREVIGGKCEVFRMRVNGLLVEPAGSPRPGWVGFRHRSLIRRAWRPLLAAIPEDE >Manes.09G105900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30724378:30726818:1 gene:Manes.09G105900.v8.1 transcript:Manes.09G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLQSWPEPVVRVQSLSESGIRQIPQRYVKPPSLRPSLKNNNIFTAQTEVNIPVIDFQNVFSDDQTLRLDTLKSISLACRNWGFFQIVNHGVRPQLLKSMREVWREFFNQPLEMKQEYANSPATYEGYGSRLGVEKGAKLDWSDYFFLHYMPVSLRNQSKWPAVPASCRELVAEYGSEVVSLGGKLMRVFSKNLGLEEDHLIKAFGGDDNVGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTILLPDENVAGLQVRRGDCWLTVKPIPNAFIINIGDQIQVLSNAIYKSVEHRVIVNSDKDRVSLAFFYNPKSDLLIEPTKELVTEDQPALYQPMTFDEYRLYIRTKGPCGKQQVESLKRS >Manes.07G095200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29365480:29371074:1 gene:Manes.07G095200.v8.1 transcript:Manes.07G095200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGNNSSLQLLLGTSFVLLASVAVNGEDFFHEWRVAIDTTIKPVLANQPVITINGMFPGPLINASTNDDIHINVFNGMDEPILFTWNGIQQRLNSWQDGVSGTNCPIQPGKNWTYLFQMKDQIGSFFYFPSLNFQKAGGGFGPIRINNRIVISVPFPKPAAEYDLLIGDWYQTSYKEVRSMILNGSTNLGPPDKMLINGKGPYLDPSTKSYESFTFTSGKTYRLRISNVGSVWSFNFRIQNHKMLLVETEGSYTNQTTFESLDVHVGQSYSVLVTADQKAADYYIVAAPKMVNADKINSVTAVGILHYANSTTNATGPLPIGPDPFDLQFSINQAKSIRWNMTTGAARPNPQGTFNVTNVTLSQTFILQGSKAEIDGESFYTVNDVSYTTPTTPLKLADHFGDRSGVFELDQFSTNSSNGSPKHGVFVASGNHKGWLEIVFKNNLDVMDSWHLDGFGFFVVGYGEGEWRNQSRLTYNIYDPVVRSTVQVYPKAWSAVYAYLDNPGMWNLRSQNLRNWYLGQELYIRVYDADPDPAKERPPPANLLFCGKFSSPPPPPAPAPNAPAFPPPPAALTPPPPAASPPPPNASPPPPSTVPTSLALSRQISWFENIMMVIIAFLCIGQQ >Manes.07G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29365465:29371074:1 gene:Manes.07G095200.v8.1 transcript:Manes.07G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGNNSSLQLLLGTSFVLLASVAVNGEDFFHEWRVAIDTTIKPVLANQPVITINGMFPGPLINASTNDDIHINVFNGMDEPILFTWNGIQQRLNSWQDGVSGTNCPIQPGKNWTYLFQMKDQIGSFFYFPSLNFQKAGGGFGPIRINNRIVISVPFPKPAAEYDLLIGDWYQTSYKEVRSMILNGSTNLGPPDKMLINGKGPYLDPSTKSYESFTFTSGKTYRLRISNVGSVWSFNFRIQNHKMLLVETEGSYTNQTTFESLDVHVGQSYSVLVTADQKAADYYIVAAPKMVNADKINSVTAVGILHYANSTTNATGPLPIGPDPFDLQFSINQAKSIRWNMTTGAARPNPQGTFNVTNVTLSQTFILQGSKAEIDGESFYTVNDVSYTTPTTPLKLADHFGDRSGVFELDQFSTNSSNGSPKHGVFVASGNHKGWLEIVFKNNLDVMDSWHLDGFGFFVVGYGEGEWRNQSRLTYNIYDPVVRSTVQVYPKAWSAVYAYLDNPGMWNLRSQNLRNWYLGQELYIRVYDADPDPAKERPPPANLLFCGKFSSPPPPPAPAPNAPAFPPPPAALTPPPPAASPPPPNASPPPPSTVPTSLALSRQISWFENIMMVIIAFLCIGQQ >Manes.07G095200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29367038:29371074:1 gene:Manes.07G095200.v8.1 transcript:Manes.07G095200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGNNSSLQLLLGTSFVLLASVAVNGEDFFHEWRVAIDTTIKPVLANQPVITINGMFPGPLINASTNDDIHINVFNGMDEPILFTWNGIQQRLNSWQDGVSGTNCPIQPGKNWTYLFQMKDQIGSFFYFPSLNFQKAGGGFGPIRINNRIVISVPFPKPAAEYDLLIGDWYQTSYKEVRSMILNGSTNLGPPDKMLINGKGPYLDPSTKSYESFTFTSGKTYRLRISNVGSVWSFNFRIQNHKMLLVETEGSYTNQTTFESLDVHVGQSYSVLVTADQKAADYYIVAAPKMVNADKINSVTAVGILHYANSTTNATGPLPIGPDPFDLQFSINQAKSIRWNMTTGAARPNPQGTFNVTNVTLSQTFILQGSKAEIDGESFYTVNDVSYTTPTTPLKLADHFGDRSGVFELDQFSTNSSNGSPKHGVFVASGNHKGWLEIVFKNNLDVMDSWHLDGFGFFVVGYGEGEWRNQSRLTYNIYDPVVRSTVQVYPKAWSAVYAYLDNPGMWNLRSQNLRNWYLGQELYIRVYDADPDPAKERPPPANLLFCGKFSSPPPPPAPAPNAPAFPPPPAALTPPPPAASPPPPNASPPPPSTVPTSLALSRQISWFENIMMVIIAFLCIGQQ >Manes.07G095200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29365480:29371074:1 gene:Manes.07G095200.v8.1 transcript:Manes.07G095200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGNNSSLQLLLGTSFVLLASVAVNGEDFFHEWRVAIDTTIKPVLANQPVITINGMFPGPLINASTNDDIHINVFNGMDEPILFTWNGIQQRLNSWQDGVSGTNCPIQPGKNWTYLFQMKDQIGSFFYFPSLNFQKAGGGFGPIRINNRIVISVPFPKPAAEYDLLIGDWYQTSYKEVRSMILNGSTNLGPPDKMLINGKGPYLDPSTKSYESFTFTSGKTYRLRISNVGSVWSFNFRIQNHKMLLVETEGSYTNQTTFESLDVHVGQSYSVLVTADQKAADYYIVAAPKMVNADKINSVTAVGILHYANSTTNATGPLPIGPDPFDLQFSINQAKSIRWNMTTGAARPNPQGTFNVTNVTLSQTFILQGSKAEIDGESFYTVNDVSYTTPTTPLKLADHFGDRSGVFELDQFSTNSSNGSPKHGVFVASGNHKGWLEIVFKNNLDVMDSWHLDGFGFFVVGYGEGEWRNQSRLTYNIYDPVVRSTVQVYPKAWSAVYAYLDNPGMWNLRSQNLRNWYLGQELYIRVYDADPDPAKERPPPANLLFCGKFSSPPPPPAPAPNAPAFPPPPAALTPPPPAASPPPPNASPPPPSTVPTSLALSRQISWFENIMMVIIAFLCIGQQ >Manes.12G048301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4520734:4521385:1 gene:Manes.12G048301.v8.1 transcript:Manes.12G048301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGRKRREKNYKAAHGGHTRLPPPPDHSQVDALPSKLHHIMSFTSHLLDGSAKPSKSTEEKRKRGGGNAEKKLPPENAITSKAIVDEGEDENLLTTQHSDDSDETVRSSNDEKRKKKRKRKRMQVIDLRFDTSMEKTKSKTEEDLDFPGNEQIKFGDVVQAPPKLVVVPKVLKNVPEASRERIRLQAIEEYRKHKGWTSRPGLKLPIVTETHPI >Manes.01G074000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27638573:27641767:-1 gene:Manes.01G074000.v8.1 transcript:Manes.01G074000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLDTTPPSTPGKFKSDKSSTYLHHHHRSLRLHSSLSKLTFYSFLFLILFLFFFLLSPPSPSPRHQVKTDPPGGPLWEKKVVKSARPKARAGLTVLVTGAAGFVGTHVSVALKRRGDGVLGLDNFNHYYDISLKRARQKVLERSGVFIVEGDINDMALLKKLFDVVLFTHVMHLAAQAGVRYAMQNPKSYVHSNIGGFVNLLEVCKSANPQPAVVWASSSSVYGLNRKVPFSEKDRTDNPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILKGKEIGLFETADGRSVARDFTYIDDIVKGCLAALDTAKKSTGSGGKKRGPAQLRVFNLGNTSPVPVSRLVGILESLLKVKAKKKVMPLPRNGDVEFTHANISFAQRELGYKPTTDLGTGLKKFVRWYLSYYSGSKKKGSWLLCESLANTIWL >Manes.04G031900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4003812:4006173:1 gene:Manes.04G031900.v8.1 transcript:Manes.04G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRTYRSIVFKIEEKQKQVIVEKLGDPTQSYEDFSASLPADECRYAVYDFDFVTEENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIKSRATK >Manes.01G206600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37907041:37910346:-1 gene:Manes.01G206600.v8.1 transcript:Manes.01G206600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAEELDKLMVIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Manes.12G107700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29557297:29559912:1 gene:Manes.12G107700.v8.1 transcript:Manes.12G107700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPISLSSLFFLFFTLSSAKDMSIISYNIDHHPKSWRSDYQVMNMQLLGEREMRFEIFKYNLRFIDEHNSKNHSYEVGLTRFADITNEEYRAMFLGTKSDPKRRLMKSRNPSRRYAFRAGDELPESVDWRKQGAVNPIKDQGSCGSCWAFSTIATAEGINKIVTGELISPSEQELLDCDRVIDAGCSGGLMDNAFQAHVIQGPYIQVTFLFLLNHLNDKAVSIDGFEDVTPFDEKALQKAVANQPVSVAIEASGMGLQFYKSNGYFRIQRNVFNTNTGKCGIAMESSYPIKNTQKPGGSSEASEKINRA >Manes.08G060800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7155384:7158175:-1 gene:Manes.08G060800.v8.1 transcript:Manes.08G060800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHLQAILYPLFLIQFLAFLLLQSSTHGLATNYFINCGSESSVRLDDGREFVGDENSDSFSPGSGVSISNSSVLPQNTSLLYQTAIVYKKLSSYDLKNIADSGTYINFTMDEHVNAVPALQTLYRIDVGQVGNIDDPLWKKWEGDDQYLLPGSSAKNFSSSSSLKLRQEPKAVEDMAPDLVYKTCKEVSTGNITWRFYVQKQATHLVRLHFCDIVSLSPGLWKIDLYIYTKLMQSIDSEKSYISLATPYIRDLVVQSDDSGYINFSIGINDESSEKVAFLNGLEILEILTNTSIHQSSKHHDLVIGFSVGGAVLISILIALFLFIVKRRKAKPVQAMHQKNEAPPDKSACPVPNLNLKLKMPLSEILAATSTFDTKLLIGEGGFGKVYKGTLPNGTKVAVKRSNSSHGQGLPEFQTEVTVLSKISHRHLVSLIGYCYEGSEMILVYEFMEKGTLRDHLYIWKENCKSESTQPYLTWKQRLEICIGAAKGLHYLHTGSDGGIIHRDVKSTNILLDEHYVPKVADFGLSQLGPPDPDHRGMSLIGSFGYLDPEYVRTLQLTDKSDVYSFGVVLLEVLCARPPIVNSSKTEEINLADWGMFWQREGQLEKIIDPSLAGQINPSSLSKFGEIAEKCLKKEGADRPTMNEVWWDLEYTLQLLQTAVPREPYEDTTTDVSSNFLMSLSYNSCLSGDESIVGGDETDSKLVFSQSMTDGPR >Manes.08G060800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:7155349:7158175:-1 gene:Manes.08G060800.v8.1 transcript:Manes.08G060800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFHLQAILYPLFLIQFLAFLLLQSSTHGLATNYFINCGSESSVRLDDGREFVGDENSDSFSPGSGVSISNSSVLPQNTSLLYQTAIVYKKLSSYDLKNIADSGTYIVRLHFLPFNSKTVNLSDALFNVSTSSKFLLLSNFSVKNTTHLPVIKEFFLIMNSGKFSIYFIPATKTSFAFVNAMEVILLPQNFTMDEHVNAVPALQTLYRIDVGQVGNIDDPLWKKWEGDDQYLLPGSSAKNFSSSSSLKLRQEPKAVEDMAPDLVYKTCKEVSTGNITWRFYVQKQATHLVRLHFCDIVSLSPGLWKIDLYIYTKLMQSIDSEKSYISLATPYIRDLVVQSDDSGYINFSIGINDESSEKVAFLNGLEILEILTNTSIHQSSKHHDLVIGFSVGGAVLISILIALFLFIVKRRKAKPVQAMHQKNEAPPDKSACPVPNLNLKLKMPLSEILAATSTFDTKLLIGEGGFGKVYKGTLPNGTKVAVKRSNSSHGQGLPEFQTEVTVLSKISHRHLVSLIGYCYEGSEMILVYEFMEKGTLRDHLYIWKENCKSESTQPYLTWKQRLEICIGAAKGLHYLHTGSDGGIIHRDVKSTNILLDEHYVPKVADFGLSQLGPPDPDHRGMSLIGSFGYLDPEYVRTLQLTDKSDVYSFGVVLLEVLCARPPIVNSSKTEEINLADWGMFWQREGQLEKIIDPSLAGQINPSSLSKFGEIAEKCLKKEGADRPTMNEVWWDLEYTLQLLQTAVPREPYEDTTTDVSSNFLMSLSYNSCLSGDESIVGGDETDSKLVFSQSMTDGPR >Manes.16G083700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28993063:28997928:-1 gene:Manes.16G083700.v8.1 transcript:Manes.16G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQSKASSKISNNEKKQLNSWIKVLRPKVYITDSSSFKSLVQELTGNETTTTTTTMTTTISKPQTVEGKLRVTTTSIEDHGDSESSLETSSTFDSSESPNQVLSLPEGPSQSYIEDKGSDDLWVNQEGDLESLLLDIDPHPFYGCFSQIYQEQISIYDYEISGAF >Manes.10G145100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31274167:31274773:-1 gene:Manes.10G145100.v8.1 transcript:Manes.10G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFKSLALVLLVAVLAAAGAASAQDLAPAPSPDQGAAYSLGMSGAVICSSLFFSMLALFKH >Manes.08G085100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25597793:25602482:1 gene:Manes.08G085100.v8.1 transcript:Manes.08G085100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIITQPINLIFRFLQSKARIQIWLFE >Manes.10G053000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6140382:6149903:-1 gene:Manes.10G053000.v8.1 transcript:Manes.10G053000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKNARKLMTDLEKLQNELSDQSKLKESLETELYDSRKECDGLREEIKQVKILLEESMVEHKSTESLKYEAKEVHDLQKELEEELQYQKESNANLALQLKKTQESNIELVSILQELEDTVEKQKAEIANLSKMDSIGKCSNGVEDNVEIRPNKEVFAKNMSKVSCNSDQEDRIAEHGQGELSEGFEPEDNVKPELELLKLKESQKNLQSTILFLEKSLQEKVQEIEAEKSLKTQTLGDVEAQWRNTLAVKEEEIINLTAKLSEASKANDFENGGNINLIKEVKVLNQKIEELEKDCNELTNENLELLLKLKESKTDLPGCGDSHNSISNGFLENDAIFTSESEVSKMRSQICKLEEELSKKEMLIEGLSTDQLQTQCANHEKECSDLKLQLEAFKDKTGYLEPELCKYHARAEEREIEIAPPQQLEKQVADISTELKISHSDAALEVSKTLLQLHEEIQFCVARVKRQQYNSYLPVSTAFYNDNTVVSNATDLSTQKELAVSVLNSFVQLKDFFEAKVIGDEMKQNGEVRIRTENADEVQNNVETCNAKENTSSTFSLEQESLQMVCKSEITDSGKEIFEKTSDIDKLNSDNLLKEQDVETLRNCQRELETQVSNLQNEKRQLEENMEVMLKEVKMAPTCMDDSQKELMVFNNNMMTSTFMDDSRYEIMVHNSRANSQHSTDKIVALKSAELESTKHEVEVHLSELEKENVQLSERICGLEAQLRYMTDERESRRLELQNSESCAVNLQEEISILKRELEAHKCDKQQKLQDLQKRWLEAQEECEYLKIANLKLKTTAESLIDECSSLQQSIVDLRKQKMELHERCTILEAESSELQKGFSDMFKEVEALEGKYTLMLDEIASKEKALSLELDALLQENKKYKEKLATEESQLNQMYLEKEVEAKNLRREIEHLTEYLSATHDDKERMVSAAVLEVSQFRIDRAKHEALLHEVHKKLTLSESDLSTLQMESEAKLLGLMDELAASKQNQEVLMADREKLLELLEDVKSNEDKHKSIVRGLELKLKVSSCKMLQLEEEISSLKFQLQKAAVLQNEILDLKKSLNEVQFEKQRLEVSHQMLSGDCEELKAENMLFSQMISDMQRVVAELEDCKRCRVSLEEKVLRLEGDLTAREALGAQDAEFKNELSRVKRLNNELQRKIRLLQVEKQKSLSGAQAFEQELKKRTEEKQDSQDSSDTSLHLSPYDNTVTSSTSDELKLSQVAPGCAHGNSTVMGIDSLSKIQLLESELAEVLEANELYKTQLKSLLSEERKDHSNAHKNLTDDKEATKEYEGEAQSLETELRDLQERYFHMSHKCAEVEAEREQLVLKLRAVSNGRN >Manes.10G053000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6140382:6149903:-1 gene:Manes.10G053000.v8.1 transcript:Manes.10G053000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHKLKSDKSGERLDFKFSSIQALQVPKGWDKLYVSIISGETGKILTKSGKALVRNGSCQWTETLSESIWIPRRGTSKENGEYLFKLVVSMGSTRSGILGEATVNLSSYRSSKTAIPVSLQLKKNNHGTILQVKIQCLTPQAKLREEQWEDTDSYVEDGNIDYDDVESKSDVSDSSLAKSVGSSSSNHLDSKDFSFSASGSRYSFDEGSLGGESNSPRNNLTGSGSNIGRQDSTGSQSSSPFGSYSFNDSSRSAHSSFNSKVSTSRSSHQNQRSDLNQVSRSVASSPLRNAGSSKDLLDAAEATINELQAEARMWEKNARKLMTDLEKLQNELSDQSKLKESLETELYDSRKECDGLREEIKQVKILLEESMVEHKSTESLKYEAKEVHDLQKELEEELQYQKESNANLALQLKKTQESNIELVSILQELEDTVEKQKAEIANLSKMDSIGKCSNGVEDNVEIRPNKEVFAKNMSKVSCNSDQEDRIAEHGQGELSEGFEPEDNVKPELELLKLKESQKNLQSTILFLEKSLQEKVQEIEAEKSLKTQTLGDVEAQWRNTLAVKEEEIINLTAKLSEASKANDFENGGNINLIKEVKVLNQKIEELEKDCNELTNENLELLLKLKESKTDLPGCGDSHNSISNGFLENDAIFTSESEVSKMRSQICKLEEELSKKEMLIEGLSTDQLQTQCANHEKECSDLKLQLEAFKDKTGYLEPELCKYHARAEEREIEIAPPQQLEKQVADISTELKISHSDAALEVSKTLLQLHEEIQFCVARVKRQQYNSYLPVSTAFYNDNTVVSNATDLSTQKELAVSVLNSFVQLKDFFEAKVIGDEMKQNGEVRIRTENADEVQNNVETCNAKENTSSTFSLEQESLQMEQDVETLRNCQRELETQVSNLQNEKRQLEENMEVMLKEVKMAPTCMDDSQKELMVFNNNMMTSTFMDDSRYEIMVHNSRANSQHSTDKIVALKSAELESTKHEVEVHLSELEKENVQLSERICGLEAQLRYMTDERESRRLELQNSESCAVNLQEEISILKRELEAHKCDKQQKLQDLQKRWLEAQEECEYLKIANLKLKTTAESLIDECSSLQQSIVDLRKQKMELHERCTILEAESSELQKGFSDMFKEVEALEGKYTLMLDEIASKEKALSLELDALLQENKKYKEKLATEESQLNQMYLEKEVEAKNLRREIEHLTEYLSATHDDKERMVSAAVLEVSQFRIDRAKHEALLHEVHKKLTLSESDLSTLQMESEAKLLGLMDELAASKQNQEVLMADREKLLELLEDVKSNEDKHKSIVRGLELKLKVSSCKMLQLEEEISSLKFQLQKAAVLQNEILDLKKSLNEVQFEKQRLEVSHQMLSGDCEELKAENMLFSQMISDMQRVVAELEDCKRCRVSLEEKVLRLEGDLTAREALGAQDAEFKNELSRVKRLNNELQRKIRLLQVEKQKSLSGAQAFEQELKKRTEEKQDSQDSSDTSLHLSPYDNTVTSSTSDELKLSQVAPGCAHGNSTVMGIDSLSKIQLLESELAEVLEANELYKTQLKSLLSEERKDHSNAHKNLTDDKEATKEYEGEAQSLETELRDLQERYFHMSHKCAEVEAEREQLVLKLRAVSNGRN >Manes.10G053000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6140382:6149903:-1 gene:Manes.10G053000.v8.1 transcript:Manes.10G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHKLKSDKSGERLDFKFSSIQALQVPKGWDKLYVSIISGETGKILTKSGKALVRNGSCQWTETLSESIWIPRRGTSKENGEYLFKLVVSMGSTRSGILGEATVNLSSYRSSKTAIPVSLQLKKNNHGTILQVKIQCLTPQAKLREEQWEDTDSYVEDGNIDYDDVESKSDVSDSSLAKSVGSSSSNHLDSKDFSFSASGSRYSFDEGSLGGESNSPRNNLTGSGSNIGRQDSTGSQSSSPFGSYSFNDSSRSAHSSFNSKVSTSRSSHQNQRSDLNQVSRSVASSPLRNAGSSKDLLDAAEATINELQAEARMWEKNARKLMTDLEKLQNELSDQSKLKESLETELYDSRKECDGLREEIKQVKILLEESMVEHKSTESLKYEAKEVHDLQKELEEELQYQKESNANLALQLKKTQESNIELVSILQELEDTVEKQKAEIANLSKMDSIGKCSNGVEDNVEIRPNKEVFAKNMSKVSCNSDQEDRIAEHGQGELSEGFEPEDNVKPELELLKLKESQKNLQSTILFLEKSLQEKVQEIEAEKSLKTQTLGDVEAQWRNTLAVKEEEIINLTAKLSEASKANDFENGGNINLIKEVKVLNQKIEELEKDCNELTNENLELLLKLKESKTDLPGCGDSHNSISNGFLENDAIFTSESEVSKMRSQICKLEEELSKKEMLIEGLSTDQLQTQCANHEKECSDLKLQLEAFKDKTGYLEPELCKYHARAEEREIEIAPPQQLEKQVADISTELKISHSDAALEVSKTLLQLHEEIQFCVARVKRQQYNSYLPVSTAFYNDNTVVSNATDLSTQKELAVSVLNSFVQLKDFFEAKVIGDEMKQNGEVRIRTENADEVQNNVETCNAKENTSSTFSLEQESLQMVCKSEITDSGKEIFEKTSDIDKLNSDNLLKEQDVETLRNCQRELETQVSNLQNEKRQLEENMEVMLKEVKMAPTCMDDSQKELMVFNNNMMTSTFMDDSRYEIMVHNSRANSQHSTDKIVALKSAELESTKHEVEVHLSELEKENVQLSERICGLEAQLRYMTDERESRRLELQNSESCAVNLQEEISILKRELEAHKCDKQQKLQDLQKRWLEAQEECEYLKIANLKLKTTAESLIDECSSLQQSIVDLRKQKMELHERCTILEAESSELQKGFSDMFKEVEALEGKYTLMLDEIASKEKALSLELDALLQENKKYKEKLATEESQLNQMYLEKEVEAKNLRREIEHLTEYLSATHDDKERMVSAAVLEVSQFRIDRAKHEALLHEVHKKLTLSESDLSTLQMESEAKLLGLMDELAASKQNQEVLMADREKLLELLEDVKSNEDKHKSIVRGLELKLKVSSCKMLQLEEEISSLKFQLQKAAVLQNEILDLKKSLNEVQFEKQRLEVSHQMLSGDCEELKAENMLFSQMISDMQRVVAELEDCKRCRVSLEEKVLRLEGDLTAREALGAQDAEFKNELSRVKRLNNELQRKIRLLQVEKQKSLSGAQAFEQELKKRTEEKQDSQDSSDTSLHLSPYDNTVTSSTSDELKLSQVAPGCAHGNSTVMGIDSLSKIQLLESELAEVLEANELYKTQLKSLLSEERKDHSNAHKNLTDDKEATKEYEGEAQSLETELRDLQERYFHMSHKCAEVEAEREQLVLKLRAVSNGRN >Manes.08G008200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1092603:1099628:1 gene:Manes.08G008200.v8.1 transcript:Manes.08G008200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFVNPNCMLGILHRLLPAIGPGLLVAIGYVDPGKWAATVEGGARFGYDLVVPMLIFNLAAILCQYLSALIGVVTGRDLAQICHDEYDKFTCMFLGVQTALSVIALDLTTILGIAHGLNLLFGVDLSTGVFLTAVDAVLFPLFATLLERCKANLICTCMAGFILLFYFFGVLTSQTEIPLSLNGMLTKLSGESAFALMSLLGANIIPHNFYLHSSFVLQHRGPQNISKDVLCQHHFFVILCIFSGLFLVNYVLMNSAASVFNSTGLVLLTFPDAMSLMEQVFRSPVVPLAFLITLYFTNKITALTWNAGGQVVLHDFLRLDIPNWLQHATIRVIAIVPALYCLWTSGVEGIYQLLIFTQVMIALLLPSSVIPLFRVASSRPIMGAYKISQLLEFVALITFMGLLGLKILFVVEMIFGDSDWVGNLRWNMGSGASFAYIALLITACSSFSLMLWLAATPLKSATHTDALLWNWEAPNTPEPSMWRGEIFLPETLHDGEELIQSEEQLPEPRNSVESCSDVIGVNAEPNLPETIMETEQELHLKTNKESDLDVTFDSPPITYQEESTSSVDTTSVLTSVDEVVDSDLLDAAMIKVESVEPIEKTLGIEGELQAEKEDDEGDTWEPEESSKVVLGSTPSLISDGPPSFRSLSGKSDESGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQATQEAKHKKLDVLLADSKLAYSLLKVDTTGKEFSGYNPPVGSRGADSILNSSLCDSPKQLGVQSNMDSYGVQRGSSSLWSSHMQSLDAYVQGSSHNVVDSSERRYSRVRTLPSSDGWDSQPATVHGYQIASIVNRIARERSSNFANGQMESPAPISPSLGPGNYRDSLAVALGQKLQNGLNSPLTSRYQNIAASGNSPLPSETPYYDVYSSSADNLGMSANTKKYHSLPDISGLSGPYRDIYTSEKSSQWDNTVGYGATTVGRRSYEPSFYSNIGSGVRGSLAFDGVSKGYGDAFSYSVSSDHGSIWSKQPYEQFGVADKSRAVGSGLGKRSNSSTQETHSPVDSEVQLLRSFRCCIVKLLKLEGSDWLFSQNDGTDEDLIERVAERERCLYEVETREKNHMVHMGEPQYSYSDRKPGSALKNDEAGITNILVSSVPNCGEGCVWKADLITSFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIDVAFSKPRNPMPPCFCLQLSAAYQRRSSPPVMNGMLPPAAKPGKGKSTTASTLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLIGNKGGV >Manes.09G056552.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9102541:9106713:-1 gene:Manes.09G056552.v8.1 transcript:Manes.09G056552.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRQGLLLIALLLAGIFVCALSYNRPPRRRNVYVKLSEDAGDDDSTTPQQVHISMVGEDKMRISWITDDPTPSIVDYGTSPGVYSSSANGTSSSYRYITYNSGEIHNVVIGPLNPNTVYYYRCSSNSARQFSFKTPPAQLPVKFAIAGDLGQTGWTQSTLDHISKSDYEVLLLPGDLSYADRIQQLWDSFGRQVEPLASQRPWMVTQGNHEVEKLPVLHTSTFTAYNARWQMPFEENFDPQSPQYKWLQADLAKVDRAKTPWIIVLIHAPWYNSNTAHQGEPESVDMKQFMEGLLYQARVDVVFAGHVHAYERFTRVYQGKADDCGPVHITIGDGGNREGLASDYIEPKPAISVFREASFGHGQLEVVNATHAQWRWYRNDNDEQVAGDSLWIISLSSNPACKL >Manes.09G056552.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9102092:9107037:-1 gene:Manes.09G056552.v8.1 transcript:Manes.09G056552.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRQGLLLIALLLAGIFVCALSYNRPPRRRNVYVKLSEDAGDDDSTTPQQVHISMVGEDKMRISWITDDPTPSIVDYGTSPGVYSSSANGTSSSYRYITYNSGEIHNVVIGPLNPNTVYYYRCSSNSARQFSFKTPPAQLPVKFAIAGDLGQTGWTQSTLDHISKSDYEVLLLPGDLSYADRIQQLWDSFGRQVEPLASQRPWMVTQGNHEVEKLPVLHTSTFTAYNARWQMPFEESESNSNLFYSFNVASGVHVIMLGSYTDFDPQSPQYKWLQADLAKVDRAKTPWIIVLIHAPWYNSNTAHQGEPESVDMKQFMEGLLYQARVDVVFAGHVHAYERFTRVYQGKADDCGPVHITIGDGGNREGLASDYIEPKPAISVFREASFGHGQLEVVNATHAQWRWYRNDNDEQVAGDSLWIISLSSNPACKL >Manes.09G056552.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9099872:9106713:-1 gene:Manes.09G056552.v8.1 transcript:Manes.09G056552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRQGLLLIALLLAGIFVCALSYNRPPRRRNVYVKLSEDAGDDDSTTPQQVHISMVGEDKMRISWITDDPTPSIVDYGTSPGVYSSSANGTSSSYRYITYNSGEIHNVVIGPLNPNTVYYYRCSSNSARQFSFKTPPAQLPVKFAIAGDLGQTGWTQSTLDHISKSDYEVLLLPGDLSYADRIQQLWDSFGRQVEPLASQRPWMVTQGNHEVEKLPVLHTSTFTAYNARWQMPFEESESNSNLFYSFNVASGVHVIMLGSYTDFDPQSPQYKWLQADLAKVDRAKTPWIIVLIHAPWYNSNTAHQGEPESVDMKQFMEGLLYQARVDVVFAGHVHAYERFTRVYQGKADDCGPVHITIGDGGNREGLASDYIEPKPAISVFREASFGHGQLEVVNATHAQWRWYRNDNDEQVAGDSLWIISLSSNPACKL >Manes.09G056552.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9099872:9106713:-1 gene:Manes.09G056552.v8.1 transcript:Manes.09G056552.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRQGLLLIALLLAGIFVCALSYNRPPRRRNVYVKLSEDAGDDDSTTPQQVHISMVGEDKMRISWITDDPTPSIVDYGTSPGVYSSSANGTSSSYRYITYNSGEIHNVVIGPLNPNTVYYYRCSSNSARQFSFKTPPAQLPVKFAIAGDLGQTGWTQSTLDHISKSDYEVLLLPGDLSYADRIQQLWDSFGRQVEPLASQRPWMVTQGNHEVEKLPVLHTSTFTAYNARWQMPFEESESNSNLFYSFNVASGVHVIMLGSYTDFDPQSPQYKWLQADLAKVDRAKTPWIIVLIHAPWYNSNTAHQGEPESVDMKQFMEGLLYQARVDVVFAGHVHAYERFTRVYQGKADDCGPVHITIGDGGNREGLASDYIEPKPAISVFREASFGHGQLEVVNATHAQWRWYRNDNDEQVAGDSLWIISLSSNPACKL >Manes.09G056552.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9099376:9106870:-1 gene:Manes.09G056552.v8.1 transcript:Manes.09G056552.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRQGLLLIALLLAGIFVCALSYNRPPRRRNVYVKLSEDAGDDDSTTPQQVHISMVGEDKMRISWITDDPTPSIVDYGTSPGVYSSSANGTSSSYRYITYNSGEIHNVVIGPLNPNTVYYYRCSSNSARQFSFKTPPAQLPVKFAIAGDLGQTGWTQSTLDHISKSDYEVLLLPGDLSYADRIQQLWDSFGRQVEPLASQRPWMVTQGNHEVEKLPVLHTSTFTAYNARWQMPFEESESNSNLFYSFNVASGVHVIMLGSYTDFDPQSPQYKWLQADLAKVDRAKTPWIIVLIHAPWYNSNTAHQGEPESVDMKQFMEGLLYQARVDVVFAGHVHAYERFTRVYQGKADDCGPVHITIGDGGNREGLASDYIEPKPAISVFREASFGHGQLEVVNATHAQWRWYRNDNDEQVAGDSLWIISLSSNPACKL >Manes.04G165800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35887696:35893923:-1 gene:Manes.04G165800.v8.1 transcript:Manes.04G165800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQALVNDFLNKLKKRKIEGSQATSRQTAELLRSVISQQRVPYNNQAGALIDAVKAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLTTAAMAGLNLSAVSDDEDDGERGDHPVLSAAVVAAAARSTLRPPSLQTLLEDMPDSAAIPHTSSSGGDSEGKSKSVDKSSRSRKLKHDVIEAVNELIQDITTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQATLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGSATGSPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVRRRTTSGN >Manes.04G165800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35887696:35893532:-1 gene:Manes.04G165800.v8.1 transcript:Manes.04G165800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVQALVNDFLNKLKKRKIEGSQATSRQTAELLRSVISQQRVPYNNQAGALIDAVKAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLTTAAMAGLNLSAVSDDEDDGERGDHPVLSAAVVAAAARSTLRPPSLQTLLEDMPDSAAIPHTSSSGGDSEGKSKSVDKSSRSRKLKHDVIEAVNELIQDITTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQATLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGSATGSPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVRRRTTSGN >Manes.11G025300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2530465:2533042:1 gene:Manes.11G025300.v8.1 transcript:Manes.11G025300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFQNSQHAELESKFKEERRALEAKYQKLYEPLYTKRYEIVNGIEDVEGVIDEVATNQEGDKATEEKGVPEFWLTAMETNDVVGEEITERDEGALKFLKDIKWHRIDNPEGFKLEFYFDSNPYFKNSVLTKTYHMIDDDDPILEKTIGTEIEWYPGKCLTKKVLKKKPRKGSNNVKPITKIANCASFFNFFNTPNKEDDDLDDDAVEDIRDRMEQDYNVGTTIRDKVIPHAVSWFTGEAVDDESNVDDDDDDDDDDDDGDDDEEDEDENDEDEEVDEERKRGRKKKGAGVRTRVSQQSARAPECKQQ >Manes.11G025300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2529015:2533042:1 gene:Manes.11G025300.v8.1 transcript:Manes.11G025300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDEKDAFNLPAAAAALSALDRADLVNALKNKLQSLAGQHSNILDSLSPIVRKRVEFLIEIQSQHAELESKFKEERRALEAKYQKLYEPLYTKRYEIVNGIEDVEGVIDEVATNQEGDKATEEKGVPEFWLTAMETNDVVGEEITERDEGALKFLKDIKWHRIDNPEGFKLEFYFDSNPYFKNSVLTKTYHMIDDDDPILEKTIGTEIEWYPGKCLTKKVLKKKPRKGSNNVKPITKIANCASFFNFFNTPNKEDDDLDDDAVEDIRDRMEQDYNVGTTIRDKVIPHAVSWFTGEAVDDESNVDDDDDDDDDDDDGDDDEEDEDENDEDEEVDEERKRGRKKKGAGVRTRVSQQSARAPECKQQ >Manes.17G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:18900136:18903469:1 gene:Manes.17G023900.v8.1 transcript:Manes.17G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKSNVKRGLWTAEEDAKILAYVSSHGIGNWTLVPKKAGLNRCGKSCRLRWTNYLRPDLKHDDFTPQEEQLIINLHKAVGSRWSLIARQLPGRTDNDVKNYWNTKLKKKLSKMGIDPITHKSFSQILSEYGNIRGISNNNGNHVASFNKNFNSTLVSKPEQPSSSVLTGPSSSNVILKPPIEQVHENSFINNSHSWEFLPQFQVTNHDILKPYLFNEVSSSSSSSCSSTATQLNSPQSYTCQESQPPLTPSSSCFWSEFLVNDPVISADFHHLQQQQQHQDSHGVLSSTSISTQNNIFHGKFTSGNGDFGPYDHGVMNGNQTNSYADASSSSASSFVDGILDKDREMGSQFPQLLDPSFDY >Manes.10G084367.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21643855:21645232:-1 gene:Manes.10G084367.v8.1 transcript:Manes.10G084367.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFQLVFHVWKSEKNIGRRMGVTISIAFAWAAAHIMTLSGIHDNTSQQRQTSCRTYRTGLISAARW >Manes.01G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4521454:4523851:1 gene:Manes.01G019300.v8.1 transcript:Manes.01G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAVNPSFHVVLILFISLASSFATGAVQYNVRSYGAKPDGKTDSTKAFLAAWTQACASTKSVTIYAPKGRFLLSKLAFQGPCKNNATVLRIDGSLVAPSDYSVIGSSQNWISFEHVNGVKVSGGILDGQGTGLWSCKASGKSCPDGATSLGFANSKNIVISGLTSLNSQKIHILIDSCQNVKVQGVKIMASGKSPNTDGIHVQASTSVTILNSKIGTGDDCVSISPGTSNMWVQNVACGPGHGISIGSLGKSLQEGGVQNVTVTQTIFTGTSNGVRIKTWGRPSNGFAKNIIFEHLIMNNVQNPIVIDQNYCPGHNNCPSQDSGVKISNVTYLDIHGSSGTKIAVKFDCSKKYPCNGIELKDINLTYKNQPAEASCNNSSGACLQRESDKSLVLGFTGV >Manes.10G118700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28344048:28351063:-1 gene:Manes.10G118700.v8.1 transcript:Manes.10G118700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGNKKGKQKGPPVPATTEAVVNLVSLDGEDNSAADEDDDNNNNVDSHNNEEYESGMEIDTPSSTGTDQPLNLASINPDGSIDKTAGKSVGRVKVKLKTSKVLESPSDTDKSSPQLGLEKQGVVGDKMEDTGNSLSEIKTGVPGHVSKKPGSIKIKPSKVLGGLNVEKSSTGMAQDESLQQKEPKTPHQEYQYSKQELDSALLVIKKVMKMDAAEPFNVPVNPEALGIPDYFDIIDTPMDFGTICRNLEKGDKYMNSEDVYKDVQYIWDNCYKYNNKGDYILDLMRRVKKNFMKYWTAAGLFTEQSRGSSGAEGPQGEDIGASSQGHGKSGQSKQKTKKRHGRRHKLDCLCAICVLKRRRREREENARLAKGQTGGADNKLAQELKQEESSLVESPGGEDSSSNMDESLDPDADAEVEGKGQGVKIEDTEQQNSSIKEKHEEAEEDDDDDEEEEDEEVENEIEIKKTGKVETPEFADRSGEEPNQQPGLAGNAGAEGQKHNVKGHAMGQHEEETPKVQHNKDMESQERRKKAIMFEKFHSENPLLLSLCRSLFPDNHKSVWSGPHSLVQREGSARRSSIHAAVETLMK >Manes.10G118700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28344048:28351050:-1 gene:Manes.10G118700.v8.1 transcript:Manes.10G118700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGNKKGKQKGPPVPATTEAVVNLVSLDGEDNSAADEDDDNNNNVDSHNNEEYESGMEIDTPSSTGTDQPLNLASINPDGSIDKTAGKSVGRVKVKLKTSKVLESPSDTDKSSPQLGLEKQGVVGDKMEDTGNSLSEIKTGVPGHVSKKPGSIKIKPSKVLGGLNVEKSSTGMAQDESLQQKEPKTPHQEYQYSKQELDSALLVIKKVMKMDAAEPFNVPVNPEALGIPDYFDIIDTPMDFGTICRNLEKGDKYMNSEDVYKDVQYIWDNCYKYNNKGDYILDLMRRVKKNFMKYWTAAGLFTEQSRGSSGAEGPQGEDIGASSQGHGKSGQSKQKTKKRHGRRHKLDCLCAICVLKRRRREREENARLAKGQTGGADNKLAQELKQEESSLVESPGGEDSSSNMDESLDPDADAEVEGKGQGVKIEDTEQQNSSIKEKHEEAEEDDDDDEEEEDEEVENEIEIKKTGKVETPEFADRSGEEPNQQPGLAGNAGAEGQKHNVKGHAMGQHEEETPKVQHNKDMESQERRKKAIMFEKFHSENPLLLSLCRSLFPDNHKSVWSGPHSLVQREGSARRSSIHAAVETLMK >Manes.10G118700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28344048:28351063:-1 gene:Manes.10G118700.v8.1 transcript:Manes.10G118700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGNKKGKQKGPPVPATTEAVVNLVSLDGEDNSAADEDDDNNNNVDSHNNEEYESGMEIDTPSSTGTDQPLNLASINPDGSIDKTAGKSVGRVKVKLKTSKVLESPSDTDKSSPQLGLEKQGVVGDKMEDTGNSLSEIKTGVPGHVSKKPGSIKIKPSKVLGGLNVEKSSTGMAQDESLQQKEPKTPHQEYQYSKQELDSALLVIKKVMKMDAAEPFNVPVNPEALGIPDYFDIIDTPMDFGTICRNLEKGDKYMNSEDVYKDVQYIWDNCYKYNNKGDYILDLMRRVKKNFMKYWTAAGLFTEQSRGSSGAEGPQGEDIGASSQGHGKSGQSKQKTKKRHGRRHKLDCLCAICVLKRRRREREENARLAKGQTGGADNKLAQELKQEESSLVESPGGEDSSSNMDESLDPDADAEVEGKGQGVKIEDTEQQNSSIKEKHEEAEEDDDDDEEEEDEEVENEIEIKKTGKVETPEFADRSGEEPNQQPGLAGNAGAEGQKHNVKGHAMGQHEEETPKVQHNKDMESQERRKKAIMFEKFHSENPLLLSLCRSLFPDNHKSVWSGPHSLVQREGSARRSSIHAAVETLMK >Manes.03G043400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3790773:3791958:-1 gene:Manes.03G043400.v8.1 transcript:Manes.03G043400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDKFFRNISKDLKSASSSSESPYSFSGKEGLATALSSSDQSRVLMTRPNRHMVSLWTCSKLCSIFFVAGVVVGYTLKTRVRRWASKLLKRLKDD >Manes.08G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35841111:35848338:1 gene:Manes.08G119400.v8.1 transcript:Manes.08G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPRSVSANGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKAKRKSLGETDIYLESKNDDFDTPLVNMKVDDYPLSASKKKNKEKVSKNQVQYSPETPIRSLPVRNSLKLNDDFQKDVEPEENWKSYKTPPLSTMDSSRSRSQRSFDASAMTEYSDGSTDSSEDAGGQTCHQCRRNDKNRVIWCRKCDRRGFCNNCISAWYSEISLEEIEKVCPACRGICNCKVCLRGDNMVKVRIREIPVIDKLQYLYCLLSSVLPVVKQIHHEQCSEVELEKKFRGTDIDLVRAKLNADEQMCCNICRIPIIDYHRHCANCSYDLCLHCCQDLREASRHHLVEDQMGGRNRDKETVSKEVKEPRPRLGFSAKYPDWKANRDGSISCPPKEYGGCNYSSLNLNRIFKMNWVAKLVKNVEEMVSGCKICEVGDQPIAGLNDSTLSQYSHREESDDNFLYCPSCEDIRAKGINNFRKHWVKGEPVIVKQVFDSSSISSWDPMDIWRGIRETSVEKMKDENRVVKAIDCLNWSEVDIELSQFMKGYSEGLVREDGSLQMLKLKDWPSPSASEEFLLYQRPEFISKLPLLEYIHSKLGLLNVAAKLPHYSLQNDAGPKIYISYGTYQELGKGDSVTNLHIKMRDMVYLLVHTLKVKLKDFEGSESLDQETNLGEGTSSDLSVGRQDLQSETNTAADKDERMEDQGVETTAMIGEVEKIDNQGVETNRIEYVERIKDQKLRKDSGDISADTRPGVHWDVFRRKDASILVDYLQKHFKDFGKSDTVKHSLYDGTVFLNGNHMRKLKEEFGIEPWSFEQHLGQAVFVPAGCPFQARNLQSNVQLGLDFLSPESVGEAAKLAEEIRCLPNDHEAKLQVLEVGKISLYAASSAIKEVQKFVLDPKLGAEIGFEDPNLTAAVSENLEKVSKQREISCA >Manes.08G119400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35841111:35848338:1 gene:Manes.08G119400.v8.1 transcript:Manes.08G119400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPRSVSANGEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKAKRKSLGETDIYLESKNDDFDTPLVNMKVDDYPLSASKKKNKEKVSKNQVQYSPETPIRSLPVRNSLKLNDDFQKDVEPEENWKSYKTPPLSTMDSSRSRSQRSFDASAMTEYSDGSTDSSEDAGGQTCHQCRRNDKNRVIWCRKCDRRGFCNNCISAWYSEISLEEIEKVCPACRGICNCKVCLRGDNMVRIREIPVIDKLQYLYCLLSSVLPVVKQIHHEQCSEVELEKKFRGTDIDLVRAKLNADEQMCCNICRIPIIDYHRHCANCSYDLCLHCCQDLREASRHHLVEDQMGGRNRDKETVSKEVKEPRPRLGFSAKYPDWKANRDGSISCPPKEYGGCNYSSLNLNRIFKMNWVAKLVKNVEEMVSGCKICEVGDQPIAGLNDSTLSQYSHREESDDNFLYCPSCEDIRAKGINNFRKHWVKGEPVIVKQVFDSSSISSWDPMDIWRGIRETSVEKMKDENRVVKAIDCLNWSEVDIELSQFMKGYSEGLVREDGSLQMLKLKDWPSPSASEEFLLYQRPEFISKLPLLEYIHSKLGLLNVAAKLPHYSLQNDAGPKIYISYGTYQELGKGDSVTNLHIKMRDMVYLLVHTLKVKLKDFEGSESLDQETNLGEGTSSDLSVGRQDLQSETNTAADKDERMEDQGVETTAMIGEVEKIDNQGVETNRIEYVERIKDQKLRKDSGDISADTRPGVHWDVFRRKDASILVDYLQKHFKDFGKSDTVKHSLYDGTVFLNGNHMRKLKEEFGIEPWSFEQHLGQAVFVPAGCPFQARNLQSNVQLGLDFLSPESVGEAAKLAEEIRCLPNDHEAKLQVLEVGKISLYAASSAIKEVQKFVLDPKLGAEIGFEDPNLTAAVSENLEKVSKQREISCA >Manes.09G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32141499:32145376:-1 gene:Manes.09G118500.v8.1 transcript:Manes.09G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEILSYRRCRLRFLVGLLLLCTTREVSSSIHEYHNEAFIPHSDGFFFHGGSEGLYSSKVHDSSSTSPDKPLQGKSFIRFEDVTFVRTKEAASKQNEMQQNTGLIEAIIFEVKDRERIGGAFLKTNAICCHPALAQTGSCTLGEVIIQKDQDNQEWPKRIQTSFAGKNEEVVMKPDTVEINTTGMYYLYFMFCNPELKGTLIKGRTVWKNPNGYLPGKMTPLMTFYGIMSLAYLALGLIWFLRFVQFWKDVIQLHYHITAVIALGMCEMAVWYFEYANFNSTGSRPMGVTLWAVTFRTVKKTLSRLLLLVVSMGYGVVRPTLGGITSRVLLLGLIYFIASEALELVEHLGNINDLSKKTELFLVLPVAFLDSCFIVWIFSSLSKTLEKLQMRRNMAKLDLYRKFTNSLAVSVLLSIAWIGFELYFNATDPLSELWQVAWIIPAFWTLLAYSLLVVICVLWAPSRNPTRYAYLEETGEDFDEEGISLTSTVDIAAKLERKGLGEDLEEDKRE >Manes.09G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31194846:31199140:1 gene:Manes.09G109600.v8.1 transcript:Manes.09G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEITSILLSAQSLDAKFRNEGEVNLRQFQEQNLPLFLFSLSVELANDDKPNESRRLAGILLKNSLDAKDATRKEHLVQQWMMIETSIKSQIKDLLLRTLGSSVQEARNTSAQVIAKVASIEIPRKQWPDLIGLLLNNMTQQDRPAALKQATLETLGYVCEGISNQDLVQDEVNSVLTAVVQGMTLVQHGPEVRLAATRALYNALDFAQTNFENEMERNYIMKVVCETALSKEAEIRQGAFECLVSIASTYYEVLEPYMQTLFQLTSNAVKGDEETVSLQAIEFWSSICDEEIERQEYNRLESGDSEPVHSHFIKKALPSLVPMLLETLLKQDEDQGQDDSIWNISMAGGTCLGLIARTVGDEIVPLVMPFVEANIVKPDWHCREAATYAFGSILEGPSVDILIPLVNAGLDFLLNAMRDENKHVKDTTGWTLSRVFELLHSPANGFSVISSENLHRIVAVLLESINDAPHVAEKVCGAIYYLAQGCEGARSSSSLLTPCLPGIISQLLKTAERTDGGDSKLRSSAYETLNEVIRSSNIVETSHIIAELLPVIMNKLGQTLNVQIVSSDDRENQGDLQASLCGVLQVIIQKLGSTDETKTIILQAADPIMILFLRVLACRNSTAHEEAMLAIGALAYASGSEFGKYMPELYKYLEMALQNFEEYQVCAITIGVLGDICRAMDDKILPYCDGIMSHLIRDLQSAELHRSVKPSIFSCFGDIALAIGEQFVKYIEPAITMMQSAAQICAQMNTSDEEFIDYGNQLKCSICEAYSGILQGFKNFKTEVMLPHAGHLLQFIELLFRESQRDESVTRAAVALMGDVADALGSNTKLLFRDNTFYVDFLGECLQSNDQQLKETANWTQGIIARIMVS >Manes.09G109600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31194846:31199140:1 gene:Manes.09G109600.v8.1 transcript:Manes.09G109600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEITSILLSAQSLDAKFRNEGEVNLRQFQEQNLPLFLFSLSVELANDDKPNESRRLAGILLKNSLDAKDATRKEHLVQQWMMIETSIKSQIKDLLLRTLGSSVQEARNTSAQVIAKVASIEIPRKQWPDLIGLLLNNMTQQDRPAALKQATLETLGYVCEGISNQDLVQDEVNSVLTAVVQGMTLVQHGPEVRLAATRALYNALDFAQTNFENEMERNYIMKVVCETALSKEAEIRQGAFECLVSIASTYYEVLEPYMQTLFQLTSNAVKGDEETVSLQAIEFWSSICDEEIERQEYNRLESGDSEPVHSHFIKKALPSLVPMLLETLLKQDEDQGQDDSIWNISMAGGTCLGLIARTVGDEIVPLVMPFVEANIVKPDWHCREAATYAFGSILEGPSVDILIPLVNAGLDFLLNAMRDENKHVKDTTGWTLSRVFELLHSPANGFSVISSENLHRIVAVLLESINDAPHVAEKVCGAIYYLAQGCEGARSSSSLLTPCLPGIISQLLKTAERTDGGDSKLRSSAYETLNEVIRSSNIVETSHIIAELLPVIMNKLGQTLNVQIVSSDDRENQGDLQASLCGVLQVIIQKLGSTDETKTIILQAADPIMILFLRVLACRNSTAHEEAMLAIGALAYASGSEFGKYMPELYKYLEMALQNFEEYQVCAITIGVLGDICRAMDDKILPYCDGIMSHLIRDLQSAELHRSVKPSIFSCFGDIALAIGEQFVKYIEPAITMMQSAAQICAQMNTSDEEFIDYGNQLKCSICEAYSGILQGFKNFKTEVMLPHAGHLLQFIELLFRESQRDESVTRAAVALMGDVADALGSNTKLLFRDNTFYVDFLGECLQSNDQQLKETANWTQGIIARIMVS >Manes.07G074129.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20418355:20421746:1 gene:Manes.07G074129.v8.1 transcript:Manes.07G074129.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVASLEIPTLLLSGGRTRNSIFKIPIDINENFTCDIKKGTQFTSLINKTSLVIWDKSPMNHGYYFKAVDRTLRDILTNDSSMQVQTFGSKTILLGSNFKQILHVVMNNSKEEILNASLIKLKNKYSNNILRNLNPGLSLCNRTTLIITDHEKHVIATIIIIGSLVGNKVYIPRI >Manes.03G082600.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463692:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463703:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463694:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463695:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463692:14469559:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463704:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463694:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463694:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463703:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463694:14469443:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G082600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14463694:14469559:1 gene:Manes.03G082600.v8.1 transcript:Manes.03G082600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASVLNRLLCNKSFNSQTQPTSAKALTNTILNLLKSNHLRKAVSVLFASPFPVPYSLYARLFQLCSSTLAIVEARKVESHLVTFSPTPPVFLLNRAIETYGKCGCLEDAKELFDEMPQRDGGSWNAIVKAFTQCGSAEEALYLFKDMHKEGVLANEITFASVLGSCGDVLALSLSRQVHGLIMKYGFCWNVVLGSALVDVYGKCKAMREARLMFDEIENSNDVTWNVIVRRYLEADNDREAVIMFFKMFQTDVRPLNFTFSNALLACSAMHALKEGMQIHGVAIKINFDENETVSSSLSNMYAKCGRIESARMVFDQPGAKDLISWTSMISVYAMSGKTREARELFEEMPERSVISWNAMLAGYTRSLQWQEALDLVRLMRRTTKDIDHVTLGLLLNVCAGLLDLEIGKLVHGFIYRRGYSSNLLVGNALLDMYGKCGNLKSARVWFYQMSQSRDNISWNALLTSYARHHQSEQVMMIFGQMQWETRPSIFTFATLLAACANVSALGQGKQIHGFMIRNGYNIDIVIRGALVDMYSKCRCIPYALAVFREAASRDVVLWNSIILGCCHNGRGEEGLKLFELMVEKGNKPDHVSFQGVLLACMSEGHIDLATQYFNSMSNEYYIIPRVEHYECMIELLSRYGCMNELEDFVKGMPFDPTVPMLTRVLDACKDHGCSRLGKWVAKQLNK >Manes.03G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2404578:2409939:1 gene:Manes.03G030000.v8.1 transcript:Manes.03G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFKRWILCFLAFKFFVPKPVSSSPEALAQIPVDFLDLSKKPEIADYMIGIRRKIHENPELGFEEFQTSKLIRDELDHLGIHYKHPVAITGVVGYVGTGGPPFVAIRADMDALPLEEAVEWEHKSKVPGKMHACGHDAHVAMLLGAAKMLQEHRHELQGTVVLIFQPAEEGQGGAKRMLDAGVLKDVDAIFGIHVSTLYPIGTVASRAGPIMAASGFFDAVISGKGGHAAIPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVTIAKFQGGGAFNVIPDSVTIGGTFRAFSKESFIKLKQRIEEVITKQASVQRCNATVIFNTDERPFYPVTVNNEELHDFFVNVASDMLGAQNIKEMQPVMGTEDFSFFAEAIPGYFFYVGMKNESQGPLALGHSPHYKLNEEALPYGAALHASLATSYLLKNQLEAKLLKGNLHDEL >Manes.06G155400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28211222:28212324:-1 gene:Manes.06G155400.v8.1 transcript:Manes.06G155400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHYSQPLSLLVSLLDIALWEPSSGGGIAIYWGQNGNEGTLAETCASGNYQYVNIAFLSTFGNGQTPMLNLAGHCDPTSYGCTGLSIDITACQSRGIKVLLSLGGDVGSYSLSSADDANQVADYLWNNFLGGTSDSRPLGDAILDGIDFDIESGSGQYWDDLAKALSEFSQESNKVYLSAAPQCPFPDYYLDKAIHTGLFDYLWVQFYNNPPCQYNGDDVDNLLRAWNEWITVPAGHVFMGLPAAVGAAPSGGYIPSNVLIDQVLPVIKSSPKYGGVMLWNKFYDNGYSSAIKDAV >Manes.14G157900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22065762:22072245:-1 gene:Manes.14G157900.v8.1 transcript:Manes.14G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAIERSMLESARPKSSDESRRRFPNLRGVKWRTDLGILPSLSSSTIDDLRRVTADSRRRYASLRRRLLIDPQLPKDGSNSPDLAIDNPLSQNPDSTWGRFFRNAELEKTVDQDLTRLYPEHGNYFQSTGCQGMLRRILLLWCLRHPEYGYRQGMHELLAPLLYVLHVDVGRLTEVRKQYEDHFTDKFDGLSFQENDFMYNFDFRKYLDSMEDDIGSHGNATKFSSVDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMNGAHGAVALADFFSPSPIVGSHSGLPPVIEASTALYHLLFVVDSSLHSHLVEIGVEPQYFALRWLRVLFGREFSLENLLLIWDEIFAADKNKVEKGAEDDTGSTFVIFSSPQGALISGFAVSMILYLRSSLLSTENATTCLQRLLNFPENIDLRKLIDKAKSLQALALGPSISSVIPPCGGAYNHSKSMLMRSHTLASDPISPKTPLNIVPDSYWEEKWRVLHKAEELRKGSSGKKNPIPKKGWTEKVKISLSRTASDPSPAKVGCGKDHKPSVRRRLLEDLTRELGLDEDTEKADCNEVSGQEDHICKGVEVENQDSVNKDFTCAAEERCLSGNACSEENSSVFSDPPSPLSGANNHENDSEKSSVASNLSIDERDDHSEAIQEDATLSVSHLPNNATLNAGGNNEATGKSLTFPRERKLLSGKFQWLWKFGRSNVGEETAEKGSPDLDATKPANDAGSQSSAVCSSIDGSCFSYTSAQGDLVDQNMMGTTLRNLGNSMLEHIQVIESVFQQDKGQVGSLENFSKNVLVGKGQVTAMTALKELRKISNLLSEM >Manes.14G157900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22065762:22070893:-1 gene:Manes.14G157900.v8.1 transcript:Manes.14G157900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRILLLWCLRHPEYGYRQGMHELLAPLLYVLHVDVGRLTEVRKQYEDHFTDKFDGLSFQENDFMYNFDFRKYLDSMEDDIGSHGNATKFSSVDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMNGAHGAVALADFFSPSPIVGSHSGLPPVIEASTALYHLLFVVDSSLHSHLVEIGVEPQYFALRWLRVLFGREFSLENLLLIWDEIFAADKNKVEKGAEDDTGSTFVIFSSPQGALISGFAVSMILYLRSSLLSTENATTCLQRLLNFPENIDLRKLIDKAKSLQALALGPSISSVIPPCGGAYNHSKSMLMRSHTLASDPISPKTPLNIVPDSYWEEKWRVLHKAEELRKGSSGKKNPIPKKGWTEKVKISLSRTASDPSPAKVGCGKDHKPSVRRRLLEDLTRELGLDEDTEKADCNEVSGQEDHICKGVEVENQDSVNKDFTCAAEERCLSGNACSEENSSVFSDPPSPLSGANNHENDSEKSSVASNLSIDERDDHSEAIQEDATLSVSHLPNNATLNAGGNNEATGKSLTFPRERKLLSGKFQWLWKFGRSNVGEETAEKGSPDLDATKPANDAGSQSSAVCSSIDGSCFSYTSAQGDLVDQNMMGTTLRNLGNSMLEHIQVIESVFQQDKGQVGSLENFSKNVLVGKGQVTAMTALKELRKISNLLSEM >Manes.S056816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2004300:2004422:1 gene:Manes.S056816.v8.1 transcript:Manes.S056816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.02G201100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19598420:19601454:1 gene:Manes.02G201100.v8.1 transcript:Manes.02G201100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKDSKISTATSFRRPSNRLLYDRRYGWVFDEWKDPSEEALAGGRGMFCILPLTKAFLNKAKSSINLAADFALKVLEKPDLLSPQALRANLDKQLKQVISSIKTPEINIFALNVKKSSETSNFSSHLQIGTTESDMT >Manes.18G101600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9463504:9473369:-1 gene:Manes.18G101600.v8.1 transcript:Manes.18G101600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKSSCCSICENSNRASICAVCVNYRLNEYNTLLKSLKSRRDLLYSRLSEVLVAKGKADDQLNWRVIQNEKLANLREKLRCSKKQLIQGKAKIERISYDLNIKYGVLESAHSVLQKNRVDQLEKFFPNLICTQSLGHMAITSELLHKQSVIVKQMCKLFPQRRVNIEGERKDGTNGQYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAFPALHNSGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDRSSSNFGVASMESERRAYLDSSRSSSFNYSSASPHSVETHKELQKGISLLKKSVACITTYGYNLLCLDVPSEASTFEAFAKLLNTLSSSKEVRSGFSLKMACSRSCKQVQKLNKSIWNVNSTISSSTLLESAHALHLTKNLIDNNLPNSATSFLYGTEISDTGKNEGLIDGWDLVEHPTFPPPPSQAEDIEHWTRAMFIDATKK >Manes.18G101600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9463422:9473535:-1 gene:Manes.18G101600.v8.1 transcript:Manes.18G101600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKSSCCSICENSNRASICAVCVNYRLNEYNTLLKSLKSRRDLLYSRLSEVLVAKGKADDQLNWRVIQNEKLANLREKLRCSKKQLIQGKAKIERISYDLNIKYGVLESAHSVLQKNRVDQLEKFFPNLICTQSLGHMAITSELLHKQSVIVKQMCKLFPQRRVNIEGERKDGTNGQYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAFPALHNSGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDRSSSNFGVASMESERRAYLDSSRSSSFNYSSASPHSVETHKELQKGISLLKKSVACITTYGYNLLCLDVPSEASTFEAFAKLLNTLSSSKEVRSGFSLKMACSRSCKQVQKLNKSIWNVNSTISSSTLLESAHALHLTKNLIDNNLPNSATSFLYGTEISDTGKNEGLIDGWDLVEHPTFPPPPSQAEDIEHWTRAMFIDATKK >Manes.18G101600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9463504:9473362:-1 gene:Manes.18G101600.v8.1 transcript:Manes.18G101600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKSSCCSICENSNRASICAVCVNYRLNEYNTLLKSLKSRRDLLYSRLSEVLVAKGKADDQLNWRVIQNEKLANLREKLRCSKKQLIQGKAKIERISYDLNIKYGVLESAHSVLQKNRVDQLEKFFPNLICTQSLGHMAITSELLHKQSVIVKQMCKLFPQRRVNIEGERKDGTNGQYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAFPALHNSGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDRSSSNFGVASMESERRAYLDSSRSSSFNYSSASPHSVETHKELQKGISLLKKSVACITTYGYNLLCLDVPSEASTFEAFAKLLNTLSSSKEVRSGFSLKMACSRSCKQVQKLNKSIWNVNSTISSSTLLESAHALHLTKNLIDNNLPNSATSFLYGTEISDTGKNEGLIDGWDLVEHPTFPPPPSQAEDIEHWTRAMFIDATKK >Manes.18G101600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9463424:9473568:-1 gene:Manes.18G101600.v8.1 transcript:Manes.18G101600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKSSCCSICENSNRASICAVCVNYRLNEYNTLLKSLKSRRDLLYSRLSEVLVAKGKADDQLNWRVIQNEKLANLREKLRCSKKQLIQGKAKIERISYDLNIKYGVLESAHSVLQKNRVDQLEKFFPNLICTQSLGHMAITSELLHKQSVIVKQMCKLFPQRRVNIEGERKDGTNGQYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAFPALHNSGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDRSSSNFGVASMESERRAYLDSSRSSSFNYSSASPHSVETHKELQKGISLLKKSVACITTYGYNLLCLDVPSEASTFEAFAKLLNTLSSSKEVRSGFSLKMACSRSCKQVQKLNKSIWNVNSTISSSTLLESAHALHLTKNLIDNNLPNSATSFLYGTEISDTGKNEGLIDGWDLVEHPTFPPPPSQAEDIEHWTRAMFIDATKK >Manes.18G101600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9463504:9473362:-1 gene:Manes.18G101600.v8.1 transcript:Manes.18G101600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKSSCCSICENSNRASICAVCVNYRLNEYNTLLKSLKSRRDLLYSRLSEVLVAKGKADDQLNWRVIQNEKLANLREKLRCSKKQLIQGKAKIERISYDLNIKYGVLESAHSVLQKNRVDQLEKFFPNLICTQSLGHMAITSELLHKQSVIVKQMCKLFPQRRVNIEGERKDGTNGQYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAFPALHNSGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDRSSSNFGVASMESERRAYLDSSRSSSFNYSSASPHSVETHKELQKGISLLKKSVACITTYGYNLLCLDVPSEASTFEAFAKLLNTLSSSKEVRSGFSLKMACSRSCKQVQKLNKSIWNVNSTISSSTLLESAHALHLTKNLIDNNLPNSATSFLYGTEISDTGKNEGLIDGWDLVEHPTFPPPPSQAEDIEHWTRAMFIDATKK >Manes.18G101600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9463504:9473362:-1 gene:Manes.18G101600.v8.1 transcript:Manes.18G101600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKSSCCSICENSNRASICAVCVNYRLNEYNTLLKSLKSRRDLLYSRLSEVLVAKGKADDQLNWRVIQNEKLANLREKLRCSKKQLIQGKAKIERISYDLNIKYGVLESAHSVLQKNRVDQLEKFFPNLICTQSLGHMAITSELLHKQSVIVKQMCKLFPQRRVNIEGERKDGTNGQYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAFPALHNSGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDRSSSNFGVASMESERRAYLDSSRSSSFNYSSASPHSVETHKELQKGISLLKKSVACITTYGYNLLCLDVPSEASTFEAFAKLLNTLSSSKEVRSGFSLKMACSRSCKQVQKLNKSIWNVNSTISSSTLLESAHALHLTKNLIDNNLPNSATSFLYGTEISDTGKNEGLIDGWDLVEHPTFPPPPSQAEDIEHWTRAMFIDATKK >Manes.18G101600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9463504:9473486:-1 gene:Manes.18G101600.v8.1 transcript:Manes.18G101600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKSSCCSICENSNRASICAVCVNYRLNEYNTLLKSLKSRRDLLYSRLSEVLVAKGKADDQLNWRVIQNEKLANLREKLRCSKKQLIQGKAKIERISYDLNIKYGVLESAHSVLQKNRVDQLEKFFPNLICTQSLGHMAITSELLHKQSVIVKQMCKLFPQRRVNIEGERKDGTNGQYDQICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVRNLAFPALHNSGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDRSSSNFGVASMESERRAYLDSSRSSSFNYSSASPHSVETHKELQKGISLLKKSVACITTYGYNLLCLDVPSEASTFEAFAKLLNTLSSSKEVRSGFSLKMACSRSCKQVQKLNKSIWNVNSTISSSTLLESAHALHLTVCPKR >Manes.17G029024.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:19970048:19972995:1 gene:Manes.17G029024.v8.1 transcript:Manes.17G029024.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTRGQTIGRGSTATVSVATFDHSGQVFAVKSAELSQSEFVQKEQSFLSALSCPQIVAYKGFDIREENGKLLYNIFLEYAPGGTLVDAIRKHGGRLHESVIRSYTRQILLGLHHLHSTGIVHRDIKGHNILVTGDGAKIADFGCARWVNEDLATNAKIAGTPVYMAPEVARGEHQGFPADVWALGCTILEMATGIAPCVNISDPVSALYQVGFSCYTPEIPSFMSVQAKDFLSKCLKRDPTERWSASELLEHAFITEETVSVLKDTDVDTPTSVLDQGLWGWKEDLKATWTWKSTHESGCLTPRERLGQLAKGSEKVPDWAWEETWVTVRSKSSAREIVASSNDCGLVHAKEATGELLHSGEYNLINVIANDSVGIGGISISNTSNSVGCRDNTIYKILSMYCICTKDYLRGSSNFEKGISFSVSTLQSLPSFLSEADPCTMGD >Manes.03G030800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2474357:2476365:-1 gene:Manes.03G030800.v8.1 transcript:Manes.03G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIEQPTVPLSRVAVSETHGEDSPYFAGWKAYDEDPYDESENPSGVIQMGLAENQVSFDLVEEYLEKHPEASTWGKGAPGFRENALFQDYHGLKSFRQAMASFMEQIRGGRAKFDPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVRILPIHCDSSNNFQITPQALEAAYKDAEAMKIKVRGVLITNPSNPLGATIQRSVLEEILDFVTRKNIHLVSDEIYSGSAFSSSEFVSIAEILEARGYKDSERVHIVYSLSKDLGLPGFRVGTIYSYNEKVVTTARRMSSFTLISSQTQHLLACMLSDKKFTENYIKINRQRLRKRYEMIIEGLKNAGIECLKGNAGLFCWMNLSPLLKTQTRESELSLWKSVIHELKLNISPGSSCHCSEPGWFRVCFANMSEQTLEVALKRIHNFMDQRKKETN >Manes.02G160900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12576869:12581343:-1 gene:Manes.02G160900.v8.1 transcript:Manes.02G160900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFLFCTSFLFYSLVSGSLFSEFISPNFTASHYKFIDNEGAFLLSRNGTFEAAIFNPGGQVNFYLCVIHVASGTIIWSANRDAPFSSSGKMALTAQGISIADEDGNPKWSTPSLRSSVHGLLLTEMGNLVLLDQFNDSLWESFHFPTDTIVLGQQLPEGAMLSSAASNVNISTGDYRLAITDSDAILQWQGQAYWKLSMDINAYTNSNYVVDYMAINRTGLFLFSHNGSAVVIRVSLSPSDFRVAQLDASGRFIVKRFSGGDGEQEFVGPRDGCQIPLICGRIGLCLDATSNGPTCSCPQGFHGVSQNSSGCLPSGLSLPRACNSTKNGSQLNSSALSYSRLGFGMDYFAIDFSEPVRYGVNLSICQEFCTSDCSCLGIFYENSSGSCYALENDLGSFISSTSDEDDLLGYVKVIVGAPSDVNTTYSNQSRQLPVIALVLLPFTGLILMVVLGFLWWRRWKLSNKDIKLGHASSLSSGDLDAFYIPGLPQRYDLEELEVATDNFKTQIGSGGFGSVYKGTLPDKSVVAVKKITNLGVQGKKDFCTEIAVIGSIHHVNLVKLRGFCAQGRQRLLVYEYMNRGSLDKTLFGSGPVLEWQERFEIALGTARGLAYLHTGCEHKIIHCDVKPENILLHDQFQAKISDFGLSKLLSPEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVMLELVSGRKNCSVRSQSHSMDNSSSGGGQSTSSSGSGLVYFPLLALEMHEQARYMELADSRLDGRVTSEEVEKLVRVALCCLHEEPSWRPNMANVVGMLEGDIPLGQPRVESLNFLRFYGRRFAEASMIEENMQSDFVLFPRANNSTTSTSESHVCLSFISSQQISGPR >Manes.12G086416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:10124080:10124813:1 gene:Manes.12G086416.v8.1 transcript:Manes.12G086416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHRRVMGLRIAVTPSAASPSAIAHHVCEAAAYLDGGHRLARGSRTETFSAFSTVRQASSSRHRSRQHCFDNQSSSAEHASAVRPIACSPVAIAVDGWL >Manes.03G165500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29181223:29184551:1 gene:Manes.03G165500.v8.1 transcript:Manes.03G165500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQRPSFSSSCTQNAEPGCSFKRAAHRLHFSFCSIQYPIALVRFICFTLHRTRERSTMKILVTVKRVIDYAVKIRVKPDKSGVETQNVKMSMNPFCEIALEEALRIKEAGLASEVVAVSMGSAQCVDTLRTGLAMGADRGIHVEATGALYPLTVAKVLKALVEVEKPQLIIVGKQAIDDDCNQTGQMVAGLLGWPQGTFASKVLLDKDKQLATVEREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKVVKKYTPQELNVEIKSDLEVIDVTEPPKRKAGVIVSSVDVLIDKLKNEAHVI >Manes.03G165500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29181223:29184690:1 gene:Manes.03G165500.v8.1 transcript:Manes.03G165500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVTVKRVIDYAVKIRVKPDKSGVETQNVKMSMNPFCEIALEEALRIKEAGLASEVVAVSMGSAQCVDTLRTGLAMGADRGIHVEATGALYPLTVAKVLKALVEVEKPQLIIVGKQAIDDDCNQTGQMVAGLLGWPQGTFASKVLLDKDKQLATVEREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKVVKKYTPQELNVEIKSDLEVIDVTEPPKRKAGVIVSSVDVLIDKLKNEAHVI >Manes.03G165500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29181223:29184599:1 gene:Manes.03G165500.v8.1 transcript:Manes.03G165500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVTVKRVIDYAVKIRVKPDKSGVETQNVKMSMNPFCEIALEEALRIKEAGLASEVVAVSMGSAQCVDTLRTGLAMGADRGIHVEATGALYPLTVAKVLKALVEVEKPQLIIVGKQAIDDDCNQTGQMVAGLLGWPQGTFASKVLLDKDKQLATVEREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKVVKKYTPQELNVEIKSDLEVIDVTEPPKRKAGVIVSSVDVLIDKLKNEAHVI >Manes.16G108100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31362726:31365518:1 gene:Manes.16G108100.v8.1 transcript:Manes.16G108100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGSTRDLSLSLSMILSSYNVTFHLNPKYQLLVFLPSSSIYIYMPLSLCLPSPNSAFFFSFLLRKKEMSNSHHVLCILFLLILLHNSGLSLGHTKGIRPRKSPVNLPTINETRVQFSEQQFMKWVKFVGSLKHSLFKAGKNKLFPSYTLVVNKNPAAGDFTSIQDAIDSLPFINLVRVVIKVHAGVYTEKVSIPPLKSFITIEGAGADKTIVQWGDTAQTPGPKGQPMGTYSSATFAVNSPYFIAKNITFKNTTPVPPPGAIGKQAVAFRISADTAAFLGCRFLGGQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSLFERCHVHAIAQYTGALTAQGRSSLLEDTGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPTREIGNLDGARATASSMTCTALDRFR >Manes.16G108100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31362726:31366424:1 gene:Manes.16G108100.v8.1 transcript:Manes.16G108100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGSTRDLSLSLSMILSSYNVTFHLNPKYQLLVFLPSSSIYIYMPLSLCLPSPNSAFFFSFLLRKKEMSNSHHVLCILFLLILLHNSGLSLGHTKGIRPRKSPVNLPTINETRVQFSEQQFMKWVKFVGSLKHSLFKAGKNKLFPSYTLVVNKNPAAGDFTSIQDAIDSLPFINLVRVVIKVHAGVYTEKVSIPPLKSFITIEGAGADKTIVQWGDTAQTPGPKGQPMGTYSSATFAVNSPYFIAKNITFKNTTPVPPPGAIGKQAVAFRISADTAAFLGCRFLGGQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSLFERCHVHAIAQYTGALTAQGRSSLLEDTGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPTREMTVFYGQYKCTGPGADFAGRVSWSRELTDEEAKPFISLSFIDGSEWIKL >Manes.12G079100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9920309:9921651:1 gene:Manes.12G079100.v8.1 transcript:Manes.12G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCELCEFPARSYCQSDDACLCWYCDAKVHGANFLVARHARSLLCQICQSLTHWKAIGSKLGRAVSICDRCANRANLREREEESEEANEDLSTEDTDGLPAEEEEEVDTDSQVVPWSSTTPPPAASSSSASASNSQESVSGFTRSGGVFCKSVNRKSMKRTRDICTGLRSMLQDDVNRSSSQRRYSRSELTTQAGGCDGHGEALSVDYGLSLRPLKGRRTETECPFQAGSRSVEGYRLS >Manes.12G079100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9920309:9921651:1 gene:Manes.12G079100.v8.1 transcript:Manes.12G079100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCELCEFPARSYCQSDDACLCWYCDAKVHGANFLVARHARSLLCQICQSLTHWKAIGSKLGRAVSICDRCANRANLREREEESEEANEDLSTEDTDGLPAEEEEEVDTDSQVVPWSSTTPPPAASSSSASASNSQESDDVNRSSSQRRYSRSELTTQAGGCDGHGEALSVDYGLSLRPLKGRRTETECPFQAGSRSVEGYRLS >Manes.12G079100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9920309:9921651:1 gene:Manes.12G079100.v8.1 transcript:Manes.12G079100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCELCEFPARSYCQSDDACLCWYCDAKVHGANFLVARHARSLLCQICQSLTHWKAIGSKLGRAVSICDRCANRANLREREEESEEANEDLSTEDTDGLPAEEEEEVDTDSQVVPWSSTTPPPAASSSSASASNSQESVSGFTRSGGVFCKSVNRKSMKRTRDICTGRCQPLIFSTEVQSIRVDNSGRWL >Manes.01G151300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33972263:33977332:1 gene:Manes.01G151300.v8.1 transcript:Manes.01G151300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPLLNPQTPKLLSPLYLHTPLKLPSIHTLKYPRKFFSFSPSFQSSFPLRNDDSEDHAIGDCVVFEEGVFEDPHLESNLNAFLDGDSDSDTDNSRRKHKPNNKKTNLTEIETENLVPDKWREVQAEINITKKERRKIAQEMEFNSRVEKKKKGLLPIRSVNLEEYTAYREAKMAQLKPLVLDNPTNFPEEEEEEEKEDKKQRKSETNSERVGPKNPRWAVYGKGFDDVTEFFNSGNYEPGTKKSEAPRKLFTKEEKLLLNKKIPDLAAATSAKWLPLHTLAASGEFYLVDALLKHNVDINAVNVDGLTALHKAIICKKQAITSYLLRESANPFVRDSDGATLMHYAVQTASIPAIKLLLLYNVDINLQDNDGWTPLHVAVQAQRTDIIKLLLIKGADRTLKNQDGLTPLDLCLYSGRDTKTYELIKLLKLFPYKSTALTTRQPFQ >Manes.06G174800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29850335:29851388:1 gene:Manes.06G174800.v8.1 transcript:Manes.06G174800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIRRLSRVADSSQYSLLRSDTQSRTCSASRSTRRADSFRCLVKPVRRAGGGKSVPEGHVPVYVGAEMERFLVSAELLNHPIFIGLLNKSAQEYGYDQKGVLRIPCHVLVFEQIMEALRLGLESRELEDLLSSLFTDDYL >Manes.06G174800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29850235:29851617:1 gene:Manes.06G174800.v8.1 transcript:Manes.06G174800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIRRLSRVADSSQYSLLRSDTQSRTCSASRSTRRADSFRCLVKPVRRAGGGKSVPEGHVPVYVGAEMERFLVSAELLNHPIFIGLLNKSAQEYGYDQKGVLRIPCHVLVFEQIMEALRLGLESRELEDLLSSLFTDDYL >Manes.06G174800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29850243:29851564:1 gene:Manes.06G174800.v8.1 transcript:Manes.06G174800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIRRLSRVADSSQYSLLRSDTQSRTCSASRSTRRADSFRCLVKPVRRAGGGKSVPEGHVPVYVGAEMERFLVSAELLNHPIFIGLLNKSAQEYGYDQKGVLRIPCHVLVFEQIMEALRLGLESRELEDLLSSLFTDDYL >Manes.13G057900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6665999:6667882:-1 gene:Manes.13G057900.v8.1 transcript:Manes.13G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSISMEFFFFFTIISSLSILVNSQTDSCSANLNLNRQLPFDISALHCASVWNSHNYILRYVQTSQSLWSFVLSAPEANSYVGIGFSNSGSMVGSSAIVGWISPTDGTAVIKQYFLQERTPTQVLPDSGNLNITYSMITSQSSRLYLAFQLSTDQPSSRLIYSLGPIGTLPSAPGYQLTEHRDKVSTVLNYVTGETANENPHRGLRKSHGVLNMIGWGIMMIIGAIVARYCKQWDPIWFYLHIGIQSLGFLLGIAGIICGFLLEDRLSVDVSTHKGLGIFILVLGSLQVMAFLARPDKLSKARKYWNWYHYSVGRTLMVFAIANVFYGIHLGNEGSGWNAGYGIVLGILFVIALILEIRVWMSK >Manes.07G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:856991:866730:1 gene:Manes.07G007000.v8.1 transcript:Manes.07G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHAACPITCRRICFCSLGFPRDLHSEKSKADFLLQVSRIEEFLKDPLGIRDSNELTVQVRVPKVALVPATPASTFGVAAAGDGGGGGGGGGGGSDLGEEVSAQNKRVALQKKAAAAMVAAEDYARRFEAGDMAVASKDTIGDEQGMSNSNVMCRMCFLGETEGSERARRMLSCKSCGKKYHRSCLKAWAQHRDLFHWSSWTCPSCRICEICRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDHEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISLYSDDEENGPVMVKNDFGRSLKLSLKGLVDKSPKKSKEHGNKSSSKKTAKKKGPHMSLLSKSEPQHDFQPFGHILDENNDDDSDSHKKGGNICSSPVAGIREHTEGICSVNQPAVLKHKFVDEVMVSDGEKTCRIVKIKSSKSHDLNSGDDAENQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLATSNGSEDTGQHGMSDRLVGDRHEGDTVDHSGQIRSLKFPRREGNFIKFGKVKSETSNLNPRFDRGSGADGDEAIPLDHTSVSSGKRSIDSSRVAIEPAGEVPALRSEKTSSGKQPEIKPETYTESNDDSGDTPILQSLPKDSKFSLKLKIKKHNLLNQYSRKPPPEEEKSSIRGQRSKRKRPSSLLEKTLYNEYEDVTQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPLDNSWHKGAVSNMIEGTSTLSVTLDDGRVKSLELGKQAVRFVPQKQKRSTT >Manes.07G007000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:857552:866730:1 gene:Manes.07G007000.v8.1 transcript:Manes.07G007000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEDYARRFEAGDMAVASKDTIGDEQGMSNSNVMCRMCFLGETEGSERARRMLSCKSCGKKYHRSCLKAWAQHRDLFHWSSWTCPSCRICEICRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDHEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISLYSDDEENGPVMVKNDFGRSLKLSLKGLVDKSPKKSKEHGNKSSSKKTAKKKGPHMSLLSKSEPQHDFQPFGHILDENNDDDSDSHKKGGNICSSPVAGIREHTEGICSVNQPAVLKHKFVDEVMVSDGEKTCRIVKIKSSKSHDLNSGDDAENQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLATSNGSEDTGQHGMSDRLVGDRHEGDTVDHSGQIRSLKFPRREGNFIKFGKVKSETSNLNPRFDRGSGADGDEAIPLDHTSVSSGKRSIDSSRVAIEPAGEVPALRSEKTSSGKQPEIKPETYTESNDDSGDTPILQSLPKDSKFSLKLKIKKHNLLNQYSRKPPPEEEKSSIRGQRSKRKRPSSLLEKTLYNEYEDVTQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPLDNSWHKGAVSNMIEGTSTLSVTLDDGRVKSLELGKQAVRFVPQKQKRSTT >Manes.01G090100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29165784:29172254:1 gene:Manes.01G090100.v8.1 transcript:Manes.01G090100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPIASLFRVYASKSKVSRARFLLSNQNFHSFRPCNNWVLANHQFVPPFDVPDHRFSSSAVLIHSQLPANNSNFALFINGRLFSSSFQGRDDENGSSAELEENNNVYFVETTNVEGVNKNTVEDGVDDVNEDPFSNPITSVGEHESQDRGSNEIVGKNNVQFCDPVEIYNELRKAEKNDRLKRSEWEILQEIFSYFANSGWAANQALAIYIGMSFFPTAARKFRNFFFKKCSSQLSMYLVSLGPCDVAVRFLFPIFVEYCIEEYPDEIKRFRSMIESADLTKPHTWFPFARAMKRRIIYHCGPTNSGKTYNALQRFMEAKKGVYCSPLRLLAMEVFDKVNALGVYCSLHTGQEKKNVPFSNHVACTVEMVSSDELYDVAVIDEIQMMADPFRGYAWTRALLGLKADEIHLCGDPSVLNIVRKICSDTGDELIEHHYGRFKPLVVEAKTLLGDLRNVRSGDCVVAFSRREIFEVKLAIEKHTKHRCSVIYGALPPETRRQQANLFNDQDNEFDVLVASDAVGMGLNLNIRRVVFNSLSKYNGDKIVPVPASQVKQIAGRAGRRGSRYPDGLTTTLHSDDLSYLIECLKQPFEEVKKVGLFPFFEQVELFAGQLADVTFPQLLEKFGENCRLDGSYFLCRHDHIKKVAYMLEKVQGLSLEDRFNFCFAPVNIRDPKAMYHLLKFASSYSQKVPVGIAMGMPKGSAQNDSELLDLESRHQVLSVYLWLSNQFKGETFPYVKKAEAMASEIANLLGQSLIQARWKPGSRQAGKPRPQQQKGGCEKPSSLIRRYIERRKENDSPQECSEKVAV >Manes.01G090100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29165784:29172254:1 gene:Manes.01G090100.v8.1 transcript:Manes.01G090100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPIASLFRVYASKSKVSRARFLLSNQNFHSFRPCNNWVLANHQFVPPFDVPDHRFSSSAVLIHSQLPANNSNFALFINGRLFSSSFQGRDDENGSSAELEENNNVYFVETTNVEGVNKNTVEDGVDDVNEDPFSNPITSVGEHESQDRGSNEIVGKNNVQFCDPVEIYNELRKAEKNDRLKRSEWEILQEIFSYFANSGWAANQALAIYIGMSFFPTAARKFRNFFFKKCSSQLSMYLVSLGPCDVAVRFLFPIFVEYCIEEYPDEIKRFRSMIESADLTKPHTWFPFARAMKRRIIYHCGPTNSGKTYNALQRFMEAKKGVYCSPLRLLAMEVFDKVNALGVYCSLHTGQEKKNVPFSNHVACTVEMVSSDELYDVAVIDEIQMMADPFRGYAWTRALLGLKADEIHLCGDPSVLNIVRKICSDTGDELIEHHYGRFKPLVVEAKTLLGDLRNVRSGDCVVAFSRREIFEVKLAIEKHTKHRCSVIYGALPPETRRQQANLFNDQDNEFDVLVASDAVGMGLNLNIRRVVFNSLSKYNGDKIVPVPASQVKQIAGRAGRRGSRYPDGLTTTLHSDDLSYLIECLKQPFEEVKKVGLFPFFEQVELFAGQLADVTFPQLLEKFGENCRLDGSYFLCRHDHIKKVAYMLEKVQGLSLEDRFNFCFAPVNIRDPKAMYHLLKFASSYSQKVPVGIAMGMPKGSAQNDSELLDLESRHQVLSVYLWLSNQFKGETFPYVKKAEAMASEIANLLGQSLIQARWKPGSRQAGKPRPQQQKGGCEKPSSLIRRYIENQEIVVWLIVDTGWTLYF >Manes.01G090100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29165784:29172254:1 gene:Manes.01G090100.v8.1 transcript:Manes.01G090100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGPIASLFRVYASKSKVSRARFLLSNQNFHSFRPCNNWVLANHQFVPPFDVPDHRFSSSAVLIHSQLPANNSNFALFINGRLFSSSFQGRDDENGSSAELEENNNVYFVETTNVEGVNKNTVEDGVDDVNEDPFSNPITSVGEHESQDRGSNEIVGKNNVQFCDPVEIYNELRKAEKNDRLKRSEWEILQEIFSYFANSGWAANQALAIYIGMSFFPTAARKFRNFFFKKCSSQLSMYLVSLGPCDVAVRFLFPIFVEYCIEEYPDEIKRFRSMIESADLTKPHTWFPFARAMKRRIIYHCGPTNSGKTYNALQRFMEAKKGVYCSPLRLLAMEVFDKVNALGVYCSLHTGQEKKNVPFSNHVACTVEMVSSDELYDVAVIDEIQMMADPFRGYAWTRALLGLKADEIHLCGDPSVLNIVRKICSDTGDELIEHHYGRFKPLVVEAKTLLGDLRNVRSGDCVVAFSRREIFEVKLAIEKHTKHRCSVIYGALPPETRRQQANLFNDQDNEFDVLVASDAVGMGLNLNIRRVVFNSLSKYNGDKIVPVPASQVKQIAGRAGRRGSRYPDGLTTTLHSDDLSYLIECLKQPFEEVKKVGLFPFFEQVELFAGQLADVTFPQLLEKFGENCRLDGSYFLCRHDHIKKVAYMLEKVQGLSLEDRFNFCFAPVNIRDPKAMYHLLKFASSYSQKVPVGIAMGMPKGSAQNDSELLDLESRHQVLSVYLWLSNQFKGETFPYVKKAEAMASEIANLLGQSLIQARWKPGSRQAGKPRPQQQKGGCEKPSSLIRRYIERRKENDSPQECSEKVAV >Manes.10G104800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25248128:25249510:1 gene:Manes.10G104800.v8.1 transcript:Manes.10G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMAVSSADPFDLTDFVINKGNGVKGLSDLGIKSLPSQYIQPQEALINIIPQQSIPVIDMSNWETDPKVAESVCEAAQQFGFFQLVNHGVPLEVLDGVKDATHRFFGLPAEEKRKYSKELSSTNSVRFGTSFSPDAEKALEWKDYLSLFYVSEEEAFALWPNACRDEVLEYMKRSQILCRKLMSALMENLNVKEIDETKESLLMGSKRINLNYYPRCPNPNLTVGVGRHSDVSSLTFLLQDEIGGLYVRVNEGKGEEDGWVHVPPIEGSLVINVGDALQILSNGRYRSVEHCVIASGSKNRISIPIFVNPKPSDVIGPLPELIAAGEKPKYKDILYSDYVKHFFRKAHDGKKTVAFAEISS >Manes.12G104100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28139107:28144027:-1 gene:Manes.12G104100.v8.1 transcript:Manes.12G104100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMYNACSSPPTGGGDRRSSDDISVFLHQILLHSTSASSTGSSFMTNTGPQLQSFAAPLSIPRESHPHRLCESTLVKDGISGLDSGEGVNVGFFQGNVKGNGANASSLSIGGASENEADDYDCESEEALEALAEEAQAKPAPPRGSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGISLHPMCLPGMLQPVQLSQYSRGFGEENVSQHMNIAGSLPLNQENPEQIIFDLPNQCAISNQLSVPNIINSETSFGMESSIRAHFGPFPLLRSSEGRYFATPAT >Manes.12G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28139107:28144027:-1 gene:Manes.12G104100.v8.1 transcript:Manes.12G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMYNACSSPPTGGGDRRSSDDISVFLHQILLHSTSASSTGSSFMTNTGPQLQSFAAPLSIPRESHPHRLCESTLVKDGISGLDSGEGVNVGFFQGNVKGNGANASSLSIGGASENEADDYDCESEEALEALAEEAQAKPAPPRGSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGISLHPMCLPGMLQPVQLSQYSRGFGEENVSQHMNIAGSLPLNQENPEQIIFDLPNQCAISNQLSVPNIINSETSFGMESSIRAHFGPFPLLRSSEEICREDILPHQQLNADHLERIPSEFEMEARAAASLPFVTQSSSLKNSSSLSASMMGRDQNESLILKNMEYTPIISPYLQRIQTERSASSDEIKKERQDIRTK >Manes.13G062900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7325298:7325663:1 gene:Manes.13G062900.v8.1 transcript:Manes.13G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNGDATVASSSIALLQERFRELQRIRERRQEKELLKLFSEPERSAPAGMNFDPSKMTFHQPEMIRAPPLQDSHLSLGLNSLSSNNHADIIKTPTSLWPSTGSSSRNFENSDVDTSLHL >Manes.17G025912.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20200736:20201383:-1 gene:Manes.17G025912.v8.1 transcript:Manes.17G025912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGLFLVFVLALFVFPTDARKLSTKGEIGQKKTSFFFFPGYGGAGSTFNGGGGGGGGFGGGGGGDDGGSGFGGGFGAGYGYGVGGHGGAGGGGGGGGGGGGGGGGKKSFGGLGGGSGFGGGFGSGIGSGGLGGGGGGGGGGGGGGGGGGKNLFGGLGGGSGFGGGFGSGSGSGHRGGGGGGGGGGGGGGGGGGKGKGKGAGGGYGGGFGGGKP >Manes.06G070300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20628806:20641178:1 gene:Manes.06G070300.v8.1 transcript:Manes.06G070300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSGHPFATLDDAGMRKKLDALLGRTFKVFKFITLANLATSRVAVFKNQRQIRCNQARSEVLQLLQHGEHERALLRVEHVIKEQHTLDVYVMVEGYCNLLVERVHLIDKERVCPDEMKEAVSSLIYASNRCGDFPELLEIRTIFTAWYGKEFAARAIELRNNCGVNPRMIQKLSTRQPSLYSRLKVLKEIASENNIILQLEEASLTNEEALKQNHYEPESSTSSGGPKRKYKDAVDAAQDAFKLSANAAAAAKAALELSRSEPHDTHNNNSSKNRGKSSMR >Manes.05G072900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6008598:6015010:1 gene:Manes.05G072900.v8.1 transcript:Manes.05G072900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALRNPNSKRLFQLSSPIYWCCRGSASAHFAVSSLLAGNDTTSVSAGPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKNAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLMQGAPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDVQRGQVIAKPGTVKTYRKFEAEIYVLTKDEGGRHTAFFSNYRPQFYMRTADITGKVELPENVKMVMPGDNVTATFELILPVPLEAGQRFALREGGRTVGAGVVSKVLS >Manes.18G099200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9126505:9141030:1 gene:Manes.18G099200.v8.1 transcript:Manes.18G099200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISKALSNKSRSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSKENIKHLKEVVLLSEGVQNLISRDMEELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFGKLGSELTPEKQLKEEADMMMQGLMTFVQYTAELYHEMHALDRFEQDYRRKLQEDDSTNGPQRGDSPAILRAELKSQRKHVKSLKKKSLWSKILEEVMAKLVDIVHFLHLEIHEAFGSADGDGPVKGSLSSQRKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDALYQGLPPSIKSALRSKLQSFHMKEELTVAQIKAEMEKTLQWLIPVAANTTKAHHGFGWVGEWANTGSEVNRKPTGQTDLLRIETLHHADKEKTEAYILELVVWLHDLVSQAKASNGGIRSPVKSPIRSPNQKTIQLSTHKPSSPSPTLTVEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPMTEGKKDHFRFPLRRPSSVPVIDFDIDRIKALDVIDRVDTIRSL >Manes.18G099200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9126505:9141030:1 gene:Manes.18G099200.v8.1 transcript:Manes.18G099200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRSSTVDNAPGGGFPHMNGHFNGSGFVYQSRQLKINSNINPSPVGENVENKQVREPFSFPEVNVVSPYGMDGNDIDDGIPRLSRALSNKSRSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSKENIKHLKEVVLLSEGVQNLISRDMEELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFGKLGSELTPEKQLKEEADMMMQGLMTFVQYTAELYHEMHALDRFEQDYRRKLQEDDSTNGPQRGDSPAILRAELKSQRKHVKSLKKKSLWSKILEEVMAKLVDIVHFLHLEIHEAFGSADGDGPVKGSLSSQRKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDALYQGLPPSIKSALRSKLQSFHMKEELTVAQIKAEMEKTLQWLIPVAANTTKAHHGFGWVGEWANTGSEVNRKPTGQTDLLRIETLHHADKEKTEAYILELVVWLHDLVSQAKASNGGIRSPVKSPIRSPNQKTIQLSTHKPSSPSPTLTVEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPMTEGKKDHFRFPLRRPSSVPVIDFDIDRIKALDVIDRVDTIRSL >Manes.18G099200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9126505:9141030:1 gene:Manes.18G099200.v8.1 transcript:Manes.18G099200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRSSTVDNAPGGGFPHMNGHFNGSGFVYQSRQLKINSNINPSPVGENVENKQVREPFSFPEVNVVSPYGMDGNDIDDGIPRLSRALSNKSRSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSKENIKHLKEVVLLSEGVQNLISRDMEELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFGKLGSELTPEKQLKEEADMMMQGLMTFVQYTAELYHEMHALDRFEQDYRRKLQEDDSTNGPQRGDSPAILRAELKSQRKHVKSLKKKSLWSKILEEVMAKLVDIVHFLHLEIHEAFGSADGDGPVKGSLSSQRKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDALYQGLPPSIKSALRSKLQSFHMKEELTVAQIKAEMEKTLQWLIPVAANTTKAHHGFGWVGEWANTGSEVNRKPTGQTDLLRIETLHHADKEKTEAYILELVVWLHDLVSQAKASNGGIRSPVKSPIRSPNQKTIQLSTHKPSSPSPTLTVEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPMTEGKKDHFRFPLRRPSSVPVIDFDIDRIKALDVIDRVDTIRSL >Manes.01G239000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40074868:40086099:-1 gene:Manes.01G239000.v8.1 transcript:Manes.01G239000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYSSGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIRQALDIDIPPPRPKRKPSNPYPRKTGVCRTPLQVALKDRELSDSVSLPHYKQALDLEKEPLPEKPDEDEKQTNSKENQEDNCSEVFTLLPEIHCPSVCSANKNSVPTTEALRNSCSFREFVPTLKEVVNQDGNNESYVTIELQGNQKLDKSDVKQTVQDNGTNRAAKLESCPFHEKLVQGKNSNEFNNALPIDEMEAMQNYPRHVPVHVLDGSLGTCVQTPPSDVSFQESIRQPAEVHGHPNLYSNQAPSTTTEHQSNGPRSSTHQLLQTYPPPFTPTHHNQDDFRSFLHISSTFSSLIASTLLQNPAAHAAASFAATFWPYPNVETSADSPPCAQGGFPSRQMHSAPSMAAIAAATVAAATAWWAAHGVLPLCAPLHSAFTCSPPATAVPSVDADQVPAAKTECKETTLKNPPLEDQQLDLEHSEALQAQNSASKESGCTKQNSVSKATDREMTVTAPEIDELGKLKNRKQVDRSSCGSNTSSSSEVETDALEKLEKDKEESKEADTNHLVTEFSNRRSRSSSNLSDLWKEVSEEGRMAFQALFSREVLPQSFSPPHDLKNEAHQKVNVEDEQRTDGKDGAALLLNLNSKTWGCCNSNHESDISLLPRCEYSGEDGLLTIGLGHGKLKARRTGFKPYKRCSVEAKENRVVTASSQGGKGHEKPLHYFIQLSKGHQSLFITTYI >Manes.12G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35362683:35370890:-1 gene:Manes.12G146600.v8.1 transcript:Manes.12G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGRLIAGSHNRNEFVLINADENGRIKSVQELSGQVCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVDGDEEEDDIDDLEHEFDYGNFDGLGPEQVAEAMLSSRLNTGHASHSNVSGIPTHSELDSSPLSSKIPLLTYGEEDAEISSDRHALIVPPYMGHGNRVHPMPYSDPSIPLQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQSDKLQVVKHGGGNDGGNFDGDELDDPDLPMMDEGRQPLSRKLPIPSSKISPYRLIIILRLVIVGLFFHYRILHPVNDAYGLWLTSVICEIWFAASWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPSFVRERRAMKREYEEFKVRINGLVATAQKVPEDGWTMQDGTAWPGNNVRDHPGMIQVFLGHSGVRDVEGNELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGYDAPVKKKPPGKTCNCWPKWCCVCCGSRKNKKSKPKKEKKKKSKNREASKQIHALENIEEGIEDPNIEKSSETSQVKLEKKFGQSPVFVASTILENGGVPHDASPASLLREAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKWLERFSYINSVVYPLTSIPLLVYCTLPAICLLTGKFIVPEISNYAGIIFIALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGDFSELYLFKWTSLLIPPTTLLIINIVGVVVGISDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLLWVRINPFVSRDGPVLELCGLNCD >Manes.04G020551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2505082:2505792:1 gene:Manes.04G020551.v8.1 transcript:Manes.04G020551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIHTSSMRLDTSGIQHHSHHNHNSHTINPSPLSSILLGLRLHCTEHATKIIKHKLRVLLYSSAKAQGVGETNAIMFMLLIALVLQGSRHLYNSMRIPLEEEVCEYACYEISKRKRK >Manes.06G038501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:11672514:11675155:1 gene:Manes.06G038501.v8.1 transcript:Manes.06G038501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRSRGRGVRTARLADIGRPPRDPTVGPPPLQGVADHELSESREGHGESYSHGVESGAYPTTPSPSSAPAVAPPVAPAAPPFVPPVALAHPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDRARRLGAYDFEGSSDADIADKWLKKVLKVFELMKLTDLEQVENVHGLLQGKADAWFDGIRRRHGVRLTWDQFINEFRQE >Manes.08G044800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4439846:4442766:-1 gene:Manes.08G044800.v8.1 transcript:Manes.08G044800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPHPKKLESDVQKRVPYSKPPFTLGQIKKAIPPHCFERSVIRSFSFVIQDMTLAFIFFYISTNYFHLLPQPLSYVVWPIYWTLQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLERDEVFVPKKKSNMRWFSKYLNNPLGRLFTLIFTLTLGWPLYLAFNISGRPYDRFACHYNPYGPIYTDRERTEIYISDAGILAVTYGLYRLAAAKGLVWVVCVYGVPLLVVNAFLVMITYLQHTHPSLPHYDSSEWDWLRGALSTVDRDYGILNTVFHNITDTHVAHHLFSTMPHYNAMEATNAIKPILGEYYQFDGTPFYEAMWREAKECIYVEADDRDQSKGVYWYKNKF >Manes.08G044800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4439675:4442766:-1 gene:Manes.08G044800.v8.1 transcript:Manes.08G044800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPHPKKLESDVQKRVPYSKPPFTLGQIKKAIPPHCFERSVIRSFSFVIQDMTLAFIFFYISTNYFHLLPQPLSYVVWPIYWTLQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLERDEVFVPKKKSNMRWFSKYLNNPLGRLFTLIFTLTLGWPLYLAFNISGRPYDRFACHYNPYGPIYTDRERTEIYISDAGILAVTYGLYRLAAAKGLVWVVCVYGVPLLVVNAFLVMITYLQHTHPSLPHYDSSEWDWLRGALSTVDRDYGILNTVFHNITDTHVAHHLFSTMPHYNAMEATNAIKPILGEYYQFDGTPFYEAMWREAKECIYVEADDRDQSKVASNEKGKIVHKTAI >Manes.08G044800.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4439845:4443960:-1 gene:Manes.08G044800.v8.1 transcript:Manes.08G044800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPHPKKLESDVQKRVPYSKPPFTLGQIKKAIPPHCFERSVIRSFSFVIQDMTLAFIFFYISTNYFHLLPQPLSYVVWPIYWTLQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLERDEVFVPKKKSNMRWFSKYLNNPLGRLFTLIFTLTLGWPLYLAFNISGRPYDRFACHYNPYGPIYTDRERTEIYISDAGILAVTYGLYRLAAAKGLVWVVCVYGVPLLVVNAFLVMITYLQHTHPSLPHYDSSEWDWLRGALSTVDRDYGILNTVFHNITDTHVAHHLFSTMPHYNAMEATNAIKPILGEYYQFDGTPFYEAMWREAKECIYVEADDRDQSKGVYWYKNKF >Manes.08G044800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4439875:4444067:-1 gene:Manes.08G044800.v8.1 transcript:Manes.08G044800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPHPKKLESDVQKRVPYSKPPFTLGQIKKAIPPHCFERSVIRSFSFVIQDMTLAFIFFYISTNYFHLLPQPLSYVVWPIYWTLQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLERDEVFVPKKKSNMRWFSKYLNNPLGRLFTLIFTLTLGWPLYLAFNISGRPYDRFACHYNPYGPIYTDRERTEIYISDAGILAVTYGLYRLAAAKGLVWVVCVYGVPLLVVNAFLVMITYLQHTHPSLPHYDSSEWDWLRGALSTVDRDYGILNTVFHNITDTHVAHHLFSTMPHYNAMEATNAIKPILGEYYQFDGTPFYEAMWREAKECIYVEADDRDQSKGVYWYKNKF >Manes.08G044800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4439845:4446011:-1 gene:Manes.08G044800.v8.1 transcript:Manes.08G044800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPHPKKLESDVQKRVPYSKPPFTLGQIKKAIPPHCFERSVIRSFSFVIQDMTLAFIFFYISTNYFHLLPQPLSYVVWPIYWTLQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLERDEVFVPKKKSNMRWFSKYLNNPLGRLFTLIFTLTLGWPLYLAFNISGRPYDRFACHYNPYGPIYTDRERTEIYISDAGILAVTYGLYRLAAAKGLVWVVCVYGVPLLVVNAFLVMITYLQHTHPSLPHYDSSEWDWLRGALSTVDRDYGILNTVFHNITDTHVAHHLFSTMPHYNAMEATNAIKPILGEYYQFDGTPFYEAMWREAKECIYVEADDRDQSKGVYWYKNKF >Manes.08G044800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4439675:4442766:-1 gene:Manes.08G044800.v8.1 transcript:Manes.08G044800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPHPKKLESDVQKRVPYSKPPFTLGQIKKAIPPHCFERSVIRSFSFVIQDMTLAFIFFYISTNYFHLLPQPLSYVVWPIYWTLQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLERDEVFVPKKKSNMRWFSKYLNNPLGRLFTLIFTLTLGWPLYLAFNISGRPYDRFACHYNPYGPIYTDRERTEIYISDAGILAVTYGLYRLAAAKGLVWVVCVYGVPLLVVNAFLVMITYLQHTHPSLPHYDSSEWDWLRGALSTVDRDYGILNTVFHNITDTHVAHHLFSTMPHYNAMEATNAIKPILGEYYQFDGTPFYEAMWREAKECIYVEADDRDQSKVASNEKGKIVHKTAI >Manes.18G025086.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5663947:5665733:-1 gene:Manes.18G025086.v8.1 transcript:Manes.18G025086.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRGLGTPETVPKKFMMPPLAAYDGTGNPREHVLNYKTFMELQTLSDALMCKVFPTTLLGPARAWFNNLEAGSINSFGDLATRFISRFIAGVPADRKTSYLETIRQRREESLREYVARFNTEALQIPELDEGRAVEAMQKGTTSAEFFGSLSRKPPTSLAELMKRAEKYIRQDDALVTSRFARGLTDKGKAPEEKRLEKHERKHGRRPEPYKQPWERRDQRPLPSQDPVQRSRPSWVPEKPTPLNASRAEVLIAVQDKEFLQWPRPLRAEADQRNPDKYCQYHRTHGHDTNNCFQLIAEIERLIKRGHLKNFVKKPEGQRPPPTPTVHTPRRAGANPANDGSSGTIHMIVGGTGGRMSRRGKKRSREEGNSNAEVMQVVEHSSTTISFSSEDARDIQMPHDDALVIEAIIHNYKV >Manes.13G154700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36840323:36843124:1 gene:Manes.13G154700.v8.1 transcript:Manes.13G154700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTPPKPDLPWKTRLVVSLISNISDVTRRSDGTINRRLFNFFDLKSPPSPTKPICSVISSDTTVDSTRNLWFRLYVPTGSTAETAPGCYAKGLNGDSLPNYNTSNNTLPVMIFFHGGGFSFLSAASRSYDMVCRRFARRIPAIVVSVDYRLTPEHCFPCQYDDGFDVLKFLDEKNATALPANADLSKCFLAGDSAGANVAHHVAVKACRTRFQVAKVVGLISIQPFFGGEERTESEIRLTGSLLVSVPRTDWCWKVFLPKGENRDHYAVNVSGPNAEDISGLDYPRTLVFVGGWDPLQDWQRRYYEWLKRSGKEATLVEYPNMIHAFYIFPELPESSQLFSQVRDFVVSHLCGCS >Manes.13G154700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36825520:36843124:1 gene:Manes.13G154700.v8.1 transcript:Manes.13G154700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTTPPKPDLPWKTRLVVSLISNISDVTRRSDGTINRRLFNFFDLKSPPSPTKPICSVISSDTTVDSTRNLWFRLYVPTGSTAETAPGCYAKGLNGDSLPNYNTSNNTLPVMIFFHGGGFSFLSAASRSYDMVCRRFARRIPAIVVSVDYRLTPEHCFPCQYDDGFDVLKFLDEKNATALPANADLSKCFLAGDSAGANVAHHVAVKACRTRFQVAKVVGLISIQPFFGGEERTESEIRLTGSLLVSVPRTDWCWKVFLPKGENRDHYAVNVSGPNAEDISGLDYPRTLVFVGGWDPLQDWQRRYYEWLKRSGKEATLVEYPNMIHAFYIFPELPESSQLFSQVRDFVVSHLCGCS >Manes.11G073600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10648955:10653651:1 gene:Manes.11G073600.v8.1 transcript:Manes.11G073600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIVADDGADHDNSCFDDEWRPALDNELIDEGRESYEIREEDEDGEEEDSFSADFYRCGTDWSCLLPAHEEGKKKLKQANLLSMWGLKEPKPQVLSPPPPKRLKTLSVINPRESVSPNFGKSKNNSTVTPPSSNRPRICPFYKRIPGTPFAVDAFRYGSIQGCSAYFLTHFHFDHYGGLTKGWSHGLIYCTRLTARLLTLCLSVNSSYVHPLDLNTEYVIGGVSVTLLEALHCPGAALIHFRLPTGLCYLHTGDFRASKCMQSYALLLKQKVNVLYLDTTYCNPKYNFPSKEDVLDYVVRVTKDFLKRQPKTLVVVGAYSIGKECVYLAISKALGTLKDYLKNFVNQYAAVLAFRPTGWTYSESVGKQLDQIRPISKGNVTIYGVPYSEHSSFSELKEFVKFLKPDKIIPTVNVGNPATRDKMQSYFQEWLKG >Manes.11G073600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10648955:10653651:1 gene:Manes.11G073600.v8.1 transcript:Manes.11G073600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIVADDGADHDNSCFDDEWRPALDNELIDEGRESYEIREEDEDGEEEDSFSADFYRCGTDWSCLLPAHEEGKKKLKQANLLSMWGLKEPKPQVLSPPPPKRLKTLSVINPRESVSPNFGKSKNNSTVTPPSSNRPRICPFYKRIPGTPFAVDAFRYGSIQGCSAYFLTHFHFDHYGGLTKGWSHGLIYCTRLTARLLTLCLSVNSSYVHPLDLNTEYVIGGVSVTLLEALHCPGAALIHFRLPTGLCYLHTGDFRASKCMQSYALLLKQKVNVLYLDTTYCNPKYNFPSKEDVLDYVVRVTKDFLKRQPKTLVVVGAYSIGKECVYLAISKALGVKIYASASRRRVLHSFGWSDFSSSLCTQAKDTLLHVLPISSLRFEAGLTLRV >Manes.11G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10648955:10653651:1 gene:Manes.11G073600.v8.1 transcript:Manes.11G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIVADDGADHDNSCFDDEWRPALDNELIDEGRESYEIREEDEDGEEEDSFSADFYRCGTDWSCLLPAHEEGKKKLKQANLLSMWGLKEPKPQVLSPPPPKRLKTLSVINPRESVSPNFGKSKNNSTVTPPSSNRPRICPFYKRIPGTPFAVDAFRYGSIQGCSAYFLTHFHFDHYGGLTKGWSHGLIYCTRLTARLLTLCLSVNSSYVHPLDLNTEYVIGGVSVTLLEALHCPGAALIHFRLPTGLCYLHTGDFRASKCMQSYALLLKQKVNVLYLDTTYCNPKYNFPSKEDVLDYVVRVTKDFLKRQPKTLVVVGAYSIGKECVYLAISKALGVKIYASASRRRVLHSFGWSDFSSSLCTQAKDTLLHVLPISSLRFETLKDYLKNFVNQYAAVLAFRPTGWTYSESVGKQLDQIRPISKGNVTIYGVPYSEHSSFSELKEFVKFLKPDKIIPTVNVGNPATRDKMQSYFQEWLKG >Manes.11G073600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10648955:10653651:1 gene:Manes.11G073600.v8.1 transcript:Manes.11G073600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIVADDGADHDNSCFDDEWRPALDNELIDEGRESYEIREEDEDGEEEDSFSADFYRCGTDWSCLLPAHEEGKKKLKQANLLSMWGLKEPKPQVLSPPPPKRLKTLSVINPRESVSPNFGKSKNNSTVTPPSSNRPRICPFYKRIPGTPFAVDAFRYGSIQGCSAYFLTHFHFDHYGGLTKGWSHGLIYCTRLTARLLTLCLSVNSSYVHPLDLNTEYVIGGVSVTLLEALHCPGAALIHFRLPTGLCYLHTGDFRASKCMQSYALLLKQKVNVLYLDTTYCNPKYNFPSKEDVLDYVVRVTKDFLKRQPKTLVVVGAYSIGKECVYLAISKALGVKIYASASRRRVLHSFGWSDFSSSLCTQAKDTLLHVLPISSLRFETLKDYLKNFVNQYAAVLAFRPTGWTYSESVGKQLDQIRPISKGNVTIYGVPYSEHSSFSELKEFVKVLLRNLIINIFGAHLSYHHPYIKHVVCLHCF >Manes.02G112200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8644751:8646031:-1 gene:Manes.02G112200.v8.1 transcript:Manes.02G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMNSVHIPVRSISLPSRLHPNSLKIETELNKLKSRQILSSDSTTNSLGAESIQLGVTKLAELFISIEELTHSPQTQQAFHPQNLNEVEEVLDGSVGLIDICSTARDMLMAMQEHIRDLQSALRRKGKDSSSIESDVQTYISFRKRAKKDITKCLATLKKLENSALSCPTLNEEHHLSYVIKLIKETHAVAVTIFRSVMLFLAPPVTKTSIFGWSLISKLTRSGLLASDRGEKIFNEVGRVDITLCSIHGQIKKNNDAVFVVQQVKERLKTLGVSIQDLECKLNCLFRCIIQNRVSLLNLATA >Manes.03G077000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13607946:13615609:1 gene:Manes.03G077000.v8.1 transcript:Manes.03G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIPEVSIGSSDKKIPKVGFGTAEFPFAESAEVITESIVYAIKLGYRHFDTAAVYNSEKFLGDAIKEALRLGFINSREQLFITSKLWCRDAHPDCVISALKKTLANLKLEYLDLYLIHLPISLIADRQNFPFEKEEIVGMDIRVVWEAMEECQRLGLTKSIGVSNFSCKKLQTLLNTATIPPAVNQVEMSPLWQQKKLVDFCKEKGIHITAYSPLGAKGTPWGTNQVLENQVLKEIAEAKGKTVAQICLRWVYEQGGSLLIKSFNKERIKQNLHIFEWELSPEELEQISQLPQHKAFNASDFIHENGPFKSLEEFWDGEI >Manes.06G053800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16226229:16228863:1 gene:Manes.06G053800.v8.1 transcript:Manes.06G053800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQNSELGNARLVFEKSSMRDAVSFTALITGYASRGFLDKARELFDEIPIRDVVSWNAMIAGYAQSGRSEEALAFFQEMLRTNVTPNMSTMISVLSACAQSGSLEVGNLVRCWVEEHGLESNLRLVNALVDMYAKCGDLEKASNLFESIQDKNVVSWNVMIGGYTHMSFYKEALGLFRRMLKSHVEPNDVTLLSILPACANLGALGLGKWIHAYIDKKRETLANGALWTSLIDMYAKCGNIEAAKQIFDDMNPRNLASWNAMISGLAMHGRADMALNLFSRMTNEGFIPDEITFVGVLSACNHAGLLDLGRQYFSSMTQDYKVSPKLQHYGCMINLLVRAGLFEEAETLMKSMEMNPDGAIWGSLLGACKVHGRVELAEFVARHLSELEPENPGPYVLLSNIYAGVGRWEDVAKIRTKINDKGMKKVPGCTSIEVDNIVHEFVVGDKVHPQSREIYKMLDEVDILLKKAGFVPDTSEVLYDMDEEWKEGALSHHSEKLAIAFGLISTKPRTTIRIIKNLRVCGNCHSATKLISKIFNREIIARDRNRFHHFKDGSCSCKDYW >Manes.07G093700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29113212:29114432:1 gene:Manes.07G093700.v8.1 transcript:Manes.07G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPAEKKPAMAEKAPAEKKPRAEKKLPKEGSSDKKKKKVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Manes.08G006901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1010887:1011130:-1 gene:Manes.08G006901.v8.1 transcript:Manes.08G006901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIKDLSYWVEVAPAPFISLHKTANSPGLESITEEETEV >Manes.12G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33995299:33997388:-1 gene:Manes.12G132800.v8.1 transcript:Manes.12G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASILTSLPPQWLSILGVFLLPILTLLLFRGKDDNKKKGLKLPPGPRQLPLIGNLHQLGSKPYVDFWKMAKKYGPVMHLQLGRCPTVILSSTETAKELMKDRDVECCSRPLSVGPGQLSYNFLDVAFCPYSDYWREMRKLFIFELLSMRRVQAFSYAREEQMDKMIEILDGAYPNPVNLTEKVFKITDGIIGTIALGRTTYAQQEFRDDFVKVIAAAMDMLNSFHAENFIPVVGRFIDSLTGVLAKRQRTFRDLDHYLEKAIEQHLDPNRPKPETEDIVDVLIGLMKDESASFKITKDHIKALLMNITLGGIDTSSVTITWAFSELLKNPKLMKKAQEEVRRAVGPNKRRVDVKEVEKIKYINCIVKETFRKHPPGPLLVPHFSMKQCKIGGYDILPGTSIYVNVWAMGKDPTIWDNPEEFNPDRFMNSEVDFRGSHFELVPFGAGRRICPGLAMATTAVNYILSNLLYGWDYEMPKGKKFEDFPLIEEGGLTVYNKQDIMVIPKKHKWDLIDFS >Manes.11G023000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2384679:2386441:-1 gene:Manes.11G023000.v8.1 transcript:Manes.11G023000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGHSTSNVENKQDEYILINDAEEPHLGMFDKPLPCFGCGIGWFSLLLGFVCPLVWYFAAILYFGRYYHRDPRERSGLAACAISATVCTIAAVIALLVILL >Manes.01G266600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42102208:42104963:1 gene:Manes.01G266600.v8.1 transcript:Manes.01G266600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCGGWRRFLLYFPLLLIIFHIFSFFEFRPNSVMEAGAKKRNKKSDHLVLGPAAGRALPNRLQCQGFKALNKSHLLTSSSAYNGGDRIAFVTVFTIYNASLYSHADSKSSNLVTVGNVSYTKTERSMAILNVFINFIQVTMPKSHVIILTNPESDLPLQRNRVTLYPIQGEYSRDKLMLQRIRSYITFLNTRLKELAQNPGRITHYIFTDSDIAVVDDLEHIFQKFTKFHVALTFRNNKEQPLNSGFIAVRGTPESILRAKTFLQEVLEVYTSKYMKASRMLGDQLALAWVIKSQPNFDVRRFKKVQAFVEEIGGASVLFLPCAIYNWTPPEGAGQFRGMPLDVKVVHFKGSRKRLMLESWDFFSSASDIYDMLCLILMSGRTKYDF >Manes.03G039301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3455883:3460187:-1 gene:Manes.03G039301.v8.1 transcript:Manes.03G039301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPPQNPPRKHKFVPKAPPRRAPKPEVKSEKVEEVDAVQAMNLMKQFQERSMKTKSKVEKKVHASQIAFGFGAASSGLKSYGVPKRGIASNQNQGSAFTGGDPSSGLGQKEYAEPWDYYSYYPVTLPLRRPYAGNPAILDVEEFGEASGTASYDENSTNHAADLGLMEENIEASMFFLQLPPTVPMIKRSAAVDDHEVKQSSRASVEKTCKLEDLPAGQMGKMLVYRSGAVKLKLGETLYDVSPGMDRVFAQDVVAFNTAEKHCCVVAEINKHATLTPDVDSIINSMADL >Manes.03G039301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3455883:3460187:-1 gene:Manes.03G039301.v8.1 transcript:Manes.03G039301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPPQNPPRKHKFVPKAPPRRAPKPEVKSEKVEEVDAVQAMNLMKQFQERSMKTKSKVEKKVHASQIAFGFGAASSGLKSYGVPKRGIASNQNQGSAFTGGDPSSGLGQKEYAEPWDYYSYYPVTLPLRRPYAGNPAILDVEEFGEASGTASYDENSTNHAADLGLMEENIEASMFFLQLPPTVPMIKRSAAVDDHEVKQSSRASVEKTCKLEDLPAGQMGKMLVYRSGAVKLKLGETLYDVSPGMDRVFAQDVVAFNTAEKHCCVVAEINKHATLTPDVDSIINSMADL >Manes.16G082900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28935709:28940957:1 gene:Manes.16G082900.v8.1 transcript:Manes.16G082900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTFCAAQTRILMEEMSPTVAVPYRVGNSVCENPTSDTHLDITGLKLMADTAGLLSDSVSKVSTIGDKGCNGGCLDYEVSDITVGIPEEDKQGGAPLLDMISEHKNNWVVTDNLINQESEEDDSFSLEGDRIFDSSCSLSVASETSSLCGEDFLGFEAISEIGTPGSVDIGKSIGSVEIIANATDLASNVETEVGRDSVSLEANLEEKTGNVSDAKPYTVLFQLAPGKGVNGTVTRSVFEVDCVPLWGVTSICGRRPEMEDAFATVPNFLEIPIQMLIGDRVLEGMSKCITHQAAHFFGVYDGHGGSQVANYCRDHIHSALAEEIEFINNGPSHGSMKDSCQELWKKTFSNCFLKVDAEVGGKDSAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEHARIEAAGGKVIQWNGHRVCGVLAMSRSIGDKYLKPWIIPEPEVTFIPRAKEDECLILASDGLWDVMSNEEACDLARRRILVWHKKNGAALPCPRGEDIDPAAQAAAEYLAERALQKGSKDNITVIVVDLKAQRKIKSKT >Manes.16G082900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28935721:28940957:1 gene:Manes.16G082900.v8.1 transcript:Manes.16G082900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTFCAAQTRILMEEMSPTVAVPYRVGNSVCENPTSDTHLDITGLKLMADTAGLLSDSVSKVSTIGDKGCNGGCLDYEVSDITVGIPEEDKQGGAPLLDMISEHKNNWVVTDNLINQESEEDDSFSLEGDRIFDSSCSLSVASETSSLCGEDFLGFEAISEIGTPGSVDIGKSIGSVEIIANATDLASNVETEVGRDSVSLEANLEEKTGNVSDAKPYTVLFQLAPGKGVNGTVTRSVFEVDCVPLWGVTSICGRRPEMEDAFATVPNFLEIPIQMLIGDRVLEGMSKCITHQAAHFFGVYDGHGGSQVANYCRDHIHSALAEEIEFINNGPSHGSMKDSCQELWKKTFSNCFLKVDAEVGGKDSAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEHARIEAAGGKVIQWNGHRVCGVLAMSRSIGDKYLKPWIIPEPEVTFIPRAKEDECLILASDGLWDVMSNEEACDLARRRILVWHKKNGAALPCPRGEDIDPAAQAAAEYLAERALQKGSKDNITVIVVDLKAQRKIKSKT >Manes.16G082900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28935716:28940957:1 gene:Manes.16G082900.v8.1 transcript:Manes.16G082900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTFCAAQTRILMEEMSPTVAVPYRVGNSVCENPTSDTHLDITGLKLMADTAGLLSDSVSKVSTIGDKGCNGGCLDYEVSDITVGIPEEDKQGGAPLLDMISEHKNNWVVTDNLINQESEEDDSFSLEGDRIFDSSCSLSVASETSSLCGEDFLGFEAISEIGTPGSVDIGKSIGSVEIIANATDLASNVETEVGRDSVSLEANLEEKTGNVSDAKPYTVLFQLAPGKGVNGTVTRSVFEVDCVPLWGVTSICGRRPEMEDAFATVPNFLEIPIQMLIGDRVLEGMSKCITHQAAHFFGVYDGHGGSQVANYCRDHIHSALAEEIEFINNGPSHGSMKDSCQELWKKTFSNCFLKVDAEVGGKDSAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEHARIEAAGGKVIQWNGHRVCGVLAMSRSIGDKYLKPWIIPEPEVTFIPRAKEDECLILASDGLWDVMSNEEACDLARRRILVWHKKNGAALPCPRGEDIDPAAQAAAEYLAERALQKGSKDNITVIVVDLKAQRKIKSKT >Manes.16G082900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28935716:28940957:1 gene:Manes.16G082900.v8.1 transcript:Manes.16G082900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTFCAAQTRILMEEMSPTVAVPYRVGNSVCENPTSDTHLDITGLKLMADTAGLLSDSVSKVSTIGDKGCNGGCLDYEVSDITVGIPEEDKQGGAPLLDMISEHKNNWVVTDNLINQESEEDDSFSLEGDRIFDSSCSLSVASETSSLCGEDFLGFEAISEIGTPGSVDIGKSIGSVEIIANATDLASNVETEVGRDSVSLEANLEEKTGNVSDAKPYTVLFQLAPGKGVNGTVTRSVFEVDCVPLWGVTSICGRRPEMEDAFATVPNFLEIPIQMLIGDRVLEGMSKCITHQAAHFFGVYDGHGGSQVANYCRDHIHSALAEEIEFINNGPSHGSMKDSCQELWKKTFSNCFLKVDAEVGGKDSAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEHARIEAAGGKVIQWNGHRVCGVLAMSRSIGDKYLKPWIIPEPEVTFIPRAKEDECLILASDGLWDVMSNEEACDLARRRILVWHKKNGAALPCPRGEDIDPAAQAAAEYLAERALQKGSKDNITVIVVDLKAQRKIKSKT >Manes.16G082900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28935716:28940957:1 gene:Manes.16G082900.v8.1 transcript:Manes.16G082900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTFCAAQTRILMEEMSPTVAVPYRVGNSVCENPTSDTHLDITGLKLMADTAGLLSDSVSKVSTIGDKGCNGGCLDYEVSDITVGIPEEDKQGGAPLLDMISEHKNNWVVTDNLINQESEEDDSFSLEGDRIFDSSCSLSVASETSSLCGEDFLGFEAISEIGTPGSVDIGKSIGSVEIIANATDLASNVETEVGRDSVSLEANLEEKTGNVSDAKPYTVLFQLAPGKGVNGTVTRSVFEVDCVPLWGVTSICGRRPEMEDAFATVPNFLEIPIQMLIGDRVLEGMSKCITHQAAHFFGVYDGHGGSQVANYCRDHIHSALAEEIEFINNGPSHGSMKDSCQELWKKTFSNCFLKVDAEVGGKDSAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEHARIEAAGGKVIQWNGHRVCGVLAMSRSIGDKYLKPWIIPEPEVTFIPRAKEDECLILASDGLWDVMSNEEACDLARRRILVWHKKNGAALPCPRGEDIDPAAQAAAEYLAERALQKGSKDNITVIVVDLKAQRKIKSKT >Manes.16G082900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28935708:28940957:1 gene:Manes.16G082900.v8.1 transcript:Manes.16G082900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTFCAAQTRILMEEMSPTVAVPYRVGNSVCENPTSDTHLDITGLKLMADTAGLLSDSVSKVSTIGDKGCNGGCLDYEVSDITVGIPEEDKQGGAPLLDMISEHKNNWVVTDNLINQESEEDDSFSLEGDRIFDSSCSLSVASETSSLCGEDFLGFEAISEIGTPGSVDIGKSIGSVEIIANATDLASNVETEVGRDSVSLEANLEEKTGNVSDAKPYTVLFQLAPGKGVNGTVTRSVFEVDCVPLWGVTSICGRRPEMEDAFATVPNFLEIPIQMLIGDRVLEGMSKCITHQAAHFFGVYDGHGGSQVANYCRDHIHSALAEEIEFINNGPSHGSMKDSCQELWKKTFSNCFLKVDAEVGGKDSAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEHARIEAAGGKVIQWNGHRVCGVLAMSRSIGDKYLKPWIIPEPEVTFIPRAKEDECLILASDGLWDVMSNEEACDLARRRILVWHKKNGAALPCPRGEDIDPAAQAAAEYLAERALQKGSKDNITVIVVDLKAQRKIKSKT >Manes.14G007924.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1860975:1865179:1 gene:Manes.14G007924.v8.1 transcript:Manes.14G007924.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSNGTAIGNGFGSLESEYIRRHHRHDPADHQCSSTLVKHIKAPVHLVWSLVRRFDQPQKYKPFISRCVAQGNLQIGSVREIDVKSGLPATTSTERLEFLDDDEHILCIRIVGGDHRLRNYSSIISLHPETIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVLDQTEPIDCI >Manes.11G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3666637:3668032:-1 gene:Manes.11G038100.v8.1 transcript:Manes.11G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATFASVQPATVKGLGGSSLTGTKLHVKPSRQSLRPKNMRSGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYLSATASGDILPIKKGPQLPPKLGPRGKI >Manes.06G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23888789:23896749:-1 gene:Manes.06G106500.v8.1 transcript:Manes.06G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKLSWLVFLCWVFSLLCFRALCGDSEVSVKFLKAPHAFSHLNTATFAFKVLVGGNENSCTNCSISCKLDDDTASDCGTMKVLYDGLQDGNHTFEVCIDGSQGVGCTTYNWTVDTIPPTAYITASTSFTNALNVSVNISFSEPCSGGGTFGCSSVNTCNLLVYGPGQVIPSSLTILQPNLKYSLLVGLSPADLYGRVILVMDKNFCTDNAGNTFTRVANSSFSVHFDRRSVFVDLRIHVPEKRLQLGHQTRTVLATNDYDKLKVYLYFSEPVRNSSTEILGSLNVSEGSLLPVSGENLGNRRFGFQVVNTSSIAVITVDIRSNFIISRSWTPVSSIAPVTFLYDSQRPAVRLSTFSNSRTKDDSIPVSIKFMKPVFGFNSSFLSISGGHLQSFHEISRTKYVAQIQADNDIVSVIVPQNATADVAGNKNLASNVLQVRHYCIPTISSVISAFATAIFLATSSAAGLLTVSTASLQSVGAFSSSSSLLASDPTRNLFRIACYIQVFALSRWLAVTLPIEYYEFARGLQWSIPYFSLPWESGGIHPIMWGTNSSAAQRSYISDIHDSEISQSVQLDAENVNIAAPVYGLPLTPMEYRSFFESQDINPEAEYIFDPQYSNGWRIFYRSMFWLAVVGGSLILLHALLFFILKFRKKNYEKHRGYGALTFPRFEIFLMILALPCICEASASIIRGGTASGRIVGVLLLGVVGFSVLCLFLFLSIGITLGKLLQYKEVHQEGQNFHWYQEIIRVSLGPGKRGQWTWKNQTNSVYLIKFGALFEDLRGPPKYMLSQISMGNSSKQRDQIIASDDETEDAEAPFIQKLFGVLRIYYTLLETVKRVSLGISVGAYLDNWSSKTPAVILLCITSFQLFFLVLKKPFIKKKVQLVEIISVSCQVVLFATCFALLEKDLTDRDETKVGIFMIVVFSKGFLAQIINEWYALYIQTKQLDPAKKSFSIGLKTASIGFLLFFIPEKMSRNLESRLPGNPQEAGETGGETGSFSERIKRATDKTWPKQLRDLARASFTAERSGTPKDPSTSHTKWSGFWTNKSSGGSSSNSPADFKLKPNRLYKDLEAIFASK >Manes.06G106500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23888789:23896749:-1 gene:Manes.06G106500.v8.1 transcript:Manes.06G106500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKLSWLVFLCWVFSLLCFRALCGDSEVSVKFLKAPHAFSHLNTATFAFKVLVGGNENSCTNCSISCKLDDDTASDCGTMKVLYDGLQDGNHTFEVCIDGSQGVGCTTYNWTVDTIPPTAYITASTSFTNALNVSVNISFSEPCSGGGTFGCSSVNTCNLLVYGPGQVIPSSLTILQPNLKYSLLVGLSPADLYGRVILVMDKNFCTDNAGNTFTRVANSSFSVHFDRRSVFVDLRIHVPEKRLQLGHQTRTVLATNDYDKLKVYLYFSEPVRNSSTEILGSLNVSEGSLLPVSGENLGNRRFGFQVVNTSSIAVITVDIRSNFIISRSWTPVSSIAPVTFLYDSQRPAVRLSTFSNSRTKDDSIPVSIKFMKPVFGFNSSFLSISGGHLQSFHEISRTKYVAQIQADNDIVSVIVPQNATADVAGNKNLASNVLQVRHYCIPTISSVISAFATAIFLATSSAAGLLTVSTASLQSVGAFSSSSSLLASDPTRNLFRIACYIQVFALSRWLAVTLPIEYYEFARGLQWSIPYFSLPWESGGIHPIMWGTNSSAAQRSYISDIHDSEISQSVQLDAENVNIAAPVYGLPLTPMEYRSFFESQDINPEAEYIFDPQYSNGWRIFYRSMFWLAVVGGSLILLHALLFFILKFRKKNYEKHRGYGALTFPRFEIFLMILALPCICEASASIIRASGRIVGVLLLGVVGFSVLCLFLFLSIGITLGKLLQYKEVHQEGQNFHWYQEIIRVSLGPGKRGQWTWKNQTNSVYLIKFGALFEDLRGPPKYMLSQISMGNSSKQRDQIIASDDETEDAEAPFIQKLFGVLRIYYTLLETVKRVSLGISVGAYLDNWSSKTPAVILLCITSFQLFFLVLKKPFIKKKVQLVEIISVSCQVVLFATCFALLEKDLTDRDETKVGIFMIVVFSKGFLAQIINEWYALYIQTKQLDPAKKSFSIGLKTASIGFLLFFIPEKMSRNLESRLPGNPQEAGETGGETGSFSERIKRATDKTWPKQLRDLARASFTAERSGTPKDPSTSHTKWSGFWTNKSSGGSSSNSPADFKLKPNRLYKDLEAIFASK >Manes.17G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24267357:24273678:-1 gene:Manes.17G046100.v8.1 transcript:Manes.17G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGIRSGSYGSLEKHFQHQQNGGAVHLPILTASRTKPAKMLKDKERLFHWICKFAGRKKVGMLFLCIISAAVFVWVLYVGKGEDSQESVHVPNFGFNSTVPFSIPEIEAHVFEKLALPLPPPKYFTGYTLPPEHPCNSFTLPPPPADRKRTGPRPCPVCYLPVEEAIALMPKFPSFSPVVNNLTYIYEDPLSRDGEFGGSEFGGYPTLKQRSDSYNIRESMSVHCGFVRGKKPGRNTGFDMDEIDLAAMEQCYGVVVASAIFGAFDDIQQPSNLSEYSKKTICFFMFVDEETEAYLKKNSGLDSSRKIGIWRIVVVHNLPYKDGRRNGKVPKLLAHRMFPNARFSLWMDGKLELVVDPYQILERQLWRKNASFAISRHYKRFDVFLEAEANKAAGKYDNASIDFQIDFYKKEGLTPYSVAKLPITSDVPEGCVIIREHTPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIQTKTNWTINMFMDCERRNFVVQKYHRDVLEHMAPPPPWALYPPPPPPVLGYEPPIKAAVGTSAEKVASISVRRARRGRKSNSGRHRKVVAGGRDVDSS >Manes.15G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3442029:3444488:-1 gene:Manes.15G045000.v8.1 transcript:Manes.15G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSFHQCSKADWNILNLKTKNGLNMSLKNYCESWRMNVELHNIQNFQVVPQECVSYIGTYVISTQYQVDSERAIEECLVYLSTSCNLKKDGRDVWLFDIDDTLLSTVPYFKKHQFGGEQLNLTSLEEWMRQGKAPVLEYSLKLFNELKSRGVQIILVSSRRGHLRSATIDNLVDVGYHGWTSLRLRGPDDGLDGVQKFKANVRKQLINDGYRIWGIL >Manes.11G027000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2646606:2655633:1 gene:Manes.11G027000.v8.1 transcript:Manes.11G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRSGDPTSYRDRRSDSGFGGASGYAGSGRSSSERRDYGRADSPRKSDLDGLTPFEKNFYVESPSVEAMSEREVEEYRQRREITVEGRDVPKPVKHFRDVGFPDYVLQEITKAGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLYNPYKVIIGSADLKANHAIRQHVDIVTENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELITILEEAGQKVSPELAAMGRGAPPPPSGHGGFRDRGRGYGGGRSWS >Manes.13G135600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34328904:34329605:-1 gene:Manes.13G135600.v8.1 transcript:Manes.13G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFGESTSTPPESSAYSGNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSHECPVCKALIQEEKLVPLYGRGKMQRDPRAKSYPGIDIPNRPAGQRPETAPPPPPTPPHEVNNFPNYGLGSMGGFVPTMTARIGNFTLSTAFGGLSLFPSLFNVHFHGFPDATVYGTTSGFPYGFHAFHGGYAHGFPQPRGRRHHADNVLKNLLLLVGVLVVLALLWW >Manes.13G135600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34328904:34329605:-1 gene:Manes.13G135600.v8.1 transcript:Manes.13G135600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFGESTSTPPESSAYSGNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSHECPVCKALIQEEKLVPLYGRGKMQRDPRAKSYPGIDIPNRPAGQRPETAPPPPPTPPHEVNNFPNYGLGSMGGFVPTMTARIDATVYGTTSGFPYGFHAFHGGYAHGFPQPRGRRHHADNVLKNLLLLVGVLVVLALLWW >Manes.10G060400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8425865:8430401:1 gene:Manes.10G060400.v8.1 transcript:Manes.10G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSVKSLSCRKCVKHVSFIRLYAVSALAHLNFSLSNPIEEEKTIDSPKQNRTMNNFLEMDKVKVVETLNYLKKDPGLAFSFFNQLKENGFCHDPCTYAAIVRIVCYWGWDRKLDSLLLELIRKERNLDFEIVDLFEALDEVSESESSNLLVRVSDALVKVYVTIGMFDEAIDVLFQDKCRGFVPHILSCNFLLNQLIEFGKLDMSVTIYRQLRAFGLCPNDYTYTIAIKAFCRKGCLDEAVDVFREMEEYGVTPNSFAYTTYIEGLCLHGRSDLGFEVLKAWIAANLPIDVFAYTVVIRGFCNEMKLKEAESILHDMEKQGFAPDVYTYGALISRFCMVGNLFQALSLHNEMVSKGIKTNCVIVSSILQGLSQIGMASEVANQFKEFKKMGIFLDKACYNVVMDALCKLGKVEEAVELLVEMKGKQMVPDIINYTTLVGGYCRKGKVVDAWNLFKEMKKNGHKPDIVTYNVLAGGLSRNGLAQEALILLNDMNTQGVKPNTVTYNVIIEGLCIAGKVDYAESFFGNLGDKCLENYSSMVKGYCEANHTREAFDLFIRLSKQGFLVKKASYIKLLENLCMEGDNEKVLLLFDIMLAMNVNLVKVIYAKVIGALCQAGEMKKARRVFDIMVNKSLILDLITYTMMINGYCRVNRMREAFHLLGDMKSKGIKPDVITYTVLLDNCSKLNLKRFHPGQDSVESKGNKMDPSALWSEMKDMDIKPDVVCYTVLIDKHCKTNNIQDAIILFNEMISRGLEPDIVTYTALLSGHCNVGDIEKAEVLIDDMLYKEIQPDGHTMSVLQHGILKARKVHFRQ >Manes.03G037000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3231446:3238653:-1 gene:Manes.03G037000.v8.1 transcript:Manes.03G037000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSLNPNVKLKLSLPPPDEVSFAKFLTQSGTFMDGDLLVNRDGVRIVSQSEPEAPPPIKPSDNQLNLADIDTIKVIGKGSSGIVQLVQHKWTGQFFALKVIQMNIEENARKAIAKELKINQSAQCPYVVMCYQSFYDNGAISIILEYMDGGSLADLLKKVKTIPEPYLAAICKQVLKGLLYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMASTSGQANTFVGTYNYMSPERISGAKYDNKSDIWSLGLVLLECATGQFPYSPSDQEVGWASVYELMEAVVEQPQPCAPADQFSPEFCSFVSSCVQKDPKDRQSAHELMTHPFMSMYDDLHIDLSSYFKNAGSPLATLNL >Manes.09G094700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28661076:28663543:-1 gene:Manes.09G094700.v8.1 transcript:Manes.09G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQAVLLVSSLVCLAVSQDLSFLYNGFRSANLSLDGLAEITSSGLLKLTNDTKQQKGHAFFPNPINFKNSNGSVFTFSTTFVFAIVPEISSVSGHGIVFVIAPTKGFPGSRPSQFLGLFNETTNGNKTNHVVAVELDTIYSSEFGDIDDNHVGIDINGLRSEVSASAGYRTNSSGKLTNLTLISGQPMQIWVDYDGARKQLDVTLAPINIGKPRFPLLSLALDLSPILRDTMYVGFSSSTGSVLTSHYVLGWSYKMNGPAQALDLSQLPKLPRIGHKKRSKFLTVGLPTISVSLAFIVISGLVYFIRRKRKFAEVLEDWELDYGPHRFKYKDLYIATKGFRDKELLGSGGFGRVYKGVLPTSKIEIAVKKVSHESRQGMKVFVAEIVSIGRLRHRNLVTLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPRVTLNWEQRFKVIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHIVGTLGYLAPEHTRTGKATTKTDVFAFGAFLLEVACGRRPIGRREQAEDLILLDWVFSLWVRGEMLEARDPNLGRDYIAEEVEMVLQLGLLCSHSEPEIRPSMRQIVQFLEWDTPLPELSSLGLSASGLTFANPEGFSDFAMSYPSSMNNAFSHSSSIAESLLSGGR >Manes.18G045501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4016728:4017544:1 gene:Manes.18G045501.v8.1 transcript:Manes.18G045501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISTNSLLEPREIGGPTQAQEFMHRGHVGVVTFLADPVISRNDAKAISEERQWKLLLQSHAWLRKYTKDQIKDQFTYSSHYYSGTEVISAATHSLTTLKSTMHIKLNFLIVDQCQIHYSIIFS >Manes.05G005340.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1332222:1334782:1 gene:Manes.05G005340.v8.1 transcript:Manes.05G005340.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDFAAVASATHLPLFRILSQDNNPSFSQINQSLCSSILGRGMKHNLSSDMTIAPVVGYRFHPTDYELVNHFLKRKIFGCDDNDSTITEIKVCDFEPWDLPDMVDTGSEDQVWYFFCPRDYKYSRSRRSNRTTRAGFWKPTGKPRKVKDKRTKEEIGTKRSLVFHVKDHPKPKRTKWIMHEYEFIVSNSTMAIQGNFLLCKLKAKPDEKINNGDCDLEIQNLNETKTNSSCDECEPSIHVGSDFGNLNESTTMSTYDKVEQNELIAFDFETGYVTTDSAGDEGESHYYLGFDQEDQNPNEMAAMSTYVNGKLICPITWDISACKEGERSIPSDILISSTAADVDNNAAEATQSEADLQAYFNMLEEEVLELKNVENFTSAFFSPMSPDGSSSKSTYSGFGSSEQTGVASEIGCPCP >Manes.01G214800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38438705:38440172:-1 gene:Manes.01G214800.v8.1 transcript:Manes.01G214800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRDLASTRAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDHDANGREMEWALRGLGCNPSVPAVFIGGKYVGSAKDVLSLHLDGSLKQMLIDAKAIWF >Manes.13G089850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:20263626:20263979:1 gene:Manes.13G089850.v8.1 transcript:Manes.13G089850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSWNCHGFCNPNSAQTLQKMVRVKRPLFVFVVETFCLSNKMEEIMNLLHYDGFYSVDCVGHLGGLASLWKSAKRVDLISSSACYIDTEVKVDSVGISRLTGFYGESNRSHRAFS >Manes.09G157700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35329881:35330287:-1 gene:Manes.09G157700.v8.1 transcript:Manes.09G157700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSTFCFLLLLLIFAPDEKLVLKAEGKDCHKVWNCKGGNRCWEDCRNKYNGMGQCDLYTAPPVPKQCFCAYKC >Manes.03G035601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3036788:3040780:1 gene:Manes.03G035601.v8.1 transcript:Manes.03G035601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSLLYSAWKEIVRRSFSRLTYSFRFSLKHGVIPLRADSFKNSDTETMTNPVKNNTRRSKNSINLKNFKPDNVMLDRSLSFKSLVQDKGNSGLDEDESVRKPMPAVFLPEPAILFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWFTSESTQPFFYWLDVGDGKEVNLEKCPRTVLQRQCIKYLGPKEREAYEVIVENGKLVYKQSGKLVDTVEGSKWIFVLSTTRSLYIGQKKKGTFQHSSFLSGGATTAAGRLVAHNGILEAIWPYSGHYHPTEENFREFISFLQENHVDLTNVKKCAIDDDTPSNVVSEEEQTPEPIADPASVSASQPNSANKPDMDLSTKEATTTTVNAPREHNTNNANIKATVLDWPERLPCKWTTGAGPRIGCVRDYPTELQSRAWEHVNLSPRVPPGTFNNYGPIPSPRPSPQVRVSPRLVYMGIPSPRTPIPVN >Manes.03G035601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3036788:3040780:1 gene:Manes.03G035601.v8.1 transcript:Manes.03G035601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSLLYSAWKEIVRRSFSRLTYSFRFSLKHGVIPLRADSFKNSDTETMTNPVKNNTRRSKNSINLKNFKPDNVMLDRSLSFKSLVQDKGNSGLDEDESVRKPMPAVFLPEPAILFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWFTSESTQPFFYWLDVGDGKEVNLEKCPRTVLQRQCIKYLGPKEREAYEVIVENGKLVYKQSGKLVDTVEGSKWIFVLSTTRSLYIGQKKKGTFQHSSFLSGGATTAAGRLVAHNGILEAIWPYSGHYHPTEENFREFISFLQENHVDLTNVKKCAIDDDTPSNVVSEEEQTPEPIADPASVSASQPNSANKPDMDLSTKEATTTTVNAPREHNTNNANIKATVLDWPERLPCKWTTGAGPRIGCVRDYPTELQSRAWEHVNLSPRVPPGTFNNYGPIPSPRPSPQVRVSPRLVYMGIPSPRTPIPVN >Manes.03G035601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3036788:3040780:1 gene:Manes.03G035601.v8.1 transcript:Manes.03G035601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSLLYSAWKEIVRRSFSRLTYSFRFSLKHGVIPLRADSFKNSDTETMTNPVKNNTRRSKNSINLKNFKPDNVMLDRSLSFKSLVQDKGNSGLDEDESVRKPMPAVFLPEPAILFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWFTSESTQPFFYWLDVGDGKEVNLEKCPRTVLQRQCIKYLGPKEREAYEVIVENGKLVYKQSGKLVDTVEGSKWIFVLSTTRSLYIGQKKKGTFQHSSFLSGGATTAAGRLVAHNGILEAIWPYSGHYHPTEENFREFISFLQENHVDLTNVKKCAIDDDTPSNVVSEEEQTPEPIADPASVSASQPNSANKPDMDLSTKEATTTTVNAPREHNTNNANIKATVLDWPERLPCKWTTGAGPRIGCVRDYPTELQSRAWEHVNLSPRVPPGTFNNYGPIPSPRPSPQVRVSPRLVYMGIPSPRTPIPVN >Manes.09G162300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35670834:35675440:1 gene:Manes.09G162300.v8.1 transcript:Manes.09G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACIEEGLPITTTTTPLLLNSKLSYSSDHEAGSSFNSNAESTDSSITPVLVLSTFVAVCGSFCYGCAVGYSSPAESGIIQDLGLSVASYSVFGSIMTIGGMIGAIISGKIADFIGWKRTMFLSELFCTPGWLAIAFAKDALWLDIGRLLIGFGIGLLTYVVPVYVAEITPKNLRGRFTAASQMLTSCGFALSYCVGNIISWRTLSLICAIPCVLQLVGLFFIPESPRWLAKHGREKEFELALQRLRGKNADISEEAMDIKVMTETFESESHSRSRLDLFQRRYYFSIIVGVGLMLLQQLGGNSGVVYYSSTIFTEAGFSTIIGNTSLAVVLMMSAMVSLLLMDVFGRRTLLMVSSAGTCLFLCLVGLSFFFKEHGYFEELTPYMAFVGLLGYLGAFGMGISGIPWVIMSEIFPVNVKASAGSLVTLTNWSCSWLMSYTFNFMLEWSPAGTFFIFASICGFTVVFVWKLVPETKGRTLEEIHARIAYRG >Manes.17G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31468707:31471172:-1 gene:Manes.17G107700.v8.1 transcript:Manes.17G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRKRDVVSWSRFFWCTIFVVFSFVLFTGFSFSTFRLRLFGDQFHPEIVTAWGRPALRAISGDYPMNSATVSIREAVMLPDQVLIFLKYPKSARLFTKEEFVCVYFSAGSSSSLSQRQFKLPPNQIDGYDVDDQMIRCPLNPRGFTVALALKSGGYIKPEPTKRWDSLVYEAMIDRDNTTIVFVKGLNLRSDRLYNTSKFDCLYGWDFRWPKFFLRSKVISIAQEIVRCQTPISILSNPWKVNNSIKVSIRVKGKETLQSIARLGLQPNQVPDPRANKPYEMCICTMLRNQVKFLKEWVMYHAQIGVQRWFIYDNNSEDNIDSVIESLVDSNFNISKHVWPWIKTQEAGFAHCALRARTSCKWVGFIDVDEFFHLPTGLNLLDVLRNQSESNDSNIAELRISCHCFGPSGLEHMPVEGVIVGYTCRIMAPERHKSIVKPDALNSTLINVVHHFHLRDEFGYVNTDRAILVINHYKYQVWEVFKEKFHMRVATYVVDWQNDKNVGSKDRVPGLGTRAVEPPDWSSRFCEVNDTGLRDRVLENFRNPVTKLLPWQEDRGNLDMQNSDFSSRRL >Manes.05G104800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9900764:9915064:1 gene:Manes.05G104800.v8.1 transcript:Manes.05G104800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGNSAYGQQPYGAQSGYGQNLGSAYSGSSVGGLDGGSQHSLASRHSSMLSSSQEADITGFRSSASHYMGQYGAIYGSASMTGAQQVPATSAKGTGPSALEGRGGYPSGLSDSPKFTSGDYVPSSSHGYGHKGDQLYKEKIHDYPVIDRRQYGERQSAYIARDMQSDTAVRYADSVGLSHQHQAGMYERIDQASVLRQEQLLKSQALQSASLDGTARQIEYLSARSASSRHPAQELVSYGGRMDADPRSSSMLSASSYSGQHAPSILGAAPGRNVDDLLYPQSSSNPGYGVSLPPGRDYGTGKGLHGTSLDSDYRGGHLRIDEHRDDRAGYLREFELREEERRRELLRERDKEREREKERERERERERERKRERERILERREREKERERERKRALEIRRERTPPRVSRDRRGPSLTKEGRSSRRDSTSHDASHRRHSPVKEKRREYVCKIHASCLVDVERDYLSIDKRYPRLFISPELSKVVINWPKENLKLSIHTPVSFEHDFIEDESVPEPKEHPSTKLSTQLEKSEHGHTIWNAKIILMSGLSRGALEELSSDKSYDDRLPHICNILRFAILKRDRSFMAIGGPWDSVDGGDPSVDDSVLVQTALRYTRDATQIDLQNCRNWNRFLEIHYDRFGKDGFFSHKEITVLFVPDLSDCLPSLDTWREQWLAHKKAVAERARQLSLKKERSREKKEGQKGEYGKGTDSSKDSKVDKSEKTKESSSSGVDNKEKDGKGKATAPKVDDKDKSLEKNGIGTGEKVKNSEKKEQGDAAGPQTADVKTGKKKIIRRIVKQKVANKKTNAEIAVSMQNESLEEKDAGETNERSEIPVEQNESSADPSGVKTFVRKKVIKKVPAGKAAQNEDKGLQPGVKNEKEVDNTEDSTKDNSQTGSGAPVQGTSVKTAIKKKIIKRVLKRKLAGVGTSDGATEAKKDEKIVAQTSNETDNMEKERTGAESQRNEMQISEKKIIPKSKAPTVVKEESVPNSTKSEIKAVKADKKDDKEIDGKIASGAKIEGKDDKQKVAQRDNSDSKRGKSKDDEKSKHEKDKDGKDESRSKSNKETKEKRMAEEPPRHPGLIFQTKGDKETKLRSLSLSLESLLDYTDNDTEESTFELSLFAESFYEMLQYQMGSRILTFLQKLRIKFVTKRNQRKRLREEMEEKDKESKSSTKRPKTNEQPVKTKSLEGANQSEDQKTKKKEDASVDEVNEAKLEDESDYEEDPEEDPEEYEEMEDPGDDLSNEKNKEEEKMSSDADYEPVTGNGKEKAEEDAKETKSDEAELKSDVDLLEKRDTKVETENKKPSAVKEAVIDKELLQAFRFFDRNQTGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDHILYDKLVRMTNI >Manes.05G104800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9900764:9915064:1 gene:Manes.05G104800.v8.1 transcript:Manes.05G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSRGNSAYGQQPYGAQSGYGQNLGSAYSGSSVGGLDGGSQHSLASRHSSMLSSSQEADITGFRSSASHYMGQYGAIYGSASMTGAQQVPATSAKGTGPSALEGRGGYPSGLSDSPKFTSGDYVPSSSHGYGHKGDQLYKEKIHDYPVIDRRQYGERQSAYIARDMQSDTAVRYADSVGLSHQHQAGMYERIDQASVLRQEQLLKSQALQSASLDGTARQIEYLSARSASSRHPAQELVSYGGRMDADPRSSSMLSASSYSGQHAPSILGAAPGRNVDDLLYPQSSSNPGYGVSLPPGRDYGTGKGLHGTSLDSDYRGGHLRIDEHRDDRAGYLREFELREEERRRELLRERDKEREREKERERERERERERKRERERILERREREKERERERKRALEIRRERTPPRVSRDRRGPSLTKEGRSSRRDSTSHDASHRRHSPVKEKRREYVCKIHASCLVDVERDYLSIDKRYPRLFISPELSKVVINWPKENLKLSIHTPVSFEHDFIEDESVPEPKEHPSTKLSTQLEKSEHGHTIWNAKIILMSGLSRGALEELSSDKSYDDRLPHICNILRFAILKRDRSFMAIGGPWDSVDGGDPSVDDSVLVQTALRYTRDATQIDLQNCRNWNRFLEIHYDRFGKDGFFSHKEITVLFVPDLSDCLPSLDTWREQWLAHKKAVAERARQLSLKKERSREKKEGQKGKGTDSSKDSKVDKSEKTKESSSSGVDNKEKDGKGKATAPKVDDKDKSLEKNGIGTGEKVKNSEKKEQGDAAGPQTADVKTGKKKIIRRIVKQKVANKKTNAEIAVSMQNESLEEKDAGETNERSEIPVEQNESSADPSGVKTFVRKKVIKKVPAGKAAQNEDKGLQPGVKNEKEVDNTEDSTKDNSQTGSGAPVQGTSVKTAIKKKIIKRVLKRKLAGVGTSDGATEAKKDEKIVAQTSNETDNMEKERTGAESQRNEMQISEKKIIPKSKAPTVVKEESVPNSTKSEIKAVKADKKDDKEIDGKIASGAKIEGKDDKQKVAQRDNSDSKRGKSKDDEKSKHEKDKDGKDESRSKSNKETKEKRMAEEPPRHPGLIFQTKGDKETKLRSLSLSLESLLDYTDNDTEESTFELSLFAESFYEMLQYQMGSRILTFLQKLRIKFVTKRNQRKRLREEMEEKDKESKSSTKRPKTNEQPVKTKSLEGANQSEDQKTKKKEDASVDEVNEAKLEDESDYEEDPEEDPEEYEEMEDPGDDLSNEKNKEEEKMSSDADYEPVTGNGKEKAEEDAKETKSDEAELKSDVDLLEKRDTKVETENKKPSAVKEAVIDKELLQAFRFFDRNQTGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDHILYDKLVRMTNI >Manes.17G052800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24938976:24941785:-1 gene:Manes.17G052800.v8.1 transcript:Manes.17G052800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTGKHIQENKTKPASYRKMCSNISLTSSGGGVGGGGCGGGYNGGYGCESIYSKKPKRQRVPKRGPGVAELEKILREQEKKPDLDKAKNEGFSLVSSPPCSYQPQSPLLPSPHSLPKPVASLAPIPNHFTPTTTAFYTNNSDSNPPLGGGRSGVQLVGSGLILPEHALLPTMWSSCEPNAEVSGDSGSASGLSFSTHLSNRSNNHLFPSTFMQRSQHSPPLMKDLFPHPVVSSSTISSPAGPCHGREPPSNQTCHHYTSLWPEEDKMVGSKRSRPFSMEMIPPVPPFRFQAPTISAQMSRLDSSLSCGSHSMINLEPCDTISREIKPGNYLEPSIKKCTSTETGTTDGNFLLLGSSTTPSVQNQREGPKFSFFPFQESNGESQCRGGSVQKKIFSSFLVPRKQMGMLQTNLNFGLNNERAETRGDGIDLNLKL >Manes.08G014114.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1594204:1599647:-1 gene:Manes.08G014114.v8.1 transcript:Manes.08G014114.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFLLAAAVAGSTTFFAKHFLALAQERPKEEENPFQDSIAPNHGNQCGYDSSFQQPPDGISGFSSSASASSSSGKKTRISRKKSGITGRRLNFGAENYKADKRYGGSEKSARRFAVCSKKKRTAKSVPSKYRSRSFKESSLFGCGLSIGIMYMMSAEKAEISELSNAMDETAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRAGIGHNNDPKVIKVSGIPMIDDVECPRSGLTEEPEPQLLEMDQLEAELASELQKLPWSNPEASGHEGVEPNMDKNEIFSGGLHKLEGQSNIFCQCHGVLPSELDRKLSHLLIKQQENQIEELESELHSAQSKLHEKEAELQALRDCVKLLTEIEIFPSTVSDDEAVTFAEQEYVIPGITYCKSKFD >Manes.06G090801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22646834:22647562:1 gene:Manes.06G090801.v8.1 transcript:Manes.06G090801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIMGFTIAKPHICNSFQSTKLDFNPSSRSLRQCSSSKVFTGWQQPESSSKSKRGSSSKVNAFPDWHLMAVVDHMDGQRDLVTYKSMWHLSDQVYFTLCSLVGMSILWFNERSLLPFGRI >Manes.10G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1231068:1233571:1 gene:Manes.10G011800.v8.1 transcript:Manes.10G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQDWPEPIVRVQSLSESCLGKIPDRYIKPPIQRPTSSSSSASAASDIDDVNIPIIDLGGLYGNNDTLRASTLEEISMACRDWGFFQVVNHGIKPELLDRAREIWREFFYLPMEVKQKYANSPKTYEGYGSRLGVQKGAILDWSDYYFLHYLPLPLKDFNKWPSLPSDCREVIDEYGKQVVELCGRLMKVMSINLGLGELRLQDEFGGENIGACLRVNFYPKCPQPELTLGLSSHSDPGGMTLLLADDNVAGLQVRRGNDWITVKPAPHAFIVNIGDQIQVLSSAIYKSVEHRVIVNSAKQRVSLAFFYNPKSDIPLEPLKELVTPETPSLYPAMTFDEYRLFIRTRGPQGKAQVESLKSPV >Manes.10G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9736624:9741969:-1 gene:Manes.10G066800.v8.1 transcript:Manes.10G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNFEMHIVKSLSVLPPSPLYNFLLIPRLSFFSSFASLAKNSEVPNLALSDYLQETLKFSKTQALSISTRFSHIRSIEKPQFVVCFFQNLGFSNPQIQSAVHLTPQILFANIEKSLKPKIKLFQNIGLVGYDLGKFISKNSPLLTASLERKLVPRIEILKELLLNDEKNEDLVKVISRCNWIVHRKPESRLLSNIAYLKSCGIVGSQLSMLLRRQPRLFVLQESMLEGLVSRVLNMGFSVNSRMFVHALYTVSCLSDETFERKFGILKSFGFSEYECTLMFRKAPGLLRTSKEKLKLGMDFFLNIAKFKKEVLLHNPTFVMHSMEERVIPRYKVLQIMKSKKLFKKEPSFINMLTLTEEEFLQKFISRFPDDALELLIAYKGNCLDSSSEGERS >Manes.12G121700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32719287:32721277:1 gene:Manes.12G121700.v8.1 transcript:Manes.12G121700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRENIKKARRDRLDSDKSSTTTLDRLMKILEVEKSNDNSVDDGLEINPMTSDLEGNDRRVTYEELRQRLRRKIDELRGGRNYSGLETKEIRQRKRKRESESEEKKPNKNFSRKVEKDVAEAAKELKFSHVKLGNKGHEKKKRKLSKLKELQKAKELEEAKDPVKADIISKKHSWKAATSRAAQELKFMIIRTVETEHTEGEEEASKEC >Manes.16G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1400066:1406247:1 gene:Manes.16G014100.v8.1 transcript:Manes.16G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQAQVTYRYHFCTNSTTFTRNSTFQENLDVLLSSLSSNSTHLSGFYNTSAGQDSDNVYGLFLCRGDLSTDVCKDCVTLATQAIIQRCPTQREAIIFYDECLLRYSNQFIFSRMAQEPVLYLLNSQNITDSRLSSPIVDTTMDDTALQAANASDGKKFAIKKVNITQSQSLYTLAQCTPDISVSVCGQCLQLAISRLPGCCSGKQGGRVLFPSCNIRYEIYEFYNATALPPPSPPPPPAVTRPQGKSGVSRATIIAIVASVTASILLIFMGYYLRCRRERKKYGTIRENSADSDITTVESLQFDLGTIEAATNNFSNDNKLGEGGFGEVYKGIMSNGQAIAVKRLSRNSLQGAEEFKNEVLLVAKLQHRNLVRLLGFCLEGEEKILVYEFVPNKSLDYFLFDPEKRRQLNWPRRYKIIEEIARGILYLHEDSRLRIIHRDLKASNILLNEDMHPKVSDFGMAKIFGVDQTQGNTSRIVGTYKTNMRAFASILSQEASCLNSISGGLLSQLCLRRPLASIMYQEASCLNFEWNVKSDLYSFGVLLLEIICGKKNSSFYQTDGVEDLVSHIWKHWRNETPFEVVDSVLRDSYSRNEVLRCIQIGLLCVQEDPSDRPTMAKIVLLFSSYSVTLPVPQQPAFFLHSKSGQIMPGNGLDSEQSTNKSVSWSVDEGSITEVYPR >Manes.16G014100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1400066:1403809:1 gene:Manes.16G014100.v8.1 transcript:Manes.16G014100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQAQVTYRYHFCTNSTTFTRNSTFQENLDVLLSSLSSNSTHLSGFYNTSAGQDSDNVYGLFLCRGDLSTDVCKDCVTLATQAIIQRCPTQREAIIFYDECLLRYSNQFIFSRMAQEPVLYLLNSQNITDSRLSSPIVDTTMDDTALQAANASDGKKFAIKKVNITQSQSLYTLAQCTPDISVSVCGQCLQLAISRLPGCCSGKQGGRVLFPSCNIRYEIYEFYNATALPPPSPPPPPAVTRPQGKSGVSRATIIAIVASVTASILLIFMGYYLRCRRERKKYGTIRENSADSDITTVESLQFDLGTIEAATNNFSNDNKLGEGGFGEVYKGIMSNGQAIAVKRLSRNSLQGAEEFKNEVLLVAKLQHRNLVRLLGFCLEGEEKILVYEFVPNKSLDYFLFDPEKRRQLNWPRRYKIIEEIARGILYLHEDSRLRIIHRDLKASNILLNEDMHPKVSDFGMAKIFGVDQTQGNTSRIVGT >Manes.16G014100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1400066:1406636:1 gene:Manes.16G014100.v8.1 transcript:Manes.16G014100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQAQVTYRYHFCTNSTTFTRNSTFQENLDVLLSSLSSNSTHLSGFYNTSAGQDSDNVYGLFLCRGDLSTDVCKDCVTLATQAIIQRCPTQREAIIFYDECLLRYSNQFIFSRMAQEPVLYLLNSQNITDSRLSSPIVDTTMDDTALQAANASDGKKFAIKKVNITQSQSLYTLAQCTPDISVSVCGQCLQLAISRLPGCCSGKQGGRVLFPSCNIRYEIYEFYNATALPPPSPPPPPAVTRPQGKSGVSRATIIAIVASVTASILLIFMGYYLRCRRERKKYGTIRENSADSDITTVESLQFDLGTIEAATNNFSNDNKLGEGGFGEVYKGIMSNGQAIAVKRLSRNSLQGAEEFKNEVLLVAKLQHRNLVRLLGFCLEGEEKILVYEFVPNKSLDYFLFDPEKRRQLNWPRRYKIIEEIARGILYLHEDSRLRIIHRDLKASNILLNEDMHPKVSDFGMAKIFGVDQTQGNTSRIVGTYQIRLIQLWRLAS >Manes.06G017733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2938864:2943239:1 gene:Manes.06G017733.v8.1 transcript:Manes.06G017733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKMISVLRHNCLSLRTIIRLRSKGPRRWLCEVVLKP >Manes.08G142422.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37883426:37885972:1 gene:Manes.08G142422.v8.1 transcript:Manes.08G142422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAANSITQMVIFLSAAAASVFLQAEARAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPNHRPTGRFSNGLNIPDIISERIGAEPVLPCLSPELTGQRLLNGANFASAGIGILNDTGVQFLNVIRMYRQLELFQQYKQLVRALIGGDRTKRLVNEALILITVGGNDFVNNYYLVPNSARSSQFALPDYVKYLISEYRKLLMKLYKLGARRVLVTGTGPLGCVPAELAMRSTNGGCSAELQRAASLYNPQLVKMLTGLNKRIGKNVFIGANTHRMHMNFISNPHAHGFTTSKVACCGQGPYNGLGLCTAASNLCGNRNLYAFWDAFHPSEKANRLIVEEMFSGSTNYMVPMNLSTVMALDART >Manes.16G012600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1252413:1257262:1 gene:Manes.16G012600.v8.1 transcript:Manes.16G012600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPPFHKIYKTLLDNTIEESKIMTSVIEECELPLIDLGCLDMDESDEKHKCEEEIARASQEWGFFQVVNHGISRQILEELRSEQVKLFKQPFDKKSKEDKFLNFSSGTYRWGTPTATCLSQFSWSEAFHIPMTDISASNGFTNLSSTMEQFATRVASLAQKLAAILSEKLGRKSTFFQENCVPRTCYLRLNRYPPCPIPGEVFGLMPHTDSDFLTILYQDQVGGLQLVKDGKWFAVKPNPEALIINIGDLFQAWSNDVYKSVEHCVVTNPGVERYSTAYFFCPSYDIEIESGCECSLYKKFSFKEYREQVHKDVQLLGRKVGLSRFLV >Manes.16G012600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1252413:1257262:1 gene:Manes.16G012600.v8.1 transcript:Manes.16G012600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIVKANINQPVRMDMDPPFHKIYKTLLDNTIEESKIMTSVIEECELPLIDLGCLDMDESDEKHKCEEEIARASQEWGFFQVVNHGISRQILEELRSEQVKLFKQPFDKKSKEDKFLNFSSGTYRWGTPTATCLSQFSWSEAFHIPMTDISASNGFTNLSSTMEQFATRVASLAQKLAAILSEKLGRKSTFFQENCVPRTCYLRLNRYPPCPIPGEVFGLMPHTDSDFLTILYQDQVGGLQLVKDGKWFAVKPNPEALIINIGDLFQAWSNDVYKSVEHCVVTNPGVERYSTAYFFCPSYDIEIESGCECSLYKKFSFKEYREQVHKDVQLLGRKVGLSRFLV >Manes.01G142500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33347389:33350988:-1 gene:Manes.01G142500.v8.1 transcript:Manes.01G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAVCRRVGQIGTNSGIFLGRNRGFGAPLNCDSFVSISGSRQISQLVKSNGKRLFLVDTLALVRRLEAQGVPSKQAEAITAAITEVLNDSLENVSQSVVSKAEMQKNEMIQESTLSKFKSEVQSSQEHHFSLLQHETEKLRNDIEKMRSELRHEIDKVTAGQRLDLNLERGRIRDELADQNAETSNLTNKLDREIHALRAQLEAAKYDVIKYCIGTLVSISAAGLAVIRILM >Manes.01G206900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37929325:37932551:1 gene:Manes.01G206900.v8.1 transcript:Manes.01G206900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKQELLKKRQSLAQDTGGKRVFKRSEIQQKEIQKLREEEKRELEAKAQRQTAAASTTTTSTKSTTTATSTVTATTSTSIATTKSLTNEQNIDNLVLPKQEVIRRLRFLKQPITLFGEDDDARLDRLKYVLKAGIFEVDNDMTEGQTNDFLRDIAELRKRQKTGILSERKRKDREEGGGEDGEVGEGEGELSADGGSSGVDVDKDLKRMKANFEELCDEDKILVFFKRLLNEWKQELDEMPEAEKRTAKGKSMVATFKQCARYLNPLFKFCRKKVLPDDIRQALLVVVECCMKRDYLAAMDHYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTAPSKAVEFNSLANGSDLQSLLAEERFSGGNQPLEGRLRLMPTPEEN >Manes.02G007001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:860723:862744:1 gene:Manes.02G007001.v8.1 transcript:Manes.02G007001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLTSTPINSSPFCPKSFSMFQFLPFLSFAHTVKLFPATNSKNSLAPKPSRSKLYTSLIRFMKVYGSGRTNTGPRQPGHDTDRGYWKGAASMPAWALTIRDRSSFEAGYIRRWTTYPYPEVRGVACCWWWHGCGGFQQSNVEDRRCVIRSSSFKVSKWKLYIGRMQSFVHP >Manes.02G007001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:860720:862744:1 gene:Manes.02G007001.v8.1 transcript:Manes.02G007001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLTSTPINSSPFCPKSFSMFQFLPFLSFAHTVKLFPATNSKNSLAPKPSRSKLYTSLIRFMKVYGSGRTNTGPRQPGHDTDRGYWKGAASMPAWALTIRDRSSFEAGYIRRWTTYPYPELLVAGGGMDVEVFNRGAFD >Manes.05G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9916035:9918957:1 gene:Manes.05G105100.v8.1 transcript:Manes.05G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQSHGLHHTQTHTKILLTSLSAMVAETATFPIDLAKTRLQLHSESLSSTCPTNAFRVAAEILRQQGPLGLYQGLSPAILRHLFYTPLRIVGYENLRNFAVNDSGNGSISLPSKALLGGVSGVIAQVVASPADLVKVRMQADSRMVSQGQQSRYVGPFDAFRKIVHAEGFGGLWKGVFPNIQRAFLVNMGELACYDHAKRFVVQNHIAADNAYSHTLASIMSGLSATALSCPADVVKTRMMNQVAAKESEVMYKSSFDCLVKTVKIEGLRALWKGFFPTWARLGPWQFVFWVSYEKFRQYAGLSSF >Manes.03G140200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26844670:26853280:-1 gene:Manes.03G140200.v8.1 transcript:Manes.03G140200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILLRSRVSSIVSRSKKPNRRTFSSNVDKESIISSQSIITDQAAEATAAAAESSAEAAAAAASSDRKGWSLFKYGLIATLTGATGFAGYATYAYTLDEIEDKTKALRQSVNYKAGEDESSIEKYQGLLYSAAMTVPAKAVELYLDTRRAIEEQVKGFTEPTSDKLLPDLHPSEQHVFTLVLDLNETIIYSDWKRDRGWRTFKRPGVDDFLQHLSRLYEIVVYSDQLNMYVDPVVERLDTNHCIRYRLSRGATKYQDGKHYRDLSKLNRDPGKIIYVSAHAFENSLQPENCVPIKPFEIDEKGEGSVDTTLLDLIPFLEYVARASPSDIRKVLASYERKDIAQEFKERSKQTQRRMQEQRQQGFFRRM >Manes.12G069000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7274387:7282300:1 gene:Manes.12G069000.v8.1 transcript:Manes.12G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNSDNNNNNRQVKSNAVPNQSPDNIEDAIWRLKIHDNQEQGVMAPSSPYPDRPGEPDCVYYLRTGLCGYGSNCRFNHPPAAQGTQFREELPERVGQPDCGYYLKTGTCKYGSTCKYHHPRDRNGAGPVSFNILGLPMRQDEKSCAYYMRTGSCKFGVACKFHHPQPAPLGAGLPLTEPADSGPLGSSTAPSSGLPYVGGLSTWSLLRAPYASGPCLQGPQAYMPVVLSPSQGVFPAQGWNTYVGNLSPMSSASVLGSNLAYNSRNQGESGSSGQVQLLSTTNTNLPERPDQPECRYFMNTGTCKYGSDCKYHHPKDRIAQLGTNPVGPPGLPSRPGQPICSNYSMYGLCKFGPTCRFDHPFPGYPYSYSLSLQPLSIFDSSLLTYPRISPPALSSENPVSLSSKFPDWVRNPDGASNKKHQNSDTNTRISDDQPEQASSPPPHSSQDSSEPSHD >Manes.06G053900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17863008:17867551:1 gene:Manes.06G053900.v8.1 transcript:Manes.06G053900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDHLFSLRNNFYIGAYQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDNSAATPLQAVKLLALYLSSPANKESTISSLKEWLADSAIGNNAILRLIAGTIFMHEEDYNEALKHTNAGGTMELHALNVQIFLKMHRSDYAEKQLRYMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTCLILNGKAVCCMHMGNFDEAETLLLEALNKDAKDSETLANLVVCSLHLGKPSTRYLSQLKLSHPDHMLVKRSSSAEEHLERALQSFA >Manes.14G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4860391:4864640:-1 gene:Manes.14G057600.v8.1 transcript:Manes.14G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAPAPTFKRLPMADSCLFSLPSIFTSKPPYQCVSVPARPVRLQLSYSSHSLSSLSLKHKTHFSSFIRFVAQTSDWTQKEEEGDSTITLTESEQVESTWENQPSDDSEAQVSDWESGGEDAVVEAVGSDGESGEGGFEQADTEDGFVEPPEDAKIFVGNLPYDVDSQKLAMLFEQAGTVEIAEVIYNRETDSSRGFGFVTMSTVEEAEKAVDMFHRYDLNGRLLTVNKAAPRGSRPERPPRVYEQAFRIYVGNLAWEVDDAQLEQVFSEHGKVVDARVVYDRETGRSRGFGFVTMSTESELNDAIAALDGQSLEGRAIRVNVAEARPRRSSF >Manes.09G002200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:702442:706967:1 gene:Manes.09G002200.v8.1 transcript:Manes.09G002200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDSNWFSRWEEELPSPEELMPISQTLITPDLALAFDIRNPTSTATTNTTSLLQQNQPPPPPAPPPPTATTPSPNNPLQSSQPNSAEYAADSADLVSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGAGGVNGTGGGGGGGLAAGSDAATEHLFASSPVPAHFLHPGRPNSDHFLPFVPVAALQHHHHQQQMAAAAAAVGHPQLQSQYHRQMGHFGQPPNGQFEHPFLARQTQQPVHRMGAPVHNTVPGYMEDLESASGNGGRKVLTLFPTGDD >Manes.09G002200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:702464:706967:1 gene:Manes.09G002200.v8.1 transcript:Manes.09G002200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDSNWFSRWEEELPSPEELMPISQTLITPDLALAFDIRNPTSTATTNTTSLLQQNQPPPPPAPPPPTATTPSPNNPLQSSQPNSAEYAADSADLVSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGAGGVNGTGGGGGGGLAAGSDAATEHLFASSPVPAHFLHPGRPNSDHFLPFVPVAALQHHHHQQQMAAAAAAVGHPQLQSQYHRQMGHFGQPPNGQFEHPFLARQTQQPVHRMGAPVHNTVPGYMEDLESASGNGGRKVLTLFPTGGRNWSWPSIARSKNF >Manes.09G002200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:702437:706967:1 gene:Manes.09G002200.v8.1 transcript:Manes.09G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDSNWFSRWEEELPSPEELMPISQTLITPDLALAFDIRNPTSTATTNTTSLLQQNQPPPPPAPPPPTATTPSPNNPLQSSQPNSAEYAADSADLVSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGAGGVNGTGGGGGGGLAAGSDAATEHLFASSPVPAHFLHPGRPNSDHFLPFVPVAALQHHHHQQQMAAAAAAVGHPQLQSQYHRQMGHFGQPPNGQFEHPFLARQTQQPVHRMGAPVHNTVPGYMEDLESASGNGGRKVLTLFPTGDD >Manes.09G002200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:702464:706967:1 gene:Manes.09G002200.v8.1 transcript:Manes.09G002200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDSNWFSRWEEELPSPEELMPISQTLITPDLALAFDIRNPTSTATTNTTSLLQQNQPPPPPAPPPPTATTPSPNNPLQSSQPNSAEYAADSADLVSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGAGGVNGTGGGGGGGLAAGSDAATEHLFASSPVPAHFLHPGRPNSDHFLPFVPVAALQHHHHQQQMAAAAAAVGHPQLQSQYHRQMGHFGQPPNGQFEHPFLARQTQQPVHRMGAPVHNTVPGYMEDLESASGNGGRKVLTLFPTGDD >Manes.14G090200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7465348:7467695:-1 gene:Manes.14G090200.v8.1 transcript:Manes.14G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPQSPPSASNSQNSATPLISPKVEDGAVKNGVPDVLQVKPELAHFQILDSVENMDKYRRYEADYTHRLMAKYFSKKNFCGGDVFDERMRMGDETIMSSRWPCTRSFADPVKGFEEQSHDGSTSEA >Manes.14G090200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7463410:7467792:-1 gene:Manes.14G090200.v8.1 transcript:Manes.14G090200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPQSPPSASNSQNSATPLISPKVEDGAVKNGVPDVLQVKPELAHFQILDSVENMDKYRRYEADYTHRLMAKYFSKKNFCGGDVFDERMRMGDETIMSSRWPCTRSFADPVKGFEEQSHDGSTSEA >Manes.18G101200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391621:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENFSALAGSKSSGPNPSVFYAVLFLVTAPRYRMLPTETDLNTLPVVSNLPEKVLPIGAVQSRTTGELPWEGDAISSNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391530:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391510:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPPSSPSTSIDGSRELQCVGRLEIVRPKPVGFLCGSIPVPTDKSFHAFNSALVPSRQTVTAPRYRMLPTETDLNTLPVVSNLPEKVLPIGAVQSRTTGELPWEGDAISSNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391528:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPPSSPSTSIDGSRELQCVGRLEIVRPKPVGFLCGSIPVPTDKSFHAFNSALVPSRQTVTAPRYRMLPTETDLNTLPVVSNLPEKVLPIGAVQSRTTGELPWEGDAISSNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391592:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391621:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENFSALAGSKSSGPNPSVFYAVLFLVTAPRYRMLPTETDLNTLPVVSNLPEKVLPIGAVQSRTTGELPWEGDAISSNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391621:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPPSSPSTSIDGSRELQCVGRLEIVRPKPVGFLCGSIPVPTDKSFHAFNSALVPSRQTVTAPRYRMLPTETDLNTLPVVSNLPEKVLPIGAVQSRTTGELPWEGDAISSNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391591:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENFSALAGSKSSGPNPSVFYAVLFLVTAPRYRMLPTETDLNTLPVVSNLPEKVLPIGAVQSRTTGELPWEGDAISSNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391522:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPPSSPSTSIDGSRELQCVGRLEIVRPKPVGFLCGSIPVPTDKSFHAFNSALVPSRQTVTAPRYRMLPTETDLNTLPVVSNLPEKVLPIGAVQSRTTGELPWEGDAISSNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.18G101200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9391621:9401995:-1 gene:Manes.18G101200.v8.1 transcript:Manes.18G101200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAPPSSPSTSIDGSRELQCVGRLEIVRPKPVGFLCGSIPVPTDKSFHAFNSALVPSRQTVTAPRYRMLPTETDLNTLPVVSNLPEKVLPIGAVQSRTTGELPWEGDAISSNLTRKCEALAVSGLVEYGDEIDVIAPADILKQIFKMPYSKARISIAVRRIGQTLVLNSGPDVEEGEKLVRRHKNQSKCADQSLFLNFAMHSVRMEACDCPPTHHSSPGGQSNSSVLPGTDASHFGGQKDRSTENDGYSHCSEYPQVKQEGLFWESKKNRRNKDHHPVKKASHVGEKPRCSVQESEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNIMASVPELAICYHQNGVVQGYELLKTDDIFLLKGVSEDGTPAFHPHVVQQNGLSVMRFLQDNCKQDPGAYWLYKSAGEEVIQLFDLSVIPKNHASKSCDDSSSSLPSLLNRGRSSSLFSLGTLLYRIGHRLSLSMAPSNRAKCARFFRKCLEYLDEPDQLVVRAFAHEQYARLLLSHDEELELNLTSESLPRECEVTTPVEPLDSCSFSEPVVSENFSSPVLEDRLNEDGKSFNNVISEMSVKMALESNASTCRKLIALSEAESFDSEGSQTSSSDQNNFAVCKMSPASSCVVQTIADPLSSKLAAVHHVSQAIKSLRWMRQLQGAESELVEQVSGTYDRPPSSINFAVCACGDADCIEVCDIREWLPTSKIDHKLWKLVLLLGESYLALGQAYKEDGQLHQALKVVELACSVYGSMPQHLEATRFVSSIIKYSSLTKRNDKNEKTISYIGDTKEMKSSSSDHSFAFERFSSSYLFWAKAWTLVGDIYVEFHFIKGKELSTQADRNPSAKELRMSSEVVKEVQRLKKRLGQYIQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVVYGRKHGKRSHLRSTSSSLYGDSDDSRSHQRVQNRCSNGEYLQHDRNGNTSIAPSGIAVDKFGVNFTATANCNSGVEVHEEGFMVPFQSETSSKEMPKMKNGGIFKYLRDFVAGDAEHNLSTALSCYEEARQALNGISIGSAELQSVTKKIGWVCNELGRNRLGRKELLKAELAFADAISAFREVSDHTNIILINCNLGHGRRALAEEMVSKFESLKAHSISHNACKQALQTAKVEYCESLKFYGAAKSELITIAGEDNVESKNLMNEVRTQYAHTYLRLGMLLAREDTTAEVYENGALEDVGVVHISPSDKRTRTVLRKHEISANDAIREALSVYESLGELRKQEAAYAYFQLACYQRDCCLKFLESDHKNLPKGENSIIQRVKQYASLAERNWQKALEFYGPKTHPIMYLTILTERSALSLSLSGVLHSNAMLESSLSRMLEGRYVSEAISASFSSDNPEVHAKFWGHLQMLLKKMLASMLSAYTNRSSTAVQSTAASNRPDTGKLRELYKMSLKSTDFNQLHTMNALWTA >Manes.11G128000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29067283:29074776:1 gene:Manes.11G128000.v8.1 transcript:Manes.11G128000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSQLITGLTLPLPPPHSTTSSSSSSSNHSSSSTLSMVNRPHLTTSFFNGGVKALKVRIRTNSSTRSHCYRQGGGALGTRMNLFDRFARVVKSYANSILSSFEDPEKILDQTVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASEDWYRKAQLALQKGEEDLAREALKRRKSYADNANSLKAQLDQQKSVVENLVSNTRLLESKIQEAKSKKDTLKARAQSAKTQTKVNEMLGNVNTSNALSAFEKMEEKVMAMESEAEALGQLATSELDGKFALLESSSVDDDLENLKKEISGSKKRGELPPGRTIVSSGIRDPEIEMELNELRQKRREY >Manes.13G116300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32295045:32295653:-1 gene:Manes.13G116300.v8.1 transcript:Manes.13G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQGGKEEAVDISSLHNQDAESKVTNTDQSRADEYDNMKEWLSLALNRHQPLADGDCDPASSSKPFAASNKVFSCNFCMRKFYSSQALGGHQNAHKRERGAAKRFQSHRMMMATRTAVGLPFNHDSVRSLGIRAHSLLHKPSRSQGSNSVARFGDTNAGFGLAWTPLMLEEAMNHWVWPGSFRMDREQASDLHKLDLNLRL >Manes.13G066180.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8194522:8199594:1 gene:Manes.13G066180.v8.1 transcript:Manes.13G066180.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFRSKGTSLFGSLAIPHLKKKALNSWSAVQDSYFSTKDLFERHRVVFTVGTSLASVATAWIGYSLRHLHDSKVDQRLESIENAMKSNYHLKHAEVKKLVDPGSSSVASCIATAGMTFILGYGFGWRGGRWYANRQYRKEQMKLLGQIKPQRWQLLARLRPKEWQHQFIKRSSRYRAPECGGNASEKMLKDAPATHNPGEFPRSC >Manes.03G028900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2334039:2340037:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQHHHHHHQQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2334048:2339596:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQHHHHHHQQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2334048:2339325:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2333977:2340037:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2333977:2340038:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2333977:2340037:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2334048:2340037:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQHHHHHHQQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2334039:2340064:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQHHHHHHQQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2333957:2340037:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQHHHHHHQQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2333957:2340037:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQHHHHHHQQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2334048:2340038:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQHHHHHHQQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2333977:2340064:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.03G028900.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2334039:2340037:-1 gene:Manes.03G028900.v8.1 transcript:Manes.03G028900.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKIEGKPDWVGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDMQGGETRWHKTGKTRPVMLNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWTDRTAITGEGSNDPNSRRDSGSGSCSSKEIIPHRDEITGAGVAAALSSYSAIDIQQLKSDHFGFPPFRKSFDEVGIGEASTAREAPVSGTCNDIGEHHQRPHHMAHDHHQQQPQHHHVHHQIATAAFQISRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQHHHHHHQQQQQHHHHQQQQQQQHHKLGGRSASGLEELIMGCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.17G110201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31686667:31690506:-1 gene:Manes.17G110201.v8.1 transcript:Manes.17G110201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEYKNDELSSQNEGCPKMTSMERAISKKNKKKKESVKTQDEAGGNLQSGDTSIESGKKKINEKLLEEAAMSEEGGKISDGEKEKKGQKKRKKLLLKDNAEDKEEDVNSEDFPREMYPAAEGENFETAEGNRQQEVIRTNPAEEENPKFIDEMVNRLKKKKKERLLKEAAKANKRGVCYLSRIPPHMDHVKLRQILSQYGEIQRIYLAPEDPTA >Manes.15G176300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19755990:19761110:1 gene:Manes.15G176300.v8.1 transcript:Manes.15G176300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSYYMHFRHHQAMERKWIFPLAIGSIVSLFLLFLTTLSFPDGTPLLPLYRSFSSFSSRFVEPKLQPIPVSNLPPPPRFAYLISGSAGDGNMLKRTLQALYHPNNRYVVHLDRESSSEERLDLHNYVRDNPIFVKFGNVQMITKANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEFQRAKPIIVDPGLYMTKKADVFWVTQRRSVPTAFKLFTGSAWMALSRPFIDYTIWGWDNLPRVALMYYANFISSPEGYFHTVICNAQEFRNTTVNSDLHFISWDNPPKQHPHHLNLADMQKMIDSNAPFARKFRRDDPVLDKIDSELLSRGPDMFTPGGWCTGSRENGTDPCSTLGDATILRPGPGAKRLENLISTLLSKENFRTRQCK >Manes.02G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10313080:10314952:1 gene:Manes.02G135800.v8.1 transcript:Manes.02G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVWLTPLLLMIPVLFYLLKNKIQAQRSQRKQQPPGPPGIPIIGNLHQLGELRHRTLWQFSKKYGPVMLLHLGRVPTVIVSSADAAKEVLNTHDLNCCSRPLLAGTGKLSYNYLDVAFTPYGDYWRDMRKICVLELFSAKRVQSFQFVREEETDLLISSISKFSSSATPVDLSEKMMSLTANITCRAAFGKSFQERGFGHERFQEVIHEGLAMLGSFSAADFFPYVGWVVDRLTGLHARLENIFQEFDVFYQKVIEDHIQKQSKDPGKEDIIDVLLGMERPQTETAIQSSKDHIKAILMNLFLAGVDTGAITMVWAMAELARHPRVMKKAQEEIRSCIGNKTRVSESDIDKLGYLKMIVKETMRLHPPGPLLVPREAMSSFSINGYEIEPKTRIQVNVWAIGRDPKIWRNPEEFFPERFIDNPIDFKGQNYELLPFGGGRRGCPGISMGLATVELALANLLFCFDWKLPFNLKEEELNMEEASGITTYKKAALLLVPIQYQSA >Manes.11G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24608719:24620802:-1 gene:Manes.11G106400.v8.1 transcript:Manes.11G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCESSFLSETHPEKDHTKPSYPPQSHPSPSAHLDPSTGVPSFSEFSFSDLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKMAWPDPKQFAEEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVAFCIAGALDYCSSQGRPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHALDMIRGKNIILLMDSHLEGNFSTDEATVVVDLASRCLQYEPRERPSTKDLAGTLAPLQMKPDVPSYVMLGISKHEETPPTPQRPLSPMGEACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLESRKRGDFAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCYLLCDQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLDMHNDAADMLNEATALEEKKQKGGKGT >Manes.08G041000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4018249:4018584:1 gene:Manes.08G041000.v8.1 transcript:Manes.08G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHRLIFSFLFFATVAFAALAGGWQPIKDLKDPNIVEIGEYAVKEYNKRANTDLILVNVVKGEEQVVSGMNYRLILEVTEGKASKKYQAEVWEKAWENFKNLTSFEPVKE >Manes.12G031000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2681283:2688269:-1 gene:Manes.12G031000.v8.1 transcript:Manes.12G031000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLHNTLRSLCFNTVWKYAVFWKLKHRARMVLTWEDAYYENCEQHDPLENKCFSETLENSCSGRYPHDLLGLAVAKMSYHVYSLGEGIVGQVAVTGKHRWIIADKLVTSSFSSFELSDGWQNQFSAGIRTIVVVPVVPFGVIQLGSLNKVAEDVKLVTHIKDVFLSLQDSSVGHVTDLLQYSMKSSLYMPDLPTKGLDSESEVVPGSLCNLDTATDKEGPNQLPMFPYLQKQCDNSYFCSVPAIHQNTEDEVVNKCCEHVPSTLMNDESVKFLQLRSDISCLEQQNQVGIDFVDDHNCGGGTNVWKDPGKASKLNATPHFNNSVKDSTTLCDVILPNEKFGADPANYPVNLLDSTVCDVPKSDSTGVYLSGVMGMPEISDMNIKNELEKKLEYQAESSHLGTSNTFLKFSACCELHEALGPAFSKGCRYFDCESEKTEAGNSIEVPEGLSTSQMTFDTGPENLLEAVVGKVCYSSSDVKSERSVCKSVQSLLTTEKISEPSSRNKQMIHSAGASNHKSVVEEDTQNCSSSTGVCAAMSSRGFSSCPGTCSERLDRHSEPAKNNKKRARPGENCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFLESITKHADKLNKCAESKMCQKGTDTSNYEKGSSWAVEVGGHLKVSSIVVENLNKNGQMLVEMLCEECSHFLEIAEAVRSLGLTILKGITEVHGEKIWICFMVEGQDNRVIHRMDILWSLVQILQPKTSN >Manes.12G031000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2681336:2688269:-1 gene:Manes.12G031000.v8.1 transcript:Manes.12G031000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLHNTLRSLCFNTVWKYAVFWKLKHRARMVLTWEDAYYENCEQHDPLENKCFSETLENSCSGRYPHDLLGLAVAKMSYHVYSLGEGIVGQVAVTGKHRWIIADKLVTSSFSSFELSDGWQNQFSAGIRTIVVVPVVPFGVIQLGSLNKVAEDVKLVTHIKDVFLSLQDSSVGHVTDLLQYSMKSSLYMPDLPTKGLDSESEVVPGSLCNLDTATDKEGPNQLPMFPYLQKQCDNSYFCSVPAIHQNTEDEVVNKCCEHVPSTLMNDESVKFLQLRSDISCLEQQNQVGIDFVDDHNCGGGTNVWKDPGKASKLNATPHFNNSVKDSTTLCDVILPNEKFGADPANYPVNLLDSTVCDVPKSDSTGVYLSGVMGMPEISDMNIKNELEKKLEYQAESSHLGTSNTFLKFSACCELHEALGPAFSKGCRYFDCESEKTEAGNSIEVPEGLSTSQMTFDTGPENLLEAVVGKVCYSSSDVKSERSVCKSVQSLLTTEKISEPSSRNKQMIHSAGASNHKSVVEEDTQNCSSSTGVCAAMSSRGFSSCPGTCSERLDRHSEPAKNNKKRARPGENCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFLESITKHADKLNKCAESKMCQKGTDTSNYEKGSSWAVEVGGHLKVSSIVVENLNKNGQMLVEGQDNRVIHRMDILWSLVQILQPKTSN >Manes.12G031000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2682484:2688269:-1 gene:Manes.12G031000.v8.1 transcript:Manes.12G031000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLHNTLRSLCFNTVWKYAVFWKLKHRARMVLTWEDAYYENCEQHDPLENKCFSETLENSCSGRYPHDLLGLAVAKMSYHVYSLGEGIVGQVAVTGKHRWIIADKLVTSSFSSFELSDGWQNQFSAGIRTIVVVPVVPFGVIQLGSLNKVAEDVKLVTHIKDVFLSLQDSSVGHVTDLLQYSMKSSLYMPDLPTKGLDSESEVVPGSLCNLDTATDKEGPNQLPMFPYLQKQCDNSYFCSVPAIHQNTEDEVVNKCCEHVPSTLMNDESVKFLQLRSDISCLEQQNQVGIDFVDDHNCGGGTNVWKDPGKASKLNATPHFNNSVKDSTTLCDVILPNEKFGADPANYPVNLLDSTVCDVPKSDSTGVYLSGVMGMPEISDMNIKNELEKKLEYQAESSHLGTSNTFLKFSACCELHEALGPAFSKGCRYFDCESEKTEAGNSIEVPEGLSTSQMTFDTGPENLLEAVVGKVCYSSSDVKSERSVCKSVQSLLTTEKISEPSSRNKQMIHSAGASNHKSVVEEDTQNCSSSTGVCAAMSSRGFSSCPGTCSERLDRHSEPAKNNKKRARPGENCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFLESITKHADKLNKCAESKMCQKGTDTSNYEKGSSWAVEVGGHLKVSSIVVENLNKNGQMLVEVSFMSNHYFIQA >Manes.12G031000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2681336:2688269:-1 gene:Manes.12G031000.v8.1 transcript:Manes.12G031000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLHNTLRSLCFNTVWKYAVFWKLKHRARMVLTWEDAYYENCEQHDPLENKCFSETLENSCSGRYPHDLLGLAVAKMSYHVYSLGEGIVGQVAVTGKHRWIIADKLVTSSFSSFETIVVVPVVPFGVIQLGSLNKVAEDVKLVTHIKDVFLSLQDSSVGHVTDLLQYSMKSSLYMPDLPTKGLDSESEVVPGSLCNLDTATDKEGPNQLPMFPYLQKQCDNSYFCSVPAIHQNTEDEVVNKCCEHVPSTLMNDESVKFLQLRSDISCLEQQNQVGIDFVDDHNCGGGTNVWKDPGKASKLNATPHFNNSVKDSTTLCDVILPNEKFGADPANYPVNLLDSTVCDVPKSDSTGVYLSGVMGMPEISDMNIKNELEKKLEYQAESSHLGTSNTFLKFSACCELHEALGPAFSKGCRYFDCESEKTEAGNSIEVPEGLSTSQMTFDTGPENLLEAVVGKVCYSSSDVKSERSVCKSVQSLLTTEKISEPSSRNKQMIHSAGASNHKSVVEEDTQNCSSSTGVCAAMSSRGFSSCPGTCSERLDRHSEPAKNNKKRARPGENCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFLESITKHADKLNKCAESKMCQKGTDTSNYEKGSSWAVEVGGHLKVSSIVVENLNKNGQMLVEMLCEECSHFLEIAEAVRSLGLTILKGITEVHGEKIWICFMVEGQDNRVIHRMDILWSLVQILQPKTSN >Manes.12G031000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2681548:2688269:-1 gene:Manes.12G031000.v8.1 transcript:Manes.12G031000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLHNTLRSLCFNTVWKYAVFWKLKHRARMVLTWEDAYYENCEQHDPLENKCFSETLENSCSGRYPHDLLGLAVAKMSYHVYSLGEGIVGQVAVTGKHRWIIADKLVTSSFSSFELSDGWQNQFSAGIRTIVVVPVVPFGVIQLGSLNKVAEDVKLVTHIKDVFLSLQDSSVGHVTDLLQYSMKSSLYMPDLPTKGLDSESEVVPGSLCNLDTATDKEGPNQLPMFPYLQKQCDNSYFCSVPAIHQNTEDEVVNKCCEHVPSTLMNDESVKFLQLRSDISCLEQQNQVGIDFVDDHNCGGGTNVWKDPGKASKLNATPHFNNSVKDSTTLCDVILPNEKFGADPANYPVNLLDSTVCDVPKSDSTGVYLSGVMGMPEISDMNIKNELEKKLEYQAESSHLGTSNTFLKFSACCELHEALGPAFSKGCRYFDCESEKTEAGNSIEVPEGLSTSQMTFDTGPENLLEAVVGKVCYSSSDVKSERSVCKSVQSLLTTEKISEPSSRNKQMIHSAGASNHKSVVEEDTQNCSSSTGVCAAMSSRGFSSCPGTCSERLDRHSEPAKNNKKRARPGENCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFLESITKHADKLNKCAESKGTDTSNYEKGSSWAVEVGGHLKVSSIVVENLNKNGQMLVEMLCEECSHFLEIAEAVRSLGLTILKGITEVHGEKIWICFMVEGQDNRVIHRMDILWSLVQILQPKTSN >Manes.12G031000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2681336:2688269:-1 gene:Manes.12G031000.v8.1 transcript:Manes.12G031000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDLHNTLRSLCFNTVWKYAVFWKLKHRARMVLTWEDAYYENCEQHDPLENKCFSETLENSCSGRYPHDLLGLAVAKMSYHVYSLGEGIVGQVAVTGKHRWIIADKLVTSSFSSFETIVVVPVVPFGVIQLGSLNKVAEDVKLVTHIKDVFLSLQDSSVGHVTDLLQYSMKSSLYMPDLPTKGLDSESEVVPGSLCNLDTATDKEGPNQLPMFPYLQKQCDNSYFCSVPAIHQNTEDEVVNKCCEHVPSTLMNDESVKFLQLRSDISCLEQQNQVGIDFVDDHNCGGGTNVWKDPGKASKLNATPHFNNSVKDSTTLCDVILPNEKFGADPANYPVNLLDSTVCDVPKSDSTGVYLSGVMGMPEISDMNIKNELEKKLEYQAESSHLGTSNTFLKFSACCELHEALGPAFSKGCRYFDCESEKTEAGNSIEVPEGLSTSQMTFDTGPENLLEAVVGKVCYSSSDVKSERSVCKSVQSLLTTEKISEPSSRNKQMIHSAGASNHKSVVEEDTQNCSSSTGVCAAMSSRGFSSCPGTCSERLDRHSEPAKNNKKRARPGENCRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMLFLESITKHADKLNKCAESKMCQKGTDTSNYEKGSSWAVEVGGHLKVSSIVVENLNKNGQMLVEGQDNRVIHRMDILWSLVQILQPKTSN >Manes.03G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16445231:16447411:-1 gene:Manes.03G095800.v8.1 transcript:Manes.03G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRSSTVSDVGAWAMNIISSIGIIMVNKQLMSPTGFDFAFATMLTGLHFSMTALVGLVSNAAGYSASKHIPLGELVCFSVVANVSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWFLHRKHYSREVKMAVMIVVVGVGVCTVTDVNVNAKGFLCACVAVFSSSLQQISIGSLQKKYAIGSFELLSKTAPLQALSLLVTGPFIDYYLSGKFISNYALSPGGFILIVVSCCLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLILGWLLFDSKMTLKNIIGMVLAVVGMVVYSWAVEVEKQTGTKFIPVLKPKLSQDQKEQTPLIKDVELG >Manes.09G048300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10218190:10237916:1 gene:Manes.09G048300.v8.1 transcript:Manes.09G048300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQLPSVVGVQSQLAGSLGLNHTLPIDTHSQSEVSEDGDCKRFKVAKVNGFIVYSRVKKSKASECDKISEDVLNKRINTLEEPQLYVTNRINTSPDEDWKCPVVETVIEGNQEVVGTVSGEQSISNVELPFCKDEPMSEPRPIPVEEGGTGEAPKLVAGGTEVKNNTLRRLTWSTLKLEPVEVKVNGLEDIDSKMISKIHVETVAEGSALNPPKKNLELKMSKKIALDNVPMTVKELFETRLLEGVPVVYMGGKKFQAFCLRGTIKDVGILCSCSLCKGCRVIPPSQFEIHAIKQYRRAAQYICFENGKSLLDVLNACRISPLDSLEETIQNAISGLPKEKTFTCKRCKGTFPTICVGKIGPLCNSCVESKESDSTPACETSISISLAGPVLSVEASGSASISTLSQDNTLRKSTKKSSKRDLNMKSVKSVPARMSSRKMGDWKITTKPSEPDIMKRSSKNASLHLSSRKRKQWKITPRTPKLAAMSKSLKSASAGVSSQNKSQWRITMKDQRLHKLVFEEGGLPDGTEVAYYARGQKLLVGYKRGFGILCCCCNCEVSPSTFEAHAGWATRKKPYAYIYTSNGVSLHELAISLSKGRKYSARDNDDLCIVCADGGSLVLCDGCPRAFHKGCASLSSIPRGKWFCQFCENMFQREKFVEHNVNAVAAGRVSGVDPIEQISKRCILIVKNIEAELSGCVLCRAYDFSRSGFGPRTIILCDQCEKEFHVGCLRSHKMANLKELPKGKWFCCPDCCRIHSTLQKLIVRGSEKLPISLLNVIKKKNEEKSLETINDIDVRWRLLSGKIVSPETKVLLSQALAIFQERFDPIVDTTGRDLIPLMVYGKNSKGQDYEGMYCAVLVVNSFVVSAGVLRIFGQEVAELPLVATSNGNQGKGYFQLLFSCIEKLLAFLNVQSVVLPAAEEAESIWTDKFGFQKIKPDQISQYRKSCCQMVTFKGTSMLQRMVPASRIVNQNTEFVGVL >Manes.05G057300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4795498:4799996:1 gene:Manes.05G057300.v8.1 transcript:Manes.05G057300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESTGVSSDFNPTPPLVFPYSSDHNNSGITTATQFLIQSNDPITSSTSSSSTPYKRPLLTHHHPHRSSSLSKSPTLYHFTTTQQNQSLFSISVAAKSAAFRFLRRFNHLRRIRVHLRLILLLSLPFFYFLLSHPSHSFLLDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSFPIKLKSGSNISRPPLPVFWSIGSRPKSEKRVNSGCWVQVYSNGDVYEGEFHKGKCSGSGVYYYYMSGRYEGDWVDGKYDGCGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGIHTCEDGSRYVGEFKWGVKHGLGHYHFSFGHLYITYNKSFYCIFWLFWLLLFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGILDVPSTQNTTYPVSPVAVYHSKVLNAVQEARRAAEMAYDVAKVDERVNRAAAAANRAANAARVAAVKAVQKQMHHNNNNDNSPMPIV >Manes.05G057300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4795498:4799996:1 gene:Manes.05G057300.v8.1 transcript:Manes.05G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESTGVSSDFNPTPPLVFPYSSDHNNSGITTATQFLIQSNDPITSSTSSSSTPYKRPLLTHHHPHRSSSLSKSPTLYHFTTTQQNQSLFSISVAAKSAAFRFLRRFNHLRRIRVHLRLILLLSLPFFYFLLSHPSHSFLLDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSFPIKLKSGSNISRPPLPVFWSIGSRPKSEKRVNSGCWVQVYSNGDVYEGEFHKGKCSGSGVYYYYMSGRYEGDWVDGKYDGCGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGIHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGILDVPSTQNTTYPVSPVAVYHSKVLNAVQEARRAAEMAYDVAKVDERVNRAAAAANRAANAARVAAVKAVQKQMHHNNNNDNSPMPIV >Manes.03G003100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:335280:338395:1 gene:Manes.03G003100.v8.1 transcript:Manes.03G003100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPYYQRGTLLGSFHMEDIQLNINWEDVICPICLEFPHNSVLLQCSSYEKGCRPFVCDTDHLHSNCLDRFKSACGMSSPSTSDVSLTTNTQHMVVDSSCRLACPLCRGEVNGWVVVDKARVHLDEKKRRCEEEQCTFTGTYSELCKHALLEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGGDDTGDEFEDFPGDEGNWWTSCILYQVFDNFRSSRNRRRSRVADTRRGNRRMSYDNSNSDEGSVTSVEFSDYRLDETDDEFTSASGRSRGSSGHRSSRRHRSRFYDS >Manes.03G003100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:335280:338395:1 gene:Manes.03G003100.v8.1 transcript:Manes.03G003100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPYYQRGTLLGSFHMEDIQLNINWEDVICPICLEFPHNSVLLQCSSYEKGCRPFVCDTDHLHSNCLDRFKSACGMSSPSTSDVSLTTNTQHMVVDSSCRLACPLCRGEVNGWVVVDKARVHLDEKKRRCEEEQCTFTGTYSELCKHALLEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGGDDTGDEFEDFPGDEGNWWTSCILYQVFDNFRSSRNRRRSRVADTRRGNRRMSYDNSNSDEGSVTSVEFSDYRLDETDDEFTSASGRSRGSSGHRSSRRHRSRFYDS >Manes.03G003100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:335279:338395:1 gene:Manes.03G003100.v8.1 transcript:Manes.03G003100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPYYQRGTLLGSFHMEDIQLNINWEDVICPICLEFPHNSVLLQCSSYEKGCRPFVCDTDHLHSNCLDRFKSACGMSSPSTSDVSLTTNTQHMVVDSSCRLACPLCRGEVNGWVVVDKARVHLDEKKRRCEEEQCTFTGTYSELCKHALLEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGGDDTGDEFEDFPGDEGNWWTSCILYQVFDNFRSSRNRRRSRVADTRRGNRRMSYDNSNSDEGSVTSVEFSDYRLDETDDEFTSASGRSRGSSGHRSSLVKSE >Manes.15G036200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2842845:2845214:1 gene:Manes.15G036200.v8.1 transcript:Manes.15G036200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLGGADRDLNYKETELCLGLPGGGKTEVDTATPKATGKRGFAETVDLKLNLQAKDGVMDLNDNLKNASKDKNHLPAATIKDPAKPPAKAQVVGWPPVRSYRKNIMAQKNSSEEGEKASGNSAAFVKVCMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGAQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRT >Manes.12G033600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2948091:2954253:-1 gene:Manes.12G033600.v8.1 transcript:Manes.12G033600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIIRAQFPSIDKVSIRPFILRSQLYSCVKDRTQVDRELESLLREKVLRIFKLNTGQDDHAIMFLDDYLSQIEHVMKRMEEKKQGDLEVFGWFRTHVIDVKLEHSIHHQELCSLLSNGGKVKDDHISLLINAGLLTRQLIDPNVFWFAVPNIGSVLKGLSQGRKELLSFLNRRRYKEIMLASLEKKRLRFSPMDMRFHLRDLIGSGHLTTVNTPTGLVVRVSKD >Manes.12G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2948091:2954253:-1 gene:Manes.12G033600.v8.1 transcript:Manes.12G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIPESSKSRKRLRQEEEEEIRAETSDADQTLSLEESLIFSDTLMALRIIRAQFPSIDKVSIRPFILRSQLYSCVKDRTQVDRELESLLREKVLRIFKLNTGQDDHAIMFLDDYLSQIEHVMKRMEEKKQGDLEVFGWFRTHVIDVKLEHSIHHQELCSLLSNGGKVKDDHISLLINAGLLTRQLIDPNVFWFAVPNIGSVLKGLSQGRKELLSFLNRRRYKEIMLASLEKKRLRFSPMDMRFHLRDLIGSGHLTTVNTPTGLVVRVSKD >Manes.08G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35091730:35101741:1 gene:Manes.08G111500.v8.1 transcript:Manes.08G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLSSSSARQIHNHLASPCLRVTTESSTNPLLKALGSITGLTRRTSRNPTYYQRALFCSGSSNDGSGEVVEVELKSGESGADSTDASNAIVPTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYAGAFLLKDEPGTDPSVVTGSESEKNIYDLKGKDLFNRLHEVGTLAQISSIQGDQVILIGHRRLRITEMVSEDPLTVKVDHLKEKPYNKDDDVIKATSFEVISTLRDVLKISSLWRDHVQTYTQHIGDFNFPRLADFGAAISGANKLQCQEVLEELDVYKRLKLTLELVKKEVEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEPKKEKIPANVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGNYSDENFDVLRAQKILDEDHYGLTDVKERILEFIAVGKLRGVSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDMIPNPLLDRMEVIAIAGYITDEKMHIARDYLEKSTLEACGIKPEQVELTDAALLALIENYCREAGVRNLQKHIEKIYRKIALRLVRQGELSEPDVSGESKKAEAESIQSSSGSNEASRNEENDETSVEAETVMTDQPSEQIQCPVDQPANSKEATETEKIEESEVTKAVEKVLVDTSNLADFVGKPVFHAERIYEQTPAGVVMGLAWTAMGGSTLYIETTQVEQGEGKGALQLTGQLGDVMKESAQIAHTVARAILVEKDPDNPFFANTKLHLHVPAGATPKDGPSAGCTMITSLLSLAMKKPVRKDLAMTGEVTLTGKILPIGGVKEKTIAARRSEVKTIIFPSANRRDFDELATNVKEGLDVHFVDDYSQVFNLAFGYDQNEEK >Manes.01G093901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29571154:29574258:-1 gene:Manes.01G093901.v8.1 transcript:Manes.01G093901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAITLLFLSLLFHHTLLISGNDSIALDCGSTIDGPAKDGRNWTADTSSKFFLLDPTNASKIYSALKSPMSDTVPYRTARLSRSEFTYAFPVTLGSKFIRLHFFPSSYPDFTSSVDFFSVKANRYTLLSNFSSALYAQASGSDSFPREFCVNVEDGQMLNITFVPTPGVDAYAFVSGIEIVSMPTDLFYTEDIETAFKFVGSERISNLSRRTALELMHRIDVGGGSIEPPNDTGMFRSWNSDEEYLTIAKPGAYLSNLTIQFEYRDNRTRYAAPDLLYRTARSMGMDKENNENYNLTWEFPVDSNFTYLVRLHFCEFQPLITQKGDRVFMIYIATKIAEAEADVIEWSGGNGIPVFKDYVVTIEPKGNQKLQNISISLHPRTDQTVHADAILNGLEIFKLSSSSDNLAAPNHEIPQISPPTVATPIPKTTKLNGYKTPIGAIIGGAVSGFLVLSFLFFFIFRRRLKVKNSDSSDAPSWWGPFAVSSTKSAKTQGSTLPSDICRRFSLAEIKEATNNFDSVFIIGVGGFGNVYKGLINDGATTVAIKRLNPESQQGANEFKTEIEMLSQLRYLHLVSLIGYCYEDREMILVYDYMAQGTLRDHLYKTDNPPLSWNQRLEICIGAARGLQYLHSGAKNTIIHRDVKTTNILLDEKWVAKVSDFGLSKIGPSSMSKPHISTVVKGSFGYLDPEYCRFQRLTEKSDVYSFGVVLCEVLSARPAVNRSAVETPASLAEWARQCYSKGIFDEIIDPYLQGKIAPDCLRKFAEVAVSCLRDNGVERPSMSDVVWGLEFALQLQETAIKHCRLPNEIDIDMEIPTKGTSVADSSDDMFSSGSELMIGSRLSGMTFTSSSGEQSFLNNNSEKVKSGAIFSEINNPTGR >Manes.11G161700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32708243:32708967:1 gene:Manes.11G161700.v8.1 transcript:Manes.11G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSNNHESNTSTTKTTPSCLPLLESPAKINMDSKPDHENPLGAQYQSSPRSSFSSPGPGQYLVDSKDKEKDGGRERLKRHREEVAEKVMIPDKWSQENFLMDWIDYSSFDKILAPNGIASAREALMADGRRRAQRLRIESRC >Manes.13G063873.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7969778:7971180:-1 gene:Manes.13G063873.v8.1 transcript:Manes.13G063873.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVVTAQTALPEEKPAEEVKVSEIVTEEAAPAVEPVAEEPKEAEPVAVSEEPKGADDAPAEVAVETKEVVEVEEAKTVTEEPTVEKTEEEEETPKEETPEPVVVKETPKEEPAAETVVVEAPKETTEAATEAEAPAPESAPASASETPAEEEVPKEEEGDEKKSEAEVEAEKTE >Manes.13G063873.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7969778:7971180:-1 gene:Manes.13G063873.v8.1 transcript:Manes.13G063873.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVVTAQTALPEEKPAEEVKGADDAPAEVAVETKEVVEVEEAKTVTEEPTVEKTEEEEETPKEETPEPVVVKETPKEEPAAETVVVEAPKETTEAATEAEAPAPESAPASASETPAEEEVPKEEEGDEKKSEAEVEAEKTE >Manes.14G107500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9007307:9011664:-1 gene:Manes.14G107500.v8.1 transcript:Manes.14G107500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPAQPPPAPPLSTATTSAATTTAATASALPSHLPTNNSAATYPESIDSSPRSRNTDSYFDDPPPLASKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVDRHTNLSSLSSRLSNTLLNGRPFTLKYQLPSEDLDSLISVTTDEDLENMIDEYDRTNNNAANSSKPSRLRLFLFPLKPESSQSIGPILENSAKSEDWFFNALNGATGLLNRGFSDSASVNCLLGLDDDGLNGNNNLDVVGGGGGGVRDVEGSQKNAKQGQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVEDGGGGGVRGMQDQKVVGIEEQFAQITVGGGSGVVGQKQDEGFMVLSSPPPMPVSITVSGVPVGSPVVVGEYQNRVISDDERSDHGVPVGYRKPPPPQTQPQALAPQTQQKSSGGGSGGAFDLPSPDSVSSDSSLSSAVNRQKPMIYQDQVMQIQSGISRVPANPVDPKLNISDPNPRIQMQHQVQDSGYVLQPQFEQQQQQPQQFIHAGAHYLQHHPTGGMPISAYYPVYTPQQQHQYPVYYIPARQTQAYNMPLQQSNINEPSPTVPSNRPQTPPNPTMGPAPATYNPMRNAPVAKPEMANAGMYRTAASGAPQLVQVPSSQHQQQYVGYSQSVAPTSSGPANYAYEFADPAHAQIYYTQPLAPSMSSHYQTMTAAAAVVLPETSAQLPTDNIKQQIRTSQPI >Manes.14G107500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9007307:9011664:-1 gene:Manes.14G107500.v8.1 transcript:Manes.14G107500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPAQPPPAPPLSTATTSAATTTAATASALPSHLPTNNSAATYPESIDSSPRSRNTDSYFDDPPPLASKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVDRHTNLSSLSSRLSNTLLNGRPFTLKYQLPSEDLDSLISVTTDEDLENMIDEYDRTNNNAANSSKPSRLRLFLFPLKPESSQSIGPILENSAKSEDWFFNALNGATGLLNRGFSDSASVNCLLGLDDDGLNGNNNLDVVGGGGGGVRDVEGSQKNAKQGQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVEDGGGGGVRGMQDQKVVGIEEQFAQITVGGGSGVVGQKQDEGFMVLSSPPPMPVSITVSGVPVGSPVVVGEYQNRVISDDERSDHGVPVGYRKPPPPQTQPQALAPQTQQKSSGGGSGGAFDLPSPDSVSSDSSLSSAVNRQKPMIYQDQVMQIQSGISRVPANPVDPKLNISDPNPRIQMQHQVQDSGYVLQPQFEQQQQQPQQFIHAGAHYLQHHPTGGMPISAYYPVYTPQQQHQYPVYYIPARQTQAYNMPLQQSNINEPSPTVPSNRPQTPPNPTMGPAPATYNPMRNAPVAKPEMANAGMYRTAASGAPQLVQVPSSQHQQQYVGYSQSVAPTSSGPANYAYEFADPAHAQIYYTQPLAPSMSSHYQTMTAAAAVVLPETSAQLPTDNIKQQIRTSQPI >Manes.09G143800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34181953:34184152:-1 gene:Manes.09G143800.v8.1 transcript:Manes.09G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVVVNKGEDLVDLPPGFRFHPADEEIITHYLTEKVMNSCFSSCAIGEVDLNKCEPWDLPKKAKMGEKEWYFFCQRDRKYPTGTRTNRATEAGYWKATGKDKEIYKGKNCLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGKFSYYTLPKASKDEWVVCRVFHKSTGIKKTSIQDLLRVNSFGDEFLDYSSLPPLMDPPQSSRPGSSSFNDEDDDEFKAITSKSLGGNYMPHLSTTMVNNNQSYLHQQQLPNSSYQTPSSVFYPQIPASNPFLTFQTTPNLASYFPNSIFGGNDQTHLIRSLAANSDTSVQQKKHCKLEQFSSNNQSVATHSQDTGLSTDRNTTTEISSVVSKHEIRSNKVYDDLEGPSSVGAIADFEGLWDY >Manes.03G205800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32106635:32113131:1 gene:Manes.03G205800.v8.1 transcript:Manes.03G205800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSNSSFSFLYYASILTLSLLVSQSDSAPQAFRRDPGHPQWHHGAFHDVRDSVRSDVRRMLHTRAEVPFQVPLEVNVVLIGFNEDGGYRFILDAHKLEEFLRTSFPSHRPSCLETGDPLDIEHHIAFNAFPAGQPELISLEKALKEAMVPAGTARETDFGREVPLFEVEATIVEPVFHKLYSYIFDMENMRYSAAENDRPVPNAIFIVNFDKVRMDPRNKEIDLDSLMYGKLPPLTNEDISNQEGNYVYRYRYNGGGATQVWLSSDRFVVIDLSAGPCTYGKIETEEGSVSSRTLPRIRSVMFPRGLGAIRDHVSHDIFVGQLAALIATTVEHVIAPDVRFETVDLATRLLIPIIVLQNHNRYNIMEKGHNYSINIEEIESEVKEMVHDGQEVVIVGGSHALHRHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMERSADVLAAGLVELADPSLSSKFFLRQNWMDESDSSGDSIVKHKPLWASYDSRLSKEKKKKMKKAQKKQGDLYRTYGTRVIPVFVLSLADVDPQLMMEDESLVWTSNDVVIVLQHQHENIPLSYVSETERRHALPSLAQRHILAGLASTVGGLSAPYEKASHLHERPIVNWLWAAGCHPFGPFSNASKISKLLKDVALRNTIYARVDSALRRIRDTSEAVQAFAAEHLKTPLGEPVKGKKNKTTTELWLEKFYKKTTNLPEPFPHELVDRLEKYLDGLEEQLVDLSSLLYDHRLQDAHLNSSEILQSSMFTQQYVEHVLRTEREKMKCCEIEYKYPVHSSQTYIYGGILLAGFFVYFVVIFFSNPVR >Manes.16G052900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15285036:15287538:1 gene:Manes.16G052900.v8.1 transcript:Manes.16G052900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFLFLQKSPSIPSEEAECESKKTAASAKSNLGSNSKKMTDQKRNYGANPGADSPKGPAGNSKNSENIMSNPPLDPRMMDHISSGNHHHRQGAPALPNSKSHGHVTSNRSDSSEKNNAPFKPHTGGDVQWDAINMVNGKDAIGLSNFRLLKRLGYGDIGSVYLVELRGTSAHFAMKVMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFETDKFYCLVMEFCSGGNLHSLRQRQPNKYFAEEAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSTQVSNGGGNNSGGILDDEYAVHGCIQPSTFFPRILPSKKNRKSKSDFGLFVGGSLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPQVSFVARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGVNWALVRSAMPPHVPEPVDFSQYASKEPPPAANNKKMADSEVDKTNGSPQHHDSSYIEFEYF >Manes.08G038200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3751868:3754309:1 gene:Manes.08G038200.v8.1 transcript:Manes.08G038200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFLSIIRLCTLFSLWVYLGFFTFLGLQVQCRDKQASQELTAETRTYGDLGYKCLGNTGRFLSEFLIFISQCGGSVAYLVFIGQNLSSIFKFSGVPLSSFIFLLVPIEIALSWIGSLSSLAPFSIFADVCNVLAMAIVVKEDVGKMLSGEFKFSDRTAITSNIGGLPFAGGMAVFCFEGFGMTLALEASMRERCRFPGLLAKAFTGITIVYMFFGFSGYMAYGDQTRDIITLNLPHNWSSITVQIGLCLGLVFTFPIMVHPVHEIVEGKLEKSEWYQKLYYDDCGNSTIIGKFGRYLSRSILIIILAFLASFVPGFGVFASLVGSTVCALISFVLPATFHLILLGQSLPFWQRALDYFFLIGGLLFAGYGTYNTIVGV >Manes.08G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3750973:3754309:1 gene:Manes.08G038200.v8.1 transcript:Manes.08G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFKNSASDIPLLESSSSTGTASTIQTLGNIIVSIVGTGILGLPFAFRVAGWFAGSLGIVIAGISTYYCMLLLVQCRDKQASQELTAETRTYGDLGYKCLGNTGRFLSEFLIFISQCGGSVAYLVFIGQNLSSIFKFSGVPLSSFIFLLVPIEIALSWIGSLSSLAPFSIFADVCNVLAMAIVVKEDVGKMLSGEFKFSDRTAITSNIGGLPFAGGMAVFCFEGFGMTLALEASMRERCRFPGLLAKAFTGITIVYMFFGFSGYMAYGDQTRDIITLNLPHNWSSITVQIGLCLGLVFTFPIMVHPVHEIVEGKLEKSEWYQKLYYDDCGNSTIIGKFGRYLSRSILIIILAFLASFVPGFGVFASLVGSTVCALISFVLPATFHLILLGQSLPFWQRALDYFFLIGGLLFAGYGTYNTIVGV >Manes.18G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1152434:1158877:-1 gene:Manes.18G009000.v8.1 transcript:Manes.18G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGESPASGMYLYGDLDLKIIEARRLPNMDVLTVRFRRCFATCDGCRETKIKRQQHQGKIVTSDPYVTVCLVGATVARTRVIPNTQNPFWNERFKIPLAHPASQVEFHVKNNDVFGADLIGVATVPVEKILTGEMISDWFPILGPYGKPPKADSAVRVEMKFMQCKENPLYQCGIATSPKDSGIQNCYFPVRHGGSVTLYQDAHAADSKLPDIELENGDLFKREQCWEDICHAILEAHHMVYVVGWSIYHKVKLVREPTKPLPSGGNLNLGDLLKYKSQEGVRVLMLVWDDKTSHSKFFINTTGVMQTHDEETRKFFRHSSVNCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDSQASGNNRKITAFIGGLDLCDGRYDTPEHRLFQDLDTVFQDDFHNPTFSAGIRCPREPWHDLHCRIEGPAAYDILTNFEQRWKKAARWSEFGRRFKRVTHWHDDSLIKLDRISWILSPGPSIPHDDPQLWVSDKDDPESWHVQVFRSIDSGSLKGFPRDVFQAESQNLVCAKNLVIDKSIQTAYIQAIRSAQHFIYIENQYFIGSSYAWPAYKEAGADNLIPMELALKITSKIRAKERFAVYVVIPMWPEGVPTSVSVQEILFWQGQTMQMMYEIIAKELKAMDLEDQHPQDYLNFYCLGNMEEPSLSDSSGSGKRTNSVDMDSASQKYRRFMIYVHSKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPSHTWGNKGRHPRGQVFGYRMSLWAEHLGYIDRLFEEPETLDCVKKVSMIAEDNWRRYTEETFSPLQGHLLRYPLQVDSNGKLSTLPGHENFPDVGGKVLGVRTNILDVLTT >Manes.18G009000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1151840:1158877:-1 gene:Manes.18G009000.v8.1 transcript:Manes.18G009000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGESPASGMYLYGDLDLKIIEARRLPNMDVLTVRFRRCFATCDGCRETKIKRQQHQGKIVTSDPYVTVCLVGATVARTRVIPNTQNPFWNERFKIPLAHPASQVEFHVKNNDVFGADLIGVATVPVEKILTGEMISDWFPILGPYGKPPKADSAVRVEMKFMQCKENPLYQCGIATSPKDSGIQNCYFPVRHGGSVTLYQDAHAADSKLPDIELENGDLFKREQCWEDICHAILEAHHMVYVVGWSIYHKVKLVREPTKPLPSGGNLNLGDLLKYKSQEGVRVLMLVWDDKTSHSKFFINTTGVMQTHDEETRKFFRHSSVNCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDSQASGNNRKITAFIGGLDLCDGRYDTPEHRLFQDLDTVFQDDFHNPTFSAGIRCPREPWHDLHCRIEGPAAYDILTNFEQRWKKAARWSEFGRRFKRVTHWHDDSLIKLDRISWILSPGPSIPHDDPQLWVSDKDDPESWHVQVFRSIDSGSLKGFPRDVFQAESQNLVCAKNLVIDKSIQTAYIQAIRSAQHFIYIENQYFIGSSYAWPAYKEAGADNLIPMELALKITSKIRAKERFAVYVVIPMWPEGVPTSVSVQEILFWQGQTMQMMYEIIAKELKAMDLEDQHPQDYLNFYCLGNMEEPSLSDSSGSGKRTNSVDMDSASQKYRRFMIYVHSKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPSHTWGNKGRHPRGQVCALTYSYTACFSQMKQNRS >Manes.01G108600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30751964:30755158:-1 gene:Manes.01G108600.v8.1 transcript:Manes.01G108600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSILTSQGFPKSIAMTILSELGDRTFCVAAILAMRYPRKSVLLGCLVSVIATTIISALLGWAAPTLLSEKWTHHITTLLFFGFGLRSLWEGFTEDDDDNEELEEVEKELEKDLKTSAAKSKAISKEDENLKKQHKPFLTRFVSPVFLKAFSLTFFGEWGDKSQLATIGLAADEDVFGVIMGGVLGQAICTVAAVLGGKSLASRISERLVTILGGFLFLAFGVQSLLSPS >Manes.01G108600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30751964:30755158:-1 gene:Manes.01G108600.v8.1 transcript:Manes.01G108600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSILTSQGFPKSIAMTILSELGDRTFCVAAILAMRYPRKSVLLGCLVSVIATTIISALLGWAAPTLLSEKWTHHITTLLFFGFGLRSLWEGFTEDDDDNEELEEVEKELEDENLKKQHKPFLTRFVSPVFLKAFSLTFFGEWGDKSQLATIGLAADEDVFGVIMGGVLGQAICTVAAVLGGKSLASRISERLVTILGGFLFLAFGVQSLLSPS >Manes.13G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35514912:35517782:-1 gene:Manes.13G141600.v8.1 transcript:Manes.13G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSNDVQTIERSSPAPSNSTTVNLSQTHKHSLLKSCPSCGHQIKCQDQARIHDLPGLPAGVKFDPTDQELLEHLEGKVKSDARKLHPLIDEFIPTIEGENGICYTHPQKLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHTDAEGGETRWHKTGKTRPVFVGGKVKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSSMKDSPPSSKLKGQSGHEVANSLKNSTLVHEYYNPSFISFDQSSQTRVNPPQLLPHFAAHDGSSFIP >Manes.13G141600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35514912:35517783:-1 gene:Manes.13G141600.v8.1 transcript:Manes.13G141600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSNDVQTIERSSPAPSNSTTVNLSQTHKHSLLKSCPSCGHQIKCQDQARIHDLPGLPAGVKFDPTDQELLEHLEGKVKSDARVSKDGLVRHFFHRPSKAYTTGTRKRRKVHTDAEGGETRWHKTGKTRPVFVGGKVKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSSMKDSPPSSKLKGQSGHEVANSLKNSTLVHEYYNPSFISFDQSSQTRVNPPQLLPHFAAHDGSSFIP >Manes.16G016700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1629416:1631083:-1 gene:Manes.16G016700.v8.1 transcript:Manes.16G016700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNIGVLICLLIMALDIVAGILGIEAEIAQNKVKHLKMWIFECRDPSYQAFKLGLAAAILMALAHVIANLFGKCICMWSKEDFAKASANKQLAVTSLIFSWIILAIGFSMLIIGAMSNTKSRKSCGLSHHRVLSIGGILCFIHGLFIVAYYVSATAAAKEEKTPRQGSNA >Manes.14G137700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12081468:12083778:1 gene:Manes.14G137700.v8.1 transcript:Manes.14G137700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIALKQQVFDGHGGKSAAQFVCDHLPRVIVEDADFPLELEKVITRSFIKTDTALADTCSVESAFSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRPSCVKERTRIEALGGYVEDGYLNGLLGVTRALGDWHLEGMKIKGEMVGPLIAEPELKLVTLTKEDEFLIIGSDGIWDVFSSQNSVSFARRRLQEHNDVKLCCKEMVDEAIKRGASDNLTVVMISFHLEPPPQGAVQRARIRRSISAEGLQSLKCLLQG >Manes.14G137700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12064016:12083778:1 gene:Manes.14G137700.v8.1 transcript:Manes.14G137700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKDAEQVTLEVKSLENTTNGNKKQSWPLNGEILHTQMDNWDKDSPLNSSNDGISVCNSFPVFDGHGGKSAAQFVCDHLPRVIVEDADFPLELEKVITRSFIKTDTALADTCSVESAFSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRPSCVKERTRIEALGGYVEDGYLNGLLGVTRALGDWHLEGMKIKGEMVGPLIAEPELKLVTLTKEDEFLIIGSDGIWDVFSSQNSVSFARRRLQEHNDVKLCCKEMVDEAIKRGASDNLTVVMISFHLEPPPQGAVQRARIRRSISAEGLQSLKCLLQG >Manes.14G137700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12064016:12083778:1 gene:Manes.14G137700.v8.1 transcript:Manes.14G137700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKDAEQVTLEVKSLENTTNGNKKQSWPLNGEILHTQMDNWDKDSPLNSSNDGISVCNSFPLESICVDRVTLERKQNLLTNFIPVLQSGEWSDIGGRPYMEDTHICISDLGKKFGYNLLNEESISFYGVFDGHGGKSAAQFVCDHLPRVIVEDADFPLELEKVITRSFIKTDTALADTCSVESAFSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRPSCVKERTRIEALGGYVEDGYLNGLLGVTRALGDWHLEGMKIKGEMVGPLIAEPELKLVTLTKEDEFLIIGSDGIWDVFSSQNSVSFARRRLQEHNDVKLCCKEMVDEAIKRGASDNLTVVMISFHLEPPPQGAVQRARIRRSISAEGLQSLKCLLQG >Manes.18G025146.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5669418:5670246:-1 gene:Manes.18G025146.v8.1 transcript:Manes.18G025146.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNLWDFARWLRWDIILSIIAIGAEAISAALEQTSPHKVKAARYGVIMAIFSVLLTFADLACNKYMLIRDKNTRPNKNHHEFRWEFADSFGSISSILTLISSCLYYNFLSNGKQQPIQFSTIPLAFSVCVFCSRVLRQPSHKHKPIFVLNCKLLDLINLDIESGRILFDNDESTQFGCPVCQIEQIHP >Manes.04G044225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5266340:5267485:1 gene:Manes.04G044225.v8.1 transcript:Manes.04G044225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTRKHLAIIVLAGIFAGVLPAYVVAQNCGCAANECCSRWGFCGTTEEYCGTGCQGGPCIPPPPVNDVSVADVVTAEFFNGIIDQAEDSCVGKSFYSREVFLDALSSYPRFGRVGSADDSKREIAAFFAHVTHETGHFCFIEEINGASKDYCDEENTQYPCNPDKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVANDPLISFKTALWYWMNNVQSVMSQGFGATIRAINGDLECDGGNSATVQARVGYYTDYCSQLGVAPGENLTC >Manes.02G188350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15072725:15073981:-1 gene:Manes.02G188350.v8.1 transcript:Manes.02G188350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEGIFEMSDLELLSYYLGIEVSQGSEYITFGQAGYAEKTLGKFGMMDCKTCRVPMDSRTKLSKKDESPLVNATLYKSTIRSLGYLVSTRPDLAYSVGIVSRFMESLTSKHLGAVKQILRYIKGILNYGCRYVKEERKELRLIGYCDSDLAGDIDDRKSTSGVIYFLGSNPITWFSQKQKVVALSSCEAEYVAATAGACQGVWIERFLSELRGQQDKKTLLRIDNRSAIALTKNRVHHSRSKHIDVKYHFLRDCVQNGDIEVEYVKTEEQCADILTKPLSWDKFEKRRIMIGIKNVKTLRQD >Manes.07G093600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29108098:29109522:-1 gene:Manes.07G093600.v8.1 transcript:Manes.07G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNEKEEGLVKESWEIMKQDIPLYSLGFFSSILEIAPAAKDMFSFLRESNEIPQTNPKLKAHAVKVFKMTCESAIQLREKGGVVVSDTTLKYLGSVHLKNGVLDPHFQVVKEALLRTVKTAVGDKWSDEMGGAWCEAYDQLAAAIKA >Manes.18G120501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12790613:12793227:-1 gene:Manes.18G120501.v8.1 transcript:Manes.18G120501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPSLVQTKPLPPQIGSNGIDVEQFTPPRRLTTDEIPLVVNDFRIAARNAMEAGFDGVEIHGAHGYLIDQFMKDQVNDPTDQYGGSLENRCRLALEIVEAVANEPRMKTLGEKSECPESLLPMRKAFKGTFLVAGGYGREDGNQAVAENRADLIVYGRIFLANPDLPKRFELNAPLNKYNRKTFYIDDPVIGYTDYPFLESTA >Manes.11G085200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:12926408:12928219:1 gene:Manes.11G085200.v8.1 transcript:Manes.11G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDSFSISNIKLEKANAMKKHRQLQKIANFFRFLEIFIVLALISRFSVQLPVAVKNSSEYFKDLTVILVSPRFVFIIGNAIVITLFAKSGQFSGQDPSGKNSRTDLYDEFVEKSERIQSLHGYEAGNSDRQSSSVEYIVTEETSPSAEIKNYQRSESEKLDRGTRNKLCRELRRSATEKCRESVGSGEGWMKISYPEDRMSNEEFRCTVEAFIARQKRFRRDEENSVYRINGI >Manes.16G057209.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:16939398:16939748:-1 gene:Manes.16G057209.v8.1 transcript:Manes.16G057209.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVILSWNARGLGGKSKASHLKKLIFKHKVDMVLILESKCCSSSVPFSIIRNFWGMANLDWVGVDVVGLSGGILCIWNKDYVSVSCSFKFQSWMLLSCRFSSPIFSSFVDVIYAPC >Manes.03G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21142730:21145996:-1 gene:Manes.03G077900.v8.1 transcript:Manes.03G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVVKLGSSLLVPCVQELAKSQIDGVPQRYIRYEKDQPIIHNGVLPDHEVPVVDMKRLLSPESMDSELAKLHYACKDWGFFQLVNHAVKPSLLEKIKKEVQDLFDLPMEEKKKLWQYPGEVEGFGQAFVVSENQKLDWGDLFFMVTQPLRARKPHLFPNLPLPLRDTIDAYSSEVKNLAMAILGQMAKALEMEAEEMTEIFEDGHQSMRMNYYPPCPQPDKVIGLTPHSDATGLTILLQVNEVEGLQIKKDGKWVSVKPLPNAFIINIGDILEIISNGIYRSIEHRATVNSEKERLSIATFYAPRYGAEIGPAPSLITKQTPPLFNRIGVEEYFQTLFARELNSKSHLDTLRI >Manes.01G081400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28418044:28436454:-1 gene:Manes.01G081400.v8.1 transcript:Manes.01G081400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDLQSQSFHLAGEGSNKFPPVLQPYALPKFDFDDSLHGTLRFDSLVETEVFLGIENNEDSQWIEDFSRGSSGIQFSPGAAESCSISRCNNVWSEATSSESVEMLLKSVGLEEHIPAQINTKESDGCDELGCIVKQMEPSSKQDTSIPARVVGITSLQPTLQPGEIPEKFSVLNDDGGQQPQVEDSSQIHQANASGDPCLGDLTAISVEVRLASAEGSHFSDDKCNDVNEKEVDTVINESFDNRTQEGSSPVKQTNNTFATAEVIITSNDGLINEGLSNHINEVADKNEDVSGTDMREHLEEGGVLSQGLQMHALVLNAEVDERDTSHLNNPLCMTSEESMEEATEIENNMGNVEEPNVTPKEDSGLEMHVHSVVPLLVVEGNTTVERHEIEKSDPSDIICAEDKVDESSGHAAVNYSLPEVCYSAEFINETHAECHVSLPAIVDCMQMCEENEVPKQCDVDKCDKDVPVTEQKESMHLEADVSESNKITDKEVGTSEGSSSTENELLVSKPQSESTAGNESALDFTSKSADLSCNTLDDVPESSKNGNSTDAVIDHKDVNVSYLPLVLACSDREDENVAKISSEASLSDHKASYQVTTVSEPEQELSTAAEQMMCEPVDNSVAAENACKTERQIEPLDVVIEKVSQDCTKDKELCPAPCDSTANEGDSIEALVEENDDKKARNVSEPTVDSEMLGPSALKESCPDSNQKGQEEHSAVVPRDNSFQQPAVQSSNEHGSSADLDKAAGGSPTVIRTTDLSRDESNKEELQRSSDQSVSVSEVTDGDVTKIQSASKDPPSQHDASKDENSFTFEVSPMADLPRRDSQKWRPFSNTEGGKASPIVDGSTSSSGFGQLDPKIAQGITHGSPKVPDVAVARGASKGSSERKTRRASGKATAKETAKKGNPVKVASSVRSERGDKTTHVSLSPSGVSQLVQSSEMQHHGHVDSSNVKPPFVLATSTSGLPDLNSSILAAAFQQPFTDVQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGSRSIWEKAWRLCIERLHSQKSHLITPETPLQSRSGARAPEQSSKQSALQSMVSSPAVRGSSKGATIVNPIVPPSSPLWSMPTPGDTLQTSGMPRSPVMDYQRALSPLHPHQGPVIRNFVGHGPSWLSQAPFGGPWVASPQASALDTSGRFSVQLPITETVQLTPVKESSVPHSSGAKPTVPMVQSATSAGVSVLDAKMVTAAANQTSADPKPRKRKKTSVSENPGQNVLPPPHVEPIIASVVANPVSTPIASTTPVGFFPKAPTEKFITSVTPTSSDLMLGVQNVETRAISSEDTLGKIKEARLQAEDAAALAASAATHSQEIWDQLDRQKNSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKVASDAAMQAKLMAEEAVVSCGHHNPSQLNVISLSDGMKIMGKATPASILQGGDGTNSSSSILVAAKEAARRNVETTLAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLSLSELVAAGPGGYWKVGQVTSELVSKSNDIGGGNMNVGSGGGPDTSTRQLKEVASDEKGNQISDIGKSPTSRVVSSEEHGRLLDGVSGSCAITAKDAKGQKGRKASDLTKTIGVVPESEKGAIYSTVQNEYGKGETLKENSIKESSIVEVFKDGSGFKAAWFPAKVLSLKEGKAYVSYTELASGEASEKLKEWVPLKGEGDEAPKIRVARPHTAMPFEGTRKRRRAAIGDYNWSVGDRVDAWIQDSWWEGVVTEQSKKDQPMVTVNFPAQGETAVLKPWELRPSLIWKDEEWIEWSNSGEKRHSSHGVDTPQVKRPRVRSPVVEAKGKGKASRSIDAMESDKSDDPTLLDLSADEKLFNIGKSTKVENRPGSMRMTRTGLQKQGSRVIFGVPKPGKKRKFMEVSKHYVADRSSQLNEANDSVKSTKHLMPQGAGSRGWKNTKAESNERRTAIPKPKVVKSAKPQNVSVRTIPQKDNPTSAVVAPPDDGAVAYNTTKAKDSLNHGENTLEKQNLMGFQSFSVSDGAIEGPVLFSALALPSDSVSSKKMYTSNTKPERMSKGKLAPAGGKLSKIEEDKPLNGNSTKSTSDPVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSASHDKSHKSRNASRGNNNG >Manes.01G081400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28418011:28436454:-1 gene:Manes.01G081400.v8.1 transcript:Manes.01G081400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDLQSQSFHLAGEGSNKFPPVLQPYALPKFDFDDSLHGTLRFDSLVETEVFLGIENNEDSQWIEDFSRGSSGIQFSPGAAESCSISRCNNVWSEATSSESVEMLLKSVGLEEHIPAQINTKESDGCDELGCIVKQMEPSSKQDTSIPARVVGITSLQPTLQPGEIPEKFSVLNDDGGQQPQVEDSSQIHQANASGDPCLGDLTAISVEVRLASAEGSHFSDDKCNDVNEKEVDTVINESFDNRTQEGSSPVKQTNNTFATAEVIITSNDGLINEGLSNHINEVADKNEDVSGTDMREHLEEGGVLSQGLQMHALVLNAEVDERDTSHLNNPLCMTSEESMEEATEIENNMGNVEEPNVTPKEDSGLEMHVHSVVPLLVVEGNTTVERHEIEKSDPSDIICAEDKVDESSGHAAVNYSLPEVCYSAEFINETHAECHVSLPAIVDCMQMCEENEVPKQCDVDKCDKDVPVTEQKESMHLEADVSESNKITDKEVGTSEGSSSTENELLVSKPQSESTAGNESALDFTSKSADLSCNTLDDVPESSKNGNSTDAVIDHKDVNVSYLPLVLACSDREDENVAKISSEASLSDHKASYQVTTVSEPEQELSTAAEQMMCEPVDNSVAAENACKTERQIEPLDVVIEKVSQDCTKDKELCPAPCDSTANEGDSIEALVEENDDKKARNVSEPTVDSEMLGPSALKESCPDSNQKGQEEHSAVVPRDNSFQQPAVQSSNVEHGSSADLDKAAGGSPTVIRTTDLSRDESNKEELQRSSDQSVSVSEVTDGDVTKIQSASKDPPSQHDASKDENSFTFEVSPMADLPRRDSQKWRPFSNTEGGKASPIVDGSTSSSGFGQLDPKIAQGITHGSPKVPDVAVARGASKGSSERKTRRASGKATAKETAKKGNPVKVASSVRSERGDKTTHVSLSPSGVSQLVQSSEMQHHGHVDSSNVKPPFVLATSTSGLPDLNSSILAAAFQQPFTDVQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGSRSIWEKAWRLCIERLHSQKSHLITPETPLQSRSGARAPEQSSKQSALQSMVSSPAVRGSSKGATIVNPIVPPSSPLWSMPTPGDTLQTSGMPRSPVMDYQRALSPLHPHQGPVIRNFVGHGPSWLSQAPFGGPWVASPQASALDTSGRFSVQLPITETVQLTPVKESSVPHSSGAKPTVPMVQSATSAGVSVLDAKMVTAAANQTSADPKPRKRKKTSVSENPGQNVLPPPHVEPIIASVVANPVSTPIASTTPVGFFPKAPTEKFITSVTPTSSDLMLGVQNVETRAISSEDTLGKIKEARLQAEDAAALAASAATHSQEIWDQLDRQKNSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKVASDAAMQAKLMAEEAVVSCGHHNPSQLNVISLSDGMKIMGKATPASILQGGDGTNSSSSILVAAKEAARRNVETTLAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLSLSELVAAGPGGYWKVGQVTSELVSKSNDIGGGNMNVGSGGGPDTSTRQLKEVASDEKGNQISDIGKSPTSRVVSSEEHGRLLDGVSGSCAITAKDAKGQKGRKASDLTKTIGVVPESEKGAIYSTVQNEYGKGETLKENSIKESSIVEVFKDGSGFKAAWFPAKVLSLKEGKAYVSYTELASGEASEKLKEWVPLKGEGDEAPKIRVARPHTAMPFEGTRKRRRAAIGDYNWSVGDRVDAWIQDSWWEGVVTEQSKKDQPMVTVNFPAQGETAVLKPWELRPSLIWKDEEWIEWSNSGEKRHSSHGVDTPQVKRPRVRSPVVEAKGKGKASRSIDAMESDKSDDPTLLDLSADEKLFNIGKSTKVENRPGSMRMTRTGLQKQGSRVIFGVPKPGKKRKFMEVSKHYVADRSSQLNEANDSVKSTKHLMPQGAGSRGWKNTKAESNERRTAIPKPKVVKSAKPQNVSVRTIPQKDNPTSAVVAPPDDGAVAYNTTKAKDSLNHGENTLEKQNLMGFQSFSVSDGAIEGPVLFSALALPSDSVSSKKMYTSNTKPERMSKGKLAPAGGKLSKIEEDKPLNGNSTKSTSDPVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSASHDKSHKSRNASRGNNNG >Manes.01G081400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28418044:28436455:-1 gene:Manes.01G081400.v8.1 transcript:Manes.01G081400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDLQSQSFHLAGEGSNKFPPVLQPYALPKFDFDDSLHGTLRFDSLVETEVFLGIENNEDSQWIEDFSRGSSGIQFSPGAAESCSISRCNNVWSEATSSESVEMLLKSVGLEEHIPAQINTKESDGCDELGCIVKQMEPSSKQDTSIPARVVGITSLQPTLQPGEIPEKFSVLNDDGGQQPQVEDSSQIHQANASGDPCLGDLTAISVEVRLASAEGSHFSDDKCNDVNEKEVDTVINESFDNRTQEGSSPVKQTNNTFATAEVIITSNDGLINEGLSNHINEVADKNEDVSGTDMREHLEEGGVLSQGLQMHALVLNAEVDERDTSHLNNPLCMTSEESMEEATEIENNMGNVEEPNVTPKEDSGLEMHVHSVVPLLVVEGNTTVERHEIEKSDPSDIICAEDKVDESSGHAAVNYSLPEVCYSAEFINETHAECHVSLPAIVDCMQMCEENEVPKQCDVDKCDKDVPVTEQKESMHLEADVSESNKITDKEVGTSEGSSSTENELLVSKPQSESTAGNESALDFTSKSADLSCNTLDDVPESSKNGNSTDAVIDHKDVNVSYLPLVLACSDREDENVAKISSEASLSDHKASYQVTTVSEPEQELSTAAEQMMCEPVDNSVAAENACKTERQIEPLDVVIEKVSQDCTKDKELCPAPCDSTANEGDSIEALVEENDDKKARNVSEPTVDSEMLGPSALKESCPDSNQKGQEEHSAVVPRDNSFQQPAVQSSNVEHGSSADLDKAAGGSPTVIRTTDLSRDESNKEELQRSSDQSVSVSEVTDGDVTKIQSASKDPPSQHDASKDENSFTFEVSPMADLPRRDSQKWRPFSNTEGGKASPIVDGSTSSSGFGQLDPKIAQGITHGSPKVPDVAVARGASKGSSERKTRRASGKATAKETAKKGNPVKVASSVRSERGDKTTHVSLSPSGVSQLVQSSEMQHHGHVDSSNVKPPFVLATSTSGLPDLNSSILAAAFQQPFTDVQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGSRSIWEKAWRLCIERLHSQKSHLITPETPLQSRSGARAPEQSSKQSALQSMVSSPAVRGSSKGATIVNPIVPPSSPLWSMPTPGDTLQTSGMPRSPVMDYQRALSPLHPHQGPVIRNFVGHGPSWLSQAPFGGPWVASPQASALDTSGRFSVQLPITETVQLTPVKESSVPHSSGAKPTVPMVQSATSAGVSVLDAKMVTAAANQTSADPKPRKRKKTSVSENPGQNVLPPPHVEPIIASVVANPVSTPIASTTPVGFFPKAPTEKFITSVTPTSSDLMLGVQNVETRAISSEDTLGKIKEARLQAEDAAALAASAATHSQEIWDQLDRQKNSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKVASDAAMQAKLMAEEAVVSCGHHNPSQLNVISLSDGMKIMGKATPASILQGGDGTNSSSSILVAAKEAARRNVETTLAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLSLSELVAAGPGGYWKVGQVTSELVSKSNDIGGGNMNVGSGGGPDTSTRQLKEVASDEKGNQISDIGKSPTSRVVSSEEHGRLLDGVSGSCAITAKDAKGQKGRKASDLTKTIGVVPESEKGAIYSTVQNEYGKGETLKENSIKESSIVEVFKDGSGFKAAWFPAKVLSLKEGKAYVSYTELASGEASEKLKEWVPLKGEGDEAPKIRVARPHTAMPFEGTRKRRRAAIGDYNWSVGDRVDAWIQDSWWEGVVTEQSKKDQPMVTVNFPAQGETAVLKPWELRPSLIWKDEEWIEWSNSGEKRHSSHGVDTPQVKRPRVRSPVVEAKGKGKASRSIDAMESDKSDDPTLLDLSADEKLFNIGKSTKVENRPGSMRMTRTGLQKQGSRVIFGVPKPGKKRKFMEVSKHYVADRSSQLNEANDSVKSTKHLMPQGAGSRGWKNTKAESNERRTAIPKPKVVKSAKPQNVSVRTIPQKDNPTSAVVAPPDDGAVAYNTTKAKDSLNHGENTLEKQNLMGFQSFSVSDGAIEGPVLFSALALPSDSVSSKKMYTSNTKPERMSKGKLAPAGGKLSKIEEDKPLNGNSTKSTSDPVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSASHDKSHKSRNASRGNNNG >Manes.01G081400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28418011:28436454:-1 gene:Manes.01G081400.v8.1 transcript:Manes.01G081400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDLQSQSFHLAGEGSNKFPPVLQPYALPKFDFDDSLHGTLRFDSLVETEVFLGIENNEDSQWIEDFSRGSSGIQFSPGAAESCSISRCNNVWSEATSSESVEMLLKSVGLEEHIPAQINTKESDGCDELGCIVKQMEPSSKQDTSIPARVVGITSLQPTLQPGEIPEKFSVLNDDGGQQPQVEDSSQIHQANASGDPCLGDLTAISVEVRLASAEGSHFSDDKCNDVNEKEVDTVINESFDNRTQEGSSPVKQTNNTFATAEVIITSNDGLINEGLSNHINEVADKNEDVSGTDMREHLEEGGVLSQGLQMHALVLNAEVDERDTSHLNNPLCMTSEESMEEATEIENNMGNVEEPNVTPKEDSGLEMHVHSVVPLLVVEGNTTVERHEIEKSDPSDIICAEDKVDESSGHAAVNYSLPEVCYSAEFINETHAECHVSLPAIVDCMQMCEENEVPKQCDVDKCDKDVPVTEQKESMHLEADVSESNKITDKEVGTSEGSSSTENELLVSKPQSESTAGNESALDFTSKSADLSCNTLDDVPESSKNGNSTDAVIDHKDVNVSYLPLVLACSDREDENVAKISSEASLSDHKASYQVTTVSEPEQELSTAAEQMMCEPVDNSVAAENACKTERQIEPLDVVIEKVSQDCTKDKELCPAPCDSTANEGDSIEALVEENDDKKARNVSEPTVDSEMLGPSALKESCPDSNQKGQEEHSAVVPRDNSFQQPAVQSSNEHGSSADLDKAAGGSPTVIRTTDLSRDESNKEELQRSSDQSVSVSEVTDGDVTKIQSASKDPPSQHDASKDENSFTFEVSPMADLPRRDSQKWRPFSNTEGGKASPIVDGSTSSSGFGQLDPKIAQGITHGSPKVPDVAVARGASKGSSERKTRRASGKATAKETAKKGNPVKVASSVRSERGDKTTHVSLSPSGVSQLVQSSEMQHHGHVDSSNVKPPFVLATSTSGLPDLNSSILAAAFQQPFTDVQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGSRSIWEKAWRLCIERLHSQKSHLITPETPLQSRSGARAPEQSSKQSALQSMVSSPAVRGSSKGATIVNPIVPPSSPLWSMPTPGDTLQTSGMPRSPVMDYQRALSPLHPHQGPVIRNFVGHGPSWLSQAPFGGPWVASPQASALDTSGRFSVQLPITETVQLTPVKESSVPHSSGAKPTVPMVQSATSAGVSVLDAKMVTAAANQTSADPKPRKRKKTSVSENPGQNVLPPPHVEPIIASVVANPVSTPIASTTPVGFFPKAPTEKFITSVTPTSSDLMLGVQNVETRAISSEDTLGKIKEARLQAEDAAALAASAATHSQEIWDQLDRQKNSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKVASDAAMQAKLMAEEAVVSCGHHNPSQLNVISLSDGMKIMGKATPASILQGGDGTNSSSSILVAAKEAARRNVETTLAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLSLSELVAAGPGGYWKVGQVTSELVSKSNDIGGGNMNVGSGGGPDTSTRQLKEVASDEKGNQISDIGKSPTSRVVSSEEHGRLLDGVSGSCAITAKDAKGQKGRKASDLTKTIGVVPESEKGAIYSTVQNEYGKGETLKENSIKESSIVEVFKDGSGFKAAWFPAKVLSLKEGKAYVSYTELASGEASEKLKEWVPLKGEGDEAPKIRVARPHTAMPFEGTRKRRRAAIGDYNWSVGDRVDAWIQDSWWEGVVTEQSKKDQPMVTVNFPAQGETAVLKPWELRPSLIWKDEEWIEWSNSGEKRHSSHGVDTPQVKRPRVRSPVVEAKGKGKASRSIDAMESDKSDDPTLLDLSADEKLFNIGKSTKVENRPGSMRMTRTGLQKQGSRVIFGVPKPGKKRKFMEVSKHYVADRSSQLNEANDSVKSTKHLMPQGAGSRGWKNTKAESNERRTAIPKPKVVKSAKPQNVSVRTIPQKDNPTSAVVAPPDDGAVAYNTTKAKDSLNHGENTLEKQNLMGFQSFSVSDGAIEGPVLFSALALPSDSVSSKKMYTSNTKPERMSKGKLAPAGGKLSKIEEDKPLNGNSTKSTSDPVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSASHDKSHKSRNASRGNNNG >Manes.01G081400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28418044:28436454:-1 gene:Manes.01G081400.v8.1 transcript:Manes.01G081400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDLQSQSFHLAGEGSNKFPPVLQPYALPKFDFDDSLHGTLRFDSLVETEVFLGIENNEDSQWIEDFSRGSSGIQFSPGAAESCSISRCNNVWSEATSSESVEMLLKSVGLEEHIPAQINTKESDGCDELGCIVKQMEPSSKQDTSIPARVVGITSLQPTLQPGEIPEKFSVLNDDGGQQPQVEDSSQIHQANASGDPCLGDLTAISVEVRLASAEGSHFSDDKCNDVNEKEVDTVINESFDNRTQEGSSPVKQTNNTFATAEVIITSNDGLINEGLSNHINEVADKNEDVSGTDMREHLEEGGVLSQGLQMHALVLNAEVDERDTSHLNNPLCMTSEESMEEATEIENNMGNVEEPNVTPKEDSGLEMHVHSVVPLLVVEGNTTVERHEIEKSDPSDIICAEDKVDESSGHAAVNYSLPEVCYSAEFINETHAECHVSLPAIVDCMQMCEENEVPKQCDVDKCDKDVPVTEQKESMHLEADVSESNKITDKEVGTSEGSSSTENELLVSKPQSESTAGNESALDFTSKSADLSCNTLDDVPESSKNGNSTDAVIDHKDVNVSYLPLVLACSDREDENVAKISSEASLSDHKASYQVTTVSEPEQELSTAAEQMMCEPVDNSVAAENACKTERQIEPLDVVIEKVSQDCTKDKELCPAPCDSTANEGDSIEALVEENDDKKARNVSEPTVDSEMLGPSALKESCPDSNQKGQEEHSAVVPRDNSFQQPAVQSSNVEHGSSADLDKAAGGSPTVIRTTDLSRDESNKEELQRSSDQSVSVSEVTDGDVTKIQSASKDPPSQHDASKDENSFTFEVSPMADLPRRDSQKWRPFSNTEGGKASPIVDGSTSSSGFGQLDPKIAQGITHGSPKVPDVAVARGASKGSSERKTRRASGKATAKETAKKGNPVKVASSVRSERGDKTTHVSLSPSGVSQLVQSSEMQHHGHVDSSNVKPPFVLATSTSGLPDLNSSILAAAFQQPFTDVQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGSRSIWEKAWRLCIERLHSQKSHLITPETPLQSRSGARAPEQSSKQSALQSMVSSPAVRGSSKGATIVNPIVPPSSPLWSMPTPGDTLQTSGMPRSPVMDYQRALSPLHPHQGPVIRNFVGHGPSWLSQAPFGGPWVASPQASALDTSGRFSVQLPITETVQLTPVKESSVPHSSGAKPTVPMVQSATSAGVSVLDAKMVTAAANQTSADPKPRKRKKTSVSENPGQNVLPPPHVEPIIASVVANPVSTPIASTTPVGFFPKAPTEKFITSVTPTSSDLMLGVQNVETRAISSEDTLGKIKEARLQAEDAAALAASAATHSQEIWDQLDRQKNSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKVASDAAMQAKLMAEEAVVSCGHHNPSQLNVISLSDGMKIMGKATPASILQGGDGTNSSSSILVAAKEAARRNVETTLAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLSLSELVAAGPGGYWKVGQVTSELVSKSNDIGGGNMNVGSGGGPDTSTRQLKEVASDEKGNQISDIGKSPTSRVVSSEEHGRLLDGVSGSCAITAKDAKGQKGRKASDLTKTIGVVPESEKGAIYSTVQNEYGKGETLKENSIKESSIVEVFKDGSGFKAAWFPAKVLSLKEGKAYVSYTELASGEASEKLKEWVPLKGEGDEAPKIRVARPHTAMPFEGTRKRRRAAIGDYNWSVGDRVDAWIQDSWWEGVVTEQSKKDQPMVTVNFPAQGETAVLKPWELRPSLIWKDEEWIEWSNSGEKRHSSHGVDTPQVKRPRVRSPVVEAKGKGKASRSIDAMESDKSDDPTLLDLSADEKLFNIGKSTKVENRPGSMRMTRTGLQKQGSRVIFGVPKPGKKRKFMEVSKHYVADRSSQLNEANDSVKSTKHLMPQGAGSRGWKNTKAESNERRTAIPKPKVVKSAKPQNVSVRTIPQKDNPTSAVVAPPDDGAVAYNTTKAKDSLNHGENTLEKQNLMGFQSFSVSDGAIEGPVLFSALALPSDSVSSKKMYTSNTKPERMSKGKLAPAGGKLSKIEEDKPLNGNSTKSTSDPVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSASHDKSHKSRNASRGNNNG >Manes.01G081400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28418044:28436454:-1 gene:Manes.01G081400.v8.1 transcript:Manes.01G081400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDLQSQSFHLAGEGSNKFPPVLQPYALPKFDFDDSLHGTLRFDSLVETEVFLGIENNEDSQWIEDFSRGSSGIQFSPGAAESCSISRCNNVWSEATSSESVEMLLKSVGLEEHIPAQINTKESDGCDELGCIVKQMEPSSKQDTSIPARVVGITSLQPTLQPGEIPEKFSVLNDDGGQQPQVEDSSQIHQANASGDPCLGDLTAISVEVRLASAEGSHFSDDKCNDVNEKEVDTVINESFDNRTQEGSSPVKQTNNTFATAEVIITSNDGLINEGLSNHINEVADKNEDVSGTDMREHLEEGGVLSQGLQMHALVLNAEVDERDTSHLNNPLCMTSEESMEEATEIENNMGNVEEPNVTPKEDSGLEMHVHSVVPLLVVEGNTTVERHEIEKSDPSDIICAEDKVDESSGHAAVNYSLPEVCYSAEFINETHAECHVSLPAIVDCMQMCEENEVPKQCDVDKCDKDVPVTEQKESMHLEADVSESNKITDKEVGTSEGSSSTENELLVSKPQSESTAGNESALDFTSKSADLSCNTLDDVPESSKNGNSTDAVIDHKDVNVSYLPLVLACSDREDENVAKISSEASLSDHKASYQVTTVSEPEQELSTAAEQMMCEPVDNSVAAENACKTERQIEPLDVVIEKVSQDCTKDKELCPAPCDSTANEGDSIEALVEENDDKKARNVSEPTVDSEMLGPSALKESCPDSNQKGQEEHSAVVPRDNSFQQPAVQSSNVEHGSSADLDKAAGGSPTVIRTTDLSRDESNKEELQRSSDQSVSVSEVTDGDVTKIQSASKDPPSQHDASKDENSFTFEVSPMADLPRRDSQKWRPFSNTEGGKASPIVDGSTSSSGFGQLDPKIAQGITHGSPKVPDVAVARGASKGSSERKTRRASGKATAKETAKKGNPVKVASSVRSERGDKTTHVSLSPSGVSQLVQSSEMQHHGHVDSSNVKPPFVLATSTSGLPDLNSSILAAAFQQPFTDVQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGSRSIWEKAWRLCIERLHSQKSHLITPETPLQSRSGARAPEQSSKQSALQSMVSSPAVRGSSKGATIVNPIVPPSSPLWSMPTPGDTLQTSGMPRSPVMDYQRALSPLHPHQGPVIRNFVGHGPSWLSQAPFGGPWVASPQASALDTSGRFSVQLPITETVQLTPVKESSVPHSSGAKPTVPMVQSATSAGVSVLDAKMVTAAANQTSADPKPRKRKKTSVSENPGQNVLPPPHVEPIIASVVANPVSTPIASTTPVGFFPKAPTEKFITSVTPTSSDLMLGVQNVETRAISSEDTLGKIKEARLQAEDAAALAASAATHSQEIWDQLDRQKNSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKVASDAAMQAKLMAEEAVVSCGHHNPSQLNVISLSDGMKIMGKATPASILQGGDGTNSSSSILVAAKEAARRNVETTLAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLSLSELVAAGPGGYWKVGQVTSELVSKSNDIGGGNMNVGSGGGPDTSTRQLKEVASDEKGNQISDIGKSPTSRVVSSEEHGRLLDGVSGSCAITAKDAKGQKGRKASDLTKTIGVVPESEKGAIYSTVQNEYGKGETLKENSIKESSIVEVFKDGSGFKAAWFPAKVLSLKEGKAYVSYTELASGEASEKLKEWVPLKGEGDEAPKIRVARPHTAMPFEGTRKRRRAAIGDYNWSVGDRVDAWIQDSWWEGVVTEQSKKDQPMVTVNFPAQGETAVLKPWELRPSLIWKDEEWIEWSNSGEKRHSSHGVDTPQVKRPRVRSPVVEAKGKGKASRSIDAMESDKSDDPTLLDLSADEKLFNIGKSTKVENRPGSMRMTRTGLQKQGSRVIFGVPKPGKKRKFMEVSKHYVADRSSQLNEANDSVKSTKHLMPQGAGSRGWKNTKAESNERRTAIPKPKVVKSAKPQNVSVRTIPQKDNPTSAVVAPPDDGAVAYNTTKAKDSLNHGENTLEKQNLMGFQSFSVSDGAIEGPVLFSALALPSDSVSSKKMYTSNTKPERMSKGKLAPAGGKLSKIEEDKPLNGNSTKSTSDPVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSASHDKSHKSRNASRGNNNG >Manes.01G081400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28418044:28436454:-1 gene:Manes.01G081400.v8.1 transcript:Manes.01G081400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDLQSQSFHLAGEGSNKFPPVLQPYALPKFDFDDSLHGTLRFDSLVETEVFLGIENNEDSQWIEDFSRGSSGIQFSPGAAESCSISRCNNVWSEATSSESVEMLLKSVGLEEHIPAQINTKESDGCDELGCIVKQMEPSSKQDTSIPARVVGITSLQPTLQPGEIPEKFSVLNDDGGQQPQVEDSSQIHQANASGDPCLGDLTAISVEVRLASAEGSHFSDDKCNDVNEKEVDTVINESFDNRTQEGSSPVKQTNNTFATAEVIITSNDGLINEGLSNHINEVADKNEDVSGTDMREHLEEGGVLSQGLQMHALVLNAEVDERDTSHLNNPLCMTSEESMEEATEIENNMGNVEEPNVTPKEDSGLEMHVHSVVPLLVVEGNTTVERHEIEKSDPSDIICAEDKVDESSGHAAVNYSLPEVCYSAEFINETHAECHVSLPAIVDCMQMCEENEVPKQCDVDKCDKDVPVTEQKESMHLEADVSESNKITDKEVGTSEGSSSTENELLVSKPQSESTAGNESALDFTSKSADLSCNTLDDVPESSKNGNSTDAVIDHKDVNVSYLPLVLACSDREDENVAKISSEASLSDHKASYQVTTVSEPEQELSTAAEQMMCEPVDNSVAAENACKTERQIEPLDVVIEKVSQDCTKDKELCPAPCDSTANEGDSIEALVEENDDKKARNVSEPTVDSEMLGPSALKESCPDSNQKGQEEHSAVVPRDNSFQQPAVQSSNEHGSSADLDKAAGGSPTVIRTTDLSRDESNKEELQRSSDQSVSVSEVTDGDVTKIQSASKDPPSQHDASKDENSFTFEVSPMADLPRRDSQKWRPFSNTEGGKASPIVDGSTSSSGFGQLDPKIAQGITHGSPKVPDVAVARGASKGSSERKTRRASGKATAKETAKKGNPVKVASSVRSERGDKTTHVSLSPSGVSQLVQSSEMQHHGHVDSSNVKPPFVLATSTSGLPDLNSSILAAAFQQPFTDVQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGSRSIWEKAWRLCIERLHSQKSHLITPETPLQSRSGARAPEQSSKQSALQSMVSSPAVRGSSKGATIVNPIVPPSSPLWSMPTPGDTLQTSGMPRSPVMDYQRALSPLHPHQGPVIRNFVGHGPSWLSQAPFGGPWVASPQASALDTSGRFSVQLPITETVQLTPVKESSVPHSSGAKPTVPMVQSATSAGVSVLDAKMVTAAANQTSADPKPRKRKKTSVSENPGQNVLPPPHVEPIIASVVANPVSTPIASTTPVGFFPKAPTEKFITSVTPTSSDLMLGVQNVETRAISSEDTLGKIKEARLQAEDAAALAASAATHSQEIWDQLDRQKNSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKVASDAAMQAKLMAEEAVVSCGHHNPSQLNVISLSDGMKIMGKATPASILQGGDGTNSSSSILVAAKEAARRNVETTLAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLSLSELVAAGPGGYWKVGQVTSELVSKSNDIGGGNMNVGSGGGPDTSTRQLKEVASDEKGNQISDIGKSPTSRVVSSEEHGRLLDGVSGSCAITAKDAKGQKGRKASDLTKTIGVVPESEKGAIYSTVQNEYGKGETLKENSIKESSIVEVFKDGSGFKAAWFPAKVLSLKEGKAYVSYTELASGEASEKLKEWVPLKGEGDEAPKIRVARPHTAMPFEGTRKRRRAAIGDYNWSVGDRVDAWIQDSWWEGVVTEQSKKDQPMVTVNFPAQGETAVLKPWELRPSLIWKDEEWIEWSNSGEKRHSSHGVDTPQVKRPRVRSPVVEAKGKGKASRSIDAMESDKSDDPTLLDLSADEKLFNIGKSTKVENRPGSMRMTRTGLQKQGSRVIFGVPKPGKKRKFMEVSKHYVADRSSQLNEANDSVKSTKHLMPQGAGSRGWKNTKAESNERRTAIPKPKVVKSAKPQNVSVRTIPQKDNPTSAVVAPPDDGAVAYNTTKAKDSLNHGENTLEKQNLMGFQSFSVSDGAIEGPVLFSALALPSDSVSSKKMYTSNTKPERMSKGKLAPAGGKLSKIEEDKPLNGNSTKSTSDPVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSASHDKSHKSRNASRGNNNG >Manes.01G081400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28418044:28436454:-1 gene:Manes.01G081400.v8.1 transcript:Manes.01G081400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDNDLQSQSFHLAGEGSNKFPPVLQPYALPKFDFDDSLHGTLRFDSLVETEVFLGIENNEDSQWIEDFSRGSSGIQFSPGAAESCSISRCNNVWSEATSSESVEMLLKSVGLEEHIPAQINTKESDGCDELGCIVKQMEPSSKQDTSIPARVVGITSLQPTLQPGEIPEKFSVLNDDGGQQPQVEDSSQIHQANASGDPCLGDLTAISVEVRLASAEGSHFSDDKCNDVNEKEVDTVINESFDNRTQEGSSPVKQTNNTFATAEVIITSNDGLINEGLSNHINEVADKNEDVSGTDMREHLEEGGVLSQGLQMHALVLNAEVDERDTSHLNNPLCMTSEESMEEATEIENNMGNVEEPNVTPKEDSGLEMHVHSVVPLLVVEGNTTVERHEIEKSDPSDIICAEDKVDESSGHAAVNYSLPEVCYSAEFINETHAECHVSLPAIVDCMQMCEENEVPKQCDVDKCDKDVPVTEQKESMHLEADVSESNKITDKEVGTSEGSSSTENELLVSKPQSESTAGNESALDFTSKSADLSCNTLDDVPESSKNGNSTDAVIDHKDVNVSYLPLVLACSDREDENVAKISSEASLSDHKASYQVTTVSEPEQELSTAAEQMMCEPVDNSVAAENACKTERQIEPLDVVIEKVSQDCTKDKELCPAPCDSTANEGDSIEALVEENDDKKARNVSEPTVDSEMLGPSALKESCPDSNQKGQEEHSAVVPRDNSFQQPAVQSSNEHGSSADLDKAAGGSPTVIRTTDLSRDESNKEELQRSSDQSVSVSEVTDGDVTKIQSASKDPPSQHDASKDENSFTFEVSPMADLPRRDSQKWRPFSNTEGGKASPIVDGSTSSSGFGQLDPKIAQGITHGSPKVPDVAVARGASKGSSERKTRRASGKATAKETAKKGNPVKVASSVRSERGDKTTHVSLSPSGVSQLVQSSEMQHHGHVDSSNVKPPFVLATSTSGLPDLNSSILAAAFQQPFTDVQQVQLRAQIFVYGALIQGTPPDEAYMISAFGGPDGSRSIWEKAWRLCIERLHSQKSHLITPETPLQSRSGARAPEQSSKQSALQSMVSSPAVRGSSKGATIVNPIVPPSSPLWSMPTPGDTLQTSGMPRSPVMDYQRALSPLHPHQGPVIRNFVGHGPSWLSQAPFGGPWVASPQASALDTSGRFSVQLPITETVQLTPVKESSVPHSSGAKPTVPMVQSATSAGVSVLDAKMVTAAANQTSADPKPRKRKKTSVSENPGQNVLPPPHVEPIIASVVANPVSTPIASTTPVGFFPKAPTEKFITSVTPTSSDLMLGVQNVETRAISSEDTLGKIKEARLQAEDAAALAASAATHSQEIWDQLDRQKNSGLLPDVETKLASAAVAIAAAAAVAKAAAAAAKVASDAAMQAKLMAEEAVVSCGHHNPSQLNVISLSDGMKIMGKATPASILQGGDGTNSSSSILVAAKEAARRNVETTLAASKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLSLSELVAAGPGGYWKVGQVTSELVSKSNDIGGGNMNVGSGGGPDTSTRQLKEVASDEKGNQISDIGKSPTSRVVSSEEHGRLLDGVSGSCAITAKDAKGQKGRKASDLTKTIGVVPESEKGAIYSTVQNEYGKGETLKENSIKESSIVEVFKDGSGFKAAWFPAKVLSLKEGKAYVSYTELASGEASEKLKEWVPLKGEGDEAPKIRVARPHTAMPFEGTRKRRRAAIGDYNWSVGDRVDAWIQDSWWEGVVTEQSKKDQPMVTVNFPAQGETAVLKPWELRPSLIWKDEEWIEWSNSGEKRHSSHGVDTPQVKRPRVRSPVVEAKGKGKASRSIDAMESDKSDDPTLLDLSADEKLFNIGKSTKVENRPGSMRMTRTGLQKQGSRVIFGVPKPGKKRKFMEVSKHYVADRSSQLNEANDSVKSTKHLMPQGAGSRGWKNTKAESNERRTAIPKPKVVKSAKPQNVSVRTIPQKDNPTSAVVAPPDDGAVAYNTTKAKDSLNHGENTLEKQNLMGFQSFSVSDGAIEGPVLFSALALPSDSVSSKKMYTSNTKPERMSKGKLAPAGGKLSKIEEDKPLNGNSTKSTSDPVEPRRSNRRIQPTSRLLEGLQSSLMVSKIPSASHDKSHKSRNASRGNNNG >Manes.18G080900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7431481:7432691:-1 gene:Manes.18G080900.v8.1 transcript:Manes.18G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKDIIRENVLRYLPAKSLRRCSCVCQDWKNYISTPFFAHSQSNSFHQVSGFFCQSPSSILPSFISLDPTAYGVPDPSLRFLPEPVDIRCSSNGLLCCQAQGTGYKPYYICNPVTQKWKKLPKPDANHGSDPALVLVFEPPLEKIVVEYRLICAFQSDTVGYKFDIYSSAEGTWRTSREICIGNWQIFPHTGVYVNGVVYWRPRSKIRILAFDLTSERATPFFSFVIGCLGNVNGKLCSAYRHGSNLLVFKQANAFFNKVMKFGWKEFSLEDSGIVMLSEDDGRVLFVGGETAVIYLETTLISYNMQTKNIKELPTEADDGRRMIPYVNSLVQL >Manes.01G192600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36742547:36747218:-1 gene:Manes.01G192600.v8.1 transcript:Manes.01G192600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDAPLHDHDCVLANILAAHFLFSADPSRAPFHFQAAKSRLAQATPYERAVFEAINSLVSENRDDDVAIESHAKLLNDYPKDLVSLKRAQVLCFYMGRPDLSLDLVHQVLPKNEQEDYIYGMLAFPLLELGRMADAEKAARKGYEKNKQDCWAQHAVCHVLQYECRFKEAVEFMEGCSSSWNSCSSFMLTHNWWHVALCYLEGHASMEEVLGVYDHQIWKELERDDAASPEVYLNALGLLLRVHVRNTLDIFEDRLKALADRVKDEANWHVEWHLDLLISWALAKTGELSKAEDLLKGLKSRISSMNKKKQQRMRRGMLLAEALYEYGRGNNKQALEVLGPDFDANDCKMIGASDEQLDVFNEVWYDMLLSTGQATKAIEVIEKRIKKREGVPFMWRLLERGYAMTGSQEAEVASEKARALEAAYFV >Manes.01G192600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36742547:36747218:-1 gene:Manes.01G192600.v8.1 transcript:Manes.01G192600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVKLDRWGYQVNTTSDACITAINAYYHQVLSYGRERRMILDAPLHDHDCVLANILAAHFLFSADPSRAPFHFQAAKSRLAQATPYERAVFEAINSLVSENRDDDVAIESHAKLLNDYPKDLVSLKRAQVLCFYMGRPDLSLDLVHQVLPKNEQEDYIYGMLAFPLLELGRMADAEKAARKGYEKNKQDCWAQHAVCHVLQYECRFKEAVEFMEGCSSSWNSCSSFMLTHNWWHVALCYLEGHASMEEVLGVYDHQIWKELERDDAASPEVYLNALGLLLRVHVRNTLDIFEDRLKALADRVKDEANWHVEWHLDLLISWALAKTGELSKAEDLLKGLKSRISSMNKKKQQRMRRGMLLAEALYEYGRGNNKQALEVLGPDFDANDCKMIGASDEQLDVFNEVWYDMLLSTGQATKAIEVIEKRIKKREGVPFMWRLLERGYAMTGSQEAEVASEKARALEAAYFV >Manes.01G192600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36742469:36747301:-1 gene:Manes.01G192600.v8.1 transcript:Manes.01G192600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVKLDRWGYQVNTTSDACITAINAYYHQVLSYGRERRMILDAPLHDHDCVLANILAAHFLFSADPSRAPFHFQAAKSRLAQATPYERAVFEAINSLVSENRDDDVAIESHAKLLNDYPKDLVSLKRAQVLCFYMGRPDLSLDLVHQVLPKNEQEDYIYGMLAFPLLELGRMADAEKAARKGYEKNKQDCWAQHAVCHVLQYECRFKEAVEFMEGCSSSWNSCSSFMLTHNWWHVALCYLEGHASMEEVLGVYDHQIWKELERDDAASPEVYLNALGLLLRVHVRNTLDIFEDRLKALADRVKDEANWHVEWHLDLLISWALAKTGELSKAEDLLKGLKSRISSMNKKKQQRMRRGMLLAEALYEYGRGNNKQALEVLGPDFDANDCKMIGASDEQLDVFNEVWYDMLLSTGQATKAIEVIEKRIKKREGVPFMWRLLERGYAMTGSQEAEVASEKARALEAAYFV >Manes.06G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22189069:22192157:1 gene:Manes.06G086200.v8.1 transcript:Manes.06G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPHVMVVPFPAQGHVAPLMKLAYNLAGHGIKVTFVNTESIHVKLTSAMPGKFKEQSPICLVSIPEGLEPNHDGKDIFEAIENASIFMPGHLQTLIENIKQLNDDDQVTHVVADISVGWALETAEKMGIKRAAFVPYGAGNLALILHNPMLIEAGIIDVHGTPLKDERISLSEEIPAWNKNELLWSCPDNLEAQKFTFRHFVRKAVEIVKISDWLLVNSFYELEQPACDLIPNSLPIGPLSASDHLGTYPGNFWPEDSTCLSWLDQQPPRSVIYAAFGSSVVCDQKQFNELAYGLENLGLPFLWVVRSNFTNGLQVEFPDGFIKRVEKYGKIVQWAPQEKVLAHPSTACFFSHCGWNSTMEGIIKGVPFLCWPYIVDQLHNKSYICETWKVGIELIPDDNGIVTRHEIKTKLEKLLSDEDIEANSLKLKEMARKSTSGDGGSSFKNFISFVEQIKQ >Manes.14G022900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2209189:2209347:1 gene:Manes.14G022900.v8.1 transcript:Manes.14G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCKGCGKLGRMVPRDESVSVYHFSLLLSPVVSVWDCVVRKMRYSFRPEWV >Manes.08G100100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33374237:33377422:-1 gene:Manes.08G100100.v8.1 transcript:Manes.08G100100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDQGKGMYLLFIKPEISTPCGLMARPVLTSYYKSSNFRNRPFNRYNVYTSPDETILCPDSKQSMYCQLLCGLLQRDDVLRVGAIFASAFLRAIKFLEDYWRELCSNIRTGCISDWINDPDCRNAVSSILKSPNSELADLIEYECSNKSWEGIIKKLWPRTKYIEVIVTGSMAQYITTLEFYSGGLPLVSTMYASSECYFGINFKPLSTPSDVCYTLLPNMAYFEFLPVERENGDVIQDLQYNGVSDQNCIHKEDELKKKKHMETVDLVDVKLGHYYELVVTTFTGLYRYRVGDILMVTGFNMNAPQFRFVHRRNVVLSIDTDKTNEEDLLKAVTQAKILLEPLGFLLTEYTSFADTSSIPGHYVLFWELKARGNNEPGELDHIIMEQCCSKVEESLDSVYRRCRKKDKSIGPLEIRVVKHGTFDALMDFCLSQGSSVNQYKTPRCIKSEAALNILDSRVVGNFFSKKTPFWEPFRMQN >Manes.08G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33374237:33377421:-1 gene:Manes.08G100100.v8.1 transcript:Manes.08G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSYDPNDNEAGLQLLEDLTTNASQIQQQVLEEILTKNANTEYLKGFLHGLSDKENFKKKVPIVNYEDIRPFIERIANGEPSTVISSQPITELLTSSGTSGGLPKMMPSTAEDLDRKTLFYNLLVPIMNKYMDGLDQGKGMYLLFIKPEISTPCGLMARPVLTSYYKSSNFRNRPFNRYNVYTSPDETILCPDSKQSMYCQLLCGLLQRDDVLRVGAIFASAFLRAIKFLEDYWRELCSNIRTGCISDWINDPDCRNAVSSILKSPNSELADLIEYECSNKSWEGIIKKLWPRTKYIEVIVTGSMAQYITTLEFYSGGLPLVSTMYASSECYFGINFKPLSTPSDVCYTLLPNMAYFEFLPVERENGDVIQDLQYNGVSDQNCIHKEDELKKKKHMETVDLVDVKLGHYYELVVTTFTGLYRYRVGDILMVTGFNMNAPQFRFVHRRNVVLSIDTDKTNEEDLLKAVTQAKILLEPLGFLLTEYTSFADTSSIPGHYVLFWELKARGNNEPGELDHIIMEQCCSKVEESLDSVYRRCRKKDKSIGPLEIRVVKHGTFDALMDFCLSQGSSVNQYKTPRCIKSEAALNILDSRVVGNFFSKKTPFWEPFRMQN >Manes.08G100100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33374237:33377421:-1 gene:Manes.08G100100.v8.1 transcript:Manes.08G100100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSTAEDLDRKTLFYNLLVPIMNKYMDGLDQGKGMYLLFIKPEISTPCGLMARPVLTSYYKSSNFRNRPFNRYNVYTSPDETILCPDSKQSMYCQLLCGLLQRDDVLRVGAIFASAFLRAIKFLEDYWRELCSNIRTGCISDWINDPDCRNAVSSILKSPNSELADLIEYECSNKSWEGIIKKLWPRTKYIEVIVTGSMAQYITTLEFYSGGLPLVSTMYASSECYFGINFKPLSTPSDVCYTLLPNMAYFEFLPVERENGDVIQDLQYNGVSDQNCIHKEDELKKKKHMETVDLVDVKLGHYYELVVTTFTGLYRYRVGDILMVTGFNMNAPQFRFVHRRNVVLSIDTDKTNEEDLLKAVTQAKILLEPLGFLLTEYTSFADTSSIPGHYVLFWELKARGNNEPGELDHIIMEQCCSKVEESLDSVYRRCRKKDKSIGPLEIRVVKHGTFDALMDFCLSQGSSVNQYKTPRCIKSEAALNILDSRVVGNFFSKKTPFWEPFRMQN >Manes.03G020500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1736137:1737497:1 gene:Manes.03G020500.v8.1 transcript:Manes.03G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKECLWDMEANNNIVAPFVMKTYQIVNDPSTDTLITWGKANNSFVVIDPLDFSQRILPAYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEWFLRGQKHLLKNIVRRRKSKGCCLQTNNKIENLGNEELFMEIVGLNQEQSVIEKELEGMNKRLEATERRPRQMMYFLNKVIEDPDLLPRMMLQKEKTSNKKPCLMISSSLTSMSTSSGGGAVVSSSVKSVDEEGNLGVISSQETGFCQSLQSPETNIIRWMGENYYGHDTAANSLTSISMGGEIGNSNVTVVRGSVFAAGA >Manes.16G111000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31660607:31661464:-1 gene:Manes.16G111000.v8.1 transcript:Manes.16G111000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTMRVNSSPETETESSFNSSPPPPPPPHHHHHHHQPPPCSPSSSGLSPNPKRNPDPEENPGNPKRARDNSKHPVYRGVRMRTWGKWVSEIREPRKKNRIWLGTFSTPEMAARAHDVAALSIKGNSAILNFPELASSLPRPASNSPRDVQAAAAKAASMDINISNSNNDDHPNHDNNCTRNNNASSSSTLTQSSSSSTAEVTSSPCDVASPDELSEIVELPSLGSIFEESPELSDEFVFGDPWLYNLPWYEDYCGGSGYISDNHLPVMPESVITSSFDVFLWGN >Manes.03G084800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18819005:18823810:1 gene:Manes.03G084800.v8.1 transcript:Manes.03G084800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Manes.03G084800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18817119:18823810:1 gene:Manes.03G084800.v8.1 transcript:Manes.03G084800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDTVHSNTHGNLDEQINQLMQCKPLSEPDVRTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Manes.08G025800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2526909:2532414:1 gene:Manes.08G025800.v8.1 transcript:Manes.08G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLNVPQSSFFRSIPSNQKIPRRTISIIRATSETPLSSTSTSTTTKEDQKLETSFTVPPNFKPPEPKPFTVKGGQTLDILGASLSLLFRLGTGVFVSGYSASFVPQNEIPPDQYALGVAGYKVKETSKVGPRPEKPIEIYEFESCPFCRKVREIVGVLDIDVLFYPCPKNGPTFRPKVVQMGGKQQFPYMVDPNTGTAMYESDDIIRYLVGKYGDGNVPFMLSLGLLTTLTEGFAMIGRMGKGSSYSPSKLPPKPLELWSYEGSPFCKIVRETLVELELPHIQRSCARGSSKRQVLYQKAGHFQVPYLEDPNTGVQMFESGDIIEYLKATYAQ >Manes.16G003300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:497657:503729:1 gene:Manes.16G003300.v8.1 transcript:Manes.16G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGLSAAINLLSAIVFLLAFAILRLQPFNDRVYFPKWYLKGLRSSPTRSGAFMQRFVNLDFRSYLRFLNWMPEAIRMPEPELIEHAGLDSAVYLRIYLIGLKIFVPITFLAWAILVPVNWTNDTLELAKLSSNVTSSDIDKLSISNIPLGSERFWTHIVMAYAFTFWTCYVLMKEYEKVATMRLQFLASERRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPDHYLTHQVVYNSNKLAKLVKKKKSMQNWLDYYQLRYSRNQTQRPLKKTGFLGLWGQKVDAIDHYISEIEKLSKEIAEEREKVETDPKSVMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTEWASEPRDVYWDNLAIPYVSLAIRRLIMNVAFFFLTFFFMIPIAFVQALASIEGIEKRASFLKPIIEIKFIKSLIQGFLPGIALKLFLIFLPTILMIMSKFEGFTSLSSLERRSAMRYYFFNIVNVFLGSIIAGSAFEQLNSFMKQSASEIPKTIGVAIPMKATFFITYIMVDGWAGIAGEVLMLKPLIIFHLKNFFLVKTEKDREEAMDPGSLGFNTGEPRIQFYFLLGLVYATVTPILLPFIIIFFAFAYVVFRHQIINVYNQEYESGAAFWPDVHGRVIIALIISQVLLIGLLSTKHAAQSTPFLIALPVLTIWFHSFCKGRYEPAFKKYPLQEAMMKDTLERAREPNLNLKAYLQNAYMHPVFKGDDDDDDDISEKLETESVLVPTKRQSRRNTPVPSRISGASSPSLPEAIKEDPEP >Manes.06G071500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20743491:20746529:-1 gene:Manes.06G071500.v8.1 transcript:Manes.06G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAEKMQRCHEYVEALEEEKRKIQVFQRELPLCLELVTQAIEACRRELSGTTTEYMHGQSECSEQTLSEGTRPVLEEFIPIKRTHSSSDNDNDDDDENDNDDQEQHSHKRNKTVVNNSGSSNNKDKNSSDHRKKSDWLRSVQLWNQSPDPSPEEDVPRKAVVTELKRNGGAFQPFQKEKTTGKSIQTIAKTPSSVPASATSSTADTGTGAGIVGGSERKEEKNGQNERKQRRCWSPELHRRFLHALQQLGGSHAATPKQIREIMKVDGLTNDEVKSHLQKYRLHTRRPSPAIHNNSNPQAAPQFVVVGGIWVPPPEYAAVATTTASGETSTLAAAAANGIYAPVAAPPPTSHQMLQKQEHTQSEQMQSEERGSHSEDGVRSNSPATSSSTHTTTNSPLF >Manes.09G031100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6361481:6364336:1 gene:Manes.09G031100.v8.1 transcript:Manes.09G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYVDFNQKIDYVFKVVLIGDSAVGKSQLLARFARNEFCVDSKATIGVEFQTKTLSMDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMTRWLEELRGHADKNIVVMVIGNKCDLESLRAVPVEDAQEFAQRENLYFMETSALEATNVETAFFTVLTEIYRIISKKTLAANDDHDPNGTLGLLKGTRIIVPAQDQNLEKKGGCCM >Manes.13G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5441592:5445133:1 gene:Manes.13G046700.v8.1 transcript:Manes.13G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAGGGGGGGGGGGGGGAGVGAPEEDKKPMDQSAHINLKVKGQDGNEVFFRIKRSTQLRKLMTAYCDRQSVEFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGGNVYP >Manes.05G100900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9403156:9408310:1 gene:Manes.05G100900.v8.1 transcript:Manes.05G100900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIFLSVAQSSFYCISDSPRLPSPRLLAKQSHPLIINRPNSSLSFGTSPIFSIPKRRLHSVCFFNAREKSNGNFDGQEGGLDWPILKRWEVPWQWQTASLTSLACGLSFVLTGLAEAAAIPLLGIKIEELSLDEKAELLFLDQSITTAVVLGVIYSIANTFQPLPEDMFRYDLREPFNLQKGWLLWAVIGLVSALIAVALTGVAMSAFSGETPQRETDSLVLLLPLIGSSSISTACLVGITGVLAPILEETVFRGFFMVSLTKWYCSV >Manes.05G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9403156:9409867:1 gene:Manes.05G100900.v8.1 transcript:Manes.05G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIFLSVAQSSFYCISDSPRLPSPRLLAKQSHPLIINRPNSSLSFGTSPIFSIPKRRLHSVCFFNAREKSNGNFDGQEGGLDWPILKRWEVPWQWQTASLTSLACGLSFVLTGLAEAAAIPLLGIKIEELSLDEKAELLFLDQSITTAVVLGVIYSIANTFQPLPEDMFRYDLREPFNLQKGWLLWAVIGLVSALIAVALTGVAMSAFSGETPQRETDSLVLLLPLIGSSSISTACLVGITGVLAPILEETVFRGFFMVSLTKWVPTPISVLISAAVFAIAHLTPGEFPQLFVLGIILGFSYAQTRNLVTPITIHAFWNSGVILILTFLQLQGYDIKEILQAT >Manes.11G128600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29494591:29501995:1 gene:Manes.11G128600.v8.1 transcript:Manes.11G128600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIDNGRDDMLIDGQRLGNAATDGFNANYLKLYYGKLFPHADIFKWLSYANDGKHPACDKSYFGRREFSFTLDNDIYLRFQSFSNESELENSIKEKCPFKIDIGPVYSVDPAKRHAYSQSGNNVFTPVERELIFDIDISDYDNVRYCCSGADVCLDCWPLMTVAIKVIDISLRDDFGFNHILWVFSGRRGVHCWVCDGKARRLTNEQRTAIADYFRVYKGNENSSKGVSLTGHALHPFLVRSYTEVLKDFFETKLLSSQNLFSTQERYEKILEMIPDESVTSEIRGKWESSKRSSISKEDINVVRWEQLKHTLQSGQKKAQGLRRCVEEIVFSFTYPRIDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPKHCEEFDPTLVPTLSQLLEELNIGGLRADDDNECDRTSLGKSLSFFRSSFLRPLLKSCKEEMESLHNAKLQQSKNSLSW >Manes.11G128600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29493910:29502103:1 gene:Manes.11G128600.v8.1 transcript:Manes.11G128600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIDNGRDDMLIDGQRLGNAATDGFNANYLKLYYGKLFPHADIFKWLSYANDGKHPACDKSYFGRREFSFTLDNDIYLRFQSFSNESELENSIKEKCPFKIDIGPVYSVDPAKRHAYSQSGNNVFTPVERELIFDIDISDYDNVRYCCSGADVCLDCWPLMTVAIKVIDISLRDDFGFNHILWVFSGRRGVHCWVCDGKARRLTNEQRTAIADYFRVYKGNENSSKGVSLTGHALHPFLVRSYTEVLKDFFETKLLSSQNLFSTQERYEKILEMIPDESVTSEIRGKWESSKRSSISKEDINVVRWEQLKHTLQSGQKKAQGLRRCVEEIVFSFTYPRIDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPKHCEEFDPTLVPTLSQLLEELNIGGLRADDDNECDRTSLGKSLSFFRSSFLRPLLKSCKEEMESLHNAKLQQSKNSLSW >Manes.18G005500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:909863:917298:1 gene:Manes.18G005500.v8.1 transcript:Manes.18G005500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRVQVNKTHKSRFSSKSTRNLHKTSLKDKSRIAKSDRNVAKGARAVRIQRNKMLREQKRAALLKEKRASSGSSSPPRVIVLFGLSASVNLDSLAEDLLKLLSPEGGGHVSSTVASSEYKLRATVLKAPHGDLLSCMEMVKVADLIAFVASASEENDSDYIDSFGSQCLSVFRSLGLPSTAVFIRDLPIELKRKNDSKKMCISRLASEFPEDCKFYPADAKDELHKFLWLFKEQRLTLPHWRNQRPYLMSQKVDMVADEVNSGNCTLLLTGYLHGRSLSVNQLVHVSGAGDFQLHKIEILRDPFPLNPRKEVDAMDSDDLLVVEVVHSIHPDSLTQEPLLVENVPDPLAGEQTWPTEAEMAEADKNRKQRRPKKRIPRGTSEYQAAWIVDDTDEEDSDSGSDDDGMVLDETESYGPAAEGSDNSELDDDQASLDLRYSDEETENDSVMMESENLTREQIEEEIKEIKEAHAEDEEFPDEVETPLDVPARKRFAKFRGLKSFRTSSWDPKESLPPEYARIFAFDNFAKTQKHVFAKALEMDQDNRDECISAGQYARLHIKEVPTAVASKLCADAKTLPIITCGLLQHESKMSVLHFSIKKHDTYDAPIKAKEELVFHVGFRQFVARPIFSTDNINSDKNKMERFLHAGRFSIASIYAPICFPPLPLIALKHLGGVAAPTVAAVGSLRSIDPDRIILKKIILTGYPQRVSKLKATVRYMFHNPEDVRWFKPVEVWTKCGRRGRIKEPVGTHGMIFTLSKLILKEITSNFYHIEQIYG >Manes.18G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:909863:917298:1 gene:Manes.18G005500.v8.1 transcript:Manes.18G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRVQVNKTHKSRFSSKSTRNLHKTSLKDKSRIAKSDRNVAKGARAVRIQRNKMLREQKRAALLKEKRASSGSSSPPRVIVLFGLSASVNLDSLAEDLLKLLSPEGGGHVSSTVASSEYKLRATVLKAPHGDLLSCMEMVKVADLIAFVASASEENDSDYIDSFGSQCLSVFRSLGLPSTAVFIRDLPIELKRKNDSKKMCISRLASEFPEDCKFYPADAKDELHKFLWLFKEQRLTLPHWRNQRPYLMSQKVDMVADEVNSGNCTLLLTGYLHGRSLSVNQLVHVSGAGDFQLHKIEILRDPFPLNPRKEVDAMDSDDLLVVEVVHSIHPDSLTQEPLLVENVPDPLAGEQTWPTEAEMAEADKNRKQRRPKKRIPRGTSEYQAAWIVDDTDEEDSDSGSDDDGMVLDETESYGPAAEGSDNSELDDDQASLDLRYSDEETENDSVMMESENLTREQIEEEIKEIKEAHAEDEEFPDEVETPLDVPARKRFAKFRGLKSFRTSSWDPKESLPPEYARIFAFDNFAKTQKHVFAKALEMDQDNRDECISAGQYARLHIKEVPTAVASKLCADAKTLPIITCGLLQHESKMSVLHFSIKKHDTYDAPIKAKEELVFHVGFRQFVARPIFSTDNINSDKNKMERFLHAGRFSIASIYAPICFPPLPLIALKHLGGVAAPTVAAVGSLRSIDPDRIILKKIILTGYPQRVSKLKATVRYMFHNPEDVRWFKPVEVWTKCGRRGRIKEPVGTHGAMKCVFNGVLQQHDTVCMSLFKRAYPKWPEHRFPILDA >Manes.11G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1617801:1619559:1 gene:Manes.11G015300.v8.1 transcript:Manes.11G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPAPDSGLGKLRKYDSFYAATQRSAPAVLPHQEMAITRSITILRTNSNFKNLSVDPGSAPDSPATPSTPGTPFTPGTPRGEFRRPTSWRKSSADGLDHTEPRRL >Manes.11G015300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1617801:1619559:1 gene:Manes.11G015300.v8.1 transcript:Manes.11G015300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPAPDSGLGKLRKYDSFYAATQRSAPAVLPHQEMAITRSITILRTNSNFKNLSVDPGSAPDSPATPSTPGTPFTPGTPRGEFRRPTSWRKSSADGLDHTEPRSPTVHDWIVINALDR >Manes.11G015300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1617801:1619529:1 gene:Manes.11G015300.v8.1 transcript:Manes.11G015300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPAPDSGLGKLRKYDSFYAATQRSAPAVLPHQEMAITRSITILRTNSNFKNLSVDPGSAPDSPATPSTPGTPFTPGTPRGEFRRPTSWRKSSADGLDHTEPRSPTVHDWFLFIFFLTIILIHNKKLRLLIG >Manes.05G206100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33263056:33273217:-1 gene:Manes.05G206100.v8.1 transcript:Manes.05G206100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNQQVPQFDMHKFFMPTATAIASSQNPSPNLIIPPPTSIPSSSYPPTGTHPNFPFPFPQQFHHLPSYSPPQDNHIHNHHHAPPPSPSPPISHMPPQRSLSYPTPPLQPQPQAQPQQQQQQNPSPRNNNDLSGAEIMALLRPPSSPLNQDPSPPPPQQHQHTPEFSNIVTPVGPIKMPSSKLPKGRWISGDHVVYDVDVRLQGEVHPQLEVTPITKYTSDPQLCLGRQIAVNKSYICYGLKQGNIRILNINTALRSLFRTQSQRVTDMAFFAEDVQLLASVGIDGRVNVWKISEGPDEEDKPQITGKTVIAVQIVGEGEIKNPRVCWHCYKQEILVVGVGKRVLRIDTNKIGKDGVYSSEVPLQCPVEKLIDGIQLVGKHDGEVTDLSMCQWMTTRLVSASMDGTIKIWEDLKAVPLVVLRPHDGQSVYSATFLTATDRPDHIILVTAGPQNREVKIWVSAKGEGWLLPSDADTLKCNQTLEVKSSVEPQAEEAFFNQVVALSQVGLLLLANAKRNAIYAIHLDYGTNPAVTRMDYISEFTVTMPILSLTGTSDVLHGQCVAQIYCVQTQAIQQYTLDLCQCLPPLLENMVSEKSDPNVSHDLTNVEEVAYLDSRGSNLSDIPKSSASVDVVTSHHSKPLVLTPSTSDAEIACVASSPLFLTHKGFTDVTVAASLERGPPPGDQSLNQPVIDYSVQPVDTIQTNVSDVTSLDSDSRTGEMKSTQDENSGILNPPVMFKHPTHLITPSEILMGASSPSNNNSEVKTEVEMSIQEVVVNNDVSNAEVDVKVVGETKSTQSDEFGLRGEPQNLIAEKKEKYFCSQASDLGIEMARECFSISAETYTMEETQHVNVAEFIAHPSRRSEEELVHDSTKETSEKVSKSSLPTIVQQSTTSNMKGKKQKGKNSQASGPSTASPSAFNSTDSFDEPTGPSSLPSTEAAFSQIFAMQEMLSQLVTTQKEMQKQMSNIVAVPVSKECRRLETTLGRSIEKALKANTDALWARFQEENAKTEKLFRDRTQQITNMISNFVNKDLTAMLEKAVKKEVTSVGPAVARTMSPVIEKTISSAISESFQRGVGDKAVNQLEKSVNSKLEATVARQIQAQFQISGKQALQDALKTGLEASVIPAFEMSCKAMFEQVDSAFRKGMIEHTTAAQQHFESAHSSLALALREAINSVSSLTQTLSGELAESQRKMVALAAAGTNSSAANPLVTQLSNGPLAGLREKVEMHVDPTKDLSRLISEHKYDEAFTIALQRSDVSIVSWLCSQVDLRGILATVPHIEPRSVALSSTAVGL >Manes.11G015500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1626240:1638878:1 gene:Manes.11G015500.v8.1 transcript:Manes.11G015500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDTPLDYAVFQLSPRHSRCELFVSSNGNTEKLASGLVKPFVSHLKVAEEQVAQAAHLIKLEVERHRNAETWFTKGTLERFVRFVSTPEILDMVNTFDAEMSQLEAARRIYSQGGRDQLSGSLGEDGLEVVAGDDATKKELLRAIDVRLIAVKQDLTTAIARASAAGFNTDTVSELQLFSDHFGAHRLNEACNKFISLCERRPDLINQWKIGVEEQVVRASWGSDMSIDEPSDDPHGSHNVRAHQSMFQNAHQLQQAGQDQQQPDLTQSQHHLNQSKPATFHPNSSARSQLTVQNENKEEDKKGESTIETSPSLPNQPSRRLSVQDRINLFENKQKENSGGKPVAVGKSVEQRRLSSDVSSVPAVEKAVLRRWSGASDMSIDLGNDKKDNSFTDSPLCTPSSSSVSQSKNNVFPRLSADAKEQKGVNDTASSVKSEATSVSGFKDQGELQTHDGGFVGADKEVGLNGKVNSNDRPGSQAQLRSFTGRGEQAIVDQRVREEKFKGTVGGDEKSSGVKVQVGVEGWRGNSDRGETVEVNSQVRLQTQIGSSVGRVGDVESGNRVEDVKTRDQPLNQSRVRGSQTHTRSLSGQLVKEGSYKEFEGDHSASQLQWRSSTGEFERVRKEESTKVEDLEVPRMKVQKPLSAGPEQNMKLQGRRDETVSSHKNNKSVFPSKRVFESQESFGALSAPSVEQVQRARQSKGNQELNNELKMKANELEKLFAEHKLRVPGDQSGSTRRSKHADSPVEQAINSQYRKLTAEEISPAMVQDKMEVEPIVSARDNANFSTPPIKILDQDYGSSLRQNFSEPGLSDDSRGKFYDTYMQKRDAKLREEWGTKRAEKEAKLKAMQDSLEQSRAEMKAKFSGSMERLDSDSSFRQRAEKLKIYHSRSGIKREQQLVDSVQNEEDEDSSEFLEQKYYRQDRSLGEVSLTDGTSRSSQNKKLVPNRNLSSTTPRTTAPVPRSSVKISNLSSGKRRVQSENHLAQSVPNFSDFRKENTKPSSGVGKTANRTQVRNYGRSKSTTEEIPLAKEEKPRRSQSLRKSSASPAEFKDLPTLNSDDIVLAPLKFDKEQTEQGLHEKFSKNVESKPFLRKGNGIGPGAGTSIAKLKASVASEAMKNEEEFEESTYEVEDSVSVAKEEEEEEDEEDLETTEMVDCANAENGKMGLSQESDKISESENGDSLRSLSQIDPSSVAELPASVPSTFNAVGGSLQDSPGESPVSWNSRMHNPFSYPHEISDIDASVDSPIGSPASWNSHSLTQTEADAARMRKKWGSAQKPILVANSSHNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDLANRSSEDLRKSRMGFSQSHPSDYGFNESELFNEQDQAIHSSIPAPPANFKLRDDHMSGSSIKAPRSFFSLSSFRSKGNESKLR >Manes.11G015500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1626240:1638878:1 gene:Manes.11G015500.v8.1 transcript:Manes.11G015500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDTPLDYAVFQLSPRHSRCELFVSSNGNTEKLASGLVKPFVSHLKVAEEQVAQAAHLIKLEVERHRNAETWFTKGTLERFVRFVSTPEILDMVNTFDAEMSQLEAARRIYSQGGRDQLSGSLGEDGLEVVAGDDATKKELLRAIDVRLIAVKQDLTTAIARASAAGFNTDTVSELQLFSDHFGAHRLNEACNKFISLCERRPDLINQWKIGVEEQVVRASWGSDMSIDEPSDDPHGSHNVRAHQSMFQNAHQLQQAGQDQQQPDLTQSQHHLNQSKPATFHPNSSARSQLTVQNENKEEDKKGESTIETSPSLPNQPSRRLSVQDRINLFENKQKENSGGKPVAVGKSVEQRRLSSDVSSVPAVEKAVLRRWSGASDMSIDLGNDKKDNSFTDSPLCTPSSSSVSQSKNNVFPRLSADAKEQKGVNDTASSVKSEATSVSGFKDQGELQTHDGGFVGADKEVGLNGKVNSNDRPGSQAQLRSFTGRGEQAIVDQRVREEKFKGTVGGDEKSSGVKVQVGVEGWRGNSDRGETVEVNSQVRLQTQIGSSVGRVGDVESGNRVEDVKTRDQPLNQSRVRGSQTHTRSLSGQLVKEGSYKEFEGDHSASQLQWRSSTGEFERVRKEESTKVEDLEVPRMKVQKPLSAGPEQNMKLQGRRDETVSSHKNNKSVFPSKRVFESQESFGALSAPSVEQVQRARQSKGNQELNNELKMKANELEKLFAEHKLRVPGDQSGSTRRSKHADSPVEQAINSQYRKLTAEEISPAMVQDKMEVEPIVSARDNANFSTPPIKILDQDYGSSLRQNFSEPGLSDDSRGKFYDTYMQKRDAKLREEWGTKRAEKEAKLKAMQDSLEQSRAEMKAKFSGSMERLDSDSSFRQRAEKLKIYHSRSGIKREQQLVDSVQNEEDEDSSEFLEQKYYRQDRSLGEVSLTDGTSRSSQNKKLVPNRNLSSTTPRTTAPVPRSSVKISNLSSGKRRVQSENHLAQSVPNFSDFRKENTKPSSGVGKTANRTQVRNYGRSKSTTEEIPLAKEEKPRRSQSLRKSSASPAEFKDLPTLNSDDIVLAPLKFDKEQTEQGLHEKFSKNVESKPFLRKGNGIGPGAGTSIAKLKASVASEAMKNEEEFEESTYEVEDSVSVAKEEEEEEDEEDLETTEMVDCANAENGKMGLSQESDKISESENGDSLRSLSQIDPSSVAELPASVPSTFNAVGGSLQDSPGESPVSWNSRMHNPFSYPHEISDIDASVDSPIGSPASWNSHSLTQTEADAARMRKKWGSAQKPILVANSSHNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDLANRSSEDLRKSRMGFSQSHPSDYGFNESELFNEQDQAIHSSIPAPPANFKLRDDHMSGSSIKAPRSFFSLSSFRSKGNESKLR >Manes.11G015500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1626240:1638878:1 gene:Manes.11G015500.v8.1 transcript:Manes.11G015500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDTPLDYAVFQLSPRHSRCELFVSSNGNTEKLASGLVKPFVSHLKVAEEQVAQAAHLIKLEVERHRNAETWFTKGTLERFVRFVSTPEILDMVNTFDAEMSQLEAARRIYSQGGRDQLSGSLGEDGLEVVAGDDATKKELLRAIDVRLIAVKQDLTTAIARASAAGFNTDTVSELQLFSDHFGAHRLNEACNKFISLCERRPDLINQWKIGVEEQVVRASWGSDMSIDEPSDDPHGSHNVRAHQSMFQNAHQLQQAGQDQQQPDLTQSQHHLNQSKPATFHPNSSARSQLTVQNENKEEDKKGESTIETSPSLPNQPSRRLSVQDRINLFENKQKENSGGKPVAVGKSVEQRRLSSDVSSVPAVEKAVLRRWSGASDMSIDLGNDKKDNSFTDSPLCTPSSSSVSQSKNNVFPRLSADAKEQKGVNDTASSVKSEATSVSGFKDQGELQTHDGGFVGADKEVGLNGKVNSNDRPGSQAQLRSFTGRGEQAIVDQRVREEKFKGTVGGDEKSSGVKVQVGVEGWRGNSDRGETVEVNSQVRLQTQIGSSVGRVGDVESGNRVEDVKTRDQPLNQSRVRGSQTHTRSLSGQLVKEGSYKEFEGDHSASQLQWRSSTGEFERVRKEESTKVEDLEVPRMKVQKPLSAGPEQNMKLQGRRDETVSSHKNNKSVFPSKRVFESQESFGALSAPSVEQVQRARQSKGNQELNNELKMKANELEKLFAEHKLRVPGDQSGSTRRSKHADSPVEQAINSQYRKLTAEEISPAMVQDKMEVEPIVSARDNANFSTPPIKILDQDYGSSLRQNFSEPGLSDDSRGKFYDTYMQKRDAKLREEWGTKRAEKEAKLKAMQDSLEQSRAEMKAKFSGSMERLDSDSSFRQRAEKLKIYHSRSGIKREQQLVDSVQNEEDEDSSEFLEQKYYRQDRSLGEVSLTDGTSRSSQNKKLVPNRNLSSTTPRTTAPVPRSSVKISNLSSGKRRVQSENHLAQSVPNFSDFRKENTKPSSGVGKTANRTQVRNYGRSKSTTEEIPLAKEEKPRRSQSLRKSSASPAEFKDLPTLNSDDIVLAPLKFDKEQTEQGLHEKFSKNVESKPFLRKGNGIGPGAGTSIAKLKASVASEAMKNEEEFEESTYEVEDSVSVAKEEEEEEDEEDLETTEMVDCANAENGKMGLSQESDKISESENGDSLRSLSQIDPSSVAELPASVPSTFNAVGGSLQDSPGESPVSWNSRMHNPFSYPHEISDIDASVDSPIGSPASWNSHSLTQTEADAARMRKKWGSAQKPILVANSSHNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDLANRSSEDLRKSRMGFSQSHPSDYGFNESELFNEQDQAIHSSIPAPPANFKLRDDHMSGSSIKAPRSFFSLSSFRSKGNESKLR >Manes.07G033300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3646949:3648838:-1 gene:Manes.07G033300.v8.1 transcript:Manes.07G033300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAIARDERPHAVCVPYPAQGHVNPMLKLAKILHSNGFHITFVNTEYNHRRLLRSRGPDSLDGLPDFHFDSIPDGLPPSDANATQDIPSLCDSTSKHCLLPFRHLLSRLNSSNTVPPVTCVISDACMSFTLDAAHEFGIPDVLFWTPSSCGVLAYAHYRHLIERGLTPLKDESYLTNGDLDTTIDWIPGMRNIRLRDLPSFIRTTDRNDIMLNFFVREIERTSRASAVILNTFEAFEKNVLDVLFTMLPPIYTIGPLQLLLDQIPDSKLINIGSNLWKEQPECIDWLDSKEPNSVVYVNFGSITVVTPQQMIEFAWGLANSKKPFLWIIRPDLVVGEAAMLPPEFGSETKDRGTLASWSPQEQILKHPAIGGFLSHMGWNSTLESICGGVPVVCWPFFAEQQTNCWFACNEWGIGMEIDNDVKREEVEKLVRELMDGKKGKEMKRQAMEWKIKAEEATTPGGSSHRNLVQLLGFLQRK >Manes.13G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1095302:1096678:-1 gene:Manes.13G005800.v8.1 transcript:Manes.13G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRMEKLALPFSIGCVSESSVAIGVHHPRRTKQPPALQHMNPSLIRKKEDDEESLSSTESMKNGLKFHAVSKPNISDRFHRLVKGFKTFSQLFVYEEEEEEMEIGGPTDVKHVTHIGWDDGSENLISPGLLSLHQTPASLTQFQLFMASHPHSSPTLVND >Manes.01G235800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39830605:39833578:1 gene:Manes.01G235800.v8.1 transcript:Manes.01G235800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPVTHKPLSEVENNGQDKNPPANKSQEKASGVSNELNLLEANNSKPGPTSQEKTQSYHLEGKGSSNSKTMSSNTNNHSNNSNLMSPISNKDFFLERFATSHHEGSTTNCQPSDLVGHFPLQQLNYASNSRLVTNSIPSHWFTQTSKSLDMNSEFSSSSIPTILPPTTSSFLSTSMPFKPSVTVPSDDPSLASFPINSSRFWEAVALSNNSNSSTGSSGNAELQSTFFESTIFSWGLGDCSSTEKEGQNQLMGSQQEDVKWPEYLHNPLIMAAALQNQSLPQSLYNEIKSETHFLTQNSSAVWPQNQQQQEPFQNSDICPKDIQRLTAAYGHI >Manes.02G087100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6890130:6899192:1 gene:Manes.02G087100.v8.1 transcript:Manes.02G087100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFFLSRYVWNKEGPEWVPTLVILRLNRAALCVQWSPRENKFAVGSGAKTVCICYYEQDNNWWVSKVLRKRHDSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTKDSKASSSSDSKFGEQIVQLDLSFSWAFGVKWSPSGKTLAYVGHNSMIYFVDDVGPSPLSQNVAFRDLPLRDVLFFSEKMVIGVGFDCNPMVFGADEKGIWSFVRCLNEKISSFSGSKYGSQLSEAFGKFNGQSEIGVSNDGIDSSTSQGVIHENCINCVASLGKAGSSRTLRFSTSGLDGRVVIWDLENQEDLSRFL >Manes.02G087100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6890130:6899192:1 gene:Manes.02G087100.v8.1 transcript:Manes.02G087100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFATYDSHDQIVSGIDWSVRSNRIVTASHDRNSYVWNKEGPEWVPTLVILRLNRAALCVQWSPRENKFAVGSGAKTVCICYYEQDNNWWVSKVLRKRHDSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTKDSKASSSSDSKFGEQIVQLDLSFSWAFGVKWSPSGKTLAYVGHNSMIYFVDDVGPSPLSQNVAFRDLPLRDVLFFSEKMVIGVGFDCNPMVFGADEKGIWSFVRCLNEKISSFSGSKYGSQLSEAFGKFNGQSEIGVSNDGIDSSTSQGVIHENCINCVASLGKAGSSRTLRFSTSGLDGRVVIWDLENQEDLSRFL >Manes.02G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6890130:6899192:1 gene:Manes.02G087100.v8.1 transcript:Manes.02G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVHQLAQCITCHAWSPDHTMIALCPNNNEVHIYKSSQDKWDRVHVLQKHDQIVSGIDWSVRSNRIVTASHDRNSYVWNKEGPEWVPTLVILRLNRAALCVQWSPRENKFAVGSGAKTVCICYYEQDNNWWVSKVLRKRHDSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTKDSKASSSSDSKFGEQIVQLDLSFSWAFGVKWSPSGKTLAYVGHNSMIYFVDDVGPSPLSQNVAFRDLPLRDVLFFSEKMVIGVGFDCNPMVFGADEKGIWSFVRCLNEKISSFSGSKYGSQLSEAFGKFNGQSEIGVSNDGIDSSTSQGVIHENCINCVASLGKAGSSRTLRFSTSGLDGRVVIWDLENQEDLSRFL >Manes.02G087100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6890130:6899192:1 gene:Manes.02G087100.v8.1 transcript:Manes.02G087100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTCPPKGNFSFSWIRGRLQHDQIVSGIDWSVRSNRIVTASHDRNSYVWNKEGPEWVPTLVILRLNRAALCVQWSPRENKFAVGSGAKTVCICYYEQDNNWWVSKVLRKRHDSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTKDSKASSSSDSKFGEQIVQLDLSFSWAFGVKWSPSGKTLAYVGHNSMIYFVDDVGPSPLSQNVAFRDLPLRDVLFFSEKMVIGVGFDCNPMVFGADEKGIWSFVRCLNEKISSFSGSKYGSQLSEAFGKFNGQSEIGVSNDGIDSSTSQGVIHENCINCVASLGKAGSSRTLRFSTSGLDGRVVIWDLENQEDLSRFL >Manes.02G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:829421:830095:1 gene:Manes.02G006400.v8.1 transcript:Manes.02G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQTKALSLILLLLFIHLSIGQVLGVAQGMKYANPQSEPIIAEMKKMRKLIEIDAMLDYEGAGANCKHDPSKKPIGCKP >Manes.11G049320.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6275407:6277018:1 gene:Manes.11G049320.v8.1 transcript:Manes.11G049320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.15G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2852188:2858046:1 gene:Manes.15G036400.v8.1 transcript:Manes.15G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVHVVSPDPQVAAAAVKKKTQPARSWILIDASGQSTTLDADKHAIMHRVQIHARDLRILDPLLSYPSTILGREGAIVLNLEHIKAIITSEEVLLRDPLDENIIPVVEELRRRLPPANVIPQGQGDRREYPSGQNEVEADEEDESPFEFRALEVALEAICSYLAARTAELESAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKMAGASPVSGSGGANWFSASPTIGSKISKASRASVATVRGDENDVEELEMLIEAYFMQIDSTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCTSFYSLVTGMFGVNIPYTWNHNHGYMFKWVVMATGAICATFLILVMSYARSKGLVGS >Manes.14G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10459207:10463297:1 gene:Manes.14G131300.v8.1 transcript:Manes.14G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGIQPPLVDTTACLCRVDAGLKTVASAKKYVPGSKLCLQPDIKPSIHPTRGKPSRGDRSRNQSPLLPGLPDDLAIACLIRVPRVEHCKLRLVCKRWYRLLAGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPVYQLWQPLPPVPREYSEVLGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGENEGGHRSLRSAEVYDPNKNRWSFIADMSTAMVPFIGVVYEGKWFLKGLGSHRQVLSEVYQPETDSWYPVYDGMVAGWRNPSASLNGNLYALDCKDGCKLRVYDDVTDSWSKHIDSKMHLGSSQALEAAALVPLNGKLCIIRNNMSISLVDVSKSEDLRGATAEHLWETISGKGQFKTLVTNLLSSLAGRNRLKSHIVHCQVLQA >Manes.03G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26977403:26980460:-1 gene:Manes.03G141600.v8.1 transcript:Manes.03G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLELPMDKVSLGSHTAQSDCKINVVLVATGSFNPPTFMHLRMFELARDALHSEGYRVIAAYMSPASDAYKKPGLISGEHRLRMCNLACESSDFIMVDPWEANQSTYQRTLTILKRIESYFIDNKQISRDFLRVMLVCGSDLLQSFSIPGCWIPEQVRTICKDYGVVTICREGQDVEKIISDDEILNGNRSNIKVVDELVPNSVSSTRVRECISRGLSIKYLTIDGVIEYIRERQLYMNSNEK >Manes.12G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6460651:6464861:-1 gene:Manes.12G064800.v8.1 transcript:Manes.12G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSGNGGGDNYGEGGGGGGGGDYEGPSRTRPRAINEVWPEPFLEALATQVAIDAARMFGRLAAAQALANVFRVCSTWRAVSRSDLLWHRLTRRIWDRTHLLHDTWREEYVYRHRMAGNFRNRRSFHFTLHFDPADVDDPNDPDAHTCRCLALSDHYLACGFADGAVRLFDLHTRLHSHTFRPQHRDRLGRFSRAVSGIIINGMQLIFASLDGDIHVAIINSHAAPRRVHFGDVVTDGALVDFTGRGQWWVGLHAGVPGRAFHIWDGNTEQLTFVGGGLTDPDSVRGWHTLTELTELVGRVRVTDHETAVACTSLRLMEFDLRNQGVILHQEEPRRGIIVSCFDVWNDAVLVVDNRGVGIVRRVSTLEEVCRFNVRSQRGLIGCINGGYALICAGGVIRVWEIEQHGGEVRELREYLYSLREGRGEVNALVADERHVAASGSDGSIHVWDFGAEL >Manes.15G019000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1584781:1589939:1 gene:Manes.15G019000.v8.1 transcript:Manes.15G019000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIDATGNPIPTSAVLTASSKHISTRCLQENVSFLKCKKKDANPEKCLDKGQEVTRCVLGLLKDLHQKCTKEMDAYVGCMYYHTNEFDLCRKEQQAFEKACPLE >Manes.08G142411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37880184:37882646:1 gene:Manes.08G142411.v8.1 transcript:Manes.08G142411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIIISSSLMVLALLMALATVAPQAEARAFFVFGDSLVDNGNNNYLATSARADSPPYGIDFPTHRPTGRFSNGLNIPDFISREIGSEFLLPYLSSQLTGNKLLVGANFASAGIGILNDTGVQFANIIRMFQQFEFFKEYQRRVTALIGAQRTKQLVNGALVLITVGGNDFVNNYYLVPYSVRSRQYNLPDYVKFLISEYKKLLMKLYDLGARRVLVTGTGPLGCVPAELALRSRNGQCSDELQRAASLFNPQLTQMLGQLNSQYGSHIFIAANTGRMTADFVTNPGAFGFVTSKIACCGQGPYNGLGLCTPASNLCSNRNLYAFWDPFHPSEKANRYIVQQILKGTTEYMNPMNLSTILALDSRT >Manes.03G033800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2817339:2817854:-1 gene:Manes.03G033800.v8.1 transcript:Manes.03G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTLREEFDDSSGDLAVEKSPPPTLPDTSGDLDEDILAWLSMDDETVDELMKFLDTDSDGASSSFTNTTKVKFIENPYSSPLIVQSSSSYITINGNEESCGSSFSDWESSVMVSVDMGGIVNATKSCGIDGFCDLFQVENEGAWGSNEARELLKAEGGGVGDVSMEGYG >Manes.15G166500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14139217:14142829:-1 gene:Manes.15G166500.v8.1 transcript:Manes.15G166500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTSSYPLLHRTWLFSSFFYSFISLSISDPRITQSGLFCGNSSRFKDVVPAFVKEMETLSQQITNTHFATYHLNNSTVPIYALAQCHQDLSQTDCLLCYAASRTKIPRCLPSLSARIYLDGCFLRYDKYDFFQEAVSPSLDSVKCSEGNVSGIGDENGKLKFARSVSYAVANVSNKAVENGGFAAVGIEGVYALAQCWESVGEDGCRECLEKAEMEVKGCLPRKEGRGMNVGCYLRYSTEKFFDHGVESGDTHGFSGLGVTIAIALAAAALLMLSLFAAYATYRRLMKEKEERINLEKVSISFHKSSLNFKYETLEKATDYFNPSRKIGQGGAGSVFVGTLPNGQNVAVKRLIFNTRQWVDEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDQFIFAGKNKTRNLNWKERFDIIVGTAEGLAYLHGGSQERIIHRDIKSSNVLLDENLTPKIADFGLVRCFGADKTHLSTGIAGTIGYMAPEYLIRGQLTEKADVYSYGVLVLEIVMGKRCNAFIEDSRSLLQTVWQLYRSNKLEEAVDPCLRDEFPLQEASRVLQIGLLCTQASVALRPSMAEVVGMLNNIDHSVIPSPNQPPFMNATVLEPESSRRSYSTNSFVSNAATKVEAYSYTSTESSSMNSSDRPSKSEELRQTNPN >Manes.15G166500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14139217:14142829:-1 gene:Manes.15G166500.v8.1 transcript:Manes.15G166500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTSSYPLLHRTWLFSSFFYSFISLSISDPRITQSGLFCGNSSRFKDVVPAFVKEMETLSQQITNTHFATYHLNNSTVPIYALAQCHQDLSQTDCLLCYAASRTKIPRCLPSLSARIYLDGCFLRYDKYDFFQEAVSPSLDSVKCSEGNVSGIGDENGKLKFARSVSYAVANVSNKAVENGGFAAVGIEGVYALAQCWESVGEDGCRECLEKAEMEVKGCLPRKEGRGMNVGCYLRYSTEKFFDHGVESGDTHGFSGLGVTIAIALAAAALLMLSLFAAYATYRRLMKEKEERINLEKVSISFHKSSLNFKYETLEKATDYFNPSRKIGQGGAGSVFVGTLPNGQNVAVKRLIFNTRQWVDEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDQFIFGKNKTRNLNWKERFDIIVGTAEGLAYLHGGSQERIIHRDIKSSNVLLDENLTPKIADFGLVRCFGADKTHLSTGIAGTIGYMAPEYLIRGQLTEKADVYSYGVLVLEIVMGKRCNAFIEDSRSLLQTVWQLYRSNKLEEAVDPCLRDEFPLQEASRVLQIGLLCTQASVALRPSMAEVVGMLNNIDHSVIPSPNQPPFMNATVLEPESSRRSYSTNSFVSNAATKVEAYSYTSTESSSMNSSDRPSKSEELRQTNPN >Manes.05G203000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32788144:32794248:1 gene:Manes.05G203000.v8.1 transcript:Manes.05G203000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLVFVMVCGVYICSVCLKQISIHNSAGILTLGVIEKPCPEPNIEPWEIPYVHYPKPKTYSRAECACNPVRHFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSMITETLDTIYNLDWLSSASKNECTAAVGLKWMLNQGVMQHHDQIVEYFKTRGVSAIFLFRRNLLRRMISVLANSYDRQAKLLNGTHKSHVHSPDEAEKLARYKPYINATLLIPNLELVEDTTAKALEYFKSTRHIILYYEDIVKNHTKLLEVQDFLKVPHSDLRSRQVKIHKGSLSNLVENWDEVQKAIKGTHYESFLFGDYRR >Manes.05G203000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32787444:32794248:1 gene:Manes.05G203000.v8.1 transcript:Manes.05G203000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDLCFLSKDTFIIKAPKKSTLLLRMIVLVFVMVCGVYICSVCLKQISIHNSAGILTLGVIEKPCPEPNIEPWEIPYVHYPKPKTYSRAECACNPVRHFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSMITETLDTIYNLDWLSSASKNECTAAVGLKWMLNQGVMQHHDQIVEYFKTRGVSAIFLFRRNLLRRMISVLANSYDRQAKLLNGTHKSHVHSPDEAEKLARYKPYINATLLIPNLELVEDTTAKALEYFKSTRHIILYYEDIVKNHTKLLEVQDFLKVPHSDLRSRQVKIHKGSLSNLVENWDEVQKAIKGTHYESFLFGDYRR >Manes.18G102767.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9811359:9814043:-1 gene:Manes.18G102767.v8.1 transcript:Manes.18G102767.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFLRTADAIEASNPEVNKWVKQLRDVVYEIEDTLDEFMLRLGHDHGDKFYSTLHKITCYIKHMKARYQLASEVKAIKSRISIVFKSYQSYGDRSNILGSRLSSMAADNTCFDRRGDALLLEEDELVGIDNPKSQLINWLVDDDQWLKVVTVLGMGGLGKTTLVKKVYDDAEVKKHFDSHAWINVSQSFKIEELLKDMIYQLFDGVNKQAPQGMETMNSHRLKTTIKEFLQQSRYLFVLDDVWSRNAWDAIKHALPNNHQGSRVLLTTRSRDVAYAAGIEAKGEVYSLKPLSPEESWTLFCRKTFQQNSSPPHLEAVSRHIVQRCGGLPLAISAISGILAMKDWSRIDEWEKLARNLGAEIRGNDILQSMEKILLLGYNDLPYYLKSCFLYLSIFPEDHPIECMRLIRLWIAEGYVKEVAGKTQEEVAEAYLNELLTRSLIQVAGTTSDGRVYSCCIHGLVREIILLKSRDQNFMTVTSEQNEIWPEKVRCLSIHKSLENVKEGRDITKLRSLLIFGVEDSLSTTSIPLLFDGDMRLLTVLDMRSTSLEMIPDGIFKLIHLKYLSLRDTKVKNLPSSIGKLRNLETLDLKRTGIDELPPEISKLQKLRHILVYRYETEPYIPYHYLNGFKAPLEIVRLQSLQKLCFVESNENNGMLVELARLQQLKRLGITKLRKEDGPALCSSIENLKNLRSLNVHSLEEEEIIDMQHLSSTPKFLQRLYLHGRLEELPYWISSLHSLVKLYLRCSHLKDNLCESLGDLPNLVELQLRQAYDGQALSFKAGSFQRLNILFLEELEELRCLHVEEGAMPLLRELTISRCQLLEEGPSCIEHLKNLKTIKFFDMPDELNKMIMLEKQGTNLSHFPQVYFIRWKNTHWERSILSVGKVPKKTASK >Manes.01G188500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36504734:36508975:1 gene:Manes.01G188500.v8.1 transcript:Manes.01G188500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGKIQHVICTGNLCIKEVHDYLKSLCPDLHITHGEYDEDSRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILITGHTHQFKAYKHEGGIVIIPGSATGAYSSFTYDVNPRWTLTGCAVWFMYTN >Manes.01G188500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36505292:36508975:1 gene:Manes.01G188500.v8.1 transcript:Manes.01G188500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGKIQHVICTGNLCIKEVHDYLKSLCPDLHITHGEYDEDSRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILITGHTHQFKAYKHEGGIVIIPGSATGAYSSFTYDVNPRWTLTGCAVWFMYTN >Manes.01G188500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36504734:36508958:1 gene:Manes.01G188500.v8.1 transcript:Manes.01G188500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGKIQHVICTGNLCIKEVHDYLKSLCPDLHITHGEYDEDSRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILITGHTHQFKAYKHEGGIVIIPGSATGAYSSFTYDVNPRWTLTGCAVWFMYTN >Manes.01G188500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36504734:36508860:1 gene:Manes.01G188500.v8.1 transcript:Manes.01G188500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHIYVLITCGVRILMVIDKMLDAELLSIFFVMTACPFNNSVTVLCSFCEQEVHDYLKSLCPDLHITHGEYDEDSRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILITGHTHQFKAYKHEGGIVIIPGSATGAYSSFTYDVNPRWTLTGCAVWFMYTN >Manes.01G188500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36504734:36508860:1 gene:Manes.01G188500.v8.1 transcript:Manes.01G188500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHIYVLITCGVRILMVIDKMLDAELLSIFFVMTACPFNNSVTVLCSFCEQEVHDYLKSLCPDLHITHGEYDEDSRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILITGHTHQFKAYKHEGGIVIIPGSATGAYSSFTYDVNPRWTLTGCAVWFMYTN >Manes.01G188500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36505292:36508759:1 gene:Manes.01G188500.v8.1 transcript:Manes.01G188500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPGKIQHVICTGNLCIKEVHDYLKSLCPDLHITHGEYDEDSRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILITGHTHQFKAYKHEGGIVIIPGSATGAYSSFTYDVNPRWTLTGCAVWFMYTN >Manes.01G188500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36507351:36508559:1 gene:Manes.01G188500.v8.1 transcript:Manes.01G188500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHIYVLITCGVRILMVIDKMLDAELLSIFFVMTACPFNNSVTVLCSFCEQEVHDYLKSLCPDLHITHGEYDEDSRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILITGHTHQFKAYKHEGGIVIIPGSATGAYSSFTYDVNPRWTLTGCAVWFMYTN >Manes.01G084100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28660484:28668622:-1 gene:Manes.01G084100.v8.1 transcript:Manes.01G084100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAKLPIIDLCSSDSISTANSIRQACVDYGFFYLVNHGVEEELLARVFEESRKFFSLPLAAKMNLLRKENRGYAPFYAENLDPSSRSKGDSKESFHIGPLEKSCLNQWPSQELLPSWRPTMESYYCKVLSAGKRLISLIALALNLDKDYFEKIGALDKPESFLRVLHYPGELGNSEEEIFGASAHSDYGMITLLVTDGVPGLQVCREKFNEPRTWENVIHRNGAFIVNIGDMMERWTNCLFRSTLHRVMPTGQERYSLAFFLEPNVECIVQCLESCCSESSPPRFAPIRCGDYLKERFRLTYGS >Manes.01G084100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28663654:28668622:-1 gene:Manes.01G084100.v8.1 transcript:Manes.01G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAKLPIIDLCSSDSISTANSIRQACVDYGFFYLVNHGVEEELLARVFEESRKFFSLPLAAKMNLLRKENRGYAPFYAENLDPSSRSKGDSKESFHIGPLEKSCLNQWPSQELLPSWRPTMESYYCKVLSAGKRLISLIALALNLDKDYFEKIGALDKPESFLRVLHYPETFVISGELGNSEEEIFGASAHSDYGMITLLVTDGVPGLQVCREKFNEPRTWENVIHRNGAFIVNIGDMMERWTNCLFRSTLHRVMPTGQERYSLAFFLEPNVECIVQCLESCCSESSPPRFAPIRSGDYLKERFRLTYGS >Manes.01G084100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28660256:28668622:-1 gene:Manes.01G084100.v8.1 transcript:Manes.01G084100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAKLPIIDLCSSDSISTANSIRQACVDYGFFYLVNHGVEEELLARVFEESRKFFSLPLAAKMNLLRKENRGYAPFYAENLDPSSRSKGDSKESFHIGPLEKSCLNQWPSQELLPSWRPTMESYYCKVLSAGKRLISLIALALNLDKDYFEKIGALDKPESFLRVLHYPGELGNSEEEIFGASAHSDYGMITLLVTDGVPGLQVCREKFNEPRTWENVIHRNGAFIVNIGDMMERWTNCLFRSTLHRVMPTGQERYSLAFFLEPNVECIVQCLESCCSESSPPRMHCAMFGELLQ >Manes.01G084100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28663647:28668622:-1 gene:Manes.01G084100.v8.1 transcript:Manes.01G084100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAKLPIIDLCSSDSISTANSIRQACVDYGFFYLVNHGVEEELLARVFEESRKFFSLPLAAKMNLLRKENRGYAPFYAENLDPSSRSKGDSKESFHIGPLEKSCLNQWPSQELLPSWRPTMESYYCKVLSAGKRLISLIALALNLDKDYFEKIGALDKPESFLRVLHYPGELGNSEEEIFGASAHSDYGMITLLVTDGVPGLQVCREKFNEPRTWENVIHRNGAFIVNIGDMMERWTNCLFRSTLHRVMPTGQERYSLAFFLEPNVECIVQCLESCCSESSPPRFAPIRSGDYLKERFRLTYGS >Manes.01G084100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28660529:28668622:-1 gene:Manes.01G084100.v8.1 transcript:Manes.01G084100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAKLPIIDLCSSDSISTANSIRQACVDYGFFYLVNHGVEEELLARVFEESRKFFSLPLAAKMNLLRKENRGYAPFYAENLDPSSRSKGDSKESFHIGPLEKSCLNQWPSQELLPSWRPTMESYYCKVLSAGKRLISLIALALNLDKDYFEKIGALDKPESFLRVLHYPGELGNSEEEIFGASAHSDYGMITLLVTDGVPGLQVCREKFNEPRTWENVIHRNGAFIVNIGDMMERWTNCLFRSTLHRVMPTGQERYSLAFFLEPNVECIVQCLESCCSESSPPRMHCAMFGELLQ >Manes.01G084100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28663654:28668622:-1 gene:Manes.01G084100.v8.1 transcript:Manes.01G084100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAAKLPIIDLCSSDSISTANSIRQACVDYGFFYLVNHGVEEELLARVFEESRKFFSLPLAAKMNLLRKENRGYAPFYAENLDPSSRSKGDSKESFHIGPLEKSCLNQWPSQELLPSWRPTMESYYCKVLSAGKRLISLIALALNLDKDYFEKIGALDKPESFLRVLHYPGELGNSEEEIFGASAHSDYGMITLLVTDGVPGLQVCREKFNEPRTWENVIHRNGSTLHRVMPTGQERYSLAFFLEPNVECIVQCLESCCSESSPPRFAPIRSGDYLKERFRLTYGS >Manes.10G110200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27099131:27103548:1 gene:Manes.10G110200.v8.1 transcript:Manes.10G110200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRGDKEDGVDRHMSLLKLVQILSFLVVFVAGIIMGLATSSHINQYFASQARLFFTNNIEATKIPDNNCTVLRPCKTVDCWSIETFLHPKNLTHSMADEQLFWRASLMPTKEEYPFDRLPKVAFMFLTRGPLPMLPLWERFFRGHEKYFSIYIHTPSNYVLNVSLDSPFYGRQIPSQDVEWGSVSLVDAEKRLLANALLDFSNERFVLLSESCIPVYNFPTVYKYLIHSEHSFVDSYDEPTRYGRGRYNRKMLPDIKLFQWRKGSQWFEVQRALAVHIVSDTKYYSIFKKYCKPACYPDEHYIPTYLNMFQGPFNANRTVTWVDWSLGGPHPATYMGINVTESFIQSIRSNGTECSYNSEMTSVCYLFARKFHPSALEPLLNLTSTVMEF >Manes.10G110200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27099222:27103322:1 gene:Manes.10G110200.v8.1 transcript:Manes.10G110200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRGDKEDGVDRHMSLLKLVQILSFLVVFVAGIIMGLATSSHINQYFASQARLFFTNNIEATKIPDNNCTVLRPCKTVDCWSIETFLHPKNLTHSMADEQLFWRASLMPTKEEYPFDRLPKVAFMFLTRGPLPMLPLWERFFRGHEKYFSIYIHTPSNYVLNVSLDSPFYGRQIPSQDVEWGSVSLVDAEKRLLANALLDFSNERFVLLSESCIPVYNFPTVYKYLIHSEHSFVDSYDEPTRYGRGRYNRKMLPDIKLFQWRKGSQWFEVQRALAVHIVSDTKYYSIFKKYCKPACYPDEHYIPTYLNMFQGPFNANRTVTWVDWSLGGPHPATYMGINVTESFIQSIRSNGTECSYNSEMTSVCYLFARKFHPSALEPLLNLTSTANLHMLAGFR >Manes.10G110200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27099031:27102583:1 gene:Manes.10G110200.v8.1 transcript:Manes.10G110200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRGDKEDGVDRHMSLLKLVQILSFLVVFVAGIIMGLATSSHINQYFASQARLFFTNNIEATKIPDNNCTVLRPCKTVDCWSIETFLHPKNLTHSMADEQLFWRASLMPTKEEYPFDRLPKVAFMFLTRGPLPMLPLWERFFRGHEKYFSIYIHTPSNYVLNVSLDSPFYGRQIPSQDVEWGSVSLVDAEKRLLANALLDFSNERFVLLSESCIPVYNFPTVYKYLIHSEHSFVDSYDEPTRYGRGRYNRKMLPDIKLFQWRKGSQWFEVQRALAVHIVSDTKYYSIFKKYCKPACYPDEHYIPTYLNMFQGPFNANRTVTWVDWSLGGPHPATYMGINVTESFIQSIRSNGTECSYNSEMTSVCYLFARKFHPSALEPLLNLTSTVMEF >Manes.10G036600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3801182:3802437:-1 gene:Manes.10G036600.v8.1 transcript:Manes.10G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAKNPFILAIIGFLFFMAIINQSFSARNLGNPSPSNSHHNHNHPQITFLMPNVLNVTYPFPNPKTTKFTTPIPFSKPLGYFPPNGGIPLPQSNPASLDFSNVGMSFPVSATLQEMEFGSVIEIDENLFEETTYGSLATGKAQGMFVASSENGTSHIVAMVVKFGESESEDGLNFFGEYKRDVHESHIAVIGGTGKFQAANGYAVIKVVDGDSSVGEEENKRKKLLSFNVYLIS >Manes.14G149722.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16783818:16784595:1 gene:Manes.14G149722.v8.1 transcript:Manes.14G149722.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGGRRTNVFDPFSLDSWHPFHDFPFPSTSLSVSSPRSESANETSAFANTRIDWKETPEAHVFKADLPGIKKEEVKVEVEDGRVLQISGERSKEKEEKNEKWHRVERSSGKFLRRFRLPENAKVDEVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Manes.10G109546.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27000781:27005016:1 gene:Manes.10G109546.v8.1 transcript:Manes.10G109546.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPVKLFVKVMNSEDPTIEIHADSNDSIKSIHEKILVAARIPVTEQKLFHNGKQLDQCLHTLEDCSIENEASLELKVELRWDDSDESSALLQKIHKMSSNCCRMCQVESVSEDQDVSEHDYKTILEAFMLPKEKDLEILNLYSVPATMVMLYNSPIEGNYEIHLNNGLRTMLEILDFFKESLVALLHGLGQAFYPSAHIQSLKIQFRDFRTFSRALCQAIHGQAETDEDGEVDNEDDETDEDDEVNNEDDERKVDNEDDKRTKMIVRVSTRAIKIGFIEVLKKMEVHLSRLPLIVQGLKYTDALVFNDAMRSISFLYLAILKELNSMSQLVKGGKDKFRQVLEGHKNSLPLMIKNVTRKDDYDWLLEYNADITRKDYHGWLLEHNDVLDSASRMHLLMMKMIPEKKLHDPLLYKPLIRWSKYMDEKLYEQFRKKNLTDSQVLQDCLCKLCQILFKPQNLLLLACPNDPTKFYPNPELKRQPLHLDSFKISGIVIALALMHEVHIGIAFHHLFLLLLAGNDISMEDIREACPSFCNKKAKEPSHDDNLIRKEFIQSVSEQINFFKQGFHSVFGESINQLLSYRGIELEDLNQVLQGNLNLKFNFGKKRKYEDNESDPLTSQNNESDPLMYQFFKVNRQRVSITEWQEGKFLGKGGFGEVYEGYAPGGFFFAIKEIKIENEGMIEEINHEIDLLYQLRHPNIVSYYGTERRGSKVYIFLELVRPGSLKQIYKKGFKLEDSQVSHYTKQILEGLNYLHGLGVAHRDIKCENILVNYKGRVKITDFGLAKVPELNAFMKSCCGTIPWMAPEVIKRDTEYGFKADIWSLGCTVLEMLTGESPYSDLNCGSKTLKDKIVGGKLPTVPDSLSELSRDFIMKCLQVNPDHRPTAAELLQDPFVKGSAF >Manes.10G109546.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27000782:27005099:1 gene:Manes.10G109546.v8.1 transcript:Manes.10G109546.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPVKLFVKVMNSEDPTIEIHADSNDSIKSIHEKILVAARIPVTEQKLFHNGKQLDQCLHTLEDCSIENEASLELKVELRWDDSDESSALLQKIHKMSSNCCRMCQVESVSEDQDVSEHDYKTILEAFMLPKEKDLEILNLYSVPATMVMLYNSPIEGNKYYADFLIRFSMDLLLMSANKFLGDEKAPLVLEFCNLLREVSNEDPLYQSCRTTLRKLLEIGNYEIHLNNGLRTMLEILDFFKESLVALLHGLGQAFYPSAHIQSLKIQFRDFRTFSRALCQAIHGQAETDEDGEVDNEDDETDEDDEVNNEDDERKVDNEDDKRTKMIVRVSTRAIKIGFIEVLKKMEVHLSRLPLIVQGLKYTDALVFNDAMRSISFLYLAILKELNSMSQLVKGGKDKFRQVLEGHKNSLPLMIKNVTRKDDYDWLLEYNADITRKDYHGWLLEHNDVLDSASRMHLLMMKMIPEKKLHDPLLYKPLIRWSKYMDEKLYEQFRKKNLTDSQVLQDCLCKLCQILFKPQNLLLLACPNDPTKFYPNPELKRQPLHLDSFKISGIVIALALMHEVHIGIAFHHLFLLLLAGNDISMEDIREACPSFCNKKAKEPSHDDNLIRKEFIQSVSEQINFFKQGFHSVFGESINQLLSYRGIELEDLNQVLQGNLNLKFNFGKKRKYEDNESDPLTSQNNESDPLMYQFFKVNRQRVSITEWQEGKFLGKGGFGEVYEGYAPGGFFFAIKEIKIENEGMIEEINHEIDLLYQLRHPNIVSYYGTERRGSKVYIFLELVRPGSLKQIYKKGFKLEDSQVSHYTKQILEGLNYLHGLGVAHRDIKCENILVNYKGRVKITDFGLAKVPELNAFMKSCCGTIPWMAPEVIKRDTEYGFKADIWSLGCTVLEMLTGESPYSDLNCGSKTLKDKIVGGKLPTVPDSLSELSRDFIMKCLQVNPDHRPTAAELLQDPFVKGSAF >Manes.08G114100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35299598:35300769:-1 gene:Manes.08G114100.v8.1 transcript:Manes.08G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIYFLMGLLFQVSALDACPKCGSMEVPYPLSTDDTCGDPRYRIYCNNGVLEFLSSEGFYYKILSINPSAYKLIIEPPMIQKNTCYSADLAFGGLKLAENLPFNISTHNTVLLFNCSENILLSPLNCSSTSYCKEYEAEERGSGCKDTLCCHFLKDASMTQYRIRIRVGGCTAYTSVVDLKPGDPVDAWNYGIELQWVPPNLSF >Manes.03G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:206929:209283:1 gene:Manes.03G001200.v8.1 transcript:Manes.03G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAVAGVSGSSEAHRVFVYGSLLADDVVRVLLKRVPQSSSAILSGYHRFSIKGRVYPAILPVHNKQVTGRVLFGITDLELDILDTFEDVEYERTTVDVSLMDGSHRLQAHTYVWENKNDPNLFGEWDFEEWKIAHMNDFLKMTAGFMEELELPESKPRVATYESFFQQDNNRSSMP >Manes.11G019100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2101754:2107011:1 gene:Manes.11G019100.v8.1 transcript:Manes.11G019100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLEGFACGPVHFPCNSWVQSKKDHPAKRIFFSNKPYLPSETPAGLKALREKELKDIRGDGKGERKLSDRIYDFDVYNDLGNPDRGVEFTRPKLGGKDIPYPRRCRTGRRPTNTDKNAESRVEKPLPMYVPRDEQFEESKQKTFSAGRLKAVLHTLIPSLKATISSENKDFNMFSDIDILYKEGLLLKVGLQDEIWRSLPLPKVVTKIQESSEGLLRYDTPKIISKDKFAWLRDDEFARQAISGVNPVSIERLKVFPPKSNLDPDIYGPQESALQEKHIIGHLNGMSVQEALEENKLFVLDYHDAYLPFLDRINALDGRKSYATRTIFFLTPLGTLKPIAIELSLPPVEQSFRSKRVVTPPVDATTNWIWQLAKAHVCSNDAGVHQLVNHWLRTHAAMEPFILAAHRQMSAMHPIYKLLDPHMRYTLEINALARQSLISADGVIESCFTPGRYCMEISAAAYKNWRFDMEGLPADLIRRGVAVPDPTQPHGLKLIIEDYPYAQDGLLIWSAIENWVRSYVNRYYPNSSLICNDKELQAWYAESVNVGHADLKHAEWWPTLNNVDTLVSILTTIIWLASAQHAALNFGQYPYGGYVPNRPPLVRRLIPEENDTEYASFVADPQKYFLSAMPSLLQATKFMAVIDTLSTHSPDEEYLGERQQPSIWSGDAEIVESFYGFSAEMRQIEKEIDRRNKDPSLRNRCGAGVLPYELLAPSSEPGVTCRGVPNSVSI >Manes.11G019100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2101754:2107010:1 gene:Manes.11G019100.v8.1 transcript:Manes.11G019100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAKQIMGSSLIDKSSFVSVPSKLLFNHSFHQKNHFLVKPVLVPFQYRKVYAKRAVRGPVAAISEDLIKANSSSSTTTTVPEKAAKFKVRAVVTVRNKHKEDLKETIAKHWDAFADKVGRNVVLELISTEVDPETKAPKRSKEAVLKDWSKKTNVKADRVHYTAEFLVDSNFGVPGAITVSNKHQKEFFLEMVTLEGFACGPVHFPCNSWVQSKKDHPAKRIFFSNKPYLPSETPAGLKALREKELKDIRGDGKGERKLSDRIYDFDVYNDLGNPDRGVEFTRPKLGGKDIPYPRRCRTGRRPTNTDKNAESRVEKPLPMYVPRDEQFEESKQKTFSAGRLKAVLHTLIPSLKATISSENKDFNMFSDIDILYKEGLLLKVGLQDEIWRSLPLPKVVTKIQESSEGLLRYDTPKIISKDKFAWLRDDEFARQAISGVNPVSIERLKVFPPKSNLDPDIYGPQESALQEKHIIGHLNGMSVQEALEENKLFVLDYHDAYLPFLDRINALDGRKSYATRTIFFLTPLGTLKPIAIELSLPPVEQSFRSKRVVTPPVDATTNWIWQLAKAHVCSNDAGVHQLVNHWLRTHAAMEPFILAAHRQMSAMHPIYKLLDPHMRYTLEINALARQSLISADGVIESCFTPGRYCMEISAAAYKNWRFDMEGLPADLIRRGVAVPDPTQPHGLKLIIEDYPYAQDGLLIWSAIENWVRSYVNRYYPNSSLICNDKELQAWYAESVNVGHADLKHAEWWPTLNNVDTLVSILTTIIWLASAQHAALNFGQYPYGGYVPNRPPLVRRLIPEENDTEYASFVADPQKYFLSAMPSLLQATKFMAVIDTLSTHSPDEEYLGERQQPSIWSGDAEIVESFYGFSAEMRQIEKEIDRRNKDPSLRNRCGAGVLPYELLAPSSEPGVTCRGVPNSVSI >Manes.06G037900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11375112:11389215:1 gene:Manes.06G037900.v8.1 transcript:Manes.06G037900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDEFGDLYTDVLQPFSSSLSSAPQPQQRSPSPSLSHRSINLNLHKSKNGVHDDEILYGASGVNPPPPSDRTIPISFQNSSATVNNSAAGPRVLGEKLPNNASDVTDFPPDKQDKDITFDIEEGNTGLLANSGPMIPGLAVDAEDSKMNEANGGCGREGDEDWEEDSDSEDDLQIVLNDTNHGPMGMERGVMGDADDDDGEDGDPLVIVADGDPNQPLEEQEWGVGEDAATTTGAEGEKKEGSEATAKGIAVAGPKNGYSNHGYHHSFHSQFKYVRPGAVPMTGATTVVPVVAPGQVRPPINMAPLAGRGRGDWRPVGIKNVSQMQKGYFGWGNNVAGRGFGGGLDFTLPSHKTIFDVDIDSFEEKPWKYPGVDISDFFNFGLNEEIWKGYCKQLEQHRLETTMQSKIRVYESGRAEQAYDPDLPPELALAAGIPADNSNLGKSDVGQSDLAKGPSHMRPSLPTGRAIQVEGGSGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSSTGNGGMNGGNNDLLRDDVIGSLIPEDDMAQMETEYYKDFPQGYDKQKGGRRAPYTDSGLRNTPDGDGSLPCHPEAPSQYLAGSRGRPMLSGGDFGAPPEERQERGRTSDISSHVTPSGTREKGLFDDVEEDSVKNMGGKHSQVVSSHTAVRDTRELSVEDKDVTVHSEPVLDEGSSGMEKDGMTENEVTTNDSINDGNAHHSAKEQKLSLRVEQSAQQELDDREGSKAARSSENSKARSGSSKDYQKWQDGVEEEVVQDGRARPTGSTKRHLDDEHHFRRKERDARPEMERNHMVIKGREGSYPRRALGTGLDHHLHIRNESYGKRKEREIPDGAWQRRDEDLHSRRSRFEDTRKREQGDEMGSRQRSKMREGERSDKEQLLHSRKQFDNGSYGVHYDKDAGSRHREKEEILKSRYDIADDYHSKRMKDEEYLRREHTKKEDLLHGNRESTTRRKRERDDVLDPRKRDDQQRIRDKDEVWPQRERGERQREREELYRLKQSRDENLSKREKEEGRGSLRAGHVADDKAWIGQARVKDEYRGSDREFQQKDAVRNSELQKRRDRMEDESYSHHRGRDDVYVRGDQHSTEERKLRLERSSTRIDRAVNSPDKRVHDKKHKDNMKNKESDGGDHNNLGPSRRNQKDHIGHIDERGLDDGAEQGKKEITAQNNSSKRHKEDVPSDDEQQDSRRGRSKLERWTSHTERDYSIDSKPSSSLTFKEKDMNNNIGFSEAKKLADEILKRNQLVEKHSLAEEKDAADVENKETNAKPLEDRHLDTVEKLKKRSERFKLPMPSEKDASAIKKMESEALPSVKTDTPVDSEIKSGRPARKRRWTSN >Manes.06G037900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11375112:11389215:1 gene:Manes.06G037900.v8.1 transcript:Manes.06G037900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDEFGDLYTDVLQPFSSSLSSAPQPQQRSPSPSLSHRSINLNLHKSKNGVHDDEILYGASGVNPPPPSDRTIPISFQNSSATVNNSAAGPRVLGEKLPNNASDVTDFPPDKQDKDITFDIEEGNTGLLANSGPMIPGLAVDAEDSKMNEANGGCGREGDEDWEEDSDSEDDLQIVLNDTNHGPMGMERGVMGDADDDDGEDGDPLVIVADGDPNQPLEEQEWGVGEDAATTTGAEGEKKEGSEATAKGIAVAGPKNGYSNHGYHHSFHSQFKYVRPGAVPMTGATTVVPVVAPGQVRPPINMAPLAGRGRGDWRPVGIKNVSQMQKGYFGWGNNVAGRGFGGGLDFTLPSHKTIFDVDIDSFEEKPWKYPGVDISDFFNFGLNEEIWKGYCKQLEQHRLETTMQSKIRVYESGRAEQAYDPDLPPELALAAGIPADNSNLGKSDVGQSDLAKGPSHMRPSLPTGRAIQVEGGSGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSSTGNGGMNGGNNDLLRDDVIGSLIPEDDMAQMETEYYKDFPQGYDKQKGGRRAPYTDSGLRNTPDGDGSLPCHPEAPSQYLAGSRGRPMLSGGDFGAPPEERQERGRTSDISSHVTPSGTREKGLFDDVEEDSVKNMGGKHSQVVSSHTAVRDTRELSVEDKDVTVHSEPVLDEGSSGMEKDGMTENEVTTNDSINDGNAHHSAKEQKLSLRVEQSAQQELDDREGSKAARSSENSKARSGSSKDYQKWQDGVEEEVVQDGRARPTGSTKRHLDDEHHFRRKERDARPEMERNHMVIKGREGSYPRRALGTGLDHHLHIRNESYGKRKEREIPDGAWQRRDEDLHSRRSRFEDTRKREQGDEMGSRQRSKMREGERSDKEQLLHSRKQFDNGSYGVHYDKDAGSRHREKEEILKSRYDIADDYHSKRMKDEEYLRREHTKKEDLLHGNRESTTRRKRERDDVLDPRKRDDQQRIRDKDEVWPQRERGERQREREELYRLKQSRDENLSKREKEEGRGSLRAGHVADDKAWIGQARVKDEYRGSDREFQQKDAVRNSELQKRRDRMEDESYSHHRGRDDVYVRGDQHSTEERKLRLERSSTRIDRAVNSPDKRVHDKKHKDNMKNKESDGGDHNNLGPSRRNQKDHIGHIDERVCS >Manes.06G037900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11375112:11389215:1 gene:Manes.06G037900.v8.1 transcript:Manes.06G037900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDEFGDLYTDVLQPFSSSLSSAPQPQQRSPSPSLSHRSINLNLHKSKNGVHDDEILYGASGVNPPPPSDRTIPISFQNSSATVNNSAAGPRVLGEKLPNNASDVTDFPPDKQDKDITFDIEEGNTGLLANSGPMIPGLAVDAEDSKMNEANGGCGREGDEDWEEDSDSEDDLQIVLNDTNHGPMGMERGVMGDADDDDGEDGDPLVIVADGDPNQPLEEQEWGVGEDAATTTGAEGEKKEGSEATAKGIAVAGPKNGYSNHGYHHSFHSQFKYVRPGAVPMTGATTVVPVVAPGQVRPPINMAPLAGRGRGDWRPVGIKNVSQMQKGYFGWGNNVAGRGFGGGLDFTLPSHKTIFDVDIDSFEEKPWKYPGVDISDFFNFGLNEEIWKGYCKQLEQHRLETTMQSKIRVYESGRAEQAYDPDLPPELALAAGIPADNSNLGKSDVGQSDLAKGPSHMRPSLPTGRAIQVEGGSGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSSTGNGGMNGGNNDLLRDDVIGSLIPEDDMAQMETEYYKDFPQGYDKQKGGRRAPYTDSGLRNTPDGDGSLPCHPEAPSQYLAGSRGRPMLSGGDFGAPPEERQERGRTSDISSHVTPSGTREKGLFDDVEEDSVKNMGGKHSQVVSSHTAVRDTRELSVEDKDVTVHSEPVLDEGSSGMEKDGMTENEVTTNDSINDGNAHHSAKEQKLSLRVEQSAQQELDDREGSKAARSSENSKARSGSSKDYQKWQDGVEEEVVQDGRARPTGSTKRHLDDEHHFRRKERDARPEMERNHMVIKGREGSYPRRALGTGLDHHLHIRNESYGKRKEREIPDGAWQRRDEDLHSRRSRFEDTRKREQGDEMGSRQRSKMREGERSDKEQLLHSRKQFDNGSYGVHYDKDAGSRHREKEEILKSRYDIADDYHSKRMKDEEYLRREHTKKEDLLHGNRESTTRRKRERDDVLDPRKRDDQQRIRDKDEVWPQRERGERQREREELYRLKQSRDENLSKREKEEGRGSLRAGHVADDKAWIGQARVKDEYRGSDREFQQKDAVRNSELQKRRDRMEDESYSHHRGRDDVYVRGDQHSTEERKLRLERSSTRIDRAVNSPDKRVHDKKHKDNMKNKESDGGDHNNLGPSRRNQKDHIGHIDERVCS >Manes.06G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11375112:11389215:1 gene:Manes.06G037900.v8.1 transcript:Manes.06G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDEFGDLYTDVLQPFSSSLSSAPQPQQRSPSPSLSHRSINLNLHKSKNGVHDDEILYGASGVNPPPPSDRTIPISFQNSSATVNNSAAGPRVLGEKLPNNASDVTDFPPDKQDKDITFDIEEGNTGLLANSGPMIPGLAVDAEDSKMNEANGGCGREGDEDWEEDSDSEDDLQIVLNDTNHGPMGMERGVMGDADDDDGEDGDPLVIVADGDPNQPLEEQEWGVGEDAATTTGAEGEKKEGSEATAKGIAVAGPKNGYSNHGYHHSFHSQFKYVRPGAVPMTGATTVVPVVAPGQVRPPINMAPLAGRGRGDWRPVGIKNVSQMQKGYFGWGNNVAGRGFGGGLDFTLPSHKTIFDVDIDSFEEKPWKYPGVDISDFFNFGLNEEIWKGYCKQLEQHRLETTMQSKIRVYESGRAEQAYDPDLPPELALAAGIPADNSNLGKSDVGQSDLAKGPSHMRPSLPTGRAIQVEGGSGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSSTGNGGMNGGNNDLLRDDVIGSLIPEDDMAQMETEYYKDFPQGYDKQKGGRRAPYTDSGLRNTPDGDGSLPCHPEAPSQYLAGSRGRPMLSGGDFGAPPEERQERGRTSDISSHVTPSGTREKGLFDDVEEDSVKNMGGKHSQVVSSHTAVRDTRELSVEDKDVTVHSEPVLDEGSSGMEKDGMTENEVTTNDSINDGNAHHSAKEQKLSLRVEQSAQQELDDREGSKAARSSENSKARSGSSKDYQKWQDGVEEEVVQDGRARPTGSTKRHLDDEHHFRRKERDARPEMERNHMVIKGREGSYPRRALGTGLDHHLHIRNESYGKRKEREIPDGAWQRRDEDLHSRRSRFEDTRKREQGDEMGSRQRSKMREGERSDKEQLLHSRKQFDNGSYGVHYDKDAGSRHREKEEILKSRYDIADDYHSKRMKDEEYLRREHTKKEDLLHGNRESTTRRKRERDDVLDPRKRDDQQRIRDKDEVWPQRERGERQREREELYRLKQSRDENLSKREKEEGRGSLRAGHVADDKAWIGQARVKDEYRGSDREFQQKDAVRNSELQKRRDRMEDESYSHHRGRDDVYVRGDQHSTEERKLRLERSSTRIDRAVNSPDKRVHDKKHKDNMKNKESDGGDHNNLGPSRRNQKDHIGHIDERGLDDGAEQGKKEITAQNNSSKRHKEDVPSDDEQQDSRRGRSKLERWTSHTERDYSIDKAKKLADEILKRNQLVEKHSLAEEKDAADVENKETNAKPLEDRHLDTVEKLKKRSERFKLPMPSEKDASAIKKMESEALPSVKTDTPVDSEIKSGRPARKRRWTSN >Manes.04G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22061628:22077044:-1 gene:Manes.04G061800.v8.1 transcript:Manes.04G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMVPETDQDSAVQNASSPTAMDREQLRDEPQPAGSSSPSSVPPPVQTPAQPQGQQQSPVVGPRHAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGAPSSAGNAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLSDVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAIGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASQAAAAAAASNVHSGKISGRYGFVDEGSRQAMHEAVPDGAVVLGNPVAPPINGDMYTDISTENAMLPGHRRTNRGVEYLVEASAAEAEAITATLAAAKARQVNGEVELPDRDRGAEATPSGKQISTLIKPDSAGSNIVAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLECGEISDLCDNAEKILSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERLGERDGIWIWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPISMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPEASPERHIEDTWMQELNANRPPTPTRGRPPVTNDRGSLAWI >Manes.06G122600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25315215:25316230:-1 gene:Manes.06G122600.v8.1 transcript:Manes.06G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGKCNWKRNLFVKAWKRCRSLGSGTKKSARNICNSLTKTKSWHCTTGSSEGDEKRKKKCQVAPEGCFSVYVGPEKQRFVIKTEFVNHPLFRLLLEDAEMEYGFNSEGPILLPCDVDLFYKVLAEMDCWEDISISRCRPLNLCSPSLPSMQMLKFFLV >Manes.11G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1195119:1196463:1 gene:Manes.11G012200.v8.1 transcript:Manes.11G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIVSNLLQRTSSSKTPMLSHSPLKHFTFLTHQFSEITSIKYQKQQQLPLLVLLHHISNLASRPSLSIWRRKKEMGKEGLIVAKELKRLQSDPVRLDWFIKSQVSRLLKSDLVAVLAEFQRQDQVFLCMKLYNVVRKEIWYRPDMFFYRDMLMMLARNRKVEEAKVVWQDLKREGVLFDQHTFGDIIRAFLDSGLPSEAMDIYEEMRHSPDLPLSLPFRVILKGLIPYPDLREKVKDDFLELFPNMIVYDPAEDLFEDQHRGSEDD >Manes.08G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38513355:38517802:-1 gene:Manes.08G149600.v8.1 transcript:Manes.08G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHQHRGKSVNSSSRMSIPPERYLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKIMGIPGLTLYHLKSHLQKYRLSKNLHGQAISGSSKIGATTVESDRMSEANVIHINNLSIGSQTNKSLHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGKQNLGTMGLEAAKVQLSELVSKVSTQCLNSAFSELKELQSLCPQQIQTTPPTDCSMDSCLTSCEGSQKEQEIHNAGMGLRPYNGNTLLEAKEMVQDRLLRPTELKWDVHLKDNKMFLSPVGNNAEKSSNLLMRVGLQEENGNTSSSFTEGRFKGRSNDNNFADQTNKRTDSVKLHNGNISPGYGLPYFATKLDLNSHEEIDAASSCKQLDLNGFSWN >Manes.07G028400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3120266:3131581:1 gene:Manes.07G028400.v8.1 transcript:Manes.07G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELQQLSESMRQASALLADEDIDETTTSSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIHLNRESSLSNKSIVLQIDNKSQQVSASALRHSLQDRLSKGSSGRSRDEINLKLRTSTAPPLKLIDLPGLDQRIMDDSLVSEYVEHNDAILLVVVPAVQAPEISSSRALRIAKEYDAESTRTVGVISKIDQASSESKALAAVQALLLNKGPPKTSDIPWIALIGQSVSIASAQSGSASSENSLETAWRAESESLRSILTGAPQSKLGRVALVEALAGQIRNRMKLRLPNLLTGLQGKSQIVQDELVRLGEQMVSSPEGTRALALELCREFEEKFLQHLAGGEGNGWKVVASFEGNFPNRIKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPARLCVDEVHRVLVDIVSTSANATPGLGRYAPFKREIVAIATAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREEEIKNKSSKKANEAEQSILNRATSPQTGAQQSGGSLKSMKEKSDKDSQEGPALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNIEEVSDEEETSSKSSKDKKANGPSSEKGTNLVFKITSRVPYKTVLKAHSAVVLKAESVADKIEWINKLRNVIQSKGGQVIGESGLTMRQSYSEGSLDTMMTRKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSVSAQSSARIEQLLQEDQNARHKRERYQKQSSLLSKLVRQLSIHDNRAAAASSWSNDGGGAESSPRTSGPAGGEDWRTAFDAAANGRADAFRSHSRRNSDPPQNGDASSGTNNSRRTPNRMPPAPPPSGSSYRY >Manes.05G027700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2394669:2395977:1 gene:Manes.05G027700.v8.1 transcript:Manes.05G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLIMGTKFSAEAAVLFFVILLALTFESKAGSIVVYWGQDGREGTLTSTCNSGKYGIVNVAFLSVFGKGQKPQLNLAGHCNAALNGCQGISRDVKNCQNKGIKVMLSIGGGSISYSLSSDDEARGIADYLWNNFLSGSSNSRPLGDAVLDGIDFDIEGGDRHYVALATRLSELSQGGKKVYLAAAPQCPFPDYYLDNALSTGLFDYVWIQFYNNPQCEYKSNSPKGFKDSWNKWTSSVPASKFFVGLPASPAAAGSGYLLPNVVISQVLPFVRGSAKYGGIMLWNKYLDDKNRYSSKIISSV >Manes.01G151700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33992366:34002895:1 gene:Manes.01G151700.v8.1 transcript:Manes.01G151700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEDVESCGSRAVDSYSQANPRHHVQKLEVYNEVLRRIQEFNYEEANLPGFDDQLWLHFNRLPARYAMDVNVERAEDVLTHKRLLQLAEHPANRPAFEVRLVQVYPIHNEMSFDSILSDCAMKEDAQSSYMPSRQSVHPPPTFGSTPNLEALAFQANRYHVQDGDSAVNSASRFSWPMHEITFSTVDRPKLLSELTFLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWPREETEELRNALEKEILKFKEQSCSKKASVSAASKQNETRVRTLPDCIEIPSDGTDVWEIDSSQLKIENKVASGSYGDLYRGTYCSQEVAIKILKPERVSEELLREFSQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMARGSIYDFLHKQKGVFKLPSLIKVATDVSRGMSYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKNAHPKLSELLERCWRQDPSQRPNFSEIIDILQQIDKEVRNEKGDRRKDKSSGGFFSALIRGHH >Manes.01G151700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33993022:34002895:1 gene:Manes.01G151700.v8.1 transcript:Manes.01G151700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVERAEDVLTHKRLLQLAEHPANRPAFEVRLVQVYPIHNEMSFDSILSDCAMKEDAQSSYMPSRQSVHPPPTFGSTPNLEALAFQANRYHVQDGDSAVNSASRFSWPMHEITFSTVDRPKLLSELTFLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWPREETEELRNALEKEILKFKEQSCSKKASVSAASKQNETRVRTLPDCIEIPSDGTDVWEIDSSQLKIENKVASGSYGDLYRGTYCSQEVAIKILKPERVSEELLREFSQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMARGSIYDFLHKQKGVFKLPSLIKVATDVSRGMSYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKNAHPKLSELLERCWRQDPSQRPNFSEIIDILQQIDKEVRNEKGDRRKDKSSGGFFSALIRGHH >Manes.01G151700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33992366:34002896:1 gene:Manes.01G151700.v8.1 transcript:Manes.01G151700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEDVESCGSRAVDSYSQANPRHHVQKLEVYNEVLRRIQEFNYEEANLPGFDDQLWLHFNRLPARYAMDVNVERAEDVLTHKRLLQLAEHPANRPAFEVRLVQVYPIHNEMSFDSILSDCAMKEDAQSSYMPSRQSVHPPPTFGSTPNLEALAFQANRYHVQDGDSAVNSASRFSWPMHEITFSTVDRPKLLSELTFLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWPREETEELRNALEKEILKFKEQSCSKKASVSAASKQNETRVRTLPDCIEIPSDGTDVWEIDSSQLKIENKVASGSYGDLYRGTYCSQEVAIKILKPERVSEELLREFSQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMARGSIYDFLHKQKGVFKLPSLIKVATDVSRGMSYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKNAHPKLSELLERCWRQDPSQRPNFSEIIDILQQIDKEVLNCL >Manes.03G174000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29823917:29829006:1 gene:Manes.03G174000.v8.1 transcript:Manes.03G174000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQASFHFSVFASFAHILYYISLNPAPTKLHFYVTEPCPLLILPLHQLHRYTSLFWTRNHMGNADFERPHPVAIPPTKPFLNSLKSALKETLFPDDPFSQFQKQSPSRKFILGLRYFVPILEWAPRYTFAFFRADVIAGITIASLAVPQGISYANLANLPPIIGLYSSFVPPLVYAMLGSSKDLAVGTVAVASLLISSMLGKVVNPNEDPKHYVQLALTATFFAGVFQSALGFLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVHFTHGTDLVSVMRSIFSQTHQWRWESGVLGCCFLFFLILTRYFSKRKKWFFWINAMAPLTSVVLGSVLVYLTHAEKHGVQVIGHLKKGLNPPSISELAFGSPHLMTAIKTGIITGVIALAEGVAVGRSFAMFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTTGPFSRTAVNFNAGCKTAVSNIVMATAVMITLLFLTPLFHYTPLVVLSSIIIAAMLGLIDYEAAIHLWKIDKFDFFVCISAYIGVVFGSVEIGLVIAVTISLLRMLLFVARPRTFLLGNIPNSMIYRSVDQYPTANCVPGVLILQIDAPIYFANANYLRERISRWIYEEEDRLKSTGEPTLQYVILDMSAIGSIDTNGLSMLEEVEKNTDRKGLKLVLANPRSEVIKKLEKAKFIEKIGQEWIYLTVGEAVAACNFMLHACKSNTVTAQEFDAQGHV >Manes.03G174000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29823917:29829006:1 gene:Manes.03G174000.v8.1 transcript:Manes.03G174000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQASFHFSVFASFAHILYYISLNPAPTKLHFYVTEPCPLLILPLHQLHRYTSLFWTRNHMGNADFERPHPVAIPPTKPFLNSLKSALKETLFPDDPFSQFQKQSPSRKFILGLRYFVPILEWAPRYTFAFFRADVIAGITIASLAVPQGISYANLANLPPIIGLYSSFVPPLVYAMLGSSKDLAVGTVAVASLLISSMLGKVVNPNEDPKHYVQLALTATFFAGVFQSALGFLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVHFTHGTDLVSVMRSIFSQTHQWRWESGVLGCCFLFFLILTRYFSKRKKWFFWINAMAPLTSVVLGSVLVYLTHAEKHGVQVIGHLKKGLNPPSISELAFGSPHLMTAIKTGIITGVIALAEGVAVGRSFAMFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTTGPFSRTAVNFNAGCKTAVSNIVMATAVMITLLFLTPLFHYTPLVVLSSIIIAAMLGLIDYEAAIHLWKIDKFDFFVCISAYIGVVFGSVEIGLVIAVTISLLRMLLFVARPRTFLLGNIPNSMIYRSVDQYPTANCVPGVLILQIDAPIYFANANYLRERISRWIYEEEDRLKSTGEPTLQYVILDMSAIGSIDTNGLSMLEEVEKNTDRKGLKCSWYWQTHVVK >Manes.14G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7141582:7147966:-1 gene:Manes.14G086400.v8.1 transcript:Manes.14G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQETTPAPAPSAEVVGNAFVEQYYHILHQSPGLVHKFYQDSSLLSRPDADGTMTTVTTMQAINDKILSLNYEDCTAEIKNADAQESYEKGVIVLVTGCLTGKDNVKKKFSQTFFLAPQDKGYFVLNDVFRFVEENEPLPNDPVLSNSVNENAVPITSTTQPGWGDISEADTTQYTDHLTVDPATSLEEEDLNNGAEIFDPSDKEEGSVIKEEVVEHQTGSNHSEILTSGDAAPAALEDAPKKSYASIVKVMKGTVPSSVYVAPNSKGAPANSEKQSLNSTKPAYAPEAVAPTSVGVPESSDVHEEAEGHSIYVRNLPFNATAVQLDEVFKKFGPIKRGGIQVRSNKQGFCFGFVEFETWSSMQSALEASPINIGDCQAIVEEKKTNTRVGSGGRGRYSSGRGGFRNDSFKNRGNFGGGRGYGRNEFRNQVEFSVRPKGSAGRSNGDYQRTNPNGSRRGGRQGGGRGSSVST >Manes.09G136700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33699888:33704918:-1 gene:Manes.09G136700.v8.1 transcript:Manes.09G136700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIKNDHTGGTCDECLLERKSACSWGAWYNGDILDPLVYIRALKKKENGSRGQIMMRNVKSPPYAHSSGAPRASLLEPPCGTDDKDINFQIHSMEKEAYSSVLRAFNAQSDLLSWGKEWLITELRKELNVTDTEHGQLLVKINSDESIKRIREWQKHAHKSLSAKLDNSGPIDSVDDTPQEKRPLKSQKYVLYHQPSLARIPLLAQTHYKDNQQRDELAVLSSVQSMKVVDHNFSGPLSNKGGPAQSHSKTGFHVAGSSKFKNHSQFIKIRATDEIILEVERMAYGREDPDPVQLEKAKLILRDHEKAILGALDKLADVGDSSNQLH >Manes.09G136700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33699888:33704918:-1 gene:Manes.09G136700.v8.1 transcript:Manes.09G136700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIKNDHTGGTCDECLLERKSACSWGAWYNGDILDPLVYIRALKKKENGSRGQIMMRNVKSPPYAHSSGAPRASLLEPPCGTDDKDINFQIHSMEKEAYSSVLRAFNAQSDLLSWGKEWLITELRKELNVTDTEHGQLLVKINSDESIKRIREWQKHAHKSLSAKLDNSGPIDSVDDTPQEKRPLKSQKYVLYHQPSLARIPLLAQTHYKDNQQRDELAVLSSVQSMKVVDHNFSGPLSNKGGPAQSHSKTGFHVAGSSKFKNHSQFIKIRATDEIILEVERMAYGREDPDPVQLEKAKLILRDHEKAILGALDKLADVVL >Manes.09G136700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33699888:33704918:-1 gene:Manes.09G136700.v8.1 transcript:Manes.09G136700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIKNDHTGSRGQIMMRNVKSPPYAHSSGAPRASLLEPPCGTDDKDINFQIHSMEKEAYSSVLRAFNAQSDLLSWGKEWLITELRKELNVTDTEHGQLLVKINSDESIKRIREWQKHAHKSLSAKLDNSGPIDSVDDTPQEKRPLKSQKYVLYHQPSLARIPLLAQTHYKDNQQRDELAVLSSVQSMKVVDHNFSGPLSNKGGPAQSHSKTGFHVAGSSKFKNHSQFIKIRATDEIILEVERMAYGREDPDPVQLEKAKLILRDHEKAILGALDKLADVVL >Manes.09G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33699888:33703446:-1 gene:Manes.09G136700.v8.1 transcript:Manes.09G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILGSRGQIMMRNVKSPPYAHSSGAPRASLLEPPCGTDDKDINFQIHSMEKEAYSSVLRAFNAQSDLLSWGKEWLITELRKELNVTDTEHGQLLVKINSDESIKRIREWQKHAHKSLSAKLDNSGPIDSVDDTPQEKRPLKSQKYVLYHQPSLARIPLLAQTHYKDNQQRDELAVLSSVQSMKVVDHNFSGPLSNKGGPAQSHSKTGFHVAGSSKFKNHSQFIKIRATDEIILEVERMAYGREDPDPVQLEKAKLILRDHEKAILGALDKLADVGDSSNQLH >Manes.09G136700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33699728:33704956:-1 gene:Manes.09G136700.v8.1 transcript:Manes.09G136700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIKNDHTGSRGQIMMRNVKSPPYAHSSGAPRASLLEPPCGTDDKDINFQIHSMEKEAYSSVLRAFNAQSDLLSWGKEWLITELRKELNVTDTEHGQLLVKINSDESIKRIREWQKHAHKSLSAKLDNSGPIDSVDDTPQEKRPLKSQKYVLYHQPSLARIPLLAQTHYKDNQQRDELAVLSSVQSMKVVDHNFSGPLSNKGGPAQSHSKTGFHVAGSSKFKNHSQFIKIRATDEIILEVERMAYGREDPDPVQLEKAKLILRDHEKAILGALDKLADVGDSSNQLH >Manes.09G072968.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11812705:11816531:-1 gene:Manes.09G072968.v8.1 transcript:Manes.09G072968.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDAICKKYDKYDIEKHKDLNVSGDDAFARLYAVVENDIEAALQKAELASKEKNKASAVALNAEIRRTKAKLLEEVPKLQRLAIKKVKGLSTEELTARNDLVLALPDRIQAIPDGTVPAPKQSGGWGASASRTEIKFDSDGRFDGEYFQESETSSQFRQEYEMRRMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKATADLKNTNVRLKDTVNQLRSSRNFCIDIVLLCIILGIAAYLYNVLKK >Manes.14G143800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13289673:13291446:1 gene:Manes.14G143800.v8.1 transcript:Manes.14G143800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNFLWLYIEHAATSCFRSNLPKPTFTLYPLYQTTIMDSCKLLFPFLLCSLFFFVLPLASHAHLLKACQFEAIYNLGDSISDTGNLIREDPASVFGRLPYGLNLYSTATGRCSNGLLMIDFIAKSAGVPLLNAYLNECSTKTHGVNFAVAGSTALPVEFLAENRVIASVTNSSLSIQLNWMAAHFYSTCHNCKDCIEKHKKSLFMVGEIGGNDYNYALLQGKTIDELKHMIPDVVNVIKYAVARVIGFGATRVVVPGNFPIGCLPIFLTGFHTNDSNAYDELHCLKGLNNFVIYHNELLQRGIIALQEEHPHVTIVYGDYYNAYKWVLQKAALLGFDPNSVQKACCGCGGDYDFSLERFCGTPDVPVCAKPEERMSWDGVHSTQKAYFFMARWLIRDIFQKLRCIA >Manes.05G137750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:13466010:13467256:-1 gene:Manes.05G137750.v8.1 transcript:Manes.05G137750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGKDKRRRMDKESDEARNKKVRQGDPEDATEEEVEEFFAILRRMQVAVKYFEKGNGEDWRAAVEAEVVAAADSADEDEKDEMERKKEELVVPERRPIVEDAGVLDLNVMPDVENNEI >Manes.07G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1665044:1667552:-1 gene:Manes.07G013500.v8.1 transcript:Manes.07G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKVVVSAFSLILVVGVVIGVVAVVQKSGNSEETLSTSSKNVASICQPTDYKEACEKSLGSVEDSSDPKEYLAAAILSTMEAAKKAFNLSDSLIVKAGSSDNDTKMALEDCKSMLDDAVEELQDSFSSVGESDVHTMNKRVADLQNWLSAVITYQSTCLDDFGDPNSEYKTEMKDGMLDANQLTSNALAIVNGLSKILSSFGLKLDADNDSNNHSRRLLSMGDDGYPDWYSGSGRRLFATQDISTIKPNITVAQDGSGDVKTIMEALGKLPPKYDGQYIVHVKAGVYKEYVSVGKKMHNVFMYGDGPLKTVVSGDRSNKTGFKTMRTCTFEALGEGFTARSMGFENTAGAEGHQAVALRVQSDRAAFFDCKIDGYQDTLYVHAHRQFYNNCTVSGTIDFIFGNAACVIQNSLIVANRGMPGQFNTVTAQGRTEKHQTTGLVLQNCKFIAEDKLFPDRLTTMLSYLGRPWKEFSRAVIMESDITDVIHPDGWLPWNGDLYLSTLDYMEYANTGAGAAPGKRVKWVKIVTDKAKVIPFTVDPFIQGAEWLNETNHAVFVPGLIKP >Manes.14G172101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28311708:28314784:1 gene:Manes.14G172101.v8.1 transcript:Manes.14G172101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASRPENQDAIDSAIVGMQLILPLFDARAGIQEVHFLPFNPTDKRTASTYIDSEGKMHRVSKGAPEQGDRTDKKDTSAFNTWKDIIIPGNVDDGMTRRGTPMVQPLPLSKRKYLANYLGRAQGKVGRLKLIELAKQYPDKLESPELKFSGPDKLGRMEYFQNLRNAKFCLAPRGESSWTLRFYESFFVVSLSQTQIHICGLLLLHTRGFLEHLC >Manes.09G150400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34763670:34768328:-1 gene:Manes.09G150400.v8.1 transcript:Manes.09G150400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTSSVKSQKKCQRVKSALESAVLEWLLICMLFIDAILSYLITKFACYYELQTPCLLCSRLDHILGNKKLKYYWDLICGEHKLEISTLVLCHAHGKLVDVHGMCETCLFSFATINKSNAETYRLLVGKLGEGSSFGLNEDPLLENHTSSPRHCSCCNEPWIPKGYSEKLMQTKIVGSESADFDGLLSGDVEYEQDNLKNIEQSLSGGATHQRINSGPDHLSHIGYAELNINSDNESEVMFSDDDNDSNARNCEISPSEDIAVVQNEPRIITLADDLASEKLIDAVTAPQIPISISLGQPDLVHYPEVTSVSPTVAMGHCFAEFDRLQAEVKSDPSVFPELISTDEVPPSKIASKGSPVEVSGESKRSFLADVPQSLNAKEMLVDASTESTLISVEDVHSSSVARETPLEASEKSKLIFADDVHQSSENKYTPAHGTNSKPVSVVDVLPLSSAVETPVQGLEENCIARTEEIWQTAATDCEEICKTRTISATMIETAAETNPVSSDSGPQMPNLLDLSDAYKLAIGSRGRQLSDALAEQWIGKDSSRLGDDLKLILSQLSAAREHSLNDTSPRVPMSPGVSMSPKLSLNCDELKNLDASSVIGMQILQRRISLERNESLLSLDGSMVSEIEGESVVDRLKRQIEHDKKLLSALYKELEEERNASAIAANQTMAMITRLQEEKAAFQMEALQYLRMMEEQAEYDMELLVEKEKELKDLEAELEFYRNNLPSESLLKDTKEHISEMKTKDIKEEHSEVSSIEVTASTPTEKPDIRSNVEGRDMACGDKTTDACEEKRYFDAPL >Manes.09G150400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34763670:34768328:-1 gene:Manes.09G150400.v8.1 transcript:Manes.09G150400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTSSVKSQKKCQRVKSALESAVLEWLLICMLFIDAILSYLITKFACYYELQTPCLLCSRLDHILGNKKLKYYWDLICGEHKLEISTLVLCHAHGKLVDVHGMCETCLFSFATINKSNAETYRLLVGKLGEGSSFGLNEDPLLENHTSSPRHCSCCNEPWIPKGYSEKLMQTKIVGSESADFDGLLSGDVEYEQDNLKNIEQSLSGGATHQRINSGPDHLSHIGYAELNINSDNESEVMFSDDDNDSNARNCEISPSEDIAVVQNEPRIITLADDLASEKLIDAVTAPQIPISISLGQPDLVHYPEVTSVSPTVAMGHCFAEFDRLQAEVKSDPSVFPELISTDEVPPSKIASKGSPVEVSGESKRSFLADVPQSLNAKEMLVDASTESTLISVEDVHSSSVARETPLEASEKSKLIFADDVHQSSENKYTPAHGTNSKPVSVVDVLPLSSAVETPVQGLEENCIARTEEIWQTAATDCEEICKTRTISATMIETAAETNPVSSDSGPQMPNLLDLSDAYKLAIGSRGRQLSDALAEQWIGKDSSRLGDDLKLILSQLSAAREHSLNDTSPRVPMSPGVSMSPKLSLNCDELKNLDASSVIGMQILQRRISLERNESLLSLDGSMVSEIEGESVVDRLKRQIEHDKKLLSALYKELEEERNASAIAANQTMAMITRLQEEKAAFQMEALQYLRMMEEQAEYDMELLVEKEKELKDLEAELEFYRNNLPSESLLKDTKEHISEMKTKDIKEEHSEVSSIEVTASTPTEKPDIRSNVEGRDMACGDKTTGTVKSS >Manes.09G150400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34763666:34768327:-1 gene:Manes.09G150400.v8.1 transcript:Manes.09G150400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTSSVKSQKKCQRVKSALESAVLEWLLICMLFIDAILSYLITKFACYYELQTPCLLCSRLDHILGNKKLKYYWDLICGEHKLEISTLVLCHAHGKLVDVHGMCETCLFSFATINKSNAETYRLLVGKLGEGSSFGLNEDPLLENHTSSPRHCSCCNEPWIPKGYSEKLMQTKIVGSESADFDGLLSGDVEYEQDNLKNIEQSLSGGATHQRINSGPDHLSHIGYAELNINSDNESEVMFSDDDNDSNARNCEISPSEDIAVVQNEPRIITLADDLASEKLIDAVTAPQIPISISLGQPDLVHYPEVTSVSPTVAMGHCFAEFDRLQAEVKSDPSVFPELISTDEVPPSKIASKGSPVEVSGESKRSFLADVPQSLNAKEMLVDASTESTLISVEDVHSSSVARETPLEASEKSKLIFADDVHQSSENKYTPAHGTNSKPVSVVDVLPLSSAVETPVQGLEENCIARTEEIWQTAATDCEEICKTRTISATMIETAAETNPVSSDSGPQMPNLLDLSDAYKLAIGSRGRQLSDALAEQWIGKDSSRLGDDLKLILSQLSAAREHSLNDTSPRVPMSPGVSMSPKLSLNCDELKNLDASSVIGMQILQRRISLERNESLLSLDGSMVSEIEGESVVDRLKRQIEHDKKLLSALYKELEEERNASAIAANQTMAMITRLQEEKAAFQMEALQYLRMMEEQAEYDMELLVEKEKELKDLEAELEFYRNNLPSESLLKDTKEHISEMKTKDIKEEHSEVSSIEVTASTPTEKPDIRSNVEGRDMACGDKTTGTVKSS >Manes.09G150400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34763670:34767794:-1 gene:Manes.09G150400.v8.1 transcript:Manes.09G150400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTSSVKSQKKCQRVKSALESAVLEWLLICMLFIDAILSYLITKFACYYELQTPCLLCSRLDHILGNKKLKYYWDLICGEHKLEISTLVLCHAHGKLVDVHGMCETCLFSFATINKSNAETYRLLVGKLGEGSSFGLNEDPLLENHTSSPRHCSCCNEPWIPKGYSEKLMQTKIVGSESADFDGLLSGDVEYEQDNLKNIEQSLSGGATHQRINSGPDHLSHIGYAELNINSDNESEVMFSDDDNDSNARNCEISPSEDIAVVQNEPRIITLADDLASEKLIDAVTAPQIPISISLGQPDLVHYPEVTSVSPTVAMGHCFAEFDRLQAEVKSDPSVFPELISTDEVPPSKIASKGSPVEVSGESKRSFLADVPQSLNAKEMLVDASTESTLISVEDVHSSSVARETPLEASEKSKLIFADDVHQSSENKYTPAHGTNSKPVSVVDVLPLSSAVETPVQGLEENCIARTEEIWQTAATDCEEICKTRTISATMIETAAETNPVSSDSGPQMPNLLDLSDAYKLAIGSRGRQLSDALAEQWIGKDSSRLGDDLKLILSQLSAAREHSLNDTSPRVPMSPGVSMSPKLSLNCDELKNLDASSVIGMQILQRRISLERNESLLSLDGSMVSEIEGESVVDRLKRQIEHDKKLLSALYKELEEERNASAIAANQTMAMITRLQEEKAAFQMEALQYLRMMEEQAEYDMELLVEKEKELKDLEAELEFYRNNLPSESLLKDTKEHISEMKTKDIKEEHSEVSSIEVTASTPTEKPDIRSNVEGRDMACGDKTTDACEEKRYFDAPL >Manes.09G150400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34763670:34768105:-1 gene:Manes.09G150400.v8.1 transcript:Manes.09G150400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTSSVKSQKKCQRVKSALESAVLEWLLICMLFIDAILSYLITKFACYYELQTPCLLCSRLDHILGNKKLKYYWDLICGEHKLEISTLVLCHAHGKLVDVHGMCETCLFSFATINKSNAETYRLLVGKLGEGSSFGLNEDPLLENHTSSPRHCSCCNEPWIPKGYSEKLMQTKIVGSESADFDGLLSGDVEYEQDNLKNIEQSLSGGATHQRINSGPDHLSHIGYAELNINSDNESEVMFSDDDNDSNARNCEISPSEDIAVVQNEPRIITLADDLASEKLIDAVTAPQIPISISLGQPDLVHYPEVTSVSPTVAMGHCFAEFDRLQAEVKSDPSVFPELISTDEVPPSKIASKGSPVEVSGESKRSFLADVPQSLNAKEMLVDASTESTLISVEDVHSSSVARETPLEASEKSKLIFADDVHQSSENKYTPAHGTNSKPVSVVDVLPLSSAVETPVQGLEENCIARTEEIWQTAATDCEEICKTRTISATMIETAAETNPVSSDSGPQMPNLLDLSDAYKLAIGSRGRQLSDALAEQWIGKDSSRLGDDLKLILSQLSAAREHSLNDTSPRVPMSPGVSMSPKLSLNCDELKNLDASSVIGMQILQRRISLERNESLLSLDGSMVSEIEGESVVDRLKRQIEHDKKLLSALYKELEEERNASAIAANQTMAMITRLQEEKAAFQMEALQYLRMMEEQAEYDMELLVEKEKELKDLEAELEFYRNNLPSESLLKDTKEHISEMKTKDIKEEHSEVSSIEVTASTPTEKPDIRSNVEGRDMACGDKTTDACEEKRYFDAPL >Manes.09G150400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34763666:34768327:-1 gene:Manes.09G150400.v8.1 transcript:Manes.09G150400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTSSVKSQKKCQRVKSALESAVLEWLLICMLFIDAILSYLITKFACYYELQTPCLLCSRLDHILGNKKLKYYWDLICGEHKLEISTLVLCHAHGKLVDVHGMCETCLFSFATINKSNAETYRLLVGKLGEGSSFGLNEDPLLENHTSSPRHCSCCNEPWIPKGYSEKLMQTKIVGSESADFDGLLSGDVEYEQDNLKNIEQSLSGGATHQRINSGPDHLSHIGYAELNINSDNESEVMFSDDDNDSNARNCEISPSEDIAVVQNEPRIITLADDLASEKLIDAVTAPQIPISISLGQPDLVHYPEVTSVSPTVAMGHCFAEFDRLQAEVKSDPSVFPELISTDEVPPSKIASKGSPVEVSGESKRSFLADVPQSLNAKEMLVDASTESTLISVEDVHSSSVARETPLEASEKSKLIFADDVHQSSENKYTPAHGTNSKPVSVVDVLPLSSAVETPVQGLEENCIARTEEIWQTAATDCEEICKTRTISATMIETAAETNPVSSDSGPQMPNLLDLSDAYKLAIGSRGRQLSDALAEQWIGKDSSRLGDDLKLILSQLSAAREHSLNDTSPRVPMSPGVSMSPKLSLNCDELKNLDASSVIGMQILQRRISLERNESLLSLDGSMVSEIEGESVVDRLKRQIEHDKKLLSALYKELEEERNASAIAANQTMAMITRLQEEKAAFQMEALQYLRMMEEQAEYDMELLVEKEKELKDLEAELEFYRNNLPSESLLKDTKEHISEMKTKDIKEEHSEVSSIEVTASTPTEKPDIRSNVEGRDMACGDKTTDACEEKRYFDAPL >Manes.09G150400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34763670:34767794:-1 gene:Manes.09G150400.v8.1 transcript:Manes.09G150400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTSSVKSQKKCQRVKSALESAVLEWLLICMLFIDAILSYLITKFACYYELQTPCLLCSRLDHILGNKKLKYYWDLICGEHKLEISTLVLCHAHGKLVDVHGMCETCLFSFATINKSNAETYRLLVGKLGEGSSFGLNEDPLLENHTSSPRHCSCCNEPWIPKGYSEKLMQTKIVGSESADFDGLLSGDVEYEQDNLKNIEQSLSGGATHQRINSGPDHLSHIGYAELNINSDNESEVMFSDDDNDSNARNCEISPSEDIAVVQNEPRIITLADDLASEKLIDAVTAPQIPISISLGQPDLVHYPEVTSVSPTVAMGHCFAEFDRLQAEVKSDPSVFPELISTDEVPPSKIASKGSPVEVSGESKRSFLADVPQSLNAKEMLVDASTESTLISVEDVHSSSVARETPLEASEKSKLIFADDVHQSSENKYTPAHGTNSKPVSVVDVLPLSSAVETPVQGLEENCIARTEEIWQTAATDCEEICKTRTISATMIETAAETNPVSSDSGPQMPNLLDLSDAYKLAIGSRGRQLSDALAEQWIGKDSSRLGDDLKLILSQLSAAREHSLNDTSPRVPMSPGVSMSPKLSLNCDELKNLDASSVIGMQILQRRISLERNESLLSLDGSMVSEIEGESVVDRLKRQIEHDKKLLSALYKELEEERNASAIAANQTMAMITRLQEEKAAFQMEALQYLRMMEEQAEYDMELLVEKEKELKDLEAELEFYRNNLPSESLLKDTKEHISEMKTKDIKEEHSEVSSIEVTASTPTEKPDIRSNVEGRDMACGDKTTGTVKSS >Manes.10G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5089213:5093246:-1 gene:Manes.10G046700.v8.1 transcript:Manes.10G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDFGVMKSQRVAEKCLDSQLWHACAGGMVQVPPVNSKVFYFPQGHAEHAQGNVDFANCKIPAMIACKVSAIKYLADPETDEVFAKIRLIPLSDRDVEFMEDGSDDVLFDGTPQQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTIIAKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGVGGGNECPSGWNSFGGYSGFLREDESKLMRRNVNGDMKGKLRPESVIQAATLAANGQPFEVVYYPRASTPEFCVRASAVRASMQIQWCLGMRFKMAFETEDSSRISWFMGTISSVQVADRIRWPSSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPSVHLSPFAPPMKKLRLPQSPDFSLIGQLPLPSLTGNPLGSNIPLCSVSDNIPAGIQGARHAQFELSSADFHFQKLQSTLFPAGIKHLDHAAPTSRIPNRNFMGIAENKEKISCLLTMGNPTLSLKGNSETKVPHIMLFGQLILPDQQSSQSCSGDTNGNSSSDGNPEKMANLSDGSVFHQTGLLENSSDEGSPRYKDHQKTDLSLDTGHCKVFMESEDVGRTLDLSVLGSYEELYKKLANMFSIENSDTLSSVLYRDAAGAVKHTGDEPFSEFLKTARRLTILTDSSSDNIGR >Manes.01G238201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40016957:40027781:1 gene:Manes.01G238201.v8.1 transcript:Manes.01G238201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSYSSYSSSGSPQFVPPNLPPGWRFYPSDEELVDHYLKRKRRGDPIDGLDIGEVQICDYDPKDLPDLSKNKSRDKVWYFFCLRLYHKNSGLAKRKAKGGYWKCTGDPRLVTAQDSDEEIGIKRTLVFHNPDATQWVIHEYEYTAALNSPVKGNYVLCKLKIKSNKKEKASKRSKKAEPDCKNTRPKKKARKCESDSNLTSASASRNKKLEEMTAYSAYGEGEPSNAIILDLENENPSMAANSTYNECETSSLMDSNFENPFYKKAIDSSRNTGETSGPMASYLENHSPNVMTAMSSYSGLEHEIPCEITSLSTNNQVETSCFKTYDFQNQCSNAFDKGKCLNAFDKGKSSYLITLDFENQNPSKIWDLSASREGEQCPLPETPTDFEYRNQCLNAFDKGKCLNAFDKGKSSYPITLDFENQNPSKIWDLKASREGEQCPLLETPTDFEYQNQRLNAFDKGKSSYPITLDFEKQEAMYQGEQSQHNTEMTISKDNDALWRAFGVTKFPEISSELLDKLITRFEPEDSFNSAAQQLLCIEESLNNKTLVPLSI >Manes.02G035700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2964789:2967737:1 gene:Manes.02G035700.v8.1 transcript:Manes.02G035700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTLSLDQLSPSEQLCYVHCNICDTGLAVSVPCTTLFKTITVRCGHCANLLPVNMRGSILPSANPFHMGHNFFSPHNLLGEIPNPSSNFLINQINVNDLSVPNRGVIHDELPSPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIQFGLMADQTVKKTNMGQQVP >Manes.02G035700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2964789:2967737:1 gene:Manes.02G035700.v8.1 transcript:Manes.02G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTLSLDQLSPSEQLCYVHCNICDTGLAVSVPCTTLFKTITVRCGHCANLLPVNMRGSILPSANPFHMGHNFFSPHNLLGEIPNPSSNFLINQINVNDLSVPNRGVIHDELPSPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIQFGLMADQTVKKTNMGQQEGEDVMMNDGFFGSANVGVSPY >Manes.07G071826.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13496717:13497175:-1 gene:Manes.07G071826.v8.1 transcript:Manes.07G071826.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCKPLATPMTQNEKLTKDDNRERANETTFRSLLGSLIYLTNTKPDIVHTMSIVSRYMSQPSKAYFTAAKRILHYIKETKSYGIFYKSEEASNLISYIDSYWTESIDDKKSTSGYAFFLGIKIISWSSRKQKTMALSSVGAEYIATTSAVY >Manes.15G145100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11927834:11930473:1 gene:Manes.15G145100.v8.1 transcript:Manes.15G145100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKFVRKFGDELSGVATLTVPDDRIWLVTIRQIDQKLWFCNGWHEFVVHYSICTGHFLVFRYEGNSNFSVHMYVLEPYRLKDPFTMMKTIKDYSEQHHVFDEIDDGDSVEILGSSPARVACDHLKPKTFDEHVEPNTTCRNYNLPSPQNLHNEASYHPSGDSVKLQPTVHSTQGIGSCTNELQNSVDDMRLELSHEKIQEHEKTMRKKLGIDEENLDPSNAADIAKRSREGQSSAESARKLKIIRRKKQSIDPSKRKPTARRNVQGENRSRYYKRASVRKRTVTNEDQERVKTAAAAFESVNPFCRVVLRASYLYRGCILHLPSCFARKHLNGVSDWIKLQFSDGKLWSVRCSYKAGGAKLSQGWYEFSMNNGFAEGDVCVFELLNLRDTVLKVTVFRV >Manes.15G145100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11927834:11930474:1 gene:Manes.15G145100.v8.1 transcript:Manes.15G145100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKFVRKFGDELSGVATLTVPDDRIWLVTIRQIDQKLWFCNGWHEFVVHYSICTGHFLVFRYEGNSNFSVHMYVLEPYRLKDPFTMMKTIKDYSEQHHVFDEIDDGDSVEILGSSPARVACDHLKPKTFDEHVEPNTTCRNYNLPSPQNLHNEASYHPSGDSVKLQPTVHSTQGIGSCTNELQNSVDDMRLELSHEKIQEHEKTMRKKLGIDEENLDPSNAADIAKRSREGQSSAESARKLKIIRRKKQSIDPSKRKPTARRNVQGENRSRYYKRASVRKRTVTNEDQERVKTAAAAFESVNPFCRVVLRASYLYRGCILHLPSCFARKHLNGVSDWIKLQFSDGKLWSVRCSYKAGGAKLSQGWYEFSMNNGFAEGDVCVFELLNLRDTVLKVTVFRV >Manes.15G145100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11927834:11930473:1 gene:Manes.15G145100.v8.1 transcript:Manes.15G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKLNKCGYFYRLIVPSILQQNKLMIPRKFVRKFGDELSGVATLTVPDDRIWLVTIRQIDQKLWFCNGWHEFVVHYSICTGHFLVFRYEGNSNFSVHMYVLEPYRLKDPFTMMKTIKDYSEQHHVFDEIDDGDSVEILGSSPARVACDHLKPKTFDEHVEPNTTCRNYNLPSPQNLHNEASYHPSGDSVKLQPTVHSTQGIGSCTNELQNSVDDMRLELSHEKIQEHEKTMRKKLGIDEENLDPSNAADIAKRSREGQSSAESARKLKIIRRKKQSIDPSKRKPTARRNVQGENRSRYYKRASVRKRTVTNEDQERVKTAAAAFESVNPFCRVVLRASYLYRGCILHLPSCFARKHLNGVSDWIKLQFSDGKLWSVRCSYKAGGAKLSQGWYEFSMNNGFAEGDVCVFELLNLRDTVLKVTVFRV >Manes.14G071900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5986177:5990335:1 gene:Manes.14G071900.v8.1 transcript:Manes.14G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KIN2 MAGTCTTGGGAAGGGAGEIMLFGVRVVVDSMRKSVSLNNLSQYEQPQELNKSNSNKDGSNNNNNNSSSSKEDVAAAAAAAAAAGYASADDAVPHSSSSRGERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTFSAFPMEEDQAHCQDNKNKAPELHPLPPPLPEINNISNFHMMPAFPMAVNPVVLPVPIESPVENLSWGHGNPATNPSVDIIRPIPVLLTPHASGTSDFNLNLESSLDLPPLSLKLSLPSGQKESSPKHSALQVMSSFNNGDSIISVA >Manes.07G074101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20266725:20275536:-1 gene:Manes.07G074101.v8.1 transcript:Manes.07G074101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIYQYELFKMKSDKTISQMYDRYIEIIIGMKSLGKTFTNEKLVKKILRCLPKMTLKREQVEEPSKMKKNIALRVASEDTSEEEKEISEEELALVTRRIRKLFLQNKMFIPRKNFRKEKGESSKKEVVICYECNKLGHYKVDCPKLKKPIKKFKKKAFKATWDESSDIEEEDVGDEIANMCFMALKESSDETTLYDDVVEFSYDELVGALKLMNDELEKSHKKNKI >Manes.08G003200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:616779:621486:-1 gene:Manes.08G003200.v8.1 transcript:Manes.08G003200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGHGESTSAKKHRRGMNETSDSMDILAAYFPSNDFAADDDKLMLTSDLVHFFYTSSGQDLASQVKEENGFFFLPIDFQQFRKMCEVEEFFVTLEEKPKVALSCMGAAVHKVLLTKWENILEDGTKINIRLHNYPESMIALKNLKAAYIDKLVSVRGTVVKVSTVRPLVVQMSFDCEKCKSTIIRVLPDGKYSPPTICNLNGCKSRTFHPIRSSAKGIDFQKIRIQELLKSEDHEEGRVPRTVECELTEDLVDACIPGDVVTVTGIIRTINNYVDIGGGKSKGKHQGFYYLYLEVVSIKNSKSQSASYDLQDSKPNARATELSDLYSFSPRDLDFIIKFSEEYGSDIFRQIIQSICPSIYGHELVKAGITLALFGGVRKHSTDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDSMTSDYAFEAGAMVLADSGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLLASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDKVLDKQVSDHIMSLHAGYAEHAPATKRLRIGSQINRNIDMNVRSGSLISRLRLEPKKDSDFAPLPGPLLRKYIAYARTYVFPRMSKPAAEILQKFYLQLRDHNTSADGTPITARQLESLVRLAEARARLELREEITEQDAMVVI >Manes.08G003200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:615489:621486:-1 gene:Manes.08G003200.v8.1 transcript:Manes.08G003200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGHGESTSAKKHRRGMNETSDSMDILAAYFPSNDFAADDDKLMLTSDLVHFFYTSSGQDLASQVKEENGFFFLPIDFQQFRKMCEVEEFFVTLEEKPKVALSCMGAAVHKVLLTKWENILEDGTKINIRLHNYPESMIALKNLKAAYIDKLVSVRGTVVKVSTVRPLVVQMSFDCEKCKSTIIRVLPDGKYSPPTICNLNGCKSRTFHPIRSSAKGIDFQKIRIQELLKSEDHEEGRVPRTVECELTEDLVDACIPGDVVTVTGIIRTINNYVDIGGGKSKGKHQGFYYLYLEVVSIKNSKSQSASYDLQDSKPNARATELSDLYSFSPRDLDFIIKFSEEYGSDIFRQIIQSICPSIYGHELVKAGITLALFGGVRKHSTDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDSMTSDYAFEAGAMVLADSGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLLASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDKVLDKQVSDHIMSLHAGYAEHAPATKRLRIGSQINRNIDMNVRSGSLISRLRLEPKKDSDFAPLPGPLLRKYIAYARTYVFPRMSKPAAEILQKFYLQLRDHNTSADGTPITARQLESLVRLAEARARLELREEITEQDAMDVVEIMKESLYDKYVDEHGVVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDTFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKKYQVLTSSYTRSQSSTLRG >Manes.08G003200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:615489:621486:-1 gene:Manes.08G003200.v8.1 transcript:Manes.08G003200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGHGESTSAKKHRRGMNETSDSMDILAAYFPSNDFAADDDKLMLTSDLVHFFYTSSGQDLASQVKEENGFFFLPIDFQQFRKMCEVEEFFVTLEEKPKVALSCMGAAVHKVLLTKWENILEDGTKINIRLHNYPESMIALKNLKAAYIDKLVSVRGTVVKVSTVRPLVVQMSFDCEKCKSTIIRVLPDGKYSPPTICNLNGCKSRTFHPIRSSAKGIDFQKIRIQELLKSEDHEEGRVPRTVECELTEDLVDACIPGDVVTVTGIIRTINNYVDIGGGKSKGKHQGFYYLYLEVVSIKNSKSQSASYDLQDSKPNARATELSDLYSFSPRDLDFIIKFSEEYGSDIFRQIIQSICPSIYGHELVKAGITLALFGGVRKHSTDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDSMTSDYAFEAGAMVLADSGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLLASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDKVLDKQVSDHIMSLHAGYAEHAPATKRLRIGSQINRNIDMNVRSGSLISRLRLEPKKDSDFAPLPGPLLRKYIAYARTYVFPSCRNPAEVLFTA >Manes.08G003200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:615489:621486:-1 gene:Manes.08G003200.v8.1 transcript:Manes.08G003200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGHGESTSAKKHRRGMNETSDSMDILAAYFPSNDFAADDDKLMLTSDLVHFFYTSSGQDLASQVKEENGFFFLPIDFQQFRKMCEVEEFFVTLEEKPKVALSCMGAAVHKVLLTKWENILEDGTKINIRLHNYPESMIALKNLKAAYIDKLVSVRGTVVKVSTVRPLVVQMSFDCEKCKSTIIRVLPDGKYSPPTICNLNGCKSRTFHPIRSSAKGIDFQKIRIQELLKSEDHEEGRVPRTVECELTEDLVDACIPGDVVTVTGIIRTINNYVDIGGGKSKGKHQGFYYLYLEVVSIKNSKSQSASYDLQDSKPNARATELSDLYSFSPRDLDFIIKFSEEYGSDIFRQIIQSICPSIYGHELVKAGITLALFGGVRKHSTDQNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVSPRGIYVCGNATTNAGLTVAVVKDSMTSDYAFEAGAMVLADSGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLLASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDKVLDKQVSDHIMSLHAGYAEHAPATKRLRIGSQINRNIDMNVRSGSLISRLRLEPKKDSDFAPLPGPLLRKYIAYARTYVFPRMSKPAAEILQKFYLQLRDHNTSADGTPITARQLESLVRLAEARARLELREEITEQDAMDVVEIMKESLYDKYVDEHGVVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDTFSISEIYSLADRIGLRVPDIDTFVDNLNSVGYLLKKGPKKYQVQSLVIW >Manes.15G088050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6754255:6755388:-1 gene:Manes.15G088050.v8.1 transcript:Manes.15G088050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSNKTVSVMMVMMIMMTISIKVAEGWDLIEAIKGKGWGWSGEGKMNKNCYDSCHKECMSFKGVSPSSCKQKCTPICRGAPEGGNMEVLSHP >Manes.14G115400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10909096:10914024:-1 gene:Manes.14G115400.v8.1 transcript:Manes.14G115400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEDNNALVTPEAQPNKRRKKKSIVWEHFTIETVSAGCRRAYCKQCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALLRNQGNQLMPYTPGSGNGTASDAPKRRYRSPSSPYISFDADRCRHEIAKMIIMHDYPLHMVEHSGFVTFVQNLQPRFGMVSFNTVQGDCVATYLREKQNLMKFIEGMPGRVCLTLDMWTSSQSLGYVFVTGHFIDSDWKPHRRILNVVMEPNPDSDTALSHAVACCLSDWSLEGKLFSVTYNHPVGEPGLENLRSLLCIKNPLILNGQLLIGNCIAHTISSIAKDVLWAGRDIVKKIRDSVKYVKTSESHEEKFVELKEQLQVPSERSLSLDDQSQWNTTYLMLVAASELKEVFSCLDTSDPDYKEAPSMEHWRQVETMCALLRPLHDAANILTSTNSPTAITFFQEVWKIQSDLARAVASEDPFVSSITKAMQEKISKYWKDCSLVLAIAVVMDPRFKMKLVEFSFSKLYGEDAPAFIKIVDDGIHELFLEYVALPLPLTPTYAEEGNTENNMRSDDHQGTLLSDNGLTDFDVYIMETTSQQMKSELDQYLEESLLPRMHDFDVLSWWKLNKLKFPTLSKMARDILTIPVSAAAPDSVFDTVNKELDQYRTSLRPETVEALVCAKDWLQYVSAEASNALVKMEF >Manes.05G194701.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31857206:31876151:1 gene:Manes.05G194701.v8.1 transcript:Manes.05G194701.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRESLIRLVGKRRRFLPNRQSLLSIPIQNEKKFSDDKMNGLISEQDEKSLLEISIRDWVTCPACGSIVRGEDCLINSHLDACLSTGKKRKWTQRTLLELKFCSQTSSFVQNVVINSEDSVAFEENDSNPCKSPRTTKLFPGKTGMASSTRNPIDGDKIDLAVGPSSLPLKDGVSTCNTMTTVDDISGVILETSIVGRRFSVVKDLNKGAKISLLRDPDNAKDPNAIKVLLADCTPSNVLGFLPRELAQHLSPLIEKYSLTFEGHVTSVPKLSLDAVPIQIICCKKLMSYGDKGHNDVEAFTSSWKSVLHAVESAKNYASSATKYQQNFCLLMQDVLRSNHHLFTDDEKNFMESFISLSNDSQRLFVRLYTRKGPWFQVSSISYPEVSDSEQAINDLSAIGFICSFADAKELQNNNMKDILNLLTVSKLREITYLLKKKSTPSMRKQDLIASLLSSLEDKKCLFLPTAIFERTGMCIKISSKAESLSWRVERLFFLNGEQDLSAFLLVDLGIVKYPTYCCNISEHIFSTRSDLLAYEEAIEVAQIMDESLEENDSELVLRCIKIAASRMSNSTAKASQSMASELAAIFLSRFSASWVYSKVVFLGISFLEHDRRYKDAITLLKRLLACFPCDGRRGYWTLRLSIDLEHMGFSNESLSVAEDGLMDPWVRAGSRIALQRRVLRLGKPPRRWKAPTFLGSIRRKIKEVHVLGRPLNCEIGKKSRFYGEDGEQCGVEQLALQYYVGEGGGWHGVHAESGIWLTIFGLLMWDIIFSNVPNVFHNRYQTAPLDLQTDGFYPVRKSLIESHLQKIQDGMAEEIIIKSWELHSGTACLGVNWVRHSLSELRAAVVCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGEYRGEAKLVEVKGPKDRLSEQQQAWLLLLMDCGFDTEVCKVKPLPSVT >Manes.05G194701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31857206:31876151:1 gene:Manes.05G194701.v8.1 transcript:Manes.05G194701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRESLIRLVGKRRRFLPNRQSLLSIPIQSSFSSCTDNDGVISMENEKKFSDDKMNGLISEQDEKSLLEISIRDWVTCPACGSIVRGEDCLINSHLDACLSTGKKRKWTQRTLLELKFCSQTSSFVQNVVINSEDSVAFEENDSNPCKSPRTTKLFPGKTGMASSTRNPIDGDKIDLAVGPSSLPLKDGVSTCNTMTTVDDISGVILETSIVGRRFSVVKDLNKGAKISLLRDPDNAKDPNAIKVLLADCTPSNVLGFLPRELAQHLSPLIEKYSLTFEGHVTSVPKLSLDAVPIQIICCKKLMSYGDKGHNDVEAFTSSWKSVLHAVESAKNYASSATKYQQNFCLLMQDVLRSNHHLFTDDEKNFMESFISLSNDSQRLFVRLYTRKGPWFQVSSISYPEVSDSEQAINDLSDAKELQNNNMKDILNLLTVSKLREITYLLKKKSTPSMRKQDLIASLLSSLEDKKCLFLPTAIFERTGMCIKISSKAESLSWRVERLFFLNGEQDLSAFLLVDLGIVKYPTYCCNISEHIFSTRSDLLAYEEAIEVAQIMDESLEENDSELVLRCIKIAASRMSNSTAKASQSMASELAAIFLSRFSASWVYSKVVFLGISFLEHDRRYKDAITLLKRLLACFPCDGRRGYWTLRLSIDLEHMGFSNESLSVAEDGLMDPWVRAGSRIALQRRVLRLGKPPRRWKAPTFLGSIRRKIKEVHVLGRPLNCEIGKKSRFYGEDGEQCGVEQLALQYYVGEGGGWHGVHAESGIWLTIFGLLMWDIIFSNVPNVFHNRYQTAPLDLQTDGFYPVRKSLIESHLQKIQDGMAEEIIIKSWELHSGTACLGVNWVRHSLSELRAAVVCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGEYRGEAKLVEVKGPKDRLSEQQQAWLLLLMDCGFDTEVCKVKPLPSVT >Manes.05G194701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31857206:31876151:1 gene:Manes.05G194701.v8.1 transcript:Manes.05G194701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRESLIRLVGKRRRFLPNRQSLLSIPIQSSFSSCTDNDGVISMENEKKFSDDKMNGLISEQDEKSLLEISIRDWVTCPACGSIVRGEDCLINSHLDACLSTGKKRKWTQRTLLELKFCSQTSSFVQNVVINSEDSVAFEENDSNPCKSPRTTKLFPGKTGMASSTRNPIDGDKIDLAVGPSSLPLKDGVSTCNTMTTVDDISGVILETSIVGRRFSVVKDLNKGAKISLLRDPDNAKDPNAIKVLLADCTPSNVLGFLPRELAQHLSPLIEKYSLTFEGHVTSVPKLSLDAVPIQIICCKKLMSYGDKGHNDVEAFTSSWKSVLHAVESAKNYASSATKYQQNFCLLMQDVLRSNHHLFTDDEKNFMESFISLSNDSQRLFVRLYTRKGPWFQVSSISYPEVSDSEQAINDLSAIGFICSFADAKELQNNNMKDILNLLTVSKLREITYLLKKKSTPSMRKQDLIASLLSSLEDKKCLFLPTAIFERTGMCIKISSKAESLSWRVERLFFLNGEQDLSAFLLVDLGIVKYPTYCCNISEHIFSTRSDLLAYEEAIEVAQIMDESLEENDSELVLRCIKIAASRMSNSTAKASQSMASELAAIFLSRFSASWVYSKVVFLGISFLEHDRRYKDAITLLKRLLACFPCDGRRGYWTLRLSIDLEHMGFSNESLSVAEDGLMDPWVRAGSRIALQRRVLRLGKPPRRWKAPTFLGSIRRKIKEVHVLGRPLNCEIGKKSRFYGEDGEQCGVEQLALQYYVGEGGGWHGVHAESGIWLTIFGLLMWDIIFSNVPNVFHNRYQTDGFYPVRKSLIESHLQKIQDGMAEEIIIKSWELHSGTACLGVNWVRHSLSELRAAVVCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGEYRGEAKLVEVKGPKDRLSEQQQAWLLLLMDCGFDTEVCKVKPLPSVT >Manes.05G194701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31857206:31876151:1 gene:Manes.05G194701.v8.1 transcript:Manes.05G194701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRESLIRLVGKRRRFLPNRQSLLSIPIQSSFSSCTDNDGVISMENEKKFSDDKMNGLISEQDEKSLLEISIRDWVTCPACGSIVRGEDCLINSHLDACLSTGKKRKWTQRTLLELKFCSQTSSFVQNVVINSEDSVAFEENDSNPCKSPRTTKLFPGKTGMASSTRNPIDGDKIDLAVGPSSLPLKDGVSTCNTMTTVDDISGVILETSIVGRRFSVVKDLNKGAKISLLRDPDNAKDPNAIKVLLADCTPSNVLGFLPRELAQHLSPLIEKYSLTFEGHVTSVPKLSLDAVPIQIICCKKLMSYGDKGHNDVEAFTSSWKSVLHAVESAKNYASSATKYQQNFCLLMQDVLRSNHHLFTDDEKNFMESFISLSNDSQRLFVRLYTRKGPWFQVSSISYPEVSDSEQAINDLSAIGFICSFADAKELQNNNMKDILNLLTVSKLREITYLLKKKSTPSMRKQDLIASLLSSLEDKKCLFLPTAIFERTGMCIKISSKAESLSWRVERLFFLNGEQDLSAFLLVDLGIVKYPTYCCNISEHIFSTRSDLLAYEEAIEVAQIMDESLEENDSELVLRCIKIAASRMSNSTAKASQSMASELAAIFLSRFSASWVYSKVVFLGISFLEHDRRYKDAITLLKRLLACFPCDGRRGYWTLRLSIDLEHMGFSNESLSVAEDGLMDPWVRAGSRIALQRRVLRLGKPPRRWKAPTFLGSIRRKIKEVHVLGRPLNCEIGKKSRFYGEDGEQCGVEQLALQYYVGEGGGWHGVHAESGIWLTIFGLLMWDIIFSNVPNVFHNRYQTAPLDLQTDGFYPVRKSLIESHLQKIQDGMAEEIIIKSWELHSGTACLGVNWVRHSLSELRAAVVCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGEYRGEAKLVEVKGPKDRLSEQQQAWLLLLMDCGFDTEVCKVKPLPSVT >Manes.05G194701.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31857206:31876151:1 gene:Manes.05G194701.v8.1 transcript:Manes.05G194701.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRESLIRLVGKRRRFLPNRQSLLSIPIQNEKKFSDDKMNGLISEQDEKSLLEISIRDWVTCPACGSIVRGEDCLINSHLDACLSTGKKRKWTQRTLLELKFCSQTSSFVQNVVINSEDSVAFEENDSNPCKSPRTTKLFPGKTGMASSTRNPIDGDKIDLAVGPSSLPLKDGVSTCNTMTTVDDISGVILETSIVGRRFSVVKDLNKGAKISLLRDPDNAKDPNAIKVLLADCTPSNVLGFLPRELAQHLSPLIEKYSLTFEGHVTSVPKLSLDAVPIQIICCKKLMSYGDKGHNDVEAFTSSWKSVLHAVESAKNYASSATKYQQNFCLLMQDVLRSNHHLFTDDEKNFMESFISLSNDSQRLFVRLYTRKGPWFQVSSISYPEVSDSEQAINDLSDAKELQNNNMKDILNLLTVSKLREITYLLKKKSTPSMRKQDLIASLLSSLEDKKCLFLPTAIFERTGMCIKISSKAESLSWRVERLFFLNGEQDLSAFLLVDLGIVKYPTYCCNISEHIFSTRSDLLAYEEAIEVAQIMDESLEENDSELVLRCIKIAASRMSNSTAKASQSMASELAAIFLSRFSASWVYSKVVFLGISFLEHDRRYKDAITLLKRLLACFPCDGRRGYWTLRLSIDLEHMGFSNESLSVAEDGLMDPWVRAGSRIALQRRVLRLGKPPRRWKAPTFLGSIRRKIKEVHVLGRPLNCEIGKKSRFYGEDGEQCGVEQLALQYYVGEGGGWHGVHAESGIWLTIFGLLMWDIIFSNVPNVFHNRYQTAPLDLQTDGFYPVRKSLIESHLQKIQDGMAEEIIIKSWELHSGTACLGVNWVRHSLSELRAAVVCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGEYRGEAKLVEVKGPKDRLSEQQQAWLLLLMDCGFDTEVCKVKPLPSVT >Manes.05G194701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31857206:31876152:1 gene:Manes.05G194701.v8.1 transcript:Manes.05G194701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRESLIRLVGKRRRFLPNRQSLLSIPIQSSFSSCTDNDGVISMENEKKFSDDKMNGLISEQDEKSLLEISIRDWVTCPACGSIVRGEDCLINSHLDACLSTGKKRKWTQRTLLELKFCSQTSSFVQNVVINSEDSVAFEENDSNPCKSPRTTKLFPGKTGMASSTRNPIDGDKIDLAVGPSSLPLKDGVSTCNTMTTVDDISGVILETSIVGRRFSVVKDLNKGAKISLLRDPDNAKDPNAIKVLLADCTPSNVLGFLPRELAQHLSPLIEKYSLTFEGHVTSVPKLSLDAVPIQIICCKKLMSYGDKGHNDVEAFTSSWKSVLHAVESAKNYASSATKYQQNFCLLMQDVLRSNHHLFTDDEKNFMESFISLSNDSQRLFVRLYTRKGPWFQVSSISYPEVSDSEQAINDLSAIGFICSFADAKELQNNNMKDILNLLTVSKLREITYLLKKKSTPSMRKQDLIASLLSSLEDKKCLFLPTAIFERTGMCIKISSKAESLSWRVERLFFLNGEQDLSAFLLVDLGIVKYPTYCCNISEHIFSTRSDLLAYEEAIEVAQIMDESLEENDSELVLRCIKIAASRMSNSTAKASQSMASELAAIFLSRFSASWVYSKVVFLGISFLEHDRRYKDAITLLKRLLACFPCDGRRGYWTLRLSIDLEHMGFSNESLSVAEDGLMDPWVRAGSRIALQRRVLRLGKPPRRWKAPTFLGSIRRKIKEVHVLGRPLNCEIGKKSRFYGEDGEQCGVEQLALQYYVGEGGGWHGVHAESGIWLTIFGLLMWDIIFSNVPNVFHNRYQTAPLDLQTDGFYPVRKSLIESHLQKIQDGMAEEIIIKSWELHSGTACLGVNWVRHSLSELRAAVVCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGEYRGEAKLVEVKGPKDRLSEQQQAWLLLLMDCGFDTEVCKVKPLPSVT >Manes.05G194701.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31857206:31876151:1 gene:Manes.05G194701.v8.1 transcript:Manes.05G194701.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGRESLIRLVGKRRRFLPNRQSLLSIPIQNEKKFSDDKMNGLISEQDEKSLLEISIRDWVTCPACGSIVRGEDCLINSHLDACLSTGKKRKWTQRTLLELKFCSQTSSFVQNVVINSEDSVAFEENDSNPCKSPRTTKLFPGKTGMASSTRNPIDGDKIDLAVGPSSLPLKDGVSTCNTMTTVDDISGVILETSIVGRRFSVVKDLNKGAKISLLRDPDNAKDPNAIKVLLADCTPSNVLGFLPRELAQHLSPLIEKYSLTFEGHVTSVPKLSLDAVPIQIICCKKLMSYGDKGHNDVEAFTSSWKSVLHAVESAKNYASSATKYQQNFCLLMQDVLRSNHHLFTDDEKNFMESFISLSNDSQRLFVRLYTRKGPWFQVSSISYPEVSDSEQAINDLSAIGFICSFADAKELQNNNMKDILNLLTVSKLREITYLLKKKSTPSMRKQDLIASLLSSLEDKKCLFLPTAIFERTGMCIKISSKAESLSWRVERLFFLNGEQDLSAFLLVDLGIVKYPTYCCNISEHIFSTRSDLLAYEEAIEVAQIMDESLEENDSELVLRCIKIAASRMSNSTAKASQSMASELAAIFLSRFSASWVYSKVVFLGISFLEHDRRYKDAITLLKRLLACFPCDGRRGYWTLRLSIDLEHMGFSNESLSVAEDGLMDPWVRAGSRIALQRRVLRLGKPPRRWKAPTFLGSIRRKIKEVHVLGRPLNCEIGKKSRFYGEDGEQCGVEQLALQYYVGEGGGWHGVHAESGIWLTIFGLLMWDIIFSNVPNVFHNRYQTDGFYPVRKSLIESHLQKIQDGMAEEIIIKSWELHSGTACLGVNWVRHSLSELRAAVVCIGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGEYRGEAKLVEVKGPKDRLSEQQQAWLLLLMDCGFDTEVCKVKPLPSVT >Manes.14G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8440808:8441181:1 gene:Manes.14G101800.v8.1 transcript:Manes.14G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACFDLALIGALLKLCFIPSASSTLCVCCGSLCYMLLCCFQQSLHLGFPAISMRLRSKRTCSGVECFGGFHIKRFFYLFLYLRGALT >Manes.04G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31596097:31599127:-1 gene:Manes.04G111300.v8.1 transcript:Manes.04G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISFLYKTHLIPSIRYHSSALNQMMSMAISAPHLSPPTHISSMENPPVSLFQSCKSMDQLKQIHSQTIKTGIICNPIIQSKIIASCCTQEFGDMDYARRLFDAIPQPTVFHWNTMFRGYSRIACPKLGVSMYLQMLSRDFMPDSYTFPFLMKGFTRDIARQCGKELHCHVVKYGLHSNVFVQNTLINMYSLCGLIDMACGIFDMSCKSNVVMWNAMISGYNRIKQYDKAKRLFFEMEKKEILPSSVTLVSVLSACSKLKDLECGKRVHKYVLDHIVESNLIVENALIDMYVACGEMKVALGTFESMKKKDVISWTAIVAGFVNIGELDIARKYFDQMPERDHVSWTAMIDGYLQGNCFKEALVLFRQMQIWNVKPDEFTMVSILTACSQLGALELGEWVKAYIDKNKVKDDIYVGNALIDMYFKCGNVRKAQTLFNGMPLRDKFTWTAMIVGLAINGYGEGALDMFAQMLKASVTPDEITYIGVLCACTHTGMVDEGRKFFASMTIQHGIEPIVAHYGCLVDLLGRAGHLQEAYEVIKNMPMKPNSIVWGSLLSACRIHKDAEMAEMAAKQILELEPANGAVYVILCNIYAACNKWDNLRELRKEMMDRGIKKIPGCSLIEMNGVVHEFVAGDQSHPQTKDIYLKLDEIRSDLKFSGYSPDTSEVFLDIGEEDKESALYQHSEKLAIAFGLINSGNGSTIRIVKNLRMCVDCHRMAKLVSMVYEREVIVRDRTRFHHFRHGSCSCKDY >Manes.11G143700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30833768:30835947:-1 gene:Manes.11G143700.v8.1 transcript:Manes.11G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLLLFFVLHCTVTLAQGPAAAPAPPGPTNVTKILEKAGQFTVFIRLLKATQEDVTLNGQLNNTNNGITMFAPSDSAFQSLKSGTLNSINDQEKAELVQFHIIPTYLSSSQFQTVSNPVTTQAGSGDRFQLNVTTTGNAVNISTGLTNTSVSGTVYTDGQLAIYQVDKVLLPIDVFTPKPPTPAPAPETPKKKKAKSAESPDAPKDISGAVSFIMSNNMVFFGVGIFSALLSL >Manes.05G201886.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32663637:32664513:1 gene:Manes.05G201886.v8.1 transcript:Manes.05G201886.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPTKIFTFRNNHKERKIPIPFLYKVIEANQKRKLTQKKETWSRRIPFSTSPSLCNGSIAECGEDNELLMESEISRRILEQSKYISPGALKRDQPVCNGGASGQSYSSSCLPPSSNPETRGCSKYYRCRSDSR >Manes.18G036900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3267712:3272271:-1 gene:Manes.18G036900.v8.1 transcript:Manes.18G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHSREDLELLDSDSEYQEETEQENYETPEKSSSGQRHKTPSSLDDVEAKLKALKLKYPSTTIQQSENPNLKNAVKLYLHIGGNTPKAKWVTSEKLTSYSFIKTSKIGNYNEANDEDQESESESEEEGWWVLKVSDKVRVKVTAEMQLKTFKDQHRADFVAEGVWAMKFFSDEEYGVFVSKYKDCLFENTYGYESNEANKIKVYGKDFIGWANPEKADDSMWEDAEDSFSKSPQSATPVRANQDLREEFEEAANGGIQSLALGALDNSFLVGDSGIQVVKNFSHGIHGKGVYVNFGGGDHRSGPSLVHSTPKKALLMRAETNMLLMSPMNEGKLHSTGLHQLDIETGKIVTQWRFEKDGTDITMRDIVNDSKGAQLDPSGSTFLGLDDNRLCRWDMRDRNGIVQNLANSSGPVLNWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSSNSMRQAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILICTLFTDKDGKTKTGFSGRMGNRIAAPRLLKLTPLDSHLAGINNKFRNAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKNSSHECYRNQDGLKSCYCYKIVLKDDSIVDSRFMHDKFAVTDSPEAPLVIATPMKVSSFSISSRR >Manes.14G037200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3255039:3262835:-1 gene:Manes.14G037200.v8.1 transcript:Manes.14G037200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEIKCDGTSTEEYATKLLKVAWMTLPLGIVITFAACIFIFWWQGLSPSDPYAQAILINGCGCILELLAEPLYILSQNLALLELRLMVETVATLLRCLTMYFLIVRQPSMEKGIIFAWSQTAYGACLFVGYWSYFLLFRAFRSSDLLPFRLGTKIDYDKQLSNMCLLFTLQSFRKLILQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGEYPLKSRKLGSCLTEALKLVLLIGLVFMAFGPSYSYSLIRLLYGHKWSDGEASTALRYYCLYIILLAMNGTSEAFLHAVATENQLKRSNDSLLVFSLIYVVLNVLLIRSAGAVGLILANSLSMSLRIAYSAIFIKHYFKDSSAFTFRSCLPSGWTVLLVSGVTTLISEKIFLNRENFWPTFLVHLSIGFTCFCTSSVIIYHRERPFINKIIQFRNHGD >Manes.14G037200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3255039:3262821:-1 gene:Manes.14G037200.v8.1 transcript:Manes.14G037200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANLSRTFKYLMATQFLSRGIPFIFNSWIVRHLTAEDYALYAVQFHLFVTCVLFLSREGFRRACMRAEIKCDGTSTEEYATKLLKVAWMTLPLGIVITFAACIFIFWWQGLSPSDPYAQAILINGCGCILELLAEPLYILSQNLALLELRLMVETVATLLRCLTMYFLIVRQPSMEKGIIFAWSQTAYGACLFVGYWSYFLLFRAFRSSDLLPFRLGTKIDYDKQLSNMCLLFTLQSFRKLILQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGEYPLKSRKLGSCLTEALKLVLLIGLVFMAFGPSYSYSLIRLLYGHKWSDGEASTALRYYCLYIILLAMNGTSEAFLHAVATENQLKRSNDSLLVFSLIYVVLNVLLIRSAGAVGLILANSLSMSLRIAYSAIFIKHYFKDSSAFTFRSCLPSGWTVLLVSGVTTLISEKIFLNRENFWPTFLVHLSIGFTCFCTSSVIIYHRERPFINKIIQFRNHGD >Manes.14G037200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3255039:3263018:-1 gene:Manes.14G037200.v8.1 transcript:Manes.14G037200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANLSRTFKYLMATQFLSRGIPFIFNSWIVRHLTAEDYALYAVQFHLFVTCVLFLSREGFRRACMRAEIKCDGTSTEEYATKLLKVAWMTLPLGIVITFAACIFIFWWQGLSPSDPYAQAILINGCGCILELLAEPLYILSQNLALLELRLMVETVATLLRCLTMYFLIVRQPSMEKGIIFAWSQTAYGACLFVGYWSYFLLFRAFRSSDLLPFRLGTKIDYDKQLSNMCLLFTLQSFRKLILQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGEYPLKSRKLGSCLTEALKLVLLIGLVFMAFGPSYSYSLIRLLYGHKWSDGEASTALRYYCLYIILLAMNGTSEAFLHAVATENQLKRSNDSLLVFSLIYVVLNVLLIRSAGAVGLILANSLSMSLRIAYSAIFIKHYFKDSSAFTFRSCLPSGWTVLLVSGVTTLISEKIFLNRENFWPTFLVHLSIGFTCFCTSSVIIYHRERPFINKIIQFRNHGD >Manes.14G037200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3255039:3262843:-1 gene:Manes.14G037200.v8.1 transcript:Manes.14G037200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANLSRTFKYLMATQFLSRGIPFIFNSWIVRHLTAEDYALYAVQFHLFVTCVLFLSREGFRRACMRAEIKCDGTSTEEYATKLLKVAWMTLPLGIVITFAACIFIFWWQGLSPSDPYAQAILINGCGCILELLAEPLYILSQNLALLELRLMVETVATLLRCLTMYFLIVRQPSMEKGIIFAWSQTAYGACLFVGYWSYFLLFRAFRSSDLLPFRLGTKIDYDKQLSNMCLLFTLQSFRKLILQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGEYPLKSRKLGSCLTEALKLVLLIGLVFMAFGPSYSYSLIRLLYGHKWSDGEASTALRYYCLYIILLAMNGTSEAFLHAVATENQLKRSNDSLLVFSLIYVVLNVLLIRSAGAVGLILANSLSMSLRIAYSAIFIKHYFKDSSAFTFRSCLPSGWTVLLVSGVTTLISEKIFLNRENFWPTFLVHLSIGFTCFCTSSVIIYHRERPFINKIIQFRNHGD >Manes.14G037200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3255039:3263016:-1 gene:Manes.14G037200.v8.1 transcript:Manes.14G037200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANLSRTFKYLMATQFLSRGIPFIFNSWIVRHLTAEDYALYAVQFHLFVTCVLFLSREGFRRACMRAEIKCDGTSTEEYATKLLKVAWMTLPLGIVITFAACIFIFWWQGLSPSDPYAQAILINGCGCILELLAEPLYILSQNLALLELRLMVETVATLLRCLTMYFLIVRQPSMEKGIIFAWSQTAYGACLFVGYWSYFLLFRAFRSSDLLPFRLGTKIDYDKQLSNMCLLFTLQSFRKLILQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGEYPLKSRKLGSCLTEALKLVLLIGLVFMAFGPSYSYSLIRLLYGHKWSDGEASTALRYYCLYIILLAMNGTSEAFLHAVATENQLKRSNDSLLVFSLIYVVLNVLLIRSAGAVGLILANSLSMSLRIAYSAIFIKHYFKDSSAFTFRSCLPSGWTVLLVSGVTTLISEKIFLNRENFWPTFLVHLSIGFTCFCTSSVIIYHRERPFINKIIQFRNHGD >Manes.14G037200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3255039:3262878:-1 gene:Manes.14G037200.v8.1 transcript:Manes.14G037200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEIKCDGTSTEEYATKLLKVAWMTLPLGIVITFAACIFIFWWQGLSPSDPYAQAILINGCGCILELLAEPLYILSQNLALLELRLMVETVATLLRCLTMYFLIVRQPSMEKGIIFAWSQTAYGACLFVGYWSYFLLFRAFRSSDLLPFRLGTKIDYDKQLSNMCLLFTLQSFRKLILQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGEYPLKSRKLGSCLTEALKLVLLIGLVFMAFGPSYSYSLIRLLYGHKWSDGEASTALRYYCLYIILLAMNGTSEAFLHAVATENQLKRSNDSLLVFSLIYVVLNVLLIRSAGAVGLILANSLSMSLRIAYSAIFIKHYFKDSSAFTFRSCLPSGWTVLLVSGVTTLISEKIFLNRENFWPTFLVHLSIGFTCFCTSSVIIYHRERPFINKIIQFRNHGD >Manes.14G037200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3255039:3262821:-1 gene:Manes.14G037200.v8.1 transcript:Manes.14G037200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEIKCDGTSTEEYATKLLKVAWMTLPLGIVITFAACIFIFWWQGLSPSDPYAQAILINGCGCILELLAEPLYILSQNLALLELRLMVETVATLLRCLTMYFLIVRQPSMEKGIIFAWSQTAYGACLFVGYWSYFLLFRAFRSSDLLPFRLGTKIDYDKQLSNMCLLFTLQSFRKLILQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGEYPLKSRKLGSCLTEALKLVLLIGLVFMAFGPSYSYSLIRLLYGHKWSDGEASTALRYYCLYIILLAMNGTSEAFLHAVATENQLKRSNDSLLVFSLIYVVLNVLLIRSAGAVGLILANSLSMSLRIAYSAIFIKHYFKDSSAFTFRSCLPSGWTVLLVSGVTTLISEKIFLNRENFWPTFLVHLSIGFTCFCTSSVIIYHRERPFINKIIQFRNHGD >Manes.09G032900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6619856:6621926:-1 gene:Manes.09G032900.v8.1 transcript:Manes.09G032900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQTVIASHEDPASGEEEKVPAYRRRGRPTKPLKDDNEEEEEIVEIKEDAKDSKDSISSKDVKNHAVAENGRKRKRPALIKENSDSVKETNVVGTKLSTDNSIVNIGFRQNGSRRKNKPRRAAEAVVECK >Manes.09G032900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6620384:6620800:-1 gene:Manes.09G032900.v8.1 transcript:Manes.09G032900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQTVIASHEDPASGEEEKVPAYRRRGRPTKPLKDDNEEEEEIVEIKEDAKDSKDSISSKDVKNHAVAENGRKRKRPALIKENSDSVKETNVVGTKLSTDNSIVNIGFRQNGSRRKNKPRRAAEAVVECK >Manes.09G032900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6619351:6621963:-1 gene:Manes.09G032900.v8.1 transcript:Manes.09G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQTVIASHEDPASGEEEKVPAYRRRGRPTKPLKDDNEEEEEIVEIKEDAKDSKDSISSKDVKNHAVAENGRKRKRPALIKENSDSVKETNVVGTKLSTDNSIVNIGFRQNGSRRKNKPRRAAEAVVECK >Manes.05G106400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10061707:10063194:1 gene:Manes.05G106400.v8.1 transcript:Manes.05G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKRKASHLHKGEAHAEKEDVAWDQVMEAAAALGGAWRARKRYVGVRQRPSGRWVAEIKDTVQKIRVWLGTYDTAEEAARAYDEAACLLRGANTRTNFWPCSSSHYKPALPSKITNRLLKRLRERNNSLSTSLPVSLQEQEASKVEETQFDNFFDQLPAEDCGICEDNNSDTSGGDYMSGFFETNFSRTEVCDWSNADEEAVKEKGEQEEEINEPWVVDFPLEDVAGGSSSTNCSPFDITQEMMAMGPMEQQEYYYGDDQSMLKNIMKRLNYERKFSASLYAYNGVSECLSLTFGSAANSDELPSKLRIINSNNKNEKDGKEEVQEFGIISAAQTATEEMGSRSSAMEGGESSLWSSLDLPPICFIV >Manes.01G006300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2550434:2563205:-1 gene:Manes.01G006300.v8.1 transcript:Manes.01G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRFSLFLFFLLLIVAFAPPSASSSISDSVFQSHDSTGRNLLQAKKACPLNFEFLNYTVLTSQCKGPKYPRDPCCKAFKDFACPYADYLNDLTNDCASTMFSYINLNGKYPPGLFASECREGKLGLACPALAPSQLANDSGSQIIRYPSLLLMLTSSFLVLLVHLF >Manes.02G215660.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35926788:35927512:1 gene:Manes.02G215660.v8.1 transcript:Manes.02G215660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTTSTSGRAFESIEIFEQDPSVRKALIVCRVIAGRVHKPLDNIQEISCPTRFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKS >Manes.15G185300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26362091:26368308:1 gene:Manes.15G185300.v8.1 transcript:Manes.15G185300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRKKEIMEKSKVLVVGGTGYLGRRIVKASLEEGHETYVVQRPEIGLDIEKLQMLLSFKKQGAILVKASFSDHKSLVEAVKKVDIVICAISGVHFRTHNLLMQLKLVDAIKEAGNVKRFLPSEFGMDPAQMGHALEPGRETFDQKMVVRRAIEEANIPFTYVSANCFGGYFVGNLSQLKTLTPPTDKVHLYGDGNIKVIFMNEDDVATYTIKTINDSRTLNKTLYLRPPENILSQKQLVEIWEKLSGNKLQQISISAEQFLASMNEMDYAQKAGMGHFYHIFYEGCLTNFEIGKDGEEASDLYPEVKYTRMDEYLKIYL >Manes.07G050300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5974818:5975520:-1 gene:Manes.07G050300.v8.1 transcript:Manes.07G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKNSLSLLCLVSLASLILPLNAQDSQQDFLDAHNQARAAVGVGPMTWDNTVAAFAQNYANQRAGDCNLVHSTNPPYGENLAKSSGELSGRDAVKLWVDEKAFYDYNSNSCTGGKQCLHYTQVVWRNSVRLGCAKVKCNNGGTFIGCNYDPPGNFVGQRPY >Manes.13G149300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36497240:36497644:1 gene:Manes.13G149300.v8.1 transcript:Manes.13G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSKLYLITLLVLFAISRQALAGRRVPMKSNQSPQDSKDVNLKQSDFLFKYHHPRADDHSFLIPGIGRVLAPPAFSVPSHSPYTGTGGSGPGTGGYVPGGDDTFIPNPFVPNPGYEVPNPGSAGPVTPAAHP >Manes.06G065500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20122901:20127845:1 gene:Manes.06G065500.v8.1 transcript:Manes.06G065500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCFLVLLALFHPAASYSPEDIDSFFKKCPPVDCGKLGKVIIPFTNTTLPENCAPFVVDDCNKQHQKIQLERGGRWYQVENISQSNSLFITDTEFQKQLNSRNCQSFNNLRFPSLQFASFVITQNLTLFKCSHESNLSPHPHFDFSYKRCENFVIYYTYMNRTLPSPPTNCSILQLPIKINHGYGDIFYLLTATFSLQVYWWLECYMCQVSYKGKCQCVDAHGKPRVSKRKLQLGLICVSASIVIVLLIIFIWCRYKRKKDACSNTPSVITASNLSPKSDIEEDDVYFKVPIFSYTKLEEATNNFDPETELGIGGFGAVYYGKLQDGREVAIKRLYAHHYRRVQQFMNEIEILTRLRHKNLLSLYGCTSLHSHELLLVYEYIPNGTVADHLHGNGIHSSQLNWPIRMRIAIETASALAYLHASDIIHRDVKTNNILLDNSYNVKVADFGLSRLLPSDATHVSTAPQGTPGYVDPEYNQFYQLTNKSDVYSFGVVLIELISSKPAVDITRHRHEISLANLAINKIQKHTYDELIDPCLGHQSNKEVKRMIISVAELALQCLQHDKELRPSMDEVLEELQRTGSGKYELKNIEEEHNDHDISKSKELQSSQPNCDEIALLKNIQPPTSPD >Manes.08G170600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40470576:40472842:1 gene:Manes.08G170600.v8.1 transcript:Manes.08G170600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCWPENLPSDRRKAIDELVKGREFANQLKAFLCDSAGDDGSMTAEDLVVKILNTFTSSLSILNRVETDAVSQFPASTQVGSPFWDGRKSEDSEESSKSTSTRKDRRGCYKRRRTSQSWVRESSVLVDDGHAWRKYGQKVILNAKHPRNYFRCTHKFDQGCQATKQVQRIEDEPPMYRTTYYGHHTCKNLVKPCHLFLDASDDADATESSMLLSFNSSANGNGHLHHDHPTNKQDTPIFSSFRSIKQEYNNELPRNDDHHLTHKQSSSSDYLVTLEDTFDPADVISGVNSSCSTSTTTAHHSSDIDMIGTSVHNLFDDGLECYFDYQ >Manes.12G089400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13855953:13858019:-1 gene:Manes.12G089400.v8.1 transcript:Manes.12G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKRKPVFVKVEELKPGTTGHNLTIKVVNSKAVPVPKPRRAPMSLSQRSVRPARIAECLVGDDTGSIVFTARNEQVDLMKPGTTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFVVQENNNLSLVEYELVTVQG >Manes.06G132000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26065104:26069711:-1 gene:Manes.06G132000.v8.1 transcript:Manes.06G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPGLFLFFSLFSLVLSEEPFNSHILPRPLILEYPGNIIETTQFKQLDNELQLQCTSWRFAVEANNLNPWKTIPQECVHYVRDYVMGRGYRLDLERVSNEAGVFAKSVQKSEDGKDAWVFDVDETLLSNLPYYADHGYGLEIFDPVEFDKWVEKALAPAIEPSLKLYKEILGLGFKVFLLTGRSEKQRIVTEENLIKAGFQDWDKLILRASDDHGKLATIYKSEKRSEMVKEGYRILGNSGDQWSDLLGSAISNRSFKLPNPMYYIP >Manes.04G111600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31616038:31624448:1 gene:Manes.04G111600.v8.1 transcript:Manes.04G111600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPRLCLWGWTGVSLLANGMDGTLFGHMILILDGVTVLLFLLGFFYQSQEVQILWRVQVGIQSPEGITTTRGILRRYSDFLNLLSELKKAFPGKALPPAPPKKILSRKNRTLLEERRCSLEDWMEKLLSDIDISRSAPVGTFLELEAAVRLFFDDANRQNLDANSSASNIVPAALLQTNSDVSTLAGSLSVASDNGNDSPEISVLGTPRAAKDSSVDFGMETSTSEQNATDSMEMIFNKKSMENLENFSCWKMYAGREKNIASRDTLAENIPRATSFLGEGNEPLHGLEYQRFDGYVRRPSTESTGSDLSSLKASEVLNFGVGNLSVDDCLDIPEGSETHKITDSPVSLNSKFPREALVAFLSDDRQKLNRVLDTMLQRLVTAKTDMEDLVARLNQEVAVRQFLKTKVKDLEVDLETTRNNCKENMQQAVLIERERFTQMQWDVEELRRQCLEMEMKLKSEQDERAHAESAKVSITQENEMLLQQLNAARKELENLHKHHEEMELKSKADVKLLVKEVKTLRSSQSDLKQELSHLMKEKIEIERGLQREKQRVQVVATANVKLLHECEILRSRLEECSVNFLIEEEDKLIVDTSSPSDAIDLLATSDNRIGLLLAEAQLLAQDVENSVAILDETCDANASDRVEDELRKLLTEVFVDNARLRMQVNSVIRCALNAHLKSDKDDDVEEENPLRKTVLSKFLER >Manes.04G111600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31616038:31624448:1 gene:Manes.04G111600.v8.1 transcript:Manes.04G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIYAHDLSLLDFANFSEAVMDPISMSHHHRLYRSSSSSNPFIHGYHDEGEDGDTIPGDQISTKSVIPQPKSPPRHRHDGTSPLPLGMDWSLPPRKWDGRDTIWPHDPHTGWSYCVTVPAWILLPKSRGSDPVAFYRVQVGIQSPEGITTTRGILRRYSDFLNLLSELKKAFPGKALPPAPPKKILSRKNRTLLEERRCSLEDWMEKLLSDIDISRSAPVGTFLELEAAVRLFFDDANRQNLDANSSASNIVPAALLQTNSDVSTLAGSLSVASDNGNDSPEISVLGTPRAAKDSSVDFGMETSTSEQNATDSMEMIFNKKSMENLENFSCWKMYAGREKNIASRDTLAENIPRATSFLGEGNEPLHGLEYQRFDGYVRRPSTESTGSDLSSLKASEVLNFGVGNLSVDDCLDIPEGSETHKITDSPVSLNSKFPREALVAFLSDDRQKLNRVLDTMLQRLVTAKTDMEDLVARLNQEVAVRQFLKTKVKDLEVDLETTRNNCKENMQQAVLIERERFTQMQWDVEELRRQCLEMEMKLKSEQDERAHAESAKVSITQENEMLLQQLNAARKELENLHKHHEEMELKSKADVKLLVKEVKTLRSSQSDLKQELSHLMKEKIEIERGLQREKQRVQVVATANVKLLHECEILRSRLEECSVNFLIEEEDKLIVDTSSPSDAIDLLATSDNRIGLLLAEAQLLAQDVENSVAILDETCDANASDRVEDELRKLLTEVFVDNARLRMQVNSVIRCALNAHLKSDKDDDVEEENPLRKTVLSKFLER >Manes.18G115700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11851032:11852906:1 gene:Manes.18G115700.v8.1 transcript:Manes.18G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRIWIEFFTQKKQINEATPYKNPLKKLSSNPLPFLKTSMKNLFPLFLSTLAVHFLCLKLTSAACHVDDEAGLLAFKSGITHDPSAMLSSWKPGTDCCTWAGINCRVENRVTTISLSGQPEKPNSFLSGTISPSLVKVQNLDGIYFLNLRNITGKFPDLIFGLPKLKFVYIENNKLSGQIPNNIRRLTQLEVLSLQGNQFTGSIPSSISELTELTQLKLGKNFLTGTIPLGISKLKSLTYLSLQHNKLSGSIPDFFSSFTNLRILELSHNKFSGKIPASILSLAQKLAYLELGHNALSGKIPDFLGSFTALDTLDLSANNFTGTVPKSFGNLTKIFNLDLSHNSLVDPFPTMNVKGIESLDLSYNQFHLKQIPNWVTSSPIIFSLKLAKCGIKMNLNDWKPKETYFYDYIDLSENEISGSPIWILNKTDDLVGFWASGNKLKFDLASLRIVKTLKNLDLSRNLIYGKIPNTVSGLKSLNLSYNHLCGKIPATNFPVSAFVGNDCLCGSPLAPCKL >Manes.06G158050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28366563:28368192:1 gene:Manes.06G158050.v8.1 transcript:Manes.06G158050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRETRFSSPASAIKSPSSSLCERSRMDNLFRAEIWVGIVPVSAFQLKFKEDKELGRVPRRVLLLKFSICSSLQLPISTGSVPPIWFSDKSRKLRFFRSPISFGISPLILLLTSRRRIRET >Manes.10G048300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5311001:5312075:-1 gene:Manes.10G048300.v8.1 transcript:Manes.10G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSPILVLFFLSVILTLLLGSDAGGIAIYWGQNGNEGTLAETCATRNYDFVNIAFLPTFGNGQAPVINLAGHCDPYNCTGLSSDIKSCQAKGIKVMLSIGGGAGSYYLASTEDARQVATYLWNNFLGGHSSSRPLGPAVLDGIDFDIEGGTSQHWDDLARFLLAYSKKGKKVYLTAAPQCPFPDAWIGNALKTGLFDYVWIQFYNNPPCQYSSGSITNLEDAWKQWTSSIPANKFFLGLPAAPAAAGSGFIPATDLTSKVLPAIKGSAKYGGVMLWSKYYDDQTGYSKAIKGSV >Manes.04G164950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35809014:35810502:1 gene:Manes.04G164950.v8.1 transcript:Manes.04G164950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPESLIASAAINFGLAFIVLSLFSIFKKQPSNAPIYYARRLSKRHHIPFYHSFTFSRFIPSVSWIRRAFRVTEDQILQIGGLDALIIIRLFKFGINFFGVCSVIGLVILLPVNYGGQDEPSRVSLSMDSFTISNVIRGSNRLWVHFICLCFVSFYGLYLLYKEYDAILIQRIQRLQDLRHRPDQFTVLVRQIPLCV >Manes.13G081100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16765462:16768375:-1 gene:Manes.13G081100.v8.1 transcript:Manes.13G081100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELCREREMSAMVSALIHVVTGKIPKPSSSEYSLCNEGANCSSSSSAGGGAKRPREADNGCQDFTKLSRPLVDDEFSHGRAATTIIPPAEPMFTAIYEHNNTFQEEPMRKYRGVRQRPWGKWAAEIRDPFKASRVWLGTFDTAEAAARAYDEAALRFRGSKAKLNFPENVKLRPSTPNAHLMNSDSPNTLLSVPTSTQVPMVNSQVAGSGESVNHSQLVLGIGSYQRPAMNLYDKMVLPSSTASLHSQSSTFVGSTSSTFLLSSSLPHFFPPPSLGYLRRATNQSGGADTSGPSWSGSSHCSPSP >Manes.13G081100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16765462:16768375:-1 gene:Manes.13G081100.v8.1 transcript:Manes.13G081100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELCREREMSAMVSALIHVVTGKIPKPSSSEYSLCNEGANCSSSSSAGGGAKRPREADNGCQDFTKLSRPLVDDEFSHGRVTKDSKIAAAATTIIPPAEPMFTAIYEHNNTFQEEPMRKYRGVRQRPWGKWAAEIRDPFKASRVWLGTFDTAEAAARAYDEAALRFRGSKAKLNFPENVKLRPSTPNAHLMNSDSPNTLLSVPTSTQVPMVNSQVAGSGESVNHSQLVLGIGSYQRPAMNLYDKMVLPSSTASLHSQSSTFVGSTSSTFLLSSSLPHFFPPPSLGYLRRATNQSGGADTSGPSWSGSSHCSPSP >Manes.05G145900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24791030:24793271:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAVIGNLTLIYVAMIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQTVQSPIHLSSGREFPSIKIEVQT >Manes.05G145900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24791030:24793271:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAVIGNLTLIYVAMIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQTVQSPIHLSSGREFPSIKIEGIKTVVM >Manes.05G145900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24791030:24793271:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAVIGNLTLIYVAMIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQTVQSPIHLSSGRGEIDDRLCSLLVKSFIRS >Manes.05G145900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24791030:24793271:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAVIGNLTLIYVAMIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQTVQSPIHLSSGRGEIDDRLCSLLVKSFIRS >Manes.05G145900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24791030:24793271:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAVIGNLTLIYVAMIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQTVQSPIHLSSGRGEIDDRLCSLLVKSFIRS >Manes.05G145900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24791030:24793271:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAVIGNLTLIYVAMIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQTVQSPIHLSSGRGEIDDRLCSLLVKSFIRS >Manes.05G145900.22.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24792501:24793361:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAVIGNLTLIYVAMIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQVCFRLPHHQQHHYGPV >Manes.05G145900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24792393:24793020:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQTVQSPIHLSSGRGEIDDRLCSLLVKSFIRS >Manes.05G145900.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24792739:24793020:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQVCFRLPHHQQHHYGPV >Manes.05G145900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24792739:24793071:-1 gene:Manes.05G145900.v8.1 transcript:Manes.05G145900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDAVIGNLTLIYVAMIAGIKAYGLVTGRSFSGGFVLVVSTTLVGLILIGSLTWDVSRKVTLAISRDHIHVHEMCKGGICWHGVAVRSPASQVCFRLPHHQQHHYGPV >Manes.07G075900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23843895:23861040:1 gene:Manes.07G075900.v8.1 transcript:Manes.07G075900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNSGFRRDEALGSAFKRPAMSFHSDAINNSSEMIPMGSFFGMNASTSTVMLPGTSSMINNSPGILHVQAVNSSASSLLLDSAAGLKHDTGLAVEWSVDEQYKLEEGLNKFADEPSIMRYIKIAATLPDKTVRDVALRCRWMTRKRRKAEEYNLGKKVNNRKDKLVESSSKMNISSAVPQNMPVYPLMTHHVDHGNTLSFEELSGTSRHLLEQNAQAFSKITANLSTFKLQDNIDLFCCTRNNISAILNDMREMPGIMSQMPPLPVSINEDLANSILPTTTQTMMFGSPSGIQLKQEPRC >Manes.07G075900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23843539:23861040:1 gene:Manes.07G075900.v8.1 transcript:Manes.07G075900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNSGFRRDEALGSAFKRPAMSFHSDAINNSSEMIPMGSFFGMNASTSTVMLPGTSSMINNSPGILHVQAVNSSASSLLLDSAAGLKHDTGLAVEWSVDEQYKLEEGLNKFADEPSIMRYIKIAATLPDKTVRDVALRCRWMTRKRRKAEEYNLGKKVNNRKDKLVESSSKMNISSAVPQNMPVYPLMTHHVDHGNTLSFEELSGTSRHLLEQNAQAFSKITANLSTFKLQDNIDLFCCTRNNISAILNDMREMPGIMSQMPPLPVSINEDLANSILPTTTQTMMFGSPSGIQLKQEPRC >Manes.07G075900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23843548:23861040:1 gene:Manes.07G075900.v8.1 transcript:Manes.07G075900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNSGFRRDEALGSAFKRPAMSFHSDAINNSSEMIPMGSFFGMNASTSTVMLPGTSSMINNSPGILHVQAVNSSASSLLLDSAAGLKHDTGLAVEWSVDEQYKLEEGLNKFADEPSIMRYIKIAATLPDKTVRDVALRCRWMTRKRRKAEEYNLGKKVNNRKDKLVESSSKMNISSAVPQNMPVYPLMTHHVDHGNTLSFEELSGTSRHLLEQNAQAFSKITANLSTFKLQDNIDLFCCTRNNISAILNDMREMPGIMSQMPPLPVSINEDLANSILPTTTQTMMFGSPSGIQLKQEPRC >Manes.07G075900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23843895:23861040:1 gene:Manes.07G075900.v8.1 transcript:Manes.07G075900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESNSGFRRDEALGSAFKRPAMSFHSDAINNSSEMIPMGSFFGMNASTSTVMLPGTSSMINNSPGILHVQAVNSSASSLLLDSAAGLKHDTGLAVEWSVDEQYKLEEGLNKFADEPSIMRYIKIAATLPDKTVRDVALRCRWMTRKRRKAEEYNLGKKVNNRKDKLVESSSKMNISSAVPQNMPVYPLMTHHVDHGNTLSFEELSGTSRHLLEQNAQAFSKITANLSTFKLQDNIDLFCCTRNNISAILNDMREMPGIMSQMPPLPVSINEDLANSILPTTTQTMMFGSPSGIQLKQEPRC >Manes.04G117400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31930651:31937483:-1 gene:Manes.04G117400.v8.1 transcript:Manes.04G117400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSLSSQLKTLASSNLSASAAIRFARSNRSFISPSPSASLFHRYFSADSDGSVKKRVEDVMPIATGHEREELEAALQGKDVLEINHPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDEDHH >Manes.04G117400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31930651:31937574:-1 gene:Manes.04G117400.v8.1 transcript:Manes.04G117400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSLSSQLKTLASSNLSASAAIRFARSNRSFISPSPSASLFHRYFSADSADGSVKKRVEDVMPIATGHEREELEAALQGKDVLEINHPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDEDHH >Manes.04G117400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31930651:31937483:-1 gene:Manes.04G117400.v8.1 transcript:Manes.04G117400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSLSSQLKTLASSNLSASAAIRFARSNRSFISPSPSASLFHRYFSADSADGSVKKRVEDVMPIATGHEREELEAALQGKDVLEINHPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDEDHH >Manes.04G117400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31930651:31937574:-1 gene:Manes.04G117400.v8.1 transcript:Manes.04G117400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSLSSQLKTLASSNLSASAAIRFARSNRSFISPSPSASLFHRYFSADSDGSVKKRVEDVMPIATGHEREELEAALQGKDVLEINHPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDEDHH >Manes.14G131500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10471183:10477437:-1 gene:Manes.14G131500.v8.1 transcript:Manes.14G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENQYEVDIGHLLAFDPHHQFPSLPSSREELVEECVRKGTELVQAIAVSLFNLPSTEDVDGPLVKLPPPTTKLPREKHLPKPKPPTKWELFAKAKGIKKRKKDKVMWDEQTNNWKRRYGYDRVNDDEDIPIIEAKMTDEPGEDPFSRRKAEKKKRVDKQEKNRLQNLKQTAKAGALPSHIQLAATALPITGTQAAPKKVTKDELGNVAGLAATATASGGKFDKKLAGEKPVKKQGKHRKFLPVVEGSGMGRQEKEQTDKVLSKIISQNSHEILNLDKAVSKYTVKKEKNRKNQLGKPSTPSSKLKANSKPMKVKNKPNKKFVKGPKAGSSKKGGKAKSK >Manes.14G105600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8855690:8861288:-1 gene:Manes.14G105600.v8.1 transcript:Manes.14G105600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGRRPRGNLVPLAALISREMRNEKMEKPTVRHGYAAQSRKGEDYFLIKTDCQRVPGNSSSTYSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGWEEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDTRCILDAQGGAISTLTVDHRLEENMEEYVRERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKHCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPENSTQPSTPPKKQNKLRTLLFRKKSHDSSNKLSKKLSAVGIVEELFEEGSAMLAERLGNDDSNMHSASGLFTCAVCQVDLAPSEGISVHAGGIFSTSSKPWQGPFLCSDCRNKKDAMEGKRSSGVKVA >Manes.14G105600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8855779:8861185:-1 gene:Manes.14G105600.v8.1 transcript:Manes.14G105600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGRRPRGNLVPLAALISREMRNEKMEKPTVRHGYAAQSRKGEDYFLIKTDCQRVPGNSSSTYSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGWEEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDTRCILDAQGGAISTLTVDHRLEENMEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKHCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPENSTQPSTPPKKQNKLRTLLFRKKSHDSSNKLSKKLSAVGIVEELFEEGSAMLAERLGNDDSNMHSASGLFTCAVCQVDLAPSEGISVHAGGIFSTSSKPWQGPFLCSDCRNKKDAMEGKRSSGVKVA >Manes.14G105600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8855779:8861185:-1 gene:Manes.14G105600.v8.1 transcript:Manes.14G105600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGRRPRGNLVPLAALISREMRNEKMEKPTVRHGYAAQSRKGEDYFLIKTDCQRVPGNSSSTYSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGWEEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDTRCILDAQGGAISTLTVDHRLEENMEEYVRERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKHCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPENSTQPSTPPKKQNKLRTLLFRKKSHDSSNKLSKKLSAVGIVEELFEEGSAMLAERLGNDDSNMHSASGLFTCAVCQVDLAPSEGISVHAGGIFSTSSKPWQGPFLCSDCRNKKDAMEGKRSSGVKVA >Manes.14G105600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8855690:8861294:-1 gene:Manes.14G105600.v8.1 transcript:Manes.14G105600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGRRPRGNLVPLAALISREMRNEKMEKPTVRHGYAAQSRKGEDYFLIKTDCQRVPGNSSSTYSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGWEEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDTRCILDAQGGAISTLTVDHRLEENMEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKHCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPENSTQPSTPPKKQNKLRTLLFRKKSHDSSNKLSKKLSAVGIVEELFEEGSAMLAERLGNDDSNMHSASGLFTCAVCQVDLAPSEGISVHAGGIFSTSSKPWQGPFLCSDCRNKKDAMEGKRSSGVKVA >Manes.14G105600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8856184:8861181:-1 gene:Manes.14G105600.v8.1 transcript:Manes.14G105600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVGRRPRGNLVPLAALISREMRNEKMEKPTVRHGYAAQSRKGEDYFLIKTDCQRVPGNSSSTYSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGWEEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDTRCILDAQGGAISTLTVDHRLEENMEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKHCRGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPENSTQPSTPPKKQNKLRTLLFRKKSHDSSNKLSKKLSAVGIVEELFEEGSAMLAERLGNDDSNMHSASGLFTCAVCQVDLAPSEGISVHAGGIFSTSSKPWQGPFLCSDCRNKKDAMEGKRSSGVKVA >Manes.08G021100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2112198:2113491:-1 gene:Manes.08G021100.v8.1 transcript:Manes.08G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSFVFIFFLLLLLLLSSASARLLLPPRLVEKASYEITKLPITSFSGKKEDVSSLMGLEEDECDERDEECLKRRMVAEAHLDYIYTQHHKKP >Manes.01G095800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29727512:29731142:1 gene:Manes.01G095800.v8.1 transcript:Manes.01G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCGSVFPELEREEDLIAAAKHIARALGSKRNLTDDAKKILADLSTQLSSITTVQEDKVEAASEIEERLNFIQEKIMSWETDQSMIWDSGLDEATQYLNAAEEAGKLVERLETLSLNKDDGEKELLRRAHDILQIAMARLEEEFKYMLVQNRQPFMPEHVSFRSSEEDATDLGSVISLGDDSFEESINRDSISRASEEFIIDLVNQEVMSDLKNIANLMFLSGYDHECSQAYISVRRDALDECLFILEIEKLSIEDVLKLEWGSLNSKIKRWVRAMKIFVRVYLASEKWLTEQIFGELGTVNLVCFAEASKASMLQLLNFGEAVSIGPHKPEKLFPILDMYEVLADLLPDIDSLYSDEAGICVRTDCREVLRRLGDSVKAAFLEFENAIAASVSPNPFAGAGIHHLTRYVMNYIRTLIDYRETLNFLLTGCDGEDPIALSPDTSPPIEEENSTERIYNASPVAFHFRSVASILEHNLNDKAKLYRDPSLQHIFLMNNIHYMAQKVKHSELRNILGDDWIRKHNWKFQQHAINYQRATWSSILSLLKDEGNSSCDSVSKTSLKERFRSFYLAFEEVYRSQTAWIIPDPELREDLQISISVKVIQAYRTFVGRQNNHISDKHIKYSADDLQNYLLDLFQGSQKSLHNPHRR >Manes.15G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1632983:1637915:-1 gene:Manes.15G019600.v8.1 transcript:Manes.15G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITNPLQFLLLLSISLVLHKSNSYPGSSTSIIDPAKVKQVSWKPRAFVYEGFLTDLECDHLISLAKSELKRSAVADNLSGMSKLSEVRTSSGMFISKGKDPIIAGIEDKIATWTFLPKENGEDIQVLRYEHGQKYDPHYDYFVDKVNIARGGHRVATVLMYLSDVVKGGETVFPSAEELPRHKATGSDEDLSECAKKGVAVKPRRGDALLFFSLHPDAIPDRSSLHAGCPVIEGEKWSATKWIHVDSFDKKLEAGGNCTDLNDSCDRWAALGECTKNPEYMVGSPDLPGYCRRSCKVC >Manes.12G050300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4704510:4709767:-1 gene:Manes.12G050300.v8.1 transcript:Manes.12G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNFSLSALPNLSLQFLPSTVKSRYLPSVFPPSFTVNFADRSLTSSTGFFRREVRSRLVVVAKAGSSHCEPSSSSLNTPLEPRSAAGKFLTSVFQNQRQLFHVAVADELKLLADDRDGAVSRMFLSSGSDEAFLHRRIAQLKVNECQIAVEDVMYMLIFFKFSEIRVPLVPKLSRCIYNGRLEIWPPKDWELESIHTFEVLEMIREHVCTVIGLRANSSVTDSWATTEIQLLQLGRVYAASVLYGYFLKSASLRHYLEHCLAVPHHDPHVSCRSMLQFPDSLSHGLSNLVLGHESNKQSVSSGQRSSKQDWECEKLKRYVMGFDAETLRKCAKLKSKEAVNLIEKHSCALFGDDKNGLLKNDDVILTSFSSMRRLVLEAVAFGSFLWDTEEYVNTIFKLNENH >Manes.15G082601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6356981:6357374:1 gene:Manes.15G082601.v8.1 transcript:Manes.15G082601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVVGETDMLQTMQQDALDLAARALDFFDVTEATEIARFIKKQFDSTYGPGWQCIIGTDFGSFVTHYCGCFIYFRLAASTFCFSGVLRVL >Manes.12G058904.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5666586:5668397:-1 gene:Manes.12G058904.v8.1 transcript:Manes.12G058904.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPALVATRPHYFLDLDVQRTVENFLDNVPEFSSSTEFAESLRDGDILFIDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHAYLSMNMEPVDFGNSSCWLEFALSRFNDCESFEQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQTCTISSTGNILDPLLNECFKMKTTEAIMFLGLQSWVIHYALSDESRISESWESLFSNNVKRKRRKKKKSRKKKRNFDDEEFYEN >Manes.12G058904.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5667316:5668397:-1 gene:Manes.12G058904.v8.1 transcript:Manes.12G058904.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPALVATRPHYFLDLDVQRTVENFLDNVPEFSSSTEFAESLRDGDILFIDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHAYLSMNMEPVDFGNSSCWLEFALSRFNDCESFEQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQTCTISSTGNILDPLLNECFKMKTTEAIMFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSYKYAMLHHVGLSEESDYELDNVAQLRESAGRKRKVEKRKGTLMMRNSMRISCLISTPQIIS >Manes.12G058904.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5667316:5668397:-1 gene:Manes.12G058904.v8.1 transcript:Manes.12G058904.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPALVATRPHYFLDLDVQRTVENFLDNVPEFSSSTEFAESLRDGDILFIDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHAYLSMNMEPVDFGNSSCWLEFALSRFNDCESFEQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQTCTISSTGNILDPLLNECFKMKTTEAIMFLGLQSWVIHYALSDESRISESWESLFSNNVKRKRRKKKKSRKKKRNFDDEEFYEN >Manes.05G151200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26054439:26065389:-1 gene:Manes.05G151200.v8.1 transcript:Manes.05G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVETEKKVDDEKKEGELLFCGATCWNIIGRKKGVQEGNLVSPTRLRPLVGVDIRFVAAGCVSCHCVALDVEGRCYTWGRNEKGQLGHGDTVQRDRPTIVSELSKYKIIKAGSGRSHTVVVTEDGQSLAFGWNKHGQLGSGSAKNEIESSPVRCLLSDIKNTACGADFTVWLSSVEGASILTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPRAIASLAGETIVKVACGANHTVAVDSNGYVYTWGFGGYGRLGHREQKDEWVPRRVEVFSKHNVLPADAVISAGSANSACTAGGGQLYMWGKIKNTGDDWMYPKPLMDLSGWNLRCMDSGSMHHFVGADNSCISWGHAQYGELGYGPSGQKSSSVPKKVDILESMHVIGVACGMGLSMVIVDRTNVDDRLEQLDIYDGKVSGEAGSDEPESKSPAAKQSTKKVSAKASDDSKKRKKPKDSSESEDEDITDTESESSEEQVNGRTRQSEPGRKVPDRSRGKSAAQGKVGGRSSNKSTNSSKVKAGKRGRPRKA >Manes.05G151200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26054439:26065389:-1 gene:Manes.05G151200.v8.1 transcript:Manes.05G151200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVETEKKVDDEKKEGELLFCGATCWNIIGRKKGVQEGNLVSPTRLRPLVGVDIRFVAAGCVSCHCVALDVEGRCYTWGRNEKGQLGHGDTVQRDRPTIVSELSKYKIIKAGSGRSHTVVVTEDGQSLAFGWNKHGQLGSGSAKNEIESSPVRCLLSDIKNTACGADFTVWLSSVEGASILTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPRAIASLAGETIVKVACGANHTVAVDSNGYVYTWGFGGYGRLGHREQKDEWVPRRVEVFSKHNVLPADAVISAGSANSACTAGGGQLYMWGKIKNTGDDWMYPKPLMDLSGWNLRCMDSGSMHHFVGADNSCISWGHAQYGELGYGPSGQKSSSVPKKVDILESMHVIGVACGMGLSMVIVDRTNVDDRLEQLDIYDGKVSGEGSDEPESKSPAAKQSTKKVSAKASDDSKKRKKPKDSSESEDEDITDTESESSEEQVNGRTRQSEPGRKVPDRSRGKSAAQGKVGGRSSNKSTNSSKVKAGKRGRPRKA >Manes.02G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9527487:9529889:-1 gene:Manes.02G125700.v8.1 transcript:Manes.02G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLHNKDSLLLPPPQSLFFTDQSSNTLSGNNSKYLSQAWSSCFSSSDFGFSLSSPVESELGSTESECDQDDDYFSELTRQMSHYMLQDDENRHANEAWSFAGSPQSTKWSTLGASQEEVLTTGKFGNLKLNGEKLGYNNSERFAGTSLEPASVPLAMRKPRNPNIEIHSKQALIDYQIRAIQLYKLRQEQIMKQKQESLYWGKQASGYSHLEHEKQEARPFHQSKGRTCGGFGNRQKVSWANLQHHRTGSEMTAVFLGDSGSRSGSCGTGVFLPRGIGNTCESRKKRGCPTVLIPARVVQALKLHSDKMGTDSTSNTPTFPLQHDALMGDVRNGLRIQKKSQYRAVPAKKQEMCLPQEWTY >Manes.08G040600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3968495:3975755:-1 gene:Manes.08G040600.v8.1 transcript:Manes.08G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSLSSDSITDLDEQISQLMQCKPLSETQVRALCDKAKEILMQESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNATVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKAHTFIQFEPAPRRGEPDVTRRTPDYFL >Manes.08G040600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3968495:3974785:-1 gene:Manes.08G040600.v8.1 transcript:Manes.08G040600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIYKIVIPKLFPVSQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNATVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDSIRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKAHTFIQFEPAPRRGEPDVTRRTPDYFL >Manes.13G127500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33510199:33510486:-1 gene:Manes.13G127500.v8.1 transcript:Manes.13G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSCVQIQPSREMTVEEFKAWLRQFDIDHDGHLSREDLKEALHSMRIWFAWWKARQAMKEADTNRNGQIDNPKEIEKLVNFAQQRLHMKIQRN >Manes.08G113600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35254477:35257469:1 gene:Manes.08G113600.v8.1 transcript:Manes.08G113600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHVWQMLQQHESLAFTFLQAKYIPTSSILKKASLAFVWLNASTSLTTAYMQQTKRSQKGGHYSTLALLRKELREGNLQSLFERSSCIFSSFNTAPDPLLSSFILPMADDFASVQHSFSDETSSAKKSFNENVLERNMMSPLSIKDQEDKAKGLNLFKGWLLSAILDDIL >Manes.05G023250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2071139:2089972:1 gene:Manes.05G023250.v8.1 transcript:Manes.05G023250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVKKTMKVGMANLRPCKMGEPCSEYEEDYECVLIPKKRKTIGYDSYSIGIYSEVDDFSSGSSSWVGERSYWANEVQSNSKRLKNRSMGRSQPPVSRTSRGRVQMLPSRFNDSIVNMRKNGQFRPDDTESSFEDEFLEDTEDFDLKRCRYSKREFVKDKFGFRSSNSYPFCGPEVNGEVGCAGFKGFEYKIGTANNLRSHSSLIDSEEYASSCGYASLENLRRGVVGKRKDVYKPEDFALGDLVWAKCGKRYPWWPAIVIDPILQAPEAVLSCCIPGALCVMFYGYSKNGTRRDYAWVKQGMLFPFAEFMDRFQGQTQLYKCKLCDFQMALEEAILAESGFLGHRDGDAYSAHPEAHLSGFQEASSSSQDQDFYGQFQDASYKDMRCCDSCNLVLPCKSIKKMKGSILQTEIICKHCAKLRKSKQCCGICKNIWHRSDGGNWVCCDGCNVWVHAECDNISRKLFKNLENSDYYCPDCKVKFKFEPSTLERRTSLVKSKVQAMPPDEVTVVCNGMEGTYIPKLHSIVCRCGSCGSRKQTPSEWERHTGCRAKKWKYSVKVKDTMLPLEKWIAEYNEHGVDPAKLDKQKLFSFLQEKYEPVYAKWTTERCAICRWVEDWDVNKIIICNRCQIAVHQECYGATNIEDLTSWVCRACETPDIERDCCLCPLKGGALKPTDVEMLWVHVTCAWFRPEVAFLNHENMEPATGILRIPSTTFLKLHSTEKNGIQVVKKLVYCAIHRTPSPDSVVVVRTASGVFAARSLLQNQNGCFSGSRLISSKRVELPEPSTSETNKFEPLSAARCRVFRRSHNKKTEREPIFHRLMGPRHHSLDSISSLSTYKKIEDSAIFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARQKIQEGEMIIEYRGEQVRRSVADLREAQYLLQGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGEELTYDYLFDPDEHDELKVPCLCGAPNCRKYMN >Manes.05G023250.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2071305:2089972:1 gene:Manes.05G023250.v8.1 transcript:Manes.05G023250.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVKKTMKVGMANLRPCKMGEPCSEYEEDYECVLIPKKRKTIGYDSYSIGIYSEVDDFSSGSSSWVGERSYWANEVQSNSKRLKNRSMGRSQPPVSRTSRGRVQMLPSRFNDSIVNMRKNGQFRPDDTESSFEDEFLEDTEDFDLKRCRYSKREFVKDKFGFRSSNSYPFCGPEVNGEVGCAGFKGFEYKIGTANNLRSHSSLIDSEEYASSCGYASLENLRRGVVGKRKDVYKPEDFALGDLVWAKCGKRYPWWPAIVIDPILQAPEAVLSCCIPGALCVMFYGYSKNGTRRDYAWVKQGMLFPFAEFMDRFQGQTQLYKCKLCDFQMALEEAILAESGFLGHRDGDAYSAHPEAHLSGFQEASSSSQDQDFYGQFQDASYKDMRCCDSCNLVLPCKSIKKMKGSILQTEIICKHCAKLRKSKQCCGICKNIWHRSDGGNWVCCDGCNVWVHAECDNISRKLFKNLENSDYYCPDCKVKFKFEPSTLERRTSLVKSKVQAMPPDEVTVVCNGMEGTYIPKLHSIVCRCGSCGSRKQTPSEWERHTGCRAKKWKYSVKVKDTMLPLEKWIAEYNEHGVDPAKLDKQKLFSFLQEKYEPVYAKWTTERCAICRWVEDWDVNKIIICNRCQIAVHQECYGATNIEDLTSWVCRACETPDIERDCCLCPLKGGALKPTDVEMLWVHVTCAWFRPEVAFLNHENMEPATGILRIPSTTFLKSCVICNQTHGSCIQCCKCATYFHAMCASRAGYYMELHSTEKNGIQVVKKLVYCAIHRTPSPDSVVVVRTASGVFAARSLLQNQNGCFSGSRLISSKRVELPEPSTSETNKFEPLSAARCRVFRRSHNKKTEREPIFHRLMGPRHHSLDSISSLSTYKKIEDSAIFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARQKIQEGEMIIEYRGEQVRRSVADLREAQYLLQGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGEELTYDYLFDPDEHDELKVPCLCGAPNCRKYMN >Manes.05G023250.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2071136:2090603:1 gene:Manes.05G023250.v8.1 transcript:Manes.05G023250.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVKKTMKVGMANLRPCKMGEPCSEYEEDYECVLIPKKRKTIGYDSYSIGIYSEVDDFSSGSSSWVGERSYWANEVQSNSKRLKNRSMGRSQPPVSRTSRGRVQMLPSRFNDSIVNMRKNGQFRPDDTESSFEDEFLEDTEDFDLKRCRYSKREFVKDKFGFRSSNSYPFCGPEVNGEVGCAGFKGFEYKIGTANNLRSHSSLIDSEEYASSCGYASLENLRRGVVGKRKDVYKPEDFALGDLVWAKCGKRYPWWPAIVIDPILQAPEAVLSCCIPGALCVMFYGYSKNGTRRDYAWVKQGMLFPFAEFMDRFQGQTQLYKCKLCDFQMALEEAILAESGFLGHRDGDAYSAHPEAHLSGFQEASSSSQDQDFYGQFQDASYKDMRCCDSCNLVLPCKSIKKMKGSILQTEIICKHCAKLRKSKQCCGICKNIWHRSDGGNWVCCDGCNVWVHAECDNISRKLFKNLENSDYYCPDCKVKFKFEPSTLERRTSLVKSKVQAMPPDEVTVVCNGMEGTYIPKLHSIVCRCGSCGSRKQTPSEWERHTGCRAKKWKYSVKVKDTMLPLEKWIAEYNEHGVDPAKLDKQKLFSFLQEKYEPVYAKWTTERCAICRWVEDWDVNKIIICNRCQIAVHQECYGATNIEDLTSWVCRACETPDIERDCCLCPLKGGALKPTDVEMLWVHVTCAWFRPEVAFLNHENMEPATGILRIPSTTFLKSCVICNQTHGSCIQCCKCATYFHAMCASRAGYYMELHSTEKNGIQVVKKLVYCAIHRTPSPDSVVVVRTASGVFAARSLLQNQNGCFSGSRLISSKRVELPEPSTSETNKFEPLSAARCRVFRRSHNKKTEREPIFHRLMGPRHHSLDSISSLSTYKKIEDSAIFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARQKIQEGEMIIEYRGEQVRRSVADLREAQYLLQGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGEELTYDYLFDPDEHDELKVPCLCGAPNCRKYMN >Manes.05G023250.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2071317:2089972:1 gene:Manes.05G023250.v8.1 transcript:Manes.05G023250.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVKKTMKVGMANLRPCKMGEPCSEYEEDYECVLIPKKRKTIGYDSYSIGIYSEVDDFSSGSSSWVGERSYWANEVQSNSKRLKNRSMGRSQPPVSRTSRGRVQMLPSRFNDSIVNMRKNGQFRPDDTESSFEDEFLEDTEDFDLKRCRYSKREFVKDKFGFRSSNSYPFCGPEVNGEVGCAGFKGFEYKIGTANNLRSHSSLIDSEEYASSCGYASLENLRRGVVGKRKDVYKPEDFALGDLVWAKCGKRYPWWPAIVIDPILQAPEAVLSCCIPGALCVMFYGYSKNGTRRDYAWVKQGMLFPFAEFMDRFQGQTQLYKCKLCDFQMALEEAILAESGFLGHRDGDAYSAHPEAHLSGFQEASSSSQDQDFYGQFQDASYKDMRCCDSCNLVLPCKSIKKMKGSILQTEIICKHCAKLRKSKQCCGICKNIWHRSDGGNWVCCDGCNVWVHAECDNISRKLFKNLENSDYYCPDCKVKFKFEPSTLERRTSLVKSKVQAMPPDEVTVVCNGMEGTYIPKLHSIVCRCGSCGSRKQTPSEWERHTGCRAKKWKYSVKVKDTMLPLEKWIAEYNEHGVDPAKLDKQKLFSFLQEKYEPVYAKWTTERCAICRWVEDWDVNKIIICNRCQIAVHQECYGATNIEDLTSWVCRACETPDIERDCCLCPLKGGALKPTDVEMLWVHVTCAWFRPEVAFLNHENMEPATGILRIPSTTFLKSCVICNQTHGSCIQCCKCATYFHAMCASRAGYYMELHSTEKNGIQVVKKLVYCAIHRTPSPDSVVVVRTASGVFAARSLLQNQNGCFSGSRLISSKRVELPEPSTSETNKFEPLSAARCRVFRRSHNKKTEREPIFHRLMGPRHHSLDSISSLSTYKKIEDSAIFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARQKIQEGEMIIEYRGEQVRRSVADLREAQYLLQGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGEELTYDYLFDPDEHDELKVPCLCGAPNCRKYMN >Manes.09G149800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34725752:34733290:-1 gene:Manes.09G149800.v8.1 transcript:Manes.09G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNESQHHHHLSSYFTTTTPATTTPSPTNGLLPPPPNTTSDSGGGPHMVYPHSVGPSSASVTTAPVEPVRRKRGRPRKYGTPEQALAAKKTASSHSVSKEKREGASSSSPSYSGSSRKSQQLFALGNAGQGFTPHVITIAAGEDVAQKLMMFMQQSRREMCILSASGSISHASLRQPATSGGNITYEGRFEIISLSGSYVRTDIGGRTGGLSVCLSNTDGQIIGGGVGGPLTAGGPVQVIVGTFLLDTKKDVNTGVKVDASASKLPTPIGGASISNIGFHSPVESSGRNPIRGNDDHPTMGGNPFMTHPRGMHVAPSRSTDWRIGPDARVNSGYDLTGRVGHGAHQSPENGDYE >Manes.14G041100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3478206:3483372:-1 gene:Manes.14G041100.v8.1 transcript:Manes.14G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPKSPPSPPSLLHHDNSEAERRLREAEERLREAIEELQRRQRRASLGGAYPPCDHAPDESCVAHAIGNLCQSFLLSYGVRVGVGILLRAFKLAKGQSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCLLRKLRRKETPFNAILAGSVAGLSVLALDDSSRRRTLALYLLARVAQCAYNSAKSKNKFHLWGSHWRHGDSLLFAFACAQVMYSFVMRPESLPKAYHDFIQKTGPVAQPVYKAVRDSCRGGPVDVASLSAYLSSRGKLNSAELEEFPSIIPCSVIHPDTNSCLDHNAKAASATFRKTFPLYFSLTFVPYVVLHLQKFMDAPARTCWLAVRDAIRSTTFLSAFVGIFQGVICLHRKVATVDHKLVYWIAGGLSALSVLLEKKPRRAELALYVLPRAGDSLWYILINRHLLPDIKNAEVALFCACMGGIMYYLEHEPGTMSPFLRGLIRRFLASRISNPSTPSNRNASYTYLQTLDAMKQPKLQESREAEASSSQKYNLESIPGL >Manes.10G065500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9444685:9458260:-1 gene:Manes.10G065500.v8.1 transcript:Manes.10G065500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWNLAKSAEAVFSRWAVKRLFKFFLKKKLGQFLLGDIDLDQLDIQLRQGTIQLNDLALNVDYLNEKFGASTLVIIKEGSIGSLSVKMPWQGKGFQVEVDELELVLAFAPCPRNKSPAGNGNNVFNQDSNHDVESEGGGRHGHNMMDNSAKSSSTDVHEGVKTIAKMVKWFLSSFHVNVKKIIVAFEPDSEDEKEVGHRKTLVLRISEAECGTCVSEDAKSNSDARVESFLGISQLTNFIKFQGAVLELLKMDDVDNQTCSPCPLGSSFGELFSGHCPSNATPILTGSKDGFSGNLKLSIPWKNGSLDIRKVDANISIDPVELRCQPSTIKWLLVSWETYKTLDKEMQYRSTDNVDLNSASLFYSTTSIPAMVATDKVIPAHGSFLSAFSSLTVQESRSEAMLAGSHLIPDWVPNSVKDYYKDGNQEELDLGASVDQFFECFDGVRSSQSALGSSGMWNWTCSVFSALTAASSLASGSLQIPLEQQHVQTNLQVTVAGISIILSFQDEGQEYLYGPKGDQSSNGLHVHFMVAECKDIFVALQVCPQEVRFEGKLQYIEVSDYLCNENDVMNLHLTECSTVCKSPTLSVQHLQEEVQAALPPLTSSVKSHDLDELSVQNASDIFRDMTKVKMLSTSGVTQCQFAVNSDSLDGSLRGSTSFSLQLPHFIFWVNFWSINKLLDLLKDVEAYVKTNCKRDGFSHVNQERQSSLGNVKWGSCTGVATLSSTRKLKGNISIPNARIIICFPFATGNDFGDYFSWVQFIAVDFTSPLSFEKGKVKDTTLLSGTCSWKQYTSNATCSLHLDVGDLNVFLVNPTCKSDAKINSCGVPKQMYCAQKILSISNRAGCLSTVSMLWQEGSVTGPWIAERAKSLAMSEESRSRKKTAVEGYEFVSVTSVKDLEDTNSQTRKELVLSSAFFLHVHLFNVSIDLGSSQYGNLHNLFDQMISSLSGAVCDPLNEREVLSVPQTSIFVECASVEILIRPDVKEDTKESLQSELPGSWHCLKLKVQKLDMLSVANIGGIGRANFFWLAHGEGKLWGSVTGVPDKEFLLISCSNSTRKRGDGGGSNALSSRLAGSDIVYLRDPKNLHEFTSINVRCGTIVAVGGRLDWLEAISSFFSVPSHEIKRTGDDNLPKGDLSAPCETTFILKLVDIGLSYEPHLKYSMVSDFQSESSYSYFKDETSRRPVACLLAASSLTLLNTSLETSMDNDYKITVQDLGFLLCPAFENPGGSYSVDYLHEMGYVKVAREALLETILRTNCKNDFSWELECSKSHMYVETCHDTTSGLILLAAQLQQLFAPDLEESIVHLQTRWNNVHQAQKRNEFHQAQERNEFNNDCGMSSNSISSALQLHASSGDTNNKPGIVGLMDEIYEDAFHLDGNQECQFYSNESQVCASFDESLLGEAFGSSIRAPEFVFDDVPFDGTAPIIGIESGQTSYLQNGSLPEIIEGYCLSELRPLSELPIGSQLPSEILKSQSRNFREGDLGRGKSGWYEGTSLSIVENHISEASGEASLNKDLEDKLPSFDGTRPDEFGKPTGCVHFKNINVTWRMFAGSDWHTYENNGESSRSVHGRDTTACLELVLSGTQFQYDLYPVGGICASELSFSVQDFYLYDRSKSAPWKRVLGYYCSRNHPRESSSKALKLELEAVRPDPLTPLEEYRLHIALLPMLLQLHQSQLDFLIGFFGAKGSSTDQSSDPNENAGSAKPYASKNLGGHRIEDEALLPYFQGVELQLKHVHAVGVYGWGNVCEATIGEWLEDISQNQIHRVLRGLPTVRSLFAVGAGAAKLVSLPVESYRKDQRVLKGMQRGTIAFLRSISLEAIGLGVHLAAGAHDILLQAEYILTRIPPSVSWPAKGKTKPNVKYNQPKNAQQGIKQAYESLSDGLGKSASALVQAPLKKYQRGASAGSALAIAVRGVPAAAIAPVSACASAAHYALLGLRNSLDPERKKESMDKYLGPTQP >Manes.10G065500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9444685:9458259:-1 gene:Manes.10G065500.v8.1 transcript:Manes.10G065500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWNLAKSAEAVFSRWAVKRLFKFFLKKKLGQFLLGDIDLDQLDIQLRQGTIQLNDLALNVDYLNEKFGASTLVIIKEGSIGSLSVKMPWQGKGFQVEVDELELVLAFAPCPRNKSPAGNGNNVFNQDSNHDVESEGGGRHGHNMMDNSAKSSSTDVHEGVKTIAKMVKWFLSSFHVNVKKIIVAFEPDSEDEKEVGHRKTLVLRISEAECGTCVSEDAKSNSDARVESFLGISQLTNFIKFQGAVLELLKMDDVDNQTCSPCPLGSSFGELFSGHCPSNATPILTGSKDGFSGNLKLSIPWKNGSLDIRKVDANISIDPVELRCQPSTIKWLLVSWETYKTLDKEMQYRSTDNVDLNSASLFYSTTSIPAMVATDKVIPAHGSFLSAFSSLTVQESRSEAMLAGSHLIPDWVPNSVKDYYKDGNQEELDLGASVDQFFECFDGVRSSQSALGSSGMWNWTCSVFSALTAASSLASGSLQIPLEQQHVQTNLQVTVAGISIILSFQDEGQEYLYGPKGDQSSNGLHVHFMVAECKDIFVALQVCPQEVRFEGKLQYIEVSDYLCNENDVMNLHLTECSTVCKSPTLSVQHLQEEVQAALPPLTSSVKSHDLDELSVQNASDIFRDMTKVKMLSTSGVTQCQFAVNSDSLDGSLRGSTSFSLQLPHFIFWVNFWSINKLLDLLKDVEAYVKTNCKRDGFSHVNQERQSSLGNVKWGSCTGVATLSSTRKLKGNISIPNARIIICFPFATGNDFGDYFSWVQFIAVDFTSPLSFEKGKVKDTTLLSGTCSWKQYTSNATCSLHLDVGDLNVFLVNPTCKSDAKINSCGVPKQMYCAQKILSISNRAGCLSTVSMLWQEGSVTGPWIAERAKSLAMSEESRSRKKTAVEGYEFVSVTSVKDLEDTNSQTRKELVLSSAFFLHVHLFNVSIDLGSSQYGNLHNLFDQMISSLSGAVCDPLNEREVLSVPQTSIFVECASVEILIRPDVKEDTKESLQSELPGSWHCLKLKVQKLDMLSVANIGGIGRANFFWLAHGEGKLWGSVTGVPDKEFLLISCSNSTRKRGDGGGSNALSSRLAGSDIVYLRDPKNLHEFTSINVRCGTIVAVGGRLDWLEAISSFFSVPSHEIKRTGDDNLPKGDLSAPCETTFILKLVDIGLSYEPHLKYSMVSDFQSESSYSYFKDETSRRPVACLLAASSLTLLNTSLETSMDNDYKITVQDLGFLLCPAFENPGGSYSVDYLHEMGYVKVAREALLETILRTNCKNDFSWELECSKSHMYVETCHDTTSGLILLAAQLQQLFAPDLEESIVHLQTRWNNVHQAQKRNEFHQAQERNEFNNDCGMSSNSISSALQLHASSGDTNNKPGIVGLMDEIYEDAFHLDGNQECQFYSNESQVCASFDESLLGEAFGSSIRAPEFVFDDVPFDGTAPIIGIESGQTSYLQNGSLPEIIEGYCLSELRPLSELPIGSQLPSEILKSQSRNFREGDLGRGKSGWYEGTSLSIVENHISEASGEASLNKDLEDKLPSFDGTRPDEFGKPTGCVHFKNINVTWRMFAGSDWHTYENNGESSRSVHGRDTTACLELVLSGTQFQYDLYPVGGICASELSFSVQDFYLYDRSKSAPWKRVLGYYCSRNHPRESSSKALKLELEAVRPDPLTPLEEYRLHIALLPMLLQLHQSQLDFLIGFFGAKGSSTDQSSDPNENAGSAKPYASKNLGGHRIEDEALLPYFQKFDIWPIILRVDYSPHHVDLAALGGGKFVELVKLVPWKGVELQLKHVHAVGVYGWGNVCEATIGEWLEDISQNQIHRVLRGLPTVRSLFAVGAGAAKLVSLPVESYRKDQRVLKGMQRGTIAFLRSISLEAIGLGVHLAAGAHDILLQAEYILTRIPPSVSWPAKGKTKPNVKYNQPKNAQQGIKQAYESLSDGLGKSASALVQAPLKKYQRGASAGSALAIAVRGVPAAAIAPVSACASAAHYALLGLRNSLDPERKKESMDKYLGPTQP >Manes.10G065500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9443974:9458284:-1 gene:Manes.10G065500.v8.1 transcript:Manes.10G065500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWNLAKSAEAVFSRWAVKRLFKFFLKKKLGQFLLGDIDLDQLDIQLRQGTIQLNDLALNVDYLNEKFGASTLVIIKEGSIGSLSVKMPWQGKGFQVEVDELELVLAFAPCPRNKSPAGNGNNVFNQDSNHDVESEGGGRHGHNMMDNSAKSSSTDVHEGVKTIAKMVKWFLSSFHVNVKKIIVAFEPDSEDEKEVGHRKTLVLRISEAECGTCVSEDAKSNSDARVESFLGISQLTNFIKFQGAVLELLKMDDVDNQTCSPCPLGSSFGELFSGHCPSNATPILTGSKDGFSGNLKLSIPWKNGSLDIRKVDANISIDPVELRCQPSTIKWLLVSWETYKTLDKEMQYRSTDNVDLNSASLFYSTTSIPAMVATDKVIPAHGSFLSAFSSLTVQESRSEAMLAGSHLIPDWVPNSVKDYYKDGNQEELDLGASVDQFFECFDGVRSSQSALGSSGMWNWTCSVFSALTAASSLASGSLQIPLEQQHVQTNLQVTVAGISIILSFQDEGQEYLYGPKGDQSSNGLHVHFMVAECKDIFVALQVCPQEVRFEGKLQYIEVSDYLCNENDVMNLHLTECSTVCKSPTLSVQHLQEEVQAALPPLTSSVKSHDLDELSVQNASDIFRDMTKVKMLSTSGVTQCQFAVNSDSLDGSLRGSTSFSLQLPHFIFWVNFWSINKLLDLLKDVEAYVKTNCKRDGFSHVNQERQSSLGNVKWGSCTGVATLSSTRKLKGNISIPNARIIICFPFATGNDFGDYFSWVQFIAVDFTSPLSFEKGKVKDTTLLSGTCSWKQYTSNATCSLHLDVGDLNVFLVNPTCKSDAKINSCGVPKQMYCAQKILSISNRAGCLSTVSMLWQEGSVTGPWIAERAKSLAMSEESRSRKKTAVEGYEFVSVTSVKDLEDTNSQTRKELVLSSAFFLHVHLFNVSIDLGSSQYGNLHNLFDQMISSLSGAVCDPLNEREVLSVPQTSIFVECASVEILIRPDVKEDTKESLQSELPGSWHCLKLKVQKLDMLSVANIGGIGRANFFWLAHGEGKLWGSVTGVPDKEFLLISCSNSTRKRGDGGGSNALSSRLAGSDIVYLRDPKNLHEFTSINVRCGTIVAVGGRLDWLEAISSFFSVPSHEIKRTGDDNLPKGDLSAPCETTFILKLVDIGLSYEPHLKYSMVSDFQSESSYSYFKDETSRRPVACLLAASSLTLLNTSLETSMDNDYKITVQDLGFLLCPAFENPGGSYSVDYLHEMGYVKVAREALLETILRTNCKNDFSWELECSKSHMYVETCHDTTSGLILLAAQLQQLFAPDLEESIVHLQTRWNNVHQAQKRNEFHQAQERNEFNNDCGMSSNSISSALQLHASSGDTNNKPGIVGLMDEIYEDAFHLDGNQECQFYSNESQVCASFDESLLGEAFGSSIRAPEFVFDDVPFDGTAPIIGIESGQTSYLQNGSLPEIIEGYCLSELRPLSELPIGSQLPSEILKSQSRNFREGDLGRGKSGWYEGTSLSIVENHISEASGEASLNKDLEDKLPSFDGTRPDEFGKPTGCVHFKNINVTWRMFAGSDWHTYENNGESSRSVHGRDTTACLELVLSGTQFQYDLYPVGGICASELSFSVQDFYLYDRSKSAPWKRVLGYYCSRNHPRESSSKALKLELEAVRPDPLTPLEEYRLHIALLPMLLQLHQSQLDFLIGFFGAKGSSTDQSSDPNENAGSAKPYASKNLGGHRIEDEALLPYFQKFDIWPIILRVDYSPHHVDLAALGGGKFVELVKLVPWKGVELQLKHVHAVGVYGWGNVCEATIGEWLEDISQNQIHRVLRGLPTVRSLFAVGAGAAKLVSLPVESYRKDQRVLKGMQRGTIAFLRSISLEAIGLGVHLAAGAHDILLQAEYILTRIPPSVSWPAKGKTKPNVKYNQPKNAQQGIKQAYESLSDGLGKSASALVQAPLKKYQRGASAGSALAIAVRGVPAAAIAPVSACASAAHYALLGLRNSLDPERKKESMDKYLGPTQP >Manes.16G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:675062:677864:-1 gene:Manes.16G006500.v8.1 transcript:Manes.16G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYHDRIPVIVEKAERSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVNNTLPPTAALMSAIYEDNKDEDGFLYMTYSGENTFGSHQEQQKLSV >Manes.06G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23352388:23356058:-1 gene:Manes.06G099600.v8.1 transcript:Manes.06G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLRESIGIREEIIKKACSMYMKAHNFAEKQLYVCEKIRNSSELVFSFPGSWSVSDLFVGSPFGEVTVDLELFPSLRCIGLNEIATVNGAFFNRFKAILGTTQFKKEVRTAVTERKQVVFTGHSLGGPIAILATIWFLEEYIRQDPKTLAPLCLTFGSPLVGDRIVSHALRRENWSRYFVNFVMRYDIVPRVSLAPLSSVEHQLQQVLNCFNPKSPLYMQGNVGEASGFYVNVMRNAFSVVSHAACKMMGSTNLLLETVSNFVELSPYRPLGTFVFCAGNGKLVVVRNPDAILQLLFYTFQLSSVAVADSRLKDHLSYKDELQECLQMQSVTFLDDHHLEALPLSDNVTMEINMALNDLGLSAKARLCLRAAGELEKQKKNNQKAIDKKLEDIESGLGKLQGYKKRCQHKMGYYDAFKLPKDKEDFDANVKRLELAGIWDEIIEMLKRNELPDEFESRKAWIEIGTRYRRIVEPLDIANYYGHLKNEDTGPYIETGRPKRYKCTQRWREHVERMSKESSESCFWAEVEELCIKSGNPSIREAILQLKRRVEEWIRNGYLDDDVFLKGSTFDKLLKEYSLTNSDQNVIFQGSWS >Manes.05G057900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4849407:4853711:-1 gene:Manes.05G057900.v8.1 transcript:Manes.05G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRATGLLARPIISSRARPFSTDLPAAQTTDSNFVEAWKKVIPNMEPPKTPLSFMQPRPPTPSSIPSKLTVNFVLPYASELSNKEVDMVIIPSTTGQMGVLPGHVSTIAELKPGLLSVHEGNEVTKYFVSSGFAFIHANSFADIIAVEAVPLDQIDASLVQKGLAEFTQKLSSASTDLEKAEAQIGVDVHSALNAALTG >Manes.01G075900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27890973:27891446:-1 gene:Manes.01G075900.v8.1 transcript:Manes.01G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRGFRLGGRLVRVFKCIIRSRRRKPTTARPIAGGSLNPISRILALARNLRRRTSQRSNRGYIRLGEAKPVEVPKGHLVVYVGESNGDTRREVVPVIYINHPLFGELLKDTERVYGYNHSGGIKIPCGYSEFEKVKMRIASWGNCHNATWKQRKY >Manes.17G105300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31225004:31232982:-1 gene:Manes.17G105300.v8.1 transcript:Manes.17G105300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVMAKNRKGASVHVDYLIHIQEIKPWPPSQSLRSLRSVLIQWENGDRNSGSTNTVVPLLGSIIGEGKIEFIESFRLPVTLMREMSSRGKDDDSFQKNCIEFNLCEPRRDKIRLLATAVIDLADYGVVKDTLSVNAPMSSNRSFRNTSQPVLYVKIQPIDKGHTSSSSKDNLLKGVSQDKNGGESVSALMNGEYAEESEVASFTDDDASSHSSQTNGGFHPQNEKCGLDRPIESGRVNVEQPIASKPGTENHIALQENLEEYSCCSSSVDLPSDFGSPVNAHASKSNSPDYSSMSIPENEVAQHVHSSSSAFNYACKEEDANISMANNSHYDFVQEVDEKVPSSSIKIRVDAYQTRVGEKTLGRTVTCDEVYNSSAEDMNGQVLEEKGHFSEDELIDTFSQDATRCEGSLGTETLSSSGGVEMKGNILKIESLKHVKSVRSSSDSSRSNGLISRNQHTEKEIGALGDPQNTAGCFEVDERENAKVYHEKATRTTFLNAKIQQLGHKINMLEGELREAAGIEAALYSVVAEHGSSMGKVHAPARRLSRLYLHACKESSRQRRSSAARSAVSGLVLVAKACGNDVPRLTFWLSNSVVLRAIISQEIGDKELSLSGKKNVEMTSGGKENKMLASSLKWKQSSSSWKEDNSVICGDLGDWEDPHQFICTLEKVEDWIFSRIVESIWWQSLTPHMQSAATKAIDRFIYYGSKENLGRTSSSGDQDQEHFSLELWKMAFKDACERLCPLRAGGHECGCLSVLARLVMEQCVARLDVAMFNAILRESADEIPTDPVSDPISDSKVLPIPAGKSSFGAGAQLKNAIGNWSRWLTDLFGMDDDSLKEDNDRDDNDERQDTSFKSFHLLNALSDLMMLPKDMLLSRSIRKEVCPTFGAPLIKRVLDNFVTDEFCPDPIPDIVLEALESEDPFEAGEETVTTIPHIAAPPFYLPPLAASVADLTGDSGSQSQLRRSGSVLRKSYTSDDELDELNSPLASIFLNSSQTSPAPTKLGWKSKELGKQNSIRYELLRDVWMQ >Manes.01G271100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42462175:42463404:1 gene:Manes.01G271100.v8.1 transcript:Manes.01G271100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDNHRRIPTTGKATILAIGKAFPKQLIPQECLVEGYIRDTKCEDVSIKEKLERLCKTTTVKRRYTVMSKEILDKYPELATEGTPTIKQRLEIANPAVVEMAKEASIACIKEWGRPAEDITHIVYVSSSEIRLPGGDLYLASQLGLKSDVSRVMLYFLGCYGGVAGLRVAKDIAENNPGSRVLLTTSETTIIGFRPPNKARPYDLVGAALFGDGAAAVIIGANPIINKESPFMELNCAVQQFLPGTQNVIDGRLTEEGINFKLGRDLPQKIEDNIEEFCKKLMLKAGLTEEFNDLFWAVHPGGPAILNRLETNLKLNSGKLECSRRALMDYGNVSSNTIFYVMEYMREELKREGGEEWGLALAFGPGITFEGILLRSL >Manes.07G013700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1672268:1674020:1 gene:Manes.07G013700.v8.1 transcript:Manes.07G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKVVVSAISLILVVGIVIGVVASVHRSTTKTVETPQMKAVTQICQPTNYKETCTEALSSANTTDPKELIKAGILAISDSLSKSLKLSQGLVGEAVSEPRTKLALQDCNTLLKNASDGIEDILTRMAESQLQSIAEHADDFRIWLSSIISYQELCMDGFQHNGDIKSTVQNSTDYGNKLTDNVLTILAAISNILQDFGLQFNPPKNSRRLLGADGYPTWLTAGHRKLMAGAGPAPNAVVAQDGSGQFKSISAAINSYRPGSSARFVVHVKAGTYNEQILVPKTHSNVFMYGDGPDKTIITGKKSFASGVNTFNTAPFVVEGPGFICKNMGFQNTAGPSGHQACSIRVNSDMSVFHNCKFDGYQDTLLYQAGRQYYGNCVITGTVDFLFGYGAAVIQNSQIIVRKPNKGQKNTVTADGRKEKGQNTGLVIHNCKIIPEPQLVPERLTVKTYLGRPWKQFSTTVVMQTELGDLIQPEGWMPWDGNLFLNTIFYAEYANTGAGANTANRVKWKTLHFLNPVEAQRFTVGTFLPGSGQWIKTAGVPFLLGFR >Manes.02G210000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24757484:24760108:1 gene:Manes.02G210000.v8.1 transcript:Manes.02G210000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAVNAFLSHGNLVKNAVLQRFRVANPVLRPILFSRFESVSSARMEEQSFESTTISDILKAKGKGADGSWLWCTTEDSVYDAVKSMTHHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVIDDKGMIGMVSIGDVVRAVVTEHREELDRLNAYIQGGY >Manes.04G006000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:833768:835653:1 gene:Manes.04G006000.v8.1 transcript:Manes.04G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEVITIHEEEENISNLNRKGKSLANDASCSQIQELGKVSEVPSSSTSSSPSQDEYQVPEPTEIKSQEESEQDEAAVRNSLTLIERRLKERPRAAITHGKGRNLSVCIFRFPPSLRDINPNASQPELVAIGPYHRGKDHLLEFECHKWFFLDKFLFRSSASGNGLSHYLSRLKKEEGNARDCYLDIIEMASHDFVEMMLLDGCFILELLIHLNLGGDIADDNDPIFTRPWLIPILIRDLLKLENQLPFFVLDLLYQLSGIGSVLDGKLDPLAILALRTFDLALPRPLENLKNPFCLYKGEHLLHLVYSSFVSSNLLTHCNALEEYCPSDQSIQCVTQLRQSGVKFKSRKRESFLDINYQNQVLEIPYVTINDFTSTLLINCVALEQCQEKRPKYFTDYISFMSCLISQPRDVAFLFSDGIITRFSKDDRYVVDLFANLGKNTDFNIRDSYLSKQFREVESYYSSNWAYMRRTYFSSPWSFISLSSAILLLVLTMIQSIMSVLSYKCH >Manes.03G174300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29841612:29843217:1 gene:Manes.03G174300.v8.1 transcript:Manes.03G174300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSNLSRALWVVVFIVLLSSESHQHFFSPFFIPNPRLMKAYIALQAWKHAMTSDPNEFTANWYGPDVCNYSGVYCAAAPDDPHILTVAGIDLNHANIAGFLPEELGLLKDLALFHLNSNRFYGTIPATFIHLHLLYELDISNNQFSGPFPYIVLYLPSLKYLDIRFNEFHGDIPDKVFELELDALFLNDNKFASSLPENLGNSPVSVFVIANNNVSGSIPPSLAKMAETLEEIILSNLGLTGCLRQDIGMLTGLKVLDVSFNKLCGTLPESIGKMRSLEQLNVAHNKLSGQVPENVCSLPNLEKFTFSFNYFSGESPVCIRLPAKDDTGNCIPGRPLQRSPEECRSFYAHPACAASTCSRS >Manes.10G141651.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30932868:30949518:1 gene:Manes.10G141651.v8.1 transcript:Manes.10G141651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGATGSRILVTTRSKRVALAMGVDSPYPLQGLTEDQSWDLFEKLAFREGTGRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQVRNSASEWLSVLENELWKVFESDGDISQVLELSYDVLPYHLKQCFADCAMFPKDYAFVKDRLIQLWMAQGYVQSRGQSENENAEEIGEGYFNELLFRSFFQKDEYCYKMHDLAQSIAGDSCFVGNDNAKHIPNRVQHVFSGNLSFEECFTQLKNKGLRTLYYPYSGDGLRLNLDSLFSNCRSIRALSFGWNINGLPDSIGKLKHLRYLELFRNTEISSLPNCICGLYNLQTLILWECWGLKELPTDMRKLICLRQLINKGCGGLEFMPLGLGRLTNLQTLLNFVVGSDQGRRCSSLNELNSLNRLRGEISIEGLENVKNAALESNLVNLKEKKHLFCLRLRWDGEVDSNSGNSELLLDNLHPHPNLKELDVVCYEGVRFSNWLSSITSLVNITLYKCPKCEHLPPLDNLPYLEILNLSYFDSLEYISDEENLFSSLSASAATFFPSLKILRVKFCRNLKGW >Manes.05G193300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31627877:31632540:-1 gene:Manes.05G193300.v8.1 transcript:Manes.05G193300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSLSSSLRTLSSSVRRLSRPYFPLISPKGAFCLSRLDYSTGLRPVASAVIVAEYRRFGCRAKALPMAGITTATTTDKKKVEVFDSEEALAASLAKYTADLSEKFCQERGCFTVVLSGGSLIKSLRKLLEPPYIDSIEWSKWHVLWVDERVVPKDHIDSNYKLAYDGFLAQLPILPGNVYAINDALSAEGAADDYETCLKHLVNIKVIEASPLSGCPKFDLMLLGMGPDGHVASLFPGHHLCNEKEKWVTCIKDSPKPPPERITFTFPVINSSAYIALVVCGAGKADAVQRALGKDQHAADLLPVQMVSPEGELKWFLDKDAASKL >Manes.05G193300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31627877:31632540:-1 gene:Manes.05G193300.v8.1 transcript:Manes.05G193300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKALPMAGITTATTTDKKKVEVFDSEEALAASLAKYTADLSEKFCQERGCFTVVLSGGSLIKSLRKLLEPPYIDSIEWSKWHVLWVDERVVPKDHIDSNYKLAYDGFLAQLPILPGNVYAINDALSAEGAADDYETCLKHLVNIKVIEASPLSGCPKFDLMLLGMGPDGHVASLFPGHHLCNEKEKWVTCIKDSPKPPPERITFTFPVINSSAYIALVVCGAGKADAVQRALGKDQHAADLLPVQMVSPEGELKWFLDKDAASKL >Manes.07G139400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34145966:34151250:1 gene:Manes.07G139400.v8.1 transcript:Manes.07G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKSSRKGKKAWRANISTEDIDDFIEKSTKDALSGGSLSHVPTESLFFVDKSKDLSVKRNIEKHREKVLRCDSVLQKNPFVQAVPSSNQKKKKKSKKSQREAEPKDATQDGSKNDSCVKGSSMVDLWGSEGEADNKLRKASKPSAIPAVEVEPPGCSFNPSFEAHQDSLAQAVAEEMQKVYQSELGPQPVPLTVPGEQQVIDEEDMYFLEADNGDDDEENLTNNEDAEQEKRSTKTKRVTRVELNRRARLKEQQKKEAESKKKEELSKEIDSLPDIIKEIAKEDEEKHKRHIRRIVAKEERLKARPPRLGKHKFEPAPPQVLLSEEITGSLRKLKGCCTLLRDRYKSLEKRGLVAPTAKRLRR >Manes.04G098700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30308589:30316367:1 gene:Manes.04G098700.v8.1 transcript:Manes.04G098700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVLPNDTVMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLADNKVTEDGFLVVMLSKSKTSAGTSSTQSSAAPTTTPTSNSSPAVEVQAPAAKSTSASEAATATAQTDTYGDAASNLVPGNNIDQTIQQIMDIGGGNWDKETVTRALRAAYNNPERAVDYLYSGIPEAAEVAVPVSHFPAGQATETGTAAPASGAPNTSPFNMFPQETLSAAAGGGEFGSLDFLRSNQQFQTLRSMVQANPQILQPMLQELGKQNPQLLRMIQEHHAEFLQLINEPLEGSEGDIFDQVDQDMPHAINVTPAEQEAIERLEAMGFDRALVVEAFLACDRNEELAANYLLENAGDFED >Manes.18G044700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3958831:3962872:1 gene:Manes.18G044700.v8.1 transcript:Manes.18G044700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSMRNISKRCLCSNFAVESSLPSLQWRFYTRARSSQQFTKTRNLRVSPFLYTPFPSHSFSTLNSKKVGFIGWYLGKLDSRPILTKTVTTSLIYAAADLTAQMLSPSSGSGSLDLIRTLRMAAYGLLILGPSQHLWFNFMSKTLPKRDVLTTLKKTFMGQAIYGPANAIVFFSYNAALQAIVEQYVCISMDDLFDIHGKPTKSDPQLMFIN >Manes.18G044700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3958831:3962872:1 gene:Manes.18G044700.v8.1 transcript:Manes.18G044700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSMRNISKRCLCSNFAVESSLPSLQWRFYTRARSSQQFTKTRNLRVSPFLYTPFPSHSFSTLNSKKVGFIGWYLGKLDSRPILTKTVTTSLIYAAADLTAQMLSPSSGSGSLDLIRTLRMAAYGLLILGPSQHLWFNFMSKTLPKRDVLTTLKKTFMGQAIYGPANAIVFFSYNAALQGESGDEIVARLKRDVLPTLRNGLLYWPICDFITYKFVPVHLQPLLNSTCAYLWTIYLTYMASLQKVIPS >Manes.18G044700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3958831:3962872:1 gene:Manes.18G044700.v8.1 transcript:Manes.18G044700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSMRNISKRCLCSNFAVESSLPSLQWRFYTRARSSQQFTKTRNLRVSPFLYTPFPSHSFSTLNSKKVGFIGWYLGKLDSRPILTKTVTTSLIYAAADLTAQMLSPSSGSGSLDLIRTLRMAAYGLLILGPSQHLWFNFMSKTLPKRDVLTTLKKTFMGQAIYGPANAIVFFSYNAALQAIVEQYVCISMDDLFDIHGKPTKSDPQLMFIN >Manes.18G044700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3958795:3962872:1 gene:Manes.18G044700.v8.1 transcript:Manes.18G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSMRNISKRCLCSNFAVESSLPSLQWRFYTRARSSQQFTKTRNLRVSPFLYTPFPSHSFSTLNSKKVGFIGWYLGKLDSRPILTKTVTTSLIYAAADLTAQMLSPSSGSGSLDLIRTLRMAAYGLLILGPSQHLWFNFMSKTLPKRDVLTTLKKTFMGQAIYGPANAIVFFSYNAALQGESGDEIVARLKRDVLPTLRNGLLYWPICDFITYKFVPVHLQPLLNSTCAYLWTIYLTYMASLQKVIPS >Manes.15G191800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28522851:28566460:-1 gene:Manes.15G191800.v8.1 transcript:Manes.15G191800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGIWRNAYQEVSNTKPLFLTIYATVVVGILFSSFYVFSSFSAAKSSSLSFTAWLSSPPSSLSHVDKSVNFSHQATPAVDSISSKPQPPSSLELKPIWVAPPRNSKMPPIKKFRLTKELVEKRVKDNVIIVTFGNYAFMDFILTWVKHLTDLGLSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTADVGWGSPTFHKMGREKVILIDAILPFGYELLMCDTDMVWLKNPLPYLARYPDADILTSSDQAGPTVVDDRLDIWQEVGAAYNVGIFHWRPTETSIKLAKVWKDVLLADENIWDQNGFNDIVRRQLGPAVDGDNGLVYAFDGNLKLGILPASIFCNGHTYFVQAMYQQLRLEPYAVHTTYQYAGTEGKRHRLREAMAFYDPPDYYDASGGFLSFKPSIPKSLLLEGEHSLESHFSLINHQIKQIRTALAIASLLNRVLIMPPLWCRLDRLWFPHPGVLKETMTRQPFICPLDHVFEVNVMLKRLPEEEFGPGISIREYSFLDNPSMPKQVKESWLDVQLCQEGTHDCFSSNNTSRSGVLRFPNHSNEETFKTIFSSFKDVKVIQFSSMQDAFLGFMDKKREEKFRKRVKRYVGIWCCVENHVPGHIYYDMYWDEKPDWKPMPPETPEQDHPLW >Manes.16G089800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29627957:29639800:-1 gene:Manes.16G089800.v8.1 transcript:Manes.16G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDNKRSDFVDIVKSWIPRRSEPANVSRDFWMPDQSCRVCYECDSQFTIFNRRHHCRLCGRVFCAKCTASSIPAPSEDPRTGSEDWERIRVCNYCFKQWQQGTTAVDNGTNVGSPGLSPSPSATSLVSSKSCCTCNSSSTVGSTPCSSGAYQSVPYSSGLSPQQSAPMDRTVVEQENLTCGRSSDAPAAAFCSSANQFGYCMSRSDDEDDEYGVYHSGSGTRHFSHADGYYGPGTVDDIDHMYEPCGIMHEDQIDRSISCPPLSENFDTQVVDKIKNDGEEAYRHDCNECESPSYGVNGADAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDGDEATTGEWGYLRPSNSFGSGEYRSKDKSSEEHRKAMKNVVEGHFRALVAQLLQVENLSVGDEDDNESWLEIITSLSWEAATLLKPDTSMSGGMDPGGYVKVKCIASGRRSESMVVKGVVCKKNVAHRRMMSKIDKPRFLILGGALEYQRVSNHLSSVDTLLQQEMDHLKMAVAKIDAHHPSVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAHIVPSIDHLNSQKLGYCDLFHVEKFLEEHGSAGQGGKKLTKTLMFFEGCPKPLGFTILLKGAHGDDLKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSPLTVALPDKPLSIDRSISTIPGFTVPGTGKLSSCQPPSEVQKSNNDVISDVPSPTNFELVCKSGYADSTSPSNSSQIEYRNLGSNSTEHAGSWTSLSPLGQNTSGPCHNKLFSDHVPGKDYMTEAEELFQGKKANSDSPSYYTSLEQGTGSGHADENVLAVKQDGNNHEEIGSSKEDFPPSPSDHQSILVSLSTRCIWKGTVCERAHLFRIKYYGSFDKPLGRFLRDHLFDQNYRCRSCEMPSEAHVYCYTHRQGSLTISVKRLPEFLLPGEREGKIWMWHRCLRCPRSNGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVHLPPSKLEFNYDNQEWIQKEANEVRQRAELLFTEVQNALHRISEKILGEGSQNGDIKSSELSRFHVAELKSILQKEKAEFEESLLNTLSKEVKVGHPLIDLLEVNKLRRQILFHSYVWDQRLIYAVSLSNTNHQESMRNFIPKLGGKRINSVESLVEMDTSPKPGKGFSNCDSVIVHTKPELNLNQGGNDGSSRQSVRVHEGGNIRLDLKLKDSEHCLSSCENSNEKIDPSESGNVMRRVHSEGEFPIVDNLSDTLDAAWTGKNHLESMILKENGVSLPDSSPVHSVVPNVELERCIVDKGGIDVVHSLDSALGAKVPQNVENSSGLGTPFPNLYSSFKRTSSLNAQKLGINEYNPVYVSLFRDLERPNNARLLLPVGVNDTIIPVFDDEPTSIIAYALVSSDYHLQMSELEKPKDAGETTISLPLFDSVNLLSFNSFDESASDIYRSVGSIEENILSIPGSRGTQILDPLSYTKDLHARVSFTDDSVQGKVKYMVTCYCAKRFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPAYFKYLSDSIGNGSPTCLAKILGIYQVSSKHLKGGKETKMDLLVMENLLFKRNIVRLYDLKGSSRSRYNSDTSGSNKVLLDQNLIESMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDTERHELVIGIIDFMRQYTWDKHLETWVKASGILGGSKNTTPTVISPQQYKKRFRKAMTAYFLMVPDQWSPSTIVPSESHSDLCEERLQGQRGASVES >Manes.16G089800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29627957:29639800:-1 gene:Manes.16G089800.v8.1 transcript:Manes.16G089800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPCGIMHEDQIDRSISCPPLSENFDTQVVDKIKNDGEEAYRHDCNECESPSYGVNGADAEPVDFENNGLLWLPPEPEDEEDEREAVLFDEDDGDEATTGEWGYLRPSNSFGSGEYRSKDKSSEEHRKAMKNVVEGHFRALVAQLLQVENLSVGDEDDNESWLEIITSLSWEAATLLKPDTSMSGGMDPGGYVKVKCIASGRRSESMVVKGVVCKKNVAHRRMMSKIDKPRFLILGGALEYQRVSNHLSSVDTLLQQEMDHLKMAVAKIDAHHPSVLLVEKSVSRYAQDYLLAKDISLVLNIKRPLLERIARCTGAHIVPSIDHLNSQKLGYCDLFHVEKFLEEHGSAGQGGKKLTKTLMFFEGCPKPLGFTILLKGAHGDDLKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLKSPLTVALPDKPLSIDRSISTIPGFTVPGTGKLSSCQPPSEVQKSNNDVISDVPSPTNFELVCKSGYADSTSPSNSSQIEYRNLGSNSTEHAGSWTSLSPLGQNTSGPCHNKLFSDHVPGKDYMTEAEELFQGKKANSDSPSYYTSLEQGTGSGHADENVLAVKQDGNNHEEIGSSKEDFPPSPSDHQSILVSLSTRCIWKGTVCERAHLFRIKYYGSFDKPLGRFLRDHLFDQNYRCRSCEMPSEAHVYCYTHRQGSLTISVKRLPEFLLPGEREGKIWMWHRCLRCPRSNGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVHLPPSKLEFNYDNQEWIQKEANEVRQRAELLFTEVQNALHRISEKILGEGSQNGDIKSSELSRFHVAELKSILQKEKAEFEESLLNTLSKEVKVGHPLIDLLEVNKLRRQILFHSYVWDQRLIYAVSLSNTNHQESMRNFIPKLGGKRINSVESLVEMDTSPKPGKGFSNCDSVIVHTKPELNLNQGGNDGSSRQSVRVHEGGNIRLDLKLKDSEHCLSSCENSNEKIDPSESGNVMRRVHSEGEFPIVDNLSDTLDAAWTGKNHLESMILKENGVSLPDSSPVHSVVPNVELERCIVDKGGIDVVHSLDSALGAKVPQNVENSSGLGTPFPNLYSSFKRTSSLNAQKLGINEYNPVYVSLFRDLERPNNARLLLPVGVNDTIIPVFDDEPTSIIAYALVSSDYHLQMSELEKPKDAGETTISLPLFDSVNLLSFNSFDESASDIYRSVGSIEENILSIPGSRGTQILDPLSYTKDLHARVSFTDDSVQGKVKYMVTCYCAKRFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPAYFKYLSDSIGNGSPTCLAKILGIYQVSSKHLKGGKETKMDLLVMENLLFKRNIVRLYDLKGSSRSRYNSDTSGSNKVLLDQNLIESMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDTERHELVIGIIDFMRQYTWDKHLETWVKASGILGGSKNTTPTVISPQQYKKRFRKAMTAYFLMVPDQWSPSTIVPSESHSDLCEERLQGQRGASVES >Manes.11G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32058773:32061810:1 gene:Manes.11G153700.v8.1 transcript:Manes.11G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSVLIACVVFLVSLLHEAIATAARTQNGSEAWGYVQVRPKAHMFWWLYRSPYRVENPSKPWPVILWLQGGPGASGVGLGNFQEIGPLNLNLKPRNSTWLRMADLLFVDNPVGTGYSYVEESNLFVKTDEEAATDLTILLEEIFNRIESLQKSPLYIVAESYGGKFAVTLGLSALQAIESGKLKGKLGGVVLGDTWISPEDFVLSWGPLLKDVSRLDNNGVEKANSLAEKIKQQIGDGQYASATNSWAELEGVISRSSSSVDFYNFLLDSGMDSVSLTAAELSQGMAMKRYIRYLSSLGSSPGGDGDIDSLMNGPIKKKLKIIPDNISWGGQADEVFSNLAGDFMRPRINEVDELLAKGVNVTVYNGQLDLICATKGTEAWVDKLKWEGLPSFLKMDRAPLYCGNDILTRGFTKSYKNFHFYWILGAGHFVPVDQACIALNMIGAITESPAASSA >Manes.08G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1750815:1756664:1 gene:Manes.08G017200.v8.1 transcript:Manes.08G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNKKDRDTSSSSKRRHRESDYSDSDSYSDDYESRGSSPRRSSGKHRERSSRSRSRSRSRHTRIISRRSRSYNESDDSDRSDSDRGRKKKSSSRKVTEEEIADYLAKKAQRKAMKVAKKLKAHSVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDMFSVKAEKQRQRERMAEIEKVKKRREERAVEKAQHEEEMAMLARERARAEFQDWEKKEEEFHFDQSKIRSHIRLQEGRMKPIDVLSKHLNVSDDLDIEINEPYMVFKGLTVKEMEELRDDIKMHLDMDRKTQTHIDYWEALMVVCNWELSEARKKDALDRARVRGEEPPAELLAEERGLHSSIETDVRNLLEGKTSSELDALRSQIESQMSSGTAKVVEYWEAVLKRLQIYKAKACLKEIHAKMLRKHLQRLEPPLEDHDKLENDHSLRASEEENEHDIKDAETFSPEPMMEEEIHEAEEAGSFSPELLHGDENEEAIDPEEDRAILETKRMAVLEEQQRRIQEAMASKPPPSEDNFEMKAIKAMGTMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPTYTIEKDGNSDETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >Manes.08G017200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1750815:1756664:1 gene:Manes.08G017200.v8.1 transcript:Manes.08G017200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLARERARAEFQDWEKKEEEFHFDQSKIRSHIRLQEGRMKPIDVLSKHLNVSDDLDIEINEPYMVFKGLTVKEMEELRDDIKMHLDMDRKTQTHIDYWEALMVVCNWELSEARKKDALDRARVRGEEPPAELLAEERGLHSSIETDVRNLLEGKTSSELDALRSQIESQMSSGTAKVVEYWEAVLKRLQIYKAKACLKEIHAKMLRKHLQRLEPPLEDHDKLENDHSLRASEEENEHDIKDAETFSPEPMMEEEIHEAEEAGSFSPELLHGDENEEAIDPEEDRAILETKRMAVLEEQQRRIQEAMASKPPPSEDNFEMKAIKAMGTMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPTYTIEKDGNSDETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >Manes.16G036900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4674583:4676164:1 gene:Manes.16G036900.v8.1 transcript:Manes.16G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAKNWKDLSGQRNWEGLLDPLHNDLRRYIIHYGERAEAVADAFNGLAISKGYGKSRYPMEGFFSYVGLENGNPYKYKVTSFIYAKSEIKILNWVHGESSWIAYVAVATDEGKAELGRRDILISWRGTKTDLEKLEDLDLLPVSASDILGKANDPKVHKGFLSVYSANDSNSIYNKSSAREQVIKEVKKLVEKYKNEEVSITVTGHCVGAAVATLNAVDIAANGHNKPTGQPDKAFPVTAIVFASPRTGDKGFRQVFEGLKDDLHVLRISNSHDPVPKLPVGFGYVHVGEELEIDSTKSPYLKDGNKCKLVHELEVYLHGVAGTQGSEKEFEFAIDRDISLVNKTTDGLKDEFQVPDHWWIEKNKSMIQEDDGSWKLDDYVSDPPSP >Manes.02G174200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13775288:13776940:1 gene:Manes.02G174200.v8.1 transcript:Manes.02G174200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRLSQKNPQKNTNNDHQNFIKQNSRSCHANAHQPNWYFLIRKYIHQGSQREALLLYNQIRCKGVYILGLVPAVLKACASASILKYGKCLHGEAIKKGVDFDVVIGTSLVDMYGKSGDIFNSRKVFDCMPERNVVTWNAMIGGYIRNGDMKSASALFEKMSTRTAVTWIEMIHGFAGSGDLVTARYLFDQVPPDLKNVVTWSVMVDGYASKGLMAEARVLFEEMPERNFFSWSSMVSGYCKIGNVKEARAVFDRVPIRNLVNWNSLICGYTQNGFCEEALEAFRKMQADGFEPDEVTVASILSACAQLGLLDVGKDVHHMVYDKGIQLNQFVMNALVDMYAKCGDLTNARSIFEGMTSKNSACWNAMISGFAIHGQCKEALDFFRRMEESNEKPDEITFLSVLSACAHGGFIDEGLEIFSKMEKCGLAASIKHYGCVVDLLGRAGRLQDAYQFIKKMPMKPNDAVWGALLGACRVHLDMDMVEQVMEEVRREECSIDSGNDPHCVLLSNVFAASDSWEKAERMRIVMVNKGLPKIPGRSSVVLVNTEQ >Manes.05G127000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22680584:22682525:-1 gene:Manes.05G127000.v8.1 transcript:Manes.05G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSYSLMLLLALSLSSCFAYTGYARDFSIVGYTPEDLTSNDKLIDLFESWMSKFGKVYESIEEKLQRFEIFKDNLFHIDETNKKVTNYWLGVNEFADLSHEEFKTKFLGLKPDLSKRRDCPEEFTYKDVENIPKSVDWRKKGAVTHVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTTYNNGCNGGLMDYAFAYITSSGGLHREEDYPYIMEEGTCEISKEESGLATINGYRDVPQNSEESLLKALANQPLSVAIEASGRDFQFYSGGVFDGHCGTELDHGVAAVGYGTSKGLDYIIVKNSWGPKWGEKGYIRLKRNIGKPSGICGIYKMASYPTKKK >Manes.17G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9967956:9969909:-1 gene:Manes.17G018900.v8.1 transcript:Manes.17G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKEFLKLSEEESASVSQLKIHPHRPGLDCSFYEDFALRGIRVDRVEPGIVSCTFKVPSRLTDRSGKLATGAIANLVDEVGGAVVYVEGLPMNVSVDMSISFLSSASLNDELEITSKVLGRKGGYAGTIVLVKNKATGELIAEGRHSLFGKHVSKM >Manes.16G010100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1050608:1061122:-1 gene:Manes.16G010100.v8.1 transcript:Manes.16G010100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSEIFRLTLNYGAEESEEDLNRLSTSTSSGSVSSSSPSSPLSPPRDQELGFRIDLDWTAADDEDQVALRLQSQLMVALPLPQDCVTVDLNSKTEEGEEGNVGVEMKVVKRREPLRGMTMSKAGSGQQSDGVGVLTRLLRSNLATDGGGVGDGSGLGYGDHWQNVTLLSLCGCGLSVLPAELIGLPLLEKLYLDNNRLSVLPPELGELKNLKVLSVDYNTLVSVPVELRQCVGLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLRKLRHFSLANVRIVADENLRSVNVQIEMENSSYFGASRHKLSAFFSLLFRFSSCHHPLLASALAKIMQDQGNRAVVGKDENAVRQLISMISSDNQHVVEQACSALSTLAGDVSVAIQLMKCDIMQPIETVLKSVAHEEVISVLQVVATLGFTSDTVAQKILTKDLLKSLKLLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLRDLLMRLTVTSEPRVNKAAARALAILGENENMRRAIRGRQVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDHCEEIYKNLGKLVFAEPTPKDNEAASWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVNVMPAQPFIFRNYQYPAGTPEVPFAISESSGVTVLGSPTTGAQVGYKRSAFIGSCKHHVWQAIRASSAAPYYLDDFSDDIYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKLRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPAVWLKLEAAVEEYIHSNSEALKNVCERLLMSNKHDDKLLENLKNQQFPKAKVLYTDENGASLGWRRNVLLVEALHSPDSGRVMHHARALESFCSRNGIRLSLMLGTSGVTKPAPATAFPSPFTSPLITGSFPSSPLIYSPDFGPQKVGRIDMVPPLSLDGFQSGKNATSPPMSPSGRRQLSLPVRSLHEKLQNTPQVGIIHLALQNDLFGSILSWQNDVFVVAEPGDLADKFLQSVKLSLLTMVRGRRRKVTSLLANISTVSDLVRYRPYFQVGNVVHRYIGRQTQVMEDDQEIGAYMFRRTVPSMHLTPDDVRWMVGAWRDRIIICTGTYGPTPTLIKAFLDSGAKAVICPSAEPLEIPVTSAHGSGDFHFLENGRFEIGEEEAEDEEAEPVSPTSDWEDSDPEKTGERSMGFWDDDEEELSEFVSKLYDKLFREGARIDVALQSALASHRRMSIEDMHG >Manes.16G010100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1050608:1061122:-1 gene:Manes.16G010100.v8.1 transcript:Manes.16G010100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSEIFRLTLNYGAEESEEDLNRLSTSTSSGSVSSSSPSSPLSPPRDQELGFRIDLDWTAADDEDQVALRLQSQLMVALPLPQDCVTVDLNSKTEEGEEGNVGVEMKVVKRREPLRGMTMSKAGSGQQSDGVGVLTRLLRSNLATDGGGVGDGSGLGYGDHWQNVTLLSLCGCGLSVLPAELIGLPLLEKLYLDNNRLSVLPPELGELKNLKVLSVDYNTLVSVPVELRQCVGLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLRKLRHFSLANVRIVADENLRSVNVQIEMENSSYFGASRHKLSAFFSLLFRFSSCHHPLLASALAKIMQDQGNRAVVGKDENAVRQLISMISSDNQHVVEQACSALSTLAGDVSVAIQLMKCDIMQPIETVLKSVAHEEVISVLQVVATLGFTSDTVAQKILTKDLLKSLKLLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLRDLLMRLTVTSEPRVNKAAARALAILGENENMRRAIRGRQVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDHCEEIYKNLGKLVFAEPTPKDNEAASWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVNVMPAQPFIFRNYQYPAGTPEVPFAISESSGVTVLGSPTTGAQVGYKRSAFIGSCKHHVWQAIRASSAAPYYLDDFSDDIYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKLRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPAVWLKLEAAVEEYIHSNSEALKNVCERLLMSNKHDDKLLENLKNQQFPKAKVLYTDENGASLGWRRNVLLVEALHSPDSGRVMHHARALESFCSRNGIRLSLMLGTSGVTKPAPATAFPSPFTSPLITGSFPSSPLIYSPDFGPQKVGRIDMVPPLSLDGFQSGKNATSPPMSPSGRRQLSLPVRSLHEKLQNTPQVGIIHLALQNDLFGSILSWQNDVFVVAEPGDLADKFLQSVKLSLLTMVRGRRRKVTSLLANISTVSDLVRYRPYFQVGNVVHRYIGRQTQVGAWRDRIIICTGTYGPTPTLIKAFLDSGAKAVICPSAEPLEIPVTSAHGSGDFHFLENGRFEIGEEEAEDEEAEPVSPTSDWEDSDPEKTGERSMGFWDDDEEELSEFVSKLYDKLFREGARIDVALQSALASHRRMRYSFHLPSIQ >Manes.16G010100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1050608:1061122:-1 gene:Manes.16G010100.v8.1 transcript:Manes.16G010100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSEIFRLTLNYGAEESEEDLNRLSTSTSSGSVSSSSPSSPLSPPRDQELGFRIDLDWTAADDEDQVALRLQSQLMVALPLPQDCVTVDLNSKTEEGEEGNVGVEMKVVKRREPLRGMTMSKAGSGQQSDGVGVLTRLLRSNLATDGGGVGDGSGLGYGDHWQNVTLLSLCGCGLSVLPAELIGLPLLEKLYLDNNRLSVLPPELGELKNLKVLSVDYNTLVSVPVELRQCVGLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLRKLRHFSLANVRIVADENLRSVNVQIEMENSSYFGASRHKLSAFFSLLFRFSSCHHPLLASALAKIMQDQGNRAVVGKDENAVRQLISMISSDNQHVVEQACSALSTLAGDVSVAIQLMKCDIMQPIETVLKSVAHEEVISVLQVVATLGFTSDTVAQKILTKDLLKSLKLLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLRDLLMRLTVTSEPRVNKAAARALAILGENENMRRAIRGRQVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDHCEEIYKNLGKLVFAEPTPKDNEAASWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVNVMPAQPFIFRNYQYPAGTPEVPFAISESSGVTVLGSPTTGAQVGYKRSAFIGSCKHHVWQAIRASSAAPYYLDDFSDDIYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKLRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPAVWLKLEAAVEEYIHSNSEALKNVCERLLMSNKHDDKLLENLKNQQFPKAKVLYTDENGASLGWRRNVLLVEALHSPDSGRVMHHARALESFCSRNGIRLSLMLGTSGVTKPAPATAFPSPFTSPLITGSFPSSPLIYSPDFGPQKVGRIDMVPPLSLDGFQSGKNATSPPMSPSGRRQLSLPVRSLHEKLQNTPQVGIIHLALQNDLFGSILSWQNDVFVVAEPGDLADKFLQSVKLSLLTMVRGRRRKVTSLLANISTVSDLVRYRPYFQVGNVVHRYIGRQTQVGAWRDRIIICTGTYGPTPTLIKAFLDSGAKAVICPSAEPLEIPVTSAHGSGDFHFLENGRFEIGEEEAEDEEAEPVSPTSDWEDSDPEKTGERSMGFWDDDEEELSEFVSKLYDKLFREGARIDVALQSALASHRRMSIEDMHG >Manes.16G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1050608:1061122:-1 gene:Manes.16G010100.v8.1 transcript:Manes.16G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSEIFRLTLNYGAEESEEDLNRLSTSTSSGSVSSSSPSSPLSPPRDQELGFRIDLDWTAADDEDQVALRLQSQLMVALPLPQDCVTVDLNSKTEEGEEGNVGVEMKVVKRREPLRGMTMSKAGSGQQSDGVGVLTRLLRSNLATDGGGVGDGSGLGYGDHWQNVTLLSLCGCGLSVLPAELIGLPLLEKLYLDNNRLSVLPPELGELKNLKVLSVDYNTLVSVPVELRQCVGLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLRKLRHFSLANVRIVADENLRSVNVQIEMENSSYFGASRHKLSAFFSLLFRFSSCHHPLLASALAKIMQDQGNRAVVGKDENAVRQLISMISSDNQHVVEQACSALSTLAGDVSVAIQLMKCDIMQPIETVLKSVAHEEVISVLQVVATLGFTSDTVAQKILTKDLLKSLKLLCAHKNPEVQRLALLAVGNLAFCLENRRILVTSESLRDLLMRLTVTSEPRVNKAAARALAILGENENMRRAIRGRQVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDHCEEIYKNLGKLVFAEPTPKDNEAASWREKLDQLYKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVNVMPAQPFIFRNYQYPAGTPEVPFAISESSGVTVLGSPTTGAQVGYKRSAFIGSCKHHVWQAIRASSAAPYYLDDFSDDIYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKLRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPAVWLKLEAAVEEYIHSNSEALKNVCERLLMSNKHDDKLLENLKNQQFPKAKVLYTDENGASLGWRRNVLLVEALHSPDSGRVMHHARALESFCSRNGIRLSLMLGTSGVTKPAPATAFPSPFTSPLITGSFPSSPLIYSPDFGPQKVGRIDMVPPLSLDGFQSGKNATSPPMSPSGRRQLSLPVRSLHEKLQNTPQVGIIHLALQNDLFGSILSWQNDVFVVAEPGDLADKFLQSVKLSLLTMVRGRRRKVTSLLANISTVSDLVRYRPYFQVGNVVHRYIGRQTQVMEDDQEIGAYMFRRTVPSMHLTPDDVRWMVGAWRDRIIICTGTYGPTPTLIKAFLDSGAKAVICPSAEPLEIPVTSAHGSGDFHFLENGRFEIGEEEAEDEEAEPVSPTSDWEDSDPEKTGERSMGFWDDDEEELSEFVSKLYDKLFREGARIDVALQSALASHRRMRYSFHLPSIQ >Manes.13G102900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30183353:30186537:1 gene:Manes.13G102900.v8.1 transcript:Manes.13G102900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKMMWQNFAGDCGKHISHFRYYSSRIDWKKLRPMILKRIENRAKDYPVRHMVPVAQEVLKARMLLIQGVYTLMKVIPVVACRFCPEVYIGEKGHLIRTCWGYRRGAKNRVHEWITGSLDDILVPVQTFRLNNMFQKVIKHHERFDFDRVPAVVELCRQGGAILTSENLYSSTRTLDSVHSCVDGAQSMSPEDLRFIADGTLKAWETLRSGVQKLLLVYPAKVCEHCSEIHVGPSGHKARLCGVFKYESWRGTHFWKKAAVDDLVPPKIVWRQRPQDPPALLNEGRDFYGHAPAVVDLCTKAGAIAPKKYSCMMKIQGLTAKAQY >Manes.13G102900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30183353:30186537:1 gene:Manes.13G102900.v8.1 transcript:Manes.13G102900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKMMWQNFAGDCGKHISHFRYYSSRIDWKKLRPMILKRIENRAKDYPVRHMVPVAQEVLKARMLLIQGVYTLMKVIPVVACRFCPEVYIGEKGHLIRTCWGYRRGAKNRVHEWITGSLDDILVPVQTFRLNNMFQKVIKHHERFDFDRVPAVVELCRQGGAILTSENLYSSTRTLDSVHSCVDGAQSMSPEDLRFIADGTLKAWETLRSGVQKLLLVYPAKVCEHCSEIHVGPSGHKARLCGVFKYESWRGTHFWKKAAVDDLVPPKIVWRQRPQDPPALLNEGRDFYGHAPAVVDLCTKAGAIAPKKYSCMMKIQGLTAKAQY >Manes.13G102900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30183434:30186194:1 gene:Manes.13G102900.v8.1 transcript:Manes.13G102900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKMMWQNFAGDCGKHISHFRYYSSRIDWKKLRPMILKRIENRAKDYPVRHMVPVAQEVLKARMLLIQGVYTLMKVIPVVACRFCPEVYIGEKGHLIRTCWGYRRGAKNRVHEWITGSLDDILVPVQTFRLNNMFQKVIKHHERFDFDRVPAVVELCRQGGAILTSENLYSSTRTLDSVHSCVDGAQSMSPEDLRFIADGTLKAWETLRSGVQKLLLVYPAKVCEHCSEIHVGPSGHKARLCGVFKYESWRGTHFWKKAAVDDLVPPKIVWRQRPQDPPALLNEGRDFYGHAPAVVDLCTKAGAIAPKKYSCMMKIQGLTAKAQY >Manes.02G115900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8898115:8909078:-1 gene:Manes.02G115900.v8.1 transcript:Manes.02G115900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGESQQSEPVCNFFRKPLKNKNIRKRTIEEDEDEDSKTESSLLQNRKKAPKPDNKLFFSTGSSKSSMSTESTVESNQSVFQFESSKEIQVHHDSRATATLETETEFSKDARAIRERALKQAEEALKGKNPSSDNEKVYRGVHGYTDHKAGFRREQTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKPGWQLEKEWEEAEKIRKRNLALGEDGGGVEQSDEEDDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRKKMAAEGK >Manes.02G115900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8901202:8909078:-1 gene:Manes.02G115900.v8.1 transcript:Manes.02G115900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGESQQSEPVCNFFRKPLKNKNIRKRTIEEDEDEDSKTESSLLQNRKKAPKPDNKLFFSTGSSKSSMSTESTVESNQSVFQFESSKEIQVHHDSRATATLETETEFSKDARAIRERALKQAEEALKGKNPSSDNEKVYRGVHGYTDHKAGFRREQTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKPGWQLEKEWEEAEKIRKRNLALGEDGGGVEQSDEEDDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRKKMAAEGK >Manes.02G115900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8901202:8909078:-1 gene:Manes.02G115900.v8.1 transcript:Manes.02G115900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGESQQSEPVCNFFRKPLKNKNIRKRTIEEDEDEDSKTESSLLQNRKKAPKPDNKLFFSTGSSKSSMSTESTVESNQSVFQFESSKEIQVHHDSRATATLETETEFSKDARAIRERALKQAEEALKGKNPSSDNEKVYRGVHGYTDHKAGFRREQTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKPGWQLEKEWEEAEKIRKRNLALGEDGGGVEQSDEEDDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRKKMAAEGK >Manes.02G115900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8901202:8909078:-1 gene:Manes.02G115900.v8.1 transcript:Manes.02G115900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGESQQSEPVCNFFRKPLKNKNIRKRTIEEDEDEDSKTESSLLQNRKKAPKPDNKLFFSTGSSKSSMSTESTVESNQSVFQFESSKEIQVHHDSRATATLETETEFSKDARAIRERALKQAEEALKGKNPSSDNEKVYRGVHGYTDHKAGFRREQTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKPGWQLEKEWEEAEKIRKRNLALGEDGGGVEQSDEEDDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRKKMAAEGK >Manes.02G115900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8903863:8909101:-1 gene:Manes.02G115900.v8.1 transcript:Manes.02G115900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGESQQSEPVCNFFRKPLKNKNIRKRTIEEDEDEDSKTESSLLQNRKKAPKPDNKLFFSTGSSKSSMSTESTVESNQSVFQFESSKEIQVHHDSRATATLETETEFSKDARAIRERALKQAEEALKGKNPSSDNEKVYRGVHGYTDHKAGFRREQTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKPGWQLEKEWEEAEKIRKRNLALGEDGGGVEQSDEEDDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRKKMAAEGK >Manes.02G115900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8901202:8909101:-1 gene:Manes.02G115900.v8.1 transcript:Manes.02G115900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGESQQSEPVCNFFRKPLKNKNIRKRTIEEDEDEDSKTESSLLQNRKKAPKPDNKLFFSTGSSKSSMSTESTVESNQSVFQFESSKEIQVHHDSRATATLETETEFSKDARAIRERALKQAEEALKGKNPSSDNEKVYRGVHGYTDHKAGFRREQTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKPGWQLEKEWEEAEKIRKRNLALGEDGGGVEQSDEEDDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRKKMAAEGK >Manes.02G115900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8903863:8909078:-1 gene:Manes.02G115900.v8.1 transcript:Manes.02G115900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGESQQSEPVCNFFRKPLKNKNIRKRTIEEDEDEDSKTESSLLQNRKKAPKPDNKLFFSTGSSKSSMSTESTVESNQSVFQFESSKEIQVHHDSRATATLETETEFSKDARAIRERALKQAEEALKGKNPSSDNEKVYRGVHGYTDHKAGFRREQTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKPGWQLEKEWEEAEKIRKRNLALGEDGGGVEQSDEEDDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNTAHEIRKKMAAEGK >Manes.18G095000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKNEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRSILHTCSIKPTANQADINDIRGPSYQLFKVDVIDWQADIEKCLTWEAHSRLATGMAIQFLETAAKELGCTVSI >Manes.18G095000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVEYFGQFTSEQFPDDIAELIRNRYPSKEKRLFDDVLATFVLHHPEHGHAVVLPIISCLIDGLVYDRSSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLEVRNFL >Manes.18G095000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVEYFGQFTSEQFPDDIAELIRNRYPSKEKRLFDDVLATFVLHHPEHGHAVVLPIISCLIDGLVYDRSSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRSILHTCSIKPTANQADINDIRGPSYQLFKVDVIDWQADIEKCLTWEAHSRLATGMAIQFLETAAKELGCTVSI >Manes.18G095000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKNEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRSILHTCSIKPTANQADINDIRGPSYQLFKVDVIDWQADIEKCLTWEAHSRLATGMAIQFLETAAKELGCTVSI >Manes.18G095000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVEYFGQFTSEQFPDDIAELIRNRYPSKEKRLFDDVLATFVLHHPEHGHAVVLPIISCLIDGLVYDRSSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRSILHTCSIKPTANQADINDIRGPSYQLFKVDVIDWQADIEKCLTWEAHSRLATGMAIQFLETAAKELGCTVSI >Manes.18G095000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKNEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRSILHTCSIKPTANQADINDIRGPSYQLFKVDVIDWQADIEKCLTWEAHSRLATGMAIQFLETAAKELGCTVSI >Manes.18G095000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVEYFGQFTSEQFPDDIAELIRNRYPSKEKRLFDDVLATFVLHHPEHGHAVVLPIISCLIDGLVYDRSSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRSILHTCSIKPTANQADINDIRGPSYQLFKVDVIDWQADIEKCLTWEAHSRLATGMAIQFLETAAKELGCTVSI >Manes.18G095000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVEYFGQFTSEQFPDDIAELIRNRYPSKEKRLFDDVLATFVLHHPEHGHAVVLPIISCLIDGLVYDRSSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRSILHTCSIKPTANQADINDIRGPSYQLFKVDVIDWQADIEKCLTWEAHSRLATGMAIQFLETAAKELGCTVSI >Manes.18G095000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8766407:8783272:-1 gene:Manes.18G095000.v8.1 transcript:Manes.18G095000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDGLQFSSLFWPPPQDAQQRKNEYSEQWALACGEILRILTHYNRPVYKVEQQNSETERSNGGNHATSSGSVDRESCPTPSVQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPITASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQLEPLGGYFSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLGSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILTRTFPPQSSREQTRKARYFSGLGSASKNLAVAELRTMVHSLFLESCASVELASRLLFIVLTVCVSHEAQSKGSKRPRDEESLPTDDSNEPSQLTSEVQKNMKYRKLKKQGPVAAFDSYVLAAVCALACELQLFPFISRGSNHSSSKNARTLAKPVKLNGCNGSSSEFQSSFDSAVHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVTKAEPLEAHLQVPVWKDSLVCFDGKRQNKKLSIRCFDSGQSSASQCVESTHSDATIITERSSCSKEGSGSTLGKDIAAFPLDASDLANFLTMDRHIGFNFSAQVLLRTLLVEKQELCFSVVSLLWHKMIAAPETQPSAVSTSAQQGWRQVVDALCNVVSASPTKAATAIVLQAERELQPWIAKDDDQGQKLWRINQRIVRLMVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRSILHTCSIKPTANQADINDIRGPSYQLFKVDVIDWQADIEKCLTWEAHSRLATGMAIQFLETAAKELGCTVSI >Manes.04G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32090835:32092867:1 gene:Manes.04G119600.v8.1 transcript:Manes.04G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSEAEEKKRKKDMGSAGALKLPVLDFTKETLKPGTSCWLKACTDVRQALEEYGCFTVEYKKLSPELRNKVFDSMKELFDLPTETKMKNKYQKPMNGYVGQIPKIPLHESLGIDNATSLQATLDFTNLMWPNGNHHFCESVFEYAKFAAELDQMVTRMIFESYGVEKYHDAYVESTTYLLRLLKNRVAKENETNLAFVTHTDKSFTTILHQNQIDGLEVDTKNGEKINVEFSPSSFIVIAGDALMAWSNDRIISPSHRVIMNGKVDRYSMGLFGFNSGKIQVPQELVDEEHPLMYKPFDHIGLLYFYRTEEGYKAQCPVKAYCGI >Manes.02G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6653395:6654276:-1 gene:Manes.02G084700.v8.1 transcript:Manes.02G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQQLCQPLLSTTVTITSSSSATPIITPALPNTGDDYYYVVESFSSHFGIILRLFTIFSIGVISIWANYEASKGFGITIINDISDFPAGKRFTLLYMSNDKATRLIQSSSSFVENILYPNISYPKKKVNHVTLRLSSSNLPKLVTVETSTNDESMALQGMSRIWLWDGKGKDKGPPWLLDGLVEYIKTVAGSGPMTALGAWELPEFGEFCLGDRDPRAVAKFLGQCERQSKGFIQRLNRGLKNEWDDRTVENALGTSVDNICHSFVNSVKW >Manes.12G015250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1457057:1457564:-1 gene:Manes.12G015250.v8.1 transcript:Manes.12G015250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTIADDHPLETTADVRRKAIDDWLPITSSRNAKWWYSAFHNVTAMVRACVLSLPYAMAHLGCNRTGPN >Manes.13G115400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32215831:32218676:-1 gene:Manes.13G115400.v8.1 transcript:Manes.13G115400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSINFSKLVDSALITGIVTAAMAAKKAGDTVPQLKRINDIPNFIFVPSVTLLSLASIKLTRWIFLGNAILDDIPPEDADEEAVSDEQPLQGKGKMVKNVAWEDLPRQPPSHLQQVEEDSYPARKHQAQANQSRERSGLARQGSLRRPGKRATSRSTSASLSINPKSKLSSEQPQAALVEEMLSLFEKFESELTPSAVETQVAQQNVSDTLKTGTSILQEPEQVKKLKRSLHLLTQTSEDSLAAGQISIITNFEQEIDMLEAKFRNAADVLARASQLQANKEQAEKHFQEAYHLLRELKANDDEFKEKIGKLKSEIECLKTAEEKNLRKINDCFQCGKFQKELASKCEMELGKLWHAREKAKKDKADVEAVFKNYRQQLT >Manes.13G115400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32215831:32218676:-1 gene:Manes.13G115400.v8.1 transcript:Manes.13G115400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSINFSKLVDSALITGIVTAAMAAKKAGDTVPQLKRINDIPNFIFVPSVTLLSLASIKLTRWIFLGNAILDDIPPEDADEEAVSDEQPLQGKGKMVKNVAWEDLPRQPPSHLQQVEEDSYPARKHQAQANQSRERSGLARQGSLRRPGKRATSRSTSASLSINPKSKLSSEQPQAALVEEMLSLFEKFESELTPSAVETQVAQQNVSDTLKTGTSILQEPEQVKKLKRSLHLLTQTSEDSLAAGQISIITNFEQEIDMLEAKFRNAADVLARASQLQANKEQAEKHFQEAYHLLRELKANDDEFKEKIGKLKSEIECLKTAEEKNLRKINDCFQCGKFQKELASKCEMELGKLWHAREKAKKDKADVEAVFKNYRQQLT >Manes.13G115400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32215831:32218676:-1 gene:Manes.13G115400.v8.1 transcript:Manes.13G115400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSINFSKLVDSALITGIVTAAMAAKKAGDTVPQLKRINDIPNFIFVPSVTLLSLASIKLTRWIFLGNAILDDIPPEDADEEAVSDEQPLQGKGKMVKNVAWEDLPRQPPSHLQQVEEDSYPARKHQAQANQSRERSGLARQGSLRRPGKRATSRSTSASLSINPKSKLSSEQPQAALVEEMLSLFEKFESELTPSAVETQVAQQNVSDTLKTGTSILQEPEQVKKLKRSLHLLTQTSEDSLAAGQISIITNFEQEIDMLEAKFRNAADVLARASQLQANKEQAEKHFQEAYHLLRELKANDDEFKEKIGKLKSEIECLKTAEEKNLRKINDCFQCGKFQKELASKCEMELGKLWHAREKAKKDKADVEAVFKNYRQQLT >Manes.13G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32215831:32218676:-1 gene:Manes.13G115400.v8.1 transcript:Manes.13G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFSLLGEGLPSTHATSFVMGTLYKQFLEKNISSFEDFQVGILDIFDRFISELPIEYYEIPSRKELEACFNDWKRAPDPQKKELIVGLMKKSINFSKLVDSALITGIVTAAMAAKKAGDTVPQLKRINDIPNFIFVPSVTLLSLASIKLTRWIFLGNAILDDIPPEDADEEAVSDEQPLQGKGKMVKNVAWEDLPRQPPSHLQQVEEDSYPARKHQAQANQSRERSGLARQGSLRRPGKRATSRSTSASLSINPKSKLSSEQPQALVEEMLSLFEKFESELTPSAVETQVAQQNVSDTLKTGTSILQEPEQVKKLKRSLHLLTQTSEDSLAAGQISIITNFEQEIDMLEAKFRNAADVLARASQLQANKEQAEKHFQEAYHLLRELKANDDEFKEKIGKLKSEIECLKTAEEKNLRKINDCFQCGKFQKELASKCEMELGKLWHAREKAKKDKADVEAVFKNYRQQLT >Manes.09G181700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37003588:37003860:-1 gene:Manes.09G181700.v8.1 transcript:Manes.09G181700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGTATCIDILLAILLPPLGVFLKYGCKAEFWICLVLTFFGYIPGIIYAVYAITK >Manes.02G161600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12683177:12688250:-1 gene:Manes.02G161600.v8.1 transcript:Manes.02G161600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKDQLLARLKELQIDFAQYEHPIVLTVEAQAKYVGDKGGGLSKNLFLKDKKSRFYIVSALADTKVDMKVLSQRLGLGKGGIRMAPEESLGEILQVPLGCVTPFAVVNESARHVSLLLDKGFKTQEHCFFHPLSNDTSISLHANDLDKFLKSIGREAAYVDLEANPPVGKDQPPDLAAFVPSGSTILSDPAEKAIPLQGHAETSVSADKKSTATAVKTAKVPVAVKNVKEKSVVSPHRPSVFSDAELLVEEILNKTSSLVLSEIKEDFIRQHGEHLGTVVADNIRKRLSSDFKSLAVMFKNTAYAQGFYAGTHHHRKPL >Manes.15G026100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2059770:2063471:-1 gene:Manes.15G026100.v8.1 transcript:Manes.15G026100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATMKPQHRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFTQKYGTLSKEEAEENAKKIEDVAFVTANQHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAKKEEREVLVSEEPAASLNKVFDISKGPRSFIEASEAENILGPLKEPGNSYTKICFSNRSFGPEAARVAQPILISMKDQLKEVDLSDFIAGRPEEEALEVMNIFSSALEGSILRSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGALAISEVLKRSPLLEDFRCSSTRVGTEGGIALAEALETCTHLKKLDLRDNMFGVEGGVALCKALSKHAGLTEIYLSYLNLEDEGAVAIANSLKESAPLLEVLDMAGNDITAEAAPDLSACIAVKQNLCKLNLSENELKDEGAIQISKALEGHIQLNEVDMNTNSLGRVGARVLAQVVVQKPKFKLLNIDANCISDEGIDEVKKIFKNSPDLLGPLDDNCPEGGDDDEEEEYGEHDGDQHDLESKLSNLEVNRED >Manes.15G026100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2059770:2063495:-1 gene:Manes.15G026100.v8.1 transcript:Manes.15G026100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATMKPQHRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFTQKYGTLSKEEAEENAKKIEDVAFVTANQHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAKKEEREVLVSEEPAASLNKVFDISKGPRSFIEASEAENILGPLKEPGNSYTKICFSNRSFGPEAARVAQPILISMKDQLKEVDLSDFIAGRPEEEALEVMNIFSSALEGSILRSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGALAISEVLKRSPLLEDFRCSSTRVGTEGGIALAEALETCTHLKKLDLRDNMFGVEGGVALCKALSKHAGLTEIYLSYLNLEDEGAVAIANSLKESAPLLEVLDMAGNDITAEAAPDLSACIAVKQNLCKLNLSENELKDEGAIQISKALEGHIQLNEVDMNTNSLGRVGARVLAQVVVQKPKFKLLNIDANCISDEGIDEVKKIFKNSPDLLGPLDDNCPEGGDDDEEEEYGEHDGDQHDLESKLSNLEVNRED >Manes.15G026100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2059770:2063495:-1 gene:Manes.15G026100.v8.1 transcript:Manes.15G026100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATMKPQHRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFTQKYGTLSKEEAEENAKKIEDVAFVTANQHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAKKEEREVLVSEEPAASLNKVFDISKGPRSFIEASEAENILGPLKEPGNSYTKICFSNRSFGPEAARVAQPILISMKDQLKEVDLSDFIAGRPEEEALEVMNIFSSALEGSILRSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGALAISEVLKRSPLLEDFRCSSTRVGTEGGIALAEALETCTHLKKLDLRDNMFGVEGGVALCKALSKHAGLTEIYLSYLNLEDEGAVAIANSLKESAPLLEVLDMAGNDITAEAAPDLSACIAVKQNLCKLNLSENELKDEGAIQISKALEGHIQLNEVDMNTNSLGRVGARVLAQVVVQKPKFKLLNIDANCISDEGIDEVKKIFKNSPDLLGPLDDNCPEGGDDDEEEEYGEHDGDQHDLESKLSNLEVNRED >Manes.15G026100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2059770:2063471:-1 gene:Manes.15G026100.v8.1 transcript:Manes.15G026100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLWDKQLSAHEVKSIVVLYFAMDAATMKPQHRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFTQKYGTLSKEEAEENAKKIEDVAFVTANQHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAKKEEREVLVSEEPAASLNKVFDISKGPRSFIEASEAENILGPLKEPGNSYTKICFSNRSFGPEAARVAQPILISMKDQLKEVDLSDFIAGRPEEEALEVMNIFSSALEGSILRSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGALAISEVLKRSPLLEDFRCSSTRVGTEGGIALAEALETCTHLKKLDLRDNMFGVEGGVALCKALSKHAGLTEIYLSYLNLEDEGAVAIANSLKESAPLLEVLDMAGNDITAEAAPDLSACIAVKQNLCKLNLSENELKDEGAIQISKALEGHIQLNEVDMNTNSLGRVGARVLAQVVVQKPKFKLLNIDANCISDEGIDEVKKIFKNSPDLLGPLDDNCPEGGDDDEEEEYGEHDGDQHDLESKLSNLEVNRED >Manes.08G009200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1173569:1174126:-1 gene:Manes.08G009200.v8.1 transcript:Manes.08G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESTPPPATGSQPKTTTQLARKSSMSSSKDRHTKVNGRGRRVRMPALCAARIFQLTRELGHRSDGETIEWLLRHAEPSIIAATGTGTVPAGPISTSSGTTPVSASPLSIPCTVQVQPMGGGPVHGMFPLAPPPSCRLDLEYRHMPFTALLLQPTAIVAEEAGEQQQEENQEVLGENNTNQQKI >Manes.04G136000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33616567:33621230:-1 gene:Manes.04G136000.v8.1 transcript:Manes.04G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKGRGIWAWGSIICLILLQLLANCFQTKGSNVFAWRFTRYGNYETHYTQKRSRRKLDDVAGSINMDCGIPEDFSYTDASTGIPYISDGGFIDTGVNKNISANFSSDNPPTSLMTVRSFPQGNRNCYTLKPPEGKASIYLIRASFMYGNYDNLGKLPEFSLYLGVNLWDKVKFDNSSHVVNKEIIHVPTMDDVYVCLLNTGSGTPFISALELRHFHNSTYKAESASLVLYQRLDFGSITNEIVRYHDDVYDRVWFPYNCPQSAAIHTPSAVDSLVSTDFNLPSKVMQTAVQPINADKPLNFGFDIGDPNEKLFIYMHFAEIETVQKNQSREFNIELNGVLLEEGVVLKYLHSTTIPIESVRGAKLSFSLHKLPNSTLPPILNALEIYLVKDFWQQPTDKEDVNAIEDIKSIYNVNKVWRGDPCIPLPSWDGLYCNDNGYDPPRIISLNLSSSGLTGEIDRTLSNLKLLQHLDLSENSLTGRVPEFLSELPNLRTLNLAGNKLSGSVPFALVERSNNGLLSLRLDGNPELCLLSPCKEERKRAWVPIVATIVPLVVILMAMALVILCIYKRKNVARKFIDRHKEEGSSLKSDNRQFTYAEIVRITNNFSTVIGKGGFGTVYHGYLSDDTQVAVKMLSPTSDHGSAQFRTEAHLLMRVHHRNLASFIGYCNESRNTGIVYEYMACGNLENYLLDKAVQVLSWKERVQIALEAAQGLEYLHNGCKPPIVHRDVKSANILLNDNLQAKIADFGFSKIFPSDSKSHLSTGVVGTVGYLDPEYYSSGRLTERSDVYSFGIVLLELITGQSAVIKNHDQNIHIVNWVSPYIERGDIRNVVDPRLDGNFDTNSVWKFVEIAMSCVPSISIQRPTMNHVVAELKECLVTEIGREQSCKVEGQIRNSFEMVTVDLETEMGPEAR >Manes.05G056601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4722879:4723349:-1 gene:Manes.05G056601.v8.1 transcript:Manes.05G056601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWFSYFKYDEERDSPSDARNILLIIAALIAAATFQAGVNPPGGVWQDNGDGHIAGRAIFASQKHAFYVFLISNTLAFSTSILVLVSLTYRFPFHFEIWIATISMIVTYGSAVFAVTPHESVHFRYLLITAAVPFVIRCLIQAFNKWEDRILNKNESH >Manes.02G211900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25737290:25740169:1 gene:Manes.02G211900.v8.1 transcript:Manes.02G211900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMKSLANARMELEELYLGIPDDSVNLTFQDLANVKDNANAAEKKKPTSMEYTIQQAKNQASPLNKLPSLDFKRGLQESKNNHHHHHHLDLDLDLASHGGHKFESHSASPRHHHHLHHGADGLHDHHRYGYDDHRPSHHGHAEFRCGMENSRAYDDRSMNSMYEERGGRARRPGIPHSNICTICSTYIYIFRHRCLVCGRVYCRSCVSIGMGEMTEGRKCIQCLGKRFSHRYIERAGKVGCCSRYPSTVKQAELRWAEKGPKRSGEKGYGHSSMVSRSVNPTRPHIINNNTSPSFVSSLSPHSPYSAHHHHFPL >Manes.08G069966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11694041:11694895:1 gene:Manes.08G069966.v8.1 transcript:Manes.08G069966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEVLEELEAKSREYCLPLAKSIIFGKMSNSNPSQYGWKKKKSISFSLPYWKDNLLHHNLDVMHIEKNICDNILVIIINLTGQSKDTLKARLNLHLMGIRSGLHPTKVDSSRTYISPACFILNVKEKHTFCKFLKGVKVLMAILQTIS >Manes.12G094100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18824789:18829029:-1 gene:Manes.12G094100.v8.1 transcript:Manes.12G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELLSGAVVGAVFGELLNVVLRVKDNALMFSSELESMESTLKSIIPVLKEIEELNKLLDRRKEETDQIMEQIKKGEKLVIKCSRVQCYAWWKMARYADKLVNLEKSLNSFFQIVMQAQQARDGKETLLEVKNLRMDLKQLALNGKSRRVHNVNGYNAPLVLPEPPVNPVGLEVSLGELKMELFNDKTSVVVLSAPPGCGKTTLAKLLCHDKEVKEKFKDNIFFVIVSRKTTMESIVQRLFQHKGYEVPHFQTNEQLVYNLEQFLKSLGPSPILIVLDDIWPESESLLDKLKFQIPDYKILATSRSALRRFGSTYKLKPLNDKDAMTIFRSSAFLQDKNISDIDEDLVNKMVKGCKGFPLALKVVGRSLCGEPEEIWKRREMEFSKGRTIFEDDELLNCLLSSLNALDNKIIKECFMDLCAFPEDQRIPVTALIDMWAELYELDENGVFAIANLHELSNRNLIDLSVARKDASGYYNQHFVMQHDLLRELAIHQSSLESFEKTKRLILEVTGNHVPNWWMDQMQPSVCSRLLSISTDEKFSSNWCNLQAAEVEVLVLNSRTKTYNLPEFMNEMKKLKVLIVTKYGFFPTEITNFPFLGAISNLKRIRLKQVSIPSFGFTSAHFKNLQKITLVLCNIGQAFNSTSTIQVSDALPSLVEINIDYCNDLVELPAELCQLAQLQKLSITNCHKLAALPQEIGKLVNLEIVRLSCCIELAELPSTIGSLNKLKFLDISECSEIRKLPEQISDLHDLRKLHMMGCSNEIELPPSILKLEHLKEVICDEEIANLWEPFVDHLKNLEIKVDKEEINLNWLHNCGF >Manes.09G077219.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17911397:17913592:1 gene:Manes.09G077219.v8.1 transcript:Manes.09G077219.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFQNEHCIAFEFAFRGSQEPAINKLKEPILDEITAALVSRFELNFTRQDTASLWFLCKQEASLLDITDQACGLFNPSEVALLEWTDDLEVFILKGYGKSINYRMGVPLLEDVV >Manes.16G048670.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14999593:14999972:-1 gene:Manes.16G048670.v8.1 transcript:Manes.16G048670.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHEIERPDVAVLPLAICYDMSYVREDQVRPYRPWHSWTGYDMRYVGGYW >Manes.07G086800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27580036:27580885:-1 gene:Manes.07G086800.v8.1 transcript:Manes.07G086800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFALNRLCITRSMESTRGATRYFSDGKGRVLSEEERAAENVYIQKMERERLEKLKQKAEKEKAEKEKESADKV >Manes.07G086800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27578331:27581089:-1 gene:Manes.07G086800.v8.1 transcript:Manes.07G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFALNRLCITRSMESTRGATRYFSDGKGRVLSEEERAAENVYIQKMERERLEKLKQKAEKEKAEKEKESADKKTEGKP >Manes.07G017600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2047066:2051403:-1 gene:Manes.07G017600.v8.1 transcript:Manes.07G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSTPLEDPPAASSSQEEESSSGEEDDGEEEEGSSSEEEETQGKPQKPSSQPASQIQTAKPVHKKPDSESESDESDSESDSDDDRPNHARDATVKPIASKPMEETPTKTTKPRSKPSASSPATAKSTAAVKRASESDRDPKDSKRRKKKDSESDGVVEKSEDTKKQLFQRLWSEDDEIAVLKGIIDFTKKKGTDPSKDMTSFHDFIKKSLHFDVSLSQLKDKVWRLKKKFENHVSKGKKGENKTFSKAHDQKSFDLSKKIWGSEAISGRGVDLGVKSNGKAKMNGGNNQRSKSFDTLNAEQCLDVEKETEKVDKMEVETESHSGLKQIVEFDRTASVAGMEEYVVKRGLDMLEGAKKAEMEEKWRQLHVAELELFLKRNELIREQAKLMLAAYKTE >Manes.02G083500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6546280:6547282:-1 gene:Manes.02G083500.v8.1 transcript:Manes.02G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFASSVSFFTLWLISMAICAVAQTPVLDTNGQPLESGVEYYVLPAITDVAGGLTLIDRNDSCPLYVGQEPIAQVVSQGFPVILKPYANEETIIRESRDLTVTFQAFTTCIQSTAWRVGEADPETGRRFIVTAGEADYFAIQSSRGGYNFVWCPTESCPDCGRPRCGSAGILIENNKRLLVLDGPAFPFRFRRA >Manes.02G017900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1654112:1658488:-1 gene:Manes.02G017900.v8.1 transcript:Manes.02G017900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMLGDWPSFDPHNFSQLRPTDPSNPSKMTPATYHPTHSRTLPPPDQVITTEAKNILLRNFYERAEEKLRPKRAASENLIPEHGCKQPRASTSC >Manes.02G017900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1654112:1658488:-1 gene:Manes.02G017900.v8.1 transcript:Manes.02G017900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMLGDWPSFDPHNFSQLRPTDPSNPSKMTPATYHPTHSRTLPPPDQVITTEAKNILLRNFYERAEEKVVETKESCL >Manes.S023216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:260039:260536:1 gene:Manes.S023216.v8.1 transcript:Manes.S023216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.16G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24516825:24528195:-1 gene:Manes.16G062800.v8.1 transcript:Manes.16G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDPDKLIAKADKLTKLSLTRWSADWKSATLLYEQAANGFRIAKKYEKGKAAFEMASKGQEILSSPWDAAKHMESAAALAKELGKWNEVADLYRRASELYVECGRSQPASDALAKAARALEDAVPDVAVQLYNDACDILEEDGKEQMTFDLYRAAAGVYIKLEKYTDAAAVLLRLGLAADKCSATNSQCKAYLGAIIVYLYAHDFKQAEKCCNDCSQIDAFLRSDQNRCASKLLSAYTEGDIEEIKRLAQSSTISHLDNVVIRLARKLPTGDLSAFKTAAAEGEEPLDENDLT >Manes.08G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37749909:37753734:-1 gene:Manes.08G141300.v8.1 transcript:Manes.08G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQLLFFILIGFSLVFVEGAQNIHYDELSTLLSIKSTLIDPSNQLKDWKMPSNGAKNESLHCNWTGVWCNAKGFVEKLVLSNMNLSGSVSDHIQGLLSLSLLDISCNGFASSLPKSLGNLTSLKSIDVSQNNFIGGFPAGLGRASGLSSVNASSNNFSGFLPEDLGNATSLESLDFRGSFFEGSIPTSFKNLQKLKFLGLSGNNLTGKIPKEIGQLSSLETIIIGYNEFEGEIPAELGNLTNLQYLDLAVGTLSGQIPAELGRLQQLTTVYLYKNNFTGEIPPQLGNVTSLQFLDLSNNQISGEIPVEIAELKNLQLLNLMCNQLMGPIPNKLGELTKLEVFELWRNSLTGTLPLNLGQNSPLRWLDASSNSLSGDIPSGLCNSGNLTKLILFNNSFSGSIPVGLSTCQSLVRVRMQNNLISGTIPVGFGSLPILQRLELAHNNLTGEIPDDIALSASLSFIDVSHNHLESSLPYSILSIPNLQTFMAADNNLQGKIPDQFQDCPSLALLDLSTNHLSGTLPGSIASCEKLVNLNLKNNQLTGEIPKTISTMPTLAILNLSNNSLVGQIPKNFGNSPALEMVNLSYNKLEGPVPSNGILVTINPNDLIGNAGLCGGVLPPCSLSSPTSKRRENLRVHHIIIGFIVAISVLLFLAIAFFTGRWLYTRWYLCNSIFYDWFKKSSKEWPWILVAFQRVSFTSSEILACIKESNIIGMGGTGIVYKADVNRPHVAVAVKKLWRTDADIENSDDLFTEVSLLGRLRHRNIVRLLGYLHNETDVMMIYEYMPNGNLWSALHGKEAEKLLVDWVSRYNIAVGVAQGLNYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLARMMVHKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSFGVVLLELLTGKKPLDPEFGESTDVVEWIRRKIRNNRALEEALDTSISGQCKHVQEEMLLVLRIAILCTAKNPKDRPSMRDVITMLGEAKPRRKSICHNGLHNPSQEKQVFSNSPVIGLL >Manes.06G008800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1402276:1422884:1 gene:Manes.06G008800.v8.1 transcript:Manes.06G008800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDDVSLNSKDVQAESKVKDKAVSAPGDVETPDEMEEDNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWSKERYDEIESKMIPFLKSSGYNVKKDVLFLPISGLLGTNMKTRIDKSVCSWWNGPCLFEALDAVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSITEGDSLLVMPNKTQVKVVAVYCDETKVRCAGPGENLRVRLSGIDDEDILAGFVLSSIAKPIASVTEFVAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVSRVQVNNMICIEKFADFPQLGRFTLRTEGKTVAVGKVTGLPSVSS >Manes.06G008800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1402276:1422808:1 gene:Manes.06G008800.v8.1 transcript:Manes.06G008800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIEEEIRSLQLDSAEDNTGVINPEDAKLEEVEKLDRMEEDSKDDVSLNSKDVQAESKVKDKAVSAPGDVETPDEMEEDNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWSKERYDEIESKMIPFLKSSGYNVKKDVLFLPISGLLGTNMKTRIDKSVCSWWNGPCLFEALDAVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSITEGDSLLVMPNKTQVKVVAVYCDETKVRCAGPGENLRVRLSGIDDEDILAGFVLSSIAKPIASVTEFVAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVSRVQVNNMICIEKFADFPQLGRFTLRTEGKTVAVGKVTGLPSVSS >Manes.06G008800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1402276:1422808:1 gene:Manes.06G008800.v8.1 transcript:Manes.06G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLWLLFVLMVDIEEEIRSLQLDSAEDNTGVINPEDAKLEEVEKLDRMEEDSKDDVSLNSKDVQAESKVKDKAVSAPGDVETPDEMEEDNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWSKERYDEIESKMIPFLKSSGYNVKKDVLFLPISGLLGTNMKTRIDKSVCSWWNGPCLFEALDAVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSITEGDSLLVMPNKTQVKVVAVYCDETKVRCAGPGENLRVRLSGIDDEDILAGFVLSSIAKPIASVTEFVAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVSRVQVNNMICIEKFADFPQLGRFTLRTEGKTVAVGKVTGLPSVSS >Manes.06G008800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1402276:1422808:1 gene:Manes.06G008800.v8.1 transcript:Manes.06G008800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEEIRSLQLDSAEDNTGVINPEDAKLEEVEKLDRMEEDSKDDVSLNSKDVQAESKVKDKAVSAPGDVETPDEMEEDNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWSKERYDEIESKMIPFLKSSGYNVKKDVLFLPISGLLGTNMKTRIDKSVCSWWNGPCLFEALDAVEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSITEGDSLLVMPNKTQVKVVAVYCDETKVRCAGPGENLRVRLSGIDDEDILAGFVLSSIAKPIASVTEFVAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVSRVQVNNMICIEKFADFPQLGRFTLRTEGKTVAVGKVTGLPSVSS >Manes.S028552.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2367775:2367909:-1 gene:Manes.S028552.v8.1 transcript:Manes.S028552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.01G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12146907:12148665:1 gene:Manes.01G046100.v8.1 transcript:Manes.01G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERAKPVCAQEALDLLNCVAQSPYDQEKCVLLLQALRECVLNKKVKKFSLADQDQQEGNPVVKEA >Manes.11G082100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14776174:14781052:-1 gene:Manes.11G082100.v8.1 transcript:Manes.11G082100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHIRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKSGRLDELGQQPLDQNEVRRDQNQPPKLTMRDNKQNHRASPIPMMENNTDGDGKIDNKLIDLNARPQRIHGQNSSNQGIDVVSGTNHESASMVPAGSFKREPDK >Manes.11G082100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14776873:14781048:-1 gene:Manes.11G082100.v8.1 transcript:Manes.11G082100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHIRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKSGRLDELGQQPLDQNEVRRDQNQPPKLTMRDNKQNHRASPIPMMENNTDGDGKIDNKLIDLNARPQRIHGQNSSNQGIDVVSGTNHESASMVPAGSFKREPDK >Manes.11G082100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14778517:14780652:-1 gene:Manes.11G082100.v8.1 transcript:Manes.11G082100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHIRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKSGRLDELGQQPLDQNEVRRDQNQPPKLTMRDNKQNHRASPIPMMENNTDGDGKIDNKLIDLNARPQRIHGQNSSNQGIDVVSGTNHESASMVPAGSFKREPDK >Manes.11G082100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14776020:14781087:-1 gene:Manes.11G082100.v8.1 transcript:Manes.11G082100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHIRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKSGRLDELGQQPLDQNEVRRDQNQPPKLTMRDNKQNHRASPIPMMENNTDGDGKIDNKLIDLNARPQRIHGQNSSNQEQGIDVVSGTNHESASMVPAGSFKREPDK >Manes.11G082100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14776020:14781087:-1 gene:Manes.11G082100.v8.1 transcript:Manes.11G082100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHIRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKSGRLDELGQQPLDQNEVRRDQNQPPKLTMRDNKQNHRASPIPMMENNTDGDGKIDNKLIDLNARPQRIHGQNSSNQGIDVVSGTNHESASMVPAGSFKREPDK >Manes.11G082100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14776020:14781048:-1 gene:Manes.11G082100.v8.1 transcript:Manes.11G082100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHIRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKSGRLDELGQQPLDQNEVRRDQNQPPKLTMRDNKQNHRASPIPMMENNTDGDGKIDNKLIDLNARPQRIHGQNSSNQGIDVVSGTNHESASMVPAGSFKREPDK >Manes.11G082100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14776904:14780846:-1 gene:Manes.11G082100.v8.1 transcript:Manes.11G082100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHIRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKSGRLDELGQQPLDQNEVRRDQNQPPKLTMRDNKQNHRASPIPMMENNTDGDGKIDNKLIDLNARPQRIHGQNSSNQEQGIDVVSGTNHESASMVPAGSFKREPDK >Manes.02G177800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14030089:14031288:-1 gene:Manes.02G177800.v8.1 transcript:Manes.02G177800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPINRLPQDSLHQIFSSLPLRQIMICRSVCKFFNQMLTSPSFLDLISAQPPLRFLALRPPHHRHHHHHPHHHHRHHSHLSLLPSLHVYDPEQSQWLRFNLSFLPFRSPHPVASAGGLVYFWGDSPDSIESSKSLIVCNPLTGRFKVLPQLGSAWSRHGAVLVDSENRVMVLMELAALYLSNTNANSWLTFSSNLPSKPRSPILVWNSVFALCDVGSPWRSQWKLFTCKLSSLNYPNNLKTSGTSSSSLSSSSSNQNNWFCLEKHEWADLFDIIKRPRLVRGNGNKLLMVGGLKSTFSLNPSCSTILILRLDLERLEWEEAGRMPVEMYRCFQESSKLKVFGGGDRVCFSAKRVGKLALWVDCGGEKSYWRWIDGAPGGGDGLWRGFVFEARLTALP >Manes.11G071450.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10319192:10320607:-1 gene:Manes.11G071450.v8.1 transcript:Manes.11G071450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNPKAIVPVHGTISLSQTKHSKNSSNPLKAVDLPKIQSSFHGGVHKWFFKTSSEFEIELVHGFENVNPWEVIHKNYPENWYFWPVDILKTQEYYQNILEQSKLVQVKHNFDRNNKNVILYSSLKIRRIIYPLDWPEKSLSTSVQLKSLNRFYPSYNYFDYIDAWKNVLNIQNSTCTHSWLIYFDNSNIKTTTKFPNWFFKWWTFRGITENILSPDVSQLYKYFKQNFNPSQNEKYIPPLMYFCTNFFIPWVYKWFFDFTYITKLNIPIISRKHKIKWWGSFKNSTTEAHIKNLILKKAQFPAISYSSKLTLQEQPSFGAQKAQYQALLAAAKTPEEYKLICESMCKQLDSEGSIKPVKQELATSKSSSSSIKSSGKSSAKISKKKAKSKKKYSSSDSKSTASKTSSTVSGNSNEDDCYGILPAIKIKSKTKYKAEKKERRKKEEKKKLKKKGKGKKKQDTSPSSSSDSD >Manes.13G066800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8524403:8531254:-1 gene:Manes.13G066800.v8.1 transcript:Manes.13G066800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCFHLAFSRSERSLLHGPHSCILSSHPMLVATVISQPVLPVPATLTSLRNPKSEYLRFVFPYRRLRFKVSSSKKPLPELQTPQPDGEKSLVEQKEGGTADLGWLPAFPHALIASMSNFLFGYHIGVMNGPIVSVARELGFEGDPILEGLVVSIFIAGAFIGSISSGLLMDKLGCRRTFQVDTIPLILGAFISAQAHTLDEILWGRFLVGLGIGINTVLVPIYVSEVAPTKYRGLLGSLCQIGTCLGIITSLSLGIPSETDPHWWRTILYIASVPSFIIALGMQFAVDSPRWLCKVGRLDDAKAVIRNVWGPSEVERAIEEFQYVIKNDGSDASSRWLDLLVEPHSRVAFVGGSLFVLQQFAGINGVLYFSSLTFKDVGITSGALASLFVGLTNFAGALYASYLMDKEGRQKLLIGSYLGMAASMFVVACAISFPIDEELSHNLSIIGVLMYIFSFAVGAGPVTGIIIPELSSTKMRGKIMGFSFSVHWVCNFLVGLFFLDVVEIFGVAPVYTGFGIVSLLAAMFANYFIVETKGRSLEEIEMSLNTNLQAKDK >Manes.13G066800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8524532:8531251:-1 gene:Manes.13G066800.v8.1 transcript:Manes.13G066800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCFHLAFSRSERSLLHGPHSCILSSHPMLVATVISQPVLPVPATLTSLRNPKSEYLRFVFPYRRLRFKVSSSKKPLPELQTPQPDGEKSLVEQKEGGTADLGWLPAFPHALIASMSNFLFGYHIGVMNGPIVSVARELGFEGDPILEGLVVSIFIAGAFIGSISSGLLMDKLGCRRTFQVDTIPLILGAFISAQAHTLDEILWGRFLVGLGIGINTVLVPIYVSEVAPTKYRGLLGSLCQIGTCLGIITSLSLGIPSETDPHWWRTILYIASVPSFIIALGMQFAVDSPRWLCKVGRLDDAKAVIRNVWGPSEVERAIEEFQYVIKNDGSDASSRWLDLLVEPHSRVAFVGGSLFVLQQFAGINGVLYFSSLTFKDVGITSGALASLFVGLTNFAGALYASYLMDKEGRQKLLIGSYLGMAASMFVVACAISFPIDEELSHNLSIIGVLMYIFSFAVGAGPVTGIIIPELSSTKMRGKIMGFSFSVHWVCNFLVGLFFLDVVEIFGVAPVYTGFGIVSLLAAMFANYFIVETKGRSLEEIEMSLNTNLQAKDK >Manes.13G066800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8524546:8531251:-1 gene:Manes.13G066800.v8.1 transcript:Manes.13G066800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCFHLAFSRSERSLLHGPHSCILSSHPMLVATVISQPVLPVPATLTSLRNPKSEYLRFVFPYRRLRFKVSSSKKPLPELQTPQPDGEKSLVEQKEGGTADLGWLPAFPHALIASMSNFLFGYHIGVMNGPIVSVARELGFEGDPILEGLVVSIFIAGAFIGSISSGLLMDKLGCRRTFQVDTIPLILGAFISAQAHTLDEILWGRFLVGLGIGINTVLVPIYVSEVAPTKYRGLLGSLCQIGTCLGIITSLSLGIPSETDPHWWRTILYIASVPSFIIALGMQFAVDSPRWLCKVGRLDDAKAVIRNVWGPSEVERAIEEFQYVIKNDGSDASSRWLDLLVEPHSRVAFVGGSLFVLQQFAGINGVLYFSSLTFKDVGITSGALASLFVGLTNFAGALYASYLMDKEGRQKLLIGSYLGMAASMFVVACAISFPIDEELSHNLSIIGVLMYIFSFAVGAGPVTGIIIPELSSTKMRGKIMGFSFSVHWVCNFLVGLFFLDVVEIFGVAPVYTGFGIVSLLAAMFANYFIVETKGRSLEEIEMSLNTNLQAKDK >Manes.13G066800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8524523:8531254:-1 gene:Manes.13G066800.v8.1 transcript:Manes.13G066800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCFHLAFSRSERSLLHGPHSCILSSHPMLVATVISQPVLPVPATLTSLRNPKSEYLRFVFPYRRLRFKVSSSKKPLPELQTPQPDGEKSLVEQKEGGTADLGWLPAFPHALIASMSNFLFGYHIGVMNGPIVSVARELGFEGDPILEGLVVSIFIAGAFIGSISSGLLMDKLGCRRTFQVDTIPLILGAFISAQAHTLDEILWGRFLVGLGIGINTVLVPIYVSEVAPTKYRGLLGSLCQIGTCLGIITSLSLGIPSETDPHWWRTILYIASVPSFIIALGMQFAVDSPRWLCKVGRLDDAKAVIRNVWGPSEVERAIEEFQYVIKNDGSDASSRWLDLLVEPHSRVAFVGGSLFVLQQFAGINGVLYFSSLTFKDVGITSGALASLFVGLTNFAGALYASYLMDKEGRQKLLIGSYLGMAASMFVVACAISFPIDEELSHNLSIIGVLMYAISWWVSFSSTWWRFLGLHQFILALALFPC >Manes.13G066800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8524546:8531251:-1 gene:Manes.13G066800.v8.1 transcript:Manes.13G066800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCFHLAFSRSERSLLHGPHSCILSSHPMLVATVISQPVLPVPATLTSLRNPKSEYLRFVFPYRRLRFKVSSSKKPLPELQTPQPDGEKSLVEQKEGGTADLGWLPAFPHALIASMSNFLFGYHIGVMNGPIVSVARELGFEGDPILEGLVVSIFIAGAFIGSISSGLLMDKLGCRRTFQVDTIPLILGAFISAQAHTLDEILWGRFLVGLGIGINTVLVPIYVSEVAPTKYRGLLGSLCQIGTCLGIITSLSLGIPSETDPHWWRTILYIASVPSFIIALGMQFAVDSPRWLCKVGRLDDAKAVIRNVWGPSEVERAIEEFQYVIKNDGSDASSRWLDLLVEPHSRVAFVGGSLFVLQQFAGINGVLYFSSLTFKDVGITSGALASLFVGLTNFAGALYASYLMDKEGRQKLLIGSYLGMAASMFVVACAISFPIDEELSHNLSIIGVLMYAISWWVSFSSTWWRFLGLHQFILALALFPC >Manes.15G109500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8763018:8763353:-1 gene:Manes.15G109500.v8.1 transcript:Manes.15G109500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSLIIASVAHISADAWQQIARIQLQDRLSSHQLLDLICCFPLQQLGSFALWLWTFLCLPPPDSFYPYSYYSSSSEDDDQPYLPHAFASSSSSSASIDFGEYYHDSNSD >Manes.08G050200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5169632:5173209:1 gene:Manes.08G050200.v8.1 transcript:Manes.08G050200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYIIPGVIGSFAIAYLSDLLIADKKIFGGTTPKTVASNEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRES >Manes.08G050200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5169303:5173540:1 gene:Manes.08G050200.v8.1 transcript:Manes.08G050200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYIIPGVIGSFAIAYLSDLLIADKKIFGGTTPKTVASNEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRES >Manes.08G050200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5169348:5173200:1 gene:Manes.08G050200.v8.1 transcript:Manes.08G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYIIPGVIGSFAIAYLSDLLIADKKIFGGTTPKTVASNEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRES >Manes.08G050200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5169348:5173207:1 gene:Manes.08G050200.v8.1 transcript:Manes.08G050200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYIIPGVIGSFAIAYLSDLLIADKKIFGGTTPKTVASNEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRES >Manes.06G142800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27037693:27041576:1 gene:Manes.06G142800.v8.1 transcript:Manes.06G142800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSNSSRDLLKQPCNCYTVSSLTHTILDTNQTSNLKDRYVLGEQLGWGQFGVIRVCTDKMTGELLACKSIAKDRLVTSDDARSVRLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHQLEKHGRFSEMEARVLFRDLMQVVLYCHEIGVVHRDLKPENILLATKASSSPIKLADFGLATYINPGQNLYGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSNPWDSVTESAKELVRGMLCTDPSQRLTAQQVLDHSWMKDDICAEEPSRCEKQSCEEWDLGGGSFSALMTRSQDVSFGTVSPVVCDIESPTFRCRSSFSSFLVEPSSPCSVSDQFSFCSGGNSNVLEFSSPVYSMPSFAFFSHGPVTEEGCSALDLANNISSSNASHGEVSLEKMFILSDSSLLCGHEAREMDHKPGDVKRSGGAIGSRMAMHSKRNRTIGLGEREQLDFMVTESVIRWASCTHLPTAPSLRSSLVC >Manes.06G142800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27037693:27041576:1 gene:Manes.06G142800.v8.1 transcript:Manes.06G142800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSNSSRDLLKQPCNCYTVSSLTHTILDTNQTSNLKDRYVLGEQLGWGQFGVIRVCTDKMTGELLACKSIAKDRLVTSDDARSVRLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHQLEKHGRFSEMEARVLFRDLMQVVLYCHEIGVVHRDLKPENILLATKASSSPIKLADFGLATYINPGQNLYGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSNPWDSVTESAKELVRGMLCTDPSQRLTAQQVLDHSWMKDDICAEEPSRCEKQSCEEWDLGGGSFSALMTRSQDVSFGTVSPVVCDIESPTFRCRSSFSSFLVEPSSPCSVSDQFSFCSGGNSNVLEFSSPVYSMPSFAFFSHGPVTEEGCSALDLANNISSSNASHGEVSLEKMFILSDSSLLCGHEAREMDHKPGDVKRSGGAIGSRMAMHSKRNRTIGLGEREQLDFMVTESVIRWASCTHLPTAPSLRSSLVC >Manes.05G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5654139:5660953:1 gene:Manes.05G068300.v8.1 transcript:Manes.05G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGNVICEVEGSSNDVLVETKNCIDDGLPPNNHHGDKDDLYTELWHSCAGPLAYVPRAGEKVVYFPQGHMEQVEAYMNQDGPMEMPIYNLPSKIICKVVYVQLKAEAATDEVFAQITLLPEVQQDGLTSKDEENSQPLPRKASALSFSKKLTSSDTSTHGGFSVLKRHAEECLPPMDMSQDPPEQKLFAKDLHGSEWCFRHVYRGQPKRHLLTTGWSTFVTSKKLVAGDEFIFLRGENGELRIGVRRALKLQNNVSTTVISAHSMQHGILATAFHAINTGTMFTVYYRPWTSPSEFIIPFDRYMKSAEIDFNVGTRFRMLFEGEECPEKRIERFEGTIISNEDVDHIRWPISEWRNFKVKWDPTSDGFERPERVSSWNIEPIEPVKRKRIYLQHPSKRSRAMDASLSVFPRMVKDGLFHGPVEHATHNHSEVFQGQEKWDTGVQKMGALKTPLSHLIPQNPSSNNKAVGLGNQLQFSVHVPFFPCPSGTVLFPGGNIERLGLPNCYCPVLSSYGDPENTTRSRNLSVPRANSYNSGLQDLGTSELKDANEVQNAPPNGGGRYMLFGVDLVKSPQELPSPQVATYSDHESLYSVPPMSQSSVSEPSKSISGVNSENQCKNCCSATNQYCTKVLKYGAAGRSVDLSRFDGYNDLICELDQMFEFKGSLIDGSSGWQVTYTDNEGDMMLIGDYPWQEFQCMVRRMFICTKEEIGKLNPNSPSEVSL >Manes.04G153600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34898917:34915845:-1 gene:Manes.04G153600.v8.1 transcript:Manes.04G153600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYNSQDSAERAHAENTLKCFSMNTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRYYLVNYLATRGPELQPFVIASLIQLLCRVTKFGWFDDDRFREVVKESTNFLSQATSDHYAIGLKILNQLVSEMNQPNTGLPSTHHRRVACSFRDQSLYQIFQISLTSLRQLKNDVASRLQELALSLALKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVLEDPSTLQIFFDYYAITTSPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADRDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQSGFPDDLSDDPLDNVELLQDQLDCFPYLCRFQYESSGLYLINTVEPILQSYTEKASVQTTDGDELSVIEAKLAWIVHVIAAILKIKQSTGCSAESQEMLDAELSARVLQLINVTDSGLHSQRYGKRSKQRLDRAILTFFQHFRKSYVGDQAVHSSKQLYSRLSELLGLHDHLVLLNVIVGKIATNLKCYTQSEEVIDHTLNLFLELASGYMTGKLLLKLDAIKFIVANHTREHFPFLEEYRCTRSRTTFYYTIGWLIFMDDSPVKFKSSMEPLLQVFISLESTPDSMFRTDVVKYAVIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPLLLRGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILALPNAADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADVLDIALKMTLSIPLADILAYRKLTRAYFAFLEVLFSSHIVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIADCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILTSQPVDQHQRLSLCFDKLMADVTRSLDSKNRDRFTQNLTVFRHEFRVK >Manes.04G153600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34898917:34915845:-1 gene:Manes.04G153600.v8.1 transcript:Manes.04G153600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYNSQDSAERAHAENTLKCFSMNTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRYYLVNYLATRGPELQPFVIASLIQLLCRVTKFGWFDDDRFREVVKESTNFLSQATSDHYAIGLKILNQLVSEMNQPNTGLPSTHHRRVACSFRDQSLYQIFQISLTSLRQLKNDVASRLQELALSLALKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVLEDPSTLQIFFDYYAITTSPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADRDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQSGFPDDLSDDPLDNVELLQDQLDCFPYLCRFQYESSGLYLINTVEPILQSYTEKASVQTTDGDELSVIEAKLAWIVHVIAAILKIKQSTGCSAESQEMLDAELSARVLQLINVTDSGLHSQRYGKRSKQRLDRAILTFFQHFRKSYVGDQAVHSSKLYSRLSELLGLHDHLVLLNVIVGKIATNLKCYTQSEEVIDHTLNLFLELASGYMTGKLLLKLDAIKFIVANHTREHFPFLEEYRCTRSRTTFYYTIGWLIFMDDSPVKFKSSMEPLLQVFISLESTPDSMFRTDVVKYAVIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPLLLRGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILALPNAADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADVLDIALKMTLSIPLADILAYRKLTRAYFAFLEVLFSSHIVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIADCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILTSQPVDQHQRLSLCFDKLMADVTRSLDSKNRDRFTQNLTVFRHEFRVK >Manes.04G153600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34898917:34915870:-1 gene:Manes.04G153600.v8.1 transcript:Manes.04G153600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYNSQDSAERAHAENTLKCFSMNTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRYYLVNYLATRGPELQPFVIASLIQLLCRVTKFGWFDDDRFREVVKESTNFLSQATSDHYAIGLKILNQLVSEMNQPNTGLPSTHHRRVACSFRDQSLYQIFQISLTSLRQLKNDVASRLQELALSLALKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVLEDPSTLQIFFDYYAITTSPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADRDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQSGFPDDLSDDPLDNVELLQDQLDCFPYLCRFQYESSGLYLINTVEPILQSYTEKASVQTTDGDELSVIEAKLAWIVHVIAAILKIKQSTGCSAESQEMLDAELSARVLQLINVTDSGLHSQRYGKRSKQRLDRAILTFFQHFRKSYVGDQAVHSSKLYSRLSELLGLHDHLVLLNVIVGKIATNLKCYTQSEEVIDHTLNLFLELASGYMTGKLLLKLDAIKFIVANHTREHFPFLEEYRCTRSRTTFYYTIGWLIFMDDSPVKFKSSMEPLLQVFISLESTPDSMFRTDVVKYAVIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPLLLRGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILALPNAADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADVLDIALKMTLSIPLADILAYRKLTRAYFAFLEVLFSSHIVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIADCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILTSQPVDQHQRLSLCFDKLMADVTRSLDSKNRDRFTQNLTVFRHEFRVK >Manes.04G153600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34898917:34915870:-1 gene:Manes.04G153600.v8.1 transcript:Manes.04G153600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYNSQDSAERAHAENTLKCFSMNTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRYYLVNYLATRGPELQPFVIASLIQLLCRVTKFGWFDDDRFREVVKESTNFLSQATSDHYAIGLKILNQLVSEMNQPNTGLPSTHHRRVACSFRDQSLYQIFQISLTSLRQLKNDVASRLQELALSLALKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVLEDPSTLQIFFDYYAITTSPLSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADRDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGDAPSLLDEFVPKITEGFITSRFNSVQSGFPDDLSDDPLDNVELLQDQLDCFPYLCRFQYESSGLYLINTVEPILQSYTEKASVQTTDGDELSVIEAKLAWIVHVIAAILKIKQSTGCSAESQEMLDAELSARVLQLINVTDSGLHSQRYGKRSKQRLDRAILTFFQHFRKSYVGDQAVHSSKQLYSRLSELLGLHDHLVLLNVIVGKIATNLKCYTQSEEVIDHTLNLFLELASGYMTGKLLLKLDAIKFIVANHTREHFPFLEEYRCTRSRTTFYYTIGWLIFMDDSPVKFKSSMEPLLQVFISLESTPDSMFRTDVVKYAVIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPLLLRGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILALPNAADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADVLDIALKMTLSIPLADILAYRKLTRAYFAFLEVLFSSHIVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIADCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILTSQPVDQHQRLSLCFDKLMADVTRSLDSKNRDRFTQNLTVFRHEFRVK >Manes.11G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1158292:1163538:-1 gene:Manes.11G011400.v8.1 transcript:Manes.11G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDEIKDEKNPRPLDEDDIALLKTYGLGPYSNSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Manes.18G145856.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:30048559:30049073:1 gene:Manes.18G145856.v8.1 transcript:Manes.18G145856.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVFIVSSLLFTSFWLPTSAEQCGTQAGGALCPGGLCCSKWGWCGTTSDYCCEGCQSQCNCPNGRRAGILRGGGGDMDEINSEKAFDKILGLKPYGL >Manes.18G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1778245:1782989:1 gene:Manes.18G017200.v8.1 transcript:Manes.18G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRSTGIGNPRLLTPPIFPHPPQRKSSKLTYTTTCSASKWADRLFSDFQFLSATADTSSDLHHSLSSSTAILAPPSPANLAPPERHVPIPLHFYQVLGAETHFLGDGIKRAYEARVSKQPQYGFSQDALISRRQILQAACETLADSKSRIEYNQRLIDDEQDTIITEVPWDKVPGALCVLQEAGEIEVVLEIGDKLLRERLPKSFKQDVVLAMALAYVDMSRDAMALNPPDFIRGCEMLERALKLLQEEGASSLAPDLQAQIDETLEEISPRVVLELLALPLGDEYWMKRAEGLHGVRNILWAVGGGGAAPVAGGFTREDFMIEAFLHMTAAEQVDLFVATPNNIPAERFEVYGVALALVAQAVAGKKPHLIPDADSQFQQLQQMKVSNQGSAVSVYSAEQNHEIDFALERGLCSLLVGELDDCRVWFGLDSESSPYRNPPIVDFVMQNSQGDDDNDLPGLCKLLETWLMEVVFPRFRDTKDIQFKLRDYYDDPTILRYLERQEGLGHSPLAAAAAIVRIGAEATAVIDHVKASAIQALQKVFPVSQKGANTGLEENRGFDYPLPSVEGEAPSQELDANDPAIKGETSGKDSHNEVPEEDLIVERIKDASMKIMCAGVAIGLMTLAGLKYLPARNGSFVRRSEIGSAMASNTINVGFSLDDKSVEEMPRMDTRFAEDMVRKWQNIKSQAFGPDHYLGKLPEVLDGQMLKTWTNRAAEIAQLGWVYEYQLLDLTIDSVTVSLDGQHAVVEATLKESASLIDEVHAENNASNITTYTTRYEMSCSNSGWKITKGAIMM >Manes.18G017200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1778245:1782989:1 gene:Manes.18G017200.v8.1 transcript:Manes.18G017200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRSTGIGNPRLLTPPIFPHPPQRKSSKLTYTTTCSASKWADRLFSDFQFLSATADTSSDLHHSLSSSTAILAPPSPANLAPPERHVPIPLHFYQVLGAETHFLGDGIKRAYEARVSKQPQYGFSQDALISRRQILQAACETLADSKSRIEYNQRLIDDEQDTIITEVPWDKVPGALCVLQEAGEIEVVLEIGDKLLRERLPKSFKQDVVLAMALAYVDMSRDAMALNPPDFIRGCEMLERALKLLQEEGASSLAPDLQAQIDETLEEISPRVVLELLALPLGDEYWMKRAEGLHGVRNILWAVGGGGAAPVAGGFTREDFMIEAFLHMTAAEQVDLFVATPNNIPAERFEVYGVALALVAQAVAGKKPHLIPDADSQFQQLQQMKVSNQGSAVSVYSAEQNHEIDFALERGLCSLLVGELDDCRVWFGLDSESSPYRNPPIVDFVMQNSQGDDDNDLPGLCKLLETWLMEVVFPRFRDTKDIQFKLRDYYDDPTILRYLERQEGLGHSPLAAAAAIVRIGAEATAVIDHVKASAIQALQKVFPVSQKGANTGLEENRGFDYPLPSVEGEAPSQELDANDPAIKGETSGKDSHNEVPEEDLIVERIKDASMKIMCAGVAIGLMTLAGLKYLPARNGSFVRRSEIGSAMASNTINGSL >Manes.15G034600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2707725:2710501:1 gene:Manes.15G034600.v8.1 transcript:Manes.15G034600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTTAPMMNLIYLVVVVLANRSAMDHLAMPPIFLSVHERNLLADTAMIVEKNKNHKRKEDLRNIVADGLSDLGYDSSICKSKWDKSPSHPAGEYEYVDVNIGGERLLIDIDFRSEFEIARSTGAYKAILQSLPYIFVGKWDRLGQILSIVSEAANQSLKKKGMHFPPWRRAEYMRAKWLSPFTRQNDAISNTKPKSGKDECLVATESIDDCGELELIFGEKTAPRDTVSSSPSIISGDEKEKVGLGTWQPPAVKPKSVDRGAKMVTGLASLLKEKP >Manes.15G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2707725:2710501:1 gene:Manes.15G034600.v8.1 transcript:Manes.15G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKIQPIDIDCRPTVPARAEPVKPVLKSRLKRLFDRQFPSVLRISSVEKPNIGEAQCVTKDGGGAEPEFEPSSVCLAKMVQSYIEESNEKPFRGRHRCNCFNGNVNDSSDDEFDIFGGGGFGESISNGSSGDASDILKSLIPCVSVHERNLLADTAMIVEKNKNHKRKEDLRNIVADGLSDLGYDSSICKSKWDKSPSHPAGEYEYVDVNIGGERLLIDIDFRSEFEIARSTGAYKAILQSLPYIFVGKWDRLGQILSIVSEAANQSLKKKGMHFPPWRRAEYMRAKWLSPFTRQNDAISNTKPKSGKDECLVATESIDDCGELELIFGEKTAPRDTVSSSPSIISGDEKEKVGLGTWQPPAVKPKSVDRGAKMVTGLASLLKEKP >Manes.13G148000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36403156:36406916:-1 gene:Manes.13G148000.v8.1 transcript:Manes.13G148000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGVQENGNGEMDVSLGGKNKYKRMDSEFTQDIDDASHHQDRSSSTRKYVLACAIFASLNSVLLGYDVGVMSGAIIFIQEDLNITEVQEEVLVGCLSIVSIFGSLAGGRTSDAIGRKWTMGLAAVVFQCGAAMMALAPSFQILMVGRILAGIGIGFGVMIAPVYIAEISPTIARGSLTSFPEIFINLGILLGYVSNYAFSNLPVHTCWRVMLAVGILPSVFIAVALFIIPESPRWLVMQDRVEEARSVLLKTNENEREVEERLEEIQKAAGNTNGDKYEEKAVWRELLSPSPALRRMLVTGFGIQCFQQITGIDATVYYSPEILKGAGIQDNSKLLAATVAVGISKTVFILVAILLIDKLGRKPLLYLSTVGMTICLFSLAATLTFLGEGHVGIALSILFICANVAFFSVGIGPICWVLTTEIFPLRLRAQAGALGAVGNRVSSGLVAMSFLSISRAISMGGTFFIFSAVSALSVIFVHMLVPETKGKSLEQIEQLFQNEREWQGGEVELGDVEHLVQKE >Manes.13G148000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36403326:36406916:-1 gene:Manes.13G148000.v8.1 transcript:Manes.13G148000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGVQENGNGEMDVSLGGKNKYKRMDSEFTQDIDDASHHQDRSSSTRKYVLACAIFASLNSVLLGYDVGVMSGAIIFIQEDLNITEVQEEVLVGCLSIVSIFGSLAGGRTSDAIGRKWTMGLAAVVFQCGAAMMALAPSFQILMVGRILAGIGIGFGVMIAPVYIAEISPTIARGSLTSFPEIFINLGILLGYVSNYAFSNLPVHTCWRVMLAVGILPSVFIAVALFIIPESPRWLVMQDRVEEARSVLLKTNENEREVEERLEEIQKAAGNTNGDKYEEKAVWRELLSPSPALRRMLVTGFGIQCFQQITGIDATVYYSPEILKGAGIQDNSKLLAATVAVGISKTVFILVAILLIDKLGRKPLLYLSTVGMTICLFSLAATLTFLGEGHVGIALSILFICANVAFFSVGIGPICWVLTTEIFPLRLRAQAGALGAVGNRVSSGLVAMSFLSISRAISMGGTFFIFSAVSALSVIFVHMLVPETKGKSLEQIEQLFQNEREWQGGEVELGDVEHLVQKE >Manes.13G148000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36403156:36406916:-1 gene:Manes.13G148000.v8.1 transcript:Manes.13G148000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGVQENGNGEMDVSLGGKNKYKRMDSEFTQDIDDASHHQDRSSSTRKYVLACAIFASLNSVLLGYDVGVMSGAIIFIQEDLNITEVQEEVLVGCLSIVSIFGSLAGGRTSDAIGRKWTMGLAAVVFQCGAAMMALAPSFQILMVGRILAGIGIGFGVMIAPVYIAEISPTIARGSLTSFPEIFINLGILLGYVSNYAFSNLPVHTCWRVMLAVGILPSVFIAVALFIIPESPRWLVMQDRVEEARSVLLKTNENEREVEERLEEIQKAAGNTNGDKYEEKAVWRELLSPSPALRRMLVTGFGIQCFQQITGIDATVYYSPEILKGAGIQDNSKLLAATVAVGISKTVFILVAILLIDKLGRKPLLYLSTVGMTICLFSLAATLTFLGEGHVGIALSILFICANVAFFSVGIGPICWVLTTEIFPLRLRAQAGALGAVGNRVSSGLVAMSFLSISRAISMGGTFFIFSAVSALSVIFVHMLVPETKGKSLEQIEQLFQNEREWQGGEVELGDVEHLVQKE >Manes.13G148000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36403156:36406916:-1 gene:Manes.13G148000.v8.1 transcript:Manes.13G148000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGVQENGNGEMDVSLGGKNKYKRMDSEFTQDIDDASHHQDRSSSTRKYVLACAIFASLNSVLLGYDVGVMSGAIIFIQEDLNITEVQEEVLVGCLSIVSIFGSLAGGRTSDAIGRKWTMGLAAVVFQCGAAMMALAPSFQILMVGRILAGIGIGFGVMIAPVYIAEISPTIARGSLTSFPEIFINLGILLGYVSNYAFSNLPVHTCWRVMLAVGILPSVFIAVALFIIPESPRWLVMQDRVEEARSVLLKTNENEREVEERLEEIQKAAGNTNGDKYEEKAVWRELLSPSPALRRMLVTGFGIQCFQQITGIDATVYYSPEILKGAGIQDNSKLLAATVAVGISKTVFILVAILLIDKLGRKPLLYLSTVGMTICLFSLAATLTFLGEGHVGIALSILFICANVAFFSVGIGPICWVLTTEIFPLRLRAQAGALGAVGNRVSSGLVAMSFLSISRAISMGGTFFIFSAVSALSVIFVHMLVPETKGKSLEQIEQLFQNEREWQGGEVELGDVEHLVQKE >Manes.01G152800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34045200:34047357:-1 gene:Manes.01G152800.v8.1 transcript:Manes.01G152800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTATIASSLCFHLFMLAILCLHSTEATLPVPAIFIFGDSTFDVGTNNFLKDSQATANFPYNGIDFPYSVPTGRFSNGYNSADQIVRLFGYKRSPSPFLLLLNHGSSFKKRILRGVNFASGGSGILDSTGSQPDVKMVIPLTEQIQQFSTVRDNITALLGPHNTATMLSQSLFLISVGSNDLFQYQRSINMPVQEFLDTLQQTYQNHLQKLYDLDARKFGIVSIPPIGCCPFQRFIGKTGECVKESNDLAQSFYNGTETMLIKMSSQAVGMKYSLGNAYAMTLDIIDNPLVFGLKEVKQACCGNGSFNGGVPCNASANLCSDRQEYLFWDRFHPSEAASRLAALTLFGGEVRYVTPMNFSQLVQAN >Manes.16G113200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31888869:31896924:1 gene:Manes.16G113200.v8.1 transcript:Manes.16G113200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHKLILKTSSSSSSSTKTTAMSTAAATTTASQLLHHTSAFLSESLSQPDLRHYIFSTLRRRASSSNQPTTLKPLNLASETLEKAISSTNLFSRSSSLRLAERLLLSYPETPFSSFLLSLIYTLTQQPTNAAISLLNIFYLDPSLSRSEIAPVLFEELFLVHLLPVLQWFNDQRSSISSSSSSSSMNIGYDSDENLMGDVSVVLPSSKLLSKMSGGQALEFKELERSYEEVLDENCRVFAKFFKQVLENKDENRLITPPSVIIKEVGKVDKLDGSSEDVRIKTEELGFLNGRYNPIWAEGERSIEFCSFSGSSKSKSPPPSFPQRVSVKALKNSNSRTLTTLPTYSDSEIDSSLDDDMINCSSSESEAELEGKNTKLALFEPRQSQTQKQKQPIISDSSSSPDHVMGDTDNPPGAGKHTPPKDFVCPITSHLFDDPVTLETGQTYERRAIQEWLDRGNSTCPITRQKLLSTQLPKTNYVLKRLVASWQEQNPDFVSNQSETTNQKTEPSFKSTTMAPVTSPNSVISQATIDSPMSELRHAITILCTSEILNESEMAVLRIEQFWQEANVDPDVQSMLSKPPVVNGFVEILFNSMDPQVLRATVFLLSELGSRDKGVIQTLTRVESDVECIVALFKKGLLEAVVLIYLLRPSTMSLLEMDMVESLLTVIKKKEDMIKMCLKPKTASVLLLGQIICGSEDSIVSSIVNAIVSTKVLESIAGSLEAEWAEERIAAVGILLRCMQEDGKCRNVIADKSKLGPVLETFMSASDGERFEIVRFFSELVKLNRRTSNEQVLHIIKDEGAFSTMHSFLSYLQTALQEQSPVVAGLLLQLDLLVEPRKMSIYREEAIDILISCLRKSEFPAAQIAAADTIMSLQGRFTASGKSLGRAFLLKCAGLGKSYRNLMRMEQLGKLSGEIEEKLEEEKAAEEWERKMAFALVSHEFGLIFEALAEGLKSRYAELFSACFVSATWLVHMLSVLPDTGIRGAARVCLLKRFITIFKSAKETEDQVLSLLALKSFMNDPEGLRDLSSHMKDIKKGLRELKKSSTLALEVLKVLSEGHDSSAQELWNHEELTQADCSENGEVLSITCFKDRIFSGHSDGTIKVWTGRGSILHLIQEIREHSKAVTSLVVLHSGDRLYSGSLDRTARVWSIGNEEIHCVQVHDMKDQVHNLVVTNSISCFIPQGAGVKVHSWSGGSKLLNGNKYVRCLSLVQGKLYCGCHDSSIQEIDLATGASVTIQNGSRKLLGKANPIHALQVHNGLIYSASSALDGAAVKVWSASSYGLVGSVPTTLEVRAMAISSELIYLGSKGGTVEIWDQKKQNKIETLQTSSDGRVLCIALDGNEDLLVIGTSDGRIQAWGLS >Manes.16G113200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31888869:31896924:1 gene:Manes.16G113200.v8.1 transcript:Manes.16G113200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHKLILKTSSSSSSSTKTTAMSTAAATTTASQLLHHTSAFLSESLSQPDLRHYIFSTLRRRASSSNQPTTLKPLNLASETLEKAISSTNLFSRSSSLRLAERLLLSYPETPFSSFLLSLIYTLTQQPTNAAISLLNIFYLDPSLSRSEIAPVLFEELFLVHLLPVLQWFNDQRSSISSSSSSSSMNIGYDSDENLMGDVSVVLPSSKLLSKMSGGQALEFKELERSYEEVLDENCRVFAKFFKQVLENKDENRLITPPSVIIKEVGKVDKLDGSSEDVRIKTEELGFLNGRYNPIWAEGERSIEFCSFSGSSKSKSPPPSFPQRVSVKALKNSNSRTLTTLPTYSDSEIDSSLDDDMINCSSSESEAELEGKNTKLALFEPRQSQTQKQKQPIISDSSSSPDHVMGDTDNPPGAGKHTPPKDFVCPITSHLFDDPVTLETGQTYERRAIQEWLDRGNSTCPITRQKLLSTQLPKTNYVLKRLVASWQEQNPDFVSNQSETTNQKTEPSFKSTTMAPVTSPNSVISQATIDSPMSELRHAITILCTSEILNESEMAVLRIEQFWQEANVDPDVQSMLSKPPVVNGFVEILFNSMDPQVLRATVFLLSELGSRDKGVIQTLTRVESDVECIVALFKKGLLEAVVLIYLLRPSTMSLLEMDMVESLLTVIKKKEDMIKMCLKPKTASVLLLGQIICGSEDSIVSSIVNAIVSTKVLESIAGSLEAEWAEERIAAVGILLRCMQEDGKCRNVIADKSKLGPVLETFMSASDGERFEIVRFFSELVKLNRRTSNEQVLHIIKDEGAFSTMHSFLSYLQTALQEQSPVVAGLLLQLDLLVEPRKMSIYREEAIDILISCLRKSEFPAAQIAAADTIMSLQGRFTASGKSLGRAFLLKCAGLGKSYRNLMRMEQLGKLSGEIEEKLEEEKAAEEWERKMAFALVSHEFGLIFEALAEGLKSRYAELFSACFVSATWLVHMLSVLPDTGIRGAARVCLLKRFITIFKSAKETEDQVLSLLALKSFMNDPEGLRDLSSHMKDIKKGLRELKKSSTLALEVLKVLSEGHDSSAELWNHEELTQADCSENGEVLSITCFKDRIFSGHSDGTIKVWTGRGSILHLIQEIREHSKAVTSLVVLHSGDRLYSGSLDRTARVWSIGNEEIHCVQVHDMKDQVHNLVVTNSISCFIPQGAGVKVHSWSGGSKLLNGNKYVRCLSLVQGKLYCGCHDSSIQEIDLATGASVTIQNGSRKLLGKANPIHALQVHNGLIYSASSALDGAAVKVWSASSYGLVGSVPTTLEVRAMAISSELIYLGSKGGTVEIWDQKKQNKIETLQTSSDGRVLCIALDGNEDLLVIGTSDGRIQAWGLS >Manes.12G088952.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14334164:14335207:-1 gene:Manes.12G088952.v8.1 transcript:Manes.12G088952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNRSKSKTATFHSTSATKTERKVIEKNRRNQMKTLFSNLNSLLPKQSSKEALPLPDQVDEAINYIKSLEEKLKKSKEKKESLSGRKRSFSNFVSSFESASNLVAPKLEIREMGSSLQIILISGLDNQFIFYDIIHILEDEGVEIPNASFSVSGNSIFHVVHAQMKESDFSYGAAKVTERLNRYINGSTSELELGPELWDFNDLNPETWVF >Manes.18G023100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2235037:2241009:1 gene:Manes.18G023100.v8.1 transcript:Manes.18G023100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFVRELREIRDDISNISIGKFHAKGMRRRGRAHIAPEGESELPCEVIDQSPWANMPPELLHDIIKRVEASETSWPARRDVVACASVCKSWREITKDIVKTPEQCGCLTFPISLKQPGPRDAPIQCFIRRDRATATYLLYLGLSPALSGDTSKLLLAAKKFRRAASTTFRISFVGNDFSQASNNYVGKLKSNFLGTKFTVYDSQPPHPVMQSNCKPGRKIHSGQVSPLAPVRNYNVGTISYELNVLRTRGPRRMQCTMHSIPFSSIEVGESAPTPTEFISSNKQFPPSSISKSKESIIAFSSTSLAESNQPIYTRKSPLILKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPGRNVPAEEQERVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Manes.18G023100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2234982:2241009:1 gene:Manes.18G023100.v8.1 transcript:Manes.18G023100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFVRELREIRDDISNISIGKFHAKGMRRRGRAHIAPEGESELPCEVIDQSPWANMPPELLHDIIKRVEASETSWPARRDVVACASVCKSWREITKDIVKTPEQCGCLTFPISLKQPGPRDAPIQCFIRRDRATATYLLYLGLSPALSGDTSKLLLAAKKFRRAASTTFRISFVGNDFSQASNNYVGKLKSNFLGTKFTVYDSQPPHPVMQSNCKPGRKIHSGQVSPLAPVRNYNVGTISYELNVLRTRGPRRMQCTMHSIPFSSIEVGESAPTPTEFISSNKQFPPSSISKSKESIIAFSSTSLAESNQPIYTRKSPLILKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPGRNVPAEEQERVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Manes.15G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:830546:834356:-1 gene:Manes.15G009100.v8.1 transcript:Manes.15G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESDTIKSQLVLEICSLSTRSLICAHRHPCRPVKSHFIDWYRLLGVEEDADIKLIRKRYHKLALQLHPDKNKHPKAEIAFKLVLQAYSCLSDNAKRRAFNLERWKHLCNECNRVPYMRCNSSSNLYNTSKLQESNPANYSTSSRILQGLKDIRERFKEETKVMENCLKANAALRKGTPLFNTSDHLCESNTRFRSRRESPIFDPSAYLFEGYPHTRNRMYKKTEDV >Manes.07G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3173022:3177059:-1 gene:Manes.07G029000.v8.1 transcript:Manes.07G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSESNSFHLFVRMPKRKPIKILVKSNFQVQFIQQKILVISKIPVTQQKLYYKGEKLEWDKTLEDCSIQNKAWLKLVVELDGESELFQMIHQISSTVCRMYREKSVSRREYCPLAHEMFALLAPERNEQSELLLIAYSVPATLVMFYRSRIKQQKDYARALILSSMHYLLNRPDDFLPQCRLLALEFCKLLRDQVTNEDPVYQSCRTSLKQLVERSRFKIKLFEEPETVLTICMFLDEMTRALCKGLVQIHVSNPSSHIDSLKFPFGEFQAFSCVLRNAIGYIDGDDEGILKWFSAPIKEAFNHLSTDMEGHLHDLLLTAEIFETSGCLCSVSHLYLDILKELNSISQLWESEREQFRLLLKRQQSSLRLILETTTREDDYHWLLEHNDVIDSESRMHLVTMMMIPEEKLLDTEFYKPLIHWSRFLDEEMFEALKNKKLTSPKKLQDWLYKLCLAIFKPQNLLFLACPNDPTKFFPNPELKLEPLHFDCFEFSGKVIALAVMHELQIGVAFHRMFLFQLAEKDISIGDVKDAYPSFYNKKAKECFPDDDQIRNDFVNSISEQISFFRKGFDSVFGKSIVQLLSFKGIELEDLNLVLKGSLNLEFISGEITHASDPLMSQFLKINRQGLNINKSEWRMDRKKTLGGGIFGNVYKGYADGGFFFAIKKIRIKNKEKFDKINQEIDRIQQEVNLLCQLSHPNIVKYYGTEEDKKDVYIFLELVSTGSLRQVYKSFKLKDSQVSYYTNQILEGLKYLHERKVVHRDIKCANILVDEKGCVKITDFGLAKVTELVPLLKSRHGTIDWIAPEVMKKDKEYGVEADIWSLGCTVLEMLTGNYPYSHVNDWDANLELEVEKGTLRNHLPNYSLSENARDFIMKCLQVDPKKRPTASQLLNHPFVKDSGC >Manes.11G108000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25070407:25075075:-1 gene:Manes.11G108000.v8.1 transcript:Manes.11G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYLRENFDVDAKRPSEEALRRWRCAVSVVKNPRRRFRMVADLAKRAEAERKRKKLQEKIRIALYVQKAALHFVDAVNRVEYKLSNDVKEAGFCVGPDELASIVRSHDSKSLGLHGGVEGLARHISVSLNNGIVSTDVPNRQNIYGLNQHAEKPSRSFWMFVWEALHDLTLIILMVCAAVSIGVGIATEGWPKGMYDGLGIILCIFLVVIVTAISDFKQSLQFKVLDKEKKNVLVQVTREGCRQKVSIYDLVVGDIVHLSIGDVVPADGVLISGHSLSVDESSLSGESEPVDLNKKRPFLLSGTKVQDGYGKMLVTAVGMRTEWGRLMATLSETGEDETPLQVKLNGVATIIGKIGLVFAVMTFLVLMGRLVMIKTDNQEITKWSTSDALQVLNFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKQLMRDKALVRHLSACETMGSATCICTDKTGTLTTNHMVVNKIWICEETKLTKQDNILSSISKEVQQILLQSIFQNTASEVAKGKDGKTNILGTPTETAILEFGLHLGGEFKIHRHESHIVKVEPFNSVKKKMSVLVSLPNKNGFRAFCKGASEIILKMCDKVIARDSKPVKMSEEQRKKITGVIDDFASQALRTLCLAFKDMEKPPSGDCIPEDNYTLIAVIGIKDPVRPGVKEAVKSCLEAGIIVRMVTGDNINTAKAIARECGILTNNGLAIEGPDFRKKSPQEMQKIIPKLQVMARSSPSDKHILVTQLRNVFKEVVAVTGDGTNDAPALAQADIGLAMGIAGTEVAKESADVIIMDDNFTTIVNVGRWGRSVYINIQKFVQFQLTVNVVALMINFISACASGDAPLTTVQLLWVNLIMDTLGALALATEPPNDGLMKRPPIGRNVNFITKIMWRNIIGQSIYQIIVLVILQFYGKGVLKLKGSDSTDILNTFIFNTFVFCQVFNEINSRDMVKINVFQKIFDSWVFLGVMVSTVGFQIIMVEILGPFADTVPLSLELWLYSILIGAVSLIVAVVLKCIPIQTDQTNKLAIGHDGYEPLPNGPDMA >Manes.02G076400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5797147:5803831:-1 gene:Manes.02G076400.v8.1 transcript:Manes.02G076400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHILDYLYKKLDEVCLVQGGEEEAYLMVLHILVGSLLPYIEGLDSWLFKGSLDDPFEEMFFYDNRGISVDESEFWEKSYHFRQVQSQKFDVVNSLLNNKKEIGEKDSVSLSMSVKGKEQNNKELQVCPLFIKNIAKSIVSAGKSLQLIRHVPMSYTVVSGKSVDNEIDGFGGSVDDKDPHIRQESIAGLALPEIFSISVAGLIGHGDHISRYLLQDDTCKSEIVHSLLSETVREMVRNGNWERWLTFKCSKNICREVLAKTVSHEKVLNVESTNKDEIGISDVEEECMTAGVVDELPLQSSYCPENPVITVCKNLPDEHKDFWKMLNLPKNFHLPPLNDEVLWESVFGCENGLLSAVNGTNYAFGFQFGKSEYVRSQEDIKLLKLLFPFPTLLPSSQDDICMSELLPFQKNSTLASRVLSWIQSIEPRIMPLPLVIIQECLTVYIKKQVDYIGHLILSKLMKDWRLMDELGVLRAIYLLGSGDILQHFLTVIFGKLDRGETWDDDFELNLILQESIRNSADSMLLSAPESLFVSITKNHGFDSDELPITPTLASTTHKSRSHSFGLDGLDSLKFTYKVPWPLELIANAEAINKYNQVMRFLLKVKRAKYALDKVRRWMWKGRGAVTNSRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWHELCEGMATAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWALIASRINIILGLALDFYSIQQTLSSGGATSAMKARCEMEVDRIEKRFDDCIGFLLRILSFKLNVGQFPHLADLVTRINYNYFYMSDNGSLMTATGSETVSSRLGKVFGARMD >Manes.02G076400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5797147:5803831:-1 gene:Manes.02G076400.v8.1 transcript:Manes.02G076400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAQSCLILGDGIHYATPIISSTRTSEVEVMRGILQMMQGLSSSLFFWDQIKQCFRVARSGIYVTHLSFSSLQNLLNHFLYSATCLQLVQLNVNKLESETHMPTLRAFASAVTQWLKRLRDIALKEEIKICNSDIGVTPTLLGLSSSLSSLCSGAECLLQIVHGAIPQAYFQPNTYIPASEMAVHILDYLYKKLDEVCLVQGGEEEAYLMVLHILVGSLLPYIEGLDSWLFKGSLDDPFEEMFFYDNRGISVDESEFWEKSYHFRQVQSQKFDVVNSLLNNKKEIGEKDSVSLSMSVKGKEQNNKELQVCPLFIKNIAKSIVSAGKSLQLIRHVPMSYTVVSGKSVDNEIDGFGGSVDDKDPHIRQESIAGLALPEIFSISVAGLIGHGDHISRYLLQDDTCKSEIVHSLLSETVREMVRNGNWERWLTFKCSKNICREVLAKTVSHEKVLNVESTNKDEIGISDVEEECMTAGVVDELPLQSSYCPENPVITVCKNLPDEHKDFWKMLNLPKNFHLPPLNDEVLWESVFGCENGLLSAVNGTNYAFGFQFGKSEYVRSQEDIKLLKLLFPFPTLLPSSQDDICMSELLPFQKNSTLASRVLSWIQSIEPRIMPLPLVIIQECLTVYIKKQVDYIGHLILSKLMKDWRLMDELGVLRAIYLLGSGDILQHFLTVIFGKLDRGETWDDDFELNLILQESIRNSADSMLLSAPESLFVSITKNHGFDSDELPITPTLASTTHKSRSHSFGLDGLDSLKFTYKVPWPLELIANAEAINKYNQVMRFLLKVKRAKYALDKVRRWMWKGRGAVTNSRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWHELCEGMATAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWALIASRINIILGLALDFYSIQQTLSSGGATSAMKARCEMEVDRIEKRFDDCIGFLLRILSFKLNVGQFPHLADLVTRINYNYFYMSDNGSLMTATGSETVSSRLGKVFGARMD >Manes.02G076400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5797944:5803831:-1 gene:Manes.02G076400.v8.1 transcript:Manes.02G076400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAQSCLILGDGIHYATPIISSTRTSEVEVMRGILQMMQGLSSSLFFWDQIKQCFRVARSGIYVTHLSFSSLQNLLNHFLYSATCLQLVQLNVNKLESETHMPTLRAFASAVTQWLKRLRDIALKEEIKICNSDIGVTPTLLGLSSSLSSLCSGAECLLQIVHGAIPQAYFQPNTYIPASEMAVHILDYLYKKLDEVCLVQGGEEEAYLMVLHILVGSLLPYIEGLDSWLFKGSLDDPFEEMFFYDNRGISVDESEFWEKSYHFRQVQSQKFDVVNSLLNNKKEIGEKDSVSLSMSVKGKEQNNKELQVCPLFIKNIAKSIVSAGKSLQLIRHVPMSYTVVSGKSVDNEIDGFGGSVDDKDPHIRQESIAGLALPEIFSISVAGLIGHGDHISRYLLQDDTCKSEIVHSLLSETVREMVRNGNWERWLTFKCSKNICREVLAKTVSHEKVLNVESTNKDEIGISDVEEECMTAGVVDELPLQSSYCPENPVITVCKNLPDEHKDFWKMLNLPKNFHLPPLNDEVLWESVFGCENGLLSAVNGTNYAFGFQFGKSEYVRSQEDIKLLKLLFPFPTLLPSSQDDICMSELLPFQKNSTLASRVLSWIQSIEPRIMPLPLVIIQECLTVYIKKQVDYIGHLILSKLMKDWRLMDELGVLRAIYLLGSGDILQHFLTVIFGKLDRGETWDDDFELNLILQESIRNSADSMLLSAPESLFVSITKNHGFDSDELPITPTLASTTHKSRSHSFGLDGLDSLKFTYKVPWPLELIANAEAINKYNQVMRFLLKVKRAKYALDKVRRWMWKGRGAVTNSRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWHELCEGMATAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWALIASRINIILGLALDFYSIQQTLSSGGATSAMKARCEMEVDRIEKRFDDCIGFLLRVFFFSLFHTHTSIHIFYSSFCASILGFFFARLIYKFGWASMMY >Manes.03G183002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30443651:30444479:-1 gene:Manes.03G183002.v8.1 transcript:Manes.03G183002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSNFQIDSCENRRDDEEENWWELWDRRRRMAVAQVLCHVVHAICMLRVYYHTHFDESATSPRDRKRKARTWERKFKSIKEAINDVAEAIREGNAIVERARQHVHSEREVYAELVKIGVERHLRYTAYSFLTQDPSRVRAFFGCPVNERKDFLLQMLYGP >Manes.13G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5624083:5626912:1 gene:Manes.13G049000.v8.1 transcript:Manes.13G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKTMLDLNVLPSESRDQEGTSTQDVQHGEQASQQGQPLPPATIDVEAFDDDVIESSPRAFAEAKSNARRNNAQRTHGSAVVVDVDSGQTTRLTYNNHNKRRRLLPNQTIINCEHYVNLESSGSSTVISESGDNAPSAPSLPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQAKCPTCRKKISVKNLIRVFLPATSSL >Manes.16G008400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:842290:846148:1 gene:Manes.16G008400.v8.1 transcript:Manes.16G008400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIRIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNQVSQFPDAWDSPNLKILLMDTEKSRSSSHPFWEKAMDMRATVLTGMKALQVFHRRDSSRKSYIALSFRFLELEFSQLTNLRTLMLQYYKTVDTTPIGKLKMLEILSLKNCEFLKPFNTIGKLTNPRLLDVEFSSLHVVSSSIFPINAMSTLSRLEELYFLSFDMLRPTKYTFLLFPFLHNFRSFDDLNITVLKTLSRLTTLTTHIQTIPEGFTFPDLKVFKIHWGSRICIRGKEKLINAFLSEVEGFNYLGLCGEFGGGSNITISSLVCMKPLMPRTNFLYLDSLEELKNINPCLLLGGLDALKILVIVNCPSFAYLINAQEFLGRYALLPELEGLCFEDLDTFKALCNGELPPGTSLSMRKLKYLAFFRCPELLNIFTLPNPQQEFEQLPVLEEKGMKNISKGPTELLHLPKLQIVCINGCQKLKVIFPASIAQGLEQLKELELEDCDQLEAIVAEREEEERRIDKVVFSQLIRIRLYKLYNLKAFCMDNLPLKWPSLKELSVDACPKMKTFAASDGNQITPKLKEIKININYIKLDGTNLNIIMKYHNKEEIQAMNN >Manes.10G109706.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27021936:27022275:-1 gene:Manes.10G109706.v8.1 transcript:Manes.10G109706.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMNDADVEIVESNVVIDGSGSVLIISFVCLLQALWNTADVKFIALGNGCFLVNFSREEDYQKDLLDGPWTIIGSYLLVQL >Manes.01G060500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25619746:25621830:-1 gene:Manes.01G060500.v8.1 transcript:Manes.01G060500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLYFCDGSFYHHSSPEMVFSDGDLFFPDPFSPFCDSSTDIFQEVYNNQNPLHNSNSLENFSPNMLSSSPPSQKLENLSLHAGTHLQKFADGSNLGNGYGNFSGMDALGVKTEDSQMGFDSSYNHQLFMPHSYNGAENVAKMMQRSYSSNSFEGKPDFLFQPGFDTLMESPNYQNQALSSPENNFLAGQLRRVCSTGDLQNTRTANATQRFFSSPLATEGSFMEEANFKVGRYSAEERKDRISKYRAKRSQRNFTKTIKYACRKTLADNRPRVRGRFARNDESGEIPKGACSSRHEDADELWFNGIHVEEDDGGIIAGGGGDFIDSFCQAQFQYYSKVGENFPGDNC >Manes.15G016750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1339739:1339888:-1 gene:Manes.15G016750.v8.1 transcript:Manes.15G016750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMCCAGSDDDGGLDLKVILVLIMLAFLVMSICIPPRQSRVYAVYRCC >Manes.07G079532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24628781:24630291:1 gene:Manes.07G079532.v8.1 transcript:Manes.07G079532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNQKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.09G025044.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5025601:5029434:1 gene:Manes.09G025044.v8.1 transcript:Manes.09G025044.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFLFSIAESVLGKLGSLALEEFFLAWGLENDLEKIRESLKVIKAVLLDAEQQLSLNPRIEIWLENLKQVLYDAEDVVDEFKCEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASNVIGRDQARDNIIEMLQHVDGENFSIVPIVGIGGLGKTTLAKLVYNDQRVAILFELKLWVCVSDVFELDKVIIKILKSASPDKKYLDMGIDELQRSLREALNGRKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHGDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDELEWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFFEYYGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERREGISSEMFIKTCCSRFQYLRVLGLSDSSFEELPASIGNLKHLKYLSLWRNSNIKRLPNSICKLQSLQTLLLCHCRNLQELPKDIRCMINLRFLWITTHQKYFPTGGIGCLKSLRFLLITECHNLEHLFEDMQGLKKLRKLVIFGCRRLISLPQSIKCLTTLDTLCIARCENLELRMEEGEETQFSLQRLELRWLPKIVDFPKWLIRGSTNSLKVLKVDKCNNLRELPNCLQNMASHPEVRIINCPKLNNNPLQKAGDRKRRFSVHGFYLYSLNDLLS >Manes.07G094100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29180456:29180851:-1 gene:Manes.07G094100.v8.1 transcript:Manes.07G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPAPKFSILASLCLSIAIFGLSWPVAQSKPEDGVLIQQITSKEGGVCARVTAPTTCPINCFRVDPVCGVDGVTYWCGCADAMCAGTPVAKLGACELENGGSGSLPRQALLLVHLIWLILLGFSLLFGLF >Manes.07G097206.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30158183:30158497:1 gene:Manes.07G097206.v8.1 transcript:Manes.07G097206.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEKQREKVVKVIEIGEIAIGQYLNQKMTIKRPGDTRWSSHYSTLINLFSFVIDVFECENGNDDPQRGEAIELLDVMSHFEFILALFLMRKILEITHNLSQVL >Manes.05G064600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5362112:5365135:-1 gene:Manes.05G064600.v8.1 transcript:Manes.05G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAEGPPEQLKYQTWVFKVSIHCEGCRKKVRKVLQGIDGVYMTQIDSQQHKVTVTGNVDAETLIKKLARSGKHAELWPEKSEKKDKKSGKSMINGEQDSKGSQEIGAGSDDGENDDQNNLKEKSETVAKSDGGNQPLDAEGEQAGGENAAAATTGGGGSGSGGKKKKKKKGGQNNGEHNNDGSGGDDHSSGATVEAKSPTANPNPNPAPPTASMEYVPQHQPAYPYHLPLYYAASPAYGVNYNTAYPSATASYYAPSMHAHLYAHQPPHPPYEPIKRMIHDDHDYDNDGICLIM >Manes.12G141300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34771992:34779610:-1 gene:Manes.12G141300.v8.1 transcript:Manes.12G141300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFTGPIPDTLGKLTKLRFLRLNNNSLSGSIPLSLINITALQVLDLSNNRLSGPVPDNGSFSLFTPISFANNLDLCGPVTGKPCPGSPPFSPPPPFVPTSTAPYPGESSPTGAIAGGVAVGAALLFAAPAIWFAYWKRRKLPEIFFDVPGEEDPDVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEAPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKALLKEKKLEMLVDPDLQKNYVDTEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLVEKWEEWQKVEMVRQQIDLAPSRSSEWILDSTENLHAVELSGPR >Manes.12G141300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34771992:34779610:-1 gene:Manes.12G141300.v8.1 transcript:Manes.12G141300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDGDALHSLRTNLEDPNNVLQSWDPTLVNPCTWFHVTCNYENSVIRVDLGNAALSGQLVPQLGQLKNLQYLELYGNNISGPIPSDLGNLTNLVSLDLYMNSFTGPIPDTLGKLTKLRFLRLNNNSLSGSIPLSLINITALQVLDLSNNRLSGPVPDNGSFSLFTPISFANNLDLCGPVTGKPCPGSPPFSPPPPFVPTSTAPYPGESSPTGAIAGGVAVGAALLFAAPAIWFAYWKRRKLPEIFFDVPGEEDPDVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEAPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKALLKEKKLEMLVDPDLQKNYVDTEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLVEKWEEWQKVEMVRQQIDLAPSRSSEWILDSTENLHAVELSGPR >Manes.12G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34771993:34779610:-1 gene:Manes.12G141300.v8.1 transcript:Manes.12G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNTGFWVLVWMILVVPPFSRISANMEGDALHSLRTNLEDPNNVLQSWDPTLVNPCTWFHVTCNYENSVIRVDLGNAALSGQLVPQLGQLKNLQYLELYGNNISGPIPSDLGNLTNLVSLDLYMNSFTGPIPDTLGKLTKLRFLRLNNNSLSGSIPLSLINITALQVLDLSNNRLSGPVPDNGSFSLFTPISFANNLDLCGPVTGKPCPGSPPFSPPPPFVPTSTAPYPGESSPTGAIAGGVAVGAALLFAAPAIWFAYWKRRKLPEIFFDVPGEEDPDVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEAPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKALLKEKKLEMLVDPDLQKNYVDTEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLVEKWEEWQKVEMVRQQIDLAPSRSSEWILDSTENLHAVELSGPR >Manes.03G003700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:375670:380674:1 gene:Manes.03G003700.v8.1 transcript:Manes.03G003700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQSSRIDLVELKTQIVKKVGAERFKKYFHYLNRFLSQKLSKSDFDKSCFRLLGRENLPLHNQLIRSILKNACQSKIPPPVYESGPTKSAIQIAKGSPGSYGHEQSGSLLPNQNQNVSIWSNGVLPISPRKIRSGMRDRKLRDRPSPLGPTGKVECISHQPTVTEDVGSKVIMNNGELTPCDYQRPTRHLQAVAEQPHNERERLVHWSSEKPTTHSKGQTAFVEDGEEVEQTNHSSFSKSPLVAPLGIPFCSASVGGARKTMPAANSGDFITCYDSGVLSSTEMLRKRMEHIAAAQGVGGVSMECANMLNNMLDVYLKKLIRSCVELVGARSPHDLRKQPIHKQQVQSKVINGMWPSNHLHLQSSSGQIEVMHEQSPRCSISLLDFKVAMELNPQQLGEDWPVLLEKICMHAFED >Manes.03G003700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:375693:380674:1 gene:Manes.03G003700.v8.1 transcript:Manes.03G003700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQSSRIDLVELKTQIVKKVGAERFKKYFHYLNRFLSQKLSKSDFDKSCFRLLGRENLPLHNQLIRSILKNACQSKIPPPVYESGPTKSAIQIAKGSPGSYGHEQSGSLLPNQNQNVSIWSNGVLPISPRKIRSGMRDRKLRDRPSPLGPTGKVECISHQPTVTEDVGSKVIMNNGELTPCDYQRPTRHLQAVAEQPHNERERLVHWSSEKPTTHSKGQTAFVEDGEEVEQTNHSSFSKSPLVAPLGIPFCSASVGGARKTMPAANSGDFITCYDSGVLSSTEMLRKRMEHIAAAQGVGGVSMECANMLNNMLDVYLKKLIRSCVELVGARSPHDLRKQPIHKQQVQSKVINGMWPSNHLHLQSSSGQIEVMHEQSPRCSISLLDFKVAMELNPQQLGEDWPVLLEKICMHAFED >Manes.03G003700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:375684:380674:1 gene:Manes.03G003700.v8.1 transcript:Manes.03G003700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQSSRIDLVELKTQIVKKVGAERFKKYFHYLNRFLSQKLSKSDFDKSCFRLLGRENLPLHNQLIRSILKNACQSKIPPPVYESGPTKSAIQIAKGSPGSYGHEQSGSLLPNQNQNVSIWSNGVLPISPRKIRSGMRDRKLRDRPSPLGPTGKVECISHQPTVTEDVGSKVIMNNGELTPCDYQRPTRHLQAVAEQPHNERERLVHWSSEKPTTHSKGQTAFVEDGEEVEQTNHSSFSKSPLVAPLGIPFCSASVGGARKTMPAANSGDFITCYDSGVLSSTEMLRKRMEHIAAAQGVGGVSMECANMLNNMLDVYLKKLIRSCVELVGARSPHDLRKQPIHKQQVQSKVINGMWPSNHLHLQSSSGQIEVMHEQSPRCSISLLDFKVAMELNPQQLGEDWPVLLEKICMHAFED >Manes.03G003700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:375678:382106:1 gene:Manes.03G003700.v8.1 transcript:Manes.03G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQSSRIDLVELKTQIVKKVGAERFKKYFHYLNRFLSQKLSKSDFDKSCFRLLGRENLPLHNQLIRSILKNACQSKIPPPVYESGPTKSAIQIAKGSPGSYGHEQSGSLLPNQNQNVSIWSNGVLPISPRKIRSGMRDRKLRDRPSPLGPTGKVECISHQPTVTEDVGSKVIMNNGELTPCDYQRPTRHLQAVAEQPHNERERLVHWSSEKPTTHSKGQTAFVEDGEEVEQTNHSSFSKSPLVAPLGIPFCSASVGGARKTMPAANSGDFITCYDSGVLSSTEMLRKRMEHIAAAQGVGGVSMECANMLNNMLDVYLKKLIRSCVELVGARSPHDLRKQPIHKQQVQSKVINGMWPSNHLHLQSSSGQIEVMHEQSPRCSISLLDFKVAMELNPQQLGEDWPVLLEKICMHAFED >Manes.01G204500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37771184:37772864:-1 gene:Manes.01G204500.v8.1 transcript:Manes.01G204500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLAITLLLTLLASLLKAESDSGTVGSFQKGKFSTTYYQVEESDPTSPPKPLLIVTPIIQGTYPVVLFLHGTCLSNSFYTDLLQHVSSHGYIVVAPQLYSCLINGVIPVLPISGPAELELAAQVSNWSPSGLQIVLPENVNGDLNKLSVSGHSRGGKTAFALALGYANTPLEVKISALAGIDPVEGARENSPTQPRILTYVPRSLNISIPVTVIGTGLGNQPVCWLVCPACAPDKMNHQEFFNECKAPAGHFVTADYGHMDMLNDNIDVVGRLTSSLCKSSMKPKEPMRRTVGGIVVAFLKAYFEGESRDYMSIVQAPSVAPVKLAPVQFTEE >Manes.01G204500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37771182:37772864:-1 gene:Manes.01G204500.v8.1 transcript:Manes.01G204500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLAITLLLTLLASLLKAESDSGTVGSFQKGKFSTTYYQVEESDPTSPPKPLLIVTPIIQGTYPVVLFLHGTCLSNSFYTDLLQHVSSHGYIVVAPQLYSCLINGVIPVLPISGPAELELAAQVSNWSPSGLQIVLPENVNGDLNKLSVSGHSRGGKTAFALALGYANTPLEVKISALAGIDPVEGARENSPTQPRILTYVPRSLNISIPVTVIGTGLGNQPVCWLVCPACAPDKMNHQEFFNECKAPAGHFVTADYGHMDMLNDNIDVVGRLTSSLCKSSMKPKEPMRRTVGGIVVAFLKAYFEGESRDYMSIVQAPSVAPVKLAPVQFTEE >Manes.15G108600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8688831:8693531:-1 gene:Manes.15G108600.v8.1 transcript:Manes.15G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKPQPVGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAGQVTKTMLKEEGVGAFYKGLSAGLLRQATYTTARLGSFKILTNKAIEANDGKPLPLYQKALCGLTAGAIGASVGSPADLALIRMQADATLPAAQRRNYTNAFHALYRIVADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFRDSLGFGEAATVLGASSVSGFFASACSLPFDYVKTQIQKMQPDAEGKYPYTGSLDCTMKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLQKSAGL >Manes.17G039900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23180502:23185169:1 gene:Manes.17G039900.v8.1 transcript:Manes.17G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRELYPSQDDLLYEEELLRNPFSLKLWWRYLIARREAPFKKRFIIYERALKALPGSYKLWHTYLTERLDVVRNLPITHSQYETLNNTFERALVTMHKMPRIWIMYLQTLTNQKLITRTRRTFDRALCALPVTQHDRVWELYLRFVSQEGMPIETSLRVYWRYLKYDPSHIEDFIEFLVNSRLWQEAAERLASVLNDDQFYSMKGKTKHTLWVELCDLLTRHAKEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRGLFEKARDIFEEGMATVVTVRDFSVIFDAYSQFEESMVAHKMEKLDLSDEEEDVMEENGALQDEDVRLEVNSKFEKKILSGFWLHDDNDVDLILVLLEYLMDRRPELANSVLLRQNPHNVEQWHRRIKLFEGNPTKQILTYTEAVRTVDPMKALGKPHTLWVAFAKLYENHNDLVNARVIFDKAGQVNYKTVDNLASIWCVLELLRRATAEPSVEVKRRVAADGSEPVQMKVHKSLRLWTFYVDLEEGLGTLESTRAVYEWILDLRIATPQIIINYALLLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVPAAAVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAIPNNEKLEMCKIYIARAAEIFSVPKTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIDRAHRIYVFASQVADPRSDAEFWNEWHEFEVKHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQMMNIDEAKDRLKQAGLPEDEMAALERQLAPVGNSTAAKDNSRRVGFVSAGVESQPDGAMKVNANQEDIELPEESDSEDDEKVEITQKDVPSAVIGGLARKREETEKLEVGDDNTAAKDGGGHLGALERIKRYKRA >Manes.07G069794.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14902794:14921638:1 gene:Manes.07G069794.v8.1 transcript:Manes.07G069794.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLCWNCRGLAILGHYKPDILFLMETKALSSRMEFFHNFLHFDDCFSVNRQGLGGGISLIWKSHVSVSVVDFSSNFIDLVVSEGNVQWRFTSYYGFPESQRRRQSSNLIRENIDICITCPFAKRVWLASSIGWLFPQSASFLAWLCSVLQLVRKEDQAMVVMICWALWQARNDVVWSSKWSSPAAVVYRARTILYDWCNARHVDDSSSNAVPAPPPLHFWVPPLQGFLKANVDAAVFPDGFIGVGGVLRSYDGSFVGACQHRLLGYFSPKTAELITIREVLSWIKRLGYDQIVLESDALTVVKALLSSSTSDFSSFGSLVDDCKSLIAEMNSVSVSFVPRSANSVAHLIARAASTISDRIEWLSTPPQLIVHALMLDFQI >Manes.03G092700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21707172:21714072:-1 gene:Manes.03G092700.v8.1 transcript:Manes.03G092700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLYISSTSFPPLHLPKSPIPFNKILSLPTPRPRFPTSSLSPHRPKAFPMQGILSEAINLIHSSPPTWRSALFNNLLIFIVGSPILVSGLSLSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLSISGVGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSVEGTLAGLLASILLASIGCFMDSCP >Manes.03G092700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21707172:21714072:-1 gene:Manes.03G092700.v8.1 transcript:Manes.03G092700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLYISSTSFPPLHLPKSPIPFNKILSLPTPRPRFPTSSLSPHRPKAFPMQGILSEAINLIHSSPPTWRSALFNNLLIFIVGSPILVSGLSLSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLSISGVGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSVEGTLAGLLASILLASIGCFMGEILAPEAVICVIASQIANFGESIIGASLQEKEGFRWVLLARMLNGALINLEFRLILILSSGWL >Manes.03G092700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21707172:21714072:-1 gene:Manes.03G092700.v8.1 transcript:Manes.03G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLYISSTSFPPLHLPKSPIPFNKILSLPTPRPRFPTSSLSPHRPKAFPMQGILSEAINLIHSSPPTWRSALFNNLLIFIVGSPILVSGLSLSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLSISGVGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSVEGTLAGLLASILLASIGCFMGEILAPEAVICVIASQIANFGESIIGASLQEKEGFRWLNNDVVNVLNISIGCILAVLMQQVILQNLHA >Manes.05G184500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30309743:30312583:1 gene:Manes.05G184500.v8.1 transcript:Manes.05G184500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKENSTNFQPSISPNTCKASTPALHSNFYMHDSGQLHDQSLAENSPEPSSSPAVSEHSGHGISSRRPQNRKKICRVCQRTNLKRQNLTDACTDELYSNFHMHDSRKLCEQSFAENSDSGFAISSRKPQNRKKVCRFCQRKDLKQQNSTNTLKDEPGLIGHAIGFSEKLVEQKNKGLKSKTGNEKQRQRGKSEMPQLSSPASCYSSSCKGIQIKNPEDTEVQSNDGAITSGFNHNGESSKEDWWAEQKGKLQTMGTTDTREQMRMSNRNAKVDQVVESPSSGHCQNLKYIAPLERAMPLSNVANQDNESPMYRYVHGLRIPMSEDGPESHTMRMRKKLSRQITQENPLHDSMTRVKEGIGKLGRSKSSMQSKLEASTAHSSNKNLVHLLHKPTLLDYESPTSQNDDRRMSRKRGISKRPFVGLQKQKTVTYEQESDQSAMSSYTSSYSWTSLPNTEISTSSSNKSRSLANVTSESSIEDSNSSSCESSASYKDVDGDPHRDGPSKMNRSSSSKAIGRLRRFKHKLGLIFHHHHHHHHHHHKEIDTDRDDSNSNRKGQAQSRSMWKHLNTIFHHESKHKVYEKKADGKLRKSAVGKNQVGQFQALVHGTMRHVKNSKKQKLSEDAIRHGHDKSWWEMLQRSHGVKLNNTGRARLQFVSKKPQLRAPKKIT >Manes.05G184500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30309743:30312583:1 gene:Manes.05G184500.v8.1 transcript:Manes.05G184500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLEKDLLALRKLYGLLQTTGDVTLTMDEGMRLLLKNLLDSARDNLLNTKSQIIASTQLHSMQKENSTNFQPSISPNTCKASTPALHSNFYMHDSGQLHDQSLAENSPEPSSSPAVSEHSGHGISSRRPQNRKKICRVCQRTNLKRQNLTDACTDELYSNFHMHDSRKLCEQSFAENSDSGFAISSRKPQNRKKVCRFCQRKDLKQQNSTNTLKDEPGLIGHAIGFSEKLVEQKNKGLKSKTGNEKQRQRGKSEMPQLSSPASCYSSSCKGIQIKNPEDTEGDFSKQVSNSIKLIESRISTLRLSPSCVNPKKIFDRVVQSNDGAITSGFNHNGESSKEDWWAEQKGKLQTMGTTDTREQMRMSNRNAKVDQVVESPSSGHCQNLKYIAPLERAMPLSNVANQDNESPMYRYVHGLRIPMSEDGPESHTMRMRKKLSRQITQENPLHDSMTRVKEGIGKLGRSKSSMQSKLEASTAHSSNKNLVHLLHKPTLLDYESPTSQNDDRRMSRKRGISKRPFVGLQKQKTVTYEQESDQSAMSSYTSSYSWTSLPNTEISTSSSNKSRSLANVTSESSIEDSNSSSCESSASYKDVDGDPHRDGPSKMNRSSSSKAIGRLRRFKHKLGLIFHHHHHHHHHHHKEIDTDRDDSNSNRKGQAQSRSMWKHLNTIFHHESKHKVYEKKADGKLRKSAVGKNQVGQFQALVHGTMRHVKNSKKQKLSEDAIRHGHDKSWWEMLQRSHGVKLNNTGRARLQFVSKKPQLRAPKKIT >Manes.10G113023.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26407743:26411447:-1 gene:Manes.10G113023.v8.1 transcript:Manes.10G113023.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKFHSRQTVECMFISNLISRGETQEIQKKWNETMDSIDQKIQAIIRIPLVRLRLIRIGKQLECEKMHVHGSIQNTGRIHLVSPSHLRPWQIVDKMISVICFYGRYVPSAYTQMENCINEFFSMCPYENKCYLEVIRLSFVPELLVSLYLSPYQVDKAIAAGMIMHILKLSRIVLSKDWRNECARLVLEFCELLRRVSHEDSLYLSCRSALGLLLKNMDIASWLYGEDAKGANCLMKEIFSFVGELGNRLCEDLVSSTESPWNSGPFFGDVCDFKAFLRPLFAVIKRDPSSDPIIKRDYEEAFEFLYATFCKLLRKMDECLLKMQCCFPLNTTWVDVTVWNGWFQHLAILEELHRISEFYEVAERELWMSLRQREFALCVLLVKYLKPTDDIRWLLEHKNEHGYEFRRHLARQMIPKANEDLQRSLQIVIDKYINMEASFIHVEGHQLLPNDLFLQLRVQEGSGAGLNNYRTCYLKEKWFPSIFQAIFNPENALFVACPNDPTRLYPNPAIKPKTLHLQYFQLAGQLIALALIHKVRVGVVFDRTFFLQLAGKTISLEDIRDADPSFYSNCKQIMEMVSKPIVSDALELRFIGGAEYKRSADLCPDGKSIVVNRKNWKIFVDLIIEHRFVTSISKQVSYFTQGLTDVLGDIHLQKFFFHCLDLEDLDWMMQGSGSSVSLYDLKANAASFKYYSDRRRHVIANWEKGRLLGRGSFGSVYEGYAAGGFFFAVKEVQLLDQGNQEIQTKQCIYQIEQEIALLSQFNHPNIVQYYGTDKDEAKLYIFLELVSSGSLAEIYSRYHLKDSQVAAYTRQILHGLKYLHEHNVIHRDIKCANILVNVGGTVRLADFGLAKVTEFNNLIKSCKGTPCWMAPEVVNPKRRGGYGLPADIWSLGCTVLEMLIRRRPYSHLEPAQVLYRIGIGEPPPVPDFLSGLSQDFILKCLQVNPDDRPTAAELLDHPFLRRSSHA >Manes.01G133200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32623478:32630907:1 gene:Manes.01G133200.v8.1 transcript:Manes.01G133200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNYISVTSTAAPISQDSSTTPPQIPNPRQTKVILPKKKPLKWSTGVAPGDYGGPPTTSGLRKYWGGEKEDPLTSDDFIWNKDFMSRMARLVQESDVSSLEPAPVKEESSGFLSLNRVMSLDNLEVDLSKELTQTPKPISEVPIEAATRGSIGKSTKWRLVPTRREQEKWDKATKAATGGSDVMLREARRPQGDPELLAAQSREQYFKLKKKLQILTLGIGGVGLVSAYITYSPEIAASFGAGLIGSLVYIRMLGSSVDSMADGAKGLIKGAIGQPRLLVPVILVMIYNRWNG >Manes.01G133200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32623478:32630907:1 gene:Manes.01G133200.v8.1 transcript:Manes.01G133200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNYISVTSTAAPISQDSSTTPPQIPNPRQTKVILPKKKPLKWSTGVAPGDYGGPPTTSGLRKYWGGEKEDPLTSDDFIWNKDFMSRMARLVQESDVSSLEPAPVKEESSGFLSLNRVMSLDNLEVDLSKELTQTPKPISEVPIEAATRGSIGKSTKWRLVPTRREQEKWDKATKAATGGSDVMLREARRPQGDPELLAAQSREQYFKLKKKLQILTLGIGGVGLVSAYITYSPEIAASFGAGLIGSLVYIRMLGSSVDSMADGAKGLIKGAIGQPRLLVPVILVMIYNRWNG >Manes.01G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32624488:32630951:1 gene:Manes.01G133200.v8.1 transcript:Manes.01G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNYISVTSTAAPISQDSSTTPPQIPNPRQTKVILPKKKPLKWSTGVAPGDYGGPPTTSGLRKYWGGEKEDPLTSDDFIWNKDFMSRMARLVQESDVSSLEPAPVKEESSGFLSLNRVMSLDNLEVDLSKELTQTPKPISEVPIEAATRGSIGKSTKWRLVPTRREQEKWDKATKAATGGSDVMLREARRPQGDPELLAAQSREQYFKLKKKLQILTLGIGGVGLVSAYITYSPEIAASFGAGLIGSLVYIRMLGSSVDSMADGAKGLIKGAIGQPRLLVPVILVMIYNRWNGIIVPDYGFMHLELIPMLVGFFTYKIATFAQAIEDALTVSLKRQV >Manes.01G133200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32623478:32630907:1 gene:Manes.01G133200.v8.1 transcript:Manes.01G133200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNYISVTSTAAPISQDSSTTPPQIPNPRQTKVILPKKKPLKWSTGVAPGDYGGPPTTSGLRKYWGGEKEDPLTSDDFIWNKDFMSRMARLVQESDVSSLEPAPVKEESSGFLSLNRVMSLDNLEVDLSKELTQTPKPISEVPIEAATRGSIGKSTKWRLVPTRREQEKWDKATKAATGGSDVMLREARRPQGDPELLAAQSREQYFKLKKKLQILTLGIGGVGLVSAYITYSPEIAASFGAGLIGSLVYIRMLGSSVDSMADGAKGLIKIIVPDYGFMHLELIPMLVGFFTYKIATFAQAIEDALTVSLKRQV >Manes.01G133200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32623478:32630907:1 gene:Manes.01G133200.v8.1 transcript:Manes.01G133200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNYISVTSTAAPISQDSSTTPPQIPNPRQTKVILPKKKPLKWSTGVAPGDYGGPPTTSGLRKYWGGEKEDPLTSDDFIWNKDFMSRMARLVQESDVSSLEPAPVKEESSGFLSLNRVMSLDNLEVDLSKELTQTPKPISEVPIEAATRGSIGKSTKWRLVPTRREQEKWDKATKAATGGSDVMLREARRPQGDPELLAAQSREQYFKLKKKLQILTLGIGGVGLVSAYITYSPEIAASFGAGLIGSLVYIRMLGSSVDSMADGAKGLIKYA >Manes.01G133200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32624507:32630949:1 gene:Manes.01G133200.v8.1 transcript:Manes.01G133200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNYISVTSTAAPISQDSSTTPPQIPNPRQTKVILPKKKPLKWSTGVAPGDYGGPPTTSGLRKYWGGEKEDPLTSDDFIWNKDFMSRMARLVQESDVSSLEPAPVKEESSGFLSLNRVMSLDNLEVDLSKELTQTPKPISEVPIEAATRGSIGKSTKWRLVPTRREQEKWDKATKAATGGSDVMLREARRPQGDPELLAAQSREQYFKLKKKLQILTLGIGGVGLVSAYITYSPEIAASFGAGLIGSLVYIRMLGSSVDSMADGAKGLIKGAIGQPRLLVPVILVMIYNRWNG >Manes.01G133200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32623478:32630907:1 gene:Manes.01G133200.v8.1 transcript:Manes.01G133200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNYISVTSTAAPISQDSSTTPPQIPNPRQTKVILPKKKPLKWSTGVAPGDYGGPPTTSGLRKYWGGEKEDPLTSDDFIWNKDFMSRMARLVQESDVSSLEPAPVKEESSGFLSLNRVMSLDNLEVDLSKELTQTPKPISEVPIEAATRGSIGKSTKWRLVPTRREQEKWDKATKAATGGSDVMLREARRPQGDPELLAAQSREQYFKLKKKLQILTLGIGGVGLVSAYITYSPEIAASFGAGLIGSLVYIRMLGSSVDSMADGAKGLIKGAIGQPRLLVPVILVMIYNRWNGIIVPDYGFMHLELIPMLVGFFTYKIATFAQAIEDALTVSLKRQV >Manes.01G133200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32626107:32630907:1 gene:Manes.01G133200.v8.1 transcript:Manes.01G133200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNLEVDLSKELTQTPKPISEVPIEAATRGSIGKSTKWRLVPTRREQEKWDKATKAATGGSDVMLREARRPQGDPELLAAQSREQYFKLKKKLQILTLGIGGVGLVSAYITYSPEIAASFGAGLIGSLVYIRMLGSSVDSMADGAKGLIKGAIGQPRLLVPVILVMIYNRWNGIIVPDYGFMHLELIPMLVGFFTYKIATFAQAIEDALTVSLKRQV >Manes.10G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6069714:6073709:1 gene:Manes.10G052500.v8.1 transcript:Manes.10G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGDSATSLAPGFRFHPTDEELVRYYLKRKVTNKPFRFDPIAVVDIYKTEPWDLPDKSKLKSRDLEWYFFSMLDKKYSNGSKTNRATEKGYWKTTGKDRPIRWNFRTVGMKKTLVYHLGRAPRGERTNWVMHEYRLADEDLEKAGVVQDAFVLCRIFQKSGTGPKNGEQYGAPFVEEEWDDDEVVGMLLPGEDMVVADEVVLGDDVCAENDLDQNVDGVSSENAILPVNYYHGETNNYVEASGDLSVDDQKPIIDQGTVQYGSDLPDDQSLFVLPGQYDIDAKSVRHEYIAESSNNANVVEDNFMLDEPFFDAIDNLPFSEGLFLEANDLSNLVEPDSTGDSTIFDVVDEYLNFFDANDDNLTFDPSELLGTDSTATDQAPLSENIKDVNGGTEEVPMATEKLLATYGNTDASSSKQQKPEATKIESDIKYPFMKQASHLLGSIPAPPAFASEFPIKDAALHLNAAQSSSSIHVTAGVIRIENITFGSSGMDWSFSKNANVNVILSFGMLQDNASSTPTSLVPIGSLFTSKTLSLLSQSWFSLMCFWVLILSLSYKIGTCVCTK >Manes.09G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33266169:33270019:1 gene:Manes.09G131100.v8.1 transcript:Manes.09G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETRAATIGTAMVPPLVFKVHRCDPELIIPAKLTPHDLKPLSDIDDQDGLRVQIPLIIFYPYHLSMQRQDPVQLLKQALAEVLVFYYPFAGRVREWPDRKLVVECTGQGILFTEAYADVSLDQFGDMLWPPFPCFEELLFDVPGSSGILNSPLLLIQVTCLKCGGIITAIRMNHTISDAAGLLQFWSAVAEMARGATAPSVLPVWERHLLTARKPPRITCTHHEYDDLVDTQDNISLSDQVKDHRSFFFLLTQISSLRKKHAPPHLSHCSRFEILAACLWRCRTIALQPNPDEEVRIICIVNARSCFKPPIPKGYYGNCIAYSVAKARAEDLSRNPIGYALELVRRAKANVTEEYMRSVADLMVLKGRPRCTMVGSFLVSGVARARFEG >Manes.10G115600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28135033:28137031:1 gene:Manes.10G115600.v8.1 transcript:Manes.10G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKSQPIPILKLLLFGVFLAFFLLFLLRSNISSSKENPSPVSRTFQSKTPNHEEANATNCSPTSGKIPRSLAQALIHYTTSTITPQQTLKEISVTAKILEKKSPCNFLVFGLGHDSLMWSSLNYGGRTIFLEEDEAWISQIRRRFPMLESYHVTYDSKVNQANNLMEVGRGPECTAISDPKFSMCQLALKGLPSEVYEIKWDLIMVDAPTGYYEEAPGRMTAIYTAGMMARNKEEGEETEVFVHDVNREVEETFSKVFLCEGYMKKQEGRLRHFTIPSHRGALERPFCPE >Manes.06G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24599783:24605814:-1 gene:Manes.06G115200.v8.1 transcript:Manes.06G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGYETLRLACLELTKRRRLIKQHRLIAIHRLARVFPFSFPFPFSSPFVLSLCVFSTQMATAVISESKRAPRPGRGGYQAHGMTEEEARVRAIAEIVNTMVELSRKNQTVDLNAIKSAACRKYGLARAPKLVEMIAALPESDRDSLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHGATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFESPSFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGRNVTCPELVGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIAQREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKCLP >Manes.08G164900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39975492:39980461:1 gene:Manes.08G164900.v8.1 transcript:Manes.08G164900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSKSTQGIASLGKRVFTEIWTGNYSASRSAPALTAARSAIHTSVYDKNIDDQVRPSVVPDDVIQQHSNKYWAPHPQTGVFGPATDSHLAAGGEGGFSTSTAKNSVLEETAWFRPTSLEDLEKPNHA >Manes.04G151100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34709587:34716704:1 gene:Manes.04G151100.v8.1 transcript:Manes.04G151100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGLKALVSRRARSCNLVEDSLMGEKDKSHKHDKRKKKAKNASFAFDGDNSTKSVSGPGRVADGTFTRKKFSKHKNTSDPQPLVIRKQVDPETVTYFSEIANLFESSGIDLEERSIICANALEEARGKEFELATDYIISHTLQTLLEGCNVDHLCSFLQGCAKIFPLIAMDRSGSHVAETALKSLAMHLQDNEAYSTIEETLTMICKVIVSNPVDMMSNCYGSHVLRSLLCLCGGVPLDSPEFHGVKPSTILAERLNLRENREVGSDSVQHQQGFPSSLKVLVSEMLKCTREDIKTLLVDQYSSLVLQTALKLLAGKNQELMQIIPILLGCKEENLTEENFINMTAVGEIIELMKETAYSHLMEVILGVAPESLYDKMFSKVFRKSLFELSSHHCGNFVIQALVSHARNQEQMELIWEELGPKFRELLEMGKSGVIASLIAACQRLHIHEHKELIQPFINSLISMEIDHVLQTAKDAGGARVIEAFLSSNASGKQKHRLIMKLRGHFGELAMHSSGSFTIEKCFTASNVSLREAIASDLLEVQTELSKTKQGPYLLRKLDIDRFANRPDQWKSMQASKQSTYKEFYAAFGSDETKPSRSDSFLADASKKTSQPKDIKQMRKEIDFHLASETQKNKKGRFSETGGTSKKAAESTHQIPFLSTDMKGKKRHRKDKPTEVSKKKLKI >Manes.04G151100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34709587:34716704:1 gene:Manes.04G151100.v8.1 transcript:Manes.04G151100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSHVAETALKSLAMHLQDNEAYSTIEETLTMICKVIVSNPVDMMSNCYGSHVLRSLLCLCGGVPLDSPEFHGVKPSTILAERLNLRENREVGSDSVQHQQGFPSSLKVLVSEMLKCTREDIKTLLVDQYSSLVLQTALKLLAGKNQELMQIIPILLGCKEENLTEENFINMTAVGEIIELMKETAYSHLMEVILGVAPESLYDKMFSKVFRKSLFELSSHHCGNFVIQALVSHARNQEQMELIWEELGPKFRELLEMGKSGVIASLIAACQRLHIHEHKCCQALAAAVCLPNESPRCIVPRILFLERYFAFEDKSSWNWPSGIKMHVMGSLILQAVFRFQSELIQPFINSLISMEIDHVLQTAKDAGGARVIEAFLSSNASGKQKHRLIMKLRGHFGELAMHSSGSFTIEKCFTASNVSLREAIASDLLEVQTELSKTKQGPYLLRKLDIDRFANRPDQWKSMQASKQSTYKEFYAAFGSDETKPSRSDSFLADASKKTSQPKDIKQMRKEIDFHLASETQKNKKGRFSETGGTSKKAAESTHQIPFLSTDMKGKKRHRKDKPTEVSKKKLKI >Manes.04G151100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34709587:34716704:1 gene:Manes.04G151100.v8.1 transcript:Manes.04G151100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGLKALVSRRARSCNLVEDSLMGEKDKSHKHDKRKKKAKNASFAFDGDNSTKSVSGPGRVADGTFTRKKFSKHKNTSDPQPLVIRKQVDPETVTYFSEIANLFESSGIDLEERSIICANALEEARGKEFELATDYIISHTLQTLLEGCNVDHLCSFLQGCAKIFPLIAMDRSGSHVAETALKSLAMHLQDNEAYSTIEETLTMICKVIVSNPVDMMSNCYGSHVLRSLLCLCGGVPLDSPEFHGVKPSTILAERLNLRENREVGSDSVQHQQGFPSSLKVLVSEMLKCTREDIKTLLVDQYSSLVLQTALKLLAGKNQELMQIIPILLGCKEENLTEENFINMTAVGEIIELMKETAYSHLMEVILGVAPESLYDKMFSKVFRKSLFELSSHHCGNFVIQALVSHARNQEQMELIWEELGPKFRELLEMGKSGVIASLIAACQRLHIHEHKCCQALAAAVCLPNESPRCIVPRILFLERYFAFEDKSSWNWPSGIKMHVMGSLILQAVFRFQSELIQPFINSLISMEIDHVLQTAKDAGGARVIEAFLSSNASGKQKHRLIMKLRGHFGELAMHSSGSFTIEKCFTASNVSLREAIASDLLEVQTELSKTKQGPYLLRKLDIDRFANRPDQWKSMQASKQSTYKEFYAAFGSDETKPSRSDSFLADASKKTSQPKDIKQMRKEIDFHLASETQKNKKGRFSETGGTSKKAAESTHQIPFLSTDMKGKKRHRKDKPTEVSKKKLKI >Manes.04G151100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34709587:34716704:1 gene:Manes.04G151100.v8.1 transcript:Manes.04G151100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGLKALVSRRARSCNLVEDSLMGEKDKSHKHDKRKKKAKNASFAFDGDNSTKSVSGPGRVADGTFTRKKFSKHKNTSDPQPLVIRKQVDPETVTYFSEIANLFESSGIDLEERSIICANALEEARGKEFELATDYIISHTLQTLLEGCNVDHLCSFLQGCAKIFPLIAMDRSGSHVAETALKSLAMHLQDNEAYSTIEETLTMICKVIVSNPVDMMSNCYGSHVLRSLLCLCGGVPLDSPEFHGVKPSTILAERLNLRENREVGSDSVQHQQGFPSSLKVLVSEMLKCTREDIKTLLVDQYSSLVLQTALKLLAGKNQELMQIIPILLGCKEENLTEENFINMTAVGEIIELMKETAYSHLMEVILGVAPESLYDKMFSKVFRKSLFELSSHHCGNFVIQALVSHARNQEQMELIWEELGPKFRELLEMGKSGVIASLIAACQRLHIHEHKCCQALAAAVCLPNESPRCIVPRILFLERYFAFEDKSSWNWPSGIKMHVMGSLILQAVFRFQSELIQPFINSLISMEIDHVLQTAKDAGGARVIEAFLSSNASGKQKHRLIMKLRGHFGELAMHSSGSFTIEKCFTASNVSLREAIASDLLEVQTELSKTKQGPYLLRKLDIDRFANRPDQWKSMQASKQSTYKEFYAAFGSDETKPSRSDSFLADASKKTSQPKDIKQMRKEIDFHLASETQKNKKGRFSETGGTSKKAAESTHQIPFLSTDMKGKKRHRKDKPTEVSKKKLKI >Manes.04G151100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34709587:34716704:1 gene:Manes.04G151100.v8.1 transcript:Manes.04G151100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSHVAETALKSLAMHLQDNEAYSTIEETLTMICKVIVSNPVDMMSNCYGSHVLRSLLCLCGGVPLDSPEFHGVKPSTILAERLNLRENREVGSDSVQHQQGFPSSLKVLVSEMLKCTREDIKTLLVDQYSSLVLQTALKLLAGKNQELMQIIPILLGCKEENLTEENFINMTAVGEIIELMKETAYSHLMEVILGVAPESLYDKMFSKVFRKSLFELSSHHCGNFVIQALVSHARNQEQMELIWEELGPKFRELLEMGKSGVIASLIAACQRLHIHEHKCCQALAAAVCLPNESPRCIVPRILFLERYFAFEDKSSWNWPSGIKMHVMGSLILQAVFRFQSELIQPFINSLISMEIDHVLQTAKDAGGARVIEAFLSSNASGKQKHRLIMKLRGHFGELAMHSSGSFTIEKCFTASNVSLREAIASDLLEVQTELSKTKQGPYLLRKLDIDRFANRPDQWKSMQASKQSTYKEFYAAFGSDETKPSRSDSFLADASKKTSQPKDIKQMRKEIDFHLASETQKNKKGRFSETGGTSKKAAESTHQIPFLSTDMKGKKRHRKDKPTEVSKKKLKI >Manes.04G151100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34709573:34716704:1 gene:Manes.04G151100.v8.1 transcript:Manes.04G151100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGLKALVSRRARSCNLVEDSLMGEKDKSHKHDKRKKKAKNASFAFDGDNSTKSVSGPGRVADGTFTRKKFSKHKNTSDPQPLVIRKQVDPETVTYFSEIANLFESSGIDLEERSIICANALEEARGKEFELATDYIISHTLQTLLEGCNVDHLCSFLQGCAKIFPLIAMDRSGSHVAETALKSLAMHLQDNEAYSTIEETLTMICKVIVSNPVDMMSNCYGSHVLRSLLCLCGGVPLDSPEFHGVKPSTILAERLNLRENREVGSDSVQHQQGFPSSLKVLVSEMLKCTREDIKTLLVDQYSSLVLQTALKLLAGKNQELMQIIPILLGCKEENLTEENFINMTAVGEIIELMKETAYSHLMEVILGVAPESLYDKMFSKVFRKSLFELSSHHCGNFVIQALVSHARNQEQMELIWEELGPKFRELLEMGKSGVIASLIAACQRLHIHEHKELIQPFINSLISMEIDHVLQTAKDAGGARVIEAFLSSNASGKQKHRLIMKLRGHFGELAMHSSGSFTIEKCFTASNVSLREAIASDLLEVQTELSKTKQGPYLLRKLDIDRFANRPDQWKSMQASKQSTYKEFYAAFGSDETKPSRSDSFLADASKKTSQPKDIKQMRKEIDFHLASETQKNKKGRFSETGGTSKKAAESTHQIPFLSTDMKGKKRHRKDKPTEVSKKKLKI >Manes.04G151100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34709573:34716704:1 gene:Manes.04G151100.v8.1 transcript:Manes.04G151100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSGSHVAETALKSLAMHLQDNEAYSTIEETLTMICKVIVSNPVDMMSNCYGSHVLRSLLCLCGGVPLDSPEFHGVKPSTILAERLNLRENREVGSDSVQHQQGFPSSLKVLVSEMLKCTREDIKTLLVDQYSSLVLQTALKLLAGKNQELMQIIPILLGCKEENLTEENFINMTAVGEIIELMKETAYSHLMEVILGVAPESLYDKMFSKVFRKSLFELSSHHCGNFVIQALVSHARNQEQMELIWEELGPKFRELLEMGKSGVIASLIAACQRLHIHEHKCCQALAAAVCLPNESPRCIVPRILFLERYFAFEDKSSWNWPSGIKMHVMGSLILQAVFRFQSELIQPFINSLISMEIDHVLQTAKDAGGARVIEAFLSSNASGKQKHRLIMKLRGHFGELAMHSSGSFTIEKCFTASNVSLREAIASDLLEVQTELSKTKQGPYLLRKLDIDRFANRPDQWKSMQASKQSTYKEFYAAFGSDETKPSRSDSFLADASKKTSQPKDIKQMRKEIDFHLASETQKNKKGRFSETGGTSKKAAESTHQIPFLSTDMKGKKRHRKDKPTEVSKKKLKI >Manes.04G151100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34709573:34716704:1 gene:Manes.04G151100.v8.1 transcript:Manes.04G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGLKALVSRRARSCNLVEDSLMGEKDKSHKHDKRKKKAKNASFAFDGDNSTKSVSGPGRVADGTFTRKKFSKHKNTSDPQPLVIRKQVDPETVTYFSEIANLFESSGIDLEERSIICANALEEARGKEFELATDYIISHTLQTLLEGCNVDHLCSFLQGCAKIFPLIAMDRSGSHVAETALKSLAMHLQDNEAYSTIEETLTMICKVIVSNPVDMMSNCYGSHVLRSLLCLCGGVPLDSPEFHGVKPSTILAERLNLRENREVGSDSVQHQQGFPSSLKVLVSEMLKCTREDIKTLLVDQYSSLVLQTALKLLAGKNQELMQIIPILLGCKEENLTEENFINMTAVGEIIELMKETAYSHLMEVILGVAPESLYDKMFSKVFRKSLFELSSHHCGNFVIQALVSHARNQEQMELIWEELGPKFRELLEMGKSGVIASLIAACQRLHIHEHKCCQALAAAVCLPNESPRCIVPRILFLERYFAFEDKSSWNWPSGIKMHVMGSLILQAVFRFQSELIQPFINSLISMEIDHVLQTAKDAGGARVIEAFLSSNASGKQKHRLIMKLRGHFGELAMHSSGSFTIEKCFTASNVSLREAIASDLLEVQTELSKTKQGPYLLRKLDIDRFANRPDQWKSMQASKQSTYKEFYAAFGSDETKPSRSDSFLADASKKTSQPKDIKQMRKEIDFHLASETQKNKKGRFSETGGTSKKAAESTHQIPFLSTDMKGKKRHRKDKPTEVSKKKLKI >Manes.18G077018.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7048672:7052357:-1 gene:Manes.18G077018.v8.1 transcript:Manes.18G077018.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRFRTKSLYHFTNICEFPAIFNFGDSNSDTGAMPAAFLPPNPPYGKTYVQMTPERYSDGRLIIDFTAQSLNHPYLSAYLNSMGTNFSRGANFAAAGSTIRIPTSIIPHGISSPFSLDVQLQQFLQFKLKSQLIRKQGGVFATLMPKEELFPKALYTFDIGQNDIGDALLSKLTIEEVNASVPDVVNRFSANIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLIVAFE >Manes.18G077018.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7050211:7052464:-1 gene:Manes.18G077018.v8.1 transcript:Manes.18G077018.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGTNLSFCFLFIAFATILNPIFALKSCEFPAIFNFGDSNSDTGAMPAAFLPPNPPYGKTYVQMTPERYSDGRLIIDFTAQSLNHPYLSAYLNSMGTNFSRGANFAAAGSTIRIPTSIIPHGISSPFSLDVQLQQFLQFKLKSQLIRKQGGVFATLMPKEELFPKALYTFDIGQNDIGDALLSKLTIEEVNASVPDVVNRFSANIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLSMSCMRFNNIAF >Manes.18G077018.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7050441:7052278:-1 gene:Manes.18G077018.v8.1 transcript:Manes.18G077018.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRFRTKSLYHFTNICEFPAIFNFGDSNSDTGAMPAAFLPPNPPYGKTYVQMTPERYSDGRLIIDFTVLNKLSAQSLNHPYLSAYLNSMGTNFSRGANFAAAGSTIRIPTSIIPHGISSPFSLDVQLQQFLQFKLKSQLIRKQGGVFATLMPKEELFPKALYTFDIGQNDIGDALLSKLTIEEVNASVPDVVNRFSANIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLSMSCMRFNNIAF >Manes.18G077018.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7050441:7052357:-1 gene:Manes.18G077018.v8.1 transcript:Manes.18G077018.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRFRTKSLYHFTNICEFPAIFNFGDSNSDTGAMPAAFLPPNPPYGKTYVQMTPERYSDGRLIIDFTAQSLNHPYLSAYLNSMGTNFSRGANFAAAGSTIRIPTSIIPHGISSPFSLDVQLQQFLQFKLKSQLIRKQGGVFATLMPKEELFPKALYTFDIGQNDIGDALLSKLTIEEVNASVPDVVNRFSANIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLSMSCMRFNNIAF >Manes.13G134600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34251609:34257520:-1 gene:Manes.13G134600.v8.1 transcript:Manes.13G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSLSCVKAENPVRILSAKPEWHKAQARFMRSLCMENEGKKSSSASPFGYYRVSMEGDHKIFSFGSDITAAGSPDIFVFRQRYLRSYQLTREEDNSKTISDRMKRWFEEKKKKKKKKREAVTGKSNSNKLASSSRSCAETCFKFLFCFVAKVDVHHQAFQSHAASPRNFSTIPSRLFL >Manes.11G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22317352:22321405:1 gene:Manes.11G099400.v8.1 transcript:Manes.11G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIRKIRPHLLAWLHRSRSGQLLFVRRFSYNDIKRATDSFHRILYSDSNGTAYKAKFRDGDVALVKELKDLNEGKDVFYKQVQFLGRLHHRHLLALKGFSAGPKRLLVFDNIENGSLKEHLNDPLKTPLNWNTRLQIAIGVTSALEYLLLFSNPPAYHVSISSSNIMLDENFTAKLSNVGLPSSVGSYVTVPHASCAEDSMNQNCGNIIFQLGVLILELITGQSSEKGSSDLIQWIQGSHFRNSIQKMIDPDLGNNYDTGELKHLLAVARLCIKSGDSQIFSIPRIFRYLQKKVDIP >Manes.11G099400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22317181:22321405:1 gene:Manes.11G099400.v8.1 transcript:Manes.11G099400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLIRKIRPHLLAWLHRSRSGQLLFVRRFSYNDIKRATDSFHRILYSDSNGTAYKAKFRDGDVALVKELKDLNEGKDVFYKQVQFLGRLHHRHLLALKGFSAGPKRLLVFDNIENGSLKEHLNDPLKTPLNWNTRLQIAIGVTSALEYLLLFSNPPAYHVSISSSNIMLDENFTAKLSNVGLPSSVGSYVTVPHASCAEDSMNQNCGNIIFQLGVLILELITGQSSEKGSSDLIQWIQGSHFRNSIQKMIDPDLGNNYDTGELKHLLAVARLCIKSGDSQIFSIPRIFRYLQKKVDIP >Manes.01G007201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2612608:2613015:1 gene:Manes.01G007201.v8.1 transcript:Manes.01G007201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFCFIFFIFSHIFFFHFLLFSFFYFFIFSLPHSIIFHSFFFDYSFIYFLFSHIYTLTFFFHSFLYLHFLFIFLHLSLIFFSFFLFFLPFFSFSLISPFSLFSLHFYYYFFSIFSFFLFFLLYLFFFPFSLIFSFFR >Manes.08G111700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35113353:35116225:-1 gene:Manes.08G111700.v8.1 transcript:Manes.08G111700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSIITPEDVLESLMNDGTIDALRLKIINQLKANEELKNTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELETPVLEKASRSVWELILDNNGLGKEISETVEKVFCRLSGREPPLFPPQTAETQSSKEKEIKGGEGKGDESEGKKEKPNSNSKKRSYSVMNIEGGGNEVASQTANEISGKPSDPPCQQENSSKSPLQTS >Manes.08G111700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35113353:35116225:-1 gene:Manes.08G111700.v8.1 transcript:Manes.08G111700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSIITPEDVLESLMNDGTIDALRLKIINQLKANEELKNTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELETPVLEKASRSVWELILDNNGLGKEISETVEKVFCRLSGREPPLFPPQTAETQSSKEKEIKGGEGKGDESEGKKEKPNSNSKKRSYSVMNIEGGGNEVASQTANEISGKPSDPPCQQENSSKSPLQTS >Manes.08G111700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35113353:35116294:-1 gene:Manes.08G111700.v8.1 transcript:Manes.08G111700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSIITPEDVLESLMNDGTIDALRLKIINQLKANEELKNTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELETPVLEKASRSVWELILDNNGLGKEISETVEKVFCRLSGREPPLFPPQTAETQSSKEKEIKGGEGKGDESEGKKEKPNSNSKKRSYSVMNIEGGGNEVASQTANEISGKPSDPPCQQENSSKSPLQTS >Manes.08G144500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38641164:38643641:1 gene:Manes.08G144500.v8.1 transcript:Manes.08G144500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGKSTLECSLSTELHSRGKLSYVLDGDNLCHGLNKGLGFSAGDLTEIFADTPKSWMCSRILNYKLALFSVFQISSAGFICTASLISPYRKDWDVCSAMLADANFTVFMNMHLSLCESRDAKGLYKLALAEKIKGFTGTDDPYELPLNCEIEIKEKDGACPTASAMAGQVVSYLEDKGLAQDQ >Manes.S041516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1203505:1208307:1 gene:Manes.S041516.v8.1 transcript:Manes.S041516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSGMETTTSSQPSREGRGRREKSRDILAALEERLARVEAAMSEHREKCEDMDLRISELESKGDVDELRDEMQGALNVAVDLVSKRGDKLEETLRRLREKVDQLDVELGLCKTAVVSGRGASTSGVAANIRYDAPKPKPYSGERSAREIDNFLWTVERYFEAVGILDDEGKIRNVPLYLSDIAMVWWRRRCEDVRRGTCTISTWADFVRELKRQFYPENAESEARAKLRRLQHKEGHIREYVKEFSELLLEIPDMGEKDALFCFLDGLTSWAKLELQRRGVQDLASAIAAAESLIEFQRKNFKGDGERDSPRHHKDSRHVDGEHAKGDEAKREKPRVDKGKEKMGDSPRPPIKCFICEGPHRAFNCPKRNALAALINKMEDEEKEQGGVASMGLLPPKKGDLPKGRVYVEAKVLGKKIKAMVDTGAEKVYMDKGLAEEIGLSYSKHKGYVKGFDQHKVSIAGVARGVDLCIGDFRGKTDIVIVPLEEKLMYLGIDFLKEKGAFLMLHANTMGFMVEGQPLYVPIHREDWVERRISEANFSSNIGAMTLVEGQQGSKGLEQRGRCRNGWGRMSRTEGDTPTNSPKLCGRRPMGVAKRPKEPNCPRINGAGQSMGKNSRRAAGLANSANGAILPAVGVGGSGLAHRQLARRGRPTGANWACGVCRSCGACWSCRTHRGCGALWQRARGTPTGAGRCGERNGGATRRTRRHSCGARRAGAQGRRVLGAQAGARKDRAVAGWPTEQIGPQRWLACGARQSEVRICQRREGSGTCWKAREGSRIIGGAREGARRVWLANENSRGVWLAYENPRRHQNGPVAPRTGWNSPEASRNLQGQQISHQQQGVHELVGQQNPEASQGCEITI >Manes.11G029400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2806825:2809328:-1 gene:Manes.11G029400.v8.1 transcript:Manes.11G029400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQPSTRSEKKFFVKDELADLIQSTSQALNHHRKRKRKDSNLIVDSASPKTKQNRTELKAMDQSSDSTDLISQFPEHIIHHILSLLRCKKDAARTSILSKRWRDIWASYLTLDFDQRKFQKQKKQLYFYSKRRYRRIKKRKDEEMKMKNEIFLNFVDETLQSRIEEKSSIRKFMLHLTSYNLELSDRVDQWIGITTKSNIQELDLHIPTKKNISYCLPQTVFAANTLTALRISGCNLGACAYINMSNLQKLYIKKVHIDEESIQNLILACPLIDDMRLIYCSGLKTLLLSSNRLNRVDIHCCHGLKKVEVKSPSLQSFWYQRKRSRCSKINLAMCKSLKSLTLEDSKMTDDLFQNHLSNFPVLEQLILSKCNALRCITISCHQLKTLALRGCRGLREADIDTPNLLSFEYKGPKVPFSSFDPSGLREAKLHIEPYRLPNDVDSGFSFDKLQTFLRKFDCSKGLKLIVRTKEDIILHEDLRNILVPQVVDFKLEIIKSSTSLEDILDNLLRTWHPYTLSIVSSTTSDFPEQVYKKMADDRGVELSCCKYNSVNNKCWKHFLVKANIENLGDTEIESDWITWLKSSASMVNRLTCLRLTWKCRDNI >Manes.11G029400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2806456:2810213:-1 gene:Manes.11G029400.v8.1 transcript:Manes.11G029400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQPSTRSEKKFFVKDELADLIQSTSQALNHHRKRKRKDSNLIVDSASPKTKQNRTELKAMDQSSDSTDLISQFPEHIIHHILSLLRCKKDAARTSILSKRWRDIWASYLTLDFDQRKFQKQKKQLYFYSKRRYRRIKKRKDEEMKMKNEIFLNFVDETLQSRIEEKSSIRKFMLHLTSYNLELSDRVDQWIGITTKSNIQELDLHIPTKKNISYCLPQTVFAANTLTALRISGCNLGACAYINMSNLQKLYIKKVHIDEESIQNLILACPLIDDMRLIYCSGLKTLLLSSNRLNRVDIHCCHGLKKVEVKSPSLQSFWYQRKRSRCSKINLAMCKSLKSLTLEDSKMTDDLFQNHLSNFPVLEQLILSKCNALRCITISCHQLKTLALRGCRGLREADIDTPNLLSFEYKGPKVPFSSFDPSGLREAKLHIEPYRLPNDVDSGFSFDKLQTFLRKFDCSKGLKLIVRTKEDIILHEDLRNILVPQVVDFKLEIIKSSTSLEDILDNLLRTWHPYTLSIVSSTTSDFPEQVYKKMADDRGVELSCCKYNSVNNKCWKHFLVKANIENLGDTEIESDWITWLKSSASMVNRLTCLRLTWKCRDNI >Manes.11G029400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2806456:2810379:-1 gene:Manes.11G029400.v8.1 transcript:Manes.11G029400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQPSTRSEKKFFVKDELADLIQSTSQALNHHRKRKRKDSNLIVDSASPKTKQNRTELKAMDQSSDSTDLISQFPEHIIHHILSLLRCKKDAARTSILSKRWRDIWASYLTLDFDQRKFQKQKKQLYFYSKRRYRRIKKRKDEEMKMKNEIFLNFVDETLQSRIEEKSSIRKFMLHLTSYNLELSDRVDQWIGITTKSNIQELDLHIPTKKNISYCLPQTVFAANTLTALRISGCNLGACAYINMSNLQKLYIKKVHIDEESIQNLILACPLIDDMRLIYCSGLKTLLLSSNRLNRVDIHCCHGLKKVEVKSPSLQSFWYQRKRSRCSKINLAMCKSLKSLTLEDSKMTDDLFQNHLSNFPVLEQLILSKCNALRCITISCHQLKTLALRGCRGLREADIDTPNLLSFEYKGPKVPFSSFDPSGLREAKLHIEPYRLPNDVDSGFSFDKLQTFLRKFDCSKGLKLIVRTKEDIILHEDLRNILVPQVVDFKLEIIKSSTSLEDILDNLLRTWHPYTLSIVSSTTSDFPEQVYKKMADDRGVELSCCKYNSVNNKCWKHFLVKANIENLGDTEIESDWITWLKSSASMVNRLTCLRLTWKCRDNI >Manes.11G029400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2806832:2810151:-1 gene:Manes.11G029400.v8.1 transcript:Manes.11G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQPSTRSEKKFFVKDELADLIQSTSQALNHHRKRKRKDSNLIVDSASPKTKQNRTELKAMDQSSDSTDLISQFPEHIIHHILSLLRCKKDAARTSILSKRWRDIWASYLTLDFDQRKFQKQKKQLYFYSKRRYRRIKKRKDEEMKMKNEIFLNFVDETLQSRIEEKSSIRKFMLHLTSYNLELSDRVDQWIGITTKSNIQELDLHIPTKKNISYCLPQTVFAANTLTALRISGCNLGACAYINMSNLQKLYIKKVHIDEESIQNLILACPLIDDMRLIYCSGLKTLLLSSNRLNRVDIHCCHGLKKVEVKSPSLQSFWYQRKRSRCSKINLAMCKSLKSLTLEDSKMTDDLFQNHLSNFPVLEQLILSKCNALRCITISCHQLKTLALRGCRGLREADIDTPNLLSFEYKGPKVPFSSFDPSGLREAKLHIEPYRLPNDVDSGFSFDKLQTFLRKFDCSKGLKLIVRTKEDIILHEDLRNILVPQVVDFKLEIIKSSTSLEDILDNLLRTWHPYTLSIVSSTTSDFPEQVYKKMADDRGVELSCCKYNSVNNKCWKHFLVKANIENLGDTEIESDWITWLKSSASMVNRLTCLRLTWKCRDNI >Manes.08G108100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34766284:34771543:1 gene:Manes.08G108100.v8.1 transcript:Manes.08G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDLNTELSKKTDIFGLKVWELIGISVALFIIIILSLLSFCLTSRKKSRRDGKKLSHSQIPTVSKEIKEVRVEQVSANEFAPRDGILLTIHDKTSDKESDKVMVHLGMGKTNNGDNSSQSGSFHHLEKDCGSQSGEEGSSGTVTVYKPSSSSSYHITAPSPLTGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYQGHLINGTPVAVKKILNNVGQAEKEFRAEVEAIGHVRHKNLVRLLGYCIEGTHRILVYEYVNNGNLEQWLHGAMRQHGYLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPAHEVNLVDWLKLMVGSRRSEEVVDPNIEARPSTRALKRALLTALRCVDPDYEKRPKMGQVVRMLESEEYPIPREDRRHRRTQGDSMEIESQKENSDTDHSEHPGSRSESRRK >Manes.04G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8233337:8235253:-1 gene:Manes.04G049900.v8.1 transcript:Manes.04G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGFVVMSFLLTASAAGNFSQYFDITWGDGRVKILENDQLLTLSLDKASGSGFRSKNQYLFGSIDMQLKLVPGNSAGTVTAYYLSSLGSAHDEIDFEFLGNLSGDPYILHTNVYTQGKGNREQQFYLWFDPTQDFHTYSVLWNPQAIIFSVDGIPIREFKNMESNGIEFPKSQPMWIYSSLWNADDWATRGGLVKTDWSQAPFTASYSNFDAQACIWSSPSGSLSCSFNSSDNLWLTQTLNATAQSTVKWVQENYMIYNYCADTNRFPQGLPPECSLA >Manes.08G075695.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16952325:16954718:1 gene:Manes.08G075695.v8.1 transcript:Manes.08G075695.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDDEQLVAPPDSGPKPTPIIQGRAQVVSKNKSTVPLEETKLRVLLEITGGDSSNDRPGLDLVAVLDVSGSMAGEKLAKVKTAMLFVIKKLSPIDRLSVVTFAGDATRLCPLCQITEDSQKFLENLVNGLNADGATNITAGLQTGLKIINDRNLSGGRSVGIMLMSDGEQNQGGDAAQVPVGKVPVHTFGFGVNHDPRVLKAIADNSIEGTFSDVQNTDNLSIAFSQCLAGLLTRVVEDLKLTVTPYEDESTIEQVIAGSYPQSKDDADGSVTVTFGGLYAKEVRKVMVDLLLPAVSKERGADVLDITLSYSFQGRLFEAPPVTLNVSRTGASADERERPEVRNEETRLLTAKMIKEARVMADGNKLDDARDKLVEAQNSLEDVDDESNPLIEMLRSELQQLLKLMKSQEIYEKQGRPFALSSETSHNRQRFAARGDIESLRLFATPRMDKYLEQAKSFDEDPSKPLPSVDEDVKEEIAANPLGPIAGALSFYIQSAIQSLQAIEKIINRGR >Manes.14G001800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1404208:1408093:1 gene:Manes.14G001800.v8.1 transcript:Manes.14G001800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANFYKFGVSQSGGSFYYDHIHADEVHDHGPQIDDYRRPLENSSTMTNVPTGAVNAERERNENMTTQNDHVECLRRHQNAQDFQVIWQDNIDPDNMSYEELLELGETVGTQSRGLSQELISLLPVSKYKCSFFSRRKSRKERCVICQMEYKRGDQRITLPCKHAYHVGCGTRWLSINKACPICYVDVFGGASKP >Manes.14G001800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1402090:1408995:1 gene:Manes.14G001800.v8.1 transcript:Manes.14G001800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNPHMDVQYMNSSYPYNSAGSFMEYFEGLTYEHVNFIFDGASHVQESVYPSMNANFYKFGVSQSGGSFYYDHIHADEVHDHGPQIDDYRRPLENSSTMTNVPTGAVNAERERNENMTTQNDHVECLRRHQNAQDFQELLELGETVGTQSRGLSQELISLLPVSKYKCSFFSRRKSRKERCVICQMEYKRGDQRITLPCKHAYHVGCGTRWLSINKACPICYVDVFGGASKP >Manes.14G001800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1402090:1408995:1 gene:Manes.14G001800.v8.1 transcript:Manes.14G001800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANFYKFGVSQSGGSFYYDHIHADEVHDHGPQIDDYRRPLENSSTMTNVPTGAVNAERERNENMTTQNDHVECLRRHQNAQDFQVIWQDNIDPDNMSYEELLELGETVGTQSRGLSQELISLLPVSKYKCSFFSRRKSRKERCVICQMEYKRGDQRITLPCKHAYHVGCGTRWLSINKACPICYVDVFGGASKP >Manes.14G001800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1402089:1408994:1 gene:Manes.14G001800.v8.1 transcript:Manes.14G001800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNPHMDVQYMNSSYPYNSAGSFMEYFEGLTYEHVNFIFDGASHVQESVYPSMNANFYKFGVSQSGGSFYYDHIHADEVHDHGPQIDDYRRPLENSSTMTNVPTGAVNAERERNENMTTQNDHVECLRRHQNAQDFQVIWQDNIDPDNMSYEELLELGETVGTQSRGLSQELISLLPVSKYKCSFFSRRKSRKERCVICQMEYKRGDQRITLPCKHAYHVGCGTRWLSINKACPICYVDVFGGASKP >Manes.14G001800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1402090:1408994:1 gene:Manes.14G001800.v8.1 transcript:Manes.14G001800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNPHMDVQYMNSSYPYNSAGSFMEYFEGLTYEHVNFIFDGASHVQESVYPSMNANFYKFGVSQSGGSFYYDHIHADEVHDHGPQIDDYRRPLENSSTMTNVPTGAVNAERERNENMTTQNDHVECLRRHQNAQDFQVIWQDNIDPDNMSYEELLELGETVGTQSRGLSQELISLLPVSKYKCSFFSRRKSRKERCVICQMEYKRGDQRITLPCKHAYHVGCGTRWLSINKACPICYVDVFGGASKP >Manes.14G001800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1402090:1408994:1 gene:Manes.14G001800.v8.1 transcript:Manes.14G001800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNPHMDVQYMNSSYPYNSAGSFMEYFEGLTYEHVNFIFDGASHVQESVYPSMNANFYKFGVSQSGGSFYYDHIHADEVHDHGPQIDDYRRPLENSSTMTNVPTGAVNAERERNENMTTQNDHVECLRRHQNAQDFQVIWQDNIDPDNMSYEELLELGETVGTQSRGLSQELISLLPVSKYKCSFFSRRKSRKERCVICQMEYKRGDQRITLPCKHAYHVGCGTRWLSINKACPICYVDVFGGASKP >Manes.01G120401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31671296:31676516:-1 gene:Manes.01G120401.v8.1 transcript:Manes.01G120401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDGEESKEKRDLMSDKSKMMVQTLGDPLTLQSLNHPGMVFVFASLIGTNFRTWHKAIKIALGANEKLEFIKGTITMPDRNFKQFELWRKCNFIVTFWILNSISKDIVDGFIHIVSAHDLWLKITERFGECNGPTIYQLHRKIVQENIFISVYFTRLKRL >Manes.04G096100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30190574:30193900:-1 gene:Manes.04G096100.v8.1 transcript:Manes.04G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEADLKKTELKVSVICCDGCKRKVKKVLQSIEGVLKTEIDTLQPKVTVLGNVDPQILIRKLLKAGKQAELWSQGSQNAGKEKKEAETPITKEKEKPKSDCDQAKSSNSSSNSTDKNKEIKNGGDGNDNKSPKKEQKDTTTCSNVNSSSNPEIVKTEHPLPPIPQPSETKFPSMFQDLGNVCSWNQCYYKVEPYTVAVPYYALPSYTVGPLSPSCYGQEFLNQGRPVFQPPFQGPAVRVGDYFSDENTMGCHVM >Manes.04G096100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30190573:30193900:-1 gene:Manes.04G096100.v8.1 transcript:Manes.04G096100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEADLKTELKVSVICCDGCKRKVKKVLQSIEGVLKTEIDTLQPKVTVLGNVDPQILIRKLLKAGKQAELWSQGSQNAGKEKKEAETPITKEKEKPKSDCDQAKSSNSSSNSTDKNKEIKNGGDGNDNKSPKKEQKDTTTCSNVNSSSNPEIVKTEHPLPPIPQPSETKFPSMFQDLGNVCSWNQCYYKVEPYTVAVPYYALPSYTVGPLSPSCYGQEFLNQGRPVFQPPFQGPAVRVGDYFSDENTMGCHVM >Manes.04G046449.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5285020:5285689:-1 gene:Manes.04G046449.v8.1 transcript:Manes.04G046449.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSSKSTTVLAIFFILMAVFCQVNVEATRMLLEDFASENHLETYSSVYEKAKNTKACWLERLASGPSPKGSGH >Manes.04G064100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24753764:24754474:1 gene:Manes.04G064100.v8.1 transcript:Manes.04G064100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSSDDDVSEDREEKVVSEAETAPAEKPQNEPQLPNSFPTIPPNNQRPSESISSPNPSPSCIEKLPDASLLLNSPSVSFSIGTGTDHASRVAVAMAESESRKRAHGLPSTLPRSKVPKGSLPHTKMHPDTVGGILVPPQLSGR >Manes.04G064100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24753653:24763385:1 gene:Manes.04G064100.v8.1 transcript:Manes.04G064100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSSDDDVSEDREEKVVSEAETAPAEKPQNEPQLPNSFPTIPPNNQRPSESISSPNPSPSCIEKLPDASLLLNSPSVSFSIGTGTDHASRVAVAMAESESRKRAHGLPSTLPRSKVPKGSLPHTKMHPDTVGGILVPPQLSGRSNIVTEDIGKLFVKRQAEPSSQ >Manes.09G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8574515:8576432:1 gene:Manes.09G051000.v8.1 transcript:Manes.09G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIQVHPSPEADPTQQPPVKPTHRRRLTGDGPIAANKRIRIPPICVPAIVHLKRELGLRTDGDTIQWLIHEARPQLVPPTKPPHSRPTPKALNLPKPLCMEYHSEHKLVSYIPVATAGATAVSKPVRATVVQASTVLFDTPATMADKAERLIAGAAAYGSQMVVFPEAFLGGYPSYMATDVDLQKYYASAIDVPGPEVDRLAKIAGKYKVHIVMGVVERDGVYLFSTILFFGSMGQHLGHLRKLMPMASESAVWCSGEKSSLPVYETTIGKVGGLVCWDNKSPLLRTELYAKGVEIYCAPSADAREIWKASMMHIALEGGCFVLSANQCCRGRDCPVPPGNSDSDTSFDEITSPGGSFIVSPSGSILAGPNYQGECLISADLDLAEIARGKTEFSRVGNNLKP >Manes.12G013100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1304594:1307485:-1 gene:Manes.12G013100.v8.1 transcript:Manes.12G013100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVPAQKSTPNSQGNCVRIESPIKEDKVNGGLSMIELNSNLQASSPMPCEGSVRELGKSQEMFFDSQPCLESDIEDFISVNGDFTPLGSISPLYHNSFIKNAEYDESLYIGSAENSMPETSSTDTRKQLIEFFRESFNGDVDNNQNLQDMTETKPMAFYLSSKCINISPYESVNSFICSNGTTPGGYPIHTKEKTAHSACLPNLMRSLSFRERKKRLSPAYSGTH >Manes.02G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7797538:7798978:1 gene:Manes.02G100100.v8.1 transcript:Manes.02G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGSGGGGGGGRGSNQDDQHDHPPTEIPQESWYWYKNEDIPYKGFELWQQQEFLYQRHQNPQQHLYSSAAGLGVGPSRSSINVSDESSSRSAFMMMRSSSGVGVSCQDCGNQAKKDCIHMRCRTCCRSRGLDCPTHVKSTWVPASKRRERQQQLNALQQQQLRGENPKRLSENPCSSSLACIRLPNSASDAGLELGNFPAEVSSPAVFRCVRVSGMEKDDDQYAYQTAVNIGGHLFKGILYDHGPESTYMPATETSSADASGGVQQLNLITAATASAVISPTGGGGGITSASSSAAAAFIDPSSLYPTPLNTYIAGTPFFPNPRS >Manes.02G100100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7797538:7798978:1 gene:Manes.02G100100.v8.1 transcript:Manes.02G100100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGSGGGGGGGRGSNQDDQHDHPPTEIPQESWYWYKNEDIPYKGFELWQQQEFLYQRHQNPQQHLYSSAAGLGVGPSRSSINVSDESSSRSAFMMMRSSSGVGVSCQDCGNQAKKDCIHMRCRTCCRSRGLDCPTHVKSTWVPASKRRERQQQLNALQQQQLRGENPKRLSENPCSSSLACIRLPNSASDAELGNFPAEVSSPAVFRCVRVSGMEKDDDQYAYQTAVNIGGHLFKGILYDHGPESTYMPATETSSADASGGVQQLNLITAATASAVISPTGGGGGITSASSSAAAAFIDPSSLYPTPLNTYIAGTPFFPNPRS >Manes.02G100100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7796597:7799832:1 gene:Manes.02G100100.v8.1 transcript:Manes.02G100100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGSGGGGGGGRGSNQDDQHDHPPTEIPQESWYWYKNEDIPYKGFELWQQQEFLYQRHQNPQQHLYSSAAGLGVGPSRSSINVSDESSSRSAFMMMRSSSGVGVSCQDCGNQAKKDCIHMRCRTCCRSRGLDCPTHVKSTWVPASKRRERQQQLNALQQQQLRGENPKRLSENPCSSSLACIRLPNSASDAELGNFPAEVSSPAVFRCVRVSGMEKDDDQYAYQTAVNIGGHLFKGILYDHGPESTYMPATETSSADASGGVQQLNLITAATASAVISPTGGGGGITSASSSAAAAFIDPSSLYPTPLNTYIAGTPFFPNPRS >Manes.02G100100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7796597:7802439:1 gene:Manes.02G100100.v8.1 transcript:Manes.02G100100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGSGGGGGGGRGSNQDDQHDHPPTEIPQESWYWYKNEDIPYKGFELWQQQEFLYQRHQNPQQHLYSSAAGLGVGPSRSSINVSDESSSRSAFMMMRSSSGVGVSCQDCGNQAKKDCIHMRCRTCCRSRGLDCPTHVKSTWVPASKRRERQQQLNALQQQQLRGENPKRLSENPCSSSLACIRLPNSASDAELGNFPAEVSSPAVFRCVRVSGMEKDDDQYAYQTAVNIGGHLFKGILYDHGPESTYMPATETSSADASGGVQQLNLITAATASAVISPTGGGGGITSASSSAAAAFIDPSSLYPTPLNTYIAENEVLQSMVMN >Manes.02G100100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7796597:7802439:1 gene:Manes.02G100100.v8.1 transcript:Manes.02G100100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGSGGGGGGGRGSNQDDQHDHPPTEIPQESWYWYKNEDIPYKGFELWQQQEFLYQRHQNPQQHLYSSAAGLGVGPSRSSINVSDESSSRSAFMMMRSSSGVGVSCQDCGNQAKKDCIHMRCRTCCRSRGLDCPTHVKSTWVPASKRRERQQQLNALQQQQLRGENPKRLSENPCSSSLACIRLPNSASDAGLELGNFPAEVSSPAVFRCVRVSGMEKDDDQYAYQTAVNIGGHLFKGILYDHGPESTYMPATETSSADASGGVQQLNLITAATASAVISPTGGGGGITSASSSAAAAFIDPSSLYPTPLNTYIAENEVLQSMVMN >Manes.02G100100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7796597:7799832:1 gene:Manes.02G100100.v8.1 transcript:Manes.02G100100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGSGGGGGGGRGSNQDDQHDHPPTEIPQESWYWYKNEDIPYKGFELWQQQEFLYQRHQNPQQHLYSSAAGLGVGPSRSSINVSDESSSRSAFMMMRSSSGVGVSCQDCGNQAKKDCIHMRCRTCCRSRGLDCPTHVKSTWVPASKRRERQQQLNALQQQQLRGENPKRLSENPCSSSLACIRLPNSASDAGLELGNFPAEVSSPAVFRCVRVSGMEKDDDQYAYQTAVNIGGHLFKGILYDHGPESTYMPATETSSADASGGVQQLNLITAATASAVISPTGGGGGITSASSSAAAAFIDPSSLYPTPLNTYIAGTPFFPNPRS >Manes.02G100100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7796597:7799832:1 gene:Manes.02G100100.v8.1 transcript:Manes.02G100100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGSGGGGGGGRGSNQDDQHDHPPTEIPQESWYWYKNEDIPYKGFELWQQQEFLYQRHQNPQQHLYSSAAGLGVGPSRSSINVSDESSSRSAFMMMRSSSGVGVSCQDCGNQAKKDCIHMRCRTCCRSRGLDCPTHVKSTWVPASKRRERQQQLNALQQQQLRGENPKRLSENPCSSSLACIRLPNSASDAEVSSPAVFRCVRVSGMEKDDDQYAYQTAVNIGGHLFKGILYDHGPESTYMPATETSSADASGGVQQLNLITAATASAVISPTGGGGGITSASSSAAAAFIDPSSLYPTPLNTYIAGTPFFPNPRS >Manes.09G050900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8572734:8572922:1 gene:Manes.09G050900.v8.1 transcript:Manes.09G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWSYPPTRKQLAVTVGFLITGASLFWYGAHLSMANIAPQQARAKARKDYIKDRLRKMLDD >Manes.10G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18608151:18615694:-1 gene:Manes.10G079500.v8.1 transcript:Manes.10G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGVPKNATVFCGFGTKIPVNYECEGRTTVTQMLQSPKFMDVMQNCKVPFSAESSCRKCLNAGIVYLHRLVGTSDNITLSTCRDATYAALASQVDDASAVNIAACFFGVQGLNIPPEPSSPSTPTSGPGASPSPLVADSPSQFVLGVTLNDNHHPFHLTLIPGIGIAVTVIAVTMLIVLMVLIRKKHRELEQSEKIDKVSKAFPPPRPMRKFQDGGGPTSMFRKFSYKETKKATDNFNTIIGQGGFGTVYKAQFSDGLVAAVKRMNKVSEQGEDDFRREIELLARLHHRHLVSLRGFCVKKHERFLIYEYMKNGSLKDHLHSPGKPPLSWQTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSISFEPVNTDIRGTPGYMDPEYVVTQELTEKSDVYSYGVVLLEILTARRAIQDNKNLVEWSQIFMASETRLPELVDPRIRDSFDLDQLETIVTIVKWCTQREGQARPSIKQVLRLLYESSDPMHSGFLQAVEDEEYEGSEGRGRSKGKMHRSDVFFHSGDGRYLASSSTSRSYCSRSLLLETGSPQSPTNILSL >Manes.10G079500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18608095:18618378:-1 gene:Manes.10G079500.v8.1 transcript:Manes.10G079500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYARVLLLGLLAFLALQLPLIMADCPLDLSGSNFTLAASLCSNKDDRGKCCRYINAFIAVSVARYANRTSYLGVASNFSDTCLHSISQTMELYGVPKNATVFCGFGTKIPVNYECEGRTTVTQMLQSPKFMDVMQNCKVPFSAESSCRKCLNAGIVYLHRLVGTSDNITLSTCRDATYAALASQVDDASAVNIAACFFGVQGLNIPPEPSSPSTPTSGPGASPSPLVADSPSQFVLGVTLNDNHHPFHLTLIPGIGIAVTVIAVTMLIVLMVLIRKKHRELEQSEKIDKVSKAFPPPRPMRKFQDGGGPTSMFRKFSYKETKKATDNFNTIIGQGGFGTVYKAQFSDGLVAAVKRMNKVSEQGEDDFRREIELLARLHHRHLVSLRGFCVKKHERFLIYEYMKNGSLKDHLHSPGKPPLSWQTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSISFEPVNTDIRGTPGYMDPEYVVTQELTEKSDVYSYGVVLLEILTARRAIQDNKNLVEWSQIFMASETRLPELVDPRIRDSFDLDQLETIVTIVKWCTQREGQARPSIKQVLRLLYESSDPMHSGFLQAVEDEEYEGSEGRGRSKGKMHRSDVFFHSGDGRYLASSSTSRSYCSRSLLLETGSPQSPTNILSL >Manes.10G079500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18608151:18618348:-1 gene:Manes.10G079500.v8.1 transcript:Manes.10G079500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYARVLLLGLLAFLALQLPLIMADCPLDLSGSNFTLAASLCSNKDDRGKCCRYINAFIAVSVARYANRTSYLGVASNFSDTCLHSISQTMELYGVPKNATVFCGFGTKIPVNYECEGRTTVTQMLQSPKFMDVMQNCKVPFSAESSCRKCLNAGIVYLHRLVGTSDNITLSTCRDATYAALASQVDDASAVNIAACFFGVQGLNIPPEPSSPSTPTSGPGASPSPLVADSPSQFVLGVTLNDNHHPFHLTLIPGIGIAVTVIAVTMLIVLMVLIRKKHRELEQSEKIDKVSKAFPPPRPMRKFQDGGGPTSMFRKFSYKETKKATDNFNTIIGQGGFGTVYKAQFSDGLVAAVKRMNKVSEQGEDDFRREIELLARLHHRHLVSLRGFCVKKHERFLIYEYMKNGSLKDHLHSPGKPPLSWQTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSISFEPVNTDIRGTPGYMDPEYVVTQELTEKSDVYSYGVVLLEILTARRAIQDNKNLVEWSQIFMASETRLPELVDPRIRDSFDLDQLETIVTIVKWCTQREGQARPSIKQVLRLLYESSDPMHSGFLQAVEDEEYEGSEGRGRSKGKMHRSDVFFHSGDGRYLASSSTSRSYCSRSLLLETGSPQSPTNILSL >Manes.17G016400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8393092:8421759:-1 gene:Manes.17G016400.v8.1 transcript:Manes.17G016400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMRRWRKLKHIPLVFSHPDRSLHRFLQLSTISTHLNYLNVGCNRRRESLIGLQERYKWNQGFGSGGGNSNDGDSEIRKIRAETNCPRCSKHMDLLFSNRHFPSSNLELDPNQECSSLGGPNSTSSSYQAVNFCPSCKTAYYFRPYKIAPLQGSFIEIGRVTNSKPKNRNSTKQSKDNATQDSPEDYTNAISNGLRASFWDTLRTYAGDPPENLPPPGENVLAVHTPPGPPFAPGVNVIRATEPSGGSGSNGRAAGGGERTGGWGGSNLGKDLPTPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRIYHASVQKGSGAESGTSSIIDDDDNVELDKSNVLLMGPTGSGKTLLAKTLARFVNVPFVISDATALTQAGYVGEDVESILYKLLAAAEFNVQAAQQGIVYIDEVDKITKKSESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFIVGGAFVDLDKTISERRQDASIGFGAPVRANMRAGGVTNAAVTSSLLESAESADLVAYGLIPEFIGRFPVLVSLTALTEDQLVRVLTEPKNALGKQYKKLFSMNAVKLHFTEKALRLIAQKAMAKNTGARGLRAILESILTEAMYEIPDVKTGSDRIDAVVVDEESVGSVNATGCGGKVLRGDGALERYLAAYKLKESAENVEVADAELQEGESDVSSRAMSM >Manes.17G016400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8404157:8421759:-1 gene:Manes.17G016400.v8.1 transcript:Manes.17G016400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMRRWRKLKHIPLVFSHPDRSLHRFLQLSTISTHLNYLNVGCNRRRESLIGLQERYKWNQGFGSGGGNSNDGDSEIRKIRAETNCPRCSKHMDLLFSNRHFPSSNLELDPNQECSSLGGPNSTSSSYQAVNFCPSCKTAYYFRPYKIAPLQGSFIEIGRVTNSKPKNRNSTKQSKDNATQDSPEDYTNAISNGLRASFWDTLRTYAGDPPENLPPPGENVLAVHTPPGPPFAPGVNVIRATEPSGGSGSNGRAAGGGERTGGWGGSNLGKDLPTPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRIYHASVQKGSGAESGTSSIIDDDDNVELDKSNVLLMGPTGSGKTLLAKTLARFVNVPFVISDATALTQAGYVGEDVESILYKLLAAAEFNVQAAQQGIVYIDEVDKITKKSESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFIVGGAFVDLDKTISERRQDASIGFGAPVRANMRAG >Manes.17G016400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8404157:8421759:-1 gene:Manes.17G016400.v8.1 transcript:Manes.17G016400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMRRWRKLKHIPLVFSHPDRSLHRFLQLSTISTHLNYLNVGCNRRRESLIGLQERYKWNQGFGSGGGNSNDGDSEIRKIRAETNCPRCSKHMDLLFSNRHFPSSNLELDPNQECSSLGGPNSTSSSYQAVNFCPSCKTAYYFRPYKIAPLQGSFIEIGRVTNSKPKNRNSTKQSKDNATQDSPEDYTNAISNGLRASFWDTLRTYAGDPPENLPPPGENVLAVHTPPGPPFAPGVNVIRATEPSGGSGSNGRAAGGGERTGGWGGSNLGKDLPTPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRIYHASVQKGSGAESGTSSIIDDDDNVELDKSNVLLMGPTGSGKTLLAKTLARFVNVPFVISDATALTQAGYVGEDVESILYKLLAAAEFNVQAAQQGIVYIDEVDKITKKSESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFIVGGAFVDLDKTISERRQDASIGFGAPVRANMRAGGVTNAAVTSSLLESAESADLVAYGLIPEFIGRFPVLVSLTALTEDQLVRDLRTGKRIGNGRLHDGLYMLKGDSGSSISQACFGENKNVN >Manes.10G117400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28264964:28273141:1 gene:Manes.10G117400.v8.1 transcript:Manes.10G117400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAELAIASIGCGYDITADIRLKYCKRGANNSQLIEIDEGGGREIVLPGGIVIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKSLAFDGVSITLYTVALEKSQVVLCDHVKKSVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVIYVKQQHSSILQPADLQKILKEMADKRFLDTSGQYSMASEQVYQNNKLETREQRLRFADASSSSSYSHKEDIVRIYKRRGGSDTKVLSHSDWLQTVQFEPDVISLSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQNTASLQFSLMGPKLFVNTSPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPNIFQLADDPHGNFSQESYDHKYYEKVQWKNFSHVCTAPVESYEELSIVTGAQLQVENYGFKNILFLRLRFSTVLGAASVKHPEWDGSPGLAPKSGLISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVRYSLLTMVLPDEDLEAER >Manes.10G117400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28264956:28273141:1 gene:Manes.10G117400.v8.1 transcript:Manes.10G117400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAELAIASIGCGYDITADIRLKYCKRGANNSQLIEIDEGGGREIVLPGGIVIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKSLAFDGVSITLYTVALEKSQVVLCDHVKKSVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVIYVKQQHSSILQPADLQKILKEMADKRFLDTSGQYSMASEQVYQNNKLETREQRLRFADASSSSSYSHKEDIVRIYKRRGGSDTKVLSHSDWLQTVQFEPDVISLSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQNTASLQFSLMGPKLFVNTSPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPNIFQLADDPHGNFSQESYDHKYYEKVQWKNFSHVCTAPVESYEELSIVTGAQLQVENYGFKNILFLRLRFSTVLGAASVKHPEWDGSPGLAPKSGLISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVRYSLLTMVLPDEDLEAER >Manes.10G117400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28264959:28273141:1 gene:Manes.10G117400.v8.1 transcript:Manes.10G117400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAELAIASIGCGYDITADIRLKYCKRGANNSQLIEIDEGGGREIVLPGGIVIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKSLAFDGVSITLYTVALEKSQVVLCDHVKKSVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVIYVKQQHSSILQPADLQKILKEMADKRFLDTSGQYSMASEQVYQNNKLETREQRLRFADASSSSSYSHKEDIVRIYKRRGGSDTKVLSHSDWLQTVQFEPDVISLSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQNTASLQFSLMGPKLFVNTSPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPNIFQLADDPHGNFSQESYDHKYYEKVQWKNFSHVCTAPVESYEELSIVTGAQLQVENYGFKNILFLRLRFSTVLGAASVKHPEWDGSPGLAPKSGLISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVRYSLLTMVLPDEDLEAER >Manes.10G117400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28264964:28273141:1 gene:Manes.10G117400.v8.1 transcript:Manes.10G117400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAELAIASIGCGYDITADIRLKYCKRGANNSQLIEIDEGGGREIVLPGGIVIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKSLAFDGVSITLYTVALEKSQVVLCDHVKKSVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVIYVKQQHSSILQPADLQKILKEMADKRFLDTSGQYSMASEQVYQNNKLETREQRLRFADASSSSSYSHKEDIVRIYKRRGGSDTKVLSHSDWLQTVQFEPDVISLSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQNTASLQFSLMGPKLFVNTSPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPNIFQLADDPHGNFSQESYDHKYYEKVQWKNFSHVCTAPVESYEELSIVTGAQLQVENYGFKNILFLRLRFSTVLGAASVKHPEWDGSPGLAPKSGLISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVRYSLLTMVLPDEDLEAER >Manes.10G117400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28264964:28273141:1 gene:Manes.10G117400.v8.1 transcript:Manes.10G117400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAELAIASIGCGYDITADIRLKYCKRGANNSQLIEIDEGGGREIVLPGGIVIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKSLAFDGVSITLYTVALEKSQVVLCDHVKKSVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVIYVKQQHSSILQPADLQKILKEMADKRFLDTSGQYSMASEQVYQNNKLETREQRLRFADASSSSSYSHKEDIVRIYKRRGGSDTKVLSHSDWLQTVQFEPDVISLSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQNTASLQFSLMGPKLFVNTSPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPNIFQLADDPHGNFSQESYDHKYYEKVQWKNFSHVCTAPVESYEELSIVTGAQLQVENYGFKNILFLRLRFSTVLGAASVKHPEWDGSPGLAPKSGLISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVRYSLLTMVLPDEDLEAER >Manes.17G100851.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30815348:30817064:-1 gene:Manes.17G100851.v8.1 transcript:Manes.17G100851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHQEEHSTQEDHAYPSFGDFQPSVVRPKVDTSNFELKPSLVQMVQQSQFSGQTIENPHLSNFMEISDMIKLHGVSKDAIRLRLFPFSLRDR >Manes.13G145814.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35965096:35969805:-1 gene:Manes.13G145814.v8.1 transcript:Manes.13G145814.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILFLQIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIAEFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRAMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.09G071628.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12232447:12232806:-1 gene:Manes.09G071628.v8.1 transcript:Manes.09G071628.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQTQQQLERMMKMFLDEQAANKARQDELNRKLEALTLDLASIKEATAVTGSNTQERTSKTKGPAISTGSETQSGNSIFPKVTKLDFPKYNGLEDPMGWISRCEHFFRHQQTPEEEKV >Manes.11G163800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32843310:32849935:1 gene:Manes.11G163800.v8.1 transcript:Manes.11G163800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIRLPEPPSPTMGVPEIFEGGANSIVRRAVVIGNGFTGSENQSIGLIRALGLSDNCSLYRVTRPRGGINEWLHWLPVSLHKKLDYVLRQLYIYWRLLTPARRKKLAALPSENGFSVGLSTVLEADVKQIVNMARETYEKDGPLLVVASGRDTISIASSIKRLASQNVFVVQIQHPRSHLNRFDLVITPHHDYYPMTPQAREQVPRFLWKWITPREPPDEHVVLTVGALHQIDFAALRVAASTWHDEFAPLPKPLLVVNIGGPTSCCRYGTDLAKQLTVNLLGVLDSCGSVRISFSNRTPAKVSNIVIKEIGNTPKVYIWDGEEPNPHMGHLAWADAFVITADSVSMISEACSTGKPVYIMGSERCTWKLSDFHKCLGERGVVRPFTGSEDISESWSYPPLNDTAEAARRVHEALAERGLRLRP >Manes.13G066164.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170323:8180966:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRDGVSESQFNQVLNIEVEQMIKVQLPI >Manes.13G066164.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170155:8181247:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRDGVSESQFNQVLNIEVEQMIKGTSRPAHYHVLLDEIGFSPDDLQSLIHSLSYVYQRSTTAISIVAPVCYAHLAAQQMGQFMKFEDLSETSSGQGSMTSAGPVPVPELPRLHKNVACSMFFC >Manes.13G066164.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170155:8181247:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRYHGIVDSNSLWIVNFLTLGKYSE >Manes.13G066164.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170323:8180966:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKVNVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRDGVSESQFNQVLNIEVEQMIKVQLPI >Manes.13G066164.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170049:8181246:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRDGVSESQFNQVLNIEVEQMIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQASGPENVPPGTVVDTKIVHPRNYDFYMCAHAGMIGTSRPAHYHVLLDEIGFSPDDLQSLIHSLSYVYQRSTTAISIVAPVCYAHLAAQQMGQFMKFEDLSETSSGQGSMTSAGPVPVPELPRLHKNVACSMFFC >Manes.13G066164.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170049:8181246:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKVNVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRDGVSESQFNQVLNIEVEQMIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQASGPENVPPGTVVDTKIVHPRNYDFYMCAHAGMIGTSRPAHYHVLLDEIGFSPDDLQSLIHSLSYVYQRSTTAISIVAPVCYAHLAAQQMGQFMKFEDLSETSSGQGSMTSAGPVPVPELPRLHKNVACSMFFC >Manes.13G066164.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170323:8180966:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKLKVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRDGVSESQFNQVLNIEVEQMIKAYQHLGEVDVPKFTVIVAQKNHHTKLFQASGPENVPPGTVVDTKIVHPRNYDFYMCAHAGMIGTSRPAHYHVLLDEIGFSPDDLQSLIHSLSYVYQRSTTAISIVAPVCYAHLAAQQMGQFMKFEDLSETSSGQGSMTSAGPVPVPELPRLHKNVACSMFFC >Manes.13G066164.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170155:8181247:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKVNVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRDGVSESQFNQVLNIEVEQMIKGTSRPAHYHVLLDEIGFSPDDLQSLIHSLSYVYQRSTTAISIVAPVCYAHLAAQQMGQFMKFEDLSETSSGQGSMTSAGPVPVPELPRLHKNVACSMFFC >Manes.13G066164.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8170155:8181247:-1 gene:Manes.13G066164.v8.1 transcript:Manes.13G066164.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGGSPPLPMPANVKAELAVPRHSIINRRGFGSSGRRIPLLANHFRVSVSVPDAVFYQYSVSITSEDKKAVEGKGIGRKLVDRLCQTYSSELAGKRFAYDGEKSLYTVGPLPQNKFEFTVVLEESFAKRENGSPAATAKRSKRAFHSKTFKVEISYAAKIPLKSIVLALKGVEADNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDMRNFTDVGGGATGVRGFHSSFRTTQGGLSLNMDVSTTMVLTPGPVIDFLIANQNVREPRYIDWVKAKKMLKNLRVKPRHRNMEYKIIGLSEKPCNQQYFPMRMKNGDNANGEPQIVEITVYDYFTRHCGIELTFSAYLPCLDVGKPKRPNYLPIELCSLVSLQRYTKSLSSTQRASLVEKSRQKPLDRMRTVTDALKNYRYDDDTVLSACGVSIEKQLMQVDGRVLETPKVNVGNSEDCFPRNGRWNFNNKTLWKTHSIERWAVVNFSARCDTSQISRDLIGCGRRKGIQIERPYTLIEEDPQSRRGSPVSRVEKMFEQMIPKLPWEPKFILCVLPERKNSDIYGPWKKKCLSDFGIVTQCISPFKVNDQYLTNVLLKINSKLGGINSLLAIEDSRHIPLIKDTPTMILGMDVSHGSPGRSDIPSIAAVVGSLYWPLISRYRASVRSQSPKVEMIDALFKPSANGSDDGIMRELLVEFFCTSNGRKPKQIIVFRYHGIVDSNSLWIVNFLTLGKYSE >Manes.09G120300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32318880:32329916:-1 gene:Manes.09G120300.v8.1 transcript:Manes.09G120300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIRREREMEETILETIKICHHRQEAPLPWAMEVGKCILSLGMSLPSPELGHVLVSYICFHNNHPSLWKFLQQALSSRLLSPIHVLSLLSARVIPNRRSQPEAYRLYLELLGRYAFSLDSIGEEACKQKIIKSVDAALQLSHTYRVQVQELGQVLVLFFFSIVVGLIDSTFNDWGLLMKTPDGPSGPFGSADNKDMDVDARGNYNVGRYEHLELLRKTNSLFAIEVLVKLTESRKAMVLLRIVYLNMPEIFNGLLQRLLFYKANKLASSNAESANQLLERLLANIQRVYDSEYQLNRHQIIRMLIDIKPCKHMSYCNSESGQSSRWVSLDIYMENAMDGKQLHIRPSVAILTEAIKTLQVLNRASWQETFLALWLSALRLVQRERDPVEGPIPHLESRLCILLTIVPLAIANILEDEARFSSSSVQGAGKSGGMESTLEHQVEGNGQTSRKHELILSLQVLGDFSGLLCPPSSVIGAANSAALVAASFISNSNNTKSGLVDANRTDPSINAGGNMRHLIVEACITRNLIDASAYYWPGYVSTSVISLVSDLPPVQKSPWLTFMEGAPLDNSLVNLLLTTPAPSLAEIEKLYHIALDGSAEEKSAAAKILCGASLTRGWNIQEHVVCHVVKLLSPPIPSTHNGQRSHLVDYVPMLNAILFGVSTIDNVQMLSLHGVIPEVAASLMPLCEVYGSLVPTSTNISNSSDEPSIYMVFSNAFLFLLRLWKFYRPPLDQWLSGGGAFGGGLTLEYLLLLRNSRIASHNSAASNEINSDSVQFQSTSDKPVYIDFYPKLRAWYCQNKSCVASTLSGLCNGNPVHQVANKILNMIYSKMTKTGTSSGNSSTLSSNSLCGSTSSGGEDPQQRPMLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLTTGLRDLIDFFPASIGAIISYFAAEITRGTWKPVPMNGTDWPSPAAVLPYIEAEMKEILSVAGVDFPSCSSGLSPVMLPLPMAALVSLTITFKLNKGLDHIHAVVGPALENCASGCPWPSVPIIGSLWSQKVRRWHDYIVVSCARSVFRQNKEAVAQLLRSCFSSFLGSVNASTSLLTYQSSVCGLLGSTIGVPGVIGSLAPGFLYLRSCQTIQDIQYVNGLITSLVGEHARESTARWPSSKSSRLKSSQASLSLAATKAREAAMLGASLVCVSGGMNLVQELYMETIPTWLLSSRDAKHGGVSVVSRIVEGYAMAYLLIFTGSYAWGVGARSPAWALSRRAHIVGAHMDFLAGVLEGNISLGCHPATWKAYVSCLVHLVVSFTPAWIQEVRVETLKKLASGLRGWHECELALSLLERGGVAAIGSVVELVNVIN >Manes.09G120300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32318882:32329916:-1 gene:Manes.09G120300.v8.1 transcript:Manes.09G120300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPDGPSGPFGSADNKDMDVDARGNYNVGRYEHLELLRKTNSLFAIEVLVKLTESRKAMVLLRIVYLNMPEIFNGLLQRLLFYKANKLASSNAESANQLLERLLANIQRVYDSEYQLNRHQIIRMLIDIKPCKHMSYCNSESGQSSRWVSLDIYMENAMDGKQLHIRPSVAILTEAIKTLQVLNRASWQETFLALWLSALRLVQRERDPVEGPIPHLESRLCILLTIVPLAIANILEDEARFSSSSVQGAGKSGGMESTLEHQVEGNGQTSRKHELILSLQVLGDFSGLLCPPSSVIGAANSAALVAASFISNSNNTKSGLVDANRTDPSINAGGNMRHLIVEACITRNLIDASAYYWPGYVSTSVISLVSDLPPVQKSPWLTFMEGAPLDNSLVNLLLTTPAPSLAEIEKLYHIALDGSAEEKSAAAKILCGASLTRGWNIQEHVVCHVVKLLSPPIPSTHNGQRSHLVDYVPMLNAILFGVSTIDNVQMLSLHGVIPEVAASLMPLCEVYGSLVPTSTNISNSSDEPSIYMVFSNAFLFLLRLWKFYRPPLDQWLSGGGAFGGGLTLEYLLLLRNSRIASHNSAASNEINSDSVQFQSTSDKPVYIDFYPKLRAWYCQNKSCVASTLSGLCNGNPVHQVANKILNMIYSKMTKTGTSSGNSSTLSSNSLCGSTSSGGEDPQQRPMLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLTTGLRDLIDFFPASIGAIISYFAAEITRGTWKPVPMNGTDWPSPAAVLPYIEAEMKEILSVAGVDFPSCSSAAGLSPVMLPLPMAALVSLTITFKLNKGLDHIHAVVGPALENCASGCPWPSVPIIGSLWSQKVRRWHDYIVVSCARSVFRQNKEAVAQLLRSCFSSFLGSVNASTSLLTYQSSVCGLLGSTIGVPGVIGSLAPGFLYLRSCQTIQDIQYVNGLITSLVGEHARESTARWPSSKSSRLKSSQASLSLAATKAREAAMLGASLVCVSGGMNLVQELYMETIPTWLLSSRDAKHGGVSVVSRIVEGYAMAYLLIFTGSYAWGVGARSPAWALSRRAHIVGAHMDFLAGVLEGNISLGCHPATWKAYVSCLVHLVVSFTPAWIQEVRVETLKKLASGLRGWHECELALSLLERGGVAAIGSVVELVNVIN >Manes.09G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32318882:32329916:-1 gene:Manes.09G120300.v8.1 transcript:Manes.09G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIRREREMEETILETIKICHHRQEAPLPWAMEVGKCILSLGMSLPSPELGHVLVSYICFHNNHPSLWKFLQQALSSRLLSPIHVLSLLSARVIPNRRSQPEAYRLYLELLGRYAFSLDSIGEEACKQKIIKSVDAALQLSHTYRVQVQELGQVLVLFFFSIVVGLIDSTFNDWGLLMKTPDGPSGPFGSADNKDMDVDARGNYNVGRYEHLELLRKTNSLFAIEVLVKLTESRKAMVLLRIVYLNMPEIFNGLLQRLLFYKANKLASSNAESANQLLERLLANIQRVYDSEYQLNRHQIIRMLIDIKPCKHMSYCNSESGQSSRWVSLDIYMENAMDGKQLHIRPSVAILTEAIKTLQVLNRASWQETFLALWLSALRLVQRERDPVEGPIPHLESRLCILLTIVPLAIANILEDEARFSSSSVQGAGKSGGMESTLEHQVEGNGQTSRKHELILSLQVLGDFSGLLCPPSSVIGAANSAALVAASFISNSNNTKSGLVDANRTDPSINAGGNMRHLIVEACITRNLIDASAYYWPGYVSTSVISLVSDLPPVQKSPWLTFMEGAPLDNSLVNLLLTTPAPSLAEIEKLYHIALDGSAEEKSAAAKILCGASLTRGWNIQEHVVCHVVKLLSPPIPSTHNGQRSHLVDYVPMLNAILFGVSTIDNVQMLSLHGVIPEVAASLMPLCEVYGSLVPTSTNISNSSDEPSIYMVFSNAFLFLLRLWKFYRPPLDQWLSGGGAFGGGLTLEYLLLLRNSRIASHNSAASNEINSDSVQFQSTSDKPVYIDFYPKLRAWYCQNKSCVASTLSGLCNGNPVHQVANKILNMIYSKMTKTGTSSGNSSTLSSNSLCGSTSSGGEDPQQRPMLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLTTGLRDLIDFFPASIGAIISYFAAEITRGTWKPVPMNGTDWPSPAAVLPYIEAEMKEILSVAGVDFPSCSSAAGLSPVMLPLPMAALVSLTITFKLNKGLDHIHAVVGPALENCASGCPWPSVPIIGSLWSQKVRRWHDYIVVSCARSVFRQNKEAVAQLLRSCFSSFLGSVNASTSLLTYQSSVCGLLGSTIGVPGVIGSLAPGFLYLRSCQTIQDIQYVNGLITSLVGEHARESTARWPSSKSSRLKSSQASLSLAATKAREAAMLGASLVCVSGGMNLVQELYMETIPTWLLSSRDAKHGGVSVVSRIVEGYAMAYLLIFTGSYAWGVGARSPAWALSRRAHIVGAHMDFLAGVLEGNISLGCHPATWKAYVSCLVHLVVSFTPAWIQEVRVETLKKLASGLRGWHECELALSLLERGGVAAIGSVVELVNVIN >Manes.09G120300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32318882:32329916:-1 gene:Manes.09G120300.v8.1 transcript:Manes.09G120300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILILCFVVFSQERDPVEGPIPHLESRLCILLTIVPLAIANILEDEARFSSSSVQGAGKSGGMESTLEHQVEGNGQTSRKHELILSLQVLGDFSGLLCPPSSVIGAANSAALVAASFISNSNNTKSGLVDANRTDPSINAGGNMRHLIVEACITRNLIDASAYYWPGYVSTSVISLVSDLPPVQKSPWLTFMEGAPLDNSLVNLLLTTPAPSLAEIEKLYHIALDGSAEEKSAAAKILCGASLTRGWNIQEHVVCHVVKLLSPPIPSTHNGQRSHLVDYVPMLNAILFGVSTIDNVQMLSLHGVIPEVAASLMPLCEVYGSLVPTSTNISNSSDEPSIYMVFSNAFLFLLRLWKFYRPPLDQWLSGGGAFGGGLTLEYLLLLRNSRIASHNSAASNEINSDSVQFQSTSDKPVYIDFYPKLRAWYCQNKSCVASTLSGLCNGNPVHQVANKILNMIYSKMTKTGTSSGNSSTLSSNSLCGSTSSGGEDPQQRPMLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLTTGLRDLIDFFPASIGAIISYFAAEITRGTWKPVPMNGTDWPSPAAVLPYIEAEMKEILSVAGVDFPSCSSGLSPVMLPLPMAALVSLTITFKLNKGLDHIHAVVGPALENCASGCPWPSVPIIGSLWSQKVRRWHDYIVVSCARSVFRQNKEAVAQLLRSCFSSFLGSVNASTSLLTYQSSVCGLLGSTIGVPGVIGSLAPGFLYLRSCQTIQDIQYVNGLITSLVGEHARESTARWPSSKSSRLKSSQASLSLAATKAREAAMLGASLVCVSGGMNLVQELYMETIPTWLLSSRDAKHGGVSVVSRIVEGYAMAYLLIFTGSYAWGVGARSPAWALSRRAHIVGAHMDFLAGVLEGNISLGCHPATWKAYVSCLVHLVVSFTPAWIQEVRVETLKKLASGLRGWHECELALSLLERGGVAAIGSVVELVNVIN >Manes.09G120300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32318882:32329916:-1 gene:Manes.09G120300.v8.1 transcript:Manes.09G120300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILILCFVVFSQERDPVEGPIPHLESRLCILLTIVPLAIANILEDEARFSSSSVQGAGKSGGMESTLEHQVEGNGQTSRKHELILSLQVLGDFSGLLCPPSSVIGAANSAALVAASFISNSNNTKSGLVDANRTDPSINAGGNMRHLIVEACITRNLIDASAYYWPGYVSTSVISLVSDLPPVQKSPWLTFMEGAPLDNSLVNLLLTTPAPSLAEIEKLYHIALDGSAEEKSAAAKILCGASLTRGWNIQEHVVCHVVKLLSPPIPSTHNGQRSHLVDYVPMLNAILFGVSTIDNVQMLSLHGVIPEVAASLMPLCEVYGSLVPTSTNISNSSDEPSIYMVFSNAFLFLLRLWKFYRPPLDQWLSGGGAFGGGLTLEYLLLLRNSRIASHNSAASNEINSDSVQFQSTSDKPVYIDFYPKLRAWYCQNKSCVASTLSGLCNGNPVHQVANKILNMIYSKMTKTGTSSGNSSTLSSNSLCGSTSSGGEDPQQRPMLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLTTGLRDLIDFFPASIGAIISYFAAEITRGTWKPVPMNGTDWPSPAAVLPYIEAEMKEILSVAGVDFPSCSSAAGLSPVMLPLPMAALVSLTITFKLNKGLDHIHAVVGPALENCASGCPWPSVPIIGSLWSQKVRRWHDYIVVSCARSVFRQNKEAVAQLLRSCFSSFLGSVNASTSLLTYQSSVCGLLGSTIGVPGVIGSLAPGFLYLRSCQTIQDIQYVNGLITSLVGEHARESTARWPSSKSSRLKSSQASLSLAATKAREAAMLGASLVCVSGGMNLVQELYMETIPTWLLSSRDAKHGGVSVVSRIVEGYAMAYLLIFTGSYAWGVGARSPAWALSRRAHIVGAHMDFLAGVLEGNISLGCHPATWKAYVSCLVHLVVSFTPAWIQEVRVETLKKLASGLRGWHECELALSLLERGGVAAIGSVVELVNVIN >Manes.09G120300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32318882:32329916:-1 gene:Manes.09G120300.v8.1 transcript:Manes.09G120300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPDGPSGPFGSADNKDMDVDARGNYNVGRYEHLELLRKTNSLFAIEVLVKLTESRKAMVLLRIVYLNMPEIFNGLLQRLLFYKANKLASSNAESANQLLERLLANIQRVYDSEYQLNRHQIIRMLIDIKPCKHMSYCNSESGQSSRWVSLDIYMENAMDGKQLHIRPSVAILTEAIKTLQVLNRASWQETFLALWLSALRLVQRERDPVEGPIPHLESRLCILLTIVPLAIANILEDEARFSSSSVQGAGKSGGMESTLEHQVEGNGQTSRKHELILSLQVLGDFSGLLCPPSSVIGAANSAALVAASFISNSNNTKSGLVDANRTDPSINAGGNMRHLIVEACITRNLIDASAYYWPGYVSTSVISLVSDLPPVQKSPWLTFMEGAPLDNSLVNLLLTTPAPSLAEIEKLYHIALDGSAEEKSAAAKILCGASLTRGWNIQEHVVCHVVKLLSPPIPSTHNGQRSHLVDYVPMLNAILFGVSTIDNVQMLSLHGVIPEVAASLMPLCEVYGSLVPTSTNISNSSDEPSIYMVFSNAFLFLLRLWKFYRPPLDQWLSGGGAFGGGLTLEYLLLLRNSRIASHNSAASNEINSDSVQFQSTSDKPVYIDFYPKLRAWYCQNKSCVASTLSGLCNGNPVHQVANKILNMIYSKMTKTGTSSGNSSTLSSNSLCGSTSSGGEDPQQRPMLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLTTGLRDLIDFFPASIGAIISYFAAEITRGTWKPVPMNGTDWPSPAAVLPYIEAEMKEILSVAGVDFPSCSSGLSPVMLPLPMAALVSLTITFKLNKGLDHIHAVVGPALENCASGCPWPSVPIIGSLWSQKVRRWHDYIVVSCARSVFRQNKEAVAQLLRSCFSSFLGSVNASTSLLTYQSSVCGLLGSTIGVPGVIGSLAPGFLYLRSCQTIQDIQYVNGLITSLVGEHARESTARWPSSKSSRLKSSQASLSLAATKAREAAMLGASLVCVSGGMNLVQELYMETIPTWLLSSRDAKHGGVSVVSRIVEGYAMAYLLIFTGSYAWGVGARSPAWALSRRAHIVGAHMDFLAGVLEGNISLGCHPATWKAYVSCLVHLVVSFTPAWIQEVRVETLKKLASGLRGWHECELALSLLERGGVAAIGSVVELVNVIN >Manes.09G120300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32318882:32329916:-1 gene:Manes.09G120300.v8.1 transcript:Manes.09G120300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSVDAALQLSHTYRVQVQELGQVLVLFFFSIVVGLIDSTFNDWGLLMKTPDGPSGPFGSADNKDMDVDARGNYNVGRYEHLELLRKTNSLFAIEVLVKLTESRKAMVLLRIVYLNMPEIFNGLLQRLLFYKANKLASSNAESANQLLERLLANIQRVYDSEYQLNRHQIIRMLIDIKPCKHMSYCNSESGQSSRWVSLDIYMENAMDGKQLHIRPSVAILTEAIKTLQVLNRASWQETFLALWLSALRLVQRERDPVEGPIPHLESRLCILLTIVPLAIANILEDEARFSSSSVQGAGKSGGMESTLEHQVEGNGQTSRKHELILSLQVLGDFSGLLCPPSSVIGAANSAALVAASFISNSNNTKSGLVDANRTDPSINAGGNMRHLIVEACITRNLIDASAYYWPGYVSTSVISLVSDLPPVQKSPWLTFMEGAPLDNSLVNLLLTTPAPSLAEIEKLYHIALDGSAEEKSAAAKILCGASLTRGWNIQEHVVCHVVKLLSPPIPSTHNGQRSHLVDYVPMLNAILFGVSTIDNVQMLSLHGVIPEVAASLMPLCEVYGSLVPTSTNISNSSDEPSIYMVFSNAFLFLLRLWKFYRPPLDQWLSGGGAFGGGLTLEYLLLLRNSRIASHNSAASNEINSDSVQFQSTSDKPVYIDFYPKLRAWYCQNKSCVASTLSGLCNGNPVHQVANKILNMIYSKMTKTGTSSGNSSTLSSNSLCGSTSSGGEDPQQRPMLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLTTGLRDLIDFFPASIGAIISYFAAEITRGTWKPVPMNGTDWPSPAAVLPYIEAEMKEILSVAGVDFPSCSSGLSPVMLPLPMAALVSLTITFKLNKGLDHIHAVVGPALENCASGCPWPSVPIIGSLWSQKVRRWHDYIVVSCARSVFRQNKEAVAQLLRSCFSSFLGSVNASTSLLTYQSSVCGLLGSTIGVPGVIGSLAPGFLYLRSCQTIQDIQYVNGLITSLVGEHARESTARWPSSKSSRLKSSQASLSLAATKAREAAMLGASLVCVSGGMNLVQELYMETIPTWLLSSRDAKHGGVSVVSRIVEGYAMAYLLIFTGSYAWGVGARSPAWALSRRAHIVGAHMDFLAGVLEGNISLGCHPATWKAYVSCLVHLVVSFTPAWIQEVRVETLKKLASGLRGWHECELALSLLERGGVAAIGSVVELVNVIN >Manes.09G120300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32318882:32329916:-1 gene:Manes.09G120300.v8.1 transcript:Manes.09G120300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSVDAALQLSHTYRVQVQELGQVLVLFFFSIVVGLIDSTFNDWGLLMKTPDGPSGPFGSADNKDMDVDARGNYNVGRYEHLELLRKTNSLFAIEVLVKLTESRKAMVLLRIVYLNMPEIFNGLLQRLLFYKANKLASSNAESANQLLERLLANIQRVYDSEYQLNRHQIIRMLIDIKPCKHMSYCNSESGQSSRWVSLDIYMENAMDGKQLHIRPSVAILTEAIKTLQVLNRASWQETFLALWLSALRLVQRERDPVEGPIPHLESRLCILLTIVPLAIANILEDEARFSSSSVQGAGKSGGMESTLEHQVEGNGQTSRKHELILSLQVLGDFSGLLCPPSSVIGAANSAALVAASFISNSNNTKSGLVDANRTDPSINAGGNMRHLIVEACITRNLIDASAYYWPGYVSTSVISLVSDLPPVQKSPWLTFMEGAPLDNSLVNLLLTTPAPSLAEIEKLYHIALDGSAEEKSAAAKILCGASLTRGWNIQEHVVCHVVKLLSPPIPSTHNGQRSHLVDYVPMLNAILFGVSTIDNVQMLSLHGVIPEVAASLMPLCEVYGSLVPTSTNISNSSDEPSIYMVFSNAFLFLLRLWKFYRPPLDQWLSGGGAFGGGLTLEYLLLLRNSRIASHNSAASNEINSDSVQFQSTSDKPVYIDFYPKLRAWYCQNKSCVASTLSGLCNGNPVHQVANKILNMIYSKMTKTGTSSGNSSTLSSNSLCGSTSSGGEDPQQRPMLPAWEVLEAIPFVLEAVLTACAHGKLSSRDLTTGLRDLIDFFPASIGAIISYFAAEITRGTWKPVPMNGTDWPSPAAVLPYIEAEMKEILSVAGVDFPSCSSAAGLSPVMLPLPMAALVSLTITFKLNKGLDHIHAVVGPALENCASGCPWPSVPIIGSLWSQKVRRWHDYIVVSCARSVFRQNKEAVAQLLRSCFSSFLGSVNASTSLLTYQSSVCGLLGSTIGVPGVIGSLAPGFLYLRSCQTIQDIQYVNGLITSLVGEHARESTARWPSSKSSRLKSSQASLSLAATKAREAAMLGASLVCVSGGMNLVQELYMETIPTWLLSSRDAKHGGVSVVSRIVEGYAMAYLLIFTGSYAWGVGARSPAWALSRRAHIVGAHMDFLAGVLEGNISLGCHPATWKAYVSCLVHLVVSFTPAWIQEVRVETLKKLASGLRGWHECELALSLLERGGVAAIGSVVELVNVIN >Manes.08G113500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35248769:35254354:-1 gene:Manes.08G113500.v8.1 transcript:Manes.08G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGKVNHKRNFKNRARSKDKGSDDSDEDYVVEVEENATDGDSGDSGNSIDDYASEESFASFVEEEEEEKEFRVGSKNSKGSQPNGNTGCKTSRKRKRLSYEEEDEDYVHEEEDDDEDDDEFTPDEDDDFLDEDEELTAKKKSNNMRVGKRRIEKRGSRRGPKKQRKSRVSKKPSVKKGTKKRRLRKKERCEYDDEYDVDFVDDSAIVKEKSSENSNVRKRRNTMYSDSDFMPSGSSDYEFTISEEEREQVREASKLYGELKTSLRGSSSIKKLQEIGDLCEQGKSIARKGKEKVKEVRTEVGKQVCGICLSEEDKRRLRGTLNCCDHYFCFTCIMEWSKVESRCPLCKQRFTTITKNGRTAVGVDLRNMVVEVPKRDQVYQPSEEEIRNFIDPYENVICTECHEGGDDGLMLLCDLCDSPAHTYCVGLGRQVPEGNWYCDGCRPVALGSSSSQTQELLPNQRTTSNTFNGSSPVSNNGDGLDCSLELSPCLAFSQVVGNLSSPRFSSGDVQAASPVSGAGAPTLSMRRHIHRRIQNILSVSRMYNIANRADGISAANLHSDSSMTQTDQCRETSIQNSRTQEMGSLQRASHDVRLQDQPSSSLQNGDHFAIRSSQLRTQAVDDPTVTTTERSVNLTLWPELTGMNSVSGHEQFHQCNSRPGIVSEVNPVPHKAREECQFYVVKEQLQSMVKSHLRSLSQGVELGHDTFKEIARSSTHTILAGCGLEHKRSEVQFMPLPSICTHVERVAAGQTSIMKGLCSSCFDSFVRDVVKRIMDTRLPQWLSLRL >Manes.08G124600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36511372:36514368:1 gene:Manes.08G124600.v8.1 transcript:Manes.08G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKPANLSQLLKQDIPQENSNAPANARQQISKEDSEEKLRNLKSLNSKLLKETLERRQQIESLVQAKEGLETELSRSRAEKTDLKNLLTRTSDDTLSLEIEMGLLFIFVKKRMNEMGIVVEGLVKEKVEKENVGAFLKTQVNGLMENLESEKQKLFWASEERDLLKLDLENWEKEAIGLKEKIIEMKEKEIKTEEEIRILKTQYAQSMKQNKEREEEIEKVKNLRDVAEKQLAEKAKEIESLNWEVENIARKKIEIEMENSKQRFKISGMEKEVSELNDIISSLRKEKEDIVRKKTEIEMENSRQQLKISDMEKEVSELNDIMSSLRKEKEDIVRKKTEIEKENSRQQFKITELHDVMSSLRKEKEDVLRKKTEIEMENSRQQLKITDLEKDVSELNDIMSSLHKEKEDIVRKKTEIEVENSGQQLKIADLEKDVSELNEIMSSLLKEKEDIVREKTEIEMENSKQQLKIADLEKDVSELNEIMSSLLKEKEDIVREKTEIEMENSKQQFRIADLEKYVSELNDIISSLRKEKSVLSENFLELEKSCHEAKEKAKLIAMEFDALMQEKQKNDRTIESLMEETDSSDKLIKTLKFEMKEKDGLIESLMRDKVETDDVNVAKESAIMELHNELAGLRGAVSALQESIKNEEAKNKQLASEVSYYRDAFEQVRLERDNTKINLDKEKKKSINMSSKVLEMQKRIQENMEENEKMKNENERLFDEKKEMESQVGLLKKEKKLLAKKQYEAQQEIEDLRTKMESIGSNSERVVTMLKSTAASIGQSNGGKEELSITERKLGNATEPYVTELEVIKKAFKHKERVVGEMRQRVKFLESSLADANKEKSFWAAVSTATTILAAVSFASGRLY >Manes.02G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1783667:1787750:-1 gene:Manes.02G019600.v8.1 transcript:Manes.02G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKALKSDLVLILDYGSQYTHLITRRIRSLNIFSLCVSGTSPLDTIISLNPKVIILSGGPHSVHSPNSPTFPSGFVEWAQSNGCFVLGICYGLQLIVQRLGGEVRVGEKQEYGRMEIEVGRNLGIFGNKNVGDRQMVWMSHGDEAAQLPEGFEVVARSQQGAVAAVEYRENRFYGLQYHPEVTHSPEGMDTLRHFLFDVCGVGANWNMENVVDEEIKVIKGTLGPEDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRFKERERVMETFERDLHLPVTCVDASDQFLSKLKGVVDPEMKRKIIGKEFISIFDAFAHDLEQKLGKKPAYLVQGTLYPDVIESCPPPGSGRNHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILNVPEAFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGLYDSIWQAFAVFLPVRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVARKICNSVRGVNRVVQDITSKPPSTIEWE >Manes.18G123500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13744364:13745854:-1 gene:Manes.18G123500.v8.1 transcript:Manes.18G123500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSRYWLWPSILILKLFQGMVNLITESAISLVNSWKSIIERDGGMADIKIDEGLISFSADVISRACFGSNYSKGEKIFLKLMDLQKAVSNKGLAAFGIPGTRYLPTKSNRKAWALEKESRDLILKVVKERQEAASEKDLLQMVLEGAKSSNLSGEAMERFIVDNCKTIYLAGFDTTAVSSSWCLMLLALNQQWQDRARAEVLEICGGNMPDYDMTRKMKLLNMVIQETMRLYPPVPIIAREALNDMKLGDIKVPKGVNIWSTVSLLHTDPETWGPDSYKFNPERFANGIASACKYPFLYMPFGVGPRVCLGQNLAMVELKILMALILSNFSFTISPKYIHSPTFNLVIKPEHGVNLLVKKL >Manes.18G123500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13744364:13746663:-1 gene:Manes.18G123500.v8.1 transcript:Manes.18G123500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQFVIKILFSIVIIALLGILLRLYNGLVMKPKRLRSMLQKQGINGPPPAFLLGNIRQIQKTVSSVVKATDPPLIHNCAALLFPFLELWKEEYGQVLVFSLGNIQVLNLNQPDIVKEYTTCVSWDLGRPSMNINDLGPLLGQGILTSNGAFWSHQRKIIAPELYMEKIKGMVNLITESAISLVNSWKSIIERDGGMADIKIDEGLISFSADVISRACFGSNYSKGEKIFLKLMDLQKAVSNKGLAAFGIPGTRYLPTKSNRKAWALEKESRDLILKVVKERQEAASEKDLLQMVLEGAKSSNLSGEAMERFIVDNCKTIYLAGFDTTAVSSSWCLMLLALNQQWQDRARAEVLEICGGNMPDYDMTRKMKLQLNMVIQETMRLYPPVPIIAREALNDMKLGDIKVPKGVNIWSTVSLLHTDPETWGPDSYKFNPERFANGIASACKYPFLYMPFGVGPRVCLGQNLAMVELKILMALILSNFSFTISPKYIHSPTFNLVIKPEHGVNLLVKKL >Manes.18G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13744364:13746663:-1 gene:Manes.18G123500.v8.1 transcript:Manes.18G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQFVIKILFSIVIIALLGILLRLYNGLVMKPKRLRSMLQKQGINGPPPAFLLGNIRQIQKTVSSVVKATDPPLIHNCAALLFPFLELWKEEYGQVLVFSLGNIQVLNLNQPDIVKEYTTCVSWDLGRPSMNINDLGPLLGQGILTSNGAFWSHQRKIIAPELYMEKIKGMVNLITESAISLVNSWKSIIERDGGMADIKIDEGLISFSADVISRACFGSNYSKGEKIFLKLMDLQKAVSNKGLAAFGIPGTRYLPTKSNRKAWALEKESRDLILKVVKERQEAASEKDLLQMVLEGAKSSNLSGEAMERFIVDNCKTIYLAGFDTTAVSSSWCLMLLALNQQWQDRARAEVLEICGGNMPDYDMTRKMKLLNMVIQETMRLYPPVPIIAREALNDMKLGDIKVPKGVNIWSTVSLLHTDPETWGPDSYKFNPERFANGIASACKYPFLYMPFGVGPRVCLGQNLAMVELKILMALILSNFSFTISPKYIHSPTFNLVIKPEHGVNLLVKKL >Manes.01G022900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5042207:5046600:1 gene:Manes.01G022900.v8.1 transcript:Manes.01G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLSNPTISSPFLPQKLINYEQNTRNRTTPYSRPISRSTRTLTSVKCAVDAPYEGNIPKFPRMNVWDPYKRLGISPYASEEEIWSSRNFLLQQYAGHERSEESIEAAFEKLLMTSFKERKKTKINLKTRLKKKVEESPPWVKSLLNFVELPPAEVIFRRLFLFAFMGGWSIMNSAEGGPAFQVAVSLAACIYFLNEKTKNLGRAFIIGLGSLAAGWVCGSIFLPMVPTVLINPTWTLELLTSLVAYLFLFVACTFLK >Manes.11G120400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27966064:27967185:-1 gene:Manes.11G120400.v8.1 transcript:Manes.11G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPLQSLHHHHHHHHHHHPSLLKLQPADSTPTSSLFLKPTTSISTTNTSLSLFISSSSSTTATFSISPTTSTTPSTSQTFSLDLLHQHLSTQNFRQADEETRRLLIVLAGEAAQKRGYVFFSEVQFISEKDLKAIDELWKEHSNNKFGYSIQKRIWQKKANKDFTKFFIKVGWMKKLDTEVEQYNYRSFPNEFIWELNDETPEGHLPLTNALRGTQLLNNIFNHPAFEDIKEEDEVSDGGNENGGSDERLSEKDNSKPLNKGLFKPNYSF >Manes.09G120500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32342948:32343562:1 gene:Manes.09G120500.v8.1 transcript:Manes.09G120500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHGDHPLPKPRSRRRCCLIVGGSILILLFIIFIVILILALTVFKAKDPRVQVLSASIEGVSPRISFPVITIELNVTLNLTLRLHNPNHASFKHGPGKSSLLYQAKQVGEAELNSGFIPSTGTVTIPCRLTIEADKLASNLKSLISDILSGHLVMETRTTIPGRVNFLGIFKKHAVATSACKFTIAFPAMKIQDQECKSKTKL >Manes.17G015183.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8088431:8094132:1 gene:Manes.17G015183.v8.1 transcript:Manes.17G015183.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGLLLSGLSLPFSFLGFTDCMEGGCGAAGFGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRKSCGGGCKKALPINLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGMRIKTWPALHGGSVSNIQFEDIVMQNVSNPFHKAISVSSFCASLICCSKLGLTFNSAMIAPSSAIVNCAFIAFNANKDFLLSASATRLALPG >Manes.14G043350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3798024:3799154:-1 gene:Manes.14G043350.v8.1 transcript:Manes.14G043350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNPFMKKSSFFDDREAVDQVRHRPFHHLPHQPGCTSLKLQILEQTSEASLWKFPGKINLSHY >Manes.12G129700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33703389:33712423:-1 gene:Manes.12G129700.v8.1 transcript:Manes.12G129700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHFYSSSLCSSCLRNPARKLSYRSKGVDRLRASAIYGEDDILSCSENSRVSAQGTFVGKRLNQPSGIELQPDAIGFGTLSAEITPTTNAFFPNDDEHDLDRPTDRFASIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTQKENEEKLCTAFTVSVDAKHGTTTGVSAHDRATTVLALASRDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAGNLKIISIADLIRYRRKRDKLVELSAAAPIPTMWGPFKAYCYRSFLDGIEHIAMVKGEIGDGKDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYILQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMRLMTNNPAKYVGLKGYGLAIAGRVPLLTPITRENRRYLETKREKMGHLYGSESDCNGHVNGIISETDGASKA >Manes.12G129700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33703390:33712423:-1 gene:Manes.12G129700.v8.1 transcript:Manes.12G129700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHFYSSSLCSSCLRGYTGLHCMYPFTLKGYLTNLVSSNPARKLSYRSKGVDRLRASAIYGEDDILSCSENSRVSAQGTFVGKRLNQPSGIELQPDAIGFGTLSAEITPTTNAFFPNDDEHDLDRPTDRFASIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTQKENEEKLCTAFTVSVDAKHGTTTGVSAHDRATTVLALASRDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAGNLKIISIADLIRYRRKRDKLVELSAAAPIPTMWGPFKAYCYRSFLDGIEHIAMVKGEIGDGKDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYILQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMRLMTNNPAKYVGLKGYGLAIAGRVPLLTPITRENRRYLETKREKMGHLYGSESDCNGHVNGIISETDGASKA >Manes.12G129700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33703389:33712423:-1 gene:Manes.12G129700.v8.1 transcript:Manes.12G129700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTQKENEEKLCTAFTVSVDAKHGTTTGVSAHDRATTVLALASRDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAGNLKIISIADLIRYRRKRDKLVELSAAAPIPTMWGPFKAYCYRSFLDGIEHIAMVKGEIGDGKDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYILQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMRLMTNNPAKYVGLKGYGLAIAGRVPLLTPITRENRRYLETKREKMGHLYGSESDCNGHVNGIISETDGASKA >Manes.02G188900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15114817:15118416:-1 gene:Manes.02G188900.v8.1 transcript:Manes.02G188900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAIANCITRKLSLPPPRATIASTSKVTPQPTNTSHDMTGPPRLVRSCAVRRDLVRDWNFDGVVLEH >Manes.02G188900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15114921:15118392:-1 gene:Manes.02G188900.v8.1 transcript:Manes.02G188900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAIANCITRKLSLPPPRATIASTSKVTPQPTNTSHDMTGPPRLVRSCAVRRDLVRDWNFDGVVLEH >Manes.02G188900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15114817:15118608:-1 gene:Manes.02G188900.v8.1 transcript:Manes.02G188900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAIANCITRKLSLPPPRATIASTSKVTPQPTNTSHDMTGPPRLVRSCAVRRDLVRDWNFDGVVLEH >Manes.08G042200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4112666:4114655:-1 gene:Manes.08G042200.v8.1 transcript:Manes.08G042200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDRQPWIDLPKELLEKIGEHVDSRVDILRLRSVCTSWWSSVSLPSFDEELPCVLLNLPFPLVIDTILSRNLICRIELVHQNPNSSESKPKGWLTQVGESKRGKLQLLHPVSDGFSLYGVTKVVPFPISASCKENNVAILAIYEGGKLGYWEYGDTEWELLDDCNFEYDDIIVYKSQLYAVDRSGIVWWINSSLKVIQYSPILYSHGSRKTLVESCGDLYVVDRFLDGERIQRNKNNYRECFVLYNNDEPTHRTRMKLKTIGIRVYKLDEEWGRWEEVKSLGDRVFVLGMDCSFSISSVEFYGGKWNSIYFTDDDESGLSCDDIGINVFQLEDCSIKRLEKFPEYSEIFLPPQQWIDTKQAFREGLQIA >Manes.08G042200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4111772:4114201:-1 gene:Manes.08G042200.v8.1 transcript:Manes.08G042200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDRQPWIDLPKELLEKIGEHVDSRVDILRLRSVCTSWWSSVSLPSFDEELPCVLLNLPFPLVIDTILSRNLICRIELVHQNPNSSESKPKGWLTQVGESKRGKLQLLHPVSGCQIESSSISLNLQDFRFIELGKAFKLKLANADGFSLYGVTKVVPFPISASCKENNVAILAIYEGGKLGYWEYGDTEWELLDDCNFEYDDIIVYKSQLYAVDRSGIVWWINSSLKVIQYSPILYSHGSRKTLVESCGDLYVVDRFLDGERIQRNKNNYRECFVLYNNDEPTHRTRMKLKTIGIRVYKLDEEWGRWEEVKSLGDRVFVLGMDCSFSISSVEFYGGKWNSIYFTDDDESGLSCDDIGINVFQLEDCSIKRLEKFPEYSEIFLPPQQWIDTKQAFREGLQIA >Manes.08G070832.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11970633:11972594:-1 gene:Manes.08G070832.v8.1 transcript:Manes.08G070832.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYSEEEKTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMGINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGKWLNELQTHSDMNVVTILVGNKSDLKDAREVSTAEGKDLAEAQSLFFMETSALDSSNVTAAFQTVVREIFNILSRKVMSNEFNKQDAPSMGNGRTVVLQADVNQEVDLDPKRRGCC >Manes.04G001000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:404681:406741:1 gene:Manes.04G001000.v8.1 transcript:Manes.04G001000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPNHDSRSFLLNKSSKIFIAGHRGLVGSAIVRKFQALGFTSLILRTHAELDLTRQSDVESFFASEKPEFVVLAAAKVGGIHANNTYPADFIAINLQIQTNVIDSSYRHGVKKLLFLGSSCIYPKLAPQPIPENALLTGPLEPTNEWYAVAKIAGIKMCQAYRIQYNWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVNGAKEVVVWGTGSPLREFLHVDDLADAVVFLMESYSGLEHVNVGSGKEVTIKELAESMKEVVGFEGELVWDTSKPDGTPRKLMDSSKLLGLGWMPKISLKDGLIDTYKWYAENVVKQ >Manes.04G001000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:404681:406741:1 gene:Manes.04G001000.v8.1 transcript:Manes.04G001000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPNHDSRSFLLNKSSKIFIAGHRGLVGSAIVRKFQALGFTSLILRTHAELDLTRQSDVESFFASEKPEFVVLAAAKVGGIHANNTYPADFIAINLQIQTNVIDSSYRHGVKKLLFLGSSCIYPKLAPQPIPENALLTGPLEPTNEWYAVAKIAGIKMCQAYRIQYNWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVNGAKEVVVWGTGSPLREFLHVDDLADAVVFLMESYSGLEHVNVGSGKEVTIKELAESMKEVVGFEGELVWDTSKPDGTPRKLMDSSKLLGLGWMPKISLKDGLIDTYKWYAENVVKQ >Manes.02G203753.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19475415:19480007:1 gene:Manes.02G203753.v8.1 transcript:Manes.02G203753.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLHSSKFLSCESHRRPSSLCPSAAQPHLLSVLPPSIVTLVSPVAAVCQSLLTFTLALPTSSLPHSVSQSPGSPQSSVHDQSLSSQLSLQSLSSLHSLASLSIFWLHQGLRVVQVVPQ >Manes.18G143800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:32603827:32606646:1 gene:Manes.18G143800.v8.1 transcript:Manes.18G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRFFFILFFLSLLLQISSATLEDEAQDDDDLDPSLQFENPSIRQAYIALQAWKQAIFSDPFNFTATWNGPHVCSYMGVYCAPSPTNPKLRVVAGIDLNHADIAGYLPTELGLLTDLALFHLNSNRFCGVVPTSFRKLKLLFELDLSNNRFVGKFPKVVLSLPSLKYLDLRFNEFEGSVPSKLFDQTFDAIFLNDNRFQFGIPPNLGNSPVSVLVLANNNLGGCIPGSIGNMGNTLNEIILMNDNLTGCLPSQIGLLKEVTVFDVSFNHLQGSLPSSIGNMKKVEQLDIAHNGFTGFIPASVCQLPNLKNFTYSFNYFSGEAPACAAIGGGVVTNGSKNCIPGKMDQRSAKECSSEAAQPVDCAKFKCAGSSGGGGGGGGGGGGVSPSPSKRPPVGRPSAPNPAPSGRPFKPSPPPPTSKSSSSSRSHPPPPPTRSSFHSPPSPPPPTERVSPKTHLPPPPPPVEHHGSPPLPSPPPPSNYHSYAPPPPTEKVSPSTHQSPPPPPTEYTPSAPKHSPPPPSPPVEYHPPPYQHEAPPPPPPVEYHSPPYQHNAPPPPPPVEYHSPPYQHNASPPPPEQSVPAPSYHIYSPPPPPPTNEYKHTPPPTHEHHTPKTAIPPPTYVKPPTSPPPPESFHPLPPPPPTGCVFPGSPPPPPSSPSYHHPPSPPPPQQQWHYPPPSSHHYQSPPPPPTMYSNPSPPPPPPVDNTPLPPIVGVTYASPPPPSIPYY >Manes.17G030400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22556951:22559188:1 gene:Manes.17G030400.v8.1 transcript:Manes.17G030400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSNSSATPNLVDQTEKSLEGINYWTWSELLVALKQCQDLSPASNSSFVLEKVLESLIGRLSLPTVASPFRCSSENFSSQFSSDISSTCSTRNNCSPTTWWFEDLLFLNVILFDKVIRMMVSQKVDHAIISKFLIFYLRSRLPSTGLAEQRQIMEKVISLLLLLDRSCLSCKGLFDVLRVVSSLKILSKCYKLKLELLIGSQLDQATLDHLLVPSPPRKKYMYDVNLVLRLAEAYIRRGWMTPSRLKKVGRLMDSYLMEVAPDFLLKPSTFAALVSVLPDSARESSDRLYQAMDLYLEVHTQLCEEEKMRLCYALNHEKLSAESLQHLGQNSKFPSITSLQVFITQESKHSSSISNCLYYIKRVDEFRICREHKEDPHEPVRPLARKHEHSRETGVHLQQMQCRVAELENACTVLQSQVPNVTEQRFCNSGKFRSLPKLCS >Manes.17G030400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22556280:22559188:1 gene:Manes.17G030400.v8.1 transcript:Manes.17G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWFEQMEFGCELEVDVNGDEIFVVDKKFLACYSGRFRKLFGKLTGSARQLKVVFQCFPGGARGFELVARFCYNNGAIEITPSNIVLLSCAACYMEMDSNSSATPNLVDQTEKSLEGINYWTWSELLVALKQCQDLSPASNSSFVLEKVLESLIGRLSLPTVASPFRCSSENFSSQFSSDISSTCSTRNNCSPTTWWFEDLLFLNVILFDKVIRMMVSQKVDHAIISKFLIFYLRSRLPSTGLAEQRQIMEKVISLLLLLDRSCLSCKGLFDVLRVVSSLKILSKCYKLKLELLIGSQLDQATLDHLLVPSPPRKKYMYDVNLVLRLAEAYIRRGWMTPSRLKKVGRLMDSYLMEVAPDFLLKPSTFAALVSVLPDSARESSDRLYQAMDLYLEVHTQLCEEEKMRLCYALNHEKLSAESLQHLGQNSKFPSITSLQVFITQESKHSSSISNCLYYIKRVDEFRICREHKEDPHEPVRPLARKHEHSRETGVHLQQMQCRVAELENACTVLQSQVPNVTEQRFCNSGKFRSLPKLCS >Manes.17G030400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22556242:22559188:1 gene:Manes.17G030400.v8.1 transcript:Manes.17G030400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSNSSATPNLVDQTEKSLEGINYWTWSELLVALKQCQDLSPASNSSFVLEKVLESLIGRLSLPTVASPFRCSSENFSSQFSSDISSTCSTRNNCSPTTWWFEDLLFLNVILFDKVIRMMVSQKVDHAIISKFLIFYLRSRLPSTGLAEQRQIMEKVISLLLLLDRSCLSCKGLFDVLRVVSSLKILSKCYKLKLELLIGSQLDQATLDHLLVPSPPRKKYMYDVNLVLRLAEAYIRRGWMTPSRLKKVGRLMDSYLMEVAPDFLLKPSTFAALVSVLPDSARESSDRLYQAMDLYLEVHTQLCEEEKMRLCYALNHEKLSAESLQHLGQNSKFPSITSLQVFITQESKHSSSISNCLYYIKRVDEFRICREHKEDPHEPVRPLARKHEHSRETGVHLQQMQCRVAELENACTVLQSQVPNVTEQRFCNSGKFRSLPKLCS >Manes.14G067900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5654868:5656101:1 gene:Manes.14G067900.v8.1 transcript:Manes.14G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDPEMAHIGPDSIPPQSSNSLLANTRCCFCFPCFGSRRSSSVRLAWWERVRSSRGHSDDDNPWWAPGVRAIKKIREWSEIVAGPRWKTFIRRFNRNRGSGNSRHGKFQYDPLSYALNFDEGPGQNSNFDEEDDYGGFRDFSSRYASVSGSGKPLAMDASSNKDVALIAVA >Manes.11G060239.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7908164:7909108:-1 gene:Manes.11G060239.v8.1 transcript:Manes.11G060239.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKIVIKVTTCCDKGRSKALKTAAIADGVNSVALEGDDKDKLVVIGERVDAACLTRALRKKINYAAIESVEEVKPGEKKPEEKKQEGDNKKKDEDNTPTPHCCQQPPRCELVSVVYDTNPGTCTIM >Manes.11G060239.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7908164:7909108:-1 gene:Manes.11G060239.v8.1 transcript:Manes.11G060239.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVIKVTTCCDKGRSKALKTAAIADGVNSVALEGDDKDKLVVIGERVDAACLTRALRKKINYAAIESVEEVKPGEKKPEEKKQEGDNKKKDEDNTPTPHCCQQPPRCELVSVVYDTNPGTCTIM >Manes.12G081700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11506920:11512298:1 gene:Manes.12G081700.v8.1 transcript:Manes.12G081700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHKEPYGSGGRQDETIKKGLCLLELRRAGMVQWGVCAPVASVDQYEENNPQIASSFVKEEQKDDEEALKAAILVSPKLQKRRRLNLCQLREARAGRCPRKKQTSFRKQIKHDNYIPSKKENFIARWSTERYKLAEKCMLDVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGTERFRRCYNTQGVMEYWLESADLVKTKHEAGVQDSNWVPPSRWKPGGVASPESVSAGELTLLKEEIANLKRDMNELLLKNQEQSQVNPVEEMFNELMKWRRQTDQCLMEISSSLTGIQDMYGDLMMWKSRTEQQLMEISNSVSSMGAPNKCTTFSPVSERWEDWLESTNLDNIQGDFAPWLGSTDLVNVGQDAPVQECSALQQWLKPSHSPSREPICTRELELLKEEMAKVKRDVQDLLPKRVEDVQATITPDLSATNNLKFDFHNPFLLFQEMFKELMKWKAKMEDEMLEISNSLSTLQSSKQYAA >Manes.12G081700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11506618:11512323:1 gene:Manes.12G081700.v8.1 transcript:Manes.12G081700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHKEPYGSGGRQDETIKKGLCLLELRRAGMVQWGVCAPVASVDQYEENNPQIASSFVKEEQKDDEEALKAAILVSPKLQKRRRLNLCQLREARAGRCPRKKQTSFRKQIKHDNYIPSKKENFIARWSTERYKLAEKCMLDVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGTERFRRCYNTQGVMEYWLESADLVKTKHEAGVQDSNWVPPSRWKPGGVASPESVSAGELTLLKEEIANLKRDMNELLLKNQEQSQVNPVEEMFNELMKWRRQTDQCLMEISSSLTGIQDMYGDLMMWKSRTEQQLMEISNSVSSMGAPNKCTTFSPVSERWEDWLESTNLDNIQGDFAPWLGSTDLVNVGQDAPVQECSALQQWLKPSHSPSREPICTRELELLKEEMAKVKRDVQDLLPKRVEDVQATITPDLSATNNLKFDFHNPFLLFQEMFKELMKWKAKMEDEMLEISNSLSTLQSSKQYAA >Manes.12G081700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11506618:11512323:1 gene:Manes.12G081700.v8.1 transcript:Manes.12G081700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHKEPYGSGGRQDETIKKGLCLLELRRAGMVQWGVCAPVASVDQYEENNPQIASSFVKEEQKDDEEALKAAILVSPKLQKRRRLNLCQLREARAGRCPRKKQTSFRKQIKHDNYIPSKKENFIARWSTERYKLAEKCMLDVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGTERFRRCYNTQGVMEYWLESADLVKTKHEAGVQDSNWVPPSRWKPGGVASPESVSAGELTLLKEEIANLKRDMNELLLKNQEQSQVNPVEEMFNELMKWRRQTDQCLMEISSSLTGIQDMYGDLMMWKSRTEQQLMEISNSVSSMGAPNKCTTFSPVSERWEDWLESTNLDNIQGDFAPWLGSTDLVNVGQDAPVQECSALQQWLKPSHSPSREPICTRELELLKEEMAKVKRDVQDLLPKRVEDVQATITPDLSATNNLKFDFHNPFLLFQEMFKELMKWKAKMEDEMLEISNSLSTLQSSKQYAA >Manes.12G081700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11506920:11512292:1 gene:Manes.12G081700.v8.1 transcript:Manes.12G081700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHKEPYGSGGRQDETIKKGLCLLELRRAGMVQWGVCAPVASVDQYEENNPQIASSFVKEEQKDDEEALKAAILVSPKLQKRRRLNLCQLREARAGRCPRKKQTSFRKQIKHDNYIPSKKENFIARWSTERYKLAEKCMLDVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGTERFRRCYNTQGVMEYWLESADLVKTKHEAGVQDSNWVPPSRWKPGGVASPESVSAGELTLLKEEIANLKRDMNELLLKNQEQSQVNPVEEMFNELMKWRRQTDQCLMEISSSLTGIQDMYGDLMMWKSRTEQQLMEISNSVSSMGAPNKCTTFSPVSERWEDWLESTNLDNIQGDFAPWLGSTDLVNVGQDAPVQECSALQQWLKPSHSPSREPICTRELELLKEEMAKVKRDVQDLLPKRVEDVQATITPDLSATNNLKFDFHNPFLLFQEMFKELMKWKAKMEDEMLEISNSLSTLQSSKQYAA >Manes.12G081700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11508935:11512292:1 gene:Manes.12G081700.v8.1 transcript:Manes.12G081700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHKEPYGSGGRQDETIKKGLCLLELRRAGMVQWGVCAPVASVDQYEENNPQIASSFVKEEQKDDEEALKAAILVSPKLQKRRRLNLCQLREARAGRCPRKKQTSFRKQIKHDNYIPSKKENFIARWSTERYKLAEKCMLDVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGTERFRRCYNTQGVMEYWLESADLVKTKHEAGVQDSNWVPPSRWKPGGVASPESVSAGELTLLKEEIANLKRDMNELLLKNQEQSQVNPVEEMFNELMKWRRQTDQCLMEISSSLTGIQDMYGDLMMWKSRTEQQLMEISNSVSSMGAPNKCTTFSPVSERWEDWLESTNLDNIQGDFAPWLGSTDLVNVGQDAPVQECSALQQWLKPSHSPSREPICTRELELLKEEMAKVKRDVQDLLPKRVEDVQATITPDLSATNNLKFDFHNPFLLFQEMFKELMKWKAKMEDEMLEISNSLSTLQSSKQYAA >Manes.12G081700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11506662:11512315:1 gene:Manes.12G081700.v8.1 transcript:Manes.12G081700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHKEPYGSGGRQDETIKKGLCLLELRRAGMVQWGVCAPVASVDQYEENNPQIASSFVKEEQKDDEEALKAAILVSPKLQKRRRLNLCQLREARAGRCPRKKQTSFRKQIKHDNYIPSKKENFIARWSTERYKLAEKCMLDVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGTERFRRCYNTQGVMEYWLESADLVKTKHEAGVQDSNWVPPSRWKPGGVASPESVSAGELTLLKEEIANLKRDMNELLLKNQEQSQVNPVEEMFNELMKWRRQTDQCLMEISSSLTGIQDMYGDLMMWKSRTEQQLMEISNSVSSMGAPNKCTTFSPVSERWEDWLESTNLDNIQGDFAPWLGSTDLVNVGQDAPVQECSALQQWLKPSHSPSREPICTRELELLKEEMAKVKRDVQDLLPKRVEDVQATITPDLSATNNLKFDFHNPFLLFQEMFKELMKWKAKMEDEMLEISNSLSTLQSSKQYAA >Manes.15G173750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15053929:15062622:-1 gene:Manes.15G173750.v8.1 transcript:Manes.15G173750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCLECILKLLNFLLTLVGLAMVGYGIYLFVEYKRADDETLAPVSGDPGLIQLGRPVLMAVSLSESIFDKLPKLWFIYLFIGVGVILFLISCFGCIGSVTRNGCCLCCYSVLVILLILVELGCAAFFFFDKSWKEELPTDKTGDFDMIYDFLKENWNIVRWVALGVVVFEALLFVLALMVRAANRPADYDSDDELIAPRQQIRQPLLNRPPPVPATGVPVAGTLDQRSSRNDAWSARMREKYGLDTSEFSYNPSESHRFQQVSSQPAEERSRCTIM >Manes.15G173750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15053929:15062607:-1 gene:Manes.15G173750.v8.1 transcript:Manes.15G173750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCLECILKLLNFLLTLVGLAMVGYGIYLFVEYKRADDETLAPVSGDPGLIQLGRPVLMAVSLSESIFDKLPKLWFIYLFIGVGVILFLISCFGCIGSVTRNGCCLCCYSVLVILLILVELGCAAFFFFDKSWKEELPTDKTGDFDMIYDFLKENWNIVRWVALGVVVFEALLFVLALMVRAANRPADYDSDDELIAPRQQIRQPLLNRPPPVPATGVPVAGTLDQRSSRNDAWSARMREKYGLDTSEFSYNPSESHRFQQVSSQPAEERSRCTIM >Manes.05G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3316323:3323788:-1 gene:Manes.05G041300.v8.1 transcript:Manes.05G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYGSSSSYPYNNPYSYPYRHPSPPPPNHGYPPPPSSDSYLPPAPYPYAYAPYAYPPSPAYASPPSQHQQPPYPTSHSGPLDYYQPHSGHLPHQYPYPPSPIPHGSPTPSLTHHGSFNYSVSQYPYQPPPSHDSSPQGSSFSTHQRHESCPPMGTGSSINHDNHSDIANSHSSAYPPLDDLMSNMHLNDNNRPSAHASPPAPSVPPVPDSPVSLQSSSFGYDRHGFYGYPNDSFSSNYEGAYLGRIDSSGHYSTSVYAHSSSFSDSKHGQSAQIVPWQNNKGSLRVLLLHGNLDIWVFEAKNLPNMDMFHKTLGDVFTKLPGNIGSKIEGQMSRKITSDPYVSIAVTGAVIGRTYVISNNEDPVWLQHFYVPVAHNAAEVHFVVKDSDVVGSQLIGVVAIPVEQIYSGARVEGVYPVLNNSGKPCKPGATLKISIQYTPMEKLSIYHQGVGAGPDYQGVPGTYFPLRKGGTVTLYQDAHVPDGCLPSLKLDNGFSYVHGKCWVDIFDAIRQARRLIYITGWSVWHNVRLVRDAGYPSDITLGDLLRSKSQEGVRVLLLVWDDPTSRSILGYKTDGIMATHDEETRRFFKHSSVQVLLCPRIAGKRHSWVKQREVGTIYTHHQKTVIVDADAGGNRRKIIAFVGGLDLCDGRYDTPHHPIFRTLQTVHKDDYHNPTFPANNVSGCPREPWHDLHCRIDGPAAYDVLTNFEERWFKAAKPHGIKKLKMSYDDALLRIERIPDIIGVSDAPSVGENDPEVWHTQIFRSIDSNSVKGFPKDPKAATSKNLMCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSFNWSSYKDLGANNLIPMEIALKIADKIRANERFAAYIVIPMWPEGVPTGAATQRILFWQHKTMQMMYETIYKALVEVGLENMFSPQDYLNFFCLGNREATDAYETSGIESPAAANTPQALSRKSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPNHTWARRRSNPHGQIYGYRMSLWAEHVGTLEDCFTQPESIECVRRIRTLGEMNWRQYVADEVTEMRGHLLQYPVEVDRKGKVRPLPGCETFPDVGGNIVGSFLAIQENLTI >Manes.07G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32518471:32528132:-1 gene:Manes.07G120700.v8.1 transcript:Manes.07G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLDRSRAFVKDVKRIVVKVGTAVVTRSDGRLALGRLGALCEQIKEMNSEGYEVILVSSGAVGIGRQRLRYRKLVNSSFADLQKPQVEMDGKACAAVGQNSLMALYDTLFSQLDVTSAQLLVTDNDFRDKEFRKQLDETVKSLLSLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLSALLALELKADLLILLSDVEGLYSGPPSDPRSKLIHTYIKEKHQGEITFGDKSRVGRGGMTAKVKAAINAAYAGVPVVITSGYAPENIIKVLQGECIGTLFHQHAHLWTPVKDFGARAMAVAARESSRRLQALSSQERRKILLDIANALEANEQLINVENEADVTAAQLAGLEKSLISRLALKPGKIKSLANSIRVLANMEDPIGNVLKRTELADGLLLEKTSSPLGVLLIIFESRPDALVQISSLAIRSGNGLLLKGGKEAKRSNEILHKVITSAISETVGGGLIGLVTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYVDKSANMEMAKRIILDAKVDYPAACNAMETLLVHKDLVQAGGLNELTFDLRTEGVNLYGGPRASKELNLPEAHSFHHEYNSMACTIEIVDDVYAAIDHIHQHGSAHTDCIIAEDHEVAEVFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGSGQIVDGDKGVIYTHKDVTYSIANGCIPPSLQKEENGCIPTH >Manes.16G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7140629:7148039:1 gene:Manes.16G044200.v8.1 transcript:Manes.16G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLKQAVIVSVLAFCCLETRRLSAAQLSQDEVDALNQITKRMGADGWNFNVDACGDSVKPTLLTDPERNISCNCEFPNNTCHITALIIKRYSLPGELPPELVRLPYLESIDFAYNYLNGSIPSEWTSLKLKSISLLANRLSGNIPSYLENFTSLTYLNLELNQFSGTVPRELGKLVNLGNLILSSNQLTGNLPMELAELKNLTDFRINDNNFNGSIPDFIQNWKQLKRLEIQASGLEGPIPSSISVLEKLTDLRISDINATNQTFPELSNITGLTRLVLRSCNISGEIPLYIWQLSRLRILDLSFNKLHGELPDAITTETLIFIFLSGNLLSGNIPLLRKGTNVDLSYNNFTRQSAEQPVCKQTDLSLNLFRSSSTGNDLGGACANNFSCGQYGHSWYINCGGEEVNINGTKYEGDEASGGAALFDPSNDDWGLSSTGDFMDDNDFQNKAYIENLPSLNIDELYRTARLSPISLTYYHQCLENGNYTVSLHFAEIQFTNDSMYNSLGRRMFDIFIQNDRVEKDFDIEVKANGVAKPIIEKYNATVTNNILEIRFFWAGKGTTRVPVSGVYGPLISAISVVHPNFEPRSGGGKTKIAPIVVGVVGSCLILLALTIIVWRRYFRVKNKTQKDFERLDSQTASFTLRQIRAATNNFDPANKIGEGGFGPVYKGLLSDGTIIAVKQLSSKSSQGNREFLNEIGMISCLQHPNLVKLHGCCIEGNQLLLVYEYMENNSLARVLLGSEHSQLKLDWQTRQKICVGIARGLAFLHEESRLKIVHRDIKATNVLLDKHLNPKISDFGLAKLDTEEKTHISTRIAGTIGYMAPEYALWGYLTHKADVYSFGIVALEIVSGKGNMNYGPENNFACLLDWACHLQQNGNLMELVDEKLGSEFNKVEAERMIKAALLCTNASPSLRPTMSEVVRMLEGTQTIPDLIPEASSYNEDLRFKAIREHKKQLRSQSTRGSQDSNSTSVVSEQVSSSATAHGLYEINEESYMNSKAIKDHHKQMEIQVSTSFSITASSSASVHDLHGFNSNSQ >Manes.16G044200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7140629:7148039:1 gene:Manes.16G044200.v8.1 transcript:Manes.16G044200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLKQAVIVSVLAFCCLETRRLSAAQLSQDEVDALNQITKRMGADGWNFNVDACGDSVKPTLLTDPERNISCNCEFPNNTCHITALIIKRYSLPGELPPELVRLPYLESIDFAYNYLNGSIPSEWTSLKLKSISLLANRLSGNIPSYLENFTSLTYLNLELNQFSGTVPRELGKLVNLGNLILSSNQLTGNLPMELAELKNLTDFRINDNNFNGSIPDFIQNWKQLKRLEIQASGLEGPIPSSISVLEKLTDLRISDINATNQTFPELSNITGLTRLVLRSCNISGEIPLYIWQLSRLRIFFLSGNLLSGNIPLLRKGTNVDLSYNNFTRQSAEQPVCKQTDLSLNLFRSSSTGNDLGGACANNFSCGQYGHSWYINCGGEEVNINGTKYEGDEASGGAALFDPSNDDWGLSSTGDFMDDNDFQNKAYIENLPSLNIDELYRTARLSPISLTYYHQCLENGNYTVSLHFAEIQFTNDSMYNSLGRRMFDIFIQNDRVEKDFDIEVKANGVAKPIIEKYNATVTNNILEIRFFWAGKGTTRVPVSGVYGPLISAISVVHPNFEPRSGGGKTKIAPIVVGVVGSCLILLALTIIVWRRYFRVKNKTQKDFERLDSQTASFTLRQIRAATNNFDPANKIGEGGFGPVYKGLLSDGTIIAVKQLSSKSSQGNREFLNEIGMISCLQHPNLVKLHGCCIEGNQLLLVYEYMENNSLARVLLGSEHSQLKLDWQTRQKICVGIARGLAFLHEESRLKIVHRDIKATNVLLDKHLNPKISDFGLAKLDTEEKTHISTRIAGTIGYMAPEYALWGYLTHKADVYSFGIVALEIVSGKGNMNYGPENNFACLLDWACHLQQNGNLMELVDEKLGSEFNKVEAERMIKAALLCTNASPSLRPTMSEVVRMLEGTQTIPDLIPEASSYNEDLRFKAIREHKKQLRSQSTRGSQDSNSTSVVSEQVSSSATAHGLYEINEESYMNSKAIKDHHKQMEIQVSTSFSITASSSASVHDLHGFNSNSQ >Manes.13G068901.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8923209:8926417:1 gene:Manes.13G068901.v8.1 transcript:Manes.13G068901.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMDFSSPFTVLEGSYSKDNVSSMGNPNSENLDNLKQTANGKPPLNLSVMQHSMSTMRMMDAADLAMDVGVVVDKTPSDEKSNFLPVFRSGSCAEGGPKQYMEDEHVCIDDLIEHLGAISNLPTPGAFYGVFDGHGGTDAASFVRNNILRFITEDSHFPICMEKAITSAFVKADYAFADDSELDISSGTTALTALFFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDAERCSRELVREALKRNTCDNVTVIVICFTPDPPPRIEIPQFRVRRSISTEALNLLKGMLNSNS >Manes.13G068901.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8923209:8926417:1 gene:Manes.13G068901.v8.1 transcript:Manes.13G068901.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMDFSSPFTVLEGSYSKDNVSSMGNPNSENLDNLKQTANGKPPLNLSVMQHSMSTMRMMDAADLAMDVGVVVDKTPSDEKSNFLPVFRSGSCAEGGPKQYMEDEHVCIDDLIEHLGAISNLPTPGAFYGVFDGHGGTDAASFVRNNILRFITEDSHFPICMEKAITSAFVKADYAFADDSELDISSGTTALTALFFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDAERCSRELVREALKRNTCDNVTVIVICFTPDPPPRIEIPQFRVRRSISTEALNLLKGMLNSNS >Manes.13G068901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8923224:8926307:1 gene:Manes.13G068901.v8.1 transcript:Manes.13G068901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMDFSSPFTVLEGSYSKDNVSSMGNPNSENLDNLKQTANGKPPLNLSVMQHSMSTMRMMDAADLAMDVGVVVDKTPSDEKSNFLPVFRSGSCAEGGPKQYMEDEHVCIDDLIEHLGAISNLPTPGAFYGVFDGHGGTDAASFVRNNILRFITEDSHFPICMEKAITSAFVKADYAFADDSELDISSGTTALTALFFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDAERCSRELVREALKRNTCDNVTVIVICFTPDPPPRIEIPQFRVRRSISTEALNLLKGMLNSNS >Manes.13G068901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8923223:8926308:1 gene:Manes.13G068901.v8.1 transcript:Manes.13G068901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMDFSSPFTVLEGSYSKDNVSSMGNPNSENLDNLKQTANGKPPLNLSVMQHSMSTMRMMDAADLAMDVGVVVDKTPSDEKSNFLPVFRSGSCAEGGPKQYMEDEHVCIDDLIEHLGAISNLPTPGAFYGVFDGHGGTDAASFVRNNILRFITEDSHFPICMEKAITSAFVKADYAFADDSELDISSGTTALTALFFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDAERCSRELVREALKRNTCDNVTVIVICFTPDPPPRIEIPQFRVRRSISTEALNLLKGMLNSNS >Manes.05G185400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30514301:30519388:-1 gene:Manes.05G185400.v8.1 transcript:Manes.05G185400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLSSRIVILLLLVLLLGLSAESVVVPNQFYQIASKGHVAAADSIILNPRFEDGLNNWSGKGCKIEVHESMGDGRIIPQSGKFFASATERTEKWNGIEQEITGRVQQHVAYEVVALVRIYSDYITSAGVEVTLWVQEQDLREEYIAIANSQATDKDWVQLQGEFLLNSSPSRAVIYLEGPPPGTDILINSLFVMHAEKNPTSSRPVSKNVPFGVNIIENSDLDDATAGWFPLGNCALSVQTGSPHVLPPMASDSLGPYKPLSGRYIMVTNRSDSWMGPAQMITEKLQLYLTYQVSAWVRIGAGATEPQILNVALSVDGQWVNGGEVESNDDKWHEIGGSFRIEKQASNVMVYVQGPASGVDLMVAGLHIFPVNRKARFKYLKQQTDKIRKRDIILKFSGSENSNILGNLVKVAQTQNSFPLGSCITRTSMDNEEFLKFFIKNFNWAVFENEMKWSWTEPQEGKFDYREADELVDWCKNHNIEIRGHCIFWEMEYAVQSWVRSLNESGLRTAVQNHLTDLLTRYKGMFKHYDVNNEMLHGSFYKDRLGKDIRANIFKTANQLDPSANLFVNDYHIEDGIDIRSTPEKYIQQILDLQEQGAPVGGIGIQGHIDVPVGSIVSSALNKLGTLGLPIWFTELDVSSANEYIRADDLEVMLREAYAHPAVEGVILWGFWELYMSRKYAHLVNADGKINLAGKRFLALKREWLSHARGTINQQGEFRFRGFQGTYNIEVISSSKIVNRTFIVDKGDLPLILSIAL >Manes.05G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1150366:1156336:-1 gene:Manes.05G007100.v8.1 transcript:Manes.05G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKHLGRLVSGVSLVVKEIATRSQALELPAGAGFQTLITSAAKKALVSVTDVSGLTKGKVREFSPPKSKESVVYFDHSADVAEPTPAQPHSPDDNGNSNVDVIAGNVVGEKDSRNELVDLEKDGLQSRNVETKGNAGSEGVASALPTELKRRKPRERRVPSTPFSRALGFAGLGVGLAWGTIQESTKRLVYGAPSSQDKQSALSPFLSEKNAERLALALCRMRGAALKLGQMLSIQDESLVPAPILAALDIVRQGADVMPRSQLNRVLDSELGSDWSTKLTSFDYEPIAAASIGQVHQAVTKDGMLVAMKIQYPGVANSIDSDIENVKLLLDYTNLIPKGLYLERAMKVAKEELSRECDYELEATNQKRFRKLLSDAKGFYVPMVIDELSSKRVLTTELISGIPIDKVALLDQETRNYVGTKLLELTLMELFVFRFMQTDPNWGNFLYDEATKTINLIDFGAARDYPKSFVDDYLRMVVACANCERDAVIEMSRRLGFLTGMESEIMLDAHVQAGLIVGLPFSKPNGFDFRSTNITQSVTNLGTTMLKHRLTPPPEESYSLHRKLSGAFLACIKLGATVPCRELLLEVYENYQFGEEKGEMLPRGSNS >Manes.12G089104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14626986:14633290:-1 gene:Manes.12G089104.v8.1 transcript:Manes.12G089104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKDSRPISPKERLSRKGSLDRRVAHVNSSRRDDPIRSKSKSDSGDVKVMLLNKKISGSNRLYDDQIEKNKIENQIEEKKVENCEFAVISHPQIEKKNTEKCDVSVCSHPGWGRVPKCLEAEQVAAGWPSWLASAAGEAIKGWVPRRANTFEKLGKIGQGTYSNVYKARDVTHNKIVAIKKVRFNNSDPESVKFMAREIHILRILDHPNIIKLEGLITCQTSSSLYLVFEYMEHDLTGLASLPGIKFTEPQIKCFMQQLLSGLDHCHSRGVLHRDIKGSNLLIDDNGILKIADFGLASFFDPKSNAQLTSRVVTLWYRAPELLLGASHYGVAVDLWSTGCILGELYTGKPILPGRTEVEQLHKVFKLCGSPPEDYWKKLELPHSSVMKPQRPYKRCVADTFKDTPAPAVGLMETLLSMDPANRGTAAFALKDKFFRSKPYACDPSSLPKYPPSKEIDAKLRDEESRRQGVIGAQRNGPLGSGAALASNANPNLSILMQERRLSNANSRGEMFNSHRQQTVSGFLFDPSELNEAAKECRRGFRENQRKKVSHSGPLVQGTGWTKFGKDLDNPHVVSTRTNLSTVSGLLAPKTSFPDDQQGRPGTSRSAVVKQLGGFQGSSNGLEPTIKKSKKCQVRRPADSPEAEGLKSREASLYDRGPRGSKIYVSGPLLVPSNNVEQMLKDHDRKIQEYARRRLDKTKNGKMNVEGKQSTENLTYMSKHLGG >Manes.S028616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:562056:562190:-1 gene:Manes.S028616.v8.1 transcript:Manes.S028616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.07G097204.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30157812:30158045:1 gene:Manes.07G097204.v8.1 transcript:Manes.07G097204.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIRYVNKFKCVVENFLGIVHVNDTSASSLEKTIESLIFTYGLSVSNLRGQGYNGASNLRGEFNGLKSLIFKREL >Manes.06G017900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:3000665:3001242:-1 gene:Manes.06G017900.v8.1 transcript:Manes.06G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERTKMCWVLFCDEEEIELGRQQAPGSCPHCGGKVQAMDVETKWSFCFLPVSYKIKRKYFCSLCSRRLELYH >Manes.15G117400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9384498:9385841:1 gene:Manes.15G117400.v8.1 transcript:Manes.15G117400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPFQNLVTGVPINSSESCRLGRPVRGYLPNPANQCHLSSSNVCSTFKQSKVDSVFKRMMSKLGKKADSFAEGVREHVRLGANISETVKGKFSLGAKILQVGGVEKIFRLLFRVEEEEEKLQKVSQCYLSTTAGPIAGLLFISTYKVAFCSERSIKFSSASGKSVRIHYKVLIPLAKVKRVDRSENVKKPSQKYMEIATVDGFDFWFMGFFNYQKTLKCLQQALAVSLNTDD >Manes.18G074601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6808314:6808657:1 gene:Manes.18G074601.v8.1 transcript:Manes.18G074601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLDVESEWLGSLCSSQSLSHHRKWLKGDWHDLSWGEFWSYEDHDLSRFPFESNRDMFLSLVGLSFICISFLFFWTLKACISNYLCKD >Manes.18G074601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6808314:6808657:1 gene:Manes.18G074601.v8.1 transcript:Manes.18G074601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLDVESEWSLSHHRKWLKGDWHDLSWGEFWSYEDHDLSRFPFESNRDMFLSLVGLSFICISFLFFWTLKACISNYLCKD >Manes.14G172200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28354336:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCAFNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLTFAPFSEVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRRFRLSCLRNKYVEVQKLAYSNMLWCFLATKMKVYFSII >Manes.14G172200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28357910:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCAFNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLTFAPFSEVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRRFRLSCLRNKYVEVQKLAYSNMLWCFLATKMKVIESGEGPCVTLILEHATTKFRSSSKVHEENTASSMTWKLKWFSEDGLFNFVALLKAIHSGMKTSPLLITRML >Manes.14G172200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28357910:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRSLEQVQVELFEKQICGGAKISIFQYAMVLFSHKDEEESWLPRSLFVSGAYVFLCIEELKQFAFPSVDASSSPYFSLDWCCSIGDVSELVIESGEGPCVTLILEHATTKFRSSSKVHEENTASSMTWKLKWFSEDGLFNFVALLKAIHSGMKTSPLLITRML >Manes.14G172200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28354336:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCAFNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLTFAPFSEVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRSLEQVQVELFEKQICGGAKISIFQYAMVLFSHKDEEESWLPRSLFVSGAYVFLCIEELKQFAFPSVDASSSPYFSLDWCCSIGDVSELVTFHLYHSFYFINIISYFYTEVTAISILVSLCLLMPN >Manes.14G172200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28357910:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCAFNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLTFAPFSEVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRSLEQVQVELFEKQICGGAKISIFQYAMVLFSHKDEGH >Manes.14G172200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28354336:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRSLEQVQVELFEKQICGGAKISIFQYAMVLFSHKDEEESWLPRSLFVSGAYVFLCIEELKQFAFPSVDASSSPYFSLDWCCSIGDVSELVTFHLYHSFYFINIISYFYTEVTAISILVSLCLLMPN >Manes.14G172200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28357910:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCAFNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLTFAPFSEVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRSLEQVQVELFEKQICGGAKISIFQYAMVLFSHKDEEESWLPRSLFVSGAYVFLCIEELKQFAFPSVDASSSPYFSLDWCCSIGDVSELVIESGEGPCVTLILEHATTKFRSSSKVHEENTASSMTWKLKWFSEDGLFNFVALLKAIHSGMKTSPLLITRML >Manes.14G172200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28354336:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCAFNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLTFAPFSEVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRRFRLSCLRNKYVEVQKLAYSNMLWCFLATKMKRNHGFQGHFL >Manes.14G172200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28353711:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCAFNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLTFAPFSEVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRRFRLSCLRNKYVEVQKLAYSNMLWCFLATKMKRNHGFQGHFL >Manes.14G172200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28348234:28357910:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSLNLNIFISPSILILGISSGPIRQCCWTMQVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRSLEQVQVELFEKQICGGAKISIFQYAMVLFSHKDEEESWLPRSLFVSGAYVFLCIEELKQFAFPSVDASSSPYFSLDWCCSIGDVSELVIESGEGPCVTLILEHATTKFRSSSKVHEENTASSMTWKLKWFSEDGLFNFVALLKAIHSGMKTSPLLITRML >Manes.14G172200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28354336:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLEKLFNFVEHHAGPLIDGSLVLKLNPAGLHYIQSRLEALHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTSLKVVSVLPSPLRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRYTLEKIICHNSTDALRHVFASRIAEIKDSPQWNRLSFVSCAFNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLTFAPFSEVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRSLEQVQVELFEKQICGGAKISIFQYAMVLFSHKDEGILLNNLS >Manes.14G172200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28345018:28357910:1 gene:Manes.14G172200.v8.1 transcript:Manes.14G172200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSCHIVKLVLRNNALTTLCGLKNLKSLEGLDVSYNVISNFSELEYLASLPSLQNLWLEGNPLCCARWYRAQVFSYFAYSDTLKLDDKEISTREFWKRQIIIASRQKRPSSYGFYSPAKEDAEEEGGIIRKRRKVSRLAAIESEGDSIYFSSDQESPSCDNDIQSKEENVLSDDEAEIVDLINRVELMKREDSILWLREFKEWMDHESENYVDGGKHSRATLHHMKENHFKSKANQKHHGQSSRYALNSFHASGDETSIDGLDSDASSIVGMSLPGMVGPSQKYKNSHPHEGLTMQGYHRAIENGRLSPLTAIDDTTESHSSSAYPGSPPHYQVDLLHRRHNLVEEILQLSAESYSVASSDSDTSSSEDDVCEYGHAVQEDHSQDEEYLNLSVLVNSSSNLFDKNYDQKYGIHHLRVNGRFLFDPHDVQTSTKTKSSNSKRIDSPAVSSGGEISQFADEEADWLEKPKSKKRPRRRVISLLENGVDKSWTSERPSVEMDTCEADEEDEQMKQIVDTGNFHDITDKKKLQKAAIKTPAVANIGRFSDDFIEKYFTANVADSRINETCRHYLHCDCVIEPESLYKEREVAVLLSSANKLYVLLVGVAFDGSGNILSLLGWHRVEDVKEVLVGVGLQAMRVYIERGGTYLFLTRSIEKSRLLLYMLQVFVPCATNDKCLLRSLEQVQVELFEKQICGGAKISIFQYAMVLFSHKDEEESWLPRSLFVSGAYVFLCIEELKQFAFPSVDASSSPYFSLDWCCSIGDVSELVIESGEGPCVTLILEHATTKFRSSSKVHEENTASSMTWKLKWFSEDGLFNFVALLKAIHSGMKTSPLLITRML >Manes.09G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7031710:7034920:-1 gene:Manes.09G036800.v8.1 transcript:Manes.09G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSDTKYISINGGTLVSDISRLSSLLKTRRTLAFAYGFMFAFVAFTVFLAFNPSPNSSSPWFSNIFTSSSVTTSYDSYRSRFSSIFSYFSPNNSSSDQQEHDFSTLPTQSDAGSNTSLSQPSGANNGVRDLPIVQNRTQNTVDSVKPLVFQANQSNNASIVSEVSSTAKNNTQNIQNSDKDHALKPNQTTIPSPMIPVRANTKSVSPVPANQNAKSSTKPVSPVPANQNPQSPTNSASSVKGNSGKQEKGVEVKNEASNYTASLSKKHSNGSKQKNETNSEASANQGIESLLNCDLFDGEWVRDDSYPLYKPGSCSLIDEQFNCIINGRPDKDYQKYKWKPKSCTLPRLNPAHMLDMLKGKRLVFVGDSLNRNMWESLVCMLKGSVKDQSKVFEANGRHHFRGEASYSFIFKDYDCTIEFFVSPFLVQEWEMSDKNGSKKETLRLDLVGRSSDQYKNADIIIFNTGHWWTHEKTSKGKDYYQEGSHVYDELNVLEAFRKALTTWARWVDANVNPMKSVVFFRGYSASHFSGGQWNSGGACDSEVEPIKNATYLREYPPKMLVLEKILRGMKTHVKYLNVTQMTDYRKDGHPSIYRKQKLSLEERRSPLLYQDCSHWCLPGVPDAWNEILYSELLINEHQKLQAQKRHR >Manes.01G142800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33367326:33375567:-1 gene:Manes.01G142800.v8.1 transcript:Manes.01G142800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDQRETIREMDDSQLVGHSPAVAALPRDVSMVDVEVRTVATVPVADVGTIKRKRGRPPRIQGKTGPPPSSQRKKKDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDESFFRSKAKWNCGWHICSSCQKTSQYMCYTCTYSLCNACIKGADYVCVRGNKGFCGTCLRTIMLIENISLGSTEMVQVDFDDKTSWEYLFKVYWVYLKAKLSLTVEELTRAKNPWKGDELAKAKNYWKGAGVVFPKEASSGEIYHGYDEKSSCLNNFCRDVEADNSKRRKTKDQMNLINEKHTLVVEKSGIDKVTPLLEGTTWATKELLEFVAHMRNGDTSMLSQLDVQGLLLEYVKRNNLRDPCQKSEIVCDSRLITLFGKSRVGHFEMLKLLEYHFLIKENSPANDAVGGQLEAAGSTESQLIMGNDGRCITHKKMDERGPECNPNPDEYAAIDDHNINLLYLKRGLMENLMDDIENFQEKVVGSFVRTRVLGGDQKQDMHRLVKVVGTSKVAESYKVGSRTTDVMLEILNLDKKEVISIDGVSNQEFSEDECRRLRESIKCGLVKRLKVGEIQEKAMALQPVKVSDVSSSWAVSLPKIHADPNMDPNHESGEDAEESNEKKQGEHVRPRLIDVGRKTADLNYSLREVDENMVGNSAGKNLVTSCERNRNIFSTLVHERVDKSTWSQEGDAYGLNLNASREQLGATDDWHSQAAVQSDSLPEVASTVIPSPLSSGREQPFTDFETEKLWHYQDPFGKVHGPFSVIQLRKWNASGVFPADFRVWRINEKQDDSILLADALVCRLSKESVQHSNGYLLPQEAKVPSNDARIKCEHGLSESTDATWINGKGVDHDQKPVQNAVSVNGNADDEIVNSGELSKGGKSWASPSPSSSEKFFGSLLLQLREGHVDEKWTLSPSNADGNSYRTAEGKNNVKESDEQRERTDSEDYSSQSSGQNWGSQPINSSSSGWDSNPSFVPVAKSTDKSEQNQQIGFSDLLRQPPKHSDGGLKGQSANNKPTVCSSVPVQDSGPSWSTASSLVVGGGQRPEVAADWGSYSTTPAKPSVEEWDSNVVSASSLKPTEGDHAATPTSGTDQLTHSSPTHPAIDASSWHPIVPEPNEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKMTHGGELTPGSDDDCFSPVEPFSPAPDPGKSDALSSTGDVQMPPHLTSSDELLRLSLMPSRASQLSSQMTVTAKPHHLSRMPLHSIVPDDNYRASSVPSQSTLTEEPLGLWQTGILNPQKSFSGHSPSSADTKPSDVSVNQWERRPEIQPRASSTVKQGDTGSGIRGATPSAVSQMETGSDTRRANLNRRAPQGSTNMTWGTGHGSVQQQNSSVPAVSTSNIGVGSWGSQPRNSGDNRYSSPRDHRSNYFQDRESGFGRDRSSWNRQPTYGFGNGGGSSKPQGKGQRVCKFYESGYCKKGASCSYWHP >Manes.01G142800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33367071:33375601:-1 gene:Manes.01G142800.v8.1 transcript:Manes.01G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQQQKLEECRPCIQQDEEHRILHNSLPDSDLMTIDQRETIREMDDSQLVGHSPAVAALPRDVSMVDVEVRTVATVPVADVGTIKRKRGRPPRIQGKTGPPPSSQRKKKDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDESFFRSKAKWNCGWHICSSCQKTSQYMCYTCTYSLCNACIKGADYVCVRGNKGFCGTCLRTIMLIENISLGSTEMVQVDFDDKTSWEYLFKVYWVYLKAKLSLTVEELTRAKNPWKGDELAKAKNYWKGAGVVFPKEASSGEIYHGYDEKSSCLNNFCRDVEADNSKRRKTKDQMNLINEKHTLVVEKSGIDKVTPLLEGTTWATKELLEFVAHMRNGDTSMLSQLDVQGLLLEYVKRNNLRDPCQKSEIVCDSRLITLFGKSRVGHFEMLKLLEYHFLIKENSPANDAVGGQLEAAGSTESQLIMGNDGRCITHKKMDERGPECNPNPDEYAAIDDHNINLLYLKRGLMENLMDDIENFQEKVVGSFVRTRVLGGDQKQDMHRLVKVVGTSKVAESYKVGSRTTDVMLEILNLDKKEVISIDGVSNQEFSEDECRRLRESIKCGLVKRLKVGEIQEKAMALQPVKVSDVSSSWAVSLPKIHADPNMDPNHESGEDAEESNEKKQGEHVRPRLIDVGRKTADLNYSLREVDENMVGNSAGKNLVTSCERNRNIFSTLVHERVDKSTWSQEGDAYGLNLNASREQLGATDDWHSQAAVQSDSLPEVASTVIPSPLSSGREQPFTDFETEKLWHYQDPFGKVHGPFSVIQLRKWNASGVFPADFRVWRINEKQDDSILLADALVCRLSKESVQHSNGYLLPQEAKVPSNDARIKCEHGLSESTDATWINGKGVDHDQKPVQNAVSVNGNADDEIVNSGELSKGGKSWASPSPSSSEKFFGSLLLQLREGHVDEKWTLSPSNADGNSYRTAEGKNNVKESDEQRERTDSEDYSSQSSGQNWGSQPINSSSSGWDSNPSFVPVAKSTDKSEQNQQIGFSDLLRQPPKHSDGGLKGQSANNKPTVCSSVPVQDSGPSWSTASSLVVGGGQRPEVAADWGSYSTTPAKPSVEEWDSNVVSASSLKPTEGDHAATPTSGTDQLTHSSPTHPAIDASSWHPIVPEPNEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKMTHGGELTPGSDDDCFSPVEPFSPAPDPGKSDALSSTGDVQMPPHLTSSDELLRLSLMPSRASQLSSQMTVTAKPHHLSRMPLHSIVPDDNYRASSVPSQSTLTEEPLGLWQTGILNPQKSFSGHSPSSADTKPSDVSVNQWERRPEIQPRASSTVKQGDTGSGIRGATPSAVSQMETGSDTRRANLNRRAPQGSTNMTWGTGHGSVQQQNSSVPAVSTSNIGVGSWGSQPRNSGDNRYSSPRDHRSNYFQDRESGFGRDRSSWNRQPTYGFGNGGGSSKPQGKGQRVCKFYESGYCKKGASCSYWHP >Manes.10G118000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28309606:28313489:-1 gene:Manes.10G118000.v8.1 transcript:Manes.10G118000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDIAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKSKFYGRVKA >Manes.07G112905.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31850616:31853618:1 gene:Manes.07G112905.v8.1 transcript:Manes.07G112905.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPHFFKIILDSTIRQRKLAIPRKFVKKYGNCLSSPVILSVPSGTIWRVELLKCNDDVWLGQGWQDFSNYYSLERGSFLVFKYEGECRFHVVIFDKSASEIEYPYSNSHNANPNHDELQDIKIEENEDDISVKALDVLSQCKKVEEKSTFLHPQSRKVMQSRCKKNDRRKVGRPPSFPITSQALEAANNYVAKYPSFTVLMKRYHWENGIVVLPSSFFVRHFECKTQSIMLQNADRFWPVKLISSSDSTRASFSAGWRVFSRENAIEVGDACVFELIKRNVLNVTIFRCVD >Manes.02G092100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7203889:7208890:-1 gene:Manes.02G092100.v8.1 transcript:Manes.02G092100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVDSLPTSTTAATVTVTATATATSAKKETSDSNLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNSLSDDLDAPIHDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPEIRDAAICEIAKMSVRSIVLDPPPFQSTSAQELNKSLKLAGKGAAIATSRRSDE >Manes.05G142100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24173452:24179833:-1 gene:Manes.05G142100.v8.1 transcript:Manes.05G142100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEETLAAGMYLYGDLDLKIIEARRLPNMDLFTARIRWCLTAFDSCRKRFSREKKRPHENRIITSDPYVTVCIAGATVARTRVISNTQHPFWNEHFIIPMAHPASHVEFYVKDNDVFGADLIGVATVPIEKIISGERISDWFPIIGPYGKPPKSDCAVRVEMKFTQCKENPLYKYGIAANPNDSGIRNCYFPVRHGNSVTLYQDAHVPDSMLPDIKLDNGDVFKHEKCWEDICHAILEAHHMVYIVGWSIFHKVKLVREPTRPLPNGGNLNLGDLLKYKSQEGVRVLMLVWDDKTSHSKFFINTVVGTLFTHHQKCVIVDTQASGNNRKISAFIGGLDLCDGRYDTPEHRLFKDLDTVFQDDYHNPTFSAGTKGPREPWHDLHCKIEGPAAYDILTNFEQRWKKASKWSEFGQRFKRAAHWHDDSLIKLERISWILSPSPSIPKDDPKLWVSDKDDPENWHVQVFRSIDSGSLKGFPKDVYQSEAQNLVCAKNLVIDKSIQTAYIQAIRSAQHSIYIENQYFIGSSYAWPSYKEAGADNLIPMELALKIASKIRAKERFAVYVVIPMWPEGVPSSVSVQEILFWQGQTMQVMYEIIAKELKAMNLENLHPQDYLNFYCLGNREEPPKELLESSNKPQNSGDVVSASQKHQRFMIYVHSKGMIVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPSYTWANKKRHPRGQVYGYRMSLWAEHMGLVDKLFEDPETLDCVKTVNKIAEDNWRRYTGEEFSPLQGHLLKYPLKVDINGKVSPLPGQESFPDVGGKVLGARSTLPDSLTT >Manes.05G142100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24173452:24179833:-1 gene:Manes.05G142100.v8.1 transcript:Manes.05G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEETLAAGMYLYGDLDLKIIEARRLPNMDLFTARIRWCLTAFDSCRKRFSREKKRPHENRIITSDPYVTVCIAGATVARTRVISNTQHPFWNEHFIIPMAHPASHVEFYVKDNDVFGADLIGVATVPIEKIISGERISDWFPIIGPYGKPPKSDCAVRVEMKFTQCKENPLYKYGIAANPNDSGIRNCYFPVRHGNSVTLYQDAHVPDSMLPDIKLDNGDVFKHEKCWEDICHAILEAHHMVYIVGWSIFHKVKLVREPTRPLPNGGNLNLGDLLKYKSQEGVRVLMLVWDDKTSHSKFFINTTGVMQTHDEETRKFFKHSSVKCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDTQASGNNRKISAFIGGLDLCDGRYDTPEHRLFKDLDTVFQDDYHNPTFSAGTKGPREPWHDLHCKIEGPAAYDILTNFEQRWKKASKWSEFGQRFKRAAHWHDDSLIKLERISWILSPSPSIPKDDPKLWVSDKDDPENWHVQVFRSIDSGSLKGFPKDVYQSEAQNLVCAKNLVIDKSIQTAYIQAIRSAQHSIYIENQYFIGSSYAWPSYKEAGADNLIPMELALKIASKIRAKERFAVYVVIPMWPEGVPSSVSVQEILFWQGQTMQVMYEIIAKELKAMNLENLHPQDYLNFYCLGNREEPPKELLESSNKPQNSGDVVSASQKHQRFMIYVHSKGMIVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPSYTWANKKRHPRGQVYGYRMSLWAEHMGLVDKLFEDPETLDCVKTVNKIAEDNWRRYTGEEFSPLQGHLLKYPLKVDINGKVSPLPGQESFPDVGGKVLGARSTLPDSLTT >Manes.07G053754.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:7894037:7894861:-1 gene:Manes.07G053754.v8.1 transcript:Manes.07G053754.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFSIIYGYLFLVLFHVQFGHYSNGVRDVPKQEHFHGNTNEAMKNTSVKLYYKILDELFKRPALLVATASKFGKEFDNFVLDFVQASYIGLFTPDDVYFGKIMPIYFPQAKISVDHQQEKCEIAPAEVETKICARDMESMLDYVHRVFGSDSEFKAVETKHSMISAPLLQDYIVLEDPQEIKGPGIVICHPMYEDFFCHYDADATKVLKVSLGGNNGDKVEAIGICHMDTSGLSHDHIAFRLLPIKLGSPLCHFLAAGHLVWVQSAAVSQGLLIN >Manes.08G149800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38527363:38529099:-1 gene:Manes.08G149800.v8.1 transcript:Manes.08G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEITSEVAVASSSLPLNGRVAIVTGGSRGIGRAISAHLHSLGARVALNYASNSTQADILASELNASAASSTHPQAVAIKADVSDPDQVNQLFERAEQEFGSKVHILVNCAGVMDPKYPTLANTTVEDWDMIFNVNTKGSFLCCREAANRLAREGGGRIITISTSVVGANFPGYAAYAASKAAVETMTKILAKELKGTGITANSVAPGPVATELFFSGKTEETVKRIADACPLGRLGEPKDISEVVGFLASDAGEWINGQVIRVNGGFVI >Manes.13G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3549583:3550804:1 gene:Manes.13G026700.v8.1 transcript:Manes.13G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLLISVALASCFIVSKLVFYSHAVESPKYLLVHSESDYEIRLYREVSWIYALVQETSFEKSTEDGFHRIYQYIHGENLNSSQLPITAPVLTSVITSSPRTVYHVKLFLSKGNPPQPNPELNLQLEKWSARCLAIRKFSGFAKDDNVKKEMESLVASLTEHPTGNTVLDFNISYTIAQYNSTRHTSGRLNEVWVDVSEFNIEGCLPKQGKN >Manes.14G163612.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25004548:25004640:1 gene:Manes.14G163612.v8.1 transcript:Manes.14G163612.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELLCILNALSFIDVRFYLAIFENVMVI >Manes.02G063700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4854114:4859262:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.02G063700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4853876:4859262:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEVVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.02G063700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4853876:4859262:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEVVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.02G063700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4853853:4859262:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.02G063700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4853865:4859273:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.02G063700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4853876:4859262:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEVVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.02G063700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4854053:4859283:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.02G063700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4853865:4859275:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.02G063700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4854114:4859262:-1 gene:Manes.02G063700.v8.1 transcript:Manes.02G063700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRTDDHEPGPLPSPKKLDRFGFVKQELNNSPEGFTKGRSACEFGREERRIRKWRKMIGVGGSDWKHYVRRKSHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLFQFDQLVKEHMPKLGEHFTREMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDALDPDTLLPMAYTFKVSKRLEELKLEYDKKIGKIVQPPESGGNQKQ >Manes.12G139300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34575156:34578853:-1 gene:Manes.12G139300.v8.1 transcript:Manes.12G139300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIIGISEDPSLISNSLFINPFKIFPFQSNFFNYKNLLKRESKHSLSMGSYGQQVVVITGCSQGGIGHALAKEFAANNCLVVATSRSVDSMRDLEQDHRFYLQELDVLSEENIQHVMSNVLEKFGRVDILVNNSGIQCVAPLAEVPLSAVHKTFDTNLYGPLRLIQAAVPHMASRRKGKIVNVGSVTVMAPTPWAGVYTATKSALHSLSDTLRLELKPLGIDVINVVPGAIQSNIGNSAVASYNQMPEWKLYKPFEAAIRERAYFSQRNKSTPTDEFAKNTVSAILKKNPPAWFSTGRYSTIMSIMYHLPICIKDFIIRKVFMS >Manes.04G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3077591:3079626:-1 gene:Manes.04G026400.v8.1 transcript:Manes.04G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGQNQEMPLENIIVCSKPHQDKKPRPQPEQALKCPRCDSINTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSSKKFQDHHQTNPLTSLPSYDSNDLSLAFARLQKQSNGQLGFDDDHDLSILGNPSIHNTTSASTSPFFDALRNGILDSQSNNLQNLYYGYGNGNMGEVASGEMMMMMPYEDMSGAATQAVTVTTMKQEFCNGREEDDNKVLWGFPWQLNGNGIGGGDLDSGREAWNGLGSTWHGLVNSPLM >Manes.13G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28063071:28068296:-1 gene:Manes.13G095800.v8.1 transcript:Manes.13G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGAEIPDLEGDMDPHNAEVDMSAADEDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPASTTANQANREEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEVEAVQEALLLNESELHGRQLKVLPKRTNVPGMKQYRPRYFDPSMGYRSRRPYVPYYSPYGYGKVPRFRRPMRYMPYY >Manes.09G078863.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11880375:11883853:-1 gene:Manes.09G078863.v8.1 transcript:Manes.09G078863.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTKPISSPGRTEKYPPPLMRFLRSNGGSRSRGKSRSSPMFVKKKNAAIETQEPSSPKVTCMGQVRVKRSEQDKTRPGKTKPHCKWIRNAFFCCHRSNRKKLRPKYCMPSWRKWAVLFKVGSQRKSKIREDPSKAEAKFGNRNEELQQEREEEEETKAYVSTVCSPPKNALLLTRSRSAPYRSSSLASRFWGSPSESETIEQNRRAEQENTQEDSPASKTQSVDGESDQESRLDPETEETSSFFKEFEGPVTSIRERIMNPTKIEEQRTEEDGNVRPLILTRCKSEPARTGEKLDPELSFWKKRR >Manes.11G144500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30906512:30911647:1 gene:Manes.11G144500.v8.1 transcript:Manes.11G144500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEKPVNEVHDLGSSQETDASSVHKGEGSTGTVEEGNHSHQWKRSNLFLEIPSRTLEDSSQDSVVIKIPPSPSPTPRKVNFLLTPSSSDARACGSPGPSTSRGKSSLRSLLPKLSFKSRISTLDVEKAANLPPDTSCNIPREKPSISRSLSLSKIFTPRMNRASSLPVTPIANSNSESTRGGSTGGSLNSSGKGPQKKISRSLSVPVNGKERSIRRMDSFFRVIPSTPRVKEGDVITNASPGNDTETDDTDGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVQNLPVTLLKIQSVRSRTGGMSRALQADANGYRIWQEVPVLVIISMLAYFCFLEQLLVGNMGTGAIAISLPFSCVLGLLSSMISSTMVKRRFVWVYASTQFALLVFFAHIFYSLVNLQAVLSILLATFAGFGVAMSGSSIVVEIVRWRRRWTAQSNQLHGSYAMMGPSQLPRTANSSERSSHGRHQNESENT >Manes.11G144500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30906512:30911674:1 gene:Manes.11G144500.v8.1 transcript:Manes.11G144500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEKPVNEVHDLGSSQETDASSVHKGEGSTGTVEEGNHSHQWKRSNLFLEIPSRTLEDSSQDSVVIKIPPSPSPTPRKVNFLLTPSSSDARACGSPGPSTSRGKSSLRSLLPKLSFKSRISTLDVEKAANLPPDTSCNIPREKPSISRSLSLSKIFTPRMNRASSLPVTPIANSNSESTRGGSTGGSLNSSGKGPQKKISRSLSVPVNGKERSIRRMDSFFRVIPSTPRVKEGDVITNASPGNDTETDDTDGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVQNLPVTLLKIQSVRSRTGGMSRALQADANGYRIWQEVPVLVIISMLAYFCFLEQLLVGNMGTGAIAISLPFSCVLGLLSSMISSTMVKRRFVWVYASTQFALLVFFAHIFYSLVSRSLLNISLERNN >Manes.18G061300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5414263:5417479:1 gene:Manes.18G061300.v8.1 transcript:Manes.18G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDWGSWFPQQQFNWKPPNLNHLGSPYNFEHQNTTPSFMNAGTEMVSIKGTLPVYPSPEVPHPHIGQANEPHGWFYCLPRFRQAFKPALNSGLKEISATALRGSLNKDLTPKEESVCPQKRFLVFDQSGDQTTLMFSSGIGTNIQCFSSWGPDPTATYNFKRKDLGVKENLNVDLGALAADQFGEDYATELQSEMHEDTEELNALLYSDDESDSSEDDEVTSTGHSPSTMTSYNNEDWFVGNLEDVASSDGSTKRRKLFGGYSHSPALMDTASSMKPIRSFPCDNDAESRCEDGLNEASGEMGSEPAIKRIRKEKIRETVNILQNIIPGGKGKDAIVVLDEAINYLKSLKVKAKALGLDAP >Manes.18G126700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15660206:15668983:-1 gene:Manes.18G126700.v8.1 transcript:Manes.18G126700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANEAKLLCLSEGADTNAIPGHFRQEEIIHPIGEAGTCFPNASRLSVGLSNFAKPDGIRSILETKDMHGSLAPSLTMTLGAPSGTTGFVPYPCRAAEGREKSEAPPFQQGQRSRPILPKLSKSGPAASSEAKNVAVPELRIARPPADGRGKNQLLPRYWPRITDQELQQLSGVLNSSIVPLFEKVLSASDAGRIGRLVLPKACAEAFFPPISQSEGLPLSIKDVKGGEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTITFSRIDPGGKLIMGFRKATNNSDTQETQTTLPDATASAETSFSADCNIGWSKGESHRGRINGEALQQPTTEKKRYRNIGPKSKRLHMHSEDALELRLTWEEAQDLLRPPPRVKPSVVTIEDHEFEEFDEPPVFGKRTIFVDRSSGGQEQWAQCDDCSKWRKLPEDALLPPKWTCSENVWDSSRCSCSASEEMSPKDLDNLLRLSKDFKKRRIVERHKLSPECEPSGLDALASAAVLGDNIGDFDEPSAGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQLEREAEISQQDNNDHKDESEMISMSSNVALPVNNSENEVGQNKETELAETSAGQIDLNCDPNREEVQIDMPGFSMINIGDPFDSYIKQNGIANLRCDRQSSFGSSLHSQSNGEYSRRLSDEAFLDSVGWNREDRDD >Manes.18G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15660206:15668983:-1 gene:Manes.18G126700.v8.1 transcript:Manes.18G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVCMNASCGITTTREWKRGWRLRSGGYACLCYTCGSAFENSVYCDTFHSEESGWRECKICRKRLHCGCIASTYLIEILDFGGIGCTTCAKISPLNSIHSNEISNGFGSVTANNAADLESPPPKNRVAGSTHDEGKLAQLCRLMEANEAKLLCLSEGADTNAIPGHFRQEEIIHPIGEAGTCFPNASRLSVGLSNFAKPDGIRSILETKDMHGSLAPSLTMTLGAPSGTTGFVPYPCRAAEGREKSEAPPFQQGQRSRPILPKLSKSGPAASSEAKNVAVPELRIARPPADGRGKNQLLPRYWPRITDQELQQLSGVLNSSIVPLFEKVLSASDAGRIGRLVLPKACAEAFFPPISQSEGLPLSIKDVKGGEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTITFSRIDPGGKLIMGFRKATNNSDTQETQTTLPDATASAETSFSADCNIGWSKGESHRGRINGEALQQPTTEKKRYRNIGPKSKRLHMHSEDALELRLTWEEAQDLLRPPPRVKPSVVTIEDHEFEEFDEPPVFGKRTIFVDRSSGGQEQWAQCDDCSKWRKLPEDALLPPKWTCSENVWDSSRCSCSASEEMSPKDLDNLLRLSKDFKKRRIVERHKLSPECEPSGLDALASAAVLGDNIGDFDEPSAGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQLEREAEISQQDNNDHKDESEMISMSSNVALPVNNSENEVGQNKETELAETSAGQIDLNCDPNREEVQIDMPGFSMINIGDPFDSYIKQNGIANLRCDRQSSFGSSLHSQSNGEYSRRLSDEAFLDSVGWNREDRDD >Manes.18G126700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15660206:15668983:-1 gene:Manes.18G126700.v8.1 transcript:Manes.18G126700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANEAKLLCLSEGADTNAIPGHFRQEEIIHPIGEAGTCFPNASRLSVGLSNFAKPDGIRSILETKDMHGSLAPSLTMTLGAPSGTTGFVPYPCRAAEGREKSEAPPFQQGQRSRPILPKLSKSGPAASSEAKNVAVPELRIARPPADGRGKNQLLPRYWPRITDQELQQLSGVLNSSIVPLFEKVLSASDAGRIGRLVLPKACAEAFFPPISQSEGLPLSIKDVKGGEWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTITFSRIDPGGKLIMGFRKATNNSDTQETQTTLPDATASAETSFSADCNIGWSKGESHRGRINGEALQQPTTEKKRYRNIGPKSKRLHMHSEDALELRLTWEEAQDLLRPPPRVKPSVVTIEDHEFEEFDEPPVFGKRTIFVDRSSGGQEQWAQCDDCSKWRKLPEDALLPPKWTCSENVWDSSRCSCSASEEMSPKDLDNLLRLSKDFKKRRIVERHKLSPECEPSGLDALASAAVLGDNIGDFDEPSAGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQLEREAEISQQDNNDHKDESEMISMSSNVALPVNNSENEVGQNKETELAETSAGQIDLNCDPNREEVQIDMPGFSMINIGDPFDSYIKQNGIANLRCDRQSSFGSSLHSQSNGEYSRRLSDEAFLDSVGWNREDRDD >Manes.04G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9155643:9158411:-1 gene:Manes.04G054400.v8.1 transcript:Manes.04G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALYNKLFFSLYPTATKSSNNIKVFSSIRKIMSTSNESHGFNRPILVTKKVLASPQSEGDGAVVRRGIGGSELKFLDPFLMLDDFSVSPPAGFPDHPHRGFETVTYMLQGGITHQDFTGHKGTIHTGDVQWMTAGRGIIHSEMPAGEGIQHGLQLWINLSSEEKMIEPRYQELLSDEIPRAEKDGAEVRVIAGESMGVKSPVYTRTPTMFLDFTLKPRAQVHQSIPKSWNAFVYVIEGEGSFGLGNSSQATAHHVLVLGPGDGLSVWNRSSNPLRFVLFAGQPINEPVVQHGPFVMNTQAEIEQTIEDYYLGKNGFEMAKLWRSE >Manes.13G056300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6519602:6524198:-1 gene:Manes.13G056300.v8.1 transcript:Manes.13G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISEISTPDLRQGSQHPQSHHNHFLSQIDSLIKQTENFSHANHLPETIPSDLRQNLTQLTQLVPFPNSVNLQIWKLSYRLWNACVDIANAATIRPSSSTSINENHAALRHVAADMLSLAGDVVGVPSPAIKSASFYYKTGLIWHDLGKFDLASTCFERATDIVSKIDITRISDSGERKLLLDLNLARARTAWEVSDRNLAMTLLNRAKNMLFGTSDHYKMLAVQYLVFGKSLLSKNEANAYNDALKLLNEALDLCQKGCSASRTREQTVELKELRSKVLRFISAVHLQKGEYESVIKCVRVLREGGGGDGGDHHASLPVLAMKAWLGLGRHEEAEKELRSMVVSKAIPEGVWVSAVEAFFESAGTAGAETTKGLFLGLLGRCHVSASAAVRVAHRVIGDCVGGGEGSRVRAKVVAELVSDDRVLALFAGEAAAKERKAMHAVLWNCASDHFRSKDYMTSAELFEKSLLYIPYDMENRILRAKGFRVLCLCYLARNQLDRAQEYINEAETLEPNTASAFLKLKIYLQKGNHSGAINQIQEMKACLDFTPDFLSLSAHEAIACRAPSVAVASLSNLLNFYTSGKTMPSTEVEAFRTLITILNTDPGNESEVLKFMKWAHGRVSELGCESFFGKGEVGRQEQKWFAVNSWNFGIKCGKEKNYELCAEFLRLIPEFYANLIDGQEKESSAMVCKSLILTVSAIIASENQKKVALEDIQVKNAAELLDKAGKMLKSISMEAQFDDDKISAIEPEFVFIHTFSAYDIHGRIDNLASQQQLHLVKSFASSKTCNPKYLLQIGLSASRGPRSNPEVATFALNECLSAFLSSPSPDYQDVALIVRTLIAVTSIHKGDSDDDAVHKMYKQAYRIMVGLKDGEYPIEEGKWLAMTAWNRASMPVRLGLVDVAKKWMNIGLELTRKVLGMETYRACMEDFVAEFEKRFHLQNNG >Manes.03G079600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13938393:13945322:1 gene:Manes.03G079600.v8.1 transcript:Manes.03G079600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNTASSSAGANGSADSGASRRNSKRPKYSRFTQQELPACKPILTPRWVISAFMLVSIIFVPIGIASLFASRDVVEIVDRYETECIPMQNRTDKVGYIRSSADKMCNRTLKVPKRMKQPIYVYYQLDNFYQNHRRYVKSRSDEQLRSPSGENETSNCKPEDIVNGKAIVPCGLIAWSLFNDTYIFSRNNEQLPVNKNGISWKSDRNHKFGKKVFPKNFQNGTLRGGKILNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEQDLLPNDEIHVILENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLVVGGLCFFLAMCFTIVYLVKPRRLGDPSYLSWNRTPGGR >Manes.03G079600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13938393:13945285:1 gene:Manes.03G079600.v8.1 transcript:Manes.03G079600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNTASSSAGANGSADSGASRRNSKRPKYSRFTQQELPACKPILTPRWVISAFMLVSIIFVPIGIASLFASRDVVEIVDRYETECIPMQNRTDKVGYIRSSADKMCNRTLKVPKRMKQPIYVYYQLDNFYQNHRRYVKSRSDEQLRSPSGENETSNCKPEDIVNGKAIVPCGLIAWSLFNDTYIFSRNNEQLPVNKNGISWKSDRNHKFGKKVFPKNFQNGTLRGGKILNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEQDLLPNDEIHVILENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLVVGGLCFFLAMCFTIVYLVKPRRLGDPSYLSWNRTPGGR >Manes.03G079600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13938393:13945323:1 gene:Manes.03G079600.v8.1 transcript:Manes.03G079600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNTASSSAGANGSADSGASRRNSKRPKYSRFTQQELPACKPILTPRWVISAFMLVSIIFVPIGIASLFASRDVVEIVDRYETECIPMQNRTDKVGYIRSSADKMCNRTLKVPKRMKQPIYVYYQLDNFYQNHRRYVKSRSDEQLRSPSGENETSNCKPEDIVNGKAIVPCGLIAWSLFNDTYIFSRNNEQLPVNKNGISWKSDRNHKFGKKVFPKNFQNGTLRGGKILNESIPLSEQEDLIVWMRTAALPTFRKLYGKIEQDLLPNDEIHVILENNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLVVGGLCFFLAMCFTIVYLVKPRRLGDPSYLSWNRTPGGR >Manes.02G058100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4545678:4550045:1 gene:Manes.02G058100.v8.1 transcript:Manes.02G058100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGKRQFGNLKAYDALDPNGNITIKWDIMSWTPDGYVAVVSIFNFQQYRHIQAPGWTLGWTWAKKEVIWSMVGGQTTEQGDCSKFKGNVPHCCKKRPTVVDLLPGTPYNQQIANCCKGGVISSLVQDPSKAVSAFQLSVGAAGTTNKTVRLPKNFTLKAPGPGYTCSAAKIVKPSKFFTSDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPKCACGCQGNNISSGDCVDPNAPHLASVVSNSGKNSLAPLVQCTSHMCPVRIHWHVKLNYREYWRVKVTITNFNFNMNYSQWNLVVQHPNFNNLTRIFSFNYKGLTPYSVINDTAMLWGIQYYNDLLMQAGPAGNVQSELLFQKDKATFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRKHVSALVLTVTLFSALAILHGYL >Manes.02G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4544840:4550045:1 gene:Manes.02G058100.v8.1 transcript:Manes.02G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLYQFSFLLLFLFSSTSFTPTEAYDALDPNGNITIKWDIMSWTPDGYVAVVSIFNFQQYRHIQAPGWTLGWTWAKKEVIWSMVGGQTTEQGDCSKFKGNVPHCCKKRPTVVDLLPGTPYNQQIANCCKGGVISSLVQDPSKAVSAFQLSVGAAGTTNKTVRLPKNFTLKAPGPGYTCSAAKIVKPSKFFTSDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPKCACGCQGNNISSGDCVDPNAPHLASVVSNSGKNSLAPLVQCTSHMCPVRIHWHVKLNYREYWRVKVTITNFNFNMNYSQWNLVVQHPNFNNLTRIFSFNYKGLTPYSVINDTAMLWGIQYYNDLLMQAGPAGNVQSELLFQKDKATFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRKHVSALVLTVTLFSALAILHGYL >Manes.02G058100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4544840:4550045:1 gene:Manes.02G058100.v8.1 transcript:Manes.02G058100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLYQFSFLLLFLFSSTSFTPTEAYDALDPNGNITIKWDIMSWTPDGYVAVVSIFNFQQYRHIQAPGWTLGWTWAKKEVIWSMVGGQTTEQGDCSKFKGNVPHCCKKRPTVVDLLPGTPYNQQIANCCKGGVISSLVQDPSKAVSAFQLSVGAAGTTNKTVRLPKNFTLKAPGPGYTCSAAKIVKPSKFFTSDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPKCACGCQGNNISSGDCVDPNAPHLASVVSNSGKNSLAPLVQCTSHMCPVRIHWHVKLNYREYWRVKVTITNFNFNMNYSQWNLVVQHPNFNNLTRIFSFNYKGLTPYSVISKIFLLVALLYFSFLCISCHG >Manes.02G058100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4545431:4550045:1 gene:Manes.02G058100.v8.1 transcript:Manes.02G058100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTPDGYVAVVSIFNFQQYRHIQAPGWTLGWTWAKKEVIWSMVGGQTTEQGDCSKFKGNVPHCCKKRPTVVDLLPGTPYNQQIANCCKGGVISSLVQDPSKAVSAFQLSVGAAGTTNKTVRLPKNFTLKAPGPGYTCSAAKIVKPSKFFTSDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPKCACGCQGNNISSGDCVDPNAPHLASVVSNSGKNSLAPLVQCTSHMCPVRIHWHVKLNYREYWRVKVTITNFNFNMNYSQWNLVVQHPNFNNLTRIFSFNYKGLTPYSVINDTAMLWGIQYYNDLLMQAGPAGNVQSELLFQKDKATFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRKHVSALVLTVTLFSALAILHGYL >Manes.12G065300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6375377:6381577:1 gene:Manes.12G065300.v8.1 transcript:Manes.12G065300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTESTKVVYNRIHKLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLNKLAVSVPISPSQVNSSPASDIPLQFTPFSRISLHPVSSPAPSHGAASSYWDAQVTGEQQQVHNLDFGMRGFSESVSEDYRLQNQMQYLTLDDQLEFANSVNSDFSSNYFYPEPALGPRTNRRSPSLPEFPVKVCHYFIKGFCKHGNNCRYFHGHPIPENLQIFSSKSNEISNEELVVSPGSLEKLERELTELLIWRRGMPVSIASLPMLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLSRLKNSIRVIDRPHGQHSVILAEDVPKYLDCVSERNDPGGIVTGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILAKGNPHFVCGARVLVKPYREKSRLIDRKYSEKLQHPIYYGPHFVDGDSELYSMTRVLDNSRMLKKQLMEENENALELERRRLLELKLVPKSLNHQSYFGYSMDELKFSKEQEEFPSAERFNQLLDVLNNGSSSEDKFEHINANANVNDNGQDSSQVLDLPESPFASVGSGISKVI >Manes.12G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6375377:6381577:1 gene:Manes.12G065300.v8.1 transcript:Manes.12G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTESTKVVYNRIHKLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLNKLAVSVPISPSQVNSSPASDIPLQFTPFSRISLHPVSSPAPSHGAASSYWDAQVTGEQQQVHNLDFGMRGFSESVSEDYRLQNQMQYLTLDDQLEFANSVNSDFSSNYFYPEPALGPRTNRRSPSLPEFPVKVCHYFIKGFCKHGNNCRYFHGHPIPENLQIFSSKSNEISNEELVVSPGSLEKLERELTELLIWRRGMPVSIASLPMLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLSRLKNSIRVIDRPHGQHSVILAEDVPKYLDCVSERNDPGGIVTGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILAKGNPHFVCGARVLVKPYREKSRLIDRKYSEKLQHPIYYGPHFVDGDSELYSMTRVLDNSRMLKKQLMEENENALELERRRLLELKLVPKSLNHQSYFGYSMDELKFSKAEQEEFPSAERFNQLLDVLNNGSSSEDKFEHINANANVNDNGQDSSQVLDLPESPFASVGSGISKVI >Manes.12G065300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6375377:6381577:1 gene:Manes.12G065300.v8.1 transcript:Manes.12G065300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTESTKVVYNRIHKLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLNKLAVSVPISPSQVNSSPASDIPLQFTPFSRISLHPVSSPAPSHGAASSYWDAQVTGEQQQVHNLDFGMRGFSESVSEDYRLQNQMQYLTLDDQLEFANSVNSDFSSNYFYPEPALGPRTNRRSPSLPEFPVKVCHYFIKGFCKHGNNCRYFHGHPIPENLQIFSSKSNEISNEELVVSPGSLEKLERELTELLIWRRGMPVSIASLPMLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLSRLKNSIRVIDRPHGQHSVILAEDVPKYLDCVSERNDPGGIVTGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILAKGNPHFVCGARVLVKPYREKSRLIDRKYSEKLQHPIYYGPHFVDGDSELYSMTRVLDNSRMLKKQLMEENENALELERRRLLELKLVPKSLNHQSYFGYSMDELKFSKEQEEFPSAERFNQLLDVLNNGSSSEDKFEHINANANVNDNGQDRY >Manes.12G065300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6375377:6381577:1 gene:Manes.12G065300.v8.1 transcript:Manes.12G065300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTESTKVVYNRIHKLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLNKLAVSVPISPSQVNSSPASDIPLQFTPFSRISLHPVSSPAPSHGAASSYWDAQVTGEQQQVHNLDFGMRGFSESVSEDYRLQNQMQYLTLDDQLEFANSVNSDFSSNYFYPEPALGPRTNRRSPSLPEFPVKVCHYFIKGFCKHGNNCRYFHGHPIPENLQIFSSKSNEISNEELVVSPGSLEKLERELTELLIWRRGMPVSIASLPMLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLSRLKNSIRVIDRPHGQHSVILAEDVPKYLDCVSERNDPGGIVTGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILAKGNPHFVCGARVLVKPYREKSRLIDRKYSEKLQHPIYYGPHFVDGDSELYSMTRVLDNSRMLKKQLMEENENALELERRRLLELKLVPKSLNHQSYFGYSMDELKFSKAEQEEFPSAERFNQLLDVLNNGSSSEDKFEHINANANVNDNGQDRY >Manes.01G036500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7154508:7157421:1 gene:Manes.01G036500.v8.1 transcript:Manes.01G036500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSQFPQVIGLVKAMEASRSATLERKLVRPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRPSSSKNLPDLTNPQPSDQNPKIYQARDLNLAYPPPNEDYGKTQNLKPSNLSSSSTPHHHHHMAAMELLKTGMSPRGLDSFMCMPVADSNPVYSSGFSLQEFKPTVNFFLQGFENSNGYESIHGVQENGAKLLFPMEELKPVQANTDQYDQNRRQGDHTTTGFWNGMY >Manes.01G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7154507:7156805:1 gene:Manes.01G036500.v8.1 transcript:Manes.01G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSQFPQVIGLVKAMEASRSATLERKLVRPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRPSSSKNLPDLTNPQPSDQNPKIYQARDLNLAYPPPNEDYGKTQNLKPSNLSSSSTPHHHHHMAAMELLKTGMSPRGLDSFMCMPVADSNPVYSSGFSLQEFKPTVNFFLQGFENSNGYESIHGVQENGAKLLFPMEELKPVQANTDQYDQNRRQGDHTTTGFWNGMY >Manes.01G036500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7154924:7157201:1 gene:Manes.01G036500.v8.1 transcript:Manes.01G036500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRSATLERKLVRPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRPSSSKNLPDLTNPQPSDQNPKIYQARDLNLAYPPPNEDYGKTQNLKPSNLSSSSTPHHHHHMAAMELLKTGMSPRGLDSFMCMPVADSNPVYSSGFSLQEFKPTVNFFLQGFENSNGYESIHGVQENGAKLLFPMEELKPVQANTDQYDQNRRQGDHTTTGFWNGMY >Manes.01G130400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32383451:32386243:1 gene:Manes.01G130400.v8.1 transcript:Manes.01G130400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNQLTTKKRGREDCKMENHGTDEIMAEEEGHPYAFHVSGPRNVASPNWRDLINSSWKNENYKRTVIACFIQAVYLLELDRQENRTEESVLAPMWWMRFKYKLTQTLVDERDGSIFGAVLEWDRTAALADMILVRPSGAPKAVLALRGTLLKGPTIRRDIEDDLRFLAWESLKGSVRFKVALEALKSVAESYGSSNVCIAGHSLGAGFALQVGKALAKEGIYVEAHLFNPPSISIAMSLRNIGEKAGFAWKKLKSMLPSNCESQATDNVGDETSRVGLRNWVPNFFTDKTSIGLKKWVPHLYVNNSDYICCSYTDPDGPEDKNANKENLGCTNATNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDVQLKMALHNSKLISRQLKSLYSLPASQTTQVPQ >Manes.09G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9249269:9251742:1 gene:Manes.09G057000.v8.1 transcript:Manes.09G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVTPPSISLHRFSLSCPFARFPLHQTLESSPRMATLQSLLFFSLLLLLTGVHGSSGDSHHHRHHTHRQHLFNFKPSKLFVFGDSYADTGNNRKSIASSWKVPYGITFPGKPAGRFSDGRVLTDYLARFIGVKSPIPYRWRNFAVNRLKYGMNFAYGGTGVFDTLVPEPNMTTQIDFLQQLIKDKVYNYFDLQSSVALVSVSGNDYNTYIATNGSAQGFPAFISKVVNQLTVDLKRIQELGVKRIAVSGLQPLGCLPRSTFGSSFRQCNGTENDLVNFHNVLLQQAVAKLNKETNNSPIIILDLYTAFMTVFKNKDKHLEGTKFENPLKPCCLGISKEYSCGSVDENGAKKYTVCDNPEATFFWDTVHPTQEGWRSVYLALQATLEQL >Manes.13G093620.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27845569:27850157:-1 gene:Manes.13G093620.v8.1 transcript:Manes.13G093620.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLSSHSNLSLSSSPPLIPHSRNLSFLSKPSALCFRTRNRRLRSLSVFASSASLEALIFDCDGVILESEHLHRQAYNDAFAHFNVRCSSQPLNWDLEFYDQLQNLIGGGKPKMRWYFKEHGWPSSTIFETPPEDDESRSKLIDTLQDWKTERYKEIIKSGTVQPRPGVLRLMDEAKAAGKKLAVCSAATKSSVILCLENLIGIERFQGLDCFLAGDDVKEKKPDPSIYLTALKRLGVSEKDCLVVEDSVIGLQAATRAGMSCVITYTPSTADQDFKDAIAIYPDLSNVRLNDLELLLQNVVAAS >Manes.13G093620.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27846111:27850157:-1 gene:Manes.13G093620.v8.1 transcript:Manes.13G093620.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLSSHSNLSLSSSPPLIPHSRNLSFLSKPSALCFRTRNRRLRSLSVFASSASLEALIFDCDGVILESEHLHRQAYNDAFAHFNVRCSSQPLNWDLEFYDQLQNLIGGGKPKMRWYFKEHGWPSSTIFETPPEDDESRSKLIDTLQDWKTERYKEIIKSGTVQPRPGVLRLMDEAKAAGKKLAVCSAATKSSVILCLENLIGIERFQGLDCFLAGDDVKEKKPDPSIYLTALKRLGVSEKDCLVVEDSVIGLQAATRAGMSCVITYTPSTADQDFKDAIAIYPDLSNVRLNDLELLLQNVVAAS >Manes.14G084900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7021033:7027926:1 gene:Manes.14G084900.v8.1 transcript:Manes.14G084900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPQPNYASDEAEGGLRNEGEGEDEVEGHQDVEVESEGEMHEVEPDPGESEGEREPSSEEVDVGDEREVSEAKEADSDEKEDYAPRVPTSRRHEIIESGSERSEGQHYADNEDEEVDQDRSLSSKSPDGEKDQNHISQSAAEIRDVFGDSDDEEEAGYAVRNEIEQDSHRSPMEEEASYEKNLRPEDMLMDEDAQYESEEENIDVKAKEKPVGPPLELEIPFHQPPADPTKMNMIKVSNIMGIDPNPFDPKTYVEEKTFVTDESGAKTRIRLENNIVRWRTVRNPDGTKHVESNARFVRWSDGSLQLLIGNEVLDISVQDDRHDQTHLFLRHNKSLLQSQGRIRRKMRFMPSSLTSNSHRLLTALVDSRHRKVYKVKNCVTDIDPEREKEEKERAESQTIRANVLLNRKREKVSRKYTQTVERRRQLSPGFLEGALDEDDDPDYFDSRRSRRRFEEDLEVEARAEKRIMNAKKGQKDILRKSSLPTLKSSKRPVSFSDSEREESEYESDGEEFERSPPRKRVEEPEQEYEEEEEEEERYEEPEVDGASEEEEEVEEPKQKSKVYGGGHKRAGIESEEESPPRKIPTHRRMAVVYDSDEE >Manes.14G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7021033:7027926:1 gene:Manes.14G084900.v8.1 transcript:Manes.14G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPQPNYASDEAEGGLRNEGEGEDEVEGHQDVEVESEGEMHEVEPDPGESEGEREPSSEEVDVGDEREVSEAKEADSDEKEDYAPRVPTSRRHEIIESGSERSEGQHYADNEDEEVDQDRSLSKSPDGEKDQNHISQSAAEIRDVFGDSDDEEEAGYAVRNEIEQDSHRSPMEEEASYEKNLRPEDMLMDEDAQYESEEENIDVKAKEKPVGPPLELEIPFHQPPADPTKMNMIKVSNIMGIDPNPFDPKTYVEEKTFVTDESGAKTRIRLENNIVRWRTVRNPDGTKHVESNARFVRWSDGSLQLLIGNEVLDISVQDDRHDQTHLFLRHNKSLLQSQGRIRRKMRFMPSSLTSNSHRLLTALVDSRHRKVYKVKNCVTDIDPEREKEEKERAESQTIRANVLLNRKREKVSRKYTQTVERRRQLSPGFLEGALDEDDDPDYFDSRRSRRRFEEDLEVEARAEKRIMNAKKGQKDILRKSSLPTLKSSKRPVSFSDSEREESEYESDGEEFERSPPRKRVEEPEQEYEEEEEEEERYEEPEVDGASEEEEEVEEPKQKSKVYGGGHKRAGIESEEESPPRKIPTHRRMAVVYDSDEE >Manes.02G088900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6996486:6998743:-1 gene:Manes.02G088900.v8.1 transcript:Manes.02G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNDSVPSSAQGFKLVGFSNFVRTNPRSDLFNVKRFHHVEFWCSDASNTARRFSWGLGMPIVAKSDLSTGNVTHASYLLRSGDLNFLFTAPYSPSIASMEGFSHTATASIPTFNHEACRNFSAKHGLGVRAVAIQVEDAEIAFNTSVAHGAIPLGGPITLDNRAVVAEVHLYGDVVLRYISYKNSNQNRDDSSPDSWFLPKFESVDAASSFPLDYGIRRLDHAVGNVPELAPAVSYIKGFTGFHEFAEFTAEDVGTSESGLNSVVLANNEETVLLPLNEPVFGTKRKSQIQTYLEHNEGAGLQHLALVSEDIFKTLREMRRRSSIGGFEFMPSPPPTYYRNLKNRTGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIQRVGCMLKDETGKEYQKGGCGGFGKGNFSELFKSIEEYEKTLEAKRTAEATSA >Manes.18G000750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:332197:335279:-1 gene:Manes.18G000750.v8.1 transcript:Manes.18G000750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFGQMGKQDLGPPWLRPMLRASYFIPCAVHGDSNKSECNMFCLDCMDNALCSYCLIDHKDHHVVQIRRSSYHNVVRVSEMQKYIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDPDLTFSLRVKPNRDPILGESESDESSTPKKMRKTHAFNRLMDGLSIYSLDGHNNDVSSGDDATTNLSPSTPPIYNHRNARRRKGIPHRAPF >Manes.18G000750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:332197:335279:-1 gene:Manes.18G000750.v8.1 transcript:Manes.18G000750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFGQMGKQDLGPPWLRPMLRASYFIPCAVHGDSNKSECNMFCLDCMDNALCSYCLIDHKDHHVVQIRRSSYHNVVRVSEMQKYIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDPDLTFSLRVKPNRDPILGESESDESSTPKKMRKTHAFNRLMDGLSIYSLDGHNNDVSSGDDATTNLSPSTPPIYNHRNARRRKGIPHRAPF >Manes.18G021006.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2087160:2089200:1 gene:Manes.18G021006.v8.1 transcript:Manes.18G021006.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNYPVHGKNSSESPDIAELPAPKLSFSVDSSFSTSGVDPLPACDHSFPPSSTPSRRAQCSPGHRLLRQISDSRIMGLKSPNDYSLSEGRSSFVFSTCSHDFATGSYGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKLSGCSGRFSHSPSLELQTCGACSKLLTERSSWSSQRILASNELSVVAVLVCGHVYHSECLEAMTLEVDRYDPSCPICMGGEKQVPTMSKKALRAEAELKARSRKISRNRVIDGYLDSDSDDFDYQKNAMWGGAPKMEPSSSAASSSTKPFLRRHFSFGSKWTRSLSDKDSARKKGFWARYRKY >Manes.18G021006.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2086078:2089200:1 gene:Manes.18G021006.v8.1 transcript:Manes.18G021006.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCIAAREKDLPSRTGGNALYRNVRCSPTWSFQWENRRRVASEIDDSPYQTSHRFSRDVSVEVKGPVGSDRGNLSDEVSLHESFGTPVSLKSPVHEGVVANLIAQPSGVSMEHNYPVHGKNSSESPDIAELPAPKLSFSVDSSFSTSGVDPLPACDHSFPPSSTPSRRAQCSPGHRLLRQISDSRIMGLKSPNDYSLSEGRSSFVFSTCSHDFATGSYGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKLSGCSGRFSHSPSLELQTCGACSKLLTERSSWSSQRILASNELSVVAVLVCGHVYHSECLEAMTLEVDRYDPSCPICMGGEKQVPTMSKKALRAEAELKARSRKISRNRVIDGYLDSDSDDFDYQKNAMWGGAPKMEPSSSAASSSTKPFLRRHFSFGSKWTRSLSDKDSARKKGFWARYRKY >Manes.18G021006.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2084780:2089210:1 gene:Manes.18G021006.v8.1 transcript:Manes.18G021006.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCIAAREKDLPSRTGGNALYRNVRCSPTWSFQWENRRRVASEIDDSPYQTSHRFSRDVSVEVKGPVGSDRGNLSDEVSLHESFGTPVSLKSPVHEGVVANLIAQPSGVSMEHNYPVHGKNSSESPDIAELPAPKLSFSVDSSFSTSGVDPLPACDHSFPPSSTPSRRAQCSPGHRLLRQISDSRIMGLKSPNDYSLSEGRSSFVFSTCSHDFATGSYGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKLSGCSGSQRILASNELSVVAVLVCGHVYHSECLEAMTLEVDRYDPSCPICMGGEKQVPTMSKKALRAEAELKARSRKISRNRVIDGYLDSDSDDFDYQKNAMWGGAPKMEPSSSAASSSTKPFLRRHFSFGSKWTRSLSDKDSARKKGFWARYRKY >Manes.18G021006.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2086051:2089200:1 gene:Manes.18G021006.v8.1 transcript:Manes.18G021006.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCIAAREKDLPSRTGGNALYRNVRCSPTWSFQWENRRRVASEIDDSPYQTSHRFSRDVSVEVKGPVGSDRGNLSDEVSLHESFGTPVSLKSPVHEGVVANLIAQPSGVSMEHNYPVHGKNSSESPDIAELPAPKLSFSVDSSFSTSGVDPLPACDHSFPPSSTPSRRAQCSPGHRLLRQISDSRIMGLKSPNDYSLSEGRSSFVFSTCSHDFATGSYGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKLSGCSGRFSHSPSLELQTCGACSKLLTERSSWSSQRILASNELSVVAVLVCGHVYHSECLEAMTLEVDRYDPSCPICMGGEKQVPTMSKKALRAEAELKARSRKISRNRVIDGYLDSDSDDFDYQKNAMWGGAPKMEPSSSAASSSTKPFLRRHFSFGSKWTRSLSDKDSARKKGFWARYRKY >Manes.18G021006.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2086078:2089210:1 gene:Manes.18G021006.v8.1 transcript:Manes.18G021006.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCIAAREKDLPSRTGGNALYRNVRCSPTWSFQWENRRRVASEIDDSPYQTSHRFSRDVSVEVKGPVGSDRGNLSDEVSLHESFGTPVSLKSPVHEGVVANLIAQPSGVSMEHNYPVHGKNSSESPDIAELPAPKLSFSVDSSFSTSGVDPLPACDHSFPPSSTPSRRAQCSPGHRLLRQISDSRIMGLKSPNDYSLSEGRSSFVFSTCSHDFATGSYGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKLSGCSGSQRILASNELSVVAVLVCGHVYHSECLEAMTLEVDRYDPSCPICMGGEKQVPTMSKKALRAEAELKARSRKISRNRVIDGYLDSDSDDFDYQKNAMWGGAPKMEPSSSAASSSTKPFLRRHFSFGSKWTRSLSDKDSARKKGFWARYRKY >Manes.18G021006.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2084698:2089417:1 gene:Manes.18G021006.v8.1 transcript:Manes.18G021006.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCIAAREKDLPSRTGGNALYRNVRCSPTWSFQWENRRRVASEIDDSPYQTSHRFSRDVSVEVKGPVGSDRGNLSDEVSLHESFGTPVSLKSPVHEGVVANLIAQPSGVSMEHNYPVHGKNSSESPDIAELPAPKLSFSVDSSFSTSGVDPLPACDHSFPPSSTPSRRAQCSPGHRLLRQISDSRIMGLKSPNDYSLSEGRSSFVFSTCSHDFATGSYGGSSDGWSMRTFSELVASSQRERWSFDSEHLGYGLSKLSGCSGRFSHSPSLELQTCGACSKLLTERSSWSSQRILASNELSVVAVLVCGHVYHSECLEAMTLEVDRYDPSCPICMGGEKQVPTMSKKALRAEAELKARSRKISRNRVIDGYLDSDSDDFDYQKNAMWGGAPKMEPSSSAASSSTKPFLRRHFSFGSKWTRSLSDKDSARKKGFWARYRKY >Manes.03G091160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21975702:21977226:-1 gene:Manes.03G091160.v8.1 transcript:Manes.03G091160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEDLAKIIAAINTKNSENDLYHINNSDAPGFSLVNTPLRGPNYLSWSWSLTADSMVVSWLLNAMSKDISDAFVFCKNAKILWDELKHSYGESNGPMIYQIERDIAGYKQGSNSVTEYYTSLKKKWDELLCLAPLPVCCETGTAIIDYDNNRRLMQFLMGLGDEYDNVKNQILLQDPLPSINKAYSMIMSVEKQREVQIDSTSSSETAAVILARRNFSGNRSNTGTGNNRASFSSRKEDKKKQYCTNCKGAGHIVDDCFSLHGYPDWFIELQKKRGVDVRKYLRANNVTHVATDETPLQQSGLQQKGTDGLDKGMTDFLQQEFQKFLNSRSGSNDQDDGDVRHVNFAGTLLNSVFTSINFNCKDNWIVDSGATDHITPHLNFYDQVVKLHPPKTIRLPDNSTRLSACLLGLVVYLRTYSPNIVYSRIYRLEKYWPKEQCLKIFII >Manes.06G155800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28224656:28224871:-1 gene:Manes.06G155800.v8.1 transcript:Manes.06G155800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSCKGLAMELVKCLSESDCIKVEKRSYRECAGEKSPCIPSECVGLRETYFNCKRGQLDMRARIRGNKGY >Manes.12G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31736527:31739152:1 gene:Manes.12G115800.v8.1 transcript:Manes.12G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETQLVTRFLELPEIVTARQDAVNWMLKVQAYYHFRPETACLSVNYLDRFLSFHTLPEAGKGWPLQLLAVACLSIAAKMEETNVPLLLDLQILEPRFLFKPSTVQRMEILVMARLKWRLHIITPFDFLHYFIEKLSCPSSNFNGSIHSVLSRSSDLIISILRVINFLDYTPSSIGAAAVLWVTNQTMDDPKLGCLHKRVNKDMVKRCYNLIKKNMSKLSHCNKVLNVTIHARCHARKFCNKGFKSSHSSPPNKC >Manes.12G115800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31736527:31739152:1 gene:Manes.12G115800.v8.1 transcript:Manes.12G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDLYCNEVASEFVSSEADINPVESLSSSFPIDDESFIDSIFDSELDQMPETQLVTRFLELPEIVTARQDAVNWMLKVQAYYHFRPETACLSVNYLDRFLSFHTLPEAGKGWPLQLLAVACLSIAAKMEETNVPLLLDLQILEPRFLFKPSTVQRMEILVMARLKWRLHIITPFDFLHYFIEKLSCPSSNFNGSIHSVLSRSSDLIISILRVINFLDYTPSSIGAAAVLWVTNQTMDDPKLGCLHKRVNKDMVKRCYNLIKKNMSKLSHCNKVLNVTIHARCHARKFCNKGFKSSHSSPPNKC >Manes.06G066400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20252742:20253530:-1 gene:Manes.06G066400.v8.1 transcript:Manes.06G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVCSEISSAGISPRISFSHDLNQNTDAVSIEDCHRRLDSSLLDSDFDFCISSSFVQELSSADELFSNGKILPIGIKKHLVSTQDIDQPKPAACRCPLQTTTETTQKKLLKEFLSMSIDADEKPASKSFWQFKRSNSLNCDSSRSKSLIRSLQFLSRSNSTGSAPNPPKQAMFSKETQKLRLQKQHSVPSRKSAAPSSGAFYYYNSGQKPPLLRKCGSYGNGVRISPVLNVPSPYISRGTANLFGFGSLFCNGKVKKKKR >Manes.14G051101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4362597:4364889:-1 gene:Manes.14G051101.v8.1 transcript:Manes.14G051101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKIDSANKTNNNKKKKSEFEFCKVCNLNQEQGHRHKYFPSHKKSLSSFLSRFQSKLVDIRFFLKNPSVLRREHASRNRFWCVFCDTDIHEIDSSFACANAINHLASEDHAKNLKHFLWKYGGEMNHMDTYRILEADITKWEKKCKSLENEAASSNGSNMLQVGPSNDIQNELNHKYRNNFESYSFDPTKSNISNGVMPLLYFTNKNQISHSELSAVTKVGSIVHDTVSSIPADVWNSNDLTGMNNLFI >Manes.06G150600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27853817:27857738:-1 gene:Manes.06G150600.v8.1 transcript:Manes.06G150600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAVKVVLGSIAFAVFWILAVFPAIPFLPVGRTAGSLLGAMLMVIFQVITPDQAYAAIDLPILGLLFGTMVVSVYLERADIFKYLGKLLSWKSKGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLLGILPAMVVGIIANITILMCMYWKLLSAIQKDEEEATTEVVADEDVNSHRFSPATMSHFTSLNSQEWNSRLESLDMQSAPNINGHVNHTETLRNRIGSTENEIRSASTSAYESARNSNASKEVTIDGASQRKEDTVSSKRIASLDRLGDVLDDQFPGEKEEFATKWKRMLWKSCVYVVTIGMLVSLLVGLNMSWTAITAALALIVLDFRDARPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDLMEPHAKIDHASGIAVLAAVILVLSNLASNVPTVLLLGGRVAASAAAISAADEKKAWLYLAWVSTVAGNLSLLGSAANLIVCEQARRAPQFGYDLTFWKHLKFGIPSTIIVTAIGLMLIR >Manes.06G150600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27853817:27857738:-1 gene:Manes.06G150600.v8.1 transcript:Manes.06G150600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAVKVVLGSIAFAVFWILAVFPAIPFLPVGRTAGSLLGAMLMVIFQVITPDQAYAAIDLPILGLLFGTMVVSVYLERADIFKYLGKLLSWKSKGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLLGILPAMVVGIIANITILMCMYWKLLSAIQKDEEEATTEVVADEDVNSHRFSPATMSHFTSLNSQEWNSRLESLDMQSAPNINGHVNHTETLRNRIGSTENEIRSASTSAYESARNSNASKEVTIDGASQRKEDTVSSKRIASLDRLGDVLDDQFPGEKEEFATKWKRMLWKSCVYVVTIGMLVSLLVGLNMSWTAITAALALIVLDFRDARPCLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDLMEPHAKIDHASGIAVLAAVILVLSNLASNVPTVLLLGGRVAASAAAISAADEKKAWLYLAWVSTVAGNLSLLGSAANLIVCEQARRAPQFGYDLTFWKHLKFGIPSTIIVTAIGLMLIR >Manes.06G150600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27853817:27857738:-1 gene:Manes.06G150600.v8.1 transcript:Manes.06G150600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAVKVVLGSIAFAVFWILAVFPAIPFLPVGRTAGSLLGAMLMVIFQVITPDQAYAAIDLPILGLLFGTMVVSVYLERADIFKYLGKLLSWKSKGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLLGILPAMVVGIIANITILMCMYWKLLSAIQKDEEEATTEVVADEDVNSHRFSPATMSHFTSLNSQEWNSRLESLDMQSAPNINGHVNHTETLRNRIGSTENEIRSASTSAYESARNSNASKEVTIDGASQRKEDTVSSKRIASLDRLGDVLDDQFPGEKEEFATKWKRMLWKSCVYVVTIGMLVSLLVGLNMSWTAITAALALIVLDFRDARPCLEKFSCLVDG >Manes.06G150600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27853817:27857738:-1 gene:Manes.06G150600.v8.1 transcript:Manes.06G150600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAVKVVLGSIAFAVFWILAVFPAIPFLPVGRTAGSLLGAMLMVIFQVITPDQAYAAIDLPILGLLFGTMVVSVYLERADIFKYLGKLLSWKSKGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLLGILPAMVVGIIANITILMCMYWKLLSAIQKDEEEATTEVVADEDVNSHRFSPATMSHFTSLNSQEWNSRLESLDMQSAPNINGHVNHTETLRNRIGSTENEIRSASTSAYESARNSNASKEVTIDGASQRKEDTVSSKRIASLDRLGDVLDDQFPGEKEEFATKWKRMLWKSCVYVVTIGMLVSLLVGLNMSWTAITAALALIVLDFRDARPCLEKFSCLVDG >Manes.06G150600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27853817:27857738:-1 gene:Manes.06G150600.v8.1 transcript:Manes.06G150600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAVKVVLGSIAFAVFWILAVFPAIPFLPVGRTAGSLLGAMLMVIFQVITPDQAYAAIDLPILGLLFGTMVVSVYLERADIFKYLGKLLSWKSKGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLLGILPAMVVGIIANITILMCMYWKLLSAIQKDEEEATTEVVADEDVNSHRFSPATMSHFTSLNSQEWNSRLESLDMQSAPNINGHVNHTETLRNRIGSTENEIRSASTSAYESARNSNASKEVTIDGASQRKEDTVSSKRIASLDRLGDVLDDQFPGEKEEFATKWKRMLWKSCVYVVTIGMLVSLLVGLNMSWTAITAALALIVLDFRDARPCLEKQVSYSLLIFFCGMFITVDGFNKTGIPSALWDLMEPHAKIDHASGIAVLAAVILVLSNLASNVPTVLLLGGRVAASAAAISAADEKKAWLYLAWVSTVAGNLSLLGSAANLIVCEQARRAPQFGYDLTFWKHLKFGIPSTIIVTAIGLMLIR >Manes.06G150600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27853817:27857738:-1 gene:Manes.06G150600.v8.1 transcript:Manes.06G150600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASAVKVVLGSIAFAVFWILAVFPAIPFLPVGRTAGSLLGAMLMVIFQVITPDQAYAAIDLPILGLLFGTMVVSVYLERADIFKYLGKLLSWKSKGAKDLLCRICLISAISSALFTNDTSCVVLTEFVLKIARQHNLPPQPFLLALASSANIGSSATPIGNPQNLVIAVQSKISFGKFLLGILPAMVVGIIANITILMCMYWKLLSAIQKDEEEATTEVVADEDVNSHRFSPATMSHFTSLNSQEWNSRLESLDMQSAPNINGHVNHTETLRNRIGSTENEIRSASTSAYESARNSNASKEVTIDGASQRKEDTVSSKRIASLDRLGDVLDDQFPGEKEEFATKWKRMLWKSCVYVVTIGMLVSLLVGLNMSWTAITAALALIVLDFRDARPCLEKQVSYSLLIFFCGMFITVDGFNKTGIPSALWDLMEPHAKIDHASGIAVLAAVILVLSNLASNVPTVLLLGGRVAASAAAISAADEKKAWLYLAWVSTVAGNLSLLGSAANLIVCEQARRAPQFGYDLTFWKHLKFGIPSTIIVTAIGLMLIR >Manes.11G014887.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1362834:1363661:1 gene:Manes.11G014887.v8.1 transcript:Manes.11G014887.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTSRSYFQLWVIAAFLLFQNTSTNHLPSPENHAPLFIFGDSLFDTGNNNNPTSSACYWPYGETFFKHPTGRASDGRLIPDFIAEYAKLPFPLPYRQTRNRQLRYGVNFASGGAEVLGVNPDKIVIHLKGQLSNFKNVKKRLRHKLGDSETEALLSKAIYLFSFGTNDYGKVTDGFSVLHYYSSEEYVGMVVDNFTTGIKVRTHMINWPPQ >Manes.18G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4058006:4060822:-1 gene:Manes.18G046200.v8.1 transcript:Manes.18G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENGANYTYMGRSFGNSSVNDDSSAFSDCNSDRSGEFPSASSESRRLLLACASENSDDLIRQLVLDLESCSIDEQKQAAMEIRLLAKNRPENRLKIAKAGAIKPLITLISSSDYQLQEYGVTAILNLSLCDENKEAIASSGAIKPLVRALRTGTSTAKENAACALLRLSQVEKNKVAIGRSGAIPLLVNLLESGGIRGKKDAATALYSLCSVKENKSRAVQAGIMKPLVELMADFGSSMVDKSAFVLSLLITIQEARIALVEEGGIPVLVEIIEVGSQRQKEIAVAILLQICEDNLMHRAMVAREGAIPPLVALSQSGTNRAKQKAETLIDLLRQPRSGNAAARTADVSV >Manes.18G027595.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2809862:2812155:-1 gene:Manes.18G027595.v8.1 transcript:Manes.18G027595.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSPFLNTSIVAWIFVSLFLPLYLLSLKKWKSRASKAILAPQPTGAWPLTGHFSLFSGSEPHVTLGTLADKYGPIFTVRVGAHPVLVVSSSEVAKEIFTGINDMIVTFRPALVAAKFMGYNYAFFPFNPGGPYWSETRKISIFELLSNRRLELLKHIRIQEVESSMKELYKAWQDKKVVDMKQWFSDLNLNVLLRMIIGKKYFGGGAVGDEKEGRKFQDGITKLFYFLGTLVLRDAVPFLGWMDVGGHEKAMKKIAKEIDDVLEKWLEEHKRNRCLGEESKEEQDFMDVMLSILDDKSLEGYDADTINKATSLSMIAGNETVTVAMTWALALLLNNRHALRSAQEELDKVVGKERLVNEKDISKLVYLQAIVKETLRLYPPAFIPGPRQFTRDCTIGGYYVPKNTWLMVNVWKIQRDPRVWPDPMEFKPERFLTTRKNVDVRSQNFELLPFGGGRRACPAASYALHIVHLTLATLLQAFEISTPEDAAVDMTPGIGLTNMKTTPLKVVVSPRLQPCCFE >Manes.04G161300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35555450:35565572:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.04G161300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35555450:35565571:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.04G161300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35554789:35565551:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.04G161300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35554789:35565551:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAYLSTTHCCFLSLSTTSGKSPLLPAATLDIFSMSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.04G161300.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35554789:35565551:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.04G161300.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35554789:35565551:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.04G161300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35554789:35565551:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.04G161300.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35554789:35565551:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.04G161300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35554789:35565551:1 gene:Manes.04G161300.v8.1 transcript:Manes.04G161300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGSSMKSPPVAKKVNHVMELFGDVRVDHHYWLRDDSRSNSEILSHLKEENAYTDSYMAGTKQFEQQLFTEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRFIPNNEVPPTVHDVMPTGPNDPPEHVILDENVKAHGHEYYSIGAFRVSPSHKLVAYAEDTKGDEIYTVYIIDVETRAPVGKPLTGATSSLEWAGNDFLVYGTMNDVHRADKVWLHKLGTDQSSDSCLYHEKDEKFCVELQASEDEKYLFIMSDGRNTRFGSYIDVSMPEDGAKVLTPRVDGIDISPSHRGNHFFIRRRTDELFNSELLACPVDDVSATTVLIPHRESIKIWDAQLFADHLAVYERENGLPKITVYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPWSVYEYDMNTGISVLKKIDTVMGGFDSSNYVTERKWATASDGTRIPLSIVYRRDLVKLDGSHPLLLHGYGSYEMSIDPTFRTSRLSLLDRGFIYAIAHVRGGGEMGRQWYENGKLLKKKNTFTDFITCAEYLIEQKYCSKGKLCIDGRSAGGLLIGSVLNMRPDLFKAAIAEVPFVDVLTTMLDPSIPLTTEEWEEWGDPREEEFYFYIKSYSPVDNVKPQNYPHVLVTTGLHDPRVMYSEPAKFVAKLRDMKTDENVVLFKCELCAGHSSKSGRFETLQEEAFTYTFLMKVLDMIPDVGAGQN >Manes.08G032450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3118166:3119022:1 gene:Manes.08G032450.v8.1 transcript:Manes.08G032450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVHRKNGDFFLRRSSFCLFKIIPSLLQIEKIMGQCFSFDTYLMIVLLSSMEIG >Manes.05G086666.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7799346:7799702:1 gene:Manes.05G086666.v8.1 transcript:Manes.05G086666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNRNSLLQALKVAIIFILSLVAANSLVAEARPLYPTREIFVGKKAEVANPERNGDPKTSSPNPCSNLPVTDPGHCPKN >Manes.01G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27771050:27775183:1 gene:Manes.01G075000.v8.1 transcript:Manes.01G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETLRLTGSASYSLCTFNGSTRGANSSSTPIRFLGLPPRASVSPSISSSLSHFMGGMRFGSQLSKVSISCRQQQRRRNFSVFALAAEEAKRAVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIITNLGAKPLVLQIPVGSEDNFQGVVDLVKMKAIIWSGEELGAKFVYDDIPVDLEDLAQEYRALLIENIVELDDDAMEKYLEGVEPDEEIIKKLIRKGTIASSFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPAMKGTDPENPEVTIERTASDDEPFSGLAFKIMSDPFVGSLTFVRVYAGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKLALTGDIIALAGLKDTITGETLCDPDNPIVLERMDFPDPVIKVAIEPKTKADVDKMATGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVSEVKYVHKKQSGGQGQFADITVRFEPMEPGSGYEFKSEIKGGAVPKEYIPGVMKGMEECMSNGVLAGYPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGMKRAGPKMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLASKEQEVAA >Manes.01G075000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27771451:27775183:1 gene:Manes.01G075000.v8.1 transcript:Manes.01G075000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIITNLGAKPLVLQIPVGSEDNFQGVVDLVKMKAIIWSGEELGAKFVYDDIPVDLEDLAQEYRALLIENIVELDDDAMEKYLEGVEPDEEIIKKLIRKGTIASSFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPAMKGTDPENPEVTIERTASDDEPFSGLAFKIMSDPFVGSLTFVRVYAGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKLALTGDIIALAGLKDTITGETLCDPDNPIVLERMDFPDPVIKVAIEPKTKADVDKMATGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVSEVKYVHKKQSGGQGQFADITVRFEPMEPGSGYEFKSEIKGGAVPKEYIPGVMKGMEECMSNGVLAGYPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGMKRAGPKMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLASKEQEVAA >Manes.11G130200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29241611:29246688:-1 gene:Manes.11G130200.v8.1 transcript:Manes.11G130200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVKTRFWGLNSKLINHKRTLDVAFPNISCKSAAFSYQTRDLSQGYRVRLRESHRCFSPVFVVLSANLLNSGGQDVEVSSTQFEEFSVKSSSTNEAGELRISVEISGSRTSEIFDNVFDKMVAAAQPIPGFRRVKGGKTPDIPRDVLLEVLGPSKVYKEVIKTVINSTVAEYVDKEGLKVNNDLRVKQSFEELEDMFEPDEEFSFDAVLQLQEMNESTN >Manes.11G130200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29241611:29246688:-1 gene:Manes.11G130200.v8.1 transcript:Manes.11G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVKTRFWGLNSKLINHKRTLDVAFPNISCKSAAFSYQTRDLSQGYRVRLRESHRCFSPVFVVLSGASGQDVEVSSTQFEEFSVKSSSTNEAGELRISVEISGSRTSEIFDNVFDKMVAAAQPIPGFRRVKGGKTPDIPRDVLLEVLGPSKVYKEVIKTVINSTVAEYVDKEGLKVNNDLRVKQSFEELEDMFEPDEEFSFDAVLQLQEMNESTN >Manes.11G130200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29241611:29246688:-1 gene:Manes.11G130200.v8.1 transcript:Manes.11G130200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVKTRFWGLNSKLINHKRTLDVAFPNISCKSAAFSYQTRDLSQGYRVRLRESHRCFSPVFVVLSGGQDVEVSSTQFEEFSVKSSSTNEAGELRISVEISGSRTSEIFDNVFDKMVAAAQPIPGFRRVKGGKTPDIPRDVLLEVLGPSKVYKEVIKTVINSTVAEYVDKEGLKVNNDLRVKQSFEELEDMFEPDEEFSFDAVLQLQEMNESTN >Manes.01G258104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41478233:41484069:1 gene:Manes.01G258104.v8.1 transcript:Manes.01G258104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCKSSPPCKRMNPQTIDLEGPYRLLHCSSKGDKIGVMQELEKHVEPNLADYDKRTALHLASCEGCTEIVVLLLEKGADVNSVDRWGRTPLSDARSFGHEDICKLLESRGGIDPVGLDSRTPCYEIDYEEVDMDGATLIGEGAYGEVYLVKWRGTEVAAKTIRSSIASNLKVKHTFLKELGLWQKLRHPNIVQFLGVLKHPDRLIFLTDYLRNGSLYDILKKKGRLDPLTAVAYALDIARGMNYLHQHKPHPIIHRDLTPRNVLQDESGHLKVTDFGLSKIAQEKDAGYKMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALLVYEMFQGGPSNRAELPEQVADKRAYEDSRPSLSSFVYPEPIKMLLRECWHKNPECRPTFEEIILKLENIEDTLRHERPIGDCCGCIIL >Manes.01G258104.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41478233:41484199:1 gene:Manes.01G258104.v8.1 transcript:Manes.01G258104.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQTIDLEGPYRLLHCSSKGDKIGVMQELEKHVEPNLADYDKRTALHLASCEGCTEIVVLLLEKGADVNSVDRWGRTPLSDARSFGHEDICKLLESRGGIDPVGLDSRTPCYEIDYEEVDMDGATLIGEGAYGEVYLVKWRGTEVAAKTIRSSIASNLKVKHTFLKELGLWQKLRHPNIVQFLGVLKHPDRLIFLTDYLRNGSLYDILKKKGRLDPLTAVAYALDIARGMNYLHQHKPHPIIHRDLTPRNVLQDESGHLKVTDFGLSKIAQEKDAGYKMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALLVYEMFQGGPSNRAELPEQVADKRAYEDSRPSLSSFVYPEPIKMLLRECWHKNPECRPTFEEIILKLENIEDTLRHERPIGDCCGCIIL >Manes.13G017600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1738591:1743586:1 gene:Manes.13G017600.v8.1 transcript:Manes.13G017600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMDIVEVEENLFAASDAKLHGEMCKALSVIYYKILSIFPTLEAARPRSKSGIQALCSLHLALEKAKNILKHCAECSKLYLAITGDSVLLKYEKARSALVDSLRRVEDIVPQTIGCQILDIVSELENTVFSLDPLEKQVGDEIIALLQQGRKFDNCNDNNELECFHQAASRLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSELMDDNDSQGSTPCSPTVKGSFEDAGDGHAFERQFTKLSSFNFKSNNRKSGQMAVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFCDGHDTCPKTQQKLSHLSLTPNYCVKGLVTSWCEQNGVPVPDGPPESLDLNYFRLSLCQSESANSKSVDSISSGKLKGMKVVPLEESGTTEEAEQIELESSTPQEEETPLEEEFEHDMFQSYQDFLKILNEEDDLGKKCKVVEKIRLLLKDDEEARICMGANGFVEGLLQFLESAVHARNAVAQESGAMALFNLVVNNNRNKEMMLAAGVIPLLETMVCNSDSPGSATALYLNLSCHADAKSIIGSSQAVPFLVQILQGEAEPQCKMDALHTLYNISSQASNIVNLLSAGIISGLQSLLAAPGDFAWTEKSIAVLINLASTKSGKDEMVAAPGLIGALATVLDTGEPIEQEQAASCLYILCNGNEICSQTVLQEGVIPALVSISVNGTPRGKEKAQKLLMLFREQRQRDQPQPPVEVRFQRAESSSKAMPSQDSKPLCKSVSRRRMGKALSFFWKSKSYSVYQC >Manes.13G017600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1738724:1743586:1 gene:Manes.13G017600.v8.1 transcript:Manes.13G017600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKALSVIYYKILSIFPTLEAARPRSKSGIQALCSLHLALEKAKNILKHCAECSKLYLAITGDSVLLKYEKARSALVDSLRRVEDIVPQTIGCQILDIVSELENTVFSLDPLEKQVGDEIIALLQQGRKFDNCNDNNELECFHQAASRLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSELMDDNDSQGSTPCSPTVKGSFEDAGDGHAFERQFTKLSSFNFKSNNRKSGQMAVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFCDGHDTCPKTQQKLSHLSLTPNYCVKGLVTSWCEQNGVPVPDGPPESLDLNYFRLSLCQSESANSKSVDSISSGKLKGMKVVPLEESGTTEEAEQIELESSTPQEEETPLEEEFEHDMFQSYQDFLKILNEEDDLGKKCKVVEKIRLLLKDDEEARICMGANGFVEGLLQFLESAVHARNAVAQESGAMALFNLVVNNNRNKEMMLAAGVIPLLETMVCNSDSPGSATALYLNLSCHADAKSIIGSSQAVPFLVQILQGEAEPQCKMDALHTLYNISSQASNIVNLLSAGIISGLQSLLAAPGDFAWTEKSIAVLINLASTKSGKDEMVAAPGLIGALATVLDTGEPIEQEQAASCLYILCNGNEICSQTVLQEGVIPALVSISVNGTPRGKEKAQKLLMLFREQRQRDQPQPPVEVRFQRAESSSKAMPSQDSKPLCKSVSRRRMGKALSFFWKSKSYSVYQC >Manes.13G017600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1738743:1743586:1 gene:Manes.13G017600.v8.1 transcript:Manes.13G017600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMDIVEVEENLFAASDAKLHGEMCKALSVIYYKILSIFPTLEAARPRSKSGIQALCSLHLALEKAKNILKHCAECSKLYLAITGDSVLLKYEKARSALVDSLRRVEDIVPQTIGCQILDIVSELENTVFSLDPLEKQVGDEIIALLQQGRKFDNCNDNNELECFHQAASRLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSELMDDNDSQGSTPCSPTVKGSFEDAGDGHAFERQFTKLSSFNFKSNNRKSGQMAVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFCDGHDTCPKTQQKLSHLSLTPNYCVKGLVTSWCEQNGVPVPDGPPESLDLNYFRLSLCQSESANSKSVDSISSGKLKGMKVVPLEESGTTEEAEQIELESSTPQEEETPLEEEFEHDMFQSYQDFLKILNEEDDLGKKCKVVEKIRLLLKDDEEARICMGANGFVEGLLQFLESAVHARNAVAQESGAMALFNLVVNNNRNKEMMLAAGVIPLLETMVCNSDSPGSATALYLNLSCHADAKSIIGSSQAVPFLVQILQGEAEPQCKMDALHTLYNISSQASNIVNLLSAGIISGLQSLLAAPGDFAWTEKSIAVLINLASTKSGKDEMVAAPGLIGALATVLDTGEPIEQEQAASCLYILCNGNEICSQTVLQEGVIPALVSISVNGTPRGKEKAQKLLMLFREQRQRDQPQPPVEVRFQRAESSSKAMPSQDSKPLCKSVSRRRMGKALSFFWKSKSYSVYQC >Manes.13G017600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1738593:1743586:1 gene:Manes.13G017600.v8.1 transcript:Manes.13G017600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMDIVEVEENLFAASDAKLHGEMCKALSVIYYKILSIFPTLEAARPRSKSGIQALCSLHLALEKAKNILKHCAECSKLYLAITGDSVLLKYEKARSALVDSLRRVEDIVPQTIGCQILDIVSELENTVFSLDPLEKQVGDEIIALLQQGRKFDNCNDNNELECFHQAASRLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSELMDDNDSQGSTPCSPTVKGSFEDAGDGHAFERQFTKLSSFNFKSNNRKSGQMAVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFCDGHDTCPKTQQKLSHLSLTPNYCVKGLVTSWCEQNGVPVPDGPPESLDLNYFRLSLCQSESANSKSVDSISSGKLKGMKVVPLEESGTTEEAEQIELESSTPQEEETPLEEEFEHDMFQSYQDFLKILNEEDDLGKKCKVVEKIRLLLKDDEEARICMGANGFVEGLLQFLESAVHARNAVAQESGAMALFNLVVNNNRNKEMMLAAGVIPLLETMVCNSDSPGSATALYLNLSCHADAKSIIGSSQAVPFLVQILQGEAEPQCKMDALHTLYNISSQASNIVNLLSAGIISGLQSLLAAPGDFAWTEKSIAVLINLASTKSGKDEMVAAPGLIGALATVLDTGEPIEQEQAASCLYILCNGNEICSQTVLQEGVIPALVSISVNGTPRGKEKAQKLLMLFREQRQRDQPQPPVEVRFQRAESSSKAMPSQDSKPLCKSVSRRRMGKALSFFWKSKSYSVYQC >Manes.13G017600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1740067:1743586:1 gene:Manes.13G017600.v8.1 transcript:Manes.13G017600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVVQLHGEMCKALSVIYYKILSIFPTLEAARPRSKSGIQALCSLHLALEKAKNILKHCAECSKLYLAITGDSVLLKYEKARSALVDSLRRVEDIVPQTIGCQILDIVSELENTVFSLDPLEKQVGDEIIALLQQGRKFDNCNDNNELECFHQAASRLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSELMDDNDSQGSTPCSPTVKGSFEDAGDGHAFERQFTKLSSFNFKSNNRKSGQMAVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFCDGHDTCPKTQQKLSHLSLTPNYCVKGLVTSWCEQNGVPVPDGPPESLDLNYFRLSLCQSESANSKSVDSISSGKLKGMKVVPLEESGTTEEAEQIELESSTPQEEETPLEEEFEHDMFQSYQDFLKILNEEDDLGKKCKVVEKIRLLLKDDEEARICMGANGFVEGLLQFLESAVHARNAVAQESGAMALFNLVVNNNRNKEMMLAAGVIPLLETMVCNSDSPGSATALYLNLSCHADAKSIIGSSQAVPFLVQILQGEAEPQCKMDALHTLYNISSQASNIVNLLSAGIISGLQSLLAAPGDFAWTEKSIAVLINLASTKSGKDEMVAAPGLIGALATVLDTGEPIEQEQAASCLYILCNGNEICSQTVLQEGVIPALVSISVNGTPRGKEKAQKLLMLFREQRQRDQPQPPVEVRFQRAESSSKAMPSQDSKPLCKSVSRRRMGKALSFFWKSKSYSVYQC >Manes.13G017600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1738824:1743586:1 gene:Manes.13G017600.v8.1 transcript:Manes.13G017600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTMDIVEVEENLFAASDAKLHGEMCKALSVIYYKILSIFPTLEAARPRSKSGIQALCSLHLALEKAKNILKHCAECSKLYLAITGDSVLLKYEKARSALVDSLRRVEDIVPQTIGCQILDIVSELENTVFSLDPLEKQVGDEIIALLQQGRKFDNCNDNNELECFHQAASRLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSELMDDNDSQGSTPCSPTVKGSFEDAGDGHAFERQFTKLSSFNFKSNNRKSGQMAVPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFCDGHDTCPKTQQKLSHLSLTPNYCVKGLVTSWCEQNGVPVPDGPPESLDLNYFRLSLCQSESANSKSVDSISSGKLKGMKVVPLEESGTTEEAEQIELESSTPQEEETPLEEEFEHDMFQSYQDFLKILNEEDDLGKKCKVVEKIRLLLKDDEEARICMGANGFVEGLLQFLESAVHARNAVAQESGAMALFNLVVNNNRNKEMMLAAGVIPLLETMVCNSDSPGSATALYLNLSCHADAKSIIGSSQAVPFLVQILQGEAEPQCKMDALHTLYNISSQASNIVNLLSAGIISGLQSLLAAPGDFAWTEKSIAVLINLASTKSGKDEMVAAPGLIGALATVLDTGEPIEQEQAASCLYILCNGNEICSQTVLQEGVIPALVSISVNGTPRGKEKAQKLLMLFREQRQRDQPQPPVEVRFQRAESSSKAMPSQDSKPLCKSVSRRRMGKALSFFWKSKSYSVYQC >Manes.10G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30861807:30868771:1 gene:Manes.10G141100.v8.1 transcript:Manes.10G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVIDAGSKLLKAGTAVPDQAPPMIIPTKMKRMLEDGSSTDTPSFEDVNVDPVVRGFIRDWDAMEDLLQYVLYTGLEWEEGNEGQILFTDPLCTPKSVREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIASVIEGAVQHIASRRFEIGGIDLTKLLAQELGKSNPLVNLNVSDVEIIKEKYSSCAEDEVAYEKTQKSSEFEEHTLPDGQVIKVGKERYTVGEALFQPSILGLEAHGIVEQLVRSISTVSSENHRQLLENTVLCGGITSMPGFEDRFQKEASLSSSAIRPSLVKPPEYMPAELTQYSAWVGGAILAKVVFPQNQHVTKGDYDEAGPSVVHRKCF >Manes.10G141100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30861807:30868771:1 gene:Manes.10G141100.v8.1 transcript:Manes.10G141100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVIDAGSKLLKAGTAVPDQAPPMIIPTKMKRMLEDGSSTDTPSFEDVNVDPVVRGFIRDWDAMEDLLQYVLYTGLEWEEGNEGQILFTDPLCTPKSVREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIASVIEGAVQHIASRRFEIGGIDLTKLLAQELGKSNPLVNLNVSDVEIIKEKYSSCAEDEVAYEKTQKSSEFEEHTLPDGQVIKVGKERYTVGEALFQPSILGLEAHGIVEQLVRSISTVSSENHRQLLENTVLCGGITSMPGFEDRFQKEASLSSSAIRPSLVKVLSTEHFQVFWYFVDSGLSCILMDG >Manes.13G067500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8676320:8682323:1 gene:Manes.13G067500.v8.1 transcript:Manes.13G067500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKLPRKPSKSSNNDSNNDAAVNAFSSLNSSHGPNSINASKSSSVSAKSNSGSGAARMNNGTIAPQNKSNQGKKSSTVAGQVGPISASGVYEPLPNFRDVPSSEKQNLFIRKLNMCCVVFDFSDPSKNLKEKDIKRQTLLELVDYISSVTSKFSEVTMQEITKMVAANLFRTLPSANHDTKILETYDPEEDEPTMEPAWPHLQIVYEFLLRFVASTETDAKLAKRYIDHSFVLKLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETERHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQAAEFQRCMIPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLITQNRKAILPIIFPALERNTRGHWNQAVQSLTLNVRKIFSDADQELFDECLVKFQEDEVKERDIQEKREATWKRLEEVAASKSISNEAVLVSRFASSVAIASATSPCATAGC >Manes.15G169900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14714441:14714683:-1 gene:Manes.15G169900.v8.1 transcript:Manes.15G169900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EG5651 MDAKEVHSEVSEELTRETLIGISYLLPEKVQNSDVDEILNAEKSVSRTNSDGADKYRSELISISYCPSPDMMPSPVIGKP >Manes.03G081000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15741314:15760757:1 gene:Manes.03G081000.v8.1 transcript:Manes.03G081000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSILSSSPSSSSSFDFSQILFLQLCPCRNSNLTYPSIPFSKRRRKKLHPNFSFRACVLPTNGTANSTRIATRTRSLLIKQIAGELENQHEISHESASSSSSPIQMGSNFTGFEEDPMLDKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVVFDKLWTSRKTAKLVSAGGQRGPWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIIGLLQPVIDNLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKVRLIPSEPWVGAVSWAFVSVPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKAVGPVANDFRSREMQEGNNDFVGELSVTLVDSRKLSYFFYGKTDPYVVLSLGDQTIRSKKNSQTTVIGPPGQPIWNQDFHMLVADPRKQKLYIQVKDSLGFTDLTIGTGEVDLGSLQDTVPTDRIVVLQGGWGLFRKRSYGEILLRLTYKAYVEDEDDDKTAVESIDADASDDELSDSEESNTTFKSTGRDSYSESDKESFMDVLAALIVSEEFQGIVASEAGSNNVSDDVSAAASRDLNAESVPSDPNNSSEGFGGSVIVWLAVVTSIFMLIAVNMGGSSFFNP >Manes.05G204600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33120200:33124238:-1 gene:Manes.05G204600.v8.1 transcript:Manes.05G204600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEDAPVSSQIPQEPPIGLTIKISTSCSKTGKGISNPHAPSNSDLPSPNLKNSIESSPYNSPSLVSPPSSAFVSALQSPYISPRAITPKPTPPENSTTVTHPSPPVSSYRGSQSDDIPSSSYTPPSDQYEYSDDPADAKLKYVTCVPVSDPAPPRISFSFPVPRISFKGAVSPASNAKLRSCDVYIGFHGQNPNLIRLCKWLKSELELQGIACFVADRSKYSDQNHEIADRVICSVAYGIVVVTNSSFLNHLSLEEIRFFAQKKNLIPIFFGTELAEITGLLYCNSIDIECKEAIGLLKSQEFKLEANEGNWRSSVAKAAGLLRAKLGRKSVAEKEEIEKFEELPFPRNKYFVGREKEIMEIETALFGCGDTLEEKYSIPMVQGESSGQSEGLGNDESETVTSQGGRYINLELGGKCKEPALEAWVEPVVGRNSWKRSKYKKSKSGNYKCLGSSSVFCINGVAGIGKTELALEFAYRHSQRYKMILWVCGEARYFRQNILNLSLNLGLDVSADGEKERERIRSFEEQEFEAFKRVKRELFRDVPYLLIIDNLETETEWWEGKDLYDLIPRNTGGSHVIITTRLSKVMNFDMMQLPPLPLSDAMILMRGRRKKDYQSEELEFLQKFDEKLGRLSFGLWVVGSLLSELSISPSELFEAINQVPHEDGSAYSYMNVHDEQYCKNNPFLMKLLRFCLNVLQHTNETKNLLSSRMLLVGAWFAPAPISATLLATAAKDMPDIGHRFKKWTKCLGLAFACCSGCGLDPQSEEDSAILLVKLGLARKAIRQPGCWIQFHPITQVFARRKEGLSAAKATVQGVRKIGNPVINSDHLWASAFLVFGFKSEPPLVQLKAVDIVLYIKKTALPLAIRAFTIFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCHDSLCWKKKIQGHQRVDEYVWREVTLLKATLLETRAKLLLRGGHFDSGEKLCRTCTSIRTVMLGHSHAQTLAAQETLAKLVRMRSKI >Manes.04G066000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26255165:26256321:1 gene:Manes.04G066000.v8.1 transcript:Manes.04G066000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHRNDAACPARGFYTYEAFITAAQAFPTFGTTGDDATRKREIAAFFGQTSHETTGGWPTAPDGPYAWGYCFLEELSPSDYCSPSDKYPCAPGKQYYGRGPIQLTWNYNYGQCGNALGIDLLNNPDLVEKDPVISFKTAIWFWMTPQSPKPSCHDVITGEWSPTPADSAAGRVPGYGLITNIINGGLECGRDPDPRVEDRIGFYKRYCDIFGVDYGDNLDCNSQKPFGNGLVDSM >Manes.12G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33282479:33288054:-1 gene:Manes.12G126700.v8.1 transcript:Manes.12G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESASLYLNELWKRFRSEEKPPEQLGASREYNVDMIPKFMMANGALIRILIHTNVTKYLNFKAVDGSFVYNKGKIHKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYDENDPKSHEGLDLNKVKARDVISKYGLEDNTVDFIGHALALYLDENYLDEPALDFVKRMKLYADSLARFRGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLRKPECKVEFDADGKAYGVTSEGETAKCKKVICDPSYLPDKVKKIGKVTRAICIMSHPIPNTHDSHSAQVILPQKQLKRKSDMYLFCCSYAHNVAPKGKYIAFVSTEAETDDPRTELKPGIDLLGPVDEIFYDSYDRYEPNNQPDVDNCFISTSYDATTHFETTVEDVIKMYSKITGKTLDLSVDLSAASAATEE >Manes.07G095810.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30041988:30043169:-1 gene:Manes.07G095810.v8.1 transcript:Manes.07G095810.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQPNSRVLKRLFFFTFENPFLSLTNHRYQNLPQQYKKTCNYVDVYMKWKKDSYYEWIEHIHKGLQFDVKIKEFVGPKYNLPWFRLTEEAAAIDREEKKVLEEHKEDLRERLKKFILMSMENVLPFKIIKGMLWYLGLPEDFLQYPDKNFDSYFKVVELEDGLKGLGVESREKLLSVLQKNAMRKGLYSGEPMETIDFPFFPSKGLRLRRKIQDCDIAQKRVVGLLHELLSLFVEHSAERKKLLCLKKYFELPQKVHKAFERHPHMFYLSFRNKTCTAILKEAYGDDELAMERHPMAMIRKKYIKLMKESEVILKRRRTNNPFVQ >Manes.09G011722.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2578624:2586086:1 gene:Manes.09G011722.v8.1 transcript:Manes.09G011722.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQLKPNFMIYSSLINGMCKVGKINDAKELFCSLFEIGLQPDVCVYNAIMKGLCQQGLMDEAYKLFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELMNKMVDKGFSQNW >Manes.01G022500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5000961:5002015:-1 gene:Manes.01G022500.v8.1 transcript:Manes.01G022500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQISKIPSSHQKNQTVSSESLEDRDKRSTEDIINKQARKSSNNGGLMRRYLGVRQRPSGRWVAEIKDSSQKLRLWLGTFDTAEEAAMAYDSAARLLRGRNAKTNFDFHGNSIDTHQENCRFLGKNPRLYQLFQHAVMKNHARSSSPSAAAGEISNGTPWLDQNFEAMVEEDGSKKLCGLSIGSSKVYSSVIVAPSFSASSSSSSLLCQGEQNSCKKV >Manes.04G161500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574759:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEWIWFLESQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574759:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566074:35574752:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFIFLWRAQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574752:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFIFLWRAQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566165:35574756:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574829:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFIFLWRAQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574752:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEWIWFLESQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566105:35574795:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEWIWFLESQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574139:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574829:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFIFLWRAQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566165:35574756:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574752:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFIFLWRAQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574752:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574759:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.04G161500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566347:35574752:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEWIWFLESQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKRHVKKLL >Manes.04G161500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35566075:35574859:-1 gene:Manes.04G161500.v8.1 transcript:Manes.04G161500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEWIWFLESQALKFENMGCLASTQNKSGGRWPRNVGEVAVFVPGMRIPKPIDFTQPFGDGLSRDLVERLCALRTRIVVMAGQEAPRATKPRRTATQHGGSTMADLQQALEDYLPVLLGLVENGNQLKHDLQFSWLNQEDTAEETTMSNSWYEVLSVLHLMAMLSLSQANLLLLPMASNDGHLSKLSEERRRACIDMLLKAAGYLHFSIQHVLPQFPPELRKNLPLDLEEGVLQALCLQALGQGVDVQLGMAIDSVKATLAVKRRLACEMVKYWHQAQENIVGLPLMSGWGKKHEHFIEWKYAEAKAAAYYYHGLILDEGNTETCKEIAIAAQQAAEALCKESNKACESFHMTPPLSRNPIPWGTSKFLSEKIPGDESSKVQSNEDPHSNEMILQAAPALPDFTLSLKPDEYQLPPVDPSWNDHIQNQNLLVL >Manes.01G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2880450:2883476:1 gene:Manes.01G008600.v8.1 transcript:Manes.01G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATATVSVAAAAASRIIRGRGLSLGVCSRLGINMVRNRSFIIKTATPFSPRHHRFSCFCTIANVNVNAEEPTSVSAGTTTTSTVSESESNKDGGSIRDAANMLDVRVGRIIKAWRHEEADTLYVEEVDVGEAEPRIICSGLVKYIPLDHLQDRKVVVLANLKPRNMRGVKSSGMLMAASDASHENVELLEPPEGSIPGERIWFGAEDDQQNQPDPATPNQVQKKKIWESVQPHLKTDDSCVAMLGEHLMRTSAGVVGCRSLKNANIS >Manes.S028752.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2383989:2384652:-1 gene:Manes.S028752.v8.1 transcript:Manes.S028752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRDVRPRPCPRVFQPPIAVAIAAEDSAFGPAACALHTGGQRPPPRPPPRGEGADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFRQ >Manes.08G150500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39212682:39216127:1 gene:Manes.08G150500.v8.1 transcript:Manes.08G150500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALKTPLFRASLKPRLLTTAATNNISLNSSLLSCLPFKHRSISAKLSPTNFPQFAAKSSPRLVKFVPFCSQAETKTTESQEIQEPEIQASPSAHDSSDIEVVGEDDASDVEDSASSEDVADLEESPSSIIMASLQSYKEALASNDESKIAAFEAFLKSVEDEKIELEKKVTSLTEELLIEKDRILRISAYFDYFRKSRERERLSLVTNAKGEIVESLLPVLDNFERAKSEIKLETEGEEKINNSYQSIYKQFVQILGSLGVISVETTGNPFDPSAKNRVGSKLSQVLGDIIRKFDQVYSGFMKNWIRF >Manes.02G110700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8573145:8574695:-1 gene:Manes.02G110700.v8.1 transcript:Manes.02G110700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLIRQAELRIPFTPIRFFTDGRTWAGPYRDSYDYTHLLQKCKGTKCVKKLHCQIITGGYEQNRFVASKLVGKYCESSNMGYARKVFDSLFERDIFLWNLIIQGYANMGPNTEAVSIYSEMRLAGICVNQYTYPFVLKACGVSGDKKNGQVIHGHVVKSGLDTDLFVGNALVAFYAKCQEVDMSRKVFDAIPQRDLVSWNSMISSYAVNGYADAALTLFRAMLRDPATCAPDNATLVTVLPACAQAAAIQLGFWIHSYIIKTSMEIDAALGSGLISMYANCGRVNIARDVFDRVSDKNIVVWNAIIRCYGMHGYADEAIQMFSRLTESGLQPDGLIFLCLLSACSHAGMVEKGRELFARMEDYAVEKNEEHYACMVDIIGRAGYLEEAVAIIETMPVKPRKNVYGALLGACRIHNNIELAEEAAERLFVLDPDNAGRYIILAKMYEDAERWEDSARVRKLLRERKIKKPIGCSSIEVDCVHHTFGVEDESHPCKDQIFDALERLDRIMGDELVEM >Manes.06G122900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25330917:25334696:-1 gene:Manes.06G122900.v8.1 transcript:Manes.06G122900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSASTVDMLKQRIISDWPKGKTVTPKAVNEVKLISSGKILDNNKTVGQSRTPFGEVAAGIIIMHVVVQPSLVKMKTEKKINDSPRKLVCSCSIL >Manes.06G122900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25330917:25334696:-1 gene:Manes.06G122900.v8.1 transcript:Manes.06G122900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSASTVDMLKQRIISDWPKGKTVTPKAVNEVKLISSGKILDNNKTVGQSRTPFGEVAAGIIIMHVVVQPSLVKMKTEKKINDSPRKLVCSCSIL >Manes.06G122900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25330917:25334419:-1 gene:Manes.06G122900.v8.1 transcript:Manes.06G122900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSASTVDMLKQRIISDWPKGKTVTPKAVNEVKLISSGKILDNNKTVGQSRTPFGEVAAGIIIMHVVVQPSLVKMKTEKKINDSPRKLVCSCSIL >Manes.01G239200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40090760:40093776:-1 gene:Manes.01G239200.v8.1 transcript:Manes.01G239200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQAPIDSCFLGENLDVEGLQGGCINTESLRFEGEEEEPLFLMSSLEDRMPFPQMLQSVESPPFFPFREPNFQTLLKLQHLTRPWDMNTYINETKTQVQALELESCVTHDISDLHSPTKSETKGLQNPLSDSCLEDASPESNQRRANSVGKEQNSLFPWTQPQITLNETNCSKHSQIVPKERRKRKRTRKIKNKEEVETQRMTHIAVERNRRRQMNDHLNSLRSLMPSSYVERGDQASIVGGAIDFVRQLEQLLQSLEAQKRMKEREPAATPMGISSNGLFTPQAECNIQRDSGNSEEEAKVKRKSEAAVIEVTAVQNHVKLKIQCERRTGQLVRAIAALEDLSLLVLELNITSSETSVLYSFNLKIEDDCKLESADEIAATVDQIFSTTNGS >Manes.01G239200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40090760:40093248:-1 gene:Manes.01G239200.v8.1 transcript:Manes.01G239200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEDRMPFPQMLQSVESPPFFPFREPNFQTLLKLQHLTRPWDMNTYINETKTQVQALELESCVTHDISDLHSPTKSETKGLQNPLSDSCLEDASPESNQRRANSVGKEQNSLFPWTQPQITLNETNCSKHSQIVPKERRKRKRTRKIKNKEEVETQRMTHIAVERNRRRQMNDHLNSLRSLMPSSYVERGDQASIVGGAIDFVRQLEQLLQSLEAQKRMKEREPAATPMGISSNGLFTPQAECNIQRDSGNSEEEAKVKRKSEAAVIEVTAVQNHVKLKIQCERRTGQLVRAIAALEDLSLLVLELNITSSETSVLYSFNLKIEDDCKLESADEIAATVDQIFSTTNGS >Manes.10G103700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25166259:25167206:-1 gene:Manes.10G103700.v8.1 transcript:Manes.10G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSLAFLMLMAVLVGSSVAQSPASSPVSPPTKSPGATPPNAATPAPSVSAPSPTVNPPVSAPSPTVKPPVSPPANAPSPATVDSPPSPPPSLSSPAPSTTALPPSSIAQTPSDAPAPAENGAALNRFAIAGSLAVGALTAVLVL >Manes.07G087825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27543849:27544928:1 gene:Manes.07G087825.v8.1 transcript:Manes.07G087825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRQESLHTTKPRVSSSFALSPTCNRTQTHGRCPSSKPHLRLTLLLLTDVQIRTPRINSSILDWANLAFAILHDNPAPSPLVAIPFLPVEVLRLCEIPSGSSLLKVVCCSIGSHATYGSRTACHTPFSSCCHPRFLIMKPKILDPQPMRPS >Manes.13G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8125767:8128747:1 gene:Manes.13G064500.v8.1 transcript:Manes.13G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGELVRNVFSRNRSVGTHESNTSGRSSAGDKRRWSVVRSYLCGDEFNSVLAEEDSASIKSSEATVTQPVLEDIPKEDRVKEKHNSTSKLFQQEDAAIIIQSAFRKYLARRLIEEIKSNDGEKEPAMEMESPSRDSVGTSIEVQTANSVEVLSVKLEKVAVHHRMQKKARTQVLKIKDDWDDSTVSSNITKMRIQNRMEATNRRERALAYAFAQQLRICSKRKQTRSDDTETNMGWSWLERWMATRLSECSVESCTSKTYMTQSSNHGIPARKRFLDGAEEKESCGSNEVSVQFDSLAMTTANHKEGLNPTRNQLKSSRPISRRKTAPSNQILKEHNKVIKKEGQKESEQHKEHSSKKEIKCKDASSKQLA >Manes.14G013700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1203518:1206781:-1 gene:Manes.14G013700.v8.1 transcript:Manes.14G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQIFQSLENNTNNEVSRQEIQAAIAKAVELRALHAALMHGNSPANLKFPSSSPFSRPLSQFSAQDYPVFTPTYEEEPLPGHQALPKSTTLSESWDEYGLEGGNGYETVLSDYKKENSSSKKGISSGLASLESHSCPAEDQKSLTGSSANNITVLHTSPGTEFYKSSRRNSLADFKPVPSCNRCKLATITSESENVTRNSRNPNVVVPWADSLSPAQPQPRNRGMISWLFPKLKKKQRSENSPNRTESEDVSQIFKDLGVLSIETLKKELTEANESRDAALMEVAEVKSSLGELKQKLEYLESYCGELKRALRQATLAKGSQVIEKLGNFPKRGKLNDGNGENSMPVSEEVMVEGFLQIVSETRLSVKQFCKTLVGQIEETDNTLMDNLNLLLQPYKLSLNSRHSKAVLYHLEAIINQSLYQDFENSVFQKNGSPKHLDPQQDRQAQFASFVALRNLSWSEVLRKGTKYYSEEFSKFCDQKMSCIITTMNWTRPWPEQLLQAFFVTAKCIWLLHLLAFSFSPPLGILRVEENRSFDLYYMEDMFADRQKSNGPSRVKIMVMPGFYVQDRVLRCKVICRYRSVA >Manes.14G164150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25926814:25934499:-1 gene:Manes.14G164150.v8.1 transcript:Manes.14G164150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICFIFLILPIPNAVIFWRKKKLLIQEVNNKENHFFIVTCPCIIMDTNSIKVVFPMNTKKTPTDTLSFQYVSSTRASDSSLQKIPLYQLKFPH >Manes.02G048800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3966718:3968628:-1 gene:Manes.02G048800.v8.1 transcript:Manes.02G048800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPTPTHLLTPSLLPRYFRSLLRSCARQSLLSTAQKLHSIILTTGIAVSPNTFLLNALLHVYARCGFPRYACHLFSQIPHSHKDTADWTSLLTCLTKHGATPAHGLSLFKEMRKEGVALDDVAMVCVFGLCARLVHLEMGRQAHGCLVKTGLGSSIKTSNAVINMYVKCGLMGEARRVFSEMDEQNVVSWTVLLEGVVNWEGVKNGRVVFDQMPVRNEVGWTLMIAGYVGTGFCKEGFFLLSQMVLGLRLELNYVTICSILSACAQSGDVMMGRWVHVYALKSMGREMDIMVGTALVDMYAKCGRINMAYDVFKFMPKRNVVAWNAILGGLAMHGNGKIVLDIFPKMIQEAKPDDLTFMAVLSACSHSGLVDEGRRYFHNLESEYGITPKIEHYACIVDLLGRAGCLEEAEILIKKMPMPLNEVVLGSLLGSCNVHGKLQLGEKILQELSELDPHNNVHHILLSNMYALAGKQDKANSLRQVLKSKGFKKVPGMSYIHVNGQVHQFISGDKLHPEMQEIYLMLEDMIRRLRLAGYVPNTASQIFSGCDGSESNPEEMEEKELALFSHSEKLAVCFGLINTRPGSPLYIFKNLRICQDCHSAIKIASDIYNREIVVRDRNRFHCFMRGSCSCSDYW >Manes.06G155200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28203992:28208064:1 gene:Manes.06G155200.v8.1 transcript:Manes.06G155200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPIMLTPGQEFTFTIRRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTDDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIAGGTMPPNLGQAFKNHMSEMFAYHATMMSNTLGTSIVVFTRTGFMSILLSHYRPSGTIFAFTNEKRIQQRLALYQGVCSIYMQFSDDAEETFANALSVLKNQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKV >Manes.06G155200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28203989:28208064:1 gene:Manes.06G155200.v8.1 transcript:Manes.06G155200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPKTMSLQSCLTPRQGPEVRSGDLPQPIMLTPGQEFTFTIRRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTDDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIAGGTMPPNLGQAFKNHMSEMFAYHATMMSNTLGTSIVVFTRTGFMSILLSHYRPSGTIFAFTNEKRIQQRLALYQGVCSIYMQFSDDAEETFANALSVLKNQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKV >Manes.06G155200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28203102:28208064:1 gene:Manes.06G155200.v8.1 transcript:Manes.06G155200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATGSIHGSLLCPSSGSLQERVDKLKPSGFASKVLASDEKKREKNCLRIAPRSTQIAAAKRSVRAEPEVIPVSPEDVPQREEQVLNLQQLGDTSVGVWSKPTVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPIMLTPGQEFTFTIRRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTDDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLQSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIAGGTMPPNLGQAFKNHMSEMFAYHATMMSNTLGTSIVVFTRTGFMSILLSHYRPSGTIFAFTNEKRIQQRLALYQGVCSIYMQFSDDAEETFANALSVLKNQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKV >Manes.02G068900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5270394:5275436:1 gene:Manes.02G068900.v8.1 transcript:Manes.02G068900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLISIFQPNLSSRRITIKPLAVLNKSHKMRIPYELKQAQTRFFHQLPSGLNIEVIEQKGTVGNSNTDTDKRSSENPPLVFVHGSYHAAWCWAEHWFPFFSSCGYDCYALSLLGQGESDGPVGSFAGSLQTHAGDVAHFIQKNLKLPPVLLGHSFGGLIIQYYIANIRNEKLIEMKKLYPVLAGAVLVCSVPPSGNSGLVWRYLFSKPIAAFKVTRSLAAKAFQTDLSLCRETFFTSTMEDHLVMRYQELMRESSRMPLFDLRKLNASLPVPSVPKSCMEILVVGASDDFIVDAEGLQETGRFYGVSPVCVEGVAHDMMLDCSWEEGAKVILSWLNGLSR >Manes.02G068900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5270399:5275437:1 gene:Manes.02G068900.v8.1 transcript:Manes.02G068900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLISIFQPNLSSRRITIKPLAVLNKSHKMRIPYELKQAQTRFFHQLPSGLNIEVIEQKGTVGNSNTDTDKRSSENPPLVFVHGSYHAAWCWAEHWFPFFSSCGYDCYALSLLGQGESDGPVGSFAGSLQTHAGDVAHFIQKNLKLPPVLLGHSFGGLIIQYYIANIRNEKLIEMKKLYPVLAGAVLVCSVPPSGNSGLVWRYLFSKPIAAFKVTRSLAAKAFQTDLSLCRETFFTSTMEDHLVMRLVHWLFKK >Manes.02G068900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5270399:5274777:1 gene:Manes.02G068900.v8.1 transcript:Manes.02G068900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLISIFQPNLSSRRITIKPLAVLNKSHKMRIPYELKQAQTRFFHQLPSGLNIEVIEQKGTVGNSNTDTDKRSSENPPLVFVHGSYHAAWCWAEHWFPFFSSCGYDCYALSLLGQGESDGPVGSFAGSLQTHAGDVAHFIQKNLKLPPVLLGHSFGGLIIQYYIANIRNEKLIEMKKLYPVLAGAVLVCSVPPSGNSGLVWRYLFSKPIAAFKVTRSLAAKAFQTDLSLCRETFFTSTMEDHLVMRYQELMRESSRMPLFDLRKLNASLPVPSVPKSCMEILVVGASDDFIVDAEGLQETGRFYGVSPVCVEGVAHDMMLDCSWEEGAKVILSWLNGLSR >Manes.05G182400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30048330:30050338:1 gene:Manes.05G182400.v8.1 transcript:Manes.05G182400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLHRGAQNFARANRSILSRSLRWFSSSDALVEVNPGEIGLVSGIPEQHLRRRVLIYSPARTATQQGSGKVGRWKIDFLSTHKWENPLMGWTSTGDPYANVGDAALSFDSAEAAMAFAEKYGWEYTVKKRHTPLLKPKSYADNFKWKGPAKNQDA >Manes.16G088000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29441461:29444515:-1 gene:Manes.16G088000.v8.1 transcript:Manes.16G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEGLKLQLEEFQKQLGKKQKFEDAVSSIKSLLREFYPSASPSLRKSFYSVICRVATILKTRYTAPGFWLAGLGLFEQAEWLVSDSSEKEHLRSCIAQAKEQLHLTENPPDVSPNSSRGGYLFEGHLTVDPEPPQPQWLVQSNLVNSMASLVGAESSGGLADPNNTAENAANILEELISNLDTIIPEIMDNESRVPRVPPASKEVVANLPVITLTEEIMARLGQDAECAICKENLVVDDKMQELPCKHTFHPPCLKPWLDEHNSCPICRHELRTDDHAYESWKELEKEAEEERKGAANAVRGGEYLYI >Manes.18G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9761894:9773721:1 gene:Manes.18G102200.v8.1 transcript:Manes.18G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGKSKMKRIVVVVVVIFFLSLSACCSMTSASVVLIGSNVTLSFDDVEANFAPAVKSSGECGALNLAEPLDACSDLRNKVEKASNVSSPYLLIIRGGCSFDDKVRRAQEAGFKAAIVYDNEDDGLLVSMAGNSAGIRIHAVFISKSSGETLKKYAGLSGVEIWLISSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRSSQVREFHGMSRRMVKAMPSLIFTAVQEDNCTSRTCAICLEDYSVGEKLRILPCRHKFHAFCVDSWLTTWRTFCPVCKRDARTSNGELPASESTPLLSSSPASLASSSVLSSFRSTSSLAIQIAPTLSQSPSVSHIPSSRSPSVAHVPLSGTPYVQQSLRSYHQSPSLSVSRSSADLRHASSQRSIASHLISSHSLGYPSISPRTSRYMSPYIPSPSNASPCFLSSSSHQQRPLHCSESAASFSPFASAHSLPEC >Manes.18G073689.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6643042:6652012:-1 gene:Manes.18G073689.v8.1 transcript:Manes.18G073689.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLCCISAVSNHSSAKSLPMAITSRSDQNIRSISVPRNHHSRFLKNLSHHHNGTDGNESNLISVSNRPSQRGLAATLALHTLSLPREQQVDVRINDIVGNGISGILHKWVNYGKGWRPRWFVLQDGVLSYYKIHGANKIVVNRETEKGSKVIGEESLKRISRPTNGNTQFKRNPVGEIHLKVSSIRESRSDDKRFSIFTGTKKLHLRAETREDRLAWMEALQAVKDMFPRMSNSELMAPIDNLAVSTEKLRQRLQEEGVREEAIQDSEQIMKNEFASLQNQIVLLKQKQRLLIDTLRQLETEKVDLENTVVDESQRQFNNQVASPVLRQDKSSVSATESDDENERVDAAEEETDDYDNTFFDTRDFLSSASFKSSGSDFRTSSFSSDEEGLCAPELEDDIDPSMRSVGKDYPYVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEWGRRGNSLMRILNVAAFAVSGYASTEGRICKPFNPLLGETYEADYPDKGVRFISEKVSHHPMIVACHCEGKGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNHEYSCKLKFKEQSIIERNPHQVNGTVQDRNGKRVATLFGKWDESMHYVNDDSGVKGKGFESLKDAHLLWKRNKPPQFPTRYNLTRFAMTLNELTPGLKEKLPPTDSRLRPDQRHLENGEFEMANSEKLRLEQRQRQARKMQEKGWKPQWFAKDKGSDSYRYIGGYWDARQKGNWESCPDIFDQVPSDQLLD >Manes.18G073689.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6643042:6652012:-1 gene:Manes.18G073689.v8.1 transcript:Manes.18G073689.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLCCISAVSNHSSAKSLPMAITSRSDQNIRSISVPRNHHSRFLKNLSHHHNGTDGNESNLISVSNRPSQRGLAATLALHTLSLPREQQVDVRINDIVGNGISGILHKWVNYGKGWRPRWFVLQDGVLSYYKIHGANKIVVNRETEKGSKVIGEESLKRISRPTNGNTQFKRNPVGEIHLKVSSIRESRSDDKRFSIFTGTKKLHLRAETREDRLAWMEALQAVKDMFPRMSNSELMAPIDNLAVSTEKLRQRLQEEGVREEAIQDSEQIMKNEFASLQNQIVLLKQKQRLLIDTLRQLETEKVDLENTVVDESQRQFNNQVASPVLRQDKSSEVSATESDDENERVDAAEEETDDYDNTFFDTRDFLSSASFKSSGSDFRTSSFSSDEEGLCAPELEDDIDPSMRSVGKDYPYVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEWGRRGNSLMRILNVAAFAVSGYASTEGRICKPFNPLLGETYEADYPDKGVRFISEKVSHHPMIVACHCEGKGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNHEYSCKLKFKEQSIIERNPHQVNGTVQDRNGKRVATLFGKWDESMHYVNDDSGVKGKGFESLKDAHLLWKRNKPPQFPTRYNLTRFAMTLNELTPGLKEKLPPTDSRLRPDQRHLENGEFEMANSEKLRLEQRQRQARKMQEKGWKPQWFAKDKGSDSYRYIGGYWDARQKGNWESCPDIFDQVPSDQLLD >Manes.06G109600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24138461:24142848:1 gene:Manes.06G109600.v8.1 transcript:Manes.06G109600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO4 MANSILLSILKLLMVLIFAGWVSLWLLKPTNLWTRKWKGVEDSARPTIFGYYGLDFAVYTFPVISLAIIGLVYLNLLSKETLRRKASSSTTGFSNPVIVNSFIGILSGIEILWVFLFILLLGWTYYTRISNDFKKLMPIKLLKLNLWQLKYLRVATRFGLLAEACLALLLLPILRGLALFQLLGIQFEASVKYHIWLGTSMIFFATVHGGSTLFIWGVSHHIQDEMWRWQKTGRIYLAGEMALVTGLVIWITSLPQIRRRRFEIFYYMHHLYILFLIFFLFHAGDRHFYMVFPGIFLFGLDKLLRTIQSRPETCILSARVFPNKAVELFLPKDPRLKYAPTSVIYMKIPSISKYQWHSFSLASSSNVDDEVMSVILKCEGGWTSSLYDMIQAELDSNADHMSSIRAAIEGPYGPASLDFLRYDSLVLIAGGIGITPFLSILKEIASVQSSSRHRFPTQIELIYVVKKSQDICLLNSISSLLLNQSSSKQLNLRLKVFVTQEERSSASLRELLNDLSVVRTVNFDTKLSNYAVHGLESPLRMAALTALTSIVFLIFLMCFNNIFVSSEKSRGAASEKMTVLSEKKVPKDKTPSSVVDILLLSSFVIAIACSTFLAFILRWKRLTKSIPPVSQKRAKSTEPSQVETMSGSEKHEIHLGGRPNFQDIFSKFRNETGGSDIGVLVCGPESIKESVASLCQLKSQGFNGGLARALPAPMVLAFSEWMCHGEF >Manes.06G109600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24138264:24143117:1 gene:Manes.06G109600.v8.1 transcript:Manes.06G109600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO4 MANSILLSILKLLMVLIFAGWVSLWLLKPTNLWTRKWKGVEDSARPTIFGYYGLDFAVYTFPVISLAIIGLVYLNLLSKETLRRKASSSTTGFSNPVIVNSFIGILSGIEILWVFLFILLLGWTYYTRISNDFKKLMPIKLLKLNLWQLKYLRVATRFGLLAEACLALLLLPILRGLALFQLLGIQFEASVKYHIWLGTSMIFFATVHGGSTLFIWGVSHHIQDEMWRWQKTGRIYLAGEMALVTGLVIWITSLPQIRRRRFEIFYYMHHLYILFLIFFLFHAGDRHFYMVFPGIFLFGLDKLLRTIQSRPETCILSARVFPNKAVELFLPKDPRLKYAPTSVIYMKIPSISKYQWHSFSLASSSNVDDEVMSVILKCEGGWTSSLYDMIQAELDSNADHMSSIRAAIEGPYGPASLDFLRYDSLVLIAGGIGITPFLSILKEIASVQSSSRHRFPTQIELIYVVKKSQDICLLNSISSLLLNQSSSKQLNLRLKVFVTQEERSSASLRELLNDLSVVRTVNFDTKLSNYAVHGLESPLRMAALTALTSIVFLIFLMCFNNIFVSSEKSRGAASEKMTVLSEKKVPKDKTPSSVVDILLLSSFVIAIACSTFLAFILRWKRLTKSIPPVSQKRAKSTEPSQVETMSGSEKHEIHLGGRPNFQDIFSKFRNETGGSDIGVLVCGPESIKESVASLCQLKSQGFNVGANKKKPYFSFHSLNFTL >Manes.06G109600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24138264:24143117:1 gene:Manes.06G109600.v8.1 transcript:Manes.06G109600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO4 MANSILLSILKLLMVLIFAGWVSLWLLKPTNLWTRKWKGVEDSARPTIFGYYGLDFAVYTFPVISLAIIGLVYLNLLSKETLRRKASSSTTGFSNPVIVNSFIGILSGIEILWVFLFILLLGWTYYTRISNDFKKLMPIKLLKLNLWQLKYLRVATRFGLLAEACLALLLLPILRGLALFQLLGIQFEASVKYHIWLGTSMIFFATVHGGSTLFIWGVSHHIQDEMWRWQKTGRIYLAGEMALVTGLVIWITSLPQIRRRRFEIFYYMHHLYILFLIFFLFHAGDRHFYMVFPGIFLFGLDKLLRTIQSRPETCILSARVFPNKAVELFLPKDPRLKYAPTSVIYMKIPSISKYQWHSFSLASSSNVDDEVMSVILKCEGGWTSSLYDMIQAELDSNADHMSSIRAAIEGPYGPASLDFLRYDSLVLIAGGIGITPFLSILKEIASVQSSSRHRFPTQIELIYVVKKSQDICLLNSISSLLLNQSSSKQLNLRLKVFVTQEERSSASLRELLNDLSVVRTVNFDTKLSNYAVHGLESPLRMAALTALTSIVFLIFLMCFNNIFVSSEKSRGAASEKMTVLSEKKVPKDKTPSSVVDILLLSSFVIAIACSTFLAFILRWKRLTKSIPPVSQKRAKSTEPSQVETMSGSEKHEIHLGGRPNFQDIFSKFRNETGGSDIGVLVCGPESIKESVASLCQLKSQGFNVGANKKKPYFSFHSLNFTL >Manes.12G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33353582:33360670:-1 gene:Manes.12G127400.v8.1 transcript:Manes.12G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPGIIGFQTLFAVFLSVIFFWIHHKWRNAVARKEEIKRLVDIVSKESTMVEFDAIYEYKSLPRLHQCAVCFCPTTTRCSRCKSVRYCSGKCQIIHWRQGHKDECQPLTAALHLKQESEFCEGASSEKQSEMHVAERELLANVSTNKELVYSSSTTGRSERSSDMPDTRVSSKEIQEPKLPPPKSTKSITCVNGVSHPSKLNKMKPSYNDEVVGKSQVLNGNAMIDEIWPAKLGHKKSNRRAALSETLVADSSNCKSSTSLNSKLDSVSDDGEDDSPMCKGKDARSLSFNASGDHSQDASNGLRNSVWRKVQQLRAKQSHNYEIIFSYELFVKLYSCSEELSPFGLTNCGNSCYANTVLQCLAFTRPLTSYFILQLHSNACRKKEWCFVCEFEFLILKAREGESPLSPIRILSKIQKIGSHLGHGREEDAHEFLRYAVDTMQSICLKEAGAMGTLAEETTVVGLTFGGYLRSKITCMRCLGKSERYERIMDLTVEIDGDIETLEEALAQFTADEVLDGENKYNCSRCRSYVKAKKKLTVLEAPNILTIVLKRFQSGNFGKLNKSVRFPEVLNLAPYMRGKSDKSPQYSLYAVVVHRDRMNDTSTGHYVCYIKTSHGEWFGINDSEVMPVELERVLLEEAYMLLYARHFPRGPAVLTLETHGLKSKKRNLEAVPSRLSTSKVRSNSQCASTDPSKAQQKHGKYPYWTTPDDFTGYRLRDLDDWRFQPVDSSSESSSLFSWSDASSCSTASTKDSVKSEDFSDFLFGEVGPGWYGRPGIAGDTVAPSLYRNVDADLHGRS >Manes.08G049900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5117485:5117832:-1 gene:Manes.08G049900.v8.1 transcript:Manes.08G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMVAGLHDQLRPVVEAPPAAADASCYSHRSIETLVVVVAVITIICVIAGIIARLCGGQHFGSNGEHDIEGWVEKRCKSCIDGGVTTAPPPPPPAEKAKPPLEEAKPATEEAKK >Manes.05G157100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27020949:27022734:1 gene:Manes.05G157100.v8.1 transcript:Manes.05G157100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGETVCVTGASGFIGSWLVMRLLQRGYFVRATVRNPEDANKVKHLLELPKASTHLSLWRGELAEEGSFDHAIQGCSGVFHVATPTDFFPDRDPEAAIIKPTVNGVLNIMRSCSKAKSIRRFIYTSTLGTIGVQPPTPPPEYDESFWTDVDFCYAEKMPGWAYLVAKTKAEKAAWEFAKENRLHLVAVHPSVVVGPFLTPSRPFSIDVGMSLITRNEGIYSMMATVPAVHVEDVCSAHIFLFEHPQANGRYICSNHTSTLADLAKSLSQKFPEYDIPTEFEGIDESWKAPYSSKKLLDLGFMFKYESSKYDVGDLYVEAFKSCREKGLMPQPS >Manes.05G157700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27053582:27056959:1 gene:Manes.05G157700.v8.1 transcript:Manes.05G157700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGDTASTVAPLAKWRKDFSRAFQYYLDRSTPHTVQRWLGTLVAAAIYMLRVYCVQGFYIVSYGLGIYILNLLIGFLSPKVDPELEVLDGASLPTKESDEFRPFIRRLPEFKFWYSITKAFCVAFLMTFFSVFDVPVFWPILLCYWIVLFILTMKRQIMHMIKYKYIPFSFGKQVRSWKV >Manes.05G157700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27053582:27056959:1 gene:Manes.05G157700.v8.1 transcript:Manes.05G157700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGGDTASTVAPLAKWRKDFSRAFQYYLDRSTPHTVQRWLGTLVAAAIYMLRVYCVQGFYIVSYGLGIYILNLLIGFLSPKVDPELEVLDGASLPTKESDEFRPFIRRLPEFKFWYSITKAFCVAFLMTFFSVFDVPVFWPILLCYWIVLFILTMKRQIMHMIKYKYIPFSFGKQKYSGTKSAAGSSGITKD >Manes.02G096100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7499937:7501347:1 gene:Manes.02G096100.v8.1 transcript:Manes.02G096100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDVYRNMWDPCIRDSRSHIRQEENGWLWTPVLHEPKSSFRKFPTLEKTMLRAFSTRRSRHGDYERLLADESIYASELAILKRSKTLPAAPALRSSITKLPSPNDSQSHQVMKPAATKAIKTHPLSLLDARRKKKTTANPEFTRYLEYVKEGGIWDVDSNTPVIYYK >Manes.03G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1368756:1370560:1 gene:Manes.03G015800.v8.1 transcript:Manes.03G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVQLLTIIFIFISSIVSNLAIGDATSTFNVVDFGAIGDGETDNSKAFLQAWKALCEAEEDEYGGMPMLQIPDGTFLLKPLQFQGPCVSNSIHIQLLGKILAPSTIKRKWWILFTEVNGLILDGSGSIDGQGSLWWNKGVRRRHKRPRALQFHRCDNLELSGLTHINSPKGHMGLNYCNGVSISNLTITAPQDSPNTDGIDISYSSQVNIFNSTIATGDDCIAINGGCSYININNVKCGPGHGISVGSLGDKGEMDLVEEVHVQNCTFIGTENGARIKTWPGGSGYARKISFEQIILQGTKNPIIIDQYYCNGHQCSLQELSERAAVKVSEIKYSGISGTSESQQGITLNCAELGCTNITMEEINITSSEPGEEIYAYCQNANGTSSFTFPQVPCLLGF >Manes.08G019800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1992792:1993241:1 gene:Manes.08G019800.v8.1 transcript:Manes.08G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIDDKKDKVVIRAVSRDEEGKKRVEKMELNTRNIDTIKYVEKKLMDKGVQRMDRHPVDGLGGIGKPPPKSGHGGKYTWEGPDDLAENELDAAPPAIDEKDPNYVDEELEEKIVKGEEGDGLVIGEVEVAKEAKEGVARVEIDPNLKL >Manes.01G052112.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20326568:20328697:1 gene:Manes.01G052112.v8.1 transcript:Manes.01G052112.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKAVPPTDLNRNTEWFTYPGVWTTYILMVFMSWLIVLSIFGCSPGMAWTIVHLSHFLITYHFFHWKKGTPFADDQGIYNGLTWWEQIENGKQLTRNRKFLTVVPVVL >Manes.01G052112.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20326291:20330046:1 gene:Manes.01G052112.v8.1 transcript:Manes.01G052112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKAVPPTDLNRNTEWFTYPGVWTTYILMVFMSWLIVLSIFGCSPGMAWTIVHLSHFLITYHFFHWKKGTPFADDQGIYNGLTWWEQIENGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFCNTLAVFILVVAKFPHMHKVRIFGINADH >Manes.04G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1395451:1399307:-1 gene:Manes.04G010600.v8.1 transcript:Manes.04G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADRVDLDGRPIKPVTICMIGAGGFIGSHLCEKLLMETPHKILALDVYNDKIKHLLEPESQSWGDRIQFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRQDPDYYLLKEDASPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNALLRHEPLKLVDGGQSQRSFVYIRDAIEAVLLMIENPERANGHIYNVGNPNNEVTVRQLAEMMTEVYSKVSGEPALEVPTVDISSEEFYGEGYDDSDKRVPDMTIINAHLGWNPKTSLWDLLESTLTYQHRTYAEAVKKAISQPSSSS >Manes.09G063600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11299068:11308047:-1 gene:Manes.09G063600.v8.1 transcript:Manes.09G063600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLVNASNLPGIFRQLLPAVGPGLLIAIGYVDPGKWAATVEGGARFGYDLVVPMLIFSFAAILCQYLSARIGVVTGRELAQICSDEYDKFTCMFLGVQTALSLIALDLTTILGIAHSLNLLFGVDLSTGVFLTAVDAILFPLFATLLERCKASFLCTCMAGFVLLFYFLVVLTSQTEIPFSNSMNGMPSNLSEESAFALMSLLGANIMPHNFYLHSSFVLQHQGYQKNTSKDALCHHHFFAILCIFSGIYLVNYVLMNSAASVFNSTGLVLLTFPDAMSLMEQVFRSPVAPLAFLIVLYLTSQITALSWNLGGQVVLHDFLRLELPNWLRHATIRVIAIVPALYCVWTSGVEGIYQLLIFTQVMIALLLPSSVIPLFRVASSMPIMGVYKISQLLEFVAVITFMGLLGLKIIFVVEMIFGDSDWVGNLMWNMGSGASVAYTALLLTACSSFCLMLWLAATPLKSATRLDAQVMNWEVPNAPEPSTWREESYLSETIHEVEPIQNQEELPDPGNSMESYSDITVPKAEPDLPETIIESDQELHLKTIDESHSDVKFCSLPIVYQEESTSTIDSVSISTSLDEVVDGDLPESVMVKDESMEPIEKTVGIEGDLQAEKEDAEGDTWQHVESSKVVPVNTSSLTSDGPPSFRSLSGKSDESGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQATQEAKNKKLDVLLSDSKFTCSSLKMDANEKEFAGCYPSIGRRGIDSAVNTNFCNSPKQLRLQSSTDSSYGVQRGSSSLWSSHMQLLDAYVQGSNRNAVDSSERRYSSVRTLPSSDGWDSQPATVHGYQIASIINKIAKDRSSNCVNGQMESPAPISPSLGPRNYRDPLAVALGQKLQNGLSSPQASRYQNFAAPVNSPLQSERAYNDVCSSGSVDNTGMSANAKKYHSLPDISGFSGPYRDMYMSEKSTQWGNTLGFGVTVGRTSYEPSLYSNSGSGVRGSLAFDDVSKRYGDAFSYSMSSDHGSIWSKQPYEQFGIAGKSRAVWSGIGNRSNSITPETVSLVDLEAQLLQSFRCCIVKLLKLEGSDWLFSQNDGADEDLIDRVAARERCLYEVETREINGSVHMGEPQYSYSDRKSGSLLKNDEVGITNMLISSVPNCGEGCVYRADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIVDLAFSKPRSPISPCFCLQLPSAYQRRSSPPVPNGMLPPTAKPGRGKCTTAAMLLDLIKDVETAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLSGNK >Manes.09G063600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11299068:11308047:-1 gene:Manes.09G063600.v8.1 transcript:Manes.09G063600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLVNASNLPGIFRQLLPAVGPGLLIAIGYVDPGKWAATVEGGARFGYDLVVPMLIFSFAAILCQYLSARIGVVTGRELAQICSDEYDKFTCMFLGVQTALSLIALDLTTILGIAHSLNLLFGVDLSTGVFLTAVDAILFPLFATLLERCKASFLCTCMAGFVLLFYFLVVLTSQTEIPFSNSMNGMPSNLSEESAFALMSLLGANIMPHNFYLHSSFVLQHQGYQKNTSKDALCHHHFFAILCIFSGIYLVNYVLMNSAASVFNSTGLVLLTFPDAMSLMEQVFRSPVAPLAFLIVLYLTSQITALSWNLGGQVVLHDFLRLELPNWLRHATIRVIAIVPALYCVWTSGVEGIYQLLIFTQVMIALLLPSSVIPLFRVASSMPIMGVYKISQLLEFVAVITFMGLLGLKIIFVVEMIFGDSDWVGNLMWNMGSGASVAYTALLLTACSSFCLMLWLAATPLKSATRLDAQVMNWEVPNAPEPSTWREESYLSETIHEVEPIQNQEELPDPGNSMESYSDITVPKAEPDLPETIIESDQELHLKTIDESHSDVKFCSLPIVYQEESTSTIDSVSISTSLDEVVDGDLPESVMVKDESMEPIEKTVGIEGDLQAEKEDAEGDTWQHVESSKVVPVNTSSLTSDGPPSFRSLSGKSDESGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQATQEAKNKKLDVLLSDSKFTCSSLKMDANEKEFAGCYPSIGRRGIDSAVNTNFCNSPKQLRLQSSTDSSYGVQRGSSSLWSSHMQLLDAYVQGSNRNAVDSSERRYSSVRTLPSSDGWDSQPATVHGYQIASIINKIAKDRSSNCVNGQMESPAPISPSLGPRNYRDPLAVALGQKLQNGLSSPQASRYQNFAAPVNSPLQSERAYNDVCSSGSVDNTGMSANAKKYHSLPDISGFSGPYRDMYMSEKSTQWGNTLGFGVTVGRTSYEPSLYSNSGSGVRGSLAFDDVSKRYGDAFSYSMSSDHGSIWSKQPYEQFGIAGKSRAVWSGIGNRSNSITPETVSLVDLEAQLLQSFRCCIVKLLKLEGSDWLFSQNDGADEDLIDRVAARERCLYEVETREINGSVHMGEPQYSYSDRKSGSLLKNDEVGITNMLISSVPNCGEGCVYRADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIVDLAFSKPRSPISPCFCLQLPSAYQRRSSPPVPNGMLPPTAKPGRGKCTTAAMLLDLIKDVETAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLSGNK >Manes.09G063600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11299068:11308047:-1 gene:Manes.09G063600.v8.1 transcript:Manes.09G063600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLVNASNLPGIFRQLLPAVGPGLLIAIGYVDPGKWAATVEGGARFGYDLVVPMLIFSFAAILCQYLSARIGVVTGRELAQICSDEYDKFTCMFLGVQTALSLIALDLTTILGIAHSLNLLFGVDLSTGVFLTAVDAILFPLFATLLERCKASFLCTCMAGFVLLFYFLVVLTSQTEIPFSNSMNGMPSNLSEESAFALMSLLGANIMPHNFYLHSSFVLQHQGYQKNTSKDALCHHHFFAILCIFSGIYLVNYVLMNSAASVFNSTGLVLLTFPDAMSLMEQVFRSPVAPLAFLIVLYLTSQITALSWNLGGQVVLHDFLRLELPNWLRHATIRVIAIVPALYCVWTSGVEGIYQLLIFTQVMIALLLPSSVIPLFRVASSMPIMGVYKISQLLEFVAVITFMGLLGLKIIFVVEMIFGDSDWVGNLMWNMGSGASVAYTALLLTACSSFCLMLWLAATPLKSATRLDAQVMNWEVPNAPEPSTWREESYLSETIHEVEPIQNQEELPDPGNSMESYSDITVPKAEPDLPETIIESDQELHLKTIDESHSDVKFCSLPIVYQEESTSTIDSVSISTSLDEVVDGDLPESVMVKDESMEPIEKTVGIEGDLQAEKEDAEGDTWQHVESSKVVPVNTSSLTSDGPPSFRSLSGKSDESGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQATQEAKNKKLDVLLSDSKFTCSSLKMDANEKEFAGCYPSIGRRGIDSAVNTNFCNSPKQLRLQSSTDSSYGVQRGSSSLWSSHMQLLDAYVQGSNRNAVDSSERRYSSVRTLPSSDGWDSQPATVHGYQIASIINKIAKDRSSNCVNGQMESPAPISPSLGPRNYRDPLAVALGQKLQNGLSSPQASRYQNFAAPVNSPLQSERAYNDVCSSGSVDNTGMSANAKKYHSLPDISGFSGPYRDMYMSEKSTQWGNTLGFGVTVGRTSYEPSLYSNSGSGVRGSLAFDDVSKRYGDAFSYSMSSDHGSIWSKQPYEQFGIAGKSRAVWSGIGNRSNSITPETVSLVDLEAQLLQSFRCCIVKLLKLEGSDWLFSQNDGADEDLIDRVAARERCLYEVETREINGSVHMGEPQYSYSDRKSGSLLKNDEVGITNMLISSVPNCGEGCVYRADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIVDLAFSKPRSPISPCFCLQLPSAYQRRSSPPVPNGMLPPTAKPGRGKCTTAAMLLDLIKDVETAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLSGNK >Manes.09G063600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11299068:11308047:-1 gene:Manes.09G063600.v8.1 transcript:Manes.09G063600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLVNASNLPGIFRQLLPAVGPGLLIAIGYVDPGKWAATVEGGARFGYDLVVPMLIFSFAAILCQYLSARIGVVTGRELAQICSDEYDKFTCMFLGVQTALSLIALDLTTILGIAHSLNLLFGVDLSTGVFLTAVDAILFPLFATLLERCKASFLCTCMAGFVLLFYFLVVLTSQTEIPFSNSMNGMPSNLSEESAFALMSLLGANIMPHNFYLHSSFVLQHQGYQKNTSKDALCHHHFFAILCIFSGIYLVNYVLMNSAASVFNSTGLVLLTFPDAMSLMEQVFRSPVAPLAFLIVLYLTSQITALSWNLGGQVVLHDFLRLELPNWLRHATIRVIAIVPALYCVWTSGVEGIYQLLIFTQVMIALLLPSSVIPLFRVASSMPIMGVYKISQLLEFVAVITFMGLLGLKIIFVVEMIFGDSDWVGNLMWNMGSGASVAYTALLLTACSSFCLMLWLAATPLKSATRLDAQVMNWEVPNAPEPSTWREESYLSETIHEVEPIQNQEELPDPGNSMESYSDITVPKAEPDLPETIIESDQELHLKTIDESHSDVKFCSLPIVYQEESTSTIDSVSISTSLDEVVDGDLPESVMVKDESMEPIEKTVGIEGDLQAEKEDAEGDTWQHVESSKVVPVNTSSLTSDGPPSFRSLSGKSDESGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQATQEAKNKKLDVLLSDSKFTCSSLKMDANEKEFAGCYPSIGRRGIDSAVNTNFCNSPKQLRLQSSTDSSYGVQRGSSSLWSSHMQLLDAYVQGSNRNAVDSSERRYSSVRTLPSSDGWDSQPATVHGYQIASIINKIAKDRSSNCVNGQMESPAPISPSLGPRNYRDPLAVALGQKLQNGLSSPQASRYQNFAAPVNSPLQSERAYNDVCSSGSVDNTGMSANAKKYHSLPDISGFSGPYRDMYMSEKSTQWGNTLGFGVTVGRTSYEPSLYSNSGSGVRGSLAFDDVSKRYGDAFSYSMSSDHGSIWSKQPYEQFGIAGKSRAVWSGIGNRSNSITPETVSLVDLEAQLLQSFRCCIVKLLKLEGSDWLFSQNDGADEDLIDRVAARERCLYEVETREINGSVHMGEPQYSYSDRKSGSLLKNDEVGITNMLISSVPNCGEGCVYRADLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIVDLAFSKPRSPISPCFCLQLPSAYQRRSSPPVPNGMLPPTAKPGRGKCTTAAMLLDLIKDVETAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLSGNK >Manes.13G112300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31746912:31753325:-1 gene:Manes.13G112300.v8.1 transcript:Manes.13G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSLRSSAEDFLSSAGKLNLRSSKSTLKTLIHAIPASSPLCSSLPSSLHHSISDSILSFQNLLENPQPPSPDLAKSPPSKRLRRSSRKNKPNSPKIEFNVTRGKQETPEKLQILVHVVFLCVSHPKKAFSPSDLLPAVQSLHDNLVMFESDPNLLLEIASLCEVYWKETLPGREMLISQSLPFFVSKSLTSKRKLDVHRVYALREAFALLDFEDESIDDLKLLLMRCVIAPLYLKTEDGRRFLAFIFGLSMQLLKDALAMIRSQIPFGRKSTSEAYGEVLFRAWKSAPGELKNEIENGFLQGVIEGAIHANSGVLGASIRRVLGGFISQRITDGVEKLLFRLAEPVIFRSLQVANSNVRLNTLHLLLDLFPLEDPDATKEVKDTMLDRQFFLLERLLLDDCPNVRVVAVEGCCRVLNLFWEIIPPSNITKILTKICDEMSHDTCNEVRLSTLNGLVYLLGNPQSHEILKVLLPRLGHLMLDNVLSTRVAIADLLLFIRDIQTFQFNKIVGLDVLLSTLANDQPQVAQKITRLLIPSYFPSKVSTEEACNRCVTLIKRSPLAGAKFCEFAVSEGASLKSLMELVRALVNLVLSHEKLDADQIEGLLAAASYLCNSLVAEPCYNDALKELFSGGKAKCMFAVASTVCAQSSVLNIFSAVCPEDIASLSTECMHLITNCSGISENAELQAEVRSAHKLLLACDAFNDMFEAQTKLLQKTAYRCHVKFGIELPKQRISPGRRKKGGSVKISAKWKHVSGKTASDFEDDYSIAAGIGWQIKDFLVTEGTRKALLESQSLDLPFLALKVISEVSILQCVFCEYMETSPLLAYTTLALHMTLQNINIRTNEHCAKKNDRTDSSSIPERTLLDDTIDHLLNCTEKLLAADDAVMSGNLPADSKQDTKKVNQAAKQKKPQPDASDSNFNGSLRDEQKIMSNKLKMLTAVLRFIVDFTAMSFLSHLHRRCLSFTSSYVKHVIFVLGRQYMERLQIKEDYLKVSILSLKSSFSYAAKLLNLILQDTTEASPPPPEAFELANDMLDLITAIEIYLGSSFAAQLTAVAKSWLPDLILALGSGTILKQTPIESTYMRALSQVKLHCPSWPSILAKTELFEMSEVNPDEDDHKISEPKEFPVFRKFIEMIILALKRNLNMLDAVGVIFLAHSVVGLERKDFALVLGLLHFVCVKLVGQEDKTWNELDMMLSSLPNIYPQIEREIEEQNNEDSRQKLLSTRALLEPVWLYHIYETGRFTVMEE >Manes.13G112300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31746912:31753325:-1 gene:Manes.13G112300.v8.1 transcript:Manes.13G112300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSLRSSAEDFLSSAGKLNLRSSKSTLKTLIHAIPASSPLCSSLPSSLHHSISDSILSFQNLLENPQPPSPDLAKSPPSKRLRRSSRKNKPNSPKIEFNVTRGKQETPEKLQILVHVVFLCVSHPKKAFSPSDLLPAVQSLHDNLVMFESDPNLLLEIASLCEVYWKETLPGREMLISQSLPFFVSKSLTSKRKLDVHRVYALREAFALLDFEDESIDDLKLLLMRCVIAPLYLKTEDGRRFLAFIFGLSMQLLKDALAMIRSQIPFGRKSTSEAYGEVLFRAWKSAPGELKNEIENGFLQGVIEGAIHANSGVLGASIRRVLGGFISQRITDGVEKLLFRLAEPVIFRSLQVANSNVRLNTLHLLLDLFPLEDPDATKEVKDTMLDRQFFLLERLLLDDCPNVRVVAVEGCCRVLNLFWEIIPPSNITKILTKICDEMSHDTCNEVRLSTLNGLVYLLGNPQSHEILKVLLPRLGHLMLDNVLSTRVAIADLLLFIRDIQTFQFNKIVGLDVLLSTLANDQPQVAQKITRLLIPSYFPSKVSTEEACNRCVTLIKRSPLAGAKFCEFAVSEGASLKSLMELVRALVNLVLSHEKLDADQIEGLLAAASYLCNSLVAEPCYNDALKELFSGGKAKCMFAVASTVCAQSSVLNIFSAVCPEDIASLSTECMHLITNCSGISENAELQAEVRSAHKLLLACDAFNDMFEAQTKLLQKTAYRCHVKFGIELPKQRISPGRRKKGGSVKISAKWKHVSGKTASDFEDDYSIAAGIGWQIKDFLVTEGTRKALLESQSLDLPFLALKVISERTLLDDTIDHLLNCTEKLLAADDAVMSGNLPADSKQDTKKVNQAAKQKKPQPDASDSNFNGSLRDEQKIMSNKLKMLTAVLRFIVDFTAMSFLSHLHRRCLSFTSSYVKHVIFVLGRQYMERLQIKEDYLKVSILSLKSSFSYAAKLLNLILQDTTEASPPPPEAFELANDMLDLITAIEIYLGSSFAAQLTAVAKSWLPDLILALGSGTILKQTPIESTYMRALSQVKLHCPSWPSILAKTELFEMSEVNPDEDDHKISEPKEFPVFRKFIEMIILALKRNLNMLDAVGVIFLAHSVVGLERKDFALVLGLLHFVCVKLVGQEDKTWNELDMMLSSLPNIYPQIEREIEEQNNEDSRQKLLSTRALLEPVWLYHIYETGRFTVMEE >Manes.09G113000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31526277:31529084:-1 gene:Manes.09G113000.v8.1 transcript:Manes.09G113000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQPLVDRQQQQGDSSSSTSHPTSLIRCLYIAHFFARWDARMWEFSVGLYMITLWPDSLMLAAIYGAIESASTAFFGPIVGQWVERSTYVKVLRIWLVTQNLSFMVAGCTVIALIVFSTLKTTNFTAFILLVILTNISGAVGVLSTLAGTILIEREWVVVISEGQPPGVLTNMNSVIRRIDLTCKLLAPVVSGFIISFISVKASAMTLALWNTIAVWMEYWLFTSVYKGIPALGESSQRKVLRFSHSDHEETASLSSQQASLLSQNEETSALEDKSWRKKLSEWISQAPFLGAWSTYLQQDVAVPGVALALLYFTVLSFGTLMTATLEWEGIPALVLGIARGASALIGIGATLVYPILQSHIHTVRTGLWSIWSQDHVPESDRCVVGGAQNSLQSTLDLLGYVMGIIISNPQDFWKLILISFSTVTLAALLYSIHVYRVRKHLFHFEKLLVLVKKMMIMISSPEKGNGYSVLSASPC >Manes.09G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31526278:31529083:-1 gene:Manes.09G113000.v8.1 transcript:Manes.09G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQPLVDRQQQQGDSSSSTSHPTSLIRCLYIAHFFARWDARMWEFSVGLYMITLWPDSLMLAAIYGAIESASTAFFGPIVGQWVERSTYVKVLRIWLVTQNLSFMVAGCTVIALIVFSTLKTTNFTAFILLVILTNISGAVGVLSTLAGTILIEREWVVVISEGQPPGVLTNMNSVIRRIDLTCKLLAPVVSGFIISFISVKASAMTLALWNTIAVWMEYWLFTSVYKGIPALGESSQRKVLRFSHSDHEETASLSSQQASLLSQNEETSALEDKSWRKKLSEWISQAPFLGAWSTYLQQDVAVPGVALALLYFTVLSFGTLMTATLEWEGIPALVLGIARGASALIGIGATLVYPILQSHIHTVRTGLWSIWSQWSCLLLCVASIWVQNNLLSAYMLMAGVATSRLGLWMFDLSVIQQMQDHVPESDRCVVGGAQNSLQSTLDLLGYVMGIIISNPQDFWKLILISFSTVTLAALLYSIHVYRVRKHLFHFEKLLVLVKKMMIMISSPEKGNGYSVLSASPC >Manes.04G153900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34937852:34939592:-1 gene:Manes.04G153900.v8.1 transcript:Manes.04G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPFHFTSQETQNHGFRFCAHYSISPFSQQQNPSNQNKHGFTLSKMEMSRYFSTFIRERTQIPDVKNKEMTSTKPLSSSIYTHITNQKPRYLSSSSDPEKSQNDPSKLPSFKHQETEKPDESSEAFARWVMKKIFLPTKIVSYGTTSHWA >Manes.05G027301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2370650:2373229:-1 gene:Manes.05G027301.v8.1 transcript:Manes.05G027301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEKGLERQGKGKKQQEMEGRKKFKHWIVLVGKRGGPSTPSPTWRLEFSSLDGNNSSNPVHEFLNTTTTVSARKLCANFWEILPQLQSVLPKINKNIGSRRALRGEHKIKKAFELRTHVVDPPTNSLDQPASASPSRRHVAQSFLQHHRSVDRNCCDPRPLSSASCASSMEVAPYKPLRSSPSFLDFKCRRERCRIKAVIQSALEELEDERKLRKHSESLHRKLAPEFSEVKSAFSNALEELKREESTDFIGNLYVMMVLHISEAWLDERMQMKLEEAENDLTEKNTVVDKLSLDIEAFLQARHSSELKKDGRFDVLNLDSMQRIAGSRENGKGRMMHAQLNSNHVLENLFRNHASSSEGDKIHPEGVLKEDSCVQTAFAGHASPVQHWMSKLECPEFDKSETSLQLPKGIKENTVKAKLLEARLESHKSGSKVSKHS >Manes.04G068350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:26611059:26612286:1 gene:Manes.04G068350.v8.1 transcript:Manes.04G068350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSTVRECWSELISSFDASQKLSDLEVLMLFLLGNLWKSRNNFIFRDQFLPPHDIFALTTHDWEEFLFNMVSSLTPQMETHQNSPSWCYSPCDLIKVNFDIAFNVKKNFGVIVALDQDDQGQPHG >Manes.01G172000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35354006:35358370:-1 gene:Manes.01G172000.v8.1 transcript:Manes.01G172000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDSGFSGISGFKFEDDILFPDSDQYSNPINGFKFKHTLDFNSVDSSLVLPDLDPSKASLSSIMTTDADSPSDDNDFSETVLKYISQMLMEEDMEEKPCMFHDPLALQAAEKSLYDVLGEKNLPSPNQSYFYGDQFLVDSPDGVFSGSYDYSSNSSSSSNIGGSVEQWSGELGEFKPSFMQTPLPTNFIFQSVANSSSQEPIKSENGLARNDFGEMGSSIGKIMVQNLFSDGELALQFQKGVEEANKFLPKGNQLLIDLETVSMPALKEKDMKVAVKVEKEEREYLPNLTKGKKNHDREDEDLQEERSNKQSAVYADESELAEMFDKVLVCTGEECGPPTCILNDSLQSGSSKTLPQNGQTNGSTPGGGGKNRAKRQGNKKEVVDLRTLLVLCAQAVSADDRRTAYELLKQIRQHSSPFGDGSQRLAHCFANGLEARLAGTGTQIYTALSSEKASAADMLKAYQAYLSACPFKKIAIIFANHSILAASKKATTLHIIDFGVLYGFQWPALIYRLSKRAGGPPKLRITGIELPQSGFRPAERVQETGRRLAKYCERHNVPFEYNAIAKKWETIQIEDLKINGGEFVAVNCLFRFRNLLDETVVVNSPRNSVLNLISKTKPDIFIHAIVNGSYSAPFFVTRFREALFHFSALFDMFDTNMSREDQMRLKFEKEFYGREALNVIACEGSERVERPETYKQWQIRNMRAGLKQLPLDPQLLNKLKCRVKTRYHEDFVVDHDGQWMLQGWKGRIIYASSAWVPA >Manes.06G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8486611:8492769:-1 gene:Manes.06G032300.v8.1 transcript:Manes.06G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTYKLKNTNERMDREKEEKVISTSTLKKREKFERRLVKYNDLPEYLQDNECILDYYRCEWPVKDAFLSVFSLHNESLNVWTHLGGFLIFLGLTVMSCVETTELGGFINGFSRRKGSESLMGMKMKSQEFNVSDTHMLNDVHLGHISQESTFHMHKQGGFEIIPTWPWFVFLSGAMGCLVCSSLSHLLACHSKHFNLFFWRLDYAGISLMIVSSFVAPIYYVFYCNPLARFVYISTISVVGILAIITLLTPVLSTPRYRAFRATLFFIIGISGVIPAVHAVSIHWGSSHIFASIGLELLMAVLYVAGVAFYVTRVPERWKPGAFDIAGQSHQIFHVFVVLAALAHSAAILVVLDFRWKSPTCSS >Manes.02G033550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2789992:2790878:1 gene:Manes.02G033550.v8.1 transcript:Manes.02G033550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQVAFVLSLNFWVVYGWSLGLASRWQNVFVLTGKNKRYQLGSKVEIDNVAEEFYCCQHFDRNYSYSNNVTPIL >Manes.06G094750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22968493:22969026:1 gene:Manes.06G094750.v8.1 transcript:Manes.06G094750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPKEKVAFVEKESLASDDDIIGDSGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTLIREKNRRFQVAWFKDYEWLEYSVSKDKAYCLYCYLFANNNRSGGNVFTEIGFNNWKDGRRAFVNHEGSPGSSHSGCRMKVEQYRNQRGNVNQLLARQTAAMEDDYRTRLSTVVCVA >Manes.09G152500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34924295:34927421:-1 gene:Manes.09G152500.v8.1 transcript:Manes.09G152500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEAETACEMSTDYHKYSTINFEETELRLGLPGGNAIEPELAKPHGKRGFSETQVDLKLNLSTKESPENDIGDKKMKEKVTVLPSSADPPKPPAKAQVVGWPPIRSKFRMSVQKNSSEEREKAAASAATGGGVAFVKVSMDGAPYLRKVDLKLYKSYQELSDGLGKMFSSFTIGNYGSQGMKDFMNESRLIDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPKAVEKCKNRS >Manes.09G152500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34924376:34933354:-1 gene:Manes.09G152500.v8.1 transcript:Manes.09G152500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNQGFGNLKTMKPEAETACEMSTDYHKYSTINFEETELRLGLPGGNAIEPELAKPHGKRGFSETQVDLKLNLSTKESPENDIGDKKMKEKVTVLPSSADPPKPPAKAQVVGWPPIRSKFRMSVQKNSSEEREKAAASAATGGGVAFVKVSMDGAPYLRKVDLKLYKSYQELSDGLGKMFSSFTIGNYGSQGMKDFMNESRLIDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPKAVEKCKNRS >Manes.02G205001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17809140:17810925:-1 gene:Manes.02G205001.v8.1 transcript:Manes.02G205001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDIGAKYKDLGFDEVFRIGYYKPKGNWHANHLCMMTSIVKQMWEEVTKFGFLKLFIELKSSDTEIEGRGPKNEYVVIGIDERDYSINDVGEENVIDVLISESPDQYECPETEIEVQMNEDNYEDSDGVHIPNEQHIEEQIDNESFAPNYETDDEESSEVMQKKFDFDNGLNVDNVNGLGVDGLYIDAEK >Manes.01G009451.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3047488:3057996:1 gene:Manes.01G009451.v8.1 transcript:Manes.01G009451.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERAYVEGTNICMGDEVNPEDSFCGEMEIEENEIGSTKNEIQQLTSEKGEGSNVVFSREGPLVSKESRRCGVYRCGAKKLKSHAVVTESEIGKKENIGHDRKLTKQDRIELGRLFQGAVSSHDWELAENLIQLADPQTLNDALCITLDSIWFLSTQQELNGITGLIKKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVSHNSAIEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVNRGCRDMELCLALTAATSSSQVDVAAYLLPHVPQHVLAALSIEILKAAGERSGGSLDGVAFLLRSDFLGDPAASYAVADSIARSDDEVVAPELRAFLQEHWSEAAFLDGLRQGQEHYMNLVRILKWGGSPMCIRDLPGPLRVAIAYLPLYRECIAAGGSLFSQRLRGQLVEAVRRLGGGSLEGVSQGGELLAILEHHLPPFLVRAPTSTG >Manes.01G009451.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3047520:3057533:1 gene:Manes.01G009451.v8.1 transcript:Manes.01G009451.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERAYVEGTNICMGDEVNPEDSFCGEMEIEENEIGSTKNEIQQLTSEKGEGSNVVFSREGPLVSKESRRCGVYRCGAKKLKSHAVVTESEIGKKENIGHDRKLTKQDRIELGRLFQGAVSSHDWELAENLIQLADPQTLNDALCITLDSIWFLSTQQELNGITGLIKKIIANGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECNGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVSHNSAIEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSSSFDPGWASGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVNRGCRDMELCLALTAATSSSQVDVAAYLLPHVPQHVLAALSIEILKAAGERSGGSLDGVAFLLRSDFLGDPAASYAVADSIARSDDEVVAPELRAFLQEHWSEAAFLDGLRQGQEHYMNLVRILKWGGSPMCIRDLPGPLRVAIAYLPLYRECIAAGGSLFSQRLRGQLVEAVRRLGGGSLEGVSQGGELLAILEHHLPPFLVRAPTSTG >Manes.15G003400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:139206:149561:-1 gene:Manes.15G003400.v8.1 transcript:Manes.15G003400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETEVATPSELALADTDVNWTRLDKTRFHIIGAVLFTAQQALLHPTAVVKTRMQVADSGLSHSGGIFVFRHILRNDGIPGLFRGFGTSAIGSLPGRVLTLTSLEMSKDVMLKYTEGLDMSEATRVGIANGVAGMLSNLVSCVYFVPLDVICQRLMVQGLPGTTFCNGPFAVMRRVMKTEGFRGLYRGFGLTAVTQSPASALWWGVYGAAQHIIWRSLGYRDDKDEKPSHWEMVAVQATAGLAAGACSSIITTPVDTVKTRLQVMDNYGVGRPSILKTAKALFKEDGWWGFYRGFGPRFFNMSLYGTTMIVTYELTKRLSIKQV >Manes.15G003400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:139206:149597:-1 gene:Manes.15G003400.v8.1 transcript:Manes.15G003400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETEVATPSELALADTDVNWTRLDKTRFHIIGAVLFTAQQALLHPTAVVKTRMQVADSGLSHSGGIFVFRHILRNDGIPGLFRGFGTSAIGSLPGRVLTLTSLEMSKDVMLKYTEGLDMSEATRVGIANGVAGMLSNLVSCVYFVPLDVICQRLMVQGLPGTTFCNGPFAVMRRVMKTEGFRGLYRGFGLTAVTQSPASALWWGVYGAAQHIIWRSLGYRDDKDEKPSHWEMVAVQATAGLAAGACSSIITTPVDTVKTRLQVMDNYGVGRPSILKTAKALFKEDGWWGFYRGFGPRFFNMSLYGTTMIVTYELTKRLSIKQV >Manes.06G170801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29540203:29543059:-1 gene:Manes.06G170801.v8.1 transcript:Manes.06G170801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKDRSKCNPSEESSIAVSFQLDPLVSSNGNNNPSENNPQEQQRKPNTNNENNKSSKALAKGSSLMLASIIKDFDSKAQDTLKSQDHLNCIIDRHTRELDQLLEDALLPFVMQHAAKISGVRMRVSSLNSLLKSIQRRIDNIDLILSVSSPQEKGRAELMEAHTCYKQNIKQWSQLFVFFFSFFN >Manes.03G180200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30257953:30260655:1 gene:Manes.03G180200.v8.1 transcript:Manes.03G180200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCGSPFDCLIFDLDDTLYSSKLGIAEALRKNIDDFLVEKCGFAENKASTLRVELFKTYGSSLAGLRALGYDIDADDYHSFVHGRLPYDLIKPDGQLRNLLCSIPQRKIIFTNSDRVHAIKVLKRLGLEDCFDQIICFETMNPNLSKSMRPDEFPVLLKPSMDAMKIALQAANVDPRRTLFLDDNARNVAAGKAMGLQTVLVGKPMKSKEADYVLENVNKLAQAIPEIWVIGEDNASTAPSINRIRSELDSILATTPVGA >Manes.04G148500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34533456:34534070:1 gene:Manes.04G148500.v8.1 transcript:Manes.04G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPISWFHLSLFFSLLAISYNPVLARQPTQQLTSIQSKTDGLCFRFTVHVINGLSSNANPLLLQCRSLDDDLGNHTLNVGGDFHFSFKLKVFGGKTIFTCDLEWGAKHQHAIVFRDSIEASECCVGDDNCYWRAQDDGIYFTVDGQDKWDKIYDWLQ >Manes.15G119201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9496889:9499836:-1 gene:Manes.15G119201.v8.1 transcript:Manes.15G119201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLSFLLFTLILSFSAKARNALRTPTSYSALGHDDATPKGSNFTFVCDPSRYASLGLDMSKFAFCDSSLSYDVRARDLVNHMTLHEKVRQLGDLAYGVPRLGLPKYEWWSEALHGVSNVGPGTFFDDLVPGATSFPSVILTTASFNESLWKNIGQVVSTEARAMYNLGRAGLTYWSPTINVVRDPRWGRAIETPGEDAFVVGTYASNYVRGLQDVEGTENYTDLNSRPLKVSSCCKHFAAYDVDNWKGVERYSFDARVTEQDMVETFLRPFEMCVKDGDVSSIMCSYNRVNGVPTCADPKLLNQTIRGDWNLHGYIVSDCDSIQVLVDNHKYLGDTREDAVAQTLRAGLDLDCGIYYTNFTQKSVEQGKAREEHIDRSLTYLYVVLMRLGFFDGIPQFQSLGKKDICSKQHIELAAQAAREGIVLLKNDNDTLPLRSDKIKTIAVVGPHANATSAMLGNYAGVPCRIVSPIDGFSRHADVDYKIGCDVACKNESLIFPAMKAAKRAGAAIIVAGIDLSVEAESLDRDDLLLPGYQTQFINQVANAAKGPVILVIMSAGGIDISFAKSNSNIKAILWAGYPGQEGGRAIADVVFGKYNPGGKLPLTWHEADYVDQLPMTYLQLRPDDINGYPGRTYRFFNGSIVYPFGYGLSYTKFKYNLTSSSSSVHIKLNRFQHCRHLIYDKDSFRPPCPSVLTDHLSCDDDIKFEVEVANVGSRDGSEVVMVYSKPPEGIVGAHIKQVIGFKRLFVKAGSREKVKFNLNLCKSLRLIDYSAYSVLPSGKHTIVLGDDVVSFPLQISFN >Manes.08G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34843328:34845671:-1 gene:Manes.08G109400.v8.1 transcript:Manes.08G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLTTAPKTTTFLVEQASISSCAYVTFLAGNEDYVKGVVGLAKGLRKVKSKYPLVVAMLPDVPEEHRQILVSQGCLVKEIAPVYPPENQTKFAMAHYVINYSKLRLWKLVEYSKMIYLDSDIQLFDNIDHLFDLQGGYLYAVMDCFCELWRFSPLHKIGYCQHCPDRVQWPAEMGPKPPLYFNAGMFVFEPSLSTYNDLLKTVEVTTPTRFAEQDFLNMFFKDFYRPLPPIYNLILSLLWRHPENIELDKAKVVHYCAAGSKPWRYTGEGENMDREDIKMMVKKWWDIYNDESLDYNNTVATAGGTEGDPESFLAALSEAGVAHYLTAPSAA >Manes.17G085975.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29305450:29307056:-1 gene:Manes.17G085975.v8.1 transcript:Manes.17G085975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCKLYIKMKSDWLSRLLQSKFFTSCDDHQGLRKNEKNVFCIDCNREFCRHCVKSHCLHRQLQICKYVYHNVVRLQDIQKYLDCSRIQTYKINGEKAVHLNPRPQSRDAKPSTKARFGGSCESCGRYIQDLPNRFCCIACKFSAVLVKPKALTFTIQEFSDLSWKQNYNEETQSNDEKSSSSSSSSPSSSSSFSLTEISEETQGWLSSTLKPRRQLHKRKGIPRRAPFC >Manes.17G085975.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29305450:29306636:-1 gene:Manes.17G085975.v8.1 transcript:Manes.17G085975.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDWLSRLLQSKFFTSCDDHQGLRKNEKNVFCIDCNREFCRHCVKSHCLHRQLQICKYVYHNVVRLQDIQKYLDCSRIQTYKINGEKAVHLNPRPQSRDAKPSTKARFGGSCESCGRYIQDLPNRFCCIACKFSAVLVKPKALTFTIQEFSDLSWKQNYNEETQSNDEKSSSSSSSSPSSSSSFSLTEISEETQGWLSSTLKPRRQLHKRKGIPRRAPFC >Manes.17G085975.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29305450:29307056:-1 gene:Manes.17G085975.v8.1 transcript:Manes.17G085975.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDWLSRLLQSKFFTSCDDHQGLRKNEKNVFCIDCNREFCRHCVKSHCLHRQLQICKYVYHNVVRLQDIQKYLDCSRIQTYKINGEKAVHLNPRPQSRDAKPSTKARFGGSCESCGRYIQDLPNRFCCIACKFSAVLVKPKALTFTIQEFSDLSWKQNYNEETQSNDEKSSSSSSSSPSSSSSFSLTEISEETQGWLSSTLKPRRQLHKRKGIPRRAPFC >Manes.17G085975.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29305450:29307057:-1 gene:Manes.17G085975.v8.1 transcript:Manes.17G085975.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDWLSRLLQSKFFTSCDDHQGLRKNEKNVFCIDCNREFCRHCVKSHCLHRQLQICKYVYHNVVRLQDIQKYLDCSRIQTYKINGEKAVHLNPRPQSRDAKPSTKARFGGSCESCGRYIQDLPNRFCCIACKFSAVLVKPKALTFTIQEFSDLSWKQNYNEETQSNDEKSSSSSSSSPSSSSSFSLTEISEETQGWLSSTLKPRRQLHKRKGIPRRAPFC >Manes.08G069675.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12525386:12533715:1 gene:Manes.08G069675.v8.1 transcript:Manes.08G069675.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTADTSSNTISDDPKTPLLPVHDRTIQRQSSSHSSLTSLLTPGNFYILLGPLLCAIICLLVKLDAPVTSRNMLAVLAWIFSWWMTEAVPMPITSMAPLFLFPLFGIASSDEVMKSYMDDVISLVLGSFILALAVEHYNIHRRLALNITHLFCGDPVNPPLLLLGICGTTAFVSMWMHNVAAAVMMMPVATGILQRLPVGPGQAQNSVVGKFCKAVVLGVIYSAAVGGMSTLTGTGVNLILVGMWKSYFPQADPISFSTWSFFALPLALVIFFALWAILCLLYCSRGSGQVLSAYLDKAHLKRELEMLGPMAFAEKMVLAIFGVLIVLWMTRSLTDDIPGWGALFGGLAGDGTVSVLMATLLFIIPSKKQKGEKLMDWNKCKKLPWGIILLLGAGFAIADGVKSSGLADVLSKALDFLEKAPYLAIAPIVCLISATITEFTSNNSTTTLLVPLLIQIAKTMNVHPLLLMVPGAIGAQFSFLLPTGTPSNIVGFTTGHIEIKDMIKTGLPLKICGIAALSFLMPTLGAYVFRTNGEVPFVQSFM >Manes.14G092100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7603736:7606689:-1 gene:Manes.14G092100.v8.1 transcript:Manes.14G092100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKNYYSSLLKFCCEDRSQIQAKRLHCHIIKNLRNPEPFLYNNLINAYGKLGNIKYARRLFEEMPLPNHFSWNTILSVYSKYGHLSKMQEIFNRMPSRDGVTWNSLISGYACYGSVFDALKAYNSMLRDGAVHLNRITFSTMLVLASSQGCIDLGRQIHGQIEKFGFGSYVFVGSPLVDMYAKTGLIYEAKQVFDGMPERNVVMHNTMITGLLRCGMVEDSKRLFHGMKETDSISWTTMITGLVQNGMEREAIDLFRQMKLEGLSLDQYTFGSVLTACGGLTALEEGKQVHAFIIRSDYMANIFVGSALVDMYCKCKIIQYAEAIFKKMTRKNVVTWTAMLVGYGQNGFSEEAVRIFVDMQRNGIEPDYFTLASVISSCANLSSLEEGSQFHCRAIVSGLISFVTVSNALITFYGKCGSPVDSRCLFNEMNFRDEVSWTALVSGYAQFGKVNETIALFEEMLVHGLKPDAVTFIGVLSACSRAGLVERGQQYFESMSKEHGIIPVLDHYTCMIDLFSRAGKLEAARSFISKMPFHPDAIGWSTLLSSCRLYGNLEIGKWAAQSLLELEPQNPAGYILLSSIYAAKGKWNDVAQLRKGMRERGARKEPGCSWIKYKNKIHIFSADDCSSPFSDKIYAELDKLNEKMIEEGYVPDASSVLHDVEESAKIQMLNYHSEKLAIAFGLIFIPRGLPIRIVKNLRVCGDCHNATKYISKITQREILVRDSARFHLFKDGTCSCGDFW >Manes.12G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30965456:30970459:1 gene:Manes.12G112100.v8.1 transcript:Manes.12G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSVYCSSIPCPRQFKLGSSYSSWIIKSHVASSAQKPFSSIYVKALKDETDGGTSSFPGRRWDPGLEIEVPFEQRPVNEYESLKDGALYSWGELGPQSLFLRLGGLWLVTFTVLGVPIAAASFNPEREPLRFILAAGTGTLLLVSLIVLRIYLGWSYVGDRLLSAVVPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTAVLLFTFATPVENFFQNNFTTKESPSSDPTSSVNTKYNVRKEELLSLPVEVMADDDLAAAAAKAADGRPVYCRDRYYRALAGGQYCKWEDLLK >Manes.12G112100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30965456:30970459:1 gene:Manes.12G112100.v8.1 transcript:Manes.12G112100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSVYCSSIPCPRQFKLGSSYSSWIIKSHVASSAQKPFSSIYVKALKDETDGGTSSFPGRRWDPGLEIEVPFEQRPEPLRFILAAGTGTLLLVSLIVLRIYLGWSYVGDRLLSAVVPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTAVLLFTFATPVENFFQNNFTTKESPSSDPTSSVNTKYNVRKEELLSLPVEVMADDDLAAAAAKAADGRPVYCRDRYYRALAGGQYCKWEDLLK >Manes.12G112100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30965527:30970459:1 gene:Manes.12G112100.v8.1 transcript:Manes.12G112100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSVYCSSIPCPRQFKLGSSYSSWIIKSHVASSAQKPFSSIYVKALKDETDGGTSSFPGRRWDPGLEIEVPFEQRPVNEYESLKDGALYSWGELGPQSLFLRLGGLWLVTFTVLGVPIAAASFNPEREPLRFILAAGTGTLLLVSLIVLRIYLGWSYVGDRLLSAVVPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTAVLLFTFATPVENFFQNNFTTKESPSSDPTSSVNTKYNVRKEELLSLPVEVMADDDLAAAAAKAADGRPVYCRDRYYRALAGGQYCKWEDLLK >Manes.12G112100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30965456:30970459:1 gene:Manes.12G112100.v8.1 transcript:Manes.12G112100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSVYCSSIPCPRQFKLGSSYSSWIIKSHVASSAQKPFSSIYVKALKDETDGGTSSFPGRRWDPGLEIEVPFEQRPVNEYESLKDGALYSWGELGPQSLFLRLGGLWLVTFTVLGVPIAAASFNPEREPLRFILAAGTGTLLLVSLIVLRIYLVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTAVLLFTFATPVENFFQNNFTTKESPSSDPTSSVNTKYNVRKEELLSLPVEVMADDDLAAAAAKAADGRPVYCRDRYYRALAGGQYCKWEDLLK >Manes.03G032000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2660601:2671611:-1 gene:Manes.03G032000.v8.1 transcript:Manes.03G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDKSAVPTASSTRLKSEDYKRTKHDSHFSKWQVLIGPSDWDDYSQRKEGAARYRVHNLPTSSGPGLYELGIAVSRSGLGRLVGKLDPDDIVVVYLGQADNVRSRLQSYGRSGAHLGNSYATGHWDSSNGSPQKGPRLFEEIFAGGHSIVFRWASMKDKRNAEKTEALLLDTFDYAWNKGCNCARRPDDILQKICEIASSTRRFSSISKRLLFLRPNQVGIKIEANKPLSPEKCTIPADEDGKSFFKGIFKFSRWQPRLVSDKCGIDEDLIHRCGFIMNDGIPCRRPPVPGRKRCESHKGMKIYRYSSKPISEGNSSDLPGVHLDSCTNSCGVNLGDGNFCMKQAMPGRQRCEEHKGMRVNSSKPLAEEKFHPANNGSVDWTDNNASSGSNMDQGLQFHSSNESSIDEPCSSVCGATLGNGSVCRRKPSQGNERCWQHKGKSGGSNLSNSSIPSLDTITCRVALQDGSVCMRVPVHGRKRCELHKGMRVFTSFN >Manes.02G001500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:186647:190522:-1 gene:Manes.02G001500.v8.1 transcript:Manes.02G001500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHTSFNPRETAGKAITCNAAVLRAPKQPFVLEKIQVHPPQKMELRIKILYTSVCHTDLSFWKGENEAQRAFPRILGHEAVGVVESVGDGVTDVKEGDYVIPIFNGECGDCIYCRKGTTNLCGKFRINPFKSVMTNDGKCRFSSEDGKPIYHFLNTSTFTEYSVLDSACVVKIDPKSPLKKMSLLSCGVSTGVGAAWKVANVQAGSSVAIFGLGAVGLAVAEGAGARGASKIIGVDVNPEKFDKGRIFGITNFVNPKDSSKLVHETIREMSGGGVDYSFECTGSLEVLREAFMSTHDGWGKTVLIGIYPTPKSLPIHPMELFDGRTITGTIFGDIKGRTQLPDLARDCMQGVLNLDGFITHELPFHKINEAFGLLTDGKALRCLLLL >Manes.17G053300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24964989:24965231:1 gene:Manes.17G053300.v8.1 transcript:Manes.17G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRFTVCLLLVLVAVSYSESRLLHPSLVRRNLIRSIRELGENGVYNVRQGNGSMKKIHVSSKRASPGGPDPQHHSKNQ >Manes.17G086200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29380861:29382270:-1 gene:Manes.17G086200.v8.1 transcript:Manes.17G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKQAFCALKEHSSVSYAKMATFGGFCDLDLIIVKATAPDDFPLPEKYINEILKIFSISSSSFHSFSLSFTRRFGRTHCWKVALKCLILLHRLLRSLPEYSPFRAELLYARSNGLLSLYPCNFRDDSSSKPEDYTMFIRSYAQLLHEALDCFSFDRKVTKEEGENEQELRRPKSIQEKMKEANRTLEVLLQLQSLMDRVMDCRPTGVAAKSFIVQSAMIHIIRDSFICYTSFRKEIVLVMDNLIEMPYRSCISSFGIYKKAAMQAEQLCDFYDWCKAKGLCGSYEYPFIEKIPLIQIRALESFLNGMWQITESSSSATSPSSWVESNKSSSIEDEEADTNKQQMVRRDIVVSNQWVKFEENYNGLVETEREESEEEMAPLIQLEDEENDNWEVLLDASLNLSRVNNGYLINSYTGFSNGYGGRDSSNGEKNNPNNNASNPFCQPYYKNMPNCYRWYHASDPTYPWGL >Manes.04G006600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:902061:905734:-1 gene:Manes.04G006600.v8.1 transcript:Manes.04G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCPDDLADDLQSLSFASTATTTITTTTLHRSTSSGSSSATLTPAPSTHTSFSSKSVKHQPALSLSDLRFSVRLGSGDIGSVYLAELKKPDSTVTTTDCLLFAAKVMDKKELVSRSKEGRARTEREILEMLDHPFLPALYASIDSQRWLCLLTEFCPGGDLHVLRQRQPLKRFEETAVRFYASEVVVALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSTSTPLIITNQNPHISLPKSDYPIDQPPFTSSSCIIPNCIVPAVSCFHPRRKRKKKTGNRGGPEFVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSPVDWWTLGIFMFELFYGVTPFRGVDHELTLANIVARALEFPKEPAIPAAAKDLISQLLVKDPARRLGSTMGASAIKHHPFFQGVNWALLRCTRPPYIPPPFTKEIVSDESCPETPVEYY >Manes.18G021101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2073527:2076824:-1 gene:Manes.18G021101.v8.1 transcript:Manes.18G021101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILNGCASPPAAGCAFTNTRTCKPMHSSLLSACVPRSTPKAAFLNIRSSLSSVQDLTSKSRSCRGLPLLHLSSSSTPLLSGDQGRIWHTIPSLPRQRKSYECPRASKDVPSSFRYPPMTKKPRWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGSLPSWSLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIGLQVIGTGTRFLPHGFYWGKLGMHFWTAFAFAFLFTVLECIRCALAGMYADVPFASDAAYIQIPYD >Manes.18G021101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2073286:2076831:-1 gene:Manes.18G021101.v8.1 transcript:Manes.18G021101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILNGCASPPAAGCAFTNTRTCKPMHSSLLSACVPRSTPKAAFLNIRSSLSSVQDLTSKSRSCRGLPLLHLSSSSTPLLSGDQGRIWHTIPSLPRQRKSYECPRASKDVPSSFRYPPMTKKPRWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGSLPSWSLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIGLQVIGTGTRFLPHGFYWGKLGMHFWTAFAFAFLFTVLECIRCALAGMYADVPFASDAAYIQIPYD >Manes.08G008702.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1125953:1126541:1 gene:Manes.08G008702.v8.1 transcript:Manes.08G008702.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWYLCNLTMNAGDGAMAYNGEEIKKENTHKSLVEEPETRKSMEIHILNLTRIIPTPIWINFLPLSTRQEETWIDILSLCLKRK >Manes.08G008702.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1125348:1127527:1 gene:Manes.08G008702.v8.1 transcript:Manes.08G008702.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWYLCNLTMNAGDGAMAYNGEEIKKENTHKSLVEEPETRKSMEIHILNLTRIIPTPIWINFLPLSTRQEETWIDILSLCLKRK >Manes.08G008702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1125348:1127527:1 gene:Manes.08G008702.v8.1 transcript:Manes.08G008702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWYLCNLTMNAGDGAMAYNGEEIKKENTHKSLVEEPETRKSMEIHILNLTRIIPTPIWINFLPLSTRQEETWIDILSLCLKRK >Manes.15G067100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5089099:5091956:1 gene:Manes.15G067100.v8.1 transcript:Manes.15G067100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDACESAAAIVFCAADEAALCHACDEKVHKCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCEVDGSSLCLQCDVTVHVGGKRTHGRYLLFRQQVEFPKDAAEPSTSHAIIMNQDENKKGQNQQNHVTPSEAKSDGHARMENKMIDLNMKPTAVRVHEQAPNDQVD >Manes.13G077680.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18087474:18091411:-1 gene:Manes.13G077680.v8.1 transcript:Manes.13G077680.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLGDELTVETYGIPWLIIWIQILIFFLLIILLCCFSVFTSDPSHYDTKAISSSNTSSSSSPAASYLNKSLLDHGSTTTRANRLQHNQIGESQSIKGEIATGTSTRMVTEENTEREGILTNSIVNLHPCNYFRLAKLALLKCFGLDPSSDSSPSCDWKKDT >Manes.15G015400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1263707:1269790:-1 gene:Manes.15G015400.v8.1 transcript:Manes.15G015400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFTRRRMKLGRVKKVQLSDSTLVTRSPVRPQKRTNNPTSEGIISSTSHSDELDCQCSSAPPETNNASSGNSDNWMVLSISGDKPTPRFNHAATVIGNKMIVVGGESGSGLLDDVQVLNFDQFTWTAISSKLYLSPSSLPLKIPACKGHSLVSWGKKALLIGGKMDPASDRISVWAFDMETECWSIMEAKGDVPVARSGHTVVRASSVLILFGGEDAKRRKLNDLHMFDLKTLTWLPLHCTGTGPSPRSNHVAALCDDKMLLIFGGASKSRTLNDLYSLDFETMVWSRIKKRGFHPSPRAGCCGVLCGSKWYIAGGGSRKKRLSETLVFDILKVEWSVAFASPPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPTNQVEVMGMVKNDSSINRQSAASKDTGPMLFGKQSSSMGQATQLSTGSSQRSVESLARQNLASVVEQHGSGRKSLSETHANPNSVSGNASLRKQFHEEHSTAVKVAKNSEDGTLSSLVMEHRMNQSDLSIQTNLSIGKIIAEENSSVFESENFNSQNQGIRNHLSDNEDGLVPETDGMTGGAHSSMYQLYETKIAALIRKNEVLEGQLAAALSSRETAEKNLSSVTKSKQEMEKRLADTLREVDLLKEKLASVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLTGERARAFQLQVEVFHLKQRLQSMENRVPTPRKPFNV >Manes.15G015400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1263513:1269916:-1 gene:Manes.15G015400.v8.1 transcript:Manes.15G015400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFTRRRMKLGRVKKVQLSDSTLVTRSPVRPQKRTNNPTSEGIISSTSHSDELDCQCSSAPPETNNASSGNSDNWMVLSISGDKPTPRFNHAATVIGNKMIVVGGESGSGLLDDVQVLNFDQFTWTAISSKLYLSPSSLPLKIPACKGHSLVSWGKKALLIGGKMDPASDRISVWAFDMETECWSIMEAKGDVPVARSGHTVVRASSVLILFGGEDAKRRKLNDLHMFDLKTLTWLPLHCTGTGPSPRSNHVAALCDDKMLLIFGGASKSRTLNDLYSLDFETMVWSRIKKRGFHPSPRAGCCGVLCGSKWYIAGGGSRKKRLSETLVFDILKVEWSVAFASPPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPTNQVEVMGMVKNDSSINRQSAASKDTGPMLFGKQSSSMGQATQLSTGSSQRSVESLARQNLASVVEQHGSGRKSLSETHANPNSVSGNASLRKQFHEEHSTAVKVAKNSEDGTLSSLVMEHRMNQSDLSIQTNLSIGKIIAEENSSVFESENFNSQNQGIRNHLSDNEDGLVPETDGMTGGAHSSMYQLYETKIAALIRKNEVLEGQLAAALSSRETAEKNLSSVTKSKQEMEKRLADTLREVDLLKEKLASVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLTGERARAFQLQVEVFHLKQRLQSMENRVPTPRKPFNV >Manes.15G015400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1263513:1269916:-1 gene:Manes.15G015400.v8.1 transcript:Manes.15G015400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFTRRRMKLGRVKKVQLSDSTLVTRSPVRPQKRTNNPTSEGIISSTSHSDELDCQCSSAPPETNNASSGNSDNWMVLSISGDKPTPRFNHAATVIGNKMIVVGGESGSGLLDDVQVLNFDQFTWTAISSKLYLSPSSLPLKIPACKGHSLVSWGKKALLIGGKMDPASDRISVWAFDMETECWSIMEAKGDVPVARSGHTVVRASSVLILFGGEDAKRRKLNDLHMFDLKTLTWLPLHCTGTGPSPRSNHVAALCDDKMLLIFGGASKSRTLNDLYSLDFETMVWSRIKKRGFHPSPRAGCCGVLCGSKWYIAGGGSRKKRLSETLVFDILKVEWSVAFASPPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPTNQVEVMGMVKNDSSINRQSAASKDTGPMLFGKQSSSMGQATQLSTGSSQRSVESLARQNLASVVEQHGSGRKSLSETHANPNSVSGNASLRKQFHEEHSTAVKVAKNSEDGTLSSLVMEHRMNQSDLSIQTNLSIGKIIAEENSSVFESENFNSQNQGIRNHLSDNEDGLVPETDGMTGGAHSSMYQLYETKIAALIRKNEVLEGQLAAALSSRETAEKNLSSVTKSKQEMEKRLADTLREVDLLKEKLASVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLTGERARAFQLQVEVFHLKQRLQSMENRVPTPRKPFNV >Manes.05G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3371703:3377792:1 gene:Manes.05G041800.v8.1 transcript:Manes.05G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLSSPLLPPQSSHRPHVVLNVLDNDFSQQSASTLSHNHHHHNSNNNNHASHLNHNSRNPFEFLGSDGLTVPAPSTIDPFRNNTPNIEGAYESLKILVCLPIAVVRLVLFGVCLLVGFIATKLALQGWNDKHNPMPRWRSRLMWITRICARFILFTFGYQWIKRKGKPAPREIAPIVVSNHVSYIDPIFYFYELFPTIVAAESHDSIPFVGTIIRAMQVIYVNRFSQSSRKQAVNEIKRKASCDRFPRVLLFPEGTTTSGKVIISFQLGAFIPGYAIQPVIIRYPHVHFDQSWGHISLAKLMFRMFTQFHNFMEIEYLPIVQPLDNCKENPAQFAKRTSYAIATALNVVQTFHSYGDLMLLMKASQSKQEKPSNYMVEMACVESSFHVSSSDAVAFLDKFLLMNPDPSGRVKIQDFLRVMRLRSCTLSEEIFGFIDVEKNGSITFKQFLYGSAHVMRQPLFRRTCELAFTNCSAGGGGQISKEQLGDVIRLSIPDLDDNEVDEVFKLFVSEGDGRVSKDNFMCCLKKNPLLIALFSPCLVNAETGDRMLEEIV >Manes.05G041800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3371703:3377792:1 gene:Manes.05G041800.v8.1 transcript:Manes.05G041800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLSSPLLPPQSSHRPHVVLNVLDNDFSQQSASTLSHNHHHHNSNNNNHASHLNHNSRNPFEFLGSDGLTVPAPSTIDPFRNNTPNIEGAYESLKILVCLPIAVVRLVLFGVCLLVGFIATKLALQGWNDKHNPMPRWRSRLMWITRICARFILFTFGYQWIKRKGKPAPREIAPIVVSNHVSYIDPIFYFYELFPTIVAAESHDSIPFVGTIIRAMQVIYVNRFSQSSRKQAVNEIKRKASCDRFPRVLLFPEGTTTSGKVIISFQLGAFIPGYAIQPVIIRYPHVHFDQSWGHISLAKLMFRMFTQFHNFMEIEYLPIVQPLDNCKENPAQFAKRTSYAIATALNVVQTFHSYGDLMLLMKASQSKQEKPSNYMVEMACVESSFHVSSSDAVAFLDKFLLMNPDPSGRVKIQDFLRVMRLRSCTLSEEIFGFIDVEKNGSITFKQFLYGSAHVMRQPLFRRTCELAFTNCSAGGGGQISKEQLGDVIRLSIPDLDDNEVIFHQTLHF >Manes.12G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34004723:34007058:-1 gene:Manes.12G132900.v8.1 transcript:Manes.12G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPQGQETKTELKMSVAILTSLPPQWLSILAVFLLPILTLLLFRGKDDNQKKGLKLPPGPRQLPLIGNLHQLGGQPYVDFWKMAKKYGPVMYLQLGRCPTVVLSSTETSKELMKDRDVECCSRPLSVGPGQLSYNFLDVAFSPYSDYWREMRKLFIFELLSMRRVQTFWYAREEQMDKMIEILDGAYPNPVNLTEKVFNMMDGIIGTIAFGRTTYAQQEFRDGFVKVLAATMDMLDNFHAENFFPVVGRFIDSLTGALAKRQRTFTDVDRYFEKVIEQHLDPNRPKPETEDIVDVLIGLMKDESASFKITKDHLKAILMNVFVGGIDTSAVTITWAFSELLKNPKLMKKAQEEVRRAVGPNKRRVEGKEVEKIKYIDCIVKETFRKHPPVPLLVPHFSMKHCKIGGYDILPGTTIYVNAWAMGKDPTIWENPEEYNPDRFMNSEVDFRGSHFELVPFGAGRRICPGLAMGTTAVKYILSNLLYGWDYEMPRGKKFEDFPLIEEGGLTVHNKQDIMVVPKKHKWD >Manes.08G123200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36342470:36348934:1 gene:Manes.08G123200.v8.1 transcript:Manes.08G123200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNITVCSRFRPLSSKEKRVHGDSVCIRNMDTETFIFKDEKEEELVFSFDRVFYEETVQADVYEFLALPIVRGAVNAINGTIITYGQTGAGKTYSIEGPSILESDDLQKGLLPRVVDDLFECVKSADESDKYTIKLSMVEIYMEKIRDLLDLTKDNILIKESKVQGIMLSGVTEIFISDPAEALQSLSGGIANRAVGETQMNMASSRSHCIYIFTVQLELPDKRVKTGKVILVDLAGSEKVEKTGAEGKVLEEAKTINKSLSALGNVINALTCGPSTKASHIPYRDSKLTRILQDALGGNSRTALLCCCSPSPSNAAETLSTLRFGARAKHIKASPTVHRSQDKLAKKQGEVAPSKDESCDRILNRLREKLDVEDVELLEELFILEGILFDPNSVEDLESAYEDVTLQTISSLQQAVEELVFTIEELKSENKALKARIEVAERLNVMPKEAGENASVMRKISDTLSFFISWVGSFPLVRMLK >Manes.08G123200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36342470:36348942:1 gene:Manes.08G123200.v8.1 transcript:Manes.08G123200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNITVCSRFRPLSSKEKRVHGDSVCIRNMDTETFIFKDEKEEELVFSFDRVFYEETVQADVYEFLALPIVRGAVNAINGTIITYGQTGAGKTYSIEGPSILESDDLQKGLLPRVVDDLFECVKSADESDKYTIKLSMVEIYMEKIRCACPVSVFKIMIPLDLLDLTKDNILIKESKVQGIMLSGVTEIFISDPAEALQSLSGGIANRAVGETQMNMASSRSHCIYIFTVQLELPDKRVKTGKVILVDLAGSEKVEKTGAEGKVLEEAKTINKSLSALGNVINALTCGPSTKASHIPYRDSKLTRILQDALGGNSRTALLCCCSPSPSNAAETLSTLRFGARAKHIKASPTVHRSQDKLAKKQGEVAPSKDESCDRILNRLREKLDVEDVELLEELFILEGILFDPNSVEDLESAYEDVTLQTISSLQQAVEELVFTIEELKSENKALKARIEVAERLNVMPKEAGENASVMRKISDTLSFFISWVGSFPLVRMLK >Manes.08G123200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36342470:36348934:1 gene:Manes.08G123200.v8.1 transcript:Manes.08G123200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNITVCSRFRPLSSKEKRVHGDSVCIRNMDTETFIFKDEKEEELVFSFDRVFYEETVQADVYEFLALPIVRGAVNAINGTIITYGQTGAGKTYSIEGPSILESDDLQKGLLPRVVDDLFECVKSADESDKYTIKLSMVEIYMEKIRDLLDLTKDNILIKESKVQGIMLSGVTEIFISDPAEALQSLSGGIANRAVGETQMNMASSRSHCIYIFTVQLELPDKRVKTGKVILVDLAGSEKVEKTGAEGKVLEEAKTINKSLSALGNVINALTCGPSTKASHIPYRDSKLTRILQDALGGNSRTALLCCCSPSPSNAAETLSTLRFGARAKHIKASPTVHRSQDKLAKKQGEVAPSKDESCDRILNRLREKLDVEDVELLEELFILEGILFDPNSVEDLESAYEDVTLQTISSLQQAVEELVFTIEELKSENKALKARIEVAERLNVMPKEAGENASVMRKISDTLSFFISWVGSFPLVRMLK >Manes.08G123200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36342946:36348934:1 gene:Manes.08G123200.v8.1 transcript:Manes.08G123200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNITVCSRFRPLSSKEKRVHGDSVCIRNMDTETFIFKDEKEEELVFSFDRVFYEETVQADVYEFLALPIVRGAVNAINGTIITYGQTGAGKTYSIEGPSILESDDLQKGLLPRVVDDLFECVKSADESDKYTIKLSMVEIYMEKIRDLLDLTKDNILIKESKVQGIMLSGVTEIFISDPAEALQSLSGGIANRAVGETQMNMASSRSHCIYIFTVQLELPDKRVKTGKVILVDLAGSEKVEKTGAEGKVLEEAKTINKSLSALGNVINALTCGPSTKASHIPYRDSKLTRILQDALGGNSRTALLCCCSPSPSNAAETLSTLRFGARAKHIKASPTVHRSQDKLAKKQGEVAPSKDESCDRILNRLREKLDVEDVELLEELFILEGILFDPNSVEDLESAYEDVTLQTISSLQQAVEELVFTIEELKSENKALKARIEVAERLNVMPKEAGENASVMRKISDTLSFFISWVGSFPLVRMLK >Manes.08G123200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36342319:36348942:1 gene:Manes.08G123200.v8.1 transcript:Manes.08G123200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNITVCSRFRPLSSKEKRVHGDSVCIRNMDTETFIFKDEKEEELVFSFDRVFYEETVQADVYEFLALPIVRGAVNAINGTIITYGQTGAGKTYSIEGPSILESDDLQKGLLPRVVDDLFECVKSADESDKYTIKLSMVEIYMEKIRDLLDLTKDNILIKESKVQGIMLSGVTEIFISDPAEALQSLSGGIANRAVGETQMNMASSRSHCIYIFTVQLELPDKRVKTGKVILVDLAGSEKVEKTGAEGKVLEEAKTINKSLSALGNVINALTCGPSTKASHIPYRDSKLTRILQDALGGNSRTALLCCCSPSPSNAAETLSTLRFGARAKHIKASPTVHRSQDKLAKKQGEVAPSKDESCDRILNRLREKLDVEDVELLEELFILEGILFDPNSVEDLESAYEDVTLQTISSLQQAVEELVFTIEELKSENKALKARIEVAERLNVMPKEAGENASVMRKISDTLSFFISWVGSFPLVRMLK >Manes.18G016500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1731367:1732670:1 gene:Manes.18G016500.v8.1 transcript:Manes.18G016500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.18G016500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1731567:1732413:1 gene:Manes.18G016500.v8.1 transcript:Manes.18G016500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.18G029200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2469861:2476022:1 gene:Manes.18G029200.v8.1 transcript:Manes.18G029200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGSKKGWKSIVPLRLKGKSATCFRFFRKPWSTSYGPGSAPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTCLDPIQVREFMEQHAASYHGDTYHLIVKNCNHFCKDICYKLTGKSIPKWVNRLAKIGSVCNCILPESLKISAVRHDPDGQSYDSDRRRLRSAFSYLSSISMRQKQLSTSSMFLQSPLKGCLPWELRRSINGSLKER >Manes.18G029200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2471346:2475534:1 gene:Manes.18G029200.v8.1 transcript:Manes.18G029200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGSKKGWKSIVPLRLKGKSATCFRFFRKPWSTSYGPGSAPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTCLDPIQVREFMEQHAASYHGDTYHLIVKNCNHFCKDICYKLTGKSIPKWVNRLAKIGSVCNCILPESLKISAVRHDPDGQSYDSDRRRLRSAFSYLSSISMRQKQLSTSSMFLQSPLKGCLPWELRRSINGSLKER >Manes.18G029200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2470394:2475534:1 gene:Manes.18G029200.v8.1 transcript:Manes.18G029200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGSKKGWKSIVPLRLKGKSATCFRFFRKPWSTSYGPGSAPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTCLDPIQVREFMEQHAASYHGDTYHLIVKNCNHFCKDICYKLTGKSIPKWVNRLAKIGSVCNCILPESLKISAVRHDPDGQSYDSDRRRLRSAFSYLSSISMRQKQLSTSSMFLQSPLKGCLPWELRRSINGSLKER >Manes.18G029200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2469992:2475534:1 gene:Manes.18G029200.v8.1 transcript:Manes.18G029200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGSKKGWKSIVPLRLKGKSATCFRFFRKPWSTSYGPGSAPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTCLDPIQVREFMEQHAASYHGDTYHLIVKNCNHFCKDICYKLTGKSIPKWVNRLAKIGSVCNCILPESLKISAVRHDPDGQSYDSDRRRLRSAFSYLSSISMRQKQLSTSSMFLQSPLKGCLPWELRRSINGSLKER >Manes.13G110700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31603966:31604968:1 gene:Manes.13G110700.v8.1 transcript:Manes.13G110700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDQQPPVGAPPPQGYPKDAYPPPGYPVQGYPQGYPPQGYPPQGYAPGYAQPPRQETGFLEGCLAALCCCCLLDACF >Manes.06G084600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21995459:21997936:1 gene:Manes.06G084600.v8.1 transcript:Manes.06G084600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGNVLRQAGSKQINGELSASRPSLYQAIRCMSSSKLFIGGISYATDDTSLREAFSKYGEVIEARVILDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVKVNYANDRARGSFGGGGYGAGGGGYGAGGGGYGAGGGSYGAGGGGYGTGSRGYNNNYGGSYGGPGENYGAGNTDSGRYAGRNVGYSGGSTFDGSSTGAYGSDTWNYGGSDGNVGGGNDKLDSSNIGGGYDGNATSGFSGGNDQFGGHESSGLDNAAGKYDQDEALDGNFRDDDDNAGDFAKRA >Manes.06G084600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21995370:21998211:1 gene:Manes.06G084600.v8.1 transcript:Manes.06G084600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGNVLRQAGSKQINGELSASRPSLYQAIRCMSSSKLFIGGISYATDDTSLREAFSKYGEVIEARVILDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVKVNYANDRARGSFGGGGYGAGGGSYGAGGGGYGTGSRGYNNNYGGSYGGPGENYGAGNTDSGRYAGRNVGYSGGSTFDGSSTGAYGSDTWNYGGSDGNVGGGNDKLDSSNIGGGYDGNATSGFSGGNDQFGGHESSGLDNAAGKYDQDEALDGNFRDDDDNAGDFAKRA >Manes.06G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21995358:21998414:1 gene:Manes.06G084600.v8.1 transcript:Manes.06G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGNVLRQAGSKQINGELSASRPSLYQAIRCMSSSKLFIGGISYATDDTSLREAFSKYGEVIEARVILDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVKVNYANDRARGSFGGGGYGAGGGGYGAGGGGYGAGGGSYGAGGGGYGTGSRGYNNNYGGSYGGPGENYGAGNTDSGRYAGRNVGYSGGSTFDGSSTGAYGSDTWNYGGSDGNVGGGNDKLDSSNIGGGYDGNATSGFSGGNDQFGGHESSGLDNAAGKYDQDEALDGNFRDDDDNAGDFAKRA >Manes.06G084600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21995459:21997936:1 gene:Manes.06G084600.v8.1 transcript:Manes.06G084600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGNVLRQAGSKQINGELSASRPSLYQAIRCMSSSKLFIGGISYATDDTSLREAFSKYGEVIEARVILDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVKVNYANDRARGSFGGGGYGAGGGSYGAGGGGYGTGSRGYNNNYGGSYGGPGENYGAGNTDSGRYAGRNVGYSGGSTFDGSSTGAYGSDTWNYGGSDGNVGGGNDKLDSSNIGGGYDGNATSGFSGGNDQFGGHESSGLDNAAGKYDQDEALDGNFRDDDDNAGDFAKRA >Manes.06G084600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21995459:21997936:1 gene:Manes.06G084600.v8.1 transcript:Manes.06G084600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGNVLRQAGSKQINGELSASRPSLYQAIRCMSSSKLFIGGISYATDDTSLREAFSKYGEVIEARVILDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVKVNYANDRARGSFGGGGYGAGGGGYGAGGGSYGAGGGGYGTGSRGYNNNYGGSYGGPGENYGAGNTDSGRYAGRNVGYSGGSTFDGSSTGAYGSDTWNYGGSDGNVGGGNDKLDSSNIGGGYDGNATSGFSGGNDQFGGHESSGLDNAAGKYDQDEALDGNFRDDDDNAGDFAKRA >Manes.18G093800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8684597:8686991:1 gene:Manes.18G093800.v8.1 transcript:Manes.18G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQPVHVTQSLAAFPGHGSTGEMSAMVSALTRVVSGQGAGDWGYGTGFGGAITSSYGGFGSPAGVYSSSSSSSLSPPFSAYSSTSGSGSGFWIGQKRGREEEGAVQLIESEPRVYRGFGDFTSSHGDSSSSGATLTEEPAASIIVPTATAPAAPPTSSTETVSYEETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGNRAKLNFPENVRVLPPPMQNVPISQIPIGLPQPSQLLPLSSQPPQEPQQPTTLPPQFFLSQADTMRDYWEYSQLLQSTGDLHGFQPSSLMEQMFYNQQLASLQSTVLPSSSRSSSASLSSSISAPPFATSSSGSLSSSSSSSASFPLILAGQQLGYFRPPRNQNPPTGSDLPVPPWSDSTDHPSSTG >Manes.18G093800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8684757:8686983:1 gene:Manes.18G093800.v8.1 transcript:Manes.18G093800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLISKVANQRGSGEYFRYPAIADSGDDDQEERHPQIITSESMLNQPVHVTQSLAAFPGHGSTGEMSAMVSALTRVVSGQGAGDWGYGTGFGGAITSSYGGFGSPAGVYSSSSSSSLSPPFSAYSSTSGSGSGFWIGQKRGREEEGAVQLIESEPRVYRGFGDFTSSHGDSSSSGATLTEEPAASIIVPTATAPAAPPTSSTETVSYEETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGNRAKLNFPENVRVLPPPMQNVPISQIPIGLPQPSQLLPLSSQPPQEPQQPTTLPPQFFLSQADTMRDYWEYSQLLQSTGDLHGFQPSSLMEQMFYNQQLASLQSTVLPSSSRSSSASLSSSISAPPFATSSSGSLSSSSSSSASFPLILAGQQLGYFRPPRNQNPPTGSDLPVPPWSDSTDHPSSTG >Manes.09G108000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30427808:30429085:-1 gene:Manes.09G108000.v8.1 transcript:Manes.09G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVSHRVIDSRLLGSAKTVIISFITVAAVFSIFYADFLVSKVYLQSSAVEIQEVSEVLQIHTTERRIKSTVTTIQLLATQEEIREVHGTNQKFLNPPINVTEEERIEWFRKKLPEFEIFKSTNLTRKFHRRIQRFFNDKCEVKFFMTWISPAESFKRRDFLSMESLFKVHPHGCLVILSGTLDSMQGYRILRPLLDRGFKVTAVTPDLAFLVKNTPAESWLREMKSGNKDPGEIPLAQNLSNLVRLTALFKYGGVYLDTDFIVLKSFAGLRNSIGAQSINTVSKTWSRLNNAVLVFDGKHPLVLKFIQEFAATFDGNKWGHNGPYLVSRVVERVAGRPGYSFTVLPPAAFYPVNWNRIGGFFKKPENQGDSRWVKAKLLQLSGETYGVHLWNKQSSRIRIEEGSVMARLISDHCIICTNIYSS >Manes.02G170801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13520037:13522668:1 gene:Manes.02G170801.v8.1 transcript:Manes.02G170801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLMIHGLLLLSLAAASRAAASPPTIPPVYAKHGCPHSCGNLSIPYPFGTREGCFLDDTFFINCTVTKNNDFVPYLGHGNVTVLNISLDGKLRIFSWLARDCYNKSGERTSNRPWYRLFSFTLSFSRNKFIAVGCDTYAWVEDSVEYSYATGCLSLCKTNDLMVNGSCSGRGCCEISIPKGIRDFSVTVTSYNNHTRVMNFNPCSYAFVVEDGVYNFSTLDLVNLQNNRKGFPVVIDWVISNKTCKEAGQNITAYACKENSVCRDSENLHGHYCDCRSGFEGNPYLPNSCKDIDECEDPSLNQCIDAKHCRNEVGSYVCFCPKGYHGDGTKNGTRCTARDKTKAIIGACIAVSLAFVILAFVSWGLQRRKINKLKEKNFRNNGGPVLQQLLSKIERSAEKAKIFTEDELKKATYNFNESEIVGQGGFGIVYKGTLDHKSVAIKKSKVMDHDQIEQFVNEVVVLCQIKHPNVVKLIGCCLETSVPLLVYEFINNKTLYYHIHNEVVESSMPWKTRLRIAVETADALAHMHSDAPIHIIHRDVKSENILLDDNFQAKVSDFGVSRLVPLDQTQLPTLVQGTFGYIDPEYFHSGLLNEKSDVYSFGVVLLELLIGQKVISSDRPEKDKNLAAFFIDRMKEDRLFEILHERVRNEGNSEQLKGVAELARRCLRMKGVKRPTMKEVKMELEELMMGNCVHVDVATNDIEETEPLLGLLTNSNGTSVWMGPDSVKFQAALQLESGR >Manes.10G049533.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5462780:5464107:1 gene:Manes.10G049533.v8.1 transcript:Manes.10G049533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWGSVLFIWISSIMCLICVSLGYNPVDDYLIDCGSSTNKSVGDHVFVADQFFSNLLSTPHITFANASSSPNSSAYDPSLFQTARIFNETSYYSFSVNKPGRHWIRLYFFPFMFRNYNLSTAKFFVSAQNFTLIHPLTEAKARRNG >Manes.15G105900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8392885:8394756:1 gene:Manes.15G105900.v8.1 transcript:Manes.15G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNPNLHLGLSLLSLFLFLSLSKPTVSAQDPISVYEILPKYGLPSGLLPDSVTNYTLSDDGRFVVLLEKPCYIQFEYLVYYDKQIIGKLSYGSITDLKGIEVQRFFLWFDVDEIKVDLPPSDSIYFQVGIINKKLDVDQFKTVHSCGNKVSGSCGGFWNRIIELPTPMDDIQMLITE >Manes.18G081150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7440440:7441256:-1 gene:Manes.18G081150.v8.1 transcript:Manes.18G081150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSYKLIQRSPLKRVPAKRNHKLFDHRSVNPSQSFTYTSAPTCPTVKAHAEMIFCPPLTVLPQCCLAPTVALVHQWWMQLDTNGSGL >Manes.14G075600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6314224:6316837:-1 gene:Manes.14G075600.v8.1 transcript:Manes.14G075600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHFITKWTKTEPVAKHAVINPLNPAHKIAASSLQPIAAALRFPSLIWRCSNGSDFWLFFALFAMSLLEVIRNASANTDKVASQSEYPIILNADDVFLNLKPQLETVDPTSLANPVTGWQLSQGDTQLIESGKKFYTKLKRKLKDTTNFSKGEFVEILNLFLEKIAEKFGISAGVDTSDNGYTQVLVEKTGFLMGRDVAGLVLEACVRLEIWYLVETLIVKGIIDHSCYSNLVTSLVVKKRSDLLCLIIKHAPDLGLTELLCILKYFLCPSKDAYSSMVNVRKEWESQALLAIEKVKDKKLSDKKSHVAKEASILLMLAHDGFSTSELCLHYLLASPNVDEVILSASIGKLNVKEMMNLIRYLGKWLKKYEMFPQAVPCPKASSVLGLKACDWVPKLEDIVKFLELVLDENFSSLVLHPEFHEELKSIETLVGPLALEAKLCCSVANVIENLKTEAEGEQS >Manes.02G110601.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8552732:8558135:-1 gene:Manes.02G110601.v8.1 transcript:Manes.02G110601.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRYSRLQARKSFSSTLILILVMFTFIILILVAFGMLYLPNNSADSSRKANDLTTIVHNKVDSNSADSSRKANDLSTIVHNKVDSNSADSSRKANDLSTIVHNKVDSSERDDGRQEHWAEVISWEPRSFIYHNFLSKEECDYLINLAKPHMQKSVVVDSKTGKSKDSKVRTSSGTFLPRGRDEKIREIEKRISDFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFKDEFNTMNGGQRIATLLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDASLDPSSLHGGCPVIKGNKWSATKWMRVNEYKV >Manes.02G110601.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8552732:8558135:-1 gene:Manes.02G110601.v8.1 transcript:Manes.02G110601.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRYSRLQARKSFSSTLILILVMFTFIILILVAFGMLYLPNNSADSSRKANDLTTIVHNKVDSNSADSSRKANDLSTIVHNKVDSSERDDGRQEHWAEVISWEPRSFIYHNFLSKEECDYLINLAKPHMQKSVVVDSKTGKSKDSKVRTSSGTFLPRGRDEKIREIEKRISDFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFKDEFNTMNGGQRIATLLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDASLDPSSLHVN >Manes.02G110601.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8552732:8558135:-1 gene:Manes.02G110601.v8.1 transcript:Manes.02G110601.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRYSRLQARKSFSSTLILILVMFTFIILILVAFGMLYLPNNSADSSRKANDLTTIVHNKVDSNSADSSRKANDLSTIVHNKVDSNSADSSRKANDLSTIVHNKVDSSERDDGRQEHWAEVISWEPRSFIYHNFLSKEECDYLINLAKPHMQKSVVVDSKTGKSKDSKVRTSSGTFLPRGRDEKIREIEKRISDFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFKDEFNTMNGGQRIATLLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDASLDPSSLHGGCPVIKGNKWSATKWMRVNEYKV >Manes.02G110601.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8552732:8558135:-1 gene:Manes.02G110601.v8.1 transcript:Manes.02G110601.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRYSRLQARKSFSSTLILILVMFTFIILILVAFGMLYLPNNSADSSRKANDLTTIVHNKVDSNSADSSRKANDLSTIVHNKVDSSERDDGRQEHWAEVISWEPRSFIYHNFLSKEECDYLINLAKPHMQKSVVVDSKTGKSKDSKVRTSSGTFLPRGRDEKIREIEKRISDFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFKDEFNTMNGGQRIATLLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDASLDPSSLHGGCPVIKGNKWSATKWMRVNEYKV >Manes.02G110601.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8552732:8558135:-1 gene:Manes.02G110601.v8.1 transcript:Manes.02G110601.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRYSRLQARKSFSSTLILILVMFTFIILILVAFGMLYLPNNSADSSRKANDLTTIVHNKVDSNSADSSRKANDLSTIVHNKVDSSERDDGRQEHWAEVISWEPRSFIYHNFLSKEECDYLINLAKPHMQKSVVVDSKTGKSKDSKVRTSSGTFLPRGRDEKIREIEKRISDFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFKDEFNTMNGGQRIATLLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDASLDPSSLHGGCPVIKGNKWSATKWMRVNEYKV >Manes.02G110601.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8552732:8558135:-1 gene:Manes.02G110601.v8.1 transcript:Manes.02G110601.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRYSRLQARKSFSSTLILILVMFTFIILILVAFGMLYLPNNSADSSRKANDLTTIVHNKVDSNSADSSRKANDLSTIVHNKVDSNSADSSRKANDLSTIVHNKVDSSERDDGRQEHWAEVISWEPRSFIYHNFLSKEECDYLINLAKPHMQKSVVVDSKTGKSKDSKVRTSSGTFLPRGRDEKIREIEKRISDFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFKDEFNTMNGGQRIATLLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDASLDPSSLHGGCPVIKGNKWSATKWMRVNEYKV >Manes.02G110601.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8552732:8558135:-1 gene:Manes.02G110601.v8.1 transcript:Manes.02G110601.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRYSRLQARKSFSSTLILILVMFTFIILILVAFGMLYLPNNSADSSRKANDLTTIVHNKVDSNSADSSRKANDLSTIVHNKVDSNSADSSRKANDLSTIVHNKVDSSERDDGRQEHWAEVISWEPRSFIYHNFLSKEECDYLINLAKPHMQKSVVVDSKTGKSKDSKVRTSSGTFLPRGRDEKIREIEKRISDFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFKDEFNTMNGGQRIATLLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDASLDPSSLHVN >Manes.02G110601.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8552732:8558135:-1 gene:Manes.02G110601.v8.1 transcript:Manes.02G110601.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFVILILLAFGMLSLPSNSADSSRKANDLSTIVHNKVDSSERDDGRQEHWAEVISWEPRSFIYHNFLSKEECDYLINLAKPHMQKSVVVDSKTGKSKDSKVRTSSGTFLPRGRDEKIREIEKRISDFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFKDEFNTMNGGQRIATLLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDASLDPSSLHGGCPVIKGNKWSATKWMRVNEYKV >Manes.07G053432.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7887300:7892726:1 gene:Manes.07G053432.v8.1 transcript:Manes.07G053432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLMNCFRNTETNPSIDSRERFGLGEYSYGKLADSTDKFSNANLLGEGGFGQVYKGSLNGNVVAVKKLKQLPDEQSKEGLEQEIKVVSSVSHKNLVKLFGYCIEGPNRLLVLEYVPQRSLKFHLHGNNILEWKNRMKIAIGSAKGLEYLHELCKPKIIHCDIKADNILIDDNFEPKVADFGLALFFQKSVASLTSLDQIRGTEVYADPENYPSQKVSEKSDVYSYGVVLLELITGRKTKVEGVEGIDIVIWAKPRIEYTFRSGDFTNLVDPKLQMNCIEEELRIMVCCATACVYKPSHFRPPMKEIVRALEGYMNIKDIWDEKNDNKFLSDNPKPNGSHNVDEFQHTVPKALVISEAINGIKSRKFTQQELMVTTNGPSNTTEVTKKFQAYFEDDYKLNSFTYQELIVATRGFSEDNRLDEGPLGQVYKGDLNGEKVTVKKFNNPRKQEEEYKKMKAIGSSFHHRNVVNLIGYCEEGANRLLVYDFIAQGKSFRRYLRDGGSTLPWITRIQNCILAADIQALLHTSDKCWWDPSLDWMDIYLLGDDDLEPKLAEYGREKFFSDSSPHKSNSCMAPEYTSTRMFTQKTSVYSCGVMLIEMITGEEAVDAVLQWTVLNLKVRFSGNYYFVDKRLKDYNKFEMDRMVACSLACLRDNPQDRPEMSQIVEVLKGNLDVQDL >Manes.07G053432.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7887300:7893324:1 gene:Manes.07G053432.v8.1 transcript:Manes.07G053432.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLMNCFRNTETNPSIDSRERFGLGEYSYGKLADSTDKFSNANLLGEGGFGQVYKGSLNGNVVAVKKLKQLPDEQSKEGLEQEIKVVSSVSHKNLVKLFGYCIEGPNRLLVLEYVPQRSLKFHLHGNNILEWKNRMKIAIGSAKGLEYLHELCKPKIIHCDIKADNILIDDNFEPKVADFGLALFFQKSVASLTSLDQIRGTEVYADPENYPSQKVSEKSDVYSYGVVLLELITGRKTKVEGVEGIDIVIWAKPRIEYTFRSGDFTNLVDPKLQMNCIEEELRIMVCCATACVYKPSHFRPPMKEIVRALEGYMNIKDIWDEKNDNKFLSDNPKPNGSHNVDEFQHTVPKALVISEAINGIKSRKFTQQELMVTTNGPSNTTEVTKKFQAYFEDDYKLNSFTYQELIVATRGFSEDNRLDEGPLGQVYKGDLNGEKVTVKKFNNPRKQEEEYKKMKAIGSSFHHRNVVNLIGYCEEGANRLLVYDFIAQGKSFRRYLRDGGSTLPWITRIQNCILAADIQALLHTSDKCWWDPSLDWMDIYLLGDDDLEPKLAEYGREKFFSDSSPHKSNSCMAPEYTSTRMFTQKTSVYSCGVMLIEMITGEEAVDAVLQWTVLNLKVRFSGNYYFVDKRLKDYNKFEMDRMVACSLACLRDNPQDRPEMSQDNAVAQVQIKP >Manes.16G006300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:669538:672575:1 gene:Manes.16G006300.v8.1 transcript:Manes.16G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSIKPQDYVHSPVHYAVALGDHTTLSRLVSALPRLADPSQVHADSDSIIQERIADQISAVLDRRDVPFRETPLHLSVRLNDSFAARTLAAAGADVSLQNSAGWNPLQEALCRRNSEIASILLRLHHRSAWAKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDQTYNVPAGSLLVLNRDDRKIFDAFENAGAPMSESDIAGFCSQTSVYRPGMDVTKAELVGRTNWRRQEKTESVGEWKAKVYEVHNVVFSFRSRKVAHNENEVAGSEQVLPLELDEDDDGFLVAENPNFGFNNDSRRRHSSFVREEREWVTVGRKSVDIIPSSSSAMSAPQRRSAIPIAPAPQTKEKEYVRSLRPSVWLTEHFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPAGTFPVKVAIPVVPTVRVVITFTKFVELQPTEQFYTPLSSPRHFANGGRVASSEDDQKSDTHYSSLPSTSSSIPTGWLRRNNSQSASKQHQRCPAPSMGQQQQADPFTIPSGYTWTNADDKSSKLKKSKSVRKSK >Manes.09G053600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8841343:8849661:-1 gene:Manes.09G053600.v8.1 transcript:Manes.09G053600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRHEKEKGVNVQVLLRCRPFSDEELRNNAPQVVTCNEYQREVAVSQSIAGKHIDRVFTFDKVFGPSSQQKDLYEQAMVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPPEAGVIPRAVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPDEISKVALEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIERLKTEVYAAREKNGVYIPKERYYQEESERKAMADQIEQMGVTIESNQKKLEELQEKYNAQVQQCCNLTRKLNATEKNLNQTCKLLSSTEEELKKCRYALKERDFVISEQRKAENALAQQACVLRSDLEKALQDNFSLFQKIGREDKLNADNRALVTNFQKELSQQVGSLQNLVASSMLKQDEHLQCVEKLCHSFQDIHNKAVKDMKKKLKASRALYISHIEAMQNVVRLHKASSIAGLEEISSSASSNAGSIEEYLASEAVKATSIFDDIRSTVATHQGDMALFARELRQKFHVTGERMKAVSDFMNGFLQKLLQQSQGLQNDAAQADENHLKSITDFQKAYEEQSKSDAKKLFADISNLVSNHIQRQKELVDSRLLVLRETAIGNKANLDMHASSMECITTDGKRKWEEFSMHAENDAKDCADYSAVKHCRMELLFQQCLTTTESAFEHWKKTCDSVNEMGSKHVSTLLSLTRSASDSIEQHDAEVGSARVAAEQDVARNSDDLLKHIENVSEQEQESVSGILGVVKAHGGTLELFRDDLSGQSTAIEGKAKETFQQQYMDYEPTGTTPVRCEPDIPSKGTIESLRAMPIENLLEEFRENNSYESFEVKEVKPSLIPRSPLLQLN >Manes.14G151762.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19492458:19500279:-1 gene:Manes.14G151762.v8.1 transcript:Manes.14G151762.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFEGSALDPSKCSKLSMDEKRELVYQLSKYAGASEMLQSWSRQDILQILCVEMGKERKYTGLTKLKIIEHLLKIVSEKKAGECEVTTGVETESSPAPVQRSSKRQRKTDNPSRLTVSVNCTAASNGGSDLGNTVYCKNSACRATLKQGDAFCKRCSCCICYKYDDNKDPSLWLTCSSESPFQGVACGMSCHLDCALRHESSAIGKDGYDGSFRCVACWKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSKKLLNKTGKYQKLYNIVDEAVKKLEAEVGPLTGLPVKMGRGIVNRLSSGPEVQKLCAFALESLDKMLSSTVAHPFPDPIIRDINATVMTMVRFEDVNATSLIVVLGSEDPSPSAIVGYTLWHRKTQDTDYPTEPTCTLFVPNTRFVVTGLSPATEYHFKVVSFNDVRRETGMFEVQCCTRDEVPNCSERSQSPATNCSSLSNPSSVEDETNHNAPCGNHIVNRADNYHNYCKDSDKIVSANVSNGVIISSGSGASGGTTADAVPLLDEERAMQVATLLPSSDMQKLQNKHLLEDQIVDDISTDDGSGTPVQTGLECVPFVGNSEASLPITPCKLEIVKDVQGRNARSKSSNKDLSNGTGKGEEPQDGSTSRKRSGERRDEECMADGHSDRDFEYNVKVIRWLECGGHIEKNFRQKFLTWYSLRATPQEIRVVKAFVDTFIQDPASLAEQLVDTFSECISSKKSSVVPSGFCMKLWH >Manes.04G126350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32661845:32677455:1 gene:Manes.04G126350.v8.1 transcript:Manes.04G126350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTHVSTGPRGTISYEPPEYYIADLRGKLTEKSDVFSFGVVLLELITGKFAILGDNERLVNWALRPAVP >Manes.14G133500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10683486:10689737:1 gene:Manes.14G133500.v8.1 transcript:Manes.14G133500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKKFSRDEVNRLIEIINSRAIDPPEVERESKSISLTVGDLRRSTVALGFSGKSAEEKQEDLNRAIGGTSKIRERKYSNTISVDSREPANALENSRHIEEKHEELDRSPLLQLKAQLQDDVGTSPMEIARAYMENRTSEVGYGTHSLVSKDEGTIPSSEELVLKPFLPSPVPKSSPCWPGALVQDQRGYMTPQSQRGRIGLHNFPRTPYSRTIYSKSKSKLIPLQGNSDRNPKTIATPFQQAQNPFGQINSRGNAFNDGHGSVGPIRRLRNKVIAETPRGSAYFNSPQVENFSISESLFSTPKINFENGGTVSSVKFQSASSKPQSSEVSVPTVPPHSSLVARKILEHLERNPPTPKDKSAELRLATSWKKGQSSDLATIMPSKLNSPTQLGGLNSSEKSIQLHKNNPLEPVNGVTGDANNDTSASKMKVGTASKDGDYAAHSQDFRKLWDSQQMTVHEDVSNSKVLPNAAGSEVFGLHKKLPPQSSGTKPVLPSINVDKPNQRWTFSSDNSSGFTFPVSASSGVSSEPPTPSIMPLSSSIDLHQQNDGSSIPSYNFGTKRSTPALVFSFPSTSSVPVPDDVSDLKFNFGSEKTPRISFSSIGQGAICY >Manes.14G133500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10682414:10689737:1 gene:Manes.14G133500.v8.1 transcript:Manes.14G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDSQTTSFASLASEQRGAGGKLRKPPSRRPLATPYARPPQNQEQRGRWLSKLVDPAFRLIAGGANLIFPSFFSKSPSVNALPFPSAGYGSQDLHTEVEQNASGDDVNFAWNHVESKATGVAGSSHVVDRSNSDSDFNGLKQNQKVDVSDPNGLSELEQLMKDKKFSRDEVNRLIEIINSRAIDPPEVERESKSISLTVGDLRRSTVALGFSGKSAEEKQEDLNRAIGGTSKIRERKYSNTISVDSREPANALENSRHIEEKHEELDRSPLLQLKAQLQDDVGTSPMEIARAYMENRTSEVGYGTHSLVSKDEGTIPSSEELVLKPFLPSPVPKSSPCWPGALVQDQRGYMTPQSQRGRIGLHNFPRTPYSRTIYSKSKSKLIPLQGNSDRNPKTIATPFQQAQNPFGQINSRGNAFNDGHGSVGPIRRLRNKVIAETPRGSAYFNSPQVENFSISESLFSTPKINFENGGTVSSVKFQSASSKPQSSEVSVPTVPPHSSLVARKILEHLERNPPTPKDKSAELRLATSWKKGQSSDLATIMPSKLNSPTQLGGLNSSEKSIQLHKNNPLEPVNGVTGDANNDTSASKMKVGTASKDGDYAAHSQDFRKLWDSQQMTVHEDVSNSKVLPNAAGSEVFGLHKKLPPQSSGTKPVLPSINVDKPNQRWTFSSDNSSGFTFPVSASSGVSSEPPTPSIMPLSSSIDLHQQNDGSSIPSYNFGTKRSTPALVFSFPSTSSVPVPDDVSDLKFNFGSEKTPRISFSSIGQGAICY >Manes.17G091000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29909183:29913512:-1 gene:Manes.17G091000.v8.1 transcript:Manes.17G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPFATLLLFLFAVVVASSVTHVRSDSSDHRYKEGDSVPLYANKVGPFQNPSETYRYFDLPFCVPDHVKEKKEALGEVLNGDRLVSAPYKLNFRDEKTSSLVCKKTLSKEEVAKFRSAIDKDYYFQMYYDDLPIWGFIGKVDKEGKTDPSEYKYFLYKHIQFDVLYNKDRVIEVSVRMDPNSLLDLTEDKEVETEFFYTVKWKETDTPFEKRMEKYSLSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAQDEEAADDQEETGWKYIHGDVFRYPKYKSLFAAALGSGSQLFTLTVFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGNNWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPQLPWYRSSLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLFFVRHIYRSIKCE >Manes.08G058100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6726582:6728890:-1 gene:Manes.08G058100.v8.1 transcript:Manes.08G058100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLQPLPTLPSLKIPNFNSMHAINSNPLFPHCYTPFRNSNFMSKSLFNAQKNLCFTILSLHQNPKPLHSTLTHFTNLPRNLNPSLKNPRLQNSAVEFRRVRCYGIKDSGEETRTVVNSGGGGGGESGGGGGDDGDGDVEKSGGILPEWLNFTSDDAKTVFAALAISLAFRTFIAEPRYIPSLSMYPTFDVGDRVVAEKVTYYFRKPCANDIVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDIVEVREGKLIVNGVVRNENFILEPPSYNMTPITHKDDCGPCGGFLDNLTLKIRIA >Manes.08G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6725388:6728890:-1 gene:Manes.08G058100.v8.1 transcript:Manes.08G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLQPLPTLPSLKIPNFNSMHAINSNPLFPHCYTPFRNSNFMSKSLFNAQKNLCFTILSLHQNPKPLHSTLTHFTNLPRNLNPSLKNPRLQNSAVEFRRVRCYGIKDSGEETRTVVNSGGGGGGESGGGGGDDGDGDVEKSGGILPEWLNFTSDDAKTVFAALAISLAFRTFIAEPRYIPSLSMYPTFDVGDRVVAEKVTYYFRKPCANDIVIFKSPPVLQEVGYTDDDVFIKRVVAKEGDIVEVREGKLIVNGVVRNENFILEPPSYNMTPIRIPENSVFVMGDNRNNSYDSHVWGALPAKNIIGRSIFRYWPPNRIGGTVLETGCAVDKPESISASE >Manes.11G130716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29219807:29220742:1 gene:Manes.11G130716.v8.1 transcript:Manes.11G130716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKERIENLEAAVETLNDTMGKLQTTISNLDKGLSSKLQQIEAAITRFSDIAVSNKEGVSSVGDHSQTRSNNEESREGGMPIFASKLAKIEFPKFSGDDPTEWMTKVDQFFDYQKTDPSEKVYLASYHLQGEANQWWRWLKRTYREEDKEVTWEVFVEELWSRFGPTDCDDFDESLSKIRQVGSLRDYQREFERLGNKVKGWTQKALVGTFMGGLKTEISDGIRMFKPKMLKDAINYARMRDEQLQRQKKPFRTFSSSNPLSPTKDKATPPVKRLSWEEMQKRRSAGLSLTVTQNSFQGTGVQNLSYFY >Manes.03G143700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27143114:27148708:1 gene:Manes.03G143700.v8.1 transcript:Manes.03G143700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FPS3 MSDAKSKFLEVYSLLKSQLLNDPAFEFTDESHQWVDRMLDYNVPTGKLYRGLAVVDCYKSLKEGKELVDDEILLACVLGWCIEWLQACAVVLDDIMDNSQTRRGKPCWFRLPKVGFIAINDGILLFNHVHRILKMYFREKPYYVDLLELFGEVEFQSVSGEMIDLITTHTGAKDLSNYSLSLYHRIVEYKGAYYSIYLPVACALVMAGENVENHVEVKKILVEITIYFQIQDDYQDCFGDPKATGKVGTDIEDCKCTWFVVKALELANEEQKKLLYENYGKADPACVAKVRELYQVLGLQGIYAEYERETYEKLSKTIKAHPNKAVQALWGRFVETRWTVERAGHAAGNS >Manes.16G005300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:615630:620557:-1 gene:Manes.16G005300.v8.1 transcript:Manes.16G005300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVQYRLERMVDELDDLEKRGLFTRREIAEIVKQRRKFEYRLKRPSPLKQDYLAYIDYEIQLDALRRLRKKSVARDLKKKGNKKMKMSVSDFAGVSRIVEIYRLAVLRYKGDIELWFRYLEFCRERRNGRMKKVLAQLIRFHPKVPGVWIYGAAWEFDHNLNVAAARALMQSGLRVCPTSEDLWVEYLRMELTYLNKLKARKVALGEDKGTLVRDPRDADEQQWREENEGVFMSLDEEKGNSNGSNVNIDESERKVDLFREQGLNILWTIYSGAIEALPHSLGLRKRLFEILEATELADSEDMHKEILSDMRKDFSEDPEYWDWLARLEMTNSGNIQQKIEGIMSTQLQKAIQIYEEALLFVPSSLMFNLYVKFFMDVVAPRREEDQLLGLSKHTEDYISHILSIYEKAETLGCITEELACEYVSFYLQVGRLDEGKKLAEKLCRGKLSNSVKLWVLRASLEIRCFTNNTAGPSNADLQSIFELLRGVLKRVSITEAEDLWITVSCLATSRPCFIQKLHQVGREPCIYW >Manes.16G005300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:615630:620557:-1 gene:Manes.16G005300.v8.1 transcript:Manes.16G005300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVQYRLERMVDELDDLEKRGLFTRREIAEIVKQRRKFEYRLKRPSPLKQDYLAYIDYEIQLDALRRLRKKSVARDLKKKGNKKMKMSVSDFAGVSRIVEIYRLAVLRYKGDIELWFRYLEFCRERRNGRMKKVLAQLIRFHPKVPGVWIYGAAWEFDHNLNVAAARALMQSGLRVCPTSEDLWVEYLRMELTYLNKLKARKVALGEDKGTLVRDPRDADEQQWREENEGVFMSLDEEKGNSNGSNVNIDESERKVDLFREQGLNILWTIYSGAIEALPHSLGLRKRLFEILEATELADSEDMHKEILSDMRKDFSEDPEYWDWLARLEMTNSGNIQQKIEGIMSTQLQKAIQIYEEALLFVPSSLMFNLYVKFFMDVVAPRREEDQLLGLSKHTEDYISHILSIYEKAETLGCITEELACEYVSFYLQVGRLDEGKKLAEKLCRGKLSNSVKLWVLRASLEIRCFTNNTAGPSNADLQSIFELLRGVLKRVSITEAEDLWITAFKVFAVEKHYFDKLVEMSFTSVVKYGGSDDGFSLPCAVVNCILQKDGIQQARETYKRFLALPRPGLALYKNCIKLEENLASIGDKVCLENARKIYESALATHDQNVTLWRDYYAMEVKLGTSETANAVYWRAQKTLENFAAFAASPILS >Manes.16G005300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:617484:620557:-1 gene:Manes.16G005300.v8.1 transcript:Manes.16G005300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVQYRLERMVDELDDLEKRGLFTRREIAEIVKQRRKFEYRLKRPSPLKQDYLAYIDYEIQLDALRRLRKKSVARDLKKKGNKKMKMSVSDFAGVSRIVEIYRLAVLRYKGDIELWFRYLEFCRERRNGRMKKVLAQLIRFHPKVPGVWIYGAAWEFDHNLNVAAARALMQSGLRVCPTSEDLWVEYLRMELTYLNKLKARKVALGEDKGTLVRDPRDADEQQWREENEGVFMSLDEEKGNSNGSNVNIDESERKVDLFREQGLNILWTIYSGAIEALPHSLGLRKRLFEILEATELADSEDMHKEILSDMRKDFSEDPEYWDWLARLEMTNSGNIQQKIEGIMSTQLQKAIQIYEEALLFVPSSLMFNLYVKFFMDVVAPRREEDQLLGLSKHTEDYISHILSIYEKAETLGCITEELACEYVSFYLQVGRLDEGKKLAEKLCRGKLSNSVKLWVLRASLEIRCFTNNTAGPSNADLQSIFELLRGVLKRVSITEAEDLWITAFKVFAVEKHYFDKLVEMSFTSVVKYGGSDDGFSLPCAVVNCILQKDGIQQARETYKRYVSKLLKKILCSSLLKVLVNCIMICDTL >Manes.01G042300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8358657:8359115:-1 gene:Manes.01G042300.v8.1 transcript:Manes.01G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLASCRPSRVPAPAGVDEATQGKVFVVKTDGKIIEFSSPVLVKDVLVNFSGLGIGLSKEATEHLPLDHELKLGQVYYILPSLSSAGSISAAETAGGVKRIKVVITKQQLEQLLRNQVSVEELVLSRLERTSFSLDSPRNWKPKLETIPE >Manes.16G009500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1005087:1011396:1 gene:Manes.16G009500.v8.1 transcript:Manes.16G009500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRDDVQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVTFESRERQVEEIMEALKDNKTNFIGIYGMGGVGKTTLVKEVVKRAQQDRLFPTIAMVVVSQTIDVKKIQDQIAESLGLKLDEVNEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHAGCKIIVTTRRKQVCDTMVDTGSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDSPNLKILLMDIEKSRSRLLSFGEKAMDMPATVLTGMKALQVFHRRDSSRQNPIAISFRFLQLEFSQLTNLRTLMLECYKIVDTTPIGELKMLEILSLKDCELRKPFNTIGKLTNLRLLDVEFSSLNGVSSSIFPINAMSTLSRLEELYFLSFDILRPTPFPFFLYIRRTKYPFFLSPFLHNFRFFDDLNITVLKTLSRLTTLTIHIQTIPEGFMFPDLKVFKIHWGSRICITGKEKLLNAFLSQVEGFNYLGLCGSNITISSLVCMKPLMPRTNFLYLDSLEELKNIYPCLLSSGLDALKILLIVNCSSFAYLINAEEFLGRYALLPELEGLCFEDLDTFKALCNGELPPGTSLSMRKLKYLTFFRCPELLNIFTLPNPQQEFEQLQVLEEKGMKNISKGPTELLHLPKLQIICINGCQKLKVIFPASIAQGLEQLKELVLEDCDQLEAIVAEREEEERRIDEVVFSQLIRIRLYKLYNLKAFCMDNLPLKWPSLEELSVDSCPKMKTFAASDGNQITPKLKEIKININYIKLDGTNLNTIMKYHNEEEIQVINN >Manes.16G009500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1005087:1011396:1 gene:Manes.16G009500.v8.1 transcript:Manes.16G009500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRDDVQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVTFESRERQVEEIMEALKDNKTNFIGIYGMGGVGKTTLVKEVVKRAQQDRLFPTIAMVVVSQTIDVKKIQDQIAESLGLKLDEVNEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHAGCKIIVTTRRKQVCDTMVDTGSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDSPNLKILLMDIEKSRSRLLSFGEKAMDMPATVLTGMKALQVFHRRDSSRQNPIAISFRFLQLEFSQLTNLRTLMLECYKIVDTTPIGELKMLEILSLKDCELRKPFNTIGKLTNLRLLDVEFSSLNGVSSSIFPINAMSTLSRLEELYFLSFDILRPTPFPFFLYIRRTKYPFFLSPFLHNFRFFDDLNITVLKTLSRLTTLTIHIQTIPEGFMFPDLKVFKIHWGSRICITGKEKLLNAFLSQVEGFNYLGLCGSNITISSLVCMKPLMPRTNFLYLDSLEELKNIYPCLLSSGLDALKILLIVNCSSFAYLINAEEFLGRYALLPELEGLCFEDLDTFKALCNGELPPGTSLSMRKLKYLTFFRCPELLNIFTLPNPQQEFEQLQVLEEKGMKNISKGPTELLHLPKLQIICINGCQKLKVIFPASIAQGLEQLKELVLEDCDQLEAIVAEREEEERRIDEVVFSQLIRIRLYKLYNLKAFCMDNLPLKWPSLEELSVDSCPKMKTFAASDGNQITPKLKEIKININYIKLDGTNLNTIMKYHNEEEIQVINN >Manes.02G073700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5638177:5639128:-1 gene:Manes.02G073700.v8.1 transcript:Manes.02G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKFPCYYFATALPLRPNTSLRRSFFHCKRLLGMRIEAARRESNERDYWGRLVDEDMITLRMRIREAKMMQKTGGGDDQRLPSDWKEWEQKHLLYYNKTVYEVVGLLQNHFLNTRPTLALGMVAIVALSVPISISILFFSALEIAKGISPPGFHF >Manes.17G051100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24820298:24820666:1 gene:Manes.17G051100.v8.1 transcript:Manes.17G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQNPNIPQNKPPPPKIPSEITNGSPNTKANAKVSGQKVHYPNPPDAANPDPATLREQWRFAIRQYSKWYSHAWGTAILAGLSFFALGWIIKGSNPLPSFKRDDSSSAPSSPNDASEARR >Manes.10G020130.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2010327:2011001:1 gene:Manes.10G020130.v8.1 transcript:Manes.10G020130.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFLAIKFSTPLNSSIKMDQIKATHLSKMEKITPSSPPPPPHVLIFPFPAQGHVNAMLKLAELLGLAGLNVTFLNSEYNHERLVLFTDIQARFAEYPGFRFRTIWDGLPGDHPRSGDRFMEMFESVKMIAKPIFREMLITIRPPLNCIIGDGIMGSVVDVVANELQIPIIHFRTIGASCFWAYFSLLHVIDDKELPIIGTCLLLLLLLVIPTFSRNRELSDV >Manes.14G012203.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:814263:815952:1 gene:Manes.14G012203.v8.1 transcript:Manes.14G012203.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSFSDSSSLFNFVVVEGNGVKGLVDTGLSEVPQQYVQPPQERIDKLNAILNQNPPIDLSKLDGPDHDKVVEEIAGAAQNLGFFQVVNHGVPVELLESLKSAAHKFFSQPPEKKAIYCKGVSPSSLATYGTSFAPEKEKALEWKDYISMIYTDDAEALEFWPKQCKEVALEYVKTSTNMVRKLIEVLMGKLGVTLDDEKMDALTGYKMINMNFYPTCPNPELTVGVGRHSDLTAVTVLLQDGIGGLYVKVQGNAEAEKKSEWMEIPPIPGALVINIGDTMQILSNGKYKSAEHRVGTTSTQSRVSIPIFVIPKPTEKIKPFPQVVERDGVALYKEVVFEDYMKNFFGNAHEGKKSLDFARII >Manes.02G126500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9593231:9594664:1 gene:Manes.02G126500.v8.1 transcript:Manes.02G126500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNQTAILSLRSFCLMILLLSAFSFISILYWFQCSAPCYSISQMVLQKQNQPINLLYFPSAWNHLSFPSKPPPKLLKIALFVKKWPDRSHAGGLERHALTLHLALAKRGHELHVFSTSPLNSTFPRYPMSNLHFHLSKPTAAGYLDQALVWKLFQTQNSTGKPFDIVHTESVALWHARARNLTNLAVTWHGIAYETIHTDIIQELLRNSEEPQAYALTERVTKVVEEVRFFPDYAHHVATSDHAGDILKRIYMIPEERVHVILNGIDEEIFKPDAAKGKEFKQKFGVTKRRLLVLGMAGRLVKDKGHPLMFEALKQMLKENDTFRENTVVLVAGDGPWGPRYRDLGANALVLGPLEQAQLSRFYNAIDIFVNPTIRAQGLDHTLLEAMLSGKPVMATRVASITGSVIVGKEIGYIFSPTVLSLKNALYRVWEDGRGVLEKKGQAARQLGLQLFTAAKMAAAYERLFLCISNDENRM >Manes.07G133100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29310750:29316999:1 gene:Manes.07G133100.v8.1 transcript:Manes.07G133100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELTSPTPAYTHTASHLRSFSQLSLSSTHLSLPFRRSFRPRNTVITCSVNQVQAPVSVQTRQPKEKSDCFGVFCLTYDLKSEQETKSWKKLIKIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGVNPYEVFEDVEWALLVGAKPRGPGMERSNLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNSLICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDHKWLEEEFTEKIQKRGGVLIQKWGRSSAASTAVSVVDAIKSLVTPTPEGDWFSSGVYTTGNPYGIAEDLVFSMPCRSKGDGDYELVKDVVFDDYLLKKIRKTEAELLAEKRCVAHLIGEGAGFCDLPGDTMLPGEM >Manes.07G133100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29310750:29316999:1 gene:Manes.07G133100.v8.1 transcript:Manes.07G133100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELTSPTPAYTHTASHLRSFSQLSLSSTHLSLPFRRSFRPRNTVITCSVNQVQAPVSVQTRQPKEKSDCFGVFCLTYDLKSEQETKSWKKLIKIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGVNPYEVFEDVEWALLVGAKPRGPGMERSNLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNSLICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDHKWLEEEFTEKIQKRGGVLIQKWGRSSAASTAVSVVDAIKSLVTPTPEGDWFSSGVYTTGNPYGIAEDLVFSMPCRSKGDGDYELVKDVVFDDYLLKKIRKTEAELLAEKRCVAHLIGEGAGFCDLPGDTMLPGEM >Manes.07G133100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29310750:29316806:1 gene:Manes.07G133100.v8.1 transcript:Manes.07G133100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELTSPTPAYTHTASHLRSFSQLSLSSTHLSLPFRRSFRPRNTVITCSVNQVQAPVSVQTRQPKEKSDCFGVFCLTYDLKSEQETKSWKKLIKIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGVNPYEVFEDVEWALLVGAKPRGPGMERSNLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNSLICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDHKWLEEEFTEKIQKRGGVLIQKWGRSSAASTAVSVVDAIKSLVTPTPEGDWFSSGVYTTGNPYGIAEDLVFSMPCRSKGDGDYELVKDVVFDDYLLKKIRKTEAELLAEKRCVAHLIGEGAGFCDLPGDTMLPGEM >Manes.07G133100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29310750:29316999:1 gene:Manes.07G133100.v8.1 transcript:Manes.07G133100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELTSPTPAYTHTASHLRSFSQLSLSSTHLSLPFRRSFRPRNTVITCSVNQVQAPVSVQTRQPKEKSDCFGVFCLTYDLKSEQETKSWKKLIKIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGVNPYEVFEDVEWALLVGAKPRGPGMERSNLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNSLICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDHKWLEEEFTEKIQKRGGVLIQKWGRSSAASTAVSVVDAIKSLVTPTPEGDWFSSGVYTTGNPYGIAEDLVFSMPCRSKGDGDYELVKDVVFDDYLLKKIRKTEAELLAEKRCVAHLIGEGAGFCDLPGDTMLPGEM >Manes.07G133100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29310750:29316864:1 gene:Manes.07G133100.v8.1 transcript:Manes.07G133100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELTSPTPAYTHTASHLRSFSQLSLSSTHLSLPFRRSFRPRNTVITCSVNQVQAPVSVQTRQPKEKSDCFGVFCLTYDLKSEQETKSWKKLIKIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGVNPYEVFEDVEWALLVGAKPRGPGMERSNLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNSLICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDHKWLEEEFTEKIQKRGGVLIQKWGRSSAASTAVSVVDAIKSLVTPTPEGDWFSSGVYTTGNPYGIAEDLVFSMPCRSKGDGDYELVKDVVFDDYLLKKIRKTEAELLAEKRCVAHLIGEGAGFCDLPGDTMLPGEM >Manes.07G133100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29310750:29316864:1 gene:Manes.07G133100.v8.1 transcript:Manes.07G133100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAELTSPTPAYTHTASHLRSFSQLSLSSTHLSLPFRRSFRPRNTVITCSVNQVQAPVSVQTRQPKEKSDCFGVFCLTYDLKSEQETKSWKKLIKIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGVNPYEVFEDVEWALLVGAKPRGPGMERSNLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNSLICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDHKWLEEEFTEKIQKRGGVLIQKWGRSSAASTAVSVVDAIKSLVTPTPEGDWFSSGVYTTGNPYGIAEDLVFSMPCRSKGDGDYELVKDVVFDDYLLKKIRKTEAELLAEKRCVAHLIGEGAGFCDLPGDTMLPGEM >Manes.06G078132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21443141:21444602:1 gene:Manes.06G078132.v8.1 transcript:Manes.06G078132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILEHSIRPQTIIGYFWRKGLVTIIQFFLVLSKECVEPATSE >Manes.16G089500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29608934:29616000:-1 gene:Manes.16G089500.v8.1 transcript:Manes.16G089500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGTLYNEGSSTPLEVTTWTGSISTCASEPHKKNLFLQSLYEEGLEDLKLASSNERDTERGIQDKDIVESLESSVQFWTDFSKVHYHPQSLYELCGLWMDTEEFDNYGAGREIYSGGLRGEEISERLRFFVEECDRIQGFQFIVDDSGGFSALASDLLESIADEYTNTPILLYCVRAPSSHMNPISRKQAIARNIHDAISFSKLSSFCELIVPIGLPSLSRSKASTYLCVEDKKPYHSSAVYAAALHSISLPFRMEPLGPTADSCYVSGAVDVYELVQMLTGHDRRNMVTILDAAMPVPPLSGKQFEQSLLRNLQPLTPETADREDLQAVESMIVHGALGSEGSQPSVSEVTDTVNGAYEHSSTRPKFCHLSVALCPLPIPLPFPSIFGNLVGQRGELLSSPIPGSSSRGSLDVHSIPMAARLRSSNAILPFLENRLLNLRRYGIDRGAPATELLRSWGFARDELEDMEETLCKMVATLDDRYQLSSDSD >Manes.16G089500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29608934:29615999:-1 gene:Manes.16G089500.v8.1 transcript:Manes.16G089500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIVTVQVGGFANFIGSHFWNFQDELLGLGADHGSDTVFRNHHQFLNMDVLYRNGETQQGVLTYTPRLVSINSQGSLGSMSSHGTLYNEGSSTPLEVTTWTGSISTCASEPHKKNLFLQSLYEEGLEDLKLASSNERDTERGIQDKDIVESLESSVQFWTDFSKVHYHPQSLYELCGLWMDTEEFDNYGAGREIYSGGLRGEEISERLRFFVEECDRIQGFQFIVDDSGGFSALASDLLESIADEYTNTPILLYCVRAPSSHMNPISRKQAIARNIHDAISFSKLSSFCELIVPIGLPSLSRSKASTYLCVEDKKPYHSSAVYAAALHSISLPFRMEPLGPTADSCYVSGAVDVYELVQMLTGHDRRNMVTILDAAMPVPPLSGKQFEQSLLRNLQPLTPETADREDLQAVESMIVHGALGSEGSQPSVSEVTDTVNGAYEHSSTRPKFCHLSVALCPLPIPLPFPSIFGNLVGQRGELLSSPIPGSSSRGSLDVHSIPMAARLRSSNAILPFLENRLLNLRRYGIDRGAPATELLRSWGFARDELEDMEETLCKMVATLDDRYQLSSDSD >Manes.16G066600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26697333:26698935:1 gene:Manes.16G066600.v8.1 transcript:Manes.16G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLQHIFVFLTIMAVTSHAALSPEQYWKTVLPSTPMPGIVKHLLHPDFMDEKSTSVIVGGKRVGVDAEKPEHKGNPIYVAKFPFFFPYNNVAAAPENQLPDPNATVFFLKKDMYPGKTMNLHFPEILNVVTFLPRRIANSIPFSSNKLPKIYNQFSIKQGSMEAEIMKKTIRDCEDPGIKGEVKYCATSVESMIDFSTNILGKNVQTISTDVNQIQLQKYTITTGAKKIGSDKMVTCHMMSYTYAVFYCHTTQNTTVYRVSLEGADGTKTQVAAVCHSDTSAWDPKHLAFQLLKVKPGTVSICHFLPQDHLAWVPK >Manes.16G066600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26697333:26698935:1 gene:Manes.16G066600.v8.1 transcript:Manes.16G066600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLQHIFVFLTIMAVTSHAALSPEQYWKTVLPSTPMPGIVKHLLHPDFMDEKSTSVIVGGKGVGVDAEKTEHKGNPIYVAKFPFFFPYNNVAAAPENQLPDPNATVFFLKKDMYPGKTMNLHFPEILNVVTFLPRRIANSIPFSSNKLPKIYNQFSIKQGSMEAEIMKKTIRDCEDPGIKGEVKYCATSVESMIDFSTNILGKNVQTISTDVNQIQLQKYTITTGAKKIGSDKMVTCHMMSYTYAVFYCHTTQNTTVYRVSLEGADGTKTQVAAVCHSDTSAWDPKHLAFQLLKVKPGTVSICHFLPQDHLAWVPK >Manes.S076800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251175.1:24462:27617:1 gene:Manes.S076800.v8.1 transcript:Manes.S076800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDNRQFHLEPSLNGVVRFKSGATHRSDSPVRTFNFTPDFTRSNQKPDPYTMEEDYSSDDEADYKDLIRKGNSELEPSILDPRDEGTADNWVERNPSMIRLTGKHPFNCEAPLPMLMHHGFITPVPLHYVRSHGAVPKTSWSDWTVEICGLVKRPIRFTMNQLVNEFPSREFPVTLVCAGNRRKEQNMVKQTIGFNWGAGGVSTSVWRGVPLHLILKRCGIYSRKKGALNVCFEGAEDLPGGGGSKYGTSIRKEYAMDPARDIILAYMQNGELLAPDHGYPVRMIIPGFIGGRMVKWLKRIIVTTKESDSYYHYKDNRVLPSHVDAELANAEGWWYKPEYIINELNINSVITTPTHEEILPINSWTTQMPYRLKGYAYSGGGKKVTRVEVTMDGGETWQVCTLDHLEKPNKYGKYWCWCFWSLEVEVLDLLGAKEIAVRAWDQALSTQPEKLIWNVMVCHISFS >Manes.11G106000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24418001:24420066:1 gene:Manes.11G106000.v8.1 transcript:Manes.11G106000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYSPLYLYTHPPKGSFSGDCFWRLRQIMELERKMVKSRFKRVCVFCGSSTGKRDCYRDAAIELAQELVERRLDLVYGGGSIGLMGLVSQAVHQGGGNVLGIIPRTLMSKEITGETVGEVKPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRNIFVYAPNAKELVQKLEEYVPVSDGVIAKARWEVELQQPPQQPPQVGFSPTTLQTEVAL >Manes.06G006800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1148013:1152045:-1 gene:Manes.06G006800.v8.1 transcript:Manes.06G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITITDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISSNDPYAMNFRFIAADTLQKVIVLVVLAFWTKLSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFLFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLSNAEIYSLQSSRNPTPRGSSFNHTDFYSMMATGRNSNFGASDVYGLSASRGPTPRSSNYEEDGGGLGNKPRFHYHASGGAAHYPAPNPGMFSPTGSKGGVAANNAAAAATKKPNGQAQQKAEDGGGKDLHMFVWSSSASPVSDVFGSHDYGGHDQKEARLAVSPGKVEGNRENHHQEDYMEREDFSFGNIGVEREMNNNHEKVMENVGKPKPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWHIEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSIAAFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >Manes.14G138428.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12145798:12146872:1 gene:Manes.14G138428.v8.1 transcript:Manes.14G138428.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKPSSTPPSSSPSSLKQKLKLRYPFFLSSSFRRTNPTDSSSPSVPDASQSSKLLRTTSAGIKSRAHDKCKNFISRIGRNGQAQPQEAQGHGYGRGHRRRHSVSADFRYDAMSYALNFDEGNDESPENDFRLRSFSSRLPQSPKREIECS >Manes.01G216900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38586865:38592189:1 gene:Manes.01G216900.v8.1 transcript:Manes.01G216900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVALNSHLLCFSKSRTPSSWLLHKTSLLSTRKKPHLSLTFCSSSSSSTSQSPEANTQTAESCVNLGLSLFSKGRVKDALIQFETALSLDPTPIEAQAALYNKACCHAYRGEGKKAADCLRTALREYNLKFGTILNDPDLASFRVLPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGFRRFFYVAFSAAAGISLFFTIPRLFLAIKGGEGAPDLWGTAGNAAINIGGIIVLVALFLWDNKKEEEQIAQITRDETLSRLPLCLSTNRVVELVQLRDTVRPVILAGKKETVSLAMQKAERFRTELLRRGVLLIPVVWGEVREPEVQKKGFGVPRKAAASLPSVGILRSELSL >Manes.01G216900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38586865:38592189:1 gene:Manes.01G216900.v8.1 transcript:Manes.01G216900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVALNSHLLCFSKSRTPSSWLLHKTSLLSTRKKPHLSLTFCSSSSSSTSQSPEANTQTAESCVNLGLSLFSKGRVKDALIQFETALSLDPTPIEAQAALYNKACCHAYRGEGKKAADCLRTALREYNLKFGTILNDPDLASFRVLPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGFRRFFYVAFSAAAGISLFFTIPRLFLAIKGGEGAPDLWGTAGNAAINIGGIIVLVALFLWDNKKEEEQIAQITRDETLSRLPLCLSTNRVVELVQLRDTVRPVILAGKKETVSLAMQKAERFRTELLRRGVLLIPVVWGEVREPEVQKKGFGVPRKAAASLPSVGEDFEKRAQSVVAKSKLKSEIRFKAEAVSPVEWERWIKDQQKSEGVTPGEDVYIILRLDGRVRRSGKVSTYFIN >Manes.01G216900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38586865:38592189:1 gene:Manes.01G216900.v8.1 transcript:Manes.01G216900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVALNSHLLCFSKSRTPSSWLLHKTSLLSTRKKPHLSLTFCSSSSSSTSQSPEANTQTAESCVNLGLSLFSKGRVKDALIQFETALSLDPTPIEAQAALYNKACCHAYRGEGKKAADCLRTALREYNLKFGTILNDPDLASFRVLPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGFRRFFYVAFSAAAGISLFFTIPRLFLAIKGGEGAPDLWGTAGNAAINIGGIIVLVALFLWDNKKEEEQIAQITRDETLSRLPLCLSTNRVVELVQLRDTVRPVILAGKKETVSLAMQKAERFRTELLRRGVLLIPVVWGEVREPEVQKKGFGVPRKAAASLPSVGEDFEKRAQSVVAKSKLKSEIRFKAEAVSPVEWERWIKDQQKSEGVTPGEDVYIILRLDGRVRRSGKGMPDWQQIVTELPPMEALLSKLER >Manes.12G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1082188:1086582:-1 gene:Manes.12G011000.v8.1 transcript:Manes.12G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRTLMRCSVFITEPWRPLHHQNRALYSLPSRTPASGFPSRKSSCGFCRRRIRARDFHLARPGLLNCSASSGDNTSQASEDEQDQGPPQEAVLKAISEVSKTEGRVGQTTNMVIGGTVTDDSANEWLALDQKVNSYPTVRGFTAIGTGGDDFVQAMVVAVESVIQQPIPEGHVKQKVSSRGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >Manes.01G058750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24733513:24735098:1 gene:Manes.01G058750.v8.1 transcript:Manes.01G058750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFLHGPAYLASNLKSFMGMAAGNSRGKFHRKAATMGNLGKGQSKKENNQKESKQCRFEQVLHKHEAAEKLHRSSHIVPSWKLGKWTKSKVKE >Manes.09G093528.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27911317:27919559:1 gene:Manes.09G093528.v8.1 transcript:Manes.09G093528.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRIIFSIVSLLFINFSLVQAQPAIFDITKFGAAPDGKADASQAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTNIRFNFITKGLVRDITSLNSKYFHVNVFGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGINIGSLGKYENEDPASGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMEKFSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPERCK >Manes.11G104560.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23841744:23843214:-1 gene:Manes.11G104560.v8.1 transcript:Manes.11G104560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEVRFRSPSPNGKSRSSLEEKPTDLKTTTNGEKSVKIKRFGEVAGGTAAECAAVCCCCPCTLMNLLVLAIFKMPACICRKAKKRHRLGRKQRSLLVHAGRDDRDGGELEGELQAKEKQKATGVGDDDDDGKTAAVDLEKEMLDRFYATGFWRSPSQRSTSS >Manes.01G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1832659:1846288:-1 gene:Manes.01G002500.v8.1 transcript:Manes.01G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMANTDPEGMDGVRMTWNVWPRTKVEASKCVIPLAASISPIRHHPDIPTLPYSPLRCKTCSAILNSFSRVDFTAKIWICPFCFQRNHFPPHYAMISETNLPAELYPQYTTVQYTLPNPNINPNNPMNAAPAPSASPVFLFVLDTCMIEEEFEFVKSALKRAIGLLPDNALVGFVSFGTQAQVHELGFADMSKVYVFRGSKEISKDQVMEQLGLGASGRRAPAGYQQKGMQNGFPNAGVTRFLLPASECEYTLNSLLDELQTDQWPVAPGNRASRCTGVALSVAAGLLGACFPGTGARIVALVGGPCTEGPGTIVSKDLSDPVRSHKDLEKDAAPYFKKAVKFYDNLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGMLEINCSKDIKIQGIIGPCTSMEKKGPNVADTVVGEGNTTAWKMCGLDKSTCLTVVFDLTSSERSNAPGTINPQLYIQFITSFQNPEGQSLLRVTTVTRRWIDSAVSSEELVQGFDQETAAVVMARITSLKMEIEEGFDATRWLDRNLIRLCSKFGDYRKDDPSSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNMGYQSQPEHQAFAHLLQAPQDDAQMIMRDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMAAGSDVIFTDDVSLQVFFEHLQRLAVQS >Manes.05G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1815195:1831370:-1 gene:Manes.05G020100.v8.1 transcript:Manes.05G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSIQARLYSCYRCRNLVSCHDDIISKSFQASNGRAFLFSHAMNIIVGSKEDRQLITGLHTVADVYCSDCGELLGWKYERAYEESQKYKEGKFVFEKFKIVKENCFAGSE >Manes.01G139100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33094444:33096132:1 gene:Manes.01G139100.v8.1 transcript:Manes.01G139100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVESARMIPSVSSLLSLYSSFSTSLMLLRNVYHEIVPEKLESFLASKLRNFFSRRKLQSSYDTFIVDDSWEGLSYNELIEIARFYLSTKIGPKNKIIKIGKFTGRKGVTAGLMKGETIIDVFEGIEITWYFDCRKDEDGVGEYIELTFEHKYREKVLNEYLHHVIRTHKAMTEKEQTLRIYNKKCECEWKWIDFKHAATFDTLAMDYELKKSIMDDLDSFLARKDYYKRTGRAWKRGYLLYGPPGTGKTSLIAAMANYLNYNVYDLELANIGSDTDLRRTMLNVDRKSIIVIEDVDCNSRVHRRSKSDRYRSRSKNVRFSLSSLLNCIDGLWSSCAQERIVVFTTNHKEVLDPALLRPGRMDMHIHMSYCTTEGFRVLVSNYLGIKSHLLFEEIDGLIRSVEATPASLADELMKSDDADVAIGEVLKFLKQKRLEKDEKMKTEESFPE >Manes.13G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28196914:28200111:1 gene:Manes.13G095900.v8.1 transcript:Manes.13G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGYGDPSQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRSHADKNIVIILIGNKCDLENQRVVPTEDAKEFAEKEGLFFLETSALESTNVESAFLTVLTEIFNIVNKKTLTGGENQTNGNPASLAGKKIIIPGPGQEIPAKNKCCSSL >Manes.15G150600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12489606:12489929:1 gene:Manes.15G150600.v8.1 transcript:Manes.15G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKKTQVDSGLDSEGKKWVIAGIAIRTSLKPISTKPRGKDSDDGDEEECSTTPTAKEARIPERLPCPPAPRKRRPSSRCNYNGVREFFTPPDLESVFKCYVEKAN >Manes.16G021501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2404819:2405108:-1 gene:Manes.16G021501.v8.1 transcript:Manes.16G021501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRVSVLEKMNSLFTDMKGELKVLGLQEELDFIIIETIMGEIGDFGYAFM >Manes.01G171700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35338610:35342197:-1 gene:Manes.01G171700.v8.1 transcript:Manes.01G171700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIQEFPNSMNRFKFDHYPVSFSPNRNLLNGYELNNNLSNPYSPIPSFDSQPAPNDLTSSSYSSLSSEGHDPNNNVVLKYISDMLMEEDLEGKTCMLQDCLALQAAEKSLYDVLGQEYPHSFDHCSHNAESPNDPSTWSSSVDSSNSYPAAVNSSVENPKWSFDHVGLDSYGIQSSPMDSPESNTLLGPDFHFEINPLTVVRQGIGDGDYLLASKSNPATERESSPSSARGRKNHQREGGDYLEEERSSKHSAISLAESEQSEMFDKVLLCPSENNKSEACAQHEKPQNGASRNGLVKGSNGRTTRGRRQGNKGEVVDLPTLLVQCAQAVAISDQRTATELLRQIGQHSSAYGDGNQRLAYYFSKALETRLAGTRTPKYTPHVGNNPPVSDILKAYQWPCLIQRLSQRPGGPPKLRITGIELPQPGFRPAERVEETGRRLQRYCERFNVPFEYNVIAQKWETIKYADLNISRDEMTVVNCLYRLRNLPDDTVVANSARDAVLKLIRKIKPDLFIHGVVNGTYNAPFFVTRFREALFHFSSMFDMFDVNVPCEDEQRLLYEKEIFGRDIMNAIACEGTERVERPETYKQWQIRNMRAGFRQLLLDQEILKKVRSTVRSEYHKDFVVDEDGRWMLQGWKGRVIYALSVWKPVQE >Manes.15G164200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13750109:13753925:1 gene:Manes.15G164200.v8.1 transcript:Manes.15G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGINVEEAFQCIAKNALKSGEEEEIYLPDTIDVGTSSQPRSTGCEC >Manes.18G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14245932:14247168:1 gene:Manes.18G125100.v8.1 transcript:Manes.18G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVRMKAVVYALSPFQQKVMTGLWKDLPSKIHHKVSENWISATLLLTPLVGTYTYVQNFKEKEKLEHRY >Manes.05G162500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27522976:27527638:1 gene:Manes.05G162500.v8.1 transcript:Manes.05G162500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPCRNSSDVDFNDVFGGPPRRLSIQEVRRSCGESGDSYALRSDEETSLSRSGWSGLSEKPVFGEGGVSRRRYPNDDFFDDIFTAYSPTKSSRDPFSSAPGSRVLSPVHPLPLTAEHSASSSQATQFSLPAKGTDLPTFGSCSRNYHRNKDATSTGISFRSYSTLSRLSSKANQAQGESGNDVYFQSSLLQEQSLSKEESSNIVKPNEMAKGNDFKMDSKSSEGPNISNQFHFSIYKWASKGIPLPLPLAGGNSSKWKEKFKFERSSSASGRIACEGMATESPTVIAEDTGCPSFNISISSDAKSSEVELDQNENGSLFNRSTNRKLGGLNSDKAIPTESEIPCTHQEDGPDNIIFQNSYKETKPHFVLGTGFSGKVEKKNSVATQEALRSPLIGTDEITTQNELKEDEVKSIKRLVALFDFSEKIEKKDGNVLHSEKMDKTNLRGSPTNSLAKSRGKGKVREFVKIFNQAASNNSKFCVDSQSQSSRWNDRGKFKTEDDVDFTSTRLKEKMHLPNVNKNNTLDPCIVVDEFLMRLEKQHSETRNSNHKSTAISPGLKDRSASTAGPIPDGSEAIAGDPDDSFQGNILIKELSQGEDELPQAGDIQFINDKIWKWSNGREGNIRSLLSTLQYILWPESGWKPVPLVDIIEGNAVKRSYQKALLFLHPDKLQQKGATSHQKYIAEKVFDILQEAWTHFNSLGSV >Manes.10G000800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:590686:593714:1 gene:Manes.10G000800.v8.1 transcript:Manes.10G000800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDISAGIFPVVPYTEVEHSQVFPLASSNLLKVRKGDITKWSVDGHCDAIVNSTNVRMLAEGGADAAIHRAAGLQLGGALYDIPEMQPGVRCPTGQARITPGFKLPASHVIHTVGPIYYFDKNPVVSLRNAYRNSLMAAKANRIEYIAFPAVCCGTYGYPSREAATVAISTVKEFAHDFKEVSI >Manes.10G000800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:590686:593714:1 gene:Manes.10G000800.v8.1 transcript:Manes.10G000800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDISAGIFPVVPYTEVEHSQVFPLASSNLLKVRKGDITKWSVDGHCDAIVNSTNVRMLAEGGADAAAGLQLGGALYDIPEMQPGVRCPTGQARITPGFKLPASHVIHTVGPIYYFDKNPVVSLRNAYRNSLMAAKANRIEYIAFPAVCCGTYGYPSREAATVAISTVKEFAHDFKEVHFVLLMDEVFDNWLDKTRELLPPMLG >Manes.10G000800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:590686:593714:1 gene:Manes.10G000800.v8.1 transcript:Manes.10G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDISAGIFPVVPYTEVEHSQVFPLASSNLLKVRKGDITKWSVDGHCDAIVNSTNVRMLAEGGADAAIHRAAGLQLGGALYDIPEMQPGVRCPTGQARITPGFKLPASHVIHTVGPIYYFDKNPVVSLRNAYRNSLMAAKANRIEYIAFPAVCCGTYGYPSREAATVAISTVKEFAHDFKEVHFVLLMDEVFDNWLDKTRELLPPMLG >Manes.10G000800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:590686:593714:1 gene:Manes.10G000800.v8.1 transcript:Manes.10G000800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDISAGIFPVVPYTEVEHSQVFPLASSNLLKVRKGDITKWSVDGHCDAIVNSTNVRMLAEGGADAAIHRAAGLQLGGALYDIPEMQPGVRCPTGQARITPNSLMAAKANRIEYIAFPAVCCGTYGYPSREAATVAISTVKEFAHDFKEVHFVLLMDEVFDNWLDKTRELLPPMLG >Manes.03G005800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:502201:503770:1 gene:Manes.03G005800.v8.1 transcript:Manes.03G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIRTLSSTALPPSSTLQERSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLAGSLDDSEGGEQRDLESGNEKEGDAAKSGKIFEEKILVIMAGDHKPTFLATPVSSRASSIGDKNAKIQNKEEEKPENGDKTKGEIGNHVQTSLAEASEQGQEQYP >Manes.15G167900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14297066:14300397:1 gene:Manes.15G167900.v8.1 transcript:Manes.15G167900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMMKLLCVFGLLVLAQTRAVMGFSGLQKCGFQAIYNFGDSNSDTGAISASLSEVTSPNGETFFGHPSGRFCDGRLIIDFIAERLKLPYLSAYLDSVGAVFRHGANFATGGSSIRPGGYSPFHLGIQISQFVQFKARTIALYNKLSPSEPETIPPFKSNLPRPADFSTALYTFDIGQNDLAYGFQHSTQEQVRKSIPDILNQFSEAVHLLYEEGARFFWVHNTGPIGCLPYSYLYHQSNPGNLDQYKCVNSQNEIAQEFNRQLKNKVSQLSTQLPHSAFTYVDVYSTKYQLISTAKSQGFIDPMNFCCGSFYGVHINCGKKAIVNGTVYGNPCQHPAKHISWDGIHYSESANLWLANRILNGSHSYPPFSVEDACQNLQNAIG >Manes.18G042825.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3770200:3771194:1 gene:Manes.18G042825.v8.1 transcript:Manes.18G042825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDPRPNKWVKAQSGVANRLNHTTEGVEGRKWSGNVNSKPYFQGRELSSIGSQGSRPQGSSSFSLPRLKVKCFLCLGPYRMVDCPHKGALNSLRTLQKEEKGNEEEEHKEVDNDQSMVGALRFLVAMEKQRANKSSERGLIYVDLTINGKLARALVDTGATDNFIADSLVSHFKLKIKADKGKIKAVNSKAQNTVGVAQSVVCAMGPWRGEVNFTVTPLDDFDVMIRMEFLKTARAVPIPSEDCLLLMGDSP >Manes.01G062400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25954356:25956854:-1 gene:Manes.01G062400.v8.1 transcript:Manes.01G062400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQPKSVHDFAVKDARGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELTQLYQKYKDQGFEILAFPCNQFGSQEPGTNEEIVEFACTRFKAEYPIFDKIDVNGNNAAPLYKFLKSSKGGIFGDSIKWNFSKFLVDKDGNVVDRYAPTTSPLSIEKDVKKLLGIA >Manes.01G062400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25954356:25956854:-1 gene:Manes.01G062400.v8.1 transcript:Manes.01G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQPKSVHDFAVKDARGNDVDLSIYKGKVLLIVNVASQCGLTNSNYTELTQLYQKYKDQGFEILAFPCNQFGSQEPGTNEEIVEFACTRFKAEYPIFDKIDVNGNNAAPLYKFLKSSKGGIFGDSIKWNFSKFLVDKDGNVVDRYAPTTSPLSIEKDVKKLLGIA >Manes.01G028400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5783408:5787039:1 gene:Manes.01G028400.v8.1 transcript:Manes.01G028400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVAIFARRKSLIKTFSSIKSISTFTFLSQEPQLATEPTDSDPTTTAAPTPLPPNPATGSPLYHENWRSPIPNSPLGQSLIPLGFTQQHLASRLHSTYEHLDVNALLNVFADWMTSQRWSDIKQLFEFWIRSLDTNGKPNKPDVNLYNHYLRANLMIGATAGDLLDLVARMEEYALMPNTASFNLVLKAMHQARETEAAEKLLQRMELTGNESRPDDESYSLVIGMMFSTYRIDAALKYVDKSLKSGYMLSMRVFNDCVTSCVNKGRLDTLVSIIEKCKTMDQNKALCPPWNMCNVIAEAAVQADNNKLAYYALEFMAKWIVRGENARPPVILSVDEGLIISTLGTAGKTYSSTLLDASWEILRRSLREKKAPQPESYIAKIYTYASLGNLQKAFSTLREFEISHGSSDKAAEEEWFSPFTSLYPLVMACSKKGFETLDLVYFQLENLSRAESPYKSVAALNCIVLGCASIWDLDRAYQTFEAIGSSFGLTPDVHSYNALMYAFGRLKKTFEAARVFEHMVSLGIKPNSTSYALLVDAHIINRDVKTALSVIDEMVSVGFVPSKETLKKIRRRCVREMDYESDDRLDSVAKKFKIRMGSEIRRDTLFNLDYGTDFAG >Manes.01G028400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5783408:5788147:1 gene:Manes.01G028400.v8.1 transcript:Manes.01G028400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVAIFARRKSLIKTFSSIKSISTFTFLSQEPQLATEPTDSDPTTTAAPTPLPPNPATGSPLYHENWRSPIPNSPLGQSLIPLGFTQQHLASRLHSTYEHLDVNALLNVFADWMTSQRWSDIKQLFEFWIRSLDTNGKPNKPDVNLYNHYLRANLMIGATAGDLLDLVARMEEYALMPNTASFNLVLKAMHQARETEAAEKLLQRMELTGNESRPDDESYSLVIGMMFSTYRIDAALKYVDKSLKSGYMLSMRVFNDCVTSCVNKGRLDTLVSIIEKCKTMDQNKALCPPWNMCNVIAEAAVQADNNKLAYYALEFMAKWIVRGENARPPVILSVDEGLIISTLGTAGKTYSSTLLDASWEILRRSLREKKAPQPESYIAKIYTYASLGNLQKAFSTLREFEISHGSSDKAAEEEWFSPFTSLYPLVMACSKKGFETLDLVYFQLENLSRAESPYKSVAALNCIVLGCASIWDLDRAYQTFEAIGSSFGLTPDVHSYNALMYAFGRLKKTFEAARVFEHMVSLGIKPNSTSYALLVDAHIINRDVKTALSVIDEMVSVGFVPSKETLKKIRRRCVREMDYESDDRLDSVAKKFKIRMGSEIRRDTLFNLDYGTDFAG >Manes.14G135500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9467802:9469303:-1 gene:Manes.14G135500.v8.1 transcript:Manes.14G135500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPCPPLIGSEKLSMEAGPLSPLSSPPRLVLTQDELKKIAAYKAVEFVKSGMVIGLGTGSTAKHAVDRIADLLHQGKLKNIIGIPTSKKTHQQALSLGIPLSDLNSHPNVDLAIDGADEVDSDLNLVKGRGGSLLREKMIESACTKFVVIVDESKLVSHIGANGAMPVEVVPFCWKFSQERLQQLFYYSGCMAKLRSNGGENGEPFVTDNGNYIVDLFFKKDIGDLRAASDAILRLPGVVEHGMFLGMATTVIVAGELGVTVKNK >Manes.14G135500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9467486:9469378:-1 gene:Manes.14G135500.v8.1 transcript:Manes.14G135500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPCPPLIGSEKLSMEAGPLSPLSSPPRLVLTQDELKKIAAYKAVEFVKSGMVIGLGTGSTAKHAVDRIADLLHQGKLKNIIGIPTSKKTHQQALSLGIPLSDLNSHPNVDLAIDGADEVDSDLNLVKGRGGSLLREKMIESACTKFVVIVDESKLVSHIGANGAMPVEVVPFCWKFSQERLQQLFYYSGCMAKLRSNGGENGEPFVTDNGNYIVDLFFKKDIGDLRAASDAILRLPGVVEHGMFLGMATTVIVAGELGVTVKNK >Manes.08G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28982010:28985677:1 gene:Manes.08G083700.v8.1 transcript:Manes.08G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGLLLWSPCHHALSPSSLAFISLPILRTKPSSSITATLDSTYTQKQDLTARERRQLRHERRESKAGYSWREEVEERLIKKPKKKRTSGSEDLNLDNLADLGPQWWAVRVSRVRGDQTAELIARLLARNYPDMEFKVYAPSVKVKRKLKNGTYSVKSKPIFPGCVFLWCVLNKEMHDFIRECDGVGGFVGSKVGNMKRQINRPRPVSVQDMEEIFQQAKEEQEKSDQAFKEEQLGEEVINSGNIVDNGIIKSVKDSIQTRGSAKISDPLTNGSPRKKTSKLPTKGSTVRVISGTFAEYEGSLRKISRKTGKATVGLMLFGKETLVDLGLHEIVAETK >Manes.12G029900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2594715:2596778:-1 gene:Manes.12G029900.v8.1 transcript:Manes.12G029900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEQNGSGRRILTFPAVHPCESIETTTLLASLTSLALQICEYKSKCFSTNARNGRQTIRIVSNLLLFLEEIQHVAGSGLPDSVVLSLSELHLTLQKVRNLLEDCTRGDARLWMLMESDRVANQFRMFVRALALGLDVLPLGLLDVPSEAKELIELMRTQARKSRFEVDPDDERVIKDVFLILNQLENGIVPDEYDIKRVLDSIGVKKWSDCNKEVKLLDAEIGLDYSNEEKRREMPFLSSLMGFMSYSRCVLFDAVDNKVAQQQQHSSRCDSDLLSCLNSDDFRCPISLEIMKEPVTIATGHTYDRSSILKWFRSGNPTCPKTGKRLRTTELIPNLVLKGLIQQYCFRNGIHFAESGHKNRDITRTIHAGSLAYEGTMRLVADFLAGKLTNVDDEERNKAAYEIRLLSKASIFNRYCLTEAGVIPYLLKLLLSKESMSQENAIAGLLNLSKHSKSKAVIVENGGLELIVEVLKKGSQMEAKQHAAATLFYLASIEDYRKLIGENTEALPALLDLIRGGHDRAQRNALVAIYGLLTHPENHRRVLAAGAVPLLLSLLTSSEREERVANALAVLASLAEKADGAKAVLQSGALPQIVGVLDSSTSRAAKEQCVVLLLALCINGGTDVVSLLVKSPSLMGSLYSQLSEGTSRASKKASALIRILHEFYERNSSGSKTPIFSRERFVHVW >Manes.18G073581.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6594693:6598740:1 gene:Manes.18G073581.v8.1 transcript:Manes.18G073581.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGPELRLFDSSEELSSGLADYVHQISESAIKERGSFSLVLCGGDVPKRLGKLTSSAFLKMVEWSKWHVFWAEENVVAKRHPDSLFWQAKEYFLSKVPILPAHIVPVSHDLPGESAANSYEFSIRQHVRKRTVSVSPSSDCPRFDLILLNFPLSHQPVPGEDTQWVSCVSNHGSKERVILTLPVINAAAHVAIVASGPEVAPQFLDMMMGNKSIESSPARIVQPLDGKLVWFVDATAASLFLRGKGCAATSGHTSASSPSDKPKENLENPTPAHGSNQADSSNYDGGWKYNCGCGLAPDGSWSYNWGTGSGPDGSNFGFGSGSGRSPDGGSAGYGFGFGSSGSGGGSSESYGVGTGYGCGSNGGIVSNGKPVRNL >Manes.18G073581.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6594695:6597692:1 gene:Manes.18G073581.v8.1 transcript:Manes.18G073581.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGPELRLFDSSEELSSGLADYVHQISESAIKERGSFSLVLCGGDVPKRLGKLTSSAFLKMVEWSKWHVFWAEENVVAKRHPDSLFWQAKEYFLSKVPILPAHIVPVSHDLPGESAANSYEFSIRQHVRKRTVSVSPSSDCPRFDLILLNFPLSHQPVPGEDTQWVSCVSNHGSKERVILTLPVINAAAHVAIVASGPEVAPQFLDMMMGNKSIESSPARIVQPLDGKLVWFVDATAASLFLRGKGCAATSGS >Manes.12G155500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36390683:36395926:-1 gene:Manes.12G155500.v8.1 transcript:Manes.12G155500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWGKKSSRNKEERLQDNPNGKNDITKKKSTKEKRRSLDEAVSTRNSPRGSKDFAGGSSGFSGFESDSALKRGLPLPTPSGSGSDHGIVGVGLGSGSASGSMSSVSSSGSSGEDHPIANDHNSSLFGGYRGHGDIRFNARSRSPGPGSRSPGPGSRSPGPGSRSPGPASRGASRSASPLHPLLGGMNLESPTGRLEDGKSQCHPLPLPPGSPNSPSSFSPSTRTPVATENATVPMSKWKKGKLLGRGTFGHVYLGFNSESGQMCAIKEVRVVSDDQTSKECLKQLNQEINLLSQLSHPNIVRYHGSELSEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILCGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHITSCSSILSFKGSPYWMAPEVVMNTNGYSLAVDIWSLGCTILEMATSKPPWNQYEGVAAIFKIGNSKDMPDIPDHLSNDAKGFIKLCLQRDPSARPTASQLLDHPFIRDQSTTRIANINITRDAFPYTFDGSRTPQPVLDLHSNRTSLTSSDGDYAMKQVTRGLKNTRDDVRMITSLPVSPCSSPLRQQGPGHKSCFLLPSHPTFALVGQSGYNLSDYSLYSTRPNTTYAHDLGFETSFFKSQTPGTSPRTRPI >Manes.12G155500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36390644:36395926:-1 gene:Manes.12G155500.v8.1 transcript:Manes.12G155500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWGKKSSRNKEERLQDNPNGKNDITKKKSTKEKRRSLDEAVSTRNSPRGSKDFAGGSSGFSGFESDSALKRGLPLPTPSGSGSDHGIVGVGLGSGSASGSMSSVSSSGSSGEDHPIANDHNSSLFGGYRGHGDIRFNARSRSPGPGSRSPGPGSRSPGPGSRSPGPASRGASRSASPLHPLLGGMNLESPTGRLEDGKSQCHPLPLPPGSPNSPSSFSPSTRTPVATENATVPMSKWKKGKLLGRGTFGHVYLGFNSESGQMCAIKEVRVVSDDQTSKECLKQLNQEINLLSQLSHPNIVRYHGSELSEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILCGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHITSCSSILSFKGSPYWMAPEVVMNTNGYSLAVDIWSLGCTILEMATSKPPWNQYEGVAAIFKIGNSKDMPDIPDHLSNDAKGFIKLCLQRDPSARPTASQLLDHPFIRDQSTTRIANINITRDAFPYTFDGSRTPPVLDLHSNRTSLTSSDGDYAMKQVTRGLKNTRDDVRMITSLPVSPCSSPLRQQGPGHKSCFLLPSHPTFALVGQSGYNLSDYSLYSTRPNTTYAHDLGFETSFFKSQTPGTSPRTRPI >Manes.01G008800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2888770:2894003:-1 gene:Manes.01G008800.v8.1 transcript:Manes.01G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIDKINAATGGVGLPDGHSNGGAEPNNNRVVFSFEFFPPKTEDGVDNLFERMDRMVSHNPSFCDITWGAGGSTADLTLDIANKMQNMICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHIRSRYGDHFGITVAGYPEAHPDMIGSDGLATPENYQKDLEYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGIKCPIVPGIMPINNYKGFLRMTGFCKTKIPAEVTAALEPIKDNEEAVRAYGVHLGTEMCKKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKVARSLPWRRPANIFRVKEDVRPIFWANRPKSYISRTLGWEQYPHGRWGDSGNPSYGALTDYQFMRPRARDKKLHDEWATPLNSIGDISEKFKRYCLGKLKSSPWSELDSLQPETKIINEHLGKINLKGFLTINSQPAVNGEKSDSPSVGWGGPGGYVYQKAYLEFFCSPDKLQILVEKCKSFPRLTYVAVNKGGNLISNVGSNDVNAVTWGVFPAKQIIQPTVVDPASFFVWKDEAFEIWSRGWASFYPEGDPSRKLLQEVQSNYFLVSLVDNDYIHGDIFAVFADL >Manes.18G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8196656:8200456:1 gene:Manes.18G089300.v8.1 transcript:Manes.18G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRFFLLFIFQIYLYCFIMGTAESLSASTDRAALLSFKAAVSDPQSFLSGWSHQNISHCTWHGVTCSVKGARVRSLRLSGLGLSGPIPPHLSNLSSLFMLDLANNLLYGHIPPELGRLSHLQYLFLEMNNINGTIPVLISECHNLQTISLYRNQLTGNVPSQLGYLQRLEILDLAINNITGPIPATFGNLTSLKNLSLARNQLLGEIPSELGHLRNIRWIQLSENQLSGEIPYSIWNISSLVYLSLTQNNLTGKLPSNMVSALPNLRELHLAHNRLEGKLPSSLSNASSIQNLELSTNGFHGPIPLFGGMKDLLYLNLGNNFLSSTTELNFQLIESLKNSTQLEELMIFSNRLAGQLPSSVANLSTHLQQFCFADNLLTGSFPQGIDRFQELISLSIEDNSFVGDIPETIGRLKKLQNFAAYRNMFSGEIPDIFGNFTHLSSLAIGGNQFSGRIPTSIRACQRLNNLDLAANMLNGSIPMEIFGLSTLSWLNLRQNALSGPLPAEVGNLKQIQFMDVSDNQLSGNISSTIGSCSSLQHLFMAGNNFSGSIPSTIGKLASLESLDLSSNSLTGTIPEELGQLEYLVQLNLSFNHLEGAIPTSGVFMNLSQLNNLKGNERLCHGNQTTAENLGLHECIAKRRNRNRHILLKVLLPMAIVACLMIVLCFACKVISWKNQKTKGGKGRLSSNIKGLPPKISYSDIRQATENFSPENLIGKGGFGSVYKGIFRNVEDGETILAVKVLDLQQSKAARSFAAECEALKSVRHRNLVKVITSCSSIELNGEEFKALVMEFMSHGNLDKWLYQEDEESGLYLTLLQRLNIAIDVASAIDYLHNDCDPPLVHCDLKPGNVLLNNDMVAHVGDFGLARFLPVNPSGNDSSTIGLKGSIGYIAPEYGLGGKTSTCGDAYSFGILLLEMFIAKKPTDEMFKEGLSLNSFATAVNENHVMEIADPRLFKNNSLRRRDANFSTDVSSGNSITSSTSASTISIHSLEKSQELVAAAIRVGLLCAASSMQDRLSMREALAMLQKIKRSAMPQA >Manes.03G069616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:10390006:10390817:1 gene:Manes.03G069616.v8.1 transcript:Manes.03G069616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMMMRSFLFLLSLILICILTPRIDARLLEKSNPAEEIGKLEKSDDKVKSTTGEMKILPLPPGVPFPQIPPFPFPFPFPPGFIPNIPPLPNLPPLPNLPPFPKIPLPPFPFPPYPFLPPSVP >Manes.03G066694.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8749004:8753020:-1 gene:Manes.03G066694.v8.1 transcript:Manes.03G066694.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLAGSNWPAIGLCFFFFFLIASSAKIPTSKIPSTTKKSSALGVQLQVTDKQAVMFIGIKYKEMNNVLETKNYENNRGYWDVVWSRPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVLLTNPVNPQQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALGKMLKDNWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKA >Manes.18G094300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8733090:8733332:1 gene:Manes.18G094300.v8.1 transcript:Manes.18G094300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHYQPWEVDSSQVEESSPVRNGMERVDARWETQMVMLEARIANVEARVERLEARMLYLQKGMRIVCGLFLVTLAYAICK >Manes.06G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21696154:21701713:1 gene:Manes.06G081600.v8.1 transcript:Manes.06G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSEKFSADFMMGGMAALASKSAAAPIERVKLLLQNQGEMIKRGQLKRPYVGVSDCFRRILREEGVLSFWRGNQANVIRYFPTQAFNFAFKGYFKSLFGHSKEKDGYIKWFAGNLASGSAAGATTSLLLYHLDYARTRLGTDAKECPINGQRQFKGLLDVYSKTLSSDGMAGLYRGFGVSIMGITLYRGMYFGIYDTMKPIILVGSFEGNFLASFLLGWSITTVSGVCAYPFDTLRRRMMLTSGQPVKYHNALHAFREIIRLEGFTALYRGVTANMLVGIAGAGVLAGYDQLHRIAVHHGYIMEAQKNMLK >Manes.14G078300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6549952:6551122:1 gene:Manes.14G078300.v8.1 transcript:Manes.14G078300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTRNYAYQILNKREDPLTQIPYHFSENVFSMANWQNELATQESFLAAYPLIESFVPDPFCASLEIENSTIHEDVNGLGDWNEFSTLFDPQKQLLLSCDSARGSSRTEPFEEEKKAKKCREEKVNNSISKALSRQTISMYFYMPITQAAKELNVGLTLLKKRCRELGIRRWPHRKLMSLQTLIKNVQEMKKVEGEESEKKLREAIEILERERKMVEETPDMQLENKTKRLRQACFKANYKKRRLMGMIDKPSSSSSSTITNKMMNEDEENIEEYEEIISLLADPTSHTNMLF >Manes.02G222200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:38346681:38376241:1 gene:Manes.02G222200.v8.1 transcript:Manes.02G222200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKELCRNFQRGSCQYGERCKFLHVAPQQQQKPNNNAFGLGSQQLQHQQQNRFSNPFGFGVQSKSPNDFANKQQQFKPFENKWTRFSPIPNGGTLPRQPDNQPQAVNHNCTDPDSCKRLIVEDFENEKPLWKLTCYGHSKNGPCDIVGDVSYEELRAAAYDDSKRGMSLQSIVERERNSLNSKLTEFENLLRNPYIAPPKSAPSPSPFPGLTSNAISTTGEKNVPPAVSSFSQLGASLNIGSTTRPSTTLNNAFGQPNLLPNSSQTSNAFGFGARPSASSSNAFGQPDIISHSSQTSRAFGTNSFAPANAVSTEMANSSSALPTIISDAPKSASNAGGPVTNHIQSVNGMQKGIVSRDASIWLKENWIPGEIPEEAPPDQYI >Manes.02G222200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:38349290:38376155:1 gene:Manes.02G222200.v8.1 transcript:Manes.02G222200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKELCRNFQRGSCQYGERCKFLHVAPQQQQKPNNNAFGLGSQQLQHQQQNRFSNPFGFGVQSKSPNDFANKQQQFKPFENKWTRFSPIPNGGTLPRQPDNQPQAVNHNCTDPDSCKRLIVEDFENEKPLWKLTCYGHSKNGPCDIVGDVSYEELRAAAYDDSKRGMSLQSIVERERNSLNSKLTEFENLLRNPYIAPPKSAPSPSPFPGLTSNAISTTGEKNVPPAVSSFSQLGASLNIGSTTRPSTTLNNAFGQPNLLPNSSQTSNAFGFGARPSASSSNAFGQPDIISHSSQTSRAFGTNSFAPANAVSTEMANSSSALPTIISDAPKSASNAGGPVTNHIQSVNGMQKGIVSRDASIWLKENWIPGEIPEEAPPDQYI >Manes.02G222200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:38346681:38376185:1 gene:Manes.02G222200.v8.1 transcript:Manes.02G222200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKELCRNFQRGSCQYGERCKFLHVAPQQQQKPNNNAFGLGSQQLQHQQQNRFSNPFGFGVQSKSPNDFANKQQQFKPFENKWTRFSPIPNGGTLPRQPDNQPQAVNHNCTDPDSCKRLIVEDFENEKPLWKLTCYGHSKNGPCDIVGDVSYEELRAAAYDDSKRGMSLQSIVERERNSLNSKLTEFENLLRNPYIAPPKSAPSPSPFPGLTSNAISTTGEKNVPPAVSSFSQLGASLNIGSTTRPSTTLNNAFGQPNLLPNSSQTSNAFGFGARPSASSSNAFGQPDIISHSSQTSRAFGTNSFAPANAVSTEMANSSSALPTIISDAPKSASNAGGPVTNHIQSVNGMQKGIVSRDASIWLKENWIPGEEHAMLVNTLQVAV >Manes.03G189000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30819299:30828088:1 gene:Manes.03G189000.v8.1 transcript:Manes.03G189000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGQMQRTWVEYTKSLFREGFLDAQFTQLQLLQDESNPDFVVEVVSLFFEDSERLLNDLARALDQQIVDFKRVDAHVHQLKGSSSSIGAQRVKNACIAFRNFCEEQNSEACLKCLHQVQQEYYLVKNKLETLIRLEQQIVAAGGSIPIEELSF >Manes.03G189000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30819293:30825488:1 gene:Manes.03G189000.v8.1 transcript:Manes.03G189000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGQMQRTWVEYTKSLFREGFLDAQFTQLQLLQDESNPDFVVEVVSLFFEDSERLLNDLARALDQQIVDFKRVDAHVHQLKGSSSSIGAQRVKNACIAFRNFCEEQNSEACLKCLHQVQQEYYLVKNKLETLIRLEQQIVAAGGSIPIEELSF >Manes.05G141800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24166653:24168221:1 gene:Manes.05G141800.v8.1 transcript:Manes.05G141800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWSELPPELLLTITQKQTNYVDYISIRAVCKSWRSAIPKRPHDLLRHLPWLLLPYHKNSPNHRGFHNLSDGKTYFLEFPQAHEKRCCGCSHGWLVMVEDTPSIFLLNPLTKARIELPSLSTFPNFPTEVVYQNCRNLNENFNRNVKLRIRESYIRKAIISEDPSMVTNFTVMAIYHTVNDNENLAFCRSGDHSWSTINETSPGPHYKDIMFHAGKFYVVDDKGRVSICNTDEPSSMIHVAESPPVPPKMGYKQWYLGSLDQDLLLLGRFRNYGVPNYEYQTRRFVVYKLDASKLTWLELDGLDDKIFFLGWNCFHSISALDYKNCKGNCIYFTDDNFGVCSDFPWEGHDFGIFDFDDGVIKRLGLPSYPIKLPRFPNIYFFERSLQRDMSFFILPPPFWVTISP >Manes.03G097000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16047203:16049571:-1 gene:Manes.03G097000.v8.1 transcript:Manes.03G097000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Manes.03G097000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16045831:16049571:-1 gene:Manes.03G097000.v8.1 transcript:Manes.03G097000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEIT >Manes.03G097000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16047238:16049571:-1 gene:Manes.03G097000.v8.1 transcript:Manes.03G097000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRRENLGFDCWLASVGKFKLHTFTSFFIEAWSAAQLINEVSFLAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Manes.03G097000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16046675:16049571:-1 gene:Manes.03G097000.v8.1 transcript:Manes.03G097000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRRENLGFDCWLASVGKFKLHTFTSFFIEAWSAAQLINEVSFLAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Manes.03G097000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16045845:16049571:-1 gene:Manes.03G097000.v8.1 transcript:Manes.03G097000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRRENLGFDCWLASVGKFKLHTFTSFFIEAWSAAQLINEVSFLAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Manes.03G097000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16045845:16049571:-1 gene:Manes.03G097000.v8.1 transcript:Manes.03G097000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Manes.03G097000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16046675:16049571:-1 gene:Manes.03G097000.v8.1 transcript:Manes.03G097000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Manes.09G106500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30993156:31013596:1 gene:Manes.09G106500.v8.1 transcript:Manes.09G106500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPELFSVLAHQLQSADVLTSHRIFTILFRTLKELSTKRLTADQRNFAEISSHFFDYCWRLWQSDVQTILHGFSAVVQSYNPNALEQRHDELYLTSERWLLCLKIIRQLIVSGFQSDAKCIQEVRPVKEVSPMFLNAIQSLLPYYSSFQKGHPQFWDFIKRACTKLMKVLVTIQGRHPYSFGDKSVLPPVMDFCLNKIVDPEPDLLLFEPFLIQCMVMVKCVLECKEYKPILTGRVMDENAITLEQMKKNISSVVGGVLTSLLPSERLILVCNILIRRYFVLTASDLEEWYQYPETFHHEQDVVQWTEKLRPCAEALYIVLFENYSQLLGPVVVSILQEAMNGCPSSVTEVTPGLLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKDDIKRPVYCGLIRLLQDRDLSVRLAACRSLCSHIEDANFSEKDFGNLLPVCWDSCFKLIEEVQEFDSKVQVLNLISVLIAHISKVIPFANKLVEFFQKVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYNVLLPILQKGIDINSPDELNLLEDSMLLWEATLSHAPAMVPQLLTYFPCLVEIIERSFDHLQVSVNIIESYIILGGTEFLNMHASSVAKLLDLIVGNVNDKGLLSTLPVIDILIQCFPVEVPPLISSTLQKLIVICLSGGDDLDPAKTAVKASSAAILARILVMNTNYLGQLTAEPSLQLLLQQAGAAVEESILLCLVDIWLDKVDNASSYQRKLFGFALSIILTLRLPQVLDKLDQILSVCTSVILGGNDDLTEEESSGDNMGSSMSHGEGIVPSKEFRKRQIKISDPINQLSLENSVRENLQTCAALHGESFNSAISRMHPSAFAQLKQALKMP >Manes.09G106500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30993156:31013596:1 gene:Manes.09G106500.v8.1 transcript:Manes.09G106500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDLPAIYSLLTNSMSGDESVRKPAEAALSQSESRPGFCSCLMEVITAKDLASQVDVRLLASVYFKNSINRYWRNRRDSSGISSEEKNHLRQRLLSHLREENDKIAVMLAVLISKIARFDYPKEWPELFSVLAHQLQSADVLTSHRIFTILFRTLKELSTKRLTADQRNFAEISSHFFDYCWRLWQSDVQTILHGFSAVVQSYNPNALEQRHDELYLTSERWLLCLKIIRQLIVSGFQSDAKCIQEVRPVKEVSPMFLNAIQSLLPYYSSFQKGHPQFWDFIKRACTKLMKVLVTIQGRHPYSFGDKSVLPPVMDFCLNKIVDPEPDLLLFEPFLIQCMVMVKCVLECKEYKPILTGRVMDENAITLEQMKKNISSVVGGVLTSLLPSERLILVCNILIRRYFVLTASDLEEWYQYPETFHHEQDVVQWTEKLRPCAEALYIVLFENYSQLLGPVVVSILQEAMNGCPSSVTEVTPGLLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPNMRIIHRKVALILGQWVSEIKDDIKRPVYCGLIRLLQDRDLSVRLAACRSLCSHIEDANFSEKDFGNLLPVCWDSCFKLIEEVQEFDSKVQVLNLISVLIAHISKVIPFANKLVEFFQKVWEESSGESLLQIQLLIALRNFVVALGYQSPSCYNVLLPILQKGIDINSPDELNLLEDSMLLWEATLSHAPAMVPQLLTYFPCLVEIIERSFDHLQVSVNIIESYIILGGTEFLNMHASSVAKLLDLIVGNVNDKGLLSTLPVIDILIQCFPVEVPPLISSTLQKLIVICLSGGDDLDPAKTAVKASSAAILARILVMNTNYLGQLTAEPSLQLLLQQAGAAVEESILLCLVDIWLDKVDNASSYQRKLFGFALSIILTLRLPQVLDKLDQILSVCTSVILGGNDDLTEEESSGDNMGSSMSHGEGIVPSKEFRKRQIKISDPINQLSLENSVRENLQTCAALHGESFNSAISRMHPSAFAQLKQALKMP >Manes.17G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29253730:29257871:-1 gene:Manes.17G085300.v8.1 transcript:Manes.17G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGIVELALSSLGKGFDLTSDFRLKYCKGKERLVVLNEAEKRELLIPSFGAVKDVSIDIKCDKGDRVRYQSDILEFQQMSELFNQKSSVPGKIPSGMFNAMFGFEGGSWAADAATTKFLGLDGYFIVLFDVHIDRYPLSLSDDFLNAVPSFWDPCALAGFIEKYGTHIIVGLSIGGQDVVLVRQDRSSNLGPSELKQHLDDLGDQLFTGTCNFSPKSRSKKNKTPRAFNVFDPQPVNFNSFSSMTAKDGITVICAKRGGDLSATTHCEWLLTVPNNPDAIHYNFIPITSLLKGVPGNGFLSHAINLYLRYKPPISDLQYFLDFQSHKIWAPIHNDLPLGPTTNMASQSPALHFNFMGPKLYVNTTQVMVGKMPVTGMRFYLEGMKGNRLAIHLQHLSNTPTMLENKIDETQFWRGSEESNDDGFIEAVSRKMFSHICTAPVKYNPTWTSREEDSAYIVTGAQLHVKKHDSKNVLHLRLLYSKVSDFIVAQSRWVQASSEYSQKSSGLLSALSTSISGNPAKEKPQAVVVDSSVFPSGPPVSVQTQKLLKFVDVSQLCRGPQDCPGHWLVTGAKLDLDRGKICLQVKFSLLNICS >Manes.18G059800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5290644:5293065:1 gene:Manes.18G059800.v8.1 transcript:Manes.18G059800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYGDPHHSSKHQNASPITMFSKLHHPHHLPFSHHFPLSRESEDDDARSTGALPVASLSPNTTTTNATPISATPIKKQKPAEPNSGDGATIEVIRRPRGRPPGSKNKPKPPVIITRDPEPAMSPYILEVPGGSDVVEAISRFCRRKNVGICVLTGSGTVANVTLRQPSTTPGSIITFHGRFDILSISATFLPQAVSYPVPNTFTISLAGPQGQIVGGLVAGSLVAAGAVYVIAATFDNPSYHRLPVEDEGRNSGSGGGGEGHSPSVSGAGGGGESGHTQGGGESCGMVMYSCHLPSDVIWAPTARPPPPPPPF >Manes.16G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1326269:1329218:1 gene:Manes.16G013600.v8.1 transcript:Manes.16G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDTALAKFLLIIPFLILFNPTAAAESLYTYCSNDTSNYTLNTPFEENLKLLLQALPSNTSLSGFYNTSIGETPNDKIYGQALCRGDVNAAVCESCIVKASQEILKNCKSKDAIIWRDLCQVRYSFQSFFSMQVYTGKYPDWDAQEKSISNPDQFNQILKYLLSNLSTEAAFNPSRRMFATGEVKFSAKQTIYGLVQCTRDISTGDCKICLDSASGDLDACCFGKQGGIILSRNCDMRFEMYTFYNASSSNLLPNPTSQGDKRKIWMYLLVACIPTMILAIIIGSCLIFLWRKKGREQDEERAHLALVQELTTTQKGELVSCEELPFMDLDTIITATDNFSDSNKLGQGGFGSVYKGILPDGKEIAAKRLSRKTWQGLEEFKNEIILISKLQHKNLVRLLGCGIKGDEKLLIYEFMPNRSLDMFIFNSEGQAQLDWKTRYNIICGIGRGLVYLHEDSRLKIIHRDLKPSNVLLDHEMVVKISDFGMARILSETQNAADTKRVIGTYGYMAPEYAMAGQFSVKSDVFSFGVIVLEIISGKRSSGFYFTEHAETLLAYAWHLWNEGKELEFVDPLLMETCSTEQVVKCIHVGLLCVQEDPSDRPTMSSVVVLLGDETIALPQPKQPAFSVGRIVPIDKSSTADPSLNLITISVLHPR >Manes.17G015159.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7934789:7936250:1 gene:Manes.17G015159.v8.1 transcript:Manes.17G015159.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSRAQPKTFDVTKYGTNEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWKRRSCGGGCKKALSVNLRFDFITNSIVEDVTSIDSKQFHINLLGSKNLTFQRFSVKAPGHSPNMDGIHIGQSEEINIINSNIITGDDCISIGRGSRQVRITNVRCRHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGMRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRMPTAVQLTCSNSVPCKNVELSNVNLQYTESKVPAKSICTNVKPKIIGKLIPRGC >Manes.07G023000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2504602:2505953:-1 gene:Manes.07G023000.v8.1 transcript:Manes.07G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSLSPKPAQDFRSSVDTSRPFRSVKEAVAIFGDRILAGEIYSPKPYYTPRPSSYDHSWMFSSPSSINPSKEDDDLGDNNNNNNEFLDTLKKLQAELEQTKTELKLLKERESETEIAVASLNAELHKNMAKLAAAEAVEAKKAASEARRVSFEREKIGEVIREEKKKRELIMRMENSPTLANILSINEEKEYYGGKKERKKKMTKKKPIIPLVGNWFFRKKGSSDKTLNDNPLFASAHGVF >Manes.17G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30425057:30428855:1 gene:Manes.17G097200.v8.1 transcript:Manes.17G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVIEQGKQLHQDCSTLLLPALSIGNVGQLAVDLLVSSTRAERIGYLDDPYILPCVGNNAYGPISCGDLALPLEAYDSPANALTLVQQRSPVVQGMMIEFAKNLADFAVASGKKHIVVLSGLDFGRWQRIDMSSGLQTYYLSSTNSDGTDEYCEQLGWKRLQEYNPAQRSWKYLSALAEGNPLEENRLPFEDELEEEDYYPSLPFAALFSCFKAKGLKVTCLLCYCSEGDNIPDAFHLAEAACKLLRLSPENFHGDEGSKWLIPFSWKTVYGPPPDMSIF >Manes.11G066400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9287539:9288912:-1 gene:Manes.11G066400.v8.1 transcript:Manes.11G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETERVAHKDCHINGAKHVLFGKYEMGKLLGQGTFAKVYKAKNLVTQERVAIKAIHKDHVKKKGLIEQIKREISVMHLVKHPNIVELKEVMATKSKVFFVMEYVRGGELFAKLQQGKLKEDLARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDENGDLKVSDFGLSALPEQHWNDGLLHTQCGTPAYVAPEVLRKKGYDGAKADIWSCGVILFVLLAGYLPFQNANLMKMYVKIFKAEYEFPPWISPEARRLISKHLVVDPERRITIPHIRQNPWFRRGLTTPITISNDLEENEKGRELIGEEITKLSETNHSPPFYNAFEFISAMSSGFDLSSLFENKKKSGSMFTSKCAASVILTKLGSAAKKLNFRVLSDSEFKVKMQGKEEGRKGKLAVTAEVFEVAPEVAVVEFSKSAGDTLEYTKFCEEDVRPALKDIVWSWQGEDNCHQLERTSGN >Manes.09G097500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29477768:29486307:-1 gene:Manes.09G097500.v8.1 transcript:Manes.09G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFEPYHVPQQSRRDKLRVVAQNHPDLQGCTGLLPLYDPSLLSSDLLACANPSLSGVSETCKANGVSVVKEEGVNLMGFVAGIVNAASSSATTSHHPYLDPQSSLPINPTSIQDINANPFFYAAHNLQNLRDFDQPYNGGSEAVAFKPEPLSLARESNTTGQGLSLSLSSHHTHQGNIPLELNLQRYGSAVYGDKVTAGGGDGYIVPGIVGGSGSTSNDLSRSTVPLGPFTGYASILKGSRFLKPAQQLLEEFCDMGRGIYSEKITMDASLMDPPVECLNACGIVDDPLTCGDGGESRRKKSRLISMLDEVYRRYKHYYQQIQAVVTSFECVAGLGNAAPYANLAVKTMSRHFRSLKNAITDQLQLTNKGHGQLSLGKEEPTRFGNIDRGIYCQRPVQNSGFIEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQAQKSSQREEQNANKSSDQVPLSNSVESDNPSTSIQRVQDILSKRTRNDLPDLPPGNEEALNLSYNNMSSHPPVGVDMRAAVGSSNVSLTLGLHQNNGLGLSEPFNAAQRFGLGLETSSDGFVMGSFEAQHRHFGRDVIGGQLLHDFVG >Manes.09G097500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29477768:29486589:-1 gene:Manes.09G097500.v8.1 transcript:Manes.09G097500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFEPYHVPQQSRRDKLRVVAQNHPDLQGCTGLLPLYDPSLLSSDLLACANPSLSGVSETCKANGVSVVKEEGVNLMGFVAGIVNAASSSATTSHHPYLDPQSSLPINPTSIQDINANPFFYAAHNLQNLRDFDQPYNGGSEAVAFKPEPLSLARESNTTGQGLSLSLSSHHTHQGNIPLELNLQRYGSAVYGDKVTAGGGDGYIVPGIVGGSGSTSNDLSRSTVPLGPFTGYASILKGSRFLKPAQQLLEEFCDMGRGIYSEKITMDASLMDPPVECLNACGIVDDPLTCGDGGESRRKKSRLISMLDEVYRRYKHYYQQIQAVVTSFECVAGLGNAAPYANLAVKTMSRHFRSLKNAITDQLQLTNKGHGQLSLGKEEPTRFGNIDRGIYCQRPVQNSGFIEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQAQKSSQREEQNANKSSDQGMRKH >Manes.18G060101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5336730:5338304:1 gene:Manes.18G060101.v8.1 transcript:Manes.18G060101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTTIFNFILFALLVSSTRAVNPCASQADDPDLSIIPIYSKCSPFIPPKQDTLVNTLINMASKDPQRLQYLSSLKAQMTTAVPIAPGQHVLDVGNYVVRVKLGTPGQPMFMVLDTSNDAAWVPCSGCTGCSSSTFITNTSSTYGSLDCSMAQCTQARGFSCAATASCLFNQTYGGDSSFSASLVQDSLRLAKDVIPKFAFGCINSISGGSIPPQGLLGLGRGPLSLLSQSGSLYSGVFSYCLPSFRSYYFSGSLKLGPAGQPKNIRTTPLLRNPHRPSLYYVNLTGVSVGRVRVPISPELLTFDQNTGAGTIIDSGTVITRFVEPIYASIRDEFRKQVKGPFSSLGAFDTCFAATNEAVAPAITLHFTGLNLVLPVENSLIHSSAGSLACLAMAAAPKNVNSVLNVIANLQQQNLRILFDVPNSRLGIARELCN >Manes.16G068600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26318035:26327188:1 gene:Manes.16G068600.v8.1 transcript:Manes.16G068600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRIGSSHEAEIPKKSRSLDLKSLYQSEGSKEVQTKNLKRKGGIDVSAVDKKHERKKSRKAVSVSSFRKVNGNGSKSLEEVYNGSLSSGSHDSKDSKDVLNQRSNHGSGFSNMSQTLEGSFIKIPRRKRGFVGRRKVENVIQLSKTAALCSGEVGNGDQVVKLTGKDTGKQVKPLKVKQKKASDEFKENGNAETNSGKDLEQEAERPDHLVVNSGDLSMEKSHTGQFVENNGDSSSRKSLRKRSRKRKGMVPDGKSVAKEAQPSIDSDKISDDLPDDEENLEENAARMLSSRFDPSCTGFSLNSKVSSLPSANGLSFLLSSGQEFTAHGSNDVSGSESASVDTAGRVLRPRKQHKEKGNSRKRRHYYEIFTGDLDAYWVLNRRIKVFWPLDQSWYYGLISDYDTVKKLHHVKYDDRDEEWINLQDERFKLLLLPSEVPGKRQRKRSAARVERSNGENGKLKRRKEKKRDLATEDDNYMGNYMDSEPIISWLARSSQRVKSSPFHASKKQKVSTLSLTSGPPSLTDDGVSRHEHLDGGSRNKDISNLSGNSGFPGRFAAGGRIEVSPLENPFHPKDNKLPLVYYRKRFRNSYSVPRHSFEANHVSTSLPESDTSLGPVGVSSGPLEKQHISLERLDPDEALEKLDTVEALWLTDVTGLLKLNVQLMESRRFRFELSFPVLSTCNYSFGTYHPWFFHALLLLQYGTLMTMWPRVHLEMLFVDNMVGLRFLLFEGCLKQAIAFVFQVLAVFHPPTEHRKFADLQLPVTSIKFKFSCIDDFRKQLVFAFYNFVEVKISKWMDLDSKLKKHCLLTEQLPLSECTYDNIRALQNGTSQLLSSSVCNVSSRIKGRIRRSRQCMSLVGVSRESTCINASPSSSSSDKSHRWFPPFALSFTAAPTFFLGLHLKLLMEHSVTRLSFHDHVSMEHPENSGSFLADDCSSGEDCSNKDSESTPENNCKASSRDIDYNEFISCSKTVPQAVDISVTSVGDWMKPSLKHHNSDVTVENSAIFKDPGKLGNDAVGSPQKLQFHHSESERCHLSPKPPVDRDKSGTGSHSLLNGITVEIPSFSQFDKHVDKELHGAQPSTDLSWNMNGGVIPSPNPTARRSTWHRNRNSSTSFGYLAHGWADGRVDFLQNNFGNGPKKPRTQVSYALPFGGFDYSSKNKGHLQKGFPQKRIRTANEKRSSDVSRGSERNLELLSCEANVLITLGDRGWRECGAHVVLELFDHNEWKLAVKISGITKYSYKAHQFLQPGSTNRFTHAMMWKGGKDWILEFPDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVCLIEENDDNGIEVPFVRSSSKYFRQIETDIEMALDPMRVLYDMDSDDEQWMSKHRTFSEVSNSTWGISEDMFEKIVDMFEKAAYSQQCDRFTSDEIEDLMAGLGPMEVIKIIHEYWQQKRQRKGMPLIRHLQPPLWERYQHQVREWELAKSNTTLASHEKVAHVEKPPMFAFCLKPRGLEVPNKGSKHRSQRKISVTGQSNIFSGDHDGFHAYGRRLNGFAAGDEKAIYQGHNYEPFDDSPVPQISPRVFSPRDAGGKGYFSMSADRYERNHVQKLYRSKSKKPGAFMFPNDTQMVASYHQRMFDKRNRAHRWNIGYSEWPNQRYYHVDGPICHGSEHFDSSDLDEFRLRDASGAAKHALNMAKLKREKAQRLLYRADLAIHKAVVALMTAEAIKASSEDLNGDG >Manes.06G008700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1386867:1390571:1 gene:Manes.06G008700.v8.1 transcript:Manes.06G008700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELEQKNGETPEPSNKSRSSEIAASRSDTDDDEEQKALEKLVKEHRDAKETCLLEQRIIYLSSEIEMCRRDNDELEIQMEQLALDYEILKQENHEMSYKLKQSEQQDQLKLQYECSSFANINELQDQIESLENELKKQSEEYSLSMVTINELKTYIKMTNKN >Manes.07G039097.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4769858:4770223:-1 gene:Manes.07G039097.v8.1 transcript:Manes.07G039097.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NALSTSLVALRLSKATAYSIRSASHGSVSGPSLCNPNSSSPMFKSSLMISLLRYSKGRRKRFLSVEYTTKLPFSATDAVSVLPTFCEDVILLRLMAAIFCHFLANFRSLLVLIILLIFFKN >Manes.10G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17361325:17366060:-1 gene:Manes.10G077400.v8.1 transcript:Manes.10G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGIQGHLLEVTVVGCNKLKDTEWISRQDPYAIVEYGSNKSRTRTCTDGGKNPTFQEKFVFTLIEGLRELNLVVWNSNTLTYDDFIGSGKVQLQKVLSEGYDDSTWPLQTKTGRYAGEVRLILHYPNAKKPATSFTPSAPPYGAPVPHSSMYSPPPPASVASYAQLPAAYTSHYPSYPPSAYPPPPTAYPPPPYPPHSAYPPHPYPPPPHASPYYPPGPFPGIYPPPY >Manes.14G155101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19808381:19809505:-1 gene:Manes.14G155101.v8.1 transcript:Manes.14G155101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQELTQKNGEEFKEFKEVRKNFQHTNAVLDELRALMIKHVTRKGKEIGSSSEGGSRSENRILQSNSMDSKGMLSNQKENQPMPAKDKGILGTLPNSFCYNEMTQMLPKIELVSFERKESRAWLRKCVKYFEIYKVPVEQREPLASLFLMDKADAWFYNSNRGGEHTWKEFERGICNRFRDEGLNDIVEGFMKLRQENTREEY >Manes.08G105911.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34573844:34574824:1 gene:Manes.08G105911.v8.1 transcript:Manes.08G105911.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQDIIGLQLRYRYEKLISSDEKLAKSRRRSWVKKLNGGLKGLRLSRSRKLTFKALSVMVLPSRIARVYADIISRIKIEDLYPNIIFSTQLGLPVLSHPSVKCRRSAIDLQRKLF >Manes.06G136400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26408701:26410260:-1 gene:Manes.06G136400.v8.1 transcript:Manes.06G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIFQTSSMTLYASRSRKSRKQKQLERRHEREHLSRNRNTLSFPSSSPTPLLINYKPQTLTKLQALDNVVKDLEYSIGKGIKIDTQIFSSLLETCYQLNAIDHGIRIHHLTPTNLLRKNPGIASKLLRLYASCGLMDEAHKLFDEMAKRNESAFAWNSVIAGYAELGLYEDAIALYFQMEEEGVEPDQFTFPRVLKACGGLGLIQVGEAVHRDLVRLGFASNGYALNALVDMYAKCGDIVKARRIFDKIPCKVSVSWNSMLTGYIRHGLRVEALQTFRMMLQDGIELDSVAISSILANVSSPQLGFQIHGWIVRRGMEWDLSITNSLILMYSSYGKLDRARWLFEHMPERDVVSWNSIISAHCRDPEVLSYFERMEKDVALPDNITFVSILSACAHLGLVKDGEKLFALMRKKYRIEPIMEHYACMVNLYGRAGLISEAYAIITDKMEFDAGPTVWGALLYACYLHTNVDIAEIAAQTLFELEPDNEHNFELLMKIYGDAGRLEDVERVKTMMIDRGL >Manes.01G091500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29300275:29302252:-1 gene:Manes.01G091500.v8.1 transcript:Manes.01G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPIPTTVPIFPPKTVNAHSYFVSTKHQCLSLLKSCSSIKHLSQIHAQIQVSGLQQDNFLVFELIRFCSLSPSNNLAYARSLLYHSVNSIPPSSWNIVIRGYSTSNTPREAIWVFRRMRREGIRPNNLTFPFLLKACASCFAIKEGKQVHVDVIKHGLDCDVYVNNNLVHFYGSCKKISDACQLFDQMCIRTVVSWNAVITSCVGSLRLGDAIGYFVKMMDFGFEPDQTTMVLMLEVCAEMGNLSLGKWIHSQVIERGLLLNYQLGTALVDMYAKSGAISYAKLVFDRMKEKNVWTWSAMILGLAQHGFAKKGLELFVEMMKSSSIQPNYVTFLGVLCACSHAGLVDDGFRYFHEMEYRYNIKPMMIHYGAMVDILGRAGRLKQAFDFIMSMPFQPDPIVWRTLLSACSIHDVNDINGVADNVRKKLLELEPRRSGNLVMVANMYADAGMWEKAANVRRLMRDGGLKKKGGESSVELAGSSHQFFSGYNSQDDDQGIYQLLDVLNLHMQTVNLL >Manes.14G097800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8113260:8116067:-1 gene:Manes.14G097800.v8.1 transcript:Manes.14G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFRPLFFTILLLATSATISVHSLSNALSFEHLPLFREAPAFRNGRECAPATWSSTVNGQSYSDPSSIIHIAMTLDSTYLRGSVAGVLSVLQHAACPENIVFHFIATHRRAELRRTITVTFPYLNFHLYHFNADLVKGKISSSIRRALDQPLNYARFYLADLLPASVQRIIYFDSDLIVVDDVAKLWNINLGAHVLGAPEYCHANFTNYFNSRFWSNPAYASSFKGRKPCYFNTGVMVIDLLKWRDGKYTQKLEYWMRIQKKYRIYELGSLPPFLLVLAGNVEGVEHRWNQHGLGGDNLHGLCRALHPGPASLLHWSGKGKPWLRIDSKRPCPLDLLWAPYDLYRHSLLFSDS >Manes.01G005033.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2272119:2274825:1 gene:Manes.01G005033.v8.1 transcript:Manes.01G005033.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCSVNKGMSIEDFKFIYWMEYAHRMWGRGLGIMFALPFSYFLRKGYITLRLGLRLSTLFALGAGQGLIGWWMVKSGLEEPASEYAQPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMPEPPAESLAWVSGAAKVKRLALPVSLIVGITAVSGAFVAGNDAGHAYNTFPKMGDTWIPEDIFEMKPLIRNFFENTSTVQLDHRILATTTLMSIGALWWFTRKLDIHPAVRSLIGSTVGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLMTLMILLNHTVRKPSVSLLKSLPQVAKTV >Manes.01G005033.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2270363:2274825:1 gene:Manes.01G005033.v8.1 transcript:Manes.01G005033.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSRVISSILKRNKGAINTVISNFRGRPSPFKDLKEQPSKSFFNGFNSIPAGHHISSMRNMSTAASIGMEGKEGLKFLVTGGPHAQKMVGIWLFGSAAWVFSMVILGGVTRLTRSGLSMTDWKFTGSLPPLSDEEWLNEFEKYKQSPEYKHVNKGMSIEDFKFIYWMEYAHRMWGRGLGIMFALPFSYFLRKGYITLRLGLRLSTLFALGAGQGLIGWWMVKSGLEGHAYNTFPKMGDTWIPEDIFEMKPLIRNFFENTSTVQLDHRILATTTLMSIGALWWFTRKLDIHPAVRSLIGSTVGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLMTLMILLNHTVRKPSVSLLKSLPQVAKTV >Manes.01G005033.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2270363:2274824:1 gene:Manes.01G005033.v8.1 transcript:Manes.01G005033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSRVISSILKRNKGAINTVISNFRGRPSPFKDLKEQPSKSFFNGFNSIPAGHHISSMRNMSTAASIGMEGKEGLKFLVTGGPHAQKMVGIWLFGSAAWVFSMVILGGVTRLTRSGLSMTDWKFTGSLPPLSDEEWLNEFEKYKQSPEYKHVNKGMSIEDFKFIYWMEYAHRMWGRGLGIMFALPFSYFLRKGYITLRLGLRLSTLFALGAGQGLIGWWMVKSGLEEPASEYAQPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMPEPPAESLAWVSGAAKVKRLALPVSLIVGITAVSGAFVAGNDAGHAYNTFPKMGDTWIPEDIFEMKPLIRNFFENTSTVQLDHRILATTTLMSIGALWWFTRKLDIHPAVRSLIGSTVGMAALQVTLGVSTLLSYVPVSLGTAHQAGALTLMTLMILLNHTVRKPSVSLLKSLPQVAKTV >Manes.03G141700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27010794:27020289:1 gene:Manes.03G141700.v8.1 transcript:Manes.03G141700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEKLFVQIFERKKRIIEQVKQQTDLFEQHLASKCILDGVAPPSWLWSPSFPSLSSDPNELKKEELIPGLLLPRPQPANPYSSTHDSLCQKPVVTSGYKGLQNVSCTEVHASNIGTTADDGMSVLPPLPTIDTQCTFNGVSEPDCSIASPQDCVDTTTADNCLDHAQSLVKIQRSKSRQRALELRNSAKATKSHSCNENDAIVFASQDIGCGISSLRFDHFDEVELVKPVDRSTVDRSTECCEEEAEIGKCAGENSSRGNRSGSSSPKITSVNKPENDDNSSHFAKDDGHKKSMNELPQNHNHVNALSELDNSAVLAKANCFAETVTLVDFRGNEMNNTVLSDRITGSRSSIYRASASKNPFVDISSCVEEDGGHIESVDKSAKQHSSVSELLKLVKPLSAVPNDIYGSRKAKARNRLMKRKGSDIFVGRITRSRSSSLKPNCVNKYLEMDNSCCNGKEDGVSNPKQLLNHDNDSRDLVNTLGTTCASPELKAETLNFQNVDAYCQRLTSSSSNEKPRDIREFPKVDLSSNVIKDGDSKPAESFGKSSQLPQQSSFVEGGGATLQFLSDSQPNVLPCTKSNPAETDMDYDGLVVACSVSSESDSDGRGCVVENLELRPPSECDMPIKPKQLNFDDVKESNFLETSSLALEHKQEGTSEKQLSTMLQPMDLGKVTSIGYQGNPNPSIEMPLLEEQEFSNKEKSWKVSIEGHMKDGAVLADESTINPLQKKMDPFFSQNQNADSYFMGSWPQHKRIKIEGELTKALSASPSLKIGDALQANEGRVPLKDMHQNAEHEKIEEYEVSSSQLQVEEIEISSEGRGRTASTAFNLMLEQVAPLVSSFKELAGGEVTGQHPTEEKVSFQHEDKLEVGVDEILTYNEDIVLERKDHLDQNDNLSYCSTGSHCQSVICADQSMPEFQGFVLEADYEQPCTSKEATHFGKLDLPPTGLGCASVLEQFCTSTSQHTPLLDFSSTHKLHKALNLYHSIPNGLLEDIQLRSTLYMQVDRNEQLGNSFSCFKQEVNHALHGRSHSVSLPFSNPQSAWDVRKPGVSPLGKLWDGIPPKSSSSGKRVSSIPELPCISEENENTDGVADTTMEGTIPEVIISSVKRQPLADITKNSNPSEAEVKDDRSSLASLNTELSFSGTCGRARPKLRNQNKNKRRFTNKDKENDNLPREGDGPKRGNGSLHGRFSKPKLSGKTDLIKGGLGLSEKESKRNNIVSNVTSFIPLVQQKQAAAVITGKRDIKVKALEAAEAAKRLAEKKENERKMKKEALKLERARMEEQNLRQLELDKKKKEQKKKEADMAAKKKQREDEDRKERERKRKRVEEGKRHQLEQEKKLRMLKEQKEVNFQASDERAHEKKEYKDRLGKHDQIEKAEGDQNLRTVPHMESTTTKVSTSGTINASIIPEDSEALSDCGDNSKVTDYFGKDSDSLISNLRREQSYEISPYKGSDDEDEDDDDNRPNSKFIPSWASKSHLARVISSQQKYPESIFPPESFCSISEVLLPRKLQQK >Manes.03G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27010794:27020289:1 gene:Manes.03G141700.v8.1 transcript:Manes.03G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIEKLFVQIFERKKRIIEQVKQQTDLFEQHLASKCILDGVAPPSWLWSPSFPSLSSDPNELKKEELIPGLLLPRPQPANPYSSTHDSLCQKPVVTSGYKGLQNVSCTEVHASNIGTTADDGMSVLPPLPTIDTQCTFNGVSEPDCSIASPQDCVDTTTADNCLDHAQSLVKIQRSKSRQRALELRNSAKATKSHSCNENDAIVFASQDIGCGISSLRFDHFDEVELVKPVDRSTVDRSTECCEEEAEIGKCAGENSSRGNRSGSSSPKITSVNKPENDDNSSHFAKDDGHKKSMNELPQNHNHVNALSELDNSAVLAKANCFAETVTLVDFRGNEMNNTVLSDRITGSRSSIYRASASKNPFVDISSCVEEDGGHIESVDKSAKQHSSVSELLKLVKPLSAVPNDIYGSRKAKARNRLMKRKGSDIFVGRITRSRSSSLKPNCVNKYLEMDNSCCNGKEDGVSNPKQLLNHDNDSRDLVNTLGTTCASPELKAETLNFQNVDAYCQRLTSSSSNEKPRDIREFPKVDLSSNVIKDGDSKPAESFGKSSQLPQQSSFVEGGGATLQFLSDSQPNVLPCTKSNPAETDMDYDGLVVACSVSSESDSDGRGCVVENLELRPPSECDMPIKPKQLNFDDVKESNFLETSSLALEHKQEGTSEKQLSTMLQPMDLGKVTSIGYQGNPNPSIEMPLLEEQEFSNKEKSWKVSIEGHMKDGAVLADESTINPLQKKMDPFFSQNQNADSYFMGSWPQHKRIKIEGELTKALSASPSLKIGDALQANEGRVPLKDMHQNAEHEKIEEYEVSSSQLQVEEIEISSEGRGRTASTAFNLMLEQVAPLVSSFKELAGGEVTGQHPTEEKVSFQHEDKLEVGVDEILTYNEDIVLERKDHLDQNDNLSYCSTGSHCQSVICADQSMPEFQGFVLEADYEQPCTSKEATHFGKLDLPPTGLGCASVLEQFCTSTSQHTPLLDFSSTHKLHKALNLYHSIPNGLLEDIQLRSTLYMQVDRNEQLGNSFSCFKQEVNHALHGRSHSVSLPFSNPQSAWDVRKPGVSPLGKLWDGIPPKSSSSGKRVSSIPELPCISEENENTDGVADTTMEGTIPEVIISSVKRQPLADITKNSNPSEAEVKDDRSSLASLNTELSFSGTCGRARPKLRNQNKNKRRFTNKDKENDNLPREGDGPKRGNGSLHGRFSKPKLSGKTDLIKGGLGLSEKESKRNNIVSNVTSFIPLVQQKQAAAVITGKRDIKVKALEAAEAAKRLAEKKENERKMKKEALKLERARMEEQNLRQLELDKKKKEQKKKEADMAAKKKQREDEDRKERERKRKRVEEGKRHQLEQEKKLRMLKEQKEDERAHEKKEYKDRLGKHDQIEKAEGDQNLRTVPHMESTTTKVSTSGTINASIIPEDSEALSDCGDNSKVTDYFGKDSDSLISNLRREQSYEISPYKGSDDEDEDDDDNRPNSKFIPSWASKSHLARVISSQQKYPESIFPPESFCSISEVLLPRKLQQK >Manes.03G141700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27011330:27020289:1 gene:Manes.03G141700.v8.1 transcript:Manes.03G141700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPPLPTIDTQCTFNGVSEPDCSIASPQDCVDTTTADNCLDHAQSLVKIQRSKSRQRALELRNSAKATKSHSCNENDAIVFASQDIGCGISSLRFDHFDEVELVKPVDRSTVDRSTECCEEEAEIGKCAGENSSRGNRSGSSSPKITSVNKPENDDNSSHFAKDDGHKKSMNELPQNHNHVNALSELDNSAVLAKANCFAETVTLVDFRGNEMNNTVLSDRITGSRSSIYRASASKNPFVDISSCVEEDGGHIESVDKSAKQHSSVSELLKLVKPLSAVPNDIYGSRKAKARNRLMKRKGSDIFVGRITRSRSSSLKPNCVNKYLEMDNSCCNGKEDGVSNPKQLLNHDNDSRDLVNTLGTTCASPELKAETLNFQNVDAYCQRLTSSSSNEKPRDIREFPKVDLSSNVIKDGDSKPAESFGKSSQLPQQSSFVEGGGATLQFLSDSQPNVLPCTKSNPAETDMDYDGLVVACSVSSESDSDGRGCVVENLELRPPSECDMPIKPKQLNFDDVKESNFLETSSLALEHKQEGTSEKQLSTMLQPMDLGKVTSIGYQGNPNPSIEMPLLEEQEFSNKEKSWKVSIEGHMKDGAVLADESTINPLQKKMDPFFSQNQNADSYFMGSWPQHKRIKIEGELTKALSASPSLKIGDALQANEGRVPLKDMHQNAEHEKIEEYEVSSSQLQVEEIEISSEGRGRTASTAFNLMLEQVAPLVSSFKELAGGEVTGQHPTEEKVSFQHEDKLEVGVDEILTYNEDIVLERKDHLDQNDNLSYCSTGSHCQSVICADQSMPEFQGFVLEADYEQPCTSKEATHFGKLDLPPTGLGCASVLEQFCTSTSQHTPLLDFSSTHKLHKALNLYHSIPNGLLEDIQLRSTLYMQVDRNEQLGNSFSCFKQEVNHALHGRSHSVSLPFSNPQSAWDVRKPGVSPLGKLWDGIPPKSSSSGKRVSSIPELPCISEENENTDGVADTTMEGTIPEVIISSVKRQPLADITKNSNPSEAEVKDDRSSLASLNTELSFSGTCGRARPKLRNQNKNKRRFTNKDKENDNLPREGDGPKRGNGSLHGRFSKPKLSGKTDLIKGGLGLSEKESKRNNIVSNVTSFIPLVQQKQAAAVITGKRDIKVKALEAAEAAKRLAEKKENERKMKKEALKLERARMEEQNLRQLELDKKKKEQKKKEADMAAKKKQREDEDRKERERKRKRVEEGKRHQLEQEKKLRMLKEQKEDERAHEKKEYKDRLGKHDQIEKAEGDQNLRTVPHMESTTTKVSTSGTINASIIPEDSEALSDCGDNSKVTDYFGKDSDSLISNLRREQSYEISPYKGSDDEDEDDDDNRPNSKFIPSWASKSHLARVISSQQKYPESIFPPESFCSISEVLLPRKLQQK >Manes.03G141700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27011330:27020289:1 gene:Manes.03G141700.v8.1 transcript:Manes.03G141700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPPLPTIDTQCTFNGVSEPDCSIASPQDCVDTTTADNCLDHAQSLVKIQRSKSRQRALELRNSAKATKSHSCNENDAIVFASQDIGCGISSLRFDHFDEVELVKPVDRSTVDRSTECCEEEAEIGKCAGENSSRGNRSGSSSPKITSVNKPENDDNSSHFAKDDGHKKSMNELPQNHNHVNALSELDNSAVLAKANCFAETVTLVDFRGNEMNNTVLSDRITGSRSSIYRASASKNPFVDISSCVEEDGGHIESVDKSAKQHSSVSELLKLVKPLSAVPNDIYGSRKAKARNRLMKRKGSDIFVGRITRSRSSSLKPNCVNKYLEMDNSCCNGKEDGVSNPKQLLNHDNDSRDLVNTLGTTCASPELKAETLNFQNVDAYCQRLTSSSSNEKPRDIREFPKVDLSSNVIKDGDSKPAESFGKSSQLPQQSSFVEGGGATLQFLSDSQPNVLPCTKSNPAETDMDYDGLVVACSVSSESDSDGRGCVVENLELRPPSECDMPIKPKQLNFDDVKESNFLETSSLALEHKQEGTSEKQLSTMLQPMDLGKVTSIGYQGNPNPSIEMPLLEEQEFSNKEKSWKVSIEGHMKDGAVLADESTINPLQKKMDPFFSQNQNADSYFMGSWPQHKRIKIEGELTKALSASPSLKIGDALQANEGRVPLKDMHQNAEHEKIEEYEVSSSQLQVEEIEISSEGRGRTASTAFNLMLEQVAPLVSSFKELAGGEVTGQHPTEEKVSFQHEDKLEVGVDEILTYNEDIVLERKDHLDQNDNLSYCSTGSHCQSVICADQSMPEFQGFVLEADYEQPCTSKEATHFGKLDLPPTGLGCASVLEQFCTSTSQHTPLLDFSSTHKLHKALNLYHSIPNGLLEDIQLRSTLYMQVDRNEQLGNSFSCFKQEVNHALHGRSHSVSLPFSNPQSAWDVRKPGVSPLGKLWDGIPPKSSSSGKRVSSIPELPCISEENENTDGVADTTMEGTIPEVIISSVKRQPLADITKNSNPSEAEVKDDRSSLASLNTELSFSGTCGRARPKLRNQNKNKRRFTNKDKENDNLPREGDGPKRGNGSLHGRFSKPKLSGKTDLIKGGLGLSEKESKRNNIVSNVTSFIPLVQQKQAAAVITGKRDIKVKALEAAEAAKRLAEKKENERKMKKEALKLERARMEEQNLRQLELDKKKKEQKKKEADMAAKKKQREDEDRKERERKRKRVEEGKRHQLEQEKKLRMLKEQKEVNFQASDERAHEKKEYKDRLGKHDQIEKAEGDQNLRTVPHMESTTTKVSTSGTINASIIPEDSEALSDCGDNSKVTDYFGKDSDSLISNLRREQSYEISPYKGSDDEDEDDDDNRPNSKFIPSWASKSHLARVISSQQKYPESIFPPESFCSISEVLLPRKLQQK >Manes.03G154000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28177686:28178066:1 gene:Manes.03G154000.v8.1 transcript:Manes.03G154000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQIILLMLVISSARGGEKKSVEIINDLGPNIELKYHCKSKNDDLGQRVLSYKGSWYFTFRPNLFGTTLFYCQFSWGQISHWFNIYEDSRDRTRCYDCVWYIRGNGPCALNVTSQQFDLCFPWNS >Manes.12G077250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9585779:9586873:1 gene:Manes.12G077250.v8.1 transcript:Manes.12G077250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRQSSCIHEGRQRGISVFITIDKPILPEASPPHASSTLHVNLFHNILIIHGGSTD >Manes.10G129500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29579909:29584926:-1 gene:Manes.10G129500.v8.1 transcript:Manes.10G129500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFWKPQTMLVTWFSFLVVLGNEHNWVVAEMKFQCIDSEQEALLMFKEGFTNPSNHFSSWVADEDCCKWIGVGCNNSTGHVITLDLHSPDSSVVVQGQLRESLLGLPYLSYLDLSFIDFNHIPIPEFIGSLSNLKHLNLSNANFKGAVPDHLGNLSSLQSLDLSGNSFSLKANNLHWLSGLSSLEVLDLGGVDLGNAVKWLDAINMLPSLIELRFFSCQLPILQQSLSFVNFTSLEVLDLSYNSFYSTIPNWLLESSHTLQYLNLTRCQLGGSIPDAFVNFTSLTVLDLSYNHLQGSIPHNFGNMTSLVVLDLAFNSLEGSIPATLGLIQELQHIKHSSLRELRLSYNELNGSLERILPQLSELVVLEMASNSLEGLITEVHLQNFSSLRVLDLSANKLILNVSSTWIPAFQLETVHLESCQMDLSENALSGLLPNCWTYGQNMVFLDLGFNMLSGQLPESIGDLVHLKVLTLSNNNFHGEMPYSLRNCTSLFFLNLGNNALSGTFPAWLGESLENLQILLLRRNMLKGNIPLELCQLKYIVLLDLSFNSLSGRIPRCINNFLVLAEKEAERSSLYYDYASYAKDELQKLMWLAPKRRHQSNLLNGDYFWGMDLSSNNLIGEIPGELTELIGLSVLNLSRNHLTGIIPSDIGAISLLEALDLSRNQLSCAIPTSMYDLNFLSALNLSYNKLSGKITSQGQFSTFYATSFVGNPDLCGPFLGNACMEDKSYEDPNCKDKELGGNIKSSEVDEGHGFEIPPFYISMVIGFIAGFWGFWGPLLLSTSWRHAYFRFVGNMIDQIYVWVVVAVARLQRKFQSSQPQ >Manes.10G017300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1775335:1775889:-1 gene:Manes.10G017300.v8.1 transcript:Manes.10G017300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIESTDWESIGSNQKESVGSNFADSYNSGVEENKRTDEDDHKIFLLLTIFHAQGIDRPKSNTARIYKVESWVEGIRFLCMTPEVFGLPDPKWDWQFCIPLENPKDCKFLHLEVIRTYPKTDPGTSTGEALVGKIQLALPTLSTKIEGLFGLMRPEGPYYKAEGHIYLSMQLIKFQYMPNQLG >Manes.08G088262.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25355322:25371164:1 gene:Manes.08G088262.v8.1 transcript:Manes.08G088262.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGKLLWISGLEQMDYSEAAFLQLVSLQGCCGVSMLNVGKMQLYNGSSMFGLLS >Manes.10G077179.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:16971982:16973842:1 gene:Manes.10G077179.v8.1 transcript:Manes.10G077179.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHLIIFRHRNQKTEETGATRMSDYIPKEVLLEIFLRLPVKSLLRCRCVCKSWYCLVSNHNFISMHTRNAIETTSKRINGQYLLLRHYSRVDKKERFTLHLDDDDNDDDDDDLFGEYQQLDFPLESSWDYFEIVGSCNGIVCLTDNHSHILKRIILWNPSIGLSVTLPLQRFSYKISNVILGFGFDSLNNDYKVIRLVYYSSNGGSLIVPPDVEIFELSKGAWRIKNSESAPAYIVSKYSSQTVLEGSIHWVGYYNPGKLTVAVFAVHEEEFKEFKLPDEIVNTSVQNLSVMLCGQLLSLIQYRKRRGHLCYESCSIWVMNEYGVEESWKKLFNVVVPGGLGKTLGLRNNVEVLAVGADGELISYDPLSRRVKRLGIYGESCSFYAGLYMESLVLMKGKKKFLVH >Manes.06G163500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29049019:29050259:1 gene:Manes.06G163500.v8.1 transcript:Manes.06G163500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTMTASFLAGSTISKQPYATPRRGLIVAKASRATEEERLSVEMKNKEESSSGRRDLVFAAAAGAAFSIAKVAMAEDEPKSGTPEAKKKYGPICVSNPTARICRR >Manes.S029016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:585646:585813:1 gene:Manes.S029016.v8.1 transcript:Manes.S029016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.13G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36373912:36376777:1 gene:Manes.13G147800.v8.1 transcript:Manes.13G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNVMPDFVKEEAEAASTQQPQEEGTSVGDSLMHLLSMPYSSLSQRFQRAALDLKETIVVETWGLSGQSVQDFTLYSGKLGTAFVLFRSYQVTNNKNDLFLCLQIIKACDSASLASRDVTFICGRAGVSALGAVAANHANDAALQNYYLNQFGEVKLSKHHPNELLYGRSGYLWACLFLNKHIGEGTIPHTTIRSVVGEIIKNGRSLAKRGGPPLMYEWYGERYWGAAHGLAGIMHVLMDVELKQDEVEDVKASLMYMIKNRFPSGNYPASEEDRKRDVLVHWCHGAPGIALTLVKAAKVFREREFLQAAVDAAEVVWNRGLLKRIGICHGISGNAYVFLSLYQMTGNIEFLYRAKAFACFLLDRGHKLISAGEMHGGDSPYSLFEGNGGMANLFLDMIDPSGARFPAYEL >Manes.13G147800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36367444:36376777:1 gene:Manes.13G147800.v8.1 transcript:Manes.13G147800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSMPYSSLSQRFQRAALDLKETIVVETWGLSGQSVQDFTLYSGKLGTAFVLFRSYQVTNNKNDLFLCLQIIKACDSASLASRDVTFICGRAGVSALGAVAANHANDAALQNYYLNQFGEVKLSKHHPNELLYGRSGYLWACLFLNKHIGEGTIPHTTIRSVVGEIIKNGRSLAKRGGPPLMYEWYGERYWGAAHGLAGIMHVLMDVELKQDEVEDVKASLMYMIKNRFPSGNYPASEEDRKRDVLVHWCHGAPGIALTLVKAAKVFREREFLQAAVDAAEVVWNRGLLKRIGICHGISGNAYVFLSLYQMTGNIEFLYRAKAFACFLLDRGHKLISAGEMHGGDSPYSLFEGNGGMANLFLDMIDPSGARFPAYEL >Manes.02G131166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9940002:9945985:-1 gene:Manes.02G131166.v8.1 transcript:Manes.02G131166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPTPRATRSARRRGSLKKKIGQNQMCAFDLLAVVAGNLLLEKGSPSSSDAFADKDHCLVVNNTVKNEWQDEEKNMKVEAHDQGNPARSFFVSELVSQGNDQNCSSKELLFAQNEHNLGLASTLAASECAERFDPKLDSKSKNEIGTFASKVEVDSSGYREFNDCKLEGSTKDLIKDESNKSGKVQIGTMANICCFEDPVDWDGKPHALASSDSSAKVPLWGNNISRSSYPTNGDSINVDNRDDDENSSGCTHPSKKKKLFRPAPHIGGRRIRKILASKYWKVAPRLKDVTISNADGADSMPLYHKRKNYYKYQRSERLYPFKKRKHVFCASESNSEGGICNEFISNSLQKGSDGDAAGSTPKKHGAIGVSSSVACQHSSFRPRDSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTAILGGGLRVGVLLQGKRVCDDNKTLLQTGICHNNRLNALGFSLEPNCSQSPHSLCPGDSPFLIDTPQPVSRYPPVPSLAQKTTCAASAEPHGTDLENLIESDHDSAPSSTDVDKTRNDAKAVIPLPEMNIEALAMVPVHRKSKQSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQAKQQPETCLLL >Manes.18G139100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16180894:16185205:1 gene:Manes.18G139100.v8.1 transcript:Manes.18G139100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENQRFQLGTLGALSLSVVSSVSIVICNKALISSLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKRFSRNIQLSLVILLMGVGIATVTDLQLNVLGSVLSMLAVVTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFVIGPFLDGLLTNKNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVIGMVLYSYYCTAENQQKASEASAKLPEVKDSESDPLVGVESGSGILVDGVAPKAPVWSSNKDLHA >Manes.12G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28183717:28185930:1 gene:Manes.12G104300.v8.1 transcript:Manes.12G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALKQRQEHQSGHQNLQQSPAIYKDILETDPELERKCILQSDALYEYILETSVYPREPQPLKELRELTANHPWHVVSTPADGGQFLNLLIKLINAKNTMEIGVYTGYSLLATALALPHDGKIMAMDINRENYELGLPVIEKAGVAHKIDFREGPALPVLDQLIQDGKYHETFDFIFVDADKDNYLNYHERNIELVKVGGIIGYDNTLWGGSVVAPPDAPLPKSIRAYLDYVLEFNKAVAADPRVEICQFPVGDGFTLCRRIS >Manes.01G120300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31655459:31659075:1 gene:Manes.01G120300.v8.1 transcript:Manes.01G120300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTHHLNPLAILSSFCFHIVALLMCMILITSTTVTASEIDRVALLEFKAKIEDDPSGVFNSWNDTTHFCQWHGVTCGRRHQRVTALELISLKLSGSISPHIGNLSFLRDLRLYDNNFIGEIPPQIASLRRLERLDLANNSLGGEFPPNISSCSNLILIQCSNNNLGGVLPVEISSLLKLQRLSLSNNHFTGTIPPSFGNMSSLDALILYQNNLSGNIPSTLGQLRNLTIFALSQNRFSGLIPNSIFNLSLVRVLDIGSNYQIQGTLPPDLGISLPNLYFFSISRNQFTGTIPNSISNASNLEVLQLDENQFSGRVPSLEKIQRLRWITVFTNNLGSGKDDDLTFLSSLVNTTTLEAIQIGVNNFGGQLPEQISNFSRKLWHLSFDQNQIVGSLPIGIENLINLNSFQASDNKLSGSIPSSIARLQNLNMLYLFGNRFSGSIPSSIGNLTRLLSLRLRGNNLHGSIPSSLAKCQNLLELDLSLNNFSGAIPAEIMDLSTLSIVLDLSYNRLSGFLPQQVQNLRNLGYLDVSNNMLSGEIPNSLGNCIRLENLYMESNFFQGNIPSSLSSLKGLQGLDLSRNNFSGQIPEFLGRVQMLQVLNLSYNNFEGMVPTEGIFKNATATLVMGNRGLCGGIAELQLPKCSFDKPKKRINLRTKMVISIISLLLGITTVLTCLSFWWLRKRKGKCTSEESGNMLLEVSYHSILRATNEFSSANLIGTGSFGSVYKGILDGQGTVVAIKVLNLMRQGASRSFIAECEALRNVRHRNLVKILTVCSSIDFQGNDFKALVYEYMVNGSLEEWLHPSPMLDEQPKCLNLLQRLTHVGDFGLAKLISESNFQSPMNQTNSIGVRGTIGYIPPEYGVGSEVSTYGDTYSYGILLLEIFTGKKPTDDIFGEGLNLIDFVKRALHEDSMQIVDPNLLDDKKNNNSISNECLISIFDIGISCSAELPHERMNVRDVVARLSTIRIKLQGV >Manes.01G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31655429:31659075:1 gene:Manes.01G120300.v8.1 transcript:Manes.01G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTHHLNPLAILSSFCFHIVALLMCMILITSTTVTASEIDRVALLEFKAKIEDDPSGVFNSWNDTTHFCQWHGVTCGRRHQRVTALELISLKLSGSISPHIGNLSFLRDLRLYDNNFIGEIPPQIASLRRLERLDLANNSLGGEFPPNISSCSNLILIQCSNNNLGGVLPVEISSLLKLQRLSLSNNHFTGTIPPSFGNMSSLDALILYQNNLSGNIPSTLGQLRNLTIFALSQNRFSGLIPNSIFNLSLVRVLDIGSNYQIQGTLPPDLGISLPNLYFFSISRNQFTGTIPNSISNASNLEVLQLDENQFSGRVPSLEKIQRLRWITVFTNNLGSGKDDDLTFLSSLVNTTTLEAIQIGVNNFGGQLPEQISNFSRKLWHLSFDQNQIVGSLPIGIENLINLNSFQASDNKLSGSIPSSIARLQNLNMLYLFGNRFSGSIPSSIGNLTRLLSLRLRGNNLHGSIPSSLAKCQNLLELDLSLNNFSGAIPAEIMDLSTLSIVLDLSYNRLSGFLPQQVQNLRNLGYLDVSNNMLSGEIPNSLGNCIRLENLYMESNFFQGNIPSSLSSLKGLQGLDLSRNNFSGQIPEFLGRVQMLQVLNLSYNNFEGMVPTEGIFKNATATLVMGNRGLCGGIAELQLPKCSFDKPKKRINLRTKMVISIISLLLGITTVLTCLSFWWLRKRKGKCTSEESGNMLLEVSYHSILRATNEFSSANLIGTGSFGSVYKGILDGQGTVVAIKVLNLMRQGASRSFIAECEALRNVRHRNLVKILTVCSSIDFQGNDFKALVYEYMVNGSLEEWLHPSPMLDEQPKCLNLLQRLSIAIDVACALEYLHHFCQIPIVHCDLKPSNVLLDDKMTAHVGDFGLAKLISESNFQSPMNQTNSIGVRGTIGYIPPEYGVGSEVSTYGDTYSYGILLLEIFTGKKPTDDIFGEGLNLIDFVKRALHEDSMQIVDPNLLDDKKNNNSISNECLISIFDIGISCSAELPHERMNVRDVVARLSTIRIKLQGV >Manes.07G098200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30229612:30230932:1 gene:Manes.07G098200.v8.1 transcript:Manes.07G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQFAVKNFLFHLLLLLCVHGSHGDAREMTMSNVLRLPSEDNNGVSDKEMHGNHHVHANPSSDTDHMDPSLMVFFTLNDLKVGKKLPIFFPMKDSSSTPPLLSRDEANSIPFSYEDLPHLLQFFSFSPRSPQAKAMEHTLKECEIKPIKGETKICATSLESMLDFVKETFGSDTQFKVLSTTHLTKSNTLLDNYTILEKPKEIPVPKMVACHTMPYPYKIFYCHSQQTENKAFVVSLVGDNGGRVEGVAVCHMDTSQWSPSHASFRVLGIEPGTSPVCHFFRGDNLVYVPMHIHV >Manes.08G015900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1616606:1620765:-1 gene:Manes.08G015900.v8.1 transcript:Manes.08G015900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFWGSQEQQAQPHPQDAPSQSWYPPSVVSSPNSSRPGTPSSSSSGSSYGMQQPTYHPLSPSHVSPAEATSVITLLKDKSIDELRKLLSDKNAYHQFLLSVDQVKIQINIRDELHKETLQLARENLDKEPRILELRNQCRIIRTTELAAAQEKLNELERQKEEILCSRSPASLLQRLQEGINKTEEESETLHRQLLDREIELASFVQKYKKLRTTHYRRSLIHLAAKTTTG >Manes.08G015900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1616606:1620765:-1 gene:Manes.08G015900.v8.1 transcript:Manes.08G015900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVSFEHERGSQEQQAQPHPQDAPSQSWYPPSVVSSPNSSRPGTPSSSSSGSSYGMQQPTYHPLSPSHVSPAEATSVITLLKDKSIDELRKLLSDKNAYHQFLLSVDQVKIQINIRDELHKETLQLARENLDKEPRILELRNQCRIIRTTELAAAQEKLNELERQKEEILCSRSPASLLQRLQEGINKTEEESETLHRQLLDREIELASFVQKYKKLRTTHYRRSLIHLAAKTTTG >Manes.08G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1951993:1954363:-1 gene:Manes.08G019300.v8.1 transcript:Manes.08G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPLNFLISLFLLSLSTLFFLLCRRRESAITGRFPPGPPRWPILGNLFDLGAMPHRTLTDLKQKYGDVIGLKLGAINTTVVLSAKAASEFFKNHDLSFAERTKYETMRVHGYDQGSLALAPYGSYWRVLRRLVTVDMLVNKRINETAFIREKCVNDMVQWIEEESQKIENKTRGIHVSRFVFLMAVNLLGNLMLSRDLVDPGSEEGSEFFTAVMGAMETSGYANVADYFPWLKWLDPQGLKRKMERDLGKAMDFASKFVKERVEVKKKMVGDKRKDFLDVLLEFEGNGKDEPDKISDRDINIFILEIFMAGTETTSSTIEYAMTELLRNPESMTKARAELATVIGANRRVEERDIDNLPFLQAVIKETLRLHPPIPFLVPRRAIQDTKFMGYQIPQNTQVLVNAWAIGRDPDVWDDPSCFNPERFIGSKVDYRGQHYELIPFGAGRRICAGVSLGHRMLHLVLGSILHQFDWELGSNVNPNDVDMRDRLGITMRKLEPLRAIPTKRNMLDCL >Manes.11G025600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2551100:2554217:1 gene:Manes.11G025600.v8.1 transcript:Manes.11G025600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIKGLMFHQQQQPQEENMSNLTSASGEASVSSGNRNENYHPPQQYFAPPAAPQTQPVKKKRNLPGNPDPDAEVIALSPKTLTATNRFLCEICHKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYICPESSCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESVRDITGAQNSVLHYSQPAPGSSTTHNINLQIPHFNTTTTQDLLAFSLKKEQQSFSLRPELPPWLACPPGPGAGAGAVPGPSPPYHRQISVDHLSSSSASIFTHHQELCPNPNPSLEPTLPRYRTAPSPHMSATALLQKATQMGATMSGKTNCLMRPHHHQEQAHVSSNSSNNSANTTGFGLNLSLHEELSGGWSFVDGLQASFGNKAGVAVPSGNTTAGSSSAPSGALLQEMMNSLSSSSGFEGNSSFEDPFVSGVLNDKKGRNFHDSISKATTNENGGGTTGEGLTRDFLGLRAFSHSDILSMAGLGNCVNSAHEQQNSQSCSHS >Manes.11G025600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2551203:2554217:1 gene:Manes.11G025600.v8.1 transcript:Manes.11G025600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIKGLMFHQQQQPQEENMSNLTSASGEASVSSGNRNENYHPPQQYFAPPAAPQTQPVKKKRNLPGNPDPDAEVIALSPKTLTATNRFLCEICHKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYICPESSCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESVRDITGAQNSVLHYSQPAPGSSTTHNINLQIPHFNTTTTQDLLAFSLKKEQQSFSLRPELPPWLACPPGPGAGAGAVPGPSPPYHRQISVDHLSSSSASIFTHHQELCPNPNPSLEPTLPRYRTAPSPHMSATALLQKATQMGATMSGKTNCLMRPHHHQEQAHVSSNSSNNSANTTGFGLNLSLHEELSGGWSFVDGLQASFGNKAGVAVPSGNTTAGSSSAPSGALLQEMMNSLSSSSGFEGNSSFEDPFVSGVLNDKKGRNFHDSISKATTNENGGGTTGEGLTRDFLGLRAFSHSDILSMAGLGNCVNSAHEQQNSQSCSHS >Manes.11G025600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2551203:2554217:1 gene:Manes.11G025600.v8.1 transcript:Manes.11G025600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIKGLMFHQQQQPQEENMSNLTSASGEASVSSGNRNENYHPPQQYFAPPAAPQTQPVKKKRNLPGNPDPDAEVIALSPKTLTATNRFLCEICHKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYICPESSCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESVRDITGAQNSVLHYSQPAPGSSTTHNINLQIPHFNTTTTQDLLAFSLKKEQQSFSLRPELPPWLACPPGPGAGAGAVPGPSPPYHRQISVDHLSSSSASIFTHHQELCPNPNPSLEPTLPRYRTAPSPHMSATALLQKATQMGATMSGKTNCLMRPHHHQEQAHVSSNSSNNSANTTGFGLNLSLHEELSGGWSFVDGLQASFGNKAGVAVPSGNTTAGSSSAPSGALLQEMMNSLSSSSGFEGNSSFEDPFVSGVLNDKKGRNFHDSISKATTNENGGGTTGEGLTRDFLGLRAFSHSDILSMAGLGNCVNSAHEQQNSQSCSHS >Manes.11G025600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2550906:2554218:1 gene:Manes.11G025600.v8.1 transcript:Manes.11G025600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIKGLMFHQQQQPQEENMSNLTSASGEASVSSGNRNENYHPPQQYFAPPAAPQTQPVKKKRNLPGNPDPDAEVIALSPKTLTATNRFLCEICHKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYICPESSCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESVRDITGAQNSVLHYSQPAPGSSTTHNINLQIPHFNTTTTQDLLAFSLKKEQQSFSLRPELPPWLACPPGPGAGAGAVPGPSPPYHRQISVDHLSSSSASIFTHHQELCPNPNPSLEPTLPRYRTAPSPHMSATALLQKATQMGATMSGKTNCLMRPHHHQEQAHVSSNSSNNSANTTGFGLNLSLHEELSGGWSFVDGLQASFGNKAGVAVPSGNTTAGSSSAPSGALLQEMMNSLSSSSGFEGNSSFEDPFVSGVLNDKKGRNFHDSISKATTNENGGGTTGEGLTRDFLGLRAFSHSDILSMAGLGNCVNSAHEQQNSQSCSHS >Manes.11G152186.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31918549:31919276:-1 gene:Manes.11G152186.v8.1 transcript:Manes.11G152186.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPQEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPRRPSIFHRASPLHGHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNRRRMST >Manes.03G046500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146815:4153274:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146815:4154433:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146799:4154261:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146822:4154228:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146849:4154433:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146822:4154261:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146849:4154261:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146799:4154433:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146849:4154262:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146799:4153274:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146815:4153274:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146849:4153274:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGEHRRRGKDDYDQQYGSRRHARAEEEERRRKGHDDRDYAGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146815:4153274:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146815:4154261:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.03G046500.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4146815:4154433:1 gene:Manes.03G046500.v8.1 transcript:Manes.03G046500.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGYIQTNKFFVKPKTGKVAHDTKGFEADQGTAGISKKPNKDILEHDRKRQILLKLTVLEDKLIEQGYTDAEIAEKLEEARENLEAASAAEGSDGPAAIVATEKKVSDTQSHQIAARKEKQMETLRAALGIRMSEPSEQNVEMSDDGPQNGGKTGPTDDSKWGEKREHAFLDRDFSRKKSTAENQNADKNDKKKNKKKERDGLDDLMKHYKKGESDDRTDSDTDSDPRNGKKKKSSKKHVKSRIRDSDSESDDSASDDDTDSNSGGRKKNRTLAKSTKSKRHDNKSYDSASDDGADSDNMKKKKKNSDKSAKSRIHDNDSDDYTDSDYGRKKESRSLEKAGRSRRRRYDSETDDSSSDDGTDSDNGQKNKSSKPPMRGRSRRYDSDINDSTSDDGTDSDKGRKKKSKTLVKPTISRRHDSDDSSSDDDIDFDKRKKKKNRTLEKPKKSRRNDSDDSTTDDDNDYAIEKNKNRTSEKYERSRRHDSDVDDSLTDDDDVRKVTPKQEVGNYKKIHRRHDSDLDLNLSKHRTEEMKQHEKISSQHDSEDSSDTDGEVRREKSPLGKLRSQGNRSSRIGNDDSNLEDRWKSEDIPGRSSRIHSKDDELKREARRSDRDYEGHGGEKRHMRDEVDRRSGRYRRDEEDGRGREMRHRMDEEAGHGREMRHRREEEEHKERKYRREEHDEKYGKHVKAEDDQRYGSRRRGRDEEGERGSKSDVRDRQMESSKRARYGDSRSDERKRYENDKCDDDRARY >Manes.16G026800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2873204:2877702:-1 gene:Manes.16G026800.v8.1 transcript:Manes.16G026800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIYKNLTTEDTFHHSLPKPFNTSFSPSLSLFYLPPNSPLKLRGSSQSPRTEKILLYYQIFFPFRSQRSRAYVQTSFKSRSMELEQYFAQEWKSVASAATGSESIGGCFDCNICFDFAQEPVVTLCGHLYCWPCIYKWLHVQSASLASDEHPQCPVCKADISHTTMVPLYGRGQSLAEADLEGKAPCRGMVIPPRPSAYGAQALVSSTPQNGQQLPYRNPYQNHNFNPDPYSSFEEASSSPLLNLGGSPVTGVHHPFVGMFGELVYARVFGNSESLYTYPNSYHLMGSASPRLRRQEMQADKSLNRISIFLFCCFLLCLIVF >Manes.15G057900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4452930:4457571:1 gene:Manes.15G057900.v8.1 transcript:Manes.15G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKGDNPHSGDGASPGKIFIGGLAKDTTYTTFNNHFGKYGDITDSVIMKDRYTGQPRGFGFITYADPSVVDRVIEDTHIINGKQVEIKRTIPKGSGQSNDFKTKKIFVGGIPSSVTEDEFKSFFLKYGKVVEHQIIRDHETNRSRGFGFIIFDSEEIVDEMLSQGNMIDMAGTQVEIKKAEPKKASNPPPAPAYGSNSRGRSYSENFGGFGGSYGAFDGGFGPGPYRTPGGLGGRFGGGGGGGGGGGVYGYGSDGGDFGGGYGSFGGSSLGGYRGESSLGYSGRFGPYGGGFGGAYGGSGLGGYGRGGEGYGSYGGPGYGGGYESGPGASYGGAGGLYGRGGYSGSSRYHPYAR >Manes.08G086100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:27029348:27031011:1 gene:Manes.08G086100.v8.1 transcript:Manes.08G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIDALRRLLLPCFSPSTNTTATNLTTKKRLSASLRDDLPNPTTNQETQIQDQNQDSASSESTIKPSINLAPSRPSKTMVVGTIFGNRRGHVWFCIQHDRLSIKPLLLLELSMPTNQLVKEMQCGLVRIALECDRSDFSSCPLRSIPVWTMYCNGKRVGFALRRKANDQNRSMLKTMKSMTVGAGVIPSGFESMGSEEIMYMRANYEHVVGNADSESFHLINPDECPGQELSVFLMRSG >Manes.11G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8733185:8743739:1 gene:Manes.11G062800.v8.1 transcript:Manes.11G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVSCSSHSVLSSSASKPKSICPSAQMASAMQLNVKPVRLAFHSRLASKHSHKPTRIRCAAAAAAATPSRRYSITLLPGDGIGPEVISVAKNVLKLAGSLEGIEFSFQEMPMGGCAFDLAGVPLPEETLSAAKQSDAVLLGAIGGYKWDNNEKHLKPETGLLQLRQGLNVFANLRPATVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGTNESGEEIGFNTEVYATHEVDRIARVAFETARKRHGKLCSVDKANVLEASMFWRKRVTAIAAEYPDIELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGASGPGVFEPIHGSAPDIAGQDKANPLATVLSAAMLLKYGLGEEKAAKRIENAVQDTLNKGFRTGDIYSAGTKLVGCNEMGEEVLKSVDSSVLTAV >Manes.11G114466.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:26938057:26938422:1 gene:Manes.11G114466.v8.1 transcript:Manes.11G114466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFIQNLRERPLLLFENESISTFPDIPKNETRHLQKLVFILLLNISFQISIIEKLSNIEERRRSGECNQTAIALGHERINVVEFLFRHDKSNPNQNYLIFCEEESCKAIFPRKDSKEKF >Manes.11G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21931712:21935766:-1 gene:Manes.11G100300.v8.1 transcript:Manes.11G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARWCWTKHSTPRHFLFLSFNSLKRQITQNQTLNLYEKKQMFDQYSSEESPLHSLQATTGQSPPTTATLQIITISAGVEGCLELDGSSSDRTQENAELNPQDAWLPITESRNGNFFTCVFHLLSSGLGFQALLLPLAFSILGWTGGIICLLLASTWQLYTTWVLVHLHEALPGPRCSRFLQLSVASFGPKLGKLLAIFPVMYLSGGTCVILIITGGRTMELFYDTVCGSGASCDAKSLSGTEWLLVFTCVAIIMAQRPNLNSIASVSFIAAITAVGYYSLIWVSTIPKDRLDNMSRDPLQNEKSHMTRVSSIFNAFGIIALSFRGHNLVLEIQGILPSSSKHPSRKTMWRGVLISYLIITICILPLAISGFWAYGNKIPSKIGNISEILQFYTRNASKSIKGLTYMLVLINCLTSFQLFAMVVFDNFELRYISIKNKQCSFWVRTGLRLLFGGLAFLIAVSFPFLPSLASLIGGIALPLTFAYPCFMWISIKKPLKNSFMWCFNFGLGGLGLVLSVLVVAAAVWNLSTKGLHANFFKP >Manes.11G120650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28033859:28052714:1 gene:Manes.11G120650.v8.1 transcript:Manes.11G120650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKMSTLALTTDSLTQQQETSSLLIVVGLRCVGHDIITVASLKLSMGCCGSKRSTLDDNDTDHAYTSRAQQSASSSHVYSIVHRPPSRPKTDKGYGQKQSMQAFVSQNYEGFGQSLSASSTSLPKEPRSHTPVSQNRQGLGHTPKETDDRYKSPAVESVASSSSLFPEESKINHASGFELKKYSFKELAEATEHFSNNNFLGEGAFGQVFKANLDGKEVAIKKLKMVMDTEVDHSDEQPKNLEKLLEELDVLRIVNHPNVVKMVGYCNEQKNKLLVLEYVANKSLRFHLNGKKPLVWSDRMKIAIGSAKGLQYLHKDCDIKIIHRDIKADNILLSNDFEPKVADFSLAKFLPNATNVSHITSILRGTNVYADPEHGENQKVSEKSDVYSFGVVLMELISGRKLIDKNINIIDWAKDQITETLGGGDYTVLVDSIRHCYDEEKIKRMIEALIDPKLENYEEEQVKKMIFCAIASIKNVSKVRPTMQKIIGVLEGTIKPSERILDWEYNKSAPNNNNGDLLQPVVQRLFMIPEDDDDNVEFQNFKPTIFTYEELEIATEHFSSNNFLGASRLGHVCKGQLSGVTVTIKKFMQADIFEDIKGISCSVHHENLVNLIGYCDKGGNKLLVYEFFPKESSLRSYLHENGRSSLDWQKRVQIALSIARGLEKLQYIPWNIYEDLNGDNIFLSNNFKPKFAEYKHAGFFSNSTIPLSSSSTNSEDIKADVYFFGVILLELITKKQHVDDRSSNGHNDIVNWVAPLFKKALDIGKYDDLIDSNLQNSYTKDQIVRMLYSAAACVYKPTEFRPQIGQIIQVLRGTMELENIWRLRDNKIFLRNKSSDIVHSN >Manes.05G000800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:121593:124231:1 gene:Manes.05G000800.v8.1 transcript:Manes.05G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPRFVIDASEAESMAKQLGLTVLQLLPTLVKEARSLARPPISSYYVGAVGLGSSGRIFFGSNLEFPGLPLHHSVHAEQFLITNLTLNAESGLNYVAVSAAPCGHCRQFFQEIRNAPDIKILITDDSNRNNCGGVAENSGDAKFESLSHFLPHRFGPDDLLDKNVPLALEPHHNHLSLLSDFNSNIPNGITSRVCDDLKYEALEAANKSHAPYSDCPSGVALMDCEGKVYKGSYMESAAHNPSLGPVQAALVAYVVGGGGSGYEKIVAAVLVEKEGAMVRQGYTARLLLQMISPKCEFKVIHCGLKSR >Manes.15G182200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25758766:25765306:-1 gene:Manes.15G182200.v8.1 transcript:Manes.15G182200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLASGESPTFGRERKRLPRVATVAGVLSELEDENSNSVGSDAPSSVSQERMIIVGNQLPLRVHQSPDGNGEWCFSWDEDSLLLQLRDGLGEDVEVIYVGCLKEEVDPSEQDDVAQTLLESFKCVPAFIPPELFSKYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNADLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVAELHDQFRGQTVILGVDDMDIFKGISLKLLAMEQLLLQHPDKRGEVVLVQIANPARGRGRDVQEVQSETKATVRRINEIFGRPGYAPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYVICRQGNDKLDETLGLNTFDPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALVIPEPEKQMRHEKHYRYVSTHDVAYWARSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKHRAILLDYDGTMILSGSISTAPSTEAVGILNNLCRDPKNVVFVVSGKDKETLAEWFSSSEKLGIAAEHGYFVRPNHDVDWETCVSVPDFDWKQIAEPVMKLYTETTDGSAIETKESALVWNYQYADPDFGSCQAKELLDHLESVLTNEPVSVKSGQHIVEVKPQGVNKGLVAQCLLETMQKKGMLPDFVLCIGDDRSDEDMFEVIMSARAGPSLSPVAEVFACTVGQKPSKAKYYLEDTSEILRMLEGLANASELAARSSPPIGAKTDH >Manes.15G182200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25758766:25765306:-1 gene:Manes.15G182200.v8.1 transcript:Manes.15G182200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLASGESPTFGRERKRLPRVATVAGVLSELEDENSNSVGSDAPSSVSQERMIIVGNQLPLRVHQSPDGNGEWCFSWDEDSLLLQLRDGLGEDVEVIYVGCLKEEVDPSEQDDVAQTLLESFKCVPAFIPPELFSKYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNADLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVAELHDQFRGQTVILGVDDMDIFKGISLKLLAMEQLLLQHPDKRGEVVLVQIANPARGRGRDVQEVQSETKATVRRINEIFGRPGYAPVVLIDTPLQFYERIAYYVIAECCLVTAVRDGMNLIPYEYVICRQGNDKLDETLGLNTFDPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALVIPEPEKQMRHEKHYRYVSTHDVAYWARSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKHRAILLDYDGTMILSGSISTAPSTEAVGILNNLCRDPKNVVFVVSGKDKETLAEWFSSSEKLGIAAEHGYFVRPNHDVDWETCVSVPDFDWKQIAEPVMKLYTETTDGSAIETKESALVWNYQYADPDFGSCQAKELLDHLESVLTNEPVSVKSGQHIVEVKPQGVNKGLVAQCLLETMQKKGMLPDFVLCIGDDRSDEDMFEVIMSARAGPSLSPVAEVFACTVGQKPSKAKYYLEDTSEILRMLEGLANASELAARSSPPIGAKTDH >Manes.01G040200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6940013:6946773:-1 gene:Manes.01G040200.v8.1 transcript:Manes.01G040200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLSSFLLSLSSELANDEKPVDSRKLAGLILKNALDAKEQHRKLELIQRWLSLDNSVKSQIKACLLRTLSSPAADARSTASQVIAKVAGIELPQKQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDQVNKILTAVVQGMNASEGNNDVRLAATRALYNALGFAQANFTNDMERDYIMRVVCEATLSPEVKIRQAAFECLVSISSSYYEKLSPYIQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIEILEEYGDDFTGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLIPIVNVALNFMLSALTKDPNNHVKDTTAWTLGRIFEFLHGSTMDAPIITQANCQQIITVLLQSMKDVPNVAEKACGALYFLAQGYEVVGPSSPLTPYFQEIVQALLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHKTLEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGLDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTAGADDEMTEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSIYMGKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSEDHMIKESAEWAKLAISRAISV >Manes.02G031801.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2599690:2608104:1 gene:Manes.02G031801.v8.1 transcript:Manes.02G031801.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMTFPLFSANPIFSCKSKQSHFYSLSLLPNRTPAAPQTRFVTRKSSSYGTVAGMIGAGLALSLAGPASASSLPLLAQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGLESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVIGNATMCIAAFRIYKSTEERPKNL >Manes.02G031801.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2599791:2605919:1 gene:Manes.02G031801.v8.1 transcript:Manes.02G031801.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMTFPLFSANPIFSCKSKQSHFYSLSLLPNRTPAAPQTRFVTRKSSSYGTVAGMIGAGLALSLAGPASASSLPLLAQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGLESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVRMVLPLYCEKKIFCVS >Manes.02G031801.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2599690:2608104:1 gene:Manes.02G031801.v8.1 transcript:Manes.02G031801.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMTFPLFSANPIFSCKSKQSHFYSLSLLPNRTPAAPQTRFVTRKSSSYGTVAGMIGAGLALSLAGPASASSLPLLAQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGLESWKGLSWGTSAWWSILCMHLAFLL >Manes.02G031801.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2599690:2608104:1 gene:Manes.02G031801.v8.1 transcript:Manes.02G031801.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMTFPLFSANPIFSCKSKQSHFYSLSLLPNRTPAAPQTRFVTRKSSSYGTVAGMIGAGLALSLAGPASASSLPLLAQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGLESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVIGNATMCIAAFRIYKSTEERPKNL >Manes.02G031801.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2599690:2606194:1 gene:Manes.02G031801.v8.1 transcript:Manes.02G031801.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMTFPLFSANPIFSCKSKQSHFYSLSLLPNRTPAAPQTRFVTRKSSSYGTVAGMIGAGLALSLAGPASASSLPLLAQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGLESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEFQS >Manes.02G031801.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2599690:2608104:1 gene:Manes.02G031801.v8.1 transcript:Manes.02G031801.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMTFPLFSANPIFSCKSKQSHFYSLSLLPNRTPAAPQTRFVTRKSSSYGTVAGMIGAGLALSLAGPASASSLPLLAQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGLESWKGLSWGTSAWWSILCMHLAFLL >Manes.02G031801.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2599690:2606658:1 gene:Manes.02G031801.v8.1 transcript:Manes.02G031801.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMTFPLFSANPIFSCKSKQSHFYSLSLLPNRTPAAPQTRFVTRKSSSYGTVAGMIGAGLALSLAGPASASSLPLLAQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGLESWKGLSWGMVPLLGGAFCACTWHFFYNSESLES >Manes.02G031801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2599690:2608104:1 gene:Manes.02G031801.v8.1 transcript:Manes.02G031801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMTFPLFSANPIFSCKSKQSHFYSLSLLPNRTPAAPQTRFVTRKSSSYGTVAGMIGAGLALSLAGPASASSLPLLAQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGLESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEEMGFTIAGSFTIENKMWVLRVS >Manes.02G037551.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3108197:3108823:-1 gene:Manes.02G037551.v8.1 transcript:Manes.02G037551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTVASIALLLSLNLLFFTLASSCSCSTPSPSPKPKAPAPHPNNPSPKPKTPAPSNPSPKPKTPAPSNPSTKPNNPSPSNPSPTPNVPSPKPSNPSPSNPSPTPKLPSPKPSNPSPAPSKGACPRDTLKLGVCVDLLKGLLGITIGKPPTTPCCSLLKDLVDLEAAVCLCTNIKANLLGINLNLPIDLSLLLNSCGKKVPEGFKCP >Manes.09G056200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9021643:9030294:-1 gene:Manes.09G056200.v8.1 transcript:Manes.09G056200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVNRKISAASARAHTRRAKQNNSLKLPPGVFSKILLLLLVGILAWAYQVIRPPPPKICGSPDGPPVTASRIKLRDGRHLAYKEHGVTRDLAKFKIIVVHGFRACRHNPSVANHLSPEIVKELGVYLVSFDRPGYGESDPHPKRTLKSLALDIEELADQLGLGSKFYVIGYSMGGELTWSCLKYIPHRLAGVTLLAPVINYWWPGFPANQSNEAYNQQFAPDQWALRVAHYTPWLTYWWNTQKWFSGSTVMASSPDLFSHQDKEVLAKLSMEKSYMEYMTQQGEYESLHRDMMIAFGSWEFDPMDLDNPFPNNEGSVHLWQGDEDRFVPVMLQRYIAQRLPWIHYHELPGSGHLFPFIDRIPDKIIKAMLTEE >Manes.09G056200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9021643:9030294:-1 gene:Manes.09G056200.v8.1 transcript:Manes.09G056200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVNRKISAASARAHTRRAKQNNSLKLPPGVFSKILLLLLVGILAWAYQVIRPPPPKICGSPDGPPVTASRIKLRDGRHLAYKEHGVTRDLAKFKIIVVHGFRACRHNPSVANHLSPEIVKELGVYLVSFDRPGYGESDPHPKRTLKSLALDIEELADQLGLGSKFYVIGYSMGGELTWSCLKYIPHRLAGVTLLAPVINYWWPGFPANQSNEAYNQQFAPDQWALRVAHYTPWLTYWWNTQKWFSGSTVMASSPDLFSHQDKEVLAKLSMEKSYMEYMTQQGEYESLHRDMMIAFGSWEFDPMDLDNPFPNNEGSVHLWQGDEDRFVPVMLQRYIAQRLPWIHYHELPGSGHLFPFIDRIPDKIIKAMLTEE >Manes.11G088179.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16362770:16363063:1 gene:Manes.11G088179.v8.1 transcript:Manes.11G088179.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPSMISCAMQSMKKQSFQGRNQSSVPKGHVAVYVGEFQWKRFIVPISYLNHPCFQDLLNQAAEEFGFNHPMGAITIPCKEDDFIDLTSRFLAF >Manes.15G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10735403:10742592:1 gene:Manes.15G133200.v8.1 transcript:Manes.15G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMKDKFKGFMKKVNTQLSSSSSGKFKGQGRVLGGSSSASSGSNNPIGSRYYQPDNPKPTPTPSSLNSSSNFKSLPQKTPNSDQNKPAPINNSIPNRKPVDGFDPFDSLITTGKRSQNGSSLNVYECPICGQSYKSEEEVSMHVESCANNNNNDLVDEGNGIGASSGSELQSSVGAYLSGKPPEGSVEVVLRLFRNIVKEPGNAKFRKIRMGNPKIREAVSDVAGGVELLEGVGFELKEEGGEMCAVMEVPNEERLRLINEAIRLLEPTKVEGQVQKIEEKPSVAPSGTGEPVEPKKIDREIRVFFSVPESVAAKIQLPDSFYNLSAEELKREADARKKKIAESQLLIPKSYKEKQAKAARKRYRRTLIRIQFPDGVVLQGVFAPWEPTSALYEFVSASLKDPSLEFELLDPVLVKRRVIPHFPGAGERGSTLEDEELVPSALIKFRPVETDSCVFTGLCNELLEISEPLN >Manes.03G189100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRYVKAIPHGSGGFLDSDTLYGLARNLPRSPRRYTAENSVKFGAPRDTDHPQLRQPLTLEIWDSIVEKLEPGSKISILTNGPLTNLAKIIQSRKNASSAIQDVYVVGGHISSSNLDKGNVLTIHSNEYTEMNMFLDPLAAKTVFESPLNIILIPINAQRKTNSFSKILQKLRKTNRTPEASFALRLLSRLYRLQQTHHRYNHMDTFLGEILGAVVLAGDSLLNPISRMKPIKVLAEGVESKDGQIVVDEKQGKLVKILESVDPVAYYDLFAKQLGVKKQSAVIGSFEEQRRMWSLQPSSSNHNNGLN >Manes.03G189100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831705:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRMCMLLEDTLAAAIWTREMC >Manes.03G189100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRYVKAIPHGSGGFLDSDTLYGLARNLPRSPRRYTAENSVKFGAPRDTDHPQLRQPLTLEIWDSIVEKLEPGSKISILTNGPLTNLAKIIQSRKNASSAIQDVYVVGGHISSSNLDKGNVLTIHSNEYTEMNMFLDPLAAKTVFESPLNIILIPINAQRKTNSFSKILQKLRKTNRTPEASFALRLLSRLYRLQQTHHRYNHMDTFLGEILGAVVLAGDSLLNPISRMKPIKVLAEGVESKDGQIVVDEKQGKLVKILESVDPVAYYDLFAKQLGVKKQSAVIGSFEEQRRMWSLQPSSSNHNNGLN >Manes.03G189100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAENRFLRVVIGIQKMLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRYTAENSVKFGAPRDTDHPQLRQPLTLEIWDSIVEKLEPGSKISILTNGPLTNLAKIIQSRKNASSAIQDVYVVGGHISSSNLDKGNVLTIHSNEYTEMNMFLDPLAAKTVFESPLNIILIPINAQRKTNSFSKILQKLRKTNRTPEASFALRLLSRLYRLQQTHHRYNHMDTFLGEILGAVVLAGDSLLNPISRMKPIKVLAEGVESKDGQIVVDEKQGKLVKILESVDPVAYYDLFAKQLGVKKQSAVIGSFEEQRRMWSLQPSSSNHNNGLN >Manes.03G189100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAENRFLRVVIGIQKMLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRMCMLLEDTLAAAIWTREMC >Manes.03G189100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825502:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAENRFLRVVIGIQKMLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKVNVYLIF >Manes.03G189100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKVNVYLIF >Manes.03G189100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831705:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRYVKAIPHGSGGFLDSDTLYGLARNLPRSPRRYTAENSVKFGAPRDTDHPQLRQPLTLEIWDSIVEKLEPGSKISILTNGPLTNLAKIIQSRKNASSAIQDVYVVGGHISSSNLDKGNVLTIHSNEYTEMNMFLDPLAAKTVFESPLNIILIPINAQRKTNSFSKILQKLRKTNRTPEASFALRLLSRLYRLQQTHHRYNHMDTFLGEILGAVVLAGDSLLNPISRMKPIKVLAEGVESKDGQIVVDEKQGKLVKILESVDPVAYYDLFAKQLGVKKQSAVIGSFEEQRRMWSLQPSSSNHNNGLN >Manes.03G189100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRYVKAIPHGSGGFLDSDTLYGLARNLPRSPRRKLSKIWSPS >Manes.03G189100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAENRFLRVVIGIQKMLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRYVKAIPHGSGGFLDSDTLYGLARNLPRSPRRYTAENSVKFGAPRDTDHPQLRQPLTLEIWDSIVEKLEPGSKISILTNGPLTNLAKIIQSRKNASSAIQDVYVVGGHISSSNLDKGNVLTIHSNEYTEMNMFLDPLAAKTVFESPLNIILIPINAQRKTNSFSKILQKLRKTNRTPEASFALRLLSRLYRLQQTHHRYNHMDTFLGEILGAVVLAGDSLLNPISRMKPIKVLAEGVESKDGQIVVDEKQGKLVKILESVDPVAYYDLFAKQLGVKKQSAVIGSFEEQRRMWSLQPSSSNHNNGLN >Manes.03G189100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825502:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKVNVYLIF >Manes.03G189100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30825504:30831667:-1 gene:Manes.03G189100.v8.1 transcript:Manes.03G189100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQRTFWVVLVFITGLAAANVHPVESRPHRILLDTDVDTDDFFALLYMLKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMLPNVGGYLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLPQGSRKYSPLRQPTTQQVLIDKISAGPIAVIIIGAHTNFAIFLMNNPHLKKNIKHIYIMGGGVRSRNPTGCCTQNSGSSCQPRQCGDHGNLFTDYTSNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINEDFFKTFENSQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSSLRNLHNQNEENEFAEMEYMNITVVTSNEPYGIYDSSNPFFDGRRVPKFNLKKGGVHSGHVQTGLRDPFCIVQNGKGRCQDGFTKEVTGAEGVRVLVATRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGRFNFTTQFPNYKEVYYKPDFGSKKQGKPVVFDMDMSAGDFVALFYLLKLPVEVINLKAIIVSPTGWANAATIDLVYDLLHMMGRDDIPVGLGDVFAVNQSDPIFSAVGDCRMCMLLEDTLAAAIWTREMC >Manes.12G131000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33871218:33877435:1 gene:Manes.12G131000.v8.1 transcript:Manes.12G131000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVNYILLCFIQSMYYYFFMFLASSVFISPKCLCLMQVPPLFLDVSPNHFVLDMCAAPGSKTFQLLEIIYQSTKPGSLPDGMVIANDLDVQRCNLLIHQTKRMCTANLIVTNNEAQHFPGCRAHKNFSKASEIELEPPISQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNSGMGNGLHPLQIQIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSSELPQLVRRPGLRNWKVRDRGIWLSSHKDALKFHRFGILPSMFPSGRRYVAPTDGDHKNENGANVNSEDEPMEDPMISTDDWNEEVSDIPLERCMRIVPHDQNSGAFFIAVLHKLSPLPVIQEKPSRRGNSLTKRDEIQEKLSEQVTECNNGVELNSEDAASEKFSEAASEADLIEKEMDETASVPDPCNTDDENDSEKAKLLVEGETPSGKAVGKRKLQIQGKWKGVDPVIFFKDEAIINSIKAFYGIDESFPFGGHLISRNIDNNHVKRIYYISKSVKDVLELNLLVGQQLKIASVGLKMFERQTSREGTTAPCSFRISSEGLPVILPHITKQILYASPVDFKHLLQYKAIKFMDFVDAEFGEKASKLMMGCSVIVLRDAKTSSEPIQLDASTIAIGCWKGRGSLSVMVTAIDCQELLERLSARMETEKGSSAQENNVEGVELQDMNGIEEAERSYTTKEATND >Manes.12G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33869252:33877435:1 gene:Manes.12G131000.v8.1 transcript:Manes.12G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGRGNRSRTQRKHFRDGRENVWKRSKSQASSDSNNTSTDNPTWQPFATQNQAFDEYYKEEGIVPPEEWDTFVEFLRTPLPAAFRINSSSQFCADIRSQLENDFMKSLKAEVTEGGGEVEAIRPLPWYPDNLAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVSPNHFVLDMCAAPGSKTFQLLEIIYQSTKPGSLPDGMVIANDLDVQRCNLLIHQTKRMCTANLIVTNNEAQHFPGCRAHKNFSKASEIELEPPISQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNSGMGNGLHPLQIQIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSSELPQLVRRPGLRNWKVRDRGIWLSSHKDALKFHRFGILPSMFPSGRRYVAPTDGDHKNENGANVNSEDEPMEDPMISTDDWNEEVSDIPLERCMRIVPHDQNSGAFFIAVLHKLSPLPVIQEKPSRRGNSLTKRDEIQEKLSEQVTECNNGVELNSEDAASEKFSEAASEADLIEKEMDETASVPDPCNTDDENDSEKAKLLVEGETPSGKAVGKRKLQIQGKWKGVDPVIFFKDEAIINSIKAFYGIDESFPFGGHLISRNIDNNHVKRIYYISKSVKDVLELNLLVGQQLKIASVGLKMFERQTSREGTTAPCSFRISSEGLPVILPHITKQILYASPVDFKHLLQYKAIKFMDFVDAEFGEKASKLMMGCSVIVLRDAKTSSEPIQLDASTIAIGCWKGRGSLSVMVTAIDCQELLERLSARMETEKGSSAQENNVEGVELQDMNGIEEAERSYTTKEATND >Manes.12G131000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33869252:33877435:1 gene:Manes.12G131000.v8.1 transcript:Manes.12G131000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVSPNHFVLDMCAAPGSKTFQLLEIIYQSTKPGSLPDGMVIANDLDVQRCNLLIHQTKRMCTANLIVTNNEAQHFPGCRAHKNFSKASEIELEPPISQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNSGMGNGLHPLQIQIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSSELPQLVRRPGLRNWKVRDRGIWLSSHKDALKFHRFGILPSMFPSGRRYVAPTDGDHKNENGANVNSEDEPMEDPMISTDDWNEEVSDIPLERCMRIVPHDQNSGAFFIAVLHKLSPLPVIQEKPSRRGNSLTKRDEIQEKLSEQVTECNNGVELNSEDAASEKFSEAASEADLIEKEMDETASVPDPCNTDDENDSEKAKLLVEGETPSGKAVGKRKLQIQGKWKGVDPVIFFKDEAIINSIKAFYGIDESFPFGGHLISRNIDNNHVKRIYYISKSVKDVLELNLLVGQQLKIASVGLKMFERQTSREGTTAPCSFRISSEGLPVILPHITKQILYASPVDFKHLLQYKAIKFMDFVDAEFGEKASKLMMGCSVIVLRDAKTSSEPIQLDASTIAIGCWKGRGSLSVMVTAIDCQELLERLSARMETEKGSSAQENNVEGVELQDMNGIEEAERSYTTKEATND >Manes.12G131000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33870510:33877435:1 gene:Manes.12G131000.v8.1 transcript:Manes.12G131000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHIIRVLKDLSASSQFCADIRSQLENDFMKSLKAEVTEGGGEVEAIRPLPWYPDNLAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVSPNHFVLDMCAAPGSKTFQLLEIIYQSTKPGSLPDGMVIANDLDVQRCNLLIHQTKRMCTANLIVTNNEAQHFPGCRAHKNFSKASEIELEPPISQLLFDRVLCDVPCSGDGTLRKAPDLWRKWNSGMGNGLHPLQIQIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGSVELVDVSSELPQLVRRPGLRNWKVRDRGIWLSSHKDALKFHRFGILPSMFPSGRRYVAPTDGDHKNENGANVNSEDEPMEDPMISTDDWNEEVSDIPLERCMRIVPHDQNSGAFFIAVLHKLSPLPVIQEKPSRRGNSLTKRDEIQEKLSEQVTECNNGVELNSEDAASEKFSEAASEADLIEKEMDETASVPDPCNTDDENDSEKAKLLVEGETPSGKAVGKRKLQIQGKWKGVDPVIFFKDEAIINSIKAFYGIDESFPFGGHLISRNIDNNHVKRIYYISKSVKDVLELNLLVGQQLKIASVGLKMFERQTSREGTTAPCSFRISSEGLPVILPHITKQILYASPVDFKHLLQYKAIKFMDFVDAEFGEKASKLMMGCSVIVLRDAKTSSEPIQLDASTIAIGCWKGRGSLSVMVTAIDCQELLERLSARMETEKGSSAQENNVEGVELQDMNGIEEAERSYTTKEATND >Manes.15G035200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2790277:2791864:1 gene:Manes.15G035200.v8.1 transcript:Manes.15G035200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAQPPPFPSPLPLRTTSSNIPTTLLNRYELGKLLGRGSFAKVYAARSLMDNKPVAIKIIDKTRTVDAAMEPRIICEISAMRRLQHHPNILKIHEVMATKTKIYIVVELAMGGELFSKVLQRGKLKESRARRYFQQLVSALHFCHQNGVAHRDVKPQNLLLDENGNLKVSDFGLSALAEAQNGVVLQTACGTPAFTAPEVIARQGYDGAKVDAWSCGVILFFLLSAQLPFDDTNLAAMYKKIHRREYQMPSPITKPAKSIIARLLDPNPSKRMSIQELMNHSWFLKNYEIPTQSSMFELEHAKYCKFDTSEVNAFHIISLSSGLDLSGLLEVKSKREKRFTSRETVERIVERVREVGEKLGYRIEEGKGRAIGLGKGRLLLVLEALEIAEKLLVVEVKVVGGDGAEFEEFHWLIFVHGTIL >Manes.15G035200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2790078:2791920:1 gene:Manes.15G035200.v8.1 transcript:Manes.15G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAQPPPFPSPLPLRTTSSNIPTTLLNRYELGKLLGRGSFAKVYAARSLMDNKPVAIKIIDKTRTVDAAMEPRIICEISAMRRLQHHPNILKIHEVMATKTKIYIVVELAMGGELFSKVLQRGKLKESRARRYFQQLVSALHFCHQNGVAHRDVKPQNLLLDENGNLKVSDFGLSALAEAQNGVVLQTACGTPAFTAPEVIARQGYDGAKVDAWSCGVILFFLLSAQLPFDDTNLAAMYKKIHRREYQMPSPITKPAKSIIARLLDPNPSKRMSIQELMNHSWFLKNYEIPTQSSMFELEHAKYCKFDTSEVNAFHIISLSSGLDLSGLLEVKSKREKRFTSRETVERIVERVREVGEKLGYRIEEGKGRAIGLGKGRLLLVLEALEIAEKLLVVEVKVVGGDGAEFEEFHWGELKDGLQDVVLQWHNDSM >Manes.04G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4777901:4778391:-1 gene:Manes.04G036500.v8.1 transcript:Manes.04G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVLSICFIAATILSILPCEYRDGNRIPSTIIFRQLPSIIFHAFALLLVLAFTGSFIALMIEDDTQIVRQICWYISVTSMAAPSWLGFPEFPFLGSFRCNTLQIFETWNEISPWRILMEKILTQ >Manes.11G004300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:536382:539461:-1 gene:Manes.11G004300.v8.1 transcript:Manes.11G004300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSHGTSSEPEHDNTNTFVHDLMMEETGDEFFQPNNNHRYHHRRDTKDHKELGWIFILANLLLEILVLAFDQMALPNQPRYGLIVWGLCSAVMFVCIAELIFKGMIKEKLSILQCLKSLLPFSSPGGKKPYGFVDALILLGAKVQMALASIGYAYLHQDINKEKGIITLRMIEKETETERMF >Manes.11G004300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:536382:539534:-1 gene:Manes.11G004300.v8.1 transcript:Manes.11G004300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSHGTSSEPEHDNTNTFVHDLMMEETGDEFFQPNNNHRYHHRRDTKDHKELGWIFILANLLLEILVLAFDQMALPNQPRYGLIVWGLCSAVMFVCIAELIFKGMIKEKLSILQCLKSLLPFSSPGGKKPYGFVDALILLGAKVQMALASIGYAYLHQVAGRKRDL >Manes.11G004300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:536382:539461:-1 gene:Manes.11G004300.v8.1 transcript:Manes.11G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSHGTSSEPEHDNTNTFVHDLMMEETGDEFFQPNNNHRYHHRRDTKDHKELGWIFILANLLLEILVLAFDQMALPNQPRYGLIVWGLCSAVMFVCIAELIFKGMIKEKLSILQCLKSLLPFSSPGGKKPYGFVDALILLGAKVQMALASIGYAYLHQGKENPIKISLVSIIFTVCYAFSKLHKNRNEANLLPVRQEN >Manes.02G145600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11174641:11177135:1 gene:Manes.02G145600.v8.1 transcript:Manes.02G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYVEAHHPPKHENVTPMNMFSKLHPHPHHQLPFSQHFQLSRESEDDETRSTGAAAVTTPSPNTNPATTTTPSQKQKPTEPNSSAGTDGASIEVVRRPRGRPPGSKNRPKPPVVITRDPEPAMSPYILEVPGGSDVVESISRFCRRKNIGICVLTGSGAVTNVTLRQPSTTPGSTITFHGSFDILSLSATFMPQPVSHPVPNTFTISLAGPQGQIVGGFVAGSLVAAGTVYVIAATFNNPSYHRLPGEDEGRNSGSGGEGQSPSVSGAGGGGGDSGHTQGGGESCGMVMYSCHLPSDVIWAPTARPPPPY >Manes.17G117254.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34066872:34081032:1 gene:Manes.17G117254.v8.1 transcript:Manes.17G117254.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRDDVYVGSQFKRPFPSSRGESYGQSQILGGGVGGGSGGGGGGGGGGGGDGGGGGGGSVGGGAGGGGGTSQKLTTNDALTYLKEVKDMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAPPRKTVEFEEAINFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIDEVYSEVATLFEDHQDLLDEFTRFLPDTSVAPVNQNAPFGRSSIQRYNERNSTTPTLRQMHMDKQRRRDRIVTSHAECDLSVDRPELDDDKAMMKVHKEQRKRAEKENRDRRNREQDDREPEHDSNRDFSLQCFSDKRKSGRKVEGFGMHSNVSPYDDKDNLKSMCNQGIMFCEKVKEKLGSSDDYQAFLKCLNIYSNGIIKKNDLQNLVADLLGKYPDLMEEFNDFFERCENIDGFLAGVMSKKSPCSDGHASRPLKLEDKDKEQKREFDGAKEKERNREKYMAKSIQELDLSNCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVTSTAKRAEELLNSINENKIDTPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPSLALPVILTRLKQKQEEWTRCRADFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTRSLVSEIKELKEKQQKEDNVLLAFAAGNRQPVVPHLEYEFPDMSIHEDLFKLVEYSCEEVCTTKEQLSKVMRLWTTFMEPMLGIVCRSNGKESSEDRKIGHQATNCTTSSTVENGAGPTTMSSKPPKFASNGEENNSLELANSFRPSMANGDNLAKNSLLELDRVSKDDQTCNLFRVEKVHTDADVTNKMCGFNTQVASGQGVTDSKISLVVGAEQNHGRTSICGMAGSGLAQSIPGGGAVEDHKFKDGIDIGPSLEVLQGGLGAKSVLPANGGLTDDAKSSRYHEEATGPSKTEKEEGELSPNGDFEEDNFAVYGDNGLQAMPKGKHGIESRQNESGNGKELHCQDAGVENDADADADDEDSDNASEAGDDASGSEAAGDECSREEHEEDEDVEHDNVDGKAESEGEAEGMADAQFADVPLLERFLLSVKPLVKHVPGSLLDGERKESQKFYGNDDFYVLFRLHQTLYERILSAKTNSNCAEMKWRTSKDSSSSNPYARFMSALYNLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDDMDSKLLQLYEYEKSCKAGKFIDSVYYDNSSSPSRLSIQLMDNVTEKPEVLAVAMDPNFSAYLHNDFLSIFPSKKEPRGIALLRNKRKYTGLDEPSALCMAMAGVKMFNGLECKISCNSYKISYVLDTEDFFYRVRKKRRNSSQVKSSYHDQVQARVRRFHRFLSAT >Manes.17G117254.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34066872:34081032:1 gene:Manes.17G117254.v8.1 transcript:Manes.17G117254.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRDDVYVGSQFKRPFPSSRGESYGQSQILGGGVGGGSGGGGGGGGGGGGDGGGGGGGSVGGGAGGGGGTSQKLTTNDALTYLKEVKDMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAPPRKTVEFEEAINFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIDEVYSEVATLFEDHQDLLDEFTRFLPDTSVAPVNQNAPFGRSSIQRYNERNSTTPTLRQMHMDKQRRRDRIVTSHAECDLSVDRPELDDDKAMMKVHKEQRKRAEKENRDRRNREQDDREPEHDSNRDFSLQCFSDKRKSGRKVEGFGMHSNVSPYDDKDNLKSMCNQGIMFCEKVKEKLGSSDDYQAFLKCLNIYSNGIIKKNDLQNLVADLLGKYPDLMEEFNDFFERCENIDGFLAGVMSKKSPCSDGHASRPLKLEDKDKEQKREFDGAKEKERNREKYMAKSIQELDLSNCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVTSTAKRAEELLNSINENKIDTPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPSLALPVILTRLKQKQEEWTRCRADFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTRSLVSEIKELKEKQQKEDNVLLAFAAGNRQPVVPHLEYEFPDMSIHEDLFKLVEYSCEEVCTTKEQLSKVMRLWTTFMEPMLGIVCRSNGKESSEDRKIGHQATNCTTSSTVENGAGPTTMSSKPPKFASNGEENNSLELANSFRPSMANGDNLAKNSLLELDRVSKDDQTCNLFRVEKVHTDADVTNKMCGFNTQVASGQGVTDSKISLVVGAEQNHGRTSICGMAGSGLAQSIPGGGAVEDHKFKDGIDIGPSLEVLQGGLGAKSVLPANGGLTDDAKSSRYHEEATGPSKTEKEEGELSPNGDFEEDNFAVYGDNGLQAMPKGKHGIESRQNESGNGKELHCQDAGVENDADADADDEDSDNASEAGDDASGSEAAGDECSREEHEEDEDVEHDNVDGKAESEGEAEGMADAQFADVPLLERFLLSVKPLVKHVPGSLLDGERKESQKFYGNDDFYVLFRLHQTLYERILSAKTNSNCAEMKWRTSKDSSSSNPYARFMSALYNLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDDMDSKLLQLYEYEKSCKAGKFIDSVYYDNSSSPSRLSIQLMDNVTEKPEVLAVAMDPNFSAYLHNDFLSIFPSKKEPRGIALLRNKRKYTGLDEPSALCMAMAGVKMFNGLECKISCNSYKISYVLDTEDFFYRVRKKRRNSSQVKSSYHDQVQARVRRFHRFLSAT >Manes.17G117254.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34066872:34081032:1 gene:Manes.17G117254.v8.1 transcript:Manes.17G117254.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRDDVYVGSQFKRPFPSSRGESYGQSQILGGGVGGGSGGGGGGGGGGGGDGGGGGGGSVGGGAGGGGGTSQKLTTNDALTYLKEVKDMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAPPRKTVEFEEAINFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIDEVYSEVATLFEDHQDLLDEFTRFLPDTSVAPVNQNAPFGRSSIQRYNERNSTTPTLRQMHMDKQRRRDRIVTSHAECDLSVDRPELDDDKAMMKVHKEQRKRAEKENRDRRNREQDDREPEHDSNRDFSLQCFSDKRKSGRKVEGFGMHSNVSPYDDKDNLKSMCNQGIMFCEKVKEKLGSSDDYQAFLKCLNIYSNGIIKKNDLQNLVADLLGKYPDLMEEFNDFFERCENIDGFLAGVMSKKSPCSDGHASRPLKLEDKDKEQKREFDGAKEKERNREKYMAKSIQELDLSNCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVTSTAKRAEELLNSINENKIDTPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPSLALPVILTRLKQKQEEWTRCRADFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTRSLVSEIKELKEKQQKEDNVLLAFAAGNRQPVVPHLEYEFPDMSIHEDLFKLVEYSCEEVCTTKEQLSKVMRLWTTFMEPMLGIVCRSNGKESSEDRKIGHQATNCTTSSTVENGAGPTTMSSKPPKFASNGEENNSLELANSFRPSMANGDNLAKNSLLELDRVSKDDQTCNLFRVEKVHTDADVTNKMCGFNTQVASGQGVTDSKISLVVGAEQNHGRTSICGMAGSGLAQSIPGGGAVEDHKFKDGIDIGPSLEVLQGGLGAKSVLPANGGLTDDAKSSRYHEEATGPSKTEKEEGELSPNGDFEEDNFAVYGDNGLQAMPKGKHGIESRQNESGNGKELHCQDAGVENDADADADDEDSDNASEAGDDASGSEAAGDECSREEHEEDEDVEHDNVDGKAESEGEAEGMADAQFADVPLLERFLLSVKPLVKHVPGSLLDGERKESQKFYGNDDFYVLFRLHQTLYERILSAKTNSNCAEMKWRTSKDSSSSNPYARFMSALYNLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDDMDSKLLQLYEYEKSCKAGKFIDSVYYDNARFLLHEENIYRLEFSSSPSRLSIQLMDNVTEKPEVLAVAMDPNFSAYLHNDFLSIFPSKKEPRGIALLRNKRKYTGLDEPSALCMAMAGVKMFNGLECKISCNSYKISYVLDTEDFFYRVRKKRRNSSQVKSSYHDQVQARVRRFHRFLSAT >Manes.17G117254.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34066872:34081032:1 gene:Manes.17G117254.v8.1 transcript:Manes.17G117254.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRDDVYVGSQFKRPFPSSRGESYGQSQILGGGVGGGSGGGGGGGGGGGGDGGGGGGGSVGGGAGGGGGTSQKLTTNDALTYLKEVKDMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAPPRKTVEFEEAINFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIDEVYSEVATLFEDHQDLLDEFTRFLPDTSVAPVNQNAPFGRSSIQRYNERNSTTPTLRQMHMDKQRRRDRIVTSHAECDLSVDRPELDDDKAMMKVHKEQRKRAEKENRDRRNREQDDREPEHDSNRDFSLQCFSDKRKSGRKVEGFGMHSNVSPYDDKDNLKSMCNQGIMFCEKVKEKLGSSDDYQAFLKCLNIYSNGIIKKNDLQNLVADLLGKYPDLMEEFNDFFERCENIDGFLAGVMSKKSPCSDGHASRPLKLEDKDKEQKREFDGAKEKERNREKYMAKSIQELDLSNCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVTSTAKRAEELLNSINENKIDTPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPSLALPVILTRLKQKQEEWTRCRADFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTRSLVSEIKELKEKQQKEDNVLLAFAAGNRQPVVPHLEYEFPDMSIHEDLFKLVEYSCEEVCTTKEQLSKVMRLWTTFMEPMLGIVCRSNGKESSEDRKIGHQATNCTTSSTVENGAGPTTMSSKPPKFASNGEENNSLELANSFRPSMANGDNLAKNSLLELDRVSKDDQTCNLFRVEKVHTDADVTNKMCGFNTQVASGQGVTDSKISLVVGAEQNHGRTSICGMAGSGLAQSIPGGGAVEDHKFKDGIDIGPSLEVLQGGLGAKSVLPANGGLTDDAKSSRYHEEATGPSKTEKEEGELSPNGDFEEDNFAVYGDNGLQAMPKGKHGIESRQNESGNGKELHCQDAGVENDADADADDEDSDNASEAGDDASGSEAAGDECSREEHEEDEDVEHDNVDGKAESEGEAEGMADAQFADVPLLERFLLSVKPLVKHVPGSLLDGERKESQKFYGNDDFYVLFRLHQTLYERILSAKTNSNCAEMKWRTSKDSSSSNPYARFMSALYNLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDDMDSKLLQLYEYEKSCKAGKFIDSVYYDNARFLLHEENIYRLEFSSSPSRLSIQLMDNVTEKPEVLAVAMDPNFSAYLHNDFLSIFPSKKEPRGIALLRNKRKYTGLDEPSALCMAMAGVKMFNGLECKISCNSYKISYVLDTEDFFYRVRKKRRNSSQVKSSYHDQVQAR >Manes.17G117254.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34066872:34081032:1 gene:Manes.17G117254.v8.1 transcript:Manes.17G117254.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRDDVYVGSQFKRPFPSSRGESYGQSQILGGGVGGGSGGGGGGGGGGGGDGGGGGGGSVGGGAGGGGGTSQKLTTNDALTYLKEVKDMFQDQREKYDMFLEVMKDFKAQRTDTAGVIARVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAPPRKTVEFEEAINFVNKIKKRFQNDEHVYKSFLDILNMYRKEHKDIDEVYSEVATLFEDHQDLLDEFTRFLPDTSVAPVNQNAPFGRSSIQRYNERNSTTPTLRQMHMDKQRRRDRIVTSHAECDLSVDRPELDDDKAMMKVHKEQRKRAEKENRDRRNREQDDREPEHDSNRDFSLQCFSDKRKSGRKVEGFGMHSNVSPYDDKDNLKSMCNQGIMFCEKVKEKLGSSDDYQAFLKCLNIYSNGIIKKNDLQNLVADLLGKYPDLMEEFNDFFERCENIDGFLAGVMSKKSPCSDGHASRPLKLEDKDKEQKREFDGAKEKERNREKYMAKSIQELDLSNCQRCTPSYRLLPDDYPIPSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVTSTAKRAEELLNSINENKIDTPIHIEDHFTALNLRCIERLYGDHGLDVMDILRKNPSLALPVILTRLKQKQEEWTRCRADFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSTRSLVSEIKELKEKQQKEDNVLLAFAAGNRQPVVPHLEYEFPDMSIHEDLFKLVEYSCEEVCTTKEQLSKVMRLWTTFMEPMLGIVCRSNGKESSEDRKIGHQATNCTTSSTVENGAGPTTMSSKPPKFASNGEENNSLELANSFRPSMANGDNLAKNSLLELDRVSKDDQTCNLFRVEKVHTDADVTNKMCGFNTQVASGQGVTDSKISLVVGAEQNHGRTSICGMAGSGLAQSIPGGGAVEDHKFKDGIDIGPSLEVLQGGLGAKSVLPANGGLTDDAKSSRYHEEATGPSKTEKEEGELSPNGDFEEDNFAVYGDNGLQAMPKGKHGIESRQNESGNGKELHCQDAGVENDADADADDEDSDNASEAGDDASGSEAAGDECSREEHEEDEDVEHDNVDGKAESEGEAEGMADAQFADVPLLERFLLSVKPLVKHVPGSLLDGERKESQKFYGNDDFYVLFRLHQTLYERILSAKTNSNCAEMKWRTSKDSSSSNPYARFMSALYNLLDGSADNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDDMDSKLLQLYEYEKSCKAGKFIDSVYYDNARFLLHEENIYRLEFSSSPSRLSIQLMDNVTEKPEVLAVAMDPNFSAYLHNDFLSIFPSKKEPRGIALLRNKRKYTGLDEPSALCMAMAGVKMFNGLECKISCNSYKISYVLDTEDFFYRVRKKRRNSSQVKSSYHDQVQARVRRFHRFLSAT >Manes.05G206600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33339689:33342931:-1 gene:Manes.05G206600.v8.1 transcript:Manes.05G206600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAKLKISVLFSSFLFTLLHFPSHAAKQAYIVYLGQNSFPQENTVFDDQKVSQSHSALLASLHSGKQDQPEIFYSYTRCVNGFAAMLDEQQAEQLKNNPNVQSVFLNRKYELYTTHSWEFLGLENNNGAATKNSIWKKAKYGQDVIIANLDTGVWPESKSFNDEGMGPVPSRWKGFCQTEGGVRCNRKLIGARYFYKGLSAEVGPNTTELSARDTDGHGTHTLSTAGGNFVPGASIFGYGNGTAKGGSPKARVAAYKVCWADGCFSADILAGIDAAISDGVDVLSLSLGGAGAIDYNIDVIAIGALAAVSRGMSVVASAGNDGPDEFTSSNVAPWVFTIGASTMDRDFTNFVILGNDKSLKGTSLSDKALPAGKSYPLISAADAKASNSTVENATLCGPGTLDPHKAAGKIIVCLRGGDIGRPEKGIEAARAGAIGMIIANDQSTGNEIIADPHILPASHINYTDGLVALAYLNSTKNATASISSVKTELGINVLAPEMAAFSSRGPNSLDPEILKPDITAPGVNVLAAYSEAVSPSDSISDERRSPFNIISGTSMSCPHVAGIVGLLKSLHPDWSSAAIKSAIMTTATTKADNGKHILDADGKNATPFAYGAGHVQPNRAADPGLVYDLIMNDYLNLLCHHKYNISFIRTFGNNSFTCPKHFSVSAFNYPSITVPNLKGSTTISRRVKNVGSPGTYNVHVLAPPGISIEVEPESLTFSKAGEEKIYKVTLKPIVNSSKHEGYVYGELLWSDKKHKVKTPLVIKIK >Manes.16G019184.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2088726:2091749:1 gene:Manes.16G019184.v8.1 transcript:Manes.16G019184.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLERQVVDGKVVAVDVEEQEKDRLMEEMTVLDFDILCSTVALQTQGKWRKLETEDFDANGASGGVFRMWEGEVLDCFDDRRIAIESLCCPCYRFGKNMGRAGFGSCFLQGTVYYILALSALLNFIAFIITKRRCFLYLAVAFAISMGIYLGIFRTRMRKKFNIRDNDSSFDDCIYHTFCSCCALSQESRTLEMNNVQDGTWHGRGDTICIGSYSEGNMANYELHPAAIISANSSDIGG >Manes.12G119601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32367003:32369197:-1 gene:Manes.12G119601.v8.1 transcript:Manes.12G119601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEAIVAAVTAVGTVAGVIASMMEYKRRKGKQKEIQRILRKFAGECATPVPKLWEVANALVSEMETSLISSQEPSTLKMLVSSVTSLPSGSPDMAAMHQDTSEDHQVVAEKLKEIFGITDSTPMARKVVAEVCDIVAERGARLAGAGIVGIIKKLGRVENKSIVNVEGLYEHYQVFRNYLHSSLWEMLGNDQSDNVILEHSHGGSGAGALFLASCQTHTPDS >Manes.06G017800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2973504:2980489:-1 gene:Manes.06G017800.v8.1 transcript:Manes.06G017800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDTLPVKSKEKKKNKQISCSLGEEEQVSKKISKESTDNDVHESPVKKCKDKKKKCLLDSESVIDNTPTESLPEAVEEKSKDIVFQDSKKLPDTETDVKSKNKKRKKNKQSSDYIIDDGKTNEIDSEKGDSKTSSAKDDAACKEKKGSKKRKRVASEEDAGQLPGDKQDEGSKHRETEESKRRKKDSFEEPKTKGQSTQLQVITTTEGKTQKEESSQVGLGDCKQINGQSNGNLEENEEKSSVQSTMKKKQNGSAELKTVDHFQRIKVDEVVFSSGKLKDNSYWAKDGAESGYGAKAQEILEQVRGRGFRHEKTKKKRGTYRGGQIDLQSHSVKFNYSDDD >Manes.06G017800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2973504:2981978:-1 gene:Manes.06G017800.v8.1 transcript:Manes.06G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQIKNTANVNSSLLAFRPRQVVLRKPPPSMQQDDNKQKILLICSIAQYLARSGFPKTLKKFRSEAKIQEDDLKDSSLDLEEMFCKFLDKNSISVGEKKRIDKSAGDKRSNSKNAEETMTHDTLPVKSKEKKKNKQISCSLGEEEQVSKKISKESTDNDVHESPVKKCKDKKKKCLLDSESVIDNTPTESLPEAVEEKSKDIVFQDSKKLPDTETDVKSKNKKRKKNKQSSDYIIDDGKTNEIDSEKGDSKTSSAKDDAACKEKKGSKKRKRVASEEDAGQLPGDKQDEGSKHRETEESKRRKKDSFEEPKTKGQSTQLQVITTTEGKTQKEESSQVGLGDCKQINGQSNGNLEENEEKSSVQSTMKKKQNGSAELKTVDHFQRIKVDEVVFSSGKLKDNSYWAKDGAESGYGAKAQEILEQVRGRGFRHEKTKKKRGTYRGGQIDLQSHSVKFNYSDDD >Manes.06G017800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2973504:2981978:-1 gene:Manes.06G017800.v8.1 transcript:Manes.06G017800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSQIKNTANVNSSLLAFRPRQVVLRKPPPSMQQDDNKQKILLICSIAQYLARSGFPKTLKKFRSEAKIQEDDLKDSSLDLEEMFCKFLDKNISVGEKKRIDKSAGDKRSNSKNAEETMTHDTLPVKSKEKKKNKQISCSLGEEEQVSKKISKESTDNDVHESPVKKCKDKKKKCLLDSESVIDNTPTESLPEAVEEKSKDIVFQDSKKLPDTETDVKSKNKKRKKNKQSSDYIIDDGKTNEIDSEKGDSKTSSAKDDAACKEKKGSKKRKRVASEEDAGQLPGDKQDEGSKHRETEESKRRKKDSFEEPKTKGQSTQLQVITTTEGKTQKEESSQVGLGDCKQINGQSNGNLEENEEKSSVQSTMKKKQNGSAELKTVDHFQRIKVDEVVFSSGKLKDNSYWAKDGAESGYGAKAQEILEQVRGRGFRHEKTKKKRGTYRGGQIDLQSHSVKFNYSDDD >Manes.08G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33443565:33446786:1 gene:Manes.08G100400.v8.1 transcript:Manes.08G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNKLLNMGVLIVATLIVAKLISTFIMPRSRKRLPPVVSGWPLVGGLIRFLKGPIIMLREEYPKLGSVFSVNLAHWKITFLIGPEVSAHFFKAPEADLSQQEVYQFNVPTFGPGVVFDVDYTVRQEQFRFFTESLRVNKLKGYVDQMVMEAEDYFSKWGDSGEVDIKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDRARKRLAEIFASIIASRKLGGKSENDMLQCFIDSKYKNGRPTSESEITGLLIAALFAGQHTSSITSTWTGAYLLRYKEYLSAVQQEQKTLMRKHGNKVDHDVLSEMDVLYRCIKEALRLHPPLIMLLRSSHSDFTVTTREGKEYDIPKGHIVATSPAFANRLPHIYKDPDRYDPDRFAVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRRKLSVD >Manes.18G144953.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21762860:21764351:1 gene:Manes.18G144953.v8.1 transcript:Manes.18G144953.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.13G138800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34719919:34726595:1 gene:Manes.13G138800.v8.1 transcript:Manes.13G138800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHPLNLKFMLSPTLLTFSSLPRFHFKTKSFSSSSSAEFSPWSGLQAWRESPLNENRLWGPNGPEPPPPPSPPFSSFINDYGLGFASSLAELGALILSTSDPLTKSKLSHFAFSRWSNEKLPIGACAPPAKPARPSKPELVSPKEIPTPKDSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPFSETLGEGFFADFAHVADDESRHFAWCSQRLAELGFKYGDMPAHNLLWRECEKSSDNVAARLAAIPLVQEARGLDAGPRLVQKLIGFGDSKTSKVVARIADEEVAHVAVGVYWFASVCQKMGCAPSSTFKDLLREYNVELKGPFNYTARDKAGIPRDWYEPSFTNKEDNNKKSKNEQLSVVYERLASIISMECENASLNKPPG >Manes.13G138800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34719924:34726595:1 gene:Manes.13G138800.v8.1 transcript:Manes.13G138800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHPLNLKFMLSPTLLTFSSLPRFHFKTKSFSSSSSAEFSPWSGLQAWRESPLNENRLWGPNGPEPPPPPSPPFSSFINDYGLGFASSLAELGALILSTSDPLTKSKLSHFAFSRWSNEKLPIGACAPPAKPARPSKPELVSPKEIPTPKDSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPFSETLGEGFFADFAHVADDESRHFAWCSQRLAELGFKYGDMPAHNLLWRECEKSSDNVAARLAAIPLVQEARGLDAGPRLVQKLIGFGDSKTSKVVARIADEEVAHVAVGVYWFASVCQKMGCAPSSTFKDLLREYNVELKGPFNYTARDKAGIPRDWYEPSFTNKEDNNKKSKNEQLSVVYERLASIISMECENASLNKPPGCCCHVYALVVCA >Manes.13G138800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34719919:34727700:1 gene:Manes.13G138800.v8.1 transcript:Manes.13G138800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHPLNLKFMLSPTLLTFSSLPRFHFKTKSFSSSSSAEFSPWSGLQAWRESPLNENRLWGPNGPEPPPPPSPPFSSFINDYGLGFASSLAELGALILSTSDPLTKSKLSHFAFSRWSNEKLPIGACAPPAKPARPSKPELVSPKEIPTPKDSGLPLNAYMLHNLAHVELNAIDLAWDTVVRFSPFSETLGEGFFADFAHVADDESRHFAWCSQRLAELGFKYGDMPAHNLLWRECEKSSDNVAARLAAIPLVQEARGLDAGPRLVQKLIGFGDSKTSKVVARIADEEVAHVAVGVYWFASVCQKMGCAPSSTFKDLLREYNVELKGPFNYTARDKAGIPRDWYEPSFTNKEDNNKKSKNEQLSVVYERLASIISMECENASLNKPPG >Manes.06G067200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20370486:20382136:-1 gene:Manes.06G067200.v8.1 transcript:Manes.06G067200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAHKMFDQIPYPNLSSWNAMFKGYSRNDLHREVFVLYSQMKRMDIMPNCFTFPMVLKSCIKINAFREGEELHCVVIKSGFRANSYVGTMLIDLYSSGGVIGAAYRVFGEMLERNVVAWTSMIRGFILCNDMETARRLFDLAPERDIVLWNTVITGYIEIGDMARAEELFNRMPNKDVMSWNTILVGYANRGDVEACEKLFEDMPERNVFSWNGFIGGYARDGRFFEVLSSFKRMLVDGNVVPNDATLVTVLSACARLGALDLGKWVHVYAESNGYKGNVYVENALIDMYAKCGILENAIGVFKSMDMKDLISWNTMIGGLAVHGRGADALSLFSQMKNAGEKPDGITFLGVLCACSHMGLIEDGFAYFQSMIDDYSIVPQIEHYGCMVDLLARAGLLVQAVDFVKKMPMEADAVIWAALLGACRVYKNVELAELALEKLIKFEPKNPANYVMLSNIYGDLGRWKDVARLKVAMRDTGFRKLPGCSLIEVNDGVFEFYSLDERQPESELIYGTLRGLTKLLKSSGYVPDFVELGKSS >Manes.06G067200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20370584:20382168:-1 gene:Manes.06G067200.v8.1 transcript:Manes.06G067200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAHKMFDQIPYPNLSSWNAMFKGYSRNDLHREVFVLYSQMKRMDIMPNCFTFPMVLKSCIKINAFREGEELHCVVIKSGFRANSYVGTMLIDLYSSGGVIGAAYRVFGEMLERNVVAWTSMIRGFILCNDMETARRLFDLAPERDIVLWNTVITGYIEIGDMARAEELFNRMPNKDVMSWNTILVGYANRGDVEACEKLFEDMPERNVFSWNGFIGGYARDGRFFEVLSSFKRMLVDGNVVPNDATLVTVLSACARLGALDLGKWVHVYAESNGYKGNVYVENALIDMYAKCGILENAIGVFKSMDMKDLISWNTMIGGLAVHGRGADALSLFSQMKNAGEKPDGITFLGVLCACSHMGLIEDGFAYFQSMIDDYSIVPQIEHYGCMVDLLARAGLLVQAVDFVKKMPMEADAVIWAALLGACRVYKNVELAELALEKLIKFEPKNPANYVMLSNIYGDLGRWKDVARLKVAMRDTGFRKLPGCSLIEVNDGVFEFYSLDERQPESELIYGTLRGLTKLLKSSGYVPDFVELGKSS >Manes.06G067200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20380168:20381784:-1 gene:Manes.06G067200.v8.1 transcript:Manes.06G067200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAHKMFDQIPYPNLSSWNAMFKGYSRNDLHREVFVLYSQMKRMDIMPNCFTFPMVLKSCIKINAFREGEELHCVVIKSGFRANSYVGTMLIDLYSSGGVIGAAYRVFGEMLERNVVAWTSMIRGFILCNDMETARRLFDLAPERDIVLWNTVITGYIEIGDMARAEELFNRMPNKDVMSWNTILVGYANRGDVEACEKLFEDMPERNVFSWNGFIGGYARDGRFFEVLSSFKRMLVDGNVVPNDATLVTVLSACARLGALDLGKWVHVYAESNGYKGNVYVENALIDMYAKCGILENAIGVFKSMDMKDLISWNTMIGGLAVHGRGADALSLFSQMKNAGEKPDGITFLGVLCACSHMGLIEDGFAYFQSMIDDYSIVPQIEHYGCMVDLLARAGLLVQAVDFVKKMPMEADAVIWAALLGACRVYKNVELAELALEKLIKFEPKNPANYVMLSNIYGDLGRWKDVARLKVAMRDTGFRKLPGCSLIEVNDGVFEFYSLDERQPESELIYGTLRGLTKLLKSSGYVPDFVELGKSS >Manes.06G067200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20370486:20382136:-1 gene:Manes.06G067200.v8.1 transcript:Manes.06G067200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAHKMFDQIPYPNLSSWNAMFKGYSRNDLHREVFVLYSQMKRMDIMPNCFTFPMVLKSCIKINAFREGEELHCVVIKSGFRANSYVGTMLIDLYSSGGVIGAAYRVFGEMLERNVVAWTSMIRGFILCNDMETARRLFDLAPERDIVLWNTVITGYIEIGDMARAEELFNRMPNKDVMSWNTILVGYANRGDVEACEKLFEDMPERNVFSWNGFIGGYARDGRFFEVLSSFKRMLVDGNVVPNDATLVTVLSACARLGALDLGKWVHVYAESNGYKGNVYVENALIDMYAKCGILENAIGVFKSMDMKDLISWNTMIGGLAVHGRGADALSLFSQMKNAGEKPDGITFLGVLCACSHMGLIEDGFAYFQSMIDDYSIVPQIEHYGCMVDLLARAGLLVQAVDFVKKMPMEADAVIWAALLGACRVYKNVELAELALEKLIKFEPKNPANYVMLSNIYGDLGRWKDVARLKVAMRDTGFRKLPGCSLIEVNDGVFEFYSLDERQPESELIYGTLRGLTKLLKSSGYVPDFVELGKSS >Manes.06G067200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20369956:20382135:-1 gene:Manes.06G067200.v8.1 transcript:Manes.06G067200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAHKMFDQIPYPNLSSWNAMFKGYSRNDLHREVFVLYSQMKRMDIMPNCFTFPMVLKSCIKINAFREGEELHCVVIKSGFRANSYVGTMLIDLYSSGGVIGAAYRVFGEMLERNVVAWTSMIRGFILCNDMETARRLFDLAPERDIVLWNTVITGYIEIGDMARAEELFNRMPNKDVMSWNTILVGYANRGDVEACEKLFEDMPERNVFSWNGFIGGYARDGRFFEVLSSFKRMLVDGNVVPNDATLVTVLSACARLGALDLGKWVHVYAESNGYKGNVYVENALIDMYAKCGILENAIGVFKSMDMKDLISWNTMIGGLAVHGRGADALSLFSQMKNAGEKPDGITFLGVLCACSHMGLIEDGFAYFQSMIDDYSIVPQIEHYGCMVDLLARAGLLVQAVDFVKKMPMEADAVIWAALLGACRVYKNVELAELALEKLIKFEPKNPANYVMLSNIYGDLGRWKDVARLKVAMRDTGFRKLPGCSLIEVNDGVFEFYSLDERQPESELIYGTLRGLTKLLKSSGYVPDFVELGKSS >Manes.04G009950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1299925:1301374:-1 gene:Manes.04G009950.v8.1 transcript:Manes.04G009950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEILDTREKAKPHIWTFRTPKEAAYHWKELFGHLDEME >Manes.09G071119.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12162553:12163866:-1 gene:Manes.09G071119.v8.1 transcript:Manes.09G071119.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKRNSQEFLPPSLNSTSIRPPLFYGTTSFSCKVVHKLHKPIAQRAWITRNYKGLQERIVLVAMDLEKKPVWYKEVYSEENVKALEHDNKVIVESLNVINYIDKNFEGPSLFPNELLSYSETFNAIMYNSFKGEIVREANPAFDVLEAAFSKFDDGPFSLATSVDMAYIPFVERANIFLSQVWKYEITKGRPKLASWIKVSRRYVEVKRPYFS >Manes.04G131700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33290571:33297049:1 gene:Manes.04G131700.v8.1 transcript:Manes.04G131700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRAVDSFWRSRMVDAATSDEDKVAPVYKLEEICELLRSSHVSIVKEVSEFILKRLEHKSPIVKQKALRLIKYAVGKSGVEFRREMQRHSAAVRQLFHYRGQTDPLKGDALNKAVRDNAHEAISAIFAEENKPATTEDLNKRIQGFGNTNFEMPSEERKSFFSEVVDIGSASIKQGLSNFTQSHSLRKNDNGSYKGPTLRRSLTIENDHSDRYEPVQLHSENQGNIGVLKSATSGPWGQDSRVSSAGTENAGSSSSYTESKTREERLLETIVTSGGVRLQPTRDAIQAFLLQAAKVDALPLGRALESKLQSPVWQVRMKAVCVLESILRKRDDEHFSVIASYFSENKDAMVRCTESPQSSLREKSIRVLNLLGGEQAGRFMGNSEKSVKAETTSVQMPDLIDTGDSNDFFETKDSIKESHDQKTANLTTSTTSYVIDDLLGDSYDGGNSTEQKNDDDPFADVSFHTSERREHADDLFSGMTVDSNPGTNEYHMPTDKNGPEAFNIFGSNSELTQEQGNHKMDVNDLMAGMSINEKVAKMNQPGTTSEVLPETIFTDSSNHSGHQISNDALASILGSQVTGINANPIFPSGTMPYNIPPGIMLNPAFHMQPVNYGSMGNILAHQEFLATMSNFQHLSNLNAQNRGVGHVVGTNGGGYSSALPDIFQSNYPNQAPSSLVNNSKKEENRAFDFISDHLAAARDPKRVA >Manes.08G013400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1452841:1460611:-1 gene:Manes.08G013400.v8.1 transcript:Manes.08G013400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVAIADNVERILTSVDRTKSVDIISDRDHLSIPINGEATHASSEAASFRVGELILPNGESYSGSLLGNVPEGSGKYVWSDGCIYEGEWRRGMRHGNGKVQWPSGAAYEGEFSGGYMHGTGTYIGSNNLTYKGRWKLNLKHGLGYQVYSNGDIFEGSWIQGTPEGPGKYTWANGNVYLGNMKGGKMTGKGTLTWLNGDSFEGSWLNGMMHGFGVYTWSDGGCYVGTWTHGLKDGKGSFYPTGSRFPAVQERYLNALRKRGLLPDLRRQNHAHIHHASSVDMANVKVGGDQRSHRNSSDKLSKGNLLTLEQSRNKNVSLERRWSLEVSIEKVIGHDSSLELSESALEGMEKEFETNLPPTLEREYMQGVLINELVLNNNFSSMSRRAKRRQKRLAKEVKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRSSDFGPRASFWMNFPKDGSQLTPPHQSDDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLKMLPYYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYCFYLEPSWREALLKSRLTVNFWKYNTLWIIAFCWVCIIELPNTCDL >Manes.08G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1452841:1460611:-1 gene:Manes.08G013400.v8.1 transcript:Manes.08G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVAIADNVERILTSVDRTKSVDIISDRDHLSIPINGEATHASSEAASFRVGELILPNGESYSGSLLGNVPEGSGKYVWSDGCIYEGEWRRGMRHGNGKVQWPSGAAYEGEFSGGYMHGTGTYIGSNNLTYKGRWKLNLKHGLGYQVYSNGDIFEGSWIQGTPEGPGKYTWANGNVYLGNMKGGKMTGKGTLTWLNGDSFEGSWLNGMMHGFGVYTWSDGGCYVGTWTHGLKDGKGSFYPTGSRFPAVQERYLNALRKRGLLPDLRRQNHAHIHHASSVDMANVKVGGDQRSHRNSSDKLSKGNLLTLEQSRNKNVSLERRWSLEVSIEKVIGHDSSLELSESALEGMEKEFETNLPPTLEREYMQGVLINELVLNNNFSSMSRRAKRRQKRLAKEVKRPGETIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRSSDFGPRASFWMNFPKDGSQLTPPHQSDDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSIFFLSQDDRFMIKTLRKSEVKVLLKMLPYYHHHVRSYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYCFYLEPSWREALLKQIEIDSKFLEVQHIMDYSLLLGVHYRAPQHLRSLMSYNRSMRAEGLGVLAEDDTIEDERYPQGLVLVPRTDDNSVVVGPHIRGSRLRASSAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGKQEKQTFQEAYDVVLYLGIIDILQEYNMSKKIEHAYKSLQFDSLSISAVDPTFYSSRFLEFIQKVFPPNAITG >Manes.05G036950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3052687:3057862:1 gene:Manes.05G036950.v8.1 transcript:Manes.05G036950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEAKKLEAESSVINTPATAEKPDESKALAVVETIPESASKKISKGSLDRDIALAEVEKEKKNSFIKAWEDSEKTKAENKAQKKLSYVTSWENSKKAALEAKLRKIEATNPLSLRININDSAPEKLEKKKAEYAEKMKNKVASLHKQAEEKRAMAEEMAAKYRATGQTPKKHLGCF >Manes.10G089408.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22865573:22865802:1 gene:Manes.10G089408.v8.1 transcript:Manes.10G089408.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYFSEMNVNPTSAKLKLINILCFQCKNSGNRRAQERGLVTQVGALKVLERCSSPYGRIRLG >Manes.18G038200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3374830:3381222:1 gene:Manes.18G038200.v8.1 transcript:Manes.18G038200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGFQDQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSLASEISLHLAGHEAPSCGGGVGGSDLHDTSSGPDRDADPNKLITVYSNTKHALSHSRIFRKVQKEDNKGTIEVEDDSHLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIAASSPRLGAMKTSSISRRSGMFPSPGTPNYRQASLGMQKGWSSERVPLHTNGNRRQVNAALLPLNNGRTLPSKWEDAERWILSPVSGDGAAKTSVQPPQRRPKSKSGPLGPPGIAYYSLYSPAMPVFEGGNAGNFIAGSPFSAGVTAADGSSIPSNGHGMNFPMRTEPCMARSVSVHGCSEGLAQSSLPTQDEKLDGMKNAATDITSAVSRRDIATQMSPEGSNHSSPSRRASFSVSSPSALTILELQGMHSTKSEVRDVQVDEKVTVTKGSKKHRARTQGKRSEIVDDWRKKSADARSSGWDVSEAAKSISKAKREEAKISAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRTLVLSNQAHHVPRTSHKAMSFRRTRQMGSLSGCFTCHAF >Manes.18G038200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3374830:3381211:1 gene:Manes.18G038200.v8.1 transcript:Manes.18G038200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGFQDQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSLASEISLHLAGHEAPSCGGGVGGSDLHDTSSGPDRDADPNKLITVYSNTKHALSHSRIFRKGEKEKFQKEDNKGTIEVEDDSHLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIAASSPRLGAMKTSSISRRSGMFPSPGTPNYRQASLGMQKGWSSERVPLHTNGNRRQVNAALLPLNNGRTLPSKWEDAERWILSPVSGDGAAKTSVQPPQRRPKSKSGPLGPPGIAYYSLYSPAMPVFEGGNAGNFIAGSPFSAGVTAADGSSIPSNGHGMNFPMRTEPCMARSVSVHGCSEGLAQSSLPTQDEKLDGMKNAATDITSAVSRRDIATQMSPEGSNHSSPSRRASFSVSSPSALTILELQGMHSTKSEVRDVQVDEKVTVTKGSKKHRARTQGKRSEIVDDWRKKSADARSSGWDVSEAAKSISKAKREEAKISAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRTLVLSNQAHHVPRTSHKAMSFRRTRQMGSLSGCFTCHAF >Manes.18G038200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3374830:3381222:1 gene:Manes.18G038200.v8.1 transcript:Manes.18G038200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGFQDQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSLASEISLHLAGHEAPSCGGGVGGSDLHDTSSGPDRDADPNKLITVYSNTKHALSHSRIFRKGEKEKFQKEDNKGTIEVEDDSHLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIAASSPRLGAMKTSSISRRSGMFPSPGTPNYRQASLGMQKGWSSERVPLHTNGNRRQVNAALLPLNNGRTLPSKWEDAERWILSPVSGDGAAKTSVQPPQRRPKSKSGPLGPPGIAYYSLYSPAMPVFEGGNAGNFIAGSPFSAGVTAADGSSIPSNGHGMNFPMRTEPCMARSVSVHGCSEGLAQSSLPTQDEKLDGMKNAATDITSAVSRRDIATQMSPEGSNHSSPSRRASFSVSSPSALTILELQGMHSTKSEVRDVQVDEKVTVTKGSKKHRARTQGKRSEIVDDWRKKSADARSSGWDVSEAAKSISKAKREEAKISAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRTLVLSNQAHHVPRTSHKAMSFRRTRQMGSLSGCFTCHAF >Manes.18G038200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3374830:3381211:1 gene:Manes.18G038200.v8.1 transcript:Manes.18G038200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGFQDQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSLASEISLHLAGHEAPSCGGGVGGSDLHDTSSGPDRDADPNKLITVYSNTKHALSHSRIFRKVQKEDNKGTIEVEDDSHLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIAASSPRLGAMKTSSISRRSGMFPSPGTPNYRQASLGMQKGWSSERVPLHTNGNRRQVNAALLPLNNGRTLPSKWEDAERWILSPVSGDGAAKTSVQPPQRRPKSKSGPLGPPGIAYYSLYSPAMPVFEGGNAGNFIAGSPFSAGVTAADGSSIPSNGHGMNFPMRTEPCMARSVSVHGCSEGLAQSSLPTQDEKLDGMKNAATDITSAVSRRDIATQMSPEGSNHSSPSRRASFSVSSPSALTILELQGMHSTKSEVRDVQVDEKVTVTKGSKKHRARTQGKRSEIVDDWRKKSADARSSGWDVSEAAKSISKAKREEAKISAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRTLVLSNQAHHVPRTSHKAMSFRRTRQMGSLSGCFTCHAF >Manes.18G038200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3374835:3381211:1 gene:Manes.18G038200.v8.1 transcript:Manes.18G038200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGFQDQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSLASEISLHLAGHEAPSCGGGVGGSDLHDTSSGPDRDADPNKLITVYSNTKHALSHSRIFRKVQKEDNKGTIEVEDDSHLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIAASSPRLGAMKTSSISRRSGMFPSPGTPNYRQASLGMQKGWSSERVPLHTNGNRRQVNAALLPLNNGRTLPSKWEDAERWILSPVSGDGAAKTSVQPPQRRPKSKSGPLGPPGIAYYSLYSPAMPVFEGGNAGNFIAGSPFSAGVTAADGSSIPSNGHGMNFPMRTEPCMARSVSVHGCSEGLAQSSLPTQDEKLDGMKNAATDITSAVSRRDIATQMSPEGSNHSSPSRRASFSVSSPSALTILELQGMHSTKSEVRDVQVDEKVTVTKGSKKHRARTQGKRSEIVDDWRKKSADARSSGWDVSEAAKSISKAKREEAKISAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRTLVLSNQAHHVPRTSHKAMSFRRTRQMGSLSGCFTCHAF >Manes.18G038200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3374970:3380240:1 gene:Manes.18G038200.v8.1 transcript:Manes.18G038200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGFQDQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSLASEISLHLAGHEAPSCGGGVGGSDLHDTSSGPDRDADPNKLITVYSNTKHALSHSRIFRKGEKEKCTVQKEDNKGTIEVEDDSHLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIAASSPRLGAMKTSSISRRSGMFPSPGTPNYRQASLGMQKGWSSERVPLHTNGNRRQVNAALLPLNNGRTLPSKWEDAERWILSPVSGDGAAKTSVQPPQRRPKSKSGPLGPPGIAYYSLYSPAMPVFEGGNAGNFIAGSPFSAGVTAADGSSIPSNGHGMNFPMRTEPCMARSVSVHGCSEGLAQSSLPTQDEKLDGMKNAATDITSAVSRRDIATQMSPEGSNHSSPSRRASFSVSSPSALTILELQGMHSTKSEVRDVQVDEKVTVTKGSKKHRARTQGKRSEIVDDWRKKSADARSSGWDVSEAAKSISKAKREEAKISAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRTLVLSNQAHHVPRTSHKAMSFRRTRQMGSLSGCFTCHAF >Manes.18G038200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3374835:3381211:1 gene:Manes.18G038200.v8.1 transcript:Manes.18G038200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIGFQDQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDRDSLASEISLHLAGHEAPSCGGGVGGSDLHDTSSGPDRDADPNKLITVYSNTKHALSHSRIFRKGEKEKFQKEDNKGTIEVEDDSHLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIAASSPRLGAMKTSSISRRSGMFPSPGTPNYRQASLGMQKGWSSERVPLHTNGNRRQVNAALLPLNNGRTLPSKWEDAERWILSPVSGDGAAKTSVQPPQRRPKSKSGPLGPPGIAYYSLYSPAMPVFEGGNAGNFIAGSPFSAGVTAADGSSIPSNGHGMNFPMRTEPCMARSVSVHGCSEGLAQSSLPTQDEKLDGMKNAATDITSAVSRRDIATQMSPEGSNHSSPSRRASFSVSSPSALTILELQGMHSTKSEVRDVQVDEKVTVTKGSKKHRARTQGKRSEIVDDWRKKSADARSSGWDVSEAAKSISKAKREEAKISAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKKAQEMRTLVLSNQAHHVPRTSHKAMSFRRTRQMGSLSGCFTCHAF >Manes.08G121950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36212712:36214106:-1 gene:Manes.08G121950.v8.1 transcript:Manes.08G121950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMSMAFLKIIRAFLFLLLLAISLLSSPALSDGEEEIVLQGLNSFRTAMSLPALTLNENAACLADKFADQILEDIPCSSNSPLLQNYPDVLAYCGVDVSQTREGAVLPVCVPQLVPNLLLSNLTNALQYVKYLTDAKFTGAGLGTEDNWMVIILSTNTPGGSFGSDINTFISNAPVDSGGQQQGNASDAVDLFKSLNCHRAFLDLPTFVENKETGCFAGELAQKLGDQPCNEANSSSASNPLQLDQYPEILSKCNIDINNTKDDVALPVCVPQLAPTKVFTNYTRTDYAQYINDSNFAEAGVGSKGDWMVVVLSTNTAQNVTVAGDFALANVLVTTKKGQFAAGSANSLVSKVGFGHCLMSFLLGMLAYGGVL >Manes.01G138200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33001227:33004332:1 gene:Manes.01G138200.v8.1 transcript:Manes.01G138200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRPSMRGLCRLSSLASTQCKDPLVAYSAPNDLSLRIQPLFPLNMLEVNSQLKHLVKTDRLEDARQMFDKMRSRDEISWTTIISGYVNATDTTEALTLFSKMWVEPGLHMDPFILSLALKACGLSLCASFGESMHAYSVKTDFVNSVFVGSALLDMYMKVGKIEQGCRVFREMPVRNVVSWTAIITGLVHAGYSKEGLVYFSEMWRSKVVCDSYTFAIALKACADSGALNYGREIHCQILKRSLEASSFVANTLATVYNKCGKLDYGSYLFEKMTIRNVVSWTMIITTYTQIGQEENALRAFIRMQEIGVRPNEYTFAAVISGCANLARIEWGEQLHAHMLSQGLMGSPSVANSIMTMYSKCGQLISTAIVFQELTRRDIVSWSTIIAGYSQEGCAEEAFEHLSWMRREGPKPNEFTLASVLSVCGNMAILEQGKQLHAHALHVGLEETAMVRSSLINMYSKCGSLKEASKIFDEADDDDIVSWTAMINGYAEHGRSQEAINLFEKIPQNGLRPDSVTFIGVLTACSHAGLVDLGFHYFNSMRNEYQIYPSKEHYGCMIDLLCRAGRLSDAESMIKSMPFQKDDVVWSTLLRACRVHGDVDCGRRTAEKILELDPNCAATHITLANIYAAKGRWNEAANARKQMKLKGIIKEPGWSWIKVKDRVSTFTSRDQSHPQGEDIYKMLDLLVSKAEKPVLEIDSLNDANY >Manes.01G138200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33001255:33004332:1 gene:Manes.01G138200.v8.1 transcript:Manes.01G138200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRPSMRGLCRLSSLASTQCKDPLVAYSAPNDLSLRIQPLFPLNMLEVNSQLKHLVKTDRLEDARQMFDKMRSRDEISWTTIISGYVNATDTTEALTLFSKMWVEPGLHMDPFILSLALKACGLSLCASFGESMHAYSVKTDFVNSVFVGSALLDMYMKVGKIEQGCRVFREMPVRNVVSWTAIITGLVHAGYSKEGLVYFSEMWRSKVVCDSYTFAIALKACADSGALNYGREIHCQILKRSLEASSFVANTLATVYNKCGKLDYGSYLFEKMTIRNVVSWTMIITTYTQIGQEENALRAFIRMQEIGVRPNEYTFAAVISGCANLARIEWGEQLHAHMLSQGLMGSPSVANSIMTMYSKCGQLISTAIVFQELTRRDIVSWSTIIAGYSQEGCAEEAFEHLSWMRREGPKPNEFTLASVLSVCGNMAILEQGKQLHAHALHVGLEETAMVRSSLINMYSKCGSLKEASKIFDEADDDDIVSWTAMINGYAEHGRSQEAINLFEKIPQNGLRPDSVTFIGVLTACSHAGLVDLGFHYFNSMRNEYQIYPSKEHYGCMIDLLCRAGRLSDAESMIKSMPFQKDDVVWSTLLRACRVHGDVDCGRRTAEKILELDPNCAATHITLANIYAAKGRWNEAANARKQMKLKGIIKEPGWSWIKVKDRVSTFTSRDQSHPQGEDIYKMLDLLVSKAEKPVLEIDSLNDANY >Manes.01G138200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33001197:33004332:1 gene:Manes.01G138200.v8.1 transcript:Manes.01G138200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRPSMRGLCRLSSLASTQCKDPLVAYSAPNDLSLRIQPLFPLNMLEVNSQLKHLVKTDRLEDARQMFDKMRSRDEISWTTIISGYVNATDTTEALTLFSKMWVEPGLHMDPFILSLALKACGLSLCASFGESMHAYSVKTDFVNSVFVGSALLDMYMKVGKIEQGCRVFREMPVRNVVSWTAIITGLVHAGYSKEGLVYFSEMWRSKVVCDSYTFAIALKACADSGALNYGREIHCQILKRSLEASSFVANTLATVYNKCGKLDYGSYLFEKMTIRNVVSWTMIITTYTQIGQEENALRAFIRMQEIGVRPNEYTFAAVISGCANLARIEWGEQLHAHMLSQGLMGSPSVANSIMTMYSKCGQLISTAIVFQELTRRDIVSWSTIIAGYSQEGCAEEAFEHLSWMRREGPKPNEFTLASVLSVCGNMAILEQGKQLHAHALHVGLEETAMVRSSLINMYSKCGSLKEASKIFDEADDDDIVSWTAMINGYAEHGRSQEAINLFEKIPQNGLRPDSVTFIGVLTACSHAGLVDLGFHYFNSMRNEYQIYPSKEHYGCMIDLLCRAGRLSDAESMIKSMPFQKDDVVWSTLLRACRVHGDVDCGRRTAEKILELDPNCAATHITLANIYAAKGRWNEAANARKQMKLKGIIKEPGWSWIKVKDRVSTFTSRDQSHPQGEDIYKMLDLLVSKAEKPVLEIDSLNDANY >Manes.08G128000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36883442:36887276:-1 gene:Manes.08G128000.v8.1 transcript:Manes.08G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLSHLEPDYSEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEFEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYAEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGRKPDAFYKVKDPEVRQFVEKCLATVSLRLSARELLNDPFLQIDDCESDFRPEDYGPEIGDMGPLMRQPYLEFHDNTYHCSNGYSNGYSYDAQNELEYHPVEFEQSGIELFEYHDDEHSANVDISIKGKRRDDGGIFLRLRITDKDGHIRNIYFPFDVEMDTALSVATEMVAELDIMDQDVTKIANMIDGEIASLVPGWRPGPGIEESFDFADQAFCHNCASNRTSNGSLIDFISNNSCCRNGCASTHGRFEEITFQADETEHHLTEGAPNVLSHSDRLHHRETWGQHESRELTPVGSGRSHSDEEYENLDQSISRKDDNNVKMENEIHLGEGKSILHLRSFDTLSRLSSLYSDLSDSKEGKIQQELRWLKAKYQIELGKLRDQQLGIGSKASTSSSRDCNATNGVLSSAAMNSFQESTNGDLFKSLDHEKLYGPSLSTDLKKSCPNSDTRGARNCRLMNEPPRTGDMVTAKSFYSRPLLPHSLHRTTSLPVDAVDA >Manes.13G085601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15775394:15790013:1 gene:Manes.13G085601.v8.1 transcript:Manes.13G085601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRVPIFNLHLPSSTPPPTRKIKEISTRRFFLFSFPLCASCTITATPLFILTDYITPVKANSWFALAEGYDPVSQAEKDASATTSRRISEAIELLEKGRELQAQGDFNDALLYFTQVVENYKDFAFSDYARVGRALALYEIGDKDEAIAEMEDVSISLKGYPEVHAALAAALYVDKHAPLLAENQFTIATLLDPHYTDLSYVKETKHWPPSLVSSLQRFITLS >Manes.09G153600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35021279:35022260:1 gene:Manes.09G153600.v8.1 transcript:Manes.09G153600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLTEEQISEFQEAFCLLDKDGDGRITFEELATAIKSLDQNPTEEELQSMINEVDVDGNGTIEFGEFLNLKARKMKETEAEEELKEAFKVFDKDQDGYISPNELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNYEEFVRMMLAI >Manes.09G080500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11993461:12004574:-1 gene:Manes.09G080500.v8.1 transcript:Manes.09G080500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKILFSSFLLLLLAFSASAQMPGFVSLDCGGKQNFTDELGLVWTSDDDLIYGEKAIISVANETRKQYMTLRHFPADSRKYCYTLGVISRTRYLLRATFLYGNFDNNNVYPKFDISVGATHWSTIVISDANTIESIELIFLASSPTISVCLSNATTGQPFISTLELRQFNGSIYYTEYENQFYLSVSARINFGADSEDPVRYPDDPFDRIWESDSVKKANYLVDVASGTEKVSTHMPIDVSIDEMPPQKVMQNAVVGTSGTLTYRLNLDGFPGFGWAVTYFAEIEDLKPTESRKFRLVLPGHPDMSKAVVNIQENAHGKYRLYQPGYPNISLPFVLSFRFGKTSDSTKGPLLNAMEINKYLEKNDGSSDAEVIASVISLYASADWAQEGGDPCLPVPWSWLQCNSDERPRIVKISLSSKNLTGNIPSDLLKLNGLVELWLDRNSLTGSIPDFTGCRDLEIIHLENNQLTGELPSSLLNLPNLRELYSGNLNLREGGRRGKRIDIIIGSSVGAAVLGIATIVSCLFIRRGRRSPNQEQLRVRPPVQRLVSTFNDTPAEGAYCFKFFEIEDATKKFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQFLGFCQEEGKSMLVYEFMHNGTLKEHLYGPLTRGRSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKNMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELVSGQEAISNESFGVNCRNIVQWAKMHIESGDIQGIIDPSLHDEYDIQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAILIEREAVTVREGNSDDMSKNSVHSSLNLGPLQLGGTENYLSLDESVARPTAR >Manes.09G080500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11993443:12004626:-1 gene:Manes.09G080500.v8.1 transcript:Manes.09G080500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKILFSSFLLLLLAFSASAQMPGFVSLDCGGKQNFTDELGLVWTSDDDLIYGEKAIISVANETRKQYMTLRHFPADSRKYCYTLGVISRTRYLLRATFLYGNFDNNNVYPKFDISVGATHWSTIVISDANTIESIELIFLASSPTISVCLSNATTGQPFISTLELRQFNGSIYYTEYENQFYLSVSARINFGADSEDPVRYPDDPFDRIWESDSVKKANYLVDVASGTEKVSTHMPIDVSIDEMPPQKVMQNAVVGTSGTLTYRLNLDGFPGFGWAVTYFAEIEDLKPTESRKFRLVLPGHPDMSKAVVNIQENAHGKYRLYQPGYPNISLPFVLSFRFGKTSDSTKGPLLNAMEINKYLEKNDGSSDAEVIASVISLYASADWAQEGGDPCLPVPWSWLQCNSDERPRIVKISLSSKNLTGNIPSDLLKLNGLVELWLDRNSLTGSIPDFTGCRDLEIIHLENNQLTGELPSSLLNLPNLRELYVQNNLLSGTVPSGLLNDKIVLNYSGNLNLREGGRRGKRIDIIIGSSVGAAVLGIATIVSCLFIRRGRRSPNQEQLRVRPPVQRLVSTFNDTPAEGAYCFKFFEIEDATKKFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQFLGFCQEEGKSMLVYEFMHNGTLKEHLYGPLTRGRSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKNMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELVSGQEAISNESFGVNCRNIVQWAKMHIESGDIQGIIDPSLHDEYDIQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAILIEREAVTVREGNSDDMSKNSVHSSLNLGPLQLGGTENYLSLDESVARPTAR >Manes.09G080500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11994057:12004574:-1 gene:Manes.09G080500.v8.1 transcript:Manes.09G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKILFSSFLLLLLAFSASAQMPGFVSLDCGGKQNFTDELGLVWTSDDDLIYGEKAIISVANETRKQYMTLRHFPADSRKYCYTLGVISRTRYLLRATFLYGNFDNNNVYPKFDISVGATHWSTIVISDANTIESIELIFLASSPTISVCLSNATTGQPFISTLELRQFNGSIYYTEYENQFYLSVSARINFGADSEDPVRYPDDPFDRIWESDSVKKANYLVDVASGTEKVSTHMPIDVSIDEMPPQKVMQNAVVGTSGTLTYRLNLDGFPGFGWAVTYFAEIEDLKPTESRKFRLVLPGHPDMSKAVVNIQENAHGKYRLYQPGYPNISLPFVLSFRFGKTSDSTKGPLLNAMEINKYLEKNDGSSDAEVIASVISLYASADWAQEGGDPCLPVPWSWLQCNSDERPRIVKISLSSKNLTGNIPSDLLKLNGLVELWLDRNSLTGSIPDFTGCRDLEIIHLENNQLTGELPSSLLNLPNLRELYVQNNLLSGTVPSGLLNDKIVLNYSGNLNLREGGRRGKRIDIIIGSSVGAAVLGIATIVSCLFIRRGRRSPNQEQLRVRPPVQRLVSTFNDTPAEGAYCFKFFEIEDATKKFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQFLGFCQEEGKSMLVYEFMHNGTLKEHLYGPLTRGRSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKNMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELVSGQEAISNESFGVNCRNIVQWAKMHIESGDIQGIIDPSLHDEYDIQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAILIEREAVTVREGNSDDMSKNSVHSSLNLGPLQLGGTENYLSLDESVARPTAR >Manes.09G080500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11994050:12003034:-1 gene:Manes.09G080500.v8.1 transcript:Manes.09G080500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRHFPADSRKYCYTLGVISRTRYLLRATFLYGNFDNNNVYPKFDISVGATHWSTIVISDANTIESIELIFLASSPTISVCLSNATTGQPFISTLELRQFNGSIYYTEYENQFYLSVSARINFGADSEDPVRYPDDPFDRIWESDSVKKANYLVDVASGTEKVSTHMPIDVSIDEMPPQKVMQNAVVGTSGTLTYRLNLDGFPGFGWAVTYFAEIEDLKPTESRKFRLVLPGHPDMSKAVVNIQENAHGKYRLYQPGYPNISLPFVLSFRFGKTSDSTKGPLLNAMEINKYLEKNDGSSDAEVIASVISLYASADWAQEGGDPCLPVPWSWLQCNSDERPRIVKISLSSKNLTGNIPSDLLKLNGLVELWLDRNSLTGSIPDFTGCRDLEIIHLENNQLTGELPSSLLNLPNLRELYVQNNLLSGTVPSGLLNDKIVLNYSGNLNLREGGRRGKRIDIIIGSSVGAAVLGIATIVSCLFIRRGRRSPNQEQLRVRPPVQRLVSTFNDTPAEGAYCFKFFEIEDATKKFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQFLGFCQEEGKSMLVYEFMHNGTLKEHLYGPLTRGRSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKNMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELVSGQEAISNESFGVNCRNIVQWAKMHIESGDIQGIIDPSLHDEYDIQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAILIEREAVTVREGNSDDMSKNSVHSSLNLGPLQLGGTENYLSLDESVARPTAR >Manes.11G097252.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20583044:20585201:-1 gene:Manes.11G097252.v8.1 transcript:Manes.11G097252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARDIVIVGAGIAGLTTSLGLHRLGIESLVLESSSNLRITGFALSTWTNAWKALDALGVGDYLRTQHERIDELIATSTASSQPKARVFFKGKAKHGEHEVRCVRRKLLLEALAQELPHDTIRFSSKVVSIDESDYFKLVYLDDGTMIKTKVLIGCDGVNSVVAKWLGFKNPAFTGRSAIRAYANFNGKHGYLFKFYQFFGNGFRSGFFPCDDTSIYWFFTCTEMKLEEDPAKLKQFVLSKLQNAANEHQRKVVEATEEDSIISSSLRYRHPWELLWGNISKGNVSVAGDALHPMTPDVGQGGCAALEDGVVLARCLAEALKKEVTGENKESDKEEYERIEAGLKKYAAERRWRSIELITTAYFVGFIQQSNGKLLNFLRDKFLVNFLSGLLLRLSDFDCGNLKTMSSS >Manes.16G015200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1742910:1746875:-1 gene:Manes.16G015200.v8.1 transcript:Manes.16G015200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFPDDFKCPISLEIMSDPVILSSGHTFDRASIQRWLDSGHRTCPITKLPLPDHPPLIPNHALRSLISNYTLLSFPKSESSISLNPSESQPQTLFSTLTSPSSPLNSKLVLLSQLTRLTKLDHTLRRQLTESGAVSAVLKCVNSPESVLQEKALSLLLNLSLDDDNKVGLVAEGAIGRVVTVLRAGSPDSRAISCTILTSLAVVEVNKATIGAYPNAIQALVALLNYGKGREVKEAATALYAICSFPDNRRRAVECGAVPILVRIGEMGLERAVEVLSVLVKCEEGRDEMGRIGGCLRVLMKVIKNGSQRGIQCALFTLNLLCCYCEELCGEAKREGVLEICLGLMEDDNEKVRRNASNLVQTLSGCRLVE >Manes.16G015200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1742910:1746875:-1 gene:Manes.16G015200.v8.1 transcript:Manes.16G015200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFPDDFKCPISLEIMSDPVILSSGHTFDRASIQRWLDSGHRTCPITKLPLPDHPPLIPNHALRSLISNYTLLSFPKSESSISLNPSESQPQTLFSTLTSPSSPLNSKLVLLSQLTRLTKLDHTLRRQLTESGAVSAVLKCVNSPESVLQEKALSLLLNLSLDDDNKVGLVAEGAIGRVVTVLRAGSPDSRAISCTILTSLAVVEVNKATIGAYPNAIQALVALLNYGKGREVKEAATALYAICSFPDNRRRAVECGAVPILVRIGEMGLERAVEVLSVLVKCEEGRDEMGRIGGCLRVLMKVIKNGSQRGIQCALFTLNLLCCYCEELCGEAKREGVLEICLGLMEDDNEKVRRNASNLVQTLSGCRLVE >Manes.10G149100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31486644:31489456:1 gene:Manes.10G149100.v8.1 transcript:Manes.10G149100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQIQNLNFTKTFRLLPPKPSIASRGKNCFPTFHKQRKCFPRNKTSLSLVASVSTGIDKPLLSETPYSEAVSVKATITVKITPRWFISSLGTLTQSADKILEIICKNLHLELVSSELDPKTGLEKDTIKSYNQTALGINNLIVVTLEANFNVPEGFGEIGAVLIENEHDREIFVDSVVLEGFPTGAVTISCNSWVQSKNDDPKKRIFFTTTSYLPVDTPNGLKRLREEELENLRGNGEGERQPFERIYDYDVYNDLGDPDTSDDLARPVLGGKEHPYPRRCRTGRPPTKTDPLSESRSDDIYVPRDEAFSWLKQASFGLNKLKALFRTIVPILGGLFGKEEFSSFTNIDLLFKEGTELPNSVKQFYDTDTLLPEIVRAFKKIKRLLKFDAPQLFDSDRFSWLKDEEFSRQTLAGLNPICIRLVREQEWPLKSELDPKIYGPPESLITKEIVEKEIGCSMTVEEALKKKRLFILDYHDLLLPYVAKVRELEGTTLYGSRTLFFLTDEGTLKPVAIELTRPQIGDKPQWKQVFTPVYDDSTAGWLWRLAKTHVVAHDSGIHQLISHWLRTHCCVEPYVIASNRQLSEMHPIYRLLRPHFRFTMEINAFARLQLINGGGTIEKTFSPGKYSMEISSVIYDKLWRFDEEALPADLIKRYYIKFTIH >Manes.10G149100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31486644:31490521:1 gene:Manes.10G149100.v8.1 transcript:Manes.10G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQIQNLNFTKTFRLLPPKPSIASRGKNCFPTFHKQRKCFPRNKTSLSLVASVSTGIDKPLLSETPYSEAVSVKATITVKITPRWFISSLGTLTQSADKILEIICKNLHLELVSSELDPKTGLEKDTIKSYNQTALGINNLIVVTLEANFNVPEGFGEIGAVLIENEHDREIFVDSVVLEGFPTGAVTISCNSWVQSKNDDPKKRIFFTTTSYLPVDTPNGLKRLREEELENLRGNGEGERQPFERIYDYDVYNDLGDPDTSDDLARPVLGGKEHPYPRRCRTGRPPTKTDPLSESRSDDIYVPRDEAFSWLKQASFGLNKLKALFRTIVPILGGLFGKEEFSSFTNIDLLFKEGTELPNSVKQFYDTDTLLPEIVRAFKKIKRLLKFDAPQLFDSDRFSWLKDEEFSRQTLAGLNPICIRLVREQEWPLKSELDPKIYGPPESLITKEIVEKEIGCSMTVEEALKKKRLFILDYHDLLLPYVAKVRELEGTTLYGSRTLFFLTDEGTLKPVAIELTRPQIGDKPQWKQVFTPVYDDSTAGWLWRLAKTHVVAHDSGIHQLISHWLRTHCCVEPYVIASNRQLSEMHPIYRLLRPHFRFTMEINAFARLQLINGGGTIEKTFSPGKYSMEISSVIYDKLWRFDEEALPADLIKRGMAVEDQSAEHGLKLTIKDYPFANDGLILWDAIKQWVTDYVNYYYPEANQITSDNELQAWWEEVRTKGHGDKKDELWWPVLNTQQDLIQVLSSIIWIASGHHAAVNFGQYGYAGYFPNRPTIARTNMPTEEPWKTEFKDFLKDPVRALLNCFPSKLQATTVMSVLDILSSHSPDEEYIGYTSEASWEANSTIKAAYEKFSKTVKELEATIDERNKDLKNKNRFGAGVVPYELLKPLSGPGVTGKGVPNSISI >Manes.13G146600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36243449:36252126:-1 gene:Manes.13G146600.v8.1 transcript:Manes.13G146600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRHRTSSGPSRSNRAQEPEEEPYNIIPIHNLLADHPSLRYPEVRAAAAALRTVGNLRKPPYAQWHPSMDLLDWLALFFGFQKDNVRNQREHIVLHLANAQMRLTPPPDNIDTLDATVLRRFRRKLLKNYTHWCSYLNKKSNIWISDRSHPDLRRELLYISLYLLIWGEAANLRFMPECICFIFHNMAMELNKILEDYIDENTGQPVMPSLSGENAFLNCVVKPIYETIKREVESSKNGTAPHSAWRNYDDINEYFWTKRCFSKLKWPIDVGSNFFMISSNQKHVGKTGFVEQRSFLNLLRSFDRLWVMLILFLQFAIIVAWEGQTYPWQALEKREVQVRVLTLFFTWGGLRFLQSLLDAAMQCNLVSRETVWLGVRMFLKSVVAAGWIIVFGVFYGRIWSQRNSDGRWSDEANRRIVDFLKVAFVFVVPELLAIALFIIPWIRNFLENRNWRIFYLLSWWFQSRSFVGRGLREGLVDNIKYTLFWVVVLATKFAFSYFLQIKPMIKPSRELLDLRDVTYEWHEFFKNSNRFAVGLLWLPVVLIYLMDLQIWYSIYSSFAGAAVGLFEHLGEIRNIQQLRLRFQFFASAIQFNLMPEEQLLNARGTLKSKFKDAIHRLKLRYGLGRPFKKLESNQVEANKFALIWNEIMTIFREEDIISDRELELLELPQNSWNVSVIRWPCFLLCNELLLALSQAKELVDAPDKWLWYKICKNEYRRCAVIEAYDSIKHLLLETIKINTDEHSIITVLFQEIDHSLQIEKFTKTFNMIALPHFHTKLIKLVELLNKPKKDLNQVVNTLQALYEIAVRDFFKEKRTIEQLREDGLAPRDPAAMAGMLFQNAVELPNDSNETFYRQVRRLHTILTSRDSMQNIPKNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVLYSREQLRTENEDGISIIYYLQTIYDDEWRNFIERMRREGMMKEDEIWTTRMRDLRLWASYRGQTLARTVRGMMYYYRALKMLAYLDSASEVDIREGSRELGSMRQDGGSDSFKSEKSPSFNSLSRNSSSVNLMFKGHEYGTALMKYTYVVACQIYGTQKAKKDPHAEEILYLMKTNEALRVAYVDEVNTGRDEKDYYSVLVKYDQQLDREVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIYAGFNCTLRGGNVTHQEYIQVGKGRDVGFNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVILTVYAFLWGRLYLALSGVEASAMASSSSNNKALGAILNQQFIIQLGLFTALPMIVENSLEHGFLQAVWDFLTMQLQLSSLFYTFSMGTKTHFFGRTILHGGAKYRATGRGFVVQHKGFAENYRLYARSHFVKAIELGLILTVYATHSTIAKDTFVYIAMTISSWFLVVSWIMAPFVFNPSGFDWLKTVYDFDDFMNWIWYRGGVFAKAEESWERWWYEEQDHLRTTGLLGKLLEIVLDLRFFFFQYAIVYQLGIANNSTSIVVYMLSWIYVVVAFAIFWVIAYARDKYAAREHIYYRLVQFLVITLGIVVIVALLEFTHFRFMDLFTSLLAFIPTGWGLLLIAQVVRPFLQSTPLWGPVISMARLYDIMFGVIVMAPVAFLSWMPGFQSMQTRILFNEAFSRGLRIFQIVTGKKSKVDV >Manes.13G146600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36243449:36252126:-1 gene:Manes.13G146600.v8.1 transcript:Manes.13G146600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRHRTSSGPSRSNRAQEPEEEPYNIIPIHNLLADHPSLRYPEVRAAAAALRTVGNLRKPPYAQWHPSMDLLDWLALFFGFQKDNVRNQREHIVLHLANAQMRLTPPPDNIDTLDATVLRRFRRKLLKNYTHWCSYLNKKSNIWISDRSHPDLRRELLYISLYLLIWGEAANLRFMPECICFIFHNMAMELNKILEDYIDENTGQPVMPSLSGENAFLNCVVKPIYETIKREVESSKNGTAPHSAWRNYDDINEYFWTKRCFSKLKWPIDVGSNFFMISSNQKHVGKTGFVEQRSFLNLLRSFDRLWVMLILFLQFAIIVAWEGQTYPWQALEKREVQVRVLTLFFTWGGLRFLQSLLDAAMQCNLVSRETVWLGVRMFLKSVVAAGWIIVFGVFYGRIWSQRNSDGRWSDEANRRIVDFLKVAFVFVVPELLAIALFIIPWIRNFLENRNWRIFYLLSWWFQSRSFVGRGLREGLVDNIKYTLFWVVVLATKFAFSYFLQIKPMIKPSRELLDLRDVTYEWHEFFKNSNRFAVGLLWLPVVLIYLMDLQIWYSIYSSFAGAAVGLFEHLGEIRNIQQLRLRFQFFASAIQFNLMPEEQLLNARGTLKSKFKDAIHRLKLRYGLGRPFKKLESNQVEANKFALIWNEIMTIFREEDIISDRELELLELPQNSWNVSVIRWPCFLLCNELLLALSQAKELVDAPDKWLWYKICKNEYRRCAVIEAYDSIKHLLLETIKINTDEHSIITVLFQEIDHSLQIEKFTKTFNMIALPHFHTKLIKLVELLNKPKKDLNQVVNTLQALYEIAVRDFFKEKRTIEQLREDGLAPRDPAAMAGMLFQNAVELPNDSNETFYRQVRRLHTILTSRDSMQNIPKNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVLYSREQLRTENEDGISIIYYLQTIYDDEWRNFIERMRREGMMKEDEIWTTRMRDLRLWASYRGQTLARTVRGMMYYYRALKMLAYLDSASEVDIREGSRELGSMRQDGGSDSFKSEKSPSFNSLSRNSSSVNLMFKGHEYGTALMKYTYVVACQIYGTQKAKKDPHAEEILYLMKTNEALRVAYVDEVNTGRDEKDYYSVLVKYDQQLDREVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIYAGFNCTLRGGNVTHQEYIQVGKGRDVGFNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVILTVYAFLWGRLYLALSGVEASAMASSSSNNKALGAILNQQFIIQLGLFTALPMIVENSLEHGFLQAVWDFLTMQLQLSSLFYTFSMGTKTHFFGRTILHGGAKYRATGRGFVVQHKGFAENYRLYARSHFVKAIELGLILTVYATHSTIAKDTFVYIAMTISSWFLVVSWIMAPFVFNPSGFDWLKTVYDFDDFMNWIWYRGGVFAKAEESWERWWYEEQDHLRTTGLLGKLLEIVLDLRFFFFQYAIVYQLGIANNSTSIVVYMLSWIYVVVAFAIFWVIAYARDKYAAREHIYYRLVQFLVITLGIVVIVALLEFTHFRFMDLFTSLLAFIPTGWGLLLIAQVVRPFLQSTPLWGPVISMARLYDIMFGVIVMAPVAFLSWMPGFQSMQTRILFNEAFSRGLRIFQIVTGKKSKVDV >Manes.18G127660.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21832143:21833633:1 gene:Manes.18G127660.v8.1 transcript:Manes.18G127660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLFLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGATELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISMGSLGKTTDEFVSGIFVRNCTFYDTDNVVRIKTWPALHGGMASDMHFEDIMMKNVHNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYRGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.11G093900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:19790190:19790990:1 gene:Manes.11G093900.v8.1 transcript:Manes.11G093900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDVLNQEVFSSTNMGEFQSSCSMDSFFDELLKDTHACTHTHTCNPPGPDYSHTHTCYHVHTKIVSAPSEDKTGTDDTSESTEKKSKKRPIGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSAKDVNLANPNVAGAYVMNPCDVQCNCRASCLHPGMDGKNGEGMALNGQGFSSCEFDNLQCLLNQNSGMKELAGCELENVVTIVNGNSSSTKKRKGGT >Manes.11G093900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19790190:19793168:1 gene:Manes.11G093900.v8.1 transcript:Manes.11G093900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDVLNQEVFSSTNMGEFQSSCSMDSFFDELLKDTHACTHTHTCNPPGPDYSHTHTCYHVHTKIVSAPSEDKTGTDDTSESTEKKSKKRPIGNREAVRKYREKKKARAASLEDEVVRLRTLNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSAKDVNLANPNVAGAYVMNPCDVQCNCRASCLHPGMDGKNGEGMALNGQGFSSCEFDNLQCLLNQNSGMKELAGCELENVVTIVNGNSSSTKKRKGGAHAATGG >Manes.15G173500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15171795:15176890:-1 gene:Manes.15G173500.v8.1 transcript:Manes.15G173500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANYLSAWKDFPKGLRVLLLEEDSSSAAEIKSKLEATDYIVSLFCNENEALSAISNKPESFHVAIVEVSMSNSNERFKFLETAKDLPTIMISNVHCLSTMMKCIALGAVEFLCKPLSEDKLRDIWQHVVHKAFNAGGSVLSESLKPVKDSVVSMLQLGVETEEPNNDRIENASPAHENELEQSSGSDKYPAPSTPQLKQGARLLDDGDCQELTNCSTEKENEEQEGESKSVETTCAMIEVTPQGHDTQSLTETVIKGEDDSAAGVKVENNQSGHLQNNENLNNPEGNAENPVKGSSLPNLDGTRANRKKIKVDWTPELHKKFVQAVEQLGIDQAIPSRILDVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRLDQARRSYFQPRPIMAYPPCHTNHTFPLSPAYPVWGAPGGPPAGVQMWGSPGYSPWPPRENWHWKPYPVMMHADVWGCPVMPPPLNPYSSPPLNATGFHSTGTVDNGCNIPQNSFDLHPAEEVVDKVVKEAINNPWLPLPIGLKPPSTDSVLAELSRQGISTIPPHINGSNPT >Manes.15G173500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15171795:15177358:-1 gene:Manes.15G173500.v8.1 transcript:Manes.15G173500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANYLSAWKDFPKGLRVLLLEEDSSSAAEIKSKLEATDYIVSLFCNENEALSAISNKPESFHVAIVEVSMSNSNERFKFLETAKDLPTIMISNVHCLSTMMKCIALGAVEFLCKPLSEDKLRDIWQHVVHKAFNAGGSVLSESLKPVKDSVVSMLQLGVETEEPNNDRIENASPAHENELEQSSEKENEEQEGESKSVETTCAMIEVTPQGHDTQSLTETVIKGEDDSAAGVKVENNQSGHLQNNENLNNPEGNAENPVKGSSLPNLDGTRANRKKIKVDWTPELHKKFVQAVEQLGIDQAIPSRILDVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRLDQARRSYFQPRPIMAYPPCHTNHTFPLSPAYPVWGAPGGPPAGVQMWGSPGYSPWPPRENWHWKPYPVMMHADVWGCPVMPPPLNPYSSPPLNATGFHSTGTVDNGCNIPQNSFDLHPAEEVVDKVVKEAINNPWLPLPIGLKPPSTDSVLAELSRQGISTIPPHINGSNPT >Manes.15G173500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15171794:15177416:-1 gene:Manes.15G173500.v8.1 transcript:Manes.15G173500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANYLSAWKDFPKGLRVLLLEEDSSSAAEIKSKLEATDYIVSLFCNENEALSAISNKPESFHVAIVEVSMSNSNERFKFLETAKDLPTIMISNVHCLSTMMKCIALGAVEFLCKPLSEDKLRDIWQHVVHKAFNAGGSVLSESLKPVKDSVVSMLQLGVETEEPNNDRIENASPAHENELEQSSGSDKYPAPSTPQLKQGARLLDDGDCQELTNCSTEKENEEQEGESKSVETTCAMIEVTPQGHDTQSLTETVIKGEDDSAAGVKVENNQSGHLQNNENLNNPEGNAENPVKGSSLPNLDGTRANRKKIKVDWTPELHKKFVQAVEQLGIDQAIPSRILDVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRLDQARRSYFQPRPIMAYPPCHTNHTFPLSPAYPVWGAPGGPPAGVQMWGSPGYSPWPPRENWHWKPYPVMMHADVWGCPVMPPPLNPYSSPPLNATGFHSTGTVDNGCNIPQNSFDLHPAEEVVDKVVKEAINNPWLPLPIGLKPPSTDSVLAELSRQGISTIPPHINGSNPT >Manes.15G173500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15171795:15177416:-1 gene:Manes.15G173500.v8.1 transcript:Manes.15G173500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNERFKFLETAKDLPTIMISNVHCLSTMMKCIALGAVEFLCKPLSEDKLRDIWQHVVHKAFNAGGSVLSESLKPVKDSVVSMLQLGVETEEPNNDRIENASPAHENELEQSSGSDKYPAPSTPQLKQGARLLDDGDCQELTNCSTEKENEEQEGESKSVETTCAMIEVTPQGHDTQSLTETVIKGEDDSAAGVKVENNQSGHLQNNENLNNPEGNAENPVKGSSLPNLDGTRANRKKIKVDWTPELHKKFVQAVEQLGIDQAIPSRILDVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRLDQARRSYFQPRPIMAYPPCHTNHTFPLSPAYPVWGAPGGPPAGVQMWGSPGYSPWPPRENWHWKPYPVMMHADVWGCPVMPPPLNPYSSPPLNATGFHSTGTVDNGCNIPQNSFDLHPAEEVVDKVVKEAINNPWLPLPIGLKPPSTDSVLAELSRQGISTIPPHINGSNPT >Manes.15G173500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15171795:15177431:-1 gene:Manes.15G173500.v8.1 transcript:Manes.15G173500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNERFKFLETAKDLPTIMISNVHCLSTMMKCIALGAVEFLCKPLSEDKLRDIWQHVVHKAFNAGGSVLSESLKPVKDSVVSMLQLGVETEEPNNDRIENASPAHENELEQSSGSDKYPAPSTPQLKQGARLLDDGDCQELTNCSTEKENEEQEGESKSVETTCAMIEVTPQGHDTQSLTETVIKGEDDSAAGVKVENNQSGHLQNNENLNNPEGNAENPVKGSSLPNLDGTRANRKKIKVDWTPELHKKFVQAVEQLGIDQAIPSRILDVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRLDQARRSYFQPRPIMAYPPCHTNHTFPLSPAYPVWGAPGGPPAGVQMWGSPGYSPWPPRENWHWKPYPVMMHADVWGCPVMPPPLNPYSSPPLNATGFHSTGTVDNGCNIPQNSFDLHPAEEVVDKVVKEAINNPWLPLPIGLKPPSTDSVLAELSRQGISTIPPHINGSNPT >Manes.15G173500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15172475:15176890:-1 gene:Manes.15G173500.v8.1 transcript:Manes.15G173500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANYLSAWKDFPKGLRVLLLEEDSSSAAEIKSKLEATDYIVSLFCNENEALSAISNKPESFHVAIVEVSMSNSNERFKFLETAKDLPTIMISNVHCLSTMMKCIALGAVEFLCKPLSEDKLRDIWQHVVHKAFNAGGSVLSESLKPVKDSVVSMLQLGVETEEPNNDRIENASPAHENELEQSSGSDKYPAPSTPQLKQGARLLDDGDCQELTNCSTEKENEEQEGESKSVETTCAMIEVTPQGHDTQSLTETVIKGEDDSAAGVKVENNQSGHLQNNENLNNPEGNAENPVKGSSLPNLDGTRANRKKIKVDWTPELHKKFVQAVEQLGIDQAIPSRILDVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRLDQARRSYFQPRPIMAYPPCHTNHTFPLSPAYPVWGAPGGPPAGVQMWGSPGYSPWPPRENWHWKPYPVMMHADVWGCPVMPPPLNPYSSPPLNATGFHSTGTVDNGCNIPQNSFDLHPVNP >Manes.01G103300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30303266:30310692:-1 gene:Manes.01G103300.v8.1 transcript:Manes.01G103300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEIIGETARSSMNLPTKSAIYVWGYNHSGQTGRKGKELQLRIPKQLPPELFGCPAGANARWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEEGRKHPKKVKQLETEFVKSVSCGAHCTAAIAEPRENDGSMSTSRLWVWGQNQGSNYPHLLWGAFAPNTIIRQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGVTCEGLQGARLINAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHTSLQSGDKELLPRRVVALDGISIKKVACGGVHTCAVTEKGALYAWGGSQAGQLGLGPQNASFSFIPSDTESFLRNIPVLVVPSGVQLVACGHSHTLISLKDGRIHGWGYNSYGQAANEQTTYAWYPSPVDWCVGEVRKLAAGGGHSAALTDACSLKELCEFRLADSVNLSNALEIEDAASRNGADALARLCGRLREQFLDCDEIGCEDDEMSGGKN >Manes.01G103300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30303266:30310692:-1 gene:Manes.01G103300.v8.1 transcript:Manes.01G103300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEIIGETARSSMNLPTKSAIYVWGYNHSGQTGRKGKELQLRIPKQLPPELFGCPAGANARWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEEGRKHPKKVKQLETEFVKSVSCGAHCTAAIAEPRENDGSMSTSRLWVWGQNQGSNYPHLLWGAFAPNTIIRQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGVTCEGLQGARLINAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHTSLQSGDKELLPRRVVALDGISIKKVACGGVHTCAVTEKGALYAWGGSQAGQLGLGPQNASFSFIPSDTESFLRNIPVLVVPSGVQLVACGHSHTLISLKDGRIHGWGYNSYGQAANEQTTYAWYPSPVDWCVGEVRKLAAGGGHSAALTDACSLKELCEFRLADSVNLSNALEIEDAASRNGADALARLCGRLREQFLDCDEIGCEDDEMSGGKN >Manes.01G103300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30303266:30310692:-1 gene:Manes.01G103300.v8.1 transcript:Manes.01G103300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEIIGETARSSMNLPTKSAIYVWGYNHSGQTGRKGKELQLRIPKQLPPELFGCPAGANARWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEEGRKHPKKVKQLETEFVKSVSCGAHCTAAIAEPRENDGSMSTSRLWVWGQNQGSNYPHLLWGAFAPNTIIRQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGVTCEGLQGARLINAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHTSLQSGDKELLPRRVVALDGISIKKVACGGVHTCAVTEKGALYAWGGSQAGQLGLGPQNASFSFIPSDTESFLRNIPVLVVPSGVQLVACGHSHTLISLKDGRIHGWGYNSYGQAANEQTTYAWYPSPVDWCVGEVRKLAAGGGHSAALTDACSLKELCEFRLADSVNLSNALEIEDAASRNGADALARLCGRLREQFLDCDEIGCEDDEMSGGKN >Manes.03G052000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4831689:4833654:-1 gene:Manes.03G052000.v8.1 transcript:Manes.03G052000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKANINDQCGIYVNFLMTEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGTAKKQVKEKSSVSNHSSNKSKSNSKRGSETQGKYSKAMQTKDEDDEGVEEEEEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Manes.03G052000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4830787:4837931:-1 gene:Manes.03G052000.v8.1 transcript:Manes.03G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGASYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFFQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGTAKKQVKEKSSVSNHSSNKSKSNSKRGSETQGKYSKAMQTKDEDDEGVEEEEEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Manes.13G056451.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6541703:6543348:1 gene:Manes.13G056451.v8.1 transcript:Manes.13G056451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRPVLEILVSICLWLLLSCTLSYGTETDIACLKSIKDSLEDPFKYLKSSWDFNNNTEGYICGFTGVECWHPDESRVLNLRLSDMGLKGRFPTGLQNCTSITGVDLSNNNLFGPIPDNISKIIGFVTSLQLSSNNFSGSIPENLANCSYLNILKLDHNRLTGQIPPQLGLLGRLKTFSVANNLLTGPVPTFLNANVTADDYANNVGLCGKPLDNCPGTSNYRRGRRETFEFEGASV >Manes.03G193000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31116755:31129448:1 gene:Manes.03G193000.v8.1 transcript:Manes.03G193000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDSELEEGEAFQYKDDDDNNIDPEIALSYIDEKIQNVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPQRNSTWSHPKTPQKNSSPPRSPNNLPVEGTPQNLRVLSNVLPPVKLGTSSYSANTLHKSRLESGDVSVKQDSDLSSAPFSQKSHLKYGNSSKLGNPSDQRTLKVRIKVGPDNVARKTAAIYSGLGLDNSPSSSLGNSPEDDALLPVFQQIAEESPSGILQVMTSFPVPRGTLISPLHQSLLCLIRKEKLPRDSELMPCLKGTQDNFAFLADESKLLGKSDRPACMKQGNDSIFENSKALSANRKLENDNAKSMNVLSNDLKSMPRLVKTDGGETLKAIGMSSEVPREAGQDGVRDRMFSSDFMKEDSLESRSGQDSGKEKKNALSSSAEKVLEKRGLSCDGNGKSKYSKSVFSKGYSHAAKCKEDLKVGSLASSKQKIGQQDQFSMPGVKEKQFLEGKKSHGSESSKNLAAAPAKESFRVGDSEAPKGTTTVIQGVSKSKNKMHKRKSQKDIIKARDNHRGPLDLKLEKKNGPMGLLERPSISRQNDHFMDDLEMDQHASFNKLREKFDGKRVGNQPVPGALVKDVAYVGTSIPGNTLASEVAPPVTAPILIEENWVCCDSCQKWRLLPYGTKPEQLPEKWLCTMLNWLPGMNRCDISEEETTKALNALYQLPHAVGQNNLQNHANRTTSGVHIPHVDHNHQSLDSHAAPLRGKKKRGLKEMGKAGSGMIQLLNSTKNQYQLQESVKSRSLNDMNQSPAEANQLNKSIFHTVSKSHNLIAEQKEKHIDGAGDTKQVKINKREADHYGYGTSKKTKIEDESHNDKYCNSDMDIGRTCQNSKNGSSAKASGKDLWKSNEKFDKKEKLLVSVKNLGDQGQMSSDGGSLNVGTSDKRNISMKKRKLREWQDNQNGADSSLNAKERSESGLKKEKKSRISKCGSSSMNNCNDKLDKKEKVTSLLSTGSQDHLIDGVEAVRSIHKDEQTWKHRRKFASQKTLDGTNLAKKDRGPGQLSMTATSSSSKVSGSLKTRATFDDVKGSPVESVSSSPLRTSYPQKLGSTGGSILPKDDAINEGLPVNGEPRRCRDREVDGQINRSGTAKKEKVSANHLPESSKPSLLDYQEGDANHKISQTKCSSDFLNCGAEITECRDCSSDMLAIKNGHDKDRVGKHHHGNVVFPQKFGKGSSLQLKDNDRSSTSDFDRDEMKVSEPVNAHVDFLKKSTRNESEIDARSHVSLLKRMNNGKQGIPGNPSSKSKSKKDEKLRVSMSGSIGQCSRDGRMEHPPKLQGHDDSDAKLSAIHIRKLDTATQENLIQDFDGETKTDPIRKDSRSRTTKLAARSEQEAKHESQSRQSASASQQEGASVRHWIHVSGNGDVSKASKYLENPVTNNDSHQSSGDHMLDMKGVGVGDLNAPSPRRTNSSSQTASNALKEAKDLRDYADRLKISGFGFESNEINFQAALKFLEGASLLETCNDSGRQGEMTVMQMYSTAAKICECSASEYERRHEMAAASLAYKCMEVAYLRLVYCKNSSLSRDRNELQACLQTFSQGESPSSSASDIDNLNNQATVDKATISKGTISHVAGNTVIVARNHSNFLRLLDFTQDIHFAMEASTKAQNAYSAANVALEQVQNRDCITSVKKVLDFGFQDVEKLILLVQHATEAITRAGLVGARD >Manes.03G193000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31116755:31129539:1 gene:Manes.03G193000.v8.1 transcript:Manes.03G193000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDSELEEGEAFQYKDDDDNNIDPEIALSYIDEKIQNVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPQRNSTWSHPKTPQKNSSPPRSPNNLPVEKSHLKYGNSSKLGNPSDQRTLKVRIKVGPDNVARKTAAIYSGLGLDNSPSSSLGNSPEDDALLPVFQQIAEESPSGILQVMTSFPVPRGTLISPLHQSLLCLIRKEKLPRDSELMPCLKGTQDNFAFLADESKLLGKSDRPACMKQGNDSIFENSKALSANRKLENDNAKSMNVLSNDLKSMPRLVKTDGGETLKAIGMSSEVPREAGQDGVRDRMFSSDFMKEDSLESRSGQDSGKEKKNALSSSAEKVLEKRGLSCDGNGKSKYSKSVFSKGYSHAAKCKEDLKVGSLASSKQKIGQQDQFSMPGVKEKQFLEGKKSHGSESSKNLAAAPAKESFRVGDSEAPKGTTTVIQGVSKSKNKMHKRKSQKDIIKARDNHRGPLDLKLEKKNGPMGLLERPSISRQNDHFMDDLEMDQHASFNKLREKFDGKRVGNQPVPGALVKDVAYVGTSIPGNTLASEVAPPVTAPILIEENWVCCDSCQKWRLLPYGTKPEQLPEKWLCTMLNWLPGMNRCDISEEETTKALNALYQLPHAVGQNNLQNHANRTTSGVHIPHVDHNHQSLDSHAAPLRGKKKRGLKEMGKAGSGMIQLLNSTKNQYQLQESVKSRSLNDMNQSPAEANQLNKSIFHTVSKSHNLIAEQKEKHIDGGDTKQVKINKREADHYGYGTSKKTKIEDESHNDKYCNSDMDIGRTCQNSKNGSSAKASGKDLWKSNEKFDKKEKLLVSVKNLGDQGQMSSDGGSLNVGTSDKRNISMKKRKLREWQDNQNGADSSLNAKERSESGLKKEKKSRISKCGSSSMNNCNDKLDKKEKVTSLLSTGSQDHLIDGVEAVRSIHKDEQTWKHRRKFASQKTLDGTNLAKKDRGPGQLSMTATSSSSKVSGSLKTRATFDDVKGSPVESVSSSPLRTSYPQKLGSTGGSILPKDDAINEGLPVNGEPRRCRDREVDGQINRSGTAKKEKVSANHLPESSKPSLLDYQEGDANHKISQTKCSSDFLNCGAEITECRDCSSDMLAIKNGHDKDRVGKHHHGNVVFPQKFGKGSSLQLKDNDRSSTSDFDRDEMKVSEPVNAHVDFLKKSTRNESEIDARSHVSLLKRMNNGKQGIPGNPSSKSKSKKDEKLRVSMSGSIGQCSRDGRMEHPPKLQGHDDSDAKLSAIHIRKLDTATQENLIQDFDGETKTDPIRKDSRSRTTKLAARSEQEAKHESQSRQSASASQQEGASVRHWIHVSGNGDVSKASKYLENPVTNNDSHQSSGDHMLDMKGVGVGDLNAPSPRRTNSSSQTASNALKEAKDLRDYADRLKISGFGFESNEINFQAALKFLEGASLLETCNDSGRQGEMTVMQMYSTAAKICECSASEYERRHEMAAASLAYKCMEVAYLRLVYCKNSSLSRDRNELQACLQTFSQGESPSSSASDIDNLNNQATVDKATISKGTISHVAGNTVIVARNHSNFLRLLDFTQDIHFAMEASTKAQNAYSAANVALEQVQNRDCITSVKKVLDFGFQDVEKLILLVQHATEAITRAGLVGARD >Manes.03G193000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31116755:31129539:1 gene:Manes.03G193000.v8.1 transcript:Manes.03G193000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDSELEEGEAFQYKDDDDNNIDPEIALSYIDEKIQNVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPQRNSTWSHPKTPQKNSSPPRSPNNLPVEGTPQNLRVLSNVLPPVKLGTSSYSANTLHKSRLESGDVSVKQDSDLSSAPFSQKSHLKYGNSSKLGNPSDQRTLKVRIKVGPDNVARKTAAIYSGLGLDNSPSSSLGNSPEDDALLPVFQQIAEESPSGILQVMTSFPVPRGTLISPLHQSLLCLIRKEKLPRDSELMPCLKGTQDNFAFLADESKLLGKSDRPACMKQGNDSIFENSKALSANRKLENDNAKSMNVLSNDLKSMPRLVKTDGGETLKAIGMSSEVPREAGQDGVRDRMFSSDFMKEDSLESRSGQDSGKEKKNALSSSAEKVLEKRGLSCDGNGKSKYSKSVFSKGYSHAAKCKEDLKVGSLASSKQKIGQQDQFSMPGVKEKQFLEGKKSHGSESSKNLAAAPAKESFRVGDSEAPKGTTTVIQGVSKSKNKMHKRKSQKDIIKARDNHRGPLDLKLEKKNGPMGLLERPSISRQNDHFMDDLEMDQHASFNKLREKFDGKRVGNQPVPGALVKDVAYVGTSIPGNTLASEVAPPVTAPILIEENWVCCDSCQKWRLLPYGTKPEQLPEKWLCTMLNWLPGMNRCDISEEETTKALNALYQLPHAVGQNNLQNHANRTTSGVHIPHVDHNHQSLDSHAAPLRGKKKRGLKEMGKAGSGMIQLLNSTKNQYQLQESVKSRSLNDMNQSPAEANQLNKSIFHTVSKSHNLIAEQKEKHIDGAGDTKQVKINKREADHYGYGTSKKTKIEDESHNDKYCNSDMDIGRTCQNSKNGSSAKASGKDLWKSNEKFDKKEKLLVSVKNLGDQGQMSSDGGSLNVGTSDKRNISMKKRKLREWQDNQNGADSSLNAKERSESGLKKEKKSRISKCGSSSMNNCNDKLDKKEKVTSLLSTGSQDHLIDGVEAVRSIHKDEQTWKHRRKFASQKTLDGTNLAKKDRGPGQLSMTATSSSSKVSGSLKTRATFDDVKGSPVESVSSSPLRTSYPQKLGSTGGSILPKDDAINEGLPVNGEPRRCRDREVDGQINRSGTAKKEKVSANHLPESSKPSLLDYQEGDANHKISQTKCSSDFLNCGAEITECRDCSSDMLAIKNGHDKDRVGKHHHGNVVFPQKFGKGSSLQLKDNDRSSTSDFDRDEMKVSEPVNAHVDFLKKSTRNESEIDARSHVSLLKRMNNGKQGIPGNPSSKSKSKKDEKLRVSMSGSIGQCSRDGRMEHPPKLQGHDDSDAKLSAIHIRKLDTATQENLIQDFDGETKTDPIRKDSRSRTTKLAARSEQEAKHESQSRQSASASQQEGASVRHWIHVSGNGDVSKASKYLENPVTNNDSHQSSGDHMLDMKGVGVGDLNAPSPRRTNSSSQTASNALKEAKDLRDYADRLKISGFGFESNEINFQAALKFLEGASLLETCNDSGRQGEMTVMQMYSTAAKICECSASEYERRHEMAAASLAYKCMEVAYLRLVYCKNSSLSRDRNELQACLQTFSQGESPSSSASDIDNLNNQATVDKATISKGTISHVAGNTVIVARNHSNFLRLLDFTQDIHFAMEASTKAQNAYSAANVALEQVQNRDCITSVKKVLDFGFQDVEKLILLVQHATEAITRAGLVGARD >Manes.03G193000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31116755:31129539:1 gene:Manes.03G193000.v8.1 transcript:Manes.03G193000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDSELEEGEAFQYKDDDDNNIDPEIALSYIDEKIQNVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPQRNSTWSHPKTPQKNSSPPRSPNNLPVEKSHLKYGNSSKLGNPSDQRTLKVRIKVGPDNVARKTAAIYSGLGLDNSPSSSLGNSPEDDALLPVFQQIAEESPSGILQVMTSFPVPRGTLISPLHQSLLCLIRKEKLPRDSELMPCLKGTQDNFAFLADESKLLGKSDRPACMKQGNDSIFENSKALSANRKLENDNAKSMNVLSNDLKSMPRLVKTDGGETLKAIGMSSEVPREAGQDGVRDRMFSSDFMKEDSLESRSGQDSGKEKKNALSSSAEKVLEKRGLSCDGNGKSKYSKSVFSKGYSHAAKCKEDLKVGSLASSKQKIGQQDQFSMPGVKEKQFLEGKKSHGSESSKNLAAAPAKESFRVGDSEAPKGTTTVIQGVSKSKNKMHKRKSQKDIIKARDNHRGPLDLKLEKKNGPMGLLERPSISRQNDHFMDDLEMDQHASFNKLREKFDGKRVGNQPVPGALVKDVAYVGTSIPGNTLASEVAPPVTAPILIEENWVCCDSCQKWRLLPYGTKPEQLPEKWLCTMLNWLPGMNRCDISEEETTKALNALYQLPHAVGQNNLQNHANRTTSGVHIPHVDHNHQSLDSHAAPLRGKKKRGLKEMGKAGSGMIQLLNSTKNQYQLQESVKSRSLNDMNQSPAEANQLNKSIFHTVSKSHNLIAEQKEKHIDGAGDTKQVKINKREADHYGYGTSKKTKIEDESHNDKYCNSDMDIGRTCQNSKNGSSAKASGKDLWKSNEKFDKKEKLLVSVKNLGDQGQMSSDGGSLNVGTSDKRNISMKKRKLREWQDNQNGADSSLNAKERSESGLKKEKKSRISKCGSSSMNNCNDKLDKKEKVTSLLSTGSQDHLIDGVEAVRSIHKDEQTWKHRRKFASQKTLDGTNLAKKDRGPGQLSMTATSSSSKVSGSLKTRATFDDVKGSPVESVSSSPLRTSYPQKLGSTGGSILPKDDAINEGLPVNGEPRRCRDREVDGQINRSGTAKKEKVSANHLPESSKPSLLDYQEGDANHKISQTKCSSDFLNCGAEITECRDCSSDMLAIKNGHDKDRVGKHHHGNVVFPQKFGKGSSLQLKDNDRSSTSDFDRDEMKVSEPVNAHVDFLKKSTRNESEIDARSHVSLLKRMNNGKQGIPGNPSSKSKSKKDEKLRVSMSGSIGQCSRDGRMEHPPKLQGHDDSDAKLSAIHIRKLDTATQENLIQDFDGETKTDPIRKDSRSRTTKLAARSEQEAKHESQSRQSASASQQEGASVRHWIHVSGNGDVSKASKYLENPVTNNDSHQSSGDHMLDMKGVGVGDLNAPSPRRTNSSSQTASNALKEAKDLRDYADRLKISGFGFESNEINFQAALKFLEGASLLETCNDSGRQGEMTVMQMYSTAAKICECSASEYERRHEMAAASLAYKCMEVAYLRLVYCKNSSLSRDRNELQACLQTFSQGESPSSSASDIDNLNNQATVDKATISKGTISHVAGNTVIVARNHSNFLRLLDFTQDIHFAMEASTKAQNAYSAANVALEQVQNRDCITSVKKVLDFGFQDVEKLILLVQHATEAITRAGLVGARD >Manes.03G193000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31116755:31129539:1 gene:Manes.03G193000.v8.1 transcript:Manes.03G193000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEDSELEEGEAFQYKDDDDNNIDPEIALSYIDEKIQNVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYERSPQRNSTWSHPKTPQKNSSPPRSPNNLPVEGTPQNLRVLSNVLPPVKLGTSSYSANTLHKSRLESGDVSVKQDSDLSSAPFSQKSHLKYGNSSKLGNPSDQRTLKVRIKVGPDNVARKTAAIYSGLGLDNSPSSSLGNSPEDDALLPVFQQIAEESPSGILQVMTSFPVPRGTLISPLHQSLLCLIRKEKLPRDSELMPCLKGTQDNFAFLADESKLLGKSDRPACMKQGNDSIFENSKALSANRKLENDNAKSMNVLSNDLKSMPRLVKTDGGETLKAIGMSSEVPREAGQDGVRDRMFSSDFMKEDSLESRSGQDSGKEKKNALSSSAEKVLEKRGLSCDGNGKSKYSKSVFSKGYSHAAKCKEDLKVGSLASSKQKIGQQDQFSMPGVKEKQFLEGKKSHGSESSKNLAAAPAKESFRVGDSEAPKGTTTVIQGVSKSKNKMHKRKSQKDIIKARDNHRGPLDLKLEKKNGPMGLLERPSISRQNDHFMDDLEMDQHASFNKLREKFDGKRVGNQPVPGALVKDVAYVGTSIPGNTLASEVAPPVTAPILIEENWVCCDSCQKWRLLPYGTKPEQLPEKWLCTMLNWLPGMNRCDISEEETTKALNALYQLPHAVGQNNLQNHANRTTSGVHIPHVDHNHQSLDSHAAPLRGKKKRGLKEMGKAGSGMIQLLNSTKNQYQLQESVKSRSLNDMNQSPAEANQLNKSIFHTVSKSHNLIAEQKEKHIDGGDTKQVKINKREADHYGYGTSKKTKIEDESHNDKYCNSDMDIGRTCQNSKNGSSAKASGKDLWKSNEKFDKKEKLLVSVKNLGDQGQMSSDGGSLNVGTSDKRNISMKKRKLREWQDNQNGADSSLNAKERSESGLKKEKKSRISKCGSSSMNNCNDKLDKKEKVTSLLSTGSQDHLIDGVEAVRSIHKDEQTWKHRRKFASQKTLDGTNLAKKDRGPGQLSMTATSSSSKVSGSLKTRATFDDVKGSPVESVSSSPLRTSYPQKLGSTGGSILPKDDAINEGLPVNGEPRRCRDREVDGQINRSGTAKKEKVSANHLPESSKPSLLDYQEGDANHKISQTKCSSDFLNCGAEITECRDCSSDMLAIKNGHDKDRVGKHHHGNVVFPQKFGKGSSLQLKDNDRSSTSDFDRDEMKVSEPVNAHVDFLKKSTRNESEIDARSHVSLLKRMNNGKQGIPGNPSSKSKSKKDEKLRVSMSGSIGQCSRDGRMEHPPKLQGHDDSDAKLSAIHIRKLDTATQENLIQDFDGETKTDPIRKDSRSRTTKLAARSEQEAKHESQSRQSASASQQEGASVRHWIHVSGNGDVSKASKYLENPVTNNDSHQSSGDHMLDMKGVGVGDLNAPSPRRTNSSSQTASNALKEAKDLRDYADRLKISGFGFESNEINFQAALKFLEGASLLETCNDSGRQGEMTVMQMYSTAAKICECSASEYERRHEMAAASLAYKCMEVAYLRLVYCKNSSLSRDRNELQACLQTFSQGESPSSSASDIDNLNNQATVDKATISKGTISHVAGNTVIVARNHSNFLRLLDFTQDIHFAMEASTKAQNAYSAANVALEQVQNRDCITSVKKVLDFGFQDVEKLILLVQHATEAITRAGLVGARD >Manes.14G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8693861:8694647:-1 gene:Manes.14G103900.v8.1 transcript:Manes.14G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNMEKKKVMVAVDESEFSHYALEWALDHLKDTLVNSDLVIFTVVPNTNFGYVYASSFGSAPPELIASMQDNQEKIAIALLEKAKSICAKHGIVAGTLKETGDPKEAICAAVEKHNIQLLVLGSHSRGAIQRAFLGSVSNYCVHNAKCPVLVVKKPA >Manes.S021583.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:215058:215555:1 gene:Manes.S021583.v8.1 transcript:Manes.S021583.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.07G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27210183:27256520:1 gene:Manes.07G086200.v8.1 transcript:Manes.07G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFRNKEANRSTDTRERFGPREYSYEKLADATDKFSNANLLGEGGFGQVYKGLLDGKVVAIKKLKQLPDEQWKEKLELEIKVVSSVSHKNLVKLIGYCIEGANRLLVLEYVSQKSLKFNLHGNQILEWKNRMKIAIGSAKGLEYLHELCKPKIIHRDIKADNILVDDKFEPKVTDFGLALFFPETGSLTHISRSNNEGTEIYADPENYHTKKISEKLDVYAYGVVLLELITGRKTKFEGMDIISWAKPRIEYILRNGDYTYLVDSKLQANYIEGELKIMIFCAAACVYKPSNFRPPMKQIVRALEGYLPIKDIWDEKDDIQFLNNNPNLDRSHNVDKFQHMVPKPLIISEASNGNELRDFTQQELMVATKGSYNNTTKGIERFQDHYEPKSFNYRDLIIATEGFSEDNRLAEGPFGLVYKGDINGEKVTIAKFSNPKKHDEEYEKMKAIGSGIHHKNLVNLIGYCEEGANRLLVYEFVPRGKSLRYYLKGEEQSTLDWKTRMSILDSVAELLCFLLEQGKFYNRDITEDWIDDFLIDDDFLPKFAEYGREKFFSDFAANCKSIRCTAPESANSGEFTQKTSVYYYGLMLVEMITGKETVADIVQWVVPQLKRAQSDGNYDFIDKRLKEYNKIEMDGMIACALACLSDNPQDRPEMNQIRTHAIRKYDEDIHETLKAAEAIFQAEARILSGPHEDLKSYLAEINQLRSNIHVFSNNKNFKSSEAVLDNANNLLAKAISKLEEEFKRLLSSYSKPVEHDRLLECLPESKQPSSGSPANHDSGKIHYANNHFEQHNSETSGFKYLTLIPSRILCLLHDLAQQIVQAGHQQELLIIYGDIRSPFLEKSLHLLGVEKPSEEDMQVMEWELIEAKASSWISFMRIAVKVLFAGERSVCDQIFDGFDTLADQCFAGCSGGSVSMLLSFGEAIAKGKRSPEKLFLFLDICEIMRELHSEIEAVFNGMACTEIRESTFGLIKRLAQTAQAQFYDFEEAVEKDATKTALLDGTVHPLTSYVIHYVKFILDYQSTLKQLFQEFESGGETGSQLASWTTRIMQALQTNLDGKSKQYKDPALTYLFLMNNIHYMVRSVNRSKVKDLLGDDWVQTHRRLVQNYANQYKRSAWVKIFQCLSAQALTSSVGGSQAPGGGGSRREASRGLVKDRFKTFNMQFEELHHKQSQWTVPDTELRESLRLAVAEVLLPAYRSFVKRFGPLIETEKNPQKYIKYSVEDLNTMLGEFFEGKF >Manes.07G086200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27210183:27256520:1 gene:Manes.07G086200.v8.1 transcript:Manes.07G086200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFRNKEANRSTDTRGNQILEWKNRMKIAIGSAKGLEYLHELCKPKIIHRDIKADNILVDDKFEPKVTDFGLALFFPETGSLTHISRSNNEGTEIYADPENYHTKKISEKLDVYAYGVVLLELITGRKTKFEGMDIISWAKPRIEYILRNGDYTYLVDSKLQANYIEGELKIMIFCAAACVYKPSNFRPPMKQIVRALEGYLPIKDIWDEKDDIQFLNNNPNLDRSHNVDKFQHMVPKPLIISEASNGNELRDFTQQELMVATKGSYNNTTKGIERFQDHYEPKSFNYRDLIIATEGFSEDNRLAEGPFGLVYKGDINGEKVTIAKFSNPKKHDEEYEKMKAIGSGIHHKNLVNLIGYCEEGANRLLVYEFVPRGKSLRYYLKGEEQSTLDWKTRMSILDSVAELLCFLLEQGKFYNRDITEDWIDDFLIDDDFLPKFAEYGREKFFSDFAANCKSIRCTAPESANSGEFTQKTSVYYYGLMLVEMITGKETVADIVQWVVPQLKRAQSDGNYDFIDKRLKEYNKIEMDGMIACALACLSDNPQDRPEMNQIRTHAIRKYDEDIHETLKAAEAIFQAEARILSGPHEDLKSYLAEINQLRSNIHVFSNNKNFKSSEAVLDNANNLLAKAISKLEEEFKRLLSSYSKPVEHDRLLECLPESKQPSSGSPANHDSGKIHYANNHFEQHNSETSGFKYLTLIPSRILCLLHDLAQQIVQAGHQQELLIIYGDIRSPFLEKSLHLLGVEKPSEEDMQVMEWELIEAKASSWISFMRIAVKVLFAGERSVCDQIFDGFDTLADQCFAGCSGGSVSMLLSFGEAIAKGKRSPEKLFLFLDICEIMRELHSEIEAVFNGMACTEIRESTFGLIKRLAQTAQAQFYDFEEAVEKDATKTALLDGTVHPLTSYVIHYVKFILDYQSTLKQLFQEFESGGETGSQLASWTTRIMQALQTNLDGKSKQYKDPALTYLFLMNNIHYMVRSVNRSKVKDLLGDDWVQTHRRLVQNYANQYKRSAWVKIFQCLSAQALTSSVGGSQAPGGGGSRREASRGLVKDRFKTFNMQFEELHHKQSQWTVPDTELRESLRLAVAEVLLPAYRSFVKRFGPLIETEKNPQKYIKYSVEDLNTMLGEFFEGKF >Manes.07G086200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27210183:27256520:1 gene:Manes.07G086200.v8.1 transcript:Manes.07G086200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFRNKEANRSTDTRERFGPREYSYEKLADATDKFSNANLLGEGGFGQVYKGLLDGKVVAIKKLKQLPDEQWKEKLELEIKVVSSVSHKNLVKLIGYCIEGANRLLVLEYVSQKSLKFNLHGNQILEWKNRMKIAIGSAKGLEYLHELCKPKIIHRDIKADNILVDDKFEPKVTDFGLALFFPETGSLTHISRSNNEGTEIYADPENYHTKKISEKLDVYAYGVVLLELITGRKTKFEGMDIISWAKPRIEYILRNGDYTYLVDSKLQANYIEGELKIMIFCAAACVYKPSNFRPPMKQIVRALEGYLPIKDIWDEKDDIQFLNNNPNLDRSHNVDKFQHMVPKPLIISEASNGNELRDFTQQELMVATKGSYNNTTKGIERFQDHYEPKSFNYRDLIIATEGFSEDNRLAEGPFGLVYKGDINGEKVTIAKFSNPKKHDEEYEKMKAIGSGIHHKNLVNLIGYCEEGANRLLVYEFVPRGKSLRYYLKGKFYNRDITEDWIDDFLIDDDFLPKFAEYGREKFFSDFAANCKSIRCTAPESANSGEFTQKTSVYYYGLMLVEMITGKETVADIVQWVVPQLKRAQSDGNYDFIDKRLKEYNKIEMDGMIACALACLSDNPQDRPEMNQIRTHAIRKYDEDIHETLKAAEAIFQAEARILSGPHEDLKSYLAEINQLRSNIHVFSNNKNFKSSEAVLDNANNLLAKAISKLEEEFKRLLSSYSKPVEHDRLLECLPESKQPSSGSPANHDSGKIHYANNHFEQHNSETSGFKYLTLIPSRILCLLHDLAQQIVQAGHQQELLIIYGDIRSPFLEKSLHLLGVEKPSEEDMQVMEWELIEAKASSWISFMRIAVKVLFAGERSVCDQIFDGFDTLADQCFAGCSGGSVSMLLSFGEAIAKGKRSPEKLFLFLDICEIMRELHSEIEAVFNGMACTEIRESTFGLIKRLAQTAQAQFYDFEEAVEKDATKTALLDGTVHPLTSYVIHYVKFILDYQSTLKQLFQEFESGGETGSQLASWTTRIMQALQTNLDGKSKQYKDPALTYLFLMNNIHYMVRSVNRSKVKDLLGDDWVQTHRRLVQNYANQYKRSAWVKIFQCLSAQALTSSVGGSQAPGGGGSRREASRGLVKDRFKTFNMQFEELHHKQSQWTVPDTELRESLRLAVAEVLLPAYRSFVKRFGPLIETEKNPQKYIKYSVEDLNTMLGEFFEGKF >Manes.02G008100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:940034:942150:-1 gene:Manes.02G008100.v8.1 transcript:Manes.02G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLKIQIEQTVREILEQSDMDSTTEYQIRKMASKKLDLNLDVSEYKAFVRHVVNTFLEEQRAKEEEGDKSKEKEFDDDGDLIVCRLSDKRRVTIQNFRGTALVSIREFYKKDGKELPSSKGISLKEEQWSALKKNIPAIEKAIRKMEDRL >Manes.03G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1080056:1085599:-1 gene:Manes.03G012800.v8.1 transcript:Manes.03G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPTTTKSERRWRSKPLQSSKPSLVMAFFSCLAWLYVAGRLWQDAENRTLLTSLLKRNSAKRPKVLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYLKNQLPQSGSSSDRKLLAVIGVYTGFGSRLNRNMFRGSWMPRGEALKKLEERGVIIRFVIGRSANRGDSLDRIIDDENSSTKDFLILEGHEEAQEELPKKAKFFFSTAAQSWDAEFYVKVDDNINLDLEGLIGILERRRGQNSSYIGCMKSGDVISEVGKQWYEPEWWKFGDEKTYFRHAAGALLILSKNLAQYININSASLKTYAHDDVSIGSWMMGVQATYIDDNRFCCGSIKQDKVCSAA >Manes.01G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6515086:6517654:-1 gene:Manes.01G033600.v8.1 transcript:Manes.01G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLGMLKTAGGNHGAMLTLLRWSHIAAMPSPLDGSLHRTIAPTQMVLPEFDPGPDNSNSRYINNLEFGFPSFSFGGSMELMAVPKKKVSPHKRGIRNGPKALKPTPVIIRCRSCGRVKLPHFYCCSGDRGKTDEQNK >Manes.12G058300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5774468:5784344:-1 gene:Manes.12G058300.v8.1 transcript:Manes.12G058300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAIRFEPNSGGIRVKYRLSMDGIAGVDFHTVLEALEVIGILDYGLAKVADQMIKFVITPAVNSRSSISFVEDSEKVSGETAEAVLKMVPPFNPKMEDVDGENIYSGIIQVVKFIYERICFQNVSWNQVFGRLTWTRISDLIISSFLSKAVPEDASKLADFQKIIKVTSEFENGLKEMKFISATDSTDQKLSNFAENVEIHFATRKKMEILAKARNLLLGCDFSIPQDYTSEGPPVKSAGLAVNSSEHVVDLLFLSERCVVSKAASQLMELVHKTLQDVCLSSPRVALEFYRAARDAMLLYEAVIPVKLERQLDGINQVAVLMHNDCLYLSQEILGLAFEYRSDFPNCIKEQAVFVDMAPRFHIMSEKILHRQIQLVIFNLKEAIDGADGFQNTHQIKQFESAKFSIDQVVFILEKVRLIWEPLLLPSIYKKSTCIVLESVFSRMVRDILLLDDMAAEETLQLQRLIHLMLESLTSLMESLTAIIQKEKLEEYSRFPLDDLIPSLCKIRKLAELLDMPLKSIMTAWESGELLSSGFTTLEVEDFIKAIFADSPLRKECLWRIENVGL >Manes.12G058300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5774468:5784344:-1 gene:Manes.12G058300.v8.1 transcript:Manes.12G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFDAINVRDLLSTGDLTDSTSPLSAPDLRLLITRLESYSLQIKSRVQSYLLSHHSDFSALFSLCNDAVLQTNQINEKVADLMGLLSNPPVDVEIRAIVEEASAKMREVRVKRQLLELVRAIVGISDRLRDVRVALKKGQLKFAAEEVRDLKKALRIGDEDEKDPVVYGLLRKEWLDCFEEIQDVLVRFMEIAIRFEPNSGGIRVKYRLSMDGIAGVDFHTVLEALEVIGILDYGLAKVADQMIKFVITPAVNSRSSISFVEDSEKVSGETAEAVLKMVPPFNPKMEDVDGENIYSGIIQVVKFIYERICFQNVSWNQVFGRLTWTRISDLIISSFLSKAVPEDASKLADFQKIIKVTSEFENGLKEMKFISATDSTDQKLSNFAENVEIHFATRKKMEILAKARNLLLGCDFSIPQDYTSEGPPVKSAGLAVNSSEHVVDLLFLSERCVVSKAASQLMELVHKTLQDVCLSSPRVALEFYRAARDAMLLYEAVIPVKLERQLDGINQVAVLMHNDCLYLSQEILGLAFEYRSDFPNCIKEQAVFVDMAPRFHIMSEKILHRQIQLVIFNLKEAIDGADGFQNTHQIKQFESAKFSIDQVVFILEKVRLIWEPLLLPSIYKKSTCIVLESVFSRMVRDILLLDDMAAEETLQLQRLIHLMLESLTSLMESLTAIIQKEKLEEYSRFPLDDLIPSLCKIRKLAELLDMPLKSIMTAWESGELLSSGFTTLEVEDFIKAIFADSPLRKECLWRIENVGL >Manes.12G058300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5774468:5784344:-1 gene:Manes.12G058300.v8.1 transcript:Manes.12G058300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFVITPAVNSRSSISFVEDSEKVSGETAEAVLKMVPPFNPKMEDVDGENIYSGIIQVVKFIYERICFQNVSWNQVFGRLTWTRISDLIISSFLSKAVPEDASKLADFQKIIKVTSEFENGLKEMKFISATDSTDQKLSNFAENVEIHFATRKKMEILAKARNLLLGCDFSIPQDYTSEGPPVKSAGLAVNSSEHVVDLLFLSERCVVSKAASQLMELVHKTLQDVCLSSPRVALEFYRAARDAMLLYEAVIPVKLERQLDGINQVAVLMHNDCLYLSQEILGLAFEYRSDFPNCIKEQAVFVDMAPRFHIMSEKILHRQIQLVIFNLKEAIDGADGFQNTHQIKQFESAKFSIDQVVFILEKVRLIWEPLLLPSIYKKSTCIVLESVFSRMVRDILLLDDMAAEETLQLQRLIHLMLESLTSLMESLTAIIQKEKLEEYSRFPLDDLIPSLCKIRKLAELLDMPLKSIMTAWESGELLSSGFTTLEVEDFIKAIFADSPLRKECLWRIENVGL >Manes.03G059200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6154080:6161751:1 gene:Manes.03G059200.v8.1 transcript:Manes.03G059200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSTCKDGGSKIAMDNGKYVRYTPEQVEALERLYYECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATDLATTDTSCESVVISGQLHLTPQNQPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSFGIVAISHGCPGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNALSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLSNTQNGPSVPPTQNFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEVSQPNVSGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMLESDGIDDVTVLVNSSPGKILGLNISYASGFPSMSNGVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYAAAAVKAGPCTLPVPRVGNFGGQVILPLAHTIEHEEFMEVIKLENMGYREDMIMPGDIFLLQFCTGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSRMDASSPNRTLDLASALDVGPTGNKASGDVSGHCGSTKSVMTIAFQFAFELHLQENVASMARQYVRSVVASVQRVALALSPSRFGPQAGFRPPPGTPEALTLARWICQSYRCYLGMELLKSEGSESILKSLWHHSDAIMCCSLKALPVFTFANQGGLDMLETTLVALQDITLEKIFDDNGRKTLCSEFPQIMQQGFMCLQGGICLSSMGRPVSYERAVAWKVLNEEETAHCICFMFINWTFV >Manes.03G059200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6153794:6161751:1 gene:Manes.03G059200.v8.1 transcript:Manes.03G059200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSTCKDGGSKIAMDNGKYVRYTPEQVEALERLYYECPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATDLATTDTSCESVVISGQLHLTPQNQPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSFGIVAISHGCPGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNALSTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLSNTQNGPSVPPTQNFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEVSQPNVSGWGRRPAALRALSQRLSKGFNEAVNGFTDEGWSMLESDGIDDVTVLVNSSPGKILGLNISYASGFPSMSNGVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYAAAAVKAGPCTLPVPRVGNFGGQVILPLAHTIEHEEFMEVIKLENMGYREDMIMPGDIFLLQFCTGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSRMDASSPNRTLDLASALDVGPTGNKASGDVSGHCGSTKSVMTIAFQFAFELHLQENVASMARQYVRSVVASVQRVALALSPSRFGPQAGFRPPPGTPEALTLARWICQSYRCYLGMELLKSEGSESILKSLWHHSDAIMCCSLKALPVFTFANQGGLDMLETTLVALQDITLEKIFDDNGRKTLCSEFPQIMQQGFMCLQGGICLSSMGRPVSYERAVAWKVLNEEETAHCICFMFINWTFV >Manes.13G020400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2985950:2988037:1 gene:Manes.13G020400.v8.1 transcript:Manes.13G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPFWPDTCSSTTATAIADSISDTKPIVSDTSSASNTPCSAASSSTTSDASSTIVSLQSGLSLQTLPSVPSLQKFIPETLNASTSFICTSSLQPPNKLPVTCLAVHGNLLYSASSHEINVYDRTSFSHLHAFNDDESSSGSVKSVSFSDGKIFTAHQDCKICVWKLTTTKEHKLVTVLPTVNDRLRRFIFPKNYVKHRRHKKLLWIEHADAVTGLAVNNGLIYSVSWDRYLKIWRASDLRCLESIKAHEDAVNAVAVSGDGTVYTGSGDYKIRVWAKPVNEKRHVLIATLEKHKSVVNALALSDDGSVLFSGARDRSILVWEREDSANYMAVTGALRGHSKAILSLINVSDLLLSGSADRTIRIWRRCQDEKYCCLAVLEGHRYPVKSLAATWEGETNDIVSIYSGSLDGEIKAWQVSISRSAELNNNNKKI >Manes.08G075500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730105:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730105:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730089:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730105:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730105:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730105:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730105:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15733849:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730089:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.08G075500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15730105:15738439:1 gene:Manes.08G075500.v8.1 transcript:Manes.08G075500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINIAYLWLLVLLTIPLCLLALLLLIVRPRPVKIPIKNRHVFITGGSSGIGLALAHQFALEGAQVSILARSLDKLEEAKHLIQLSTGVDVAIFAADVRDFDSVQKAVVEAGPIDVLVVNQGVFLPQELEKQELDEIRFMIDVNLMGSFNMIKAALPGMKTRNGRGPASIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIADNIHVSLIYPPDTETPGFAEENKRRPQLTSIIAASSGAMKADEVAKRALDGIKSGSFFVPCNFEGSLLAIATAGLSPQRSFLVAFIEVVAAGLIRLVALFFQWNWFGSIEKWHAQKK >Manes.03G021400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1803902:1808376:-1 gene:Manes.03G021400.v8.1 transcript:Manes.03G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPHQDQRSRSSKPLTIHAFAHSGDLLSFQKLLRANPSLLNERNPVMAQTPLHVSAGNNRAEIIKFLLDWRGEEKVELEAKNMYGETPLHMAAKNGCNDAARLLLAHGAFVEAKANNGMTPLHLAVWYSIRSEDCSTVKTLLEYNADCSAKDNEGMAPINHLSIGPGSAKLRKLLECHLEEQRKKRALEACSETKAKMEELENALSDIVGLNELKVQLRKWAKGMLLDERRRALGLKVGVRRSPHMAFLGNPGTGKTMVARILGRLLHLVGILPTDRVTEVQRTDLVGEFVGHTGPKTRRKIKEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDSGKVVVIFAGYSEPMKRVIASNEGFCRRVTKFFHFDDFTSEDLAKIVHIKMNNQQEDGLLYGFSLHSKCSIAAIATLIDKETTEKQRKEMNGGLVDTMLVNARENLDLRLDFDCVDCDELCTITLEDLEAGLRLLSQ >Manes.11G004400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:540685:544350:1 gene:Manes.11G004400.v8.1 transcript:Manes.11G004400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVRQENSDGAYGSMQDYLFPEKHSWSRKDRNKVPGPQRKLEKLLNQPGNKICADCGSPDPKWVSLSHGVYICIKCSGVHRSLGVHISKVLSIKLDEWTDEQVSCFIELGGNIAANKKYEACLPDECRKPKPDASIEERVEFIRRKYELLQFLGTSDNVTSSHRPQRTSSSSQTSSSQEKKPFDKQATRHRIGNALRNSWARKEAEYKYPKKSSSMAGMVEFIGLIKVNVVRGTNLAIRDVVSSDPYVIIALGHQSVRTRVIKNNLNPVWNESLMLSIPEQIPPLKLLVYDKDTFSTDDFMGEAEIDIQPLVAAAKAYETSSVTESTQLGKWVASKDNTLVQDGVITLIDGMVKQDISLRLQNVERGVLEIELECVPLTQ >Manes.11G004400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:540685:544350:1 gene:Manes.11G004400.v8.1 transcript:Manes.11G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSVRQENSDGAYGSMQDYLFPEKHSWSRKDRNKVPGPQRKLEKLLNQPGNKICADCGSPDPKWVSLSHGVYICIKCSGVHRSLGVHISKVLSIKLDEWTDEQVSCFIELGGNIAANKKYEACLPDECRKPKPDASIEERVEFIRRKYELLQFLGTSDNVTSSHRPQRTSSSSQTSSSQEKKPFDKQATRHRIGNALRNSWARKEAEYKYPKKSSSMAGMVEFIGLIKVNVVRGTNLAIRDVVSSDPYVIIALGHQSVRTRVIKNNLNPVWNESLMLSIPEQIPPLKLLVYDKDTFSTDDFMGEAEIDIQPLVAAAKAYETSSVTESTQLGKWVASKDNTLVQDGVITLIDGMVKQDISLRLQNVERGVLEIELECVPLTQ >Manes.05G163200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27569031:27575133:1 gene:Manes.05G163200.v8.1 transcript:Manes.05G163200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIASLPEIDFDCADEEIFIFLEKMAGGYPLPGNVITDVNPYNYPPSNLPDGIWYLIHSKENGAMEFGCWKVKGEACKLFSNSDITGWRTTLEFFERQVHHECKTDWVMQEYWITQKGPGENSKLKEASSLCRVFLGGEQGLDHKKQQKVSSLHNACENLVHSTKATVPKARDDASNGSTSKPEVDGDAETGNLAVAGEPPFYLVGNPPEIDYISRGDFLELLDLDNPASPSSSSDDSSCLTSSSDECFDSLALLQELDSEINWHSAQKNESCKFSVSASFRPNEVVMAPISPGTSFSIEESKPPTEELVGTNPSVLPTLANDSNDLDNRVLQHTVRNHNADNTAGGPSNSSDVGTSSSCQLPSRDGKRKVSKLRTKKHKKYLCFIPFSFLF >Manes.18G038350.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3389370:3389678:-1 gene:Manes.18G038350.v8.1 transcript:Manes.18G038350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIFNSPLGGEYHENRRMEGKQPAGRRRVFVQTKTGCVLRTEVDRGDNAHTVKRRLQIALKVPTEESCLTFGDMVLSNDLSPVHNDSPLLLTRNIGGPTF >Manes.18G038350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3389370:3389744:-1 gene:Manes.18G038350.v8.1 transcript:Manes.18G038350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPISSSCGLRAWDSPVQTQMAVAIFNSPLGGEYHENRRMEGKQPAGRRRVFVQTKTGCVLRTEVDRGDNAHTVKRRLQIALKVPTEESCLTFGDMVLSNDLSPVHNDSPLLLTRNIGGPTF >Manes.01G061701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25861154:25862057:1 gene:Manes.01G061701.v8.1 transcript:Manes.01G061701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMREASYVIEISIFRKRSEGLLGLSHKAYIERILEKFNMSKCSASLVPIQKGDKFIIIQCLKNNVERMQGIYQNNSYIDYWKAAKKALRYLQGTKDYILTYKRSDHIEVIGYSDSDFAGCVDSRKSIAKQSIIASSIMEAEFVACYEATIHALRLRNFISELEIVDTVSRPLKIYCNNSIPVFFSKNEKYSREAKHMELKYFIVKGKIQKQRVCIEYIKTDLMVVNPLTKGLQPKTFKEHVLRMGLDCNDDC >Manes.09G086566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25746664:25748177:-1 gene:Manes.09G086566.v8.1 transcript:Manes.09G086566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAEVILLDFWPSPFGMRIRIALAEKGIKYEYREEDLKNKSDLLLQMNPVHKKIPVLIHNGKPVAESLIAVQYIDEVWKDKAPLLPSDPYQRAQANFWADFVDKKLFELGRKIWATKGEEQEEAKQGFIESLKLLEGELGEKPFFGGENLGYVDVALMPFYSWFYTYEVCGNFSIEAECPKLIEWAKRCLAKESVFNSLPDHKKVYGFMLELKKRFGIE >Manes.02G002700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:317218:321286:-1 gene:Manes.02G002700.v8.1 transcript:Manes.02G002700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEEASGSRKSARLCALDEKSRILSVQKLTKASSSSPPPAAAARRRGRKRKSLQAVVTHSVTASPPQEGEEVKPEDNATEAEQTENSLSLSLQRMPTKEALEFILDILQRRDPQEIFAQPVDPQEVVGYYSIIKEPMDFGTMRAKLQEGLYTSLEQFERDVFLICSNAMKFNSSTTVYYTAARVINEVAQRLFHALRTSPESFQLEYSRTRRRPGTRRPLKAAGGLHSRSARSARYKAGVSINDPSHKRTTHSLTQFKPYIGKTSFGIIPVTDDGESSESASERRMTYLSQNSSRTESEKLVSTVYNAPKPVVQVSNAGAEYVDSLMKFVEDLGPAAQTVANKKLGKLPIEAPNVPSWTSTPVPPFGSTADSLLPSPSAHQNPAYLTGGQVPSNAISNPLGSYKEKTASTDGREVVPSYNSSTGAKPQTNDGGLIDYNLLGGQGSTKITNTDACASSSSDNIDLLCATLMQIAAMQNRTNHAPSGTYFPLTGHEDVPPAQSSKLNNASHSWMLNSLSQPTSLPYQTSTFSSTSKAASSSSLSGFPNGAGMSTAASAATALDLLDWKQSEATWGLPAICDLPVLPAQANNQMPKTQNVFMQQPAQVPSTEAKNQIPTAQSVFMQQPTQVPSTQVSNQSPVTQSVFLQQPAQVLPTQAKNQIPTTRSVFFQQPAQVPSTQASNQIPATRSVFLQQTAQVPSIQQANNLIPTTENVFMQQPARVLSTQAKNQIPTTQSVFLQQTALVPSTQAGNQIPTTQSVFLQQTAPVPSTQAGDYQIPTTQSVFFQQTAPVPSTQASNQITKAQGVYLQQPGQVPLTQQANNLIPATQNVFMQVPPTQEKQVFNATNFSGGANPQGHQAESSTQSFWNALRASLMDNKNVPDLDLQL >Manes.03G139500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26584169:26586678:1 gene:Manes.03G139500.v8.1 transcript:Manes.03G139500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFHFLFTFLFVILLPMIFNILQNLRNAKLPPGPWKLPLVGNLHQLVGSLPHHSLRNLAKEYGPVAHLQLGQVSAVVISSPDMAKEVMKTHDTIFAYRPNLLAGRIMSYDSTNIAFSPYGNYWRQLRKICMMELLSPGRVQSFRSIREDDVASLIKTISSSAGSPINLAEKVFSMIYSITARAAFGEKCKDQEQFMSLIMRSAALAGGFCLGDMYPSIKVLQVISGIRPKLEKLHQEMDKILDNILKEHREEKLAAKTGDEEASEDLVDILLRFQERDDLEFSITDNNIKAVILDIFGAGSETSAATIEWAMSEMLRNPRVMKEAQAEVRRAFDGKADMDEKRIQELKYLKLVIKETLRLHPPVPLLLPRECSETCEINGYKIPAKTRVVVNAWALGRDPSYWSEAETFFPERFLDISVDFKGTNFEYIPFGAGRRICPGISFAQPSIELPLAHLLYHFDWKLGNGLKHEDLDMTETFGLTARKKQNLVLIPIPYK >Manes.10G016000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1686518:1690164:1 gene:Manes.10G016000.v8.1 transcript:Manes.10G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLANLGAPWSSILHVHLIFLVSITMLSLQPEICLSFKMGNETDRLALLEFKANIASDPYGTLRSWNNSVNFCKWQGVTCGRKHHRVTSLDLHELSLSGTVSPYIGNLTFLRFLNLSDNRFYGEIPQEVGRLFRLRIFSLKNNILRGEIPVNISLCSELRIMTLAFNGLVGKIPAELSSLKKLMGLFLGTNKLTGKIPHSFGNLSSLQHLFLVYNHLEGNIPNELGRITSLTMLGIGVNNLVGPIPSTLYNISSITALSVADNQLHGRLPEKIGLTLPNLQIFQIGDNKFHGSIPASLTNASQLQILDIGSNRLTGQIPKNLGDLTGLQRLNMEKNFLGNNSSQDLAFITSLSNCSNLRKLYLTDNNFGGVFPATIVNMSTLVDLGLGTNQISGRIPADIGNLVNLYRLGLEQNLFSGSIPNSLGKLQKLQALLLHTNMLSGQIPQSLGNITQLSDLWLGINKLEGNMTSITNCQNLHILDVGDNNLTGSIPPQIFALSFLSLALNLSYNSLTGPLSREIGHLKNIGALDITENKLSGEIPGSIGECLSLTDLYMTGNFLQGPIPSSLASLRGLRSLDLSRNNLSGKIPKEIEKLPFLQFLNLSLNNLEGEVPTKGVFSSRSAVSLDGNKNLCGGIPELQLPACPIKQKKYKKPLVAIILAATMSSVLFLSAITSLRLFYRRRSKKNPSSNPFMLDKLFQISYKELLKATQGFSSDNLIGEGSSGSVYSGSLDLDGERIVAVKVLNLQQHGASKSFIAECRALRNVRHRNLVKILTCCSSIDFKGNDFKALVLDFMENGSLETWLYPEEDGTSQSRNLNLLQRLRVAVDMSSALHYLHDLCETPIIHCDLKPSNILLDNDMNAHVGDFGLARLLSKNTSNSSQGQTSSIGIKGTIGYMPPEYGIGSEATTNGDVYSFGIILLEIFTGRRPTDEVFTDGLNLHSFVSSKLPGHVMQALDPKLIATGEFRAEEIVEDNESSDDGQIEIQENNINIENLKLHASNVKECVVSVLKIGLACSAELPGDRMNMSDVTRKLNIIMDAFLRARTHEDPRIVNHK >Manes.06G126200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25615598:25624913:-1 gene:Manes.06G126200.v8.1 transcript:Manes.06G126200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFIWKENRFLHLVLYSAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSRLCAAKGDSRTSLEAEAYAAYMNGNLLFEQDRTWDTALKNFISARAVYEELGKYGDLENQLLCRERVEELEPSIRYCRHKIGQSNLQTSDLLQIGEMEGPALDLFKTKLEAVMAEARSQQAASLTEFYWLGHKFPISNAKTRVAILKAQELEQDLHGPSADSLSAEKKLVIFDKIFTAYHEARSSIRSDLVSAGSADSVKDDLSGLDKAVSAVLAQRTMERNQLLVTIAKSKLTRRCEDKNEKVTRPEELVRLYDLLLQNTSDLSDLVSSGRDTKHEEVAFSENCAARNLAFRAQRCFYLAKSYSLAGKRTEAYVLYCRARSLAENALQKFQSFNNTDEMIKELKTLYGECRSNICIEHASAILEAEKAPENLSKKVSAISLSGGDKKVEKYLLEKLDAYESVVGDANVKAPPRIGVFPPAFQAIPRNPIILDLAYNYIDFPSLENRMKKDKKGFISRLWR >Manes.06G126200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25615598:25624913:-1 gene:Manes.06G126200.v8.1 transcript:Manes.06G126200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESIVTEVRFLHLVLYSAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSRLCAAKGDSRTSLEAEAYAAYMNGNLLFEQDRTWDTALKNFISARAVYEELGKYGDLENQLLCRERVEELEPSIRYCRHKIGQSNLQTSDLLQIGEMEGPALDLFKTKLEAVMAEARSQQAASLTEFYWLGHKFPISNAKTRVAILKAQELEQDLHGPSADSLSAEKKLVIFDKIFTAYHEARSSIRSDLVSAGSADSVKDDLSGLDKAVSAVLAQRTMERNQLLVTIAKSKLTRRCEDKNEKVTRPEELVRLYDLLLQNTSDLSDLVSSGRDTKHEEVAFSENCAARNLAFRAQRCFYLAKSYSLAGKRTEAYVLYCRARSLAENALQKFQSFNNTDEMIKELKTLYGECRSNICIEHASAILEAEKAPENLSKKVSAISLSGGDKKVEKYLLEKLDAYESVVGDANVKAPPRIGVFPPAFQAIPRNPIILDLAYNYIDFPSLENRMKKDKKGFISRLWR >Manes.06G126200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25615598:25624913:-1 gene:Manes.06G126200.v8.1 transcript:Manes.06G126200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESKTTAMEIDAPKSNSNSDQINPRYSINVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESIVTEVRFLHLVLYSAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSRLCAAKGDSRTSLEAEAYAAYMNGNLLFEQDRTWDTALKNFISARAVYEELGKYGDLENQLLCRERVEELEPSIRYCRHKIGQSNLQTSDLLQIGEMEGPALDLFKTKLEAVMAEARSQQAASLTEFYWLGHKFPISNAKTRVAILKAQELEQDLHGPSADSLSAEKKLVIFDKIFTAYHEARSSIRSDLVSAGSADSVKDDLSGLDKAVSAVLAQRTMERNQLLVTIAKSKLTRRCEDKNEKVTRPEELVRLYDLLLQNTSDLSDLVSSGRDTKHEEVAFSENCAARNLAFRAQRCFYLAKSYSLAGKRTEAYVLYCRARSLAENALQKFQSFNNTDEMIKELKTLYGECRSNICIEHASAILEAEKAPENLSKKVSAISLSGGDKKVEKYLLEKLDAYESVVGDANVKAPPRIGVFPPAFQAIPRNPIILDLAYNYIDFPSLENRMKKDKKGFISRLWR >Manes.06G126200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25615598:25624913:-1 gene:Manes.06G126200.v8.1 transcript:Manes.06G126200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRRAITESIVTEVRFLHLVLYSAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSRLCAAKGDSRTSLEAEAYAAYMNGNLLFEQDRTWDTALKNFISARAVYEELGKYGDLENQLLCRERVEELEPSIRYCRHKIGQSNLQTSDLLQIGEMEGPALDLFKTKLEAVMAEARSQQAASLTEFYWLGHKFPISNAKTRVAILKAQELEQDLHGPSADSLSAEKKLVIFDKIFTAYHEARSSIRSDLVSAGSADSVKDDLSGLDKAVSAVLAQRTMERNQLLVTIAKSKLTRRCEDKNEKVTRPEELVRLYDLLLQNTSDLSDLVSSGRDTKHEEVAFSENCAARNLAFRAQRCFYLAKSYSLAGKRTEAYVLYCRARSLAENALQKFQSFNNTDEMIKELKTLYGECRSNICIEHASAILEAEKAPENLSKKVSAISLSGGDKKVEKYLLEKLDAYESVVGDANVKAPPRIGVFPPAFQAIPRNPIILDLAYNYIDFPSLENRMKKDKKGFISRLWR >Manes.07G069230.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15086105:15087276:-1 gene:Manes.07G069230.v8.1 transcript:Manes.07G069230.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTNQGTKSVDAYFTELNVIWEELRKDCVFKFLNVLNETYQGVRSQLIMMKAFPSLDQAYNMVLREETKRSMVIQTQSFPKAAVMVVEKSKVDITCFQCGKSSHVKAQCYRLIGFPTDFKFTKSRSGAPSGNNFNHLSRSSIQHVSSASNETVSQLNLSKERLKLMTLLNDQISHNTSSSPPSQNSQVNVVSWIVDAGATDHIICDVSSFMHSSPINNSFVSLSNGQKVQVESISSVKLNSSLILNDVMFIPVFNFNLLSDLSTWMMIGLAKQRGGLFYLEQQSTIYSGPCSANFATAKHAFEIWHHHLGHPYDNKLLVL >Manes.01G271600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42504146:42506924:-1 gene:Manes.01G271600.v8.1 transcript:Manes.01G271600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRDEIRKGPWKAEEDEVLRNHVEKYGARDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFSVEEERVVIDLQTQFGNKWAKIATYLPGRTDNDVKNFWSSRQKRLARILQTSATPSSSSSSNSKPRKPKKQVPLLDVPTLQAPLFNFSMEEESSAKAQSWSTSYIETPEPISMVPVQFHIDIVNNELSSYDANLVLVECQKEDQDPFPQISEYQPDLTFSPESQELLARLEDPELFNVFGAVDAPELEPQLSLGLPLFDPIPSCMNGAREGRNPASRSTFFDDFPSDVFDNIVPLPSP >Manes.18G128000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24269884:24274410:1 gene:Manes.18G128000.v8.1 transcript:Manes.18G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHCSTLFLAIFILLGFLAAISQAETHFHEFVIQAVPVKRLCRTHSTITVNGQFPGPTLEVRDGDTLVIKAINNARYNVTLHWHGIRQLRNPWADGPDFVTQCPILPGRSYTYRFTIQNQEGTLWWHAHSKWLRATVYGALIIYPKLGSTYPFAMPKREIPILLGEWWDRNPMDVLRLATFTGAQPNVSDAYTINGQPGDLYRCSSKETVRFPVDSGETILLRIINSGMNQELFFAVANHRLTVVAVDAAYTKPFTTSVIMIAPGQTTNVLLTADQTPGRYYMAARAYNTAQNAPFDNTTTTAILEYKSAPCNAKKGKSSPPPILPQLPAYNDTNTATAFTAQLRSPSQVKVPIQIDENLFFTVGLGLINCTNPNSPRCQGPNGTRFTASINNVSFVLPTRNSLMQAYYQGQPGIFTTDFPPVPPLQFDYTGNVSRGLWQPVPGTKLYKLKFGSKVQIVLQDTSIVTTEDHPMHLHGYQFAIVGMGFGNFNPTIDPSKFNLYDPPLRNTIGTPPGGWVAIRFVADNPGIWLMHCHLDSHLNWGLAMAFLVENGVGKLQSVQPPPLDIPQC >Manes.11G092040.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14354385:14356984:1 gene:Manes.11G092040.v8.1 transcript:Manes.11G092040.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPSQAAAQPHQSISLNPSFILFSTFCNLLSRTRFPPNPYSLSRIPIPPPGLSFSFTSIVNLFLVDLRPPTVDHQPLSRRFG >Manes.02G185400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14826881:14830022:-1 gene:Manes.02G185400.v8.1 transcript:Manes.02G185400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTLELRKIFPHIKNQDWKSLIKFYKDRPDYLMFPLNMNGDTAFHIAMHSMSEKPFVQLHSIAVSSSVYEDIFFARKSNGYTILHEAASIGNVQVIKEIVSVYPKLIQIENEVNENPLFTAAAFGQTEVVRFFANKYYGDEEGIFQKSIRRYSDQKSILQVAIEGQHFETALLLLKLEGFWMLEGESPWLLEDRNGISPLGALARMPSSFRSGRTLAFLETLLYSCLPVEDEIDCAVTPQSSREEKDLEMCMNTEHRQNQARSCILPFHKVWPTVEKIWKEKKRHKLALQLARLLIKIAVERHHKTPIFVAIEMGIIEIVHATIEEFPQATEQLNEKKHNILHVAVLNRRHDIFNLLKEINSGLQWKRMTEAVADEGYTLLHQVASTVKEGTKPGPALQLQEELLWFDRVKKIVPSHYAMHRIHYKDDHWVTPKQLFDIKHKEQLADAQQWTKDTSQACSTVAALIATVVFAAAFTIPGGLNDRGLPIFRNSPYFLLFTVMDVVSLAFSLTSVVAFLSILTSPFEFREFERSIPQKLSFGFTLLFLSVISTMITFAVTVLLLIQSEKHWTTSLISVAALLPVSVFALLQARLYWALLWDNFPALRRLFSSSGSVTYRVTKDFSTLEPIPVPIR >Manes.03G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3190863:3206430:1 gene:Manes.03G036600.v8.1 transcript:Manes.03G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHNKEEDKKSEDEDEVEPMTGEEEGEVGSSLTLEKVAAAKLFIENHYKAQMKHIQQRKERRSVLEKQLASSDASQEEQFNLLKDLERKETEYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLSRGQVEHVKAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDIMTLLIREETLTETVARFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKKGHMKLSDFGLCKPLDCSHLCVINENEALDDGNLKKSMDADGQLPETGGRRWKSPLEQLQHWQINRRKLAYSTVGTPDYIAPEVLLKKGYGMDCDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPEEARLTPEAKDLICGLLCDVEHRLGTLGADQIKAHPWLKGVAWDKLYEMDAAFKPEVNGELDTQNFMKFDEEEPPSTRRGSGPSRKLISPDLSFVGYTYKNFDAIKGSYRSFALAELKRSSSRSSTDSFHSDSAVDYSKDLPDDSEIQKLASRRNSVSP >Manes.03G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3190863:3206430:1 gene:Manes.03G036600.v8.1 transcript:Manes.03G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHNKEEDKKSEDEDEVEPMTGEEEGEVGSSLTLEKVAAAKLFIENHYKAQMKHIQQRKERRSVLEKQLASSDASQEEQFNLLKDLERKETEYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLSRGQVEHVKAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDIMTLLIREETLTETVARFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKKGHMKLSDFGLCKPLDCSHLCVINENEALDDGNLKKSMDADGQLPETGGRRWKSPLEQLQHWQINRRKLAYSTVGTPDYIAPEVLLKKGYGMDCDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPEEARLTPEAKDLICGLLCDVEHRLGTLGADQIKAHPWLKGVAWDKLYEMDAAFKPEVNGELDTQNFMKFDEEEPPSTRRGSGPSRKLISPDLSFVGYTYKNFDAIKGSYRSFGSMICACILFAFT >Manes.03G036600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3190863:3206430:1 gene:Manes.03G036600.v8.1 transcript:Manes.03G036600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHNKEEDKKSEDEDEVEPMTGEEEGEVGSSLTLEKVAAAKLFIENHYKAQMKHIQQRKERRSVLEKQLASSDASQEEQFNLLKDLERKETEYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLSRGQVEHVKAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDIMTLLIREETLTETVARFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKKGHMKLSDFGLCKPLDCSHLCVINENEALDDGNLKKSMDADGQLPETGGRRWKSPLEQLQHWQINRRKLAYSTVGTPDYIAPEVLLKKGYGMDCDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPEEARLTPEAKDLICGLLCDVEHRLGTLGADQIKAHPWLKGVAWDKLYEMDAAFKPEVNGELDTQNFMKFDEEEPPSTRRGSGPSRKLISPDLSFVGYTYKNFDAIKGSYRSFGMRIMFNSNSYFINLFFV >Manes.03G036600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3190863:3206430:1 gene:Manes.03G036600.v8.1 transcript:Manes.03G036600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHNKEEDKKSEDEDEVEPMTGEEEGEVGSSLTLEKVAAAKLFIENHYKAQMKHIQQRKERRSVLEKQLASSDASQEEQFNLLKDLERKETEYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLSRGQVEHVKAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDIMTLLIREETLTETVARFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKKGHMKLSDFGLCKPLDCSHLCVINENEALDDGNLKKSMDADGQLPETGGRRWKSPLEQLQHWQINRRKLAYSTVGTPDYIAPEVLLKKGYGMDCDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPEEARLTPEAKDLICGLLCDVEHRLGTLGADQIKAHPWLKGVAWDKLYEMDAAFKPEVNGELDTQNFMKFDEEEPPSTRRGSGPSRKVILQWTILKTCLMTQKFRSLLLEEIQCHHEESPNSQRMKCMA >Manes.03G036600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3190863:3206430:1 gene:Manes.03G036600.v8.1 transcript:Manes.03G036600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHNKEEDKKSEDEDEVEPMTGEEEGEVGSSLTLEKVAAAKLFIENHYKAQMKHIQQRKERRSVLEKQLASSDASQEEQFNLLKDLERKETEYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLSRGQVEHVKAERNLLAEVASHCIVKLYYSFQDADYLYLIMEYLPGGDIMTLLIREETLTETVARFYIAQSVMAIESIHKHNYIHRDIKPDNLLLDKKGHMKLSDFGLCKPLDCSHLCVINENEALDDGNLKKSMDADGQLPETGGRRWKSPLEQLQHWQINRRKLAYSTVGTPDYIAPEVLLKKGYGMDCDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPEEARLTPEAKDLICGLLCDVEHRLGTLGADQIKAHPWLKGVAWDKLYEMDAAFKPEVNGELDTQNFMKFDEEEPPSTRRGSGPSRKLISPDLSFVGYTYKNFDAIKGSYRSFGDSAVDYSKDLPDDSEIQKLASRRNSVSP >Manes.07G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1394041:1397078:1 gene:Manes.07G010200.v8.1 transcript:Manes.07G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTSISTSPLSIPIPIHRPITNPRRLLCPFATLSSSSSPESAPAPASARIHDSSKNPSTPFVESSRPHDSSFNYAIANPTGGNPFVRFVRSTESNIERVIFDFRFLALLAIGGSLAGSLLCFLNGCVYIFDAYRVYWSSCVKGIHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVPPDVPSQVDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGIDLLSYSVCIFLSSASLYILHNLHKSD >Manes.15G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6346127:6350568:1 gene:Manes.15G082400.v8.1 transcript:Manes.15G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADRQKPHSDKKLFSLCPFWQSGTTNSSSSSTQNLNHTHNGNSSNRHLEVKNSSKSPSSSRTVSSIARSLLPARRRLRLDPANYLYFPYEPGKQARSAIRLKNTSRSHVAFKFQTTAPKSCFMRPPGGILAPGESLIATVFKFVEQPENNDKVIDQKSKVKFKIMSLKVKGGIEYAPELFDEQKDQVTVERILRVVFLDVEHPSPALEKLKRQLAEADAAVEVRKKPPPDTGPRVVGEGLVIDEWKERREKYLARQKVEAIDSA >Manes.12G060701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5933818:5939048:1 gene:Manes.12G060701.v8.1 transcript:Manes.12G060701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTHPKREVSFEFQSQIPVLRPSIHARRASITVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRLWWALEASKGANWYLQPQVTSIVEGIPLKSSLKLSNLTNAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDMTKAVEGKVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVAYSFRDSDVGYCQGLNYVAALLLLVMKTEEDVFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLVKECPRIAAHLEELEFNVSLVATEWFLCLFSKSLPSETTLRVWDVLFFEGAKVLFHVALAIFKMKEVELLLTHHVGDVISILQKTTHHLFDPDELLTVAFDKIGSMTTNAISKQRKKQQPAVMAELDQRLRRLNSLSMDEK >Manes.04G039000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6023303:6026865:1 gene:Manes.04G039000.v8.1 transcript:Manes.04G039000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFYSSKLALNKSVSLSISDAQLGILAFHSLFIIRPCSSDVSSNLNGHSFTVSYLINSCGLTLKSAESASKRIKFETPERPDSVLRFLKEHGFTNSHISKIVELRAKLLLCHPERTLLPKFEFLHSVGVSRSDLPLIVSQKPELLVRSIKRFQIPHYNILKSVLVSDEKVVKSLKRVVRNPIMLSHEDFNVNLSLLKELGIPQSAISYLVTCHPFTMCQKATKFAEGVKKVTKIGFDPSKSAFVSALQVLLETRQKTWEQRMEVFRRWGKFIRRRDFNNFQKISMFYASV >Manes.04G039000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6023303:6026865:1 gene:Manes.04G039000.v8.1 transcript:Manes.04G039000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFYSSKLALNKSVSLSISDAQLGILAFHSLFIIRPCSSDVSSNLNGHSFTVSYLINSCGLTLKSAESASKRIKFETPERPDSVLRFLKEHGFTNSHISKIVELRAKLLLCHPERTLLPKFEFLHSVGVSRSDLPLIVSQKPELLVRSIKRFQIPHYNILKSVLVSDEKVVKSLKRVVRNPIMLSHEDFNVNLSLLKELGIPQSAISYLVTCHPFTMCQKATKFAEGVKKVTKIGFDPSKSAFVSALQVLLETRQKTWEQRMEVFRRWGKFIRRRDFNNFQKISMFYASV >Manes.04G155800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35069861:35070400:-1 gene:Manes.04G155800.v8.1 transcript:Manes.04G155800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTADSPISASATATGVGLGYGIAIAVSILVLISTIMLASYACVRVKGNSIGRSGSDDERNIYRSNHQFISADSMEPVTVVGLDGQAIESYPKLVLGESRRLPKPNKGPCSICLSDYQSKDTIRCLPDCCHCFHADCVDEWLKMSATCPLCRNSPAPSAGSTPIATPLSELVPLSLHSR >Manes.05G073200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6030019:6035287:1 gene:Manes.05G073200.v8.1 transcript:Manes.05G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSYPPTKALTTRYKCYACYKQYKKKEHLVEHMKISYHSAHQPRCAVCQKHCKSFESLREHLTGPLAKTNCLGIFSDRGCDLCLEVFDSPSSLNKHREMCCLSAPASLATEIPTCTESQIYVSGSIDESYACKGGEAVAIDCEMVGGGSDGSLDLCARVCLLDEDENIIFHSYVQPQIPVTNYRYEVTGLTEKHLRDAMPLKEVQNKILEILYNGESIGKLRLSGGNARLLVGHSLDHDLDCLRMFYPDHLLRDTAKYRPLMKTNLVSHSLKYLVQTYLGYNIQTGVHDPYEDCVSVMRLYKRMRAQNHHVEGSGIQSICGGFDFWKPKELEKMTPEKLYEISRSNYRCWCLDLKGQQPGLI >Manes.05G073200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6030019:6035287:1 gene:Manes.05G073200.v8.1 transcript:Manes.05G073200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSYPPTKALTTRYKCYACYKQYKKKEHLVEHMKISYHSAHQPRCAVCQKHCKSFESLREHLTGPLAKTNCLGIFSDRGCDLCLEVFDSPSSLNKHREMCCLSAPASLATEIPTCTESQIYVSGSIDESYACKGGEAVAIDCEMVGGGSDGSLDLCARVCLLDEDENIIFHSYVQPQIPVTNYRYEVTGLTEKHLRDAMPLKEVQNKILEILYNGESIGKLRLSGGNARLLVGHSLDHDLDCLRMFYPDHLLRDTAKYRPLMKTNLVSHSLKYLVQTYLG >Manes.05G073200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6030019:6035287:1 gene:Manes.05G073200.v8.1 transcript:Manes.05G073200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSYPPTKALTTRYKCYACYKQYKKKEHLVEHMKISYHSAHQPRCAVCQKHCKSFESLREHLTGPLAKTNCLGIFSDRGCDLCLEVFDSPSSLNKHREMCCLSAPASLATEIPTCTESQIYVSGSIDESYACKGGEAVAIDCEMVGGGSDGSLDLCARVCLLDEDENIIFHSYVQPQIPVTNYRDTAKYRPLMKTNLVSHSLKYLVQTYLGYNIQTGVHDPYEDCVSVMRLYKRMRAQNHHVEGSGIQSICGGFDFWKPKELEKMTPEKLYEISRSNYRCWCLDLKGQQPGLI >Manes.05G073200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6030320:6032227:1 gene:Manes.05G073200.v8.1 transcript:Manes.05G073200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSYPPTKALTTRYKCYACYKQYKKKEHLVEHMKISYHSAHQPRCAVCQKHCKSFESLREHLTGPLAKTNCLGIFSDRGCDLCLEVFDSPSSLNKHREMCCLSAPASLATEIPTCTESQIYVSGSIDESYACKGGEAVAIDCEMVGGGSDGSLDLCARVCLLDEDENIIFHSYVQPQIPVTNYRYEVTGLTEKHLRDAMPLKEVQNKILEILYNGESIGKLRLSGGNARLLVGHSLDHDLDCLRMFYPDHLLRYIYIR >Manes.14G134000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10729236:10730965:1 gene:Manes.14G134000.v8.1 transcript:Manes.14G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLHMAFLVAVVIAVLMQISAAQTTHVVGNNSGWIIPPGGATFYPNWAANQTFSVGDTLVFNFAANQHDVAKVTKADYDACTTTNPISMETASPARITINATGEHYFICNFTGHCSAGQKLMINVSAATSSPAPQPSSSSSPAPQPSTSTPTPAPQPSTPSPQPSATPAPTPTPVSAPSPSPVSTPTPSPVSAPSPGPSAPGVTYTVGDSQGWTLLSNTAAFYQNWASGKNFMPGDILVFNYNNGAHDVAELTQENYNSCNTNNPISLSSTPPTRITLTAGEHFYVCAIPGHCSAGQKLAINVTSSGTATPPSSTATPPSSTTTPPSSTTPPTPIVPPPSDSSARSLSVAGLSATLLSIVVACLY >Manes.13G092280.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23897444:23905073:-1 gene:Manes.13G092280.v8.1 transcript:Manes.13G092280.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTYISWVPAVSPPPLSLSNSKPRLSTASPNQPQTQKISQYRYYNSDGDIDNPAEVKFTLPAHVKSLTSTLNPFVKHCVKLRKSSSYRHFHGSAIVVGTTPIREIYDFQKSSEERTVEMECLILLDKPKIPEGFDDSSTGTLRVSASVMKRLSQLQSTESTEAIALMRFPTSYFVVGNHQKDADCRKWFPAPHRILVLEGIQDPGNLGTLVRSAVAFRWGGIFLLPGCCDPFNDKALKASRGASFQVPIVAGGWQNLEALKNEFQMKLLAGHPASNDELKPVSQLSQGLADSLADVPLCLVLGSEGRGLSEQSLQECELVSIPMARNYESLNVAVAGGIFLYMLQPQNQRIF >Manes.05G022600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2021458:2027175:1 gene:Manes.05G022600.v8.1 transcript:Manes.05G022600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSIESVPSSDLTDEDEIHHHHLQFPSAPKAPSNNNNNININNSNSVSSAIQSISVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHEALCNFRPYNCPYAGSECAIVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYSYSLEVGGNGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >Manes.17G047100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24392170:24393822:1 gene:Manes.17G047100.v8.1 transcript:Manes.17G047100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIFMTKVTMFNLSKTFRHPPSIFLRSTGKVSRVCFTSASKYNEGRNAAEENSGRASDYTDIAKETTKEGVERAREGAEQAREQSEEVKEKAKELAGEMKEKAKGYAHETKESAKGASQSAAEKTKEGAHKAAENAEKTKEKAKDYAYDAKEKTKEGTERVAESAHDVKEKVKDYSETAADKARDGTIKVVETVGSVGEKAKQTVKGAWDAAKGTTQKIKETVVGKDHDSDDDDKREKTMDEDVVDLRRREGGGESNKDAKK >Manes.12G016100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1496351:1499119:1 gene:Manes.12G016100.v8.1 transcript:Manes.12G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAFISRFHSIHHKASRPLSPRRRFGGGYFRTLSTCSPLIFSTDSLIAHPPSSLALVACITHSDAPQRSEEWFALRRDKLTTSTFSTALGFWKGNRRFELWNEKVFATEIHFVEAAKRAMQWGVLNEAAAIDGYKSITGREVSHLGFAVHSAEQFDWLGASPDGLLDCSSGGGILEVKCPYNKGKPQVGLPWSAMPFYYMPQVQGQLEIMDREWADLYCWTPNGSTIFRVHRDRAYWELIREILWEFWWGNVMPAREALSLGREEEAKSYRPTPTHRQTGFVILQSSKLASESKLLCREIAGHVEFYR >Manes.12G016100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1496416:1498721:1 gene:Manes.12G016100.v8.1 transcript:Manes.12G016100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAFISRFHSIHHKASRPLSPRRRFGGGYFRTLSTCSPLIFSTDSLIAHPPSSLALVACITHSDAPQRSEEWFALRRDKLTTSTFSTALGFWKGNRRFELWNEKVFATEIHFVEAAKRAMQWGVLNEAAAIDGYKSITGREVSHLGFAVHSAEQFDWLGASPDGLLDCSSGGGILEVKCPYNKGKPQVGLPWSAMPFYYMPQVQGQLEIMDREWADLYCWTPNGSTIFRVHRDRAYWELIREILWEFWWGNVMPAREALSLGREEEAKSYRPTPTHRQTGFVILQSSKLASESKLLCREIAGHVEFYR >Manes.13G087301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:11912160:11914523:-1 gene:Manes.13G087301.v8.1 transcript:Manes.13G087301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCIPSLTQRNGPQQHSRTSSSVWTSPTRGSIRTKLSSHGQLITSPLRTRGPTRRSFPHMDSQFDGPFPHFMNSCTGTRALHKFMHIHPAWARFVLAHGLHYPFTRTKPS >Manes.01G221700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38907001:38910319:1 gene:Manes.01G221700.v8.1 transcript:Manes.01G221700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTMYFLSARTKLLLTLLPVSVFLLILLTLPLKNSPTAEIPHLHIHKHFQIAHSACEGTLYPQLCVSTLLALPDLTSKSLPELISGTINRTMYEVGVSYSNCSGIRNKFRKIKKIENVALTDCLELFSETIDELKLALADLSTKASVSKHYHDLQTLLSAAMTNQYTCLDGFAYTRGNVRKTIKTSLYNISRHVSNSLVMLKKISGVNASKSAAFPDHGNMKRGFPSWLSSKDRKLLQAPPNATKFDLIVAKDGTGNFTTIGQAVAAAPNSSTTRFVIYIKAGAYFENVDVEKKKKMLMFIGDGIGKTVVKANRSVVDGWTTFRSATVAVLGTGFIAKGITFENSAGPSKHQAVALRSGSDLSAFYQCSFVGYQDTLYVHSLRQFYRECDIYGTIDFIFGNAAAVFQNCNLYARKPNDNQKNIFTAQGREDPNQNTGISILNSKVTAAADLIPVKSSFKTYLGRPWKEYSRTVFLRSYIDDVVDPAGWLEWNGSFALGTLYYGEYMNRGPGSHTSARVTWPGYRVVNSSAEASQFTVDAFIQGSEWLNSTGVPFFSGLS >Manes.11G151001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31512391:31513932:1 gene:Manes.11G151001.v8.1 transcript:Manes.11G151001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALKSQVTKTPFIEFSRKERKEKRKLHQLYSLLLLSPSFSQNLRLPLFQLSNGSCLETFIRLLKFQERESESSSWNLEVASLKLTGEGMSKSPFR >Manes.09G165100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35856693:35859356:-1 gene:Manes.09G165100.v8.1 transcript:Manes.09G165100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVQSTNCLVATGSESIAASSRRPNSNQRFLLYFIFSTYFRPHLKEEFPQKSVLQRVAEGLSAYTSDQLAGSHMKTVEVERVYYYALRKVEKSLAVKLPILHQFFHGSMPTSENDLTAVYPQFPDLFPPQLHPHSRFKNKYRTIENIIFIHDPDTSYIKPEEIERFKRLTGLENLLLDGQAARCHISLDDNTLYNVPVQEAESIRDFPLVNHSHRSRRAKRPASTLQSVGQHVHDVDNALPPLQSSTTLPIKDDTRTVDKADPGIFFLPSCPNNKERDQMMAASKSGLALTGSAAMGQVGPSIGLVDIGECEDAYMFRVSLPGVKRDWQEFSCEVEDDGKVLIKGVTTTGESTVYRFSQTFKMQSKNLCSPGEFSVAFQLPGPVDPQQFIGKFGIDGILEGIVMKQRH >Manes.09G165100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35856693:35859356:-1 gene:Manes.09G165100.v8.1 transcript:Manes.09G165100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVQSTNCLVATGSESIAASSRRPNSNQRFLLYFIFSTYFRPHLKEEFPQKSVLQRVAEGLSAYTSDQLAGSHMKTVEVERVYYYALRKVEKSLAVKLPILHQFFHGSMPTSENDLTAVYPQFPDLFPPQLHPHSRFKNKYRTIENIIFIHDPDTSYIKPEEIERFKRLTGLENLLLDGQAARCHISLDDNTLYNVPVQEAESIRDFPLVNHSHRSRRAKRPASTLQSVGQHVHDVDNALPPLQSSTTLPIKDDTRTVDKADPGIFFLPSCPNNKERDQMMAASKSGLALTGSAAMGQVGPSIGLVDIGECEDAYMFRVSLPGVKRDWQEFSCEVEDDGKVLIKGVTTTGESTVYRFSQTFKMQSKNLCSPGEFSVAFQLPGPVDPQQFIGKFGIDGILEGIVMKQRH >Manes.09G165100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35856693:35859356:-1 gene:Manes.09G165100.v8.1 transcript:Manes.09G165100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVQSTNCLVATGSESIAASSRRPNSNQRFLLYFIFSTYFRPHLKEEFPQKSVLQRVAEGLSAYTSDQLAGSHMKTVEVERVYYYALRKVEKSLAVKLPILHQFFHGSMPTSENDLTAVYPQFPDLFPPQLHPHSRFKNKYRTIENIIFIHDPDTSYIKPEEIERFKRLTGLENLLLDGQAARCHISLDDNTLYNVPVQEAESIRDFPLVNHSHRSRRAKRPASTLQSVGQHVHDVDNALPPLQSSTTLPIKDDTRTVDKADPGIFFLPSCPNNKERDQMMAASKSGLALTGSAAMGQVGPSIGLVDIGECEDAYMFRVSLPGVKRDWQEFSCEVEDDGKVLIKGVTTTGESTVYRFSQTFKMQSKNLCSPGEFSVAFQLPGPVDPQQFIGKFGIDGILEGIVMKQRH >Manes.09G165100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35856693:35858697:-1 gene:Manes.09G165100.v8.1 transcript:Manes.09G165100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVQSTNCLVATGSESIAASSRRPNSNQRFLLYFIFSTYFRPHLKEEFPQKSVLQRVAEGLSAYTSDQLAGSHMKTVEVERVYYYALRKVEKSLAVKLPILHQFFHGSMPTSENDLTAVYPQFPDLFPPQLHPHSRFKNKYRTIENIIFIHDPDTSYIKPEEIERFKRLTGLENLLLDGQAARCHISLDDNTLYNVPVQEAESIRDFPLVNHSHRSRRAKRPASTLQSVGQHVHDVDNALPPLQSSTTLPIKDDTRTVDKADPGIFFLPSCPNNKERDQMMAASKSGLALTGSAAMGQVGPSIGLVDIGECEDAYMFRVSLPGVKRDWQEFSCEVEDDGKVLIKGVTTTGESTVYRFSQTFKMQSKNLCSPGEFSVAFQLPGPVDPQQFIGKFGIDGILEGIVMKQRH >Manes.14G047700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4171490:4173474:1 gene:Manes.14G047700.v8.1 transcript:Manes.14G047700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTWDFIFGVMANIISAMVCLAPLPTFYQICKKKTSEGFQSIPYVIALFSAMLWLFYALFDGNSTLLVTINSFTFFMEIGYITVYIIYATKKDRMFTIKLLLFFNVFGFGMISIFTLFLTHGRQRVDVLGWICMIFALCVFVAPMGIMRKVIKTKSVEFMPFSLSFFLTLTAVMWFFYGFLKKDLYVAIPNTLGFLFGIAQMVLYLIYRNPKKLPAEEPKLSELSDHIVDVAKLSAICSEINTVAVPQLNDNGNNVGDQKIKEETEKTNLELDVVV >Manes.11G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22259098:22261925:1 gene:Manes.11G099900.v8.1 transcript:Manes.11G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDIELMDYDYYDDDYDDFACDDEVESLPELVDDQTTEEKNYAVLKESDIKQRQEEDITEVSNVLSISRNAARILLRHCRWTVSEALDSWFANEEEVRKSAGLIDQDHKIVVCSCEVKNFTCPICYERDSRRNFSSAACGHPFCNSCWSKYLEVSIDDGASCLILRCPDPSCRVVVDQDLINSLKELPEQYKAKYARFLLRSYVEECGKRRIKWCPGPGCENAVEFSSGNCENFDVFCDCSHEFCWNCPADEAHSPVDCEKVVEWNKKSSSEGNTINWILAHTKPCPKCKSPIEKKQGCMHMTCRAPCKFEFCWLCLGEWKSHGNFYICNSYKKAQRSGRYAQENPWKMAEENPWKRYIHYYERWTANQSSRKKALADLYEVKTVHMVKLCGIYHKSISELKGIEEAWLQIVECRRVLMWSYVYGYYLSENEETKKNIHLFEYLQGQAEIGLERLHRCAEVEMRPFITDYENLPTPKEFHDYYLKLINLTTVTKNYFQNLVRGLQNGLQNDKKNKK >Manes.01G207500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37962322:37965676:1 gene:Manes.01G207500.v8.1 transcript:Manes.01G207500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQILGLCSPTPAAWVHVPETRNKSLPTAALATTASLSSPPPTIQVVGGKTPSWNGNVNYNSSVPVDGFVGDADWVDLDTDLYYWTKDLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLSTTHPQMDLWLGNRKRILVLNREDMISKADRNAWANYFSRQGIKAVFSNGKLGMGTMKLSRLAKSLAAGVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCEAAPRPGVTRELKWVRFGKELEFLDSPGIIPMRISDQSAAIKLAICDDIGERSYDVVDVAAILVRMLTMIPSVGVKALHNRYKIDADEHIFIQKLAVHLFNGDTHQAAFRILSDFRKGKFGWVALERPPR >Manes.01G207500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37962289:37966099:1 gene:Manes.01G207500.v8.1 transcript:Manes.01G207500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQILGLCSPTPAAWVHVPETRNKSLPTAALATTASLSSPPPTIQVVGGKTPSWNGNVNYNSSVPVDGFVGDADWVDLDTDLYYWTKDLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLSTTHPQMDLWLGNRKRILVLNREDMISKADRNAWANYFSRQGIKAVFSNGKLGMGTMKLSRLAKSLAAGVNVKRRAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCEAAPRPGVTRELKWVRFGKELEFLDSPGIIPMRISDQSAAIKLAICDDIGERSYDVVDVAAILVRMLTMIPSVGVKALHNRYKIDADEHIFIQKLAVHLFNGDTHQAAFRILSDFRKGKFGWVALERPPR >Manes.12G025400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2215302:2220485:1 gene:Manes.12G025400.v8.1 transcript:Manes.12G025400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTILSVNYECIFFYFVGCLVIYQSVMGDPRTQQVNVTCGRQLENNPTIFVPNFVATMENISEQMRTSGFGIAVTGSGPDINYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNGGRIFLDGCFMRSENYSFFQEYKGPGDEIVCGNTTRKNSTFGESAKQALSTAVSSAPNNRGYARAQVTVPGTNESAYVLADCWRTLNASSCRACLETASASISKCLPWSEGRALYTGCFMRYSDRDFLNPVPRSGRSRGSVIVIVVSVVSALVVLGVAVTIGIYIWKRRYIQKKRRGSNDAHKLVKTLNDSSLNFKYSTLEKATGSFDDANKLGHGGFGSVYKGVLPDGREIAVKRLFFNNRHRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESLLVYEFLPNKSLDRFIFDQNKGKALTWEKRYDIMVGTAEGLVYLHENSKIRIIHRDIKASNILLDSRFRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGRQNNRSKTAEYTDSLVTLTWKKFQAGTVEELYDPNLMLHHHHYSNVKNDVFRVVQVGLLCTQEVPSLRPTTAKALQMLTTDEQLPAPTNPPFIDEKTMELNDTCEDPWYPLNAGLSASIATITHSSFHPR >Manes.04G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4249370:4250913:-1 gene:Manes.04G033600.v8.1 transcript:Manes.04G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTENIDMGGGPGGGQVNSRWNPTKEQINLLESLYKQGIRTPSADQIQQITARLKDFGHIEGKNVFYWFQNHKARQRQKQKQESLAYFNRYLYKPQQQQQLVFPRPSTNGVVCGSYYIPQSDVGFYPQYSTVLLPAGSFKRKPRSEKADKARAYVSTDRKQQEAMNGNCNETYQETLPLFPLHPTGILQGREESFCSHGSIISAEDSIAIAAPSSFSENNTVGIELCSSDKPFFDFFSGQDALESD >Manes.15G181201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25875399:25885818:1 gene:Manes.15G181201.v8.1 transcript:Manes.15G181201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENFTDKNAVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYRQILSKEVAKSMAEDAGLPSSPVASQSAQASNGLSDNKVNESPKESSLEKQEAPDVAPSLKTSRPVSTSSIKKSLGAKKTGKTGGLGARKLTSKPSENLYDQKPEEPALLVPPSTNTTNPKAGTSFTSRFEYVDNVQSTELISGGPQVINHVSPPKSSSFFAEFGMDSGFQRKSSSNSSKQVNFIHLCCMQIAHE >Manes.15G181201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25875229:25893911:1 gene:Manes.15G181201.v8.1 transcript:Manes.15G181201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENFTDKNAVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYRQILSKEVAKSMAEDAGLPSSPVASQSAQASNGLSDNKVNESPKESSLEKQEAPDVAPSLKTSRPVSTSSIKKSLGAKKTGKTGGLGARKLTSKPSENLYDQKPEEPALLVPPSTNTTNPKAGTSFTSRFEYVDNVQSTELISGGPQVINHVSPPKSSSFFAEFGMDSGFQRKSSSNSSKQIQETDEARKKFSNAKSISSAQYFGDQSKSTDIDAQVSLQKFSGSSAISSADLFGTSNDHSIDLAASDLINRISFQAQQDISSLKNIAGETGKKLSSLASNLMTDLQDRIL >Manes.15G181201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25875229:25893911:1 gene:Manes.15G181201.v8.1 transcript:Manes.15G181201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENFTDKNAVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMSFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYRQILSKEVAKSMAEDAGLPSSPVASQSAQASNGLSDNKVNESPKESSLEKQEAPDVAPSLKTSRPVSTSSIKKSLGAKKTGKTGGLGARKLTSKPSENLYDQKPEEPALLVPPSTNTTNPKAGTSFTSRFEYVDNVQSTELISGGPQVINHVSPPKSSSFFAEFGMDSGFQRKSSSNSSKQVGPNGFKKSGKLG >Manes.01G159201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34453626:34454182:-1 gene:Manes.01G159201.v8.1 transcript:Manes.01G159201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPSWADQWSAGGIGAMAEEDTSSKKDTSNNKKSDAKSGLNKAKAAAMMGAQRIKSGASNSFKWVKNKCQKKGSPK >Manes.16G019163.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2077634:2079263:1 gene:Manes.16G019163.v8.1 transcript:Manes.16G019163.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCELCVSSAKIYCESDQASLCWDCDAKVHCANFLVTKHSRTLLCHLCQSFTPWTATGPKLSPTVSVCQNCVNNSNCREERGNENDQSRDGDDHDDDDFDKEDDSGDDEDDENGDGSDDDDDEENQVVPWSSTAPPPASSSSNSDQECSTRMSCSDESASQSRIAFSLKRIRETTEHISQARPLKDKERTMSDSYKGLQKPKVAEKTMKFDICKLKKTSRKCQ >Manes.16G019163.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2077715:2079262:1 gene:Manes.16G019163.v8.1 transcript:Manes.16G019163.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCELCVSSAKIYCESDQASLCWDCDAKVHCANFLVTKHSRTLLCHLCQSFTPWTATGPKLSPTVSVCQNCVNNSNCREERGNENDQSRDGDDHDDDDFDKEDDSGDDEDDENGDGSDDDDDEENQVVPWSSTAPPPASSSSNSDQECSTRMSCSDESASQSRIAFSLKRIRETTEHISQARPLKDKERTMSDSYKGLQKPKVAEKTMKFDICKLKKTSRKCQ >Manes.16G019163.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2077715:2079263:1 gene:Manes.16G019163.v8.1 transcript:Manes.16G019163.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCELCVSSAKIYCESDQASLCWDCDAKVHCANFLVTKHSRTLLCHLCQSFTPWTATGPKLSPTVSVCQNCVNNSNCREERGNENDQSRDGDDHDDDDFDKEDDSGDDEDDENGDGSDDDDDEENQVVPWSSTAPPPASSSSNSDQECSTRMSCSDESASQSRIAFSLKRIRETTEHISQARPLKDKERTMSDSYKGLQKPKVAEKTMKFDICKLKKTSRKCQ >Manes.16G019163.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2077634:2079262:1 gene:Manes.16G019163.v8.1 transcript:Manes.16G019163.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCELCVSSAKIYCESDQASLCWDCDAKVHCANFLVTKHSRTLLCHLCQSFTPWTATGPKLSPTVSVCQNCVNNSNCREERGNENDQSRDGDDHDDDDFDKEDDSGDDEDDENGDGSDDDDDEENQVVPWSSTAPPPASSSSNSDQECSTRMSCSDESASQSRIAFSLKRIRETTEHISQARPLKDKERTMSDSYKGLQKPKVAEKTMKFDICKLKKTSRKCQ >Manes.03G155700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28438834:28448824:-1 gene:Manes.03G155700.v8.1 transcript:Manes.03G155700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASPPFRCHFSSPSLRNRNFTFYRRFPASFARNVRVFAFRGKSRLGRDGVYSIGCPCKASIAAIEKASVEEENERPPFDINLAVVLAGFAFEAYTSPPEKFGRREVDAAGCKTVYLSETFVREIYDGQLFIKLKRGFDLPAMDPWGTSDPYVVMQLDGQVVKSNIKWGKKEPTWNEDFTFNIKQHQIKNLQVAAWDANLVTPHKRMGNAVIGVEYLCDGNLHEMLVELEGMGGGGKLQLEVKYKSFGEIEEEKKRWRLPLVSEFLQRNGFDSALKKLVGSETVPARQFVEYAFGQLKSFNGAYLSKDLSSNSDGSEVAGNPSSSLASDIPSQTENISETSLNETTTSQESNLELFHTDNGDLDNGHSTELGAKAGETMQSNKHFWKNFAELINQNIVQKHGLPVSMELKWDGFDLLNKIGLQSQKIAEAGYIESGLATPQVQDAESDKASGPHTIGPIKASLPDIKKATDDLLRQTDAVLGAFMVLTAAISKLNKEAQSGKSSDDSEKFISSLNVSELDEKKAEEMRTLFSTAETAMEAWAMLATSLGRPSFIKSEFEKICFLDNPSTDTQVAIWRDSARRRLVIAFRGTEQAKWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRIRIISIIKLAIGYVDDGAEPPVKWHVYVTGHSLGGALATLLALELSSSQLSKHGAISVTMYNFGSPRVGNRRFAEVYNQKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGELNEVLGNLELSKDGYPVDVVGESTPDVLVQEFMKGEKELIEKILQTEINIFRAIRDGTALMQHMEDFYYITLLENVRSSYQTARNTRLESKEQDSASII >Manes.03G155700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28438026:28448744:-1 gene:Manes.03G155700.v8.1 transcript:Manes.03G155700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASPPFRCHFSSPSLRNRNFTFYRRFPASFARNVRVFAFRGKSRLGRDGVYSIGCPCKASIAAIEKASVEEENERPPFDINLAVVLAGFAFEAYTSPPEKFGRREVDAAGCKTVYLSETFVREIYDGQLFIKLKRGFDLPAMDPWGTSDPYVVMQLDGQVVKSNIKWGKKEPTWNEDFTFNIKQHQIKNLQVAAWDANLVTPHKRMGNAVIGVEYLCDGNLHEMLVELEGMGGGGKLQLEVKYKSFGEIEEEKKRWRLPLVSEFLQRNGFDSALKKLVGSETVPARQFVEYAFGQLKSFNGAYLSKDLSSNSDGSEVAGNPSSSLASDIPSQTENISETSLNETTTSQESNLELFHTDNGDLDNGHSTELGAKAGETMQSNKHFWKNFAELINQNIVQKHGLPVSMELKWDGFDLLNKIGLQSQKIAEAGYIESGLATPQVQDAESDKASGPHTIGPIKASLPDIKKATDDLLRQTDAVLGAFMVLTAAISKLNKEAQSGKSSDDSEKFISSLNVSELDEKKAEEMRTLFSTAETAMEAWAMLATSLGRPSFIKSEFEKICFLDNPSTDTQVAIWRDSARRRLVIAFRGTEQAKWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRIRIISIIKLAIGYVDDGAEPPVKWHVYVTGHSLGGALATLLALELSSSQLSKHGAISVTMYNFGSPRVGNRRFAEVYNQKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGELNEVLVRHLLIVDFFFLNI >Manes.03G155700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28442003:28448744:-1 gene:Manes.03G155700.v8.1 transcript:Manes.03G155700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASPPFRCHFSSPSLRNRNFTFYRRFPASFARNVRVFAFRGKSRLGRDGVYSIGCPCKASIAAIEKASVEEENERPPFDINLAVVLAGFAFEAYTSPPEKFGRREVDAAGCKTVYLSETFVREIYDGQLFIKLKRGFDLPAMDPWGTSDPYVVMQLDGQVVKSNIKWGKKEPTWNEDFTFNIKQHQIKNLQVAAWDANLVTPHKRMGNAVIGVEYLCDGNLHEMLVELEGMGGGGKLQLEVKYKSFGEIEEEKKRWRLPLVSEFLQRNGFDSALKKLVGSETVPARQFVEYAFGQLKSFNGAYLSKDLSSNSDGSEVAGNPSSSLASDIPSQTENISETSLNETTTSQESNLELFHTDNGDLDNGHSTELGAKAGETMQSNKHFWKNFAELINQNIVQKHGLPVSMELKWDGFDLLNKIGLQSQKIAEAGYIESGLATPQVQDAESDKASGPHTIGPIKASLPDIKKATDDLLRQTDAVLGAFMVLTAAISKLNKEAQSGKSSDDSEKFISSLNVSELDEKKAEEMRTLFSTAETAMEAWAMLATSLGRPSFIKSEFEKICFLDNPSTDTQVAIWRDSARRRLVIAFRGTEQAKWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRIRIISIIKLAIGYVDDGAEPPVKWHVYVTGHSLGGALATLLALELSSSQLSK >Manes.03G155700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28438026:28448744:-1 gene:Manes.03G155700.v8.1 transcript:Manes.03G155700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASPPFRCHFSSPSLRNRNFTFYRRFPASFARNVRVFAFRGKSRLGRDGVYSIGCPCKASIAAIEKASVEEENERPPFDINLAVVLAGFAFEAYTSPPEKFGRREVDAAGCKTVYLSETFVREIYDGQLFIKLKRGFDLPAMDPWGTSDPYVVMQLDGQVVKSNIKWGKKEPTWNEDFTFNIKQHQIKNLQVAAWDANLVTPHKRMGNAVIGVEYLCDGNLHEMLVELEGMGGGGKLQLEVKYKSFGEIEEEKKRWRLPLVSEFLQRNGFDSALKKLVGSETVPARQFVEYAFGQLKSFNGAYLSKDLSSNSDGSEVAGNPSSSLASDIPSQTENISETSLNETTTSQESNLELFHTDNGDLDNGHSTELGAKAGETMQSNKHFWKNFAELINQNIVQKHGLPVSMELKWDGFDLLNKIGLQSQKIAEAGYIESGLATPQVQDAESDKASGPHTIGPIKASLPDIKKATDDLLRQTDAVLGAFMVLTAAISKLNKEAQSGKSSDDSEKFISSLNVSELDEKKAEEMRTLFSTAETAMEAWAMLATSLGRPSFIKSEFEKICFLDNPSTDTQVAIWRDSARRRLVIAFRGTEQAKWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRIRIISIIKLAIGYVDDGAEPPVKWHVYVTGHSLGGALATLLALELSSSQLSKHGAISVTMYNFGSPRVGNRRFAEVYNQKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGELNEVLVRHLLIVDFFFLNI >Manes.03G155700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28438026:28448744:-1 gene:Manes.03G155700.v8.1 transcript:Manes.03G155700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASPPFRCHFSSPSLRNRNFTFYRRFPASFARNVRVFAFRGKSRLGRDGVYSIGCPCKASIAAIEKASVEEENERPPFDINLAVVLAGFAFEAYTSPPEKFGRREVDAAGCKTVYLSETFVREIYDGQLFIKLKRGFDLPAMDPWGTSDPYVVMQLDGQVVKSNIKWGKKEPTWNEDFTFNIKQHQIKNLQVAAWDANLVTPHKRMGNAVIGVEYLCDGNLHEMLVELEGMGGGGKLQLEVKYKSFGEIEEEKKRWRLPLVSEFLQRNGFDSALKKLVGSETVPARQFVEYAFGQLKSFNGAYLSKDLSSNSDGSEVAGNPSSSLASDIPSQTENISETSLNETTTSQESNLELFHTDNGDLDNGHSTELGAKAGETMQSNKHFWKNFAELINQNIVQKHGLPVSMELKWDGFDLLNKIGLQSQKIAEAGYIESGLATPQVQDAESDKASGPHTIGPIKASLPDIKKATDDLLRQTDAVLGAFMVLTAAISKLNKEAQSGKSSDDSEKFISSLNVSELDEKKAEEMRTLFSTAETAMEAWAMLATSLGRPSFIKSEFEKICFLDNPSTDTQVAIWRDSARRRLVIAFRGTEQAKWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRIRIISIIKLAIGYVDDGAEPPVKWHVYVTGHSLGGALATLLALELSSSQLSKHGAISVTMYNFGSPRVGNRRFAEVYNQKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGELNEVLGNLELSKDGYPVDVVGESTPDVLVQEFMKGEKELIEKILQTEINIFRAIRDGTALMQHMEDFYYITLLENVRSSYQTARNTRLESKEQDSASII >Manes.03G155700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28438026:28448744:-1 gene:Manes.03G155700.v8.1 transcript:Manes.03G155700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASPPFRCHFSSPSLRNRNFTFYRRFPASFARNVRVFAFRGKSRLGRDGVYSIGCPCKASIAAIEKASVEEENERPPFDINLAVVLAGFAFEAYTSPPEKFGRREVDAAGCKTVYLSETFVREIYDGQLFIKLKRGFDLPAMDPWGTSDPYVVMQLDGQVVKSNIKWGKKEPTWNEDFTFNIKQHQIKNLQVAAWDANLVTPHKRMGNAVIGVEYLCDGNLHEMLVELEGMGGGGKLQLEVKYKSFGEIEEEKKRWRLPLVSEFLQRNGFDSALKKLVGSETVPARQFVEYAFGQLKSFNGAYLSKDLSSNSDGSEVAGNPSSSLASDIPSQTENISETSLNETTTSQESNLELFHTDNGDLDNGHSTELGAKAGETMQSNKHFWKNFAELINQNIVQKHGLPVSMELKWDGFDLLNKIGLQSQKIAEAGYIESGLATPQVQDAESDKASGPHTIGPIKASLPDIKKATDDLLRQTDAVLGAFMVLTAAISKLNKEAQSGKSSDDSEKFISSLNVSELDEKKAEEMRTLFSTAETAMEAWAMLATSLGRPSFIKSEFEKICFLDNPSTDTQVAIWRDSARRRLVIAFRGTEQAKWKDLRTDLMLVPAGLNPERIGGDFKQEIQVHSGFLSAYDSVRIRIISIIKLAIGYVDDGAEPPVKWHVYVTGHSLGGALATLLALELSSSQLSKHGAISVTMYNFGSPRVGNRRFAEVYNQKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGELNEVLGNLELSKDGYPVDVVGESTPDVLVQEFMKGEKELIEKILQTEINIFRAIRDGTALMQHMEDFYYITLLENVRSSYQTARNTRLESKEQDSASII >Manes.11G094800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19378652:19380123:-1 gene:Manes.11G094800.v8.1 transcript:Manes.11G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLHEPAQESAVAATTTTTISFSHVKEEKDKIICTTAAVCKEEKNPIQERCPDLNLELRISLPYQSQQPEAMKTGARGLCFACRLGLQNSKDCSCNLGSSSSGSSNSGYDFLATKSGVLDYRSLEMK >Manes.11G162100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32727399:32729516:1 gene:Manes.11G162100.v8.1 transcript:Manes.11G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKVEKVVPEVEELPKAIVRRVVKDKLSHCSTDGDLNIHKDALLAFSESARIFIHYLSATANDICKESKRQTINANDVLKALEEIEFTEFVGPIKASLDDFKRKNVGKKAGASETGKKAGASETGKKTGASETNEVKKKRKVEEPSKKSEGKSKQKKDD >Manes.02G044550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3672534:3673680:1 gene:Manes.02G044550.v8.1 transcript:Manes.02G044550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRKSPCFSLFLLCLVFTTLFCSLSVQSDSDPVGEVLDQTKNVTQTAADHTKSFIDGTTSTLNDTKNAAENAIHRTTTAYNDTKNAAENVISDTRDAYHGVENVANDVKGNGAAEAHTSPAGHEERIFAILLVIGLCFSNIWN >Manes.15G087400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6696667:6702229:1 gene:Manes.15G087400.v8.1 transcript:Manes.15G087400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVMGSEMSQVPVETVVEVDNSFLHEKENGKLDSESGIKEHIKFGSHEEEPDKREGDEVADTKFPKDAADEWPAPTQIHTFFFVRCRPFDDPKVKAKIDQFDREIQKSNQSRFQITEELKAKRSERAELLDQVKALRNENVQYQTIFNEKRKEIEPLQQALGKLRNTNSAGRGAICSSEEELNDLIYSLKYRIQHESIPLTEEKQILREIKQLEGTRDKVIANAAMRAKIQDSLGQKEAIQDQVKLMGVDLDGVRKEQQAVWGRINQIRDKVSGLDAEIKSLQDDLTAVMQKRDKAYDNIKSLRQQRDEGNVHFYSSRKTMTEAKDLAAKKDIKALEELSREEVEKFMALWSNNKAFRDDYEKRILPSLDSRQLSRDGRIRNPDEKPLVVLEAAVPSEPEPVAKANVKRVKEEPKSTPQKDTMPTQKVQKEASKTDSKATTEQVDVADDISVSEKQQKNPPVKKEIDEAKLKELKREEEMEKAKQAMERKKKLAEKAAAKAAIRAQKEAEKKLKEREKKLKKKAAASAPPTESEEPAEEVVEETETAKVEVQDEPPASGKAKVKKENVVRHRTRPRGTDSLPKAILRRKKSTNYWMWAGAAAAALLLVLVALGYYYYYYFL >Manes.07G029650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3216044:3216710:1 gene:Manes.07G029650.v8.1 transcript:Manes.07G029650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMMKLLLLCLIVVASISLSLGAEAEQLTSEGRSKEKNIHPILHMPAEIDNLQSTVDGKFRKMVRKLIDVELPPSRRPGPAFGPPPNLR >Manes.17G070900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27083612:27088625:-1 gene:Manes.17G070900.v8.1 transcript:Manes.17G070900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLEVAKCDDPLLFSTNNFVGRQVWKFHPDSGTPEELQQIQKARENFSRNRFEVKASSDIFKNLQLIKESGIDLLSIPAVRLGDKEEVTVEKTETALRKAFRFTSAIQASDGHWPSEFSGQLFLTPPLIICLYITGTLNVILSSEHKKEMIGYIYNHQNEDGGWGFHIESHSTMLCTALNYVALRLLGEGPEAGKDGAVANARKWILDRGGAVSIQIWGKIYLSVLGVYEWAGCNPVPPEIMLLPSFLPFSPVKLWCYLRTIYTPIAYLYGKKFVGPITDLIIAIRSELYIQPYDQIDWNKARHLCLQEDLYVSRSRIQDVIWDGLYYVGEAFMKRWPFSKLREKALEKAMRHIRCEDENTRYLTHACLEKALHMFAYWAEDPTQEAFKLHLPRVHDYLWIAEDGMKMQNLGCQLWDAVFATQAVMESDLTDEYGSTLRKAHEFIKQTQIRENRSGDFIDMYCYMSKGAWPLAERDQGWQVSDCTAEAVKVLLLMSEMPSEMVGKSIEAKRLYDAVDFLLSLQSKNGGFSIWEPASSHPWLELLNPTEAFGGVVIETEYAECSASILQALVLFKRLYPRYRTKEIETSVAKATNYIENTQKNDGSWYGNWGICHTYAAFFVLAGLAAVGKTYSNSEVVRKACDFLLSKQQKSGGIHTT >Manes.17G070900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27083612:27088625:-1 gene:Manes.17G070900.v8.1 transcript:Manes.17G070900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLEVAKCDDPLLFSTNNFVGRQVWKFHPDSGTPEELQQIQKARENFSRNRFEVKASSDIFKNLQLIKESGIDLLSIPAVRLGDKEEVTVEKTETALRKAFRFTSAIQASDGHWPSEFSGQLFLTPPLIICLYITGTLNVILSSEHKKEMIGYIYNHQNEDGGWGFHIESHSTMLCTALNYVALRLLGEGPEAGKDGAVANARKWILDRGGAVSIQIWGKIYLSVLGVYEWAGCNPVPPEIMLLPSFLPFSPVKLWCYLRTIYTPIAYLYGKKFVGPITDLIIAIRSELYIQPYDQIDWNKARHLCLQEDLYVSRSRIQDVIWDGLYYVGEAFMKRWPFSKLREKALEKAMRHIRCEDENTRYLTHACLEKALHMFAYWAEDPTQEAFKLHLPRVHDYLWIAEDGMKMQNLGCQLWDAVFATQAVMESDLTDEYGSTLRKAHEFIKQTQIRENRSGDFIDMYCYMSKGAWPLAERDQGWQVSDCTAEAVKVLLLMSEMPSEMVGKSIEAKRLYDAVDFLLSLQSKNGGFSIWEPASSHPWLELLNPTEAFGGVVIETEYAECSASILQALVLFKRLYPRYRTKEIETSVAKATNYIENTQKNDGSWYGNWGICHTYAAFFVLAGLAAVGKTYSNSEVVRKACDFLLSKQQKSGGWGESYLSCKNLEYIQLEGNQSNLVQTAWAMMGLIHAGQGERDPKPLHKAAKLLINSQLETGEFPQQEITGASLKTCMLHYASYRNVFPFWALGLYRKHVLCSLSH >Manes.17G070900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27083612:27088625:-1 gene:Manes.17G070900.v8.1 transcript:Manes.17G070900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYIYNHQNEDGGWGFHIESHSTMLCTALNYVALRLLGEGPEAGKDGAVANARKWILDRGGAVSIQIWGKIYLSVLGVYEWAGCNPVPPEIMLLPSFLPFSPVKLWCYLRTIYTPIAYLYGKKFVGPITDLIIAIRSELYIQPYDQIDWNKARHLCLQEDLYVSRSRIQDVIWDGLYYVGEAFMKRWPFSKLREKALEKAMRHIRCEDENTRYLTHACLEKALHMFAYWAEDPTQEAFKLHLPRVHDYLWIAEDGMKMQNLGCQLWDAVFATQAVMESDLTDEYGSTLRKAHEFIKQTQIRENRSGDFIDMYCYMSKGAWPLAERDQGWQVSDCTAEAVKVLLLMSEMPSEMVGKSIEAKRLYDAVDFLLSLQSKNGGFSIWEPASSHPWLELLNPTEAFGGVVIETEYAECSASILQALVLFKRLYPRYRTKEIETSVAKATNYIENTQKNDGSWYGNWGICHTYAAFFVLAGLAAVGKTYSNSEVVRKACDFLLSKQQKSGGWGESYLSCKNLEYIQLEGNQSNLVQTAWAMMGLIHAGQGERDPKPLHKAAKLLINSQLETGEFPQQEITGASLKTCMLHYASYRNVFPFWALGLYRKHVLCSLSH >Manes.10G146900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31602841:31606461:-1 gene:Manes.10G146900.v8.1 transcript:Manes.10G146900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMVFKHSLPLAMLVFLVGLLPLTEPAEVDDDCPPHDFNKSYFPQDFIFGTASSAYQIEGGTNQAETGRGPSVWDTYAHESPDRIKDGKNGDVAVDFFHRYKEDIQNVKNMGFKAFRMSISWSRIVPTGSVEDGLNEQGLAFYEAVIDEIKKNELEPFVTIFHWDTPQALEDKYGGFLSRSIVNDYADYAYILFERFHEKVKYWMTFNEPWALSGFSYDLGVFAPGRCSYWVNRKCRVGDSATEPYIVAHHILLAHAKAVKLYRKFFQEKEKEEEKRGKIGITLFTFWFEPLSNRQVDVDAQKTALDFMFGLWMDPLTYGRYPRRVQELVGDRLPKFSNNDIELLKKSYDFIGLQYYTARYANPNAHIDPRFTRYETDSRVNITVYDYNGNLIGPKVSAYSDWFYIFPKGIRALLKYTKEEYDNPLIYVTENGVDNKNDENEPIEEAVKDTFRIEYYKQHMWNVQKSIEVDKVNVQGYFAWSYIDNFEWNLGYTSRFGLYHVNYADNLKRTAKDSAIWFCRFINYKDSEPCKNLRPEENIPQVTLSNIIENTSMKARKLGKYYM >Manes.10G146900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31602841:31606461:-1 gene:Manes.10G146900.v8.1 transcript:Manes.10G146900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMVFKHSLPLAMLVFLVGLLPLTEPAEVDDDCPPHDFNKSYFPQDFIFGTASSAYQIEGGTNQAETGRGPSVWDTYAHESPDRIKDGKNGDVAVDFFHRYKEDIQNVKNMGFKAFRMSISWSRIVPTGSVEDGLNEQGLAFYEAVIDEIKKNELEPFVTIFHWDTPQALEDKYGGFLSRSIVNDYADYAYILFERFHEKVKYWMTFNEPWALSGFSYDLGVFAPGRCSYWVNRKCRVGDSATEPYIVAHHILLAHAKAVKLYRKFFQEKEKEEEKRGKIGITLFTFWFEPLSNRQVDVDAQKTALDFMFGLWMDPLTYGRYPRRVQELVGDRLPKFSNNDIELLKKSYDFIGLQYYTARYANPNAHIDPRFTRYETDSRVNITVYDYNGNLIGPKAYSDWFYIFPKGIRALLKYTKEEYDNPLIYVTENGVDNKNDENEPIEEAVKDTFRIEYYKQHMWNVQKSIEVDKVNVQGYFAWSYIDNFEWNLGYTSRFGLYHVNYADNLKRTAKDSAIWFCRFINYKDSEPCKNLRPEENIPQVTLSNIIENTSMKARKLGKYYM >Manes.01G248900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40854661:40855379:1 gene:Manes.01G248900.v8.1 transcript:Manes.01G248900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTRPAANIDGGQNGEKAKDRCGYFQMPLHYPRYTRAEYEAMQEWKLNCLLKEYGLPITGNVEHKRKFAMGAFLWPH >Manes.01G263100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41798466:41800419:-1 gene:Manes.01G263100.v8.1 transcript:Manes.01G263100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLEFGGGLELLCDSVKIHNINVQLKNGEEKLTMRDLLAWVRTNLVRERPEMFMKGDSVRPGVLVLVNDCDWELSGQLDTTLEEKDVVVFISTLHGG >Manes.18G019525.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1947291:1950643:1 gene:Manes.18G019525.v8.1 transcript:Manes.18G019525.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHWGLVFFVFLLVQKQGIFLPVEADDGFITTKGVQFMLNGSPFYANGFNAYWLMYFATDPSTRNKVSSVFQEARDHGLTLARTWAFNDAQDRALQYSPGSYSEQTFQGLDFVISEAKKYGIKLVLSMVNNYDSFGGKKQYVNWARSEGQSVSSDDDFFTNSVVKSYYKNHIKTVLTRRNTITGVAYKDEPTIMAWELMNEPRCTSDPSGRTIQAWITEMAAYLKSIDSNHLLEAGLEGFYGQGSSQKQQYNPNFQIGTDFIANNQIPGIDFATVHSYPDQWLPSSDSESQESFLNNWLNNHIQDAQNILRKPVLFAEFGKSSKTSSYNQRDQLFNTVYSAIYSSASGGGAAAGGMFWQLFTEGMDSFRDGYEVVFSENPSTAGIIVDQSQKLNKIRKMYARLRNIEKWNKARGD >Manes.11G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2782112:2785458:1 gene:Manes.11G029000.v8.1 transcript:Manes.11G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGQLIWEIVKKNNSFLVKQFGRGSAGLQFSKESNNLYNLNSYKHSGLANKKTVTIQPGKDQSVVLATTKTKKQNKPAALLHKSIMKKEFRRMAKAVENQVGDNYYRPDLKKAALARLSVVHRSLKVAKSGVKKRNRQVQKV >Manes.01G095300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29675056:29676766:1 gene:Manes.01G095300.v8.1 transcript:Manes.01G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINNPVRLVEICPVTPSSDSPESATELSLPLTFFDAFWFKFHPVERIFFYELTDSTPAFFNSVILPKLKQSLSLALLHFLPLAGKLTWPPHAAKPFIHYVPNDAVSLTIAESDGDFHHLSGRILEAAESYPYVPELPVYDDTAEIISFQITSFPSQGFCIGISSHHAILDGKSVTMFIRAWAHICKQWEKETHPSLLTDLTPIFDRTIIQDPEGVDEVYLNNWFNFFKSIGLDANPRSLKLLPFYGSTPDNLMRGTFEFSRDDLKKLRQKILSQLEKVPKEEPDQITPIHLSTFVLSYSYAVVSLVKAKGIERNRKMIFGFTADCRARLDPPVPANYFGNCVGISADDLEAEVIMEENGLAFVAQRLSKLIKKLEKGALEGAKGKLEWALSIPPGSVAAGVAGSPRFKVYEMDFGWGRPKKVEITSIDRTGSISMAESKDGSGGIEIGVALKNNEMEIFDLLFTNGLRDL >Manes.05G152500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26260316:26265095:-1 gene:Manes.05G152500.v8.1 transcript:Manes.05G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKFIDKKKSATFQLLARDSSDPSYAETPGGDRIFVRVDNNPYSADTFFDGDNPDGISSNVEHDDDPDSIFADAPEDSDDGGNADARVFGSSVKFGGGFGGASSSEGGPLPEHVRREILELGFPDDGYNYLLHLREIKHTGGGSYFYSNPKAIPDQLPRDIKAYDASKVRVSEVKSDDTNDKSMYSVASKTVGVRVQKVFDPEVAALLDDSDLSRFGSDVEDLEEDFVVRANLPEEVVELDIDKKLNLIDNSDDVASGNQETSVENGSVEKEVVPEDFSGEKPRVRRLLDEQFDLLEHQEYGTDDEDDEYGGYMAEGDETHANKFNQVLLNARAMDDLEFGDKYEVPADLLHGNERPITKEIIESAADVIRRCVEYANKYDNEDENEDVIIVQESSDDDSDQWDCETIVSTYSNLDNHPAKIEAPGAARKKKIAETVSGALNATSHMITLRGKEKLPVDFLPRGKKPDVEKVKDVPGLKTEPLRRKQHGQESKEEKKERKAAVKEERREARRAKKELKGLYRGETHRAQRVAAITGPSSIHLL >Manes.06G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:848385:854948:1 gene:Manes.06G003800.v8.1 transcript:Manes.06G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVKKPKITDVDRAILSLKTQRRKLAQYQQKLEEVIEAEKQAAKDLIRQKRKDRALLALKKKKTQEELLKQVDTWLINVEQQLADIELASKQKAVFESLKAGSDVVKAIQSEINLDDVQKLMDDSAEAKAYQDEINAILGEKLSAEDEEEILAEFENLETQITVEDMPSVPSKETEEDLGLPDVPTKPPVVAAVKNDANIASAEASRKSKVMEEPLPA >Manes.06G003800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:848385:854948:1 gene:Manes.06G003800.v8.1 transcript:Manes.06G003800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFVKKPKITDVDRAILSLKTQRRKLAQYQQKLEEVIEAEKQAAKDLIRQKRKDRALLALKKKKTQEELLKQVDTWLINVEQQLADIELASKQKAVFESLKAGSDVVKAIQSEINLDDVQKLMDDSAEAKAYQDEINAILGEKLSAEDEEEILAEFENLETQITVEDMPSVPSKETEEDLGLPDVPTKPPVVAAVKNDANIASAEASRKIMEEPLPA >Manes.05G112450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11301558:11302309:1 gene:Manes.05G112450.v8.1 transcript:Manes.05G112450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKSYLPWLCFLHQSHLLPLCCLAPLLFTWKPPINRSEIKVGVVWTCQVIGNYTLQRDLIYGFAEVADPILACLWLWTPCLSRLSLF >Manes.12G028850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2504231:2505739:1 gene:Manes.12G028850.v8.1 transcript:Manes.12G028850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELKFKRPLPYHRRQNHALLCFSFFSLSEPLLLLNPTIHSQFFTHAGSCHRFFSFLLFNSPSAFQIYIWLCHSERDLRKGSISSLISSSLEPLVLLLLLRASDRVESTNQERLSSTLPLL >Manes.11G029801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2841259:2845657:-1 gene:Manes.11G029801.v8.1 transcript:Manes.11G029801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRKLEDDGTNHGELKLQYFLFLLLRTFAFTAVVKAQDQSGFISIDCGVPDNASYTDKTTYLNYVSDAAFIDTGISMKISPEFRTYDIDQQLQNLRSFPEGNRNCYNVGLKKGSKYLIRATFMYGNYDGQNKAPQFDIHLGSTKWDTVETINATMIITKEIIHVTTMSYLLACLANTGSGTPFISALEFRPLKNASYNYTESGSLVRFTRLDIGSTTNQTVRYPEDVYDRIWAPYHSFKWAEINTSQSIDSQAQNNYRPPSVVMRTAGTPANASEAMTLHINMEDNTLKFLVYMHFSEIVRLQANQSREFNISFNGKHWFGPVVPNYLYTTTVYSRSALTAGQYQFTLYKTECSTLPPLLNAIEIYYVLPISQSQTNQEDGDPCTPQGYLWDGLNCSNSDNDMLRIISLNLSSSGLTGEITRSISSLKLLESLDLSNNSLTGSVPDFLSQMSSLKFLNLTGNKLSGSVPTSLIERSKQNLLVLSVGGNPNLCASISSKKDKNWVVPVVASIAGGVIAIGALAIIFCSLKRRKQKVLLAKEEEAKTNNAYESLKAKGRHFTYSEILSITNNFERVLGKGGFGTVYHGYLDDDTQVAVKMLSPSSVQGYRQFQAEVNLLLRVHHRNLTTLVGFCDEGTNRGLIYEYMVNGDLEKFLSGSNKYILTWERRLNIVVEAAKGWEYLHNGSKPPIVHRDVKTSNILLNDNFQARLADFGLSRSFPVEGGTHVTTVVAGTPGYLDPEYSVTNWLTEKSDVYSFGVVLLKIITGRPVIAGGGERNIHLRQWVSSLLANGDIKNVVDPRLGGDFDNNSVWKAVEIAMACTTPISAGRPTMHQVVTELNECLATERTEKRKGHSRSEPIASIEMITESTPLAR >Manes.02G194900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15976645:15977706:-1 gene:Manes.02G194900.v8.1 transcript:Manes.02G194900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAPQASYLLLLKTQNTTNSNKDPQQLLTIRKKGGFRVFAAGQGPRKRQRAPPGVDTRIHWDNPDEGWIGGSSTSTSQQRLNAEEEKKNLLGDKFADLLKDVAGSHYQFLGVSADADLEEIKSAYRRLSKEYHPDTTSLPLKSASDKFLKLRQVYDVLSDEEKRKFYDWTLAQEAASRQAEKLRLKLEDPYEQDLDNYESVPDMVDRLGGRNMELSDQAMSALTIDVFIILFAIACIIYVAFFKEPY >Manes.01G243200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40441411:40444291:1 gene:Manes.01G243200.v8.1 transcript:Manes.01G243200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIFVGNFEYETRQSELERLFGKYGRVERVDMKSGFAFVYFEDDRDAADAIRGLDNTPFGYDRRRLSVEWARGERGRHRDGSRSLANQKPTKTLFVINFDPIRTRVEDIKRHFERYGEVLHVRIRRNFAFVQFETQEDATKALECTHMSKILDRVVSVEYALRDDGERDDRDHSPRRGGYYGRSPSPVYRRRPSPDYGRARSPEYDRYNGPAYDRHRSPDYGRARSPGYGRYRSRSPVGRSRT >Manes.16G049790.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:10895975:10896929:1 gene:Manes.16G049790.v8.1 transcript:Manes.16G049790.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWSVTTANTLLLRLVRVLKGCYIFVQSTNLFVSDSSQLRVAKFRLQSSLICIMP >Manes.09G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32236018:32245140:-1 gene:Manes.09G119600.v8.1 transcript:Manes.09G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDGLVPITRQFLASYYDKHPFPPLSDDVSRLSSEIRSWASDLLKDFPPSQGEKLLVEGADRQPVHKIDENMWKNREHMEEILFLLDHSRWPLALRRPSTPEESELAAAFNSIRGKFQKALKTLESFQVQNSERVFNIVMTYMPQDFRGTLIRQQRERSEKNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFIKTINDDDGPMEEQRHRYGPPLYSLTALVLCIRMFISLSWGRFEASKLKGHQVAVLKQAVDIYTHEFERFISFISEVFANSPFFISAEVAGTLGARKNDEFKEISVPAGRSYEGRFSKLPARLCPYKIQAVGRFKFVLIFFQVSLEVDSINSYIAWDFSLIQGKMSTDVGFSVEYVDPSGQKTLILPYRRYESDQGNFCTCMAGMYKLIWDNSYSTFFKKVVRYKVDCIPPVVEPAQSTNEVER >Manes.09G119600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32235679:32245140:-1 gene:Manes.09G119600.v8.1 transcript:Manes.09G119600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDGLVPITRQFLASYYDKHPFPPLSDDVSRLSSEIRSWASDLLKDFPPSQGEKLLVEGADRQPVHKIDENMWKNREHMEEILFLLDHSRWPLALRRPSTPEESELAAAFNSIRGKFQKALKTLESFQVQNSERVFNIVMTYMPQDFRGTLIRQQRERSEKNKQAEVDALVNSGGSIHDRYALLWKQQMDRRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFIKTINDDDGPMEEQRHRYGPPLYSLTALVLCIRMFISLSWGRFEASKLKGHQVAVLKQAVDIYTHEFERFISFISEVFANSPFFISAEVAGTLGARKNDEFKEISVPAGRSYEVSLEVDSINSYIAWDFSLIQGKMSTDVGFSVEYVDPSGQKTLILPYRRYESDQGNFCTCMAGMYKLIWDNSYSTFFKKVVRYKVDCIPPVVEPAQSTNEVER >Manes.13G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:690174:692982:-1 gene:Manes.13G000900.v8.1 transcript:Manes.13G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYQEQAPTPLLQDLKITIHDTFLIFPSQRTLKKSIFLSNIDQVLNFNVQTLHFFPSHKDFPPHLVADKLKVTLEKLLLTYDFLAGRLKVNPETGRLEIDCNAAGAGFVVASSDCSLDEVGDLVYPNPAFGQLILQTMDILGNEDQPLCIFQVTSFRCGGFAIGISTNHVTFDGLSFKTFLDNLAAVAAGEPLAVVPCKDRQLLAARCPPRVSFPHPELLKLNIPLAQELNASVFDLSKEALDFKIFRLTSTEISNLKEKVKVHNVTGGVRISSFNLVTAHIWRCKALSYEEEGGGGEDLERVSTILYAVNIRPRLAPPLPASYAGNAVLTAYASAKCRELEEGPLSRLVEMVGEGAKRMTDEYARSVIDWGEINRGFPHGEFLVSSWWRLGFEEVKYPWGCPRYSCPLVYHRKDIILLFPDTIDKNGVNVLVALPSKQMGKFEILFHKFLST >Manes.06G130000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25966424:25969414:-1 gene:Manes.06G130000.v8.1 transcript:Manes.06G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLASYGDASSDSDSDTALSTKAVTESASIPNTRPDVVVLPPPPVSLLTPPNSTGLIDYLQAGQPNRARSFPHVEGNYALHVYIPVYIPPTSKKEVVLFLKRVSSVVPCLHVVDADVPLDILCKDDHKLEKVAVGREFHISLGRTVPIRVHQIDSVIAMLRQRLQFQKRYWIDFNKWEVFINDDKTRTFLSMEVVTGGLAEIARQIEYVNEVYKLHNLPEFYKDPRPHISLAWALGDINDSLKRAVEEETDRCIGASVQKRIFTCKFSGIECKIGNKTYRICKFHDE >Manes.07G124400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32779624:32782610:-1 gene:Manes.07G124400.v8.1 transcript:Manes.07G124400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQQKCTACEKTVYPMELLSADGVSYHKSCFKCFHCKGTLKLSNYSSMEGVVYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCSITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSASMKRAAASVPEA >Manes.07G124400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32779535:32782610:-1 gene:Manes.07G124400.v8.1 transcript:Manes.07G124400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQQKCTACEKTVYPMELLSADGVSYHKSCFKCFHCKGTLKLSNYSSMEGVVYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCSITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSASMKRAAASVPEA >Manes.07G124400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32779624:32782610:-1 gene:Manes.07G124400.v8.1 transcript:Manes.07G124400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQQKCTACEKTVYPMELLSADGVSYHKSCFKCFHCKGTLKLSNYSSMEGVVYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCSITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSASMKRAAASVPEA >Manes.07G124400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32779624:32782610:-1 gene:Manes.07G124400.v8.1 transcript:Manes.07G124400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQQKCTACEKTVYPMELLSADGVSYHKSCFKCFHCKGTLKLSNYSSMEGVVYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCSITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSASMKRAAASVPEA >Manes.07G124400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32779535:32782610:-1 gene:Manes.07G124400.v8.1 transcript:Manes.07G124400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQQKCTACEKTVYPMELLSADGVSYHKSCFKCFHCKGTLKLSNYSSMEGVVYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCSITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSASMKRAAASVPEA >Manes.07G124400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32779624:32782610:-1 gene:Manes.07G124400.v8.1 transcript:Manes.07G124400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQQKCTACEKTVYPMELLSADGVSYHKSCFKCFHCKGTLKLSNYSSMEGVVYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCSITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSASMKRAAASVPEA >Manes.15G021400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1704417:1708971:-1 gene:Manes.15G021400.v8.1 transcript:Manes.15G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSTILNTISPSLCGKFRNPNFKTLIPQSRIPIYSKCRLNHTPTISFYNIPASLKLRSSKIRASGDSTGETGNWLNQFSSGALAADKIFRLISGATASPIAQFISSPTTFLHSVDPRIKLIWLLVLVVLPARSHIIMRFGLVVYIALLSIWILPRQVWMDQLGRVSLLSGILFIMLGLGADSAPPLVQPRTPPPAMMGLPNLPVSLEGYSYLIMKLGPLKFTRKGLSVASTAACLTFTIFQSASLCLATTTPEQLAFALRWFMLPLRCIGVPVSEITLTLLLSLRFINLVFDEVRNVALGIVSRRINWEQLTIMETIDIFASYIRRIFKNIFNHAEQISQAMIVRGFRGDSNSHKIYFLSGSSIGMADFISVLCLIGVVGGAFLSDYFLV >Manes.04G087500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29276881:29280339:-1 gene:Manes.04G087500.v8.1 transcript:Manes.04G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCPCFGLLNRKKKHKRNNSDNEPSHDIETRSEPEAVSASAGSKSGSRSKFNADSRQESSVPRHRAEPSNSNVAPTFTHEEPSAPGHRAEPSNSNMAPTFTYEEPSAPGQRAKPSNSNMAPTFTYEELVIATNNFHPDSVLGRGGFGAVYKGKLKSTDQIVAVKQLDLSGIQGEREFQVEVLMLTLLHHPNLVNLIGYCAEGEQRLLIYEYLSKGSLEDHLFDVTPDIEPLDWNTRMQIAAGAANGLDFLHNANPPVIYRDLKASNILLGEGFHTKLSDFGLAKFGPVGGTSHVSTRVMGTFGYCAPEYASTGRLTMKTDIYSFGVLLLELITGHKAVDEINGRQMHLVHWALPLLKDRGNFLKLADPLLRGHFSISLLKKAVGVALTCLNENASRRPSSTELVTAMDYLVSNKYEAGGSNETWPEIDLTPSVNPEIVETELDRDCAVAEARKWVESCREKREQGPLST >Manes.05G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26070405:26075367:-1 gene:Manes.05G151400.v8.1 transcript:Manes.05G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGNERSGDMQIFQSPFSAIGVSQMGGSTMRQSSPSLGPDTSKRIGVPPSHPNNPQIMATRSGSQNLNRGPSHSRSLSQSAVFSLDCLPPLSPLPCLSSGSNQSPPAFTDISMEDRGAGGSHGILLIPSPVTGTNDLRVNESLPPCRGHRRSMSDSVPLGFSAIIQSSPQLIPIGNRGALDRSGCGRENSGVEKSSDLVKRESEWSRNGNSNIDGKFEGVVVDDLLNACINFDNMETLNSSGTEDKDLDSRASGTKTNGAESSDNEVESRVKEGLKRSADGDIARSSRHYRSVSMDSYMENLQFDIESLKFPPIGSATNSMDGKLAKFNMEFGNAEFNEEELKKIMANEKLTEIAMVDPKRAKRILANRLSAARSKERKMRYISELEHKVQTLQTEATKLSAQVTVLQRDSAALTSQNNELKFCLQAMEQQAKLKDALNEALAAEVQHLRLAAAELRGDAHQQLSINHQMLKLQLQEPTQLNSYRFQQEQQKELQQLRQQHQHNPLQSQQQKS >Manes.17G042900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23590398:23596958:-1 gene:Manes.17G042900.v8.1 transcript:Manes.17G042900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADTLPYQNGVISNGDLATINNPSSTNANAKKSRESERRRRRRKQKKNNKAASQALDATANGNESDDDAKENNDPQQAFEQVVVEYVPEKAELEDGLDEEFRKIFEKFNFHEIAGSEENDKKDESSQIADSKKKVDSDSEEEEQDATLKEKGISNKKKKLLRRMKIAELKQICSRPDVVEVWDATAADPKLLVFLKSYRNSVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTNHGDLYHEGKEFEVKLREMKPGSLSQELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEYGRPLYGDVFGVQQQEQPNYEEEPVDKTKHWGDLEEEEEEEEEEEEEQMEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEERIAPGTLLGTTHTYVVASGTQDKSAAKRVDLLRGQKTDRVDVTLQPEELDALDNVLPAKYEEAREEEKMRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >Manes.01G052500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18429103:18432215:1 gene:Manes.01G052500.v8.1 transcript:Manes.01G052500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGATTDLNGFNDGWKIGSGVNSYGLGPIGSIGRAVGGGSQKNVGINGGFNKGIYSKSNYNNNINVHVKGKNKGEDDHGGKIVKKNSNKKSNGDNNNNNDGKDKLSALDKRFKTLPPSESLPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPLAWEDKKCPGESRFPAQVRVVTRKVCEPLEEDSFRPILHHYDGPKFRLELNIPEVLSLLDIFDEQSP >Manes.01G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18429103:18432215:1 gene:Manes.01G052500.v8.1 transcript:Manes.01G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQQSFWQFSDQLRRHTSNLANLSLSDTIWSNSYVSKRPDERKNFDIRVGGEVNSGISSKSKESDLNNDGWKIASNGGATTDLNGLNDGWKMSSSGGATTDLNGLNDGWKMSSSGGATTDLNGFNDGWKIGSGVNSYGLGPIGSIGRAVGGGSQKNVGINGGFNKGIYSKSNYNNNINVHVKGKNKGEDDHGGKIVKKNSNKKSNGDNNNNNDGKDKLSALDKRFKTLPPSESLPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPLAWEDKKCPGESRFPAQVRVVTRKVCEPLEEDSFRPILHHYDGPKFRLELNIPEVLSLLDIFDEQSP >Manes.09G103100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30261947:30262877:1 gene:Manes.09G103100.v8.1 transcript:Manes.09G103100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKKSHILANCPFLLVSKSPVCCSCPKMSSNGSKRVSFSPDVHEKPIFFPKHGGGIRVATHRKRVAGIFSFRLPRSSKFSPARILRRLSAKVARVLRFVSMRRKSSRKVSSASLARSRSLADAIDSQRAEAIEDCIEFLNSSSSLQRSNSVSTNSC >Manes.08G111520.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19644212:19648085:-1 gene:Manes.08G111520.v8.1 transcript:Manes.08G111520.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVCGKRVGFEEIFGSSSSSAKRSRCSGFRSPTRSSEFGSGSEDTVFSLLQMFPALDPELVKTVYRNHNNKIDDAIQTLMKFSFGDDAERNKSQSFESGAIGKCDAVPALSTTTCLQMSEEGVQSLKATKDYENAVDGPKWVDIFVQEMMNAADLEDARRRAAQILEAFERSITAQSRATELPEHASMKEHLQSLLNDNQILKRAVAIQHERNVEQEEKTREVQNLKLLLNQYQEQIRSLELNNYALKLHLQRAQQSSSISGFFNPDIC >Manes.08G111520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19644233:19648085:-1 gene:Manes.08G111520.v8.1 transcript:Manes.08G111520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVCGKRVGFEEIFGSSSSSAKRSRCSGFRSPTRSSEFGSGSEDTVFSLLQMFPALDPELVKTVYRNHNNKIDDAIQTLMKFSFGDDAERNKSQSFESGAIGKCDAVPALSTTTCLQMSEEGVQSLKATKDYENAVDGPKWVDIFVQEMMNAADLEDARRRAAQILEAFERSITAQSRATELPEHASMKEHLQSLLNDNQILKRAVAIQHERNVEQEEKTREVQNLKLLLNQYQEQIRSLELNNYALKLHLQRAQQSSSISGFFNPDIC >Manes.01G270000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42356409:42359065:1 gene:Manes.01G270000.v8.1 transcript:Manes.01G270000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGTAPPRGSAAAAASLRRRRTTSGGASGGAAGTMLQFYTDDAPGLKISPNVVLIMSIGFIAFVAILHVVGKLYFVRREA >Manes.05G014105.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:594719:598875:-1 gene:Manes.05G014105.v8.1 transcript:Manes.05G014105.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVAAEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLSSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNDSTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNRQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENSAAEESHRWPIGFVTTGYVRGSKKPMAEAFCEAVLLADLREEQWNGIPVKKRRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.09G170800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36209744:36211403:-1 gene:Manes.09G170800.v8.1 transcript:Manes.09G170800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDAFPYKEIENGMLWEVQGKWVVKGAVDVDIGANPSAEGEKEDEGLDDPAVKVVDIVDTFRLREQFAFDKQQFLAFMKRYIKLLTPKLEPEKQESFKKHIEGATKFLLSKLEDLQFFVGESMHDDSSLVFAYYKEGSTEPTFLYFAYGLKEIKC >Manes.02G191950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15426948:15428645:1 gene:Manes.02G191950.v8.1 transcript:Manes.02G191950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHMLHALFCKKEGVFSVTAIPLGGNYVLLNFQSSETMDAFLSNGAPWLSTWFSDIKPYDAIFAQNKRLSWFKLYGVLLHAWSMDFFKWFGNKMGDFIALDPTASKNRLTWKWLEFKF >Manes.05G180400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29725741:29731168:-1 gene:Manes.05G180400.v8.1 transcript:Manes.05G180400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARVYSDVNVHRPRDYWDYESLVVQWGDQDDYEVVRKVGRGKYSEVFEGICVNNSERCIIKILKPVKKKKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGRDNQDQLVKIAKVLGTDELNAYLNKYHLELDRHLEALVGRHSRKPWSRFINADNRHLVSPEAIDFLDKLLRYDHQDRLTAREAMNHPYFFQVRSAENSRMRTQ >Manes.05G180400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29725741:29731167:-1 gene:Manes.05G180400.v8.1 transcript:Manes.05G180400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVARVYSDVNVHRPRDYWDYESLVVQWGDQDDYEVVRKVGRGKYSEVFEGICVNNSERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGRDNQDQLVKIAKVLGTDELNAYLNKYHLELDRHLEALVGRHSRKPWSRFINADNRHLVSPEAIDFLDKLLRYDHQDRLTAREAMNHPYFFQVRSAENSRMRTQ >Manes.16G130500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33126135:33132607:-1 gene:Manes.16G130500.v8.1 transcript:Manes.16G130500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEEGENDRLRYGLSSMQGWRATMEDSHAAIPNLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYSAGDIGTALQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNCQPDNWAFEEGPHSDFAGPTSGSTACVAIIRNNQIIVANAGDSRCVISRKGQAYNLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFVHEQLRTESKLSVVCERVLDRCLAPSTASGEGCDNMTMILVQFKKPIQRTSSANEQSSGTESADDGSKQNENELK >Manes.16G130500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33126135:33132607:-1 gene:Manes.16G130500.v8.1 transcript:Manes.16G130500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEEGENDRLRYGLSSMQGWRATMEDSHAAIPNLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYSAGDIGTALQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNCQPDNWAFEEGPHSDFAGPTSGSTACVAIIRNNQIIVANAGDSRCVISRKGQAYNLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFVHEQLRTESKLSVVCERVLDRCLAPSTASGEGCDNMTMILVQFKKPIQRTSSANEQSSGTESADDGSKQNENELK >Manes.16G130500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33126135:33132603:-1 gene:Manes.16G130500.v8.1 transcript:Manes.16G130500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEEGENDRLRYGLSSMQGWRATMEDSHAAIPNLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYSAGDIGTALQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNCQPDNWAFEEAYNLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFVHEQLRTESKLSVVCERVLDRCLAPSTASGEGCDNMTMILVQFKKPIQRTSSANEQSSGTESADDGSKQNENELK >Manes.16G130500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33126135:33132603:-1 gene:Manes.16G130500.v8.1 transcript:Manes.16G130500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEEGENDRLRYGLSSMQGWRATMEDSHAAIPNLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYSAGDIGTALQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNCQPDNWAFEEAYNLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFVHEQLRTESKLSVVCERVLDRCLAPSTASGEGCDNMTMILVQFKKPIQRTSSANEQSSGTESADDGSKQNENELK >Manes.16G130500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33126135:33131642:-1 gene:Manes.16G130500.v8.1 transcript:Manes.16G130500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFLAEVVGHRSVTLLFSPSSLSLHPSFVFQIEMGIYLSSPKTEKFSEEGENDRLRYGLSSMQGWRATMEDSHAAIPNLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYSAGDIGTALQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNCQPDNWAFEEGPHSDFAGPTSGSTACVAIIRNNQIIVANAGDSRCVISRKGQAYNLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFVHEQLRTESKLSVVCERVLDRCLAPSTASGEGCDNMTMILVQFKKPIQRTSSANEQSSGTESADDGSKQNENELK >Manes.16G130500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33126135:33132603:-1 gene:Manes.16G130500.v8.1 transcript:Manes.16G130500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEEGENDRLRYGLSSMQGWRATMEDSHAAIPNLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYSAGDIGTALQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRGSDSNCQPDNWAFEEAYNLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFVHEQLRTESKLSVVCERVLDRCLAPSTASGEGCDNMTMILVQFKKPIQRTSSANEQSSGTESADDGSKQNENELK >Manes.06G136600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26415810:26424478:-1 gene:Manes.06G136600.v8.1 transcript:Manes.06G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFVCPNFPDNQDSPETTSSCSSSTSTPTNFKLRKIPPIPVRRTHFDDTDDENEDNELSDLTKEDENNPIIQPSSLGLNHIRTQFGPSPSPLRFSSTAGRPSNLGNKNNNAANKEDHKSDVVETARLKWALPIHSASPMDIGKKVGKNQSKSLKHLSSVNPALESNHAAFAKELQSPRFQAILRVTSGRKKKAPDVKSFSHELNSKGVRPFPVWRSRALGHMEEVMVAIRAKFDKLKEEVDSDLGIFGGDLVGILEKTTDSHPEWRESLEDLLIVARQCSKMPPNDFWIKCETIVQNLDDKRQELPMGILKQAHTRLLFILTRCTRLVQFQKESGYEDDHILGLHKLSDLGVYPEPTLEIATQDFSCPLVGGKVASEKQRKKSHEQEALVIQEDEAEQTVEVSTAKSVDSTTSSYRMSSWKKLPSAADRNRKSTDSTGTPAKDKSEMQHNKDETRTFGDSNSEDLGTPEHSASTGNKRGSWGFWGEHQNVAYDNSMICRICEVEIPIVHVEEHSLICTIADRCDLKGLTVNERLERVAETLDKVLESWTPKCSDTPRRTTSGIQEDLDELSPKSNSLSRQCSEDTFDVIPDADNPYVVEDLNVLSDISCEARSALTPDGDTKTSSAGSLTPRSPLLTPRTTQIELLLSGRRTITELENNQQISKLREIARSVANVNESDYTSLEFMLDRLDDLKYAIQDRKVDALIVETFGRRIEKLLQEKYVHLCAQIDDERLDSSSQMVDEESSVEDDTVRSLRASPINPYSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLEEDMVRIYIAEVVLALEYLHSLNVIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTEDLSGASFSSSALLDHHEMKSQYSSKREQRQKHSVVGTPDYLAPEILLGMGHGATADWWSVGVILFELLVGIPPFNAETPQQIFDNVMNRDIPWPRVPEEMSFEACDLIDKLLTENPVQRLGTTGAKEVKQHPFFRDINWDTLARQKAMFIPSVDAHDTSYFMSRHICTEESYQGCSDYDDLTDSCSTGSFSNTHDEDGDECGSLADFNSPQLAVKYSFSNFSFKNLSQLASINYDLVIRSAKEAADAAKPSIPDR >Manes.01G238251.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40032540:40034062:1 gene:Manes.01G238251.v8.1 transcript:Manes.01G238251.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGYRFHPTDEELVDHYLRLKMLGYDHEVQAIPVVNVLDFEPWELPHIEHPEVVISKIPNDQVWYFFCPRNYKYSYSHRANRTTNAGYWKVTGKDRKINDNGIKKNLVFYQGRPKGAKTNWIVHEYNPTFNFPTQRDFVLCKLKKRPDDSDDMRSLEERESNTMVAPASPTGRNITEEDSQLRAYMESFNGINERDYSLNSALQWPTYYSYH >Manes.01G238251.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40032550:40034129:1 gene:Manes.01G238251.v8.1 transcript:Manes.01G238251.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGYRFHPTDEELVDHYLRLKMLGYDHEVQAIPVVNVLDFEPWELPHIEHPEVVISKIPNDQVWYFFCPRNYKYSYSHRANRTTNAGYWKVTGKDRKINDNGIKKNLVFYQGRPKGAKTNWIVHEYNPTFNFPTQRDFVLCKLKKRPDDSDDMRSLEERESNTMVAPASPTGRNITEEDSQLRAYMESFNGINERDYSLNSALQWPTYYSYH >Manes.01G245600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40601651:40604551:1 gene:Manes.01G245600.v8.1 transcript:Manes.01G245600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEIGMGGGAWSDEDRGMVAAVLGTKAFNYLISNLASNENLLMAVGSDENLQNKLSDLVDHPNFSNFSWNYAIFWQISCSKSGDWVLGWGDGSCREPKEGEESEATRILNLRFEDETQQRMRKRVLQKLHALSGESDEDNYALGLDRVTDTEMFFLASMYFSFPRGEGGPGKCFASGKHIWVADALRTGTDYCVRSFLAKSAGIQTIVLVATDVGVVELGSVRSVPESTVIVQSIRSAFSAHNTVIRAKPMVPAAAPALPVVNEKKDENSLFSNVGIVERVEGIPKIFGQELNNSSHGHGHGFREKLAVRKMEERASSWDVYQNGNRLAFPGNRNGLHGSSWAHNFGLKQGSTAEVYSSQIAANNLQELVNGVREEFRLNQFQPQKQVNMQIDFSGATSGPSMVGRPVNAESEHSDVEASCKEERRGTADDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYISELQAKLKLMEADKEKFGNTSREASALEVNSNGKTHSQAPEVDIQASHDEIIVRVSCPLDSHPASRVIQVFKEAKVSVIDSKFAAANETVFHTFVIKSQGSEQLTKEKLMAAFSLEPNSLQQLSSVG >Manes.01G245600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40601703:40604544:1 gene:Manes.01G245600.v8.1 transcript:Manes.01G245600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEIGMGGGAWSDEDRGMVAAVLGTKAFNYLISNLASNENLLMAVGSDENLQNKLSDLVDHPNFSNFSWNYAIFWQISCSKSGDWVLGWGDGSCREPKEGEESEATRILNLRFEDETQQRMRKRVLQKLHALSGESDEDNYALGLDRVTDTEMFFLASMYFSFPRGEGGPGKCFASGKHIWVADALRTGTDYCVRSFLAKSAGIQTIVLVATDVGVVELGSVRSVPESTVIVQSIRSAFSAHNTVIRAKPMVPAAAPALPVVNEKKDENSLFSNVGIVERVEGIPKIFGQELNNSSHGHGHGFREKLAVRKMEERASSWDVYQNGNRLAFPGNRNGLHGSSWAHNFGLKQGSTAEVYSSQIAANNLQELVNGVREEFRLNQFQPQKQVNMQIDFSGATSGPSMVGRPVNAESEHSDVEASCKEERRGTADDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYISELQAKLKLMEADKEKFGNTSREASALEVNSNGKTHSQAPEVDIQASHDEIIVRVSCPLDSHPASRVIQVFKEAKVSVIDSKFAAANETVFHTFVIKSQGSEQLTKEKLMAAFSLEPNSLQQLSSVG >Manes.15G121100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9636457:9640347:1 gene:Manes.15G121100.v8.1 transcript:Manes.15G121100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMGPNSVAAADTAIVSTAASPPHDSDFSPVGSPDDTLPVPEVHALPSDEDHDQEEDAGAEHDHVQDHPSGSTDQTEVIKQKIIKQVEYYFSDENLPTDKHMLGLIKKNKEGFVPITIIASFRKMKKLTRDLSFIVAALKESSFLVVSSDGKKVKRHHPFPIAELKDPKLCSVLVENLPEDHSVLNIQRIFGEAGKVKNISIRDPHAVEESKKGSKADILISSKLHAIIEYDTVEAAEKAVATLNNEQDWRNGLRVKHLKRMGKYGQRRQAWRESDVEKNSTGRPSNQPVDEENRHCSEHHDDTPDEEDGEHLAKEKNGQRIRNRGRSRRNKYRPTNGLGHGSTCAAHAVEQSKPPPGPKMPDGTRGFTMGRGRPPVAKQN >Manes.05G084100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6952445:6957402:1 gene:Manes.05G084100.v8.1 transcript:Manes.05G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERTLLSFSTCSNIFLRVPGNPISNFRGPALSPTHFPFYPRADTERFSFIRNLRCSVHFRDNHFNQVVEYAKPAEIPWNKELCNAVHLIGIVGTPVEIKHLPSGKVLAWTRLAVRKSATETTWISLTFWDELAEVASQHVEKGHQIYVFGRLVSDVVESDGGKQQTYYKVVVQQLNLIEKSSVSSALYNHDSDSTVASRKFGNNAASTGSTEELWQAFFANPLEWWDNRKNKRNPKYPDFKHKDTGEALWIEGKYNPPWVKSQLAILDERMEAFRDQDSRMDVNAIAGDDFMPF >Manes.05G084100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6952727:6957402:1 gene:Manes.05G084100.v8.1 transcript:Manes.05G084100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALERTLLSFSTCSNIFLRVPGNPISNFRGPALSPTHFPFYPRADTERFSFIRNLRCSVHFRDNHFNQVVEYAKPAEIPWNKELCNAVHLIGIVGTPVEIKHLPSGKVLAWTRLAVRKSATETTWISLTFWDELAEVASQHVEKGHQIYVFGRLVSDVVESDGGKQQTYYKVVVQQLNLIEKSSVSSALYNHDSDSTVASRKFGNNAASTGSTEELWQAFFANPLEWWDNRKNKRNPKYPDFKHKDTGEALWIEGKYNPPWVKSQLAILDERMEAFRDQDSRMDVNAIAGDDFMPF >Manes.11G007100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:804434:815802:1 gene:Manes.11G007100.v8.1 transcript:Manes.11G007100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGVEDDKEANGMPSFDSQLPISTTPGIEGSTGHAFRVSDFGAFEQSVAFRLEDAVNLSTSTVFNSAKASNQAVSSDPLRIGTFDKSTASFNINRSAAQVELQRLPLEKNQQLNLVPISTGNTENWGDSNMADGSPRTDISTDDTDDKNQGFDRGQSAIGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSSDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIIIDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.11G007100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:804434:815802:1 gene:Manes.11G007100.v8.1 transcript:Manes.11G007100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGVEDDKEANGMPSFDSQLPISTTPGIEGSTGHAFRVSDFGAFEQSVAFRLEDAVNLSTSTVFNSAKASNQAVSSDPLRIGTFDKSTASFNINRSAAQVELQRLPLEKNQQLNLVPISTGNTENWGDSNMADGSPRTDISTDDTDDKNQGFDRGQSAIGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSSDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIIIDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.11G007100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:805397:815802:1 gene:Manes.11G007100.v8.1 transcript:Manes.11G007100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGVEDDKEANGMPSFDSQLPISTTPGIEGSTGHAFRVSDFGAFEQSVAFRLEDAVNLSTSTVFNSAKASNQAVSSDPLRIGTFDKSTASFNINRSAAQVELQRLPLEKNQQLNLVPISTGNTENWGDSNMADGSPRTDISTDDTDDKNQGFDRGQSAIGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSSDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIIIDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.11G007100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:805190:815802:1 gene:Manes.11G007100.v8.1 transcript:Manes.11G007100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGVEDDKEANGMPSFDSQLPISTTPGIEGSTGHAFRVSDFGAFEQSVAFRLEDAVNLSTSTVFNSAKASNQAVSSDPLRIGTFDKSTASFNINRSAAQVELQRLPLEKNQQLNLVPISTGNTENWGDSNMADGSPRTDISTDDTDDKNQGFDRGQSAIGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSSDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIIIDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.11G007100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:804458:815802:1 gene:Manes.11G007100.v8.1 transcript:Manes.11G007100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGVEDDKEANGMPSFDSQLPISTTPGIEGSTGHAFRVSDFGAFEQSVAFRLEDAVNLSTSTVFNSAKASNQAVSSDPLRIGTFDKSTASFNINRSAAQVELQRLPLEKNQQLNLVPISTGNTENWGDSNMADGSPRTDISTDDTDDKNQGLSCDIFHSNNNNNKYFDRGQSAIGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSSDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIIIDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.11G007100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:804509:815802:1 gene:Manes.11G007100.v8.1 transcript:Manes.11G007100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGVEDDKEANGMPSFDSQLPISTTPGIEGSTGHAFRVSDFGAFEQSVAFRLEDAVNLSTSTVFNSAKASNQAVSSDPLRIGTFDKSTASFNINRSAAQVELQRLPLEKNQQLNLVPISTGNTENWGDSNMADGSPRTDISTDDTDDKNQGLSCDIFHSNNNNNKYFDRGQSAIGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSSDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIIIDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.11G007100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:805397:813823:1 gene:Manes.11G007100.v8.1 transcript:Manes.11G007100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGVEDDKEANGMPSFDSQLPISTTPGIEGSTGHAFRVSDFGAFEQSVAFRLEDAVNLSTSTVFNSAKASNQAVSSDPLRIGTFDKSTASFNINRSAAQVELQRLPLEKNQQLNLVPISTGNTENWGDSNMADGSPRTDISTDDTDDKNQGFDRGQSAIGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSSDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIIIDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQVIVCNATRLSALKSAEY >Manes.11G007100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:805397:815802:1 gene:Manes.11G007100.v8.1 transcript:Manes.11G007100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGVEDDKEANGMPSFDSQLPISTTPGIEGSTGHAFRVSDFGAFEQSVAFRLEDAVNLSTSTVFNSAKASNQAVSSDPLRIGTFDKSTASFNINRSAAQVELQRLPLEKNQQLNLVPISTGNTENWGDSNMADGSPRTDISTDDTDDKNQGLSCDIFHSNNNNNKYFDRGQSAIGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSSDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIIIDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.02G168100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13223506:13224910:1 gene:Manes.02G168100.v8.1 transcript:Manes.02G168100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASIFHSCFMYSSLILYLCLFFSLEKVSDLLQSTSCENSTKENTGVLKVVHRHGPCSQLNQGNANAPDLEKILAEDQSRVDSIHSKLSITSGGSDVKQTDGTRLPANKGLSLGTGNYFVTDTVGLGTPRKNVRLIFDTGSDLTWAKCKRGVDAYDPTKSTSHFNISCTSEICPYVTSATGILPDCVSSTCVYGLQYGDGSFSVGFLAKERLSIGSTENGGLFGKVGGLIGLGRAKLSIVTQTASKYNKLFSYCLPASGKTGFLSFGASQSKSAKFIPLSSDANFYGLELIGMIVGGQKLLISITVFSRAGTIIDSGTVITRAYSALRSAFEKAMSKYPKAKPLSILDTCYDFSKYETVSVPKIVLSFNGGDVEIDQAGIFVANGKAQVCLSFAGNSDARDVAIFGNTQQQNFEVVYNVNGGKVRFAPGEC >Manes.02G114300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8803914:8817428:-1 gene:Manes.02G114300.v8.1 transcript:Manes.02G114300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGKMLAQLLLYVIYFTTNLFGENICSQAPRRFWTQLKNVFFGFHLCIQQFPSKLLILKDINSRFVCKGPIHKLVNHLASRFECLDPWKANSMPQKGKRYKSQACPAYILNLGCPLALYDLAFEPSKTYVEFKDWTPVLNFIGKSIQQLWRENVNYGESLAHVTDICRKDEIWKEDFSGNPRFPIKKCEIQKDKPSHPHSPSHYLMQNKEVVSIYSGESAKIPCKKFCMNISEFKEWESDLCHCHSACSLQSWNDSLSKHIYTVAQKSDNHLLTSNGNNCLPDDFFMENRFTANERFNNHEEGNILGVECDESPKITSAEMNESYRSEFSLDYHKFGNDLEVSKSNEKPFLQSCSLQTNLMLHSSLFSSKEDLEFPIDGFRTKKRRVCTDENVDINVDASNDILDTYPGTLLQHEASCSQKFSSHCIGIDMPVDFDSRSKASANSFSLHGKLFAEEKARAVEPFLDENAYEWREGLGKFANNWHDGDSQAREGNHSYKMESKSYSKEDFISSCTSMLDLKDYADTTRDFSKFLQGHNVTEESSSAEHSDRTTSEINWLRLDLPFRSCKSDNKYESRENQLGRKDWKQFNFPKQPYRRSRSAPPFYRQKTRFISLRHHSMMKEGNVQLFHDDLTSPENDDLKRPHFHPNYAEDLMFCSWPNVSSGQGTMLDMKDIKQGANLRHSQYLQSHASPVEEEIQYSADYASKWRNGCHQIANNNVLHNIDNQHDILDISSGFLHLAGNSLVPESLHRNCLEDAKVLQQVDKKFIPIVAGGMLAVIDQHAADERIRLEELRQKVISREAKTVTYLDAEKELILPEMGYQLLHSYAEQIRDWGWIFNTEAQGSSSFKMNLNILHQEPNGVTLLAVPCILGVNLSDSDLLEFLQQLADTDGSSTMPPAVRRILNFKACRGAIMFGDSLLPSECALIVEELKQTSLCFQCAHGRPTTAPLVNLEELHKQISKLGASDDGSNKLWHGLCRQELSFRRAAERLRTARGLGRGMCNFTISNFHLGSKM >Manes.02G114300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8809313:8817428:-1 gene:Manes.02G114300.v8.1 transcript:Manes.02G114300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAANWSFGFRGEALASISDVSLLEIVSKARGRPNGYRKVLKGSKGLYLGVNDDRKDVGTTVVVRDLFYNQPVRRKYLQSSTKKVLDSVKKCVLRISLVHSTVSFKVVDIESEDELLCTRPSSALSLLMSHFGINDSSFLLELNFSESVLKLSGYISGPCDSLTVKAFQYVYINSRFVCKGPIHKLVNHLASRFECLDPWKANSMPQKGKRYKSQACPAYILNLGCPLALYDLAFEPSKTYVEFKDWTPVLNFIGKSIQQLWRENVNYGESLAHVTDICRKDEIWKEDFSGNPRFPIKKCEIQKDKPSHPHSPSHYLMQNKEVVSIYSGESAKIPCKKFCMNISEFKEWESDLCHCHSACSLQSWNDSLSKHIYTVAQKSDNHLLTSNGNNCLPDDFFMENRFTANERFNNHEEGNILGVECDESPKITSAEMNESYRSEFSLDYHKFGNDLEVSKSNEKPFLQSCSLQTNLMLHSSLFSSKEDLEFPIDGFRTKKRRVCTDENVDINVDASNDILDTYPGTLLQHEASCSQKFSSHCIGIDMPVDFDSRSKASANSFSLHGKLFAEEKARAVEPFLDENAYEWREGLGKFANNWHDGDSQAREGNHSYKMESKSYSKEDFISSCTSMLDLKDYADTTRDFSKFLQGHNVTEESSSAEHSDRTTSEINWLRLDLPFRSCKSDNKYESRENQLGRKDWKQFNFPKQPYRRSRSAPPFYRQKTRFISLRHHSMMKEGNVQLFHDDLTSPENDDLKRPHFHPNYAEDLMFCSWPNVSSGQGTMLDMKDIKQGANLRHSQYLQSHASPVEEEIQYSADYASKWRNGCHQIANNNVLHNIDNQHDILDISSGFLHLAGNSLVPESLHRNCLEDAKVLQQVDKKFIPIVAGGMLAVIDQHAADERIRLEELRQKVPCILGVNLSDSDLLEFLQQLADTDGSSTMPPAVRRILNFKACRGAIMFGDSLLPSECALIVEELKQTSLCFQCAHGRPTTAPLVNLEELHKQISKLGASDDGSNKLWHGLCRQELSFRRAAERLRTARG >Manes.02G114300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8803914:8823261:-1 gene:Manes.02G114300.v8.1 transcript:Manes.02G114300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKPLPEAVRNLMRSGIILFDLSRVVEELVFNSLDAGATKVSVYVGVGTCYVKVVDDGCGISRDGLVLLGERHVTSKLHHLADMHAANWSFGFRGEALASISDVSLLEIVSKARGRPNGYRKVLKGSKGLYLGVNDDRKDVGTTVVVRDLFYNQPVRRKYLQSSTKKVLDSVKKCVLRISLVHSTVSFKVVDIESEDELLCTRPSSALSLLMSHFGINDSSFLLELNFSESVLKLSGYISGPCDSLTVKAFQYVYINSRFVCKGPIHKLVNHLASRFECLDPWKANSMPQKGKRYKSQACPAYILNLGCPLALYDLAFEPSKTYVEFKDWTPVLNFIGKSIQQLWRENVNYGESLAHVTDICRKDEIWKEDFSGNPRFPIKKCEIQKDKPSHPHSPSHYLMQNKEVVSIYSGESAKIPCKKFCMNISEFKEWESDLCHCHSACSLQSWNDSLSKHIYTVAQKSDNHLLTSNGNNCLPDDFFMENRFTANERFNNHEEGNILGVECDESPKITSAEMNESYRSEFSLDYHKFGNDLEVSKSNEKPFLQSCSLQTNLMLHSSLFSSKEDLEFPIDGFRTKKRRVCTDENVDINVDASNDILDTYPGTLLQHEASCSQKFSSHCIGIDMPVDFDSRSKASANSFSLHGKLFAEEKARAVEPFLDENAYEWREGLGKFANNWHDGDSQAREGNHSYKMESKSYSKEDFISSCTSMLDLKDYADTTRDFSKFLQGHNVTEESSSAEHSDRTTSEINWLRLDLPFRSCKSDNKYESRENQLGRKDWKQFNFPKQPYRRSRSAPPFYRQKTRFISLRHHSMMKEGNVQLFHDDLTSPENDDLKRPHFHPNYAEDLMFCSWPNVSSGQGTMLDMKDIKQEEIQYSADYASKWRNGCHQIANNNVLHNIDNQHDILDISSGFLHLAGNSLVPESLHRNCLEDAKVLQQVDKKFIPIVAGGMLAVIDQHAADERIRLEELRQKVISREAKTVTYLDAEKELILPEMGYQLLHSYAEQIRDWGWIFNTEAQGSSSFKMNLNILHQEPNGVTLLAVPCILGVNLSDSDLLEFLQQLADTDGSSTMPPAVRRILNFKACRGAIMFGDSLLPSECALIVEELKQTSLCFQCAHGRPTTAPLVNLEELHKQISKLGASDDGSNKLWHGLCRQELSFRRAAERLRTARGLGRGMCNFTISNFHLGSKM >Manes.02G114300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8809313:8823261:-1 gene:Manes.02G114300.v8.1 transcript:Manes.02G114300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKPLPEAVRNLMRSGIILFDLSRVVEELVFNSLDAGATKVSVYVGVGTCYVKVVDDGCGISRDGLVLLGERHVTSKLHHLADMHAANWSFGFRGEALASISDVSLLEIVSKARGRPNGYRKVLKGSKGLYLGVNDDRKDVGTTVVVRDLFYNQPVRRKYLQSSTKKVLDSVKKCVLRISLVHSTVSFKVVDIESEDELLCTRPSSALSLLMSHFGINDSSFLLELNFSESVLKLSGYISGPCDSLTVKAFQYVYINSRFVCKGPIHKLVNHLASRFECLDPWKANSMPQKGKRYKSQACPAYILNLGCPLALYDLAFEPSKTYVEFKDWTPVLNFIGKSIQQLWRENVNYGESLAHVTDICRKDEIWKEDFSGNPRFPIKKCEIQKDKPSHPHSPSHYLMQNKEVVSIYSGESAKIPCKKFCMNISEFKEWESDLCHCHSACSLQSWNDSLSKHIYTVAQKSDNHLLTSNGNNCLPDDFFMENRFTANERFNNHEEGNILGVECDESPKITSAEMNESYRSEFSLDYHKFGNDLEVSKSNEKPFLQSCSLQTNLMLHSSLFSSKEDLEFPIDGFRTKKRRVCTDENVDINVDASNDILDTYPGTLLQHEASCSQKFSSHCIGIDMPVDFDSRSKASANSFSLHGKLFAEEKARAVEPFLDENAYEWREGLGKFANNWHDGDSQAREGNHSYKMESKSYSKEDFISSCTSMLDLKDYADTTRDFSKFLQGHNVTEESSSAEHSDRTTSEINWLRLDLPFRSCKSDNKYESRENQLGRKDWKQFNFPKQPYRRSRSAPPFYRQKTRFISLRHHSMMKEGNVQLFHDDLTSPENDDLKRPHFHPNYAEDLMFCSWPNVSSGQGTMLDMKDIKQEEIQYSADYASKWRNGCHQIANNNVLHNIDNQHDILDISSGFLHLAGNSLVPESLHRNCLEDAKVLQQVDKKFIPIVAGGMLAVIDQHAADERIRLEELRQKVISREAKTVTYLDAEKELILPEMGYQLLHSYAEQIRDWGWIFNTEAQGSSSFKMNLNILHQEPNGVTLLAVPCILGVNLSDSDLLEFLQQLADTDGSSTMPPAVRRILNFKACRGAIMFGDSLLPSECALIVEELKQTSLCFQCAHGRPTTAPLVNLEELHKQISKLGASDDGSNKLWHGLCRQELSFRRAAERLRTARG >Manes.02G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8809313:8823261:-1 gene:Manes.02G114300.v8.1 transcript:Manes.02G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKPLPEAVRNLMRSGIILFDLSRVVEELVFNSLDAGATKVSVYVGVGTCYVKVVDDGCGISRDGLVLLGERHVTSKLHHLADMHAANWSFGFRGEALASISDVSLLEIVSKARGRPNGYRKVLKGSKGLYLGVNDDRKDVGTTVVVRDLFYNQPVRRKYLQSSTKKVLDSVKKCVLRISLVHSTVSFKVVDIESEDELLCTRPSSALSLLMSHFGINDSSFLLELNFSESVLKLSGYISGPCDSLTVKAFQYVYINSRFVCKGPIHKLVNHLASRFECLDPWKANSMPQKGKRYKSQACPAYILNLGCPLALYDLAFEPSKTYVEFKDWTPVLNFIGKSIQQLWRENVNYGESLAHVTDICRKDEIWKEDFSGNPRFPIKKCEIQKDKPSHPHSPSHYLMQNKEVVSIYSGESAKIPCKKFCMNISEFKEWESDLCHCHSACSLQSWNDSLSKHIYTVAQKSDNHLLTSNGNNCLPDDFFMENRFTANERFNNHEEGNILGVECDESPKITSAEMNESYRSEFSLDYHKFGNDLEVSKSNEKPFLQSCSLQTNLMLHSSLFSSKEDLEFPIDGFRTKKRRVCTDENVDINVDASNDILDTYPGTLLQHEASCSQKFSSHCIGIDMPVDFDSRSKASANSFSLHGKLFAEEKARAVEPFLDENAYEWREGLGKFANNWHDGDSQAREGNHSYKMESKSYSKEDFISSCTSMLDLKDYADTTRDFSKFLQGHNVTEESSSAEHSDRTTSEINWLRLDLPFRSCKSDNKYESRENQLGRKDWKQFNFPKQPYRRSRSAPPFYRQKTRFISLRHHSMMKEGNVQLFHDDLTSPENDDLKRPHFHPNYAEDLMFCSWPNVSSGQGTMLDMKDIKQGANLRHSQYLQSHASPVEEEIQYSADYASKWRNGCHQIANNNVLHNIDNQHDILDISSGFLHLAGNSLVPESLHRNCLEDAKVLQQVDKKFIPIVAGGMLAVIDQHAADERIRLEELRQKVISREAKTVTYLDAEKELILPEMGYQLLHSYAEQIRDWGWIFNTEAQGSSSFKMNLNILHQEPNGVTLLAVPCILGVNLSDSDLLEFLQQLADTDGSSTMPPAVRRILNFKACRGAIMFGDSLLPSECALIVEELKQTSLCFQCAHGRPTTAPLVNLEELHKQISKLGASDDGSNKLWHGLCRQELSFRRAAERLRTARG >Manes.02G114300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8809313:8823261:-1 gene:Manes.02G114300.v8.1 transcript:Manes.02G114300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKPLPEAVRNLMRSGIILFDLSRVVEELVFNSLDAGATKVSVYVGVGTCYVKVVDDGCGISRDGLVLLGERHVTSKLHHLADMHAANWSFGFRGEALASISDVSLLEIVSKARGRPNGYRKVLKGSKGLYLGVNDDRKDVGTTVVVRDLFYNQPVRRKYLQSSTKKVLDSVKKCVLRISLVHSTVSFKVVDIESEDELLCTRPSSALSLLMSHFGINDSSFLLELNFSESVLKLSGYISGPCDSLTVKAFQYVYINSRFVCKGPIHKLVNHLASRFECLDPWKANSMPQKGKRYKSQACPAYILNLGCPLALYDLAFEPSKTYVEFKDWTPVLNFIGKSIQQLWRENVNYGESLAHVTDICRKDEIWKEDFSGNPRFPIKKCEIQKDKPSHPHSPSHYLMQNKEVVSIYSGESAKIPCKKFCMNISEFKEWESDLCHCHSACSLQSWNDSLSKHIYTVAQKSDNHLLTSNGNNCLPDDFFMENRFTANERFNNHEEGNILGVECDESPKITSAEMNESYRSEFSLDYHKFGNDLEVSKSNEKPFLQSCSLQTNLMLHSSLFSSKEDLEFPIDGFRTKKRRVCTDENVDINVDASNDILDTYPGTLLQHEASCSQKFSSHCIGIDMPVDFDSRSKASANSFSLHGKLFAEEKARAVEPFLDENAYEWREGLGKFANNWHDGDSQAREGNHSYKMESKSYSKEDFISSCTSMLDLKDYADTTRDFSKFLQGHNVTEESSSAEHSDRTTSEINWLRLDLPFRSCKSDNKYESRENQLGRKDWKQFNFPKQPYRRSRSAPPFYRQKTRFISLRHHSMMKEGNVQLFHDDLTSPENDDLKRPHFHPNYAEDLMFCSWPNVSSGQGTMLDMKDIKQGANLRHSQYLQSHASPVEEEIQYSADYASKWRNGCHQIANNNVLHNIDNQHDILDISSGFLHLAGNSLVPESLHRNCLEDAKVLQQVDKKFIPIVAGGMLAVIDQHAADERIRLEELRQKVPCILGVNLSDSDLLEFLQQLADTDGSSTMPPAVRRILNFKACRGAIMFGDSLLPSECALIVEELKQTSLCFQCAHGRPTTAPLVNLEELHKQISKLGASDDGSNKLWHGLCRQELSFRRAAERLRTARG >Manes.02G114300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8809313:8817428:-1 gene:Manes.02G114300.v8.1 transcript:Manes.02G114300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAANWSFGFRGEALASISDVSLLEIVSKARGRPNGYRKVLKGSKGLYLGVNDDRKDVGTTVVVRDLFYNQPVRRKYLQSSTKKVLDSVKKCVLRISLVHSTVSFKVVDIESEDELLCTRPSSALSLLMSHFGINDSSFLLELNFSESVLKLSGYISGPCDSLTVKAFQYVYINSRFVCKGPIHKLVNHLASRFECLDPWKANSMPQKGKRYKSQACPAYILNLGCPLALYDLAFEPSKTYVEFKDWTPVLNFIGKSIQQLWRENVNYGESLAHVTDICRKDEIWKEDFSGNPRFPIKKCEIQKDKPSHPHSPSHYLMQNKEVVSIYSGESAKIPCKKFCMNISEFKEWESDLCHCHSACSLQSWNDSLSKHIYTVAQKSDNHLLTSNGNNCLPDDFFMENRFTANERFNNHEEGNILGVECDESPKITSAEMNESYRSEFSLDYHKFGNDLEVSKSNEKPFLQSCSLQTNLMLHSSLFSSKEDLEFPIDGFRTKKRRVCTDENVDINVDASNDILDTYPGTLLQHEASCSQKFSSHCIGIDMPVDFDSRSKASANSFSLHGKLFAEEKARAVEPFLDENAYEWREGLGKFANNWHDGDSQAREGNHSYKMESKSYSKEDFISSCTSMLDLKDYADTTRDFSKFLQGHNVTEESSSAEHSDRTTSEINWLRLDLPFRSCKSDNKYESRENQLGRKDWKQFNFPKQPYRRSRSAPPFYRQKTRFISLRHHSMMKEGNVQLFHDDLTSPENDDLKRPHFHPNYAEDLMFCSWPNVSSGQGTMLDMKDIKQGANLRHSQYLQSHASPVEEEIQYSADYASKWRNGCHQIANNNVLHNIDNQHDILDISSGFLHLAGNSLVPESLHRNCLEDAKVLQQVDKKFIPIVAGGMLAVIDQHAADERIRLEELRQKVISREAKTVTYLDAEKELILPEMGYQLLHSYAEQIRDWGWIFNTEAQGSSSFKMNLNILHQEPNGVTLLAVPCILGVNLSDSDLLEFLQQLADTDGSSTMPPAVRRILNFKACRGAIMFGDSLLPSECALIVEELKQTSLCFQCAHGRPTTAPLVNLEELHKQISKLGASDDGSNKLWHGLCRQELSFRRAAERLRTARG >Manes.18G141700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:23782287:23783472:-1 gene:Manes.18G141700.v8.1 transcript:Manes.18G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTPMNHFINSMSSYDSNIMLAAVISLLVVILFVLLLHIYAKWFLDQARHRRRSSSVSVSHVLRPSRFHSFTLDPFPSGLAPSIISSIPLFVYRAEEHEQGLECVICLSVFEENEIGRSLIKCGHDFHVECIDMWLISHSSCPICRAPAVVGDTANEAKCMEPVEAGLDSEESRVEIGIDVSNSENENGNGNNNIQVNYDSPSSSSSSSSSLGCSLKRMLSRNRSERKVFSSCNNDSEINV >Manes.14G165700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:24500378:24501619:-1 gene:Manes.14G165700.v8.1 transcript:Manes.14G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRSKARHSKEEEALPEVSRTKNQPEAIQTDKKVNKRVTAVQEAPQKSTEADGGDGDGEIVSTDLQDIIGRPVTTFTIDPTYHQKMIASSLQASSLTENTSGENEDTSGENEEKK >Manes.13G030200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3898836:3901591:1 gene:Manes.13G030200.v8.1 transcript:Manes.13G030200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKSGLRKPTFTKVDQLRPGTSGHTLTVKVVSTKMVLQKGRADGPQMRQMRIAECLVGDETGMIIFTARNDQVDLMKEGATVTLRNAKIDMFKGSMRLAVDKWGRVEVSEPASFSVNEDNNLSLIEYELVNVVEE >Manes.13G030200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3898838:3901568:1 gene:Manes.13G030200.v8.1 transcript:Manes.13G030200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKSGLRKPTFTKVDQLRPGTSGHTLTVKVVSTKMVLQKGRADGPQMRQMRIAECLVGDETGMIIFTARNDQVDLMKEGATVTLRNAKIDMFKGSMRLAVDKWGRVEVSEPASFSVNEDNNLSLIEYELVNVVEE >Manes.13G030200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3898838:3901566:1 gene:Manes.13G030200.v8.1 transcript:Manes.13G030200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKSGLRKPTFTKVDQLRPGTSGHTLTVKVVSTKMVLQKGRADGPQMRQMRIAECLVGDETGMIIFTARNDQVDLMKEGATVTLRNAKIDMFKGSMRLAVDKWGRVEVSEPASFSVNEDNNLSLIEYELVNVVEE >Manes.13G030200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3898838:3901566:1 gene:Manes.13G030200.v8.1 transcript:Manes.13G030200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKSGLRKPTFTKVDQLRPGTSGHTLTVKVVSTKMVLQKGRADGPQMRQMRIAECLVGDETGMIIFTARNDQVDLMKEGATVTLRNAKIDMFKGSMRLAVDKWGRVEVSEPASFSVNEDNNLSLIEYELVNVVEE >Manes.05G062600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5257319:5261143:1 gene:Manes.05G062600.v8.1 transcript:Manes.05G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPMDISSFSQIDTFHWILDMNTFVGEAYDQIREVCIFLLNNFTLPPDKALAVYIQAPGSPFQFCGAVTLARPSAVLSLNWPEPGGQLQLTAPDAAPLSAKIGVSVEDLASLPSLDVAAEKRIERLAMKVGENLFNYMQSFCGVDGSRLIVPMDILDRWFKKFQERAKRDPEYLKGFDL >Manes.06G126500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25640009:25640773:-1 gene:Manes.06G126500.v8.1 transcript:Manes.06G126500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSIDAQHFSTLPEPDKIRKQRALNVTGNGFKAPRHPRWSKEETFVLIEGKKVVENRVDRGRRSTSALGSDLIQPKWDSISSYCKQHGVNREPVQCRKRWSNLLGDFKKIKIWESQRINADETFWKMRNEHRRERELPSFFDREVYDILNGGLFATEAIPLTFVAVKTEKNTDADEVAAAVAEEQEQEEVEAVFASGQHASADCSFKQSGQDEIHCSFKKEKFATKDPRTKQPISGNQIPSLCLLFMLFIFI >Manes.09G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33357515:33359063:1 gene:Manes.09G132200.v8.1 transcript:Manes.09G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLINSGPSPFGLRIIWALKMKGIQYESIEEDLSNKSPLLLQHNPIYKKVPVLLHNGKPIVESLIILQYIEETWKQNPLLPADPYDRAMSNFWAKFGDDKVLPSIWYVFIKKGKEQEEAKCEAWEKLKYLEEELRRGKRFFGGETIGLVDIAFGWIVNTVNVLEELIGIKVIDGEKFPLLVRWMKDFSESPVIKENWPPRDVLMSIYADFLQSCHSN >Manes.15G056300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4341426:4343674:-1 gene:Manes.15G056300.v8.1 transcript:Manes.15G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHWYGLRTPGTEASRFCFSSTIASPTGTYKSDPVLRFKPENRFSHASFRIKAAVKEGIATDKSFYELLGIPESGTLIEIKQAYKQVARKYHPDVSPPDRVEEYTQRFIQVQEAYETLSDPRRRALYDRDMARGLHLAFSARRRYQDDEELEVRGEWKNHWEAQLSELTRRSMNKDAEGNMSWAARMRRQRERSSQEI >Manes.12G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34362977:34366347:-1 gene:Manes.12G136700.v8.1 transcript:Manes.12G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVVSDPKSTINLAITVHVSDSSIFPTAAQKPPAAPGGYISISRKKLLKNLEINGGARINAWVDSMRASSPTHLKSTPSITDDQGSWILHHPSALDMFEQIIEASKGKQIVMFLDYDGTLSPIVDDPDRAFMSKKMRATVRKLAKCFPTAIVSGRCRDKVYNFVRLAELYYAGSHGMDIKGPAKGSKYKKGSEALIFQPASEFLPMIDEVYKELIEKTKSTPGAKVENNKFCVSVHFRCVDEKKWSELAQVVRSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKVLRERGQGFGILVSKFPKDTNASYSLQEPTQVMDFLQRLVEWKQVSLRGQPRL >Manes.09G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1329623:1330747:-1 gene:Manes.09G005400.v8.1 transcript:Manes.09G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLMKLAPGSEENKEKEIGSKIEECYDKYFADNTKDLNLADFYQAVCQTVEDINKKLNSTQFRMPDAEKLKEVYQTHFKDEGKKLSKDEFQKILQEIIIHTGFTGFGSKDILIYLYGIPAAALFIKQRIAPKAIPNDVFIPGITSASVFLLAKLNKI >Manes.17G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3763806:3767938:-1 gene:Manes.17G006400.v8.1 transcript:Manes.17G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPAQVTKLADTMKPTFPEWYSPQTGIYSSKYPTIPLPTDPFLDVVSFIFSHNHNGQTALIDHLSGSSISYSDLFPLVKSIASALHSILGIKQGDVVLLLLPNSIYFPIIFLAVLYVGAIVTTMNPLSTLLEIKKQIVDCKACMAFSVLEKASKLQSLGIPIICVPENVNFLKEKKEFEVFYKLVYGNVDLGLRPVIRQEDTAAILYSSGTTGASKGAILTHRNFISVVELFIRFEASQYEYSSSENVYLAALPMFHVYGLSLFVIGLLSLGTSIVVMRKFDANEMVKAIDGYGVTHFPVVPPILQALTKKANSVSANCFKSLKQVSCGGAPLYGKTIQDFLEILPHVDFIQGYGMTELTAVGTRGFNTEHFQKYSSIGLLAPNMQAKVMDWITGCSLPPGCSGELWIRGPAVMKEYLNNSKATASTIDKDGWLHTGDIVYIDYDGYLHIVDRLKEIIKYKGFQIAPADLEAVLISHPDILDAAVTAAADKECGEIPVAFLVKRSGNNLTQEEVINHVAEQVAPYKKVRKVIFVESIPKSAAGKILRRELRHYLTSRL >Manes.09G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34623084:34624562:-1 gene:Manes.09G148500.v8.1 transcript:Manes.09G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIPCCENMGLKKGPWTAEEDLILVSYIQRFGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSNEEEETIIKLHQIIGNRWSAIATKLPGRTDNEIKNYWHTHLKKRVDGKQATPSTSSSADQTTKTSSNIKAVINNARSSQQPESFPTHQNIRYATEEKSQEPVATAVEAINGFSTVNDTEFWYDIFMEAGNSREMHGDLRV >Manes.15G023300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1863757:1870501:1 gene:Manes.15G023300.v8.1 transcript:Manes.15G023300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVSSNRRRNNTHYHHHPPPPYYYSTEASSLPPPPLNYFPAPTQPTSYPPSHNPYPTPPPPIHIHSNHSSHPYHASRYPSRFSDRPYYYANQTNEWPVIRPNVGTGQPIEPPPYIEHQNAKKVRNDVNVHKDTLKVEIDEQTPDNYLVSFVFDALFDGSITIFYFAKEEVNCKFVPLFPEAHLPLRIPFQKGLGQKFRQPVGTGIDLGFFELDDLSKPSPGEDVFPLVIVAETCLPVDSTDEYDDSVQNTSGHMQITQAVLEKKNSDSFQVKVVKQILWIDGVRYELRELYGEGSSASEGFNECDPGKECVICMSEPKDTAVLPCRHMCMCSECAKALRLQSNKCPICRQPIGELIEIKINNGGQ >Manes.15G023300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1863757:1870501:1 gene:Manes.15G023300.v8.1 transcript:Manes.15G023300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVSSNRRRNNTHYHHHPPPPYYYSTEASSLPPPPLNYFPAPTQPTSYPPSHNPYPTPPPPIHIHSNHSSHPYHASRYPSRFSDRPYYYANQTNEWPVIRPNVGTGQPIEPPPYIEHQNAKKVRNDVNVHKDTLKVEIDEQTPDNYLVSFVFDALFDGSITIFYFAKEEVNCKFVPLFPEAHLPLRIPFQKGLGQKFRQPVGTGIDLGFFELDDLSKPSPGEDVFPLVIVAETCLPVDSTDEYDDSVQNTSGHMQITQAVLEKKNSDSFQVKVVKQILWIDGVRYELRELYGEGSSASEGFNECDPGKECVICMSEPKDTAVLPCRHMVRAHL >Manes.15G134350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10827747:10829529:-1 gene:Manes.15G134350.v8.1 transcript:Manes.15G134350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFFGGVQCLNQSFILKLGWSLISKPSHASSTRKTVFGKSWDPMGHYDGLVVKFRQDLWLPEKALLGRKEVSWGTGTSDWNRESLRYFIPLCGDVRTRTTLCCSGFDLELDGDQRIRTFL >Manes.05G025500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2226026:2230648:-1 gene:Manes.05G025500.v8.1 transcript:Manes.05G025500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERKMEKLNVDNVIEEFEAITKGAEMVQIETLKKILEENGSAEYLQNLGLNGRTDSKSFKDCVPIVTHNDLEPYIQRIADGDHSHVLTGKPITTISLSSGTSQGKPKYLPFNDELMEDTLQIFRTSFAFRNREFPIGNGKALQFNFSSKQSKTKGGLAAGTATTNLFRSSRYKNAVQTMQLKCCSPDEVTFGSDFHQSLYCHLLCGLIFREEIQFVSSSFAHSIVLAFRTFEQVWEELCDNIQDGVLSSRVTDPSMRDAISEVLKPNFELAELIRKKCLGLSNWYGLIPELFPNVKYVYGIMTGSMEPYVKKLRHYSGEIPLLSGDYGASEGWIAANVNPKLPPELVTFAVLPNIGYFEFIPLGDSVDNIYTEPKPVGLTEVKIGEEYEIIVTNFAGLYRYRLGDVVKVMGFHNSTPELKFVCRRSLLLTINIDKITEKDLQLSVEEAAKLLAEEKLELVDFSSVADLSTDPGHYVIFWEISGEPTEDVLKECCNCLDRSFLDAGYITSRKINAIGPLELRVVHRGTFQKILDHYLGLGASVSQFKTPRCIGPTNNVVLQILSNNVVKTYRSCAF >Manes.05G025500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2225679:2230689:-1 gene:Manes.05G025500.v8.1 transcript:Manes.05G025500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERKMEKLNVDNVIEEFEAITKGAEMVQIETLKKILEENGSAEYLQNLGLNGRTDSKSFKDCVPIVTHNDLEPYIQRIADGDHSHVLTGKPITTISLSSGTSQGKPKYLPFNDELMEDTLQIFRTSFAFRNREFPIGNGKALQFNFSSKQSKTKGGLAAGTATTNLFRSSRYKNAVQTMQLKCCSPDEVTFGSDFHQSLYCHLLCGLIFREEIQFVSSSFAHSIVLAFRTFEQVWEELCDNIQDGVLSSRVTDPSMRDAISEVLKPNFELAELIRKKCLGLSNWYGLIPELFPNVKYVYGIMTGSMEPYVKKLRHYSGEIPLLSGDYGASEGWIAANVNPKLPPELVTFAVLPNIGYFEFIPLGDSVDNIYTEPKPVGLTEVKIGEEYEIIVTNFAGLYRYRLGDVVKVMGFHNSTPELKFVCRRSLLLTINIDKITEKDLQLSVEEAAKLLAEEKLELVDFSSVADLSTDPGHYVIFWEISGEPTEDVLKECCNCLDRSFLDAGYITSRKINAIGPLELRVVHRGTFQKILDHYLGLGASVSQFKTPRCIGPTNNVVLQILSNNVVKTYRSCAF >Manes.05G025500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2225679:2230689:-1 gene:Manes.05G025500.v8.1 transcript:Manes.05G025500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERKMEKLNVDNVIEEFEAITKGAEMVQIETLKKILEENGSAEYLQNLGLNGRTDSKSFKDCVPIVTHNDLEPYIQRIADGDHSHVLTGKPITTISLSSGTSQGKPKYLPFNDELMEDTLQIFRTSFAFRNREFPIGNGKALQFNFSSKQSKTKGGLAAGTATTNLFRSSRYKNAVQTMQLKCCSPDEVTFGSDFHQSLYCHLLCGLIFREEIQFVSSSFAHSIVLAFRTFEQVWEELCDNIQDGVLSSRVTDPSMRDAISEVLKPNFELAELIRKKCLGLSNWYGLIPELFPNVKYVYGIMTGSMEPYVKKLRHYSGEIPLLSGDYGASEGWIAANVNPKLPPELVTFAVLPNIGYFEFIPLGDSVDNIYTEPKPVGLTEVKIGEEYEIIVTNFAGLYRYRLGDVVKVMGFHNSTPELKFVCRRSLLLTINIDKITEKDLQLSVEEAAKLLAEEKLELVDFSSVADLSTDPGHYVIFWEISGEPTEDVLKECCNCLDRSFLDAGYITSRKINAIGPLELRVVHRGTFQKILDHYLGLGASVSQFKTPRCIGPTNNVVLQILSNNVVKTYRSCAF >Manes.05G168400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28206050:28208052:-1 gene:Manes.05G168400.v8.1 transcript:Manes.05G168400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDLNYNRNMDLNLQVIALIGFISLIFLYFVLFSRTRNIIGRTNFGQAPEPAGAWPIIGHLHLLAGSSQLLHQKLGLMADKYGPAFILRLGSRRAFVVSSSEVAEECYTTCDKALASRPSTAATKHMCYNDAVFGFAPYSPHWREMRKIVMSELLSNRRLEIIKNVQASEIDVLLRKLYDLWAKNSCHPVCIDLKHLLEDLTLNVIVKMVAGKSFVGGSDDVEARWCQKKISEFFHLLGMFVLSDAFPSLWWLDLQGLEKKMKKTGQNLDEMLEDWVSEHRRKKASGELKAEGEQDFIDVMLSLEDDGQLSGFPYDSNTSIKATCLTVIAGGSDTTSTMLTWAISLLLNHRFALEKLKEELDLHVGVERQVNETDLKNLVYLQAVVKETLRLYPVAPLSGPRQALEDCTIAGFHVPAGTRLIINAWKIHRDPSVWTNPSAFQPERFLTSHAGVDVRGQHFELIPFGSGRRSCPGMSFALHGLHLTLARLFHAFDLATPMDRPVDMSESTGVTLPKATPLEVLLSPRPAARIYCF >Manes.10G107200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25822324:25823936:-1 gene:Manes.10G107200.v8.1 transcript:Manes.10G107200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERANTIAAMLGELGSALSLKEKTWMSMSPDELTTIIQSVGDALKVGFKLDCLKPVLEKAKTVLCSFNTRRRLEALQKEKSSLETELQTVISLELDLTPKDLI >Manes.16G086900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29355333:29358078:-1 gene:Manes.16G086900.v8.1 transcript:Manes.16G086900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTTVLKDASKAAAGDVEFVKCDCCGLTEECTVAYIIRVRERYGGRWICGLCSEAVKDETRRSKRDIDTDEALERHIKFCQQFRSSSPPTNPSEDLISAVKTLLRRSLNSPRKKKGSVFPSSS >Manes.16G086900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29355349:29358072:-1 gene:Manes.16G086900.v8.1 transcript:Manes.16G086900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTTVLKDASKAAAGDVEFVKCDCCGLTEECTVAYIIRVRERYGGRWICGLCSEAVKDETRRSKRDIDTDEALERHIKFCQQFRSSSPPTNPSEDLISAVKTLLRRSLNSPRKKKGSVFPSSS >Manes.16G086900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29356330:29358097:-1 gene:Manes.16G086900.v8.1 transcript:Manes.16G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTTVLKDASKAAAGDVEFVKCDCCGLTEECTVAYIIRVRERYGGRWICGLCSEAVKDETRRSKRDIDTDEALERHIKFCQQFRSSSPPTNPSEDLISAVKTLLRRSLNSPRKKKGSVFPSSS >Manes.16G086900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29355333:29358078:-1 gene:Manes.16G086900.v8.1 transcript:Manes.16G086900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTTVLKDASKAAAGDVEFVKCDCCGLTEECTVAYIIRVRERYGGRWICGLCSEAVKDETRRSKRDIDTDEALERHIKFCQQFRSSSPPTNPSEDLISAVKTLLRRSLNSPRKKKGSVFPSSS >Manes.16G086900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29356314:29358097:-1 gene:Manes.16G086900.v8.1 transcript:Manes.16G086900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTTVLKDASKAAAGDVEFVKCDCCGLTEECTVAYIIRVRERYGGRWICGLCSEAVKDETRRSKRDIDTDEALERHIKFCQQFRSSSPPTNPSEDLISAVKTLLRRSLNSPRKKKGSVFPSSS >Manes.14G073900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6192770:6200815:1 gene:Manes.14G073900.v8.1 transcript:Manes.14G073900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKAEVLEAVLKETVDLENIPIEEVFENLRCSKDGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEHDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQDREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSEGKMHRVSKGAPEQILNLAHNRSDIERRVHAVIDKFAERGLRSLAVAYQEVPERRKESLGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGHDKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTDFFPRIFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGILLMVAFLAAQLVATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTTQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVIRLKGIDIDTIQQAYTV >Manes.14G073900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6192770:6200815:1 gene:Manes.14G073900.v8.1 transcript:Manes.14G073900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKAEVLEAVLKETVDLENIPIEEVFENLRCSKDGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEHDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQDREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSEGKMHRVSKGAPEQILNLAHNRSDIERRVHAVIDKFAERGLRSLAVAYQEVPERRKESLGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGHDKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTDFFPRIFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGILLMVAFLAAQLVATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTTQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVIRLKGIDIDTIQQAYTV >Manes.14G073900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6192770:6200815:1 gene:Manes.14G073900.v8.1 transcript:Manes.14G073900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVQLHVGTFLQIRIFYGYFMQNGRIERACELLLAHSLLLLPACSRREEKMGDKAEVLEAVLKETVDLENIPIEEVFENLRCSKDGLTTEAAEERLTIFGHNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEHDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIVVMYPIQDREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSEGKMHRVSKGAPEQILNLAHNRSDIERRVHAVIDKFAERGLRSLAVAYQEVPERRKESLGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGHDKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTDFFPRIFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGILLMVAFLAAQLVATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTTQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVIRLKGIDIDTIQQAYTV >Manes.06G105800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23855970:23857771:1 gene:Manes.06G105800.v8.1 transcript:Manes.06G105800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPFADNNGGHGQVMDNFQNGGFLNLPHNQSNSIGTMMGSNQGQGNMSLGFQEMKPINFVVPDEVSCINANQEYHKKVGVDKNRASSSMGRTWRGRKKNNVVKGQWTIEEDRLLTQLVEQYGVRKWSHIAQMLPGRIGKQCRERWHNHLRPDIKKDMWSEDEDKILIHAHAEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRRCRSKYPKGSLLQEYIKSLNLGSVPAAAGRFLGKSSDARAVNNISMKAPNLQPQVSEISQNNNRLFPNYYDFNEVPAFDFDERMIQEGCSFGSFLDEMANGDRGFDEKSFEMNVAMEEVSPFMDFGEKKELDLVEMISQARM >Manes.17G108300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31496719:31499604:-1 gene:Manes.17G108300.v8.1 transcript:Manes.17G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSVPLQITYETFGWIAFFSWSISFYPQVILNFRRKRVVGLNFDFVVLNLTKHSSYLIYNASLYFSSAIQKQYFDKYGYGEMIPVAANDVAFSIHAVLLTAITLFQIVIYDRGNQKVSKISIAIVCAVWLVAAVCFFIALPSHSWLWLISIFNSIQVFMTVIKYIPQAVMNFMRKSTDGFCIGNILLDFLGGVTNYAQMAVQSIDQNSWVNFYGNIGKTLLSLISVFFDLVFMCQHFILYPGNKAHISTKPNKEGAEPLIKSPDDPTSENV >Manes.09G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29780537:29782560:-1 gene:Manes.09G099500.v8.1 transcript:Manes.09G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGSTTFCYKVNFIDRRLPCSCINSFKKLPHLKDNRLFLPTTSATPLKLHIEGRTHESCALFTKTSRLLSLRACQVTSEDSEEMLSGESIILDEQALMRDLQIAIEEENYAQAAKLRDSIRILQEDSKASVLAANARFYNAFRNGDLASMQALWAKGDNVCCVHPGASGVIGYDDVMESWELVWMNYDFPLDIELKNAQVHFRGDVGYITCLEFVRTKGSSWGAQFVTNVFERIDGQWFICIHHASPVDL >Manes.13G076999.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:11965085:11965498:1 gene:Manes.13G076999.v8.1 transcript:Manes.13G076999.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPYYPRPSPINLQYEDVSYNPVQVDGSSIIEWNIDGLSDYQIKNVLQYMTMHATACRAKGNDDPAAARALISGFSGQLKGWWDLYVSNEGKAQIFNMVKQEGERQVPDVVNTLLYTIGLHFIGSVSMFTDRVQE >Manes.05G067701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5611578:5611724:-1 gene:Manes.05G067701.v8.1 transcript:Manes.05G067701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVCSEVSEVEGVDLTGLLMALVIALVLMVICNPPPRRTYVMHRLA >Manes.16G054458.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18265149:18269007:-1 gene:Manes.16G054458.v8.1 transcript:Manes.16G054458.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARRASMRQLEEDEFRRRTGSRFDVGGSSRQVLGRSATTRERGREAQRYAEVSTPASRLAATEVEIERSRAQQKQPKLKSKWLKAQKEKMLKAFGNFVIHNRLPFSIVESPWTKPLLRTAAEIGPNVSPPSAYEIAEVYLKNEYNEMKKYIASFDGIWKERGVTIMCDGWSGPTRMSIVNFLVYSNRGTVFHKSVDASNVEHKDGEYYFKIMKEVVEEIGPQKVVQVVTDNEAAIKLGGKKLMEKFPNLYWTACSAHCIGKKKSVKKIIDQAKVITQFIYNHNWVVNYMKKFTDNRDIIRPGITRFATNFIALESIVRYRVGLRNMFESEQWIMSKYGQATSGPAHEAKKIVLGLGNEGRNFWERAQQIMKVQEPLLKVLRLVDGDDKPTMGFIYEAMERAKLAIQKNCRSYLEYWRIIDHRWNFQLHHDLHAAGYFLNPQYQYGPHDIGNDNEIMLGLKNVIQRLEGDLVNQGKTLNQVSNN >Manes.01G265300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41997712:42000746:-1 gene:Manes.01G265300.v8.1 transcript:Manes.01G265300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTANPSSSGGSGGGGGGSSSSSSGGSGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVSHIFALQQQVVNLQAELSYLQGHLAALELPSPPPPPPPPPTLVTPPSRSIADLPSASSIMPAATYDLSSLFDPMTQPSWPMQQRQMDIRQFGGSMAGGSSSATSGGGDLQSLARELLHRHGSPPQGSMSCTGSLSSPSISKRD >Manes.05G092100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVWFYVLHEQMGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKQEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNEDKMGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNEDKMGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVWFYVLHEQMGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNEDKMGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNEDKMGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKQEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKQEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNEDKMGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKQEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.05G092100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8457424:8462649:1 gene:Manes.05G092100.v8.1 transcript:Manes.05G092100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNEDKMGETAASDRALEVSVSFGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIAARKAEQMDQEKQMEHDSLGSKDQNGGDPTGNSCGTDSESHIANGQTSAEGTGQETKLDSVLGSGHVGVVDEDAAINAEVQGSSIESVEEEPTIRLDGPTLNKPEEEVALVKEEETLDTESQEIKDSPKKLDKETERIPVTKEENGKLDHLKESQKTSPMSKIRDMARIKKKPASPVAKSPQISTPKAPKTMPSSGTLSTSRPSIKKVTGSSLPKSKSPSIGERKKVAPKSFHLSLSLDAPKSDPPAHASTPMTTNRKSLIMEKMKDKDIVKRAFKTFQNNFNQLKASAEGRYLEAKQVPTKGTEVKVSNSVTPRKEYAGSFKAANMDKKTAKAAPSSFGLKSDERAGRRKEFSKKLEDKSKAKEAESTRDQTKSKQEKEVKTEKLRQSLNFKATPMPVFYREQKVSKGPLDKEGSKTLGI >Manes.16G026100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2748130:2752344:-1 gene:Manes.16G026100.v8.1 transcript:Manes.16G026100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIAKILLRSILKMVNSVMGILGIAMVLYGFWMVRVWQRDIEEASSSAYGYDSTAPWFIYSFLSIGITLCLITCIGHVSADSSNGFCLSCYTVIISVLLLLEIAFAADILLNSEWEKDLPEDPTGRFHDFKEFVESNFDVFKWIGLLIVLAQGFSMLLAMALRALGLNYGSNYDSDNECPPARLPLIDHHLQPPSFVIGNPHFTSDNGTWNTNK >Manes.02G060400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4693071:4693406:-1 gene:Manes.02G060400.v8.1 transcript:Manes.02G060400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLTTMGEMESSPLAASSLLRFIFFLRFFSSGSHQEATLNCRIFFLLLFKCLVFSNYPYLNAAFFLPPTYVFGGTVV >Manes.02G060400.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4693176:4693406:-1 gene:Manes.02G060400.v8.1 transcript:Manes.02G060400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLTTMGEMESSPLAASSLLRFIFFLRFFSSGSHQEATLNCRIFFLLLFKCLVFSNYPYLNAACEFTSIHVVLC >Manes.13G154501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36819801:36820518:-1 gene:Manes.13G154501.v8.1 transcript:Manes.13G154501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSFSWGYPLSSGCRKRSRKATGDAMLEIAAASKMRAAAIMKIEDCFSISRCIKVLDELQGVNQRIYFFALALFENPNSRETIISLKKERRLPWLQGKCSA >Manes.08G145200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38697774:38701960:1 gene:Manes.08G145200.v8.1 transcript:Manes.08G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nCBP_me2 MEITEKKDTENNTNNSNNNAQTTLDSASLENIDKEAEERQARDLKAGLHPLKHKFVFWYTRRTPGVRTQTSYEDNIKKIVEFSTVEGFWVCYCHLARPSSLPSPTDLHLFKEGIRPLWEDSANSNGGKWIIRFKKVVSGRFWEDMVLALVGDQLDYGDNICGAVLSIRFNEDILSVWNRNSSDHQAVMALRDSIKRHLKLPHSYVMEYKPHDASLRDNSSYRNTWLRG >Manes.08G145200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38697774:38701960:1 gene:Manes.08G145200.v8.1 transcript:Manes.08G145200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nCBP_me2 MEITEKKDTENNTNNSNNNAQTTLDSASLENIDKEAEERQARDLKAGLHPLKHKFVFWYTRRTPGVRTQTSYEDNIKKIVEFSTVEGFWVCYCHLARPSSLPSPTDLHLFKEGIRPLWEDSANSNGGKWIIRFKKVVSGRFWEDMVLALVGDQLDYGDNICGAVLSIRFNEDILSVWNRNSSDHQVCCDGSKGFDQKALKASPQLRYGIQAP >Manes.09G103500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30307854:30310311:-1 gene:Manes.09G103500.v8.1 transcript:Manes.09G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAYRHFEPVMKCSAEGRSNQTVAADLDGTLLVSTSSFPYFLLVALEAGSILRALILLASVPFVYFVYLFVSEAMAIKALVFITFAGLKIRDVELVSRSVLPKFYADDVHPETWRVFNSFGKRYIVTANPRIMVEPFVKTFLGADKVLGTELEVAKSGRATGFVKKPGVLVGKHKRDAILKEFGTNLPDLGLGDRETDHDFMSICKEGYMVPASKCEPLPRNKLLSPVIFHEGRLVQRPTPLVALLTFLWMPIGIILSILRVYLNIPLPERLAWYNYKILGIKVTVKGTPPPPPSKGQSGVLFVCNHRTVLDPVVTAVALRRKISCVTYSISKFTEIISPIKAVALSREREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQTVFHGTTVRGHKLLDPYFVFMNPMPTYEITFLNQLPTELTCKGGKSAIEVANYIQRVLAGTLGFECTNLTRKDKYAALAGTDGRVPSKKEKENQNQKEKA >Manes.07G009900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1128861:1131211:-1 gene:Manes.07G009900.v8.1 transcript:Manes.07G009900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCVTGASGYITSWLVKLLLQKGYIIKATVQTQVNDPKKTDHLVALDGAKERLHLFKANLLEERSFDAVVDGCEAVFHTASPVTFSVTHPQTEIIDPAVKGTLNVLKSCTKVQSIKRVIITSSLATMVFSGKPMTPDMVVDETWYSNPEFCRTLKYWYLLAKTLAEEAAWKFAKENSIDLVTLNPGYVIGPLLQPTLNESVEMILNLVNGAKTYSAAYYRSIDVRDVAVAHVQALEIPSASGRFCLVANTLHFSELLKIIHQLYPTLRLPEECDGDDMALPQYIVSQEKAKTLGLSFIPLELTLRDTFESLKAKGFLSI >Manes.06G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23669867:23677897:1 gene:Manes.06G104200.v8.1 transcript:Manes.06G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSIWGMAQAHLSPFCCLDFSAKKPTNFSFVFGWGYTSLHDYRSNKNKMPSSFLSKNRKNSLFHRCRCSNSDNYDGNISGAASSSLAWDWNRWSRHFSEVEQAESFASVLKFQLEDAIEKEDFKEAAKLKMAIAEATSKDSVAEIMTELQNAIDEERYHDASRLCKYTGSGLVGWWVGYSTDSDDPFGRLVQITPGVGRFVGRSYSPRQLVTASPGTPLFEIFVVKDADERYVMQVVRLQRAKGASTNSTSSHSKSGTSPSTSEVEKASAVDVKQNEIKPEESEEQGINIEGATEEGIKSVINFLKDKIPGLKVKVMNINAAEEVIEDNDSVKQLMQEDEEQATSSENSEDEIDKLEEIQPDGVSLEGDSDPSEDAKDLDMKLFIGGVVHSDEDTPNKDDYVRLPAEINDMEKDSFVLHIPERSLDYDSRQSKASRIKVAAIAAKGVSELMPPDVAKAFWGADKVSSKVSRDVREIVKLAVSQAQKQNRLCKYTNFSRITTSNNNFDPFDCLYVGAFGPYGTEIVQLRRKFGHWNGVDEKSSDVEFFEYVEAVKLTGDLNVPAGQVTFRAKIGKGSRNSNRGMYPDELGVVASYKGQGRIAEFGFRNPQWVDGELLQLNGKGIGPYVKGADLGFLYVVPEQSFLVLFNRLKLPD >Manes.09G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1723700:1726318:-1 gene:Manes.09G007100.v8.1 transcript:Manes.09G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPCNEKGGLKKGPWTPEEDQKLVAYIEEHSHGSWQALPAKAGLRRCGKSCRLRWINYLRPDIKRGKFSSQEEQTIIQLHALLGNKWSAIATHLPRRTDNEIKNYWNTHLKKRLDRMGIDPMTHKPKADAFGSGSSQYKDAANLSHAAQWESARLEAEARLVRESKRPLHKQFGFSSSAASASSLHLPKFSPPSKATAAALSVRPKCLDVLRAWQGMVSGGGLESPTSTLNFPENALLTPVVASIPQLQFPTCNITCKGGIDEDASHSENEWKSFEKSNQMAHQVKETIDESNILHEMTMYISENAWVYDSFRATASDIMGNIVEGVSDIMAYNNGEQNSSMAGENVTTTSQSCCANLEDMQGNYWNSLLLNLVDGPVPFGSPVL >Manes.09G007100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1723700:1726318:-1 gene:Manes.09G007100.v8.1 transcript:Manes.09G007100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHTQGASNNFSFSSNHLQDFHFLFLFTYVGLRRCGKSCRLRWINYLRPDIKRGKFSSQEEQTIIQLHALLGNKWSAIATHLPRRTDNEIKNYWNTHLKKRLDRMGIDPMTHKPKADAFGSGSSQYKDAANLSHAAQWESARLEAEARLVRESKRPLHKQFGFSSSAASASSLHLPKFSPPSKATAAALSVRPKCLDVLRAWQGMVSGGGLESPTSTLNFPENALLTPVVASIPQLQFPTCNITCKGGIDEDASHSENEWKSFEKSNQMAHQVKETIDESNILHEMTMYISENAWVYDSFRATASDIMGNIVEGVSDIMAYNNGEQNSSMAGENVTTTSQSCCANLEDMQGNYWNSLLLNLVDGPVPFGSPVL >Manes.06G110300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24241822:24245190:-1 gene:Manes.06G110300.v8.1 transcript:Manes.06G110300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALAHSPAKFLPPVTAIPQFPDDPKTLILQQCKTTKDLNQVHAHLLKTRRYLDPTVTENLLESAAILLPTTTMDYALSIFDKIDHPDSSAYNVMIRAFTMKQFPYKALLLFKQMLENAVPFDEFTFPCTLKSCSRLRGKKEGKQIHAQIVKCGFGSNSVVLNTLIHMYANCGEVEIARKVFDGMPERDIFAWNSLFSGYVKSGYYEDAVKLFYKMRTSGVRFNEITLVSVLTACGRLADIELGEWIAEYTRANGLDVNVNLATALLDMYAKCGEVDKARRLFDQMGRRDVVAWSAMISGYSQARRCKEALQLFSEMQIANLDPNEVTMVSVLSCCAVLGALETGKWVHLYIKKKRMKLTVTLGTALIDLYAKCGQINTATEVFQMMPSKNVYSWTALIQGLANNGQGKKALEFYQLMRERNVKPNDVTFIGVLSACSHVGLVEEGHDFFNSMGKEFGIEPRMEHYGCMVDILGRAALIKEAYQFIEKMPIQPNAVIWRTLLASCRAHKNVEIGEKAVKQLVSLEPMHSGDYILLSNIYASVGRWEDAMRTRSRMKEKGIKKTPGCSSIELDGEIYEFLAEENAYPIKEVYCATEDMIKQIKAAGYVPNTADARIDAEEDDKEAAVSHHSEKLAIAFGLIKTRPGTTIRISKNLRVCTDCHNAAKIISKVYNREIVLRDRNRFHHFKEGSCSCNDYW >Manes.06G110300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24241393:24245190:-1 gene:Manes.06G110300.v8.1 transcript:Manes.06G110300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALAHSPAKFLPPVTAIPQFPDDPKTLILQQCKTTKDLNQVHAHLLKTRRYLDPTVTENLLESAAILLPTTTMDYALSIFDKIDHPDSSAYNVMIRAFTMKQFPYKALLLFKQMLENAVPFDEFTFPCTLKSCSRLRGKKEGKQIHAQIVKCGFGSNSVVLNTLIHMYANCGEVEIARKVFDGMPERDIFAWNSLFSGYVKSGYYEDAVKLFYKMRTSGVRFNEITLVSVLTACGRLADIELGEWIAEYTRANGLDVNVNLATALLDMYAKCGEVDKARRLFDQMGRRDVVAWSAMISGYSQARRCKEALQLFSEMQIANLDPNEVTMVSVLSCCAVLGALETGKWVHLYIKKKRMKLTVTLGTALIDLYAKCGQINTATEVFQMMPSKNVYSWTALIQGLANNGQGKKALEFYQLMRERNVKPNDVTFIGVLSACSHVGLVEEGHDFFNSMGKEFGIEPRMEHYGCMVDILGRAALIKEAYQFIEKMPIQPNAVIWRTLLASCRAHKNVEIGEKAVKQLVSLEPMHSGDYILLSNIYASVGRWEDAMRTRSRMKEKGIKKTPGCSSIELDGEIYEFLAEENAYPIKEVYCATEDMIKQIKAAGYVPNTADARIDAEEDDKEAAVSHHSEKLAIAFGLIKTRPGTTIRISKNLRVCTDCHNAAKIISKVYNREIVLRDRNRFHHFKEGSCSCNDYW >Manes.02G222700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36833120:36838695:-1 gene:Manes.02G222700.v8.1 transcript:Manes.02G222700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSLVSAGGHRFSTRPCLRSTVRRRGGRVRAALVEARPAATPPIRVITLAKTGDRADDLQAEARAMARAANSSFYTPELLALKYGSQPIKVLRRTLKILISLGSFGLKLLLDQRTGVFDQNRRKRAVELRRIFTELGPTFVKVGQGLSTRPDICPSEYLEELSALQDSLPTFPDAVAFSCIEKELGLPLDAIFSSISPSPIAAASLGQVYKAQLKHSGQVVAVKVQRPGIEEIIGLDFYLVRGLGVLANKYVDIITTDVVALIDEFACRVYQELNYVQEGQNARRFRMLYADRDDILVPDIYWNYTSAKVLTMEWVDGVKLSEQDAIERQGLKVLDLVNAGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFIDFGMMSETPEEARSAIIGHVVHMVNRDYEAMARDYYALNFLSPDVDVSPIIPALQNFFDDALNYTVSELNFKTLVDGLGAVFYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALVELLFKDGKFRWSRLENLLVEGSKDRDFSAEDALQPILKLLLAPDGEELRHLVVKESVRVTEAVVLGGILDTYNSVPNYMRIIFNGNVTGLTMVNDTEIRSMIELRNQVFRIWSLLRSSEDFDPTLLQPILQVLQQPEGRSLGGRVIGGITQRLAARLLKQVLRTPVTVPSSTS >Manes.12G074700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:8510754:8511128:-1 gene:Manes.12G074700.v8.1 transcript:Manes.12G074700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLTSNQVVSHDEINHHHEQRMELEASGRVESSRKRKLVKFKLREEDKKENGSTGGGMRIRVVVTKEELKQILSQRNKDLKLSCVEQLARAVRLREMMRIHEAVGSSNGSWKPALESIPEDH >Manes.09G042300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7688845:7690454:1 gene:Manes.09G042300.v8.1 transcript:Manes.09G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNPSSFPQIERKSSFPPHSPFVNTMIFEIENSGAAVAAPPAPATKQAFKSRKIHIHPPPPSSASLNKGNRPLHRHTRSASTDSFPSGRFAELAGGTTAECASICCCCPYTLVNLVYLTVYKVPAGLCRRALRRKRMKELIKKGLLPVRAKRCRCVFDDSDILIHPSTGLHDLLDVRSDSEAEEEEEAIEKLEKEMWENFYSTGFWRSPSQK >Manes.01G215600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38499745:38509882:1 gene:Manes.01G215600.v8.1 transcript:Manes.01G215600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGRRNATEELGIGFGVGREMEDTELEEGEACSDHNNKDDGYDASMDPDIALSYIGEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPAYQRSPVWSHPRTPPKVQHCNSPRSPNNSQLEGSRHGSVPSSTVPQSVRLEPAFSSAASLTASKASSSKVVSVKQEADMSSNNQAKEHTLRYESVNRKSTNLPDQKLLKVRIKMGSDSLPTQKNAAIYSGLGLDVSPSSSLDDSPSGSEGMSHGPQDSPFESPAHILRMTTSFPVHGGVLLSPLPGELIHLVEKEKLHKGSGLLHARTVGIENYGSIVNGSGSVKGDGKILGEKKMKSLERNEISSESKRESKKGSRSDIDILPKKEMDLENLACEELVSNTLKLPLLSNSYSVTDAAKGRIRESNISREAYKVVVRDKGFSDLTKEEPSGLSYTHEDAWVENPKATSAGKLWDDNKASSLDSACVYPRKDGNRKGETPYGSVRSDSNITKGMKAVSCELMDTPKKKADQKVTSHVGTKLPSVKERSSSEGKKKLKDSLTGGSSLVAKKKKSAYADDCSKGELEDSKSQKSAVKSGDRYRDFFGDIELDQEEKQMSPLEIGFEDRQKDSDMGEKGTCFSNNALKERPIGKKINKLSTSELHPKATLRVAPGSGNGPISDVGPASTAPAATEDNWVCCDKCQKWRLLPLGKNPNDLPEKWLCSMLNWLPGMNRCSFTEEETTNAVMALNQIPAPVSQNNLQINPGGVKSKATLFGDQLDQNHQDFSLHVMPDAGKKKAYRDASAPLSNPIKKSIQSSLMNGSLNYENQPLVSEPDFLKVSKSDDLAAERYKHKQKEKHKVSDNCSDGGDTTQSKMKGKRDLEQDILRASKKMKTEGLPQDWMSDHHVTIEKVGPSSSNRLPSMPSGKNMPKTNSRTSSMDQIQVSARKPKDEIPISMDDVTMDMGKQVDREVGKKRKVKGSCDGQANQGTLSNTGHNLQAKEEFSENEFRKEKKARISRSDGKESSASKGNSKSDKKSSHRKNRQPGKDVGSTVSQRSLDGVDSLKKDSGSLHPSVAATSSSSKVSGSHKTKVNFLETKGSPVESVSSSPLRVSKPGGLRRCSDGEDDGGSDRSGTAKDKILDVARHGSLESSVLDFQEKDFTRVSGGKAKHLIVPSPDVTNHHPANCAADYLGQDTQYPGKTTSADRRHENDRQHENHYHVNGSRPRKCGKGSSSRSKDKNRNPNSELDSKVTDSELINVQAPSCEKKPTDGKVKIEEKLGVRSDENEDIYVAKKDSTGLLSSDSSKKGSQSKFRGHNGPDIKARAVPSHDATSTPKQSLLLDCEAVSGRGKSPSLPPSGGGQNDTSSHCPLPVSGSQKGNIAKISVINASESDNASRTHKQIRKIDHTNGIHHNSSRDPISNGHRGRDLDAPSPVKRDSSSQAATNALKEAKNLKHLADRLKNAGSNLESTKLYFEAALKFLHGASLLETCSSENAKTGEMIQSMQVYSSTAKLCEFCAHEYEKSKDMAAASLAYKCMEVAYMRVIYSSHTSANKDRQELQTALQIVPPGESPSSSASDVDNLNHPATVDKVFLAKGISSPQVTGSHVVAARNRPNFVRLLNFAQDVNFAMEASRKSRIAFAAANASLGETQRREGISSIKTALDFNFQDVEGLLRLVRLAIEAISR >Manes.12G018400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1656629:1659903:1 gene:Manes.12G018400.v8.1 transcript:Manes.12G018400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSACINHLAAQPLWLLLISSLGFLSLLNLSLSFLNWVYATLLRPPKNLSEKYGSWALITGATDGIGKAFAYQLVKQGLNLILVSRNPSKLKTVSSEIQAEFPETKIKTVVFDFSGEIVSGIHLIKDAIKGLDVGVLINNVGVTYPEARFFHEVDEQIWMGIVRVNLEGTTRVTRAVLPEMLRRKRGAIVNIGSGAAIVVPSHPLFTSYAATKAYVHQLSRCLYVEYKSCGIDVQCQVPLYVATEMTSKVASIKNSSLFIPSAEGYAEAAIHKIGYEERCTPYWPHSIQWFFCRLLPDSLLDSWRLSIGIRRRGTSFLE >Manes.06G011901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1870570:1871704:-1 gene:Manes.06G011901.v8.1 transcript:Manes.06G011901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEYVAFGEFSTKSDVFSFGVILLEIVTGKKNNSYCQEDSYLNMAGKIWHLWKEERALEMVDSSLNESCSAHEVLRCVQIGLLCVQEDAFERPSMSAVVIMLNSEISLPSPRQPPFTFRKPSNSYSPLVAQKEFYSVDEETITEVVCR >Manes.04G017700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2192612:2193539:-1 gene:Manes.04G017700.v8.1 transcript:Manes.04G017700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVVIALALMMVGCCFAGNKKLDLVVGEDEKWSSRHLVGNQQEVNKHQDNDNCCDHHSIPRGGYGGGGVGDNNEGGKV >Manes.04G017700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2192612:2193539:-1 gene:Manes.04G017700.v8.1 transcript:Manes.04G017700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVVIALALMMVGCCFAGNKKLDLVVGEDEKWSSRHLVGNQQEVNKHQDNDNCCDHHSIPRGGYGGGGVGDNNEGGKV >Manes.04G017700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2192612:2193539:-1 gene:Manes.04G017700.v8.1 transcript:Manes.04G017700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVVIALALMMVGCCFAGNKKLDLVVGEDEKWSSRHLVGNQQEVNKHQDNDNCCDHHSIPRGGYGGGGVGDNNEGGKV >Manes.08G070900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13620453:13623186:-1 gene:Manes.08G070900.v8.1 transcript:Manes.08G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQELAKGVETLLRQSDPNSVTSLDGVVQQLEAKLGLNLSHRAGFIRDQINLLLRSHPTTVTTTVTPTAVTQQPPPPLHPQQQQHQQPQPQPHALHLTQKDQFALQHHPQFLQMPAQFAFHPHQHQVFQQDLNFRQQPELSHLPPAQQQQQQQQRQQQQRQQPPPLPKPEVFSQNVTPVPPELSKESAPVGAKRRGGPGGLNKVCGVSPELQAIVGEPALPRTEIVKQLWAYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIPLEPTKEAGGQAKRVKVDIESTNETTEPCASVVVISEALAEFLGTGRRELSQLEASRLVWEYIKVNQLEDPLNAMVILCDAKLRELLGCDSISAVGVDEMLTRHHLFKKS >Manes.08G070900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13620453:13623186:-1 gene:Manes.08G070900.v8.1 transcript:Manes.08G070900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQELAKGVETLLRQSDPNSVTSLDGVVQQLEAKLGLNLSHRAGFIRDQINLLLRSHPTTVTTTVTPTAVTQQPPPPLHPQQQQHQQPQPQPHALHLTQKDQFALQHHPQFLQMPAQFAFHPHQHQVFQQDLNFRQQPELSHLPPAQQQQQQQQRQQQQRQQPPPLPKPEVFSQNVTPVPPELSKEREANRVMVLLLYFSCSAPVGAKRRGGPGGLNKVCGVSPELQAIVGEPALPRTEIVKQLWAYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIPLEPTKEAGGQAKRVKVDIESTNETTEPCASVVVISEALAEFLGTGRRELSQLEASRLVWEYIKVNQLEDPLNAMVILCDAKLRELLGCDSISAVGVDEMLTRHHLFKKS >Manes.10G100025.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24910017:24910628:-1 gene:Manes.10G100025.v8.1 transcript:Manes.10G100025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKCLIFAALFVALSFSRMDVGLAARHLQQLPPLPSVPNLPKPSLPPMPSVPTLPQPTFPTNPSLPKPTLPPLPSLPSVPKVALPPLPSIPSIPNIPTTIPSIPFLSPPPGN >Manes.11G060036.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8207191:8208947:1 gene:Manes.11G060036.v8.1 transcript:Manes.11G060036.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCGLGRRFPYWLLQNNGGLEILNLMNNSFTGQLEIGAKMLPNTTYLNLARNHFEGDLLFSAGDDCKLIALDLSHNNFSGEVPERLLSNCISLGYLRLSHNNFHGQIALFNLTRIDDLDLNDNQFQGILSSLFTNFSHQSYGPKVLHLSNNRLHGEIPHWIGNFTGLGYLNLRDNLFQGQIPCQLLSTRIEYLDLSYNSFSGLLPSCFNGSSLQQINLQGNRFSGSIPEALLNISTLNLLDLSDNELSGTILNKSDENLSGLLVLLLRGNHFNGFIPNWLCHLNDVNLLDLSRNSFSGSIPHCLYNLSFAREGEGNLYNLTFSDTVFKWEIEYGGRSETYFDNRVYFDAEVDEESEFVTKYRAHTYKNKALNLMSGLDLSDNNLTGEIPDEFGVLFQIHALNLSHNQLTGSIPRSFSNLSQIESLDLSYNILSGQIPVELIDLNFLEVFSVAHNNLSGRIPDMKGQFSTFESKSYEGNLFLCGTQVGRKCHDDNDEPSPSQMESPKSPQEASGNWYEIDREIFLVSFSVTFIIFFLSVITILYVNSYWQQRLIYHTRQYLFSCYYFLYDNLVK >Manes.15G102232.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8030824:8031252:-1 gene:Manes.15G102232.v8.1 transcript:Manes.15G102232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVISWNCQGAASPTFQRAFLEYKRLFKPDIFCNMEPRVSGTHADAICGRLGFDNWIRVESLGFSGSIWIFWTEYNFSIQFVESHSQFVACKVLPGVSWNLCFIYASPYSPCRRILWTDLKLDSVDLSDEWMALGDFNCVPF >Manes.12G098200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24711907:24715295:1 gene:Manes.12G098200.v8.1 transcript:Manes.12G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFKVKEKQRELAENANGGVPFKKQTAGELRLHKDISELNLPKSCTISFSNGKDDLMNFEVSIVPDEGYYLGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKIFESNVRRAMAGGYVGQTFFPRCV >Manes.12G063600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6715204:6719350:1 gene:Manes.12G063600.v8.1 transcript:Manes.12G063600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGQPLPKFGEWDVNDPASAEGFTVIFNKARNEKKSGGKPESPARDSPGFKSGNASATLGKPQPKKWFCCMQSAQAE >Manes.11G016500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1715090:1719301:1 gene:Manes.11G016500.v8.1 transcript:Manes.11G016500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIRCACFIARPYSVTSPSSDLVISGNSHLTGKSGSLLFKVEKFASSSVNASSVPSLFRHELTRGESVHLRPAVQGEVVQVSPICEKFFEELSAHQGILKCRSSALAFFVTNALMWIAPFEALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRRGELQRLNEQLRQINAALRRQAKIESYAPSLSYAPVGSRIAENEVIVDPRKQELISRLKTGKNFLRNQDPEKAFVEFKTALELAQSLKDPIEEKKAARGLGASLQRQGKYREAIKYHSLVLAISEREGESSGNTEAYGAIADCYTELGDLERAGKFYDKYIARLETD >Manes.11G016500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1715090:1719301:1 gene:Manes.11G016500.v8.1 transcript:Manes.11G016500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGILKCRSSALAFFVTNALMWIAPFEALAETCEADNSFFNMPLLLFVALIGATVGGLLARQRRGELQRLNEQLRQINAALRRQAKIESYAPSLSYAPVGSRIAENEVIVDPRKQELISRLKTGKNFLRNQDPEKAFVEFKTALELAQSLKDPIEEKKAARGLGASLQRQGKYREAIKYHSLVLAISEREGESSGNTEAYGAIADCYTELGDLERAGKFYDKYIARLETD >Manes.07G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33305061:33308082:1 gene:Manes.07G131100.v8.1 transcript:Manes.07G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFCSSQKCHSISNLAVVAFFLISFFGFLLSNMENSKLIAFKFSKPRFQESIEGKEEKELPFLEKEEAVPLESCDIFIGEWVLDNKTHPLYKEDECEFLSEWVTCIRNGRKDSLYQNWRWQPRDCSLPRFEVKLLLEKLRGRRLMFVGDSIHFNQWQSLVCLVQSSIPPEKKRMDYSTYSFVFKIEEYNATIESYWAPFLVESNVDPPTMRDGKSDAVIMPESISKHGDNWKNVDFLIFNTYTWWLKYPTIKVLRGSFDEGDTDYDEIERHIAYERVMRTWAKWVEENVDPKRTSLFFSSMFPQHLRSSDWNNPDGINCAKETMPIINMSTSLGVSTDRKVFAVAANVTKSMKIPVHFLNITNLSEYRKDAHTSMYTSREGKLLTPEQKLNTAMYADCLHWCLPGVPDTWNELLYARIIAES >Manes.16G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32412585:32415972:1 gene:Manes.16G121600.v8.1 transcript:Manes.16G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHGTLYVTIFEVDNLQNGCGFSFFTKVKGAGQQNCGKKFLSKVKRMVLCRPEIVGSRLYATVDLDKARSGRTRMIDNQPYNPQWYESFNIYCAHLISNVIFTVKDDQVVGATLIGRAYIPVEDVIKGYIVDRWVDILDEDHNPIGSKIHVKLHFVSCTHDSNWSKGIQNPRFDGVPYTFFNQRQGCRVTLYQDAHVPNNFNVKIPIEGKTYEAHRCWEDIFDAITNAKHLIYITGWSVYTEITLVRDPQRPKPGGDIKLGELLKKKADEGVKVLVLIWDDRTSVQELKKDGLMATHDEETEKYFRNTKVHCFLCPRNPDNGRSIIQGFEISTMFTHHQKTVVVDCEMPDGTSQKRRIVSFVGGIDLCDGRYDTQDHPLFKTLDTIHHDDFHQPNFPGSSIKKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWKKQVGERFLIPQQQLEVYTIRPLPVLQSSDPDAWNVQLFRSIDGGAVVGFPEKPEDVAAAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKSKDIKVEDINALHLIPKELSLKIASKIDAGERFTVYIVIPMWPEGIPETDSVQAILDWQRRTMEMMYSDIAEALKRNGLKHHPREYLSFFCLGNRETMKLGEYSHPEAPDPDSDYSRAQQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPHHLATTQPARGQIYSFRLALWYEHLGFIDPSFVHPESVECIQLVNKTADELWEIYASEAFDQDFIGHLLRYPIEVTRDGAVTTMPGTEHFPDTKAPILGTKSEYLPPILTT >Manes.16G121600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32412585:32415972:1 gene:Manes.16G121600.v8.1 transcript:Manes.16G121600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHGTLYVTIFEVDNLQNGCGFSFFTKVKIVGSRLYATVDLDKARSGRTRMIDNQPYNPQWYESFNIYCAHLISNVIFTVKDDQVVGATLIGRAYIPVEDVIKGYIVDRWVDILDEDHNPIGSKIHVKLHFVSCTHDSNWSKGIQNPRFDGVPYTFFNQRQGCRVTLYQDAHVPNNFNVKIPIEGKTYEAHRCWEDIFDAITNAKHLIYITGWSVYTEITLVRDPQRPKPGGDIKLGELLKKKADEGVKVLVLIWDDRTSVQELKKDGLMATHDEETEKYFRNTKVHCFLCPRNPDNGRSIIQGFEISTMFTHHQKTVVVDCEMPDGTSQKRRIVSFVGGIDLCDGRYDTQDHPLFKTLDTIHHDDFHQPNFPGSSIKKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWKKQVGERFLIPQQQLEVYTIRPLPVLQSSDPDAWNVQLFRSIDGGAVVGFPEKPEDVAAAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKSKDIKVEDINALHLIPKELSLKIASKIDAGERFTVYIVIPMWPEGIPETDSVQAILDWQRRTMEMMYSDIAEALKRNGLKHHPREYLSFFCLGNRETMKLGEYSHPEAPDPDSDYSRAQQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPHHLATTQPARGQIYSFRLALWYEHLGFIDPSFVHPESVECIQLVNKTADELWEIYASEAFDQDFIGHLLRYPIEVTRDGAVTTMPGTEHFPDTKAPILGTKSEYLPPILTT >Manes.16G121600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32412585:32415972:1 gene:Manes.16G121600.v8.1 transcript:Manes.16G121600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHGTLYVTIFEVDNLQNGCGFSFFTKGAGQQNCGKKFLSKVKRMVLCRPEIVGSRLYATVDLDKARSGRTRMIDNQPYNPQWYESFNIYCAHLISNVIFTVKDDQVVGATLIGRAYIPVEDVIKGYIVDRWVDILDEDHNPIGSKIHVKLHFVSCTHDSNWSKGIQNPRFDGVPYTFFNQRQGCRVTLYQDAHVPNNFNVKIPIEGKTYEAHRCWEDIFDAITNAKHLIYITGWSVYTEITLVRDPQRPKPGGDIKLGELLKKKADEGVKVLVLIWDDRTSVQELKKDGLMATHDEETEKYFRNTKVHCFLCPRNPDNGRSIIQGFEISTMFTHHQKTVVVDCEMPDGTSQKRRIVSFVGGIDLCDGRYDTQDHPLFKTLDTIHHDDFHQPNFPGSSIKKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWKKQVGERFLIPQQQLEVYTIRPLPVLQSSDPDAWNVQLFRSIDGGAVVGFPEKPEDVAAAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKSKDIKVEDINALHLIPKELSLKIASKIDAGERFTVYIVIPMWPEGIPETDSVQAILDWQRRTMEMMYSDIAEALKRNGLKHHPREYLSFFCLGNRETMKLGEYSHPEAPDPDSDYSRAQQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPHHLATTQPARGQIYSFRLALWYEHLGFIDPSFVHPESVECIQLVNKTADELWEIYASEAFDQDFIGHLLRYPIEVTRDGAVTTMPGTEHFPDTKAPILGTKSEYLPPILTT >Manes.09G008802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1976925:1978996:-1 gene:Manes.09G008802.v8.1 transcript:Manes.09G008802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYALGSAFDLKSGQMIMVALLLMVGSFYLGTLFGNNAPVYVPQFSSNSSSSSSPDMFTFSNKIARS >Manes.09G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6252637:6258659:-1 gene:Manes.09G030000.v8.1 transcript:Manes.09G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKSRVSRDEVELEEEEEGQNENLNQSSTDEKSLYEVLGLERTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRAVYDQTGCVDDADLAGDVVQNLRDFFRTMYKKVTEADIEEFEANYRGSDSEKKDLIDLYKKCKGNMNRLFCSMLCSDPKLDSHRFKDILDEAISAGVVKASKAYQKWAKQVSETKPPTSPLKRKKSNKQSEADLLAIISKRRSERKDQFDSMFSSLVSKYGGSAASEPTEEEFQAAQKKIQSRKASKKSKQK >Manes.12G108100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29814068:29816648:1 gene:Manes.12G108100.v8.1 transcript:Manes.12G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQEEENRQLLSPALVLDGLYCEEQGIEEDYASGDSGSEVYDQVVRKDSPFSCVLLEQDLFWEDDELCTLLSKEKESHFCFDNVVSDGSLMVVRKEAIDWVLRVKAHYGFTALTGVLAVNYFDRFISTLKFPTDKPWMSQLASVACLSLAAKVEETQVPLLLDLQVEESKYVFEAKTIKRMELLVLSTLQWRMNPVTPISFFDHIIRRLGLKNHLHWEFLRRCERLLLSVIADSRFMSYLPSTLATAAMLHVIKEVEPCNRVNYQHELMSVINISENKVNECYKLISELSGSENRSCKRKYPLTSGSPSGVIDAYFSCGSSNESWAVSSTVSSSSVSRFKRNRTQDQQMRLPSLNRMFVDFLSNPY >Manes.17G056400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25476262:25481314:1 gene:Manes.17G056400.v8.1 transcript:Manes.17G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCMCVVTVEKMARTLSSVHDVPDAILSNIFSLITDIRSRNSMSLVCVKWHLMERSTRTSLTLRGNIRDLYLLPTCFRAITNLDLSFLSPWGHPIFDSSFINPKLLAQLLRRAFPSVVSLTLYARNPSALQLLAPQWPGLSKVKLVRWHQRSPTNPGSDFVALFEHSHSLTSLDLSYFYCWTEDLPPALEAYPSTAASLTHLNILTHSSAEGFKSHELLSISVACPNLRELLATCLFDHRFIGFVGDETLLSLASNCPRLSLLHLVDTDSLSDARGDPDDEGYTSEDARISHTTLVDMFAGLPLLEELVLDVCHNVKDTWPALEVLNSKCPRLKSLKLGQFHGICKGIDARPDGLALCSRLESLSIKNSADLTDSRLIAISLGCPRLAKFEVHGCQRITEMGMNKLASILQQTLIDVKISCCKYLNAVCSLRALEPIQDRIQRLHIDCVWENVEQFEGEASFSSESAYLRHFPSEKRGGIWEETSSRKKSKCFDGDDNEYFSNTWARLDFLSLWIPVGELLIPLTLSGLENCPVLEEIKIKVEGDCRHRPRPTNAFGLSTLACYPRLSKMNLNCGAAIGFALTAPSGLADLSPWERFYLNGIGNLNLTELDYWPPQDTDVNQRSLTLPAAGLLAQCGRLRKLFIHGTANEHFMMFLLKIPTLRDVQLREDYYPAPENDTCTEMRIDSCSRFEDALNRRRIPD >Manes.S005232.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:34388:34555:1 gene:Manes.S005232.v8.1 transcript:Manes.S005232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.05G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11524415:11528357:-1 gene:Manes.05G113000.v8.1 transcript:Manes.05G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANMSFSHDMDDEYEKLFRRLNPPRVVIDNESCKNATVVRVDSANKHGILLEVVQVLTDLNLIITKAYISSDGGWFMDVFNVKDQDGNKITDEAILGYIRKSLGPESCFVSSLRSVGVKPSMDHTAIELTGSDRPGLLSEVSAVLAHLKCNVVNAEVWTHNTRAAAVMQVTDEESGSAITDPERLSRIKELLCNVLKGSNKSRGAKTVVSHGVTHTERRLHQMMFADRDYERSDDEVLDENQRPNVSVVNWYDKDYSVVTIRSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAYQEYYIRHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRIGLLSDVTRIFRENSLTVSRAEVTTRDGKAINTFYVRDASGYPVDAKTIDSIRQVIGQTILKVKSNPEELKSGSQESPTRFLFGGLFKSRSFVNFGLVRSYS >Manes.04G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27649659:27655999:-1 gene:Manes.04G074800.v8.1 transcript:Manes.04G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDVVVLGVEKKSTAKLQDSRTVRKIVSLDDHVALVCAGLKADARVLVNRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGVPSLYQTDPSGAFSAWKANATGRNSNSMREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEIAVMTKEHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPSKET >Manes.14G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2955219:2957116:-1 gene:Manes.14G032900.v8.1 transcript:Manes.14G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMHSPELKLQGKSLGTSKTRLDRFRELLEKDNARQQRLSSQRQPQRHMPDPTAELPLRSGASTGDGVFYVSFRIGSPPQKFVLAADTGSDLTWMKCNFTCKFCPKISFNPGRAFQAKDSPSFQTIPCSSKICQEDLVRVRALPDCPTPDTPCLFNYTYFGGRSAAGVFVNETVTVGLHSRRKVILYNVLVGCTEAANLPEPFPDGVMGLGFGEQSFAMLLAQLFGNKFSYCFVDHLKPSNFKNYLSFGQAQHSVPKKLQYTRLLVIRDAPYYWLNVTGISVGDKLLNIPAVIWSIPDGGGTIIDSGSSLTHLKEAAYDAVTDALRATISKFKKVELPVTEFCFEANGFQEALAPKLVFHFDDGAVLEPPVKSYIIDAAEGIKCLGFQKVGGDEINIIGNFIQQEHYWEYDIDRFMLGFGPSTCILPNPKD >Manes.11G088000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14186389:14204189:-1 gene:Manes.11G088000.v8.1 transcript:Manes.11G088000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRARSSSPFSYRKTSTPYSSTSSTSSYIGNRLVPRSCSSSASSPFFNSGGGRSMTPSRSRSDSMYYGPRGYGGRTPVGFGSEELIPEPVDGPKNGDSISVTIRFRPLSEREFQRGDEIAWYPDGDKIVRNVYNPATAYAFDRVFGQHATSQEVYDVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQSSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTSQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSDHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISTLKLELDQLKKGMIVAVNHEEILSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDSIPGLMSDGPSHQRSHSVGEDDNLDVLRDGALLLENENMKDSSSSASVIRSDSSRECKHRRSSSKWSEELSPVCSSSITESSQAGELISVSKLPAGQMTQDQIDLLVEQVKMLAGEIAFSTSTLKRLVEQSVNDPDSSKTQIQNMEREIQEKRRQMRVLEQRIIESGEASISNASLVDMQQTVTRLMTQCNEKSFELELRSADNRVLQEQLQTKCSENKELQEKIKILEQQLASLSYDKTPLTADHGVPGEYVDELKRKVQSQEIENEKLKIEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESMHSRGTSMQNINGVNRKYSDGMRSGRRGRLHGRGNEISSMHSDDFDSWSFGPEDLKMELQARKQREAALETALAEKEFIEEEYRKRAEEAKRREEALENDLANMWVLVAKLKKEGGSSPDVSTDERHSDGNASEPKTNEVDQNIVLKERQVSDASKPPDENPKEEPLVVRLKVMLNDVDVYMPS >Manes.11G088000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14186410:14204189:-1 gene:Manes.11G088000.v8.1 transcript:Manes.11G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRARSSSPFSYRKTSTPYSSTSSTSSYIGNRLVPRSCSSSASSPFFNSGGGRSMTPSRSRSDSMYYGPRGYGGRTPVGFGSEELIPEPVDGPKNGDSISVTIRFRPLSEREFQRGDEIAWYPDGDKIVRNVYNPATAYAFDRVFGQHATSQEVYDVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQSSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTSQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSDHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISTLKLELDQLKKGMIVAVNHEEILSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDSIPGLMSDGPSHQRSHSVGEDDNLDVLRDGALLLENENMKDSSSSASVIRSDSSRECKHRRSSSKWSEELSPVCSSSITESSQAGELISVSKLPAGQMTQDQIDLLVEQVKMLAGEIAFSTSTLKRLVEQSVNDPDSSKTQIQNMEREIQEKRRQMRVLEQRIIESGEASISNASLVDMQQTVTRLMTQCNEKSFELELRSADNRVLQEQLQTKCSENKELQEKIKILEQQLASLSYDKTPLTADHGVPGEYVDELKRKVQSQEIENEKLKIEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESMHSRGTSMQNINGVNRKYSDGMRSGRRGRLHGRGNEISSMHSDDFDSWSFGPEDLKMELQARKQREAALETALAEKEFIEEEYRKRAEEAKRREEALENDLANMWVLVAKLKKEGGSSPDVSTDERHSDGNASEPKTNEVDQNIVLKERQVSDASKPPDENPKEEPLVVRLKARMQEMKEKELKYLGNGDANSHMCKVCFEQATAAILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFAS >Manes.11G088000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14186410:14204189:-1 gene:Manes.11G088000.v8.1 transcript:Manes.11G088000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRARSSSPFSYRKTSTPYSSTSSTSSYIGNRLVPRSCSSSASSPFFNSGGGRSMTPSRSRSDSMYYGPRGYGGRTPVGFGSEELIPEPVDGPKNGDSISVTIRFRPLSEREFQRGDEIAWYPDGDKIVRNVYNPATAYAFDRVFGQHATSQEVYDVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQSSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTSQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSDHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISTLKLELDQLKKGMIVAVNHEEILSLRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKDSIPGLMSDGPSHQRSHSVGEDDNLDVLRDGALLLENENMKDSSSSASVIRSDSSRECKHRRSSSKWSEELSPVCSSSITESSQAGELISVSKLPAGQMTQDQIDLLVEQVKMLAGEIAFSTSTLKRLVEQSVNDPDSSKTQIQNMEREIQEKRRQMRVLEQRIIESGEASISNASLVDMQQTVTRLMTQCNEKSFELELRSADNRVLQEQLQTKCSENKELQEKIKILEQQLASLSYDKTPLTADHGVPGEYVDELKRKVQSQEIENEKLKIEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESMHSRGTSMQNINGVNRKYSDGMRSGRRGRLHGRGNEISSMHSDDFDSWSFGPEDLKMELQARKQREAALETALAEKEFIEEEYRKRAEEAKRREEALENDLANMWVLVAKLKKEGGSSPDVSTDERHSDGNASEPKTNEVDQNIVLKERQVSDASKPPDENPKEEPLVVRLKEMKEKELKYLGNGDANSHMCKVCFEQATAAILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFAS >Manes.15G174000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15022188:15030103:-1 gene:Manes.15G174000.v8.1 transcript:Manes.15G174000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRGKRSEAFWPSLVMKKWLNIKPKVYDFSEDEFTETESEDDACSLKDERVNLCEDLAHRPQGNQSSLQSEISDVPSKTSSLRHRRGKSETLRVQYINTKDVRVTVGTWNVAGRLPHEDLEIDDWLCTEELADMYIIGFQEVVPLNAGNVLGAESSRPIPKWESIIRRTLNKSLQPQSKHKCYSAPPSPVLRTSSVADELADEIDALPLEEMLHNEHAEKTAAFNDLKRHELSTVVGNGKGLHFKRIYGIDCDSRLDWPEYSLDVTPQVVSSNSKLRRVWSSSARMGFSLAENSLMFSPQNFAINGNGLRRSHRSSGNLGSMWVEQQEKHEVPEVPDIPEIPEVLDSLSDASDKSSDSEEEDIFPEVLLGQNNNGLIIDNGKSRPKYVRIVSKQMVGIYVSIWVRKRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEQRRNADVYEIIRRTQFSSVFDMNQPQNIPSHDQIFWFGDLNYRLNMLDTEVRKLVALKQWDELINSDQLSKELRSGHVFDGWKEGVINFAPTYKYEINSDRYVGVYPKEGEKRRSPAWCDRILWLGKGIKQLSYKRTELTLSDHRPVSSMFLVEVEVLDHRKLQKALNVNSAAVHPVMFLVEDEI >Manes.15G174000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15022188:15030103:-1 gene:Manes.15G174000.v8.1 transcript:Manes.15G174000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRGKRSEAFWPSLVMKKWLNIKPKVYDFSEDEFTETESEDDACSLKDERVNLCEDLAHRPQGNQSSLQSEISDVPSKTSSLRHRRGKSETLRVQYINTKDVRVTVGTWNVAGRLPHEDLEIDDWLCTEELADMYIIGFQEVVPLNAGNVLGAESSRPIPKWESIIRRTLNKSLQPQSKHKCYSAPPSPVLRTSSVADELADEIDALPLEEMLHNEHAEKTAAFNDLKRHELSTVVGNGKGLHFKRIYGIDCDSRLDWPEYSLDVTPQVVSSNSKLRRVWSSSARMGFSLAENSLMFSPQNFAINGNGLRRSHRSSGNLGSMWVEQQEKHEVPEVPDIPEIPEVLDSLSDASDKSSDSEEEDIFPEVLLGQNNNGLIIDNGKSRPKYVRIVSKQMVGIYVSIWVRKRLRRHINNLKVSPVGVGLMGYMGNKGSVSVSMTLFQSRLCFVCSHLTSGQKDGAEQRRNADVYEIIRRTQFSSVFDMNQPQNIPSHDQIFWFGDLNYRLNMLDTEVRKLVALKQWDELINSDQLSKELRSGHVFDGWKEGVINFAPTYKYEINSDRYVGVYPKEGEKRRSPAWCDRILWLGKGIKQLSYKRTELTLSDHRPVSSMFLVEVEVLDHRKLQKALNVNSAAVHPVMFLVEDEI >Manes.07G045600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5180653:5183352:1 gene:Manes.07G045600.v8.1 transcript:Manes.07G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCKFNASHCHTSMSLSSLIILFFLKITYSNSLTFNFSNFKPNSRCLNYQGNASVSENAIHLTTDPQGISPLGSLGRATYCKPLHLWDKASGNLTDFHTIFSFSIDSQRLKVYGDGLAFFIAPMQFPVGNMAGGGLGLVRGNQTSKYPFLAIEFDSYSNSWDPPYQHVGIDINSVVSNATSAWISNIEYGEKIYVSIRYDSLSKSLSVTFTGFSSNNEIEQHLDYNVDLRDFLPEWVTVGFSAATGENSEKHILHSWYFSSTLQINDKPATSPTDNTPTTNIPTDSAAPPDKSSKTTNIPTDSAAPPDKSSNMVGLVVGASVGGCVFIGIILGLLYFFMWKKRRVEKEVEVMFDLPMDDEFEKSTGPRKFSYSNLVSATKNFSEQEKLGEGGFGAVYKGFLKELDSYIAVKRISGSSKQGLKEFASEVKIISQLRHKNLVQLMGWCHEKGKLLLVYELMPNGSLDSHLFKKERSLTWEARYNIAQGIASGLLYLQEEWEQLVVHRDIKPSNIMLDSNFNAKLGDFGLARLVDHGKVSQTTVLAGTLGYLAPECVITGKASKKSDVYSFGIVALEIACGRKSINIIGNEDQLYIVQWAWDLYGRGKLLEAADSKLNGNFDEQQLERLMVVGLWCAHPDAKLRPCIKQAIQVLNFEAPLPVLPSKLPVPTYLSPPVNASLLPLPSSLGASFSGEGS >Manes.14G029500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2692108:2694380:1 gene:Manes.14G029500.v8.1 transcript:Manes.14G029500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQSNAPPQDDQPPSNASIQVPAEPLLLPDDQSPRSTSSNQSPRPIRSPGGSSSHPSSGRHPSYRGIRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALKGPDTPLNFPESILSYPIPTSTSANDIRAAAASAAAARQPKVETGSNPDAGQPIAEVTSSSTSRVESGQEFIDEEMFLNLPNLLVDMAGAMMVSPPRINTPSSDDSPGGSDAEGLWSYQ >Manes.14G029500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2692108:2694319:1 gene:Manes.14G029500.v8.1 transcript:Manes.14G029500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQSNAPPQDDQPPSNASIQVPAEPLLLPDDQSPRSTSSNQSPRPIRSPGGSSSHPSSGRHPSYRGIRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALKGPDTPLNFPESILSYPIPTSTSANDIRAAAASAAAARQPKVETGSNPDAGQPIAEVTSSSTSRVESGQEFIDEEMFLNLPNLLVDMAGAMMVSPPRINTPSSDDSPGGSDAEGLWSYQ >Manes.06G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27161058:27163053:1 gene:Manes.06G144700.v8.1 transcript:Manes.06G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTPSSKEFSLLSPPIFLLLPVIFIIIKHIASLSSNRRPLPPGPRPWPIVGNIFHLDKKLHISTTRFAKLHGPLISLRLGTQVVIFGSSPTAAAEILKNHDRLLSARWTLKVIPRKIHELERISVIWNPTCNDQWKSLRALFRTELFSAKAIQSQATLREKKLSEMVEFFTTQQGTVINIGEIVFATVFNTISNLIFSKDLIALEDKEVASGLKSLFWMTMELASAPNIAEFYPILEGLDPQGLRRKMSECIEQMFGVWEIYIKERREKHVNDATKTDFLDVFLSSGFDDDLINWLIAELMSAGVETTTTTVEWAMAEILKNKRVMEKVGEELQRVINRGTIHESEVSQLTYVNAVVKETLRLHPPAPFLLPHRAPETCEVMNYTIPKDSQIFVNVWAIGRDPSVWEDPLSFKPERFLESSLDLKGHDFELIPFGSGRRICPGLTMATRQIPMILASLIHYFEWSLENGEDPATIDMNDKFGVTLQKEKPLLVIPRRNRRFRDCVIP >Manes.04G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27955995:28001310:-1 gene:Manes.04G077900.v8.1 transcript:Manes.04G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNSVDSFLWWDPFTSLLTELENAPLSSDLPPSIAKKLKDNHSWFVDTVSLFKPPNAKSREVLNSQQVKIGCHELTIKPELKDKALQISSYLGLDEVQSYILVERSLESNGLAVDSIVEEYLHVILLQYYIERQCLLKCTRQILMHALYVGISSKGENFVRDEAVKLISDGLEQRLVSSLQDLLSSSHPKEMDLDLFTLWAEETLIEDNLVLEILFLIYYESLCTCNGETWKKLCSIYKGILFGSYNFGKLAISTEALKHSYHAIVQLLLILMETLDLENLLQLVHDEIPFRPGASIFSLTDIQEMDALISSFNAFEMKEAGPLILTWAVCLCLISSLPGKEENNLLMEIDHVGYLRQAFESGSLNYFVEILDSSLLKESDGPIAGYRSVLRTFVSAFIASYEINLQLEDRTLNLILDILRKIYRGEESLCSQFWDKESFIDGPIRCLLCNLEGEFPFRTAELISLLSSLSEGCWPSECVYNFLDKSVGISSLFEITNECWLDNVLQIVETNHPLHVPGVEGLLIPSKTRGHVLKVIGGNTALVRWEYMQSGLLILLLRLAQEQYVESNEEIFLILDLLSRMVSFNAAVTFSLMDIGNSLSIKEFGTNMLVERSLWVVEIICAVVKRLSPSSGGAALMSMGVNILAKMMKCAPSHVAAVALKTNIFEMALKSSMFDVGKDGLSSGSWFLSGKLAKMLLIDSELNDYENPLTISVLEFTMQLVETRLENDLVLALVIFAMQYILINHEYWKYKVKNVRWKVTLKVLEVMKTCVLSISFLDKLGVAIHDILLSDSSIHSVIFRHVCTTKRTLENLYVSRLVEPVEIEGFQLAISSALDILYIMISKFSEDISPSVPVFHQAVLSSSTKPIPVVAAVISLISYSLNSALQVGAAKVLSKLLMMADNLQPYIASNVCFGLDDEQIADIRHSLKSALFDRMEWNEDLFVAMASLLNSAARHQPPFLLAILAPKVDSEVQSSNTAGVKQQLSEISNGALGSQKSSLLDALMQYVDKAGDSINSNPRILLSVLHFLKALWEGAVQYINVLEHLKSTRTFWKQLCNCISVVTSLKTSVLENLTKVEAQSLVYKYCCQSAVLEIMAYEMFLKKKMLHAESLLKEAPHSKGNTENVGGTEKLQSASDFELEDILSSWCDSSILGNLMKSYTYCEYDDQIFYRAKVSASMFIVHVIVRLETGNTGSLSASLLKKIRDTFEDLKCQPAFSELLAQYSKRGYSEGKELESLILNDLYYHLQGELGGREIGPGPFKELSLCLIESKCLQTYQQKYNDEYFVHAKSIYLYDLNHIQTDLGLHMWDYTEWKESKTIAETMLDCMQQVNSMVLLSTSKFSALKALITVLTLYEDNLPEKKAMVKKAIVGGKIPRQLCFSCIDKICQHFHVTVQSLTAVLYASEEILDFLSAQAELLLHLVRSAQGNLSLSACILVLKTSGSGLKILTGLRSSINGRNKTLKVLLMLLLFAVECSKTPDKESEGFAEISNVCLGLLPILCNCINTAEHSGLSLTIIDFILRSFLAPGTWFPVIRTHLQLQHVILKLQDDSFASIPVALKLLLTLAQVRGGAEMLLNAGFFSSLRALFDNLLGGRPSTTVVNNDSFTKPSEKEEKPQHIWGLGLAVVTAVIHSLGDSYYSDLMDNVIPYFFSEKAHLISYYLDAPEFPSDNHDKKRLRAQRTQTSLSALKETEHTLLFMCTLANHRNLWVKAMKEMDSQLREKSVHLLAFISRGIHRLGESPSRTAPLFCPPIFKDEFDCCKKPTFLNISNGWFALSPICCASRPKLSTVSVTGTALVIKSQSTETTNTVSPTYFSDIVALQIYRIAFLLLEFLCLEAEGALRRSDEVGFIDLAHIPELPMPEILHGLQDQAIAIVSELCNANKSKQIDPEIQSVCLLMLQMLDMALYLELCVLQICGIRPVLGRVEDFSKEVKLLLKAMEGQIFLKASVKSLKQTISMLYPGLLQTEGLA >Manes.04G077900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27955995:28001310:-1 gene:Manes.04G077900.v8.1 transcript:Manes.04G077900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNSVDSFLWWDPFTSLLTELENAPLSSDLPPSIAKKLKDNHSWFVDTVSLFKPPNAKSREVLNSQQVKIGCHELTIKPELKDKALQISSYLGLDEVQSYILVERSLESNGLAVDSIVEEYLHVILLQYYIERQCLLKCTRQILMHALYVGISSKGENFVRDEAVKLISDGLEQRLVSSLQDLLSSSHPKEMDLDLFTLWAEETLIEDNLVLEILFLIYYESLCTCNGETWKKLCSIYKGILFGSYNFGKLAISTEALKHSYHAIVQLLLILMETLDLENLLQLVHDEIPFRPGASIFSLTDIQEMDALISSFNAFEMKEAGPLILTWAVCLCLISSLPGKEENNLLMGPIAGYRSVLRTFVSAFIASYEINLQLEDRTLNLILDILRKIYRGEESLCSQFWDKESFIDGPIRCLLCNLEGEFPFRTAELISLLSSLSEGCWPSECVYNFLDKSVGISSLFEITNECWLDNVLQIVETNHPLHVPGVEGLLIPSKTRGHVLKVIGGNTALVRWEYMQSGLLILLLRLAQEQYVESNEEIFLILDLLSRMVSFNAAVTFSLMDIGNSLSIKEFGTNMLVERSLWVVEIICAVVKRLSPSSGGAALMSMGVNILAKMMKCAPSHVAAVALKTNIFEMALKSSMFDVGKDGLSSGSWFLSGKLAKMLLIDSELNDYENPLTISVLEFTMQLVETRLENDLVLALVIFAMQYILINHEYWKYKVKNVRWKVTLKVLEVMKTCVLSISFLDKLGVAIHDILLSDSSIHSVIFRHVCTTKRTLENLYVSRLVEPVEIEGFQLAISSALDILYIMISKFSEDISPSVPVFHQAVLSSSTKPIPVVAAVISLISYSLNSALQVGAAKVLSKLLMMADNLQPYIASNVCFGLDDEQIADIRHSLKSALFDRMEWNEDLFVAMASLLNSAARHQPPFLLAILAPKVDSEVQSSNTAGVKQQLSEISNGALGSQKSSLLDALMQYVDKAGDSINSNPRILLSVLHFLKALWEGAVQYINVLEHLKSTRTFWKQLCNCISVVTSLKTSVLENLTKVEAQSLVYKYCCQSAVLEIMAYEMFLKKKMLHAESLLKEAPHSKGNTENVGGTEKLQSASDFELEDILSSWCDSSILGNLMKSYTYCEYDDQIFYRAKVSASMFIVHVIVRLETGNTGSLSASLLKKIRDTFEDLKCQPAFSELLAQYSKRGYSEGKELESLILNDLYYHLQGELGGREIGPGPFKELSLCLIESKCLQTYQQKYNDEYFVHAKSIYLYDLNHIQTDLGLHMWDYTEWKESKTIAETMLDCMQQVNSMVLLSTSKFSALKALITVLTLYEDNLPEKKAMVKKAIVGGKIPRQLCFSCIDKICQHFHVTVQSLTAVLYASEEILDFLSAQAELLLHLVRSAQGNLSLSACILVLKTSGSGLKILTGLRSSINGRNKTLKVLLMLLLFAVECSKTPDKESEGFAEISNVCLGLLPILCNCINTAEHSGLSLTIIDFILRSFLAPGTWFPVIRTHLQLQHVILKLQDDSFASIPVALKLLLTLAQVRGGAEMLLNAGFFSSLRALFDNLLGGRPSTTVVNNDSFTKPSEKEEKPQHIWGLGLAVVTAVIHSLGDSYYSDLMDNVIPYFFSEKAHLISYYLDAPEFPSDNHDKKRLRAQRTQTSLSALKETEHTLLFMCTLANHRNLWVKAMKEMDSQLREKSVHLLAFISRGIHRLGESPSRTAPLFCPPIFKDEFDCCKKPTFLNISNGWFALSPICCASRPKLSTVSVTGTALVIKSQSTETTNTVSPTYFSDIVALQIYRIAFLLLEFLCLEAEGALRRSDEVGFIDLAHIPELPMPEILHGLQDQAIAIVSELCNANKSKQIDPEIQSVCLLMLQMLDMALYLELCVLQICGIRPVLGRVEDFSKEVKLLLKAMEGQIFLKASVKSLKQTISMLYPGLLQTEGLA >Manes.10G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7450668:7453576:-1 gene:Manes.10G057100.v8.1 transcript:Manes.10G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIGSSGRLSMEENNEEEETSKFDIATLQAREEEIERKKMEVREKVQLQLVRAEEEAKRLTHIWEELEVLVDPMRKEVGLIRKRIDMANRELKPLGQSCQKKEKEYKEALEAFNEKNKEKAQLVTTLMELLTESERLRMKKLEELSKNVESKP >Manes.04G081500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28285714:28286416:1 gene:Manes.04G081500.v8.1 transcript:Manes.04G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILRAKQILQRSPSANQTASAAKDVPKGYLAVYVGEKQKKRRFVIPVSYLNTPSFQDLLIQAEEEFGYDHPMGGLTIPCCESMFIDVISCLNCS >Manes.02G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8299944:8306592:-1 gene:Manes.02G106500.v8.1 transcript:Manes.02G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDEVEIDEGKSLAITPTWALATVVTVMVCFGFFFQVLLDQFGKWLDKTKRKALLSALEKIKDELMLFGLLSLLMGHWVVMVAKICVKTSTVSSRFFPCAVYDNLKPEEGRFDSASGYANHSSDRQETYTLVHGHDNCPEGRESFASQESLEQLHRLMFVLGVIHVLYSFIAIALAMIKIYSWKIWEQQARKMAIQCAPGSSQAALFNRRLSRLTTFISNRTSHPWSRHSVLVWLLCFSRQFWSSINYADYMALRYGFVNTHELPLTYDFHNFMLRSMEEEFRDVVGISLPLWIYAICCIFLDFHETKIYFWISFLPAILILLIGTKLHRVVVKLAVEILDAAPRMGNHQLNLRDELFWFGKPKLLLWLIQLISFQNSFEMAAFVWSLWEIRDSSCFMSNELFAIIRLIFGVVTQFWCSFITLPLYIIITQMDARFKKAVVSENVRQSLHGWKRRVRARNSSSSLHHTGASSKSSLSSTSAHLKGLLFSSSSSGKHKNKNNDSASSSRQGNNTSEVPDTPISSQEACNPQANKNFPGNELDDGNDNDHRHRNIRKG >Manes.02G106500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8299944:8306592:-1 gene:Manes.02G106500.v8.1 transcript:Manes.02G106500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDEVEIDEGKSLAITPTWALATVVTVMVCFGFFFQVLLDQFGKWLDKTKRKALLSALEKIKDELMLFGLLSLLMGHWVVMVAKICVKTSTVSSRFFPCAVYDNLKPEEGRFDSASGYANHSSDRQETYTLVHGHDNCPEGRESFASQESLEQLHRLMFVLGVIHVLYSFIAIALAMIKIYSWKIWEQQARKMAIQCAPGSSQAALFNRRLSRLTTFISNRTSHPWSRHSVLVWLLCFSRQFWSSINYADYMALRYGFVNTHELPLTYDFHNFMLRSMEEEFRDVVGISLPLWIYAICCIFLDFHETKIYFWISFLPAILILLIGTKLHRVVVKLAVEILDAAPRMGNHQLNLRDELFWFGKPKLLLWLIQLISFQNSFEMAAFVWSLVRF >Manes.05G153100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26433512:26435343:-1 gene:Manes.05G153100.v8.1 transcript:Manes.05G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNSNPIFITILHMSLILLAMATRGSHSEIELVEETSATITSRNHQHDLSSLVAERIKLSLNSMLTRFHAGYFRISLSLGGQVLLWKTLISPIDEANPLRHLFHLLHPAGYFILWSLALFVLVLLSLLYIIKCLFFFRMVKAEFLHHVGVNYLFAPWISWLLLLQSAPFMAPETVSYLVLWWVFTVPVVALDVKIYGQWFTKGKRFLSTAANPTSQLSVIGNLVGAQAAANMGWRECAVCLFSLGMVHYLVLFVTLYQRLSGSYRLPAMLRPVFFLFFAAPSVACLAWETIVGAFDTASKMLFFLSLFLCTSLVCRPTLFKRSMRRFNVAWWAYSFPLTVLALASAEYAQEVKGVISHVLMLFLSAFSVLVFIGLAAFTLLNSKMLLPDHDDPIADLFNRLPTVTPTIRE >Manes.03G062916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7148502:7150781:1 gene:Manes.03G062916.v8.1 transcript:Manes.03G062916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKDRRRNQRPQEKSVFKFGSGDHGPRESNKGKSTMKANNNPFSSLVILQDSETHIEHNATPPVVQDFRPVLGKKKLRDSKGKGQVVSTVTREETPNIALDSYVRSNGPAVQSSVLKIRGVSSGSGGSSRKAAAQDDHVVVQGNNITNQSKTWVVSNPKLVGLANLETELDRNQHDNFNDPSFPHPTHPNIPAANGDGNQDMNLDNDAMIIIAQTSLNEFQNSGLIVSFVYASPDISLRRRLWHSVLGFNGSEKSWLLLGDFNSFTSENEQIGYVNVHSIGASDFRQWIFDNSLIDLGFEGTPFTWSKGGINSSSKAARLDRCLCTEIWRMTFSRATVIHAPKLHSDHCPIFMNCFGVTNSSVRRFHFQAAWTAHKDFVNVVSRGWKQNTSLFDNLKSTKDSLSQWNRSEFGNIFHNKQRLIRRIDGVQKSLAIRRTRGRNGLFLVSAFIIEVLGGREIPEEVNETVLTLIPKIACP >Manes.17G055200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25299421:25304691:1 gene:Manes.17G055200.v8.1 transcript:Manes.17G055200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALLGLLFPTVGLSTLLAKIISSSSFLWLPFLDQFFLHSSHSHPLQIGETMAGALVGGSIISASLQVLFDRMASHEVLDFFRGRKLSDELLMKLRTAMISVNGLLDDAEEKQITKLTVKCWLDELKDALYEADDLLDGVAYEALRSKLEAGSQNIKNQVCNFLTFRDPFGKEMGVNLENILDRLEYLLKQKDALGLNLKECVGEKPNQMKIPTTSVVDEYGFYGREGDKSTLIDLLISDAANANELEVIPIVGMGGIGKTTIAQHVYNDSRVQEWFDLKAWIYVSEEFNVFKITKDIFEEITSKSSDANSLNKLQLELKTRLRGKKFLLVLDDVWNDKYGDWDILLRPLKAGLRGSRIVVTTRNQNVASIMGTLPTHDLKELSNDDCWLLFAKHAFTDGNFSSYSSLEAIGREIVRKCKGLPLAAKALAGLLRAKGNLKEWEKVLKSSLWNLSNDNILPALRLSYHYLPSHLKGCFAYCAIFPKDYQIPKEKLICLWMAEGFLDQPKGNKEAIELGEEYFQELMSRSFFQQSRRIPRRFVMHDLINDLAKFVSGKFCIRLEDDNTCNVTQKTRYFSYLRTRHDALKRFETIYEAKLLRAFLPVSFLDYWIYEEIDSKVMHDLLPMLERLRVLSLSHYDNIVVLPDSIGKLKHLRYLSFAGTPIKRLPESVCSLYNLQTLILNKCKDLVELPVNMVKLMNLCHLEITDTSLKEMPLHIGKLTKLRKLTDFVLGNQSGSGIKELAELQHLRGKLGLCNLQNVLDAGDALQANLKGKELLEELQLRWDGDTDNSLHHRNVLEQLGPHSNVESLLIVGYGGTRFPDWIGDSSFSNLATLELNGCKHCCFLPPLGQLVSLKILSIKAFDEVVTVGHEFYSNSTSMKKLFGSLEILRFERMPQWREWISYGDEPFPLLRKLSIKKCPNLTHNLPGHLPSLTILEIEGCQQLEVLLPRAPIMLSIKLVDDNRNFWFGKMSSGLQRLKVERFQYQPFDSLLVQMSGLSTNLDEIEIRNCLALKCFPLELFTKLKTLCISGCPNFESLSASGGPLGDLTCLNSLVISNCPSLVSFPIGGLAAPNLTQLELRDCLNLKHLPEFMNSLLPSLVGLRLYHCVELVSFPQGGLPSALQLLSIHHCTKLIDGRLRWNLPRLPSLSKFLIGTIQEVESFPEYTLLPSTLTFLTISSYKNLKYLDSEGLQCLTSLRELTIQNCPNLMELPGAVHSLLPCLVKLVIFNCQELESFPAEGLPSKLESLVVQRCNKLIASRMQWGLWRLHSLLNLTIGKDKDVESFPDELLLPATLTSLKIKDFHNLKSLDYRGLQNLSCLRELKIRKCPKVQSLPVEGLPSSLTSLIISGCPMLKQRCQRENGEDWTKISHIPSIEISGVMINEIKH >Manes.17G055200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25299421:25304886:1 gene:Manes.17G055200.v8.1 transcript:Manes.17G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALLGLLFPTVGLSTLLAKIISSSSFLWLPFLDQFFLHSSHSHPLQIGETMAGALVGGSIISASLQVLFDRMASHEVLDFFRGRKLSDELLMKLRTAMISVNGLLDDAEEKQITKLTVKCWLDELKDALYEADDLLDGVAYEALRSKLEAGSQNIKNQVCNFLTFRDPFGKEMGVNLENILDRLEYLLKQKDALGLNLKECVGEKPNQMKIPTTSVVDEYGFYGREGDKSTLIDLLISDAANANELEVIPIVGMGGIGKTTIAQHVYNDSRVQEWFDLKAWIYVSEEFNVFKITKDIFEEITSKSSDANSLNKLQLELKTRLRGKKFLLVLDDVWNDKYGDWDILLRPLKAGLRGSRIVVTTRNQNVASIMGTLPTHDLKELSNDDCWLLFAKHAFTDGNFSSYSSLEAIGREIVRKCKGLPLAAKALAGLLRAKGNLKEWEKVLKSSLWNLSNDNILPALRLSYHYLPSHLKGCFAYCAIFPKDYQIPKEKLICLWMAEGFLDQPKGNKEAIELGEEYFQELMSRSFFQQSRRIPRRFVMHDLINDLAKFVSGKFCIRLEDDNTCNVTQKTRYFSYLRTRHDALKRFETIYEAKLLRAFLPVSFLDYWIYEEIDSKVMHDLLPMLERLRVLSLSHYDNIVVLPDSIGKLKHLRYLSFAGTPIKRLPESVCSLYNLQTLILNKCKDLVELPVNMVKLMNLCHLEITDTSLKEMPLHIGKLTKLRKLTDFVLGNQSGSGIKELAELQHLRGKLGLCNLQNVLDAGDALQANLKGKELLEELQLRWDGDTDNSLHHRNVLEQLGPHSNVESLLIVGYGGTRFPDWIGDSSFSNLATLELNGCKHCCFLPPLGQLVSLKILSIKAFDEVVTVGHEFYSNSTSMKKLFGSLEILRFERMPQWREWISYGDEPFPLLRKLSIKKCPNLTHNLPGHLPSLTILEIEGCQQLEVLLPRAPIMLSIKLVDDNRNFWFGKMSSGLQRLKVERFQYQPFDSLLVQMSGLSTNLDEIEIRNCLALKCFPLELFTKLKTLCISGCPNFESLSASGGPLGDLTCLNSLVISNCPSLVSFPIGGLAAPNLTQLELRDCLNLKHLPEFMNSLLPSLVGLRLYHCVELVSFPQGGLPSALQLLSIHHCTKLIDGRLRWNLPRLPSLSKFLIGTIQEVESFPEYTLLPSTLTFLTISSYKNLKYLDSEGLQCLTSLRELTIQNCPNLMELPGAVHSLLPCLVKLVIFNCQELESFPAEGLPSKLESLVVQRCNKLIASRMQWGLWRLHSLLNLTIGKDKDVESFPDELLLPATLTSLKIKDFHNLKSLDYRGLQNLSCLRELKIRKCPKVQSLPVEGLPSSLTSLIISGCPMLKQRCQRENGEDWTKISHIPSIEISGVMINEIKH >Manes.09G046800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8206102:8206722:-1 gene:Manes.09G046800.v8.1 transcript:Manes.09G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLNKICTALTIVFAVSLIILVAEILYVLWRRRRFRSRNLSSRDVEFSDEPYYPTPSKELLYFFCWKNQNSRIEPDAETTAATATAPPLPPNTPTDADVDEMLKRHALYGPSRVLFTIKEEEREEMETDFSSPAENEERKGKKKKRKSRTFSLEEVAVSAMVIDIDDSTPFSTPCASPPYYTPSPSPARDRNSPHSSSENIVLDE >Manes.02G159400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12452246:12454779:1 gene:Manes.02G159400.v8.1 transcript:Manes.02G159400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFCSRRSIGLLNDISQALSKNLSLRCQRVQCLRIGNAEIPNDKRLKFALQRIHGIGRQRAHQILTDLSIENKLAKDLTGVEIHSLRDEVSKYMTGEELKRCVRSDIQKLVDSRCYRGFNHVCNLPCRGQRTSTNARTRKDQKNRERSNWDAHQSLAETMQKLQERS >Manes.12G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25383574:25390839:1 gene:Manes.12G102000.v8.1 transcript:Manes.12G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCTSKPSPNPTTSASPVSDTRISAVRANANSILPKDVPKPDSVAGNGGQNSNIEDRSREEMEKTGKDEKTVLECVKKSPFFPFYSPSPAHYFFSKKSSPARSPATNTASANSTPKRFFKRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSETELAGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCQAKFKKGELKGQQVAVKIIPKAKMTTAIAIEDVRREVKILRALTGHNNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYMEDDAKAVLIQILNVAAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPGFDEVPWPSLSCEAKDFVKRLLNKDQRKRLTAAQALCHPWIRSSTDVRVPLDILIFKLMKAYMRSSSLRKAALRALSKTLTVDDLFYLKEQYTLLEPNKNGTISLENIKAALMKNATDAMRESRIPDFVASLNALQYRRMDFEEFCAAALSVHQLEATDRWEQHARCAYELFEKDGNRAIMIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRTLAKAQ >Manes.08G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4576441:4578830:-1 gene:Manes.08G046200.v8.1 transcript:Manes.08G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCMVSTTDGVCLQGRIFKPSRETTKKGSSGTAIVLVHPYSKLGGCQGLMQGMALRLAIKGFLAITFDMRGVGRSTGKCSLTGLPEIQDVIAVCKWVSQNLPAKKILLVGSSAGAPIAGSAVDQLEEVIGYVSIGYPFGLAASVLFGRHHKAILRSRKPKLFIMGTKDEFTSLQQLEKKLMSACGLVQAHLIVGVGHFEVEGPAYDARMADLIMGFVAPLMQ >Manes.18G141021.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:25836447:25836569:1 gene:Manes.18G141021.v8.1 transcript:Manes.18G141021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.05G129300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22238537:22242232:1 gene:Manes.05G129300.v8.1 transcript:Manes.05G129300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPVTTVSVMEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLMDVKQGLNKEGKTVLYLVFEYMDTDLKKYIRSFRQTGENIPLKIVKSLMYQLCKGVAFCHGHGILHRDLKPHNLLMDRKTMMLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEEVWPGVSKLVNWHEYPQWSPQSLSTAVPNLEKDGLNLLAQMLQYEPSKRISAKKAMEHPYFDDLNKVVL >Manes.06G146900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27321546:27327603:-1 gene:Manes.06G146900.v8.1 transcript:Manes.06G146900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEIKALPFTFVAHALAIVGAVLVLVWCIHFRGGLAWESSNKSLIFNIHPVLMLIGLIIIGGEAIISYKSLPLKKQEKKLIHLVLHAVALILGIIGIYTAFKYHNESGIANLYSLHSWLGIAIIVLYGLQWIYGFLVFFFPGGSTTIRSESLPWHVIVGVFAYILAVANAAIGFLEKLTFLENSGLAKYGSEALLVNFTAAVAIFYGAFVILTVVSQGPPVEDDYSYTAI >Manes.06G146900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27321546:27324346:-1 gene:Manes.06G146900.v8.1 transcript:Manes.06G146900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGLIIIGGEAIISYKSLPLKKQEKKLIHLVLHAVALILGIIGIYTAFKYHNESGIANLYSLHSWLGIAIIVLYGLQWIYGFLVFFFPGGSTTIRSESLPWHVIVGVFAYILAVANAAIGFLEKLTFLENSGLAKYGSEALLVNFTAAVAIFYGAFVILTVVSQGPPVEDDYSYTAI >Manes.12G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4631297:4636140:-1 gene:Manes.12G049300.v8.1 transcript:Manes.12G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDTLTPRSSSPEEESWLLDQDVSQSSSSLHLPYHPRKQEVETVNEECGFRFGGFEEEVGRRNISTNSLELEINQRNRCKAFKEVLQSYDQLQSRTESLKEAKSKILSYRPGEWIDKAGSMNLSDYNVPKTTTLLLVGSKGSGKSSLVNRISKVFDDDKFAPERAQVSYNYSAGEGTYFLREYMIPRGSSSICIYDTRSLSDDSSDNIAMLKNWITKGVRHGELLIRPSDNSSLRTRMKCKVRKNGSQSKEAKMVNFVIFVVNGIAVLKSMDSEDEGKKYTQMIATTFNCPYLSFKDDKPVVVITHGDLLSLSDRARVRVQLGELLGIPPAKQIFDIPESCDPVTELTIIDMLRYSLEHADKNLPHRHWLAEKVCRCRASLSPYIYVLIILGIAIISITIKHMHIRHASKSKPHVDWHAIRHLWLD >Manes.12G049300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4631297:4636140:-1 gene:Manes.12G049300.v8.1 transcript:Manes.12G049300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDTLTPRSSSPEEESWLLDQDVSQSSSSLHLPYHPRKQEVETVNEECGFRFGGFEEEVGRRNISTNSLELEINQRNRCKAFKEVLQSYDQLQSRTESLKEAKSKILRPGEWIDKAGSMNLSDYNVPKTTTLLLVGSKGSGKSSLVNRISKVFDDDKFAPERAQVSYNYSAGEGTYFLREYMIPRGSSSICIYDTRSLSDDSSDNIAMLKNWITKGVRHGELLIRPSDNSSLRTRMKCKVRKNGSQSKEAKMVNFVIFVVNGIAVLKSMDSEDEGKKYTQMIATTFNCPYLSFKDDKPVVVITHGDLLSLSDRARVRVQLGELLGIPPAKQIFDIPESCDPVTELTIIDMLRYSLEHADKNLPHRHWLAEKVCRCRASLSPYIYVLIILGIAIISITIKHMHIRHASKSKPHVDWHAIRHLWLD >Manes.12G049300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4632048:4635925:-1 gene:Manes.12G049300.v8.1 transcript:Manes.12G049300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDTLTPRSSSPEEESWLLDQDVSQSSSSLHLPYHPRKQEVETVNEECGFRFGGFEEEVGRRNISTNSLELEINQRNRCKAFKEVLQSYDQLQSRTESLKEAKSKILSYRPGEWIDKAGSMNLSDYNVPKTTTLLLVGSKGSGKSSLVNRISKVFDDDKFAPERAQVSSLSLSADNYSAGEGTYFLREYMIPRGSSSICIYDTRSLSDDSSDNIAMLKNWITKGVRHGELLIRPSDNSSLRTRMKCKVRKNGSQSKEAKMVNFVIFVVNGIAVLKSMDSEDEGKKYTQMIATTFNCPYLSFKDDKPVVVITHGDLLSLSDRARVRVQLGELLGIPPAKQIFDIPESCDPVTELTIIDMLRYSLEHADKNLPHRHWLAEKVCRCRASLSPYIYVLIILGIAIISITIKHMHIRHASKSKPHVDWHAIRHLWLD >Manes.09G070557.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15057998:15058755:1 gene:Manes.09G070557.v8.1 transcript:Manes.09G070557.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWGSVLFIWVSSIMCLICVSLGYNPVDDYLIDCGSSTNKSVRDRVFVADQFFSNLLSTPHITFANASSSPNSSAYDPSLFQTARIFNETSYYSFSVNKPGRHWIRLYFFPFMFRNYNLSTAKFSVSAQNFTLIHPLMEAKARRNG >Manes.06G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23331521:23337850:-1 gene:Manes.06G099400.v8.1 transcript:Manes.06G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSFKLKVLTLITKLSDRDTYKLAATELESIAGTLDNSSLPTFISCLLSTDSTDKPLVRKQCLHLLSILSALHPNSLSPFLSRILSYIARRLRDPDSSIRSQCVATVSSLASKFNKQPFSSSFLKPLSELFFTEQELNAQIGSALCLAAAIDSAPDPEPGKLGRALLPKLERLLKSDRYKAKSAALVVMGSIIGVGGVRGYAGMGGLVKSLVGFLSSEDWATRKAAAEALGRLAVVERDAMAEFKSGCMKVFENRKFDKVKAAREVMNQMIEAWKQVPDVPEDVSPPPRSQVSSKGDASDGRYPPCSTSSCAAGSEAPQMRKKTSSSRTTLPDHSAVTTARRRDSLKIAEKKTGPSLFRKADCNRPLDWKVGVAIPNSNSSTGISGNDDAEAKMTKPGTKRTLLSKNSDDKILKFGGCKSGSRVVPCQEESPVSTVVASNNIENHHTNHKECEDLSLIRNQLVQIERQQSSLLDLLQRFIGTSQNGMCTLETRVHGLELALDEISHDLAVSSGRMTNSQRTTCCMLPGADFLKFWRKTESRYSTSRFSSTGTPSLAAIRHRADKNGNVGTRNLESYRLRLHGGGGLIVNPLAEIHESREVSEGAQL >Manes.01G160400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34537294:34539430:-1 gene:Manes.01G160400.v8.1 transcript:Manes.01G160400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLLGLPLFLLFTDLINLFTPPPPKPSPHHHRHRHRHRHHEHHQPTPPPVAHETLGIPAQQQVVGGIGLGNTITIDFCSSCSYKGTAVTMKKMLETQFPGIDVLLANYPPSLPKRLLAKLVPVVQIGVIGIVMAGEQIFPVLGIMTPPPWYYSLRANKFGTMASTWLLGNALQSFLQSSGAFEVYCNDELVFSKLKEGRFPGEIELKDLVGKRLVNSRVADMWT >Manes.04G159100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35335060:35340041:1 gene:Manes.04G159100.v8.1 transcript:Manes.04G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENGGFLKGWEATLRKSQAVIKKKSNFIFGTMLSAAVAHADDDDQSSTESLYNAEKVFPNGDFYTGQWLEGLPHGQGKYLWVDGCMYVGEWYKGRKMGKGKFSWPCGATYEGDFKSGYMDGKGTYTGSLVDSYRGCWVRNLRHGHGTKCYATGDSYEGDWRRGLQDGHGRYQWKNGNHYIGQWKNGAMSGNGTIIWSNGNRYEGLWEDGLPKGNGTFRWSDGSFYVGVWSKDPNEQNGTYYPSESMSGTSDWDPQEVFLKELSDCQISVCEKVSIYPSQKTLNLPGMDQGSVKPMKGNSEDGMSRRMSVDGRLSNYSLASMESNGVFSGDGEWRDGDEGFDDLDSRMHKLNLPPPKKQGETISKGHKNYELMLNLQLGIRHSVGRPGPAISLELKPSAFDPKEKVWTKFPPEGSKYTPPHQSSEFRWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYNHVRAFENTLVTKFYGLHCVKLAGPNQKKVRFVIMGNLFCEHSIHRRYDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYIFRLHKVWFQDFCRQVDKDCDFLEQERIMDYSLLIGVHFREASSYKDALTPPRTSGVTPSALRTPTGLRTPGNVDTDSDFAAVPRLSKVDMDKLIFDPTRWPSIKLGINMPARAEKTIRRSDCEASLIGEATGELYEVILFFGIIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFILRIFVEDT >Manes.04G159100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35335060:35340041:1 gene:Manes.04G159100.v8.1 transcript:Manes.04G159100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENGGFLKGWEATLRKSQAVIKKKSNFIFGTMLSAAVAHADDDDQSSTESLYNAEKVFPNGDFYTGQWLEGLPHGQGKYLWVDGCMYVGEWYKGRKMGKGKFSWPCGATYEGDFKSGYMDGKGTYTGSLVDSYRGCWVRNLRHGHGTKCYATGDSYEGDWRRGLQDGHGRYQWKNGNHYIGQWKNGAMSGNGTIIWSNGNRYEGLWEDGLPKGNGTFRWSDGSFYVGVWSKDPNEQNGTYYPSESMSGTSDWDPQEVFLKELSDCQISVCEKVSIYPSQKTLNLPGMDQGSVKPMKGNSEDGMSRRMSVDGRLSNYSLASMESNGVFSGDGEWRDGDEGFDDLDSRMHKLNLPPPKKQGETISKGHKNYELMLNLQLGIRHSVGRPGPAISLELKPSAFDPKEKVWTKFPPEGSKYTPPHQSSEFRWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYNHVRAFENTLVTKFYGLHCVKLAGPNQKKVRFVIMGNLFCEHSIHRRYDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYIFRLHKVWFQDFCRQVDKDCDFLEQERIMDYSLLIGVHFREASSYKDALTPPRTSGVTPSALRTPTGLRTPGNVDTDSDFAAVPRLSKVDMDKLIFDPTR >Manes.04G159100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35335060:35340041:1 gene:Manes.04G159100.v8.1 transcript:Manes.04G159100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENGGFLKGWEATLRKSQAVIKKKSNFIFGTMLSAAVAHADDDDQSSTESLYNAEKVFPNGDFYTGQWLEGLPHGQGKYLWVDGCMYVGEWYKGRKMGKGKFSWPCGATYEGDFKSGYMDGKGTYTGSLVDSYRGCWVRNLRHGHGTKCYATGDSYEGDWRRGLQDGHGRYQWKNGNHYIGQWKNGAMSGNGTIIWSNGNRYEGLWEDGLPKGNGTFRWSDGSFYVGVWSKDPNEQNGTYYPSESMSGTSDWDPQEVFLKELSDCQISVCEKVSIYPSQKTLNLPGMDQGSVKPMKGNSEDGMSRRMSVDGRLSNYSLASMESNGVFSGDGEWRDGDEGFDDLDSRMHKLNLPPPKKQGETISKGHKNYELMLNLQLGIRHSVGRPGPAISLELKPSAFDPKEKVWTKFPPEGSKYTPPHQSSEFRWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYNHVRAFENTLVTKFYGLHCVKLAGPNQKKVRFVIMGNLFCEHSIHRRYDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYIFRLHKVWFQDFCRQVDKDCDFLEQERIMDYSLLIGVHFREASSYKDALTPPRTSGVTPSALRTPTGLRTPGNVDTDSDFAAVPRLSKVDMDKLIFDPTR >Manes.03G192100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31062197:31063084:1 gene:Manes.03G192100.v8.1 transcript:Manes.03G192100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTQLASERPVVIFSRSTCAMCHAIKSLLREFGVNPAVYEVDEIPRGREIEQALSRLVSPTLPAVFIGGELVGGANEVMTCHLNRSLIPMLRRAGALWV >Manes.03G062400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:6617326:6618948:1 gene:Manes.03G062400.v8.1 transcript:Manes.03G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYRKRALHTLMSEEGNTIMEPPTITLPSITTFQEMHDRDQLRFSSPRSPTTDFTNKLNLIPPASPESPWTLSPLHTPSPSLLYHCIASLHRNEGNIFSIAVSKGVIFTGSESKRIRAWRQPDCMERGHLRASSGEVRAILAHGNVLFSAHKDRKIRILNFTVSENFRMRKVSTLPRRNSFRLFSKSNSQRHKDCVSCMAYYHAEGLLYTGSYDRTVKVWRVSDKICVDSFAAHEDNVNAIVVNQDDGWVFTCSIDGSVKIWRRVYRENSHILTMTLKFQQSPVNCLALSSTFTNCFLYSGSSDGTINFWEKEKFSGRFNHGGFLQGHRFAVLCLAAVEKFIFSGSVDTTIRVWRREEGSYFHECLAILDGHRGPVRCLAACMEMEKVVMGFLVYSASLDHSFKIWRVKILPEEKFCMDHADKSDCKSKLMEYEMSPVLSPSWVERKLRISPFQ >Manes.04G038200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5360614:5377225:1 gene:Manes.04G038200.v8.1 transcript:Manes.04G038200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQAISFLSYLKVHRMSHGPFLVLCPLSVTDGWVSEMAKFTPKLKVLRYVGDKEHRRNLRKALYEHVKEHPSSTDGSFLPFDVLLTTYDIALMDQDFLSQIPWHYAIIDEAQRLKNPSSVLYNILKEHFLMPRRLLMTGTPIQNNLTELWALLHFCMPSMFGKHEQFLSTFKEAGDLTSDLDAAKVKGQLKTLKCIMKALMLRRTKSKLIEGGNLVLPPLTEITVMAPLVSLQKSVYTSILRKELPKLLALSSFNNQSLQNIVIQLRKACSHPYLFPGIEPEPFEEGEHLVQASGKLIILDKLLQKLHDFGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSVRAEERFAAIRSFSGQLGKMNSEFDENSAFVFLISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTRHTVEEVIMRRAERKLLLSHNVIGDDTVEQNGKGTSGVETIDLKSIIFGLHMFDPSEISTEKSDELNMPELNAMTAKVIAMRDDQRLGGTDSKYEVNQIDVISGGNSAFVDYDHGLDEEMYQSWVRKFKEASQSSGNQVLDLGHRRNLPEDKHLKLEAARKKAEEKKLFKWESLGYHSLSVKDPDPAVDGDVLSDSGSLHFVFGDCTDPAKVCPSEPTIIFSCADNSGNWGHGGMFDALAKLSTSIPDAYQRASEFGDLHLGDLHLIRINGDSDRQSLEDDPPRWVALAVVQSYNPRRKVPRSNISILDLERCLSKVSFAAAKNSASIHMPRIGYQDESDRSQWYTVERLLRKYASIYSTKIYVYYYRRSS >Manes.04G038200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5361250:5377225:1 gene:Manes.04G038200.v8.1 transcript:Manes.04G038200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQAISFLSYLKVHRMSHGPFLVLCPLSVTDGWVSEMAKFTPKLKVLRYVGDKEHRRNLRKALYEHVKEHPSSTDGSFLPFDVLLTTYDIALMDQDFLSQIPWHYAIIDEAQRLKNPSSVLYNILKEHFLMPRRLLMTGTPIQNNLTELWALLHFCMPSMFGKHEQFLSTFKEAGDLTSDLDAAKVKGQLKTLKCIMKALMLRRTKSKLIEGGNLVLPPLTEITVMAPLVSLQKSVYTSILRKELPKLLALSSFNNQSLQNIVIQLRKACSHPYLFPGIEPEPFEEGEHLVQASGKLIILDKLLQKLHDFGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSVRAEERFAAIRSFSGQLGKMNSEFDENSAFVFLISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTRHTVEEVIMRRAERKLLLSHNVIGDDTVEQNGKGTSGVETIDLKSIIFGLHMFDPSEISTEKSDELNMPELNAMTAKVIAMRDDQRLGGTDSKYEVNQIDVISGGNSAFVDYDHGLDEEMYQSWVRKFKEASQSSGNQVLDLGHRRNLPEDKHLKLEAARKKAEEKKLFKWESLGYHSLSVKDPDPAVDGDVLSDSGSLHFVFGDCTDPAKVCPSEPTIIFSCADNSGNWGHGGMFDALAKLSTSIPDAYQRASEFGDLHLGDLHLIRINGDSDRQSLEDDPPRWVALAVVQSYNPRRKVPRSNISILDLERCLSKVSFAAAKNSASIHMPRIGYQDESDRSQWYTVERLLRKYASIYSTKIYVYYYRRSS >Manes.04G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5360614:5377408:1 gene:Manes.04G038200.v8.1 transcript:Manes.04G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEQRLMAAATIIIDADVRASDCPINATEIGVTATLKPHQVEGLSWLIRRYLLGVNVILGDEMGLGKTLQAISFLSYLKVHRMSHGPFLVLCPLSVTDGWVSEMAKFTPKLKVLRYVGDKEHRRNLRKALYEHVKEHPSSTDGSFLPFDVLLTTYDIALMDQDFLSQIPWHYAIIDEAQRLKNPSSVLYNILKEHFLMPRRLLMTGTPIQNNLTELWALLHFCMPSMFGKHEQFLSTFKEAGDLTSDLDAAKVKGQLKTLKCIMKALMLRRTKSKLIEGGNLVLPPLTEITVMAPLVSLQKSVYTSILRKELPKLLALSSFNNQSLQNIVIQLRKACSHPYLFPGIEPEPFEEGEHLVQASGKLIILDKLLQKLHDFGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSVRAEERFAAIRSFSGQLGKMNSEFDENSAFVFLISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTRHTVEEVIMRRAERKLLLSHNVIGDDTVEQNGKGTSGVETIDLKSIIFGLHMFDPSEISTEKSDELNMPELNAMTAKVIAMRDDQRLGGTDSKYEVNQIDVISGGNSAFVDYDHGLDEEMYQSWVRKFKEASQSSGNQVLDLGHRRNLPEDKHLKLEAARKKAEEKKLFKWESLGYHSLSVKDPDPAVDGDVLSDSGSLHFVFGDCTDPAKVCPSEPTIIFSCADNSGNWGHGGMFDALAKLSTSIPDAYQRASEFGDLHLGDLHLIRINGDSDRQSLEDDPPRWVALAVVQSYNPRRKVPRSNISILDLERCLSKVSFAAAKNSASIHMPRIGYQDESDRSQWYTVERLLRKYASIYSTKIYVYYYRRSS >Manes.12G075750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8669949:8671596:1 gene:Manes.12G075750.v8.1 transcript:Manes.12G075750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWLKIFNLSCFCWFLSSLDLSQNSLTSSLPPSLFQLQNLTKLLLIANDIFGSIPPEVGNCVSLIRLRLVNNRISGEISKEIGLLKNLSFLDLSENHIGGTLPAEIGNCNELQMLNLSNNTLRGTLPSSLSSLTRLEVLDLSVNQFVGEIPSGFGKLSSLNRLILNKNSLFRAIPSSLGHCLSLQLLDLSSNALSGMIPVELFDIEGLDIALNLSCNALTGTIPPQISALNKLSILDLSHNDSCFIYAGRDRALCFLEFLVMRLEGLWRVLVRV >Manes.05G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9348852:9353212:-1 gene:Manes.05G100300.v8.1 transcript:Manes.05G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLTRCCNGNAFLRALKPLNLRISNPPCRCYSMPILSGGTKSLVKAYDALLLDAGGTLLQLVKPVDETYASIGTKYGLTATSVEIKKGFKRAFAAPWPEKLRYQGDGRPFWKLVVSEATGCTNDDYFEEVYKYYANGDAWRLPDGAYETLFLLKDNGVKLAVVSNFDTRLRKLLEDLNVIDLFDALIISSEVGYEKPDPNIFKAALDQVNVEASKAVHVGDDLKADKDGANAIGIDCWLWGEDVKTFTDIQNRILAIV >Manes.07G043400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5072160:5073173:1 gene:Manes.07G043400.v8.1 transcript:Manes.07G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSYPRHKKLNTPEGTHPLWWCAAIVCSVLAVAVIIAGIVVFVGYLVYHPRIPIISVVNAHLNLFQYDLTGVLVTQVNIVVRTENDNRRAHASLSDMELTLIFDGLEIAKLMAGDYEVRKNSTVDFNFVATSDPIPLNPEQMKDVDVFLNEDEVRFDLKGNVRARWRVGLLGSIKFQCHLDCRLRFHRSSGDYIPGRCTSKAK >Manes.01G097600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29896808:29902996:-1 gene:Manes.01G097600.v8.1 transcript:Manes.01G097600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHLLALARRSHRPSPSALSAVRHSSTAVASSSPSTPPAPPPPNAMIYDRLAESVKSKLQQLENPDPRFLKYGSPHPTLTTHTHILSAPETRVTTLSNGLRVATESTLASKTATVGVWIDAGSRFETEDTNGTAHFLEHMIFKGTERRSARDLEEEIENMGGHLNAYTSREQTTYYAKVMDKDVNKALDILADILQNSKFDENRIRRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNVRSITRDHLQSYIQTHYTAPRMVIVASGAVKHEEVVEQVKKLFTKLSADPTTASQLVGKEPAFFTGSEVRIIDDDVPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWNKNAGGGKHMGSELAQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAWAIMYETTKLSYRVSEADVTRARNQLKSSLILHIDGTSPVAEDIGRQVLLFFL >Manes.01G097600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29896808:29902996:-1 gene:Manes.01G097600.v8.1 transcript:Manes.01G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHLLALARRSHRPSPSALSAVRHSSTAVASSSPSTPPAPPPPNAMIYDRLAESVKSKLQQLENPDPRFLKYGSPHPTLTTHTHILSAPETRVTTLSNGLRVATESTLASKTATVGVWIDAGSRFETEDTNGTAHFLEHMIFKGTERRSARDLEEEIENMGGHLNAYTSREQTTYYAKVMDKDVNKALDILADILQNSKFDENRIRRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAQNVRSITRDHLQSYIQTHYTAPRMVIVASGAVKHEEVVEQVKKLFTKLSADPTTASQLVGKEPAFFTGSEVRIIDDDVPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWNKNAGGGKHMGSELAQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAWAIMYETTKLSYRVSEADVTRARNQLKSSLILHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIHDKDIAIAAMGPIQGLPDYNWFRRRTYLNRY >Manes.07G018100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2089488:2091607:-1 gene:Manes.07G018100.v8.1 transcript:Manes.07G018100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMERFAAFYSIVFLLLLWLCWWSRRRNSLVIDWPIFGMIPTLLYHFSHIHDFATYILQQSTGPFYFKGPWFSGMDFLGIADPINVHYVMSKNFSNYPKGAEFKQIFEPLGDGIFNADSDSWSIQRRMVQSLLHKNKNFDLALEITLKQKILQGLLPILENVSQVDMQDVFQRFTFDTICQLVLGFDPNSLSIEFSQIPHQKAFDDIEEAFIYRHAVPGSIWKLQKWLQIGKEKKLKKAWEIFDDFLERCITTKREQLRQNCRDQMEGERFDLLSSFLAEDDDFAKEAAKSGIQTKSNKFLRDMALNLLVAGRDTIGAALVWFFWLVGTHPLVEKRILEEIKGILGEKPGEKWRVFNIEEARKLVYLHAVICEVLRLYPSIPFQHKVSTQQDTFPSGHNVPKNMRILLSFYSMGRMEEIWGKDCLEFKPERWISEGGKIKHVPSYKFTAFNAGPRSCVEIQTETVMHELTVLNFNDRLRRCDGTSNS >Manes.17G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27052065:27054157:-1 gene:Manes.17G070400.v8.1 transcript:Manes.17G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSAFRERLELMESTRNQRLSLLQAEKECEANRSQVLSSKLTNIRSMEQRCLLLDRNIAFQNFKILALKSEIESLDAKYQADLRQLRVLKSEVEELEEEEKEREVFYELKSNEIKAFKETVEKFVLKSQMQVNELKDQVNELNSAFVKLQGNNGYLCNSEIAPAEMRKSELVAVKENLDKHLASNYQLKSELQKQLQSLLSTQDQGKRKQLSQFTVSKGSMKSAF >Manes.11G090678.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17446648:17447593:-1 gene:Manes.11G090678.v8.1 transcript:Manes.11G090678.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVMAMNSRLNVSEEGFVNRNRGPLGQGGAGEVGELVIPKLAKLDFPRFDGTEDPTLWICGADQFYEFKGTGPHDQVRLAAYHLEKDAQLWYQCCKNLGHFVTWDGMEAGLLERFAVTEDVDFFSELYLTQFKFYYFYPIFSTETTADYQTQFERFHHTMYGTLTDQQEAECFISGLKDGLQADVRIQNP >Manes.12G135400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34222914:34226620:-1 gene:Manes.12G135400.v8.1 transcript:Manes.12G135400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPVRKPHTSTADLLTWKEAPPSESPAKVTAHRSHQPSDRIGKVLFGGQVTDEEADSLMKKKPCSGYKLKEMTGSGIFKANGGGDASESGASNPINKTSVRVYQQAMNGISQISFNAEERISPKKPTSLPEVAKQRELSGTLQSESDMKSKKQISNAKFKEISGHDIFAPSPEIKPRSLAAARTLEPKENKDIEKPAPRNIRTSVKVSNPAGGQSNILFGEEPVKRTSKKIHNQKFQELTGNNIFKGDFPPGSAEKPLSNAKLKEISGSNIFADGKSESRDYFGGVRKPPGGESSIALV >Manes.12G135400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34222914:34226620:-1 gene:Manes.12G135400.v8.1 transcript:Manes.12G135400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGIFKANGGGDASESGASNPINKTSVRVYQQAMNGISQISFNAEERISPKKPTSLPEVAKQRELSGTLQSESDMKSKKQISNAKFKEISGHDIFAPSPEIKPRSLAAARTLEPKENKDIEKPAPRNIRTSVKVSNPAGGQSNILFGEEPVKRTSKKIHNQKFQELTGNNIFKGDFPPGSAEKPLSNAKLKEISGSNIFADGKSESRDYFGGVRKPPGGESSIALV >Manes.12G135400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34222913:34226620:-1 gene:Manes.12G135400.v8.1 transcript:Manes.12G135400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFLDILLILYLSPHASFKALSKRVHLMDFVTSLCIYYVSQLTVSFALLRKPCSGYKLKEMTGSGIFKANGGGDASESGASNPINKTSVRVYQQAMNGISQISFNAEERISPKKPTSLPEVAKQRELSGTLQSESDMKSKKQISNAKFKEISGHDIFAPSPEIKPRSLAAARTLEPKENKDIEKPAPRNIRTSVKVSNPAGGQSNILFGEEPVKRTSKKIHNQKFQELTGNNIFKGDFPPGSAEKPLSNAKLKEISGSNIFADGKSESRDYFGGVRKPPGGESSIALV >Manes.12G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34222914:34227746:-1 gene:Manes.12G135400.v8.1 transcript:Manes.12G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPVRKPHTSTADLLTWKEAPPSESPAKVTAHRSHQPSDRIGKVLFGGQVTDEEADSLMKKKPCSGYKLKEMTGSGIFKANGGGDASESGASNPINKTSVRVYQQAMNGISQISFNAEERISPKKPTSLPEVAKQRELSGTLQSESDMKSKKQISNAKFKEISGHDIFAPSPEIKPRSLAAARTLEPKENKDIEKPAPRNIRTSVKVSNPAGGQSNILFGEEPVKRTSKKIHNQKFQELTGNNIFKGDFPPGSAEKPLSNAKLKEISGSNIFADGKSESRDYFGGVRKPPGGESSIALV >Manes.12G135400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34222914:34227746:-1 gene:Manes.12G135400.v8.1 transcript:Manes.12G135400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGIFKANGGGDASESGASNPINKTSVRVYQQAMNGISQISFNAEERISPKKPTSLPEVAKQRELSGTLQSESDMKSKKQISNAKFKEISGHDIFAPSPEIKPRSLAAARTLEPKENKDIEKPAPRNIRTSVKVSNPAGGQSNILFGEEPVKRTSKKIHNQKFQELTGNNIFKGDFPPGSAEKPLSNAKLKEISGSNIFADGKSESRDYFGGVRKPPGGESSIALV >Manes.12G135400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34222914:34227746:-1 gene:Manes.12G135400.v8.1 transcript:Manes.12G135400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPVRKPHTSTADLLTWKEAPPSESPAKVTAHRSHQPSDRIGKVLFGGQVTDEEADSLMKKKPCSGYKLKEMTGSGIFKANGGGDASESGASNPINKTSVRVYQQAMNGISQISFNAEERISPKKPTSLPEVAKQRELSGTLQSESDMKSKKQISNAKFKEISGHDIFAPSPEIKPRSLAAARTLEPKENKDIEKPAPRNIRTSVKVSNPAGGQSNILFGEEPVKRTSKKIHNQKFQELTGNNIFKGDFPPGSAEKPLSNAKLKEISGSNIFADGKSESRDYFGGVRKPPGGESSIALV >Manes.17G096200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30384443:30388611:1 gene:Manes.17G096200.v8.1 transcript:Manes.17G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPYFHKLILSNTIRDKKLRIPDNFVKKFGNDLSAFGRLSVPGGPVWPVGLIKADDKFWFHEGWQEFMERYSIRVGYFLVFRYEGHAVFTVHIFNLSASEINYQSNALSGRRFLAFEEMGDDDFVEYLSSSSPYLLPNSLKRNVLLSKGDSISQVTNQATRDVGVQFNAIEMKNYADDVKFYVPDGEIQKPKKQGRKKRKIDPNEQQPPASQEDEAEMRFRFYESASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMYLPSCFAEKNLNGVSGFIKLQLCDGKQWPVRCLYRGGRAKLSQGWYEFTLENNLGEGDVCIFELMRSRDIVLKVTVFRVLESAGLVNRP >Manes.17G096200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30384443:30388611:1 gene:Manes.17G096200.v8.1 transcript:Manes.17G096200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPYFHKLILSNTIRDKKLRIPDNFVKKFGNDLSAFGRLSVPGGPVWPVGLIKADDKFWFHEGWQEFMERYSIRVGYFLVFRYEGHAVFTVHIFNLSASEINYQSNALSGRRFLAFEEMGDDDFVEYLSSSSPYLLPNSLKRNVLLSKGDSISQVTNQATRDVGVQFNAIEMKNYADDVKFYVPDGEIQKPKKQGRKKRKIDPNEQQPPASQEDEAEMRFRFYESASARKRTVTAEERERAINAAKAFEPINPFCRVVLRPSYLYRGCIMDSLNSSCVMGNSGLSDAFIGEVELN >Manes.17G070000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27025555:27027002:1 gene:Manes.17G070000.v8.1 transcript:Manes.17G070000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLFLGNGPPVPRLARIPSSLSSKRGTKLHFSLKQTCAYSLTSVNACRPPIRHDFYPVIRALDDGSSRPSRNNRYENKIRRTAVGASVALSCVLGIIGACFKMNPKAVAGPRELYQKAPQVVVAYPLGGRSALKSLLDVNVYLSSKLDPPGTLSRLPLRPSAEEVNGIKMEAIRLMKYGKPEDAVFFLRNAYNNYKYDPEPAYNVDMALVEILICQGKYMEALECNCLKDDQRIPSDGNHIYNVG >Manes.17G070000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27025452:27027124:1 gene:Manes.17G070000.v8.1 transcript:Manes.17G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLFLGNGPPVPRLARIPSSLSSKRGTKLHFSLKQTCAYSLTSVNACRPPIRHDFYPVIRALDDGSSRPSRNNRYENKIRRTAVGASVALSCVLGIIGACFKMNPKAVAGPRELYQKAPQVVVAYPLGGRSALKSLLDVNVYLSSKLDPPGTLSRLPLRPSAEEVNGIKMEAIRLMKYGKPEDAVFFLRNAYNNYKYDPEPAYNVDMALVEILICQGKYMEALECNCLKDDQRIPSDGRFPLYKAIIYTMLGEKEEARKWWEEYVETVEGEFDPTSF >Manes.17G070000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27025555:27026970:1 gene:Manes.17G070000.v8.1 transcript:Manes.17G070000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLFLGNGPPVPRLARIPSSLSSKRGTKLHFSLKQTCAYSLTSVNACRPPIRHDFYPVIRALDDGSSRPSRNNRYENKIRRTAVGASVALSCVLGIIGACFKMNPKAVAGPRELYQKAPQVVVAYPLGGRSALKSLLDVNVYLSSKLDPPGTLSRLPLRPSAEEVNGIKMEAIRLMKYGKPEDAVFFLRNAYNNYKYDPEPAYNVDMALVEILICQLIMQGKYMEALECNCLKDDQRIPSDGRFPLYKAIIYTMLGEKEEARKWWEEYVETVEGEFDPTSF >Manes.13G138700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34711536:34718501:1 gene:Manes.13G138700.v8.1 transcript:Manes.13G138700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTIVGDGGGNDGAREKQRFTESKVYTRKAFKGPKNNIKNLTTTTSTTATATATATATNNNTTNATSTTVTATEPETTAATNNNKSSNSENNNDRNHVNDSIQVPESQKPALEDTNLPHQQPTSLLDANSDDSSILNRPQGMPVVAPSGPDLTAGNGVVKQGFDNKVKINLTSKSKQEMRELRRKLESELDMVRSLVRRIEAKEVQLGVGGYGNSRVSLNEVGNGLKRVNSEVGSVGAPRDITTPILTPTPRQSRPLNQLSISVLENSQGPGETVEKEKRTPKANQFYRNSEFLLAKDKFPPADSNKKSKSNGKKQGGGDLGFGFGTGSKVFKNCSALLEKLMKHRHGWVFNTPVDVKTLGLHDYFTIIKHPMDLGTVKTRLNKNWYKSPEEFAEDVRLTFHNALTYNPKGQDVHIMAEVLLKMFEDRWAVIKSDYERELRFAASYELGIPTPTSRKTHQLPPPPLYMRRVLDRSESMNYPPGDPRPKPISTTPSGRTPAPKKPKAKDPHKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSSLCQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARADAEHNVQEKITAPVAAEAPLETRTADERNVSTSSPAQVDKHGDNGARSSSSSSSSSDSGSSSSDSDTDSSSASGSDVGH >Manes.13G138700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34711536:34718500:1 gene:Manes.13G138700.v8.1 transcript:Manes.13G138700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTIVGDGGGNDGAREKQRFTESKVYTRKAFKGPKNNIKNLTTTTSTTATATATATATNNNTTNATSTTVTATEPETTAATNNNKSSNSENNNDRNHVNDSIQVPESQKPALEDTNLPHQQPTSLLDANSDDSSILNRPQGMPVVAPSGPDLTAGNGVVKQGFDNKVKINLTSKSKQEMRELRRKLESELDMVRSLVRRIEAKEVQLGVGGYGNSRVSLNEVGNGLKRVNSEVGSVGAPRDITTPILTPTPRQSRPLNQLSISVLENSQGPGETVEKEKRTPKANQFYRNSEFLLAKDKFPPADSNKKSKSNGKKQGGGDLGFGFGTGSKVFKNCSALLEKLMKHRHGWVFNTPVDVKTLGLHDYFTIIKHPMDLGTVKTRLNKNWYKSPEEFAEDVRLTFHNALTYNPKGQDVHIMAEVLLKMFEDRWAVIKSDYERELRFAASYELGIPTPTSRKTHQLPPPPLYMRRVLDRSESMNYPPGDPRPKPISTTPSGRTPAPKKPKAKDPHKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSSLCQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARADAEHNVQEKITAPVAAEAPLETRTADERNVSTSSPAQVDKHGDNGARSSSSSSSSSDSGSSSSDSDTDSSSASGSDVGH >Manes.13G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34711536:34718500:1 gene:Manes.13G138700.v8.1 transcript:Manes.13G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTIVGDGGGNDGAREKQRFTESKVYTRKAFKGPKNNIKNLTTTTSTTATATATATATNNNTTNATSTTVTATEPETTAATNNNKSSNSENNNDRNHVNDSIQVPESQKPALEDTNLPHQQPTSLLDANSDDSSILNRPQGMPVVAPSGPDLTAGNGVVKQGFDNKVKINLTSKSKQEMRELRRKLESELDMVRSLVRRIEAKEVQLGVGGYGNSRVSLNEVGNGLKRVNSEVGSVGAPRDITTPILTPTPRQSRPLNQLSISVLENSQGPGETVEKEKRTPKANQFYRNSEFLLAKDKFPPADSNKKSKSNGKKQGGGDLGFGFGTGSKVFKNCSALLEKLMKHRHGWVFNTPVDVKTLGLHDYFTIIKHPMDLGTVKTRLNKNWYKSPEEFAEDVRLTFHNALTYNPKGQDVHIMAEVLLKMFEDRWAVIKSDYERELRFAASYELGIPTPTSRKTHQLPPPPLYMRRVLDRSESMNYPPGDPRPKPISTTPSGRTPAPKKPKAKDPHKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSSLCQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARADAEHNVQEKITAPVAAEAPLETRTDERNVSTSSPAQVDKHGDNGARSSSSSSSSSDSGSSSSDSDTDSSSASGSDVGH >Manes.13G138700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34711536:34718501:1 gene:Manes.13G138700.v8.1 transcript:Manes.13G138700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTIVGDGGGNDGAREKQRFTESKVYTRKAFKGPKNNIKNLTTTTSTTATATATATATNNNTTNATSTTVTATEPETTAATNNNKSSNSENNNDRNHVNDSIQVPESQKPALEDTNLPHQQPTSLLDANSDDSSILNRPQGMPVVAPSGPDLTAGNGVVKQGFDNKVKINLTSKSKQEMRELRRKLESELDMVRSLVRRIEAKEVQLGVGGYGNSRVSLNEVGNGLKRVNSEVGSVGAPRDITTPILTPTPRQSRPLNQLSISVLENSQGPGETVEKEKRTPKANQFYRNSEFLLAKDKFPPADSNKKSKSNGKKQGGGDLGFGFGTGSKVFKNCSALLEKLMKHRHGWVFNTPVDVKTLGLHDYFTIIKHPMDLGTVKTRLNKNWYKSPEEFAEDVRLTFHNALTYNPKGQDVHIMAEVLLKMFEDRWAVIKSDYERELRFAASYELGIPTPTSRKTHQLPPPPLYMRRVLDRSESMNYPPGDPRPKPISTTPSGRTPAPKKPKAKDPHKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSSLCQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARADAEHNVQEKITAPVAAEAPLETRTDERNVSTSSPAQVDKHGDNGARSSSSSSSSSDSGSSSSDSDTDSSSASGSDVGH >Manes.08G037100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3631574:3636925:1 gene:Manes.08G037100.v8.1 transcript:Manes.08G037100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLSSTVQLCSFRPRSQNCRFGSVSFNSHGLNKYNSVPSFDSDKKLGKVNSGFCQLKKDFVLSAKQGLTVDKEVDVEGNGGVSKERSNFDAIVIGSGIGGLVAATQLAVKGARVLVLEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGCKMEVIPDPTTVHFHLPNNLSVRVHREYGDFISELISRFPHEKEGILKFYGDCWKIFNALNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYIKDPELLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAKSLASGLIDQGSEILYKANVTNIILEHGKAVGVRLSDGREFFAKTIISNATRWDTFGKLLKGEKIPEEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWARLEGPYSSIFLSIPTVLDSSLAPEGHHILHIFTTSSIEDWEGLPLKDYEAKKELVANEIISRLEKKLFPGLRSSIYFMEVGSPKTHRRYLARDKGTYGPMPRGTPKGLLGMPFNTTAVDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSPVLDAALLRLLGWLRTLA >Manes.01G137500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32958282:32962363:1 gene:Manes.01G137500.v8.1 transcript:Manes.01G137500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNDGEPSRKKSGFPHAVLNERILSSMSRGSIAAHPWHDLEIGPGAPSVFNCVIEIGKGSKVKYELDKISGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAKAATDAIKYSMDLYASYIVESLRQ >Manes.18G080800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7428826:7429890:-1 gene:Manes.18G080800.v8.1 transcript:Manes.18G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKKPCTSLASSNSNIYINLKDIIGENVLRYLPAKSLHRFSCVCRDWKNYISTPFFAHRQSNSFHQVSGFFCQSPSSLLPSFISLDPTAYGVPDPSLRFLPEPVDVRCSSNGLLCCQAQGSEYKPYYICNPVTQKWKKLPKSDANHGSDPSLVLVFEPPLEKFVVEYRLICAFQSDTVGYKFDIYSSAEGSWRTSREICVGNWQIFPYSGVYVNGVVYWRPRSKIRILAFDLTSERATPLYSCSIGCLGNVNGKLCSAFRHGAQLVVFELSNIAMDMMMTIYTKEFSLEDSEMIMLTKDGGRVLFVGGETAVIYLGTTLISYNMKTKDIKELAIEADDGRSMIPYVNSLVQL >Manes.17G072000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27194353:27199033:1 gene:Manes.17G072000.v8.1 transcript:Manes.17G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGALRGILSPPLVQGSASSSSSSDSRDSTNKSLILARRRAVVFGSTSVVVASLLNLHNFNSSSPLFHSAIASAEEDVLEKEEGRIVHVFQITSPSVVFIKDLELAKIPKSSSNDATLNEDENAKVEGTGSGFIWDKFGHIVTNYHVVDKLATDRSGLQRCKVFLIDAGGNSLYREGKIIGFDPAYDLAVLKVDVEGHELKPAVLGTSRDLRVGQSCYAIGNPYGYENTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGTGVSSGVNFAIPIDTVVRTVPYLIVYGTPYSDSFVARTIKRKL >Manes.05G088400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8041025:8043258:-1 gene:Manes.05G088400.v8.1 transcript:Manes.05G088400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFQSQIIHKGAHFSHHPYSLLSSSSSASSSSYSPSLPYNSDYQKQASSSNKISPALLFIIIILAVIFFISGVLHLLVRFLIRHRSSVSESDRYPEMAGSDAIQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIKGLKEPFDCAVCLSEFSEKDKLRLLPACSHAFHIDCIDTWLLSNSTCPLCRGTLYTPGIPFENPVFDDEEPREEDGFSSTAGSGVSVGQKPAENERINSKRVFSVRLGKFKSSNSEAVETSSSNLDARRCYSMGSYHYVVADLDLQVALCPGTGAGPGPIKLVKGRNGQNGDPLTDGDVEGKKINRSNGESFSVSKIWQWSKKGKFPSLSETHRGISSVSVDLPWSDKSLVIEPTREIPCMHRTEKMLFIFC >Manes.05G088400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8040520:8043258:-1 gene:Manes.05G088400.v8.1 transcript:Manes.05G088400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFQSQIIHKGAHFSHHPYSLLSSSSSASSSSYSPSLPYNSDYQKQASSSNKISPALLFIIIILAVIFFISGVLHLLVRFLIRHRSSVSESDRYPEMAGSDAIQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIKGLKEPFDCAVCLSEFSEKDKLRLLPACSHAFHIDCIDTWLLSNSTCPLCRGTLYTPGIPFENPVFDDEEPREEDGFSSTAGSGVSVGQKPAENERINSKRVFSVRLGKFKSSNSEAVETSSSNLDARRCYSMGSYHYVVADLDLQVALCPGTGAGPGPIKLVKGRNGQNGDPLTDGDVEGKKINRSNGESFSVSKIWQWSKKGKFPSLSETHRGISSVSVDLPWSDKSLVIEPTRNTIIVMWKCNSWAGASCSSQQ >Manes.05G088400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8041545:8043375:-1 gene:Manes.05G088400.v8.1 transcript:Manes.05G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFQSQIIHKGAHFSHHPYSLLSSSSSASSSSYSPSLPYNSDYQKQASSSNKISPALLFIIIILAVIFFISGVLHLLVRFLIRHRSSVSESDRYPEMAGSDAIQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIKGLKEPFDCAVCLSEFSEKDKLRLLPACSHAFHIDCIDTWLLSNSTCPLCRGTLYTPGIPFENPVFDDEEPREEDGFSSTAGSGVSVGQKPAENERINSKRVFSVRLGKFKSSNSEAVETSSSNLDARRCYSMGSYHYVVADLDLQVALCPGTGAGPGPIKLVKGRNGQNGDPLTDGDVEGKKINRSNGESFSVSKIWQWSKKGKFPSLSETHRGISSVSVDLPWSDKSLVIEPTR >Manes.03G196600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31436168:31439320:1 gene:Manes.03G196600.v8.1 transcript:Manes.03G196600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESLSIRKSWGRKTMDGNKDEALRCVRIAEEAIASGNKDRALKFIRIAQRLNHNLSVDEVLAACEKLDSSGSNLSSLDRKSVVHDDKNRTRPAKIDGGLNGERSYTEEHVELIRQIKRSKDYYAILGVEKTCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVCKAFKCLSDDSSRRQYDQTGLVDEFEYNQQYNVRRTRRRRTVHEFYDDDVDPDEIFRSFFGHTDMFRAHHVYRSRGTGDRHREEFNGGGPNLLLLLQILPFLLIFLLAYLPFSEPDYSLHKNYSYQIPKTTEKHGVEFFVKSAAFDENFPVGSPARASIEDNVIKDYRNLLWRHCHIELQRRHWSKNMPTPHCDKLRNLELA >Manes.03G196600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31436168:31439320:1 gene:Manes.03G196600.v8.1 transcript:Manes.03G196600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALRCVRIAEEAIASGNKDRALKFIRIAQRLNHNLSVDEVLAACEKLDSSGSNLSSLDRKSVVHDDKNRTRPAKIDGGLNGERSYTEEHVELIRQIKRSKDYYAILGVEKTCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVCKAFKCLSDDSSRRQYDQTGLVDEFEYNQQYNVRRTRRRRTVHEFYDDDVDPDEIFRSFFGHTDMFRAHHVYRSRGTGDRHREEFNGGGPNLLLLLQILPFLLIFLLAYLPFSEPDYSLHKNYSYQIPKTTEKHGVEFFVKSAAFDENFPVGSPARASIEDNVIKDYRNLLWRHCHIELQRRHWSKNMPTPHCDKLRNLELA >Manes.03G196600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31436168:31439320:1 gene:Manes.03G196600.v8.1 transcript:Manes.03G196600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALRCVRIAEEAIASGNKDRALKFIRIAQRLNHNLSVDEVLAACEKLDSSGSNLSSLDRKSVVHDDKNRTRPAKIDGGLNGERSYTEEHVELIRQIKRSKDYYAILGVEKTCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVCKAFKCLSDDSSRRQYDQTGLVDEFEYNQQYNVRRTRRRRTVHEFYDDDVDPDEIFRSFFGHTDMFRAHHVYRSRGTGDRHREEFNGGGPNLLLLLQILPFLLIFLLAYLPFSEPDYSLHKNYSYQIPKTTEKHGVEFFVKSAAFDENFPVGSPARASIEDNVIKDYRNLLWRHCHIELQRRHWSKNMPTPHCDKLRNLELA >Manes.03G196600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31436168:31439320:1 gene:Manes.03G196600.v8.1 transcript:Manes.03G196600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALRCVRIAEEAIASGNKDRALKFIRIAQRLNHNLSVDEVLAACEKLDSSGSNLSSLDRKSVVHDDKNRTRPAKIDGGLNGERSYTEEHVELIRQIKRSKDYYAILGVEKTCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVCKAFKCLSDDSSRRQYDQTGLVDEFEYNQQYNVRRTRRRRTVHEFYDDDVDPDEIFRSFFGHTDMFRAHHVYRSRGTGDRHREEFNGGGPNLLLLLQILPFLLIFLLAYLPFSEPDYSLHKNYSYQIPKTTEKHGVEFFVKSAAFDENFPVGSPARASIEDNVIKDYRNLLWRHCHIELQRRHWSKNMPTPHCDKLRNLELA >Manes.16G059350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:22596398:22596748:1 gene:Manes.16G059350.v8.1 transcript:Manes.16G059350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLGTINLPLVLGDEKHKRELYAEFAVINISLAYNVILGHSVLNCHGIVIKMGAMCLKLPALGGLAVVRGNQKSAKECYRHSTKSLGKATMPINLLKKLDFHIKPETTSRNLRKP >Manes.18G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2914443:2919264:-1 gene:Manes.18G035300.v8.1 transcript:Manes.18G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPETELISIPATPRASTPEVLTPSGQRSPRPPSKEAKSSTAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEMGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWVLVQLHEAVPGKRYNRYVELAEAAFGERLGVWLSLFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAITAITYSTMVWVLSVSQQRPPSISYEPLSLPSSTASVFSVLNALGIIAFAFRGHNLALEIQSTMPSTFKHPAHVPMWKGAKVAYFFIAMCLFPVAIGGFWAYGNLMPSGGILNALYGFHSHDIPRGLLALTCLLVVFNCLSSFQIYSMPVFDSFEAGYISRTNRPCSIWVRSGFRVFCGFFSFFIGVAFPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPTKYSFNWYFNWILGWLGIAFSLAFSIGGVWSIVNNGLRLKFFKPPN >Manes.13G145917.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36187503:36190148:-1 gene:Manes.13G145917.v8.1 transcript:Manes.13G145917.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINFHRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.13G145917.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36187503:36190148:-1 gene:Manes.13G145917.v8.1 transcript:Manes.13G145917.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINFHRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.16G078600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28345807:28352615:-1 gene:Manes.16G078600.v8.1 transcript:Manes.16G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESQYSSGSDPSTVASNKRKYDDQTPPASTRRPTGFSSPDTTHPPPSYNSVPPPTDEIQMAKQKAQEIAARLLSGAGADIKRPRVENGASGFDSSDKGFSTAPNDMKSLSNSAPSTIPVSYSSYLGGSSKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDSDPNSPTRMVELMGTPEQIAKAEQLINEVLEQADAGGSGTVSRRFTGQGGSEHFVMKIANNKVGLVIGKGGETIKNMQTRTGARIQVIPLHLPPGDTSTERNVHIEGTSEQIELAKQLVLEVTSENRARNPSMGGGYPQQGYQTRPQSSWGQPGATPMQQPGYGYMQPGAYPGPPPQYNTSQPPYAGYPPQPSSGGYPTNWDQSNVSANQQTGQGYDYYNQPASSQAAPTPGGSAAPADNTGYNYSQPPTSGYNQQGQSYDGYGGYAQSGYGQPPPYDQQQGYTSAPSYGNVANANQEGHTSSYGAQGDSAQAPSHPPATGQQGYPTGQQPSPNPSSYPPQGSTQPGYAQTGYGSQPPAQPGYGTNYGPSQAQKPPANTTAYGQTQQSPSTPGGYSQPTTVQPGYSHPQPPPSGYTQPDSGPIRQPPSGFGASSAQPAYGPPYGSTTAGQSGYGQGMPPYSTAYVGSYSQPAAYSADGNASNKASYDASAASQPANQSGVSKTSPQS >Manes.17G022697.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:14127263:14128981:1 gene:Manes.17G022697.v8.1 transcript:Manes.17G022697.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHHNHNKLMLTHGHNIGDSDNAEPEDSFILSSFSDDDDGGSSKGGRGEGRGATSSLQSHDDPSSYQRHSPSPSPAPAPTLQHTYHRSRGSGGSSDKGKGVAHGECSIDADNYGYGTYGASESSMEATSTSDYGYRGNFQWEYSNPYPYQPSPLYSDLSLSEQSFSHTQIQSNHSDQFGMGSFFSFDPSQYYQYHQDQSSQSQDEGGGSTQEPARRSFWW >Manes.08G068700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032225:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGDSYGEHDKKVAEHLIDDESDKEVTSVSEGKHLGDTLENQNQSEVSDGEEKSSFEGKDFAENAQKDDAEEDTHSEEREVELSDGIQEEANKDKSDSEWIQDEDIVRTAHRNPKKPRIESSPSNAEDANISDDEPLSNWKHKVRKSGSRRAR >Manes.08G068700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032265:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGVLPFYLYS >Manes.08G068700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032265:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSCSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGVLPFYLYS >Manes.08G068700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032265:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSCSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGDSYGEHDKKVAEHLIDDESDKEVTSVSEGKHLGDTLENQNQSEVSDGEEKSSFEGKDFAENAQKDDAEEDTHSEEREVELSDGIQEEANKDKSDSEWIQDEDIVRTAHRNPKKPRIESSPSNAEDANISDDEPLSNWKHKVRKSGSRRAR >Manes.08G068700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032225:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSCSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGDSYGEHDKKVAEHLIDDESDKEVTSVSEGKHLGDTLENQNQSEVSDGEEKSSFEGKDFAENAQKDDAEEDTHSEEREVELSDGIQEEANKDKSDSEWIQDEDIVRTAHRNPKKPRIESSPSNAEDANISDDEPLSNWKHKVRKSGSRRAR >Manes.08G068700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032225:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSCSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGDSYGEHDKKVAEHLIDDESDKEVTSVSEGKHLGDTLENQNQSEVSDGEEKSSFEGKDFAENAQKDDAEEDTHSEEREVELSDGIQEEANKDKSDSEWIQDEDIVRTAHRNPKKPRIESSPSNAEDANISDDEPLSNWKHKVRKSGSRRAR >Manes.08G068700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032265:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGDSYGEHDKKVAEHLIDDESDKEVTSVSEGKHLGDTLENQNQSEVSDGEEKSSFEGKDFAENAQKDDAEEDTHSEEREVELSDGIQEEANKDKSDSEWIQDEDIVRTAHRNPKKPRIESSPSNAEDANISDDEPLSNWKHKVRKSGSRRAR >Manes.08G068700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032225:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGDSYGEHDKKVAEHLIDDESDKEVTSVSEGKHLGDTLENQNQSEVSDGEEKSSFEGKDFAENAQKDDAEEDTHSEEREVELSDGIQEEANKDKSDSEWIQDEDIVRTAHRNPKKPRIESSPSNAEDANISDDEPLSNWKHKVRKSGSRRAR >Manes.08G068700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032265:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSCSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGVLPFYLYS >Manes.08G068700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032265:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGVLPFYLYS >Manes.08G068700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032265:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGDSYGEHDKKVAEHLIDDESDKEVTSVSEGKHLGDTLENQNQSEVSDGEEKSSFEGKDFAENAQKDDAEEDTHSEEREVELSDGIQEEANKDKSDSEWIQDEDIVRTAHRNPKKPRIESSPSNAEDANISDDEPLSNWKHKVRKSGSRRAR >Manes.08G068700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11032265:11050089:1 gene:Manes.08G068700.v8.1 transcript:Manes.08G068700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLEQQLKEVGSKLETPPATKDALIKLLKQAASCLSETEQSPSATMMESMQPFSNAIVKPELLKHPDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNKMFSTFFAVASDNHQESVLTSMQTIMVLLIEESEDVREDLLLVILSVLGRNKSDVSSAARRLAMNVIEQCAGKLEPGIKQFLVSSISGDNRSENCQIDYHEVIYDVYCCAPQILSGVVPYLTGELLTDQLDIRLKAVGLVGDLFALPGSAISEAFQPIFSEFLKRLTDRGVEVRMSVLECVKNCLLSNPLRAEASQIISALSDRLLDYDESVRKQVVDVICDVACHALNSIPVETIKLVVERLRDKSLLVKRYTMERIAEIFRVYCMKSSDGSVSPGEFDWIPGKILRCFYDRDFRSDTIESVLCGSMFPTEFSVKERVKLWVRFFSVFDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDVPEFQKKVMFCFRIMSRSFAEPAKAEENFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGEKHRLYDFLSNLSVKSSYLLFNKDHVKEILLEATTHKSAGNTQFTQSCMDILVLLARFSPMLLVGAEEQLVNFLKDDNEIIKEGALHVLAKAGGTIREQLAVSSSCSSSDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKSKILKCSSKAEDTTKTSWDDRSELCLLKIYGIKTLVKSYLPVKDVQLRPGIDGLLEILRNVLMFGEISKDIESSSVDKAHLRLASAKAVLHLAKHWDHKIPVDVFYLTLRTPEITFPQVGKLFLNKVYQYIKDRLLDVKYACAFLFNINGLKPLDLEEEKQNLADIIQMHYQAKARQLSVQSDANTSAAYPECILPYLVHALAHHSCPDVDECKDVRAFEHIYRQLHLVLSILAHKDDDGKSESSTNKEKENISAIVSVFESIKCSEDMVDASKSKNSHAISELGLSITKRLAQKEDIQMLASSVSLPPVLYKPHEKKEGDESLASEGKTWLADENVLMQFESLKLETDGKINYDIAEDEVLKDGEREANEVPLGKMIKQLKLRGTRGGKVKKNKSLSAKTKNAESDVDVLKVVREINLDNMGLSSKFESSNGHKHSPSEKRKAETEHQKAKKTKFTDVASVTVPKRPRSSSSHIARLSSSSLITPLTATADDSSPDSKEKKSIPKLSDESIDSDLLVSCMRKNISFTSKHKGKSSDLGYNSKENEFEEDSDNLKSIVLGNIDKSNASNNFKSLTGSMKKRKRRSVAGLAKCTTKKSGVDIEELIGYRIKVWWPMDKQFYEGTVKSYDPIKRKHVILYDDGDIEVLRLEKERWELADKGRKSMKKSNSSKHPRMTKISPSQKSRSPGNIRQNKKSEKIVKGKRTPKKNSKRMHKELEDKDDSDASNPEPTEESKGDEAKRGDSYGEHDKKVAEHLIDDESDKEVTSVSEGKHLGDTLENQNQSEVSDGEEKSSFEGKDFAENAQKDDAEEDTHSEEREVELSDGIQEEANKDKSDSEWIQDEDIVRTAHRNPKKPRIESSPSNAEDANISDDEPLSNWKHKVRKSGSRRAR >Manes.12G057503.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5629873:5630682:-1 gene:Manes.12G057503.v8.1 transcript:Manes.12G057503.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFFAGNPKLIMQWFSIFANGGGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLNVQRTVENFLDNVPEFWSSTEFAESLRDGDILFIDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNSLLITLGDRELFTVLELLHIYLSMNMEPVDFGNSSCWLEFALSRFNDCESFD >Manes.03G146800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27443375:27445739:-1 gene:Manes.03G146800.v8.1 transcript:Manes.03G146800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNRLVSFVVGAIVISVFIEQGVTSVVSDDPSMYDNYNVVWGSDHVRSSNVGKNVQIFLDQVSGAGFQSKRKYGSGFFHLNIKLPGSNSGGVVTAFYLTSTSSNHHDELDFEFLGNNDGKPHYLQTNVIADGKGDREQRISLWFDPTSSHHSYRILWNRHQIVFFVDKYPIRVFKNKHNIGVDFPSQPMNIMCSIWNGDSWATDGGQTKINWTYAPFTAGFRSFSIYGCPTENSMGPCSSTKYWWNRNQFWQLDPSQEQAYQTVKRKYLIYDYCTDHKRFPTPPPECPQ >Manes.06G035525.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:9624205:9624540:-1 gene:Manes.06G035525.v8.1 transcript:Manes.06G035525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVVLQPNPPHKKTSLSPIKMKVSQKCPSATPQKIPSSSLSQLSKETFFSPNYVGFFGFSLSWSEFHRENRKARTFPSLSFLFLSLSLSNLFFFPFLLLFLSHLFLHSY >Manes.03G037100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3244151:3248325:-1 gene:Manes.03G037100.v8.1 transcript:Manes.03G037100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQMFLNNHHESSRDQGFVIDIYPLSSYYFGSKDPLPFRDETLADRVQRMKLNYQTRGLRTCVEAVILVELFKHPHVLLLQIKNSIFKLPGGRIRPHESEVEGLKRKLSRKLSLNEDKTDWEVDECLGMWWRPDFETLLCPYMPPNVNSPKECTKLYLVRLPMSRKFIVPKNLKLLAVPLCQIHENHKTYGPIISGIPQLLSKFSFNIINS >Manes.03G037100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3244151:3248325:-1 gene:Manes.03G037100.v8.1 transcript:Manes.03G037100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQMFLNNHHESSRDQGFVIDIYPLSSYYFGSKDPLPFRDETLADRVQRMKLNYQTRGLRTCVEAVILVELFKHPHVLLLQIKNSIFKLPGGRIRPHESEVEGLKRKLSRKLSLNEDKTDWEVDECLGMWWRPDFETLLCPYMPPNVNSPKECTKLYLVRLPMSRKFIVPKNLKLLAVPLCQIHENHKTYGPIISGIPQLLSKFSFNIINS >Manes.03G037100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3244720:3248325:-1 gene:Manes.03G037100.v8.1 transcript:Manes.03G037100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQMFLNNHHESSRDQGFVIDIYPLSSYYFGSKDPLPFRDETLADRVQRMKLNYQTRGLRTCVEAVILVELFKHPHVLLLQIKNSIFKLPGGRIRPHESEVEGLKRKLSRKLSLNEDKTDWEVDECLGMWWRPDFETLLCPYMPPNVNSPKECTKLYLVRLPMSRKFIVPKNLKLLAVPLCQIHENHKTYGPIISGIPQLLSKFSFNIINS >Manes.03G037100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3244182:3248325:-1 gene:Manes.03G037100.v8.1 transcript:Manes.03G037100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQMFLNNHHESSRDQGFVIDIYPLSSYYFGSKDPLPFRDETLADRVQRMKLNYQTRGLRTCVEAVILVELFKHPHVLLLQIKNSIFKLPGGRIRPHESEVEGLKRKLSRKLSLNEDKTDWEVDECLGMWWRPDFETLLCPYMPPNVNSPKECTKLYLVRLPMSRKFIVPKNLKLLAVPLCQIHENHKTYGPIISGIPQLLSKFSFNIINS >Manes.03G037100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3244195:3248325:-1 gene:Manes.03G037100.v8.1 transcript:Manes.03G037100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQMFLNNHHESSRDQGFVIDIYPLSSYYFGSKDPLPFRDETLADRVQRMKLNYQTRGLRTCVEAVILVELFKHPHVLLLQIKNSIFKLPGGRIRPHESEVEGLKRKLSRKLSLNEDKTDWEVDECLGMWWRPDFETLLCPYMPPNVNSPKECTKLYLVRLPMSRKFIVPKNLKLLAVPLCQIHENHKTYGPIISGIPQLLSKFSFNIINS >Manes.05G099066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9207310:9208573:-1 gene:Manes.05G099066.v8.1 transcript:Manes.05G099066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKLEDYSLRRRKTLKHTIRSFKIRISSISTSSTPREKADCVVIGAGVVGIALAREHALKGREVLVVDSASKFGAVTSSCNSQAIHVGIYYLPNSLKALFCVRGRNLLYSYCSEHGILHKPTGKLIVTTSHAEILNLYQLMNRAAQNGVDGLRMLEGFEAMKMEPQLQCLKALFSPAPGTVDVHSLMLSLMA >Manes.14G165612.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26380149:26383571:-1 gene:Manes.14G165612.v8.1 transcript:Manes.14G165612.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPCGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSYSIIDASVIVRVVLDYSGAIIHQTWHEKDGEWKEYWSGPKYQCDTYAHCGTNAKCNPHRLNRRFECDCLPGYEPKSPRDWNILKDATGGCVRKRLESSSLCGSGEGFVKVEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCLCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDMQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGNSYYHKETIVANEVGDSMSYPHIAFFDMGTMLAATNNFSPSNTLGQGGFGLVYKGQLSNGQEIAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFAAICHQSTWFSENFQKNLISSVLG >Manes.14G165612.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26380111:26383597:-1 gene:Manes.14G165612.v8.1 transcript:Manes.14G165612.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPCGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSYSIIDASVIVRVVLDYSGAIIHQTWHEKDGEWKEYWSGPKYQCDTYAHCGTNAKCNPHRLNRRFECDCLPGYEPKSPRDWNILKDATGGCVRKRLESSSLCGSGEGFVKVEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCLCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDMQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGNSYYHKETIVANEVGDSMSYPHIAFFDMGTMLAATNNFSPSNTLGQGGFGLVYKGQLSNGQEIAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTKRVVGTFGYMSPEYVVFGKFSEKSDIFSFGVILLEIITGKQNNSFHQEGSCLTLIGHVWNLWKEERALEIVDPLGKDSNFSHEVLRCIQIGLLCVQENAKDRPTILAIVLMLNSESILPSPNEPAFILKKCNSKTKELYSVNELTISNITSR >Manes.14G165612.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26380111:26383571:-1 gene:Manes.14G165612.v8.1 transcript:Manes.14G165612.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPCGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSYSIIDASVIVRVVLDYSGAIIHQTWHEKDGEWKEYWSGPKYQCDTYAHCGTNAKCNPHRLNRRFECDCLPGYEPKSPRDWNILKDATGGCVRKRLESSSLCGSGEGFVKVEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCLCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDMQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGNSYYHKETIVANEVGDSMSYPHIAFFDMGTMLAATNNFSPSNTLGQGGFGLVYKEIAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTKRVVGTFGYMSPEYVVFGKFSEKSDIFSFGVILLEIITGKQNNSFHQEGSCLTLIGHVWNLWKEERALEIVDPLGKDSNFSHEVLRCIQIGLLCVQENAKDRPTILAIVLMLNSESILPSPNEPAFILKKCNSKTKELYSVNELTISNITSR >Manes.14G165612.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26380111:26383597:-1 gene:Manes.14G165612.v8.1 transcript:Manes.14G165612.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPCGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSYSIIDASVIVRVVLDYSGAIIHQTWHEKDGEWKEYWSGPKYQCDTYAHCGTNAKCNPHRLNRRFECDCLPGYEPKSPRDWNILKDATGGCVRKRLESSSLCGSGEGFVKVEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCLCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDMQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGNSYYHKETIVANEVGDSMSYPHIAFFDMGTMLAATNNFSPSNTLGQGGFGLVYKGQLSNGQEIAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTKRVVGTLKAE >Manes.14G165612.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26380111:26383571:-1 gene:Manes.14G165612.v8.1 transcript:Manes.14G165612.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPCGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSYSIIDASVIVRVVLDYSGAIIHQTWHEKDGEWKEYWSGPKYQCDTYAHCGTNAKCNPHRLNRRFECDCLPGYEPKSPRDWNILKDATGGCVRKRLESSSLCGSGEGFVKVEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCLCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDMQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGNSYYHKETIVANEVGDSMSYPHIAFFDMGTMLAATNNFSPSNTLGQGGFGLVYKEIAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTKRVVGTLKAE >Manes.03G065712.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8458682:8462161:-1 gene:Manes.03G065712.v8.1 transcript:Manes.03G065712.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLETKNYENNRGYWDVVWSRPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWNPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVRLTNPVNPQQKGEVDDKYQYSCENKDNKVHGWISNDPPQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSVYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYQTSYLPSTLLHAFLFHPKQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKV >Manes.01G054025.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:21816491:21817465:1 gene:Manes.01G054025.v8.1 transcript:Manes.01G054025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSMQGVRQDLSQMATSIGQLQSQGKLPSQTETNPRQNVSAITLRSGKELQDTNHEQEKEPKPPEASPSQSAAPPAQKTDPKVSFHIPPPFSKRFERTQKEKEEKEILDTFRKVQINIPLLDAVKQIPRYAKFLKELCTNRRKLAEREKVSVGEVVTAVIKRELPTKCKDKGMFAISCKIGNVGIRKAMCDLGASINVMPFSIYKSLNACALKETRVVIQLTDRSVVYPIGVLEDVLVQVNELVFPADFYVIDTKEDSYNTSSDILLGRPFLSTARTKIDVHDGTLTMEFEGEVIKYNVYDSMKYPHDISPVYGLDIVDCLSQ >Manes.03G061600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6784244:6793988:-1 gene:Manes.03G061600.v8.1 transcript:Manes.03G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVERATSDMLIGPDWARNIEICDVCNHDPAQAKDVVKGVKKRIGSKNSKVQLLALTLLETMIKNCGDIVHMHVAERDILHEMVKIAKKKPDFHVKEKILILIDTWQEAFGGPRARYPQFYSAYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYPQNLRNRQEAAESSAEPEFPTLSLTEIQNARGIMDVLSEMLNALDPGNKEGLRQEVIVDLVGQCRTYKQRVVHLVNSTADESLLCQGLALNDDLQRLLAKHEAIASGTSATAAAEKQKPESGRALVDVGGPLVDTGGNKNQPVEGSASTPGVMNQLLLPAPPTTNGPTPPAAANPKLDLLSGDDFGSPKADNSLALVPVGESQSATTSQQNALALFDMFSDGNGPTSAVNVQPAHLAGLTNSSNPQIQQQHNFHPPEAGVYPNGSSANMGSPRYEHSPYMQATGPAWNGQVPQQPSSPVFGAQGSGSLPPPPWEAQSGDGSPGSGAQYSQPMQVTQVVVTHATPMQSGMHPQGVQPSGNDHLVGMYIQPITTGQLPAYNNPAIQSNQLGLHPQAVQGGQYMNMLPQPMQPGQMASMYPQHMYGNQMPGYGYAPQQGTQYLEQQMYGLGVRDDSTVRNSSYQVSSSSYMPALKKPSKPEDKLFGDLVDIAKSKPTKPTRERAGSM >Manes.03G091016.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20741379:20745370:-1 gene:Manes.03G091016.v8.1 transcript:Manes.03G091016.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEVPQRQSSRGPHPLRTSSSESDSQHHRPITDRSPKLGDRRSPRGHHPDSLNQKKLGIRIADLETQLGQAQEELKVLKRQLASAEAAKKEAQQELVNKAKKPTIIEPEEIEEKHTPTEIQDLEKADNNVVNEMSDKNQQETDVFEVPIEKEAVEAKAEPGHLIDQVEGNCTTKITTELLAISEPEKPSVHDLALKDDEINMLKAKLEKKENELEAFRKENENLKNLLKEATSNISSAKAKEDEMSMRLTQLGEELEKSQANAAHLKEKLESAEEAKAALEAEIKKMRVQTEQWRKAADAAAAVLAGAVEMNGRITERCGSMDKHFGGVFETPGGVGGSGVYAGFVGSPGMADEFGDGFGSGKRKGSGIKKFGDLWKKKSQK >Manes.03G091016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20741388:20745364:-1 gene:Manes.03G091016.v8.1 transcript:Manes.03G091016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEVPQRQSSRGPHPLRTSSSESDSQHHRPITDRSPKLGDRRSPRGHHPDSLNQKKLGIRIADLETQLGQAQEELKVLKRQLASAEAAKKEAQQELVNKAKKPTIIEPEEIEEKHTPTEIQDLEKADNNVVNEMSDKNQQETDVFEVPIEKEAVEAKAEPGHLIDQVEGNCTTKITTELLAISEPEKPSVHDLALKDDEINMLKAKLEKKENELEAFRKENENLKNLLKEATSNISSAKAKEDEMSMRLTQLGEELEKSQANAAHLKEKLESAEEAKAALEAEIKKMRVQTEQWRKAADAAAAVLAGAVEMNGRITERCGSMDKHFGGVFETPGGVGGSGVYAGFVGSPGMADEFGDGFGSGKRKGSGIKKFGDLWKKKSQK >Manes.03G091016.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20741332:20745364:-1 gene:Manes.03G091016.v8.1 transcript:Manes.03G091016.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEVPQRQSSRGPHPLRTSSSESDSQHHRPITDRSPKLGDRRSPRGHHPDSLNQKKLGIRIADLETQLGQAQEELKVLKRQLASAEAAKKEAQQELVNKAKKPTIIEPEEIEEKHTPTEIQDLEKADNNVVNEMSDKNQQETDVFEVPIEKEAVEAKAEPGHLIDQVEGNCTTKITTELLAISEPEKPSVHDLALKDDEINMLKAKLEKKENELEAFRKENENLKNLLKEATSNISSAKAKEDEMSMRLTQLGEELEKSQANAAHLKEKLESAEEAKAALEAEIKKMRVQTEQWRKAADAAAAVLAGAVEMNGRITERCGSMDKHFGGVFETPGGVGGSGVYAGFVGSPGMADEFGDGFGSGKRKGSGIKKFGDLWKKKSQK >Manes.03G091016.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20741335:20745364:-1 gene:Manes.03G091016.v8.1 transcript:Manes.03G091016.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGSEVPQRQSSRGPHPLRTSSSESDSQHHRPITDRSPKLGDRRSPRGHHPDSLNQKKLGIRIADLETQLGQAQEELKVLKRQLASAEAAKKEAQQELVNKAKKPTIIEPEEIEEKHTPTEIQDLEKADNNVVNEMSDKNQQETDVFEVPIEKEAVEAKAEPGHLIDQVEGNCTTKITTELLAISEPEKPSVHDLALKDDEINMLKAKLEKKENELEAFRKENENLKNLLKEATSNISSAKAKEDEMSMRLTQLGEELEKSQANAAHLKEKLESAEEAKAALEAEIKKMRVQTEQWRKAADAAAAVLAGAVEMNGRITERCGSMDKHFGGVFETPGGVGGSGVYAGFVGSPGMADEFGDGFGSGKRKGSGIKKFGDLWKKKSQK >Manes.08G082622.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28586337:28592075:1 gene:Manes.08G082622.v8.1 transcript:Manes.08G082622.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRSLFATPFSTDVYGSNNEISTFANVEVDWKETPEAHVFKADLPGLKKEEVKVEIEEGRVLQISGERSVEDEEKNDKWHRMERGRGKFVRKFWLPENAKVDEVKASEEKNDKWHRMERGRGKFVRKFWLPENAKVDEVKASMENGVLTVTIPKAEEKKPEVKAIEITGA >Manes.18G036100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3189579:3190757:-1 gene:Manes.18G036100.v8.1 transcript:Manes.18G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVPKNVTGTYTEDQLKALFKEHDVNGDGLLSKEEIKKAFQKLGSRLPGWRVNRALHHADINGDGNIGLDELDELVKYVIKFGYSIQ >Manes.13G148600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36453717:36456472:-1 gene:Manes.13G148600.v8.1 transcript:Manes.13G148600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMMRSKSFGWAGNLLLMVELRKRILTFRDVIDLPLCDGSGPIHELVMDTIEDLHHLYPKVVNGNLSRKTEGASLYQALNHLYNALKAIGDSWVKNHKWIRTSGYDTNDRMEDCTLEQLSQKVLAKLNTIIDIARKMFDVMEEEEKNNGGRIQDSTNGDTLSKSYSNKKNGCPSPDTPAAFSPAISFPMELGEFANDTNASTLLWPLRLQAVEKLRPIEIKYLPFNLFPPKSTYLHRSNIVMGQKVDENKVDVEDVLPAKMSNEKPKDSTNSDNLPNILSSNSNMMSDAKGSSAVPKPPPPAASNPVPTAPSSGRPAPPAPTVPSSGRTAPPPAPPSGSPIPTPISNGTGPPPPPPLGVSKALHPKKNSKLKRSMYMGTMYRLLKGKVEGYGLHVKSSEGRQPKIGRSTGGKQGLADALAEMTKRSAYFQQIEEDVKKHAKSIMEIKAAISSFKTKDMNELVKFQKYVEQNLEKLTDETQVLAKFEGFPAKKLEILRAAATLYLKLEETTSKLENWKVMPPLDQHLSKYESHFNKIKGEIEAIERSKDEESKRFLSNNIDFDFGILVRIKELMVDVSSSCMEAALKEWRKAKEAESEESGKMSEGQLKASENMLWRTFQLAYRVYSFAGGQDERADVLSKELAHAIEMTTRANSEQRKMI >Manes.01G162900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34739642:34741789:1 gene:Manes.01G162900.v8.1 transcript:Manes.01G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKLTKAQKKTNYDQRLCRLLDDYSQILIVAADNVGSKQLQNIRKGLRGDSIILMGKNTMMKRSIRLHAENTGNDAFNNLVPLLVGNVGLIFTRGDLKEVREEIGKYKVGAPARVGIVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSESALLSKLGVRPFSYGLIVVSVYDNGSVFSPEVLDLTEDDLVAKFANGVTMIASLSLSISFPTLAAAPHMFVNAYKNVLSFALASEYSFPQAEEVKEFLKDPNKFAAAAAAPVAAVDSGGAAPAAASKVEEKEESAEDSDEDLVAGLFD >Manes.01G162900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34739642:34741794:1 gene:Manes.01G162900.v8.1 transcript:Manes.01G162900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKLTKAQKKTNYDQRLCRLLDDYSQILIVAADNVGSKQLQNIRKGLRGDSIILMGKNTMMKRSIRLHAENTGNDAFNNLVPLLVGNVGLIFTRGDLKEVREEIGKYKVGAPARVGIVAPIDVVVPPGNTGLDPSQTSFFQVCIHLLFSLFSCVCFNLTLYFIVCIQVLNIPTKINKGTVEIITPVELIKKGEKVGSSESALLSKLGVRPFSYGLIVVSVYDNGSVFSPEVLDLTEDDLVAKFANGVTMIASLSLSISFPTLAAAPHMFVNAYKNVLSFALASEYSFPQAEEVKEFLKDPNKFAAAAAAPVAAVDSGGAAPAAASKVEEKEESAEDSDEDLVAGLFD >Manes.05G171500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28626142:28629578:1 gene:Manes.05G171500.v8.1 transcript:Manes.05G171500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Manes.13G114801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32159501:32160906:1 gene:Manes.13G114801.v8.1 transcript:Manes.13G114801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSLSPAAATSMSSSVSLDRPSRKPNISSSLQTPSIIHFPKHSSTATSYPPSPSISTTIPKKIATTVPSVDKSLAPMQNQWNFLQKAAAMALDAVESALVSHERRFPLPKTADPAVQIAGNFAPVSERPVVRNLPVTGTIPDTIRGVYVRNDANPLHEPVAGHHFFDGDGMVHAVRFEKGSVSYACRFTETNRLVQERELGHSVFPKAIGELHGHSGIARLLLFCARGLFGIVDSSHGTGVANAGLVYFDGRLLAMSEDDLPYHVRVLPSGDLKTVGRYNFNGQLKSSMIAHPKVDPCSGELFALSFFRATQVQIQAKKIHMGFHMERRAFLEKIIISHL >Manes.09G031833.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6484317:6490057:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6484317:6490061:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6485801:6490059:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6485801:6490059:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6485786:6490066:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6484317:6490061:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6484317:6490057:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6485786:6490066:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6484317:6490062:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031833.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6484317:6490062:-1 gene:Manes.09G031833.v8.1 transcript:Manes.09G031833.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGADGLANICSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATLIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLITVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETTHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLLAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.12G056400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5856892:5862185:1 gene:Manes.12G056400.v8.1 transcript:Manes.12G056400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFSLAHYTLFSIRRRNAFNHCTFSVPFSSSSALSTTDKRNWRGPVVSVLELGGIKIAKDDVVRDDPTNNVPDTIFSKLGMQLHRRNQHPLGILKNEIYEYFDSNFSSKFDKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRKGHSHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDEWEASGSDATSFAAEDLKKCLEGLARHLFGAVEMRWIDTYFPFTNPSFELEIYFKEKWLEVLGCGVTEQEILRRNGRPDNVAWAFGLGLERLAMVLFDIPDIRLFWSTDERFISQFRSGQLGVKFKPFSKYPPCYKDMSFWINESFTENNLCELIRGVAGDLVEEVSLIDNFTNKKGMTSHCYRITYRSMERSLTDEEINDLQWNVREQVQSKLNVVLR >Manes.12G056400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5856892:5862185:1 gene:Manes.12G056400.v8.1 transcript:Manes.12G056400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAFSLAHYTLFSIRRRNAFNHCTFSVPFSSSSALSTTDKRNWRGPVVSVLELGGIKIAKDDVVRDDPTNNVPDTIFSKLGMQLHRRNQHPLGILKNEIYEYFDSNFSSKFDKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRKGHSHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDEWEASGSDATSFAAEDLKKCLEGLARHLFGAVEMRWIDTYFPFTNPSFELEIYFKEKWLEVLGCGVTEQEILRRNGRPDNVAWAFGLGLERLAMVLFDIPDIRLFWSTDERFISQFRSGQLGVKFKPFSKYPPCYKDMSFWINESFTENNLCELIRGVAGDLVEEVSLIDNFTNKKGMTSHCYRITYRSMERSLTDEEINDLQVLH >Manes.07G142401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34247391:34251583:-1 gene:Manes.07G142401.v8.1 transcript:Manes.07G142401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIEEMLISLLASLVFVSQCVGSLNPPLNDDVVGLIVFKADIQDSKGTLSSWNQDDDTPCNWVAVKCDPRSNRVTELNLDGFSLSGQIGRGLLQLQFLYKLSLARNNLTGSISLSLAWLEHLSIIDLSENSLSGPIPDDFFKQCGSLRSISLAKNKFSGKIPASLSSCTTLGSVNFSSNQFSGSLPAGFWSLSGLRSLDLSDNLLEGEIPTGIEALNNLRAINLSKNKFGGGFPNGIGSCLLLRSIDLSDNSISGYLPDAMQKLSLCNYLSLSNNLLAGEFPSWIGEMKRLETLDLSGNRFSGLVPNSIGNIQSLKVLNLSSNGLTGNLPESMANCGNLLALDFSRNSMGGDLPLWIFGSGGEKVARLENKLGSFNSVPKLQILDLSDNEFTGKISSSIEIMNSLQFLNLSGNSLVGPIPVTIGELKELHVLDLSDNLLNGSIPLEIGGAFSLKELRLERNLLIWQIPSSVANWSSLTILILSHNNLTGPIPTAVAKLTSLQDVDLSFNSLSGGLPKQLANLPNLSSFNISHNQLQGELPAGGFFNTISPFSVIGNPSLCGAAVNKSCPALWLEPPGLIPETLGHKRIILSVSALIAIGAAAVIVVGVITITVLNLHVRSSTSRSAAALSLSTGYEFSHSPATDANSGKLVMFSGEPDFSTGAHALLNKDCELGRGGFGAVYQTVLQDGHSVAIKKLTVSSLVKSQEDFEREVKKLGKVRHQNLVALEGYYWTPSLQLLIYEFVSGGSLYKHLHEGPRGHFLSWNERFNIILGTAKSLAHLHHSNIIHYNIKSSNVLLDSSGEPKVGDFGLARLLPMLDCYILSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRMEECVDESLRGNFPADEAVRVMKLGLICTSQVPSNRPDMGEVLNILELIRCPSEGQEDSG >Manes.13G081200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16839483:16848832:-1 gene:Manes.13G081200.v8.1 transcript:Manes.13G081200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKNPKKGGSAIKRSKFAIKGDPFFDTEPKKRRKMGFRDDYIESGESEDENGVMSGGEEREEDEDEEIDQFARETADEKRQRMAKSYVEKLRELARREEEEEGEEDEEREFEKEGERDSLVVKKLMQEQLEESGRLRRVIASRVQKPDRGFEVLLKHRYSVTAVCLSDDDSKGFSASKDGTIVCWDVDTGKVEKYQWPNEETLRLHGAKGPEGQATKHSKQVSSLAVSTDGRYLASGGLDRHVHLWDTRTREHIQAFPGHRGPVSCLTFRQGTSELFSGSFDRSIKIWNVEDRAYVNTLFGHQSEVLTVDCLRKERVLATGRDRTMQLFKVPEESRLIFRASTSSLECCCLIDNDEFLSGSDDGNIELWGIQKKKPVYIVKNAHALLTDFKGFGQKDNGSISNGHLACSWVSSVTVCRGSDLAASGAGNGSVRLWAVESAPKGIKPLYDLPLVGFVNSLAFAKSGTFLVAGVGQEPRLGRWERISDAQNGVAIQRLTLT >Manes.13G081200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16839483:16848831:-1 gene:Manes.13G081200.v8.1 transcript:Manes.13G081200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKNPKKGGSAIKRSKFAIKGDPFFDTEPKKRRKMGFRDDYIESGESEDENGVMSGGEEREEDEDEEIDQFARETADEKRQRMAKSYVEKLRELARREEEEEGEEDEEREFEKEGERDSLVVKKLMQEQLEESGRLRRVIASRVQKPDRGFEVLLKHRYSVTAVCLSDDDSKGFSASKDGTIVCWDVDTGKVEKYQWPNEETLRLHGAKGPEGQATKHSKQVSSLAVSTDGRYLASGGLDRHVHLWDTRTREHIQAFPGHRGPVSCLTFRQGTSELFSGSFDRSIKIWNVEDRAYVNTLFGHQSEVLTVDCLRKERVLATGRDRTMQLFKVPEESRLIFRASTSSLECCCLIDNDEFLSGSDDGNIELWGIQKKKPVYIVKNAHALLTDFKGFGQKDNGSISNGHLACSWVSSVTVCRGSDLAASGAGNGSVRLWAVESAPKGIKPLYDLPLVSENRT >Manes.13G081200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16839483:16848831:-1 gene:Manes.13G081200.v8.1 transcript:Manes.13G081200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKNPKKGGSAIKRSKFAIKGDPFFDTEPKKRRKMGFRDDYIESGESEDENGVMSGGEEREEDEDEEIDQFARETADEKRQRMAKSYVEKLRELARREEEEEGEEDEEREFEKEGERDSLVVKKLMQEQLEESGRLRRVIASRVQKPDRGFEVLLKHRYSVTAVCLSDDDSKGFSASKDGTIVCWDVDTGKVEKYQWPNEETLRLHGAKGPEGQATKHSKQVSSLAVSTDGRYLASGGLDRHVHLWDTRTREHIQAFPGHRGPVSCLTFRQGTSELFSGSFDRSIKIWNVEDRAYVNTLFGHQSEVLTVDCLRKERVLATGRDRTMQLFKVPEESRLIFRASTSSLECCCLIDNDEFLSGSDDGNIELWGIQKKKPVYIVKNAHALLTDFKGFGQKDNGSISNGHLACSWVSSVTVCRGSDLAASGAGNGSVRLWAVESAPKGIKPLYDLPLVGFVNSLAFAKSGTFLVAGVGQEPRLGRWERISDAQNGVAIQRLTLT >Manes.09G124400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32649077:32658850:-1 gene:Manes.09G124400.v8.1 transcript:Manes.09G124400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSTGKRAVDTVVAGILHDVVDDTRESLNSLEEAFGEDVAKLVAGVSRLSYINQLLRRHRRINVNQSTLGQEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPPLKAQAVAQETLLIWCSLASRLGLWALKAELEDLCFAVLQPQLFRKMRADLASMWSSSNRAGYPRRTSNKSGSLSLDEKILISDSEDSVAFSEDTSTMKDLLEAVVPFDILLDRKKGTIFLNNLGKTSDTQTRPKVVQDAGIALASLIACEEALERELFISTSYVPGMEVTLSSRLKSLFSIYSKMKRKDVGIDKVYDARALRVVVGDKNGTLHGPAIQCCYSLLDILHRLWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDNAPLEVQIRTQKMHEYAEHGLAAHWLYKETGIGLPSINSMDESETESSCFSKDIEDHNSIKDDQFQKYRSLKEGHPVLRVERSHLLAAVIVGVDKGGRELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLYKKVSDEWWCEPGHGDWCTCLERYTLCRDGMYHKQDQFERLLPTFIQVIDLTKQEESEYWAVVAAVFEGKPIDSVAFRPTLDSVISNSIEASINNKIRLLRTMLRWEEQLRTEASLGQPKYDMKSQCKVESIVLGEVVIICWPHGEIMRLRTGSTAADAARRVGFEGKLVLVNGQLVLPNTELKDGDVVEVKV >Manes.09G124400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32649077:32658850:-1 gene:Manes.09G124400.v8.1 transcript:Manes.09G124400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSSSSSSSPCHIQSSNMFAHKTSPFLQVFHFRTTSHNSFKFRCLLHRIAPKFTVSSSLSSVFTSGNIIAAAAAASSGSGSLHGAVTSAITQVAVTAVAIASGACLSTKVDFLWPKVDEQPGSFVVDGVDVTGYPIFSDPEVQKAVAFAKKAHHGQFRKTGDPYLTHCIHTGRILAMLVPSTGKRAVDTVVAGILHDVVDDTRESLNSLEEAFGEDVAKLVAGVSRLSYINQLLRRHRRINVNQSTLGQEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPPLKAQAVAQETLLIWCSLASRLGLWALKAELEDLCFAVLQPQLFRKMRADLASMWSSSNRAGYPRRTSNKSGSLSLDEKILISDSEDSVAFSEDTSTMKDLLEAVVPFDILLDRKKGTIFLNNLGKTSDTQTRPKVVQDAGIALASLIACEEALERELFISTSYVPGMEVTLSSRLKSLFSIYSKMKRKDVGIDKVYDARALRVVVGDKNGTLHGPAIQCCYSLLDILHRLWTPIDGEFDDYIINPKPSGYQSLHTAVQGPDNAPLEVQIRTQKMHEYAEHGLAAHWLYKETGIGLPSINSMDESETESSCFSKDIEDHNSIKDDQFQKYRSLKEGHPVLRVERSHLLAAVIVGVDKGGRELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLYKKVSDEWWCEPGHGDWCTCLERYTLCRDGMYHKQDQFERLLPTFIQVIDLTKQEESEYWAVVAAVFEGKPIDSVAFRPTLDSVISNSIEASINNKIRLLRTMLRWEEQLRTEASLGQPKYDMKSQCKVESIVLGEVVIICWPHGEIMRLRTGSTAADAARRVGFEGKLVLVNGQLVLPNTELKDGDVVEVKV >Manes.13G131650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34009489:34066597:1 gene:Manes.13G131650.v8.1 transcript:Manes.13G131650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHGLVRRILTSLLQPWLLGEPEFQLDLGFINSRITAKNLSFDISSLNRLFDESSRFSFKEASLEDFSVRFSNWSVPALEIEVRGFNVTLLAGKEEEDDSSIRARKSSEKVDEEKRKAVAGIDPEGSALHQVLERVLVSTPSRNKFTTSLLNLLLRHCHLQMFNSNLQVQVPVSNDALIWLVELKEFNGESQYFEHACLLRGFVGAVFNPLKEISIVIDFRGFGVGYEMKDNKNSVFSTIELFSCIKLNDLQLADFSIRVPELSFSLSPVDFLVLSVFGYLPSKESKRVRNGRQLWRLAANRLGFVISPSRSSLHSLVEFVCLWLRYLNAYEHLLSLLGDCADSLLKRPAIKMSQNDRSSFNHNWDVISSIEKQLPAEAIVQARRIARYRATLNIQHGEGGYKGSSASSWFKIFSKIVPLLLFTWNVLYRVFLSIVHGFFLMKFLFQEQKFDRHLGINYEAHHMQYCFLLNFGKILIRVSPNDTVQRVNEKMESHIGISHSDIHSFCLSIDAFLLVYIDEIFEQYLSISCGQLKVKSSSVIGAAIKESSSKHHFSSVKGNRKKRIDNLKTVLWGEPAQIVFPSESGETSDAGQAENAYNPLLKKFLGEMWSNWKTSCTKYDDNEIHYSENPWLLCEIKNCLIYPGLKSSDSVFWKCSLMVGRLNLALGYLSIISIAILLGQIKHALKWTEDNGMASVLSSPTPTCEEQPEISWEGKYEGCISRIKMTLQRILQEKSIQLGVFITGPHIRMSMRKIGPNIGDNDVNSAVSQDDFHLGVDIHNIEAVVWPTSKSDLVLTQLPEFNDVETGCRRLQEPQTIEIPKSNNEKYSSQTCFSLRSYVRVNGLNIFMGDLTEIQQSQVLILKPIAVQFSIFRECVHSFSTTTISFSTAMCGRATGFTFISYMDELQGFFQVVADLLLAVSYVFDGLHITGYVPLQDFMRQSKALSDPDNNETTAEGVPLIYNSTLFSINGTFIFESMDVILQNSRISDKGENSTKVYDALSRKKFSGFDLQEFGIWISVHHISTDMSFGERKVEILLDLLGIQSIIFRYQDHMGKSFDHFVVRNLQRQSHNWLYESSLSNFTFSLALGCPHDRMSSSFGNSPSGGNQSYSVDNSHLITDSPMSSHWILINVTLGGIFVTRHSIKNVVVGAHQFNNLTSLLSVGENLQTISWRIQGGLLFLETTAVMMFARCFVLYLHRIANLLSIIKTSVKEVENSEHEAQERTHARLQPLWELPEASSIDVSQFSLIFIIEDDSGELQELVSEIDVRVKLESVNMLRKFMFELSRMSIFSQVFKECSENENQIPHFSSAISNESPSHFTTREPTVAFQHLNGSHIIRQNYILNHLVAFISAEKPKDGPLPLNQAWVGNGSISGFHLTISLSEIQMLSSMVSSLSGGYNEDATNDLIKRSWSSQQETDYSLEDMVPNGAIVAIQDVHQHMYFAVDGGENKYSLVGVIHYSLIGEKALFRVKHHKQKIWKSSVLWFSFISLHAKSDSGEPLRLNYHPGSGFVGISSTNDSGWSLWRTISCEPKSYNGDVGCEPYNNLVKKKFYLVNKKNDCGVAFVDGTPEFVSKPGNPFKFKVFQHHALGHNIANSDRRYLEASGVYLLSRAHEGEGTSSYMSGSVQIKVENTDLTIVHELPDTKDRFPLLRGCINNVQINVQILSNKTRIMSTSFALFHFFDAQKSSWRELVHPVEISTFYRSSSELQSLETIQQRVPIHFYCRTKELDISLTEISLDILLFVIGELKLAGPFALKNSIVLTNCCKVENQSGLNLVCHFNNNRSVTIARKQSASVFLRQPVLASEPPESTSVSIQLSNLGSFATTSLHLSLSRTQTLAWRTRIMSLPDSKAHPGPFVVVDISRKSKDGMSIVISPLTRIHNETVFPMELRFQRPQQNEDVFASVLLKKGDSIDDSMATFDAVNLSGGLKKALMSLSIGNFLFSFRPEIVDGLLNSKKALSVEWSNELKGGKAVRLSGIFDRLGYEVRRALSIESAKCSFSTAHCSVRSEDAHVTSLHFLIQSVGRDVPIIHPEKSSDGSESKSSAVALQEQKEIFLLPTVQVSNLLHSEIHVLLTETDTSIVGDNIGKQATVSCGSTVDFYVNPTIIYFTVTLTAFRSSCKPVNSGDLMKLFKNKNDVRCLDIDLNFGGGKYFASLRLSRGFRGILEAAIFTPYSLRNNTDFSLFFFTPNQKPLSRDEVKKYASSIPPELGLFCPPNSIRSWFSKSHKIRFKLLENYASEALLDLDALSGLTEDEMAGIMRINSKQRTILQLQKGISKSKEFSIFENVIRKHRNDVDTSLVYFQFQLNEPDSGWSGPVCVASLGCFFLKFRRSSNQVPELNNRAPEFAAVHVIEEGSTLGMHFHKPPNVNLPYRIENHLRDTSLTYYQKDSSEREVLGSDSSAYYVWDDIVLPHKLVVIISDMHLLHEINLDKVRAWKPFLKPKQNRGLASHSLFNKSSRDQRNYFGQLNSMENVKVGYEVYAEGPTRVLRICEFSSNQQGDRLFRSCAKIQLRVFHFSIHLLEDGKQYLDKNDETCYTTFIVARLGNINLDSHFMDQQKYNQISVQSLNVDEKWIGAPYAAMLRRHQLDSSDSNIPVIKVVFVLLPTSSNVRQVKYSSIILQPIDLNLDEETLIKLASFWRTSLSDSSAPSQQYYFDHFEVHPIKIIAKFLPGDSYSSYDSAQETLRSLLHSVVKVPPIKNMVVELNGVLVTHALITVRELCIRCARHYSWYAMRAIYIAKGSPLLPPTFVSIFDDLASSSIDVFFDPSRALINLPGLTLGTFKFISKSIYGKGFSGTKRYFGDLEKTLKTVGSNVLFAAVTEISDSVLKGAEASGFDGMVTGFHQGILKLAMEPSLLGTALMEGGPNRKIKLDRSPGADELYIEGYLQAMLDSMYRQAYLRVSVIDDQVLLKNLPPNTALIDEIVDHVKGFLVSKALLKGDPSTSSHPSRHLQRESEWKIGPTLITLFEHLFVSFAIRMLRKQTGKLMANIKWKKESGTDDSKAIDHADAPEQQQTFIWRWGIGKFVFSGILAYIDGRLCRGIPNPIARRIVSGYLLSFLDKSDNNK >Manes.13G131650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34009489:34066597:1 gene:Manes.13G131650.v8.1 transcript:Manes.13G131650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHGLVRRILTSLLQPWLLGEPEFQLDLGFINSRITAKNLSFDISSLNRLFDESSRFSFKEASLEDFSVRFSNWSVPALEIEVRGFNVTLLAGKEEEDDSSIRARKSSEKVDEEKRKAVAGIDPEGSALHQVLERVLVSTPSRNKFTTSLLNLLLRHCHLQMFNSNLQVQVPVSNDALIWLVELKEFNGESQYFEHACLLRGFVGAVFNPLKEISIVIDFRGFGVGYEMKDNKNSVFSTIELFSCIKLNDLQLADFSIRVPELSFSLSPVDFLVLSVFGYLPSKESKRVRNGRQLWRLAANRLGFVISPSRSSLHSLVEFVCLWLRYLNAYEHLLSLLGDCADSLLKRPAIKMSQNDRSSFNHNWDVISSIEKQLPAEAIVQARRIARYRATLNIQHGEGGYKGSSASSWFKIFSKIVPLLLFTWNVLYRVFLSIVHGFFLMKFLFQEQKFDRHLGINYEAHHMQYCFLLNFGKILIRVSPNDTVQRVNEKMESHIGISHSDIHSFCLSIDAFLLVYIDEIFEQYLSISCGQLKVKSSSVIGAAIKESSSKHHFSSVKGNRKKRIDNLKTVLWGEPAQIVFPSESGETSDAGQAENAYNPLLKKFLGEMWSNWKTSCTKYDDNEIHYSENPWLLCEIKNCLIYPGLKSSDSVFWKCSLMVGRLNLALGYLSIISIAILLGQIKHALKWTEDNGMASVLSSPTPTCEEQPEISWEGKYEGCISRIKMTLQRILQEKSIQLGVFITGPHIRMSMRKIGPNIGDNDVNSAVSQDDFHLGVDIHNIEAVVWPTSKSDLVLTQLPEFNDVETGCRRLQEPQTIEIPKSNNEKYSSQTCFSLRSYVRVNGLNIFMGDLTEIQQSQVLILKPIAVQFSIFRECVHSFSTTTISFSTAMCGRATGFTFISYMDELQGFFQVVADLLLAVSYVFDGLHITGYVPLQDFMRQSKALSDPDNNETTAEGVPLIYNSTLFSINGTFIFESMDVILQNSRISDKGENSTKVYDALSRKKFSGFDLQEFGIWISVHHISTDMSFGERKVEILLDLLGIQSIIFRYQDHMGKSFDHFVVRNLQRQSHNWLYESSLSNFTFSLALGCPHDRMSSSFGNSPSGGNQSYSVDNSHLITDSPMSSHWILINVTLGGIFVTRHSIKNVVVGAHQFNNLTSLLSVGENLQTISWRIQGGLLFLETTAVMMFARCFVLYLHRIANLLSIIKTSVKEVENSEHEAQERTHARLQPLWELPEASSIDVSQFSLIFIIEDDSGELQELVSEIDVRVKLESVNMLRKFMFELSRMSIFSQVFKECSENENQIPHFSSAISNESPSHFTTREPTVAFQHLNGSHIIRQNYILNHLVAFISAEKPKDGPLPLNQAWVGNGSISGFHLTISLSEIQMLSSMVSSLSGGYNEDATNDLIKRSWSSQQETDYSLEDMVPNGAIVAIQDVHQHMYFAVDGGENKYSLVGVIHYSLIGEKALFRVKHHKQKIWKSSVLWFSFISLHAKSDSGEPLRLNYHPGSGFVGISSTNDSGWSLWRTISCEPKSYNGDVGCEPYNNLVKKKFYLVNKKNDCGVAFVDGTPEFVSKPGNPFKFKVFQHHALGHNIANSDRRYLEASGVYLLSRAHEGEGTSSYMSGSVQIKVENTDLTIVHELPDTKDRFPLLRGCINNVQINVQILSNKTRIMSTSFALFHFFDAQKSSWRELVHPVEISTFYRSSSELQSLETIQQRVPIHFYCRTKELDISLTEISLDILLFVIGELKLAGPFALKNSIVLTNCCKVENQSGLNLVCHFNNNRSVTIARKQSASVFLRQPVLASEPPESTSVSIQLSNLGSFATTSLHLSLSRTQTLAWRTRIMSLPDSKAHPGPFVVVDISRKSKDGMSIVISPLTRIHNETVFPMELRFQRPQQNEDVFASVLLKKGDSIDDSMATFDAVNLSGGLKKALMSLSIGNFLFSFRPEIVDGLLNSKKALSVEWSNELKGGKAVRLSGIFDRLGYEVRRALSIESAKCSFSTAHCSVRSEDAHVTSLHFLIQSVGRDVPIIHPEKSSDGSESKSSAVALQEQKEIFLLPTVQVSNLLHSEIHVLLTETDTSIVGDNIGKQATVSCGSTVDFYVNPTIIYFTVTLTAFRSSCKPVNSGDLMKLFKNKNDVRCLDIDLNFGGGKYFASLRLSRGFRGILEAAIFTPYSLRNNTDFSLFFFTPNQKPLSRDEVKKYASSIPPELGLFCPPNSIRSWFSKSHKIRFKLLENYASEALLDLDALSGLTEVSLEIEEGSGLKYITKFGVSMGPSSSTVMVPSQSVTMIPRHIVYNESEETITIRQCYLEDEMAGIMRINSKQRTILQLQKGISKSKEFSIFENVIRKHRNDVDTSLVYFQFQLNEPDSGWSGPVCVASLGCFFLKFRRSSNQVPELNNRAPEFAAVHVIEEGSTLGMHFHKPPNVNLPYRIENHLRDTSLTYYQKDSSEREVLGSDSSAYYVWDDIVLPHKLVVIISDMHLLHEINLDKVRAWKPFLKPKQNRGLASHSLFNKSSRDQRNYFGQLNSMENVKVGYEVYAEGPTRVLRICEFSSNQQGDRLFRSCAKIQLRVFHFSIHLLEDGKQYLDKNDETCYTTFIVARLGNINLDSHFMDQQKYNQISVQSLNVDEKWIGAPYAAMLRRHQLDSSDSNIPVIKVVFVLLPTSSNVRQVKYSSIILQPIDLNLDEETLIKLASFWRTSLSDSSAPSQQYYFDHFEVHPIKIIAKFLPGDSYSSYDSAQETLRSLLHSVVKVPPIKNMVVELNGVLVTHALITVRELCIRCARHYSWYAMRAIYIAKGSPLLPPTFVSIFDDLASSSIDVFFDPSRALINLPGLTLGTFKFISKSIYGKGFSGTKRYFGDLEKTLKTVGSNVLFAAVTEISDSVLKGAEASGFDGMVTGFHQGILKLAMEPSLLGTALMEGGPNRKIKLDRSPGADELYIEGYLQAMLDSMYRQAYLRVSVIDDQVLLKNLPPNTALIDEIVDHVKGFLVSKALLKGDPSTSSHPSRHLQRESEWKIGPTLITLFEHLFVSFAIRMLRKQTGKLMANIKWKKESGTDDSKAIDHADAPEQQQTFIWRWGIGKFVFSGILAYIDGRLCRGIPNPIARRIVSGYLLSFLDKSDNNK >Manes.13G131650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34009489:34066597:1 gene:Manes.13G131650.v8.1 transcript:Manes.13G131650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSNLQVQVPVSNDALIWLVELKEFNGESQYFEHACLLRGFVGAVFNPLKEISIVIDFRGFGVGYEMKDNKNSVFSTIELFSCIKLNDLQLADFSIRVPELSFSLSPVDFLVLSVFGYLPSKESKRVRNGRQLWRLAANRLGFVISPSRSSLHSLVEFVCLWLRYLNAYEHLLSLLGDCADSLLKRPAIKMSQNDRSSFNHNWDVISSIEKQLPAEAIVQARRIARYRATLNIQHGEGGYKGSSASSWFKIFSKIVPLLLFTWNVLYRVFLSIVHGFFLMKFLFQEQKFDRHLGINYEAHHMQYCFLLNFGKILIRVSPNDTVQRVNEKMESHIGISHSDIHSFCLSIDAFLLVYIDEIFEQYLSISCGQLKVKSSSVIGAAIKESSSKHHFSSVKGNRKKRIDNLKTVLWGEPAQIVFPSESGETSDAGQAENAYNPLLKKFLGEMWSNWKTSCTKYDDNEIHYSENPWLLCEIKNCLIYPGLKSSDSVFWKCSLMVGRLNLALGYLSIISIAILLGQIKHALKWTEDNGMASVLSSPTPTCEEQPEISWEGKYEGCISRIKMTLQRILQEKSIQLGVFITGPHIRMSMRKIGPNIGDNDVNSAVSQDDFHLGVDIHNIEAVVWPTSKSDLVLTQLPEFNDVETGCRRLQEPQTIEIPKSNNEKYSSQTCFSLRSYVRVNGLNIFMGDLTEIQQSQVLILKPIAVQFSIFRECVHSFSTTTISFSTAMCGRATGFTFISYMDELQGFFQVVADLLLAVSYVFDGLHITGYVPLQDFMRQSKALSDPDNNETTAEGVPLIYNSTLFSINGTFIFESMDVILQNSRISDKGENSTKVYDALSRKKFSGFDLQEFGIWISVHHISTDMSFGERKVEILLDLLGIQSIIFRYQDHMGKSFDHFVVRNLQRQSHNWLYESSLSNFTFSLALGCPHDRMSSSFGNSPSGGNQSYSVDNSHLITDSPMSSHWILINVTLGGIFVTRHSIKNVVVGAHQFNNLTSLLSVGENLQTISWRIQGGLLFLETTAVMMFARCFVLYLHRIANLLSIIKTSVKEVENSEHEAQERTHARLQPLWELPEASSIDVSQFSLIFIIEDDSGELQELVSEIDVRVKLESVNMLRKFMFELSRMSIFSQVFKECSENENQIPHFSSAISNESPSHFTTREPTVAFQHLNGSHIIRQNYILNHLVAFISAEKPKDGPLPLNQAWVGNGSISGFHLTISLSEIQMLSSMVSSLSGGYNEDATNDLIKRSWSSQQETDYSLEDMVPNGAIVAIQDVHQHMYFAVDGGENKYSLVGVIHYSLIGEKALFRVKHHKQKIWKSSVLWFSFISLHAKSDSGEPLRLNYHPGSGFVGISSTNDSGWSLWRTISCEPKSYNGDVGCEPYNNLVKKKFYLVNKKNDCGVAFVDGTPEFVSKPGNPFKFKVFQHHALGHNIANSDRRYLEASGVYLLSRAHEGEGTSSYMSGSVQIKVENTDLTIVHELPDTKDRFPLLRGCINNVQINVQILSNKTRIMSTSFALFHFFDAQKSSWRELVHPVEISTFYRSSSELQSLETIQQRVPIHFYCRTKELDISLTEISLDILLFVIGELKLAGPFALKNSIVLTNCCKVENQSGLNLVCHFNNNRSVTIARKQSASVFLRQPVLASEPPESTSVSIQLSNLGSFATTSLHLSLSRTQTLAWRTRIMSLPDSKAHPGPFVVVDISRKSKDGMSIVISPLTRIHNETVFPMELRFQRPQQNEDVFASVLLKKGDSIDDSMATFDAVNLSGGLKKALMSLSIGNFLFSFRPEIVDGLLNSKKALSVEWSNELKGGKAVRLSGIFDRLGYEVRRALSIESAKCSFSTAHCSVRSEDAHVTSLHFLIQSVGRDVPIIHPEKSSDGSESKSSAVALQEQKEIFLLPTVQVSNLLHSEIHVLLTETDTSIVGDNIGKQATVSCGSTVDFYVNPTIIYFTVTLTAFRSSCKPVNSGDLMKLFKNKNDVRCLDIDLNFGGGKYFASLRLSRGFRGILEAAIFTPYSLRNNTDFSLFFFTPNQKPLSRDEVKKYASSIPPELGLFCPPNSIRSWFSKSHKIRFKLLENYASEALLDLDALSGLTEVSLEIEEGSGLKYITKFGVSMGPSSSTVMVPSQSVTMIPRHIVYNESEETITIRQCYLEDEMAGIMRINSKQRTILQLQKGISKSKEFSIFENVIRKHRNDVDTSLVYFQFQLNEPDSGWSGPVCVASLGCFFLKFRRSSNQVPELNNRAPEFAAVHVIEEGSTLGMHFHKPPNVNLPYRIENHLRDTSLTYYQKDSSEREVLGSDSSAYYVWDDIVLPHKLVVIISDMHLLHEINLDKVRAWKPFLKPKQNRGLASHSLFNKSSRDQRNYFGQLNSMENVKVGYEVYAEGPTRVLRICEFSSNQQGDRLFRSCAKIQLRVFHFSIHLLEDGKQYLDKNDETCYTTFIVARLGNINLDSHFMDQQKYNQISVQSLNVDEKWIGAPYAAMLRRHQLDSSDSNIPVIKVVFVLLPTSSNVRQVKYSSIILQPIDLNLDEETLIKLASFWRTSLSDSSAPSQQYYFDHFEVHPIKIIAKFLPGDSYSSYDSAQETLRSLLHSVVKVPPIKNMVVELNGVLVTHALITVRELCIRCARHYSWYAMRAIYIAKGSPLLPPTFVSIFDDLASSSIDVFFDPSRALINLPGLTLGTFKFISKSIYGKGFSGTKRYFGDLEKTLKTVGSNVLFAAVTEISDSVLKGAEASGFDGMVTGFHQGILKLAMEPSLLGTALMEGGPNRKIKLDRSPGADELYIEGYLQAMLDSMYRQAYLRVSVIDDQVLLKNLPPNTALIDEIVDHVKGFLVSKALLKGDPSTSSHPSRHLQRESEWKIGPTLITLFEHLFVSFAIRMLRKQTGKLMANIKWKKESGTDDSKAIDHADAPEQQQTFIWRWGIGKFVFSGILAYIDGRLCRGIPNPIARRIVSGYLLSFLDKSDNNK >Manes.14G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6407455:6410529:-1 gene:Manes.14G076800.v8.1 transcript:Manes.14G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQNHIDFSLTSPFGGGRPGRVKRKNQRAASKKASGGDGDEEDEE >Manes.03G115700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24155172:24159871:1 gene:Manes.03G115700.v8.1 transcript:Manes.03G115700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSCASVAYPVQWLRVSMATQVRSRTQVSFHRHDNPFVPEVVKALDSLDAEFRAVDYLVACNTSRVLKAFQNARVGSHHFGGSTGYGHDEVGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRESHGAGSLKDFGVEYREVPLAEDGGLDWDALTSALKPHTKCALIQRSCGYSWRRSLSVNEIGRAIEMIKMYNPNCLVMVDNCYGEFVEKIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGRKKWVEAAAARLSAPGLGMDCGSTPGDIMRTYFQGLFLAPQMVGEAIKGTFLIAEVMASRGYKVQPLPRVTRHDTVQAVQLGSCERLLAFCEAVQRSSPVGSFTKPVAGSTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGSHWTQWGLVLGEVLKHI >Manes.03G115700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24155464:24159871:1 gene:Manes.03G115700.v8.1 transcript:Manes.03G115700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSCASVAYPVQWLRVSMATQVRSRTQVSFHRHDNPFVPEVVKALDSLDAEFRAVDYLVACNTSRVLKAFQNARVGSHHFGGSTGYGHDEVGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRESHGAGSLKDFGVEYREVPLAEDGGLDWDALTSALKPHTKCALIQRSCGYSWRRSLSVNEIGRAIEMIKMYNPNCLVMVDNCYGEFVEKIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGRKKWVEAAAARLSAPGLGMDCGSTPGDIMRTYFQGLFLAPQMVGEAIKGTFLIAEVMASRGYKVQPLPRVTRHDTVQAVQLGSCERLLAFCEAVQRSSPVGSFTKPVAGSTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQGGSHWTQWGLVLGEVLKHI >Manes.15G000600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:429712:430809:-1 gene:Manes.15G000600.v8.1 transcript:Manes.15G000600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSITSKPRQSNTSNGEIQEMEFFLRFDVLSDSSDHHYVNANATTKHSAVDCFTNLSSGVYKKIMQEWKILEKHLPDSIFVRVYENRIDLLRAVIVGTAGTPYHDGLYFFDIAFPSDYPSRPPHVYYRSYGLRINPNLYANGRVCLSLINTWPGRKSEKWNPSESTVLQVLVSIQALVLNERPYFNEPGHGMWPGRIIWEKRSNSYNEDVFVLSCKSMLFLQRRPPKNFEGFVAKHFRERANVILSACNAYASGRARVAYYPTDGSSSSAPIVEVSDKFKGLIAQLYPELVAAFTRSGASREKLIEQFKVERKSASYNTQVVVKKKTESESGVARRVLGKLKKVLGLKKKSGKSSSVKKKTVPS >Manes.12G113100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31317823:31319757:-1 gene:Manes.12G113100.v8.1 transcript:Manes.12G113100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHLICTSLTSLPTTYIRRSPFFLSTHQALSSHFSQKYYKSPLDLQHPPHITPPLCFSTHNLYLLIIILLDMALKALGSSSSLLHNDHVDLHSLEPCVKRKRTKRSRLEDLPTEEEYLALCLLMLAKGTHDPPPTPPTLLKLCYKCKVCNKAFSTYQALGGHKASHRKLMGVVDDQSATPSPMVTTTRTPASSVSLSGRTHKCSICHRTFPSGQALGGHKRRHYDGGSNSGVGNSSDKENKELEGVNSTLISQLDFDLNVPTLPEFPMVDVDRKPKSKLPSSGGDSSS >Manes.12G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34892314:34897610:1 gene:Manes.12G143100.v8.1 transcript:Manes.12G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTKFHRLDLHSSFFTSSPLFGTRKSPLRLPRFKHSSKPIKNNPSSIKVVASSVSRRGTSKITAQLSTATVETSVAEPETDIESLFSSNSSDEFGRKGAHKQSNSGASGISSGIKLENISKSYKGVTVLKDVTWEVKKGEKVGLVGVNGAGKTTQLRIITGQEEPDSGNVIKAKPNMKIAFLSQEFEVSLSRTVKEEFMSAFEEEMEISGRLEKVQKAIEGSVDDLELMGRLLDEFDLLQRRAQAVDLDEVDAKISKLMPELGFAPEDSDRLVASFSSGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLQKQDVPMVIISHDRAFLDQLCTKIVETEMGVARTYEGNYSQFLLSKAAWIEAQHAAWEKQQKEIEHTKDLISRLGAGANSGRASSAEKKLERLQEEDQVEKPFQRKQMKIRFPERGRSGRNVVSIKNLEFSYEDKVLFNRTNLTIERGEKIAIIGPNGCGKSTLLKLIMGLEKPKAGDIILGEHNVLPNYFEQNQAEALDLDKTVIQTVEEVAEDWRIDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAITEYQGTVITVSHDRYFIKQIVNRVIEVKDGQLQDYAGDYNYYLEKNLDARARELEREAELEERAPKVKAKSKMSKAEKEARKKQKMQAFQAAKQKSKGLKNSKRWN >Manes.16G104400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31018553:31020591:1 gene:Manes.16G104400.v8.1 transcript:Manes.16G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIIEQPTVELSKVAVSKTHGEDSPYFAGWKAYDENPYDEIENPTGVIQMGLAENQVSFDLLEDYLEKHSEASTWEKGAPGFRENALFQDYHGLKSFRQAMASFMGQIRGGRAKFDPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVNILPIHCDSSNNFQVTPQALEAAYKNAEAMKIKVRGVLITNPSNPLGATIQRKVLEEILDFATRKNIHLVSDEIYSGSAFSSSEFVSIAEILEARGYKDSERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQHLLASMLSDKKFTKNYIKINRERLRRRYEMIIEGLRNSGIECLKGNAGLFCWMNLSPLLKTPTREGELSLWKSIIRDLKLNISPGSSCHCSEPGWFRVCFANMSEQTLEVALKRIHNFMDQRKKETN >Manes.10G080300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19269183:19271353:1 gene:Manes.10G080300.v8.1 transcript:Manes.10G080300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGPHHHEAFLWENQTWLLSNSDNTSAEKSEKKLIHSSNPLNNDQTQLGKELAKSKPSRGDTNKNDKGSDDSDGKHQKGTGGGSGGGGGGESDHEIHIWTERERRKKMRNMFANLHALLPQLPPKADKSTIVDEAISYIKTLQQTLQKLQKQKLERLQGVTTFSYEPTNISSVCPQKLTDQSRESFLADHGCFNKLAIVSTKPNSNNVLPIERYPVLFQTWTSSNVVLNICGDEAQISICSSKRPGLFTTICYALEKHSIEMISAHVSSDCNQSMYMIHAHVNRASAEAIPVEETYKQAVGEIMCWVSS >Manes.03G068082.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9585113:9587603:1 gene:Manes.03G068082.v8.1 transcript:Manes.03G068082.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQHVYLIQIMVLFVLCVRMSMASRVTGIGVNWGTMTTQLLPPEKVVHMLRQNGIRKLKLFEADERIMAALIGTDIEVMLAVPNYMLHLMSEDPAAAASWVDANVTSWLYTGGVNIKYVAVGNEPFLQTYNGSYLQVTLPALRNIHQALDRDKVSSKVKVTVPFNADVYYSADPNQVPSAGDFRPEVRDLAIEIVQFLHSNDAPFTVNIYPFLSLYSDENFPVDFAFFDGTKNPIKDGGLVYNNVFDANFDTLVWALDKSGYPDMKIIVGEVGWPTDGDKNANIPNAKRFNQGLIQHVLSGKGTPARKGKIDVYLFSLIDENAKSIAPGSFERHWGLFEYDGKPKYELDLSGLKEEKGLVPVEGVKYMYRRWCVLNPDAIDFDDLPESINYACSLSDCTSLGYGSSCNHLSVEGNASYAFNMYYQVNDQKDWNCDFSGLAVITDKDPSDGDCEFPIMISHGHSLAQHGRLLDVLLRIAGGCLVFLGVM >Manes.16G092500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29869197:29870840:-1 gene:Manes.16G092500.v8.1 transcript:Manes.16G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEILSYKCSCLLVIKICLSTLMAPWVQELHFNHLTIPITINSLVPIMPAGPIPVASGDTLYLSNLDDMIGARVFTPTIYFYRSDYKSCAKTFVMKRLCDALAYVLVPYYPFSGRIRETKNGKLEVFFGPEQGALMIEAYSKMSLAELGDLTVPNPAWSPLVYKFPNEEPYKIFDMPLLIAQVTQFSCGSFSLGLRLCHCICDGIGAMQFLRAWAATARTGTLVTNPEPCWDREFLKPRSPPVVKHPHIEFMRIEDGSTLTMSLWQEKSVQKCYRISREFQAKLKDLAQSNDKFSCSTFDAMAAHIWRSWIKALDVKPREYKLRLTFSVNARQKLKNPPLKDGFYGNVVCVACAVSSVNELVNGNLANTTHLVCEARNSVSEEYLRSTIDYVEVDRPRRLEFGGKLTITQWTRFSMYESADFGWGRPIYAGPIDLTPTPQVCVFLPEGETDSNGRMVVCICLPEYATNRFTEYLCSVVPSDEYVNRKKLLN >Manes.16G101300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30671039:30674519:-1 gene:Manes.16G101300.v8.1 transcript:Manes.16G101300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNYSVPAYFILILLFISRIRSTVGKSKPSTNFPPPNLLTLDFSERIRTDPLAIESASSDYGNIVHENPAAVLHPSSPQDIVSLIKFAYNNSGTFTISARGRSHSVFGQAMAPNGVIVDMMRLRSHRENNGVIVSKNPSLGYFYADVGGEQLWIDVLKATMEHGLAPVAWTDYLYLTVGGTLSNAGISGQSFRYGPQISNVYEMDVVTGKGELVTCSPHKNSELFYAVLGGLGQFGIITRARIAIEPAPKRVKWVRMLYSDFSAFTKDQERLIAINGRRQSSALDYVEGSLLMNQGPPNNWRSSFFPSSDIPRIMSLVTQHGIIYCLEVAKYYDDSTQHSVPKELEQMYKGLSFISGFKLEKDVSFMDFLDRVRNGELKLQSQGLWDVPHPWLNLFLPKSRISDFNSGVFQDIVLKRNITTGPVLIYPMNRNKWDDRTSAVIPEEEVFYTVGFLHSSGLNDWQRYDDQNKEVLKFCEKGGIKVKEYFPHNKTKEEWINHFGSKWKIFRERKSMFDPKMMLSPGQRIFN >Manes.16G101300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30671038:30674520:-1 gene:Manes.16G101300.v8.1 transcript:Manes.16G101300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNYSVPAYFILILLFISRIRSTVGKSKPSTNFPPPNLLTLDFSERIRTDPLAIESASSDYGNIVHENPAAVLHPSSPQDIVSLIKFAYNNSGTFTISARGRSHSVFGQAMAPNGVIVDMMRLRSHRENNGVIVSKNPSLGYFYADVGGEQLWIDVLKATMEHGLAPVAWTDYLYLTVGGTLSNAGISGQSFRYGPQISNVYEMDVVTGKGELVTCSPHKNSELFYAVLGGLGQFGIITRARIAIEPAPKRVKWVRMLYSDFSAFTKDQERLIAINGRRQSSALDYVEGSLLMNQGPPNNWRSSFFPSSDIPRIMSLVTQHGIIYCLEVAKYYDDSTQHSVPKELEQMYKGLSFISGFKLEKDVSFMDFLDRVRNGELKLQSQGLWDVPHPWLNLFLPKSRISDFNSGVFQDIVLKRNITTGPVLIYPMNRNNYTRRGSILHSRISAFKWIE >Manes.11G151100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31527946:31529819:-1 gene:Manes.11G151100.v8.1 transcript:Manes.11G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDSKLKEYIEKFGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICTLFANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMAVAPQSQTKPFSFPSPHHQSSPLSSHSLLSLYKDSSSSSFPYFPPNFKPFTTVFDPISPIPSNLLAKNTTTTATNSSSLFQTQESLLNPLHYYHPVKDSNNGSSSNNLLIFGSEASCSSNSDGSCSQISYGGREIKQEDMGFQSYISNGYEENQKFMFSYGINSCNGSENLNQWTEKTTGYFGETSPFEYDLEDVKQLISSSSNNSCNNNNNILLDENKTQEKYMYYY >Manes.08G173111.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:21752674:21753012:1 gene:Manes.08G173111.v8.1 transcript:Manes.08G173111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYLNALEKLDVQISRELATNLILRSLLDSYNSFIMNYNMHGMDKSITELHGMLKSVKENIYKIKSVLMVQKGISKNGKGKGKVPPKPKDNKAGHKSKGKKKKAPKPKLKQ >Manes.13G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32690537:32695180:1 gene:Manes.13G120300.v8.1 transcript:Manes.13G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMAAYYPPPPPGHYPTYYQPPPPPLPAPSPAPAQPPPLQPASYNPQPQPPPPFASYSLPYAAHATHDLVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRSPTQTSQPFAFATFMDQPSAVAAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDEWSGLDKKAKASPSIPCGTLDSGFGSVHLPGMGNTAYNTIGYPSAQSHGNFDGRVASESTGANLTNSSAPPCPTLFVANLGPNCTEQELTQVFSRCPGFLKLKMQSTYGSPVAFVDFQDTSCSTGALHHLQGTILYSSPAGEGMRLEYAKSRMGMRRKPR >Manes.13G120300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32690537:32695180:1 gene:Manes.13G120300.v8.1 transcript:Manes.13G120300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMAAYYPPPPPGHYPTYYQPPPPPLPAPSPAPAQPPPLQPASYNPQPQPPPPFASYSLPYAAHATHDLVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRSPTQTSQPFAFATFMDQPSAVAAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDEWSGLDKKAKASPSIPCGTLDSGFGSVHLPGMGNTAYNTIGYPSAQSHGNFDGRVASESTGANLTNSSAPPCPTLFVANLGPNCTEQELTQVFSRCPGFLKLKMQSTYGSPVAFVDFQDTSCSTGALHHLQGTILYSSPAGEGMRLEYPFENFFCVCLGGGRKWRQGKKNERKFIYFV >Manes.13G120300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32690602:32694794:1 gene:Manes.13G120300.v8.1 transcript:Manes.13G120300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMAAYYPPPPPGHYPTYYQPPPPPLPAPSPAPAQPPPLQPASYNPQPQPPPPFASYSLPYAAHATHDLVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRSPTQTSQGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDEWSGLDKKAKASPSIPCGTLDSGFGSVHLPGMGNTAYNTIGYPSAQSHGNFDGRVASESTGANLTNSSAPPCPTLFVANLGPNCTEQELTQVFSRCPGFLKLKMQSTYGSPVAFVDFQDTSCSTGALHHLQGTILYSSPAGEGMRLEYAKSRMGMRRKPR >Manes.13G120300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32690605:32694779:1 gene:Manes.13G120300.v8.1 transcript:Manes.13G120300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMAAYYPPPPPGHYPTYYQPPPPPLPAPSPAPAQPPPLQPASYNPQPQPPPPFASYSLPYAAHATHDLVRTLFVAGLPEDVKPREIYNLFREFPGYESSHLRSPTQTSQPFAFATFMDQPSAVAAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDEWSGLDKKAKASPSIPCGTLDSGFGSVHLPGMGNTAYNTIGYPSAQSHGNFDGRVASESTGANLTNSSAPPCPTLFVANLGPNCTEQELTQVFSRCPGFLKLKMQSTYGSPVAFVDFQDTSCSTGALHHLQGTILYSSPAGEGMRLDLVLFFPTNYIRQVLLNKKPRYAKSRMGMRRKPR >Manes.16G098900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30498709:30502205:1 gene:Manes.16G098900.v8.1 transcript:Manes.16G098900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGENLKKPKLPNHFRICSATKLSCIDCGEMFGQESVQGHTQCITEAEKYGPKGQGKASNGATPKPSKNTKQQPDIDINVGLSERPPWFCSLCNTQATSKQTLLLHADGKKHRAKAKAFHAKQQQIKQTEESAQDKTVMSENATNGEVPENTQVEEPKGEDMPKRDRASANSETETGKLQSKKRKLDEFGSDSTGKKTGSDATGKIGNGVTQVERAKTEKLESLLKQTKQNGLKDDKVVECGSKNKMKLKRLIKSALRTINSSSRFKVDGKYVWLVAKD >Manes.16G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30498709:30502205:1 gene:Manes.16G098900.v8.1 transcript:Manes.16G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGENLKKPKLPNHFRICSATKLSCIDCGEMFGQESVQGHTQCITEAEKYGPKGQGKASNGATPKPSKNTKQQPDIDINVGLSERPPWFCSLCNTQATSKQTLLLHADGKKHRAKAKAFHAKQQQIKQTEESAQDKTVMSENATNGEVPENTQVEEPKGEDMPKRDRASANSETETGKLQSKKRKLDEFGSDSTGKKTGSDATGKIGNGVTQVERAKTEKLESLLKQTKQNGLKDDKVVECGSKNKMKLKRLIKSALRTNPEGVLKMKKLKKLVLNSLGESGITEDETQLSGMLEHKINSSSRFKVDGKYVWLVAKD >Manes.18G127200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15506938:15509730:1 gene:Manes.18G127200.v8.1 transcript:Manes.18G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNEPNLYTLVFLYFSISLASLQANASAGTHIDQLALLKFKQGIASDPHGIFNSWNDSLHFCNWTGITCGRRHQRVTSLVLEGQNLIGSISPHIANLSFLKLIDLGNNTFFGEIPQQVGNLFRLQILLLGNNSLQGEIPLNLTRCSKLNTISLPWNNLDGKIPAGLGSMTMLENILLHYNNLTGEIPPSIGNLSSLIWFTARSNNLKGKIPNEMGRLKSLNVFAVGGNRLSGIVSPSLFNITVLTYLEVSSNQLTGSLPDNIFFTLPNLQNLVIGVNYFSGSIPNSLSNASQLLRVDISRNNFVGRVPSDLRNLQSLLLLNFEFNELGSNSSNDLLFLTSLTNCSKLETLSIARNNFGGVLPDSVANFSTGLSKLFLGRNKIAGIIPAAMENLVNLIALGMEDNFFTGFIPHELGKLGKLQFLTLQTNRLSGQIPSSIGNLTQLSEFSLLQNKLEGSIPSSVRNCQHLYSLYIAENRLSGELSKEVLGLTSLSKVLNLSHNSFSGNLPTEVGKLKNLNTLDVSQNNLSGEIPRTIGDCLSLESLHMQGNFFQGTIPSSLASLKGLQYLDLSQNNLSGQIPKDLQKISYLQYLNLSFNDLDGEVPRKGVFANISAFSVIGNNKLCGGVPELGLPDCPTRIMKKTKSHTLKLVIAIACGVPFVLLIMIIFLICWMKKLRSKPSFASSAMNHLLKVSYKDLYQATDGFSSFNLIGSGFFSFVYKGFLPQVEGEVAIKVLNLEQTGGIKSFMAECSTLGTIRHRNLVKLITCCSSIDYKSNEFKALILEYMENGSLEKWLHPSEGGENQPRRLNLLQRLNIVIDVASALHYLHDLCEKPIIHCDLKPGNILLDEDMIAHVSDFGLAKLFKINNDSSLPQTSTIGIKGTVGYVAPGN >Manes.07G041600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4930716:4932050:-1 gene:Manes.07G041600.v8.1 transcript:Manes.07G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLAFGHMLPFLELSKQLAAKGVKVLFVSTPRNLQRLPSISPDLSANLKLVAIPLPHINGLPENGEATIDLQLEQVQDLKKAYDMLQKPMENLLRNESPNFILYDFVPCWIPEIAAKCNVPCAYFSTFSAAALSFFGPPQELRSSALRTKPEDLMAPPNWFPFSSLVAHRPDQAAIMFRNLNVPDRSGKTSGHRWAGSLEGCDFVAIRSCPEFEDAYLKLLQELYQKQVLPVGLLTSNLTVSDTDYLPDSYWCGSFKWLDQQEQKSVVFVAFGSEYKMPAEQIQELACGLELSEQPFLWTVRKPEGVDISDLLPNGFLARTSGRGIVSLGWASQRRLLAHPAIGGCILHCGWSSTIETLGFGHPTILMPMMADQGLNAKLLIEQGVGFEVPRYEDGSFDRYAVAKTIKLVMVGKEGEQLRLKAAQMPEIFANPNLMQLDRFQ >Manes.04G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17871644:17873460:1 gene:Manes.04G053100.v8.1 transcript:Manes.04G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVQGTARNYEGGVTTFVIITCFVAAFGGLIFGYDIGISGGVTSMDPFLMKFFPSVYRKETEEHHEDMYCKFESHLLQLFTSSLYFAALIASFFASTVTRVFGRKISMLFGGLVFLVGSILSGVAINVAMLIIGRLLLGVGVGFANQAVPVYLSEMAPANIRGALNIGFQMAITVGILAANLINYGTAKIEGGWGWRLSLALAAVPAIMISVGSLFLPDTPNSILERGHPEKAREMLKKIRGTNNVDEEFQDLIDATEAAKSVEHPWLNIMKPQYRPQLVICCLIPFFQQVTGINVIMFYAPVLFKTLGFGDDASLMSAVITGVVNMLATIVSIYSADKFGRRLLFLEGGIQMIISQILVGYMIGKSFGTDGVGKLSGGSANFVLFLLCVYVAAFAWSWGPLGWLVPSEICSLEIRSAGQAINVSVNMLFTFVIGQFFLTMLCHMKFGLFLFFACWVVVMTIFVYFFLPETRNVPIEEMNRVWKAHWFWSKYIPDDAVIGGPSSKQQIA >Manes.06G107600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23980377:23982857:-1 gene:Manes.06G107600.v8.1 transcript:Manes.06G107600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRIREASFNGIVRRMVSLPRSIVGGFSRAMGHSIGRVGIGSGRRDHNLPLDLQLQPPCEPPIAPEEWTFQSTFEQQYGFRHPFFYACHFMDALKIAEDEHKFVFLYLHSPHHPFTPSFCRETLCSELVVQYLDANFVCWGALADRGEGLQMAATLRPASFPCCAVVAPAAGNSLAVLQQMEGPISPAELVEILQRTVEEQGSAFGIPRANQEEKIRARSKEEEKIRADRQLREEQDAAYLAALKIDKEKENAKNLPQDQKARKPIQTLRQNETQKQYKGKGRAASTVRETLLKETATQSKDPQVTKILIRFPNGERREQSFFSSDKVQSIYKYIDSLGLPGVINYRLISTFPRRVYGFDQIGMTLKDAGLHPKATLFLELL >Manes.01G224600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39057692:39061602:-1 gene:Manes.01G224600.v8.1 transcript:Manes.01G224600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAQFNEHSKISMLRNATWTLSTFCRGKPQPLFEQIKPALPALERLIHSRDEEVLKYACWALSYLSDGTNDTVQAVIGAGFCPRLVELLLHPSHAVVFTALRTVGNIVSGDDMQTQYMINYQCLLPCLLNLLTSNNKTLIQTEACWTISNITAGNANQIQAVIEAGIIGPLVDLAQSAELEVKQEAAWAMSNATSVGTYEQIKFLVSQGCIKPLCDLLICPDPIILKVCLDGLQNILVVGEAEKDLGSTGETNLYAQMIVDAEGLEKISNLQSHDNNNIYEKAVKIFETYWPKKDDDEAEAEGGGSPTG >Manes.01G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:22956318:22959688:1 gene:Manes.01G054900.v8.1 transcript:Manes.01G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSILLEILKRPTFGDVLAELVMFVIPLWIAVIVGVLVGWAWKPKWANLGRTMLDSSVSKDSVKAVASPPSSLITTLNSMKFQLPSFMSRTAEDGVQKDSSSAPPDIRDDSSSSQLQKDKSSIVNEDDLEILCKLVEDKDGGAPWIQMMDRSSPTMSYQAWRKDPEIGPTQYRTRTVFEDATPEIVRDFFWDDEFRLKWDDMVIHAAILEECPTTGTMVVQWIRKFPFFCSDREYIIGRRIWESGRSYYCITKGVPCSSVPRRNKPRRVDLFYSSWCIRAVESKRGDGQLTACEVLLFHHEDMGIPSEIAKLGIRHGMWGAVKKIEPGLRSYQKHRANGGPLSRCAFMAQINTKVSVDYLRCLESSASSSSSEVENQDSSSRKPGGNMPRLLLIGGAIVLACTLDQGLLTKAVIFGVARRLAKIGRWS >Manes.07G064100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11374543:11380213:-1 gene:Manes.07G064100.v8.1 transcript:Manes.07G064100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTKRSKSKLGLPVVVLLCSLFFLVGFYASTLLSQNVPVIRPRLRMLDVADGELDAMPHGVTGESSPQSIPFQVLSWKPRAVYFPNFATPEQCKSIIEIAKSRLKPSSLALRKGETEDSTKGTRTSSGTFISASEDKTGVLDFIEQKIARATMLPKSHGEAFNVLRYEIGQKYDSHYDSFNPTEYGPQMSQRVASFLLYLSDVEEGGETMFPFENGLQVGSGYDYKKCVGLKVKPREGDGILFYSLFPNGTIDQTSLHGSCPVIAGQKWVATKWIRDQVQTV >Manes.05G074000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6102071:6104202:1 gene:Manes.05G074000.v8.1 transcript:Manes.05G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVNTLALMLFASTLVFLPKMEAQPYTHPLCVSQFALVNSACAMLPYIPLPLLIPPFPPLPLAPSLDEVNRHGHDHSPSDNHGHDHSSSDNHGHGHEEWPGQGHGDDLGHELEHGHNYSHGHGQGQGQGQGHGHGQEHRHAHRHKHKHRHESAVKEKCCRWLNELDDECVCDLLVRLPPFLSRPLHTFNVYLDETCNVTYACEGRLLRS >Manes.01G124900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32025000:32033116:-1 gene:Manes.01G124900.v8.1 transcript:Manes.01G124900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKSYKPPKDLFYVISLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNSVFLSHVRDMSCSLKLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKILEYDTYGIGDIVLFGNQERMKIDNQIELVDVFLDNRVEILASCFAPRSGWNHSLASMICLLENPEEQYSIYLQEYTTEKEKEDKNGEKEKIINQERKDENYDHNCKGKNKRYGNKVSVNENKNKGKQMASLHIQKHQQKLKEQLGNGCSKTENKKIEEDEVKKCNPLTIEEFVEKRFKSIGERLKFCIENLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKLVLKKYEDVGSRIGNYKKLRNNIKECLMTIKLLPQTFPVPNFANTYAIREFCLQNASLLFCTTSSSVKLHGEGRKPLHFLVIDEAAQLKECESTIPLQLFGLRHAILVGDERQLPAMVNSKISEEAGFGRSLFERLVKLGYKKHLLKIQYRMHPSISLFPNREFYGKLILDAPEVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIVTKKKVSIGVVSPYKAQVHAIQDKIVKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARFCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFQRSMARIEDVDIRKEVISLLAKLSNGWRPPGKKNKISMHDETSSQLLELCGVKKQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYKCLERNLVVPMRWPVNPSGAEGSRSGADLLQLPKSFASLSIRDGSSSSGTAHKNQFKYKMKKKNPDDKDPR >Manes.01G124900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32025000:32033116:-1 gene:Manes.01G124900.v8.1 transcript:Manes.01G124900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKSYKPPKDLFYVISLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNSVFLSHVRDMSCSLKLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKILEYDTYGIGDIVLFGNQERMKIDNQIELVDVFLDNRVEILASCFAPRSGWNHSLASMICLLENPEEQYSIYLQEYTTEKEKEDKNGEKEKIINQERKDENYDHNCKGKNKRYGNKVSVNENKNKGKQMASLHIQKHQQKLKEQLGNGCSKTENKKIEEDEVKKCNPLTIEEFVEKRFKSIGERLKFCIENLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKLVLKKYEDVGSRIGNYKKLRNNIKECLMTIKLLPQTFPVPNFANTYAIREFCLQNASLLFCTTSSSVKLHGEGRKPLHFLVIDEAAQLKECESTIPLQLFGLRHAILVGDERQLPAMVNSKISEEAGFGRSLFERLVKLGYKKHLLKIQYRMHPSISLFPNREFYGKLILDAPEVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIVTKKKVSIGVVSPYKAQVHAIQDKIVKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARFCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFQRSMARIEDVDIRKEVISLLAKLSNGWRPPGKKNKISMHDETSSQLLELCGVKKQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYKCLERNLVVPMRWPVNPSGAEGSRSGADLLQLPKSFASLSIRDGSSSSGTAHKNQFKYKMKKKNPDDKDPR >Manes.01G124900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32025000:32032305:-1 gene:Manes.01G124900.v8.1 transcript:Manes.01G124900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKMDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKSYKPPKDLFYVISLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNSVFLSHVRDMSCSLKLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKILEYDTYGIGDIVLFGNQERMKIDNQIELVDVFLDNRVEILASCFAPRSGWNHSLASMICLLENPEEQYSIYLQEYTTEKEKEDKNGEKEKIINQERKDENYDHNCKGKNKRYGNKVSVNENKNKGKQMASLHIQKHQQKLKEQLGNGCSKTENKKIEEDEVKKCNPLTIEEFVEKRFKSIGERLKFCIENLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKLVLKKYEDVGSRIGNYKKLRNNIKECLMTIKLLPQTFPVPNFANTYAIREFCLQNASLLFCTTSSSVKLHGEGRKPLHFLVIDEAAQLKECESTIPLQLFGLRHAILVGDERQLPAMVNSKISEEAGFGRSLFERLVKLGYKKHLLKIQYRMHPSISLFPNREFYGKLILDAPEVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIVTKKKVSIGVVSPYKAQVHAIQDKIVKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARFCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFQRSMARIEDVDIRKEVISLLAKLSNGWRPPGKKNKISMHDETSSQLLELCGVKKQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYKCLERNLVVPMRWPVNPSGAEGSRSGADLLQLPKSFASLSIRDGSSSSGTAHKNQFKYKMKKKNPDDKDPR >Manes.01G124900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32025000:32033116:-1 gene:Manes.01G124900.v8.1 transcript:Manes.01G124900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKMDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKSYKPPKDLFYVISLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNSVFLSHVRDMSCSLKLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKILEYDTYGIGDIVLFGNQERMKIDNQIELVDVFLDNRVEILASCFAPRSGWNHSLASMICLLENPEEQYSIYLQEYTTEKEKEDKNGEKEKIINQERKDENYDHNCKGKNKRYGNKVSVNENKNKGKQMASLHIQKHQQKLKEQLGNGCSKTENKKIEEDEVKKCNPLTIEEFVEKRFKSIGERLKFCIENLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKLVLKKYEDVGSRIGNYKKLRNNIKECLMTIKLLPQTFPVPNFANTYAIREFCLQNASLLFCTTSSSVKLHGEGRKPLHFLVIDEAAQLKECESTIPLQLFGLRHAILVGDERQLPAMVNSKISEEAGFGRSLFERLVKLGYKKHLLKIQYRMHPSISLFPNREFYGKLILDAPEVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIVTKKKVSIGVVSPYKAQVHAIQDKIVKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARFCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFQRSMARIEDVDIRKEVISLLAKLSNGWRPPGKKNKISMHDETSSQLLELCGVKKQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYKCLERNLVVPMRWPVNPSGAEGSRSGADLLQLPKSFASLSIRDGSSSSGTAHKNQFKYKMKKKNPDDKDPR >Manes.01G124900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32025000:32033116:-1 gene:Manes.01G124900.v8.1 transcript:Manes.01G124900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKMDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKSYKPPKDLFYVISLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNSVFLSHVRDMSCSLKLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKILEYDTYGIGDIVLFGNQERMKIDNQIELVDVFLDNRVEILASCFAPRSGWNHSLASMICLLENPEEQYSIYLQEYTTEKEKEDKNGEKEKIINQERKDENYDHNCKGKNKRYGNKVSVNENKNKGKQMASLHIQKHQQKLKEQLGNGCSKTENKKIEEDEVKKCNPLTIEEFVEKRFKSIGERLKFCIENLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKLVLKKYEDVGSRIGNYKKLRNNIKECLMTIKLLPQTFPVPNFANTYAIREFCLQNASLLFCTTSSSVKLHGEGRKPLHFLVIDEAAQLKECESTIPLQLFGLRHAILVGDERQLPAMVNSKISEEAGFGRSLFERLVKLGYKKHLLKIQYRMHPSISLFPNREFYGKLILDAPEVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIVTKKKVSIGVVSPYKAQVHAIQDKIVKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARFCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFQRSMARIEDVDIRKEVISLLAKLSNGWRPPGKKNKISMHDETSSQLLELCGVKKQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYKCLERNLVVPMRWPVNPSGAEGSRSGADLLQLPKSFASLSIRDGSSSSGTAHKNQFKYKMKKKNPDDKDPR >Manes.01G124900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32025000:32032147:-1 gene:Manes.01G124900.v8.1 transcript:Manes.01G124900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKSYKPPKDLFYVISLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNSVFLSHVRDMSCSLKLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKILEYDTYGIGDIVLFGNQERMKIDNQIELVDVFLDNRVEILASCFAPRSGWNHSLASMICLLENPEEQYSIYLQEYTTEKEKEDKNGEKEKIINQERKDENYDHNCKGKNKRYGNKVSVNENKNKGKQMASLHIQKHQQKLKEQLGNGCSKTENKKIEEDEVKKCNPLTIEEFVEKRFKSIGERLKFCIENLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKLVLKKYEDVGSRIGNYKKLRNNIKECLMTIKLLPQTFPVPNFANTYAIREFCLQNASLLFCTTSSSVKLHGEGRKPLHFLVIDEAAQLKECESTIPLQLFGLRHAILVGDERQLPAMVNSKISEEAGFGRSLFERLVKLGYKKHLLKIQYRMHPSISLFPNREFYGKLILDAPEVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIVTKKKVSIGVVSPYKAQVHAIQDKIVKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARFCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFQRSMARIEDVDIRKEVISLLAKLSNGWRPPGKKNKISMHDETSSQLLELCGVKKQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYKCLERNLVVPMRWPVNPSGAEGSRSGADLLQLPKSFASLSIRDGSSSSGTAHKNQFKYKMKKKNPDDKDPR >Manes.01G124900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32024999:32031799:-1 gene:Manes.01G124900.v8.1 transcript:Manes.01G124900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKMDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKSYKPPKDLFYVISLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNSVFLSHVRDMSCSLKLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKILEYDTYGIGDIVLFGNQERMKIDNQIELVDVFLDNRVEILASCFAPRSGWNHSLASMICLLENPEEQYSIYLQEYTTEKEKEDKNGEKEKIINQERKDENYDHNCKGKNKRYGNKVSVNENKNKGKQMASLHIQKHQQKLKEQLGNGCSKTENKKIEEDEVKKCNPLTIEEFVEKRFKSIGERLKFCIENLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKLVLKKYEDVGSRIGNYKKLRNNIKECLMTIKLLPQTFPVPNFANTYAIREFCLQNASLLFCTTSSSVKLHGEGRKPLHFLVIDEAAQLKECESTIPLQLFGLRHAILVGDERQLPAMVNSKISEEAGFGRSLFERLVKLGYKKHLLKIQYRMHPSISLFPNREFYGKLILDAPEVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIVTKKKVSIGVVSPYKAQVHAIQDKIVKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARFCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFQRSMARIEDVDIRKEVISLLAKLSNGWRPPGKKNKISMHDETSSQLLELCGVKKQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYKCLERNLVVPMRWPVNPSGAEGSRSGADLLQLPKSFASLSIRDGSSSSGTAHKNQFKYKMKKKNPDDKDPR >Manes.12G002600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:458479:458955:-1 gene:Manes.12G002600.v8.1 transcript:Manes.12G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRSKVMFCKRKRTLKKKAEELSKLCGVPVCLICFEPDGTKIDTWPEDKKEVGDILVKYIYILNKDNIDLQLGFMDANNKNQDLVAKEESCKHEEKKKKKKKKVFETWNTRLDYLPEESLHDILKFLEQKAEILEERIMQMAMIRVLAAKSNQCIT >Manes.10G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10781053:10809190:1 gene:Manes.10G068800.v8.1 transcript:Manes.10G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEYNTGFRREEALGSAFSRHAISFQSNAINSSSEIFPMGSYFGMNTSANTVILPGNSGMFNNRPPGILHVQAVNSSASSLLLGIAPDIKHDTGLAVEWSVDEQYKLEEGLSNFADEPSILKYIKIAATLPDKTVRDVALRSRWMTRKRRKAEECVLGKMCNNRKDKLVESLPKMNMPSAVPQSITMYPQMMHHLDQGEPLSFEEISGTSRHLLEQNTQAFSKITANLSMLKLQDNIDLFCHARNNITAILNDMREMPGIMSQMPPLPESINEDLANCILPTTAQSMMFGSPSGIQLKQEPRC >Manes.05G117200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12018869:12027123:-1 gene:Manes.05G117200.v8.1 transcript:Manes.05G117200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRKKRHFSRIHAFSCGKASFKEDHSLIGGPGFSRVIYCNDPECFEAGLLNYNSNYVRTTKYTLATFFPKSLFEQFRRVANFYFLVCAILSFTPLSPYSAVSNVVPLVVVIGATMGKEFIEDWRRKRQDVEVNNRKVKIHSGDGVFHHTKWMDLKVGDIVKVEKDEFFPADLILLSSNYEEAVCYVETMNLDGETNLKMKQALDATSNLHEDSSFQDFKTLIRCEDPNANLYSFIGNLELKEQQYPLSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTEPPSKRSKIERRMDKIIYFLFFILVLMSFIGSIFFGIATREDLDNGRMKRWYLRPDDTTVYYDPKSAPAAAVLHFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGHGVTEVERAMDKRKGSPSPQEVIEEGHVEEQAEQKPSIKGFNFLDERISDGHWVNEPRADVIQKFLRLLAICHTAIPEIDEENGRVTYEAESPDEAAFVIAARELGFEFFERTQTSISLRELDPEAGRKVERNYQLLHIIEFSSSRKRMSVIVRNEEGKLLLLCKGADSVMFERLAMNGREFEEQTKEHINEYADAGLRTLVLAYRELNEEEYSEFNQEFNEAKNSVSSVREEMIEEVAAKIERDFILVGATAVEDKLQNGVPECIDKLAQAGIKIWILTGDKMETAINIGFACSLLRQGMKQVIISSDTQENKALQKMEDKAAAAAASKASILHQISEGKKLLTASSASPEALALIIDGNSLGYALQDDVKDKFLELAIGCASVICCRSSPKQKALVTRLVKTKTGNTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPVYNDWFLSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWLRIFGWVFNGLLSATIIFFFSISAMEHQAFNESGKVVGLEILGATMYTCVVWVVNCQMALSISYFTYIQHLFIWGGIIFWYIFLMAYGAMDPNISTTAYKVFVEACAPAPSYWLITLIVLISSLLPYFAYSAIQMRFFPLYHQMIQWLRSDGQTEDPEYCHTVRQRSLRPVTVGYTARFSKREGR >Manes.05G117200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12018869:12027106:-1 gene:Manes.05G117200.v8.1 transcript:Manes.05G117200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRKKRHFSRIHAFSCGKASFKEDHSLIGGPGFSRVIYCNDPECFEAGLLNYNSNYVRTTKYTLATFFPKSLFEQFRRVANFYFLVCAILSFTPLSPYSAVSNVVPLVVVIGATMGKEFIEDWRRKRQDVEVNNRKVKIHSGDGVFHHTKWMDLKVGDIVKVEKDEFFPADLILLSSNYEEAVCYVETMNLDGETNLKMKQALDATSNLHEDSSFQDFKTLIRCEDPNANLYSFIGNLELKEQQYPLSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTEPPSKRSKIERRMDKIIYFLFFILVLMSFIGSIFFGIATREDLDNGRMKRWYLRPDDTTVYYDPKSAPAAAVLHFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGHGVTEVERAMDKRKGSPSPQEVIEEGHVEEQAEQKPSIKGFNFLDERISDGHWVNEPRADVIQKFLRLLAICHTAIPEIDEENGRVTYEAESPDEAAFVIAARELGFEFFERTQTSISLRELDPEAGRKVERNYQLLHIIEFSSSRKRMSVIVRNEEGKLLLLCKGADSVMFERLAMNGREFEEQTKEHINEYADAGLRTLVLAYRELNEEEYSEFNQEFNEAKNSVSSVREEMIEEVAAKIERDFILVGATAVEDKLQNGVPECIDKLAQAGIKIWILTGDKMETAINIGFACSLLRQGMKQVIISSDTQENKALQKMEDKAAAAAASKASILHQISEGKKLLTASSASPEALALIIDGNSLGYALQDDVKDKFLELAIGCASVICCRSSPKQKALVTRLVKTKTGNTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPVYNDWFLSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWLRIFGWVFNGLLSATIIFFFSISAMEHQAFNESGKVVGLEILGATMYTCVVWVVNCQMALSISYFTYIQHLFIWGGIIFWYIFLMAYGAMDPNISTTAYKVFVEACAPAPSYWLITLIVLISSLLPYFAYSAIQMRFFPLYHQMIQWLRSDGQTEDPEYCHTVRQRSLRPVTVGYTARFSKREGR >Manes.02G040700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3345182:3351370:-1 gene:Manes.02G040700.v8.1 transcript:Manes.02G040700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFSVEENEDAAKRENGIYWPPPHTHVQHECSKTESEQKLEVSGGGVLHSIKDQNGTYVRLHISSMAYRTQSHTSDYPYCTNKNNSSKHQASLETDPVKSMRLMLDRAMGRASSTLSPGHRHFATQTKELIAEIELLEEEVTNREQHVLSMYRCIFENCVSQAPSDPNSGVASPAHTKQVSRKHPSIISSAFCSSKKLPLRPLQALVSIRESAKISSKASDAPSFLGKSDIQFEKTFDCVRAEEQIHVMEKSSMLRTLKDHLYQCPSKLSEEMVRCMAAVYCWLHSTASVPGKNRSPLLSRSSTNLVIPRHDIGQDRDWSCKSTVEISWKSTDRSQLSSASYAINNYRVLVKQMEKVTVHQMENNAQIAFWINVYNALAYLAYGIPRSSLRRLALFHKAAYNIGSHISSANAIEQSIFGFRTPELERVYLEISFRYFLKHLFAVIPSSVRAWLETILSTALRKKSSEERQLISSKFGLSDAQPLVCFALCTGAFSDPVLKVYKASSVKEEMEVAKREFLQTNTVVKKSRKVFLPKLLERFAKEASINSDDLLEWITENVDKKLHDSIKKCSHRKSSKKASQIIEWLPYSSRFQYIFSKELTEKPWWV >Manes.16G096700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30252851:30255050:-1 gene:Manes.16G096700.v8.1 transcript:Manes.16G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCAKEGLNRGAWTAQEDKLLTDYIKLHGEGKWGNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISPDEEDLIIRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNTNLGKKLLKEHNKTLIQNKLAFPSPSSPPKMASHLFLTKAFDCSKVVAYPHLQLHEIERDTYKSSVKTRPPPASSVIVGEAHVPCNPDKEAPSVAGGENNNNNNDNGDSKDFFMEFAMGNGADADGFTNFESCEFNYCNKSNGGEFSTPSPPGLLISEEMLQDLTMRTTDFFQPRELSCLSPFTSFLDSGGVWPD >Manes.03G078700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21240772:21242278:1 gene:Manes.03G078700.v8.1 transcript:Manes.03G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLNLEDKWNLTTQQALLLFACTAFALIGLCTATMLKRKAHRKQMANQDPAASDTYQLRYNNWVRIRRMLMEAFRWSKANKWEEKRVGNLGETSPLPPQPQPQPLLGFEDCESSVSFDLGSQSHNSVSPVWQRPILMGEKCELPRFSGLILYDERGRLLHHSLASAHKENLHHEKRAAVLKTTLRDLL >Manes.10G006700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:810421:813868:1 gene:Manes.10G006700.v8.1 transcript:Manes.10G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISNRNQNGETEESNGAKLQGQAPLNISVSKRTLLNNENPQRNVPLQDMFTALPNRINFLKFGSASAKFRRLAEDRDEMSRSVASSSGHGFRERMHGVFDRKIDWASLMKMSKDWLRDPMNMALFVWIICVAISGAILFLVMTGMLNGVLPKKSQRNAWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWKPEDISRLRKIYCKNGSYKPHEWAHMMVVVILLHVNCFAQYALCGLNLGYKRSERPAIGVGICISLAIAAPAIAGLYTIISPLGRDYSEMDEEAQIQITTGESTRPEQLRRKSFEKRFSFATRDEQANVETRPQWSGGILDFWDDISLAYLSLFCSFCVFGWNMDRLGFGNMYVHIATFLLFCMAPFWIFNLAAVNVDDETVREALGLTGIILCAFGLLYGGFWRIQMRKRFNLPTYTFCFGEPAVSDCTLWLCCCWCSLAQEVRTGNSYDIVEDKFYRKPVDGSNYPQPSPREDGSGPSSPLGNNRSPSKTLIANSPSPRRVSNEYYNPDRQLFTVKEESSAGGKDETMTPPSPSLIEREAV >Manes.07G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2255896:2260793:1 gene:Manes.07G020300.v8.1 transcript:Manes.07G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSSIFSKSSRRRLKKKSGPPSPPVLTPPPPPPLRAVSSPQQLTDGSNNNNNNNNNSLIAGTGAKTKKKAVGARLWMRFDRFGISELMECDKSTIIKRVAIPARDLRILGPVFSHSSNILAREKAMVVNLEFIRAIVTAEEVLILDPLCQEVLPFVDQLRQQIPLKRSVNTQEAGHTDTQDNEVHSTEAAEGLQCELPFEFQVLEIALEVVCTYLDSNVADLERDAYPVLDELARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMADLYLTRKWVQNQQSEALLGTAASNSIITFAPPLRRLSSSHSASLVTGSILGENDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDQQRNELIQLQLTLTIASFAITMDTLVASFFGMNIPCRLYEIQGIFGYFVGATSAACFLLFLLVLGYARWKKLLGS >Manes.03G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3859467:3861636:-1 gene:Manes.03G044000.v8.1 transcript:Manes.03G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQLTASSISVSVRSLASFEGLRPSTVKFASFGTLKAGGAPQRSFRGLVVKAATVVAPKYTGIKPLGDRVLVKIKVAEEKTDGGILLPTSAQTKPQGGEVVAVGEGRTIGNTKLDISIKTGTQVVYSKYAGTEVEFNGSSHLILKEDDIVGILETDDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTEAAKEKPSIGTIVAVGPGTIDEEGNGKPLSVSPGNTVLYSKYAGNDFKGNDGTNYIALRVSDVMAVLS >Manes.05G202400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32703788:32704234:1 gene:Manes.05G202400.v8.1 transcript:Manes.05G202400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLKNLSLPSPILSVSRPKFTFPFFPLQTDIKIPKFQSRFLIFALNNNNSGEPKEQKQEKLNGSTDNGTNGDDLKKNRPPLLNIKWGELLLDSDPDNILAVALTGLLTWASAQVLWQLFLVSAAILVAALKYSFIAALLIFILITLL >Manes.03G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26876108:26879589:1 gene:Manes.03G140800.v8.1 transcript:Manes.03G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSLSHNTPPITDEEDEWDTDGFVIPSLGIEGPDNGKPDASAVEPSKPPSPKAKKEENIYLGPHGAPPSQSKQQELNYSGRKQRFKQKLKEADRRIGGNKLENSREIVGDGNWEGKSQYGKGFSQGLVRPTLP >Manes.04G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4125685:4131705:-1 gene:Manes.04G032300.v8.1 transcript:Manes.04G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASSTPPSSGYLDALTLEIEKKLQRALASASLRRNLLQELFADIALEVDDRARDIILSRDEDTISPAEDDADGQLCFYNVLADYYARVPERGKRILDLIVQLWSQSFASHIFSLLFHKWLFESHLHSTEVLLRYSSALVQGATNVFWIDIQTNTRHFQSLFRYLLEEVALEPKRLNKIPLQAQQDLFLLLSRSLLYYNLDDKLEIFLKHFPVFPNAFLVGGPVDFFVIELADQLQKLKVEPVLLHYLSQIKILQAGMELRMTTSTRLRACLYSFTSPGGPMYPTRAVRHAAWETLDLLFPVGRYPRHLISLFFRLLYPWCWPSSCFNFIKSCMKAIFYCLVRQLFSGWDKLREN >Manes.04G032300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4125685:4131705:-1 gene:Manes.04G032300.v8.1 transcript:Manes.04G032300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASSTPPSSGYLDALTLEIEKKLQRALASASLRRNLLQELFADIALEVDDRARDIILSRDEDTISPAEDDADGQLCFYNVLADYYARVPERGKRILDLIVQLWSQSFASHIFSLLFHKWLFESHLHSTEVLLRYSSALVQGATNVFWIDIQTNTRHFQSLFRYLLEEVALEPKRLNKIPLQAQQDLFLLLSRSLLYYNLDDKLEIFLKHFPVFPNAFLVGGPVDFFVIELADQLQKLKVEPVLLHYLSQIKILQGMELRMTTSTRLRACLYSFTSPGGPMYPTRAVRHAAWETLDLLFPVGRYPRHLISLFFRLLYPWCWPSSCFNFIKSCMKAIFYCLVRQLFSGWDKLREN >Manes.12G022000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1916253:1916687:1 gene:Manes.12G022000.v8.1 transcript:Manes.12G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSFPSASEGVLPVLVMNTVLSVALLKNMVRSVFQVMGANWTLENYEQDEDPDEYPQEHAGERRISITQFKSLTNNSGSGSDGGGESGRRGGATVECCVCLCRFEADEEVSELSCKHFFHKGCLDKWFDNKHSTCPLCRSTH >Manes.02G212232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:26818403:26842504:1 gene:Manes.02G212232.v8.1 transcript:Manes.02G212232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWMGGSRKKVTTSRKSIQKRQKQYFEQRRRQLQQQTAGLEMSEGGGYKCSENYKEHRSLDVLNLLNFSTSFQDCKPCCPSGRKDSKVNTSTVKNHIDPPIILASTVCPFSSLEITEASMLFNLYGSQSGSQVETLSTKKVLLSSPDDLSNALYDPDNKSDFGRKATQQQLSFFDLLGDDDSDRNLEGTLAPEAHVAFSVDGLGKVGTETPPHSPRQLDRYITYGFSSPLETVEHNSSRNLSSVLNDLELEVDAIMQDIGIPPFESSLEFSTMIEDSCGQLKKNSSEVRDCRKLRGRDSKLRSRFSIEQEFCNTRIDNEDLWDDFLKYGDEEMPNYAFGDPYKLEKRDCKKATKRFNFLDSPAQNHHTSENDYDLMTSKEARHHLVGTDFDSGNATAHSDWSCFVLEDAKENLSLLSEESCSSTAVRDEVTDISQVNYIARDTNRHQNAFDGAHFKHGAKKVLAKEKCLKNKNNLKKGNNPCWSGKRVQRPTLLEPRLAHNLNHLSQEKIGQRGSWLFEEGYRSTDMNLDHSSVCQTSKTQRPSSGSKPLNEGTFGMFLVPEPHIEVKFSFNRSKHGSPFKCSPHGSCISEKCAFCQSSCHKRYNVSSTLSNCELGAIAPDIFPESSDFELESRPPHSSQDAVSEGEKLILELSSVRSISKCEENNSESQQANDNNIMPQSGLECKELKDATLETLSSAKISAKPDSSIGGRKLKGWRKKDGKLKTK >Manes.10G141000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30856574:30860677:1 gene:Manes.10G141000.v8.1 transcript:Manes.10G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDANATNYDTSWSSATNWIVAGGFLANSVTFESSFSLVEDDKDDNHDQSSTVSSTVKSPLILYPPSPDSTSCEITITFAQKHEVRQVYVRSTARVYEIYYAPKLQSSSEYLCTVRCGIALRDEEVLRATGIEEAVLAHKKSFIKELGEERIRNGSNVSTSEDDWVEVKVPDTRHANTSSPQSSHSDTSQVRSSQPQDFYEATADITDSNPCISITLRLLSLQNKSCICIDEVYVFADPVDAADMDNKVGPVENSAGSSLMAMLMPTLLQLSKTKGYGQAQDKYDSDRMNGWKSDKIEAKPTDPVDAGNKIQQEGKSDSIYQQGVQVQEAVIPSAKLQNPPQVSDTESKPELSLSHIESVLNQLVSRVTRIEDLFLRFEDCMLKPIRSIDERLQRVEQQLEVLTKKPQNSGLRSCTRISAPDFSCSESETNSLHNSSYVDLSYAACDANKKDSLPAVSSILSDATPVSVNTLNSHPSLVVTAPDFSNCDDDEEDDAVEPVMESPKEKQKHVMSIDDALASALAGFLSSTSIQSPKYSKILAVKAPEFPNEEGDNGNKTVSPKVHCEVSTEHPTGFSEHDETELQRRLSFSSLSNTSSLESDENAMNYPINNYCVKKDIGVDEQVQDGEGDKGDTQGTCSECTVPAVNDIARTGSDKITDDIQNEVDNGTCNISGLEKADGLEQFSGNQTDSVDTMEDVAGSSELNTCTEVTDEGSKLDILQDVVELSRAATKVDFETPILEVKFTSQENMKVKSPLEALLAGIPDLNTEVHSAEKTDEDDSQIGDQCNLVPVEDWRMRSSATDNHVSMDTDYYNLTLLPLNAEDVSHFI >Manes.10G141000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30856574:30860677:1 gene:Manes.10G141000.v8.1 transcript:Manes.10G141000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKVGPVENSAGSSLMAMLMPTLLQLSKTKGYGQAQDKYDSDRMNGWKSDKIEAKPTDPVDAGNKIQQEGKSDSIYQQGVQVQEAVIPSAKLQNPPQVSDTESKPELSLSHIESVLNQLVSRVTRIEDLFLRFEDCMLKPIRSIDERLQRVEQQLEVLTKKPQNSGLRSCTRISAPDFSCSESETNSLHNSSYVDLSYAACDANKKDSLPAVSSILSDATPVSVNTLNSHPSLVVTAPDFSNCDDDEEDDAVEPVMESPKEKQKHVMSIDDALASALAGFLSSTSIQSPKYSKILAVKAPEFPNEEGDNGNKTVSPKVHCEVSTEHPTGFSEHDETELQRRLSFSSLSNTSSLESDENAMNYPINNYCVKKDIGVDEQVQDGEGDKGDTQGTCSECTVPAVNDIARTGSDKITDDIQNEVDNGTCNISGLEKADGLEQFSGNQTDSVDTMEDVAGSSELNTCTEVTDEGSKLDILQDVVELSRAATKVDFETPILEVKFTSQENMKVKSPLEALLAGIPDLNTEVHSAEKTDEDDSQIGDQCNLVPVEDWRMRSSATDNHVSMDTDYYNLTLLPLNAEDVSHFI >Manes.08G174100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41467040:41489149:1 gene:Manes.08G174100.v8.1 transcript:Manes.08G174100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSHVWVEDPEDAWIDGEVIAIKGRDATIVATNGKTIAAEIFSIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRFSLNEIYTYTGNILIAVNPFQRLPHLYDIHMMEQYKGAAFGELSPHLFAVADTCYRAMMNEQESQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKQGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVKKFKLGDPREFHYLNQSNCYQVANVDDAREYLETRNAMDIVGISQDEQDAIFRVVAAILHLGNIDFIKGKETDSSKLKDDKARYHLLTAAELLRCNENALEDSLCKRVIVTPDGNITKPLDPDLAVLSRDALAKTVYSRLFDWIVDKINNSIGQDPNATSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHRRFSKPKLARTDFTINHYAGDVTYQADQFLDKNKDYIVAEHQALLNASKCPFVANLFPPLPEETSKQSKFSSIGTRIKQQLQALMETLNTTEPHYIRCVKPNTLLRPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLTPDVLEGSDEKSACIAILERMGLKGYQMGKTKVFLRAGQMAELDAQRSEVLASSARRIQRQIRTYLTRKVFIAMRRASIHMQKLWRAQLARKLYEHMREEAASIRIQKNVRAHTARRLYINLQTSAMFIQTGLRAMAARNEYRYRRRTKAAIIIQTQWRRFQALSAYKQQKKATLTLQCLWRARVARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLEFERHLRIDLEEAKGQEIAKLQNALQEKQGEVDEAYAAIIREKEAAKLAIEQAPPVIKEVPVVDNIKIESLRNQNEELEDKLREMKMKMEEFEDKCSKLKNESNARLRDAEEAQLKTMKLQETIERLELNLSNLESENQVLRQQALVASANNGLSEELDILKHKIKDLESENELLCKRPAVLVQKATPERVSSQVNNVVNGHKIEEGPQMTKEPEPLVSLLTKQRSLTDRQQENHDVLIKCLVEDKQFDKSRPVAACVVYKSLLQWRSFEAEKTNIFDRIIQTIKSCVESEDNTSNLTYWLSTTSTLLFLLQSTLKASNMQNASSQRNRTSPTTLFGRMVQGFQPPSMGIGVSSGYSGMVGKSSEQLKVEAKYPALLFKQHLTACVEKIYGLIRDSVKKEISLLLNLCIQAPRSMRARSIRGSSKNIHSNIVARQQASNIHWQSIVNKLDNTLSIMSENNVSPVFTRKIFSQVFSFINVQLFNSLLLRREFCSFSNGEYLKAGLQELEQWCQKVSNQFAGSSLDELQHIRQAVGFLVLHQKAQKSADEITNELCPMLSIPQIYRIGTMFWDDKYGTQGLSPDVIGKMRTLMAEDSINMPNNYSFLLDVDSSIPFSMEEIFRSFDGISLSDVDPPQLLRQRR >Manes.11G043600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4749860:4754477:1 gene:Manes.11G043600.v8.1 transcript:Manes.11G043600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQSPATYGPTQYTYEELAEAAHRFSNNYRIGGGGFGEVYEGFLDGKIAAIKKLKILPDQPLKKGLEHEIRVASCVSHRNLVKLVGYCVDGANVLLVLEYFRNKSLKFNLHEKKNLDWPKRMKIAIGCARGLEYLHLYCTPRIIHQDIKPDNILLDDNFEPKVADFGLALFFPDNVSHISTSNIGGTVDYIDPKHSGQATDKLDVYSFGVVLLELISGRPPTQEGSHIVSWAKNQIIPVLKGKRTDLIDSKLQNFNGKEKKEMIRMVKCAACCVYKLSEIRPTIKEIAQALEDELHLNGVWDDNDYNFLQDNPKAELSQTTMMKLPNNNNKTTTTRNPTIETTRFSNPVGKTSFSGSFQAYKPKIFTHRELRKATEDFSNVKLVGEGEYGAVYVGQLENKTIVAIKKLKDLPNKQQKEEFEKKIKDISSLKHSNLVNPVGYCIENLNRFLVSEFVSPSNSLKYYLHGSETLDWPKRMNIALGSANGLKYLHKGKIIHGDIKSNNIILDNNFEPKVTNFGLIMHFPPGRTNDIYSYSKDYKNLSEKSDVYTFGVLLLELVTGRNVHEKGGNIINWIVKALEGDIPLKDIWNENDKKSLYS >Manes.11G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4749860:4754477:1 gene:Manes.11G043600.v8.1 transcript:Manes.11G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQSPATYGPTQYTYEELAEAAHRFSNNYRIGGGGFGEVYEGFLDGKIAAIKKLKILPDQPLKKGLEHEIRVASCVSHRNLVKLVGYCVDGANVLLVLEYFRNKSLKFNLHEKKNLDWPKRMKIAIGCARGLEYLHLYCTPRIIHQDIKPDNILLDDNFEPKVADFGLALFFPDNVSHISTSNIGGTVDYIDPKHSGQATDKLDVYSFGVVLLELISGRPPTQEGSHIVSWAKNQIIPVLKGKRTDLIDSKLQNFNGKEKKEMIRMVKCAACCVYKLSEIRPTIKEIAQALEDELHLNGVWDDNDYNFLQDNPKAELSQTTMMKLPNNNNKTTTTRNPTIETTRFSNPVGKTSFSGSFQAYKPKIFTHRELRKATEDFSNVKLVGEGEYGAVYVGQLENKTIVAIKKLKDLPNKQQKEEFEKKIKDISSLKHSNLVNPVGYCIENLNRFLVSEFVSPSNSLKYYLHGSETLDWPKRMNIALGSANGLKYLHKGKIIHGDIKSNNIILDNNFEPKVTNFGLIMHFPPGRTNDIYSYSKDYKNLSEKSDVYTFGVLLLELVTGRNVHEKGGNIINWVRIRIEKAMNGQVRDLVDSKLQIYDALQVQRMIYCAAACIYKSTKFHFVMIVKALEGDIPLKDIWNENDKKSLYS >Manes.02G057300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4500700:4503805:1 gene:Manes.02G057300.v8.1 transcript:Manes.02G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLLKHITNFFFFLLLNYHLAFAISKPPYTPTDNILTNCGTSGETPAPDDRTWTGDDNSKFGPIEQSNSKSLPANALSQGSSAESVPYMTARISRSQFMYTYPVTAGQKFIRLHFYSASYQGGFNRFKDFFDVKSGPFTLLKNFSASFYAEAQGNDFFFKEFCVNVAENQQLNLTFSPSLSDSNDSYAFINGIEILSMPSNLYYTPPEGLGLTSIGKKDKFFIRNDTALENMCRFNVAGGTISPVLDTGMYRLWRDDVLDQGLVNSELNVSLNYSKIPKYTAPDDVYLSARQMEVNGTNLTWRVPVDLGFMYLVRLHFCEFVPEITKVNQRRFDIFIDNQTAKLGFDVIESSGGQRTPIYNDYIVTTGKRVENSDDAYRLFITLRPNPSSISPDAFLNGLEIFKLNDSDGNLAGPNPQVSVLSPPATTAPTSPPPAEKSSTKKILLVAIGGSVMGLLIILSLLGFLIIWRLRKKEHRHYGSYYKSLSCCWKLNSATYVGKSSRTTASSLPQELCRQFSLDEIKAATNNFHESLIIGTGGFGNVYRGDIDNGAMTVAIKRLNQGSSQGAREFKTEIEMLSQLRHVNLVSLIGYCIHGREMILVYDYMVNGSLRDHLYDKVNNPLPWKQRLDICIGAARGLHYLHAGAANIVIHRDIKTTNILLDENWVSKVSDFGLSKIGVNNSAVSTNVKGTWGYLDPEYARRNQLTEKSDVYSFGVVLLEVLSARKPLNKKVEEEQWNLVNWARKCIQNENIHVIIDPHLIGKIAPACFQKFMEIAENCVRDQAIERPSMHDVMERLEFALELQQSADAEKEKMNPGHGGDYVYPPQVSFHVSRYTNIVGGSQLDYSSNISMDLDTDATGQTYPSVLSSTITSSHGFSDTINSSEK >Manes.01G225500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39112684:39113269:-1 gene:Manes.01G225500.v8.1 transcript:Manes.01G225500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSSTIAFCGAAIEVNSCSHLDCDGLTKNKKRRRMPLIRLALYILNMHKSRKSKCVPDTDTTAWKKFVASVRPLHLQSHQSPPRVMEAQPVPSPVISFAEHVEIDKQLLSPAYASAGLSPCVMSEYASATNLYELDDLGGSEENDENNGFYDKIGDEMIDIKAEEFIAQFYQQIRLQHEAYRNNGT >Manes.11G041375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4559281:4561547:1 gene:Manes.11G041375.v8.1 transcript:Manes.11G041375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDINANPQNCRITKLAFDPGVRKYSFEELAKATGDFSNNNRVGQGGSGQVYKGTLPNGKQVAIKRLQYNSDPEKQQLELLFENEFKTISRTRHPNIVEVVGYCSEEADRLIVYEFVSNKSLKSHLYVGRRQQTMVTAPIDWPTRMKIALGIAEGLAYLHEDCKPGIIHGDIKSDNILLDDEFNPKIRDFGISKEFADSETHVSTISMGTPDYLAPEHCTEDG >Manes.14G129100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10294289:10300237:-1 gene:Manes.14G129100.v8.1 transcript:Manes.14G129100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRKGSKVEVLSKQGVPSGFWRCAEIICGNGHTYTVRYEGHASTIDETVMERISRNAIRPCPPLPEIAEDWVPGDVVEVFDDFSWKTATISKMLGKKYFLVRIIGSSLEFKVSKFDIRTRQSWQDDEWIVIGKGPGSCEGAKHDNNSTTKCERKSMNNVRMAKTRLNERVKNDCFPKVNKENLQEPNIVSNRTLKRGPYGYKQAEAHDGAAQKFRAVEKEGRLHRWFATNQFPLLEQVDDFAFPTDMVGEKFMHPSFNDQTGFSAMDVERRKQTGAVGCSSAVELESNDEDGVTSSVGSCSITSNNFCKFRSYAGFIEDNDGCSSDAESFCQWGCGEENVPFPMKEDLATEIHRLELHAYRCTMEALFASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRRLVHLTSQC >Manes.14G129100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10294289:10300237:-1 gene:Manes.14G129100.v8.1 transcript:Manes.14G129100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRKGSKVEVLSKQGVPSGFWRCAEIICGNGHTYTVRYEGHASTIDETVMERISRNAIRPCPPLPEIAEDWVPGDVVEVFDDFSWKTATISKMLGKKYFLVRIIGSSLEFKVSKFDIRTRQSWQDDEWIVIGKGPGSCEGAKHDNNSTTKCERKSMNNVRMAKTRLNERVKNDCFPKVNKENLQEPNIVSNRTLKRGPYGYKQAEAHDGAAQKFRAVEKEGRLHRWFATNQFPLLEQVDDFAFPTDMVGEKFMHPSFNDQTGFSAMDVERRKQTGAVGCSSAVELESNDEDGVTSSVGSCSITSNNFCKFRSYAGFIEDNDGCSSDAESFCQWGCGEENVPFPMKEDLATEIHRLELHAYRCTMEALFASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRRLVHLTSQC >Manes.14G129100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10294289:10300237:-1 gene:Manes.14G129100.v8.1 transcript:Manes.14G129100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRKGSKVEVLSKQGVPSGFWRCAEIICGNGHTYTVRYEGHASTIDETVMERISRNAIRPCPPLPEIAEDWVPGDVVEVFDDFSWKTATISKMLGKKYFLVRIIGSSLEFKVSKFDIRTRQSWQDDEWIVIGKGPGSCEGAKHDNNSTTKCERKSMNNVRMAKTRLNERVKNDCFPKVNKENLQEPNIVSNRTLKRGPYGYKQAEAHDGAAQKFRAVEKEGRLHRWFATNQFPLLEQVDDFAFPTDMVGEKFMHPSFNDQTGFSAMDVERRKQTGAVGCSSAVELESNDEDGVTSSVGSCSITSNNFCKFRSYAGFIEDNDGCSSDAESFCQWGCGEENVPFPMKEDLATEIHRLELHAYRCTMEALFASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRRLVHLTSQC >Manes.14G129100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10294289:10300237:-1 gene:Manes.14G129100.v8.1 transcript:Manes.14G129100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRKGSKVEVLSKQGVPSGFWRCAEIICGNGHTYTVRYEGHASTIDETVMERISRNAIRPCPPLPEIAEDWVPGDVVEVFDDFSWKTATISKMLGKKYFLVRIIGSSLEFKVSKFDIRTRQSWQDDEWIVIGKGPGSCEGAKHDNNSTTKCERKSMNNVRMAKTRLNERVKNDCFPKVNKENLQEPNIVSNRTLKRGPYGYKQAEAHDGAAQKFRAVEKEGRLHRWFATNQFPLLEQVDDFAFPTDMVGEKFMHPSFNDQTGFSAMDVERRKQTGAVGCSSAVELESNDEDGVTSSVGSCSITSNNFCKFRSYAGFIEDNDGCSSDAESFCQWGCGEENVPFPMKEDLATEIHRLELHAYRCTMEALFASGPLSWEQEALVTNLRLSLHISNDEHLMEVRNLVSADNSIPSR >Manes.14G129100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10294289:10300237:-1 gene:Manes.14G129100.v8.1 transcript:Manes.14G129100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRKGSKVEVLSKQGVPSGFWRCAEIICGNGHTYTVRYEGHASTIDETVMERISRNAIRPCPPLPEIAEDWVPGDVVEVFDDFSWKTATISKMLGKKYFLVRIIGSSLEFKVSKFDIRTRQSWQDDEWIVIGKGPGSCEGAKHDNNSTTKCERKSMNNVRMAKTRLNERVKNDCFPKVNKENLQEPNIVSNRTLKRGPYGYKQAEAHDGAAQKFRAVEKEGRLHRWFATNQFPLLEQVDDFAFPTDMVGEKFMHPSFNDQTGFSAMDVERRKQTGAVGCSSAVELESNDEDGVTSSVGSCSITSNNFCKFRSYAGFIEDNDGCSSDAESFCQWGCGEENVPFPMKEDLATEIHRLELHAYRCTMEALFASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRRLVHLTSQC >Manes.14G129100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10294289:10300237:-1 gene:Manes.14G129100.v8.1 transcript:Manes.14G129100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRKGSKVEVLSKQGVPSGFWRCAEIICGNGHTYTVRYEGHASTIDETVMERISRNAIRPCPPLPEIAEDWVPGDVVEVFDDFSWKTATISKMLGKKYFLVRIIGSSLEFKVSKFDIRTRQSWQDDEWIVIGKGPGSCEGAKHDNNSTTKCERKSMNNVRMAKTRLNERVKNDCFPKVNKENLQEPNIVSNRTLKRGPYGYKQAEAHDGAAQKFRAVEKEGRLHRWFATNQFPLLEQVDDFAFPTDMVGEKFMHPSFNDQTGFSAMDVERRKQTGAVGCSSAVELESNDEDGVTSSVGSCSITSNNFCKFRSYAGFIEDNDGCSSDAESFCQWGCGEENVPFPMKEDLATEIHRLELHAYRCTMEALFASGPLSWEQEALVTNLRLSLHISNDEHLMEVRNLVSADNSIPSR >Manes.14G129100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10294289:10300237:-1 gene:Manes.14G129100.v8.1 transcript:Manes.14G129100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRKGSKVEVLSKQGVPSGFWRCAEIICGNGHTYTVRYEGHASTIDETVMERISRNAIRPCPPLPEIAEDWVPGDVVEVFDDFSWKTATISKMLGKKYFLVRIIGSSLEFKVSKFDIRTRQSWQDDEWIVIGKGPGSCEGAKHDNNSTTKCERKSMNNVRMAKTRLNERVKNDCFPKVNKENLQEPNIVSNRTLKRGPYGYKQAEAHDGAAQKFRAVEKEGRLHRWFATNQFPLLEQVDDFAFPTDMVGEKFMHPSFNDQTGFSAMDVERRKQTGAVGCSSAVELESNDEDGVTSSVGSCSITSNNFCKFRSYAGFIEDNDGCSSDAESFCQWGCGEENVPFPMKEDLATEIHRLELHAYRCTMEALFASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRRLVHLTSQC >Manes.08G169800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40411005:40413855:1 gene:Manes.08G169800.v8.1 transcript:Manes.08G169800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.08G169800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40411005:40413855:1 gene:Manes.08G169800.v8.1 transcript:Manes.08G169800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.11G013200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1259234:1262682:1 gene:Manes.11G013200.v8.1 transcript:Manes.11G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRSASFILDKQQNDTAQPNPDTLTLSSPPLSMADTLHNPNPNSNNISAYYQTRAAHHGVVTSDWLAQAQAAVGGHPDDVLPPESDVKVAGKPFSVIDEFNNWRKQPDLAEAVAAIRALAAVIRNSEATTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLIERAEKFGEISNKARRIIAMLSQDFIFDGCTILVHGFSRVVLEILKTAAQNKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMAPALRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Manes.08G169000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40358057:40369119:-1 gene:Manes.08G169000.v8.1 transcript:Manes.08G169000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSFYLFGVVIALSFCCFALLASSQLTHTLEVSALQKVRNSLKDSQKNLVNWERKDPCTSNWTGVICTPILSDGYLHVQELRLMNMNLSGKLAPELGQFSYMMILNFMWNNISGSIPKEIGNMTSLQLLLLSGNQISGPLPDELGYLPNLTKFQLDINFISGPLPKSFANLPKAKHFHMNNNSISGQIPHELSALPQLIHLLLDNNNLSGYLPPELSEMRNLKIIQLNNNNFSGTRIPDSFANMSKLVKLSLRNCNLEGEIPDLSKIKGLLYLDLSMNQFSGNIPTNKLSSNITTIDLSRNILNGSIPSNFSGLPYLQDLLLDNNSLSGDVPTTIWQNMEFGAADKLTLDFQNNLLSNISGLLNPPANVSIKLQGNPICTRAKELDITQFCGRTSGDVENPEGSSNSTFNCEPQSCPTSYFFEYVPASPLRCFCAAPLGLEIRLRSPSISDFRPYKRPFEIYLASSLGLDLYQVLIDSFEWQEGPRIKMYVKIYPQYNNNVTTNTFNTSELHWIMDMIATFTIPLNDTFGPFDLLGFSLLGPYSGERFIFLKSGMSKSTLIGIVFGAISIVVVICFTMTFVFYKRHTGYQPEVSKKQLIPRIPIKIEGVKEFSFVELELATSSFSVTTQVGQGSYGKVYKGVLADGTIVAIKRAKQGSLQGQKEFYTEIEILSRLHHRNLVSLVGYCDEKDEQMLVYEFMPNGSVHNLLAARSKRPVNFAMRLYIAMGSAKGILYLHTEAYPPIIHRDIKANNILLDSKLAAKVADFGISRLAPVTDGEAATHISTVVKGTPGYVDPEYFLNHKLTEKSDVYSLGVVFLELLTGMQPISHGKHIVRQVHSASQSGKLFSIIDETMGPYPSECVKKFMALALSCCQEGTEKRPSVLEVVRELENISSMLSESDMILPDSEPEASTSGMSGDDQSSLYTRRKSFSSSSDFHGSDLVSGVIPSIRPR >Manes.08G169000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40358057:40369119:-1 gene:Manes.08G169000.v8.1 transcript:Manes.08G169000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERSSFYLFGVVIALSFCCFALLASSQLTHTLEVSALQKVRNSLKDSQKNLVNWERKDPCTSNWTGVICTPILSDGYLHVQELRLMNMNLSGKLAPELGQFSYMMILNFMWNNISGSIPKEIGNMTSLQLLLLSGNQISGPLPDELGYLPNLTKFQLDINFISGPLPKSFANLPKAKHFHMNNNSISGQIPHELSALPQLIHLLLDNNNLSGYLPPELSEMRNLKIIQLNNNNFSGTRIPDSFANMSKLVKLSLRNCNLEGEIPDLSKIKGLLYLDLSMNQFSGNIPTNKLSSNITTIDLSRNILNGSIPSNFSGLPYLQDLLLDNNSLSGDVPTTIWQNMEFGAADKLTLDFQNNLLSNISGLLNPPANVSIKLQGNPICTRAKELDITQFCGRTSGDVENPEGSSNSTFNCEPQSCPTSYFFEYVPASPLRCFCAAPLGLEIRLRSPSISDFRPYKRPFEIYLASSLGLDLYQVLIDSFEWQEGPRIKMYVKIYPQYNNNVTTNTFNTSELHWIMDMIATFTIPLNDTFGPFDLLGFSLLGPYSGERFIFLKSGMSKSTLIGIVFGAISIVVVICFTMTFVFYKRHTGYQPEVSKKQLIPRIPIKIEGVKEFSFVELELATSSFSVTTQVGQGSYGKVYKGVLADGTIVAIKRAKQGSLQGQKEFYTEIEILSRLHHRNLVSLVGYCDEKDEQMLVYEFMPNGSVHNLLAARSKRPVNFAMRLYIAMGSAKGILYLHTEAYPPIIHRDIKANNILLDSKLAAKVADFGISRLAPVTDGEAATHISTVVKGTPGYVDPEYFLNHKLTEKSDVYSLGVVFLELLTGMQPISHGKHIVRQVHSASQSGKLFSIIDETMGPYPSECVKKFMALALSCCQEGTEKRPSVLEVVRELENISSMLSESDMILPDSEPEASTSGMSGDDQSSLYTRRKSFSSSSDFHGSDLVSGVIPSIRPR >Manes.15G086700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6642406:6643746:1 gene:Manes.15G086700.v8.1 transcript:Manes.15G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCGNCDCADKSQCVKKGSSYTADIVETEKSFVSTIVMEVPAAENDGKCKCAAGCTCTTCTCGH >Manes.02G024200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2105680:2111856:1 gene:Manes.02G024200.v8.1 transcript:Manes.02G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLTLATATSTNTTACSSLRINKSLFPLLSARIRGVRSRRREVGFIRKSKFVVLAAKEEEPNLDQWDQMELKFGRMLGEDPKLTLAKIMARKANPDVSYLEVEKSFYKNKGKIVEIKELPFDVSKDKKPSNSLDGLNLVRPVPKSGFEVPADDKPVAAPAIKKPSKPVGKAIDSTKRSVPNVILRKPAMFLEDDVEDKPTSRSKVRIKPNLTLKMRNDQAREEFSDMTLLRKPEPLSVKEESLDNADTDICNDATRSRTLKEEDVNNYSGFTLLEKPENTLSDVQQSSETGTRSVANDQELEDDSRLEMQPLDESKIEPSTQRTAINVQSDGNSVDSPVKISLEATLQGKPKRLDQSLKEASTASVAETSVLNPETHGNVDELLNRPPTSPLEDADWSKAEKLLRTGHRGEVELVSASTRGFVVSFGSLVGFLPYRNLAAKWKFLAFESWVKQKGLDPSMYKQNLGIIGSYDVFDKNFSPDSRADPQITRQGGPEITPDLKLEDLLRIYDQEKLKFLSSFVGQKIKVNVVMADRKLRKFIVSLRPKEKEESIEKKRKLMATLQIGDVVKCCIKKITYFGIFVEVEGVPALIHQTEVSWDVTLDPASYFKVGQIVEAKVHQLDFTMERIFLSLKEIMPDPLIEALESVVGDRDSLDGRLQVVEADSEWTEVESLIKELQQIEGVQSVTKGRFFLSPGLAPTFQVYMASMFENQYKLLARSGNKVQEVIVEASLDKEEMKSTILSCTNRVE >Manes.06G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24324296:24327567:-1 gene:Manes.06G111500.v8.1 transcript:Manes.06G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLSFSREIWLLCLFSCCLYYYTMGSTSDLATVQGKQPLFSFGVISDVQYADISDGYSFIGVPRYYRHSIQVLQRAVQKWNNHGMLKFVMNFGDIVDGKCPQADSLNAVKKITNEFRKSNCPVYHMIGNHCLYNLAREKLLPILEISSPDGHAYYDFSPSPEYRIVVLDGYDISAIGWPRDNPKTLEALEFLRKKNPNSEKNSPDGLLGLERRFLMYNGAVGGEQMKWLDDVLQDATKLKQKVVVCCHLPLDPGVSYQEALLWNYDEVMNVIHQYNCVKVCLSGHDHKGGYSIDFRGIHHRSFEAALECPPGTDAFGYIEVFDDRLMLVGTDRMESTDMHFKP >Manes.06G111500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24324111:24327688:-1 gene:Manes.06G111500.v8.1 transcript:Manes.06G111500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSDLATVQGKQPLFSFGVISDVQYADISDGYSFIGVPRYYRHSIQVLQRAVQKWNNHGMLKFVMNFGDIVDGKCPQADSLNAVKKITNEFRKSNCPVYHMIGNHCLYNLAREKLLPILEISSPDGHAYYDFSPSPEYRIVVLDGYDISAIGWPRDNPKTLEALEFLRKKNPNSEKNSPDGLLGLERRFLMYNGAVGGEQMKWLDDVLQDATKLKQKVVVCCHLPLDPGVSYQEALLWNYDEVMNVIHQYNCVKVCLSGHDHKGGYSIDFRGIHHRSFEAALECPPGTDAFGYIEVFDDRLMLVGTDRMESTDMHFKP >Manes.13G050100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5706036:5711942:-1 gene:Manes.13G050100.v8.1 transcript:Manes.13G050100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSSDSLHATSVSFRNDSARESLETRINFTTNKVFKSKFSYSRSLKKGVNYRPTMGRRRSSPATVVFGWMRRQSVKVKSLIGVIIGLCCLVGLKLAVKDHNHFFIASETIHAAGILVLIYKLTTQKTCSGLSLKSQQLTALFLAVRLVCSVLIEGDIHTVLDFATLISTTWVIYMIQFKLKSTYIKELDNMPLYYTVVPSAILAILIHPYGSSLGISRFLWAFCVYLESVSVLPQLRLMQNAKMIEPFTAHYVFALGIARFLACAHWIIQVYETGGRHLFLIGSGYLWLPMALLSEGVQTFILADFCYYYIKSFMEGQLLMRMPV >Manes.13G050100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5705619:5711942:-1 gene:Manes.13G050100.v8.1 transcript:Manes.13G050100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSSDSLHATSVSFRNDSARESLETRINFTTNKVFKSKFSYSRSLKKGVNYRPTMGRRRSSPATVVFGWMRRQSVKVKSLIGVIIGLCCLVGLKLAVKDHNHFFIASETIHAAGILVLIYKLTTQKTCSGLSLKSQQLTALFLAVRLVCSVLIEGDIHTVLDFATLISTTWVIYMIQFKLKSTYIKELDNMPLYYTVVPSAILAILIHPYGSSLGISRFLWAFCVYLESVSVLPQLRLMQNAKMIEPFTAHYVFALGIARFLACAHWIIQVYETGGRHLFLIGSGYLWLPMALLSEGVQTFILADFCYYYIKSFMEGQLLMRMPV >Manes.13G050100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5705668:5711942:-1 gene:Manes.13G050100.v8.1 transcript:Manes.13G050100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSSDSLHATSVSFRNDSARESLETRINFTTNKVFKSKFSYSRSLKKGVNYRPTMGRRRSSPATVVFGWMRRQSVKVKSLIGVIIGLCCLVGLKLAVKDHNHFFIASETIHAAGILVLIYKLTTQKTCSGLSLKSQQLTALFLAVRLVCSVLIEGDIHTVLDFATLISTTWVIYMIQFKLKSTYIKELDNMPLYYTVVPSAILAILIHPYGSSLGISRFLWAFCVYLESVSVLPQLRLMQNAKMIEPFTAHYVFALGIARFLACAHWIIQVYETGGRHLFLIGSGYLWLPMALLSEGVQTFILADFCYYYIKSFMEGQLLMRMPV >Manes.09G163400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35753030:35757456:-1 gene:Manes.09G163400.v8.1 transcript:Manes.09G163400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSLTSQPQKPPQNHSPTISNSPKPTYLTSAFLNTASKRQFLFKTTSLGVIALTTQIPLALSLDESSSPSKPALSGIANTKSWFQFYGDGFAIRVPPQFEDIMEPEDFNAGLSLYGDKAKPKPFAARFASPDGSEVLSVVIRPSNQLKITFLEAKDITDLGSLKEAAKIFVPGGATLYSARTIKIKEEEGFRTYYFYEFGREEQHVALVAAVNSGKAIIAGATAPQFKWDNDGVKLRSAAISLTVL >Manes.09G163400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35753180:35757456:-1 gene:Manes.09G163400.v8.1 transcript:Manes.09G163400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSLTSQPQKPPQNHSPTISNSPKPTYLTSAFLNTASKRQFLFKTTSLGVIALTTQIPLALSLDESSSPSKPALSGIANTKSWFQFYGDGFAIRVPPQFEDIMEPEDFNAGLSLYGDKAKPKPFAARFASPDGSEVLSVVIRPSNQLKITFLEAKDITDLGSLKEAAKIFVPGGATLYSARTIKIKEEEGFRTYYFYEFGREEQHVALVAAVNSGKAIIAGATAPQFKWDNDGVKLRSAAISLTVL >Manes.09G163400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35753343:35757456:-1 gene:Manes.09G163400.v8.1 transcript:Manes.09G163400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSLTSQPQKPPQNHSPTISNSPKPTYLTSAFLNTASKRQFLFKTTSLGVIALTTQIPLALSLDESSSPSKPALSGIANTKSWFQFYGDGFAIRVPPQFEDIMEPEDFNAGLSLYGDKAKPKPFAARFASPDGSEVLSVVIRPSNQLKITFLEAKDITDLGSLKEAAKIFVPGGATLYSARTIKIKEEEGFRTYYFYEFGREEQHVALVAAVNSGKAIIAGATAPQFKWDNDGVKLRSAAISLTVL >Manes.09G163400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35752972:35757467:-1 gene:Manes.09G163400.v8.1 transcript:Manes.09G163400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSLTSQPQKPPQNHSPTISNSPKPTYLTSAFLNTASKRQFLFKTTSLGVIALTTQIPLALSLDESSSPSKPALSGIANTKSWFQFYGDGFAIRVPPQFEDIMEPEDFNAGLSLYGDKAKPKPFAARFASPDGSEVLSVVIRPSNQLKITFLEAKDITDLGSLKEAAKIFVPGGATLYSARTIKIKEEEGFRTYYFYEFGREEQHVALVAAVNSGKAIIAGATAPQFKWDNDGVKLRSAAISLTVL >Manes.08G069800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11274137:11278826:-1 gene:Manes.08G069800.v8.1 transcript:Manes.08G069800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYLVDPVEMWWPANLAQVSLFRALHEKETKSKGMSRMRFFLIVMTASFLYYALPGYLFPILTFFSWVCWVWPHSITAQQVGSGYHGLGIGAFTLDWAGISAYHGSPLVAPWSSILNVGVGFVMFIYIIVPLCYWKYNTFDARKFPIFSNQLFTSSGHKYDTTKILTPDYQLNVPAYDSYGKLYLSPLFALSIGSGFARFTATLTHVALFHGRDILRQSRSAMKNVKLDIHAKLMKSYKEVPEWWFYILLIGSIVLSLLMSFVWKKDVQLPWWGMLFAFGLAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYVLPGEPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMYVAQLVGTLVAGTVNLAVAWWMLENIENICDVESLHPESPWTCPKYRVTFDASVIWGLIGPRRLFGPGGMYRNLVWLFLIGAFLPVPVWILSKMFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGTIFNYFVFRYRKHWWQKYNYVLSAALDAGTAFMGVLLFFALQNEGKNLKWWGTEIDHCPLASCPTAPGIAVKGCPVFK >Manes.08G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11274137:11278825:-1 gene:Manes.08G069800.v8.1 transcript:Manes.08G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSQTPAGGGLEGENFTNGGSQEHESCPIEEVALVVPETDDPTLPVMTFRAWFLGLTSCVLLIFLNTFFTYRTQPLTISAILMQIGVLPIGKFMARTLPTKEYKIFGWGFTLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLSFICGLLIILTTQILGYGWAGMLRRYLVDPVEMWWPANLAQVSLFRALHEKETKSKGMSRMRFFLIVMTASFLYYALPGYLFPILTFFSWVCWVWPHSITAQQVGSGYHGLGIGAFTLDWAGISAYHGSPLVAPWSSILNVGVGFVMFIYIIVPLCYWKYNTFDARKFPIFSNQLFTSSGHKYDTTKILTPDYQLNVPAYDSYGKLYLSPLFALSIGSGFARFTATLTHVALFHGRDILRQSRSAMKNVKLDIHAKLMKSYKEVPEWWFYILLIGSIVLSLLMSFVWKKDVQLPWWGMLFAFGLAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYVLPGEPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMYVAQLVGTLVAGTVNLAVAWWMLENIENICDVESLHPESPWTCPKYRVTFDASVIWGLIGPRRLFGPGGMYRNLVWLFLIGAFLPVPVWILSKMFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGTIFNYFVFRYRKHWWQKYNYVLSAALDAGTAFMGVLLFFALQNEGKNLKWWGTEIDHCPLASCPTAPGIAVKGCPVFK >Manes.18G114900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11703797:11708277:1 gene:Manes.18G114900.v8.1 transcript:Manes.18G114900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGKTTIARAIFNTLSNQFEACIFLENIKEEAEQLKGLGHLRNLESVSTKDRICCKRVLLVLDDLNDVDQLEVLIEKRDFAFGSRVIVTSRDRQVLKNGVDEIYEVEGFNDDEALQLFSLNAFKKSYPPSDWLELSNKVVNYAQGNPLALKVVGSFLFDRRREDWESALDKLGRIPQPKILHVLRISFDALDDEEKNIFLDIACFFKGQQKEFVKRILDGDGFSAGIGISVLVDKCLITIQRNKLGMHNLLQEFAHEIVRQESVKELGKRSRLWNPTDVSQVLTKNLGSENVEGIFLDTAKIGEMNLSSKAFKRMYNLRLLQIYNSRFANNRKVHLPCGLEFLSDELRYLYWDLYPLNSLPSNFQGENLVELHLPNSCIKQLWTGVQNLVSLKEINLRNSVHLTTLPDLSQAKNLERVNFDYCTSLVEVPSSIRFLDKLTVLNMRRCTSIVSLPSGFKLRLLETLNLSGCTNLRKFPEISENIMYLNLNGTAIEQLPESIGCLNRLVALNMKDCNRLWYLPESLRLLKFLEITDFSGCISITNFPDISTNIRSLYLSETAIEELPSTIGCLSKLSCLDLKNCRSLRNIPCTISELASLETLIVSGCSNITKFPEVSKSIKKLFFDGTAIEEIASSIQYCFNLVELSLQNCKRFRTLPNCICKLKSLQKLNLSGCSIFENFPEILEMMRSLRYLHLDGTAIKNLPSPIKNLEGLSILELRNCRGIQGLPALISVVHNSGSHLQYLRKLCLTGCSVFYMPDCIGRLFSLEALDLSENSFHHLPFTIGMLKELQYLGLRNCKRLLSIEALPPQLTKLDAYNCIALKEVSIDSTKVEGNIFEFLFTNCRNLDGPSKHSIITFALTNFQLFSKRLHSQVPFVRAGESGFCFPGSTIPKWFSHQNVGFSMTIQLPSDWAKSEFLGFSLCAVIDFNNQNTNDFGFQIKCRYHFRNDYGDCNDFHCHFGSWFDRNYWEGDVTEAAHTFFGYDPFVDVRKDDWFGKYNKLLLEFYPEDMNGDRILCSNVISCGVCMLYSQDQRSCQCSFIEQHVEEVRSKTGVQICSTGIDEVFMQVFKFSRFQLEMCEYRPFGCFDVIDQKELELFTSEFITDLQEKQKLQGLADQNSSDTGLIQRLLRDSSTSDVTDSRRAGPTTPRSYQHTRRRGIKWPSHKYWRVGTSVRMNLLNRNRYR >Manes.18G114900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11697653:11708277:1 gene:Manes.18G114900.v8.1 transcript:Manes.18G114900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMTRKRKCDVLLSFKGEETHGNSIMTSSSVTQKRKYDVFLSFRGEDTRDNFTSHLYDALCHKKIKTFIDNDLERGEEIAPALLRTIEESTVSLIIFSKNYASSPWCLDEMVKILECKEMYGQTVLPIFYHVNPSDVRGQSGSFADAFIELEKNFKDKMERIPRWRADLMKAANLSGLVSRVIRPEAKLVKEIVKDVLRKLNHASSGDSKGLIGIDSHIRQIENLLRIGLRNVLIVGIWGMAGIGKTTIARAIFNTLSNQFEACIFLENIKEEAEQLKGLGHLRNLESVSTKDRICCKRVLLVLDDLNDVDQLEVLIEKRDFAFGSRVIVTSRDRQVLKNGVDEIYEVEGFNDDEALQLFSLNAFKKSYPPSDWLELSNKVVNYAQGNPLALKVVGSFLFDRRREDWESALDKLGRIPQPKILHVLRISFDALDDEEKNIFLDIACFFKGQQKEFVKRILDGDGFSAGIGISVLVDKCLITIQRNKLGMHNLLQEFAHEIVRQESVKELGKRSRLWNPTDVSQVLTKNLGSENVEGIFLDTAKIGEMNLSSKAFKRMYNLRLLQIYNSRFANNRKVHLPCGLEFLSDELRYLYWDLYPLNSLPSNFQGENLVELHLPNSCIKQLWTGVQNLVSLKEINLRNSVHLTTLPDLSQAKNLERVNFDYCTSLVEVPSSIRFLDKLTVLNMRRCTSIVSLPSGFKLRLLETLNLSGCTNLRKFPEISENIMYLNLNGTAIEQLPESIGCLNRLVALNMKDCNRLWYLPESLRLLKFLEITDFSGCISITNFPDISTNIRSLYLSETAIEELPSTIGCLSKLSCLDLKNCRSLRNIPCTISELASLETLIVSGCSNITKFPEVSKSIKKLFFDGTAIEEIASSIQYCFNLVELSLQNCKRFRTLPNCICKLKSLQKLNLSGCSIFENFPEILEMMRSLRYLHLDGTAIKNLPSPIKNLEGLSILELRNCRGIQGLPALISVVHNSGSHLQYLRKLCLTGCSVFYMPDCIGRLFSLEALDLSENSFHHLPFTIGMLKELQYLGLRNCKRLLSIEALPPQLTKLDAYNCIALKEVSIDSTKVEGNIFEFLFTNCRNLDGPSKHSIITFALTNFQLFSKRLHSQVPFVRAGESGFCFPGSTIPKWFSHQNVGFSMTIQLPSDWAKNTISEMIMVIAMISIAILVVGLIGIIGKEMLLKQLIHSLGMIHLWMLEKMIGLANTISFFWNSTLKI >Manes.18G114900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11697631:11708582:1 gene:Manes.18G114900.v8.1 transcript:Manes.18G114900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMTRKRKCDVLLSFKGEETHGNSIMTSSSVTQKRKYDVFLSFRGEDTRDNFTSHLYDALCHKKIKTFIDNDLERGEEIAPALLRTIEESTVSLIIFSKNYASSPWCLDEMVKILECKEMYGQTVLPIFYHVNPSDVRGQSGSFADAFIELEKNFKDKMERIPRWRADLMKAANLSGLVSRVIRPEAKLVKEIVKDVLRKLNHASSGDSKGLIGIDSHIRQIENLLRIGLRNVLIVGIWGMAGIGKTTIARAIFNTLSNQFEACIFLENIKEEAEQLKGLGHLRNLESVSTKDRICCKRVLLVLDDLNDVDQLEVLIEKRDFAFGSRVIVTSRDRQVLKNGVDEIYEVEGFNDDEALQLFSLNAFKKSYPPSDWLELSNKVVNYAQGNPLALKVVGSFLFDRRREDWESALDKLGRIPQPKILHVLRISFDALDDEEKNIFLDIACFFKGQQKEFVKRILDGDGFSAGIGISVLVDKCLITIQRNKLGMHNLLQEFAHEIVRQESVKELGKRSRLWNPTDVSQVLTKNLGSENVEGIFLDTAKIGEMNLSSKAFKRMYNLRLLQIYNSRFANNRKVHLPCGLEFLSDELRYLYWDLYPLNSLPSNFQGENLVELHLPNSCIKQLWTGVQNLVSLKEINLRNSVHLTTLPDLSQAKNLERVNFDYCTSLVEVPSSIRFLDKLTVLNMRRCTSIVSLPSGFKLRLLETLNLSGCTNLRKFPEISENIMYLNLNGTAIEQLPESIGCLNRLVALNMKDCNRLWYLPESLRLLKFLEITDFSGCISITNFPDISTNIRSLYLSETAIEELPSTIGCLSKLSCLDLKNCRSLRNIPCTISELASLETLIVSGCSNITKFPEVSKSIKKLFFDGTAIEEIASSIQYCFNLVELSLQNCKRFRTLPNCICKLKSLQKLNLSGCSIFENFPEILEMMRSLRYLHLDGTAIKNLPSPIKNLEGLSILELRNCRGIQGLPALISVVHNSGSHLQYLRKLCLTGCSVFYMPDCIGRLFSLEALDLSENSFHHLPFTIGMLKELQYLGLRNCKRLLSIEALPPQLTKLDAYNCIALKEVSIDSTKVEGNIFEFLFTNCRNLDGPSKHSIITFALTNFQLFSKRLHSQVPFVRAGESGFCFPGSTIPKWFSHQNVGFSMTIQLPSDWAKSEFLGFSLCAVIDFNNQNTNDFGFQIKCRYHFRNDYGDCNDFHCHFGSWFDRNYWEGDVTEAAHTFFGYDPFVDVRKDDWFGKYNKLLLEFYPEDMNGDRILCSNVISCGVCMLYSQDQRSCQCSFIEQHVEEVRSKTGVQICSTGIDEVFMQVFKFSRFQLEMCEYRPFGCFDVIDQKELELFTSEFITDLQEKQKLQGLADQNSSDTGLIQRLLRDSSTSDVTDSRRAGPTTPRSYQHTRRRGIKWPSHKYWRVGTSVRMNLLNRNRYR >Manes.18G114900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11697691:11708277:1 gene:Manes.18G114900.v8.1 transcript:Manes.18G114900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMTRKRKCDVLLSFKGEETHGNSIMTSSSVTQKRKYDVFLSFRGEDTRDNFTSHLYDALCHKKIKTFIDNDLERGEEIAPALLRTIEESTVSLIIFSKNYASSPWCLDEMVKILECKEMYGQTVLPIFYHVNPSDVRGQSGSFADAFIELEKNFKDKMERIPRWRADLMKAANLSGLVSRVIRPEAKLVKEIVKDVLRKLNHASSGDSKGLIGIDSHIRQIENLLRIGLRNVLIVGIWGMAGIGKTTIARAIFNTLSNQFEACIFLENIKEEAEQLKGLGHLRNLESVSTKDRICCKRVLLVLDDLNDVDQLEVLIEKRDFAFGSRVIVTSRDRQVLKNGVDEIYEVEGFNDDEALQLFSLNAFKKSYPPSDWLELSNKVVNYAQGNPLALKVVGSFLFDRRREDWESALDKLGRIPQPKILHVLRISFDALDDEEKNIFLDIACFFKGQQKEFVKRILDGDGFSAGIGISVLVDKCLITIQRNKLGMHNLLQEFAHEIVRQESVKELGKRSRLWNPTDVSQVLTKNLGSENVEGIFLDTAKIGEMNLSSKAFKRMYNLRLLQIYNSRFANNRKVHLPCGLEFLSDELRYLYWDLYPLNSLPSNFQGENLVELHLPNSCIKQLWTGVQNLVSLKEINLRNSVHLTTLPDLSQAKNLERVNFDYCTSLVEVPSSIRFLDKLTVLNMRRCTSIVSLPSGFKLRLLETLNLSGCTNLRKFPEISENIMYLNLNGTAIEQLPESIGCLNRLVALNMKDCNRLWYLPESLRLLKFLEITDFSGCISITNFPDISTNIRSLYLSETAIEELPSTIGCLSKLSCLDLKNCRSLRNIPCTISELASLETLIVSGCSNITKFPEVSKSIKKLFFDGTAIEEIASSIQYCFNLVELSLQNCKRFRTLPNCICKLKSLQKLNLSGCSIFENFPEILEMMRSLRYLHLDGTAIKNLPSPIKNLEGLSILELRNCRGIQGLPALISVVHNSGSHLQYLRKLCLTGCSVFYMPDCIGRLFSLEALDLSENSFHHLPFTIGMLKELQYLGLRNCKRLLSIEALPPQLTKLDAYNCIALKEVSIDSTKVEGNIFEFLFTNCRNLDGPSKHSIITFALTNFQLFSKRLHSQVPFVRAGESGFCFPGSTIPKWFSHQNVGFSMTIQLPSDWAKNTISEMIMVIAMISIAILVVGLIGIIGKEMLLKQLIHSLGMIHLWMLEKMIGLANTISFFWNSTLKI >Manes.18G114900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11697631:11708582:1 gene:Manes.18G114900.v8.1 transcript:Manes.18G114900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMTRKRKCDVLLSFKGEETHGNSIMTSSSVTQKRKYDVFLSFRGEDTRDNFTSHLYDALCHKKIKTFIDNDLERGEEIAPALLRTIEESTVSLIIFSKNYASSPWCLDEMVKILECKEMYGQTVLPIFYHVNPSDVRGQSGSFADAFIELEKNFKDKMERIPRWRADLMKAANLSGLVSRVIRPEAKLVKEIVKDVLRKLNHASSGDSKGLIGIDSHIRQIENLLRIGLRNVLIVGIWGMAGIGKTTIARAIFNTLSNQFEACIFLENIKEEAEQLKGLGHLRNLESVSTKDRICCKRVLLVLDDLNDVDQLEVLIEKRDFAFGSRVIVTSRDRQVLKNGVDEIYEVEGFNDDEALQLFSLNAFKKSYPPSDWLELSNKVVNYAQGNPLALKVVGSFLFDRRREDWESALDKLGRIPQPKILHVLRISFDALDDEEKNIFLDIACFFKGQQKEFVKRILDGDGFSAGIGISVLVDKCLITIQRNKLGMHNLLQEFAHEIVRQESVKELGKRSRLWNPTDVSQVLTKNLGSENVEGIFLDTAKIGEMNLSSKAFKRMYNLRLLQIYNSRFANNRKVHLPCGLEFLSDELRYLYWDLYPLNSLPSNFQGENLVELHLPNSCIKQLWTGVQNLVSLKEINLRNSVHLTTLPDLSQAKNLERVNFDYCTSLVEVPSSIRFLDKLTVLNMRRCTSIVSLPSGFKLRLLETLNLSGCTNLRKFPEISENIMYLNLNGTAIEQLPESIGCLNRLVALNMKDCNRLWYLPESLRLLKFLEITDFSGCISITNFPDISTNIRSLYLSETAIEELPSTIGCLSKLSCLDLKNCRSLRNIPCTISELASLETLIVSGCSNITKFPEVSKSIKKLFFDGTAIEEIASSIQYCFNLVELSLQNCKRFRTLPNCICKLKSLQKLNLSGCSIFENFPEILEMMRSLRYLHLDGTAIKNLPSPIKNLEGLSILELRNCRGIQGLPALISVVHNSGSHLQYLRKLCLTGCSVFYMPDCIGRLFSLEALDLSENSFHHLPFTIGMLKELQYLGLRNCKRLLSIEALPPQLTKLDAYNCIALKEVSIDSTKVEGNIFEFLFTNCRNLDGPSKHSIITFALTNFQLFSKRLHSQVPFVRAGESGFCFPGSTIPKWFSHQNVGFSMTIQLPSDWAKSEFLGFSLCAVIDFNNQNTNDFGFQIKCRYHFRNDYGDCNDFHCHFGSWFDRNYWEGDVTEAAHTFFGYDPFVDVRKDDWFGKYNKLLLEFYPEDMNGDRILCSNVISCGVCMLYSQDQRSCQCSFIEQHVEEVRSKTGVQICSTGIDEVFMQVFKFSRFQLEMCEYRPFGCFDVIDQKELELFTSEFITDLQEKQKLQGLADQNSSDTGLIQRLLRDSSTSDVTDSRRAGPTTPRSYQHTRRRGIKWPSHKYWRVGTSVRMNLLNRNRYR >Manes.18G114900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11699471:11708278:1 gene:Manes.18G114900.v8.1 transcript:Manes.18G114900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAQEASELFHHQYILIVIMASSSMTRKRKCDVLLSFKGEETHGNSIMTSSSVTQKRKYDVFLSFRGEDTRDNFTSHLYDALCHKKIKTFIDNDLERGEEIAPALLRTIEESTVSLIIFSKNYASSPWCLDEMVKILECKEMYGQTVLPIFYHVNPSDVRGQSGSFADAFIELEKNFKDKMERIPRWRADLMKAANLSGLVSRVIRPEAKLVKEIVKDVLRKLNHASSGDSKGLIGIDSHIRQIENLLRIGLRNVLIVGIWGMAGIGKTTIARAIFNTLSNQFEACIFLENIKEEAEQLKGLGHLRNLESVSTKDRICCKRVLLVLDDLNDVDQLEVLIEKRDFAFGSRVIVTSRDRQVLKNGVDEIYEVEGFNDDEALQLFSLNAFKKSYPPSDWLELSNKVVNYAQGNPLALKVVGSFLFDRRREDWESALDKLGRIPQPKILHVLRISFDALDDEEKNIFLDIACFFKGQQKEFVKRILDGDGFSAGIGISVLVDKCLITIQRNKLGMHNLLQEFAHEIVRQESVKELGKRSRLWNPTDVSQVLTKNLGSENVEGIFLDTAKIGEMNLSSKAFKRMYNLRLLQIYNSRFANNRKVHLPCGLEFLSDELRYLYWDLYPLNSLPSNFQGENLVELHLPNSCIKQLWTGVQNLVSLKEINLRNSVHLTTLPDLSQAKNLERVNFDYCTSLVEVPSSIRFLDKLTVLNMRRCTSIVSLPSGFKLRLLETLNLSGCTNLRKFPEISENIMYLNLNGTAIEQLPESIGCLNRLVALNMKDCNRLWYLPESLRLLKFLEITDFSGCISITNFPDISTNIRSLYLSETAIEELPSTIGCLSKLSCLDLKNCRSLRNIPCTISELASLETLIVSGCSNITKFPEVSKSIKKLFFDGTAIEEIASSIQYCFNLVELSLQNCKRFRTLPNCICKLKSLQKLNLSGCSIFENFPEILEMMRSLRYLHLDGTAIKNLPSPIKNLEGLSILELRNCRGIQGLPALISVVHNSGSHLQYLRKLCLTGCSVFYMPDCIGRLFSLEALDLSENSFHHLPFTIGMLKELQYLGLRNCKRLLSIEALPPQLTKLDAYNCIALKEVSIDSTKVEGNIFEFLFTNCRNLDGPSKHSIITFALTNFQLFSKRLHSQVPFVRAGESGFCFPGSTIPKWFSHQNVGFSMTIQLPSDWAKSEFLGFSLCAVIDFNNQNTNDFGFQIKCRYHFRNDYGDCNDFHCHFGSWFDRNYWEGDVTEAAHTFFGYDPFVDVRKDDWFGKYNKLLLEFYPEDMNGDRILCSNVISCGVCMLYSQDQRSCQCSFIEQHVEEVRSKTGVQICSTGIDEVFMQVFKFSRFQLEMCEYRPFGCFDVIDQKELELFTSEFITDLQEKQKLQGLADQNSSDTGLIQRLLRDSSTSDVTDSRRAGPTTPRSYQHTRRRGIKWPSHKYWRVGTSVRMNLLNRNRYR >Manes.18G114900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11698139:11708277:1 gene:Manes.18G114900.v8.1 transcript:Manes.18G114900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMTRKRKCDVLLSFKGEETHGNSIMTSSSVTQKRKYDVFLSFRGEDTRDNFTSHLYDALCHKKIKTFIDNDLERGEEIAPALLRTIEESTVSLIIFSKNYASSPWCLDEMVKILECKEMYGQTVLPIFYHVNPSDVRGQSGSFADAFIELEKNFKDKMERIPRWRADLMKAANLSGLVSRVIRPEAKLVKEIVKDVLRKLNHASSGDSKGLIGIDSHIRQIENLLRIGLRNVLIVGIWGMAGIGKTTIARAIFNTLSNQFEACIFLENIKEEAEQLKGLGHLRNLESVSTKDRICCKRVLLVLDDLNDVDQLEVLIEKRDFAFGSRVIVTSRDRQVLKNGVDEIYEVEGFNDDEALQLFSLNAFKKSYPPSDWLELSNKVVNYAQGNPLALKVVGSFLFDRRREDWESALDKLGRIPQPKILHVLRISFDALDDEEKNIFLDIACFFKGQQKEFVKRILDGDGFSAGIGISVLVDKCLITIQRNKLGMHNLLQEFAHEIVRQESVKELGKRSRLWNPTDVSQVLTKNLGSENVEGIFLDTAKIGEMNLSSKAFKRMYNLRLLQIYNSRFANNRKVHLPCGLEFLSDELRYLYWDLYPLNSLPSNFQGENLVELHLPNSCIKQLWTGVQNLVSLKEINLRNSVHLTTLPDLSQAKNLERVNFDYCTSLVEVPSSIRFLDKLTVLNMRRCTSIVSLPSGFKLRLLETLNLSGCTNLRKFPEISENIMYLNLNGTAIEQLPESIGCLNRLVALNMKDCNRLWYLPESLRLLKFLEITDFSGCISITNFPDISTNIRSLYLSETAIEELPSTIGCLSKLSCLDLKNCRSLRNIPCTISELASLETLIVSGCSNITKFPEVSKSIKKLFFDGTAIEEIASSIQYCFNLVELSLQNCKRFRTLPNCICKLKSLQKLNLSGCSIFENFPEILEMMRSLRYLHLDGTAIKNLPSPIKNLEGLSILELRNCRGIQGLPALISVVHNSGSHLQYLRKLCLTGCSVFYMPDCIGRLFSLEALDLSENSFHHLPFTIGMLKELQYLGLRNCKRLLSIEALPPQLTKLDAYNCIALKEVSIDSTKVEGNIFEFLFTNCRNLDGPSKHSIITFALTNFQLFSKRLHSQVPFVRAGESGFCFPGSTIPKWFSHQNVGFSMTIQLPSDWAKSEFLGFSLCAVIDFNNQNTNDFGFQIKCRYHFRNDYGDCNDFHCHFGSWFDRNYWEGDVTEAAHTFFGYDPFVDVRKDDWFGKYNKLLLEFYPEDMNGDRILCSNVISCGVCMLYSQDQRSCQCSFIEQHVEEVRSKTGVQICSTGIDEVFMQVFKFSRFQLEMCEYRPFGCFDVIDQKELELFTSEFITDLQEKQKLQGLADQNSSDTGLIQRLLRDSSTSDVTDSRRAGPTTPRSYQHTRRRGIKWPSHKYWRVGTSVRMNLLNRNRYR >Manes.18G114900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11698139:11708277:1 gene:Manes.18G114900.v8.1 transcript:Manes.18G114900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMTRKRKCDVLLSFKGEETHGNSIMTSSSVTQKRKYDVFLSFRGEDTRDNFTSHLYDALCHKKIKTFIDNDLERGEEIAPALLRTIEESTVSLIIFSKNYASSPWCLDEMVKILECKEMYGQTVLPIFYHVNPSDVRGQSGSFADAFIELEKNFKDKMERIPRWRADLMKAANLSGLVSRVIRPEAKLVKEIVKDVLRKLNHASSGDSKGLIGIDSHIRQIENLLRIGLRNVLIVGIWGMAGIGKTTIARAIFNTLSNQFEACIFLENIKEEAEQLKGLGHLRNLESVSTKDRICCKRVLLVLDDLNDVDQLEVLIEKRDFAFGSRVIVTSRDRQVLKNGVDEIYEVEGFNDDEALQLFSLNAFKKSYPPSDWLELSNKVVNYAQGNPLALKVVGSFLFDRRREDWESALDKLGRIPQPKILHVLRISFDALDDEEKNIFLDIACFFKGQQKEFVKRILDGDGFSAGIGISVLVDKCLITIQRNKLGMHNLLQEFAHEIVRQESVKELGKRSRLWNPTDVSQVLTKNLGSENVEGIFLDTAKIGEMNLSSKAFKRMYNLRLLQIYNSRFANNRKVHLPCGLEFLSDELRYLYWDLYPLNSLPSNFQGENLVELHLPNSCIKQLWTGVQNLVSLKEINLRNSVHLTTLPDLSQAKNLERVNFDYCTSLVEVPSSIRFLDKLTVLNMRRCTSIVSLPSGFKLRLLETLNLSGCTNLRKFPEISENIMYLNLNGTAIEQLPESIGCLNRLVALNMKDCNRLWYLPESLRLLKFLEITDFSGCISITNFPDISTNIRSLYLSETAIEELPSTIGCLSKLSCLDLKNCRSLRNIPCTISELASLETLIVSGCSNITKFPEVSKSIKKLFFDGTAIEEIASSIQYCFNLVELSLQNCKRFRTLPNCICKLKSLQKLNLSGCSIFENFPEILEMMRSLRYLHLDGTAIKNLPSPIKNLEGLSILELRNCRGIQGLPALISVVHNSGSHLQYLRKLCLTGCSVFYMPDCIGRLFSLEALDLSENSFHHLPFTIGMLKELQYLGLRNCKRLLSIEALPPQLTKLDAYNCIALKEVSIDSTKVEGNIFEFLFTNCRNLDGPSKHSIITFALTNFQLFSKRLHSQVPFVRAGESGFCFPGSTIPKWFSHQNVGFSMTIQLPSDWAKNTISEMIMVIAMISIAILVVGLIGIIGKEMLLKQLIHSLGMIHLWMLEKMIGLANTISFFWNSTLKI >Manes.12G147602.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36791378:36800342:1 gene:Manes.12G147602.v8.1 transcript:Manes.12G147602.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLVDVVQTAKEGGVDVIETYVFWNGHEPSVSNYYFEKRFDLVKFAKIVQQAGLYLILRIGPFVAAEWNFGGVPVWLHYVPDTVFRTDNYNFKYHMQKFMTYIVNLMKQEKLFAWQGGPIILTQVENEYGFYESFYGEGGKRYAMWAAEMAVSLNAGVPWTMCQQFDAPDIVINTCNSFYCDQFKPIFPDKPKIWTENWPGWFQTFGAPNPHRPPEDIAFSVARFFQKGGSVQNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWAHLRELHRAIKLCEHTMLNSNPVNLSLGPSQEADVFADASGAGVAFLANTDEKNEKIVEFRNMSYHLPAWSVSILPDCKNVVFNTAKVSSQTSVVEMVPEDMRPSDKVLKALKWESFVENAGIWGNPDFVKKGFVDHINTTQDTTDYLWYTTRFVLFHRKLISNSIEL >Manes.14G163412.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25111604:25112055:1 gene:Manes.14G163412.v8.1 transcript:Manes.14G163412.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKFLMTYSQPAETEIFLQVDIFTACGSKSVSAGSQPAETS >Manes.05G170200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28439884:28441054:-1 gene:Manes.05G170200.v8.1 transcript:Manes.05G170200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMNMCSTSSDSSTSEFSFNGNVTTPRNVNKLERIKGPWSADEDRILTRLVERHGPRNWSLISRYIKGRSGKSCRLRWCNQLSPNVEHRPFSPAEDETILAAHARYGNRWATIARFLPGRTDNAVKNHWNSTLKRRAREQQHQMMMEGSFDNSSIGVGVVAAAPASTPRNEEEEVLTALTLAPPGINGSSSNGRKEAERKAESLPAGFWDVIRNVIAGEVREYMSSTINESSWGFN >Manes.09G178600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36842389:36846011:-1 gene:Manes.09G178600.v8.1 transcript:Manes.09G178600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRVLSTTPFRPFPPMIRNYLDSTQKTPFQSDAPHVPKASSFLATELMKSYLQKGLIREARTLFDEMPDKDVVAWTAMIAGYASCNEHAYAWCMFCNMVRNEMNPNAFTVSSVLKACKGMRSLPCGVVVHGFAIKRGIRGCYVDNVLMDMYATCSASLRDACMVFHGIELKNHVSWTTLIAGYTHRGHGHHGLQIFRQMLLEEADSNPYSFSIAIRACASIGSQSYGKQIHTAVIKHGCESSLPVMNSIVDMYCRCGCLSEANQYFNEMTQKDLITWNTVIAGYERSDSGESLFIFSQMEFNGFSPDYITFTSVIAACAKLAVLSCGQQIHGVIICRGLEGDVALANALIDMYAKCGSIADSCKVFSELSCKNLVSWTSMMIGYGAHGYGRQVIELFDCMIESGIKPDQIVFMAVLSACSHAGLVDQGLRYFNCMMDDYNIKPDHDVYGCVVDMLGRAGRVEEAYRLIQSMPFMPNESVWGALLGACKAHCLPNLGKLAAKKVMDLRPQLAGTYVMLSNLYASEGKWGEFARVRKLMKGMETKKEVGRSWIEVRNGVYSFAVRDKVSPRVEWVYEVLECLIQHMKEAGYTPDRDCLMHDLEDGT >Manes.05G183000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30125394:30126134:1 gene:Manes.05G183000.v8.1 transcript:Manes.05G183000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTTCTGFFNFRSNPDEGRIRSSSTHSSPGFGKLDGVAMWFINGVASAFFASLERCSCIRIATEDDGDEANDAPLIMNDGNLRHDGGNSSTRRRNGKGKKQSTGGFDE >Manes.13G013615.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2308766:2312135:1 gene:Manes.13G013615.v8.1 transcript:Manes.13G013615.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHGELCSGRRLRYRLHCYFHSHPLHDFMSINFLKFKKRHWWRSCYVWAGYPRHAISFMLHFF >Manes.05G135200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:23680726:23682094:1 gene:Manes.05G135200.v8.1 transcript:Manes.05G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFKRSLSFPNKLPNRPPKPSFSHHIRSISLPCRSHPLISQLRDAITELRICSSKFHNRTSSWLCDGLSRLKEVHDSLADILQLPQSQESLRRHPTWVDNLLEDFLLFIDVYGIFRTSVLALKEEQLAAQVAVRKRDDSKIDLYIKSQKKIAKEMSKLAYTIGDISSRRSDPGFYKLSITDAQLVSVIEDVIEVTVSVSVALFNGISMSLDSRKLSWRGVMMRLNKRAKKVKVEEGIQELQQVDAESLLGLRKKDEEEVRMILKRMQDLEGCIGGIENGGEKVFRSLINSRVSLLNALTK >Manes.01G063000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26127705:26131504:1 gene:Manes.01G063000.v8.1 transcript:Manes.01G063000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLSLLSSTSSCWSNVVKSQPPPENKQNPKATNQLFVESCNSTKGISIAVIDANAVIEGGDKLHNLADRFVTVPEVLAEIRDPISRHRLSFVPFSIDSMEPTPEALNKVVKFARATGDLQTLSDVDLKLIALTYTLEAQIRGTKYVRDAPPPIHTVNVKRLPEKDLPGWGSNVPNLEEWEALEQEAGDGSNTHSRILPLKEMSLNVIPGHDQSEDGSSVAESEAHAGKREFTEQDLRKHRRYPKKKTEISIDGKKMVADGIDASQGQTDNDATDWMPAVSRSTHRRYLRRKARREYYEALSEKDSQQDLDKNMDNSNTNETNIPDPHQNSEGVNAENEISEDDEAKNDEDNLTSTLKQMRLEEGSMNLLQEGKDKDGILAGPKFADSLVMEAASENSVNCPLEASEIDSANEELDHQEILSQTNESIDVLHVDDDASEQSWSLKSLSESSIACVTSDFAMQNVLLQMGLRLLAPGGMQIRQLHRWILKCHACYTVTAEIGRIFCPKCGNGGTLRKVAVTVGENGIILADRRPRITLRGTKFSLPLPQGGRDAIAKNLILREDQLPQKFLYPKTKKKTNKEGDDFFGSDNIFNHHTDKRAPFQPPVRKALAVFSGKRNPNDNHYSRPKH >Manes.12G063000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6118033:6120101:-1 gene:Manes.12G063000.v8.1 transcript:Manes.12G063000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLRTALFSCVLLICLCVSSSFAQTCGGHAFSSNQVFTACSDLPVLSSFLYWNYHPSNLTADIAFRKTGASTNTWVAWALNPGGQQMTGSQAILAFHNSSGVPTAYTTSITSLSPSMQPGGLSFQVSNLKAEYSNGDMIIFATLHLTSSLISTNQVWQEGTMSGTSFNPHAMDSANRASVGTINFETGATVAGTVRTSSKKNVHGVLNAVSWGILMPMGIMIARYLKVFKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYSKHRNIGITLFCFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIALSIINIYEGFDILDPEKKWKNTYTGIIIFLGAVAALLEVSTWIIVLRRKKTGSSDKHVNGTNGYGA >Manes.15G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8051579:8059110:-1 gene:Manes.15G102600.v8.1 transcript:Manes.15G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVFSLKLYPKTYPFLISPPPPLHSQSSSALRFNFKASQVAAVKKQSQKIRCEFESKLNNGSLSPDMDPRFLDRQKALEAAMNDINNSFGKGSVTRLGSAGGALVETFPSGCLTLDFALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRPTGKIKSVKGDEDIGLRVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCILDCAEMMDVVVKKGSWYSYGEHRLGQGRDRALQYLRENPHLHEEIEKIVRSIMVDGTVNTGSVYPRNSTSPVQDENVYEEI >Manes.11G104500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23972712:23977565:1 gene:Manes.11G104500.v8.1 transcript:Manes.11G104500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRKLKGKIQEEEEEEEEKPVIEVSSGDDDDEANEDLSLKIIEKSLLMRAAKLVQNENDVVVLNDDDNFVKNDSGVIGVSCSGGGNEGKIVELAASSSMVVEPVVKNPTSLKRRRKKKIQKMETGDQSVVIAKEVENAETVEKVDPIENAEAIQSTVQLVENVDTSALDIPENIVLRKLLRGPRYFDPPDSGWSTCYNCGKEGHMAVNCPSFEKKKRPCFLCGSLEHGFKQCSKERVCIICKSSGHRTNHCPEKHKGGPQSSKVCLKCGDSGHDLFSCKNKYSVDDLKEIQCYICKSFGHLCCVNVVDNSPREVSCYKCGELGHTGLECSSFDEEPTTAPSPSLCYKCGEGGHFARECTSSVRSGKRNHELSTPALRPRRVDKKIPGFKSAPQDLGKSQKRRKNKPEEQSNTTPKKSKQRGGWLTDDFEDSSKSKSKKNRWRSPSTPSYKGHQISVLTSDGRMSNSQSSKRMYKSHSGMSGSQSYKKMQNGHLGSSSFQSSTTPYENRYSASRFSYSGRSEFRGNYNWW >Manes.15G146700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12099202:12101726:-1 gene:Manes.15G146700.v8.1 transcript:Manes.15G146700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLKRVILSSPKPIKPRSLYTFSSQSPTSADQPPIVSTVVSLLTHHRSKSRWNHLRSLLSPTTILSPIYFSQIVLHLKSNPHLALRFFHFTLRNSFCSHNLYSYSTIIHILSRARLKSPAQSIIRAALSSPVLFDHSVTPVKFFEVLVKTYRECDSAPFVFDLLIKSCLELKKIDGSIEIVRMLRSRGINPQINTCNSLICSVSSCKGSYASYGLFREVFGSKDNETEGDVKRNVRARPNVISFNALMMASYRDGEMEMVEETWREMERFGCVPNEFSYSILMATLCEEGKIKEAEKLWEEMRARGIKPDVAAYNTVIGGFCEIGEIGKAEEILREMELSGVESTCITLEHLIIGYCRVGDVDSAILVYKDMCRKAFRPEASTMDLLIGGLCEKKRVTEALEILRIAMRNVSFHPSGKSYEFLINGLCKDGKMEEALKLQAQMAGKGFEPNPKIYGAFIEGYMKLENEEMVAALTKEMSEAQKRQKEN >Manes.15G146700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12099202:12101726:-1 gene:Manes.15G146700.v8.1 transcript:Manes.15G146700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLKRVILSSPKPIKPRSLYTFSSQSPTSADQPPIVSTVVSLLTHHRSKSRWNHLRSLLSPTTILSPIYFSQIVLHLKSNPHLALRFFHFTLRNSFCSHNLYSYSTIIHILSRARLKSPAQSIIRAALSSPVLFDHSVTPVKFFEVLVKTYRECDSAPFVFDLLIKSCLELKKIDGSIEIVRMLRSRGINPQINTCNSLICSVSSCKGSYASYGLFREVFGSKDNETEGDVKRNVRARPNVISFNALMMASYRDGEMEMVEETWREMERFGCVPNEFSYSILMATLCEEGKIKEAEKLWEEMRARGIKPDVAAYNTVIGGFCEIGEIGKAEEILREMELSGVESTCITLEHLIIGYCRVGDVDSAILVYKDMCRKAFRPEASTMDLLIGGLCEKKRVTEALEILRIAMRNVSFHPSGKSYEFLINGLCKDGKMEEALKLQAQMAGKGFEPNPKIYGAFIEGYMKLENEEMVAALTKEMSEAQKRQKEN >Manes.15G146700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12099202:12101726:-1 gene:Manes.15G146700.v8.1 transcript:Manes.15G146700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLKRVILSSPKPIKPRSLYTFSSQSPTSADQPPIVSTVVSLLTHHRSKSRWNHLRSLLSPTTILSPIYFSQIVLHLKSNPHLALRFFHFTLRNSFCSHNLYSYSTIIHILSRARLKSPAQSIIRAALSSPVLFDHSVTPVKFFEVLVKTYRECDSAPFVFDLLIKSCLELKKIDGSIEIVRMLRSRGINPQINTCNSLICSVSSCKGSYASYGLFREVFGSKDNETEGDVKRNVRARPNVISFNALMMASYRDGEMEMVEETWREMERFGCVPNEFSYSILMATLCEEGKIKEAEKLWEEMRARGIKPDVAAYNTVIGGFCEIGEIGKAEEILREMELSGVESTCITLEHLIIGYCRVGDVDSAILVYKDMCRKAFRPEASTMDLLIGGLCEKKRVTEALEILRIAMRNVSFHPSGKSYEFLINGLCKDGKMEEALKLQAQMAGKGFEPNPKIYGAFIEGYMKLENEEMVAALTKEMSEAQKRQKEN >Manes.15G146700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12099202:12101726:-1 gene:Manes.15G146700.v8.1 transcript:Manes.15G146700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLKRVILSSPKPIKPRSLYTFSSQSPTSADQPPIVSTVVSLLTHHRSKSRWNHLRSLLSPTTILSPIYFSQIVLHLKSNPHLALRFFHFTLRNSFCSHNLYSYSTIIHILSRARLKSPAQSIIRAALSSPVLFDHSVTPVKFFEVLVKTYRECDSAPFVFDLLIKSCLELKKIDGSIEIVRMLRSRGINPQINTCNSLICSVSSCKGSYASYGLFREVFGSKDNETEGDVKRNVRARPNVISFNALMMASYRDGEMEMVEETWREMERFGCVPNEFSYSILMATLCEEGKIKEAEKLWEEMRARGIKPDVAAYNTVIGGFCEIGEIGKAEEILREMELSGVESTCITLEHLIIGYCRVGDVDSAILVYKDMCRKAFRPEASTMDLLIGGLCEKKRVTEALEILRIAMRNVSFHPSGKSYEFLINGLCKDGKMEEALKLQAQMAGKGFEPNPKIYGAFIEGYMKLENEEMVAALTKEMSEAQKRQKEN >Manes.12G013300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1310642:1312605:-1 gene:Manes.12G013300.v8.1 transcript:Manes.12G013300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLGICTCTFVKMHFPAILEQRTGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >Manes.01G053150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20759489:20760925:1 gene:Manes.01G053150.v8.1 transcript:Manes.01G053150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPSPTSENFLTIFQKKSRKPFFPRIFPLPSLPFYLLFFFDQFRVRYMLGFPLIVHVGRNSFQE >Manes.02G071800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5489267:5497485:1 gene:Manes.02G071800.v8.1 transcript:Manes.02G071800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVQLGSHTVMSHGVTVARTHMHDWLILLVLVVIEVILYVIHPFYRFVGKDMMSDLKYPFKSNTVPVWAVPIYAVILPMVIFLIIYFRRRDIYDLHHAILGLFYSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICHGDKNIIKEGHKSFPSGHTSWSFAGLSFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVACLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHPQGHVQLVHWEPIKIWYLAQIHGVLNTFLFDTC >Manes.02G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5489267:5497485:1 gene:Manes.02G071800.v8.1 transcript:Manes.02G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRDFRSLSCFKNFMGIFKDASTKRLEITANTCQSFSTLDLALIEHKDKENKMREVQLGSHTVMSHGVTVARTHMHDWLILLVLVVIEVILYVIHPFYRFVGKDMMSDLKYPFKSNTVPVWAVPIYAVILPMVIFLIIYFRRRDIYDLHHAILGLFYSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICHGDKNIIKEGHKSFPSGHTSWSFAGLSFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVACLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHPQGHVQLVHWEPIKIWYLAQIHGVLNTFLFDTC >Manes.02G071800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5489266:5497485:1 gene:Manes.02G071800.v8.1 transcript:Manes.02G071800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVQLGSHTVMSHGVTVARTHMHDWLILLVLVVIEVILYVIHPFYRFVGKDMMSDLKYPFKSNTVPVWAVPIYAVILPMVIFLIIYFRRRDIYDLHHAILGLFYSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICHGDKNIIKEGHKSFPSGHTSWSFAGLSFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVACLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHPQGHVQLVHWEPIKIWLGTLCILSGIGGVTHTSIQCYKSGKFRDNGG >Manes.02G071800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5489266:5497485:1 gene:Manes.02G071800.v8.1 transcript:Manes.02G071800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVQLGSHTVMSHGVTVARTHMHDWLILLVLVVIEVILYVIHPFYRFVGKDMMSDLKYPFKSNTVPVWAVPIYAVILPMVIFLIIYFRRRDIYDLHHAILGLFYSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICHGDKNIIKEGHKSFPSGHTSCWGPYAYFQVLEESRIQASNAINLGNSETMEAEAENEEGESNGFMGLHLARSSSLPMEDVERGRK >Manes.02G071800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5489267:5497485:1 gene:Manes.02G071800.v8.1 transcript:Manes.02G071800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRDFRSLSCFKNFMGIFKDASTKRLEITANTCQSFSTLDLALIEHKDKENKMREVQLGSHTVMSHGVTVARTHMHDWLILLVLVVIEVILYVIHPFYRFVGKDMMSDLKYPFKSNTVPVWAVPIYAVILPMVIFLIIYFRRRDIYDLHHAILGLFYSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICHGDKNIIKEGHKSFPSGHTSCWGPYAYFQVLEESRIQASNAINLGNSETMEAEAENEEGESNGFMGLHLARSSSLPMEDVERGRK >Manes.02G071800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5489266:5497485:1 gene:Manes.02G071800.v8.1 transcript:Manes.02G071800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRDFRSLSCFKNFMGIFKDASTKRLEITANTCQSFSTLDLALIEHKDKENKMREVQLGSHTVMSHGVTVARTHMHDWLILLVLVVIEVILYVIHPFYRFVGKDMMSDLKYPFKSNTVPVWAVPIYAVILPMVIFLIIYFRRRDIYDLHHAILGLFYSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICHGDKNIIKEGHKSFPSGHTSWSFAGLSFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVACLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHPQGHVQLVHWEPIKIWLGTLCILSGIGGVTHTSIQCYKSGKFRDNGG >Manes.02G071800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5489247:5497485:1 gene:Manes.02G071800.v8.1 transcript:Manes.02G071800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVQLGSHTVMSHGVTVARTHMHDWLILLVLVVIEVILYVIHPFYRFVGKDMMSDLKYPFKSNTVPVWAVPIYAVILPMVIFLIIYFRRRDIYDLHHAILGLFYSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICHGDKNIIKEGHKSFPSGHTSWSFAGLSFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVACLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHPQGWGPYAYFQVLEESRIQASNAINLGNSETMEAEAENEEGESNGFMGLHLARSSSLPMEDVERGRK >Manes.02G071800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5489267:5497485:1 gene:Manes.02G071800.v8.1 transcript:Manes.02G071800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRDFRSLSCFKNFMGIFKDASTKRLEITANTCQSFSTLDLALIEHKDKENKMREVQLGSHTVMSHGVTVARTHMHDWLILLVLVVIEVILYVIHPFYRFVGKDMMSDLKYPFKSNTVPVWAVPIYAVILPMVIFLIIYFRRRDIYDLHHAILGLFYSVLVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICHGDKNIIKEGHKSFPSGHTSWSFAGLSFLSLYLSGKIKAFDRRGHVAKLCIVFLPLLVACLVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHPQGWGPYAYFQVLEESRIQASNAINLGNSETMEAEAENEEGESNGFMGLHLARSSSLPMEDVERGRK >Manes.13G086700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:16272719:16276944:-1 gene:Manes.13G086700.v8.1 transcript:Manes.13G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHFSLLLISFTFISLQLRLQASPVLAPPFISANKDAQNLISFKDALANQDSLPTWLPNQNPCSFSGVKCQETNRVSSLDLSSVLLSTDFRTVAAFLLTLDNLESLSLKATNISGPISFPSGSKCSSVLSSLDLSQNVLSGPIPDIANLGSCASLKFLNLSSNSLDFSIKEKSSGLKLSLESLDLSFNKISGSDVVPFILSGGCNELQTLSLRGNKASGMMDVSGCKNLQFLDVSSNNFSVIIPSFGDCLALEHLDISSNKFYGDLAHAIAACSKLNFLNVSSNQFSGPIPVLPTGNLQFLYLGGNHFQGGIPLHLMKACPGLVQLDLSSNNLTGFVPSSFAACTSLESFDISSNNFTGELPIDTLLKMSSLKDIDFSYNEFNGGLPDSLSKLTSLESLDLSSNNFSGPIPANLCQDPSNNLKELFLQNNLFTGSIPASLSNCSQLTSLHLSFNYLTGVIPSSLGSLHKLRDLKLWFNQLHGDIPQEIMNIQTLETLILDFNELTGVIPSSISNCTKLNWISLSNNRLNGEIPASIGKLSSLAILKLSNNSFYGRIPLELGDCGSLIWLDLNTNFLNGTIPPELFKQSGKVAVNFITGKRYVYLKNKKSERCHGEGNLLEFAGIRPENLDRISTRDPCDFTRVYGGHTQPTFNDNGSMIFLDLSYNILSGSIPREVGTMAYLYILNLGHNNISGNIPEELGNLDGLNILNLSNNKLKGMIPQSMTRLSLLTEIDMSNNDLSGMIPEMGQFETFQAASFANNSGLCGIPLPKCGSGSDTSANSQLQKSHRRQASLAGSVAMGLSLFSLFCIFALIIIAVEANKRRKKKDSVLDIYISNHSNSGTANTGWKLTGAREALSINLSTFEKPLRNLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSTVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHDPKKAGIKWNWAARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNAVDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSADFGDNNLVGWVKQHAKLKITDVFDPVLLKEDPNLKIELLRHLEVACACLDDRPWRRPTMIQVMAMFKEIQAGSGLDSHSTIANEDGGFQMVEMSIKEDPELGKQ >Manes.05G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2128165:2138276:-1 gene:Manes.05G024100.v8.1 transcript:Manes.05G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHERLLPNGLLPNEAASVIRVLDSERWLKAEERTAELIVCIQPNELSEKRRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNMKDSWAHQVHDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKKSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWENFCVSLWGPVPISSLPDVAAEPPRKDSGELLLSKLFLEACSAVYAVFPGGQENQGQPFMSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKEDIFFEVNQFFMNTWDRHGSGQRPDAPRNDLWQLRLTPPDFSHGSDNLRYNSNSRTSGHEAQVDVTLGSRGVPSQHGYYPLESSSRSSEVSVVSRSHSQKTSVSSNNTRNSDQSRRESSLNQGLPADKHQRSSKPENLISDLQGRYLFARTRSSPELTETYSEVSFQERHIKAQETGEGHSSSVRLENSRRKNLESDNWGSHGNRSSTDDPSSIRQTSSHESLDVAGDNSASNSYHEDSGKGAIAEEFASVLGTQQMHQEDQDLVNMMASSAGLGFSGQVHLPLNLASSHIPLPISPSVLTSMGYPHRNLGEMLPSNIPMMDNPWGINMPFPQGLVSSPFNHYFPGIGLTSSTEDSVEPGNENFSSMEMNLSRADHNFWHKPERGSDSGFDLDNGGFKIHQSGDKQHSTSASYNFVPSSRLIGSLSSLRVQEKSTKEAQGLTNEDRIDTLPYQENRGAEVYFDDRNAGSRSFPIVNTSSLRSKVSSESSWEGSTAKASKSTREKRNRKTASSVVPSAAFGKGKSVSENSSCQADDENKDQNQVSTMGPEMTERSTVPPSAAVHVPRHQIPGFETAQMSGSESLIPFAPMILDPSSRQRNTDNSGVVPFAFYPTGPPVPFVTMLPVYNFPTESGTSDASTHQFSVEEVGDNSDSGQNFDASEGLNQAEVLSTSNSMRRPASVEPLEHISDILNSDFASHWQNLQYGRFCQSPRCPTPMYPSPLVMPPVYLQGHLPCDGSGRPLSTNMNLFTQLMSYGPHLVPVAPFQSISNGPAGVYQHYVDEMPRYRSGTGTYLPNPRVSPRDRHSTNTRRGNYSHDRNDHHGDRDSNWNVNSKPRASGRSHSRNQAEKSNSRLDRLAANESRTDRTWALQRRDSFSSYQSQNGPIRSNASQSGSANLAYGMYPLQSMNPGVSTNGPTFPPVVMLYPYDHSAGFGSPVEQLEFGSLGPVGFPAVNEVSHLNEGSRSIGGFEGFPGSSAQRSSPDQPSSPHVTRGI >Manes.05G024100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2128165:2138276:-1 gene:Manes.05G024100.v8.1 transcript:Manes.05G024100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHERLLPNGLLPNEAASVIRVLDSERWLKAEERTAELIVCIQPNELSEKRRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNMKDSWAHQVHDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKKSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWENFCVSLWGPVPISSLPDVAAEPPRKDSGELLLSKLFLEACSAVYAVFPGGQENQGQPFMSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKEDIFFEVNQFFMNTWDRHGSGQRPDAPRNDLWQLRLTPPDFSHGSDNLRYNSNSRTSGHEAQVDVTLGSRGVPSQHGYYPLESSSRSSEVSVVSRSHSQKTSVSSNNTRNSDQSRRESSLNQGLPADKHQRSSKPENLISDLQGRYLFARTRSSPELTETYSEVSFQERHIKAQETGEGHSSSVRLENSRRKNLESDNWGSHGNRSSTDDPSSIRQTSSHESLDVAGDNSASNSYHEDSGKGAIAEEFASVLGTQQMHQEDQDLVNMMASSAGLGFSGQVHLPLNLASSHIPLPISPSVLTSMGYPHRNLGEMLPSNIPMMDNPWGINMPFPQGLVSSPFNHYFPGIGLTSSTEDSVEPGNENFSSMEMNLSRADHNFWHKPERGSDSGFDLDNGGFKIHQSGDKQHSTSASYNFVPSSRLIGSLSSLRVQEKSTKEAQGLTNEDRIDTLPYQENRGAEVYFDDRNAGSRSFPIVNTSSLRSKVSSESSWEGSTAKASKSTREKRNRKTASSVVPSAAFGKGKSVSENSSCQADDENKDQNQVSTMGPEMTERSTVPPSAAVHVPRHQIPGFETAQMSGSESLIPFAPMILDPSSRQRNTDNSGVVPFAFYPTGPPVPFVTMLPVYNFPTESGTSDASTHQFSVEEVGDNSDSGQNFDASEGLNQAEVLSTSNSMRRPASVEPLEHISDILNSDFASHWQNLQYGRFCQSPRCPTPMYPSPLVMPPVYLQGHLPCDGSGRPLSTNMNLFTQLMSYGPHLVPVAPFQSISNGPAGVYQHYVDEMPRYRSGTGTYLPNPRVSPRDRHSTNTRRGNYSHDRNDHHGDRDSNWNVNSKPRASGRSHSRNQAEKSNSRLDRLAANESRTDRTWALQRRDSFSSYQSQNGPIRSNASQSGSANLAYGMYPLQSMNPGVSTNGPTFPPVVMLYPYDHSAGFGSPVEQLEFGSLGPVGFPAVNEVSHLNEGSRSIGGFEGFPGSSAQRSSPDQPSSPHVTR >Manes.14G130000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10381164:10382653:1 gene:Manes.14G130000.v8.1 transcript:Manes.14G130000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCSACQYMNFRNREACQHCGYPKCQGPDPAEWTRILPGDWYCTAHNCRAHNYASRPSCYKCGAHKNPYASGCQSSTHYGSEVTFPPGWKSGDWICLRMGCGGHNYASRTECFRCKAPKDFGGTIE >Manes.14G130000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10381360:10382506:1 gene:Manes.14G130000.v8.1 transcript:Manes.14G130000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGGDWMCSACQYMNFRNREACQHCGYPKCQGPDPAEWTRILPGDWYCTAHNCRAHNYASRPSCYKCGAHKNPYASGCQSSTHYGSEVTFPPGWKSGDWICLRMGCGGHNYASRTECFRCKAPKDFGGTIE >Manes.02G170000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13416616:13417815:1 gene:Manes.02G170000.v8.1 transcript:Manes.02G170000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISKCKPKKLPIPDFKHVQVQDKLVISQAPKLTIRTPIIPPSNKISPISPPSPTTSSSSFSSFTCTSNSNTSVSSSLSTGSSSILTPKDRSFSNEFLWSCVKENPHVIRINSIKEYSQLLVPPNVYAQKLESPVSAASKQPILQRVHGSSTPQKRVRSNSPTPLNRQKSFRRESERINSSYYPPVRALRSPSPSRRFNGESGRGVSTGTPKENISKRTVASKVNAAANSVSSSLRKENLRQMSPYINSNHHLGSRLKNRETCIHRISSKIDEVAVEEALASHDSDAPMEDIDNPLISLDCFIFL >Manes.S054716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1895448:1895615:-1 gene:Manes.S054716.v8.1 transcript:Manes.S054716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.08G133800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37079913:37081745:-1 gene:Manes.08G133800.v8.1 transcript:Manes.08G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRLVVPRVKLGNQGLEVSKLGFGCMELSGGYNAPVPEEVGISIIKEAFNRGITFFDTADVYGPNTNEILIGKALKQLPREKIQLATKFGIVFKNSDLKTASFNGKPKYVRACCEASLKRLDVDYIDLYYQHRIDPSVPIEETMGELKKLVEEGKIKYIGLSEPSPDTIKRANAVHPITALQIEWSLWSRDLEEQIIPLCRELGIGIVPYSPLGQGFFAGKAVVESVPSDTLLKFFPRFTEENLEQNKVLYRRVENLAKKYGCSPAQLALAWVLNQGDDVVPIPGTTKIKNLDDNIGALRIKLTKDEFKEVSDAVPADQVAGLRTLHVQYTGNTPPPSQV >Manes.16G045300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7694577:7697611:-1 gene:Manes.16G045300.v8.1 transcript:Manes.16G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSETWRWIVRKTRDSKSFFFTFATVCGVVPGVIGYCVMQLTNSRNPELEARLRQNARPESLMMGKVNQERLAEYLGELQRKQDTNDRYVAALKGETLTRNPYVRIQPIPKQDNMESDKEQKIEKDKK >Manes.16G011200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1148449:1151608:-1 gene:Manes.16G011200.v8.1 transcript:Manes.16G011200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIKGIYKGFKFISQMFAVVKEREMEIGYPTDVKHVAHIGWDGASGTPPSWMNEFKTPPDFATTTLSDARDPNSLAFSSWSSQDFDQSIGNQPVLNSFSSIPSSDLPKIPKKSKTKRKMRSSSQHQTHESRNAKVGAN >Manes.09G011907.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2857792:2858751:1 gene:Manes.09G011907.v8.1 transcript:Manes.09G011907.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPDIVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPNVRTFNVIVNGLCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDTLCKDELVGEALELFSQMRNKGISPHVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYSSLIDGLCISDQFKEALALLKEMVGRDISPDVFTFNILIDILCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKLFDLM >Manes.04G074331.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29033491:29034154:1 gene:Manes.04G074331.v8.1 transcript:Manes.04G074331.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHLSEVDVLDCDYSHKSCSMGTIPSDGNIGGDHPINNNLGSDVAECNLESNVSQIKEKKRDKKKKRPQTEQNGQIDCLGVGTVLKLGENALISNNQNDSLSPNTSLSKGS >Manes.05G102200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9567056:9567709:-1 gene:Manes.05G102200.v8.1 transcript:Manes.05G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTGEDFGIKIYTASPKNDPMPFSSSNTPQAPKAPGSKRRVVAKGVQKTLSKTSMLVNFLPTGTLLTFEMVLPSIVKNGECTHISILMLLVLLGLCAVSCFFFHFTDSFKGPDGKVYYGFVTPNGLAVFKPGLDVDVPKDERFKVGFTDFVHAMMSVMVFVAIAFSDHRVTDCLFPGHVKEMDQVMESFPLMVGIVCSGLFLVFPNTRYGIGCMAT >Manes.15G144700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11873609:11874926:-1 gene:Manes.15G144700.v8.1 transcript:Manes.15G144700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSYSSPLFVEAKDFHRSNASCWRACSTITYSCSSSSSSRSSAYIPKLEPFSRTRLQRAVKEPPLIEKSANKLADYCSTLEGDNSYTCWGAYFELRDLERESPKEDVEKLILQAAGVKSLIGCLHGISSMHKGRKNGFGFMAPMTVEKERDRTCPIPDGLPKSKEELEEKERARMPDSPYTRLLWTNRRFPAWYSPTPDHETY >Manes.05G155600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26774715:26779600:1 gene:Manes.05G155600.v8.1 transcript:Manes.05G155600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSCIIMESANLHHQHHQLQLQLVGSSSLTTPSSNYGAGSTLSSSNLNPNCNGVDLNPRQKNEFLIPSLNSSTIQNLGLIHWNSNSAGCFTNQSADHDLQLAKIKQDLPDSFPRFTDMLNDPSTVEDSHLSSPSYEQKHMTDLSEKLLLKTISSGFPINVHQFSSAQIYSNAHDCSSPGSSIPRRGNFSQIYPSINISNLNRSPPSISGAIDMNLQALDHLNSTRFSRSIGQPSHDNLGMYNDSISYDLEHMHIPSSSPIQTSSFTTNEILEAKRPSDSLMEPKATQAAATKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKASTRITQEFQGSTEEGKDELKTDLRSRGLCLVPLSCMSYVTADGGGGGGGGIWPPPNFGGGT >Manes.05G155600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26774715:26779600:1 gene:Manes.05G155600.v8.1 transcript:Manes.05G155600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEALYLNPRQKNEFLIPSLNSSTIQNLGLIHWNSNSAGCFTNQSADHDLQLAKIKQDLPDSFPRFTDMLNDPSTVEDSHLSSPSYEQKHMTDLSEKLLLKTISSGFPINVHQFSSAQIYSNAHDCSSPGSSIPRRGNFSQIYPSINISNLNRSPPSISGAIDMNLQALDHLNSTRFSRSIGQPSHDNLGMYNDSISYDLEHMHIPSSSPIQTSSFTTNEILEAKRPSDSLMEPKATQAAATKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKASTRITQEGSTEEGKDELKTDLRSRGLCLVPLSCMSYVTADGGGGGGGGIWPPPNFGGGT >Manes.05G155600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26774715:26779600:1 gene:Manes.05G155600.v8.1 transcript:Manes.05G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSCIIMESANLHHQHHQLQLQLVGSSSLTTPSSNYGAGSTLSSSNLNPNCNGVDLNPRQKNEFLIPSLNSSTIQNLGLIHWNSNSAGCFTNQSADHDLQLAKIKQDLPDSFPRFTDMLNDPSTVEDSHLSSPSYEQKHMTDLSEKLLLKTISSGFPINVHQFSSAQIYSNAHDCSSPGSSIPRRGNFSQIYPSINISNLNRSPPSISGAIDMNLQALDHLNSTRFSRSIGQPSHDNLGMYNDSISYDLEHMHIPSSSPIQTSSFTTNEILEAKRPSDSLMEPKATQAAATKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKASTRITQEGSTEEGKDELKTDLRSRGLCLVPLSCMSYVTADGGGGGGGGIWPPPNFGGGT >Manes.05G155600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26774715:26779600:1 gene:Manes.05G155600.v8.1 transcript:Manes.05G155600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSCIIMESANLHHQHHQLQLQLVGSSSLTTPSSNYGAGSTLSSSNLNPNCNGVDLNPRQKNEFLIPSLNSSTIQNLGLIHWNSNSAGCFTNQSADHDLQLAKIKQDLPDSFPRFTDMLNDPSTVEDSHLSSPSYEQKHMTDLSEKLLLKTISSGFPINVHQFSSAQIYSNAHDCSSPGSSIPRRGNFSQIYPSINISNLNRSPPSISGAIDMNLQALDHLNSTRFSRSIGQPSHDNLGMYNDSISYDLEHMHIPSSSPIQTSSFTTNEILEAKRPSDSLMEPKATQAAATKKSRLETRASCPPFKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKASTRITQEFQGSTEEGKDELKTDLRSRGLCLVPLSCMSYVTADGGGGGGGGIWPPPNFGGGT >Manes.05G155600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26774715:26779600:1 gene:Manes.05G155600.v8.1 transcript:Manes.05G155600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSCIIMESANLHHQHHQLQLQLVGSSSLTTPSSNYGAGSTLSSSNLNPNCNGVDLNPRQKNEFLIPSLNSSTIQNLGLIHWNSNSAGCFTNQSADHDLQLAKIKQDLPDSFPRFTDMLNDPSTVEDSHLSSPSYEQKHMTDLSEKLLLKTISSGFPINVHQFSSAQIYSNAHDCSSPGSSIPRRGNFSQIYPSINISNLNRSPPSISGAIDMNLQALDHLNSTRFSRSIGQPSHDNLGMYNDSISYDLEHMHIPSSSPIQTSSFTTNEILEAKRPSDSLMEPKATQAAATKKSRLETRASCPPFKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKASTRITQEGSTEEGKDELKTDLRSRGLCLVPLSCMSYVTADGGGGGGGGIWPPPNFGGGT >Manes.05G155600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26774715:26779600:1 gene:Manes.05G155600.v8.1 transcript:Manes.05G155600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEALYLNPRQKNEFLIPSLNSSTIQNLGLIHWNSNSAGCFTNQSADHDLQLAKIKQDLPDSFPRFTDMLNDPSTVEDSHLSSPSYEQKHMTDLSEKLLLKTISSGFPINVHQFSSAQIYSNAHDCSSPGSSIPRRGNFSQIYPSINISNLNRSPPSISGAIDMNLQALDHLNSTRFSRSIGQPSHDNLGMYNDSISYDLEHMHIPSSSPIQTSSFTTNEILEAKRPSDSLMEPKATQAAATKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKASTRITQEFQGSTEEGKDELKTDLRSRGLCLVPLSCMSYVTADGGGGGGGGIWPPPNFGGGT >Manes.09G011300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2217360:2222944:1 gene:Manes.09G011300.v8.1 transcript:Manes.09G011300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRSSQALKTFACFSGRGEQRSIKMMMHRRRKSRSFHLQLQRAIGTIESPFLFLFTNSFHSSNPTPASRLQDARFLKNSFKSASFTQLDDAVASFNHVIQMHPLPSRPQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPNVYTYSVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYSAIIDALCKDELVGEALELFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDQFKEALALLKEMVGTNISPSVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPTIVTYSSLMDGYCLGNQIGKARKLFDLMVTNETADIFSYNILINGYCKCNMIDDAKELFDEMSHKGLVPDAVTYSTLIKGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSTMIDGLCRQGNLDEALTLLKAMEKSQLKPNLVIYSSLINGMCKVGKLNDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNDLILSKLRNRSEASKAVQ >Manes.06G120401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25155926:25156583:-1 gene:Manes.06G120401.v8.1 transcript:Manes.06G120401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVCQKLDLSLEETVSMFHLVTELARVLGHLMLLVGIYEEKRRLRGMRKLLKNYPLAFRLRKNLKIHAFYKSKCHLKRSVKHRQTWVFKKSIKVLAQALENKCHICEEPIRTKVDDFHRLLGHASRSPFLFVQNYKEYVVVYATKRQYGYNGRFRLEPKPLNLK >Manes.12G053502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4971281:4972079:-1 gene:Manes.12G053502.v8.1 transcript:Manes.12G053502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVQQSSNAIHEILESRWNKSNTPLHCKAHSLVRRQAPKEDEEVPLNKDKCLKRLFSSKDDFGRVRKEYGTFFSSSDYFNQPHVMAARVNEDPISWWASYGASTPLLQSLAYENWSTYSFIQSVRRNKLTAQRSEDLVYIPSNLSLLSRKQENYTKGASKYWDTGGDNFAMEGNDLLDVADLSIDDPALETIIFDEEEDLEIE >Manes.03G075532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12484698:12488519:-1 gene:Manes.03G075532.v8.1 transcript:Manes.03G075532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRENRYKYNTSSIGGGNGEAEAGGGSGGDGGGGGDQLMMSSNTIKPAWLEGLMAETFFGGCGVHENRRKNEKNIFCLLCCLSICPHCLFSHRSHPLLQVRRYVYHDVVRLGDLEKLIDCSYIQPYTINSAKVIFLNQRPQSRSCKGSANVCFSCDRILQEPFNFCSLSCKVDHLVEQEEDLSAILYRIDESEFEFSELEGLRMDSSETIDEDSHIVEEQVQFRGSSCSNDIITNSGILKESEVPQRKKKGGSGFLPGFVLSLSSRRKSAPHRAPLS >Manes.04G053290.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17811334:17814200:1 gene:Manes.04G053290.v8.1 transcript:Manes.04G053290.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAYTLLSLVAFLVVCNARPALITDVPTNYTHVCEPTRFAVQGLKMAEFGYCDSSLSFDMRAKDLVDRMTLEEKVQQLGNFAAGASRLGLPAYEWWSEALHGVSNTGPGTFFDETVPGATSFPTVLLTTASFNQSLWKRIGQVVSSEARAMYNLGRAGLTYWSPNINVVRDPRWGRIQETPGEDPYIVGTYASTYVRGLQDIEGTENITDLNSRPLKVAACCKHYTAYDIEDWMGVDRFHFDARVTEQDMLETFQLPFEMCVKDGDASSVMCSFNRINGVPVCADKKLMQDTIRGDWDLHGYIVSDCDSIEVMVDGHKWLGDTQEDAVSQVLKAGLDLDCGDYYPKSLKKAVMQGQVSEAEVDKSLKYLYVVLMRLGYFDGSRFNSLGKKDICTHENFELAAEAAKEGIVLLKNDNETLPLNSSKYKKLALIGPHGNATKAMIGNYAGVPCRYVSPIEGFSAFGEVKYEMGCGDVACKNDSLIFPAMEAAREADATILVVGLDLSVEAEGRDRVDLLLPGYQNLLINQVSKASKGPVILVIMTAGGVDISFAKESTNIQSILWAGYPGQEGGRAIADIVFGKHNPGGRLPLTWYEAEYANLVPMTSMTLRPIVNPVANLSYPGRTYKFFNGSTVYPFGYGLSYTNFNYKIAPSKTLIKIKLNKYQHCSNLNYEYTDDKPYCPAVLVDDCSCEQEFGIAVTVKNVGKMDGSEVVMVYSKPPKGIKETHAKQVIGFERVFVQAGGETKTKLRFNVCKSLAIVDKKGYKVLPSGLHTIMVGDANVSFFVSVQYYK >Manes.14G029833.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2711962:2714442:1 gene:Manes.14G029833.v8.1 transcript:Manes.14G029833.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIAGLRYFFFFFQGKPFYSGAKVSFILGTHVANGLCSGGIHIPEKMLPRIDTIRLLFICLPMRFPSMILLPEAADVLDICIFFALAPTGINAGLADSEFVCFSWLYVFASIEREISCIIEK >Manes.14G029833.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2711962:2714442:1 gene:Manes.14G029833.v8.1 transcript:Manes.14G029833.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIAGLRYFFFFFQGKPFYSGAKVSFILGTHVANGLCSGGIHIPEKMLPRIDTIRLLFICLPMRFPSMILLPEAADVLDICIFFALAPTGINAGLADSEFVCFSWLYVFASIEREISCIIEK >Manes.14G029833.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2711962:2714442:1 gene:Manes.14G029833.v8.1 transcript:Manes.14G029833.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIAGLRYFFFFFQGKPFYSGAKVSFILGTHVANGLCSGGIHIPEKMLPRIDTIRLLFICLPMRFPSMILLPEAADVLDICIFFALAPTGINAGLADSEFVCFSWLYVFASIEREISCIIEK >Manes.14G029833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2711944:2714442:1 gene:Manes.14G029833.v8.1 transcript:Manes.14G029833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIAGLRYFFFFFQGKPFYSGAKVSFILGTHVANGLCSGGIHIPEKMLPRIDTIRLLFICLPMRFPSMILLPEAADVLDICIFFALAPTGINAGLADSEFVCFSWLYVFASIEREISCIIEK >Manes.14G029833.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2711942:2714442:1 gene:Manes.14G029833.v8.1 transcript:Manes.14G029833.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIAGLRYFFFFFQGKPFYSGAKVSFILGTHVANGLCSGGIHIPEKMLPRIDTIRLLFICLPMRFPSMILLPEAADVLDICIFFALAPTGINAGLADSEFVCFSWLYVFASIEREISCIIEK >Manes.14G029833.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2711962:2714442:1 gene:Manes.14G029833.v8.1 transcript:Manes.14G029833.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIAGLRYFFFFFQGKPFYSGAKVSFILGTHVANGLCSGGIHIPEKMLPRIDTIRLLFICLPMRFPSMILLPEAADVLDICIFFALAPTGINAGLADSEFVCFSWLYVFASIEREISCIIEK >Manes.10G074374.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15001784:15005986:1 gene:Manes.10G074374.v8.1 transcript:Manes.10G074374.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGEAILSALLEPLVKKLTSSELLKFARKKHMDDEDILDEFETKALRSKLKAKSQASTVTAQKQIPVNCYSLKSGGAVFNMKKGYKLREITTRLQELATEKNDLHLRTSEEGRSNKANERVPTTSLLKECNVYGREKDKEAILQLLMSDEASDSRFMVIPIIGMGGVGKTTLAQLIYNDKGVQFGYKSWVCVSNDFDILKITKTILHCENCEASDLNSLQVRLKERLSGKRFLIVLDDVWSEKYEEWTALCSPFTSGAPGSRIIVTTRNEGVAKLVGSVDPYPLKELSHDNCLSLFTQHALEAKNFDAHPELAKIGQAIVKKCKGLPLAAKTLGGLLRGKQSFKEWKYILNSEIWDIPEEKSGILPALRLSYYHLPSYLKRCFVYCAIFPNDYEFDKSELALLWMAEGFLHQPHMKDVGYKYFDDLLSRSFFQQSMNDKSRYVMHDLVSDLARFVGRELCFQLDDKSEAETSYAEIRHSSFSSHYNDIAQSSKVLDDLVPKLKCLRVLSLASYFLLELPSSIGALIHLRYLDLSDCNLVNLCYLDISGTDSLQEMPPHMGNLKNLSVLPKFIVGEGNGVEIKELMKLPDLQGHLHILGLHNVENGKQGLRKLYIDGYGGTKFSLWIEDSAFINIVELNLRNCHRITSLPPLRQLPLLRYLRIQGMDEVKTVGVEFCGNGSLFKAFPSLETLYIGNMPEWEQWSWSIGDHEETAGKYPNLRELTISNCPKLIGKLPRCLLSLETLCIDCCPRLEDLPEMLPALCELDVDDCREVVFKSVSDLTSLTTLNIQGIPGLISLDDVLIQALVSLKHLKIVCCEELRYLWRNGTSLSKLSSLNSLEISGCPQLVSLVGEKMDFYLSSLETLQIGTCDNLEQLPHDMGGLTSLKNLETDDCKSLVSLLKREEVLLPCNLENLLITRCWNLEKLPNNLGNLACLKNFEIYDCPKLVSIPATGLPSNLKSLKIFACNSLEYLPETSHLEKFEISNSLGYLTSLSPFTPRFQNWDSQKRLEIYNCSKQLLECLHGKIVHLTYLELIDCPALESFSDRGLYIPTLTSFTIQNCENLKFLPNQMQILTSLQNLEINNCGIYSFPEEGLPSNLIKLYINDCNNLTQPMSEWGLHALTSLREFEIYGYCTEVVAFPDDEGLLLPASLIHLCIGRFKNLKSISRGLHNLSFLRTLYISNCPKLRFLPKEGFPATLEDIEIRSCHLLSKRLNQKGDCWPLIVHIPHVEVDGARIHAMKREEENHLLHFNWL >Manes.02G030001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2483091:2485859:-1 gene:Manes.02G030001.v8.1 transcript:Manes.02G030001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINEDRPSVLYHRTPSFDFPFRHRLQAHFHLLDPLDSLQLSIHAFLLNKAQSVRALLCVDPTPISKDLLSFLPSLELIVCSSVGVDHVDLAECRHRGIQVTNAGVAFSEDVADYAVALLIDVLRRVSAANRFVRAGLWHVKGDYPLGFKLAGKRIGIVGLWKYWL >Manes.02G030001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2483091:2485864:-1 gene:Manes.02G030001.v8.1 transcript:Manes.02G030001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINEDRPSVLYHRTPSFDFPFRHRLQAHFHLLDPLDSLQLSIHAFLLNKAQSVRALLCVDPTPISKDLLSFLPSLELIVCSSVGVDHVDLAECRHRGIQVTNAGVAFSEDVADYAVALLIDVLRRVSAANRFVRAGLWHVKGDYPLGFKLAGKRIGIVGLWKYWL >Manes.08G172801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41112702:41114091:-1 gene:Manes.08G172801.v8.1 transcript:Manes.08G172801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEIRVALESCLLCFLLLLFARALSKSNIHLGYSATLSIPLNTARQTNFKAALSVEAIDRKYSCSLEVFLGDVKLKGYQEQVGRRNGTFGQGVERLKILGTAIQQVLILPNSTQQDCALYLNSGKRSYSYWLFKPSKKRNITFVELGSQGPGNKTENLELYFYSPDKLSLEAEFQALNTTLLGSCIRFVTKENGLDWDCSNGISGGFCGKVAASLNTSMEACEKFCLEDCKCVAALYSSGESRECYLYGAVMGVKQVERGTGSTCMAKVPKGTHVERRNIPTKPAPVNSDSMFV >Manes.03G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25633730:25640748:-1 gene:Manes.03G129800.v8.1 transcript:Manes.03G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSTSPSSSILCRSRFASRVSSSLSSLSSLSPSLSRLSPPTSFASRSPSSLSFPYHNYRSLTFSSALWPLRCSAPRWSQGVDFCSPMSLRAQARAAAPVIERNLSIVAWEHPFKGILTKLPKPGGGEFGKFYSLPALNDPRIDRLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWENTSSKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMKNLGSEPKRINPLVPVDLVIDHSVQVDVTRSENAVQANMEFEFQRNKERFAFLKWGASAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMAELSLADRATIANMSPEYGATMGFFPVDHATLQYLKLTGRSEDTVAMVEAYLRANKMFVDYNEPQQERVFSSYLQLDLADVEPCVSGPKRPHDRVSLKEMKADWHACLDSRVGFKGFGVPKEEQNKVAKFSFHEQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLFQSGLQHYLNQQGFHIVGYGCTTCIGNSGELDQSVASAISDNDIIAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGRGNDGKDVYFKDIWPSNDEIAEVVQSNVLPDMFKSTYEAITEGNPLWNQLSLPASTLYSWDPKSTYIHEPPYFKNMTMEPPGPHGVKDAYCLLSFGDSITTDHISPAGSIHKDSPAAKYLLERGVQPRDFNSYGSRRGNDEVMARGTFANIRIVNRLLSGEVGPKTIHIPTGEKLYVYDAAMRYKEAGQDTIVLAGLEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDADTLELTGHERYTIDLPDKMSEVRPGQDVTVTTDAGKSFTCTMRFDTEVELAYFDHGGILPYVMRKLCKE >Manes.13G149700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36541335:36544082:1 gene:Manes.13G149700.v8.1 transcript:Manes.13G149700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEGGSWGTATDTVSPPMVSPLNLQREEHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPRSSTTSAASTRTPTDLEANVVFHSKLDYPSPKMTVYAKGVSVLMPGEDVPSFIAHPAPAPCSPEPTINQFDQRHDRRIRQTN >Manes.06G138900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26647200:26654334:-1 gene:Manes.06G138900.v8.1 transcript:Manes.06G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRSFSVCFRFVALAQSLFLVVRSFVLDFLIMDEVGRRAKKKMPVIFNCFKTVVFLLFFPLASPLNDEGKALMSIKASFSNVVNLLLDWDDVHNADFCSWRGVFCDNGSYSVVSLNLSNLNLGGEISPAIGDLSKLQSIDFQGNKLSGQIPDEIGNCASLFHLDLSDNFLCGDIPFSISKLKQLEFLNLKNNKLSGPIPATLTQIPNLKTLDLARNLLIGEIPRLLYWNEVLQYLGLRGNSLTGTLSWDMCQLTGLWYFDVRGNNLTGTIPDSIGNCTSFEILDLSYNQITGEIPYNIGFLQVATLSLQGNMLTGKIPEVIGLMQALAVLDLSENELVGPIPPILGNLSYTGKLYLYGNKLTGPIPPELGNMSKLSYLQLNDNHFVGSIPPELGRLKQLFELNLANNDLEGPIPHNISFCTALNQFNVHGNRLNGTIPLGFRNLESLTYLNLSSNNFKGRIPVELGHIINLDTLDLSGNSFSGPVPASVGDLEHLLTLNLSRNHLDGSLPAEFGNLRSIQIIDMSFNNVTGSIPAELGQLQNLFSLILNNNNLKGEIPDQLTNCFSLVNLNFSYNNLSGVVPPIRNFSRFPPLSFIGNPLLCGNWLGSICGPYEPKSRAIFSRAAVICLTLGFITLLSMVTVAIYKSNQQKQLMKGSQKNMQGPPKLVVLHMDMAIHTFDDIMRNTENLSEKYIIGYGASSTVYKCVLKNARSIAIKRLYNQYPYNMLEFETELETIGSIRHRNIVSLHGYALSPYGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLKIAVGVAQGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGIAKSIPTAKTHASTYVVGTIGYIDPEYAHTSRLNEKSDVYSFGIVLLELLTGKKAVDNHSNLHQLILSKADNNTVMEVVDQEVSVTCMDLNHVRKTFQLALLCTKRYPSERPTMHEVVRVLDSFIPAPLAKPCPAPPKRIDYSKFLIDQGQQKQKLDLPAQQENNSSDAQWFVRFREVISKNTL >Manes.01G081000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28382324:28383295:-1 gene:Manes.01G081000.v8.1 transcript:Manes.01G081000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHKCKLCARTFANGRALGGHMKAHLATLPLPPKATMAPQQQPGDRTESASSSYSFSGEEQEQEIKNREVEEKALIYGLRENPKKSFRFADPEFSFAVDAGSVVQDRESETESRNPTRRRSKRTRKSGFPENQKQNFDVKKLKLKNPSSEESPAEPEPVSSVSDTSPEEDVARCLMMLSRDVWTRNNEEKDQEQDIDGEKSIGMMLEEHEDIKTSKIRGKLRCEKCKKLFRSSQALGAHKRICSLNGTELRNNEGNDKIFECPYCFKLFGSGQALGGHKRSHLMATSITNAVENSAKLDNNLIDLNLPAPTEDDEFSVVSDA >Manes.11G165066.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32948296:32948753:-1 gene:Manes.11G165066.v8.1 transcript:Manes.11G165066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWNASHHKYITSRMNLSPIALYISILYHNKSTILYARGDTATPGLHMLYARGDTATPGLLMLYARGDTATPGLLISYHIVHAISYHSISCHNILRARGSSSIHPHHHHRIM >Manes.02G070300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5370843:5376470:-1 gene:Manes.02G070300.v8.1 transcript:Manes.02G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPNPPSYTVVADESSPEKFVIPEVPRNDAVDVLKLRTRRGNQIVAIHIKHPRASSTLLYSHGNAADLGQMFELFVELSKRLRVNLMGYDYSGYGQSSGKPTECNTYADIDAAYNCLREQYGVRDEQLILYGQSVGSGPTVDLASRLPNLRSVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGMVNCPVLVIHGTADEVVDCSHGKQLWELCKEKYEPLWISGGGHCNLELYPEFIKHLKKFVSTVGKTKTEANGSKKTTGELENQNKPSESGTSDTFELGADLPQISRNSLDSRLEKSKKPDKPEKSRMSTDRVDRFRRRKGLVW >Manes.09G104800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30579703:30588666:-1 gene:Manes.09G104800.v8.1 transcript:Manes.09G104800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALIIVAIVVCIIVFIFNVYLLVNYQHPDDKNQAYFPKFVVVLGLSVAVISILMLPADVANRQACRRAIYNGACNLTLPMKDLWIAVYIIDAVLVFFVIPFAMFYYEGDQDKTVGKRMKSALLWVITTAIVCGLLLGILYGLVGKVDFTVMHLSSSTTNFPSSWDFSSSQPCIGNGAHQCSAYLASPSSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVKLLEEMYPQGEKAETAWALTVLGYLGKLVLGILGLIVSVAWVAHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVALILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAGLTFVYYAAFGWRRKKPSGRFQLSS >Manes.12G115100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.12G115100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31667339:31673299:-1 gene:Manes.12G115100.v8.1 transcript:Manes.12G115100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILMDPEFSAILRQRSNVDLKDKWRNINVTAIWGSRQKAKLALKKNPQTPKCDDNPKALSVVVQGNEEIVNAKPLAISSGTPRNFGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYRAPSNIRKLLVGKLKLLTAKGKLVKVKHKYSIAPNPAVSEGRRNSVHLPVEGKQKDCSKSEKSKTKILTKSVVDQELSKIRGMTAQEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAEAAMKAFKYRTRHPLNAVLSRSS >Manes.18G058200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5161757:5169149:1 gene:Manes.18G058200.v8.1 transcript:Manes.18G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDLVSFVESLLGVSLGGSVSDSVLLMITTSFAVIVGLLVLSWKRSSDRSKELKPVVIPKSLAVKDEEDEAEALAGKTKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYAVDDDQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEESERGAWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQLSEQGAKRLIPVGLGDDDQCIEDDFTAWRELLWPELDQLLRDEDDVNGGSTPYTAAIPEYRVVIHDASVTYYEDKSSYLANGNASFDIHHPCRVNVAVQKELHKPESDRSCIHLEFDLSGTGITYETGDHVGVYAENSNETVEEAGKLLGQPLDLLFSVHTDKDDGSPLGSSLPPAFPGPCTLRSALARYADLLNPPRKASLIALAAHASEPSEAERLKFLSSPQGKDEYSQWIVASQRSLLEVMAEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFSPNRVHVTCALVYGPTPTGRIHKGLCSTWMKNAISLEKSSACSWAPIFIRTSNFKLPSDPSIPIIMVGPGTGLAPFRGFLQERMALKEEGAQLGPALLFFGCRNRRMDFIYEDELNNFVEQGVISELIVAFSREGPQKEYVQHKMVEKAEQIWSIISQGGYLYVCGDAKGMARDVHRTLHTIVQEQGNLDSSKTESMVKKLQMDGRYLRDVW >Manes.01G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33478734:33482014:-1 gene:Manes.01G144400.v8.1 transcript:Manes.01G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.09G178200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36823544:36832149:1 gene:Manes.09G178200.v8.1 transcript:Manes.09G178200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILLRKLLQRTTSFQQGIGRCTYSTHKVLDIGQPTPATHPQLLKEGEITPGITSEEYISRRKRLLELLPEKSLAVIAAAPIKMMTSVVPYTYRQDADYLYITGCQQPGGVAVLGHECGLCMFMPEATKHDVLWQGPVAGTDAALETFKAEKAYPISKLCEVLPDMIRRSSKLFHNMQTASQTYTELEAFQKASLVGKVEDVSRFTNELRWIKSSAELKLMRESASIACQALLQTMLHSKTYPYEGLLAAKVEYECRMKGAQRMAFNPVVGGGSNGSVIHYSRNDQKIRDGDLVLMDVGCELHGYVSDLTRTWPPCGSFSSAQDELYDLILQTNKSCINLCKPGASIRQIHNYSVEMLRKGLKEIGILRDSGSNSYHLLNPTSIGHYLGMDVHDSFAVSCDRLLKPGVVITIEPGVYIPSVFDGPERFRGIGIRIEDEVLITETGYEVLTGSMPKEVKHIESLLNNYSHVRGMETQHNMQAASS >Manes.01G034100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6601419:6603693:1 gene:Manes.01G034100.v8.1 transcript:Manes.01G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEDQQQLSTSEELLQELRLKANELLLREEWQESVQVYTQFINLCQDQSSNTNQHCPNTDQNIKLQKSLCLALSNRAEARSRLRDFTKALEDCDQALKIENTHFKALLCKGKMLLCLNRYSMALDCFKTALLDPQANGNLETLNGYIEKCKKLEYQSRTGAFDLSDWVLNGCRGKFPELAEYIGPVEIKRSEFSGRGLFATKNIDAGTLVLVNKAIAIERGILSSEDSGENEQLMMWKNFIDEVVESTKKCGRIQNLLSTLSTGEEENELEIPEMSLFRPEADDINSKSNEELDMERMLSILDVNSLVEDSVSASVLGKNKDYHGVGLWILASFINHSCHPNTRRLHVGDYLLVHASRDVKAGEELTFPYFDVLKPIDKRKEMSKTWGFNCHCKRCKFEEELCSKQEMKEIEMGLERGVELGGAILKLEEGMKRWKVRGKEKGYLRAAFWVAYSEAYGSEKMVKRWGRRIPSVDVVVDSVAEATGSDERVVKVLRRGDNEVEMERVMKLGRGIYGKVVKKQAMKSLLQHCVYRLSY >Manes.04G063908.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23530442:23532806:-1 gene:Manes.04G063908.v8.1 transcript:Manes.04G063908.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPFKFTWRINNFSTLTPKELHSEVFYAGGCQWCLLVFPKGNKVDYLSMYLEVADSTSLPQGWSRDAEFNLAVVNQINNSLTVRKYTEHVFKAFDKDWGFTSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVKHYSKPEPKKQEAKDETKPSEPVAAPPTSQVPSSEKVVDTKAKVDTKPLNQTKEGIQATATPTSDKEVIKSSPPPSVTVETKILPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQQQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESVPMVITTAETAQARRTSLSEKTADLDAKLAQRHEELSSKEAEFLRLSTEEEKLEAEIQLLIKQKEVVVARKKSVLVELEKSNKEASKDLEEWKKLESEIKQANVNWVGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.06G064700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19986423:19988325:1 gene:Manes.06G064700.v8.1 transcript:Manes.06G064700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSEPATTVEVNAPPRRPRILLAASGSVAAVKFGVLCHCFTGWAEVKAVATSTSLHFINKNSLPRDVDLYTDEDEWYSWNKMGDSILHIELPRWADVMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMHTFMWTNFFTERHLMSLDEQGISLIAPVTRRLASGDYGTGAMAEPSLISTTILINLQSRNKSNFWLV >Manes.06G064700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19985627:19988516:1 gene:Manes.06G064700.v8.1 transcript:Manes.06G064700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSEPATTVEVNAPPRRPRILLAASGSVAAVKFGVLCHCFTGWAEVKAVATSTSLHFINKNSLPRDVDLYTDEDEWYSWNKMGDSILHIELPRWADVMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMHTFMWTNFFTERHLMSLDEQGISLIAPVTRRLASGDYGTGAMAEPSLISTTILINLQSRNKSNFWLV >Manes.06G064700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19986419:19988411:1 gene:Manes.06G064700.v8.1 transcript:Manes.06G064700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSEPATTVEVNAPPRRPRILLAASGSVAAVKFGVLCHCFTGWAEVKAVATSTSLHFINKNSLPRDVDLYTDEDEWYSWNKMGDSILHIELPRWADVMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMHTFMWTNFFTERHLMSLDEQGISLIAPVTRRLASGDYGTGAMAEPSLISTTILINLQSRNKSNFWLV >Manes.15G101000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7915289:7923185:1 gene:Manes.15G101000.v8.1 transcript:Manes.15G101000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRSRQVVDERYTRPQGLYVHKDVDLKKLKKLILESKLAPCYPGDDEFCNDHEECPICFLYYPSLNRSRCCMKGICTECFLQMKNPNTTRPTQCPFCKTTNYAVEYRGVKSKEEKGMEQIEEQRVIEAKIRMRQQELQDEEERMQKRLELSSSSTNAAPREIEYGSAAVQSFRSPVESEEIVPSKCSISHHPQYRPNRDDEFDVDLEGLMVMEAIWLSIQEKGRQKGPAHIDATSSENYSVEGHYASQSMAPVTGSSSSPSGGLACAIAALAERQQMGGQSFLHNNRNFSTCNMLPGSSSSCSLYSRPDQIAENYSSAPSSSNMSPDCRMDTSRDDGEWGADRGSDAAEAGTSYASSDTAEDAGGISVLLPPPPPPADENGVLFQNNSGPIVPESFEEQMMLAMAVSLAEAQAVTSGLGNAWQ >Manes.15G101000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7915289:7923521:1 gene:Manes.15G101000.v8.1 transcript:Manes.15G101000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRSRQVVDERYTRPQGLYVHKDVDLKKLKKLILESKLAPCYPGDDEFCNDHEECPICFLYYPSLNRSRCCMKGICTECFLQMKNPNTTRPTQCPFCKTTNYAVEYRGVKSKEEKGMEQIEEQRVIEAKIRMRQQELQDEEERMQKRLELSSSSTNAAPREIEYGSAAVQSFRSPVESEEIVPSKCSISHHPQYRPNRDDEFDVDLEGLMVMEAIWLSIQEKGRQKGPAHIDATSSENYSVEGHYASQSMAPVTGSSSSPSGGLACAIAALAERQQMGGQSFLHNNRNFSTCNMLPGSSSSCSLYSRPDQIAENYSSAPSSSNMSPDCRMDTSRDDGEWGADRGSDAAEAGTSYASSDTAEDAGGISVLLPPPPPPADENGVLFQNNSGPIVPESFEEQMMLAMAVSLAEAQAVTSGLGNAWQ >Manes.04G140600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33956981:33961154:-1 gene:Manes.04G140600.v8.1 transcript:Manes.04G140600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNKDTFNMSDVDASLPAAAAALSAEDRADLVNALKNKLQSLAGQHSNVLETLSPIVRKRVEFLREIQSQHDELESKFREERRALEAKYQKLYEPIYAKRYEIVNGVKEVEEFADEVAINQEGDEATEEKGVPDFWLTAMKTNEVVGEEITERDEGALKFLKDIKWYRIDDPEGFKLEFYFDTNPYFKNSVLTKTYHMIDDDDPILEKTIGTEIEWYPGKCLTKKVLKKKPKKGLKNAKPITKIENCASFFNFFSSPNIPEEDDDLDDDAVEEIQDRMEQDYNIGTTIRDKIIPHAVSWFTGEAVDDDDLDVEEDDDDDDGDVDEDEDGNEDDDDEEEEEEDNDEGKRSRKKSSGGPKQKSRGARTRESQQSERAPECKQQ >Manes.04G140600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33956981:33961154:-1 gene:Manes.04G140600.v8.1 transcript:Manes.04G140600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNKDTFNMSDVDASLPAAAAALSAEDRADLVNALKNKLQSLAGQHSNVLETLSPIVRKRVEFLREIQSQHDELESKFREERRALEAKYQKLYEPIYAKRYEIVNGVKEVEEFADEVAINQEGDEATEEKGVPDFWLTAMKTNEVVGEEITERDEGALKFLKDIKWYRIDDPEGFKLEFYFDTNPYFKNSVLTKTYHMIDDDDPILEKTIGTEIEWYPGKCLTKKVLKKKPKKGLKNAKPITKIENCASFFNFFSSPNIPEEDDDLDDDAVEEIQDRMEQDYNIGTTIRDKIIPHAVSWFTGEAVDDDDLDVEEDDDDDDGDVDEDEDGNEDDDDEEEEEEDNDEGKRSRKKSSGGPKKSRGARTRESQQSERAPECKQQ >Manes.02G208620.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24018718:24019383:-1 gene:Manes.02G208620.v8.1 transcript:Manes.02G208620.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLAEMNRIWEQKFKMLLEKNNNIALPMEDSQDDEIGG >Manes.16G130200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33117320:33123187:-1 gene:Manes.16G130200.v8.1 transcript:Manes.16G130200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKWRESSSLVPEPVLDSAKTTLADLEQVEIHLLQFLSQYDPEDLAEMPPLQRAHSLFLLAKATTILFALRLRCSGIDPDEHPVKTELERLNLYQEKLEQSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPVPPCRTEEKYERYQ >Manes.16G130200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33117320:33123187:-1 gene:Manes.16G130200.v8.1 transcript:Manes.16G130200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKWRESSSLVPEPVLDSAKTTLADLEQVEIHLLQFLSQYDPEDLAEMPPLQRAHSLFLLAKATTILFALRLRCSGIDPDEHPVKTELERLNLYQEKLEQSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPEQKKSMRDISKGEGTRIKYLERKIQKKRKYQSCEKHSVQTATSEFLEKAARELLGDNTSGLKGPIQIDALDDDDLHVG >Manes.16G130200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33117320:33123187:-1 gene:Manes.16G130200.v8.1 transcript:Manes.16G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKWRESSSLVPEPVLDSAKTTLADLEQVEIHLLQFLSQYDPEDLAEMPPLQRAHSLFLLAKATTILFALRLRCSGIDPDEHPVKTELERLNLYQEKLEQSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPEQKKSMRDISKGEGTRIKYLERKIQKKRKYQSCEKHSVQTATSEFLEKAARELLGDNTSGLKGPIQIDALDDDDLHVG >Manes.16G130200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33116251:33122092:-1 gene:Manes.16G130200.v8.1 transcript:Manes.16G130200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRESSSLVPEPVLDSAKTTLADLEQVEIHLLQFLSQYDPEDLAEMPPLQRAHSLFLLAKATTILFALRLRCSGIDPDEHPVKTELERLNLYQEKLEQSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPEQKKSMRDISKGEGTRIKYLERKIQKKRKYQSCEKHSVQTATSEFLEKAARELLGDNTSGLKGPIQIDALDDDDLHVG >Manes.16G130200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33116251:33122119:-1 gene:Manes.16G130200.v8.1 transcript:Manes.16G130200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRESSSLVPEPVLDSAKTTLADLEQVEIHLLQFLSQYDPEDLAEMPPLQRAHSLFLLAKATTILFALRLRCSGIDPDEHPVKTELERLNLYQEKLEQSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPEQKKSMRDISKGEGTRIKYLERKIQKKRKYQSCEKHSVQTATSEFLEKAARELLGDNTSGLKGPIQIDALDDDDLHVG >Manes.16G130200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33117320:33121982:-1 gene:Manes.16G130200.v8.1 transcript:Manes.16G130200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRESSSLVPEPVLDSAKTTLADLEQVEIHLLQFLSQYDPEDLAEMPPLQRAHSLFLLAKATTILFALRLRCSGIDPDEHPVKTELERLNLYQEKLEQSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTPVPPCRTEEKYERYQ >Manes.04G055632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11400333:11404141:-1 gene:Manes.04G055632.v8.1 transcript:Manes.04G055632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTGKDAQALFSSLRAAYAATPTSLKIIDLYVGFAVFTALIQVVYMAIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Manes.05G175700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29225296:29227540:-1 gene:Manes.05G175700.v8.1 transcript:Manes.05G175700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKVHQLSRGFWEHEPSLTLGCKRLRPLAPKLANTDSITSFDLKSFIRPESGPRKLGSSDEKKDSPQVETHAGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGRYGKIEGKNVFYWFQNHKARERQKQKRNSLGRSHSPRTPGPITPISLDTREEVERDEDSPYKRKCRSLAFECLELEESRSCREEGDRTLELFPLHPEGR >Manes.11G046301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4950995:4956230:1 gene:Manes.11G046301.v8.1 transcript:Manes.11G046301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQYKKACLGLNFIAISQRKQFPHLLSPLFFSISAIMSQADELILLEWYPSPFAARVRIALAEKGLNYESRPEDLTNKSPLLLQMNPVNKQIPVLIHNGRPICESTVIVKYIDEVWNHKSPLFPSDPHQRAHAKFWDDYVDKKIFGGGMQWAASKGEVKEAAKKDLIGCFKTLEEELGDKPFFGGESFGYVDLVLIPCYSLFYTYESLGNLSMAEECPKIVDWAQRCLQKESVSKSLCDQQKSYAIISEISRSGSAWTSVQKFLDKLDLLQNS >Manes.03G065000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7782514:7790190:-1 gene:Manes.03G065000.v8.1 transcript:Manes.03G065000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLVSQQLPIQGIQMGQLEPISNKLDSSMQLGVMGSGISAPALQQMSMPNMQMGMMGLVSADAQSQHISVSSNQMQPLLTMPSDNVMQLGEMDPQAYNLASEQFLLPGKQLGEMGTLLNNVGSQHPSMLNKRKAPIDPTFNNIGLQKMSMPNKRFAQMEHRPWLQQISMPNKLAVQMQLPSNPNTSGVLRSQPPPKRSTYVKAGLQQSSILKNSSGQPSPKVQSESSESVRSKLRESLASALSLVSQKQDKNEAPAAGTKQENSQPSEQTSGTADVSEECKRSLPTKMLSQETSNYTGDSVQNSKSDGLDCQSTTGLRDEDASFSDSFFVKDELLQGNGLSWVLEPVKVDEKNVGTGQKQWHPEVFCRDSGGEVALSPQILASKIEAELYKLFGGVNKKYKEKGRSLLFNLKDRNNPELSERVMSGEIPPERLCSMTAEELASEELSQWRIAKAEELAQMVVLPDSDVDMRRLVKKTHKGEFQVEVEPQDSVSVEVSVGASSLSRMRPKPKQKEASSPSKPEHMKDKGNAASEKVSSETQNVLMIPSSEGSDLMQGLMVDDELKDAEFLPPIVSLDEFMESLNSEPPFENLPVDTGKTTRVSDKDDSQGGSESKSPDATPRDPCDTTSSKPDAVDVKSAKLDADGKSTDNLVKSKVAPPLAIPKGERVWEGLLQLNISSTASVIGIFRSGEKTSAKDWSGFIEIKGRVKLDAFQKFLQELPMSRSRAIMALHFVCKESSAESEHAVLSEVADSYVTDGRVGFAEPAPGVELYFCPPQSKTCEMLAEVLPKDQVDALNAINNGLIGVIVWRKPQITSTISPNSASHHKHNPKKQHSFSRRHPEKDANVNANVTAKPQNLPHAGAKPQSDEDDDDDVPPGFGPPATREEDDLPEFNFSRGSVPSGPQFSTHNLSRGQRMLPFQPHPQTPSRPVDQMRQLVQRYGQPITSGPSGNWQGNRGIGVAVQPWNDDDDDMPEWHPEENKTQIPQSLPVQVHGMQQSILRAHMAQSTAPYQQILQQTMPLQPPMNVMHGRQNSVPSWSTTPVSHNLANAAYQTNYGAPSGLESGQHGMSWRRDAPRSRGF >Manes.03G065000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7782870:7790183:-1 gene:Manes.03G065000.v8.1 transcript:Manes.03G065000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLVSQQLPIQGIQMGQLEPISNKLDSSMQLGVMGSGISAPALQQMSMPNMQMGMMGLVSADAQSQHISVSSNQMQPLLTMPSDNVMQLGEMDPQAYNLASEQFLLPGKQLGEMGTLLNNVGSQHPSMLNKRKAPIDPTFNNIGLQKMSMPNKRFAQMEHRPWLQQISMPNKLAVQMQLPSNPNTSGVLRSQPPPKRSTYVKAGLQQSSILKNSSGQPSPKVQSESSESVRSKLRESLASALSLVSQKQDKNEAPAAGTKQENSQPSEQTSGTADVSEECKRSLPTKMLSQETSNYTGDSVQNSKSDGLDCQSTTGLRDEDASFSDSFFVKDELLQGNGLSWVLEPVKVDEKNVGTGQKQWHPEVFCRDSGGEVALSPQILASKIEAELYKLFGGVNKKYKEKGRSLLFNLKDRNNPELSERVMSGEIPPERLCSMTAEELASEELSQWRIAKAEELAQMVVLPDSDVDMRRLVKKTHKGEFQVEVEPQDSVSVEVSVGASSLSRMRPKPKQKEASSPSKPEHMKDKGNAASEKVSSETQNVLMIPSSEGSDLMQGLMVDDELKDAEFLPPIVSLDEFMESLNSEPPFENLPVDTGKTTRVSDKDDSQGGSESKSPDATPRDPCDTTSSKPDAVDVKSAKLDADGKSTDNLVKSKVAPPLAIPKGERVWEGLLQLNISSTASVIGIFRSGEKTSAKDWSGFIEIKGRVKLDAFQKFLQELPMSRSRAIMALHFVCKESSAESEHAVLSEVADSYVTDGRVGFAEPAPGVELYFCPPQSKTCEMLAEVLPKDQVDALNAINNGLIGVIVWRKPQITSTISPNSASHHKHNPKKQHSFSRRHPEKDANVNANVTAKPQNLPHAGAKPQSDEDDDDDVPPGFGPPATREEDDLPEFNFSRGSVPSGPQFSTHNLSRGQRMLPFQPHPQTPSRPVDQMRQLVQRYGQPITSGPSGNWQGNRGIGVAVQPWNDDDDDMPEWHPEENKTQIPQSLPVQVHGMQQSILRAHMAQSTAPYQQILQQTMPLQPPMNVMHGRQNSVPSWSTTPVSHNLANAAYQTNYGAPSGLESGQHGMSWRRDAPRSRGF >Manes.03G090428.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20242106:20245439:-1 gene:Manes.03G090428.v8.1 transcript:Manes.03G090428.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLNREPTHSRNANDRQLRAVKREQMSRNRVGSGRDDSTQQHELDRRALRSKYLALHNKINDERDVLTRVDSEKFNSMIKEVEDLHQHVQKPREQVADAEALLGLTSTLVSSVKSQSNEGITAADFVSCVLSQFGQSNRTLDEENLSSLIKWKEIGLAVSPIFRKCNGFNTMVGPMNTELKQRRIPVRNNRKRARPTERSQPEEVDNTGAEEKKDTDNNMSTMFEILRRKKRVPLENLILNRRSFAQTVENLFALSFLVKDGRVQITMDESGAHFVLPRNAPAANSVMSGEVTFRHFVFRFDFKDWQVRIGNNFSCGMPFYPIGLVI >Manes.03G090428.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20242098:20245495:-1 gene:Manes.03G090428.v8.1 transcript:Manes.03G090428.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLNREPTHSRNANDRQLRAVKREQMSRNRVGSGRDDSTQQHELDRRALRSKYLALHNKINDERDVLTRVDSEKFNSMIKEVEDLHQHVQKPREQVADAEALLGLTSTLVSSVKSQSNEGITAADFVSCVLSQFGQSNRTLDEENLSSLIKWKEIGLAVSPIFRKCNGFNTMVGPMNTELKQRRIPVRNNRKRARPTERSQPEEVDNTGAEEKKDTDNNMSTMFEILRRKKRVPLENLILNRRSFAQTVENLFALSFLVKDGRVQITMDESGAHFVLPRNAPAANSVMSGEVTFRHFVFRFDFKDWQLMMNVVSNGDELMPDRKSLATSESEPATNNIQVQGTLNRTPIRKFSRNRGLIVQEDSVVEESPEIEATKAIGSFRCKRKLT >Manes.03G090428.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20242106:20245439:-1 gene:Manes.03G090428.v8.1 transcript:Manes.03G090428.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLNREPTHSRNANDRQLRAVKREQMSRNRVGSGRDDSTQQHELDRRALRSKYLALHNKINDERDVLTRVDSEKFNSMIKEVEDLHQHVQKPREQVADAEALLGLTSTLVSSVKSQSNEGITAADFVSCVLSQFGQSNRTLDEENLSSLIKWKEIGLAVSPIFRKCNGFNTMVGPMNTELKQRRIPVRNNRKRARPTERSQPEEVDNTGAEEKKDTDNNMSTMFEILRRKKRVPLENLILNRRSFAQTVENLFALSFLVKDGRVQITMDESGAHFVLPRNAPAANSVMSGEVTFRHFVFRFDFKDWQIGKVWPLLNRSLQLTISKSKERLTGHRSGNSLGTVVLLCKKIQL >Manes.03G090428.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20242106:20245439:-1 gene:Manes.03G090428.v8.1 transcript:Manes.03G090428.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLNREPTHSRNANDRQLRAVKREQMSRNRVGSGRDDSTQQHELDRRALRSKYLALHNKINDERDVLTRVDSEKFNSMIKEVEDLHQHVQKPREQVADAEALLGLTSTLVSSVKSQSNEGITAADFVSCVLSQFGQSNRTLDEENLSSLIKWKEIGLAVSPIFRKCNGFNTMVGPMNTELKQRRIPVRNNRKRARPTERSQPEEVDNTGAEEKKDTDNNMSTMFEILRRKKRVPLENLILNRRSFAQTVENLFALSFLVKDGRVQITMDESGAHFVLPRNAPAANSVMSGEVTFRHFVFRFDFKDWQLMMNVVSNGDELMPDRKSLATSESEPATNNIQVQGTLNRTPIRKFSRNRGLIVQEDSVVEESPEIEATKAIGSFRCKRKLT >Manes.04G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2252857:2256775:1 gene:Manes.04G018900.v8.1 transcript:Manes.04G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYEQYCYFWKDPDMGFLLGGSRFIRVHDNFQVADLSTIEENKDEEIHNGKTGKSLRAKVLHRVFSEDFERAKNKILDPRGKIIRKWSRIFFAACLVSLFVDPLFFFLPIVRGDACIDITTPFKLILTIIRSMADIFYWIHILVRFHTAYVAPSSRVLGRGEIVIEPSKIAERYLHKGFWLDLVAALPLPQMLIWVIIPHLEGWAVINTKNILWFSILFQYLARVYLVFPLSSQIEATGIMMQTAWAGAAYNLLLFMLAGHVSGACWYLLSIERQEECWNRACNLEKPYCPNRYFDCRNTQDPTRVDWFQSSNVTNQCQPNSELNYQFGIYGDAVSLRIAGSAFLNKYFYCLWWGLKNLSTLGQNLVTTIHVGENIFVTIVAILGLVLFALLIGNMQRFLQSVTKRLEEWRIKRTDTEKWMHYRQLSPQVKQSIRKYEQYKWLATKGVDEQSLIKSLPIDLQRKVKRHLCFDLVRRVPLFDQMDETTLDAICERLKLELCAKGMFLLHEGDPVNQMLFIIRGELDSYTTNDGSTGFFNSSRIGPGDFCCEELLTWALDLHSTIILPSSTCTVKAISEVEAFALTAEDLKFVAAQFKRLHSKQVKHKLRFYSHQWRTWAACFIQAAWRRHKRLKEMTEDQSESLWALCADNQAAGVMRSFKYKPQSGSDAFTLSSVQKPTDPDFSVDSK >Manes.06G083500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21886471:21888265:-1 gene:Manes.06G083500.v8.1 transcript:Manes.06G083500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTTAATSSLFQTLKRFIKKPWEITGPCADPEYRSAVPGALEYRVHCPATPKIKAIVPTSNPETVYDIKYYTRDQRRNRPPIKRTILKKADVEKMMKEKTFDVTDFPPVYLTAKVEEDYNARGGGYQK >Manes.06G083500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21887769:21888161:-1 gene:Manes.06G083500.v8.1 transcript:Manes.06G083500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTTAATSSLFQTLKRFIKKPWEITGPCADPEYRSAVPGALEYRVHCPATPKIKAIVPTSNPETVYDIKYYTRDQRRNRPPIKRTILKKADVEKMMKEKTFDVTDFPPVYLTAKVEEDYNARGGGYQK >Manes.01G007500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2686591:2703678:1 gene:Manes.01G007500.v8.1 transcript:Manes.01G007500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDTGEYSDENHLLRSTSNRHENEDGSSGKDLEDKGITYTTISPKKEGSGGIKDLLKRLDRSLSGRLSGFKLIDRDIRKDISQHPYNHNRNHEDFGGRHDDADVLGDGAPPEWAMLLIGCLLGLASGLCVAAFTRAVHLIHEWSYAGTPTEGAAWLRIQRLADTWHRILLIPIFGGVLVGMAHGLAEVLEQIRQSSPPQTQGLDLVAGVFPTVKAFQAAVTLGTGCSLGPEGPSVDIGKSCANGLVLMMENNKEREIALVAAGAASGIASGFNAAVAGCFFAIETVLRPRRAENSPPFTTAMIILTSVISSTVSNVILGTQSAFTVPPYDLKSAAELPLYLILGMLCGVVSVAFTRLVSWFTKSFEYVKERFAVPAVVCPALGGFGAGIIALKYPGTLYWGFTNVEEILHTGKSASAPGIWLLTQLAVAKVVATSLCKGSGLVGGLYAPSLMIGAAIGAVFGGSAAEVINSALPENAAVGHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRIILPLMGAVGLAIWVPTVANQAKESETSDTRVLTRGYSSRSNSEDINEVWRRIEGGDDLELSIMGVTSDYDATIEDLVLEDLKVSRAMSKNYVKVSLGTTLKEAVECMHNSKQNCVLVVNDEDLLEGILTYGDIKRLSVKSDEAFIVDSTVTDVNMCLVSSVCTRGISYRGQSRGLLTCYPDTNLSIANELMEAKGIEQLPVVKQCRGSLKERKRKIVAILHYDSLRSCLSFYARLRITVWSLQRGDKP >Manes.01G007500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2686591:2703678:1 gene:Manes.01G007500.v8.1 transcript:Manes.01G007500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDTGEYSDENHLLRSTSNRHENEDGSSGKDLEDKGITYTTISPKKEGSGGIKDLLKRLDRSLSGRLSGFKLIDRDIRKDISQHPYNHNRNHEDFGGRHDDADVLGDGAPPEWAMLLIGCLLGLASGLCVAAFTRAVHLIHEWSYAGTPTEGAAWLRIQRLADTWHRILLIPIFGGVLVGMAHGLAEVLEQIRQSSPPQTQGLDLVAGVFPTVKAFQAAVTLGTGCSLGPEGPSVDIGKSCANGLVLMMENNKEREIALVAAGAASGIASELPLYLILGMLCGVVSVAFTRLVSWFTKSFEYVKERFAVPAVVCPALGGFGAGIIALKYPGTLYWGFTNVEEILHTGKSASAPGIWLLTQLAVAKVVATSLCKGSGLVGGLYAPSLMIGAAIGAVFGGSAAEVINSALPENAAVGHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRIILPLMGAVGLAIWVPTVANQAKESETSDTRVLTRGYSSRSNSEDINEVWRRIEGGDDLELSIMGVTSDYDATIEDLVLEDLKVSRAMSKNYVKVSLGTTLKEAVECMHNSKQNCVLVVNDEDLLEGILTYGDIKRLSVKSDEAFIVDSTVTDVNMCLVSSVCTRGISYRGQSRGLLTCYPDTNLSIANELMEAKGIEQLPVVKQCRGSLKERKRKIVAILHYDSLRSCLREEINLRKALYQHKKESRYNRLIDSGH >Manes.01G007500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2686591:2703678:1 gene:Manes.01G007500.v8.1 transcript:Manes.01G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDTGEYSDENHLLRSTSNRHENEDGSSGKDLEDKGITYTTISPKKEGSGGIKDLLKRLDRSLSGRLSGFKLIDRDIRKDISQHPYNHNRNHEDFGGRHDDADVLGDGAPPEWAMLLIGCLLGLASGLCVAAFTRAVHLIHEWSYAGTPTEGAAWLRIQRLADTWHRILLIPIFGGVLVGMAHGLAEVLEQIRQSSPPQTQGLDLVAGVFPTVKAFQAAVTLGTGCSLGPEGPSVDIGKSCANGLVLMMENNKEREIALVAAGAASGIASGFNAAVAGCFFAIETVLRPRRAENSPPFTTAMIILTSVISSTVSNVILGTQSAFTVPPYDLKSAAELPLYLILGMLCGVVSVAFTRLVSWFTKSFEYVKERFAVPAVVCPALGGFGAGIIALKYPGTLYWGFTNVEEILHTGKSASAPGIWLLTQLAVAKVVATSLCKGSGLVGGLYAPSLMIGAAIGAVFGGSAAEVINSALPENAAVGHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRIILPLMGAVGLAIWVPTVANQAKESETSDTRVLTRGYSSRSNSEDINEVWRRIEGGDDLELSIMGVTSDYDATIEDLVLEDLKVSRAMSKNYVKVSLGTTLKEAVECMHNSKQNCVLVVNDEDLLEGILTYGDIKRLSVKSDEAFIVDSTVTDVNMCLVSSVCTRGISYRGQSRGLLTCYPDTNLSIANELMEAKGIEQLPVVKQCRGSLKERKRKIVAILHYDSLRSCLREEINLRKALYQHKKESRYNRLIDSGH >Manes.07G058049.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6863968:6864493:-1 gene:Manes.07G058049.v8.1 transcript:Manes.07G058049.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIGQRPGYDKPNITSLLDVFKLVRNLDLMNLRSKIQHYPKLSTHFNFSNLS >Manes.06G027100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6216637:6224670:1 gene:Manes.06G027100.v8.1 transcript:Manes.06G027100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTMEKDQPITVTDTVYKLQHHLLEGIKNEDQLFAAGSLMSHSDYEDVVIERSIANLCGYPLCNNSMPLDRPHKGRYRISLKEHKVYDLHETYMYCSSSCVVNSRAFAGSLQEERCSVLNPMKLNDILRMFDNLSLDYECLGENGDLGLSNLKIQEKMVGSVGEVSVEEWIGPSNAIEGYIPQRDRVSINSPSKDNSKEGPKAKCTKPVNKQDCFFNDMDFMSTIITEDEYNISKAPSGSSSTASVINVQEQGGKEIREGLKQSSSPGKHGSNKTSRKSQGGKSKKVAKGDLSSQDLPTSNYSQVSSSASDAEVEEKSKIKKAANLSEAMLKPSLKAFGAKKSNRSVTWADEKIDAGSRNLCEVREMEDKKAVLDTPDSMDGGHDGNMLLFESAEACAVALSQAAEAVASGDADPSDAMSEAGVIILPHPHDTDNVEMLERESSSVKWPTKEIPGADLFDSEDSWYDAPPEGFSLTLSPFATMWMALFAWVTSSSLAYIYGRDDESSYEDYLSVNGREYPRKVVLRDGRSSEIKHTIEGCLARSLPGLVADLRLPIPMSRLEQGVGHLLETMSFFDAVPAFRMKQWQVIAFLFVEALSVCRIPVLTSYMTNRRMMLHQILDGSQISAEEYEVMKDLMIPLGRDPRARSGA >Manes.06G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6215690:6224715:1 gene:Manes.06G027100.v8.1 transcript:Manes.06G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTMEKDQPITVTDTVYKLQHHLLEGIKNEDQLFAAGSLMSHSDYEDVVIERSIANLCGYPLCNNSMPLDRPHKGRYRISLKEHKVYDLHETYMYCSSSCVVNSRAFAGSLQEERCSVLNPMKLNDILRMFDNLSLDYECLGENGDLGLSNLKIQEKMVGSVGEVSVEEWIGPSNAIEGYIPQRDRVSINSPSKDNSKEGPKAKCTKPVNKQDCFFNDMDFMSTIITEDEYNISKAPSGSSSTASVINVQEQGGKEIREGLKQSSSPGKHGSNKTSRKSQGGKSKKVAKGDLSSQDLPTSNYSQVSSSASDAEVEEKSKIKKAANLSEAMLKPSLKAFGAKKSNRSVTWADEKIDAGSRNLCEVREMEDKKAVLDTPDSMDGGHDGNMLLFESAEACAVALSQAAEAVASGDADPSDAMSEAGVIILPHPHDTDNVEMLERESSSVKWPTKEIPGADLFDSEDSWYDAPPEGFSLTLSPFATMWMALFAWVTSSSLAYIYGRDDESSYEDYLSVNGREYPRKVVLRDGRSSEIKHTIEGCLARSLPGLVADLRLPIPMSRLEQGVGHLLETMSFFDAVPAFRMKQWQVIAFLFVEALSVCRIPVLTSYMTNRRMMLHQILDGSQISAEEYEVMKDLMIPLGRDPRARSGA >Manes.17G106200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31297846:31308669:-1 gene:Manes.17G106200.v8.1 transcript:Manes.17G106200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDISIIAKAAVEEIVAAPASAICKKLSFDLIRSTRLTADLWDSVCTGVRSDLHFPDPDVTAAAVSILAAMPSYSLSKLIMDSNAEISGCFDSSSDNLRFSITETLGCILARDDMVTLCENNVNLLDKVSNWWARIGQNMLDKSDAVAKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKRRNALMSRSLILPVENFRATVFPLVYSVKAVASGKVEVIRKVSKAAASGANDSVVDSNAEKLVGVSDVVTHLAPFLVSSLDPALIFEVGINMLYLADVPGGKPEWASLSTIAILTLWDRQEFSSARESIVRAVVTNLHLLDLHMQGSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTDIASLFEDARIRDDLNSVTSKSLFREELVASLVESCFQLSLPLPEQTNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKDGASQDQILNETRLQNLQRELVKDLRQVSSPRVFARLIWAIAEHINLDGLDPLLADDPEDTLNIIISNIHKVLFNIDSSANTSNRLQDVQAVLLSAQRLGSRNLRAGQLLTKELEEFRNSGLADSVNKHQCRMILQRIKYVQNHPDNRWAGVSEASGDYPFSHHKFTVQFYEAAASQDRKLEGLVHKAILELWRPDPSELTILLTKGIDSKLLKVMPAACTLTGSSDPCYVEAYHLADSGDGRITLHLKVLNLTELELNRVDIRVGLSGALYFMDGSPQAVRQLRNLVSQDPVLCSVTVGVSHFERCALWVQVLYYPFYGSGAIGDYDGDYTEEDPQIMRQKRSSRPELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAVVEYTGMYIYEGSGFKATAAQQYGSSPFLSGLKSLSSKPFHKVCSHIIRTVAGFQLCYAAKTWFGGFVGMMVFGASEVSRNVDLGDETTTMLCKFVVRASDASITKEIESDLQGWLDDLTDGGVEYMPEEEVKEAAAERLRISMERIALLKAAEPPPKTPKSDDEEEVEDTEEKDKEKNDGEKDGKPKGTLSKLTAEEVEHMALQAAVLQEWHMLCKERSTQVN >Manes.07G082100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25575479:25580510:1 gene:Manes.07G082100.v8.1 transcript:Manes.07G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAREEEGATTPAPAAASASESSPLSTQSTIYSYPRTKKRKSRGSKAKLTQIHEESPEPNSGTELGLCDKFSKSTSYKQKRAVVNDFYDGSESKSSAMERAEEILGSLEASLPSFAKALVRSNVTVGFWMHLPMRFCKLHLPKHDTSVFLETENGEEYVINYISERTALSGGWKAFCAAHELHEGDVLVFHLVKPLKFKVYIVRATVSAKANGKLDIQKVDTPEKQITTENQAEEDIQEHKKRKHLELPPADLQNNNQEKSLMVLNEEPIATKTENDTEDHSLKTSEVVTSSGSAVDFKDVGSIESFSILINGLALESELSYQQRTKYYELCCSQGSFLHDNLLKSINYKLAAEIIIETVNISEAIKASNLTSSLADFAVWDKTLKGFELLGMSVGFLRSRLSRLMNLAAESEQAVESECREYRLEQARIDDEMRSLESKLMELKEERERLDAEIEALKVNAERHERMFQEAVNAPW >Manes.07G082100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25575479:25580510:1 gene:Manes.07G082100.v8.1 transcript:Manes.07G082100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEEILGSLEASLPSFAKALVRSNVTVGFWMHLPMRFCKLHLPKHDTSVFLETENGEEYVINYISERTALSGGWKAFCAAHELHEGDVLVFHLVKPLKFKVYIVRATVSAKANGKLDIQKVDTPEKQITTENQAEEDIQEHKKRKHLELPPADLQNNNQEKSLMVLNEEPIATKTENDTEDHSLKTSEVVTSSGSAVDFKDVGSIESFSILINGLALESELSYQQRTKYYELCCSQGSFLHDNLLKSINYKLAAEIIIETVNISEAIKASNLTSSLADFAVWDKTLKGFELLGMSVGFLRSRLSRLMNLAAESEQAVESECREYRLEQARIDDEMRSLESKLMELKEERERLDAEIEALKVNAERHERMFQEAVNAPW >Manes.03G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2915809:2918959:1 gene:Manes.03G034500.v8.1 transcript:Manes.03G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCAVQAYFIVILSFITRLMSTARKSKFLPSKLLTLDFFQRIRTDPLAIESASRDYGNIVHESPAAVLYPSSVKDIVGLIKFAYNYSAPLTVSARGRSHSVFGQAMAPNGVVIDMMSLRSHREKTGVLVGKIPSLGFYADVGGEQLWIDVLKATLEHGLAPVSWTDYLYLTVGGTLSNAGISGQSFRYGPQISNVYEMDVVTGKGELVTCSAHKNPELFFAVLGGLGQFGIITRARIAIEPAPKRVKWVRMLYSDFSAFTKDQERLISINGRKQSNALDYVEGSLLMNQGPPNNWRSSFFPSSDIPRIMSLVTQHGIIYCLEVAKYYDDTTQHSVAKEFQQMYEGLSFIAGFKLEKDVSYVEFLDRVRSGELKLQSQGLWDVPHPWLNIFLPKSRISDFNSGVFRDIVLKRNITTGPVLIYPMNRNKWDDRTSAVIPDEEVFYTVGFLHSSGFDDWQRYDDQNKDLLKFCEKAGIKVTMYFPNYKTKEEWINHFGSKWATFRENKYLFDPRMMLSPGQRIFN >Manes.13G060300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6992537:7003944:-1 gene:Manes.13G060300.v8.1 transcript:Manes.13G060300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVRVSIPSNVRKMIQNIKEITGNHSEEEIYAMLKDCSMDPNETAQKLLFQDPFHEVKKKRDRRKENVNNRESGEARWKPGTQGRGSRGGRPNFSARYTSHDAGGGRNTGPARDNGTNQAAEKGDGNSLPAPQEKSKETSLSTSSAAVVANGAIGEPSGSNSEMHASDIPSGSGRSQHEVTSTATAGSKFGSSVSPINADKNLIIASGTGDAHSEPIPSSSNSLVPATPPSSSAVCFSSSDPVLVPSNDSRLSGTVGTIKREVGNHRAVVESNTVIPAEKSASEIATPSLQGKIPSKSQVGKGQLSESLQSSSASNHGGSSGSRPSSNYSSRSQQVVGLQKVGSTKEWKPKPAISNVPLGSGTGSSDAPNIPVEPSVQSQALSNVLSSEEATSKLQKKLEELHLPQRQHVIIPNHIHVPESERTKFSFGSFDASFGLMASYVSGPESDKSSTPLSETSQGIEEAVEEQAVSNQDALLTAEEEADHPESPSHAPENLPGDNDVSSSAVPECNESKPETALLSGVQPYSVVHTSPSYNFGFAPPMLSSQTAPFENCESQERDVSRLPSFVVQQPFDPTSYYAQFYRAGADSDGRVSPFPSAAVAGKYNGNVAVLPPHSSQSPQEGGNSLVLSTAGPTPQVTQAGLMQSSIAVTQQPLPVFRPPTGLHIPHYPPNLIPYGHYFSPFYVPSPGLHQFLSNGAFPQQPQAGSLYPAPPAAAAMGIKYSLPQYKPGSNTGNSTHIGMASGYGSYGSSQTGYSPSSAATGGNSTTNEDLGAPQFKESNVYITGQQSEGSAVWIAAPGRDISSLPASSFYSLPPQGQHVTFTPAQAGHGTFASIYQPAQAVTAAAVHPLLQQSQAMAGAVDMVGPAASVYQQPQHQQINWPSNY >Manes.01G080300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28309644:28318514:-1 gene:Manes.01G080300.v8.1 transcript:Manes.01G080300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIATQNFQRVCEAYEVLSDESKRQIYDVYGMEGLTSGLELGPKLNKPEELKEELERLRRRKEHQKMVAHFRPSGTILAHLSLPQFLDGDGIMRGMAMSSEVHFQLSKRNTIAIGGNLEVQESSGGAAASAVLKHQLSSVSSIEFMASAGLRALVGVQTTRHLSLHSTATITIAKSLRDGSINLSNTWTRQLSETANGNVQLFLGPESSITVGWQKKDEKMSAAGELKIGTTSFGASANYTHRFSSVSHGRIAGTFGSTALEIEVGGGRKVSNFSTVRMLYTIGIQGIFWKFELHRGGQKFIIPILLSRHLNLTFATGAFLIPTSLYFLLKKFVVKPYYLQREKRKALENKEITSAQVQEARVAAEKAQQLLQVVANRKRNRQVETNGLIITKALYGSRKALKKGGLTEVNDELAFEVIDVTVPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKELYVEYTCGGQIFEVTVDDYAELLIPQESHRV >Manes.01G080300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28309216:28318628:-1 gene:Manes.01G080300.v8.1 transcript:Manes.01G080300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCKCRRRPPMKKLERLIATGPKSTTLTNTKTSIMAMSSEVHFQLSKRNTIAIGGNLEVQESSGGAAASAVLKHQLSSVSSIEFMASAGLRALVGVQTTRHLSLHSTATITIAKSLRDGSINLSNTWTRQLSETANGNVQLFLGPESSITVGWQKKDEKMSAAGELKIGTTSFGASANYTHRFSSVSHGRIAGTFGSTALEIEVGGGRKVSNFSTVRMLYTIGIQGIFWKFELHRGGQKFIIPILLSRHLNLTFATGAFLIPTSLYFLLKKFVVKPYYLQREKRKALENKEITSAQVQEARVAAEKAQQLLQVVANRKRNRQVETNGLIITKALYGSRKALKKGGLTEVNDELAFEVIDVTVPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKELYVEYTCGGQIFEVTVDDYAELLIPQESHRV >Manes.01G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28309216:28318628:-1 gene:Manes.01G080300.v8.1 transcript:Manes.01G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAGQPKRELYAVLQVSPEATDEEIRKAYRHWAQVYHPDKYQDLHMKEIATQNFQRVCEAYEVLSDESKRQIYDVYGMEGLTSGLELGPKLNKPEELKEELERLRRRKEHQKMVAHFRPSGTILAHLSLPQFLDGDGIMRGMAMSSEVHFQLSKRNTIAIGGNLEVQESSGGAAASAVLKHQLSSVSSIEFMASAGLRALVGVQTTRHLSLHSTATITIAKSLRDGSINLSNTWTRQLSETANGNVQLFLGPESSITVGWQKKDEKMSAAGELKIGTTSFGASANYTHRFSSVSHGRIAGTFGSTALEIEVGGGRKVSNFSTVRMLYTIGIQGIFWKFELHRGGQKFIIPILLSRHLNLTFATGAFLIPTSLYFLLKKFVVKPYYLQREKRKALENKEITSAQVQEARVAAEKAQQLLQVVANRKRNRQVETNGLIITKALYGSRKALKKGGLTEVNDELAFEVIDVTVPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKELYVEYTCGGQIFEVTVDDYAELLIPQESHRV >Manes.01G080300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28309644:28318484:-1 gene:Manes.01G080300.v8.1 transcript:Manes.01G080300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAGQPKRELYAVLQVSPEATDEEIRKAYRHWAQVYHPDKYQDLHMKEIATQNFQRVCEAYEVLSDESKRQIYDVYGMEGLTSGLELGPKLNKPEELKEELERLRRRKEHQKMVAHFRPSGTILAHLSLPQFLDGDGIMRGMAMSSEVHFQLSKRNTIAIGGNLEVQESSGGAAASAVLKHQLSSVSSIEFMASAGLRALVGVQTTRHLSLHSTATITIAKSLRDGSINLSNTWTRQLSETANGNVQLFLGPESSITVGWQKKDEKMSAAGELKIGTTSFGASANYTHRFSSVSHGRIAGTFGSTALEIEVGGGRKVSNFSTVRMLYTIGIQGIFWKFELHRGGQKFIIPCYMQILLSRHLNLTFATGAFLIPTSLYFLLKKFVVKPYYLQREKRKALENKEITSAQVQEARVAAEKAQQLLQVVANRKRNRQVETNGLIITKALYGSRKALKKGGLTEVNDELAFEVIDVTVPLNFLVNDSGQLKLHEGVKKSGIMGFCDPCPGEPKELYVEYTCGGQIFEVTVDDYAELLIPQESHRV >Manes.03G043900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3851102:3859288:1 gene:Manes.03G043900.v8.1 transcript:Manes.03G043900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPPNGYMPNSAEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEADFVPSYPNLPSKLICMLHNVTLHADAETDEVYAQMTLQPVSKYDKEALLASDMGLKQSRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELGAKDLHDNAWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGVRHANKQQSALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPFAKYSKAMYTQVSVGMRFRMMFETEDSGVRRYMGTITGISDLDPVRWKKSQWRNLQVGWDESAAGERPSRVGIWEIEPVITPFYICPPPFFRPKFPKQPGMPDDESDIENAFKRAMPWLGDDFGMKETPSSIFPGLSLVQWMSMQQNNQFPAAQAGFFPPMLPSNALHSTLGSDDPSKLLNFQAPGLSVPSLQFNKANPQNQVGQLPQPSMAWTQQQQLQQFLQTNISQQQPAQPQQLQQQPHPQQQQRQEPQQQQLSQQPQPEIQPRQIRQQHPQLEQQQQQQIFQPPVNSGVTANSISNQNLQQPMVYSQLQQQQQQQLLASNTQSQNIPPANKSSYQLSSLPQDATLQQQMEQQSTLLQRQQQQTQLQQSPLQLLQQNLSHRTQLPLPQQQVQQLSQPSLSEQQLHLQLLQKMQQQQQQQQQLLSPTSSPLQPQLLQQQLNHQQNQQFQQSPVSQSQPQGSNSFSTAALGQSQSFPVCHSHVLQKPPTTNRAHSTLTDGDAPSCSTSPSTNNCQISPSNFMNRNQESPAILMGDQVVEPGTNLVQELNNKSDIRVKHEFQSSKGLEQLKYKGTVPDQLEACSSGTSYCLEAGNIQPNFSLLTYGLDGDIQSHPRNSMPFAANIDSLAPDTLLSRGYDTQKDIQNLVTNYGGTPRDIETELSTAAISSQTFGVPNIPFKPGCSNDVAINDSGVLNGGLWANQTQRMRTYTKVQKRGSVGRSIDVTRYRGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGSVPVPNQACSGTDSGNAWRGHYDDNSAASFNR >Manes.12G138900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34555195:34557678:1 gene:Manes.12G138900.v8.1 transcript:Manes.12G138900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRDLSEYSPSHSSTSKHLYCSHRLCESGPNCKSVKQPCPYSVDYYTENTSSSGLLVEDILHLASDGDDALNTSVQAAVVIGCGMKQSGGYLDGVAPDGLMGLGLSEISVPSFLAKAGLIRNSFSMCFDEDDSGRIFFGDQGPTTQQSTPFLTLDGNYTTYIVGVDACCVGSSCLKQTSYQALVDTGSSFTFLPDEVYERVTEEFDRQVNATISSFEGYPWKYCYKSSPNYSPKVPSVKLIFPLNNSFVIHNPVFMIYGIQGVAGFCLAIQPTDEDIGIIGQNFMTGYRMVFDRENLKLGWSHSSCEGQNDGKRMPLTSPNAAPVNPLPTNEQQSTPGGHAVSPAVAGRAPSNPSAASAQLLESHFYLKSLLLVLLLHILI >Manes.12G138900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34546102:34558705:1 gene:Manes.12G138900.v8.1 transcript:Manes.12G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLLILAMASLLVEESLEITFSSKLIHRFSDEVKALRVSENESLRMSNLWPAKKSVEYYQKLVSSDLQRQKMKLGPRYNFLFPSEGSTTTSFGNDFGWLHYTWIDIGTPHTSFLVALDAGSDLLWVPCDCMQCAPLSASYYSSLDRDLSEYSPSHSSTSKHLYCSHRLCESGPNCKSVKQPCPYSVDYYTENTSSSGLLVEDILHLASDGDDALNTSVQAAVVIGCGMKQSGGYLDGVAPDGLMGLGLSEISVPSFLAKAGLIRNSFSMCFDEDDSGRIFFGDQGPTTQQSTPFLTLDGNYTTYIVGVDACCVGSSCLKQTSYQALVDTGSSFTFLPDEVYERVTEEFDRQVNATISSFEGYPWKYCYKSSPNYSPKVPSVKLIFPLNNSFVIHNPVFMIYGIQGVAGFCLAIQPTDEDIGIIGQNFMTGYRMVFDRENLKLGWSHSSCEGQNDGKRMPLTSPNAAPVNPLPTNEQQSTPGGHAVSPAVAGRAPSNPSAASAQLLESHFYLKSLLLVLLLHILI >Manes.12G138900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34546102:34558705:1 gene:Manes.12G138900.v8.1 transcript:Manes.12G138900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVIYYGCLVIACNVPLYLQVTIVVWCSLIFGASIMQDRDLSEYSPSHSSTSKHLYCSHRLCESGPNCKSVKQPCPYSVDYYTENTSSSGLLVEDILHLASDGDDALNTSVQAAVVIGCGMKQSGGYLDGVAPDGLMGLGLSEISVPSFLAKAGLIRNSFSMCFDEDDSGRIFFGDQGPTTQQSTPFLTLDGNYTTYIVGVDACCVGSSCLKQTSYQALVDTGSSFTFLPDEVYERVTEEFDRQVNATISSFEGYPWKYCYKSSPNYSPKVPSVKLIFPLNNSFVIHNPVFMIYGIQGVAGFCLAIQPTDEDIGIIGQNFMTGYRMVFDRENLKLGWSHSSCEGQNDGKRMPLTSPNAAPVNPLPTNEQQSTPGGHAVSPAVAGRAPSNPSAASAQLLESHFYLKSLLLVLLLHILI >Manes.12G138900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34546102:34558705:1 gene:Manes.12G138900.v8.1 transcript:Manes.12G138900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLLILAMASLLVEESLEITFSSKLIHRFSDEVKALRVSENESLRMSNLWPAKKSVEYYQKLVSSDLQRQKMKLGPRYNFLFPSEGSTTTSFGNDFGWLHYTWIDIGTPHTSFLVALDAGSDLLWVPCDCMQCAPLSASYYSSLDRDLSEYSPSHSSTSKHLYCSHRLCESGPNCKSVKQPCPYSVDYYTENTSSSGLLVEDILHLASDGDDALNTSVQAAVVIGCGMKQSGGYLDGVAPDGLMGLGLSEISVPSFLAKAGLIRNSFSMCFDEDDSGRIFFGDQGPTTQQSTPFLTLDGNYTTYIVGVDACCVGSSCLKQTSYQALVDTGSSFTFLPDEVYERVTEEFDRQVNATISSFEGYPWKYCYKSSPNYSPKVPSVKLIFPLNNSFVIHNPVFMIYGIQVKVKMMVRGCLLLLPMRRQLTHYQQTSSRALLEDMQFHLLLLEELHLTRQLPQPNYSNPIFI >Manes.03G096200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16405806:16409047:1 gene:Manes.03G096200.v8.1 transcript:Manes.03G096200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSTYSQKERTKVIRELSGVILSRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDQDDNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDSLVEAAKEQASSISNIIAQATK >Manes.03G096200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16405806:16408732:1 gene:Manes.03G096200.v8.1 transcript:Manes.03G096200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSTYSQKERTKVIRELSGVILSRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDQDDNELEVLEIIHHYVEILDRYFGSAYYILDELLIAGELQESSKKTVARLIAAQDSLVEAAKEQASSISNIIAQATK >Manes.03G096200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16405806:16414879:1 gene:Manes.03G096200.v8.1 transcript:Manes.03G096200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSTYSQKERTKVIRELSGVILSRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDQDDNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDSLVEAAKEQASSISNIIAQATKM >Manes.03G096200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16405804:16414891:1 gene:Manes.03G096200.v8.1 transcript:Manes.03G096200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSTYSQKERTKVIRELSGVILSRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDQDDNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDSLVEAAKEQASSISNIIAQATK >Manes.09G086900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26207703:26210007:-1 gene:Manes.09G086900.v8.1 transcript:Manes.09G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSIRRLLSASASPSPTLRSQIFSSRLYSTLTSPKLFVSGLSRLTTDEKLKEAFSPFGQIVEAKVAVDRVSRRSKGFGFVTYTTIEEAEKAREGMNAKFLDGWVIFVDPAKPREQRPPPAPEPSETGFKINKTVGWCG >Manes.02G009950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1059298:1061252:1 gene:Manes.02G009950.v8.1 transcript:Manes.02G009950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSRRAEKPSTCACEVEEKHPNNIESWYIEKGGLGCCTVNLHKTSVSDRFSRLSFEKGGGFRDLSPYSCKHYLSSFGEVILALTAEFLGAIMEVYHFSFLKRRDPYFFFNFSAIYILFLSGHNLFFIFF >Manes.04G129100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33185845:33188747:-1 gene:Manes.04G129100.v8.1 transcript:Manes.04G129100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSSMQPSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKGSRKRNEKGWNDKGWPECNVIVEEGDYDEDKAFIRRFQALIALFSFIILFTVFCLIIWGASRPFKAEITVKSLLVSNFYVGEGSDFSGVPTKMLTVNGSLRMSIYNPATIFGIHVSSTPINLIYSEIPVATGQLKKYYQPRKSRRTVSVIVEGDKVPLYGAGSSLTVSQTGIVIPLTLTFEIRSRGNVVGKLVKTKHRKLISCPVVLDSTSSKPIKFKKGICTYE >Manes.01G220500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38857491:38857988:-1 gene:Manes.01G220500.v8.1 transcript:Manes.01G220500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAISTLLVVSFLAASSPAAIADDAQTVYEALAGFNFPIGILPKGVTGYELDSSTGQFHAYLNGSCSFALEGSYQLKYKSSISGYISQNKLTKLSGISVKVLFLWLNIVEVVRNQDELDFSVGIASASFPIDNFYVCPQCGCGLDCNHVRVSNLRTNPFVSST >Manes.07G133002.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33488702:33490708:-1 gene:Manes.07G133002.v8.1 transcript:Manes.07G133002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQSIVDNVSTGVYNVSVTVLFYKNSSITVSLNRNDLSLPILAEKEANGDGDSVLEGVLSFYDTPADLIVPISDDGDTGFWYRIKNEIDLPSKQILVPCNTHRAVLELYVSFHGNDESWYSNPPSSYLRMNNISLQGNGAYREVFVTIDGASVGSELPFPVVLTSVFNSLFWKPVVSIGAFNLPSYDFEVTPFLEKVLDGQVHEFGVGVGNVIPYWLVDANLHIWLDKGSSSVTAGTVVAHNPSLALKSRKEVKRLDGSFEVKGKGGSESKGWVISTAGNLTTLVLQEFRFQSFIQFQKNATRKFVKLKIKVNKEIQVLNDRGELLKRVIVKRKYPLNMITTTIPGRIVANVSHAFLETWSNGNNMSRTIDNLRSKLVFLVNQTLIRG >Manes.01G231200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39543573:39545854:-1 gene:Manes.01G231200.v8.1 transcript:Manes.01G231200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNHIYLLLLLSTISSCLKLSSSVLDAETDYNKWVSWNIDHHRRKAILEAKPTTQPSAGISTGGKANLDDKLRKAEMHNVSITVNQNGTGDFKTITEALKSIPPYNTRRVIIAIKPGVYREKIAIPRTLPFITFMGDSSDPPIITGNDTASSVSGKDGMPLRTFQSATVAVDADYFIAINVKFENTAPHEIGSKGGQAVALRISGTKAAFYNCSFHGSQDTLYDHKGLHYFNNCFIQGSVDFIFGSGRSLYENCHLNSISKKVASLTAQKRSNLSLESGFSFKDCVVTGSGLVYLGRAWGDYSRVVFSYTFMDKVVLPQGWNDWGDQKRDTRVFYAEYKCSGPGANFTGRVPWARVLTDEEAVPFIGTYYVDGDTWLITP >Manes.10G153400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31980531:31983841:-1 gene:Manes.10G153400.v8.1 transcript:Manes.10G153400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDADNYEVYRGSDNTVTVCYYENVTNDKAFWKSENYLISSVPFFIIQLSIMMVCIRLLFFLLKPLRQPRFLAELISGILVGPTFWADSPLFATYVHPIKSTKTLDTMGQLGLVYYMFLVGLEMDLTMLKHIEKKALSNAAIGILLPLSMGIGLYFLFMEFKQPKVIGMGGAVWAITLTVTSFSDLARVLSDMKLLHTDIGRLALSSAVVSDLVAWALLVATLTIVNRRFYYLNVLATLSFILLCWFVVRPALAWIIRLNNTSNGDMDYELLIYFFLGGVVVFGLITDACGSQSMLGAFLFGLIIPKGELGMRLMEKLEDMVTGIMLPAFFWTNGLKVDLIDLSKKVNIFVLFVVLIFACSSKIISAFIFSMFQGMSTREGIALGVLMNTKGVLALIVLNSGRDFVGFDQQLFATMTIALILMTLMVKPIAMATTKSTKHVKQYKRRTIERSKHDSELRILSCIHSISNLSGMINLLQFSNPTKQSPICVFALHLVQLTARRVSAMLIVHDAYNRAPNTGQENHSREVEESEHIINAFHSYEGKSTAVSVQALTVVSPYTSMHEDVSRLAEDKRVNLILVPFHKQPDVYGKLQEDEDASLRAVNQSLLATVPCSIGILIDRGLGESEAQSHFIMLFVGGADNREALAYAWRMAGSPNVSLTVVRFLANAGTEDEAESLKEQERERKLDDEYINDFRFRTMYDQTITYAEVAVNSGNEIITSMRRIQGDYDLYIVGRGQGASPQLTSGLLEWSDCEELGPLGDALLSSDFAESSSILVIQQHYVQGATDGTVSSGHGPNKYPFNHGRMTWLSTK >Manes.10G153400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31980531:31983135:-1 gene:Manes.10G153400.v8.1 transcript:Manes.10G153400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLGLVYYMFLVGLEMDLTMLKHIEKKALSNAAIGILLPLSMGIGLYFLFMEFKQPKVIGMGGAVWAITLTVTSFSDLARVLSDMKLLHTDIGRLALSSAVVSDLVAWALLVATLTIVNRRFYYLNVLATLSFILLCWFVVRPALAWIIRLNNTSNGDMDYELLIYFFLGGVVVFGLITDACGSQSMLGAFLFGLIIPKGELGMRLMEKLEDMVTGIMLPAFFWTNGLKVDLIDLSKKVNIFVLFVVLIFACSSKIISAFIFSMFQGMSTREGIALGVLMNTKGVLALIVLNSGRDFVGFDQQLFATMTIALILMTLMVKPIAMATTKSTKHVKQYKRRTIERSKHDSELRILSCIHSISNLSGMINLLQFSNPTKQSPICVFALHLVQLTARRVSAMLIVHDAYNRAPNTGQENHSREVEESEHIINAFHSYEGKSTAVSVQALTVVSPYTSMHEDVSRLAEDKRVNLILVPFHKQPDVYGKLQEDEDASLRAVNQSLLATVPCSIGILIDRGLGESEAQSHFIMLFVGGADNREALAYAWRMAGSPNVSLTVVRFLANAGTEDEAESLKEQERERKLDDEYINDFRFRTMYDQTITYAEVAVNSGNEIITSMRRIQGDYDLYIVGRGQGASPQLTSGLLEWSDCEELGPLGDALLSSDFAESSSILVIQQHYVQGATDGTVSSGHGPNKYPFNHGRMTWLSTK >Manes.17G000600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1636055:1644320:-1 gene:Manes.17G000600.v8.1 transcript:Manes.17G000600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMRRRLACCTWDREISLDFDEQERIMTYNGLESCIQNNQSYENESGTSQGDGCMSDSFDDDDLSCSSTKDAFGSFSSNSKCLTMKRDEHGLDDWELTESPQHFYVKAKPVYTTQFSDVETMREKFAKLLLGEDITGGCKGLTTALALSNAITNLAASVFGELWKLEPLPEENKNKWRKEMDWLLSPTNYMVELVPTKQNGANGKTLEIMTPKARADIHVNLPALQKLDSMLIETLDSMVNTEFWYTEVGSRAEGRTKSTKQSKRWWLPFPQVPTTGLSDSEKKKLLYQSKVVYQVFKAAKSINENVLLEMPVPTIIRDALPKSGKANLGEELYKILTVDSKTTEEMLSSLNLKSEHSALEAINKLEAAIFAWKEKITAQISSKSPVRTSWSFVKDPISEFDKTESLLDTAEILLQQVKARYPNLPQTFLEATKIQYGRDVGHSILEAYSRVLGNLAFSILCRIGDILQEDASSNPNSPATISYFPRINVSGIPETPLHVLHSRHSLIDQMNKVDGKYRESDASDIGFSYSEEAKTSSVNATPSRSRVWCIGREACISVSPSNSP >Manes.15G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3136557:3137947:-1 gene:Manes.15G040400.v8.1 transcript:Manes.15G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALNRDYLTCEEIGRGRFGTVFRCISRSTSDSFAVKSIDKSLTSGDSLDAQCLLMEPKILHLLSPHPHVIQLYDVYEDDTHLHMVLDLCSGQDLHDLIIANGVIPEAEARFLFIQLMSAISHCHKYGVVHRDIKPDNILLDSRNSVKLADFGSAEVVMDGEMIKGVVGTPYYVAPEILIGREYGEKVDVWSAGVVLYIMLAGFPPFYGETAVEIFEAVLRGNLRFPVRAFRGVSAAVKDLLRSMLCRDVWKRFSAEQVLRHPWITNGGG >Manes.09G025205.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5496989:5501727:1 gene:Manes.09G025205.v8.1 transcript:Manes.09G025205.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.09G025205.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5496691:5501727:1 gene:Manes.09G025205.v8.1 transcript:Manes.09G025205.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.04G072100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27209501:27214530:1 gene:Manes.04G072100.v8.1 transcript:Manes.04G072100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGRVHPECVNASNPYHECGVACLEKISQGQGKKEKKKSDYRNGIKQGGSIKKMDGERRVDPTCPKASNPFHQCDKYCSYRTADASPRGFSKESGSFILEASRSFGRKKKGSESQPKSPQNNISAVKAVHPADTQPPRSPLPTNKGVESVNSHSSSSSLQHSEEAYSQGHSFDKGQARSTESVPTSGNLTPNGTKSLSLGNLTCFAIAPPSNPEDNEKLHVSPEEASSPIAKNMEITNGPTAESLNFTFSGISRASEESDDEIESVISDSCVSIGKYHVRSSAASTLQLIIDKYGDIAANCRLESASLRAYYLECLCTVVQELQSTSLSQLTKSKVKEFLAVLKDVESAQIDVSWLRSILNGLTEAMELNNQQQAAEEAKTNCDNAIESTRKDLETMVEDLAKKEKAVADTKAQIEETKARLSKLELESSQLSDTILSVRSKVEKFPTKPLADKIL >Manes.06G031000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7933700:7940920:1 gene:Manes.06G031000.v8.1 transcript:Manes.06G031000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQFCEICGDQIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQVCPQCKTRYKRLKGCPRVAGDEDEEDLDDIEHEFNIEEQDRNKHLTEAMLYGKMTYGRGHDDEENSQFPPVIAGGFRSRPVSGEFPVGSNGEQMLASSLHKRVHPYPVSEPGSARWDEKKERGWKERMDDWKMQQGNLGPEHDDDPDEVMVDETRQPLSRKVPIASSKINPYRMIIVARLVILALFLRYRIMNPVHDAIGLWLTSIICEIWFAFSWILDQFPKWLPIDRETYLDRLSLRYEREGEPNMLAPVDFFVSTVDPMKEPPLVTANTMLSILAVDYPVEKISCYLSDDGASMCTFEAMSETAEFARKWVPFCKKFSIEPRAPEMYFALKVDYLKDKVQPTFVKERRAMKREYEEFKVRINAIVAKAQKVPPEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGHDVEGNELPRLVYVSREKRPGFAHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQTGKKICYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVSCDCCPCFGRRKKKQPMISANGETVNLEGMDDDKQLLMSQMNFEKRFGQSAIFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGTELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPVWYGYKEGKLKWLERFAYVNTTVYPFTSLPLLAYCTLPAICLLTDKFIMPEISTFASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKILAGIDTNFTVTSKATDDEDFGELYAFKWTTLLIPPTTILIINLVGVVAGVSDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTKGPDTKQCGVNC >Manes.02G054700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4358495:4365804:1 gene:Manes.02G054700.v8.1 transcript:Manes.02G054700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSSARASLEESTANTDVSQSRQRKKRKWDQPAEPLVSAGVAGASGVFPLGNIGSLAGISLPGIASVSGAFLTNQFVANCAPIPPVYQVPSIPQTQSNATVVPKSDQPKIQDELIIAREIVINDAESSVRFKLTKRQTQEEIQKCTSAVVITRGKYRPPNAPPDGEKPLYLHISAGSHLKDTAERILAVDRAAAMVEEMLKLGSNAQPSLPIFPIAPGSGAKALSTCVFLGFDADPSLNIAARIRGPNDQYINHIMNETGATVILKGRGSGNFESPSSGDMQQPLHLLLSANNSKSLEDAKRLADNLLDTISLECGASRVSSCNVYSAVPPPQQLLVGIKNSGDEHKVNTSPTAGLTPLARSSAPPIPSSSVAIHGTTSVFSQGTVYQPGGIVNTVQPQLNLIRHPQPLVNGGTSYSGYEGIYPQATPLQQVALALRQSTSPTTSTVAPATSIASNVPTPSTSSIPEKEKRPTHRRKFQELPIGSKDPAISHQVFSLTF >Manes.02G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4358495:4365804:1 gene:Manes.02G054700.v8.1 transcript:Manes.02G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSSARASLEESTANTDVSQSRQRKKRKWDQPAEPLVSAGVAGASGVFPLGNIGSLAGISLPGIASVSGAFLTNQFVANCAPIPPVYQVPSIPQTQSNATVVPKSDQPKIQDELIIAREIVINDAESSVRFKLTKRQTQEEIQKCTSAVVITRGKYRPPNAPPDGEKPLYLHISAGSHLKDTAERILAVDRAAAMVEEMLKLGSNAQPSLPIFPIAPGSGAKALSTCVFLGFDADPSLNIAARIRGPNDQYINHIMNETGATVILKGRGSGNFESPSSGDMQQPLHLLLSANNSKSLEDAKRLADNLLDTISLECGASRVSSCNVYSAVPPPQQLLVGIKNSGDEHKVNTSPTAGLTPLARSSAPPIPSSSVAIHGTTSVFSQGTVYQPGGIVNTVQPQLNLIRHPQPLVNGGTSYSGYEGIYPQATPLQQVALALRQSTSPTTSTVAPATSIASNVPTPSTSSIPEKEKRPTHRRKFQELPIGSKDPAISHQGSHLKPGGQVLADDVRNLSTMPAPKKLVQPSLNGMPPPAPRNVPPPPPRATSSPPSSNGMPPLPLRTMPPPPPPPKFISANGLQTKNNIAKKIKSDTAPVVSDTLVKLMEYGDEDDDGDETSEESDPGNSGTVAVGKPFWAL >Manes.13G056500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6574309:6577249:1 gene:Manes.13G056500.v8.1 transcript:Manes.13G056500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRPVLEILVSICLWLLLSCTLSYGTETDIACLKSIKDSLEDPFKYLKSSWDFNNNTEGYICGFTGVECWHPDESRVLNLRLSDMGLRGRFPTGLQNCTSITGVDLSNNNLFGPIPDNISKIIGFVTSLQLSSNNFSGSIPENLANCSFLNILKLDHNRLTGQIPPQLGLLGRLKTFSVANNLLTGPVPTFLNATVTADDYANNVGLCGKPLDNCPGTSKGPSPGVIAGAAIGGSTVAAIAVGIAMLFYYRKVSKMKKKKDDDPEGNKWAKSLKGIKGTKVSMFEKSVSKMKLGDLMKATNSFNKDNIIGSGRTGTIYKAVLEDGTFLMVKRLQDSKHSENEFVSEMSTLGSVKHPNLVPLLGFCMANKERLLVYKYMPNGTLHDNLHTTDDGKKPMEWPIRLKIATRAARGFAWLHHNCNPRILHRNISSKCILLDADLEPKISDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTKTLVATTKGDVYSFGTVLLELVTGERPTHVAKASESFKGSLVEWIMQLSGNSELQDAIDKSLVGKGVDNEIFQFLKVACTCVSANPKERPTMFEVYQLLRAIGENYHFTTEDGITMPSDNGDADYIEELIVAQEVRGGN >Manes.08G006800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1007340:1009507:-1 gene:Manes.08G006800.v8.1 transcript:Manes.08G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEVGGQGSGFQAKDYSDPPPAPLIDAEEFTQWSFYRAIIAEFIATLLFLYITVLTVIGYKSQTDPAKNADACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMAAQCLGAICGCGLVKAFQKAYYTRYGGGANELADGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIYNQDKAWDDQWIFWVGPFIGAAIAALYHQYILRAGAVKALGSFRSTSNI >Manes.10G043700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4545669:4559984:-1 gene:Manes.10G043700.v8.1 transcript:Manes.10G043700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLKRKRKLKSMFKKKAAKKDEQREAEDPEGYRTELHSGRDSQVEDIKEISLDAIFSEDEIDAVEDDFDSDGYLSEDGSCAEVGETESASNLVDNNSEGALSVQNREIHLEILKKMKKLDRLKKKDPDFCKFLDSNKNRLKVFRDEENEFSDEDESSDDDRELVDENGTKTEVSMLLNSSAVDSLCQLVKEQQNVSALIRLLNGYRAACHYGTESCGALKDGLTLSNTLMFVLHEADNVFRKMLGIPCSNERKEMILGFKDTSKWKKLKPLIKSYLRSTLFFLNEVSDSVILVFALTRLRASIIFFAAFPPLLQRLIKTSIHLWATGEGTLSLHSFLIIKEVASVFNSDYFDTCFVKAYKAFISRCKFVEPGLFEHILFLKNSFVELCSLDVQKALSKAAVSIQQLAKILHLGLRTKKKEAVKKICSWQYANCIELWVAFISVNVRDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRVKCVQWLNHLSSFSGVFTPVSSLAMDVLEYKIGKVGSKPGKDFNFSSAIKLPKHWLKSRNFQEECVFSAIELLAMHFAQWSYHISFPELATIPIIRLRKFHATTTSESSRRLVKRFIDQVEQNIEFVRKKRDDVAFSPRDQQSVESFLQLEKGSGNVPFTQYYRSVIEKAASRNLLTNKNTSYLEQKKSKRKRQRMNNNVVGTANGEVDSH >Manes.10G043700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4545669:4559984:-1 gene:Manes.10G043700.v8.1 transcript:Manes.10G043700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVLKRKRKLKSMFKKKAAKKDEQREAEDPEGYRTELHSGRDSQVEDIKEISLDAIFSEDEIDAVEDDFDSDGYLSEDGSCAEVGETESASNLVDNNSEGALSVQNREIHLEILKKMKKLDRLKKKDPDFCKFLDSNKNRLKVFRDEENEFSDEDESSDDDRELVDENGTKTEVSMLLNSSAVDSLCQLVKEQQNVSALIRLLNGYRAACHYGTESCGALKDGLTLSNTLMFVLHEADNVFRKMLGIPCSNERKEMILGFKDTSKWKKLKPLIKSYLRSTLFFLNEVSDSVILVFALTRLRASIIFFAAFPPLLQRLIKTSIHLWATGEGTLSLHSFLIIKEVASVFNSDYFDTCFVKAYKAFISRCKFVEPGLFEHILFLKNSFVELCSLDVQKALSKAAVSIQQLAKILHLGLRTKKKEAVKKICSWQYANCIELWVAFISVNVRDYDLQPLLYMIIQIINGVAVLFPGPRYLPLRVKCVQWLNHLSSFSGVFTPVSSLAMDVLEYKIGKVGSKPGKDFNFSSAIKLPKHWLKSRNFQEECVFSAIELLAMHFAQWSYHISFPELATIPIIRLRKFHATTTSESSRRLVKRFIDQVEQNIEFVRKKRDDVAFSPRDQQSVESFLQLEKGSGNVPFTQYYRSVIEKAASRNLLTNKNTSYLEQKKSKRKRQRMNNNVVGTANGEVDSH >Manes.01G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34230884:34239898:1 gene:Manes.01G155900.v8.1 transcript:Manes.01G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTDLFDAYFKRADLDCDGQISGAEAVAFFQGSGLPKQVLAQVWMHADQRKAGFLGRQEFYNALKLVTVAQSKRELTPDIVKAALYGPASAKIPAPQINLAATPAPKAATPAPQMAGATSVASQNFGIRPPQMTGNASTNQQFFSSQQNQFVRPPQAMPPSSVSHPQLLPASQAVPRVSTMAPPRPPNSNISTDLAGGGGVIGVPTSQNQSRGVTPPPSQDGFGQTASGLMPPVQPRPQLTPGQTPFPIPQEPAVASNQSATKDSKFSGNGFAAESLFGDVFSATPAQPAESSASAASSTSTLPASSGTVPSYVGSQPPNKPSTLESLPSTFSQQPVGGQSTGRQSQPVAAQSSAVTSSGFPVGAGNSDSSQSQPPWPKMTQSGIQKYMKVFVQVDTDRDGKITGEEARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCTALYLMERYREGRPLPTTLPSSVMSDEILLSATSHPTASHGSGAWRPASGPRPPQVMMGPRSAPAAAARPPRPPVHHADEKQPSQQKLKVPVLEKHLVDQLSEEEQDSLNSKFQEASQADKKVEELEKEIADSRQKIEFYRVKMQELILYKSRCDNRLNEVTERVAADKHEVEALAKKYEEKYKQTGDVASKLTIEEATFRDIQEKKMELYRAIVKLEQGGTADGSLKERAEIIHSSLEELAKSVNERCKQYGLRSKPMSLVELPFGWQPGIQEGAADWDENWDKFEDEGFTFVKELTLDVQNIVAPPKQKSLVQNMTTPMNEDSSASPSKAAVKSKKDASLGEPIQEKESNHDHSENGTARSPPESPAGRSPAESPSHEFRDSPFKEGIGADSSPHAKEIHSDMGGTEISGDKNSDEPGWGTFDTHYDTESVWGFDSVSGKDLDHDKQSESSLFGFGEFALNPIKTGSSRVDNMYQGKSTSLFADSVPSTPAYDQGRSLFADSVPSTPAYNQGKSTFMFADSVPSTPASNQGKSSFGFADSVPSTPAYNQGKSSFGFADSVPSTPAYNQGRSSFGFADSVPGTPAYNFGNSPRKFNEGSEDHSFDSFSRFDSFNMHDSGLFQSPRHSLGRFDSMNSTRDSDQGYGFPSRFDSFRESRDSDQGHGFSRFDSFRDSDQSHGFSRFDSFRESDNSHGFPSRFDSFRDSDNSHAFPSSFDSFRETRDSDHGQGFSRFDSFNANDSGLFQSSGNSLDRFDSVRGSRETDSSRFSSFDESDPFGSAGPFKISIEGETPRKSSDNWKAF >Manes.01G202000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37482860:37486737:-1 gene:Manes.01G202000.v8.1 transcript:Manes.01G202000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLLLGATAALIGPKDLPIIARTAGRLAGRAIGYVQLARGQFENVMQQSQARQVHKELQDTMAQLEAIRHEIRSISILNPGPLTRRLVDNVDPSSGSNDLASSVPENQDAQDTPKAVASKPYTEQTNGGSISSATISKASDSCIVHSQATAFARLAESSVPKLGSLQSGAVAGNFVDEGLLNILPVSAESTGMLPNRQDDVKGSDVLLEAILEAEVAHNAKDFFAQPQNQIK >Manes.01G202000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37482860:37486737:-1 gene:Manes.01G202000.v8.1 transcript:Manes.01G202000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLLLGATAALIGPKDLPIIARTAGRLAGRAIGYVQLARGQFENVMQQSQARQVHKELQDTMAQLEAIRHEIRSISILNPGPLTRRLVDNVDPSSGSNASSVPENQDAQDTPKAVASKPYTEQTNGGSISSATISKASDSCIVHSQATAFARLAESSVPKLGSLQSGAVAGNFVDEGLLNILPVSAESTGMLPNRQDDVKGSDVLLEAILEAEVAHNAKDFFAQPQNQIK >Manes.01G202000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37482860:37486737:-1 gene:Manes.01G202000.v8.1 transcript:Manes.01G202000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLLLGATAALIGPKDLPIIARTAGRLAGRAIGYVQLARGQFENVMQQSQARQVHKELQDTMAQLEAIRHEIRSISILNPGPLTRRLVDNVDPSSGSNDLASSVPENQDAQDTPKAVASKPYTEQTNGGSISSATISKASDSCIVHSQATAFARLAESSVPKLGSLQSGAVAGNFVDEGLLNILPVSAESTGMLPNRQDDVKGSDVLLEAILEAEVAHNAKDFFAQPQNQIK >Manes.01G202000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37482837:37486818:-1 gene:Manes.01G202000.v8.1 transcript:Manes.01G202000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLLLGATAALIGPKDLPIIARTAGRLAGRAIGYVQLARGQFENVMQQSQARQVHKELQDTMAQLEAIRHEIRSISILNPGPLTRRLVDNVDPSSGSNDLASSVPENQDAQDTPKAVASKPYTEQTNGGSISSATISKASDSCIVHSQATAFARLAESSVPKLGSLQSGAVAGNFVDEGLLNILPVSAESTGMLPNRQDDVKGSDVLLEAILEAEVAHNAKDFFAQPQNQIK >Manes.02G218460.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:33991631:33992263:1 gene:Manes.02G218460.v8.1 transcript:Manes.02G218460.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFRALYGYSPPNFPIQLPNEIVVEVVDQFLQERQLLSQLLKENLEKAQNRMKQQTDKKRTEREFSVGNLVYLKLQPYRQTSLALRHSLKLSAKYYGPYKITAKIGKVAYRLELPTCFSIHPVFHISKLKKKVKDKVSVTTDLPKLQDNQAIVVPESILQTRFIMRRTEQVEQVLIKWYNLPMEDATWENRTFITAQFLRLPFLGSRKF >Manes.05G051100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4268786:4270921:-1 gene:Manes.05G051100.v8.1 transcript:Manes.05G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDPVRVLVTGAAGQIGYAIVPMVARGVMLGPDQPVILHMLDIEPAAEALKGVKMELIDAAFPLLKGIIATTDVVEACMGVNIAVMVGGFPRKEGMERKDVMSRNVSIYKAQASALEKHAAPDCKVLVVANPANTNALILKEFAPSIPQKHITCLTRLDHNRALGQISQRLDVEVSDVKNVIIWGNHSSTQYPDVNHATVKTSSGEKPVRELVADDKWLNGDFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGIPPGLIYSFPVTCEKGKWSIVQGLKIDEFSRGKMDATAKELMEEKSLAYSCLN >Manes.13G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31610746:31618596:1 gene:Manes.13G110800.v8.1 transcript:Manes.13G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHGGLSRFLILLVYSAINLLSYTRFSFLPSSSSHCMAASCSIDCITLQNLPELSPGSLSPRHRFFLDSSIGTVQFHPQSRRPKRFDLAYNLWGKGNSLKRYVFNEAEAGATVEEEIYNSVEDRQFVRWFREAWPYLWAHRGGTFVVIISGEIISSPFLDPILKDIAFLHHLGIRFVLVPGTHVQIDNLLAERGHEPKYVGQYRITDSEALAASMEAAGKIRIMMEAKLSPGPSICNIRRHGDSSRLHDVGVSVASGNFLAAKRRGVVDGVDFGATGEVKKVDVTRMRERLDGGCIVLLSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDESGHLIRFLAIDEADTLIRKRAKQSEIAAHYVKAVGKEDFTSLEHNDSVRVSLLSQNGKPLNGRHSATFQNGVGFDNGNGLWCGEQGFAIGGHERQSQLNGYLSELAAAAFVCKGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDVYEGTRMARVTDLSGIKQIIQPLEDSGVLVQRTDEELLKALDSFVVVEREGQIIACAALFPFFEEKCAEVAAIAVSPECRGQGQGDKLLDYIEKKASSLNLEMLFLLTTRTADWFKRRGFSECSIDKIPEKRRKKINLSRNSKYYMKKLLPDTSGITVNRPLG >Manes.13G110800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31608849:31618561:1 gene:Manes.13G110800.v8.1 transcript:Manes.13G110800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFQFRSRSFISLQNHGETSSSSPNLLVYNHLKDLLCHGLKMRRNFGRKELASRGCSCSSSGERSCISEEDRRFLEVLREAYPHIFLQRGNTFVVFLSGELVHSTHLDHLLRDIAFLHHLGIRFVLVPGTHVQIDNLLAERGHEPKYVGQYRITDSEALAASMEAAGKIRIMMEAKLSPGPSICNIRRHGDSSRLHDVGVSVASGNFLAAKRRGVVDGVDFGATGEVKKVDVTRMRERLDGGCIVLLSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDESGHLIRFLAIDEADTLIRKRAKQSEIAAHYVKAVGKEDFTSLEHNDSVRVSLLSQNGKPLNGRHSATFQNGVGFDNGNGLWCGEQGFAIGGHERQSQLNGYLSELAAAAFVCKGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDVYEGTRMARVTDLSGIKQIIQPLEDSGVLVQRTDEELLKALDSFVVVEREGQIIACAALFPFFEEKCAEVAAIAVSPECRGQGQGDKLLDYIEKKASSLNLEMLFLLTTRTADWFKRRGFSECSIDKIPEKRRKKINLSRNSKYYMKKLLPDTSGITVNRPLG >Manes.15G079800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6115277:6117076:-1 gene:Manes.15G079800.v8.1 transcript:Manes.15G079800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESGISCSGSNNKGNGNGKQRVIDNPSGGIDYISNLPEAILLCILSFLPLKEWIKVSLLSKRWKYLWTKISNLKLDEVEMITNIIKKDISCPLCGKFPSQVSSCLCLDNATCAGRRKFADFVDRMLLLHSGYTINNLRLSFLYDPQDGYTKRIDTWVCYALRSNIKELELNFSDREYLKFLDKKGLAVRWADPHQPYELPHGFFKPKMLETFVLTFCKFRASSFNTFSSLLRLHLKRLEVLDGSIEHITSRCPVLEDLILEYCLIPDGYFVSKVDIMIKRLSIVHCASKDMLRLDISTPNLLMLTIVEKYLKSASIRKATELIDARISIYAISAHNADGHALNSLLNGLNHCQSLTLSTYCIRVIPMESTLLQRLHIPLQKLMRLRLIMGISKRELPRISCLLMSCPVLESLTLVLSGPMAIYRYEFPSRTMYNIDEENWESQIRQFPCLKTSLKEITVTELMGRRNEVQLIMFFLKYAAVLEKVTFSLCGPNKYLSYSPDKVTSFRENLSQMFDFIRGSIQAEIKIDGESYPNVV >Manes.03G055816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5450194:5464297:-1 gene:Manes.03G055816.v8.1 transcript:Manes.03G055816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVIVDSVCVICGEAEETADQIFMHCLHIQCLCTRVRVGEDGNSFLPMCIRLTLRRDSLLLVSPLLVVLFEIGRVIYCWRRQSMFHLTGILLWQRFMLSNKELNLLLQGYRSVLME >Manes.13G089260.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:23305580:23306167:-1 gene:Manes.13G089260.v8.1 transcript:Manes.13G089260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKITKHKLNGSNFLDWSKTIRIYLQSIKIDDHLNKDPPTDETHRNWMSDDARLFLQIQNSIRTEMISLINHCEFVKELMEYLKFLYSGKAFYRAEKNDRTLTSYFMDFKRAYEELNVLMPFSTDVKTQQARREQMTVMSFLAGLSLEFDSAKSQIFSDFEISSLHDVFIRVLRIESPISSHSTSALVSRNYSSR >Manes.03G030300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2418382:2420585:-1 gene:Manes.03G030300.v8.1 transcript:Manes.03G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAHKAMGTKDVRVDVKLNKHIWSRGIRSVPRRVRVRIARRRNDDEDAKEELYSLVTVAEIPPEGLKGLGTKIIEDED >Manes.09G146300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34428323:34432438:1 gene:Manes.09G146300.v8.1 transcript:Manes.09G146300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLERKINFPGLPLLPLVLALVFLENFTLISALNYTNYRQVSSLRLKRIQRHLNNINKPAVMTIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRMPSEMPKVKKKKEGEIEREMKSNETVEQGAWQMWHTNGTRCPKGTVPIRRSSVYDVLRAKSLFDFGKKRRSIYLSRRTDAPDVISSNGHEHAIAYTGSQEVYGAKATINVWDPSIQVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQSDSYQATGCYNLLCAGFVQTNSRIAIGAAISPVSSYAGNQYDITILIWKDPKVGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGQHTSTHMGSGRFAEDGFGKASYFRNLQIVDSDNSLNTPQTISVLSENINCYNIESSYNNEWGTYFYYGGPGYNPQCA >Manes.09G146300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34428323:34432438:1 gene:Manes.09G146300.v8.1 transcript:Manes.09G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLERKINFPGLPLLPLVLALVFLENFTLISALNYTNYRQVSSLRLKRIQRHLNNINKPAVMTIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRMPSEMPKVKKKKEGEIEREMKSNETVEQGAWQMWHTNGTRCPKGTVPIRRSSVYDVLRAKSLFDFGKKRRSIYLSRRTDAPDVISSNGHEHAIAYTGSQEVYGAKATINVWDPSIQVVNEFSLSQIWVLSGSFDGSDLNSIEAGCQVSPELYGDNNPRLFTYWTSDSYQATGCYNLLCAGFVQTNSRIAIGAAISPVSSYAGNQYDITILIWKDPKVGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGQHTSTHMGSGRFAEDGFGKASYFRNLQIVDSDNSLNTPQTISVLSENINCYNIESSYNNEWGTYFYYGGPGYNPQCA >Manes.09G146300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34428323:34432438:1 gene:Manes.09G146300.v8.1 transcript:Manes.09G146300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLERKINFPGLPLLPLVLALVFLENFTLISALNYTNYRQVSSLRLKRIQRHLNNINKPAVMTIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRMPSEMPKVKKKKEGEIEREMKSNETVEQGAWQMWHTNGTRCPKGTVPIRRSSVYDVLRAKSLFDFGKKRRSIYLSRRTDAPDVISSNGHEHAIAYTGSQEVYGAKATINVWDPSIQVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDNNPRLFTYWTSDSYQATGCYNLLCAGFVQTNSRIAIGAAISPVSSYAGNQYDITILIWKDPKVGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGQHTSTHMGSGRFAEDGFGKASYFRNLQIVDSDNSLNTPQTISVLSENINCYNIESSYNNEWGTYFYYGGPGYNPQCA >Manes.01G087050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28868476:28872399:1 gene:Manes.01G087050.v8.1 transcript:Manes.01G087050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKILPQALLVIPRNPTHCLPPRKLLLPSTFHRGSSLCISTSRASSISIGSNLDTISSAFARHGFVARAESENSGEGEVSEESENVEGEVTEVEEQEEKEGKVVLESDEVKAELKVKEPRKPIIKLGDIMGILNKRAVEASEKERPIPDIRTGDIVEIKLEVPENRRRLSIYKGIVISRQNAGIHTTIRVRRIIAGIGVEIVFPLYSPNIKEIKVVKHRKVRRARLYYLREKLPRLSTFK >Manes.13G017300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1749880:1752287:1 gene:Manes.13G017300.v8.1 transcript:Manes.13G017300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAPGLSVSPQLYASCINQLTTMNSKKRHNGIAFRFPDSLYHAPPAKKTKDKVPLYGFSYYLPVSHNLSHFQVTPLLHFSILMGISKSDSTALGIPGDGRCLFRSVVHGACLRSGKPSPTESLEKELADELRSKVADEFIKRRGDTEWFLEDDFDTYVRQMRQPHVWGGEPELLMASHVLKVPITVYMRDRNSGGLKIIAEYGQEYGRENPICVLYHGYGHYDALRRKIAGSQSKQFLRWISGGLIHQGYKAEGEKMLIV >Manes.16G095700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30172897:30174374:1 gene:Manes.16G095700.v8.1 transcript:Manes.16G095700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNSRRQPSAVDVENPQNQLVTGSSQQRARNYAVETWVRVSWRILAMLVPHMKVIRDIKLMHHQTLQLTKCLCTEIACLDYEKASMMLRRPFLLAAELGIYEIVEEIMDIFPHAIWFSDHENHNLFHIAVMNRQEKVFNLVYQMSDYKHRLLVSEDIFGNNILHLAGKLAPQHRLNLISGAALQMQYELHWFKEVEKIVPLACKEDKNSEGRTPAMLFTEEHKGLVKEAITVPGGNNNDDGYPIFSKQKAFIIFAVADALTLFSSVAAILMFLSILTARYAEADFLYTLPNRLTFGLVTLFLSITSMMVAFSATLYLVFCNRKAWMLIPIGALACLPISLFVTLQFPLLVDMIHSTYNPRIFGKKSKCMLH >Manes.08G059100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6898246:6902049:1 gene:Manes.08G059100.v8.1 transcript:Manes.08G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSILVMVLLALSLLLLPVVLPPLSPPPLLFLFIPVFIMSLLIFLALSPSYEPNIAVNTV >Manes.18G043400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3846013:3848952:1 gene:Manes.18G043400.v8.1 transcript:Manes.18G043400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPVLPLAPVRPSRWKESWGRLLGPLTLWICVSVTLRYGYYADRQMLLGPSSSRLMKASSVFVEQVEVRDDDKKGVILYGFYEKPELIVETNWSLSDYMIVAPYSRKGFSVWLNKGSRIRMRWETQTTILNQLQVVLIKGERKYETLLPTLTTSPDALNLSKPLNGSFFSHLFVVFNLFDFFPKQDRQKEKEKILSNLLLERKDAEYTIQEDDKYYLGLVNTNPKSIIMNIAVNVTAKLYDVSKARNMCSTIKGSCRLMLPFPKAQYVVVTTPDNGDLGGWYIELSFVARVITYIAILGFIIFIILLVLKYLGTCDSEGNMADTEARQVSETEPILPEKPVPFTYGTNEKDEDDGSSSTSSEDLYDAKLCVICYDEQRNCFFVPCGHCATCYECAQRIIEGEGKICPICRRLIYKVRRLFTS >Manes.18G043400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3846013:3848951:1 gene:Manes.18G043400.v8.1 transcript:Manes.18G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPVLPLAPVRPSRWKESWGRLLGPLTLWICVSVTLRYGYYADRQMLLGPSSSRLMKASSVFVEQVEVRDDDKKGVILYGFYEKPELIVETNWSLSDYMIVAPYSRKGFSVWLNKGSRIRMRWETQTTILNQLQVVLIKGERKYETLLPTLTTSPDALNLSKPLNGKDAEYTIQEDDKYYLGLVNTNPKSIIMNIAVNVTAKLYDVSKARNMCSTIKGSCRLMLPFPKAQYVVVTTPDNGDLGGWYIELSFVARVITYIAILGFIIFIILLVLKYLGTCDSEGNMADTEARQVSETEPILPEKPVPFTYGTNEKDEDDGSSSTSSEDLYDAKLCVICYDEQRNCFFVPCGHCATCYECAQRIIEGEGKICPICRRLIYKVRRLFTS >Manes.11G058700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7111443:7117356:-1 gene:Manes.11G058700.v8.1 transcript:Manes.11G058700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAIEEHCLSKRIKRAIIANDPKVIDGVSSADFEAALVGKTLISALRKGKNLWFQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVNDTDEWPSKYSKLFIELDDGLELSFTDKRRFAKVRLLNDPASVPPISELGPDALLEPMEVDEIYESLRKKKIAIKALLLDQGFISGIGNWIADEVLYQARIHPLQTASSLSKESCATLLKCIKEVIEKALEVGADSSQFPNNWIFHSREKKPGKAFVDGKKIDFINAGGRTTAYVPELQKLSGGQAVKVAAKSKRQTPKRKKGEDDNDEGEDDASEPGSDKEEAARKAKSRRELKPRGHVKKPPAKQKSEATDDVDDEENDNCAAADDDHDHDDYDDENDKDQKKKPRRVTNDKQAKAKTESSKKVTNQNTRKPKKKMK >Manes.11G063900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8900769:8901356:1 gene:Manes.11G063900.v8.1 transcript:Manes.11G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKWLCTKTINNMFVKIVHPGGHRELHDKPVLASGIMRENPKCIVAYPHIFKNPWAIVQPDTILTPGQKFYVVPMSTVRKLQSHRLKSRSPVPDGNSSEADNKEKKTCGISPNKNTKDIRRGSCLSDDKCTICMFNVFRKKSMNGDAPLSNSSFWSCSYGIWKRKKDLPTGSPNRPFMPYDHWQPALESIREE >Manes.05G105950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10019380:10019664:-1 gene:Manes.05G105950.v8.1 transcript:Manes.05G105950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVLFVVALFCMQMMFFTCHSFGACWERGLILTLVGSFFQKLPPSLRLRTLDDGLCLLDRERVYFFFLMTRSPGSLYQFFCIMGERFFRFHDS >Manes.15G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1976378:1978569:1 gene:Manes.15G025500.v8.1 transcript:Manes.15G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLRLLLPFLLCSILFISHPVICDEEDTLLSGINSYRQSLNLNTLMKNDNAECFAEEVADQFKSQPCTNSTGSNTVPGTEPQIPKYESLLAKCHLNISNTKDGSVMPACVPNLDPSLVLTNFTQTLYSGNLNDTKYTGAGIGSDGNWIVVVLTTNTSEGSFETYNAASLTTKIGHISHLLFLLMASLFLL >Manes.15G025500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1977161:1978569:1 gene:Manes.15G025500.v8.1 transcript:Manes.15G025500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQGNNKEDTLLSGINSYRQSLNLNTLMKNDNAECFAEEVADQFKSQPCTNSTGSNTVPGTEPQIPKYESLLAKCHLNISNTKDGSVMPACVPNLDPSLVLTNFTQTLYSGNLNDTKYTGAGIGSDGNWIVVVLTTNTSEGSFETYNAASLTTKIGHISHLLFLLMASLFLL >Manes.09G147000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34459400:34475079:1 gene:Manes.09G147000.v8.1 transcript:Manes.09G147000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQEQVEDVESASSDSYIADSDVDEPSTSGQDDGMHLDEPLTELEIEDLVAEFLEVESKAAEAQEALEKESLLKVESDVREELAQTLHGDDLETAVENEMSTFKEEWEAVLDELETESAHLLEQLDGAGIELPSLYKWVESQAPNGCQTEAWKRRAHWVGSQVTSEITDVVADAEKYLQSHRPVRRRHGKLLEEGASGFLEKKLSTDGTKGDVAENGDVDWDSLKKLFSGGLSKDIASFGSKHWAAVYLANTPQEAAEMGLRFPGVDEVEEIEDIDGSSSDPFIADAIENEKELILSEEQRKNYRKVKEEDDARIDQKLQHHLKQRRRRKRSKQVMEGKAYGLSSPRDISDEKTHEHGEDLPSENSKKDFCEISKNLDPEQAMSNGDSVFSEPDIIEARRSKRVNESEEPKIDAKKIRPVIIDSDDEADAVMDQSVCNAGKVEDQSTLQENNGDSSDDSHLMHGVNGEFRCTACDRIAVEVHSHPLMKVIVCEDCKSLIEEKMHMKDPDCSECYCGWCGQSNDLVSCKSCKTLFCATCIKRNIGEDCLSKVQASGWQCCCCLPSQLQRLTSELEKAMESEDLMVSSSDSESENSDADTGVAISKRKKKKKKKKKKKKIRRILDDAELGEETQRKIAIEKERQERLKSLKVEFTDKTKMMSFASCNGDLPEGATVEVLGDAATGYIVNVVREKGEEAVRIPPSISAKLKAHQVAGIRFMWENIVQSIGKVKSGDRGLGCILAHTMGLGKTFQVIAFLYIAMRSVDLGLRTALIVTPVNVLHNWRHEFLKWKPSEVKPLRVFMLEDVSRDRRAELFAKWRTKGGVFLIGYTAFRNLSFGKHVKDRNMAREICYALQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTTNDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLVVHGFTNDKVSNEKIRKSFFAGYQALAQIWNHPGILQLRKDRDYVSREEAVENFIGDESSSDENVDYNNILGEKPRSANNFVHGRRDGGFFLKDWWNDLLHENNYKELDYSGKMVLLLDILTVCSHVGDKALVFSQSIPTLDLIEFYLSRLPRHGKRGKFWRKGKDWYRLDGRTESSERQKLVENFNDPVNKRVKCALISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISREEMLHLFEFGDDENSDPLTDIGQEDGQADDCSMSGVGNSLKQRVPLSHGSCSSDKLMESLLSRHHPRWIANYHEHETLLQENEEEKLTKEEQDMAWEVYRRTLEWEEVQRVSLDESTFERKPPVPSVAPSAPDTSSLPTSSMTPPAPEASNSNVTPYKSNFRNRMLQRKCTNLSHLLTLRSQGTKVGCTTVCGECAQEISWEDLNRDGRMAR >Manes.09G147000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34458774:34474778:1 gene:Manes.09G147000.v8.1 transcript:Manes.09G147000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQEQVEDVESASSDSYIADSDVDEPSTSGQDDGMHLDEPLTELEIEDLVAEFLEVESKAAEAQEALEKESLLKVESDVREELAQTLHGDDLETAVENEMSTFKEEWEAVLDELETESAHLLEQLDGAGIELPSLYKWVESQAPNGCQTEAWKRRAHWVGSQVTSEITDVVADAEKYLQSHRPVRRRHGKLLEEGASGFLEKKLSTDGTKGDVAENGDVDWDSLKKLFSGGLSKDIASFGSKHWAAVYLANTPQEAAEMGLRFPGVDEVEEIEDIDGSSSDPFIADAIENEKELILSEEQRKNYRKVKEEDDARIDQKLQHHLKQRRRRKRSKQVMEGKAYGLSSPRDISDEKTHEHGEDLPSENSKKDFCEISKNLDPEQAMSNGDSVFSEPDIIEARRSKRVNESEEPKIDAKKIRPVIIDSDDEADAVMDQSVCNAGKVEDQSTLQENNGDSSDDSHLMHGVNGEFRCTACDRIAVEVHSHPLMKVIVCEDCKSLIEEKMHMKDPDCSECYCGWCGQSNDLVSCKSCKTLFCATCIKRNIGEDCLSKVQASGWQCCCCLPSQLQRLTSELEKAMESEDLMVSSSDSESENSDADTGVAISKRKKKKKKKKKKKKIRRILDDAELGEETQRKIAIEKERQERLKSLKVEFTDKTKMMSFASCNGDLPEGATVEVLGDAATGYIVNVVREKGEEAVRIPPSISAKLKAHQVAGIRFMWENIVQSIGKVKSGDRGLGCILAHTMGLGKTFQVIAFLYIAMRSVDLGLRTALIVTPVNVLHNWRHEFLKWKPSEVKPLRVFMLEDVSRDRRAELFAKWRTKGGVFLIGYTAFRNLSFGKHVKDRNMAREICYALQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTTNDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLVVHGFTNDKVSNEKIRKSFFAGYQALAQIWNHPGILQLRKDRDYVSREEAVENFIGDESSSDENVDYNNILGEKPRSANNFVHGRRDGGFFLKDWWNDLLHENNYKELDYSGKMVLLLDILTVCSHVGDKALVFSQSIPTLDLIEFYLSRLPRHGKRGKFWRKGKDWYRLDGRTESSERQKLVENFNDPVNKRVKCALISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISREEMLHLFEFGDDENSDPLTDIGQEDGQADDCSMSGVGNSLKQRVPLSHGSCSSDKLMESLLSRHHPRWIANYHEHETLLQENEEEKLTKEEQDMAWEVYRRTLEWEEVQRVSLDESTFERKPPVPSVAPSAPDTSSLPTSSMTPPAPEASNSNVTPYKSNFRNRMLQRKCTNLSHLLTLRSQGTKVGCTTVCGECAQEISWEDLNRDGRMAR >Manes.09G147000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34458887:34474768:1 gene:Manes.09G147000.v8.1 transcript:Manes.09G147000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQEQVEDVESASSDSYIADSDVDEPSTSGQDDGMHLDEPLTELEIEDLVAEFLEVESKAAEAQEALEKESLLKVESDVREELAQTLHGDDLETAVENEMSTFKEEWEAVLDELETESAHLLEQLDGAGIELPSLYKWVESQAPNGCQTEAWKRRAHWVGSQVTSEITDVVADAEKYLQSHRPVRRRHGKLLEEGASGFLEKKLSTDGTKGDVAENGDVDWDSLKKLFSGGLSKDIASFGSKHWAAVYLANTPQEAAEMGLRFPGVDEVEEIEDIDGSSSDPFIADAIENEKELILSEEQRKNYRKVKEEDDARIDQKLQHHLKQRRRRKRSKQVMEGKAYGLSSPRDISDEKTHEHGEDLPSENSKKDFCEISKNLDPEQAMSNGDSVFSEPDIIEARRSKRVNESEEPKIDAKKIRPVIIDSDDEADAVMDQSVCNAGKVEDQSTLQENNGDSSDDSHLMHGVNGEFRCTACDRIAVEVHSHPLMKVIVCEDCKSLIEEKMHMKDPDCSECYCGWCGQSNDLVSCKSCKTLFCATCIKRNIGEDCLSKVQASGWQCCCCLPSQLQRLTSELEKAMESEDLMVSSSDSESENSDADTGVAISKRKKKKKKKKKKKKIRRILDDAELGEETQRKIAIEKERQERLKSLKVEFTDKTKMMSFASCNGDLPEGATVEVLGDAATGYIVNVVREKGEEAVRIPPSISAKLKAHQVAGIRFMWENIVQSIGKVKSGDRGLGCILAHTMGLGKTFQVIAFLYIAMRSVDLGLRTALIVTPVNVLHNWRHEFLKWKPSEVKPLRVFMLEDVSRDRRAELFAKWRTKGGVFLIGYTAFRNLSFGKHVKDRNMAREICYALQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTTNDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLVVHGFTNDKVSNEKIRKSFFAGYQALAQIWNHPGILQLRKDRDYVSREEAVENFIGDESSSDENVDYNNILGEKPRSANNFVHGRRDGGFFLKDWWNDLLHENNYKELDYSGKMVLLLDILTVCSHVGDKALVFSQSIPTLDLIEFYLSRLPRHGKRGKFWRKGKDWYRLDGRTESSERQKLVENFNDPVNKRVKCALISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISREEMLHLFEFGDDENSDPLTDIGQEDGQADDCSMSGVGNSLKQRVPLSHGSCSSDKLMESLLSRHHPRWIANYHEHETLLQENEEEKLTKEEQDMAWEVYRRTLEWEEVQRVSLDESTFERKPPVPSVAPSAPDTSSLPTSSMTPPAPEASNSNVTPYKSNFRNRMLQRKCTNLSHLLTLRSQGTKVGCTTVCGECAQEISWEDLNRDGRMAR >Manes.09G147000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34458779:34474768:1 gene:Manes.09G147000.v8.1 transcript:Manes.09G147000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQEQVEDVESASSDSYIADSDVDEPSTSGQDDGMHLDEPLTELEIEDLVAEFLEVESKAAEAQEALEKESLLKVESDVREELAQTLHGDDEQLDGAGIELPSLYKWVESQAPNGCQTEAWKRRAHWVGSQVTSEITDVVADAEKYLQSHRPVRRRHGKLLEEGASGFLEKKLSTDGTKGDVAENGDVDWDSLKKLFSGGLSKDIASFGSKHWAAVYLANTPQEAAEMGLRFPGVDEVEEIEDIDGSSSDPFIADAIENEKELILSEEQRKNYRKVKEEDDARIDQKLQHHLKQRRRRKRSKQVMEGKAYGLSSPRDISDEKTHEHGEDLPSENSKKDFCEISKNLDPEQAMSNGDSVFSEPDIIEARRSKRVNESEEPKIDAKKIRPVIIDSDDEADAVMDQSVCNAGKVEDQSTLQENNGDSSDDSHLMHGVNGEFRCTACDRIAVEVHSHPLMKVIVCEDCKSLIEEKMHMKDPDCSECYCGWCGQSNDLVSCKSCKTLFCATCIKRNIGEDCLSKVQASGWQCCCCLPSQLQRLTSELEKAMESEDLMVSSSDSESENSDADTGVAISKRKKKKKKKKKKKKIRRILDDAELGEETQRKIAIEKERQERLKSLKVEFTDKTKMMSFASCNGDLPEGATVEVLGDAATGYIVNVVREKGEEAVRIPPSISAKLKAHQVAGIRFMWENIVQSIGKVKSGDRGLGCILAHTMGLGKTFQVIAFLYIAMRSVDLGLRTALIVTPVNVLHNWRHEFLKWKPSEVKPLRVFMLEDVSRDRRAELFAKWRTKGGVFLIGYTAFRNLSFGKHVKDRNMAREICYALQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTTNDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLVVHGFTNDKVSNEKIRKSFFAGYQALAQIWNHPGILQLRKDRDYVSREEAVENFIGDESSSDENVDYNNILGEKPRSANNFVHGRRDGGFFLKDWWNDLLHENNYKELDYSGKMVLLLDILTVCSHVGDKALVFSQSIPTLDLIEFYLSRLPRHGKRGKFWRKGKDWYRLDGRTESSERQKLVENFNDPVNKRVKCALISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISREEMLHLFEFGDDENSDPLTDIGQEDGQADDCSMSGVGNSLKQRVPLSHGSCSSDKLMESLLSRHHPRWIANYHEHETLLQENEEEKLTKEEQDMAWEVYRRTLEWEEVQRVSLDESTFERKPPVPSVAPSAPDTSSLPTSSMTPPAPEASNSNVTPYKSNFRNRMLQRKCTNLSHLLTLRSQGTKVGCTTVCGECAQEISWEDLNRDGRMAR >Manes.09G147000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34458779:34474768:1 gene:Manes.09G147000.v8.1 transcript:Manes.09G147000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQEQVEDVESASSDSYIADSDVDEPSTSGQDDGMHLDEPLTELEIEDLVAEFLEVESKAAEAQEALEKESLLKVESDVREELAQTLHGDDEQLDGAGIELPSLYKWVESQAPNGCQTEAWKRRAHWVGSQVTSEITDVVADAEKYLQSHRPVRRRHGKLLEEGASGFLEKKLSTDGTKGDVAENGDVDWDSLKKLFSGGLSKDIASFGSKHWAAVYLANTPQEAAEMGLRFPGVDEVEEIEDIDGSSSDPFIADAIENEKELILSEEQRKNYRKVKEEDDARIDQKLQHHLKQRRRRKRSKQVMEGKAYGLSSPRDISDEKTHEHGEDLPSENSKKDFCEISKNLDPEQAMSNGDSVFSEPDIIEARRSKRVNESEEPKIDAKKIRPVIIDSDDEADAVMDQSVCNAGKVEDQSTLQENNGDSSDDSHLMHGVNGEFRCTACDRIAVEVHSHPLMKVIVCEDCKSLIEEKMHMKDPDCSECYCGWCGQSNDLVSCKSCKTLFCATCIKRNIGEDCLSKVQASGWQCCCCLPSQLQRLTSELEKAMESEDLMVSSSDSESENSDADTGVAISKRKKKKKKKKKKKKIRRILDDAELGEETQRKIAIEKERQERLKSLKVEFTDKTKMMSFASCNGDLPEGATVEVLGDAATGYIVNVVREKGEEAVRIPPSISAKLKAHQVAGIRFMWENIVQSIGKVKSGDRGLGCILAHTMGLGKTFQVIAFLYIAMRSVDLGLRTALIVTPVNVLHNWRHEFLKWKPSEVKPLRVFMLEDVSRDRRAELFAKWRTKGGVFLIGYTAFRNLSFGKHVKDRNMAREICYALQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTTNDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLVVHGFTNDKVSNEKIRKSFFAGYQALAQIWNHPGILQLRKDRDYVSREEAVENFIGDESSSDENVDYNNILGEKPRSANNFVHGRRDGGFFLKDWWNDLLHENNYKELDYSGKMVLLLDILTVCSHVGDKALVFSQSIPTLDLIEFYLSRLPRHGKRGKFWRKGKDWYRLDGRTESSERQKLVENFNDPVNKRVKCALISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISREEMLHLFEFGDDENSDPLTDIGQEDGQADDCSMSGVGNSLKQRVPLSHGSCSSDKLMESLLSRHHPRWIANYHEHETLLQENEEEKLTKEEQDMAWEVYRRTLEWEEVQRVSLDESTFERKPPVPSVAPSAPDTSSLPTSSMTPPAPEASNSNVTPYKSNFRNRMLQRKCTNLSHLLTLRSQGTKVGCTTVCGECAQEISWEDLNRDGRMAR >Manes.09G147000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34458887:34474768:1 gene:Manes.09G147000.v8.1 transcript:Manes.09G147000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQEQVEDVESASSDSYIADSDVDEPSTSGQDDGMHLDEPLTELEIEDLVAEFLEVESKAAEAQEALEKESLLKVESDVREELAQTLHGDDEQLDGAGIELPSLYKWVESQAPNGCQTEAWKRRAHWVGSQVTSEITDVVADAEKYLQSHRPVRRRHGKLLEEGASGFLEKKLSTDGTKGDVAENGDVDWDSLKKLFSGGLSKDIASFGSKHWAAVYLANTPQEAAEMGLRFPGVDEVEEIEDIDGSSSDPFIADAIENEKELILSEEQRKNYRKVKEEDDARIDQKLQHHLKQRRRRKRSKQVMEGKAYGLSSPRDISDEKTHEHGEDLPSENSKKDFCEISKNLDPEQAMSNGDSVFSEPDIIEARRSKRVNESEEPKIDAKKIRPVIIDSDDEADAVMDQSVCNAGKVEDQSTLQENNGDSSDDSHLMHGVNGEFRCTACDRIAVEVHSHPLMKVIVCEDCKSLIEEKMHMKDPDCSECYCGWCGQSNDLVSCKSCKTLFCATCIKRNIGEDCLSKVQASGWQCCCCLPSQLQRLTSELEKAMESEDLMVSSSDSESENSDADTGVAISKRKKKKKKKKKKKKIRRILDDAELGEETQRKIAIEKERQERLKSLKVEFTDKTKMMSFASCNGDLPEGATVEVLGDAATGYIVNVVREKGEEAVRIPPSISAKLKAHQVAGIRFMWENIVQSIGKVKSGDRGLGCILAHTMGLGKTFQVIAFLYIAMRSVDLGLRTALIVTPVNVLHNWRHEFLKWKPSEVKPLRVFMLEDVSRDRRAELFAKWRTKGGVFLIGYTAFRNLSFGKHVKDRNMAREICYALQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTTNDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLVVHGFTNDKVSNEKIRKSFFAGYQALAQIWNHPGILQLRKDRDYVSREEAVENFIGDESSSDENVDYNNILGEKPRSANNFVHGRRDGGFFLKDWWNDLLHENNYKELDYSGKMVLLLDILTVCSHVGDKALVFSQSIPTLDLIEFYLSRLPRHGKRGKFWRKGKDWYRLDGRTESSERQKLVENFNDPVNKRVKCALISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISREEMLHLFEFGDDENSDPLTDIGQEDGQADDCSMSGVGNSLKQRVPLSHGSCSSDKLMESLLSRHHPRWIANYHEHETLLQENEEEKLTKEEQDMAWEVYRRTLEWEEVQRVSLDESTFERKPPVPSVAPSAPDTSSLPTSSMTPPAPEASNSNVTPYKSNFRNRMLQRKCTNLSHLLTLRSQGTKVGCTTVCGECAQEISWEDLNRDGRMAR >Manes.09G147000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34458751:34475079:1 gene:Manes.09G147000.v8.1 transcript:Manes.09G147000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQEQVEDVESASSDSYIADSDVDEPSTSGQDDGMHLDEPLTELEIEDLVAEFLEVESKAAEAQEALEKESLLKVESDVREELAQTLHGDDLETAVENEMSTFKEEWEAVLDELETESAHLLEQLDGAGIELPSLYKWVESQAPNGCQTEAWKRRAHWVGSQVTSEITDVVADAEKYLQSHRPVRRRHGKLLEEGASGFLEKKLSTDGTKGDVAENGDVDWDSLKKLFSGGLSKDIASFGSKHWAAVYLANTPQEAAEMGLRFPGVDEVEEIEDIDGSSSDPFIADAIENEKELILSEEQRKNYRKVKEEDDARIDQKLQHHLKQRRRRKRSKQVMEGKAYGLSSPRDISDEKTHEHGEDLPSENSKKDFCEISKNLDPEQAMSNGDSVFSEPDIIEARRSKRVNESEEPKIDAKKIRPVIIDSDDEADAVMDQSVCNAGKVEDQSTLQENNGDSSDDSHLMHGVNGEFRCTACDRIAVEVHSHPLMKVIVCEDCKSLIEEKMHMKDPDCSECYCGWCGQSNDLVSCKSCKTLFCATCIKRNIGEDCLSKVQASGWQCCCCLPSQLQRLTSELEKAMESEDLMVSSSDSESENSDADTGVAISKRKKKKKKKKKKKKIRRILDDAELGEETQRKIAIEKERQERLKSLKVEFTDKTKMMSFASCNGDLPEGATVEVLGDAATGYIVNVVREKGEEAVRIPPSISAKLKAHQVAGIRFMWENIVQSIGKVKSGDRGLGCILAHTMGLGKTFQVIAFLYIAMRSVDLGLRTALIVTPVNVLHNWRHEFLKWKPSEVKPLRVFMLEDVSRDRRAELFAKWRTKGGVFLIGYTAFRNLSFGKHVKDRNMAREICYALQDGPDILVCDEAHMIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTTNDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVIAVKLSPLQRKLYKRFLVVHGFTNDKVSNEKIRKSFFAGYQALAQIWNHPGILQLRKDRDYVSREEAVENFIGDESSSDENVDYNNILGEKPRSANNFVHGRRDGGFFLKDWWNDLLHENNYKELDYSGKMVLLLDILTVCSHVGDKALVFSQSIPTLDLIEFYLSRLPRHGKRGKFWRKGKDWYRLDGRTESSERQKLVENFNDPVNKRVKCALISTRAGSLGINLHAANRVVIVDGSWNPTYDLQAIYRAWRYGQKKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISREEMLHLFEFGDDENSDPLTDIGQEDGQADDCSMSGVGNSLKQRVPLSHGSCSSDKLMESLLSRHHPRWIANYHEHETLLQENEEEKLTKEEQDMAWEVYRRTLEWEEVQRVSLDESTFERKPPVPSVAPSAPDTSSLPTSSMTPPAPEASNSNVTPYKSNFRNRMLQRKCTNLSHLLTLRSQGTKVGCTTVCGECAQEISWEDLNRDGRMAR >Manes.04G155600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35050735:35056392:1 gene:Manes.04G155600.v8.1 transcript:Manes.04G155600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLSPVSLSHTTCGSLLQELQKIWDEIGEADNERDRMLQQLEEECLDIYCRKVEMTRKYKADLHQSLDDSETEISGLASALGENVSFSRFKGTLKQQISTIKPVLEDLRLKKQGRMKEFFEIQLQISGICTEIAGTGHSVNPTDPKVNEQDLTLKRLMELKAHLKELQNEKILRLQKVNSNISMIHDLSVVMSIDFFETVNDVHPSLIDSANGQSKSISNDTLARLTGVIHSLKQDKQQRLQKLQALGHMLVELWDLMDIPIEDRRKWNHITTLSSSSVNEVSRQGSLALEVIEQTEVEVARLNALKAGKLKELVFKRQNELEEIYRGVHMDVDSDAARQILISAIESGNVDLSDLLLSMDDQIRKAKEQALSRKEILDKVEKWKYASEEEKWLDEYEKDENRYSAGRGAHKNLKRAEKARILVSKIPSILENLTAKVKAWELEKGIPFLYDKAPLLNTLEEYAVLRQEREEEKRRSREQKRQQEQFVAEQEALYGSRPNVKKPLGQSNSANAVIGTPVGRRLAAPGLHAISSGKERRESRVLNVTPINYVALPKNDPASRG >Manes.04G155600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35050735:35056392:1 gene:Manes.04G155600.v8.1 transcript:Manes.04G155600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLSPVSLSHTTCGSLLQELQKIWDEIGEADNERDRMLQQLEEECLDIYCRKVEMTRKYKADLHQSLDDSETEISGLASALGENVSFSRFKGTLKQQISTIKPVLEDLRLKKQGRMKEFFEIQLQISGICTEIAGTGHSVNPTDPKVNEQDLTLKRLMELKAHLKELQNEKILRLQKVNSNISMIHDLSVVMSIDFFETVNDVHPSLIDSANGQSKSISNDTLARLTGVIHSLKQDKQQRLQKLQALGHMLVELWDLMDIPIEDRRKWNHITTLSSSSVNEVSRQGSLALEVIEQTEVEVARLNALKAGKLKELVFKRQNELEEIYRGVHMDVDSDAARQILISAIESGNVDLSDLLLSMDDQIRKAKEQALSRKEILDKVEKWKYASEEEKWLDEYEKDENRYSAGRGAHKNLKRAEKARILVSKIPSILENLTAKVKAWELEKGIPFLYDKAPLLNTLEEYAVLRQEREEEKRRSREQKRQQEQFVAEQEALYGSRPNVKKPLGQSNSANAVIGTPVGRRLAAPGLHAISSGKERRESRVLNVTPINYVALPKNDPASRGCR >Manes.04G155600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35050686:35057821:1 gene:Manes.04G155600.v8.1 transcript:Manes.04G155600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLSPVSLSHTTCGSLLQELQKIWDEIGEADNERDRMLQQLEEECLDIYCRKVEMTRKYKADLHQSLDDSETEISGLASALGENVSFSRFKGTLKQQISTIKPVLEDLRLKKQGRMKEFFEIQLQISGICTEIAGTGHSVNPTDPKVNEQDLTLKRLMELKAHLKELQNEKILRLQKVNSNISMIHDLSVVMSIDFFETVNDVHPSLIDSANGQSKSISNDTLARLTGVIHSLKQDKQQRLQKLQALGHMLVELWDLMDIPIEDRRKWNHITTLSSSSVNEVSRQGSLALEVIEQTEVEVARLNALKAGKLKELVFKRQNELEEIYRGVHMDVDSDAARQILISAIESGNVDLSDLLLSMDDQIRKAKEQALSRKEILDKVEKWKYASEEEKWLDEYEKDENRYSAGRGAHKNLKRAEKARILVSKIPSILENLTAKVKAWELEKGIPFLYDKAPLLNTLEEYAVLRQEREEEKRRSREQKRQQEQFVAEQEALYGSRPNVKKPLGQSNSANAVIGTPVGRRLAAPGLHAISSGKERRESRVLNVTPINYVALPKNDPASRG >Manes.04G155600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35050735:35055732:1 gene:Manes.04G155600.v8.1 transcript:Manes.04G155600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLSPVSLSHTTCGSLLQELQKIWDEIGEADNERDRMLQQLEEECLDIYCRKVEMTRKYKADLHQSLDDSETEISGLASALGENVSFSRFKGTLKQQISTIKPVLEDLRLKKQGRMKEFFEIQLQISGICTEIAGTGHSVNPTDPKVNEQDLTLKRLMELKAHLKELQNEKILRLQKVNSNISMIHDLSVVMSIDFFETVNDVHPSLIDSANGQSKSISNDTLARLTGVIHSLKQDKQQRLQKLQALGHMLVELWDLMDIPIEDRRKWNHITTLSSSSVNEVSRQGSLALEVIEQTEVEVARLNALKAGKLKELVFKRQNELEEIYRGVHMDVDSDAARQILISAIESGNVDLSDLLLSMDDQIRKAKEQALSRKEILDKVEKWKYASEEEKWLDEYEKDENRYSAGRGAHKNLKRAEKARILVSKIPSILENLTAKVKAWELEKGIPFLYDKAPLLNTLEEYAVLRQEREEEKRRSRKRQQEQFVAEQEALYGSRPNVKKPLGQSNSANAVIGTPVGRRLAAPGLHAISSGKERRESRVLNVTPINYVALPKNDPASRG >Manes.03G200100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31651807:31656374:1 gene:Manes.03G200100.v8.1 transcript:Manes.03G200100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRETPPNNIHTVQAERDLGANWEVDLASKLEDYLLKICSGEITAAEDYNTNASVNFAEAALLLQGSVQVYSRKVEYLYNLVLHALEFISQKRQEQSEGTSVQPDQSGSHAVSDEENDQFWCLDDIPVEARNSLDDSTSKDGPFYHFVKPPANLVVLEGDCLDTSGDAGELESYLLATNDLYRDFILLDPCDSVAVDDFLMGDETGKVPNSIYRGSSTRKSFQSPTRRSGGTARISSLGKNLDENLTKPPMAYCSVGVNDCNVEDANLGFDMEDGYSEPGNLEDSEDDDDNYDPWKPLNPHEPGNLKVKPFRKVKPYRRNGVNSAKQTLMTTLFPLARMHGTINPELTEIWKARHKGYESNSQSPPLYEKLRQSLSDGYNSSNVTFDNAENANEGIEHDDGNSYFEQPDDEMPESMYMNEDLPQNKKFDDSSIQFDANKAFGHEEPSSQTSLEDLCRFHLDALLADIAETEKQTELASRVSSWKQKIEHNLEEQDARLPFDIHAYGERIIDKLSLEVNNDNVMSFVDIVKGQEKHDVARMFSALLQLVNNGDVYLEKSGANGESICYTAVNPFHIRLLNQDKRRKHNQFKLPKKRVKSPMIQGYEKRSRDKFGRLTSPLVNSSSQGESTMLPSQNNCKTSVKLGKVSGVRRTPESKRRRRSRIVEPIDLHSTR >Manes.16G038500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4957884:4960413:1 gene:Manes.16G038500.v8.1 transcript:Manes.16G038500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNDSVLEPASESETAANYTNLGPCSRPQVIYRCKKCRRIVASAENIVSHERGKGEQCFKWKKRSGDLLEKEPAECSSIFVEPMKWMQTVQEGFVGEKLQCIGCKARLGSFNWAGMQCNCEMSGSPSNGSRETLHIGDLRFSMLRGLIEAGHISELGGPKYIN >Manes.16G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4957884:4960413:1 gene:Manes.16G038500.v8.1 transcript:Manes.16G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNDSVLEPASESETAANYTNLGPCSRPQVIYRCKKCRRIVASAENIVSHERGKGEQCFKWKKRSGDLLEKEPAECSSIFVEPMKWMQTVQEGFVGEKLQCIGCKARLGSFNWAGMQCNCEMSGSPSNGSRETLHIGDLRFSMLRGLIEAGHISELGGPKYIN >Manes.14G022700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2198647:2200917:1 gene:Manes.14G022700.v8.1 transcript:Manes.14G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSAAPVFKPFLCIRASNLFPKPIPTSLFSIPAPTRYHSLFHGCRRTSSFAICFVLEDSKQSLQIENLVPEQSEEVNYQILTPRMAQRLARKRSERFTYLVAAVMSSFGITSMAVLACYYRFYWQMEGGEVPLLEMFGTFSLSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAIGLLYYGFFNKGLFPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSEKFNGVPYGLFLGPKEIEEVGGLEELEKEMNRRIKYYQGS >Manes.09G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8275122:8278737:-1 gene:Manes.09G047500.v8.1 transcript:Manes.09G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSATSTTGLISSIAATTKSMASPISKPSQTVTLPSSFFGQRKPLLQSRSPRSVSLKRGNHSRKSFVVKASGDLPLVGNTAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYAEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSIAKSYGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGDKSMKPDPKLSKEYFSAI >Manes.02G037500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3099977:3100666:-1 gene:Manes.02G037500.v8.1 transcript:Manes.02G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVPTMFFIFMLFMVISLPPLYACVSCTQPHPPTHRPTHPKVPHPKPPTTKHPPHKCHPPSKNPPLPPIVLPPIIINPPPVTNPPVIITPPPSSGYPPYIGGPPGGGGGGGGGGGGGGGGGGGGGGGGGGGGVYPPPTTQPTCPIDALKLGACVDVLGGLVHIGLGNPVENVCCPVLKGLLELEAAICLCITLRLKLLNLNIFIPLALQVLITCGKTPPPGFVCPPL >Manes.11G053800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6638195:6641177:-1 gene:Manes.11G053800.v8.1 transcript:Manes.11G053800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLHRLSLRLIPVSLVGKCLTPTPLPPISRSFRMPMSKPEFITSNFSFIARKSISSSTVAKSGWLLGLGQKKRTSLQDIVKAGDPVLHEQAREVDPEEIGSETIQKIIDDMVKTMRMAPGVGLAAPQIGIPLRIIVLEDTKEYIGYAPKEETKAQDRRPFDLLVILNPKLKKKSNRTAFFFEGCLSVDGFRAVVERYLDVEVTGLSRYGQPIKVEASGWQARILQHECDHLDGTLYVDKMVPKTFRAVENLDLPLAEGCPKLGNR >Manes.16G027432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2949504:2953962:1 gene:Manes.16G027432.v8.1 transcript:Manes.16G027432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKKDLSKAVNILQALYELAIREFPRRKRTIEQLRQKGLTPHSPATDEGLLFENAIEFPDAEDEFLSRNLRRLHTILTSRYSMRNVPKNIEARRRIAFFSNSLFMNMPHAPNVDKMMAFSVLTPYYEEEVCFAKEMLRRPNEDGISTIFYLQKIYEDEWNNFIERMHREGMEEDDDIWDKKSRDLRLWASYRGQTLARTVRGMMYYYRALKMLSYLDSASEIDMRMGTQELASHHSSKKIHSLDGLNSVKPPSSPKLDRASSGVSLLFKGHEYGNALMKFTYVVSCQEYGQQKARGDAHAGEILYLMKNNEALRVAYVDEVHLGRDEVEYYSVLVKYDQQLQREVEIYRIRLPGSLKIGEGKSENQNHAIVFTRGDAVQTIDMNQDNYFEEALKMRNLLQEFKTHYGISRPTILGVRENIFTGSVSSLASFMSVQEMGFVTLGQRVLANPLKVRMHYGQADVFDRFWFLQRGGLSKASRVINISEDVFAGFNCTLRSGNVTHHEYIQVGKGMDVGLNEISVFEAKVAIGSGEQILSRDVYRLGHRLDFFRMLSFYFTTVGFYFNTMVVVLIVYAFLWGHLYLALSGAEHYAMSNSVSNKVLAAILNQQFIIQLGLFTLLPMIVENSLEHGFLPAVRDFLIMQLQLASFFYTFSIGTRAHFFGRTILQGGAKYRATARGFVVQHKSYAENYRLFARSHFVKAIELAIILMLYTSRSDLAASTSVYIIMTISCWFLVVSWIISPFLFNPSGFDWLKTVYDFEDFMNWIWYRGVLAKPDQSWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLNISSGNTSVAVYLLSWIYMIAAVGIYVGISYAQDKFAANECIKYRLTQLLVITVAILVIVLLHEFTKFTFLDLMSSLLLFIPTGWGLICIAQVLRPFLQSTIVWDTVVSLARLYDMLIGVIVMVPVASLSWLPGFQAMQTRILFNEAFSRGLQISLILTGKKSN >Manes.13G018350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1685518:1685839:1 gene:Manes.13G018350.v8.1 transcript:Manes.13G018350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTEIWAMCGASDYFRGLRNRSYKSRISGMEYESDWTRHRKSSAFTAQEIRSATPFMPWSCRISIGDSYHEFL >Manes.04G149300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34589235:34592060:1 gene:Manes.04G149300.v8.1 transcript:Manes.04G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTAAAPTRALSQKELDIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESSLGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPQGHKWDVMVDLFFYREPEETKEQEEEEAVPVADYALPSTDFGLSAPDWGAQITEGQWTAEAAPPPISAVPAANFYPEQSSGFSNEWDAAAPPPQFAGAVAPAASAAPAASAAPTAPTGWE >Manes.14G072500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6019209:6019868:1 gene:Manes.14G072500.v8.1 transcript:Manes.14G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSPIRLLGCLIVLLLLPTRINSADPDPLQDFCVADLKASPSLNGFPCKPAEEVTSDDFFSDALSKESNTTNIFGWSVTPANVLTFPGLNTLGISMNRVEIAPGGLNPPHSHPRASETGVVIEGKLLVGFVTTSNVFHSKVLSAGQMFVIPRGLVHFQLNVGEEKALLFTSFNSHLPGVVIAPTSLFASSPPIPNQVLTKAFRVGEDTIETIKSKFG >Manes.02G146550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11277880:11278862:1 gene:Manes.02G146550.v8.1 transcript:Manes.02G146550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTDVILHIYDVTNSGSEKTNNSIMQINKIFKDGIGFSGIFHSAVQVLQNQQWLFQGDLRPSRL >Manes.01G274800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42933833:42942431:-1 gene:Manes.01G274800.v8.1 transcript:Manes.01G274800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVLRLGDFLKVRYCFPFLAHSQTFSLLSVIYIPKTLNLLFIQPHSYSSQSSNSKYSDYLHQFSPFNFPNYDYLGSLNSNERRLVVVGLSKLIKQQQGYILKGFYRNFCPYLLVKIMTLLKSRKSACAFFKFAFQNDSDTTVKSCCLAAHLLAAENLQLLAQDVISWIILRIGASRSRELVEFMWANHHEYESDFSLLYTLMWGFLHSQMVYEALEILDRMREVGVTPSSSAMTILFRLLLRVGDYGSVWKLLRGMIREGPRPCNFNFNVIILCFCQKGYVRIGESLLYVMQKFSCQPDVYTYNILISGYCTRGRTSDALGVVHLMIKNGCKPSLVTFNIVINAFSKQGNMEEARKIFEGIQEVGLSPNVEMYNTLMNGYFKASDVDQVNILYEEMRNRGLAPDGSTFNILFAGHCKYGREEDSHRLLRDLSYLGFLPDCSLYDITVAGLCWAGRLGEAMEFLEDMLEKGIPLSVIAFNSVIAAYSRAGLEENANRAYKVMAMFGLVPSSSTCSSLLLGLSKNGRLQEARGLLHMMIEKGFPINKVAFTVLLDGYFKAGDITGAHSLWHEMEARGIYPDAVAFSAFIDGLSKAGLLEEAYDAFLDMSRKGFVANNFTYNSLIHGFCKCGKLHEALKLEREMRQKGLLPDIFTINIIINGFCKEGRMKAAIDVFTDMHRIGLTPDIVTYNTLIGGYCKVFDMVGADEFLNKMYASGWDPDITSYNIYIHGFYSTRKTSQAVMMLDNLIAVGVFPDTVTYNTMLNVVCTDILDRAMILTAKLLKMAFVPNVVTTNLLLSHFCKQGMPEKTLLWGQKLSEISFTFDKVSYKIMEKAYIKVQNKVKPLRATSAKSLFLDSLMYITYDYFRRISTNGKTSQDPQTN >Manes.01G274800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42933833:42942431:-1 gene:Manes.01G274800.v8.1 transcript:Manes.01G274800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVLRLGDFLKMVYEALEILDRMREVGVTPSSSAMTILFRLLLRVGDYGSVWKLLRGMIREGPRPCNFNFNVIILCFCQKGYVRIGESLLYVMQKFSCQPDVYTYNILISGYCTRGRTSDALGVVHLMIKNGCKPSLVTFNIVINAFSKQGNMEEARKIFEGIQEVGLSPNVEMYNTLMNGYFKASDVDQVNILYEEMRNRGLAPDGSTFNILFAGHCKYGREEDSHRLLRDLSYLGFLPDCSLYDITVAGLCWAGRLGEAMEFLEDMLEKGIPLSVIAFNSVIAAYSRAGLEENANRAYKVMAMFGLVPSSSTCSSLLLGLSKNGRLQEARGLLHMMIEKGFPINKVAFTVLLDGYFKAGDITGAHSLWHEMEARGIYPDAVAFSAFIDGLSKAGLLEEAYDAFLDMSRKGFVANNFTYNSLIHGFCKCGKLHEALKLEREMRQKGLLPDIFTINIIINGFCKEGRMKAAIDVFTDMHRIGLTPDIVTYNTLIGGYCKVFDMVGADEFLNKMYASGWDPDITSYNIYIHGFYSTRKTSQAVMMLDNLIAVGVFPDTVTYNTMLNVVCTDILDRAMILTAKLLKMAFVPNVVTTNLLLSHFCKQGMPEKTLLWGQKLSEISFTFDKVSYKIMEKAYIKVQNKVKPLRATSAKSLFLDSLMYITYDYFRRISTNGKTSQDPQTN >Manes.01G274800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42938256:42942431:-1 gene:Manes.01G274800.v8.1 transcript:Manes.01G274800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVLRLGDFLKVRYCFPFLAHSQTFSLLSVIYIPKTLNLLFIQPHSYSSQSSNSKYSDYLHQFSPFNFPNYDYLGSLNSNERRLVVVGLSKLIKQQQGYILKGFYRNFCPYLLVKIMTLLKSRKSACAFFKFAFQNDSDTTVKSCCLAAHLLAAENLQLLAQDVISWIILRIGASRSRELVEFMWANHHEYESDFSLLYTLMWGFLHSQMVYEALEILDRMREVGVTPSSSAMTILFRLLLRVGDYGSVWKLLRGMIREGPRPCNFNFNVIILCFCQKGYVRIGESLLYVMQKFSCQPDVYTYNILISGYCTRGRTSDALGVVHLMIKNGCKPSLVTFNIVINAFSKQGNMEEARKIFEGIQEVGLSPNVEMYNTLMNGYFKASDVDQVNILYEEMRNRGLAPDGSTFNILFAGHCKYGREEDSHRLLRDLSYLGFLPDCSLYDITVAGLCWAGRLGEAMEFLEDMLEKGIPLSVIAFNSVIAAYSRAGLEENANRAYKVMAMFGLVPSSSTCSSLLLGLSKNGRLQEARGLLHMMIEKGFPINKVAFTVLLDGYFKAGDITGAHSLWHEMEARGIYPDAVAFSAFIDGLSKAGLLEEAYDAFLDMSRKGFVANNFTYNSLIHGFCKCGKLHEALKLEREMRQKGLLPDIFTINIIINGFCKEGRMKAAIDVFTDMHRIGLTPDIVTYNTLIGGYCKVFDMVGADEFLNKMYASGWDPDITSYNIYIHGFYSTRKTSQAVMMLDNLIAVGVFPDTGMPEKTLLWGQKLSEISFTFDKVSYKIMEKAYIKVQNKVKPLRATSAKSLFLDSLMYITYDYFRRISTNGKTSQDPQTN >Manes.01G274800.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42933757:42942431:-1 gene:Manes.01G274800.v8.1 transcript:Manes.01G274800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVLRLGDFLKVRYCFPFLAHSQTFSLLSVIYIPKTLNLLFIQPHSYSSQSSNSKYSDYLHQFSPFNFPNYDYLGSLNSNERRLVVVGLSKLIKQQQGYILKGFYRNFCPYLLVKIMTLLKSRKSACAFFKFAFQNDSDTTVKSCCLAAHLLAAENLQLLAQDVISWIILRIGASRSRELVEFMWANHHEYESDFSLLYTLMWGFLHSQMVYEALEILDRMREVGVTPSSSAMTILFRLLLRVGDYGSVWKLLRGMIREGPRPCNFNFNVIILCFCQKGYVRIGESLLYVMQKFSCQPDVYTYNILISGYCTRGRTSDALGVVHLMIKNGCKPSLVTFNIVINAFSKQGNMEEARKIFEGIQEVGLSPNVEMYNTLMNGYFKASDVDQVNILYEEMRNRGLAPDGSTFNILFAGHCKYGREEDSHRLLRDLSYLGFLPDCSLYDITVAGLCWAGRLGEAMEFLEDMLEKGIPLSVIAFNSVIAAYSRAGLEENANRAYKVMAMFGLVPSSSTCSSLLLGLSKNGRLQEARGLLHMMIEKGFPINKVAFTVLLDGYFKAGDITGAHSLWHEMEARGIYPDAVAFSAFIDGLSKAGLLEEAYDAFLDMSRKGFVANNFTYNSLIHGFCKCGKLHEALKLEREMRQKGLLPDIFTINIIINGFCKEGRMKAAIDVFTDMHRIGLTPDIVTYNTLIGGYCKVFDMVGADEFLNKMYASGWDPDITSYNIYIHGFYSTRKTSQAVMMLDNLIAVGVFPDTVTYNTMLNVVCTDILDRAMILTAKLLKMAFVPNVVTTNLLLSHFCKQGMPEKTLLWGQKLSEISFTFDKVSYKIMEKAYIKVQNKVKPLRATSAKSLFLDSLMYITYDYFRRISTNGKTSQDPQTN >Manes.01G274800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42933833:42942431:-1 gene:Manes.01G274800.v8.1 transcript:Manes.01G274800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVLRLGDFLKMVYEALEILDRMREVGVTPSSSAMTILFRLLLRVGDYGSVWKLLRGMIREGPRPCNFNFNVIILCFCQKGYVRIGESLLYVMQKFSCQPDVYTYNILISGYCTRGRTSDALGVVHLMIKNGCKPSLVTFNIVINAFSKQGNMEEARKIFEGIQEVGLSPNVEMYNTLMNGYFKASDVDQVNILYEEMRNRGLAPDGSTFNILFAGHCKYGREEDSHRLLRDLSYLGFLPDCSLYDITVAGLCWAGRLGEAMEFLEDMLEKGIPLSVIAFNSVIAAYSRAGLEENANRAYKVMAMFGLVPSSSTCSSLLLGLSKNGRLQEARGLLHMMIEKGFPINKVAFTVLLDGYFKAGDITGAHSLWHEMEARGIYPDAVAFSAFIDGLSKAGLLEEAYDAFLDMSRKGFVANNFTYNSLIHGFCKCGKLHEALKLEREMRQKGLLPDIFTINIIINGFCKEGRMKAAIDVFTDMHRIGLTPDIVTYNTLIGGYCKVFDMVGADEFLNKMYASGWDPDITSYNIYIHGFYSTRKTSQAVMMLDNLIAVGVFPDTVTYNTMLNVVCTDILDRAMILTAKLLKMAFVPNVVTTNLLLSHFCKQGMPEKTLLWGQKLSEISFTFDKVSYKIMEKAYIKVQNKVKPLRATSAKSLFLDSLMYITYDYFRRISTNGKTSQDPQTN >Manes.01G274800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42938256:42942431:-1 gene:Manes.01G274800.v8.1 transcript:Manes.01G274800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVLRLGDFLKVRYCFPFLAHSQTFSLLSVIYIPKTLNLLFIQPHSYSSQSSNSKYSDYLHQFSPFNFPNYDYLGSLNSNERRLVVVGLSKLIKQQQGYILKGFYRNFCPYLLVKIMTLLKSRKSACAFFKFAFQNDSDTTVKSCCLAAHLLAAENLQLLAQDVISWIILRIGASRSRELVEFMWANHHEYESDFSLLYTLMWGFLHSQMVYEALEILDRMREVGVTPSSSAMTILFRLLLRVGDYGSVWKLLRGMIREGPRPCNFNFNVIILCFCQKGYVRIGESLLYVMQKFSCQPDVYTYNILISGYCTRGRTSDALGVVHLMIKNGCKPSLVTFNIVINAFSKQGNMEEARKIFEGIQEVGLSPNVEMYNTLMNGYFKASDVDQVNILYEEMRNRGLAPDGSTFNILFAGHCKYGREEDSHRLLRDLSYLGFLPDCSLYDITVAGLCWAGRLGEAMEFLEDMLEKGIPLSVIAFNSVIAAYSRAGLEENANRAYKVMAMFGLVPSSSTCSSLLLGLSKNGRLQEARGLLHMMIEKGFPINKVAFTVLLDGYFKAGDITGAHSLWHEMEARGIYPDAVAFSAFIDGLSKAGLLEEAYDAFLDMSRKGFVANNFTYNSLIHGFCKCGKLHEALKLEREMRQKGLLPDIFTINIIINGFCKEGRMKAAIDVFTDMHRIGLTPDIVTYNTLIGGYCKVFDMVGADEFLNKMYASGWDPDITSYNIYIHGFYSTRKTSQAVMMLDNLIAVGVFPDTGMPEKTLLWGQKLSEISFTFDKVSYKIMEKAYIKVQNKVKPLRATSAKSLFLDSLMYITYDYFRRISTNGKTSQDPQTN >Manes.01G274800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42933757:42942431:-1 gene:Manes.01G274800.v8.1 transcript:Manes.01G274800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVLRLGDFLKVRYCFPFLAHSQTFSLLSVIYIPKTLNLLFIQPHSYSSQSSNSKYSDYLHQFSPFNFPNYDYLGSLNSNERRLVVVGLSKLIKQQQGYILKGFYRNFCPYLLVKIMTLLKSRKSACAFFKFAFQNDSDTTVKSCCLAAHLLAAENLQLLAQDVISWIILRIGASRSRELVEFMWANHHEYESDFSLLYTLMWGFLHSQMVYEALEILDRMREVGVTPSSSAMTILFRLLLRVGDYGSVWKLLRGMIREGPRPCNFNFNVIILCFCQKGYVRIGESLLYVMQKFSCQPDVYTYNILISGYCTRGRTSDALGVVHLMIKNGCKPSLVTFNIVINAFSKQGNMEEARKIFEGIQEVGLSPNVEMYNTLMNGYFKASDVDQVNILYEEMRNRGLAPDGSTFNILFAGHCKYGREEDSHRLLRDLSYLGFLPDCSLYDITVAGLCWAGRLGEAMEFLEDMLEKGIPLSVIAFNSVIAAYSRAGLEENANRAYKVMAMFGLVPSSSTCSSLLLGLSKNGRLQEARGLLHMMIEKGFPINKVAFTVLLDGYFKAGDITGAHSLWHEMEARGIYPDAVAFSAFIDGLSKAGLLEEAYDAFLDMSRKGFVANNFTYNSLIHGFCKCGKLHEALKLEREMRQKGLLPDIFTINIIINGFCKEGRMKAAIDVFTDMHRIGLTPDIVTYNTLIGGYCKVFDMVGADEFLNKMYASGWDPDITSYNIYIHGFYSTRKTSQAVMMLDNLIAVGVFPDTVTYNTMLNVVCTDILDRAMILTAKLLKMAFVPNVVTTNLLLSHFCKQGMPEKTLLWGQKLSEISFTFDKVSYKIMEKAYIKVQNKVKPLRATSAKSLFLDSLMYITYDYFRRISTNGKTSQDPQTN >Manes.11G022900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2380658:2383709:-1 gene:Manes.11G022900.v8.1 transcript:Manes.11G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEDMPPFWLQTTDRRHRLRSRASSFFLNSGVLLIVLLVLAFVFVFIIVPSFVSLISQIFRPQLIKKSWDYLNFVLVMFAIVCGFLNNNNGDKSNSGSRSYRSLSNGAQDLQRSYPSTPANRWYDQYQDRPAFSSLNRLRSFSSYPDLRQESLWLKNDERWQFYDDTYLNSYRVSRSSDQLQDHRPQQQQRQRQQEQEEEAVTEDVAVDSSIKEVVYTTPPPPSSPSPPQPPSPAAAPLSPQLPPPTSVRRKVKRTYQDLEHKKRREERDLEVENYIPATSIEQTPPPPPRSPPPVFSKSEKRRGKDFFTSLRRKRKRQRQKSVENLERLFDPQPSSSPFIPPPSPPPPPPPPPPPPHFFQNLFSTKKGKSKKENSVPPPPPPPVTSSSRTRVSKIVFQNVTLSLESRASRTTTVKVAEVTAHHAPKAVKTGGSSRRVEENVESGHSSPLIPIPPPPPPPPFKTSWKFVQDGDYVRVASFNSSRSGSPDLDSEDPSDKESSPMGRKDGDSTMPMFCPSPDVNTKAENFIAKFRAGLNLEKVNSVKRRSNLGPGPDRVEGDDQS >Manes.05G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8141243:8143461:1 gene:Manes.05G089300.v8.1 transcript:Manes.05G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSHFPADDEVAHEFRFFRVYKDGRIHMLMPTWETIPPFDDPATGVKSKDVTISTEPPVSVRIFLPKLQSPTQKLPLLFYIHGGGFSMMSAFSPPYHNYCAALAAEANFLVVSVEYGLFPTRPIPACYEDSWAALQWVTSHVNRNGPEQWLNEHADFEKVFIGGDSAGGNISHTLAFRVGTIGLPAGVKVVGVILVHPYFGGTEDDEMWLCMCPDNRGMDDPRMNPPVEDVGRLGCEKVLIFVAEKDHLNIVGKNYSEKLEKSGWKGSFELVENENEEHCFHIRYPNHDKAGELNRKLVSFLKQE >Manes.02G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2867344:2870147:1 gene:Manes.02G034600.v8.1 transcript:Manes.02G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEFFSHPQFEGGQLRLAAVPKTEFCSSNYTRVGILFALQKQSKIFVKSDGFSRIWRRMIELEAITEGSAGRERKEVAAFMEKLRASMLKYMTI >Manes.04G077100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27873902:27874306:-1 gene:Manes.04G077100.v8.1 transcript:Manes.04G077100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTCVSPNGWERLTTVATKYKNNLPSSALTFWHHQRGPSTTTSSRCCSHLFSAFGAYRRPFGPATLLSGARLRYSLLATRYFWCSPSSPMVTRCSPLAENLAATLSNPLFVTVVIPLYLSLFCLLQAQLDHL >Manes.04G077100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27872121:27874315:-1 gene:Manes.04G077100.v8.1 transcript:Manes.04G077100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTCVSPNGWERLTTVATKYKNNLPSSALTFWHHQRGPSTTTSSRCCSHLFSAFGAYRRPFGPATLLSGARLRYSLLATRYFWCSPSSPMVTRCSPLAENLAATLSNPLFVTVVIPLYLSLFCLLQAQLDHL >Manes.04G077100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27872121:27874315:-1 gene:Manes.04G077100.v8.1 transcript:Manes.04G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTCVSPNGWERLTTVATKYKNNLPSSALTFWHHQRGPSTTTSSRCCSHLFSAFGAYRRPFGPATLLSGARLRYSLLATRYFWCSPSSPMVTRCSPLAENLAATLSNPLFVTG >Manes.04G077100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27872121:27874315:-1 gene:Manes.04G077100.v8.1 transcript:Manes.04G077100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTCVSPNGWERLTTVATKYKNNLPSSALTFWHHQRGPSTTTSSRCCSHLFSAFGAYRRPFGPATLLSGARLRYSLLATRYFWCSPSSPMVTRCSPLAENLAATLSNPLFVTVQKKRILIQQLIALPKG >Manes.04G077100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27872121:27874315:-1 gene:Manes.04G077100.v8.1 transcript:Manes.04G077100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTCVSPNGWERLTTVATKYKNNLPSSALTFWHHQRGPSTTTSSRCCSHLFSAFGAYRRPFGPATLLSGARLRYSLLATRYFWCSPSSPMVTRCSPLAENLAATLSNPLFVTVG >Manes.04G077100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27872121:27874315:-1 gene:Manes.04G077100.v8.1 transcript:Manes.04G077100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTCVSPNGWERLTTVATKYKNNLPSSALTFWHHQRGPSTTTSSRCCSHLFSAFGAYRRPFGPATLLSGARLRYSLLATRYFWCSPSSPMVTRCSPLAENLAATLSNPLFVTVQKKRILIQQLIALPKVFMF >Manes.08G063900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8802101:8805506:1 gene:Manes.08G063900.v8.1 transcript:Manes.08G063900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSRSQVITCKAAVCWGHGEPVKIEDIQVEPPKSSEIRVKMLYASLCHTDILCSHGFPFPLYPRVLGHEGVGVVESTGDEVEGLREGDLVIPGYVGECEECENCTSGKTNLCLKFALTFSGLMPDGSSRMSVRGQKLYHVLSCSTWSEYMVVDANLVSKIDPTLNLAHASFLSCGFSTGFGSAWKVAEVEKGSTVAVLGLGAVGLGAVEAARIQGAIKIIGVDINARKEEKAKAFGMTDFINPEGSEKSISELIKEKTGGLGVDVCIECSGVTSLINEALMATKPGIGKTIVVGATNEANIKVNSLYLLLGGTLKGCIFGGLKLKSDFPYLFDKYNKKELHLDELLTHEVPLEDINKAFQIIKEPDCVKVLIKIK >Manes.08G063900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8802101:8805208:1 gene:Manes.08G063900.v8.1 transcript:Manes.08G063900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSRSQVITCKAAVCWGHGEPVKIEDIQVEPPKSSEIRVKMLYASLCHTDILCSHGFPFPLYPRVLGHEGVGVVESTGDEVEGLREGDLVIPGYVGECEECENCTSGKTNLCLKFALTFSGLMPDGSSRMSVRGQKLYHVLSCSTWSEYMVVDANLVSKIDPTLNLAHASFLSCGFSTGFGSAWKVAEVEKGSTVAVLGLGAVGLGAVEAARIQGAIKIIGVDINARKEEKAKAFGMTDFINPEGSEKSISELIKEKTGGLGVDVCIECSGVTSLINEALMATKPGIGKTIVVGATNEANIKVNSLYLLLGGTLKGCIFGGLKLKSDFPYLFDKYNKKELHLDELLTHEVPLEDINKAFQIIKEPDCVKVLIKIK >Manes.08G063900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8802101:8805209:1 gene:Manes.08G063900.v8.1 transcript:Manes.08G063900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYVTLTSYAAMAFHLVVESTGDEVEGLREGDLVIPGYVGECEECENCTSGKTNLCLKFALTFSGLMPDGSSRMSVRGQKLYHVLSCSTWSEYMVVDANLVSKIDPTLNLAHASFLSCGFSTGFGSAWKVAEVEKGSTVAVLGLGAVGLGAVEAARIQGAIKIIGVDINARKEEKAKAFGMTDFINPEGSEKSISELIKEKTGGLGVDVCIECSGVTSLINEALMATKPGIGKTIVVGATNEANIKVNSLYLLLGGTLKGCIFGGLKLKSDFPYLFDKYNKKELHLDELLTHEVPLEDINKAFQIIKEPDCVKVLIKIK >Manes.05G180500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29733886:29734995:1 gene:Manes.05G180500.v8.1 transcript:Manes.05G180500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWDKVAHPVRRVFKGVALRIGIRKRGLLKLHHDVRACEYEDVRVMWEMLRRNETENAKLSGKNKKRCFWDCFSWTPYFCHSC >Manes.06G095300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22999432:23005097:-1 gene:Manes.06G095300.v8.1 transcript:Manes.06G095300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTVLFGKKSSKSHTAKRRERSANEREVLVSAQATEADSISVPPVTSHPTPVITVLSDRQLELESQVTANLPHNGGTLLPGNQEADLQGSTTQVSLSDAEKIRQEKAATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAVATLCCVLGIVKLQALARGIKVRNSYGGRHALKKFSMEGKHGDLNGANISIQRARLSANAFVHKLVASSPTVMPLRLYYDPAEPNSVSNWLERWSASNFWKPIPQPKKISYPKTQRKQVNGHMPEAETGRPKHSVRRVPAANADNTSVQVTSEFEKPKRNRRRPSSLPTDTVQENPQNELEKVKRSLRKVHNPIIESSVQAEVEIEKPKQSLEKVSGTSGDNLLVQNMNNSGEKTKKETYLAMPKVPDVVTNEPTLIAPKLPDVETTAEPLGVKEASELHADQTMVESKPSVENVGKDENSPVTNGELSYKEDPTINENHKASRKASSLAKQERAENGLQSSPALPSYMAVTESAKAKLRAQGSPRFSQDGAEKNNLARRHSLPSSTNSKINSQSPRTRTVNSGGKVGNKSDRPVLSSREGNAKATHIEWKR >Manes.06G095300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22999432:23005488:-1 gene:Manes.06G095300.v8.1 transcript:Manes.06G095300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTVLFGKKSSKSHTAKRRERSANEREVLVSAQATEADSISVPPVTSHPTPVITVLSDRQLELESQVTANLPHNGGTLLPGNQEADLQGSTTQVSLSDAEKIRQEKAATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAVATLCCVLGIVKLQALARGIKVRNSYGGRHALKKFSMEGKHGDLNGANISIQRARLSANAFVHKLVASSPTVMPLRLYYDPAEPNSVSNWLERWSASNFWKPIPQPKKISYPKTQRKQVNGHMPEAETGRPKHSVRRVPAANADNTSVQVTSEFEKPKRNRRRPSSLPTDTVQENPQNELEKVKRSLRKVHNPIIESSVQAEVEIEKPKQSLEKVSGTSGDNLLVQNMNNSGEKTKKETYLAMPKVPDVVTNEPTLIAPKLPDVETTAEPLGVKEASELHADQTMVESKPSVENVGKDENSPVTNGELSYKEDPTINENHKASRKASSLAKQERAENGLQSSPALPSYMAVTESAKAKLRAQGSPRFSQDGAEKNNLARRHSLPSSTNSKINSQSPRTRTVNSGGKVGNKSDRPVLSSREGNAKATHIEWKR >Manes.06G095300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22999432:23005488:-1 gene:Manes.06G095300.v8.1 transcript:Manes.06G095300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTVLFGKKSSKSHTAKRREATEADSISVPPVTSHPTPVITVLSDRQLELESQVTANLPHNGGTLLPGNQEADLQGSTTQVSLSDAEKIRQEKAATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAVATLCCVLGIVKLQALARGIKVRNSYGGRHALKKFSMEGKHGDLNGANISIQRARLSANAFVHKLVASSPTVMPLRLYYDPAEPNSVSNWLERWSASNFWKPIPQPKKISYPKTQRKQVNGHMPEAETGRPKHSVRRVPAANADNTSVQVTSEFEKPKRNRRRPSSLPTDTVQENPQNELEKVKRSLRKVHNPIIESSVQAEVEIEKPKQSLEKVSGTSGDNLLVQNMNNSGEKTKKETYLAMPKVPDVVTNEPTLIAPKLPDVETTAEPLGVKEASELHADQTMVESKPSVENVGKDENSPVTNGELSYKEDPTINENHKASRKASSLAKQERAENGLQSSPALPSYMAVTESAKAKLRAQGSPRFSQDGAEKNNLARRHSLPSSTNSKINSQSPRTRTVNSGGKVGNKSDRPVLSSREGNAKATHIEWKR >Manes.06G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22999432:23005488:-1 gene:Manes.06G095300.v8.1 transcript:Manes.06G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTVLFGKKSSKSHTAKRRERSANEREVLVSAQATEADSISVPPVTSHPTPVITVLSDRQLELESQVTANLPHNGGTLLPGNQEADLQGSTTQVSLSDAEKIRQEKAATLVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAVATLCCVLGIVKLQALARGIKVRNSYGGRHALKKFSMEGKHGDLNGANISIQRARLSANAFVHKLVASSPTVMPLRLYYDPAEPNSVSNWLERWSASNFWKPIPQPKKISYPKTQRKQVNGHMPEAETGRPKHSVRRVPAANADNTSVQVTSEFEKPKRNRRRPSSLPTDTVQENPQNELEKVKRSLRKVHNPIIESSVQAEVEIEKPKQSLEKVSGTSGDNLLVQNMNNSGEKTKKETYLAMPKVPDVVTNEPTLIAPKLPDVETTAEPLGVKEASELHADQTMVESKPSVENVGKDENSPVTNGELSYKEDPTINENHKASRKASSLAKQERAENGLQSSPALPSYMAVTESAKAKLRAQGSPRFSQDGAEKNNLARRHSLPSSTNSKINSQSPRTRTVNSGGKVGNKSDRPVLSSREGNAKATHIEWKR >Manes.17G007500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2877778:2879932:1 gene:Manes.17G007500.v8.1 transcript:Manes.17G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACIQRLQAIQSPSQNKEKALEHEGVTHVFGYSGGASMEIHQALTRSSVIRNILPRHEQGGIFAAEGYAKASGRVGVCIATSGPSATNFVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIIEVTRFITKHNFMVVDAEDIPCIVKEALYLASSGRPGPVLVDVPKDIQQQLIIPNWDVPMRLPGYVSRLPKSPEIGKLEQIVRLIFQSKKPVLYVGGGSLNCSEDLRRFVELTKIPVASTLMGLGSFPLGDELSLHMLGMHGTMYANYAIDQSDLLLAFGVRFDDRVTGKVEAFANRASIVHIDIDPAELGKNKQPHVALCADLKIALKGLNRLLEKKGAKSLLDFSAWRDEINEQKAKYPLSYKNLGEAIPPQYAIQVLDELTDCNAVISTGVGQHQMWSAQFYKYKKPRQWLTSGGLGAMGFGLPAAMGAAIARPNALMVDIDGDGSFIMNVQELATIRTENLPVKILLLNNQHLGMVVQWEDRFYHSNRAHTYLGNPSKEEEIFPDMLKFAEACDIPAARVTKRSELREAMKKMLETPGPYLLDVIVPHQEHVLPMIPSGLSFKDAITEGDGRAQN >Manes.10G053300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6351067:6351980:-1 gene:Manes.10G053300.v8.1 transcript:Manes.10G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLELGQDLPGIKVPLIEIQTLGTCSSSKEVVDPITQQENAEECCRTPTSEEHKIPAILQCPPAPRKPKRRTVLCKRKLSEFEFLNCQEVESLFRSSSEVVAVAKKRLCPSE >Manes.06G087600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22392709:22403175:1 gene:Manes.06G087600.v8.1 transcript:Manes.06G087600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGEAADDQGSGWFEVKKKHRSSAKFSVKSWSGGFLGKNGSGYQLTQPLSGEKSGNLRGHRRSQLPKSGPTLSSNSFDNVANSASMSNQDENGVFYADKAEAKGDSGDGKLQQLSGTNSSAKAGDTQKILDADKPDVFPKIKWGDLEDDVLVMHYDNNSRAAVKLADTGGNDLLAGKLEDNCHLVSEVSSSTNLQENKLMTTSVDVDIYPDQTIAPNKEDLTEIICKEMSDMTASNDISNSKEIHNDNEHFKPIENYLSSNCQLGQAGIVLTCKVPAVVSKVNDTEVSDVSVRSRNSAAVPQDCESILTGKCEPEISRDTGIVVELHSPVASAVNELKPSELTVTNGNSGTMVNTLDGELHPSKKSDPEISRDSGIVEKPQLPAIAEVDESLISEVSDINGNSNTAVAAHNIETLANEKHGPEVSGDSRVMSVSVGSYGKESELLKTKTMNPLEEGEIGESKERFRERLWCFLFENLNRAVDELYLLCELECDMEQMKEVILVLEEAASDFKELTTRVQEFETVKRSSSQSFDGISVPLKSDHRRPHALSWEVRRMTTSPHRAEVLSSSLEAFKKIQQERANMLTANNGKTLALECSNHQHVPDDNLRKSAGKKVMVLNARDSIIKSRKQSGDSDFTLSSLNGEKRNVELGRTNKVNFVQNGHVHSHNPSSSDANVSRLPSRETSASSGAGKSKRESESDKQLHKKEKTLAENTIEKNQKSTDPPRKQFLLSEKDKEKRNSNSWKSMDAWKEKRNWEDILSSPFRVSSRISHSPGMSRKSAERARILHDKLMSPEKKKKTAVDLKKEAEEKHARAMRIRSELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQVIKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSMNKEGQGRSTPTTSGEVYQENSVASSGNSTSTIATGNVTLQHSLKRRIKKIRQRLMALKYEFPEPPVGSENAGIGYRTAVASARAKLGRWLQELQRLRQARKEGAASIGLITAEMIKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVALSVPANRSYFLAQNLLPPIIPLLSTALENYIKIAASLNAPGITNLPSSKTSVENFESISEVLDNFLWIVGAVIGHTSSDERELQMQDGLLELLIAYQVVHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTCRPKTYSSIDWETSPIETVLGFDNQESKPAEIAADMTSEECRPPLSVLNGSAVADIAEDRPLHESCSKSDESSSIGKDGEKKPTCSSAELNDANINLKDVPYEFKKVLIEERDDKHMVSNGAEQKNNNTFSMKQPVPFLLSTISETGLVSLPSLLTAVLLQANNRLSSEQGSYVLPSNFEEVATGVLKVLNNLALLDITFMQKMLVGLLLLESLLLLGYFALFHPENQAVLRWGKSPTILHKVCDLPFVFFSDPELMPVLGGMLVAACYGCEQNKSVVLQELSMDMLLSLLTSCKSVSLADRTNQTSDNLPLDDCVESNQQNPDLKKSHGDIPLKSNRYNTKSARVSLGKAGVLGNSVRGSKMRSQRDCKATKIGEEMALKHSPVAPETSVMLHSRFPSSFIDRVEQFFSAGTGNAAEV >Manes.06G087600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22392709:22401333:1 gene:Manes.06G087600.v8.1 transcript:Manes.06G087600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGEAADDQGSGWFEVKKKHRSSAKFSVKSWSGGFLGKNGSGYQLTQPLSGEKSGNLRGHRRSQLPKSGPTLSSNSFDNVANSASMSNQDENGVFYADKAEAKGDSGDGKLQQLSGTNSSAKAGDTQKILDADKPDVFPKIKWGDLEDDVLVMHYDNNSRAAVKLADTGGNDLLAGKLEDNCHLVSEVSSSTNLQENKLMTTSVDVDIYPDQTIAPNKEDLTEIICKEMSDMTASNDISNSKEIHNDNEHFKPIENYLSSNCQLGQAGIVLTCKVPAVVSKVNDTEVSDVSVRSRNSAAVPQDCESILTGKCEPEISRDTGIVVELHSPVASAVNELKPSELTVTNGNSGTMVNTLDGELHPSKKSDPEISRDSGIVEKPQLPAIAEVDESLISEVSDINGNSNTAVAAHNIETLANEKHGPEVSGDSRVMSVSVGSYGKESELLKTKTMNPLEEGEIGESKERFRERLWCFLFENLNRAVDELYLLCELECDMEQMKEVILVLEEAASDFKELTTRVQEFETVKRSSSQSFDGISVPLKSDHRRPHALSWEVRRMTTSPHRAEVLSSSLEAFKKIQQERANMLTANNGKTLALECSNHQHVPDDNLRKSAGKKVMVLNARDSIIKSRKQSGDSDFTLSSLNGEKRNVELGRTNKVNFVQNGHVHSHNPSSSDANVSRLPSRETSASSGAGKSKRESESDKQLHKKEKTLAENTIEKNQKSTDPPRKQFLLSEKDKEKRNSNSWKSMDAWKEKRNWEDILSSPFRVSSRISHSPGMSRKSAERARILHDKLMSPEKKKKTAVDLKKEAEEKHARAMRIRSELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQVIKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSMNKEGQGRSTPTTSGEVYQENSVASSGNSTSTIATGNVTLQHSLKRRIKKIRQRLMALKYEFPEPPVGSENAGIGYRTAVASARAKLGRWLQELQRLRQARKEGAASIGLITAEMIKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVALSVPANRSYFLAQNLLPPIIPLLSTALENYIKIAASLNAPGITNLPSSKTSVENFESISEVLDNFLWIVGAVIGHTSSDERELQMQDGLLELLIAYQVVHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTCRPKTYSSIDWETSPIETVLGFDNQESKPAEIAADMTSEECRPPLSVLNGSAVADIAEDRPLHESCSKSDESSSIGKDGEKKPTCSSAELNDANINLKDVPYEFKKVLIEERDDKHMVSNGAEQKNNNTFSMKQPVPFLLSTISETGLVSLPSLLTAVLLQANNRLSSEQGSYVLPSNFEEVATGVLKVLNNLALLDITFMQKMLVR >Manes.06G087600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22392709:22402844:1 gene:Manes.06G087600.v8.1 transcript:Manes.06G087600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPHRAEVLSSSLEAFKKIQQERANMLTANNGKTLALECSNHQHVPDDNLRKSAGKKVMVLNARDSIIKSRKQSGDSDFTLSSLNGEKRNVELGRTNKVNFVQNGHVHSHNPSSSDANVSRLPSRETSASSGAGKSKRESESDKQLHKKEKTLAENTIEKNQKSTDPPRKQFLLSEKDKEKRNSNSWKSMDAWKEKRNWEDILSSPFRVSSRISHSPGMSRKSAERARILHDKLMSPEKKKKTAVDLKKEAEEKHARAMRIRSELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQVIKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSMNKEGQGRSTPTTSGEVYQENSVASSGNSTSTIATGNVTLQHSLKRRIKKIRQRLMALKYEFPEPPVGSENAGIGYRTAVASARAKLGRWLQELQRLRQARKEGAASIGLITAEMIKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVALSVPANRSYFLAQNLLPPIIPLLSTALENYIKIAASLNAPGITNLPSSKTSVENFESISEVLDNFLWIVGAVIGHTSSDERELQMQDGLLELLIAYQVVHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTCRPKTYSSIDWETSPIETVLGFDNQESKPAEIAADMTSEECRPPLSVLNGSAVADIAEDRPLHESCSKSDESSSIGKDGEKKPTCSSAELNDANINLKDVPYEFKKVLIEERDDKHMVSNGAEQKNNNTFSMKQPVPFLLSTISETGLVSLPSLLTAVLLQANNRLSSEQGSYVLPSNFEEVATGVLKVLNNLALLDITFMQKMLVGLLLLESLLLLGYFALFHPENQAVLRWGKSPTILHKVCDLPFVFFSDPELMPVLGGMLVAACYGCEQNKSVVLQELSMDMLLSLLTSCKSVSLADRTNQTSDNLPLDDCVESNQQNPDLKKSHGDIPLKSNRYNTKSARVSLGKAGVLGNSVRGSKMRSQRDCKATKIGEEMALKHSPVAPETSVMLHSRFPSSFIDRVEQFFSAGTGNAAEV >Manes.06G087600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22392709:22403175:1 gene:Manes.06G087600.v8.1 transcript:Manes.06G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGEAADDQGSGWFEVKKKHRSSAKFSVKSWSGGFLGKNGSGYQLTQPLSGEKSGNLRGHRRSQLPKSGPTLSSNSFDNVANSASMSNQDENGVFYADKAEAKGDSGDGKLQQLSGTNSSAKAGDTQKILDADKPDVFPKIKWGDLEDDVLVMHYDNNSRAAVKLADTGGNDLLAGKLEDNCHLVSEVSSSTNLQENKLMTTSVDVDIYPDQTIAPNKEDLTEIICKEMSDMTASNDISNSKEIHNDNEHFKPIENYLSSNCQLGQAGIVLTCKVPAVVSKVNDTEVSDVSVRSRNSAAVPQDCESILTGKCEPEISRDTGIVVELHSPVASAVNELKPSELTVTNGNSGTMVNTLDGELHPSKKSDPEISRDSGIVEKPQLPAIAEVDESLISEVSDINGNSNTAVAAHNIETLANEKHGPEVSGDSRVMSVSVGSYGKESELLKTKTMNPLEEGEIGESKERFRERLWCFLFENLNRAVDELYLLCELECDMEQMKEVILVLEEAASDFKELTTRVQEFETVKRSSSQSFDGISVPLKSDHRRPHALSWEVRRMTTSPHRAEVLSSSLEAFKKIQQERANMLTANNGKTLALECSNHQHVPDDNLRKSAGKKVMVLNARDSIIKSRKQSGDSDFTLSSLNGEKRNVELGRTNKVNFVQNGHVHSHNPSSSDANVSRLPSRETSASSGAGKSKRESESDKQLHKKEKTLAENTIEKNQKSTDPPRKQFLLSEKDKEKRNSNSWKSMDAWKEKRNWEDILSSPFRVSSRISHSPGMSRKSAERARILHDKLMSPEKKKKTAVDLKKEAEEKHARAMRIRSELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQVIKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSMNKEGQGRSTPTTSGEVYQENSVASSGNSTSTIATGNVTLQHSLKRRIKKIRQRLMALKYEFPEPPVGSENAGIGYRTAVASARAKLGRWLQELQRLRQARKEGAASIGLITAEMIKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVALSVPANRSYFLAQNLLPPIIPLLSTALENYIKIAASLNAPGITNLPSSKTSVENFESISEVLDNFLWIVGAVIGHTSSDERELQMQDGLLELLIAYQVVHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTCRPKTYSSIDWETSPIETVLGFDNQESKPAEIAADMTSEECRPPLSVLNGSAVADIAEDRPLHESCSKSDESSSIGKDGEKKPTCSSAELNDANINLKDVPYEFKKVLIEERDDKHMVSNGAEQKNNNTFSMKQPVPFLLSTISETGLVSLPSLLTAVLLQANNRLSSEQGSYVLPSNFEEVATGVLKVLNNLALLDITFMQKMLARPDLKMEFFHLMSFLLSHCTSKWKVANDQVGLLLLESLLLLGYFALFHPENQAVLRWGKSPTILHKVCDLPFVFFSDPELMPVLGGMLVAACYGCEQNKSVVLQELSMDMLLSLLTSCKSVSLADRTNQTSDNLPLDDCVESNQQNPDLKKSHGDIPLKSNRYNTKSARVSLGKAGVLGNSVRGSKMRSQRDCKATKIGEEMALKHSPVAPETSVMLHSRFPSSFIDRVEQFFSAGTGNAAEV >Manes.06G087600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22392709:22402844:1 gene:Manes.06G087600.v8.1 transcript:Manes.06G087600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPHRAEVLSSSLEAFKKIQQERANMLTANNGKTLALECSNHQHVPDDNLRKSAGKKVMVLNARDSIIKSRKQSGDSDFTLSSLNGEKRNVELGRTNKVNFVQNGHVHSHNPSSSDANVSRLPSRETSASSGAGKSKRESESDKQLHKKEKTLAENTIEKNQKSTDPPRKQFLLSEKDKEKRNSNSWKSMDAWKEKRNWEDILSSPFRVSSRISHSPGMSRKSAERARILHDKLMSPEKKKKTAVDLKKEAEEKHARAMRIRSELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQVIKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSMNKEGQGRSTPTTSGEVYQENSVASSGNSTSTIATGNVTLQHSLKRRIKKIRQRLMALKYEFPEPPVGSENAGIGYRTAVASARAKLGRWLQELQRLRQARKEGAASIGLITAEMIKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIHLLKLLRVALSVPANRSYFLAQNLLPPIIPLLSTALENYIKIAASLNAPGITNLPSSKTSVENFESISEVLDNFLWIVGAVIGHTSSDERELQMQDGLLELLIAYQVVHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTCRPKTYSSIDWETSPIETVLGFDNQESKPAEIAADMTSEECRPPLSVLNGSAVADIAEDRPLHESCSKSDESSSIGKDGEKKPTCSSAELNDANINLKDVPYEFKKVLIEERDDKHMVSNGAEQKNNNTFSMKQPVPFLLSTISETGLVSLPSLLTAVLLQANNRLSSEQGSYVLPSNFEEVATGVLKVLNNLALLDITFMQKMLARPDLKMEFFHLMSFLLSHCTSKWKVANDQVGLLLLESLLLLGYFALFHPENQAVLRWGKSPTILHKVCDLPFVFFSDPELMPVLGGMLVAACYGCEQNKSVVLQELSMDMLLSLLTSCKSVSLADRTNQTSDNLPLDDCVESNQQNPDLKKSHGDIPLKSNRYNTKSARVSLGKAGVLGNSVRGSKMRSQRDCKATKIGEEMALKHSPVAPETSVMLHSRFPSSFIDRVEQFFSAGTGNAAEV >Manes.09G016762.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3647740:3650211:-1 gene:Manes.09G016762.v8.1 transcript:Manes.09G016762.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWRRKNRSFHLQLQGAIGTIQSPFLFLFTNYCQSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKVKQYHTVFSMSKTIELLGISHDVYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCIESKIDKAVEFFDDMAARGYQPNVYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYNSLIHGVCKLGQKNQALALMNEMVEQKILPNVYTFNVLIDALCKDGMVSEARNTFNVMIQRGVEPDVITYTSLIDGLCISGQFKEALALLKEMVGENISLDIFTFNILIDTLCKKGLVSNAENVITIMIQRGVEPTVVTYSSLMDGYCLGSQIDKARKVFDLMVTNEIANIFSYNILINGYCKCKMIDDAKELFDEMSHKGLVPDVVTYSTLIKGMFQAGRPQNVKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALTLFKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFENGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELIIEMVDKGFCADAATTELVVHLSLNNDLILRLLKTWT >Manes.01G257200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41409205:41413077:-1 gene:Manes.01G257200.v8.1 transcript:Manes.01G257200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVQSQNVVAENLRKQLAVAVRSIRWSYAIFWSLSTTQLGVLEWADGYYNGDFKTRKTVPKQEKIGLQRSEQLRELYKSLLEGEIDQQANRPFATLSPEDLSDAEWYYVVCMSFLFNPGENLPGRALANKETIWLCNAQYADNRVFSRSLLAKSACIQTVVCFPYLEGVIELGVTELVAEDPSLIQHVKASLLEFSKPVCTEKISSAPHSADDDKDPVCAQVDHETGDALAVLEDLYNPTEDIIFNQEGIDEWHGNMHEQLKMDSPDDCSSGCVQNHRTEEPLLECLNGGTSHVQSWHLMGDELSNGVQDSANSSDSIPEAIANDGVSTLSCLKYQNVGHLLLKELKAGNQSKLSSLDLGASDDLHYRRTLSIVLRSSNQWIGNSSFCRGNHKSSFVTWKKGEFRGHTPQVHQNVLRRILFTVPLLHSGCSLRSYIENGGKDCGRKLKSNEIWQGLSKKQRMHEKFLIDKTSILDDMIGYLKELEARVEELESCMDLGEYTARPRRSELEMAEQTSDNYESMKIENGNTYCLTLWIKLTIFTWMCNQFSHLLLMVFSQ >Manes.01G257200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41409205:41413077:-1 gene:Manes.01G257200.v8.1 transcript:Manes.01G257200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVQSQNVVAENLRKQLAVAVRSIRWSYAIFWSLSTTQLGVLEWADGYYNGDFKTRKTVPKQEKIGLQRSEQLRELYKSLLEGEIDQQANRPFATLSPEDLSDAEWYYVVCMSFLFNPGENLPGRALANKETIWLCNAQYADNRVFSRSLLAKSACIQTVVCFPYLEGVIELGVTELVAEDPSLIQHVKASLLEFSKPVCTEKISSAPHSADDDKDPVCAQVDHETGDALAVLEDLYNPTEDIIFNQEGIDEWHGNMHEQLKMDSPDDCSSGCVQNHRTEEPLLECLNGGTSHVQSWHLMGDELSNGVQDSANSSDSIPEAIANDGVSTLSCLKYQNVGHLLLKELKAGNQSKLSSLDLGASDDLHYRRTLSIVLRSSNQWIGNSSFCRGNHKSSFVTWKKGEFRGHTPQVHQNVLRRILFTVPLLHSGCSLRSYIENGGKDCGRKLKSNEIWQGLSKKQRMHEKFLIDKTSILDDMIGYLKELEARVEELESCMDLGEYTARPRRSELEMAEQTSDNYESMKIENGKKVCINKRKASDTYEDGLPLNVQLSIREEEVLIQMKCAYREYILLDIMDQINNLHLDVQSVQSSTVDGILTVTLKSKFRGAAVASAGMIKQALRKVGSN >Manes.04G045516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7764263:7769023:-1 gene:Manes.04G045516.v8.1 transcript:Manes.04G045516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTETMSISVYLNSTIGEIVIFVFLLISQTCKGGDSNQCPPSSCGKNHNISYPFRLQTDPINCGDLRYELSCEKNLTVLNFNGGRYYVQSINYDNFTIRLVDAGVHPDNCSSIPRFPLITFEMFDTYSTYRYQWSETDERKWAKLRQPPELSQMIMFIKCQNPVKSPVYVETAPCLISSYVNIGDMKANDLMELCGVEMISLFPLFPAKKNMSFLEIHRQLAFGFQLSWHNIHCGQCDGGCYLDSREGIRCLYNDYWWNMLSGIIRLLVFFLELPFQYIAKFSLRLPDPGNSIFWNIIWLLVWTFVYLGMCFVARALCGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNLMPIRYSYPDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQEGPISLSWEKLYEISLGVARGVEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAARGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLTIEDSSEEENILTRKMIIIGLWCIQMQPCNRPPMNKVLDMLEGDLKSLELPPRPVLYPVESMTIDEGESSSMSSEVK >Manes.04G045516.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7764262:7769012:-1 gene:Manes.04G045516.v8.1 transcript:Manes.04G045516.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTETMSISVYLNSTIGEIVIFVFLLISQTCKGGDSNQCPPSSCGKNHNISYPFRLQTDPINCGDLRYELSCEKNLTVLNFNGGRYYVQSINYDNFTIRLVDAGVHPDNCSSIPRFPLITFEMFDTYSTYRYQWSETDERKWAKLRQPPELSQMIMFIKCQNPVKSPVYVETAPCLISSYVNIGDMKANDLMELCGVEMISLFPLFPAKKNMSFLEIHRQLAFGFQLSWHNIHCGQCDGGCYLDSREGIRCLYNDYWWNMLSGIIRLLVFFLELPFQYIAKFSLRLPDPGLLVWTFVYLGMCFVARALCGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNLMPIRYSYPDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQEGPISLSWEKLYEISLGVARGVEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAARGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLTIEDSSEEENILTRKMIIIGLWCIQMQPCNRPPMNKVLDMLEGDLKSLELPPRPVLYPVESMTIDEGESSSMSSEVK >Manes.04G045516.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7764326:7769012:-1 gene:Manes.04G045516.v8.1 transcript:Manes.04G045516.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTETMSISVYLNSTIGEIVIFVFLLISQTCKGGDSNQCPPSSCGKNHNISYPFRLQTDPINCGDLRYELSCEKNLTVLNFNGGRYYVQSINYDNFTIRLVDAGVHPDNCSSIPRFPLITFEMFDTYSTYRYQWSETDERKWAKLRQPPELSQMIMFIKCQNPVKSPVYVETAPCLISSYVNIGDMKANDLMELCGVEMISLFPLFPAKKNMSFLEIHRQLAFGFQLSWHNIHCGQCDGGCYLDSREGIRCLYNDYWWNMLSGIIRLLVFFLELPFQYIAKFSLRLPDPGMCFVARALCGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNLMPIRYSYPDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQEGPISLSWEKLYEISLGVARGVEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAARGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLTIEDSSEEENILTRKMIIIGLWCIQMQPCNRPPMNKVLDMLEGDLKSLELPPRPVLYPVESMTIDEGESSSMSSEVK >Manes.06G118600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24895683:24913762:1 gene:Manes.06G118600.v8.1 transcript:Manes.06G118600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDKAYVLDKRKHLARLNINEAGKILLKRGEGKLEKQFRMNCMGCNLFVCYRAEEDLEAASFIYVIDGALSTIAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSAREVYEKLLEAVQP >Manes.14G148744.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16819222:16819708:1 gene:Manes.14G148744.v8.1 transcript:Manes.14G148744.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGGRRTNVFDPFSLDFWDPFYGFPFPSTSLSFSKTRIDWKETPEAHVFKVDLLGIKKEAVKVEVEEGRVLQISGERSKEKEEKNDKWHRVERSSGKFLRRFRLPENAKVGEVKASMENGVLPLRSLVD >Manes.15G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1354971:1359157:-1 gene:Manes.15G017200.v8.1 transcript:Manes.15G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRSMIIRSRSHRVVRDGPASEPEDWHGGDTSCWANMPQELLREVLLRIEASESSWPSRKSVVACAGVCRTWRHITKDLVKVPEFSGRLTFPISVKQPGPRDFLLQCFIRRCRSTQMYYLYLSLTNALTDDGKFLLAARKCRRPTFTDYIISLDADNMSKGSSTYVGKLRSNFLGTKFTVFDGQLPHAGAKMAKSRSTRLVNTKQVSPRVPAGNYPVAHISYELNVLGSRGPRKMQCTMDAIPATSIEPGGVAPTQTEFSHHSVDFFPSLPFFLSKSNRAEKFLSGPLSSQKDGALVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGPAGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >Manes.14G023000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2209440:2210755:1 gene:Manes.14G023000.v8.1 transcript:Manes.14G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVCNKVQPAVRKVKKKQVKGELDRLKQAEKKKRRLEKALATSAAIISALEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDPCKIVLDKEDGFDPWDCASSINLLMGEQRACIPHQDHLRHSHERAGWISNACGTGCEWSEMENSNWSFSYASFGRDLHAPYVEDGGWSTTEFSADLIAAQAVSSLQIAEDAHVDTIVINGMLGR >Manes.06G037450.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11240658:11259308:1 gene:Manes.06G037450.v8.1 transcript:Manes.06G037450.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDQRIEGRIIGFDEYMNLVLDDAEEVNVKKKTRKSLGRILLKGDNITLMMNTGK >Manes.10G107900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26034792:26040905:1 gene:Manes.10G107900.v8.1 transcript:Manes.10G107900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATHHFTGGGYHYALYKELWDACAGPLVTLPRAGERVYFFPQGYIEQLGAPVHGELEQEMLPFILPSKILCRVIYVQRMAEPETDQVCAQIILLPELDQTEITSPDPPLPEQETYTIHSFHKTLTASDISTRGSLFIYRKHAEDCLPPLDMSQQPPRQDLIAADLHRNKWHFQHIFRGKPKRHVLTTGWNAYVNSKKLAAGDVFIFLRGENGRIHIGVRRLVRQERDISSVMSSENLHLEVLASASHAMTTGSLFCVSYRPRQNSSGFIVNVNKYLEAQKYMYSVGTKFKMRFEDEQILECSFKGTIVGIEDISSRWPDSKWRCLKVQWDEPSSILRPERVSPWELEPLVAISTPKFLPGLGKKRARPCLLPSSARYYDTWKFPSESPAAFSYYDLYSSSSSSSTSKSNSLGFSGFSSQASISPNRVENVVESSAYAVDEEPGNVGDGCPVLSLDTSDQHSETLNNINQSEIHSGSCEPKKLCPPSPQEIEGKQIRKHVKVKMQGVAVGRSVDLTQMDCHEDLLRELEKMFDIEGKLRGSTKKWEVIYTDEEGDMMLFGDYPWPEFCSMVREIFICASDEIRRMKSRSG >Manes.15G027800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2203200:2204021:1 gene:Manes.15G027800.v8.1 transcript:Manes.15G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASLFTPTIISTLKSSNHVMVPWKQSSFISIPTSAKKYSNPQTTIKATAAEGKTEEAPVGFTPPELDPNTPSPIFGGSTGGLLKKAQVEEFYVITWDSPKEQIFEMLTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNASPIEVKFTGKQVYDL >Manes.15G190025.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:27857171:27857380:1 gene:Manes.15G190025.v8.1 transcript:Manes.15G190025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRELLDIRKPLKRTKKVLTKDGCAVQVLFGYERLPTFCYLYGFIGHLEYYYEYLFSTNISAWRGFLA >Manes.05G067401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5605002:5606647:1 gene:Manes.05G067401.v8.1 transcript:Manes.05G067401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIPSFNSFFWLPSLPKKLHIFIMAVLDHRLVLVFGLLGNALSFLVYLAPLPTFYKIFKKKSTQEFQSLPYSVALFSAMLMLYYASLKNDAFMLITINAVGCFIETIYLVIYMIYATKISRIYTLKLLISFNLVTCAMIIVLTSLFSHGAERMNIVGWICVVFSVSVYAAPLSIMRFVVKTKSVEFMPFSLSLALTLCAACWFGYGLAVDDYFIASPNVLGFLLGLVQMIIYMIYKNKRNEILPQTNSQELASCGHQMKNNGNPNDASLNKPEEAAMDGENKSNKAVESSELHV >Manes.06G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2154987:2158947:1 gene:Manes.06G013000.v8.1 transcript:Manes.06G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLTLLQFYVLLTAHVVLQFVVSETISNKTVHASVVASKNESSRAWCHSGWDISPNKNKCLKYLESSLPWVESETLCKSYGGHLAALTSSQELTFAKQLCGQITNGCWVGGRAVNSTVGFDWKWSDNSSYWNKSIFSGASSVSNCTSLSCRNNTGAGFCTLVNNRTTCLVEERCNMSHAFICMLDVENKCYHMRCHREYLIILAVVSGLILCMMLAVVIWLLACRRSRKRRKSRKLYNPAASALVPLSWKVFTSEELRSITKYFSEGNRLLGDAKAGGTYSGLLPDGSRVAVKRLKRSGFQRKKDFYSEIGRVARLHHPNLVAIKGCCYDHGDRYIIYEFIVNGPLDRWLHHIPRGGRSLDWAMRMKIATTLAQGIAFLHDKVKPHVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPWEVRHERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVTGRRPAQAVDSVGWQSIFEWATPLVQANRYPELLDPFISSSSSEIPHACVIQKVVDLVYACTQHVPSMRPRMSHVVHQLQQLSQAAILR >Manes.11G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24830440:24853806:1 gene:Manes.11G106900.v8.1 transcript:Manes.11G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGKLNTSSSELDLDQPNIEDYLPSGSSIQEPHGKLRLRDLLDISPTLTEAAGAVVDDSFTRCFKSNPPEPWNWNIYLFPLWCFGVVIRYGVLFPSRVIVLTIGWIIFLSSYIPVHFLLKGNDKLRKKIERCLVELICSFFVASWTGVVKYHGPRPSMRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESLGCIWFNRSESKDREIVSKKLREHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPIAVKYNKIFVDAFWNSRKQSFTKHLLQLMTSWAVVCDVWYLEPQNLKPGETAIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHREQKQKSFAESVLRCLEEK >Manes.03G184100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30525889:30527533:-1 gene:Manes.03G184100.v8.1 transcript:Manes.03G184100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKFFLLLCCSLFHFLYQVHAHISVPDSVLLPVTKDLATLQYVTQIDYGIPQVPTKLVIDLGSPFLWVDCASGYVSSKRIIPSCSIQCSSAKAFRFGNNSCFSGTSRSEAHPSTCDLFTENGITQLTSRGELAEDIVAIQSVEDGKIMKIDHFLFACAPTSLLNGLARGAQGMLGLGRSPVALPSQLAAAFDFHKKFASCLSSSNGFILFGGRDSLFSPDISRSLTYTPLVCNPGGNNQEYFINVRSIKINGKRLALGQGGIKGTKISTTVPYTTLESSIYGTLVKAYIRAANVVNMTMVAPVAPFGLCFSSKGIGVNELGADVPAIELVLQSEMVKWRIHGRNSMVGVSDEVMCLGLLDGGLDSNTSIVIGGLQLEDTLLDFDLGTCMLGFSLPHQMRQTSCSKFLLESTSLKESM >Manes.05G175400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29204614:29206941:1 gene:Manes.05G175400.v8.1 transcript:Manes.05G175400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINPAKVFLPVTQRMRFSNLISDHFLSWLLLLLLLESSNAVSRKEELQTYIIHMDSFHKPVSFSTHESWHRSILKSLPSYVDDEEMLLYSYNNVMHGFSARLTQSQLSELEKSPAHLATYKESFGKPFTTYSPKFLGLSHNYGLWPTAAFGEGVIIGIIDSGIWPESESFNDKGMPPAPKKWKGQCENGTAFSPSNCNSKLIGARSFSKGLIAAHRNISTKIDYNSPRDFFGHGTHTSSTAAGNHVPGVSHFGYARGTATGVAPRAHISMYKVIFATDTAETAASDVLAGMDQAIADGVDIMSLSLGFNQTHYFNDVIAIASLSAIEKGIVVVCAVGNDGDFNSTHNGAPWITTVGAGTLDRSFTAKVTLENGLTVEGTSYFPKSIYITDAPLYYGKDNDSKAYCHHDALVPAEVHGKVVFCDTDTKTNVLKQKKELERVGAYAGILITNTSVLGSFAFNIPFLKISTASGALVRDYVTRVPTPKVRTMEFIFTKLGTKMAPQVAFFSSRGPDPISPNVLKPDILAPGVDVLAAIVPNQPYMKMDGYQIVTDYALLSGTSMAAPHVAGVAALLKCVHPEWSPAAIRSAIMTTAYVTDQSGTILKDQRTGLTATPLDFGAGHLNPNKAIDPGLIYDMYLQDYIDFLCGLGYTEKQLRAIIRRSQWNCSQELGELNYPSFISIFTKENSPMVKKFSRVVTNVGDNTAVYNATLVIPPGMRVTVEPSSLSFTQKFQNQGFSVSLEIDTDSPAVVYGYLKWSDQHNHVVSSPIVAVKL >Manes.15G073500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5545190:5549169:1 gene:Manes.15G073500.v8.1 transcript:Manes.15G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCRSDQKISRKSLKRSIKKYAEAKHLSSFASLSFKSDSSRRRYITEEIKKMGKGTITAEIFAFRELSNATKNFNPENLLGEGGFGRVYKGQIERTKKVVAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVNLVGYCADGDQRLLVYDYMSSGSLEDHLLDLAPGKVPLDWKTRMQIACGAAKGLEYLHEKANPAVIYRDFKASNILLDENFNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYALTGQLTTKSDVYSFGVVLLELITGKRVIDNSRPTEEQNLVVWATPLFKDRRKFVLMADPSLEGKYPLKGLHQALAIAAMCLQEEAEIRPLMSDVVTALEYLAVKKGDGDEDVDDQVSMSSPDIGSEVYNAEQ >Manes.05G167500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28095616:28097815:1 gene:Manes.05G167500.v8.1 transcript:Manes.05G167500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKHQVATQFLFSYVNAITAGLVALLLFAYNYLVRWFAVAKKPQPPKPAGAWPLLGHLPLFAGSQQLPHIMLGDLADKYGPIFTLQIGVHQALVVSSWEVAKEWFTTNDIASANRPSFTAAKYLTYDGVMFGLAPYGDYWREMRKLVTKELLSSRQLELLKRVRMSEIENFLKELHICLIENKIGSDHVVVDLKQRFSDLSLNLILRLVVGKRYGGTGGGDDKKEAGRCQKAIESFFRLMGVFVLRDAIPFLGWLDVGGHEKAMKGTAKELDELVSEWLEEHRSKRNSGEVANEQHDFMSVMLSVLECSDHVGCDSDTINKSTCLNLILGASETTAVVLTWTISLLLNNTNTLKKAQEELDMMVGRERKVNESDISKLIYLQAIIKEALRVCPPTPLLGPRETRKDCTINGYHVKEGTWLFTNLSKIHKDPCIWPDPLEFKPERFLTSHKHIDVKGQNFELTPFGSGRRSCPGSSFGLQMVAIVLASFLQAFEISTPTSAPIDMTGKFGMTNMKATPLEVLLSPRLSPEFYNFYREII >Manes.03G056701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5558534:5561698:-1 gene:Manes.03G056701.v8.1 transcript:Manes.03G056701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLGSILIPLSIFCTSAKSPTSSCLFICFRSRFSALLDPNSLPPSPSQCFSLLRTAVSTSNLPLGKCIHAGIITSGQTSDRFLVNNLITMYSKCGSLTSARRLFDRTLDRDLVTWNSILAAYAQSADSHFDHVTEGFRLFRLLRWCYIYTSKMTLAPLLKLSLLSGYICASEAVHGYAVKIGLEWDVFVSGALVNIYSKFGLVREAIAIFERMQERDVVLWNVMLKAYVESSMEEEALALFSEFHRSGLRPDDATVFCVINGISDICSHTGKKYMEQIQAYATKLLFYDDNNPNVVMWNKKLSEYLQAGEYWDAVSCFIHMIRSYVTYDNVTLVVVLAAAAGTDNLRLGQQIHGMVLRSGFDSVVSVANSVINMYSKLGFVSFAKKVFTGMNELDLISWNSMISCFAQNNLEQESVNLLIGLLRDGLLPNHFTLASLLRACSSIAEGLYLYVYSRSGLMAEAEFLFKNKNEFDLVTWNTMMSGYITSNDIHKALELFALMHKRGESSDEITLATAAKACGCLERLEQGKQVHAHAIKLGLDSELFVSSSILDTYIKCGDMENAHLLFNDIPKPDDVAWTTMISGCVENGDEKRVFSIYHQMRLSGVLPDEYTFASLIKASSCLTALEQGRQIHANVIKLNCASDTFVGTSLIDMYAKCGNIEDAYCFFKRMNVRDNVLWNAMLVGLAQHGHGREALHLFQVMKSQGIQPDRVTFIGVLSACSLCGFVSEAYGHFCSMRKDYGIHPEIEHYAYLVDALGRAGHVQEAEKLILSMPFEASASMYRALLGACHVQGDMEAGKRLATKLMALEPSDSATYVLLSNIYAAAKQWDGASNARRIMQRKNVKKDPGFSWIDVKNRVHLCVVDDGYHSDS >Manes.09G021100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4344755:4346347:1 gene:Manes.09G021100.v8.1 transcript:Manes.09G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLSLILSLILSFCHASSSAAIPLQHLDGLVQNGDFEEAPARSNLKKTVITGKYSLPKWEINGIVEYVSGGPQPGGFYLAIPRGVHAVRLGNEASISQNLSLKPGSVYSITFGATRTCAQDEVLRVSVPGQSSDLPLQTLYSSDGGDTYALAFKAVSQVVKLTFHNPGIQEDPTCGPLVDAVAIKEILPPRYTKGNLVKNGGFETGPHVFNNFSTGVLLPPKQKDLISPLPGWIIESLKPVKYIDRKHFFVPSGFAAIELVAGRESAIAQVIRTVPNKSYNLTFTIGDAKNGCHGSMMVEAFAAKETLKLPFESQGKGGFKTASLKFQAIDSRTRITFYSAYYHTKLKDYGHMCGPVLDDVSVVAIS >Manes.06G012101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1829315:1921593:-1 gene:Manes.06G012101.v8.1 transcript:Manes.06G012101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNFKLYMVPAQAISRYCTLRTFTSVASLNVDVACEGNSSAASSPSDLLRSKTLLQSPSNASDGMNDLERQLQELYDEVKAMILMGNKDDAVDLLQANYGVVKEQINAGSRGIEEAATLDVIALGYMAIGDLESVGPILNLMNKVVESLKDDEPLLDSVLIHMGSMYSALGKFQSSMVVYKRAIGVLESKHGKSSIFLVSPLLGMAKILGSIGRVKKAIEVYHRSIAILESSKGVESEDLVVPLFGLGNLLMKEGRTTDSEIHFNKILSIYRKLYGENYDGRVGMALCSLAHAKCSMGTLKKLLTCIERVFSSSRTQII >Manes.03G006300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:546863:547099:1 gene:Manes.03G006300.v8.1 transcript:Manes.03G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVPRPWFLDLVPLLVVLLIAAHVLALAYWIYRLATDKQPQRRKAH >Manes.17G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19463224:19468470:1 gene:Manes.17G026900.v8.1 transcript:Manes.17G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVVINGGISTVKSSPATGRVASVYSEVQASRINHTLPLPSVLKNPFKIVEGPQSSAAGNPDEIAKLFANLFGQPSSILVPFGADTVPSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSILYGFRGGPAGIMKCKYVELTADYIYPYRSQGGFDMICSGRDKIETPEQFKQAEETVMKLDLDGLVVIGGDDSNTNACLLAENFRSKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMLGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVSPFICVSLKLLVDSVVYGY >Manes.17G026900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19463306:19468470:1 gene:Manes.17G026900.v8.1 transcript:Manes.17G026900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVVINGGISTVKSSPATGRVASVYSEVQASRINHTLPLPSVLKNPFKIVEGPQSSAAGNPDEIAKLFANLFGQPSSILVPFGADTVPSDQKLKIGVVLSGGQAPGGHNVISGIFVDYLQDRAKGSILYGFRGGPAGIMKCKYVELTADYIYPYRSQGGFDMICSGRDKIETPEQFKQAEETVMKLDLDGLVVIGGDDSNTNACLLAENFRSKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMLGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVSPFICVSLKLLVDSVVYGY >Manes.03G090412.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20219802:20225056:-1 gene:Manes.03G090412.v8.1 transcript:Manes.03G090412.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLLTCVMRRTFYLHWKCHKGYMVGIWRNLISTWLQLIYKEKIGASNMFSRGWKEFAKSKKLAIGDVLVFLRGESGDLLVGLKKLMKQDTDCKLPVISSENMCIGVLAGAHSAINYGTRFSFIYKPRPKKCEFMVDVNKYLKAQNYNLSIGTRFSMKFEAEEVMEQSFTGSIVGFHDNASSRWAGSEWRYIKVQWDKPSTFFPERVSPWELELSSNSQMSQRSKRAQPNNTVDFTQYVPCQRRKTDRQLKESCSHVYEKESNYGVEGKDYVDASEANKEGEIQVSESDIVSLQKSSDPAETNICDDIECLFRESDYIDACEFPWAESPPSLHHEAIALPSTEFENLPLAARTPTSSLGVLHSECAFLPSCKTNGILPTECENSLRLLPQVASTSHGDMTETSYMHCFKRDFHGFQVWHHLIPYLGWFSVKMGDFWNDARIQRADTVSSVLNGLGEALCLKDRNWESLNRDELEKLINGINDSLIAGFKLDCLKPIALKAEGVLKAREQCDSLQSRRSSLESQLRELDSERQNVVGELQNVRQGLRSLTEGFVYESML >Manes.16G062200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24415862:24419932:-1 gene:Manes.16G062200.v8.1 transcript:Manes.16G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSHSHLLLLFFTILFPFSLAQETVGFGYVIQPIIFLANNSLQANLSLINSSSVFGADVQSLSLLVSFETNERLRIRITDSQAQRWEIPQEIIRRESLPSSEQFNSGALQENQNLTTATSDLVFTLHNTTPFGFSVSRKSNGDVLFDSSPNTTNSSTFLVFKDQYIQLSSSLPLNRSSIYGLGEHTKSSFKLRASDKFTLWNADIASVNRDVNLYGAHPFYIDVRSASSDGRVPAGTSHGVLLLNSNGMDIIYDGNRITYKVIGGVIDLYILAGPSPDTVVQQYTELIGRPAPMPYWSFGFHQCRYGYKDVSDLEGVVAGYANASIPLEVMWTDIDYMDGFKIFTFDPINFPVDRMKTFVDKLHQNGQKYIVIVDPGIGANDSYATYRRGLEADVYIKRNGVPYLGQVWPGPCYFPDFLKPETTTFWTDEIKQFLQVLPVDGLWIDMNEIANFITSPSNSTTFEDPPYKINNGGNQRQLSDRTVPANALHFGNVTEYDVHNLYGLLEAKATHAALLNVTGKRPFVLSRSTFASSGNYTAHWTGDIGSSWVDLANSIPSILNSGLFGIPMVGADICGFIGSTNEELCRRWIQLGAFYPFSRDHSDKNSNRQELYLWESVAASAREVLGLRYRLLPYFYTLTYEAHTKGTPIARPLFFSFPEDINTYEVSFQFLIGRCVLVSPVVTSGAVSVDAYFPAGNWYSLFNFSNSINVTSGQNITLDTPTDHTNVHVYEGNILALQGEALTTEEARKTAFHLLVVISSKGNSTGEVFLDDGESVEMGGVGGNWSLVKFNGGVVGDKAVVESSIVNGEFALNQKWVIGNVTFIGLKNVKGLLGFELHLKNETRNGTASFDNSAQIANLEISESLFIGEEFQLDLKLSL >Manes.06G056000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15276167:15286396:1 gene:Manes.06G056000.v8.1 transcript:Manes.06G056000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMRHFEDMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRSKAVDILVKDLKVFAAFNEDLFKEITQLLTLENFRDNEQLSKYGDTQSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMGAVPKAGGFPPLSAHGPFQSTPAALPTSLAGWMANPTPVPHLSASAGPIGISAPNNAAILKRPRTPTNNPAMDYQTADSEHVLKRTRPFGISDEVNNLPVNILPVAYASQTHGQSSYSSDDLPTTLVTTLNQGSAVKSMDFHPVQQILLLVGTNMGDVMVWELGSRERIATKNFKVWELSTRSVGLQASLTNDYTASVNRVVWSPDGSLFGVAYSKHIVHLYSYHGSDDVKNYREIEAHVGSVNDLAFSYPNERLSVVTCGEDRVIKVWDPATGNAKFTFEGHEAPVYSVCPHHKEKIQFIFSTATDGKIKAWLYDNLGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKVGESHLVEWNESEGTVKRAYIGLGKRSVGIVQFDTTKNRFLAAGDEFTVKFWDMDNVNMLTSIDAEGGLPASPCIRFNKEGALLAVSTNDNSIKILANPDGVRLLRTVENRTFDASRAASASVVKAPAVSNFASINSTVGTSILDRAASVTPVAGIVSVDNSDSRNLVDVKPRIADEPVEASRTWKLTEINEPSQCRSLRLPDNLTAMRVSRLIYTNSGLAILALASNAVHKLWKWQRTERNPNGKATASIVPQLWQPSSGMLMTNDISDANPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKSKLKGHQKKITGLAFSNSLNVLISSGADAQLCVWSTDLWERQASKFLPVPPGRGSASLSDTRVQFHLDQTHLLAVHESQIAIYEAPKLECLKQWFPREATVPITYATYSCDSQSIYVSFEDGGVVVLTASTLRLRCRINPTAYLPPNPSLRVYPLVIAAHPSEPNQFALGLTDGGIHVLEPLETEGKWGTSPPVENGAGPSTTSGAAGSEQAQR >Manes.16G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31074152:31078296:1 gene:Manes.16G105100.v8.1 transcript:Manes.16G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKQQPPTDPTAEHKKRRRVGFSNIDAGIEAKDCIKIYLVSSKEQVGASENYCIDPVDLNGFFDEDGKIYGYQGLKITIWISSITFHAYADITYQSKSDGGKGITELKSSLQRIFAETLVESKEDFLKSFSVESNLIRSIVSSGEVLQHKGSNEHFRDSVTHYGADTSDVKVVRMVIGNEGTGHLYSRLIPLVLLLVDGSNPIDVTDPGWELYVLVQEINDEQGDIQHRVLGFTAVYRFYHYPDKTRLRLSQILVLPPYQHKGYGRRLVEVVNNVAISEDVYDLTVEEPLDYFQHVRTCIDVQRLLVFSPIQDAINSAVSNLKQGKLSKKAHVPRFMPPSTAIEDVRKTLKINRKQFLQCWEVLIYLGLDPADKCMEDFVTIISNRVKADILGKDSGNGGKQVIEVPSDYSAEMSFVMFRTGNVEGGSLQMDENQTNQREQLQQLVDARIKEIQSIAQKLRPV >Manes.11G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3413272:3416107:1 gene:Manes.11G035100.v8.1 transcript:Manes.11G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDQKVPPSEVAAQLTESKVAATEISGTISGPLIRAARPFGRKAEVLHVALRLLCMLASVASLSFMVTAHQSTSVSIYGFKLPVHSKWSFAQSFEYLVGVSAAVVAYSLLQLLISMSRLLRKSPVIPSRTHAWLIFAGDQVFAYVMLSAAAAASGVTNLNRTGIRLMPLPNFCKPLHYFCDHVAISIAFTFFSCFLLAALAAQEVMWLSKSSY >Manes.13G138400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34651220:34652495:1 gene:Manes.13G138400.v8.1 transcript:Manes.13G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAKLCDHHSNKENIPPFSAKPANPIPASVASSKKKFRRRIREPLEDITYFYRSSVQLALAQEDDSLSAISVSGSASNSKKRKASDQENEDTGAVAGPSSKSLRFGFR >Manes.01G127500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32170851:32174118:-1 gene:Manes.01G127500.v8.1 transcript:Manes.01G127500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKTIINGVASETDDRAAESFNDVDQRVNEAKLTQKIEALEKEKLSLSNENEEIKDQMLQLRADIELLRSAESELKLRLQAMGKEMEVSEERKRALEFISKRAVQLETEVSRLQHDLITSMSEGEEANTEISKLKRVLGEKEVKLEELKKEKMDSEKKVKELEKKIGVLEVKEIEERNKRVRIEEEMRDKLSDKEKEMFYYKNRFMVLEEEVARKEELEEKLKASEEKVREIEEKMVELQKKVEEAEKVNGRVKERTVRAINGIQIEGMDKESKGLKVQWPVLAVGSTGAIAAAAAVVYICYARRT >Manes.01G127500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32170851:32174118:-1 gene:Manes.01G127500.v8.1 transcript:Manes.01G127500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKTIINGVASETDDRAAESFNDVDQRVNEAKLTQKIEALEKEKLSLSNENEEIKDQMLQLRADIELLRSAESELKLRLQAMGKEMEVSEERKRALEFISKRAVQLETEVSRLQHDLITSMSEGEEANTEISKLKRVLGEKEVKLEELKKEKMDSEKKVKELEKKIGVLEVKEIEERNKRVRIEEEMRDKLSDKEKEMFYYKNRFMVLEEEVARKEELEEKLKASEEKVREIEEKMVELQKKVEEAEKVNGRVKERTVRAINGIQIEGMDKESKGLKVQWPVLAVGSTGAIAAAAAVVYICYARRT >Manes.01G127500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32170849:32174118:-1 gene:Manes.01G127500.v8.1 transcript:Manes.01G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKTIINGVASETDDRAAESFNDVDQRVNEAKLTQKIEALEKEKLSLSNENEEIKDQMLQLRADIELLRSAESELKLRLQAMGKEMEVSEERKRALEFISKRAVQLETEVSRLQHDLITSMSEGEEANTEISKLKRVLGEKEVKLEELKKEKMDSEKKVKELEKKIGVLEVKEIEERNKRVRIEEEMRDKLSDKEKEMFYYKNRFMVLEEEVARKEELEEKLKASEEKVREIEEKMVELQKKVEEAEKVNGRVKERTVRAINGIQIEGMDKESKGLKVQWPVLAVGSTGAIAAAAAVVYICYARRT >Manes.11G011900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1178400:1181610:1 gene:Manes.11G011900.v8.1 transcript:Manes.11G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLDNSVTVQITGKIMVVAVIILFMVVAFVLLLHLYAKWFWWRTEEPARPASSRRNRRRFVFTPGQDPVRRGLDLSVLKSLPVVIFQSKDFKDGLECAVCLAEVAEGEKTRLLPKCNHGFHVDCIDMWFQSHSTCPLCRNSVAPLPECSSFDSSDLQENIHSPEEILASGYSTESPNFPTNVLFWGDQTQVSTGGNGGGGLEEATSAQAPSSSSSSSSSSRQDEMLVIDIPVQMSDNFPEEESKSPMPTQRLRSLKRLLSREKRVAPSSSSSSVDI >Manes.15G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:883190:885126:-1 gene:Manes.15G010200.v8.1 transcript:Manes.15G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLATRRNFASLLTRTVTSCYSSPSLSSRSRFVFSLLDKNPLFQLLPDSVKIPARFKTSGPGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEEMINTYVKTLAAVVGSEEEAKKKIYSVSTVAYTGFGALISEELSHKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPIYWYNQRQQSRNRPRPRYDRRRETMQVERREPIQRPPSHAHESVQGARTDLSANQGQLNRGT >Manes.15G068500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5206025:5206381:1 gene:Manes.15G068500.v8.1 transcript:Manes.15G068500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYELNEQSDEITEFTSEHEFGRILTQRRRKARRMTCNKFPRICHARGSPGPCRCKKKCVNILTDRFNCGACGKKFKYNHICCNGKCVKPSFNRRHCGGCNNSGKNGGFCAFGLCNYA >Manes.02G207000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:18437211:18438443:-1 gene:Manes.02G207000.v8.1 transcript:Manes.02G207000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFIYKNLLSCSDSTTNSQILVKYDDYRFEYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFGYLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPSESFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSWELPSYKFENGDSEYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSRYFWRTILCLNEHVVLSLSTNRHVELWVLLEYGVEESWTKLFTVAHPEYLEMALPLGFSRKGELFFSSWSQHLLVWNPPEETISPVPVEGAVHTSNHLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.12G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4776711:4779936:-1 gene:Manes.12G051000.v8.1 transcript:Manes.12G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEFFLNARIPPPPLQFEPASTSAMPTWHSLSSAMELQEQSPDCFFTPNCVKSTDQCLHFDSALSSMVSSPAASNSNISGESFMIRELIGKLGSINNNNSGEISPHSRPMLPASYITPGNNSTNTSCYSTPLNSPPKLDLPPLDQFSRENLNFPSLGTPLGLNSSVAEFTADPGFAERAAKFSCFGSRSFNGRSGQLGLNNAEFAYKCNPLMGNGKLPRVSSSPSLKIIGSQKVNKSCSPLQDRSELANSSQESSVSEQTQNGEAALKATSELNSKKRKAVYKGKSKESNCGAKDAETDDNSNTKRIKPNEGNGNEKSAVKAEEEQKDGDEKQAKNNNSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQLLQRQVEFLSMKLSSVNTRLDFNMDTLISKDIFQSTNQLLHPMFPLDSSASAIFGQQHQQNPPLHSNISNGTVTQCSTRPLDTGLCQSFNMQLPPLEGFNHNAPQYPIFCEGDLQTIVQMGFGQNLNRESMLQAHNFTGQNQVAQMKPEL >Manes.12G051000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4776711:4779936:-1 gene:Manes.12G051000.v8.1 transcript:Manes.12G051000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEFFLNARIPPPPLQFEPASTSAMPTWHSLSSAMELQEQSPDCFFTPNCVKSTDQCLHFDSALSSMVSSPAASNSNISGESFMIRELIGKLGSINNNNSGEISPHSRPMLPASYITPGNNSTNTSCYSTPLNSPPKLDLPPLDQFSRENLNFPSLGTPLGLNSSVAEFTADPGFAERAAKFSCFGSRSFNGRSGQLGLNNAEFAYKCNPLMGNGKLPRVSSSPSLKIIGSQKVNKSCSPLQDRSELANSSQESSVSEQTQNGEAALKATSELNSKKRKAVYKGKSKESNCGAKDAETDDNSNTKRIKPNEGNGNEKSAVKAEEEQKDGDEKQAKNNNSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQLLQRQVEFLSMKLSSVNTRLDFNMDTLISKDIRRRQPFLGSNTSKIHHYIAIFLMGQ >Manes.S050116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1682038:1682418:1 gene:Manes.S050116.v8.1 transcript:Manes.S050116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.09G095366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28809371:28811428:1 gene:Manes.09G095366.v8.1 transcript:Manes.09G095366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQDKRWSLKGMTALVTGGTRGIGHAIVEEFAGFGVTVHTCSRNQKELDQCLQEWKNKGLKVTGSMCDLSQRDQRDKLMETVSSIFHGKLNILVNNAAVGLLKDAVDFTAEDISILMSTNFESVFHLCQLSYPMFKASGYGSVVNISSNSSFVAIPSLSVYEASKGAVNQITKNLACEWAKDNIRVNAIAPGLIKSSPKQAYPEREFLNRYITQTPISRPGEPYEISSMVAFLCFPTASFITGQVIVVDGGFTINGFCESNNS >Manes.07G100510.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30566558:30567923:1 gene:Manes.07G100510.v8.1 transcript:Manes.07G100510.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSKAIVPAHDTASLSQTVDSNDLSNPLKAVEFEIEIEHGFNNINPWEVVRKNYSENWLFRPKDISKPQEYYQSILEETGSARIKHNFDKHHKGIIPYSSIQIKWVVHPKDWSISSLYTATQFKTLKKYSTLYNYFDYIDAWTNVFCIQNPTTIHSWLIYFDQQSIKTTTKFRNWFLKWWQYRGITDEVLSPEVLQVYQYFKTHYKPHPSEKYIPLDVFLYPIFYSMDIPMHKVKWWGSLKNSTTDIVVKNWILKKVQFPAISYTSKLALQGESSFGAQKAQCQAMLATAKNPEEYKMICQQMFSQLAQEKHLESESTASSKSSSSKNLASSHCDSNEDDCYGILPAIKIKSKTDKVKEKGKKK >Manes.10G136300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30349249:30353360:1 gene:Manes.10G136300.v8.1 transcript:Manes.10G136300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTTPSSLMLTYVASTVHPQDLTPSLLSFKPKTSSLYPWALGSCGERKSSKFVNLFKQKEAKFGNCSVIVAASLAAEAEVADEVEGREAGDAATATVAPPKRKKGKAALPLKRDRTRSKRFLEIQKLRENKQEYDINTAISLLKQMTNSKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNSGADLVGGEDLIEQIKGGFMDFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVATNIPQAIAELKKGKVEYRADKTGIVHIPFGKADFSEEDLLVNLLAAIRSVESNKPSGAKGVYWKSAHICSSMGPSIRINIREMLDSKLPSNV >Manes.10G136300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30349249:30353859:1 gene:Manes.10G136300.v8.1 transcript:Manes.10G136300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTTPSSLMLTYVASTVHPQDLTPSLLSFKPKTSSLYPWALGSCGERKSSKFVNLFKQKEAKFGNCSVIVAASLAAEAEVADEVEGREAGDAATATVAPPKRKKGKAALPLKRDRTRSKRFLEIQKLRENKQEYDINTAISLLKQMTNSKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNSGADLVGGEDLIEQIKGGFMDFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVATNIPQAIAELKKGKVEYRADKTGIVHIPFGKADFSEEDLLVNLLAAIRSVESNKPSGAKGVYWKSAHICSSMGPSIRINIREMLDSKLPSNV >Manes.10G136300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30349261:30353360:1 gene:Manes.10G136300.v8.1 transcript:Manes.10G136300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTTPSSLMLTYVASTVHPQDLTPSLLSFKPKTSSLYPWALGSCGERKSSKFVNLFKQKEAKFGNCSVIVAASLAAEAEVADEVEGREAGDAATATVAPPKRKKGKAALPLKRDRILIHFQELSFMPFCWKFQTRSKRFLEIQKLRENKQEYDINTAISLLKQMTNSKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNSGADLVGGEDLIEQIKGGFMDFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVATNIPQAIAELKKGKVEYRADKTGIVHIPFGKADFSEEDLLVNLLAAIRSVESNKPSGAKGVYWKSAHICSSMGPSIRINIREMLDSKLPSNV >Manes.10G136300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30349252:30353859:1 gene:Manes.10G136300.v8.1 transcript:Manes.10G136300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTTPSSLMLTYVASTVHPQDLTPSLLSFKPKTSSLYPWALGSCGERKSSKFVNLFKQKEAKFGNCSVIVAASLAAEAEVADEVEGREAGDAATATVAPPKRKKGKAALPLKRDRILIHFQELSFMPFCWKFQTRSKRFLEIQKLRENKQEYDINTAISLLKQMTNSKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNSGADLVGGEDLIEQIKGGFMDFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVATNIPQAIAELKKGKVEYRADKTGIVHIPFGKADFSEEDLLVNLLAAIRSVESNKPSGAKGVYWKSAHICSSMGPSIRINIREMLDSKLPSNV >Manes.10G136300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30349260:30353844:1 gene:Manes.10G136300.v8.1 transcript:Manes.10G136300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTTPSSLMLTYVASTVHPQDLTPSLLSFKPKTSSLYPWALGSCGERKSSKFVNLFKQKEAKFGNCSVIVAASLAAEAEVADEVEGREAGDAATATVAPPKRKKGKAALPLKRDRVNLPKGTGQTVKVAVLTQGEKFDEAKNSGADLVGGEDLIEQIKGGFMDFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVATNIPQAIAELKKGKVEYRADKTGIVHIPFGKADFSEEDLLVNLLAAIRSVESNKPSGAKGVYWKSAHICSSMGPSIRINIREMLDSKLPSNV >Manes.05G152900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26372649:26389927:-1 gene:Manes.05G152900.v8.1 transcript:Manes.05G152900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALASASHWMPEDDLLLKNAVEVIFAGASLEALAKGAVQFSRRFTVQEIQERWHSLLYDPIVSAEAAFHMIAFEQSESTLSSKFSRAGNTKENKCLSGKRKAETVRSCYYALRKRICNEPFNNMDLSFLIAPADNNYMGNEDEPFSGNCILGDPVPNHFGLQESNLDIVHHPFPQIGDNDAAHAFHAQFQKTVQEDYPVVQEKVHKEIPQIHEENMSKSRNGSVIGEFGTEELAVNSDQVHGCSKFGEDYVFSSPIPECSVSFHNLEFSSPLPEMSIWRTDEGVSPPSIPVNMHTGDPFSLPGDGDTKNTCMSEYDIHRDSSSKLEIPSEEMKNVVANTEGYLAELSSSLLNFSNEEELLFTDDDGKDAIDKSYYDGLSSLLLSSPNDANQDHMGKTTELESSVAPDYLINKSGTSHGQLDEDRGSHHSVDAVGDSDVQFHASASSSKPQLPELTVEVIICTLNTEDPEIPCNDDVVFMNNLHSKSFSSIARRNFHDISKPNSSIVEEFSSNSKTSEGGPAFMQRDLENPGQSHASSHMIRLQVWPEISSLHPVGDHGVKIELPNGSSSHRTVSIAYNGPLQTNSANAGTETLVPAKLKEETPEVVPLKHFSNNAADSSMEIPAVAPDGYKCSTQTDVSDVKQDLYAVTRIQNHHSSHAKLVSTGIISSEDGINHPLSDPEEPPIESDDDAPYFSDIEAMILDMDLDPEDQDLYSSEEVSRYQNEDMKRAIMRLEQGAHSCMQRAIVSQGAFAVLYGRHSKHYIKKPEVLLGRATDDVIVDIDLGREGLANKISRRQAVINLDKGGSFHLKNLGKCSISVNEKEMAPGQSLNLTSSCLIEIRGMPFIFETNQTCVRRYLDSVTQNSQSQGHQL >Manes.09G011853.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2734429:2735971:-1 gene:Manes.09G011853.v8.1 transcript:Manes.09G011853.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDKQRHWLEELANKDALARERIENLKASDIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTSRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.09G011853.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2734429:2735971:-1 gene:Manes.09G011853.v8.1 transcript:Manes.09G011853.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDKQRHWLEELANKDALARERIENLKASDIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTSRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.10G083400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:20251944:20253830:1 gene:Manes.10G083400.v8.1 transcript:Manes.10G083400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSPSSSSSFMSFCQDSSPPIQQRLQFILQSRPEWWVYAIFWQASKDATTGRLVLSWGDGHFRGTKEFAAKDCNKQNQPKFGFNLQRKMTNKESQTLFSDDMDMDRLADVDVIDYEWFYTVSVTRSFTVDDGILGSTFGSGAFIWLTGNNELQMYECERVKEARIHGLQTLTCVSTPYGVVELGSSNTIDKDWSLVQLCKSLFGGDAACFVSRDPSHESHLQILNSSFLDIGMFSASQKETSTLKQNEGDKNKDAATIQGRSSSDSARSDSDGNFAAENPDRFKKRSRKQLNGKELPLNHVEAERQRRERLNRRFYALRSVVPNVSKMDKASLLADAVTYINELKAKVDELESKLQAVPKKCKTTKVTENQSSDSTIDHIRSSSSFKPKSMELDVKIVGSEALIRFLSPDVNHPGAKLMEVLKEIEFKIHHASMSSIKEMVLQDVVARVPDGLTDEEVVRSAILQKMQK >Manes.07G053400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:7601208:7602307:1 gene:Manes.07G053400.v8.1 transcript:Manes.07G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEAILSASMNTNESPINPTKYREIISTLPRQPNVFASPLDQYIHKYQGFWFRTTTLESIMSLHEHFTPQPTDIFICTHPKSGTTWLKALCFATFTRTRFNDFSSHPLLTKSPHDFIPWIEVSVNRESELPFFATHIPYTLLPKYIVEFNCKIIYLSRDPKDLLISYWQFICKLRKEAIPLETAYQYFCKGINSCGPWWDHILGYWKASLEFPEKILFMKYEDLMNDHFSCVKRIAEFMSCPFSAEEERQGLVQKIVNLCSFETLSNLEVNKNNLENSATGALKIGNNAFFRKGKVSDWKNYLTAEMGAHLDQITEQKFNGSGLSFQ >Manes.12G127700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33381272:33385185:-1 gene:Manes.12G127700.v8.1 transcript:Manes.12G127700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTAQSLSNLPKIFTSRPILILSKTALNLQQAHIPSSGRRCSPHSRFLSVPRSITPEESSSGASLYVTEERDNEVTVEVDPPSEKKVYNESSETEAPLDDSPVDEQLSDFWANLKFEDTYSILLYGGGTLVALWLASAVVSAIDSIPLFPKLLEVVGLGYSIWFTTRYLLFKKSRDELAAKVEELKQQVLGSSDN >Manes.10G131300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29696108:29697612:1 gene:Manes.10G131300.v8.1 transcript:Manes.10G131300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELETGYSIDIQNVNIDGDFESHNTADGLNQRVAGDGLNVSEAALSIDDQEGDESKKDSSNQRYVNVERIFLITNFVMELPSAIFDQLSSVQKPQYALISMIISFTVMFICIIDLARTGRRERVKLMMRGHIPWFYSPSPSHKPLGTFVDIVGLVCGIFQCVFAAIAYAFLCHKAQSPIKICVWPLIFASGVLWSRFPRNAYNDDEKDADVNAKVITVYKVDMHCEDCSRKIRRTIELFEGVEAVETDSEGNTLTVTGKVDPMKIKAKLEKKTKRKVDILS >Manes.15G181430.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24448542:24449156:-1 gene:Manes.15G181430.v8.1 transcript:Manes.15G181430.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYRQSTLTSRKNQKLAAKYFGPFEVLERVDSMAYKLKLPVESKLHPVFHVFTLKPYHVGNVDFETVLPPAARKIEVLVHWSQSSLTDASWEKVQDIQDRYLHFKLEDKLSKGTGSIDTKLLQVYTRHTHSQKKQPRVQPTEDGEAVRIEG >Manes.12G082300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11272932:11273198:-1 gene:Manes.12G082300.v8.1 transcript:Manes.12G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVKAWMVAASIGAVEALKDQGFCRWNYTIRSVNQHVKNNLKTISQAKKISSSSSAAVVSRKVRESRQAEESLRTVMYLSCWGPNS >Manes.09G188000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37455012:37460529:-1 gene:Manes.09G188000.v8.1 transcript:Manes.09G188000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGEMQNQEGELVDGQKASEFEDWSSFGDDDIMKQHSAIRSAEADKIPFLGDKEPLSALAAEYQSGSPILLEKIKVLAELYVAIRRTRGDGNCFFRSFMFSYLEHVLETQDRAEVDRIKTKVEECRKTLQTLGYADFTFEDFFALFLEQLDWVLQCNESSASHYELINRSRDQSISDYVVMFFRFVTSGEIRKRADFFEPFVSGLTNATVEQFCKSCVEPMGEESDHVHITALSDALSVPIRIVYLDRSSCDTRGLSVNHHDFIPATDALLNSTGGGSVTKIPFITLLYRPGHYDILYTK >Manes.09G188000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37455013:37460979:-1 gene:Manes.09G188000.v8.1 transcript:Manes.09G188000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQEGELVDGQKASEFEDWSSFGDDDIMKQHSAIRSAEADKIPFLGDKEPLSALAAEYQSGSPILLEKIKVLAELYVAIRRTRGDGNCFFRSFMFSYLEHVLETQDRAEVDRIKTKVEECRKTLQTLGYADFTFEDFFALFLEQLDWVLQCNESSASHYELINRSRDQSISDYVVMFFRFVTSGEIRKRADFFEPFVSGLTNATVEQFCKSCVEPMGEESDHVHITALSDALSVPIRIVYLDRSSCDTRGLSVNHHDFIPATDALLNSTGGGSVTKIPFITLLYRPGHYDILYTK >Manes.09G188000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37458059:37460375:-1 gene:Manes.09G188000.v8.1 transcript:Manes.09G188000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHSAIRSAEADKIPFLGDKEPLSALAAEYQSGSPILLEKIKVLAELYVAIRRTRGDGNCFFRSFMFSYLEHVLETQDRAEVDRIKTKVEECRKTLQTLGYADFTFEDFFALFLEQLDWVLQCNESSASHYELINRSRDQSISDYVVMFFRFVTSGEIRKRADFFEPFVSGLTNATVEQFCKSCVEPMGEESDHVHITALSDALSVPIRIVYLDRSSCDTRGLSVNHHDFIPATDALLNSTGGGSVTKIPFITLLYRPGHYDILYTK >Manes.02G059400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4613522:4617362:1 gene:Manes.02G059400.v8.1 transcript:Manes.02G059400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSVSNSSGKKLIRIDVSSDTACPWCFVGKRNLDKAINASKDRFDFEIKWHPFFLNPSAPKEGVNKLQYYREKFGSRTDGIIARMTEVYRGLGLEYNISGLTGNTLDSHRLVFFAGQQGLDKQHNLVEELFLGYFTQAKYIGDREFLLECAAKVGVEGAAEFLEDPNNGVKEVNDDLKKYSANITGVPYYVISGKHELSGGQPPEFFLRAFEAAAS >Manes.02G059400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4613584:4617156:1 gene:Manes.02G059400.v8.1 transcript:Manes.02G059400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSVSNSSGKKLIRIDVSSDTACPWCFVGKRNLDKAINASKDRFDFEIKWHPFFLNPSAPKEGVNKLQYYREKFGSRTDGIIARMTEVYRGLGLEYNISGLTGNTLDSHRLVFFAGQQGLDKQHNLVEELFLGYFTQAKYIGDREFLLECAAKVGVEGAAEFLEDPNNGVKEVNDDLKKYSANITGVPYYVISGKHELSGGQPPEFFLRAFEAAAS >Manes.02G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4613664:4617156:1 gene:Manes.02G059400.v8.1 transcript:Manes.02G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVALQEVPLSIFFRASFRYSKIMTQSVSNSSGKKLIRIDVSSDTACPWCFVGKRNLDKAINASKDRFDFEIKWHPFFLNPSAPKEGVNKLQYYREKFGSRTDGIIARMTEVYRGLGLEYNISGLTGNTLDSHRLVFFAGQQGLDKQHNLVEELFLGYFTQAKYIGDREFLLECAAKVGVEGAAEFLEDPNNGVKEVNDDLKKYSANITGVPYYVISGKHELSGGQPPEFFLRAFEAAAS >Manes.02G059400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4613522:4617362:1 gene:Manes.02G059400.v8.1 transcript:Manes.02G059400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSVSNSSGKKLIRIDVSSDTACPWCFVGKRNLDKAINASKDRFDFEIKWHPFFLNPSAPKEGVNKLQYYREKFGSRTDGIIARMTEVYRGLGLEYNISGLTGNTLDSHRLVFFAGQQGLDKQHNLVEELFLGYFTQAKYIGDREFLLECAAKVGVEGAAEFLEDPNNGVKEVNDDLKKYSANITGVPYYVISGKHELSGGQPPEFFLRAFEAAAS >Manes.07G075137.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22380004:22381674:-1 gene:Manes.07G075137.v8.1 transcript:Manes.07G075137.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEPLPEHLRCNRKMGPNGGAIGKSWTTRSSISRDAIDSTREKYLSHSNCKGSTGRNQLPMLIHCLRMSKLGQERGKIVENGCEEEEERLKRGDLLLELARIVLRREVENRKKKKKKKKKKIKKVVVDEIGSDNDNDIDSSNSEGELTRDLPNGLMAISPLNILAMWMLLSKNIEPMPIGTLQVVPFKKDMLRLRRGKRKKCHLCRRSGLKTLIRCSNSRKQFYCMDCIKDQYSDRQEEVKVACPVCLGTCGCKACSAIQCRDIECKDFSKDKILKQLNQDQSIELEIEAKIKGQKPPDVQIQQASVGCNKKCYCNNCKSAIVDFHRSCPSCSYNLCLSCCQDFFQGSLLGSVATHLCKCPDRSTCVSGKQLSGTKSACISKWNCGNKILDSSMLLPSWKVPDGNGIPCPPTEVGGCGDSILDLSCVFPSSWTKELEMSAEEIVRCYELPEAVDIFSRCSLCLGMDCEVNGIMQLQEAAKRENSNDNFLYYPTIVVLL >Manes.08G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4306121:4309433:-1 gene:Manes.08G043600.v8.1 transcript:Manes.08G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRKIPFPLSSLSPVPLSDPHLSRSSSDQLQLLHNNPHHNFSPQDAKASFFDSQSFDEPNYPIGGLPNAQDYSHGSWKQEEKKVLMQDGEVKGGEGVVKSNDTRERSFLGAKTSTPFHQDGRWKWCEGEKAFPAKKRKASFETRSNEETLMEKGKKMKTKMNNECMQRCNEEIEEVGDEETKGDDDQRSSSTAKKRVRRGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSMAKTSTTKRETQPLLSSTLPLPREEAKGISFGDKVIDSVNGDAEVIKKPLMTSKKKQKLGMAKARSINSLLGQSKNATEASQTR >Manes.08G043600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4307066:4309174:-1 gene:Manes.08G043600.v8.1 transcript:Manes.08G043600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVHVSMGTLVPIQTFTSCSLFLSVLSLFTWVYHLYKCGCGSIFLYICFCFRERSFLGAKTSTPFHQDGRWKWCEGEKAFPAKKRKASFETRSNEETLMEKGKKMKTKMNNECMQRCNEEIEEVGDEETKGDDDQRSSSTAKKRVRRGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSMAKTSTTKRETQPLLSSTLPLPREEAKGISFGDKVIDSVNGDAEVIKKPLMTSKKKQKLGMAKARSINSLLGQSKNATEASQTR >Manes.08G043600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4305637:4309174:-1 gene:Manes.08G043600.v8.1 transcript:Manes.08G043600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRKIPFPLSSLSPVPLSDPHLSRSSSDQLQLLHNNPHHNFSPQDAKASFFDSQSFDEPNYPIGGLPNAQDYSHGSWKQEEKKVLMQDGEVKGGEGVVKSNDTRERSFLGAKTSTPFHQDGRWKWCEGEKAFPAKKRKASFETRSNEETLMEKGKKMKTKMNNECMQRCNEEIEEVGDEETKGDDDQRSSSTAKKRVRRGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSMAKTSTTKRETQPLLSSTLPLPREEAKGISFGDKVIDSVNGDAEVIKKPLMTSKKKQKLGMAKARSINSLLGQSKNATEASQTRG >Manes.08G154400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38884788:38885249:-1 gene:Manes.08G154400.v8.1 transcript:Manes.08G154400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVATDLWCVYFSGGKNFCTTVGTLTQRKPDSVLAVMFNGRHTLCEDHEKVLTHAQIYLRKMSAFQIFSFSFLLLDMFDLLLIKFNEMAFSATPSIFFSFLFFFCLSQATILSWRIAINFVAGMHSWSCTKKVLIRKMELVPPFVLLRIRK >Manes.08G154400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38884448:38885310:-1 gene:Manes.08G154400.v8.1 transcript:Manes.08G154400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKNFCTTVGTLTQRKPDSVLAVMFNGRHTLCEDHEKVLTHAQIYLRKMSAFQIFSFSFLLLDMFDLLLIKFNEMAFSATPSIFFSFLFFFCLSQATILSWRIAINFVAGMHSWSCTKKGYVLIGTKSILVIVVPALNKAEY >Manes.08G154400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38884788:38885310:-1 gene:Manes.08G154400.v8.1 transcript:Manes.08G154400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKNFCTTVGTLTQRKPDSVLAVMFNGRHTLCEDHEKVLTHAQIYLRKMSAFQIFSFSFLLLDMFDLLLIKFNEMAFSATPSIFFSFLFFFCLSQATILSWRIAINFVAGMHSWSCTKKVLIRKMELVPPFVLLRIRK >Manes.08G154400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38884448:38885249:-1 gene:Manes.08G154400.v8.1 transcript:Manes.08G154400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVATDLWCVYFSGGKNFCTTVGTLTQRKPDSVLAVMFNGRHTLCEDHEKVLTHAQIYLRKMSAFQIFSFSFLLLDMFDLLLIKFNEMAFSATPSIFFSFLFFFCLSQATILSWRIAINFVAGMHSWSCTKKGYVLIGTKSILVIVVPALNKAEY >Manes.05G044843.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3716563:3719754:1 gene:Manes.05G044843.v8.1 transcript:Manes.05G044843.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHGIHVMCLLSGSVSLSFPLSPSPSLNGSSRSFHFPPHFIKFKRYFSVPPFSFSGAFLRTPTSTHSSIYTLYFKTHSIIQHLTILPPVSAFTDPFPVAMHNNHNDRFASIETTCGLLLLELQKLWDEVGDNDVQRDKVLFEIEEECLEVYRRKVDEAGKCRSELLREIASVEAEIEDICSVLSEQPVKDEQKAGESLREKLQIIVPQLEEMRKRKAEREKQFAEVLDELKNISIEIFGSATEINMCGKLVDSDNLSMRRLEQLRNQLCELQNEKSNRLKQVECHLDTLSSLCEVLGMDFKNTIHEIHPTLDDSKRAKDVTSYTIERLTTVIQSVRDVKIQRMQRLQGLGTVLLELWDLMGTPIEEQQMFQNVTSVIAASEHQITECNMLSMDFINQVEDEVSRLKQLKSTKLKEIILKKRLELEEICRNSHIVTELLTAATYSIEAEPSGVDPVHLLEEIEFEIAKVKEEAFSRKEILDKVEKWFGACDGLRSITGMKLVIMPDEVHILL >Manes.11G158633.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32436825:32439833:1 gene:Manes.11G158633.v8.1 transcript:Manes.11G158633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKERIYAAIAFCNLSENEQKKEIQKLMKSNEILGTVTIDQTLLQIESKYRVTIFIQLLSQLSPLSAYPASFLVDYFSRGGQLMPHHVQSNQLLEFRDLEPTPSSPDEELSDPTLRSQRMKRAALWEESEPSNSGNKKILKAGPRVSVKERGTKGGVNYVPQNRPLLQHHEKQKAGASDQHVIIVPDDEHKHWLRSVEESIKEQVPKLLNKTAGKASCSIFRVPKSLVKIHPEAFQPQIVSIGPYHHGAKHLEMIQQHKWRFLGAVLARTQAFGVGLDDFYKIIAIDEKKIRECYSESTDAYNSRQLIEMLILDGLFIIELLCRVGKLFPDDPDDPIFKSQWIYYSISRDLLRLENQIPFFVLRNLFERSIPADSRKGLSLTELVLSFFQHSMPEHHRKVQERFKDNLEWKHVLDFLRSTFIPSSQEEASKSEDLRLIQPVEKLLASGIKFEQSSTASESFLDIKFNPRGVLEIPRLVTDDFISSFLLNCVAFEQCFKLHSTHFTSYVIFMGCLINTASDAGYLRDHGIIENYFGTDDEVVKYFNEVGKDVLFSMKRSYLAKVFEEVNKYYKNTWHVRWAEFKYTYFGSPWIFISALAAFILLLLTFFQSFFAGYAYFRPPN >Manes.04G003900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:610786:615835:-1 gene:Manes.04G003900.v8.1 transcript:Manes.04G003900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDDLPLWGFIGKVEEQSWIVGQREFKYYLFKHVQFDVLYRGNRVIEVSAFSDPNHAVDITEDVDIDVEFTYSVIWNATSAPFETRMDKYSRASFHPVHQQIHWFSFVNSIIIILLLMGLLTGLFMRRLRNDLKKCSGGDEEEDKEVGWKYIHSDVFRYPHNISLFSAVLGTGTQLLTMVCFLFVLAFVGVLYPYNRGALCTSFVLVYTLTSVVGGYTTAAFHNQFAQTGWKRSVIFTGILFLGPLFVILSILNIIAVSYGTTATLPFGTIMVILLIHVFFTVPLLALGGLLGYCFRSVFQAPSATKRYPREIPPLGWYRKTPAQMFLAGILCCSAIILELHHLYASLWGYKICTLPSILFVTFIILILLTALLSIVMTYILLSAEDHQWWWRSVLCGGAPAIFMFSYGICFFARSNMRGFMQLSFFLGYNACICYAFFLMLGTVSFRASFMFVSHIYHAVKSE >Manes.04G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:611961:615835:-1 gene:Manes.04G003900.v8.1 transcript:Manes.04G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILFFLLFTTAFSFLRFVCSSPADHSYNVGDPVPLFVNKVGPLHNPSETYRYYDLPFCRPDNVIRKKETLGEVLNGDRLSSALYDLKFREDKTGVTLCKKKLKGDEVLRFRDAIIDDFYFQMYYDDLPLWGFIGKVEEQSWIVGQREFKYYLFKHVQFDVLYRGNRVIEVSAFSDPNHAVDITEDVDIDVEFTYSVIWNATSAPFETRMDKYSRASFHPVHQQIHWFSFVNSIIIILLLMGLLTGLFMRRLRNDLKKCSGGDEEEDKEVGWKYIHSDVFRYPHNISLFSAVLGTGTQLLTMVCFLFVLAFVGVLYPYNRGALCTSFVLVYTLTSVVGGYTTAAFHNQFAQTGWKRSVIFTGILFLGPLFVILSILNIIAVSYGTTATLPFGTIMVILLIHVFFTVPLLALGGLLGYCFRSVFQAPSATKRYPREIPPLGWYRKTPAQMFLAGILCCSAIILELHHLYASLWGYKICTLPSILFVTFIILILLTALLSIVMTYILLSAEDHQWWWRSVLCGGAPAIFMFSYGICFFARSNMRGFMQLSFFLGYNACICYAFFLMLGTVSFRASFMFVSHIYHAVKSE >Manes.07G009937.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1136696:1140414:1 gene:Manes.07G009937.v8.1 transcript:Manes.07G009937.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQNLQPHPNLKELNVHGYGGRRFPSWFSAPTNLVNLCIWYCKRCQHLPPMDQIPSLQHLQIWTLDNLEYMEIEGQQTSFFPSLKTLNLHGCPKLKGWQKKRDDSTALELLQFPCLSHFSCNNCPSLTSIPQFPSLDESLNLQKASPQLVHQIFTPSISSSSSIIPPLSKLKHLSIVYIEELESLPRDGLRNLTCLQRLTIGFCLALKCLPQEMRSLTSLRELNIEMCPQLNERCGNKKGEDWEFISHIQNIKVDGQTIQKEGRYLLDHEASISEG >Manes.03G150200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27737511:27741655:-1 gene:Manes.03G150200.v8.1 transcript:Manes.03G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLDHLRPSSSSSHNYESSLSVSACLAGDSSAYHRTSVYGDDVVIVAAYRTPLCKSKRGGFKDTYADDLLAPVLKAVIEKTNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTINPMAWDGDVNPKVKAFEQAQNCLLPMGVTSENVAHRFGVTRQEQDQAAVESHRKAAAATASGRFKDEIIPVATKIVDPKTGNEKPVSIAVDDGIRPNTSVSELGKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMRRSVAMRKGLPILGVFRTFAAVGVDPAIMGIGPAVAIPAAVKAAGLELGDIDLFEINEAFASQFVYCRKKLELDPEKINVNGGAMAIGHPLGATGARCVATLLHEMRRRGRDCRFGVVSMCIGTGMGAAAVFERGDAADELCNARRVETNDLLSKDAM >Manes.05G037400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3078769:3090949:1 gene:Manes.05G037400.v8.1 transcript:Manes.05G037400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGSIRQPNVFHGNEGTGHRLSNRLHSRLRYGSFRCNVLDPSIVLKDRFSKKRKGFPHSGGLNSSLVFRRVCHSHFSSAYSSNSLSCSSGNVFKVSGRFRSRCQGNDSLAYIDENDRSVEFVDGSAGGSSVGSDDGGELSSLGDKGGEQKEEVEADARSLDELRELLQKGMTELEVARLNSTMFEEKAQRISEAAIALKDEAANAWNDVNSTLDMIQGIVNEEAVAKEAVQNATMAVSLAEARLKVAVESIGVAKGEADSPAGSGESEVEKDVKEQDEELLVAQNDISECQMNLASCETELRNLQRKKEDLQKEVDRLNEIAEKSQMNALKAEEDVANVMLLAEQAVAFELEAAQRVNDAEIALQKAEKSVSSSFVDTLETTQGHVSGDEAVIEEEKVSGGRSADDEKERDVPIDVDALDNEPTIDRLSDKAIQSDKELYQSDDSSEQENGKLHLELAKETESETEKLKSGVQTKKPELQKDKTREISPSPLSTPKALLKKSSRFFSASFFSFTEDETEFTSASVFQGLMESARKQLPKLVLGLLLFGAGIVFYSNRGERSTQMPQQMDIVSTSIEEVSSNAKPLIRRIQKVPKRIKKLLAMLPHQEINEEEASLFDVLWLLLASVIFVPMFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAVAVGLASHYISGLPGPAAIVVGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAALAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLFSNFPVIMGTLGLLIGGKTLLVALVGRLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGLSMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEITATINEFRSRHLSELAELCQASGSSLGYGFSRMMSKPKVQLSDSSDENQVTEGTLAI >Manes.05G037400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3078603:3091698:1 gene:Manes.05G037400.v8.1 transcript:Manes.05G037400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGSIRQPNVFHGNEGTGHRLSNRLHSRLRYGSFRCNVLDPSIVLKDRFSKKRKGFPHSGGLNSSLVFRRVCHSHFSSAYSSNSLSCSSGNVFKVSGRFRSRCQGNDSLAYIDENDRSVEFVDGSAGGSSVGSDDGGELSSLGDKGGEQKEEVEADARSLDELRELLQKGMTELEVARLNSTMFEEKAQRISEAAIALKDEAANAWNDVNSTLDMIQGIVNEEAVAKEAVQNATMAVSLAEARLKVAVESIGVAKGEADSPAGSGESEVEKDVKEQDEELLVAQNDISECQMNLASCETELRNLQRKKEDLQKEVDRLNEIAEKSQMNALKAEEDVANVMLLAEQAVAFELEAAQRVNDAEIALQKAEKSVSSSFVDTLETTQGHVSGDEAVIEEEKVSGGRSADDEKERDVPIDVDALDNEPTIDRLSDKAIQSDKELYQSDDSSEQENGKLHLELAKETESETEKLKSGVQTKKPELQKDKTREISPSPLSTPKALLKKSSRFFSASFFSFTEDETEFTSASVFQGLMESARKQLPKLVLGLLLFGAGIVFYSNRGERSTQMPQQMDIVSTSIEEVSSNAKPLIRRIQKVPKRIKKLLAMLPHQEINEEEASLFDVLWLLLASVIFVPMFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAVAVGLASHYISGLPGPAAIVVGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAALAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLFSNFPVIMGTLGLLIGGKTLLVALVGRLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGLSMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEITATINEFRSRHLSELAELCQASGSSLGYGFSRMMSKPKVQLSDSSDENQVTEGTLAI >Manes.05G037400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3078716:3090949:1 gene:Manes.05G037400.v8.1 transcript:Manes.05G037400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGSIRQPNVFHGNEGTGHRLSNRLHSRLRYGSFRCNVLDPSIVLKDRFSKKRKGFPHSGGLNSSLVFRRVCHSHFSSAYSSNSLSCSSGNVFKVSGRFRSRCQGNDSLAYIDENDRSVEFVDGSAGGSSVGSDDGGELSSLGDKGGEQKEEVEADARSLDELRELLQKGMTELEVARLNSTMFEEKAQRISEAAIALKDEAANAWNDVNSTLDMIQGIVNEEAVAKEAVQNATMAVSLAEARLKVAVESIGVAKGEADSPAGSGESEVEKDVKEQDEELLVAQNDISECQMNLASCETELRNLQRKKEDLQKEVDRLNEIAEKSQMNALKAEEDVANVMLLAEQAVAFELEAAQRVNDAEIALQKAEKSVSSSFVDTLETTQGHVSGDEAVIEEEKVSGGRSADDEKERDVPIDVDALDNEPTIDRLSDKAIQSDKELYQSDDSSEQENGKLHLELAKETESETEKLKSGVQTKKPELQKDKTREISPSPLSTPKALLKKSSRFFSASFFSFTEDETEFTSASVFQGLMESARKQLPKLVLGLLLFGAGIVFYSNRGERSTQMPQQMDIVSTSIEEVSSNAKPLIRRIQKVPKRIKKLLAMLPHQEINEEEASLFDVLWLLLASVIFVPMFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATAVAVGLASHYISGLPGPAAIVVGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAALAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLFSNFPVIMGTLGLLIGGKTLLVALVGRLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGLSMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAAKLPMSEITATINEFRSRHLSELAELCQASGSSLGYGFSRMMSKPKVQLSDSSDENQVTEGTLAI >Manes.05G037400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3078736:3090949:1 gene:Manes.05G037400.v8.1 transcript:Manes.05G037400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGSIRQPNVFHGNEGTGHRLSNRLHSRLRYGSFRCNVLDPSIVLKDRFSKKRKGFPHSGGLNSSLVFRRVCHSHFSSAYSSNSLSCSSGNVFKVSGRFRSRCQGNDSLAYIDENDRSVEFVDGSAGGSSVGSDDGGELSSLGDKGGEQKEEVEADARSLDELRELLQKGMTELEVARLNSTMFEEKAQRISEAAIALKDEAANAWNDVNSTLDMIQGIVNEEAVAKEAVQNATMAVSLAEARLKVAVESIGVAKGEADSPAGSGESEVEKDVKEQDEELLVAQNDISECQMNLASCETELRNLQRKKEDLQKEVDRLNEIAEKSQMNALKAEEDVANVMLLAEQAVAFELEAAQRVNDAEIALQKAEKSVSSSFVDTLETTQGHVSGDEAVIEEEKVSGGRSADDEKERDVPIDVDALDNEPTIDRLSDKAIQSDKELYQSDDSSEQENGKLHLELAKETESETEKLKSGVQTKKPELQKDKTREISPSPLSTPKALLKKSSRFFSASFFSFTEDETEFTSASVFQGLMESARKQLPKLVLGLLLFGAGIVFYSNRGERSTQMPQQMDIVSTSIEEVSSNAKPLIRRIQKVPKRIKKLLAMLPHQEINEEEASLFDVLWLLLASVIFVPMFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKLSVERLSSMKKYVFGLGSAQVLATAVAVGLASHYISGLPGPAAIVVGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAALAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLFSNFPVIMGTLGLLIGGKTLLVALVGRLFGISIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGLSMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEITATINEFRSRHLSELAELCQASGSSLGYGFSRMMSKPKVQLSDSSDENQVTEGTLAI >Manes.02G097600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7611380:7616742:1 gene:Manes.02G097600.v8.1 transcript:Manes.02G097600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPGPLSPTQASEMENLGDDKKTRIESLKQKAINASNKFRNSLTRKGRRSSKVMSIEIEDVHDAEEVKVVDAFREVILSEELLPPAHDDYHMLLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTITDDFEFKEITEVMQYYPQGHHGVDKDGRPVYIEKLGQVDSAKLLQVTTMDRYLKYHVQEFERTFNVKFPACSLAARRHIDQSTTILDVQGVGLKSLNKTARDLITSLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKFLEIIDASELPEFLGGTCTCADQGGCMVSDKGPWKDQEILKMVQLGDHKCTKRSGSQNPEEKTTAEDETVLSKGNDSSMEVPAPNIPSLEFSQVAPMIAQVSSKFEEYGPIIEKTVDMTWKNLPENDKYALTKVSITCSGTMLHQ >Manes.02G097600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7611380:7616742:1 gene:Manes.02G097600.v8.1 transcript:Manes.02G097600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPGPLSPTQASEMENLGDDKKTRIESLKQKAINASNKFRNSLTRKGRRSSKVMSIEIEDVHDAEEVKVVDAFREVILSEELLPPAHDDYHMLLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTITDDFEFKEITEVMQYYPQGHHGVDKDGRPVYIEKLGQVDSAKLLQVTTMDRYLKYHVQEFERTFNVKFPACSLAARRHIDQSTTILDVQGVGLKSLNKTARDLITSLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKFLEIIDASELPEFLGGTCTCADQGGCMVSDKGPWKDQEILKMVQLGDHKCTKRSGSQNPEEKTTAEDETVLSKGNDSSMEVPAPNIPSLEFSQVAPMIAQVSSKFEEYGPIIEKTVDMTWKNLPENDKYALTKAAQCYTNNYDACKVPEGSPIFTGFMTFVMGIVTMIKVTRTMPKKMTDANIYSGSVYCDETKVRNRAHQPMEPGISAADYMAVIKRMDELQERVSVLSMKPAMTVEKEEMLNSAISKVDTLEQELMATKKALEDSLTRQEDLVAYVEKKKKKKKMLFTW >Manes.02G097600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7611378:7616742:1 gene:Manes.02G097600.v8.1 transcript:Manes.02G097600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPGPLSPTQASEMENLGDDKKTRIESLKQKAINASNKFRNSLTRKGRRSSKVMSIEIEDVHDAEEVKVVDAFREVILSEELLPPAHDDYHMLLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTITDDFEFKEITEVMQYYPQGHHGVDKDGRPVYIEKLGQVDSAKLLQVTTMDRYLKYHVQEFERTFNVKFPACSLAARRHIDQSTTILDVQGVGLKSLNKTARDLITSLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKFLEIIDASELPEFLGGTCTCADQGGCMVSDKGPWKDQEILKMVQLGDHKCTKRSGSQNPEEKTTAEDETVLSKGNDSSMEVPAPNIPSLEFSQVAPMIAQVSSKFEEYGPIIEKTVDMTWKNLPENDKYALTKAAQCYTNNYDACKVPEGSPIFTGFMTFVMGIVTMIKVTRTMPKKMTDANIYSGSVYCDETKVRNRAHQPMEPGISAADYMAVIKRMDELQERVSVLSMKPAMTVEKEEMLNSAISKVDTLEQELMATKKALEDSLTRQEDLVAYVEKKKKKKKMLFTW >Manes.02G097600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7611380:7616742:1 gene:Manes.02G097600.v8.1 transcript:Manes.02G097600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPGPLSPTQASEMENLGDDKKTRIESLKQKAINASNKFRNSLTRKGRRSSKVMSIEIEDVHDAEEVKVVDAFREVILSEELLPPAHDDYHMLLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTITDDFEFKEITEVMQYYPQGHHGVDKDGRPVYIEKLGQVDSAKLLQVTTMDRYLKYHVQEFERTFNVKFPACSLAARRHIDQSTTILDVQGVGLKSLNKTARDLITSLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKFLEIIDASELPEFLGGTCTCADQGGCMVSDKGPWKDQEILKMVQLGDHKCTKRSGSQNPEEKTTAEDETVLSKGNDSSMEVPAPNIPSLEFSQVAPMIAQVSSKFEEYGPIIEKTVDMTWKNLPENDKYALTKVSITCSGTMLHQ >Manes.02G097600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7611380:7616742:1 gene:Manes.02G097600.v8.1 transcript:Manes.02G097600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPGPLSPTQASEMENLGDDKKTRIESLKQKAINASNKFRNSLTRKGRRSSKVMSIEIEDVHDAEEVKVVDAFREVILSEELLPPAHDDYHMLLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTITDDFEFKEITEVMQYYPQGHHGVDKDGRPVYIEKLGQVDSAKLLQVTTMDRYLKYHVQEFERTFNVKFPACSLAARRHIDQSTTILDVQGVGLKSLNKTARDLITSLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKFLEIIDASELPEFLGGTCTCADQGGCMVSDKGPWKDQEILKMVQLGDHKCTKRSGSQNPEEKTTAEDETVLSKGNDSSMEVPAPNIPSLEFSQVAPMIAQVSSKFEEYGPIIEKTVDMTWKNLPENDKYALTKVSITCSGTMLHQ >Manes.02G097600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7611281:7617226:1 gene:Manes.02G097600.v8.1 transcript:Manes.02G097600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPGPLSPTQASEMENLGDDKKTRIESLKQKAINASNKFRNSLTRKGRRSSKVMSIEIEDVHDAEEVKVVDAFREVILSEELLPPAHDDYHMLLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTITDDFEFKEITEVMQYYPQGHHGVDKDGRPVYIEKLGQVDSAKLLQVTTMDRYLKYHVQEFERTFNVKFPACSLAARRHIDQSTTILDVQGVGLKSLNKTARDLITSLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKFLEIIDASELPEFLGGTCTCADQGGCMVSDKGPWKDQEILKMVQLGDHKCTKRSGSQNPEEKTTAEDETVLSKGNDSSMEVPAPNIPSLEFSQVAPMIAQVSSKFEEYGPIIEKTVDMTWKNLPENDKYALTKAAQCYTNNYDACKVPEGSPIFTGFMTFVMGIVTMIKVTRTMPKKMTDANIYSGSVYCDETKVRNRAHQPMEPGISAADYMAVIKRMDELQERVSVLSMKPAMTVEKEEMLNSAISKVDTLEQELMATKKALEDSLTRQEDLVAYVEKKKKKKKMLFTW >Manes.02G097600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7611380:7616742:1 gene:Manes.02G097600.v8.1 transcript:Manes.02G097600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTHFLFSYRASEMENLGDDKKTRIESLKQKAINASNKFRNSLTRKGRRSSKVMSIEIEDVHDAEEVKVVDAFREVILSEELLPPAHDDYHMLLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTITDDFEFKEITEVMQYYPQGHHGVDKDGRPVYIEKLGQVDSAKLLQVTTMDRYLKYHVQEFERTFNVKFPACSLAARRHIDQSTTILDVQGVGLKSLNKTARDLITSLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKFLEIIDASELPEFLGGTCTCADQGGCMVSDKGPWKDQEILKMVQLGDHKCTKRSGSQNPEEKTTAEDETVLSKGNDSSMEVPAPNIPSLEFSQVAPMIAQVSSKFEEYGPIIEKTVDMTWKNLPENDKYALTKAAQCYTNNYDACKVPEGSPIFTGFMTFVMGIVTMIKVTRTMPKKMTDANIYSGSVYCDETKVRNRAHQPMEPGISAADYMAVIKRMDELQERVSVLSMKPAMTVEKEEMLNSAISKVDTLEQELMATKKALEDSLTRQEDLVAYVEKKKKKKKMLFTW >Manes.02G226501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36500782:36501558:-1 gene:Manes.02G226501.v8.1 transcript:Manes.02G226501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQRIHPTEDLEAPPTSPLVPPGSAISDKATTHAPPSRPPPPPPLRNNFPAAIHGAIEAPKTKRSCCCKCICWTIGIIFLAVIVIGAVFGILYLIFQPKIPKYSVDSLKISDLRLNWDMTLYAKFDVKITANNPNKKIGIYYEKGGHLRVWYAGTELCSGSIPKFYQGHQNITKLDVSLTGQTQYGSSLMAALQEQQQTGRIPLDLKVKAPVSVKLGRLKLRKVRIYGDCIVVVDSLSTNNLVSIKTSKCKFRLKL >Manes.17G065800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26594896:26596825:1 gene:Manes.17G065800.v8.1 transcript:Manes.17G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLNLLLLMAMVATNILSLYHLSARTFQSPRPPPPLQVPDHLLQQLQTIRAAINHLTRQQPSASSQSKPTIPSDLLLFSRLSPIASSCHNHPDLLHKYMTYTPYSPCPPDSDVAESLILRGCHPLPRRRCFSKTPSKPPSSLPHNPFPSSFSDSNIIWAQYSCKSFSCLAKQTPNLGFDISAEISKFMTYKTELDLPIPQLLQISKSANSVIRLGIDIGGNTGTFAARMKHYNVTIITTTMNFNLPNNEVVAIRGLVPLHMPLQQRLPVFDGVVDLVRCGRAVNRWIPLKMMEFMFYDVDRVLRGGGYFWLDHFFSKGVDLDKIYGPLIWKLGYKKVKWAVGNKTDSGGLKNRQVYLTALLQKPVSR >Manes.13G107400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31011534:31013690:-1 gene:Manes.13G107400.v8.1 transcript:Manes.13G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDDGCNTGLVLGLGFSASTAMEKPENSNNQKHKMMNKLCFEPSLSLGLSGEIYNHHDVSKGREESVGVDLFRHASPLSAVSSFSSGRVKRERDLSSEEIEAERVSSRVSDEDEDAINTRKKLRLTKEQSALLEESFKQHSTLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLAQPFYMQLPAATLTMCPSCERIGGVADGSSKNNPFSMAPKPHFYNPFTNPSAAC >Manes.13G098000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:28961724:28963012:-1 gene:Manes.13G098000.v8.1 transcript:Manes.13G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSCMVKVFFILGLLAASSMAQAPGASPTPAPKAAPTPSPKAAPTPSPKATPTPSPTPSAAPTPAPTPSSTLSPPSLAPTDSPASSPPAPSTLSPTSSQTPASQPPPSDNFAAARFDKVILAGTVLAGTFLSFTLA >Manes.06G099300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23321054:23333387:1 gene:Manes.06G099300.v8.1 transcript:Manes.06G099300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKLTALKKAYADIILNTAKEAAARIMVSERKAQRYQRELFAAKDESLRMLLRLKQMLDSKVSEAEMTSLSQQRRIEELEAQLGEAEDIVKDLRAELRELQDELEKVTNSQMQPLGEQNLMGENGTRITAFEDNRLSTSGSAISAIPALQCDPVTSIEMKNSTLNGTCDENKCYSENDCHKDKCFVCNPDFASIVMRSKVPELYRNGCTQRIRAFERHLLGGNLPLSGQADDVKNQIFIKADEEDKDMCKQLTAKVDNICDLEKGPDVAKDIEAGNRIRRRRRKRNLSRFGQAENVRNQIFIREEDNHTYKNLTAMANIGCDVEKSQDVAKDDGGGIPRRLRIKRYRKRRDYKIYPHQAVDDIVQTKEDSKPIDNLSQKDPMPLVAPKSPSSTNEMLTQSESVETKSESEFDRTCSFQTTNSNKLSTDELELAGQDIGSAENLEFPPSKTDFDVPGVLLSNPIPKEPDVTEAVPTQSSNNKFLKYTFQRKRKRELPSSPDGDSSPNISSLKKKMGEKTSGSTESLKSGMIAESSRDNRRMAQVARQAKEKPYKA >Manes.06G099300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23321054:23333387:1 gene:Manes.06G099300.v8.1 transcript:Manes.06G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKLTALKKAYADIILNTAKEAAARIMVSERKAQRYQRELFAAKDESLRMLLRLKQMLDSKVSEAEMTSLSQQRRIEELEAQLGEAEDIVKDLRAELRELQDELEKVTNSQMQPLGEQNLMGENGTRITAFEDNRLSTSGSAISAIPALQCDPVTSIEMKNSTLNGTCDENKCYSENDCHKDKCFVCNPDFASIVMRSKVPELYRNGCTQRIRAFERHLLGGNLPLSGQADDVKNQIFIKADEEDKDMCKQLTAKVDNICDLEKGPDVAKDIEAGNRIRRRRRKRNLSRFGQAENVRNQIFIREEDNHTYKNLTAMANIGCDVEKSQDVAKDDGGGIPRRLRIKRYRKRRDYKIYPHQAVDDIVQTKEDSKPIDNLSQKDPMPLVAPKSPSSTNEMLTQSESVETKSESEFDRTCSFQTTNSNKLSTDELELAGQDIGSAENLEFPPSKTDFDVPGVLLSNPIPKEPDVTEAVPTQSSNNKFLKYTFQRKRKRELPSSPDGDSSPNISSLKKKMGEKTSGSTESLKSGMIAESSRDNRRMAQVARQLISLSEQKWV >Manes.08G029801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2853027:2854177:-1 gene:Manes.08G029801.v8.1 transcript:Manes.08G029801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNAGVMDRSLGSILDTKKSDLDWMLKVNLGGAFFGAKHAARVMVPPRNGCILFTASACTALGGLSTHSYAAAKCGIWGLARNLASELGQYSIRVNCVSPYGLVTGITPITDAFIYG >Manes.16G093000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29924303:29926369:1 gene:Manes.16G093000.v8.1 transcript:Manes.16G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRTHPMIGRLSELLVPSNRAGFLDVSTSPRTPLDYRIQSPRGLKNYDLGGVGLGIVAALEKSSSDAGGREVLAKYGICSPNMSRSDPIPLNSGKRCDCRFKQTEMESLEDYTYVTSYGPDKSLTKVYYDDGQKGHDRIGVFSTAKEPPARFVDEAALYGSSDFLSSCHLCRKGLHGKDIYMYRGEKAFCSNECRSRQIMIDERKEQCRSEVARSADVSSSRSPIFSTGILAI >Manes.06G117800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24824651:24830169:-1 gene:Manes.06G117800.v8.1 transcript:Manes.06G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSALNLEDVPSVDLMTELLRRMKCSTKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLERQGAKIDKVLNFAIDDAILEERITGRWSHPSSGRTYHTKFAPPKVSGVDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYKNKGVVAELHAEKPPKEVTAEVQKVLSS >Manes.14G002600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1467340:1473749:1 gene:Manes.14G002600.v8.1 transcript:Manes.14G002600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDDLYPSMEKSTTVCIFFKDFRHVLKLDEIGRDIARIALPAALALTADPIASMMDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGKLSPEAQESESLETGSHVNSESKELIPQNDPVEGASKSKSLISIFEVSKIENERRHIPSASSALVIGAILGFVQAIFLISGAKPLLNFMGVSSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDITNIILDPIFMFIFRLGVSGAAIAHVISQYLISIILLWRLMEQVDLLPPSFRHLQFGKFLKNGLLLLMRVIAVTFCVTLSASLAARQGATSMAAFQVCLQVWLTTSLLADGLAVSGQAILASAFAKKDYEKVTATASRVLQLGLLLGLMLAVILGLGLSFGARLFTSDVDVLHVISIGIPFVVGTQPINALAFVFDGVNFGASDFAYSAYSMVLVAIISIICLLFLSSSYKFIGIWVALTIYMSLRASAGFWRIGTRTGPWKFLRSC >Manes.03G029400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2364108:2366840:1 gene:Manes.03G029400.v8.1 transcript:Manes.03G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCVVETGKSNILPPIQLMDKTS >Manes.15G094400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7350068:7351357:-1 gene:Manes.15G094400.v8.1 transcript:Manes.15G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQQLFLSLCLSFTIFSLFAQASVPPSATFKYVNEGEFGDYIVEYDANYRVLDPFAHPFQLCFYNTTPNAYTLALRMGTMRSESLRRWVWEANRGNPVGENATLTFGTDGNLVLADADGRIAWQTNTANKGVVGFKLLSNGNMVLHDSKGRFIWQSFDHPTDTLLVGQSLKLGASTKLVSRASEKQNANGAYSLVMEDKTLAMYYKSPNSPKSLLYFSFSDLLSILEGPLNRVTFISGLSLEYQGSKSSSGGTLTLRRPKYDTSLSYLRLEIDGNLKIHTYEDDADWSAWQVTYTLFSKDSWETECQLPERCGNFGLCQYDQCVACPSPKGLLGWSKNCRPPKISSCRVKDFHYDKLEGIDHFNSKYTNGDGPMKLNACSSKCTKDCKCLGYFYHTQSFKCWIAYDLKTLTKVNNSTHLGFIKTPNK >Manes.05G029200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2460539:2472126:-1 gene:Manes.05G029200.v8.1 transcript:Manes.05G029200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDSAEKLVDYLKHFRADNIVNDAEFIRVRLVPDSGPWTILGQSYGGFCAVTYLSFAPQGLKQVLITGGIPPIRSGCTADIVYSACYEQVIRQNEKYYERFPQDAEIVREVVIHLAESEGGGVLLPSGGILTPRGLQTLGLSGLGSSAGFERLHYMFERVWDPVLVPGSRKQISHYFLKAFENWLDFDSNPLYALLHESIYCQDASSSWSAYRILAEDNGKFDAIRAAKEGRPVFFTGENVFPWMFDEIHALRKFKDAAQLLAKKKDWPPLYDIAMLKNNKVPVAAAVYYEDMYVNFKLAMETASQIGGIRLWITNEYMHSGLRDAGGRVFDHLLGMLNGNKPLF >Manes.05G029200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2460540:2472125:-1 gene:Manes.05G029200.v8.1 transcript:Manes.05G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNYAQPSLIKPILLASSLLLYPRSTSRHPPHSLPNLLHFHCRRSSLNTFATMAEAKHAASYSPPEHHAGTWYSVPDLRLRDHRFIVPLDYSIDRSASPKISIFVREVVAVGKEEQQLPYLLYLQGGPGFECPRPTEASGWVHKACEGFRVVLMDQRGTGLSTPLTSSSMLQLDSAEKLVDYLKHFRADNIVNDAEFIRVRLVPDSGPWTILGQSYGGFCAVTYLSFAPQGLKQVLITGGIPPIRSGCTADIVYSACYEQVIRQNEKYYERFPQDAEIVREVVIHLAESEGGGVLLPSGGILTPRGLQTLGLSGLGSSAGFERLHYMFERVWDPVLVPGSRKQISHYFLKAFENWLDFDSNPLYALLHESIYCQDASSSWSAYRILAEDNGKFDAIRAAKEGRPVFFTGENVFPWMFDEIHALRKFKDAAQLLAKKKDWPPLYDIAMLKNNKVPVAAAVYYEDMYVNFKLAMETASQIGGIRLWITNEYMHSGLRDAGGRVFDHLLGMLNGNKPLF >Manes.05G029200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2460539:2472126:-1 gene:Manes.05G029200.v8.1 transcript:Manes.05G029200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNYAQPSLIKPILLASSLLLYPRSTSRHPPHSLPNLLHFHCRRSSLNTFATMAEAKHAASYSPPEHHAGTWYSVPDLRLRDHRFIVPLDYSIDRSASPKISIFVREVVAVGKEEQQLPYLLYLQGGPGFECPRPTEASGWVHKACEGFRVVLMDQRGTGLSTPLTSSSMLQLDSAEKLVDYLKHFRADNIVNDAEFIRVRLVPDSGPWTILGQSYGGFCAVTYLSFAPQGLKQVLITGGIPPIRSGCTADIVYSACYEQVIRQNEKYYERFPQDAEIVREVVIHLAESEGGGVLLPSGGILTPRGLQTLGLSGLGSSAGFERLHYMFERVWDPVLVPGSRKQISHYFLKAFENWLDFDSNPLYALLHESIYCQDASSSWSAYRILAEDNGKFDAIRAAKEGRPVFFTGEVVLRILRYLKSASEK >Manes.03G067400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:8989715:8990032:1 gene:Manes.03G067400.v8.1 transcript:Manes.03G067400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGANMLISRANRMKTKLQSVLEATTIELEDVSHQHAGHAAVKPNNVETHFNLKIVSPKFSGHTLVKRHRMVYDALADELQSGLHALSIVAKTPQEEEAASHR >Manes.01G037766.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6873148:6874014:1 gene:Manes.01G037766.v8.1 transcript:Manes.01G037766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLTISHLFFVDNSLFFVRANMLQTAQLKAGLTVYEGASSQCINLQKSAVSFSSNVLDEDRDTICQHFGIQEIEAHDTNLGMPTVVRHDRTKAFHFIVKKVAKRLAGWK >Manes.06G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29043384:29045660:1 gene:Manes.06G163300.v8.1 transcript:Manes.06G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGTGERGAFRRGFGGGPRGDRGGRGRRRARRDEEEKWVPVTKLGRLVKDGKIKSLEQIYLHSLPIKEHQIIDTLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFTRSPFQEYTDLLGKPTKVLIEDAEKIEA >Manes.03G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1231662:1236877:-1 gene:Manes.03G014100.v8.1 transcript:Manes.03G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNPFNNSVLPVSISPSGEEKEKERLIRGGDEKIFRGSAMTKRGAYAAISYMSCAVLLVMFNKAALSSYNFPSANVITLFQMISSTSFLYALRRWKIISFIAGESLPISDSNTTFVSFETLMHTLPLSVAYLLYMLVTMESVRGVNVPMYTTLRRTTVAFTMIMEYFLAGQRYTIPIVGSVGVILLGAFIAGARDLSFDFYGYAVVFLANITTAIYLATISRIGKSSGLNSFGLMWCNGIICGPVLLLWTFFRGELEMTINFPLLFSLGFLAVLLLSCTLAFFLNYSIFLNTTLNSALTQTICGNLKDFFTIGLGWMIFGGLPFDIFNVIGQFLGFLGSGLYAYYKLIGR >Manes.11G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:845708:848401:1 gene:Manes.11G007400.v8.1 transcript:Manes.11G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKRAMCVDDPINPLITTTLQASGILVISHFFHIILKPMGQPGPVAQILAGIVLGPSLLSHFSVIKEFFLQSSSADYYDVFSSIYNILFMFLIGLETDIPYLRRNLRRASIIAYGGMLICSIFGLAASFFIIRILKFSANTVALANVIMIILATTASPVVIRLAAELKFSTSDTGRLAICSSLINEITCVLWLCLIVIFMSWSMFGRAILFSLLSLGLIIVNKYIAAWCDQRNRNQKYVTNTEMLSILFLVIALSFLTEEYGFNSTIPCFLLGLFFPREGKTTRTLLIRLAYSVHNFILPIYFGYIGFQFNITYLNSYRNVIAVVLMLILSMGGKIIGTLAACHYLNIPEIDGIILSFLLNMKGHAELLVVGVLRKSILKSWWDQNIHNLVVMVVVLNTVISGPAVAYMLRKNSKYYSQKQTSLEFREPESELRMLACVYGSRHISEKVGLIFAMSGTSETPTTAYLAHLVELPKKRRKKKLMYHQLKDGDQFSDEEEYGGNEVVEINDTVDTLTMETKLMIHQSKVVSSFPRMYEDVCDAIEDLRVSIVLLTFHKHQRLDSELESGKEGIRLTNQKLLRHAPCSVGIFVDRGQTGFHLPTPESLQNVATLFFGGADDREALACSKRMATHPHINFTLIRFQEESQSEHKEFLDNTSHSNTEILMEMSSRDMEAEIDRAFLEDFYKRYVASGQAGYEDRYVNNRAQTLEALTSIAERFSLLIVGRGGRWHSPITSDLSDWEECPELGTVGDLLASSEFNINCSVLVVQQHQLSEADLTDD >Manes.18G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10365742:10369402:-1 gene:Manes.18G105800.v8.1 transcript:Manes.18G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAESVVSTALLTLSDLLIQEATFLDGVKEEVIGMQLELRRMQSFLKDADSRQDEDETIRNWVSEIREAAYDVEDIIEEFALKVALRRRSGVVNVVKRYATIAKESVELHRVGSEIQIIKSRISDLTKSLETFPIQPRESFGSSLPGGRQQQQQHLRRSYSHIVEDDIVGLEDDVKILVEQLVCSEKTVVSLHGMGGLGKTTLAKKIYHNSEVRDHFEAFAWAYISQQCQTRDIWEGILFKLINPTKEQREEISNLRDDELARKLYQVQQEKKCLVILDDIWTVGTWNKLRPAFPCGTGNFLSKILLTTRIRDVALYPDPTCFLHQPRHLNDEESWELFKRKAFLGINYPGFIIRAKMEELGREMVGKCTGLPLAIIVLGGVLAKKTTIYGWDTVRRNIVSHLMKGGGHEQLFGVSEVLALSYHELPYQLKPCFLHLAHFPEDYEIPTKKLIRMWVAEGFISCTDNEEMEEIMEDVAQCYLDELVERCMVQVVERGSTGRIRTCRMHDLMRDLCLSKAKLENFLEIYQLRSKDHSGYSSPSSMVCETRSVGRLRRLAIFVDGDLKKFIPSRYRRNSHVRSLLYFHEKACHVDKWGSIKSVFNNFKLLRILDLEGIQSHDGKLPKEIGKLIHLRFLSLRDTDIGELPLTIGNLMYLQTLDILTWNSTVQIPNVIWKMQKLRHLYLPESCGDDSDKWQLATLCNLQTLVNFPAEKCYIKDLLSLTNLKKLVIDDPKFGLIFKSRGATFNRLESLSFVSNEDSTAIQVITQCPNLYKLHVEGQIEKLPECHQFSSNLAKLNLQGSKLMEDPMVTLEKLPNLRILRLQMDSFLGTAMVCSDKGFPQLKCLFLCDLPNLEDWKVEEGAMLNLCHLLISNCTTMKMVPDGLRFLTSLQKLEIRSMLKAFKTRLEVGGEDYYKIQHVTSAVFRYCDY >Manes.18G105800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10363520:10369402:-1 gene:Manes.18G105800.v8.1 transcript:Manes.18G105800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAESVVSTALLTLSDLLIQEATFLDGVKEEVIGMQLELRRMQSFLKDADSRQDEDETIRNWVSEIREAAYDVEDIIEEFALKVALRRRSGVVNVVKRYATIAKESVELHRVGSEIQIIKSRISDLTKSLETFPIQPRESFGSSLPGGRQQQQQHLRRSYSHIVEDDIVGLEDDVKILVEQLVCSEKTVVSLHGMGGLGKTTLAKKIYHNSEVRDHFEAFAWAYISQQCQTRDIWEGILFKLINPTKEQREEISNLRDDELARKLYQVQQEKKCLVILDDIWTVGTWNKLRPAFPCGTGNFLSKILLTTRIRDVALYPDPTCFLHQPRHLNDEESWELFKRKAFLGINYPGFIIRAKMEELGREMVGKCTGLPLAIIVLGGVLAKKTTIYGWDTVRRNIVSHLMKGGGHEQLFGVSEVLALSYHELPYQLKPCFLHLAHFPEDYEIPTKKLIRMWVAEGFISCTDNEEMEEIMEDVAQCYLDELVERCMVQVVERGSTGRIRTCRMHDLMRDLCLSKAKLENFLEIYQLRSKDHSGYSSPSSMVCETRSVGRLRRLAIFVDGDLKKFIPSRYRRNSHVRSLLYFHEKACHVDKWGSIKSVFNNFKLLRILDLEGIQSHDGKLPKEIGKLIHLRFLSLRDTDIGELPLTIGNLMYLQTLDILTWNSTVQIPNVIWKMQKLRHLYLPESCGDDSDKWQLATLCNLQTLVNFPAEKCYIKDLLSLTNLKKLVIDDPKFGLIFKSRGATFNRLESLSFVSNEDSTAIQVITQCPNLYKLHVEGQIEKLPECHQFSSNLAKLNLQGSKLMEDPMVTLEKLPNLRILRLQMDSFLGTAMVCSDKGFPQLKCLFLCDLPNLEDWKVEEGAMLNLCHLLISNCTTMKMVPDGLRFLTSLQKLEIRSMLKAFKTRLEVGGEDYYKIQHVTSAVFRYCDY >Manes.18G105800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10363894:10369402:-1 gene:Manes.18G105800.v8.1 transcript:Manes.18G105800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAESVVSTALLTLSDLLIQEATFLDGVKEEVIGMQLELRRMQSFLKDADSRQDEDETIRNWVSEIREAAYDVEDIIEEFALKVALRRRSGVVNVVKRYATIAKESVELHRVGSEIQIIKSRISDLTKSLETFPIQPRESFGSSLPGGRQQQQQHLRRSYSHIVEDDIVGLEDDVKILVEQLVCSEKTVVSLHGMGGLGKTTLAKKIYHNSEVRDHFEAFAWAYISQQCQTRDIWEGILFKLINPTKEQREEISNLRDDELARKLYQVQQEKKCLVILDDIWTVGTWNKLRPAFPCGTGNFLSKILLTTRIRDVALYPDPTCFLHQPRHLNDEESWELFKRKAFLGINYPGFIIRAKMEELGREMVGKCTGLPLAIIVLGGVLAKKTTIYGWDTVRRNIVSHLMKGGGHEQLFGVSEVLALSYHELPYQLKPCFLHLAHFPEDYEIPTKKLIRMWVAEGFISCTDNEEMEEIMEDVAQCYLDELVERCMVQVVERGSTGRIRTCRMHDLMRDLCLSKAKLENFLEIYQLRSKDHSGYSSPSSMVCETRSVGRLRRLAIFVDGDLKKFIPSRYRRNSHVRSLLYFHEKACHVDKWGSIKSVFNNFKLLRILDLEGIQSHDGKLPKEIGKLIHLRFLSLRDTDIGELPLTIGNLMYLQTLDILTWNSTVQIPNVIWKMQKLRHLYLPESCGDDSDKWQLATLCNLQTLVNFPAEKCYIKDLLSLTNLKKLVIDDPKFGLIFKSRGATFNRLESLSFVSNEDSTAIQVITQCPNLYKLHVEGQIEKLPECHQFSSNLAKLNLQGSKLMEDPMVTLEKLPNLRILRLQMDSFLGTAMVCSDKGFPQLKCLFLCDLPNLEDWKVEEGAMLNLCHLLISNCTTMKMVPDGLRFLTSLQKLEIRSMLKAFKTRLEVGGEDYYKIQHVTSAVFRYCDY >Manes.13G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3320836:3323170:-1 gene:Manes.13G024000.v8.1 transcript:Manes.13G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTMRGIPPLSCLYIHHLSFCTIIYTFAFRGSHTFSTEMGSFQLANGPSPLSLSSSFILPENKRPYLSQVSTVASIPVIDLNQELSQLIQQVSRACEEYGFFQIINHGVSQELCERMLKTISEFFELPPQERAKFFTTDHTKQIKLFNYNLKVDGQEDKVSMWSETFSHPLHPLDDVAHLLPENPPQYREVFAEYGMEMNELMTKLLRLMSQGLGLEKDCLKKKLGENPILRAQSNFYPPCPDPEMTLGLAVHTDLNALTIVRQSEGVTGLQVIKDGKWVAVDPIPNSFVINLGDQIQVLSNGRYKSVHHRAVTNKVHRRISLATFYVPGKDTVIGPIEDLIDEKHPPVYRSYRYAWFLEEFYRQEGTRRMVKETFELLTS >Manes.05G140800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23959701:23960225:1 gene:Manes.05G140800.v8.1 transcript:Manes.05G140800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAPPGFGKPLGLLANAAKRKDSFIQFAAMTGILLLSIRSLSQKYRIHDLQEDTSALKQEQQNLTNRLNHIERGLRHEASLDPTGLFASRLRILFGEEDSMI >Manes.01G121300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31725886:31727699:-1 gene:Manes.01G121300.v8.1 transcript:Manes.01G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAWRRRRAEARLARKNKLNNDDSGDNLEMEILVPSHFRCPITLDLMKDPVILSTGITYDRESIDKWIADGNQTCPVTNQVLLSFDQIPNHFIRKMIQDWCVENRSYGIERIPTPRIPVTPYEVSDICKRIAASSQRGDHKKCKELVLKIKYWGKESERNKRCIVDNGVGCVLAAAFESFGSSSTVENYADLLVEILSVLVWMFPLGEESRAKLGSVSSLRCMLWLLKSNGDLSARQSAVLVLKELLSLDQKHVNALVEIEGVTEALMDLIKEPICPASTKASLMVIFYMISPSAISYKIASTLVEMGLVSLIIEILIDGNKSISEKALGVLDHVCDSREGREKAYENALIVPVLFQKILASELASKFSVSILWKLCKNEKREEEGVVAEALQLKVGAFQKLLILLQVGCDESTKEKVTELLKMLNLCRAKLDCIGSSMDFRYLKKSY >Manes.18G046800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4091408:4092145:-1 gene:Manes.18G046800.v8.1 transcript:Manes.18G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPFICGSFHHHEDEDEPLQRQGSSSPCSTPRKSSRKNTKYSKNPYSTRGLDKFSALLADLEEKRQQIYSQVGSQDISFVRFVYSNSNDCVPIVVKLKDQSKSDETKDDKQRTAHNAEALDKSLPVETSPAHHELEEKQPRMETDKKTEKRRMLSWNLMLHRLRRPSYYMPVFIVLILLLLAFFGRSVTILCTSIGWYLVPALSSIRPSKKKQLVRRFSEISQRTNQNINGDPMKSPHGRQNSF >Manes.05G174900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29132067:29133874:-1 gene:Manes.05G174900.v8.1 transcript:Manes.05G174900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPTTDHIVSWGEDDTTFIVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKRLLCEIHRRKSAQPQVAINHHNHHHPHSPLGVNGPSFFPFSSRVSISPSDSDEQPNNWCDSPPLSSPRGGANASVSNGGGYNSSVTALLEDNERLRRNNNILVSELAHMRKLYNDIIYFVQNHVKPVTSSNSYPSSLLLCAPTSTANPFSSNGSLIQKPLNQLFGCYPSTNTKQIPQVQVLNSPSTTSKSSLTVLEDSNNNSCKTKLFGVPLQSKKRLHSECGSNSGNMEASKARLVLEKDELRLNLMPPSTC >Manes.05G038901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3188517:3189147:1 gene:Manes.05G038901.v8.1 transcript:Manes.05G038901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPLHECFITLEVHCMTVPGIQLLGRPERRRRMLSCRLRDAKGERNGIINISVKVKVPEYLSSNTHCYMTSKSVSAIPIEARILALRLVFQFWVPIKSNHFSVIVLFCFHNIKHNVV >Manes.09G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31738012:31738897:1 gene:Manes.09G115200.v8.1 transcript:Manes.09G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKQKKAALLYDKLRDVTNSTSMNKTSIIVDATKYIEELKGKVERLNKEIGTSQAAATSQNNQLPIHVTVETLEKGFLINVLSEKNCPGLLVSILEAFEQLGLDVLDARVSCEDNFRLEAVGGEYQGEADSLDAQVVKQAVVQAINNWTESNDDQD >Manes.09G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31757163:31759687:1 gene:Manes.09G115300.v8.1 transcript:Manes.09G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYSSSPILTTFKFLIGSFCFLVGIASSQLSSNFYSTTCPTALSTIKSAVNSAVSSEARMGASLLRLHFHDCFVNGCDASVLLDGASGEKTAPPNNNSLRGFEVVDSIKSQLESSCPGVVSCADILAVAARDSVVALGGLTWTVQLGRRDSATASFSAASTNIPSPFSDLSVLISDFSGKGFTTKEMVALSGAHTIGQARCTVFRSRIYNETNIDASFATSLKAKCPSSGGDDNLAPFDITTPKAFDNAYFKNLLSQKGLLHSDQQLFNGGSTDSQVRAYSSNPKLFSTDFANAMVKMGNLSPLTGTSGQIRANCRKAN >Manes.15G137200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11088997:11093015:-1 gene:Manes.15G137200.v8.1 transcript:Manes.15G137200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRHLGPTFLTLFLLCLISLARSQQSGDAPMMLKLRDSLGDPSNIGWSGSDPCKWKHVSCDASNRVTRIQIGHLNLEGTLPPELKNLTALETLEVMSNNLRGSVPNLSGLSSLQVVLLHNNGFTSFPSDFFDGMTSLTSMNLDYNPFQPWEIPSSLKSATALKDFSANGANITGTIPEFFNSDVFPGLENLHLAMNFLVGGLPLNFSRASSITSLWLNGQRSNSGLNGTISVLQNMTGLREIWLHGNQFTGPLPEFTGFNVLQKVSLRDNQLTGIIPASLMNLPTLSVLNLTNNLFQGPTPKFPSKVIVDMDSGSNRLCLTTPAVACDYRVNILLSIVKDFRYPASLAESWEGNDPCTQWKGISCSPGGNITVINFQNMGLTGTISPSFSLIPSLQKLILSGNLITGTIPTELTTLPSLTLLNVANNRLYGKVPSFRQAQVITDGNTDIGWDSSSFSHPGEPKTPGKDGGRSDSGIGAKNSSRGKIVGSVIGAVCGLCIIGLGVFFIRSKQKKCSKVQSPNMMVVHPRHSGDQDAVKVTVAESGASGRSESYTDSGGPSDIHAVDTGNMVIPIQVLRNVTDNFSEENILGTGGFGTVYKGELHDGTKITVKRMGSGVVSEKGLIEFKSEIAVLTKVRHRHLVALLGYCLDGNERLLVYEYMPQGTLSRHLFKWRANELKPLEWTRRLIIALDVARGVEYLHGLAYQSFIHRDLKPSNILLGNDMRAKVDGFGMVRLAPEGKASFETRLAGTFGYLAPEYAATERVTTKVDVFSFGVILMEMITGRKALDETQPEDSLHIVAWFRRMYINKDTFHEIIDPTIELDEESLASINTVAELAGHCTAREPYQRPDMGHVVNVLSSLVELWKPAEPERDYLYGIDLEMSLPQAVKKWQALEGSNLDSPPSFVTNGDNTQTSIPTRPSGFADSFTSVDGR >Manes.14G112740.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13205787:13218779:1 gene:Manes.14G112740.v8.1 transcript:Manes.14G112740.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERESLEALQRQQNQKTHSEVQNDNNLELEEGEVEDEEEEEEDEEEEEESQLSELQQLPDSQHVELSTSQVEELKESQLEGLVAAPSTQILSENHQCVGSRDNSALEPHVEAEFKGHEVLGNLTTRHAEAQIQNEIQSSLCPTSLSELSPTSVTQPILSAPSPTLPEIRQSPSKLNNVSAQEADQQNSSDPKSLSVPILKARIPDGYSWRKYGQKQVKSPKGSRSYYKCTYSDCFAKKIECADHSGHVIEIVNKGTHSHDPPRKNNPTREIKVALSSAPVMSNSLKEHPISTLKDSDQATLPKEPIKETPMSPEKKRQSSSGSDGNGRIQIKEEHISEPEPKRRVKKENLECSDTLIKTGKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIETAVGNTNAVVITYKGVHDHDMPVPKKRHGPPSAPLVAAAAPASMNNLQPKKTDTFQNQVTSTQWSVGKEGELTSETLDVGGEKEKAMESARTLLSIGFEIKPC >Manes.18G136308.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22794288:22795936:-1 gene:Manes.18G136308.v8.1 transcript:Manes.18G136308.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNKQKEVKSSPSPSSTSKALSEHSEDLNKTQKAQDKNPEDLKRWIEELSKSPEVIKALQNMASSSGDSGMASKSKAIIPAYGTDSLSQTVDNKDLSNPLKAVDLPKIQSSQGYNSGVYKWFLKNISEFEIEIEHGFNDINPWEFFRPKDIAKPQEYYQSILEETSSARIKHNFDKHHKGVITYSSIQIKRVVHPKDWPISSLYTAIQFKTLKKYSTLYNYFDYIDAWTNVFCIQNPTTTHSWLIYFDQQSIKTTTKFPNWFLKWWQYRGITDEVLSPEVLQYTTELNIPAIVKKHKGKWWGSFKNSTTEIVVKNWILKKAQFPAISYASKLALQGESSFGAQKAQCQAMLTTAKTPEEYKMICQQMFSQLGSGETVKSEKLKERKKPKRQSSSESESTASSTSSFSKNPASSHCDSNEDDCYGILPAIKIKSKTDKVKEKGKKKKEKVKRKGKGRKKRDTSSSSSSSSESE >Manes.06G167000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29272575:29275742:-1 gene:Manes.06G167000.v8.1 transcript:Manes.06G167000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNSNNWLSFPLSPTHSSLPSHLHTSHPQQFSLGLVTENLDNPFQTHEWSLVNTHGGSEVPKVADFLGVSKSENQSDLVAFNEIQANGSDYIFPSSSLMPMQNTVVAASSNYEFQENASNLQSLTLSMGSASGKVSTCETSGDNSNNTVEAAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESNTLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSRLQAYSLMQPPFEQPQPLLTLQNQDVSQYTPDASFHQNYLQTQLQLHQQSGTNYLQQSSQNPQFYNSYIQNPALLHGLFNMGSSSSIIDNNGSSSGSYSGGYLGSGLGMASNSAGSNAVGSAEELALVKVDYDMPSGGYGSWSGDSVQGSNPGVFTMWNN >Manes.06G167000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29272555:29276588:-1 gene:Manes.06G167000.v8.1 transcript:Manes.06G167000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNSNNWLSFPLSPTHSSLPSHLHTSHPQQFSLGLVTENLDNPFQTHEWSLVNTHGGSEVPKVADFLGVSKSENQSDLVAFNEIQANGSDYIFPSSSLMPMQNTVVAASSNYEFQENASNLQSLTLSMGSASGKVSTCETSGDNSNNTVEAAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESNTLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSRLQAYSLMQPPFEQPQPLLTLQNQDVSQYTPDASFHQNYLQTQLQLHQQSGTNYLQQSSQNPQFYNSYIQNPALLHGLFNMGSSSSIIDNNGSSSGSYSGGYLGSGLGMASNSAGSNAVGSAEELALVKVDYDMPSGGYGSWSGDSVQGSNPGVFTMWNN >Manes.06G167000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29272574:29275579:-1 gene:Manes.06G167000.v8.1 transcript:Manes.06G167000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNSNNWLSFPLSPTHSSLPSHLHTSHPQQFSLGLVTENLDNPFQTHEWSLVNTHGGSEVPKVADFLGVSKSENQSDLVAFNEIQANGSDYIFPSSSLMPMQNTVVAASSNYEFQENASNLQSLTLSMGSASGKVSTCETSGDNSNNTVEAAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESNTLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSRLQAYSLMQPPFEQPQPLLTLQNQDVSQYTPDASFHQNYLQTQLQLHQQSGTNYLQQSSQNPQFYNSYIQNPALLHGLFNMGSSSSIIDNNGSSSGSYSGGYLGSGLGMASNSAGSNAVGSAEELALVKVDYDMPSGGYGSWSGDSVQGSNPGVFTMWNN >Manes.06G167000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29272574:29275742:-1 gene:Manes.06G167000.v8.1 transcript:Manes.06G167000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNSNNWLSFPLSPTHSSLPSHLHTSHPQQFSLGLVTENLDNPFQTHEWSLVNTHGGSEVPKVADFLGVSKSENQSDLVAFNEIQANGSDYIFPSSSLMPMQNTVVAASSNYEFQENASNLQSLTLSMGSASGKVSTCETSGDNSNNTVEAAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESNTLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSRLQAYSLMQPPFEQPQPLLTLQNQDVSQYTPDASFHQNYLQTQLQLHQQSGTNYLQQSSQNPQFYNSYIQNPALLHGLFNMGSSSSIIDNNGSSSGSYSGGYLGSGLGMASNSAGSNAVGSAEELALVKVDYDMPSGGYGSWSGDSVQGSNPGVFTMWNN >Manes.09G007750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1801993:1803265:-1 gene:Manes.09G007750.v8.1 transcript:Manes.09G007750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKSNSSSNSARSNSDDLTDTITVQMTSMETLRWEFESLRMEDDEALHSLSEKLEEEYRVKNMLRAASLKFIQITSMIEEFSDLKSTTMEEQGMIIVILVNAIVGVVAQSATVDKDFRHFASDCNANKKEEKVHLVEKQDDVELALLMANACDLSVWYLDTGASNHMTGYKKNFAKLDETINGKVRFGDGAVLNICSCITILFQCKNGEHMILINIYYILKLKSNIIIIEQLDVYGEKTVIE >Manes.01G174332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35561659:35567484:-1 gene:Manes.01G174332.v8.1 transcript:Manes.01G174332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREVMVERVAPVFAEVNMIPGRPDGGRGGDVILECSPAIWDLSGLHHHVNAARGGNGASKSMIGTRGEDKVVQVPIGTVIHLLKGELPSTVQNCSKTDLDPWELPGTLHTDQSESHWQSVSKSTNMEKEAEPSDISGGSLTQAKGTSEEFASIQAIQREPAGVEHIHYDVAELTKLGQQIIVARGGEGGLGNVSSPDVSKKAKLSKPGVNRDMVLDPDMSVRINPALALGCLAQRLFFCWN >Manes.01G198320.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37248239:37248936:1 gene:Manes.01G198320.v8.1 transcript:Manes.01G198320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAWLHLFPQHPLDNLVSFVSDHSPLMLTFSAQNLSSSPARFQFENVWLKDLELIDLVTANWRSTSAQLLDHLRFYSDIMARWGGDFLSQFKRRIQWLKNELSHARSPDNIHTVSYYSSLHSELNDLLLKEEVFWKQHVGDYDRVVQCVPTRVTAEVNQSLLDPYFMAD >Manes.07G091866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28737343:28743724:-1 gene:Manes.07G091866.v8.1 transcript:Manes.07G091866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDAVARKKNKSKRKKLNRENSNVSARVASIIAAKKRRQSGKRRMCQGMCFSLPTPDDPFNEQHEKMDFKVKEKKKIVQAKVNKREFGRGKNAPLGQDIHCRKNKDIDHLEHKKEKIVLVTSEMKNSILTNDNLGQKSPVDSETTKIQLNEKDYNHQQRACENSDCPSKFFILCLNAIEKALHLEVAYNNEDKPLFANPWGVEFLKCYSTGKDVLDTSGSSCTTEQIAWMASVAADTIARKEKQGLWFASPFLLFLVPSQEKAAEVRMVCKPLKDLGIHTVSLHPGASLDHQIHGLKSCEPEFLVSTPERLMELVSLKAINISGVSFLVVDGLDCLNQDGYLDTLKSIRQCISGNPNTVVFNNCFSHECVPVLQNLLSVSIQRLSLSHSIGSQSACIVQTINVCSSEEEKLSKGLQVLRDTFGDPLCFHNLKVLYIVGGDDKSANLLETLKSNRYSVSKGSDFDIPDVDSSLDSDRGKKPTISMINAEHISTADLGIYEIVILSNFVLSIDIYVKVLTRMARHSTRGVLHSFLTEEDAPLAGSLIEILEQCGQAVPEGLRTLHIRSSMLES >Manes.13G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4037232:4041792:1 gene:Manes.13G031400.v8.1 transcript:Manes.13G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGVAVSNDLPVKRPREDGENVPSPTTVVMETEGNAENNNSNNDHISSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSVEQIVICEIDQMVVDVSKEFFPDIAVGYEDPRVTLHVGDGVAFLKDVPAGTYDAIIVDSSDPIGPAKELFEKPFFESVAKALRPGGVVCTQAESIWLHMHLIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPAVDFKHPVNPIDADDDNSRRPMKFYNSEIHTAAFCLPSFAKKVIDSKAK >Manes.08G076237.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15464026:15464656:1 gene:Manes.08G076237.v8.1 transcript:Manes.08G076237.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYIDHLASLGYPLSLELSMDLILHFLPGNFSYKPMKNKGKPKSKGGNGPKGQGKPKRQAKAKVLKEIVPKEGICFHCKEPGHWKRNCKLFLDECKRKKSSETTTSGIYVIDINLSISTSWVLDTGCGSYICINVQGLKRSEKLKKGNVDLRVGNGARVDALAVGTYELV >Manes.03G151300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27947109:27949731:1 gene:Manes.03G151300.v8.1 transcript:Manes.03G151300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQGTRLRLGLCSFKHPFLWNISPSIASTTTTTAGAIQTCLCLPWLFRLLHSNALNPLPQRSPAFHGVRYMQRQLPFQRLFCSEATGDKEKMKKKPIANEDFVTMRINQKLTDKLTNKSPVFSDHCIFKVPKQLRIVNEEAYEPQLIAIGPYHHGKDHLLAMEDHKIRYLQSFLQRSAQKDVSRYVQTIRNLEEKARKSYAEPLSFEHDEFIEMMLIDGCFIIEFIHKMVEFDVQDPIMGSGHMYVRLMLDLLLLENQLPFFILWELLRTSNVISNPEINFTRLILKAYKHYLSGSGCDMSRAYTSAEMMQIKSILGLVHDNWQPSPERIEVYKKMRETKKPSSTRCATELKEAGIKFKSPKIEITDITECVLRNLIAYEQLTSFTSPKYFTDYMIFMDSLINSKKDVELLCRKGIIDNWKGDDETIAIIFNKLGEHVFCESTLYADIVNNVNEHCKKRRNLWMAQLRHDHFQSPWSVISLLTAIISVLVAIMLPLLP >Manes.13G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5003133:5008350:1 gene:Manes.13G042500.v8.1 transcript:Manes.13G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRDRTTMVNMRLTPENEEFGDQCKNADIFSRKRQKLRQLVANTLFSEVDALSKGCDFVSVLLSKLFPESNKDMSFEDLKTEKLEIDSKTWSLASPESDMHLKKSNWMPTRSFVDLECEPSLVGFSSCWSEMPSKREGLNFQSLSCHSRRTYLDKATMELDYELGERTTPILCTDGDSTIDFHIREHGSLTSRHLKGLEEFHCPIEPLLGRQCRSFLLGYDYDNMIDKCKLSIPCQNTELALHPILSSSYRDQQQVLDNCLTAEGLNSLSSYCPLNLPSLEFSPSASIRSHKCRECLPEVKDDVVAIIDRFSLSLSHMANHLNLDECSPCDTTCKDSILLSPQNHLWFLGQVLDEEHRCLGTEALFSPGLDFYLVPKHISVSSPSREHYSHTWHTPEFLQNKGMTSHFLIGDENANCLDSSSHRRLMNHEDMMDIHDRASFYFQVSVDKEKKCPLPLSGSESELCFDAQ >Manes.13G042500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5003132:5008350:1 gene:Manes.13G042500.v8.1 transcript:Manes.13G042500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSSQINTEHPSPIRSSFPRGFSGVQASKTRFKSTECRNTKGIGCKESVRFTDLDNDELQESTSVPLQRNYAPRSDDDPKTSEYAFFKKLKEDASHRFHSHALHQDKNRSSNLKPNDCFRETANIVENSHKDIKSPVLPKNVTPKNFVSFLSSLSNASKKSGLHMMKRDRTTMVNMRLTPENEEFGDQCKNADIFSRKRQKLRQLVANTLFSEVDALSKGKLFPESNKDMSFEDLKTEKLEIDSKTWSLASPESDMHLKKSNWMPTRSFVDLECEPSLVGFSSCWSEMPSKREGLNFQSLSCHSRRTYLDKATMELDYELGERTTPILCTDGDSTIDFHIREHGSLTSRHLKGLEEFHCPIEPLLGRQCRSFLLGYDYDNMIDKCKLSIPCQNTELALHPILSSSYRDQQQVLDNCLTAEGLNSLSSYCPLNLPSLEFSPSASIRSHKCRECLPEVKDDVVAIIDRFSLSLSHMANHLNLDECSPCDTTCKDSILLSPQNHLWFLGQVLDEEHRCLGTEALFSPGLDFYLVPKHISVSSPSREHYSHTWHTPEFLQNKGMTSHFLIGDENANCLDSSSHRRLMNHEDMMDIHDRASFYFQVSVDKEKKCPLPLSGSESELCFDAQ >Manes.13G042500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5003133:5008350:1 gene:Manes.13G042500.v8.1 transcript:Manes.13G042500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRDRTTMVNMRLTPENEEFGDQCKNADIFSRKRQKLRQLVANTLFSEVDALSKGCDFVSVLLSKLFPESNKDMSFEDLKTEKLEIDSKTWSLASPESDMHLKKSNWMPTRSFVDLECEPSLVGFSSCWSEMPSKREGLNFQSLSCHSRRTYLDKATMELDYELGERTTPILCTDGDSTIDFHIREHGSLTSRHLKGLEEFHCPIEPLLGRQCRSFLLGYDYDNMIDKCKLSIPCQNTELALHPILSSSYRDQQQVLDNCLTAEGLNSLSSYCPLNLPSLEFSPSASIRSHKCRECLPEVKDDVVAIIDRFSLSLSHMANHLNLDECSPCDTTCKDSILLSPQNHLWFLGQVLDEEHRCLGTEALFSPGLDFYLVPKHISVSSPSREHYSHTWHTPEFLQNKGMTSHFLIGDENANCLDSSSHRRLMNHEDMMDIHDRASFYFQVSVDKEKKCPLPLSGSESELCFDAQ >Manes.13G042500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5003133:5008350:1 gene:Manes.13G042500.v8.1 transcript:Manes.13G042500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSSQINTEHPSPIRSSFPRGFSGVQASKTRFKSTECRNTKGIGCKESVRFTDLDNDELQESTSVPLQRNYAPRSDDDPKTSEYAFFKKLKEDASHRFHSHALHQDKNRSSNLKPNDCFRETANIVENSHKDIKSPVLPKNVTPKNFVSFLSSLSNASKKSGLHMMKRDRTTMVNMRLTPENEEFGDQCKNADIFSRKRQKLRQLVANTLFSEVDALSKGCDFVSVLLSKLFPESNKDMSFEDLKTEKLEIDSKTWSLASPESDMHLKKSNWMPTRSFVDLECEPSLVGFSSCWSEMPSKREGLNFQSLSCHSRRTYLDKATMELDYELGERTTPILCTDGDSTIDFHIREHGSLTSRHLKGLEEFHCPIEPLLGRQCRSFLLGYDYDNMIDKCKLSIPCQNTELALHPILSSSYRDQQQVLDNCLTAEGLNSLSSYCPLNLPSLEFSPSASIRSHKCRECLPEVKDDVVAIIDRFSLSLSHMANHLNLDECSPCDTTCKDSILLSPQNHLWFLGQVLDEEHRCLGTEALFSPGLDFYLVPKHISVSSPSREHYSHTWHTPEFLQNKGMTSHFLIGDENANCLDSSSHRRLMNHEDMMDIHDRASFYFQVSVDKEKKCPLPLSGSESELCFDAQ >Manes.13G042500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5003126:5008350:1 gene:Manes.13G042500.v8.1 transcript:Manes.13G042500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSSQINTEHPSPIRSSFPRGFSGVQASKTRFKSTECRNTKGIGCKESVRFTDLDNDELQESTSVPLQRNYAPRSDDDPKTSEYAFFKKLKEDASHRFHSHALHQDKNRSSNLKPNDCFRETANIVENSHKDIKSPVLPKNVTPKNFVSFLSSLSNASKKSGLHMMKRDRTTMVNMRLTPENEEFGDQCKNADIFSRKRQKLRQLVANTLFSEVDALSKGKLFPESNKDMSFEDLKTEKLEIDSKTWSLASPESDMHLKKSNWMPTRSFVDLECEPSLVGFSSCWSEMPSKREGLNFQSLSCHSRRTYLDKATMELDYELGERTTPILCTDGDSTIDFHIREHGSLTSRHLKGLEEFHCPIEPLLGRQCRSFLLGYDYDNMIDKCKLSIPCQNTELALHPILSSSYRDQQQVLDNCLTAEGLNSLSSYCPLNLPSLEFSPSASIRSHKCRECLPEVKDDVVAIIDRFSLSLSHMANHLNLDECSPCDTTCKDSILLSPQNHLWFLGQVLDEEHRCLGTEALFSPGLDFYLVPKHISVSSPSREHYSHTWHTPEFLQNKGMTSHFLIGDENANCLDSSSHRRLMNHEDMMDIHDRASFYFQVSVDKEKKCPLPLSGSESELCFDAQ >Manes.13G042500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5003133:5008350:1 gene:Manes.13G042500.v8.1 transcript:Manes.13G042500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRDRTTMVNMRLTPENEEFGDQCKNADIFSRKRQKLRQLVANTLFSEVDALSKGCDFVSVLLSKLFPESNKDMSFEDLKTEKLEIDSKTWSLASPESDMHLKKSNWMPTRSFVDLECEPSLVGFSSCWSEMPSKREGLNFQSLSCHSRRTYLDKATMELDYELGERTTPILCTDGDSTIDFHIREHGSLTSRHLKGLEEFHCPIEPLLGRQCRSFLLGYDYDNMIDKCKLSIPCQNTELALHPILSSSYRDQQQVLDNCLTAEGLNSLSSYCPLNLPSLEFSPSASIRSHKCRECLPEVKDDVVAIIDRFSLSLSHMANHLNLDECSPCDTTCKDSILLSPQNHLWFLGQVLDEEHRCLGTEALFSPGLDFYLVPKHISVSSPSREHYSHTWHTPEFLQNKGMTSHFLIGDENANCLDSSSHRRLMNHEDMMDIHDRASFYFQVSVDKEKKCPLPLSGSESELCFDAQ >Manes.13G042500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5003133:5008351:1 gene:Manes.13G042500.v8.1 transcript:Manes.13G042500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSSQINTEHPSPIRSSFPRGIGCKESVRFTDLDNDELQESTSVPLQRNYAPRSDDDPKTSEYAFFKKLKEDASHRFHSHALHQDKNRSSNLKPNDCFRETANIVENSHKDIKSPVLPKNVTPKNFVSFLSSLSNASKKSGLHMMKRDRTTMVNMRLTPENEEFGDQCKNADIFSRKRQKLRQLVANTLFSEVDALSKGCDFVSVLLSKLFPESNKDMSFEDLKTEKLEIDSKTWSLASPESDMHLKKSNWMPTRSFVDLECEPSLVGFSSCWSEMPSKREGLNFQSLSCHSRRTYLDKATMELDYELGERTTPILCTDGDSTIDFHIREHGSLTSRHLKGLEEFHCPIEPLLGRQCRSFLLGYDYDNMIDKCKLSIPCQNTELALHPILSSSYRDQQQVLDNCLTAEGLNSLSSYCPLNLPSLEFSPSASIRSHKCRECLPEVKDDVVAIIDRFSLSLSHMANHLNLDECSPCDTTCKDSILLSPQNHLWFLGQVLDEEHRCLGTEALFSPGLDFYLVPKHISVSSPSREHYSHTWHTPEFLQNKGMTSHFLIGDENANCLDSSSHRRLMNHEDMMDIHDRASFYFQVSVDKEKKCPLPLSGSESELCFDAQ >Manes.13G042500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5003126:5008350:1 gene:Manes.13G042500.v8.1 transcript:Manes.13G042500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSSQINTEHPSPIRSSFPRGFSGVQASKTRFKSTECRNTKGIGCKESVRFTDLDNDELQESTSVPLQRNYAPRSDDDPKTSEYAFFKKLKEDASHRFHSHALHQDKNRSSNLKPNDCFRETANIVENSHKDIKSPVLPKNVTPKNFVSFLSSLSNASKKSGLHMMKRDRTTMVNMRLTPENEEFGDQCKNADIFSRKRQKLRQLVANTLFSEVDALSKGCDFVSVLLSKLFPESNKDMSFEDLKTEKLEIDSKTWSLASPESDMHLKKSNWMPTRSFVDLECEPSLVGFSSCWSEMPSKREGLNFQSLSCHSRRTYLDKATMELDYELGERTTPILCTDGDSTIDFHIREHGSLTSRHLKGLEEFHCPIEPLLGRQCRSFLLGYDYDNMIDKCKLSIPCQNTELALHPILSSSYRDQQQVLDNCLTAEGLNSLSSYCPLNLPSLEFSPSASIRSHKCRECLPEVKDDVVAIIDRFSLSLSHMANHLNLDECSPCDTTCKDSILLSPQNHLWFLGQVLDEEHRCLGTEALFSPGLDFYLVPKHISVSSPSREHYSHTWHTPEFLQNKGMTSHFLIGDENANCLDSSSHRRLMNHEDMMDIHDRASFYFQVSVDKEKKCPLPLSGSESELCFDAQ >Manes.09G154700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35098584:35103247:1 gene:Manes.09G154700.v8.1 transcript:Manes.09G154700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKTEETQLNRLESQVEHGGGGAWDYLCLVRKLKVRRSDKVLKYGLSILNDPKKRSGLGAEEWTLYEQVAIAAMDCQCLDVAKDCINVLQKRFPESKRVGRLEGMLLEAKGSWAEAENAYASLLEDNPLDQVIHKRRVALAKAQGNLPGAIEFLNKYLEIFMADSDAWRELAEIYVSLQMYKQAAFCYEELILAQPTVPLYHLAYADVLYTLGGLENLQAAKKYYSSTVDLTGGKNTRALFGVCLCTSAITQLTKGRNKEDSPDLQSLATAALEKDYKQRASDKVSVLASALKSLKVSS >Manes.12G143950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35036805:35047975:-1 gene:Manes.12G143950.v8.1 transcript:Manes.12G143950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVTAPLVGFTFRTWNRAVRIAFGAKMKLGFVEGIVSAPSKKSKDYEQWKRCDFMVTSWILNSISKELVDGFVYTASGRDLWLEISKRFGECNGLMVHELHRKISPIAQENASVSDKLGSMETLPACTCGASRAIAKITNRNKFMQFLMGLNEVFGSVRDQNLRMDPLPTEVLKAINDNSKSLVLLSRSHSRNQTRFRKLDTKKAHCTHCNMDGHTREGCFKLIGYPDWFKSKNRTETHLVRGSKDTKMAILPFPKSQTLASKPFDLIHIDIWGPYKTNSIIGARFFLTIVDDYSKAV >Manes.14G134700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9498041:9508676:-1 gene:Manes.14G134700.v8.1 transcript:Manes.14G134700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKIHKEERVQICKERKRLMKQLVVFRGEFADAQLSYLRALKNSGVTLRQFTESESLELESTYSQPVPPSPLFPLPPSPPPPPPFSPDLRGSVDNKKEEIDQEESIRIDEDDSCTQPPSTHSSSWNRWESESFEQPSPQHQEKNKKVEPASDEEIWAEAKAEFDEEDLEDESAGNVHSNSLPQMQQPVKLIDDDSSMVSCCTKDTADMTVVQWRSKKTLEGIVKELDDYFLKASAGGDEIAILMDISKGSNSLPHKSKENKRKRSNSAKVFSALSWSWSSKSTQFAEDTNVVYNPSEPLKPGAHCITLDKLYAAEQKLYKEVKEEEMSKIEHEKKSMLLLKQEEENHDWTKTEKTRLIVEGLETDIRRLQHSISNTCSTILELIDVELYPQLVTLTSGLKTMWRTMYECHQVQNHISLQLNHLTDSQGVDLSTDYHRHATSQLAAEVTSWYLSFCKLVKYHQEYVRTLCNWIKLTDHLVNDNQHSSCLSAVRSLCEEWQLVFDRLPAKIASEAIKSLLDAIQMIMLQQGEEQNLYKKSVKLEKRYQKELLSLSEMERKVTWSFADHEDMQSDLSPKHPLSIKRAKTEALKKRLDTEKTKYLNSVQVTRAMTLNKLQTGLPSVFRALMGFSSASAQAFEAVHGHGRPAVDCDASESSMS >Manes.12G008000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:879483:880243:-1 gene:Manes.12G008000.v8.1 transcript:Manes.12G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCKAKKLQAINTHKTQFLHNIISHLLIPLICSLICFSPYWFPALCSSFKQFLFVSFSSSSFFSPKWLFVVVNVIIVFLVGESLLVGSHSSSAAEIYDEYVEWSRSLRGVSFPSSTLQEKAEQSKMEMNLISHEEKRVTSVEEEQDKEEIKQVIESFIRGSEEDKEVEDEKEGDEEEKEDGEEESGLPSEELNKRVEEFIARVNKQRWVEEARDIGLRVVIIGIS >Manes.10G025700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2571536:2572621:1 gene:Manes.10G025700.v8.1 transcript:Manes.10G025700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGELSKLLIDEERSRRNSRSMRTAAAKSSEGPAENKKRAKMMSRSQEFSGSKTFKIPLHYPRYTKKQYEHMAEWKLDLLLQDYGLPIDHGDLAYKRELAISTFIWPEYHSEDFAVSSVDSDCNFNTHVSELKRISNIM >Manes.15G101700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7958857:7969048:1 gene:Manes.15G101700.v8.1 transcript:Manes.15G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIAFSPTNYHCCFKNHHNTSRRSSISTNPIQFNDNQNQNQNPSIKTRPFPSLVLKSSKNPITPVNPESNNPPLVVVGSANADIYVEIERLPTEGETISAKSGQTLAGGKGANQAACGGKLSYPTYFVGQVGEDAHGKLIIEALRNGGVCLDYVRHVGDVPTGHAVVMLQSDGQNSIIIVGGANMSRWPEKLSDEDLVVIRNAGILLLQREIPDSVNIQVAKAAKSAGVPVIFDAGGMDAPIPLELLKVVDIFSPNESELARITGMPTENFEQISQAVTKCHEMGVGEVLVKLGAKGSALFEEGQKPIRQPIIPAARVIDTTGAGDTFTAAFAVASVEGKTKDECLRFAAAAASLCVQVKGAIPSMPDRTAVLDLLQSVRASF >Manes.06G096700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23087822:23088625:-1 gene:Manes.06G096700.v8.1 transcript:Manes.06G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLFNAAANTKKLSGKVAIVTGGASGIGEATARLFADNGVLMVVIADIQDELGKRVAASIGQNKCSYVHCDVSKEDQVKSLVESTVLKYGRLDIMFSNAGIVTNSDQTVLDLDLSAFDNLFAINVRGMATCVKYAARAMVNDHVRGSIVCTASVAASKGMKRRTDYSMSKHAVLGLVKSASVQLGVHGIRVNCVSPFGVATPMTLQAYEKSVEEVESMYEENMSLKGVVLKARNVADAVLFLACDESGLVSGHDLVVDGGYLSH >Manes.05G182100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29984982:29988370:-1 gene:Manes.05G182100.v8.1 transcript:Manes.05G182100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCFACFDGGSKRQRQEEDRLASAEARAKAAEAAQKRQEEFEKSAAGRAARAQLQGMAKQSANSNKGEPVLKWQMG >Manes.13G082916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14719206:14721537:1 gene:Manes.13G082916.v8.1 transcript:Manes.13G082916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNDQMPLNNTNDSNQISTNTSTEAITLLKTKRKPIRSRYKEFCCDPKRNGTTTLRNHLNSCKKYLHSIETRQAQLSLQKNTSDNSMYYLGTLTTWKYDENAIREALVHIIIIDELPLRFVEGEGFRRFMRAICPRFWIPSCWTISRDCYDFFIEKRVSLTTNTWTSLQHINYMCISAYFIDNVWKLHKRIINFYPISSHKGETVGRTIETYLLEWGLDKIFTVIVDNASSNDVPISYLIKKLANWGVSVANSTYLHMRCMAHIINLIVQDGLKDVNDSVIKVRDAVRHIKSSPARLKRFKECVLHEKIESKSSLYLDVSTRWNSTYLMKITDTLAHFYELTLHISDPKYITANLFFSEVSDLAFILNQWINSNDLEMKSMGERMRFIEYSFSQIYRKVKGVELFNKIKNSLVDLFNEYKKMNQPDIEKFNDSSINPKPKFFLKYHYKKQKLEEFGGVDLKTELEVYLSETIQEKKKDFYKKMVRNILAILVSTVISESVFIIGRRVLDSFRSFLTPKIVEGLILNVEEDLDELEKLKNGISCSSTPIS >Manes.05G052000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4337208:4338050:-1 gene:Manes.05G052000.v8.1 transcript:Manes.05G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHINVEIDAHDNFLPSSSSSSSSSSSFSSSSSSSSKTFSGSKTKENHAAPMKAQDESQKKQKTNNENGNKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALTIKGSSAYLNFPELAHELPRPITKSPKDIQVAAAKAATLAFPERMQCQAEAENISESDNLALDNTQESTCSPSADPDDTLFDLPDLFIDGVDLRNGFCQYSSSWQLCAADTGFRLEEPYLWEYY >Manes.15G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6465926:6468084:1 gene:Manes.15G083700.v8.1 transcript:Manes.15G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIQISEALFVEMELQDMDGYKRGPDYVEVNCGCTSRKYGDAIGTLRVHANGQFLIACDCSSGCREQRFTPYEFEKHSGREGSRKWTSHLWVLMKDKKVPLWRTPLLKYYKHTANGASGSMRRIFHRDELIQCSKCKKERRFRLRTKEECRIYHDAVLKKKWICADRPYDKITCNDDEERASRKNSRGCPRVPACDGCTSCVCFGCLKCRFIDCNCRTCVDFMQNAAP >Manes.13G014600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1937597:1937773:1 gene:Manes.13G014600.v8.1 transcript:Manes.13G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLSWADQWDYDNPDPPPQPEPEKDKEKGSNETKKKFGKKILSLKWMKDLRKKSQK >Manes.12G023850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1443802:1444599:1 gene:Manes.12G023850.v8.1 transcript:Manes.12G023850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNPMRHITKCRRKNYHQPFKYVPKELLTEILARVASSSVTDLVNAKACCKEFLEAASEDYIFERVTLDSFPVIPWKISYRVSSFLERCKKSGNPEALFRQGMIDFFSALKHDSGLKMLERAASKGHVEATYVYGILLVCHGAELRNKGVKLLSDLKRSKSSLVITECRKKVQRITWGMWVNNYVVGIGPAQEEEYMKKRKTCSSCNSKLAMCSFTTDQSKPRAGWTSSDEDFEDDPFSCDSCLWDLEATLFCKSLRSGSYRL >Manes.08G099400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:33195118:33195660:-1 gene:Manes.08G099400.v8.1 transcript:Manes.08G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKASVVLKKIVSLLSCIAKARAMAIKSKTSEVKARLIMFSLVKSKKVLLGSISNKIHDILGHCDRDEDESKAIVLYNNGLPEDSQSSFAHLADEIDDGDDDKYPDLTHSLFDDEEDFNDPGGSIIDLVKNSKEKGEDFKLEDEIDHVADLFIMRFHKQIRLQKLESFKRFQEMLARSV >Manes.08G094000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:31770385:31770753:-1 gene:Manes.08G094000.v8.1 transcript:Manes.08G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSSNIKGVSNGFPLRIEVEKVIEKEVDCNPDFLINMFAKIEWKALVDAARTMGYAELPEEAESSMLDSDEFLRKFHHALLELHLEEGALVCPETGRKFPVNKGIPNMLLHEDEV >Manes.16G136200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33660020:33662853:1 gene:Manes.16G136200.v8.1 transcript:Manes.16G136200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTCNACNKEFQDDAEQKLHYNHFSLKERVAPYHENIVKSPLFVAGVPGVTEALFLARQSALTLEKNKSSETPMLYSCVICGKGYQSPKAHAQHLKSWSHIMHASQGTNQDEDKAVIKPLSLRGINKHPPQREVDNQLSEGSDEWEEASDEDMDESEGDDLLNPSCCFMCDQEHDNLESCMVHMHKQHGFFIPDVEYLKDPNGLLTYLSLKIFSLLCCPGEEGFMCFLEAVRKHMVAKSHCRVHYGDGDDDDEEAELEEFYDYSSSYVDEDGKQLITSGDVADTVELGNGGSELIVTTRSDNKISSKTLGCREFLRYYRQKPRPSAANDGTIAAALASRYRGMGLATVQTKEQMVRMKVMKEMNRSGIEAMRTKIGLKNNVIRNLPKKVPY >Manes.01G054104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:22098612:22100780:1 gene:Manes.01G054104.v8.1 transcript:Manes.01G054104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVHYIKCLIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.05G088000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7946881:7952547:1 gene:Manes.05G088000.v8.1 transcript:Manes.05G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVMYKKLLVLFLMLSLLFGSVSSAPSTTSPAKIVNGLFSNIASAFMKWLWSLKATTKTAISGRPMMKFESGYTVETVFDGSKLGIEPYSVEVLPSGELLILDSDNSNIHRMSSSLSLYSRPKLVAGSPEGYPGHVDGKTREARMNHPKGLTVDDRGNIYIADTMNMAIRKISDAGVTTIAGGKSGRGAGHVDGASEDAKFSNDFDVVYIGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPFGLAVLIVAGFLGYMLALLQRRVGTIVSSQNDQDTMTSTAANPYQKPLKSVRPQLIPTEDEQEKHQEGFFGSLGKLFANGGAWIPEILGGIIPGFRKKSLSYQYQNQPEKHPNSWPVQDSFVILDEDEPPSVETRTSTPRKTYPFMSKDVENMHQWRQSRAFYTGWDDDFQQQQHHHRYQSTIPQTYYEKTCEKTNGIVFGAVQEQDEKREAVVSEPVDCGNPIFNHRSFRSRTNSMGYSNGY >Manes.07G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30794947:30796448:1 gene:Manes.07G102200.v8.1 transcript:Manes.07G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLDKAVAGITPIAVPSPFSYSPPSSSPSLSSHSSLGLPSPSQSSPTAPPPPPPSVVLSPCAACKILRRRCVEKCVLAPYFPPTEPYKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQVNELQAQLAKAQAEVVNMQCQQANLVALICMEMTQSHHYQEPIFQQQQYIDTSCFLDDTNLATPWEPLWT >Manes.03G068582.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9562599:9563490:1 gene:Manes.03G068582.v8.1 transcript:Manes.03G068582.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYHLPPPQAADGKRKGHRKNSVRRKCLLMVKQQKTRFYICGRCISMLLCWHDHAIRD >Manes.12G044000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEMEDDCAIPSSSTLPRPKKSITSEDEIMELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFPGIQQYMAPLGMGMGMGMGMGMGMGMGVEMGMNRPMMPFPNVLAGAAMPTPAAAAHLGPRFPMPAFHMPPVPAPPPDPSRIQATNQSDPMLSTQNPNQPRVPNFADPYQQYLGLQHMQIPVSQNQIMTQPSIGKPGAGQAADNLDHHRSGT >Manes.12G044000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEMEDDCAIPSSSTLPRPKKSITSEDEIMELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFPGIQQYMAPLGMGMGMGMGMGMGMGMGVEMGMNRPMMPFPNVLAGAAMPTPAAAAHLGPRFPMPAFHMPPVPAPPPDPSRIQATNQSDPMLSTQNPNQPRVPNFADPYQQYLGLQHMQIPVSQNQIMTQPSIGKPGAGQAADNLDHHRSGT >Manes.12G044000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEMEDDCAIPSSSTLPRPKKSITSEDEIMELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQHGPNDVSWHPAVYGPIRYGDGNGDGDGDGHGHGRGNGHESAYDAISKCPGWCRHAYTGCCSSFGSKVPYACFSYAPCPSPTP >Manes.12G044000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFPGIQQYMAPLGMGMGMGMGMGMGMGMGVEMGMNRPMMPFPNVLAGAAMPTPAAAAHLGPRFPMPAFHMPPVPAPPPDPSRIQATNQSDPMLSTQNPNQPRVPNFADPYQQYLGLQHMQIPVSQNQIMTQPSIGKPGAGQAADNLDHHRSGT >Manes.12G044000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEMEDDCAIPSSSTLPRPKKSITSEDEIMELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQVKFLFNFVIWFYGDMV >Manes.12G044000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEMEDDCAIPSSSTLPRPKKSITSEDEIMELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQHGPNDVSWHPAVYGPIRYGDGNGDGDGDGHGHGRGNGHESAYDAISKCPGWCRHAYTGCCSSFGSKVPYACFSYAPCPSPTP >Manes.12G044000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEMEDDCAIPSSSTLPRPKKSITSEDEIMELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQVKFLFNFVIWFYGDMV >Manes.12G044000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEMEDDCAIPSSSTLPRPKKSITSEDEIMELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQHGPNDVSWHPAVYGPIRYGDGNGDGDGDGHGHGRGNGHESAYDAISKCPGWCRHAYTGCCSSFGSKVPYACFSYAPCPSPTP >Manes.12G044000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQHGPNDVSWHPAVYGPIRYGDGNGDGDGDGHGHGRGNGHESAYDAISKCPGWCRHAYTGCCSSFGSKVPYACFSYAPCPSPTP >Manes.12G044000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEMEDDCAIPSSSTLPRPKKSITSEDEIMELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQHGPNDVSWHPAVYGPIRYGDGNGDGDGDGHGHGRGNGHESAYDAISKCPGWCRHAYTGCCSSFGSKVPYACFSYAPCPSPTP >Manes.12G044000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3645466:3664986:1 gene:Manes.12G044000.v8.1 transcript:Manes.12G044000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWQDGQVVVQSQTQMSLKKSQHHKYDDAVLPVDRSTPREIPSSHEQHQHLFMQEDEMSSWLHYPLNDTNFDQDLCADLLYPSTTCVTSTTTTTSAVPTRSTLNLETRPQLAFSPTTAAATASRPPIPPTRRAEVVQNFAYFSRHRPRGGLSESGPSNLKTVLRESTVVDSSDTPAMGSESRISEAAVARSTTGVSSGENACGTRSVTAVAGTSSQAGGSNNKDTMTCEMTVTSSPGGSSASAEPPARKPATEDRKRKGIREEDTEYHSEDIEFESEAKRQARGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFPGIQQYMAPLGMGMGMGMGMGMGMGMGVEMGMNRPMMPFPNVLAGAAMPTPAAAAHLGPRFPMPAFHMPPVPAPPPDPSRIQATNQSDPMLSTQNPNQPRVPNFADPYQQYLGLQHMQIPVSQNQIMTQPSIGKPGAGQAADNLDHHRSGT >Manes.17G047400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24452381:24457352:1 gene:Manes.17G047400.v8.1 transcript:Manes.17G047400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVALIVSLWLIPTSILVNQTVPEPYMDEIFHIPQAQQYCKGNLWSWDPMITTPPGLYYLSLSHVACLLPGMFLVQKVSSFAELCSTARLRSLNGVLAILCSIIIYEIITHLRPTLSKRKAVSFAVILALYPLHWFFSFLYYTDVASLTAVLAMYLTCLKKKYYFSALLGAFAVFIRQTNIIWMLFVACTGIIDTTMTHPRKKVKVDELNESVKETGRLIHNDSISASSNMRRRKPNSGVNASKYSTITATGSLATQSSGFLDEIREICLTSWPMKWKLFISFSPFFMVLVAFVAFVRWNGSVVLGAKEAHVVSPHFAQLMYFSLVSALATAPVHFSLSNVANLFESFWKSRLSFFQWLLALTAGFLSVHFFSYCYSIAHPYLVADNRHYTFYLWRKVIKAHWLMKYLLVPFYVYSWSSIFNILGKVRQKVWVLAYFLATAAVLVPAPLIEFRYYTIPFYLFILHSHVDDNESWIAMGLMYLVINAFTMVMFLFRPFHWNHEPGVQRFIW >Manes.17G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24452381:24457386:1 gene:Manes.17G047400.v8.1 transcript:Manes.17G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAVALIVSLWLIPTSILVNQTVPEPYMDEIFHIPQAQQYCKGNLWSWDPMITTPPGLYYLSLSHVACLLPGMFLVQKVSSFAELCSTARLRSLNGVLAILCSIIIYEIITHLRPTLSKRKAVSFAVILALYPLHWFFSFLYYTDVASLTAVLAMYLTCLKKKYYFSALLGAFAVFIRQTNIIWMLFVACTGIIDTTMTHPRKKVKVDELNESVKETGRLIHNDSISASSNMRRRKPNSGVNASKYSTITATGSLATQSSGFLDEIREICLTSWPMKWKLFISFSPFFMVLVAFVAFVRWNGSVVLGAKEAHVVSPHFAQLMYFSLVSALATAPVHFSLSNVANLFESFWKSRLSFFQWLLALTAGFLSVHFFSIAHPYLVADNRHYTFYLWRKVIKAHWLMKYLLVPFYVYSWSSIFNILGKVRQKVWVLAYFLATAAVLVPAPLIEFRYYTIPFYLFILHSHVDDNESWIAMGLMYLVINAFTMVMFLFRPFHWNHEPGVQRFIW >Manes.03G131800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25839671:25842300:1 gene:Manes.03G131800.v8.1 transcript:Manes.03G131800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAEAKYAAFEEKVERTIFVDNLSPQVTEPVLKKALDQFGTVVSVSFIPNYLETLSIPSCALVEMENSEKAKKVISSITQFPFMMAGMPRPVRARLAEAEMFDDRPVKPGRKIHCHWLDPSDPDFEVAKKLKLVTRKHATEASFLLKQQLDREEKLHKQQAETLKANFKKYEMIVGVLGDKTAQNLAGHYGMRVSDDS >Manes.03G131800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25840113:25842300:1 gene:Manes.03G131800.v8.1 transcript:Manes.03G131800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAEAKYAAFEEKVERTIFVDNLSPQVTEPVLKKALDQFGTVVSVSFIPNYLETLSIPSCALVEMENSEKAKKVISSITQFPFMMAGMPRPVRARLAEAEMFDDRPVKPGRKIHCHWLDPSDPDFEVAKKLKLVTRKHATEASFLLKQQLDREEKLHKQQAETLKANFKKYEMIVGVLGDKTAQNLAGHYGMRVSDDS >Manes.03G131800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25839671:25842300:1 gene:Manes.03G131800.v8.1 transcript:Manes.03G131800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAEAKYAAFEEKVERTIFVDNLSPQVTEPVLKKALDQFGTVVSVSFIPNYLETLSIPSCALVEMENSEKAKKVISSITQFPFMMAGMPRPVRARLAEAEMFDDRPVKPGRKIHCHWLDPSDPDFEVAKKLKLVTRKHATEASFLLKQQLDREEKLHKQQAETLKANFKKYEMIVGVLGDKTAQNLAGHYGMRVSDDS >Manes.02G094502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7395602:7395982:1 gene:Manes.02G094502.v8.1 transcript:Manes.02G094502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMTALLSFTGLNVALVSSITPVYDFVCFHPYWERRSVASFQNSE >Manes.08G104500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34467123:34472316:-1 gene:Manes.08G104500.v8.1 transcript:Manes.08G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLYHVVGDLTVGKPEMVEFCETETVESAIRSIGESTECGIPVWKRRSHLGMIESNEMRQQRFVGILNSLDIVAFLSRAVSLEDQDKAMKTPVSEVVVRDNSVLKQVDPATRLIDALEMMKQGVKRLLVTKGIAWKGMSKRFSILYNGKWLKNADSGSSSSNNLTVNPGRPSSSSTSSCRDKFCCLSREDVIRFLIGCLGALAPIPLSSISSLGAINLNYYSVEASLPAIEATQKRPKDPAAIAVVEHMPDGHCKIIGEISASRLWKCDYLAAAWALANLSAGQFVMGVEDNLTARSFPEFTVNSAAGENNIGNDGGGSARARKFSSRSIGFNPGSSSFGTGRSMYRGRSAPLTCKSTSSLAAVMAQMLSHRATHVWVTEDNDDDDVLVGVVGYADILFAVTKPPSSFIPSNQSSECFATENQN >Manes.08G104500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34467123:34472316:-1 gene:Manes.08G104500.v8.1 transcript:Manes.08G104500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLYHVVGDLTVGKPEMVEFCETETVESAIRSIGESTECGIPVWKRRSHLGMIESNEMRQQRFVGILNSLDIVAFLSRAVSLEDQDKAMKTPVSEVVVRDNSVLKQVDPATRLIDALEMMKQGVKRLLVTKGIAWKGMSKRFSILYNGKWLKNADSGSSSSNNLTVNPGRPSSSSTSSCRDKFCCLSREDVIRFLIGCLGALAPIPLSSISSLGAINLNYYSVEASLPAIEATQKRPKDPAAIAVVEHMPDGHCKIIGEISASRLWKCDYLAAAWALANLSAGQFVMGVEDNLTARSFPEFTVNSAAGENNIGNDGGGSARARKFSSRSIGFNPGSSSFGTGRSMYRGRSAPLTCKSTSSLAAVMAQMLSHRATHVWVTEDNDDDDVLVGVVGYADILFAVTKPPSSFIPSNQSSECFATENQN >Manes.17G015179.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8064315:8065776:1 gene:Manes.17G015179.v8.1 transcript:Manes.17G015179.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPNGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.S027752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2315187:2315999:1 gene:Manes.S027752.v8.1 transcript:Manes.S027752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.01G210500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38180859:38185785:1 gene:Manes.01G210500.v8.1 transcript:Manes.01G210500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLCLMASHGYPPGTGLVFYQEQTRVFKPYFPSQGARDEIKRPNSLSLGLHHHGESWRPISGFCEHTQVAKIDSTAGRPVLIDVQDTCSDSILFSFGIAEQCTKHEKILKFLMSGSSEIEKGGLDLSLLSDLMGLQALTLDTSQQPFASLIYPSGTCDAPKPLVDFVGDMAHSSKITVHPDGRVLFTGNRSEMNDILSIVAEFYLTKNSTEWSKQSVLVPHFSWPNTSEVQANILSSSLKVKDVTAGPLKSPEKVKLKPKRNSRKSGRERDLYKRNYFHACESLLSLMMDKKQRGKTAILSLKKSGPELPALLTKFSAGIAGTGLAVLFSVVCKVVCGRVPFCASKVFSTGFGFGLVWLSWSVNRLRDTIAYISKNSSKLGLKDEEMLKNVDKNMKDIYFRAATLMAIAVLKLV >Manes.01G210500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38180859:38186041:1 gene:Manes.01G210500.v8.1 transcript:Manes.01G210500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSEIEKGGLDLSLLSDLMGLQALTLDTSQQPFASLIYPSGTCDAPKPLVDFVGDMAHSSKITVHPDGRVLFTGNRSEMNDILSIVAEFYLTKNSTEWSKQSVLVPHFSWPNTSEVQANILSSSLKVKDVTAGPLKSPEKVKLKPKRNSRKSGRERDLYKRNYFHACESLLSLMMDKKQRGKTAILSLKKSGPELPALLTKFSAGIAGTGLAVLFSVVCKVVCGRVPFCASKVFSTGFGFGLVWLSWSVNRLRDTIAYISKNSSKLGLKDEEMLKNVDKNMKDIYFRAATLMAIAVLKLV >Manes.01G210500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38180840:38186041:1 gene:Manes.01G210500.v8.1 transcript:Manes.01G210500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLCLMASHGYPPGTGLVFYQEQTRVFKDCQPYFPSQGARDEIKRPNSLSLGLHHHGESWRPISGFCEHTQVAKIDSTAGRPVLIDVQDTCSDSILFSFGIAEQCTKHEKILKFLMSGSSEIEKGGLDLSLLSDLMGLQALTLDTSQQPFASLIYPSGTCDAPKPLVDFVGDMAHSSKITVHPDGRVLFTGNRSEMNDILSIVAEFYLTKNSTEWSKQSVLVPHFSWPNTSEVQANILSSSLKVKDVTAGPLKSPEKVKLKPKRNSRKSGRERDLYKRNYFHACESLLSLMMDKKQRGKTAILSLKKSGPELPALLTKFSAGIAGTGLAVLFSVVCKVVCGRVPFCASKVFSTGFGFGLVWLSWSVNRLRDTIAYISKNSSKLGLKDEEMLKNVDKNMKDIYFRAATLMAIAVLKLV >Manes.01G210500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38180859:38186041:1 gene:Manes.01G210500.v8.1 transcript:Manes.01G210500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLCLMASHGYPPGTGLVFYQEQTRVFKPYFPSQGARDEIKRPNSLSLGLHHHGESWRPISGFCEHTQVAKIDSTAGRPVLIDVQDTCSDSILFSFGIAEQCTKHEKILKFLMSGSSEIEKGGLDLSLLSDLMGLQALTLDTSQQPFASLIYPSGTCDAPKPLVDFVGDMAHSSKITVHPDGRVLFTGNRSEMNDILSIVAEFYLTKNSTEWSKQSVLVPHFSWPNTSEVQANILSSSLKVKDVTAGPLKSPEKVKLKPKRNSRKSGRERDLYKRNYFHACESLLSLMMDKKQRGKTAILSLKKSGPELPALLTKFSAGIAGTGLAVLFSVVCKVVCGRVPFCASKVFSTGFGFGLVWLSWSVNRLRDTIAYISKNSSKLGLKDEEMLKNVDKNMKDIYFRAATLMAIAVLKLV >Manes.01G210500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38180859:38186041:1 gene:Manes.01G210500.v8.1 transcript:Manes.01G210500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLCLMASHGYPPGTGLVFYQEQTRVFKDCQPYFPSQGARDEIKRPNSLSLGLHHHGESWRPISGFCEHTQVAKIDSTAGRPVLIDVQDTCSDSILFSFGIAEQCTKHEKILKFLMSGSSEIEKGGLDLSLLSDLMGLQALTLDTSQQPFASLIYPSGTCDAPKPLVDFVGDMAHSSKITVHPDGRVLFTGNRSEMNDILSIVAEFYLTKNSTEWSKQSVLVPHFSWPNTSEVQANILSSSLKVKDVTAGPLKSPEKVKLKPKRNSRKSGRERDLYKRNYFHACESLLSLMMDKKQRGKTAILSLKKSGPELPALLTKFSAGIAGTGLAVLFSVVCKVVCGRVPFCASKVFSTGFGFGLVWLSWSVNRLRDTIAYISKNSSKLGLKDEEMLKNVDKNMKDIYFRAATLMAIAVLKLV >Manes.17G070500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27056646:27058539:-1 gene:Manes.17G070500.v8.1 transcript:Manes.17G070500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIHLFLLVSLAFSDYGFLQRAKSLGINYGQVGNDLPPPDEVIELLTSLKVSKARIYDTNPQVLTAFANSKIELIVTVQNEMLPLLIDPQQALQWVTTQIKPYYPTTKFTGIAVGNEVFTDDDTTLLSYLVQATVSIHGALIQLGLDNIQVSTPNSLAVLAQSFPPSAGSFKSEVSGIMSQFLQFLSSTKAPFWINAYPYFAYKDDPDGVPLDYVLFNPNSGMIDPYTKLHYDNMLYAQVDALIFAMARLGYNGIEVRVSETGWPSKGDPNEVGATIENAAVYNRNLLRRQLENEGTPLRPNLRLEIYLFALFNEDMKPGPTSERNYGLYQPDCTMAYNVGLSALSSSSSTSSASISLTSSATKASSKGYDQSLVYWTFVYLLIFQVFLRRPL >Manes.17G070500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27055826:27058386:-1 gene:Manes.17G070500.v8.1 transcript:Manes.17G070500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIHLFLLVSLAFSDYGFLQRAKSLGINYGQVGNDLPPPDEVIELLTSLKVSKARIYDTNPQVLTAFANSKIELIVTVQNEMLPLLIDPQQALQWVTTQIKPYYPTTKFTGIAVGNEVFTDDDTTLLSYLVQATVSIHGALIQLGLDNIQVSTPNSLAVLAQSFPPSAGSFKSEVSGIMSQFLQFLSSTKAPFWINAYPYFAYKDDPDGVPLDYVLFNPNSGMIDPYTKLHYDNMLYAQVDALIFAMARLGYNGIEVRVSETGWPSKGDPNEVGATIENAAVYNRNLLRRQLENEGTPLRPNLRLEIYLFALFNEDMKPGPTSERNYGLYQPDCTMAYNVGLSALSSSSSTSSASISLTSSATKASSKGYDQSLVYWTFVYLLIFQVFLRRPL >Manes.17G070500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27056552:27058573:-1 gene:Manes.17G070500.v8.1 transcript:Manes.17G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIHLFLLVSLAFSDYGFLQRAKSLGINYGQVGNDLPPPDEVIELLTSLKVSKARIYDTNPQVLTAFANSKIELIVTVQNEMLPLLIDPQQALQWVTTQIKPYYPTTKFTGIAVGNEVFTDDDTTLLSYLVQATVSIHGALIQLGLDNIQVSTPNSLAVLAQSFPPSAGSFKSEVSGIMSQFLQFLSSTKAPFWINAYPYFAYKDDPDGVPLDYVLFNPNSGMIDPYTKLHYDNMLYAQVDALIFAMARLGYNGIEVRVSETGWPSKGDPNEVGATIENAAVYNRNLLRRQLENEGTPLRPNLRLEIYLFALFNEDMKPGPTSERNYGLYQPDCTMAYNVGLSALSSSSSTSSASISLTSSATKASSKGYDQSLVYWTFVYLLIFQVFLRRPL >Manes.04G074300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28985057:28985940:-1 gene:Manes.04G074300.v8.1 transcript:Manes.04G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTSSGSSLLQNSGSEEDLQALMDQRKRKRMISNRESARRSRMRKQKHLDDLMTQVAQLRKENHQLITSINITSQHYLNVEADNSILKAQISELSHRLQSLNEIISFLSASNGVYGDSSSFDEPADSFLNPLNMPYLNHPIMASADIFQY >Manes.07G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34488779:34491849:1 gene:Manes.07G142300.v8.1 transcript:Manes.07G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKYRPPPLLSLMMISSPSHLLNPVAHSRFIFVSKPGSQIPCSFHHRLPVFPKISLFYSLRSLQNMTFPKCCSASGYAPADPIPSSGTAELNDPNPQSYLVVVSFYKFADFPDYADMRKPLKHLCEELRVSGGIILAPEGINGSICGTRETVEKVLEFIKSDDRLKGLRQVETPVSPEEEAIHHGHGSSSPLAAGEDTPFRWDHVRVKLKKEIVTLGMPTVSPIERVGKYVNPKEWNALIDDPDTVVIDVRNNYETRIGKFKGAVDPCTASFREFPSWVKNKLRVVTDTDEVDLLDGNSDTETEGSTPKMPKRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEVPKSESRWVGECFVFDKRVSVEHGLAQGTFKLCYGCKQPVSDADMEAPEWEHGVSCPYCYSLKSDEEKERARARQRQFESWGIIGGQPGSKPDSSTRNSNKLSSSI >Manes.03G060000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6355459:6364833:1 gene:Manes.03G060000.v8.1 transcript:Manes.03G060000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSLARRSRDPAKPTRNRNPIKLTVQTSQRNAAENKGKNNAIVVDDKNNIVNLETTPLQEQPRAFREEVAEKTMDECESGGHSGEKGLGAEDEGSTAPLPEKVQVGGSPVYRIERKLGKGGFGQVYVGRRISPTASNERTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLIDLGLATRWRDSSTGLHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Manes.03G060000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6355459:6364834:1 gene:Manes.03G060000.v8.1 transcript:Manes.03G060000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSLARRSRDPAKPTRNRNPIKLTVQTSQRNAAENKGKNNAIVVDDKNNIVNLETTPLQEQPRAFREEVAEKTMDECESGGHSGEKGLGAEDEGSTAPLPEKVQVGGSPVYRIERKLGKGGFGQVYVGRRISPTASNERTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLIDLGLATRWRDSSTGLHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Manes.03G060000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6355459:6364834:1 gene:Manes.03G060000.v8.1 transcript:Manes.03G060000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSLARRSRDPAKPTRNRNPIKLTVQTSQRNAAENKGKNNAIVVDDKNNIVNLETTPLQEQPRAFREEVAEKTMDECESGGHSGEKGLGAEDEGSTAPLPEKVQVGGSPVYRIERKLGKGGFGQVYVGRRISPTASNERTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLIDLGLATRWRDSSTGLHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Manes.03G060000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6355459:6364833:1 gene:Manes.03G060000.v8.1 transcript:Manes.03G060000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSLARRSRDPAKPTRNRNPIKLTVQTSQRNAAENKGKNNAIVVDDKNNIVNLETTPLQEQPRAFREEVAEKTMDECESGGHSGEKGLGAEDEGSTAPLPEKVQVGGSPVYRIERKLGKGGFGQVYVGRRISPTASNERTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLIDLGLATRWRDSSTGLHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Manes.03G060000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6355459:6364834:1 gene:Manes.03G060000.v8.1 transcript:Manes.03G060000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSLARRSRDPAKPTRNRNPIKLTVQTSQRNAAENKGKNNAIVVDDKNNIVNLETTPLQEQPRAFREEVAEKTMDECESGGHSGEKGLGAEDEGSTAPLPEKVQVGGSPVYRIERKLGKGGFGQVYVGRRISPTASNERTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLIDLGLATRWRDSSTGLHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISCVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Manes.07G067400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:17330722:17334184:1 gene:Manes.07G067400.v8.1 transcript:Manes.07G067400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDAYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYNGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVVTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVITYSSLMDGYCLGNQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKDIFGEMSHKGLVPDAVTYCTLIKGMFQAGRPQNAKELFKDMYSHGQQPNIVTFSIMIDGLCRLGNLDEALTLLKAMEKSQLKPNLLIYSSLINVQL >Manes.07G067400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17330722:17334184:1 gene:Manes.07G067400.v8.1 transcript:Manes.07G067400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDAYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYNGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVVTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVITYSSLMDGYCLGNQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKDIFGEMSHKGLVPDAVTYCTLIKGMFQAGRPQNAKELFKDMYSHGQQPNIVTFSIMIDGLCRLGNLDEALTLLKAMEKSQLKPNLLIYSSLINGMCKVGKINDAMELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVHLSQNNDLILSKLQNRSEASKAMQ >Manes.07G067400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:17330722:17334184:1 gene:Manes.07G067400.v8.1 transcript:Manes.07G067400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDAYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYNGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVVTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVITYSSLMDGYCLGNQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKDIFGEMSHKGLVPDAVTYCTLIKGMFQAGRPQNAKELFKDMYSHGQQPNIVTFSIMIDGLCRLGNLDEALTLLKAMEKSQLKPNLLIYSSLINVQL >Manes.07G067400.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17330722:17334184:1 gene:Manes.07G067400.v8.1 transcript:Manes.07G067400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDAYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYNGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVVTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVITYSSLMDGYCLGNQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKDIFGEMSHKGLVPDAVTYCTLIKGMFQAGRPQNAKELFKDMYSHGQQPNIVTFSIMIDGLCRLGNLDEALTLLKAMEKSQLKPNLLIYSSLINGMCKVGKINDAMELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVHLSQNNDLILSKLQNRSEASKAMQ >Manes.07G067400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17330722:17334184:1 gene:Manes.07G067400.v8.1 transcript:Manes.07G067400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDAYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYNGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVVTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVITYSSLMDGYCLGNQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKDIFGEMSHKGLVPDAVTYCTLIKGMFQAGRPQNAKELFKDMYSHGQQPNIVTFSIMIDGLCRLGNLDEALTLLKAMEKSQLKPNLLIYSSLINGMCKVGKINDAMELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVHLSQNNDLILSKLQNRSEASKAMQ >Manes.07G067400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17330722:17334184:1 gene:Manes.07G067400.v8.1 transcript:Manes.07G067400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDAYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYNGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVVTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVITYSSLMDGYCLGNQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKDIFGEMSHKGLVPDAVTYCTLIKGMFQAGRPQNAKELFKDMYSHGQQPNIVTFSIMIDGLCRLGNLDEALTLLKAMEKSQLKPNLLIYSSLINGMCKVGKINDAMELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVHLSQNNDLILSKLQNRSEASKAMQ >Manes.03G009300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:782743:784825:-1 gene:Manes.03G009300.v8.1 transcript:Manes.03G009300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVMSGKLSALRSNVQEIGSNSPLSGVSPYELYGLNKRPRIEIPMSKPSQILVKTDPKDKSLNLKDGYQWRKYGQKVTKDNPSPRAYFRCSMAPSCPVKKKVQRCAEDKTFLVATYEGEHNHDPNGSPGHSMYSPDSLSRSSRSSTVSCPVTSSPFQTEVALDLTLSSPTHDHKEKPRQTSLEDNISSNTNKVEEYVASLAKDPSFTVALAAAVARSLSTPRVL >Manes.03G009300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:782743:784825:-1 gene:Manes.03G009300.v8.1 transcript:Manes.03G009300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVMSGKLSALRSNVQEIGSNSPLSGVSPYELYGLNKRPRIEIPMSKPSQILVKTDPKDKSLNLKDGYQWRKYGQKVTKDNPSPRAYFRCSMAPSCPVKKKVQRCAEDKTFLVATYEGEHNHDPNGSPGHSMYSPDSLSRSSRSSTVSCPVTSSPFQTEVALDLTLSSPTHDHKEKPRQTSLEDNISSNTNKVEEYVASLAKDPSFTVALAAAVARSLSTPRVL >Manes.03G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:782743:784825:-1 gene:Manes.03G009300.v8.1 transcript:Manes.03G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAHSFCKVKVEALQDELERSRKENETLKVMVEVMSGKLSALRSNVQEIGSNSPLSGVSPYELYGLNKRPRIEIPMSKPSQILVKTDPKDKSLNLKDGYQWRKYGQKVTKDNPSPRAYFRCSMAPSCPVKKKVQRCAEDKTFLVATYEGEHNHDPNGSPGHSMYSPDSLSRSSRSSTVSCPVTSSPFQTEVALDLTLSSPTHDHKEKPRQTSLEDNISSNTNKVEEYVASLAKDPSFTVALAAAVARSLSTPRVL >Manes.12G097520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22456903:22457419:1 gene:Manes.12G097520.v8.1 transcript:Manes.12G097520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELSSLFSLCRLSFFNPSSPLSSEYQQPVHLQYYSSDVAPCRLPMSQRPPSLSVSSVLHPPALSAPQLQRSPAWCHNSNITELHHKSNVVASIDRSLCCLYF >Manes.01G066700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26750768:26754882:-1 gene:Manes.01G066700.v8.1 transcript:Manes.01G066700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRKEIAVSSNTHVVGSEEEIESSSSSSEEDESDIEREIADATFEELQKARSDGSCSVYQKPKQDKKSGRANKNRPMEASCKKPVSRFREVVQAPKKVVRDPRFESLCGNLDVDGFRKRYNFLFENNLPSEREELKKQMKKSNDPKVIDQLKKRISWIDRQLKFESAKHIDAEILAEHKKKEREAAKQGKRPFYMKKSEIRKHRLIKEYSKLKESGKLESFIEKRRRKNASKDRRYMPYRRSSNTEEEG >Manes.01G066700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26750768:26754890:-1 gene:Manes.01G066700.v8.1 transcript:Manes.01G066700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRKEIAVSSNTHVVGSEEEIESSSSSSEEDESDIEREIADATFEELQKARSDGSCSVYQKPKQDKKSGRANKNRPMEASCKKPVSRFREVVQAPKKVVRDPRFESLCGNLDVDGFRKRYNFLFENNLPSEREELKKQMKKSNDPKVIDQLKKRISWIDRQLKFESAKHIDAEILAEHKKKEREAAKQGKRPFYMKKSEIRKHRLIKEYSKLKESGKLESFIEKRRRKNASKDRRYMPYRRSSNTEEEG >Manes.01G066700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26750598:26754899:-1 gene:Manes.01G066700.v8.1 transcript:Manes.01G066700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRKEIAVSSNTHVVGSEEEIESSSSSSEEDESDIEREIADATFEELQKARSDGSCSVYQKPKQDKKSGRANKNRPMEASCKKPVSRFREVVQAPKKVVRDPRFESLCGNLDVDGFRKRYNFLFENNLPSEREELKKQMKKSNDPKVIDQLKKRISWIDRQLKFESAKHIDAEILAEHKKKEREAAKQGKRPFYMKKSEIRKHRLIKEYSKLKESGKLESFIEKRRRKNASKDRRYMPYRRSSNTEEEG >Manes.05G173721.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28529912:28530901:-1 gene:Manes.05G173721.v8.1 transcript:Manes.05G173721.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKEISKELFPYLRVYKDGTIERYAGTEVTAAGLDSQTGVLSKDVSLITPQTTISARLYRPYFINNDQKLPLLVYFHGGAFCIASPAEPRYHHCLNQLVFQGKIIVVSVDYRLAPEHPLPAAYDDSWASLQWVFSHVDGGTGTEEWLEDYADFEQVFLAGDSAGANIAHHLALRMKDSNMQASNKKKQKLQGIAMIHPYFWGKDPIGEEANQSEKKSMVDNWWKFVCPSNKGCDDPYINPFVKGAASLKELATESVLIFVAERDILCERGKLYYENLVKSGWQGKAQIVETKGEDHVFHIFKPDCENAYLLIKRWASYINRSNIGSL >Manes.09G033750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6674526:6674831:-1 gene:Manes.09G033750.v8.1 transcript:Manes.09G033750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIICTTLEAVVALILIHILTFSSPCLCNEEIINGRNKLPRRLLLLPMANGSHSRRLMIMKSEAVKQQPEKRVEQRLRKAPPSVSDPIQNK >Manes.08G111200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35075964:35077557:-1 gene:Manes.08G111200.v8.1 transcript:Manes.08G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQEELLIEKICSFYDQISSLESLKPSKDVDMLFTQLVLTCIPPNPIDVSKLSKKIQEMRSKLIRLCGEAEGHLENHFSTILGSFENPLDHLNIFPYYSNYLKLSHLEFTILNQHYPHVPSQVAFVGSGPLPLTSIVLACNHLTTTTFHNYDIDPSANSKAIRLVSSHPDVSKRFFFHTTDILNVGNGLKEFDVVFLAALVGMDKEEKIKVINHLAKYMAPGAILMLRSAHGARAFLYPVVDPCELVGFEVLSVFHPTDEVINSVVIARKHQMSIQSSPIDHHQTLVPNIIQLPTKCSEIEAFNPLNHGNMGEELAIEEQL >Manes.17G027100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:19485384:19486806:1 gene:Manes.17G027100.v8.1 transcript:Manes.17G027100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRNVSSQPPLSPCSSGRRSSTSTSPEFEFWMVRNPFFLKPDLLSADELFVNGVLLPLHLLHLHNHCPCSDLADSPPPNLHPDAEPQVSPRAVEAEWLIPVTASKRWRDIFKKGDKKTSTSKNQQDKKKKKEKKSQGGASPAELNINIWPFSRSRSAGNSGGRARMFPGAPGSRKVNSAPCSRSNSAGESKLRKWPSTSSSPGRGGVHLGRSSPVWQVRRGSGGKNCGSEWVNRSSEKMKSKSKTTTGGGKVVNTEVPMCIGSNENSNVGSSGNHSNLSTFLQISKIYLNIFIIKLI >Manes.18G048000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4167733:4168914:-1 gene:Manes.18G048000.v8.1 transcript:Manes.18G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGHSQNKFMRIVTIPIKVLGKARDLYVKSMTGCAARASCGHSMSLPNGQLPKSYSMGSSMSNDNDDFRELIRAASVRSLGHKNEVDMLLQQMKQKSEQLPKSCSVGMGFMGRIDEEKASEEEGSSHGGNKKATKADQLYPRSKSYAVGKTSVAF >Manes.13G023850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3298839:3299396:-1 gene:Manes.13G023850.v8.1 transcript:Manes.13G023850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIIKVRHSSHLGQDRNAIHEAYRKLFQLLHDREVQWKQRAKDFWLRKGDANTRFFHAMATDRKRKNNVLRLQNSEGVWCLRGSGLEDLMVGYFKDIFTSRMGNMEPTLEHVTACVTEEMNQKLMESYSMEEVKAALFTMKPDKTLGIDGLILDFFKVTRRLWVLKYLKCALSICRRGLFLPLGMK >Manes.05G116200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11931774:11937469:1 gene:Manes.05G116200.v8.1 transcript:Manes.05G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQSSSSKVHAIYDLCTTTFTPSGIHSSSSAIHKLCSLLDTVQPADVGLKEENPDDDRGHGFFGLNRLSRAVRWAQPITYIDIYECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVKAYDWVEPTCINENKGSGYPPVKLAKLAVDKVLTAPCGTSVLYPKSGGNLHCFTAVTPCAVLDILTPSYNEDAGRKCTYYHDYPYSACSTGNTIDFSDGKEEDYAWLAEIATPDDLYMRQGAYAGPAISA >Manes.16G079500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28413334:28413900:-1 gene:Manes.16G079500.v8.1 transcript:Manes.16G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNQSQPPQGLTQEEYAELKPLIDTYHKFEAAPNTCTSLITQLIGAPAQVVWPFVRSFDNPQKYKHFVKSCNMKGTGGIGSIRELTVVSGLPASTSTERLEILDDEKHILSFKVVGGEHRLNNYRSVTSVNEFSKEGKIYTIVLESYIVDIPEGNTGEDTKMFVDTVVKLNLQKLAVVAMASLDGHE >Manes.03G128700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25571527:25581602:1 gene:Manes.03G128700.v8.1 transcript:Manes.03G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNRNRAETEIEACLNEALLFATICIIGMPVDVHVRDGSVYSGTFHTASVDKEYGIVLKEAKLTKKGKCDANVANGNVIETLVILSGDLVQVVAKGVLFPADGFMGNIASDNVEAAVVNVPSSEILMSGAKESNKSTTDKKKVNENRNNSANGFMPTKTGKEHEEVEVLANHAATATEIEPGKRERINISKIEGAFDSAVIGRQIGDNWSKGEENHHKQFELQKEKSDNEVQSSSSISNLSLSETKVVGEGQKMTKLLPNGVSCDTTSALVKPDNQCHGRPTSAGAICSSVSTVSNTLIDVASESCSGSLHSSADVVSPQSSESSKSSKEFKLNPGAKIFCPSFATPISVSTAVPGVASMSYVPSNSPMVPIAAAQPEVGISPFIRPSVPAKFASYTNLTAVNGGNDLQFSPPIMGNRTLPRYSGQYPAVQTAPTYVPPNSQAVMVGRLGQLVYVQPVPHDLVQSGATIAPVSARPLLTQHQVQYPKHQGCITGQALQLCAPPPFVAGGQQPYAIPSHIPLLQPPIPANRPLPVPGANPFFGTKFP >Manes.02G127800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9662178:9662468:-1 gene:Manes.02G127800.v8.1 transcript:Manes.02G127800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYFYKVIVQYNAEGQTKEGSSFGESTSAHYVRLPGDSGRFNPSCTQLFHPEYVPTSSASAAHPTLTSQLLALAGSQSPAHLPVSHRFFSFF >Manes.12G134900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34178519:34186589:-1 gene:Manes.12G134900.v8.1 transcript:Manes.12G134900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAFSCRREVIVTTSVLMCEMAKVICALILMARNGSLKKLSKEWTLVGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIIFTALFTFIILRQKQSIQQIGALFLLIMAAVLLSVGEGSSKGSSSSNPDQILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTTKSPDGEAIRKYGFFHGWTPLTMIPVIANALGGILVGLVTSHAGGVRKGFVIVSALLVTAMLQFIFEGIPPTLYCLLALPLVISSISIYQRYPYRVKKKEL >Manes.12G134900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34178519:34186589:-1 gene:Manes.12G134900.v8.1 transcript:Manes.12G134900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEMAKVICALILMARNGSLKKLSKEWTLVGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIIFTALFTFIILRQKQSIQQIGALFLLIMAAVLLSVGEGSSKGSSSSNPDQILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTTKSPDGEAIRKYGFFHGWTPLTMIPVIANALGGILVGLVTSHAGGVRKGFVIVSALLVTAMLQFIFEGIPPTLYCLLALPLVISSISIYQRYPYRVKKKEL >Manes.12G134900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34178519:34186589:-1 gene:Manes.12G134900.v8.1 transcript:Manes.12G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAATKRKGTNSGSDGMNARVWLYSILLTLQYGAQPLISKRFTGREVIVTTSVLMCEMAKVICALILMARNGSLKKLSKEWTLVGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIIFTALFTFIILRQKQSIQQIGALFLLIMAAVLLSVGEGSSKGSSSSNPDQILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTTKSPDGEAIRKYGFFHGWTPLTMIPVIANALGGILVGLVTSHAGGVRKGFVIVSALLVTAMLQFIFEGIPPTLYCLLALPLVISSISIYQRYPYRVKKKEL >Manes.12G134900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34178519:34186589:-1 gene:Manes.12G134900.v8.1 transcript:Manes.12G134900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEMAKVICALILMARNGSLKKLSKEWTLVGSLTASGLPAAIYALQNSLLQISYRNLDSLTFSMLNQTKIIFTALFTFIILRQKQSIQQIGALFLLIMAAVLLSVGEGSSKGSSSSNPDQILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTTKSPDGEAIRKYGFFHGWTPLTMIPVIANALGGILVGLVTSHAGGVRKGFVIVSALLVTAMLQFIFEGIPPTLYCLLALPLVISSISIYQRYPYRVKKKEL >Manes.01G257604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41435625:41436512:-1 gene:Manes.01G257604.v8.1 transcript:Manes.01G257604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALKMATMRVDVKLNSTCLLPNPMLPPISLLELRLHRYCRRLLRNLQGESIELRPVAPTSAFLFEIHSHDLVSEQPCKSHLDYLFSSINLDEPVRDFLAYHIARFLVLMANEQPFLGRHVVADTDVILEYLIVRDPIDRTMVVDEEPREVVPRGASTSALNKLKKQRFFAKKSGDGDELSDDCVICLEGLSGSREALTKMTCNHTFHERCIFGWLKVQNSCPTCRRELED >Manes.06G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9621034:9624155:-1 gene:Manes.06G035500.v8.1 transcript:Manes.06G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRMKGVAAAMESSPSPYATVFEDQRARLKHQSLLQDYEELYKETESQKRKLEMMKHKKLTLLSEVRFLRQRYKFLMQNQSQNPPEPKYIRRQNLVNTSRTVRKERDSTGNDAAVQRQAPRFDLNRKGKKVYGEREAVLQTPGPTFDLSQKQKTYIGKEAAFGKSATTADLNLKERIYSGKEAVARNNAPIFDLNQISREEEELQANGEMMRIDEPKISLMRGGSDEHHTDMKLSACRSVGNGASRAGKRKISWQDQVALRV >Manes.06G152800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28019965:28023435:1 gene:Manes.06G152800.v8.1 transcript:Manes.06G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKVNKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVNGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDETKKEEEGDVEDVDEEKETKSKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLSFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFENLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEDEAAGDDADMPALEEDGAEESKMEEVD >Manes.05G127400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22600569:22603098:1 gene:Manes.05G127400.v8.1 transcript:Manes.05G127400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGTNTPFRCSDWASFDDQHFLLNFIMSTYLGPDVYSDNPRCSAFQRLAKRLPPYTSNNLGPSFLSISQLESLYYYVLRNANPLLVLKPNMFYVYLKGSLQLPSSGAPEDHRQFTSFFPLNLHGHKRYSGNYEIVKGIVLIDDPLTSSMKEEDLERFRHLSGLEDLRIDARKCLSYQHGHLKGGEETESTCLKHSDKEIGETISNGKDKSSAMFGQKYRRRRCCSDLVPVSALPSDPSKPEQHNDESASEGPSNLDGSATSPVITLPKFKDCISDESIVLTGAARNERVGPQVGLVDIGISKAAYFFQVALPGVRRDFCEFSCEIESSGRVLIQGSLSGGKTIRKRSRVFQMKYQQMCPPGPFTISFSLPGPVDPRLFSPNFRTDGIFEAVIIRHK >Manes.05G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22600569:22603098:1 gene:Manes.05G127400.v8.1 transcript:Manes.05G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGTNTPFRCSDWASFDDQHFLLNFIMSTYLGPDVYSDNPRCSAFQRLAKRLPPYTSNNLGPSFLSISQLESLYYYVLRNANPLLVLKPNMFYVYLKGSLQLPSSGAPEDHRQFTSFFPLNLHGHKRYSGNYEIVKGIVLIDDPLTSSMKEEDLERFRHLSGLEDLRIDARKCLSYQHGHLKGGEETESTCLKHSDKEIGETISNGKDKSSAMFGQKYRRRRCCSDLVPVSALPSDPSKPEQHNDESASEGPSNLDGSATSPVITLPKFKDCISDESIVLTGAARNERVGPQVGLVDIGISKAAYFFQVALPGVRRDFCEFSCEIESSGRVLIQGSLSGGKTIRKRSRVFQMKYQQMCPPGPFTISFSLPGPVDPRLFSPNFRTDGIFEAVIIRHK >Manes.05G127400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22600747:22603098:1 gene:Manes.05G127400.v8.1 transcript:Manes.05G127400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGTNTPFRCSDWASFDDQHFLLNFIMSTYLGPDVYSDNPRCSAFQRLAKRLPPYTSNNLGPSFLSISQLESLYYYVLRNANPLLVLKPNMFYVYLKGSLQLPSSGAPEDHRQFTSFFPLNLHGHKRYSGNYEIVKGIVLIDDPLTSSMKEEDLERFRHLSGLEDLRIDARKCLSYQHGHLKGGEETESTCLKHSDKEIGETISNGKDKSSAMFGQKYRRRRCCSDLVPVSALPSDPSKPEQHNDESASEGPSNLDGSATSPVITLPKFKDCISDESIVLTGAARNERVGPQVGLVDIGISKAAYFFQVALPGVRRDFCEFSCEIESSGRVLIQGSLSGGKTIRKRSRVFQMKYQQMCPPGPFTISFSLPGPVDPRLFSPNFRTDGIFEAVIIRHK >Manes.05G127400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22600747:22603098:1 gene:Manes.05G127400.v8.1 transcript:Manes.05G127400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGTNTPFRCSDWASFDDQHFLLNFIMSTYLGPDVYSDNPRCSAFQRLAKRLPPYTSNNLGPSFLSISQLESLYYYVLRNANPLLVLKPNMFYVYLKGSLQLPSSGAPEDHRQFTSFFPLNLHGHKRYSGNYEIVKGIVLIDDPLTSSMKEEDLERFRHLSGLEDLRIDARKCLSYQHGHLKGGEETESTCLKHSDKEIGETISNGKDKSSAMFGQKYRRRRCCSDLVPVSALPSDPSKPEQHNDESASEGPSNLDGSATSPVITLPKFKDCISDESIVLTGAARNERVGPQVGLVDIGISKAAYFFQVALPGVRRDFCEFSCEIESSGRVLIQGSLSGGKTIRKRSRVFQMKYQQMCPPGPFTISFSLPGPVDPRLFSPNFRTDGIFEAVIIRHK >Manes.05G127400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22600747:22603098:1 gene:Manes.05G127400.v8.1 transcript:Manes.05G127400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGTNTPFRCSDWASFDDQHFLLNFIMSTYLGPDVYSDNPRCSAFQRLAKRLPPYTSNNLGPSFLSISQLESLYYYVLRNANPLLVLKPNMFYVYLKGSLQLPSSGAPEDHRQFTSFFPLNLHGHKRYSGNYEIVKGIVLIDDPLTSSMKEEDLERFRHLSGLEDLRIDARKCLSYQHGHLKGGEETESTCLKHSDKEIGETISNGKDKSSAMFGQKYRRRRCCSDLVPVSALPSDPSKPEQHNDESASEGPSNLDGSATSPVITLPKFKDCISDESIVLTGAARNERVGPQVGLVDIGISKAAYFFQVALPGVRRDFCEFSCEIESSGRVLIQGSLSGGKTIRKRSRVFQMKYQQMCPPGPFTISFSLPGPVDPRLFSPNFRTDGIFEAVIIRHK >Manes.05G127400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22600747:22603098:1 gene:Manes.05G127400.v8.1 transcript:Manes.05G127400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEGTNTPFRCSDWASFDDQHFLLNFIMSTYLGPDVYSDNPRCSAFQRLAKRLPPYTSNNLGPSFLSISQLESLYYYVLRNANPLLVLKPNMFYVYLKGSLQLPSSGAPEDHRQFTSFFPLNLHGHKRYSGNYEIVKGIVLIDDPLTSSMKEEDLERFRHLSGLEDLRIDARKCLSYQHGHLKGGEETESTCLKHSDKEIGETISNGKDKSSAMFGQKYRRRRCCSDLVPVSALPSDPSKPEQHNDESASEGPSNLDGSATSPVITLPKFKDCISDESIVLTGAARNERVGPQVGLVDIGISKAAYFFQVALPGVRRDFCEFSCEIESSGRVLIQGSLSGGKTIRKRSRVFQMKYQQMCPPGPFTISFSLPGPVDPRLFSPNFRTDGIFEAVIIRHK >Manes.17G077500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27739808:27747459:-1 gene:Manes.17G077500.v8.1 transcript:Manes.17G077500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEFFTEYGEANQYEIQEVIGKGSYGVVASAIDTHTGEKVAIKKMTNIFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSPREFKDIYVIFELMESDLHQVIKLNDDLTPEHHQFFLYQLLRALKYIHSAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTNAPSAIFWTDYVATRWYRAPELCGSFSSKYTPAIDTWSIGCIFAELLTGKPLFPGKNVVHQLDIITDVLGTPSAESIARIGNEKARKYLNSMRKKRPIPLSKKIPNADPMALRLLERLLAFDPKDRPSAEEALADPYFHDLANKEHEPSRQPISKLEFEFERRKLTEDDVRELIYREILEYHPEMLKEYLRGTDHTHFVYPSGIDRFKEQFAHLEEGNGRSERSSPLHRKHATSLPRERICTIDDETDIVIKRSAASFTRATIQSPPKSETTEDLQSTEDLQSANRNAVAMQTSSTKPKCGARSLLRSDSICASRCIGMVGNDREVHAL >Manes.18G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1298834:1302394:-1 gene:Manes.18G010900.v8.1 transcript:Manes.18G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKLRSTRPHFSKSSRGSLSATYARPLPSPVPDKNADGTDLMAQDQSGESDGDVEGDGRESKVCEAKADGLSSMDVDWSEEKACIKCNMGGELLLCCGFGCPIALHGKCIPCNPRYDNTGKFYCPFCWYKLQLATAEELRKKALLAKKNLQDFMYCGPTEVDHGKEKQNDGSAKGNDSNVRLFVEERNKNEHLERMEQEKNNQVADEQDEEILEEEDHAGSVNVNTQCVEEEAIVDGALHKSAEVTAETTKASEGNQVREEEKEQIHRDLPETNVTCTGGDVALNVPEMCDSDAETVTVRVNSGRTPSERGGDQAREEKKEQIDGDAPESKVTCTGGDAAMDDPEMCDSDTETVTVRLNCGRKQSERRGDQVREEKEEQIYGDAQETNVTTGAGATLNAQEMWDSPTIARRMSCGEQTNNLSAESAESPRGSSLHPPVTVVDEAMKQKEEVGSVYKSEQSKVPAKKYKNLPFPHEKRKRVPWKSDEEEMLKEGLQKVSTKNKNIPWRKILEFGQHVFHASRSPADLKDKWRQIVARESSTTNRRSS >Manes.04G144301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34255602:34258151:1 gene:Manes.04G144301.v8.1 transcript:Manes.04G144301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVQLKATADAPILEQNKFKIPGTDIFAKVIDVLWRQLHRETVFVYMNSAFSPNPDELIIDLIQNFGVDGKLLVNYACSMAW >Manes.09G175300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36667966:36673840:1 gene:Manes.09G175300.v8.1 transcript:Manes.09G175300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDLQFEKARFLSLALECGFDEQSAEKCLDRLISLYGDDGQDFVTVECCGDDFLAALAESMQDTEDWDDDLQVIESEACGALSNMFEKDDTSNCEADNNGNARRYINVIDHSPEPKKQQSWMELDSSSDGEDPDFCITKGKDSVSTTTSKRLSRSMDCKSTVTQGSVSSICNKKQRPMTQKDGPQILSYEELQALDDFELANVVIFGNLTFRPLQHQACKASVAKKDCFILMPTGGGKSLCYQLPATLKPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQAAAVLQELRKDKPSCKLLYVTPERIVGNSTFLEILKCLHRKDQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQKFSDVPLAALTATATHSVREDILKALRIPNALILETSFDRPNLKYEVIGKTKESLKQLGQLLKDQFKNQSGIVYCLSKNECVEVSNFLNDKCKIKTVYYHAGMAARQRVEVQRKWHTGEVHIVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMLRSGQGCKRENFKTAMAQAQKMKQYCELKTECRRKALLEHFGESFDRKDCKNGSNPCDNCFKTSV >Manes.09G175300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36667965:36674133:1 gene:Manes.09G175300.v8.1 transcript:Manes.09G175300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDLQFEKARFLSLALECGFDEQSAEKCLDRLISLYGDDGQDFVTVECCGDDFLAALAESMQDTEDWDDDLQVIESEACGALSNMFEKDDTSNCEADNNGNARRYINVIDHSPEPKKQQSWMELDSSSDGEDPDFCITKGKDSVSTTTSKRLSRSMDCKSTVTQGSVSSICNKKQRPMTQKDGPQILSYEELQALDDFELANVVIFGNLTFRPLQHQACKASVAKKDCFILMPTGGGKSLCYQLPATLKPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQAAAVLQELRKDKPSCKLLYVTPERIVGNSTFLEILKCLHRKDQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQKFSDVPLAALTATATHSVREDILKALRIPNALILETSFDRPNLKYEVIGKTKESLKQLGQLLKDQFKNQSGIVYCLSKNECVEVSNFLNDKCKIKTVYYHAGMAARQRVEVQRKWHTGEVHIVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMLRSGQGCKRENFKTAMAQAQKMKQYCELKTECRRKALLEHFGESFDRKDCKNGSNPCDNCFKTSV >Manes.09G175300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36667910:36674362:1 gene:Manes.09G175300.v8.1 transcript:Manes.09G175300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDLQFEKARFLSLALECGFDEQSAEKCLDRLISLYGDDGQDFVTVECCGDDFLAALAESMQDTEDWDDDLQVIESEACGALSNMFEKDDTSNCEADNNGNARRYINVIDHSPEPKKQQSWMELDSSSDGEDPDFCITKGKDSVSTTTSKRLSRSMDCKSTVTQGSVSSICNKKQRPMTQKDGPQILSYEELQALDDFELANVVIFGNLTFRPLQHQACKASVAKKDCFILMPTGGGKSLCYQLPATLKPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQAAAVLQELRKDKPSCKLLYVTPERIVGNSTFLEILKCLHRKDQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQKFSDVPLAALTATATHSVREDILKALRIPNALILETSFDRPNLKYEVIGKTKESLKQLGQLLKDQFKNQSGIVYCLSKNECVEVSNFLNDKCKIKTVYYHAGMAARQRVEVQRKWHTGEVHIVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMLRSGQGCKRENFKTAMAQAQKMKQYCELKTECRRKALLEHFGESFDRKDCKNGSNPCDNCFKTSV >Manes.09G175300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36667997:36673840:1 gene:Manes.09G175300.v8.1 transcript:Manes.09G175300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDLQFEKARFLSLALECGFDEQSAEKCLDRLISLYGDDGQDFVTVECCGDDFLAALAESMQDTEDWDDDLQVIESEACGALSNMFEKDDTSNCEADNNGNARRYINVIDHSPEPKKQQSWMELDSSSDGEDPDFCITKGKDSVSTTTSKRLSRSMDCKSTVTQGSVSSICNKKQRPMTQKDGPQILSYEELQALDDFELANVVIFGNLTFRPLQHQACKASVAKKDCFILMPTGGGKSLCYQLPATLKPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQAAAVLQELRKDKPSCKLLYVTPERIVGNSTFLEILKCLHRKDQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQKFSDVPLAALTATATHSVREDILKALRIPNALILETSFDRPNLKYEVIGKTKESLKQLGQLLKDQFKNQSGIVYCLSKNECVEVSNFLNDKCKIKTVYYHAGMAARQRVEVQRKWHTGEVHIVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMLRSGQGCKRENFKTAMAQAQKMKQYCELKTECRRKALLEHFGESFDRKDCKNGSNPCDNCFKTSV >Manes.09G175300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36667919:36674137:1 gene:Manes.09G175300.v8.1 transcript:Manes.09G175300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDLQFEKARFLSLALECGFDEQSAEKCLDRLISLYGDDGQDFVTVECCGDDFLAALAESMQDTEDWDDDLQVIESEACGALSNMFEKDDTSNCEADNNGNARRYINVIDHSPEPKKQQSWMELDSSSDGEDPDFCITKGKDSVSTTTSKRLSRSMDCKSTVTQGSVSSICNKKQRPMTQKDGPQILSYEELQALDDFELANVVIFGNLTFRPLQHQACKASVAKKDCFILMPTGGGKSLCYQLPATLKPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQAAAVLQELRKDKPSCKLLYVTPERIVGNSTFLEILKCLHRKDQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQKFSDVPLAALTATATHSVREDILKALRIPNALILETSFDRPNLKYEVIGKTKESLKQLGQLLKDQFKNQSGIVYCLSKNECVEVSNFLNDKCKIKTVYYHAGMAARQRVEVQRKWHTGEVHIVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMLRSGQGCKRENFKTAMAQAQKMKQYCELKTECRRKALLEHFGESFDRKDCKNGSNPCDNCFKTSV >Manes.09G175300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36667909:36674363:1 gene:Manes.09G175300.v8.1 transcript:Manes.09G175300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDLQFEKARFLSLALECGFDEQSAEKCLDRLISLYGDDGQDFVTVECCGDDFLAALAESMQDTEDWDDDLQVIESEACGALSNMFEKDDTSNCEADNNGNARRYINVIDHSPEPKKQQSWMELDSSSDGEDPDFCITKGKDSVSTTTSKRLSRSMDCKSTVTQGSVSSICNKKQRPMTQKDGPQILSYEELQALDDFELANVVIFGNLTFRPLQHQACKASVAKKDCFILMPTGGGKSLCYQLPATLKPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQAAAVLQELRKDKPSCKLLYVTPERIVGNSTFLEILKCLHRKDQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQKFSDVPLAALTATATHSVREDILKALRIPNALILETSFDRPNLKYEVIGKTKESLKQLGQLLKDQFKNQSGIVYCLSKNECVEVSNFLNDKCKIKTVYYHAGMAARQRVEVQRKWHTGEVHIVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMLRSGQGCKRENFKTAMAQAQKMKQYCELKTECRRKALLEHFGESFDRKDCKNGSNPCDNCFKTSV >Manes.09G175300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36667965:36674133:1 gene:Manes.09G175300.v8.1 transcript:Manes.09G175300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHDLQFEKARFLSLALECGFDEQSAEKCLDRLISLYGDDGQDFVTVECCGDDFLAALAESMQDTEDWDDDLQVIESEACGALSNMFEKDDTSNCEADNNGNARRYINVIDHSPEPKKQQSWMELDSSSDGEDPDFCITKGKDSVSTTTSKRLSRSMDCKSTVTQGSVSSICNKKQRPMTQKDGPQILSYEELQALDDFELANVVIFGNLTFRPLQHQACKASVAKKDCFILMPTGGGKSLCYQLPATLKPGVTVVVSPLLSLIQDQIITLNLKFGIPATFLNSQQTASQAAAVLQELRKDKPSCKLLYVTPERIVGNSTFLEILKCLHRKDQLAGFVVDEAHCVSQWGHDFRPDYRGLGCLKQKFSDVPLAALTATATHSVREDILKALRIPNALILETSFDRPNLKYEVIGKTKESLKQLGQLLKDQFKNQSGIVYCLSKNECVEVSNFLNDKCKIKTVYYHAGMAARQRVEVQRKWHTGEVHIVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDNLPAVCIALYQKKDFSRVVCMLRSGQGCKRENFKTAMAQAQKMKQYCELKTECRRKALLEHFGESFDRKDCKNGSNPCDNCFKTSV >Manes.07G129900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33159002:33163255:-1 gene:Manes.07G129900.v8.1 transcript:Manes.07G129900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTQPEVVYSPRSLQLWRTLWNWLAFFFQIFLQIVRALGHLPLLSSASSSFKPLPVVELPETHSSATLEITAGPDSIPIDEPIKKLTVVLDLDETLVCAYETCSLPANLRNQATEAGLKWFELECISSDKECEGKPKISYVTVFERPGLAEFLKQLSEFTDLVLFTAGLEGYAKPLVDRIDMDNLFSLRLYRPSTISTEYREHVKDLSCISKDPCRTVIVDNNPFSFLLQPLNGIPCIPFSAGQPYDTQLLDVLLPLLKHLSNQKDVRPVLYERFHMPEWFQKQGIPASGWT >Manes.12G070401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7536750:7538049:1 gene:Manes.12G070401.v8.1 transcript:Manes.12G070401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKIEQCGVKVSQELVQEVLSRVRNDWEAAFTFFLWAGRQPGYVHSVHEYNSMISILAKMRKFDTAWSLIDEMRGVKTGVSLVVPQTLLIMIRRYCAVHDVGRAINTFYAYKRFKFDVGIEEFQSLLSALCRYKNVQDAEHLMFSKKDVFPFNTKSFNIVLNGWSNVIGSPRQSDRIWREMCKRGIQYDAVSYASIISCYSKAGNLYKVLKLYNQMNEMRIEPDRKVYNAVIHALAKARHVKEAINLMKAMEDKGIAPNTVTYNSLIKPLCKARKIDEARGVFDEMLQRGHSPTIRTYHAFFRILRTGEEVFALLEKMRKMGCQPITDTYIMLIRKFCRWRQFDDVFKLWNEMSENGISPDRSSYIVLIHGLFLNGKLEAAYKYYTDMKQTQLLPDPKIDEMLSTWLSNKQMAECRMTKSKGDQSNFSQ >Manes.02G165500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12966178:12966788:-1 gene:Manes.02G165500.v8.1 transcript:Manes.02G165500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDSAPMDDPLSSPAKPRREDQHTPSPSTLLPKTQSNGFLGKHRMAAAISNLQNQINFLQEELDQLDELGEASIVCKELISSVESIPDPLLPLSKGPTNISWDRWFRGAQNSRKRWI >Manes.07G133124.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29613347:29616710:-1 gene:Manes.07G133124.v8.1 transcript:Manes.07G133124.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKVLSLSGCGLTGLLPNAQGLCELKDLQVLDVSNNDLQGTLPWCLVNLMSLQLLDLSSNQFTGNISQSPLKNLTSLVNLQLSNNHFQIPFSLGPFFHHSNLKHINGQNNDIYGETELGSFPRFQLNSILLSGCGNCGTFPNFLYHQHDLQRVDLSNITLRGSFPNWLLTNNTGLKALYLVNNSLSGHLELPFHPHVNLLVLDISNNSFHNHIPLEIGSYFPKLNILNMSKNGFDGSIPSSFGNINSLEILDLSDNQLSGIIPELLAIGCFSLNTLILSNNNLQGHIFSEHFNLTNLWWLQLEGNNFSGSIPDCLSKSSLSILDLGDNHLSGRIPGWIGNLSYLKDLIMSNNHLEGPIPLEFCQLQYLEVLDLANNNVSGILPSCFSPSSLIHVHLSDNGLEGPMTNALSNSRFLRTLDLSNNHITGRIPNWIGGLPALSFLLLKNNNFDGEIPYDMCHQFYELSLMDLSHNNLSGPIPPCLPPEPSAVPDLQFSESSNNWSIFQPERSLNFTTKKHSYSYKGKILWLMSGIDLSSNKLTGKIPSELGYLNMTRALNLSHNGFTGPIPSTFSNLEQIESLDLSYNNLNGKIPFQLLELNFLSFFRVAYNNLSGMTPERSKQFATFDANSYEGNPYLCGLPLPKNCSSASESSSLPRVSAMDEENGFLDMYIFYVSFIVSYTFVLLGIFLVLYINPQWRRAWFCLIEVCISSCMPKLFYHRNK >Manes.07G133124.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29613346:29618767:-1 gene:Manes.07G133124.v8.1 transcript:Manes.07G133124.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKVLSLSGCGLTGLLPNAQGLCELKDLQVLDVSNNDLQGTLPWCLVNLMSLQLLDLSSNQFTGNISQSPLKNLTSLVNLQLSNNHFQIPFSLGPFFHHSNLKHINGQNNDIYGETELGSFPRFQLNSILLSGCGNCGTFPNFLYHQHDLQRVDLSNITLRGSFPNWLLTNNTGLKALYLVNNSLSGHLELPFHPHVNLLVLDISNNSFHNHIPLEIGSYFPKLNILNMSKNGFDGSIPSSFGNINSLEILDLSDNQLSGIIPELLAIGCFSLNTLILSNNNLQGHIFSEHFNLTNLWWLQLEGNNFSGSIPDCLSKSSLSILDLGDNHLSGRIPGWIGNLSYLKDLIMSNNHLEGPIPLEFCQLQYLEVLDLANNNVSGILPSCFSPSSLIHVHLSDNGLEGPMTNALSNSRFLRTLDLSNNHITGRIPNWIGGLPALSFLLLKNNNFDGEIPYDMCHQFYELSLMDLSHNNLSGPIPPCLPPEPSAVPDLQFSESSNNWSIFQPERSLNFTTKKHSYSYKGKILWLMSGIDLSSNKLTGKIPSELGYLNMTRALNLSHNGFTGPIPSTFSNLEQIESLDLSYNNLNGKIPFQLLELNFLSFFRVAYNNLSGMTPERSKQFATFDANSYEGNPYLCGLPLPKNCSSASESSSLPRVSAMDEENGFLDMYIFYVSFIVSYTFVLLGIFLVLYINPQWRRAWFCLIEVCISSCMPKLFYHRNK >Manes.07G133124.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29613347:29618767:-1 gene:Manes.07G133124.v8.1 transcript:Manes.07G133124.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKVLSLSGCGLTGLLPNAQGLCELKDLQVLDVSNNDLQGTLPWCLVNLMSLQLLDLSSNQFTGNISQSPLKNLTSLVNLQLSNNHFQIPFSLGPFFHHSNLKHINGQNNDIYGETELGSFPRFQLNSILLSGCGNCGTFPNFLYHQHDLQRVDLSNITLRGSFPNWLLTNNTGLKALYLVNNSLSGHLELPFHPHVNLLVLDISNNSFHNHIPLEIGSYFPKLNILNMSKNGFDGSIPSSFGNINSLEILDLSDNQLSGIIPELLAIGCFSLNTLILSNNNLQGHIFSEHFNLTNLWWLQLEGNNFSGSIPDCLSKSSLSILDLGDNHLSGRIPGWIGNLSYLKDLIMSNNHLEGPIPLEFCQLQYLEVLDLANNNVSGILPSCFSPSSLIHVHLSDNGLEGPMTNALSNSRFLRTLDLSNNHITGRIPNWIGGLPALSFLLLKNNNFDGEIPYDMCHQFYELSLMDLSHNNLSGPIPPCLPPEPSAVPDLQFSESSNNWSIFQPERSLNFTTKKHSYSYKGKILWLMSGIDLSSNKLTGKIPSELGYLNMTRALNLSHNGFTGPIPSTFSNLEQIESLDLSYNNLNGKIPFQLLELNFLSFFRVAYNNLSGMTPERSKQFATFDANSYEGNPYLCGLPLPKNCSSASESSSLPRVSAMDEENGFLDMYIFYVSFIVSYTFVLLGIFLVLYINPQWRRAWFCLIEVCISSCMPKLFYHRNK >Manes.07G133124.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29613347:29618767:-1 gene:Manes.07G133124.v8.1 transcript:Manes.07G133124.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWVWMGVAIILAEMWLCDGCLEQERIALVQLKSFFQSPLTLQNWVDAEESSDCCQWERVECSRTTGRVTQLSLNDTRAYWISGIWSLNASLFLPFEELTSLFLKGNSIMGCVENEGFERLSSRLNNLQVLDLSYNFFNESLLSSLTGFSSLKSLNLGYNQFTTPIQPQELPNFENLEDLYLDKITLNSSFLQTVRVMTSLKVLSLSGCGLTGLLPNAQGLCELKDLQVLDVSNNDLQGTLPWCLVNLMSLQLLDLSSNQFTGNISQSPLKNLTSLVNLQLSNNHFQIPFSLGPFFHHSNLKHINGQNNDIYGETELGSFPRFQLNSILLSGCGNCGTFPNFLYHQHDLQRVDLSNITLRGSFPNWLLTNNTGLKALYLVNNSLSGHLELPFHPHVNLLVLDISNNSFHNHIPLEIGSYFPKLNILNMSKNGFDGSIPSSFGNINSLEILDLSDNQLSGIIPELLAIGCFSLNTLILSNNNLQGHIFSEHFNLTNLWWLQLEGNNFSGSIPDCLSKSSLSILDLGDNHLSGRIPGWIGNLSYLKDLIMSNNHLEGPIPLEFCQLQYLEVLDLANNNVSGILPSCFSPSSLIHVHLSDNGLEGPMTNALSNSRFLRTLDLSNNHITGRIPNWIGGLPALSFLLLKNNNFDGEIPYDMCHQFYELSLMDLSHNNLSGPIPPCLPPEPSAVPDLQFSESSNNWSIFQPERSLNFTTKKHSYSYKGKILWLMSGIDLSSNKLTGKIPSELGYLNMTRALNLSHNGFTGPIPSTFSNLEQIESLDLSYNNLNGKIPFQLLELNFLSFFRVAYNNLSGMTPERSKQFATFDANSYEGNPYLCGLPLPKNCSSASESSSLPRVSAMDEENGFLDMYIFYVSFIVSYTFVLLGIFLVLYINPQWRRAWFCLIEVCISSCMPKLFYHRNK >Manes.07G133124.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29613347:29618767:-1 gene:Manes.07G133124.v8.1 transcript:Manes.07G133124.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKVLSLSGCGLTGLLPNAQGLCELKDLQVLDVSNNDLQGTLPWCLVNLMSLQLLDLSSNQFTGNISQSPLKNLTSLVNLQLSNNHFQIPFSLGPFFHHSNLKHINGQNNDIYGETELGSFPRFQLNSILLSGCGNCGTFPNFLYHQHDLQRVDLSNITLRGSFPNWLLTNNTGLKALYLVNNSLSGHLELPFHPHVNLLVLDISNNSFHNHIPLEIGSYFPKLNILNMSKNGFDGSIPSSFGNINSLEILDLSDNQLSGIIPELLAIGCFSLNTLILSNNNLQGHIFSEHFNLTNLWWLQLEGNNFSGSIPDCLSKSSLSILDLGDNHLSGRIPGWIGNLSYLKDLIMSNNHLEGPIPLEFCQLQYLEVLDLANNNVSGILPSCFSPSSLIHVHLSDNGLEGPMTNALSNSRFLRTLDLSNNHITGRIPNWIGGLPALSFLLLKNNNFDGEIPYDMCHQFYELSLMDLSHNNLSGPIPPCLPPEPSAVPDLQFSESSNNWSIFQPERSLNFTTKKHSYSYKGKILWLMSGIDLSSNKLTGKIPSELGYLNMTRALNLSHNGFTGPIPSTFSNLEQIESLDLSYNNLNGKIPFQLLELNFLSFFRVAYNNLSGMTPERSKQFATFDANSYEGNPYLCGLPLPKNCSSASESSSLPRVSAMDEENGFLDMYIFYVSFIVSYTFVLLGIFLVLYINPQWRRAWFCLIEVCISSCMPKLFYHRNK >Manes.04G139900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33917527:33918904:1 gene:Manes.04G139900.v8.1 transcript:Manes.04G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPSTKPATNGDTTAATTKPSFPATKAQLYGAARPAYRPQPHRKRSRRSCCCACCLWLTLIIFTLLLLAAIAGAIIYVLYHPQRPTFSVSGLKISSLNLTSSSHLTTNINLNITTRNPNKKLVYIYSPVTISLTTAKDGIQIGNGLLPSFEHGTKNTTFLKAAIRSSDQQLDDTSASQLKTDFKSKNGLALKIELETKVKVKMGGLKTPRVGIKISCEGIKTIIPNGKSATTASVSKAKCKVDLKIKIWKWTF >Manes.14G103500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8678328:8682577:-1 gene:Manes.14G103500.v8.1 transcript:Manes.14G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVINRIGDFDARITSLQNPSFLSQIFALSGVEKIHHAYSFCKWGALILALLASFSPIIYRIKILILRIRNHYLIISQPPLITDDDFFYSSETDTSCSSLSEDEDQEEEYEPASSSSQSWRSIDEDFSVKGSGHYADNQLHNHNLRRRRNSSLEDLFSSLSDFTNGKSVVNLWDNLGFGLGLNVDKQLRNCISAYDMNTELNVFSVVGKKSEIPTVTTSSSSPAVIVSAETNVTGNLLRVWDTRVGGWIPEILAEWRPRLGKFVGISADGGKKIYVRDDISGRLTVGDMRKVSSPLANAMESHVDTWWDADAVIVAKEESVDELSGSDSLLRRG >Manes.10G063400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8959696:8965290:-1 gene:Manes.10G063400.v8.1 transcript:Manes.10G063400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYGYHGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETRPSNAISIIETDCEVDFAPPLDYKEPEKPAVSIPKNKATSQVEEDPAETEPKFSPFTGVGRRLDGKPLKPQPGPVPLQGSKDKEPAVANGRGQHSVGSSSENTSRQSQGKLVFGSNVSRASKETQKEAGKEVKQEQSEKKEEPKFQPFTGKKYSLKG >Manes.10G063400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8959696:8965290:-1 gene:Manes.10G063400.v8.1 transcript:Manes.10G063400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETRPSNAISIIETDCEVDFAPPLDYKEPEKPAVSIPKNKATSQVEEDPAETEPKFSPFTGVGRRLDGKPLKPQPGPVPLQGSKDKEPAVANGRGQHSVGSSSENTSRQSQGKLVFGSNVSRASKETQKEAGKEVKQEQSEKKEEPKFQPFTGKKYSLKG >Manes.10G063400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8959696:8965290:-1 gene:Manes.10G063400.v8.1 transcript:Manes.10G063400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYGYHGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETRPSNAISIIETDCEVDFAPPLDYKEPEKPAVSIPKNKATSQVEEDPAETEPKFSPFTGVGRRLDGKPLKPQPGPVPLQGSKDKEPAVANGRGQHSVGSSSENTSRQSQGKLVFGSNVSRASKETQKEAGKEVKQEQSEKKEEPKFQPFTGKKYSLKG >Manes.10G063400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8959696:8965290:-1 gene:Manes.10G063400.v8.1 transcript:Manes.10G063400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFDGYGYHGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETRPSNAISIIETDCEVDFAPPLDYKEPEKPAVSIPKNKATSQVEEDPAETEPKFSPFTGVGRRLDGKPLKPQPGPVPLQGSKDKEPAVANGRGQHSVGSSSENTSRQSQGKLVFGSNVSRASKETQKEAGKEVKQEQSEKKEEPKFQPFTGKKYSLKG >Manes.14G173833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28812716:28816141:1 gene:Manes.14G173833.v8.1 transcript:Manes.14G173833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSSMESDPSEWESEPFPLARSGGEDRARDPERSVEVCREVMVQRRDVSLQVNMDEESMEKSKDSKSSSSGEVDPSMLSTATKRGRKWGRARAPKQWGKTRKGRLWKRFRLDAEDGSSFGRGPTRCLRCGRLHRGPCRVGTTACFRCGQEGHFARDCPTAPRRVWSQQRAAGDVAQASVPGRGADTSNAVMPVLQGQSMSSQSKSEELWDRRGSSMGCHVWL >Manes.03G138812.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26589477:26592939:1 gene:Manes.03G138812.v8.1 transcript:Manes.03G138812.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVMKTHDIIFAYRPNLLAGRIMSYDSTNIAFSPYGNYWRQLRKICMIELLSPNRVQSFRSIREDEVETLIKTISSSAGSPVNLGEKVFSMIYSITARAAFGEKCKDQEQFMSLILRSSALAGGFCLGDMYPSVKALQVISGMKPKLEKLHQEMDKILDNILKEHREEKLAAKTGDEEGREDLVDILLRFQEKGDLEFSLADNNIKAVIL >Manes.08G144511.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38644455:38644691:1 gene:Manes.08G144511.v8.1 transcript:Manes.08G144511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSNLVVTIANVSANLCQYIACNPERLSSDQVLNLLFCFPLQRLGRLALSLWTYLCYNPNPANLSDFDFDGDSHSD >Manes.15G052450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4038931:4039845:-1 gene:Manes.15G052450.v8.1 transcript:Manes.15G052450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIARCVMLALLLCQFLLHASVLADHVSGDVKDDKHLFFHRPLWKGGGLGGGGGAGFGGGVGGGGGLGGGGGLGGGGGLGGGGGGGLGGGGGLGGGIGHGGGIGGGHGGGLGGGIGHGGGLGGGAGGGLGGGHGGGLGGGGGLGGGHGGGLGGGAGGGGGLGGGGGLGGGGGAGGGGGLGGGGGVGGGGGLGGGGGVGGGAGGGIGGGAGGGLGGGGGVGGGGGFGGGGGAGGGYGVGGGFGKGGGIGGGVGGGGGFGGGFGGGGGGGAGFGGGGGFGAGGGGGH >Manes.06G162100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28960428:28961381:-1 gene:Manes.06G162100.v8.1 transcript:Manes.06G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFSLSPCPSANQEPLCFIFPHFFVLLKCRGEFLKMVSSSNWGWWLIGVGSVSFVGFLYAAIISKLLPLSDNPIISAIQNDRYYCFLVPLTLPILFVAVYFHWLSMKLFKHA >Manes.06G162100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28959238:28961500:-1 gene:Manes.06G162100.v8.1 transcript:Manes.06G162100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFSLSPCPSANQEPLCFIFPHFFVLLKCRGEFLKMVSSSNWGWWLIGVGSVSFVGFLYAAIISKLLPLSDNPIISAIQNDRYYCFLVPLTLPILFVAVYFHWLSMKLFKHA >Manes.03G019000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1599416:1603645:-1 gene:Manes.03G019000.v8.1 transcript:Manes.03G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVEEEEEHSISSWRDKKRRKEVSLSCMLNTELSAVLAVIRRPQDSSGSMIPQEDTFDVGILHSLKSLRALIFNPQQEWRTVDPSVYISPFLDVIQSDDIPAMATNVALAAIAKILKLHIFDEKTPGAKDAINSVVMGITSCRLERTDTVTEDAIMMRVLQALTSIIKHRASVLLTDQAICTIVNTCFQVVQKSTNRADLLQRGARFAMREMIEIIFARLQNVEVRIGEDSESDTEDIDIDSTMDSGYGIRCVIDIFHFLCSLLNVVEVVEIDGVPSHAVDENVQIFGLVLINSAIELSGDVIGKHPKLLRMIQDDLFHHLIHYGTSASPLMLSMICSTVLNFYHSLRRFLRVQLEAFFEFVLLKAAAAASSYQLQEVALEGIINFCRQPCFIIEMYVNYDCDPTCRNIFEGIGKLLCKLSFPGSSPLSCMQVQAFEGLLIIIHNIADHVDREDDSSPSGPYPVEITEYKPFWEEKPREYSDSWVEYLRFRKAQKKKIMIAGDHFSRDEKKGLEYLKLCQLISDPPDPKAFAIFFRHTPGLDKNSIGDYLGDPDDFHMQVLKDFTETFMFSGMILDTALRTYLATFRLPGESQKIQRILEAFSERFYDQQSSDTFASKDAVFILCYSLIMLNTDQHNPQVKKKMTEEEFIRNNRAINGGQDLPREYLTELFQSIATNAITLFGQSGPVEMNPGTWVELMNRSKFIQPFILCDYDRRLGRDMFACVAGPSVAALSSFFEHTDDDEMLRECIGGLISVARVAQYDLEDILDELLASFSKFTTLLNPYASAEETLFAFSNDMKPRMATLAVFTIANNFGDSIRGGWRNIVDCLLKLKKLKLLPQSVIEFDETAASSSDGLRNKRNESGISSSCDSRVSTRRSAGMISRFSHFLSLESMEESISLGMGEFEQNLKVIKQCRIGGIFNNSCNMPDDALLNLGRSLIFAAGGKGQKFSNPVEEEETVGFCWDLIIAICLVNINRFHNIWPSFHENLLGVAQFPLFSPIPFAEKAILGLFKVCIRLLSSPRAEKLAEEALFKSINLMWKLDKEILDTCCEFIIKSISKIIIEYPANLQTNLGWKSCLHLLSVTGRHAETYEQGVYTLITLMSDGTYVSRINYAYCVDCAFGFVALKNSPLEKNLKILDLLSDSVKLLIQWYKEYSDSGSNFSAASSASNSSMDDSSKGFASPNFAMNFFIKLGEAFRKTSLARREEIRNHTILALQKSFALADELDFSPLNCINCFNLVIFAMVDDLHEKMVEYSRRDGAEKEMRSMEGTLKLAMELLASVYLQFLKPITMSPGFRTFWLGVLRRMDTCMKADLGDYGETKLQEVIPDLLRKIITKMKEEEILVPKEDDDLWDITYIQIQWIAPSLKEELFPDLEL >Manes.10G040500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4190783:4200201:-1 gene:Manes.10G040500.v8.1 transcript:Manes.10G040500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNLQKDSWKAELKEFSSKNGYDINRNGDSGPLLLDVLEGFLKFENLSQGRGTGRRISEAESLSTLDSRNVRRPSSSSVAGGLPPLGRPASSQSSDRRAGSSMSGYRKDEYNWRYDSDELPEDVIRASAALENLQLDRKARNLTTSWRHAGDGMSDDDGRVDHI >Manes.10G040500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4189633:4200201:-1 gene:Manes.10G040500.v8.1 transcript:Manes.10G040500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNLQKDSWKAELKEFSSKNGYDINRNGDSGPLLLDVLEGFLKFENLSQGRGTGRRISEAESLSTLDSRNVRRPSSSSVAGGLPPLGRPASSQSSGSSMSGYRKDEYNWRYDSDELPEDVIRASAALENLQLDRKARNLTTSWRHAGDGMSDDDGRVDHI >Manes.10G040500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4190801:4200201:-1 gene:Manes.10G040500.v8.1 transcript:Manes.10G040500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNLQKDSWKAELKEFSSKNGYDINRNGDSGPLLLDVLEGFLKFENLSQGRGTGRRISEAESLSTLDSRNVRRPSSSSVAGGLPPLGRPASSQSSGSSMSGYRKDEYNWRYDSDELPEDVIRASAALENLQLDRKARNLTTSWRHAGDGMSDDDGRVDHI >Manes.10G040500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4189633:4200201:-1 gene:Manes.10G040500.v8.1 transcript:Manes.10G040500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKEEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNLQKDSWKAELKEFSSKNGYDINRNGDSGPLLLDVLEGFLKFENLSQGRGTGRRISEAESLSTLDSRNVRRPSSSSVAGGLPPLGRPASSQSSDRRAGSSMSGYRKDEYNWRYDSDELPEDVIRASAALENLQLDRKARNLTTSWRHAGDGMSDDDGRVDHI >Manes.04G058950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:9956348:9958239:1 gene:Manes.04G058950.v8.1 transcript:Manes.04G058950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCCLLLLWSPRCFSHGTMPFVRAPIHGTPFLLFASPSCPLVVFPSETPIFFSQKILASCVVSGVVGLPFGFNGHIFSCMYLCSH >Manes.17G057200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25587076:25590187:-1 gene:Manes.17G057200.v8.1 transcript:Manes.17G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIQANANSNQALLLGRYEIGKLLGHGTFAKVYLARNVKTNESVAIKVIDKEKILKGGLIAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVSFCHARGVFHRDLKPENLLLDENGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVILFVLMAGYLPFNDQNIMAMYKKIYKGEFRCPRWFSPELVRLLWRLLDTNPETRGTMPQIMENKWFKKGFKHIKFFIEDDKVFSFETEGQLDDADSSSDQSLSESEPEMETRRRITSLPRPASLNAFDIISFSPGFNLSGLFEEGGEEGARIVSGAPVSKIISKLEEIAKLVSFTVRKKDYRVSLEGSREGAKGPLTIAAEIFELTPKLVVVEVKKKGGDQGEYEEFCSKELKPGLQMLTQEEPEIVATASSQSLPEHSHLFSATSDTE >Manes.09G076433.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13267433:13278062:-1 gene:Manes.09G076433.v8.1 transcript:Manes.09G076433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFVTCVRPQGSKIDGMTEHVRCCINGMRKRLIIFNISRMCS >Manes.09G082802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:21817991:21818104:-1 gene:Manes.09G082802.v8.1 transcript:Manes.09G082802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petG MIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >Manes.11G110100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25432756:25434048:1 gene:Manes.11G110100.v8.1 transcript:Manes.11G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGNKQASSSTKDLKGPRPTEDTPLIAKPKPLSSQSKTFANVFIAIVGAGVLGLPYSFKRTGWIMSLIMLFSVAALTNYCMMLLVHTRRKLQSFSRDLPEISSFGDLGFTICGSAGRLVVDIMIILSQAGFCVGYLIFIANTLVNLFNSSSSQSLTSQIMGLSAKSFYIWTCFPFQLGLNSIPSLTQLAPLSIFADIVDLGAMGVVMVEDVLLIIRNRPEVRAFGGLSVFFYGMGVAVYAFEGIGMVLPIESETREKEKFGRILGLSMAFISLLYGSFGVLGYFAFGNETKDIITANLGAGLISSLVQLGLCINLFFTFPLMMNPVYEIAERRFWGGRYCLWLRWVLVLAVSLVALLVPNFADFMSLVGSSVCCGLGFVLPALFHLLVFKEEMDLKGWCTDVGIVTVGVVLAVSGTWYALMEIFSVKAL >Manes.08G117090.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:19739261:19740574:-1 gene:Manes.08G117090.v8.1 transcript:Manes.08G117090.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKHSRKGWAGHFRRHFRRPKAPDRDESQAGSAAPSAAEPSLQRRKSGTFGGRTLPSAAESLLSSQNSTFGGKVRRPNYASLGRFGGRNHLRRPNLSSSRTQPLCISSLPNLPNTPKQASDFSNTCIHPQPCTRELRQA >Manes.03G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2139642:2142507:-1 gene:Manes.03G026500.v8.1 transcript:Manes.03G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPDTTAALLTEKPAGQKPQTQLYMHLLSLPSTIKNLDNLKTTQSSRPQPEIFPSVSDLISETKSLFKLAFPIALTSLILYSRSILSMLFLGHLGDIELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAQRTKLLSVTLHRSVIFLLVSSLPISLLWLNMSKILLYLHQDPKITRLSHTYLLFSLPDLLTNAFIHPIRIYLRAQGITHPLTLASLTGTVLHLPVNLLLVNHLKLGVSGVATAAAASNFFVLLSLVSYVWFFGLHEPTWTRPSRECFTGWKPLIKLAAPSCVSVCLEWWWYEIMIVLCGLLANPKSAVASMGILIQTTSLLYVFPSSLGFAVSTRVGNELGANRPDKAKLSAAVAVLISAIMGLTASTFTSGMRERWGRMFTRDVEILRLTSAALPILGLCELGNCPQTVGCGVLRGSARPSMAANVNLASFYLVGMPMAIGLGFRLGVGLYGLWLGLLSAQLCCAGLMLYVVGTTHWDLEARRAQMLTCIGCENNRKLLSDEG >Manes.01G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30848072:30855833:-1 gene:Manes.01G109600.v8.1 transcript:Manes.01G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGSNFEIEVKPEDTVADVKKNIEIVQGVDIYPAVQQMLIHQGKVLKDDTTLEENKVAENSFIVIMLSKSKVSSGGPSTASAAPPSQARPATSLPSSATQPSTTTQAPAPTSEPPQSAIESAPTVVSPVSSESDIYGQAASNLVAGSNLEATIQQILDMGGGSWDHETVVRALRAAYNNPERAVEYLYSGIPEQAEVQPVARVPASGQATNPPAQGEQPAVPTSAGPNANPLDLFPQGLPSMGSNASAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPMEGEGNPLNQLASAMPQAVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHIHEFEE >Manes.12G136800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34376877:34380670:-1 gene:Manes.12G136800.v8.1 transcript:Manes.12G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDFYNSSVTPGFSIIDPCREELMKALEPFMKSASSTSPSYTSPSPSASPSSSSSFSSYPSCSYDSPISSQPNFYSDFSSPSNYNQMGFEQTGSIGLNHLTPSQILQIQAQIHLQQQQQQRQIANLTTTSHLLHNQRLNYLAPKPVPMKQSASPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLRHQGAHVSGEFGDYKPLHSSVDAKLQAICQSLANSQKHGKTEEQSSADDSKKILPAPTQAKKQDDYSIKSELKKEFETFGVEDYKVDNSAASSDESLAGSSSPQSEISFLDFSDSSQWDETENFSLEKYPSVEIDWAAL >Manes.S047316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1493873:1494031:-1 gene:Manes.S047316.v8.1 transcript:Manes.S047316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.06G174400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29802654:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQSSHIENGFLSAQDSSVPTKETTTNLLIKEYFKKRHSSRVVLLLVVLLGTSMVIGDGILTPTMSVLSAVYGIQIKVPNLHENYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.06G174400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29802654:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQSSHIENGFLSAQDSSVPTKETTTNLLIKEYFKKRHSSRVVLLLVVLLGTSMVIGDGILTPTMSVLSAVYGIQIKVPNLHENYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.06G174400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29802654:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVRVVFLLLHNPLTCLHIPDYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.06G174400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29802654:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQESGSATRESRLKLYKTTLLLAYQSFGVVYGDLCTSPIYVYKSTFSGSLQLYEEDNEIFGVLSLVFWTLTIIPLCKYIIFVLGADDNGEGGTFALYSLLCRRSKMGFLQSSHIENGFLSAQDSSVPTKETTTNLLIKEYFKKRHSSRVVLLLVVLLGTSMVIGDGILTPTMSVLSAVYGIQIKVPNLHENYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.06G174400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29805241:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVRVVFLLLHNPLTCLHIPDYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.06G174400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29802654:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVRVVFLLLHNPLTCLHIPDYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.06G174400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29802654:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQESGSATRESRLKLYKTTLLLAYQSFGVVYGDLCTSPIYVYKSTFSGSLQLYEEDNEIFGVLSLVFWTLTIIPLCKYIIFVLGADDNGEGGTFALYSLLCRRSKMGFLQSSHIENGFLSAQDSSVPTKETTTNLLIKEYFKKRHSSRVVLLLVVLLGTSMVIGDGILTPTMSDYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.06G174400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29802654:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQESGSATRESRLKLYKTTLLLAYQSFGVVYGDLCTSPIYVYKSTFSGSLQLYEEDNEIFGVLSLVFWTLTIIPLCKYIIFVLGADDNGEGGTFALYSLLCRRSKMGFLQSSHIENGFLSAQDSSVPTKETTTNLLIKEYFKKRHSSRVVLLLVVLLGTSMVIGDGILTPTMSVLSAVYGIQIKVPNLHENYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.06G174400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29802654:29808550:1 gene:Manes.06G174400.v8.1 transcript:Manes.06G174400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLQSSHIENGFLSAQDSSVPTKETTTNLLIKEYFKKRHSSRVVLLLVVLLGTSMVIGDGILTPTMSDYTVAIACVVLVGLFALQHFGTHRVGFLFAPILLAWLLCLGGVGIYNIFHWNPGVINSLSPYYIYKFFQKAGKNGWRSLGGIFLCVTGAEAMFADLGHFSKLSLRIAFTVIVYPCLVLAYMGEAAYLSKHKDDLQSSFYKAVPEEIFWPVFLIATLATVVGSQAIISATFSLISQSRALGCFPRVKIVHTSNNIHGQIYIPEVNWLLMLLCLAVVSGFRDTAMIANAYGLAVVIVMFVTTLLMFLVISTVWNRNVLWAFLFVLVFGFVELCYFSACIANVDEGGWLSLVVSLLILTLMSTWHYGTSKKLAFELENKVSLDSLLTLGSNMGIARVPGICLVYSDVTSGAPPMFAHFVTNFPAFHQILIFVTLQSLMVPKVPECERFQIARIGPAEFSLFQCIVRYGYRDVRDSHDLETHLIENILRFLKCQGHSIEMAIREPTENGESGTRKVRFRINLEANNEVGEVMEAKEAGVAYMISKTSVRASGASSFVKKFAINIVYGFLRRNSRSPATALGIPPTSLIEVGMVYRV >Manes.15G176240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:21023198:21029830:1 gene:Manes.15G176240.v8.1 transcript:Manes.15G176240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKKSSMVKKKSNHIGSIRSIFIHADLVDWLLMVLGFIGSVGDGFSTPLVLFVTSKLMNNIGGASSSQSDFSHNINKNALALCYVACGQWVVCFLEGYCWTRTGERQATRMRARYLKAVLRQEVGYFDLHVTSTEEVITSVSNDSLVIQDVLSEKVPNFLMNASMFFGCYLVGFLMLWRLAIVGFPFIIILVIPGLMYGRTLMGLARKIREEYKKAGTIAEQAISSIRTVYAFVGESKTIEAYSTALDFSVKLGLRQGLAKGLAIGSNGVVFAIWSFMSYYGSRMVMYHNARGGTVFAVGAAIAVGGLALGAGLSNVKYFSEACTAGERIMEVIRRVPKIDLENMEGEVLENVRGEVEFKHAEFAYPSRPESIIFKDFSLKIPAGRTVALVGSSGSGKSTAIALLQRFYDPLGGEILLDGVAIDKLQLKWLRSQMGLVSQEPALFATSIKENILFGKEDATLEEVIEAAKASNAHNFICQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERIVQEALDKAAVGRTTIVIAHRLSTIRNADIIAVVQNGQVMETGSHDELMEIEDGLYTTLVRLQEREKDITNEDDQCYIPSSSLISKIDMNNTSSRRLSMVSRSSSANSMAPSRASVTGEDIQLEEQNFPVPSFRRLLALNLPEWKQAGFGCLGAILFGGVQPLYAFAMGSMISIYFYTDHDEIKKQIRIYALCFLGLAIFSLIINIVQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDENSSGAICSRLAKDANVVRSLVGDRMALVVQTVSAVTIACTMGIVIAWRLAIVMIAVQPIIIVCFYVRRVLLKSMSQKAIKAQDESSKLAAEAVSNLRTITAFSSQDRILRMLEKSQEGPQRESIRQSLFAGVGLGTSQSLMSCTWALDFWYGGRLISKGYISAKALFETFMVLVSTGRVIADAGSMTTDLAKGSDAVGSVFAVLDRYTKIEPDDPDGFKPETIMGHVELRDVDFAYPARPDVIIFKSFSIKIEAGKSTALVGQSGSGKSTIIGLIERFYDPIRGTVKIDGRDIKSYHLRSLRKYIALVSQEPTLFAGTIRENIVYGTSDKNDESEIIEAAKAANAHDFITGLKDGYDTWCGDRGVQLSGGQKQRIAIARAILKNPAVLLLDEATSALDGQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGQVVEQGTHSSLLAKGPTGAYFSLVSLQRTPHYSSNASSHAFN >Manes.14G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12289101:12292354:-1 gene:Manes.14G140100.v8.1 transcript:Manes.14G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTTTTAHSSIAAAKPTVSFTTPQNYATRLSHLLTLKSFTPLWCPTIITEPTLQTLSSLALHLAPSAISPVSAIVLPSRTAITAFSTAILSLTTPLLPPLGDTFIIGALGKDAELIDSKFLLSICSNIDRIKVLVPPTATPNGMVQSLGDGRGRSVMCLVPRVVGLEEPPVVANFLRDLQTAGWAPVRVDAYETRWLGPTCAEGIVEKSEEEGNGLDAIVFTSSAEVEGLLKSLREFGWDWRMVRRRWPDLVVAAHGPVTAAGAERLSVDVDVVSGRFESFAGVVDALHARNACVSHAKLMPDMRLQSFLQGI >Manes.10G030500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3116518:3118334:1 gene:Manes.10G030500.v8.1 transcript:Manes.10G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLDRNNKMGSPSFHELKRQASFFFKEKIKTARLALTDVTAAELLTEEATNGEFWAPDTRTMSVISRAAFEVDDYWRIVDILHNRLTKFDRKTWRVSYKTLLLLDHLLTHGPLRVADEFQDDKEVIEKMGNFQFVDEKGFNWGLSVRNLSARIVNLLENELFLKEERARTRKLTRGIQGFGSFTSRRSPSHDGSFKGLNFRPCRRCNSDNSAQINQEYEFLAPDDNLLTDKRIHKAEKIYEDVSSGLENSGEIREDEYPVEDHPFCYSDHHTSESLLSTLE >Manes.11G028400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2739748:2742356:-1 gene:Manes.11G028400.v8.1 transcript:Manes.11G028400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLEIGNVPFNPDGWGPPDATTGAAATTTLPLNVPFAPFSRSEKLGRIADWTRTANNPNANRPNSKTASDSVFDFTADDSFPAATAAGDDSTFRLVDGKPPPRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQPRREAAAFKSSVDIQPEWNMLDQIPFSTFSKLSFTVPEPEDLLLCGGLEFYDRSYDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDMNSAYSLSVEAAYINQNFSQQVLIRDGNKVAFDEPNPFANEGEEVASVAYRYRRWKLDDDMHLVARCEVQSVVEVNKQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKLGYVSRVHPRDHYNHVILAVVGYKPRDFAAQINLNTSNMWGIVKSIVDLCMKLKEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQVQPPGEDTENVEANGAVNDVEDKKIDAQA >Manes.11G028400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2739748:2742349:-1 gene:Manes.11G028400.v8.1 transcript:Manes.11G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLEIGNVPFNPDGWGPPDATTGAAATTTLPLNVPFAPFSRSEKLGRIADWTRTANNPNANRPNSKTASDSVFDFTADDSFPAATAAGDDSTFRLVDGKPPPRPKFGPKWRFNQHRPQLPQRRDEEVEARKREAEKERARRDRLYNLNRSNQNQPRREAAAFKSSVDIQPEWNMLDQIPFSTFSKLSFTVPEPEDLLLCGGLEFYDRSYDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDMNSAYSLSVEAAYINQNFSQQVLIRDGNKVAFDEPNPFANEGEEVASVAYRYRRWKLDDDMHLVARCEVQSVVEVNKQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKLGYVSRVHPRDHYNHVILAVVGYKPRDFAAQINLNTSNMWGIVKSIVDLCMKLKEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQVQPPGEDTENVEANGAVNDVEDKKIDAQA >Manes.13G147400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343053:36349984:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36347037:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343049:36349984:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36349927:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36348967:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLFDEIGGDSRSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36349056:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36348967:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLFDEIGGDSRSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36348967:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36349927:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343053:36349984:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36349238:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRCLTTALIMTRSYSRT >Manes.13G147400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36347037:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36349056:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343056:36349984:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLTDSNNASYAHDPLFPWLLSIKKALDNKAFGGDLNNLLLDCIRTFKHNTQYRNDPRFLKIWLLYLEGSDDTEFVFKEMEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.13G147400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36343089:36348967:-1 gene:Manes.13G147400.v8.1 transcript:Manes.13G147400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKICSDHSLLYELYAGLLEAKENWQQAQMIYQKGILRKAKPLERLKGAHALFLDRMSHRVNGCSLQKIDGDESIGLVKNRVHPWSGSTMEELLKKINPQIMRYDGYHQRNKAYSGKVDLSSLGNASRNKIVKIGGKEYQIKGCAGEGGFAKVFRASVNSNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVVHGMDVYSDYSILVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDNLLVRYSRDDLSEDGFEDRTGSWGNQGLCLVDWGKGIDLHLFPDDIEFVGDCRTSGFRCIQMQENKPWRFQVDTYGLCAIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKKLLQNLRERFQDYFCSNRQMFKKLKDLLAKQRLSMCSS >Manes.05G171800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28644583:28646296:1 gene:Manes.05G171800.v8.1 transcript:Manes.05G171800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMLLRSIIGAACFRSPRFAAIFHHQLRHHLASRFIFTLSSPSFAAPSNRIPSDFRSSLAMAIGRVRYFSEDVTHIPVIQDPEIRNVFKDLMVASWYELYDNVKKALSKNTYDKAGQEVLKNVFRAAEAVEEFGGTLISMKMELDDSIGLSGEDVKPLSDDFANALRTVYQRYSAYLDAFGPDEGYLRKKAETELGSKMIYLKMRCSGLGSEWGKVLKL >Manes.18G145666.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:32031008:32032444:-1 gene:Manes.18G145666.v8.1 transcript:Manes.18G145666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKRVSITNSDNPSLQISPIKLDGTNYLAWSRSCLLFIKARGLQGYVTGNKKQLDESDPDFSQWDSDNCLVMTWLLNSMQPHISKSYLLIDTAAKIWKVLSLTYSKIGNDAQIYDIRNKIHGTKQGEMTISQFYSELCGLWQELDYYQDFQADCTGDAVKFRRMIEKERVYDFLARLNNKYDPIRVQVLGRNPFPSLEEAHAHIQQEESRRHAMLHTAPVEKAWLTTSLSTPQPPTSEKDHLHFDYCGKPRHTKETYWKLHGRPTRGRGGKRGTSRNQAKLAETVEELFKETTTTEFLSPNELQSLKRLLSHIDTSSSSGATSNFVKSGNASSFNNVPWIIDSGSNRHMTRSYKGFLNYSPSLTKDSVRIADGSFTPISGTGSVICTSNIKLSSVLHVPHFPVNLLSVSAITNALNCKIEFFPDHCVIQDLRTGKMIGNGRLHDGLCMMAYTCWRVIRLLRYLKPVLEKIRMSIGK >Manes.18G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2047046:2053540:-1 gene:Manes.18G020800.v8.1 transcript:Manes.18G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFVLSQRGDSIVFRDYRGEVPKGSAEIFFRKVKFWKEDEGGDAPPVFNVDGVNYFHVKVAGLLFVATTRVNVSPSLVLEFLQRNARVIKDYLGVLNEESLRKNFVLVYELLDEMIDFGYVQATSTEVLKSFIFSEPIMIDAACLPGLGPASFFVQGAKRMPGTAVTKSVVANESRGRNREEIFVDVIEKISVTFSASGYVLTSEIDGTIQMKSYLTGNPEIRLALNEELSIGRGGRTIHDYSSSSGAGTLILDNCNFHESVQLDSFDMDRTLSLIAPDGEFSVMNYRMTQEFRPPFHINALIEKLGSLKAEVIIKVRAEFPSSVTANTILLEMPLPAYTSRVSFELEPGAVGNTTDFKESSKRLIWGLKKIVGGSEHMLRAKLTFPQETHGNINKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSPTSNPYRWVRYVTQSNSYVARL >Manes.11G033500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3204722:3221732:1 gene:Manes.11G033500.v8.1 transcript:Manes.11G033500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSQLIKHIESYVDSSRSPTQQASSLDAIVSLLKNDAVTIGSLVKEMEMYLTVTDDILRARGILLLGEALNRLSSKPLDNATIHSLITFFTERLADWRALRGALVGCLALLRRKSIGIVTNIDAKAVAKSYLQNLQVQSLAQHDRKLCFELLECLLEHYPEAAASLGEDLIYGICEAIDGEKDPQCLMLTFHIVELLVQLFPDPSGPISSFAGDLFGILGCYFPIHFTHPKAEDIDVQRDDLSRALMLAFSSTPLFEPFAMPLLLEKLSSSLPSAKVDSLKYLSCCTLKFGADRIAKHAGAIWSSLKDAIYSSGEELIPTFNSEPTSNSDFQKNQIAAEALALLEKVIVQNTDLFLSMIIGDEEVNMIFNNITNYESCNAISLQSKQKLHMVGHILNVSAKASLSSCNRVFENFFPRLMEVLVLSVEKTSGACHSNDSCVNSSKISYGSLYLCVELLGACRDLFTTSENLTPQIISPNEKCCCLLQCYSTSLTRIFSSILATCTSGPSYDADMYLGVKGLQILATFPGGYLLMSKSTFDDVLMTFMSIITVDFNKTLLWKHALKALVNIGSFIHGCNESEQASYMDIVVDKIILLALSANNSMPWPLKLTAISSISTSGQKYMLKIVLWLEEMILTNLSEFYVEGHQKSAEIIIQLFECYSNELLPWIQKNEGLEEVLQFVVNIWNQIESCMAFSVRVHEKELIDVTMKFMKLAVACCSVESQNMIIHKAFSVLSSSPSLQLKESLSDISVQRERLAPTQETDKFSTRDEWILSLFASVIIAVRPQTHIPNTRTVLHLFITALLKGYVTAAQALGSLLNKLDLKSNGTSISGHCTLEEAIDIIFSSKSFDNVPLGGHGITNNGDEISFPNLCLGAASNGLQQINVIVGLAWVGKGLLMRGHEKAKNITMVFLKCFLLDSGIGTLPLKQVSENSCKQDVHHSVMKASADAFQILMTDSELCLNRKFHAIIRPLYKQRFYSSLMPILQPLINEADSSFSRSMLYRAFAHVIIDTPLSVVLNDAKKLIPLLLDGLALLCKDILDKDIMYGLLLVLSGILTHKNGKSIFIIIHFCLT >Manes.11G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3204722:3221732:1 gene:Manes.11G033500.v8.1 transcript:Manes.11G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSQLIKHIESYVDSSRSPTQQASSLDAIVSLLKNDAVTIGSLVKEMEMYLTVTDDILRARGILLLGEALNRLSSKPLDNATIHSLITFFTERLADWRALRGALVGCLALLRRKSIGIVTNIDAKAVAKSYLQNLQVQSLAQHDRKLCFELLECLLEHYPEAAASLGEDLIYGICEAIDGEKDPQCLMLTFHIVELLVQLFPDPSGPISSFAGDLFGILGCYFPIHFTHPKAEDIDVQRDDLSRALMLAFSSTPLFEPFAMPLLLEKLSSSLPSAKVDSLKYLSCCTLKFGADRIAKHAGAIWSSLKDAIYSSGEELIPTFNSEPTSNSDFQKNQIAAEALALLEKVIVQNTDLFLSMIIGDEEVNMIFNNITNYESCNAISLQSKQKLHMVGHILNVSAKASLSSCNRVFENFFPRLMEVLVLSVEKTSGACHSNDSCVNSSKISYGSLYLCVELLGACRDLFTTSENLTPQIISPNEKCCCLLQCYSTSLTRIFSSILATCTSGPSYDADMYLGVKGLQILATFPGGYLLMSKSTFDDVLMTFMSIITVDFNKTLLWKHALKALVNIGSFIHGCNESEQASYMDIVVDKIILLALSANNSMPWPLKLTAISSISTSGQKYMLKIVLWLEEMILTNLSEFYVEGHQKSAEIIIQLFECYSNELLPWIQKNEGLEEVLQFVVNIWNQIESCMAFSVRVHEKELIDVTMKFMKLAVACCSVESQNMIIHKAFSVLSSSPSLQLKESLSDISVQRERLAPTQETDKFSTRDEWILSLFASVIIAVRPQTHIPNTRTVLHLFITALLKGYVTAAQALGSLLNKLDLKSNGTSISGHCTLEEAIDIIFSSKSFDNVPLGGHGITNNGDEISFPNLCLGAASNGLQQINVIVGLAWVGKGLLMRGHEKAKNITMVFLKCFLLDSGIGTLPLKQVSENSCKQDVHHSVMKASADAFQILMTDSELCLNRKFHAIIRPLYKQRFYSSLMPILQPLINEADSSFSRSMLYRAFAHVIIDTPLSVVLNDAKKLIPLLLDGLALLCKDILDKDIMYGLLLVLSGILTHKNGKEAAIDNAHIIIKCLIELVEYPHMMLVRETAIQCLVAMSELPHTRIYPLRIQVLGAISKALDDPKRAVRQEAVRCRQAWASIASRSLHF >Manes.11G033500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3204722:3221732:1 gene:Manes.11G033500.v8.1 transcript:Manes.11G033500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSQLIKHIESYVDSSRSPTQQASSLDAIVSLLKNDAVTIGSLVKEMEMYLTVTDDILRARGILLLGEALNRLSSKPLDNATIHSLITFFTERLADWRALRGALVGCLALLRRKSIGIVTNIDAKAVAKSYLQNLQVQSLAQHDRKLCFELLECLLEHYPEAAASLGEDLIYGICEAIDGEKDPQCLMLTFHIVELLVQLFPDPSGPISSFAGDLFGILGCYFPIHFTHPKAEDIDVQRDDLSRALMLAFSSTPLFEPFAMPLLLEKLSSSLPSAKVDSLKYLSCCTLKFGADRIAKHAGAIWSSLKDAIYSSGEELIPTFNSEPTSNSDFQKNQIAAEALALLEKVIVQNTDLFLSMIIGDEEVNMIFNNITNYESCNAISLQSKQKLHMVGHILNVSAKASLSSCNRVFENFFPRLMEVLVLSVEKTSGACHSNDSCVNSSKISYGSLYLCVELLGACRDLFTTSENLTPQIISPNEKCCCLLQCYSTSLTRIFSSILATCTSGPSYDADMYLGVKGLQILATFPGGYLLMSKSTFDDVLMTFMSIITVDFNKTLLWKHALKALVNIGSFIHGCNESEQASYMDIVVDKIILLALSANNSMPWPLKLTAISSISTSGQKYMLKIVLWLEEMILTNLSEFYVEGHQKSAEIIIQLFECYSNELLPWIQKNEGLEEVLQFVVNIWNQIESCMAFSVRVHEKELIDVTMKFMKLAVACCSVESQNMIIHKAFSVLSSSPSLQLKESLSDISVQRERLAPTQETDKFSTRDEWILSLFASVIIAVRPQTHIPNTRTVLHLFITALLKGYVTAAQALGSLLNKLDLKSNGTSISGHCTLEEAIDIIFSSKSFDNVPLGGHGITNNGDEISFPNLCLGAASNGLQQINVIVGLAWVGKGLLMRGHEKAKNITMVFLKCFLLDSGIGTLPLKQVSENSCKQDVHHSVMKASADAFQILMTDSELCLNRKFHAIIRPLYKQRFYSSLMPILQPLINEADSSFSRSMLYRAFAHVIIDTPLSVVLNDAKKLIPLLLDGLALLCKDILDKDIMYGLLLVLSGILTHKNACPRDGNSMSCCHVGTASHKNLPFENPGIRSNF >Manes.01G071051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27200415:27203867:1 gene:Manes.01G071051.v8.1 transcript:Manes.01G071051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAGGGTAQWRNGSAPQKCIARGRRRTRKEWQTKRGVNRQFQVREAKRPNRSFSRQVSLETGFTALNIIENPRLKMRQGLFQEVDKQGDLSIFKTKATLNKKNSLMPGKKEKEMESQRFDGSNGHDDPVNEGVHAGRYFATPRGPELDQIKPSFPMATKFLHFTLFVNIALWLLAVTVLFSVSITYILKCIFYFVAVRREYFHSLRVNFFFAPWVLKIYGQWLSGGKRRLCKVANPSSRLSVVGNFLGAILAAKVGWIKAAKFLLSTSKALPKELHPVYSMFITAPSAASIAWETIYGEFDGLSRTCYFIGLFLYISLAWPYTFRLTTVSVATIKYAEQVPGVPSKVLALSLSFMSSKMVSLLFVSTFFHVFVWHTLFPNDLTNKKKQGQPRSYNLQKISWMTIINLILYQELIIL >Manes.16G042000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5887815:5890790:-1 gene:Manes.16G042000.v8.1 transcript:Manes.16G042000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPKPPFLLLLLLLFSTSTKAVNTTTAVSPTTSPVSSPTPRSTTASPVSAPTPRSATPSPISAPTTPSPSSHSTLDPKQLRALQSLDIPTSRDPCIQPSPHNSTTCDSASPFRHLISLHLSNCSSDVSFSYTALKSLSTLQSLTFTNCPITPIRFPSDLALSLHSFTCIHSLKRLTGVWLSHFVNLTDLTVSNVPVNASGLYVILGKMRKLRSLIITNANVTGYIPKHLHLNLTHVDLSGNKLKGRIPSSIPIMENLEMLNLSSNTLTGEIPTNFGDLISLKNVSLGSNSLSGSIPDSISAIPGLVHVDLSSNQFNGTIPRFLSEMKHLSLGLLAVINMDCQCRHHQLKIHHQEVTVKVIHQIMMIVQRMILATREEAIMVLIRLFLVWLLGYLLSSSSLFSLFFSQKGVVD >Manes.05G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8642960:8663993:-1 gene:Manes.05G094400.v8.1 transcript:Manes.05G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGWERVTSSRSRLSRDVSLTGRSSTTRTVRLGRVQPQAPSHRTIHCNDREANLPVRFQGNSISTTKYNFFTFVPKGLFEQFRRVANCYFLFISILSMTPISPVNPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMVINNSPVEVLQDQKWATVPWKKLQVGDIVRVKQDGFFPADLLFLASTNPDGVCYIETANLDGETNLKIRKALERTWDYLTPEKAAEFKGEVQCEQPNNSLYTFTGNLVIQKQTLPLTPNQLLLRGCSLRNTEYIVGTVIFSGHETKVMMNSMNVPSKRSTLERKLDKLILTLFGSLFFMCLIGAIASGIFINRKYYYLGLDEGAPTEFNPNNQFAVAALTLFTLITLYSTIIPISLYVSIEMIKFIQCTQFINKDLHMYHAETNTAALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGCGITEIEQGGALRSGMQVHEVHKSVSAIHEKGFNFDDSRLMRGAWRNEPDPDACKEFFRCLAICHTVLPEGDESPEKITYQAASPDEAALVTAAKNFGFFFYRRTPTTIYVRESHVDKMGKIQDVGYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLADGNDDLKKITRGHLEQFGSAGLRTLCLAYRDLSPEIYESWNEKFIQAKSSLRDREKKLDEVAELIEKELILIGTTAIEDKLQEGVPDCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNQMKQFIISSETDAIREVEDRGDQVEIARFIKEEVKKELKKCLEEAQQCLSTVSGPKLALVIDGKCLMYALDPSLRVMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLADLLLVHGRWSYLRICKVITYFFYKNLTFTLTQFWFTFHTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPALYKEGIRNVFFKWRVVGTWACFSVYQSLIFYHFVTISSAGGKNSSGKIFGLWDVSTMAFTCVVITVNLRLLMICNSITRWHYISVGGSILAWFIFIFVYSIFRENVFFVIYVLMGTFYFYVTVLLVPIVALLGDFIYQGVQTWFFPYDYQIVQEIHRHEPDDNSRAGLIEIENRLTPQEERSYAIAQLPREISKHTGFAFDSPGYESFFASQLGVYAPQKAWDVARRASAKSRTKTPKKN >Manes.05G094400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8642960:8663993:-1 gene:Manes.05G094400.v8.1 transcript:Manes.05G094400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINNSPVEVLQDQKWATVPWKKLQVGDIVRVKQDGFFPADLLFLASTNPDGVCYIETANLDGETNLKIRKALERTWDYLTPEKAAEFKGEVQCEQPNNSLYTFTGNLVIQKQTLPLTPNQLLLRGCSLRNTEYIVGTVIFSGHETKVMMNSMNVPSKRSTLERKLDKLILTLFGSLFFMCLIGAIASGIFINRKYYYLGLDEGAPTEFNPNNQFAVAALTLFTLITLYSTIIPISLYVSIEMIKFIQCTQFINKDLHMYHAETNTAALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGCGITEIEQGGALRSGMQVHEVHKSVSAIHEKGFNFDDSRLMRGAWRNEPDPDACKEFFRCLAICHTVLPEGDESPEKITYQAASPDEAALVTAAKNFGFFFYRRTPTTIYVRESHVDKMGKIQDVGYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLADGNDDLKKITRGHLEQFGSAGLRTLCLAYRDLSPEIYESWNEKFIQAKSSLRDREKKLDEVAELIEKELILIGTTAIEDKLQEGVPDCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNQMKQFIISSETDAIREVEDRGDQVEIARFIKEEVKKELKKCLEEAQQCLSTVSGPKLALVIDGKCLMYALDPSLRVMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLADLLLVHGRWSYLRICKVITYFFYKNLTFTLTQFWFTFHTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPALYKEGIRNVFFKWRVVGTWACFSVYQSLIFYHFVTISSAGGKNSSGKIFGLWDVSTMAFTCVVITVNLRLLMICNSITRWHYISVGGSILAWFIFIFVYSIFRENVFFVIYVLMGTFYFYVTVLLVPIVALLGDFIYQGVQTWFFPYDYQIVQEIHRHEPDDNSRAGLIEIENRLTPQEERSYAIAQLPREISKHTGFAFDSPGYESFFASQLGVYAPQKAWDVARRASAKSRTKTPKKN >Manes.10G083250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21520934:21521797:1 gene:Manes.10G083250.v8.1 transcript:Manes.10G083250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMGELTFFLGLQIKQVAEGIFINQSKYIKNMPKKFKMNELKGIETPINSNIKLNRDEKGKEVDEKLYRGMIGSLLYLFASRPDIYFSACLCIRFQSNPKESHLVAIKRTFRYLISILYVGLWYPNDSDFAGSRMDIKRTSGTCQFLDHALVFWFSKKQTSVTLSTTKVEYIVAGSCVAQILWMKQQLNDYDIKVYHVPIKCDNTSAINLTKNLVQHSRTRHIEIRYHFIRDHVQNYDIDLEFVLTEKQLTDIFTKPLSEEVFCRIRRKLEMIDLK >Manes.03G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28252354:28261873:1 gene:Manes.03G154900.v8.1 transcript:Manes.03G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEEFQANLESLPNILQKKYALLRDLDKSLQEIQRQNEQRCEQEIDDIKQGVKAGNITPDTSLIRFSDEALDEQKHSIRIADEKVALAVQAYDLVDTHIQQLDQYLKKFDEELRRERESAAATALPGSSVDGSAKTGSGRGGRKKTRLATATAATEAATAATSAHPTGMELDCPVDPNEPTYCFCQQVSYGEMVACDNPHCTIEWFHFGCVGLKEQPKGKWYCSDCAAVKNRRKGR >Manes.09G025388.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5111767:5115322:1 gene:Manes.09G025388.v8.1 transcript:Manes.09G025388.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNSRIEIWLENLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIELLQHVDGEKVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALKGRKYLLILDDVWSEDPRKWHELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHGDCLSLFFKFAFKEHEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYELNDIELVYLWMANGLVQSSNENQELEDVGFRYLKELCSRCFFQDFSEHYGNVFCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFVNERREGISSEMFIKTCCSRFQYLRVLDLTYSSFEELPASIGNLKHLKYLSLWMNYNIKRLPNSICKLQSLQILLLNRCLNLQELPKDIRCMINLRFLGITMSRKYLSTGGIGCLKSLRFLFITECYNLEYLFEDMQGLKKLRRLAISDCESLISLPQSIKCLTTLDTLCIAGCDNLELRMEEGEETQFSLQRLELGWLPKIVDFPEWLIRGSTNSLKVLKVEECNNLRELPNCLQNMASHPEIQIIRCPKLNNNPLQKAEEAGPSTSLS >Manes.09G025388.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5111767:5115322:1 gene:Manes.09G025388.v8.1 transcript:Manes.09G025388.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNSRIEIWLENLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIELLQHVDGEKVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALKGRKYLLILDDVWSEDPRKWHELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHGDCLSLFFKFAFKEHEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYELNDIELVYLWMANGLVQSSNENQELEDVGFRYLKELCSRCFFQDFSEHYGNVFCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFVNERREGISSEMFIKTCCSRFQYLRVLDLTYSSFEELPASIGNLKHLKYLSLWMNYNIKRLPNSICKLQSLQILLLNRCLNLQELPKDIRCMINLRFLGITMSRKYLSTGGIGCLKSLRFLFITECYNLEYLFEDMQGLKKLRRLAISDCESLISLPQSIKCLTTLDTLCIAGCDNLELRMEEGEETQFSLQRLELGWLPKIVDFPEWLIRGSTNSLKVLKVEECNNLRELPNCLQNMASHPEIQIIRCPKLNNNPLQKAEAGPSTSLS >Manes.09G025388.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5111767:5115322:1 gene:Manes.09G025388.v8.1 transcript:Manes.09G025388.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNSRIEIWLENLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIELLQHVDGEKVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALKGRKYLLILDDVWSEDPRKWHELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHGDCLSLFFKFAFKEHEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYELNDIELVYLWMANGLVQSSNENQELEDVGFRYLKELCSRCFFQDFSEHYGNVFCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFVNERREGISSEMFIKTCCSRFQYLRVLDLTYSSFEELPASIGNLKHLKYLSLWMNYNIKRLPNSICKLQSLQILLLNRCLNLQELPKDIRCMINLRFLGITMSRKYLSTGGIGCLKSLRFLFITECYNLEYLFEDMQGLKKLRRLAISDCESLISLPQSIKCLTTLDTLCIAGCDNLELRMEEGEETQFSLQRLELGWLPKIVDFPEWLIRGSTNSLKVLKVEECNNLRELPNCLQNMASHPEIQIIRCPKLNNNPLQKAEEAGPSTSLS >Manes.09G025388.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5111767:5115435:1 gene:Manes.09G025388.v8.1 transcript:Manes.09G025388.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNSRIEIWLENLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIELLQHVDGEKVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALKGRKYLLILDDVWSEDPRKWHELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHGDCLSLFFKFAFKEHEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYELNDIELVYLWMANGLVQSSNENQELEDVGFRYLKELCSRCFFQDFSEHYGNVFCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFVNERREGISSEMFIKTCCSRFQYLRVLDLTYSSFEELPASIGNLKHLKYLSLWMNYNIKRLPNSICKLQSLQILLLNRCLNLQELPKDIRCMINLRFLGITMSRKYLSTGGIGCLKSLRFLFITECYNLEYLFEDMQGLKKLRRLAISDCESLISLPQSIKCLTTLDTLCIAGCDNLELRMEEGEETQFSLQRLELGWLPKIVDFPEWLIRGSTNSLKVLKVEECNNLRELPNCLQNMASHPEIQIIRCPKLNNNPLQKAEEAGPSTSLS >Manes.09G025388.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5111767:5115322:1 gene:Manes.09G025388.v8.1 transcript:Manes.09G025388.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNSRIEIWLENLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIELLQHVDGEKVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALKGRKYLLILDDVWSEDPRKWHELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHGDCLSLFFKFAFKEHEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYELNDIELVYLWMANGLVQSSNENQELEDVGFRYLKELCSRCFFQDFSEHYGNVFCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFVNERREGISSEMFIKTCCSRFQYLRVLDLTYSSFEELPASIGNLKHLKYLSLWMNYNIKRLPNSICKLQSLQILLLNRCLNLQELPKDIRCMINLRFLGITMSRKYLSTGGIGCLKSLRFLFITECYNLEYLFEDMQGLKKLRRLAISDCESLISLPQSIKCLTTLDTLCIAGCDNLELRMEEGEETQFSLQRLELGWLPKIVDFPEWLIRGSTNSLKVLKVEECNNLRELPNCLQNMASHPEIQIIRCPKLNNNPLQKAEAGPSTSLS >Manes.09G025388.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5111767:5115323:1 gene:Manes.09G025388.v8.1 transcript:Manes.09G025388.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNSRIEIWLENLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIELLQHVDGEKVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALKGRKYLLILDDVWSEDPRKWHELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHGDCLSLFFKFAFKEHEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYELNDIELVYLWMANGLVQSSNENQELEDVGFRYLKELCSRCFFQDFSEHYGNVFCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFVNERREGISSEMFIKTCCSRFQYLRVLDLTYSSFEELPASIGNLKHLKYLSLWMNYNIKRLPNSICKLQSLQILLLNRCLNLQELPKDIRCMINLRFLGITMSRKYLSTGGIGCLKSLRFLFITECYNLEYLFEDMQGLKKLRRLAISDCESLISLPQSIKCLTTLDTLCIAGCDNLELRMEEGEETQFSLQRLELGWLPKIVDFPEWLIRGSTNSLKVLKVEECNNLRELPNCLQNMASHPEIQIIRCPKLNNNPLQKAEAGPSTSLS >Manes.17G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25574587:25576030:1 gene:Manes.17G057000.v8.1 transcript:Manes.17G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNDDHNQSERCFLDWMKLQEVDLGELRQALTLKEKNESLLVQIVEKVFQHFQDYVDKRAQLAHNYVSLYFAPSWNSSLENSMLWLAGCRPSSFIRLLYALCGSEVNSHLTEYIEGRKRGDLGDLSSNQLNMVNNLQSKTVKQEEKLTSKLASLQEDLADEPISIIAQRTQTQITGELNEEVERALKDQDEGMMRVMKEADNLRLNTLKELLFGILTPVQAVEYLAASKKLHLCMHEWGKTRDQMHGGRSNY >Manes.07G068600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12858284:12871930:1 gene:Manes.07G068600.v8.1 transcript:Manes.07G068600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNELDDLDGPSQVPSRASKFAPKSSKFKPLAKAKPKPEPRSAENSSSKFEPKESVSAAKREPQNVWPTKNDDEEEIKPRVDATPKIEPLTSNNDVVKMEIDAKAEVRADSRLDDPMDEDKQEDDEDEEEDMIVREIDVFFTPSIDSDTQLYVMQYPLRPCWRPYELDDRCDEVRVKPQSGEVEVDLSIDDSTNWDAAQASKFNMKKQILSSSFMPPRATSYALGVLMGNKLYLNPIHAVVQLRPSLEHVNSSDSKRKNVAASTSEVGVKLEDSNEGKPIGPSKKQVKRMETTSDQISAAESWISLKYHGSKSDFSSRYLQKMMVQESSPMEFTMSPYEYMSSLCPPTSNDNTKSKGPLRRSLLSLPLEERMKKLLLEGPPVQRFSVLKHYAPDDTIADVLTVLQKHGQLVQGLWAPKTSLLFPDSNTKEVTKPPARDYVLLLFSKNLVIKSSQLNFPVKLKEDMRSFLSMFAVERPSFNDWKFKEHMDAKFIKLYPEIVKKQEEAWESIEKKLNNFFSRAGRSIMKNPVPKPSVVPNPVKQLNSDKSTTKSTSGNRVLAKTTITVETREALLKALPKVLQTHRVCSFQLICQGLRDLAISQSTLPKADPRIAVAAASGADAPPEELQEIISQVATNIHGSYVLKSSPDHPQYDPLRKVVIDLLLARGPDAKLKKAEVFEAARLALQRDITNIEYTKVMTDFCESKGSAWVLKSGDGKPS >Manes.07G068600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12858284:12871930:1 gene:Manes.07G068600.v8.1 transcript:Manes.07G068600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWMIDVMRVKPQSGEVEVDLSIDDSTNWDAAQASKFNMKKQILSSSFMPPRATSYALGVLMGNKLYLNPIHAVVQLRPSLEHVNSSDSKRKNVAASTSEVGVKLEDSNEGKPIGPSKKQVKRMETTSDQISAAESWISLKYHGSKSDFSSRYLQKMMVQESSPMEFTMSPYEYMSSLCPPTSNDNTKSKGPLRRSLLSLPLEERMKKLLLEGPPVQRFSVLKHYAPDDTIADVLTVLQKHGQLVQGLWAPKTSLLFPDSNTKEVTKPPARDYVLLLFSKNLVIKSSQLNFPVKLKEDMRSFLSMFAVERPSFNDWKFKEHMDAKFIKLYPEIVKKQEEAWESIEKKLNNFFSRAGRSIMKNPVPKPSVVPNPVKQLNSDKSTTKSTSGNRVLAKTTITVETREALLKALPKVLQTHRVCSFQLICQGLRDLAISQSTLPKADPRIAVAAASGADAPPEELQEIISQVATNIHGSYVLKSSPDHPQYDPLRKVVIDLLLARGPDAKLKKAEVFEAARLALQRDITNIEYTKVMTDFCESKGSAWVLKSGDGKPS >Manes.07G068600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12858274:12871930:1 gene:Manes.07G068600.v8.1 transcript:Manes.07G068600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNELDDLDGPSQVPSRASKFAPKSSKFKPLAKAKPKPEPRSAENSSSKFEPKESVSAAKREPQNVWPTKNDDEEEIKPRVDATPKIEPLTSNNDVVKMEIDAKAEVRADSRLDDPMDEDKQEDDEDEEEDMIVREIDVFFTPSIDSDTQLYVMQYPLRPCWRPYELDDRCDEVRVKPQSGEVEVDLSIDDSTNWDAAQASKFNMKKQILSSSFMPPRATSYALGVLMGNKLYLNPIHAVVQLRPSLEHVNSSDSKRKNVAASTSEVGVKLEDSNEGKPIGPSKKQVKRMETTSDQISAAESWISLKYHGSKSDFSSRYLQKMMVQESSPMEFTMSPYEYMSSLCPPTSNDNTKSKGPLRRSLLSLPLEERMKKLLLEGPPVQRFSVLKHYAPDDTIADVLTVLQKHGQLVQGLWAPKTSLLFPDSNTKEVTKPPARDYVLLLFSKNLVIKSSQLNFPVKLKEDMRSFLSMFAVERPSFNDWKFKEHMDAKFIKLYPEIVKKQEEAWESIEKKLNNFFSRAGRSIMKNPVPKPSVVPNPVKQLNSDKSTTKSTSGNRVLAKTTITVETREALLKALPKVLQTHRVCSFQLICQGLRDLAISQSTLPKADPRIAVAAASGADAPPEELQEIISQVATNIHGSYVLKSSPDHPQYDPLRKVVIDLLLARGPDAKLKKAEVFEAARLALQRDITNIEYTKVMTDFCESKGSAWVLKSGDGKPS >Manes.03G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1959277:1963974:1 gene:Manes.03G023900.v8.1 transcript:Manes.03G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSEEIKRLFRIRKTMLQMLKDRGYLVGDIEINMTREQFILKYGENMKREDLVINRAKSNDNTDQIYVFFPKEEKVGIKTLEIYTTRMKTENVCRAILVVQQNLSPFARKHIFENASKFHLEVFREAEVLFNIKEHVLVPEHQVLTDKEKKTILQRYSLKETQLPRIHVSDPISRYYGLQRGQVVKIIRPSIIGGRHITYRFVI >Manes.02G063850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4868615:4870504:-1 gene:Manes.02G063850.v8.1 transcript:Manes.02G063850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPRLVDSMQRSKLAVNSSSSAEKSREFTPAHFLTETVRPAEAQIGQQLHVDAEHSERVKEVLVVSGRMNSEQNTEPVVQQAQSTESLGSGKASSSQGPGFDLQEHIINTFGPDVSVVNNKNVSDILARSCVLDSDKKIFDKLEAVDLERTVQNLAFQMIAASALLRQNNEALILPLKLVPGSWRKLRKRRR >Manes.12G152800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36073064:36078508:1 gene:Manes.12G152800.v8.1 transcript:Manes.12G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPASTTSSSGAAAARRFIGSRRRTEATPLPSPPDSPPPKKLKLMSEILAKAKYAVVERADYNDLSCEQCGLGDLPDELLLCDKCDKGFHMKCVRPIVVRVPIGSWLCPKCSGQRRVRRLSQKKIIDFFRIQKGHRRKDKCSSPQDTRKRRRRSGPLVYQKKRRRLLPFIPSEDPGERLKQMGTLASALTALQMEFSDDLTYSPSMASRSANQAKLEEGGMQVLSKEDVDTLEQCRAMCRRGECPPLIVVFDSCEGFTVEADGQIKDMTFITEYTGDVDYIRNREHDDCDSMMTLLLAKDPSKSLVICPDKRGNIARFINGINNYTPDGKKKQNCKCVRYSVNGECRVFLVATRDISKGERLYYDYNGYEHEYPTQHFV >Manes.09G088700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25397942:25403629:1 gene:Manes.09G088700.v8.1 transcript:Manes.09G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKFVPLNVKLQNHLKQKLISTHTTQMGNSHGHRHNGRLSSETPASPPFIYQQSPQVPPPLNQTPNTMVTCLPYAHVDSSLRALACQAEGFGRLAIGGLHGALYKVTSLADDGPGSLRYGCRQKEPLWIVFEVSGTIHLRSYLSVSSYKTIDGRGQRVKLTGKGLRLKECEHVIVCNLELEGGKGPDADGIQIKPKSKHIWIDRCSLRDFDDGLIDITRESTDVTVSRCRFAQHNKTMLIGADPSHVTDRCIRVTIHHCFFDGTRQRHPRVRFAKVHIYNNYMRNWDVYAVCASVESQIYSQCNIFEAGNKKVAFKYHTEKAADKEEPGSGYIKSEGDLFIAGTQSGLKSGIGESCVFHPSEYYPTWTVEPPTEILKQVLQHFSGWQCVPRPPDTK >Manes.17G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22900463:22902204:-1 gene:Manes.17G033800.v8.1 transcript:Manes.17G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCDKNGLKKGPWTPEEDQKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHGIWGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILGSSLYNSSQMNISRFLGMQPVVNPELLQLASSIISTQRQNQNFVSQNGQENRLCDLSPQNQYHPVVQASQFQSQVQEMPTCTTLTTPFVPFSNETQLMDPNITDLCSQNSQVNHQEWQSDTGMASNLTDYMTTLPSLNDYYVSDQTAIMDPSSETSSAFISNNSNQQNFSFASVLSTPSSSPTPLNSNSTYISGSSSTEDERESYCSNMLKFEIQDILDVNFM >Manes.04G026800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3144667:3148397:-1 gene:Manes.04G026800.v8.1 transcript:Manes.04G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNYPKVSEEYQKAIDKARRKLRGFIAEKGCAPLMLRIAWHSAGTYDVKTNTGGPFGTMRHAAEQGHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEITGGPDIPFHPGREDKPEPPPEGRLPNATKGADHLREVFGKTMGLTDKDIVVLSGGHTLGRCHKERSGFEGPWTPNPLIFDNSFFQVLLDEPTEDLLQLPTDSVLVTDPVFRPYVEKYAADEEAFFADYAESHMKLSELGFAEA >Manes.04G026800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3144667:3148397:-1 gene:Manes.04G026800.v8.1 transcript:Manes.04G026800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNYPKVSEEYQKAIDKARRKLRGFIAEKGCAPLMLRIAWHSAGTYDVKTNTGGPFGTMRHAAEQGHAANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEITGGPDIPFHPGREDKPEPPPEGRLPNATKGADHLREVFGKTMGLTDKDIVVLSGGHTLGRCHKERSGFEGPWTPNPLIFDNSFFQVLLDEPTEDLLQLPTDSVLVTDPVFRPYVEKYAADEEAFFADYAESHMKLSELG >Manes.14G008060.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1863970:1874925:-1 gene:Manes.14G008060.v8.1 transcript:Manes.14G008060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLQASLLCKPSLSPTRYFPYRQRLHFSRHLYYSSLSLSNNIALFTFLSSRFRLFPLSISCTLHPENVNLNPELTSSGLNSNSDATESKVNEFGSGDDTAVSGLEGSRIDELGGESLGTESGEMHSKNAVENERSDGNLVQKQELNSKIPLLVFLLGLWATARRKLEKLVASDWFSWWPFWQQEKRLDRLIAEADANPKDAEKQSAVLAELNKHSPESVIKRFEQRDHAMDSKGVVEYLRALVVTNAIADYLPDEQSGKPSSLPALLQELKQRATANMDEPFLNPGISEKQPLHVMMVDPKVSSKSRFAQELISTILFTVAVGLVWLMGAAALQKYIGGLGGIGTSGVGSSSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPLANDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGAEKLTAAQLEFAKDRIIMGTERKTMFISEESKKLTAYHESGHAIVAFNTDGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGQDQITTGASSDLHTATELAHYMVSNCGMSDAIGPVHIKERPSSELQSRIDAEVVKLLREAYDRVKALLKKHEKALHALANALLEYETLSAEEIKRILLPYREGRQAQAEQQEEQQEEGELVLA >Manes.14G023100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2215170:2217923:1 gene:Manes.14G023100.v8.1 transcript:Manes.14G023100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSKLPNPSSQQACLLSVSASHLAGYSKSMASKFPFLHLAGAPLPKPEIQRSLFCSRQNAFGVGVSSTTTAPTLLTSSSCSLANNGNNNSSSQTFLSPRLYPKDPSSLRSVAVRSNLSFPLISPNDRWGTWTALFATGVFGIWSEKTKVGSALSGALVSTLVGLAASNLGIISSESPAYSNVLEFLLPLAVPLLLFRADLRRVIQSTGTLLSAFLLGSVATTVGTLLAYWIVPMRSLGQDSWKIAAALMGRHIGGAVNYVAIADALEVSSSVLASGLAADNVICAIYFTTLFALASKIPPESSTETNVEIESGSEPTNKLPVLQLGTSLAVSFAICKAGSYLSQSFGIQGGILPIVTAIVVILATAFPTQFNYLAPSGEALALILMQVFFTVVGASGNIWLVIKTAPSIFMFAFVQIVIHLLVILGLGKLFRFDLKLLLLASNANVGGPTTACGMATAKGWSSLVVPGILAGIFGIAIATFLGLAFGDKVLRFMCKI >Manes.14G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2215170:2217923:1 gene:Manes.14G023100.v8.1 transcript:Manes.14G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSKLPNPSSQQACLLSVSASHLAGYSKSMASKFPFLHLAGAPLPKPEIQRSLFCSRQNAFGVGVSSTTTAPTLLTSSSCSLANNGNNNSSSQTFLSPRLYPKDPSSLRSVAVRSNLSFPLISPNDRWGTWTALFATGVFGIWSEKTKVGSALSGALVSTLVGLAASNLGIISSESPAYSNVLEFLLPLAVPLLLFRADLRRVIQSTGTLLSAFLLGSVATTVGTLLAYWIVPMRSLGQDSWKIAAALMGRHIGGAVNYVAIADALEVSSSVLASGLAADNVICAIYFTTLFALASKIPPESSTETNEVEIESGSEPTNKLPVLQLGTSLAVSFAICKAGSYLSQSFGIQGGILPIVTAIVVILATAFPTQFNYLAPSGEALALILMQVFFTVVGASGNIWLVIKTAPSIFMFAFVQIVIHLLVILGLGKLFRFDLKLLLLASNANVGGPTTACGMATAKGWSSLVVPGILAGIFGIAIATFLGLAFGDKVLRFMCKI >Manes.05G087800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7929071:7930495:1 gene:Manes.05G087800.v8.1 transcript:Manes.05G087800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCPKTHLAYFPAPFPSLLVCLKLNSKILPSPYLSPIFLLFCTAMASSGSGTGSPCGACKFLRRKCASDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHVPVADRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVACLQAQLMQVKAQLAQNIADSSHNMENQWQGNIYGVPFPHHHQANYYNRMMNPISPQSSMESVEHGNDGIISMQDIQSNSQDFPFQACTKKRPYNPDLGELQALALRMMKN >Manes.01G118100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31480138:31483115:-1 gene:Manes.01G118100.v8.1 transcript:Manes.01G118100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNLNTHLFELNNGTIRVLLTNYGAIITSLFVPDKQGKLDDVVLGFDSVEEYKKGNAPYFGCIVGRVVNRIKDGKLSLNGVDYSLAVNNGPNSLHGGNKGFDKVVWDVVGQNSGEQPSITFKYESHDGEEGYPGDVTVTATYTLNSSKSLRLDMEAVCQNKATPINLAQHTYWNLAGHNSGNVLEHVVQIWGSQITPVDHNSIPTGEFLPVKGTVFDFTSEKKVGSSISGVQGLGYDHNYVLDCGEDKKGLKHAAKVKDPSSSRVLNLWTDAPGMQFYTANYVNGVSGKGGAVYEKHSGLCFETQGFPNAINQPNFPSIIVQPGKKYKHTMLFEFSVE >Manes.01G118100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31480138:31482317:-1 gene:Manes.01G118100.v8.1 transcript:Manes.01G118100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNLNTHLFELNNGTIRVLLTNYGAIITSLFVPDKQGKLDDVVLGFDSVEEYKKGNAPYFGCIVGRVVNRIKDGKLSLNGVDYSLAVNNGPNSLHGGNKGFDKVVWDVVGQNSGEQPSITFKYESHDGEEGALIFLYLAFSYTAIKHILMLQLFLQPGYPGDVTVTATYTLNSSKSLRLDMEAVCQNKATPINLAQHTYWNLAGHNSGNVLEHVVQIWGSQITPVDHNSIPTGEFLPVKGTVFDFTSEKKVGSSISGVQGLGYDHNYVLDCGEDKKGLKHAAKVKDPSSSRVLNLWTDAPGMQFYTANYVNGVSGKGGAVYEKHSGLCFETQGFPNAINQPNFPSIIVQPGKKYKHTMLFEFSVE >Manes.01G118100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31480138:31483117:-1 gene:Manes.01G118100.v8.1 transcript:Manes.01G118100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNLNTHLFELNNGTIRVLLTNYGAIITSLFVPDKQGKLDDVVLGFDSVEEYKKGNAPYFGCIVGRVVNRIKDGKLSLNGVDYSLAVNNGPNSLHGGNKGFDKVVWDVVGQNSGEQPSITFKYESHDGEEGYPGDVTVTATYTLNSSKSLRLDMEAVCQNKATPINLAQHTYWNLAGHNSGNVLEHVVQIWGSQITPVDHNSIPTGEFLPVKGTVFDFTSEKKVGSSISGVQGLGYDHNYVLDCGEDKKGLKHAAKVKDPSSSRVLNLWTDAPGMQFYTANYVNGVSGKGGAVYEKHSGLCFETQGFPNAINQPNFPSIIVQPGKKYKHTMLFEFSVE >Manes.11G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24172717:24178596:-1 gene:Manes.11G105100.v8.1 transcript:Manes.11G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSIPILGFLLLFFLAAAAGDTKTYLKYKDPKQPLGVRIRDLMSRMTLQEKIGQMVQVELSVASPDAMKKYFIGSVLSGGGSVPAPKASPETWVKTVNNIQKAALSTRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGVTRDPQLVKRIGEATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTEIIPGLQGDIPANSRKGVPFVAPGKTKIAACAKHYVGDGGTTRGINENNTVISWKGLLNIHMPAYLNAISKGVATVMVSYSSWNGRKMHANQDLVTGFLKNKLKFRGFVISDWQGIDRITSPPKANYSYSVQAGVGAGIDMVMVPYNFTEFIDDLNFQVKNKIIPMSRIDDAVRRILRVKFTMGLFENPLADLSLANQLGSQEHRELAREAVRKSLVLLKNGESADEPLLPLPKKAPKILVAGSHADNLGYQCGGWTITWQGLGGNDLTSGTTVLNAIKNTVDPSTQVVYNENPDANFVKSNKFSYAIVVVGEPPYAETFGDSLNLTIPEPGSSTITNVCTSVKCVVIVISGRPVVIQPHLEHIDALVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLFPFGFGLTTKPTKN >Manes.08G082644.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28606810:28607214:-1 gene:Manes.08G082644.v8.1 transcript:Manes.08G082644.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEKRREEGYVIFKIPISRSRANSDQCFVWWRKLFLSSPYFYNVFSALLPLPPLLSTFLSSLFFLIVPSLFNIPIYTHKKSPYKICVFNKKGKIFWKKKKERVV >Manes.01G198080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37228995:37230689:-1 gene:Manes.01G198080.v8.1 transcript:Manes.01G198080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDGWTVVTADGKRIAQFGHTLLNNRDSVRYSWLVIIGTKVYLNIF >Manes.06G029100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5695524:5698150:1 gene:Manes.06G029100.v8.1 transcript:Manes.06G029100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFILIVFFLIRSPSSASSSSSTFPTGSIILNQRSSLSVERPGHVLTSPNAIFTAGFYPVGDNAYSFAIWFTEPSCSNSCTVVWMANRDLPVNGRNSKLSLLKNGNLILTDAGKSVVWESNTFSLSSSYLQLYDTGNLVLITSRERVILWQSFDSPTDTLLPLQPLTRDSLLVSSRSLTNFSSGFYKLSFHDDNVLRLVYDGPEFSSAFWPDDWLLSREAGRSSYNSTRIALLDSFGKFTSSDNFSFFSADYGQQLQRRLTLDFDGNLRLYSRENGNGSWVISVQVFSQPCKIHGACGPNSVCKHVPSFGRKCSCLPGYKIKNPADLSLGCEPEIMVSSVETEATFIRLPHVEMYGYDFSRFENYSLKNCEKVCLGIYDCKGFVFRFFYPNRPDNIPYCFLKLQLVNGQIKPNFKGDLYLKVPKISPSKHWSAKELTLICPAGAAKQLDRRYVKSDGKRSLKFLLGFVIAIGIVEILSMVLVWLYFIKSRQMIAATSEEYFLAATGFRRFSYSELKEATRNFIEEIGRGATGIVYKGVLADQRVAAIKRLNNASQGEAEFLAEVSTVGKLNHMNLIEMWGYCADKKHRLLVYENMEKGSLAKNLSSMELDWEKRFKIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDANYEPKVSDFGLSRLLSRGHELHNSSFSKIRGTRGYMAPEWIFNLPITSKVDVYSYGVVVLEIVTGRSPSMDGHDAENGCGVAENKRVVEWVRKKKLEASTSSCWVEEIIDPAIGVDYDRRKLEVLVGVALKCVEECKDDRPTMSQVVELLQKLDDH >Manes.06G029100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5695528:5698138:1 gene:Manes.06G029100.v8.1 transcript:Manes.06G029100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFILIVFFLIRSPSSASSSSSTFPTGSIILNQRSSLSVERPGHVLTSPNAIFTAGFYPVGDNAYSFAIWFTEPSCSNSCTVVWMANRDLPVNGRNSKLSLLKNGNLILTDADYGQQLQRRLTLDFDGNLRLYSRENGNGSWVISVQVFSQPCKIHGACGPNSVCKHVPSFGRKCSCLPGYKIKNPADLSLGCEPEIMVSSVETEATFIRLPHVEMYGYDFSRFENYSLKNCEKVCLGIYDCKGFVFRFFYPNRPDNIPYCFLKLQLVNGQIKPNFKGDLYLKVPKISPSKHWSAKELTLICPAGAAKQLDRRYVKSDGKRSLKFLLGFVIAIGIVEILSMVLVWLYFIKSRQMIAATSEEYFLAATGFRRFSYSELKEATRNFIEEIGRGATGIVYKGVLADQRVAAIKRLNNASQGEAEFLAEVSTVGKLNHMNLIEMWGYCADKKHRLLVYENMEKGSLAKNLSSMELDWEKRFKIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDANYEPKVSDFGLSRLLSRGHELHNSSFSKIRGTRGYMAPEWIFNLPITSKVDVYSYGVVVLEIVTGRSPSMDGHDAENGCGVAENKRVVEWVRKKKLEASTSSCWVEEIIDPAIGVDYDRRKLEVLVGVALKCVEECKDDRPTMSQVVELLQKLDDH >Manes.07G025400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2756210:2757315:-1 gene:Manes.07G025400.v8.1 transcript:Manes.07G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDSRTTQIQEETAETAPTADRPKPTINKKIKQQDHQANWLEKTRGNLMIVATVIASMAFQAAVSPPDELWKEHSQEKECSFGKMKEAMINKKIIQARNLAINCINKYNSQEFIICNTVSFSASLSIIFLLTVLPLRNKISMWILLVAMCSTVIFVAATYIISISLSGGGLKQERFNNEILLYYVVFWDGEASAEAESDRAISRPCLRMVRIRARSFSLRGSDTKPFRRERRG >Manes.07G025400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2756189:2757363:-1 gene:Manes.07G025400.v8.1 transcript:Manes.07G025400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDSRTTQIQEETAETAPTADRPKPTINKKIKQQDHQANWLEKTRGNLMIVATVIASMAFQAAVSPPDELWKEHSQEKECSFGKMKEAMINKKIIQARNLAINCINKYNSQEFIICNTVSFSASLSIIFLLTVLPLRNKISMWILLVAMCSTVIFVAATYIISISLSGGGLKQERFNNEILLYYVVFWVCFLVIIVLFLVLKLLFWIFKKLAIGLFYTIRFFCRMGKHQPKLKVIEPSAARV >Manes.S025316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:348377:348499:1 gene:Manes.S025316.v8.1 transcript:Manes.S025316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.17G091600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29977813:29984890:1 gene:Manes.17G091600.v8.1 transcript:Manes.17G091600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQRPIILLSLFAPLLNPSLAVSELVKGSSREIFYFLIRSAYQNQIKMRPILMKGHERPLTFLKYNREGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMRLITGSADQTAKLWDVQTGTQLYSFNFDSPARAVDFSAGDKLAVITTDPFMELPSAIHVKRIARDPSDQTGESVLILKGPQGRINRAVWGPLNKTIISAGEDAVIRIWDSETGKLLKESDREIGHKKAITSLTKSSDGSHFITGSLDKSAKLWDIRTLTLIKSYVTERPVNAVTLSPLLDHVVLGGGQHASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNVKI >Manes.01G052104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20052791:20056364:-1 gene:Manes.01G052104.v8.1 transcript:Manes.01G052104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSLEDDGEFAEKDPTGRYVRYDEILGRGAFKTVYKAFDEVDGIEVAWNQVSIEDVLQSADQLERLYSEVHLLKSLKHENIMKFYNSWVDDKKKTINMITELFTSGSLRQYRKKHKNVDIKAIKSWARQILQGLQHMHNHSPPIIHRDLKCDNIFVNGNNGEVKIGDLGLATVMQQPTARSVIGTPEFMAPELYDEEYTELVDIYSFGMCILEMVTCEYPYSECKNPAQIYKKVITGIKPASLGKVGDPQVKQFIEKCLVPVSMRLPATELLKDPFLATENSKDLVSVSLRIPELKSKEVNSLQSESHSMDIDTNIKKPSIGSCTKSIGEVLPISTLEAHRFTGNNEFRLRGEKNYDSTISLSLRIVEQCGRVKNIHFTFYLDTDTTVSIAEEMVEQLDLSNEDVGIIAELIDALIMKLVPCWCPSGSTSSIPSGSDRLQNGATSDLLPLVKVVGQETPESFYSGISAELHMTIASDASTNKPLGSFDYSVELNKTDLGSDFVMHVDSISKHDKSIKDSEDNLSELKLELNAIDMQYNQCFKELSRMREEAIENAKKKWITKKEYTRHVMC >Manes.01G052104.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20052791:20056364:-1 gene:Manes.01G052104.v8.1 transcript:Manes.01G052104.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYNSWVDDKKKTINMITELFTSGSLRQYRKKHKNVDIKAIKSWARQILQGLQHMHNHSPPIIHRDLKCDNIFVNGNNGEVKIGDLGLATVMQQPTARSVIGTPEFMAPELYDEEYTELVDIYSFGMCILEMVTCEYPYSECKNPAQIYKKVITGIKPASLGKVGDPQVKQFIEKCLVPVSMRLPATELLKDPFLATENSKDLVSVSLRIPELKSKEVNSLQSESHSMDIDTNIKKPSIGSCTKSIGEVLPISTLEAHRFTGNNEFRLRGEKNYDSTISLSLRIVEQCGRVKNIHFTFYLDTDTTVSIAEEMVEQLDLSNEDVGIIAELIDALIMKLVPCWCPSGSTSSIPSGSDRLQNGATSDLLPLVKVVGQETPESFYSGISAELHMTIASDASTNKPLGSFDYSVELNKTDLGSDFVMHVDSISKHDKSIKDSEDNLSELKLELNAIDMQYNQCFKELSRMREEAIENAKKKWITKKEYTRHVMC >Manes.01G052104.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20052791:20056368:-1 gene:Manes.01G052104.v8.1 transcript:Manes.01G052104.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLPRKTRLVDTFGYKAFDEVDGIEVAWNQVSIEDVLQSADQLERLYSEVHLLKSLKHENIMKFYNSWVDDKKKTINMITELFTSGSLRQYRKKHKNVDIKAIKSWARQILQGLQHMHNHSPPIIHRDLKCDNIFVNGNNGEVKIGDLGLATVMQQPTARSVIGTPEFMAPELYDEEYTELVDIYSFGMCILEMVTCEYPYSECKNPAQIYKKVITGIKPASLGKVGDPQVKQFIEKCLVPVSMRLPATELLKDPFLATENSKDLVSVSLRIPELKSKEVNSLQSESHSMDIDTNIKKPSIGSCTKSIGEVLPISTLEAHRFTGNNEFRLRGEKNYDSTISLSLRIVEQCGRVKNIHFTFYLDTDTTVSIAEEMVEQLDLSNEDVGIIAELIDALIMKLVPCWCPSGSTSSIPSGSDRLQNGATSDLLPLVKVVGQETPESFYSGISAELHMTIASDASTNKPLGSFDYSVELNKTDLGSDFVMHVDSISKHDKSIKDSEDNLSELKLELNAIDMQYNQCFKELSRMREEAIENAKKKWITKKEYTRHVMC >Manes.13G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1090856:1093874:-1 gene:Manes.13G005700.v8.1 transcript:Manes.13G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIFERDDDDNDVVLVPPANFSMVEDGIFRSALPQPPNFPFLETLNLRSIIYLCLEPYPQENMEFLRAHNIKLFQFGIEGKTEPSVSILKDTIMEALKVLIDVRNHPVLIHCKRGKHRTGCLVGCFRKLQNWCLGSVFEEYQHFAGVKSRINDLKFIEAFDVSCLRQCLYSIIYQYHGYGSNKRRLLYREDNIQKQIKSN >Manes.18G014200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1537057:1537698:1 gene:Manes.18G014200.v8.1 transcript:Manes.18G014200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRESFVIEITSPTCSSSEPLDHHRPTGATSVSSKLHFSAMKLFNRFRKIVMRILFSFPSHRGSSHGATSNTTSKQRNCCERFDPPKTSCSSYYSSHSHYTEAIADCIEFLNKSSQEGFLDGRKSDVLV >Manes.14G151400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17189412:17192609:1 gene:Manes.14G151400.v8.1 transcript:Manes.14G151400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNDQREFIFRSKLPDIYIPNHLPLHTYCFENITQFKDNPCLINGPTGDIYTYADVELKSRKVAAGLHKLGIQQGYVIMLLLQNSPEFVFVFLGASYRGAISTTANPFYTPTEIAKQATASKAKLIVTQAVYAEKVKQFAKENDIKIITIDSPPDGCLHFSELTEADENDIPGVKINPDDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHKKDVILCVLPLFHIYSLNSVLLCGLRVGSAILIMQKFEIISLMELVQKYKVSIAPFVPPIVLAIAKSPVVDQYDLSSIRTVMSGAAPMGKELEDTVRAKLPNAKLGQGYGMTEAGPVLSMCLAFAKDPFEIKSGACGTVVRNAEMKIVDPETGNSLPRNQAGEICIRGSQIMKGSPNFLVFESQGI >Manes.14G151400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17189412:17222594:1 gene:Manes.14G151400.v8.1 transcript:Manes.14G151400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNDQREFIFRSKLPDIYIPNHLPLHTYCFENITQFKDNPCLINGPTGDIYTYADVELKSRKVAAGLHKLGIQQGYVIMLLLQNSPEFVFVFLGASYRGAISTTANPFYTPTEIAKQATASKAKLIVTQAVYAEKVKQFAKENDIKIITIDSPPDGCLHFSELTEADENDIPGVKINPDDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHKKDVILCVLPLFHIYSLNSVLLCGLRVGSAILIMQKFEIISLMELVQKYKVSIAPFVPPIVLAIAKSPVVDQYDLSSIRTVMSGAAPMGKELEDTVRAKLPNAKLGQGYGMTEAGPVLSMCLAFAKDPFEIKSGACGTVVRNAEMKIVDPETGNSLPRNQAGEICIRGSQIMKGYLNDPEATISTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLISHPSISDAAVVPMKDEAAGEVPVAFVVRSNGSKITEDEIKQYISKQVIFYKRINRVFFIEAIPKAPSGKILRKDLRVRLIAGVPN >Manes.14G151400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17189412:17222594:1 gene:Manes.14G151400.v8.1 transcript:Manes.14G151400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNDQREFIFRSKLPDIYIPNHLPLHTYCFENITQFKDNPCLINGPTGDIYTYADVELKSRKVAAGLHKLGIQQGYVIMLLLQNSPEFVFVFLGASYRGAISTTANPFYTPTEIAKQATASKAKLIVTQAVYAEKVKQFAKENDIKIITIDSPPDGCLHFSELTEADENDIPGVKINPDDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHKKDVILCVLPLFHIYSLNSVLLCGLRVGSAILIMQKFEIISLMELVQKYKVSIAPFVPPIVLAIAKSPVVDQYDLSSIRTVMSGAAPMGKELEDTVRAKLPNAKLGQGYGMTEAGPVLSMCLAFAKDPFEIKSGACGTVVRNAEMKIVDPETGNSLPRNQAGYLNDPEATISTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLISHPSISDAAVVPMKDEAAGEVPVAFVVRSNGSKITEDEIKQYISKQVIFYKRINRVFFIEAIPKAPSGKILRKDLRVRLIAGVPN >Manes.01G257804.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41472625:41475333:1 gene:Manes.01G257804.v8.1 transcript:Manes.01G257804.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSERINQVEESRAQLLCGLPDDIALFCLARVPRKYHSVLKCVCRSWRDLVCSEEWYAYRSKHNLSETWIYALCRDKYEQICCYALDPALPRRCWKLIQGLPPRCLKRKGMGFEALGKKLYLLGGCGWSEDATDEAYCFDVSRSSWSEAASLSTARSVWESHSDTNVVPDVEDSIVFDGKIYIRCGASAVSSHVYAVLYEPSNGTWQHADADMASGWRGPAVVVDGALYVLDQSSGTRLMMWQKDKRDWVAVGRLSPLLTRPPCRLVAIGKRIFIIGKGLSTVVFDIEKTGNMEGVMVSSSIPRLNSEDDVISCKCLAL >Manes.01G257804.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41472625:41475792:1 gene:Manes.01G257804.v8.1 transcript:Manes.01G257804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSERINQVEESRAQLLCGLPDDIALFCLARVPRKYHSVLKCVCRSWRDLVCSEEWYAYRSKHNLSETWIYALCRDKYEQICCYALDPALPRRCWKLIQGLPPRCLKRKGMGFEALGKKLYLLGGCGWSEDATDEAYCFDVSRSSWSEAASLSTARCYFACEVLDDKIYAIGGLGSKSSDPHSWDTFDPRRSVWESHSDTNVVPDVEDSIVFDGKIYIRCGASAVSSHVYAVLYEPSNGTWQHADADMASGWRGPAVVVDGALYVLDQSSGTRLMMWQKDKRDWVAVGRLSPLLTRPPCRLVAIGKRIFIIGKGLSTVVFDIEKTGNMEGVMVSSSIPRLNSEDDVISCKCLAL >Manes.01G257804.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41472625:41475333:1 gene:Manes.01G257804.v8.1 transcript:Manes.01G257804.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSERINQVEESRAQLLCGLPDDIALFCLARVPRKYHSVLKCVCRSWRDLVCSEEWYAYRSKHNLSETWIYALCRDKYEQICCYALDPALPRRCWKLIQGLPPRCLKRKGMGFEALGKKLYLLGGCGWSEDATDEAYCFDVSRSSWSEAASLSTARCYFACEVLDDKIYAIGGLGSKSSDPHSWDTFDPRRSVWESHSDTNVVPDVEDSIVFDGKIYIRCGASAVSSHVYAVLYEPSNGTWQHADADMASGWRGPAVVVDGALYVLDQSSGTRLMMWQKDKRDWVAVGRLSPLLTRPPCRLVAIGKRIFIIGKGLSTVVFDIEKTGNMEGVMVSSSIPRLNSEDDVISCKCLAL >Manes.01G257804.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41472489:41475797:1 gene:Manes.01G257804.v8.1 transcript:Manes.01G257804.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSERINQVEESRAQLLCGLPDDIALFCLARVPRKYHSVLKCVCRSWRDLVCSEEWYAYRSKHNLSETWIYALCRDKYEQICCYALDPALPRRCWKLIQGLPPRCLKRKGMGFEALGKKLYLLGGCGWSEDATDEAYCFDVSRSSWSEAASLSTARCYFACEVLDDKIYAIGGLGSKSSDPHSWDTFDPRRSVWESHSDTNVVPDVEDSIVFDGKIYIRCGASAVSSHVYAVLYEPSNGTWQHADADMASGWRGPAVVVDGALYVLDQSSGTRLMMWQKDKRDWVAVGRLSPLLTRPPCRLVAIGKRIFIIGKGLSTVVFDIEKTGNMEGVMVSSSIPRLNSEDDVISCKCLAL >Manes.05G019800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1801174:1804440:1 gene:Manes.05G019800.v8.1 transcript:Manes.05G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLKQIHAFTLRNGIDYNENLIKKLLQISNIFYAHNLFKLIPTPTVFLYNNLIQAYSSQNQPHQCLYLYSQMRFKKCLPNAHSFTFLFATCARFSSPFHGQILHTHFLISGLDFDVFPLTALVDMYAKLGMLVSARQVFDEMTLRDIPTWNALIAGYSRHGNMDGALEIFRQMPSRNVVSWTAMVSGYSQNGLYAKALRMFLEMEKEKGLMPNEVTIASVLPACANLGALEVGERVQAYARKHGLLRNLYVSNALLEMYARCGKIDAAKQIFFEIIGNRRNLCSWNSMVMGLAIHGRSYEALQLYGQMLREGTAPDDVTFVGLLLACTHGGMLVKGRQLFKSMEKMFRITPKLEHYGCMVDLLGRAGELQEAYDLIKRMPMKPDSVIWGALLGACSFYKNVELAEIAANSLFELEPWSPGNYVILSNIYASAGRWDGVAKLRKLMKGSKITKAAGYSFIEGEGEIHKFIVEDASHARHDEIYTLLNRISTKMKLQSADDSELEELCLMEI >Manes.03G099332.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22211436:22212695:-1 gene:Manes.03G099332.v8.1 transcript:Manes.03G099332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKILERSWTHGPVYSCAFALFVICSFLVILLHNTNLYLANALLINEILVDQFVFSLSLVRERNSLLGFFFFFFLRLKTLSLSIYGYPCSFRAGVVFFHLTRQ >Manes.16G058078.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17718622:17740859:-1 gene:Manes.16G058078.v8.1 transcript:Manes.16G058078.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPYGVKGSVYVVTLPAFQRCLEDGPDAAERQPTRERIPHRQGDRRRPSQGTSRPTGKTGETWEGNPIGSQRIHSTRSPTDFIFIIF >Manes.16G063350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24790844:24800896:1 gene:Manes.16G063350.v8.1 transcript:Manes.16G063350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLRYLKGTASTSRCCGNGKVVLEGFVDADLSGDMDTSKSTSGYLYTLDGAIVSWMSKLQKCVSMSFTEAEYVAIAKTGKEMIWLTNYLEELGKKQLDKVLFSDSQSVMQLVKNPMYYFRTKHIRRRYHFTRNLVEEGEICLKKIEGTKNPTDMLTKGVDVGKLGLCKVSVGLL >Manes.05G009500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:995323:998882:-1 gene:Manes.05G009500.v8.1 transcript:Manes.05G009500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEPCIASQTRPQLQPILEHHDLPSTLPQSLYSPLIFSEIQDHPTDQPSSTSRLPTDDRWKPGSLHRCKTAPAMVVMRGLKPQPPQDPKPQSDSGSIIRQSIFLLFMYLLLGVVIYSFNRDNFSGVETHPVVDALYFCIVTMCTIGYGDIAPLTPGTKVFACVFVLVGFGFIDILLSGVVNYVLDLQESMILAGIQMGKAHQGFSARDYIVDVEKGRMRIRLKVGLALGVVILCIGMGMLVLYFVEDLDGIDAIYLAVMSVTTVGYGDRAFKTLPGRLFAAIWLLVSTLAVARAFLYLAEARIDKRHRRITKWVLHRDITVDDLLAANINNNAFISKSEYVIYKLKEMGKIEEKDILQICDQFSKLDPNNLGKITLPDLLENHL >Manes.13G126300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33391383:33392276:-1 gene:Manes.13G126300.v8.1 transcript:Manes.13G126300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRVMGTCIFLLVLMLCHEVLVVEGRHLKPHKLCKKCFRLSDQNSLNVSEDTQKLLGGQEKTSKMDYVDDFRPTEPGHSPGVGHSINN >Manes.14G113500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14123151:14130094:-1 gene:Manes.14G113500.v8.1 transcript:Manes.14G113500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMESGNLPAETEIMSSSGKKVTVKLEIAEDPLEEDHEPLNKRYKPSQAVQQWGAGANAFPIPPAHNPLDEPSPLGLRLRKSPSLLDLIQMRLAQAGASVLGSEQTESNNSGVKKETNTTAASGITDKLKASNFPASILRIGNWEYKSRYEGELVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDKAPGTLTVVLARQPLFYRETNPQPRKHTLWQATADFTNGQASIHRQHFLLSPQGLLNKHFEKLIQCDMRLSFLSRQPEIILESPYFEQRSSVFEDPDESKGQDLNQVETVKGSSDSGFQDIASPSAAHSSSLEIEKGDHAGTSSEHMSREAPSPSSVMDTRAIEGSGICEAVDSKGPRNWDQIKVPGLHPSMSMSDLMNHIGNCISEQMTSGYPPFSADGSECQDILEDIAEYLLSDTQLTTSSDEKRLMARVNSLCCLLQTDPASTQNLQVNDERCFGESENGKGVQLNPTNELLQENKSKIDTKDPEVIVKDVSGSKQAPGMSRKDSFGELLLHLPRIASLPKFLFNISKEDDG >Manes.14G113500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14123151:14130094:-1 gene:Manes.14G113500.v8.1 transcript:Manes.14G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMESGNLPAETEIMSSSGKKVTVKLEIAEDPLEEDHEPLNKRYKPSQAVQQQWGAGANAFPIPPAHNPLDEPSPLGLRLRKSPSLLDLIQMRLAQAGASVLGSEQTESNNSGVKKETNTTAASGITDKLKASNFPASILRIGNWEYKSRYEGELVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDKAPGTLTVVLARQPLFYRETNPQPRKHTLWQATADFTNGQASIHRQHFLLSPQGLLNKHFEKLIQCDMRLSFLSRQPEIILESPYFEQRSSVFEDPDESKGQDLNQVETVKGSSDSGFQDIASPSAAHSSSLEIEKGDHAGTSSEHMSREAPSPSSVMDTRAIEGSGICEAVDSKGPRNWDQIKVPGLHPSMSMSDLMNHIGNCISEQMTSGYPPFSADGSECQDILEDIAEYLLSDTQLTTSSDEKRLMARVNSLCCLLQTDPASTQNLQVNDERCFGESENGKGVQLNPTNELLQENKSKIDTKDPEVIVKDVSGSKQAPGMSRKDSFGELLLHLPRIASLPKFLFNISKEDDG >Manes.09G003400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1009132:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKPIERKPRKFNPLVPPKSLQAALPFESKLKDIPSRKRPRLENRRAVVMDARQKRLHTLVQQFHMIGQEKMKKRKLKEEQKRKEYEAEKAKDEQLSRKRQREERRERYRIQDKKNKKIRRNSEA >Manes.09G003400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1009131:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDEDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKPIERKPRKFNPLVPPKSLQAALPFESKLKDIPSRKRPRLENRRAVVMDARQKRLHTLVQQFHMIGQEKMKKRKLKEEQKRKEYEAEKAKDEQLSRKRQREERRERYRIQDKKNKKIRRNSEA >Manes.09G003400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1009132:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKPIERKPRKFNPLVPPKSLQAALPFESKLKDIPSRKRPRLENRRAVVMDARQKRLHTLVQQFHMIGQEKMKKRKLKEEQKRKEYEAEKAKDEQLSRKRQREERRERYRIQDKKNKKIRRNSEA >Manes.09G003400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1009132:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDEDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKPIERKPRKFNPLVPPKSLQAALPFESKLKDIPSRKRPRLENRRAVVMDARQKRLHTLVQQFHMIGQEKMKKRKLKEEQKRKEYEAEKAKDEQLSRKRQREERRERYRIQDKKNKKIRRNSEA >Manes.09G003400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1009132:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDEDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKPIERKPRKFNPLVPPKSLQAALPFESKLKDIPSRKRPRLENRRAVVMDARQKRLHTLVQQFHMIGQEKMKKRKLKEEQKRKEYEAEKAKDEQLSRKRQREERRERYRIQDKKNKKIRRNSEA >Manes.09G003400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1009132:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDEDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKPIERKPRKFNPLVPPKSLQAALPFESKLKDIPSRKRPRLENRRAVVMDARQKRLHTLVQQFHMIGQEKMKKRKLKEEQKRKEYEAEKAKDEQLSRKRQREERRERYRIQDKKNKKIRRNSEA >Manes.09G003400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1009132:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKPIERKPRKFNPLVPPKSLQAALPFESKLKDIPSRKRPRLENRRAVVMDARQKRLHTLVQQFHMIGQEKMKKRKLKEEQKRKEYEAEKAKDEQLSRKRQREERRERYRIQDKKNKKIRRNSEA >Manes.09G003400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1010427:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKVIVLLKVVVFTIHLFPFS >Manes.09G003400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1009132:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDEDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKPIERKPRKFNPLVPPKSLQAALPFESKLKDIPSRKRPRLENRRAVVMDARQKRLHTLVQQFHMIGQEKMKKRKLKEEQKRKEYEAEKAKDEQLSRKRQREERRERYRIQDKKNKKIRRNSEA >Manes.09G003400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1010427:1021634:-1 gene:Manes.09G003400.v8.1 transcript:Manes.09G003400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGSKDQEHKAHRSRQAGPKKKAKSDKKKLSTEEKKQNPKAFAFTSTVKAKRLQSRAVEKEQRRLHVPTIDRAYGEPPPFVVLVHGPPQVGKSLLIKGLMKHYTKQNLPEVRGPITVVSGKQRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLNNGKYSTTEIKNLARFISVMKFHPLSWRTSHPYVLVDRFEDVTPPERVHMNNKCDRNVTLYGYLRGCNLKKGTKVHIAGVGDYSLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDDENGGTKRKGKDQDVGEVLVKSLQNTKYSIDEKLENSFITLFSRNPPVASDTQTYVSDSNEEQRDDTKPFELNELENQIEPDRSGEESDTEYSEGSESFDEDEFTQRDAMINGEDGDSDGGNVNASNHQTSLKDRLKEQVEFHDGRLRRKATFGDDMDDQDLMASDDGSEDDDEDNQYSDSDVSEEDGVDEGTDEDGLGNVSKWKESLVERTTLRKNINLMQLVYGTSAPNAAIIEKQDSIDDEESDGDDFFKLKGEGNKKLKEGSDGININTEDCSKFTKYAGRKNWKEEEIYESIRDRFVTGDWSKAAQRNQSSVTNTEEEDDIYGDFEDLETGERYESGSQPVENEEDHAAEERRLKKLALRAKFDAQYDGSEPPEEVDEKQGAKFHRDQVNESGFFDKLKEEIELRKQRNIAELNDLDEETRLDIEGFQTGTYLRLEVHDVPFEMVEHFDPCHPILVGGIGFAEENVGYMQARLKRHRWHRKVLKTRDPIIVSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLAMFWGPLAPPNTGVVAVQNLSNDQAAFRITATAVVLEFNHAAKIMKKVKLVGYPCKIFKKTALITNMFTSDLEVARFEGASVRTVSGIRGQVKKAAKDEIGNQPKKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRDKTWQGMKTVAELRREHNLPVPINKDSLYKVIVLLKVVVFTIHLFPFS >Manes.18G087600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8046270:8052733:-1 gene:Manes.18G087600.v8.1 transcript:Manes.18G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVVAFNAFKLQPAGQCCQRLPPLPCLPLSGICKSSSLAPVYHRNFTLLTSPSHVRIIVEPMRLRIFFKTSPASNSHSSLHPLTFSTIPYELDQLVQTPKPHNYAQILLSSIGKCKQAKAHHTLEETPQRLLQFSTTNRIIHGQSLKHGFWSNGPLGNSILDIYAKCGHVDFAEKVFNRLENRDVLAWNSILSMYSKWGFLDTVVKSYGSLCSHGVWPNEFTFAIVLSACARLESVECGRQVHCNVVKMGYGSSSFCEGALIDMYAKCNSMVDCRRVFDEGVELDTVSWTSLIAGYVKAALPEEALKVFEEMKKVGREPDQVAFVTVINIYVGLGRLDDAIDLFSQMPNPNVVVWNVMISGHARKGYMAKAIEIFQNMRKAGIKSTRSTLASVLSAVASLTALDFGLLVHAEAVKQGLDSNVYVGSSLISMYAKCEKIEAARKVFDALDEQNVVSWNALLGGYAQNGYGYKVMELFPNMKSCGFHPDEFTYTIILSACACMKFLEGGRQLHAVIIKDKFASNLFVGNALVDMYAKSGVLEDARKQFELMKYRDNVSWNAIIVGYVQEENEVEAFLMFQKMHLLGILPDEVSLASILSACANVEGIELGKQMHCLSVKTGLETSLYAGSSLIDMYAKCGAIGSAHKIFASMPERSVVSMNALIAGYSTINIEDAILLFKQMQIEGLSPSEVTFASLLDACGGPQQLNLGRQIHSLILKGGLQYDDEFLGVSLLGMYMNSLRKEDASILFSEISNPKSNILWTAMISGLAQNDCTDGALLFYQEMRSCNVLPDQATFVSVLRACAISSSMRDGRQVHSLIIHIGFDLDESTCSALVDMYAKCGDVKSSMQVFEEMHSKNDVISWNSMIVGYAKNGYAEDALRVFDEMKQTPVIPDDVTFLGVLTACSHAGRVSEGRQTFDSMVNYYKIQPRVDHCACMVDLLGRWGFLQEAEEFIYTLKFERHAMIWATMLAACRIHGDDVRGQNAAEKLIELEPQNSSPYVLLSNIYAASGNWDEVNVLRREMREKGVKKLPGCSWIVVGQKTNIFVAGDKSHSSAGKIDLVLKNLTALMKEDAYATLIDSFIHDEW >Manes.05G001600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:195724:197237:1 gene:Manes.05G001600.v8.1 transcript:Manes.05G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYGQRSYGGDRKFEIVSGKSANQIYSTRPHSPDPPPVAPRATRQGRTTSTPWSFSDPEMKRKKRIAKYKVYTVEGKFKDSLKNGLRWIKNKCSQIVHGY >Manes.04G154202.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34972946:34975071:1 gene:Manes.04G154202.v8.1 transcript:Manes.04G154202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTGSISLMDHCSAFSATLHLIMTERKDLDFALLDPCLLDCLVHLASSLLHPENILANPSGSQIEQLLHSTSSSPMFSRLPMSYLAARTFDTESGFVLPHSWRRRLLNIVVISSSPPSALLSDAIITSTCVVSSSEATVAGLEPPATP >Manes.08G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35588004:35591542:1 gene:Manes.08G116400.v8.1 transcript:Manes.08G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMTMEGMMDKGVLEDIIRRLLDGRGGKQVQLSEGEIRQLCVNARQIFLSQPNLLEINAPIRICGDIHGQYQDLLRLFEYGGYPPSCNYIFLGDYVDRGKQSLETICLLLAYKIRHPDKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIEQKILCMHGGLSPELENVDQIKEIQRPTEIPDGGLLCDLLWSDPDAKIEGWSDSDRGVSCTFGADRVDEFLDKNDLDLICRGHQVVEDGYEFFANRKLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPAAPASSSKPLRKPPKTGSV >Manes.17G003466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1414548:1418049:1 gene:Manes.17G003466.v8.1 transcript:Manes.17G003466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTATLIKSYPLFSKINNLSPITGRISGYRPSGVRFPLTITKCSIADVPLAPQATHTSSSSSSSSSSPPIISSKLPNLLFLEEEIEKVIYRCRFLAILGVFGSLIGSFLCFVKGCTYVMSSFMEYFVNHGKVIILLVEAIDVYLLGTVMLVFGMGLYELFVSNLDIAKSLSGEGVPHRSNLFGLFTLKERPRWLEIKTVNELKTKLGHVIVMLLLIGFFEKSKTAVIQSPMDLLCFSASVLLCSGCLYLLSKLTDSK >Manes.07G123400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32688666:32690412:1 gene:Manes.07G123400.v8.1 transcript:Manes.07G123400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGPIPSPHVLIFPAPGQGHVNAILKLAELLSLSGFKITFLNFHHIHERLLLHTDIEARFSKYHGFQFKTIPSFRLEQPRPADAVRKLLEEVEVKSRPIFKRMVIESNPAVNYIIGDGLMGFVYDVALELGIPAIQMHCISACSFWTVFSIPDVVAAHQLPIKGKEDMDRLITRVPGMESFLRCRDLPAGFCQVSDLSDPNLFILTNQIRQSQALIINSFEELEGPILSQIRTRYPKIYTIGPVHEHLKKKLRSIGKQESYSSSTDLFKVDKTCITWLDNQPPQSVLYVSFGSVTIMTREQLMEFWYGLVNSKKKFLWVIRPESVNNLGEILQELQEGEKKRGYIIKWAPQEEVLAHKAIGGFLTHSGWNSTLESIVAGVPMICWPYFGDQQVNSRFVSEVWNLGLDMKDVCDRRVVEKMVNDLMVDRREEFVRSTAKMAELARKSVSEGGSSSCCLNSLIDDMRVMIKKACIVED >Manes.07G123400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32688380:32690412:1 gene:Manes.07G123400.v8.1 transcript:Manes.07G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGPIPSPHVLIFPAPGQGHVNAILKLAELLSLSGFKITFLNFHHIHERLLLHTDIEARFSKYHGFQFKTIPSFRLEQPRPADAVRKLLEEVEVKSRPIFKRMVIESNPAVNYIIGDGLMGFVYDVALELGIPAIQMHCISACSFWTVFSIPDVVAAHQLPIKGKEDMDRLITRVPGMESFLRCRDLPAGFCQVSDLSDPNLFILTNQIRQSQALIINSFEELEGPILSQIRTRYPKIYTIGPVHEHLKKKLRSIGKQESYSSSTDLFKVDKTCITWLDNQPPQSVLYVSFGSVTIMTREQLMEFWYGLVNSKKKFLWVIRPESVNNLGEILQELQEGEKKRGYIIKWAPQEEVLAHKAIGGFLTHSGWNSTLESIVAGVPMICWPYFGDQQVNSRFVSEVWNLGLDMKDVCDRRVVEKMVNDLMVDRREEFVRSTAKMAELARKSVSEGGSSSCCLNSLIDDMRVMIKKACIVED >Manes.13G035600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4370027:4372284:-1 gene:Manes.13G035600.v8.1 transcript:Manes.13G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPLGLATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >Manes.13G035600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4370027:4372284:-1 gene:Manes.13G035600.v8.1 transcript:Manes.13G035600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPLGLATQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQPPQKY >Manes.10G130600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29652317:29659600:1 gene:Manes.10G130600.v8.1 transcript:Manes.10G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDAVQEQWSLYEAYNELHGLAQELETPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYDPQCEVPVCHLMSDDDPAFVQEKPLHEIQAFIESENMRLERELCQFSAKEIIIRVEYKYCPNLTIIDTPGLVAPAPGRKNQALQSQARAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTVIISTKLDTKIPQFARSSDVEVFLSPPTHTLDGFILGDSPFFTSVPSGRVGAGHDSVYRSNDEFKQAISLREMEDISALEEKLGRSLSKKEKSRIGVSKLRSFLEELLLKRYMDSVPLIIPLLEKESRIAARKLNEIIKELSTLDEVKLKEKGREFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERTNGGAFVGTDGLQFPQKLIPNAGMRLYGGAQYHRAMAEFRFVVGGMKCPQITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLYQLGNRLLYILKRLLPISVYLLQKDGEYLSGHDVFLRRVAYAFNNFAESTERACREKCMEDLVSTTRYVTWSLHNKNRSGLRQFLDSFGGTEQSSVGANSVSAGVSQDSSLVTANEKHENKSRTEVKLCHLASGIDSGSSVQATETRLADLLDNTLWNRRLAPSSERIVYALVQQIFHGIREYFLASAELKFNCFLLMPVIDKLPALLRQDLESAFEDDLDNVFDITNLRHSLDQQKREVEIEMKRIKRLKDKFRLIYEQLNLHQVKAVPATSKE >Manes.18G115300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11774438:11794085:1 gene:Manes.18G115300.v8.1 transcript:Manes.18G115300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGKTTIARAFFNTISNQFEACTFLENVNEESKQFEGLRRLREKLLSELLEEENRHIVTSNIESVSVKDRIRHKRVLLVLDDLNDVDQLEALIGGCDFALGSRVIVTSRDRQVLKNGVDEIYEVEGLNDDEALQLFSSNAFKKSYPTFDRLELSNRVVNYAQGNPLALKVLGSFLFDRRREDWENTLDKLGRIPQAKVFHLLRTCFDTLDDDEKNIFLDIACFFKGQQIDFVRRVLDGCGFSAGIGITVLLDKSLITILDNKLGMHKLLQEMAHEIVRQESVKELGKRSRLWRACDVYQVLTKNLGTENVEGIWLDTSKMREMDVSSRAFVRMDNLRLLKIYNSRVRNNCKLHLPRGLKFLSDELRYIHWDGYPLSSMPSNFQVENLVELNLAYSKVKQLWTGVQNLASLKDINLSNSEHLNTFPDLSLAKNLERVNFEFCTSLVEVPSSIQFLEKLTDLNMRCCTCLESFPTGINLRSLKTLYLSGCSNLRKCPEIGKNIVYLNLNETAIEELPESIGHLSDLIALNLKDCKQIRHLPRSMRLLKSLVIIDLSGCSNIIRFPDFATRIEFLYLGETAIEELPSSIGCLSRLSRLDLTNCKRLKNLPSTIFKLASLENLIISGCSSITEIPEMSSNIRKLFIDGTSIEEIPSSIECCFDLVELNLQNCTRFRILPSGICRLKSLQKLNLSGCSMFENFPEVLEVMGSLRYLYLDGTAIQELPSPIENLKGLTCLELRNCRNLQGLLEGISGVKNFSRLPERWVDIQYLRKLNVNNCSLSHVPYCIGCLSSLEALDLSGNPFTYMPESISKLFELQYLGLRNCQQLISIPDLPPQLTKLDAHFCVSLRSVSLHSNGAEGNIFDFHFTNCDKLTSVARHNIMAYALRKIELYSKKLHCQMPSVLAGESSFCIPGRKIPKWICHQSEGFSTTVRLPSQWSNGEFLGFILCAVIAFNDSNIDNGFQVKCEYHFKDEHGNCSNLHGHISGWYGRRYLWNYREIQNGGVYPMFFGYDPCVDVKKNAQFPKHTQLLVEFHPEDMDGHPLHCCKVVNCGVRILYAEEERLCRCSSLHQQIKEALSKTRDEICSYEDQNLWESFLEHKKTKVVGTSCKMYQCLLCESHDFGCFDVVDEQDIDTLASL >Manes.18G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11779272:11794085:1 gene:Manes.18G115300.v8.1 transcript:Manes.18G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFTARKWKYDVFLSFRGEDTRDNFTSHLYDALSCKKIKTFIDNDLERGQEITRVILRTIEESMISIIIFSKTYASSPWCLDEMVKILECREVHGQTVLPIFYHVDPSDVEGQSGSFGDAFIELEKNFKEKMERMTKWRADLMKVSRISGWDSRVIRPEAKLVKEVVEHILRKLDHAFSGDLKGLIGIHSHIRQIKNLLCIGLPNVRIVGIWGMAGIGKTTIARAFFNTISNQFEACTFLENVNEESKQFEGLRRLREKLLSELLEEENRHIVTSNIESVSVKDRIRHKRVLLVLDDLNDVDQLEALIGGCDFALGSRVIVTSRDRQVLKNGVDEIYEVEGLNDDEALQLFSSNAFKKSYPTFDRLELSNRVVNYAQGNPLALKVLGSFLFDRRREDWENTLDKLGRIPQAKVFHLLRTCFDTLDDDEKNIFLDIACFFKGQQIDFVRRVLDGCGFSAGIGITVLLDKSLITILDNKLGMHKLLQEMAHEIVRQESVKELGKRSRLWRACDVYQVLTKNLGTENVEGIWLDTSKMREMDVSSRAFVRMDNLRLLKIYNSRVRNNCKLHLPRGLKFLSDELRYIHWDGYPLSSMPSNFQVENLVELNLAYSKVKQLWTGVQNLASLKDINLSNSEHLNTFPDLSLAKNLERVNFEFCTSLVEVPSSIQFLEKLTDLNMRCCTCLESFPTGINLRSLKTLYLSGCSNLRKCPEIGKNIVYLNLNETAIEELPESIGHLSDLIALNLKDCKQIRHLPRSMRLLKSLVIIDLSGCSNIIRFPDFATRIEFLYLGETAIEELPSSIGCLSRLSRLDLTNCKRLKNLPSTIFKLASLENLIISGCSSITEIPEMSSNIRKLFIDGTSIEEIPSSIECCFDLVELNLQNCTRFRILPSGICRLKSLQKLNLSGCSMFENFPEVLEVMGSLRYLYLDGTAIQELPSPIENLKGLTCLELRNCRNLQGLLEGISGVKNFSRLPERWVDIQYLRKLNVNNCSLSHVPYCIGCLSSLEALDLSGNPFTYMPESISKLFELQYLGLRNCQQLISIPDLPPQLTKLDAHFCVSLRSVSLHSNGAEGNIFDFHFTNCDKLTSVARHNIMAYALRKIELYSKKLHCQMPSVLAGESSFCIPGRKIPKWICHQSEGFSTTVRLPSQWSNGEFLGFILCAVIAFNDSNIDNGFQVKCEYHFKDEHGNCSNLHGHISGWYGRRYLWNYREIQNGGVYPMFFGYDPCVDVKKNAQFPKHTQLLVEFHPEDMDGHPLHCCKVVNCGVRILYAEEERLCRCSSLHQQIKEALSKTRDEICSYEDQNLWESFLEHKKTKVVGTSCKMYQCLLCESHDFGCFDVVDEQDIDTLASL >Manes.12G025250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2139451:2140071:-1 gene:Manes.12G025250.v8.1 transcript:Manes.12G025250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADSTNEDTALIDCGCSAICFGCTYKVNWIQTGRGLIGRHCTCTCNCPPNSFFSNPIKPSPSTPQTPVTPSIPQVPTIPSTPTPQIPSTPSIPHVPSTPSTPQIPSTPSTPQIPTTPSAPQVPTTPSVSFTMSPTCAVASVNLGLCWARASVGTAFHNNELAAGCCNLFTEWGQGCFGGDDEIPRIVSYWVPPALVQYCATHH >Manes.05G014400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:632391:638022:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:630951:639194:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:632399:638007:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:633337:639213:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:630951:639209:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:632062:639289:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:630951:639209:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:632374:639289:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:630951:639194:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:633118:639213:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:632062:639289:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.05G014400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:632374:639289:-1 gene:Manes.05G014400.v8.1 transcript:Manes.05G014400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNNFRSRRNKRRRTTAYDNQNAKKRDKRRRKFEIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEQNMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLKSINDESKLGQLQNGIMRMNSNRQMCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.06G073900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20982375:20991084:-1 gene:Manes.06G073900.v8.1 transcript:Manes.06G073900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSTNSASTSRSNSTADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLKNVRSFGTEGRKKDGPQIPPSDKVYEYILFRGSDIKDLQVKSSPPVQPTPPINNDPAIIQSHYPRPVSTPASLPPAVSGSLSEIGSHAAQMGLPGPNFQGGPPLYQPGGNLGTWGASPPPPNANGSGLAMPMYWQGYYGPPNGLPHLHQQSLLRAPPGLSMPPPMQPMQYPNFNAALPTGASNLPTSLSDIQSPLLSVGTSSLNLASTSSAPSTLPSTLPPGPSASLASETLPSLIPNKSPSSALPAANLSASLPALSPLTSSGPELNTIVPPISYKPGTQSTSSVVVSGSLRTETPTPSLITPGQLLQSGSTTVPSSQPVQTAHKDVEVVQVSTTLSAEPSVPAVTEAQPPILPLPVPVRASHKPNGAPFHSRHGYRGRERGRGTGSSRPVTKFTEDFDFMAMNEKFKKDEVWGHLGKSNKSHSKDREDGKHSDEDDSQYEDDDELTKIEVKPLYNKDDFFDTLSCNALDHDSQNGRTRFSEQLKIDTETFGDFQRYRGGRGGRGPPRGGRPYGSYHGRGYGYGYGYGYGGRGRGRAMPNRS >Manes.06G073900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20983740:20991084:-1 gene:Manes.06G073900.v8.1 transcript:Manes.06G073900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSTNSASTSRSNSTADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLKNVRSFGTEGRKKDGPQIPPSDKVYEYILFRGSDIKDLQVKSSPPVQPTPPINNDPAIIQSHYPRPVSTPASLPPAVSGSLSEIGSHAAQMGLPGPNFQGGPPLYQPGGNLGTWGASPPPPNANGSGLAMPMYWQGYYGPPNGLPHLHQQSLLRAPPGLSMPPPMQPMQYPNFNAALPTGASNLPTSLSDIQSPLLSVGTSSLNLASTSSAPSTLPSTLPPGPSASLASETLPSLIPNKSPSSALPAANLSASLPALSPLTSSGPELNTIVPPISYKPGTQSTSSVVVSGSLRTETPTPSLITPGQLLQSGSTTVPSSQPVQTAHKDVEVVQVSTTLSAEPSVPAVTEAQPPILPLPVPVRASHKSSRPVTKFTEDFDFMAMNEKFKKDEVWGHLGKSNKSHSKDREDGKHSDEDDSQYEDDDELTKIEVKPLYNKDDFFDTLSCNALDHDSQNGRTRFSEQLKIDTETFGDFQRYRGGRGGRGPPRGGRPYGSYHGRGYGYGYGYGYGGRGRGRAMPNRS >Manes.06G073900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20985413:20991084:-1 gene:Manes.06G073900.v8.1 transcript:Manes.06G073900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSTNSASTSRSNSTADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLKNVRSFGTEGRKKDGPQIPPSDKVYEYILFRGSDIKDLQVKSSPPVQPTPPINNDPAIIQSHYPRPVSTPASLPPAVSGSLSEIGSHAAQMGLPGPNFQGGPPLYQPGGNLGTWGASPPPPNANGSGLAMPMYWQGYYGPPNGLPHLHQQSLLRAPPGLSMPPPMQPMQYPNFNAALPTGASNLPTSLSDIQSPLLSVGTSSLNLASTSSAPSTLPSTLPPGPSASLASETLPSLIPNKSPSSALPAANLSASLPALSPLTSSGPELNTIVPPISYKPGTQSTSSVVVSGSLRTETPTPSLITPGQLLQSGSTTVPSSQPVQTAHKDVEVVQVSTTLSAEPSVPAVTEAQPPILPLPVPVRASHKPNGAPFHSRHGYRGRERGRGTGSSRPVTKFTEDFDFMAMNEKFKKDEVWGHLGKSNKSHSKDREDGKHSDEDDSQYEDDDELTKIEVKPLYNKDDFFDTLSCNALDHDSQNGRTRFSEQLKIDTETFGDFQRYRGGRGGRGPPRGGRPYGSYHGRGYGYGYGYGYGGRGRGRAMPNRS >Manes.06G073900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20983740:20991084:-1 gene:Manes.06G073900.v8.1 transcript:Manes.06G073900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENSTNSASTSRSNSTADSYIGSLISLTSKSEIRYEGVLYNINTEESSIGLKNVRSFGTEGRKKDGPQIPPSDKVYEYILFRGSDIKDLQVKSSPPVQPTPPINNDPAIIQSHYPRPVSTPASLPPAVSGSLSEIGSHAAQMGLPGPNFQGGPPLYQPGGNLGTWGASPPPPNANGSGLAMPMYWQGYYGPPNGLPHLHQQSLLRAPPGLSMPPPMQPMQYPNFNAALPTGASNLPTSLSDIQSPLLSVGTSSLNLASTSSAPSTLPSTLPPGPSASLASETLPSLIPNKSPSSALPAANLSASLPALSPLTSSGPELNTIVPPISYKPGTQSTSSVVVSGSLRTETPTPSLITPGQLLQSGSTTVPSSQPVQTAHKDVEVVQVSTTLSAEPSVPAVTEAQPPILPLPVPVRASHKPNGAPFHSRHGYRGRERGRGTGSSRPVTKFTEDFDFMAMNEKFKKDEVWGHLGKSNKSHSKDREDGKHSDEDDSQYEDDDELTKIEVKPLYNKDDFFDTLSCNALDHDSQNGRTRFSEQLKIDTETFGDFQRYRGGRGGRGPPRGGRPYGSYHGRGYGYGYGYGYGGRGRGRAMPNRS >Manes.11G084700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHAARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHAARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHAARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHAARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHAARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHAARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHAARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDFQEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.11G084700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13189615:13206955:-1 gene:Manes.11G084700.v8.1 transcript:Manes.11G084700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLDSFDDILLEPAVAHARAAGKFQPRAKPRARKITSTSLPSVLPSNTREKSDSSFPTTLDTTKPVQSVNPLDDRLISPVDSSLVLPSIVLGSEELLENNKNNLKCEPLNSSTQTVGRREDLASPDSLPSEFVVTDSSNNGYSNFLFIEAGSSRLDLDPVDVVVPDTTSSKDVTGNGSKVPVSLSMTTLDVAGEPWKDNDRLSPHEKGSSVTSNLSPARAENAGSVAASLDGNGELNSSLLKSVEDVDSLGFDLDQPDIMFPECHASDSRAGKKFQPKVRSHRRKEISGSSMEQPATVAAVNSHTTQPVESVLTMDKPVDPVCPSSPNLDNESNEPSKENEVLLPHDNNLELVSPSSKEFMPKGDMSSKEAYLAGLDSNFGQKPSGVADSPIEVNSLGLDLDPFADIVLPPAISNARAGGKFQPRGKARPRKVTSESNSFAVSGATVEGPASVVSTVSDNLQSAKFIDVGDGRLMDSVYSTLTTMKSMESKEALRNDDCTNSGVLLFKVDRSSGLENSSHLVASDALHIGDIDRDPHSGLGKSIGENADIFSGLEYIHDFVTQSPRTEIPLPASSDGTEEHSRFPAQISVNSSAPGACNEATENVVTCNEAAVEIDNGKPQSDEPGSFPDFESPGILSESAIALECCTRNTQPKSMVQNGKEIPSTSILPDASPPNTQIDPSESVYIDVGSIPTFPSEDVLDYSSISFGNFIPADPTRSEFPVNEERTNLAETSCSSDLNILHHEDVSTVPAKESSKDRKRKSSSASYLAQNESVKSARQLRKRTIASQVVDGPEDEAQDSDGFPSEHRNSSIADGDIDYDYRVDEDNDNEDKVENTSSSRRASKKSKKPMAEQEKKPVSRRKRKNDAPEQPNQQSRKKFSHSTRRKSRLKDLLSIPEDEIDYQKMPFKDIILLAGYKEDLAIKEAKASKNSTKKSSTNSLHGEEEDDTVTSEQDGGVADDQSNSLFNCHSFMDKTPTARWSKQDTELFYEGIRQFGTDLSMIQQLFPGRTRHQIKLKYKKEERQHPLRLSEALSNRAKDHSYFEKVMEQLQQVAAQEERESNRGNSVSLTDDEEAQLNPETNEEVAKSDEQDEDVTVDQDGDPLKYDEDDDDFDIWGSYKSEY >Manes.04G070300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26944948:26968683:1 gene:Manes.04G070300.v8.1 transcript:Manes.04G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQFGATAETLSKASTIMFRIGTDAHLYDDPEDVNIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINSFQKDLGDTNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCARDPSVYVRKCAANALPKLHDLQQEEHSSTIEEIVGMLLSDHSPGVVGAAAAAFNSICPNNYSLIGRNYRRLCEVLPDVEEWGQIVLIGILLRYAIARHGLVKKSIMFSLHGKESPQSEKDSSDVEFSLEKDNGTMDWKYNSELASLVSRCYIEGPDEYLSRSSYGNRMFSEFHDYKFISAKSNDNLMILLHCTSPLLWSNNSAVVLAAAGVHWIMAPREDVKRIVKPLLFLLRSSSSSKYVVLCNIQVFAKAMPSLFAPHFEDFFIISSDSYQIKALKLEILCSITTESSISSIFKEFQDYVRDPDRRFAADTVAAIGLCAQRLPKMANTFLEGLLALTRQELLTSEFGSIEGEAGVLVQVLTSIKSIIKQDPPDHEKVVIQVVHSLDEIKVPAARAIVIWMMGEYSNLGEMLPRMVTTVLKYLAWSFPSEALETKLQILNTSVKVLLGAKEEDLWTSKKVVSYVLELAEVDLNYDVRDRARFLKKIVSSKLGSQEVKDDMIYPPQKEDLPHVLAECILRAQTQQLSLEPINYRIYLPGSLSQIVLHAAPGYEPLPKPCSVLHDEHSPETNKLGEGTDGSGSLSGSSDEETVSDYSSERSVTDSDGDDGSDETGSASENGNDVDPLIQVSDIGDTHINQNGVPQSASTDLGEMMSKRALESWLDEQPDMSNPSASGQSQVHRSSARISIKDIGSRVKPKSYELLDPANGNGLKVDYSFSSEISSISPLLVGVEVSFENRSTENISEVTLVDEESNKASDSAECSLTSHSDVPVLVPMEDITFLEPGQRTKRTLHVRFHHHLLPLKLALYCNGKKLSVKLRPDIGYFVKPLPMEVEAFTDKESHLRGMFEYVRSCTFTHHIEELNKDKEMGDMLTRDKILLVCETIAVQMLSNANVFLVSVDMPVAANLDDASGLCLRFSSEILSNSIPCLITITAEGKCIEPLNISIKVNCEETVFGLNLLNRIVNFLS >Manes.10G078200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18211143:18220625:1 gene:Manes.10G078200.v8.1 transcript:Manes.10G078200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVPVQAQGVNGGANAQFVTTSLYVGDLEANVTDSHLYDLFNQIGQVVSVRVCRDLTTRRSLGYGYVNYSNPQDAARAMDMLNFTPLNGSPIRIMYSHRDPSIRKSGSGNIFIKNLDKAIDHKALHDTFSAFGNILSCKVATDSSGQSKGYGFVQFDNEESAQRAIEKLNGMLLNDKQVFVGPFLRKQERESAIDKTKFNNVFVKNLSEATTEDDLKKIFGEFGTITSIVVMRDGDGKSKCFGFVNFENVDDAARAVDALNGNKFDDKEWYVGKAQKKSERENELKLRFEQSMKEAADKFQGANLYIKNLDDSIGDDNLRELFSPFGTITSCKVMRDPNGISRGSGFVAFSTPDEASRALMEMNGKMVASKPLYVALAQRKEDRRARLQAQFSQMRPVAIAPSVAPRVPMYPPGGPGLGQQIFYGQAPPAMMPPQPGFGYQQQLVPGMRPGAAPMPNFFMPMVQQGQQGQRPGGRRAGAAQQSQQPVPLMQQQMLPRGRVYRYPPGRALPDVPMTGVPGGMLSVPYDMGGMPLRDTALSQPIPIGALASALANATPEHQRTLLGENLYPLVEQLEPDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVQQQQAGGAADQLASLSLNDNLVS >Manes.12G008700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:916425:918170:1 gene:Manes.12G008700.v8.1 transcript:Manes.12G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFTPFLPLSSSVATPMSLNMGSSQGFTDYFQATNENAFLGLIQEMEDHRPVVGGLNGENSNRSSQNKSVSGSENEDELLGKKKKKGSSSEKKMRKHRYAFQTRSRVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHKGCNVKKQVQRLTRDEEIVVTTYEGMHSHPIEKSMDNFEHILTQMQIYNSF >Manes.15G118000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9419195:9422032:1 gene:Manes.15G118000.v8.1 transcript:Manes.15G118000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILLLDAEGKRVAVKYYSDDWPTNNAKEAFEKFIFAKTQKTNARTEAEITMLENNIIVYKFVQDLHFFITGGEDENELILATVLQGFFDAVGLLLRGNVDKKEALENLDLILLCLDEIVDGGIILETDGTVIAGKVASNSMDAGAPLSEQTLTQALATAREHLTRSLLK >Manes.15G118000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9419468:9421897:1 gene:Manes.15G118000.v8.1 transcript:Manes.15G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTITDRRFEFRFCKESCPSVKNILLLDAEGKRVAVKYYSDDWPTNNAKEAFEKFIFAKTQKTNARTEAEITMLENNIIVYKFVQDLHFFITGGEDENELILATVLQGFFDAVGLLLRGNVDKKEALENLDLILLCLDEIVDGGIILETDGTVIAGKVASNSMDAGAPLSEQTLTQALATAREHLTRSLLK >Manes.05G054400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4514098:4514790:1 gene:Manes.05G054400.v8.1 transcript:Manes.05G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGNNTSDFDDFALLDSIQQYLLDDNNFETLTSIPPVNSCDESSFSSLLFTDTCSDFPIKVNDSGDMSIFSSLSDERSIIGWSQLNQFDSTATETTTTTTIKREDLDAVASPEGVATTPKVVAARKNNGECKGWQYKGVRRRPWGKYAAEIRDPKKNGARVWLGTYETPEDAALAYDQAAFQLRGSKAKLNFPHLIGSSDYRAPVRVSPKRRSPDPTTMLEGSSPKRAK >Manes.13G071400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14936779:14940706:-1 gene:Manes.13G071400.v8.1 transcript:Manes.13G071400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFYNSWIDDKNKTVNIITELFSSGNLRLYRQKHRNVDLKAVKGWARQILMGLSYLHSHKPPIIHRDLKCDNIFINGNQGEVKIGDLGLATIMEQANAKSVIGTPEFMAPELYDEDYNELADIYSFGMCMLEMITFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPEVKTFIEKCLVPASQRLSAKELLMDPFLAINGLARNRPLPLPDIVIPRTSAFGDRCLMSEGPVNTRNRPPSMDLDYDPEMPMITLLNKAVDGHLHSPYVEVRRAKRGNIFTLRGEESDENSVSIILRINDKKGSRVRNIHFLFYLEGDTALAVSSEMVEQLELDDHNITFIAELIDMLLLKIIPNWKPCVRLEHLVPRNRENVLGSVSRTSSCLDTCSLGEIEGISSSMKLHEMLSHVDEFVNHSTTGADDRCSEISYVSAASSEWNDKMLFYNSNFSLDSALTDFDGHGLKGVMGEVFPRTTSPSVDDNSKLMDMNSSSHMEISPSYPTSMPFLPKNDDELLRRELEKIELQYQEAIKEISKRRHEAILETTRRSSHKNV >Manes.13G071400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14936779:14940706:-1 gene:Manes.13G071400.v8.1 transcript:Manes.13G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEDGGAHSEPPDPDVLEVDPTGRYIRYKEVLGKGAFKTVYKAFDEVNGLEVAWNRIRIDEVLQSPGDLERLYSEVHLLKLLKHSNMVKFYNSWIDDKNKTVNIITELFSSGNLRLYRQKHRNVDLKAVKGWARQILMGLSYLHSHKPPIIHRDLKCDNIFINGNQGEVKIGDLGLATIMEQANAKSVIGTPEFMAPELYDEDYNELADIYSFGMCMLEMITFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPEVKTFIEKCLVPASQRLSAKELLMDPFLAINGLARNRPLPLPDIVIPRTSAFGDRCLMSEGPVNTRNRPPSMDLDYDPEMPMITLLNKAVDGHLHSPYVEVRRAKRGNIFTLRGEESDENSVSIILRINDKKGSRVRNIHFLFYLEGDTALAVSSEMVEQLELDDHNITFIAELIDMLLLKIIPNWKPCVRLEHLVPRNRENVLGSVSRTSSCLDTCSLGEIEGISSSMKLHEMLSHVDEFVNHSTTGADDRCSEISYVSAASSEWNDKMLFYNSNFSLDSALTDFDGHGLKGVMGEVFPRTTSPSVDDNSKLMDMNSSSHMEISPSYPTSMPFLPKNDDELLRRELEKIELQYQEAIKEISKRRHEAILETTRRSSHKNV >Manes.13G071400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14936779:14940706:-1 gene:Manes.13G071400.v8.1 transcript:Manes.13G071400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFYNSWIDDKNKTVNIITELFSSGNLRLYRQKHRNVDLKAVKGWARQILMGLSYLHSHKPPIIHRDLKCDNIFINGNQGEVKIGDLGLATIMEQANAKSVIGTPEFMAPELYDEDYNELADIYSFGMCMLEMITFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPEVKTFIEKCLVPASQRLSAKELLMDPFLAINGLARNRPLPLPDIVIPRTSAFGDRCLMSEGPVNTRNRPPSMDLDYDPEMPMITLLNKAVDGHLHSPYVEVRRAKRGNIFTLRGEESDENSVSIILRINDKKGSRVRNIHFLFYLEGDTALAVSSEMVEQLELDDHNITFIAELIDMLLLKIIPNWKPCVRLEHLVPRNRENVLGSVSRTSSCLDTCSLGEIEGISSSMKLHEMLSHVDEFVNHSTTGADDRCSEISYVSAASSEWNDKMLFYNSNFSLDSALTDFDGHGLKGVMGEVFPRTTSPSVDDNSKLMDMNSSSHMEISPSYPTSMPFLPKNDDELLRRELEKIELQYQEAIKEISKRRHEAILETTRRSSHKNV >Manes.13G071400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14936779:14940706:-1 gene:Manes.13G071400.v8.1 transcript:Manes.13G071400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCEDGGAHSEPPDPDVLEVDPTGRYIRYKEVLGKGAFKTVYKAFDEVNGLEVAWNRIRIDEVLQSPGDLERLYSEVHLLKLLKHSNMVKFYNSWIDDKNKTVNIITELFSSGNLRLYRQKHRNVDLKAVKGWARQILMGLSYLHSHKPPIIHRDLKCDNIFINGNQGEVKIGDLGLATIMEQANAKSVIGTPEFMAPELYDEDYNELADIYSFGMCMLEMITFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPEVKTFIEKCLVPASQRLSAKELLMDPFLAINGLARNRPLPLPDIVIPRTSAFGDRCLMSEGPVNTRNRPPSMDLDYDPEMPMITLLNKAVDGHLHSPYVEVRRAKRGNIFTLRGSRVRNIHFLFYLEGDTALAVSSEMVEQLELDDHNITFIAELIDMLLLKIIPNWKPCVRLEHLVPRNRENVLGSVSRTSSCLDTCSLGEIEGISSSMKLHEMLSHVDEFVNHSTTGADDRCSEISYVSAASSEWNDKMLFYNSNFSLDSALTDFDGHGLKGVMGEVFPRTTSPSVDDNSKLMDMNSSSHMEISPSYPTSMPFLPKNDDELLRRELEKIELQYQEAIKEISKRRHEAILETTRRSSHKNV >Manes.04G030750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3910777:3911780:-1 gene:Manes.04G030750.v8.1 transcript:Manes.04G030750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLAVTNIFFTIIKSSDDVKTSSGSENCSLSVSVICNSNGAQRPRSLKKLGTCDYYAVLQHPSGCTIVVSIHGKG >Manes.13G092690.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:27391395:27392369:1 gene:Manes.13G092690.v8.1 transcript:Manes.13G092690.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGVYVDDLILTGSNQLVINQFKQEMQCKFKMSDLGLLSYYLGIEVKQNQREITLCQTAYAKKILEKLGMGECNPCQIPMEPRTKMSKFGNGEPPVDETQYRSVIGSMRYLVHTRPDLAYSVGVMSRYMETPNSSHLTAVKQILRYVRGTLNYGCVYQKGQPSLELVGFSDSDMAGDIDDRKSTTGVIYFLGNNPITWVSQKQKIVALSSCEAEYIAATAGTCQGVWLKRVLSNISNEKETATMKIDNKSAIALARNPVYHDRSKHIDTRYHFIRECLQNGDIKLEHVKTELQLADMLTKPLARQRFVELREKIGVEDITKFG >Manes.02G048480.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3947721:3949096:1 gene:Manes.02G048480.v8.1 transcript:Manes.02G048480.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNCVRPDEFTFNFLIKARSKLHKIRNLPLGMEYDEIQWTVLKYGFCSHLLVQNALIHLYAVKGSPPAAWRVFNETVGVDVISWQVFDNMPEKDVVSWTAMVSGYSHAKRSREALELFWKMRDVGVRPDEVTIVSVISACTNLGDLETGINVHSYIDENGFGWMVSLCNALIDMYAKCGCISRAWQVFNNMSRKSLITWNSMIVACANHGYVEDACGLFSLVTSLSLLTAYAHKGMVDEGYRMFQSMQRDYGIEASVEHYGCTVDTLGRAGRVEEAYDLIVNMPIQSNDVVWGALLAACRIYADVNMAERVVKKLLELKPDEGEDYILLRDVYVASDRTAEANGIRCEASKNPGCSW >Manes.05G030300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2588938:2597897:-1 gene:Manes.05G030300.v8.1 transcript:Manes.05G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENEEQHVLNKHTEARHESEKKLRFSYTRDFLLSLRELDVCKNWPSGFDPLLLSEFEDASQDRFRTSGSLTSQSYRRTEYGSSPPTRGDMGNYSRGIHGRWDSRSSGRGDRDSDSQSDWDSDSGKRHGNQSRRPWQVPEHDGLLGSGSFPRPSGYTAGPSAPKLRANDNYQLNRSNEPYHPPRPYKAVPHSRRDANDSYNDETFGSSEFTSEDRAEEERKRRASFELMRKEQHKAFKEKQKLNPEKGKDDFDISELMEDPKDKRFLIRKNETDEPATQTPSIVDSDKSSIPSPAPLSRPLVPPGFSSTILVEKNVGIKSLIPPEPSEIGNERDGSHLDAKGNSLLSGTSNNQEKNQPLEQIDLSEQQLGSPSIQDSVKSQSEKLLKLSSALDVSTEVQVLGMDDQFYKNSKLSEAFETSESSEFIEHDGKDRSESKVVKESSPTHSTSILDKLFGSALTLNGVVSSGFIEDVKADDKWSPCTVQSSKFAQWFLEEEKKPIDGLSSGSNNKPGDDLSSGRPSDLLSLIAGGEKSGSHTFSVKAVENTPPSPPLQSSGLADGHMTSNSMPVTVENIDKLDAVPAVLTCEDLEQSILSEMTESSSTLQPPVQGLSGSGAKTEQLKTDIDDHASQHLLSLLQKGTNLYTGLDIVSSDTKQNMEVENLSTMLSSSRERDTENTPNAGKLLTLETLFGTAFMKELQPVGMPTSGQSGSVGSVKVSVLESPFPMTDDNFHTSADDMTISMSSNGGILASHQRQQLKPESVQEQILRFDHKNEVNLSQRQIDMGSKLGGFDGSVDIRLPEEDSLITSDPLNLQNFMHARSSSKAELSSMPETAVDIAEKLTALNSVYPDERSIIGGQGGAAFFRGPYDMRESDVQYPNIQAQPSSPQLHRPQFNHAGPMFHALDSHPANVNTQMKFMAPDNIHLDPPNNQFAANLLSPPFHHPSTGLTRLDPNPHNPMLQQMHMPGNFPPPHLLRGFPRGAPLPPHPSNQVPGVIQESNPMQGFPFGQRQANFGALGIPSQAPDVGGGTHHPEVLQRLFEMELRSKSKPIHPFAMAGHNQGRYGHELDMSFGHR >Manes.13G025600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3437671:3437988:-1 gene:Manes.13G025600.v8.1 transcript:Manes.13G025600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGKPQVDSGTLELDAKKWVIAGIPLRAPLKPIFTNPVEKESESSGDECSTTTTPTSEDARIPTRLTCPLAPKKRKATLKCNYNREFFTPPPDLETVFIRHVN >Manes.07G008930.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1012136:1044709:-1 gene:Manes.07G008930.v8.1 transcript:Manes.07G008930.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGGSGYIASWLIKFLLQRAYTVKATVRNPNDLKKTAHLLALEGAKERLHLLKADLLEEGSFDAAVDGCEAVFHTASPVSLQANADPQAELVDPAVKGTLNVLRSCAKVPSIKRVVITSSFASLPYNGKTLAPDVVVDETWFSDPAVCAERKLWYQLGKTLAEQAAWEFAKNNKMDFVTIHPGFVLGPLLQPTINSSIEILFNLINGGAQEYPDAYYRSIDVRDVAYAHIQALEIPSASGRYCLIESDIHFSKVLKIVQQHYPTLHLPKKCGFGLNSLAKYGVSKEKAKTLGIDFIPLEVSLKDTIESLKEKGFLSI >Manes.02G196400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16231015:16233548:-1 gene:Manes.02G196400.v8.1 transcript:Manes.02G196400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISLFFYLSPFPILVCNKLSLLEKTFLENNSFFTFFCSVEVIVTMDSMRGQGGIQMLLNAEQEAQQIVAAARNLKLTRLKQAKDEAEKDVAAYKSKLESEHQKKLSETSGSSGTTVKRLEEETQVKIKQLKESASKVQPEIIATINKYITTVHK >Manes.02G196400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16231257:16233509:-1 gene:Manes.02G196400.v8.1 transcript:Manes.02G196400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISLFFYLSPFPILVCNKLSLLEKTFLENNSFFTFFCSVEVIVTMDSMRGQGGIQMLLNAEQEAQQIVAAARNLKLTRLKQAKDEAEKDVAAYKSKLESEHQKKLSETSGSSGTTVKRLEEETQVKIKQLKESASKVQPEIIATINKYITTVHK >Manes.12G103300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27744401:27746942:-1 gene:Manes.12G103300.v8.1 transcript:Manes.12G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHRFSDNYMVLRPENVSFFNIFGILLNNDLEKKAFVHYPSGKEENLPRNLRIFVSLFAQKLLQSLANPTSKLGSRFEMWLNLVSCNRNSFLLLLNALRGKVVMPVKESRTFLSTLGFMDQRVHLDKNIKPGHCRYYSALAVMAAKISYENRAFVENVVRDHWKMELIGHFNFWNDFQKKQTTKAIMFHDRSANSDIIVVAFRGTEPFDTDAWCTDFDISWYELHDMGKIHGGFMKALGLLMYRGWPPPEEFEQDDHSSTPLAYYTIRKLLVELLKQNERTKYILTGHSLGGALAILFVAVLAMHEQTELLERLEGVYTFGQPRVGDEGFKKFMESKLQAYNIKYLRFVYCNDVVPRMPFDNSRFSFKHFGTCIYYDSKYKGQVLEEEPDKNYFSPFGAIPRFVNAVWELLRSFVLPCVKGAEYSEGWFLKMIRWYGLILPGLSAHNPQDYVNLTRLGSDSLYLQLQQQESV >Manes.09G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4521371:4527556:1 gene:Manes.09G021900.v8.1 transcript:Manes.09G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRAAAPKSPKSPRPLPTTIHPNHNNHHHQSYRFSPSKSLDFSTWFSENLYKIVICFFLIATVAAVFFLRNTGDTAAFLYLQSKSQPIEKTLPFPHVNWNHIPPIVDKTSTYASFRTEKWIVVSVSDYPSDSLKKLVKIKGWQLLVIGNSKTPRDWALKGAIYLSLEQQASLGFRVVDFVPYDSYVRKSVGYLFAIQHGAKKIFDADDRGEVIGDDLGKHFDVELFGEGARQETILQYSHENVNRTVLNPYIHFGQRSVWPRGLPLENVGEIEHEEFYTEIFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEAFDIRFDEHAPKVALPQGTMVPVNSFNTMYHSSALWGLMLPVSVSTMASDVLRGYWGQRLLWEIGGYVVVYPPTVHRYDRIEGYPFSEEKDLHVNVGRLIKFLVSWRSTNHRLFEKILELSYAMAEEGFWTEQDVKFTAAWLQDLIAVGYQQPRLMSLELDRPRANIGHGDRREFIPRKLPSVHLGVEETGTVSYEIGNLIRWRKNFANVVLIIFCTGPVERTALEWRLLYGRIFKTVVILSQQKNEDLAVEEGNLDQLYKHLPKIFQRFTSAEGFLFVKDDTVLNYWNLLQADKTKLWITDKVSKCWSTVSTNGNSDWFGKQAEMVKKVVGSMPVHFQVNYKDAMKSEQTIIVCNSEIFYVPHHYVADFVDLVSLVGDLEIHHKVAIPMFFVSMDSPQNFDSVLSKMVYKQNPPSINSTIYSAQAPAVHPWNVSSEQDFIKLIRIMAEGDPLLMELV >Manes.01G210900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38198568:38200422:1 gene:Manes.01G210900.v8.1 transcript:Manes.01G210900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGSRCPKDACKRIRRAVAASPAYHTVRRISYILPNSRSASPATNSSPPAKAIDLQSKSGSSKRPKSDRNREVAASVPITFDYSSQNEKPTLLELIQKNTQIARVAPKIESDGAPAKTIAGEVTKTKVNPEVKLEKGGKSGVHIEDRITDYINRAKFKIRTMSRSSEGKYGSGKDKDKLSDDTSGKDKFSDFIDRTRNKLKKASSIGAGKSHSFK >Manes.S037516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1020805:1021179:1 gene:Manes.S037516.v8.1 transcript:Manes.S037516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.13G032800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4123404:4126193:1 gene:Manes.13G032800.v8.1 transcript:Manes.13G032800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAYVFKIVNWRFTPHFLLFFVQTAYSFLYFITEAAFSHGLNPHVYVTYRYFLGGSLVLPFAYFLERKARPKMTLPLFLEIFVLSLLGLEVVDVRNPRGIAKILGTLMALGGALILAFYKGTDMQSSHDAPIHVRSNPAQQKWIKGSFLLAASCITWSMWAIMQVYTLKKYPAQLSLTAMINFLGGAQSAVFALCTQHKPEAWYIKFDINFWCIVYAGIVVCALTVFLQLWCTKQKGPVFVTMFNPLSTVEVAILAYFFFGEKLRSGSLLGGAVVIVGLYLVLLGKEGDQDQMKSQEQSSPSNGEEKDSHVQIEASARRESWAAGS >Manes.13G032800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4123404:4126193:1 gene:Manes.13G032800.v8.1 transcript:Manes.13G032800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAYVFKIVNWRFTPHFLLFFVQTAYSFLYFITEAAFSHGLNPHVYVTYRYFLGGSLVLPFAYFLERKARPKMTLPLFLEIFVLSLLGASLTLNMYFASLKYTSPTFITSMTNTIPCLTFLLAIILRLEVVDVRNPRGIAKILGTLMALGGALILAFYKGTDMQSSHDAPIHVRSNPAQQKWIKGSFLLAASCITWSMWAIMQVYTLKKYPAQLSLTAMINFLGGAQSAVFALCTQHKPEAWEL >Manes.13G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4123404:4126193:1 gene:Manes.13G032800.v8.1 transcript:Manes.13G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAYVFKIVNWRFTPHFLLFFVQTAYSFLYFITEAAFSHGLNPHVYVTYRYFLGGSLVLPFAYFLERKARPKMTLPLFLEIFVLSLLGASLTLNMYFASLKYTSPTFITSMTNTIPCLTFLLAIILRLEVVDVRNPRGIAKILGTLMALGGALILAFYKGTDMQSSHDAPIHVRSNPAQQKWIKGSFLLAASCITWSMWAIMQVYTLKKYPAQLSLTAMINFLGGAQSAVFALCTQHKPEAWYIKFDINFWCIVYAGIVVCALTVFLQLWCTKQKGPVFVTMFNPLSTVEVAILAYFFFGEKLRSGSLLGGAVVIVGLYLVLLGKEGDQDQMKSQEQSSPSNGEEKDSHVQIEASARRESWAAGS >Manes.06G022700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4505110:4510048:1 gene:Manes.06G022700.v8.1 transcript:Manes.06G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSNSDLSGDEDDGFMLNDDDSGVGGGPLPFPVENLLQTAPCGFVVTDALEPDHPIIYVNTVFEMATGYRAEEVLGHNCRFLQCRGPFAKRRHSLVDSTVVAEIRRCLEEGIEFQGELLNFRKDGSPLMNRLRLTPIYGDDETITHVIGIQFFTEANIDLGPLPGSSLKESAKSADRFRTGVLNYRPIPVGDRSVCRGVCGILQLSDEVLSLKILSLLTPRDIASVGSVCRRLNALTKNEDLWRMVCQNAWGCETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGSGNPGGVAPPPRLDHVAVNLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSVI >Manes.14G096200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7990851:7994424:-1 gene:Manes.14G096200.v8.1 transcript:Manes.14G096200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFITTNQVILMLLCLLVWCSGEAENDAAPMEKGEQAVLYSAIQGFVGNWWNGSDLYPDPCGWTPIQGVSCDIFDGLWYVTDLSIGPIHDNSLDCAPNAEFRPQLFKLKHLRSLSFFSCFMSPFKYPITIPSKKWEKLAGNLEKLEFRSNPGLVGQVPSSFGGLTKLQSLVLLENGLSGELPRNLVELSNLKRLVLAGNRFNGRIPDSFGGLSQLLILDLSRNSLSGSLPSSFGSLSSLLKLDLSNNLLEGKLPVEFGYLKNLTLLDLRNNKFSGGLINSLQEMGSLEEMAMSNNPIGGDLQAIEWHNLENLAILDLSNIGLTGTIPVSLAKLRNLRFLGLCDNNLTGNLSPKLETLPSVSALYLNGNNLTGELQFSERFYRKMGRRFGAWNNPNLCFPVGLISTSHVPYGVKPCQQKVTLLEPHSDSKLYNGKLNQSSHLMVSLGFSSYTIDGFWWVTLQIIVTFLLLGSFQSYLFGNIA >Manes.14G096200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7991579:7994662:-1 gene:Manes.14G096200.v8.1 transcript:Manes.14G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFITTNQVILMLLCLLVWCSGEAENDAAPMEKGEQAVLYSAIQGFVGNWWNGSDLYPDPCGWTPIQGVSCDIFDGLWYVTDLSIGPIHDNSLDCAPNAEFRPQLFKLKHLRSLSFFSCFMSPFKYPITIPSKKWEKLAGNLEKLEFRSNPGLVGQVPSSFGGLTKLQSLVLLENGLSGELPRNLVELSNLKRLVLAGNRFNGRIPDSFGGLSQLLILDLSRNSLSGSLPSSFGSLSSLLKLDLSNNLLEGKLPVEFGYLKNLTLLDLRNNKFSGGLINSLQEMGSLEEMAMSNNPIGGDLQAIEWHNLENLAILDLSNIGLTGTIPVSLAKLRNLRFLGLCDNNLTGNLSPKLETLPSVSALYLNGNNLTGELQFSERFYRKMGRRFGAWNNPNLCFPVGLISTSHVPYGVKPCQQKVTLLEPHSDSKLYNGKLNQSSHLMVSLGFSSYTIDGFWWVTLQIIVTFLLLGSFQSYLFGNIA >Manes.11G146400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31073534:31076276:1 gene:Manes.11G146400.v8.1 transcript:Manes.11G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVILNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >Manes.07G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32983081:32987215:1 gene:Manes.07G127400.v8.1 transcript:Manes.07G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKQAEEEMISSFNETENEEKEEENNKEEDSIFSLKGILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQVFYGIMGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPTWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIAAVVHGQVDGVTHNGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATMYVFTLTIPSATAVYWAFGDQLLTHSNAFSLLPSSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSIFVRALTRLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRSASARQNAAEKPPFFLPSWTAMYAVNAFIVIWVLVVGFGLGGWASMSNFIKQVDTFGLFAKCYQCPPQPASKHH >Manes.07G127400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32983081:32987215:1 gene:Manes.07G127400.v8.1 transcript:Manes.07G127400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKQAEEEMISSFNETENEEKEEENNKEEDSIFSLKGILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQVFYGIMGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPTWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIAAVVHGQVDGVTHNGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATMYVFTLTIPSATAVYWAFGDQLLTHSNAFSLLPSSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSIFVRALTRLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRSASARQNAAEKPPFFLPSWTAMYAVNAFIVIWVLVVGFGLGGWASMSNFIKQVDTFGLFAKCYQCPPQPASKHH >Manes.14G146100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15119355:15137355:-1 gene:Manes.14G146100.v8.1 transcript:Manes.14G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYMVLSLFASLFISSLMVSSASNFYNDFDITWGDGRAKILNNGQLLSLSLDQASGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSNDSSWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNSQRIIFSVDGTPIREFKNLESMGVPYLKNQPMRIYSSLWNADDWATRGGLVKTDWSQAPFTASYRNFKANACAWSNGISSCGTSNSMTNSWLSEELDSTSQGRLQWVRNNYMIYNYCTDANRFPQGFPPECNLS >Manes.18G095700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8852579:8854962:-1 gene:Manes.18G095700.v8.1 transcript:Manes.18G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQSLISLFKLLRSHPFRPSPQPIYAFSLESLSPILTRPLSNSLVPLSPKFLHTSTSNLCIPAFPLPYAVHAWPPTYRTRRKHSTETGGDPAPALDVNREVDMINLKFAEAREEIEMALESKETVYFNEEAECARTAVKEVLDMFEGLLEKLPESEKAALQRSMGLKIEQLKAELQQLDD >Manes.01G148600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33802893:33809631:1 gene:Manes.01G148600.v8.1 transcript:Manes.01G148600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKKPLWSAGLNDSSREADPQAQASATAVEELVNSLNKQRLYREVTLALRTSLRDASAEFSFLRVRGLRSLLNFLRSVVQSDSTINLFCQTQSIPELQVLFRHSLKDSEDDKVESLNHIFGVEPLNITGPSTGAEVALALRVLEGCCLLHSESTVLAHKYKAIEVLLHILSTRGASEQGACLDALISIMLDSSSNQMDFEVCNGIEEVTVLIRDKQVDENLRLKCGEFLLLLIGHVNGRETPPMVTIHEDIRRLLGEKSASLIWAASQFGSTLDPEQRLTALHIQARRVLESLDLY >Manes.01G148600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33802893:33809631:1 gene:Manes.01G148600.v8.1 transcript:Manes.01G148600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKKPLWSAGLNDSSREADPQAQASATAVEELVNSLNKQRLYREVTLALRTSLRDASAEFSFLRVRGLRSLLNFLRSVVQSDSTINLFCQTQSIPELQVVPVLFRHSLKDSEDDKVESLNHIFGVEPLNITGPSTGAEVALALRVLEGCCLLHSESTVLAHKYKAIEVLLHILSTRGASEQGACLDALISIMLDSSSNQMDFEVCNGIEEVTVLIRDKQVDENLRLKCGEFLLLLIGHVNGRETPPMVTIHEDIRRLLGEKSASLIWAASQFGSTLDPEQRLTALHIQARRVLESLDLY >Manes.15G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7420067:7424898:-1 gene:Manes.15G095300.v8.1 transcript:Manes.15G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKSILCRRISNSVKSVNNHKHGGSGRVVVPTGIGGDVSGELLAESDPVDGWANMLPELLGEIIKRVEESEDRWPQRKNVVACACVCKKWREVTKEMVRSPRNIGKITFPSCLKQPGPRDLPHQCLIKRNKKTSTFYLSLALTPSLMDEGKFLLAARRFRHGAHTEYIISLDADDLSQGSNAYVGKLSSDFLGTNFTIYDSQPPHTGAKPSSSRASRRFASKQISPQVPAGNFEVGHVSYKFNLLKSRGPRRMVCSLKCPLSQENVNGKLIDDSMMKGPESSVSSCTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEDTVLLQFGKVGDDTFTMDYRQPLSAFLAFAICLTSFGTKLACE >Manes.07G013100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1633579:1638234:1 gene:Manes.07G013100.v8.1 transcript:Manes.07G013100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMKASCQLTWSALQEMAKGSRGHRRIASRQCRPAPYHLPSCNHDVLEDFYLKKSSKVLDKKEWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCATSFRYSNCLDQYRKAYTKITSSSGTADNPILLSDSGWPVDKCEVSELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFVGNYKELRKHMRTAHPSARPREVDPILEQKWRRLVREREHDDVISTIRSTMPGAMVFGDYVIEGNNYGFDSEEENGGFDADAAERNGNFEAGFDRNLVNVFLLLHAIGASGDGLNRRLRQSERPNHIAMDESDDGIRHISPVRGSNSSDINDNDSNDDNGDGGLSLVSRLHRHDRVLLGRSGRRSRHREAGEGER >Manes.07G013100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1633476:1638234:1 gene:Manes.07G013100.v8.1 transcript:Manes.07G013100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRGHRRIASRQCRPAPYHLPSCNHDVLEDFYLKKSSKVLDKKEWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCATSFRYSNCLDQYRKAYTKITSSSGTADNPILLSDSGWPVDKCEVSELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFVGNYKELRKHMRTAHPSARPREVDPILEQKWRRLVREREHDDVISTIRSTMPGAMVFGDYVIEGNNYGFDSEEENGGFDADAAERNGNFEAGFDRNLVNVFLLLHAIGASGDGLNRRLRQSERPNHIAMDESDDGIRHISPVRGSNSSDINDNDSNDDNGDGGLSLVSRLHRHDRVLLGRSGRRSRHREAGEGER >Manes.07G013100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1633579:1638246:1 gene:Manes.07G013100.v8.1 transcript:Manes.07G013100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRILVLALQEMAKGSRGHRRIASRQCRPAPYHLPSCNHDVLEDFYLKKSSKVLDKKEWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCATSFRYSNCLDQYRKAYTKITSSSGTADNPILLSDSGWPVDKCEVSELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFVGNYKELRKHMRTAHPSARPREVDPILEQKWRRLVREREHDDVISTIRSTMPGAMVFGDYVIEGNNYGFDSEEENGGFDADAAERNGNFEAGFDRNLVNVFLLLHAIGASGDGLNRRLRQSERPNHIAMDESDDGIRHISPVRGSNSSDINDNDSNDDNGDGGLSLVSRLHRHDRVLLGRSGRRSRHREAGEGER >Manes.07G013100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1633475:1638257:1 gene:Manes.07G013100.v8.1 transcript:Manes.07G013100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRGHRRIASRQCRPAPYHLPSCNHDVLEDFYLKKSSKVLDKKEWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCATSFRYSNCLDQYRKAYTKITSSSGTADNPILLSDSGWPVDKCEVSELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFVGNYKELRKHMRTAHPSARPREVDPILEQKWRRLVREREHDDVISTIRSTMPGAMVFGDYVIEGNNYGFDSEEENGGFDADAAERNGNFEAGFDRNLVNVFLLLHAIGASGDGLNRRLRQSERPNHIAMDESDDGIRHISPVRGSNSSDINDNDSNDDNGDGGLSLVSRLHRHDRVLLGRSGRRSRHREAGEGER >Manes.07G013100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1633476:1638234:1 gene:Manes.07G013100.v8.1 transcript:Manes.07G013100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRGHRRIASRQCRPAPYHLPSCNHDVLEDFYLKKSSKVLDKKEWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCATSFRYSNCLDQYRKAYTKITSSSGTADNPILLSDSGWPVDKCEVSELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFVGNYKELRKHMRTAHPSARPREVDPILEQKWRRLVREREHDDVISTIRSTMPGAMVFGDYVIEGNNYGFDSEEENGGFDADAAERNGNFEAGFDRNLVNVFLLLHAIGASGDGLNRRLRQSERPNHIAMDESDDGIRHISPVRGSNSSDINDNDSNDDNGDGGLSLVSRLHRHDRVLLGRSGRRSRHREAGEGER >Manes.07G013100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1633579:1638234:1 gene:Manes.07G013100.v8.1 transcript:Manes.07G013100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSRGHRRIASRQCRPAPYHLPSCNHDVLEDFYLKKSSKVLDKKEWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCATSFRYSNCLDQYRKAYTKITSSSGTADNPILLSDSGWPVDKCEVSELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFVGNYKELRKHMRTAHPSARPREVDPILEQKWRRLVREREHDDVISTIRSTMPGAMVFGDYVIEGNNYGFDSEEENGGFDADAAERNGNFEAGFDRNLVNVFLLLHAIGASGDGLNRRLRQSERPNHIAMDESDDGIRHISPVRGSNSSDINDNDSNDDNGDGGLSLVSRLHRHDRVLLGRSGRRSRHREAGEGER >Manes.09G146700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34442734:34444083:1 gene:Manes.09G146700.v8.1 transcript:Manes.09G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLFNNFFKILHHHPLHQLLYALFLISTIPFTFSEIKKTHIIDDARPMILFERFGFSEGGHVAISIRNVSWKTKHRKAEYSPSLMGFFLARDLSFARIINESQYTQPFCVLLSRYVKLIFKFDELTTNSTYNGSIVITDPDEYSLVFGNCEPEFEVSMYVHTEMYNLQYGVKDYLSAGQILLPKLYFMFFLIYTWFFGVWFYVCLKQRPTVDKIHVIMGALLIVKALKMICASEDKMYVGKTGTPHGWDVAFYIFGFFKGIMLFTVIVLIGTGWSFLKPYLQEREKNVLMIVIPLQVLENIAYVVISETGPATKDWMTWNQMFLLIDVICCCAVFFPIIWSIRSLREASKTDGKAARNLQKLTLFKQFYIFVVGYLYFTRVVVSSIGALLDYKYEWIMNALAEGASLIFYVFIFYNFQPIERNPYLVIDDEEESVASQILQEDGSFEL >Manes.13G121100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32830689:32834877:-1 gene:Manes.13G121100.v8.1 transcript:Manes.13G121100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGGGVVFGAPRATSLRFCHRIPTLRSFSSPCASPSSFTEHVSFIRDVAAAEPPKHLSHLLRLLKTRGEAIISPEAKQGLIPLVVPLAKNSSDNVISLLRWPTAPPGMEMPVVEVHKHGVWLLAKTVDQFIHRILVEEDAKKSREGNNNIFHAAADAGASLYTRGDFAKSQISDLDAYLLRKVGLFPDVLERKVIHHLEKGDYVSALVTGEFYTKKEHFPGFARPFVFNAEVLLKVGRKLEAKDAARGALKSPWWTLGCRYQEVAQIAEWDDEQIEYIKERVTEESRQEDLNKGKDPTQIALDEAAFLLDLASLEGTWDDYLERIAACYKEAGLPDIARFVESRD >Manes.05G037900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3141458:3154003:-1 gene:Manes.05G037900.v8.1 transcript:Manes.05G037900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYGSLEKNTQGQPDGVVSLAKWRILNRLHDRNETLYYRVLIDNIEDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGFNPQRVLPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVFSRWPKAVVQFEDFQMKWAFETLQRYRKRFCMFNDDIQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLNMAIQAVSRMSGDNEGTVKNQFFLLDKDGLITKERRNIDPAAAPFAKDLKDVEGLREGASLVEVVKKLKPHVLLGLSGVGGIFNEEVLKAMRESDSTKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFKNVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGARFITDEMLQAAAECLASYITDEEIQKGKLYPSVSSIRCITAEVGAAVLRAAVAEGLAEGHGDVGPRELNNMSKEETVEYVSRSMWFPVYSPLVHEK >Manes.05G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3141458:3154003:-1 gene:Manes.05G037900.v8.1 transcript:Manes.05G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLARVAASSFRRSRWFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYARFMESYGSLEKNTQGQPDGVVSLAKWRILNRLHDRNETLYYRVLIDNIEDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGFNPQRVLPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVFSRWPKAVVQFEDFQMKWAFETLQRYRKRFCMFNDDIQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLNMAIQAVSRMSGDNEGTVKNQFFLLDKDGLITKERRNIDPAAAPFAKDLKDVEGLREGASLVEVVKKLKPHVLLGLSGVGGIFNEEVLKAMRESDSTKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFKNVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGARFITDEMLQAAAECLASYITDEEIQKGKLYPSVSSIRCITAEVGAAVLRAAVAEGLAEGHGDVGPRELNNMSKEETVEYVSRSMWFPVYSPLVHEK >Manes.05G037900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3141458:3154003:-1 gene:Manes.05G037900.v8.1 transcript:Manes.05G037900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLARVAASSFRRSRWFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYARFMESYGSLEKNTQGQPDGVVSLAKWRILNRLHDRNETLYYRVLIDNIEDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGFNPQRVLPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVFSRWPKAVVQFEDFQMKWAFETLQRYRKRFCMFNDDIQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLNMAIQAVSRMSGDNEGTVKNQFFLLDKDGLITKERRNIDPAAAPFAKDLKDVEGLREGASLVEVVKKLKPHVLLGLSGVGGIFNEEVLKAMRESDSTKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFKNVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGARFITDEMLQAAAECLASYITDEEIQKGKLYPSVSR >Manes.05G037900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3141458:3154003:-1 gene:Manes.05G037900.v8.1 transcript:Manes.05G037900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYGSLEKNTQGQPDGVVSLAKWRILNRLHDRNETLYYRVLIDNIEDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGFNPQRVLPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVFSRWPKAVVQFEDFQMKWAFETLQRYRKRFCMFNDDIQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLNMAIQAVSRMSGDNEGTVKNQFFLLDKDGLITKERRNIDPAAAPFAKDLKDVEGLREGASLVEVVKKLKPHVLLGLSGVGGIFNEEVLKAMRESDSTKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFKNVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGARFITDEMLQAAAECLASYITDEEIQKGKLYPSVSSIRCITAEVGAAVLRAAVAEGLAEGHGDVGPRELNNMSKEETVEYVSRSMWFPVYSPLVHEK >Manes.17G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14680095:14681723:1 gene:Manes.17G023300.v8.1 transcript:Manes.17G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSLLFCFCIFVAFEFSNASPPDLSNGKASFYELKKGNMSLKFTNVGASLMSFMFPDKTGKPIDIVLGYDTPEEYEKDTTYFGAIVGRVANRIGGAQFKLNEKVVKLAANEGKNMLNGGAKGFSKVIWKVIKHKNEGEAPFIIFTYRSPDGEEGFPGALRVSIVYTLLATNQLKVIMKAKSLDNKPTPVNLAQHTYWNLGGHDSGDILTHQAQIFGQFYTALDNDMIPTGQILYARGTAYDFTEPELKPLGKRIDLLPKGYDINYVLDTFEVSKMRKVATLQEAKSGIRVDLSTNAPGMQFYSGDLLKDEKGKGGVVYKSRAGVCMETQWYPDFVNQPSFPQSIVEKGKMYRHNMLYEFSNI >Manes.01G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6168622:6173663:1 gene:Manes.01G031300.v8.1 transcript:Manes.01G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGLPFENLIGDAVSSIRFAPLSNNLLISSWDSNLRLYDVDGSLLRLEAPSQAGLLDCCFQNESLAFSAGSDGCIRRCDLHSGTTETIGNHHDIATCVGYSDETGLLFSASLDKNIMSWDMRSAKPLVYLINMGAEVESISLSRSDLMVAVGASVKIYDLRNLERPVHLKESCTKIRIKRISSFPRGYAVGYVDGRVALEFLDPSNLNEGYTFRCHPKSMDGRAHLVPINDIVFNPLVSGTFVTGDNDGYIITWDNESKRRLYEFPRYPNSVASLSFNHGGDLLAIASSYTYQEASEMEATPQIFIQKMEYC >Manes.01G031300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6168745:6174684:1 gene:Manes.01G031300.v8.1 transcript:Manes.01G031300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGLPFENLIGDAVSSIRFAPLSNNLLISSWDSNLRLYDVDGSLLRLEAPSQAGLLDCCFQNESLAFSAGSDGCIRRCDLHSGTTETIGNHHDIATCVGYSDETGLLFSASLDKNIMSWDMRSAKPLVYLINMGAEVESISLSRSDLMVAVGASVKIYDLRNLERPVHLKESCTKIRIKRISSFPRGYAVGYVDGRVALEFLDPSNLNEGYTFRCHPKSMDGRAHLVPINDIVFNPLVSGTFVTGDNDGYIITWDNESKRRLYEFPRYPNSVASLSFNHGGDLLAIASSYTYQEASEMEATPQIFIQKMEYC >Manes.09G007200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1743488:1745052:1 gene:Manes.09G007200.v8.1 transcript:Manes.09G007200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQIEDDDSVLLRVTHSNLKTFSASLRFSLQSTVESVKEKLWRKCGTSVNSMTLELYDDTNSKICDLADNSRPLGFYSPLDGFRLHVIDLDPSSVTSGGWLEDTSLVEKYTISEENYQKRSGTFRKFKEKLVSQNPSAFEPKITDNYMEDLCANIKVSNSLCIYILVSMVLELSAVGCLRYANIILMVNLI >Manes.09G007200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1743047:1749530:1 gene:Manes.09G007200.v8.1 transcript:Manes.09G007200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQIEDDDSVLLRVTHSNLKTFSASLRFSLQSTVESVKEKLWRKCGTSVNSMTLELYDDTNSKICDLADNSRPLGFYSPLDGFRLHVIDLDPSSVTSGGWLEDTSLVEKYTISEENYQKRSGTFRKFKEKLVSQNPSAFEPKITDNYMEDLCANIKVGDRCEVEPGEKRGVVKFVGPAESLAPGFWVGVQYDEPLGKHDGMVKGVRYFDCPPLHGAMVRPDKVKVGDYPERDPFEEEEI >Manes.09G007200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1743020:1749530:1 gene:Manes.09G007200.v8.1 transcript:Manes.09G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQIEDDDSVLLRVTHSNLKTFSASLRFSLQSTVESVKEKLWRKCGTSVNSMTLELYDDTNSKICDLADNSRPLGFYSPLDGFRLHVIDLDPSSVTSGGWLEDTSLVEKYTISEENYQKRSGTFRKFKEKLVSQNPSAFEPKITDNYMEDLCANIKVGDRCEVEPGEKRGVVKFVGPAESLAPGFWVGVQYDEPLGKHDGMVKGVRYFDCPPLHGAMVRPDKVKVGDYPERDPFEEEEI >Manes.05G202000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32669980:32676231:-1 gene:Manes.05G202000.v8.1 transcript:Manes.05G202000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQITRLCCKNQLWLVILVSFVFCFVLFCFDYSVLTGTQERVTAFVSNYENSNSAQKSKPVQVPENLKETSIKPMKTDYHKDSCLGRYIYIHRLPKRFNQELLDNCGSITVGTEHNMCPYLVNYALGHEVENSQGILLNKSWYSTNQFLLEVIFHYRMKKYKCLTNDSSRASAIYIPFYAGLDVSGYLWGVKTSVRDQSAFDLVKWLVEKPEWKKMLGRDHFLVAGRISWDFRRQTDNESDWGSKFRFLPESNNMSMLAIESSSWNNDYAIPYPTCFHPSKDSEVLQWQDKMRRQKRPYLFSFAGAPRPDLQESVRGKIIEECLASKNLCKLLECDYGVNGAINCDNPANVMKLFQNSVYCLQPTGDSYTRRSIFDSILAGCIPVFFHPGTAYAQYKWHLPKNYSKYSVYIPVRDVKDWKTGINETLLRIPQDRVMAMREEVIKLIPRIIYADPRSRLETFEDAFDLAVKGILERIERVRWEIKERKDPSIGFAEGDDYKYTFSGYVGEE >Manes.05G202000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32670140:32676231:-1 gene:Manes.05G202000.v8.1 transcript:Manes.05G202000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQITRLCCKNQLWLVILVSFVFCFVLFCFDYSVLTGTQERVTAFVSNYENSNSAQKSKPVQVPENLKETSIKPMKTDYHKDSCLGRYIYIHRLPKRFNQELLDNCGSITVGTEHNMCPYLVNYALGHEVENSQGILLNKSWYSTNQFLLEVIFHYRMKKYKCLTNDSSRASAIYIPFYAGLDVSGYLWGVKTSVRDQSAFDLVKWLVEKPEWKKMLGRDHFLVAGRISWDFRRQTDNESDWGSKFRFLPESNNMSMLAIESSSWNNDYAIPYPTCFHPSKDSEVLQWQDKMRRQKRPYLFSFAGAPRPDLQESVRGKIIEECLASKNLCKLLECDYGVNGAINCDNPANVMKLFQNSVYCLQPTGDSYTRRSIFDSILAGCIPVFFHPGTAYAQYKWHLPKNYSKYSVYIPVRDVKDWKTGINETLLRIPQDRVMAMREEVIKLIPRIIYADPRSRLETFEDAFDLAVKGILERIERVRWEIKERKDPSIGFAEGDDYKYTFSGYVGEE >Manes.14G099850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8275811:8276953:1 gene:Manes.14G099850.v8.1 transcript:Manes.14G099850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRSRGRGVRTARLADIGRPPRDPAVAPPPLEGVADLELSESREGHGESVSHGVESRAYPTTPSPSSAPAVAPPVAPAVPPFVPPVAPAHPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDRARRLGAYDFEGSSDADIADKWLKKVLKVFELMKLTDPEKVDNVHGLLQSKADAWFDGIRRRHGVRLTWDQFIHEFRQEYLSESYRKGKQDAFFRLFQGSLSIREYVDKFEDLYCFVSDILPSEEAKCDRFRQGLHVSIRSSMTWFRGNNFRELVEAALNVEKVKQEEKEYEQKMSRKHLQGSQGFRERPAKRGSSSFQPQAGYSGSGRGSFVNTEQQVARPQSSQSSVAQPVGSSFGTQKRGQGQGYDSGFE >Manes.17G013200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5463723:5468486:-1 gene:Manes.17G013200.v8.1 transcript:Manes.17G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASRTSNHAAASATATTTATSTASFSGRNGAFLRFSEFPARKRRLSLSDGNINRVRIRCAKASTERSSEGIEGRKSTQGGVGGSGRAGGFTGSAMEVTTFNQSFGDSEFPVWERIGAVVRLSYGIGIYGAMALAGRFICSITGIDSMGGFEVSIDAILEGLGYASPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFYGMSPWQFILIVVASSVGEELFYRAAVQGALADIFIRGTDLVTDARGMASLTGVLPPFVPFAQAFAAALTAALTGSLYYVAASPKDPTYVVAPVLQSRSGREDLKKLFAAWYERRQMKKIYSPLLEGLLALYLGFEWIQTNNILAPMITHGIYSAVVLGHGLWKIHDHRRRLHQRIRQLKLDEIDSSRP >Manes.01G174700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35584729:35589706:-1 gene:Manes.01G174700.v8.1 transcript:Manes.01G174700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMIEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESNKLHQKVPDELLEEAKAAARTALEEMDAD >Manes.18G007801.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060892:1069106:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDCFLAE >Manes.18G007801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060346:1069106:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTYAPVPQHSEKKRESAANCLRRENCFQMSSESEAAHFSNTPDANSTAEESHTAVGGEDMQLVKEAVRSLPSPAVKDLMSIGVCMRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGASPVFDSVNDKLVDASNDCFHLSTTKGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDCFLAE >Manes.18G007801.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060346:1069107:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGASPVFDSVNDKLVDASNDCFHLSTTKGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDCFLAE >Manes.18G007801.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060346:1068029:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTYAPVPQHSEKKRESAANCLRRENCFQMSSESEAAHFSNTPDANSTAEESHTAVGGEDMQLVKEAVRSLPSPAVKDLMSIGVCMRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGASPVFDSVNDKLVDASNDCFHLSTTKGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQISKQC >Manes.18G007801.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060346:1068029:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTYAPVPQHSEKKRESAANCLRRENCFQMSSESEAAHFSNTPDANSTAEESHTAVGGEDMQLVKEAVRSLPSPAVKDLMSIGVCMRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQISKQC >Manes.18G007801.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060892:1068029:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQISKQC >Manes.18G007801.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060892:1068029:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGASPVFDSVNDKLVDASNDCFHLSTTKGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQISKQC >Manes.18G007801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060346:1069106:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGASPVFDSVNDKLVDASNDCFHLSTTKGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDCFLAE >Manes.18G007801.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060892:1068029:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGASPVFDSVNDKLVDASNDCFHLSTTKGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQISKQC >Manes.18G007801.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060346:1069106:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSTYAPVPQHSEKKRESAANCLRRENCFQMSSESEAAHFSNTPDANSTAEESHTAVGGEDMQLVKEAVRSLPSPAVKDLMSIGVCMRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDCFLAE >Manes.18G007801.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1060892:1069106:1 gene:Manes.18G007801.v8.1 transcript:Manes.18G007801.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIFRLLGVHGHVYSWSFPSSTEMRAMLGEPTCPEEHIVVSSLKGGEDKKNSSSYEDLEVEPETCSICLGILQFIYYDAKGLMVKKGTAISLALSISEQIKKEGHQLDSFSLEVSIPTVIQENEHIVHLYMKRKYRSELWFEERFSKCISTKEVLKFAMTNSLEKLLDVKSGPSSCRIRLTYAQTKPSSTVPSSVERGEGCKRRKTGNALGESSSNGLEDHESSECIIFPEDKVNDPCHLVFLCYRTPIYLGGRYLKFSRNVSQTRWMIDDERMGEASVEEIIGGYILPVCHGDSYKFHAAGREDIDVRMLGSGRPFLVEIQNARHVPSEALVKEIEININNLNTQLVLVKNLKMVANEGWTLIHEGEAEKQKQYCALVWISRPLENQDLQSIASLKDLQILQKTPVRVLHRRSPLEREKIIHWMKIETIAESSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILGCRAEILQLDVTDVKMDCFLAE >Manes.12G016000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1486810:1494829:-1 gene:Manes.12G016000.v8.1 transcript:Manes.12G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKPRPIDFYKEEVLPTTRDNMIIEVVSSSGDLPPHQHHLHNPHNPHPQQPPQQQQQQQQQQIILGESSGEDTHEVKAPKKRAETWVQDETRSLIGLRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIDEILRDRSKNAQYKSPTPTSKVDSYMQFADKGFDDTSISFGPVEASGRPTLNLERRLDHDGHPLAITAAEAVAASAVPPWNWRETPGNGAESQSFVGRVITVKYGDYTRRIGIDGTADAIKEAIKSAFRLRTKRAFWLEDEDEIIRSLDRDMPVGNYTLHLDEGLSVKVCLYDESDHIPVHTEEKTFYSEDDYRDFLTRRGWSCLREFDGYRNIDNMDDLRPGAIYRGAS >Manes.17G076800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27676717:27677103:1 gene:Manes.17G076800.v8.1 transcript:Manes.17G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFDFLMLLNLLLLVIASAPPIQAVDSRKLDENTVPGSTGEKCIPCTSSPPPPPPPPPPPALPPPSPKKPPSSYCPPPPPSFIYVPGPPGNLYTIDNDFNGAGRTSTMRLPVLIGCALLGFLLRHGV >Manes.17G069100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26912550:26913681:1 gene:Manes.17G069100.v8.1 transcript:Manes.17G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQIKSQRENAVIYHGEELCRQKFYELLDNFSLPRGVLPVDMVEFGYNQSTGFIWLKQENKKVHKFPLINKTAYYDTEVTAFIEKGRLRSITGVKGKEFCAWFRLGNMHIKDPSSGKIEVAIIGGLGTTYPISAFELQDDKDDDQKKRNQDDKKKRNGDDKNINEDDKDEDQKNRSENDEDDCQKIRNKDDKDDGQKNRN >Manes.15G176237.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:19681222:19681738:-1 gene:Manes.15G176237.v8.1 transcript:Manes.15G176237.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESNVVVVENSINELIEEVVNDEGHGEHVIDEVEEANETVLEVVEENGSHPQDLSMTNIFMPSSFVLDVHVVDETFMTKVLSYFHLFKRRSLKIHGFLKLPFLT >Manes.06G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23924834:23928567:1 gene:Manes.06G106800.v8.1 transcript:Manes.06G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSFKILQNISWILLIFLIFFLLSSSAARSSEIHLICGAPKDDLSSAVSTSFVPNFVKVMESIQQQVSSRNWGHSAVIQPLPEVYALAQCHSDLSSLDCKLCFSQGRVKLPRCLPNTAARIFLDGCFLRYDNYNFLHESIDPTYDNVNCSHPTGALTDSSLHTAFKKKVAEVITNVTDRALENGTFATVEGKGGGIPVYALAQCWNSLTYWGCRACLLKAGSQLKQCAPGSQGQALFTGCYMRYSTERFFNTSSGRASRCRTKAVIAIAMAAAAFIVLASFGAFIGYQRVSKRREEQNNIRGLATRSNLNFKYEVLEKSTNFFSDDMKLGQGGAGSVFKGSLPDGRTVAVKRLVYNTRQWVDQFFNEVNLISDIQHKNLVRLLGCSIEGPESLIVYEYVPNRSLDQILFVKNILSWQQRYNIILGTAEGLAYLHGGSGVKIIHRDIKTSNILLDEKLTPKIADFGLARCFATENTHISTGIAGTLGYMAPEYLIRGQLTEKADVYGFGVLVLEIATGKKNSIYSQGSNSILHSVWKHYKAKTLAQAIDPRLSDRNPGKDAENVLQIGLLCTQASASLRPSMTEVVQMLTDSEFEIPSPKQPPFLNASVLSADDSTENSMTIVSLTRTSSIPLNLQEITRLPSNGSQDSFVTASAGWDCASVENSEPR >Manes.16G054463.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18056878:18059457:1 gene:Manes.16G054463.v8.1 transcript:Manes.16G054463.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDIIGDPGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTLIREKNQRFQVAWFKDYEWLEYSVSKDKAYCLYCYLFANNNRSGGNVFTEIGFNNWKDGRRAFVNHEGSLGSSHSGCRMKVEQYRNQRGNVNQLLARQTTAMEDDYRTRLSMVVSVARILLEEGLPFRGHDESAESLHRGNFLEHISWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIECCAMETRKIILNELGEKKFALLVDEAEIVQFVNDKGMVLERFLGLVHVNETSAKVLKNAIDTFFAKHDLSLAKLRGQGYDGAANMSSEFNGLKTLILKENKNAHYIHCDFFETLSMIVNTIGASCKRKDSLREIHNEEVLNQVEMGEISTGRGQNQEISLARPGDTRWGSHYTTIVRLFDMWNSVERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFCEGHSIDEPNMENFVHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKTDLRNRLGDDLLSDCLVCYFEKEIFRSIDDEVIMQSFQNLASRRNQLRPLKIRRPNPC >Manes.18G000350.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:275023:308322:1 gene:Manes.18G000350.v8.1 transcript:Manes.18G000350.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTQEKEEDEEFRAQLTHLEESVEDHPEDPSLRFNLGLLLWEKGGQFKEFKEKAAEHFVISAKLNPHNAAAFAYLGHYYSRFTADSQRALKCYERAITLNPDDSESGESLCDMLDHSGKETLEQAVCGEASEKSPRAFWAFRRLGYLHLHHRRWSEAVQSLQHAIRGYPTCADLWEALGLAYQRLGMFTAATKSYGRAIELDDTRVFALVESGNIFLMIGSFRKGVEQFQRALEISPQNVSANYGLASGLLGLSKECMNLGAFKWGASLLEDAGKVAEVNGQLAGNVSCIWKLHGDIQLTYAKCFPWTKGDHSAEFDVDTFEASIFSWKQTCHLAAMSAKRSYQRALHLVPWQANLYIDIGITLDLISSMNENYGLDLYPWQLSEKMALGGLSLEGDNYEFWLALGCLSGHNAMKQHALIRGLQLDVSSAVAWSYLGKLAEFQVGLAKLALLSGNLASSQVLGAIQQAVLRGPQYPESHHLKGLVCEARSEYEAAVASYKLARCAINISPGTASNAHLRDIAVNLARSLCRAGYAADAVEECKYLRKEGVLDAEGIQIYAFSLWQLGKCDLALSLARDLVSSASSLEKTSAAVSVSFFCRLLYYISGLDSAISSILKMPRELFENSKFSFILSTIHALDQSNRLESVVSTSRYFIVSHEDVTGMHCLIALSKLVKHGSDSCLGYQDGISYLKKALHKYPNSKLMRNLLSHLLLSTEEGEHTHVASRCCIIDSSYYGSKVGLKSGWELLGAGSVACYAIGNKDPKFSFPTCGYQCMKGPGAIRELQKYLRQEPWNHNARYLLILNILQKAREERFPRQLCVILKKLLSVALSNELYSRESFSYQYQKFQLLLCLSEISLQCGNQSDCIEHARRSVSLCIPNNYRFFGHLLLCRAYAVEENFVNLQEEYIRCLEIKTDYHIGLICLKIMESQYYIETDSNISELSFKDCLKEWKNSWNMWAAVFNLVLGLISIWNEDFLSAEAFLSQACLLAGTDSCLFLCHGAICMELAKMSSSYQILSFAIRSLTKANTNSIIPLPIVSLLMAQAEGSLGSKQKWERNLRLEWYSWPPEMRPAELFFQMHLLARRSEVQIDSSSNVEFCQSPLKWILRSIHTNPSCLRYWKVLQKITE >Manes.18G000350.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:275023:308322:1 gene:Manes.18G000350.v8.1 transcript:Manes.18G000350.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEKEEDEEFRAQLTHLEESVEDHPEDPSLRFNLGLLLWEKGGQFKEFKEKAAEHFVISAKLNPHNAAAFAYLGHYYSRFTADSQRALKCYERAITLNPDDSESGESLCDMLDHSGKETLEQAVCGEASEKSPRAFWAFRRLGYLHLHHRRWSEAVQSLQHAIRGYPTCADLWEALGLAYQRLGMFTAATKSYGRAIELDDTRVFALVESGNIFLMIGSFRKGVEQFQRALEISPQNVSANYGLASGLLGLSKECMNLGAFKWGASLLEDAGKVAEVNGQLAGNVSCIWKLHGDIQLTYAKCFPWTKGDHSAEFDVDTFEASIFSWKQTCHLAAMSAKRSYQRALHLVPWQANLYIDIGITLDLISSMNENYGLDLYPWQLSEKMALGGLSLEGDNYEFWLALGCLSGHNAMKQHALIRGLQLDVSSAVAWSYLGKLAEFQVGLAKLALLSGNLASSQVLGAIQQAVLRGPQYPESHHLKGLVCEARSEYEAAVASYKLARCAINISPGTASNAHLRDIAVNLARSLCRAGYAADAVEECKYLRKEGVLDAEGIQIYAFSLWQLGKCDLALSLARDLVSSASSLEKTSAAVSVSFFCRLLYYISGLDSAISSILKMPRELFENSKFSFILSTIHALDQSNRLESVVSTSRYFIVSHEDVTGMHCLIALSKLVKHGSDSCLGYQDGISYLKKALHKYPNSKLMRNLLSHLLLSTEEGEHTHVASRCCIIDSSYYGSKVGLKSGWELLGAGSVACYAIGNKDPKFSFPTCGYQCMKGPGAIRELQKYLRQEPWNHNARYLLILNILQKAREERFPRQLCVILKKLLSVALSNELYSRESFSYQYQKFQLLLCLSEISLQCGNQSDCIEHARRSVSLCIPNNYRFFGHLLLCRAYAVEENFVNLQEEYIRCLEIKTDYHIGLICLKIMESQYYIETDSNISELSFKDCLKEWKNSWNMWAAVFNLVLGLISIWNEDFLSAEAFLSQACLLAGTDSCLFLCHGAICMELAKMSSSYQILSFAIRSLTKANTNSIIPLPIVSLLMAQAEGSLGSKQKWERNLRLEWYSWPPEMRPAELFFQMHLLARRSEVQIDSSSNVEFCQSPLKWILRSIHTNPSCLRYWKVLQKITE >Manes.18G000350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:275023:308322:1 gene:Manes.18G000350.v8.1 transcript:Manes.18G000350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTQEKEEDEEFRAQLTHLEESVEDHPEDPSLRFNLGLLLWEKGGQFKEFKEKAAEHFVISAKLNPHNAAAFAYLGHYYSRFTADSQRALKCYERAITLNPDDSESGESLCDMLDHSGKETLEQAVCGEASEKSPRAFWAFRRLGYLHLHHRRWSEAVQSLQHAIRGYPTCADLWEALGLAYQRLGMFTAATKSYGRAIELDDTRVFALVESGNIFLMIGSFRKGVEQFQRALEISPQNVSANYGLASGLLGLSKECMNLGAFKWGASLLEDAGKVAEVNGQLAGNVSCIWKLHGDIQLTYAKCFPWTKGDHSAEFDVDTFEASIFSWKQTCHLAAMSAKRSYQRALHLVPWQANLYIDIGITLDLISSMNENYGLDLYPWQLSEKMALGGLSLEGDNYEFWLALGCLSGHNAMKQHALIRGLQLDVSSAVAWSYLGKLYREEDEKKLARQAFDCARSVDPSLALPWAGMAADANAREPTTDEAFESCLRAVQIFPLAEFQVGLAKLALLSGNLASSQVLGAIQQAVLRGPQYPESHHLKGLVCEARSEYEAAVASYKLARCAINISPGTASNAHLRDIAVNLARSLCRAGYAADAVEECKYLRKEGVLDAEGIQIYAFSLWQLGKCDLALSLARDLVSSASSLEKTSAAVSVSFFCRLLYYISGLDSAISSILKMPRELFENSKFSFILSTIHALDQSNRLESVVSTSRYFIVSHEDVTGMHCLIALSKLVKHGSDSCLGYQDGISYLKKALHKYPNSKLMRNLLSHLLLSTEEGEHTHVASRCCIIDSSYYGSKVGLKSGWELLGAGSVACYAIGNKDPKFSFPTCGYQCMKGPGAIRELQKYLRQEPWNHNARYLLILNILQKAREERFPRQLCVILKKLLSVALSNELYSRESFSYQYQKFQLLLCLSEISLQCGNQSDCIEHARRSVSLCIPNNYRFFGHLLLCRAYAVEENFVNLQEEYIRCLEIKTDYHIGLICLKIMESQYYIETDSNISELSFKDCLKEWKNSWNMWAAVFNLVLGLISIWNEDFLSAEAFLSQACLLAGTDSCLFLCHGAICMELAKMSSSYQILSFAIRSLTKANTNSIIPLPIVSLLMAQAEGSLGSKQKWERNLRLEWYSWPPEMRPAELFFQMHLLARRSEVQIDSSSNVEFCQSPLKWILRSIHTNPSCLRYWKVLQKITE >Manes.18G000350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:275023:308322:1 gene:Manes.18G000350.v8.1 transcript:Manes.18G000350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTEKEEDEEFRAQLTHLEESVEDHPEDPSLRFNLGLLLWEKGGQFKEFKEKAAEHFVISAKLNPHNAAAFAYLGHYYSRFTADSQRALKCYERAITLNPDDSESGESLCDMLDHSGKETLEQAVCGEASEKSPRAFWAFRRLGYLHLHHRRWSEAVQSLQHAIRGYPTCADLWEALGLAYQRLGMFTAATKSYGRAIELDDTRVFALVESGNIFLMIGSFRKGVEQFQRALEISPQNVSANYGLASGLLGLSKECMNLGAFKWGASLLEDAGKVAEVNGQLAGNVSCIWKLHGDIQLTYAKCFPWTKGDHSAEFDVDTFEASIFSWKQTCHLAAMSAKRSYQRALHLVPWQANLYIDIGITLDLISSMNENYGLDLYPWQLSEKMALGGLSLEGDNYEFWLALGCLSGHNAMKQHALIRGLQLDVSSAVAWSYLGKLYREEDEKKLARQAFDCARSVDPSLALPWAGMAADANAREPTTDEAFESCLRAVQIFPLAEFQVGLAKLALLSGNLASSQVLGAIQQAVLRGPQYPESHHLKGLVCEARSEYEAAVASYKLARCAINISPGTASNAHLRDIAVNLARSLCRAGYAADAVEECKYLRKEGVLDAEGIQIYAFSLWQLGKCDLALSLARDLVSSASSLEKTSAAVSVSFFCRLLYYISGLDSAISSILKMPRELFENSKFSFILSTIHALDQSNRLESVVSTSRYFIVSHEDVTGMHCLIALSKLVKHGSDSCLGYQDGISYLKKALHKYPNSKLMRNLLSHLLLSTEEGEHTHVASRCCIIDSSYYGSKVGLKSGWELLGAGSVACYAIGNKDPKFSFPTCGYQCMKGPGAIRELQKYLRQEPWNHNARYLLILNILQKAREERFPRQLCVILKKLLSVALSNELYSRESFSYQYQKFQLLLCLSEISLQCGNQSDCIEHARRSVSLCIPNNYRFFGHLLLCRAYAVEENFVNLQEEYIRCLEIKTDYHIGLICLKIMESQYYIETDSNISELSFKDCLKEWKNSWNMWAAVFNLVLGLISIWNEDFLSAEAFLSQACLLAGTDSCLFLCHGAICMELAKMSSSYQILSFAIRSLTKANTNSIIPLPIVSLLMAQAEGSLGSKQKWERNLRLEWYSWPPEMRPAELFFQMHLLARRSEVQIDSSSNVEFCQSPLKWILRSIHTNPSCLRYWKVLQKITE >Manes.12G088301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13529098:13531061:-1 gene:Manes.12G088301.v8.1 transcript:Manes.12G088301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCHQSQNRSHHPFRPSMNRAVQSILEASFNANKKLKAEHKLQLACQLGLPPRQVAIWYQNRRARQKLEVKEHQYNNIQQELNNVLAENIRLEKEFPGKELRTYLNGPVHVLEKNTKNQGLLAEPMKPNHGTNCGL >Manes.06G104901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23724104:23725061:-1 gene:Manes.06G104901.v8.1 transcript:Manes.06G104901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLITLTSAFQEKRIPRQELIRRVRQLVGDKLLVSLITSYQAKEVEGSSRAKQATPQVGGGNHVNHNETGDNMDDSVLHG >Manes.11G007500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:851460:855610:-1 gene:Manes.11G007500.v8.1 transcript:Manes.11G007500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVSNGHVKRPHDEDIQSNVLEIIGSNIQSTYITCPVDPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAITRVKPYICTMPLKMDEGWNQIQLNLADFTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKA >Manes.11G007500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:851460:855519:-1 gene:Manes.11G007500.v8.1 transcript:Manes.11G007500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVSNGHVKRPHDEDIQSNVLEIIGSNIQSTYITCPVDPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAITRVKPYICTMPLKMDEGWNQIQLNLADFTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKA >Manes.11G007500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:852893:855519:-1 gene:Manes.11G007500.v8.1 transcript:Manes.11G007500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVSNGHVKRPHDEDIQSNVLEIIGSNIQSTYITCPVDPAATLGIKLPFLVMIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQAITRVKPYICTMPLKMDEGWNQIQLNLADFTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKA >Manes.10G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25240377:25241886:1 gene:Manes.10G104600.v8.1 transcript:Manes.10G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLAESATDSFDLTDFVINQGNGVKGLSDLGIKSLPIQYIQPQEALINIIPNESIPVIDMSNWENDPKIAESVCEAAERFGFFQLVNHGVTLEVLEGVEDATHGFFGLPAAVKRTYSKELSPSNSVRFGTSFSPDSEKALEWKDYLSLFYVSDDEANALWPPQCKDECLEYMKKAEILCKKLLTALMERLNIKEIDEKKESLLMGSRRINLNYYPRCPNPQLTVGVGRHSDVSSLTFLLQDEIGGLYVRVNEGKGDEDGWVHVPPIKGSLVINVGDALQILSNGRYRSVEHCVIASGSKNRISIPVFVNPKPTDVIGPLPELLAAGEKPKYKNILYSDYVKHFFRKAHDGKKTVEFAEV >Manes.18G138520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17801428:17803101:1 gene:Manes.18G138520.v8.1 transcript:Manes.18G138520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNKQKEIKSSSNSTTKAISEHSEEISKTIVKPSEPSKATKPQDKTPEDLKRWIEELSQSPEVIKALQNIASSSGESGMASKSKAIVPAHGTASLSQTIEHGFNDINPWEVVRKNYPENWFFKPKDIAKPQEYYQSILEETGSARIKHNFDKHHKGVVAYSSIQIKRVVHSKDWPVSSLYTAIQFKTLKRYSTLYNYFDYIDAWTNVFCIQNPTTTHSWLIYFDQQSIKTTTIFLNWILKWWQYRGITDEVLSPKVLQVYQYFKTHYKSHPSEKYIPPLMYFCTQFFIPWVYQWYFDFQYTMELNIPAIAKKHKVKWWGSFKNSTTEIVVKNWMLKKAQFPAISYASKLALQGESSFGAQKAQCQAMLATAKTPEEYKMICQQIFSQLGSRETVKSEELKERKSNSKESSKHSSSKKAPKKKSSRRKSKKQSSSESESTASSTSSSSKTLASSHCDSNEDDCYEILPAIKIKSKTDKVKEKGKKKKEKVKKKGKGRKKQDTSSSSSSSSESE >Manes.16G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14214069:14225615:-1 gene:Manes.16G049700.v8.1 transcript:Manes.16G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVSHHNPKKDGDAHGRSISSRNDSVGHNKRTPSSSSSSYFPNMSGQPSLGHSSGSSQSTGSALQKKLSIKKKYAFIPDNFSSLEQVTKSLREAGLESSNLIVGIDFTKSNEWTGKISFNNRSLHAIGNTPNPYEKAISIIGKTLAPFDDDNLIPCFGFGDATTHDQEVFNFHSDHSPCHGFEEVLACYKKIAPNLRLSGPTSYAPVIEAAMDIVERSGGQYHVLVIIADGQVTRSVNTADGELSPQEEKTIKSIVDASSYPLSIILVGVGDGPWDDMKKFDDRIPAREFDNFQFVNFTAIMSKNTTTSEKETEFALAALMEIPSQFKAAHEFGIIGHTTGRAKKIVPRPPPVPYVHRPLPPDHQSSNASSTTLDERTQACPICLTNAKDLAFGCGHMTCRECGSRVSSCPICRQPITNRLRLFA >Manes.S039416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1093528:1094340:-1 gene:Manes.S039416.v8.1 transcript:Manes.S039416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGRASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.17G100300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30701764:30704321:1 gene:Manes.17G100300.v8.1 transcript:Manes.17G100300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSLAFLCTGKKKKKKRIENDYYAEQPPGNNGGNYYNASTPHRPANNWQNANQFNADKAGSLPPPPGTHPAWQTPSPSPSPPTPGPHGAATPPPHPSVLGFTHSSFNYDELAAATNGFSQANLLGQGGFGYVHKGVLPNGKEIAVKSLKAGSGQGDREFQAEVEIISRVHHRHLVSLVGYCIAGGQRLLAYEFLPNSTLEYHLYGHGRPTMDWSTRIKIAIGSAKGLAYLHEDCHPRIIHRDIKAANILLDYNFEAKVADFGLAKLSSDTNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDINSDMDESLVDWARPLCTSAMDSGNFVELVDRRLEGNYDPAEMTRMVACAGASIRHSARKRPKMSQIVRALEGDGSLEHLNEGVKPGQSYVYSSSSSEYDRASYSEDMKKFRKVVMDTSHEYTSSEYGRTSEYGLNPSSSSSDETDKSTEPETRRHGTSS >Manes.13G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31823697:31826668:-1 gene:Manes.13G112700.v8.1 transcript:Manes.13G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHTGEILKHLEKQNELLMEAYNSMSHELHKLQVEEEMLMRKFYELMTAQGLTKKNEKNTNVSNGDQVRDDSVLLTNNTGGNSYGGKSEQSSAIVPVNDNEQH >Manes.14G138900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12200843:12202724:-1 gene:Manes.14G138900.v8.1 transcript:Manes.14G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVIPPPPPSLRLLPHNYHHLPPLISATPTITRRSLLLSTSISSLSPLPTPLDTTITDRVFMDFSLCPSYFRPDRTLSDTLSTLCTETVPLGRVVLGLYGRLVPLTVSNFKTMCTTSSYKNTLVHKIFPGQFFLAGRQGRRDKGEVRPPPELVRNTETVDSKAFKLTHSRPGIVSLCLSENDDEDDIKLDPNYRNVEFLITTGPAPCPQLDNKNIVFGAVLEGLDVVTAIASIPTYKPSERIRQFNDLAEFLGDERAQNARAIWNRPLKTVYISDCGELKVTNPSLSPTLP >Manes.17G061300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLEVLILTANNLSGSLPQNLAKITKLRDFSISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLRISDLLGEGSKFPYLRSMTNMNYLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.17G061300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLEVLILTANNLSGSLPQNLAKITKLRDFISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGATDISYNNFSETSVQDACRDNLNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.17G061300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLEVLILTANNLSGSLPQNLAKITKLRDFSISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLISDLLGEGSKFPYLRSMTNMNYLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGATDISYNNFSETSVQDACRDNLNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.17G061300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLEVLILTANNLSGSLPQNLAKITKLRDFISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLRISDLLGEGSKFPYLRSMTNMNYLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.17G061300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLEVLILTANNLSGSLPQNLAKITKLRDFISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLISDLLGEGSKFPYLRSMTNMNYLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGATDISYNNFSETSVQDACRDNLNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.17G061300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLEVLILTANNLSGSLPQNLAKITKLRDFSISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLRISDLLGEGSKFPYLRSMTNMNYLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGATDISYNNFSETSVQDACRDNLNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.17G061300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLENSILTANNLSGSLPQNLAKITKLRDFSISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLRISDLLGEGSKFPYLRSMTNMNYLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGATDISYNNFSETSVQDACRDNLNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.17G061300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLEVLILTANNLSGSLPQNLAKITKLRDFISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLRISDLLGEGSKFPYLRSMTNMNYLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGATDISYNNFSETSVQDACRDNLNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.17G061300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26043602:26053323:1 gene:Manes.17G061300.v8.1 transcript:Manes.17G061300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCFGPSPLDPDEGSRYWKWMEKKKTKARDGGILRISPILIVLMLQICRVDAQACQLPQAEVQALREIAAQLGKKDWNFLVDPCSGESSWATPKPESMLLYNNSIFCECLDGVYHVVRIILKGQDLDGMLPPSIVKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQVPSYLGDITSLKYLNLDNNLFYGTVPAELGNLVDLEVLILTANNLSGSLPQNLAKITKLRDFSISSNNFSGKIPSFIQSWKRLERLEIQGSGLEGPIPSSISVLTNLTDLMLRSCGMAETFPDYIMKMANLKILDLSFNKLTGNPSEPTNDDALSICLSNNLLSGPIPDWIITRARKGATDISYNNFSETSVQDACRDNLNLFKSSSGGNNLKAVECSGNNACSKDRYSLHINCGGSEITIGKLRYEADKTFGGEAQQISLKDNWEVSTTGRFWDMKENKPNMFIAENVSILSMNNSELYTSARLSPLSLTYYARCLANGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQDEIVLEDFEIKKKAPGVDKVLITPFKAVVKDGNLEIRLRWVGKGTTTAPQKGIYGPLISAIDVEADFKPPFDWKKYIVAGAVVLPLLLIFIIVGTLWWKGCLGGRISRQKDLKGLDLQTGSFTLRQLKAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLQHPNLVKLYGCCIEGSQLLLVYEYMENNSLAYALFDSETSSLMLDWATRQKICVGIARGLAFLHEESTLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEENTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRSNASYRPKSDAVCLLDWAFILQQKGNLMEIVDPRLESEFNREEAERMLKVALLCTNASPMIRPTMSAALNMLGGQKSIEEVVSDPSIYADDMRFKPLKSHYQQISHGGSSTSQVPIFSSDDTGVGSSTTSGHDLYPVNPESTNLNFSETSPLSC >Manes.07G107900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31396745:31399242:-1 gene:Manes.07G107900.v8.1 transcript:Manes.07G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSAGIQSESALHCCRNYMDKSSSKASSAIVPLPAQITRDEILESPNLKIFCFRELKEATGDFSQDNELRTGEFGCFFKGWVDEHTLKAVRPETGMAIAVKVVCEKSCQGQQEWLAKIKYLGQLRHPNLVKLIGFCLEDDHWLLVYEFMLNGNLQNHLFRFGNHSQPLSWNLYMKIALGAAKGLAYLHNEADVTCRDFKASNILLDSNYNAKLCDFGLAKNGPTNGKNFAGFLGTAGYIAPEYISTGHVTAKSNVYNFGVVLLEILSGRQSISIIKPSEGQDFVEWARNLASAGKFSHFMNNLDVLGQNSSDSFLKVAKLAFQCVSKEPNSRPTMKDVVEVLQELQDFSENVGKQENFKHYLLSYKKWSEKLQKILFNKFCSGKAAMFSESHLQCMF >Manes.01G235100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39787623:39794761:1 gene:Manes.01G235100.v8.1 transcript:Manes.01G235100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRKEVKKETGLGLSFKKEENFGEWYSEVVVNGEMIEYYDISGCYILRPWSMSIWEIMQAFFDAEIKKMKIKNCYFPLFVSSSVLEKEKDHIEGFAPEVAWVTKSGKSDLEIPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKAEADEEVLQILELYRRIYEEFLAIPVVKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKGMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVVPVPYKDANTQGIFDACTETVDTLCKAGIRAEADFRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKEDISRVNLVEQVKRILDDIHQSLFDAAKQKRDACIQVVKTWDEFKEALSQRKMILAPWCDEEEVEQDVKERTRGEMGAAKSLCTPFDQPELPEGTKCFASGKPAKKWTYWGRSY >Manes.01G235100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39787155:39795435:1 gene:Manes.01G235100.v8.1 transcript:Manes.01G235100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRKEVKKETGLGLSFKKEENFGEWYSEVVVNGEMIEYYDISGCYILRPWSMSIWEIMQAFFDAEIKKMKIKNCYFPLFVSSSVLEKEKDHIEGFAPEVAWVTKSGKSDLEIPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKAEADEEVLQILELYRRIYEEFLAIPVVKGRKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKGMVWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVVPVPYKDANTQGIFDACTETVDTLCKAGIRAEADFRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKEDISRVNLVEQVKRILDDIHQSLFDAAKQKRDACIQVVKTWDEFKEALSQRKMILAPWCDEEEVEQDVKERTRGEMGAAKSLCTPFDQPELPEGTKCFASGKPAKKWTYWGRSY >Manes.03G075200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12990362:13004586:1 gene:Manes.03G075200.v8.1 transcript:Manes.03G075200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFRTLRTLTIFPSKPRNSNSHLLDRVLLSHFFSAQPEQNDSENDNNDAVFDSSHFAVPNIDTKTTPQDATWDEKYRKRADRLVFGHETQKSKFKILQEQEEEERRRVLAKALLRAALERPDDEDDEDVVKEEDQKSLSVGIVGAPNAGKSALTNYMVGTKVSAVSRKTNTTIHEVVGVMTRGDTQICFFDTPGIMLKKNGFPYKDMKARVENAWSTVDLYDMIMVVFDVHRHLTRPDSRVVRLIERVGAQTNPKQKQVLCINKIDLVEKKKDLLKVSEQFKDLPGYDRSEGCWSERSYTIFNGPGSKKTLG >Manes.03G075200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12990362:13004586:1 gene:Manes.03G075200.v8.1 transcript:Manes.03G075200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFRTLRTLTIFPSKPRNSNSHLLDRVLLSHFFSAQPEQNDSENDNNDAVFDSSHFAVPNIDTKTTPQDATWDEKYRKRADRLVFGHETQKSKFKILQEQEEEERRRVLAKALLRAALERPDDEDDEDVVKEEDQKSLSVGIVGAPNAGKSALTNYMVGTKVSAVSRKTNTTIHEVVGVMTRGDTQICFFDTPGIMLKKNGFPYKDMKARVENAWSTVDLYDMIMVVFDVHRHLTRPDSRVVRLIERVGAQTNPKQKQVLCINKIDLVEKKKDLLKVSEQFKDLPGYDRCFMISGLKGAGVKDLTQYLMDQAVKRPWDEDPLNMSEEVMKTISLEVVRERLLDHVHQEIPYGIEHRLIDWKELKDGSLRIEQHFITHKLSQRKILVGKKGSKIGRIGMEANEELRSIYKREVHLILQVRLK >Manes.09G140900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33973988:33980012:-1 gene:Manes.09G140900.v8.1 transcript:Manes.09G140900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVVPCSFPIFSQLNVNKTHLSLPLLPICHPSFSLGHRRSLAMASATQQPTQAVSPGDVNSDANVFQLIQTHQEKAARLPPVEEIRTVLYHSVRGMLSTFSQLEGYPSGSVVDFACDVNGTPILAVSSLANHTKDLLANPKCSLLVARDLEDRTDLVITLHGDAVSVSEKDKSAIRTAYLAKHPNAFWVDFGDFQFIRIEPKVVRYVSGVATALLGSGEFSKEEYQAAKIDPIAQFSKPVASHMNRDHAEDTRLIVQYSTSIPVDSAYILDIDSLGFNVKAVYQGNTYKLRIPFPRRAEERKDVKTLIVEMLEAAKSQLSS >Manes.09G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33973988:33980012:-1 gene:Manes.09G140900.v8.1 transcript:Manes.09G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTVVPCSFPIFSQLNVNKTHLSLPLLPICHPSFSLGHRRSLAMASATQQPTQAVSPGDVNSDANVFQLIQTHQEKAARLPPVEEIRTVLYHSVRGMLSTFSQKLEGYPSGSVVDFACDVNGTPILAVSSLANHTKDLLANPKCSLLVARDLEDRTDLVITLHGDAVSVSEKDKSAIRTAYLAKHPNAFWVDFGDFQFIRIEPKVVRYVSGVATALLGSGEFSKEEYQAAKIDPIAQFSKPVASHMNRDHAEDTRLIVQYSTSIPVDSAYILDIDSLGFNVKAVYQGNTYKLRIPFPRRAEERKDVKTLIVEMLEAAKSQLSS >Manes.01G114600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31203351:31205929:-1 gene:Manes.01G114600.v8.1 transcript:Manes.01G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESILFAFHKEIKSGEHVVSAILPLLKLITLTLFGLILTKVHLVHKDTFKQLSKLVFALFLPCLIFTYLGPSITLHKIVRWWFIPVNVIISTTIGCVLGYLVALICRPPEELFRFTIIMTAFGNTGNLPIAIVTSVCHSTDNPFGSECSENGIAYASFSQWVSVILVYTLVYHMMEPPLEYYEIDNEEGEIKEVPVSNSMPLLVEAEWPGMENQETEHSKTPLIARLFNSISGISERNVPDFDTIEEAAKDERSESNPTSIRCLGEPRMVRKIRIVAERTPIRHILQPPTIASLLAIIIGVIPAVKKIVYNPDGPLEFITDSLSIMSEAMVPSVMLILGGMLAEGPDQSKLGIRTTIGIIVARLLVLPVIGIGVIYLADKWNILISEDDRMFRFVLLLQYATPSAILLGAIASLRGYAVKEASALLFWQHACAVVSLSVYMIVFFDLLFSYI >Manes.13G124475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33220385:33220507:1 gene:Manes.13G124475.v8.1 transcript:Manes.13G124475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRQKLCNNLDGSNFFLSGFYCWGWEFLTALLLFSSSI >Manes.08G088900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:24007462:24010193:1 gene:Manes.08G088900.v8.1 transcript:Manes.08G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTRVPLIFSCPSPPRRPPPRKYACMPTTLPESSTLSFRSFSFSFFAPFLSTPHNKSNKSFRRLRAVSASMADSRPSTVLVTGAGGRTGSIVYRKLKERSGQYVARGLVRTEESKEKIGGAKDVYVGDIREAESIAPAIQGIDALIILTSAVPKMKHGFDPSKGERPEFYYEDGAYPEQVDWIGQKYQIDAAKDAGVKHIVLVGSMGGTNPNNPLNSLGNGNILIWKRKAEQYLADSGIPYTIIRAGGLQDKEGGIRELLVGKDDELLQTETRTIARADVAEVCIQALQFEEAKFKAIDLASKPEGTGTPTKDFKALFSQVTARF >Manes.01G121150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31717139:31723031:-1 gene:Manes.01G121150.v8.1 transcript:Manes.01G121150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEQAPAIQRNNKRPVEWLEGFLKRSFFESCTTHPIRRNETNRYCINCNLSACQYCMSSANHRHHKILKIYRHVYKDVVSLAAMEKYIDCSQIQPYKCNKRLVISLNPLPHCGPLSNNGVCDVCKRRLAEPDTYSYCSISCKVTAFGRKSSESDPPFLSIQSPTNTRRNRDSKTELRKRKRKGIPCRAPFF >Manes.17G034900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21771192:21775358:1 gene:Manes.17G034900.v8.1 transcript:Manes.17G034900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPPFHEIYNTLLDNTILNKSETKTSVVVDECELPLIDLRRLSVDESDEKDKCKEEITRASQEWGFFQVVNHGISREILEKMRREQVKLFKQSFDKKRQEDKFLNFSSGTYRWGTPTATCISQLSWSEAFHIPLTDVSASNGFTSLSSTMEQFATKVANLAQRLAEILAEKLGCKSTFFEENCVPSTCYLRFNRYPPCPIPADVFGLTPHTDSDFLTILHQDQVGGLQLIKAGKWFAVKPNPEALIVNVGDLFQAWSNDVYKSVEHRVVTNPRVERYSTAYFFCPSYDTEIQSWCEPSIYKKFSFKEYREQVHKDVQLLGRKVGLPRFLV >Manes.17G034900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21770757:21775486:1 gene:Manes.17G034900.v8.1 transcript:Manes.17G034900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPPFHEIYNTLLDNTILNKSETKTSVVVDECELPLIDLRRLSVDESDEKDKCKEEITRASQEWGFFQVVNHGISREILEKMRREQVKLFKQSFDKKRQEDKFLNFSSGTYRWGTPTATCISQLSWSEAFHIPLTDVSASNGFTSLSSTMEQFATKVANLAQRLAEILAEKLGCKSTFFEENCVPSTCYLRFNRYPPCPIPADVFGLTPHTDSDFLTILHQDQVGGLQLIKAGKWFAVKPNPEALIVNVGDLFQAWSNDVYKSVEHRVVTNPRVERYSTAYFFCPSYDTEIQSWCEPSIYKKFSFKEYREQVHKDVQLLGRKVGLPRFLV >Manes.17G034900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21772784:21775423:1 gene:Manes.17G034900.v8.1 transcript:Manes.17G034900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPPFHEIYNTLLDNTILNKSETKTSVVVDECELPLIDLRRLSVDESDEKDKCKEEITRASQEWGFFQVVNHGISREILEKMRREQVKLFKQSFDKKRQEDKFLNFSSGTYRWGTPTATCISQLSWSEAFHIPLTDVSASNGFTSLSSTMEQFATKVANLAQRLAEILAEKLGCKSTFFEENCVPSTCYLRFNRYPPCPIPADVFGLTPHTDSDFLTILHQDQVGGLQLIKAGKWFAVKPNPEALIVNVGDLFQAWSNDVYKSVEHRVVTNPRVERYSTAYFFCPSYDTEIQSWCEPSIYKKFSFKEYREQVHKDVQLLGRKVGLPRFLV >Manes.17G034900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21770757:21775423:1 gene:Manes.17G034900.v8.1 transcript:Manes.17G034900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDPPFHEIYNTLLDNTILNKSETKTSVVVDECELPLIDLRRLSVDESDEKDKCKEEITRASQEWGFFQVVNHGISREILEKMRREQVKLFKQSFDKKRQEDKFLNFSSGTYRWGTPTATCISQLSWSEAFHIPLTDVSASNGFTSLSSTMEQFATKVANLAQRLAEILAEKLGCKSTFFEENCVPSTCYLRFNRYPPCPIPADVFGLTPHTDSDFLTILHQDQVGGLQLIKAGKWFAVKPNPEALIVNVGDLFQAWSNDVYKSVEHRVVTNPRVERYSTAYFFCPSYDTEIQSWCEPSIYKKFSFKEYREQVHKDVQLLGRKVGLPRFLV >Manes.06G121300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25230873:25234376:-1 gene:Manes.06G121300.v8.1 transcript:Manes.06G121300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDECPPHNKKTIFIMQKDKERKRVINKKEMKFKRKGNAYPFLSSPPSSSSSYKDPDSVLKLLPVAILALALSLPNHDREVLAYLVARSLISTTTTNPHSSFNTNCYHSKNKCKAITSDGKKKYVQKAPLFECGCFDCYTSFWYRWDSSPNRELIHQVVEAFENHLLQNESPNKHTRGKKRGNKAIEASVESNILVDKVEAESKISVSEDECETVIVPEDIEGGVLKENGVFCEEKMGKMTENLKMEEVTVQAMGTNHKGLARKVLPDVVGLLNSRLWSLWGPGI >Manes.01G036200.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6813860:6816455:-1 gene:Manes.01G036200.v8.1 transcript:Manes.01G036200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVVKETGLGDMIKISQHKFQIFLPSSLVLSTCLLCGRTPFLIYSSPAIIFHSSSFSPSSRFSEMASEPHQPELSSAFEGMPRHRDLSLFLPFLLGFSTTTGRSESDHPDQETPDTPTPNQRIILINPFTQGMVVIEGAASLDSLLRDLATKNGQPPASKASIEAMPCVEIAEIGDQDGECMICLEDWEIGGLAKEMPCKHRFHANCIEKWLGIHGSCPVCRYKMPVDKMDFGKKRDDDDDEGRERRIIEREIWVSFSFNTHRRNENSNQASVTDPSDVSSSSPALDHEMEG >Manes.01G036200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6813844:6816456:-1 gene:Manes.01G036200.v8.1 transcript:Manes.01G036200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVVKETGLGDMIKISQHKFQIFLPSSLVLSTCLLCGRTPFLIYSSPAIIFHSSSFSPSSRFSEMASEPHQPELSSAFEGMPRHRDLSLFLPFLLGFSTTTGRSESDHPDQETPDTPTPNQRIILINPFTQGMVVIEGAASLDSLLRDLATKNGQPPASKASIEAMPCVEIAEIGDQDGECMICLEDWEIGGLAKEMPCKHRFHANCIEKWLGIHGSCPVCRYKMPVDKMDFGKKRDDDDDEGRERRIIEREIWVSFSFNTHRRNENSNQASVTDPSDVSSSSPALDHEMEG >Manes.01G036200.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6814086:6816429:-1 gene:Manes.01G036200.v8.1 transcript:Manes.01G036200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVVKETGLGDMIKISQHKFQIFLPSSLVLSTCLLCGRTPFLIYSSPAIIFHSSSFSPSSRFSEMASEPHQPELSSAFEGMPRHRDLSLFLPFLLGFSTTTGRSESDHPDQETPDTPTPNQRIILINPFTQGMVVIEGAASLDSLLRDLATKNGQPPASKASIEAMPCVEIAEIGDQDGECMICLEDWEIGGLAKEMPCKHRFHANCIEKWLGIHGSCPVCRYKMPVDKMDFGKKRDDDDDEGRERRIIEREIWVSFSFNTHRRNENSNQASVTDPSDVSSSSPALDHEMEG >Manes.01G036200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6813860:6816455:-1 gene:Manes.01G036200.v8.1 transcript:Manes.01G036200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVVKETGLGDMIKISQHKFQIFLPSSLVLSTCLLCGRTPFLIYSSPAIIFHSSSFSPSSRFSEMASEPHQPELSSAFEGMPRHRDLSLFLPFLLGFSTTTGRSESDHPDQETPDTPTPNQRIILINPFTQGMVVIEGAASLDSLLRDLATKNGQPPASKASIEAMPCVEIAEIGDQDGECMICLEDWEIGGLAKEMPCKHRFHANCIEKWLGIHGSCPVCRYKMPVDKMDFGKKRDDDDDEGRERRIIEREIWVSFSFNTHRRNENSNQASVTDPSDVSSSSPALDHEMEG >Manes.01G036200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6810742:6816456:-1 gene:Manes.01G036200.v8.1 transcript:Manes.01G036200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVVKETGLGDMIKISQHKFQIFLPSSLVLSTCLLCGRTPFLIYSSPAIIFHSSSFSPSSRFSEMASEPHQPELSSAFEGMPRHRDLSLFLPFLLGFSTTTGRSESDHPDQETPDTPTPNQRIILINPFTQGMVVIEGAASLDSLLRDLATKNGQPPASKASIEAMPCVEIAEIGDQDGECMICLEDWEIGGLAKEMPCKHRFHANCIEKWLGIHGSCPVCRYKMPVDKMDFGKKRDDDDDEGRERRIIEREIWVSFSFNTHRRNENSNQASVTDPSDVSSSSPALDHEMEG >Manes.01G036200.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6814086:6816429:-1 gene:Manes.01G036200.v8.1 transcript:Manes.01G036200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVVKETGLGDMIKISQHKFQIFLPSSLVLSTCLLCGRTPFLIYSSPAIIFHSSSFSPSSRFSEMASEPHQPELSSAFEGMPRHRDLSLFLPFLLGFSTTTGRSESDHPDQETPDTPTPNQRIILINPFTQGMVVIEGAASLDSLLRDLATKNGQPPASKASIEAMPCVEIAEIGDQDGECMICLEDWEIGGLAKEMPCKHRFHANCIEKWLGIHGSCPVCRYKMPVDKMDFGKKRDDDDDEGRERRIIEREIWVSFSFNTHRRNENSNQASVTDPSDVSSSSPALDHEMEG >Manes.01G036200.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6810742:6816456:-1 gene:Manes.01G036200.v8.1 transcript:Manes.01G036200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVVKETGLGDMIKISQHKFQIFLPSSLVLSTCLLCGRTPFLIYSSPAIIFHSSSFSPSSRFSEMASEPHQPELSSAFEGMPRHRDLSLFLPFLLGFSTTTGRSESDHPDQETPDTPTPNQRIILINPFTQGMVVIEGAASLDSLLRDLATKNGQPPASKASIEAMPCVEIAEIGDQDGECMICLEDWEIGGLAKEMPCKHRFHANCIEKWLGIHGSCPVCRYKMPVDKMDFGKKRDDDDDEGRERRIIEREIWVSFSFNTHRRNENSNQASVTDPSDVSSSSPALDHEMEG >Manes.10G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30337485:30340854:-1 gene:Manes.10G136100.v8.1 transcript:Manes.10G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEPVTEANVPEKESERREEEEERDEEEEEGNGRKERMEGERDVEKGEMGVEEKVMESKNVEDQRNFHVSMLQRLNPSNPLRIVINSNTRVASPSPSQTSLPRSTPTPQQPSIATLNSRRYTNRISLFLFVVHMVLAFGLVCFLIFKGIQGLVVASDTVKRKEMRILKYFLPQVEVASLLSITLALAWQKAVRVWPGFMIHFILWSSFFMSLSAGILLICFQKAPTDGVGVCLIAFAIGNGLYACWVTQRIEFSTKILIKSLEPVPKFGDLNQPTYWMLGVGFLWMSMWILAVIGALNFYFPPLTIIALVLSMAWITEVMRNVVNLTVSRVISLYYLRGMQASTEFCFQRAVTRNLGSACLGSVFVPSIEALRIIARGLNLLEGEDEFMFSCAHCCLGVMNSIFTYGNGWAFVQIAAYGKGFVQASQDTWEQFKRQDMERIVDCDITSSICFLTGVCSASICVIVVAAWTAKVHQPFTATLSLLSLFIGYLLTRIAMALPHACVSCYYVCYSENPDNRLFDNTIKEQQNLIKSGRDVVVRTPRVPHRFAT >Manes.10G136100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30337485:30340854:-1 gene:Manes.10G136100.v8.1 transcript:Manes.10G136100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLILCDQPSIATLNSRRYTNRISLFLFVVHMVLAFGLVCFLIFKGIQGLVVASDTVKRKEMRILKYFLPQVEVASLLSITLALAWQKAVRVWPGFMIHFILWSSFFMSLSAGILLICFQKAPTDGVGVCLIAFAIGNGLYACWVTQRIEFSTKILIKSLEPVPKFGDLNQPTYWMLGVGFLWMSMWILAVIGALNFYFPPLTIIALVLSMAWITEVMRNVVNLTVSRVISLYYLRGMQASTEFCFQRAVTRNLGSACLGSVFVPSIEALRIIARGLNLLEGEDEFMFSCAHCCLGVMNSIFTYGNGWAFVQIAAYGKGFVQASQDTWEQFKRQDMERIVDCDITSSICFLTGVCSASICVIVVAAWTAKVHQPFTATLSLLSLFIGYLLTRIAMALPHACVSCYYVCYSENPDNRLFDNTIKEQQNLIKSGRDVVVRTPRVPHRFAT >Manes.14G035700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3137669:3138127:-1 gene:Manes.14G035700.v8.1 transcript:Manes.14G035700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPSVFIVFLCLSMHACIARHFGSVKVGGRVKQKIAMEPPVEGIIRGSIVENIDGAVMNTHHESKTDSKIVRGSSGQAPIKPLVSVSWRVPTKNTDKKPGFHSDYSMPRMRTPSHN >Manes.14G035700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3136302:3139399:-1 gene:Manes.14G035700.v8.1 transcript:Manes.14G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPSVFIVFLCLSMHACIARHFGSVKVGGRVKQKIAMEPPVEGIIRGSIVENIDGAVMNTHHESKTDSKIVRGSSGGDKPSSSSFIMVSLKATNIEGSTRRKRLSVVRFGSIDLNNSHKVHEDAEVIDYDPPHRTPPIHNRKI >Manes.14G035700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3136302:3138873:-1 gene:Manes.14G035700.v8.1 transcript:Manes.14G035700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIPSVFIVFLCLSMHACIARHFGSVKVGGRVKQKIAMEPPVEGIIRGSIVENIDGAVMNTHHESKTDSKIVRGSSGGDKPSSSSFIMVSLKATNIEGSTRRKRLSVVRFGSIDLNNSHKVHEDAEVIDYDPPHRTPPIHNRKI >Manes.11G018700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2085497:2090272:1 gene:Manes.11G018700.v8.1 transcript:Manes.11G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGCSNSCSSSSSCGSSSLAAPPPLRPKSPPGGLDLFGKRRQLVKVQILEREIGLLQEELKTVEGLQPASSCCKELDDFIGAKPDPLVAINEEPHKSGCRWKWLCRPWICCSSGCQPHLQWPACCACCPSGNSHKRSCCCLEKMACQSCCKFTRLSCPSFSYCCFNSSLCNCTKVNLCCSCSKTFHNSCCL >Manes.15G128300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10245095:10261427:1 gene:Manes.15G128300.v8.1 transcript:Manes.15G128300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVVQRVLQEGGRDFFQQPPSTSSILQSLPLHASFDRGYYLLVKSIQELRERKEGLVTVGIGGPSGSGKTSLAEKVASVIGCIVISMEDYRCRVDDGNDLDSIDFDALVQNLEDLTKGKDTSIPVFDYQQKRHVGSKRINSVSSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDVSAYAFKGAEPQIDNFIEMYLRAPSASEEARISDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSISNGSLSMSLETIDTLGETFMVLRGMNRKTVGAEASRMGVNGPWITKSYLELILERKGVPRLSTPPPLSHTSMTSNQDRLIAAPRPIRTTPNLVTRVEDLSQPWTRSPTKAQMEPVVATWRFMPSDPPHTGSSVVDPSSFRDTMKLVPMPDSYDLDRGLLLAVQAIQALLESKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFISEQVKDFKHDDFRSLDLSLLAKNINDIRCGRTAKVPLFDLETGARSGFKELDISEDCGVIIFEGVYALHPEIRESLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNDIMMTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNMQVAYQDIVKILDPAKLCRSLQNFIDIYLKLPGIPTNGQLTENDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILVEVDHLQDAPSPYLQIKGVNKDAVAAAGSTLKLDGSYTTKSYLQIILERLPETEQNSRGIHTQQAARLQELVEFIQYQKNNTGTKLTGKQLSFRILTS >Manes.15G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10245095:10261427:1 gene:Manes.15G128300.v8.1 transcript:Manes.15G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVVQRVLQEGGRDFFQQPPSTSSILQSLPLHASFDRGYYLLVKSIQELRERKEGLVTVGIGGPSGSGKTSLAEKVASVIGCIVISMEDYRCRVDDGNDLDSIDFDALVQNLEDLTKGKDTSIPVFDYQQKRHVGSKRINSVSSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDVSAYAFKGAEPQIDNFIEMYLRAPSASEEARISDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSISNGSLSMSLETIDTLGETFMVLRGMNRKTVGAEASRMGVNGPWITKSYLELILERKGVPRLSTPPPLSHTSMTSNQDRLIAAPRPIRTTPNLVTRVEDLSQPWTRSPTKAQMEPVVATWRFMPSDPPHTGSSVVDPSSFRDTMKLVPMPDSYDLDRGLLLAVQAIQALLESKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFISEQVKDFKHDDFRSLDLSLLAKNINDIRCGRTAKVPLFDLETGARSGFKELDISEDCGVIIFEGVYALHPEIRESLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNDIMMTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNMQVAYQDIVKILDPAKLCRSLQNFIDIYLKLPGIPTNGQLTENDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILVEVDHLQDAPSPYLQIKGVNKDAVAAAGSTLKLDGSYTTKSYLQIILERLPETEQNSRGIHTQQAARLQELVEFIQYQGNSSASESSPVRETVPIEGIIEDMQSRIKRLERWQTINTVLWTFLMSALVGYSLYQRKRQ >Manes.15G128300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10245095:10261427:1 gene:Manes.15G128300.v8.1 transcript:Manes.15G128300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVVQRVLQEGGRDFFQQPPSTSSILQSLPLHASFDRGYYLLVKSIQELRERKEGLVTVGIGGPSGSGKTSLAEKVASVIGCIVISMEDYRCRVDDGNDLDSIDFDALVQNLEDLTKGKDTSIPVFDYQQKRHVGSKRINSVSSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDVSAYAFKGAEPQIDNFIEMYLRAPSASEEARISDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSISNGSLSMSLETIDTLGETFMVLRGMNRKTVGAEASRMGVNGPWITKSYLELILERKGVPRLSTPPPLSHTSMTSNQDRLIAAPRPIRTTPNLVTRVEDLSQPWTRSPTKAQMEPVVATWRFMPSDPPHTGSSVVDPSSFRDTMKLVPMPDSYDLDRGLLLAVQAIQALLESKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFISEQVKDFKHDDFRSLDLSLLAKNINDIRCGRTAKVPLFDLETGARSGFKELDISEDCGVIIFEGVYALHPEIRESLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNDIMMTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNMQVAYQDIVKILDPAKLCRSLQNFIDIYLKLPGIPTNGQLTENDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKVIFLLRLIIYKMPLVPIYRLKGLIKMLLQLLVQHLNWMVHTQLRVIYKSFWRGCQKQNKIPEGFTRSKLQDCRNLLNSYNIRETAQLQNPHQLGRQCL >Manes.15G128300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10245095:10261427:1 gene:Manes.15G128300.v8.1 transcript:Manes.15G128300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYRCRVDDGNDLDSIDFDALVQNLEDLTKGKDTSIPVFDYQQKRHVGSKRINSVSSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDVSAYAFKGAEPQIDNFIEMYLRAPSASEEARISDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSISNGSLSMSLETIDTLGETFMVLRGMNRKTVGAEASRMGVNGPWITKSYLELILERKGVPRLSTPPPLSHTSMTSNQDRLIAAPRPIRTTPNLVTRVEDLSQPWTRSPTKAQMEPVVATWRFMPSDPPHTGSSVVDPSSFRDTMKLVPMPDSYDLDRGLLLAVQAIQALLESKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFISEQVKDFKHDDFRSLDLSLLAKNINDIRCGRTAKVPLFDLETGARSGFKELDISEDCGVIIFEGVYALHPEIRESLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNDIMMTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNMQVAYQDIVKILDPAKLCRSLQNFIDIYLKLPGIPTNGQLTENDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILVEVDHLQDAPSPYLQIKGVNKDAVAAAGSTLKLDGSYTTKSYLQIILERLPETEQNSRGIHTQQAARLQELVEFIQYQGNSSASESSPVRETVPIEGIIEDMQSRIKRLERWQTINTVLWTFLMSALVGYSLYQRKRQ >Manes.15G128300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10245095:10261427:1 gene:Manes.15G128300.v8.1 transcript:Manes.15G128300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVVQRVLQEGGRDFFQQPPSTSSILQSLPLHASFDRGYYLLVKSIQELRERKEGLVTVGIGGPSGSGKTSLAEKVASVIGCIVISMEDYRCRVDDGNDLDSIDFDALVQNLEVGGVHFSLLSKVQYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDVSAYAFKGAEPQIDNFIEMYLRAPSASEEARISDWIKVRQSGIRYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASTSISNGSLSMSLETIDTLGETFMVLRGMNRKTVGAEASRMGVNGPWITKSYLELILERKGVPRLSTPPPLSHTSMTSNQDRLIAAPRPIRTTPNLVTRVEDLSQPWTRSPTKAQMEPVVATWRFMPSDPPHTGSSVVDPSSFRDTMKLVPMPDSYDLDRGLLLAVQAIQALLESKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFISEQVKDFKHDDFRSLDLSLLAKNINDIRCGRTAKVPLFDLETGARSGFKELDISEDCGVIIFEGVYALHPEIRESLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNDIMMTVFPMFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNMQVAYQDIVKILDPAKLCRSLQNFIDIYLKLPGIPTNGQLTENDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAFIYQDGKILVEVDHLQDAPSPYLQIKGVNKDAVAAAGSTLKLDGSYTTKSYLQIILERLPETEQNSRGIHTQQAARLQELVEFIQYQGNSSASESSPVRETVPIEGIIEDMQSRIKRLERWQTINTVLWTFLMSALVGYSLYQRKRQ >Manes.18G124800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14137005:14146619:-1 gene:Manes.18G124800.v8.1 transcript:Manes.18G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCSAASSDDIFRNSSSSSSSSSSSSPSFSGSASGGGGGGSRNISSRRYGINIAASNLIHAPLTTLLEYAGILRGGGNVSSAAHEREQLILPPPAAAVRSSTGAHHHQEVTIRIIGAADSNVDPTVEAMPASLPLSDDQPGRAVPSETPTVDGVSGITADPSSSSPPPPPSYQRYDIQNFARWIEQIVPFSLLLLLVFIRQHLQGFCVALWIAAILFKSNDILKKQTALKGERKNSITIAITLGFMLHVMSIYWWYRNDDLLYALVLLPVSTIPPFWHALFIIVVNDAMVRQVAMAFKCILLMYYKNGRGHSYRKQGQMLTLVEYFLLLYRALLPAPVWYKFFLNKEYGSFFSSLITGLYLTFKLTSVLGKVRSFFTALRALSSKEIHYGSKATSEQVNAAGDLCAICQEKMSAPILLRCKHIFCEECVSQWFERERTCPLCRALVKPADIRSYGDGSTSLFFQLF >Manes.S027316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:455827:456639:-1 gene:Manes.S027316.v8.1 transcript:Manes.S027316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32429590:32432059:1 gene:Manes.07G119400.v8.1 transcript:Manes.07G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICCEVVGESEAVAAVVEPSSRPSRRRKLELLPFKLIADAAVQPPLENSGKQVKLDTCTLSLGEPPLKRPRDCDNAVENCKPEEHKCKENEQNEEFEKNERFKHQSVSGGSDSANLDHELAEESVKFGTTSVCGRRRDMEDAVSVRTSFAGGKTSFFGVFDGHGCSHVAMKCKDRLHKIVKEEIETFEDLKCVEWKETMERSYAKMDKEVDKWCIDGDDKNTNCRCELQTPQCDAVGSTAVVAIVTPNKIVVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELARIQDAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTVTERTAEDECLILASDGLWDVVSNDTACGVARMCLRAQRPKRKSRPGSPGSDAVVGSNTGESSDKVCSDASILLTKLALARHSTDNVSVVVVDLRRNQPASS >Manes.13G014723.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1940775:1941266:1 gene:Manes.13G014723.v8.1 transcript:Manes.13G014723.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNSAKIPQQTKNQKRRSIKIRNRNSCSPCPYPNLFSS >Manes.13G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10033126:10043746:1 gene:Manes.13G070400.v8.1 transcript:Manes.13G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITASSSTSSLIRASRARLSSSFSSSITRASALASPPPKVSSSLLANTAQHRSLSFSAAVRSIRCSVPRWSHGVDWRSPVSLRSQIRAVAPVIERFQRKIATMASENPFKGIFTTLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLASMRDAMSNLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVEANMELEFQRNRERFAFLRWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQAMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGALSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYSEPQQERVYSSYLQLNLADVEPCISGPKRPHDRVPLREMKNDWHSCLDNPVGFKGFAIPKEAQDKVVKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNQQGFHIVGYGCTTCIGNSGELDESVASAISENDILAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSIYFKDIWPTTEEIAEVVQSSVLPDMFKSTYEAITKGNPMWNQLIVPATTSYSWDPSSTYIHEPPYFKNMTLNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKEFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLNVFDAATRYKTSGQDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGQDADTLGLTGHELYSIDLPSNISDIKPGQDVTVTTDSGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLMKQ >Manes.01G272300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42533224:42535597:1 gene:Manes.01G272300.v8.1 transcript:Manes.01G272300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRSYTKLHLSPEEFQANPSSYTRHKSYSQILCKSLFFLLFLIAIPLFPSQAPKFINQSILTKFWEFVHFLFIGVAVSYGLLSRRNVEVDFDTHSNNFDDPESSYVSRIFHVFPSFEDGYENSCGADEKTVHLSWNSQCYRVGDSTVSVTNGSSVIDEQSKTGSINSENGTEISLEQDENSVGQAWNSQYLQGESVVLVSQANYEIGELEKPEQVAGYEPLRVPVMSSKSKSRSLDSAQFSNGSESISSLIGSSSSSGRIVDEKHFFGDTGNSNTDEKFNGSFPLPSEIPRRSISGRAEMKEIIGPVDGDPSHIQPLSVDETQFQSPKPQSFRSTTASFSSQARSILNSPTELFPSHSVSTDRQNSGTEDSASQSPQTTVYREARLNAFHLRKYTSGSLFKKNLHVTSKDKLKDLSGAREDSLGVKENEQVSLGSDKKPGTIGKATSRGKSLRINRSGLYTAEAIKAEEMSTTHFDDQAGKGSNEAKAVNIRKNEMKGNMSIGISEKNIDTHCNVPMATYAKYLKREKVKCLENVAVESEKDSENNKTNSVPVRLDEGSRTGTEFVSDAGLDPNEVDKKAGEFIAKFREQIRLQKEASVERSRRMRISSKHLR >Manes.17G038700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20726186:20728005:-1 gene:Manes.17G038700.v8.1 transcript:Manes.17G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRLTTSRSERLLGSFSYSNGQDTTVNDSSELAEEDMWSMVDDATHRNDQINYNSHGEWNSRADVESNGNMSIRSRRRIPRDRDDQHVGGLSLAFQDSSSKTASSRIVHQLSGHDNVAPAASSPRHMATSAPVNVPDWSNILRVDSVESLHESDDGYDDRDSEMVPPHEYLAREYARSKKMGGASVFEGVGRTLKGRDMRRVRDAVWSQTGFDG >Manes.12G032000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2778941:2782617:1 gene:Manes.12G032000.v8.1 transcript:Manes.12G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGQRGSSNPSAMLTSLLSKRAKLQKELRKIEKQVYDMETNYLQDPSQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVSSPAAEELATGRDDGRSDCGVGRPKGGGIYANGQGKPKKGRGGLREAKRIRASVDPDFDYDDDPDLTF >Manes.17G075300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27599859:27601321:1 gene:Manes.17G075300.v8.1 transcript:Manes.17G075300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDSFSDYLSDLFTLAKKKRKRKPMQTVDIKVKMDCDGCERRVKNSVSDMKGVKSVEVNRKQSRVTVSGYVDPNKVLKKVRSTGKRAEFWPYVPYNLVTYPYVAQAYDKKAPSGFVRDVFQALPSPNAADEKFTTLFSDENPHACSIM >Manes.12G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26832670:26836123:1 gene:Manes.12G101100.v8.1 transcript:Manes.12G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHRAQSRKDKPRGRPHGLSQQKRQEIREAFELFDTDGSGTIDAKELNVAMRALGYEMTEEQINLMIADVDKDGSGAIDYDEFEHMMTAQIGERDTKEELMKAFRIIDQDNNGKISVDDIKRIAKELGENFTDRDIREMIDEADRDHDGEVGMDEFFRTMKRTTYRY >Manes.11G129732.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29377745:29379698:-1 gene:Manes.11G129732.v8.1 transcript:Manes.11G129732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFERIYDDIFYCSVDWKTDTFYLQLEILTQFAELKPLLQKLKANGNKLKSKRKQLRRIYKPPKLHHKCFKKLDPNYQRRRHVHNKIDDVDTLVCERDELSYTIDLGVENLLDLMVKYSMPPVLLVNDKEDYSLIRVMRDICGFEGYLLVDPELKGLVRRIRKDRFKFADPEDCARRIANEKLFLPLEDKTDLIYLQLEMNLVLEYEIMPLIPEFTMIERMLKPVLRLATIPGFRF >Manes.14G079900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6633818:6635948:-1 gene:Manes.14G079900.v8.1 transcript:Manes.14G079900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGLLFLGFFSLISLASGDDGGWLDAHATFYGGGDASGTMGGACGYGNLESQGYGRDSAALSTALFNNGLSCGACFEIKCKDESKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQHHFDLSQPVFQRIAQYKAGIVPVSYRRVPCQKKGGIRFTINGHSYFNLVLITNVGGAGDVHAVSVKGSRTDWQPMSRNWGQNWQSNSLLTGQSLSFKVTTSDGRTVVCNDVTPAGWSFGQTFTGPQFH >Manes.16G122300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32453947:32457586:-1 gene:Manes.16G122300.v8.1 transcript:Manes.16G122300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGPRPYECVRRAWHSDRHQPIRGSLIQEIFRVVNEVHGSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKTLWDRANDAINTIIRRDESTETGELLQPCIEAALILGCTPRRASRSQRNCNPRCYLIPGTQEPNTFSSGIVNSTTRANHTTSPPCIPNYANFITPTIINSTLLGPELQNLVYKNVAVTPNKFLFATDNSHLANYNQCLPAENRPVSSMCSVYPLYYGSCLKPQQDLGILSKAAEPVRVSGIEQNLFSYNEDPAVKINQSDPSDSLLEQHEVGCDLSLRLGSLSASLPSVQKRQLQDVEAVGSGYSQERSEFSHRMPQTDKEFSLFTTVNVDNSLDSCPSKLREDVNVDAQMKKRKAVFVYPVEDQAYCWQPKLPCNDLTSRMKSAGS >Manes.16G122300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32453947:32457533:-1 gene:Manes.16G122300.v8.1 transcript:Manes.16G122300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGPRPYECVRRAWHSDRHQPIRGSLIQEIFRVVNEVHGSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKTLWDRANDAINTIIRRDESTETGELLQPCIEAALILGCTPRRASRSQRNCNPRCYLIPGTQEPNTFSSGIVNSTTRANHTTSPPCIPNYANFITPTIINSTLLGPELQNLVYKNVAVTPNKFLFATDNSHLANYNQCLPAENRPVSSMCSVYPLYYGSCLKPQQDLGILSKAAEPVRVSGIEQNLFSYNEDPAVKINQSDPSDSLLEQHEVGCDLSLRLGSLSASLPSVQKRQLQDVEAVGSGYSQERSEFSHRMPQTDKEFSLFTTVNVDNSLDSCPSKLREDVNVDAQMKKRKAVFVYPVEDQAYCWQPKLPCNDLTSRMKSAGS >Manes.16G122300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32453667:32457533:-1 gene:Manes.16G122300.v8.1 transcript:Manes.16G122300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLGELGTVIDTSPLEVLSFKKFSVVNEVHGSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKTLWDRANDAINTIIRRDESTETGELLQPCIEAALILGCTPRRASRSQRNCNPRCYLIPGTQEPNTFSSGIVNSTTRANHTTSPPCIPNYANFITPTIINSTLLGPELQNLVYKNVAVTPNKFLFATDNSHLANYNQCLPAENRPVSSMCSVYPLYYGSCLKPQQDLGILSKAAEPVRVSGIEQNLFSYNEDPAVKINQSDPSDSLLEQHEVGCDLSLRLGSLSASLPSVQKRQLQDVEAVGSGYSQERSEFSHRMPQTDKEFSLFTTVNVDNSLDSCPSKLREDVNVDAQMKKRKAVFVYPVEDQAYCWQPKLPCNDLTSRMKSAGS >Manes.16G122300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32453317:32457867:-1 gene:Manes.16G122300.v8.1 transcript:Manes.16G122300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGPRPYECVRRAWHSDRHQPIRGSLIQEIFRVVNEVHGSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKTLWDRANDAINTIIRRDESTETGELLQPCIEAALILGCTPRRASRSQRNCNPRCYLIPGTQEPNTFSSGIVNSTTRANHTTSPPCIPNYANFITPTIINSTLLGPELQNLVYKNVAVTPNKFLFATDNSHLANYNQCLPAENRPVSSMCSVYPLYYGSCLKPQQDLGILSKAAEPVRVSGIEQNLFSYNEDPAVKINQSDPSDSLLEQHEVGCDLSLRLGSLSASLPSVQKRQLQDVEAVGSGYSQERSEFSHRMPQTDKEFSLFTTVNVDNSLDSCPSKLREDVNVDAQMKKRKAVFVYPVEDQAYCWQPKLPCNDLTSRMKSAGS >Manes.16G122300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32453838:32457783:-1 gene:Manes.16G122300.v8.1 transcript:Manes.16G122300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGPRPYECVRRAWHSDRHQPIRGSLIQEIFRVVNEVHGSATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKTLWDRANDAINTIIRRDESTETGELLQPCIEAALILGCTPRRASRSQRNCNPRCYLIPGTQEPNTFSSGIVNSTTRANHTTSPPCIPNYANFITPTIINSTLLGPELQNLVYKNVAVTPNKFLFATDNSHLANYNQCLPAENRPVSSMCSVYPLYYGSCLKPQQDLGILSKAAEPVRVSGIEQNLFSYNEDPAVKINQSDPSDSLLEQHEVGCDLSLRLGSLSASLPSVQKRQLQDVEAVGSGYSQERSEFSHRMPQTDKEFSLFTTVNVDNSLDSCPSKLREDVNVDAQMKKRKAVFVYPVEDQAYCWQPKLPCNDLTSRMKSAGS >Manes.03G021500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1809796:1814345:1 gene:Manes.03G021500.v8.1 transcript:Manes.03G021500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRLHIYPQAIDHFSFGEQLRNGLPRQGFLWSGSGGLHRDRHGLYVHRCRSFRSDDGGEVGEKKNISEKKCKVVKESEDKLKEGDGFWSTLKHAVSGFGRLDSQSNEEHTKAVAKLEEVLSSIAIQIGRYIVTMMSTGVILAIGFQLSGGDSQMNTLIWYSWLGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSHESVDTTVKELEENLREGLMTANGSSRTNLAHAKVVGISCDVCEPSDVQKLANFAVNEFGSIDIWINNAGTNKGFRPLLQFNDEDIQQIVSTNLVGSILCTREAMRVMVNQPRGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSTLKNKQMFNIICELPETVARSLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDDQGRALYAAEADRLRNWAENRARFSFTDAMEMYTETTWVSVFSLSIVCAFIIVSSTGSTFPGT >Manes.03G021500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1809796:1814345:1 gene:Manes.03G021500.v8.1 transcript:Manes.03G021500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRLHIYPQAIDHFSFGEQLRNGLPRQGFLWSGSGGLHRDRHGLYVHRCRSFRSDDGGEVGEKKNISEKKCKVVKESEDKLKEGDGFWSTLKHAVSGFGRLDSQSNEEHTKAVAKLEEVLSSIAIQIGRYIVTMMSTGVILAIGFQLSDSQMNTLIWYSWLGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASPSHESVDTTVKELEENLREGLMTANGSSRTNLAHAKVVGISCDVCEPSDVQKLANFAVNEFGSIDIWINNAGTNKGFRPLLQFNDEDIQQIVSTNLVGSILCTREAMRVMVNQPRGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSTLKNKQMFNIICELPETVARSLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDDQGRALYAAEADRLRNWAENRARFSFTDAMEMYTETTWVSVFSLSIVCAFIIVSSTGSTFPGT >Manes.03G021500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1809796:1814345:1 gene:Manes.03G021500.v8.1 transcript:Manes.03G021500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRLHIYPQAIDHFSFGEQLRNGLPRQGFLWSGSGGLHRDRHGLYVHRCRSFRSDDGGEVGEKKNISEKKCKVVKESEDKLKEGDGFWSTLKHAVSGFGRLDSQSNEEHTKAVAKLEEVLSSIAIQIGRYIVTMMSTGVILAIGFQLSDSQMNTLIWYSWLGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSHESVDTTVKELEENLREGLMTANGSSRTNLAHAKVVGISCDVCEPSDVQKLANFAVNEFGSIDIWINNAGTNKGFRPLLQFNDEDIQQIVSTNLVGSILCTREAMRVMVNQPRGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSTLKNKQMFNIICELPETVARSLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDDQGRALYAAEADRLRNWAENRARFSFTDAMEMYTETTWVSVFSLSIVCAFIIVSSTGSTFPGT >Manes.03G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1809796:1814345:1 gene:Manes.03G021500.v8.1 transcript:Manes.03G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRLHIYPQAIDHFSFGEQLRNGLPRQGFLWSGSGGLHRDRHGLYVHRCRSFRSDDGGEVGEKKNISEKKCKVVKESEDKLKEGDGFWSTLKHAVSGFGRLDSQSNEEHTKAVAKLEEVLSSIAIQIGRYIVTMMSTGVILAIGFQLSGGDSQMNTLIWYSWLGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASPSHESVDTTVKELEENLREGLMTANGSSRTNLAHAKVVGISCDVCEPSDVQKLANFAVNEFGSIDIWINNAGTNKGFRPLLQFNDEDIQQIVSTNLVGSILCTREAMRVMVNQPRGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSTLKNKQMFNIICELPETVARSLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDDQGRALYAAEADRLRNWAENRARFSFTDAMEMYTETTWVSVFSLSIVCAFIIVSSTGSTFPGT >Manes.15G164550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13793526:13797399:-1 gene:Manes.15G164550.v8.1 transcript:Manes.15G164550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFFGFNEQWIRWIMECVTTVSYSFCVEGLSHYINISSMRGVTISRYEPLVSHLVFTNDSILYSKASIVEARKIDTILKNYREANNQWINLHKSSLIFSYNTPRDLRSSITTLLHISKIEIPDKFLRLPSNILKSRTPVFSVIKDRIFKKNMGWKEHLLSKVVEKFLSRQS >Manes.06G149450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27787956:27788180:1 gene:Manes.06G149450.v8.1 transcript:Manes.06G149450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVCSESRFMNISLVNTDLVKPCNKIQFSEISGTIKLIKHIVHSWNRKSISNSNRIKSPVICTKSPGAVLLLN >Manes.01G165100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34873569:34876737:-1 gene:Manes.01G165100.v8.1 transcript:Manes.01G165100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPQPQLQHLRLSTCHRHPSRPITGFCASCLRERLAGIDPDAHQETPITYIAAELRRSKSFSSSSNPNASSSSTSTTTTSEPRRKSCEVRARNSLSDLFHLDDKRKYSLNRKPKGLDLGLELKEEEENVAEIRVSEEVNAPNVNAAPVSIIDDFEEDGEWKTMKEFIDLESVRKKNAGRDLKDIAGSFWEAASVFRKKLVKWQLKQKKDKKEKKHVIVGGGDGDLVVEIEKPSSRRLRETQSEIGEYGLGRRSCDTDPRLSVDVARLSVDGARYSFDEPRASWDGYLIGKTCPRLAPLVSVVEGSKLPGKGIENPKENVDLKNQGETSPGGTAQTRDYYSDRRRRRSFDRLGSNRRVTLGEDEFKSISNAKVSPEAVGLFHGAKLLVTEKELRDSNWYSLKDYHAGNTDALSKDVDSVASEISKKGFKFKKLQTWRSMLNIWGLMQRRSEGKCGDEGSSVGGSAVDGPVAESWHKLGGVSNEGTNGTVSQKLIRSYTVSARDSSKLACNVSSFETREDGMKRREDLVLQRNGSVRYSPNNIENGLLRFYLTPLRSYGRSKSGKSRLQNSHSMATNVL >Manes.17G012600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:5228573:5228875:1 gene:Manes.17G012600.v8.1 transcript:Manes.17G012600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEHVFVLSAYLFSIGIYGLITSRNMVRALMCLEHILNAVNLNFVIFYSFFDSRQLKENIFLIFIIVIAAAEVAIGPAIVSIIYRNRKSIHINQSNLLNK >Manes.07G122000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32611978:32617457:-1 gene:Manes.07G122000.v8.1 transcript:Manes.07G122000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGTRTLNSQKKQQKPVQQQQSQIGTVSQLMAGGMAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIFREEGVRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLHMIPGLENHRKNVGGDVLVHFIGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALQTISREEGVLGLYKGLGATLLGVGPSIAISFSVYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTEKQGVVQALH >Manes.07G122000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32611978:32617457:-1 gene:Manes.07G122000.v8.1 transcript:Manes.07G122000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGTRTLNSQKKQQKPVQQQQSQIGTVSQLMAGGMAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIFREEGVRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLHMIPGLENHRKNVGGDVLVHFIGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALQTISREEGVLGLYKGLGATLLGVGPSIAISFSVYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTEKQGVVQALH >Manes.07G122000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32611978:32617457:-1 gene:Manes.07G122000.v8.1 transcript:Manes.07G122000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGTRTLNSQKKQQKPVQQQQSQIGTVSQLMAGGMAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIFREEGVRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLHMIPGLENHRKNVGGDVLVHFIGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALQTISREEGVLGLYKGLGATLLGVGPSIAISFSVYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTEKQGVVQALH >Manes.07G122000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32611978:32617457:-1 gene:Manes.07G122000.v8.1 transcript:Manes.07G122000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDVATLRKASIWHEASRIFREEGVRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLHMIPGLENHRKNVGGDVLVHFIGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALQTISREEGVLGLYKGLGATLLGVGPSIAISFSVYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYTTGLFGTFKHIIQTEGFWGLYRGIMPEYYKVVPGVGICFMTYETLKLLLADVTAKL >Manes.07G122000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32611978:32617457:-1 gene:Manes.07G122000.v8.1 transcript:Manes.07G122000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGTRTLNSQKKQQKPVQQQQSQIGTVSQLMAGGMAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIFREEGVRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLHMIPGLENHRKNVGGDVLVHFIGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALQTISREEGVLGLYKGLGATLLGVGPSIAISFSVYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTGD >Manes.07G122000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32614839:32616908:-1 gene:Manes.07G122000.v8.1 transcript:Manes.07G122000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGTRTLNSQKKQQKPVQQQQSQIGTVSQLMAGGMAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIFREEGVRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLHMIPGLENHRKNVGGDVLVHFIGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALQTISREEGVLGLYKGLGATLLGVGPSIAISFSVYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTGD >Manes.07G122000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32611978:32617457:-1 gene:Manes.07G122000.v8.1 transcript:Manes.07G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGTRTLNSQKKQQKPVQQQQSQIGTVSQLMAGGMAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIFREEGVRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLHMIPGLENHRKNVGGDVLVHFIGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALQTISREEGVLGLYKGLGATLLGVGPSIAISFSVYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYTTGLFGTFKHIIQTEGFWGLYRGIMPEYYKVVPGVGICFMTYETLKLLLADVTAKL >Manes.07G122000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32614970:32617457:-1 gene:Manes.07G122000.v8.1 transcript:Manes.07G122000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGTRTLNSQKKQQKPVQQQQSQIGTVSQLMAGGMAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIFREEGVRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKLLHMIPGLENHRKNVGGDVLVHFIGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALQTISREEGVLGLYKGLGATLLGVGPSIAISFSVYETLRSFWQSHR >Manes.10G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2679180:2684767:1 gene:Manes.10G026900.v8.1 transcript:Manes.10G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRWQPIRDLVKKLKLISLPDKPCEIFPILARIFILFCLVSSISIVLYAAFFPQNHPFSFPAPNRTAPEPKPELDSVPTNISHILFGIGGSAATWNSRSRYSCLWWDAKRTRGFVWLDKIPKGKNKILISAPPHRLSSREWTRFKFSSSRSAVRIARIISDSFKLKLPGVRWFVMGDDDTVYFTENLVSVLTKYDHNRMWYIGGNSESVEQDLIHTYDMAFGGGGFAISYPLAEKLVAILDGCLDRYFYFYGSDQRIWACISEIGVPLTRELGFHQFDIRGNAYGLMAAHPVAPLVSLHHLDYVDSLFPYKNQTDSLKSLNGAYQLDPPRILQQTFCYDYKRKWSISVAWGFTVQLYPLLLPAKDLQTPLQTFKTWRGSRDGPFTFNTQPMKSNPCELPVVFMLEQAEEVGQRGSLTSYQMNEPTPEKCNRTDYAEAMSLQRIVVSALKLDPQYWTKDYERRRQCCDVRGIKKSNMEIRIRKCRPWETITIAG >Manes.06G041400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12851767:12857546:-1 gene:Manes.06G041400.v8.1 transcript:Manes.06G041400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTSRLGRRPSRTLVNRSHRSSFFSSLICGGSSSRATLEMESHPDEILINSAKHSDLITNEIWNLAEESSFCSGVGARPTSSLAVIGASSESSITVGEGTFAQDGLRSNGSSNQGMSESKELVTPYKVSDNCSRDESYRCISSTEASTSFKEQESSDPVSVNVSSNKDAVNGIDNSEDKDGSRICPEITHPSISYSQGPGGSHGDGVSVENHMNQVTGMFTSDSDPTPHRSEGPATFHSLGDESIQEAIPSGLGFLVANREQDQIDGNVLHVDVVSISSSILSSSTADTSSHEARRNSRRLFWDAFSRRSSRRHLDSPTIVFSTDNSDDPLSHDRWLLDFSGDFFDNGMGSDSGYLGSRIHSMNGQRRPSRSEIWERLRGGLDEHSRRTFCPSGLHPDGTCLCESLPTTEEPSSRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLKNHKKEKRVEGSDDVEQCYICLAEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHG >Manes.06G041400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12851767:12857546:-1 gene:Manes.06G041400.v8.1 transcript:Manes.06G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTSRLGRRPSRTLVNRSHRSSFFSSLICGGSSSRATLEMESHPDEILINSAKHSDLITNEIWNLAEESSFCSGVGARPTSSLAVIGASSESSITVGEGTFAQDGLRSNGSSNQGMSESKELVTPYKVSDNCSRDESYRCISSTEASTSFKEQESSDPVSVNVSSNKDAVNGIDNSEDKDGSRICPEITHPSISYSQGPGGSHGDGVSVENHMNQVTGMFTSDSDPTPHRSEGPATFHSLGDESIQEAIPSGLGFLVANREQDQIDGNVLHVDVVSISSSILSSSTADTSSHEARRNSRRLFWDAFSRRSSRRHLDSPTIVFSTDNSDDPLSHDRWLLDFSGDFFDNGMGSDSGYLGSRIHSMNGQRRPSRSEIWERLRGGLDEHSRRTFCPSGLHPDGTCLCESLPTTEEPSSRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLKNHKKEKRVEGSDDVEQCYICLAEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVRLGANEPSVSAMDSSVPNPEVPYIS >Manes.04G052400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:10544145:10546362:1 gene:Manes.04G052400.v8.1 transcript:Manes.04G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPRDSSPSSSEFKPPAPSSPEHHPRPPQSPMKPPDSLPDKSVPPIPGTYVIKIPKDQVYRVPPPENAKRFEKLSRKKPRRSAFCCCFCWFLGLLLTLIILAGIAAAVLYLVFRPKAPKYSIDSISIKGFNLSSSVPLSPEFDVTVRADNPNGKIGIDYRSGSSVNIFYDDVKLCNGKLPVFYQPSNNVTLFVTALKGSGIELASGVHKALVDGENKGTVRFKVKIRAPVKIKVGSVKTWKMTVKVNCDVSVDKLKSNAQILSKDCDYGVDLW >Manes.05G083733.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6901358:6902470:1 gene:Manes.05G083733.v8.1 transcript:Manes.05G083733.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELVSSSSFGIKKEEEVENKGGWRCVLALPAIRRSEMEENDMIIKGREKIGIVVTKVIDGRRHMGSVVAFDAAAKCFKVEYDDGNYENVDQNEFDAIVAPPFLVKAYFNDLYARDNKKGEETGTIITQGNYFSSEANEERRKRARRGSN >Manes.14G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11207940:11215748:-1 gene:Manes.14G117200.v8.1 transcript:Manes.14G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSINGATDHESFTLPLITSHRSLANSTSQVAIVGANVCPIESLDYEIAENDFFKQDWRTSRKIQIFQYVFMKWSLCFLVGIIVSLIGFFNNLAVENIAGVKFVVTSNMMLLHRYGMAFLVFSVSNLVLTLFASIITAFVAPAAAGSGIPEVKAYLNGVDAPGILSLRTFVVKIIGSISAVSSSLLIGKAGPMVHTGACIASLLGQGGSKKYRLTGKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSSLLWRAFFTTAVVAIVLRALIDFCLSGKCGLFGKGGLIMFDVYSANVTYHLIDVPPVILLGVTGGILGSLYNFLLDKVLRIYNLVNEKGTVYRILLACSISIFTSCLLFGLPWLASCQPCPADASEACPTIGRSGNYKKFQCEPGYYNDLASLIFNTNDDAIKNLFSRNTDAEFQYSSILIFFITCFFLSIFSYGIVAPAGLFVPVIVTGASYGRFIGMIFSSKSSLNHGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLLLPLIMLVLLVSKTVADAFNCNIYDLIMKAKGFPYLETHAEPYMRQLTVADVVTGPLQLFHGIEKVGNIVHVLQTTRHNGFPVIDEPPLSDCQVLYGLILRAHLIELLKKKIFSSSPVPMGPDALEQFSAGDFAKRGSGNGDKIEDIQITEEEMEMFLDLHPFTNASPYTVVETMSLAKARMLFREVGLRHLLVIPKISSRSPVVGILTRHDFMPEHILSLHPLLFRSRWKRLRIRLPQLFKFL >Manes.13G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34169881:34173132:1 gene:Manes.13G132800.v8.1 transcript:Manes.13G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVPGAMGTSASLALRLGQAIFSTASLLFMCLGIDFYSYTAFCYLVTIMGLVIPWSITLVLVDVYSVFVKFLPHQPRILSAIVIGDWALSFLSLAAASSTASVTDILHDAGSSYCPVTLCSRYQLSAAMAFLSWFLSFASSLFNLWLLPSLLNVEM >Manes.14G061200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5099604:5102191:1 gene:Manes.14G061200.v8.1 transcript:Manes.14G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPQSFILLLLCWVYPCFSVDFLFNSFNATKPGVIFIDDARVDSAVILLTNDTNQYSLGRAFYPTRIRMKPTQNSTTLSSFSTSFVFSVLPEIASSPGFGLTFVLSNWTNPPNALASQYFGLFTNATVSSQAPLLAVEFDTGQNPEFNDPNGNHIGIDLNNIESTKTEPAGYYNSSGGFVPVIMNNGQNVHAWIDFNGPNFEINVTVAPIGVPRPPVPTLNFKDPVIANYVSAEMYVGFSASKTTWVEPQRILAWSFSDTGLAREINTTNLPVFLPPSSTNSLSAGAIAGISIGCAAFVLILSYGLYRFWLNQKLKDQEEDEIEDWELEYWPHRYSYEELSQATNGFSNDQLLGSGGFGKVYKGILSNSTEVAVKCVNHDSKQGLREFMAEISSMGRLQHKNLVQMRGWCRKSTELMLVYDYMSNGSLDRYIFSKPKKLLNWQQRRQVLADVAEGLNYLHHGWDQVVVHRDIKSSNILLDSEMRGRLGDFGLAKLYSHNEVPNTTRVVGTLGYLAPELATIAVPTAASDVYSFGVVILEVASGRKPIEMGKDEEEDRVLIDCVRELYVEGKLVEAADERMQGEYGVEEMEMVLKLGLACCHPDPQKRPSMKEVVALLVGEHVAAAPAELLTELARGATGDNNDV >Manes.16G108200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31364842:31372316:-1 gene:Manes.16G108200.v8.1 transcript:Manes.16G108200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICVLVEHRNPRPRLARAYVWVVNLSLMIAEGYCWSKTSERQVLKIRYKYLEAALRQEVGFFDSQEATTSEIINSISKDTSLLQEVLSEKVPIFLMHASVFISGLAFSTYFSWRLSLVAFPTLLLLIIPGMIYGKYLLYLSKKAHKEYSKANAIVEQALSSIKTVYSFTAEKRILDKYSAILNRTSKLGIKQGIAKGLAVGSTGLSFAIWAFLAWYGSRLVMYKGESGGRIYAGGISFILGGLSLGMALPDLRYFTEASVAATRIFHRIDRVPEIDGEDTEGVVLDKIQGEIEFQHVRFTYPSRPDSTVLEDFSLKVEAGKTVALVGASGSGKSTAIALVQRFYDVDDGFVKIEGVDIRTLNLKWIRRKMGLVSQEHALFGTSIKENIMFGKLDATMDEVTAAAMAANAHNFIRQLPEGYETKVGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVNNGCIIEIGSHNDLINIKNGHYANLAKLQKQFSCDDHEQNPDQARISSVGRSSAGRISTGRSSPAIFGSPLPVFDGPKPVSQPPPSFSRLLSLNAPEWKQGLMGSLSAILFGAVQPVYALTIGGMIAAFFAPSHEEMHARIRTYSLIFCSLSLISITVNLVQHYNFAFMGERLTKRIRMRMLEKILTFEAAWFDEENNSSGALCSRLSNEASMVKSLVADRVSLLVQTTSAVTIAMIMGLIVAWKLALVMIAVQPLTILCFYTRKVLLSSITSNFVKAQNHSTQIGAEAVYNHKIVTSFGSVEKVLQLFDEAQEEPRKEARKKSWLAGIGMGSAQCLTFMSWALDFWFGGTLVEKREISAGDVFKTFFILVSTGKVIAEAGSMTSDLAKGSIAVASVFQILDRQSLISGSSHVGGGSSVGTNLEKINGWIEMKKVDFAYPSRLQTLVLRQFCLEVKPGTSVGLVGKSGCGKSTVIGLIQRFYDVERGSIKVDGVDIRELDVQWYRKHTALVSQEPVLYSGSIRDNIVFGKLDASENEMVEAATAANAHEFISSLKDGYETECGERGVQLSGGQKQRIAIARAIIRKPTILLLDEATSALDVQSEQVVQEALDRIMVGRSTIVVAHRLNTIKKVDSIAFIADGKVVERGTYGQLKNKRGAFFNLATLQI >Manes.16G108200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31364842:31372316:-1 gene:Manes.16G108200.v8.1 transcript:Manes.16G108200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKKNEMRNEERNSIAIIFRYADWIDMLLMILGTVGAIGDGMSTNCLLVFASRLMNSLGYGKGQKNQGNFMTEVEKCSLYFVYLGLAVMVVAFMEGYCWSKTSERQVLKIRYKYLEAALRQEVGFFDSQEATTSEIINSISKDTSLLQEVLSEKVPIFLMHASVFISGLAFSTYFSWRLSLVAFPTLLLLIIPGMIYGKYLLYLSKKAHKEYSKANAIVEQALSSIKTVYSFTAEKRILDKYSAILNRTSKLGIKQGIAKGLAVGSTGLSFAIWAFLAWYGSRLVMYKGESGGRIYAGGISFILGGLSLGMALPDLRYFTEASVAATRIFHRIDRVPEIDGEDTEGVVLDKIQGEIEFQHVRFTYPSRPDSTVLEDFSLKVEAGKTVALVGASGSGKSTAIALVQRFYDVDDGFVKIEGVDIRTLNLKWIRRKMGLVSQEHALFGTSIKENIMFGKLDATMDEVTAAAMAANAHNFIRQLPEGYETKVGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVNNGCIIEIGSHNDLINIKNGHYANLAKLQKQFSCDDHEQNPDQARISSVGRSSAGRISTGRSSPAIFGSPLPVFDGPKPVSQPPPSFSRLLSLNAPEWKQGLMGSLSAILFGAVQPVYALTIGGMIAAFFAPSHEEMHARIRTYSLIFCSLSLISITVNLVQHYNFAFMGERLTKRIRMRMLEKILTFEAAWFDEENNSSGALCSRLSNEASMVKSLVADRVSLLVQTTSAVTIAMIMGLIVAWKLALVMIAVQPLTILCFYTRKVLLSSITSNFVKAQNHSTQIGAEAVYNHKIVTSFGSVEKVLQLFDEAQEEPRKEARKKSWLAGIGMGSAQCLTFMSWALDFWFGGTLVEKREISAGDVFKTFFILVSTGKVIAEAGSMTSDLAKGSIAVASVFQILDRQSLISGSSHVGGGSSVGTNLEKINGWIEMKKVDFAYPSRLQTLVLRQFCLEVKPGTSVGLVGKSGCGKSTVIGLIQRFYDVERGSIKVDGVDIRELDVQWYRKHTALVSQEPVLYSGSIRDNIVFGKLDASENEMVEAATAANAHEFISSLKDGYETECGERGVQLSGGQKQRIAIARAIIRKPTILLLDEATSALDVQSEQVVQEALDRIMVGRSTIVVAHRLNTIKKVDSIAFIADGKVVERGTYGQLKNKRGAFFNLATLQI >Manes.18G126808.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15849565:15854286:1 gene:Manes.18G126808.v8.1 transcript:Manes.18G126808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQDPQDKHSLNPISNSNSNSNSHFDPNSQNVALKNPLPDAPSSTSMFSARPASHHRRAHSEMSFRLPDDMTMMMMDLSPSDPINGGGAGGGGRTGGGGGVGGGSSAGSFEEIGSEDDLFSTYIDVDKLTGGGNVDGRNSMDHNNNNHGEGDKGASLTTTRPTHRHSNSVDGSAFGEVMDAKKAMPPDKLAELWNLDPKRAKRIIANRQSAARSKERKARYILELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKIATGETISPSESFNLGMNQMPYSPSIFFPFPQQPGPASHPNMQFPPFAHSQPNMPAQHLHQTHSHSFSELMKNDPLGRLQGLDISSKGLNIVKSEGPSLSASESSSTF >Manes.07G009972.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1277527:1280483:-1 gene:Manes.07G009972.v8.1 transcript:Manes.07G009972.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKLQLIGMLIFFLISLLALTKPTMANDVDDIPVDFDRSYFPDDFIFGTATSAYQIEGAANISGKGPSVWDTFTHEYPERIRDNSNGDVAVDFYHRYREDIQNVKNMGFNAFRFSISWSRVIPSGRRREGVNEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSRNIVEDFREYADLLFQRFGDRVKHWMTFNEPWALSGFAYDDGLFAPGRCSSWVNNQCRAGNSATEPYIVAHHLLLSHSKAVQVYRKKYQTTQKGKIGITLFTFWFEPLSNRRIDIEASRTALDFMFGLWMDPLTYGQYPKSVQNLIGDKLLNFTNKETQLLKGSYDFIGLQYYTSYYAKPNASIHSDRVRYKTDSNITETPYDYDGNLIGPQAYSPWFYIYPKGIRHLLNYTKDRYNNPEIYITENGVDNVNDENQPIEEALKDEFRIDYYRKHMWNTLGSLKEYDVNIKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKQSAIWFTKFLNPSN >Manes.01G071300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27250256:27251813:-1 gene:Manes.01G071300.v8.1 transcript:Manes.01G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNRVDEFPSPPSFPAKRKIPSKKPKVQVLGFSRRRWEEDEGKDMELKNLKLYLENQSIVEENEKLRKKANLLHQENLALISEFQKKFPHFDRFSSTPLLLHNKQ >Manes.03G060801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7030919:7041922:-1 gene:Manes.03G060801.v8.1 transcript:Manes.03G060801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCIAIGSAVAGEIAKTLLAPITGSIGHVFSYNTDTKKLEKELKKLVDKKAIEVDPRVREAERNLEIISASVIWWQKEVDEISQKGKEFLENDIEVIGKCFNGHCPDPKLRYSLSRKAKKMTKKVLALLQEAAKFGEIAHPGPPEKIGSIFITEGIRDFESRESIKNEVWEALKDDKLTMISICGTGGVGKTTMVKKLVQRIEREKLFDEVAMAVVSQTPNIKKIQCDIASCLSLKLCDESELTSAGKLRQRFINCGKRILLILDDVWSELDFERIGLPPRGERKGHTIMLTSRNKDVCNKLGSEKNFPMDVLTNEEAWDLFGEIASISIDQDLHQTATEIANECGGLPIAIVTVAKALKNKMRNIWDDALQQLKNSNLQGVSGDVFSKIELSYKFLEHEEAKLCFLLCSLFPEDFNIRVEHLVRYGMGLRLFKNVDNVHQARDRVYALIYELKESFLLLEGDHKRYESVKMHDIVRDVAISIASRDEQWYMLQTDARIKEWQEKAGYKNCTAISLVCEKFIERPNDFECPKLELLQLLYDCQSQSLPNNIFEGMKELKVLALALGIPSLPSSLDVLKNLRTLRLEKFKSEEMHTIGTLVKLEILEIATYYLQELPGEIGLLKNLRLLDLRGVINLRYIPPGLLLGLSRLEELYVTDKFMMKWQSKEDGKTTNASLSELETHHITALEITVPKASILPKDLVFRNLIRFKIFIGHKFAYGSLIGRDSVNVLHLEGDASDIKGTEICAWLMRKAEVLNLIEVQNLKKVLYELEDYGFPDMKRTPFHECVGQEFLVDALEIVPRSREIQLSYFRNLREVNIRSCGKLKYFIPVSMSRGLSQLHRILIAGCKEMEAVFHKTEVDDEIEFLELVALKLDHLPKFLGFIINPSLTSKDIEQPGTTQMDNRTETKYRQNQERTGLVEMISTLFSSLCPRLPNLQELNLDSCGLLTRLFPPSVAQQLVQLKKLIIRGCPEMEYIVAEPQEEEKNKRISKIVFPNLILLDFHELPKLVAFCPDSHISFDWLSLKELRLICCPEMKTTCVTIPSSSTLNKSFDQSDIIGGKKIMPPGGSFNRALVRRGREQQNFSSRKDIYQPGTFQMNNENLHSSIEPVDMISILLPSNRLRLQNLQMLHLGACDSVKVIFPPSVAQQLVQLQYLNIRMCSAVEYIVAETEEQEKNKGTNKIVFPNLSLIELVRLPKLVAFCPDVHVSFACPLLKRLNLYSCPNMKTLCFAIPSSTVLNGSVDHIPSNNGLDGKPICSSIVRGVLRRGRKQKYVSRNEVLLIKNEEEDPSVSHIDEKREACYAFPSKLIEGFPNLENLRVENSDALEVIFSFKGLILQEYHTSTGILNSLKELQLSSLSKLMHIWFKIPLEVSAFRNLQVLKIISCDNLTYLFSPYLVKLLVMLQQIEVTSCQRMMEIIAKEDEEEQEANMDKIVFPQLTSLIFANLPNLKSFYSGTYALELPKLEKLKIRDENYPLIGDLNATVKKAMLTRILTHCKKTLRRTEDGQESEGHLSNIRVLEVEKCENLVNLIPFNFVECLQKLEKLSVCNCGSLMEMFEYQGMDTEGGNFVTFPCLEEVHLADLPKLMHIFNKIPENFIGFQKLIKLQFHTCGSLRNIFSVIVAKGLVQLQELDIKSCNMLEEIIVAEEDEKEDQSNKEKIVLPQLRSLRLRNLPNLKSFYNGIYALEFPLLEILNFWVCNGMKTFSYGSLSMPKLKEVKINYGFHQLTGSPDLNATMSQLLYMKKEELDVTMEEPDTIFRRNYRRGLTKAYRGYRG >Manes.03G060801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7030919:7042333:-1 gene:Manes.03G060801.v8.1 transcript:Manes.03G060801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCIAIGSAVAGEIAKTLLAPITGSIGHVFSYNTDTKKLEKELKKLVDKKAIEVDPRVREAERNLEIISASVIWWQKEVDEISQKGKEFLENDIEVIGKCFNGHCPDPKLRYSLSRKAKKMTKKVLALLQEAAKFGEIAHPGPPEKIGSIFITEGIRDFESRESIKNEVWEALKDDKLTMISICGTGGVGKTTMVKKLVQRIEREKLFDEVAMAVVSQTPNIKKIQCDIASCLSLKLCDESELTSAGKLRQRFINCGKRILLILDDVWSELDFERIGLPPRGERKGHTIMLTSRNKDVCNKLGSEKNFPMDVLTNEEAWDLFGEIASISIDQDLHQTATEIANECGGLPIAIVTVAKALKNKMRNIWDDALQQLKNSNLQGVSGDVFSKIELSYKFLEHEEAKLCFLLCSLFPEDFNIRVEHLVRYGMGLRLFKNVDNVHQARDRVYALIYELKESFLLLEGDHKRYESVKMHDIVRDVAISIASRDEQWYMLQTDARIKEWQEKAGYKNCTAISLVCEKFIERPNDFECPKLELLQLLYDCQSQSLPNNIFEGMKELKVLALALGIPSLPSSLDVLKNLRTLRLEKFKSEEMHTIGTLVKLEILEIATYYLQELPGEIGLLKNLRLLDLRGVINLRYIPPGLLLGLSRLEELYVTDKFMMKWQSKEDGKTTNASLSELETHHITALEITVPKASILPKDLVFRNLIRFKIFIGHKFAYGSLIGRDSVNVLHLEGDASDIKGTEICAWLMRKAEVLNLIEVQNLKKVLYELEDYGFPDMKRTPFHECVGQEFLVDALEIVPRSREIQLSYFRNLREVNIRSCGKLKYFIPVSMSRGLSQLHRILIAGCKEMEAVFHKTEVDDEIEFLELVALKLDHLPKFLGFIINPSLTSKDIEQPGTTQMDNRTETKYRQNQERTGLVEMISTLFSSLCPRLPNLQELNLDSCGLLTRLFPPSVAQQLVQLKKLIIRGCPEMEYIVAEPQEEEKNKRISKIVFPNLILLDFHELPKLVAFCPDSHISFDWLSLKELRLICCPEMKTTCVTIPSSSTLNKSFDQSDIIGGKKIMPPGGSFNRALVRRGREQQNFSSRKDIYQPGTFQMNNENLHSSIEPVDMISILLPSNRLRLQNLQMLHLGACDSVKVIFPPSVAQQLVQLQYLNIRMCSAVEYIVAETEEQEKNKGTNKIVFPNLSLIELVRLPKLVAFCPDVHVSFACPLLKRLNLYSCPNMKTLCFAIPSSTVLNGSVDHIPSNNGLDGKPICSSIVRGVLRRGRKQKYVSRNEVLLIKNEEEDPSVSHIDEKREACYAFPSKLIEGFPNLENLRVENSDALEVIFSFKGLILQEYHTSTGILNSLKELQLSSLSKLMHIWFKIPLEVSAFRNLQVLKIISCDNLTYLFSPYLVKLLVMLQQIEVTSCQRMMEIIAKEDEEEQEANMDKIVFPQLTSLIFANLPNLKSFYSGTYALELPKLEKLKIRDENYPLIGDLNATVKKAMLTRILTHCKKTLRRTEDGQESEGHLSNIRVLEVEKCENLVNLIPFNFVECLQKLEKLSVCNCGSLMEMFEYQGMDTEGGNFVTFPCLEEVHLADLPKLMHIFNKIPENFIGFQKLIKLQFHTCGSLRNIFSVIVAKGLVQLQELDIKSCNMLEEIIVAEEDEKEDQSNKEKIVLPQLRSLRLRNLPNLKSFYNGIYALEFPLLEILNFWVCNGMKTFSYGSLSMPKLKEVKINYGFHQLTGSPDLNATMSQLLYMKKEELDVTMEEPDTIFRRNYRRGLTKAYRGYRG >Manes.02G093800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7348776:7353149:-1 gene:Manes.02G093800.v8.1 transcript:Manes.02G093800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGQDKETGMPSSLGYNLPHIDSSHKVPPASVISALGERKRDHLHQTAAPQTLEHHPLPLNLQHQSLEPTRESDVIPDPAPIAATGATSSTPTPSTSISRSPPPPPPAAASTIITTESISSVRYRECLRNHAASMGGLVVDGCGEFMPSGEEGTPEALKCAACECHRNFHRKEIDGEPPQCTPNCFYKNNNQRNTLALPQQLPTSLPPPQASLHHRYPHGFSITAPTIPTAPIMMTFGGGGGSVGAESSSEDLNIFQSNLQAARSMRPSSLKNKRFRTKFTQEQKDKMMEFAERLGWKIQKQDEQEVQQFCSRVGVKRKVFKVWMHNNKQSMKKKQM >Manes.02G093800.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7348776:7352955:-1 gene:Manes.02G093800.v8.1 transcript:Manes.02G093800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGQDKETGMPSSLGYNLPHIDSSHKVPPASVISALGERKRDHLHQTAAPQTLEHHPLPLNLQHQSLEPTRESDVIPDPAPIAATGATSSTPTPSTSISRSPPPPPPAAASTIITTESISSVRYRECLRNHAASMGGLVVDGCGEFMPSGEEGTPEALKCAACECHRNFHRKEIDGEPPQCTPNCFYKNNNQRNTLALPQQLPTSLPPPQASLHHRYPHGFSITAPTIPTAPIMMTFGGGGGSVGAESSSEDLNIFQSNLQAARSMRPSSLKNKRFRTKFTQEQKDKMMEFAERLGWKIQKQDEQEVQQFCSRVGVKRKVFKVWMHNNKQSMKKKQM >Manes.02G093800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7348776:7353149:-1 gene:Manes.02G093800.v8.1 transcript:Manes.02G093800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGQDKETGMPSSLGYNLPHIDSSHKVPPASVISALGERKRDHLHQTAAPQTLEHHPLPLNLQHQSLEPTRESDVIPDPAPIAATGATSSTPTPSTSISRSPPPPPPAAASTIITTESISSVRYRECLRNHAASMGGLVVDGCGEFMPSGEEGTPEALKCAACECHRNFHRKEIDGEPPQCTPNCFYKNNNQRNTLALPQQLPTSLPPPQASLHHRYPHGFSITAPTIPTAPIMMTFGGGGGSVGAESSSEDLNIFQSNLQAARSMRPSSLKNKRFRTKFTQEQKDKMMEFAERLGWKIQKQDEQEVQQFCSRVGVKRKVFKVWMHNNKQSMKKKQM >Manes.02G093800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7348776:7353057:-1 gene:Manes.02G093800.v8.1 transcript:Manes.02G093800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGQDKETGMPSSLGYNLPHIDSSHKVPPASVISALGERKRDHLHQTAAPQTLEHHPLPLNLQHQSLEPTRESDVIPDPAPIAATGATSSTPTPSTSISRSPPPPPPAAASTIITTESISSVRYRECLRNHAASMGGLVVDGCGEFMPSGEEGTPEALKCAACECHRNFHRKEIDGEPPQCTPNCFYKNNNQRNTLALPQQLPTSLPPPQASLHHRYPHGFSITAPTIPTAPIMMTFGGGGGSVGAESSSEDLNIFQSNLQAARSMRPSSLKNKRFRTKFTQEQKDKMMEFAERLGWKIQKQDEQEVQQFCSRVGVKRKVFKVWMHNNKQSMKKKQM >Manes.02G093800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7348776:7353149:-1 gene:Manes.02G093800.v8.1 transcript:Manes.02G093800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGQDKETGMPSSLGYNLPHIDSSHKVPPASVISALGERKRDHLHQTAAPQTLEHHPLPLNLQHQSLEPTRESDVIPDPAPIAATGATSSTPTPSTSISRSPPPPPPAAASTIITTESISSVRYRECLRNHAASMGGLVVDGCGEFMPSGEEGTPEALKCAACECHRNFHRKEIDGEPPQCTPNCFYKNNNQRNTLALPQQLPTSLPPPQASLHHRYPHGFSITAPTIPTAPIMMTFGGGGGSVGAESSSEDLNIFQSNLQAARSMRPSSLKNKRFRTKFTQEQKDKMMEFAERLGWKIQKQDEQEVQQFCSRVGVKRKVFKVWMHNNKQSMKKKQM >Manes.02G093800.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7348776:7353149:-1 gene:Manes.02G093800.v8.1 transcript:Manes.02G093800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGQDKETGMPSSLGYNLPHIDSSHKVPPASVISALGERKRDHLHQTAAPQTLEHHPLPLNLQHQSLEPTRESDVIPDPAPIAATGATSSTPTPSTSISRSPPPPPPAAASTIITTESISSVRYRECLRNHAASMGGLVVDGCGEFMPSGEEGTPEALKCAACECHRNFHRKEIDGEPPQCTPNCFYKNNNQRNTLALPQQLPTSLPPPQASLHHRYPHGFSITAPTIPTAPIMMTFGGGGGSVGAESSSEDLNIFQSNLQAARSMRPSSLKNKRFRTKFTQEQKDKMMEFAERLGWKIQKQDEQEVQQFCSRVGVKRKVFKVWMHNNKQSMKKKQM >Manes.15G041500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3206242:3208417:1 gene:Manes.15G041500.v8.1 transcript:Manes.15G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQEFDSTSTTLPLTSITSTTTGPTASSTSSISSTSTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPENNPFGARAVRLYLREVRDSQAKARGISYEKKKRKRSQHQQQQQQSPALSMAPPPPAAATSASDDHE >Manes.15G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:837103:841130:1 gene:Manes.15G009200.v8.1 transcript:Manes.15G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTMATAAGAAVVLYILSRRLMAKGREEADQNGDLSKLRLGRRISRRPAQAPVTWLETITTLSETLRFTYSETLGKWPIGDLAFGINYLMRRQGNLQVASVYAGSNCVQLKGPEIITELNNLLRLLTLCIFFSKKPFPVFLESTGYCLGDVLLQKPKAGLLKPAFTIIHDKGSKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGVSNLVLGYAHCGMVAAARWIAKLCAPCLLKALDEHPDHNVKVVGHSLGGGTAALLTYILREQKEFSSSVCVAFAPAACMTWDLAESGKHFITTVINGSDLVPTFSAASVDNLRSEVTASSWLNDLRDQIEHTRVLNVVYRSATALGSHLPSMASAKARVAGAGALLRPVSSSTQVVMQRAQNVAQAVVRTPSSLSSWLCIGPRRRPVGQSAHSKVDDMPEAFVVSESSETIITEVQTKDSVHTKLGHDSSSESGHDDTEEDEPLISNDRVMNSSTVEDVTEGELWYELEKELQRQVSEADIEAQAEEAAAAKEITEEEIVLANAAETTSPITSADVSDSNQFYPPGRIMHIVSFPSSSTADIDDDGGPNEHVGIYETPRELYSKIRLSRTMINDHYMPMYKKMMELLIRELENNEDFNCPMLQD >Manes.09G032000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6522794:6534284:1 gene:Manes.09G032000.v8.1 transcript:Manes.09G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSAEPPSESAAEPNPNPNPIPNSHIHPRREPFEHGLLPIPKLIFTDPTQTLTQLRQKLVSHPRVNSATLADTLQISVDHARLVLDTLASVLHSETDPLVKAPPGEIDSVGADLRDLILFLYIQSYKRLLPRTHKDSAAVTDVWPSTSAFDGFLSALSPLQLVRSNSRRFTPSQADEEAHQLSYLQKHMANILSLLAEPVEGEGEESLVLTVEGLEHLGFLIQFGDKGSEGVSLSQAAPFFANSDPDMPAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFSDNDVAMADACTSSIKGSPSARGSSFIEGISKSSYVRQASDLKGSSVKVLNCHDSVIYILAPLRYATIYGCSDATIVLGAVGKAVRIEHCERVHVIMAAKRVCIANCRECVFFLGVTQRPLMVGDNHKLQVAPYNTHYSALGEHMAEVGIEATINRWDDPLALGVVDPHDSLSHPAGVSDVQAESASRLDPDQLTSFLIPNWFSGESSGSTKDNPFPLPEAYMSSQQRNQKNLGEIKQLLREAPLEENRKRELSCALHLLFKDWLYASGNIRQLYCLQGD >Manes.08G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16507155:16510183:1 gene:Manes.08G074900.v8.1 transcript:Manes.08G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRGSIAFFASYKPPVPLDIYSCSVPPTGRHDELHMTDGLSNNYNCRVIQPEALKAIIKRPKLASEANESDVDSGRVSGLVFVSERDNNLETLHIALRFTDKVKVFSFADVYGTFSDVRLEDSGCIGGGYKDGNRTIDHSLVYITTKDPAKERRQPWTVVYKTNLKTGKTERLTPPGVSDLSPSVSPSGRKIAVASFQGKDWNGEIENLQTDIYVMNVEKPPLDRKRVITDGGWPTWGSDNIIFFHRKVGDFWGVFRFDISSGETVRLTPDGMDAVTPAAINETKVAVATIRKKSKFTDVREEDQYRHIEIFDSTAPNQSIKITQMTRPKADHFNPFIIDGEKRIGYHRCKSDHLKHGDDIPRTFHKLHSPHADVGLFRVSGVFPTFSKDGSKLAFVDNEFKSVWLADSQGLRVVYETRGPDNIFSPVWNQNPEKDILYVCMGPSFDADKTLDIFAIPNVSSGARQRRKLTRGFNNAFPSTSPDGNKLVFRSTRDHQGGDEKHKNLYIMEDAEVGEFGDGKDKISRLTDGAWTDTHCQWSPTGDWIVFSSTRDKPKNAPKKDNKLDPGYFAVFLVKANDRTVKRIIISGDDIAGHVNHPFFSPDGKSIVVTSDLAAVSVDPISLPLFLHSVRPYGDIFTVDLPDDINTNNKKKNIDVKKFNRITHSRYENSTPTWTMFATKDPNATWNLLLKDDYTPSCPYIHPDGGEGWHMTGHLCIPKRCC >Manes.01G195000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36931845:36935385:-1 gene:Manes.01G195000.v8.1 transcript:Manes.01G195000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCFFFLFFISALVPFSYSQSNSQNFETFYPFPIQFPPSPSPSPSPSPSPTPSLLPPPSPSPPPAPSPTEPNSSSPRPSIPALLASDSSLRTTVAKAVAATAISTLVIATLLLCFVQRYVVARRRNKREDTISGRDHPPPPVPNDDQFSQVNDSFKGVIVDDNGLDVLYWKKLEEESKNSSFQEKEEDDETVQARNGRRRKSEPIQEIPLLKGKSSVSHINEVVPKTGSTDGIMASYIDPQSEMVMKAVEKSNLPSQASHLSHLPPPPSAGPPLPPQPPPIPTKTNNTPPPPPPPPPAITAKKNNTPPPPPPIPKKTDDTPPPPPPAITAKKNNTPPPPAPKAVGPIPPAAKGMANKGNPGKSTGIKLKPLHWDKVNNTDDSMVWDKIGGGSFRVDDDLMEALFGYVATNRRSPRKGETSDSQNGSSLGQIAILDVRKSQNIAIVLKSLGITREEILDALTDGQGLNAEALEKLLRIAPTKEEESLILEFDGDPLRLADAEFFLYHLLKAVPSAFTLLNAMLFRLNYDSDIRQFKDSLGTLELGCKELRNRGLFVKLLEAILKAGNRMNAGTSRGNAQAFNLSSLRKLSDVKSADGKTTLLHFIVEEVVRSEGKRCVITRNRSLNRSSSRSSSRSSNNSSSSKNSPLRDEREQEYIMLGLPVVGGLSSEFNNVKKAAKIDYEAFAGTCSALTARIEEVRLIALQCAANGEEAFASEMKAFTEAAEEELKVLKDEQIRIMELVKKTTEYYQTGASKNKQAHSLQMFLIIKDFLNMVDNVCVEIARNLQKRKTSSPGFGSSSKTPAASPMPVRFPNLPEHFLKEKSSSSSSESDTDF >Manes.14G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4033982:4036968:1 gene:Manes.14G046300.v8.1 transcript:Manes.14G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSYQHYPFHLDSVFLPSTSFKNLSGFMEEGSINTNCFSQFYPPELPLQTPLDVSFHDTSRLDHSSMLALSDNETSVTKKQSTDSSTVVDKLESGEQVTQKLPPMDKKRKRANESSLNSAQSKDARDARSKKQKKCNSELKEEEKRPKAEKKVPEEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPLFYDFGMDLDAMIVRPEGLSSLASSLPPMQQCTPTQPTGIADAATANTPTPTPTFAYPLFDSSAGSLLTQGQGEFIHQDNGSLLWDVDEQRQKFLNPSGFSNNLCSFH >Manes.03G057900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5810647:5816988:-1 gene:Manes.03G057900.v8.1 transcript:Manes.03G057900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPVALQVNGSAFKPFSSPSFHQLKTSLSSTFKKLPMKMNLPKTMIKNISVRMLETFVDSMFEFVDQPWLPSQSNFAPVEEIGEAVEVTWIEGKIPTDFPEGVYVRNGSNNLFGGLQSAVSVFGRSNQVWVEGEGMLHALYFEKDSDGKWSIFYKNKFVETESYKKERQRDKPTFLPTVEGDSLAVSAAILLNMMRYGLPTKNYQNINAFVHSGKLYTTTDNYPALEIDMHTLESLDNWDFNGAWKGPFTSHPKVAPGSGELVTMGVDGQKPYCVIGVVSVDGRKLLHKVDIGFNRGVLSHEIGVTQNYNVIIDHPLVLDLTRIIKGDQLLKYDSKGKSRIGVMPRYGDKNSIKWFEVEPNCTFHLVNCFEDGDEVVVVRGCRASTSIIPGPDWGEDKFEWFSRGFNFSKFTEYDADDSEENGYLFHQVHEWRLNMATGTVDEKSLTGTEFSMDFPFINGAFTGLKHKYGYTQVIDSLASSTSGVTKFGRLAKLCFEEGQRSTVPEGEKTCGGQIKVEYHKLEENVFCTGAAFVPKSGGDEEDDGFIVTHVHNEMSNVSQVLIIDAKKFESQPIATINLPNRVPYGFHGIFISMPPEQA >Manes.03G057900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5810647:5816979:-1 gene:Manes.03G057900.v8.1 transcript:Manes.03G057900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPVALQVNGSAFKPFSSPSFHQLKTSLSSTFKPFYKELQKLPMKMNLPKTMIKNISVRMLETFVDSMFEFVDQPWLPSQSNFAPVEEIGEAVEVTWIEGKIPTDFPEGVYVRNGSNNLFGGLQSAVSVFGRSNQVWVEGEGMLHALYFEKDSDGKWSIFYKNKFVETESYKKERQRDKPTFLPTVEGDSLAVSAAILLNMMRYGLPTKNYQNINAFVHSGKLYTTTDNYPALEIDMHTLESLDNWDFNGAWKGPFTSHPKVAPGSGELVTMGVDGQKPYCVIGVVSVDGRKLLHKVDIGFNRGVLSHEIGVTQNYNVIIDHPLVLDLTRIIKGDQLLKYDSKGKSRIGVMPRYGDKNSIKWFEVEPNCTFHLVNCFEDGDEVVVVRGCRASTSIIPGPDWGEDKFEWFSRGFNFSKFTEYDADDSEENGYLFHQVHEWRLNMATGTVDEKSLTGTEFSMDFPFINGAFTGLKHKYGYTQVIDSLASSTSGVTKFGRLAKLCFEEGQRSTVPEGEKTCGGQIKVEYHKLEENVFCTGAAFVPKSGGDEEDDGFIVTHVHNEMSNVSQVLIIDAKKFESQPIATINLPNRVPYGFHGIFISMPPEQA >Manes.14G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8956018:8962292:1 gene:Manes.14G106400.v8.1 transcript:Manes.14G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQFTTGGRREDRFNHPAKSRSLHQNRHNDQLRRARSDVSASQSPLVKEKVDKQADKESDNRNVLEESPKPVSVPALEPVVSPLSNLERFLESITPSVPAQYLSKTTMRGWRTCDVEFQPYFVLGDLWESFKEWSAYGAGVPVLLNDSDSVVQYYVPYLSGIQIYAESRKTCLKSRRLGEDSDSDFRDSSSDGSSDCEPERGLKGSREQWNYRHLINDVPLRMDRMSLRDHHLPLQEELSSDEGESMNSQGCLLFEYLEQDLPYCREPLADKISDLALCFPELKMLRSCDLLSSSWISVAWYPIYRIPMGPTLKDLDACFLTYHYLHTPLEGGQTAHAPVVTYPREMDGVPNMCLPVFGLASYKFKGSLWTPNGGCERLLANSLLQAADNWLRLLQVNHPDFAFFCRR >Manes.05G159100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27176658:27182554:-1 gene:Manes.05G159100.v8.1 transcript:Manes.05G159100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATSPFKIILGSASVARRKILAEMGYEFEIITADIDEKCIRKEKPEELVMALAEAKVDAIIAKLQADNIQVQDAELTILIAADTVVVYEGAVREKPSSEDEARHFLKGYSGGHAATVSSVLVTNLKTGFRKVECDRVEIYFHEIPVDVIEKLIGEGLVLRVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAL >Manes.05G159100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27176658:27182554:-1 gene:Manes.05G159100.v8.1 transcript:Manes.05G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATSPFKIILGSASVARRKILAEMGYEFEIITADIDEKCIRKEKPEELVMALAEAKVDAIIAKLQADNIQVQDAELTILIAADTAEAILQKPPVHDYVKKAEPALLITCDQVVVYEGAVREKPSSEDEARHFLKGYSGGHAATVSSVLVTNLKTGFRKVECDRVEIYFHEIPVDVIEKLIGEGLVLRVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAL >Manes.05G159100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27176658:27182554:-1 gene:Manes.05G159100.v8.1 transcript:Manes.05G159100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFEIITADIDEKCIRKEKPEELVMALAEAKAEAILQKPPVHDYVKKAEPALLITCDQVVVYEGAVREKPSSEDEARHFLKGYSGGHAATVSSVLVTNLKTGFRKVECDRVEIYFHEIPVDVIEKLIGEGLVLRVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAL >Manes.05G159100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27176658:27182554:-1 gene:Manes.05G159100.v8.1 transcript:Manes.05G159100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFEIITADIDEKCIRKEKPEELVMALAEAKVDAIIAKLQADNIQVQDAELTILIAADTVVVYEGAVREKPSSEDEARHFLKGYSGGHAATVSSVLVTNLKTGFRKVECDRVEIYFHEIPVDVIEKLIGEGLVLRVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAL >Manes.05G159100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27176658:27182554:-1 gene:Manes.05G159100.v8.1 transcript:Manes.05G159100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEFEIITADIDEKCIRKEKPEELVMALAEAKVDAIIAKLQADNIQVQDAELTILIAADTAEAILQKPPVHDYVKKAEPALLITCDQVVVYEGAVREKPSSEDEARHFLKGYSGGHAATVSSVLVTNLKTGFRKVECDRVEIYFHEIPVDVIEKLIGEGLVLRVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAL >Manes.05G159100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27176658:27182554:-1 gene:Manes.05G159100.v8.1 transcript:Manes.05G159100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATSPFKIILGSASVARRKILAEMGYEFEIITADIDEKCIRKEKPEELVMALAEAKAEAILQKPPVHDYVKKAEPALLITCDQVVVYEGAVREKPSSEDEARHFLKGYSGGHAATVSSVLVTNLKTGFRKVECDRVEIYFHEIPVDVIEKLIGEGLVLRVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAL >Manes.05G159100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27176658:27182554:-1 gene:Manes.05G159100.v8.1 transcript:Manes.05G159100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAKVDAIIAKLQADNIQVQDAELTILIAADTAEAILQKPPVHDYVKKAEPALLITCDQVVVYEGAVREKPSSEDEARHFLKGYSGGHAATVSSVLVTNLKTGFRKVECDRVEIYFHEIPVDVIEKLIGEGLVLRVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAL >Manes.05G159100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27176658:27182554:-1 gene:Manes.05G159100.v8.1 transcript:Manes.05G159100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAEAKVDAIIAKLQADNIQVQDAELTILIAADTAEAILQKPPVHDYVKKAEPALLITCDQVVVYEGAVREKPSSEDEARHFLKGYSGGHAATVSSVLVTNLKTGFRKVECDRVEIYFHEIPVDVIEKLIGEGLVLRVAGGLIIEHPLILPYVKEVVGTTDSVMGLPKALTEKLLKEAL >Manes.06G174000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29784248:29791061:-1 gene:Manes.06G174000.v8.1 transcript:Manes.06G174000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLPGDSSHNGTNLEKCSPEKPEDGGRWYFSRKEIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRREVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGAVTHQATSKASSGKEEHATINSHSQAGGTTSRPGTSKSTSLADNRGGALRTIHNQGNDHLSGEMKSASDRHVDGESSDFLDQGIDTLPPHENVEEAQNTLRHAPHGKEDQERNVARSEISEAGELKDKHIVRNLEHREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSKRDKKQSYSKPLDRQERENPHHEKHQEDAGDGERQGKKGHLSHKSDLNNMEEGEVPDDMDQGFHSPKSSNRKRKSWSPPDKVPEGKHRNDYVPGSLHYNNHDYLDERNRMSRLGYVEREH >Manes.06G174000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29784248:29791061:-1 gene:Manes.06G174000.v8.1 transcript:Manes.06G174000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLPGDSSHNGTNLEKCSPEKPEDGGRWYFSRKEIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGAVTHQATSKASSGKEEHATINSHSQAGGTTSRPGTSKSTSLADNRGGALRTIHNQGNDHLSGEMKSASDRHVDGESSDFLDQGIDTLPPHENVEEAQNTLRHAPHGKEDQERNVARSEISEAGELKDKHIVRNLEHREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSKRDKKQSYSKPLDRQERENPHHEKHQEDAGDGERQGKKGHLSHKSDLNNMEEGEVPDDMDQGFHSPKSSNRKRKSWSPPDKVPEGKHRNDYVPGSLHYNNHDYLDERNRMSRLGYVEREH >Manes.06G174000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29784248:29791061:-1 gene:Manes.06G174000.v8.1 transcript:Manes.06G174000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLPGDSSHNGTNLEKCSPEKPEDGGRWYFSRKEIEENSPSRRDGIDLKKETYLRKSYCTFLQDLGMRLKVPQLTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKELILLGERVVLATLGFDLNVQHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRPSTNNEAEGSTVGAVTHQATSKASSGKEEHATINSHSQAGGTTSRPGTSKSTSLADNRGGALRTIHNQGNDHLSGEMKSASDRHVDGESSDFLDQGIDTLPPHENVEEAQNTLRHAPHGKEDQERNVARSEISEAGELKDKHIVRNLEHREGTVGQSPQDAIKKIDRDKVKAALEKRKKSRGDVTRKTDFLDEDDLIERELEAGIELAAESEKSKRDKKQSYSKPLDRQERENPHHEKHQEDAGDGERQGKKGHLSHKSDLNNMEEGEVPDDMDQGFHSPKSSNRKRKSWSPPDKVPEGKHRNDYVPGSLHYNNHDYLDERNRMSRLGYVEREH >Manes.08G035900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3468158:3473050:-1 gene:Manes.08G035900.v8.1 transcript:Manes.08G035900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWQRHLQSLLRQVGKRVEHNYNALANFSSSSSRAESSFLTGELPYFQRLWKSPSANVLRPFYQYVQQSGISSSRKLLADSFEETPIRSPLTPALTPLSGGTTKEQKAVSKTSKVQAVLKGIKQSPKKINLVAALVRGMRVEDALLQLQVTVKRASKTVYQVIHSARANATHNHGLDADRLLVAEAFVGKGFFKKRIACHAKGRHGIKVRPECRLTVVVRETTPEEEAKIARLRVHNFRKLSKRERRLVPHKLIETTPIWNRKGKAADREPIGVAS >Manes.08G035900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3468104:3473074:-1 gene:Manes.08G035900.v8.1 transcript:Manes.08G035900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWQRHLQSLLRQVGKRVEHNYNALANFSSSSSRAESSFLTGELPYFQRLWKSPSANVLRPFYQYVQQSGISSSRKLLADSFEETPIRSPLTPALTPLSGGTTKEQKAVSKTSKVQAVLKGIKQSPKKINLVAALVRGMRVEDALLQLQVTVKRASKTVYQVIHSARANATHNHGLDADRLLVAEAFVGKGFFKKRIACHAKGRHGIKVRPECRLTVVVRETTPEEEAKIARLRVHNFRKLSKRERRLVPHKLIETTPIWNRKGKAADREPIGVAS >Manes.08G035900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3468158:3473050:-1 gene:Manes.08G035900.v8.1 transcript:Manes.08G035900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWQRHLQSLLRQVGKRVEHNYNALANFSSSSSRAESSFLTGELPYFQRLWKSPSANVLRPFYQYVQQSGISSSRKLLADSFEETPIRSPLTPALTPLSGGTTKEQKAVSKTSKVQAVLKGIKQVIHSARANATHNHGLDADRLLVAEAFVGKGFFKKRIACHAKGRHGIKVRPECRLTVVVRETTPEEEAKIARLRVHNFRKLSKRERRLVPHKLIETTPIWNRKGKAADREPIGVAS >Manes.09G155500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35139865:35142586:1 gene:Manes.09G155500.v8.1 transcript:Manes.09G155500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHSLFVDAWIREAQEVSRLVEDIESKINNGHRLRDSAQSTLLEVGVKLDRLESLLHNPPSKPILTKEETKFRWEMLSDLRLRTRVLAFSLYASPSTKRGGGMAAANAQGTNSPTISDDQGPLYYDADQIKPSMSKDDPEILKPLISEDALESQMQMKQCGTCTSMSVLRKVCMIICLILGVAALLFLLVIICAAI >Manes.06G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1332561:1336083:-1 gene:Manes.06G008400.v8.1 transcript:Manes.06G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGLVLRGTMRAHTDQVTAIATPIDNSDMIVTSSRDKSIILWHLTKEEKTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGVSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDGDAHTDWVSCVRFSPSTHQPTIVSASWDRTVKVWNLTNCKLRCTLAGHGGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKRLYSLDAGAVINALCFSPNRYWLCAATEHSIKIWDLESKSIVEDLKVDLKAEAEKSEGSTATGTSAKKKNIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRY >Manes.07G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30992483:30997416:1 gene:Manes.07G104100.v8.1 transcript:Manes.07G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSDTSKERSLGETPTWAVAVVCLVMIAISIFIEKVIHFIEKWFKKIHKPALVEALEKIKEELMLMGFISLLLTVLQGPISGICVSESIASTWHPCNKKKGNSKTETDSSNNRRRLFQFLDSGASNRRVLAAKSGDKCADEGKVTLISAYGIDQLHYFIFVLAVFHVLYCIITYILGRTKMRKWKTWENETRTIEYQYHNDPERFRFARDTSFGKRHLKLWSRSTVFVWIVCFFRQFFGSVTKVDYLTLRHGFIMAHLPPGSETSFDFQKYINRSLEDDFKSVVGISPLIWFLACLMMLTSTNGWYAYLWLPFIPLVVILVIGAKLQVIITKMGLRIQERGDVVKGAPVVQLGDDLFWFGRPRLILFLIHLVLFQNAFQLAFFIWSVWKIGPDSCYHDRIEDIVIKVTMGVIIQVLCSYVTLPLYALVTQMGSSMRPTIFNDRVATALKNWHHTAKKHAKHSKHSESHTPMSSRTATPTYGMSPVHLLHNYRSSTAPDSLQNSPRNYNYDADNWDPEALNTMQNLESDDSSHDNEHPEVEDQIQSIQVEEPNSNSILQLPQGTQHETGSREFTFRN >Manes.07G104100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30992483:30997416:1 gene:Manes.07G104100.v8.1 transcript:Manes.07G104100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSDTSKERSLGETPTWAVAVVCLVMIAISIFIEKVIHFIEKWFKKIHKPALVEALEKIKEELMLMGFISLLLTVLQGPISGICVSESIASTWHPCNKKKGNSKTETDSSNNRRRLFQFLDSGASNRRVLAAKSGDKCADEGKVTLISAYGIDQLHYFIFVLAVFHVLYCIITYILGRTKMRKWKTWENETRTIEYQYHNDPERFRFARDTSFGKRHLKLWSRSTVFVWIVCFFRQFFGSVTKVDYLTLRHGFIMAHLPPGSETSFDFQKYINRSLEDDFKSVVGISPLIWFLACLMMLTSTNGWYAYLWLPFIPLVVILVIGAKLQVIITKMGLRIQERGDVVKGAPVVQLGDDLFWFGRPRLILFLIHLVLFQWKIGPDSCYHDRIEDIVIKVTMGVIIQVLCSYVTLPLYALVTQMGSSMRPTIFNDRVATALKNWHHTAKKHAKHSKHSESHTPMSSRTATPTYGMSPVHLLHNYRSSTAPDSLQNSPRNYNYDADNWDPEALNTMQNLESDDSSHDNEHPEVEDQIQSIQVEEPNSNSILQLPQGTQHETGSREFTFRN >Manes.09G005200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1316552:1320402:1 gene:Manes.09G005200.v8.1 transcript:Manes.09G005200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRERLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDTVIQFVNPKVQASIAANTWVVSGSPQTKKLQDILPQVLSHLGPDNLDNLKKLAEQIQKQVPSDGAPATAAQEDDDEVPELVAGETFEAAAEEGNANPAA >Manes.15G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9506339:9516956:1 gene:Manes.15G119300.v8.1 transcript:Manes.15G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRNLQQWKSFAIEALNQSFVVARFLCFLHVTNTYICTAAVTFGPSMLPTLNLTGDLVLAERITPRIGKVGPGDVVLVRSPVNPRRIVTKRVTGIEGDSVTYVVDPKNSDKTCTIVVPKGHIWIEGDNIYDSNDSRKFGAVPCGLLHAKVFWRVWPPKDFGPLGQRAK >Manes.13G059700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6938123:6941585:-1 gene:Manes.13G059700.v8.1 transcript:Manes.13G059700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTAQSWFVGGPSSTTTFNDQQQPKSSSLLADWNAYAATQDADSSNLGFDLEAAVRTTSDKVTGTFSVVSKGVRDLPGNFQSATSNVPSGKSLMYFGVLLASGVFFIFIAFTMFLPVMVLVPQKFAICFTIGCAFIIGSFFALKGPKNQFAHMSSKERLPFTLGFIGTMVGTVYVSMVLHSYVLSALFSILQVFALSYYAISYFPGGSTGLKFLSSTFTSSILKCFGR >Manes.13G059700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6938347:6941585:-1 gene:Manes.13G059700.v8.1 transcript:Manes.13G059700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTAQSWFVGGPSSTTTFNDQQQPKSSSLLADWNAYAATQDADSSNLGFDLEAAVRTTSDKVTGTFSVVSKGVRDLPGNFQSATSNVPSGKSLMYFGVLLASGVFFIFIAFTMFLPVMVLVPQKFAICFTIGCAFIIGSFFALKGPKNQFAHMSSKERLPFTLGFIGTMVGTVYVSMVLHSYVLSALFSILQVFALSYYAISYFPGGSTGLKFLSSTFTSSILKCFGSVSKY >Manes.13G059700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6938123:6941585:-1 gene:Manes.13G059700.v8.1 transcript:Manes.13G059700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTAQSWFVGGPSSTTTFNDQQQPKSSSLLADWNAYAATQDADSSNLGFDLEAAVRTTSDKVTGTFSVVSKGVRDLPGNFQSATSNVPSGKSLMYFGVLLASGVFFIFIAFTMFLPVMVLVPQKFAICFTIGCAFIIGSFFALKGPKNQFAHMSSKERLPFTLGFIGTMVGTVYVSMVLHSYVLSALFSILQVFALSYYAISYFPGGSTGLKFLSSTFTSSILKCFGSVSKY >Manes.13G059700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6938123:6941585:-1 gene:Manes.13G059700.v8.1 transcript:Manes.13G059700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTAQSWFVGGPSSTTTFNDQQQPKSSSLLADWNAYAATQDADSSNLGFDLEAAVRTTSDKVTGTFSVVSKGVRDLPGNFQSATSNVPSGKSLMYFGVLLASGVFFIFIAFTMFLPVMVLVPQKFAICFTIGCAFIIGSFFALKGPKNQFAHMSSKERLPFTLGFIGTMVGTVYVSMVLHSYVLSALFSILQVFALSYYAISYFPGGSTGLKFLSSTFTSSILKCFGR >Manes.01G045590.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12820260:12820735:-1 gene:Manes.01G045590.v8.1 transcript:Manes.01G045590.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSVFNFCPLSKGEAPTIKSNQKQTSWLNDASCGKGIPSILTWKMRLKIMLTRTFKKLKSYTKIRLQIFKVNNIYVIYKF >Manes.12G035800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3153768:3154284:-1 gene:Manes.12G035800.v8.1 transcript:Manes.12G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLELRKNLAMKILSLQSVKKNQIQKWGKRIASTESAITLGNAKGRRLSFSCCCGLHVLKKLVLKFRTKWKQALGWQRSSIQYSYDLYSYSLNFDDGLCHGHHPSKGSQ >Manes.13G125500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33298308:33313889:-1 gene:Manes.13G125500.v8.1 transcript:Manes.13G125500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRPPPDPVAVLRGHRASIMDVCFHSSKPILFTGSSDGELRIWDTLQHRTISSSWVHSAAHGIIAVANSPVIGIDKVVSQGRDGTVKLWDIKEGGLPRVPALTIKTNSYHFCKLSLVKKSCANVRQDEVHNHENEENLETVDANISCDTEGKHKEVSMKCSDTSEVSEDSITGQKFVAIAGEQSSEVEIWDLNTAERISRLPQNSFGGSPSISTNKRGMCMAVQAYLPTESQGFLNVLAGYEDGSMLWWDMRNPGVPVTSVKFHTEPVLSLCIDGLCKGGISGSADDKIVLFSLDLSMGSCVIKKEIILERPGISGTSIRADGKIAATAGWDHRVRIYNYRKGNALAILKYHHATCNAVSYSSDCKLMASASEDTTVALWELYPPQT >Manes.03G141300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26933418:26937823:-1 gene:Manes.03G141300.v8.1 transcript:Manes.03G141300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHHAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPLSFDIQNQELEIEAAQWMPFEEYAAQPFAQKHELFKYIADLCLAKLDRSYAGFSPLPTTSFFNDQISYLYSNIQDLKRTSSADHQ >Manes.03G141300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26933442:26937722:-1 gene:Manes.03G141300.v8.1 transcript:Manes.03G141300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHHAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPLSFDIQNQELEIEAAQVISGNAQGVTSLVIQWMPFEEYAAQPFAQKHELFKYIADLCLAKLDRSYAGFSPLPTTSFFNDQISYLYSNIQDLKRTSSADHQ >Manes.03G141300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26933419:26937722:-1 gene:Manes.03G141300.v8.1 transcript:Manes.03G141300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHHAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPLSFDIQNQELEIEAAQWMPFEEYAAQPFAQKHELFKYIADLCLAKLDRSYAGFSPLPTTSFFNDQISYLYSNIQDLKRTSSADHQ >Manes.03G141300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26933442:26937722:-1 gene:Manes.03G141300.v8.1 transcript:Manes.03G141300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLSFMQAKRGVWIKLPIELVNLVETAVKEGFWYHHAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPLSFDIQNQELEIEAAQVISGNAQGVTSLVIQWMPFEEYAAQPFAQKHELFKYIADLCLAKLDRSYAGFSPLPTTSFFNDQISYLYSNIQDLKRTSSADHQ >Manes.03G141300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26933419:26937722:-1 gene:Manes.03G141300.v8.1 transcript:Manes.03G141300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKRGVWIKLPIELVNLVETAVKEGFWYHHAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPLSFDIQNQELEIEAAQWMPFEEYAAQPFAQKHELFKYIADLCLAKLDRSYAGFSPLPTTSFFNDQISYLYSNIQDLKRTSSADHQ >Manes.03G141300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26933442:26937722:-1 gene:Manes.03G141300.v8.1 transcript:Manes.03G141300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHHAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPLSFDIQNQELEIEAAQVISGNAQGVTSLVIQWMPFEEYAAQPFAQKHELFKYIADLCLAKLDRSYAGFSPLPTTSFFNDQISYLYSNIQDLKRTSSADHQ >Manes.03G141300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26933419:26937722:-1 gene:Manes.03G141300.v8.1 transcript:Manes.03G141300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKRGVWIKLPIELVNLVETAVKEGFWYHHAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPLSFDIQNQELEIEAAQWMPFEEYAAQPFAQKHELFKYIADLCLAKLDRSYAGFSPLPTTSFFNDQISYLYSNIQDLKRTSSADHQ >Manes.10G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9634702:9651171:1 gene:Manes.10G066200.v8.1 transcript:Manes.10G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAFLRSLSSSSLICNRFFFPSRFPCSFSTSSSSAHRISHRSITGLARRSLLRSHWKIFPVAASASSSLRFNKHFSSFSTAAVATQPAPSSPDVVSVPNEVAEKLGFEKVSEEFIGECKSKAVLFRHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLNTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCMEDYQTFQQEGWHFELNDPSEEISYKGVVFNEMKGVYSQPDNILGRASQQALFPDNTYGVDSGGDPKDIPKLTFEQFQEFHRKYYHPSNARIWFYGDDDPVERLRILSEYLDVFDASSAPNESKIKLQKLFPEPVRIVENYPASEGGDLKKKHMVCLNWLLSEKPLDLETELALGFLDHLMLGTPASPLRKILLESGLGDAIVGGGMEDELLQPQFSIGLKGVSEEDIHKVEELIMSTLKKLSEEGFETDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSIGKWIYDRDPFEPLKYEKPLMALKARIAEEGSKSVFSPLIEKFILNNPHRVTVEMRPDPEKATRDEAAEREILEKLKAGMTEEDLAELARATQELRLKQETPDPPEALKTVPSLSLNDIPKEPIHVPTEVGDINGVKVLQHDLFTNDVLYAEVVFNMRSLKQELLPLMPLFCQSLLEMGTKDYTFVQLNQLIGRKTGGISVYPFTSSIRGQEEPCSHVIVRGKAMAGRAEDLFNLVNCVLQEVQFTDQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNVAGWISEQMGGISYLEFLQGLEERVDQDWSGVSSSLEEIRASLLSRNGCLINLTADGKNLENTEKFVGKFLDLLPSNSVAETATWNARISPENEAIVIPTQVNYVGKAANIYDTGYQLSGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLLKTLNVYDGTGDFLRELEMDDDTLTKAIIGTIGDVDAYQLPDAKGYSSLLRYLMGITEEERKMRREEILSTSLKDFKEFADAIDAVKNKGVVVAVASSEDVEAANNERSNFFQVKKAL >Manes.04G041334.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6786816:6787136:1 gene:Manes.04G041334.v8.1 transcript:Manes.04G041334.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVTSAPGHESFEFDVRSTILSSPPISSLSSPPSNTLVLLDITEISLLLSSADKNSLPPLDPPSSPTARILKRDWLHKHHTGVTAVVASLFISDHVYKFASLRNQ >Manes.17G103800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31134461:31138069:1 gene:Manes.17G103800.v8.1 transcript:Manes.17G103800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTLAFLVGLLTGLIATLINLAIENIAGYKLLAVFQFIENERYLTGLAYFAGANLILTGFASVLCVCFAPTAAGPGIPEIKAYLNGIDTPDMFGVSTLIVKIFGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRVKWRWLRYFNNDRDLRDIITCGASSGVCAAFRAPVGGVLFSLEEVATWWRSALLWRTFFSTAVVVVVLRGFIELCNSGKCGLFGRGGLIMFDVSNVTVTYQAMDIIPVIIIGILGGVLGSIYNYLLHKVLRVYNLINQKGRMPKLLLSLTVSIFTSVCLYCLPFLARCQPCDPSTTEPCPTNGRSGNFKQFNCAKGYYNDLATLLLTTNDDAVRNIFSSNTPKEFQPVSLLIFFAVYCVLGLFTFGIAVPSGLFLPMILMGSAYGRLLGVIMGSYTNIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSVYDIILHLKGLPFLDANPEPWMRNLTVGELANAKSPLVTLSGVEKVSRIVDVLKNTTHNGFPVVDEEVVPPVGQATGATELHGLILRAHLVQALKKKWFLKEKRRTEEWEVREKFTWVELAEREGKIEEVAVTMDEMEMYVDLHPLTNTTPYTVVESISLAKALVLFRQVGLRHLLIVPKYEAAGVSPVVGMLTRQDLRAYNILSAFPHLVRSDCREKQN >Manes.17G103800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31134461:31138069:1 gene:Manes.17G103800.v8.1 transcript:Manes.17G103800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTLAFLVGLLTGLIATLINLAIENIAGYKLLAVFQFIENERYLTGLAYFAGANLILTGFASVLCVCFAPTAAGPGIPEIKAYLNGIDTPDMFGVSTLIVKIFGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRVKWRWLRYFNNDRDLRDIITCGASSGVCAAFRAPVGGVLFSLEEVATWWRSALLWRTFFSTAVVVVVLRGFIELCNSGKCGLFGRGGLIMFDVSNVTVTYQAMDIIPVIIIGILGGVLGSIYNYLLHKVLRVYNLINQKGRMPKLLLSLTVSIFTSVCLYCLPFLARCQPCDPSTTEPCPTNGRSGNFKQFNCAKGYYNDLATLLLTTNDDAVRNIFSSNTPKEFQPVSLLIFFAVYCVLGLFTFGIAVPSGLFLPMILMGSAYGRLLGVIMGSYTNIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSVYDIILHLKGLPFLDANPEPWMRNLTVGELANAKSPLVTLSGVEKVSRIVDVLKNTTHNGFPVVDEEVVPPVGQATGATELHGLILRAHLVQALKKKWFLKEKRRTEEWEVREKFTWVELAEREGKIEEVAVTMDEMEMYVDLHPLTNTTPYTVVESISLAKALVLFRQVGLRHLLIVPKYEAAGVSPVVGMLTRQDLRAYNILSAFPHLVRSDCREKQN >Manes.17G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31134461:31138069:1 gene:Manes.17G103800.v8.1 transcript:Manes.17G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNSSQLASEAIAPPHNMEAGEDERDPESNDSLQHPLLKRSITLSSNPLALVGAKVSHIESLDYEINENDFFKHDWRSRSKVQVLQYIFMKWTLAFLVGLLTGLIATLINLAIENIAGYKLLAVFQFIENERYLTGLAYFAGANLILTGFASVLCVCFAPTAAGPGIPEIKAYLNGIDTPDMFGVSTLIVKIFGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRVKWRWLRYFNNDRDLRDIITCGASSGVCAAFRAPVGGVLFSLEEVATWWRSALLWRTFFSTAVVVVVLRGFIELCNSGKCGLFGRGGLIMFDVSNVTVTYQAMDIIPVIIIGILGGVLGSIYNYLLHKVLRVYNLINQKGRMPKLLLSLTVSIFTSVCLYCLPFLARCQPCDPSTTEPCPTNGRSGNFKQFNCAKGYYNDLATLLLTTNDDAVRNIFSSNTPKEFQPVSLLIFFAVYCVLGLFTFGIAVPSGLFLPMILMGSAYGRLLGVIMGSYTNIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSVYDIILHLKGLPFLDANPEPWMRNLTVGELANAKSPLVTLSGVEKVSRIVDVLKNTTHNGFPVVDEEVVPPVGQATGATELHGLILRAHLVQALKKKWFLKEKRRTEEWEVREKFTWVELAEREGKIEEVAVTMDEMEMYVDLHPLTNTTPYTVVESISLAKALVLFRQVGLRHLLIVPKYEAAGVSPVVGMLTRQDLRAYNILSAFPHLVRSDCREKQN >Manes.02G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7597968:7600251:-1 gene:Manes.02G097300.v8.1 transcript:Manes.02G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLKLIPSNASLLSAYSSISTSWVLFKTAYNQVIPRQLQEYAVSKFRSYFRRNPSYATFIIEDLWCGLGRNQLYDAARAYLSTKIGPDNRKIKIGKLEQHKNLSSAIVEGGKIVDLFRDITITWSVIQEEQATTTANTRISKDGPPVMTHAPSRSAYQITFDNKYRGTVENYYLNHVLDTYKDLMQGEKVLKLYTRLDKYEGERWKCVDFRHPATFDTIAMDLELKKAIKDDLDRFLARKDFYKRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELSSICSDVQLRKVLLSTTNRSILVVEDIDCNSEVQDRSKIIKEEQLNPKKYPKAFTLSTLLNCIDGLWSSSGEARIIVFTTNHKEVLDPALLRPGRMDMHIHMSYCTAQGFRVLAFNYLGIDDHKLYQEIDGLLERTKATPASLAEELMKSDDVDVALGEVVNFLKRKRGEEDEIEEDTKRQRTA >Manes.04G102300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30706964:30708918:1 gene:Manes.04G102300.v8.1 transcript:Manes.04G102300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFAAMGVLPPFPYYYWLWTNPQSWVNLCGKGRDPCKVMACVSHFLKLIQFISLYSVSSFFLPPPLYFWPLFGFGQFLNFRVYQLLGESGTYYGVRFGKNIPWVTEFPFGVIKDPQYVGSILSLLACLSWVPFQYILLWSLGCVFMIHVESKEDPATRAKLLS >Manes.01G139600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33138956:33142739:1 gene:Manes.01G139600.v8.1 transcript:Manes.01G139600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLSNLAFGNVMAAAARDYQKDLIAQGKAQPSSSINQEVDLDELMDDPELEKLHADRIAALKKEVEKREALKKQGHGEYREISEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKSLAPRHLNTKFIKLDAENAPFFVTKLGVKTLPCVILFRKGIAIDRLIGFQDLGGKDDFTTKSLEILLIKKGIISEKKDNEDDEEDDYPESRDRTVRASACPDSDSD >Manes.01G139600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33140071:33143026:1 gene:Manes.01G139600.v8.1 transcript:Manes.01G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLSNLAFGNVMAAAARDYQKDLIAQGKAQPSSSINQEVDLDELMDDPELEKLHADRIAALKKEVEKREALKKQGHGEYREISEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKSLAPRHLNTKFIKLDAENAPFFVTKLGVKTLPCVILFRKGIAIDRLIGFQDLGGKDDFTTKSLEILLIKKGIISEKKDNEDDEEDDYPESRDRTVRASACPDSDSD >Manes.06G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27940484:27944524:1 gene:Manes.06G152000.v8.1 transcript:Manes.06G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVILHIYDVTNSGSEKTNNTIMQINKIFKDGIGFGGIFHSAVQVYGDDEWSFGFCEHGTGVFNCPSGKNPMYTYRESIVLGTTNFSIFKVNQILRELSREWLGSSYDLLSKNCNHFCDEFCERLGVPKLPGWVNRFANAGDAALEVAGNTALRFRQAKAEIVSASKVAYRFLVGVASSNGPAHDSPGNSNRGSPRIQATWFKNLITSGAKPSSSTEVDNQEEVHLRQQHEQVSDLPPRQNSQQLSDLPPRQNSQQWESDIPALENSRHDV >Manes.13G076501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12799354:12809966:-1 gene:Manes.13G076501.v8.1 transcript:Manes.13G076501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGAMNVAPTTSYASRSPFVSRSAASRNSKFPLLLPQAICTPQRICCFTNLSKYNSSLGSSKQQLNKWMLPLGSVVTSKSQNISTAPLTGDERIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEELKKSLWEKQVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMTNLIEKELNTFDCPEKVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRKITNSYTLAYQSRVGPVEWLKPYTDETIMELGRKGVKNLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSKRRELPPPVTVWEWGWTRSAETWNGRAAMLAVLVLLVLEVTTGEGFLRQWGILPLFH >Manes.11G138400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30377642:30382334:1 gene:Manes.11G138400.v8.1 transcript:Manes.11G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVKMITSMRSVARTALPGASSSLLFNLRRVHVPRPQAQVVRAFSALLSPPSKAIVYDQHGCPDSVTRVVEIPPVEVKANDVCVKMLAAPINPSDINRIEGVYPVRPPVPAVGGYEGVGEVHSVGSAVKGLEPGDWVIPSPPSFGTWQTYIVQDQNVWHKINKESPMEYAATITVNPLTALRMLEDFTTLSSGDSIVQNGATSIVGQCIIQIAKFHGIHSINILRDRPGSDEAKEMLKKLGADEVFTESQLEVKNVKGLLTSIPEPALGFNCVGGNSASLVLKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKDLSLRGFWLQKWMTSDKAKECRNMIDYLLSLAREGNLKYEMELVPFDNFHTALDKALGKLGSQPKQVLKF >Manes.01G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33264217:33270893:1 gene:Manes.01G141200.v8.1 transcript:Manes.01G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSVSMLLSLVCLVMCLGSQLIQCSVTYDSKAIVINGQRRILFSGSIHYPRSTPDMWEDLILKAKDGGIDVVETYVFWNVHEPTPGNYNFEGRYDLVRFIKTIQKAGLYGHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVDLMKSEHLFESQGGPIILSQIENEYGSESKLLGAAGYNYMTWAANMALKTGTGVPWVMCKEEDAPDPVINTCNGFYCDYFSPNKPYKPTMWTEAWSGWFTEFGGPIHQRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEFGLIRQPKYGHLKELHRSVKMCERALVSTDPVVTQLGSYQQAHMYSSESGDCAAFLANYDRKSTTRVLFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQLEMLPVNADMLSWESYNEDTTSLDDSSTFTALGLLEQINVTRDASDYLWYITSVDIGSSESFLHGGELPTLIVQSAGHAVHIFVNGELSGSAFGTRENRRFTYTGKVNLHAGKNKIALLSITVGLQNVGGHFETWNTGIQGPVALHGLDQGKWDLTWQRWTYQVGLKGEAMNLVSPNSVSSVGWMAASLVEQRQQPLTWHKAYFNAPDGDEPLALDMEGMGKGQIWINGQSIGRYWTAYATGNCNGCSYEGTFRPPKCQLGCGQPTQRWYHVPRSWLQPTQNLLVVFEELGGNPSRISLVKRSTTSVCAEVAEFHPTLKNWHIESYGRAEEFHSPKVHLRCSVGQSISSIKFASFGTPLGTCGSYQQGPCHAPASYDIVEKKCIGKQRCTVTISNSNFGRDPCPNVLKRLSVEAVCAPTNWRG >Manes.12G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:661298:665704:1 gene:Manes.12G005500.v8.1 transcript:Manes.12G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSRRLTPTATRLSSSLPSSASPHFPSIHFASPSLLRSPLSLTTSSTSSFSRLFASSPPATPITSTMGDVPDADMDAVQRRLMFDDECILVDENDRVVGHASKYNCHLWENILKGNSLHRAFSIFLFNSKYELLLQQRSGTKVTFPLVWTNTCCSHPLYRESELIDEDALGVRNAAQRKLLDELGIPAEDVPVDQFISLGRILYKAPSDGKWGEHELDYLLFIISDVNVNPNPDEVADVKYVNRDELKELLRKADAGEEGIKLSPWFRLVVDNFLLKWWEHVEKGTLKEAVDMKTIHKLI >Manes.03G165600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29186098:29189195:1 gene:Manes.03G165600.v8.1 transcript:Manes.03G165600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEGDCCWDFLDYNFFEETTTSSDLLWSNNRSGGLDIDFSSGGTESQEKQCSRKRGRSDSCTKPATKACREKLRRERLNDRFQDLSSVLEPGRPARTDKTAILADAIRVLTRLKTEAQELKETNENLLEEIKTLKAEKNELREEKLALKADKERMEQQLKVMTVPPSGFMPAHPAAYHAGLNKMAAFPNYGLMPMWQLPLAARDTSRDHEYWPPAA >Manes.14G089800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7446237:7447924:1 gene:Manes.14G089800.v8.1 transcript:Manes.14G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILATETHILVFPFPAQGHMIPLLDLAHKLAIHGLTITILITPNNLPLLNPLLSLHPSIKTLVLRFPSHPSIPSGVENVKDMPSGPVHTISMMCALAELYDPLLCWFKTHPSPPVAIISDMFLGWTNHLASQLNIRRIVFSPSGAMALSVIYSLWLDMPKRNQNELVSFSRIPNCPQCPWLQISTLYRTYLEGGTDSEFIKDSLCANQASWGLVVNSFTELEGVYLDHLKKDFDHRDNVWAVGPLLPPPGSIERGGPSSVPVHVVLTWLDRCEDHKVVYVCFGSQTTLTNDQMKELASSLESSKVNFIWCVREEAVKGHSKIPSGFEDRVAGRGLIIRGWAPQVLILNHRAVGAFLTHCGWNSVLEGLVAGVPMLAWPMWADQFMNARLLVDELKLGVRVCEGATTVPNSVELARVIKESVNENRVNRERAQKLSSVAVDAIKDGGGSSVKDLEMLVQSLINLKLQPNNLRNSM >Manes.01G009900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3177235:3187862:1 gene:Manes.01G009900.v8.1 transcript:Manes.01G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVGGVILDESVLIDNSGTAALRPEALSLLRKLRHSNLHLAISYSPALSDDKVSLLKKTAMQYSFDCFALDSSSTDDALKVVTLAWGHIEGTILYLFSNHKKGSYNQISNLGWIVIVMGAEVATEFDNSNVLCINKLEELLVTICHLNRKAVGNNVVTVGYIMKPSREEDFAKRGALPISPTPNGLIFLPLTFGLPLLSQLKHVDMVLHKATDEIVSVELSDSAESSSKITYTTGMQELQRYLESHSDFFVIDPINKIYPLLDRLKIQEILLGLEDINAGGNHTIRGPHFLKVNDFNEPDLILGLSEAKLSLPSIVKPQIACGVADAHSMAIVFRVEDFKDLRVPLPAVVQEYVNHSSTLFKIYVLGEKVFYAVKKSTPNVDILVQLSEMNGLGPLLFDSLKSLPTSSEDPSADSNHFDLALVTDAANWLARKLDLTIFGFDVVVQEGTGDHVIVDVNYLPSFKEVPNDICIPAFWDAIKMKFESRQGK >Manes.01G009900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3177235:3187862:1 gene:Manes.01G009900.v8.1 transcript:Manes.01G009900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLKKTAMQYSFDCFALDSSSTDDALKVVTLAWGHIEGTILYLFSNHKKGSYNQISNLGWIVIVMGAEVATEFDNSNVLCINKLEELLVTICHLNRKAVGNNVVTVGYIMKPSREEDFAKRGALPISPTPNGLIFLPLTFGLPLLSQLKHVDMVLHKATDEIVSVELSDSAESSSKITYTTGMQELQRYLESHSDFFVIDPINKIYPLLDRLKIQEILLGLEDINAGGNHTIRGPHFLKVNDFNEPDLILGLSEAKLSLPSIVKPQIACGVADAHSMAIVFRVEDFKDLRVPLPAVVQEYVNHSSTLFKIYVLGEKVFYAVKKSTPNVDILVQLSEMNGLGPLLFDSLKSLPTSSEDPSADSNHFDLALVTDAANWLARKLDLTIFGFDVVVQEGTGDHVIVDVNYLPSFKEVPNDICIPAFWDAIKMKFESRQGK >Manes.18G145651.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28940816:28941764:1 gene:Manes.18G145651.v8.1 transcript:Manes.18G145651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSTLSLRSILEKDKLKENGTNFVDWFRNLRIILKQKSYVLDEVIPEPPLVDATNAVKNKHKKHMDDSNDIGSRQDRYETTIALHNCKMAEGESVSAHVLKMKGYIDHLARLGYPLSLELSTDLILHSLPGNFSQFVNVKKRPTQILNVNKGKPMKNKGKPKSKGGNGPKGRGKLKWQAKVKVPKEIVSKEGICLHCKEPGHWKRNCKLFLDECKKKKSSETMTSSIYYIDINLSISTSWVLDTECGSHICTNV >Manes.04G115200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31796334:31800843:1 gene:Manes.04G115200.v8.1 transcript:Manes.04G115200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRPIRRFCHSAAAAAIASTGSRAPVANHVLHQKPLEDPALVKLKAERDPEKLFNLFKANAQNRLVIENRFAFEDTVSRLAGARRFDYIEHLLEHQKTLPQGRREGFIVRIIMLYGKAGMTKHAINTFYDMHLYGCKRTIKSFNAALKVLTGTRDLGVIEAFLNEATQKLDIILDTFSVNIIIKAFCEIGILDRAYLVMVQMEKLGIRPDVITYTTLISAFYNNNRLEIGNGLWNLMVRKGCLPNLATFNVRVQFLVKRRQSWQANDLMGLMQRIGISPDEVTYNLVIKGFCQAGYLEMAKRVYSALHAKGYKPNVKIYQTMIHYLCKGGEFDLAYTMCKDCMRRNWFLNVDTIYALLEGLKKNRHFGKAKMIVTLAQRRVPPFSSNQLSSFQSILSRS >Manes.04G115200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31796170:31800894:1 gene:Manes.04G115200.v8.1 transcript:Manes.04G115200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRPIRRFCHSAAAAAIASTGSRAPVANHVLHQKPLEDPALVKLKAERDPEKLFNLFKANAQNRLVIENRFAFEDTVSRLAGARRFDYIEHLLEHQKTLPQGRREGFIVRIIMLYGKAGMTKHAINTFYDMHLYGCKRTIKSFNAALKVLTGTRDLGVIEAFLNEATQKLDIILDTFSVNIIIKAFCEIGILDRAYLVMVQMEKLGIRPDVITYTTLISAFYNNNRLEIGNGLWNLMRIGISPDEVTYNLVIKGFCQAGYLEMAKRVYSALHAKGYKPNVKIYQTMIHYLCKGGEFDLAYTMCKDCMRRNWFLNVDTIYALLEGLKKNRHFGKAKMIVTLAQRRVPPFSSNQLSSFQSILSRS >Manes.04G115200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31796191:31800921:1 gene:Manes.04G115200.v8.1 transcript:Manes.04G115200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRPIRRFCHSAAAAAIASTGSRAPVANHVLHQKPLEDPALVKLKAERDPEKLFNLFKANAQNRLVIENRFAFEDTVSRLAGARRFDYIEHLLEHQKTLPQGRREGFIVRIIMLYGKAGMTKHAINTFYDMHLYGCKRTIKSFNAALKVLTGTRDLGVIEAFLNEATQKLDIILDTFSVNIIIKAFCEIGILDRAYLVMVQMEKLGIRPDVITYTTLISAFYNNNRLEIGNGLWNLMVRKGCLPNLATFNVRVQFLVKRRQSWQANDLMGLMQRIGISPDEVTYNLVIKGFCQAGYLEMAKRVYSALHAKGYKPNVKIYQTMIHYLCKGGEFDLAYTMCKDCMRRNWFLNVDTIYALLEGLKKNRHFGKAKMIVTLAQRRVPPFSSNQLSSFQSILSRS >Manes.03G064216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7669159:7682497:1 gene:Manes.03G064216.v8.1 transcript:Manes.03G064216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRAPLPWLFRRRSSTEMMKNLASVSSSLLPAFGTVVDEGYLQLRKRVIAPYDRRYRWWQTFLVALVIYCAWASPFELAFKKAATGGFMAVDLVVDVFFAIDILLTFFVAYLDKSTYLLVDDHKKIALRYVTSLWFPMDVASTLPFQLVYRIFTGKTSESEVFRVLNLLRLWRLRRVSELFERLEKDIRFSYFLTRLVKLISVTLFAVHSAGCFYFWLASHHKNPDNTWIGIKIQDFKHRSIWLGYTYSIYWSIVTLTTVGYGDLHAVNTGEKIFNMFYMLFNIGLTAYIIGNMTNLIVHAAVRTFAMRDTINEVLRYASKNRLPEGLKGQMLAHMQLKFKTAELQQEEVLEDLPKAIRSSIAQHLFRSTVENTYLFKGVSEDLVIQLVSEMKAEYYPPKVEIILENEIPTDFYIMVSGELDVLTYKNGTQQVLSKLGAADVAGEIGVIFNIPQPFTMRTKRLSQVIRMSHHHFKLMVQPESEDGKTIISNFIQYLKGLKQEMQKEIPFLMELLRDMNVEHNATNEGLQNPAALNSHGDTSDEERPETSSPISSKNPIRVVIHGHHPNESPAEGQGDTSGKLIHMPDSIEDLFRLAEKKFGKRGNTILMEDGSQVEELVALRENDNLFIF >Manes.16G067600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25838519:25840940:1 gene:Manes.16G067600.v8.1 transcript:Manes.16G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQLPLLDFSMEPLGLEVGSESWRELCTKVREACETHGCFLLTYEKIPENLREDMFMGIKSLFDLPQETKTKYVNPKPYRSYAGKNQLVPFYESLGLDEPQKLAAVEAFTHLMWPEGNTSFCEALHGVSSEMLELNYMVLKMIFESFGMENYYASHIEDSTSVFRMMRYIARPSGGAAADDADDDEDITLRAHTDKNTITILCQNQVQGLEVQTKDGNWDQVMVPQHGLVVIVGEALKVWSNGRLKAARHRVVTRGEQDRYSCGLFSLPKEESIVQVPSELVDKEHPLLYRPFIFAEYISFYASKLSDDALEIYACI >Manes.14G168900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27556308:27562707:1 gene:Manes.14G168900.v8.1 transcript:Manes.14G168900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSFFVNACVFHSSKKESSSETMATFSVFSSSFIHPQLWNIVSKMSLFDTFFFYVVHFVDKLGVWQRLPVILGIIFLAMRRHLHQRYNLLHVGGINGHKYDTKQFSYRTADGKCNHPNDDTIGSQGTFFGRNMPPSSSPYGLLEPHPTIVANKLLGRKKLIESGKQFNMIACSWIQFMIHDWIDHMEDTQQVEIRAFVEIANGCPLKSFKFYKTKKVATHSSLVKDGCLNTRTPWWDGSVVYGNNEDGMRRVRTFKDGKLKIGGDGLLEHDEKGIPISGDVRNCWAGFSLLQALFVKEHNAVCDMLKENYPELDDEELYRHARLVTSAVIAKIHTIDWTVQLLKTDTLLAGMRINWYGFLGKKVKDLFGHIGGPLFSGLVGLKRPRDHGVPYSLTEEFSSVYRMHSLLPDNLIIRDITSTSSQFQCPPILEEVPMREMVGKEGEKRLAKIGMEKMLISMGHQASGAVTLWNYPSWMRNLIAHDINGEDRPDPVDMAALEIYRDRERGVARYNEFRRNLLMIPISKWKDLTDDEEVIEALQQVYGNDVEKLDLLVGLHAERKIKGFAISDTAFFIFLLIASRRLEADRFFTTNFNSKTYTKKGLDWVNNTESMKDVIDRHFPEMTKKWMRCSSAFSVWDSESDEINHIPLYLRPAK >Manes.03G158200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28625017:28629856:1 gene:Manes.03G158200.v8.1 transcript:Manes.03G158200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTTVPGSSSFITNMNDLGLSAFSSRSSVFSIPKCRKSVFKKIVSVMAPKQSQREPATTGSVKTAMTMTEKIMARASERPQLNPGENVWVNVDTLMTHDVSGSGCFAIFKREFGENAKVWDREKIVVIPDHYIFTADELANRNVDILRDFCLEQNIKHFYDIKDRSDFKANPDYKGVCHIALAQEGHCRPGQVLLGTDSHTCTAGAFGQFATGIGITDAGFVLGTGKLLLKVPPTLRFVMDGEMADYLLAKDLILQIIGEISVSGATYQTMEFVGTTVESLSMEERMTLCNMVIEAGGKNGVIPADATTFKYLEDKTSVPYEPVYSDERARFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLNSGRKVKVPTFLVPATQKVWMDLYSLPVPGSGGKTCSQIFEEAGCDTPANPSCSACMGGPKDTYARMNKPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >Manes.14G073300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6062407:6069340:-1 gene:Manes.14G073300.v8.1 transcript:Manes.14G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLAILFLLINIFSLPDHSLSHPLCTDSRAPFTLNTTLSFCPYNGSTCCNSTADIQLQKQFQTMNISDPGCASLLKSILCARCDPFSSELFTINSVHRPVPVLCNSTVSINSSQSSQLANDFCSKVWNACENVSILNSPFAPSLEGQAGLPVNSSVTKLTDLWQSKSDFCKAFGGESTDGSVCFNGEPVTINNTGSPSPPAGLCLEKIGNGSYLNMVAHPDGSNRAFFSSQPGKIWLATIPEEGSGGTLEIDESSPFIDLTDEVYFSSAFGMMGMAFHPNFAQNGRFFASFNCDKVRWPGCVGRCSCNSDVNCDPSKLPSDNGAQPCQYHSVIAEYSANSSAVEASLATTAKPLEVRRILTMGLPFTSHHAGQILFGPTDGYLYFMMGDGGGPGNAGDPYNFSQNKKSLLGKIMRLDVDNIPSAKEINDLGLWGNYSIPKDNPSSEDSELLPEIWALGLRNPWRCSFDSERPSYFICADVGQDVYEEVDIISKGGNYGWRIYEGPYPYNPPSSPGGNTSPNSISPIFPVMGYNHSEVNKNEGSASITGGYFYRSKTDPCMYGRYLYADLYANAVWAGTETPEDSGNFTTSKIPFSCAKDSPIQCITVPGSNFPSLGYIFSFGEDNRKDIFVLASSGVYRVVRPSRCSYTCSKENVTNVASPSPSTSPPSNAGQSHCQYSRVLVLFSSLLLLLLGVM >Manes.14G073300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6062407:6069639:-1 gene:Manes.14G073300.v8.1 transcript:Manes.14G073300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISDPGCASLLKSILCARCDPFSSELFTINSVHRPVPVLCNSTVSINSSQSSQLANDFCSKVWNACENVSILNSPFAPSLEGQAGLPVNSSVTKLTDLWQSKSDFCKAFGGESTDGSVCFNGEPVTINNTGSPSPPAGLCLEKIGNGSYLNMVAHPDGSNRAFFSSQPGKIWLATIPEEGSGGTLEIDESSPFIDLTDEVYFSSAFGMMGMAFHPNFAQNGRFFASFNCDKVRWPGCVGRCSCNSDVNCDPSKLPSDNGAQPCQYHSVIAEYSANSSAVEASLATTAKPLEVRRILTMGLPFTSHHAGQILFGPTDGYLYFMMGDGGGPGNAGDPYNFSQNKKSLLGKIMRLDVDNIPSAKEINDLGLWGNYSIPKDNPSSEDSELLPEIWALGLRNPWRCSFDSERPSYFICADVGQDVYEEVDIISKGGNYGWRIYEGPYPYNPPSSPGGNTSPNSISPIFPVMGYNHSEVNKNEGSASITGGYFYRSKTDPCMYGRYLYADLYANAVWAGTETPEDSGNFTTSKIPFSCAKDSPIQCITVPGSNFPSLGYIFSFGEDNRKDIFVLASSGVYRVVRPSRCSYTCSKENVTNVASPSPSTSPPSNAGQSHCQYSRVLVLFSSLLLLLLGVM >Manes.14G073300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6062407:6069650:-1 gene:Manes.14G073300.v8.1 transcript:Manes.14G073300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISDPGCASLLKSILCARCDPFSSELFTINSVHRPVPVLCNSTVSINSSQSSQLANDFCSKVWNACENVSILNSPFAPSLEGQAGLPVNSSVTKLTDLWQSKSDFCKAFGGESTDGSVCFNGEPVTINNTGSPSPPAGLCLEKIGNGSYLNMVAHPDGSNRAFFSSQPGKIWLATIPEEGSGGTLEIDESSPFIDLTDEVYFSSAFGMMGMAFHPNFAQNGRFFASFNCDKVRWPGCVGRCSCNSDVNCDPSKLPSDNGAQPCQYHSVIAEYSANSSAVEASLATTAKPLEVRRILTMGLPFTSHHAGQILFGPTDGYLYFMMGDGGGPGNAGDPYNFSQNKKSLLGKIMRLDVDNIPSAKEINDLGLWGNYSIPKDNPSSEDSELLPEIWALGLRNPWRCSFDSERPSYFICADVGQDVYEEVDIISKGGNYGWRIYEGPYPYNPPSSPGGNTSPNSISPIFPVMGYNHSEVNKNEGSASITGGYFYRSKTDPCMYGRYLYADLYANAVWAGTETPEDSGNFTTSKIPFSCAKDSPIQCITVPGSNFPSLGYIFSFGEDNRKDIFVLASSGVYRVVRPSRCSYTCSKENVTNVASPSPSTSPPSNAGQSHCQYSRVLVLFSSLLLLLLGVM >Manes.08G125700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36585904:36592818:1 gene:Manes.08G125700.v8.1 transcript:Manes.08G125700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLLEIQPRELQFIFELKKQSSCAVRLTNITHHNVAFKVKTTSPKKYCVRPNVGIILPKSTCEFTVTMQAPKASIAEKACKDKFLIQSTVVATGTTEKDITPNMFNKDDGKYIEEIKLKVALISPPESPVLSPINGMLKQEPLLGASVLRDPVFSKVENTTPPHMVAEKVESQMFYSQEFKKEKDVELKPNKDIADQESNTANDAELMPSNNVVNVEEVNLANDEGLKPENDAINDNLAKDEQLSKPKSAEFITLASVEEVKFVNDIEEMKLKLNVLESKLNEAASTISKLSEERRLSIQDRKILQDELAMLRNRTSTRRAQVGFPLLFVVMVALISILLGYLSHP >Manes.08G125700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36585824:36594167:1 gene:Manes.08G125700.v8.1 transcript:Manes.08G125700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLLEIQPRELQFIFELKKQSSCAVRLTNITHHNVAFKVKTTSPKKYCVRPNVGIILPKSTCEFTVTMQAPKASIAEKACKDKFLIQSTVVATGTTEKDITPNMFNKDDGKYIEEIKLKVALISPPESPVLSPINGMLKQEPLLGASVLRDPVFSKVENTTPPHMVAEKVESQMFYSQEFKKEKDVELKPNKDIADQESNTANDAELMPSNNVVNVEEVNLANDEGLKPENDAINDNLAKDEQLSKPKSAEFITLASVEEVKFVNDIEEMKLKLNVLESKLNEAASTISKLSEERRLSIQDRKILQDELAMLRNRTSTRRAQVGFPLLFVVMVALISILLGYLSHP >Manes.08G125700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36585824:36594167:1 gene:Manes.08G125700.v8.1 transcript:Manes.08G125700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLLEIQPRELQFIFELKKQSSCAVRLTNITHHNVAFKVKTTSPKKYCVRPNVGIILPKSTCEFTVTMQAPKASIAEKACKDKFLIQSTVVATGTTEKDITPNMFNKDDGKYIEEIKLKVALISPPESPVLSPINGMLKQEPLLGASVLRDPVFSKVENTTPPHMVAEKVESQMFYSQEFKKEKDVELKPNKDIADQESNTANDAELMPSNNVVNVEEVNLANDEGLKPENDAINDNLAKDEQLSKPKSAEFITLASVEEVKFVNDIEEMKLKLNVLESKLNEAASTISKLSEERRLSIQDRKILQDELAMLRNRTSTRRAQVGFPLLFVVMVALISILLGYLSHP >Manes.01G185200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36278851:36284720:1 gene:Manes.01G185200.v8.1 transcript:Manes.01G185200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSHARTRVGSKPNSQMDPVKLQIRPNQRSSNLFSKSKTSRSSFLSIFFAAVFIFIAILSCYFFLRNGDSLDSRNRYRIIIDGGSTGTRIHVFAYRIEGEKPVFDFGDGAMRVNPGLSAHAKDPEGAGRSLEELLEFGKGRIPKKLWGETEIRLMATAGMRLLDSEVQDRILESCRKVLRKSGFKFRDDWASVITGSDEGLYAWVVANYALGTLGGDPRETTGIIELGGASAQVTFVSNEPMPPEFSRIVKFGNITYNIYSHSFLHFGQNAAFEALRESLVSADYQPASESLEKRIFVDPCIPKGYSSTKEAWKLSPASMEKNKFISSLHSRGNFSECRSAALKLIQNGKEKCSYQQCYIGSTFIPKLQGKFLATENFFYTSKFFGLSPRAFLPDLIMAGEHFCGEDWTTLKKRHHSFDSDDLVHYCFSSAYIVALLHDSLGIALNDRRIIYANQIANMPLDWALGAFILQSSAAFDMQHLDWITTIISDKSPTLVSLVAFAILLMFMAWSISKWRKPQVKTVYDLEKGRYIVTRVGRS >Manes.05G041700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3367031:3370780:1 gene:Manes.05G041700.v8.1 transcript:Manes.05G041700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLALLSCRKPPLLLTQIARHLSTASTSSKLHDHYSFKPPSTLSPQIPHTPNPTPRKKRKPLYRPPSLLDRTATKLTHSDLPFDFRYSYTESSPTVRSIGLREPKYSPFGPGRLDRVWTGICAPAVDPKVKSLDGIDNPNFEEKRSRMREEVQGEPLTNAERKILVENCQRNRTKKQINLGRDGLTHNMLNDIHNHWKHAEAVRIKCLGVPTVDMKNICSHLEDKTFGKIIHRHGGLLVLYRGRNYHPKKSPKIPLMMWKPHEPIYPRLIKTTIDGLSIEETKGMRKRGLTLPALTKLAKNGYYGSLVPMVRDAFLANELVRIDCRGLEKSDYRKIGCKLRDLVPCILVTFEKEQIVVWRGKDYKPAEDELLFTDREFFEDPESSLV >Manes.04G039500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6107724:6113392:1 gene:Manes.04G039500.v8.1 transcript:Manes.04G039500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKAPSHSGGLPPPSGRFSSFSPPGSSFNVKPEPLSAAFPPMAPATSSDPSNFGHGSDSSRFSHDISRMPDNPPKKLGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDETEEDLFSMYLDMDKFNSTSATSAFQLGESSTPPPLAPAPAIPADVSPGASERPRVRHQHSHSMDGSTSIKPEMLISGAEDVSAADTKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQAMPNGGPMMNFASFGAGQQFYPNNHAMHTLLTTQQFQQLQIHSQKQQQQFQQHQLHQLQQQQQEQQQQRVGDLKMRGTMSSPNQKDNASDINPPATND >Manes.04G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6107867:6113392:1 gene:Manes.04G039500.v8.1 transcript:Manes.04G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKAPSHSGGLPPPSGRFSSFSPPGSSFNVKPEPLSAAFPPMAPATSSDPSNFGHGSDSSRFSHDISRMPDNPPKKLGHRRAHSEILTLPDDISFDSDLGVVGGADGPSFSDETEEDLFSMYLDMDKFNSTSATSAFQLGESSTPPPLAPAPAIPADVSPGASERPRVRHQHSHSMDGSTSIKPEMLISGAEDVSAADTKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQAMPNGGPMMNFASFGAGQQFYPNNHAMHTLLTTQQFQQLQIHSQKQQQQFQQHQLHQLQQQQQEQQQQRVGDLKMRGTMSSPNQKDNASDINPPATND >Manes.15G176652.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18543070:18547096:1 gene:Manes.15G176652.v8.1 transcript:Manes.15G176652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFALLVDRLLTESTLEAAIESRNRLMQATANETKIVISSQKVDSRDDSSPKKMVECRICQDEDEDCNMETPCSCCGSLKYAHRRCVPRWCNEKGNTICEICHQHFTPGYTAPPPLFQIGGIPMNLRGNWQTSGRDLHGPQFIAMVSTDRNFLNPEYEEYSASTRNSNCCRSVAIAFMVLLILRHILPVILRTNEVSFPLLMLLFLRIAGILLPVYVIMRAVTALQRRLHQQGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFAVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLFWYSGPKKCRRSFNFGGNLQRRAQPLAPFKATIFFRLEPTQFKYSRSSSHCEPCYYCNS >Manes.15G176652.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18543084:18547096:1 gene:Manes.15G176652.v8.1 transcript:Manes.15G176652.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATANETKIVISSQKVDSRDDSSPKKMVECRICQDEDEDCNMETPCSCCGSLKYAHRRCVPRWCNEKGNTICEICHQHFTPGYTAPPPLFQIGGIPMNLRGNWQTSGRDLHGPQFIAMVSTDRNFLNPEYEEYSASTRNSNCCRSVAIAFMVLLILRHILPVILRTNEVSFPLLMLLFLRIAGILLPVYVIMRAVTALQRRLHQQGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFAVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLFWYSGPKKCRRSFNFGGNLQRRAQPLAPFKATIFFRLEPTQFKYSRSSSHCEPCYYCNS >Manes.14G162600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25261771:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25267849:25288475:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25261682:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25261771:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25266355:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25261771:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25261771:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25261680:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25273952:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25267654:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25267756:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25266355:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25267756:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25267654:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25267654:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.14G162600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25266355:25288438:1 gene:Manes.14G162600.v8.1 transcript:Manes.14G162600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSKSSPYRRRHDLEAGEHSFDDGSSSPFDIPSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILGKIRAHAQAIRAAYLFKAAGDRANGNKELPASSTGDFGIGQDQLSIMTRDHKLDVLEQIGGVKGLSDLLKTNTEKGIPGDDTDLLKRKNAFGSNTYPQKKGRSFWRFLWEACQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKKNIHMEVIRGGKRVDVSIYDIVVGDIVPLNIGDQVPADGILITGHSLAIDESSMTGESKNVYKNSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVAFLVLVVLLVRFFTGHTKNTNGTPQFKAGKTSVGDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKIDPPENKSQLPPKLSSFLIEGIAQNSNGSVFIPEGGGEVEVSGSPTEKAILVWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVHIHWKGAAEIVLASCTAYIDGNDNIMAMDDDKALFFKKAIEDMAACSLRCIAIAYRSYEIDKVPVGEQELSQWELPEDDLVLLAIIGLKDPCRPGVKESVQLCQNAGVKVRMVTGDNPQTARAIALECGILSSEDDAVAPILIEGKVFREYSNEEREQIAEKILVMGRSAPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKENSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLKNDNAEHANKVKNTLIFNAFVLCQIFNEFNARKPDEINIFDGITKNHLFMGIVAVTLVLQVIIIEFIGKFTSTVKLNWKQWLISVVIAFISWPLALVGKLIPVPDTPLHKFFPSFSRRRNPQS >Manes.13G085700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15798254:15801037:-1 gene:Manes.13G085700.v8.1 transcript:Manes.13G085700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLETMANVGLLYFLFLVGVEMDASVIRKNGKKALAIAVAGMILPFFTGLAFSFLIHKESVQSMNQGTFILFLGVALSVTAFPVLARVLAELKLINTEIGRIALSSALINDIFAWILLCFAIALAENDSASLASVWIILSSVAFVVFCVFAVRPAISWVIRRTPEGETFSEFYICLILTGVMISGFITDAIGTHSVFGAFVFGLVIPNGPLGVTLIEKLEDFVSGLLLPLFFAMSGLKTNVGAINGAATWGLLGLVVILGSAGKIAGTVIVTFFYQMPIREGLTLGLLMNTKGLIEMIILNVGKDQRVLDDESFAIMVIVAVVMTGLVTPIVTAIYRPARKFMPYKRRTIQRSKPDAEFRILVCVHTPRNVPTIINILEASHPTKRSPICVYVLHLVELTGRASAMLIVHNSRKSGRPALNRTQAQSDHIINAFENYEQHAVCVSVQPLTAISPYSTMHEDICNLAEDKRVAFIIIPFHKQQTVDGGMEATNPAFRMVNQNVLANSPCSIGILVDRGLSGSTRLATNQLSHHIAVAFFGGPDDREALSYAWRMSENPGISLTVMRFIAGEDAVQPARQRNEDTNDPRILTFETQDQREKQMDEEYVNEFRMQNANEESVFYTERIVNNGEETVAAIRAMDINAHDLFVVGRGRGMISPLTAGLTDWSECPELGAIGDLLASSDFAATVSVLVVQQYVGTEPQVDAVLTPDRLSQSDGQYTGYS >Manes.01G049555.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:15306725:15308523:1 gene:Manes.01G049555.v8.1 transcript:Manes.01G049555.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKGKEAEKISLRSQSSQTNLTKLQLFTPGHSSVQNITGQLSVPRPLYTNTTSIINRPIGHISSALITQPHYARPRSPRPHFTSFNKFSPLQTVPITPSTFKQAVTNTSSPRHTIPTSPSSSSQTDLAQYKYKPIEDQIITIEPEYWTQNPHLNEYQLCETIFPKTHYYIPDNFQKSQIYYEAILTHTNSILIQNNFDPHNPTKLRYCKVRLLKVWTLTEWGQEPHKTKEFTYTNGQLRQNAKYNYYDYQFAWERTFFKQNEQLSISFFFYISDNFTYPIPFWFHQWWNKFGIHDDIIPDQIKPAKTQFFDKQQLPETIICSPQWLIYSHYFHIPWIFMTEYHIQDQIIDNFQIPMLVRKYKTKWWTKTNLQGCDQIAVDQFFVNNPQYCKTPSPAAITKQETFLARKQQIMAHMAACTSEQEYEKLLEEIKETRNTAASPSPIDLSDDNDDFFTQAEI >Manes.03G051800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4802873:4805335:1 gene:Manes.03G051800.v8.1 transcript:Manes.03G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLSAENDSASEIHIPAEIDWHMLDKSKFFFLGAALFSGVSAALYPVVVLKTRQQVSTAQISSLKLSFSIMRHEGVRGFYRGFGTSLMGTIPARALYMTALEVTKSSVGTVTVKLGFSDTQATAIANAAAGLSSAMAAQLVWTPIDVVSQRLMVQGCSNSTSGKNIIPSLDSCGYRNGLDAFRKILRADGPRGLYRGFGISILTYAPSNAVWWASYSVANRLVWGGIACSTNKKEESSFNGGGCSYRPDSKAVVAVQGLCAAMASGVSALITMPFDTIKTRMQVLDGEQNGRRQPLTVVETVKNLVKQGGFAACYRGLGPRWVSMSLSATTMITTYEFLKRLSTKSRESFSS >Manes.13G123500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33122720:33123876:-1 gene:Manes.13G123500.v8.1 transcript:Manes.13G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMAAPTLSLFFFVCFLALTSIAHAQVEETGLKIMANALEWQMAMSMYNDLEENGDELVDWDGDEEMGGRRTLFWRRVHYYISYGALAANRIPCPPRSGRSYYTHNCFKARAPVNPYTRGCSRITRCRR >Manes.10G081850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18901649:18902086:-1 gene:Manes.10G081850.v8.1 transcript:Manes.10G081850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESEQWIESKYGQTTSGPAYEAKKIVLSLDGDEIPTMEFIYETMERAKLAIKQNFKSYIDYWKIIDAHWNFQLHHDLHAAGYFLNHQY >Manes.09G163200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35743503:35746663:1 gene:Manes.09G163200.v8.1 transcript:Manes.09G163200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKLILSMVFIFLLLFSYSIFIGTIDIRSYFFPILQQSPAVAPSVCSHGPPLKVYMYNLPRRFNVGMMDHRNDDDNPPVTAENFPRWPKNSGVRKQHSVEYWLMASLLYEGGGEEREAVRVLDPEKADAFFVPFFSSLSFNTHGHTMTDPETEIDRQLQVDVIEMLYKSKYWQKSGGRDHVIPMTHPNAFRFLRQQLNASILIVADFGRYPKSMSTLRKDVVAPYVHVVDSFTDDELPDPFESRTTLLFFRGNTVRKDEGKVRAKLEKILAGYGDVHFERSKPTTETIKASTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDQIELPYEDEIDYSQFSVFFSINEAIQPGYMVDQLRQIPKERWIKMWKLLKNISHHFEFQYPPEKEDAVDMIWRQVKYKLPAVQLAVHRSRRLKIPDWWQRRR >Manes.03G002401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:295514:296717:1 gene:Manes.03G002401.v8.1 transcript:Manes.03G002401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNSCKSCSENREFPQGTSNPSNTSNSCATPVSKEMCKRIKLQKESAKVFPVEPETDEAHTDTFKSGHIKTDINPGNDDKVEAFIISGEKIDKGIGSECPIDELLVLGENIPIRDLWISVLRSHGLLVGDWSKSTSAPSKIIGCPEFKFGPIAFMLKYPEPYTSRVRIRESFQAAVPEWSDQISNSFPACMVAYLWLMQCIFLVKSFKPTGSIINEPCDEYPSTNSFSNWLQCREVRLDGTGECAEGTICGKWRRFSEVQPDDWDCFCSVLWDP >Manes.12G023501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2009387:2010021:-1 gene:Manes.12G023501.v8.1 transcript:Manes.12G023501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFSVGIWYGMMSGSVVQALVLSFIIYRTNWNKEASVAEDRIKKWGGGQISSKENNIGTVTFA >Manes.03G075166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12423782:12447758:1 gene:Manes.03G075166.v8.1 transcript:Manes.03G075166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMRAVCLIRRIFDRRGGCNIGSVRSFSRLYESPISTPVDVSSLPTPSVPFKSSFCNFYSIPAITKLHFSNPTMQFRRTLCSSPGSSNIVLIKSEEEFNSSMKNIQEKSLPAIFYFTAAWCGPCKFISPILAEQSEKYPHVPTYKIDIDQDGLGTVLNKLSIHAVPTLHFFESGKKAAEIVGADVGRLKDTMEELYGKD >Manes.01G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3985453:3987842:1 gene:Manes.01G015500.v8.1 transcript:Manes.01G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVWLGSHTLRSHGAKVARIHLHDWLILILLVIIDGLLNLIEPFHRFVGQGMMTDLSYPFKPNTVPVWAVPILAGVLPVAIFSVYYYYRKDVYDLHHAILGLLFSLLATGVITDAIKDAVGRPRPNFFWRCFPDGKAAFDPVTYDVICHGDAHIIKEGYKSFPSGHSSWSFAGLTFLAWYMSGKLRVFDRRGHVAKLCIVLIPVLIAILVGISRVDDYWHHWTDVFAGALIGTIVAAFCYLQFFPYPYETEGWAPHAFFEMLAERTRVQSSGRTNSIRMGEMTDIETAFMAGDPERVCNPEQR >Manes.09G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19942445:19947729:1 gene:Manes.09G081900.v8.1 transcript:Manes.09G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGVSPGNVPVYHGTNLKVIDRKVRLAELVLRCLICGLGVATAVLIGTDTQVKEIFTIQKKARFADMKALVFLVIANGIAAAYSLLQGVRCVVGIVTGSVLFSKPLSWLIFSGDQVMAYVTVAAVAAAAESSVFAKLGQPELQWMKVCNMYGKFCNQVGEGTASSLLVSVSMVALSCISAFSLFRLYGANKGKGSTWW >Manes.03G139848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26734734:26737082:-1 gene:Manes.03G139848.v8.1 transcript:Manes.03G139848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQFFSFPILFISFIFIFMILKIWRRSKTNHSTTNLPPGPSKLPIIGNIHQLIGSQPHYRLRDLANQYGSIMHLQLGEVSNIVISSPEDAKQVMKTHDIVFAQRPFLIAASILTYNFADIAFSPYSDYWRQLRKISVLELLSAKRVQSYRSIREEEVSNLISTISTSAGQPFNFSRKIFSSTYAIAARATFGRKCKDQEEFIPLVEEITEVVGGFNLADLFPSMKLLHVISGSMSRLVRLHREADRIIENVINDHRARKKTSKTDSEVEEDDLVDVLLRLQEQGNLEFPLTNDNIKAVILEIFIAGSETSSTTVEWAMSEMLRNPRAMEKAQEEVRRVFSKKGNVDETEIHELKYLKSVIKETLRLHPPAPLLLPREGRERCEINGYEIPAKTKVIVNAWAINRDPNHWTEAETFYPERFLDSSIDYKGNNFEFIPFGAGRRMCPGILFGIANVELPLAQFLYHFDWKLPNGLSAETLDMTESFGATVRRKNDLQLIPIPYKPSSAACQSSHQD >Manes.05G025700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2260845:2270365:1 gene:Manes.05G025700.v8.1 transcript:Manes.05G025700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYIVASLLTIFTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKLLVSSCLLWRECQKSPLPKMTTDWKTIRLFPIPSIIYLIHNNVQFATLIYVDTSTYQIMGNLKIVTTGILFRLFLRKKLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSLFSAPIQGYMLGILSACLSALAGIYTEFLMKKNNDSLYWQNVQLYTFGVIFNLARLVLDDFRGGFEKGPWWQRLFNGYTITTWMVVLNLGCSGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFSFRPTLQLFLGIIVCMMSLHMYFAPPGMLVDQPSTGKADPESLVDVSIERRTDS >Manes.11G148201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31301670:31302573:1 gene:Manes.11G148201.v8.1 transcript:Manes.11G148201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLTGTLPRSLFKPKASHTGSEPSTILLSHGGCHACSRSHPCIESHSSTTLAVVLLPLLAVIFIFFPHLDPPLNHPVESATTLSDLSWYRPSHADGPPPSPPSLKSFKVKIAFVEDVTGGEHLYIGLVSPLHVKEPEGLHPPPPPPPPPPPPPPPHMLVPTSGSSLHLPLMQMSWPSQSLRVRHGPLQEEMAFDGEPKKMMKLKRKEREIKQTVFSIFA >Manes.09G004702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1199478:1210635:-1 gene:Manes.09G004702.v8.1 transcript:Manes.09G004702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGTDVAEENSDIIILKDNFASLWRCLQTCTSISNDRTSDKNAGGERLYAFEFDIETTAFAKRWTHWFTSFLTWDREASLIPCMISTLF >Manes.11G060061.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8343080:8348730:1 gene:Manes.11G060061.v8.1 transcript:Manes.11G060061.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFPNQELKNLNNLQFLDISYNNFNGILSFKELKNLKSLKLLNINGNSFNSTPGLCGLKSLVELDLQGNQFSGLLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFNALANHSKLEAFILSPGNSRLEVETENPTWFPAFQLKYIRLSNCSLNVRTRAIPSFLHYQYDIRFIDLSHNTLVGTFPTWILQNNSKLVVMDLRNNSFTGTFQLHNFKHDLVQLDISSNNLTGMLPKEFGLVLPRLEYINMSRNNFGGNVTFSISETPTLSTLDLSHNNFSGELPGSLFANCTMYCALFLSNNNFQGNVFPQDMDLRSMAVLDMKNNNFSAMVGADLLNSRSLSSLIFFDISNNKVSGPIPRLLYNLTYLVFLDLSKNKLYGSMPSCFNSSSLSFVFLQKNNLSGPIPHELLRSPNLVALDLRDNNFSGNIPFWIGQFSELQMLSLGGNALHGRIPNQLFELRNAKIMDLSRNLLFGSVPACFSNISFGNYILLGKTEEVDFHYFMVFHLGGHQIALNLNLPWVDWYSSEIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGEPIHKSCKSDEAPQTPPPSADVEEEDEGGIDMVWFYWSFSGSYVTILLVLAAILRINRHWRMLWFYYVDVCIYSISIWVCRN >Manes.18G095400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8822436:8826095:-1 gene:Manes.18G095400.v8.1 transcript:Manes.18G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATLHFQIHISSIIALQMFLLKTWRSTAFGVYGYLNFTKSGFLEHSKHFKPEDMQTRIEGKNCVVTGANSGIGYATAEGLASRGANVYMVCRNKERGEAALSKIRSTTGNQNVHLEVCDLSSVSKVKSFASKFASKEVPVHVLVNNAGLLENKRITTSEGFELNFAVNVLGTFAITESMVPLLEKAAPDARVITVSSGGMYTAPLTTDLQFSDEKFDGVEQYARNKRIQVALTEKWAEIYKDRGISFYSMHPGWAETPGVAKSLPSFSKSFSGKLRTSEQGADTVIWLALQPKEKLVSGEFYFDRAQAPKHLKFAATSGSHALIDSIISNLHAMSTLSS >Manes.18G095400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8823298:8825847:-1 gene:Manes.18G095400.v8.1 transcript:Manes.18G095400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATLHFQIHISSIIALQMFLLKTWRSTAFGVYGYLNFTKSGFLEHSKHFKPEDMQTRIEGKNCVVTGANSGIGYATAEGLASRGANVYMVCRNKERGEAALSKIRSTTGNQNVHLEVCDLSSVSKVKSFASKFASKEVPVHVLVNNAGLLENKRITTSEGFELNFAVNVLGTFAITESMVPLLEKAAPDARVITVSSGGMYTAPLTTDLQFSDEKFDGVEQYARNKRIQFMLQSGKLETNRKG >Manes.18G095400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8821927:8825852:-1 gene:Manes.18G095400.v8.1 transcript:Manes.18G095400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATLHFQIHISSIIALQMFLLKTWRSTAFGVYGYLNFTKSGFLEHSKHFKPEDMQTRIEGKNCVVTGANSGIGYATAEGLASRGANVYMVCRNKERGEAALSKIRSTTGNQNVHLEVCDLSSVSKVKSFASKFASKEVPVHVLVNNAGLLENKRITTSEGFELNFAVNVLGTFAITESMVPLLEKAAPDARVITVSSGGMYTAPLTTDLQFSDEKFDGVEQYARNKRIQVALTEKWAEIYKDRGISFYSMHPGWAETPGVAKSLPSFSKSFSGKLRTSEQGADTVIWLALQPKEKLVSGEFYFDRAQAPKHLKFAATSGSHALIDSIISNLHAMSTLSS >Manes.11G116200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27303277:27312271:-1 gene:Manes.11G116200.v8.1 transcript:Manes.11G116200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGMIECSVCHSKLVSPNARTFSRAYDRHKSRVSSKQRAINVLLVVGDCILVGLQPILVYMSKVDGKFMFSPISVNFLTEAAKVLFAVVMLMFQAKHQKVGEKPLLSFSTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKIIMRRRFSVLQWEALALLLIGISVNQLRSLPEGTTAMGLAVGTGAYVYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLAILGTVVVKGPSSFDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAAMFGHTLTMNFLLGISIVFISMHQFFSSLSKVKDDQQNGVAELVDVQDNHRSKDSFVNMAAGANEEVTHRVGHDDRQPLLPT >Manes.15G003500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:136357:137845:1 gene:Manes.15G003500.v8.1 transcript:Manes.15G003500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLAIFTLIFTASTLQLPPALALTSCRTSCGSIDIRYPFGVDDGCGAPQFRGMFNCSSDLFFTTPSGSYKVQHIDYDKKTMVVYDPAMSTCSILQPHHDFVMTEIQSFIIRPTPDTVFALLNCSIDSPVLNHYKNLCFNFSEHSCDELYGSCNAFRVFHLLTNSSPPCCFTGYDTVKFMSMNILDCSHYTTVINVDNLKGIGPLDWVYGIKLSFSVPDAGCERCSLSGGTCGFDTETEGMVCLCSASTNATRECGSVTAGDGSNNFPWKFCYALVLISSVLMILLCN >Manes.15G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:136357:137845:1 gene:Manes.15G003500.v8.1 transcript:Manes.15G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLAIFTLIFTASTLQLPPALALTSCRTSCGSIDIRYPFGVDDGCGAPQFRGMFNCSSDLFFTTPSGSYKVQHIDYDKKTMVVYDPAMSTCSILQPHHDFVMTEIQSFIIRPTPDTVFALLNCSIDSPVLNHYKNLCFNFSEHSCDELYGSCNAFRVFHLLTNSSPPCCFTGYDTVKFMSMNILDCSHYTTVINVDNLKGIGPLDWVYGIKLSFSVPDAGCERCSLSGGTCGFDTETEGMVCLCSASTNATRECAAGSVTAGDGSNNFPWKFCYALVLISSVLMILLCN >Manes.07G120100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32474755:32478693:-1 gene:Manes.07G120100.v8.1 transcript:Manes.07G120100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKSALNQTKLRWGELDEDDGEDLDFLLPPKQVIGPDENGIKKVIEYKFNDDGDKVKITTTTRVRKLAKARLSKKAVERRNWAKFGDAVHEDVGSRLTMVSTEEILLERPRAPGTKVEETKLPGDNLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLAPQPEGFIDKPAAADNAAAAAGATKGAYVPPSMRAGAERTGGSDMRRRNEENSVRVTNLSEDTREPDLLELFRTFGQVSRVYVAIDQKTGVSRGFGFVNFVNKEDAERAINKLNGYGYDNLILRVEWATPRSN >Manes.07G120100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32474723:32478693:-1 gene:Manes.07G120100.v8.1 transcript:Manes.07G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDKSALNQTKLRWGELDEDDGEDLDFLLPPKQVIGPDENGIKKVIEYKFNDDGDKVKITTTTRVRKLAKARLSKKAVERRNWAKFGDAVHEDVGSRLTMVSTEEILLERPRAPGTKVEETKLPGDNLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLAPQPEGFIDKPAAADNAAAAAGATKGAYVPPSMRAGAERTGGSDMRRRNEENSVRVTNLSEDTREPDLLELFRTFGQVSRVYVAIDQKTGVSRGFGFVNFVNKEDAERAINKLNGYGYDNLILRVEWATPRSN >Manes.15G092000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7156715:7164031:-1 gene:Manes.15G092000.v8.1 transcript:Manes.15G092000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSELCGLRTRCFRFLLPSPPPLPRRRLFSSVTSSVSLGNKKEKVVVISGPTGSGKTRLALELAKRLNGEIISADSVQVYRGLDVGSAKPSLSERKEVPHHLVDILHPSEDYSVGQFFEDARQATRDILDNGRVPIVAGGTGLYLRWFIYGKPDVPKASPEIASEAYSELAELQKNENWDEAVQLVVKAGDPKAQFLAANDWYRLRRSLEIIKVTGSPPSAFQVPYDSFKEQLDTSLMENSETAHSSHDVSEEVKPKDLDYEFFCFFLSTPRLDLYRSIDYRCEDMLLGTDGILAEAKWLLDIGLLPNSNSPTRAIGYRQAMEYLLKCRQQGGRSSAGDFYAFLSEFQKASRNFAKRQMTWFRNEHIYHWLDASKPLENVLNFVYDAYNDETRNLVIPESLRMKKDISSRREIIQLKTYRSKNRHFVSRNDCADILDWIRNQEFIDQHSLCTLPDSSHVAVILRRAN >Manes.09G046400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8109983:8112093:-1 gene:Manes.09G046400.v8.1 transcript:Manes.09G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKLATCSSYMSSHIHLIVLFSIIHFLHFYADYTRAISFSIDRFDPGANDIIYEGDATPSVGAIEMTLVNWLCHVGHATYAEPLHLWDSSTMTLTDFNTNFSFTIDTRKAEIYGHGIAFFLSAVGKSIPPNSPGGFLGLFNTTSSAAASRNQLVMVEFDTFVNEEWDPPVQHVGINNNSITSSVYAKWDPGSYSGKTAKVGIDYNATTKNLSVLWTYDEYLVFIGNNSLSYIIDLMELLPEWVSIGFSASTGQYVERNTINSWAFSSNLVTKGSSNKTDILPSNKPGILNTGTHRILIIALASVCFLALCGISIFFVKKTFCRSPLPEDPELGRRALPKRFSYQELVEATNNFANDRKLGEGGSGLVFKGFLRELGCLVAVKKIDAESEDLFNNEVNIISSLRHRNLVQFMGWCNEQGEYLLVYEYMPKGSLHTHLFGNRKAIPWNVRYNIALSLASALQYLHEGAETCVLHRDIKPGNILLREDFTAKVGDFGISKFVNTQLRTQRTNPVGTPGYVAPEYRRDGRATKHSDMYSFGVVALEIACGMRNFRNNDPLQLVKDVWQKYKAGNILDAADKRLKEFDPKEMKCLMIVGLWCTHPTDNERPPARRVIQYLNLEVLLPELPPMLHYPEFSLDSDMDGCKTR >Manes.05G173700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28838686:28839360:1 gene:Manes.05G173700.v8.1 transcript:Manes.05G173700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRDIAATSAISTPIDSKIVSSAMLLSFIWCWSLLPKSMLILDCVFRCYVISLSFSLTDKGGERGDARWLSHLKAHIKMNR >Manes.09G158400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419168:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419167:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSFQLSPYFLTNSVIKGRFRVRVQNVGSKDPKVLLSDSNVVNETSSVDQKKKNGVLYDGGTMVEEERTLINRENGRLGFVPQKKWTKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419135:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419167:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419168:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSFQLSPYFLTNSVIKGRFRVRVQNVGSKDPKVLLSDSNVVNETSSVDQKKKNGVLYDGGTMVEEERTLINRENGRLGFVPQKKWTKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419167:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419134:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419167:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSFQLSPYFLTNSVIKGRFRVRVQNVGSKDPKVLLSDSNVVNETSSVDQKKKNGVLYDGGTMVEEERTLINRENGRLGFVPQKKWTKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAEE >Manes.09G158400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419199:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSFQLSPYFLTNSVIKGRFRVRVQNVGSKDPKVLLSDSNVVNETSSVDQKKKNGVLYDGGTMVEEERTLINRENGRLGFVPQKKWTKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419167:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSFQLSPYFLTNSVIKGRFRVRVQNVGSKDPKVLLSDSNVVNETSSVDQKKKNGVLYDGGTMVEEERTLINRENGRLGFVPQKKWTKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G158400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35419167:35435359:1 gene:Manes.09G158400.v8.1 transcript:Manes.09G158400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGLILHHKALGKAFEVWCLHIPVYDRTSFEGLLKFVEETVRTKHAASPNKPIYLVGDSFGGCLALAVAARNPKIDLVLILANPTTSFGRSQLQLLLPILEALPDGLHNTVPYLFSFAMGDPLKMATIGTENRLPPKSKIKQLSGNLTALFPLLSGLADIIPTETLLWKLKLVNSASAYANSHLHAVKAEVLVIASGKDYMLPSADEAKRLKSSLQNCKVYLFKDHGHTILLEVGISLLTIIKGACKYRCSRRLDFVSDFVPPSMSEFKYAVYEVYGLSRVATAAVMFSTLDDGRIVRGLAGVPKEGPVLLVGYHMLMAFDLFPLVEELLREKIVVRGLAHPVIFTDSLENSTSEFSVLALMKVLGAVPVTARNIFRLLSTKSHVLLYPGGARESLHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFVREINRNAVRPRNESEGEVAHQEQFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDRDYANELYLQIKSEVEHNIDYLLKKREEDPYRSVIDRTLYRAIYHHWQDVPAFDP >Manes.09G125002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32716115:32717300:1 gene:Manes.09G125002.v8.1 transcript:Manes.09G125002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMFSVEVTKRMTSTTSPSESVQDVGAGSRPKEKELVDHFLKLMLLGHDHQVRRIPELDIYKREPWDLPLFRSELEEEEWYFFHPCRSRWPRETTEVGYWKNTGRKRKIRDAQEEIGTKRTFRAFVLRKLFKKPMPVTGEEELRRTLAACDLENQNPYQRTHNPVLNEGETNQLMTSETTDIGFSKEMRQEKALWNVNSQPIPHLGSSYGRDEENYLLDTACNTLMQDFSCYIFGTSHLTPSRPPQYD >Manes.07G063823.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11361541:11363698:1 gene:Manes.07G063823.v8.1 transcript:Manes.07G063823.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPVRGREIQQSSKFAWLLEWRITREAGFTEQRLPPSFGSGRITSRCHPGPHCQMGCSLTGPPLFSTILH >Manes.06G066600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20276521:20278137:-1 gene:Manes.06G066600.v8.1 transcript:Manes.06G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMRAPSVANRMCNSREDESELRRGPWTLEEDTLLTHYIARHGEGRWNMLASYAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQRQARQLNIESNSKSFLDAVRCFWMPRLLQKVEQNCYSSPSSTLDSQTHAIASASSSNFEQVANSFSSETFPPQPKLTQYSNPASEHSCSVTSSSVLSTDFIPISHQTEILENPASSCPPLLDSTVYNNLLLSDIYYVENSGYGMDAFNPASMPEFDTFGDSTSECNMADGNWVFDDYMADPLWNMNDMWQV >Manes.01G211800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38253894:38256918:1 gene:Manes.01G211800.v8.1 transcript:Manes.01G211800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEGTSSPVGVLEDYFRSEESESCSSKEPTSDLEARRSSKPTSRWRGLFQLVRSRSKKPLATLHPLSVLKLSLRRCSSMREIVPNLFPNSDSYNLKSPRTSFTLYELQAATGNFSQENLIGKGGYAEVYRGCLKNGKLVAVKRLTRGKLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGIDGGMHLVLELSPHGSLASKLYGSKETLTWKIRHKIALGTAEGLLYLHEGCQRRIVHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHIVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKSEIRELVDPGLANDYNTKQMNLMLLAASLCIHQSSLRRPRMAQVVQILNGNLSSVKCMNKSRVAFFRKAVAEYKSPKN >Manes.01G211800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38253894:38256799:1 gene:Manes.01G211800.v8.1 transcript:Manes.01G211800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEGTSSPVGVLEDYFRSEESESCSSKEPTSDLEARRSSKPTSRWRGLFQLVRSRSKKPLATLHPLSVLKLSLRRCSSMREIVPNLFPNSDSYNLKSPRTSFTLYELQAATGNFSQENLIGKGGYAEVYRGCLKNGKLVAVKRLTRGKLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGIDGGMHLVLELSPHGSLASKLYGSKETLTWKIRHKIALGTAEGLLYLHEGCQRRIVHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHIVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKSEIRELVDPGLANDYNTKQMNLMLLAASLCIHQSSLRRPRMAQVVQILNGNLSSVKCMNKSRVAFFRKAVAEYKSPKN >Manes.01G211800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38253894:38256799:1 gene:Manes.01G211800.v8.1 transcript:Manes.01G211800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEGTSSPVGVLEDYFRSEESESCSSKEPTSDLEARRSSKPTSRWRGLFQLVRSRSKKPLATLHPLSVLKLSLRRCSSMREIVPNLFPNSDSYNLKSPRTSFTLYELQAATGNFSQENLIGKGGYAEVYRGCLKNGKLVAVKRLTRGKLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGIDGGMHLVLELSPHGSLASKLYGSKETLTWKIRHKIALGTAEGLLYLHEGCQRRIVHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHIVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKSEIRELVDPGLANDYNTKQMNLMLLAASLCIHQSSLRRPRMAQVVQILNGNLSSVKCMNKSRVAFFRKAVAEYKSPKN >Manes.01G211800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38253775:38257057:1 gene:Manes.01G211800.v8.1 transcript:Manes.01G211800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEGTSSPVGVLEDYFRSEESESCSSKEPTSDLEARRSSKPTSRWRGLFQLVRSRSKKPLATLHPLSVLKLSLRRCSSMREIVPNLFPNSDSYNLKSPRTSFTLYELQAATGNFSQENLIGKGGYAEVYRGCLKNGKLVAVKRLTRGKLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGIDGGMHLVLELSPHGSLASKLYGSKETLTWKIRHKIALGTAEGLLYLHEGCQRRIVHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHIVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVSGRRALDYSQQSLVLWAKPLLKKSEIRELVDPGLANDYNTKQMNLMLLAASLCIHQSSLRRPRMAQVVQILNGNLSSVKCMNKSRVAFFRKAVAEYKSPKN >Manes.01G243400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40446242:40447609:-1 gene:Manes.01G243400.v8.1 transcript:Manes.01G243400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPIGPRLYSCYRCRNIVSCHDDIISKSFQSSSGRAFLFSHTMNIILGHKMDRRLITGLHTIANIYCSDCGELLGWKYERAYDDLQKYKEGKFALEKFKIVNENW >Manes.15G037550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2939873:2940136:-1 gene:Manes.15G037550.v8.1 transcript:Manes.15G037550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLYSGLKALEGQTKSKKGRVKLLDTEEMPAPIVRVEKDMFVLVDDVLLLLEGAAMEPLPPKDEKGLQNRTKVGWVRTSVFMLNSL >Manes.08G039300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3847665:3849093:1 gene:Manes.08G039300.v8.1 transcript:Manes.08G039300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >Manes.08G039300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3847688:3848988:1 gene:Manes.08G039300.v8.1 transcript:Manes.08G039300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >Manes.10G077500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17845183:17848941:-1 gene:Manes.10G077500.v8.1 transcript:Manes.10G077500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLPCSLFCILVCLFGVRCSANSAAAAAAGNETDQLALLHFKAKITNDPFGVLQLWNSSTHFCQWQGITCGRRHQRVVKLELFSLKLEGSISPRIANLSFLRVLDLKNNSLSKEIPSEIGHLRRLETLILSNNSIGGRIPSNISACSNLISFNIGYNQVAGEIPSSLGSLLQLQVFAANRNNLSGTIPSSFGNLSSLERLELTGNVIGGRIPFTLGRLKNLKYFLLTVNKFSGEIPSSIFNLSSIVIFDVGGNELRGHLPSDMGITLPNLKVLTVAFNQFSGSIPVSISNASKLEFLQFPRNNFTGEVPSLENLQRLQVLLLGSNSLGGAGTNDLSFLCSLINATNLGYLNMQANSFGGSLPSCMANLSRTLQTFAVESNKIFGSVPAWIGNFVNLKILSVADNRFSGNLPSIIGKLQNLEILYVSENKLSGEIPHSLGNLTLLTQLTLNQNNFQGSIPSSLGECQNLILLNLSSNNLTGNIPPEVFLLSSLSIYLGLSQNRLTGSLPIEVGNLKNLGVLQVYQNLLSGEIPGSLGSCVRLEILTMQGNFFQGPIPSSLNALRGLKVFDLSHNNFSGQIPKFLGGFVYLLNLNLSFNNFEGSVPIEGVFRNASATSVMGNTNLCGGILDFHLVACHLKTSADRRSSKKARLIVPVVAGALGALLMFSFLFLLRLRKKNQAPEISSVDSLIRVSYQILYEATNGFSSENLINVGSFGSVYKGVLGEGGQSIAVKVLNLQHSKAARSFIAECEVLKNIRHRNLVKVLTACSSIDYQGNDFKALVYEYMVNGSLDDWLHPVVAVDGNHHEPPKNLNFLQRLSIAIDVAFALEYLHNHCETTIVHCDLKPSNILLDDELTGHVSDFGLAKFLLKDLNGSAIHSSSVGLRGTIGYAPQEYGLGGEVSPHGDIYSYGILLLEMFTGKRPTHEMFKEDLNLHKLAKSALPDRVAEILDPLLVERVESETSINDCLISIIGIGVACSVESPGERMDISDVTLKLCSIRNKLLRN >Manes.14G126600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10043005:10047850:-1 gene:Manes.14G126600.v8.1 transcript:Manes.14G126600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREENPFEHKSKASKFADQNQAPKPQNNVKGNSNNGSKLRSSWGSHIVKGFTADKKTKAQTTAVTSKKLPLANSDTATQKNPSHSRVKRSLAADLSCSLTATQVHPHAYPATHRRQSSGSRDLFLELDHLRSLLQESKEREFKLQAEVSELKRNGRLVDLERELERKKNEVDDLSQRIGHLESEKSVLCEQVAEMCLISEKKHEDILKREGNENSMGNLEMEVVELRRLNKELQMEKRNLSCKLSSMETQLTSLAKASESEIVAKIKAEASSLRLTNEDLCKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCTTNSDKASSLNSEEKSNESVGSYSWRSNECLEYSSAKRLILIKKLKKWPITDEDLPNLECPDKNWVHSEEGRSPRRRHSISGSKYSVEEWVSSRRRQSDGFMCGKEVEKEAESVNSQKYVLGMDQRPQIFENCLENNKIVSSLDVEKRALRVPNPPPRPSSCITSGHKEEVSRQVPPPPPPPPRPPPPPPPPPPPPKFSVSSTTSGVVQRAPQVVEFYHSLMKRDSRKESSNGGTCDASDVANVRSSMIGEIENRSSYLLAIKADVETQGEFVNSLIREVNNAVYHSIEDVVEFVKWLDDELGFLVDERAVLKHFDWPEKKADTLREAAFGYRDLKKLESEVSYYKDDPRMPCDIALKKMVTLSEKMERTVYNLLRTREPLMRNYKDFQIPTDWMLDDGIVSKIKFGSVKLAKKYMKRVAIEIQSKAALEKDPALDYMLLQGVRFAFRIHQFAGGFDAETMHAFEELRNLAHLLNKK >Manes.01G170900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35301304:35306388:1 gene:Manes.01G170900.v8.1 transcript:Manes.01G170900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMLMPALLCSFLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTQNPNSFFSRRQKRYGNIFKTHILGCPCVMISSPEAARVVLVTRAHLFKPTYPTSKEKMIGPEALFFQQGTYHSRLKKLVQASFLPCAIRGSVSEIEQIVLAFLPTWKYATINTLQQMKMYAFDVAMISVFGEKQHSEMEGIKHLYRCLEMGYNSMPLDLPGTPFHKAMKARKQLNEALRRVIEKRRHSGKQGGGLLGVLLEAKDEKLNDSQIADNIIGVIFAAHDTTASVLTWILKYLHDNQDLLEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEDVEFEGYFIPKGWKVLPLFRSIHHCADFFPQPQKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTLTYRWKAMEDEDGIQYGPFPVPKGGLPIRVTPRPRNKLAH >Manes.02G144900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11115442:11120223:-1 gene:Manes.02G144900.v8.1 transcript:Manes.02G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLHGHQSHSQRTAFAGLLVILLPIFFPRVFGPLGRASPSLFSEWIAPKPRHLPLLKAALNRHILSRQQSELWSPLPHQGWRPCTDHSTLPSLPKKSDGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFVDIFDVDHFIDVLREEISIVKEPPSEYSWSTREYYATGIRATRIKTAPVHASAHWYLENVLPVLQSYGIVAIAPFSHRLAFDKLPTNIQRLRCKVNFEALAFVPHIKSLGDTLVNRLRDPSAASGTEFLKERRGDAEKEGSGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFSDEELRSQGRCPLTPEEIGLLLAALGFSNNTRLYLASHKVYGGEARISTLKQLFPMMEDKKSLASAEELAEVEGKASLLAAVDYHVSLQSDIFISASPGNMHNALVGHRAYLNLKTIRPNMVLLGPLFLNKSMEWPEFQLAVVNGHKSRQGQIRLRKEKQSIYTYPVPDCMCQL >Manes.02G144900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11115442:11120218:-1 gene:Manes.02G144900.v8.1 transcript:Manes.02G144900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLHGHQSHSQRTAFAGLLVILLPIFFPRVFGPLGRASPSLFSEWIAPKPRHLPLLKAALNRHILSRQQSELWSPLPHQGWRPCTDHSTLPSLPKKSDGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFVDIFDVDHFIDVLREEISIVKEPPSEYSWSTREYYATGIRATRIKTAPVHASAHWYLENVLPVLQSYGIVAIAPFSHRLAFDKLPTNIQRLRCKVNFEALAFVPHIKSLGDTLVNRLRDPSAASGTEFLKERRGDAEKEGSGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFSDEELRSQGRCPLTPEEIGLLLAALGFSNNTRLYLASHKVYGGEARISTLKQLFPMMEDKKSLASAEELAEVEGKASLLAAVDYHVSLQSDIFISASPGNMHNALVGHRAYLNLKTIRPNMVLLGPLFLNKSMEWPEFQLAVVNGHKSRQGQIRLRKEKQSIYTYPVPDLLSGLKMKIVLECYSSDTLMYGAIKRPPF >Manes.02G144900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11115442:11120234:-1 gene:Manes.02G144900.v8.1 transcript:Manes.02G144900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLHGHQSHSQRTAFAGLLVILLPIFFPRVFGPLGRASPSLFSEWIAPKPRHLPLLKAALNRHILSRQQSELWSPLPHQGWRPCTDHSTLPSLPKKSDGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWQDSSSFVDIFDVDHFIDVLREEISIVKEPPSEYSWSTREYYATGIRATRIKTAPVHASAHWYLENVLPVLQSYGIVAIAPFSHRLAFDKLPTNIQRLRCKVNFEALAFVPHIKSLGDTLVNRLRDPSAASGTEFLKERRGDAEKEGSGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFSDEELRSQGRCPLTPEEIGLLLAALGFSNNTRLYLASHKVYGGEARISTLKQLFPMMEDKKSLASAEELAEVEGKASLLAAVDYHVSLQSDIFISASPGNMHNALVGHRAYLNLKTIRPNMVLLGPLFLNKSMEWPEFQLAVVNGHKSRQGQIRLRKEKQSIYTYPVPDCMCQL >Manes.12G071000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7610582:7616452:1 gene:Manes.12G071000.v8.1 transcript:Manes.12G071000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDDAKRTLNAFPVSPSVEAKLETKRRKR >Manes.12G071000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7610582:7613568:1 gene:Manes.12G071000.v8.1 transcript:Manes.12G071000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDDAKRTLNAFPVSPSVEAKVNIVSHLPSTTTGVGE >Manes.06G120500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25164181:25167947:-1 gene:Manes.06G120500.v8.1 transcript:Manes.06G120500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRTRLLSFSSIFLLFLTLSCVSTAQQQNPIKTIVVLVMENRSFDHMLGWMKKTVNPAINGVTGRECNPVSTKDPKQQSICFTDDAEFVDPDPGHSFEAVEQQVFGNGSIPTMTGFVEQALTMSPNLSETVMKGFRPESVPVYAALVKEFAVFDRWFCSIPGPTQPNRLFVYSATSHGSTSHVKKQLAQGYPQKTIFDSLHENGKNFGIYFQNIPTTLFYRNMRKLKYVFKFHQFDLKFKKDARDGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYEALRSSPQWNETLLVITYDEHGGFYDHVETPFVNVPSPDGNTGPAPYFFKFDRLGVRVPTIMVSPWIKKGTVISGPSSNSEFEHSSIPATIKKIFNLSSNFLTHRDAWAGTFEGVVGELTSPRTDCPVTLPDVAPLRSTEAKEDSSLSEFQGEIVQLAAVLNGDHFLSSFPDGMSKKMNVREAHQYVRGAVARFIRASKEAINLGADESAIVDMRSSLTTRSSVHN >Manes.03G133600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25948864:25949319:-1 gene:Manes.03G133600.v8.1 transcript:Manes.03G133600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLKAFFIIVVAVMALAISAAALTLEEEQDQEGADMDYGDETSEFSAEHRFGRILIQRKRKARARMSCKKFPRIGHAKGSPGPYCCKKKCVNVLRDRFNCGACGKKCKYNQICCNGKCVNPSFNRRHCGGCNNKCKNGSFCAFGLCNYA >Manes.15G175424.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19415568:19430405:1 gene:Manes.15G175424.v8.1 transcript:Manes.15G175424.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCGILLGRPWQFDIDALHKGKENSYIFTWNQKKITILPFGFAKHSKVEGKHTIVVSTGVQKLSGAVEKSGGTLALLVRAKGTIEDASSLPPPVKELLKEFSKIVEESSKLPPLRDIQH >Manes.07G099500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30456362:30460089:1 gene:Manes.07G099500.v8.1 transcript:Manes.07G099500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDFGVMKSQRVAEKCLDSQLWHVCAGGMVQMPPVNSKVFYFPQGHAEHAQGNVDFSHCKIPAMIPCKVSAIKYLADPETDEVFAKIRLNPLSERDVFCLEDSSDGGLFDGTASPEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILVKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGNEYPSGWNSFADYSGFLREDENKLMGRNGNGDMKGKLKAESVIQAATLAANWQPFEVVYYPRASTPEFFVRASAVRAAMQIQWCPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPSSPWRLLQVSWDEPDLLQNVKRISPWLVELVPNMPAIHLSPFSPPRKKLRLPQPPDFSLISQFPVPSFTGNPLSSNSPLCCVSDNIPAGIQGARHTPFELSSADLHFNKLHSGLFPVGFQKLNHSAPTSRIPSRNFMGITESNENISCLLTMGNPTLSSKESSETKEPHILLFGQLIFTEQQGSQRFSGDTNGNSSSDGNPEKTTNFSDGSVSVFHQSCQLENSSDEGSPRYGDHWKTNLSSETSHCKVFLESEDVDRTLDLSLVGSCEELHGKLANMFSIENSDMPSCVLYRDAAGAIKHTGDEPFGELLKTAKVLTLLSDSGSDNIGR >Manes.07G099500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30456318:30460235:1 gene:Manes.07G099500.v8.1 transcript:Manes.07G099500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDFGVMKSQRVAEKCLDSQLWHVCAGGMVQMPPVNSKVFYFPQGHAEHAQGNVDFSHCKIPAMIPCKVSAIKYLADPETDEVFAKIRLNPLSERDVFCLEDSSDGGLFDGTASPEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILVKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGNEYPSGWNSFADYSGFLREDENKLMGRNGNGDMKGKLKAESVIQAATLAANWQPFEVVYYPRASTPEFFVRASAVRAAMQIQWCPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPSSPWRLLQVSWDEPDLLQNVKRISPWLVELVPNMPAIHLSPFSPPRKKLRLPQPPDFSLISQFPVPSFTGNPLSSNSPLCCVSDNIPAGIQGARHTPFELSSADLHFNKLHSGLFPVGFQKLNHSAPTSRIPSRNFMGITESNENISCLLTMGNPTLSSKESSETKEPHILLFGQLIFTEQQGSQRFSGDTNGNSSSDGNPEKTTNFSDGSVSVFHQSCQLENSSDEGSPRYGDHWKTNLSSETSHCKVFLESEDVDRTLDLSLVGSCEELHGKLANMFSIENSDMPSCVLYRDAAGAIKHTGDEPFGELLKTAKVLTLLSDSGSDNIGR >Manes.08G000800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:315483:316564:1 gene:Manes.08G000800.v8.1 transcript:Manes.08G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAQEHKRWSDTKKMEGEDSLRTLECLRGRLLAERQASRVAKEEAQLMGNKLIELESKLREETKLRHKAEKKLKFLKKKLESLKFSLTFEGLDLSSSYENCGSSSTSSTSISGLKDTEESASKAWVSQHMNDNAQETTASIEGSSSSQDTLDSISDPNLKLKEDSPLHKSSSQYAPSCQDSKVDSHNCSTSTLEAAKGRSESDTEDHVDNSLALVPVNVAAPTKSSELKIVNKSISEVLDALRHAREKIERSIDRRNMIRVGPS >Manes.15G032000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2491330:2498258:1 gene:Manes.15G032000.v8.1 transcript:Manes.15G032000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAASMEGNGGEEVSLKDKGNEFFKAGNYLKAAALYTQAIKLDPSNPTLYSNRAAAFLQLVKLNKALADAETTITLNPQWEKGYFRKGCVLEAMEQYDDALAAFQTSLQYNPQSTEVSRKIKRISQLAKEKKRAQEMESKRSNVDMAKHLDTLKSEMSEKIASEECWKEMFSFLVETMETAVKSWHETSKVDPRVYFLLDKEKTQTDKYAPVVNIDKAFESPHTHSSCVTFLRQYAEESFSKEACLVVPKSIISYPQVWKGQGSRKWKHGQHDGFFVQLESPFLRKLWFIPSTSEKGQTLCRDPEPLDISSHEVLPRVFKEKLPNS >Manes.15G032000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2492717:2498403:1 gene:Manes.15G032000.v8.1 transcript:Manes.15G032000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAAASMEGNGGEEVSLKDKGNEFFKAGNYLKAAALYTQAIKLDPSNPTLYSNRAAAFLQLVKLNKALADAETTITLNPQWEKGYFRKGCVLEAMEQYDDALAAFQTSLQYNPQSTEVSRKIKRISQLAKEKKRAQEMESKRSNVDMAKHLDTLKSEMSEKIASEECWKEMFSFLVETMETAVKSWHETSKVDPRVYFLLDKEKTQTDKYAPVVNIDKAFESPHTHSSCVTFLRQYAEESFSKEACLVVPKSIISYPQVWKGQGSRKWKHGQHDGFFVQLESPFLRKLWFIPSTSEKGQTLCRDPEPLDISSHEVLPRVFKEKLPNS >Manes.13G084886.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11838295:11838906:1 gene:Manes.13G084886.v8.1 transcript:Manes.13G084886.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPKRNRKKDAYEGPRTYSSKLSKKGVEIICQYCLKLEYNRRACPTEDKPPTEKSASIAATTRKVEKCNEGQSSQPIGS >Manes.03G083162.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14562211:14562696:-1 gene:Manes.03G083162.v8.1 transcript:Manes.03G083162.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSIFFFILLLVVPTHEASELATQTCDKTSVKELCNSVLGTSTATDADGLVKEALAATTREGGDVSVQIAKLLTSGGATAQKGLTECGDIYKTAMDKLKAATKALNEKSYADVETKVTDSKDTAKSCEDGFSGASPITEQNTKFSNLCDLTLAIVKTIKG >Manes.14G110900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9336922:9339242:-1 gene:Manes.14G110900.v8.1 transcript:Manes.14G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTGETPSVLGIMGRFIRKRLFNILSQGPIPSHIAFILDGNRRFARKNKLQEGDGYKAGFLSLLSSLTYCYELGVKYATVYAFSIDNFRRRPSEVQYVMDLMLEKIKMIMKEESTLNSYGVGVRFKGNIKLLSEPLQIAVEKVMKATAHNSRFLLYICVAYTSTDEIVHAVEESCKENLNSTDQQELEKANGTANSVIPAEKMKPFSDIKLVELERNFYITQDVDVLIRSSGEKRLSNFLLWQATHCILYSPTALWPELGFWHIVRAVVDFQRHHSYFDKKKKQL >Manes.06G019600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3420497:3434665:1 gene:Manes.06G019600.v8.1 transcript:Manes.06G019600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAEPETTANGSQNPSEYDTQTIAITTTTTTIAEAPEADTAEPDRLTQNPELDSSSSAPQPSTATSTTTVKWPGWPGDCVFRLIVPVLKVGSIIGRKGDLIKKMCDETRARIRVLDAPVGTPDRVVLISGKEEPEAPLSPAMDAAIRIFKRVTGFPESDAKASGAAGIAFCSIRLLVASTQAINLIGKQGSLIKSIQESTGASVRILSEDEVPFYVAADERIVDLQGEALKVLKALEAIVGHLRKFLVDHSVLPLFEKNYNALISQERQAEMWSDKSLLHANAQGGSDTDYPLSAKRESLFFEVETPFESQIPPSRISLYGQDPAVTSIRSSVLNRASGPVVTQVTQTMQVPISYAEEIIGIGGNNIAYIRRTSGAIITVQESRGYPDEITVEIRGTSSQVQMAQQLIQEFLSNHREPVSSSYSMYEPGLRSVYSQMDSTRYSSSSLSGQSYGGYGSSGLGGYSSFRL >Manes.06G019600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3420497:3434665:1 gene:Manes.06G019600.v8.1 transcript:Manes.06G019600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAEPETTANGSQNPSEYDTQTIAITTTTTTIAEAPEADTAEPDRLTQNPELDSSSSAPQPSTATSTTTVKWPGWPGDCVFRLIVPVLKVGSIIGRKGDLIKKMCDETRARIRVLDAPVGTPDRVVLISGKEEPEAPLSPAMDAAIRIFKRVTGFPESDAKASGAAGIAFCSIRLLVASTQAINLIGKQGSLIKSIQESTGASVRILSEDEVPFYVAADERIVDLQGEALKVLKALEAIVGHLRKFLVDHSVLPLFEKNERQAEMWSDKSLLHANAQGGSDTDYPLSAKRESLFFEVETPFESQIPPSRISLYGQDPAVTSIRSSVLNRASGPVVTQVTQTMQVPISYAEEIIGIGGNNIAYIRRTSGAIITVQESRGYPDEITVEIRGTSSQVQMAQQLIQEFLSNHREPVSSSYSMYEPGLRSVYSQMDSTRYSSSSLSGQSYGGYGSSGLGGYSSFRL >Manes.01G050700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:9724364:9725044:1 gene:Manes.01G050700.v8.1 transcript:Manes.01G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRFISTIFFLFLLSKSYSLPHPKKGARIPCKRLAFYFHDIIYNGNNAKNATSAIVGAPAWANKTILAGQSHFGDMVVFDDPITLDNNLHSKPVGRAQGIYIYDKKEIFTAWLAFSFVFNSTEHKGSINFAGADPLMSKTRDISVIGGTGDFLMARGVATLMTDAFEGEVYFRLRVDIKLYECW >Manes.15G179912.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22537093:22537906:1 gene:Manes.15G179912.v8.1 transcript:Manes.15G179912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLVSTDPQFITCNVLLDNGDSWLVSFVYASPDISLRRRLWHSVLGFNGSEKSWLLLGDFNSFTSENEQTGYVNVHSIGASDFRQWIFDNSLIDLGFEGTPFTWSKGGINSSYKAARLDRCLCTEIWRMTFSRATVIHAPKLHSDHCPIFMNCFGVTNSSVRRFHFQAAWTAHKDFVDVVSRGWKQNTSLFDNLKSTKDSLSQWNRSEFGNIFHNKQRLIRRIDGVQKSLAIRRTRGLNYTGFNNLGRNGLFL >Manes.07G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2451464:2454138:-1 gene:Manes.07G022200.v8.1 transcript:Manes.07G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVIVGAGPAGLAASVCLLKISISNLILEKEDCCASLWRKRAYNRLSLHLAKSFSELPYMPHLPTTPKFMPKREFVRYLDSYVSEFNLQPSYNRCVVSAAFREISGENEHYVAKFLVVATGENGRPFFPAIPGLDSFPGKIVHSSEYKCGSGYEDKEVLVVGSGNSGMEISFDLANHGAYASVVVHMVTKQLIYLGMVLLKYLPMSLVDAMVTFFSRMKFGDLSKFGIHRPSKGPFTLKILTGQTPVLDVGTLDKIHSGEIKKDIDYALNKEKKE >Manes.03G176600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29954526:29956814:1 gene:Manes.03G176600.v8.1 transcript:Manes.03G176600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHREIATSLALIVVSMLWAGAMAQSSCTNVLISMSPCLNYITGNTSTPSSQCCTQLSSVVRSSPQCLCEVLKGGGSSLGININQTQALALPGACNVQTPPISSCNAASPAASPEGTTEGPTRTPGTGSKTVPSTEADGTSSGSSMKLSISLLAFLLFAASYVSTFTTY >Manes.03G176600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29955086:29955672:1 gene:Manes.03G176600.v8.1 transcript:Manes.03G176600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHREIATSLALIVVSMLWAGAMAQSSCTNVLISMSPCLNYITGNTSTPSSQCCTQLSSVVRSSPQCLCEVLKGGGSSLGININQTQALALPGACNVQTPPISSCNAASPAASPEGTTEGPTRTPGIA >Manes.11G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11032170:11034075:1 gene:Manes.11G075500.v8.1 transcript:Manes.11G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSVGEIIKAEKAQGPATILAIGTATPSNCVNQVDYPDYYFRITKSEHMTDLKEKFKRICDKSKVKKRYMHLNEEILKENPNMCAYWEPSLDARQDIAAVEVPKLGKEAAAKAIKEWGQPKSKITHLIFCTTSVIDIPGCDYQLTKLLGLHPAVKRFMIYHQGCYASGMALRVAKDLAENNKGARVLVVCSEIRVATFRGPSETHLDSLVGQAIFSDGAGAIIIGSDPDIPIEYPLFQLISAAQTIIPDSDGAIHGHLREVGLTVHLLKDIPRLISKNIGEILEEAFSPIGISDWNSIFWIAHPGGSAILDQIEVKLSLDPEKLNASKHVLSEYGNMSSASVLFILDEMRKKSLEEGKATTGEGLEWGVLFGFGPGITVETVVLHSVRI >Manes.05G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9376598:9379230:1 gene:Manes.05G100600.v8.1 transcript:Manes.05G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVGNMGFQISDELLGTFVPIILYWVYSGLYLGLGYFENYRLHSKQDEDVKNLVSKTTVVKGVILQQSIQAVVAILLFTVTADDSQAAINQRTSLMVLARQFVMAMLVLDTWQYFMHRYMHHNKFLYRHIHSQHHRLVVPYAFGALYNHPLEGLLLDTIGGALSFLLSGMSPRSSIFFFSFATIKTVDDHCGLWLPGNLFHAFFKNNTAYHDIHHQLYGSKYNFSQPFFVMWDRILGTYMPYTLEKRVEGGFEARPAKEYKDD >Manes.04G158700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35313537:35315676:1 gene:Manes.04G158700.v8.1 transcript:Manes.04G158700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFWDREVKAKFGKIRDMAGAFPVIKVAALSGSLRKASFSSGVIRSAIELSNEWVNGIQIEQIDISELPLLNSDLIVNGTYPLVVEAFRQKIVDADCFLFVSPENNYSFSAPLKNAIDWASIPPNSWGDKAAAIVSVGGDFGGGRSQYPLRQLGVFLDIHFINKPEVFLNVFQPPAKFDDDGNLTDAEGKERLKEILVALRDFTLRLHK >Manes.04G158700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35314283:35315734:1 gene:Manes.04G158700.v8.1 transcript:Manes.04G158700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFPVIKVAALSGSLRKASFSSGVIRSAIELSNEWVNGIQIEQIDISELPLLNSDLIVNGTYPLVVEAFRQKIVDADCFLFVSPENNYSFSAPLKNAIDWASIPPNSWGDKAAAIVSVGGDFGGGRSQYPLRQLGVFLDIHFINKPEVFLNVFQPPAKFDDDGNLTDAEGKERLKEILVALRDFTLRLHK >Manes.04G158700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35313509:35315734:1 gene:Manes.04G158700.v8.1 transcript:Manes.04G158700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFWDREVKAKFGKDMAGAFPVIKVAALSGSLRKASFSSGVIRSAIELSNEWVNGIQIEQIDISELPLLNSDLIVNGTYPLVVEAFRQKIVDADCFLFVSPENNYSFSAPLKNAIDWASIPPNSWGDKAAAIVSVGGDFGGGRSQYPLRQLGVFLDIHFINKPEVFLNVFQPPAKFDDDGNLTDAEGKERLKEILVALRDFTLRLHK >Manes.15G155300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12851863:12856072:1 gene:Manes.15G155300.v8.1 transcript:Manes.15G155300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSFPLEITYETFGWIAFFSWSISFYPQVILNFRRKSVVGLNFDFVLLNLTKHSSYLIYNASLYFSSAIQEQYFDKYGYGEMIPVAANDVAFSIHAVLLTAITLFQIVIYDHGNQKVSKISVAIVCAVWIVAAICFFIALPKHSWLWLISIFNSIQVFMTVIKYIPQAVMNFMRKSTDGFSIGNILLDFLGGVTNYAQMAVQSIDQNSWVNFYGNIGKTLLSLVSVFFDLLFMCQHFILYPAKKAHISLKPNKAGAEPLIKSADDPPSENV >Manes.02G185200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14811746:14816810:-1 gene:Manes.02G185200.v8.1 transcript:Manes.02G185200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVAASATANASSVPATPTAAVSAVTGVAVPFVNASLYVGDLEHNVNEGQLYDLFSQVAQVVSVRVCRDQAKRSSLGYAYVNFSNHQEAANAMEALNFTPLNGKPIRIMFSHRDPSIRKSGYANVFIKNLDASIDNKALHDTFAVFGAVLSCKVAIDNNGQTKGYGFVQFENEDAAQNAIKRLNGMLLNDKQVYVGLFVRRQERIQGNGSPKFTNVYVKNLSETTTDEDLKKIFGAYGTITSAVVMKDQDGKSRCFGFVNFQNPDSAAAAAEKLNGATINDDKVLYVGRAQRKVEREAELKAKFEQERISRYEKLKGANLYLKNLDENINDEKLKDLFAEFGSITSCKVMLDHQGLSKGSGFVAFSTPEEASRALNEFNGKMIGRKPLYVAVAQRKEERKVRLQAQFAQIRAPGGLSPLPSGIPGYHPGAPRLAPQQLYFGQGTPGMIPPQHAGYGFQQQLMPGVRPGVTPNYIMPYHHIQRQGQPGQRMGMRRGGNPHQMQQHQLLHRNNNQGLRYMGNARNGRDSSSVPQGLVGPVMPLPFEVSGNPIDIQQSVTISTLASALASASPETRNEMLGDHLYPLVKRLQPDHVAKVTGMLLEMDQTEVLHLIESPDALKKKVAEAMQVLREAGASPVGDQLGSLGLTE >Manes.02G185200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14812250:14818204:-1 gene:Manes.02G185200.v8.1 transcript:Manes.02G185200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVAASATANASSVPATPTAAVSAVTGVAVPFVNASLYVGDLEHNVNEGQLYDLFSQVAQVVSVRVCRDQAKRSSLGYAYVNFSNHQEAANAMEALNFTPLNGKPIRIMFSHRDPSIRKSGYANVFIKNLDASIDNKALHDTFAVFGAVLSCKVAIDNNGQTKGYGFVQFENEDAAQNAIKRLNGMLLNDKQVYVGLFVRRQERIQGNGSPKFTNVYVKNLSETTTDEDLKKIFGAYGTITSAVVMKDQDGKSRCFGFVNFQNPDSAAAAAEKLNGATINDDKVLYVGRAQRKVEREAELKAKFEQERISRYEKLKGANLYLKNLDENINDEKLKDLFAEFGSITSCKVMLDHQGLSKGSGFVAFSTPEEASRALNEFNGKMIGRKPLYVAVAQRKEERKAQFAQIRAPGGLSPLPSGIPGYHPGAPRLAPQQLYFGQGTPGMIPPQHAGYGFQQQLMPGVRPGVTPNYIMPYHHIQRQGQPGQRMGMRRGGNPHQMQQHQLLHRNNNQGLRYMGNARNGRDSSSVPQGLVGPVMPLPFEVSGNPIDIQQSVTISTLASALASASPETRNEMLGDHLYPLVKRLQPDHVAKVTGMLLEMDQTEVLHLIESPDALKKKVAEAMQVLREAGASPVGDQLGSLGLTE >Manes.02G185200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14811746:14816810:-1 gene:Manes.02G185200.v8.1 transcript:Manes.02G185200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVAASATANASSVPATPTAAVSAVTGVAVPFVNASLYVGDLEHNVNEGQLYDLFSQVAQVVSVRVCRDQAKRSSLGYAYVNFSNHQEAANAMEALNFTPLNGKPIRIMFSHRDPSIRKSGYANVFIKNLDASIDNKALHDTFAVFGAVLSCKVAIDNNGQTKGYGFVQFENEDAAQNAIKRLNGMLLNDKQVYVGLFVRRQERIQGNGSPKFTNVYVKNLSETTTDEDLKKIFGAYGTITSAVVMKDQDGKSRCFGFVNFQNPDSAAAAAEKLNGATINDDKVLYVGRAQRKVEREAELKAKFEQERISRYEKLKGANLYLKNLDENINDEKLKDLFAEFGSITSCKVMLDHQGLSKGSGFVAFSTPEEASRALNEFNGKMIGRKPLYVAVAQRKEERKAQFAQIRAPGGLSPLPSGIPGYHPGAPRLAPQQLYFGQGTPGMIPPQHAGYGFQQQLMPGVRPGVTPNYIMPYHHIQRQGQPGQRMGMRRGGNPHQMQQHQLLHRNNNQGLRYMGNARNGRDSSSVPQGLVGPVMPLPFEVSGNPIDIQQSVTISTLASALASASPETRNEMLGDHLYPLVKRLQPDHVAKVTGMLLEMDQTEVLHLIESPDALKKKVAEAMQVLREAGASPVGDQLGSLGLTE >Manes.02G185200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14812250:14818204:-1 gene:Manes.02G185200.v8.1 transcript:Manes.02G185200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVAASATANASSVPATPTAAVSAVTGVAVPFVNASLYVGDLEHNVNEGQLYDLFSQVAQVVSVRVCRDQAKRSSLGYAYVNFSNHQEAANAMEALNFTPLNGKPIRIMFSHRDPSIRKSGYANVFIKNLDASIDNKALHDTFAVFGAVLSCKVAIDNNGQTKGYGFVQFENEDAAQNAIKRLNGMLLNDKQVYVGLFVRRQERIQGNGSPKFTNVYVKNLSETTTDEDLKKIFGAYGTITSAVVMKDQDGKSRCFGFVNFQNPDSAAAAAEKLNGATINDDKVLYVGRAQRKVEREAELKAKFEQERISRYEKLKGANLYLKNLDENINDEKLKDLFAEFGSITSCKVMLDHQGLSKGSGFVAFSTPEEASRALNEFNGKMIGRKPLYVAVAQRKEERKVRLQAQFAQIRAPGGLSPLPSGIPGYHPGAPRLAPQQLYFGQGTPGMIPPQHAGYGFQQQLMPGVRPGVTPNYIMPYHHIQRQGQPGQRMGMRRGGNPHQMQQHQLLHRNNNQGLRYMGNARNGRDSSSVPQGLVGPVMPLPFEVSGNPIDIQQSVTISTLASALASASPETRNEMLGDHLYPLVKRLQPDHVAKVTGMLLEMDQTEVLHLIESPDALKKKVAEAMQVLREAGASPVGDQLGSLGLTE >Manes.14G054900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4700272:4702216:1 gene:Manes.14G054900.v8.1 transcript:Manes.14G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLFSCDFSENETMGSISSLDLSLSAMAYYPYLYLPTLENNASICILPFSEDIREHKRIKRTLSSAESLGSNNNGFYCAGSGNSDCSSISRSNSSNSLNSLPRLHFRDHIRTYTQRYLAAEAIEEATEAMENTDEGGDEEDGSTDGMRLVQLLIACAEAVACRDKSHASTLLSELRRNALVFGSSFQRVASCFVQGLADRLSLVQPLGTVGFTASMMNIMDISSDKKEEALSLVYEICPHIQFGHFVANSSILEAFEGESFVHVVDLGMTLGLPHGHQWRQLIQSLASRAGKPPRRLRITGVGLCVGRFQTIGDELVEYAKELGINLEFSVVESNLENLRRDDIKVFDGEVLVVNSILQLHCVVKESRGALNSVLQIIHALSPKVLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDTMLPRYDTRRAKMEQFYFAEEIKNIVSCEGPARVERHEKMDQWRRRMSRAGFQAAPIKMMAQAKLWLAKNKVCEGYTVAEEKGCLVLGWKSKPIIAASCWKC >Manes.10G092400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23811408:23815154:1 gene:Manes.10G092400.v8.1 transcript:Manes.10G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLTSIASEEINLVYGFKNDLRKLQTTLSTIKAILIDADEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRVVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIIRKEVGVDMRIKNSWRETDSFVLKSEIVGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSKEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNRIWSQLRKYLMVGAIGSRILVTTRSTRVALAMGVDCPYALAGLTEDQSWDLFEMLAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSGESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYKFDKEDLIQLWMAQGYIQSRSQSKDENLEEIGEGYFSELLFRSFFQKEKYCYKMHDLISDLAQSMAGDSCFVLDDNTKHVPNRIQHVFSGNLSFEECFKQLKNRGLRTLYCKYYGDKLSLNLDSIFSNCRSIRALSLRCNINKLPDSIGKLKHLRYLGLFWSHEISSLPNSICNLYNLQTLILEDCKRFEKLPTEMRKLICLRQLIITGCDRLEFMPLGLGRLTNLQTLSTFIVGTDEGRRSSSLNELNSLTQLRGTISITGLENVKNAALKSNQVNLKEKKHLHYLRLEWGDSDGGNSELLLDNLHPHPKLKRLDVNCYGGLRFSNWLSSITNLVDINLDKCPKCEHLPPLNNLPHLESLYLNQFDSLEYISDEDNLFSALSASTTTTFFPSLKILNIYFCRNLKGWWRTYMEAKMVPQFPCLSYLTISHCPNLTLMPTFPSLDMRLDLSYVSIRPLQWTLQMAAMASALPSASSSVTAPFSKLKTLRLQGIKNMEISDEDEHGIFKWLCLVSLRRLTLSNLSNLVSLPRELQYVTTLQRLSISSCSNLRALPDWIGNLTALENLNIDDCPELESLSRGMRQITTLQRLSIRGCPRLSERCGHNTAADWPNISHIPNVRIDERDIQKEGRYLL >Manes.05G161300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27411656:27412876:1 gene:Manes.05G161300.v8.1 transcript:Manes.05G161300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRNFKLKLSRVVPSFQICRSKNSSDNPIPSIHRVSPLNRKVLDFNYPKFPDQPPSTPDCKRRISPKKTSVGCRFESRSLADYLTDYSLESLDFSREKDAYVRAARVQRFMQSLSFSDESIGNISPVKVTADQKNKCNERKKVSNKDDISISSENGGCSFSNENEENEVETETLLFSSTSFSYDSSYDFCYPSMDRITKKTDNRNKKTSKMKIQKLNRLASKNWKSSPEITSPMRASVLRRMVSCTADGKVKESVAVVKKSEDPYQDFKRSMLEMILEKQMFEEKDLEELLQCFLSLNSRQYHGVIVEAFSEIWEILFCDSSPKN >Manes.14G081200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6776445:6780789:-1 gene:Manes.14G081200.v8.1 transcript:Manes.14G081200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFPSKHSLRSIEAKFSNHVLLTSLSSSSDLSTIILDSKTPKQALEFFTSILKQNPKNPTKDLRLYSAIIHVLTGARMYTTARCLTKDLIQTLLQSCKPHRVSSLVFSALNQLEGSKFTPNVFGVLIIAFSEMGLLDEALWVYRKTGVLPAVQACTALLNGLVKKVNFHSMWELYKDMISHGLVPSVVTYSVLVNAYCSKGDILKARSLVNEMVKKGIEPTVVIYTTLIRGLCNESNFVEAESMFRQMKESGVLPNLYTYNVLMDGYGKIANVKLALHMYQDMLNNGLLPNIVTFGILIDALCKVGELLAARGFFSQMAKLGVIPNVLVYNSLIDGHSKAGSQSKAMDLLLEMERLKILPDVFTYSILIKSVCSLGTVEEADNLLKIMEKKGVQPNSVIYNSLINGYCKKGNIEKALEVCSQMTKKALIDGHCKNGDMEEAIRLYKHMLKAGLSPNVFTVSCLINGLCKAGRTSDAIEFFLAKTRGNTTGNQVHEMDSIFCSPNFVIYSSLIQALCKEGQIFKASKFFSDMRCNGLRPDALVYAVMLQGHLNAKHMIDVMMLYADMIKMGVVPNQVSYWILSGVMERIGI >Manes.14G081200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6777239:6780430:-1 gene:Manes.14G081200.v8.1 transcript:Manes.14G081200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFPSKHSLRSIEAKFSNHVLLTSLSSSSDLSTIILDSKTPKQALEFFTSILKQNPKNPTKDLRLYSAIIHVLTGARMYTTARCLTKDLIQTLLQSCKPHRVSSLVFSALNQLEGSKFTPNVFGVLIIAFSEMGLLDEALWVYRKTGVLPAVQACTALLNGLVKKVNFHSMWELYKDMISHGLVPSVVTYSVLVNAYCSKGDILKARSLVNEMVKKGIEPTVVIYTTLIRGLCNESNFVEAESMFRQMKESGVLPNLYTYNVLMDGYGKIANVKLALHMYQDMLNNGLLPNIVTFGILIDALCKVGELLAARGFFSQMAKLGVIPNVLVYNSLIDGHSKAGSQSKAMDLLLEMERLKILPDVFTYSILIKSVCSLGTVEEADNLLKIMEKKGVQPNSVIYNSLINGYCKKGNIEKALEVCSQMTKKGIEPNFITFSTLIGGYCKVGKMESAMGLYSEMIIKSLVPDVVTFTALIDGHCKNGDMEEAIRLYKHMLKAGLSPNVFTVSCLINGLCKAGRTSDAIEFFLAKTRGNTTGNQVHEMDSIFCSPNFVIYSSLIQALCKEGQIFKASKFFSDMRCNGLRPDALVYAVMLQGHLNAKHMIDVMMLYADMIKMGVVPNQVSYWILSGVMERIGI >Manes.03G031097.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2562478:2566079:1 gene:Manes.03G031097.v8.1 transcript:Manes.03G031097.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKISTFGGFGGPTEVTCGGNALKFYASVRLNIRRVGFIKKGEDTTGSQVQVKIVKNKLAPPFKTVQFELEFGKGICRESELIELGVKHKYLVRAGSFYNYNGQSFRGKEALKRFQAENDVAREELMMQLRQKLLDVGSSKDEGAEDGEPVEEIVSPDSTDEEAVTAVEA >Manes.08G060602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7679125:7680984:1 gene:Manes.08G060602.v8.1 transcript:Manes.08G060602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSIEIASSLVRPFSLEEIKLAVWDCDRTLSDGS >Manes.02G003325.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:490448:498917:1 gene:Manes.02G003325.v8.1 transcript:Manes.02G003325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGGSQMTYSANPNDYRLLEEVGYGASATVYRAIYLPFNEVVAVKCLDLDRCNSNLDDIRREAQTMRLIDHPNVIRAFCSFVVDRNLWVVMPFMDEGSCLHLMKIAHPDGFEEAAICSILKETLKALDYLHRQGHIHRDVKAGNILLNSNGVVKLADFGVSACMFDTGDRQRARNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSCFKHAKPPELSVKKLFADLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDLEDLKAQASLVRDDDDDMPDTREEDECMKPFGSYKLVSDGQSSSVKLNSNSEIQQVECRTHTDADELLLAESLIRKGKLLESDTLQTGTLEKSGTKSEATNDEKASSSERDMTQAKAKMVKGRQTQSGPLVPGAVLGHSLSDKGRFWERSEIDNQPTTEKTTCEVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDEKSKANLVQIKGRFSVTSENLDLVKGSPLRKSASVGDWIFESKQTSTSLSPKEISNNNLPASFLMPHLHNLFQQTSIQQDLIMNLFNSLQPADALDVAQNGKLPPLPRSSENNGSVDAAPSERERMLLMKISELQTRMINLTDELNSERLRYAQLQRQLKSISGQEENGDRRELDA >Manes.02G003325.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:490448:498917:1 gene:Manes.02G003325.v8.1 transcript:Manes.02G003325.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGGSQMTYSANPNDYRLLEEVGYGASATVYRAIYLPFNEVVAVKCLDLDRCNSNLDDIRREAQTMRLIDHPNVIRAFCSFVVDRNLWVVMPFMDEGSCLHLMKIAHPDGFEEAAICSILKETLKALDYLHRQGHIHRDVKAGNILLNSNGVVKLADFGVSACMFDTGDRQRARNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSCFKHAKPPELSVKKLFADLPPLWNRVKALQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDLEDLKAQASLVRDDDDDMPDTREEDECMKPFGSYKLVSDGQSSSVKLNSNSEIQQVECRTHTDADELLLAESLIRKGKLLESDTLQTGTLEKSGTKSEATNDEKASSSERDMTQAKAKMVKGRQTQSGPLVPGAVLGHSLSDKGRFWERSEIDNQPTTEKTTCEVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDEKSKANLVQIKGRFSVTSENLDLVKDIPLSTVPRRSSQGSPLRKSASVGDWIFESKQTSTSLSPKEISNNNLPASFLMPHLHNLFQQTSIQQDLIMNLFNSLQPADALDVAQNGKLPPLPRSSENNGSVDAAPSERERMLLMKISELQTRMINLTDELNSERLRYAQLQRQLKSISGQEENGDRRELDA >Manes.12G071600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7685561:7694071:1 gene:Manes.12G071600.v8.1 transcript:Manes.12G071600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLGHCNGVFQYPKLSPLVHLRRERTPTKMSLQGQSVPSRTQRIMESIAVSGEVGGAGGAYSYNALKRLDKIWSSICSTQTVSQEPPQVVSSFPHVSSHSDLTGKKVDRFDVIVCGGTLGIFIATTLSAKGLRVGIVERNILKGREQEWNISKKELLELVEVGILTEDDIEQATAATFNPNRCGFEDKGEIWVEDILNLGVSPVKLIEVMKKRFISLGGVILEGYSVSNICVYEDAAVLQLVEGNTLSSRLIIDAMGNFSPVVKQIRRGRKPDGVCLVVGSCARGFKENSTSDVIYSSSSVKKIGDSELQYFWEAFPAGSGPIDRTIYMFTYVNPQPGLPKLEDLLEDYWDLMPKYQGVSLENLEILRVVYGIFPTYRDRHAIHELKFYALLELILSIIVQFMEQYFYAFHELVFKSNILNS >Manes.12G071600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7685561:7694071:1 gene:Manes.12G071600.v8.1 transcript:Manes.12G071600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLGHCNGVFQYPKLSPLVHLRRERTPTKMSLQGQSVPSRTQRIMESIAVSGEVGGAGGAYSYNALKRLDKIWSSICSTQTVSQEPPQVVSSFPHVSSHSDLTGKKVDRFDVIVCGGTLGIFIATTLSAKGLRVGIVERNILKGREQEWNISKKELLELVEVGILTEDDIEQATAATFNPNRCGFEDKGEIWVEDILNLGVSPVKLIEVMKKRFISLGGVILEGYSVSNICVYEDAAVLQLVEGNTLSSRLIIDAMGNFSPVVKQIRRGRKPDGVCLVVGSCARGFKENSTSDVIYSSSSVKKIGDSELQYFWEAFPAGSGPIDRTIYMFTYVNPQPGLPKLEDLLEDYWDLMPKYQGVSLENLEILRVVYGIFPTYRDSPMPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHIRRLSTGIYDAIDGDFLDADSLSLLNPYMPNLSASWLFQKAMSAKQNSNVSPEFINELLHVNFQSMQKLGDPVLRPFLQVIWMLYS >Manes.12G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7685561:7694071:1 gene:Manes.12G071600.v8.1 transcript:Manes.12G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLGHCNGVFQYPKLSPLVHLRRERTPTKMSLQGQSVPSRTQRIMESIAVSGEVGGAGGAYSYNALKRLDKIWSSICSTQTVSQEPPQVVSSFPHVSSHSDLTGKKVDRFDVIVCGGTLGIFIATTLSAKGLRVGIVERNILKGREQEWNISKKELLELVEVGILTEDDIEQATAATFNPNRCGFEDKGEIWVEDILNLGVSPVKLIEVMKKRFISLGGVILEGYSVSNICVYEDAAVLQLVEGNTLSSRLIIDAMGNFSPVVKQIRRGRKPDGVCLVVGSCARGFKENSTSDVIYSSSSVKKIGDSELQYFWEAFPAGSGPIDRTIYMFTYVNPQPGLPKLEDLLEDYWDLMPKYQGVSLENLEILRVVYGIFPTYRDSPMPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHIRRLSTGIYDAIDGDFLDADSLSLLNPYMPNLSASWLFQKAMSAKQNSNVSPEFINELLHVNFQSMQKLGDPVLRPFLQDVIQLEPLAKTLGLVMLTKPQILPSIFKQVGIPVLLDWSGHFFMLSYYTFLSSFADPVIRPLLHVFPSKIQFEWKRHLEAWKYGSGLDYKL >Manes.12G071600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7685561:7694071:1 gene:Manes.12G071600.v8.1 transcript:Manes.12G071600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLGHCNGVFQYPKLSPLVHLRRERTPTKMSLQGQSVPSRTQRIMESIAVSGEVGGAGGAYSYNALKRLDKIWSSICSTQTVSQEPPQVVSSFPHVSSHSDLTGKKVDRFDVIVCGGTLGIFIATTLSAKGLRVGIVERNILKGREQEWNISKKELLELVEVGILTEDDIEQATAATFNPNRCGFEDKGEIWVEDILNLGVSPVKLIEVMKKRFISLGGVILEGYSVSNICVYEDAAVLQLVEGNTLSSRLIIDAMGNFSPVVKQIRRGRKPDGVCLVVGSCARGFKENSTSDVIYSSSSVKKIGDSELQYFWEAFPAGSGPIDRTIYMFTYVNPQPGLPKLEDLLEDYWDLMPKYQGVSLENLEILRVVYGIFPTYRDSPMPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHIRRLSTGIYDAIDGDFLDADSLSLLNPYMSMQKLGDPVLRPFLQDVIQLEPLAKTLGLVMLTKPQILPSIFKQVGIPVLLDWSGHFFMLSYYTFLSSFADPVIRPLLHVFPSKIQFEWKRHLEAWKYGSGLDYKL >Manes.03G200900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31700109:31701705:1 gene:Manes.03G200900.v8.1 transcript:Manes.03G200900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METITAELSKPISSCSSNFNFPAATSTSRSVTINGSHEFKINGYSLTKGIGIGNSLSSDAFIAGGYQWAIHFYPDGRAVEDHPRYVSLFIALESEDSDVRALFEISLIDQSGKGRNEVHTQFGRIGTNLESGPYTIKCRGSMWGFKRFFRRDLLEKSDYLKDDTLVIRCRVGVVVTYTEGVPLPDPDIGHNFGKSLESGSRNDSDVNFQVDGEEFAAQKLKYQHTQSADSWSSSSVGNWKKLKRINFEEEEETTEESDDAATRIFIFEPDSR >Manes.02G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10118428:10121836:1 gene:Manes.02G133000.v8.1 transcript:Manes.02G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDTKNSSQQKPSNAEPESENSYASFQGLLALARITGSSADEARGACKRCGRVGHLAYQCRNFFSVKDDKEKDPEAVQVAALSGLENMMGNGKFAAVSKESEEEDSDTSDSEADSEIERIIAERYGKRSSSKKRSSKKENSDEGSDSDSGERKKRGRSRKRSSKKRGTNDSENEDEGKMKKRRRRKRDESSEDEEERQHYKRKNRKEKRRRRRRRRISHSYTDDSQSDASDDSARRQRRKSRKSASASDSDVSGSDDSRVGRVTKRHDKRVKSATMRRMGHPLLVKGSMG >Manes.02G133000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10118466:10121679:1 gene:Manes.02G133000.v8.1 transcript:Manes.02G133000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDTKNSSQQKPSNAEPESENSYASFQGLLALARITGSSADEARGACKRCGRVGHLAYQCRNFFSVKDDKEKDPEAVQVAALSGLENMMGNGKFAAVSKESEEEDSDTSDSEADSEIERIIAERYGKRSSSKKRSSKKENSDEGSDSDSGERKKRGRSRKRSSKKRGTNDSENEDEGKMKKRRRRKRDESSEDEEERQHYKRKNRKEKRRRRRRRRISHSYTDDSQSDASDDSARRQRRKSRKSASASDSDVSGSDDSRVGRVTKRHDKRVKSATMRRMGHPLLVKGSMG >Manes.02G133000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10118783:10121719:1 gene:Manes.02G133000.v8.1 transcript:Manes.02G133000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDTKNSSQQKPSNAEPESENSYASFQGLLALARITGSSADEARGACKRCGRVGHLAYQCRNFFSVKDDKEKDPEAVQVAALSGLENMMGNGKFAAVSKESEEEDSDTSDSEADSEIERIIAERYGKRSSSKKRSSKKENSDEGSDSDSGERKKRGRSRKRSSKKRGTNDSENEDEGKMKKRRRRKRDESSEDEEERQHYKRKNRKEKRRRRRRRRISHSYTDDSQSDASDDSARRQRRKSRKSASASDSDVSGSDDSRVGRVTKRHDKRVKSATMRRMGHPLLVKGSMG >Manes.02G133000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10119764:10121836:1 gene:Manes.02G133000.v8.1 transcript:Manes.02G133000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDTKNSSQQKPSNAEPESENSYASFQGLLALARITGSSADEARGACKRCGRVGHLAYQCRNFFSVKDDKEKDPEAVQVAALSGLENMMGNGKFAAVSKESEEEDSDTSDSEADSEIERIIAERYGKRSSSKKRSSKKENSDEGSDSDSGERKKRGRSRKRSSKKRGTNDSENEDEGKMKKRRRRKRDESSEDEEERQHYKRKNRKEKRRRRRRRRISHSYTDDSQSDASDDSARRQRRKSRKSASASDSDVSGSDDSRVGRVTKRHDKRVKSATMRRMGHPLLVKGSMG >Manes.03G099000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22038595:22054677:-1 gene:Manes.03G099000.v8.1 transcript:Manes.03G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPDDIFKDEEDDSDSEFYQERESSKEFVVYLVDASPKMFNTTCPGEDQKNETHFHIAVSCIAQSLKTQIINRSSDEVAICFFNTREKRNLQDLNGVFVYNVAEREYLDRPTARLIKDFDCIEESFMKDIGSQCGIVSGSRENSLYNALWAAQALLRKGSSRTADKRILLFTNEDDPFGSMKGAAKADMKKTTLQRAKDAQDLGISIELLPLSQLDEGFNVSLFYAELMGLEDDDVAQFMPSSGQKLEDMKDQLRKKIFAKRIVKRITLFIANGLSIELNTYALMRPTTPGAIMWLDSVTNRPLKTERSFICADTGALMHEPTKLFQSYKNENIMFSMEELSEVKRVPIGHLRLLGFKPLSCLKDYHNLRPSTFVFPSDKEVVGSTCIFIALHRSMLRLQRFAVAFCGTSSHPRLVALIAQDEIVSAGGQVEPPGMHMIYLPYSDDVRHIEEFHSESNVSVPRATDGQIKKAADLINRIDLKDFSVCQFANPALQRHYATLQALALEEDEIPDCKDETLPDEEGMARPRVVKAIEEFKLSVYGDKYDEESSSMGNGKANEASRKRKAAAENAANESANYDWADLADNGQLKDLTVPELKLYLTANNLPVSGKKEALVSRILTHMGK >Manes.11G152174.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31823427:31824102:-1 gene:Manes.11G152174.v8.1 transcript:Manes.11G152174.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSLRRPAEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPIRPSIFHRVRIASPLHRNHSKTFIISPWLNYECFRVVAVVAVEWGGAVENGGSSGDEQEKYLALLGDLSHSTVSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNIKIKFNSVCDVCGGLRYVLCAQ >Manes.07G055042.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:8024135:8026351:1 gene:Manes.07G055042.v8.1 transcript:Manes.07G055042.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKNKEEEAPKISLRSKINMTNTAYVDLFSGQSPRPNIFSSSSRQSPRPSHRPIYTNTTSIMNRPLNPMSSALIIRPSSPQPKTPSPQFTLLNKFTPLQPQELITPSTFKQAVIGQSSSPNPLPTKPLPTQIEYSYKPIEDIILTIEPEYWTQNPNLNVYQICNTIFPKTHYYIPDNFSKNQSFYESILIKTNSIIMHNNFDPHVTNKIRYCKVRIIKVLTISDWGQEPHKNKDISLSNGQLTKFNYYDYQLAWERTFLKQNDQLSISFFFYISDDFSYPIPYWFHQWWNKFGIDQSIILEQIQLAQNQFFENAKLPDSILISPKWLIYSHLFHIPWIHMSEYQIKDQTLDNFPIPNLIRKYKIKWWSKTDLDNCNPKAVEQFLSSQPQYCKTLSPIQITKQETFLAKKQQIMAQMAKCVSEEEYDKLLEELKETRSSTVSPSPVDLADDNDDFFTQEM >Manes.12G087600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13147405:13149844:1 gene:Manes.12G087600.v8.1 transcript:Manes.12G087600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAYVEKGRKGEMGLVGVQENGNREMDVSLGGKNKYKRVDPEFTEKLDDASNGQDRSSITRKYVLACAIFASLNSVLLGYGVMSGAIIFIQEDLNITEVQEEVLVGCLSIVSLFGSLAGGRTSDAIGRKWTMGLAAIVFQTGAAIMTLAPSFQVLMIGRFLAGIGIGFGVMIAPVYIAEISPTVARGSFTSFPEIFINLGILLGYVSNYAFANLPVHTGWRVMLAVGIMPSIFIAVALFIIPESPRWLVMQDRVEEARSVLLKTNENEREVEERLEEIQKAAGNTNGDKYEEKAVWRELLSPSPALRRMLLTGFGIQCFQQITGIDATVYYSPEIFQGAGIQDNSKLLAATVAVGISKTAFILVAIFLIDKLGRKPLLYLSTIGMTICLFSLGVTLTLLGGQVGVALSILFVCANVAFFSVGIGPICWVLTTEIFPLRLRAQATALGAVGNRVCSGLIAMSFLSVSRAISVGGTFVIFSAISALSVVFVYALVPETKGKSLEQIEQLFQNEHRWQGGEVELGDVEQLVQKE >Manes.12G087600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13147405:13149844:1 gene:Manes.12G087600.v8.1 transcript:Manes.12G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAYVEKGRKGEMGLVGVQENGNREMDVSLGGKNKYKRVDPEFTEKLDDASNGQDRSSITRKYVLACAIFASLNSVLLGYDVGVMSGAIIFIQEDLNITEVQEEVLVGCLSIVSLFGSLAGGRTSDAIGRKWTMGLAAIVFQTGAAIMTLAPSFQVLMIGRFLAGIGIGFGVMIAPVYIAEISPTVARGSFTSFPEIFINLGILLGYVSNYAFANLPVHTGWRVMLAVGIMPSIFIAVALFIIPESPRWLVMQDRVEEARSVLLKTNENEREVEERLEEIQKAAGNTNGDKYEEKAVWRELLSPSPALRRMLLTGFGIQCFQQITGIDATVYYSPEIFQGAGIQDNSKLLAATVAVGISKTAFILVAIFLIDKLGRKPLLYLSTIGMTICLFSLGVTLTLLGGQVGVALSILFVCANVAFFSVGIGPICWVLTTEIFPLRLRAQATALGAVGNRVCSGLIAMSFLSVSRAISVGGTFVIFSAISALSVVFVYALVPETKGKSLEQIEQLFQNEHRWQGGEVELGDVEQLVQKE >Manes.16G025700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2708034:2710156:-1 gene:Manes.16G025700.v8.1 transcript:Manes.16G025700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFKKELVKPPQELNSPASLASSRKPKLAPEILKDFESANPSNPSNTFSVSFGDVASLAYIPPQNPYSINQRLFCGLNDIYCIFLGSLNNLCSLNRQYGLSKGTNEAMLVIEAYRTLRDRGPYPAHQVLKDLDGTFGFVIYDTKAGHVFAALGANEGVGLFWGIAGDGSVVISDNLEIIKGSCAKSFAPFPSGCMFHSEQGLMSFEHPMSKMKAMPRIDSEGAMCGANFKADNKSRISSMPRVGSEANWALWGSQA >Manes.11G138200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30349925:30358235:-1 gene:Manes.11G138200.v8.1 transcript:Manes.11G138200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNNSSNKQSSLIPPLPKDPRGSLEVFNPSSTYSNRPINPAFRSNPTWQSWVEQQPHDNSKSDQTNLSSKSDRTEEITSWMALKDYTPQTSLPLLSSPSESTTTTTKLSGETGLAAKRAAEWGLVLKTDTETGKPQGVGVRTSGGDEPTSNKPGTSRRNSNNSVRSSGELSDDGGRDSKGIPRMSEDIKDALSSFQQTFVVSDATKPDLPIMYASAGFFKMTGYTSKEVVGRNCRFLQGAGTDPEDVAKIRETLQEGKSYCGRLLNYKKDGTPFWNLLTIAPIKDESGKVLKYIGMQVEVSKHTEGSKDKTLRPNGLPESLIRYDARQKDMATSSVTELVQAMKRPRSLSESTNRPLMRKSEGAGEEERKGALARRNSENVPPSIRRTSLGGTRSSMQRITELPEKKQRKSIRLSFMGLMRKSTQSKDESFDDAVLFDDDDEEEEEEMSDDDTRPDSVDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPRTNRIAEDTAQESEILVKQTAENVDDAVRELPDANLKPEDLWANHSKVVFPKPHRRDTPSWKAIQKILDNGEQVGLKHFRPIKPLGSGDTGSVHLVELSGSGQFFAMKAMDKNVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLERQPTKVLKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSNGHVSLTDFDLSCLTSCKPQLLIPTINEKKKRHKRQQHQHPVFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGNKHVSLNAKQLMYRLLHRDPKNRLGSNEGANEIKRHPFFKGVNWALVRCLNPPELDAPFFDTETEKEAKVVDPDLLDLQTNVF >Manes.11G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30349925:30359332:-1 gene:Manes.11G138200.v8.1 transcript:Manes.11G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNNSSNKQSSLIPPLPKDPRGSLEVFNPSSTYSNRPINPAFRSNPTWQSWVEQQPHDNSKSDQTNLSSKSDRTEEITSWMALKDYTPQTSLPLLSSPSESTTTTTKLSGETGLAAKRAAEWGLVLKTDTETGKPQGVGVRTSGGDEPTSNKPGTSRRNSNNSVRSSGELSDDGGRDSKGIPRMSEDIKDALSSFQQTFVVSDATKPDLPIMYASAGFFKMTGYTSKEVVGRNCRFLQGAGTDPEDVAKIRETLQEGKSYCGRLLNYKKDGTPFWNLLTIAPIKDESGKVLKYIGMQVEVSKHTEGSKDKTLRPNGLPESLIRYDARQKDMATSSVTELVQAMKRPRSLSESTNRPLMRKSEGAGEEERKGALARRNSENVPPSIRRTSLGGTRSSMQRITELPEKKQRKSIRLSFMGLMRKSTQSKDESFDDAVLFDDDDEEEEEEMSDDDTRPDSVDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPRTNRIAEDTAQESEILVKQTAENVDDAVRELPDANLKPEDLWANHSKVVFPKPHRRDTPSWKAIQKILDNGEQVGLKHFRPIKPLGSGDTGSVHLVELSGSGQFFAMKAMDKNVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFMLLERQPTKVLKEDAVRFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSNGHVSLTDFDLSCLTSCKPQLLIPTINEKKKRHKRQQHQHPVFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGNKHVSLNAKQLMYRLLHRDPKNRLGSNEGANEIKRHPFFKGVNWALVRCLNPPELDAPFFDTETEKEAKVVDPDLLDLQTNVF >Manes.01G055512.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23482710:23490847:1 gene:Manes.01G055512.v8.1 transcript:Manes.01G055512.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFILQYQPFTKLLVSQIGKTKVFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFIALRQTAIFLQSHCRGVLARKIFEQLRREAAALKIQRNFKRYTARKSYLTLYLSAVTLQTGLRAMTARDEFRFRKQTKAAIAIQAQLRRHIAYSYYKKLQRAALTSQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLQDALHAMQMQVEEANARVIKEREAARKAIEEAPPVIKETPVLVQDTLKVEQLMAEVQSLKASLLSERQAAEEARKACKDADARNSELSKKLIDSQQKVDQLQESVQRLEDKLSNSESENQVLRQQALTISPTGKSLYGRPKSIILQRNPENGNVANGEPKDMVVAVSNVREPESEEKPQKSLNEKQQENQDLLIKCISQNLGFSGGKPVAACIIYKCLLHWRSFEVERTSVFDCIIQTVASAIEVPDNNDILAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTASASLFGRMSQGLRASPQSAGLSFLNGRTLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSCLMIMKANYVPPFLMRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEQWCYEATSEFVGSAWDELKHIRQLVID >Manes.03G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23564340:23565532:1 gene:Manes.03G111500.v8.1 transcript:Manes.03G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIKTLCFLILGLFLEGINGQLNGWIHAHATFYGANQNPSTLGGACGYDNTFHAGFGVHTAAVSGALFRNGEACGACYQVMCDFKADPKWCFRRAVVTVTTTNFCPANNNGGWCDPPRHHFDMSMPAFLRIARQGNEGIVPVIYRRVACKRRGGVHFTLRGQSNFNLIMISNVGGSGDIKAAWVRGSRTRTWVPMNRNWGANWQSSVDLRSQTLSFKLTLVDGKTLAFFNVVPSTWSIGQTYSSRNQFS >Manes.07G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32691393:32695466:-1 gene:Manes.07G123500.v8.1 transcript:Manes.07G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNFDREDGLETVLEVPIPEEMFGSNKNGNKSWQNLKAWMRPNTDHKSLTNLFGSKNTEIQLLLGVVGAPLIPFPICCDHKFINRNIKDQPIEASMAKYIVQQYVAAVGGEKALNSVDSMCAMGKVKMGASEFCTGEGSLNNKVVKVKNLMNGGGEMGGFVLWQKRPDLWCLELVVSGCKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPKSTANLFSNSICIGEKSIDDEDCFVLKLEAEPSSLRARSTSNVEMIRHTVWGYFSQKTGLLVQLEDSHLIRIKASGNDSIFWETTMESRIQDYRTTDGINIAHGGRTSVSLFRFGENSERHSRTRMEEIWAIEEVDFNIKGLSMDCFLPPSDLKKEGEEAYGVVACSNEKLPLKLRSASARICASKVVAIDVDYLENSTETSTEDEDDEDYY >Manes.02G226400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36489411:36500289:1 gene:Manes.02G226400.v8.1 transcript:Manes.02G226400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENELQFARDRCQTLIDRIHRLPSLATSCSRTLLKLALSELHFLCRSPPPSTLSLSVNVGHLEAVVYLLEQPFVTAVSRVCKPISVSSSSSSSKAFTIHVDVVCTVNKNPVWIIASHRNPKYLSWDLYSKSRIERLLGAARSAQISRPSSILLFFSRGLGDFFLEKICDEFGAFEVDFCEELEGDWINVLQTSYKDSLFLEIKVDRNSECGSMQESVVDSVGLELQEQEEQTKVNLDDDGFCSLISRIKIWSLKAKPDGDLINFDTTALIALVSGISNGCSEKLLATPEIELRQRFKGNVEFVIAQVLSEIQNPIHAELAGVISGKRGMICESVLAEFKELVSLCGGSNEKLRADKILKCLMVVPDSPSERVMWLPTTRKLALKNKVVFGTGDHWHAPTLTANMAFVRAVSQTGMSLSTIEHRPRALTGD >Manes.S028852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2386298:2386459:-1 gene:Manes.S028852.v8.1 transcript:Manes.S028852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.01G254100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41170251:41171880:1 gene:Manes.01G254100.v8.1 transcript:Manes.01G254100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNTTLSKLIFGLLILIAVPYLFYSINSLNILRTPSNPFPFPLSLPVTLSVPLQIASLNVSLTSESLLENPKILQENTTLRHIVFGIGASSKLWDHRKNYIKLWWRPDEMRGIVWLDEPVKKDPDEENLLPPTMISSDTSKFPYKNTKGHRSAIRISRIISETLKLGMKDVRWFVMGDDDTVFVADNLVRVLSKYDHNQYYYIGSSSESHLQNIYFSYAMAYGGGGFAISYPLAKELARMQDRCIERYPELYGSDDRIQACMAELGVPLTKEPGFHQFDVYGNLYGLLAAHPIAPLISLHHLDLVEPIFPDMDRVKALEKLKAPMELDSAAFMQQSICYDEKRRWTVSVSWGYTVQIYRGIISPREIEKPIRTFLNWYVKAGYKAFAFNTRSLNKNSCQRPFIYCLVDANDNKNRTSTRSLYTRHQVPNPPCRWKNPDPSQIIKVEVYKRPDPFFWNKAPRRNCCRILPSKTNTTLMIDVGECKKDELIETR >Manes.01G222600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38957457:38958981:1 gene:Manes.01G222600.v8.1 transcript:Manes.01G222600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILADLIPRNRGRCIRASDIWPNSSFSKPPFESFDNQESLSLKRSQPASGDDRVNKTPAKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDVYSTSQNHLNLPPHRNPNPSMYQPLTCNFSNPPKSYEFGFGYDLNSIGSHASNGMNTEPIFVSGEENSGSGSEEEAYSISNNNYISEVKVKQEEEKMEAGKMNSGEVVIVAGKSQLQESEVQKLSEELMAYENYMKFYQIPYLDGQSPAVNATQESLVGNLWNFDDDGIAAAVTSVAL >Manes.08G114800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35382515:35388481:-1 gene:Manes.08G114800.v8.1 transcript:Manes.08G114800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQNPKPTTILISSASYCSSPATSLTLPYPLRTNTNPRLFSTIPSSIHNLQHPLPFFSRRLFLPAVSGIWDALTGGNNAREAIVAIRRGMVLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLELVTAFSNGPENEYFYASLYAGLYHESQNKPDAAKFHIVAACRSPYGQRSDDYMAALSKVHCLCRNWSFN >Manes.08G114800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35382515:35388481:-1 gene:Manes.08G114800.v8.1 transcript:Manes.08G114800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQNPKPTTILISSASYCSSPATSLTLPYPLRTNTNPRLFSTIPSSIHNLQHPLPFFSRRLFLPAVSGIWDALTGGNNAREAIVAIRRGMVLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLELVTAFSNGPENEYFYASLYAGLYHESQNKPDAAKFHIVAACRSPYGQRSDDYMAALSKVHCLCRNWSFN >Manes.08G114800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35382066:35388481:-1 gene:Manes.08G114800.v8.1 transcript:Manes.08G114800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQNPKPTTILISSASYCSSPATSLTLPYPLRTNTNPRLFSTIPSSIHNLQHPLPFFSRRLFLPAVSGIWDALTGGNNAREAIVAIRRGMVLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLEVGRDPRPVMRDAYNMFKDRGDPEKLVTAFSNGPENEYFYASLYAGLYHESQNKPDAAKFHIVAACRSPYGQRSDDYMAALSKVHCLCRNWSFN >Manes.08G114800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35382515:35388481:-1 gene:Manes.08G114800.v8.1 transcript:Manes.08G114800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQNPKPTTILISSASYCSSPATSLTLPYPLRTNTNPRLFSTIPSSIHNLQHPLPFFSRRLFLPAVSGIWDALTGGNNAREAIVAIRRGMVLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLEVGRDPRPVMRDAYNMFKDRGDPEKLVTAFSNGPENEYFYASLYAGLYHESQNKPDAAKFHIVAACRSPYGQRSDDYMAALSKVHCLCRNWSFN >Manes.08G114800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35382515:35388481:-1 gene:Manes.08G114800.v8.1 transcript:Manes.08G114800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQNPKPTTILISSASYCSSPATSLTLPYPLRTNTNPRLFSTIPSSIHNLQHPLPFFSRRLFLPAVSGIWDALTGGNNAREAIVAIRRGMVLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLEVGRDPRPVMRDAYNMFKDRGDPEKLVTAFSNGPENEYFYASLYAGLYHESQNKPDAAKFHIVAACRSPYGQRSDDYMAALSKVHCLCRNWSFN >Manes.08G114800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35382246:35388481:-1 gene:Manes.08G114800.v8.1 transcript:Manes.08G114800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQNPKPTTILISSASYCSSPATSLTLPYPLRTNTNPRLFSTIPSSIHNLQHPLPFFSRRLFLPAVSGIWDALTGGNNAREAIVAIRRGMVLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLELVTAFSNGPENEYFYASLYAGLYHESQNKPDAAKFHIVAACRSPYGQRSDDYMAALSKVHCLCRNWSFN >Manes.08G114800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35382246:35388481:-1 gene:Manes.08G114800.v8.1 transcript:Manes.08G114800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQNPKPTTILISSASYCSSPATSLTLPYPLRTNTNPRLFSTIPSSIHNLQHPLPFFSRRLFLPAVSGIWDALTGGNNAREAIVAIRRGMVLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLEVGRDPRPVMRDAYNMFKDRGDPEKLVTAFSNGPENEYFYASLYAGLYHESQNKPDAAKFHIVAACRSPYGQRSDDYMAALSKVHCLCRNWSFN >Manes.08G114800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35382515:35388481:-1 gene:Manes.08G114800.v8.1 transcript:Manes.08G114800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQNPKPTTILISSASYCSSPATSLTLPYPLRTNTNPRLFSTIPSSIHNLQHPLPFFSRRLFLPAVSGIWDALTGGNNAREAIVAIRRGMVLFRQGDVLGSLAEFDKAIELDPRQKAYLWQRGLSLYYLDRFEEGAEQFRLDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLELVTAFSNGPENEYFYASLYAGLYHESQNKPDAAKFHIVAACRSPYGQRSDDYMAALSKVHCLCRNWSFN >Manes.07G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34202431:34206032:-1 gene:Manes.07G140500.v8.1 transcript:Manes.07G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SOD MALRSLVTRKNLSSAFKAATGLGQLRGLQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLNDAMEKGDSATVVKLQSAIKFNGGGHVNHSIFWKNLSPVREGGGEPPHGSLGWAIDADFGSLEKLIQKMNAEGAAVQGSGWVWLAVDKELKKLVVETTANQDPLVTKGPTLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVMNWKYASEVYAKECPSS >Manes.12G128200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33486854:33494710:-1 gene:Manes.12G128200.v8.1 transcript:Manes.12G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDSISRSAIAGPTFTASLRCHRSHGFSFLNSRVPSPTLRRVAKRGSIFAEIQKQSPAIDFSDPDWKIKYQTDFERRFNIPHITDLFPDAESIPSTFCLKMRTPVLEDFADGYPSDEEWHGYINNNDRVLLKVIRFSSPTSAGAECIDPGCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGLSDNDLSEMPLSRKVVQNIHLSGGSLLGVSRGGPSVSDMVDCMEKRGINMLFVLGGNGTHAGANAIHNECRKRRLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRSSGFIAMYAALASGQIDICLIPEVPFHLHGPHGVLRHLKYLIEKKGSAVVCVAEGAGQSFLEKTNATDASGNIVLGDIGVHIQQETKKYFKEIGVHADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISYPRAVDPNSRMWHRCLTSTGQPDFI >Manes.12G088366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:16877616:16878452:-1 gene:Manes.12G088366.v8.1 transcript:Manes.12G088366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFIIGRGKLNHLTRTPSPPTETDPAIYLWQTNDSIVRGWLIQTVEQKLRPNLLQHKTSKGLWDALKIKFNTGSNKLIIYELQFKAYKLTQQGSNLEDLYNDLQAIWAEIDERQPTRIEGDNNIIIRNREIQEERLYLFLAGVQLDLDPIHRENLNEDPLPTLDNAYSRLRGEKLCRAIHLPLPSPATAGSDLVGSGLLAKNRSESSLRDDKSGLKCTHCDGSRHTRDGCFKIIGYPEWWEENKIRKKKGKGQGAGNTAAVTTSGTQKSACGNNLIG >Manes.03G030200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2413647:2418591:1 gene:Manes.03G030200.v8.1 transcript:Manes.03G030200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEHDTKVLKHPFSDRVPIRSIVCRPDGGAGLTGQLVRIGGWVKTGREQGKGSFAFLELNDGSCPANLQVIVEKEVADLSSLVHTGACVSVEGVLKEPPEGTKQKIELRVEQVLHVGPVDPAKYPIPKTKLTLEFLRDHIHLRPRTNTISAVARIRNALAFATHSFFQEHGFLYIHTPIITTSDCEGAGEMFQVTTLINEVEKIEKELIQNPPPSEADIEAAKLDVKEKGGAVAQLKSAKASKEEIAASVAELTKAKENLSKLEERSKLKPGIPKKDGKIDYSLDFFSRQAFLTVSGQLQVETYACTVSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFADLEDDMNCAEAYVKYMCQWLLDKCFDDMELMAKLYDKGCIDRLRMVASTPFKRISYTEAVKLLEEAVQGGKKFENKVEWGIDLASEHERYLTEVLFQKPVIVYNYPKGIKAFYMRLNDDSKTVAAMDVLVPKVGELIGGSQREERLDIIQQRIVDMGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL >Manes.06G126700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25668521:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPFILTCQTNLSIFTRGFF >Manes.06G126700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25668521:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPFILTCQTNLSIFTRGFF >Manes.06G126700.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659705:25669771:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659760:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQSTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQSTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRYCHCTLLIIRITHLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQSTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25668521:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPFILTCQTNLSIFTRGFF >Manes.06G126700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25668521:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPFILTCQTNLSIFTRGFF >Manes.06G126700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25668308:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRYCHCTLLIIRITHLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPVCY >Manes.06G126700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659705:25669771:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659760:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQSTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLAALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25669619:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGNEDNHHQVNPSTSANGEDSSNGTDSTSSSE >Manes.06G126700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25659781:25668521:1 gene:Manes.06G126700.v8.1 transcript:Manes.06G126700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEIMDQRGGTASSHFFEDIRLPNERQIGFWKPHSMPDHQIGTGGVVQIPSSKLVASSPLEKVSAAGTLPESMLAMDRKEKLSFGEGSDNILKASWNSMDHHTKPWSSSSVQPASYSLVGNRPGNSATQWESSLFSSSFSDAFSAKLSLLENNVRSNQPVKSVTSPHVEDEPFESLEELEAQTIGNLLPAEDDLFSGVTDELGHNTHVNSGDDLEDFDLFITGGGMELEGDDSVTVGQKNSDFVGGVNNGQVGPIVGEHPYGEHPSRTLFVRNINSNVEDTELQALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMISLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGVYGEIKEIREIPHKRYHKFIEYYDIRAAEAALSALNRSDIAGKQIKLERSLLGSTRRLVPKPEQEQDEPSLCPSPFDDLSSGRLALSSGVIASGSMNKGSAQVLPSAVQSPVGSFIESHLSSSVPNNLPSPLTMASIGKQFGLNESSHSMDEIMFSNQCIPSFHPHSLPEYHDSLANGVPYNSSTTIGSMAHSVGSKVTEGINSRHIQGVRSNGHLMEHNGGVFGSSGNGTGSLPGHLYMWNNSNSGQQHHANHMIWPNSPSFTNGVHAHHVPHMAGLPRAAPVMLNQLPAHHHIGSAPAVNPSLWDRRQAYSGASPEASSFHLGSLGSVGFPGSSPPHPMEIASHNIFSHVGGNCMDVTQNAGLRTAQPMCHIFPGRNPMISMPSPFDSSNERVRNLSHRRMESNSNHSDKKQYELDIDRILCGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDPFILTCQTNLSIFTRGFF >Manes.17G057700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25633885:25639415:1 gene:Manes.17G057700.v8.1 transcript:Manes.17G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDFNTKKKDTKPRENMAASSLPDKTFWYIIPVLFSHCFMAMAVTNLSTDESALLALKAHITDDPHNLLTHNWSSTTSVCNWIGISCGSRHRRVIALNLTNMGLRGSIPPQLGNLSFLGYLIASGNDFQGRLPGELSQLRRLKFINFKENNLGGNLPSWFANLTSLVSLSLTNNSFVGTIPEEIGNLANLRILDLQQNQLSGAIPKSIFNMSSLENLALTFNHLSGSLPLPNDIDLCHGLPKLEGLYLSSNQLIGQIPSMTECNSLDQVLSLSVNRFTGSIPTGTGNLTSLSQLWLGSNFLTGSMPHELSQLRNLEVLSTPKNSLSGFIPPAIFNLSKLKVLEVSNNQLSGNLPSSILLPNIEYFIVAVNNFTGRIPSSISNSSQLIVLYTYNNSFSGLIPNLGSLRMLQRLDITFNNLTADLGFFPSLTSCTYLDTLSLSFNPLNVVLPVSIGNMSALQYFIAGECNIKGSIPVQIGNLSTIVQVELSSNKLTGSIPSVSRRLKQLQGLSLSGNQLQGAIPSDICGLESLDYLFLNSNRLSGQIPTCLSNLTSMRKLYLQNNNLGSAIPLSFWSLTFLLEVRLHSNSLNGSLPLDIGNLKVLVYMDLSRNQLTGAVPSGIGGLKDLQYLSLAENSFQGSIPESIGGLVSLTSLNLSANNLSGVIPKSLEALSNLKSFNVSSNRLHGEIPRGGPFVNFSAQSFMFNDALCGLTRFQVPPCESIAHRKSKAGNVRLLKYILPAVAFVILVIGFTIIFIKHRKKRNSKPENQESGDMLPMATWRRISFLELQQATDGFSDYNLLGTGSFGSVFRGTLSDGTNIAAKVFNLQVEGVLKSFDSECEVMSKIRHRNLVKIITSCCSIDFKALILEFMPNGSLDKWLYSHNYFLDLLQRLNIMIDIASALEYLHSCSSPIIHCDLKPSNVLLDGDMVAHVGDFGIAKLLSGGDSICMTQTLTLATIGYMAPEYGQAGIVSTKGDVYSFGILMMETFTRKRPTDEMFSEEMNITQWVKRSMPDAIIEVVDSNLLRVGDEEINTIISILQLALHCSGDLPEERLKMKDVLSSLEKIRTHFLKEFHTVGNRN >Manes.17G057700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25633884:25639416:1 gene:Manes.17G057700.v8.1 transcript:Manes.17G057700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFKFPNRSFFVVKLEWKHERLDIMTGSMPHELSQLRNLEVLSTPKNSLSGFIPPAIFNLSKLKVLEVSNNQLSGNLPSSILLPNIEYFIVAVNNFTGRIPSSISNSSQLIVLYTYNNSFSGLIPNLGSLRMLQRLDITFNNLTADLGFFPSLTSCTYLDTLSLSFNPLNVVLPVSIGNMSALQYFIAGECNIKGSIPVQIGNLSTIVQVELSSNKLTGSIPSVSRRLKQLQGLSLSGNQLQGAIPSDICGLESLDYLFLNSNRLSGQIPTCLSNLTSMRKLYLQNNNLGSAIPLSFWSLTFLLEVRLHSNSLNGSLPLDIGNLKVLVYMDLSRNQLTGAVPSGIGGLKDLQYLSLAENSFQGSIPESIGGLVSLTSLNLSANNLSGVIPKSLEALSNLKSFNVSSNRLHGEIPRGGPFVNFSAQSFMFNDALCGLTRFQVPPCESIAHRKSKAGNVRLLKYILPAVAFVILVIGFTIIFIKHRKKRNSKPENQESGDMLPMATWRRISFLELQQATDGFSDYNLLGTGSFGSVFRGTLSDGTNIAAKVFNLQVEGVLKSFDSECEVMSKIRHRNLVKIITSCCSIDFKALILEFMPNGSLDKWLYSHNYFLDLLQRLNIMIDIASALEYLHSCSSPIIHCDLKPSNVLLDGDMVAHVGDFGIAKLLSGGDSICMTQTLTLATIGYMAPEYGQAGIVSTKGDVYSFGILMMETFTRKRPTDEMFSEEMNITQWVKRSMPDAIIEVVDSNLLRVGDEEINTIISILQLALHCSGDLPEERLKMKDVLSSLEKIRTHFLKEFHTVGNRN >Manes.17G057700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25633884:25641667:1 gene:Manes.17G057700.v8.1 transcript:Manes.17G057700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFKFPNRSFFVVKLEWKHERLDIMTGSMPHELSQLRNLEVLSTPKNSLSGFIPPAIFNLSKLKVLEVSNNQLSGNLPSSILLPNIEYFIVAVNNFTGRIPSSISNSSQLIVLYTYNNSFSGLIPNLGSLRMLQRLDITFNNLTADLGFFPSLTSCTYLDTLSLSFNPLNVVLPVSIGNMSALQYFIAGECNIKGSIPVQIGNLSTIVQVELSSNKLTGSIPSVSRRLKQLQGLSLSGNQLQGAIPSDICGLESLDYLFLNSNRLSGQIPTCLSNLTSMRKLYLQNNNLGSAIPLSFWSLTFLLEVRLHSNSLNGSLPLDIGNLKVLVYMDLSRNQLTGAVPSGIGGLKDLQYLSLAENSFQGSIPESIGGLVSLTSLNLSANNLSGVIPKSLEALSNLKSFNVSSNRLHGEIPRGGPFVNFSAQSFMFNDALCGLTRFQVPPCESIAHRKSKAGNVRLLKYILPAVAFVILVIGFTIIFIKHRKKRNSKPENQESGDMLPMATWRRISFLELQQATDGFSDYNLLGTGSFGSVFRGTLSDGTNIAAKVFNLQVEGVLKSFDSECEVMSKIRHRNLVKIITSCCSIDFKALILEFMPNGSLDKWLYSHNYFLDLLQRLNIMIDIASALEYLHSCSSPIIHCDLKPSNVLLDGDMVAHVGDFGIAKLLSGGDSICMTQTLTLATIGYMAPEYGQAGIVSTKGDVYSFGILMMETFTRKRPTDEMFSEEMNITQWVKRSMPDAIIEVVDSNLLRVGDEEINTIISILQLALHCSGDLPEERLKMKDVLSSLEKIRTHFLKEFHTVGNRN >Manes.03G002000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:273546:280131:1 gene:Manes.03G002000.v8.1 transcript:Manes.03G002000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLLIFSLFFALVFSAVRPDVSVEADAQVLESDAGDSSALKIELDQLKSKVHDLESRVDEKTQELKVKDDLIAQKEKIIQEKSDSIVSLQSEISSLLKKKSLDTAEQVGKAHARADELEKQVDKLKKELETQNRQNDALEAKSSEAQKQISELKLKLEKLQKVSDEQKSKLRKTERALKVAEEEMMRAKFEATSKTQELTEVHGAWLPPWLTVQLVRCQSLLQTHWNEHGKPAMELVIQKALEKKAHAEKWAKPHMETIKTKWIPAVNEQWLLMTTQVEPYMQSLIAKTFEAYEASKTTLAPHVIKVKEFVDPYFQEAKKFSKPYVDQVATMTKPHVDKVRMVLKPYTKQAVHASQKFLESATTYHHQVQGTVQETLNKHELTRPLATKEFIWFTASALLAIPIIIFSRTCSAIFCKKAKRRTRNANTSHSRRKAKRGHPDK >Manes.03G002000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:273546:280131:1 gene:Manes.03G002000.v8.1 transcript:Manes.03G002000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLLIFSLFFALVFSAVRPDVSVEADAQVLESDAGDSSALKIELDQLKSKVHDLESRVDEKTQELKVKDDLIAQKEKIIQEKSDSIVSLQSEISSLLKKKSLDTAEQVGKAHARADELEKQVDKLKKELETQNRQNDALEAKSSEAQKQISELKLKLEKLQKVSDEQKSKLRKTERALKVAEEEMMRAKFEATSKTQELTEVHGAWLPPWLTVQLVRCQSLLQTHWNEHGKPAMELVIQKALEKKAHAEKWAKPHMETIKTKWIPAVNEQWLLMTTQVEPYMQSLIAKTFEAYEASKTTLAPHVIKVKEFVDPYFQEAKKFSKPYVDQVATMTKPHVDKVRMVLKPYTKQAVHASQKFLESATTYHHQVQGTVQETLNKHELTRPLATKEFIWFTASALLAIPIIIFSRTCSAIFCKKAKRRTRNANTSHSRRKAKRGHPDK >Manes.08G061900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8202285:8205937:1 gene:Manes.08G061900.v8.1 transcript:Manes.08G061900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPIVQQPARGEAVVGVNMHQKKNAAGADGRNRRALGDIGNLVTIQGIDAVKPQAQISRPMTRKFCAQLLANAQAAAAAENNKKLVCAKVDKVPGDGAAAVKKAAAVKEAQKKIVAKPEPKEVIEISSDNEKEEEKVKKQDKVVNKKKESPRKKVQTLTSALNARSKAACGLGNKPKEGIVDIDAADANNHLAGVEYVEDIYKFYKLVENESRPHNYMVSQPEVNEKMRAILIDWLIDVHQKFELSPETLYLTINIIDRFLSVKAVPRRELQLVGISATLMASKYEEIWPPEVNDLVMISDRAYTHEQVLVMEKTILAKLEWTLTVPTHYVFLARFIKASIPDKEMENMVYFLAELGIMHYDTIMFCPSMVAASAVYAARCTLNKSPVWTETLKLHTGFSESQLKDCAGLLVYLHSTAAENKLKTVHRKYSNPQRGAVAMLPPAKSLLPASLCR >Manes.01G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34021788:34023721:-1 gene:Manes.01G152200.v8.1 transcript:Manes.01G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFYHSKSDATVQELYTEMPSETKQAPVIPSCEIEQHKGETSSLAASKSNYENLPHYLKSCLDYFYTLPNMEKGKLVRLLLAQGLIPEKLGEIMEDTAVNMIKELISLGMLQERYEFYSEMQVSEFYIKSCLVKVEEEKDFVSKAANSPIHAFIENDGQDLPPTLKCLLIQSLFASVEYCGGHTRAFSPACLRTVCVLQFLLALNLDGGADCLPDELGVLVHLKYLELRNTNIKKLPRTIANLQKLQTLHIVRCSKLFQLPGEILNIKQLRHLLLCDIIEYRGGIRVPRGIGTLDVSEDHAGELFASVRKLENLVSLSINAEEAGGTLLPDLEAFSPPPHLQELSLAGGLIEMPSWLPSIENLSSLALCHSNLLENSSLVLQFLPKLKHLNLWETYNAKIIGKEFCEAGGFPELETLIIASGDLVEWTEIVNGAFPSLRNLQLWNCLELRFLPEGLQNITTIKELFLTHLHGDLERRLSGEENYKIKHISKFSWDMPIS >Manes.10G141300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30883328:30889505:1 gene:Manes.10G141300.v8.1 transcript:Manes.10G141300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSEVEKKQGNSGGAGVPIVEVKPKPRKGLASKFVDLLEKLIVKLMFDASKPLHYLSGNFAPVTDETPPVRDLSVKGHLPDCLNGEFVRVGPNPKFAPVAGYHCMIHGMRIKNGKATYVRRYVRTSRIQQEEFFRGSKFMKVGDLKGLFGLFMVNMQILRAKLKVLDMSYGNGTANTALIYHHGKLLALQEADKPYVVKVLEDGDLQTVGMLDYDKRLKHSFTAHPKVDPNTGEMFTFGYAHEPPYITYRVISKDGVMHDPVPITISDPIMMHDFAITENYAIFLDLPLYFRPKEMVKDKKLIFTFDATKKARFGVLPRYAKDDHQIRWFELPNCFIFHNANAWEEEDEVVLITCRLENPDLDMVSGNVKEKLENFANELYEMRFNMKTGVASQKRLSAPAVDFPRVNESYTGRKQRYVYGTILDSIAKVTGIIKFDLHAEPQQGKTKLEVGGNIKGIFDLGPGRFGSEAVFVPREPGTSSEEDDGYLIFFTHDENTGKSSVNVIDAKTMSSDPVAVVELPHRVPYGFHAFFVTEEQLQAQSTL >Manes.10G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30883322:30889542:1 gene:Manes.10G141300.v8.1 transcript:Manes.10G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSEVEKKQGNSGGAGVPIVEVKPKPRKGLASKFVDLLEKLIVKLMFDASKPLHYLSGNFAPVTDETPPVRDLSVKGHLPDCLNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGMRIKNGKATYVRRYVRTSRIQQEEFFRGSKFMKVGDLKGLFGLFMVNMQILRAKLKVLDMSYGNGTANTALIYHHGKLLALQEADKPYVVKVLEDGDLQTVGMLDYDKRLKHSFTAHPKVDPNTGEMFTFGYAHEPPYITYRVISKDGVMHDPVPITISDPIMMHDFAITENYAIFLDLPLYFRPKEMVKDKKLIFTFDATKKARFGVLPRYAKDDHQIRWFELPNCFIFHNANAWEEEDEVVLITCRLENPDLDMVSGNVKEKLENFANELYEMRFNMKTGVASQKRLSAPAVDFPRVNESYTGRKQRYVYGTILDSIAKVTGIIKFDLHAEPQQGKTKLEVGGNIKGIFDLGPGRFGSEAVFVPREPGTSSEEDDGYLIFFTHDENTGKSSVNVIDAKTMSSDPVAVVELPHRVPYGFHAFFVTEEQLQAQSTL >Manes.05G190300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31293985:31296777:-1 gene:Manes.05G190300.v8.1 transcript:Manes.05G190300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASNLADKQTQWDFSCDLEVDFGSEENASIVHAALAVDKELQPDKVKRHMSISGGKLSVHFEAVEARFLRASFSAFTDILTLATKTIEEFGEGK >Manes.03G133900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26335618:26342352:-1 gene:Manes.03G133900.v8.1 transcript:Manes.03G133900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTDSSDNRFVGRISTSSLRNLLPRSISSKHKSTSNSKFSKLFNSENTPPRDPNVQFNDPPLSPCILKPSLSKSFTSINDAARSDASSFQDPPLKGDVSVSDGQSEPPVSFDPPVKVVVRVRPVNDHEREGGVTVGKVSSNSLSVGDRKFTFDSVLDSNSNQEEVFQLVGIPLVKSALAGYNTSILSYGQTGSGKTYTMWGPPSAMVDDTSPNSQQGIVPRIFQMLFSEIQREQESSEGKQIHYQCRCSFLEIYNDQIADLLDPVQRNLEIRDDPKNGLHVENLTEEYVSSYEDVTQILIKGLSSRKVGATSINSKSSRSHVMFTFIIESWCKGSSSKCFSSSKISRISLVDLAGLDRSKPDDAGRQYLREGKNIKKSLSHLGKLVNALAKGAEPVKFEVAPYRGSCLTHLLQESLGGNAKLTVICNISPDNRHNGETLRTLRFGQRVKVIQNQPVINEISEDDVNDLSDQIRQLKEELIRAKSDVHKSVGNKNGYFKGRNPRESLNHLRVSLNRSLMLPNIDNDSDNEVNFNEDDVKELHDQLNKLNNSYEEDSKGLSDTRNSSRFSSLDENFETDLMSEEEEVNGPNEIQTEELNLEKHEKDIVALEDNLSSTNNTSKPTEPSVRNSISISLCRLSPVLQEPTLSESPKIGNTRKSVAISSSAFSTSQSNVSPTDKSDVLFQSLRRSENTRSSLRSSKVFPGPTESLAASLQRGLQIIDQHQRNSALNRSSVAFSFEHLALKPCPEVDATCCSPQKLAEEAPSSGGSSTALLCASCQQKINDNPNELQDSLNAWSLAVNEARNPNELSDQEVEGNGLVETHKREQELENICKDQAAKIEQLNHLVEQYKLKIHDLEGDGLCLEGSKNQIILFEESKNEASKDQNKEVQEVLDHENTHFDIKEKETLLQEIQSLRNQLKSYTDASAKRSIGKLRSSLLAQSIQLYKSTDALSGNEEELEKERQRWTEMESEWISITDDLRIDLESSRRRTEKVEMELKLEKKCTEELDDALSRAVLGHARMVEHYAELQEKYNDLVGKHRAIMEGIAEVKRAAAKAGTKGGARFAKSLAAELSVLRVEREKEREFLKKENKNLKIQLRDTAEAVHAAGELLVRLREAEHAASVAEENFNEVQQENEKLKKQIEKAKRKHKMEMITMKQYLAESKLPESALQPLYREDSEITHNTVTYDDQAWRAEFGAIYQEHY >Manes.18G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18859486:18860663:-1 gene:Manes.18G140300.v8.1 transcript:Manes.18G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRTENTSIDRKVKLIGWMYSPQLPLARTRGVSPWETDKSQPEPGGLEASLLQLVQDHHNKSLRLRELTEKAKKDAIRKAVRVSDLLMDAVNGGVQESFINEKRIEHEIRALAATISRFMRQTDQWLTATHAINTAIKEIGDFENWMKIMEFDCKSINAAIRNIHQ >Manes.18G119700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12611403:12624623:1 gene:Manes.18G119700.v8.1 transcript:Manes.18G119700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSLYQKSSLVFSRRAYTFVSSSSYCTENNSSNDGFQNSRVKIFDRHLKRKQRDRAAWLMRPNDSFVDAVADNLLDRLEDCKRTFPTALCMGGSLEAIKRLLCGRGSIEKLIMMDMSYDMLKLCEDAKEDANKNIETSFIVGDEEYLPIKESSLDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPLVSPLAQVRDAGNLLTRAGFTLPGVDVDEYVVKYRSALELIDHLRAMGETNALLQRNTILKRETALAAAAIYDSMFAAEDGTIPATFQVIYMTGWREHPSQQKAKRRGSATISFKDIQNQFGSGS >Manes.18G119700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12614209:12620627:1 gene:Manes.18G119700.v8.1 transcript:Manes.18G119700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGFFGSYKTVIMRPRSLDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPLVSPLAQVRDAGNLLTRAGFTLPGVDVDEYVVKYRSALELIDHLRAMGETNALLQRNTILKRETALAAAAIYDSMFAAEDGTIPATFQVIYMTGWREHPSQQKAKRRGSATISFKDIQNQFGSGS >Manes.18G119700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12613784:12624623:1 gene:Manes.18G119700.v8.1 transcript:Manes.18G119700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNDSFVDAVADNLLDRLEDCKRTFPTALCMGGSLEAIKRLLCGRGSIEKLIMMDMSYDMLKLCEDAKEDANKNIETSFIVGDEEYLPIKESSLDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPLVSPLAQVRDAGNLLTRAGFTLPGVDVDEYVVKYRSALELIDHLRAMGETNALLQRNTILKRETALAAAAIYDSMFAAEDGTIPATFQVIYMTGWREHPSQQKAKRRGSATISFKDIQNQFGSGS >Manes.18G119700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12613383:12620627:1 gene:Manes.18G119700.v8.1 transcript:Manes.18G119700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSLYQKSSLVFSRRAYTFVSSSSYCTENNSSNDGFQNSRVKIFDRHLKRKQRDRAAWLMRPNDSFVDAVADNLLDRLEDCKRTFPTALCMGGSLEAIKRLLCGRGSIEKLIMMDMSYDMLKLCEDAKEDANKNIETSFIVGDEEYLPIKESSLDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPLVSPLAQVRDAGNLLTRAGFTLPGVDVDEYVVKYRSALELIDHLRAMGETNALLQRNTILKRETALAAAAIYDSMFAAEDGTIPATFQVIYMTGWREHPSQQKAKRRGSATISFKDIQNQFGSGS >Manes.01G274400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42838556:42839812:1 gene:Manes.01G274400.v8.1 transcript:Manes.01G274400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLGSPCTLSPPSSSTQSCTLTHTHQPIRLNTKQPIAMPSWFPGITHTPVKLGNRWMEYQGIRNWEGLLDPLDDNLRTEILRYGGFVEAAYNSFDFDTSSPTYAACRFPKSTLLERSSLPGTGYRVTKHLRATCGVKLPCWIEKTNSWVSTQSSWIGYVAVCQDKDEIARLGRRDVVIAFRGTATCLEWLENLRATLARLPEVDSDRTPKRQGPMVEGGFLSLYTSRTTMSPSLQEMVREEIKRILKTYGKEPLRLTMTGHSLGAALAILSAYDIKATFKCSPLVTVVSFGGPRVGNRNFRQLVEKQGINILRIVNSDDLITKVPGFVVDGDSEVANNEEYLHIAGLPKWIQKRVEETQLAYAEVGRELKLSSKDCPYINSVNVATCHELKTYLHLVNGFVSSSCPFRATAKEGSQ >Manes.13G145816.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35899212:35908047:-1 gene:Manes.13G145816.v8.1 transcript:Manes.13G145816.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQLDQRISTKQRSSFGVAHGMDVHSDYSLLVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDDLLVRYSSRDDLSEDGFEDRTGSWGDQGLCLVDWGKGIDLHLFPDDTEFEGDCRTSGFRCIQMQENKPWRFQVDTYGLCVIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRCRERLIWNRKQSPREP >Manes.08G110500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34971487:34972544:-1 gene:Manes.08G110500.v8.1 transcript:Manes.08G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISSPESNSDISQEFKHRKKRRKLSHETQDQCQNDNRILNKSRWKTQAEQQIYSSKLLEALFRSRRSNSTTAAAKGRVIRETADRVLAVAAKGTTRWSRAILAGRLKLRRVKKVRKVKVTGESRLRRKDMARDKRRLPVLEDKMRVLSRLVPGCRKAPFTSLLEEASDYIAALEMQVKAMTALTDILGAGEVAPVTPQAG >Manes.16G040800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696958:5703215:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.16G040800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696958:5703516:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.16G040800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696958:5703516:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKGSFSTDGPISEEALEKVRDQMKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.16G040800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696958:5703516:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKGSFSTDGPISEEALEKVRGILDQMKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.16G040800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696958:5703516:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKGSFSTDGPISEEALEKVRGILDQMKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.16G040800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696958:5703516:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKGSFSTDGPISEEALEKVRGILDQMKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.16G040800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696958:5703516:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.16G040800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696957:5703516:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKGSFSTDGPISEEALEKVRGILDQMKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.16G040800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5696958:5703516:-1 gene:Manes.16G040800.v8.1 transcript:Manes.16G040800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKGSFSTDGPISEEALEKVRGILDQMKPSNAGLEQEAQLARQWRGSINGTNGRKGRNGSHQYPPAIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWLDLPGFDDSSQARPAKLVRDCEMIAPCGTTVLYPNNGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGTAQLCGIEPSEVAWLEETQPPESFVVQRGVYKGPNIRR >Manes.05G079800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6517833:6520508:1 gene:Manes.05G079800.v8.1 transcript:Manes.05G079800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSKAVASKQPLITDADHSSQSPPMQNHTKPTPSLFTSPSLKAFTLKILAEAEAVVTPTSVLDSTKSFSPFKNPFWCDMNQCKSPEVLSENQQSWDKLETRGIGVALIDEEASEMSSNSFSKPSKRIVLFGTSLRVQIPPPANCMLSPPADFGIKTRNYQLSASGSTNSGIQTNTSPGVLTDCIPMNEIELSEDYTCVMSYGPNPKTIHIFDNCVLENYCSLSDKSNAAPRSFLSLSHMQEESATEK >Manes.02G180000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14287874:14289992:-1 gene:Manes.02G180000.v8.1 transcript:Manes.02G180000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSMTLLLFLLLFMLSQASHSMTILVDGVSDWKNPNVHVGDTIIFKHKYQFKLYIFQNLRAFNVCNFTQASLLTKPNSTSYTWYPSRPGFYYFGFNNGSHKSCNQDSQKLFIKVSPQAPPPLPASQFPPTPTPIPPPISGGEVSSSPAYPWPFHPREAASSPAPEPSSGTSSPLTVPTVVPDKGGGIPFINSNPAVPLPTGEVDSATIRPLPASGHHHQVAVGLLGVHMTLLCVILLLPL >Manes.S012753.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:96263:97481:1 gene:Manes.S012753.v8.1 transcript:Manes.S012753.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSRCGCRTLNTGGRAMQPVLVCVLGGVGLLLGGVLRSSGSWMDVGAVVVLQYLGWGDELGANAFVKALRVLGGGRGDIMPCSILCASGVEAHAAAEWQFPLVAVAHCCSCRCVPLWWLLLLRLWG >Manes.14G045351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3937861:3938400:1 gene:Manes.14G045351.v8.1 transcript:Manes.14G045351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWKKISSKTVHRGLIPKYDGPFEIVAKVGKVAYKLKLPERLKVHPTFHVSFLKKFHEDVAESSRSQAKRAPPVVRKQFDDQIGEILDHRTLGQSKKNRRTEFLIQWKDKPVSEATWERDTTLWQFEEQIEDYLRALPTRTLAPFGGGGLLDP >Manes.13G063600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7652372:7656275:1 gene:Manes.13G063600.v8.1 transcript:Manes.13G063600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKRVRSRGMSSRLYYQPIQEVEAYCMPQFQNLDHQLCSNGSSQGTSLSILNSHELYCTLESSSANGSYTIHNSPSTISFSPNGSPVSQQESQSYPPGTHHSPDNNYGSPISSSCITDDVHNFQNKLKELENAMFGPDSDIIDSIESTFGNGTSMESPEMDSLRQVMEAISRRDLKHVLVVCAQAVSDKDMLKAQWLMDELRQMVSVSGEPIQRLSAYMLEGLVARLASSGSSIYKALRCNEPASAELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENRVHIIDFQIGQGSQWITLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLDIVGKRLSRLAEMVKVPFEFHATAMSGCKVQVENLDVRPGEALTVNFAFVLHHMPDESVSTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFFPRFLETLNYYTAMFESIDVTLPRDHKERINVEQHCLARDVVNIIACEGIERVERHELLGKWRSRFKMAGFTPYPLSSLVNATIKTLLENYCDRYRLEERDGALYLGWMNRDLVASCAWK >Manes.12G156500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36475061:36477808:-1 gene:Manes.12G156500.v8.1 transcript:Manes.12G156500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQGVAANGTSEAIVCYAPTMITTNGVWQGDNPLDYSLPLFILQLTLVVITTRLLVFILKPFRQPRVLSEILGGVILGPSVLGNVQFFAHTIFPLKSVMVLETMANVGLLYFLFLVGVEMDVSVIKRTGKKAFVIAVAGMILPFFTGLAFSFLMRKDSSSYAMNQGTFVLFLGVALSVTAFPVLARVLAELKLLNTELGKIAMSSALINDICAWILLCFAIALAENDSTSMASIWVILASAAFVFFCFYVVKPAISWIIRRTPEGETFSEFYICLVLTGVMISGFITDAIGTHSVFGAFVFGLIIPNGPLGVTLIEKLEDFVSGLLLPLFFAMSGLKTNIGAIRGANTWGLLVFVILLGSAGKVAGTVLVTTCYQMPIREGLALGLLMNTKGLIEMIILNVGKDQRVLDEESFAIMVIVAVVMTALVTPFVTAVYRPAKKFIPYKRRTIQRSKPDAELRVLVCVHTPRNVPTIINLLEVSYPTKRSPMCVYVLHLVELTGRASAMLIVHNTRKSGRPALNRTQAQSDHIINAFENYEQHTAFVSVQPLTAISPYSTMHEDICNLAEDKRVACIIIPFHKQQTVDGGMEATNPAFRLVNQNLLSNSPCSVGILVDRGLNATNRLATNQLGHHVAVLFFGGPDDREALAYAWRMSEHPGVALTVMRLNAAEDAAQPAIKQYGGDHNDPKNTVESQDKREKKMDENYINEFRTYNANDESVFYSERVVNNGEETVAAIRAMDINAYDLFVVGRGEGVISPLTAGLTDWSECPELGAIGDLLASSDFAATVSVLVIQQYVGAELQPETMISDDQDDQYFGLQLMNKRPSSTRQ >Manes.16G134100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33472792:33474572:-1 gene:Manes.16G134100.v8.1 transcript:Manes.16G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFETHVVIFPFMAQGHTLPLLDLSKALSRHHIKVSIVTTPGNAKSISNYIASYPHVYLIEIPFPTVDGLPKGCENTSQLPSMEFHLQFTNATKELRKPFQNILQTMLDSETPPICVISDFFLGWTLTVCQALGVPRLVFHGMGVLSMAISKSIWVHQPHLKVNSVFDPLHLPGMKLPFTLTSADLPETINVQSHDDPLSKLIEEAGEADASSWGVVVNSFEELEGSHIPYFESFYSGAKAWCLGPLFLHEKMEDLEKSHSSMLIQWLTEQITPDSVIYVSFGTQADVSDAQLDEVAFGLEESGFPFVWVFRSRTWTLPGGIEEKIKGKGLIVREWADQRRILCQRSVGGFLSHCGWNSVLESISAGLPILAWPMIAEQSLNAKLIVDGLGAGLRVKREQKCGSAVVVSREAICEGVRELMGGEKGRNARERAQALARVARRAVEDGGSSDGNLSKMIAQLRTC >Manes.16G002800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:444363:449885:1 gene:Manes.16G002800.v8.1 transcript:Manes.16G002800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGRLVRTARAWRGITSPKTLNPSPARSLDFLRRLHHRSFDRHIISAAPEFLQPKLLPNADHSYSIVPAILSALFGVGMLHVAYADSDQAAAKPSLPSESPSSYKDLEEIAKQERQRIEELLKSKGIKFGSYPRFTVAVKGQKVTIKFQIPPACDVPQLIANLVSNLGLKFDERGGGSDMLLRAWDSAVAWQLTLSCPEKQKKPDADTGYSADINIPEGDLCILIFRSLISTDKAEIEFIKGGSLSTKELDALVSVLQLAGGRLKISERKPGEGAARMPSAEKSVAALESMGVRIYGLDEPYVNSSNSGITWDNIAGYDQQKRDIEDTILLALHSPEVYDDIARGTRRKFESNRPRAVLFEGPPGTGKTSCARVIADQAGVPLLYVPLEVVMSKYYGESERLLGKVFSLANELPNGAIIFLDEVDSFAVARDSEMHEATRRILSVLLRQVKN >Manes.16G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:444363:451248:1 gene:Manes.16G002800.v8.1 transcript:Manes.16G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGRLVRTARAWRGITSPKTLNPSPARSLDFLRRLHHRSFDRHIISAAPEFLQPKLLPNADHSYSIVPAILSALFGVGMLHVAYADSDQAAAKPSLPSESPSSYKDLEEIAKQERQRIEELLKSKGIKFGSYPRFTVAVKGQKVTIKFQIPPACDVPQLIANLVSNLGLKFDERGGGSDMLLRAWDSAVAWQLTLSCPEKQKKPDADTGYSADINIPEGDLCILIFRSLISTDKAEIEFIKGGSLSTKELDALVSVLQLAGGRLKISERKPGEGAARMPSAEKSVAALESMGVRIYGLDEPYVNSSNSGITWDNIAGYDQQKRDIEDTILLALHSPEVYDDIARGTRRKFESNRPRAVLFEGPPGTGKTSCARVIADQAGVPLLYVPLEVVMSKYYGESERLLGKVFSLANELPNGAIIFLDEVDSFAVARDSEMHEATRRILSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSIITFGLPDEQNRQEIIAQYAKHLAKSDVEELAKVTEDMSGRDIRDVCQLAERSWASKIIRGQADRDGEQGYLPPLAEYIASAMNRRKSLLSIANRRSPGFNPRTSRGQLDLC >Manes.08G015300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:165290:170500:-1 gene:Manes.08G015300.v8.1 transcript:Manes.08G015300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRNLVVAMLFVTVIAPILLYTDRFATFKASSSRTQFLEDFASFTQTLPGDPTHHLHVLPQESSTLLKEPIGIVYTDNSTNSPAEPFSRIPITDSSAQEKREHKSARVLSATSEGLQSQNNSTIRQVIDTSNQKESRTDAEVAKEEDRKERASNGVSDTAAQNALEQQSAITSEKVNEKEPPKSKTDKQTKQTPMPDARVRQLRDQLIRARVYLSLPIIKNNPHLTRELRLRIKEVQRVVGDATKDSDLPKNVYEKLKAMDQSLAKGKQMQDDCATAVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYSLHSSEQQFPNQEKLEDPRLYHYALFSDNVLAAAVVVNSTVTHAKDPSKHVFHIVTDRLNYAAMRMWFLVHWPGKATVQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRTHRGNSDNNLKYRNPKYLSILNHLRFYLPEVFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSHSLISKTFDPHACGWAYGMNIFDLQEWKRQNLTELYHRWQKLNHDRLLWKLGTLPPGLITFWKRTYSLDRSWHVLGLGYNPSVNQREIERAAVIHYNGNLKPWLEIGIPKYRNYWVKYVDYDHKYLRECNINP >Manes.08G015300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:165290:170500:-1 gene:Manes.08G015300.v8.1 transcript:Manes.08G015300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRNLVVAMLFVTVIAPILLYTDRFATFKASSSRTQFLEDFASFTQTLPGDPTHHLHVLPQESSTLLKEPIGIVYTDNSTNSPAEPFSRIPITDSSAQEKREHKSARVLSATSEGLQSQNNSTIRQVIDTSNQKESRTDAEVAKEEDRKERASNGVSDTAAQNALEQQSAITSEKVNEKEPPKSKTDKQTKQTPMPDARVRQLRDQLIRARVYLSLPIIKNNPHLTRELRLRIKEVQRVVGDATKDSDLPKNSVYEKLKAMDQSLAKGKQMQDDCATAVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYSLHSSEQQFPNQEKLEDPRLYHYALFSDNVLAAAVVVNSTVTHAKDPSKHVFHIVTDRLNYAAMRMWFLVHWPGKATVQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRTHRGNSDNNLKYRNPKYLSILNHLRFYLPEVFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGESFHRFDRYLNFSHSLISKTFDPHACGWAYGMNIFDLQEWKRQNLTELYHRWQKLNHDRLLWKLGTLPPGLITFWKRTYSLDRSWHVLGLGYNPSVNQREIERAAVIHYNGNLKPWLEIGIPKYRNYWVKYVDYDHKYLRECNINP >Manes.15G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7415813:7418790:1 gene:Manes.15G095200.v8.1 transcript:Manes.15G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGPITQDWDPVVIRKKAPNAAAKKDDKVVNAARRSGAEIETLKKSNAGTNKAASSSTSLNTRKLDEETENLAHERVPSELKKAIMQARTEKKLTQAQLAHLINEKPQIIQEYESGKAIPNQQIIGKLERALGAKLRGKK >Manes.05G040000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3261246:3264056:-1 gene:Manes.05G040000.v8.1 transcript:Manes.05G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEKLKNSSAATTKTTNMEQPNKAVRRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTPEEAARAYDEAARALRGENARTNFALVNNNNNNPHYSNTAQSGLSPTNGGLVSESDGRHSLSFSSLKAKLSKNLQSIMARTTESKSTKNRVSDHFTFARIFHFRSQQYQKPVDIKNIEKVVQPSIIVPRVANEHEQSSWETSSVSDCSNEWIGFRQQGLDSDGSDIGEVSIGDQGLKDQMMVSGCSGEGSRSKRFKVSSTVMVPPTFSHGSPSFCGSPNFCGSPCFCGSPSFCGSPFHGEN >Manes.10G079800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19701469:19708223:-1 gene:Manes.10G079800.v8.1 transcript:Manes.10G079800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVPPPGSGRELSSPPSDGISNLRFSNHSDHLLVSSWDKSVRLYDASANALRGEFMHGGPVLDCCFHDDSSGFSASADNTVRRLVFSYGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPSSIAGLSFSRDGRLLAVASSYTFEEGDKPHEPDAIFVRSVNEIEVKPKPKVLPTPPA >Manes.09G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32826865:32828806:-1 gene:Manes.09G125700.v8.1 transcript:Manes.09G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVLQRERWAVKMAVVVVVVVGLLAMASPTMQLNVKEPLRGTVQKSSSEDPRAIGLIVTAICCEKALNESGIFHVNSSVELLGRTFVLGKIHGADIVYVRSAGRPAANLGITLQIMADNFKLGGVILLGLGLALSDSLRVGSVVIPSLIGSTGVWTWQPFQATEEGPLKFGDFNFPETGDNLLGSVKYEKSQIYIDGKVNESFWIPVTAEWLQVASRIQVDSVEVFHGLKLASADVHLDNEKFYRRFLNLIFGASISDTTSITGALGAYANNLRLLVVLGVSDGPYFRDMAAANAMKVVDRFIYMISVSRASS >Manes.05G152100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26220330:26222403:1 gene:Manes.05G152100.v8.1 transcript:Manes.05G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFYFFRNSDGPFLGERLYGEMPKGEALSDPPVAAIIQHDQGETSAFAAYKTKYENLPHHLKSCLDYIFLCSMCWHDDKDHVVRLLLAQGLIPEKSGEIMEDTAASNIKELIDLGMLHEEYDYYTTRLLVSEFHKKSCITEVQEHDFVFKAANLPIHASISNGGEDLPPNFKTLLIRSLFAESWESISSHAYHSVYFSQVYLQTVCALQFILVLELHGGIEYLPDEVGELVHLRYLGLKGTGIKKLPHTIGNLQKLQTLEVTSSMLHQLPIEILNIKQLRHLIFDNHVPRGIGTLVNLYSLVGVCADADAGFAIELSTLTHLRNLNIRMVYEDHANELFAAIFNLENLVSLSLNAEDAYLGTPLPDLEPFSPPPHIQELSLYGGLIEMPNWLASMENLIRLVLKRSTLLEFPSSVLQFLPKLKHLILDDAYKTKIIGKEFCNAGGYPKLETLLISSMDLVEWTEIVNGAFPSLKKLKFKDCPNLRFLPEGLQHISTIQELVLWPSHGDLARRLKGEENYKIKNISNLDIREERELLFDPKCPLCSLLHSR >Manes.11G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13518666:13525535:-1 gene:Manes.11G082400.v8.1 transcript:Manes.11G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYAGSNNQRDAAPMIYMPGSYSETPVLPGNMMMYMNGGGSYSDALAGNSHQQNNSIQIHSVEAPDSTPQQQEILSNLSGSRIGEHDFNAWRDSRNEMLVMHSMGGPSGIIHSGHNLQGQGLSLSLGTQIPSGIQMHSIPYRNSNPGLASFLGPNPSIMGEGSGRNGSSRDEQTKSAEYLPPGFSGGNQDSNKGDLSPYGMNSIARTIPNSKYLKAAQQLLDEVVNVRKALKQPDKEKNQSTNEHGLNSPKGGDSKSKNGSADPQESTNSELSHAERQELQNKLSKLLSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYSALALQTISRHFRCLRDAISGQIQATRKSLGDQETSENGKGIGITRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEIGDVEMDSNSSSENATKATKGDLRNYKDGGEDMQQSASSTATERCSAVQLLDSKSDHVPHVEMGGSTARSNFQNMMRSEAVTEYGLLKLREEQRPSVDDCGLFPDAIVHSDGSGDRFMAAAAAAYQMSEVGGFGTGSGVSLTLGLQHCEGGNLPMSSATHHSFVSMRGDDDIYGAAAAASSVGAENTDFECLNPGNRQNRFSSSHLLHDFVA >Manes.03G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24775814:24778497:1 gene:Manes.03G121400.v8.1 transcript:Manes.03G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIENSEKKNARYCVTGANGYIGSWLVKLLLQRGYLVHATLRDPAKLLHLLSMWSGGDRLRLFKADLQEEGSFDEAVKGCHGVFHVAASMEFNVTENANVENYVQTNVIDPAIKGTLNLLNSCLKSKSVKRVVFTSSISTLTAKDSSGKWRDVVDETCQIPVDYVWNAKATGWVYALSKLLTEEAAFQYANHNGIDLVSVITATVAGPFLTPSVPSSIQVLLSPLTGDSKYFSILSAVNARMGSIALVHIEDICNAHIFLMENKTAKGRYLCCSQSYLMSELVDHLAEEYPCSKIQRSAGGEEDRCIPSEISSKKLRDLGFDYKHDIKVMIRETIACCVDYGFLQAIGK >Manes.05G199600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32430224:32432105:1 gene:Manes.05G199600.v8.1 transcript:Manes.05G199600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSCNWLSVVGVAVVLSCMVMAASAGNFYDDFELTWGGERAKIFNGGKLLSLSLDKVSGSGFQSKNEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLTGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWKPQHIIFLVDNIPIRVFKNAESIGVPFPKNQAMRIYSSLWNADDWATRGGLVKTDWTKAPFTAYYRNFNATACTWSSGGSSCNSKSAANPFSDSEFQTNELDAPGRRRLRWVQKYFMIYNYCADLKRFPEGLPAECKRSRF >Manes.10G028100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2824196:2826174:1 gene:Manes.10G028100.v8.1 transcript:Manes.10G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHHFLVKLFLFFFLLQSECCVSLKQESLILPLKTQKHSHFFTATHFSSATNKLFFHHNVSLTVSLTVGSPPQNVTMVLDTGSELSWLHCKKAQGLNSIFNSLASKTYAKVPCFSPTCRTRTRDLIIPVSCDAAKLCHVTISYADASSIEGNLAFETFRIGSSIRPATIFGCMDMGFSSNTEEDGKTTGLMGMNRGSLSFVNQMGYRKFSYCIASLDSSGVLLLGDATLPWLKPLSYTPLVQISTPLPYFDRVAYSVQLEGIKVNSKILSLPKSVFEPDHTGAGQTMVDSGTQFTFLLGPVYTALKNEFSSQTRGILRVLNDRNFVFQGAMDLCYLIESTRHGLPVLPVVRLIFQGAEMSVSGERLLYRVPGEIRGNDSVWCFTFGNSDLMGIEAFVIGNHHQQDVWMEFDLEKSRIGLAEVRCDVAGKKLRLYK >Manes.05G034400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2908828:2912993:-1 gene:Manes.05G034400.v8.1 transcript:Manes.05G034400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSNYDDNSDQGYHPSPSSLDQTETPRFSTTSGDSFLFRRVYSQTSAFSDPTDDNSYSSEPSPCHWSENRSSPHNQPVLGRLAMKQRKQIIDDHESVDTELEMMKERFAKLLLGEDMSGSGKGVCTAVTISNSITNLYATVFGQNLRLEPLNPEKKAMWKREMDCLLSVCDYIVEFVPKSQNLKNGSALEFMETIPRSDIYINLPALRKLDAMLIEILDGFQETEFWYAEQGSMSSNSTRSGSFRRIIVQRKEEKWWVPVPCVPPGGVSEKSRKHLRHKRDCANQIHKAAMAINSSVLAEMDIPDTYMASLPKSGRASLGDIIYRYMSATDKFSPDHVLNCLNVASEHEALELADRVEASMYTWRRKACMNHSKSSWEMVKDLMSDIDRTDKNHVLAERAESLLFCLKQRYPELSQTSLDTCKIQYNRDVGKAILESYSRVLEGLAFNIVAWIEDVQFVDKSVRKQGHK >Manes.08G042400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4164394:4164972:-1 gene:Manes.08G042400.v8.1 transcript:Manes.08G042400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQFLSSFKYSDSLTVVAISICTAVVCEAISWILIYRTNSYKSLKSSIDKASKKLETMKTETIKVTTKKSKTRKIDRVETSLKESSRDLSLFKFKSGAVVALVLFIVFGLLNSLFEGKVVAKLPFKPIGIVMKMSHRGLQGDDATDCSMAFLYFLCSISIRTNLQKFLGFSPPRGAAGAGLFPMPDPKTN >Manes.08G042400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4151128:4165110:-1 gene:Manes.08G042400.v8.1 transcript:Manes.08G042400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQFLSSFKYSDSLTVVAISICTAVVCEAISWILIYRTNSYKSLKSSIDKASKKLETMKTETIKVTTKKSKTRKIDRVETSLKESSRDLSLFKFKSGAVVALVLFIVFGLLNSLFEGKVVAKLPFKPIGIVMKMSHRGLQGDDATDCSMAFLYFLCSISIRTNLQKFLGFSPPRGAAGAGLFPMPDPKTN >Manes.12G019100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1702244:1704378:1 gene:Manes.12G019100.v8.1 transcript:Manes.12G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMATPLSLLILLLLAVAPRFISSSPVQDPELVAEEVHRSINASRRNLGFLSCGTGNPIDDCWRCDPNWERNRQRLADCAIGFGKHAIGGRDGQIYVVTDSGNDDPVNPKPGTLRHAVIQEEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAYVRDSPSHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYMTHHNKVMLLGHSDGYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYSHWEMYAIGGSADPTINSQGNRFLAPNDRFNKEVTKHEDAPESEWRHWNWRSEGDLLMNGAFFTPSGAGASSSYARASSLGARPSSLVSSITAGSGALFCKKGKRC >Manes.15G090400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7059046:7064658:1 gene:Manes.15G090400.v8.1 transcript:Manes.15G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNMLCTSQIDLEMDQQGQGYLHPEPCILLGGITNFPQPDIQRVVTASGNTANADGHHLPEHYENAIFYGMPQYHGVQHHPQHHVPNLDLGAAAAPNFYVPYMAPSSAISLSHGSRDHLPSSTNYGVIGVSADEYGTNNHFMDNARGSYKRKNAEGNPGNFQYFNASASSSSSATPLNARHPDGVAVMDAASFTPPQIQYRGSTPSIREVGSHRSVRNRLGATGLDPVPPHNQNHFFQGNYMSQPFQPSGSLWLDPQLSNNPSDAGASAWTQNPAISYMHGSNVSGVETGSMGPQRYHDLSGNRSNSSFLHPSPTTLRHQHFHHLSPPVQGMRGHNLNVFPQLPAVSFRGLASYASQSNVNPSQDAIDVGVRHPGSVQPSSLRIYRPHHEGVISETAIRHRNVPHLRVLPTDGVAVLELPDYYEIENYVDHHSDMRLDIEDMSYEELLALGERIGNVNTGLSEEAIRSRLKTRKYLSSPMSINLEETACLDQESDACIICQDDYKNQEKIGTLDCGHEYHADCLKKWLRVKNVCPVCKSEALTAVRKDV >Manes.04G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2782630:2789236:-1 gene:Manes.04G024100.v8.1 transcript:Manes.04G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGGGGRSLEKTSTWAVAIVCFVLVLISLIIEYIIHLIGKWLKKKQKGALYEALEKIKSELMLLGFISLLLTIGQSPISNICISEKVGSTWHPCSKKKEVNINKVELPAREDENRRKLLKVSDSGGSLRRLLAGGTTDNCGTGKVPFVSSDGIHQLHIFIFVLAVFHVLYCVITMALGRAKMRRWKSWEMETGTAEYQFSHDPERFRFARETSFGRRHLSFPTKAPLLIWIVCFSRQFVRSVPKVDYLTLRHGFIMAHLAPQSHQKFNFQKYINRSLEEDFKVVVGISAPIWLFAIIFLLFNTHGWYTYLWLPFIPLIIILLVGTKLQVIITQMALRIQERGEVVKGVPVVQPGDDLFWFNRPRLILYLINFVLFQNAFQLAFFAWSWKEFGLRSCFHEHLEDIIIRVSMGILVQILCSYVTLPLYALVTQMGSSMKPTIFNERVAAALRKWHQAAKKHIKRKKGPVSITPISSKPATPLHHYMSPLHLLKYYQNEMDSLQNSPKKSNLIRWKSDTPSPSYTNYQGNDSSSTYHPNSGDGSSSQHHHQLQRVELSYGIEYEKKGNNEASSSEVRPISQHENDVEPKEFSFDRRASV >Manes.01G083800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28646238:28649493:-1 gene:Manes.01G083800.v8.1 transcript:Manes.01G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILKPPSIFNLRNAAYNLFSLKLEVAKAMNSILNFHKHTTKSWNKKKTSLPYGSPLFLLLISVFSIFFFFVLFSSHSKIPNYVLHNTQYCTRFQTLTGGEKFLWYAPHSGFSNQLSEFKNGILMAGILNRTIVVPPILDHHAVALGSCPKFRVTGPKEIRVSVWDHAIELVKTGRYVSMVDIVDISSLVPSSVRAIDFRIFASLWCSVNKDITCINDLNAQSSLFESLRQCGSVLSGVNGNIDKCLYAVDEDCRTTVWTYKNGEEDGVFDAFQPDEKLKKRKNISYVRRRRDVHKTLGLGSESESATILAFGSLFTAPYKGSELYIDIHDVQRDQRIQSLIEKSKFLPFVPEILSAGKIFASETIKAPFLCAQLRLLDGQFKNHWESTFMGLKQKLESLKQTDPQPIHIFLMTDLPQRNWTGSYLGDLASDLDHFKLHFLREEDDLVIQTAKKIAVAGRGLRLGDIPWINGVRKMKMHCSYQSLPNILLYIEESVCSCASLGFVGTAGSTIAESIELMRKSDVCRTS >Manes.09G158301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35381377:35413685:1 gene:Manes.09G158301.v8.1 transcript:Manes.09G158301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSFQLSPYFLTNSVIKGQFRVRVQNVGSRESKVLSSDSIAVNKTSFIDQKKKNGVLYDGGTLVEEERALINGENGRLGSVAQNKRMKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHEALGKAFEVWCLHIPVYDRTPFEGLLKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFDRSQLQPLLPILEALPDGLHNTVPYLFSLFMGDPLKMATIGIENRLPPKSKIKKLFGNLTAFLPLLSGLADIIPTETLLWKLKLLNSAAAYANSCLHAIKAEVLVLASGKDYMLPSADEAKRLKSSLQNCKVRLFKDHGHTILLEVGISLLTIVKGTCKYRCSRRFDFVSDFVPPSMSEFKYAFDEVHGLFRAPPAGAMFSTLDDGRIVRGLVGVPKEGPVLFVGYHMLMGFELFPLVEEFLREKIVVRGLAHPVIFTDPLECLTSEFSVQDLQKVLGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEHKLCWPKRQEFVRMAARFGAAIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFLREINRNDMKLRDESEGEVANQELFIPGLLPKVPGRFYFLFGKPIETKGKEELLKDRDYANELYLQVKSEVEHIMDYLLKKREEDPYRSIIDRTLYHAIYHPWQDVPAFDP >Manes.09G158301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35381072:35414009:1 gene:Manes.09G158301.v8.1 transcript:Manes.09G158301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHEALGKAFEVWCLHIPVYDRTPFEGLLKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFDRSQLQPLLPILEALPDGLHNTVPYLFSLFMGDPLKMATIGIENRLPPKSKIKKLFGNLTAFLPLLSGLADIIPTETLLWKLKLLNSAAAYANSCLHAIKAEVLVLASGKDYMLPSADEAKRLKSSLQNCKVRLFKDHGHTILLEVGISLLTIVKGTCKYRCSRRFDFVSDFVPPSMSEFKYAFDEVHGLFRAPPAGAMFSTLDDGRIVRGLVGVPKEGPVLFVGYHMLMGFELFPLVEEFLREKIVVRGLAHPVIFTDPLECLTSEFSVQDLQKVLGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEHKLCWPKRQEFVRMAARFGAAIVPFGTVGEDDIAELVLDYNDLMQIPFVNDFLREINRNDMKLRDESEGEVANQELFIPGLLPKVPGRFYFLFGKPIETKGKEELLKDRDYANELYLQVKSEVEHIMDYLLKKREEDPYRSIIDRTLYHAIYHPWQDVPAFDP >Manes.11G150600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31484583:31485471:1 gene:Manes.11G150600.v8.1 transcript:Manes.11G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNPRNPISRLFQILYKSSSTDLKNPTKEHKTMAKFSSSRGQKKIIGMKKLNAVVKQLQKSLLMVKKPNSYHRKYVPEDVEEGHFAVMATGGDERRRFVVPLRFLNQPAFVILLEQAAEEFGFNPEGVLTIPCSPSELERILAEESGYQED >Manes.11G149000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31347452:31348405:-1 gene:Manes.11G149000.v8.1 transcript:Manes.11G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWATVMNLPSSSQRGRPKSISLNTPKLLINNAKPRKDRHSKVNGRDRRIRLPAPCAARIFQLTRELGNKTDGETIEWLLRKAEPSIVAATGHGITSKANIAPAPPVAAAASGQSFISPLSSGKLPLGRVDFSGPGVMYPCDASGAVTKAQGILLKNCEFPRPEQASPSFEFDLVANFDKEFAVNDVFQFMTGNDLEGEVQLQV >Manes.11G149000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31347134:31348389:-1 gene:Manes.11G149000.v8.1 transcript:Manes.11G149000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWATVMNLPSSSQRGRPKSISLNTPKLLINNAKPRKDRHSKVNGRDRRIRLPAPCAARIFQLTRELGNKTDGETIEWLLRKAEPSIVAATGHGITSKANIAPAPPVAAAASGQSFISPLSSGKLPLGRVDFSGPGVMYPCDASGAVTKAQGILLKNCNDLEGEVQLQV >Manes.17G096800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30405623:30406700:1 gene:Manes.17G096800.v8.1 transcript:Manes.17G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVDIWTAELAKLREKGQTLWSGNSSPTATSESSKLARSEGATLAESLATFVRGMRVKSPGLPYSEAALSMLVDCFSA >Manes.03G047200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4248704:4251249:-1 gene:Manes.03G047200.v8.1 transcript:Manes.03G047200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELHSQKKTIQDIADCLKQVPLHPKIISGIKSAHASGCDLRIVSDANTIFIETILKHYGLMDCFSEIITNPSYVDEEGRLRILHYHHHHFNSSAHGCTICPPNMCKGLVMETMRASVSAEGKSQFVYVGDGTPDFCAAMKLEQGDIVMPRKNFPLRDLIYNNKNLIKANIQEWSDGEDLGTKLQLIDAIEEKCSSLKPGTFVPADCKLQTASSISARDSFARNLLPVPR >Manes.03G047200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4248704:4251249:-1 gene:Manes.03G047200.v8.1 transcript:Manes.03G047200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMELHSQKKTIQDIADCLKQVPLHPKIISGIKSAHASGCDLRIVSDANTIFIETILKHYGLMDCFSEIITNPSYVDEEGRLRILHYHHHHFNSSAHGCTICPPNMCKGLVMETMRASVSAEGKSQFVYVGDGTPDFCAAMKLEQGDIVMPRKNFPLRDLIYNNKNLIKANIQEWSDGEDLGTKLQLIDAIEEKCSSLKPGTFVPADCKLQTASSISARDSFARNLLPVPR >Manes.03G047200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4248704:4251249:-1 gene:Manes.03G047200.v8.1 transcript:Manes.03G047200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAMARVVVVFDFDKTIIDCDSDNWVVEQLGINDIFTQLLPTLPWNSLMDRMMMELHSQKKTIQDIADCLKQVPLHPKIISGIKSAHASGCDLRIVSDANTIFIETILKHYGLMDCFSEIITNPSYVDEEGRLRILHYHHHHFNSSAHGCTICPPNMCKGLVMETMRASVSAEGKSQFVYVGDGTPDFCAAMKLEQGDIVMPRKNFPLRDLIYNNKNLIKANIQEWSDGEDLGTKLQLIDAIEEKCSSLKPGTFVPADCKLQTASSISARDSFARNLLPVPR >Manes.12G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34600432:34607311:-1 gene:Manes.12G139800.v8.1 transcript:Manes.12G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSGSSPLIPPSPITETSEIDLEAGPGEQTQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAAKEGFAFVHCTTCKAPYHLRVHVDADRKWRTLKLRFFVTRDIAFIFLAVQLVIASLGYLVYLIDSYQHSWLRHAWGFDNELSFYYICGSLLFFALLGLSGCFITCYDQRVRSDLTQPCRELCFCCCQPGLCADCHLPGTLCMWTDCTTCCESCASAAGECGCLGGAGEAGLPLLFVMALIVVGLFTVIGIFYSVLVATMVGQRIWQRHYHILTKRMLTKEYVVEDVDSETMGSDWSPPPLPPEHVQHLQVLGLL >Manes.13G151300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36627555:36630101:-1 gene:Manes.13G151300.v8.1 transcript:Manes.13G151300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPIATLSSPAFKIPSLHRLNYRFRNQTVSFRSSSIKTLPFNPQPTRKRFGLFYSRNLGFCLNASENGQGERKTSVDDDAERLARGESTMPDRFRHLTKEAPDPPVRWPWFVALGFLVYAWRAVLFELGNWKKGALAVVSFVGYLLKLLLAVIFHFIGDPVTSMIGCIETLIYIVRAFYSGIVAYAPIPELTMIIVLASAVLAIAEAAAPDSIVSQPYLLTMSGLVGYAAVRNYISEPFFWTLLLGFYGFSRLVKKRDHVTSALPAAAVLAAIGEPWVRVLVMLSYLALAISHHSRKLSKEKEEVEVVATGQRVPVPLLCAALAIGIRLAAKWAGYRHLTWMIV >Manes.15G051150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3914325:3924621:-1 gene:Manes.15G051150.v8.1 transcript:Manes.15G051150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPQLVYGGIEPRRFILPARYASPTRITVRKRTNRVLAVATEPKPAQTGADKSSSPKNLNGSSRSPPSKGFASRSSPLKPVTGASTRIGDVSQEIKRVRAQMEENEELAILMKGLRGQNLRDAQFADDNIKLRLVELDESSEFLPLVYDPASIATYWGKRPRAVATRALQLLSVAGGFLSRLAWDIINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPVAMTELQKLCDKVPSFPDDVAMALIEEELGQPWHEMYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLYIIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENASQFAEMMRKDLPQVVVPKTYKKYTSRKVITSQWIDGEKLSQSTESDVGELVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLDFIPEGVNLAPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFETFITAAKSGGGENLKGNMAELGILQSTGSIFPGFTLSTSQSSRPIKTRAALAFLLSEKGNFFREFLLDEVVKGIDAVTREQLVQILAILGVGNARPVFSMVPGPFKPAGLLPTITEEDRIILNNVQKIVEFLTAGSRSSSQDMNVAQIIQELLPDLPGISARVLPEVLTRLSSRVAARIIRDTFV >Manes.03G189200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30851952:30852291:-1 gene:Manes.03G189200.v8.1 transcript:Manes.03G189200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQAARITFRLLVAFLAIMVLFYVGRPLYWKISATIQEIRENKRTVQQGISQIVYEAQKSVGWFHDESDSGVREDRKAINRRLLF >Manes.02G184700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14774522:14776080:-1 gene:Manes.02G184700.v8.1 transcript:Manes.02G184700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPTMATAKSLRSPLFIPFLLLASCLSSANGEKFKANVTIANGLAYGFYRYTCPQVEFIVRKYLMQVYRRDVGQAAGILRLHSHDCFVQGCDGSVLLDRSPERTELPNLSLRPQSFEIIETLRQIVHSQCGRVVSCSDLLTLAARDAVFFTGGLDYAVPLGRRDGVTFPRINQTFEDLVAPTAKTTEILTKFARKDLNLVDTVALSGAHSIGIAHCITFEERLFPDRDPTMEVSLFNSLRQVCPAPGATAPVWLDFRSPNRFDNLYYIDLMNRQGIFTSDQDLFEDPRTRPTVISFAANQRLFFRNFAIAMTKMGIVDVLTDGQGEIRGRCSMRNSDVNDLESVVEQDLGPSSSASI >Manes.02G126600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9596042:9597268:-1 gene:Manes.02G126600.v8.1 transcript:Manes.02G126600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRKEGSSSSSSFTAELFGTKDSPPSSSTGIFASIFPPPATVLGRKSSSSEVIGSWQKQPFGNQAWNTKHGAPAATSEAASYNMPNKDKNSIFMEEKAEPCHLSSSLYYGGQDNYSQPPSSHISGSYPPFKKDGGEDDPNGNNSNDASRGNWWQGSLYY >Manes.06G020101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3518903:3520664:1 gene:Manes.06G020101.v8.1 transcript:Manes.06G020101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTTLVKSVLSSLPIYPMQCKLLPVSLCKEMEQVCRDFIWQGSNQSLKVHLVAWDILKRPRDHRGLGIHDFSTMNKALLGKLAWRAIENDDCLWTKCFIKKYLQGISKWTPNATASSSHIWKAFCKGYGSIKDGLMVDVRNGSSTNFWFDSWLSIGPLAQFALIPIDDSMATVSVRHFWSPLTGWNWDVLKDLLPDNILQFIQPVCLSNVAANTDSLSWKWSSKGNFTVRSAYNNTLYGINSGHGSPLWKMIWTLKVPQKVSMFLWQVAHKKIMTNMERIRRGFTSQGICPICNLGQEDIFHLLRDCRDAHNFWISIDASSFYPHFFTVVDSTEWIFANLKSNYTQIDGQQWTIIFPLGIWYLWKRRNKVIFESPAQNPPMSKHFILQQALENTEAWKRASGVGHTIPRSSTTFISWSPPAMGWIAINTDGAAKGSPGPAGCAGVFRDSNGDWILGYQSALGTCTAIEAELWGILLGLRTAWDRGWKFVQVQTDSQVAMQLIEKKLRWSRPIYFKHIFREANYVADCLAKSSVEGLLGMQILETPSIESRYYLQADAVEVIWSRGFA >Manes.14G164233.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25954737:25954952:1 gene:Manes.14G164233.v8.1 transcript:Manes.14G164233.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLGTTGEFFRRRDEWRKHPMVTNQFRHAVPGLGIGLVAFGIYLVGEQVYNKLYAPSSSHHASSSSHSH >Manes.14G164233.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25954685:25957494:1 gene:Manes.14G164233.v8.1 transcript:Manes.14G164233.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLGTTGEFFRRRDEWRKHPMVTNQFRHAVPGLGIGLVAFGIYLVGEQVYNKLYAPSSSHHASSSSHSH >Manes.03G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3550825:3553034:-1 gene:Manes.03G040300.v8.1 transcript:Manes.03G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASNGEEPTSWEELYNINLMPSELFFKFRKEIEGLRVGVNLEFYNAPINDFQAKIVLKPLSPERRWKFIYEPIHKDVRVLSKKIPITKFLNLQVGIGHNFQLQATGWNWKLTTCLGGDGVSRIRNKTSLGLFPGMDLRFGWRADYVFPEISGALGTGEPLFNLSTGRLQASLDRVETIVTHTS >Manes.03G040300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3549949:3553034:-1 gene:Manes.03G040300.v8.1 transcript:Manes.03G040300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASNGEEPTSWEELYNINLMPSELFFKFRKEIEGLRVGVNLEFYNAPINDFQAKIVLKPLSPERRWKFIYEPIHKDVRVLSKKIPITKFLNLQVGIGHNFQLQATGWNWKLTTCLGGDGVSRIRNKTSLGLFPGMDLRFGWRADYVFPEISGALGTGEPLFNLSTGRLQASLDRVETIVTHTS >Manes.15G145400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11941160:11942092:1 gene:Manes.15G145400.v8.1 transcript:Manes.15G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAALSPATFAAATISSPKRKSMNVNYITGLNSFGGLKAHNSVSSLGLPVCTERSFAKVVSSLRTSSNRKGRGGGGGALSSTCSAIDEIFRIAAIMNGLVLVGVAVGFVLLRVEAFVEESE >Manes.03G022600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1856201:1859068:-1 gene:Manes.03G022600.v8.1 transcript:Manes.03G022600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSETSVCKTSESESENVLRFNKSLQELRDLRLQLHHAAEYCEATFMNAKEKKVVVENTKEYICRAVVAVVDHLGCVSSNLNQSISKNNEFSEAELRINSLNQRLLSCEEYAQKLALKRVRWSANLPRFHRRYLSAPITNVEIDKLNEDERNPNSPAAKIIIDKPGFEAEDLPLFLCTYTQKPSLARNSYSIEKDDSDSASALPVHDGLSILSKAPNPTFHFQQISQKHGRYRLFRKSASRNSSNEIFSLIRRIKRTI >Manes.15G178980.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16526382:16527769:-1 gene:Manes.15G178980.v8.1 transcript:Manes.15G178980.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGPLAVPLLGSPVDHRILPLLTFIATHPLALVFAFDGFKMKIFLSEFAMLVYTLFVSCCPQYPPPPAPPGPASCTESCCLASLLEMPIYIYIPLVSLTTNG >Manes.02G001000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:144021:148183:1 gene:Manes.02G001000.v8.1 transcript:Manes.02G001000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIAAHLVSRSSHLSIHALETKANKLSHTGPWTQTITPNGLRSLNTMDKLQMKTQSKAVKKVSATGNGRPAAKIICGHGMNLIFVGAEVGPWSKTGGLGDVLGGLPPAMAARGHRVMTVSPRYDQYKDAWDTSVSVEIKIGDRIETVRFFHSYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPRAGLDYQDNQLRFSLLCLAALEAPRVLNLNSSKNFSGPYGEEVAFIANDWHTALLPCYLKAIYQPMGIYKHAKVAFCIHNIAYQGRFAFSDFPRLNLPDKFKSSFDFIDGYEKPVKGRKINWMKAGILESDRVLTVSPYYAQEVISGVERGVELDNFIRKTGIAGIINGMDVQEWNPVTDKYIDIHYDATTVMDAKPLLKEALQAEVGLPVDRNVPLIGFIGRLEEQKGSDIFVAAISQLVEHNVQIVILGTGKKKFEKQIEHLEVLYPDKARGVAKFNVPLAHMITAGADFMLVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGYTGFQMGALRVECDKIDSADVAAIVKTVARALGTYATAALREMILNCMAQDLSWKGPARMWEKMLLDLEVAGSEPGTEGEEIAPLAKENVPTP >Manes.02G001000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:144021:148183:1 gene:Manes.02G001000.v8.1 transcript:Manes.02G001000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIAAHLVSRSSHLSIHALETKANKLSHTGPWTQTITPNGLRSLNTMDKLQMKTQSKAVKKVSATGNGRPAAKIICGHGMNLIFVGAEVGPWSKTGGLGDVLGGLPPAMAARGHRVMTVSPRYDQYKDAWDTSVSVEIKIGDRIETVRFFHSYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPRAGLDYQDNQLRFSLLCLAALEAPRVLNLNSSKNFSGPYGEEVAFIANDWHTALLPCYLKAIYQPMGIYKHAKVAFCIHNIAYQGRFAFSDFPRLNLPDKFKSSFDFIDGYEKPVKGRKINWMKAGILESDRVLTVSPYYAQEVISGVERGVELDNFIRKTGIAGIINGMDVQEWNPVTDKYIDIHYDATTVMDAKPLLKEALQAEVGLPVDRNVPLIGFIGRLEEQKGSDIFVAAISQLVEHNVQIVILGTGKKKFEKQIEHLEVLYPDKARGVAKFNVPLAHMITAGADFMLVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGYTGFQMGALRVECDKIDSADVAAIVKTVARALGTYATAALREMILNCMAQDLSWKGPARMWEKMLLDLEVAGSEPGTEGEEIAPLAKENVPTP >Manes.02G001000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:143774:148183:1 gene:Manes.02G001000.v8.1 transcript:Manes.02G001000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIAAHLVSRSSHLSIHALETKANKLSHTGPWTQTITPNGLRSLNTMDKLQMKTQSKAVKKVSATGNGRPAAKIICGHGMNLIFVGAEVGPWSKTGGLGDVLGGLPPAMAARGHRVMTVSPRYDQYKDAWDTSVSVEIKIGDRIETVRFFHSYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPRAGLDYQDNQLRFSLLCLAALEAPRVLNLNSSKNFSGPYGEEVAFIANDWHTALLPCYLKAIYQPMGIYKHAKVAFCIHNIAYQGRFAFSDFPRLNLPDKFKSSFDFIDGYEKPVKGRKINWMKAGILESDRVLTVSPYYAQEVISGVERGVELDNFIRKTGIAGIINGMDVQEWNPVTDKYIDIHYDATTVMDAKPLLKEALQAEVGLPVDRNVPLIGFIGRLEEQKGSDIFVAAISQLVEHNVQIVILGTGKKKFEKQIEHLEVLYPDKARGVAKFNVPLAHMITAGADFMLVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGYTGFQMGALRVECDKIDSADVAAIVKTVARALGTYATAALREMILNCMAQDLSWKGPARMWEKMLLDLEVAGSEPGTEGEEIAPLAKENVPTP >Manes.15G053600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4123926:4125319:1 gene:Manes.15G053600.v8.1 transcript:Manes.15G053600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHAVRIWKFSDVVGAFLDLSIAFLLLYASTLAYFASKFLALFGLNLPCPYNSFFAIPDNTDNNCLQRRLVDHASQKIFSVRSSVKSKFPFGSIGNDLQWNSNKGTDTHEGFGSEGEVSSVSSIERRTDNVTGADLAEMKDKSFVMGAMNLPDVKEGRYESKGKWITRHRSRSGLRRRRKVSFHRNGRKIPWVSSYKSLWSNAETPKSAPARISKLEDEDGKRPANFEGESACNVNSCDILDVKETSVHIGSKRKFSHGFELNESVDENEPTQENPSTADEFNSHLDQGSDSNAKSIIRLLTQALEEDHSARAVLYIELEKERSAAATAADEAMSMILRLQEEKASIQMEAIQCQRIMEEKCAYDAEEMSVLKEIIARREKEKYYLEKEVEAYRQMISGNEQLDAEIYGIAATKGEIIFKQRRFIADAATAD >Manes.06G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23985917:23989626:1 gene:Manes.06G107700.v8.1 transcript:Manes.06G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFCMDMKADSIPGTKLRNESFCILHTTRQLQCIQTQTVVAKRTTQKQQQHSTEMAAFTTSQTHSYYPLMRFFISFLLVFASSFGSVLSLQVMSDSGNVLPANQTFRPGKEMWKLKRVNAFLKKINKPAVKTIQSPDGDIIDCVLSHLQPAFDHPELKGQKPLDPPERPRGNETTETETKNYQVWTDSGESCPEGSVPIRRTTEKDVLRASYMRRFGRKLRRHVRRDSTGNGHEHAVLFVNGEQYYGAKANINVWAPRVTDQYEFSLSQIWVISGSFGKDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYRTTGCYNLLCSGFVQTNNKIAIGSAISPRSSYNGRQFDIGLMIWKDPKHGNWWLEFGSGLLVGYWPAFLFSHLRSHASMIQFGGEIVNFRSSGYHTSTQMGSGHFAEEGYGKASYFRNLQVVDWDNNLLPLTNLHLLADHSNCYDIRQGRSNAWGTYFYYGGPGRNVRCP >Manes.06G107700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23985916:23989626:1 gene:Manes.06G107700.v8.1 transcript:Manes.06G107700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFCMDMKADSIPGTKLRNESFCILHTTRQLQCIQTQTVVAKRTTQKQQQHSTEMAAFTTSQTHSYYPLMRFFISFLLVFASSFGSVLSLQVMSDSGNVLPANQTFRPGKEMWKLKRVNAFLKKINKPAVKTIQSPDGDIIDCVLSHLQPAFDHPELKGQKPLDPPERPRGNETTETETKNYQVWTDSGESCPEGSVPIRRTTEKDVLRASYMRRFGRKLRRHVRRDSTGNGHEHAVLFVNGEQYYGAKANINVWAPRVTDQYEFSLSQIWVISGSFGKDLNTIEAGWQTDAYRTTGCYNLLCSGFVQTNNKIAIGSAISPRSSYNGRQFDIGLMIWKDPKHGNWWLEFGSGLLVGYWPAFLFSHLRSHASMIQFGGEIVNFRSSGYHTSTQMGSGHFAEEGYGKASYFRNLQVVDWDNNLLPLTNLHLLADHSNCYDIRQGRSNAWGTYFYYGGPGRNVRCP >Manes.01G016900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4167212:4170315:-1 gene:Manes.01G016900.v8.1 transcript:Manes.01G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVPREGDNPLSVSGPRPMEWSTVPYTGPQGPGPNGKQRTSSLESPIMLLTGHQSAVYTMKFNPAGNLIASGSHDKEIFLWYVHGECKNFMVLKGHKNAVLDLHWTTDGYQIISASPDKTVRAWDIETGKQIKKMAEHSSFVNSCCPSRRGPPLIVSGSDDGTAKLWDMRQRGAIQTFPDKYQITAVGFSDASDKIFTGGIDNDVKVWDLRKGEVTMKLEGHQDMITSMQLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKVLEGHQHNFEKNLLKCSWSPDGSKVTAGSSDRMVYVWDTTSRRILYKLPGHTGSVNECVFHPTEPIVGSCSSDKQIYLGEI >Manes.14G083400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6919888:6924211:-1 gene:Manes.14G083400.v8.1 transcript:Manes.14G083400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLTIGAWKERQRENDPLLPSKAQICFVQIPKHIHLCLHRSPDHGAKVLIRVSGHPSRSSILVSHQLITFPPEKRLQKLSHRSLRVHGYLLKSKRGRFSGPEQSSSCVQNTESLKRDKGQNWFQKQLCRQMNWEHDSEFAAAVAAAAFAIYTLEEAEEEYRRKIRKEFEKSKTEVRTRKEDRSAGSVRVTRSSSTKEVKDAELGHRAQDTFMPTRRPSRLASTRSMVSTDQREKANSTRSEVGESKVDSWEKAQLRKINKRYEKMKTKVLAWENEKKMEAKLHMERKKNELELRKSRNLQHYQINVERIDAIAGGAKAQVEEKRRSEEAEVKEKAKYMRRKGKNPVRCFCC >Manes.14G083400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6919888:6924211:-1 gene:Manes.14G083400.v8.1 transcript:Manes.14G083400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLTIGAWKERQRENDPLLPSKAQICFVQIPKHIHLCLHRSPDHGAKVLIRVSGHPSRSSILVSHQLITFPPEKRLQKLSHRSLRVHGYLLKSKRGRFSGPEQSSSCVQNTESLKRDKGQNWFQKQLCRQMNWEHDSEFAAAVAAAAFAIYTLEEAEEEYRRKIRKEFEKSKTEVRTRKEDRSAGSVRVTRSSSTKEVKDAAELGHRAQDTFMPTRRPSRLASTRSMVSTDQREKANSTRSEVGESKVDSWEKAQLRKINKRYEKMKTKVLAWENEKKMEAKLHMERKKNELELRKSRNLQHYQINVERIDAIAGGAKAQVEEKRRSEEAEVKEKAKYMRRKGKNPVRCFCC >Manes.17G061700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26123023:26123972:1 gene:Manes.17G061700.v8.1 transcript:Manes.17G061700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGQHMLDRGAQMLQSLKPIKKMSQHVYTFAIYSHDISRQIETHHYISKINRDFLQCAVYDTDDSNGLYVGVEYIVSDEIFKSLPPEEQKLWHSHANEVKSRLLVHPKVSEMLVKSELENLAKTYGKFWCTWQTDRGDRLPLGTPALMMSPQDAKLGMISPKLVEKRGVKFNILTDATKESRVEIAEPESINPQADYWKQHRKGFAIDIN >Manes.06G085000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22017509:22021834:1 gene:Manes.06G085000.v8.1 transcript:Manes.06G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKRCHYEVLGLSRDCTAEEIRSAYRKLALQCHPDKLIQSGLSQAEATAKFQELAQAYEVLSDPKERAWYDSHRSQILFSDPNSANSVPGSVIPNLFSFFSNTVYSGYTDTGKGFYKVYSDVFNKIYANEISFCKKLGLRLDSLREAPVMGNLASPYGQVTAFYNYWLGFCTVMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNETVRGLAEFVKKRDKRVIDMMVKKNAELEKKKEEDRERKKKLEREKMERARAYEEPEWAKIDEEDVEEVEGFEDEGKSKKGNEGKELYCVVCGKKFKSEKQWKNHEQSKKHKEKVAEFRESFGDEEEETVEDFEEDEQENNGEILNNVDAVDERFREGFKIREEENGVENPELSDEEDGFFDVEDGDEVEGFDVADGNLDDDEADDGDGEMSVLEAMVTGHKSRKSRGSRHVNENSPVEVRVEDVNEELEVMEYNNRKSRRRRGKKETGKNYEGLSEKGDFVERSPDGENNGDDARPTEESPSHSFGEDDAGNDNNNVRKNHKNSYHPVNNKGPAKKESNNKTKSLSKGKKGKAAANNSGNVCEKCGEEFESRNKLHKHLSDTGHASLKFR >Manes.06G085000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22017509:22021834:1 gene:Manes.06G085000.v8.1 transcript:Manes.06G085000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKRCHYEVLGLSRDCTAEEIRSAYRKLALQCHPDKLIQSGLSQAEATAKFQELAQAYEVLSDPKERAWYDSHRSQILFSDPNSANSVPGSVIPNLFSFFSNTVYSGYTDTGKGFYKVYSDVFNKIYANEISFCKKLGLRLDSLREAPVMGNLASPYGQVTAFYNYWLGFCTVMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNETVRGLAEFVKKRDKRVIDMMVKKNAELEKKKEEDRERKKKLEREKMERARAYEEPEWAKIDEEDVEEVEGFEDEGKSKKGNEGKELYCVVCGKKFKSEKQWKNHEQSKKHKEKVAEFRESFGDEEEETVEDFEEDEQENNGEILNNVDAVDERFREGFKIREEENGVENPELSDEEDGFFDVEDGDEVEGFDVADGNLDDDEADDGDGEMSVLEAMVTGHKSRKSRGSRHVNENSPVEVRVEDVNEELEVMEYNNRKSRRRRGKKETGKNYEGLSEKGDFVERSPDGENNGDDARPTEESPSHSFGEDDAGNDNNNVRKNHKNSYHPVNNKGPAKKESNNKTKSLSKGKKGKAAANNSGNVCEKCGEEFESRSAWCFILFANMLFNLLLCYFFFSNSSLPFFRRNKEILILIPSPWQE >Manes.15G120900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9587853:9593011:-1 gene:Manes.15G120900.v8.1 transcript:Manes.15G120900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCAKNFFQRFCSEDVQMEGIPPGNFFSSDLLPSLGARINQATILRRYIISPYSSRYRAWEMWLVVLVIYSAWISPFELAFLTYKKDDALFIIDNIVNGFFAIDIVLTFFVAYLDSHTHLLVDDPKKIAIRYISTWFLFDVCSTAPFQSISLLFTNQSSSEIGFRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLVSVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPNFKEDSLWNRYVTAMYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTIRAASEFATRNQLPPHIQDQMLSHLCLKFKTEGLKQQKTLNGLPKAIRSSIAYHLFYPIVEKVYLFQGVSHDFLFQLASGMEAEYFPPKEDIILQSEAATDLYILVSGTVDLMCYVDGIEQVIGKANAGDIFGEIGVLYNRPQPFTARTSELSQILRLTRTSLINAIQANTADGCIIMNNLFKKLQGLESSRTGFDYQNKDPGTILGEWCDGVPKEGCSSEAGCQNNLHGDRLFHEVGDSSAEESAAKGKSKRGTGHNFIPHVGDVNSTIEDDQNSLVTAVRNGHIEMVKLLLEEGANADKPDATGSTPKALAAQQGHKNIYDLLLSYENRRKLIEHKIDLVETESEEAKNNQGQHKGVGGPNCFYFHSKMVPTNSSSRPYSCLNNKEPKNLTKKRVTIHMQFHNRSTLKRPPGKLITLPDSIEELLRIGGEKFGGYKFTRVINADNAEIDDISVIRDGDHLYLLQNDTEILDYNVT >Manes.15G120900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9587853:9593751:-1 gene:Manes.15G120900.v8.1 transcript:Manes.15G120900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLVSVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPNFKEDSLWNRYVTAMYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTIRAASEFATRNQLPPHIQDQMLSHLCLKFKTEGLKQQKTLNGLPKAIRSSIAYHLFYPIVEKVYLFQGVSHDFLFQLASGMEAEYFPPKEDIILQSEAATDLYILVSGTVDLMCYVDGIEQVIGKANAGDIFGEIGVLYNRPQPFTARTSELSQILRLTRTSLINAIQANTADGCIIMNNLFKKLQGLESSRTGFDYQNKDPGTILGEWCDGVPKEGCSSEAGCQNNLHGDRLFHEVGDSSAEESAAKGKSKRGTGHNFIPHVGDVNSTIEDDQNSLVTAVRNGHIEMVKLLLEEGANADKPDATGSTPKALAAQQGHKNIYDLLLSYENRRKLIEHKIDLVETESEEAKNNQGQHKGVGGPNCFYFHSKMVPTNSSSRPYSCLNNKEPKNLTKKRVTIHMQFHNRSTLKRPPGKLITLPDSIEELLRIGGEKFGGYKFTRVINADNAEIDDISVIRDGDHLYLLQNDTEILDYNVT >Manes.15G120900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9587853:9594437:-1 gene:Manes.15G120900.v8.1 transcript:Manes.15G120900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCAKNFFQRFCSEDVQMEGIPPGNFFSSDLLPSLGARINQATILRRYIISPYSSRYRAWEMWLVVLVIYSAWISPFELAFLTYKKDDALFIIDNIVNGFFAIDIVLTFFVAYLDSHTHLLVDDPKKIAIRYISTWFLFDVCSTAPFQSISLLFTNQSSSEIGFRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLVSVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPNFKEDSLWNRYVTAMYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTIRAASEFATRNQLPPHIQDQMLSHLCLKFKTEGLKQQKTLNGLPKAIRSSIAYHLFYPIVEKVYLFQGVSHDFLFQLASGMEAEYFPPKEDIILQSEAATDLYILVSGTVDLMCYVDGIEQVIGKANAGDIFGEIGVLYNRPQPFTARTSELSQILRLTRTSLINAIQANTADGCIIMNNLFKKLQGLESSRTGFDYQNKDPGTILGEWCDGVPKEGCSSEAGCQNNLHGDRLFHEVGDSSAEESAAKGKSKRGTGHNFIPHVGDVNSTIEDDQNSLVTAVRNGHIEMVKLLLEEGANADKPDATGSTPKALAAQQGHKNIYDLLLSYENRRKLIEHKIDLVETESEEAKNNQGQHKGVGGPNCFYFHSKMVPTNSSSRPYSCLNNKEPKNLTKKRVTIHMQFHNRSTLKRPPGKLITLPDSIEELLRIGGEKFGGYKFTRVINADNAEIDDISVIRDGDHLYLLQNDTEILDYNVT >Manes.15G177940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16489805:16493583:1 gene:Manes.15G177940.v8.1 transcript:Manes.15G177940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNFYRAFYVGTSSLEEIVSIEQCCTFRGSFLKVDARTGRILWKTYILPENHGKRGEYAGAAIWGSNPSIDVSRNHVYIATGNLYSAPLRVRQCQEKENNQTRPSSPDKCVEPENHENSIMALDLDSGKIKWYKQLGGYDVWFVACNNLSTPNCPPGPNPDADFSEEPMMLSVDINGTKRDIVAAVQKSGFAWALDRTTGELVWSTIWREDATVVIAVIIFYRVSMIHRCYMDKPNIGLRLYGNKL >Manes.15G177940.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16466921:16518282:1 gene:Manes.15G177940.v8.1 transcript:Manes.15G177940.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTYYKGAFYVGTSSLEEIVSIEQCCTFRGSFLKVDARTGRILWKTYILPENHGKRGEYAGAAIWGSNPSIDVSRNHVYIATGNLYSAPLRVRQCQEKENNQTRPSSPDKCVEPENHENSIMALDLDSGKIKWYKQLGGYDVWFVACNNLSTPNCPPGPNPDADFSEEPMMLSVDINGTKRDIVAAVQKSGFAWALDRTTGELVWSTDRTRGTEEASRVSCCRVSPASARGLQVRGSSGRRQG >Manes.03G075500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12942485:12946158:1 gene:Manes.03G075500.v8.1 transcript:Manes.03G075500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRENRYKYNTSSVGGGNGEAEAGGGSGGDGGGGGNQLMMSSNTIKPAWLEGLMAETFFGGCGVHENRRKNEKNIFCLLCCLSICPHCLFSHRSHPLLQVRRYVYHDVVRLGDLEKLIDCSYIQPYTINSAKVIFLNQRPQSRSYKGSANVCFSCDRILQEPFNFCSLSCKVDHSVEQEEDLSAILYRIDESEFEFSELEGLRMDSSETIDEDSHIVEEQVQFRGSSCSNDIIINSGILKESEVPQRKQKGGSGFLPGFVLSLSSRRKSAPHRAPLS >Manes.03G075500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12942485:12946158:1 gene:Manes.03G075500.v8.1 transcript:Manes.03G075500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRENRYKYNTSSVGGGNGEAEAGGGSGGDGGGGGNQLMMSSNTIKPAWLEGLMAETFFGGCGVHENRRKNEKNIFCLLCCLSICPHCLFSHRSHPLLQVRRYVYHDVVRLGDLEKLIDCSYIQPYTINSAKVIFLNQRPQSRSYKGSANVCFSCDRILQEPFNFCSLSCKVDHSVEQEEDLSAILYRIDESEFEFSELEGLRMDSSETIDEDSHIVEEQVQFRGSSCSNDIIINSGILKESEVPQRKQKGGSGFLPGFVLSLSSRRKSAPHRAPLS >Manes.06G093900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875624:22880588:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875693:22879626:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875693:22879626:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875624:22880588:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875693:22879626:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875624:22880588:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875693:22880222:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875624:22880588:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875693:22879626:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.06G093900.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22875692:22880219:1 gene:Manes.06G093900.v8.1 transcript:Manes.06G093900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHLHHHHHRPNFPFQLLEKKDDEPCSSNSPYPSSLPISSAAEPNNSNNNNSTLNRSSSSLQIVPESSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGLGLETSPTPTFLNFQSSNLNAMLQAKQELRDSSSLELSTETEESLSRKRRQEQELPSQQHQMGSYFLQSSTGAIPASHNQIPANFWMLANPNNQAMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPVALLPSQQLGSSSISGGGGSGGNSGISEGHLNMLAGLNPYRSPGVSDSQASGSHSHHGGGCGSGNGDDRHDTTSHHS >Manes.17G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19777395:19784568:1 gene:Manes.17G028200.v8.1 transcript:Manes.17G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVSAAINLLSALIFLLAFAILRLQPFNDRVYFSKWYLKGIRSSPTHSGAFVRRFVNLDFRSYLRFLNWMPEAIRMPEPELIEHAGLDSAVYLRIYLLGLKIFVPITLLAWAILVPVNWTNSTLELSQVTSSDIDKLSISNIPLKSPRFWTHIVMAYAFTFWTLYVLMKEYEKVATMRLQFLASERRRPDQYTVLVRNVPPDPDESVSELVEHFFLVNHPDNYLTHQVVYNSNKLAKLVKKKKSMQNWLDYYQLKYSRNQLQRPVMKTGFLGLWGQKVDAIDHYIAEIEKLSKEIAEEREKVETDPKSIMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTEWASEPRDVYWNNLAIPYVSLAIRRLIMNVAFFFLTFFFMIPIAFVQALASIEGIEKKAPFLKPIIETKFIKSLIQGFLPGIALKLFLIFLPTILMIMSKFEGFTSLSSLERRSAARYYFFNIVNVFLGSIITGTAFEQLNSFIKQSASDIPKTIGVAIPMKATFFITYIMVDGWTGIAGEVLMLKPLIIFHLKNFLLVKTEKDREEAMDPGSLGFNTGEPRIQFYFLLGLVYATVTPALLPFIIIFFAFAYVVFRHQIINVYNQEYESGAAFWPDVHGRVIIALIISQVLLIGLLSTKQAAQSTPFLLALPVLTIWFHKFCKGRYEPAFKRYPLQEAMMKDTLERAREPNLNLKAYLQNAYMHPVFKGDSDDGDEDMSEKLETESVVVPTKRQSRKNTPVHSRVSGGSSPPSLTAEVIKEHPEP >Manes.12G152000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36006255:36023366:1 gene:Manes.12G152000.v8.1 transcript:Manes.12G152000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGQLIAARRAYRSAKEVGHRQEEARWANLIGNILKNRGEYVEALKWFRIDYDISVKYLPEKHLLPTCQSLGEIHLRLHQLKDALNYQQMHLKLAKDSNDIIEQQRASTQLGRTYHEKFLKHDNDHDSVKNAKKYFKSAMKLAQTLKVNPPTDKSSFLKEYIDAHNNIGMLEMDLDNLKSAKNILTNGLRICDEEEVNENDDARSRLHHNLGNVYMELREWDNAREHIEKDILICKRIGHCQGEAKGYVNLGELHYRIQKYDEAIRCYRRALVLAKSMEDENVLVEQIHQNIATVKEAVKVMEELKKDEQNLKKLTRNAVNAMGRPCERKFLLQQNELLDRLIEKSSMIFAWNAHCNYAKLKKRIAKQLCDKEKLGDSYLVLGESYRKLRNFSKAIKSVTKSWKTYNSIGNLEGEALAKISIGDILDCDGDFTGALNAFEESYRIAVEANLPSLQLSALENMHYSHMIRFDNVEESSKLQREISHLKQSKRRELERQNLARDCCSETDTDGDVSDIRDNASHSPQTSKSSSAQSKLLADNCNTFDEPAEDSPKCLSKTSSQQTIIGRKRRVILSDDEDSCYRRFHRCPAEDVTTDDGSKKENNVANSTSKSQDLLKVASECAISSCNPVNIEESTCSYKSPSTKKQCITFRINNILINVGGSYLVVDDLSIESLKVELACSYYLQLPIERRSKGLLPIVQHMTCAGKVLESSEAFKTLENDQGNILIEVAVNGWVPKRLMKLYIDFCEELSEAPNMKLLKKLYISEVEDEIIASECELQDISITPLLNALNMHKTVAMLDLSHNLLGNGTMEKLQQFFTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITSRTIQKVTDALNSGSILSQLSIGYNNPLSGNAIVNLLTKLAALKCFAELNLNGLKISRAVIDSLGQLATKSSLSRLMLGCTAIGTFSRVCET >Manes.12G152000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36006255:36023366:1 gene:Manes.12G152000.v8.1 transcript:Manes.12G152000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGQLIAARRAYRSAKEVGHRQEEARWANLIGNILKNRGEYVEALKWFRIDYDISVKYLPEKHLLPTCQSLGEIHLRLHQLKDALNYQQMHLKLAKDSNDIIEQQRASTQLGRTYHEKFLKHDNDHDSVKNAKKYFKSAMKLAQTLKVNPPTDKSSFLKEYIDAHNNIGMLEMDLDNLKSAKNILTNGLRICDEEEVNENDDARSRLHHNLGNVYMELREWDNAREHIEKDILICKRIGHCQGEAKGYVNLGELHYRIQKYDEAIRCYRRALVLAKSMEDENVLVEQIHQNIATVKEAVKVMEELKKDEQNLKKLTRNAVNAMGRPCERKFLLQQNELLDRLIEKSSMIFAWNAHCNYAKLKKRIAKQLCDKEKLGDSYLVLGESYRKLRNFSKAIKSVTKSWKTYNSIGNLEGEALAKISIGDILDCDGDFTGALNAFEESYRIAVEANLPSLQLSALENMHYSHMIRFDNVEESSKLQREISHLKQSKRRELERQNLARDCCSETDTDGDVSDIRDNASHSPQTSKSSSAQSKLLAEDSPKCLSKTSSQQTIIGRKRRVILSDDEDSCYRRFHRCPAEDVTTDDGSKKENNVANSTSKSQDLLKVASECAISSCNPVNIEESTCSYKSPSTKKQCITFRINNILINVGGSYLVVDDLSIESLKVELACSYYLQLPIERRSKGLLPIVQHMTCAGKVLESSEAFKTLENDQGNILIEVAVNGWVPKRLMKLYIDFCEELSEAPNMKLLKKLYISEVEDEIIASECELQDISITPLLNALNMHKTVAMLDLSHNLLGNGTMEKLQQFFTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITSRTIQKVTDALNSGSILSQLSIGYNNPLSGNAIVNLLTKLAALKCFAELNLNGLKISRAVIDSLGQLATKSSLSRLMLGCTAIGTDGAIQLTESLFNSSQESVKLDLSYCGLRAAYIHRLNIDDTLISGIHELNLEGNPILQECSSAIASLLMNPRCGLKVLVLNKCQLGLTGVLQVIKALTENDQLEELYLADNTNLQKKCILQDDSASKGSTDILQPNLNVSEPSAMMRVSTEAGTDQQVLCAVNTDSNQLEVADSEDNLVSGEAGGEFDDSCTSSCKKNSSSECQSIQELSKAISMAKQLQLLDLSNNGFSCEAAQVLYTAWSCRLGTGLAWRHIKDQIIHFSMETNKCCRVKPCCRRD >Manes.12G152000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36006255:36023366:1 gene:Manes.12G152000.v8.1 transcript:Manes.12G152000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGQLIAARRAYRSAKEVGHRQEEARWANLIGNILKNRGEYVEALKWFRIDYDISVKYLPEKHLLPTCQSLGEIHLRLHQLKDALNYQQMHLKLAKDSNDIIEQQRASTQLGRTYHEKFLKHDNDHDSVKNAKKYFKSAMKLAQTLKVNPPTDKSSFLKEYIDAHNNIGMLEMDLDNLKSAKNILTNGLRICDEEEVNENDDARSRLHHNLGNVYMELREWDNAREHIEKDILICKRIGHCQGEAKGYVNLGELHYRIQKYDEAIRCYRRALVLAKSMEDENVLVEQIHQNIATVKEAVKVMEELKKDEQNLKKLTRNAVNAMGRPCERKFLLQQNELLDRLIEKSSMIFAWNAHCNYAKLKKRIAKQLCDKEKLGDSYLVLGESYRKLRNFSKAIKSVTKSWKTYNSIGNLEGEALAKISIGDILDCDGDFTGALNAFEESYRIAVEANLPSLQLSALENMHYSHMIRFDNVEESSKLQREISHLKQSKRRELERQNLARDCCSETDTDGDVSDIRDNASHSPQTSKSSSAQSKLLADNCNTFDEPAEDSPKCLSKTSSQQTIIGRKRRVILSDDEDSCYRRFHRCPAEDVTTDDGSKKENNVANSTSKSQDLLKVASECAISSCNPVNIEESTCSYKSPSTKKQCITFRINNILINVGGSYLVVDDLSIESLKVELACSYYLQLPIERRSKGWVPKRLMKLYIDFCEELSEAPNMKLLKKLYISEVEDEIIASECELQDISITPLLNALNMHKTVAMLDLSHNLLGNGTMEKLQQFFTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITSRTIQKVTDALNSGSILSQLSIGYNNPLSGNAIVNLLTKLAALKCFAELNLNGLKISRAVIDSLGQLATKSSLSRLMLGCTAIGTFSRVCET >Manes.12G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36006255:36023366:1 gene:Manes.12G152000.v8.1 transcript:Manes.12G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGQLIAARRAYRSAKEVGHRQEEARWANLIGNILKNRGEYVEALKWFRIDYDISVKYLPEKHLLPTCQSLGEIHLRLHQLKDALNYQQMHLKLAKDSNDIIEQQRASTQLGRTYHEKFLKHDNDHDSVKNAKKYFKSAMKLAQTLKVNPPTDKSSFLKEYIDAHNNIGMLEMDLDNLKSAKNILTNGLRICDEEEVNENDDARSRLHHNLGNVYMELREWDNAREHIEKDILICKRIGHCQGEAKGYVNLGELHYRIQKYDEAIRCYRRALVLAKSMEDENVLVEQIHQNIATVKEAVKVMEELKKDEQNLKKLTRNAVNAMGRPCERKFLLQQNELLDRLIEKSSMIFAWNAHCNYAKLKKRIAKQLCDKEKLGDSYLVLGESYRKLRNFSKAIKSVTKSWKTYNSIGNLEGEALAKISIGDILDCDGDFTGALNAFEESYRIAVEANLPSLQLSALENMHYSHMIRFDNVEESSKLQREISHLKQSKRRELERQNLARDCCSETDTDGDVSDIRDNASHSPQTSKSSSAQSKLLADNCNTFDEPAEDSPKCLSKTSSQQTIIGRKRRVILSDDEDSCYRRFHRCPAEDVTTDDGSKKENNVANSTSKSQDLLKVASECAISSCNPVNIEESTCSYKSPSTKKQCITFRINNILINVGGSYLVVDDLSIESLKVELACSYYLQLPIERRSKGLLPIVQHMTCAGKVLESSEAFKTLENDQGNILIEVAVNGWVPKRLMKLYIDFCEELSEAPNMKLLKKLYISEVEDEIIASECELQDISITPLLNALNMHKTVAMLDLSHNLLGNGTMEKLQQFFTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITSRTIQKVTDALNSGSILSQLSIGYNNPLSGNAIVNLLTKLAALKCFAELNLNGLKISRAVIDSLGQLATKSSLSRLMLGCTAIGTDGAIQLTESLFNSSQESVKLDLSYCGLRAAYIHRLNIDDTLISGIHELNLEGNPILQECSSAIASLLMNPRCGLKVLVLNKCQLGLTGVLQVIKALTENDQLEELYLADNTNLQKKCILQDDSASKGSTDILQPNLNVSEPSAMMRVSTEAGTDQQVLCAVNTDSNQLEVADSEDNLVSGEAGGEFDDSCTSSCKKNSSSECQSIQELSKAISMAKQLQLLDLSNNGFSCEAAQVLYTAWSCRLGTGLAWRHIKDQIIHFSMETNKCCRVKPCCRRD >Manes.12G152000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36006255:36023366:1 gene:Manes.12G152000.v8.1 transcript:Manes.12G152000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGQLIAARRAYRSAKEVGHRQEEARWANLIGNILKNRGEYVEALKWFRIDYDISVKYLPEKHLLPTCQSLGEIHLRLHQLKDALNYQQMHLKLAKDSNDIIEQQRASTQLGRTYHEKFLKHDNDHDSVKNAKKYFKSAMKLAQTLKVNPPTDKSSFLKEYIDAHNNIGMLEMDLDNLKSAKNILTNGLRICDEEEVNENDDARSRLHHNLGNVYMELREWDNAREHIEKDILICKRIGHCQGEAKGYVNLGELHYRIQKYDEAIRCYRRALVLAKSMEDENVLVEQIHQNIATVKEAVKVMEELKKDEQNLKKLTRNAVNAMGRPCERKFLLQQNELLDRLIEKSSMIFAWNAHCNYAKLKKRIAKQLCDKEKLGDSYLVLGESYRKLRNFSKAIKSVTKSWKTYNSIGNLEGEALAKISIGDILDCDGDFTGALNAFEESYRIAVEANLPSLQLSALENMHYSHMIRFDNVEESSKLQREISHLKQSKRRELERQNLARDCCSETDTDGDVSDIRDNASHSPQTSKSSSAQSKLLADNCNTFDEPAEDSPKCLSKTSSQQTIIGRKRRVILSDDEDSCYRRFHRCPAEDVTTDDGSKKENNVANSTSKSQDLLKVASECAISSCNPVNIEESTCSYKSPSTKKQCITFRINNILINVGGSYLVVDDLSIESLKVELACSYYLQLPIERRSKGWVPKRLMKLYIDFCEELSEAPNMKLLKKLYISEVEDEIIASECELQDISITPLLNALNMHKTVAMLDLSHNLLGNGTMEKLQQFFTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITSRTIQKVTDALNSGSILSQLSIGYNNPLSGNAIVNLLTKLAALKCFAELNLNGLKISRAVIDSLGQLATKSSLSRLMLGCTAIGTDGAIQLTESLFNSSQESVKLDLSYCGLRAAYIHRLNIDDTLISGIHELNLEGNPILQECSSAIASLLMNPRCGLKVLVLNKCQLGLTGVLQVIKALTENDQLEELYLADNTNLQKKCILQDDSASKGSTDILQPNLNVSEPSAMMRVSTEAGTDQQVLCAVNTDSNQLEVADSEDNLVSGEAGGEFDDSCTSSCKKNSSSECQSIQELSKAISMAKQLQLLDLSNNGFSCEAAQVLYTAWSCRLGTGLAWRHIKDQIIHFSMETNKCCRVKPCCRRD >Manes.12G152000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36006255:36023366:1 gene:Manes.12G152000.v8.1 transcript:Manes.12G152000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGQLIAARRAYRSAKEVGHRQEEARWANLIGNILKNRGEYVEALKWFRIDYDISVKYLPEKHLLPTCQSLGEIHLRLHQLKDALNYQQMHLKLAKDSNDIIEQQRASTQLGRTYHEKFLKHDNDHDSVKNAKKYFKSAMKLAQTLKVNPPTDKSSFLKEYIDAHNNIGMLEMDLDNLKSAKNILTNGLRICDEEEVNENDDARSRLHHNLGNVYMELREWDNAREHIEKDILICKRIGHCQGEAKGYVNLGELHYRIQKYDEAIRCYRRALVLAKSMEDENVLVEQIHQNIATVKEAVKVMEELKKDEQNLKKLTRNAVNAMGRPCERKFLLQQNELLDRLIEKSSMIFAWNAHCNYAKLKKRIAKQLCDKEKLGDSYLVLGESYRKLRNFSKAIKSVTKSWKTYNSIGNLEGEALAKISIGDILDCDGDFTGALNAFEESYRIAVEANLPSLQLSALENMHYSHMIRFDNVEESSKLQREISHLKQSKRRELERQNLARDCCSETDTDGDVSDIRDNASHSPQTSKSSSAQSKLLAEDSPKCLSKTSSQQTIIGRKRRVILSDDEDSCYRRFHRCPAEDVTTDDGSKKENNVANSTSKSQDLLKVASECAISSCNPVNIEESTCSYKSPSTKKQCITFRINNILINVGGSYLVVDDLSIESLKVELACSYYLQLPIERRSKGLLPIVQHMTCAGKVLESSEAFKTLENDQGNILIEVAVNGWVPKRLMKLYIDFCEELSEAPNMKLLKKLYISEVEDEIIASECELQDISITPLLNALNMHKTVAMLDLSHNLLGNGTMEKLQQFFTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITSRTIQKVTDALNSGSILSQLSIGYNNPLSGNAIVNLLTKLAALKCFAELNLNGLKISRAVIDSLGQLATKSSLSRLMLGCTAIGTFSRVCET >Manes.12G152000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36006255:36023366:1 gene:Manes.12G152000.v8.1 transcript:Manes.12G152000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDGQLIAARRAYRSAKEVGHRQEEARWANLIGNILKNRGEYVEALKWFRIDYDISVKYLPEKHLLPTCQSLGEIHLRLHQLKDALNYQQMHLKLAKDSNDIIEQQRASTQLGRTYHEKFLKHDNDHDSVKNAKKYFKSAMKLAQTLKVNPPTDKSSFLKEYIDAHNNIGMLEMDLDNLKSAKNILTNGLRICDEEEVNENDDARSRLHHNLGNVYMELREWDNAREHIEKDILICKRIGHCQGEAKGYVNLGELHYRIQKYDEAIRCYRRALVLAKSMEDENVLVEQIHQNIATVKEAVKVMEELKKDEQNLKKLTRNAVNAMGRPCERKFLLQQNELLDRLIEKSSMIFAWNAHCNYAKLKKRIAKQLCDKEKLGDSYLVLGESYRKLRNFSKAIKSVTKSWKTYNSIGNLEGEALAKISIGDILDCDGDFTGALNAFEESYRIAVEANLPSLQLSALENMHYSHMIRFDNVEESSKLQREISHLKQSKRRELERQNLARDCCSETDTDGDVSDIRDNASHSPQTSKSSSAQSKLLADNCNTFDEPAEDSPKCLSKTSSQQTIIGRKRRVILSDDEDSCYRRFHRCPAEDVTTDDGSKKENNVANSTSKSQDLLKVASECAISSCNPVNIEESTCSYKSPSTKKQCITFRINNILINVGGSYLVVDDLSIESLKVELACSYYLQLPIERRSKGLLPIVQHMTCAGKVLESSEAFKTLENDQGNILIEVAVNGWVPKRLMKLYIDFCEELSEAPNMKLLKKLYISEVEDEIIASECELQDISITPLLNALNMHKTVAMLDLSHNLLGNGTMEKLQQFFTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITSRTIQKVTDALNSGSILSQLSIGYNNPLSGNAIVNLLTKLAALKCFAELNLNGLKISRAVIDSLGQLATKSSLSRLMLGCTAIGTFSRVCET >Manes.05G190200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31286783:31291902:1 gene:Manes.05G190200.v8.1 transcript:Manes.05G190200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSVSKEVSNYDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVHEVSGTEFLVSCIEQRLRTCREYIDHEGLTQQSLVINTPKYHKRYILPVGETMRGASRTKAKYMGCSLDDEDDWHQFRNAVRATITETPTSSVSKGRSPSPSPRPPQRSATFSFTSTMPKKQLEKRTVSPHRFPLLRSGSVSSRPTTPNSSRPTTPSSAATARRRYPSEPRKSASMRIQPGTENSKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >Manes.05G190200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31286840:31291900:1 gene:Manes.05G190200.v8.1 transcript:Manes.05G190200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSVSKEVSNYDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVHEVSGTEFLVSCIEQRLRTCREYIDHEGLTQQSLVINTPKYHKRYILPVGETMRGASRTKAKYMGCSLDDEDDWHQFRNAVRATITETPTSSVSKGRSPSPSPRPPQRSATFSFTSTMPKKQLEKRTVSPHRFPLLRSGSVSSRPTTPNSSRPTTPSSAATARRRYPSEPRKSASMRIQPGTENSKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >Manes.05G190200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31286720:31291902:1 gene:Manes.05G190200.v8.1 transcript:Manes.05G190200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSVSKEVSNYDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVHEVSGTEFLVSCIEQRLRTCREYIDHEGLTQQSLVINTPKYHKRYILPVGETMRGASRTKAKYMGCSLDDEDDWHQFRNAVRATITETPTSSVSKGRSPSPSPRPPQRSATFSFTSTMPKKQLEKRTVSPHRFPLLRSGSVSSRPTTPNSSRPTTPSSAATARRRYPSEPRKSASMRIQPGTENSKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >Manes.05G190200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31286840:31291900:1 gene:Manes.05G190200.v8.1 transcript:Manes.05G190200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSVSKEVSNYDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVHEVSGTEFLVSCIEQRLRTCREYIDHEGLTQQSLVINTPKYHKRYILPVGETMRGASRTKAKYMGCSLDDEDDWHQFRNAVRATITETPTSSVSKGRSPSPSPRPPQRSATFSFTSTMPKKQLEKRTVSPHRFPLLRSGSVSSRPTTPNSSRPTTPSSAATARRRYPSEPRKSASMRIQPGTENSKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >Manes.05G190200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31286677:31291902:1 gene:Manes.05G190200.v8.1 transcript:Manes.05G190200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSVSKEVSNYDEVSMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVHEVSGTEFLVSCIEQRLRTCREYIDHEGLTQQSLVINTPKYHKRYILPVGETMRGASRTKAKYMGCSLDDEDDWHQFRNAVRATITETPTSSVSKGRSPSPSPRPPQRSATFSFTSTMPKKQLEKRTVSPHRFPLLRSGSVSSRPTTPNSSRPTTPSSAATARRRYPSEPRKSASMRIQPGTENSKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >Manes.13G068066.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:9160479:9161147:1 gene:Manes.13G068066.v8.1 transcript:Manes.13G068066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVFEMTDLGEMSYFLGIEVLQKENEVFICQQKYAKEILNKFKMEDCKSTTTPMNLKEKFCKEDGAKKVDEVLYRSLIGCLLYLTATRPDIMHDVSLLSRYMHCASEIHLQAAKRIIRYIKGTVNYGIKFSQVQNFNFHGFSDSDWGGCLDDMKSTSGYCFSFGSGVFSWCSKKQDVIAQSTVEAEYVAATLAVNQALWIRKLLADLSMEEKRSTKVYVDN >Manes.13G104400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30469741:30471546:1 gene:Manes.13G104400.v8.1 transcript:Manes.13G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQNDFMEELLEVPRRDSSWANFPTSGANEFFSSGWNFDSFDDNPSFLGFSTTPAESTFDCHFTNQTYPFADGFTVYSEIDTSFPPQHQECPSMADEEDLGLLATHSSSKLEMEQAAANNAQVFTMGLGAEMKNKSRKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELLERINKLQEEEEDDEEEDEEGSNKMHFFKDLKPNEVLVRNSPQFNVERGDTNARINICCSAKPGLLLSTVNTLEALGLEIQQCVISCFNDFSLQASCSEAAEQRKQTGPEDIKQALFRNAGYGGRCL >Manes.16G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31262204:31264103:-1 gene:Manes.16G107100.v8.1 transcript:Manes.16G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQSLLSLLLLLSLLSVAISQSLIRIPSDRKASSVDDDLYCDSWRLSVETNNAGSWIKIPSRCQRYVEQYTIGDRYLSDSEIVAFDSLTFAKTVKVAGDGKDAWIFDIDETLLTNLPYYALHGFGSEPFDEAAFDEWVELAQAPALSASMNLYKELKQMGFTIFLLTGRSEHQRNVTGKNLLFAGYNNWERLILREPSDQGKSATLYKSQKRLELVNEGYRIHGNSGDQWSDLLGFAMSERSFKLPNPMYYIQ >Manes.12G154901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36279468:36279913:1 gene:Manes.12G154901.v8.1 transcript:Manes.12G154901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISGSMGSWDGGYMNVNCCCGKRAGVRISESASNPNKLYYYCRDNKCGSFLGWCIPTNVNSPTSNSIGEGLEVFKANLSRTIAEIKEELLKINEETKKLHVKLQKIEAILNQMKNCMIIILLLLIVFAVKTP >Manes.05G086364.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7297923:7298265:1 gene:Manes.05G086364.v8.1 transcript:Manes.05G086364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIELELTAKGDECLNSKMSAAATVNICPDKNVWTEFVEKNGDSAASII >Manes.11G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2517888:2519072:-1 gene:Manes.11G025100.v8.1 transcript:Manes.11G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVNTQTVPFNLQPPGLCFYPFLRLLLPTSSVNNQTSKMELEGDDGSTFELKGDSSEAVFGRGSGFNTKDRTVSRRHILFQLDKTENHTEPRVSFQVIGMNPLWMRSGSGSGRDIKVFRKLERGEMAAGDWFCISGQSPVWFSLKRSEVGEETDLGSVNGSDRLDENWDNIDLSQIDLVKEFGFLVIGHEFDCYPKQRIRDVKNWDWFLNEPEEDSEDGGSFKSNRMQRKGNGRRKRKKGGGNDEDDDDWSGESEEDKQIVEKIRKVERSKRSTRSKEKRNPHEDTEIKKGSLQRNTVSSNGEDPEEGDEDEDDDEDATLGGFIVNDDDAEEEQGGESYEEEEEFIDDEDEDKLDD >Manes.10G023950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2346584:2348576:1 gene:Manes.10G023950.v8.1 transcript:Manes.10G023950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAAALTALSFAFLNDSFSATFSEKVTRTVRKFSPHLAAKMRPPHMPVIRGRPSSKKSVYICGQPRGVFVFLFSAASFILWFTSGTLLFVLWAFGISLLVTVLHASVRTPNLKARLNTFREEFRAVWRNYSEL >Manes.11G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11425213:11427360:1 gene:Manes.11G077400.v8.1 transcript:Manes.11G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEKKTLKTLVLSKFGSDLTKMAEEGKLDPLIGREKQVERIIQILCKRRKNNPCLLGDPGVGKTVVVEGFASKIVNTNVPLKLQGKKVFALDMGRLISGASNRGEFEERLTQVVDEVKEADGDIILFIDELHTIIGAGAGGQALDAANILKPALARGELKCIGATTIQEYRKYIEKDGALKRRFQAVDVPEPSVEEAIEILRGLCPKYEAHHNVKYEDEALVTAVSLSKQYMSDAFLPDMAIDLIDEAGARFQLLQLPHSPPTTWVVTKRNIEQVVSMWTGIPVEKVTVQEAHRLLNLEKKLKKHIIGQREAVEAVSRAIRRARVGIRDPNKPVASFLFTGPTGVGKTELAKALAFEYFGSKEAMIRFDMSEYMEKHAVSRLFGSPPGYIGYDNGGQLTESIRRRAHSLILFDEIEKAHRDVFNALLQVLDDGIMTDGKGQKVDFLNTIIILTSNIGGGSVTRHVNRLGFEQVKQLVAEELKQNFRPEFLNRVDEVVVFKQLMKSQLKEIVGIMMKDLHERLMKAMNVKLQVTERLMDMVIAEAENPSYGARPLKRAIVRILEDNLAERILHGAIKEGDLVFVDIDPMGEVFILSNS >Manes.02G170367.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13482961:13495814:-1 gene:Manes.02G170367.v8.1 transcript:Manes.02G170367.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELQIRSQVSGLCFSSDGSKEWTTYWLRLSKFRVSSRNKFTVVGCDSYAYLLGSRAGLSYSAGCMSTCDSIKSVYTKSCTGSGCCQIEIPDELSYTDVTAYSFNNHTKVRYFNPCTYAFVVENGKFNFSSEYLEKIPQDINFTMLLEWSIEKAGDHKLSSACKDNATSYNLDNVSGYRCKCKDGYEGNPYIGCRDIDECARPNNCSHKCTNTDGNYTCTCPKGYHGDGRKDGERCTASQFPLMKIIIGVGIGVTVLFVATSWLYLVLKQRKLIKLREKFFRENGGFILRQKLSGQQGNPDMAKIFTDEELKKATNNFEESTVVGKGGFGTVYRGILADNREVAIKKSISVDQNQIEQFINEVVVLSQINHRNVVKLLGCCLENRVPLLVYEFISNGTVFDCMHNQRNASALSWELRLRIAAETAGALSYLHSAASVPIIHRDVKTTNMLLDANYTAKLSDFGASRLVPLDETQLSTMVQGTLGYLDPEYLRTNQLTEKSDVYSFGVVLAELLTGKKALSFDRPEEERSLAAHFLTRVKEGKLFEILERHIVNEGNEEQVMEVARLAKRCLNLKGDERPSMKEVAMELEGLHMMNMHAWAVVNAEETEFLLTREESNASNNGDGVTASSAAKPICQEHCGDVSIPYPFGLQSDCSLNKDFLITCYTTDSTPPQKEAFLWNSTIKVINISMDGELQIRSAVSRRCFSSDGEEWTTNWLRLSKFTVSSKNKFTVVGCDSYAYLRGSRAGVNYSAGCMSICDSIKSVYTKSCAGSGCCQIEIPDGLSFTNVTAYSFNSHKKVQDFNPCTYAFVVEDGKFNFSSEYLEKIPQDIKLTMVLEWSIEKAGDHKLSSACKDDATSYDLDKVSRYRCKCKDGYEGNPYIGCRDIDECARPNNCSHKCTNTDGNYTCTCPKGYHGDGRKDGERCTASQFPLMKIIIGVGIGVTVLFVATSWLYLVLKQRKLIKLREKFFRENGGFILRQKLSGQQGNPDMAKIFTDEELKKATNNFEESTVVGKGGFGTVYRGILADNREVAIKKSISVDQNQIEQFINEVVVLSQINHRNVVRLLGCCLETRVPLLVYEFISNGTVFDCMHNQRNASALSWELRLRIAAETAGALSYLHSAASVPIIHRDVKTTNMLLDANYTAKLSDFGASRLVPLDETQLSTMVQGTLGYLDPEYLRTNQLTEKSDVYSFGVVLAELLTGKKALSFDRPEEERSLAAHFLTRVKEGKLFEILERHIVNEGNEEQVMEVARLAKRCLNLKGDERPSMKEVAMELEGLHMMNMHPWAVVNAEETEFLLTREESNAFNNGDGFTASSAGIDSMKDNLLVSVGGGR >Manes.13G015800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1864027:1870849:-1 gene:Manes.13G015800.v8.1 transcript:Manes.13G015800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRYSPAREPRAENHRRGRSLEGGLLFKEKDDDLALFNEMQSRERDNFLLQSSDDFEDTFSKKLRHFSDFKLGISIPVRGENSELLNADGEKNDYDWLLTPPDTPLFPSLDDEPPAVNVASRGRPRSQPITISRSSTMEKSYRSSRGSASPNRLSPSPRSCNSSIQSRGRPSSASHSSPTPSQRPASPSRRPSPPPSKASPPGPRSSTPTPRRTSTGSGGRGVSPVRTSRGNSTSPKIRAWQSNIPGFPSEAPPNLRTSLADRPASYVRGSSPASRNGRDLSSKFRRQSMSPTASRSVSSSHSQERDRISLHSRGSVVSSGDDDVDSVQSIRVGSLDRLASKAADTFSNNRAVAFSKKPTRIISPSSAPKRSFDSALRQMDHRKSPQNMFRPLLSSVPSSTFYVAKANSARRSLMSRNSSVTTSSNASSDQGMSIAPYIEGSDPHQEDMAMESEKTRYSDAREEVFAFDKDDTLNKDVRHDADDGSSFQVGDVDRTPATECEPNDSEENSHHEIDMEMGCASESLCVKADLLEVDSSENAKVCSKCGRKFDAIEMIEKDINLCPDCSGQNNLVAATCLETEIVAPENSPLLSMNISEEHKPFDGLETQVAILKSRLQVHDEVESRVIQGGDDFNHSQALCHEQSHTSSQESCLARTLVEGDEQRIASQHRAVQPAGSYRQPDTDSEAQQLLHSSDHRSLKVDVSEGAGISLLLKRSSSIKGPVVQARSFTASTITYDDFSYSRDGANSLRSSFGHGSTSASSSIDFSSGRQVENRVQRQLSGRKSDMENYRYDTNNRPQSTGSSLPGTANHTYHALGLATSTHEENSEAFVGDMKHDGIEGAVVPDEGSCASNASFIGAVVPDKDSCDHSESNRIMGASTLGLSCHAVGVQFEENSVSSIPNYEDYHLHGNEGDLPNNTSSVSDTEASVVRPDPPVEMEHTMLSSSFDGRNDIVVAAHSSLASISEIEIENNCQSSPGSENDDVCTNSKSSINELQDVSVPTPSDKEMSASVLEHGNSDDSHGILEDSTVKVWGESKVRSLTLEEATDTILFCSSIVHDLAYRAATLAIEKEDSVPLEGSRPTVTVLGKSTADRKDSRSRTTGKRASKSMKARQKQMELDIKSPSTKTENDENANESTVRNVGLPNNIDSTKPPKLESKCNCTIM >Manes.13G015800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1864102:1870849:-1 gene:Manes.13G015800.v8.1 transcript:Manes.13G015800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRYSPAREPRAENHRRGRSLEGGLLFKEKDDDLALFNEMQSRERDNFLLQSSDDFEDTFSKKLRHFSDFKLGISIPVRGENSELLNADGEKNDYDWLLTPPDTPLFPSLDDEPPAVNVASRGRPRSQPITISRSSTMEKSYRSSRGSASPNRLSPSPRSCNSSIQSRGRPSSASHSSPTPSQRPASPSRRPSPPPSKASPPGPRSSTPTPRRTSTGSGGRGVSPVRTSRGNSTSPKIRAWQSNIPGFPSEAPPNLRTSLADRPASYVRGSSPASRNGRDLSSKFRRQSMSPTASRSVSSSHSQERDRISLHSRGSVVSSGDDDVDSVQSIRVGSLDRLASKAADTFSNNRAVAFSKKPTRIISPSSAPKRSFDSALRQMDHRKSPQNMFRPLLSSVPSSTFYVAKANSARRSLMSRNSSVTTSSNASSDQGMSIAPYIEGSDPHQEDMAMESEKTRYSDAREEVFAFDKDDTLNKDVRHDADDGSSFQVGDVDRTPATECEPNDSEENSHHEIDMEMGCASESLCVKADLLEVDSSENAKVCSKCGRKFDAIEMIEKDINLCPDCSGQNNLVAATCLETEIVAPENSPLLSMNISEEHKPFDGLETQVAILKSRLQVHDEVESRVIQGGDDFNHSQALCHEQSHTSSQESCLARTLVEGDEQRIASQHRAVQPAGSYRQPDTDSEAQQLLHSSDHRSLKVDVSEGAGISLLLKRSSSIKGPVVQARSFTASTITYDDFSYSRDGANSLRSSFGHGSTSASSSIDFSSGRQVENRVQRQLSGRKSDMENYRYDTNNRPQSTGSSLPGTANHTYHALGLATSTHEENSEAFVGDMKHDGIEGAVVPDEGSCASNASFIGAVVPDKDSCDHSESNRIMGASTLGLSCHAVGVQFEENSVSSIPNYEDYHLHGNEGDLPNNTSSVSDTEASVVRPDPPVEMEHTMLSSSFDGRNDIVVAAHSSLASISEIEIENNCQSSPGSENDDVCTNSKSSINELQDVSVPTPSDKEMSASVLEHGNSDDSHGILEDSTVKVWGESKVRSLTLEEATDTILFCSSIVHDLAYRAATLAIEKEDSVPLEGSRPTVTVLGKSTADRKDSRSRTTGKRASKSMKARQKQMELDIKSPSTKTENDENANESTVRNVGLPNNIDSTKPPKLESKCNCTIM >Manes.13G015800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1863900:1870931:-1 gene:Manes.13G015800.v8.1 transcript:Manes.13G015800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRYSPAREPRAENHRRGRSLEGGLLFKEKDDDLALFNEMQSRERDNFLLQSSDDFEDTFSKKLRHFSDFKLGISIPVRGENSELLNADGEKNDYDWLLTPPDTPLFPSLDDEPPAVNVASRGRPRSQPITISRSSTMEKSYRSSRGSASPNRLSPSPRSCNSSIQSRGRPSSASHSSPTPSQRPASPSRRPSPPPSKASPPGPRSSTPTPRRTSTGSGGRGVSPVRTSRGNSTSPKIRAWQSNIPGFPSEAPPNLRTSLADRPASYVRGSSPASRNGRDLSSKFRRQSMSPTASRSVSSSHSQERDRISLHSRGSVVSSGDDDVDSVQSIRVGSLDRLASKAADTFSNNRAVAFSKKPTRIISPSSAPKRSFDSALRQMDHRKSPQNMFRPLLSSVPSSTFYVAKANSARRSLMSRNSSVTTSSNASSDQGMSIAPYIEGSDPHQEDMAMESEKTRYSDAREEVFAFDKDDTLNKDVRHDADDGSSFQVGDVDRTPATECEPNDSEENSHHEIDMEMGCASESLCVKADLLEVDSSENAKVCSKCGRKFDAIEMIEKDINLCPDCSGQNNLVAATCLETEIVAPENSPLLSMNISEEHKPFDGLETQVAILKSRLQVHDEVESRVIQGGDDFNHSQALCHEQSHTSSQESCLARTLVEGDEQRIASQHRAVQPAGSYRQPDTDSEAQQLLHSSDHRSLKVDVSEGAGISLLLKRSSSIKGPVVQARSFTASTITYDDFSYSRDGANSLRSSFGHGSTSASSSIDFSSGRQVENRVQRQLSGRKSDMENYRYDTNNRPQSTGSSLPGTANHTYHALGLATSTHEENSEAFVGDMKHDGIEGAVVPDEGSCASNASFIGAVVPDKDSCDHSESNRIMGASTLGLSCHAVGVQFEENSVSSIPNYEDYHLHGNEGDLPNNTSSVSDTEASVVRPDPPVEMEHTMLSSSFDGRNDIVVAAHSSLASISEIEIENNCQSSPGSENDDVCTNSKSSINELQDVSVPTPSDKEMSASVLEHGNSDDSHGILEDSTVKVWGESKVRSLTLEEATDTILFCSSIVHDLAYRAATLAIEKEDSVPLEGSRPTVTVLGKSTADRKDSRSRTTGKRASKSMKARQKQMELDIKSPSTKTENDENANESTVRNVGLPNNIDSTKPPKLESKCNCTIM >Manes.13G015800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1864102:1870849:-1 gene:Manes.13G015800.v8.1 transcript:Manes.13G015800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRYSPAREPRAENHRRGRSLEGGLLFKEKDDDLALFNEMQSRERDNFLLQSSDDFEDTFSKKLRHFSDFKLGISIPVRGENSELLNADGEKNDYDWLLTPPDTPLFPSLDDEPPAVNVASRGRPRSQPITISRSSTMEKSYRSSRGSASPNRLSPSPRSCNSSIQSRGRPSSASHSSPTPSQRPASPSRRPSPPPSKASPPGPRSSTPTPRRTSTGSGGRGVSPVRTSRGNSTSPKIRAWQSNIPGFPSEAPPNLRTSLADRPASYVRGSSPASRNGRDLSSKFRRQSMSPTASRSVSSSHSQERDRISLHSRGSVVSSGDDDVDSVQSIRVGSLDRLASKAADTFSNNRAVAFSKKPTRIISPSSAPKRSFDSALRQMDHRKSPQNMFRPLLSSVPSSTFYVAKANSARRSLMSRNSSVTTSSNASSDQGMSIAPYIEGSDPHQEDMAMESEKTRYSDAREEVFAFDKDDTLNKDVRHDADDGSSFQVGDVDRTPATECEPNDSEENSHHEIDMEMGCASESLCVKADLLEVDSSENAKVCSKCGRKFDAIEMIEKDINLCPDCSGQNNLVAATCLETEIVAPENSPLLSMNISEEHKPFDGLETQVAILKSRLQVHDEVESRVIQGGDDFNHSQALCHEQSHTSSQESCLARTLVEGDEQRIASQHRAVQPAGSYRQPDTDSEAQQLLHSSDHRSLKVDVSEGAGISLLLKRSSSIKGPVVQARSFTASTITYDDFSYSRDGANSLRSSFGHGSTSASSSIDFSSGRQVENRVQRQLSGRKSDMENYRYDTNNRPQSTGSSLPGTANHTYHALGLATSTHEENSEAFVGDMKHDGIEGAVVPDEGSCASNASFIGAVVPDKDSCDHSESNRIMGASTLGLSCHAVGVQFEENSVSSIPNYEDYHLHGNEGDLPNNTSSVSDTEASVVRPDPPVEMEHTMLSSSFDGRNDIVVAAHSSLASISEIEIENNCQSSPGSENDDVCTNSKSSINELQDVSVPTPSDKEMSASVLEHGNSDDSHGILEDSTVKVWGESKVRSLTLEEATDTILFCSSIVHDLAYRAATLAIEKEDSVPLEGSRPTVTVLGKSTADRKDSRSRTTGKRASKSMKARQKQMELDIKSPSTKTENDENANESTVRNVGLPNNIDSTKPPKLESKCNCTIM >Manes.13G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1863900:1870849:-1 gene:Manes.13G015800.v8.1 transcript:Manes.13G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRYSPAREPRAENHRRGRSLEGGLLFKEKDDDLALFNEMQSRERDNFLLQSSDDFEDTFSKKLRHFSDFKLGISIPVRGENSELLNADGEKNDYDWLLTPPDTPLFPSLDDEPPAVNVASRGRPRSQPITISRSSTMEKSYRSSRGSASPNRLSPSPRSCNSSIQSRGRPSSASHSSPTPSQRPASPSRRPSPPPSKASPPGPRSSTPTPRRTSTGSGGRGVSPVRTSRGNSTSPKIRAWQSNIPGFPSEAPPNLRTSLADRPASYVRGSSPASRNGRDLSSKFRRQSMSPTASRSVSSSHSQERDRISLHSRGSVVSSGDDDVDSVQSIRVGSLDRLASKAADTFSNNRAVAFSKKPTRIISPSSAPKRSFDSALRQMDHRKSPQNMFRPLLSSVPSSTFYVAKANSARRSLMSRNSSVTTSSNASSDQGMSIAPYIEGSDPHQEDMAMESEKTRYSDAREEVFAFDKDDTLNKDVRHDADDGSSFQVGDVDRTPATECEPNDSEENSHHEIDMEMGCASESLCVKADLLEVDSSENAKVCSKCGRKFDAIEMIEKDINLCPDCSGQNNLVAATCLETEIVAPENSPLLSMNISEEHKPFDGLETQVAILKSRLQVHDEVESRVIQGGDDFNHSQALCHEQSHTSSQESCLARTLVEGDEQRIASQHRAVQPAGSYRQPDTDSEAQQLLHSSDHRSLKVDVSEGAGISLLLKRSSSIKGPVVQARSFTASTITYDDFSYSRDGANSLRSSFGHGSTSASSSIDFSSGRQVENRVQRQLSGRKSDMENYRYDTNNRPQSTGSSLPGTANHTYHALGLATSTHEENSEAFVGDMKHDGIEGAVVPDEGSCASNASFIGAVVPDKDSCDHSESNRIMGASTLGLSCHAVGVQFEENSVSSIPNYEDYHLHGNEGDLPNNTSSVSDTEASVVRPDPPVEMEHTMLSSSFDGRNDIVVAAHSSLASISEIEIENNCQSSPGSENDDVCTNSKSSINELQDVSVPTPSDKEMSASVLEHGNSDDSHGILEDSTVKVWGESKVRSLTLEEATDTILFCSSIVHDLAYRAATLAIEKEDSVPLEGSRPTVTVLGKSTADRKDSRSRTTGKRASKSMKARQKQMELDIKSPSTKTENDENANESTVRNVGLPNNIDSTKPPKLESKCNCTIM >Manes.18G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11865306:11874037:-1 gene:Manes.18G115800.v8.1 transcript:Manes.18G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRPIHVTKEDGEDEGQLLSPVSRIFHQPNCSVYIILFLGFKTPINPDGLKPALAHIFLRHPRFLSLLVVDDNGKDMRWVRTEVNLDNHLIIPTFDPSMESPDKYVEDYAANLSTTVINKSIPLWDVHILNLETSEAKSTVIIRVHHSLGDGTSLMALLLSCSRKVSNPEELPTIPTTKTRNPMFNSCRLWQFFLKLWLSMLVCWNTIVDVVMFMATVFFLDDTKTPLKSPEIGIVYTPSRRRFVHTTISLDDVKLVKNAMDATINDVMVGVTQAALSRYLNRKYGDEAKEGKNNLPKNIRLRAAVAVDIRPYSYSHTYQDVIKTNMWGNKIGFILFPFKIALRDDPLDHVREAMLVSKRKKASLEAKFTHFLAKLCSRFFDIRIPSMLSRTTIGFSNLPGPRDEISCLGYKVTFMAPSLYGQACVSILTSSYMK >Manes.01G052600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18533917:18537942:-1 gene:Manes.01G052600.v8.1 transcript:Manes.01G052600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTPTATTPHLYPQALQVKLYQAFIFSIPILFSIILFLLFYLFYLKRRATSLSSPTQILPTTANQSTQSVLSVCQIGLNKEIKDKLPIVLFDEELRTRESQCCVCLGEFEIKEELLQLPTCKHVFHIECIHHWLHSNSTCPLCRSFVIPTTKLENQAQSGGLETQLQQVNPNSDYSLQIVS >Manes.01G052600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18533917:18537942:-1 gene:Manes.01G052600.v8.1 transcript:Manes.01G052600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTPTATTPHLYPQALQVKLYQAFIFSIPILFSIILFLLFYLFYLKRRATSLSSPTQILPTTANQSTQSVLSIGLNKEIKDKLPIVLFDEELRTRESQCCVCLGEFEIKEELLQLPTCKHVFHIECIHHWLHSNSTCPLCRSFVIPTTKLENQAQSGGLETQLQQVNPNSDYSLQIVS >Manes.01G052600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18534999:18537596:-1 gene:Manes.01G052600.v8.1 transcript:Manes.01G052600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTPTATTPHLYPQALQVKLYQAFIFSIPILFSIILFLLFYLFYLKRRATSLSSPTQILPTTANQSTQSVLSVCQIGLNKEIKDKLPIVLFDEELRTRESQCCVCLGEFEIKEELLQLPTCKHVFHIECIHHWLHSNSTCPLCRSFVIPTTKLENQAQSGGLETQLQQVNPNSDYSLQIVS >Manes.01G052600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18533917:18537942:-1 gene:Manes.01G052600.v8.1 transcript:Manes.01G052600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTPTATTPHLYPQALQVKLYQAFIFSIPILFSIILFLLFYLFYLKRRATSLSSPTQILPTTANQSTQSVLSVCQIGLNKEIKDKLPIVLFDEELRTRESQCCVCLGEFEIKEELLQLPTCKHVFHIECIHHWLHSNSTCPLCRSFVIPTTKLENQAQSGGLETQLQQVNPNSDYSLQIVS >Manes.04G130800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33251905:33253503:1 gene:Manes.04G130800.v8.1 transcript:Manes.04G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNEITKELNGMSQSDQPAITITATHQLQASSGNQSESPQDSSSSSLDLDLVIGRPWTTGLFDCRQDQTNSIITAFLPCVTFGQISEVLDEGKSTCRCRSSCYLLLMLASYSQWILSTEYRTKLRKKFHLEEAPYTDVVSHLFCPCCSLCQEFRELKNRGLDPALGWNGILAQQQGKESDDKQLNIPPPNQAMSN >Manes.02G091600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7154219:7159508:-1 gene:Manes.02G091600.v8.1 transcript:Manes.02G091600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALMLVETTVHTDNLKGILCISNMLRNSWNLVMFIAASVLMSFSQELEKMKEIAKLKQLPPVYTGKWATATDEEVEEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDSTMAISHVIRAEEHLPNTLRQALIYKALGFPMPYFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTLEQLIEKFSISRVNKSGAIFDSTKLRWMNGQHLRALSSEQLLNLIGEHWKKTGILEELEGSFIEEAVQLLKDGIDLVTDSDKALSNLLSYPLHATLLSPEGKTVVEDKLDEVSSRLLAAYDSGELLSALEEGPSGWQKWVKNFGKSLKRKGKSLFMPLRLLLTGKVHGPDMGSSVILLHKAGSSGVVSPNAGFVPLNERFEILRQVDWEALKEQPPLESAATVSN >Manes.02G091600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7154219:7159508:-1 gene:Manes.02G091600.v8.1 transcript:Manes.02G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVGGTPLMRIRGIPDLAPPIWRPSAFIYKHNHHHFHKRRLLLCSSAPRRWRHFCVSSKADATPQEQVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKQSEEAMLRDLFWLGLDWDEGPDVGGDYGPYRQSERNSLYKQYAEKLLESGHVYRCFCSNEELEKMKEIAKLKQLPPVYTGKWATATDEEVEEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDSTMAISHVIRAEEHLPNTLRQALIYKALGFPMPYFAHVSLILAPDRSKLSKRHGATSVGQFREMGYLPQAMVNYLALLGWGDGTENEFFTLEQLIEKFSISRVNKSGAIFDSTKLRWMNGQHLRALSSEQLLNLIGEHWKKTGILEELEGSFIEEAVQLLKDGIDLVTDSDKALSNLLSYPLHATLLSPEGKTVVEDKLDEVSSRLLAAYDSGELLSALEEGPSGWQKWVKNFGKSLKRKGKSLFMPLRLLLTGKVHGPDMGSSVILLHKAGSSGVVSPNAGFVPLNERFEILRQVDWEALKEQPPLESAATVSN >Manes.03G009500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:790439:792565:-1 gene:Manes.03G009500.v8.1 transcript:Manes.03G009500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTWVNTSLDLNINPLNATTPKKESKEGDFIAGFGRQPVKEENSVLVEELARISTENKKLTDMLTVLCENYNSLQKNFVDLMRKKAEKELLPSSRKRKAESEDYSNMITINNNGTTESSSSDELDSTKKPKETIKTKISRVLRTDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSAEDPSILIATYEGDHNHTNLSQTELSLGSTNRGSIPIATPLRASAGTAATVTPAKNEVEDTEAPAIQKILAQQMASSLTRDPNFTAALAAAISGRLNQTRIEKL >Manes.05G011200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:903887:907150:-1 gene:Manes.05G011200.v8.1 transcript:Manes.05G011200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVALTYLSPTHLSTNKLLFSSIHTPTAKTTLSLRPFLFRVQYLSPKRSSTPTGFKPFSPPVMEWQDCTVKREIDVPVAVAYKCYLDRESIPRWMPFISSVKILEDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFFPKGPSSCTVEALQPFLENLLIRGLERFAKFAKSSLAD >Manes.05G011200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:903887:907150:-1 gene:Manes.05G011200.v8.1 transcript:Manes.05G011200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVALTYLSPTHLSTNKLLFSSIHTPTAKTTLSLRPFLFRVQYLSPKRSSTPTGFKPFSPPVMEWQDCTVKREIDVPVAVAYKCYLDRESIPRWMPFISSVKILEDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFFPKGPSSCTVEALQPFLENLLIRGLERFAKFAKSSLAD >Manes.05G011200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:903887:907150:-1 gene:Manes.05G011200.v8.1 transcript:Manes.05G011200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVALTYLSPTHLSTNKLLFSSIHTPTAKTTLSLRPFLFRVQYLSPKRSSTPTGFKPFSPPVMEWQDCTVKREIDVPVAVAYKCYLDRESIPRWMPFISSVKILEDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFFPKGPSSCTVELTVSYEVPQLLVPVASALQPFLENLLIRGLERFAKFAKSSLAD >Manes.05G011200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:904684:907150:-1 gene:Manes.05G011200.v8.1 transcript:Manes.05G011200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVALTYLSPTHLSTNKLLFSSIHTPTAKTTLSLRPFLFRVQYLSPKRSSTPTGFKPFSPPVMEWQDCTVKREIDVPVAVAYKCYLDRESIPRWMPFISSVKILEDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFFPKGPSSCTVELTVSYEVPQLLVPVASVRVLYFFFPLFSNKT >Manes.05G011200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:903887:907150:-1 gene:Manes.05G011200.v8.1 transcript:Manes.05G011200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAVALTYLSPTHLSTNKLLFSSIHTPTAKTTLSLRPFLFRVQYLSPKRSSTPTGFKPFSPPVMEWQDCTVKREIDVPVAVAYKCYLDRESIPRWMPFISSVKILEDKPDLSRWSLKYKAFGRDIEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFFPKGPSSCTVELTVSYEVPQLLVPVASALQPFLENLLIRGLERFAKFAKSSLAD >Manes.02G044700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3686918:3688212:-1 gene:Manes.02G044700.v8.1 transcript:Manes.02G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTYGYCLSCFLVLLPVICYSQDFTCSRATYYGSPDCLGTPSGACGFGEYGRTVNDANVAGVSRLYKNGTGCGACYQVRCKVSQLCTDDGVNVVATDYGEGDNTDFILSTRAYARLANPNMVLDLFAYGVVDVEYRRISCRFADYNIMFKVHEHSRFPEYLAIVILYQGGQNDVLAVQIWQEDCKEWIGMRRAFGAVWDMPNPPNGYITLRLQVSGSAGLTWLQATNAIPNDWKAGVAYDSNIQLT >Manes.12G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12500315:12503006:1 gene:Manes.12G084500.v8.1 transcript:Manes.12G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLRSNPNLWRRGHLVLRAQLFSTDALTSSSYSSSAPIGTLYGRISRSGNAELSIIPVLEKWFEEGKDVKQSELLRIIKQLRKYRRFKHALQISQWMSDQRSYNISPGDVAIRLDLISKVHGLDDAEKYFNSIPDASRGYEIYGSLLNCYAHNKCLEKAEGIMQKMKELQFVKSALSYNVMLNLYSQMGKYEKLDILMQEMEENGIDCDLFTFNIRLNAYAVSSDIEGMEKLLMKMEADPCIKVDYHAYVVAAKAYLKAGLIEKALTMLKRSEKLIQGFSKWLALEMLITLYAAAGNKAEVYRVWNWYKDIGRFLNSGYMCMISSLVKFDDMDGAEKIWEEWDSGKKMFDIRIPNLMIGAYSRRGLWEKAEACINRIIDSGMEPDATSWDHLAAGYRVGKQMEKAVEAIKKAISTSKHGWKPSLNTLNTCLKYLESQGDMEAVEELLKMVKEQCHFSPGATDKLSKFSSQKNPTTSLDQMEDDKISGGEDEFKDK >Manes.14G150000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16611134:16672067:1 gene:Manes.14G150000.v8.1 transcript:Manes.14G150000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTSTGGLSARIVPARSSMIESIGVCGLSGVGIDKENLKKKLLIPKYLRLAIRDSIRFKDAVGVGERYFNTGELNAREEAPECPMVVFVNSRSGGRHGPELRERLQHLMGEEQVFDLFDVRPHEFVEYGLVCLEKLAGLGDFCARDTREKMRIMVAGGDGTVGWILGSLAALNRQGREPNPPVGIIPLGTGNDLARSFGWGGSFPFAWKSAVKKSLHRAITGPVCRLDSWHLLVMMPFGEVVDPPYSLKHTEDCSLDQGLEVEGPLPERVNCYEGVFYNYFSVGMDAQVAYGFHHLRNEKPYLAKGPITNKLIYSSYSCGQGWFLTPCVSDPSLRGLKNILRMHVKKVNCSEWEFIPIPKSVRAIVALNLQNYGSGRNPWGSPNPEYLEKRGFVEAHPDDGLLEIFGLKQGWHASFVMVDLISAKHIAQAAAIRLEIRGGEWRDAYMQMDGEPWKHPMSNEYSSFVEIKRVPFHSLMISGD >Manes.01G015600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3990662:3991238:1 gene:Manes.01G015600.v8.1 transcript:Manes.01G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSAFCINIERYRRRKRYQRLKHKKTCRRWKIKVKLRVQPKVSSAMKLLKGCRDSYVRMMLCFAGHLAQFNTGNVFLFKRIPKPSFSF >Manes.04G081600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28287345:28287647:1 gene:Manes.04G081600.v8.1 transcript:Manes.04G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHAKQLLRRSNMLQNQPASNFKDVPKGHLAVYVGEDQKKRFIVPVSLLNKPSFQELLRKAEEEFGFSHSMGAITIPCREDIFIDLTSRLNRS >Manes.18G009500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1187405:1193637:1 gene:Manes.18G009500.v8.1 transcript:Manes.18G009500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEKSFDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSGHLLEDEGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDSTN >Manes.18G009500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1187375:1193626:1 gene:Manes.18G009500.v8.1 transcript:Manes.18G009500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEKSFDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSGHLLEDEGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDSTN >Manes.18G009500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1187405:1193626:1 gene:Manes.18G009500.v8.1 transcript:Manes.18G009500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEKSFDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSGHLLEDEGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDSTN >Manes.12G089066.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:14624370:14626985:1 gene:Manes.12G089066.v8.1 transcript:Manes.12G089066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTILLFFCTITLSLFNPISPSEFIYNTNFNSTNLLTYGNATIQSSILALTNDDTFSIGRALHPSKIATKSSNSSLVLPFSTSFIFSIAPFKNFLPGHGFAFVFVPSTGIRGASSSQHLGLFNLTNNGNPDNHIFGVEFDTFMNQEFNDVNDNHAGIDVNSLSSVSQHEAGFWEGEDDEEFEELQLNNGINYQVWIDYMDSRVNVTMAKAGAKRPRRPLMSEFVNLSGVFLDEMYVGFCAATGQMVQSHRILAWSFSNTDFSIGDALITRDLPSFVPPKESVFKSKRFIIGVSAAGVIIIVFGIVMYEVLAWRKRTKEKKKEEIEDWESEYWPHRIDYRQVHAATKGFAEENVIGVGGNGKVYKGTLEGGVDVAVKRFSHQNERGIREFLAEVSSLGRLKHRNLVGIRGWCKQDRKSLILLYDYMENGSLDKRIFTSKNSTLSWEERIKILKDAANGILYLHEGWEAKVLHRDIKASNLLLDKEMNARLGDFGLARMHHHGQLASTTQVVGTVGYMAPEVVRTGRASTQTDVFSFGVLLLEVVCGRRSTEEGKPGLIEYVWRLMDRGEVINGLDERLKAKGGYTNEEVERLIHLGLLCAYPDPSARPSMRQVVKVLEGATDGMESEEEGMEVNLLDGIRRSRMWSAGPHQNYSDRLHPTFDEILPLSFSVPLSSSDIITKGR >Manes.04G024800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2853706:2854080:1 gene:Manes.04G024800.v8.1 transcript:Manes.04G024800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMLNLHGIYGLPLPVSGITHRHLPLSKEIVDHDRRRESMKKQRSQAREILHANQELGIERNFERVLRNIQGSEMDEDLASLLQDLSSSLACTAKAA >Manes.18G015200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1601188:1608319:-1 gene:Manes.18G015200.v8.1 transcript:Manes.18G015200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKADKNAAATDSGGGNVASSGSHADKDNYKKPNYVQISVESYTHLTGLEDQVKTYEQQVQTLEDEIKELNEKLSAANLEMTTKENLVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVILSKTKQWDKIRLEFESRIVNLDQELLRSAAENDALSRSLQERSNMIIKISEEKSQAETDIELLKSNIESCEREINSLKYELHIVSKELEIRNEEKNMSMRSAEVANKQQMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDYGDSRLRRSPVKPPSPHLSSVSEFSLDNAQKFHKENEFLTERLFAMEEETKMLKEALAKRNSELQASRNLCAKTASRLQSLEVQLQVNNQQKSSPKSKSMVQVPTEGYSSQNMSNPPSLTSMSEDGHDDDQSCADSWATSLMSDLSQFKKEKSGEKPNKTKNAKHLDLMDDFLEMEKLACLNADAAITISDSPDKKTSEIVNGDPSVEISSVKDTLSEGQPVLDPLVNHVSSNMDFSAVDSGSKADQPPLMKLQSSISMLLGSVSNDADVGKILDDVKRVVQDARDAFHQQSVSCVSEEVIIPGDATCRGQTCPEDPTLTAEKEITLSQDIQATTEPVSSVSQELVTAISIIHDFVLFLGKEAMAVHDASSDGDGLSQKIREFSVTSNTVLNGNTSLVDFVFELSHVLAKASELRFNVLGYKGAEGEINSPDCIDKVALPENKVLQRESSGERYQNGCAHISSLTTNPEVPDDGNLVSGLCKVSLEEFEELKSEKDNMAVDLARCNENLEMTKSQLHETEQLLAEVKSQLASAQKSNSLADTQLKCMAESYRSLEARAEELETEVNLLRAKAETLENELQDEKQYRLDALTRCKELEEQVQMKESCSVCSSAADADLKTKQERELVSAAEKLAECQETIFLLGKQLKALRPQTEVIVSPYSERSQRGEGFGEEEPTTSGMNLQDLDQAEIDVTVSTNLHRTGGESPVDLYNQPCSPSDTEASLSRSPINSKQPKHRSTKSASSFVQTPEKHPRGFSRFFSSKGRTGN >Manes.18G015200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1600822:1608736:-1 gene:Manes.18G015200.v8.1 transcript:Manes.18G015200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKADKNAAATDSGGGNVASSGSHADKDNYKKPNYVQISVESYTHLTGLEDQVKTYEQQVQTLEDEIKELNEKLSAANLEMTTKENLVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVILSKTKQWDKIRLEFESRIVNLDQELLRSAAENDALSRSLQERSNMIIKISEEKSQAETDIELLKSNIESCEREINSLKYELHIVSKELEIRNEEKNMSMRSAEVANKQQMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDYGDSRLRRSPVKPPSPHLSSVSEFSLDNAQKFHKENEFLTERLFAMEEETKMLKEALAKRNSELQASRNLCAKTASRLQSLEVQLQVNNQQKSSPKSKSMVQVPTEGYSSQNMSNPPSLTSMSEDGHDDDQSCADSWATSLMSDLSQFKKEKSGEKPNKTKNAKHLDLMDDFLEMEKLACLNADAAITISDSPDKKTSEIVNGDPSVEISSVKDTLSEGQPVLDPLVNHVSSNMDFSAVDSGSKADQPPLMKLQSSISMLLGSVSNDADVGKILDDVKRVVQDARDAFHQQSVSCVSEEVIIPGDATCRGQTCPEDPTLTAEKEITLSQDIQATTEPVSSVSQELVTAISIIHDFVLFLGKEAMAVHDASSDGDGLSQKIREFSVTSNTVLNGNTSLVDFVFELSHVLAKASELRFNVLGYKGAEGEINSPDCIDKVALPENKVLQRESSGERYQNGCAHISSLTTNPEVPDDGNLVSGLCKVSLEEFEELKSEKDNMAVDLARCNENLEMTKSQLHETEQLLAEVKSQLASAQKSNSLADTQLKCMAESYRSLEARAEELETEVNLLRAKAETLENELQDEKQYRLDALTRCKELEEQVQMKESCSVCSSAADADLKTKQERELVSAAEKLAECQETIFLLGKQLKALRPQTEVIVSPYSERSQRGEGFGEEEPTTSGMNLQDLDQAEIDVTVSTNLHRTGGESPVDLYNQPCSPSDTEASLSRSPINSKQPKHRSTKSASSFVQTPEKHPRGFSRFFSSKGRTGN >Manes.10G133200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29832649:29833728:-1 gene:Manes.10G133200.v8.1 transcript:Manes.10G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSASLPQEIIVEILLKLPVITLVRCTCICKSWYLLIKNTTFISTHLNQSLSSTKNSLFLLRSFHNQEEHLSLHFDNEEFTDYMNLEKPPKLKQYFEIIGSCNGLICFAEYLTSPRNIFTLWNPCIRKTLTLPKPNVTFKTHGCYQAFVGFGHDLNTDDYKVLRIAAFIENDQSAVEVYSLLSGSWKCTTLVLKYEILDSFSKAFVNGVFHFIASPKNAEDKMLVLGFDTDNEIFRDDFLPERFDEEIRSVMVYKKSTIGVFTINSNYVCHLWLMKEYGELGSWTKMLNVETQEGFIPRALKFRKSGEFLLSFSEGEIVLYDLENQQNKNLGIHKKLDDCVFIHPYVESLMLLDKAHH >Manes.13G151200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36624826:36627743:1 gene:Manes.13G151200.v8.1 transcript:Manes.13G151200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKRNTSMEKVDRLTELPESIIHHIISFLPLTDATKTTILSSKFRSLWYSFPFICFNESRQTGMHRLHKRSKRIDIFLRYVHDFLRLREPNVALERFTFRVGLDSEFHEKSDHRIDSAIGYALENHVKELDLDVVGDDPHYISYYRLPSAVFSAKSIALLKLKGFLLEPQDLILTSSFMEDLTIERCSGMKTLQLSCDKLMHMNIKSCNGLENIDVAAPNMLSFSFDGELGFSEITFSACKSLKHLSLENTQISDACLMCGVSRLLMLETLKLRQCYSLENLSLHSPQLKTIAVERCLSVKKLEVVAPNLESFVCNTGEYKKCIIKIEACKLLRKIALEKVEITNQWIESTVSDELALLEDVKLFDCNIRGDFKICHEKLKSFQLLYCQVEEAEIDARNLISLVCSVGALQPKRLALHSPQADVKLFLESTDATTNWFLRLRDVLASMGHCRELKLICSSEKLLMVPEDLRESLLSPLYDLKRLKVEIINKPKGQLVDLISSLLWLAPHPNFISILLDSREKILKLQYSVRLIPDENQFCCQTMPIKCWRHYLKELTMENFETSERNSVLKYITKNAMRLEATYDQ >Manes.15G159100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13160000:13160641:1 gene:Manes.15G159100.v8.1 transcript:Manes.15G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSNKSHEYERVFSHFDENGDGKISPWELQRCVGAVGGELSLAEAEAAVEYSDSDGDGLLCFEEFVRFVDSGEEEEKVKDLKEAFKMYEMEESGCITPKSLKRMLRRLGQATSIEACKIMIAQFDLNGDGVLNFDEFKVMML >Manes.13G086600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16087260:16091570:-1 gene:Manes.13G086600.v8.1 transcript:Manes.13G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLVQPAPHVIRPVPRISKIITSHKSFLPIHPWIKNPRFDDGFMPIHITKVAEQSSGLVGDNKEIEQTEKYSSTVSQIKEHLYQAVQGINRGIFGVPSAKKSEIRGLVEILESHNPTPDPTLNLDKVDGCWKLLYSTITILGSKRTKLGLRDFISLGDFFQNIDVSKAKAINVIKFNVRGLSFLNGQLTIEASFKPYSKSRVDIRYDNSTITPNQLMKMFQKNYDLLLDIFNPEGWLEITYVDDNFRIGRDDKGNIFILERSQRN >Manes.05G011300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:900574:905382:1 gene:Manes.05G011300.v8.1 transcript:Manes.05G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEIVCQQSFPVVDVQYFAKGSAVHEIEDVVNISPRKLNQVPVSDPAPVDLSTPQVELVDFKSAEKIPDVCLENTIFQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSTHLGSLFKFPKPSAFYAVFDGHGGPEAATYIRKNAIRLFFEDVNFPQTYEDDNIFLKEVENSLRKAFLLADLALADDHSVSSSSGTTALTAFIFGRLLMVANAGDCRAVLSRKGEAIDMSQDHRPIYPSERRRVEELGGYIDDGYLNGVLSVSRALGDWDMKNPRGAPSPLIAEPEFQQMVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPDQCARDLVMEALRQNTFDNLTVIVVCFSSLENRESSSPPRQRRQRCCSLSAEALCSLRSLLDSSGSR >Manes.07G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5275808:5280927:-1 gene:Manes.07G046500.v8.1 transcript:Manes.07G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLELQTPYPSNTSPGNGNSNGNITKRPDPEKPINELEDDDVSPIEEVRLTVTNTDDPSLPVWTFRMWFLGLLSCGLLSFLNQFFSYRTEPLIITQITVQVATLPVGHFLAAVLPKTKFRIPGLGSKMFSLNPGPFNMKEHVLISIFANAGSAFGNGSAYAVGIVTIIKAFYKRKISFLAGWILIVTTQVLGYGWAGLLRKYVVEPAHMWWPGTLVQVSLFRALHEKDEKDGRMTRAKFFVIALVCSFSWYVVPGYLFTTLTSISWVCWVFSKSVTAQQLGSGMRGLGLGALTLDWSAVASFLFSPLISPFFAIVNVLLGYVLIIYIAIPIAYWGLDLYSAHKFPIFSSHLFTSQGKKYDISAIVNDKFELDLPKYEEQGRIHLSMFFALTYGFGFATIASTLTHVALFYGREIYERYRASYKGKEDIHTKLMKRYKDIPSWWFHVLLAVTLAVSLVLCIVLNDQVQMPWWGLLFASAMAFFFTLPISIITATTNQTPGLNIITEYVMGIIYPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTMLAGTINLAVAWWLLNSINNICQDDLLPPDSPWTCPGDRVFFDASVIWGLVGPKRIFGSLGNYQAMNWFFLGGAAGPVIVYLLHKTFPKQSWIPLINLPVLLGSTGMMPPATAVNYNSWIIVGTIFNFFVFRYRKQWWQRYNYILSAALDAGVAFMAVALYFAVGIENRSLTWWGTNGEHCDLATCPTAKGIAVDGCPVN >Manes.13G088201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:21073012:21073251:1 gene:Manes.13G088201.v8.1 transcript:Manes.13G088201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAILVAEEYERGIKNSRKVSTDCDSDMKVGNWISYISFLSERVKNKIRLQNIEAAKWVLEPKTKIGLAAFNNFFSA >Manes.11G069950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10005954:10008688:-1 gene:Manes.11G069950.v8.1 transcript:Manes.11G069950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISQRSQRNNTAANTSATNSTTTKPKRTRKTVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEETAAHAYDLAALKYWGQDTILNFPLSTYQEELKDMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLRPNARNETHQNHNHIDNGNLIPNPSQDFGLSFTSYQQSLSTKENTTSLPRPSGGSVGSASSALGLLLQSSKFKEMLERTSSNDYPLMPLESDPPRKSFPDDIQTYFDCQDSSNYTEGDDIIFGELNTFSSPIFHCELDC >Manes.16G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5834399:5836600:1 gene:Manes.16G041800.v8.1 transcript:Manes.16G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >Manes.16G041800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5834654:5836663:1 gene:Manes.16G041800.v8.1 transcript:Manes.16G041800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >Manes.02G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9444254:9447193:1 gene:Manes.02G124000.v8.1 transcript:Manes.02G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTDLPPGVDKEQVYGMLAMEMEYKVELFNRLAMACFNKCVDKRYKEPELTMGENSCIDRCVSKYFLVNGIIGQMLSAGQRPM >Manes.10G077920.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18114499:18117620:-1 gene:Manes.10G077920.v8.1 transcript:Manes.10G077920.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESDQLHILLFPLMAQGHMLPLLDIAKLFSARGVKATIITTPVNAPCFTKSIQTSQDLSTQINIKIIKFPSQEAGLPEGLENLDMVSDQQIFLKFFEALSLLEEPLEKAIDELRPHGLVSDTFFPWTADVASKYGIPRLSFSGTSFIYMCCMANIEQHQPHKTVSSDTEPFILPGLPDQLEFTKLQLPDTFRQQSPSLLARLLSSA >Manes.16G038710.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6944690:6945879:-1 gene:Manes.16G038710.v8.1 transcript:Manes.16G038710.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQATVKAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGEVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCEDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKVKTVPKQYIII >Manes.08G030800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2990142:2993539:1 gene:Manes.08G030800.v8.1 transcript:Manes.08G030800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQRDYTMEDILTQLKKEMAAPHNRKLVQPPEGTYF >Manes.02G022000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936098:1941214:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.02G022000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936100:1941029:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.02G022000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936448:1941029:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.02G022000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936470:1941029:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.02G022000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936470:1941029:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.02G022000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936470:1941029:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.02G022000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936470:1941029:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.02G022000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936470:1941029:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.02G022000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1936127:1941029:-1 gene:Manes.02G022000.v8.1 transcript:Manes.02G022000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVMGLDMELDLEKSYSSDLSPNTVLPCRHFSDVEKKRANSKIRCKDDLLTIKDGFTEISFCRYRSSSGKTPSRPVGLQGNVELKRGSIYQNSTEVRTMKMDVNEGRRKIELSRDSDTNFSFSIVDSLCCSYEENTHKSSPALSVDSNPTSVRKSSLEPRLSDDYIEICPKLDNREKQSAGTVRSDSIENPTFRSEQVVGPVNDANDLLEDDMALTFHRSLSAKNVEMPHSPSPSESTCSSGAGSKSRFNPIKKMFDPFMKSKSLRSPLGYIAELGDVKTTGISNVRRNQTMRKSLLPDFANTVGKSNVDSLLVRNDHHQSTVACSPVHLHGCLKLESKHGMPHFEFSLDCPEEIFVAKTWKANNAVNWVYTFHSICSRKKSNASGWNLTDSNKESLVGQMQVSCYLCSELKDGRSFDNSKVTEFVLYDIAHARQSVFSQDSFDIGKPLNYSKSGYGGGTHELDLNHQTKHASDASNLRSSNPYPLPAAVLHSDLEIAAIVIQLPVAKKESLKYKRRNKSSDTVHSNVLNNYTFEQRRKNVTDRESPDKLNVVIPAGNHSLPIDESWGPSSLLDRWRMDGRCDCGGWDMGCPLTVFGSPGTRCAEDERPLELFVQGRKQKIPELTMRVVEEGKYAVDFHAQLSALQAFSICVAVLHSTEASNDTGEERSKQLPHCNSLKVLIEEEMQFFTEAAKEGEKKKVSKKMEEIQQSYVLNPPFSPIARV >Manes.14G166201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26495131:26537464:-1 gene:Manes.14G166201.v8.1 transcript:Manes.14G166201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEAKMEEKDKSKGSSEERWKGAIANLTEMASNLDSLQKLLVKKAVFVDEETFAKASLSSEQARTIKVLEQRVETLERELDAAISAAARARSEKRQAEAAQKAAELRAQEITRELENTTKVFQLHMEELRAKQDEISKRDNEIKLLEAIIQTLGGKESHSTGSDPRNRGPQHESAASVIVRAS >Manes.15G026700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2111323:2112024:-1 gene:Manes.15G026700.v8.1 transcript:Manes.15G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLETVQASMDQTSSPRISFSAEFLDENNFISITPNPQDQKMEREKARNAEFEFLSSNMSSHTMLTADELFFEGKLLPFWQMQQSDKLHKISLKGKENEEEEEEEEEEEEEEVNKEEPRINWYLDDDPSPRPPKCTVLWKELLRLKKQRPYLSPSSSSSSTSSSSSSLADIVTTEEGKAGSGKQGKRVKKGLERTRSTTIRIRPMVNVPICTHVKSSSLPPLFPLKKGRLER >Manes.05G194800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31886052:31889234:1 gene:Manes.05G194800.v8.1 transcript:Manes.05G194800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWEMMAVTAFKDIQTRRIQYQLHESSYNVPGKVTELEWKDYCPNVFRNIQEADNVDLTDYMMLLCEKNFLREVSSPGKAGRTIALSNNEQMLIKILKKSEVKVLLDVLPKYYFHRTKNPASVITTLYGLHSVRQVGGLKVYFVVCSKHIPKYVSVHNVFHLKGSSKGRKTHKLVVEEHVLHKDSDFNYCFYLKPSIRAKLLAQINLDCEFLLAEGVIEYSLLLGVSMQTTSPGSVDSQSSCRNTGYSSSIDSVDSNYSNSDTSSSCSSQEFDDTQLISFNNCGLPNCGECKLGVGTPARAVQKKMAENENGKNPKSFKVVLYFAIVDFNRRYTMKKGFKHLYKSLQYDSKHISAVNTKEYTSCFLNYIERIFLAENSDSSL >Manes.05G194800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31886051:31889234:1 gene:Manes.05G194800.v8.1 transcript:Manes.05G194800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLCEKNFLREVSSPGKAGRTIALSNNEQMLIKILKKSEVKVLLDVLPKYYFHRTKNPASVITTLYGLHSVRQVGGLKVYFVVCSKHIPKYVSVHNVFHLKGSSKGRKTHKLVVEEHVLHKDSDFNYCFYLKPSIRAKLLAQINLDCEFLLAEGVIEYSLLLGVSMQTTSPGSVDSQSSCRNTGYSSSIDSVDSNYSNSDTSSSCSSQEFDDTQLISFNNCGLPNCGECKLGVGTPARAVQKKMAENENGKNPKSFKVVLYFAIVDFNRRYTMKKGFKHLYKSLQYDSKHISAVNTKEYTSCFLNYIERIFLAENSDSSL >Manes.05G194800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31886052:31889234:1 gene:Manes.05G194800.v8.1 transcript:Manes.05G194800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWEMMAVTAFKDIQTRRIQYQLHESSYNVPGKVTELEWKDYCPNVFRNIQEADNVDLTDYMMLLCEKNFLREVSSPGKAGRTIALSNNEQMLIKILKKSEVKVLLDVLPKYYFHRTKNPASVITTLYGLHSVRQVGGLKVYFVVCSKHIPKYVSVHNVFHLKGSSKGRKTHKLVVEEHVLHKDSDFNYCFYLKPSIRAKLLAQINLDCEFLLAEGVIEYSLLLGVSMQTTSPGSVDSQSSCRNTGYSSSIDSVDSNYSNSDTSSSCSSQEFDDTQLISFNNCGLPNCGECKLGVGTPARAVQKKMAENENGKNPKSFKVVLYFAIVDFNRRYTMKKGFKHLYKSLQYDSKHISAVNTKEYTSCFLNYIERIFLAENSDSSL >Manes.10G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30836427:30846024:-1 gene:Manes.10G140700.v8.1 transcript:Manes.10G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEDYARRFESGDLAVGSNGATGEEQGLSNTNIMCRMCFSGETEGSERARRMLSCKSCGKKYHRSCLKAWAQHRDLFHWSSWTCPSCRICEICRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDLEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISPYSDDEENGPVTLKNEFGRSLKLSLKGLVDKSPKKSKEYGKKSASKKTARKKSSQMSLINKTEPHQHDIRSSGHSLDENNNDDSDSHKKGGYSSPVAGIVNRAEGICSVNQTSVLKHKFVDEVMVSDGEKTSRIVKIKNNKSCDLNSGDDTEEQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLTTSNGSEDAGQHGLSDGFVGDRHEGATAFGIGDGDIVDHSSQVGSLKFPRIKFGKVKSETSNLNPKFDRVNADGYEAIPLDHTSVPSVKRSIDSSGTAMGPVGEVPALKSNKVSLGKQPEARRPETHTESNDDSSDTPILQSLPKDSKFSLKLRIKKPNLLNQYTRKPLPEEEKSSIRGQRSKRKRPSSLMEKTLLNEGEDVAQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPSDNSWHKGAVSDVIEHTSILSVALDDGTVKTLELGKQAVRFVPQKQKRSKT >Manes.10G140700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30836426:30846024:-1 gene:Manes.10G140700.v8.1 transcript:Manes.10G140700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDLEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISPYSDDEENGPVTLKNEFGRSLKLSLKGLVDKSPKKSKEYGKKSASKKTARKKSSQMSLINKTEPHQHDIRSSGHSLDENNNDDSDSHKKGGYSSPVAGIVNRAEGICSVNQTSVLKHKFVDEVMVSDGEKTSRIVKIKNNKSCDLNSGDDTEEQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLTTSNGSEDAGQHGLSDGFVGDRHEGATAFGIGDGDIVDHSSQVGSLKFPRIKFGKVKSETSNLNPKFDRVNADGYEAIPLDHTSVPSVKRSIDSSGTAMGPVGEVPALKSNKVSLGKQPEARRPETHTESNDDSSDTPILQSLPKDSKFSLKLRIKKPNLLNQYTRKPLPEEEKSSIRGQRSKRKRPSSLMEKTLLNEGEDVAQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPSDNSWHKGAVSDVIEHTSILSVALDDGTVKTLELGKQAVRFVPQKQKRSKT >Manes.10G140700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30836427:30846024:-1 gene:Manes.10G140700.v8.1 transcript:Manes.10G140700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEDYARRFESGDLAVGSNGATGEEQGLSNTNIMCRMCFSGETEGSERARRMLSCKSCGKKYHRSCLKAWAQHRDLFHWSSWTCPSCRICEICRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDLEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISPYSDDEENGPVTLKNEFGRSLKLSLKGLVDKSPKKSKEYGKKSASKKTARKKSSQMSLINKTEPHQHDIRSSGHSLDENNNDDSDSHKKGGYSSPVAGIVNRAEGICSVNQTSVLKHKFVDEVMVSDGEKTSRIVKIKNNKSCDLNSGDDTEEQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLTTSNGSEDAGQHGLSDGFVGDRHEGATAFGIGDGDIVDHSSQVGSLKFPRIKFGKVKSETSNLNPKFDRVNADGYEAIPLDHTSVPSVKRSIDSSGTAMGPVGEVPALKSNKVSLGKQPEARRPETHTESNDDSSDTPILQSLPKDSKFSLKLRIKKPNLLNQYTRKPLPEEEKSSIRGQRSKRKRPSSLMEKTLLNEGEDVAQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPSDNSW >Manes.10G140700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30836427:30846401:-1 gene:Manes.10G140700.v8.1 transcript:Manes.10G140700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICFCSLGFPRDLHTSKSKTEFLLQVSRIDEFLKDPLGIRDSKEESTVQVLVPKVEPVPAAPALTFGVAGDGGGGGGGGGGVVGDDLGEEASAQTKRVALQRKAAAAMVAAEDYARRFESGDLAVGSNGATGEEQGLSNTNIMCRMCFSGETEGSERARRMLSCKSCGKKYHRSCLKAWAQHRDLFHWSSWTCPSCRICEICRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDLEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISPYSDDEENGPVTLKNEFGRSLKLSLKGLVDKSPKKSKEYGKKSASKKTARKKSSQMSLINKTEPHQHDIRSSGHSLDENNNDDSDSHKKGGYSSPVAGIVNRAEGICSVNQTSVLKHKFVDEVMVSDGEKTSRIVKIKNNKSCDLNSGDDTEEQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLTTSNGSEDAGQHGLSDGFVGDRHEGATAFGIGDGDIVDHSSQVGSLKFPRIKFGKVKSETSNLNPKFDRVNADGYEAIPLDHTSVPSVKRSIDSSGTAMGPVGEVPALKSNKVSLGKQPEARRPETHTESNDDSSDTPILQSLPKDSKFSLKLRIKKPNLLNQYTRKPLPEEEKSSIRGQRSKRKRPSSLMEKTLLNEGEDVAQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPSDNSWHKGAVSDVIEHTSILSVALDDGTVKTLELGKQAVRFVPQKQKRSKT >Manes.10G140700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30836427:30846401:-1 gene:Manes.10G140700.v8.1 transcript:Manes.10G140700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICFCSLGFPRDLHTSKSKTEFLLQVSRIDEFLKDPLGIRDSKEESTVQVLVPKVEPVPAAPALTFGVAGDGGGGGGGGGGVVGDDLGEEASAQTKRVALQRKAAAAMVAAEDYARRFESGDLAVGSNGATGEEQGLSNTNIMCRMCFSGETEGSERARRMLSCKSCGKKYHRSCLKAWAQHRDLFHWSSWTCPSCRICEICRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDLEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISPYSDDEENGPVTLKNEFGRSLKLSLKGLVDKSPKKSKEYGKKSASKKTARKKSSQMSLINKTEPHQHDIRSSGHSLDENNNDDSDSHKKGGYSSPVAGIVNRAEGICSVNQTSVLKHKFVDEVMVSDGEKTSRIVKIKNNKSCDLNSGDDTEEQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLTTSNGSEDAGQHGLSDGFVGDRHEGATAFGIGDGDIVDHSSQVGSLKFPRIKFGKVKSETSNLNPKFDRVNADGYEAIPLDHTSVPSVKRSIDSSGTAMGPVGEVPALKSNKVSLGKQPEARRPETHTESNDDSSDTPILQSLPKDSKFSLKLRIKKPNLLNQYTRKPLPEEEKSSIRGQRSKRKRPSSLMEKTLLNEGEDVAQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPSDNS >Manes.10G140700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30836427:30846401:-1 gene:Manes.10G140700.v8.1 transcript:Manes.10G140700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICFCSLGFPRDLHTSKSKTEFLLQVSRIDEFLKDPLGIRDSKEESTVQVLVPKVEPVPAAPALTFGVAGDGGGGGGGGGGVVGDDLGEEASAQTKRVALQRKAAAAMVAAEDYARRFESGDLAVGSNGATGEEQGLSNTNIMCRMCFSGETEGSERARRMLSCKSCGKKYHRSCLKAWAQHRDLFHWSSWTCPSCRICEICRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDLEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISPYSDDEENGPVTLKNEFGRSLKLSLKGLVDKSPKKSKEYGKKSASKKTARKKSSQMSLINKTEPHQHDIRSSGHSLDENNNDDSDSHKKGGYSSPVAGIVNRAEGICSVNQTSVLKHKFVDEVMVSDGEKTSRIVKIKNNKSCDLNSGDDTEEQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLTTSNGSEDAGQHGLSDGFVGDRHEGATAFGIGDGDIVDHSSQVGSLKFPRIKFGKVKSETSNLNPKFDRVNADGYEAIPLDHTSVPSVKRSIDSSGTAMGPVGEVPALKSNKVSLGKQPEARRPETHTESNDDSSDTPILQSLPKDSKFSLKLRIKKPNLLNQYTRKPLPEEEKSSIRGQRSKRKRPSSLMEKTLLNEGEDVAQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPSDNSW >Manes.10G140700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30836427:30846401:-1 gene:Manes.10G140700.v8.1 transcript:Manes.10G140700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITCRRICFCSLGFPRDLHTSKSKTEFLLQVSRIDEFLKDPLGIRDSKEESTVQVLVPKVEPVPAAPALTFGVAGDGGGGGGGGGGVVGDDLGEEASAQTKRVALQRKAAAAMVAAEDYARRFESGDLAVGSNGATGEEQGLSNTNIMCRMCFSGETEGSERARRMLSCKSCGKKYHRSCLKAWAQHRDLFHWSSWTCPSCRICEICRRTGDPNKFMFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCSCDGISDEKYLQFQVDGNLQYKCATCRGECYQVKDLEDAVQELWRRRDKADQGLIASLRAAAGLPTQEDIFSISPYSDDEENGPVTLKNEFGRSLKLSLKGLVDKSPKKSKEYGKKSASKKTARKKSSQMSLINKTEPHQHDIRSSGHSLDENNNDDSDSHKKGGYSSPVAGIVNRAEGICSVNQTSVLKHKFVDEVMVSDGEKTSRIVKIKNNKSCDLNSGDDTEEQANKLKAVKAKKLVINLGARKINVTNSPRSDASSCQRDQDLTTSNGSEDAGQHGLSDGFVGDRHEGATAFGIGDGDIVDHSSQVGSLKFPRIKFGKVKSETSNLNPKFDRVNADGYEAIPLDHTSVPSVKRSIDSSGTAMGPVGEVPALKSNKVSLGKQPEARRPETHTESNDDSSDTPILQSLPKDSKFSLKLRIKKPNLLNQYTRKPLPEEEKSSIRGQRSKRKRPSSLMEKTLLNEGEDVAQSHQDSEMMEASWILKKLGKDAIGKRVEVHQPSDNSW >Manes.05G088300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8025817:8040748:1 gene:Manes.05G088300.v8.1 transcript:Manes.05G088300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAADERAVIRKECAAIRAAINENGQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIRKVPDLAENFINSAAALLKEKHHGVLITGIQLCTDLCKVSPEALEYFRKKCTEGLVRTLKDVANSPYAPEYDISGITDPFLHIRLLKLLRMLGQGDADASDAMNDILAQVATKAESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITIDAQAVQRHRATILDCVKDSDASIRKRALELVYLLVNEDNVKPLTKELIEYLEASDQEFKGDLTAKICSIVEKFSPEKIWYVDQMLKVLTEAGNFVKDEVWHALIVAISNASDLHGYTVRALYRACQTSVEQETLVRVAVWCIGEYGDMLVNNVGMFEIENPITVTESDAVDVVEIAIKRQASDLTTKAMALIALLKLSSRFPACSGRIKDIIVQYKGNLVLELQQRSLEFNSIVEKHQNIRSALVERMPVLDEAAFFGKRAGSLLTTESISNGASLNLPNGVAKLSAAPLVDLLDLSDDAPAPSSSDGNFLHDLLGVDLAPASAQPGTSQAPKAGTDVLLDLLSIGTTTPVQSNSYKPDMLSSGQDSQKPIATLDVLSLPSSSAQANSSVGASPMMDLLDGLAPSSSNREDNGPVYPSIVAFESSNLRMTFNFSKSPGNPQTTLIQATFTNLSSNAFTDFVFQAAVPKFLQLHLDPASGNMLPASGNGSVTQSLRVTNSQHGKKSLVMRIRIAYKLNNKDMLEEGQINNFPRDL >Manes.12G123301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32962809:32963815:-1 gene:Manes.12G123301.v8.1 transcript:Manes.12G123301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLAFFFFFLICCTFLWVSGDYVDEGNADAGTLKTSILAFYGNISFGRDFVFPNCTDTPIATASMTRPYGVSSSNLLLSMDYTHQQPLFSVFIFCMRVVFIIWPPLIVGVVVLIWMVLVRNLLFSCIV >Manes.16G061200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24089259:24095284:1 gene:Manes.16G061200.v8.1 transcript:Manes.16G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKMKYVLVTGGVVSGLGKGVTASSIGLLLKACNLRVTTIKIDPYLNSDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIEKERRGDYLGKTVQVVPHITDAIQEWIERAAMIPVDGQPGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGVGNFCLIHVSLVPVLNAVGEQKTKPTQHSVRGLRGLGLAPNILACRSTLELDENVKMKLSQFCHVQAENIITLYDVPNIWRIPLLLRDQKAHEAIFRVLNLQGTTREPNLKEWTSRADICDMLHEPVRIAMVGKYTGLSDSYLSVIKALLHASVARRKKLVVDWVPACDLENEMAKENPDAYKVAWKLLKGADGILVPGGFGERGVEGKILAAKYAREHRVPFLGICLGMQVAVIEFARSVLGFQDANSTEFDTNTKKPCVIFMPEGSKTHMGGTMRLGSRRTYFQVMDCKSAKLYGNKSFIDERHRHRYEVNPDMVSRLEEAGLSFTGKDETGQRMEIVELPNHPYYIGAQFHPEFKSRPGKPSALFLGLIAAACGQLDALLQGHKLPNGLGTGIPIQKVNVYQNGNVAKFAKIAADGIYTHY >Manes.09G074500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16472656:16484549:-1 gene:Manes.09G074500.v8.1 transcript:Manes.09G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKLAFTLLLLSFICRCSAVGLDTTLTARLSVNASVGRVIPETLFGIFFEEINHAGAGGIWGELVNNRGFEAGGPNTPSNIAPWSIIGDGSSLIVSTDRSSCFERNKVALRMDVFCDSKGNNICPDGGVGIYNPGFWGMNIQKGKTYKVVLYVRSLGSINISVSLTDSNGLQTLATAKIIASASDVLNWTKAEVLLEAKGTNQNSRLQLTSSQKGVIWFDQVSAMPLDTFKGHGFRTELVEMLADIKPRFIRFPGGCFVEGEWLRNAFRWKETIGPWEERPGHFGDVWMYWTDDGLGHFEFLQLAEDLGASPIWVFNNGISHQDQVDTSTISPFVQEALDGIEFARGDSDSTWGAIRAAMGHPEPFDLKYVAVGNEDCGKKNYRGNYLKFYEAIKRAYPDIKIISNCDGSSRSLDHPADYYDFHVYTSANNLFSMAHKFDQTSRNGPKAFVSEYAVTGKDAGTGSLLAALAEAAFLIGLEKNSDIVEMASYAPLFVHVNDRRWNPDAIVFNSSQQYGTPSYWVQRFFTESSGATLLNSVLQTDASASLIASAIKWQDTVNSKTYLKIKIVNFGSSTVNLNISVDGLGLNSIQESGSTKTELTSANLMDENSFTNPKKVAPLQTLLDKASKDMDVVLSPNSFTSFDLLTELSSISTTDTDSFSRSSI >Manes.05G061600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5165985:5168592:-1 gene:Manes.05G061600.v8.1 transcript:Manes.05G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQALEQQLQRQIEPPQLQSPSQQPREDMLDCVMHLENALLPCLPARELQAIDRSPHPSHQIDVGRHARDFMEAAKKLQLYFIGLQREDQPTTAETLRKEVAVMEEELKIKDEIIKKQERLIQAWRKELKEQLDKHKTELERV >Manes.08G087200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:29238791:29240260:-1 gene:Manes.08G087200.v8.1 transcript:Manes.08G087200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRIPSMGFNFSLFQTLFLFSTLTFPSLLQIKLPHSAGEFISAIISLLLLKPVSLVITTNFQEKKSMVFSDQSLFHYALLTFFLIGPPTFISLRFLQAPYGKHNRPGWGPTIFPPLAWFLMESPTLWLTLLLFPIGQHATNSKALILMSPFLIHYFNRTCLYPLRLYLSTTQRNNKPASGFPVSVALMAFGFNLLNAYLQVRWVSHYKENYQSDGWFWWKFLAGVLVFLLGMWINVWSDGVLVGLKREGGGYKVPRGGCFELVSCPNYFGEIVEWLGWSLMTWSCVGFGFFLYTCANLIPRALANHKWYLDKFGEDYPRSRKAVIPFLY >Manes.13G000500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:478699:483823:-1 gene:Manes.13G000500.v8.1 transcript:Manes.13G000500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNQITFPLTHKLPCRSCSQTSPSRIFMAATLGSTPTKKIVNVKQPNSPPQEIHVQVTHSMPPQKMEIFKALEDWAEDNILVHLKPVEKCWQPQDFLPEPESEGFYEQVKELRERSRELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTVLALADMMRKKISMPAHLMYDGQDNNLFEHYSTVAQRIGVYTAKDYADILEFLVERWKVEKLTGLSSDGGRAQDFVCGLPARIRRLEERAQGRVKQAATVPFSWIFGRELKL >Manes.08G016000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1626503:1629511:1 gene:Manes.08G016000.v8.1 transcript:Manes.08G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLVPRWLESLLSTAFFSICRTHGDAARSECNMYCLDCRGDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVSEIQKILDISGVQTYVINSARVLFLNERPQPKSGKGVAHTCEICGRSLLDPFRFCSLGCKLVGIKRNGDASFTLEPKNEELMERREDEELREASSQQDIYPPTPPPPPASSARRRKGIPHRAPFGS >Manes.08G016000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1626503:1629663:1 gene:Manes.08G016000.v8.1 transcript:Manes.08G016000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLVPRWLESLLSTAFFSICRTHGDAARSECNMYCLDCRGDAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVSEIQKILDISGVQTYVINSARVLFLNERPQPKSGKGVAHTCEICGRSLLDPFRFCSLGCKLVGIKRNGDASFTLEPKNEELMERREDEELREASSQQDIYPPTPPPPPASSARRRKGFLLSASDVSIERVSLDHLSLLR >Manes.14G123000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9712576:9728703:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9712576:9728768:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9712576:9728768:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9713155:9724077:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9712576:9728703:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9712576:9728768:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAMAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9710937:9728768:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAMAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9712576:9728703:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9712576:9728698:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAMAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9713155:9724077:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAMAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9712576:9728768:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G123000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9710937:9728768:-1 gene:Manes.14G123000.v8.1 transcript:Manes.14G123000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMHDPSDNSEPNEQQEHAESQSQSSSPATALVHPVMSIPNVQYATSPQLGSGHAMAAAAAAAAAYPYPDPYYRSIFAPYDAQPYPPPPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESEKKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKNENQQEDEDSPGVRSQSNINLNSDKNDIASSDNQS >Manes.14G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2289209:2291453:1 gene:Manes.14G024300.v8.1 transcript:Manes.14G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMIPSVKAMLGSFAFAIFWVLAVFPAFPLLPIGRSGGSLLGAVLMVVFEVTSPAQAYASIDLSILAFLFGTMVVGVYLERADAFEYVEELLSWKSQGAKDLIFRICLVSAITSAFFTNDTSRIVLTELVLKTARKHNLPPHPFLLAVDSSANIGSSATPIGNLQNLVIATKANLSFGTFLVGILPAALTGIILNYVLLLCIFWRQLSSGNSGGEHAYEEIVAEGDMSFHRLSPGPVPQFTHLHFQETGSGLDMQDLTPKGDFRHRSCLSEIDILPIVGSESPGNSSTHSNRRSMFWKSCVYLITTGMITALISGMNMSWTALTSALALAVLDFKDAQPCLDKVSYSLLVFFCGMFMTIDGFEKAGIPRYLWEMMEPYAQIDHAKGITILALVVLVLSNSISNVTTVLLLGEKMAASAATISPLYAKKAWLILAWASTVSPNLSLVGSGANLIVCENARAKAPLAYNLSFWSHLKFGVPSTVMVIAIGLTLVG >Manes.10G044700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4766550:4770061:1 gene:Manes.10G044700.v8.1 transcript:Manes.10G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPNPIVDNSPQHQRHAPATPSKQPAAPPNAVDTSSVCQRLQKELMSLMMSGGDLGVSAFPEGECIFTWIGTIEGGKGTVYEGLSYKLSLRFPLDYPFKPPQVKFETICFHPNVDQYGNICLDILQEKWSSAYDCRTILLSIQSLLGEPNPDSPLNSYAARLWNNKEDYKKMVYKQYYAGEVLES >Manes.16G106050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31168641:31169420:1 gene:Manes.16G106050.v8.1 transcript:Manes.16G106050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHHPICLFIHTLTASAAPAQRIGLTVSCKGCHFSHFLALIHCSIKIYIHERRMKLLMNCVHFWVLSTSKLNQFVEQSILVMMEV >Manes.17G059500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25823670:25825067:-1 gene:Manes.17G059500.v8.1 transcript:Manes.17G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIKCRSIPSSNKSKLARTFQKVINLKTATRIASNNGIGICMLTPHNKFEDDPTTIYKSHNDKNKEDSKAKRKAVLDALVAKIFAAITAIKAAYAELQMAQNPYNSDAIQAADQAVVEELKLLSQLKRSFFKNDLDHLSPQVTLMLAKIQEQQSLMKTYEITIKKLEAQAEVKVSDVSSLKKQLDESIAFNKSLEKKLNASGPLSMFDNIQFSILNTTHFVQFLHSALRSMRSFVRLMVREMDVAHWDIEAAAKAIEPESTFAKPTHRCFVFESFVSKTMFEGFNYPNFMLPNESPPPMEHHRHFHSGEHYFNKFKNLKSVNPKHYLTQNPTSSYARFTRAKYLQLVHAKMECSLFGNLNQRKLVNSGGFPDSAFFTAFLEMARRVWSLNLLAFSFGENVSIFQVSKNSKFSEVYMESVTHESLLESDSVDTDLRVDFTVVPGFKIGKTVIQSQVYLSPAVSLR >Manes.11G014701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1583049:1585167:-1 gene:Manes.11G014701.v8.1 transcript:Manes.11G014701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAAEVHLCTKNCDFLMEHYVFKRHNDDIIVQSVRPYGQRAVLKFSQYTGAHAIAGRHTPVTFTNQLQTSLSEPRLLILTDLRTDHQPIKESSLGNVPTITFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARVVLQMRGTIPQGHKWNVMVDLFFYREPEETKEQEEEEAVAIAGYALPAADFGLASADWGAQIIDGQWNVEAVPPPISTVPAAVYPDQGISYSNTSKLHLIYLVILFIYLQFFAIPLQCYMRFTMLVVVILAPDIISLCVPTSSEWDAAPAPAPAPLQFVAAVAPAPSATRWDE >Manes.08G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38625959:38628005:1 gene:Manes.08G144000.v8.1 transcript:Manes.08G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGFRGGRDGGRGGRGGFGRGRGGFGRGGGGGFRDEGPPSEVVEISTFLHACEGDAVTKLTNEKIPYFNAPIFLQNKTQIGKVDEIFGPINESYFSIKMMEGIVATSYSPGDKFYIDPNKLLPLARFLPQPKHKQLQEVVAEEAEAVVEVVAEEVEAFVEGVVQEVVEVDLLEEVPVVVALGAEGDHRRIV >Manes.08G144000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38625959:38628005:1 gene:Manes.08G144000.v8.1 transcript:Manes.08G144000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGFRGGRDGGRGGRGGFGRGRGGFGRGGGGGFRDEGPPSEVVEISTFLHACEGDAVTKLTNEKIPYFNAPIFLQNKTQIGKVDEIFGPINESYFSIKMMEGIVATSYSPGDKFYIDPNKLLPLARFLPQPKGQAQAAARGGRGGGRGGGRGGGRGGGGFRGRGGPRGGRGGPPRGGSRGGGFRGRGRS >Manes.15G193000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32858520:32872265:1 gene:Manes.15G193000.v8.1 transcript:Manes.15G193000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKPKDLEAASASQSDTAPPASDIFKSLFGDVEQNEAVSSIFSDSNPFKRKFQELGAELGNVKSGENPKINDTENSNLDVLKRNRLEEKQRNLDQVSIEEEAKDTPLVARKWKKLKIRNSDFGSVSEDGEENPSMGSGSSPENKNPSLVVEPKAELTHNEKSNNKRKKRKRDEVEREYEAQKYGVRPDDDANAVVVGEKRKKADNEADSLVSKDGEEFDDESKLLRTVFVGNLPLKIKKKALMKEFGQFGEIDSVRIRSVPIVDTKIPKKGAVILKKINDSADSVHAYIVFKTEQSAEASLAHNMALVGGHHIRVDRACPPRKKLKVEDTPLYDNKRTLFVGNLPFDVKDEEIYQLFSEIKDLESSIEAVRVVRDPHIGLGKGIAYVLFKTKEAANLALKKRNLKIRNRELRFAHARQDTTPSKRKKSPTADSPAKRLAMNSKTPVRNTGSNTKASSISYQGFRATKSGGLEKKLKHQKRNGAVRMKSKTQQGEKRKEKRPAVAARKAKEKVRKEGGHAKQAGQKRKLDSRTPESFNVKKKAKKFR >Manes.06G035900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10472842:10475916:-1 gene:Manes.06G035900.v8.1 transcript:Manes.06G035900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGARIHIPVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKVPVKGNLPVHNSMDALAAAALSYDYDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFSDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLTQCSGLEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.06G035900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10472886:10476229:-1 gene:Manes.06G035900.v8.1 transcript:Manes.06G035900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSCSNSIKQGGPTSIRKIKPSDVMEEGARIHIPVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKVPVKGNLPVHNSMDALAAAALSYDYDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFSDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLTQCSGLEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.06G035900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10472702:10476731:-1 gene:Manes.06G035900.v8.1 transcript:Manes.06G035900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNIHCNQMLYKVRSYSRIVPPPPAYAKPVIRVSNNVAHLGSPKDGPKPRQLLSLPPFPRLPLPGKNMVASHVTAISWLKYYFNEIPDSTIQSHFNKGLVHMQLPSCSNSIKQGGPTSIRKIKPSDVMEEGARIHIPVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKVPVKGNLPVHNSMDALAAAALSYDYDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFSDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLTQCSGLEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.06G035900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10472886:10476682:-1 gene:Manes.06G035900.v8.1 transcript:Manes.06G035900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSCSNSIKQGGPTSIRKIKPSDVMEEGARIHIPVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKVPVKGNLPVHNSMDALAAAALSYDYDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFSDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLTQCSGLEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.06G035900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10472809:10476313:-1 gene:Manes.06G035900.v8.1 transcript:Manes.06G035900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNIHCNQMLYKVRSYSRIVPPPPAYAKPVIRVSNNVAHLGSPKDGPKPRQLLSLPPFPRLPLPGKNMVASHVTAISWLKYYFNEIPDSTIQSHFNKGLVHMQLPSCSNSIKQGGPTSIRKIKPSDVMEEGARIHIPVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKVPVKGNLPVHNSMDALAAAALSYDYDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFSDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLTQCSGLEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.06G035900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10472886:10476681:-1 gene:Manes.06G035900.v8.1 transcript:Manes.06G035900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNIHCNQMLYKVRSYSRIVPPPPAYAKPVIRVSNNVAHLGSPKDGPKPRQLLSLPPFPRLPLPGKNMVASHVTAISWLKYYFNEIPDSTIQSHFNKGLVHMQLPSCSNSIKQGGPTSIRKIKPSDVMEEGARIHIPVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKVPVKGNLPVHNSMDALAAAALSYDYDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFSDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLTQCSGLEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.06G035900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10472809:10476711:-1 gene:Manes.06G035900.v8.1 transcript:Manes.06G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNIHCNQMLYKVRSYSRIVPPPPAYAKPVIRVSNNVAHLGSPKDGPKPRQLLSLPPFPRLPLPGKNMVASHVTAISWLKYYFNEIPDSTIQSHFNKGLVHMQLPSCSNSIKQGGPTSIRKIKPSDVMEEGARIHIPVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKVPVKGNLPVHNSMDALAAAALSYDYDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFSDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLTQCSGLEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.06G035900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10472842:10475916:-1 gene:Manes.06G035900.v8.1 transcript:Manes.06G035900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNIHCNQMLYKVRSYSRIVPPPPAYAKPVIRVSNNVAHLGSPKDGPKPRQLLSLPPFPRLPLPGKNMVASHVTAISWLKYYFNEIPDSTIQSHFNKGLVHMQLPSCSNSIKQGGPTSIRKIKPSDVMEEGARIHIPVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKVPVKGNLPVHNSMDALAAAALSYDYDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFSDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLTQCSGLEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.14G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8845816:8849305:-1 gene:Manes.14G105500.v8.1 transcript:Manes.14G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTSLIPHFTFFLLCLCFHLLQASTNPDLEHLMQFKTESDKANKLADWNSTIDPCTWSGVFCLKNRVSRLVLENLDLHGSIQPLTSLTQLRVLSLKRNSFSGPLPDLSNLKALKLLFLSRNNFSGNVPASLQSLFRLYRLDLSYNGFSGNIPATLNRLTHLLTLRLEENRFTGSIAGMNIPTLQDFNVSGNQLSGEIPKSLSSFPVSAFAQNSGLCGSPFQTCKGIVSDPTRPGSDGAIASPLMPENKPTTVSSSPSSIPPNKAANSTHNKAAKISPLALIAIILGDVLVLSIVSLLLYCYFWRNYAGRMRDGKGSKLHETEKIVYSSSPYPNQPGFERGRMVFFEGVKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKDANVVGKRDFEQHMEVLGRLRHPNLVSLKAYYFAREEKLLVYDYMPNGSLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGLAFIHNSCKSLKLTHGNIKSTNILLDKAGNARVSDFGLSLFASPTASAPRSNGYRAPELSSDGRKLTQKSDVYSFGVLLLELLTGKCPSIVDCGGPGTGYGGVVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVALLQIAMACTSPSPDQRPRMGHLVKMIEEIRGVEVSPCHETLESVSDSPCMSEDTCGASQ >Manes.03G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2711726:2713787:1 gene:Manes.03G032300.v8.1 transcript:Manes.03G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGNIGHNSRTCTNFRGTVAAGLRLFGVQLDLTSAAAAASPSLAMKKSFSMECLPSSSSSSSPSSSSLCSSRVSVDDNPDRTSTDYLSDGLIGPVQERKKGTPWTEDEHRTFLIGLERLGKGDWRGISRNYVTTRTPTQVASHAQKYFLRLASLNKKKRRSSLFDMVRSSSQANGDHVPSHQYEIQNDTILPLTLANNQENDEYPQLVSDNNSLLPLPKPSNRSKKTSSDDDLELTLACPISVEGSKASTSPFFVSIRAT >Manes.10G046050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4966069:4967008:1 gene:Manes.10G046050.v8.1 transcript:Manes.10G046050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMVYLHYIIIIIIIIIIIIKRSHTCNKRYATTNKQKGKKISWRFTKYMEKKYKVEFAGIQPSMNIKPRHRGT >Manes.15G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2858665:2862974:1 gene:Manes.15G036500.v8.1 transcript:Manes.15G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNMARDVHVVPADPQVAAASAAAAVKKKMQPARSWILIDASGQSTILDADKHAIMHRVQIHARDLRILDPLLSYPSTILGREGAIVLNLEHIKAIITSEEVLLRDPLDENVIPVVEELQRRLPPANLIPQGQGYGRENPSGQNEVEAGEEDVLCIVNAESPFEFRALEVALEAICSFLAARTTELESAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASPVSGSGGANWFSASPTIGSKISRASRASVATVRGDENDVEELEMLLEAYFMQIDSTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCSSFYSLVAGIFGMNIPYTWNDNHGYMFKWVVMVTGAFCVAVLILIMSYARFKGLVGS >Manes.04G050300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8392512:8393892:1 gene:Manes.04G050300.v8.1 transcript:Manes.04G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTELSLAPTQLLVKKSMNSSSSESENNFSSSSSSRKRKFFSDHHLLKSTVPPLQTSSVDLHLKNPLPLDWEQCLDLESGKMYYMNRKTLRKSWNWPNVDQKLDLELNISSLSHCSDHQQRRSYDNINSSSLEDYSKKLHDSSSSRCSNNNMVALACLNCHLLVILSRSSPSCPNCKYVHSFATHQAPSPQPKMSPEKSLNTLSLLN >Manes.06G015375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2611131:2612735:1 gene:Manes.06G015375.v8.1 transcript:Manes.06G015375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIELPHDIVYQCLIYDVKCKSVIEKSRESLRHKASFYAAALAFLSLLCLAVGDEVVLRSFPSPSAKLLCEIPLPLLWLFRVNSARSSFIYRGGWLDLIDEITSLSYASNTFPPVDSIYIYDLRLPLGLEVPL >Manes.12G006200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:685045:689319:-1 gene:Manes.12G006200.v8.1 transcript:Manes.12G006200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARLSTRCFDTKSVLLPSPVPAITDIINATKFELHHCQPSCRHHQSLSSGYSHHETQAELLHAYVIKNGSLQNLAISNYLLNLYVKKCQNLYHAHQLFNEILTRDIRTWTILISGFAQYEDFKMVLYLFRKMQSEGICPNHFTLSSVFKCCSTLCELRNGKAIHGWILTNEIGLDVILVNSVLDLYVKCGALDYAKRLFKSVEEIDTVSWNIMISAYLHIGDVERALDLFHGLNSKDVTSWNTIIDGLMKNGFERTSLELLYKMLESGHMFNAVTFSIALNLVSCLSLLELGKQIHGQVLRLVLHNNGFIRNSLLGMYCKCGKMEEASMTLRTIPVRISCDDSLADVVSWSSMISGYVRNGEYEIAFQTFRFMVNEHVVVDKFTLTSIVSACASKGILELGMQVHAHIQKIGHKVDAHLYSSLIDMYAKCGSLNDAIKIFKQINDLNVVLWTSMISGFGLHGQGHEAIELFECMMNEGIIPNEITFIGVLIACNHAGLLEEGCKYFELMQKVYGIKPDFEHYTCMVDLYGRSGRLNEAKEFIQENGISHVSAVLKSFLSSCRLHKNVEMGNWASERLLKLEQSDAGSYILLSNMYSTDHRWEEAANVRSSMQQRKANKLPGLSWIQLKNQVHNFN >Manes.15G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3770864:3777007:1 gene:Manes.15G048600.v8.1 transcript:Manes.15G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFASSLASKARIARNSTHQIGSRISWSRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFMEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSRTRMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHEKKISSINAVVKVLELALKRQRPLLIVSEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKAGLQDLAVLTGGEVITEELGLNLEKVDIDMLGSCKKITVSKDDTVILDGAGDKKAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDNLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVSELPKDEKDVPAMAPGMGMDY >Manes.08G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3176934:3180143:1 gene:Manes.08G033500.v8.1 transcript:Manes.08G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIELHRKRHGYRLDHFERKRKKEAREVHKRSERAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESTSRRKVDDEVHEGAIPAYLLDRENTTRAKIMSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFKVIRSGKRKTKQWKRMVTKVTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCVNAVLLV >Manes.17G106401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31309402:31314003:1 gene:Manes.17G106401.v8.1 transcript:Manes.17G106401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVIHQLLRRKLQPRSAASPFSSIFTSRKVRDDAGSAGMKSLRTFALLGAGLSGFLSFTTVASADEAEHGLECPRYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVTFLSWAAEPEMEERKLVFLD >Manes.17G106401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31309402:31314003:1 gene:Manes.17G106401.v8.1 transcript:Manes.17G106401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVIHQLLRRKLQPRSAASPFSSIFTSRKVRDDAGSAGMKSLRTFALLGAGLSGFLSFTTVASADEAEHGLECPRYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRRLVVDVVN >Manes.01G224200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39048095:39048695:-1 gene:Manes.01G224200.v8.1 transcript:Manes.01G224200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKTNSHPAVDHPPYFEMISEAISTLKERTGSSQPAIAKFIEAKYNNTSLPSNFKKLLSVQLRNFVKSEKLVKVKNSYKLSSTEKLKLAIKETQEANFQAKKPIARKERTEKKITEKAAKTKRLSRVKTPEVLKKTNKNEKRAKMKRLSQVKTPDGFKKRRNSKVSK >Manes.15G112600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8972775:8978034:1 gene:Manes.15G112600.v8.1 transcript:Manes.15G112600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSAVIASVVSEVGLGSEPAFKVPGYDFRSAGELPLYMLLGILCGLVSLALTRCTSFLLLIVDNLHRDVGIPRAVFPVLGGLAVGTMALAYPEILYWGFENVDILLESRPFVKGLSADLLLQLVGVKIVATSLCRASGLVGGYYAPSLFIGAATGMAYGKLISFAVAQSSPVLQLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWITSGQTRRGDVKETRKVKKENALPTTQSEISVTQGPSSGYVLAEKTPYSSDLCEVESSLCLDDSSTENEVLKKKIFVSEAMRTQYVTVFMSTLVTEAVSCMLAEKQSCALIVDDDNVLVGLLNLGDVEDFIKTAKAKSERTKELSVSDVCALDGENCQVPCTAKPSMDLFSVQIIMDRYGLSQVPVISENVEDYRGYPVGLLDRECISITCRAIATRESIS >Manes.15G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8972775:8978034:1 gene:Manes.15G112600.v8.1 transcript:Manes.15G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFLHLLHHHHPPPLIFSSPPPFSVSCLSKPKSKSKPKRNNLSFSVYSNYKLTRTCKALPESGPRRQPFSNEQKQDQQEDQELATSTATENSNSGIIISSCVVGVLTGIAVVLFNNAVHEIRDLFWDGIPYRGASWLREEPLDSIWVRVIFVPACGGLIVSLLNAIQFLLFDDNPFQDAFRPFFKAVAACFTLGTGNSLGPEGPSVEIGSSIAKGIGSLTLSSRDVRTKLSLLAAGSAAGIASGFNAAVAGCFFAVESVLWPSSSNSSAPLSNTTSMVILSAVIASVVSEVGLGSEPAFKVPGYDFRSAGELPLYMLLGILCGLVSLALTRCTSFLLLIVDNLHRDVGIPRAVFPVLGGLAVGTMALAYPEILYWGFENVDILLESRPFVKGLSADLLLQLVGVKIVATSLCRASGLVGGYYAPSLFIGAATGMAYGKLISFAVAQSSPVLQLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWITSGQTRRGDVKETRKVKKENALPTTQSEISVTQGPSSGYVLAEKTPYSSDLCEVESSLCLDDSSTENEVLKKKIFVSEAMRTQYVTVFMSTLVTEAVSCMLAEKQSCALIVDDDNVLVGLLNLGDVEDFIKTAKAKSERTKELSVSDVCALDGENCQVPCTAKPSMDLFSVQIIMDRYGLSQVPVISENVEDYRGYPVGLLDRECISITCRAIATRESIS >Manes.03G001800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:258499:271798:-1 gene:Manes.03G001800.v8.1 transcript:Manes.03G001800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSIYRRRVRVFAVAVMIYLDYKAVQQRDKWTIKSKKAALWEKAHERNAKRVLNLMIELEGLWVKLGQYLSTRADVLPPSYISLLKQLQDSLPPRPVQEVCQTIEKELGKSMDDLFSDFIRTPLATASIAQVHRATLVNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWVAWAEPQYDFNPMIDEWCKESPKELDFNIEAENTRIVAANLGCRKNNENDNSKLANSVDVLIPEVIQSSEKVLILVYMDGIRLNDCESLEAHGVDKQAVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKISSSMKQALAKMFLASVEGDHVALLSAFAEMGLKLRLDIPDQAMEVTNIFFRTSTPANEAIEHMKSLAEQRSKNMKMIQEKMKLKQKEAKRFNPVDAFPGDIVIFSRVLNLLRGLSSTMNVRIVYHDIMRPFAESALLGNINNGPAVNTQWIYDSPSHSDVETKLRQLLVDLGNEEKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLADNGKVKLDENVANLWPEFGADGKDQIKVCHILNHTSGLHNAMANLRGENLSLFTDWEECMNQICMSVPETAPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVQPLKIDGELYIGIPPGVESRVANLTIDMNDLSNLLEMNSRPELPSTFQPSNIAQIVTVLPALFNMLNTRRAIIPSANGHCSARALARYYAALVDGGMIPPSHSSLSKPPLGSHPHIPKFPSEKASKKQKGKSNEMAALSKNKPNSRKCSKDFKDSENSGKSSNSSRNTSDASAVDSFASSDVAQNSNYVERIFNNPKIHDAFLGVGEYENFAKPKGKFGLGFRRASLSDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSFGGVTRKIVQLVCSELNVPLPDDFSDAGDRGADVQLNIGRPMIN >Manes.03G001800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:258499:271798:-1 gene:Manes.03G001800.v8.1 transcript:Manes.03G001800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSIYRRRVRVFAVAVMIYLDYKQRDKWTIKSKKAALWEKAHERNAKRVLNLMIELEGLWVKLGQYLSTRADVLPPSYISLLKQLQDSLPPRPVQEVCQTIEKELGKSMDDLFSDFIRTPLATASIAQVHRATLVNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWVAWAEPQYDFNPMIDEWCKESPKELDFNIEAENTRIVAANLGCRKNNENDNSKLANSVDVLIPEVIQSSEKVLILVYMDGIRLNDCESLEAHGVDKQAVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKISSSMKQALAKMFLASVEGDHVALLSAFAEMGLKLRLDIPDQAMEVTNIFFRTSTPANEAIEHMKSLAEQRSKNMKMIQEKMKLKQKEAKRFNPVDAFPGDIVIFSRVLNLLRGLSSTMNVRIVYHDIMRPFAESALLGNINNGPAVNTQWIYDSPSHSDVETKLRQLLVDLGNEEKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLADNGKVKLDENVANLWPEFGADGKDQIKVCHILNHTSGLHNAMANLRGENLSLFTDWEECMNQICMSVPETAPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVQPLKIDGELYIGIPPGVESRVANLTIDMNDLSNLLEMNSRPELPSTFQPSNIAQIVTVLPALFNMLNTRRAIIPSANGHCSARALARYYAALVDGGMIPPSHSSLSKPPLGSHPHIPKFPSEKASKKQKGKSNEMAALSKNKPNSRKCSKDFKDSENSGKSSNSSRNTSDASAVDSFASSDVAQNSNYVERIFNNPKIHDAFLGVGEYENFAKPKGKFGLGFRRASLSDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSFGGVTRKIVQLVCSELNVPLPDDFSDAGDRGADVQLNIGRPMIN >Manes.03G001800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:258499:271798:-1 gene:Manes.03G001800.v8.1 transcript:Manes.03G001800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSIYRRRVRVFAVAVMIYLDYKAVQQRDKWTIKSKKAALWEKAHERNAKRVLNLMIELEGLWVKLGQYLSTRADVLPPSYISLLKQLQDSLPPRPVQEVCQTIEKELGKSMDDLFSDFIRTPLATASIAQVHRATLVNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWVAWAEPQYDFNPMIDEWCKESPKELDFNIEAENTRIVAANLGCRKNNENDNSKLANSVDVLIPEVIQSSEKVLILVYMDGIRLNDCESLEAHGVDKQAVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKISSSMKQALAKMFLASVEGDHVALLSAFAEMGLKLRLDIPDQAMEVTNIFFRTSTPANEAIEHMKSLAEQRSKNMKMIQEKMKLKQKEAKRFNPVDAFPGDIVIFSRVLNLLRGLSSTMNVRIVYHDIMRPFAESALLGNINNGPAVNTQWIYDSPSHSDVETKLRQLLVDLGNEEKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLADNGKVKLDENVANLWPEFGADGKDQIKVCHILNHTSGLHNAMANLRGENLSLFTDWEECMNQICMSVPETAPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVQPLKIDGELYIGIPPGVESRVANLTIDMNDLSNLLEMNSRPELPSTFQPSNIAQIVTVLPALFNMLNTRRAIIPSANGHCSARALARYYAALVDGGMIPPSHSSLSKPPLGSHPHIPKFPSEKASKKQKGKSNEMAALSKNKPNSRKCSKDFKDSENSGKSSNSSRNTSDASAVDSFASSDVAQNSNYVERIFNNPKIHDAFLGVGEYENFAKPKGKFGLGFRRASLSDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSFGGVTRKIVQLVCSELNVPLPDDFSDAGDRGADVQLNIGRPMIN >Manes.03G001800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:258499:271798:-1 gene:Manes.03G001800.v8.1 transcript:Manes.03G001800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSIYRRRVRVFAVAVMIYLDYKQRDKWTIKSKKAALWEKAHERNAKRVLNLMIELEGLWVKLGQYLSTRADVLPPSYISLLKQLQDSLPPRPVQEVCQTIEKELGKSMDDLFSDFIRTPLATASIAQVHRATLVNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWVAWAEPQYDFNPMIDEWCKESPKELDFNIEAENTRIVAANLGCRKNNENDNSKLANSVDVLIPEVIQSSEKVLILVYMDGIRLNDCESLEAHGVDKQAVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKISSSMKQALAKMFLASVEGDHVALLSAFAEMGLKLRLDIPDQAMEVTNIFFRTSTPANEAIEHMKSLAEQRSKNMKMIQEKMKLKQKEAKRFNPVDAFPGDIVIFSRVLNLLRGLSSTMNVRIVYHDIMRPFAESALLGNINNGPAVNTQWIYDSPSHSDVETKLRQLLVDLGNEEKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLADNGKVKLDENVANLWPEFGADGKDQIKVCHILNHTSGLHNAMANLRGENLSLFTDWEECMNQICMSVPETAPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVQPLKIDGELYIGIPPGVESRVANLTIDMNDLSNLLEMNSRPELPSTFQPSNIAQIVTVLPALFNMLNTRRAIIPSANGHCSARALARYYAALVDGGMIPPSHSSLSKPPLGSHPHIPKFPSEKASKKQKGKSNEMAALSKNKPNSRKCSKDFKDSENSGKSSNSSRNTSDASAVDSFASSDVAQNSNYVERIFNNPKIHDAFLGVGEYENFAKPKGKFGLGFRRASLSDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSFGGVTRKIVQLVCSELNVPLPDDFSDAGDRGADVQLNIGRPMIN >Manes.03G001800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:258499:271798:-1 gene:Manes.03G001800.v8.1 transcript:Manes.03G001800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSIYRRRVRVFAVAVMIYLDYKAVQQRDKWTIKSKKAALWEKAHERNAKRVLNLMIELEGLWVKLGQYLSTRADVLPPSYISLLKQLQDSLPPRPVQEVCQTIEKELGKSMDDLFSDFIRTPLATASIAQVHRATLVNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWVAWAEPQYDFNPMIDEWCKESPKELDFNIEAENTRIVAANLGCRKNNENDNSKLANSVDVLIPEVIQSSEKVLILVYMDGIRLNDCESLEAHGVDKQAVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKISSSMKQALAKMFLASVEGDHVALLSAFAEMGLKLRLDIPDQAMEVTNIFFRTSTPANEAIEHMKSLAEQRSKNMKMIQEKMKLKQKEAKRFNPVDAFPGDIVIFSRVLNLLRGLSSTMNVRIVYHDIMRPFAESALLGNINNGPAVNTQWIYDSPSHSDVETKLRQLLVDLGNEEKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLADNGKVKLDENVANLWPEFGADGKDQIKVCHILNHTSGLHNAMANLRGENLSLFTDWEECMNQICMSVPETAPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVQPLKIDGELYIGIPPGVESRVANLTIDMNDLSNLLEMNSRPELPSTFQPSNIAQIVTVLPALFNMLNTRRAIIPSANGHCSARALARYYAALVDGGMIPPSHSSLSKPPLGSHPHIPKFPSEKASKKQKGKSNEMAALSKNKPNSRKCSKDFKDSENSGKSSNSSRNTSDASAVDSFASSDVAQNSNYVERIFNNPKIHDAFLGVGEYENFAKPKGKFGLGFRRASLSDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSFGGVTRKIVQLVCSELNVPLPDDFSDAGDRGADVQLNIGRPMIN >Manes.03G001800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:258499:271798:-1 gene:Manes.03G001800.v8.1 transcript:Manes.03G001800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSIYRRRVRVFAVAVMIYLDYKAVQQRDKWTIKSKKAALWEKAHERNAKRVLNLMIELEGLWVKLGQYLSTRADVLPPSYISLLKQLQDSLPPRPVQEVCQTIEKELGKSMDDLFSDFIRTPLATASIAQVHRATLVNGQEVVVKVQHEGIKTIILEDLKNAKSIVDWVAWAEPQYDFNPMIDEWCKESPKELDFNIEAENTRIVAANLGCRKNNENDNSKLANSVDVLIPEVIQSSEKVLILVYMDGIRLNDCESLEAHGVDKQAVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHRPVLLDFGLTKKISSSMKQALAKMFLASVEGDHVALLSAFAEMGLKLRLDIPDQAMEVTNIFFRTSTPANEAIEHMKSLAEQRSKNMKMIQEKMKLKQKEAKRFNPVDAFPGDIVIFSRVLNLLRGLSSTMNVRIVYHDIMRPFAESALLGNINNGPAVNTQWIYDSPSHSDVETKLRQLLVDLGNEEKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLADNGKVKLDENVANLWPEFGADGKDQIKVCHILNHTSGLHNAMANLRGENLSLFTDWEECMNQICMSVPETAPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVQPLKIDGELYIGIPPGVESRVANLTIDMNDLSNLLEMNSRPELPSTFQPSNIAQIVTVLPALFNMLNTRRAIIPSANGHCSARALARYYAALVDGGMIPPSHSSLSKPPLGSHPHIPKFPSEKASKKQKGKSNEMAALSKNKPNSRKCSKDFKDSENSGKSSNSSRNTSDASAVDSFASSDVAQNSNYVERIFNNPKIHDAFLGVGEYENFAKPKGKFGLGFRRASLSDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSFGGVTRKIVQLVCSELNVPLPDDFSDAGDRGADVQLNIGRPMIN >Manes.02G016400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1556609:1562377:-1 gene:Manes.02G016400.v8.1 transcript:Manes.02G016400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPFDRLASHATKCDSQEKAHSSRQKNNCSSKRGDRRNFKVSTKAKHDSFSVKASLASFISAAVWNNFYGLYGLKTDGHDITKLVDDLSLNDLLQGSYGSPSLGKDRGKKATNTTEHILHSVRKACSILQLPRSVQSHNFADADTCSNEKGPTCTSSSISIVRNGDNDDLSTTDLSSSNKDSCGKSDTPANLLNFSLDQPKDTLERLALPPPKDLESLLLDAAKPAVSSKSAPDPRPGRQTSRWPSLPPFPWSHTFSGHCRTNSDAVKLLASRSTCQGRWVKVENTFSSVGITSNCFTNLESLTYDETLVPSSGPKLAVLENNFASSTSVPRCEWGSSIVADSITSHTHLGNTCMKESGVNLKNEGKVEHCPKVLAAAQTLYEIAKCTSRLNKDGMTKWPKKPSQKVMKARKTKSNEKHEFFASSSLIRNVDQTSTLKRPKLLASENKKDVTHVNGVRKGAINWSTPKSSRSSPNKSVRESSAYIAKQSCMMPPPAKVLNRTCNGQQKVRKLMRMDWNRERDRQD >Manes.02G016400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1556609:1562377:-1 gene:Manes.02G016400.v8.1 transcript:Manes.02G016400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPFDRLASHATKCDSQEKAHSSRQKNNCSSKRGDRRNFKVSTKAKHDSFSVKASLASFISAAVWNNFYGLYGLKTDGHDITKLVDDLSLNDLLQGSYGSPSLGKDRGKKATNTTEHILHSVRKACSILQLPRSVQSHNFADADTCSNEKGPTCTSSSISIVRNGDNDDLSTTDLSSSNKDSCGKSDTPANLLNFSLDQPKDTLERLALPPPKDLESLLLDAAKPAVSSKSAPDPRPGRQTSRWPSLPPFPWSHTFSGHCRTNSDAVKLLASRSTCQGRWVKVENTFSSVGITSNCFTNLESLTYDETLVPSSGPKLAVLENNFASSTSVPRCEWGSSIVADSITSHTHLGNTCMKESGVNLKNEGKVEHCPKVLAAAQTLYEIAKCTSRLNKDGMTKWPKKPSQKVMKARKTKSNEKHEFFASSSLIRNVDQTSTLKRPKLLASENKKDVTHVNGVRKGAINWSTPKSSRSSPNKSVRESSAYIAKQSCMMPPPAKVLNRTCNGQQKVRKLMRMDWNRERDRQD >Manes.02G016400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1556609:1562377:-1 gene:Manes.02G016400.v8.1 transcript:Manes.02G016400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELNYPVDVTAPKLMGSDGFGRDRVTVMEVDAEAAACESSHELCNSLLSGKEATSTAIFFESTPLDKIPELCRHASQLPSFPSEDVSKQHHFDGGANGPSWIPRPEEAQVQRKSGQISRSGNGCHKRPWAALLEDTVAPAAVDDMKAPFDRLASHATKCDSQEKAHSSRQKNNCSSKRGDRRNFKVSTKAKHDSFSVKASLASFISAAVWNNFYGLYGLKTDGHDITKLVDDLSLNDLLQGSYGSPSLGKDRGKKATNTTEHILHSVRKACSILQLPRSVQSHNFADADTCSNEKGPTCTSSSISIVRNGDNDDLSTTDLSSSNKDSCGKSDTPANLLNFSLDQPKDTLERLALPPPKDLESLLLDAAKPAVSSKSAPDPRPGRQTSRWPSLPPFPWSHTFSGHCRTNSDAVKLLASRSTCQGRWVKVENTFSSVGITSNCFTNLESLTYDETLVPSSGPKLAVLENNFASSTSVPRCEWGSSIVADSITSHTHLGNTCMKESGVNLKNEGKVEHCPKVLAAAQTLYEIAKCTSRLNKDGMTKWPKKPSQKVMKARKTKSNEKHEFFASSSLIRNVDQTSTLKRPKLLASENKKDVTHVNGVRKGAINWSTPKSSRSSPNKSVRESSAYIAKQSCMMPPPAKVLNRTCNGQQKVRKLMRMDWNRERDRQD >Manes.02G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4038413:4039820:-1 gene:Manes.02G050000.v8.1 transcript:Manes.02G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLARKGIVGLMIIVVVMIGMDGAECKLHKVGARGWIPNYNYTEWLNQRDEHFYVGDWLYFVFDKHYFNVLEVNKTSYDSCNDQGFINNITRGGRDVVQLTEPRPYYFLSSGGYCWGGMKVAIYVEQIPPTPAPSPTPAKSGSSLSSTTTTLMKTILSTAFYVALVWSSFFN >Manes.02G089700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7038926:7043727:-1 gene:Manes.02G089700.v8.1 transcript:Manes.02G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSACIVGNNGLSTHTTKNKLSKDVYGKHLFVPSRFQLLGKESKTIVIKASLDQRHQEGGRGILKNVGRRGFLKHLLGNAGLVAPTLLGSGKAYADDQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKSIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHASNKKFDSDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLIPRGQARGLTWFIPADDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSEIGPWSLMDSSAQSADVIMRMMARNSMSEKLAEDIDAAVKRLSDSAYEIALGHIRNNREAIDKIVEVLLEKETMTGDEFRAILSEFVEIPAENRVPPSVPSPVSV >Manes.11G053400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6612059:6613546:1 gene:Manes.11G053400.v8.1 transcript:Manes.11G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSLPFDLLAKVFFFLSPDSLARSRSVCHHWRTCADAYPLSSWPSMLHHHHQQPPWFVAFPTRNRKPSYYVHNPVSKRWHVLSLELLSNPIRIIASIGSLILLRATNSTVLVLSICNPFTRQFRHLPVLNIARTNPAVGVVVLSSSQYSPSPHFRVYVAGGMSDAPGGGATYDSTVDMYDSKLNTWQIVGPMPVEFSVRLTVWTPNESVYIDGVIYWMTSARAYSVMGYEIVSNKWQELRVPMADKLEFAALAQRNGRLTLVGGNCRSDACVWELNEGEIWRLIEKAPIELGMKLKKGNASWDGVKCVGGDGAICLYRELGSGMVVWREDGEKGRWGWSWIEGCCFISGKQVQSVSIRGVIIHPNIAPSPIFVE >Manes.10G148700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31449801:31453739:1 gene:Manes.10G148700.v8.1 transcript:Manes.10G148700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKLPSLSSSSSSSSSAQNLKHFLSIPKTICSLHFKSSPFTEKHSIEIYQRDHWLYKDQPELYQHQSSSSSSSSSSSSCPLPSDKESIRQNDIALQLPELRKLLQVLKEKRENCGKNGENCGPGNVYLVGTGPGDPELLTLKAVRAIQKADLLLYDRLVSNDVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLATLPSLALKLMHHGLPPDTPAAAVERGTTPQQRVVFAELKDLTDEIASAELVSPTLIVIGKVVALSPFWPHSSKEESCLMEVV >Manes.10G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22279221:22284154:1 gene:Manes.10G087000.v8.1 transcript:Manes.10G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVFTASYSSLSPCSHRNNKNQNNSFSSFSFSICSRNKPRKSLKFTIRNEHESGARKKLSVSSSAKHSFGQNTQISFSIVTRENLSQPIKFSVKDEQESEKTSSSVAVVTEENESIKRASEASGHEMGTSKETDSEEEKEKQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDRQSSDNPIMGFFNSLVRNSLAREKERLEMAEETFKALDLNKLKSCFGFDTFFATDVRRFGDGGIFIGNLRRPIDEVIPKLEKKLSEAAGREVVVWFMEEKNDEITKQACVVQPKSEMDLQFESTKLSTPWGYLSAVALCVATFGTIALMSGFFLKPDATIDDYIADVIPLFGGFLSILGVSEIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLALAIAAFVADGSFNGGDNALYIRPQFFYNNPLLSFVQYVIGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTAAVLSFATSLLLGIGGLSGSVLCLAWGLFATFFRGGEEVPAKDEITPLGNERYAWGIVLGLICFLTLFPNGGGTFSNPFLSDPFFRGDL >Manes.02G049150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3990168:3990873:1 gene:Manes.02G049150.v8.1 transcript:Manes.02G049150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWLVFFQILLIRLFRKLMFNEDLLVIMGFQNHNDNVSLEILFELYLIETLFRDFVRKILMIYAREMRKNDEYFYQIILYRSLDRHLKSIFAKLFYARI >Manes.10G024240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2374833:2381064:1 gene:Manes.10G024240.v8.1 transcript:Manes.10G024240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHSNLPTSHLLGSVPAVVSEEKSTTTYEVPEANMQTFPPNNNRGGGSSRGYQTLGNLSATFSEKVTRTVRKFSPHLAAKMRPPHMPVIRDRPSSKKSVYICGQPRGVFVFLFSAASFILWCTSGTLLFVLWAFGIGLLVTVLHASVRTPNLKARLNTFREEFRAVWRNYSEL >Manes.11G148300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31303803:31304453:-1 gene:Manes.11G148300.v8.1 transcript:Manes.11G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVSFIYLYLVFNVIISLPPPSNAISSCNGPCRSENDCSGQLICINGKCNDDPEVGTHICTGGSPAPPSNGDCKPYGTLRCKGKSYPKYSCSPPVTSSTKASLTLNDFSEGGEGGAPSECDESYHAKSERVVALSTGWYAGGSRCGKMVRIIAGNGRSVLAKVVDECDSRNGCDDEHGGLPPCKNNIVDGSDAVWEALGLNKDLGIVDVTWSMA >Manes.17G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27583169:27584405:-1 gene:Manes.17G075000.v8.1 transcript:Manes.17G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSRSRVFSSPDLAPPSPTKILKPEEYSLEGIATNVKLLLKIIQEHNGASTSDNRKMQRIAGMITILDDCKSRIEKSQSSKKRLAELRRCNTELRPMPMHSPRVRERKPQDGMTSSEDNNNDRLRRQLSSSYNARKSLEIMCSSLGKEKEIMAGELAKKVSELNEMEELVNDLKAQNGTLLTKLQSQAAEKETSAGDNEGNAELQDRNKELSEQLLRSLDSYRSLKRKYRDVKEENSEILRTMEEIGWEVSAGLEQIRIFRQKVASSKKKPADIDDDISALEHMFKEFNMKISKHEEKNTDSIFEIESFARIQFG >Manes.02G133500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10162770:10172376:1 gene:Manes.02G133500.v8.1 transcript:Manes.02G133500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGMVETDPEGALAGFAEVVSMEPEKAEWGFKALKQTVKLYYRLGKYKEMMVSYREMLTYIRSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLRALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIEKWNTQLRSLYQAVSNRVH >Manes.02G133500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10162770:10172389:1 gene:Manes.02G133500.v8.1 transcript:Manes.02G133500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGMVETDPEGALAGFAEVVSMEPEKAEWGFKALKQTVKLYYRLGKYKEMMVSYREMLTYIRSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLRALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIEKWNTQLRSLYQAVSNRVH >Manes.12G119800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32380551:32383160:-1 gene:Manes.12G119800.v8.1 transcript:Manes.12G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDPAESFKPYHLTQTLTGHKRALSAVKFSSDGRFLASSSADKTLRTYSLSPSNPPTSPLTPLHEFQGHEQGVSDVAFSSDSRFLVSASDDKTIRLWDVTTGSQIKTLQGHTNYVFCVNFNPQSNMIVSGSFDETVRIWDVKSGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDAGTGHCIKTLIDDENPPVSYVKFSPNGKFILVGTLDNTLRLWNFSTGKFLKTYTGHTNSKFCISSTFSITNGKYIVSGSEDNCVYLWELQTRKVVQKLEGHTDTVISVACHPTQNMIASGALGNDKSVRIWTQERE >Manes.11G004800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:562954:571547:-1 gene:Manes.11G004800.v8.1 transcript:Manes.11G004800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATEQSRPGPVERDIELAITALKKGAQLLKYGRRGKPKFCPFRLANDESALIWLSGKEEKHLKLSHVSRIISGQRTPVFQRYPRPEKEYQSFSLIYSDRSLDLICKDKDEAEVWITGLKALISRNHLRKGRADSRSDGISSEATSPRAHTQRSSPLSSAFGSGDSSQKDEMDPLRLRTPYDSPPKAGLEKAFSDVELYAVAPKVLCPSESACGSVHSVSSGGSEAINGRLKGMTVDAFRVSLSSAVSSSSQGSGHDENDALGDVYIWGEVTGDGILGGGVHGVGGSGVKMDSLVPKPLESAVLLDVQTIACGRRHAALVTKQGEVFSWGEELGGRLGHGVDSDVLHPKLVDGLKDINVELVACGEYHSCAVTLSGDLYIWGGSSQNFGLLGYRNENWVPKKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDCKSVSTPREVESLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKGPRLVPTCVAALVEPNFCQVACGHSMTVALTTTGHVYTMGSPVYGQLGNSQADGKLPVRVEGKLTKNFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPTIVEALRDKQVKSVACGTGFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKPYRVCDQCFGKLRRATEADSSSHSALSRRGSINQRLIEAENSDYLNTRSRVQLGRNNSIESSKDVENESLKRNKLNGSQISLSANDSSQRNAFNNSKYFGSSKKFFSASLPGSRIMSRATSPTSRRSSPPRATTPTPTISVHALPKTIVDDTKRSNDRLSEEVVKLRAQLKDMAERLPVGATRNSSSPSFYFSSATPPREVSSVINEQLSSPTTCHEPDSNGSSSLAISTVSGTTSNQTMHHSEVPHLEATAKSKNRTAKVEPTHGDEWVEQDEQGVYITLVSLPGGAKDIKRVRFSRKRFSEKQAEQWWAANRARVYQQYNVPMVDKSIVGPGREGLAH >Manes.11G004800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:562955:571547:-1 gene:Manes.11G004800.v8.1 transcript:Manes.11G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATEQSRPGPVERDIELAITALKKGAQLLKYGRRGKPKFCPFRLANDESALIWLSGKEEKHLKLSHVSRIISGQRTPVFQRYPRPEKEYQSFSLIYSDRSLDLICKDKDEAEVWITGLKALISRNHLRKGRADSRSDGISSEATSPRAHTQRSSPLSSAFGSGDSSQKDEMDPLRLRTPYDSPPKAGLEKAFSDVELYAVAPKVLCPSESACGSVHSVSSGGSEAINGRLKGMTVDAFRVSLSSAVSSSSQGSGHDENDALGDVYIWGEVTGDGILGGGVHGVGGSGVKMDSLVPKPLESAVLLDVQTIACGRRHAALVTKQGEVFSWGEELGGRLGHGVDSDVLHPKLVDGLKDINVELVACGEYHSCAVTLSGDLYIWGGSSQNFGLLGYRNENWVPKKLNGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDCKSVSTPREVESLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKGPRLVPTCVAALVEPNFCQVACGHSMTVALTTTGHVYTMGSPVYGQLGNSQADGKLPVRVEGKLTKNFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNSPTIVEALRDKQVKSVACGTGFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKPYRVCDQCFGKLRRATEADSSSHSALSRRGSINQRLIEAENSDYLNTRSRVQLGRNNSIESSKDVENESLKRNKLNGSQISLSANDSSQRNAFNNSKYFGSSKKFFSASLPGSRIMSRATSPTSRRSSPPRATTPTPTISVHALPKTIVDDTKRSNDRLSEEVVKLRAQVEELTCKAQLQEVELARTTEQLKEAIAVAEEETSKCKAAKEVIKSLTAQLKDMAERLPVGATRNSSSPSFYFSSATPPREVSSVINEQLSSPTTCHEPDSNGSSSLAISTVSGTTSNQTMHHSEVPHLEATAKSKNRTAKVEPTHGDEWVEQDEQGVYITLVSLPGGAKDIKRVRFSRKRFSEKQAEQWWAANRARVYQQYNVPMVDKSIVGPGREGLAH >Manes.02G167701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13173720:13185536:1 gene:Manes.02G167701.v8.1 transcript:Manes.02G167701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTLVNPSSLVPKPPNRRTNICFTRPIFIFPTSLAHSSLSSSRFQQRYFSINTVITASLKENLGSLRKRATDFTSLNYWVVRDYYRLVESVNAIEPQIQILSDEQLSAKTVEFRRRLRQGETLADIQAEAFAVVREAARRKLGMRHFDVQIIDGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAHRDAEWMGRVHRFLGLSVGLIQKGMTAKERRSNYRCDITYTNNSELGFDYLRDNLAGNSEQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEANKDAARYPVAAKVAELLVRGLHYNVQLKDNSVELTEEGIALAEMALETNDLWDENDPWARRDVQYIVRNGKALIINELTGRVEEKRRWSDGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKFEHVRQEIEYMFRQGRPVLVGTTSVENSEYLSDLLKQWQIPHNVLNARPKYAAREADIVAQAGRKYAITISTNMAGRGTDIILGGNPKMLAKEIVDDSLLSFLTREAPDVDIDGEKISQKVMAKIKIGSTSLALLAKTALMAKYVGKSEGKSWTLQDANSIISESVEMSQLMDVKELEKLANEESELYPLGPTIALTYLSVLKDCEVHCLNEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISRITNDEDVPIEGDAIVKQLLALQINAEKYFFGIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHISQYMQAVVDDIVFGNADPLKHPRSWSLDKLLREFISIGGKLLDASFAGTTAEDLLKSLLQLHESSSIDINDFYLPDLPKPPNVFRGIRRKCHSLKRWLVICSDELTKNGGHRTTINLLRKYLGDLLIASYWNVVQESGYDDAYIKEIEKAVLLKTLDCFWRDHLINMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVETLLHYWSSPTESHELFVS >Manes.01G173200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35467754:35468140:1 gene:Manes.01G173200.v8.1 transcript:Manes.01G173200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWIFDKNGVARLIRNPTRESFEQKEPPSPGTATAPGARPRVLVYLPTNQVIRSYTDLEHRLTELGWTHYSNSNQPNLLQFHKSDHSTHLISLPINFANFKSIHMYDIVVKNRSFFEVRDPLSKEG >Manes.11G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10155371:10158408:1 gene:Manes.11G070400.v8.1 transcript:Manes.11G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLESLLTVVSLLQIMLELATVAGTTAIGGQSCGGRCGDIEIQYPFGFRADCAMDKWFVIDCIQTANYTSPFISSIKLELLNIDYAHSRLQVKSPIFSYNCSHSKAGQAVDLTRTSFTFSGYNDFTVVGCNNRAVLSSFEADGNGCQPTCDENVKPQGCSGNRCCQTSIPYFQQLFAPSFQDVDDDQCRMAFMAETQWFEANVTDPYMVQELDYVPVLLDWKINATALGSLAIDKKSTYNDPIVYYDKYDFPYPYNTALMCRKGFIGNPYLPVGCNDVNICEDPTVRSQCHGLCLNTQHSYVCLPTVRRSWNPILGISVAFGASIFLIIMWWLSKFIKKTKRIKSRRKFFEKNGGLLFRQQLNSSQGNVETTKIFSCRELDDATDHFNANRILGQGGQGAVYKGTLADGRIVAIKKSMKVDEAKVEEFINECVILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLYQYLHHQNDEFQLTWEMRLRIAIQVSGAISYLHSEVCMPIYHRDIKSTNILLDEKFTAKVSDFGVSRSIQIDRSHLTTHVKGTFGYVDPEYFQSRLLTEKSDVYSFGVVLVELLTGQKPISSERVEEGVGLAACFILSMEDDKLFDMLDPRIMDQRDIEEVIAVANIAKRCLNLNGKLRPTMKEVLTELAGIRLSQKETSIQQNAFNEVEPNPSDASSTSTSSCCENPQVSINISRSSPIIQPQKDENALSLNKYMVEP >Manes.01G152400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34033689:34035501:1 gene:Manes.01G152400.v8.1 transcript:Manes.01G152400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLQWANLGRDLLSLIAKCLDTRVDVLRFRAVCHSWRSSIPLPPKIPSPYPSLKLPFPISPNPHLHPRRRGYFLLKEYTVYAVQPLTKISDSCSTTAKTWIIKIEESKSGKVILNDPLFRFPFKNLNKNLPKVLNLLDYRVDEISKAYGLEFVQQGKAPELDFNELKSTTMIRKVVTSSNLENIGDGFAVMALHTGGKLGVWRMGDKKWNNINDNRERSHYSDIVYHKGKFYALDFTGLVVSVDPATLKLTELSPVRSFRYEYGGQTKYLLKSFGDLFRIDQYDLDDRDLCVYSSDSDDSGPVRVIVYKLDEEKRDWVQMEGLDDRVLFAGDDVSFSVLAKDFEGCKRNCVYYRDDTFSEENEDHPGFDVGIFCLEDGTSGPLSKFPGYSKIFWPPPTWLKSNPRELQRRTLPFERGFLLKKLGK >Manes.13G065400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8202914:8206740:1 gene:Manes.13G065400.v8.1 transcript:Manes.13G065400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKHNYVCEEHKVTTQDGFILSLQRIPVGRSGESPGNRVPVLLQHGLLMDGITWLLLPPELSLAFLLADNGFDVWIANTRGTKYSRGHVSLGPYDPAYWDWSWDELVAYDLPATYKYVHVQTGQKLHYVGHSLGTLISLASFSKDQLLNMLRSAALLCPIAYVGQMTSPLARNSAQNFLAETLYRWGILEFDPRGEAVIKFLKDICKKTGIDCTNLLTSFTGQNCCLNSSIVDVFLDHEPQSTATKNMIHLSQMIREGTITMYNYNNEDENRKHYGQPTPPVYKMKNIPKHLPIFLSYGGADALSDVNDVQRLLDSLKDHDGDKLVIQYRADYAHADYVMAENAKQDVYEPLIAFMKLQ >Manes.13G065400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8203903:8206566:1 gene:Manes.13G065400.v8.1 transcript:Manes.13G065400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLTLIIITISTLFCGSAAGNRAKLFSAKSHGSIAASVPTSTDGICKSMVEKHNYVCEEHKVTTQDGFILSLQRIPVGRSGESPGNRVPVLLQHGLLMDGITWLLLPPELSLAFLLADNGFDVWIANTRGTKYSRGHVSLGPYDPAYWDWSWDELVAYDLPATYKYVHVQTGQKLHYVGHSLGTLISLASFSKDQLLNMLRSAALLCPIAYVGQMTSPLARNSAQNFLAETLYRWGILEFDPRGEAVIKFLKDICKKTGIDCTNLLTSFTGQNCCLNSSIVDVFLDHEPQSTATKNMIHLSQMIREGTITMYNYNNEDENRKHYGQPTPPVYKMKNIPKHLPIFLSYGGADALSDVNDVQRLLDSLKDHDGDKLVIQYRADYAHADYVMAENAKQDVYEPLIAFMKLQ >Manes.13G065400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8202914:8209306:1 gene:Manes.13G065400.v8.1 transcript:Manes.13G065400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKHNYVCEEHKVTTQDGFILSLQRIPVGRSGESPGNRVPVLLQHGLLMDGITWLLLPPELSLAFLLADNGFDVWIANTRGTKYSRGHVSLGPYDPAYWDWSWDELVAYDLPATYKYVHVQTGQKLHYVGHSLGTLISLASFSKDQLLNMLRSAALLCPIAYVGQMTSPLARNSAQNFLAETLYRWGILEFDPRGEAVIKFLKDICKKTGIDCTNLLTSFTGQNCCLNSSIVDVFLDHEPQSTATKNMIHLSQMIREGTITMYNYNNEDENRKHYGQPTPPVYKMKNIPKHLPIFLSYGGADALSDVNDVQRLLDSLKDHDGDKLVIQYRADYAHADYVMAENAKQDVYEPLIAFMKLQET >Manes.13G065400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8204379:8206566:1 gene:Manes.13G065400.v8.1 transcript:Manes.13G065400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNGFQVTTQDGFILSLQRIPVGRSGESPGNRVPVLLQHGLLMDGITWLLLPPELSLAFLLADNGFDVWIANTRGTKYSRGHVSLGPYDPAYWDWSWDELVAYDLPATYKYVHVQTGQKLHYVGHSLGTLISLASFSKDQLLNMLRSAALLCPIAYVGQMTSPLARNSAQNFLAETLYRWGILEFDPRGEAVIKFLKDICKKTGIDCTNLLTSFTGQNCCLNSSIVDVFLDHEPQSTATKNMIHLSQMIREGTITMYNYNNEDENRKHYGQPTPPVYKMKNIPKHLPIFLSYGGADALSDVNDVQRLLDSLKDHDGDKLVIQYRADYAHADYVMAENAKQDVYEPLIAFMKLQ >Manes.18G104166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10060654:10061261:-1 gene:Manes.18G104166.v8.1 transcript:Manes.18G104166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKPHLLVAFIFLFFFFVTSTRVHRSDPSPGLSPDPRFHVNIIDGEKPPSVSNPGKSPEKPKNSSFSTIYGSLWEIKRRVPDGPNPQQPPEAPSRF >Manes.16G065000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25238122:25248259:-1 gene:Manes.16G065000.v8.1 transcript:Manes.16G065000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEFTTGETYHLMSELLSTGHIAHLNIHEELLPYKDVIAKVIYDKNHPRIRTVVNKVGTITNEFRVPKFEILAGENNMVTEVKQYGAVFKLDYSLVYWNSRLEHEHIRLVSQFQPGEMICDMFAGIGPFTIPAAQKGCVVYANDLNPDSYQYLRINAKLNKVDDHIYAYNMDARKFISQLMAAPTCQNNLESDASTHKACGDRSIQADEGTQLASDNVLSDHEGLQDSFRQEDASVAAVQRPSCPFQEESENNHGAAISFTSRRKRSANERIRASELPNTKPWEHVDHVIMNLPASALQFLDAFRGVIQRKHWKGLLPWIHCYCFMRANETKETIILEAEAALSARIKDPIFHMVRDVAPNKAMFCLSFRLPEACLRENGPSLHSANGNT >Manes.01G225400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39110593:39111764:1 gene:Manes.01G225400.v8.1 transcript:Manes.01G225400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYSINYGGDRRFEIVSGKSFGAGGNQIYSTRPHSPDPPPVPPRVTRQSRTTSTPWGFGDPEMKRKKRIAKYKVYTVEGKLKASFKNGLRWIKNKCSKIMHGY >Manes.12G094000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18797600:18804910:1 gene:Manes.12G094000.v8.1 transcript:Manes.12G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTITVGPGMDMPIMHDSDRYDLVRDIGSGNFGVARLMRDKVTKELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFEKICNGGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSEAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPNEPKDFRKTIQRILNVQYSIPDIVQISPECRDLISRIFVFDPAARITIPQIKNHLWFLKNLPMDLMDENTMGNQFEEPDQPMQSIDTIMQIISEATIPAAGAHGLNQYMADNLDMDDDMLDFDSESELDVDSSGEIVYAL >Manes.14G059100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4938852:4940876:1 gene:Manes.14G059100.v8.1 transcript:Manes.14G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVALWKQWGQEFAKTLPLNPMLVFSLLLLSFVYLFRLRRTHKLKLPPSPPKLPIIGNLHQLGTFHFRSLKKLSDKYGPLMLVHFGNVPTLVVSTAEMAHEITKNHDAIFADRPKTSAGDVLFFGRQDIAFCPYGEYWRQAKKVCVLQLLNQKRVQHFEFVRREETTELVEKLRHACAEGSPVDLSEMLTTISSNIVSRSALGTIYDNESGRKSNSSGDLVRTGIDLVGSFSFKDSFPCLGWLDVLTGLNNKVKKASKELHGFLDQVIEEHIQASKSQDKADDRKDIVDILLQLERNRMLTVDFTRERMKAILMDMFIGGTDTTATTMEWTMAELMKNPSIMKKAQEEVRRVVGNKLKVDESDLDQMTYLKCIVKETLRHHSGIIPRQTTTSIKLEGYDIPSNTKVLINAWGIQRDPRIWEKPDDYIPERFIDNPTDFKSQDKKYIPFGFGRRVCPGISFALKEVEYVLANLLLLFDWKLPDGQGPEDLDMSEVFYLVNRKKESLMIVPTVH >Manes.15G058801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4505446:4507342:-1 gene:Manes.15G058801.v8.1 transcript:Manes.15G058801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLEFLYSCKENTSRIYDVCKTFYRVEKHDRTLTSYFMDFKRVYEELKVLIPFSIDVKTQQAQREQMAVMSFLASLPLEFETAKSHILFDSKISSLHYVFTKVLRTESPIPSHTTSALVSRNDNGRHDNKSGHRRGFNGGRRSQRLGKVVPTSDSGGIICYYCREPGHTKKTCLKLQNKNQCSQMTHMAVEASPDQGILISADEYAQFTQYQASLKFSNSSITAIAKSGNSIACLVFLSSKWVIDSGTTDHMLGNSTLLSNLKSHASSS >Manes.10G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25641224:25644441:-1 gene:Manes.10G106500.v8.1 transcript:Manes.10G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRRHSNKGESKSSVVVVNDQESTIDWRGRPSNPHKHGGMRAATFVLGLQAFEIMAIAAVGNNLITYVMNEMHFSLSKSANIVTNFVGTVFILALLGGYLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPQCNINEEQYCVEAKGFKALIFFVALYLVALGSGCVKPNMIAHGADQFNQNNQKQSKNLSTYFNAAYFAFSIGELIALTVLVWVQTHSGMDVGFGVSAAAMAMGLISLVSGTLYYRNKPPQGSIFTPIAQVFVAAFSKRKQICPSNPDMLYGSQNNNIVGISSDSGRLVHTQRLRFLDKACIKVQDGTNTMESPWRLCTVTQVEQVKILISVIPIFACTIVFNTILAQLQTFSVQQGSSMDTHLTESFKIPPASLQAIPYIILIIVVPLYDTFFVPFARKFTGHESGITPLQRIGAGLFFATFSMVAAAIMEKKRRDAAVESEQILSIFWITPQFLIFGLSEMLTAVGLVEFFYKQSLKGMQAFLTAITYCSYSFGFYLSSLLVSLVNKITSSGSENKGWLSENNLNKDRLDLFYWLLAVLSFINFINYLVCARWYSYNPSLSSTQHETHGEDYINHYSFNASKNIGDESIP >Manes.02G154000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11967921:11969054:1 gene:Manes.02G154000.v8.1 transcript:Manes.02G154000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWIRRTLILVSRNSSQESKSKITCFDYLVNQQQNSPEAASIVSSSTTEYLKKPQNADSVENVVQRVPRVLSANPEDLDFSVIDIADIICGDPWILTRSADNRLGPSILVLKNVLGPNADVSKLLKLSGWFLKYDLESTMMPNIEYVQSCGVSYSQIVKFVFHHPRFFLFKPDNMKDFVKRVDEMGVDRVVSSMTKEKWELKLKLLRELGFSEENILFVFRRVPQALAVSERKIKEITQLLLSVENLDISYIICCPELLLCSVNQRLKPRLEVIMVLESKNLLKKKPDLSATCKMTNAQFLHKYVIPYSNELADLYMANHSS >Manes.17G023647.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14655039:14674097:-1 gene:Manes.17G023647.v8.1 transcript:Manes.17G023647.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYRTRLSTVVSVARILLEEGLPFRGHDESAESLHRGNFLEHISWVCKREENVNKVMGKNALGNNQLTSPTIQRDIIECCAMETRKIILNELGEKKFTLLVDEARDCSVKEQMSLVLRFVNDKGMVLERFLGLVHVNETSAKVLKNAIDTFFAKHDLSLAKLRGQGYDGAANMSGEFNGLKTLILKENKNAHYIHCFAHQLQLVVVTASHESESVVNTIGASCKRKDYLREIHNEEVLNQVEMGEISTGRGQNQEISLARPGDTRWGSHYTTIVRLFDMWNSVERVLLAINKLGESLKIRQSAEGILQARDQNIGYALNMINVVKNKLQELRKDGWDNLLKEVTEFCEGHSIDVPNMENFVHGRSRKRLKSGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFTFIIKTNTNPNTLDLTNSCINNP >Manes.04G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33674757:33679585:1 gene:Manes.04G136700.v8.1 transcript:Manes.04G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEYGKEPGITIRFIQSRPLPLRLVQFFLLFLVLGLGVSVISMYTFRHFGIQNVTDVGKSSIFIPCFEAPNDLEHWIKPSSNLLHRMNDTELFWRASFLPRRKGYPFKRVPKIAFMFLTKGPLPLAPLWERFLKGHEGLYSIYVHSLPSYVGNYTASSVFYNRQIPSQLVEWGRMSMCDAERRLLANALLDISNEWFILLSEACIPLHNFSIIYHYISRSKYSFMGSFDEEGPYGRGRYNWNMQPEVTLQQWRKGSQWFEINRRLAVKIVEDTTYYPKFRDFCRPACYVDEHYFPTMLSIHVPYLLANRTLTWTDWSRGGAHPATFGKADITVEFFQKVFQGQSCTYNNQPTSVCYLFARKFAPSALDPLLGLASEVFGF >Manes.03G164500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29119657:29121374:1 gene:Manes.03G164500.v8.1 transcript:Manes.03G164500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEALNRDYLVCEEIGRGRFGTVFRCTSRATGDSFAVKSIDKSLTSGDSLDAQCLLTEPKILHLLSPYPHVIQLYNLYEDESHLHMVIDLCSGQDLHNLILSNGGVVPEAEARMLFLQLMRAVSHCHEYGVVHRDIKPDNILLDSRNSVKLADFGSAEVVMDGEMINGVVGTPYYVAPEVLVGRGYGEKVDVWSAGVVLYIMLAGFPPFYGETTVEIFDAVLRGNLRFPVRAFQGVSPAVKDLLRRMLCRDVSKRFSAEQVLRHPWITNGGG >Manes.12G110500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30720636:30726795:-1 gene:Manes.12G110500.v8.1 transcript:Manes.12G110500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTPRIVVPIELKKKPWEQKLLLHNRWHPEIPPVAEVKDGELFRVEMVDWTGGSIKDDDSALDVKTVDLSVVHYLSGPIRVLDKEGIPAMPGDLLMVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPSATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIVGTAPSMELLKIWNERERDVEENGLKSLKLCEVLHSRPLANLPSTKGCHLGKIQKGTPEWERIAKEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPTEPRFSEWLVFEGISVDESGRQHYLDASIAYKRAVLNAIDYLSKFGYSKEQMYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKASKVPVGPRVVRKPDVLKCTYDGNLPITKNPSAMS >Manes.12G110500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30720636:30726795:-1 gene:Manes.12G110500.v8.1 transcript:Manes.12G110500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYGSRLVFPIDVKKKPWEQKLPLHNRWHPDIPPVAEVTVGEFLRIEMVDFSGGGIKQENSAEDIKHADLSIVHYLSGPIRVLDKEGIPAMPGDLLMVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPSATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIVGTAPSMELLKIWNERERDVEENGLKSLKLCEVLHSRPLANLPSTKGCHLGKIQKGTPEWERIAKEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPTEPRFSEWLVFEGISVDESGRQHYLDASIAYKRAVLNAIDYLSKFGYSKEQMYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKASKVPVGPRVVRKPDVLKCTYDGNLPITKNPSAMS >Manes.12G110500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30720636:30726795:-1 gene:Manes.12G110500.v8.1 transcript:Manes.12G110500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTPRIVVPIELKKKPWEQKLLLHNRWHPEIPPVAEVKDGELFRVEMVDWTGGSIKDDDSALDVKTVDLSVVHYLSGPIRVLDKEGIPAMPGDLLMVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPSATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIVGTAPSMELLKIWNERERDVEENGLKSLKLCEVLHSRPLANLPSTKGCHLGKIQKGTPEWERIAKEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPTEPRFSEWLVFEGISVDESGRQHYLDASIAYKRAVLNAIDYLSKFGYSKEQMYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKASKVPVGPRVVRKPDVLKCTYDGNLPITKNPSAMS >Manes.12G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30720636:30726795:-1 gene:Manes.12G110500.v8.1 transcript:Manes.12G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTPRIVVPIELKKKPWEQKLLLHNRWHPEIPPVAEVKDGELFRVEMVDWTGGSIKDDDSALDVKTVDLSVVHYLSGPIRVLDKEGIPAMPGDLLMVEICNLGPLPGDEWGFTATFDRENGGGFLTDHFPSATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIVGTAPSMELLKIWNERERDVEENGLKSLKLCEVLHSRPLANLPSTKGCHLGKIQKGTPEWERIAKEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPTEPRFSEWLVFEGISVDESGRQHYLDASIAYKRAVLNAIDYLSKFGYSKEQMYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKASKVPVGPRVVRKPDVLKCTYDGNLPITKNPSAMS >Manes.11G032100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3125840:3146074:1 gene:Manes.11G032100.v8.1 transcript:Manes.11G032100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIDGHHLTAAAIIGHDGSVWAQSSSFPQFKPAEVAAIMKDFDEPGSLAPTGLHLGGTKYMVIQGEAGAVIRGKKGSGGVTVKKTNQALIFGIYDEPMTAGQCNMVVERLGDYLLDQGL >Manes.11G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3125840:3127585:1 gene:Manes.11G032100.v8.1 transcript:Manes.11G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIDGHHLTAAAIIGHDGSVWAQSSSFPQFKPAEVAAIMKDFDEPGSLAPTGLHLGGTKYMVIQGEAGAVIRGKKGSGGVTVKKTNQALIFGIYDEPMTPGQCNMVVERLGDYLLDQGL >Manes.09G180500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36948685:36952731:1 gene:Manes.09G180500.v8.1 transcript:Manes.09G180500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASKYLFLFFFSFLILCSSGTQVGFSYNARGDISASSLSRTLSLLELNKVSGSLIRVFVADHRVLSSLSNSGVSVDLYLNESLVENFANSRSSAILWLKTNVMTFVPQVNIKSVIVRSSNDLSKLLSSLKLIHSVLSSSQFNSEVKVSVAIPSSFLENLDATQEHHLLRVLGFIKRTRSFIIVEGSIDNGAELSMGDLVLKSIIQKAKLSTSILTCNDVNVVMTVKGLIDPSGRDLAEFAAKFSKSLQKTKVSGQIAELYAEVSSMEDFVEKELKREHEQIFPLSRRELFKTTSHDMINPPVTVPQGNPTPTIVTVPATNPVTITPANPASTPVPIPSTTPVVVPPTNPSVNPPPPITNPVTTPAPVTVPGMQPITNPVTTYPTPPVNVPVTTPVTNPVSPPVTTNAPAIPGQSWCVAKSGSPETALQSALDYACGMGGADCSQIQQGGSCYNPNTLQNHASYAFNSYYQKNPVATSCDFGGTATIVSANPSTGSCVFPLSSTSSSTSTPPSLPTPTPSTSITNPATTPSSPGAGTSGTVTPPSVLNSSSPGSGTTTGFGSETPPGFSTSTSKSASLQPSIGGIILITFFIIRIIILDM >Manes.09G180500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36948663:36952738:1 gene:Manes.09G180500.v8.1 transcript:Manes.09G180500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASKYLFLFFFSFLILCSSGTQVGFSYNARGDISASSLSRTLSLLELNKVSGSLIRVFVADHRVLSSLSNSGVSVDLYLNESLVENFANSRSSAILWLKTNVMTFVPQVNIKSVIVRSSNDLSKLLSSLKLIHSVLSSSQFNSEVKVSVAIPSSFLENLDATQEHHLLRVLGFIKRTRSFIIVEGSIDNGAELSMGDLVLKSIIQKAKLSTSILTCNDVNVVMTVKGLIDPSGRDLAEFAAKFSKSLQKTKVSGQIAELYAEVSSMEDFVEKELKREHEQIFPLSRRELFKTTSHDMINPPVTVPQGNPTPTIVTVPATNPVTITPANPASTPVPIPSTTPVVVPPTNPSVNPPPPITNPVTTPAPVTVPGMQPITNPVTTYPTPPVNVPVTTPVTNPVSPPVTTNAPAIPGQSWCVAKSGSPETALQSALDYACGMGGADCSQIQQGGSCYNPNTLQNHASYAFNSYYQKNPVATSCDFGGTATIVSANPSTGSCVFPLSSTSSSTSTPPSLPTPTPSTSITNPATTPSSPGAGTSGTVTPPSVLNSSSPGSGTTTGFGSETPPGFSTSTSKSASLQPSIGGIILITFFIIRIIILDM >Manes.08G002100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:508813:511497:-1 gene:Manes.08G002100.v8.1 transcript:Manes.08G002100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESHYLTLLWIWDKRVAKIHWLRSSHSCDQITGAFFNRILLSMQMDMQAHLSYSFSSSRVGKFSPPSFSNYYPLRPFCSRDQKSRDPNPDGGDNGDKFSTDWDKAWSNFRKQGKKTLFSQFSPNKYVSWNPRRSDYPLSEEVDPIKRTERSNLMLWTSPRFTLVGAILVVTFLLVYTILYPIK >Manes.16G135800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33629708:33635149:-1 gene:Manes.16G135800.v8.1 transcript:Manes.16G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQQSSRIDLVELKTQISKKVGVERFKKYFHYLTRFLSQKLSKSDFDKSCFRLLGRENLPLHNQLIRSILKNACQAKTPPAIYESGPTKSAIQIAKRSPAREDGHEQSGSLLPNQNQNASIWSNGVLPMSPRKIRSVMRDRKPRDRPSPLGPTGKVECVSHQSTGVEDVGSKVIMDNGGLPPCDYQRPLQHLQAVAEQPKNESEGLFHQPFEKQSIHSKDQITFVEDGEEVEQANHFTFSRNSLLAPLGVPSYSATAGRARKTMPATNCGDYISCCEGGVLSSTEVLRKRMEQIAAAQGIGGVSMECANMLNNMLDVYLKKLIRSCVELVGARSPDDSRKHPIYKQQVQGKGINGMWPSNHLQVQANSGPVEVMREQRTRCAISLLDFKVAMELNPQQLGEDWPLLLEKICTHAFEE >Manes.18G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4470487:4474088:-1 gene:Manes.18G052400.v8.1 transcript:Manes.18G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRITIGNLALKIPVASKPARSVVHPSSSPCFCKIKLKNFALQTAVVPYIPPENTQFPDGQAHTVAATFHLSKSDLERLVAKSIFAGKLCLKISIYTGRRGTTCGVNSGRLLGKVSVPLDLAGTESRASVFHNGWISVGKEANKGSSAQFHLNVKAEPDPRFVFQFDGEPECSPQVFQIQGNIRQPVFTCKFSFRNTGDRNQRSRSLQSEPSSSRSWLSSFGSERERPGKERKGWSLTVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGSSDGLGYRFELIPDTNGGMSAAGIVLAESTLSSHKGGNFLIDMGVNSSGRSTPGNSTSPVCSPRSSGDYGYGLWPYCMYRGFVMSASVEGEGKCSKPSVQVSVPHVNCTEDAAAFVALAAAIDLSMDACRLFSQRLRKELCQDRDLLG >Manes.03G133800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25945497:25946514:1 gene:Manes.03G133800.v8.1 transcript:Manes.03G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKIIFFIAITMAVSITVTIRSIGEVEEKPPLFPRSINEGSSSNTLSEGLTMQEENRIMPSKRLSRFLAEEKNPRAADHCRKDNEICNLLGGKNQTCCNNKCMDLSEDRNNCGACKNKCHFSQTCCRGQCVSLSYDKRHCGSCNNRCEKGEYCVYGLCHYA >Manes.04G019150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2270592:2273412:-1 gene:Manes.04G019150.v8.1 transcript:Manes.04G019150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILQFLENKTILLTGVTGFLAKIFVEKILRVQPNVKKLYLLLRAADATSASYRFHSEVIGKDLFRVAKETLGANFDAIISKKIIVVCGDVFYGDLGIKDSSLREEMMNELDIVLNFAATTKFYERYDIAFGTNTIGPKNVICFAKTCLKVKLFVQISTAYVCGESSGLIPEKPYRLGETLNGVSGLDIDYEKKLIDTKLDELRAQGATETEIKHAMKDMGTERAKRYGWPNTYVFTKAMGEMLIGNIKGNLALVIIRPSMITSTFKDPFPGWIEGARTIDALTVSYGKGKLTFFVVDLESIVDVIPGDMVVNAIIAAMVAHANQPCDEVIYHVGSSLQNPMRYSNFRDYLIQYFTNKPWMDKNGKPIKVNKPTIFNSISNFNRFIKIRYSPLLKI >Manes.04G019150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2270592:2273412:-1 gene:Manes.04G019150.v8.1 transcript:Manes.04G019150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILQFLENKTILLTGVTGFLAKIFVEKILRVQPNVKKLYLLLRAADATSASYRFHSEVIGKDLFRVAKETLGANFDAIISKKIIVVCGDVFYGDLGIKDSSLREEMMNELDIVLNFAATTKFYERYDIAFGTNTIGPKNVICFAKTCLKVKLFVQISTAYVCGESSGLIPEKPYRLGETLNGVSGLDIDYEKKLIDTKLDELRAQGATETEIKHAMKDMGTERAKRYGWPNTYVFTKAMGEMLIGNIKGNLALVIIRPSMITSTFKDPFPGWIEGARTIDALTVSYGKGKLTFFVVDLESIVDVIPGDMVVNAIIAAMVAHANQPCDEVIYHVGSSLQNPMRYSNFRDYLIQYFTNKPWMDKNGKPIKVNKPTIFNSISNFNRFIKIRYSPLLKVLELANIVFCQFFSTHITI >Manes.04G019150.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2270592:2273412:-1 gene:Manes.04G019150.v8.1 transcript:Manes.04G019150.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILQFLENKTILLTGVTGFLAKIFVEKILRVQPNVKKLYLLLRAADATSASYRFHSEVIGKDLFRVAKETLGANFDAIISKKIIVVCGDVFYGDLGIKDSSLREEMMNELDIVLNFAATTKFYERYDIAFGTNTIGPKNVICFAKTCLKVKLFVQISTAYVCGESSGLIPEKPYRLGETLNGVSGLDIDYEKKLIDTKLDELRAQGATETEIKHAMKDMGTERAKRYGWPNTYVFTKAMGEMLIGNIKGNLALVIIRPSMITSTFKDPFPGWIEGARTIDALTVSYGKGKLTFFVVDLESIVDVIPGDMVVNAIIAAMVAHANQPCDEVIYHVGSSLQNPMRYSNFRDYLIQYFTNKPWMDKNGKPIKVNKPTIFNSISNFNRFIKIRYSPLLKKNQTCEAAS >Manes.12G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36048494:36054549:1 gene:Manes.12G152300.v8.1 transcript:Manes.12G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSFEGEDDLFFDSTDCLSLEESIVAKEGLACNNSEYEIWLKEPKSVEERRQRFLCGMGLAQLASKRDEIVSIDRIVNCSGAESSSSALCTYGEETNLVCSGGGRTSEANSMIDEMEQEQFDNKTNEAFENENSESPSSMLECEQSCEEECKNSDEGKLKMKSWWKFFVHKKKLIEDACVSKVSKLNSEATKTNRMKVKQNKKRCMEFTGVYKGQELQAHKGIIWTMKFSPDGQYLATGGEDGTVRIWRVTSMDASHKSFASEGNLKESKYNLDTKKMSHTSVIIPKKIFQIEESPVHEYHGHTSDVLDLAWSNSNCLLSSSEDKTVRLWQVGSDHCLNVFHHTNYVTCIQFNPVDENYFISGSIDGKVRIWGVSEKRVVDWVDARDVTSAICYRPDGKGFVVGSITGTCRFYGASGNDLQLEAEIHVQGRKKTSGNRVTGIQFSQESSQRVLISSEDSKLRIFDGVDIVHKYKGLSKSGSQMSATFTSSGRHIISVGEDCRVYVWNYDGFCTPLSKHVKSVRSCEHFFSEGVSVAVPWSGMRAESKGLGCGHHIQNMKQTEDGASWRRDSERFSLGNWFFMDGPCRGASATWPEERLPCWDVAIAEEECQHQHYEDFQQQQQMISTNGHLALSDSWGLVIVAAGCDGTIKTFHNYGLPVRL >Manes.12G088138.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:16375114:16400325:1 gene:Manes.12G088138.v8.1 transcript:Manes.12G088138.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFDLALSLHHLLLCLSGLFLGPSVVSWKTKNRIQLVVRLWRKSTIIWHLRYVRYNGLFTFFEGVS >Manes.04G052645.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10932505:10934834:1 gene:Manes.04G052645.v8.1 transcript:Manes.04G052645.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIGTLISWSESDMSKGLYMVSLSEDEEVSLYSVNLAWF >Manes.09G103300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30273799:30277766:-1 gene:Manes.09G103300.v8.1 transcript:Manes.09G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQIQSRPIEKVIVHPLVLLSIVDNYTRVAKDTRKRVIGVLLGSSFKGTVDVTNSYAVPFEEEDKDPTIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHQLFHNYVQNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLREIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVSELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPATIPAANGS >Manes.02G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4148255:4149794:-1 gene:Manes.02G052100.v8.1 transcript:Manes.02G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHPQILHQLHCQSPSITATTNCCCSCHYNHCCIQPHYPSAPPQQQLSIDPLLQALGSLLQQQQPNLYPTFRYKFKAQKLHFQNLRFQAQNHHSQQLGDDQQTQSVISSLLQRINTLESSLPRFYTSSGSNRHCHPLYSLREAAARVIQIHFRAFLVRRSRTLGQLQDLAFIKSNFNSLKSSISNKTHFNCDVVSYKAMDFLFKLGSIQFIDGLAAKKHGCSYKPAKNVRFVMNNNKSGASNASVGHGDLSGYHKEIVERLSDRIEKIRGFSRVCDNDEEDVELEGFHQFINDEEDEYENPKFFPNGKNGGSKIRYGGLMKNNVGKPRVKKSVIFAENGNVYRTFSNDHESVLIGDGSFCEGSDSSGDHGETMDHSEIEERNGISKSTENDVVVDEENAASTQSSNGERNPTRNVRRSNDYEIRRFRQDKDGSSVFSAPMPVKMESRVDVMKKRML >Manes.S013080.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:97912:98409:1 gene:Manes.S013080.v8.1 transcript:Manes.S013080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMPIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.01G226900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39195260:39196335:-1 gene:Manes.01G226900.v8.1 transcript:Manes.01G226900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFASCFHIPTTAKLMDFHGNLRQLLLPLKAADLMLEEPGHVVSSLHDLRRTRRLSALRAEDEIFAGKIYILVPLSKVHRKISDSEMAILESAEKRSKKKRSGAKILPAVPVTVEVETEMVEALEGLDSGFGSCRLGNYCHWTPVLEPILEEP >Manes.10G077840.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18039072:18041790:-1 gene:Manes.10G077840.v8.1 transcript:Manes.10G077840.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAKIKLGIVEGAVSTPNKDFENYKQWKRCDFMVTSWILNSISKVLIYGFIYTASTRDIRLEISKRFGECNNLMIYELHRKISLISQENVSVSVHFTKLKRFWDELGSMKTLHACTYGASKAISKITNRNKFMQFLMGQNDVFGFLRDQILGMDPLPTVNKIYSMVVKFEFQR >Manes.01G039400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7070985:7071725:-1 gene:Manes.01G039400.v8.1 transcript:Manes.01G039400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATGFLFTIRELKEQDQYLDHFKMSSTKSVEGERAGAEIVYGAEECHRHSIELLEELGFPKGVLPLQDLEECGRVRETGFVWMKQKAPCEHFFVGTNTKVSYAIEVTAYVEKLKMKKMTGVKSKQMFLWVPITEMSIEDPESKKIYFKTPMGIGKSFPITAFMTDEEKQQKQLEQ >Manes.02G051800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4129860:4137840:-1 gene:Manes.02G051800.v8.1 transcript:Manes.02G051800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSMRSITISSSSSNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGHMLVKDFYKILNQVNHEEIPDGLKLPDSYNQLVSEMKSNQYDAKTFAFMLRAMLEKFEREIRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPLLSDNSYHHFVLSTDNILAASVVVTSTVQSSLKPEKIVFHVITDKKTYAGMHSWFALNSVFPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRNYYHGNHIAGANPSTTTPRMFASKLQSRSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLEGKVNGAVETCKGEDEWVMSKYFKNYFNFSHPLIAKSLDPNECAWAYGMNIFDLCAWRKTNIRETYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVQPIDPSWHMLGLGYQNNTNIENVKKAAVIHYNGQSKPWLQIGFEHLRPFWTKHVNYSNDFIRNCHIMES >Manes.02G051800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4129860:4137840:-1 gene:Manes.02G051800.v8.1 transcript:Manes.02G051800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSMRSITISSSSSNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEDCLGRRLGPRLLGRVDDSGHMLVKDFYKILNQVNHEEIPDGLKLPDSYNQLVSEMKSNQYDAKTFAFMLRAMLEKFEREIRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPLLSDNSYHHFVLSTDNILAASVVVTSTVQSSLKPEKIVFHVITDKKTYAGMHSWFALNSVFPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRNYYHGNHIAGANPSTTTPRMFASKLQSRSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLEGKVNGAVETCKGEDEWVMSKYFKNYFNFSHPLIAKSLDPNECAWAYGMNIFDLCAWRKTNIRETYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVQPIDPSWHMLGLGYQNNTNIENVKKAAVIHYNGQSKPWLQIGFEHLRPFWTKHVNYSNDFIRNCHIMES >Manes.10G077366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:17469423:17469858:1 gene:Manes.10G077366.v8.1 transcript:Manes.10G077366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCQTHSKQLINNSPKTPLNILKTCIENNHERVGQSTFGDTLGDRRSLPEPKAMQVRRPKPLSRSESQAPSAAEHHLRRPKVCSRSETQLSGARLGGQSMHP >Manes.15G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4175035:4175802:-1 gene:Manes.15G054500.v8.1 transcript:Manes.15G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAGGINVTVRSQDGQEKCYRIKLETPIAKLLRFYCDTKQLEYDTMVFLIKGRRFNQKKTPAELNLKDGVQIEAFMHQNGGGCKGV >Manes.09G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33214637:33219470:1 gene:Manes.09G130100.v8.1 transcript:Manes.09G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQLLLQQQQAQHQQQQFLLLQQLQKQAQQAQQQQQQQQQAAAISRFPSNIDAHLRPPGLHRPLNLQQQNPNPNSNPNLQQQGSSLPQNAPQAQHSQQQQQQQQQQQQQQQQKGIRPPLNQVELQMAYQDAWRVCHPDVKRPFSSLEDACERLLPYHVVADYEAEEDDRILDSDTTGQMPSRSQQWDFNIAAKVAEFTGTFEKQALAFNIITRKRALGEFRSEERLMMEQILLQEEKRLLLELKTEMDAREKAGREAQMRMAAMVQAEQARAESHVHAEMMARAPIRASALGSRGNNVSIGHDMGEQEHGVNTDQMMNGWGNNAQRDEKEPSEDFLNDEETENGDTGAQGEWREVGEFDLNSR >Manes.08G147000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38297611:38301646:1 gene:Manes.08G147000.v8.1 transcript:Manes.08G147000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGGVLEVVPTTSVPNSAELKKELQRLVNAILDGEDFSLEITDEAIRLLTALKELKFEKSSDSLKLVDDTVLPDEFKCPISRKLMADPVVLATGQTYDRPSILQWLSTGHQTCPRTQQVLSHTVLTPNHLVREIITRWCKKHGLELPKPFGYSDDNFVAYADGDHLNSLLEKMCSSLSDQKEAARELRLLTRTMPSVRALFGESSDAIPKLLCPLSLGRVDSHPDLQEDLITTILNLSIHDNNKQLVAENPLAIPLLIESLKSGTIETRSNAAAALFTLSGPDSNKISIGKAGALKPLIDLLEEGHTLAMKDAASAIFNLCIILENKGRAVHEGAVRVILKKIMDGILVDELLAILAMLATHQKAVEDMKELGAVGCLLSIIREGSSERNKENCAAILYTICLNDRTTWREIRDEENANHTISKLAENGTSRARRKANGILERLDRAALLLHTA >Manes.17G057400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25596196:25599847:1 gene:Manes.17G057400.v8.1 transcript:Manes.17G057400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELHVVDLSSNSLQGHVPGWFWSIQSLEEVNLAENKIGGTIGFEPNSGNASFSSIKVLNLSTNRFTNLGKLSGFPNLRVLDLSRNNLGSLPSGFSTLTKLESLNISSCNISGNIRAISGLHSLKSLDVSNNTMNGTFPSDFPSLDGLMFLNVSLNNFTGTVHSDKASKFGNSAFLHGGSLNFTASKSPSNPIKPQSKAVPPHIKSNPKHPAVHKNTAKKIKSKSKTKTLIVCVSSISTFLLVSIGISALCMHRRRKLAARNKWAISTPVIQFPFKVEKSGPFSFETESGSSWVADIKEPTSASVIMSSKPLMNLTFKDLIAATSHFGKESLLSEGRCGPLYRAVLPGDVHVTIKVLENARDIGHEEAVAIFEGLSRLKHPNLLPLCGYCIAGKEKLVLYEFMANGDLHRWLHELPTLEPNVEDWSTDTWEHQNISGSHVASPEEKTNWLTRHRIAVGVARGLAYLHHAGSFHGHLVASNILLSDTLEPRVADFGLRNIYPKKKNIGSDNEDCGVEFDVYCFGVVLIELMTGKQGNQETVGWVRRLVREGRGADALDLRLGGDHDSVSEMVECLRVGYLCTAEFPGKRPTMQQVLGLLKDIHLELN >Manes.17G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25596196:25599847:1 gene:Manes.17G057400.v8.1 transcript:Manes.17G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSRFLLVFSLLIVLVESESTTCNITDQEMLSKAFRSVSGFNLSWFQPADSNCSLPIKEIRLSSRNLSGIISWKYLKNMSELHVVDLSSNSLQGHVPGWFWSIQSLEEVNLAENKIGGTIGFEPNSGNASFSSIKVLNLSTNRFTNLGKLSGFPNLRVLDLSRNNLGSLPSGFSTLTKLESLNISSCNISGNIRAISGLHSLKSLDVSNNTMNGTFPSDFPSLDGLMFLNVSLNNFTGTVHSDKASKFGNSAFLHGGSLNFTASKSPSNPIKPQSKAVPPHIKSNPKHPAVHKNTAKKIKSKSKTKTLIVCVSSISTFLLVSIGISALCMHRRRKLAARNKWAISTPVIQFPFKVEKSGPFSFETESGSSWVADIKEPTSASVIMSSKPLMNLTFKDLIAATSHFGKESLLSEGRCGPLYRAVLPGDVHVTIKVLENARDIGHEEAVAIFEGLSRLKHPNLLPLCGYCIAGKEKLVLYEFMANGDLHRWLHELPTLEPNVEDWSTDTWEHQNISGSHVASPEEKTNWLTRHRIAVGVARGLAYLHHAGSFHGHLVASNILLSDTLEPRVADFGLRNIYPKKKNIGSDNEDCGVEFDVYCFGVVLIELMTGKQGNQETVGWVRRLVREGRGADALDLRLGGDHDSVSEMVECLRVGYLCTAEFPGKRPTMQQVLGLLKDIHLELN >Manes.02G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1780981:1783666:-1 gene:Manes.02G019500.v8.1 transcript:Manes.02G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHKPSFKLPVLDLSQSVEVSSLSALYKACQEWGFFYVTNHGISKILFDEVCSCSKQIFSIPLDSKLKLGPSSCLKTYTPHFIASPYFESLRVSGPGFFASARSSADELFSQQKCEFSEIVQEYGNKMMELSKRIIKVILMSLGDGYDRKFYDSEFSNCHGYLRIVNYSPPKNVEEKEVEGLGMHTDMSCITILYQHEIGGLQMRSKQGEWIDICPRENALVVNVGDLMQAWSNGRLRSSEHRVILRRVVNRLSLAFFWCFEDQKVISAPDDIIGEENLRLYKPFVCLDYLKFRENNEEGKFDKIGFTVNDFAGLKLG >Manes.06G153200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28052179:28055210:-1 gene:Manes.06G153200.v8.1 transcript:Manes.06G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDVPCCEPMFWTYLIICVALVCFAGLMSGLTLGLMSLSLVDLEVLIKAGQPQDRKNAEKILPIVKNQHLLLCTLLIGNALAMEALPIFLDALLPAWGAILISVTLILAFGEIIPQAVCSRYGLRVGAKMSVIVRLIVIVFFPLAYPISKLLDLILGKKHSALLRRAELKTLVDMHGKEAGKGGELTQDETTIITGALDMTQKTAKDAMTPLPKIFSLDINSKLDEKTMEQIMSTGHSRIPIYSGKPANIIGLILVKNLIKFRPEDETPIRDITIRKIPRVHDHLPLYDILNQFQIGHSHMAVVVKCKNDVEEIAEEKKSNASIFKKNNNPNSKQRKADVKGICHRPGQNEQLNISIHSPSLYSSDTEIESPAPDNVRDLRDLLRPQLKKSEYQNQNLSSEDLESLSALDEAVIGIITLEDVMEELLQEEILDETDEYVDVHNKIKINMLDWRRSPVMRSPVAASASNFQWRTHVASPVSSYHQSPLSSCNHTPILQSPIPPYVRSPLARPTLSASPGKSVPNSPVANYSPSSHRVSRKSYEKLRRPEHV >Manes.06G153200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28052165:28054883:-1 gene:Manes.06G153200.v8.1 transcript:Manes.06G153200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKILPIVKNQHLLLCTLLIGNALAMEALPIFLDALLPAWGAILISVTLILAFGEIIPQAVCSRYGLRVGAKMSVIVRLIVIVFFPLAYPISKLLDLILGKKHSALLRRAELKTLVDMHGKEAGKGGELTQDETTIITGALDMTQKTAKDAMTPLPKIFSLDINSKLDEKTMEQIMSTGHSRIPIYSGKPANIIGLILVKNLIKFRPEDETPIRDITIRKIPRVHDHLPLYDILNQFQIGHSHMAVVVKCKNDVEEIAEEKKSNASIFKKNNNPNSKQRKADVKGICHRPGQNEQLNISIHSPSLYSSDTEIESPAPDNVRDLRDLLRPQLKKSEYQNQNLSSEDLESLSALDEAVIGIITLEDVMEELLQEEILDETDEYVDVHNKIKINMLDWRRSPVMRSPVAASASNFQWRTHVASPVSSYHQSPLSSCNHTPILQSPIPPYVRSPLARPTLSASPGKSVPNSPVANYSPSSHRVSRKSYEKLRRPEHV >Manes.06G153200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28052165:28054824:-1 gene:Manes.06G153200.v8.1 transcript:Manes.06G153200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILDGREDSANCEESAFAPLYAPHRQCFGDGAWGAILISVTLILAFGEIIPQAVCSRYGLRVGAKMSVIVRLIVIVFFPLAYPISKLLDLILGKKHSALLRRAELKTLVDMHGKEAGKGGELTQDETTIITGALDMTQKTAKDAMTPLPKIFSLDINSKLDEKTMEQIMSTGHSRIPIYSGKPANIIGLILVKNLIKFRPEDETPIRDITIRKIPRVHDHLPLYDILNQFQIGHSHMAVVVKCKNDVEEIAEEKKSNASIFKKNNNPNSKQRKADVKGICHRPGQNEQLNISIHSPSLYSSDTEIESPAPDNVRDLRDLLRPQLKKSEYQNQNLSSEDLESLSALDEAVIGIITLEDVMEELLQEEILDETDEYVDVHNKIKINMLDWRRSPVMRSPVAASASNFQWRTHVASPVSSYHQSPLSSCNHTPILQSPIPPYVRSPLARPTLSASPGKSVPNSPVANYSPSSHRVSRKSYEKLRRPEHV >Manes.05G093500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8583889:8587830:1 gene:Manes.05G093500.v8.1 transcript:Manes.05G093500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKRSPPRPPSTANDLKSRVITCLNKLSDRDTLSLATTELESIAKTLNHDSFSPFLNCIHNTDSSSKSPVRRECVNLLTLLSNLHGNSLSPHLSKMISTVTRRLHDTDSAVRSACVEATTAMSSHITKPPFSTLSKPFIELLTLDHDFNAQIGAAMCLAAAIEAAPEPEAEQLRKVLPRLVKLVKGEGFKAKPALLSVIGNIVGVGGASSKSVLDWLVPCLLEFLSSDDWAARKAAAEALGKVAQAEKELSKEHKVACLSSLESRRFDKVKAVRETMNRTLELWREVPGFSDQDSLSSQSKSSSIDKASGESSPSASHNSHEVSFRSPQPKKILPGNRSPPSDASPVTTARKQCSVKNNNDNPKTAMGRKMDHRKTSAWKIEIALPQDTGCGDDIKRCSSGVLESGEDANNDKCRPDTKLVLCSSTRDDKQYKFGGLKSGSRVVPFNDDDNFYNKDFEVNNPNEEYCENSKDIEDLSLIRDQLLQIENQQSNLLDLLQLPAWDKFSGDTCSWPGDGIG >Manes.05G093500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8583889:8587830:1 gene:Manes.05G093500.v8.1 transcript:Manes.05G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKRSPPRPPSTANDLKSRVITCLNKLSDRDTLSLATTELESIAKTLNHDSFSPFLNCIHNTDSSSKSPVRRECVNLLTLLSNLHGNSLSPHLSKMISTVTRRLHDTDSAVRSACVEATTAMSSHITKPPFSTLSKPFIELLTLDHDFNAQIGAAMCLAAAIEAAPEPEAEQLRKVLPRLVKLVKGEGFKAKPALLSVIGNIVGVGGASSKSVLDWLVPCLLEFLSSDDWAARKAAAEALGKVAQAEKELSKEHKVACLSSLESRRFDKVKAVRETMNRTLELWREVPGFSDQDSLSSQSKSSSIDKASGESSPSASHNSHEVSFRSPQPKKILPGNRSPPSDASPVTTARKQCSVKNNNDNPKTAMGRKMDHRKTSAWKIEIALPQDTGCGDDIKRCSSGVLESGEDANNDKCRPDTKLVLCSSTRDDKQYKFGGLKSGSRVVPFNDDDNFYNKDFEVNNPNEEYCENSKDIEDLSLIRDQLLQIENQQSNLLDLLQRFIGSSQHGINSLETRVHGLEMALDEISHDLALSSGRIPHSDSGDNTCCNLPGAEFLSSKFWRRTEGRFSTSRLSSPGSIQSHARNILNIDYSAETCNSNSQRFPHQNKSGFTLNPLAESRSVARRNLGFYSSQMSNNIIQEDGQVQRSNGLGEVSSASCPAPVNLCSRFSD >Manes.03G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:338396:341409:-1 gene:Manes.03G003200.v8.1 transcript:Manes.03G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTCNACNKEFHDDAEQKSHYKSDWHRYNLKRKVAGVPGVTEALFLARQSALAQEKEKSSEAPMLYSCILCGKGYRSAKAHAQHLKSRSHIMRASQGTSQDEHTAVVKPLPQRVMNNHPSQRKVDEEASEDDDEWEEVDPGEELVVEATKSLTDLNVNEASDEDMDEDENDHELDPSSCFMCDQEHDSLESCMVHMHKRHGFFIPDVEYLKDPKGLLTYLGLKVKRDFMCLYCNDRCHPFSSLEAVRKHMVAKSHCKVHYGDGGDDEEVELEEFYDYSSSYVDDHGKQLITSGDMANTVELGSGGSELIITRRSDNKISSKTLGSREFLRYYRQKPQPTPANVAAITAALASRYRSMGLATVQSKEHMVRMKVMKEMNRAGAEAMRTKIGMKSNVIRNLPKNVPY >Manes.07G136900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33896551:33896946:-1 gene:Manes.07G136900.v8.1 transcript:Manes.07G136900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGTDTASSSSGKVGKSSTDFEFNPVFIRAFGSIVIVEGCDYSRSISWIHAWTVTDGIITQVREYFNTSLTVTRLGNQDQSEPSDYSSPSSSSSSSAEITSVHCPYIWESRLTNRVGKSVPGLVLAI >Manes.05G185700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30539591:30539956:1 gene:Manes.05G185700.v8.1 transcript:Manes.05G185700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPSIFGNLTLLLPKSTLSRSPNSQIQLPQLRTECSSRPRKKATAHRLKTRPRKTRRWDMRRKPAVYSSLFSLPTDLTPVSSYDVDGDGGFATEAALPSAPSLQAPIASG >Manes.04G121300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32314668:32320839:-1 gene:Manes.04G121300.v8.1 transcript:Manes.04G121300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYCLSKYYFTDALLNKQLHGHLGARGKEKRKKKKNLIEFMASQGESDKKRSLPSWMSSRANGSKSSGKKPTSSGKNEESEEIKDNSPSKETISGRKFGASSSKNFSKLLEGVVFVLSGFVNPERATLRSQAMEMGADYRPDWSSECTLLVCAYSNTPKFRQVEADCGTIVKKEWILDCYSQKKLVDIDTYMMHAGKPWRKNNPSIENSHDQKPTPPRKSGKQVEKGSYSKPASASSKSISSNSAKEQFSASKVKEWAIDDLKRTISWLENQEEKPEPSEIKEIAAGGIIICLQDAIDALEQNQDVRQIAEQWNVVPHAVEELIKLVDATSLSKEDLCEQAKACKQMYDAELTGVDDDPKQKKKRLKTDENVRDSNSRSNVVSGVAADYDSDKTIEMTEEDIDFAYNNVASTICKP >Manes.04G121300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32314652:32320872:-1 gene:Manes.04G121300.v8.1 transcript:Manes.04G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKASQGESDKKRSLPSWMSSRANGSKSSGKKPTSSGKNEESEEIKDNSPSKETISGRKFGASSSKNFSKLLEGVVFVLSGFVNPERATLRSQAMEMGADYRPDWSSECTLLVCAYSNTPKFRQVEADCGTIVKKEWILDCYSQKKLVDIDTYMMHAGKPWRKNNPSIENSHDQKPTPPRKSGKQVEKGSYSKPASASSKSISSNSAKEQFSASKVKEWAIDDLKRTISWLENQEEKPEPSEIKEIAAGGIIICLQDAIDALEQNQDVRQIAEQWNVVPHAVEELIKLVDATSLSKEDLCEQAKACKQMYDAELTGVDDDPKQKKKRLKTDENVRDSNSRSNVVSGVAADYDSDKTIEMTEEDIDFAYNNVASTICKP >Manes.04G065300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26068824:26075555:1 gene:Manes.04G065300.v8.1 transcript:Manes.04G065300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIFLFVLACPLSVIASFPSLPSSLCLKPPTMACRLPTQIATPGKFHHNYRGHRHSQIAVSSNNTLLSWRRNLSPQPLISPSASFISTVLKGKRDVRPTVTCNASAAEAVGAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYEVRCLVRPRPAPADFLRDWGATVVNADLSKPESIPATLVGVHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKFVFFSIHNCDKHPEVPLMEIKYCTEKFLQDSGLNHVVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIAVRNEKINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSILRFTRQLTRFFEWTNDVADRLAFSEVLTSDIVFSAPMAETYTLLGVDAKDVVTLEKYLQDYFTNILKKLKDIKAQSKQTDFYI >Manes.04G065300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26068886:26074143:1 gene:Manes.04G065300.v8.1 transcript:Manes.04G065300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIFLFVLACPLSVIASFPSLPSSLCLKPPTMACRLPTQIATPGKFHHNYRGHRHSQIAVSSNNTLLSWRRNLSPQPLISPSASFISTVLKGKRDVRPTVTCNASAAEAVGAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYEVRCLVRPRPAPADFLRDWGATVVNADLSKPESIPATLVGVHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKFVFFSIHNCDKHPEVPLMEIKYCTEKFLQDSGLNHVVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIAVRNEKINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSILRFTRQLTRFFEWTNDVADRLAFSEVLTSDIVFSAPMAETYTLLGVDAKDVVTLEKYLQDYFTNILKKLKDIKAQSKQTDFYI >Manes.04G065300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26068886:26074143:1 gene:Manes.04G065300.v8.1 transcript:Manes.04G065300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIFLFVLACPLSVIASFPSLPSSLCLKPPTMACRLPTQIATPGKFHHNYRGHRHSQIAVSSNNTLLSWRRNLSPQPLISPSASFISTVLKGKRDVRPTVTCNASAAEAVGAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYEVRCLVRPRPAPADFLRDWGATVVNADLSKPESIPATLVGVHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKFVFFSIHNCDKHPEVPLMEIKYCTEKFLQDSGLNHVVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIAVRNEKINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSILRFTRQLTRFFEWTNDVADRLAFSEVLTSDIVFSAPMAETYTLLGVDAKDVVTLEKYLQDYFTNILKKLKDIKAQSKQTDFYI >Manes.04G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30501718:30504769:1 gene:Manes.04G100400.v8.1 transcript:Manes.04G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVRFSREPPKQSQMAAEFVCFSFALLLSIQLAIASPPISKPNCESSCGNLSIPYPFGMGKKECYFGEWFEIECNKNVYPPRAFMRRIKMEVFELNLGGSAMVRSPIISSNCSGRESDEPIDFKGSPFYISEMNSIIAVGCNSRALLVDQPLLRVGCESRCHGRKDIEWREMIPKLKTIDSDGLYLIGSDCNGTDCCKIVTPSAVQVFNPKFEGKGCELAFLVLEGAVPYVEFPMHLYWTINSTLKKAVDRKTAVCSSYPYVNDNGSEFSCYCDEWHTGNPYVGCTDFNECETNNHHCHKLTKCVNTPGSYKCVPDPKWIIIIVVCGVIGVFAIPYSCWRLYKLIKKIRNIHLKKKFFKRNGGSLLKQQLNSSDGSVQKTKVFSSKEMEKSTDRFNENRILGHGGQGTVYKGMLTDGSIVAIKKFKLVDEGKVQEFINEVVILSQINHRNIVKLLGCCLETEVPLLVYEFIPNGSLFQYLHDQSEEATLPWEMRLRIAGEIAGAVEYLHSSASIPIYHRDIKSTNILLDERNRAKVSDFGTSKSIAIDQTHLTTHVQGTFGYLDPEYFQTSQFTDKSDVYSFGVVLVELLSGQKPICSKSSEEITSLATHFIILMEENRLFDIVDARIKEHCAEEEIIEVANLAKRCLNLNGKKRPTMKEVAMELEGIQASRNKLNIQQNNEEIEDNLSDDDSITESYESRETLSDEIAITVSCDLSNVKTLPMDVESITANRTW >Manes.04G033500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4236031:4242863:-1 gene:Manes.04G033500.v8.1 transcript:Manes.04G033500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLISSPPFIGAPLSSLSRHGLHTLPNRRFMSTRVKFSLHEIPPIVQLDSSSIDFTSIVTRAESLLYTLADAAVAADSASGGAASSSTDAAVQKNGGWFGFISESMEFVLKVLKDGLSAVHVPYAYGFAIILLTVVVKVATLPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTAAYLVLPVLLVVSQYVSMEIMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVNENASGIITAGRAKRSASQPAQAGDRFRKLKDEEKRKKLSKAIADEEMQTLDFASGSDEDSDEETKDKGEEVLEGAYASSASKQVPDISRPKRSKRSKRKRAV >Manes.04G033500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4236251:4242863:-1 gene:Manes.04G033500.v8.1 transcript:Manes.04G033500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLISSPPFIGAPLSSLSRHGLHTLPNRRFMSTRVKFSLHEIPPIVQLDSSSIDFTSIVTRAESLLYTLADAAVAADSASGGAASSSTDAAVQKNGGWFGFISESMEFVLKVLKDGLSAVHVPYAYGFAIILLTVVVKVATLPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTAAYLVLPVLLVVSQYVSMEIMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVNENASGIITAGRAKRSASQPAQAGDRFRKLKDEEKRKKLSKAIADEEMQTLDFASGSDEDSDEETKDKGEEVLEGAYASSASKQVPDISRPKRSKRSKRKRAV >Manes.12G125201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33126348:33136527:-1 gene:Manes.12G125201.v8.1 transcript:Manes.12G125201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKLHVAMFPWLAFGHIIPYLELAKVIAEKGHKISFISTPRNIDRLPKLYPNLLPFIGFVKLPLPWLDSLPENAEATFDIPYDRVQYLKKAYDGLKELITRFLKTSHSDWRLYDFTPCWLPEIVTRLGISNAAPSHNFIVPPKWVPFPTKVTFRLYEILKSFGIVTRNIAGVSDTDHLKGENYKEWWTVNFMSMSFIIWAAFGSETKPSQEELTEIALGLELYGLPFFWALRKRRGLSDTEVLELRDGFDDRTKGRGVVCTSWAPQLKILAHDSVGGFLTHSGCSSVVEALQHEKALILLTFLADQGINARDLEENNMGYPIPRNEFDEYFCRDSVAESLRLVMVKEEGKIYREKAKEMKGLFGDRKTGQDRG >Manes.09G078021.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11877785:11878641:-1 gene:Manes.09G078021.v8.1 transcript:Manes.09G078021.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRSVTSIFLLLLLLVLPRGRCQVAEPDIFEIDYRGPETHSSALPPPGGRSHGRPFIHGDQAAARNSNGNRQNAKKIHG >Manes.09G078021.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11877623:11878649:-1 gene:Manes.09G078021.v8.1 transcript:Manes.09G078021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRSVTSIFLLLLLLVLPRGRCQVAEPDIFEIDYRGPETHSSALPPPGGRSHGRPFIHGDQAAARNSNGNRQNAKKIHG >Manes.10G139000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30659497:30666852:-1 gene:Manes.10G139000.v8.1 transcript:Manes.10G139000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVNNVMCQFTDREGTALGAPLYLPQNAGPQQLQQIVNKLLNNDEKLPYAFYISDQELLVPLETYLQKNKVSVEKVLPIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVAFSPDGRHLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSPDGKHLVSGSKAGELQCWDPQTGKPSGNPLMGHKKWITGISWEPVHLNAPCRRFVSSSKDGDARIWDVSLRKCVICLTGHTLAITCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKTYASPEEMKKVALERYNKMKGSGPERLVSGSDDFTMFLWEPAVSKHPKTRMTGHQQLVNHVYFSPDGQWVASASFDRSVKLWNGVTGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTQKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMG >Manes.10G128500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29449599:29451153:-1 gene:Manes.10G128500.v8.1 transcript:Manes.10G128500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLSPKFPQKRIFEGEGGSYDTWSSSELAELKVGGAKLALQPRGFALPHYADSSKIGYVLKGTEGVTGMLLANSSKEVVLKLQKGDVIPVPLGATSWWYNNGDSEFVMVFLGETSKAYVPGDFTYFFLSGGIGIMAGFSSEFTRRAYNLKNQEEAEKLAKSQTGSLIIKIEEGIIMPHPHKDHHHKMVYNIDAASANVDVKNGGILKILTSYKLPLLEQAGLSVSQVKLEANAMYSPTFTTDAATLLIYAVEGSGSVQIVGINGERVLDTNIKAGQMFVVPKFFAAAEIAGSEGLEFLSVLTSTEFCVEELATKQSVWNAISPVVSQVALNLTPQFTEVFKSNLTKNSIIIPSTN >Manes.14G151100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17122461:17124019:1 gene:Manes.14G151100.v8.1 transcript:Manes.14G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDQTLQIKTKPVPKRFVKNQIPDTILNDPSLNAAISLLPSNYNLEIHKCVWRIRSTGAKRLALQLPEGLLMYSLILADIFTAFAGVTHCFVLGDVTYGACCVDDLSALALGADLLIHYGHSCLVPIDATKVPCLYVFVDIKIDVERLISTIKLNLNDKKSIVLAGTIQFASAIREAKPELERLGLSVLIPQSKPLSAGEVLGCTAPRISSKSIIGTFSDMAVVFVADGRFHLEAFMIANPEISAFRYDPYMGKLFLEEYDHQGMKETRKRAIERTREAKSWGIVLGTLGRQGNPRILDRLEKKMREKQFSYMVVLMSEISPARIAFFEESVDAWIQIACPRLSIDWGEAFEKPLLTPFEAEIALGDLPGWWEKDKSVVANSGCCNGLGCRNSNGLCSGCGNETVNDVNGVGDCFNGDYPMDYYAQDGGEWNSSYLKKATRPIRRNVVPSAGDGAAL >Manes.04G092250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29758512:29759661:-1 gene:Manes.04G092250.v8.1 transcript:Manes.04G092250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKRLSASAAMLLVILFCNLLALSSEPMIAASPAVLPYVTAPNMSSFFPTPTDEWPLSSADPPRPEALAPLPNSGEFIGKSSSSSARPIGHITLFGVGIFIIFVTRCVSLV >Manes.09G165500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35879982:35881016:-1 gene:Manes.09G165500.v8.1 transcript:Manes.09G165500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVISVVVISSTDSSSVIVKSSSSSSCSAPKNSKHDCKWYGLMKGRTAFKKKKKTPIVLFKGATTLEASHGVSWTRVGLLGKGGFGSVFYAKTRTIINQNTHLPSEMAVKSAFMDHSSSLKHEKRVLCDLGASPYVVRCYGDEVTHMANGVKIYNLLLEYCSGLSLQRQIRLSGSGLADSDVKNYSRDILRGLKYIHCHGYVHCDIKPDNILLVPGFGERKGTFVAKIGDLGLATAVGEECNYPRGTYRYMSPELVRAKKIDYAADIWAFGCSVLEMLTAKPAWPYTEVEDLKWMIGYTDEVPQIPSNLSDGAKDFLRRCFVRNAAYRWSADMLLQHSFLFVN >Manes.11G078800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11728086:11729485:1 gene:Manes.11G078800.v8.1 transcript:Manes.11G078800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTQASEPLYPSISPHMPEEAGLPSTSAQDVEKQQYSAPSSSSSLQLPSDTESNEKYWGTHVMGIPAVPTCHPDNKKAALWGAATDSAAAEPHHHPYLQYTPVDKPSSSPMESILQKFNSWSKKTETMAHNIWHNLRTSPSVSEAAWGKMNLTAKALTGGGFETLYKNTFATYANEKLKKTFACYLSTSTGPVAGTLYLSDVHAAFCSDRPLSFTAPSGQETWSYYKVSKIFISGYCLNREFPMN >Manes.11G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11728086:11729485:1 gene:Manes.11G078800.v8.1 transcript:Manes.11G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTQASEPLYPSISPHMPEEAGLPSTSAQDVEKQQYSAPSSSSSLQLPSDTESNEKYWGTHVMGIPAVPTCHPDNKKAALWGAATDSAAAEPHHHPYLQYTPVDKPSSSPMESILQKFNSWSKKTETMAHNIWHNLRTSPSVSEAAWGKMNLTAKALTGGGFETLYKNTFATYANEKLKKTFACYLSTSTGPVAGTLYLSDVHAAFCSDRPLSFTAPSGQETWSYYKVMVPLNKIGAINPVVMRDNKSEKYIQMVTVDGHDFWFMGFVNYEKASRHLNESLCNFVAPGIGVQPVV >Manes.04G085700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28728989:28730219:1 gene:Manes.04G085700.v8.1 transcript:Manes.04G085700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALISDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFGYLLGLWDDVYNYESNIVLWNPTTSETMILPQSNLSHPPSESFSLEIVEFGFDSTTCDYKVLRIFEYLTGDNQCDYLAEIYSLRDDTWRKLDVSLNSWELPSYKAHTGANGTFYWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSLYFWRTILCLNEHVVLSLSTNLEESWTKLFTVAHPEYLRMALPLGFSRKGELFFSSWNQHLLVWNPPEETISPVPLEGAVHTSNHLQAVPYMESHTSLKGCNKLEDEQNSEDAAQC >Manes.03G151600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27964086:27967562:1 gene:Manes.03G151600.v8.1 transcript:Manes.03G151600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQGTRLRLGLCSFKHPFLWNISPSIASTTTTTAGAIQTCLCLPWLFRLLHSNALNPLPQRSPAFHGVRYMQRQLPFQRLFCSEATGDKEKMKKKPMLNEDYEDSVTIRINEKLTGEFPVFSDHSIFKVPKELRSVNEEAYEPKLIAIGPYHHGKDHLLSMEDHKIRYLQSLLQQSSQKNVSRYVQIIRNLEERARKCYAEPFSFTPDEFVEMMLIDGCFIIEFIRKLREGDMEDLLFRSNHMFYSFMLDLLLLENQLPFFILRELLVTSNVIPDQESTFIAVILKTYESFLPGPLCNSSRAYTPENMIQIKNLLGLLHDHWQPSPARLEVYKKMRQAEERDSTRCATELKDAGIKFKSAVERNNLFDIDFVNSTIKIPKIQITDITECVLRNLIAYEQLTSFTSPKYFTDYMKFIDSLINSKKDVELLCRQGIIDNWKGDDEAIAILFNKLGEHVFCESALYADIVNNVNEHCKKRRNWWMAELRHNHFQSPWSLLSFLADIMRFLLKITQKF >Manes.01G115700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31275180:31276194:1 gene:Manes.01G115700.v8.1 transcript:Manes.01G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQSLLMALPFFFILFAVPSAAANVTKKLDQTLEPLPQEPDTGIKCGSCPCVNPCALPPPPPPPPPPPPETTYCPPLPPPPPPPPPRCIYVTCVPGNLYVVDPYDNWDYYGGARKNVVTGLLLLAGCGALHLMII >Manes.01G027400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722561:5726587:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.01G027400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722576:5726358:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.01G027400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722921:5726659:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.01G027400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722554:5726592:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.01G027400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722566:5726612:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.01G027400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722565:5726611:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.01G027400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722566:5726503:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.01G027400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722554:5726658:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.01G027400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5722554:5726658:1 gene:Manes.01G027400.v8.1 transcript:Manes.01G027400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQGRGLRCDLPDSAFPHNTGRLNLHGYGNGISGLPGLKKRGHGHGSRSWIKIDQNGDSKILELDKATIMKHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELTCMSLDAQVKELEIEIYPVLDELASSINTLNLERVRRLKGQLLTLTQRVQKVRDEIEHLMDDDGDMAEMYLTEKRERAEAYALGDSYFQNNISGETRAVSKSAPVSPVRSFSGVQQLQRTFSSIVTSSKHGSLTSSSTNEENVDQLEMLLEAYFVVIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFAASIFDCPSTFNWVLIITGIACVFLYFSFLIYFRHKKVFPL >Manes.18G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12810193:12813087:-1 gene:Manes.18G120700.v8.1 transcript:Manes.18G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLATKVHQKEQNLNITSMSAQSPTIPLLTPYKMGKFDLSHRIVLAPLTRQRSYNNVPQPHAILYYSQRTTKGGLLISEGTGVSDTAQGYTYTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQICHVGRVSNSGFQPNGQAPISSTNKPLAPRLKANGIDIAQFTPPMQLRTDEIPQVVNDFRIAARNAIEAGFYGVEIHGAHGYLIDQFMKDQVNDRTDEYGGSLENRCRFALEIVEAVANEIGADKVGIRLSPFADFMESGDSNPKALGLHMAESLNKYGILYCHMVEPRVKTAGEKSECPESLQPMRKAFKGNFLVAGGYGREDGNQAIAENLADLIVYGRIFLANPDLPRRFELNAPLNKYNRDTFYTSDPVIGYTDYPFLESTT >Manes.17G036200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21495053:21498026:-1 gene:Manes.17G036200.v8.1 transcript:Manes.17G036200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIKGIYKSFKYITQIFVVKEREMEIGYPTDVKHVAHIGWDGSSGNAPSWMNEFKTTPDFATTTLSNARDPNSIAFPSWSSQDFDQSIGNQPIPNSLINISSSDHLSSITKKPKRKKKTKSSSPTSSSTTSRSPPAMNSKAA >Manes.17G036200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21495053:21498026:-1 gene:Manes.17G036200.v8.1 transcript:Manes.17G036200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIKGIYKSFKYITQIFVVKEREMEIGYPTDVKHVAHIGWDGSSGNAPSWMNEFKTTPDFATTTLSNARDPNSIAFPSWSSQDFDQSIGNQPIPNSLINISSSDHLSSITKKPKRKKKTKSSSPTSSSTTSRSPPAMNSKAA >Manes.17G036200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21495052:21498036:-1 gene:Manes.17G036200.v8.1 transcript:Manes.17G036200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIKGIYKSFKYITQIFAVVKEREMEIGYPTDVKHVAHIGWDGSSGNAPSWMNEFKTTPDFATTTLSNARDPNSIAFPSWSSQDFDQSIGNQPIPNSLINISSSDHLSSITKKPKRKKKTKSSSPTSSSTTSRSPPAMNSKAA >Manes.17G036200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21495052:21498026:-1 gene:Manes.17G036200.v8.1 transcript:Manes.17G036200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIKGIYKSFKYITQIFAVVKEREMEIGYPTDVKHVAHIGWDGSSGNAPSWMNEFKTTPDFATTTLSNARDPNSIAFPSWSSQDFDQSIGNQPIPNSLINISSSDHLSSITKKPKRKKKTKSSSPTSSSTTSRSPPAMNSKAA >Manes.06G160750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28734755:28745845:-1 gene:Manes.06G160750.v8.1 transcript:Manes.06G160750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGTFGGTFGGQKSWTDPKVGHFWRHLRRPKVPDRDESLFSGATSAAECYLHKGDVGDKYTFWNMEFNIDLPVEDKREKYGKRYEKTFEQVTAEDIADRREKYGKRYEQAFAEKTADRTEKYGKRYEDTADRTEKYGKRYEDAADRIEKYGKRYEDAADRIEKYGKRYEDAANRTKKYGKRYEDAADRTEKYGKWYEDAANRTEKYRKRYEDIADRTEKYGKRYEDAADRTEKYGKRYEDTADRTEKYGKRYEDAADRTEKTEKYGKRYEDTADRTQRYGQRYEQAFAEDTIDRREKYGKRYEQPFVEDTTDRREKYGKRYEREKYGKRYEQSFVEDTTDKREQYGIPYEQAFAEDSTDRREKYGKRYELKFNKHALPNSTVFFLPNDLHAGKNMRLHIIKSANKARILPRQVANSLPFSTNKLAEIMKHFSQTVEDCESPGIKGEDRFCPTSLESLVDFSVKHVGNKAQVFMNEIDKPKREQEYTIKEVKFIGGNHVVCHKQKYPYAVYYCHALNGTKVYTAQVVGADGTKAKAVAVCHTNTSAWNPGHLAFLVLNMKPGEGTVCHFIRSDTFVMVSN >Manes.16G098200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30399964:30402968:1 gene:Manes.16G098200.v8.1 transcript:Manes.16G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGVASAFGGEKEPFCESFVSCPPHWKKAAESLQCAHFDEVTQMVSQFANAKTVDIQGTTLTVAQVTAVARRVEVKVCLDEAAARDRVAKSADWVAVNISRGTDTYGVTTGFGATSHRRTSKTGDLQTELIRFLNAGVIGKENLPTSYSKAAMLVRTNTLMQGYSGIRWEILESIAKLMNENLIPKLPLRGTITASGDLVPLSYIAGLLTGRHNSKVETLQGEVITAIAALKRAGIQAPFELQAKEGLALVNGTAVGSAVAATVCFDANVLALLAEILSALFCEVMHGKPEYTDPLTHELKHHPGQIEAAAIMKHLLDESDYMKEAKIRHEKDPLTKPKQDRYAIRTSPQWLGPQIEVIRAATHSIEREINSVNDNPLIDVARDLALHGGNFQGTPIGVSMDNLRIAIAAIGKLMFAQFSELVCDYYNNGLPSNLSGGPNPSLDYGLKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISARKSAEAIEILKLMSATFMVALCQAIDLRHLEENMREVVKQVLRQIVRKTLYISEDGSLLESRFCEKELLQVIDHQPVFSYLDDPTNPSYALLPKLQEVLVKRALKDSKSERRDENGYSVFKRIPIFMEELKAKLGEEVSNARERFDGGEFAIANRIKKCGTYPIYEFVRSEVGTELLSGEKKVSPGEDIEKVNEAINEGKLGDVLLKCLRDWKERAPLF >Manes.13G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12485291:12486478:1 gene:Manes.13G075100.v8.1 transcript:Manes.13G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLINYIKLHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLYSRGIDPQTHRSLNSTAAATTTTTTTATAPLSNSRSSSSNYNNNQNNKNNTNVSQIETQDSLAQFMKAPDCINMNICNSNIKIPTDSSAEESNCSSGVTTEEVCPEINLELYIGLPYQQKPQVSSNFKEKKQANHHHHQQTQQQNLTYGCSGASAKDVCLCCNLGLQSNQTCSCRVIETSFTADSFSRYYKPLNS >Manes.16G098300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30403194:30408100:-1 gene:Manes.16G098300.v8.1 transcript:Manes.16G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKVEVRKKLGEDLGNAKLLSEIETINKALYRDKNPSRTSISQPGNLSKPTGKSQLVDSKLKIKYGNIDSSQKDKKSFWNWKPLKSLSNVRNRKFNCCFSLLVHSIEGFPSSFENLTVCVHWKRRDGELATRPVKVSEGIAEFEEKLTHTCLVYGSRSGPHHSAKYEAKHFLLYASAIGVQELDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFKLTGKAKGAMMNVSFGYTVVGDSPIPLGHNQTVPEMFNLKLNNERTVKSVPKCGQGDGRSTLHRTGSLPDVHASSRTVEDVKDLSDVLPMSKSELVLPVHMLHQKLNEEKLGSSFDYKTEHDVFTEQIDLEESNFFCVPASSQDNVEKECEDGEFPVIERGLELSKEQQEKPDEATVKDDNAFSLEDKVDGSFQISSEEGGELHLKDAGNGSQKEELMVHGCNFKEDEICSKESVMKELEAALSNVTNLESEAFDYPEKKENYMGVKIDYESNRNGTSLSLDDVTESVANEFLDMLGIEHGPLALSSESEPESPRERLLRQFEKDALGGGYSLFDFDVDIEDQIECDINRSTVSEDFGLSSIIQAAENQPMGTRAESAKTRAKMLEDLETEALMNEWGLNEKAFQSSPPENCSSFGSPVDLPPEEPLELPPLGEGLGSLLQTSNGGFLRSMNPSLFRNAKSGGSLIMQVSSPVVVPAEMGPTMKDILQQLASVGIEKLSMQANKLMPLEDITGKTMQQVAWEASASLEGPESQSSLQHELEIGQDIFGWQKNVKERSSALGSNRSKSGTVGDKIGSEYVSLEDLAPLAMDKIEALSFEGLRVQSGMSDENAPSSISAQSIGEISAFQGKGIVVTGSFDLEGAAGLQLLDIGDSGDDIDGLMGLSLTLDEWMQLDSGDIGDGDQISERTSKILAAHHASSLDSIRGGSKGERRRGKGSGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYCKVSEIRNKNEDDDESGSLVKEKEKEKKQKNEGKASEEEGIPQFCITEVQVAGLKTEPGKKKLWGTTSQQQSGSRWLLANGMGKNNKQPLVKSKTISNKPAGPLTTNAKRGDKLWSISSRILGTGAK >Manes.S024516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:333840:334337:1 gene:Manes.S024516.v8.1 transcript:Manes.S024516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRTRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.06G088200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22441429:22444687:1 gene:Manes.06G088200.v8.1 transcript:Manes.06G088200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQLSLSRNVCVIGAGPSGLVSARELRKEGHRVVVLEQKHDVGGQWLYEPNVEKEDPLGRNKFLEVHSSVYASLRLASPREIMGYTDFPFLAKKGRDMRRFPGYRELWLYLKDFCDHFGLREMIRFNTRVEYVGMLDYGDEFKKDLKWVVKSRREEKNGDYKEVDEVFDAVVVATGHYSQPKINPIKGMDTWKRKQMHSHVYRDPEPFRNEVVVVVGNSMSGQDISMELVKVAKEVHLSAKSLEITLGLSKVISNHENLHLRPQIECLEEDGRVVFADGSQVVADTILYCTGYTYSFPFLDTKGIVSLEDGRVGPLYEHTFPPSLAPSLSFVGIPKKIIGFPFFESQAKWISQLLSGKRSLPSWDEMMNAIQEFHQSRDSAGIPKHKTHEIADFEYCDRYADHAGFPHLEEWRKQLCMSALVNAYANLEIYRDSWDDHDLLQEAFQSSHFTQPLGVQDFTV >Manes.14G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9739084:9744991:1 gene:Manes.14G123300.v8.1 transcript:Manes.14G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSDPSGKRRLKGLLQQSDNRACADCGAPDPKWASANIGVFICLKCCGVHRSLGCNVSKVLSVTLDEWSDEEIDAMIEVGGNSAANAIYEAFLPEGVSKPRPDANHAERMKFIRSKYELQEFLKPSLRITSGKPALSVQSSFSRKILDSLRISSTSRNAEEGMVEFIGLLKVTIKSGTNLAVRDMMSSDPYVVLTLGKQTAQTTIMGSNLNPVWNEELMLSVPQDFGPVKLQVFDYDTFSADDIMGEAELDIQPLITSAMAFGDPEQFGNMQIGKWLKSHDNALLEDSIINIVDGKVKQEVSLKLQNVESGELQLQLEWIALDQ >Manes.04G091001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29631755:29632892:-1 gene:Manes.04G091001.v8.1 transcript:Manes.04G091001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASLYAVGRRKKPNIPEVVIHVPPMRSPAQSDLQISLKDGSAISELTRALEEYLSLLIGLTKKENGLEDLVEFNWKSLEDGRHVRLSNSWFELLTVVHMMAILILSVADSLMIPKDHSGSGIRIVSSDCKRDAVDLLLKAAGYLEFCVQEVWFTFQQMSKRFPKGLQDGVLEALSIQALGQGTEVQLGLAVESEKATLSVKRRLA >Manes.15G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9140299:9143488:-1 gene:Manes.15G115100.v8.1 transcript:Manes.15G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCDLSIHVNAQETFFLNEKILSAYSGKLRKIIKQEKRKTQIKNSVIEIDDFPGGPYGFELVSRFCYNHGRIEITVSNVALLHCCAIFLGMTDKVSNCNLLKQTEIFLEGIFDWSWNDILASLKSCECFFTYADSSGLVQKLIYGLLAKITQHSDTNLIASSSSSSSSPETASGFRLSSSKTTPESRKPFSSSGNQWWFNDLALLSPVIIESFIKNWEAYGIHNNSLIITRFILHYLKNRVPRKAVCSRSDYGGLADTAVHGVILAGKSEFSCRGLLLILRSVSRFGVSKDCRAKLEKVIGNILDEATLDDLLISGHERSGIYDVNLVIRLIRIFVNGEMVSIQRMKKVGKLIDKYLSEISPDPNLKTSKFLGVAESLPDSARDCFDGVYKAIDMYLESHPTVPFEERTKICSRCLNYEKLSLEACKELAMNPRIPPFASIQALKSQKSHIPQDHNRNEDMKMNIDIMQRRVMELEKACKEMKGQMSWLVKHNLTTHPFHYNRALPRLC >Manes.10G036336.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3869038:3871115:1 gene:Manes.10G036336.v8.1 transcript:Manes.10G036336.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSESPKSNHKTTSMARKGLSTLFFSSSKTLSSSNSFPSSSVPSSPVVHKFTESMMEENIQIAELIIKKWDSESSSASLFHQRKEAKEFLRCVKDLRRAMHFLVSEHSASDKLVLAQTLMQIAMKRLEKELYQILSANRDQLDPESVSGLSSDGSSNSEDEDEVEFEEEIKLAGESISKVEREATNAMSDLKLIADCMIICGYGKECVKIYKLIRKSIVDEGLYLLGVEKFRPSQIQKMNWEALEHLIKNWLNAVKIAVKTLFTGEKALCDQVFSASQTIRESCFTDITKEAAINLFRFPELVSKSKKTPERITLLTELYEALSNLWPEIEFTFNSESTSAVKLQASSSLQRLGESVRAILSDFESTIQKDSSKTTVPGGGIHPLTRTVTNYISKLADYGGVLSEIFADSSPALPESYFESPHSADGSIPATSVLLARLILVLLCKLDTKAEAYRDVSLSYLFLANNLQFIIEKVCNTNLKLLLGEDWIVKHAKKVRQYAANYAAMAWNKVLSSLPTSPELSPEAAKECFRRFNAAFEEAYKKQISWIVADGKLRDALKVYIAKKLVPAYREFSEKCVVILSGEKNLELLVRFSTDDLGNYLSDLFHGVAISDSSPSSSSRGCIIR >Manes.18G040800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3621787:3625602:-1 gene:Manes.18G040800.v8.1 transcript:Manes.18G040800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLLSNALFLLSFIAILGASSSSGSVVNLLQPSDASALLDFKSKADLNDHLPYSQNTSFHFCQWPGVKCFQQKVVHFVLQGLDLGGVFVPNSLTRLDQLRVLSLQNNSLNGPIPDLSKLLNLKSLFLDHNYFTGSFPPSLHSLHRLRTLDLSHNNLTGPIPTWLTSLDRLYYLRLDWNRFNGTVPPLNQSSLRTFNISYNNFTGAIPVTPTLLRFELSSFLSNPSLCGEIIHKECHPSPPFFGPSSSLQPVSPPPAVALGQSEELHGVDLSEPSPKTKHKKTAVIIGFSSAVFVLIGSLICFVMATRKQRNQKPSTAAVASDGAAAVAAATEAATVMQIDLQENELEEKVKRVQGMHVGKSGSLVFCAGEAQLYTLDQLMRASAELLGRGTMGTTYKAVLDNRLIVCVKRLDGAKLAGTSKDVYEQHMESVGGLRHPNLVPLRAYFQAREERLLIYDYQPNGSLFSLIHGSKSSRAKPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGPDFEACIGDYCLALLATSLPEDDPEALAYKAPESRNSNHQPTSKSDVFSFGILLLELLTGKSPSQLPFLVPNEMVNWVRSTREDDGGEDNRLEMLLEVAISCSLTSPEQRPTMWQVLKMLQEIKESVLMEDSELDQHMGIS >Manes.16G010200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1061910:1065734:-1 gene:Manes.16G010200.v8.1 transcript:Manes.16G010200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRSLHEVFKLFDLIHILTTDHKTVTRITKEVVEDFAYENVVYLELRTTPKKNDSKGMSKRSYMEAVMEGLRAVTVVDVDFALQTSDTRTSMKGLPINDTCHGARRKKIYVRLLLSIDRRETTEAAMETVKLALEMRDLGVVGIDLSGNPIVGEWNTFLPALKFAQEQGLCITLHCGEVPNHQEIQMMLDFLPKRIGHACCFREEDWKKLKSSKIPVEICLTSNIRTNTISSLDIHHFADLYNAKHPVILCTDDSGVFSTSLSKEYSLASSAFGIGRKELFQLARNGIDYIFADDEVKGDLTEIFNSAAKELDL >Manes.16G010200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1061910:1065739:-1 gene:Manes.16G010200.v8.1 transcript:Manes.16G010200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTGNSLFIHLHTDDRSLHEVFKLFDLIHILTTDHKTVTRITKEVVEDFAYENVVYLELRTTPKKNDSKGMSKRSYMEAVMEGLRAVTVVDVDFALQTSDTRTSMKGLPINDTCHGARRKKIYVRLLLSIDRRETTEAAMETVKLALEMRDLGVVGIDLSGNPIVGEWNTFLPALKFAQEQGLCITLHCGEVPNHQEIQMMLDFLPKRIGHACCFREEDWKKLKSSKIPVEICLTSNIRTNTISSLDIHHFADLYNAKHPVILCTDDSGVFSTSLSKEYSLASSAFGIGRKELFQLARNGIDYIFADDEVKGDLTEIFNSAAKELDL >Manes.16G010200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1061910:1065734:-1 gene:Manes.16G010200.v8.1 transcript:Manes.16G010200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAEHSPRRNTVADMEELVSMPKVELHAHLNGSVRDSTLLELARVLGDEGIIVFSDVEHVIMKDDRSLHEVFKLFDLIHILTTDHKTVTRITKEVVEDFAYENVVYLELRTTPKKNDSKGMSKRSYMEAVMEGLRAVTVVDVDFALQTSDTRTSMKGLPINDTCHGARRKKIYVRLLLSIDRRETTEAAMETVKLALEMRDLGVVGIDLSGNPIVGEWNTFLPALKFAQEQGLCITLHCGEVPNHQEIQMMLDFLPKRIGHACCFREEDWKKLKSSKIPVSGERNCFS >Manes.16G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1061910:1065734:-1 gene:Manes.16G010200.v8.1 transcript:Manes.16G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAEHSPRRNTVADMEELVSMPKVELHAHLNGSVRDSTLLELARVLGDEGIIVFSDVEHVIMKDDRSLHEVFKLFDLIHILTTDHKTVTRITKEVVEDFAYENVVYLELRTTPKKNDSKGMSKRSYMEAVMEGLRAVTVVDVDFALQTSDTRTSMKGLPINDTCHGARRKKIYVRLLLSIDRRETTEAAMETVKLALEMRDLGVVGIDLSGNPIVGEWNTFLPALKFAQEQGLCITLHCGEVPNHQEIQMMLDFLPKRIGHACCFREEDWKKLKSSKIPVEICLTSNIRTNTISSLDIHHFADLYNAKHPVILCTDDSGVFSTSLSKEYSLASSAFGIGRKELFQLARNGIDYIFADDEVKGDLTEIFNSAAKELDL >Manes.10G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1276934:1284662:-1 gene:Manes.10G012200.v8.1 transcript:Manes.10G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANYQHHFSDSDSGSGSDPDQSNPESHHGHRHCHTDFSNSIFKSYFEHTTNQSPSSTSPPTPHDLSKIQSFLNSSSSGALSCLICLERIKPSDPTWSCTSLCYDVFHLLCIQSWARQASDLSAYRAATRLPISPDKAAETSTWNCPKCRSVYTKSQIPKTYFCFCGKVENPPNDNPWILPHSCGEVCDRPLKNSCGHYCLLLCHPGPCASCPKLVKAKCFCGKIEDAKRCGFKLFSCNNLCGKLLDCGTHKCKERCHDGDCPPCQARGLYGCYCGRKKEEKKCCERGFQCENPCERLLGCGKHVCERGCHSGECGQCPLQGKRTCPCGKNVYEGMACDVAVPLCGGTCDKMLSCGLHRCHERCHRGGCIETCRLVVMKSCRCGGLKKEVPCYQDLTCERKCQRVRDCGRHACKRRCCDGDCPPCGEICGKRLRCKNHKCPAPCHRGACAPCPLMVTISCACGETHFEVPCGTEMNQKPPRCRKLCGIPPLCRHGSYKKPHRCHYGACPSCQLLCEEEYPCGHTCKLRCHGPRPPPNPEFTLKPKKKKPNHQIECTPGSPCPPCPELVWRSCIGQHLGAERMIVCSSKALFSCDNLCGNPLPCGNHYCTKTCHALISQSQKSLAQCIGEPCEECHLPCDKERKPTCLHHCPLSCHPGECPPCKVLVKRACHCGSMVHVFECIYYNSLSEKEQMSVRSCGGSCHRKLPNCTHLCPEICHPGQCPSPDKCSKKVTVRCQCQTLKKEWPCQDVQAAYHNSGRDPKDISKNHFGIGLLPCNSDCKSKVKVVDQELHLRKSKDLEEKEPDTEKNTSKRRKRRERAKETKQISKFQKLVATMKWFLLLVILVVILVAASYSGYKGLMWLSDWMNEVEEQRLRRSYPRI >Manes.10G083001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19949830:19951737:-1 gene:Manes.10G083001.v8.1 transcript:Manes.10G083001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFNKLSGQIPIEIGSLSTLEYLDFSQNQWEGPIPPYVGNITGLYNLKLSHNLINGEIPPRIGDLTKLYSLNLSHNSISGEIPPTFGNLTQLQSVDLSFNQLSGQIPSEIGSLSRLVYLDLFQNKLEGPIPFSIGNLTNLETFNLSHNSIGGELPPQTVQLQNLAYLDLSYNNLTGTIPDIPYSFLLYDINFSFNNFTGRIPCNLRSLPLDSFIGNKGLDADEINVCASPTAKPKMWKQYAKIYVNITLFLACLISASLLLYRWLKIKNSQPKPKESRNGDIFSVWNYEGRIAFEDVIEATEDFDIKYCIGTGGYGSVYKAQLPSGRVIALKKLHKMEAEEPSFDKCFKNEVKLLTEVRHKNIVKLYGYCLHQRAMFLIYECMEKGSLFYVLRNEDEAAKLGWRKRVNIVKGIAHALSYLHHDCSLPIVHRDISSNNILLNSDWEAIISDFGTARFLYLDSSNQTVLAGTRGYIAPELAYSMMVNEKCDVYSFGVVALEIIMGEHPGELLSLLPSLPSDSNIMLKDVLDSRLLALNNHVVAQSVILVVKLALACLRSNPKSRPTMKQVCRELVVHKPVPLPQLLQQIPLWHLMHQHICILEQN >Manes.01G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32395252:32402488:-1 gene:Manes.01G130700.v8.1 transcript:Manes.01G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTAMACRLINRKSVIGARSMSSWWRSVDPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGKLNMEYLPMGGSVKMVEETLKLAYGENSEFIKDKRIAAVQSLSGTGACRLFADFQKRFSPDSQIYIPVPTWANHHNIWRDAQVPQRTYHYYHPESRGLNFAAMMDDVKNAPNGSFFLLHACAHNPTGVDPTEEEWREISHLFKDKNHFAFFDMAYQGFASGDPERDAKSIRIFLEDGHHIGIAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQQLARPMYSNPPVHGALIVSTILGDPDLKKLWLKEVKVMADRIISMRTALRENLEKLGSPLSWKHVTKQIGMFCYSGMTPEQVDRLTKEYHIYMTRNGRISMAGVTTHNVGYLANAIHEVTKSA >Manes.01G130700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32395252:32402488:-1 gene:Manes.01G130700.v8.1 transcript:Manes.01G130700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTAMACRLINRKSVIGARSMSSWWRSVDPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGKLNMEYLPMGGSVKMVEETLKLAYGENSEFIKDKRIAAVQSLSGTGACRLFADFQKRFSPDSQIYIPVPTWANHHNIWRDAQVPQRTYHYYHPESRGLNFAAMMDDVKDKNHFAFFDMAYQGFASGDPERDAKSIRIFLEDGHHIGIAQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQQLARPMYSNPPVHGALIVSTILGDPDLKKLWLKEVKVMADRIISMRTALRENLEKLGSPLSWKHVTKQIGMFCYSGMTPEQVDRLTKEYHIYMTRNGRISMAGVTTHNVGYLANAIHEVTKSA >Manes.10G142700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31116330:31123891:1 gene:Manes.10G142700.v8.1 transcript:Manes.10G142700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNTDYKESKEKKKKNKPTKDLDAKNNDKIHHEGGGGRKIIADPRFASVHSDPRFQKVTKQKSKVAIDSRFNRMFTDKNFASSSAKLDKRGKPKKHKSESSLRHYYRIEDEEGEDNDDRMKEIEKKLKIVNDKDNSGEEVEEESEEELEKLDLAAEGSESSSQSEASDSEVDAESTTDEEDEEVFYEDDLSEVEVENIPTIEDGTRRLAIVNMDWRHVRAVDLYVILRSFLPKGGEILSLSVYPSEFGLQRMKEEELHGPVGLFDDENKDGDGGSDDDDEIDEEKLRAYEKSRLRYYYGVVECDSVSTAEHLYKACDGVEFERSSNVFDLRFVPDSMEFKHPPRDVATEAPASYEGLDFHTKALQHSNIPISWDEDEPQRVKTLKRKFNADQLAELELKEFLASDESESDEDENDAAIAEGESNKKSKKLDKYRALIQSADGSDVENEDEGQDMEITFNTGLEDISKHILEKRDKKSESVWEAHLREKREKKKARKKRSKYSSEDESSDADEEKEEPDDFFFEEPAIKKGKKECRAKSDKEGKKHQYTDKEAEASRAELELLLADDNGANNGVKGYNLKHKTAKGKKEKQVSDENKIPTGDYDDPRFSALFTSPLFSLDPTDPQFKRSAAYARQMALTQQKGDQLELEGGHKKRPTKSQVPANEPDANIKEQRSSDVLPSKKEKYEISSLVKSLKMKSKQIHLPSNGKTKKEEKFQPRGAKEMEKPELATLVQSVKKKAKAVQK >Manes.10G142700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31116332:31123678:1 gene:Manes.10G142700.v8.1 transcript:Manes.10G142700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNTDYKESKEKKKKNKPTKDLDAKNNDKIHHEGGGGRKIIADPRFASVHSDPRFQKVTKQKSKVAIDSRFNRMFTDKNFASSSAKLDKRGKPKKHKSESSLRHYYRIEDEEGEDNDDRMKEIEKKLKIVNDKDNSGEEVEEESEEELEKLDLAAEGSESSSQSEASDSEVDAESTTDEEDEEVFYEDDLSEVEVENIPTIEDGTRRLAIVNMDWRHVRAVDLYVILRSFLPKGGEILSLSVYPSEFGLQRMKEEELHGPVGLFDDENKDGDGGSDDDDEIDEEKLRAYEKSRLRYYYGVVECDSVSTAEHLYKACDGVEFERSSNVFDLRFVPDSMEFKHPPRDVATEAPASYEGLDFHTKALQHSNIPISWDEDEPQRVKTLKRKFNADQLAELELKEFLASDESESDEDENDAAIAEGESNKKSKKLDKYRALIQSADGSDVENEDEGQDMEITFNTGLEDISKHILEKRDKKSESVWEAHLREKREKKKARKKRSKYSSEDESSDADEEKEEPDDFFFEEPAIKKGKKECRAKSDKEGKKHQYTDKEAEASRAELELLLADDNGANNGVKGYNLKHKTAKGKKEKQVSDENKIPTGDYDDPRFSALFTSPLFSLDPTDPQFKRSAAYARQMALTQQKGDQLELEGGHKKRPTKSQVPANEPDANIKEQRSSDVLPSKKEKYEISSLVKSLKMKSKQIHLPSNGKTKKEEKFQPRGAKEMEKPELATLVQSVKKKAKAVQK >Manes.S004251.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:22672:23484:-1 gene:Manes.S004251.v8.1 transcript:Manes.S004251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G045600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4537390:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADELFSLV >Manes.08G045600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4537390:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADELFSLV >Manes.08G045600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4537390:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADELFSLV >Manes.08G045600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4537422:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADELFSLV >Manes.08G045600.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4537422:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADEVPAFLKDCWELDPALLTSDLLAIG >Manes.08G045600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4537422:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADELFSLV >Manes.08G045600.20.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4537422:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADEVPAFLKDCWELDPALLTSDLLAIG >Manes.08G045600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4537390:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADELFSLV >Manes.08G045600.21.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4539148:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADEVPAFLKDCWELDPALLTSDLLAIG >Manes.08G045600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4537422:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADELFSLV >Manes.08G045600.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4537422:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADEVPAFLKDCWELDPALLTSDLLAIG >Manes.08G045600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4537422:4543302:-1 gene:Manes.08G045600.v8.1 transcript:Manes.08G045600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEPDQKEEALRLKTIAETKYSNSNIKSALKHAKKAHSLCPNLDGLSSLLTALKILRVASKTHSDITDWYKILQVEPFSHINTIKKQYKKLALILHPDKNPYLGCEEAFKLVGEGFRVLSDKIRRKEYDMRLRIKLQEERVNDNTVVETFWTACSRCRLLHQFERRYLGHNLVCPSCKKSFEAVEVEEGDKEDGIGAGVRIRSERLRRKVVIAEGFGNLGSKKKMGNDSRVKPRGGGRVDELNSRGNAVSSEKTGSMGLRSKVGVSQMGDRMGLSANLKVNERGSGAWSGGRLRTGGLRRKMSTVDEVLERSKPKRVKVGADMMTLAEMQLEAKKKSFKEKAKLKEKEKQKDAMKKRTEKKEKLGLLKKLGDTKIKNGGASKKSGEMDLEGVTSDESEDLGIKRRGASEKSVNKKVERPRGLRSGGLEIMAVKDSDFYDFDRDRVERSFKKGQVWALYDDDRIPRHYGLIDEVVSVNPFEVELSWLELQCNGNEKLICWEKMGFYMSCGRFKVSRKTIVNSLNIFSHVVDCERAAREVYRIYPKKGSVWALYNEVDLSAEARNQCARDKQCYEIVVFLTTYSEMHGLSMAYLEKVDGFKTVFKRREVGCHAIRWLENDDVRLLSHQIPARKLSADELFSLV >Manes.03G128501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25559771:25565151:1 gene:Manes.03G128501.v8.1 transcript:Manes.03G128501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPGSGVAPQQMPADQQYQQQPPQQWMMMQQQQQPVPPPSGWTPPPVPPPSQYGVPQPVAQGAASADSSEIRSLWIGDLQQWMDENYVFSIFASTGEVVSAKVIRNKQTGLPEGYGFIEFVSQAAAERTLQTYNGTLMPNSEQNFRLNWATLSAGERRQDDGPDYTIFVGDLATDVNDYLLQETFRNVYTSVKGAKIVTDRVTGRSKGYGFVRFADENEQRRAMVEMNGQYCSTRPMRIGPAATKKPVTQQFQKASFQNTQGNQGENDPNNTTIFVGALDPSVSDDHLRQVFSKYGELVHVKIPAGKRCGFVQFANRACAEQALLMLNGTQLAGQSIRLSWGRSPSNKQAQPDQSQWNGGYYGYAQGYDAYGYASSQDPNMYYGGYPGYGNYQQPATFQQPQQ >Manes.15G018900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1583649:1583825:-1 gene:Manes.15G018900.v8.1 transcript:Manes.15G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKTFMFIRLVSAAGTGFFYVKRKSSKKIAEKLEFRKYDPRVNRHVLFTEAKMK >Manes.16G008300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:820148:824853:-1 gene:Manes.16G008300.v8.1 transcript:Manes.16G008300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKDNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKKIQDQIAERLGLKLDEVNEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHGGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDEKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNQVSQFPDAWDSPNLKILLIDIGKTRLVHLWEEPIYMPATVWTGMKALQVFHRRDNSRKSYRAQYFRFLQIDFSQLSNLRTLMLQYYKIDTTPIGELKMLEILSLKNCEFRKPFNTIGKLTNLRLLDVEFSSLDGVSSSIFPINAMSTLSRLEELYFLSFDILRPTPFPFLLYRRRTKYPFFLFPFLHNFRSFDDLNITVLKTLSRLTTLTIQIQTIPEGFMFPDLKVFKIHWGSRICIRGKEKLINAFLSQVEGFNYLGLCEFGGGSNITISSLVCMKPLMPRTNFLYLDSLEELKNINPCLLLGGLDALKILVIVNCPSFAYLINGEEFLGRYALLPELEGLCFEDLDTFKALCNGELPPGTSLPMRKLKYLTFFRCPELLNIFTLPNPQQEFEQLQVLEEKGMKNISKGPTELLHLPKLQIVCINGCQKLKVIFPAFIAQGLEQLKELVLEDCDELEAIVAEREEEERRIDKVVFSELIRIRLYKLYNLKTFCMDNLPLKWPSLKELSVDSCPKMKTFAASDGNQITPKLKEIKINTNYIMLNGTNLNTIMKYHNKKEIQAMNN >Manes.08G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4968607:4972800:-1 gene:Manes.08G049000.v8.1 transcript:Manes.08G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFFVDDLQNGCGLKYKDCETAIPNQDKTYVIGGSDDESSSSIGVRIYDEATGNWEIPIVLGTKPKPCKSHSAVSLNEDRILIITKGSSADDCIWFLEVDTQYVREQKKILGREVVAWSKGVGGNVHKPVVISGPSGVGKGTLISMLMKEFPTTFGFSVSHTTRASRGMEKDGVHYHFTERSVMEKEIKDGKFLEFASVHGNLYGTSIEAVEVVADAGKRCILDIDVQGARSVRASSLEAIFIFICPPSMEELEKRLRARGTEAEEQILKRLRNAEAEMEQGKSSGIFDHILYNDELEECYENLKKLLGLDGISYNVIPGPQRINLPQDHSVSKIDNKIIINYGTPELEKASKNLIVLDVSSLKGGAPGRTRGLDVYAIDSFSDGLNGINQPS >Manes.15G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9073224:9076974:1 gene:Manes.15G114200.v8.1 transcript:Manes.15G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTSQTSKKTMRTPAGSQGRSSPSGQSVKFARRTSSGRYISLSREDLDMSGEIAGDYMNYTVHIPPTPDNQPMDTSVAVKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESQVTHPQMAGAKGSSCAMPACDGKIMKDERGNDVIPCECRFKICRDCYLDAQKETGLCPGCKENYKVGDYDDDLPDFSSAPLHLPAPSKGENMTMTKRNQTGDFDHNRWLFETRGTYGYGNAFWPQDGDEGDEGGGMVENSDKPWRPLSRRIPMPAAIMSPYRLLIVVRFVVLVFFLHWRVTNPNEDARWLWMMSVVCEIWFAFSWILDQIPKLTPVNRSTDLDLLRDKFELPSPSNPTGRSDLPGIDLFVSTADPEKEPPLVTANTILSILAVDYPVEKVSCYISDDGGALLTFEAMAEACSFADLWVPFCRKHDIEPRNPDTYFSLKVDPTKNKSRLDFVKDRRRIKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMLKHMRESATEPLERIKIQKATWMADGTHWPGTWASPAPEHSKGDHAGILQVMLKPPSPDPLTGGEDDKILDCADVDMRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAVLSNGPFILNLDCDHYINNCKAVREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGQMRALDGVQGPVYVGTGCMFRRFALYGFEPPNPDKYEQKSNDGSETRPLTATDFDPDLDVNLLPKRFGNSTLLAESIPIAEYHGRPLADHPAIKYGRPPGALRMPREPLDATTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLKILQRLAYLNVGIYPFTSTFLIVYCFLPALSLFSGFFIVQSLSIAFLVYLLTITVCLISLAILELRWSGIGLEEWWRNEQFWLISGTSAHLAAVVQGLLKVIAGIEISFTLTAKAAGEDNDDIYAELYLVKWTSLMIPPIVIAMTNIIAIGFAFIRNIYTPTPEWSKFIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLIAITLSLLWIAVSPPRVVTATTEAGGGGFQFP >Manes.10G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29776431:29778740:1 gene:Manes.10G132400.v8.1 transcript:Manes.10G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEIGCSFDPNSMVEGPEDEICQVLQPPPHPPQMAETTTNDLSFEENLKLSTEELQNLKLSKERLSYHHSNFHHQEDDASASMEIHLQNHQQMAFNNTHLMQDSSNQVLTFTSSDLLNLFHLPRSSASSLLPDSSISFTNTTHTTPLGFVEDLPMADTAAASSILYDPLFHLNLPPQPPLFKELFQSLPSHGYSLPASSRGSSLFPGGGDDHVEGSGGGGLYQDGDVEHQFDNGVLDFTWDMACIGKGREAGKMTKHFATERQRRQHLNDKYKALRDLVPNPTKNDRASVVGDAIEYIKELLRTVNELKILVDQKRCARERSKRRKTEDDSTENAGSEICSAMKSLGDHPDHHQSFNNGSLRSSWLQRKSKDTEVDVRIIDDEVTIKLVQRKKINCLLFVSKVVDELKLDLHHVAGGHIGDYYSFLFNTKIYEGSSVYASAIANKLIEVVDRHYYASTPSTSCYIQN >Manes.15G151700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12625345:12628346:-1 gene:Manes.15G151700.v8.1 transcript:Manes.15G151700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSSKKTDDICEDVCGQASPAALSMSRLKCILRGLDVKTCIFLFVVVPLGIFGLCLHGQKISYFLRPLWESPPKPFQIIPHYYHENVSMETLCKLHGWEIRESPRRVFDAVLFSNEVDILRIRWKELYPYITQFVLLESNSTFTGLPKPSLFANNRDKFEFVESRLTYGTIGGRFRKGENPFVEEAYQRVTLDQLIRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDNIPPILHLQLRNYLYSLEYYVDSKSWRASVHNYRSGKTRYAHYRQADILLSDAGWHCSFCFRYISDFIFKMKAYSHYDRVRFSHYLNPKRIQEVICKGTDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLNNADKYKYLLPGNCQREHG >Manes.15G151700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12625345:12628346:-1 gene:Manes.15G151700.v8.1 transcript:Manes.15G151700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSSKKTDDICEDVCGQQASPAALSMSRLKCILRGLDVKTCIFLFVVVPLGIFGLCLHGQKISYFLRPLWESPPKPFQIIPHYYHENVSMETLCKLHGWEIRESPRRVFDAVLFSNEVDILRIRWKELYPYITQFVLLESNSTFTGLPKPSLFANNRDKFEFVESRLTYGTIGGRFRKGENPFVEEAYQRVTLDQLIRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDNIPPILHLQLRNYLYSLEYYVDSKSWRASVHNYRSGKTRYAHYRQADILLSDAGWHCSFCFRYISDFIFKMKAYSHYDRVRFSHYLNPKRIQEVICKGTDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLNNADKYKYLLPGNCQREHG >Manes.15G151700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12625494:12628329:-1 gene:Manes.15G151700.v8.1 transcript:Manes.15G151700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSSKKTDDICEDVCGQQASPAALSMSRLKCILRGLDVKTCIFLFVVVPLGIFGLCLHGQKISYFLRPLWESPPKPFQIIPHYYHENVSMETLCKLHGWEIRESPRRVFDAVLFSNEVDILRIRWKELYPYITQFVLLESNSTFTGLPKPSLFANNRDKFEFVESRLTYGTIGGRFRKGENPFVEEAYQRVTLDQLIRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDNIPPILHLQLRNYLYSLEYYVDSKSWRASVHNYRSGKTRYAHYRQADILLSDAGWHCSFCFRYISDFIFKMKAYSHYDRVRFSHYLNPKRIQEVICKGTDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLNNADKYKYLLPGNCQREHG >Manes.15G151700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12625345:12628346:-1 gene:Manes.15G151700.v8.1 transcript:Manes.15G151700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLKCILRGLDVKTCIFLFVVVPLGIFGLCLHGQKISYFLRPLWESPPKPFQIIPHYYHENVSMETLCKLHGWEIRESPRRVFDAVLFSNEVDILRIRWKELYPYITQFVLLESNSTFTGLPKPSLFANNRDKFEFVESRLTYGTIGGRFRKGENPFVEEAYQRVTLDQLIRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDNIPPILHLQLRNYLYSLEYYVDSKSWRASVHNYRSGKTRYAHYRQADILLSDAGWHCSFCFRYISDFIFKMKAYSHYDRVRFSHYLNPKRIQEVICKGTDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLNNADKYKYLLPGNCQREHG >Manes.15G151700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12625345:12628339:-1 gene:Manes.15G151700.v8.1 transcript:Manes.15G151700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSSKKTDDICEDVCGQASPAALSMSRLKCILRGLDVKTCIFLFVVVPLGIFGLCLHGQKISYFLRPLWESPPKPFQIIPHYYHENVSMETLCKLHGWEIRESPRRVFDAVLFSNEVDILRIRWKELYPYITQFVLLESNSTFTGLPKPSLFANNRDKFEFVESRLTYGTIGGRFRKGENPFVEEAYQRVTLDQLIRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDNIPPILHLQLRNYLYSLEYYVDSKSWRASVHNYRSGKTRYAHYRQADILLSDAGWHCSFCFRYISDFIFKMKAYSHYDRVRFSHYLNPKRIQEVICKGTDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLNNADKYKYLLPGNCQREHG >Manes.15G151700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12625345:12628339:-1 gene:Manes.15G151700.v8.1 transcript:Manes.15G151700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSSKKTDDICEDVCGQQASPAALSMSRLKCILRGLDVKTCIFLFVVVPLGIFGLCLHGQKISYFLRPLWESPPKPFQIIPHYYHENVSMETLCKLHGWEIRESPRRVFDAVLFSNEVDILRIRWKELYPYITQFVLLESNSTFTGLPKPSLFANNRDKFEFVESRLTYGTIGGRFRKGENPFVEEAYQRVTLDQLIRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDNIPPILHLQLRNYLYSLEYYVDSKSWRASVHNYRSGKTRYAHYRQADILLSDAGWHCSFCFRYISDFIFKMKAYSHYDRVRFSHYLNPKRIQEVICKGTDLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLNNADKYKYLLPGNCQREHG >Manes.14G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5055274:5058838:-1 gene:Manes.14G060600.v8.1 transcript:Manes.14G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGFYSDNEFKLDAKWLIDPKQLFVGPKIGEGAHAKVFEGKYKNQTVAIKILHRGETPEEITKREARFAREVAMLSRVQHKNLVKFIGACKDPVMVIVTELLLGGTLRKYLLNMRPRCLDTRVAIGFALDITRAMECLHSHGIIHRDLKPENLLLTADKKTVKLADFGLAREETLTEMMTAETGTYRWMAPELYSTVTLRQGDKKHYNHKVDAYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLAVILTSCWKEDPNSRPNFSQIIQMLFNYLAIIAPSEPAIPPRIFTSENAILPPESPGTSSLMAVRDDCGDTPKAKMENQPKGFFFCFNQCY >Manes.10G014300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1456869:1461488:1 gene:Manes.10G014300.v8.1 transcript:Manes.10G014300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGCFWSCFYIHAVVYLLFFPSTTYAIGGNETDRLALLEFMAKIDADPFGVLSSWNSSVHFCQWYGVTCSRRHQRVTVLDLNSLKLAGTISPHIGNLSFLKVLDLKNNSFRQNIPPEFGRLRRLHTLYLYNNSLSGKIPSNLSRCSDLTILSIVNNHLVGEIPEELGLLSKLQYLSISWNNLSGNIPPSLGNLSSLGTLSAALNNFVGNVPEALGKLRNLTFLGLVMNQLSGTIPSLIFNLSSIKLLDIASNQFEGNLPTDLFISLPKLETMSIAYNQFSGSIPNSVSNASNLLHLQLQGNKLTGKVPSLEKLNLRGFYIDNNLLGNGEFGDLEFICSLTNASILYELFINKNNLGGEIPECIGNLSSNLAILSLHQNQISGKIPDGIGNLGNLESIEAYKNKLSGIIPPSIGNLQNLVKLILDENKISGPIPSSLGNLTSLNRLHSADNDLQGTIPSSLADCKNLQVLDFSRNNLTGTLPPQVIGLSSLSIYVGFAQNNLRGSVPREVENLKNLGILDVSDNKLSGEIPSSLGSCISLEYLYMQGNQFQGPIPSSLSSLRGLQVLNLSYNNLSGQIPEFLAGFSFIYLNLSFNNFEGRVPTDGIFKNASIVSVTGNSKLCGGIPEFQLPACNFKRSEKRRVKVIVGIIAGGLGAILVVLSFIFLLRLRKKSHKPTSSYSENSLLELPKVSYRDLYKATDGFSSVNLIGTGSFGSVYKGILDEGGPVVAVKVLNLQHHGAAKSFMAECEALRNIRHRNLVKILTACSGVDYQGNDFKALVYEYMDNGNLEEWLHPPVSADRNHGESKNLNLLQRVNIAIDVASAIEYLHHHCGNPIVHCDLKPNNVLLDGQMTAHIGDFGLAKFLLENMHNNSTNQFSSIGLRGTIGYAPPEYGLGSEVSTYGDVYSYGVLLLEMFTGKRPTDDMFKEVGIGIACSAELPANRMDINDAAKKLCLIRDKLMAPDEEIYT >Manes.10G014300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1456869:1461488:1 gene:Manes.10G014300.v8.1 transcript:Manes.10G014300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGCFWSCFYIHAVVYLLFFPSTTYAIGGNETDRLALLEFMAKIDADPFGVLSSWNSSVHFCQWYGVTCSRRHQRVTVLDLNSLKLAGTISPHIGNLSFLKVLDLKNNSFRQNIPPEFGRLRRLHTLYLYNNSLSGKIPSNLSRCSDLTILSIVNNHLVGEIPEELGLLSKLQYLSISWNNLSGNIPPSLGNLSSLGTLSAALNNFVGNVPEALGKLRNLTFLGLVMNQLSGTIPSLIFNLSSIKLLDIASNQFEGNLPTDLFISLPKLETMSIAYNQFSGSIPNSVSNASNLLHLQLQGNKLTGKVPSLEKLNLRGFYIDNNLLGNGEFGDLEFICSLTNASILYELFINKNNLGGEIPECIGNLSSNLAILSLHQNQISGKIPDGIGNLGNLESIEAYKNKLSGIIPPSIGNLQNLVKLILDENKISGPIPSSLGNLTSLNRLHSADNDLQGTIPSSLADCKNLQVLDFSRNNLTGTLPPQVIGLSSLSIYVGFAQNNLRGSVPREVENLKNLGILDVSDNKLSGEIPSSLGSCISLEYLYMQGNQFQGPIPSSLSSLRGLQVLNLSYNNLSGQIPEFLAGFSFIYLNLSFNNFEGRVPTDGIFKNASIVSVTGNSKLCGGIPEFQLPACNFKRSEKRRVKVIVGIIAGGLGAILVVLSFIFLLRLRKKSHKPTSSYSENSLLELPKVSYRDLYKATDGFSSVNLIGTGSFGSVYKGILDEGGPVVAVKVLNLQHHGAAKSFMAECEALRNIRHRNLVKILTACSGVDYQGNDFKALVYEYMDNGNLEEWLHPPVSADRNHGESKNLNLLQRVNIAIDVASAIEYLHHHCGNPIVHCDLKPNNVLLDGQMTAHIGDFGLAKFLLENMHNNSTNQFSSIGLRGTIGYAPPEYGLGSEVSTYGDVYSYGVLLLEMFTGKRPTDDMFKEGLNLHKFAESALPNRVNEIVDPILFQESHSDKPMNGRSNRIMMEFLISIVGIGIACSAELPANRMDINDAAKKLCLIRDKLMAPDEEIYT >Manes.10G014300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1456869:1461488:1 gene:Manes.10G014300.v8.1 transcript:Manes.10G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGCFWSCFYIHAVVYLLFFPSTTYAIGGNETDRLALLEFMAKIDADPFGVLSSWNSSVHFCQWYGVTCSRRHQRVTVLDLNSLKLAGTISPHIGNLSFLKVLDLKNNSFRQNIPPEFGRLRRLHTLYLYNNSLSGKIPSNLSRCSDLTILSIVNNHLVGEIPEELGLLSKLQYLSISWNNLSGNIPPSLGNLSSLGTLSAALNNFVGNVPEALGKLRNLTFLGLVMNQLSGTIPSLIFNLSSIKLLDIASNQFEGNLPTDLFISLPKLETMSIAYNQFSGSIPNSVSNASNLLHLQLQGNKLTGKVPSLEKLNLRGFYIDNNLLGNGEFGDLEFICSLTNASILYELFINKNNLGGEIPECIGNLSSNLAILSLHQNQISGKIPDGIGNLGNLESIEAYKNKLSGIIPPSIGNLQNLVKLILDENKISGPIPSSLGNLTSLNRLHSADNDLQGTIPSSLADCKNLQVLDFSRNNLTGTLPPQVIGLSSLSIYVGFAQNNLRGSVPREVENLKNLGILDVSDNKLSGEIPSSLGSCISLEYLYMQGNQFQGPIPSSLSSLRGLQVLNLSYNNLSGQIPEFLAGFSFIYLNLSFNNFEGRVPTDGIFKNASIVSVTGNSKLCGGIPEFQLPACNFKRSEKRRVKVIVGIIAGGLGAILVVLSFIFLLRLRKKSHKPTSSYSENSLLELPKVSYRDLYKATDGFSSVNLIGTGSFGSVYKGILDEGGPVVAVKVLNLQHHGAAKSFMAECEALRNIRHRNLVKILTACSGVDYQGNDFKALVYEYMDNGNLEEWLHPPVSADRNHGESKNLNLLQRVNIAIDVASAIEYLHHHCGNPIVHCDLKPNNVLLDGQMTAHIGDFGLAKFLLENMHNNSTNQFSSIGLRGTIGYAPPEYGLGSEVSTYGDVYSYGVLLLEMFTGKRPTDDMFKEGLNLHKFAESALPNRVNEIVDPILFQESHSDKPMNGRSNRIMMEFLISIVGIGIACSAELPANRMDINDAAKKLCLIRDKLMAPDEEIYT >Manes.01G004650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2182574:2188402:-1 gene:Manes.01G004650.v8.1 transcript:Manes.01G004650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAHYLEILKPLTRTGSSPLSLTTGLHLAFSTRPASSSLHLGPIPFGPSFVPILRPSPEPEVGFIHPPRRPAYTRTERIRGRYAWGRDLIPSYDATNRRYLYIAEIKTLVIGEEEEEKMMMMKFEEEETKEIWVKHYSSNHHILLVGEGDFSFSSSLARAFGSASNIVATSLDPYDILVKSYKNAKSNLENLVKLGASTLHGVDATKMRLHSDLKMKRFDRIVFNFPHAGFHGKEDDILLIEKHKRLVLGFFKNASGMLRGYGEIHITHKTSAPFSHWNIEELAWRCSLSLIECVSFKLEDYPGYNNKRGDSIRCDEPFPLRECSTFKFRFAPALKKNLKVPSYSNFAQERPMLYQDNLTQYWQMDDSVEHRQSPLAFRATSANILHSHFNHASARNERAIIRSHPFQGTSNKMQHHQPTSYCHMDPQTSINLNYSSEETWRQVMYGQISKWMVDIGRSVVSAQGRPLEETGRQWMLYGHRSVETWTSDFERSVLGIPRRTFNGESMNGRSAELKSLVLLYGKHG >Manes.03G052900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5007806:5012083:1 gene:Manes.03G052900.v8.1 transcript:Manes.03G052900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAYIERFIHENDTESRQGDDLSNICQSLDLQGTIDYVATGIAAKDFGGLYSFNPLAIIRPAGADDIAMAVKAACRSPNLTVAARGNGHSINGQAMAEGGLVIDMRATGENHFKIVTMNGEMFADVSGGALWEDVLKRCVNLAPRSWTDYLGLTVGGTLSNAGVSGQAFRYGPQSSNVTELDVVTGKGDILTCSETENSELFFGALGGLGQFGIITRARVKLQSTPDMVRWIRVVYSEFEDFTHDAEWLVNRPDGVSFDYVEGFVFVNSDDPVNGWCTVPLDPSQRFDQTAIPPTAGYVLYCLEVALHYQNSDHRSAVDMVVNSLLGRLRFAKDLKFQVDVAYVEFLLRLKRVEEHARANGTWDSPHPWLNMFISKRDIADFDRMVFKKLLKEGVGGPMLVYPLLRSK >Manes.03G052900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5007806:5012083:1 gene:Manes.03G052900.v8.1 transcript:Manes.03G052900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAYIERFIHENDTESRQGDDLSNICQSLDLQGTIDYVATGIAAKDFGGLYSFNPLAIIRPAGADDIAMAVKAACRSPNLTVAARGNGHSINGQAMAEGGLVIDMRATGENHFKIVTMNGEMFADVSGGALWEDVLKRCVNLAPRSWTDYLGLTVGGTLSNAGVSGQAFRYGPQSSNVTELDVVTGKGDILTCSETENSELFFGALGGLGQFGIITRARVKLQSTPDMVRWIRVVYSEFEDFTHDAEWLVNRPDGVSFDYVEGFVFVNSDDPVNGWCTVPLDPSQRFDQTAIPPTAGYVLYCLEVALHYQNSDHRSAVDMVVNSLLGRLRFAKDLKFQVDVAYVEFLLRLKRVEEHARANGTWDSPHPWLNMFISKRDIADFDRMVFKKLLKEGVGGPMLVYPLLRSKWDDRTSVMIPAEGEIFYIVALLRFTPPYSKDPCVEKLVSQNQEIVQLCMKEGFDFKLYLPHYKSQEDWKRHFGNKWLRFDKNKANFDPMAILAPGQKIFKRINSHS >Manes.03G052900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5007806:5012083:1 gene:Manes.03G052900.v8.1 transcript:Manes.03G052900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAYIERFIHENDTESRQGDDLSNICQSLDLQGTIDYVATGIAAKDFGGLYSFNPLAIIRPAGADDIAMAVKAACRSPNLTVAARGNGHSINGQAMAEGGLVIDMRATGENHFKIVTMNGEMFADVSGGALWEDVLKRCVNLAPRSWTDYLGLTVGGTLSNAGVSGQAFRYGPQSSNVTELDVVTGKGDILTCSETENSELFFGALGGLGQFGIITRARVKLQSTPDMVRWIRVVYSEFEDFTHDAEWLVNRPDGVSFDYVEGFVFVNSDDPVNGWCTVPLDPSQRFDQTAIPPTAGYVLYCLEVALHYQNSDHRSAVDMVVNSLLGRLRFAKDLKFQVDVAYVEFLLRLKRVEEHARANGTWDSPHPWLNMFISKRDIADFDRMVFKKLLKEGVGGPMLVYPLLRSNVVVYQVG >Manes.02G008700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:994557:999802:1 gene:Manes.02G008700.v8.1 transcript:Manes.02G008700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL8 MRAFKGCFSLKQSRKQQGYEDPTTLASETPFTVNEIEALYDLFKKLSSTIINDGLIHKEEFQLALFRNSSKQNLFADRVFDLFDVKRNGVIEFGDFVRSLSIFHPNASEADKIIFAFRLYDLRETGYIERSELKEMVLAVLSESDLTLSNDDVEAIVDKTMLEADLKGDGKIDQEEWKEFVAKNPSLIKNMTLPYLKELTLAFPSFVLNTEVPD >Manes.02G008700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:995122:999803:1 gene:Manes.02G008700.v8.1 transcript:Manes.02G008700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL8 MRAFKGCFSLKQSRKQQGYEDPTTLASETPFTVNEIEALYDLFKKLSSTIINDGLIHKEEFQLALFRNSSKQNLFADRVFDLFDVKRNGVIEFGDFVRSLSIFHPNASEADKIIFAFRLYDLRETGYIERSELKEMVLAVLSESDLTLSNDDVEAIVDKTMLEADLKGDGKIDQEEWKEFVAKNPSLIKNMTLPYLKELTLAFPSFVLNTEVPD >Manes.02G008700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:994727:999802:1 gene:Manes.02G008700.v8.1 transcript:Manes.02G008700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL8 MRAFKGCFSLKQSRKQQGYEDPTTLASETPFTVNEIEALYDLFKKLSSTIINDGLIHKEEFQLALFRNSSKQNLFADRVFDLFDVKRNGVIEFGDFVRSLSIFHPNASEADKIIFAFRLYDLRETGYIERSELKEMVLAVLSESDLTLSNDDVEAIVDKTMLEADLKGDGKIDQEEWKEFVAKNPSLIKNMTLPYLKELTLAFPSFVLNTEVPD >Manes.02G008700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:994515:999809:1 gene:Manes.02G008700.v8.1 transcript:Manes.02G008700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL8 MRAFKGCFSLKQSRKQQGYEDPTTLASETPFTVNEIEALYDLFKKLSSTIINDGLIHKEEFQLALFRNSSKQNLFADRVFDLFDVKRNGVIEFGDFVRSLSIFHPNASEADKIIFAFRLYDLRETGYIERSELKEMVLAVLSESDLTLSNDDVEAIVDKTMLEADLKGDGKIDQEEWKEFVAKNPSLIKNMTLPYLKELTLAFPSFVLNTEVPD >Manes.07G052600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6251729:6254766:1 gene:Manes.07G052600.v8.1 transcript:Manes.07G052600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLQLLAIFNGFLFMEINGYNLVDQEPEVQCKESEREALLGFKKGFRNHFSYFSSWKPEEDCCQWKRVECNHKTGHVIALNLRGNKENVSEQLQGEINRSLLHLPYLSFLDLSQNDFNQIQIPEFFGSLSSLNYLNLSNSKFKGTIPDQLGNLSSLHELDLSANGFSPKSNNLDWVRGLSSLRVLDLGEVDLSNACNWLEAINMLPFLRELRLFACKLHKLPESLPHVNFTSLEILDLSLNSFNCSIPSWLFKISHSLVELQLRRNQLHGLIPDAFGNMTSLVELDFSENNLQGHVPKSLAKLSRLIVLNFAWNSLEGTVSHVQLLNLSNLRVLELSSNQLAFNVSSNWIPTFQLEIVGLSCCHLGLQFPKWLRTQKSFSFIDISNSGISDIVPNWFWNLSPGVRCMNLSFNGLRGNVPDLSLKSELSIIDLTWNRFSGPVPLFSPKMRVVILSRNLFSGEISNLCEMMSENNSLSYLALSNNLLSGPIPDCWTRGQDLQVLNLASNNLSGEIPGSIGSLVQLKLLILENNSLHGEIPSALKSCTSLLVLHLGLNNLCGGVPEWIGEICLLMVLGLNSNALEGFIPLQLCQLKALKFLNLSSNHLSGQIPQCVDTLYAMTETGSGCSYTHGLSTLTNGTIKKTQLLFPLVFPIVKRLDLSSNNFSGEIPPQVMKLIGLVGLNLSRNRLTGTIPPNIGGMEALGSLDVCPGMNFHAPFQTAY >Manes.17G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20983983:20991113:1 gene:Manes.17G037500.v8.1 transcript:Manes.17G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPVITRSYLTAAIVTTIGCSLDIISPHNLYLHPTLVVKHYQFWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLLGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPSFIKSLFADEAVVVARPANVRFAPPPAEEVHQD >Manes.05G124900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22925585:22931068:-1 gene:Manes.05G124900.v8.1 transcript:Manes.05G124900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNYCVLAALRFMEIDTVAIIGPQSSVVAHIISHVVNELRVPLLSFAATDPTLNSLQFPFFVRTTQSDLYQMAAIAELVDYYGWKQVTAIFIDDDYGRNGIAALSDKLAERRCKISYKVGIPPDTDFSQGDIMDILVKVALMESRVVVLHVNPNLGFRTFSVAKYLGMMGNGYVWIATDWLSSYLDSFSPLSSETMNTMQGVLTLRHYTPDSDRKKSFFSRWSKMTGGSLGLNAYGLYAYDSVWLVAHAIDAFLDQGGVISFSKDPRLISAEGGELHLDAMNIFDSGTFLLKNILKSDLIGLTGPLKFDSDRSLILPAYDIINVIGTGYRRIGYWSNYSGLSTVPPETLYMRPPNRSSANQHLYSVIWPGDTVLKPRGWVFPNNGKQLRVGVPIRVSFKEFVTRVPGTDMFKGFCVDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAAIGDIAIVTNRTKIVDFTQPYVASGLVVVAPFRKLKSGSWSFLRPFSPLMWTVTGCFFIVIGTVVWILEHRINDEFRGPPKRQIITVLWFSLSTLFFSHRENTLSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLFSPISGIESLKEGDEPIGYQVGSFVEHYLSEELGISKSRLVALGSPEAYAKALKIGPKKKGGVAAVVDELAYVEHFLSSQCTYRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALSESGDLQRIHDKWLMHSSCSSDTTELESNRLELKSFWGLFLICGLACFLALCIYFLQIIRQLNRDDPTECTSPVQGSSHSGRIHRLLSLMDEKKDMSRQKNKRKVERSFSESDKDAELGRNTKRKGMEINSSS >Manes.05G124900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22925585:22930180:-1 gene:Manes.05G124900.v8.1 transcript:Manes.05G124900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVLVLVFFAFCGLFPNGYGRNVTSRPAVVNIGAIFSFDSTIGKVAKIAIAEAVKDVNANSSILHGTKLVVTMHSSNCSGFTGMAEALRFMEIDTVAIIGPQSSVVAHIISHVVNELRVPLLSFAATDPTLNSLQFPFFVRTTQSDLYQMAAIAELVDYYGWKQVTAIFIDDDYGRNGIAALSDKLAERRCKISYKVGIPPDTDFSQGDIMDILVKVALMESRVVVLHVNPNLGFRTFSVAKYLGMMGNGYVWIATDWLSSYLDSFSPLSSETMNTMQGVLTLRHYTPDSDRKKSFFSRWSKMTGGSLGLNAYGLYAYDSVWLVAHAIDAFLDQGGVISFSKDPRLISAEGGELHLDAMNIFDSGTFLLKNILKSDLIGLTGPLKFDSDRSLILPAYDIINVIGTGYRRIGYWSNYSGLSTVPPETLYMRPPNRSSANQHLYSVIWPGDTVLKPRGWVFPNNGKQLRVGVPIRVSFKEFVTRVPGTDMFKGFCVDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAAIGDIAIVTNRTKIVDFTQPYVASGLVVVAPFRKLKSGSWSFLRPFSPLMWTVTGCFFIVIGTVVWILEHRINDEFRGPPKRQIITVLWFSLSTLFFSHRENTLSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLFSPISGIESLKEGDEPIGYQVGSFVEHYLSEELGISKSRLVALGSPEAYAKALKIGPKKKGGVAAVVDELAYVEHFLSSQCTYRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALSESGDLQRIHDKWLMHSSCSSDTTELESNRLELKSFWGLFLICGLACFLALCIYFLQIIRQLNRDDPTECTSPVQGSSHSGRIHRLLSLMDEKKDMSRQKNKRKVERSFSESDKDAELGRNTKRKGMEINSSS >Manes.05G124900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22925585:22931068:-1 gene:Manes.05G124900.v8.1 transcript:Manes.05G124900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVLVLVFFAFCGLFPNGYGRNVTSRPAVVNIGAIFSFDSTIGKVAKIAIAEAVKDVNANSSILHGTKLVVTMHSSNCSGFTGMAEALRFMEIDTVAIIGPQSSVVAHIISHVVNELRVPLLSFAATDPTLNSLQFPFFVRTTQSDLYQMAAIAELVDYYGWKQVTAIFIDDDYGRNGIAALSDKLAERRCKISYKVGIPPDTDFSQGDIMDILVKVALMESRVVVLHVNPNLGFRTFSVAKYLGMMGNGYVWIATDWLSSYLDSFSPLSSETMNTMQGVLTLRHYTPDSDRKKSFFSRWSKMTGGSLGLNAYGLYAYDSVWLVAHAIDAFLDQGGVISFSKDPRLISAEGGELHLDAMNIFDSGTFLLKNILKSDLIGLTGPLKFDSDRSLILPAYDIINVIGTGYRRIGYWSNYSGLSTVPPETLYMRPPNRSSANQHLYSVIWPGDTVLKPRGWVFPNNGKQLRVGVPIRVSFKEFVTRVPGTDMFKGFCVDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAAIGDIAIVTNRTKIVDFTQPYVASGLVVVAPFRKLKSGSWSFLRPFSPLMWTVTGCFFIVIGTVVWILEHRINDEFRGPPKRQIITVLWFSLSTLFFSHRENTLSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLFSPISGIESLKEGDEPIGYQVGSFVEHYLSEELGISKSRLVALGSPEAYAKALKIGPKKKGGVAAVVDELAYVEHFLSSQCTYRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALSESGDLQRIHDKWLMHSSCSSDTTELESNRLELKSFWGLFLICGLACFLALCIYFLQIIRQLNRDDPTECTSPVQGSSHSGRIHRLLSLMDEKKDMSRQKNKRKVERSFSESDKDAELGRNTKRKGMEINSSS >Manes.05G124900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22925585:22931068:-1 gene:Manes.05G124900.v8.1 transcript:Manes.05G124900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVLVLVFFAFCGLFPNGYGRNVTSRPAVVNIGAIFSFDSTIGKVAKIAIAEAVKDVNANSSILHGTKLVVTMHSSNCSGFTGMAEALRFMEIDTVAIIGPQSSVVAHIISHVVNELRVPLLSFAATDPTLNSLQFPFFVRTTQSDLYQMAAIAELVDYYGWKQVTAIFIDDDYGRNGIAALSDKLAERRCKISYKVGIPPDTDFSQGDIMDILVKVALMESRVVVLHVNPNLGFRTFSVAKYLGMMGNGYVWIATDWLSSYLDSFSPLSSETMNTMQGVLTLRHYTPDSDRKKSFFSRWSKMTGGSLGLNAYGLYAYDSVWLVAHAIDAFLDQGGVISFSKDPRLISAEGGELHLDAMNIFDSGTFLLKNILKSDLIGLTGPLKFDSDRSLILPAYDIINVIGTGYRRIGYWSNYSGLSTVPPETLYMRPPNRSSANQHLYSVIWPGDTVLKPRGWVFPNNGKQLRVGVPIRVSFKEFVTRVPGTDMFKGFCVDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAAIGDIAIVTNRTKIVDFTQPYVASGLVVVAPFRKLKSGSWSFLRPFSPLMWTVTGCFFIVIGTVVWILEHRINDEFRGPPKRQIITVLWFSLSTLFFSHRENTLSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLFSPISGIESLKEGDEPIGYQVGSFVEHYLSEELGISKSRLVALGSPEAYAKALKIGPKKKGGVAAVVDELAYVEHFLSSQCTYRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALSESGDLQRIHDKWLMHSSCSSDTTELESNRLELKSFWGLFLICGLACFLALCIYFLQIIRQLNRDDPTECTSPVQGSSHSGRIHRLLSLMDEKKDMSRQKNKRKVERSFSESDKDAELGRNTKRKGMEINSSS >Manes.05G124900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22925435:22931068:-1 gene:Manes.05G124900.v8.1 transcript:Manes.05G124900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSFQVRTTQSDLYQMAAIAELVDYYGWKQVTAIFIDDDYGRNGIAALSDKLAERRCKISYKVGIPPDTDFSQGDIMDILVKVALMESRVVVLHVNPNLGFRTFSVAKYLGMMGNGYVWIATDWLSSYLDSFSPLSSETMNTMQGVLTLRHYTPDSDRKKSFFSRWSKMTGGSLGLNAYGLYAYDSVWLVAHAIDAFLDQGGVISFSKDPRLISAEGGELHLDAMNIFDSGTFLLKNILKSDLIGLTGPLKFDSDRSLILPAYDIINVIGTGYRRIGYWSNYSGLSTVPPETLYMRPPNRSSANQHLYSVIWPGDTVLKPRGWVFPNNGKQLRVGVPIRVSFKEFVTRVPGTDMFKGFCVDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAAIGDIAIVTNRTKIVDFTQPYVASGLVVVAPFRKLKSGSWSFLRPFSPLMWTVTGCFFIVIGTVVWILEHRINDEFRGPPKRQIITVLWFSLSTLFFSHRENTLSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLFSPISGIESLKEGDEPIGYQVGSFVEHYLSEELGISKSRLVALGSPEAYAKALKIGPKKKGGVAAVVDELAYVEHFLSSQCTYRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALSESGDLQRIHDKWLMHSSCSSDTTELESNRLELKSFWGLFLICGLACFLALCIYFLQIIRQLNRDDPTECTSPVQGSSHSGRIHRLLSLMDEKKDMSRQKNKRKVERSFSESDKDAELGRNTKRKGMEINSSS >Manes.05G124900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22925585:22930180:-1 gene:Manes.05G124900.v8.1 transcript:Manes.05G124900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAELVDYYGWKQVTAIFIDDDYGRNGIAALSDKLAERRCKISYKVGIPPDTDFSQGDIMDILVKVALMESRVVVLHVNPNLGFRTFSVAKYLGMMGNGYVWIATDWLSSYLDSFSPLSSETMNTMQGVLTLRHYTPDSDRKKSFFSRWSKMTGGSLGLNAYGLYAYDSVWLVAHAIDAFLDQGGVISFSKDPRLISAEGGELHLDAMNIFDSGTFLLKNILKSDLIGLTGPLKFDSDRSLILPAYDIINVIGTGYRRIGYWSNYSGLSTVPPETLYMRPPNRSSANQHLYSVIWPGDTVLKPRGWVFPNNGKQLRVGVPIRVSFKEFVTRVPGTDMFKGFCVDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAAIGDIAIVTNRTKIVDFTQPYVASGLVVVAPFRKLKSGSWSFLRPFSPLMWTVTGCFFIVIGTVVWILEHRINDEFRGPPKRQIITVLWFSLSTLFFSHRENTLSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLFSPISGIESLKEGDEPIGYQVGSFVEHYLSEELGISKSRLVALGSPEAYAKALKIGPKKKGGVAAVVDELAYVEHFLSSQCTYRIVGQEFTKSGWGFAFPRDSPLAVDMSTAILALSESGDLQRIHDKWLMHSSCSSDTTELESNRLELKSFWGLFLICGLACFLALCIYFLQIIRQLNRDDPTECTSPVQGSSHSGRIHRLLSLMDEKKDMSRQKNKRKVERSFSESDKDAELGRNTKRKGMEINSSS >Manes.09G176900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36734150:36738846:-1 gene:Manes.09G176900.v8.1 transcript:Manes.09G176900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYRLDPTISCSENIVTVQNFPARNLFTASSSSSTATTTATHEFYTSVGNLLQFQAAGHAQDFESNMVHLIKTQIANHPRYPDLVSAYIECQKVGAPPEMASLLEEISREKYSIKSCSSQIGADPELDEFMESYCELLYRYKEELSRPFDEATTFLSNIESQLSNLCKGTLTKTFDYYGSDEAAGTSEEELSCGEVEASESQESSGAGRGRDQDLKGMLLRKYSGYLSSLRKEFLKTKKKGKLPKDARMILLDWWSNHYRWPYPTEEEKVKLSEITGLDQKQINNWFINQRKRHWKPSEDMRFALMEGVSSSSSLGGHSFFDNGGGGRED >Manes.09G176900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36733007:36738832:-1 gene:Manes.09G176900.v8.1 transcript:Manes.09G176900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYRLDPTISCSENIVTVQNFPARNLFTASSSSSTATTTATHEFYTSVGNLLQFQAAGHAQDFESNMVHLIKTQIANHPRYPDLVSAYIECQKVGAPPEMASLLEEISREKYSIKSCSSQIGADPELDEFMESYCELLYRYKEELSRPFDEATTFLSNIESQLSNLCKGTLTKTFDYYGSDEAAGTSEEELSCGEVEASESQESSGAGRGRDQDLKGMLLRKYSGYLSSLRKEFLKTKKKGKLPKDARMILLDWWSNHYRWPYPTEEEKVKLSEITGLDQKQINNWFINQRKRHWKPSEDMRFALMEGVSSSSSLGGHSFFDNGGGGNLVNRMLLDN >Manes.05G166500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27860261:27861356:-1 gene:Manes.05G166500.v8.1 transcript:Manes.05G166500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVSKAQPTLSEAENNTNSNNNSREHEDDPEIGSSVPVTSHVLLKPAHSNQTLNKEVVLRRIRQRKRHNKVRAAVQGFFGLRDSSKTDDRVSVKWVDDAFAAL >Manes.14G106166.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8945153:8946293:-1 gene:Manes.14G106166.v8.1 transcript:Manes.14G106166.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLEMVGRRKNICSVEDDNTSEIYFPDGIYKSLEQGEKLGFLGIDDEDENQIARKMILVGLWCIQTNPLNRPSMCRVVEMLQGSITSLQMPPRPTLVSPLRSFPQTLINSSATATTGITV >Manes.08G043900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4346471:4348712:1 gene:Manes.08G043900.v8.1 transcript:Manes.08G043900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGDTSKPFEFLHHTPKVSAKDLGFCMGLPTGFTASPRSHDKVVDTNLHGEAAATGVSSDPPVQLDLLPFSPVPRRLPPSQTPFPWLSDNLVSEPGSTDGSGRGFDVNRLSAEEAEEGAAMSSPNSAVSSFQMDFGIRSRRYKRDMEAIDAERASSRASDDEENGLTRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATTNTSPSAAATTAAPNKHNPVATTAAATIPSSAATTNTNSSSLSLAKPRLSPFTAKIHMPQTQDHQAAS >Manes.02G028700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2523869:2526732:1 gene:Manes.02G028700.v8.1 transcript:Manes.02G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCNVLYINVHPSREQDHNHQLMDSYTIAIFTLFLLICFHGIFGLKERKKLPPGPRGLPIIGNLFAIGDRPHESLAKLAKIHGPLMTIQLGFNTTVVATSAEMAKEILLKNDQAFLGRPIPHAVTAEHNYELSVAWLSGGPKWRSLRKICNSQIFTPQRLDALQGLRNQMMNGMVKAITQASEAKEPINIGRLVFGTTLNLLSNSMFCVDILDSKSNAIEELKQLIGKIMELSGKPNLSDFFPFLKPLDPQGIKREIKISYDHMHSLLDGIVGQRLKRRKSSSERYGDFLDILLDQSQVSSGSEELSREDVIILLADLFIGGTDTTTTTMEWAMAELLHNPHIMAKAKQELVETIGIRKTIEEKDVIKLPYLQSVIKETMRLHTTAPLLLPHRAEMDVEICGYTIPKHTQVFVNAWALARDPQYWDDPTEFVPERFMKSEVDFRGTNFYFVPFSSGRRICPGLALAIRMMCSLLASLILHFEWQLPSGMTPKAMDMTDRFGTTLQKATPLFAIPLVVDDKY >Manes.16G069200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26490799:26494225:1 gene:Manes.16G069200.v8.1 transcript:Manes.16G069200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPIDPDSQFLASSPEAESQLSSLLSDLSQQVQTAMGNMLKMIVEIDQNSTGIMEDIGKCKDSALERKKILGEEKERFQKAAYTVLDMLNNRC >Manes.16G069200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26490799:26498783:1 gene:Manes.16G069200.v8.1 transcript:Manes.16G069200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPIDPDSQFLASSPEAESQLSSLLSDLSQQVQTAMGNMLKMIVEIDQNSTGIMEDIGKCKDSALERKKILGEEKERFQKAAYTVLDMLNNRC >Manes.16G069200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26490966:26492122:1 gene:Manes.16G069200.v8.1 transcript:Manes.16G069200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPIDPDSQFLASSPEAESQLSSLLSDLSQQVQTAMGNMLKMIVEIDQNSTGIMEDIGKCKDSALERKKILGEEKERFQKAAYTVLDMLNNRC >Manes.18G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4054300:4056451:1 gene:Manes.18G046100.v8.1 transcript:Manes.18G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLLEALNVRVLGSGEKFLVLAHGVGTDQSAWQRILPFFTHNYSVILYDLVCAGSVNPDYFDFRRYTTLDAYVDDLLNILDALRVDRCAYVGHSVSAMIGLLASIRRPELFSKLILVGASPRFLNDNDYHGGFERPDIENVFAAMEANYEAWVNGFAPLAVGADVPAAVREFSRTLFNMRPDITLFVSRTVFNSDLRGILGLVKVPCCIIQTAKDVSVPASVAEYLKNHLGGRSTVEILRTEGHLPHLSAPALLAQVLRRALSR >Manes.11G095700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19043156:19046364:-1 gene:Manes.11G095700.v8.1 transcript:Manes.11G095700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSKNCTQQASSLLGFSVFLLLFCPFSAFSYDGPLYDYTAYTECKGVPEQPLYNGGILKDEAPVFKPVVIDDASIIYTTPAFILHNLTPAIYCFSIWVKIQGAESSLVTASLTTDNATYNCVGTVLARSGCWSFLKGGFILDSPSFLSILYFQNSANKDIEITIASASLQPFTYHQWRINQQYIVNTVRKRAVTIHVSDKHGNKLKGAAITIEQISKDFPIGSAIAKTILGNLPYQNWFVERFNAAVFENELKWYATEPDQGKLNYSISDQMLEFIRANQIVARGHNIFWEDPKYNPAWVRNLSAHRADPLATLFMNEFNVVETCSDANSTVDTYISRLRDLELGGIFMDGIGLESHFSEPNLPLMRGILDKLATLGLPIWLTEVDISSKFDHQTQAIYLEQVLREGFSHPAVNGIILWTALHPNGCYQMCLTDNNLKNLPAGDVVDKLLQEWKTGEQNGQTDDHGSYSFYGYLGEYRISVAYGNRTANSTFSLCRSDETKHFNIQL >Manes.11G095700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19043156:19046365:-1 gene:Manes.11G095700.v8.1 transcript:Manes.11G095700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSKNCTQQASSLLGFSVFLLLFCPFSAFSYDGPLYDYTAYTECKGVPEQPLYNGGILKDEAPVFKPVVIDDASIIYTTPAFILHNLTPAIYCFSIWVKIQGAESSLVTASLTTDNATYNCVGTVLARSGCWSFLKGGFILDSPSFLSILYFQNSANKDIEITIASASLQPFTYHQWRINQQYIVNTVRKRAVTIHVSDKHGNKLKGAAITIEQISKDFPIGSAIAKTILGNLPYQNWFVERFNAAVFENELKWYATEPDQGKLNYSISDQMLEFIRANQIVARGHNIFWEDPKYNPAWVRNLSGDALKSAVNSRIESLMSKYKEEFIHWDVSNEMLHFDFYEQRLGPDATLHFYETAHRADPLATLFMNEFNVVETCSDANSTVDTYISRLRDLELGGIFMDGIGLESHFSEPNLPLMRGILDKLATLGLPIWLTEVDISSKFDHQTQTIILKTYQQEMLWTSYYRNGKLGSKMARQMTMVHTASMDT >Manes.11G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19043156:19046364:-1 gene:Manes.11G095700.v8.1 transcript:Manes.11G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSKNCTQQASSLLGFSVFLLLFCPFSAFSYDGPLYDYTAYTECKGVPEQPLYNGGILKDEAPVFKPVVIDDASIIYTTPAFILHNLTPAIYCFSIWVKIQGAESSLVTASLTTDNATYNCVGTVLARSGCWSFLKGGFILDSPSFLSILYFQNSANKDIEITIASASLQPFTYHQWRINQQYIVNTVRKRAVTIHVSDKHGNKLKGAAITIEQISKDFPIGSAIAKTILGNLPYQNWFVERFNAAVFENELKWYATEPDQGKLNYSISDQMLEFIRANQIVARGHNIFWEDPKYNPAWVRNLSGDALKSAVNSRIESLMSKYKEEFIHWDVSNEMLHFDFYEQRLGPDATLHFYETAHRADPLATLFMNEFNVVETCSDANSTVDTYISRLRDLELGGIFMDGIGLESHFSEPNLPLMRGILDKLATLGLPIWLTEVDISSKFDHQTQAIYLEQVLREGFSHPAVNGIILWTALHPNGCYQMCLTDNNLKNLPAGDVVDKLLQEWKTGEQNGQTDDHGSYSFYGYLGEYRISVAYGNRTANSTFSLCRSDETKHFNIQL >Manes.18G013200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1478836:1479713:-1 gene:Manes.18G013200.v8.1 transcript:Manes.18G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPKVFFDMTVGGAPAGRIVMELFADTTPRTAENFRALCTGEKGIGRSRKPLHYKGSSFHRVIPGFMCQGGDFTAGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFVCTAKTEWLDGKHVVFGKVVEGMDVVKAIEKVGSSSGRTSKAVVIADCGQLA >Manes.04G165000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35810503:35815619:1 gene:Manes.04G165000.v8.1 transcript:Manes.04G165000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDGREIENRLKQGKNITKKIEEFRGRSTVKKHGKECLLNDSTGEGFLKITLLEEKVQETSHEIRRLQGEDMLKEKELPVAFATFKSRCGAALAAQSQQHPHPLIMITEMAPEPRDVSWRSLAIMHKFLPLYKIGIIVLAALLTIFFAVPVTAVQGIAKFEKLKKWFPPAMAIELIPGVSSILTGYLPSAFLRGFLYIVPFTILGMSQIGGSISKSKAEIKACNMVFCFLVGNVFFLSLISGSLLDEIGESVSHPKNYPSHLASAVSAQADFFMTYILTGGLSGFSLEILQPGMFLLDSILLHICGRGKDENPYLFSLPYFRIIPSVSLSILIGMVYAVVSPLLLPLLVGYFCLGYIVYVNQIEDVYESVYETCGRYWPYIHHYIFIAIILMQITMIGLFGLKSKPAASIATIPLLLMTIAFNEYCKIRFLPTFCRFTIQDAGKHDELDQKNGQLEVNYEQASNAYRQPSLQPVNWTASDSSFTLPLVSPL >Manes.15G152100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12648121:12654498:1 gene:Manes.15G152100.v8.1 transcript:Manes.15G152100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDIAGILESSRELDRLRKEQEEVLLEINKMHKKLNATPEVVEKPGDNSLSRLKILYTQAKDLSENEVTVSNNLLNLLDALLPSGPPGQQRRRIEGSDQKRKRMKNESDISRLSPAMRNQLEACASLKGEQVAARVTGNNAEKDEWFVVKVMQFDRETKEFEVLDEEPGDDEEGGGQRKYKLPMSCIIPFPKKNDPSSVPDFPPGRHVLAVYPGTTALYKATVVSPPRKRKTDE >Manes.15G152100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12648121:12654497:1 gene:Manes.15G152100.v8.1 transcript:Manes.15G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDIAGILESSRELDRLRKEQEEVLLEINKMHKKLNATPEVVEKPGDNSLSRLKILYTQAKDLSENEVTVSNNLLNLLDALLPSGPPGQQRRRIEGSDQKRKRMKNESDISRLSPAMRNQLEACASLKGEQVAARVTGNNAEKDEWFVVKVMQFDRETKEFEVLDEEPGDDEEGGGQRKYKLPMSCIIPFPKKNDPSSVPDFPPGRHVLAVYPGTTALYKATVVSPPRKRKTDEYLLEFDDDEEDGALPQRAVPFYNVVALPEGHRQ >Manes.04G040780.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7008801:7010126:1 gene:Manes.04G040780.v8.1 transcript:Manes.04G040780.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKGKEVEKRISLRSPIIKPCNISLLPSGQHSPRPIYTNTSSIITRPMNPISSALISTNLRPSFASTNRFSPLLSAPIPPSTFKQAVTGPTILSPSSSNPLPTQEPTQTEYSYKSIDEYILTIEPEYWAQNPNLNIYQLCSTIFPRNHYYIPDNFQKSQQFYETILINTCSIVIHNNYDPQNPNKLRYCKVRILKIWTLTDWGLEPHKMREMIMTIGQIKQNIKYNYYDYQIAWERTFFKQNEQLSVSFFFFFDDNFSYPLPYWFYQWWNKFGICEINIPSQITIAKEQFFERQQLPETITLAPSWLVYSHHFHIPWILMIEYQIKDQTIDIFQVPTLVRKFKTKWWNKTNLEGCGSKAIEQFFQDHPQFCKKSSIAIITRQETFLARKQQIMSQMAACTSEEEYDQLINELNEVRSSAASPSPISLDNDNADFFTQAEM >Manes.10G007500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:888925:891989:-1 gene:Manes.10G007500.v8.1 transcript:Manes.10G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAIPISSPQSQSQSQPPIATPAFRAFISRLSSSIRHGLSQRRPWYELIDRSSMARPDSLSEAASRIRKNLSYFKVNYISFIAVVLAFSLLSHPFSLLVLLCLLGGWIFLYLFRPSDQPVVILGRTFSDRETLGVLVVLTIVVVFLTSVGSLLISALMVGLAIVCAHGAFRVPEDLFLDDQEPANAGFLSFLGGAASSAAAAAAPAVASRV >Manes.12G056300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5862817:5869492:1 gene:Manes.12G056300.v8.1 transcript:Manes.12G056300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSGSTSSPPKCTSEKPVVVRVKRKSNQSRLDAFWLEINERPLKRPLLDFEKLSISCESVHGKEELKTKKVFVQHVETVNSTEATIDILQSFVPNSTDAVEVIPKSKERKQIFRRDNKQQQLLSKARKNQEILAQNARFEQIWRSRRGNKETSHDKELRDMCHFYDIVRVDVEERSNELQDEVMSLEDQRILSSYLPLLREFIPSAAAQIETDVRDYMSKQDDYVYDYYTIRDDMDIGDEDSLSPFPLVQVEDEDFYDGLDDESEYESDDSNAEDHPRNDYPDEISEEEDEVEEESEASSGELEDADDTSSTSSEFEESRHGLSEDAVQYEDGIYDDEDAFDYEDDDNFDYDDNDGDDDGNAWR >Manes.12G056300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5862817:5869492:1 gene:Manes.12G056300.v8.1 transcript:Manes.12G056300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSGSTSSPPKCTSEKPVVVRVKRKSNQSRLDAFWLEINERPLKRPLLDFEKLSISCESVHGKVEELKTKKVFVQHVETVNSTEATIDILQSFVPNSTDAVEVIPKSKERKQIFRRDNKQQQLLSKARKNQEILAQNARFEQIWRSRRGNKETSHDKELRDMCHFYDIVRVDVEERSNELQDEVMSLEDQRILSSYLPLLREFIPSAAAQIETDVRDYMSKQDDYVYDYYTIRDDMDIGDEDSLSPFPLVQVEDEDFYDGLDDESEYESDDSNAEDHPRNDYPDEISEEEDEVEEESEASSGELEDADDTSSTSSEFEESRHGLSEDAVQYEDGIYDDEDAFDYEDDDNFDYDDNDGDDDGNAWR >Manes.12G056300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5862817:5869492:1 gene:Manes.12G056300.v8.1 transcript:Manes.12G056300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSGSTSSPPKCTSEKPVVVRVKRKSNQSRLDAFWLEINERPLKRPLLDFEKLSISCESVHGKVEELKTKKVFVQHVETVNSTEATIDILQSFVPNSTDAVEVIPKSKERKQIFRRDNKQQQLLSKARKNQEILAQNARFEQIWRSRRGNKETSHDKELRDMCHFYDIVRVDVEERSNELQDEVMSLEDQRILSSYLPLLREFIPSAAAQIETDVRDYMSKQDDYVYDYYTIRDDMDIGDEDSLSPFPLVQVEDEDFYDGLDDESEYESDDSNAEDHPRNDYPDEISEEEDEVEEESEASSGELEDADDTSSTSSEFEESRHGLSEDAVQYEDGIYDDEDAFDYEDDDNFDYDDNDGDDDGNAWR >Manes.12G056300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5862817:5869492:1 gene:Manes.12G056300.v8.1 transcript:Manes.12G056300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSGSTSSPPKCTSEKPVVVRVKRKSNQSRLDAFWLEINERPLKRPLLDFEKLSISCESVHGKEELKTKKVFVQHVETVNSTEATIDILQSFVPNSTDAVEVIPKSKERKQIFRRDNKQQQLLSKARKNQEILAQNARFEQIWRSRRGNKETSHDKELRDMCHFYDIVRVDVEERSNELQDEVMSLEDQRILSSYLPLLREFIPSAAAQIETDVRDYMSKQDDYVYDYYTIRDDMDIGDEDSLSPFPLVQVEDEDFYDGLDDESEYESDDSNAEDHPRNDYPDEISEEEDEVEEESEASSGELEDADDTSSTSSEFEESRHGLSEDAVQYEDGIYDDEDAFDYEDDDNFDYDDNDGDDDGNAWR >Manes.11G103750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23698209:23719793:-1 gene:Manes.11G103750.v8.1 transcript:Manes.11G103750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTVSSSQLKQTLVLLFAIHLLLPSIQATSVKYCDNKGNYDVKILGVEISPDPVVAGKPATFNISASTGKSISGGKLDIYVYFFSVIVHKETHDLCEKISCPVEGGSFFLSHTQTLPGFTPPGSYTLKFIMKDKNDDQLSCASFNFKIHLGDLVSDN >Manes.11G103750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23697900:23719916:-1 gene:Manes.11G103750.v8.1 transcript:Manes.11G103750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTVSSSQLKQTLVLLFAIHLLLPSIQATSVKYCDNKGNYDVKILGVEISPDPVVAGKPATFNISASTGKSISGGKLDIYVYFFSVIVHKETHDLCEKISCPVEGGSFFLSHTQTLPGFTPPGSYTLKFIMKDKNDDQLSCASFNFKIHLGDLVSDN >Manes.10G052900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6128406:6131414:1 gene:Manes.10G052900.v8.1 transcript:Manes.10G052900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSPGSSKSSKLSTSLQYPKPQRTCLELEFQMAPKAEKKPAEKKPAAAEKAPAEKKPRAEKKLPKEGASDKKRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Manes.02G124400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9463288:9468690:-1 gene:Manes.02G124400.v8.1 transcript:Manes.02G124400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSRQKINSGGQISFGISKTVTTQAMQNLASHDRLTPEKPSSSDADIHHKITKNIKDISPKCSRNFLKQCRKVTKEEELVKYMSNLPSYLERGENRQEKILNVGVLDWGRLEKWRCSQKHIPQRSSRHSFSSANSSFSTAGSSVDYSSGQSCSPAHQRMRCPSLQSHLMSSPVEVHSQDVKSFEESVQKFQDVKGVHANSMSEQGEFNKTDQLVSENFSEIKLDQSKRKDSDPEMNREGGTLNRENFEMQQSMKVKTTQDGELMKRVNQLQEQKAYAFDQDVSQNSKRVLLLMPRDLTQGSRSQLSEYPKGAKASRSSFSEMPKDMNPAAVTFDVPHSCPRPREIDRSAEMRWGAPDAESISFLPNSSHLAPHPAKIKIGASHARISGSKKSIVTPINSTSKEPSTGLDVKLSKVTSEKSRSTSPFRRLGIGMGKISKSFSSKEDSCISQMSTIHNSAKSAAENSVASSCQGTSSTDMQNATSRARSSPLRRLLDPLLKPKAPNCHHSGEPLQGDLVSADRACKSSNGRLDSSTGLRQPKVVKLDMASCREINIDNSCQDKKHGSSAFQALLRVTVKNGQPLFTFAVDNERNILAATMKKLSCTLEDDYCCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSTREDYMEQSCAREFVLFAVDLQQAEPQTLESQPNDELAAIVVKNPRVINKSTASDGYNTGECNDLPKMRSNSTSSEQPNMNSESLMSTTVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLGCKLRIFINQSRLTKKSNLYKACSVTDKFELISQVGEEENQSVFSLAPFKGGIYSVEFTSSFSTIQAFSLCIAVLDSNKLCEIPEPCNLSEGKTSL >Manes.02G124400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9463288:9468690:-1 gene:Manes.02G124400.v8.1 transcript:Manes.02G124400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSRQKINSGGQISFGISKTVTTQAMQNLASHDRLTPEKPSSSDADIHHKITKNIKDISPKCSRNFLKQCRKVTKEEELVKYMSNLPSYLERGENRQEKILNVGVLDWGRLEKWRCSQKHIPQRSSRHSFSSANSSFSTAGSSVDYSSGQSCSPAHQRMRCPSLQSHLMSSPVEVHSQDVKSFEESVQKFQDVKGVHANSMSEQGEFNKTDQLVSENFSEIKLDQSKRKDSDPEMNREGGTLNRENFEMQQSMKVKTTQDGELMKRVNQLQEQKAYAFDQDVSQNSKRVLLLMPRDLTQGSRSQLSEYPKGAKASRSSFSEMPKDMNPAAVTFDVPHSCPRPREIDRSAEMRWGAPDAESISFLPNSSHLAPHPAKIKIGASHARISGSKKSIVTPINSTSKEPSTGLDVKLSKVTSEKSRSTSPFRRLGIGMGKISKSFSSKEDSCISQMSTIHNSAKSAAENSVASSCQGTSSTDMQNATSRARSSPLRRLLDPLLKPKAPNCHHSGEPLQGDLVSADRACKSSNGRLDSSTGLRQPKVVKLDMASCREINIDNSCQDKKHGSSAFQALLRVTVKNGQPLFTFAVDNERNILAATMKKLSCTLEDDYCCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSTREDYMEQSCAREFVLFAVDLQQAEPQTLESQPNDELAAIVVKNPRVINKSTASDGYNTGECNDLPKMRSNSTSSEQPNMNSESLMSTTVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLGCKLRIFINQSRLTKKSNLYKACSVTDKFELISQVGEEENQSVFSLAPFKGGIYSVEFTSSFSTIQAFSLCIAVLDSNKLCEIPEPCNLSEGKTSL >Manes.02G124400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9463288:9468718:-1 gene:Manes.02G124400.v8.1 transcript:Manes.02G124400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSRQKINSGGQISFGISKTVTTQAMQNLASHDRLTPEKPSSSDADIHHKITKNIKDISPKCSRNFLKQCRKVTKEEELVKYMSNLPSYLERGENRQEKILNVGVLDWGRLEKWRCSQKHIPQRSSRHSFSSANSSFSTAGSSVDYSSGQSCSPAHQRMRCPSLQSHLMSSPVEVHSQDVKSFEESVQKFQDVKGVHANSMSEQGEFNKTDQLVSENFSEIKLDQSKRKDSDPEMNREGGTLNRENFEMQQSMKVKTTQDGELMKRVNQLQEQKAYAFDQDVSQNSKRVLLLMPRDLTQGSRSQLSEYPKGAKASRSSFSEMPKDMNPAAVTFDVPHSCPRPREIDRSAEMRWGAPDAESISFLPNSSHLAPHPAKIKIGASHARISGSKKSIVTPINSTSKEPSTGLDVKLSKVTSEKSRSTSPFRRLGIGMGKISKSFSSKEDSCISQMSTIHNSAKSAAENSVASSCQGTSSTDMQNATSRARSSPLRRLLDPLLKPKAPNCHHSGEPLQGDLVSADRACKSSNGRLDSSTGLRQPKVVKLDMASCREINIDNSCQDKKHGSSAFQALLRVTVKNGQPLFTFAVDNERNILAATMKKLSCTLEDDYCCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSTREDYMEQSCAREFVLFAVDLQQAEPQTLESQPNDELAAIVVKNPRVINKSTASDGYNTGECNDLPKMRSNSTSSEQPNMNSESLMSTTVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLGCKLRIFINQSRLTKKSNLYKACSVTDKFELISQVGEEENQSVFSLAPFKGGIYSVEFTSSFSTIQAFSLCIAVLDSNKLCEIPEPCNLSEGKTSL >Manes.02G124400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9463288:9468690:-1 gene:Manes.02G124400.v8.1 transcript:Manes.02G124400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSRQKINSGGQISFGISKTVTTQAMQNLASHDRLTPEKPSSSDADIHHKITKNIKDISPKCSRNFLKQCRKVTKEEELVKYMSNLPSYLERGENRQEKILNVGVLDWGRLEKWRCSQKHIPQRSSRHSFSSANSSFSTAGSSVDYSSGQSCSPAHQRMRCPSLQSHLMSSPVEVHSQDVKSFEESVQKFQDVKGVHANSMSEQGEFNKTDQLVSENFSEIKLDQSKRKDSDPEMNREGGTLNRENFEMQQSMKVKTTQDGELMKRVNQLQEQKAYAFDQDVSQNSKRVLLLMPRDLTQGSRSQLSEYPKGAKASRSSFSEMPKDMNPAAVTFDVPHSCPRPREIDRSAEMRWGAPDAESISFLPNSSHLAPHPAKIKIGASHARISGSKKSIVTPINSTSKEPSTGLDVKLSKVTSEKSRSTSPFRRLGIGMGKISKSFSSKEDSCISQMSTIHNSAKSAAENSVASSCQGTSSTDMQNATSRARSSPLRRLLDPLLKPKAPNCHHSGEPLQGDLVSADRACKSSNGRLDSSTGLRQPKVVKLDMASCREINIDNSCQDKKHGSSAFQALLRVTVKNGQPLFTFAVDNERNILAATMKKLSCTLEDDYCCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSTREDYMEQSCAREFVLFAVDLQQAEPQTLESQPNDELAAIVVKNPRVINKSTASDGYNTGECNDLPKMRSNSTSSEQPNMNSESLMSTTVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLGCKLRIFINQSRLTKKSNLYKACSVTDKFELISQVGEEENQSVFSLAPFKGGIYSVEFTSSFSTIQAFSLCIAVLDSNKLCEIPEPCNLSEGKTSL >Manes.15G046900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3607714:3610831:-1 gene:Manes.15G046900.v8.1 transcript:Manes.15G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTQELVNEPNLDIDLKPFIHENSLFFDKLIELIPARFYLPTDDKEKKWFQGLSKDEKALAKKESRENIKKARRERLDPEKTSTTTLDLLMKNLDMEKSNDESDEEEVEINPMISGLEGEDQSATYEELRQRLHRKIEELRGGRNNSSSNKVKKKNETKGIQQKKRKRESESEQKKPTMSTSVEEVEKDIAEATKELKFSHVKLGNEEELGKKKKKKLLKSKELERARKLEEAKKDPEKGDIVAKKHSWKAATSRAAGIKIHDDAKLLKQSIKKEKKRHQKNVEKWNERIETQQKMKAEKQQTRSKNIADRIHQKKMRRIAKREKKLMRPGFEGRKEGYINEGSTEGAPR >Manes.04G094800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30070263:30070972:1 gene:Manes.04G094800.v8.1 transcript:Manes.04G094800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLHIQTSRFDTSYIYTPLRRYVLQTHRKKTKTMGKFWGSSSWGILAVSLLVLGVLVPEGCCQDTSCLNQLVPCLNYLNGTEDVPSSCCDPLQNVIKSDQQCICSMISNQGSNQAEQAGINVTEAQQLPARCGIHVNPLNCLSSGSPNTKNSVDNSSGIVLFPCWSMMVAAALSIALHILWCKAD >Manes.01G255404.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41279832:41280912:-1 gene:Manes.01G255404.v8.1 transcript:Manes.01G255404.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLAAKPCPRKLCFGSGADFRPPKVMFGRRKCLTFGSGGRLRPPNLPPNLHGFRLWKGPSAAESAAERSDPRNRGV >Manes.11G040121.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3969501:3971921:1 gene:Manes.11G040121.v8.1 transcript:Manes.11G040121.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWEKESRGTMFSKPSPELNRNKSIGPRQYSYQQLAKATNHFSSNNLLGEGDFGQVYMGSVGGQSLAIKKLKNHRDLRSQGKLQDEIIVVSSVRHKNLVELLGYCIEGADKLLVLKYFPNKSLGSQLHENGEDLDRETRMNIAKGSTSRLEYLYEHYELCNEKSDNRLPRYPTLYKDPSPMKANNRTKMWRSHLIKCGDLLMKP >Manes.17G063400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26264418:26266256:1 gene:Manes.17G063400.v8.1 transcript:Manes.17G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGKSIIVPSVQKLAEESLLTIPPRYVRSDQDPPTISDESSLLSSVPVIDLERLAFGDSMDSELESLHSACREWGFFQVVNHGVRTDFLEEVKLEIESFFELPYEQKKKLWQQPDNFEGFGQAFVVSEEQKLDWSDMFGINTLPFNLRNNNLFDKLPPKIRGILETYASEMKKLALAILGHMAKALNMKAEEMSELFNDGVQSMRMNYYPPCPEPEKAIGLTPHSDADALTIVFQLNETEGLQIRKEGRWVPVKPLPNAFVVNVGDIMEIVSNGVYRSIEHRATVNSTKKRLSIATFHSSNLGSVLGPAASLTGPQNPAIFKEVPLEKYLKEFFARKLSGKSYLEAMRIEAGEDNI >Manes.17G063400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26264418:26266256:1 gene:Manes.17G063400.v8.1 transcript:Manes.17G063400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMGFLPGQVVNHGVRTDFLEEVKLEIESFFELPYEQKKKLWQQPDNFEGFGQAFVVSEEQKLDWSDMFGINTLPFNLRNNNLFDKLPPKIRGILETYASEMKKLALAILGHMAKALNMKAEEMSELFNDGVQSMRMNYYPPCPEPEKAIGLTPHSDADALTIVFQLNETEGLQIRKEGRWVPVKPLPNAFVVNVGDIMEIVSNGVYRSIEHRATVNSTKKRLSIATFHSSNLGSVLGPAASLTGPQNPAIFKEVPLEKYLKEFFARKLSGKSYLEAMRIEAGEDNI >Manes.05G133326.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18225427:18226407:-1 gene:Manes.05G133326.v8.1 transcript:Manes.05G133326.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFRGHVRLPKVDDQATYIRPSDRKWASFLPILELSFEAKVEVLGAWSFWSLDSPHLRVRDRTNPRSSRGVDLRRGV >Manes.04G082100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28337912:28338875:1 gene:Manes.04G082100.v8.1 transcript:Manes.04G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLAQSAVLRQILKRCSSLGKKHGYDDDGLPVDVPKGHFAVYVGENRSRYIVPISFLTHPEFQCLLRQAEEEFGFVHDMGLTIPCEEVVFRSLTSSLR >Manes.13G084100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14326850:14329690:1 gene:Manes.13G084100.v8.1 transcript:Manes.13G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >Manes.01G193600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36824574:36825484:1 gene:Manes.01G193600.v8.1 transcript:Manes.01G193600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGQSTVISGGCVLFKVLFGAITTLGFVCLLLVAILQTEATKSTTTVQAAASLKHEADIGRERLLYDPDLDLNYMISKRKIPNGPDPIHNRRAGNSKRPPGRV >Manes.01G193600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36824574:36825561:1 gene:Manes.01G193600.v8.1 transcript:Manes.01G193600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGQSTVISGGCVLFKVLFGAITTLGFVCLLLVAILQTEATKSTTTVQAAASLKHEADIGRERLLYDPDLDLNYMISKRKIPNGPDPIHNRELETTSWPSLVKGLKRLKSRSTKEETRICHLVCIL >Manes.07G143800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34439505:34440515:1 gene:Manes.07G143800.v8.1 transcript:Manes.07G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKGSHSLAQQDQGKMTRTRRYINPCMPCYLVSKRVSRCLFVCCFPVLQCLGLDDHRHHHHHLSHFR >Manes.14G151200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17127359:17128318:-1 gene:Manes.14G151200.v8.1 transcript:Manes.14G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSRPDRKRFVFSSLIFIFFLCVLASINEVRFDGLLKFGRCAFKRFPSQMLSNLSSTNFLATNSSSNDIRILIGILTLPDQYQRRHFLRLIYGTQSPVGAQVDVKFVFCNLTKEDQKVLVALEIMLYDDIIILNCKENMNKGKTYTYFSSLPEIFNDTNKTYPPYHYVMKADDDTYFRLNNLVESLKPLPREDLYYGYVIPCPSMDPFVHYMSGMGYMVSWDIVEWIRDSEVPKNHMEGPEDKVFGDWIREGHKAKNRYNAKWSMYNFPEPPTGCTHELWPDTIAVHLLKTQEKWIQTLKYFNVTNNLKPSKLYHIP >Manes.17G032100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22700843:22705286:-1 gene:Manes.17G032100.v8.1 transcript:Manes.17G032100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWNLIANQSWKLNSWKSLQDPSIGDYTYTLDPRGLPQIVLDKGSTIQYRSGPWDGIRFGGGPPLQENAVFKPIFIFNSTFVYYSFVNNVNSTISRFVVNQTGLLQYLTWNQRRSEWVDIITLQSDKCDLYDQCGPNGFCSANEPPLCICPTGFIPKVPKDWKELDSSGGCIRRKPLNCSSKTGFRKFSGLKLPDSSQFWVNRTATRSIECEEACLRDCSCMAFAITEVSGCVVWFGDLLDMRFYNEGGQEIYIRLDASELGVLFVAVILFVLRRASKREDTRPSDSTQDGYPNAGEEDLDPLPLFDFSIILSSTNNFYHVNKIGEGGFGAVYKGELPTGQEIAVKRLSKDSGQGLKEFKNEVIVISKLQHRNLVRLLGCCIHGEERMLVYEYVPRRSLDLYLFNQSRGTSLEWQKRFNIIVGIARGLLYLHRDSRLRIIHRDLKASNILLDDDMNPKIADFGLARTFGGDQSEANTTRVMGTYGYMSPEYAIDGLFSVKSDVFSFGVLVLEIVSGKKNRGFYHPDHDLNLLGHAWRLWIEERPMEIMDALMEKPVSTSELLKSIHVGLLCVQQRPEDRPTMSSVVLMLDSENPMLQQPKQPGFYTERFLTETDSSSTGRKPCTPNEVTVTLLHGR >Manes.17G032100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22700529:22705373:-1 gene:Manes.17G032100.v8.1 transcript:Manes.17G032100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGWNLIANQSWKLNSWKSLQDPSIGDYTYTLDPRGLPQIVLDKGSTIQYRSGPWDGIRFGGGPPLQENAVFKPIFIFNSTFVYYSFVNNVNSTISRFVVNQTGLLQYLTWNQRRSEWVDIITLQSDKCDLYDQCGPNGFCSANEPPLCICPTGFIPKVPKDWKELDSSGGCIRRKPLNCSSKTGFRKFSGLKLPDSSQFWVNRTATRSIECEEACLRDCSCMAFAITEVSGCVVWFGDLLDMRFYNEGGQEIYIRLDASELESNKDTRTLVIILVSVISGVLFVAVILFVLRRASKREDTRPSDSTQDGYPNAGEEDLDPLPLFDFSIILSSTNNFYHVNKIGEGGFGAVYKGELPTGQEIAVKRLSKDSGQGLKEFKNEVIVISKLQHRNLVRLLGCCIHGEERMLVYEYVPRRSLDLYLFNQSRGTSLEWQKRFNIIVGIARGLLYLHRDSRLRIIHRDLKASNILLDDDMNPKIADFGLARTFGGDQSEANTTRVMGTYGYMSPEYAIDGLFSVKSDVFSFGVLVLEIVSGKKNRGFYHPDHDLNLLGHAWRLWIEERPMEIMDALMEKPVSTSELLKSIHVGLLCVQQRPEDRPTMSSVVLMLDSENPMLQQPKQPGFYTERFLTETDSSSTGRKPCTPNEVTVTLLHGR >Manes.17G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22700843:22705286:-1 gene:Manes.17G032100.v8.1 transcript:Manes.17G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYCSIFFWFSILSFTKSSTAGDSLTLNQTLKDNGQTLVSKDESFELGFFSLWNSNNRYVGIWFKNVPQQTVIWVANRNNPLSDSSGVLTINATGTIIVLSNHSGIPIWYSDSTLASYNPVLQLLDSGNLVVKDGRRGNYLWQSFDHPCDTLIPGMKLGWNLIANQSWKLNSWKSLQDPSIGDYTYTLDPRGLPQIVLDKGSTIQYRSGPWDGIRFGGGPPLQENAVFKPIFIFNSTFVYYSFVNNVNSTISRFVVNQTGLLQYLTWNQRRSEWVDIITLQSDKCDLYDQCGPNGFCSANEPPLCICPTGFIPKVPKDWKELDSSGGCIRRKPLNCSSKTGFRKFSGLKLPDSSQFWVNRTATRSIECEEACLRDCSCMAFAITEVSGCVVWFGDLLDMRFYNEGGQEIYIRLDASELESNKDTRTLVIILVSVISGVLFVAVILFVLRRASKREDTRPSDSTQDGYPNAGEEDLDPLPLFDFSIILSSTNNFYHVNKIGEGGFGAVYKGELPTGQEIAVKRLSKDSGQGLKEFKNEVIVISKLQHRNLVRLLGCCIHGEERMLVYEYVPRRSLDLYLFNQSRGTSLEWQKRFNIIVGIARGLLYLHRDSRLRIIHRDLKASNILLDDDMNPKIADFGLARTFGGDQSEANTTRVMGTYGYMSPEYAIDGLFSVKSDVFSFGVLVLEIVSGKKNRGFYHPDHDLNLLGHAWRLWIEERPMEIMDALMEKPVSTSELLKSIHVGLLCVQQRPEDRPTMSSVVLMLDSENPMLQQPKQPGFYTERFLTETDSSSTGRKPCTPNEVTVTLLHGR >Manes.S095217.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251201.1:7386:7886:1 gene:Manes.S095217.v8.1 transcript:Manes.S095217.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPIALYISISYHNKSTILYARGDTAMPGLHMLYARGDTATPGLLMLYARGDTATPGLLISYHIVHAISYHSISCHNILRARGSSSIHPHHIIVLCNASYS >Manes.13G033400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4170394:4174242:1 gene:Manes.13G033400.v8.1 transcript:Manes.13G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAEKPGTLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKRVYGMTLMLMVICSVASGVSFGHNAKAVISTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGLFAIIISSAFRTRFDAPAYEVDAVASTVPQADYVWRIILMVGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDLEAEEQKVQQLALDQSNSFGLFSKKFLRRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMSAIEEVYRIARAQTLIALCSTVPGYWFTVAFIDKMGRFAIQLMGFFFMTVFMFALAIPYNHWTHSENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAMVGAFGFLYLAQNKDKGKADAGYPAGIGVRNSLIVLGVINFLGMMFTFLVPESKGKSLEEMSGENEDNNEPGEQSSYNNRTVPV >Manes.04G096700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30214279:30219593:-1 gene:Manes.04G096700.v8.1 transcript:Manes.04G096700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANTNNQGAGSAPLSENQFIGSDTTNDVKKTSREQREALPGEPKCVVCSRYGEYICDETDDDICSLECKQTLLLRVANSQISVGPPPPTRLAASDECFYVRDSDDRSRPLSLTNDQTELLRRKLEISVKGELVPDPILSLSSCNFPQKLLQNIEAAGYVMPTPIQMQAIPTALSGKSLLASADTGSGKTVSYLLPVVSRCATFRLQHSPEYRKPLAMVLTPTRELSIQVEDQAKLLGKGLPFKTALVVGGDALAGQIYRIQQGVELIVGTPGRLIDLLTKHDIELDEVMILVLDEVDCMLQRGFRDQVMEIFRALYQPQVLMYSATIAQDIEKMASTMAKDMVIISIGQRNRPNKAVKQLPIWVESKQKKQKLFDILMSKQHFLPPVVVYVGSRLGADLLSNAITVTTGLKALSIHGEKSMKERREIMKSFLVGEVSVIVATGVLGRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGEEGTAIVFVNEENKNLFPEFIDVLKSSGAVIPRELANSKYTVRSFHASKGQRKRKYGS >Manes.04G096700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30214279:30219594:-1 gene:Manes.04G096700.v8.1 transcript:Manes.04G096700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANTNNQGAGSAPLSENQFIGSDTTNDVKKTSREQREALPGEPKCVVCSRYGEYICDETDDDICSLECKQTLLLRVANSQISVGPPPPTRLAASDECFYVRDSDDRSRPLSLTNDQTELLRRKLEISVKGELVPDPILSLSSCNFPQKLLQNIEAAGYVMPTPIQMQAIPTALSGKSLLASADTGSGKTVSYLLPVVSRCATFRLQHSPEYRKPLAMVLTPTRELSIQVEDQAKLLGKGLPFKTALVVGGDALAGQIYRIQQGVELIVGTPGRLIDLLTKHDIELDEVMILVLDEVDCMLQRGFRDQVMEIFRALYQPQVLMYSATIAQDIEKMASTMAKDMVIISIGQRNRPNKAVKQLPIWVESKQKKQKLFDILMSKQHFLPPVVVYVGSRLGADLLSNAITVTTGLKALSIHGEKSMKERREIMKSFLVGEVSVIVATGVLGRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGEEGTAIVFVNEENKNLFPEFIDVLKSSGAVIPRELANSKYTVRSFHASKGQRKRKYGS >Manes.04G096700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30214279:30219564:-1 gene:Manes.04G096700.v8.1 transcript:Manes.04G096700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANTNNQGAGSAPLSENQFIGSDTTNDVKKTSREQREALPGEPKCVVCSRYGEYICDETDDDICSLECKQTLLLRVANSQISVGPPPPTRLAASDECFYVRDSDDRSRPLSLTNDQTELLRRKLEISVKGELVPDPILSLSSCNFPQKLLQNIEAAGYVMPTPIQMQAIPTALSGKSLLASADTGSGKTVSYLLPVVSRCATFRLQHSPEYRKPLAMVLTPTRELSIQVEDQAKLLGKGLPFKTALVVGGDALAGQIYRIQQGVELIVGTPGRLIDLLTKHDIELDEVMILVLDEVDCMLQRGFRDQVMEIFRALYQPQVLMYSATIAQDIEKMASTMAKDMVIISIGQRNRPNKAVKQLPIWVESKQKKQKLFDILMSKQHFLPPVVVYVGSRLGADLLSNAITVTTGLKALSIHGEKSMKERREIMKSFLVGEVSVIVATGVLGRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGEEGTAIVFVNEENKNLFPEFIDVLKSSGAVIPRELANSKYTVRSFHASKGQRKRKYGS >Manes.04G096700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30214279:30219564:-1 gene:Manes.04G096700.v8.1 transcript:Manes.04G096700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANTNNQGAGSAPLSENQFIGSDTTNDVKKTSREQREALPGEPKCVVCSRYGEYICDETDDDICSLECKQTLLLRVANSQISVGPPPPTRLAASDECFYVRDSDDRSRPLSLTNDQTELLRRKLEISVKGELVPDPILSLSSCNFPQKLLQNIEAAGYVMPTPIQMQAIPTALSGKSLLASADTGSGKTVSYLLPVVSRCATFRLQHSPEYRKPLAMVLTPTRELSIQVEDQAKLLGKGLPFKTALVVGGDALAGQIYRIQQGVELIVGTPGRLIDLLTKHDIELDEVMILVLDEVDCMLQRGFRDQVMEIFRALYQPQVLMYSATIAQDIEKMASTMAKDMVIISIGQRNRPNKAVKQLPIWVESKQKKQKLFDILMSKQHFLPPVVVYVGSRLGADLLSNAITVTTGLKALSIHGEKSMKERREIMKSFLVGEVSVIVATGVLGRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGEEGTAIVFVNEENKNLFPEFIDVLKSSGAVIPRELANSKYTVRSFHASKGQRKRKYGS >Manes.04G096700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30214279:30219564:-1 gene:Manes.04G096700.v8.1 transcript:Manes.04G096700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANTNNQGAGSAPLSENQFIGSDTTNDVKKTSREQREALPGEPKCVVCSRYGEYICDETDDDICSLECKQTLLLRVANSQISVGPPPPTRLAASDECFYVRDSDDRSRPLSLTNDQTELLRRKLEISVKGELVPDPILSLSSCNFPQKLLQNIEAAGYVMPTPIQMQAIPTALSGKSLLASADTGSGKTVSYLLPVVSRCATFRLQHSPEYRKPLAMVLTPTRELSIQVEDQAKLLGKGLPFKTALVVGGDALAGQIYRIQQGVELIVGTPGRLIDLLTKHDIELDEVMILVLDEVDCMLQRGFRDQVMEIFRALYQPQVLMYSATIAQDIEKMASTMAKDMVIISIGQRNRPNKAVKQLPIWVESKQKKQKLFDILMSKQHFLPPVVVYVGSRLGADLLSNAITVTTGLKALSIHGEKSMKERREIMKSFLVGEVSVIVATGVLGRGVDLLGVRQVIVFDMPNSIKEYVHQIGRASRMGEEGTAIVFVNEENKNLFPEFIDVLKSSGAVIPRELANSKYTVRSFHASKGQRKRKYGS >Manes.02G017605.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1639812:1643058:-1 gene:Manes.02G017605.v8.1 transcript:Manes.02G017605.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLNNQLLSKIATGKGHGEDSPYFDGWKAYDKDPYHATENPNGVIQMGLAENQLCFDLIQEWVKNNPKASICTPEGAEEFREIAIFQDYHGLSEFRNAVAKFMAKVRGDRVTFDPDRIVMSGGATGAHEMIAFCLADPGDAFLVPTPYYPGFDRDLRWRTGVQLIPVDCESSNHFKVTREALEYAYETAQSDNITVKGLLITNPSNPLGTILDRETLKSIVSFINEKNIHLVCDEIYAATVFSQPDFVSISEIIEEEECNIDLIHIVYSLSKDMGFPGFRIGIVYSYNDAVVSCARKMSSFGLVSSQTQYMIASMLSDDGFVEDFITQSKKRLATRYSNFTNGLAQVGIKCLKTSNAGLFVWMDLRKLLKEHTVEGETALWRVIINEVKLNVSPGSSFHCTEPGWFRVCYANMDDQSMEVALSRISRFVLKHKEAVKPAKKLCWQGSLKLSFSSRIYDDLIMSPRSPISQSPLVRART >Manes.14G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4190757:4192509:1 gene:Manes.14G048000.v8.1 transcript:Manes.14G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSASTVDMLKQRIVSDWPKGKTVSPKAVNEVKLISSGKILDNNKTVGQCRTPFAEVAGGIIIMHVVVQPSLAKMKTGISRLNHHPHSFLSPPNCFSA >Manes.14G048000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4190603:4193874:1 gene:Manes.14G048000.v8.1 transcript:Manes.14G048000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSASTVDMLKQRIVSDWPKGKTVSPKAVNEVKLISSGKILDNNKTVGQCRTPFAEVAGGIIIMHVVVQPSLAKMKTEKKIENSPKKLVCSCSIL >Manes.14G048000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4190603:4193874:1 gene:Manes.14G048000.v8.1 transcript:Manes.14G048000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSASTVDMLKQRIVSDWPKGKTVSPKAVNEVKLISSGKILDNNKTVGQCRTPFAEVAGGIIIMHVVVQPSLAKMKTEKKIENSPKKLVCSCSIL >Manes.14G048000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4190757:4193874:1 gene:Manes.14G048000.v8.1 transcript:Manes.14G048000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVDIKFRLYDGSDIGPFRYSSASTVDMLKQRIVSDWPKGKTVSPKAVNEVKLISSGKILDNNKTVGQCRTPFAEVAGGIIIMHVVVQPSLAKMKTEKKIENSPKKLVCSCSIL >Manes.05G127800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22580647:22583829:-1 gene:Manes.05G127800.v8.1 transcript:Manes.05G127800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLLSPWVSRRPCNRHFTSFSDESPLHSFNFNPNPNSLSSGIKRCRKQQHPCCSNSYQVEEQHQPYFSLQGLPGRREILFNIAFTGCSFPAIVYNALAETDELRVYTDDANKFQITIPQDWRVGTGEPNGGFKSVTAFYPEEAYTSSNVSVVITGLGPDFTKMESFGKVDAFAETLVSGLDRSWQRPPGVAAKLIDSKSTNGLYYIEYTLQNPGESRKHLYSALGMAFNGWYNRLYTVTGQFLDEDSEKYGSKIQKAVSSFRFIS >Manes.05G127800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22580647:22583829:-1 gene:Manes.05G127800.v8.1 transcript:Manes.05G127800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLLSPWVSRRPCNRHFTSFSDESPLHSFNFNPNPNSLSSGIKRCRKQQHPCCSNSYQVEEQHQPYFSLQGLPGRREILFNIAFTGCSFPAIVYNALAETDELRVYTDDANKFQITIPQDWRVGTGEPNGGFKSVTAFYPEEAYTSSNVSVVITGLGPDFTKMESFGKVDAFAETLVSGLDRSWQRPPGVAAKLIDSKSTNGLYYIEYTLQNPGESRKHLYSALGMAFNGWYNRLYTVTGQFLDEDSEKYGSKIQKAVSSFRFIS >Manes.05G127800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22580647:22583839:-1 gene:Manes.05G127800.v8.1 transcript:Manes.05G127800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLLSPWVSRRPCNRHFTSFSDESPLHSFNFNPNPNSLSSGIKRCRKQQHPCCSNSYQVEEQHQPYFSLQGLPGRREILFNIAFTGCSFPAIVYNALAETDELRVYTDDANKFQITIPQDWRVGTGEPNGGFKSVTAFYPEEAYTSSNVSVVITGLGPDFTKMESFGKVDAFAETLVSGLDRSWQRPPGVAAKLIDSKSTNGLYYIEYTLQNPGESRKHLYSALGMAFNGWYNRLYTVTGQFLDEDSEKYGSKIQKAVSSFRFIS >Manes.01G243000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40431807:40433396:-1 gene:Manes.01G243000.v8.1 transcript:Manes.01G243000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEMNGGELENHEDKIDYVFKVVVIGDSAVGKTQLLSRFTKNEFCLDSKSTIGVEFQTRTVTIKGKVVKAQIWDTAGQERYRAVTSAYYRGALGAMIVYDITKRPTFDHVARWVEELRAHADNSIVITLIGNKADLMDQRAVSTEDAVEFAEDQGLFFFETSALSGENVEKAFLRLLEEIYGVISKKALEGGDKKSNGADAAVFKGSKIDIISGYDLEISEMKKLSACSC >Manes.12G093800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18525762:18529398:1 gene:Manes.12G093800.v8.1 transcript:Manes.12G093800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKFLRRKCIQECVFAPYFPPDQPQKFSCVHKVFGASNVAKLLNELNASQREDAVNSLAYEAEERLRDPVYGCVGLISILQHRLKQLQNDLYIAKRELAGYIGPSAMLPILQNPTYMPQQHLGNPSSSNVIPYNMLPMMGIPTGPPHGGQLMIREHPQQHPTHPQQQFFEAQQLADAVVVRDQQEMFRTYDQQQPEDQSQHHQELVRYNSGFDAAGLVTATGYNQLSVASAMSPSLALGIYDNPYQIQQTAHPHHQLQEQLLLQPQQQQPPQLTQQQHQRSGNEEGRSVGPSC >Manes.12G093800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18525831:18528499:1 gene:Manes.12G093800.v8.1 transcript:Manes.12G093800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKFLRRKCIQECVFAPYFPPDQPQKFSCVHKVFGASNVAKLLNELNASQREDAVNSLAYEAEERLRDPVYGCVGLISILQHRLKQLQNDLYIAKRELAGYIGPSAMLPILQNPTYMPQQHLGNPSSSNVIPYNMLPMMGIPTGPPHGGQLMIREHPQQHPTHPQQQFFEAQQLADAVVVRDQQEMFRTYDQQQPEDQSQHHQELVRYNSGFDAAGLVTATGYNQLSVASAMSPSLALGIYDNPYQIQQTAHPHHQLQEQLLLQPQQQQPPQLTQQQHQRSGNEEGRSVGPSC >Manes.12G093800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18525762:18529384:1 gene:Manes.12G093800.v8.1 transcript:Manes.12G093800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKFLRRKCIQECVFAPYFPPDQPQKFSCVHKVFGASNVAKLLNELNASQREDAVNSLAYEAEERLRDPVYGCVGLISILQHRLKQLQNDLYIAKRELAGYIGPSAMLPILQNPTYMPQQHLGNPSSSNVIPYNMLPMMGIPTGPPHGGQLMIREHPQQHPTHPQQQFFEAQQLADAVVVRDQQEMFRTYDQQQPEDQSQHHQELVRYNSGFDAAGLVTATGYNQLSVASAMSPSLALGIYDNPYQIQQTAHPHHQLQEQLLLQPQQQQPPQLTQQQHQRSGNEEGRSVGPSC >Manes.12G093800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18525835:18529380:1 gene:Manes.12G093800.v8.1 transcript:Manes.12G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKFLRRKCIQECVFAPYFPPDQPQKFSCVHKVFGASNVAKLLNELNASQREDAVNSLAYEAEERLRDPVYGCVGLISILQHRLKQLQNDLYIAKRELAGYIGPSAMLPILQNPTYMPQQHLGNPSSSNVIPYNMLPMMGIPTGPPHGGQLMIREHPQQHPTHPQQQFFEAQQLADAVVVRDQQEMFRTYDQQQPEDQSQHHQELVRYNSGFDAAGLVTATGYNQLSVASAMSPSLALGIYDNPYQIQQTAHPHHQLQEQLLLQPQQQQPPQLTQQQHQRSGNEEGRSVGPSC >Manes.09G003700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1047698:1064621:-1 gene:Manes.09G003700.v8.1 transcript:Manes.09G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGPSGFNNAPVTRTFVIACALFTLFFGIQGGFTKLGLSYQDIFVKPRFWKLIMSVFSFSSTPELLFGLYLLYYFRVFERQIGSNKYSVFILFSIIFSLLFEVLALRLLRDPTPNLVTTGPYGVIFASFVPFYFDIPVSTRFRVFGVHFSDKSFIYLAGLQLLLSSWKRSLLPGMCGIFAGSLYRLNIFRIRKAKFPEFITSFFSRLSWPSTGSPRGATTRNIAGSVPSHPGRQVGRTYPTPIVPPMEPPEDSIATLVSMGFDRNAARQALVQARNDINAATNILIESQ >Manes.15G098000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7642501:7647583:-1 gene:Manes.15G098000.v8.1 transcript:Manes.15G098000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCSVCEAAEANVLCCADEAALCWACDEKVHEANKLASKHQRVPLSGSSPQIPKCDICQETAGFFFCLEDRALLCRKCDVAVHTANAYVAAHQRFLLTGVKVGLEPTDQNHGASSSSGKSPSGEKTSETKSHSVSRRGTPMPSASPCNEVLPAQAGGQEFEPAKVSFSGGSAAGGLPQWQIDEFLGLTDFSQNYSYMDNGSSKADSGKRGDSDSSAILRTAEEEVDDDECLGQVPDSSWAVPQIPSPPTASGLYWPRRVQNLSDNGDFVPDIGCSTDCERHGSVMKRQRL >Manes.15G098000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7643388:7647086:-1 gene:Manes.15G098000.v8.1 transcript:Manes.15G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCSVCEAAEANVLCCADEAALCWACDEKVHEANKLASKHQRVPLSGSSPQIPKCDICQETAGFFFCLEDRALLCRKCDVAVHTANAYVAAHQRFLLTGVKVGLEPTDQNHGASSSSGKSPSGEKTSETKSHSVSRRGTPMPSASPCNEVLPAQAGGQEFEPAKVSFSGGSAAGGLPQWQIDEFLGLTDFSQNYSYMDNGSSKADSGKRGDSDSSAILRTAEEEVDDDECLGQVPDSSWAVPQIPSPPTASGLYWPRRVQNLSDNGDFVPDIGCSTDCERHGSVMKRQRL >Manes.15G098000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7642352:7646994:-1 gene:Manes.15G098000.v8.1 transcript:Manes.15G098000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCSVCEAAEANVLCCADEAALCWACDEKVHEANKLASKHQRVPLSGSSPQIPKCDICQETAGFFFCLEDRALLCRKCDVAVHTANAYVAAHQRFLLTGVKVGLEPTDQNHGASSSSGKSPSGEKTSETKSHSVSRRGTPMPSASPCNEVLPAQAGGQEFEPAKVSFSGGSAAGGLPQWQIDEFLGLTDFSQNYSYMDNGSSKADSGKRGDSDSSAILRTAEEEVDDDECLGQVPDSSWAVPQIPSPPTASGLYWPRRVQNLSDNGDFVPDIGCSTDCERHGSVMKRQRL >Manes.12G092200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:17883812:17885046:-1 gene:Manes.12G092200.v8.1 transcript:Manes.12G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSPEDNAWYDVNLIWDAETITIEYVGFPENYNEIYNAEDFNAVEELEDFMGRFRQSSVQLQDHDCKNVFVGKTVCASYNFENGDVKFFDALVVEVLNEKHRFKQGGEEECLCTYVVKWQNGPLEGERTFARIGDFCLTPPKQLADPSLSSFVKLARGRIEKVSVNPSMNSEEFFRRLRRQRRNRYACRTMEGRLNGRCKRTSLDVDEDVSAAA >Manes.14G134300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9568579:9572245:1 gene:Manes.14G134300.v8.1 transcript:Manes.14G134300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGTEAKGGDEGVRRRGCSCTKSDFLPEESFQSMSNYLQALRETPMRFKDRLLSRSMDSTEINDIKGRSEHEMKKNLNWWDLIWFGIGAVIGSGIFVLTGLEAKEDAGPAVVLSYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIGAGNILLEYVIGGAAVARSWTSYFATLCNHKPDDFRIIAHSLPDDYGHLDPIAVVVVSIICILAVISTKGSSRFNYIASIFHIVVILFIIIAGLVNADTKNYTDFVPNGPRGIFRASAVLFFAYVGFDAVATMAEETKNPARDIPIGLVGSMTITTLLYCLLAVTLCLMVPYKQIDPDAPFSVAFQAVGWDWAKYIVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAQVHPKTGTPINATIVMLTATAIIAFFTNLSILSNLLSISTLFIFMLVALALLVRRYYVSGVTTSADHTKLIACILMILGASIATAAIWGAGGDGWIGYVITLPIWFLATLALHVFVPQARAPKLWGVPLVPWIPSASILINIFLLGSIDGASFVRFGIWTVILLIYYFIFGLHASYDTAKEFAENRAVESWKVEEGA >Manes.13G063901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7988954:7991951:1 gene:Manes.13G063901.v8.1 transcript:Manes.13G063901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDGNKSEEAEEKAVDLETETSHHNDGEASMDGTEQEDEDDEVGNKIQLGPQCTLKEQLEKDKDDESLRKWKEQLIGTVDFEDIGETLEPEVKILSLSIISPGRPDIVLSVPEDGNPKGSWFTLKEGSHYNLMFSFQVNKNIVSGLKYTNTVWKTGVKVDSAKEMLGTFSPQPEPYTHLMPEETTPSGMFARGTYSARSKFLDDDDKCYLEINYTFDIRKEWAAT >Manes.09G046300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8107926:8109815:1 gene:Manes.09G046300.v8.1 transcript:Manes.09G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISCRLSFIIIHFLVSYAHAVSFSITHFDPGANNIIYEGDAIASDGAIELINLVDYTCRVGRATYAERVPLWDSSTGTLADFNTRFSFTIDTLNSTNYGHGIAFFLGPVGYSIPPNSASGFLGIVNSTASSAMSKTQLVVVEFDTFVNKEWDPPMQHVGINNNSIFSDVSASWNPGSNSGKVANVLITYNATTKDLSVFWTYDENPTFTGNSSLSYQIDLMQVLPPWVTIGFSAATGQYLERNTVHSWEFTSNFVPNEGDGKKPHLKTQWIVLIAAACLIVLVVLGFGIYWLIKKIKGIFYGKRSSGGRSRKTDLESAVLPKSFSYQELLTATKGFAKQRQLGEGGSGFVYKGALTDPCCLVAVKKVSPQSERLFDNEVRIISSLKHRTLVQFLGWCHERDEFLLVYEYMAKGSLHDRLFGSKKTLPWNRRYNTALTVATALKYLHDDAEHQVLHRDIKPENILLRGDFTAKVGDFGISKFVNTQLRTQRTNPVGTPGYVAPEYRRDGRATTHSDMYSFGVVALEIACGRRNYRNNDPLKVVKEVWTQYKAGNILEAADRRLKEFNLKEMECLMIVGLLCTHPTDRERPSAGEVIKFLNFEAPLPELPPMLHDPEFPHNSDINERNTR >Manes.01G129300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32318613:32322564:1 gene:Manes.01G129300.v8.1 transcript:Manes.01G129300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLNLSQSKLSHLCPMGPLLSFCIHTIALVLACNILAASGNNETDLLALLQLKANINGDPLGVVRSWNSTLNFCHWPGVTCGRRHKRVTVVDLRSLKLSGSISPHIGNLSFLRELNLQNNSFTQAIPPQIGRLHRLQKLFLNNNSFRGQIPPNISGCSNLVSLQLQYNQLVGSIPEELGFLSKLEIFSVGKNNLVGTIPPSLGNSSSLQVIYASENNLFGSLPHSLGKLMNLSILALFENGFSGTIPPSIYNLSSILAFDVGYNQFEGSLPSELGANTFPNIQTFFISANKFTGSIPNSLSNASNIAILHLSRNKLTGKVPSFGNLNKLTLFSVSNNSLGSGEDGDLSFLPSLTNATGLVRVGIEMNNFGGRLPGRICNLSRHLSEIYFSQNQIYGDIPSGIDNLISLQIFDVSINKLSGNIPSNIGKLRNLRYLYLLANNFSGYIPSSLGNLTELLLLTLRENNLHGNIPSSLAQCKKLLALELSFNNLSGTIPPQIMNLSSLSRHLDLSNNYHLNGVLPMEVGKLQNLGVLDVSNNNLSGRVPESIGSCASLEVLRLDGNFFQGSIPSSLSSLRGLRVLDLSRNNFSGKIAEFIQDFRLLARLNLSYNDFEGEVPTNGVFKNPSATGIKGNKKLCGGIPEFQLPRCSFDNKPKKRSMEKMMISIIAPLLGATLIFACFILYLSRKRRSDKNYNRSSSYENTLLKVSYHSLLRATNEFSSANLIGAGSFGSVYKGILEEDGRAISIAVKVINLERRGSCRSFMAECEALRSIRHRNLVKVLTACSSIDHQGNDFKALVYEFMTNGSLEEWLHPSTAVPVEEAKTLNLLQRINIAIDIASAVEYLHLHCETPIIHCDLKPSNILLDDEMTGHVSDFGLAKFFSEEGLHNSTNESSSFGVRGTIGYAPPEYGTGREVSTYGDIYSYGILLLEMFTGKRPTDSTFMEGLNLHNFVKVALPERVSNIIDPILIEGRSRNMGNSFPNHTHMQNDDILFECLISIFEIGISCSAELPRERMSISDAFSQLGRIKNRLLR >Manes.07G034700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3786844:3789942:1 gene:Manes.07G034700.v8.1 transcript:Manes.07G034700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFRNLNLVWGLKRPHESFIKSDRSDKGALFPNASIKLWVLCCSREEIFFGTHRRQVEIKKKSQSRLIFSKSTTHYSGNTNEGEKEEDIAKMTLIWRAIKLPIYSVALVPLTVGGAAAYLQTGMFSSRRYFVLLASSILVITWLNLSNDVYDFDTGADKNKKESVVNLVGSRTGTFVAAYSSLVLGIIGLTWTSLGAGSVQAIFLLACSITCGYIYQCPPFRLSYQGLGEPLCFSAFGPFTTTAFYLLLGSSSEVTSLPLTSTILSVSLLVGFTTSLILFCSHFHQIEEDAAVGKISPLVRLGTERGSHVVKAAIFTLYSLVFAFGLSRALPFTCILLCALTIPMGKLVFSFVEENHKDKGKIFMAKYYCVRLHALFGAALAGGLVAARMFS >Manes.17G110900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31730443:31735803:1 gene:Manes.17G110900.v8.1 transcript:Manes.17G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPIRSSGNTRKPHEMMRLFMTTFVGIIFGFFLGISFPTLSLSKMNLPSSLFSSIDLTYIEDKYSGLSTQALFNAWSSLKGNKVNPPLNRYNGTKIWVPTNPRGAERLPPGIVQAESDFYLRRLWGLPKEDLAATPKYLVTFTVGYNQKNNIDAAIRKFSDNFTIVLFHYDGRITEWDEFEWSKRAIHVSAAKQTKWWYAKRFLHPNIVAPYDYIFMWDEDLGLEHFDAEEYLKLVKKHGLEISQPGLDPVRGTTWAMTRRRYDTEVHKDAEERPGWCADPLLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFAMRKCVEPAHDKIGVVDAQWIVHQGVPSLGNQGKAQDGRAPWEGVRERCQREWTMFQERMTNAEKAYYVAMEIDPPNSTTR >Manes.17G110900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31730443:31735803:1 gene:Manes.17G110900.v8.1 transcript:Manes.17G110900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPIRSGNTRKPHEMMRLFMTTFVGIIFGFFLGISFPTLSLSKMNLPSSLFSSIDLTYIEDKYSGLSTQALFNAWSSLKGNKVNPPLNRYNGTKIWVPTNPRGAERLPPGIVQAESDFYLRRLWGLPKEDLAATPKYLVTFTVGYNQKNNIDAAIRKFSDNFTIVLFHYDGRITEWDEFEWSKRAIHVSAAKQTKWWYAKRFLHPNIVAPYDYIFMWDEDLGLEHFDAEEYLKLVKKHGLEISQPGLDPVRGTTWAMTRRRYDTEVHKDAEERPGWCADPLLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFAMRKCVEPAHDKIGVVDAQWIVHQGVPSLGNQGKAQDGRAPWEGVRERCQREWTMFQERMTNAEKAYYVAMEIDPPNSTTR >Manes.17G110900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31730443:31735803:1 gene:Manes.17G110900.v8.1 transcript:Manes.17G110900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPIRSSGNTRKPHEMMRLFMTTFVGIIFGFFLGISFPTLSLSKMNLPSSLFSSIDLTYIEDKYSGLSTQALFNAWSSLKGNKVNPPLNRYNGTKIWVPTNPRGAERLPPGIVQAESDFYLRRLWGLPKEDLAATPKYLVTFTVGYNQKNNIDAAIRKFSDNFTIVLFHYDGRITEWDEFEWSKRAIHVSAAKQTKWWYAKRFLHPNIVAPYDYIFMWDEDLGLEHFDAEEYLKLVKKHGLEISQPGLDPVRGTTWAMTRRRYDTEVHKDAEERPGWCADPLLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFAMRKCVEPAHDKIGVVDAQWIVHQGVPSLGNQVRERCQREWTMFQERMTNAEKAYYVAMEIDPPNSTTR >Manes.17G110900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31730443:31735803:1 gene:Manes.17G110900.v8.1 transcript:Manes.17G110900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPIRSGNTRKPHEMMRLFMTTFVGIIFGFFLGISFPTLSLSKMNLPSSLFSSIDLTYIEDKYSGLSTQALFNAWSSLKGNKVNPPLNRYNGTKIWVPTNPRGAERLPPGIVQAESDFYLRRLWGLPKEDLAATPKYLVTFTVGYNQKNNIDAAIRKFSDNFTIVLFHYDGRITEWDEFEWSKRAIHVSAAKQTKWWYAKRFLHPNIVAPYDYIFMWDEDLGLEHFDAEEYLKLVKKHGLEISQPGLDPVRGTTWAMTRRRYDTEVHKDAEERPGWCADPLLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFAMRKCVEPAHDKIGVVDAQWIVHQGVPSLGNQVRERCQREWTMFQERMTNAEKAYYVAMEIDPPNSTTR >Manes.04G055200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11135758:11138194:1 gene:Manes.04G055200.v8.1 transcript:Manes.04G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSMPGDH >Manes.05G028100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2421413:2424813:1 gene:Manes.05G028100.v8.1 transcript:Manes.05G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKYITSEELKQHNKPGDLWISIQCKVYDVSDWIKEHPGGDTPLLNLAGQDVTDAFIAYHPGTAWKYLDQLFTGYYLVDFEVSEVSKDYRKLYSEFSKLGLFDKKGHVTMYALACVAFLFCIVVYGVLCCLSLWAHMGSAALLGFLWIQSAYVGHDSGHYQVMLSPRFNKLAQLISGNCLTGISIAWWKWTHNAHHLACNSLDYDPDLQHIPVFAVSSRLFNSITSYFYGRKMNFDPLSRFLVSYQHWTFYPVMCVARVNLFLQTLLLLFSTRKVPDRALNIMGILVFWIWFPLLVSCLPDWPERVMFVLTSFAVTSLQHIQFCLNHFAANVYLGPPNGNDWFEKQTSGTLDISCSSWMDWVYGGLQFQLEHHLFPRLPRSQLRGVSPLVKDLCKKHSLPYRSLSFWEANVWTIRTLRTAALQARDMTNPVPKNLVWEAVHTHG >Manes.08G040100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3924003:3929045:-1 gene:Manes.08G040100.v8.1 transcript:Manes.08G040100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVKEEIQESIDKLLSTFHQWERASSDPEDQMHLMKELVASCESIEWQVDELDKAIAVAARDPAWYGIDEAELEKRRRWTSTSRVQVGNVKKTVAAGEDGNSNGTSGISGMHRELMRLQNSHQENISNPYAKDNDNFIQSESDRQLLLIRQQDEELDELSASVGRIGGVGLTIHEELLAQEKIIGDLGMEMDSTSNRLNFVQKKVEVVMKKTGAKGQIMIIIFLIVLFIILFVLVFLT >Manes.08G040100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3924003:3929978:-1 gene:Manes.08G040100.v8.1 transcript:Manes.08G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVKEEIQESIDKLLSTFHQWERASSDPEDQMHLMKELVASCESIEWQVDELDKAIAVAARDPAWYGIDEAELEKRRRWTSTSRVQVGNVKKTVAAGEDGNSNGTSGISGMHRELMRLQNSHQENISNPYAKDNDNFIQSESDRQLLLIRQQDEELDELSASVGRIGGVGLTIHEELLAQEKIIGDLGMEMDSTSNRLNFVQKKVEVVMKKTGAKGQIMIIIFLIVLFIILFVLVFLT >Manes.08G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:991288:994276:-1 gene:Manes.08G006400.v8.1 transcript:Manes.08G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIGSSGVHGRRRHGSRRSHPPTPPPAAPQPEITGNRYVFAAATPYPSQYPNPNPPPYYQYPGYYHPPPPAMPVPLPAPYDHHHRVDPSHWVGGRYPCAPMMAPPLPYVEHQKAVTIRNDVNLKKESLRLEPDEENPGRFLVAFTFDATVAGSITVMFFAKEGEDCTLTPMKENLLPPVTVTFQQGLGQKFRQPSGTGIDYSVFEERDLTKVGEMDVYPLAVKAEASPPNQNEEEGNQISGITNSQITQAVFEKEKGEYQLRVAKQILWVNGMRYELQEIYGIGNSVEDAVDANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRFQTNRCPICRQPVERLLEIKVNNGPDE >Manes.12G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33207125:33213867:-1 gene:Manes.12G126100.v8.1 transcript:Manes.12G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWMASHIRTKQSKWLEQNLLDMEEKVQTMLKLIEEDGDSFAKRAEMYYKRRPELIYFVEESFRAYRALAERYDHISTELQNANNTIASVFPEQVQFMEDEEDRAPPRFPKKSQEASKTNVPKVPKTPKEVKGISHSAPKNLQSKKSMKTTNSANVPKSGLSKSKGLKEIDKLQKEILTLQTEKEFAKSSYEGGLAKYWEIDEKIREMQAKVCSLQDEFGSGTVIEDDEARELMASAALKSCQETLARLEEKQEKSVGEAMVEGKRINDAREKLKSLKDEFLNGEINHEKPKAKDKPKKAVRELKNLDQEPSIVTQERKDLEKLSAKIKEHLEVESNASLSVTELAETIDGLVNKVISLEAAVSTQTALVQRLRAETDDLQAQIGILEDDKATLINGKNDLREKLKEMEVKLLGLQELNRNIEDQNNILQTNFTETHYNLGHISDKLHDLKPDEEHQATPQTERKPLVEVEPQQEVRKQERAVNGDDNLHGQEGALQGNGSLQATSQTEPKPVEVEPQQEVRRQEGAVNGNDSLHKQEGALHDNDSLQAIQQTEQKPLVEVEPQQEVRSQEGEVNGNNSLHRQEGALHGNDSLHEEQKINSEEKSEVLGKQHIDVERQEGAWHGNDDLHELQKTNSEADSKNLGKQQGDKRQEGAQNDKGHLHEPQKLKSEEGDKVSGKPRKELRRQQVALSLNDSPNESQEQLKVPDSLQKEKNPTAEVNSQAESKEREEKLDKEELKVSQSSQKEKEFSAEVNLQAELKGQGVEFNLEDPKVSGRSRDEEVHGDINRQEDALNDKNDLHEQEKLKSEKENKVSGKPRKELRRQQVALSLNDSPNESQPVELQEELKVPDSLQKEKNLTAEDNSLAESKELEEKLNKEDLKISQSSQKEKEFSAEVNLQAELKGGGIEFNPEDFKVSGRSHDEEVHCDINRQEGALNDKNDLHEPHQLKSEKGHKVSSKPRKELRRQQVGLCLNDSPNESQHMELQEKLKVPDSLEKEKKLPAEVNSQAESNDREERLSTEELKVSESSHKEKDFSAEVNGHAELKGQGQEQEEKLNPEDVKVSGRSHEESTGRGSALGPDGILDAPQVKSAGEIGVLVSSHKEKATYEKKEEIKEHDLSISNRNRGEDASLLQTSGEIDDPLEKSHDMNLDEKVDKQDSAKAVENLLFETLQVIEQDDEPDWKHLFMNGLGNREKNLLNEYTTILRSYKEAKKQLADAENKNGDDLFAIMLQLKELRSANAKKDEHIKILTQKLSLLQRSLGEDNGSEKSTESQKLEREEIDDVDLMMMEQPEISPIEEKFRTSIDELLEENLDFWLRFSTTLYQIQKFETEIKDLQSELLKLEEKRKQDSSTNAKYSLKSDAKPLYKHLQEIHTELAVWIEKGVLLKDELKSRFSSLCDIQEEITAALKESAEDDDFKFTSYQAAKFRGEVLNMKQENNKVADELQAGLDHVTTLQLEVENTLAKLNEEFNLGESKNHQNIELEHSDSRAGVPLRSFIFGNKPKKQKHSILSCVHPVLQKKYNGFRTGMNN >Manes.05G138700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13013695:13019097:1 gene:Manes.05G138700.v8.1 transcript:Manes.05G138700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHPKNQSVALRKKQSLSCPLPFFSIPIFLKKKMRVSSCPAAALTSSIAASGLRFPFINLHSSSPSPSPSLSFFCWKRSMSQFPIHSSSASSPSSSSAADYSTMANESVIKPKQHQPWLIVGLGNPGKKYQGTRHNVGFEMVDAIANAEGISMSSVSFRALFGKGFIGNVPVMLAKPQTFMNSSGESVGAIVSYYKIPLKQVLLIYDDLDLPFAKLRLLPKGGHGGHNGMRSVIDHFKGSRDFPRLRVGIGRPPGKMDTINFVLRPFNKQEREELDFTFQHGIDAIRILLLEGFDRSATFVNSARSMEQCS >Manes.15G177812.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20607253:20607639:-1 gene:Manes.15G177812.v8.1 transcript:Manes.15G177812.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKILTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKLRGGIIEPSLMALARKYNQDKMICSKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Manes.17G027300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19615571:19621189:1 gene:Manes.17G027300.v8.1 transcript:Manes.17G027300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGSNSTSCMMAFGGNSNGLCPTMMMPLMTSHHHPPTPPHPNADSSSNTLLLPLPPTNNQDQNPNSGCCSSMILDDHNHNSNGNTACYFMDNSTASVKVKIMAHPHYHRLLAAYINCQKVGAPPEVVARLEACASAAVMGPSSTNCIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQSVDCQFKALTVSSPNSAYGEANERNGSSEEEVDVNSNFIDPLAEDQELKGQLLRKYSGYLGSLKKEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHSHYYMDNVLSNPFPMDISH >Manes.09G061000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9962690:9967639:-1 gene:Manes.09G061000.v8.1 transcript:Manes.09G061000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDDNHHSTNPNHHHHHHHHILHQPYEPHPFTVKSAPIHVPPQSILPSPVAGSGTGAKSLGGGVEEAEEQVVVEEDNETDSAFHEVNPFSDNSNPFNNERNLRANKRREGEDHSDGANSNHYIYKRPRPSGSAGNSSGEYRKDREEWSDTAIECLLDAYTEKFTQLNRGNLRGRDWEEVAATVSERCQKQAKTVEQCKNKVDNLKKRYKLERHRMSNGGISVSHWPWFKKMEEIVGNSLPMKVTTDENKSGGSSGTAARQSKRCTTATAGHVDQTNNIKSKSMCNIRWRRVVLKISGVALAGTGPNNIDPKVMVLIAREVAIACRLGVEVAIVIGGRNFFCGETWVTATGSDRRTAYQIGMMASVMNSILLQSELEKMGVQTRVQTAFTMQELAEPYNRQRAIRHLEKGQVVIFGGIGTGAGNPLFSSDTAAALQASEIHAEAVLKGTNVDGVYDCHSRDNNVTFEHVSFRDLVSRGATSMDMMALTCCEENGIPVVVFNLLEPGNISKALCGEQVGTLIDQTGSIS >Manes.09G061000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9961073:9967639:-1 gene:Manes.09G061000.v8.1 transcript:Manes.09G061000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDDNHHSTNPNHHHHHHHHILHQPYEPHPFTVKSAPIHVPPQSILPSPVAGSGTGAKSLGGGVEEAEEQVVVEEDNETDSAFHEVNPFSDNSNPFNNERNLRANKRREGEDHSDGANSNHYIYKRPRPSGSAGNSSGEYRKDREEWSDTAIECLLDAYTEKFTQLNRGNLRGRDWEEVAATVSERCQKQAKTVEQCKNKVDNLKKRYKLERHRMSNGGISVSHWPWFKKMEEIVGNSLPMKVTTDENKSGGSSGTAARQSKRCTTATAGHVDQTNNIKSKSMCNIRWRRVVLKISGVALAGTGPNNIDPKVMVLIAREVAIACRLGVEVAIVIGGRNFFCGETWVTATGSDRRTAYQIGMMASVMNSILLQSELEKMGVQTRVQTAFTMQELAEPYNRQRAIRHLEKGQVVIFGGIGTGAGNPLFSSDTAAALQASEIHAEAVLKGTNVDGVYDCHSRDNNVTFEHVSFRDLVSRGATSMDMMALTCCEENGIPVVVFNLLEPGNISKALCGEQVGTLIDQTGSIS >Manes.09G061000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9962690:9967639:-1 gene:Manes.09G061000.v8.1 transcript:Manes.09G061000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDDNHHSTNPNHHHHHHHHILHQPYEPHPFTVKSAPIHVPPQSILPSPVAGSGTGAKSLGGGVEEAEEQVVVEEDNETDSAFHEVNPFSDNSNPFNNERNLRANKRREGEDHSDGANSNHYIYKRPRPSGSAGNSSGEYRKDREEWSDTAIECLLDAYTEKFTQLNRGNLRGRDWEEVAATVSERCQKQAKTVEQCKNKVDNLKKRYKLERHRMSNGGISVSHWPWFKKMEEIVGNSLPMKVTTDENKSGGSSGTAARQSKRCTTATAGHVDQTNNIKSKSMCNIRWRRVVLKISGVALAGTGPNNIDPKVMVLIAREVAIACRLGVEVAIVIGGRNFFCGETWVTATGSDRRTAYQIGQNWRRWVFKRVCRLHLQCRSLLNHTIGNVQSGILRKVKL >Manes.18G068600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6193013:6195392:-1 gene:Manes.18G068600.v8.1 transcript:Manes.18G068600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREANKGAPSADLLVCFPSRAHLTLLPKPICSPARPVEPSKRHHNHSNRHLRHHHRLKKSSTRGGSGGQASPLLWAKNKQMGSEISSEPTSPKVTCAGQIKVRHKTVACKSWQSVMEEIERIHNNRKHKKRSSWMDSLGFKKDIMQFLTCLRNIRFDFRCFGSIPQSDITTDEDEEDEEDEEFRENHGGSGASDGNEGSSTMFSKWFMVLQENQNTEFCREKRKDKEKEKPCNDEPIATPSVPPPNALLLMRCRSAPAKSWLEEKVEEREEDEEEVENDDEEEEEKEEEEDRKTEEKKGRSLEALMEEEKRNMKKESLVVMRYDTNFYKISSDIAKETWVVGGMRDPLSRSRSWKR >Manes.11G047500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5041547:5065290:-1 gene:Manes.11G047500.v8.1 transcript:Manes.11G047500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKIRGIDVDFPFEAYDCQLVYMEKVIQSLQSKCNALLESPTGTGKTLCLLCATLAWRKSLGPFSIGKIERNGRTAGNKSDDMPLNSEDNGLPTIVYTSRTHSQLRQVIQELKRSSYRPKMVILGSREQLCIHDEISLIRGKAQTNACHFVCRKRGKSQCTHYARVGDYVRLNPHLGDEPVDIEDLVNIGKRFGPCPYYVSKELHKVVDILFAPYNYLIDRSYRRSLKIDWENCILIFDEAHNLESLCADAASFDLSSGLLTACISEAKSCIDLSVARREESNDKSGNPDNFAILKAILLKLEKRIAEVPIGSKELGFTKPGPYIYELLADLNITHETASKLTGIIEEAALLLEEDKQRRANGTVCRLESIGNILKIIFREKDNAHANFYRVHVQEVEASASDALKGKTSRMLSWWCFNPGVAMEEFSRMGVGSIILTSGTLSPMESFAQELKLNFPIRLENPHVISSNQMWAGVVPAGPSGRPFNSSYRNRDSIEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCIGCWKNESLASSTTIWERICKHKKPVVEPRQSSLFPLAIEDYMAKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVIIGMPFPSRSDPKVRLKREFLDEQLHLQRDACKMLKLQILSGEDWYTQQASRAVNQAVGRVIRHRYDYGAIIFCDERFVNSNSQSQISLWIQPHIKCHFKFGDVVFTLSRFFRDGVQIPTKLKSIQSEDMASASEVKTSQPADKFYLDDFLNSTGQSCNGKSLSSLLDVRRGKRQLEEVLPANRSSLTTFKQNQDSKLKYLSGLICNEKTSLMCERKNIQHQNQERIDLIGKSVLDEIPNRGVLLPYTAKKRKGCNSEHELKGQLENTESHTSSAKRSNSNNPYSPFSLVMKPENPQISDNGSRQTAQVVSAFPCKDHGIAKDSKSLAQKGKVVQPTLVPCSDEEKRGSAFLTQVKEKLTSAEYKEFVGFMKALKSKAMKIGSVLESIVILFSGPDRRPLLKRFKDYIPAKYHSLYEHYIEANDETLNNQT >Manes.11G047500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5041547:5065290:-1 gene:Manes.11G047500.v8.1 transcript:Manes.11G047500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKIRGIDVDFPFEAYDCQLVYMEKVIQSLQSKCNALLESPTGTGKTLCLLCATLAWRKSLGPFSIGKIERNGRTAGNKSDDMPLNSEDNGLPTIVYTSRTHSQLRQVIQELKRSSYRPKMVILGSREQLCIHDEISLIRGKAQTNACHFVCRKRGKSQCTHYARVGDYVRLNPHLGDEPVDIEDLVNIGKRFGPCPYYVSKELHKVVDILFAPYNYLIDRSYRRSLKIDWENCILIFDEAHNLESLCADAASFDLSSGLLTACISEAKSCIDLSVARREESNDKSGNPDNFAILKAILLKLEKRIAEVPIGSKELGFTKPGPYIYELLADLNITHETASKLTGIIEEAALLLEEDKQRRANGTVCRLESIGNILKIIFREKDNAHANFYRVHVQEVEASASDALKGKTSRMLSWWCFNPGVAMEEFSRMGVGSIILTSGTLSPMESFAQELKLNFPIRLENPHVISSNQMWAGVVPAGPSGRPFNSSYRNRDSIEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCIGCWKNESLASSTTIWERICKHKKPVVEPRQSSLFPLAIEDYMAKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVIIGMPFPSRSDPKVRLKREFLDEQLHLQRDACKMLKLQILSGEDWYTQQASRAVNQAVGRVIRHRYDYGAIIFCDERFVNSNSQSQISLWIQPHIKCHFKFGDVVFTLSRFFRDGVQIPTKLKSIQSEDMASASEVKTSQPADKFYLDDFLNSTGQSCNGKSLSSLLDVRRGKRQLEEVLPANRSSLTTFKQNQDSKLKYLSGLICNEKTSLMCERKNIQHQNQERIDLIGKSVLDEIPNRGVLLPYTAKKRKGCNSEHELKGQLENTESHTSSAKRSNSNNPYSPFSLVMKPENPQISDNGSRQTAQVVSAFPCKDHGIAKDSKSLAQKGKVVQPTLVPCSDEEKRGSAFLTQVKEKLTSAEYKEFVGFMKALKSKAMKIDSRIIFLQSIILYMSTILKQMMKHSITKHE >Manes.11G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5041547:5065290:-1 gene:Manes.11G047500.v8.1 transcript:Manes.11G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKIRGIDVDFPFEAYDCQLVYMEKVIQSLQSKCNALLESPTGTGKTLCLLCATLAWRKSLGPFSIGKIERNGRTAGNKSDDMPLNSEDNGLPTIVYTSRTHSQLRQVIQELKRSSYRPKMVILGSREQLCIHDEISLIRGKAQTNACHFVCRKRGKSQCTHYARVGDYVRLNPHLGDEPVDIEDLVNIGKRFGPCPYYVSKELHKVVDILFAPYNYLIDRSYRRSLKIDWENCILIFDEAHNLESLCADAASFDLSSGLLTACISEAKSCIDLSVARREESNDKSGNPDNFAILKAILLKLEKRIAEVPIGSKELGFTKPGPYIYELLADLNITHETASKLTGIIEEAALLLEEDKQRRANGTVCRLESIGNILKIIFREKDNAHANFYRVHVQEVEASASDALKGKTSRMLSWWCFNPGVAMEEFSRMGVGSIILTSGTLSPMESFAQELKLNFPIRLENPHVISSNQMWAGVVPAGPSGRPFNSSYRNRDSIEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCIGCWKNESLASSTTIWERICKHKKPVVEPRQSSLFPLAIEDYMAKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVIIGMPFPSRSDPKVRLKREFLDEQLHLQRDACKMLKLQILSGEDWYTQQASRAVNQAVGRVIRHRYDYGAIIFCDERFVNSNSQSQISLWIQPHIKCHFKFGDVVFTLSRFFRDGVQIPTKLKSIQSEDMASASEVKTSQPADKFYLDDFLNSTGQSCNGKSLSSLLDVRRGKRQLEEVLPANRSSLTTFKQNQDSKLKYLSGLICNEKTSLMCERKNIQHQNQERIDLIGKSVLDEIPNRGVLLPYTAKKRKGCNSEHELKGQLENTESHTSSAKRSNSNNPYSPFSLVMKPENPQISDNGSRQTAQVVSAFPCKDHGIAKDSKSLAQKGKVVQPTLVPCSDEEKRGSAFLTQVKEKLTSAEYKEFVGFMKALKSKAMKIGSVLESIVILFSGPDRRPLLKRFKDYIPAKYHSLYEHYIEANDETLNNQMQHE >Manes.11G047500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5041547:5065290:-1 gene:Manes.11G047500.v8.1 transcript:Manes.11G047500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKIRGIDVDFPFEAYDCQLVYMEKVIQSLQSKCNALLESPTGTGKTLCLLCATLAWRKSLGPFSIGKIERNGRTAGNKSDDMPLNSEDNGLPTIVYTSRTHSQLRQVIQELKRSSYRPKMVILGSREQLCIHDEISLIRGKAQTNACHFVCRKRGKSQCTHYARVGDYVRLNPHLGDEPVDIEDLVNIGKRFGPCPYYVSKELHKVVDILFAPYNYLIDRSYRRSLKIDWENCILIFDEAHNLESLCADAASFDLSSGLLTACISEAKSCIDLSVARREESNDKSGNPDNFAILKAILLKLEKRIAEVPIGSKELGFTKPGPYIYELLADLNITHETASKLTGIIEEAALLLEEDKQRRANGTVCRLESIGNILKIIFREKDNAHANFYRVHVQEVEASASDALKGKTSRMLSWWCFNPGVAMEEFSRMGVGSIILTSGTLSPMESFAQELKLNFPIRLENPHVISSNQMWAGVVPAGPSGRPFNSSYRNRDSIEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCIGCWKNESLASSTTIWERICKHKKPVVEPRQSSLFPLAIEDYMAKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVIIGMPFPSRSDPKVRLKREFLDEQLHLQRDACKILSGEDWYTQQASRAVNQAVGRVIRHRYDYGAIIFCDERFVNSNSQSQISLWIQPHIKCHFKFGDVVFTLSRFFRDGVQIPTKLKSIQSEDMASASEVKTSQPADKFYLDDFLNSTGQSCNGKSLSSLLDVRRGKRQLEEVLPANRSSLTTFKQNQDSKLKYLSGLICNEKTSLMCERKNIQHQNQERIDLIGKSVLDEIPNRGVLLPYTAKKRKGCNSEHELKGQLENTESHTSSAKRSNSNNPYSPFSLVMKPENPQISDNGSRQTAQVVSAFPCKDHGIAKDSKSLAQKGKVVQPTLVPCSDEEKRGSAFLTQVKEKLTSAEYKEFVGFMKALKSKAMKIGSVLESIVILFSGPDRRPLLKRFKDYIPAKYHSLYEHYIEANDETLNNQT >Manes.11G047500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5041547:5065290:-1 gene:Manes.11G047500.v8.1 transcript:Manes.11G047500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKIRGIDVDFPFEAYDCQLVYMEKVIQSLQSKCNALLESPTGTGKTLCLLCATLAWRKSLGPFSIGKIERNGRTAGNKSDDMPLNSEDNGLPTIVYTSRTHSQLRQVIQELKRSSYRPKMVILGSREQLCIHDEISLIRGKAQTNACHFVCRKRGKSQCTHYARVGDYVRLNPHLGDEPVDIEDLVNIGKRFGPCPYYVSKELHKVVDILFAPYNYLIDRSYRRSLKIDWENCILIFDEAHNLESLCADAASFDLSSGLLTACISEAKSCIDLSVARREESNDKSGNPDNFAILKAILLKLEKRIAEVPIGSKELGFTKPGPYIYELLADLNITHETASKLTGIIEEAALLLEEDKQRRANGTVCRLESIGNILKIIFREKDNAHANFYRVHVQEVEASASDALKGKTSRMLSWWCFNPGVAMEEFSRMGVGSIILTSGTLSPMESFAQELKLNFPIRLENPHVISSNQMWAGVVPAGPSGRPFNSSYRNRDSIEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCIGCWKNESLASSTTIWERICKHKKPVVEPRQSSLFPLAIEDYMAKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVIIGMPFPSRSDPKVRLKREFLDEQLHLQRDACKMLKLQILSGEDWYTQQASRAVNQAVGRVIRHRYDYGAIIFCDERFVNSNSQSQISLWIQPHIKCHFKFGDVVFTLSRFFRDGVQIPTKLKSIQSEDMASASEVKTSQPADKFYLDDFLNSTGQSCNGKSLSSLLDVRRGKRQLEEVLPANRSSLTTFKQNQDSKLKYLSGLICNEKTSLMCERKNIQHQNQERIDLIGKSVLDEIPNRGVLLPYTAKKRKGCNSEHELKGQLENTESHTSSAKRSNSNNPYSPFSLVMKPENPQISDNGSRQTAQVVSAFPCKDHGIAKDSKSLAQKGKVVQPTLVPCSDEEKRGSAFLTQVKEKLTSAEYKEFVGFMKALKSKAMKIDSRIIFLQSIILYMSTILKQMMKHSITKCSMNDRRNCTTA >Manes.11G047500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5041547:5065290:-1 gene:Manes.11G047500.v8.1 transcript:Manes.11G047500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYKIRGIDVDFPFEAYDCQLVYMEKVIQSLQSKCNALLESPTGTGKTLCLLCATLAWRKSLGPFSIGKIERNGRTAGNKSDDMPLNSEDNGLPTIVYTSRTHSQLRQVIQELKRSSYRPKMVILGSREQLCIHDEISLIRGKAQTNACHFVCRKRGKSQCTHYARVGDYVRLNPHLGDEPVDIEDLVNIGKRFGPCPYYVSKELHKVVDILFAPYNYLIDRSYRRSLKIDWENCILIFDEAHNLESLCADAASFDLSSGLLTACISEAKSCIDLSVARREESNDKSGNPDNFAILKAILLKLEKRIAEVPIGSKELGFTKPGPYIYELLADLNITHETASKLTGIIEEAALLLEEDKQRRANGTVCRLESIGNILKIIFREKDNAHANFYRVHVQEVEASASDALKGKTSRMLSWWCFNPGVAMEEFSRMGVGSIILTSGTLSPMESFAQELKLNFPIRLENPHVISSNQMWAGVVPAGPSGRPFNSSYRNRDSIEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCIGCWKNESLASSTTIWERICKHKKPVVEPRQSSLFPLAIEDYMAKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVIIGMPFPSRSDPKVRLKREFLDEQLHLQRDACKILSGEDWYTQQASRAVNQAVGRVIRHRYDYGAIIFCDERFVNSNSQSQISLWIQPHIKCHFKFGDVVFTLSRFFRDGVQIPTKLKSIQSEDMASASEVKTSQPADKFYLDDFLNSTGQSCNGKSLSSLLDVRRGKRQLEEVLPANRSSLTTFKQNQDSKLKYLSGLICNEKTSLMCERKNIQHQNQERIDLIGKSVLDEIPNRGVLLPYTAKKRKGCNSEHELKGQLENTESHTSSAKRSNSNNPYSPFSLVMKPENPQISDNGSRQTAQVVSAFPCKDHGIAKDSKSLAQKGKVVQPTLVPCSDEEKRGSAFLTQVKEKLTSAEYKEFVGFMKALKSKAMKIGSVLESIVILFSGPDRRPLLKRFKDYIPAKYHSLYEHYIEANDETLNNQMQHE >Manes.14G039800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3409973:3413448:1 gene:Manes.14G039800.v8.1 transcript:Manes.14G039800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNLATFPSSTASSRLLLISSSNSKPLLSLPKKLPFFSSKSLASPQNSRPISYYRPPMNILNKLGFGTRSPDPSTMDPTVPQGPDDDLPAPGQQFAQFGAGCFWGVELVFQRVPGVIKTEVGYSQGLLHNPTYEDVCTGITNHNEVVRVQYDPKECSYETLLDAFWARHDPTTLNRQGNDVGTQYRSGIYFYTAQQEKAARESLERQQKILNRKIVTEILPAKKFYRAEEYHQQYLEKGGRFGFKQSAEKGCNDPIRCYG >Manes.04G105400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31049030:31052427:-1 gene:Manes.04G105400.v8.1 transcript:Manes.04G105400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSPDARTRHSLSPVLQKLNSLKVVGKKDSIALMEYQLLEAATNNFQESNLLGEGGHGRVYKARVSEKLLAAVKKLEGVGRDVQREFENEMKWLTKIQHQNIISLLGYCIHDESKFLVYEIMQNGSLESQLHGPTHGSALTWHLRMKIAVDVARGLQYLHEHCNPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTSGIKAKNIKLSGTLGYVAPEYLLQGKLTDKSDVYAFGVVLLELLIGRKPVEMISEEQCLSLVTWAMPQLTDRSKLPNIVDPIIKDTMDIRHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLLPLELGGSLKITEPLPAALSFQQR >Manes.04G105400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31049030:31052427:-1 gene:Manes.04G105400.v8.1 transcript:Manes.04G105400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSPGVPMGPVVKIVHHHDLNKRILIALIVASSLLGAILLFLSCFWIHRRKSSKNPSLKGKQNFDARTRHSLSPVLQKLNSLKVVGKKDSIALMEYQLLEAATNNFQESNLLGEGGHGRVYKARVSEKLLAAVKKLEGVGRDVQREFENEMKWLTKIQHQNIISLLGYCIHDESKFLVYEIMQNGSLESQLHGPTHGSALTWHLRMKIAVDVARGLQYLHEHCNPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTSGIKAKNIKLSGTLGYVAPEYLLQGKLTDKSDVYAFGVVLLELLIGRKPVEMISEEQCLSLVTWAMPQLTDRSKLPNIVDPIIKDTMDIRHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLLPLELGGSLKITEPLPAALSFQQR >Manes.04G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31049030:31052427:-1 gene:Manes.04G105400.v8.1 transcript:Manes.04G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLALFLPMCVLSSTILFFLLDARPESTFPTYSGPVSLAKREPISLFSAKMEAQSPGVPMGPVVKIVHHHDLNKRILIALIVASSLLGAILLFLSCFWIHRRKSSKNPSLKGKQNFDARTRHSLSPVLQKLNSLKVVGKKDSIALMEYQLLEAATNNFQESNLLGEGGHGRVYKARVSEKLLAAVKKLEGVGRDVQREFENEMKWLTKIQHQNIISLLGYCIHDESKFLVYEIMQNGSLESQLHGPTHGSALTWHLRMKIAVDVARGLQYLHEHCNPPVVHRDIKSSNILLDSNFNAKLSDFGLAVTSGIKAKNIKLSGTLGYVAPEYLLQGKLTDKSDVYAFGVVLLELLIGRKPVEMISEEQCLSLVTWAMPQLTDRSKLPNIVDPIIKDTMDIRHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLLPLELGGSLKITEPLPAALSFQQR >Manes.05G059600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4957457:4961159:1 gene:Manes.05G059600.v8.1 transcript:Manes.05G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVDAAIKEENGNIAEKKPTVVFVLGGPGSGKGTQCTNIVQHFGYTHLSAGDLLREEIKSGSENGTMIQDMIKEGKIVPSEVTIKLLQKAMQENENDKFLIDGFPRNEENRAAFESITKIEPQFVLFFDCSEEEMERRLLNRNQGRVDDNIETIRKRFKVFLESSMPVIEYYGSKGKVRKIDASKPVEEVFEAVKAIFTQKDEKAAV >Manes.01G003500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2008425:2017907:-1 gene:Manes.01G003500.v8.1 transcript:Manes.01G003500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSTSVDILQHPEGGDSGEGDGTASADKCKEVDSTKFISVTGSGTSDAQSIGDVSSGWRIVMHEETNQYYYWNTETGETSWEVPDVYAQTTSTSDQKAPENENVETALVDTNAVISTLGIGLDSSSAPFTVDGSTGANLINQSQEVFFSGLQIDESVEGCKIETLEDKNWVTSVYQNESQINHSAASVPLGAALTNEIGGMDLCTDLMRQCECLLERLKSLKGYGNHLQCHEQMSKYILELDIRLSDIQSLSSYGSTLLPFWIHSQRQLKKLEDAINNEIYHLAVSAQMNDDVVATANNSIEEKEKSWGSVGKHSDSDRCENNRKSEFASVAANGENDSHNDPCENVYTRHVLSPEHSEGGAVVSEKLNATSHLDHSGEDDMDVDMEVEDEVPESIGALGDDSSTKFISPARQPGQPNTPAEYPTLTSGNETSVPPPPEEDLIPPPPPDSDQVPPPPPDSEQVPPPPPPDEPPEPSYPLLPSYPETHQPLPYTEQYNLPYPDPNFQYYGQTVAVPSNNLYGHADGSQVGVSHASLYYETVANTYVETTPVIVSPVEPVAYYNLQDGSMPSLPDVRVESSCLHSESVPGCYDAFASDQIRTVDKPAEAGQNLKLDVSAVVSETITASEGLSSNSVTTETPSTTNVAENVSAPAINPGNAAKVQSKVPRSKKRTVAVAPSLRSNKKVSSLVDKWKAAKVELNENEENEPENAYEMLEKKRQREIEEWHAKQIASGGAKDNANFQPLGGDWRERVKRRRAQAAKEDAKTPPEAPIVENKQPDVAELSKGLPSGWQAYWDEASKQVYYGNVITSETTWCKPTK >Manes.01G003500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2008425:2017910:-1 gene:Manes.01G003500.v8.1 transcript:Manes.01G003500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKDRRHAALSNAGRRVKLDLFAEPSGQPPQNPLLLLGQYSDDELDEESSKKPNHAVAENSPSDHVDQEGPTSDGKEVDFNAIEDIADEKVEPRDMERDSTSVDILQHPEGGDSGEGDGTASADKCKEVDSTKFISVTGSGTSDAQSIGDVSSGWRIVMHEETNQYYYWNTETGETSWEVPDVYAQTTSTSDQKAPENENVETALVDTNAVISTLGIGLDSSSAPFTVDGSTGANLINQSQEVFFSGLQIDESVEGCKIETLEDKNWVTSVYQNESQINHSAASVPLGAALTNEIGGMDLCTDLMRQCECLLERLKSLKGYGNHLQCHEQMSKYILELDIRLSDIQSLSSYGSTLLPFWIHSQRQLKKLEDAINNEIYHLAVSAQMNDDVVATANNSIEEKEKSWGSVGKHSDSDRCENNRKSEFASVAANGENDSHNDPCENVYTRHVLSPEHSEGGAVVSEKLNATSHLDHSGEDDMDVDMEVEDEVPESIGALGDDSSTKFISPARQPGQPNTPAEYPTLTSGNETSVPPPPEEDLIPPPPPDSDQVPPPPPDSEQVPPPPPPDEPPEPSYPLLPSYPETHQPLPYTEQYNLPYPDPNFQYYGQTVAVPSNNLYGHADGSQVGVSHASLYYETVANTYVETTPVIVSPVEPVAYYNLQDGSMPSLPDVRVESSCLHSESVPGCYDAFASDQIRTVDKPAEAGQNLKLDVSAVVSETITASEGLSSNSVTTETPSTTNVAENVSAPAINPGNAAKVQSKVPRSKKRTVAVAPSLRSNKKVSSLVDKWKAAKVELNENEENEPENAYEMLEKKRQREIEEWHAKQIASGGAKDNANFQPLGGDWRERVKRRRAQAAKEDAKTPPEAPIVENKQPDVAELSKGLPSGWQAYWDEASKQVYYGNVITSETTWCKPTK >Manes.01G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2008425:2017907:-1 gene:Manes.01G003500.v8.1 transcript:Manes.01G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKDRRHAALSNAGRRVKLDLFAEPSGGLGGSSVNGEVGGGIDPTQRAGLPNSPSSSGQPPQNPLLLLGQYSDDELDEESSKKPNHAVAENSPSDHVDQEGPTSDGKEVDFNAIEDIADEKVEPRDMERDSTSVDILQHPEGGDSGEGDGTASADKCKEVDSTKFISVTGSGTSDAQSIGDVSSGWRIVMHEETNQYYYWNTETGETSWEVPDVYAQTTSTSDQKAPENENVETALVDTNAVISTLGIGLDSSSAPFTVDGSTGANLINQSQEVFFSGLQIDESVEGCKIETLEDKNWVTSVYQNESQINHSAASVPLGAALTNEIGGMDLCTDLMRQCECLLERLKSLKGYGNHLQCHEQMSKYILELDIRLSDIQSLSSYGSTLLPFWIHSQRQLKKLEDAINNEIYHLAVSAQMNDDVVATANNSIEEKEKSWGSVGKHSDSDRCENNRKSEFASVAANGENDSHNDPCENVYTRHVLSPEHSEGGAVVSEKLNATSHLDHSGEDDMDVDMEVEDEVPESIGALGDDSSTKFISPARQPGQPNTPAEYPTLTSGNETSVPPPPEEDLIPPPPPDSDQVPPPPPDSEQVPPPPPPDEPPEPSYPLLPSYPETHQPLPYTEQYNLPYPDPNFQYYGQTVAVPSNNLYGHADGSQVGVSHASLYYETVANTYVETTPVIVSPVEPVAYYNLQDGSMPSLPDVRVESSCLHSESVPGCYDAFASDQIRTVDKPAEAGQNLKLDVSAVVSETITASEGLSSNSVTTETPSTTNVAENVSAPAINPGNAAKVQSKVPRSKKRTVAVAPSLRSNKKVSSLVDKWKAAKVELNENEENEPENAYEMLEKKRQREIEEWHAKQIASGGAKDNANFQPLGGDWRERVKRRRAQAAKEDAKTPPEAPIVENKQPDVAELSKGLPSGWQAYWDEASKQVYYGNVITSETTWCKPTK >Manes.04G096400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30205913:30209925:1 gene:Manes.04G096400.v8.1 transcript:Manes.04G096400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARTVICSTPSHLKLWSSPFSLSLSPKFTLSLNPNLPKNRPIFFSSSLPPVRSLHSPTQQASTTRTHDAIRRPNVVDILEERGLLESLTSDNLRSASSTSNSTLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHKAVALIGGATARIGDPSGKSLERPELDVDTLEKNTLGITNALTRIFNMNMNLNNSIVVMNNYDWWKEFRLLDFLKQVGRYARVGTMIAKESVKKRLESEQGMSYTEFSYQLLQGYDFLYLFKNEGVNVQIGGSDQWGNITAGTELIRKILQPQDGAEAHGLTFPLLLKSDGTKFGKSEDGAIWLSPSFLSPYKFYQYFFSVPDADVIRFLRILTFLDLDEIDELEKEMKRPGYVPNTAQRRLAEEVTRFVHGEDGLSEALKATEALRPGAETKLDWKTIEGIAEDVPSCSLAYDQVLNLSLVDLSVSAGLLESKSSARRLLKQGGLYLNNCRVDTENKRIEPEDIVDGKVLLLSAGKKNKVIVRIS >Manes.04G096400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30205913:30211642:1 gene:Manes.04G096400.v8.1 transcript:Manes.04G096400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARTVICSTPSHLKLWSSPFSLSLSPKFTLSLNPNLPKNRPIFFSSSLPPVRSLHSPTQQASTTRTHDAIRRPNVVDILEERGLLESLTSDNLRSASSTSNSTLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHKAVALIGGATARIGDPSGKSLERPELDVDTLEKNTLGITNALTRIFNMNMNLNNSIVVMNNYDWWKEFRLLDFLKQVGRYARVGTMIAKESVKKRLESEQGMSYTEFSYQLLQGYDFLYLFKNEGVNVQIGGSDQWGNITAGTELIRKILQPQDGAEAHGLTFPLLLKSDGTKFGKSEDGAIWLSPSFLSPYKFYQYFFSVPDADVIRFLRILTFLDLDEIDELEKEMKRPGYVPNTAQRRLAEEVTRFVHGEDGLSEALKATEALRPGAETKLDWKTIEGIAEDVPSCSLAYDQVLNLSLVDLSVSAGLLESKSSARRLLKQGGLYLNNCRVDTENKRIEPEDIVDGKVLLLSAGKKNKVIVRIS >Manes.04G096400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30205913:30209925:1 gene:Manes.04G096400.v8.1 transcript:Manes.04G096400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARTVICSTPSHLKLWSSPFSLSLSPKFTLSLNPNLPKNRPIFFSSSLPPVRSLHSPTQQASTTRTHDAIRRPNVVDILEERGLLESLTSDNLRSASSTSNSTLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHKAVALIGGATARIGDPSGKSLERPELDVDTLEKNTLGITNALTRIFNMNMNLNNSIVVMNNYDWWKEFRLLDFLKQVGRYARVGTMIAKESVKKRLESEQGMSYTEFSYQLLQGYDFLYLFKNEGVNVQIGGSDQWGNITAGTELIRKILQPQDGAEAHGLTFPLLLKSDGTKFGKSEDGAIWLSPSFLSPYKFYQYFFSVPDADVIRFLRILTFLDLDEIDELEKEMKRPGYVPNTAQRRLAEEVTRFVHGEDGLSEALKATEALRPGAETKLDWKTIEGIAEDVPSCSLAYDQVLNLSLVDLSVSAGLLESKSSARRLLKQGGLYLNNCRVDTENKRIEPEDIVDGKVLLLSAGKKNKVIVRIS >Manes.07G084600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26659042:26668106:1 gene:Manes.07G084600.v8.1 transcript:Manes.07G084600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVDVEGRKGDNHIMVNADSNKSGKLNVTEDSSEVMVRNQDDDGGALPHVGMEFESEDVAKAFYDAYARRMGFSTHVGQFNRAKPDGPIVTWDFACSREVLKRRNFECCNAMLRIERKNSDNWVVTKFIEEHNHSMTVPSKVHYLRPRRHFAGATKNATETLDASATSDVYVPIDGNHASYEQNRVRNVSLVEPNHPVRNVVHAPMNYVSPPSRKRTLGRDAQNLLNYFQKMQAENPGFYYAIQLDDDNRMTNVFWTDARSRMAYNHFGDTVVFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRPPVSLTTDQDRAIQMAVAQVLPETRHRICKWHILREGQERLSHIYLAHPSFYGELYSSINFSETIEDFESSWGSLLDKYDLQKNEWLQAVYNARKQWAPVYFRGTFFAAISSNQGFSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTPVLKTPSPMEQLAANLYTKKVFAKFQEELVETFVYTANNIEDDGVVSKYRVAKYEQDDKAYIVILNISEMKASCSCQMFEYCGILCRHVLTVYTVTNVLTLPSHYILKRWKRNANSWVGTEEQSPDLQAIESLTSRFNNLCVEAIKYAAEGTIAVETYNLAINALREGAKKIAAMKKNVAKVTPPSSQGSGNSLEETNKKTPFSVPEMVPSVWHWQDAMPHRFNLNDVGVPVTDLNQPSTAPVSIHRDGCPPDNTVVLTYFKSMTWVIENKTSTPAGKVAVINLKLQDYGKNPSGETEVQFRLTRITLEPMLRSMAHISQQLSTPANRVAVINLKLQDTKTTTGETEVKFQVSRDTLASMLRSMAYIREQL >Manes.07G084600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26659042:26668106:1 gene:Manes.07G084600.v8.1 transcript:Manes.07G084600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVDVEGRKGDNHIMVNADSNKSGKLNVTEDSSEVMVRNQDDDGGALPHVGMEFESEDVAKAFYDAYARRMGFSTHVGQFNRAKPDGPIVTWDFACSREVLKRRNFECCNAMLRIERKNSDNWVVTKFIEEHNHSMTVPSKVHYLRPRRHFAGATKNATETLDASATSDVYVPIDGNHASYEQNRVRNVSLVEPNHPVRNVVHAPMNYVSPPSRKRTLGRDAQNLLNYFQKMQAENPGFYYAIQLDDDNRMTNVFWTDARSRMAYNHFGDTVVFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRPPVSLTTDQDRAIQMAVAQVLPETRHRICKWHILREGQERLSHIYLAHPSFYGELYSSINFSETIEDFESSWGSLLDKYDLQKNEWLQAVYNARKQWAPVYFRGTFFAAISSNQGFSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTPVLKTPSPMEQLAANLYTKKVFAKFQEELVETFVYTANNIEDDGVVSKYRVAKYEQDDKAYIVILNISEMKASCSCQMFEYCGILCRHVLTVYTVTNVLTLPSHYILKRWKRNANSWVGTEEQSPDLQAIESLTSRFNNLCVEAIKYAAEGTIAVETYNLAINALREGAKKIAAMKKNVAKVTPPSSQGSGNSLEETNKKTPFSVPEMVPSVWHWQDAMPHRFNLNDVGVPVTDLNQPSTAPVSIHRDGCPPDNTVVLTYFKSMTWVIENKTSTPAGKVAVINLKLQDYGKNPSGETEVQFRLTRITLEPMLRSMAHISQQLSTPANRVAVINLKLLSDPVFFFILFSLPFAGIVISSHFGSKIPKQQQGKQR >Manes.07G084600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26659089:26668066:1 gene:Manes.07G084600.v8.1 transcript:Manes.07G084600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVDVEGRKGDNHIMVNADSNKSGKLNVTEDSSEVMVRNQDDDGGALPHVGMEFESEDVAKAFYDAYARRMGFSTHVGQFNRAKPDGPIVTWDFACSREVLKRRNFECCNAMLRIERKNSDNWVVTKFIEEHNHSMTVPSKVHYLRPRRHFAGATKNATETLDASATSDVYVPIDGNHASYEQNRVRNVSLVEPNHPVRNVVHAPMNYVSPPSRKRTLGRDAQNLLNYFQKMQAENPGFYYAIQLDDDNRMTNVFWTDARSRMAYNHFGDTVVFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRPPVSLTTDQDRAIQMAVAQVLPETRHRICKWHILREGQERLSHIYLAHPSFYGELYSSINFSETIEDFESSWGSLLDKYDLQKNEWLQAVYNARKQWAPVYFRGTFFAAISSNQGFSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTPVLKTPSPMEQLAANLYTKKVFAKFQEELVETFVYTANNIEDDGVVSKYRVAKYEQDDKAYIVILNISEMKASCSCQMFEYCGILCRHVLTVYTVTNVLTLPSHYILKRWKRNANSWVGTEEQSPDLQAIESLTSRFNNLCVEAIKYAAEGTIAVETYNLAINALREGAKKIAAMKKNVAKVTPPSSQGSGNSLEETNKKTPFSVPEMVPSVWHWQDAMPHRFNLNDVGVPVTDLNQPSTAPVSIHRDGCPPDNTVVLTYFKSMTWVIENKTSTPAGKVAVINLKLQDYGKNPSGETEVQFRLTRITLEPMLRSMAHISQQLSTPANRVAVINLKLQDTKTTTGETEVKFQVSRDTLASMLRSMAYIREQL >Manes.07G084600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:26659089:26668107:1 gene:Manes.07G084600.v8.1 transcript:Manes.07G084600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVDVEGRKGDNHIMVNADSNKSGKLNVTEDSSEVMVRNQDDDGGALPHVGMEFESEDVAKAFYDAYARRMGFSTHVGQFNRAKPDGPIVTWDFACSREVLKRRNFECCNAMLRIERKNSDNWVVTKFIEEHNHSMTVPSKVHYLRPRRHFAGATKNATETLDASATSDVYVPIDGNHASYEQNRVRNVSLVEPNHPVRNVVHAPMNYVSPPSRKRTLGRDAQNLLNYFQKMQAENPGFYYAIQLDDDNRMTNVFWTDARSRMAYNHFGDTVVFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRPPVSLTTDQDRAIQMAVAQVLPETRHRICKWHILREGQERLSHIYLAHPSFYGELYSSINFSETIEDFESSWGSLLDKYDLQKNEWLQAVYNARKQWAPVYFRGTFFAAISSNQGFSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTPVLKTPSPMEQLAANLYTKKVFAKFQEELVETFVYTANNIEDDGVVSKYRVAKYEQDDKAYIVILNISEMKASCSCQMFEYCGILCRHVLTVYTVTNVLTLPSHYILKRWKRNANSWVGTEEQSPDLQAIESLTSRFNNLCVEAIKYAAEGTIAVETYNLAINALREGAKKIAAMKKNVAKVTPPSSQGSGNSLEETNKKTPFSVPEMVPSVWHWQDAMPHRFNLNDVGVPVTDLNQPSTAPVSIHRDGCPPDNTVWITANFFYHLLIYGVIGENCFNVYLKRCW >Manes.07G084600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26659089:26668066:1 gene:Manes.07G084600.v8.1 transcript:Manes.07G084600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVDVEGRKGDNHIMVNADSNKSGKLNVTEDSSEVMVRNQDDDGGALPHVGMEFESEDVAKAFYDAYARRMGFSTHVGQFNRAKPDGPIVTWDFACSREVLKRRNFECCNAMLRIERKNSDNWVVTKFIEEHNHSMTVPSKVHYLRPRRHFAGATKNATETLDASATSDVYVPIDGNHASYEQNRVRNVSLVEPNHPVRNVVHAPMNYVSPPSRKRTLGRDAQNLLNYFQKMQAENPGFYYAIQLDDDNRMTNVFWTDARSRMAYNHFGDTVVFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRPPVSLTTDQDRAIQMAVAQVLPETRHRICKWHILREGQERLSHIYLAHPSFYGELYSSINFSETIEDFESSWGSLLDKYDLQKNEWLQAVYNARKQWAPVYFRGTFFAAISSNQGFSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTPVLKTPSPMEQLAANLYTKKVFAKFQEELVETFVYTANNIEDDGVVSKYRVAKYEQDDKAYIVILNISEMKASCSCQMFEYCGILCRHVLTVYTVTNVLTLPSHYILKRWKRNANSWVGTEEQSPDLQAIESLTSRFNNLCVEAIKYAAEGTIAVETYNLAINALREGAKKIAAMKKNVAKVTPPSSQGSGNSLEETNKKTPFSVPEMVPSVWHWQDAMPHRFNLNDVGVPVTDLNQPSTAPVSIHRDGCPPDNTVVLTYFKSMTWVIENKTSTPAGKVAVINLKLQDYGKNPSGETEVQFRLTRITLEPMLRSMAHISQQLSTPANRVAVINLKLLSDPVFFFILFSLPFAGIVISSHFGSKIPKQQQGKQR >Manes.07G084600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26659040:26668764:1 gene:Manes.07G084600.v8.1 transcript:Manes.07G084600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVDVEGRKGDNHIMVNADSNKSGKLNVTEDSSEVMVRNQDDDGGALPHVGMEFESEDVAKAFYDAYARRMGFSTHVGQFNRAKPDGPIVTWDFACSREVLKRRNFECCNAMLRIERKNSDNWVVTKFIEEHNHSMTVPSKVHYLRPRRHFAGATKNATETLDASATSDVYVPIDGNHASYEQNRVRNVSLVEPNHPVRNVVHAPMNYVSPPSRKRTLGRDAQNLLNYFQKMQAENPGFYYAIQLDDDNRMTNVFWTDARSRMAYNHFGDTVVFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRPPVSLTTDQDRAIQMAVAQVLPETRHRICKWHILREGQERLSHIYLAHPSFYGELYSSINFSETIEDFESSWGSLLDKYDLQKNEWLQAVYNARKQWAPVYFRGTFFAAISSNQGFSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTPVLKTPSPMEQLAANLYTKKVFAKFQEELVETFVYTANNIEDDGVVSKYRVAKYEQDDKAYIVILNISEMKASCSCQMFEYCGILCRHVLTVYTVTNVLTLPSHYILKRWKRNANSWVGTEEQSPDLQAIESLTSRFNNLCVEAIKYAAEGTIAVETYNLAINALREGAKKIAAMKKNVAKVTPPSSQGSGNSLEETNKKTPFSVPEMVPSVWHWQDAMPHRFNLNDVGVPVTDLNQPSTAPVSIHRDGCPPDNTVVLTYFKSMTWVIENKTSTPAGKVAVINLKLQDYGKNPSGETEVQFRLTRITLEPMLRSMAHISQQLSTPANRVAVINLKLQDTKTTTGETEVKFQVSRDTLASMLRSMAYIREQL >Manes.07G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26659040:26668764:1 gene:Manes.07G084600.v8.1 transcript:Manes.07G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVDVEGRKGDNHIMVNADSNKSGKLNVTEDSSEVMVRNQDDDGGALPHVGMEFESEDVAKAFYDAYARRMGFSTHVGQFNRAKPDGPIVTWDFACSREVLKRRNFECCNAMLRIERKNSDNWVVTKFIEEHNHSMTVPSKVHYLRPRRHFAGATKNATETLDASATSDVYVPIDGNHASYEQNRVRNVSLVEPNHPVRNVVHAPMNYVSPPSRKRTLGRDAQNLLNYFQKMQAENPGFYYAIQLDDDNRMTNVFWTDARSRMAYNHFGDTVVFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRPPVSLTTDQDRAIQMAVAQVLPETRHRICKWHILREGQERLSHIYLAHPSFYGELYSSINFSETIEDFESSWGSLLDKYDLQKNEWLQAVYNARKQWAPVYFRGTFFAAISSNQGFSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTPVLKTPSPMEQLAANLYTKKVFAKFQEELVETFVYTANNIEDDGVVSKYRVAKYEQDDKAYIVILNISEMKASCSCQMFEYCGILCRHVLTVYTVTNVLTLPSHYILKRWKRNANSWVGTEEQSPDLQAIESLTSRFNNLCVEAIKYAAEGTIAVETYNLAINALREGAKKIAAMKKNVAKVTPPSSQGSGNSLEETNKKTPFSVPEMVPSVWHWQDAMPHRFNLNDVGVPVTDLNQPSTAPVSIHRDGCPPDNTVVLTYFKSMTWVIENKTSTPAGKVAVINLKLQDYGKNPSGETEVQFRLTRITLEPMLRSMAHISQQLSTPANRVAVINLKLLSDPVFFFILFSLPFAGIVISSHFGSKIPKQQQGKQR >Manes.07G084600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26659089:26665540:1 gene:Manes.07G084600.v8.1 transcript:Manes.07G084600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEVVDVEGRKGDNHIMVNADSNKSGKLNVTEDSSEVMVRNQDDDGGALPHVGMEFESEDVAKAFYDAYARRMGFSTHVGQFNRAKPDGPIVTWDFACSREVLKRRNFECCNAMLRIERKNSDNWVVTKFIEEHNHSMTVPSKVHYLRPRRHFAGATKNATETLDASATSDVYVPIDGNHASYEQNRVRNVSLVEPNHPVRNVVHAPMNYVSPPSRKRTLGRDAQNLLNYFQKMQAENPGFYYAIQLDDDNRMTNVFWTDARSRMAYNHFGDTVVFDTMYRPNQYQVPFAPFTGVNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNNRPPVSLTTDQDRAIQMAVAQVLPETRHRICKWHILREGQERLSHIYLAHPSFYGELYSSINFSETIEDFESSWGSLLDKYDLQKNEWLQAVYNARKQWAPVYFRGTFFAAISSNQGFSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTPVLKTPSPMEQLAANLYTKKVFAKFQEELVETFVYTANNIEDDGVVSKYRVAKYEQDDKAYIVILNISEMKASCSCQMFEYCGILCRHVLTVYTVTNVLTLPSHYILKRWKRNANSWVGTEEQSPDLQAIESLTSRFNNLCVEAIKYAAEGTIAVETYNLAINALREGAKKIAAMKKNVAKVTPPSSQGSGNSLEETNKKTPFSVPEMVPSVWHWQDAMPHRFNLNDVGVPVTDLNQPSTAPVSIHRDGCPPDNTVVLTYFKSMTWVIENKTSTPAGKVAVINLKLQDYGKNPSGETEVQFRLTRITLEPMLRSMAHISQQLSTPANRVAVINLKLLSDPVFFFILFSLPFAGIVISSHFGYA >Manes.18G039500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3502023:3504569:-1 gene:Manes.18G039500.v8.1 transcript:Manes.18G039500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFWYLLLFCYSKNSSFSSLTHSLFNPNMSSNKTHQQIPSRVHSNSDNLPFLCPKKLSLETHNSSSNSLTHMETPDAQLGQKRNRKGVAKDGETVNSVGGAESEHEVHILTERERRKKMRNMFTSLHALLPQLPAKADKSTIVDEAIKYIKNLQETLQTLQHQSQEKLQGVTIVDSEPSVITSHTEALESREAFMALQGSSKSFTMATNMPLSFPVSLPPSCFQTWFSPNVVMNMCGGDAQISVCSLKRPGLLTSIFYILEKHKLDVVSAHISSDQFRSIYMIHVHAGGVSGQYPEALSVEDTFKLAAGEMNLWLLSC >Manes.11G138900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30425663:30426899:-1 gene:Manes.11G138900.v8.1 transcript:Manes.11G138900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPDSSTSQKEKKRNLPPKRGQIKAQIFQSLTKSVISMVSKAGEALKIYRGNGGDGGISSSTASTPSLSINNSDA >Manes.11G138900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30425663:30426899:-1 gene:Manes.11G138900.v8.1 transcript:Manes.11G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIIQFEMISPDSSTSQKEKKRNLPPKRGQIKAQIFQSLTKSVISMVSKAGEALKIYRGNGGDGGISSSTASTPSLSINNSDA >Manes.S051916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1769526:1772046:1 gene:Manes.S051916.v8.1 transcript:Manes.S051916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGPNSTEFVVGVEVLTAELDGNISTTKSQSPVHHLETRTSARAQHTNAMPTPCTSVHLTRPSIGINHRIISLNCHQWPWNGPHRARDGPHRVHHAREFFSMLNREVEVERGLTSLFACYTDAHIWPSACPGPGLAGPPRGVTTHTPLKSLRNKLSCARRKHHNV >Manes.04G082727.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29231504:29234275:-1 gene:Manes.04G082727.v8.1 transcript:Manes.04G082727.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYLAAFSFLCNDTFDMSPPQEIPYPHDIMENCSFVDIVGSCCNGVICLRDGYFFENLLGPGLWDDVYNYESNIVLWNPTTSETKMLPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSWELPRYKYENGYSEYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSHFWRTILCLNEHVALSLSTNHHVELWVLLEYGVDESWTKLFTVAYPECLEMSLPLGFSRKGELFFSSWNQHLLVWNPPEETISPVPLEGAVHTSNYLQAVPYMESHTSLKGCNK >Manes.15G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9977556:9982092:1 gene:Manes.15G125100.v8.1 transcript:Manes.15G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQSGIISPTKLRMKLMGPHYNRKRDGSNSNSSRTSPARLDDTEFVKNSLLESNDEEVAAPSLEVPSVTVTGDTVVSPSQIDQTSCQPKDTLPNENGNVGRGKIQQFSKGESGNSSAVHPMRSLEDENLDYDSNASSSSFEFHKERSVHNQFTRSFSRPMPSKWNDAEKWIMNRQNVQPNLKKNGLHYQANRMLGTNTVRVAPESANHDLKSSISRIVDTKRIDFGPPPSQLAFEKFSFVPPGTSSVSGQAYGGNLLIDQCTQSKDLQELDQREICNAKSLAEDATVLPVIRSVCMRDMGTEMTPVTSQEPSRTATPVGATTPLRSPTSSIPSTPRAGAPAPTPMEHGTDDDMHHTSENGKRELTEQEMKLKTRKEIMALGVQLGKMNIAAWASKEEQEKNASAVKTADRDELEPIEYEKRASAWEEAEKSKHAARYKGEEIKIQAWESRQKAKLEAEMRRVEAQVEQMRAQAQAKMVKKLSITRQKSEEKRAAAETRKNRAAERTAAQAEYIRQTGRMPTSHYMCCGWLS >Manes.15G125100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9978355:9982092:1 gene:Manes.15G125100.v8.1 transcript:Manes.15G125100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGIISPTKLRMKLMGPHYNRKRDGSNSNSSRTSPARLDDTEFVKNSLLESNDEEVAAPSLEVPSVTVTGDTVVSPSQIDQTSCQPKDTLPNENGNVGRGKIQQFSKGESGNSSAVHPMRSLEDENLDYDSNASSSSFEFHKERSVHNQFTRSFSRPMPSKWNDAEKWIMNRQNVQPNLKKNGLHYQANRMLGTNTVRVAPESANHDLKSSISRIVDTKRIDFGPPPSQLAFEKFSFVPPGTSSVSGQAYGGNLLIDQCTQSKDLQELDQREICNAKSLAEDATVLPVIRSVCMRDMGTEMTPVTSQEPSRTATPVGATTPLRSPTSSIPSTPRAGAPAPTPMEHGTDDDMHHTSENGKRELTEQEMKLKTRKEIMALGVQLGKMNIAAWASKEEQEKNASAVKTADRDELEPIEYEKRASAWEEAEKSKHAARYKGEEIKIQAWESRQKAKLEAEMRRVEAQVEQMRAQAQAKMVKKLSITRQKSEEKRAAAETRKNRAAERTAAQAEYIRQTGRMPTSHYMCCGWLS >Manes.14G091700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7576010:7586467:1 gene:Manes.14G091700.v8.1 transcript:Manes.14G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSFAKRASSSKKLKFSSNDTELYQGSCLIENIRCPEAQPCLISSSSSYSFLGFRRIGILSSQNDGLRKLSFTILTNSFSVSAISKRYGTAAAQAVVSTDESDFSGSDELPDHIEEINNHHQKMEAQFRPQQKKTIAGMGIGKYNILKRRQIKMETEAWEEAAKEYQELLVDMCEQKLAPNLPYVKSLFLGWFEPLRDAIAAEQELCKQKSGKFSHGPYFEALPADMMAVITMHKMMGLLLTSNGGNGSVRVVQAACAVGEAIEHEARIHRFLDKTKKKKNTTKDKVPDGESDPVITEEEKQAKKQEQLRKKVTKLMKKQKAQQVRGLVKHHDDSMPWGQEAHVKVGCRLIQLLIETAYIQPPMDQLGDGLPDIRPAFMHTLKTVMKDSQKTSRRYGVIECDPLVRRGLEKSARHMVIPYMPMLVPPLNWTGYDQGAYLFLPSYFMRTHGAKQQRETVKRTPNKQLEPVFEALDTLGHTKWRVNKKVLAVVDRIWANGGALAGLVEREDVPLPEEPDTEDEAEIRKRKWKVKNIKKENSERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHLANVYAGGVDKLSHAGRIAFTENHLDDIFDSADRPLEGKRWWLGAEDPFQCLATCINLSEALRSSSPETTISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGEKPADVYSGIAARVLDIMRSDAEKDPATNPNALHAKLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLRERGGIADDSALYAASCYAAKTTLMALEEMFEGARSIMAWLGECAKVIASENQTVRWTTPLGLPVVQPYRQLGRHLIKTSLQMLALQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKQAGLNFAGVHDSYWTHACDVDKMSGILREKFVELYEAPILENLLESFQTSFPKLKFPPLPERGDFDLRDVLESPYFFN >Manes.14G091700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7576009:7586467:1 gene:Manes.14G091700.v8.1 transcript:Manes.14G091700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSFAKRASSSKKLKFSSNDTELYQGSCLIENIRCPEAQPCLISSSSSYSFLGFRRIGILSSQNDGLRKLSFTILTNSFSVSAISKRYGTAAAQAVVSTDESDFSGSDELPDHIEEINNHHQKMEAQFRPQQKKTIAGMGIGKYNILKRRQIKMETEAWEEAAKEYQELLVDMCEQKLAPNLPYVKSLFLGWFEPLRDAIAAEQELCKQKSGKFSHGPYFEALPADMMAVITMHKMMGLLLTSNGGNGSVRVVQAACAVGEAIEHEARIHRFLDKTKKKKNTTKDKVPDGESDPVITEEEKQAKKQEQLRKKVTKLMKKQKAQQVRGLVKHHDDSMPWGQEAHVKVGCRLIQLLIETAYIQPPMDQLGDGLPDIRPAFMHTLKTVMKDSQKTSRRYGVIECDPLVRRGLEKSARHMVIPYMPMLVPPLNWTGYDQGAYLFLPSYFMRTHGAKQQRETVKRTPNKQLEPVFEALDTLGHTKWRVNKKVLAVVDRIWANGGALAGLVEREDVPLPEEPDTEDEAEIRKRKWKVKNIKKENSERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHLANVYAGGVDKLSHAGRIAFTENHLDDIFDSADRPLEGKRWWLGAEDPFQCLATCINLSEALRSSSPETTISHMPVHQLGAAAVNLVGGEKPADVYSGIAARVLDIMRSDAEKDPATNPNALHAKLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLRERGGIADDSALYAASCYAAKTTLMALEEMFEGARSIMAWLGECAKVIASENQTVRWTTPLGLPVVQPYRQLGRHLIKTSLQMLALQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKQAGLNFAGVHDSYWTHACDVDKMSGILREKFVELYEAPILENLLESFQTSFPKLKFPPLPERGDFDLRDVLESPYFFN >Manes.03G201700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31763467:31765893:-1 gene:Manes.03G201700.v8.1 transcript:Manes.03G201700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTAEEDEILTKYILANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITKEEEETIVKLHTALGNRWSLIAAHLPGRTDNEIKNYWNSHLSRKIYSFSKHGSLPTAGTTTVSINIAKIAGPRKSRSATRKRHKENTSISVSTTPKTETLTEAVVPEGSEPPPSSNDNSTGSFDHGSQIMGLVPESSTSELKGSSSCIDNGEKLNAVAESESWGPYEWLDSEINRLKYVLECEPVNPSGDFDTIDDKEREEKKVDELGRGDGEVMGPETVAAANESCSSNGWSPNAEGGELYNSGSSISFDEDWYDLSFDWDSTGSIDDSAIIKELWDEGDKFMSWLRG >Manes.01G140600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33200363:33205041:-1 gene:Manes.01G140600.v8.1 transcript:Manes.01G140600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNATTLRVSPLPMASSLPSSLSPILSQPHRSLKIQGRCFFFGFRFLSSKAPHVYNLHCHGNFAHRTLKTESSFLHLSRSLAHSHHSVSNKTDGLGLHHFVARASLSAAQTQPNLVPASEVSPDSQIHPRGRIYHETYGCQMNINDMEIVLSIMKDAGYSEVVGVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSVCPPKVVVLGCMAERLKDKILDTDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADISPVRISKNSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVDSIVKEVAQLWKEGVKEVTLLGQNVNSYNDASGIEKEAEPGSNWKYSEGFSSMCKVKKVGLRFSDLLDRLSTEFPEMRFRYTSPHPKDFPDDLLNVMQHRYNVCKYIHLPAQTGSSTVLERMRRGYTREAYLDLVQKIRKIMPDVGISSDFICGFCGETEEEHKDTLSLIRAVGYDMAYMFAYSMREKTHAHRNYVDDVADEVKQRRLTELIEAFRESTGQCYDSQIGTIQLVLVEGPNKRAPDTELIGKSDRGHRVSFTNLPVPNREDSNNKQNPVIGDYVEVRILKSTRASLFGEAHAISKLSLFYNNVERDAVACASC >Manes.13G049200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5634785:5640067:-1 gene:Manes.13G049200.v8.1 transcript:Manes.13G049200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSSAQGQQPHYLKTCSKPNLDLGSVVYFLGYTEKEELTIGEGKVVIATDNLIKLSTDGIIWSPGSAGFDVQGNLAFMICDPMKLATSPNTKSSSTSSSSSTSLKKDSPTQFGIPIPIICDWLNQHWEGNLDELTKPKLPIIRLMSTGQKSEHSCASFTLRPVFKSTDVDNDGTPSSSNTISKPGDQHGPGCSGVANIAEEENITTEPQAVHVQGILTPEIYESRKLTAAPIRKKESSQVQLLDINFPPRTKATVQPPHNQLPPNSDENCVKELPLQSPSGGDQISDTGIDCPEADPEIASTGSVNGPQSEVQSSSSPLEVSEMHNGYSSEEETMYSAETAESRNYPSPREGRFQHMGRSQSCVSHNRWGNAAHRNPAARRAILEQQRSLIHGRKMHSQGATSQRSNDYFSPTVSSRMKKRNNSEQPTKPKQSAVHSSPRWVF >Manes.13G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5634785:5640066:-1 gene:Manes.13G049200.v8.1 transcript:Manes.13G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLIESWCFCKGVGKSERMKAAIFSSKGPAMATISCSSNGAFGTGFLIHRNLLLTTHVNIPSVAAGESSEIRLQNGVAASLVPHRFFITSSVLDLTIVGLDDMDGDSSAQGQQPHYLKTCSKPNLDLGSVVYFLGYTEKEELTIGEGKVVIATDNLIKLSTDGIIWSPGSAGFDVQGNLAFMICDPMKLATSPNTKSSSTSSSSSTSLKKDSPTQFGIPIPIICDWLNQHWEGNLDELTKPKLPIIRLMSTGQKSEHSCASFTLRPVFKSTDVDNDGTPSSSNTISKPGDQHGPGCSGVANIAEEENITTEPQAVHVQGILTPEIYESRKLTAAPIRKKESSQVQLLDINFPPRTKATVQPPHNQLPPNSDENCVKELPLQSPSGGDQISDTGIDCPEADPEIASTGSVNGPQSEVQSSSSPLEVSEMHNGYSSEEETMYSAETAESRNYPSPREGRFQHMGRSQSCVSHNRWGNAAHRNPAARRAILEQQRSLIHGRKMHSQGATSQRSNDYFSPTVSSRMKKRNNSEQPTKPKQSAVHSSPRWVF >Manes.11G027300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2673541:2675272:1 gene:Manes.11G027300.v8.1 transcript:Manes.11G027300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRFPREAYSERRGFPPERNFIRGPPLPHPPPHPALLEDELEIQHAEIRGLLVDNRRLVEDRMALQQELGAAKEELHRMNIVISEMRMEHEVHSRELIEKGLKLEADLRATEPLKNEVVQLREEVHKLSNAKKELLGQIQSLKQELARSQADNQQIPVLRGEIEGMHQELMHARTAIDYEKKANIELVEQRQAMEKDMVSMAREVEKLRAELANADARPRVSGGAYGMKYGNPEGGFPAPYGDRYGTHMVAADKGPLYGPGPASWDKARLPRR >Manes.11G027300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2672483:2680925:1 gene:Manes.11G027300.v8.1 transcript:Manes.11G027300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMECYWYHMLEQLKMAGRNRFPREAYSERRGFPPERNFIRGPPLPHPPPHPALLEDELEIQHAEIRGLLVDNRRLVEDRMALQQELGAAKEELHRMNIVISEMRMEHEVHSRELIEKGLKLEADLRATEPLKNEVVQLREEVHKLSNAKKELLGQIQSLKQELARSQADNQQIPVLRGEIEGMHQELMHARTAIDYEKKANIELVEQRQAMEKDMVSMAREVEKLRAELANADARPRVSGGAYGMKYGNPEGGFPAPYGDRYGTHMVAADKGPLYGPGPASWDKARLPRR >Manes.S029752.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2423494:2427584:1 gene:Manes.S029752.v8.1 transcript:Manes.S029752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQSTGQKSHCVSIRRDHRNALF >Manes.18G039601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3505668:3509907:-1 gene:Manes.18G039601.v8.1 transcript:Manes.18G039601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYGTAPSPAPEPEEISSFLHQLLHHSSSSSPSAKFMHHALSSPSPPLPAPAPAQTKPPAELLFGHSQDCRADGGNSCINFSDPGGYFAKESAENAVSSVISKRGVSEENDLGGLSCDSEGADVSEVPSETVRPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLHPMCLPGVLPPMNLPMTGLTFDEGSGLLDTNAATVTFSENGENSARTALSHPNRCTVSNQTIVLPSATNITSSETSYGFEPLIQVHYEPFNLSTSSKEICREGTSQAPLDTNQTVKTTSSSAS >Manes.18G039601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3505668:3509907:-1 gene:Manes.18G039601.v8.1 transcript:Manes.18G039601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYGTAPSPAPEPEEISSFLHQLLHHSSSSSPSAKFMHHALSSPSPPLPAPAPAQTKPPAELLFGHSQDCRADGGNSCINFSDPGGYFAKESAENAVSSVISKRGVSEENDLGGLSCDSEKGADVSEVPSETVRPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLHPMCLPGVLPPMNLPMTGLTFDEGSGLLDTNAATVTFSENGENSARTALSHPNRCTVSNQTIVLPSATNITSSETSYGFEPLIQVHYEPFNLSTSSKEICREGTSQAPLDTNQTVKTTSSSAS >Manes.05G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3783780:3797456:-1 gene:Manes.05G045400.v8.1 transcript:Manes.05G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDSDSSSHGTDYKFFLQTSRDRLLLEMMGSTRTGDSRSTWKVLIMDKVTVKVLSHCCKMSDITDQGISLVEELFKRREPLPSMDVIYFIQPSKENVVMFLSDMSGREPLYKTAYVFFSSSVPKELVNHIKCDSSVLPRIGALREMNLEYFPIDSQAFTTDHDGALQELYGENAENSRKFDACLNVMASRIATVFASLKEFPRVRYRAKTPDASEGTFRDTIPTKLATAVWNLVSKYKSIPNFPQTETCELLILDRSVDQIAPVIHEWTYDAMCHDLLDMEGNKYVVEVPSKMGGEPEKKEVLLEDNDPVWLELRHAHIADASERLHDKMTTLSKNKAAQVHSARDGGEISTRDMQKIVQALPKYKEQIEKLTLHVEIAGEINEIIRDMGLRELGQLEQDLVFGDAGAKEVINFIRMNQDASPDIMLRLLLIYACVYPEKFEGDKGTKLMQLAKLSEKDLEVVKNMQLLAGSSINKKTTSSSFSLKFDNQKIKHAARKDRTGEEETWQLFRFYPVLEELIEKLSKGELAKNEYSCMNEPSSAVQETTTKASARSSNAPVVPEKKTTPHSMRSRRTANWGKSHRSDDGHSGDAVLKNAALDLRKMGQRIFIFIIGGATRSELRACHKLTTKLRREVVLGCTSLDDPSQYLKKLKMLSEIQAPQQDGFRF >Manes.18G144856.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21555242:21559495:1 gene:Manes.18G144856.v8.1 transcript:Manes.18G144856.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINELRTKVELSHPNAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKSLGPHDRLIHVYHFTKETAQNQMQVQNFGEPFFLVIHEGETLAEVKSRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDIVFTRFQRRDVYGAWEQYLGLEHSDNTPKRSYAVNQNRHTFEKPVKIYN >Manes.08G002200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:514108:517610:1 gene:Manes.08G002200.v8.1 transcript:Manes.08G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED4 MLQHQIVQSPARLGLTNPTSPSLQNNPPPKFPSSSSQLPPPHHHQQQQHPPNPSAATSSALLPLLPPLRRAQSLLLQMASLSSKLFEVSPNRSLWHSTVRGSLPTFLSSLTQSMPPPPLESNPSSTKEILSLFTSLQTQLFEAVAELQEILDLQDAKQRIARDIKSKDTALLNFANKLKEAEGVLDVLVDDYSDYRRPKRAKSKSSEDDDVSNTTVASQLDLSDILSFSHRISYTTFAPPDFGAGQAPLRGALPPAPQEEQMRASQLYAFADLDVGLPKKVETKEKTIEAIIEPPPPPQPAETNPPANLATFQGLLPPNFTIPPGWKPGMPVVLPTDLPPPPPGWKPGDPLPPLESLPIPRMVEQQLQPVAPQGLHKPPETIQVRHVQIDIVDQDDDSSDYSSDDGSSDDED >Manes.11G060231.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7870465:7877143:1 gene:Manes.11G060231.v8.1 transcript:Manes.11G060231.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRNLLFGSVPTCFSNISFGNNISVGMMEVVDIMYFMGFNPSAPDKIALNLHLPWVHWDSSEIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLFHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGSVPNGAQFGTFDENNYRGNPGLCGEPIHKSCKSDEAPQTPPPSADVEEEDEGVIDMVWFYWSFSGSYVTILLVLAAILRINMPWRMLWFYYVDVFINSISVWVYQS >Manes.05G168900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28251707:28258580:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSDVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVSKCEMLVHISGVGGKTLQPPS >Manes.05G168900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28251707:28258601:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSDVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVSKCEMLVHISGVGGKTLQPPS >Manes.05G168900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28252456:28258603:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSEADVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVS >Manes.05G168900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28251707:28258580:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSEADVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVSKCEMLVHISGVGGKTLQPPS >Manes.05G168900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28251707:28258601:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSEADVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVSKCEMLVHISGVGGKTLQPPS >Manes.05G168900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28251707:28258601:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSDVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVSKCEMLVHISGVGGKTLQPPS >Manes.05G168900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28251707:28258580:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSEADVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVSKCEMLVHISGVGGKTLQPPS >Manes.05G168900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28251707:28258580:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSDVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVSKCEMLVHISGVGGKTLQPPS >Manes.05G168900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28251707:28258601:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSEADVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVSKCEMLVHISGVGGKTLQPPS >Manes.05G168900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28252456:28258603:-1 gene:Manes.05G168900.v8.1 transcript:Manes.05G168900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNQAEMVNTSRPCFFEIFSSNLTSDRLRIPVGFTKHMEGRISGLVSLTGPSGNVWHAYLTQQDNDVFLDHGWPTFVKDHLIECGDVLIFRYDGELCFSVQVFDISACEKEAAFHSKCSQDPSQLYKSIGQKREREERAASSDKNCEYVLKKVRGDSSELYSEHINKSLGAGLVISNKEGCQLEEVNTTKKCPEESSSHEKCYSPCPISVIPPQSKPCYQSSDVQKRIGKEDDLPDRGCGSVLLQREKRVAQSFISCFPYFVRIMKRFNVSGSYTLNIPYQFSTAHLPNCKTEIVLRTVKGACWSVNSVPTTRVHTSHTFCGGWMAFVRSNDIKIGDVCIFELVRKCELRVFILRVGKEVLEKQSGEVASNEATVGCTATAHKSDIFPKKSRKNALKVHSRPITKVEMCDKKDSNKSQVASNHARKCSNAIKSSASAMLCSQSRAVNEKLDVAINSGKNLDVSSHDGVGGRVMLALHEEKAAKSFNSRFPNFVRIMRKFNISGSYTLKIPHQFSAAHLPNCKTEIVLRNSQGICWTVNAVPDSKGRRIHTFCGGWMAFVRDNYINMGDVCIFELVS >Manes.16G049670.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14413453:14416341:1 gene:Manes.16G049670.v8.1 transcript:Manes.16G049670.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSNSAGMPQRIIKGPEIPLVIGGIREKTEKEYIDADWKKISANAKTLNILHCALNVIEYNHVSCYESAKEVWDKLEVTYKGTNQVKKSKVNLLIRDFEMFEIKPSETIVEMCTRFTDLMNLLKALSKTKITVIFDTIDFTRYTHNELIRLLIVNEMMFKKEITEKEKGKKGIALKLEKLTDGKNKYIALKIDISESSNLSSDEEIAINKYKIFIKKYGPKDDSQSYPHKDPKEVICYEYNKLGHIRPNCPKSKKTKKEDKGKKAMAAFIESLIEKVLIVEESINVVFDESNPVVQKKDLCEVDFEQIFSEQKIDEKTKLVSKPKNQFIIGIK >Manes.01G124200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31955813:31961477:1 gene:Manes.01G124200.v8.1 transcript:Manes.01G124200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSY2 MTVALLWVAIPSTEVSNSFGFFHSVRVLDSSKFGCVDRNLMFKGKAKKGRNQKWKSGSVSIDLRSTCIGSGRKLPIISSMVASHAGEIAISSEEKVYNVVLKQAALVKQQLKSSEDLDVKPDIVLPGTLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDMFRGRPFDMLDAALSDTVTKFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESQASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDDDIFAGKVTDKWRNFMKNQIKRARMFFNEAEKGVTELSAASRWPVWASLLLYRRILDEIEANDYNNFTKRAYVSKTKKIASLPIAYARSFVGPSRMSSPVTKA >Manes.01G124200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31955813:31961477:1 gene:Manes.01G124200.v8.1 transcript:Manes.01G124200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSY2 MTVALLWVAIPSTEVSNSFGFFHSVRVLDSSKFGCVDRNLMFKGKAKKGRNQKWKSGSVSIDLRSTCIGSGRKLPIISSMVASHAGEIAISSEEKVYNVVLKQAALVKQQLKSSEDLDVKPDIVLPGTLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDMFRGRPFDMLDAALSDTVTKFPVDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESQASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDDDIFAGKVTDKWRNFMKNQIKRARMFFNEAEKGVTELSAASRWPVWASLLLYRRILDEIEANDYNNFTKRAYVSKTKKIASLPIAYARSFVGPSRMSSPVTKA >Manes.14G131700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10481616:10483284:1 gene:Manes.14G131700.v8.1 transcript:Manes.14G131700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLKIGKDALQSGDTTRALKFITKARRLDPSLPVDDLLSSIEKDSTSDQTSATTNGPTSTTNESKVRQRVPSTGPSSSASATASSSSATYTEEQITIVRQIKKKKDYYEILGLEKTCSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCFSNEESRKKYDLTGSDEPVYERRASRHHGGQGGFNGYYDDFDPDEIFRQFFFGGMPPATTQFRSFNFGGGMGPRTGDNASGFNMRALIQLLPVLVILLLNFLPSSEPLYSLSRSYPYEYRFTTQKGVNFYVKSTKFEQDYPHGSQQRATLEGKVERDYMSVLAQNCRFELQRQQWGFIRETPHCEMLQQFQSGASAA >Manes.14G131700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10481616:10483771:1 gene:Manes.14G131700.v8.1 transcript:Manes.14G131700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLKIGKDALQSGDTTRALKFITKARRLDPSLPVDDLLSSIEKDSTSDQTSATTNGPTSTTNESKVRQRVPSTGPSSSASATASSSSATYTEEQITIVRQIKKKKDYYEILGLEKTCSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCFSNEESRKKYDLTGSDEPVYERRASRHHGGQGGFNGYYDDFDPDEIFRQFFFGGMPPATTQFRSFNFGGGMGPRTGDNASGFNMRALIQLLPVLVILLLNFLPSSEPLYSLSRSYPYEYRFTTQKGVNFYVKSTKFEQDYPHGSQQRATLEGKVERDYMSVLAQNCRFELQRQQWGFIRETPHCEMLQQFQSGASAA >Manes.14G131700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10481616:10487413:1 gene:Manes.14G131700.v8.1 transcript:Manes.14G131700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDALKCLKIGKDALQSGDTTRALKFITKARRLDPSLPVDDLLSSIEKDSTSDQTSATTNGPTSTTNESKVRQRVPSTGPSSSASATASSSSATYTEEQITIVRQIKKKKDYYEILGLEKTCSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCFSNEESRKKYDLTGSDEPVYERRASRHHGGQGGFNGYYDDFDPDEIFRQFFFGGMPPATTQFRSFNFGGGMGPRTGDNASGFNMRALIQLLPVLVILLLNFLPSSEPLYSLSRSYPYEYRFTTQKGVNFYVKSTKFEQDYPHGSQQRATLEGKVERDYMSVLAQNCRFELQRQQWGFIRETPHCEMLQQFQSGASAA >Manes.17G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31602771:31605836:1 gene:Manes.17G109600.v8.1 transcript:Manes.17G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIKQTLPSLQELIKGDRIMFSSSDDTAMTNQIQATHSPDGREFDVKPLLQLVEDIFTRAAPTIDALAVPATHQARTADALDEKTFHGGFTVTLESLASVIDRVASEIAYKCSGVVDAHATTMSILNMLSNYSWEAKLVITLAAFAMNYGEFWLVAQNCTSNQLAKSVAILKQLPDILEHSSMLKPRFDAVKNLIKVMLDIAKCIVEFKELPAQYISMDVTALSTAIAHIPITVYWTIRSVLACASQITGLIGKGHEYMASTTEAWELSSLAHKLSNMHSHLTSQLGTCYKHIDEKKQLETYQNLLHLFETAHIDNMKVLKALIYAKDDLLPLVEGTTKRRVNIEVLRRKNVLLLLSDLDILQEEISILEQIYNESRLHPTKQESQYEIVWLPILDPTSLGNNENMQKKFESLQAGMTWYSVYRPSLIERAVVKFVKQEWHFGKKPILVVLDPQGRVACPNALHMMWIWGSLAFPFTTMREEALWREESWRLELLVDGIDPIILNWMTEGRYICLYGGEDMDWIRKFTNTARAVAQAAGIPLGMVYVGKSNPKDRVRKNIANIIIEKLSHYWQDPTSIWYFWVRIESMWRSKNQLGKTPENDPIMKEIMTMLSFDSSHGGWALFTRGSDEMVKAKGSPFLTCLSNFSSWKDEIEKKGFMPTLRDQLKDLHTEHHCNRLVLPGAAGMIPERIICSDCGRTMERFIMYQCCDE >Manes.14G027460.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3642793:3644216:-1 gene:Manes.14G027460.v8.1 transcript:Manes.14G027460.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQLNFISFSQYIVFVIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFAGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.14G027460.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3662644:3664067:-1 gene:Manes.14G027460.v8.1 transcript:Manes.14G027460.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQLNFISFSQYIVFVIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFAGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.14G027460.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3642793:3664139:-1 gene:Manes.14G027460.v8.1 transcript:Manes.14G027460.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKFPIFLHLRNKQHLPKIYQREMDKKQLNFISFSQYIVFVIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFAGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.13G089600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20595217:20600281:1 gene:Manes.13G089600.v8.1 transcript:Manes.13G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSCRISCGESAFFFSNIWNYSNQSLLHPANKCFTVRSEICNHSSRRYSSHFNSPPKLQSGETYSILQSSGLQHWFKNWKEQRKDKLTASTFGGAIGFWPRRRVQLWLEKIGAIEPFSGNLATCWNNIKEEEALERYKLITGNTVLFPEFQIYGKKNSEDDWLAASPDGVVDSVVYGLPCRGVLEIKCPFFNGDMSKATPWKRIPLYCIPQAQGLMEILDRDWMDFYVWTPRGSSLFRLYRDAEYWDVLKIALSDFWLKHVQPAREICRKSVITNPLTELTLFKPAPRHEYCRYIVYESKHIVDSSRLLIREINGELQNTWT >Manes.12G132700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33992833:33994063:-1 gene:Manes.12G132700.v8.1 transcript:Manes.12G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHFVLIHTICHGAWVWHKLKPVLEAAGHKVTALDLVASGVDQRIIEQVDSFDAYSEPLLNFLETIPQGEKVILVGESCGGLNVAIAADKYPQKIAAAVFHNSLMPDIVHSPSYVLDKFMEVFPDWKDSIFESYTNGVDTITTLRLGSYLMENYIYTDCHPEDLELGKMLTRKGSPFQEILAKREFFTKEGYGSIRKIYIYGDDDKIMTEDFHQWQIENYKPEKVYVVAGGGHKLMLSRVNDLFAILQEVAYMYA >Manes.14G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12441608:12448995:1 gene:Manes.14G141300.v8.1 transcript:Manes.14G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCNPDGSDPVILSNASDVTHFGYFQRPSVRQFIVFVGRTVAKRTPPGQRQSVQHEEYKVHSYNSNGLCAVGFMDDAYPVRSAFSLLNQVLDEYQKNFGDSWRTVQEDNVQAWSYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >Manes.14G141300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12441608:12444802:1 gene:Manes.14G141300.v8.1 transcript:Manes.14G141300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCNPDGSDPVILSNASDVTHFGYFQRPSVRQFIVFVGRTVAKRTPPGQRQSVQHEEYKVHSYNSNGLCAVGFMDDAYPVRSAFSLLNQVLDEYQKNFGDSWRTVQEDNVQAWSYLNEALTKFQDPAEADKLLKIQRELDETKIILVSW >Manes.14G141300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12441895:12446540:1 gene:Manes.14G141300.v8.1 transcript:Manes.14G141300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCNPDGSDPVILSNASDVTHFGYFQRPSVRQFIVFVGRTVAKRTPPGQRQSVQHEEYKVHSYNSNGLCAVGFMDDAYPVRSAFSLLNQVLDEYQKNFGDSWRTVQEDNVQAWSYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQVQSQELYTTIILLLVQFFTC >Manes.06G151000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27872130:27873933:-1 gene:Manes.06G151000.v8.1 transcript:Manes.06G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTEKKEETMKVKITGKTQVKPIKKLGRRECQLVTFDLPYLAFYYNQKLLIYKGSCDHDFADKVAKLKDGLALVLEDFHQMAGKLGKDEEGVFRVEYDDDLEGVEVVEAIAEEISVDDLTAEEGTTSLKDLIPYNGILNLEGLHRPLLSVQLTKLKDGLAMGCAFNHAILDGTSTWHFMSSWAQICKGSSSVSVPPFLERTEARNTRVKLDLSLPPDPLSVSNGDAKSAPHLVEKVFKFSEAAIDKIKSKTNANPPSDGSKPFSTFQSLAAHIWRHVCHARELKPEDYTVFTVFADCRKRVDPPMPDSYFGNLIQAIFTATAVGLLTMNPPDFGASVIQKAIEAHNAKAIDERNKEWESSPKIFQFKDAGVNCVAVGSSPRFQVYEVDFGWGKPESVRSGSNNRFDGMLYLYQGKSGGRSIDVEISLEAGAMERLEKDKEFLVDV >Manes.08G140100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37646785:37651308:1 gene:Manes.08G140100.v8.1 transcript:Manes.08G140100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTSHKSASKFRKRRHFRNAASCEPIRDGAGEAQELDVTTDQQVTEEPIKPIIESKEKLEEQLSSNAKRKMTFDLNAKTHECLSTGEVTEDIVENNEKKGSENKDEEPSDESKSNPNLISSDGTAYPPNHRYQNYRKEESEDLDLEDSEFDDVRDNVGVDGKILVQEESSESLFSLSIDSRKYVSEAEVGEKEVCSPMPKCDSPQDELKSIGCNPNVRDRTQYVDPVLNPVESFTKWKAVKGATLTLHHHDKENVNIEQHFGMHISPEPSFKLPTNNAKENADHKKPLDKEIAVDTSLSSWLVKSETTPLSKGSATSVGNTPAERASSPRSCEDRPILGELPMNSLKQVSSSTSSRRGRSQSLDEIPIIGTIGSYWRHIGRIVDSDSGSSSKGI >Manes.08G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37648912:37651539:1 gene:Manes.08G140100.v8.1 transcript:Manes.08G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFACFSASKFRKRRHFRNAASCEPIRDGAGEAQELDVTTDQQVTEEPIKPIIESKEKLEEQLSSNAKRKMTFDLNAKTHECLSTGEVTEDIVENNEKKGSENKDEEPSDESKSNPNLISSDGTAYPPNHRYQNYRKEESEDLDLEDSEFDDVRDNVGVDGKILVQEESSESLFSLSIDSRKYVSEAEVGEKEVCSPMPKCDSPQDELKSIGCNPNVRDRTQYVDPVLNPVESFTKWKAVKGATLTLHHHDKENVNIEQHFGMHISPEPSFKLPTNNAKENADHKKPLDKEIAVDTSLSSWLVKSETTPLSKGSATSVGNTPAERASSPRSCEDRPILGELPMNSLKQVSSSTSSRRGRSQSLDEIPIIGTIGSYWRHIGRIVDSDSGSSSKGI >Manes.08G140100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37648914:37651308:1 gene:Manes.08G140100.v8.1 transcript:Manes.08G140100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFACFSASKFRKRRHFRNAASCEPIRDGAGEAQELDVTTDQQVTEEPIKPIIESKEKLEEQLSSNAKRKMTFDLNAKTHECLSTGEVTEDIVENNEKKGSENKDEEPSDESKSNPNLISSDGTAYPPNHRYQNYRKEESEDLDLEDSEFDDVRDNVGVDGKILVQEESSESLFSLSIDSRKYVSEAEVGEKEVCSPMPKCDSPQDELKSIGCNPNVRDRTQYVDPVLNPVESFTKWKAVKGATLTLHHHDKENVNIEQHFGMHISPEPSFKLPTNNAKENADHKKPLDKEIAVDTSLSSWLVKSETTPLSKGSATSVGNTPAERASSPRSCEDRPILGELPMNSLKQVSSSTSSRRGRSQSLDEIPIIGTIGSYWRHIGRIVDSDSGSSSKGI >Manes.16G109000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31418295:31421279:-1 gene:Manes.16G109000.v8.1 transcript:Manes.16G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTTLIFLSFHFSTLFLCLSYAKSQAYDPEQAILLRIRQYWQSPPPLNQWSPSTSSSHCSWPGVECINSSITGLHFTNMNIIGTIPPFICDLKNLTVLDFYNNSFVGMFPVALFSCSKLQYLSLSQNYFAGAIPEDIDGFSGLSVLDLSGNNFTGNVPAAIGCLQELKKLGLDQNQFNGTYLPEIGNLSNLEELSMAYNDFLPSSLPFSFTQLKQLRWLWMSASNLIGEIPETVGEMVALEHLDLSRNKLEGNIPSSLFVLKNLSILYLFRNRLCGEIPHVVEALKLVELDLSHNNLTGKIPDDFGKLQNLSVLNLFYNQLSGEIPESVGRLPALKRISLFSNNLSGVLPPELGRHSMLETVEASSNKLTGRLPEFICNGGKLVEVAAFDNNLHGELPESLGNCSSLLMVSISHNSFTGNVPVGLWTSSNLINLMLSDNLLAGELPDEVSENLKRLGISNNRFSGKIPIGASWRNLMVFNASNNLFSGIVPQELTAPPLLTTLLLDRNQLSGAIPSDIVSWKSLTTLNMSQNQLPGQIPKEIGFLPNLLQLDLSGNRFSGQIPPEFSSLKGTFLNLSSNNLTGEIPISLENTAYKSSFLNNPGLCTRSSLLSLNLCHSNTQKSIKSSTQFIALISSILATAFVLVLLLSLFLIRVYQKKPILNSPWKLTSFQKLDFTESDILPGLTETNLIGTGGSGKVYRVAVQQSGLVAVKRIRSDKKLDQKLEKQFHAEVQILGRIRHFNIVKLLCCICNEDSKLLVYEYMERSSLDQWLHVKKRLTNVSGSACLDWPRRFQIAVGAARGLSYLHHDCSPPIIHRDVKSSNILLDSDFNAKIADFGLAKLLAEKGEASASVMAGTVGYIAPEYANTVKVNEKIDVYSFGVVLLELTTGKEAHFGDEDTCLAKWAWRHMSEERPIVNALDKEITESSYLDEMIIVFKLGVKCTSKMPSDRPSMR >Manes.10G109300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26786526:26789748:1 gene:Manes.10G109300.v8.1 transcript:Manes.10G109300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLSRLPLIVQVLKYTDALVFNDAMRSISFLYLAILKELNSMSQLVKGGKDKFRLVLEGHKNSLQLMIKNVTRKDDYDWLFEHSDVLDSESMIHLLMMKMIPDEKLHDEELYNPLIRWSKNLDVKLYKKFKKKDLTDPQVLQDWLCKLCQILLKPQNLLFLACPNDPTKLYPNPELEPQPIHWDCFENCGKAIALALMHEVHVGVALHRVFLLQLAGKDISVEDVRDADPSFYNNKANKEPFPDDDQIQNEFIKSISEQIRFFKNGFDSVFGKSIFQQLSDNGIEPEDLNLVLKGSTELEFNSDENLDDKQNDPLMPQDNESDPLTYRYFKVNLQNLNIPKWQKGKRLGEGKFGKVFEGYAPGGFFFAIKEIKIEPEVNIEQIYDEIRLLCQLRHPNIVKYYGMEKRERNLYIFLELVTTGSLQRVHENFKLEDSQVSHYTKQILRGLKYLHERNVAHRDIKCANILVNEKGRIKIADFGLAKVMELNTLMKSSYCGTRGWMAPEVAKSGNQYGFKADIWSLGCTVLEMLTRKPPHVMESGKFLDPPDLPSEHSRDFIKKCLQVNPDDRPSAAELLQHPFVKGFGL >Manes.09G151750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34906951:34907923:1 gene:Manes.09G151750.v8.1 transcript:Manes.09G151750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCGNIELPHFCHGVALRTSVQFEMLAACTNKKNSFYSVKRGHTPKATIGRDHSQIQIVQICSFLSFLRFGKRENAVRISILVASEAKLASASLFRSAIYSFI >Manes.13G124200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33164219:33166823:-1 gene:Manes.13G124200.v8.1 transcript:Manes.13G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLNPAHIAGLRRLSARAAAPSAASSLPTRNGLVSFSSLADKVITHLRDSGIQVQPGLSDAEFARAEAEFGFAFPPDLRAVLSAGLPVGPGFPDWRSSGARLHLRASLDLPIAAISFQIARNTLWSKSWGPRPSEPEKALRVARNALKRAPLLIPIFNHCYIPCHPSLAGNPIFFVDENRIFCCGLDLSDFFDRESLFRSLESDPILLKKQRSVSEKSAGSSTNYSRRSLDTGLTNGARTPRWVEFWSDAAVDRRRRNSAYSSSESSSPERFFDMPRSEMPKWVDEYIEQIGSVLRDGGWGETDIAEIVHVSASGFFEGEMVLLDNQAVLDALLLKADRFSDSLRKAGWSSEEVSDALGFDFRPEKERKPAKKLSPQLVEKIGKMAESVSRS >Manes.17G018312.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9958329:9959584:-1 gene:Manes.17G018312.v8.1 transcript:Manes.17G018312.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRPPKEVRLATYKSPSDRKWASFLPILELRVLKLGSRFGELGDFKSLGSPHLKFWVAPTLDLQEDRTRGTEVFSSVSCFRVSPELARGEWN >Manes.01G144700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33522204:33523929:1 gene:Manes.01G144700.v8.1 transcript:Manes.01G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNGAPRSGSATPTVNGDAEAPQKPRVALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRINHIYIDPHNSHKARMKLHYADLSDASSLRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAATGRSHIRYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIKTGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKADDYVVATEESHTVEEFLGVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDSNKARKLLGWKPKVGFEQLVKMMVDEDIELAKREKVLVDAGYMDAQQQP >Manes.05G069400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5734381:5735904:1 gene:Manes.05G069400.v8.1 transcript:Manes.05G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFLFVCVIVLCVTGFCQGGALRKKFYKTSCPQAEDIVRRVTWSHVLSNPNLPAKLLRMHFHDCFVRGCDGSILLNSTATSTAEKDAIPNLTLSGFDTIDAIKSELENACPGVVSCADILALAARDSVSFQFQKPMWEVLTGRRDGNVSLASDVFSNLPSPFFDFSTLLKNFKNKGLNVHDLVVLSGGHTIGVGHCNLFSNRLYNFTGKGDQDPSLNSTYATFLKTKCQSLSDATTTVELDPGSSLNFDSNYFVILKENKGLFQSDAALITDKIARNIAGELVDSGTFFVEFAQSMKRLGAVQVLTGTEGEIRNKCSVVNA >Manes.15G045800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3495646:3498001:1 gene:Manes.15G045800.v8.1 transcript:Manes.15G045800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPKVKVRTEGQDDQHAHAAHEYNWNSLLSLKDIQFLLLQDSYFPVKEFRDVSPSSTARIPKPSLPNIILQTESASEDKKSNFDEEDRPNIRAGSVLRPRAVLSSPDNDTMIGNKNSVKAPRPSALKNHHSVQSRHAQCKVASSQAVDDSRLNTRKSKDTTDTNLKGKKWSTTAISSRRRNITTDKPRSVRIS >Manes.15G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3495646:3498001:1 gene:Manes.15G045800.v8.1 transcript:Manes.15G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPKVKVRTEGQDDQHAHAAHEYNWNSLLSLKDIQFLLLQDSYFPVKEFRDVSPSSTARIPKPSLPNIILQTESASEEADKKSNFDEEDRPNIRAGSVLRPRAVLSSPDNDTMIGNKNSVKAPRPSALKNHHSVQSRHAQCKVASSQAVDDSRLNTRKSKDTTDTNLKGKKWSTTAISSRRRNITTDKPRSVRIS >Manes.05G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3673473:3676184:1 gene:Manes.05G044600.v8.1 transcript:Manes.05G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASNGGGPCGACKFLRRKCLKGCIFAPYFDSDQGTAHFAAVHRVFGASNASKLLLRIPAHKRLDAVVTLSYEALARVRDPVYGCVGHLFTLQQQVVNLQAELAYIQARLSTLQRLPLQQEAPPLPVQSSSQSSRVSNSEMASNWNVSMHFDQLQQVPSEWTSLCNPLDQELENDNLQALARDFVRRYLPGVRFKPPSSQ >Manes.11G091056.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:17779706:17781056:-1 gene:Manes.11G091056.v8.1 transcript:Manes.11G091056.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIDLHEGKLTLRVGQEEVTFNILQSTNYSNSTDNCFRINTVDKCVRGHLDDCGNKFESEHEHMLDDVINTNFEPLVVNIAIIDDEKSMHEKIVGTPYHPQMSGQVDISDKELKRSRKLDNARCATRLLNFDMKAAKEKCLL >Manes.01G150900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33941574:33943060:-1 gene:Manes.01G150900.v8.1 transcript:Manes.01G150900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYTCIECGTNLNLSTACLYPPDFYFEAGNKGTLSFSSIDSTKFRFEKEDKIRPFFETLNYWGIQRKRTKIICNSCGRLVGYVYDDGPPLTNSPGQFHMGPSQVIPRAPRYRFKTKALRIASET >Manes.12G104000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28037781:28046914:-1 gene:Manes.12G104000.v8.1 transcript:Manes.12G104000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDYGLSRVSGRVLDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHQERAVFDLYFRKNPFGGEYTIFAGLEECIKFLANFKFTEDEISFVCESLAGSCEDGFCDYLRGLDCSEVELYATPEGSVVFPKVPLLRIEGPVAVVQLLETPLLNLVNYASLVATNAARHRFVAGKSKMLLEFGLRRAQGPDGGIGASKYSYIGGFDATSNVAAGKLFGIPLRGTHSHAFVSSYMSLDEIVEKSLRSSDGSSSCEDFVSLVQTWLNKIQWSNSLRNVFGETNQSELAAFTSYALAFPNNFLALVDTYDVMRSGIPNFCAVALALSDLGYKAVGIRLDSGDLAYLSFEARKFFCTIEKEFGVLGFEKMIITASNDLNEETLDALNKQGHEVDAFGIGTYLVTCFTQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGREGYPLVDIMTGENEPSPKVKQEKICRLSRTLERVASINLS >Manes.12G104000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28037782:28046913:-1 gene:Manes.12G104000.v8.1 transcript:Manes.12G104000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDYGLSRVSGRVLDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHQERAVFDLYFRKNPFGGEYTIFAGLEECIKFLANFKFTEDEISFVCESLAGSCEVVQLLETPLLNLVNYASLVATNAARHRFVAGKSKMLLEFGLRRAQGPDGGIGASKYSYIGGFDATSNVAAGKLFGIPLRGTHSHAFVSSYMSLDEIVEKSLRSSDGSSSCEDFVSLVQTWLNKIQWSNSLRNVFGETNQSELAAFTSYALAFPNNFLALVDTYDVMRSGIPNFCAVALALSDLGYKAVGIRLDSGDLAYLSFEARKFFCTIEKEFGVLGFEKMIITASNDLNEETLDALNKQGHEVDAFGIGTYLVTCFTQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGREGYPLVDIMTGENEPSPKVGERILCRHPFNESKRAYVVPQKVEELLKCYWPGSSGKAREDLPPLKDIRTRCIDQLELMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >Manes.12G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28037782:28046913:-1 gene:Manes.12G104000.v8.1 transcript:Manes.12G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKDYGLSRVSGRVLDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHQERAVFDLYFRKNPFGGEYTIFAGLEECIKFLANFKFTEDEISFVCESLAGSCEDGFCDYLRGLDCSEVELYATPEGSVVFPKVPLLRIEGPVAVVQLLETPLLNLVNYASLVATNAARHRFVAGKSKMLLEFGLRRAQGPDGGIGASKYSYIGGFDATSNVAAGKLFGIPLRGTHSHAFVSSYMSLDEIVEKSLRSSDGSSSCEDFVSLVQTWLNKIQWSNSLRNVFGETNQSELAAFTSYALAFPNNFLALVDTYDVMRSGIPNFCAVALALSDLGYKAVGIRLDSGDLAYLSFEARKFFCTIEKEFGVLGFEKMIITASNDLNEETLDALNKQGHEVDAFGIGTYLVTCFTQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGREGYPLVDIMTGENEPSPKVGERILCRHPFNESKRAYVVPQKVEELLKCYWPGSSGKAREDLPPLKDIRTRCIDQLELMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >Manes.08G061700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8106714:8110240:1 gene:Manes.08G061700.v8.1 transcript:Manes.08G061700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGKYIGTADLQLERVNVYYNEASCGRYVPRAVLMDLEPGTMDSVRTGPYGQVFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDDEEEEMEHM >Manes.11G139102.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30431816:30432968:-1 gene:Manes.11G139102.v8.1 transcript:Manes.11G139102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIVSNLFASLSKSSPLHFSLSLSSSLSYPPFSEKMDSTASNKSTITSHQIIPPKRGQIKIKIVKGIIRSAAAIAGNGKKHKENGGFHISTPSTPATPTGYQSDVSFES >Manes.17G122500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32796806:32800675:1 gene:Manes.17G122500.v8.1 transcript:Manes.17G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNALRDLNTLPGSERKNETSGKGNFAKPYCENSDKNVEKWERNNSALLVSTTVNGDGTANSGAEIGNAEMEYIESENLSDVEDVDTSVKTLLAGLDSKDWVVVCESLNNVRRLSIFHKEALLDMLGDVIPLIVKSLKNPRSAVCKTAIMTSADFFSAYNDQLIDSLDPLLVQLLLKSSQDKRFVCEAAERALVAMTTWVSPILLLPKLQPYFKNRNPRIRAKASMCFSRSVPRLGVEGIKSYGIDKLILVASSQLSDQLPESREAARALLLELQTVYEKSHDLTPKVSEHSELSSWEHFCQSKLSPLSAQAVLRVTNIAREGLVLGS >Manes.09G075018.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:15972614:16031676:-1 gene:Manes.09G075018.v8.1 transcript:Manes.09G075018.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRHQFPSRKRPSSASFISPLPEPKIPKTTATGSPPSSENNCVEEDVPAVAIDKMVSILADAGCTLINPSGPPCLPSDPLKLRSHLDRLFSASHEGRALRSHFLAGFSSFIDSPKNLRRVLVASNQCGGRSESLMRQLLLVPAIQLDLQILILEKIPEYFDVDPDCSLEDDVARLIINHFRWLDFMVDCSAFLEKLMQVLLICPLHFKKEIIGSLPEIIGDQSNKAVFDSLGQMVREDSSIIMSVLDCFSNLNLDDILQEQVITIAISYIKTIDGEHMPHLLRFLLLNATPLNVRRIVLHIREQLKFIGTSHAMQHKKLKGKSLMASTEASILDALRTGLLSKSMLCQEILKVLISLEKPQDHKITDLWLLVLIYMNGKSMKKSIEKIFRKKVVENCIQKVMIDQCICGNRELVQDYFPPFLSLSEYFLTCREQKARDFGTHIYMCLFGEFGDTYCRQEVLSSLVTHVGSGVSFEVTSALETMAFMASKYAQELIPLSTHINGILDYLEAFNIENLHKVYEIFSHLALLARSSEECFGSSFGNELLMILRKQVNHPDLKYKKMGLIGTLKVVSCLADVTKVSGTSFEKTNCQEALELLRTSLDSSKHFCLPLILFYDELTVMLEHKRLQPEIIEWIAKHIGEFESMFLSDLDGGQLHSADAYGGLEGELWMNLDGDISPVCLSIFPLASSSLQSTTSLQVLPAYFLLLSVVERLTNQGSLGGIDALLGCPLHLPASKYFSVAGWQSLTAKQKQIVCLSLYYAINWIRELLNAFCTQVARGFECISQVTKEDIIAKLLKRLRNLVFLESLLNNSIRSHPMSLPELHLHVQHSGTSLFDNAYDMMHAEINNKHKKTHDTISPNKNRHNKVSTQSSSGTNRKLQQPTIFEMLRKGGAVSSKQLVHEDSSGQPLKSLNSADQESDSTEQLILEVPAASKALNAQRCKFRPLLMQCFSLLEFSKKQDSCCSDPTAELPVYLYLLSDLHNKVDYFTPTKQFSSRCTNPGPGFCRMAVKEFLTKIRPLFPNLRRHFDRAVSILKEEGTQSHKYEQIISGDEHCEEHWKVHSSLAGNPEIAKLGISNYSMSVSVSKEILHCFSKMLNLPEVQGEKTILSDLLEAFQPSKISEIVFSDIQPRPLPGTMEYLYLGAASFLEDVLSKACSSSFTLASECLFTLESIVASVQIFLNKLERNVESTHPISIDRILPTLQSILGTSAQKLLQHKWDDMSLENGWKNKGEIMQKILFIYMENSESKSDLLDELACSILPEVPSCKTMTEHDNHGFSTLCNETFAMWYRVMHEENLAILNSLVKDVILRKTGAGVQLEMVENHLIKIQRSVNVVVSLVSTCRTHDKVIVRAMAVKYGGKFVDSFLKVFEFLQAHFRTHSEIIIQLVKELQKATRTIQTLCSEAKGSKQIAITSKIPPTKRSIERFLFHVKALLHTTPTACTFWMGNLKHKNLRGEVVSSQVYADDQNSNSNADEDLAEAEDSDQPVNIGSEEDKDIE >Manes.14G073702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6103582:6114569:-1 gene:Manes.14G073702.v8.1 transcript:Manes.14G073702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNPTTRSKLAPPSTPAAVAAADEAENSNNLSLVKIEQPIFPEPDVSSYSAIKSECERALTALRRGNHTKALRLMKESCTRHGDNSPHAALIHRVQGTVCVKVASIIDDPNAKQRHLKNAIDSARRAAELSPNSIEFAHFYANLLYEAANDGKEYEDVMKECDRALDILNPIDPAKESLQDESQQRITTAEARIAHVQSELRSLKQKSSIASISTWMKNLGTGEEIRLIPIRRATEDPMEVKLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQKSESSLGHNGGERSDKGVEAPSGSDRRGERRKYGINARKNGTNKERKDWVQSYWNSMSMEMKSDLLKIRVSDLMGYFGSFKDGLASEVLNEALAFAEENKTWGFWMCCRCFEKFADSESHIHHVVQEHMGNLMPKMQAVLPQSVDNEWIEMILNCSWKPLDISSAAKMLGSRGKDQDADVVEDFYSGARNEECDDCFKDAWDSSPKKENLRDCYNDFTMESNDPDKVSSIECKECDGNQGPMAYSIDSWPLSEDSERGKLLEKIHALFEAFIKHKYLAASHLNKVIQLTMDELQTLASGSQLLNHGIDQTPLCICFLGASQLRKVLKFLQEISHSCGLGRYSEKSSTVDDLSAAQGPEIKEKIVLNSDASCLYLDECLLPSECAPGTCLHDNMVTATSTNVGNGNSVLPDAAALLSWIFAGPSSGEQLQSWVRTKDKKVHQGMEILETLEKEFYHLQSLCERKCEHLSYMEALQAVEDLCLEETKKREVNIHDHSCYEYALRKRRDDLVENEHDALFISGRIELDVIANILKEAEDLNGNQFGYEDSYNGINSQLCDLETGENNDWKTKDDAHQMDTCIQVVIQRQKHQLSIELSKIDARIMRNVTGMQQLELKLEPVSAHDYRLILLPLVKSYMRAHLEDLAEKDATEKSDAAREAFLAELALDSKKSARGGSDNLRNIQDKSKDKRKNRDYRKTKDSKATFGNEQHLLHDETAEQSSFLVASDGDHPDSETLLSMNGDDLKQQEEEFRRKIELEAEERKLEETLEYQRRIENEAKMKHLAEQQYKKSNRTFPEKVAGGQHDSNLECDSDAVHEPLEHLTYKNGFPNDIEVMPMVSGAAVPVKPWISNAQMTSGTHNTKANQGPSSEGTSDDSILPSDRRTGRRGRRQKSATRSFDGKYQPVSAEKNNGEVGNGDNGTKTLRQLQAEEDDEERFQADLKKAVRQSLDAFQAHQQAPLISSSRRPQNLPLEVNSAGVSPNEVAIKSVNETDVVGAGLQNDVGEYNCFLNVIIQSLWHLRRFREEFLQRSASEHVHVGEPCVVCALYDIFTALTTASTDMLREAVAPTSLRIALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRAFTSGSTGSGSDSDSVESSGTGFWDCTNNACLVHSLFGMDIFERMNCYSCGLESRHLKYTSFFHNINASALRTMKVMCSENSFDELLNLVEMNHQLACDPEVGGCGKFNYIHHILSTAPHVFTTVLGWQNTCESVEDIAATLAALSTEIDISALYRGLDPKNMHSLVSVVCYYGQHYHCFAYSQDHERWIMYDDKTVKVIGSWADVLSMCERGHLQPQVLFFEAVN >Manes.18G145528.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28805926:28807250:-1 gene:Manes.18G145528.v8.1 transcript:Manes.18G145528.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIHAQRKGVGSVFKFHTHHRKVVTEIIHDPGRGAPLARVTFCHPFRYKHQKELFVAAEGMYTGQFVYCGKKAILMVGNVLPLRSILEGVVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNGTTRCRAMVGQVAGGGRTEKPLLKAGNAYHKYRVKRNCWPKVHGVAMNPVEHPHSGGNHQHIGHASTVRRDAPPGQKFGLIAARRTGRLRGQAAATAAKADKGA >Manes.08G054850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5955077:5960553:1 gene:Manes.08G054850.v8.1 transcript:Manes.08G054850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCDLKLTSHNKGPWSRDLHEDFAWACRYFGTVSGKLRMTGEEKCTWGLVA >Manes.06G167100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29284578:29286324:1 gene:Manes.06G167100.v8.1 transcript:Manes.06G167100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPRPKQQQQSSSTELEFDNLRAIKVLGKGAMGTVFLVHDKTADPCALNPYALKVVEKSTLHTKFEADRRARWEIQVLNKLSGANSHPFLPHLMFSLETPEFLAWAVPFCSGGDLNVLLYRQYDRVFSPAVVRFYLAEILCALEHLHDMGIVYRDLKPENILVQQSGHVTLTDFDLSRTLTKRTVKTILSSDDLRHFDQPANNTGKKHHHLHHIPCRCHRRNLSRWLPPILPSKDKTGLKKAKSARVSPVSRRKVSFSNGERSNSFVGTEEYVSPEVVRGDGHEFSVDWWALGILTYEMSYGTTPFRGENRKETFRNILYKKPEFIGKRNELTDLIERLLEKDPTKRLGYQRGACEIKEHVFFKGVRWDLLTEVLRPPFIPARDDSDLTETAVVDIRGHFQNLKAPASPLPSRLPSPSSECHRKMSLEEF >Manes.05G012891.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:575131:583122:-1 gene:Manes.05G012891.v8.1 transcript:Manes.05G012891.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKALHDGKDLFFLLQVDGDYAYAKGNNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWRTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGNPWHGSGHFSINCDWIPVDISPGSSMLSPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.05G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3132579:3133879:-1 gene:Manes.05G037800.v8.1 transcript:Manes.05G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSTTAATAKRYAVVTGANKGIGFEICRQLASNGIVVVLTARDENRGMEAVAKLKHSGFSDELVIFHQLDVVDPDSVASLADFVKTQFGKLDILVNNAGISGVALESDAFQRAFELSGGWPDGKQVPWKEIQSQSFELAEECLKTNYYGARTMVEALAPLLLLSDSARIVNVSSMLGWLQNIPSEWAKGLLNDVESLTEDTVDAVVNQFLKDFKDGLLETKGWPTHISAYSVAKAAMNAYTRILAKRS >Manes.07G074704.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15667101:15670875:-1 gene:Manes.07G074704.v8.1 transcript:Manes.07G074704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSFRCDQDSYKSKQLGTVLEPEDDSDVLIEGKNVYKSFGDKDILRGVSFKVGGLGDVVTGLGKALKKRGHLVEIILPKYDCMQYDGLPVYFIEPQHPDKFFWRGQFYGEHDDFYRFSFFSRAALELLLQAGKKPDIIHCHD >Manes.02G210201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25028357:25030976:-1 gene:Manes.02G210201.v8.1 transcript:Manes.02G210201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKFLTGLPRLFSTKVKETIEQKYGHISYDDLTYGDLITCVNLTGIRLCRDMKLQQKLKMENRQSRKELGNWCEQFGFGPIKKHKQKKYKPFNKYRNKQYNKQLNKKPFKRKQFKRNNYRKNNFKNNKNNITCYLCNQKGHYAKECPARRKIHELGLELKID >Manes.05G129600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22192217:22195840:1 gene:Manes.05G129600.v8.1 transcript:Manes.05G129600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELGKFGEIESLNVCDNLADHMIGNVYVQFREEEQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQYEENNCNRGGYCNFMHVKLIGRELRRKLFGRYRGYRRSRSRSRSGSPRHRRDRDIDRREKDFRDRDRDFRGNGRKSGDRHDRYDRDGGRRRHGSPRRSRSPVREGSEERRARIEQWNREREEKQ >Manes.05G017700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1649286:1653899:-1 gene:Manes.05G017700.v8.1 transcript:Manes.05G017700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVPSKQTSTRVGKYELGRTLGEGTFAKVKFAKNVETGDSVAIKILDREQVLRLKMVEQLKREISTMKLIKHPNVIKIYEVMASKRKIYIVLEFVDGGELFDKIATQGRLKEDEARRYFHQLINAVDYCHSRGVSHRDLKPENLLLDSLGVLKVSDFGLSAVLSNQIRGDGLLHTACGTPNYVAPEVLKDKGYDGTGSDVWSCGVILFVLMAGYLPFDEPSFMGLYGKICSADFTFPSWFSSGARKLIKRILDPNPVTRITIPEMLEDEWFKKGYKPPQFQQEDDVNLDDVDAAFDDSKEHLVTERKGKPVSMNAFELISKTQGFSLENLFAKQAGIVKRETRFASHSPAKEIMSKIEEAAKPLGFNVDKRNYKMKLKGDKNGRKGQLSVSTEVFEVAPSLHMVELRKVGGDTLEFHKFYKTFSSGLKDIVWKSEGTIEGLKS >Manes.16G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7070346:7073912:-1 gene:Manes.16G044100.v8.1 transcript:Manes.16G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNQIDETDQNDDCFFDALEDFPFHDCVVTDQSDQSTSDSTLCETSLEVSYPITALRRRSLSGRGISETGSKDSNPESSGITSDITQAYDSKTKYKEKTHKFLRDINEIERDLYFPESTRWIRDGVDSVGVTSKVSDDEKKVKMTVIEDRVGESVRTADELDDSSSPNLLEFIAGLVIKAIGFQVSLFFKFITFPLWTLYFSYMLVIDPFGVLRRGRGFFMKKLVGLLKLISGVFSPLITGWLNDQHTIWKLLVRFGWGMFWSFYVCIVLFALVVFSMVASGYLIRNLVENPVEIKGELNFDYTQNSPVAFVPIMSCGGVGCGLTCEEKSLGPRVIPPNHELEVNVLLTLPESGYNRNLGIFQVRVDFLSADGTTLTSKRQLCMLKFRSEPIRFLLTFFKIAPLLTGYMSESQILKVKIRGFKEGHVPTSCLKVMIEQRAEFQPAGGVPEVYDASIILRSELPILKRIVWCWKKTMFAWISMMFFILEMLFILICCRPVIIPRTRPREFTAVNNATPESLSQLGSRQGIHLLDDYDESKE >Manes.02G184100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14748452:14751808:1 gene:Manes.02G184100.v8.1 transcript:Manes.02G184100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSHCVSFFLILVMLTTTKTLVLFVASLSPDGEALLSLLSSADPSAKSSSSILSSWNPSSSTPCSWQAITCSPQNRVISLSLPNTFLNLSSLPSQLSSLTYLQLLNLSSTNISGSIPPSFGQLTSLRLLDLSSNSLSGEIPQELGLLSSLQFLYLNSNRLSGTIPPQLANLTSLQVFCLQDNLINGSIPSQLGSLISLQQFRVGGNPYLTGDIPPELGLLTNLTTFGAAATGLTGAIPPTFGNLINLQTLALYDTEVFGSIPLELGLCSELRNLYLHMNKLTGSIPSQLGKLQKLTSLLLWGNAISGPIPVELSNCSSLVVLDASANDISGEIPSDLGKLVVLEQLHLSDNSLTGVIPWQLSNCTSLTALQLDKNQLSGAIPWQIGELKYLQNFFLWGNSVSGTIPASFGNCTELYALDLSRNKLTGTIPDEIFGLKKLSKLLLLGNSLSGGLPRSIANCQSLVRLRLGENQLSGQIPKEIGQLQNLVFLDLYMNHFSGAIPVEIANITVLELLDVHNNHFTGEIPSQLGDLVNLEQLDLSRNSFKGEIPWSFGNFSYLNKLILNNNLLSGSIPRSIKNLQKLTLLDLSYNSFSGPIPPEIGYVTSLTISLDLSSNAFTGEIPETISSLTQLQSIDLSHNLLYGNIKVLGSLTSLTSLNISCNNFSGPIPVTPFFRTLSSSSYFQNPNLCLSTDGSTCSSRVTRRNGLKSAKTVALISVILASVTMAVIASWILLSRNHKYMLEKALGASSSSSEAEDFSYPWTFIPFQKLNFSIDNILDCLRDENVIGKGCSGVVYKAEMPSGELIAVKKLWKTKREEEPVDSFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNYIPNGNLQQLLRGNRNLDWETRYKIAVGSAQGLAYLHHDCLPAILHRDVKCNNILLDSKFEAYLADFGLAKLMNSANYHQAISRVAGSYEYGYSMNITEKSDVYSYGVVLLEILSGRSAVESQVGDGLHIVEWVKKKMASFEPAVSILDAKLQGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSTPEEWGKTSQPLIKQSSNQS >Manes.06G118700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24920267:24922246:-1 gene:Manes.06G118700.v8.1 transcript:Manes.06G118700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFLIIFVSLGFLLFSFLFSIFQEFHYSRLKLLAADGPPSYPIIGCLLSFYENRHRLLDWYTHLLYLSPTQTIVVERLGARRTIVTANPANVEHMLKINFSNYPKGKPFTDILGDLLGCGIFNVDGELWSSQRKLASHEFSTKSLREFVVKTLQEEVENRLIPLLEQAADGEKVLDLQDVLRRFAFDIVSRVSLGMDPFCLDLSRPLPPLVKAFDAASEISAMRGAAPVSAAWKIKRMLNIGVEKKLKEAIKLVHSSVLEIIQNKKRDLEADRESKRDNDLLSRLLSAGHDEEVIRDMLISFIMAGRDTTSAAMTWLFWLLSKHQSSEEMIVDEVETLLNNGEGAIDYDLLKDMNFLKASLCESMRLYPPVAWDSKHALRDDVLPDGTFIGKGDRVTYFPYGMGRMDNLWGKDCLEFKPERWLEEPVGLGNGGAAMKMVSPFKFPVFQAGPRVCLGKEMALIQMKYVVASVVRRFKVRPVGEEQPVFVPLLTAHMAGGLKVLVKRRK >Manes.07G063518.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11191940:11195628:1 gene:Manes.07G063518.v8.1 transcript:Manes.07G063518.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTPLFIHFHNGTYLFNEIKTFIFFFIYIYFYKIKYIYIYIYIYIYIYIYIYIYIYIYILHLERLLFIYIYIY >Manes.01G162750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34732651:34733829:-1 gene:Manes.01G162750.v8.1 transcript:Manes.01G162750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSSPHHQKIWGFSKCHQCLEGESSLRLQPQRLDNIFAPLIFPFSFLNGFFF >Manes.16G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32194697:32199129:-1 gene:Manes.16G118200.v8.1 transcript:Manes.16G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKNKKPKAVILGGSIAGISCAHALILAGWDIVVLEKSNSPPKGSLTGAGIGIDPLGQQIIQSWLPRPELLQEATLPLKIDQNIVTGGDKTTRVLTRDENFNFRAAHWADLHSLLYDGLPPEIFLWGHLYLSFCISEDQTSVNVEAKSLQTNEIIEINGDLLIAADGCLSSVRRTFLPRVKLRYSGYCAWRGVLDFSGKENSEAIIGIKREYPELGNCLYFHLGSGTHSVLYELPKKKLNWIWYVHQPEPEVKGNSVTMKVSSDMINNMYQEAEKVWLPQLVQVMKETKEPFLNIIYDCDPLEQICWDKVVLVGDAAHPTTPHGLRSTNMSIADAAVLGKCLQKWGVENLPYALEEYLRVRVPVITKQVLHSRKMGRIKQGLPLPDCEPFDLHTASPEDCEELQQKNMPFFSGVPFWAE >Manes.06G002900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:677828:686468:1 gene:Manes.06G002900.v8.1 transcript:Manes.06G002900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFTHLRGTNSFAPIKTLPTSVTTQRLKTGAGFLRLVANADHAQGEPDLSVNVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYDKAAWEELIDRVEQTGIDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITQPARVALSSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKAVHPIALGKVMAIAKMMKSEFNDEQYSLSGIGGVETGSDAAEFILLGANTVQVCTGVMMHGYGLVKKLCDELKDFMRMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAIQQRKAIKKGLQSDKDWTGDGFVKESESMVSN >Manes.06G002900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:677828:686431:1 gene:Manes.06G002900.v8.1 transcript:Manes.06G002900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQWLAVLVALIWSRRFTHLRGTNSFAPIKTLPTSVTTQRLKTGAGFLRLVANADHAQGEPDLSVNVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYDKAAWEELIDRVEQTGIDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITQPARVALSSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKAVHPIALGKVMAIAKMMKSEFNDEQYSLSGIGGVETGSDAAEFILLGANTVQVCTGVMMHGYGLVKKLCDELKDFMRMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAIQQRKAIKKGLQSDKDWTGDGFVKESESMVSN >Manes.06G002900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:677795:686468:1 gene:Manes.06G002900.v8.1 transcript:Manes.06G002900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFTHLRGTNSFAPIKTLPTSVTTQRLKTGAGFLRLVANADHAQGEPDLSVNVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYDKAAWEELIDRVEQTGIDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITQPARVALSSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKAVHPIALGKVMAIAKMMKSEFNDEQYSLSGIGGVETGSDAAEFILLGANTVQVCTGVMMHGYGLVKKLCDELKDFMRMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAIQQRKAIKKGLQSDKDWTGDGFVKESESMVSN >Manes.06G092400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22759603:22760834:-1 gene:Manes.06G092400.v8.1 transcript:Manes.06G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKLVCVLLMAILGISMVATQVMAKDAQYHLDSGNYGPGSLKSYQCPSQCTRRCSKTQYHKPCMFFCQKCCSKCLCVPPGYYGNKNVCPCYNNWKTKRGGPKCP >Manes.06G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20216833:20224268:-1 gene:Manes.06G066100.v8.1 transcript:Manes.06G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVDFKIFNTMTKTMEVFKPLVPGKVRMYVCGVTSYDLSHIGHARAAVVFDVLYRYLQHLGYEVTYVRNFTDIDDKIIRRANELGEDPLSLSKRYCQEYLVDMDSLQCLHPTYQPRVTDHMEEIIDMIKQIIDNGFAYDIEGDVFFAVDKFPNYGQLSGQKLENTRAGERVAVDTRKRNPADFALWKAAKPDEPSWKSPWGDGRPGWHIECSAMSAHYLTSKFDIHGGGSDLAFPHHENEIAQSAAARQESHVSYWMHNGHVTNNNEKMSKSLGNFFTIRQVTERYHPLALRYFLMSAHYRSPLNYTVLQLESASDAIFYIYQTLHDCEEALSLFQDGSQEGVGLNVKKVGITADAQKCINKLREEFESKMSDDLSTSHILIGAFQEALKFINSSLSMIKKKQQKQQQLSLIQSLTEIEKEAKLILKILGLLPPCTYVEVLQQLKDKALKRAGLTEQDVLSFIEERAMARKNKDFSRSDQIRADLTAKGIALMDVGKETVWRPCVPVEAEQDAPSVVAEQKQNPLPAASS >Manes.16G080600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28582340:28583966:-1 gene:Manes.16G080600.v8.1 transcript:Manes.16G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMPPPTNDVVAGIFPEFLVETDLEADLSPEFPIKEEMIEEVMQELYREITCTETAASPSSETILLASPLPPPPHLSAPSFVNSGKSESCGASVSNSTSSVMAGVEFAGCVFGNGAVDSVELRDAEEKRCNSEEEEEEYYFLGKRLMDGCDGIKFGDEWLEKVMGWAPLELEGWS >Manes.11G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9737983:9739873:1 gene:Manes.11G068500.v8.1 transcript:Manes.11G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVGMIGGNGCVGSSSAWFQIKNRRKKNNHMGRERTRSFRVSSSSSSVMDPYKTLRIQPGASESEVKKAFRQLALQYHPDVCRESNCNVQFTRINEAYDIVLSNLRGEANGSQMYASHEPYDEGIDEPMRGMDDPDWDMWEEWMGWEGAGIRDYTSHINPYI >Manes.11G068500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9737983:9739873:1 gene:Manes.11G068500.v8.1 transcript:Manes.11G068500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVGMIGGNGCVGSSSAWFQIKNRRKKNNHMGRERTRSFRVSSSSSSVMDPYKTLRIQPGASESEVKKAFRQLALQIVLSNLRGEANGSQMYASHEPYDEGIDEPMRGMDDPDWDMWEEWMGWEGAGIRDYTSHINPYI >Manes.08G155500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39238108:39243074:-1 gene:Manes.08G155500.v8.1 transcript:Manes.08G155500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKTLRRRLHHGDVDGRREENFDTSGYDSLNEPLLGSHEHDDMPAGGRTLDDIWNSEGRREHLHWTFILSQLIAEWAQWLANIVLGSGSLIGRFLPFAAQSGSNRNLLHPYLSPLQEERLGNLRRRLGVPFDGSQVEHQDALKLLWRLAYPGRELPELKSELWKEMGWQGSDPSTDFRGGGFISLENLIFFAKKFPDSFQRLLHKKDGNRAEWEYPFAIAGINISFMLIQMLGLQTGKLSTPAGIKFVELLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNEVLKSTRTQLERELALEDVRSVKDLPAYNMLTG >Manes.08G155500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39238108:39243074:-1 gene:Manes.08G155500.v8.1 transcript:Manes.08G155500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLASIPFPGLNLIMSAKTLRRRLHHGDVDGRREENFDTSGYDSLNEPLLGSHEHDDMPAGGRTLDDIWNSEGRREHLHWTFILSQLIAEWAQWLANIVLGSGSLIGRFLPFAAQSGSNRNLLHPYLSPLQEERLGNLRRRLGVPFDGSQVEHQDALKLLWRLAYPGRELPELKSELWKEMGWQGSDPSTDFRGGGFISLENLIFFAKKFPDSFQRLLHKKDGNRAEWEYPFAIAGINISFMLIQMLGLQTGKLSTPAGIKFVELLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNEVLKSTRTQLERELALEDVRSVKDLPAYNMLTG >Manes.17G123600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32900771:32905095:-1 gene:Manes.17G123600.v8.1 transcript:Manes.17G123600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYTVEPINDGINEFNVEFHGPKESLYEGGVWKIHVELPDAYPYKSPSIGFLNKIFHPNVDEMSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDKKQYEEKVKEYCERYAKKENISNTLSEESNEDISEEDVSDGERTSSDDNVAGDADP >Manes.17G123600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32900017:32905117:-1 gene:Manes.17G123600.v8.1 transcript:Manes.17G123600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYTVEPINDGINEFNVEFHGPKESLYEGGVWKIHVELPDAYPYKSPSIGFLNKIFHPNVDEMSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDKKQYEEKVKEYCERYAKKENISNTLSEESNEDISEEDVSDGERTSSDDNVAGDADP >Manes.17G123600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32900016:32905095:-1 gene:Manes.17G123600.v8.1 transcript:Manes.17G123600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYTVEPINDGINEFNVEFHGPKESLYEGGVWKIHVELPDAYPYKSPSIGFLNKIFHPNVDEMSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDKKQYEEKVKEYCERYAKKENISNTLSEESNEDISEEDVSDGERTSSDDNVAGDADP >Manes.05G207900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33452084:33458979:-1 gene:Manes.05G207900.v8.1 transcript:Manes.05G207900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCVNMRPRTFTLSHHGKQRTGTLLRWRSSMTIVSMSTNKIDIPIMVNGSNGKMGKAVIKAADSAGLQILPVSFGSPDESGQTIQVCGKDIKIHGPSEREGVLASVFDEHPDLIVVDYTVPAAVNDNADLYSKVGVPFVMGTTGGDRERLYKTVEDSKIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQAGKLDTSGTAKAVISCFQKLGVSFDMDEIQMIRDPKQQIEMVGVPEEHLSGHAFHMYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVIFLAKKIQSKADKRIYNMIDVLREGKMR >Manes.05G207900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33452084:33458979:-1 gene:Manes.05G207900.v8.1 transcript:Manes.05G207900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCVNMRPRTFTLSHHGKQRTGTLLRWRSSMTIVSMSTNKIDIPIMVNGSNGKMGKAVIKAADSAGLQILPVSFGSPDESGQTIQVCGKDIKIHGPSEREGVLASVFDEHPDLIVVDYTVPAAVNDNADLYSKVGVPFVMGTTGGDRERLYKTVEDSKIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQAGKLDTSGTAKAVISCFQKLGVSFDMDEIQMIRDPKQQIEMVGVPEEHLSGHAFHMYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVIFLAKKIQSKADKRIYNMIDVLREGKMR >Manes.05G207900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33452104:33458974:-1 gene:Manes.05G207900.v8.1 transcript:Manes.05G207900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFTHPISVSEQFPKSSRILPFHQHQQSIMAASCVNMRPRTFTLSHHGKQRTGTLLRWRSSMTIVSMSTNKIDIPIMVNGSNGKMGKAVIKAADSAGLQILPVSFGSPDESGQTIQVCGKDIKIHGPSEREGVLASVFDEHPDLIVVDYTVPAAVNDNADLYSKVGVPFVMGTTGGDRERLYKTVEDSKIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQAGKLDTSGTAKAVISCFQKLGVSFDMDEIQMIRDPKQQIEMVGVPEEHLSGHAFHMYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVIFLAKKIQSKADKRIYNMIDVLREGKMR >Manes.05G207900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33452104:33457746:-1 gene:Manes.05G207900.v8.1 transcript:Manes.05G207900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCVNMRPRTFTLSHHGKQRTGTLLRWRSSMTIVSMSTNKIDIPIMVNGSNGKMGKAVIKAADSAGLQILPVSFGSPDESGQTIQVCGKDIKIHGPSEREGVLASVFDEHPDLIVVDYTVPAAVNDNADLYSKVGVPFVMGTTGGDRERLYKTVEDSKIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQAGKLDTSGTAKAVISCFQKLGVSFDMDEIQMIRDPKQQIEMVGVPEEHLSGHAFHMYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVIFLAKKIQSKADKRIYNMIDVLREGKMR >Manes.05G207900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33452104:33458974:-1 gene:Manes.05G207900.v8.1 transcript:Manes.05G207900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFTHPISVSEQFPKSSRILPFHQHQQSIMAASCVNMRPRTFTLSHHGKQRTGTLLRWRSSMTIVSMSTNKIDIPIMVNGSNGKMGKAVIKAADSAGLQILPVSFGSPDESGQTIQVCGKDIKIHGPSEREGVLASVFDEHPDLIVVDYTVPAAVNDNADLYSKVGVPFVMGTTGGDRERLYKTVEDSKIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVLESHQAGKLDTSGTAKAVISCFQKLGVSFDMDEIQMIRDPKQQIEMVGVPEEHLSGHAFHMYHLTSPDQTVSFEFQHNVCGRSIYAEGTVDAVIFLAKKIQSKADKRIYNMIDVLREGKMR >Manes.15G120700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9577526:9578238:-1 gene:Manes.15G120700.v8.1 transcript:Manes.15G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNRTLKKLFCIFIFLLFLVISNFAFPSSSSSHEIQSKTAPKEPAQYQLFYIKNAPPFILDSEGVYKKKRKNGKMVRKRKKQIKNLKTRPASFSVMLPKGFVPPSGSSPCHNEKPNNERVAFYCDLSTSKP >Manes.01G183000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36133101:36137791:-1 gene:Manes.01G183000.v8.1 transcript:Manes.01G183000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKNGYWWSLDSKFIAFTQVDSSGIPLFRIMHQGKSSVGEEAQEDHAYPFAGASNAKVRLGVVSAAGGPIKWLDLVCGGKGALDNAEEYLARVNWMHGDILTAQVLNRSHSKLKILKFDIKTGQRDIMLVEEQDIWVNLHDCFTPLDKGVTKYSGGFIWASEKTGFRHLYLHDANGTCLGPITEGKWVVEQIAGINEAAGLVYFTATLDGALESNLYCAKLYPDGNQNFLGPVRLTHGKGKHVVVLDHHMRNFVDIHDSLDSTPRVLLCSLLDGNVIMPLYEQPFTIPRFKRLELEPPEIVQVKANDGTILYGALYKPDPTKFGSPPYKTMINVYGGPGVQYVCDSWLNTVDMRAQYLRSKGILVWKLDNRGSARRGLKFEGALKYNAGRIDAEDQLTGAEWLIKQGLAKVGHIGLYGWSYGGYMSAMILARFPDVFHCSVSGAPVTSWDGYDTFYTEKYMGLPSQNPTGYEDSSVMHHVHKLKGKILLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHRDRVYMEERIWEFVERNL >Manes.01G183000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36133101:36139334:-1 gene:Manes.01G183000.v8.1 transcript:Manes.01G183000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVDENENKHLKRLRSFNKNMPLTDPITPQNLDSGVFFPVEEIVQSPLPGYCAPTSISFSPDDTLITYLFSPDQTLSRKVFAFDPKTGKQELLFSPLDGGLDESNISPEEKLRRERSRERGLGVTRYEWVKTSSKKKAIMVPLPSGVHFQELCSSKVELKLPSSPFSPIIDPHLSPDGTMLAYVKDSELHVLNLLHNESKQLTHGAQGNVVTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTQVDSSGIPLFRIMHQGKSSVGEEAQEDHAYPFAGASNAKVRLGVVSAAGGPIKWLDLVCGGKGALDNAEEYLARVNWMHGDILTAQVLNRSHSKLKILKFDIKTGQRDIMLVEEQDIWVNLHDCFTPLDKGVTKYSGGFIWASEKTGFRHLYLHDANGTCLGPITEGKWVVEQIAGINEAAGLVYFTATLDGALESNLYCAKLYPDGNQNFLGPVRLTHGKGKHVVVLDHHMRNFVDIHDSLDSTPRVLLCSLLDGNVIMPLYEQPFTIPRFKRLELEPPEIVQVKANDGTILYGALYKPDPTKFGSPPYKTMINVYGGPGVQYVCDSWLNTVDMRAQYLRSKGILVWKLDNRGSARRGLKFEGALKYNAGRIDAEDQLTGAEWLIKQGLAKVGHIGLYGWSYGGYMSAMILARFPDVFHCSVSGAPVTSWDGYDTFYTEKYMGLPSQNPTGYEDSSVMHHVHKLKGKILLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHRDRVYMEERIWEFVERNL >Manes.01G183000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36133100:36139334:-1 gene:Manes.01G183000.v8.1 transcript:Manes.01G183000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVDENENKHLKRLRSFNKNMPLTDPITPQNLDSGVFFPVEEIVQSPLPGYCAPTSISFSPDDTLITYLFSPDQTLSRKVFAFDPKTGKQELLFSPLDGGLDESNISPEEKLRRERSRERGLGVTRYEWVKTSSKKKAIMVPLPSGVHFQELCSSKVELKLPSSPFSPIIDPHLSPDGTMLAYVKDSELHVLNLLHNESKQLTHGAQGNVVEEMDRKNGYWWSLDSKFIAFTQVDSSGIPLFRIMHQGKSSVGEEAQEDHAYPFAGASNAKVRLGVVSAAGGPIKWLDLVCGGKGALDNAEEYLARVNWMHGDILTAQVLNRSHSKLKILKFDIKTGQRDIMLVEEQDIWVNLHDCFTPLDKGVTKYSGGFIWASEKTGFRHLYLHDANGTCLGPITEGKWVVEQIAGINEAAGLVYFTATLDGALESNLYCAKLYPDGNQNFLGPVRLTHGKGKHVVVLDHHMRNFVDIHDSLDSTPRVLLCSLLDGNVIMPLYEQPFTIPRFKRLELEPPEIVQVKANDGTILYGALYKPDPTKFGSPPYKTMINVYGGPGVQYVCDSWLNTVDMRAQYLRSKGILVWKLDNRGSARRGLKFEGALKYNAGRIDAEDQLTGAEWLIKQGLAKVGHIGLYGWSYGGYMSAMILARFPDVFHCSVSGAPVTSWDGYDTFYTEKYMGLPSQNPTGYEDSSVMHHVHKLKGKILLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHRDRVYMEERIWEFVERNL >Manes.17G092000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30015729:30025405:-1 gene:Manes.17G092000.v8.1 transcript:Manes.17G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLFTTFHSVSRLSLCPFSSKCAFYGRFFHHVKLSKRLDCSNSKRPLRICMGSVENDGNYNGASFLENSQFVEVIGIGSRMDAVLDFCMDSPFQLSSLLRFWNINVKDPLNVQLQERIPGKELNPRLLEASQFLQSCSTIVILVASAGYGLDHVTAIDLLKTVRCRNGFVVSIFLRPFSFEGQRRQDEVKDLVGKLKDHTNFCIDIDTDTLLNKDLVTLDEALKSANSAVLLAINAISVLISDMHQKLIGVPHNNVKELKVSEVMKILKSHKEAKIGFGVGNSIESSITQALYDCPFMGAGIENLNGIIICIIASSGFIENDAVHTSLLTFRQTAEYTGEIIISTTLEPNLDHDMIVTTVVAVGCSEVQTPQRHNLLSRLAWHFPFVSKLLGRPRQQPNDNERINRLKDAHLSVGINLPDSGSLGSGIAIEGMPKCIDKHFEEHETLPNSNCNDSNSSRSNGSEPKENEIGLFDARTDSSDFYNQSTEETTVLHRELPISWNLGPGHQIAQEWARSRTGATSVLDTLSLFRLPVGVRHPEESKEGPNISYAAELPELKTDGDVKEQKQINSRISSWGSLTDAGLVLVRDIYNNASTRLKGRNADVSKKQGVLSVRAASMLEAERDSPTKWSPVMEMQYRGGVYRGRCQGGLPEGKGRLILGDGSIYDGMWRYGKRSGLGAFYFSNGDVFQGSWRDDVMHGKGWFYFHTGDRWFANFWKGKANGESRFYSKSGKVFFGQFQDGWRHGHFLCIDVDGARCVETWDDGGLVSRKHLDY >Manes.17G092000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30015729:30025405:-1 gene:Manes.17G092000.v8.1 transcript:Manes.17G092000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNYKKEFLEKNLIRGFWKLPSFCSLVQRLLSLFVASAGYGLDHVTAIDLLKTVRCRNGFVVSIFLRPFSFEGQRRQDEVKDLVGKLKDHTNFCIDIDTDTLLNKDLVTLDEALKSANSAVLLAINAISVLISDMHQKLIGVPHNNVKELKVSEVMKILKSHKEAKIGFGVGNSIESSITQALYDCPFMGAGIENLNGIIICIIASSGFIENDAVHTSLLTFRQTAEYTGEIIISTTLEPNLDHDMIVTTVVAVGCSEVQTPQRHNLLSRLAWHFPFVSKLLGRPRQQPNDNERINRLKDAHLSVGINLPDSGSLGSGIAIEGMPKCIDKHFEEHETLPNSNCNDSNSSRSNGSEPKENEIGLFDARTDSSDFYNQSTEETTVLHRELPISWNLGPGHQIAQEWARSRTGATSVLDTLSLFRLPVGVRHPEESKEGPNISYAAELPELKTDGDVKEQKQINSRISSWGSLTDAGLVLVRDIYNNASTRLKGRNADVSKKQGVLSVRAASMLEAERDSPTKWSPVMEMQYRGGVYRGRCQGGLPEGKGRLILGDGSIYDGMWRYGKRSGLGAFYFSNGDVFQGSWRDDVMHGKGWFYFHTGDRWFANFWKGKANGESRFYSKSGKVFFGQFQDGWRHGHFLCIDVDGARCVETWDDGGLVSRKHLDY >Manes.05G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12245621:12247826:1 gene:Manes.05G118300.v8.1 transcript:Manes.05G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNMDANASNLKFQLMMLQEIVSGFQREELSHRDTALVKRMKALTDVELEWFEKKRENKELELKKRELAVKLVAAQERITSLSNFTESKSIARIEKELTTLKNHNEDRWNQAERLQQNRFSMVEELVYQRWLNACLRFEILNNGRNPSKWELISKNTNKKPHEKSKQLLSDDSSSSNNSSTDSDTNDSTTTATTGSSSSSQRRSIRRRISFTDSATTVISTFRELPGSAKIFSHTVSSSGAELAREEVQEISLPEASVVKTVNEQQPLRKREDEKEQSSGIPNKLVTENKVDDDVQIIVVLFIFGFIVVACLLLLAAGVH >Manes.05G118300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12245667:12247774:1 gene:Manes.05G118300.v8.1 transcript:Manes.05G118300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNMDANASNLKFQLMMLQEIVSGFQREELSHRDTALVKRMKALTDVELEWFEKKRENKELELKKRELAVKLVAAQERITSLSNFTESKSIARIEKELTTLKNHNEDRWNQAERLQQNRFSMVEELVYQRWLNACLRFEILNNGRNPSKWELISKNTNKKPHEKSKQLLSDDSSSSNNSSTDSDTNDSTTTATTGSSSSSQRRSIRRRISFTDSATTVISTFRELPGSAKIFSHTVSSSGAELAREEVQEISLPEASVVKTVNEQQPLRKREDEKEQSSGIPNKLVTENKVDDDVQIIVVLFIFGFIVVACLLLLAAGVH >Manes.13G128700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33670215:33672939:1 gene:Manes.13G128700.v8.1 transcript:Manes.13G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLNFKSSRSLYLLNLNKLIASPYSSQTHSHKPLTQKTFFRLNTEEGLLNRLCKDGRYKDAIDILCQQKRFKEAIQVLSQLDKPSASIYSTLIQSSIQNRVLEVGKKVHEHIKLSGFVPGLVISNRLLEMYAKCDSLSNAQKLFDEMIERDLCSWNVLISGYAKMGFLEEARKMFDKMPERDNFSWTAMISGYVRHDKPNEALELCRMMKRCDNSKSNKFTISSALAAAAAVPCLRIGKEIHCHIMRTGLDSDEVVWSALSDMYGKCGSIEEARHIFDKMVNRDVVTWTAMIDKYFEGGKREEGFDLFADLLRSGIRPNEFTFAGVLNACADLSEEEVGKQVHGHMIRIGFDPFSFAGSALVHMYSKCGNMVNAERVFRGMPRPDLVSWTSLIAGYAQNGHPNEALHYFELLLKSGTQPDHITFVGVLSACTHAGLVDEGLQYFHSINKEHGLNHTADHYACIIDLLARSGRFEEAENIINKMTIKPDKFLWASLLGGCRIHRNLKLAERAAEALFEIEPENPATYVTMANIYATAGMWSEVAKIRKTMDDRGVVKKPGLSWIEIKRKAHVFIVGDTSHPRSKEIHQFLGKLSKRMKEEGFVPDTNFVLHDVEEEQKEVNLSYHSEKLAVAFGIISTPQGTPIKVFKNLRTCVDCHTAIKFISKIASRKIIVRDSNRFHCFEDGNCSCGDFW >Manes.07G064000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11369785:11372040:-1 gene:Manes.07G064000.v8.1 transcript:Manes.07G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMAREKNMEKQKAAKGSQLETNKKAMTIQCKVCMQTFICTTTEVKCREHAEAKHPKSDVYVCFPHLKK >Manes.10G142600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31107935:31115984:1 gene:Manes.10G142600.v8.1 transcript:Manes.10G142600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGETAAKPMKNVGGQVCQICSDNVGKTVDGDPFVACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRQKGSPAILADREDGDANDGTDDFNYSSESQDHKQKIAERMLSWQMNYRQGEEVEAPNYDKEVSHNHIPLLTNGHEVSGELSAASPEHISMASPGVGKRIHPLPYTADINQLPNVRVVDPVREYGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAPSERGVGDIDACTDVLVDDSLLNDEARQPLSRKVSVPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYNIEPRAPEWYFTQKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKATKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALRESMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKHGFLSSLCGGSRKKNSKSSKKGSDKKKSGKHVDPTLPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPEDLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTAIPLLLYCTLPAVCLLTNKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Manes.10G142600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31107935:31115984:1 gene:Manes.10G142600.v8.1 transcript:Manes.10G142600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGETAAKPMKNVGGQVCQICSDNVGKTVDGDPFVACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRQKGSPAILADREDGDANDGTDDFNYSSESQDHKQKIAERMLSWQMNYRQGEEVEAPNYDKEVSHNHIPLLTNGHEVSGELSAASPEHISMASPGVGKRIHPLPYTADINQLPNVRVVDPVREYGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAPSERGVGDIDACTDVLVDDSLLNDEARQPLSRKVSVPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYNIEPRAPEWYFTQKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKATKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALRESMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKHGFLSSLCGGSRKKNSKSSKKGSDKKKSGKHVDPTLPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPEDLLKEAIHVISCGYEDKTDWGSEVCILFVHMLLTSLFFPIWNSHSKLASMNMKIPSKFQYLVNNTRGVKIAF >Manes.10G142600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31107934:31115985:1 gene:Manes.10G142600.v8.1 transcript:Manes.10G142600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGETAAKPMKNVGGQVCQICSDNVGKTVDGDPFVACNVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRQKGSPAILADREDGDANDGTDDFNYSSESQDHKQKIAERMLSWQMNYRQGEEVEAPNYDKEVSHNHIPLLTNGHEVSGELSAASPEHISMASPGVGKRIHPLPYTADINQLPNVRVVDPVREYGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQAPSERGVGDIDACTDVLVDDSLLNDEARQPLSRKVSVPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYNIEPRAPEWYFTQKIDYLKDKVQTSFVKERRAMKREYEEFKVRINGLVAKATKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALRESMCFLMDPNLGKQVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKHGFLSSLCGGSRKKNSKSSKKGSDKKKSGKHVDPTLPIFSLEDIEEGVEDWMDLWFCYRRYSYWF >Manes.09G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8871521:8873916:1 gene:Manes.09G054300.v8.1 transcript:Manes.09G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSKPAIFVNGGLLPMHVRKRVRTVIQVLGSDRGTVIGKSTDDHQLTVKGSPPSVPLTNFVEVIGIADSEKSIQAEIWTNFGDTFDTYSYNQLCQLANGEYQLLFL >Manes.04G148600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34536107:34548362:-1 gene:Manes.04G148600.v8.1 transcript:Manes.04G148600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDLPLDYAVLQLSPKRSRCELFVSSNGNTERLASGLVKPFVTHLKVAEEQVAQAVHSIKLEVERHKNAETWFTKGTLERFVRFVSTPEVLEMVNTFDAEMSQLEAARRIYSRGIKDQLSGALGGDGQGVVAGADATKKELLRAIDVRLTAVKQDLTTSLARASAAGFNPETVSELQLFSDCFGAHRLNEACNKFISLCERRPDLTNEWKPGVEEQVVRASWGSDMSIDDPSEDPPGSHNIRAHQFSFQNKRQHQRAGQELQQPDLTQTPHNLNQSKPSTLDPNSSLHTQPTVQNENKEENKKEEPATESSPSQSSQPARRLSVQDRINLFENKQKENSGGKPVAVGKSVEVRRLSSDVSSVPAVEKSVLRRWSGASDMSIDLGNEKKDNNCTDIPLCTPSSSSVSQSKNNVFSSSSTDAKDQKVLNDTASSVKAEAKSVSGFKDQGDLQAHVSGFLGKDEEVGLKGKVNSKDQVGSQAQLRSFTGRGELASVDQGVREEKFKGTLDGDEKSSGVKGQGGFDGKLRAYLDKRETVGVNNEAGLPTQIGSSVGRLVDVESGNRVEDVTPRDQPPRQSCLRGPQTHNRSLSGQLEGGFGVKVREVSYKEIGHQSDSQQQWRSSTGEVEQVRKKDVVVSMKEPTKMEDVEVPRMKVQKPFSAGPEHILKLQGRRDGTGSTYGSNKPVFHNKKVSESQESLGTPSVEQGQRVRQSKGNQELNDELKMKANELEKLFAEHKLRVPGDQSGSTRRSKPAELQVDQAINSQYRKSTAMEISPVQVEDKKTEVEPIVSVSDNPEFSTPPMKMVHQDYGSSLRQNFSELSLSDDARGKYYERYMQKRDAKLREEWGTKRSEKEAKLKAMQDSLERSRAEMKAKFSWSADRLDSVSSARQRAEKLRGYHSRSGIKREQHLVDSIQSEEDEDSSKFLEQKNYTQDRSLGEVSLGDGRSSQNKKLLLNRNLSSSTPRTTAAPVPRSSGKISNPSSGRRRVQLENPLAQSVPNFSDFRKENTKPSPGVSKMANRTQVRTYARSKSTSEEIPLAKEEKPRRSQSLRKTSATPAEFKDNLNSDDVVLAPLKFDKEHAEQGPYENFSKDVESRPFLRKGNGIGPGDGTSVAKLKTSVASGASENGEEFEEYPVEAEDSVNVAKEEEELDTTEVEGSANTENGKPRLSQESDKMSESENGDSLRSLSQIYPSVNELPGSVPSTFHTVGSLQDSPEESPVSWNSRMHNAFSYPHEISDIDASVDSPIGSPASWNSHSLTHTDADAARMRKKWGSAQKPILVANSSHTQSRKDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDAEDARDLANRTSEDLRKSRMGFSQNHPSDYGFNESELFNEQDQAIHSSIPAPPANFKLRDDHMSGSSIKAPRSFFSLSTFRSKGSESKLR >Manes.04G148600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34536107:34545146:-1 gene:Manes.04G148600.v8.1 transcript:Manes.04G148600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDDPSEDPPGSHNIRAHQFSFQNKRQHQRAGQELQQPDLTQTPHNLNQSKPSTLDPNSSLHTQPTVQNENKEENKKEEPATESSPSQSSQPARRLSVQDRINLFENKQKENSGGKPVAVGKSVEVRRLSSDVSSVPAVEKSVLRRWSGASDMSIDLGNEKKDNNCTDIPLCTPSSSSVSQSKNNVFSSSSTDAKDQKVLNDTASSVKAEAKSVSGFKDQGDLQAHVSGFLGKDEEVGLKGKVNSKDQVGSQAQLRSFTGRGELASVDQGVREEKFKGTLDGDEKSSGVKGQGGFDGKLRAYLDKRETVGVNNEAGLPTQIGSSVGRLVDVESGNRVEDVTPRDQPPRQSCLRGPQTHNRSLSGQLEGGFGVKVREVSYKEIGHQSDSQQQWRSSTGEVEQVRKKDVVVSMKEPTKMEDVEVPRMKVQKPFSAGPEHILKLQGRRDGTGSTYGSNKPVFHNKKVSESQESLGTPSVEQGQRVRQSKGNQELNDELKMKANELEKLFAEHKLRVPGDQSGSTRRSKPAELQVDQAINSQYRKSTAMEISPVQVEDKKTEVEPIVSVSDNPEFSTPPMKMVHQDYGSSLRQNFSELSLSDDARGKYYERYMQKRDAKLREEWGTKRSEKEAKLKAMQDSLERSRAEMKAKFSWSADRLDSVSSARQRAEKLRGYHSRSGIKREQHLVDSIQSEEDEDSSKFLEQKNYTQDRSLGEVSLGDGRSSQNKKLLLNRNLSSSTPRTTAAPVPRSSGKISNPSSGRRRVQLENPLAQSVPNFSDFRKENTKPSPGVSKMANRTQVRTYARSKSTSEEIPLAKEEKPRRSQSLRKTSATPAEFKDNLNSDDVVLAPLKFDKEHAEQGPYENFSKDVESRPFLRKGNGIGPGDGTSVAKLKTSVASGASENGEEFEEYPVEAEDSVNVAKEEEELDTTEVEGSANTENGKPRLSQESDKMSESENGDSLRSLSQIYPSVNELPGSVPSTFHTVGSLQDSPEESPVSWNSRMHNAFSYPHEISDIDASVDSPIGSPASWNSHSLTHTDADAARMRKKWGSAQKPILVANSSHTQSRKDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDAEDARDLANRTSEDLRKSRMGFSQNHPSDYGFNESELFNEQDQAIHSSIPAPPANFKLRDDHMSGSSIKAPRSFFSLSTFRSKGSESKLR >Manes.15G027600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2189204:2190008:1 gene:Manes.15G027600.v8.1 transcript:Manes.15G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEVACTYAALILYDDGIPITAEKIAQLVKAANVSVESYWPSLFAKLLEKRNLEDLIMNVGSGGGAGPVAAAVPAAAGGAAAAAAPPPEEKKKEEPEEESDDDMGFSLFD >Manes.03G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3557291:3564064:1 gene:Manes.03G040400.v8.1 transcript:Manes.03G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGTRIQTYEDFVKVHGLLLAASGLPRSLHYKLFEKLTSETFDGGAYFQVEPCEEGRQRRLVLTADSMPEVSSVFLVDHAWTFRLSDAYKQLQEVPGLAQRMASLMCVDIDLNSDAEDGEGVSQENNSKLNAMDVINKEVNDAKAKGCDNVRWLELEELDIDDDMLLSLDLSAKFPDLLALSLCGNKLGSAEIVVQEVTKFKNLRALWLNNNPVLKNCDSHMEDAILQRCSRLEIYNSHFTSNFGEWALGFCGGVYDKDNLGCVNQGDFQLQNVTSLDLSNRCIHALVNKAFSPVELLSLSHLNIRGNPLEQNSVHELLEVLMGFPCLQSLEVDIPGSLGSSAIEIVESLPNLTLLNGVDASKILETGKNVIDSILQPRLPEWAANEPLADRVLNAMWLYIMTYRLADEEKIDETSVWYVMDELGSALRHSDEPNFRVAPFLFMPEGKLESAVSYSILWPIRDVHNGDECTRDFLYGIGEDKQRSARLTAYFHTPQSYFIQEYEKFYQKLQLKNIASLPMKSSSTRRLYCSDGRPLCVYTDIPQVEEFLTRPEFVITNEPKDADIIWTSLQVDDEMKKATGITDQQYVNQFPFEACLVMKHHLADTVQKAYGSPKWLQPTYNLETHLSPLIGDYSIRKRDGMNNLWILKPWNMARTIDTTVTDNLSAIIRLMETGPKICQKYIEHPALFQGKKFDLRYIVLVRSMKPLEIFIADTFWVRLANNQYTLDKHSLFEYETHFTVMNYRGRLNHKNTPDFVREFEQEHQVKWLDIHERVKGMIRSVYEAAALVHPEMHSPTSRAMYGVDVMLDSSFQPKLLEVTYCPDCTRACKYDTVSISGEGEIIRGQDFFNYVFGCLFLDETAHVSPL >Manes.03G040400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3557291:3564064:1 gene:Manes.03G040400.v8.1 transcript:Manes.03G040400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMCVDIDLNSDAEDGEGVSQENNSKLNAMDVINKEVNDAKAKGCDNVRWLELEELDIDDDMLLSLDLSAKFPDLLALSLCGNKLGSAEIVVQEVTKFKNLRALWLNNNPVLKNCDSHMEDAILQRCSRLEIYNSHFTSNFGEWALGFCGGVYDKDNLGCVNQGDFQLQNVTSLDLSNRCIHALVNKAFSPVELLSLSHLNIRGNPLEQNSVHELLEVLMGFPCLQSLEVDIPGSLGSSAIEIVESLPNLTLLNGVDASKILETGKNVIDSILQPRLPEWAANEPLADRVLNAMWLYIMTYRLADEEKIDETSVWYVMDELGSALRHSDEPNFRVAPFLFMPEGKLESAVSYSILWPIRDVHNGDECTRDFLYGIGEDKQRSARLTAYFHTPQSYFIQEYEKFYQKLQLKNIASLPMKSSSTRRLYCSDGRPLCVYTDIPQVEEFLTRPEFVITNEPKDADIIWTSLQVDDEMKKATGITDQQYVNQFPFEACLVMKHHLADTVQKAYGSPKWLQPTYNLETHLSPLIGDYSIRKRDGMNNLWILKPWNMARTIDTTVTDNLSAIIRLMETGPKICQKYIEHPALFQGKKFDLRYIVLVRSMKPLEIFIADTFWVRLANNQYTLDKHSLFEYETHFTVMNYRGRLNHKNTPDFVREFEQEHQVKWLDIHERVKGMIRSVYEAAALVHPEMHSPTSRAMYGVDVMLDSSFQPKLLEVTYCPDCTRACKYDTVSISGEGEIIRGQDFFNYVFGCLFLDETAHVSPL >Manes.02G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11412949:11414935:1 gene:Manes.02G148500.v8.1 transcript:Manes.02G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDAVVYPQDPFSYGYRDCYSFPIGGAWCCDFDLQEEENAFLGIHENSKSNLEQHGDLHANWDSSSPSVMKQPKDQWDPNSSPETCTVDQYITPPPGVFHSMVPPPPTTAGRRKRRRTKSTKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIVGGAINFVKELEQLLLTMEAHKKTKQQPADVSGFSSSPFAEFFAFPQYSTRALATGEESIADQNQWSVADIEVTMVESHANLKILSKKKPRQLLKMVAGLQALRLSVLHLNVTTVDQLVLYSVSVKVEEGCYLNTVDEIAAAVNQMLHRIHEEEAVFS >Manes.12G007200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:792311:796370:-1 gene:Manes.12G007200.v8.1 transcript:Manes.12G007200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGADVIVFSRWDDGKSQTLSIGLLSYTFLTATGKEDIVVPMIDFEKKDQANWNTKIRSSLDDWNTNLAIILQWTPFLSEEDLLQQFNFLEDQGTRVIIYNLWEDDEGSLELDFDTDPHDIQIRGVNRDEKNIEMSKRYPSAKYFLTYRHSLRNYVAILYREFPTGFRIILRGKEIEHHDIVNDMMEAQEIMYRPQNLPEGKSKRNEDIVAKGMMGFVKDACHHIDVQGFNVYHKNRLIKPFWRVWNPAGSDGRGVIGLIEANFVEPAHDKQGFERTTVLERLEAKLISIQRDYWAKNCQVIGYAPRRNPKNHSSSTDCSSRSNKNGESSVRGGKRSPADATSPNKQGSITGNQRFLPANAINQKTPGFNNMNSRSPADATSPNQQGFITGKQRFPPANAVNQNAQGSNSMDPGVTSSVQFGGSSTRNLSHVEASLAPTSPLRDSAASGSSRPVDDNGQPANNHPIKGVNHLKQNGPDLNVRLNGPAPVQIMDRLIRMLQEKTMEFEAEKKKAERLNEDNLALVHIIEVERAGWATAEQEFLKRLEDASKTIEDLKERLRQLEESKLQSCKIEKQ >Manes.13G153000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36727527:36733010:1 gene:Manes.13G153000.v8.1 transcript:Manes.13G153000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLSFSQSSRTPNNPSITIPSLPLSHKDKGNLLQLEHWLLDYGNCSLMSRSYKGDSEPYGSSERQDETTKKGLCLLELRRTGMVQWGVCGPVASVDQNEENNLQVSSSIVKEEQKDDEEAVAAASIVPSKLQKRRRLNLCQFKESRAFRCLRQKQTSNFKQVKHENSIPCKKENSTSRKKENFIDRWSKERYKLAEKRMLEVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGSERFRRCYNTQGVMEYWLESADLVKIKHEAGVPDPNWIPPSWLKPGGAAVQEPVSAGEVTLLKEELAKLKRDMNELLLKNKEQNRVDPVEEMHKELMKWRSKTDQSLMEISSSLSGMQDMFRDLMKWKSKTEEQLMEISNSMSSMQASKQCTIFSPTSERWEDWLESTTLDNIQGEDFAPWLGSTDLVNVGQDAPLQEYSAPQPGLKPCDSPSREPIYARELEVLKEEIAEVKRYVQALLPKRVEDAQASVTHDSSSTNNLNFDLDNPFLLFQKMFKELVKWKAKMEEQMLEISKSASSLQASKQYTT >Manes.13G153000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36727527:36733010:1 gene:Manes.13G153000.v8.1 transcript:Manes.13G153000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYKGDSEPYGSSERQDETTKKGLCLLELRRTGMVQWGVCGPVASVDQNEENNLQVSSSIVKEEQKDDEEAVAAASIVPSKLQKRRRLNLCQFKESRAFRCLRQKQTSNFKQVKHENSIPCKKENSTSRKKENFIDRWSKERYKLAEKRMLEVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGSERFRRCYNTQGVMEYWLESADLVKIKHEAGVPDPNWIPPSWLKPGGAAVQEPVSAGEVTLLKEELAKLKRDMNELLLKNKEQNRVDPVEEMHKELMKWRSKTDQSLMEISSSLSGMQDMFRDLMKWKSKTEEQLMEISNSMSSMQASKQCTIFSPTSERWEDWLESTTLDNIQGEDFAPWLGSTDLVNVGQDAPLQEYSAPQPGLKPCDSPSREPIYARELEVLKEEIAEVKRYVQALLPKRVEDAQASVTHDSSSTNNLNFDLDNPFLLFQKMFKELVKWKAKMEEQMLEISKSASSLQASKQYTT >Manes.13G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36727509:36733010:1 gene:Manes.13G153000.v8.1 transcript:Manes.13G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYKGDSEPYGSSERQDETTKKGLCLLELRRTGMVQWGVCGPVASVDQNEENNLQVSSSIVKEEQKDDEEAVAAASIVPSKLQKRRRLNLCQFKESRAFRCLRQKQTSNFKQVKHENSIPCKKENSTSRKKENFIDRWSKERYKLAEKRMLEVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGSERFRRCYNTQGVMEYWLESADLVKIKHEAGVPDPNWIPPSWLKPGGAAVQEPVSAGEVTLLKEELAKLKRDMNELLLKNKEQNRVDPVEEMHKELMKWRSKTDQSLMEISSSLSGMQDMFRDLMKWKSKTEEQLMEISNSMSSMQASKQCTIFSPTSERWEDWLESTTLDNIQGEDFAPWLGSTDLVNVGQDAPLQEYSAPQPGLKPCDSPSREPIYARELEVLKEEIAEVKRYVQALLPKRVEDAQASVTHDSSSTNNLNFDLDNPFLLFQKMFKELVKWKAKMEEQMLEISKSASSLQASKQYTT >Manes.13G153000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36727527:36733010:1 gene:Manes.13G153000.v8.1 transcript:Manes.13G153000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYKGDSEPYGSSERQDETTKKGLCLLELRRTGMVQWGVCGPVASVDQNEENNLQVSSSIVKEEQKDDEEAVAAASIVPSKLQKRRRLNLCQFKESRAFRCLRQKQTSNFKQVKHENSIPCKKENSTSRKKENFIDRWSKERYKLAEKRMLEVMKAEGAVFEKPISRPVLRVAARKHIPDTGLLDHLLKHIDGKVAPGGSERFRRCYNTQGVMEYWLESADLVKIKHEAGVPDPNWIPPSWLKPGGAAVQEPVSAGEVTLLKEELAKLKRDMNELLLKNKEQNRVDPVEEMHKELMKWRSKTDQSLMEISSSLSGMQDMFRDLMKWKSKTEEQLMEISNSMSSMQASKQCTIFSPTSERWEDWLESTTLDNIQGEDFAPWLGSTDLVNVGQDAPLQEYSAPQPGLKPCDSPSREPIYARELEVLKEEIAEVKRYVQALLPKRVEDAQASVTHDSSSTNNLNFDLDNPFLLFQKMFKELVKWKAKMEEQMLEISKSASSLQASKQYTT >Manes.03G096900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16170639:16174782:1 gene:Manes.03G096900.v8.1 transcript:Manes.03G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPLLTSFSSTNRSLFLFLFLFVLLNTCLLLPNPGVAVAEDESSAVISRFQQYLQIDTAQPSPRYQEAADFLISQAKSIGLESQIIEFAVGKPLVLLKWAGSDPTLPSILLYSHTDVVPVEQHKWAYPAFSAQLDSHGNIYARGAQDMKCVGMQYLEAVRRLKSSGFQPVRSIYLCFAPDEEINGHDGAEKFADSDIFKTINVGIVLDEGLASPTEKYRAFYAERSPWWLAIKATGSPGHGSRLYDNSAMENLLKSIESVRRFRASQFDLVKSGLKEEGEVISVNMVFLKAGTPSPTGFVMNVQPSEAEAGFDIRVPPTADPEALERRIAEEWAPVSRNMTYEFKSKATVYDKFGRPLITKTNSNPWWVLLEEAVRKANGKLGKPEIFPAATDSRHFRFRGLPAIGFSPMANTPVLLHDHNEFLNKAEYLKGVEIYESIIKAYASYAEHASNEGTKDEL >Manes.01G194600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36906742:36911321:-1 gene:Manes.01G194600.v8.1 transcript:Manes.01G194600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGDFKLPQFFNYPPYFTLQPVRDTREKQVQLWKDLILDYCKTQKIFVIELEDEFPLFSNPVIERSLSHEARKAFLSALVSEGRAEWLDKGHRKCLILWHQIQDWANIILLFVKDNGLEDGVMTIEEIRSGIESRGTELHGIDRTILMRALKLLEHKGKVAIFKGTSTDDEGVKFSV >Manes.01G194600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36905724:36911343:-1 gene:Manes.01G194600.v8.1 transcript:Manes.01G194600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGDFKLPQFFNYPPYFTLQPVRDTREKQVQLWKDLILDYCKTQKIFVIELEDEFPLFSNPVIERSLSHEARKAFLSALVSEGRAEWLDKGHRKCLILWHQIQDWANIILLFVKDNGLEDGVMTIEEIRSGIESRGTELHGIDRTILMRALKLLEHKGKVAIFKGTSTDDEGVKFSV >Manes.09G068812.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11419013:11419862:1 gene:Manes.09G068812.v8.1 transcript:Manes.09G068812.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMNQGGIQHLVSAEREAQQVVNDARNAKMARLKQAKEEADRAIGEYRVQLEHEFQRKVEGTSGDSSSNVQRLEKETGARISHLKNESGRVSHDIVNMLLKHVTTVTN >Manes.09G068812.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11418625:11420156:1 gene:Manes.09G068812.v8.1 transcript:Manes.09G068812.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMNQGGIQHLVSAEREAQQVVNDARNAKMARLKQAKEEADRAIGEYRVQLEHEFQRKVEGTSGDSSSNVQRLEKETGARISHLKNESGRVSHDIVNMLLKHVTTVTN >Manes.09G068812.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11418751:11419862:1 gene:Manes.09G068812.v8.1 transcript:Manes.09G068812.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRPSLLFLSILIHFRLSYPYSCFLLVWCPRKFCVEFQEIMEAHMNQGGIQHLVSAEREAQQVVNDARNAKMARLKQAKEEADRAIGEYRVQLEHEFQRKVEGTSGDSSSNVQRLEKETGARISHLKNESGRVSHDIVNMLLKHVTTVTN >Manes.03G056600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5547669:5552678:-1 gene:Manes.03G056600.v8.1 transcript:Manes.03G056600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGKVEVINSKGCSRLFVGLSASIPSFRGLQSFEPMSTVTSSAGSESVLFRSNGPFAGLVICVTGLSKEARKQVMEATERLGGQYSSNLHPQCTHLVVQSFGGRKFEHAVKHGSKNGLFVVTLGWFVDSVKRNVRLGESLYSVKSVGENDVRLDDLNRLVGFSGTENSCLPSGFNDPKKFEMIERLHKKSSGRSSGRSIEAIFSGNSLYVDSDISDELRNKVYEAARGEDAMFLDQWFVGCTASHVVCEGASIQRYLGHSNNLVTPLWVLKTAKEKHVQRLVYMSADLARQVGMMLGNFQNGIAGQEINGGNAPQDVQNLRSKTSHGGRQQIVNFAKSLVRSRRGRRMQTCQTPIRPITPSSLLDSICWSISEPTSTASIYTDSLSCDDVSEHHTSVFFDAKGDTKDSEASFANLTRPLTESEKNELIFKNHFLTILFPIDRFSEMGPSSKTFFSENGFTCLQVLDHVYAFYQENMSASEIEVAIHTDSRHADRLRTVYSSKETAELGYVIFRRIDFLGSRKSFEMLKRVAGDNNSNVYELLIRA >Manes.03G056600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5544385:5552678:-1 gene:Manes.03G056600.v8.1 transcript:Manes.03G056600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGKVEVINSKGCSRLFVGLSASIPSFRGLQSFEPMSTVTSSAGSESVLFRSNGPFAGLVICVTGLSKEARKQVMEATERLGGQYSSNLHPQCTHLVVQSFGGRKFEHAVKHGSKNGLFVVTLGWFVDSVKRNVRLGESLYSVKSVGENDVRLDDLNRLVGFSGTENSCLPSGFNDPKKFEMIERLHKKSSGRSSGRSIEAIFSGNSLYVDSDISDELRNKVYEAARGEDAMFLDQWFVGCTASHVVCEGASIQRYLGHSNNLVTPLWVLKTAKEKHVQRLVYMSADLARQVGMMLGNFQNGIAGQEINGGNAPQDVQNLRSKTSHGGRQQIVNFAKSLVRSRRGRRMQTCQTPIRPITPSSLLDSICWSISEPTSTASIYTDSLSCDDVSEHHTSVFFDAKGDTKDSEASFANLTRPLTESEKNELIFKNHFLTILFPIDRFSEMGPSSKTFFSENGFTCLQVLDHVYAFYQENMSASEIEVAIHTDSRHADRLRTVYSSKETAELGYVIFRRIDFLGSRKSFEMLKRVAGDNNSNVYELLIRA >Manes.03G056600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5547567:5552687:-1 gene:Manes.03G056600.v8.1 transcript:Manes.03G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGKVEVINSKGCSRLFVGLSASIPSFRGLQSFEPMSTVTSSAGSESVLFRSNGPFAGLVICVTGLSKEARKQVMEATERLGGQYSSNLHPQCTHLVVQSFGGRKFEHAVKHGSKNGLFVVTLGWFVDSVKRNVRLGESLYSVKSVGENDVRLDDLNRLVGFSGTENSCLPSGFNDPKKFEMIERLHKKSSGRSSGRSIEAIFSGNSLYVDSDISDELRNKVYEAARGEDAMFLDQWFVGCTASHVVCEGASIQRYLGHSNNLVTPLWVLKTAKEKHVQRLVYMSADLARQVGMMLGNFQNGIAGQEINGGNAPQDVQNLRSKTSHGGRQQIVNFAKSLVRSRRGRRMQTCQTPIRPITPSSLLDSICWSISEPTSTASIYTDSLSCDDVSEHHTSVFFDAKGDTKDSEASFANLTRPLTESEKNELIFKNHFLTILFPIDRFSEMGPSSKTFFSENGFTCLQVLDHVYAFYQENMSASEIEVAIHTDSRHADRLRTVYSSKETAELGYVIFRRIDFLGSRKSFEMLKRVAGDNNSNVYELLIRA >Manes.S033416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:850871:851251:1 gene:Manes.S033416.v8.1 transcript:Manes.S033416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.04G138900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33814897:33815862:-1 gene:Manes.04G138900.v8.1 transcript:Manes.04G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTIPTASSSDDTPNLEYIVRAKEMIQEGLGTSRPWKIMFDFRSFSLPANLAEALFRLRANAAYFRMNYAIVILIIVFLSLLWHPVSLIVFIAMTAAWLYLYFQRDQPLVVFGRTIDDKTVLIGLGVLTVLVLLLTNVTWNVLGSLVTGVVVVAAHGVTRKTDDLLLDEEATGLIGSAGGGAASSSS >Manes.15G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1323169:1324187:-1 gene:Manes.15G016300.v8.1 transcript:Manes.15G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNKVSNGAMDGVESKRAKERGGRTTSFLLLRFMALVLTLAAAIVLGVNKQTKVVPIQVVDTLPPLNVPVVAKWHYLSACVFFVVSNAIACSYAAISILLSLSGKKSSVLITIILDLLMVALLFSGIGAAGAIGLMGYKGNSHVRWKKVCNVYGRFCNQAVAAIALSFLGSVVFVLLITLAVLRLHKKSK >Manes.14G004400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1572420:1577002:-1 gene:Manes.14G004400.v8.1 transcript:Manes.14G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANADAEAVDFEPEEDDLMDEDGAVDVDASPRAPLPKLKSAITGGSSSLSAARKTKGRGFREEADADRQTRLASRDFDSLGSDGGPGPQRSIEGWIILVTGVHEEAQEDDLQNAFGDFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEAQNAISAMNGTELLTQIINVDWAFINGPIRRKNMRSGRAHRSRSPRRRY >Manes.05G133600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23524963:23527902:-1 gene:Manes.05G133600.v8.1 transcript:Manes.05G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMVECEDNWNCQLESITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKSASLGVGRGRSVAMRAKAQAAGRAAPGRGVVPPVRR >Manes.01G128400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32230551:32234431:-1 gene:Manes.01G128400.v8.1 transcript:Manes.01G128400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKTIGLQKWNSFESVQLRPTIEFRNDTDVIWQIPDSAKAVLFLAHGCDGRAVNFWDRSPSCPNCIGLPEERLLVLHALVRKFAVVSISSMGKCWTFGEEMLIVKNIIRWWLRRNKLEKLPLVALGASSGGYFVSALATILRFSSITIMIAEGKFDQMDVTGSYPPTLFVHMPNDLYRQQKISEFIQVLKNKGIDVAEVECIEFPLSPFFLADRIPGINQTVSAKLFELFGEKGFIDKKGYMKNDGRATRWKDALRKTKEIVLDKNLVPHVQEELNLAFAYHEMTSLQSDQIFKWFESHMK >Manes.01G128400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32230551:32234431:-1 gene:Manes.01G128400.v8.1 transcript:Manes.01G128400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKTIGLQKWNSFESVQLRPTIEFRNDTDVIWQIPDSAKAVLFLAHGCDGRAVNFWDRSPSCPNCIGLPEERLLVLHALVRKFAVVSISSMGKCWTFGEEMLIVKNIIRWWLRRNKLEKLPLVALGASSGGYFVSALATILRFSSITIMIAEGKFDQMDVTGSYPPTLFVHMPNDLYRQQKISEFIQVLKNKGIDVAEVECIEFPLSPFFLADRIPGINQTVSAKLFELFGEKGFIDKKGYMKNDGRATRWKDALRKTKEIVLDKNLVPHVQEELNLAFAYHEMTSLQSDQIFKWFESHMK >Manes.01G128400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32229863:32234431:-1 gene:Manes.01G128400.v8.1 transcript:Manes.01G128400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRRSQSQAWHGFQNYRLSVLVTCLILILLFLIFNNSNKIQVSLVPTVQKWNSFESVQLRPTIEFRNDTDVIWQIPDSAKAVLFLAHGCDGRAVNFWDRSPSCPNCIGLPEERLLVLHALVRKFAVVSISSMGKCWTFGEEMLIVKNIIRWWLRRNKLEKLPLVALGASSGGYFVSALATILRFSSITIMIAEGKFDQMDVTGSYPPTLFVHMPNDLYRQQKISEFIQVLKNKGIDVAEVECIEFPLSPFFLADRIPGINQTVSAKLFELFGEKGFIDKKGYMKNDGRATRWKDALRKTKEIVLDKNLVPHVQEELNLAFAYHEMTSLQSDQIFKWFESHMK >Manes.01G128400.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32229863:32234431:-1 gene:Manes.01G128400.v8.1 transcript:Manes.01G128400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRRSQSQAWHGFQNYRLSVLVTCLILILLFLIFNNSNKIQVSLVPTVQKWNSFESVQLRPTIEFRNDTDVIWQIPDSAKAVLFLAHGCDGRAVNFWDRSPSCPNCIGLPEERLLVLHALVRKFAVVSISSMGKCWTFGEEMLIVKNIIRWWLRRNKLEKLPLVALGASSGGYFVSALATILRFSSITIMIAEGKFDQMDVTGSYPPTLFVHMPNDLYRQQKISEFIQVLKNKGIDVAEVECIEFPLSPFFLADRIPGINQTVSAKLFELFGEKGFIDKKGYMKNDGRATRWKDALRKTKEIVLDKNLVPHVQEELNLAFAYHEMTSLQSDQIFKWFESHMK >Manes.01G128400.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32229863:32234431:-1 gene:Manes.01G128400.v8.1 transcript:Manes.01G128400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRRSQSQAWHGFQNYRLSVLVTCLILILLFLIFNNSNKIQVSLVPTVQKWNSFESVQLRPTIEFRNDTDVIWQIPDSAKAVLFLAHGCDGRAVNFWDRSPSCPNCIGLPEERLLVLHALVRKFAVVSISSMGKCWTFGEEMLIVKNIIRWWLRRNKLEKLPLVALGASSGGYFVSALATILRFSSITIMIAEGKFDQMDVTGSYPPTLFVHMPNDLYRQQKISEFIQVLKNKGIDVAEVECIEFPLSPFFLADRIPGINQTVSAKLFELFGEKGFIDKKGYMKNDGRATRWKDALRKTKEIVLDKNLVPHVQEELNLAFAYHEMTSLQSDQIFKWFESHMK >Manes.01G128400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32230467:32234431:-1 gene:Manes.01G128400.v8.1 transcript:Manes.01G128400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRRSQSQAWHGFQNYRLSVLVTCLILILLFLIFNNSNKIQVSLVPTVQKWNSFESVQLRPTIEFRNDTDVIWQIPDSAKAVLFLAHGCDGRAVNFWDRSPSCPNCIGLPEERLLVLHALVRKFAVVSISSMGKCWTFGEEMLIVKNIIRWWLRRNKLEKLPLVALGASSGGYFVSALATILRFSSITIMIAEGKFDQMDVTGSYPPTLFVHMPNDLYRQQKISEFIQVLKNKGIDVAEVECIEFPLSPFFLADRIPGINQTVSAKLFELFGEKGFIDKKGYMKNDGRATRWKDALRKTKEIVLDKNLVPHVQEELNLAFAYHEMTSLQSDQIFKWFESHMK >Manes.01G128400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32230551:32234431:-1 gene:Manes.01G128400.v8.1 transcript:Manes.01G128400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLSSMLKRRSQSQAWHGFQNYRLSVLVTCLILILLFLIFNNSNKIQVSLVPTVQKWNSFESVQLRPTIEFRNDTDVIWQIPDSAKAVLFLAHGCDGRAVNFWDRSPSCPNCIGLPEERLLVLHALVRKFAVVSISSMGKCWTFGEEMLIVKNIIRWWLRRNKLEKLPLVALGASSGGYFVSALATILRFSSITIMIAEGKFDQMDVTGSYPPTLFVHMPNDLYRQQKISEFIQVLKNKGIDVAEVECIEFPLSPFFLADRIPGINQTVSAKLFELFGEKGFIDKKGYMKNDGRATRWKDALRKTKEIVLDKNLVPHVQEELNLAFAYHEMTSLQSDQIFKWFESHMK >Manes.01G128400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32230551:32234431:-1 gene:Manes.01G128400.v8.1 transcript:Manes.01G128400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLSSMLKRRSQSQAWHGFQNYRLSVLVTCLILILLFLIFNNSNKIQVSLVPTVQKWNSFESVQLRPTIEFRNDTDVIWQIPDSAKAVLFLAHGCDGRAVNFWDRSPSCPNCIGLPEERLLVLHALVRKFAVVSISSMGKCWTFGEEMLIVKNIIRWWLRRNKLEKLPLVALGASSGGYFVSALATILRFSSITIMIAEGKFDQMDVTGSYPPTLFVHMPNDLYRQQKISEFIQVLKNKGIDVAEVECIEFPLSPFFLADRIPGINQTVSAKLFELFGEKGFIDKKGYMKNDGRATRWKDALRKTKEIVLDKNLVPHVQEELNLAFAYHEMTSLQSDQIFKWFESHMK >Manes.01G231100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39539254:39542462:1 gene:Manes.01G231100.v8.1 transcript:Manes.01G231100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSPTPMRTKKTRAIGIPTIDLSLDKSLVSELIVTACEDYGIFKVVNHGVNKEVVSRLEKEAVDFFAKPAAEKQRAGPATPFGYGCKNIGCNGDVGELEFLLLQTNSVSISERSRTISSSDPSKFSCAVNDYVEEVRELACEILDLLAEGLWMEDKHVFSRLIRDVNSDSVLRVNHYPAVKEVKDWDPSPKRIGFGEHSDPQIMTILRSNDVAGLQICLRDGLWVPVPPDPTGFFLIVGDALQVFTNGRLLSVRHRAVANSAKTRMSTMYFGAPPLNAWISPLPEMVSPQNPSKYKPFTWGEFKKAAYSLRLGDTRLDLFKIHASDHKIGS >Manes.03G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5310046:5316424:-1 gene:Manes.03G054700.v8.1 transcript:Manes.03G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGAEVEAFQAALNRDIGGDASTSQPSDSAVLSHEMNQTPSQPFSNWQTTTQDENANGVIQQQQQQEQHSSVMELKRHASAAENQQLNNDATQGSRNLLLHQKQPQDDVQKGQAKQVPLQTPRTTGMQISERNSMPLSERDKLQNSDTEPQYMKVNMVNQQNQQTMGMEQPGNVKNQAKQIPFVLLLPALKPHLDKDREMQLQTLFNKLRKNDIAKEQFVRLMRNIVGDQVLRLAVAQLQSQPGSTQSQLQSQAFARQHNVRMPVSASASSAVQVLADSSYPSAENNAQKSREVERQPDSLGMQVSQMPSSSASIVNQDREHSSISMPGHSKQQQHLHFPPTSFPMYGSNSATYHPYSGTNVNTSGSSMKPQSHDMQMRQISHQTMGTTQVGGSTQAMNMMSVPKFERQNAVADPNRVQSGSISQYANKSALQQSSVPWQAPTNKEQSSAPFSSTNYVKQEPVEQAVEQQQKSQVSNPQVVSATPAEQGNPVPGNSKDVSLEKQTSKVGFSPPIGLAPSSSVSPSISTPLEPNAQVGSRIPSVVASAGVNARTPPKKPSIGQKKPLEPLGSSPPASSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGSKEESRVSEASRRVVQEEEERLILQKIPLQKKLAEIMAKCGLKNINNDVERCLSLCVEERMRGLISKLIRLSKQRVDAEKPRHRTVITSDVRQQIMAMNQKAKEEWEKKQAEAEKLRKVNDPEGDNGAEVDNEKDEGRMKSVKVNKEDDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTEATSSSQSSKEVNYKSPSTTGRNIKDNQETDKRSPAVASKAARKTGRNQSVPEVKIARTISVKDVVAVLEREPQMSKSTLIYQLYERTRSDHPLE >Manes.03G054700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5309952:5316485:-1 gene:Manes.03G054700.v8.1 transcript:Manes.03G054700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGAEVEAFQAALNRDIGGDASTSQPSDSVLSHEMNQTPSQPFSNWQTTTQDENANGVIQQQQQQEQHSSVMELKRHASAAENQQLNNDATQGSRNLLLHQKQPQDDVQKGQAKQVPLQTPRTTGMQISERNSMPLSERDKLQNSDTEPQYMKVNMVNQQNQQTMGMEQPGNVKNQAKQIPFVLLLPALKPHLDKDREMQLQTLFNKLRKNDIAKEQFVRLMRNIVGDQVLRLAVAQLQSQPGSTQSQLQSQAFARQHNVRMPVSASASSAVQVLADSSYPSAENNAQKSREVERQPDSLGMQVSQMPSSSASIVNQDREHSSISMPGHSKQQQHLHFPPTSFPMYGSNSATYHPYSGTNVNTSGSSMKPQSHDMQMRQISHQTMGTTQVGGSTQAMNMMSVPKFERQNAVADPNRVQSGSISQYANKSALQQSSVPWQAPTNKEQSSAPFSSTNYVKQEPVEQAVEQQQKSQVSNPQVVSATPAEQGNPVPGNSKDVSLEKQTSKVGFSPPIGLAPSSSVSPSISTPLEPNAQVGSRIPSVVASAGVNARTPPKKPSIGQKKPLEPLGSSPPASSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGSKEESRVSEASRRVVQEEEERLILQKIPLQKKLAEIMAKCGLKNINNDVERCLSLCVEERMRGLISKLIRLSKQRVDAEKPRHRTVITSDVRQQIMAMNQKAKEEWEKKQAEAEKLRKVNDPEGDNGAEVDNEKDEGRMKSVKVNKEDDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTEATSSSQSSKEVNYKSPSTTGRNIKDNQETDKRSPAVASKAARKTGRNQSVPEVKIARTISVKDVVAVLEREPQMSKSTLIYQLYERTRSDHPLE >Manes.03G054700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5309952:5316485:-1 gene:Manes.03G054700.v8.1 transcript:Manes.03G054700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTPSQPFSNWQTTTQDENANGVIQQQQQQEQHSSVMELKRHASAAENQQLNNDATQGSRNLLLHQKQPQDDVQKGQAKQVPLQTPRTTGMQISERNSMPLSERDKLQNSDTEPQYMKVNMVNQQNQQTMGMEQPGNVKNQAKQIPFVLLLPALKPHLDKDREMQLQTLFNKLRKNDIAKEQFVRLMRNIVGDQVLRLAVAQLQSQPGSTQSQLQSQAFARQHNVRMPVSASASSAVQVLADSSYPSAENNAQKSREVERQPDSLGMQVSQMPSSSASIVNQDREHSSISMPGHSKQQQHLHFPPTSFPMYGSNSATYHPYSGTNVNTSGSSMKPQSHDMQMRQISHQTMGTTQVGGSTQAMNMMSVPKFERQNAVADPNRVQSGSISQYANKSALQQSSVPWQAPTNKEQSSAPFSSTNYVKQEPVEQAVEQQQKSQVSNPQVVSATPAEQGNPVPGNSKDVSLEKQTSKVGFSPPIGLAPSSSVSPSISTPLEPNAQVGSRIPSVVASAGVNARTPPKKPSIGQKKPLEPLGSSPPASSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGSKEESRVSEASRRVVQEEEERLILQKIPLQKKLAEIMAKCGLKNINNDVERCLSLCVEERMRGLISKLIRLSKQRVDAEKPRHRTVITSDVRQQIMAMNQKAKEEWEKKQAEAEKLRKVNDPEGDNGAEVDNEKDEGRMKSVKVNKEDDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTEATSSSQSSKEVNYKSPSTTGRNIKDNQETDKRSPAVASKAARKTGRNQSVPEVKIARTISVKDVVAVLEREPQMSKSTLIYQLYERTRSDHPLE >Manes.13G111700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31703646:31704000:-1 gene:Manes.13G111700.v8.1 transcript:Manes.13G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGHDKAIQAQELQDQTDTVEKAFHSIPLICFGWFVFTIKCISQKLYLVLIKTQRLSSPKSAFPLSCVSHAPTHTLCSRV >Manes.09G026500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5969952:5972828:1 gene:Manes.09G026500.v8.1 transcript:Manes.09G026500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNFGKRFEGKVAIVTASTQGIGFAIARRLGDEGAFVVISSRKQKNVDEAVEKLKAQGIQVFGVVCHVANAEKRKNLIQKTVQKYGKIDVVVSNAAVNPSTESILETQESVLDKLWEVNVKACILLLKDAAPHMQKGSSVVLISSITGYNPYPSLAMYGVTKTALLGLTKALAAEMAPDTRVNCIAPGFVPTHFADFITRNEAIRKSFEETTLLKRLGTTEDVASATAFLASDDASYVTGETLVVAGGIPSRL >Manes.09G026500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5970420:5972828:1 gene:Manes.09G026500.v8.1 transcript:Manes.09G026500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLIQKNVDEAVEKLKAQGIQVFGVVCHVANAEKRKNLIQKTVQKYGKIDVVVSNAAVNPSTESILETQESVLDKLWEVNVKACILLLKDAAPHMQKGSSVVLISSITGYNPYPSLAMYGVTKTALLGLTKALAAEMAPDTRVNCIAPGFVPTHFADFITRNEAIRKSFEETTLLKRLGTTEDVASATAFLASDDASYVTGETLVVAGGIPSRL >Manes.09G026500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5969952:5972828:1 gene:Manes.09G026500.v8.1 transcript:Manes.09G026500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLIQKNVDEAVEKLKAQGIQVFGVVCHVANAEKRKNLIQKTVQKYGKIDVVVSNAAVNPSTESILETQESVLDKLWEVNVKACILLLKDAAPHMQKGSSVVLISSITGYNPYPSLAMYGVTKTALLGLTKALAAEMAPDTRVNCIAPGFVPTHFADFITRNEAIRKSFEETTLLKRLGTTEDVASATAFLASDDASYVTGETLVVAGGIPSRL >Manes.06G141500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26957697:26966148:1 gene:Manes.06G141500.v8.1 transcript:Manes.06G141500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWHWVAGRSSKRGGGGGGGGGRLDKDSSTTPSGCMCSVFQLFDFHQFQFPSHQQQPSLKPDSFLPQPEPPETELSIAKGAEAPRNSLELEEPSSPTTVTDDESLNIPMGIQIKTTIGDASSRGQGAPNDSSSSEISSSPSIKTPNLVARLMGLDLLPDQSFSPISSPSTHGIPNPLGKSHLHHHFRRRQFLQSKTSGHRSSLDSDFSGTRSLPETPRISSARRSDVEHRLSLQINKENLSPNEELILSRISSLKRKELKTEEENRSPGHYARQIVKHVKESVSRKAGLDITNTVRNRDQASRVELICQVKSKKLSKVLTKAADDSSPGKQTASCSPRLKFLEPRNRPIPSVPSTKGHSISQSLQPSIPVHSQVNIMTQKTKAPTKSKLQLVQEQEYLHQQQQIPIKKCKKVAEERFGPPPRLKKPPQTSDIIRNKQEEPFVRPAMATRANIPDKKCKKTPLSNDLLLLPVKKDPTPPATKIPQKPAPNAQESKRSSQLSSCSSQSYNQREALNARGSNKSSNEDRSNGAATTTITGDVAAEEYEYITRILRRTGIDKDTPVSFTRWFSPSHPLDPSIFYYLEYFTTISPASTSNGNYAYGKLRHRCNRKLLFHLVDEMLVEILKPYMNMKPWASSSIGVCDFIAGKKDVHGSHLIDMLCSKVRSFPCADCRVLEDIDALIDRDMPQFQLQSEVAFGEEGEGIVSEIEKDLLDTLTHEMAVILYGDK >Manes.16G042255.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:6175483:6175794:1 gene:Manes.16G042255.v8.1 transcript:Manes.16G042255.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEDSWSDSAEQELPGKSPLAESTLKQIMQISSVSGCLPMESLPCNAAALEVADTWTRDLAFILIQKKHIQWNNASDVIEIFLHCFILSAYNHVLLFPPRIS >Manes.12G105400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:28727767:28728570:1 gene:Manes.12G105400.v8.1 transcript:Manes.12G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQLAEVSSAFERMLRRRDLSLFLPLILGVTGTNPDQERIILINPFTQGMVMIEGAGDLGSLLRELATKNGQPPASKASIEALPSVEISEIGDRDCECVICLEEWELGGLAKEMPCNHRFHAHCIKKWLGIHGSCPVCMYKMPVDEVDLGKQREEEEEEEEEEGRERRRFEREIWVDFSFNSNRRSEKSKAFCKIKLKKKSYKMR >Manes.12G159400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36675196:36680020:1 gene:Manes.12G159400.v8.1 transcript:Manes.12G159400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEKRLNELGYRQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLLYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPRWGPLASWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLCTGTNKDGGYFAPKWLFLCMYMGLTVIWAVLNTFALEVIAFIDVISIWWQVIGGLVIVIMLPLVALTRKSGSYVFTHFEMAPESTGISSKPYAVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPISILTSIGIISVFGWAYILALTFSIQDFNYLYDTTNETAGAFVPAQILYDAFHGRYHNSAGAIILLFVIWGSFFFGGLSITTSAARVVYALSRDQGIPFSSVWRQVHPKHKVPSNAVWLCAAVCILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARMVMAEKNFKPGPFYLGKARRPICFIAFLWICYTCSVFLLPTYYPISWDNFNYSPVALGIVLSLIMLWWVLDARKWFKGPVRNIEIPNEKV >Manes.18G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8810461:8813783:-1 gene:Manes.18G095300.v8.1 transcript:Manes.18G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATLHFQIHISSIIALQMFLLKTWRSTAFGVYGYLNFTKTGFLEHSKHFKPEDMQTRIEGKNCVVTGANSGIGYATAEGLASRGANVYMVCRNKERGEAALSKIRSTTGNQNVHLEVCDLSSVSEVKSFASKFASKEVPVHLLVNNAGLLENKRITTSEGFELTFAVNVLGTFAITESMVPLLEKAAPDARVITVSSGGMYTAPLSTDLQFSDEKFDGVEQYARNKRIQVALTEKWAEIYKDRGISFYSMHPGWAETPGVAKSLPSFSKSFSGKLRTSEQGADTVIWLALQPKEKLVSGEFYFDRAQAPKHLKFAATSGSHALIDSIISNLHAMSTLSS >Manes.15G153100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12700524:12703442:1 gene:Manes.15G153100.v8.1 transcript:Manes.15G153100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRPRPKPWHPPAASSLQTSSSFLYKSKFLPAKISYSLNENKETQQSSAGKIKRLVLTQEGRTKLNTYPDREFYAYPKFVTHVDDGFISTLTNLYRERLSPGSEILDLMSSWVSHLPKEVSYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQKLEFESASFDAVICTVSVQYLQQPEKVFAEVFRVLRPGGVFIVSFSNRMFYEKAIGAWREGTGYSRAQLVVQYFQSVEGFTQPEIVRKLPPSGGAQEDKSALKWLMGLLGLLSGSDPFYAVIAYKNFKPVYE >Manes.15G153100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12700524:12702881:1 gene:Manes.15G153100.v8.1 transcript:Manes.15G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRPRPKPWHPPAASSLQTSSSFLYKSKFLPAKISYSLNENKETQQSSAGKIKRLVLTQEGRTKLNTYPDREFYAYPKFVTHVDDGFISTLTNLYRERLSPGSEILDLMSSWVSHLPKEVSYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQKLEFESASFDAVICTVSVQYLQQPEKVFAEVFRVLRPGGVFIVSFSNRMFYEKAIGAWREGTGYSRAQLVVQYFQSVEGFTQPEIVRKLPPSGGAQEDKSALKWLMGLLGLLSGSDPFYAVIAYKNFKPVYE >Manes.04G069900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26846864:26881697:1 gene:Manes.04G069900.v8.1 transcript:Manes.04G069900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIASNLSSLVHELRERIAATSSTPPCKVDDDALKTRFRAVLPNLLHAYVVPSSAASEREVIAVLKLISHTARNFPGVFYHGKASAILPVIGRILPFFAEPAFRSRHGVIFETVGSLLSLLRTGARDAYCQFFIDAMLAVEDLLCVASLSAENISITGSAGSTLKCFCKSFTGFLGDPACLCDLPASSKPVDGAGILIDVMGKRRWQPFATWIIRLLNKCITEGTLYVEGLINISSVLASCSLLCYGDADLHMACFDFARIIGSVIDGDIVPHQNIIESIAAILSEDKEGLPVFRNMMYDSSLGGCLTALHSSCPDDVVKLTAAPLMNVLPLSMWRTKSQELKEALCCAYKRIARTCPPHIWRPECLIHMLCFPEPCSSLIDCLHVTLSILGPELVGGRVMTNNNVGLPMLSDVSIEKLRIGEKRRVLDIDIIKIKRQKVDGDIVTSDANVLEESKPTRIVSFEREEEVYADSMHTSLISFLELLKPSSTRPESLALNGALTALSMLCIAFCRYPMTNISLSIFQLMHSWIPWIYEQANQGSSITLNLSIYLEGIYSILLMQRTLLLEDKLLKLKVDDANLMYMVLKLPWTHSHVFSGLRSPWKTKCTSLQVVSMLGAILKTENILEVLDLGLHDNAEDVRLEAVIYMPMMVLWSGLGILAQMFKRLEFLEREEHEKVKKIVPFSLGFLSCFYGCCSSIDGLDRGECKLFLDVNNEKYSQTVDCLLRGFWCSRCDTGKRVVPNHEMYSKIIQLPVGQSREVGLNCDFIHLQSLFFKLLYDDSLEEVQVGCVRSIQRVLVHGTTDILIKTRYEWIRCVEFLLVNTKKDIREAFCTQISSFLDDSVLSCLFPDGDSNKTKEQRFLDIMKCALQAAEDPQILETLLESTAQIMIAVDISSQLFLHSLILLVDQLDNPYVTVRMSASRLIHKSCFFHLEGGFDVILSKAVYIRNELFDYLTMNLTSRPEMVREFAEAVFGVETEELVGKMIPIVLPKLVVTWQDNEKAVTILLELAKCVNTDMVPLVVNWLPKVLAFALHRSDRQELLSTLQFYHDQTGSDNQEIFAAALPALLDELVCFLDGGDSMEINQRLSRVPEMIKEIARVLTGAEDLPGFLRNHFVGLLNSIDRKMLHSEDISLQKQALKRIKMLIEMMGTQLNTYVPKLMVLLMHAIDKESLQSEGLSVLQFFIMQLANKSPSSTKHVISQVFAALIPILERYKENPSMNLNKVVKILEELVLNNRIILKQHIHEFPPLPSIPALIEVNKAIQEARGSMTLRDQLRDVVDGLNHENLNVRYMVACELSKLLNLRREDITALITGEVAADMDVLSSLITSLLRGCAEESRTVVGQRLKLVCADCLGALGAVDPAKVKGFSCQRFKIECSDDDLIFELIHKHLARAFRASPDTVVQDSAALAIQELLKLAGCEASLDENVTASLSPSLKEKITENSSGMNNRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASAGPIYRPSMSFRRWIFFWIKKLTAHATGSRASIFNACRGIVRHDMQVAIYLLPYLVLNAVCHGTKEARLGIAEEMLSVLDAAASENSGAAVHVINGGQSEVCMQAVFTLLDNLGQWVDDVEQELALYQSFQSSASKKQASRSKDQSSTSLTDQDQLLTQCRYVAELLTTIPKLTLARASYRCQAYARSLMYFESHVREKSGSFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKKAGNWAEVLTFCEQALQMEPTSVQRHSDVLNCLLNMCHLQAVVTHVDGLTSRVPRYKKTWSMQGVQAAWRLGRWDLMEEYLSGADEEGLVCSGSESNASFDMDVAKILQVMMKRDQFSVAEKIAMSKQALIAPLAAAGMDSYMRAYPFIVKLHLLRELEDFHTFLGDDSFLEKKFHLGNMEFAKLMDNWENRLRFTQPSIWAREPLLAFRRLVFGASGLGAQVGNCWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQALLHMPEKVLGSAARSSITSLSLVPLNPQALLCDTQATNENQDIAKTLLLYTRWIHYTGQKQKEDVITLYSRVRELQPKWDKGFFYLAKYCDEVLVDARKRQEENSELGPRLVQLASAGVSPANSEKRWWCYVPDVLLFYAKGLHKGHKNLFQALPRLLTLWFEFGSIYQRCSSSSGEDMKKVHEKVMSIMRGCLKDLPAYQWLTVLPQLVSRVCHQNEEIVKLVKRIITSVLRQYPQQALWIMAAVSKSTVPSRREAAAAIIQEAKKGFSQGNSGSNLFVQFASLIDHLIKLCFHPGQPKSKTINISTEFSSLKRMMPLGIIMPIQQSLTASLPTYSISVTDALTSDIFSDSDLPTISGISDEAEILSSLQRPKKIVLLGSDGIARPFLCKPKDDLRKDARMMEFNAMINRLLSKYPESRRRKLYLRTFAVIPLTEDCGMVEWVPHTRGLRHILQDLYISCGKFDRQKTNPQIKRIYDQSQGKMPEDEMLKNKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGMGITGYEGIFLRVCEITLSVLRAHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Manes.04G069900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26846864:26881697:1 gene:Manes.04G069900.v8.1 transcript:Manes.04G069900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIASNLSSLVHELRERIAATSSTPPCKVDDDALKTRFRAVLPNLLHAYVVPSSAASEREVIAVLKLISHTARNFPGVFYHGKASAILPVIGRILPFFAEPAFRSRHGVIFETVGSLLSLLRTGARDAYCQFFIDAMLAVEDLLCVASLSAENISITGSAGSTLKCFCKSFTGFLGDPACLCDLPASSKPVDGAGILIDVMGKRRWQPFATWIIRLLNKCITEGTLYVEGLINISSVLASCSLLCYGDADLHMACFDFARIIGSVIDGDIVPHQNIIESIAAILSEDKEGLPVFRFLEREEHEKVKKIVPFSLGFLSCFYGCCSSIDGLDRGECKLFLDVNNEKYSQTVDCLLRGFWCSRCDTGKRVVPNHEMYSKIIQLPVGQSREVGLNCDFIHLQSLFFKLLYDDSLEEVQVGCVRSIQRVLVHGTTDILIKTRYEWIRCVEFLLVNTKKDIREAFCTQISSFLDDSVLSCLFPDGDSNKTKEQRFLDIMKCALQAAEDPQILETLLESTAQIMIAVDISSQLFLHSLILLVDQLDNPYVTVRMSASRLIHKSCFFHLEGGFDVILSKAVYIRNELFDYLTMNLTSRPEMVREFAEAVFGVETEELVGKMIPIVLPKLVVTWQDNEKAVTILLELAKCVNTDMVPLVVNWLPKVLAFALHRSDRQELLSTLQFYHDQTGSDNQEIFAAALPALLDELVCFLDGGDSMEINQRLSRVPEMIKEIARVLTGAEDLPGFLRNHFVGLLNSIDRKMLHSEDISLQKQALKRIKMLIEMMGTQLNTYVPKLMVLLMHAIDKESLQSEGLSVLQFFIMQLANKSPSSTKHVISQVFAALIPILERYKENPSMNLNKVVKILEELVLNNRIILKQHIHEFPPLPSIPALIEVNKAIQEARGSMTLRDQLRDVVDGLNHENLNVRYMVACELSKLLNLRREDITALITGEVAADMDVLSSLITSLLRGCAEESRTVVGQRLKLVCADCLGALGAVDPAKVKGFSCQRFKIECSDDDLIFELIHKHLARAFRASPDTVVQDSAALAIQELLKLAGCEASLDENVTASLSPSLKEKITENSSGMNNRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASAGPIYRPSMSFRRWIFFWIKKLTAHATGSRASIFNACRGIVRHDMQVAIYLLPYLVLNAVCHGTKEARLGIAEEMLSVLDAAASENSGAAVHVINGGQSEVCMQAVFTLLDNLGQWVDDVEQELALYQSFQSSASKKQASRSKDQSSTSLTDQDQLLTQCRYVAELLTTIPKLTLARASYRCQAYARSLMYFESHVREKSGSFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKKAGNWAEVLTFCEQALQMEPTSVQRHSDVLNCLLNMCHLQAVVTHVDGLTSRVPRYKKTWSMQGVQAAWRLGRWDLMEEYLSGADEEGLVCSGSESNASFDMDVAKILQVMMKRDQFSVAEKIAMSKQALIAPLAAAGMDSYMRAYPFIVKLHLLRELEDFHTFLGDDSFLEKKFHLGNMEFAKLMDNWENRLRFTQPSIWAREPLLAFRRLVFGASGLGAQVGNCWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQALLHMPEKVLGSAARSSITSLSLVPLNPQALLCDTQATNENQDIAKTLLLYTRWIHYTGQKQKEDVITLYSRVRELQPKWDKGFFYLAKYCDEVLVDARKRQEENSELGPRLVQLASAGVSPANSEKRWWCYVPDVLLFYAKGLHKGHKNLFQALPRLLTLWFEFGSIYQRCSSSSGEDMKKVHEKVMSIMRGCLKDLPAYQWLTVLPQLVSRVCHQNEEIVKLVKRIITSVLRQYPQQALWIMAAVSKSTVPSRREAAAAIIQEAKKGFSQGNSGSNLFVQFASLIDHLIKLCFHPGQPKSKTINISTEFSSLKRMMPLGIIMPIQQSLTASLPTYSISVTDALTSDIFSDSDLPTISGISDEAEILSSLQRPKKIVLLGSDGIARPFLCKPKDDLRKDARMMEFNAMINRLLSKYPESRRRKLYLRTFAVIPLTEDCGMVEWVPHTRGLRHILQDLYISCGKFDRQKTNPQIKRIYDQSQGKMPEDEMLKNKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Manes.04G069900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26846864:26881697:1 gene:Manes.04G069900.v8.1 transcript:Manes.04G069900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIASNLSSLVHELRERIAATSSTPPCKVDDDALKTRFRAVLPNLLHAYVVPSSAASEREVIAVLKLISHTARNFPGVFYHGKASAILPVIGRILPFFAEPAFRSRHGVIFETVGSLLSLLRTGARDAYCQFFIDAMLAVEDLLCVASLSAENISITGSAGSTLKCFCKSFTGFLGDPACLCDLPASSKPVDGAGILIDVMGKRRWQPFATWIIRLLNKCITEGTLYVEGLINISSVLASCSLLCYGDADLHMACFDFARIIGSVIDGDIVPHQNIIESIAAILSEDKEGLPVFRFLEREEHEKVKKIVPFSLGFLSCFYGCCSSIDGLDRGECKLFLDVNNEKYSQTVDCLLRGFWCSRCDTGKRVVPNHEMYSKIIQLPVGQSREVGLNCDFIHLQSLFFKLLYDDSLEEVQVGCVRSIQRVLVHGTTDILIKTRYEWIRCVEFLLVNTKKDIREAFCTQISSFLDDSVLSCLFPDGDSNKTKEQRFLDIMKCALQAAEDPQILETLLESTAQIMIAVDISSQLFLHSLILLVDQLDNPYVTVRMSASRLIHKSCFFHLEGGFDVILSKAVYIRNELFDYLTMNLTSRPEMVREFAEAVFGVETEELVGKMIPIVLPKLVVTWQDNEKAVTILLELAKCVNTDMVPLVVNWLPKVLAFALHRSDRQELLSTLQFYHDQTGSDNQEIFAAALPALLDELVCFLDGGDSMEINQRLSRVPEMIKEIARVLTGAEDLPGFLRNHFVGLLNSIDRKMLHSEDISLQKQALKRIKMLIEMMGTQLNTYVPKLMVLLMHAIDKESLQSEGLSVLQFFIMQLANKSPSSTKHVISQVFAALIPILERYKENPSMNLNKVVKILEELVLNNRIILKQHIHEFPPLPSIPALIEVNKAIQEARGSMTLRDQLRDVVDGLNHENLNVRYMVACELSKLLNLRREDITALITGEVAADMDVLSSLITSLLRGCAEESRTVVGQRLKLVCADCLGALGAVDPAKVKGFSCQRFKIECSDDDLIFELIHKHLARAFRASPDTVVQDSAALAIQELLKLAGCEASLDENVTASLSPSLKEKITENSSGMNNRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASAGPIYRPSMSFRRWIFFWIKKLTAHATGSRASIFNACRGIVRHDMQVAIYLLPYLVLNAVCHGTKEARLGIAEEMLSVLDAAASENSGAAVHVINGGQSEVCMQAVFTLLDNLGQWVDDVEQELALYQSFQSSASKKQASRSKDQSSTSLTDQDQLLTQCRYVAELLTTIPKLTLARASYRCQAYARSLMYFESHVREKSGSFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKKAGNWAEVLTFCEQALQMEPTSVQRHSDVLNCLLNMCHLQAVVTHVDGLTSRVPRYKKTWSMQGVQAAWRLGRWDLMEEYLSGADEEGLVCSGSESNASFDMDVAKILQVMMKRDQFSVAEKIAMSKQALIAPLAAAGMDSYMRAYPFIVKLHLLRELEDFHTFLGDDSFLEKKFHLGNMEFAKLMDNWENRLRFTQPSIWAREPLLAFRRLVFGASGLGAQVGNCWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQALLHMPEKVLGSAARSSITSLSLVPLNPQALLCDTQATNENQDIAKTLLLYTRWIHYTGQKQKEDVITLYSRVRELQPKWDKGFFYLAKYCDEVLVDARKRQEENSELGPRLVQLASAGVSPANSEKRWWCYVPDVLLFYAKGLHKGHKNLFQALPRLLTLWFEFGSIYQRCSSSSGEDMKKVHEKVMSIMRGCLKDLPAYQWLTVLPQLVSRVCHQNEEIVKLVKRIITSVLRQYPQQALWIMAAVSKSTVPSRREAAAAIIQEAKKGFSQGNSGSNLFVQFASLIDHLIKLCFHPGQPKSKTINISTEFSSLKRMMPLGIIMPIQQSLTASLPTYSISVTDALTSDIFSDSDLPTISGISDEAEILSSLQRPKKIVLLGSDGIARPFLCKPKDDLRKDARMMEFNAMINRLLSKYPESRRRKLYLRTFAVIPLTEDCGMVEWVPHTRGLRHILQDLYISCGKFDRQKTNPQIKRIYDQSQGKMPEDEMLKNKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGMGITGYEGIFLRVCEITLSVLRAHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Manes.04G069900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26846864:26882531:1 gene:Manes.04G069900.v8.1 transcript:Manes.04G069900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIASNLSSLVHELRERIAATSSTPPCKVDDDALKTRFRAVLPNLLHAYVVPSSAASEREVIAVLKLISHTARNFPGVFYHGKASAILPVIGRILPFFAEPAFRSRHGVIFETVGSLLSLLRTGARDAYCQFFIDAMLAVEDLLCVASLSAENISITGSAGSTLKCFCKSFTGFLGDPACLCDLPASSKPVDGAGILIDVMGKRRWQPFATWIIRLLNKCITEGTLYVEGLINISSVLASCSLLCYGDADLHMACFDFARIIGSVIDGDIVPHQNIIESIAAILSEDKEGLPVFRNMMYDSSLGGCLTALHSSCPDDVVKLTAAPLMNVLPLSMWRTKSQELKEALCCAYKRIARTCPPHIWRPECLIHMLCFPEPCSSLIDCLHVTLSILGPELVGGRVMTNNNVGLPMLSDVSIEKLRIGEKRRVLDIDIIKIKRQKVDGDIVTSDANVLEESKPTRIVSFEREEEVYADSMHTSLISFLELLKPSSTRPESLALNGALTALSMLCIAFCRYPMTNISLSIFQLMHSWIPWIYEQANQGSSITLNLSIYLEGIYSILLMQRTLLLEDKLLKLKVDDANLMYMVLKLPWTHSHVFSGLRSPWKTKCTSLQVVSMLGAILKTENILEVLDLGLHDNAEDVRLEAVIYMPMMVLWSGLGILAQMFKRLEFLEREEHEKVKKIVPFSLGFLSCFYGCCSSIDGLDRGECKLFLDVNNEKYSQTVDCLLRGFWCSRCDTGKRVVPNHEMYSKIIQLPVGQSREVGLNCDFIHLQSLFFKLLYDDSLEEVQVGCVRSIQRVLVHGTTDILIKTRYEWIRCVEFLLVNTKKDIREAFCTQISSFLDDSVLSCLFPDGDSNKTKEQRFLDIMKCALQAAEDPQILETLLESTAQIMIAVDISSQLFLHSLILLVDQLDNPYVTVRMSASRLIHKSCFFHLEGGFDVILSKAVYIRNELFDYLTMNLTSRPEMVREFAEAVFGVETEELVGKMIPIVLPKLVVTWQDNEKAVTILLELAKCVNTDMVPLVVNWLPKVLAFALHRSDRQELLSTLQFYHDQTGSDNQEIFAAALPALLDELVCFLDGGDSMEINQRLSRVPEMIKEIARVLTGAEDLPGFLRNHFVGLLNSIDRKMLHSEDISLQKQALKRIKMLIEMMGTQLNTYVPKLMVLLMHAIDKESLQSEGLSVLQFFIMQLANKSPSSTKHVISQVFAALIPILERYKENPSMNLNKVVKILEELVLNNRIILKQHIHEFPPLPSIPALIEVNKAIQEARGSMTLRDQLRDVVDGLNHENLNVRYMVACELSKLLNLRREDITALITGEVAADMDVLSSLITSLLRGCAEESRTVVGQRLKLVCADCLGALGAVDPAKVKGFSCQRFKIECSDDDLIFELIHKHLARAFRASPDTVVQDSAALAIQELLKLAGCEASLDENVTASLSPSLKEKITENSSGMNNRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASAGPIYRPSMSFRRWIFFWIKKLTAHATGSRASIFNACRGIVRHDMQVAIYLLPYLVLNAVCHGTKEARLGIAEEMLSVLDAAASENSGAAVHVINGGQSEVCMQAVFTLLDNLGQWVDDVEQELALYQSFQSSASKKQASRSKDQSSTSLTDQDQLLTQCRYVAELLTTIPKLTLARASYRCQAYARSLMYFESHVREKSGSFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKKAGNWAEVLTFCEQALQMEPTSVQRHSDVLNCLLNMCHLQAVVTHVDGLTSRVPRYKKTWSMQGVQAAWRLGRWDLMEEYLSGADEEGLVCSGSESNASFDMDVAKILQVMMKRDQFSVAEKIAMSKQALIAPLAAAGMDSYMRAYPFIVKLHLLRELEDFHTFLGDDSFLEKKFHLGNMEFAKLMDNWENRLRFTQPSIWAREPLLAFRRLVFGASGLGAQVGNCWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQALLHMPEKVLGSAARSSITSLSLVPLNPQALLCDTQATNENQDIAKTLLLYTRWIHYTGQKQKEDVITLYSRVRELQPKWDKGFFYLAKYCDEVLVDARKRQEENSELGPRLVQLASAGVSPANSEKRWWCYVPDVLLFYAKGLHKGHKNLFQALPRLLTLWFEFGSIYQRCSSSSGEDMKKVHEKVL >Manes.04G069900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26846864:26881697:1 gene:Manes.04G069900.v8.1 transcript:Manes.04G069900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEIASNLSSLVHELRERIAATSSTPPCKVDDDALKTRFRAVLPNLLHAYVVPSSAASEREVIAVLKLISHTARNFPGVFYHGKASAILPVIGRILPFFAEPAFRSRHGVIFETVGSLLSLLRTGARDAYCQFFIDAMLAVEDLLCVASLSAENISITGSAGSTLKCFCKSFTGFLGDPACLCDLPASSKPVDGAGILIDVMGKRRWQPFATWIIRLLNKCITEGTLYVEGLINISSVLASCSLLCYGDADLHMACFDFARIIGSVIDGDIVPHQNIIESIAAILSEDKEGLPVFRNMMYDSSLGGCLTALHSSCPDDVVKLTAAPLMNVLPLSMWRTKSQELKEALCCAYKRIARTCPPHIWRPECLIHMLCFPEPCSSLIDCLHVTLSILGPELVGGRVMTNNNVGLPMLSDVSIEKLRIGEKRRVLDIDIIKIKRQKVDGDIVTSDANVLEESKPTRIVSFEREEEVYADSMHTSLISFLELLKPSSTRPESLALNGALTALSMLCIAFCRYPMTNISLSIFQLMHSWIPWIYEQANQGSSITLNLSIYLEGIYSILLMQRTLLLEDKLLKLKVDDANLMYMVLKLPWTHSHVFSGLRSPWKTKCTSLQVVSMLGAILKTENILEVLDLGLHDNAEDVRLEAVIYMPMMVLWSGLGILAQMFKRLEFLEREEHEKVKKIVPFSLGFLSCFYGCCSSIDGLDRGECKLFLDVNNEKYSQTVDCLLRGFWCSRCDTGKRVVPNHEMYSKIIQLPVGQSREVGLNCDFIHLQSLFFKLLYDDSLEEVQVGCVRSIQRVLVHGTTDILIKTRYEWIRCVEFLLVNTKKDIREAFCTQISSFLDDSVLSCLFPDGDSNKTKEQRFLDIMKCALQAAEDPQILETLLESTAQIMIAVDISSQLFLHSLILLVDQLDNPYVTVRMSASRLIHKSCFFHLEGGFDVILSKAVYIRNELFDYLTMNLTSRPEMVREFAEAVFGVETEELVGKMIPIVLPKLVVTWQDNEKAVTILLELAKCVNTDMVPLVVNWLPKVLAFALHRSDRQELLSTLQFYHDQTGSDNQEIFAAALPALLDELVCFLDGGDSMEINQRLSRVPEMIKEIARVLTGAEDLPGFLRNHFVGLLNSIDRKMLHSEDISLQKQALKRIKMLIEMMGTQLNTYVPKLMVLLMHAIDKESLQSEGLSVLQFFIMQLANKSPSSTKHVISQVFAALIPILERYKENPSMNLNKVVKILEELVLNNRIILKQHIHEFPPLPSIPALIEVNKAIQEARGSMTLRDQLRDVVDGLNHENLNVRYMVACELSKLLNLRREDITALITGEVAADMDVLSSLITSLLRGCAEESRTVVGQRLKLVCADCLGALGAVDPAKVKGFSCQRFKIECSDDDLIFELIHKHLARAFRASPDTVVQDSAALAIQELLKLAGCEASLDENVTASLSPSLKEKITENSSGMNNRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASAGPIYRPSMSFRRWIFFWIKKLTAHATGSRASIFNACRGIVRHDMQVAIYLLPYLVLNAVCHGTKEARLGIAEEMLSVLDAAASENSGAAVHVINGGQSEVCMQAVFTLLDNLGQWVDDVEQELALYQSFQSSASKKQASRSKDQSSTSLTDQDQLLTQCRYVAELLTTIPKLTLARASYRCQAYARSLMYFESHVREKSGSFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKKAGNWAEVLTFCEQALQMEPTSVQRHSDVLNCLLNMCHLQAVVTHVDGLTSRVPRYKKTWSMQGVQAAWRLGRWDLMEEYLSGADEEGLVCSGSESNASFDMDVAKILQVMMKRDQFSVAEKIAMSKQALIAPLAAAGMDSYMRAYPFIVKLHLLRELEDFHTFLGDDSFLEKKFHLGNMEFAKLMDNWENRLRFTQPSIWAREPLLAFRRLVFGASGLGAQVGNCWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQALLHMPEKVLGSAARSSITSLSLVPLNPQALLCDTQATNENQDIAKTLLLYTRWIHYTGQKQKEDVITLYSRVRELQPKWDKGFFYLAKYCDEVLVDARKRQEENSELGPRLVQLASAGVSPANSEKRWWCYVPDVLLFYAKGLHKGHKNLFQALPRLLTLWFEFGSIYQRCSSSSGEDMKKVHEKVMSIMRGCLKDLPAYQWLTVLPQLVSRVCHQNEEIVKLVKRIITSVLRQYPQQALWIMAAVSKSTVPSRREAAAAIIQEAKKGFSQGNSGSNLFVQFASLIDHLIKLCFHPGQPKSKTINISTEFSSLKRMMPLGIIMPIQQSLTASLPTYSISVTDALTSDIFSDSDLPTISGISDEAEILSSLQRPKKIVLLGSDGIARPFLCKPKDDLRKDARMMEFNAMINRLLSKYPESRRRKLYLRTFAVIPLTEDCGMVEWVPHTRGLRHILQDLYISCGKFDRQKTNPQIKRIYDQSQGKMPEDEMLKNKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Manes.02G178100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14046391:14051845:-1 gene:Manes.02G178100.v8.1 transcript:Manes.02G178100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAKYIGKQPMTMDIEQMPDTPQRGSHHRRAHSDTSFRFDDLLLFDPSDLDLSSLDLPTPTPPRGAPMAVDSGSVSDDSASQSGPTTKPKPINHLRSLSVDSDFFDGLGLTTGGGDEKFGGKPAAMAAPGAVGAGERRVHHRHSNSMDGSSTSSFEIDSVMVDGVKKAMGPDRLAELALIDPKRAKRILANRQSAARSKERKVRYTNELERKVQTLQTEATTLSAQVTMLQRDTTGLTAENKELKLRLQAMEQQAQLRDALNEALREEVQRLKIATGQIPAVNGNPFNRGLTPQYSSHQPALHHFGSPPSQQPHVPQPSTNNQTLNGQPRPGFSNFSQRV >Manes.16G128100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32925069:32928250:1 gene:Manes.16G128100.v8.1 transcript:Manes.16G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCHRNNIGSLILPHSSSTNSSVGGHFRFSTSFSASAFRRKIFDAVSCGGSSRYHHRHHDDDFSSNTTTATSATTTTTSTTTTASTTEKSDNNNVCEKKAGRARNRKSEKLSDLLCIAEAETEIETKKKVEALEELKRVVKELQVESETKRKEAACCVRLLSKEDSVVRVTLAMLGAIPPLVGMLDVEEVESQIASLYALLNLGIGNDANKAAIVKAGAVHKMLKIIESPSPPNPSVSEAIVANFLGLSALDSNKPIIGSSGAIPFLVNVLKDLDHKSSDQAKQDALRALYNLSIFSSNVSFIIETNLIPFLMNMLGDMEVSERILLILSNVASTAEGRKAISVVPDAFPILIDVLNWTDSPGCQEKASYILMVMAHKAYGDRQAMIEAGIVSSLLELTLLGSTLAQKRASRILELLRVDKGKQVSENYGGNLGAAVSAPLCMSSSSSRNPNGASKESLEEAEDMMSEEKKAVKQLVQQSLQNNMRRIVKRANLPQDFVPSEHFKSLTSSSTSKSLPF >Manes.10G083433.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:20467025:20482068:1 gene:Manes.10G083433.v8.1 transcript:Manes.10G083433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGKEKISLRSPKIKPANINLLPPNTPRPIYTSTSSILQRPMNPISSALVIPTSPRPRLPSCFASVNMFSPLQVTPIPPSTFKQAVTGPTASGPSSSSPLPTSSQELSQTDYTYKPIDEYILTIEPEYWAQNPNLSVYQLCSTVFPKSHFYIPDNFQKSQHFYETILNNTCSVVIHNNYDPQIPNKLKYCKVRILKVWTLTDWGLEPHKMRERIMTVGQMNQNIKYNYYDYQTAWERTFFKHNEQLSVSFFFFFDDNLSYPVPYWFYQWWNKFGINENNIPNQIWIAKEQFFERQQLPETVTIAPSWLTYCHHFHIPWILMIEYQLKDQTMDIFQIPTLIRKYKTKW >Manes.16G083600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28991795:28992871:-1 gene:Manes.16G083600.v8.1 transcript:Manes.16G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLFGLETPLIHTIHQMMDTTDEADKSFNAPTRTYVRDAKAMASTPADIKEYPNSYVFIIDMPGLKSGDIKVQVEDDNVLLISGERKRDEEKEGAKYVRMERRVGKFMRKFVLPENANTDTISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKIA >Manes.14G042500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3741838:3744773:-1 gene:Manes.14G042500.v8.1 transcript:Manes.14G042500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAYANGGHCFETLQLYVLMRRAGILPNNYTFPFIFKACANNSFILQGKLAHGDALKTGFDSDLYVEAALVDMYAKAGQFCDGRKIFDEMSMKDLVCWTAMITAYEQAEKPEEALILFQKMQQEGLLADSVAIVSVASAIGQLGDTKMAQSVHCHAIRHLFLEEICVANSIMAMHAKCGNMDKARLVFDMMGERNLISWNSMLSGYTQNGKASEALLLFYEMRDSGCEPNPVTALIMVAACAYLGSSHLGKKFHDFILDSKMKIDVNLRNALMDMYAKCGDLETAVEMFSGIHPSERDVCSWNVLISGYGMHGYGEEALKLFSRMQEEGVEVEPNHITFTSILSACSHAGLVDEGRKCFTDMIKSVTPEMKHYACMVDMLGRAGLLQEAFDLIKEMPLSPNDSVWGALLLACKIHGNIELGKIAANNLFELEPNHTGYYVLMSNIYAASNKWQEVGKLRQDMKNRGLKKPAAFSVIEYGSEIHGFHTADHENPYWQEVYRKVESLAIEMRLAGYIPDLSCALHDMEDEDKEHILNYHSEKLAVAFGILMMDSRMAIRVTKNLRVCNDCHLAFKYISYIYGRKIIVRDANRFHHFENGSCSCKDYW >Manes.14G042500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3741861:3744734:-1 gene:Manes.14G042500.v8.1 transcript:Manes.14G042500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAYANGGHCFETLQLYVLMRRAGILPNNYTFPFIFKACANNSFILQGKLAHGDALKTGFDSDLYVEAALVDMYAKAGQFCDGRKIFDEMSMKDLVCWTAMITAYEQAEKPEEALILFQKMQQEGLLADSVAIVSVASAIGQLGDTKMAQSVHCHAIRHLFLEEICVANSIMAMHAKCGNMDKARLVFDMMGERNLISWNSMLSGYTQNGKASEALLLFYEMRDSGCEPNPVTALIMVAACAYLGSSHLGKKFHDFILDSKMKIDVNLRNALMDMYAKCGDLETAVEMFSGIHPSERDVCSWNVLISGYGMHGYGEEALKLFSRMQEEGVEVEPNHITFTSILSACSHAGLVDEGRKCFTDMIKSVTPEMKHYACMVDMLGRAGLLQEAFDLIKEMPLSPNDSVWGALLLACKIHGNIELGKIAANNLFELEPNHTGYYVLMSNIYAASNKWQEVGKLRQDMKNRGLKKPAAFSVIEYGSEIHGFHTADHENPYWQEVYRKVESLAIEMRLAGYIPDLSCALHDMEDEDKEHILNYHSEKLAVAFGILMMDSRMAIRVTKNLRVCNDCHLAFKYISYIYGRKIIVRDANRFHHFENGSCSCKDYCEQRLSNAESNSMEMLNQSPAVNLWFVMIQWIKEMQHPDILCQVMNKTINC >Manes.14G042500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3741836:3744773:-1 gene:Manes.14G042500.v8.1 transcript:Manes.14G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGSRKPLLNPFCLYSFISNCSFSYSPDSTEVIYDNLLKFCDGLVFLRQIHSVLTTTSLVVKSPHLSAQIIIKYAQFGDPNSARSLFGYINIYGGKPSSFLWNTMIRAYANGGHCFETLQLYVLMRRAGILPNNYTFPFIFKACANNSFILQGKLAHGDALKTGFDSDLYVEAALVDMYAKAGQFCDGRKIFDEMSMKDLVCWTAMITAYEQAEKPEEALILFQKMQQEGLLADSVAIVSVASAIGQLGDTKMAQSVHCHAIRHLFLEEICVANSIMAMHAKCGNMDKARLVFDMMGERNLISWNSMLSGYTQNGKASEALLLFYEMRDSGCEPNPVTALIMVAACAYLGSSHLGKKFHDFILDSKMKIDVNLRNALMDMYAKCGDLETAVEMFSGIHPSERDVCSWNVLISGYGMHGYGEEALKLFSRMQEEGVEVEPNHITFTSILSACSHAGLVDEGRKCFTDMIKSVTPEMKHYACMVDMLGRAGLLQEAFDLIKEMPLSPNDSVWGALLLACKIHGNIELGKIAANNLFELEPNHTGYYVLMSNIYAASNKWQEVGKLRQDMKNRGLKKPAAFSVIEYGSEIHGFHTADHENPYWQEVYRKVESLAIEMRLAGYIPDLSCALHDMEDEDKEHILNYHSEKLAVAFGILMMDSRMAIRVTKNLRVCNDCHLAFKYISYIYGRKIIVRDANRFHHFENGSCSCKDYW >Manes.16G009800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1034177:1035692:1 gene:Manes.16G009800.v8.1 transcript:Manes.16G009800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSRLRIHPLLTRNRRYFSTILSPGSTTPLSSKEKTRAALSLLKSEANPEKIVEICRAASLTPESHLDRIAFSVAISQLSKSNHFSYIQQFLDDLRSSRADLRSSERFSGHAIVLFGQANMINHAIRTFEEYHADVVGSGAGSVKALNALLFACILAKDFGEVKRIFLEFPKKYSIEPNLETYNTVVKAFCESGSSSSGFSVLAEMDRKGLKPNATTFGSLLAGFYKEEKFEDAGKVLDMMKKHGIRQGLSTYNIRIQSLCKIKRSAEAKVLLDEILCRNMKPNSVTYCNLIHGFCNEGNLEEAKGLFNSMIRRGIKPDADCYFTLVHYLCRGGNFDTALRICKESIEKGWVPNFGTMKSLVNGLAGVGKVAEAKELVGQMKEKFSKNANLWEEVEASLSQ >Manes.09G185500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37249786:37260579:1 gene:Manes.09G185500.v8.1 transcript:Manes.09G185500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRHADHKDRRDLRPLAPIDELAQASQDMEDIRDCYDSLLSAAAATANSAFEFSESLRELGACLLEKTALNDDEESGKVLLMLGKMQFELQKLVDSYRSHISQTITVPSESLLNELRTVEEMKRQCDEKRNVYEYMITRQREKRRGRNGKGESFSMQQLQAAYDEYDEEATLFVFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEALEPHVKLVTEKQHIDYHFSGLEDDDADNVDDDGSDDDDDDTYDAPDDGELSFDYGHNDEEQDVVSTSRNSMELDMVDVTFPQVATLEVRKENIDSSYWKPCSFRGDFRKGIQSAPLFAEKKSDPAERVKQMRPSSSRRLNTYVLPTPLETKSSNSSGTGSLVHQTLSASLNGHNMWHSSPLEPKKYENLTDDKYSGSTVKKSWSVLKESNKSTVSTQIPPALADRLFVPRSDSKKIKRYAFSGPITRQAWPTKPVSIGPPGLFSGPLLKNPTVQLPSTSSPKVSPKVSPKVSPKVSPKVSPKVSPTASPTFVSSPKISELHELPRPPASSAFKSSGPLSSVGHSAPLVPKGHIHPTGKSLTSNSASPLPVPSQAVTRSFSIPSGNLRAMAFNISKPIEATQNSEMPQDMVSPPLTPISFTNIRPSLTGSKNVNQTIQIRD >Manes.09G185500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37249943:37260397:1 gene:Manes.09G185500.v8.1 transcript:Manes.09G185500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRHADHKDRRDLRPLAPIDELAQASQDMEDIRDCYDSLLSAAAATANSAFEFSESLRELGACLLEKTALNDDEESGKVLLMLGKMQFELQKLVDSYRSHISQTITVPSESLLNELRTVEEMKRQCDEKRNVYEYMITRQREKRRGRNGKGESFSMQQLQAAYDEYDEEATLFVFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEALEPHVKLVTEKQHIDYHFSGLEDDDADNVDDDGSDDDDDDTYDAPDDGELSFDYGHNDEEQDVVSTSRNSMELDMVDVTFPQVATLEVRKENIDSSYWKPCSFRGDFRKGIQSAPLFAEKKSDPAERVKQMRPSSSRRLNTYVLPTPLETKSSNSSGTGSLVHQTLSASLNGHNMWHSSPLEPKKYENLTDDKYSGSTVKKSWSVLKESNKSTVSTQIPPALADRLFVPRSDSKKIKRYAFSGPITRQAWPTKPVSIGPPGLFSGPLLKNPTVQLPSTSSPKVSPKVSPKVSPKVSPKVSPKVSPTASPTFVSSPKISELHELPRPPASSAFKSSGPLSSVGHSAPLVPKGHIHPTGKSLTSNSASPLPVPSQAVTRSFSIPSGNLRAMAFNISKPIEATQNSEMPQDMVSPPLTPISFTNIRPSLTGSKNVNQTIQIRD >Manes.09G185500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37249889:37260397:1 gene:Manes.09G185500.v8.1 transcript:Manes.09G185500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKVELSCCIPKGRGNCKRELKSFSSYAGKVLLMLGKMQFELQKLVDSYRSHISQTITVPSESLLNELRTVEEMKRQCDEKRNVYEYMITRQREKRRGRNGKGESFSMQQLQAAYDEYDEEATLFVFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEALEPHVKLVTEKQHIDYHFSGLEDDDADNVDDDGSDDDDDDTYDAPDDGELSFDYGHNDEEQDVVSTSRNSMELDMVDVTFPQVATLEVRKENIDSSYWKPCSFRGDFRKGIQSAPLFAEKKSDPAERVKQMRPSSSRRLNTYVLPTPLETKSSNSSGTGSLVHQTLSASLNGHNMWHSSPLEPKKYENLTDDKYSGSTVKKSWSVLKESNKSTVSTQIPPALADRLFVPRSDSKKIKRYAFSGPITRQAWPTKPVSIGPPGLFSGPLLKNPTVQLPSTSSPKVSPKVSPKVSPKVSPKVSPKVSPTASPTFVSSPKISELHELPRPPASSAFKSSGPLSSVGHSAPLVPKGHIHPTGKSLTSNSASPLPVPSQAVTRSFSIPSGNLRAMAFNISKPIEATQNSEMPQDMVSPPLTPISFTNIRPSLTGSKNVNQTIQIRD >Manes.07G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5593011:5596337:1 gene:Manes.07G048000.v8.1 transcript:Manes.07G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFNILKSSPFSSTKTILITPAAKPTLSKFLSRPHSVPNSFCKTHFRFQSSKSALYSISLTGFGGSLHRSKRNFRGGIIAMAAPGSVQKSEEEWRAILSPEQFQILRKKGTEYPGTGEYDKFYEEGVYNCAGCGTPLYRSTTKFNSGCGWPAFFEGLPGAIARNPDPDGRRIEITCAACGGHLGHVFKGEGFPTPTDERHCVNSVSLKFVPANSSL >Manes.11G068000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15651677:15651958:-1 gene:Manes.11G068000.v8.1 transcript:Manes.11G068000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLPGIKQATQILRRSNSTSNGVPKGYCAVYVGETQMKRYVIPVSLLNQPSFQELLSKAEEEFGFNHPMGGLTIPCSEDIFVDLISHLTRL >Manes.12G158600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36619524:36621308:-1 gene:Manes.12G158600.v8.1 transcript:Manes.12G158600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTSIFSCFHQSSKSFLLYKKDVSAFPFPFQKSSAMLISLKQKSSGGRRPKKKIYHRVHELDRVMDLQKKPSLILHLKAIIQSQKHQHLLLRNLEKQVGFVQKWNFMAVIEKYPSIFHVGADSRAPPFVTLTEKARKVANEEAEARELMEPILVKNLRKLLMMAVDCRLPLEKIEFIENELGLPQDFKKSLIPKYPEFFSVKDVSGKAYLHLENWDSTLAVTVREERLTSEGVTLSNPPKKKVRISKDGNFLGPFAFKMCFPTGFRPNANYLDELERWHRLEFPSPYLNARRFEVADPKARKRVVAVLHELLSLTMEKRLNSIQLDAFHSEYLLPSRLLLCLIKHHGIFYMTNKGARSTVFLKEAYDGSHLIDKCPLLLFRDKFVGLSGRREINPCNEVASSPFSF >Manes.04G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30708981:30713671:-1 gene:Manes.04G102400.v8.1 transcript:Manes.04G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLLPKTISPFLTNPPSSLPSAHFTKPSFFTPCTRKPTTLTYAKKKNPWLDLFDDGEDPDMEYGSLFADGKQEEDPRPPDNPDNPYGFLKFPMGYNVEIASLPLKIRGDVRRCCCVISGGVYENLLFFPAIQLIKDRYPGVQIDIVASARGKQTYELNKNVRWATVYDPDDDFPEPAEYTDMLGVLKNRYYDMVLSTKLAGLGHAAFLFMASARDTVSYIYPNVNAAGAGLLLSETFTPDSTNLSEGGYHMYHQMIDWLGRPFRSVPRQPVPPLRVSISRKLKEFVEAKYRAVGAEKGKYIVIHGIESDSKATMQSRGDTDSLLPLQVWAEIADAMRDFKPVFVIPHEKERENVEEIVGDDTSIVFITTPGQLAALINDSAGVIATNTAAIQFANAREKPSIALFSSEEKGKLFVPNAAEKKCTIVSSKTGKLKDIDFEDIKQAMQILDLSLVLA >Manes.15G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3013197:3024702:-1 gene:Manes.15G038500.v8.1 transcript:Manes.15G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKTVVPSQLVTEQGSNLVVINPGSANVRIGLAHQDTPFNIPHCISRYTNQFPKLNVKDQTLNSQVTTAKHMDREKAHVIIASLLKIPFLDEEVMGHVDRYAAKSGRKDIAFTWANVYEPETHSSLASERPTNDSQIGESLEKHEHTDAKEPSKSERKYRGFICGEEGLRISPTEPYCLHCPIRRGHLNISQHYPMQQVLEDLHAIWVWILVEKLHIPHSERSLYAAILVLSETFDNREGLAAVFGNGLSTACVVNVGAQVTSVICIEDGVALPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIPTDIVTKPIDLLMLNRVREFYCEIKEGEVDAVAVIHSYEGVAPSGSHKTRLTALNVLPIGLFYSVLLVPDVYPPPPRSCETIHIDYPRRPDIADGLFTGVNVGLSMWDSYLVFPSKPKKEEKVGVAEAITSSILSTGRIDLKRKLFCSIQLIGGMALAPGLSPAVEERVLHEIPSNEAIDTVEVLQSRTNQTFVSWKGGAILGILDFGRDAWIQREDWIRNGIHIGSGRKYNDSYYLQAKQCVI >Manes.02G000600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:109603:112275:-1 gene:Manes.02G000600.v8.1 transcript:Manes.02G000600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQNCESAHTIEVHGDLPAMQTHLGGSKICGGTSCGFSDARTSSKEAKERSASMRKLLMAVILCIVFMSVEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALISIQMIWLLAGILVYEAIARLIYDTGEVQGFLMFVVSAFGLLVNIAMALLLGHQHGHYHGHGEDEHGHSHEDHVNGHSHEDHVHGHSHGLSIATHHHHHLGNSQHNVQNHHTRESDQSEPLLRTFSGGGAKIKDGTKRNKQRNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLVFSVIVLGTTIRMLRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKVLLACHVKITPEADADMVLDKVIDYIRREYNISHVTIQIERQ >Manes.02G221271.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22027144:22036132:-1 gene:Manes.02G221271.v8.1 transcript:Manes.02G221271.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221271.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22027124:22036372:-1 gene:Manes.02G221271.v8.1 transcript:Manes.02G221271.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221271.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22027124:22036357:-1 gene:Manes.02G221271.v8.1 transcript:Manes.02G221271.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221271.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22027144:22037901:-1 gene:Manes.02G221271.v8.1 transcript:Manes.02G221271.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221271.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22027144:22037901:-1 gene:Manes.02G221271.v8.1 transcript:Manes.02G221271.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221271.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22027144:22036132:-1 gene:Manes.02G221271.v8.1 transcript:Manes.02G221271.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPLQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELAADVLAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.07G043983.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5116258:5118022:-1 gene:Manes.07G043983.v8.1 transcript:Manes.07G043983.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGLGQLTSLQTLSLFVVAKGHISSKDVEKINELNKLKNLRGRLEIINLGCVDNEIVNVNMKEKPVLQSLELRCEESWEDSNVDRDEMAFQNLQPHPNLKELRVLGNGGRRFPSWFSSLTNLVYLCIRNCNRYQHLPPMDQIPSLQYLHIREVDDLEYIEIEGQATSFFPSLRVLVLYGCPKLKGLQKKKDDSTALELLQFPCLSYFVCRDCPNLNSIPQFPSLDHSLYLHCASPQLVHQIFTPSISSSSSIIPPLSKLKILQITDIEELESLPPDGLWNLTCLQRLTIQICPAIKCLPQEMRSLTSLRELNINDRPQLKERCGNRKGADWAFISHIPNIEVDDQRIQREGRYLLDDEASINEG >Manes.12G158200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36585327:36589034:-1 gene:Manes.12G158200.v8.1 transcript:Manes.12G158200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRFFGRTLFAAVKSETSAAAAAATGYARNPLEEFFEADRSQDQDKPVGYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHSQNLKFPNPERLPKVRKSMCRIKQVLTERAIEEPDARRSAEMRRMINGL >Manes.12G158200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36585327:36589034:-1 gene:Manes.12G158200.v8.1 transcript:Manes.12G158200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRFFGRTLFAAVKSETSAAAAAATGYARNPLEEFFEADRSQDQDKPVGYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHSQNLKFPNPERLPKVRKSMCRIKQVLTERAIEEPDARRSAEMRRMINGL >Manes.10G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28328752:28333489:1 gene:Manes.10G118400.v8.1 transcript:Manes.10G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLSSSCSSSLVNDFNVLIVLKQGFAFPEPVLSTWNSLNSSSVCSWAGVGCSRGRVVSLDLTDLNLSGSVSPQISRLDKLSNLSLAGNNFTGIIEIFRLSNLRFLNISNNQFNGGLDWNYSEMENLEVFDAYNNNFTAFLPLGILSLKKLKYLDLGGNYFYGKIPASYGELVGLEYLSLAGNDLHGKIPGELGNLTNLREIYLGYYNVFEGGIPAEFGNFVNLVHMNLPECELDGSIPRELGNLKLLDTLYLHANHFSGSIPKELGNLTNLVNLDLSNNALSGEIPFEFINLKQLKLFNLFLNRLHGSIPEFITELPNLETLGLWMNNFTGEIPQKLGQNEKLQVLDLSSNKLTGTIPKDLCSSNQLRILILLKNFLFGPIPEGLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLLELQNNVLSGKLSENGDSSSKPVKLGQINLSNNLLSGSLPFSLSNFSSLQILLLSGNQFSGPIPPSIGELNQVLMLDLSGNSLSGSIPPEIGNCFHLTSLDMSQNNLSGSVPPEISNIHILNYLNLSRNHLNQTIPKSIGSMKSLTVADFSFNDFSGKVPQSGQFSLFNASSFAGNPQLCGPLLNNPCNSTAITNTPGKAPSDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFSVTDILECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKETKLLVYEYMRNGSLGEALHGKKGSFLTWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSSFEAHVADFGLAKFLVDGGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGRRPVGDFGEGVDIVQWSKSVTNNRREDVLQIVDSRLTMVPKDEVMHLFFIAMLCCQENSIERPTMKEIVQMLSEFPLQSSNYHSSSSSIVSQQLKIAEKEKIHAKPTQDLLV >Manes.08G058900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6850114:6850976:1 gene:Manes.08G058900.v8.1 transcript:Manes.08G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIISIGGCGTCCKRFHMVCLFRKQLEGILENLKEKPDVGTLLLALQRTLEFEDELAEKFGGGSTSREIGNEIEEIGRDSNSQTVSDIR >Manes.18G090201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8099839:8106192:1 gene:Manes.18G090201.v8.1 transcript:Manes.18G090201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRGAFWSLFFIFTLVESTSNSGKVWTLNLGADSLVNISWPIFSASIFVLVALVLSTYLIFEHLAAYSLPEEQKFLIGLILMVPVYSLESFLSLLDSSAAFNCEAIRDCYEAFALYCFERYLIACLGGEESTIEFMESQGLSTSTTPLLEESYAYGVVEHPFPLNCFLRDWQLGPDFYHAVKVGIVQYMILKMICALLAMILEAFGVYGEGKFEWRYGYPYLAVVLNFSQSWALYCLVQFYSVIKDKLAPIQPLAKFLTFKSIVFLTWWQGVAVAFLFSMGLFRGSLAQELKTRIQDYIICIEMGIAAVVHLYVFPAVPYKHGERCVRNVAVMTDYASIGSPPDPEEVRDCERYSRMHLAWHEEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENMKRHEKRIRSCKDDNYLVPLNSWTKEFSEAHENILEGSVSDSGLCNSKRFSHQSRATSSTARTD >Manes.11G139700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30517686:30521005:1 gene:Manes.11G139700.v8.1 transcript:Manes.11G139700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNYPKVSEEYQKAVDKAKKKLRGFIAEKGCAPLMLRIAWHSAGTYDVKTKTGGPFGTMRHAAEQGHAANNGLDIAVRLLEPIKQQFPILAYADFYQLAGVVAIEITGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKTMGLSDQDIVALSGAHTLGRCHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALVVDPVFRKYVEKYAADEEAFFADYAEAHVRLSELGFAEA >Manes.11G139700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30517686:30521005:1 gene:Manes.11G139700.v8.1 transcript:Manes.11G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNYPKVSEEYQKAVDKAKKKLRGFIAEKGCAPLMLRIAYVVSRWHSAGTYDVKTKTGGPFGTMRHAAEQGHAANNGLDIAVRLLEPIKQQFPILAYADFYQLAGVVAIEITGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKTMGLSDQDIVALSGAHTLGRCHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALVVDPVFRKYVEKYAADEEAFFADYAEAHVRLSELGFAEA >Manes.11G139700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30517686:30521005:1 gene:Manes.11G139700.v8.1 transcript:Manes.11G139700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNYPKVSEEYQKAVDKAKKKLRGFIAEKGCAPLMLRIAWHSAGTYDVKTKTGGPFGTMRHAAEQGHAANNGLDIAVRLLEPIKQQFPILAYADFYQLAGVVAIEITGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGKTMGLSDQDIVALSGAHTLGRCHKERSGFEGPWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALVVDPVFRKYVEKYAADEEAFFADYAEAHVRLSELGFAEA >Manes.05G099000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9183757:9200179:-1 gene:Manes.05G099000.v8.1 transcript:Manes.05G099000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVSLRVPYRNLKKDVEVEMVGVEEQHHYPIQLDNSPSSSASSLNYTSQIPNSDSSFSVRSKATKHYSLITLILSCTVAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKFGRRRPFILAGSLMISVSVIIIGFSADIGYILGDTKEHCSTFKGTRTRAAFVFVIGFWLLDLANNTVQGPARALLADLSGPDQRNCANAVFCSWMAVGNILGFSAGASGSWNRWFPFLMSRACCEACGNLKAAFLVAVVFLTLCTLVTLYFAREVPLITSESHRLSDSAPLLDDTQQNGLELSKSKSDNSNGNINKGIEQNVNPKHGIANANSIEDQNESLGDGPGAVLVNLLTSLRHLPPGMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPKGNSDEAKFYDQGVREGAFGLLLNSVVLGISSFLIEPLCQRMGPRLVWAMSNYIVFASMTVTAIISLISISEYSGGIEHVIGASASIRIAALIVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVVPQMIISLGAGPWDALFGGGNIPAFALASVCALAAGIIAALKLPNLSSSSFKSSGFHFG >Manes.12G046600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4193381:4195765:1 gene:Manes.12G046600.v8.1 transcript:Manes.12G046600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPWPNCLKSLIFACKDKISIAQIHTLMLTTGLSTNSNSLVRLIASYGRIGDIVSARHIFDRLTQRGVDAWNSMIIAYSRICPREVLKLYYKMVAEGVRPDSSTFTVTLKACSSLMELEVGEEIWHQAMDYGYRHDVFVASSVLNLYAKSEKMDEAKVVFDKMLKKDIVSWTTMITGFVKSRRALDAIDIYRKMQKVGFEGDAVAIVGLIQACGSLGASKLGLSIHGYIVRREMAMDNILLETSLVDMYAKNGLLEHASLVFKEMPNKNVISWGALISGFAQNGFAGNALVLLVEMQSFGFKPDSVCLISALLACSQLGYLKWGKSLHGYIVRRLHLEQVSGTALIDMYSKCGALSYARTLFDQIDSRDLILWNAMIASYGTHGDGTEALLLFQQMRETNLSPDHATFASLISACSHSGLVEEGKQWFHFMVNESKIQPDEKHYACMVDLLSRAGQVEEAYQLIESMHTEPGIGIWVALLSGCYNYKKILIGDMAAKKILESNPDDLGIYVLVSNFFSTAKKWEEAAVLRKIMRNKGMKKVPGYSAVEVNGKHQVFLMEDKNHHLFEDIVQILGILDNEMRANRCVPDTELEFEDKGHFFFL >Manes.17G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21777613:21780892:-1 gene:Manes.17G034800.v8.1 transcript:Manes.17G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNPKLDLASCRCEAGGLEEKMGSPKVSVLDHMNGFQYTTEKSDGFVMDMESFSHVGNNKDINPNSRTTLQRSLSRKGSLRGGGGGCTEKNIYSNTSPYDRNNIAASSSLKGPCMTEKTPLAAVGTTDQSTNTQVHHQITITNTTSSNMNASIEDRFTIRRNSFKRPPSWAIDPKRILFFFATLSSIGTILLIYFTLSTAKLGADDSALD >Manes.17G034800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21777613:21780892:-1 gene:Manes.17G034800.v8.1 transcript:Manes.17G034800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKVSVLDHMNGFQYTTEKSDGFVMDMESFSHVGNNKDINPNSRTTLQRSLSRKGSLRGGGGGCTEKNIYSNTSPYDRNNIAASSSLKGPCMTEKTPLAAVGTTDQSTNTQVHHQITITNTTSSNMNASIEDRFTIRRNSFKRPPSWAIDPKRILFFFATLSSIGTILLIYFTLSTAKLGADDSALD >Manes.07G041900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4901752:4905588:-1 gene:Manes.07G041900.v8.1 transcript:Manes.07G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEGEGGGDGEAGPGLSSSSRIRAGPDPFLVVCRCFSFVTALVGILCIAVNVLSAVRSFKNGSDVFDGIFRCYAVVIAFFVVVAETEWGFITKFWKVLEYWAGRGMLQIFVAVMTRAFPDYSASKKDLILLQNIASYMLLACGVVYLVSGVLCIGFLKRARQQKEITREQAVKDLEQLERRREELEQLLLAERV >Manes.07G041900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4866672:4905419:-1 gene:Manes.07G041900.v8.1 transcript:Manes.07G041900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEGEGGGDGEAGPGLSSSSRIRAGPDPFLVVCRCFSFVTALVGILCIAVNVLSAVRSFKNGSDVFDGIFRCYAVVIAFFVVVAETEWGFITKFWKVLEYWAGRGMLQIFVAVMTRAFPDYSASKKDLILLQNIASYMLLACGVVYLVSGILCIGFLKRARQQKEITREQAVKDLEQLERRREELEQLLLAERV >Manes.09G116400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31910115:31912460:1 gene:Manes.09G116400.v8.1 transcript:Manes.09G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRLSDMMPNAWFYKLKEIGRTRSHNTSANSMKKKHPTATSAAAASQAQQSKTKQPHHQHHHHHHQYSYPRKSYYFTRELIPTNAKSTDTHFHDPPRRSSKQRLLKRRPLKSSSPKLVTSSVSAGCSCRATIWTKSSDSPPDYSASSSDSSTDQLDICDSFPPEFRSDRVLDTQSFDKMVAWSSSCGCNVDSNADDIIIDVEKKSVGANFDKLDLSDLDLPPILTKPAKFDDKVDDIKNKETKKTTKYRKSSAKYGEKNAHGSLSVKVVKEESVTMKEHKSSSMRRHSLNSPGLRLRVNSPKIANRKVQAHARKSVSSTSSSSSRRSLSDSLAIVKSSFDPQRDFRDSMVEMIVENNISASKDLEDLLACYLSLNSDEYHDLIINVFKQIWFDLTENRSK >Manes.15G081100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6237053:6238264:1 gene:Manes.15G081100.v8.1 transcript:Manes.15G081100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQAVEFEDYFPSMMEQLGTEGFMMELCNGFRLLMDGEKGLITFESLKRNSILLGLQDMRDDELVCMLMEGDLDGDGAINQMEFCILMFRLSPGMMVGPAQCMDYEFDVKMC >Manes.11G085000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:13283451:13284323:1 gene:Manes.11G085000.v8.1 transcript:Manes.11G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTSSGSTSMHNSGSEENFQALMDQRKRKRMISNRESARRSRMRKQKHLDDLTAQVAQLSKENHQLITSIDITTQHYLNVEADNSILRAQVLELSHRLESLNEIINFLTASNDVYEDSSTLNEPSDCFFNPMNLSYLNQSVMASADIFQY >Manes.10G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7636702:7641716:-1 gene:Manes.10G057400.v8.1 transcript:Manes.10G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGMRRTTRVFGVVKGVDGARVLRSGRRLWPGSGDSRFRRANDGDEWLHTMIKTTANNKNHHNHSSVKYKENVLPTHDSKSNPEAPAVDIQVPKRVKNENLKDTENKMFGIVYSRKRKRVGGERQDDSGKMYGIQFSRRQRKKHGDSDSFVGFERALLVIVLDGSYSSGLTPFLNSVLGYIRRASMRISELTAFLSSEPFNSAFASHGIRFLQDTTANRTGICKIFGARSNVPMFSLDFSAVPFCFVYMHLCLLLKVKCLSLVPASTTLEEDSCDEMMNECEEDSLCGLVKNQPEADNSGNKVVLHPSVRASKLAGRNNQYKSGINSRGIQKRRSSLRRRRARNPSLGVVHKANGALVSDLASSRKFGFPFSSVVSKNKLRSSLRSSAARNLHEVNTSMVEVTQAMDSSKCSANILVIESDRCYRIEGATVTLEISDSKEWLLVVKKDGLTRYTHLAQKSMRPCSSNRFTHDIIWTGEESWKLEFSDRHNWLIFKDLYKECSDRNVAGPIFKTIPVPGVCAVLGYEDGNSLCFSRPDAYISINNDEVARALARRTAIYDMDSEDEEWLTKLNSDIIVAFDHQAPLSEDSFELIIDALEKGFYCNPDDFVDEKAAVNLCIDLGRREVVEAVYGYWMRKRKQRRSSLLRVFQGQQAKKAPLIPKPVLRKRRSFKRQVSQFGRGKQPSLLQAMAAEHDALEEQNALRKVEAAKTAAKRSVESAILKRRRAQILMENADLAIYKAMVALRIAEGAQLVNSADVAVQHILD >Manes.07G042266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4870064:4870892:1 gene:Manes.07G042266.v8.1 transcript:Manes.07G042266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCNTSSDDVERYFNSLPIGYRFAPSDDELIRYYVLRKISNEPLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHRSLVPSGATARGMQ >Manes.11G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29190334:29191423:-1 gene:Manes.11G130700.v8.1 transcript:Manes.11G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQQRMMSHLFAITLAIILAGALPKNVMGQSVADLVTQDFFNGIINQAPAGCPGKNFYSRDTFLQALNSYSDFGKLGSPDDSKREVAAFFAHTTHETGFFCNIEEKDVPATENYCDTNFPQYPCTPGKRYFGRGPIQLSWNYNYGAAGQDNGFDGLNNPEIVATDPVVSFKTALWYWMKNVRPSVSQGFGATIRAINGPVECDGKEPAKVQARIDLYTRYCGQFGVAPGGNLQC >Manes.08G136800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37354842:37360817:1 gene:Manes.08G136800.v8.1 transcript:Manes.08G136800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQILSVKSQKKCHSIKSALTSAILEWLLICMLFVDAIISYLITKFACYYGLQTPCLLCLRLDHILGNRKPKYYWDLICGKHKLEISSLVLCHAHNNLVDVQGMCETCLFSFATINKSNSETYRLLVGKLGEGSSLGLNEDSLLGDHTSSPLCSCCNEPWIPRGYSQKLMQTKIVGPETSDFDGPLSGTVGYQENLKKVERTVSVRATHRSINSGFDHLSHVGYTELNVYSDNESEVQQSDDDNDVNAQNYEINPIKDIAVRCVQTEPHIITPLPDELASEKLIDAVASPEIPISVSNIQSDFSESQEVTSVSPTVARGNGLEEFDWQQADVKSDPSVLPELISLDALLPSSISRETALEVSEDDKHSFLDDVPPSLNAIETPVEASKESTLVSAEGVPSSSSGGETPLEASEKSKLISVDDVRQLSESKATPGQISTNSKLVSPIDVLPLSSAAETPVQGLKVNCIARNEEVWQTAMTDCEKICETRIAMTDCKEICKERTRSAIMTETTAETNSILAENGLQGPNLLDLGDAYKLAIGSRGRQLSGALAEQWIGKDSSRFSDDLKLLFSQLSATREQSINDASPRVPMSPRVSMSPKLSVNSDELKNPFATSGLGMQILQKRISLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDKKLLQALYKELEEERNASAIAANQTMAMITRLQEEKATLQMEALQQLRMMEEQAEYDMESLQKTNDLLTEREKEIQDLEAELEFYRNNVSSLSFLEDTKEHSDMKTKDIKEEHAEESSVEVGAISRRNSVTDQPDNRNNFEGRNMSAGDKYTGSVNNSLLDFEDERSYILQRMEKLERKLCLFSNNQPDLANGEWSGTLGETVEDMKEHNGNLYSDQSSLTHEGIDLYSLATEVSDLKWRLKALEADRDFLEHSINSIRNGEEGLRFVQEIASHLKELRRNGIRREQNIA >Manes.08G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37355600:37360510:1 gene:Manes.08G136800.v8.1 transcript:Manes.08G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQILSVKSQKKCHSIKSALTSAILEWLLICMLFVDAIISYLITKFACYYGLQTPCLLCLRLDHILGNRKPKYYWDLICGKHKLEISSLVLCHAHNNLVDVQGMCETCLFSFATINKSNSETYRLLVGKLGEGSSLGLNEDSLLGDHTSSPLCSCCNEPWIPRGYSQKLMQTKIVGPETSDFDGPLSGTVGYQENLKKVERTVSVRATHRSINSGFDHLSHVGYTELNVYSDNESEVQQSDDDNDVNAQNYEINPIKDIAVRCVQTEPHIITPLPDELASEKLIDAVASPEIPISVSNIQSDFSESQEVTSVSPTVARGNGLEEFDWQQADVKSDPSVLPELISLDALLPSSISRETALEVSEDDKHSFLDDVPPSLNAIETPVEASKESTLVSAEGVPSSSSGGETPLEASEKSKLISVDDVRQLSESKATPGQISTNSKLVSPIDVLPLSSAAETPVQGLKVNCIARNEEVWQTAMTDCEKICETRIAMTDCKEICKERTRSAIMTETTAETNSILAENGLQGPNLLDLGDAYKLAIGSRGRQLSGALAEQWIGKDSSRFSDDLKLLFSQLSATREQSINDASPRVPMSPRVSMSPKLSVNSDELKNPFATSGLGMQILQKRISLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDKKLLQALYKELEEERNASAIAANQTMAMITRLQEEKATLQMEALQQLRMMEEQAEYDMESLQKTNDLLTEREKEIQDLEAELEFYRNNVSSLSFLEDTKEHSDMKTKDIKEEHAEESSVEVGAISRRNSVTDQPDNRNNFEGRNMSAGDKYTGSVNNSLLDFEDERSYILQRMEKLERKLCLFSNNQPDLANGEWSGTLGETVEDMKEHNGNLYSDQSSLTHEGIDLYSLATEVSDLKWRLKALEADRDFLEHSINSIRNGEEGLRFVQEIASHLKELRRNGIRREQNIA >Manes.08G136800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37354939:37360510:1 gene:Manes.08G136800.v8.1 transcript:Manes.08G136800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQILSVKSQKKCHSIKSALTSAILEWLLICMLFVDAIISYLITKFACYYGLQTPCLLCLRLDHILGNRKPKYYWDLICGKHKLEISSLVLCHAHNNLVDVQGMCETCLFSFATINKSNSETYRLLVGKLGEGSSLGLNEDSLLGDHTSSPLCSCCNEPWIPRGYSQKLMQTKIVGPETSDFDGPLSGTVGYQENLKKVERTVSVRATHRSINSGFDHLSHVGYTELNVYSDNESEVQQSDDDNDVNAQNYEINPIKDIAVRCVQTEPHIITPLPDELASEKLIDAVASPEIPISVSNIQSDFSESQEVTSVSPTVARGNGLEEFDWQQADVKSDPSVLPELISLDALLPSSISRETALEVSEDDKHSFLDDVPPSLNAIETPVEASKESTLVSAEGVPSSSSGGETPLEASEKSKLISVDDVRQLSESKATPGQISTNSKLVSPIDVLPLSSAAETPVQGLKVNCIARNEEVWQTAMTDCEKICETRIAMTDCKEICKERTRSAIMTETTAETNSILAENGLQGPNLLDLGDAYKLAIGSRGRQLSGALAEQWIGKDSSRFSDDLKLLFSQLSATREQSINDASPRVPMSPRVSMSPKLSVNSDELKNPFATSGLGMQILQKRISLERNESGLSLDGSIVSEIEGESVVDRLKRQVEHDKKLLQALYKELEEERNASAIAANQTMAMITRLQEEKATLQMEALQQLRMMEEQAEYDMESLQKTNDLLTEREKEIQDLEAELEFYRNNVSSLSFLEDTKEHSDMKTKDIKEEHAEESSVEVGAISRRNSVTDQPDNRNNFEGRNMSAGDKYTGSVNNSLLDFEDERSYILQRMEKLERKLCLFSNNQPDLANGEWSGTLGETVEDMKEHNGNLYSDQSSLTHEGIDLYSLATEVSDLKWRLKALEADRDFLEHSINSIRNGEEGLRFVQEIASHLKELRRNGIRREQNIA >Manes.07G128200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33044532:33048543:1 gene:Manes.07G128200.v8.1 transcript:Manes.07G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSDSFDDSVPQSESNRPFEDDNGGYVDYSSQQFDSFSGGDEVFESHQPVYGVGEEFEPEENGKEFDGQFGGSDGPILPPPSEMGIEEGYALREWRRENAIRLEEKEKREKELLNQIILEADEYKVEFYRKRAITCENNKATNREKEKVFVANQEKFHAEADKNYWKAIAELIPNEVPAIEKKRGKKDQEKKPGIVVIQGPKPGKPTELSRMRQILIKLKHNTPPHLKHAPPPAAAPAKDAKKSDDAAGTASAKAAAAVTTTPETVAVA >Manes.04G009900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1295100:1299567:-1 gene:Manes.04G009900.v8.1 transcript:Manes.04G009900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCDRSRLMHFLSLYLIVYSCVCVFMHKRQSEAAGACMEDKSMTSADSQLSPVAMKNDPQVSQVEHQEAKEPDLGGGGGAAAAAAVAVSGGSSVTVGAGKRKRGRPKKFVMDSGTTSLPVPCPPPPPPPPPPDFTSSLSKTCEKRGRGRPLGSGKLQLLASLGDLAAETAGGNFIPLVARVDPGEDIISLISSFAEMGPRAVCVLSASGVVSKVVIHPPGSDGGVLQYEGLFEILTLSGSFAFDETSGERRKTGVLTVSLAKPNGQVFGGGVVGSLIAYGPIQLILGSFKQNVFNELKLKQLAEKSAVAAGSPLGDSETERSPFPNAGTTEAEGHCTTPTSALLETANDTEAGNTTTDDPENVVKPVEPISDPGNEDC >Manes.03G146500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27396423:27402822:-1 gene:Manes.03G146500.v8.1 transcript:Manes.03G146500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKNVPDWLNSSLWSTTAPSSSADDDRLHRYSSKHSTFADSTTSTESFDGLPVPVSPRASKIEEPPPRPSMPEIKIKDKDKDSRNSGSTSFNNSNNEDNGTSVTSPSAEDISIQAQFLTELSRKVVNIRELRRIACQGIPDGSGIRSTVWKLLLGYLPADRSLWSSELAKRRSQYKHFKEEFLINPSEITRRLEDCENDEAKSESSGMLSRSQITHGEHPLSLGKTSVWNQFFQDTEIMEQIDRDVKRTHPDMHFFSGDSSFAKSNQEALKNILIVFAKLNPGIRYVQGMNEILAPLFYVFRNDPDEEMAVFAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRSTITKLSQLLKEHDEELWRHLEVTTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPQETLQRICCAMLILVRRRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLRAQPSG >Manes.08G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7330030:7332561:-1 gene:Manes.08G061000.v8.1 transcript:Manes.08G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDNSPENSHLYRFLAENGVFNVGPYGFPAMQSLCSSSSSSYHNYPLEGSVITDMTPQDRALAALKNHKEAEKRRRERINSHLDKLRNLLPCNSKTDKASLLAKVVQRVRELKQQTSQIPGLETFPSETDEITVLSGECSSDGQLIFKASLCCEDRSDLLPDLIEILKSLHLKTLRAEMVTIGGRIRNVLIVAAEKDHSIESVHFLQTALKSLLERSNSSDRSKRRRVLDHKLIIQ >Manes.05G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10958539:10966412:1 gene:Manes.05G110600.v8.1 transcript:Manes.05G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGVIFTAIAVVLAILQEASSHGIQPLSRISVHTTTFALNDNAYVKASPAILGLKGQNTEWVTLEYASPNASNADWIGVFSPANFSASTCNPESPNSRVFPPLLCTAPIKYQYANYSSPGYKNTGKGSLRLQLINQRSDFAFALFSGGLANPKLVAVSNSVAFANPKAPVYPRLAQGKTWNEMTITWTSGYGISEAQPFVEWGPEGGDRVRSPAGTLTFSRNSMCGEPARTVGWRDPGFIHTSFLKELWPNVVYSYKLGHKLFNGAYIWSQEYQFRASPYPGQSSLQRVVIFGDMGKDEADGSNEYNNFQSGSLNTTKQLIQDLKNIDIVFHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIASGNHERDWPGTGSFYGNLDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASADRQKQPWLIFLAHRVLGYSSATWYAEEGSFEEPMGRESLQRLWQKYKVDIAIYGHVHNYERTCPIYQNICTNEERHHYKGTLNGTIHVVAGGGGASLAEFTTINTTWSFFKDYDYGFVKLTAFDHSNLLFEYKKSRDGKVYDSFKISRDYIDILACTVDSCPSTTLAS >Manes.03G142500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27065717:27069348:-1 gene:Manes.03G142500.v8.1 transcript:Manes.03G142500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHRKNLGSISPMMVGHNLRFLLSWKHVSLQDIGYLIAKNSTWDHVHLLLILSLSLVNMIPVSLRIWTPSSVLLQKLYEEMEQRIEAATKLGGIPEEASLQHKGFSQWDPYSSPSNHDTVLQILIDGKDPNATDIDGCRLPTLVYLAREKRPQHHHNFKAGSMNALIRVSSKISNGQIILNLDCDMYSNNSQSVRDALCFFMDEENGHEIAFVQFPQNFENVTKNELYGSMRVIGNVEFHGVDGFGGPLYVGTGCFHRRDALCGRKFTKDSKIEWKRDNDHKRLQSTQELEDETRPLASCTYEQNTQWGDQMGLKYGCPVEDVITGLSIQCKGWKSAFFNPEREAFLGVTGTTLPQIIVQHKRWSEGDFQILLSKYSPLWYGFGKISIGLQLGYCSYCLWAPNCLATLYYTIIPSLYLLKGTSLFPQVSSPWFLPFAYVISAKYIYSLLEFMWSGGTILGWWNEQRIWLYKRTTSYLFAFIDTILLKLGFTDSTFIISAKVVDEDVFERYEKEIMEFGTSSPMFILATLGMLNAFCFIGVVKRVIMVDDIYRIYETMPLQILLCGVLVLVNWPLYQGLFLRKDKGKLPSSIAVQSFVLALAVCTSFSVMS >Manes.03G142500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27065717:27069348:-1 gene:Manes.03G142500.v8.1 transcript:Manes.03G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDHQHLPLFETRRARGRIIYRVFAATVFTGICLIWAYRLSYIPRKGEDGRWVWLGLLAAELWFGLYWVVTQALRWNQVYRLTFKDRLSHRYENELPGVDIFVCTADPTIEPPVMVINTVLSVMAYDYPSEKLGIYLSDDGGSQLTFFALLEACKFARYWIPYCKKFNVGPRSPAAYFVSVSGQHDSGLSQDLDAIKKLYEEMEQRIEAATKLGGIPEEASLQHKGFSQWDPYSSPSNHDTVLQILIDGKDPNATDIDGCRLPTLVYLAREKRPQHHHNFKAGSMNALIRVSSKISNGQIILNLDCDMYSNNSQSVRDALCFFMDEENGHEIAFVQFPQNFENVTKNELYGSMRVIGNVEFHGVDGFGGPLYVGTGCFHRRDALCGRKFTKDSKIEWKRDNDHKRLQSTQELEDETRPLASCTYEQNTQWGDQMGLKYGCPVEDVITGLSIQCKGWKSAFFNPEREAFLGVTGTTLPQIIVQHKRWSEGDFQILLSKYSPLWYGFGKISIGLQLGYCSYCLWAPNCLATLYYTIIPSLYLLKGTSLFPQVSSPWFLPFAYVISAKYIYSLLEFMWSGGTILGWWNEQRIWLYKRTTSYLFAFIDTILLKLGFTDSTFIISAKVVDEDVFERYEKEIMEFGTSSPMFILATLGMLNAFCFIGVVKRVIMVDDIYRIYETMPLQILLCGVLVLVNWPLYQGLFLRKDKGKLPSSIAVQSFVLALAVCTSFSVMS >Manes.02G167300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13132226:13134460:1 gene:Manes.02G167300.v8.1 transcript:Manes.02G167300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLFSSFFFFFFFIIYSICSISASSSCPIDLSYVQTIPWDSSGCRKPDRGHCCLTLLSLFGMGIAQHLRETSMFQLPDANASSSCLSDFQTRLSAMSIDPSLVPLCFNNSNQFVGNASSCVGIFTTQDWTEKVGPITPLQTSCKGDVSGLTQCSSCLDAGQKVTSQLTSLDPDANSKCFYFTCLYAAAIVNELGPMDPKTAACMLGLPLTGSATNNTSKSSSKDKSLKVVFGFTGAAVGSLLAGGLILWYRRWHKRKKLNASHEQYVSSFRTGVLPNSGAKWFNLSELERATKGFSKRNFIGQGTYGVVYKGILADGTTVAVKQMQDLDSQGDEEFSNEVEIISKIRHRNLLSLRGCCVTSDILEGKRRYLVYDFMSNGSLSDHLSGDHSRTQLSWPQRKNILLDVAKGLAYLHYGLKPAIYHRDIKTTNILLDMEMKAKVADFGLAKQSLEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGIVILEVMSGRKVLDTSNSSFLLITDWAWTLAKSGKIEKIFDESIREEGPKGVMERFVHVGILCAHVMVAFRPTIAEALRMLEGDIDIPQLPDRPMPLGHEAFRSSLLCSNSSSERSRTTSSSSKSLV >Manes.14G151932.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17474831:17475391:-1 gene:Manes.14G151932.v8.1 transcript:Manes.14G151932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDHWIEEKLDRVLVSNGWRYKFPYAQAYTLDITTSDHLLIFQVRSMVTCDHARRFRFENYWLRFPQCKEIVLNNWNSEVIMNLEDQLKVCGSALYHWGIDLKEIHRKELEECQNIIKAQHSSRLGQDRNAIHEAYQKFFQLLHDREVQWKQRAKVFWLRERDANTRFFHAMAIDRKRKNNVLRF >Manes.15G006300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:676767:682364:1 gene:Manes.15G006300.v8.1 transcript:Manes.15G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKYHTHPFLICSFFFFLVCIPFYAVESRAPFACDPSNGLTRTLKFCRVNVPIHVRVRDLIGRLTLQEKIRLLVNNAAAVPRLGIQGYEWWSEALHGVSNVGPGVKFGGAFPGATSFPQVITTAASFNESLWEQIGRVVSDEARAMYNGGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPVLAGKYAASYVRGLQSMTGIKLKVAACCKHYTAYDLDNWNGVDRYHFNAVVSKQDLEDTYDVPFKACVVEGKAASVMCSYNQVNGKPTCADPDLLKNTIRGEWRLNGYIVSDCDSVGVLYDNQHYTSTPEEAAAATIKAGLDLDCGPFLAIHTQNAINKRLLREEDVNLALANTITVQMRLGMFDGEPSAHPYGNLGPRDVCTPPHQQLALEAARQGIVLLQNRGGTLPLSPTRHRNIAVIGPNSDVTVTMIGNYAGIACSYTTPLQGIGRYARTIHQPGCSDVACNGNQNFGLAEVAARHADATVLVMGLDQSIEAEFRDRVGLLLPGYQQELVSRVARASRGPIVLVLMSGGPIDVSFAKNDPRIGAILWVGYPGQAGGTAIADVLFGTTNPGGKLPMTWYPQSYLAQVPMTNMGMRPDPSKGYPGRTYRFYKGPVVFPFGHGLSYTSFSHSLSQAPKQLSLPITSLKALKNTTISSKAIRVSHTNCDSLFLGLDIDVKNTGTMDGTHTLMVFSSPPAGKWSSNKQLIGFEKVHLVAGSQKQVRINIHACKHLSVVDQFGIRRIPVGEHDLHIGDLKHSISLQANLEEIKV >Manes.07G067800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17052129:17054768:1 gene:Manes.07G067800.v8.1 transcript:Manes.07G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPCSGKSTAALCLAEALKDSESKLNVRVVNDASFHLDRNQSYANMTAEKNLRGVLRSEVDRSVSKDNIIIVDSLNSIKGYRYELWCLARAAGIRYCVLFCDVEETQCQKWNEQRREKGEAAYDNAIYDDLVRRFETPDKRNRWDSPLFVLWPSRDGIHKSSAAIVDAISYLTKKVDSKSRDVKILQPTIATQSTRFSEANSLYELDRATQEVINVIVEAQSQAIGGPINGVSLGQDLPTLNISRSVGLPELRRLRRTFIKLTGQTSLSGPPPPSDAGSAKRMFVDYLNRELGTA >Manes.09G127000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32998936:33003203:1 gene:Manes.09G127000.v8.1 transcript:Manes.09G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIASLELEPSKPEVSPPHNSDAHIFRSKLPDIPISNHLSLHAYCFENLLSFADRPCLISGSTGKTYSFAETHLVSQKCAAGLSNLGINKGDVVMILLQNCPEFVFSFMGASMIGAVTTTANPFYTSNEIFKQFTSSRAKLIITQSQYVDKLRDSQENQPKLGQDFNVITIDDPPENCLHFTVLSEAKESEIPDVTIHPDDPVALPFSSGTTGLPKGVILTHKSLITSVAQQVDGENPNLYLKQEDVVLCVLPLFHIYSLNSVLLCSLRAGAAVLFMQKFEIGALLELIQKHKVSVAAVVPPLVLALAKNPMVAGFDLSSIRVVLSGAAPLGKELEDALRSRVPQAILGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGQQIMKGYLNDLEATANTIDVEGWLHTGDIGYVDDDEEVFIVDRVKEIIKFKGFQVPPAELEALLVNHPSIADAAVVPQKDEVAGEAPVAFVVPSNGFELTEEAVKDYIAKQVVFYKKLHKVYFVHAIPKSPSGKILRKDIKAKLASTSSVS >Manes.14G138300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12118082:12119572:-1 gene:Manes.14G138300.v8.1 transcript:Manes.14G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLRGVESACRRRFHHSSGDSPDGAAASASGSTRSSFCLYTSNHEISHLSISSLQRSIGNQAYEDDEMGGLTRAARQAKERLDERLRTQRNSASKRHDTRRSVRVAEGSSTRVGELQAKMLSSKKNNGSNKKFSWAKLSCKAAE >Manes.14G138300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12118082:12119533:-1 gene:Manes.14G138300.v8.1 transcript:Manes.14G138300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLRGVESACRRRFHHSSGDSPDGAAASASGSTRSSFCLYTSNHEISHLSISSLQRSIGNQAYEDDEMGGLTRAARQAKERLDERLRTQRNSASKRHDTRRSVRAKMLSSKKNNGSNKKFSWAKLSCKAAE >Manes.14G138300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12118082:12127748:-1 gene:Manes.14G138300.v8.1 transcript:Manes.14G138300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLRGVESACRRRFHHSSGDSPDGAAASASGSTRSSFCLYTSNHEISHLSISSLQRSIGNQAYEDDEMGGLTRAARQAKERLDERLRTQRNSASKRHDTRRSVRVAEGSSTRVGELQAKMLSSKKNNGSNKKFSWAKLSCKAAE >Manes.12G154000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36170617:36175569:-1 gene:Manes.12G154000.v8.1 transcript:Manes.12G154000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLICISWLPPIIQMVAIMLLEGTRQLLIRRRLYVESKAISPAKQTHAQILVNDYLANVTLQTDLLLAYSKSGLLQDARKVFDRMFDRNMHSWNIMIFSYVQNSLFSDAIGVFNKFLKRGFRPDHYTLPPLFKASLGVGDCYLGWMLHAWVIRLGFDGYVVVGSSVMDFYVKHGALVDAKRVFSDMLWKDTGAWNLMISGFGKAGRCAEALSLFRDMIAQGVNVDVMTIPSILNACVGGGDLMKAKEIHGQVVKSILFNEDVAIGNSLIDAYAKCGGLGDSEKVFQNMRNLNVVTWTTMISSYGVHGKGEKSLDLFKKMKDFGFKPNPVTLTAVLASCSRSGLIDQSRAIFCSIQSDYGFEPCIEHYACMVDLLGRYGHLEEALGLVQNMKLAATASVWGALLAGCVMHKNVKVGEIAARHLFELEPINSSNYIALSCIYESQNIWDGLTRIRSNMRELGLVKTPGCSWITIAGMIHKFYQGDHSHSSTKMMCEALDDMIRGPMLLNVFEQEH >Manes.16G114900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988616:31993996:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFILSSQSRITTVSFITRVRFSPPKSQKLIFMSTPPHFCLRLPPNVTPTRSFCCKYSLVQDQVDMVKYKEAFSKQMALAGLKRHHRIAIGVSGGPDSVALCFLTAAWKTERPRGVGQSGGFIDGLLAIVVDHGLRAESKEEAHIVSRRVSEMGIRCEIACCSWSDGRPKQGHLQEKARDMRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988588:31993240:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHQHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988616:31993996:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFILSSQSRITTVSFITRVRFSPPKSQKLIFMSTPPHFCLRLPPNVTPTRSFCCKYSLVQDQVDMVKYKEAFSKQMALAGLKRHHRIAIGVSGGPDSVALCFLTAAWKTERPRGVGQSGGFIDGLLAIVVDHGLRAESKEEAHIVSRRVSEMGIRCEIACCSWSDGRPKQGHLQEKARDMRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHQHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988616:31993996:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHQHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988588:31992801:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHQHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988616:31993240:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988588:31993229:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988503:31994048:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFILSSQSRITTVSFITRVRFSPPKSQKLIFMSTPPHFCLRLPPNVTPTRSFCCKYSLVQDQVDMVKYKEAFSKQMALAGLKRHHRIAIGVSGGPDSVALCFLTAAWKTERPRGVGQSGGFIDGLLAIVVDHGLRAESKEEAHIVSRRVSEMGIRCEIACCSWSDGRPKQGHLQEKARDMRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988616:31993996:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFILSSQSRITTVSFITRVRFSPPKSQKLIFMSTPPHFCLRLPPNVTPTRSFCCKYSLVQDQVDMVKYKEAFSKQMALAGLKRHHRIAIGVSGGPDSVALCFLTAAWKTERPRGVGQSGGFIDGLLAIVVDHGLRAESKEEAHIVSRRVSEMGIRCEIACCSWSDGRPKQGHLQEKARDMRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988588:31992801:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988588:31992801:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988503:31994048:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFILSSQSRITTVSFITRVRFSPPKSQKLIFMSTPPHFCLRLPPNVTPTRSFCCKYSLVQDQVDMVKYKEAFSKQMALAGLKRHHRIAIGVSGGPDSVALCFLTAAWKTERPRGVGQSGGFIDGLLAIVVDHGLRAESKEEAHIVSRRVSEMGIRCEIACCSWSDGRPKQGHLQEKARDMRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHQHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988616:31993996:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFILSSQSRITTVSFITRVRFSPPKSQKLIFMSTPPHFCLRLPPNVTPTRSFCCKYSLVQDQVDMVKYKEAFSKQMALAGLKRHHRIAIGVSGGPDSVALCFLTAAWKTERPRGVGQSGGFIDGLLAIVVDHGLRAESKEEAHIVSRRVSEMGIRCEIACCSWSDGRPKQGHLQEKARDMRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHQHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.16G114900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31988616:31993240:-1 gene:Manes.16G114900.v8.1 transcript:Manes.16G114900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQKLQNICMQHQISVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTYQTFSSSMHFYDEDSKNDGILLVRPLLHFSKEDMYKVCKVGGQDWVEDPTNQSLLYARNRIRMSLRSLSSYTFKSELQAVISACRKTRAFVDQFCTKLMNQAVTIVHQHGYAIIDLEILNPSKMADLCLTKFMTLVLQFISQRQRPVRGSTSKLLLEYIRTFPCKTSLTAAGCYLCPATRSKGTKILVCCSVDCPFPSKMESTFIHSDAEKTRSMPCELEQIITDGKLYSDHFVPDASDVYFLDSTSESVLTEAKRLNIISDSTYRNILLLQKDEIEYFKARIEDDVECESKDEVENITTCSNEPLRPGQICYFMSRFIVRWSLSKNIPVIPIPEKSYCDWVLEGEGWHHHPWSCTLSHDMVVEVRHMIESDWLYLAKLLNHASLDNVHQQRICTACESEQSSVNRNLYLVYLRFSAERALKLLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKNCPCLTVSCIFKPRVPLGGGHSSFMQFL >Manes.17G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30353160:30354680:-1 gene:Manes.17G095800.v8.1 transcript:Manes.17G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVLIRSCSSPLLVEAKDFRRSNASGRRTVSRLIITSSSSSSRSSPNIPKLEPFSRTRLERAVKQPPLIEKAENELSDYCSTLEGDDSYSCWRAYFELKDLERESSKEDVEKLILQVGGVKSLIGCLHGISSMHKGRKNGFGSMTPSTTEKERERRCPIPDGLPKSKEELEEEERARMPDSPYTRLLRAKGRFPAWYSPTPDHETD >Manes.01G227700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39271970:39279728:-1 gene:Manes.01G227700.v8.1 transcript:Manes.01G227700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDVPLDDKAKRMRDLLSSFYSPDPATSSGNSSNFDSLDAINTTSFDADQYMNLLVQKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNIVGMEANMEQLLEKIMSVQSRSDGVNTSLFEKREHIEKLHHTRNLLRKVQFIYDLPARLGKCIKSEAYGDAVRFYTGAMPIFKAYGDSSFQDCKQASEEAMSTVIKILQGKLFSDTESIQTRAEAAVLLKQLDFPVDSLKAKLFEKLEQSLEDLQLKTEEITNVLENSNDPTNLATTNDASVHEFVEAIRAYRVIFPNSEKQIIKLAQDLITKHFEITQQYIKEHISVSKFLGVLRIIWRDVLLMDEVLNEAFLPDYSLEAAQGVVKQYVASTFSHLLRDISDGLTVNVQTKRKEGEEELPLQVALETSKNAVLKGSMDVLVDLHYLLDDNLGFLLKLRDSIIDWVQEGFQDFFRALDKQFLLLSGKNKSASQEQGLAEGIVVDKVLAGLVLVLAQLSVFIEQTVIPKITEEIATSFSGGGVRSFENGPAFVPGEICRIFRSDGEKFLHHYINMKTQRVSVLLKKRFKAPNWVKHKEPREVHMFVDLFLQELEAIGAEVKQVLPQGGLRRHRRSESNGSTTSSRSNQLRDDRMTRTNTQRARSQLLETHLAKLFKQKVEIFTKTEFTQVLD >Manes.01G227700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39268413:39279728:-1 gene:Manes.01G227700.v8.1 transcript:Manes.01G227700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDVPLDDKAKRMRDLLSSFYSPDPATSSGNSSNFDSLDAINTTSFDADQYMNLLVQKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNIVGMEANMEQLLEKIMSVQSRSDGVNTSLFEKREHIEKLHHTRNLLRKVQFIYDLPARLGKCIKSEAYGDAVRFYTGAMPIFKAYGDSSFQDCKQASEEAMSTVIKILQGKLFSDTESIQTRAEAAVLLKQLDFPVDSLKAKLFEKLEQSLEDLQLKTEEITNVLENSNDPTNLATTNDASVHEFVEAIRAYRVIFPNSEKQIIKLAQDLITKHFEITQQYIKEHISVSKFLGVLRIIWRDVLLMDEVLNEAFLPDYSLEAAQGVVKQYVASTFSHLLRDISDGLTVNVQTKRKEGEEELPLQVALETSKNAVLKGSMDVLVDLHYLLDDNLGFLLKLRDSIIDWVQEGFQDFFRALDKQFLLLSGKNKSASQEQGLAEGIVVDKVLAGLVLVLAQLSVFIEQTVIPKITEEIATSFSGGGVRSFENGPAFVPGEICRIFRSDGEKFLHHYINMKTQRVSVLLKKRFKAPNWVKHKEPREVHMFVDLFLQELEAIGAEVKQVLPQGGLRRHRRSESNGSTTSSRSNQLRDDRMTRTNTQRARSQLLETHLAKLFKQKVEIFTKTEFTQESVVTTIVKFCLKSLLEFVRLQTFNRSGFQQNQLDIQFLRAPLKETVEDEAAIDFLLDEVIVGTSERCLDPILLEPPILDKLIQAKLAKKREENAITP >Manes.01G227700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39272908:39279728:-1 gene:Manes.01G227700.v8.1 transcript:Manes.01G227700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDVPLDDKAKRMRDLLSSFYSPDPATSSGNSSNFDSLDAINTTSFDADQYMNLLVQKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKNNIVGMEANMEQLLEKIMSVQSRSDGVNTSLFEKREHIEKLHHTRNLLRKVQFIYDLPARLGKCIKSEAYGDAVRFYTGAMPIFKAYGDSSFQDCKQASEEAMSTVIKILQGKLFSDTESIQTRAEAAVLLKQLDFPVDSLKAKLFEKLEQSLEDLQLKTEEITNVLENSNDPTNLATTNDASVHEFVEAIRAYRVIFPNSEKQIIKLAQDLITKHFEITQQYIKEHISVSKFLGVLRIIWRDVLLMDEVLNEAFLPDYSLEAAQGVVKQYVASTFSHLLRDISDGLTVNVQTKRKEGEEELPLQVALETSKNAVLKGSMDVLVDLHYLLDDNLGFLLKLRDSIIDWVQEGFQDFFRALDKQFLLLSGKNKSASQEQGLAEGIVVDKVLAGLVLVLAQLSVFIEQTVIPKITEEIATSFSGGGVRSFENGPAFVPGEICRIFRSDGEKFLHHYINMKTQRVSVLLKKRFKAPNWVKHKEPREVHMFVDLFLQELEAIGAEVKQVLPQGGLRRHRRSESNGSTTSSRSNQLRDDRMTRTNTQRARSQLLETHLAKLFKQKVEIFTKTEFTQGVQSSSKASLGYISCGRNKMLA >Manes.15G192940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32311241:32313454:1 gene:Manes.15G192940.v8.1 transcript:Manes.15G192940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLEVTIYVSSCGVCFFFLSFLFNLFTKLWRTPIRIQSMMRSQGIQGPAYKFYYGNTREIINMATNDFSNPKKISHQNVFPTVVPHIYSWVNLYGMSYLSWHGPEAHLVITEPNLVKEIFNNKDGAFPKPEAEEYVKKLLGDGIVTTRGEKWFNLRKISNHAFHADCLKGMISAMIASVEMMLGRWKRGGDKEIDAFQEFKLLTSEIISRTAFGSSYLEGQHIFDMLMSIAVIINRNKYKIRIPGISNLVRSADDVESEKLENGIRDSIINMTKRREEAAKSSDGYGSDFLGLLLKAHHEDNSDSRISVEDLIDECKTFYVAGHETTSSSLTWTLLLLAMHTDWQDKARNEVIELFGLQNPTPDDLPRLKIMHMIINEALRLYPPVVNIPRQVKRQVRLGKLMLPENMIIEIPVLAVHHNPQIWGEDVHVFKPERFAEGVAKATNNNIGAYLPFGLGPRGCVGSNFAVTETKIALSMILQHYELRLSPTYVHLPVPLLTMCPQYGLQIMLQPLHRG >Manes.09G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7396019:7400102:1 gene:Manes.09G040400.v8.1 transcript:Manes.09G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVKEEIQESIDKLLSTFHQWERASSDPEDKMQLTKELVAGCDSIEWQVDELDKTIAVAARDPSWYGIDEVELEKRKRWTSTARVQVGNVKKAIIAGKEVISNGTTSINGMHRELMRLQNSRQTDRSNPYAQDNDNFIQSESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIGDLGMEMDSTSNRLDFVQKKVAMVMKKASAKGQIMMILFLIVLFIILFVLVFLT >Manes.01G236800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39878227:39894264:-1 gene:Manes.01G236800.v8.1 transcript:Manes.01G236800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKELEQVMKLRGGSVLGKKTILKSDHFPGCQNKRLTPQIDGAPNYRQADSLPVHGVAIPTTEGIRNVLKHIGAQKDGKRAQVLWFNLREEPVVYVNGRPFVLRDVERPFSNLEYTGINRSRVEQMEARLKEDILLEAARYGNKILVTDELPDGQMVDQWEPVSRDSVKTPLEANEELQLEGYLFDYERVPITDEKSPEEQDFDILVDKIYRANLNTEIIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRSNSIGRVFDAGSTVADNLPNSEEAIRRGEYAVIRSLTRVLEGGVEGKRQVDKVIDKCASMQNLREAIANYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERDALRSSSFVHSSFADWMRARPELYSIIRRLLRRDPMGALGYASLKPSLMKIAESTDGRPHEMGVVAALRNGEVLGSLTVLKSDHCPGCQNASLPERVEGAPNFREVPGFPVYGVANPTIDGILSVIQRIGSSKEGCPIFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAERYGGAIMVIHETDDGQIFDAWEHVNSDSVKTPLEVFKCLEADGFPIKYARVPITDGKAPKSSDFDTLAANIASASKDTSFVFNCQMGRGRTTTGTVIACLLKLRIDYGRPIRILADDVTREEVDSGSSSGEETGDNAASSPSSITRVRTGTEQSRAFGIDDILLLWKITRLFENGVECREALDAAIDRCSALQNIRQAVLHYRKVVNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGELRMTFKSWLHQRPEVQAMKWSIRLRPGRFFTIPEELRAPQDSQHGDAVMEATIKARNGSVLGTGSILKMYFFPGQRTSSHIQIHGAPHVYKVDGYPVYSMATPTITGAKEMLAYLGAKPKVEGSFAHKVILTDLREEAVVYINGTPFVLRELHKPVDTLKHVGITGPVVEHMEARLKEDIVSEVRESGGRMLLHREEYNPATNQSSVIGYWENIFADDMKTPAEVYAALRDEGYDITYRRIPLTREREALASDVDAIQYCADDCEGSYLFVSHTGFGGVAYAMAVICIRLGAEANFVAKIPQTLVGTESFSVHEGSLPSQSSDEETLKMGDYRDILSLTRVLTYGPKSKADVDIIIDKCGGAGHLRDDILYYSKELSKYPDDDDEQRACIMDMGIKALRRYFFLITFRSYLYCAKPTETRFASWMSARPELGHLCNNLRIDK >Manes.13G115650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32234641:32257246:-1 gene:Manes.13G115650.v8.1 transcript:Manes.13G115650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPSSIQLTTFFFFFFFQLDAATVHGVSADSTNTLLDGARPAMVLPLFLSPSNSSKTLSNLHRHLQGSNASKRPYARMGLYDDLLLNGYYTTRLWIGTPPQKFAVIVDTGSTITYVPCSSCEQCGKHQDPKFQPELSVSYQPVKCNIDCTCDDENVQCVYDRQYAEMSASSGVLGEDIISFGNQSELAPQRAVFGCENLETGDIYSQHADGIMGLGRGDLSIVDQLVEKGVISDSFSLCYGGMDIGGGAMVLGGISPPSDMVFTDSDPVRSPYYNIDLKEIHVAGKRLPLNPSVFDGRHGTVLDSGTTYAYLPETAFAAFKDAFMKELNALKQIRGPDPNYNDICFSGAPSDVSQLSNTFPPIDMVFGNGKLLSLSPENYLFRHSKVRGAYCLGVFQNGHDPTTLLGGIIARNTLVMYDRENSKMGFWKTNCSELWERLHRTGAPAPSSSASNRTNSTIGMPPTLAPSNQLQYILPGQYAESHF >Manes.13G115650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32234641:32257246:-1 gene:Manes.13G115650.v8.1 transcript:Manes.13G115650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPSSIQLTTFFFFFFFQLDAATVHGVSADSTNTLLDGARPAMVLPLFLSPSNSSKTLSNLHRHLQGSNASKRPYARMGLYDDLLLNGYYTTRLWIGTPPQKFAVIVDTGSTITYVPCSSCEQCGKHQDPKFQPELSVSYQPVKCNIDCTCDDENVQCVYDRQYAEMSASSGVLGEDIISFGNQSELAPQRAVFGCENLETGDIYSQHADGIMGLGRGDLSIVDQLVEKGVISDSFSLCYGGMDIGGGAMVLGGISPPSDMVFTDSDPVRSPYYNIDLKEIHVAGKRLPLNPSVFDGRHGTVLDSGTTYAYLPETAFAAFKDAFMKELNALKQIRGPDPNYNDICFSGAPSDVSQLSNTFPPIDMVFGNGKLLSLSPENYLFRHSKVRGAYCLGVFQNGHDPTTLLGGIIARNTLVMYDRENSKMGFWKTNCSELWERLHRTGAPAPSSSASNRTNSTIGMPPTLAPSNQLQYILPDELQIGQITFEISMNVNSSDLEPHITELIGFISQGLGVNALQVSMLNLTSKGNHSHLVWSIFPPGSADHFSNATALSIISRVAEHQMRLPDAFGSYELVQWKIEPPANRTWWNQHYLLVVLAIIMLIVGLSASGIWFTWSRGRQTSNVYKPVGAPAPEQELQPL >Manes.17G118800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33143591:33145312:1 gene:Manes.17G118800.v8.1 transcript:Manes.17G118800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKASLLFLLACFLLATTRVMSNVEVIIIEAPASQYHAFAPLRHAFAPQHHAPQYHDFAPQHPAAPMTPNAPQKAPCPSQKAPAPKHAPAEALQPSKNAPAHAPLPGKVPLVHYNVPSQETSPGDKRRVAVSISANNGARPVLRRGRP >Manes.17G118800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33143838:33145312:1 gene:Manes.17G118800.v8.1 transcript:Manes.17G118800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKASLLFLLACFLLATTRVMSNVEVIIIEAPTHSLAPQRQAPASQYHAFAPLRHAFAPQHHAPQYHDFAPQHPAAPMTPNAPQKAPCPSQKAPAPKHAPAEALQPSKNAPAHAPLPGKVPLVHYNVPSQETSPGDKRRVAVSISANNGARPVLRRGRP >Manes.14G040200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3431505:3436816:1 gene:Manes.14G040200.v8.1 transcript:Manes.14G040200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLVQREQSISAVITSVANMVPQKYLLEQWAVVDVDGGKLLNEDNDLRSVLQYLLDDVSDFLSMQCAKKGEAGDVKEEKGCLSLLKAFIDYITEREKENFRSRRHDNENSVTLTTIHQSKGLEWDIVFIVKANESEIPLLHESNGATKENGTSIEEERRLLYVAMTRARKKLFILYVMMDSNWQMLQPSRFLKEIPDHLREIQAEVCLRDLQTKPQDIPKHPVNITTNLPGEKNPSEVDMEPNDSLNIQINNASKEITEPVDACNGNIFLKRFSVEDRSVVSHLFHKWAKKQAFQNPKRLIDKVGFVIDERLRIKKSKHKDVLHALKPCLSSEEAFQYAEYVLRWEQIPADERAHLMREKQVTMLIIHFPFQEYFQKLRIENSMSTSGQHLNRLGFCRIWDALWSPYHVSMPHV >Manes.17G016002.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6291392:6296701:1 gene:Manes.17G016002.v8.1 transcript:Manes.17G016002.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREVLPPNQDLTRAATATASAPHGIEVAVEFKPVEHPIEPFDNDQPIQCPLPEPSILNDGRLWKERVSATVRRRGDLPLMKESGGLESESPSPSPSPSPGTRPRPIQSNRMILPSMSAPEHNLIKLLEECNASGI >Manes.17G016002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6291392:6296701:1 gene:Manes.17G016002.v8.1 transcript:Manes.17G016002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFSRFSVGRVGLRRTQSAFDEREVLPPNQDLTRAATATASAPHGIEVAVEFKPVEHPIEPFDNDQPIQCPLPEPSILNDGRLWKERVSATVRRRGDLPLMKESGGLESESPSPSPSPSPGTRPRPIQSNRMILPSMSAPEHNLIKLLEECNASGI >Manes.01G115000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31229568:31230570:1 gene:Manes.01G115000.v8.1 transcript:Manes.01G115000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDYLSNFCTVTSTRTKRKPMQTVEIKVKMDCDGCERRVKNSVSSMKGVKTVEVNRKQSKVVVSGYVDPNKVLKRVKSTGKRAEFWPYIPQHIVYYPYASGVYDKRAPPGHVRNAVQAFPASNAPEDNIVSIFSDDNVNACSVM >Manes.06G085900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22167932:22180591:1 gene:Manes.06G085900.v8.1 transcript:Manes.06G085900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSQGQHQSEVVEGVWPALGNNLWAGSQRQIGTPFISNLKNHSVPQSADSERGHGEQSSNVQHGVNFSQSILRTEFARNQSQNQQPTLNGYMHGNQAFHTRQNDANFLGMDTEPDRHNLTSRGFSILDVPLGSAPELQRNSVRMEFNESPVNYDFLGGQQQMSSHHPGMLQSLPRQQSGIRDIQLLQQEVMLKQVQEIQRQQQHQKQQLQQQEVRHMNSVNQVSPFAKQAACSHPLTMLNGIPIHDASNYSWQPELMAGNTNWLQQRGMSPAMQGSSSGLMFSPEHGQASRLTGMIPQQVDQSLYGVPISGMRISQSQYSPVQMDMSTVQQISDSSNSFSGNQYAGFQVHTSMLDGTLASRQGLGTADSQGLDGGINLENMQQLDPHQSSGPGHDFHRRQDLGGLSETSLEKTVMEVTPSKNVATLDPTEERILFGSDDNLWEAFGRGTNVGSGGVNMLDGTDFLSAFPSVQSGSWSALMQSAVAETSSADIGLQERGLTFRVSEPSLENQRAPIVNDSGKQQSSGIDNRLQAASMPNARPYGMCDGTNSSINYNNLPGVKQSGVNTLHEQSERLHAGSSERLVQSFSGEGSKWLDQNPFQKPVSEGSHNYGKGAQASDIETNSKSILGSWTNEQSISSYNTGTQPGSRLHGWKLVDSVPPGTGAVLKNQGNENALQASQSNGLKTAMFEVMGYGAGTWKTDCASNPSFELERSKSTTGSPQVNREDSDLNNVAALPDSSTLRANQESSQQLPNGNNIDIWNNVASSVNTEGRGFLRKYQPSMDKRHKTLESSGHNSFGNGAVETHDYPDTKESKSDTFHNVSHHTSTNSARGNTWLDANGSPAPSGGKLKSSMHIGRKPSGVRKFQYHPMGDLDDDVEPSYGTKPVTHPHSMLMQIPPGLKGHDQEDNEKSKLSTQISRSSTEFEKLHFLGQGETNNLDGINIKNSVPGSAPSTSTSFDRAVYNHTSSKATPSSQNMLELLHKVDQSREHGNATHFSSSNCNQSSELHDLKNSDRLVHLQQNQASTSQGFGLQLAPPSQSMPSQDHDLSSQTNNSLSSTCVPSEVGEKNHKWLASTTSVQGLPISCETSQEELRNNIHGISGYTGKNAQGNFCTALSPGFPYSRSHSQNPHMREVGSRATTTQSGNAYFDRFASQSKQASESFERVRSGQAALASLPDMSRITSHNDVNSSDEMHKFSNNNHYDAKDCAQQFPVLEAVPAAQGSNRSGKSQEYASAKMSAPVWTSVSTQQPSFRMQDFKSSSDMLKSNIQLNNESEATSSIPQKLEGHGVQMVKKDPSESVACPISSHGFVGKEQAAKGDLGQQVSPDNDHAQKTTSVLEGKESVADCLTGTSLVNPASTQREIEAFGRSLRPNNVLQENYSLMHQVKGMKNAEVDPDNTSLKRFKGPDGAMDAWQVGLMGGQQIHGHNNMVRDTSTNCASSPAGDAKVLSFSPRPTDVGDATAPSQDALGFGQNDSQNFANSSAVLVQTERSQISPQMAPSWFDQYGAFKNGQMLPDARKAVTITSSELPFTVGRPSNSLHAHGPVEQENVIAVDASQHGLLQKGSSLSPLACENLSSPQLMHPDAVDVSLAAVRPKKRKTATSELVPWNKQVVHGLQRLQTISSAEVAWALAANRLTEKLEDETEMVEDRPPGFRKRRLILTTQLMQLLFQPPLASVLSADAISHYESVVHLLARSILGDACSTLTCAGSETPVPSSNGNLRPEKLKTSESISNQYFSKIVEDLISRARKLENDILRLDKRASVLDLRVECQELEKYSVINRFAKFHGRGQADGSETSSSSDALQKPCLQRYVTALPMPRSLPDRVQCFPL >Manes.06G085900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22167930:22183064:1 gene:Manes.06G085900.v8.1 transcript:Manes.06G085900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSQGQHQSEVVEGVWPALGNNLWAGSQRQIGTPFISNLKNHSVPQSADSERGHGEQSSNVQHGVNFSQSILRTEFARNQSQNQQPTLNGYMHGNQAFHTRQNDANFLGMDTEPDRHNLTSRGFSILDVPLGSAPELQRNSVRMEFNESPVNYDFLGGQQQMSSHHPGMLQSLPRQQSGIRDIQLLQQEVMLKQVQEIQRQQQHQKQQLQQQEVRHMNSVNQVSPFAKQAACSHPLTMLNGIPIHDASNYSWQPELMAGNTNWLQQRGMSPAMQGSSSGLMFSPEHGQASRLTGMIPQQVDQSLYGVPISGMRISQSQYSPVQMDMSTVQQISDSSNSFSGNQYAGFQVHTSMLDGTLASRQGLGTADSQGLDGGINLENMQQLDPHQSSGPGHDFHRRQDLGGLSETSLEKTVMEVTPSKNVATLDPTEERILFGSDDNLWEAFGRGTNVGSGGVNMLDGTDFLSAFPSVQSGSWSALMQSAVAETSSADIGLQERGLTFRVSEPSLENQRAPIVNDSGKQQSSGIDNRLQAASMPNARPYGMCDGTNSSINYNNLPGVKQSGVNTLHEQSERLHAGSSERLVQSFSGEGSKWLDQNPFQKPVSEGSHNYGKGAQASDIETNSKSILGSWTNEQSISSYNTGTQPGSRLHGWKLVDSVPPGTGAVLKNQGNENALQASQSNGLKTAMFEVMGYGAGTWKTDCASNPSFELERSKSTTGSPQVNREDSDLNNVAALPDSSTLRANQESSQQLPNGNNIDIWNNVASSVNTEGRGFLRKYQPSMDKRHKTLESSGHNSFGNGAVETHDYPDTKESKSDTFHNVSHHTSTNSARGNTWLDANGSPAPSGGKLKSSMHIGRKPSGVRKFQYHPMGDLDDDVEPSYGTKPVTHPHSMLMQIPPGLKGHDQEDNEKSKLSTQISRSSTEFEKLHFLGQGETNNLDGINIKNSVPGSAPSTSTSFDRAVYNHTSSKATPSSQNMLELLHKVDQSREHGNATHFSSSNCNQSSELHDLKNSDRLVHLQQNQASTSQGFGLQLAPPSQSMPSQDHDLSSQTNNSLSSTCVPSEVGEKNHKWLASTTSVQGLPISCETSQEELRNNIHGISGYTGKNAQGNFCTALSPGFPYSRSHSQNPHMREVGSRATTTQSGNAYFDRFASQSKQASESFERVRSGQAALASLPDMSRITSHNDVNSSDEMHKFSNNNHYDAKDCAQQFPVLEAVPAAQGSNRSGKSQEYASAKMSAPVWTSVSTQQPSFRMQDFKSSSDMLKSNIQLNNESEATSSIPQKLEGHGVQMVKKDPSESVACPISSHGFVGKEQAAKGDLGQQVSPDNDHAQKTTSVLEGKESVADCLTGTSLVNPASTQREIEAFGRSLRPNNVLQENYSLMHQVKGMKNAEVDPDNTSLKRFKGPDGAMDAWQVGLMGGQQIHGHNNMVRDTSTNCASSPAGDAKVLSFSPRPTDVGDATAPSQDALGFGQNDSQNFANSSAVLVQTERSQISPQMAPSWFDQYGAFKNGQMLPDARKAVTITSSELPFTVGRPSNSLHAHGPVEQENVIAVDASQHGLLQKGSSLSPLACENLSSPQLMHPDAVDVSLAAVRPKKRKTATSELVPWNKQVVHGLQRLQTISSAEVAWALAANRLTEKLEDETEMVEDRPPGFRKRRLILTTQLMQLLFQPPLASVLSADAISHYESVVHLLARSILGDACSTLTCAGSETPVPSSNGNLRPEKLKTSESISNQYFSKIVEDLISRARKLENDILRLDKRASVLDLRVECQELEKYSVINRFAKFHGRGQADGSETSSSSDALQKPCLQRYVTALPMPRSLPDRVQCFPL >Manes.06G085900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22167932:22180591:1 gene:Manes.06G085900.v8.1 transcript:Manes.06G085900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSQGQHQSEVVEGVWPALGNNLWAGSQRQIGTPFISNLKNHSVPQSADSERGHGEQSSNVQHGVNFSQSILRTEFARNQSQNQQPTLNGYMHGNQAFHTRQNDANFLGMDTEPDRHNLTSRGFSILDVPLGSAPELQRNSVRMEFNESPVNYDFLGGQQQMSSHHPGMLQSLPRQQSGIRDIQLLQQEVMLKQVQEIQRQQQHQKQQLQQQEVRHMNSVNQVSPFAKQAACSHPLTMLNGIPIHDASNYSWQPELMAGNTNWLQQRGMSPAMQGSSSGLMFSPEHGQASRLTGMIPQQVDQSLYGVPISGMRISQSQYSPVQMDMSTVQQISDSSNSFSGNQYAGFQVHTSMLDGTLASRQGLGTADSQGLDGGINLENMQQLDPHQSSGPGHDFHRRQDLGGLSETSLEKTVMEVTPSKNVATLDPTEERILFGSDDNLWEAFGRGTNVGSGGVNMLDGTDFLSAFPSVQSGSWSALMQSAVAETSSADIGLQERGLTFRVSEPSLENQRAPIVNDSGKQQSSGIDNRLQAASMPNARPYGMCDGTNSSINYNNLPGVKQSGVNTLHEQSERLHAGSSERLVQSFSGEGSKWLDQNPFQKPVSEGSHNYGKGAQASDIETNSKSILGSWTNEQSISSYNTGTQPGSRLHGWKLVDSVPPGTGAVLKNQGNENALQASQSNGLKTAMFEVMGYGAGTWKTDCASNPSFELERSKSTTGSPQVNREDSDLNNVAALPDSSTLRANQESSQQLPNGNNIDIWNNVASSVNTEGRGFLRKYQPSMDKRHKTLESSGHNSFGNGAVETHDYPDTKESKSDTFHNVSHHTSTNSARGNTWLDANGSPAPSGGKLKSSMHIGRKPSGVRKFQYHPMGDLDDDVEPSYGTKPVTHPHSMLMQIPPGLKGHDQEDNEKSKLSTQISRSSTEFEKLHFLGQGETNNLDGINIKNSVPGSAPSTSTSFDRAVYNHTSSKATPSSQNMLELLHKVDQSREHGNATHFSSSNCNQSSELHDLKNSDRLVHLQQNQASTSQGFGLQLAPPSQSMPSQDHDLSSQTNNSLSSTCVPSEVGEKNHKWLASTTSVQGLPISCETSQEELRNNIHGISGYTGKNAQGNFCTALSPGFPYSRSHSQNPHMREVGSRATTTQSGNAYFDRFASQSKQASESFERVRSGQAALASLPDMSRITSHNDVNSSDEMHKFSNNNHYDAKDCAQQFPVLEAVPAAQGSNRSGKSQEYASAKMSAPVWTSVSTQQPSFRMQDFKSSSDMLKSNIQLNNESEATSSIPQKLEGHGVQMVKKDPSESVACPISSHGFVGKEQAAKGDLGQQVSPDNDHAQKTTSVLEGKESVADCLTGTSLVNPASTQREIEAFGRSLRPNNVLQENYSLMHQVKGMKNAEVDPDNTSLKRFKGPDGAMDAWQVGLMGGQQIHGHNNMVRDTSTNCASSPAGDAKVLSFSPRPTDVGDATAPSQDALGFGQNDSQNFANSSAVLVQTERSQISPQMAPSWFDQYGAFKNGQMLPDARKAVTITSSELPFTVGRPSNSLHAHGPVEQENVIAVDASQHGLLQKGSSLSPLACENLSSPQLMHPDAVDVSLAAVRPKKRKTATSELVPWNKQVVHGLQRLQTISSAEVAWALAANRLTEKLEDETEMVEDRPPGFRKRRLILTTQLMQLLFQPPLASVLSADAISHYESVVHLLARSILGDACSTLTCAGSETPVPSSNGNLRPEKLKTSESISNQYFSKIVEDLISRARKLENDILRLDKRASVLDLRVECQELEKYSVINRFAKFHGRGQADGSETSSSSDALQKPCLQRYVTALPMPRSLPDRVQCFPL >Manes.06G085900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22167932:22180591:1 gene:Manes.06G085900.v8.1 transcript:Manes.06G085900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSQGQHQSEVVEGVWPALGNNLWAGSQRQIGTPFISNLKNHSVPQSADSERGHGEQSSNVQHGVNFSQSILRTEFARNQSQNQQPTLNGYMHGNQAFHTRQNDANFLGMDTEPDRHNLTSRGFSILDVPLGSAPELQRNSVRMEFNESPVNYDFLGGQQQMSSHHPGMLQSLPRQQSGIRDIQLLQQEVMLKQVQEIQRQQQHQKQQLQQQEVRHMNSVNQVSPFAKQAACSHPLTMLNGIPIHDASNYSWQPELMAGNTNWLQQRGMSPAMQGSSSGLMFSPEHGQASRLTGMIPQQVDQSLYGVPISGMRISQSQYSPVQMDMSTVQQISDSSNSFSGNQYAGFQVHTSMLDGTLASRQGLGTADSQGLDGGINLENMQQLDPHQSSGPGHDFHRRQDLGGLSETSLEKTVMEVTPSKNVATLDPTEERILFGSDDNLWEAFGRGTNVGSGGVNMLDGTDFLSAFPSVQSGSWSALMQSAVAETSSADIGLQERGLTFRVSEPSLENQRAPIVNDSGKQQSSGIDNRLQAASMPNARPYGMCDGTNSSINYNNLPGVKQSGVNTLHEQSERLHAGSSERLVQSFSGEGSKWLDQNPFQKPVSEGSHNYGKGAQASDIETNSKSILGSWTNEQSISSYNTGTQPGSRLHGWKLVDSVPPGTGAVLKNQGNENALQASQSNGLKTAMFEVMGYGAGTWKTDCASNPSFELERSKSTTGSPQVNREDSDLNNVAALPDSSTLRANQESSQQLPNGNNIDIWNNVASSVNTEGRGFLRKYQPSMDKRHKTLESSGHNSFGNGAVETHDYPDTKESKSDTFHNVSHHTSTNSARGNTWLDANGSPAPSGGKLKSSMHIGRKPSGVRKFQYHPMGDLDDDVEPSYGTKPVTHPHSMLMQIPPGLKGHDQEDNEKSKLSTQISRSSTEFEKLHFLGQGETNNLDGINIKNSVPGSAPSTSTSFDRAVYNHTSSKATPSSQNMLELLHKVDQSREHGNATHFSSSNCNQSSELHDLKNSDRLVHLQQNQASTSQGFGLQLAPPSQSMPSQDHDLSSQTNNSLSSTCVPSEVGEKNHKWLASTTSVQGLPISCETSQEELRNNIHGISGYTGKNAQGNFCTALSPGFPYSRSHSQNPHMREVGSRATTTQSGNAYFDRFASQSKQASESFERVRSGQAALASLPDMSRITSHNDVNSSDEMHKFSNNNHYDAKDCAQQFPVLEAVPAAQGSNRSGKSQEYASAKMSAPVWTSVSTQQPSFRMQDFKSSSDMLKSNIQLNNESEATSSIPQKLEGHGVQMVKKDPSESVACPISSHGFVGKEQAAKGDLGQQVSPDNDHAQKTTSVLEGKESVADCLTGTSLVNPASTQREIEAFGRSLRPNNVLQENYSLMHQVKGMKNAEVDPDNTSLKRFKGPDGAMDAWQVGLMGGQQIHGHNNMVRDTSTNCASSPAGDAKVLSFSPRPTDVGDATAPSQDALGFGQNDSQNFANSSAVLVQTERSQISPQMAPSWFDQYGAFKNGQMLPDARKAVTITSSELPFTVGRPSNSLHAHGPVEQENVIAVDASQHGLLQKGSSLSPLACENLSSPQLMHPDAVDVSLAAVRPKKRKTATSELVPWNKQVVHGLQRLQTISSAEVAWALAANRLTEKLEDETEMVEDRPPGFRKRRLILTTQLMQLLFQPPLASVLSADAISHYESVVHLLARSILGDACSTLTCAGSETPVPSSNGNLRPEKLKTSESISNQYFSKIVEDLISRARKLENDILRLDKRASVLDLRVECQELEKYSVINRFAKFHGRGQADGSETSSSSDALQKPCLQRYVTALPMPRSLPDRVQCFPL >Manes.07G048850.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5729445:5730725:1 gene:Manes.07G048850.v8.1 transcript:Manes.07G048850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFPSNGSKRRSSFLEDSFTSKPDWSQLHSELLELILSKLSLVQIIRFKAVCSSWRSIAESYVSSSCYAPFPQMPWLLVPPNQEDDTDSLCFFSLEDKKVYQIKNMGNQFGCDVWCVGSSHGWLLILDDEANPFLFNPFSQVRIQLPTLPSFMFEVNRSYFIQELRKFFITKAVLLSDPSRDKNYGVVVIFGYLSRLAFCAKTGSCWTVLNGASQGYSDIICSNDIVYALTLDNSIEVWDFHACLPRKRREIHPLIPKHMVEATESFRGSHSSQSYLVESSGDLFLVMRYVGNFVNQEGEPVDETYLLSDEDIQPLVCPYQTLMFHVYKLDYSEQKWVEVDNLKNEALFLGGNHSMSLSAQEFSGFERNCIYFTDDNWNLMNGDYLYGGHDFGKFSLEDKTVKPFYDCDLGRIDPPPFWIIPNPW >Manes.10G085602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22077976:22079472:-1 gene:Manes.10G085602.v8.1 transcript:Manes.10G085602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNFVGIPASLAEGYSITRPPLFNGTDYSFSKISANAKVLNILHYALDVIKYNRVSGCENIVEMSTRFIDLVNLLKALGKSFEEAELVKKFLRSLPKSWEANTTVIFDTKDFTRYTYDELIGSLITHKMIDGKKKSIALKIDTSESSSLSNDEEEMAMLARKFRRTFRKIGSKYKRFVKKYGSKNDSKKDPKEIICYECNKPDHIRLNCSKSKKKRKEDKGKKAMVTVWDATDESSSDDSNKKNEANLCCMALEEETAEPSKDEEMEVTESKPPNIEELELAFAKVYNEYKTYKRKCASLNLKIHPCDLKIFLLVWF >Manes.02G219801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35082256:35089916:-1 gene:Manes.02G219801.v8.1 transcript:Manes.02G219801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGTVNNWICVNFSLNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEEVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWLWLLRIGSSASIGLKCSRACAYAASYGLEGFYSLL >Manes.02G219801.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35082193:35088736:-1 gene:Manes.02G219801.v8.1 transcript:Manes.02G219801.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGTVNNWICVNFSLNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEEVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.02G219801.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35082256:35089916:-1 gene:Manes.02G219801.v8.1 transcript:Manes.02G219801.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGTVNNWICVNFSLNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEEVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.02G219801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35082216:35088736:-1 gene:Manes.02G219801.v8.1 transcript:Manes.02G219801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGTVNNWICVNFSLNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEEVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWLWLLRIGLRLQSMLVWFVLKPIDKSLSKICSKNGRIL >Manes.02G219801.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35082127:35089916:-1 gene:Manes.02G219801.v8.1 transcript:Manes.02G219801.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGTVNNWICVNFSLNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEEVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.02G219801.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35082193:35089924:-1 gene:Manes.02G219801.v8.1 transcript:Manes.02G219801.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGTVNNWICVNFSLNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEEVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.02G219801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35087590:35089924:-1 gene:Manes.02G219801.v8.1 transcript:Manes.02G219801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGGTVNNWICVNFSLNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEEVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.16G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32592996:32597783:1 gene:Manes.16G124000.v8.1 transcript:Manes.16G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVAGVIIGMSIGIGLMVAYARYTNIRSSRRSQLAKTVAAFARMTVQDSRKLLPDDFYPSWVVFSQRQKLTWLNLQLDKIWPYVDEAASELIRSNVEPILEQYRPAILSSLKFSKLTLGTVAPQFTGISVLEQGGEPGSVTMELEMQWDGNPNIVLDINTRVGVALPIQVKNIGFAGVFRLIFKPLVEEFPGFGAVSYSLREKKKLDFTLKVIGGELSAIPGISDAIEETIRDAVEDSITWPVRKIAAILPGDYSDLEVKPVGILEVKLVQGKELTNKDIIGKSDPFATLFIRPLRDRTKTSKTINNQLNPIWNEHFEFTVEDPLTQRLTVRVFDDEGVQASELIGCAQVALRDLEPGKVKDVWLKLVKDLEIQRDNKYRGQVQLELLYCPYGTDSSLKNPFNPDFQLTTLEKAIKAGANETDDAALKMPTSQKKNVIVRGVLSVNVVAAENLPAVDLMGKADPYVVLQMKKSDTKVKTRVVNESLNPVWNQTFDFVVEDALHDMLILEVWDHDTFGKDKIGRCIMTLTRVLLEGEVQESFPLDGAKSGKLILHIKWTPQLKFRDTT >Manes.09G096900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29426292:29429139:1 gene:Manes.09G096900.v8.1 transcript:Manes.09G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMETSASPSFTSFFVVAFSLFFSSSFSEARNFLPRGSSLSVEHHSDILVSPNRTFTCGFYWIGDNAYSFSVWFTNSKERTVVWMANRDRPVNGRGSRISLRRNGAMVLTDVDGSTIWETNTTSTDVSGAEVLDSGNLVLKDPRGKIIWQSFDFPTDTLLPNQFFTKSTKLISSIYWPNPDFKVFASGRTNYNGSRIAVFDEMGNFLSSDQLQFSASDMGFGILRRLTMDFDGNLRLYSLNNETGLWETTWEAMLEQCKVHGICGRNAICVYTPEPKCSCPPGYEVTEHGNWNKGCKPKFTIENCSQEWRFVQVPQVDFYGFDLNYSQSISRDSCLKLCLEDCRCAAFSYRVSGEGLCFTKSALFNGYKSPNFPGSIYMKLPASVGRFESAILNGTNPICKSNDSTLMMGSSSMYDTIGKKVKWAYLYWFASSIGVIEILFIVSVWWLALGIHDVPESLAEGYRAITSQFRKFSYSELKRATKNFKEELGRGGSGAVYKGILADERAVAVKRLAGLSYQGEDVFWAEVSTIGKINHMNLVRMWGFCSEANHRLLVYEYLENQSLDKHLFSPSSIPWKQRFKVALGTAKGLAYLHHECLEWVIHCDVKPENILLDTEFEAKISDFGLAKLSQRGDNNSEFSRIRGTKGYMAPEWALNFPITAKVDVYSYGVVILEMVRGIRLSNFIMEDGEAQESELTRFVRLVKRKIECGEESWIEEAVDRRLNGEYCRRQVVKMVEVGIRCAEEDRDKRPTMDSVVQALLECEDESKIRSPDDQ >Manes.05G012100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:804206:806094:-1 gene:Manes.05G012100.v8.1 transcript:Manes.05G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQVRNYGCATYQNEEDADIRKGPWTVEEDAILAEYVAIHGEGGWNAAARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLQEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQAKQLKCDVNSKQFRDAMRYIWMPRLVERIQAASGSSTGHSNYSSINHNGVPISNETGEINNPMIELVMPEPSGSSLESLDTQVSPVSDVTEYQNPTSVQNVSGLYPEGESDRWIEMEMQSNIVNGGESLESLWNEENIWFLQQQLM >Manes.10G127975.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29248999:29250224:1 gene:Manes.10G127975.v8.1 transcript:Manes.10G127975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLELLKKLYISGSGIKTLPSSINQLRRLEELRCARCEGLTLPPLTGLSCVREIDLSDCGILEIPLSLWFLVSLEELDLGGNNFKATPASIKHLIELNWLGLKGCKRLKCLPELPSCLEELDASDCTSLESASTPFLFLEHHDEEEEKRLEFRNCINLDKNVNDNVMEDVLKSHLLKHKIVKLYIAGVEVPETMRYKNKSGSSLSFRLDQANLTGFSLCAVFYPKNYSHDRIIDISCIANFVGKSGHSSENFIFEALNFVLDPLYSEHVFLWNKLLGNKLLNMEESFLEVSFQFFISGWSCDRDYDSIIMCGVHPIFREDRLSRDKKRSRIEEDKEDEPSLQRLKNKTENLRIRRINHQEQEEELCLDVDH >Manes.09G180600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36951298:36957101:-1 gene:Manes.09G180600.v8.1 transcript:Manes.09G180600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFDKPSSSSAISSSQSVSARDIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAKETLTRQEAENKAKTMEKEICTLQKRLEERNGQLQTSASTADKHLKELDFLRSQLAATQATADASAASAQSAQLQCLALRKELDVKNSSLKEHEDRVMRLGEQLNNLQNDLQERESYQKQLKDEVLRVEQDIMQSIAKAGPGKDCELWKLLDEISPKNFEKINKLLIVKDEEISKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARAQTRKLQRMGERRDKALKELREQLANKQQTVAAVNNEKQNFLESSAFKIVVSMSMLILVVFTKR >Manes.09G180600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36951298:36957101:-1 gene:Manes.09G180600.v8.1 transcript:Manes.09G180600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFDKPSSSSAISSSQSVSARDIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFAKETLTRQEAENKAKTMEKEICTLQKRLEERNGQLQTSASTADKHLKELDFLRSQLAATQATADASAASAQSAQLQCLALRKELDVKNSSLKEHEDRVMRLGEQLNNLQNDLQERESYQKQLKDEVLRVEQDIMQSIAKAGPGKDCELWKLLDEISPKNFEKINKLLIVKDEEISKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARAQTRKLQRMISISCNRWEREGTKH >Manes.17G046700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24375940:24381574:1 gene:Manes.17G046700.v8.1 transcript:Manes.17G046700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDSQTADQRGFPTPIMDTTNSPVPIKDQNEKPSLLIEVTNVEKQLLALIHTKGIMHSDVQALYKKVCSSYEKIILNDREVAELQDVEYSLWKFHYRHIDEFRKRIKKGSANEEVTKSVAKQSVAAPQRSNDNHAEGFKLFLSEATRFYQNLIAKIKRYYGLPEDFSFCGRGGNSVSVEPKKMQKLQFLCHRILVCLGDFARYREQCEKSDIPNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLHFLCIEAQFDLLNLSESTFLTKSPSANDASNCKMGQAGDEGSRGIHLWPLFIRTISFFFLKSSLEEFPFTFASSIRELDALLALDDVQLKAAMESYQLMDSARSGPFRMLQVVSILIFVIENLIKSPEARDSKDKNDIQQFELTREALTVIFIFVGRVANRCLKAKVLDSCPLLPALLVFSEWLVSILDEAEIYGSDEKSTSSMSYFFSVYLELLKRFDNNKGEVKSPGNIALWEDYELRGFAPLACSHVALDFSSHWGHADSYKCRTECRAQRIINAAIKLADRSNRKRNWICYDGSGRKFYVPELNKFPNRKETEKVESPGNVEEKESDQHTHKVTEKSEEIEENPGNSLVISKSVAMEEEEVILFKPLTRYNSAPLCSAISANDQTTSEDTVDSVPADECLRRATSLLIAQTRAHGDPSAFHSDLTNFTRKPVQQEPLANDAIALPFSEAFISSSGPPSFSTSIAAGPPSLNAWVLNRGSLNNDRVEGKREMNKMPTIAEIVSASLNDLSISDTENSVISSGHEAVTMCSFSPSYSAPLPSAPFLPDDAIWFNGIQSTFSDYNGSGNIINRTNNFVDALQVSHYPNWPGSHHGLGIPGLMEYGYPPIRRMTSSEWLRQYRENHNLERTTNHGWPVHLNTAVNTGNFYGHDMSRSGLFNQWGAPLAAANPLSYEERLHPGYQRPSPYGCGVVTEPEPLLQYLKEKEWLIQQDPTLRGPTYMGS >Manes.17G046700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24375940:24381574:1 gene:Manes.17G046700.v8.1 transcript:Manes.17G046700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAGDEGSRGIHLWPLFIRTISFFFLKSSLEEFPFTFASSIRELDALLALDDVQLKAAMESYQLMDSARSGPFRMLQVVSILIFVIENLIKSPEARDSKDKNDIQQFELTREALTVIFIFVGRVANRCLKAKVLDSCPLLPALLVFSEWLVSILDEAEIYGSDEKSTSSMSYFFSVYLELLKRFDNNKGEVKSPGNIALWEDYELRGFAPLACSHVALDFSSHWGHADSYKCRTECRAQRIINAAIKLADRSNRKRNWICYDGSGRKFYVPELNKFPNRKETEKVESPGNVEEKESDQHTHKVTEKSEEIEENPGNSLVISKSVAMEEEEVILFKPLTRYNSAPLCSAISANDQTTSEDTVDSVPADECLRRATSLLIAQTRAHGDPSAFHSDLTNFTRKPVQQEPLANDAIALPFSEAFISSSGPPSFSTSIAAGPPSLNAWVLNRGSLNNDRVEGKREMNKMPTIAEIVSASLNDLSISDTENSVISSGHEAVTMCSFSPSYSAPLPSAPFLPDDAIWFNGIQSTFSDYNGSGNIINRTNNFVDALQVSHYPNWPGSHHGLGIPGLMEYGYPPIRRMTSSEWLRQYRENHNLERTTNHGWPVHLNTAVNTGNFYGHDMSRSGLFNQWGAPLAAANPLSYEERLHPGYQRPSPYGCGVVTEPEPLLQYLKEKEWLIQQDPTLRGPTYMGS >Manes.17G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24375928:24381574:1 gene:Manes.17G046700.v8.1 transcript:Manes.17G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNSPVPIKDQNEKPSLLIEVTNVEKQLLALIHTKGIMHSDVQALYKKVCSSYEKIILNDREVAELQDVEYSLWKFHYRHIDEFRKRIKKGSANEEVTKSVAKQSVAAPQRSNDNHAEGFKLFLSEATRFYQNLIAKIKRYYGLPEDFSFCGRGGNSVSVEPKKMQKLQFLCHRILVCLGDFARYREQCEKSDIPNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLHFLCIEAQFDLLNLSESTFLTKSPSANDASNCKMGQAGDEGSRGIHLWPLFIRTISFFFLKSSLEEFPFTFASSIRELDALLALDDVQLKAAMESYQLMDSARSGPFRMLQVVSILIFVIENLIKSPEARDSKDKNDIQQFELTREALTVIFIFVGRVANRCLKAKVLDSCPLLPALLVFSEWLVSILDEAEIYGSDEKSTSSMSYFFSVYLELLKRFDNNKGEVKSPGNIALWEDYELRGFAPLACSHVALDFSSHWGHADSYKCRTECRAQRIINAAIKLADRSNRKRNWICYDGSGRKFYVPELNKFPNRKETEKVESPGNVEEKESDQHTHKVTEKSEEIEENPGNSLVISKSVAMEEEEVILFKPLTRYNSAPLCSAISANDQTTSEDTVDSVPADECLRRATSLLIAQTRAHGDPSAFHSDLTNFTRKPVQQEPLANDAIALPFSEAFISSSGPPSFSTSIAAGPPSLNAWVLNRGSLNNDRVEGKREMNKMPTIAEIVSASLNDLSISDTENSVISSGHEAVTMCSFSPSYSAPLPSAPFLPDDAIWFNGIQSTFSDYNGSGNIINRTNNFVDALQVSHYPNWPGSHHGLGIPGLMEYGYPPIRRMTSSEWLRQYRENHNLERTTNHGWPVHLNTAVNTGNFYGHDMSRSGLFNQWGAPLAAANPLSYEERLHPGYQRPSPYGCGVVTEPEPLLQYLKEKEWLIQQDPTLRGPTYMGS >Manes.17G046700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24375940:24381574:1 gene:Manes.17G046700.v8.1 transcript:Manes.17G046700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNSPVPIKDQNEKPSLLIEVTNVEKQLLALIHTKGIMHSDVQALYKKVCSSYEKIILNDREVAELQDVEYSLWKFHYRHIDEFRKRIKKGSANEEVTKSVAKQSVAAPQRSNDNHAEGFKLFLSEATRFYQNLIAKIKRYYGLPEDFSFCGRGGNSVSVEPKKMQKLQFLCHRILVCLGDFARYREQCEKSDIPNQNWSVAVTHYLEATKIWPHSGNPQNQNRSSHLHFLCIEAQFDLLNLSESTFLTKSPSANDASNCKMGQAGDEGSRGIHLWPLFIRTISFFFLKSSLEEFPFTFASSIRELDALLALDDVQLKAAMESYQLMDSARSGPFRMLQVVSILIFVIENLIKSPEARDSKDKNDIQQFELTREALTVIFIFVGRVANRCLKAKVLDSCPLLPALLVFSEWLVSILDEAEIYGSDEKSTSSMSYFFSVYLELLKRFDNNKGEVKSPGNIALWEDYELRGFAPLACSHVALDFSSHWGHADSYKCRTECRAQRIINAAIKLADRSNRKRNWICYDGSGRKFYVPELNKFPNRKETEKVESPGNVEEKESDQHTHKVTEKSEEIEENPGNSLVISKSVAMEEEEVILFKPLTRYNSAPLCSAISANDQTTSEDTVDSVPADECLRRATSLLIAQTRAHGDPSAFHSDLTNFTRKPVQQEPLANDAIALPFSEAFISSSGPPSFSTSIAAGPPSLNAWVLNRGSLNNDRVEGKREMNKMPTIAEIVSASLNDLSISDTENSVISSGHEAVTMCSFSPSYSAPLPSAPFLPDDAIWFNGIQSTFSDYNGSGNIINRTNNFVDALQVSHYPNWPGSHHGLGIPGLMEYGYPPIRRMTSSEWLRQYRENHNLERTTNHGWPVHLNTAVNTGNFYGHDMSRSGLFNQWGAPLAAANPLSYEERLHPGYQRPSPYGCGVVTEPEPLLQYLKEKEWLIQQDPTLRGPTYMGS >Manes.17G046700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24375940:24381574:1 gene:Manes.17G046700.v8.1 transcript:Manes.17G046700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDVQALYKKVCSSYEKIILNDREVAELQDVEYSLWKFHYRHIDEFRKRIKKGSANEEVTKSVAKQSVAAPQRSNDNHAEGFKLFLSEATRFYQNLIAKIKRYYGLPEDFSFCGRGGNSVSVEPKKMQKLQFLCHRILVCLGDFARYREQCEKSDIPNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLHFLCIEAQFDLLNLSESTFLTKSPSANDASNCKMGQAGDEGSRGIHLWPLFIRTISFFFLKSSLEEFPFTFASSIRELDALLALDDVQLKAAMESYQLMDSARSGPFRMLQVVSILIFVIENLIKSPEARDSKDKNDIQQFELTREALTVIFIFVGRVANRCLKAKVLDSCPLLPALLVFSEWLVSILDEAEIYGSDEKSTSSMSYFFSVYLELLKRFDNNKGEVKSPGNIALWEDYELRGFAPLACSHVALDFSSHWGHADSYKCRTECRAQRIINAAIKLADRSNRKRNWICYDGSGRKFYVPELNKFPNRKETEKVESPGNVEEKESDQHTHKVTEKSEEIEENPGNSLVISKSVAMEEEEVILFKPLTRYNSAPLCSAISANDQTTSEDTVDSVPADECLRRATSLLIAQTRAHGDPSAFHSDLTNFTRKPVQQEPLANDAIALPFSEAFISSSGPPSFSTSIAAGPPSLNAWVLNRGSLNNDRVEGKREMNKMPTIAEIVSASLNDLSISDTENSVISSGHEAVTMCSFSPSYSAPLPSAPFLPDDAIWFNGIQSTFSDYNGSGNIINRTNNFVDALQVSHYPNWPGSHHGLGIPGLMEYGYPPIRRMTSSEWLRQYRENHNLERTTNHGWPVHLNTAVNTGNFYGHDMSRSGLFNQWGAPLAAANPLSYEERLHPGYQRPSPYGCGVVTEPEPLLQYLKEKEWLIQQDPTLRGPTYMGS >Manes.17G046700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24376397:24381575:1 gene:Manes.17G046700.v8.1 transcript:Manes.17G046700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNSPVPIKDQNEKPSLLIEVTNVEKQLLALIHTKGIMHSDVQALYKKVCSSYEKIILNDREVAELQDVEYSLWKFHYRHIDEFRKRIKKGSANEEVTKSVAKQSVAAPQRSNDNHAEGFKLFLSEATRFYQNLIAKIKRYYGLPEDFSFCGRGGNSVSVEPKKMQKLQFLCHRILVCLGDFARYREQCEKSDIPNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLHFLCIEAQFDLLNLSESTFLTKSPSANDASNCKMGQAGDEGSRGIHLWPLFIRTISFFFLKSSLEEFPFTFASSIRELDALLALDDVQLKAAMESYQLMDSARSGPFRMLQVVSILIFVIENLIKSPEARDSKDKNDIQQFELTREALTVIFIFVGRVANRCLKAKVLDSCPLLPALLVFSEWLVSILDEAEIYGSDEKSTSSMSYFFSVYLELLKRFDNNKGEVKSPGNIALWEDYELRGFAPLACSHVALDFSSHWGHADSYKCRTECRAQRIINAAIKLADRSNRKRNWICYDGSGRKFYVPELNKFPNRKETEKVESPGNVEEKESDQHTHKVTEKSEEIEENPGNSLVISKSVAMEEEEVILFKPLTRYNSAPLCSAISANDQTTSEDTVDSVPADECLRRATSLLIAQTRAHGDPSAFHSDLTNFTRKPVQQEPLANDAIALPFSEAFISSSGPPSFSTSIAAGPPSLNAWVLNRGSLNNDRVEGKREMNKMPTIAEIVSASLNDLSISDTENSVISSGHEAVTMCSFSPSYSAPLPSAPFLPDDAIWFNGIQSTFSDYNGSGNIINRTNNFVDALQVSHYPNWPGSHHGLGIPGLMEYGYPPIRRMTSSEWLRQYRENHNLERTTNHGWPVHLNTAVNTGNFYGHDMSRSGLFNQWGAPLAAANPLSYEERLHPGYQRPSPYGCGVVTEPEPLLQYLKEKEWLIQQDPTLRGPTYMGS >Manes.15G121000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9631391:9635250:1 gene:Manes.15G121000.v8.1 transcript:Manes.15G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSMRRNWLASSIILFFALFATAILGEDGLLSNGDFETPPSNGFPSDSLADGPSEIPSWKLRGTVELVSSGQKQGGMILIVPGGRHAVRLGNDAEISHELTVEKGSIYSVTFSAARTCAQLESLNVSVPPASQTIDLQTLYNVQGWDPYAWAFEAEEDKVNLVFRNLGMEDDPTCGPIIDDIAIKKLFAPDKPKDNAVLNGDFEEGPWMFRNVSLGVLLPTNLDEEITSLPGWTVESNRAVRYIDSYHFSVPGGKRAIELVSGKEGIISQMVETAPNKAYTMTFSLGHAGDKCKQPMAVMAFAGDQAQNVHYTPDSNSTFQTANLNFTAKADRTRIAFYSIYYNTRTDDMSSLCGPVVDDVRVWFSGGRRTVFGGVGLGLWVFVLVLVLF >Manes.18G144965.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21779245:21780476:1 gene:Manes.18G144965.v8.1 transcript:Manes.18G144965.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYRGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.14G066900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5582910:5585236:-1 gene:Manes.14G066900.v8.1 transcript:Manes.14G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGELTQVTILFFFLLLSVSSLKVSFASTADTVRKQNYSSIELNPFSPRASLIRYWNKHISNNLPKPPFLLSKASPLSAVDSAFLSKLAAQNLLSSHLDYFCSLANLFCSFDSKSSLGRRDEDANFAHYSNKRFSNYGRSKLGGVDSFTNYSNGLNSAADSFLRYSREGTGHSETFTNYATDGNVANATFGNYGAGATGGSGVFKNYNDRVNVPGLRFTTYDSDGNNHKLSFTTYGDDTNSGSQAFTSYGKKGNGVPAEFTTYSGDSNIIDSTFTGYGELGNAANDSFTGYGLSGNNPHNNFKSYATGANSAIDSFSSYRNGANVGHDSFQSYARNTNAGKVSFTNYGKTFNPGNDTFTEYGKGSKGLTTIGFKTYGPDRSFKDYIEKGVSFTGYTNTSSSSSGNFVSRRWVEPGKFFRESMLKQGNVMAMPDIRDKMPPRSFLPRPILSKLPFSSHQLSELKEIFHAPENSTMESVLVNALAECERAPSRGETKRCVGSMEDMVDFAVSVLGRNVVVRTTENVNGSKKNVMMGWIKGINGGEVTKSVSCHQSLYPYLLYYCHSVPKVRVYEAEILDAESKTKINVGVAICHLDTSAWSPEHGAFVALGSSPGQIEVCHWIFENDMTWTIAD >Manes.05G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3888093:3897006:1 gene:Manes.05G046700.v8.1 transcript:Manes.05G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKISFGMRSYSGWLLCFSLLLIIFHIVSVVEFQPNSVIEGGTKKQNKKSDHLLLGPAAGQGLPNRLQCQGSKALNKTHLLTSSSASNGGDSISLVTVFTIYNTLLDSHVDSKSSKLVTVGNVSYTKTERSMAILNVFINFIQVTMPRSNVIILTDPASDLSLQRNKVTLYPIQGEYSRDKLMLQRIRSYIAFLNARLKDLARDPTHTIHYIFTDSDIAVVDNLEHIFLKFPTFNLALTFRNNKGQPLNSGFIAVRGTAESILRAKIFLQEVLEVYTSKYMNASRMLGDQLALAWVVKSDPNFDLRRFSKAQAFVEEIYGASVLFLPCATYNWTPPEGAGQFRGMPLDVKVVHFKGSRKRLMLESWNFFSSSADISNMLCLILMSGRTKYDF >Manes.S043816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1310144:1310311:-1 gene:Manes.S043816.v8.1 transcript:Manes.S043816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.15G148800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12283617:12287141:-1 gene:Manes.15G148800.v8.1 transcript:Manes.15G148800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKKLIQDPRLPERDSPIITQNQSQNQSASQTQPITIQNSITLPLKKLKIFIIFYSMYGHVEFLARRMKKGVDSIDGVEAVLYRVSETLPVEVLEQMKAPPKGDEIPVISVNELVNADGFLFGFPTRFGSMASQMKAFFDSTDELWMEQKLAGLPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPIGYTFGAGMFRMDSIRGGSPYGAGVFSGDGSRQPTETELALAEHQGKYMATVVKRFAKPSSPVNGNNHS >Manes.15G148800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12283617:12287141:-1 gene:Manes.15G148800.v8.1 transcript:Manes.15G148800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKKLIQDPRLPERDSPIITQNQSQNQSASQTQPITIQNSITLPLKKLKIFIIFYSMYGHVEFLARRMKKGVDSIDGVEAVLYRVSETLPVEVLEQMKAPPKGDEIPVISVNELVNADGFLFGFPTRFGSMASQMKAFFDSTDELWMEQKLAGLPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPIGYTFGAGMFRMDSIRGGSPYGAGVFSGDGSRQPTETELALAEHQGKYMATVVKRFAKPSSPVNGNNHS >Manes.11G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2875237:2876446:1 gene:Manes.11G030600.v8.1 transcript:Manes.11G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNCSRQILMSLLLFCMALSLILPCYAARYEPAAYHIKDPDIGRSRPICPDCVCCKPPPPGSCCKCCAAPIDTHTIKGSP >Manes.10G000400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:610889:612394:-1 gene:Manes.10G000400.v8.1 transcript:Manes.10G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVEELILLGKIACPMAISSLVLHSKSIISMLFLGHLGDIELAGGSLAIGFANITGYSVIKGLAMGMEPICCQAYGAKKWSILSQTYKKTLCILFLATIPISLLWLYMEPILLGFGQDQNITSIARVYITYSIPELLSQAHLHPLRIFIRIQNLTKPIAIVVIFSMILHFPINYLLVIHLNLGVKGVALASFWYTINLSLGLLAYLILSRTAMKPWKNKQLVDMHNEYTSNVNYNETYMGSVVINTRNKIVLHVTSFFQGWQPLVSLMLPSVLSVCLEWWWYEIMLLLCGLQDNPQASVAAMGILIQTTGLLYVVPYSLNLGLSARVGQELGAGQPSQAKRATTVGLIVSIFCGLLAFVFTVSVKDWWGKMYTKEQQILDLISLVLPIVGVCELGNCPQTAACGVLIGSARPKVGACVNFVAFYLIGLPVSTLLAFKLKLGVMGLWFGLAASQASCVCLMIYVLVCTDWKYQAQRAKELTQLTDEDGNNDLEANLLYSTN >Manes.09G106300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31022050:31026476:1 gene:Manes.09G106300.v8.1 transcript:Manes.09G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGSIDSCMPPNGDMCSAATGGSISTIQNSVSPTMINAAESNLGRHLARRLVQIGVSDVFSVPGDFNLTLLDHLIAEPGLKVIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRSFQTVTCFQALVNNLEDAHDLIDTAISTALKESKPVYLSISCNLSAIPHPTFSREPVPFSLSPRLSNKLGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKACEAFVELADASGYALAVMPAAKGLVPEFHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVNPDRVVIGNGPTFGCVLMKDFLQGLAKKLKTNTTAHENYRRIFVPDGHPLKSEPKEPLRVNILFQHIQKMLSGETAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAVPQKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALIDAIHNGEGDCWTTKVKCEEDLVEAIKTATGAKKDCLCFIEVVVHKDDTSKELLEWGSRVCAANSRPPNPQ >Manes.11G056200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6875705:6881822:1 gene:Manes.11G056200.v8.1 transcript:Manes.11G056200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEEVISVELPAPPAWKKMYLPKRAGTPRKCEIMFIAPTGDEITNRKQLEHYLKSHPGNPPISEFDWGTGETPRRSARISKKAKATPSPEKEPPKKRSRKLSGSKKDSKETEPASEKGECEKEIQMQDAVGSENENAEAGKENGITNDNQIQEGYKEEAPEAKNADTKMEDATLEINKDVNTHKDAGQENGTKDDNEGSEETQQAMEVQKQGNAEATLENKPAEEAGSDEGSTGKFSQIEAEKETVPDAATVEANGGAEKENVNRTVPASEGEMKGKPDIQENDAECNISVDGKANTTAGRIAENGKVSQTGQTDAPQNPAPLVSC >Manes.11G056200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6875705:6881769:1 gene:Manes.11G056200.v8.1 transcript:Manes.11G056200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEEVISVELPAPPAWKKMYLPKRAGTPRKCEIMFIAPTGDEITNRKQLEHYLKSHPGNPPISEFDWGTGETPRRSARISKKAKATPSPEKEPPKKRSRKLSGSKKDSKETEPASEKGECEKEIQMQDAVGSENENAEAGKENGITNDNQIQEGYKEEAPEAKNADTKMEDATLEINKDVNTHKDAGQENGTKDDNEGSEETQQAMEVQKQGNAEATLENKPAEEAGSDEGSTGKFSQIEAEKETVPDAATVEANGGAEKENVNRTVPASEGEMKGKPDIQENDAECNISVDGKANTTAGRIAENGKVSQTGQTDAPQNPAPLVSC >Manes.07G022500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2475800:2483100:-1 gene:Manes.07G022500.v8.1 transcript:Manes.07G022500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKPLIRVFRSKVKQASLLWLEGFREACCLHRVVILCLRSRNLLIRTGQCFLLNGFIFLGSILVLKSIVIPTLKWILPDHFSDISSQEPSTFGSILKLYSFLRVGLVQLFYLFWFYPLYVFSFILSTIWYNEIAKFGFTAMGRDGHNVLEPLSQDDPTTASDNSGSTEKPAGLGRVMIGIGEQVYSILLLSIFFLEVYATGFLPYIGKALNFVLLSWMYAYYCFEYKWNLSEVALDRRLDFFESNWAFFAGFGSPCVLAIFFFSPLVSYGVMAVLFPLFVLTATSSEAEQLVLSQRRRWKGEGLIRLPIFYAADTLSMQILSLIPLESPEQMPDNKEL >Manes.02G162500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12771497:12773175:1 gene:Manes.02G162500.v8.1 transcript:Manes.02G162500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSFSRQLSGREDWISTSKRWGCNNQSKHSTAETGFNWGCETSFQQMEELNNGGLGMRKRVMVVVDYTSHSRHAMIWALTHAANKGDLLTLLHIIPSGADSSSPHLANSLGSLCKACKPQVEVEALVIHGPRLATVMNQVKKLDVSVLVVGHKRPSPLTSCLCGSSSSEDFVEQCINNAECLTIGVSKQSKNVGGYLISTRWKKNFWLLA >Manes.07G109900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31541745:31546355:1 gene:Manes.07G109900.v8.1 transcript:Manes.07G109900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLEILRGVLRLIGVGDHKDEKDEEASHGNTSRESRLSQSIFSSSSTDSANNGSTYVNSVERIYDVSSSSNSHRKYVFSSSHCPETFPSWLPPSSSSSTPSKPSPQAPSYSLNPSIGLPKPAPSSSTPSPLSSVTSASSFRTIRKEPPSSSTPSLSSSNPPATFKPTFTPASSNLIKIPEVQRSYYESSPSPSKNLQSFKPTLSPAYSNFIKMPEVQRSCYESSPSPSKNLPSFKPTLAPASSNVTGQQTEDNYVLVQKDAEPIYMIPKDIQDLIKKDMVPGVLKKPLSMSTYKDYFAALLYAEDFYIEKWSKFKLVDITLKLQKASVLDRRLQFTENDEKHNKTFVTFEIDSCCERRPFLLSRDFVFARPSGNKSEPFQGLIYRVARSTTVLVEFGDDFHAQHHSSCKYDVSFSFNRVCLKRAHQAIEAASNPSFKDYIFPDCDFRMRLSASSPSYSKILSFHGPPPYLIEGPLCVTKARWNENRQLSETGLFVQESVLEIYQSSQKHRVLICAPINSTCDLLTRSLKRHIPESDMFRANAAFREIDGVPTDILSSCVYKGECFSCPRLQELQNFRVILSTYVSSFRLCNEGIAAGHFSHIFLMDASSATEPEAMVALANLANKNTTVVVTGAPGNYPAWVRSDIARKNGLKDSYFKRLRERNPYSRLDPMFIKKLVSFESTEDKQSFDPFSFV >Manes.07G109900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31541745:31546249:1 gene:Manes.07G109900.v8.1 transcript:Manes.07G109900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLEILRGVLRLIGVGDHKDEKDEEASHGNTSRESRLSQSIFSSSSTDSANNGSTYVNSVERIYDVSSSSNSHRKYVFSSSHCPETFPSWLPPSSSSSTPSKPSPQAPSYSLNPSIGLPKPAPSSSTPSPLSSVTSASSFRTIRKEPPSSSTPSLSSSNPPATFKPTFTPASSNLIKIPEVQRSYYESSPSPSKNLQSFKPTLSPAYSNFIKMPEVQRSCYESSPSPSKNLPSFKPTLAPASSNVTGQQTEDNYVLVQKDAEPIYMIPKDIQDLIKKDMVPGVLKKPLSMSTYKDYFAALLYAEDFYIEKWSKFKLVDITLKLQKASVLDRRLQFTENDEKHNKTFVTFEIDSCCERRPFLLSRDFVFARPSGNKSEPFQGLIYRVARSTTVLVEFGDDFHAQHHSSCKYDVSFSFNRVCLKRAHQAIEAASNPSFKDYIFPDCDFRMRLSASSPSYSKILSFHGPPPYLIEGPLCVTKARWNENRQLSETGLFVQESVLEIYQSSQKHRVLICAPINSTCDLLTRSLKRHIPESDMFRANAAFREIDGVPTDILSSCVYKGECFSCPRLQELQNFRVILSTYVSSFRLCNEGIAAGHFSHIFLMDASSATEPEAMVALANLANKNTTVVVTGAPGNYPAWVRSDIARKNGLKDSYFKRLRERNPYSRLDPMFIKKLVSFESTEDKQSFDPFSFV >Manes.07G109900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31541788:31546096:1 gene:Manes.07G109900.v8.1 transcript:Manes.07G109900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFIFSEEVFPVNVWWQKAYMSLFLEILRGVLRLIGVGDHKDEKDEEASHGNTSRESRLSQSIFSSSSTDSANNGSTYVNSVERIYDVSSSSNSHRKYVFSSSHCPETFPSWLPPSSSSSTPSKPSPQAPSYSLNPSIGLPKPAPSSSTPSPLSSVTSASSFRTIRKEPPSSSTPSLSSSNPPATFKPTFTPASSNLIKIPEVQRSYYESSPSPSKNLQSFKPTLSPAYSNFIKMPEVQRSCYESSPSPSKNLPSFKPTLAPASSNVTGQQTEDNYVLVQKDAEPIYMIPKDIQDLIKKDMVPGVLKKPLSMSTYKDYFAALLYAEDFYIEKWSKFKLVDITLKLQKASVLDRRLQFTENDEKHNKTFVTFEIDSCCERRPFLLSRDFVFARPSGNKSEPFQGLIYRVARSTTVLVEFGDDFHAQHHSSCKYDVSFSFNRVCLKRAHQAIEAASNPSFKDYIFPDCDFRMRLSASSPSYSKILSFHGPPPYLIEGPLCVTKARWNENRQLSETGLFVQESVLEIYQSSQKHRVLICAPINSTCDLLTRSLKRHIPESDMFRANAAFREIDGVPTDILSSCVYKGECFSCPRLQELQNFRVILSTYVSSFRLCNEGIAAGHFSHIFLMDASSATEPEAMVALANLANKNTTVVVTGAPGNYPAWVRSDIARKNGLKDSYFKRLRERNPYSRLDPMFIKKLVSFESTEDKQSFDPFSFV >Manes.12G135500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34230147:34231199:-1 gene:Manes.12G135500.v8.1 transcript:Manes.12G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLYPSSLSCEISLLQAKNLELKSHGNLFVRFYLSAGNNRKLIQLNSQEISSKSSLFWNQSFSLECSSSGTDLDREFSIDNLKEESVVFELRWRNTNPILGKITGGSQLLGRAEIPWKTVVESPEMEIEKWVMMIGSSKKSCGLLVDGVKPPSLQIAMKVRVSEMAAMEKKKKKRNGKLREEYGCCRESGCKCEDYEIFAMVGAFETL >Manes.18G059600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5273095:5286115:1 gene:Manes.18G059600.v8.1 transcript:Manes.18G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDSIVFRDYRGEVPKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLFFVATSRVNVSPSLVLELLQRVARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYVFNEPIVIDAGRLQPLNPATIFTQGTKRMPGMAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKCYLTGNPEIRLALNEDLSIGGGSGRSVYDSRSSFGSGAVMLDDCNFHESVRLDNFDLDRTLTLVPPDGEFPVMNYRLTQEFKPPFRINTLIEEAGALKAEVILKISAEFPSSITANAVSVQMPLPKYTTRATFELEPGAIGQTTDFKEANKRLEWGLNKIVGGSEHTLRAKLAFSRELHGNITKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSNTYNPYRWVRYVTQANSYVARL >Manes.18G059600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5273095:5286115:1 gene:Manes.18G059600.v8.1 transcript:Manes.18G059600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDSIVFRDYRGEVPKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLFFVATSRVNVSPSLVLELLQRVARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYVFNEPIVIDAGRLQPLNPATIFTQGTKRMPGMAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKCYLTGNPEIRLALNEDLSIGGGSGRSVYDSRSSFGSGAVMLDDCNFHESVRLDNFDLDRTLTLVPPDGEFPVMNYRLTQEFKPPFRINTLIEEAGALKAEVILKISAEFPSSITANAVSVQMPLPKYTTRYSVCFLFVN >Manes.18G059600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5273095:5286115:1 gene:Manes.18G059600.v8.1 transcript:Manes.18G059600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDSIVFRDYRGEVPKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLFFVATSRVNVSPSLVLELLQRVARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYVFNEPIVIDAGRLQPLNPATIFTQGTKRMPGMAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKCYLTGNPEIRLALNEDLSIGGGSGRSVYDSRSSFGSGAVMLDDCNFHESVRLDNFDLDRTLTLVPPDGEFPVMNYRLTQEFKPPFRINTLIEEAGALKAEVILKISAEFPSSITANAVSVQMPLPKYTTRATFELEPGAIGQTTDFKEANKRLEWGLNKIVGGSEHTLRAKLAFSRELHGNITKEAGPVSMTFTIPMYNASRLQVSH >Manes.09G078283.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24252604:24254069:1 gene:Manes.09G078283.v8.1 transcript:Manes.09G078283.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQTQPAGFDITKFGAAPDGKVDASQAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAHQSLQGGMMVNDKLHGREYLVTKIQKVARNILWFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRLKGVTISNVKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKNSDVSFKNIKGTSATALTVQLICSSGFPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.16G104500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31024777:31031612:-1 gene:Manes.16G104500.v8.1 transcript:Manes.16G104500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGKVTQAAAPELLCSCEGQQSSTNCPQELPKICRFSLLFLFSLRRSQNWVRAAVEPEEEIGLEVEFQRKMAWSRKDVMQTLRTRGFLRQKFYLKMLLKLSEETITKTSLQFMLEMHQK >Manes.09G015600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3306459:3309319:1 gene:Manes.09G015600.v8.1 transcript:Manes.09G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFNLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTRLDDAIASFNHVIHMHPLPCRAPFNRFFSALVKMKQYHTVLSMSKTIELLGISHNVCSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTAVTFNTLINGLCMDSKIDKAVECFDDMVARGYQPNVRTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYTAIIDALCKDELVDEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVQQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTLNILIDTLCKKGLVSNAENIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPNAVTYSTLIKGMFEAGRPQTAQELFKNMCSHGQQPNIVTFSIMIAGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSMINGMCKVGKISDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDNDLILRLLKVGNEGSAN >Manes.05G143400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24434957:24438808:-1 gene:Manes.05G143400.v8.1 transcript:Manes.05G143400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSIPFSDLETKKALDRKFDIESIPCLIILQPKDTKDEATLHDGVEIIYRFGIQAFPFTKQRLQELERQVREKHESQTLTNLLTNLDREYLLGHPPSKQVPVDSLLGKTIGLFFSAQWCRPGVKFTPKLVSIYHKIKQLLTQQASEDFEVVFVSSDRDQQGFDSYFNIMPWLSLPFGDPTIKILTKHFDVQGIPCLIILGPDGKTITKHGRNLINLYQEDAYPFTEAKVDLLEKQIDEEAKSLPKSEYHVGHKHELTLVSQETGGGPFICCDCDEQGSGWAYLCLDCGYEVHPKCVRAMDRG >Manes.05G143400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24434957:24438808:-1 gene:Manes.05G143400.v8.1 transcript:Manes.05G143400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSIPFSDLETKKALDRKFDIESIPCLIILQPKDTKDEATLHDGVEIIYRFGIQAFPFTKQRLQELERQVREKHESQTLTNLLTNLDREYLLGHPPSKQVPVDSLLGKTIGLFFSAQWCRPGVKFTPKLVSIYHKIKQLLTQQASEDFEVVFVSSDRDQQGFDSYFNIMPWLSLPFGDPTIKILTKHFDVQGIPCLIILGPDGKTITKHGRNLINLYQEDAYPFTEAKVDLLEKQIDEEAKSLPKSEYHVGHKHELTLVSQETGGGPFICCDCDEQGSGWAYLCLDCGYEVHPKCVRAMDRG >Manes.05G143400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24434957:24438808:-1 gene:Manes.05G143400.v8.1 transcript:Manes.05G143400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSIPFSDLETKKALDRKFDIESIPCLIILQPKDTKDEATLHDGVEIIYRFGIQAFPFTKQRLQELERQVREKHESQTLTNLLTNLDREYLLGHPPSKQVPVDSLLGKTIGLFFSAQWCRPGVKFTPKLVSIYHKIKQLLTQQASEDFEVVFVSSDRDQQGFDSYFNIMPWLSLPFGDPTIKILTKHFDVQGIPCLIILGPDGKTITKHGRNLINLYQEDAYPFTEAKVDLLEKQIDEEAKSLPKSEYHVGHKHELTLVSQETGGGPFICCDCDEQGSGWAYLCLDCGYEVHPKCVRAMDRG >Manes.05G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24434957:24438808:-1 gene:Manes.05G143400.v8.1 transcript:Manes.05G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVMSLEEDYRNLKQAGAKTNGDGEKNISSSRFSSLLASKDRDFLLSPDGTQVQVSELEGKVVGLYFSANWYPPCRNFTEILINAYEHLNRSGSKFEVVFVSSDEDLDAFNKYRALMPWLSIPFSDLETKKALDRKFDIESIPCLIILQPKDTKDEATLHDGVEIIYRFGIQAFPFTKQRLQELERQVREKHESQTLTNLLTNLDREYLLGHPPSKQVPVDSLLGKTIGLFFSAQWCRPGVKFTPKLVSIYHKIKQLLTQQASEDFEVVFVSSDRDQQGFDSYFNIMPWLSLPFGDPTIKILTKHFDVQGIPCLIILGPDGKTITKHGRNLINLYQEDAYPFTEAKVDLLEKQIDEEAKSLPKSEYHVGHKHELTLVSQETGGGPFICCDCDEQGSGWAYLCLDCGYEVHPKCVRAMDRG >Manes.05G143400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24434957:24438808:-1 gene:Manes.05G143400.v8.1 transcript:Manes.05G143400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSIPFSDLETKKALDRKFDIESIPCLIILQPKDTKDEATLHDGVEIIYRFGIQAFPFTKQRLQELERQVREKHESQTLTNLLTNLDREYLLGHPPSKQVPVDSLLGKTIGLFFSAQWCRPGVKFTPKLVSIYHKIKQLLTQQASEDFEVVFVSSDRDQQGFDSYFNIMPWLSLPFGDPTIKILTKHFDVQGIPCLIILGPDGKTITKHGRNLINLYQEDAYPFTEAKVDLLEKQIDEEAKSLPKSEYHVGHKHELTLVSQETGGGPFICCDCDEQGSGWAYLCLDCGYEVHPKCVRAMDRG >Manes.18G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7495458:7497663:1 gene:Manes.18G081500.v8.1 transcript:Manes.18G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTAAKSHSRQGFDVSININAQAGSKWLDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAFMFLFSLVTYYTSTLLSVCYRTGDPVNGKRNYTYMDAVLSNLGGAKVKICGFVQYLNLFGVAIGYTIASAISMMAVKRSNCFHKRGDKDPCQMNSNPYMIAFGIVEILFSQIPDFDQLWWLSIVAAVMSFTYSSIGLGLGIAKVVENKKFMGNVTGVSIGTVTQTQKIWRSFQALGNIAFAYAYSIILIEIQDTIKSPPSEAKTMKKATLVSVAVTTLFYMLCGCFGYAAFGDKSPGNLLTGFGFYNPYWLVDIANVAIVVHLVGAYQVYCQPLFAFIEKTAVQRFPDSNFINKDIKIPIPGSRSYNLNLFRLVWRTCFVILTTLISMLLPFFNDIVGLLGAFAFWPLTVYFPVEMYIAQKQIPKWSTRWVCLQILSGACLIITIVAAAGSIAGVVGDLKSVKPFKSSY >Manes.06G133100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26139104:26141766:1 gene:Manes.06G133100.v8.1 transcript:Manes.06G133100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWLNEDSTYKYFEVILVDPAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNNTLSLRRYR >Manes.02G178000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14041753:14045453:-1 gene:Manes.02G178000.v8.1 transcript:Manes.02G178000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPILMIFVLVCVLLFSVFFWLHSWISPKAMEEIPGSLGWPIVGESFQFISEFSSPSGIYSFMKKRQERYGKVFKTFVLGRFTVFMTGREASKILLTGKDGMVSLNLFYTGQQVLGPTSLLQTTGEAHKRLRRLIAEPLSVDGLKKYFHFINTLAIETLDQWPGRKVFVLEEASTFTLKVIGNMIMSLEPTGEEQEKFRSNFKIISSSFASLPFKIPGTAFHNGIKARDRMYAMLDSIIADRRSGKSFRQDFLEALIMKHTKAGNAEDSDKLTDKQLKDNILTLLVAGHDTTTAALTWLIKFLDENPRVLEQLREEHKQVQSRRTEGANLTWSEVNNLPYTNKVISETLRRATILPWYSRKAAQDFEIDGFKIKKGWSINLDVVSIHHDPEVFPDPQRFNPTRFDELIKPYSFLGFGSGPRMCPGMNLAKLEICVFIHHLVTRYKWRPMEKDDCVQPTLVRMPKNKYPIIVEPL >Manes.03G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16451203:16454928:-1 gene:Manes.03G095700.v8.1 transcript:Manes.03G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEILKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRALWIQRINAGTRQHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISRNAFPGNKNVVLPPRKVNVSINV >Manes.06G062200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19686570:19691167:1 gene:Manes.06G062200.v8.1 transcript:Manes.06G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTPLESINEFLSNLNLGERTIKGCLEAYSCKHTGTDKKLSLSLEHEILDYLGKSSDTDSSSPAEYLLSRSSRKTLIYLVLTLYHMYPDYDFSAMKAHQFFTEESWDTFKQIFDTYMFEASKEWIKENEGGSLLETLFKALDEVVKLAECEIYSYNPDSDADPFLEKGAIWSFSFFFYNRKLKRVVSFRFCCLSNLVVEGYLVDNSSDEEDGEIFDDMDM >Manes.09G125200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32726884:32735044:1 gene:Manes.09G125200.v8.1 transcript:Manes.09G125200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRTVKVSNVSLGATEQDIKEFFSFSGDIDYVELHSETERSQIAYVTFRDPQGAETAVLLSGATIVDQSVVIELAPDYKLPAAASVPTTPTEKTTAPGRESAIQKAEDIVSSMLAKGFILGKDALNQAKAFDEKHRFTSTATSKVASLDQKIGLSEKITAGTVLVNDKMREVDEKFRVSETTKSAIAAAEQTVSNAGSAIMKNRYVLTGASWVTGAFKRVAKAAGDVGQKTKEKVSAEEEQSRRAEGYTQIHESYSPNMSEQMSKSPH >Manes.16G117700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32179482:32180636:-1 gene:Manes.16G117700.v8.1 transcript:Manes.16G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSCCLSLPPPIPTSRPSTLQASSNSKNSQGSWLKNDKWRSQCLLGMACIIIGLEMDLASHENLAAAEDLQFSLGESKEKTKRYRWSDKRMCPPWRLNALETIVPENLPRPSARRRWEAIDYSKIVPAPAPAIKVIIRSSKNCFTM >Manes.09G018580.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3939582:3940996:1 gene:Manes.09G018580.v8.1 transcript:Manes.09G018580.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIELLGISHNLYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPDVVTFATLINGLCIESKIDKAVEFFDDMVARGYQPNVRTFNVIAQNTFNVMIQRGVEPGVITYTSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQDIIKIMIQRGVEPTFVTYNSLMDGYCLGNQIDKARKLFDLMVTNEIANIFSYNILINGYCLVPSGVTYSTLIKGMFQAERPQTALELFKNMSSHGQQPDIGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGSQPNVHIYSAIMKGLCQKGLIDEAYKIFRDMERGGWFLRHENLPKASELINEMVDKGFSADATTTELVVHLSRNDDLILRKLRDSFEATKCINVK >Manes.10G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:730801:733757:-1 gene:Manes.10G005500.v8.1 transcript:Manes.10G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPDSVAEPVPGTPGIREVRSDMGTESFGLCAQQNVAKHGTRKVGLRAEIDTSPPFGSVKEAVTRFGGSGSWIPYYKQGFEEIDIKKVEEQAAELEKDLIVKELETLDVLEELGTTKRIVEELKLQLQKEALRCMTIPDEQMSSPAIKEMNKENCSFHVDKRVQRMENLSPCPTSSPDMILMELKQAKLNLGKTINDLGVIQNSVESLNKKMKKEKTFIEKTRKQLTSKFAGVLSLEEELKQARVKPCIADSAVTDYKAQQLKKMAEAEKSEVSKAILANEQTKTNLRTAELRLLAAKKMEEAARAAEAVALAEIKALSSNETSSGFVLPEPEKVASFEARTPLTPRAQKVEALAKKVEVAKLQKREANITKMSILRKLRDATEDVKQSKLALEEALNKVEMANRKQFAAEEAIRKWKPENDQEGQTPYYATRFNSFHMHQPDTDQDSPLNEMRNSNLANDDPKPILRSTVSMRDVLSRKQVLKEDYVMARPTEGREERQKVALSQMLHELREDLTFHPRTEKDSNDQKQLFTQRRKFGFIHISLPMTKPSKKKTQDFNNVMH >Manes.03G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3983460:3986171:-1 gene:Manes.03G045600.v8.1 transcript:Manes.03G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIRLMSLWLLVSVASMSWAVPLDVNFQAQVQTECSFTRYPSLCKKTLMESRDHPLHVISALVNKTVSETNLPRTYLQTMSSQLEIQDFQLAKSVTDYCEKLVRLSLKQLDRSLSALKESPRKNKNDIQTWLSAALTFQQSCKDSTAGVGNSQISRKMDYLTQLVSNPLALINRITGNNSKLKNNTRSRRLGEEIKDFPKWVSAKDRKLLQSSIIKANAVVAKDGTGNYRTISEAIKAASGGRFVIYVKAGVYKEKIHTNKDGITLIGDGKYSTVITGDDSVAGGSSMPGSATFTITGDGFIARDIGFENTAGPRGEQALALYIASDHSVLYRCSIAGYQDTLFAQALRQFYRECDIYGTIDFIFGNAAAIFQNCYVVLRRPREGAYNVILANGRTDPGQNTGFSLQNCRITASSDFSPVKHNYESYLGRPWKEYSRAVIMESSIDDAIHSRGWIEWPGERSYYKTLYFAEYMNTGPGAGTSKRVNWPGFHVIGAQEAVKFTVGNFIGGTSWLPSTGVTFISGLL >Manes.07G072100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15230889:15235406:1 gene:Manes.07G072100.v8.1 transcript:Manes.07G072100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNGISLRFVTFAFVFVFVLVQVLDPALALNTDGILLLSFKDSILSDPLSVLESWNYDDMTPCSWKGVSCTELGLPVTPDKFRVTSLTLPDSQLLGSIPPDLGLIQHLRRLDLSNNFLNGSLPISFFNNTELQVISLSGNEISGVLPESVGGMRSLQFLNLSDNVLAGKLPKNLTSLQNLTVLSLRSNYFSGYVPSGFNSVEVLDLSSNLLNGSLPLDFGGSNLHYLNLSYNKLSGQISQPFAEKIPQNASIDLSFNNLTGAIPGSVSLLNQKTESFRGNVDLCGKPLKNLCSIPSTLSTPPNISAISPAIAVIPKPLESTPVANSSAGNQNTTKQNQTQNGLKPTTIVAIAAADLAGISVLAITILYAYHLKKKKKKKNIDQNDQPQPKSKHKLPSETIISELDQPVETRKPTTWSCLNIKAEETSEEETTSDSDHDGGNQNEVINMNQHRQKGGKLVMVDGETELDMETLLKATAYTLGASGATIVYKAVLGDGTTFAVRRIGECGVARFRDFENQVRLIAKLRHPNLVRVRGIHWGDNEKLIIYDYVSNGSLASSSYRKHGSSPFHLPLGVRFKIARGLARGLAFIHDKKYVHGNIKPTNILLNSDMDPIISDFGLVRLVSNNNNSCKASNSGRNLDSQKSISTSQDLTITSSPYATPNSTTSSTMPYQAPESFRNIKPNHKWDVYSFGVILLELLIGRVLLERELCQWSAILIAEKKNQVLRLVDVAIRADVEAKEDAVLSCLKLGFSCASFAPQKRPTMREAVQVLEKIP >Manes.07G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15230889:15235613:1 gene:Manes.07G072100.v8.1 transcript:Manes.07G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNGISLRFVTFAFVFVFVLVQVLDPALALNTDGILLLSFKDSILSDPLSVLESWNYDDMTPCSWKGVSCTELGLPVTPDKFRVTSLTLPDSQLLGSIPPDLGLIQHLRRLDLSNNFLNGSLPISFFNNTELQVISLSGNEISGVLPESVGGMRSLQFLNLSDNVLAGYVPSGFNSVEVLDLSSNLLNGSLPLDFGGSNLHYLNLSYNKLSGQISQPFAEKIPQNASIDLSFNNLTGAIPGSVSLLNQKTESFRGNVDLCGKPLKNLCSIPSTLSTPPNISAISPAIAVIPKPLESTPVANSSAGNQNTTKQNQTQNGLKPTTIVAIAAADLAGISVLAITILYAYHLKKKKKKKNIDQNDQPQPKSKHKLPSETIISELDQPVETRKPTTWSCLNIKAEETSEEETTSDSDHDGGNQNEVINMNQHRQKGGKLVMVDGETELDMETLLKATAYTLGASGATIVYKAVLGDGTTFAVRRIGECGVARFRDFENQVRLIAKLRHPNLVRVRGIHWGDNEKLIIYDYVSNGSLASSSYRKHGSSPFHLPLGVRFKIARGLARGLAFIHDKKYVHGNIKPTNILLNSDMDPIISDFGLVRLVSNNNNSCKASNSGRNLDSQKSISTSQDLTITSSPYATPNSTTSSTMPYQAPESFRNIKPNHKWDVYSFGVILLELLIGRVLLERELCQWSAILIAEKKNQVLRLVDVAIRADVEAKEDAVLSCLKLGFSCASFAPQKRPTMREAVQVLEKIP >Manes.07G072100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15230887:15235613:1 gene:Manes.07G072100.v8.1 transcript:Manes.07G072100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCSWKGVSCTELGLPVTPDKFRVTSLTLPDSQLLGSIPPDLGLIQHLRRLDLSNNFLNGSLPISFFNNTELQVISLSGNEISGVLPESVGGMRSLQFLNLSDNVLAGYVPSGFNSVEVLDLSSNLLNGSLPLDFGGSNLHYLNLSYNKLSGQISQPFAEKIPQNASIDLSFNNLTGAIPGSVSLLNQKTESFRGNVDLCGKPLKNLCSIPSTLSTPPNISAISPAIAVIPKPLESTPVANSSAGNQNTTKQNQTQNGLKPTTIVAIAAADLAGISVLAITILYAYHLKKKKKKKNIDQNDQPQPKSKHKLPSETIISELDQPVETRKPTTWSCLNIKAEETSEEETTSDSDHDGGNQNEVINMNQHRQKGGKLVMVDGETELDMETLLKATAYTLGASGATIVYKAVLGDGTTFAVRRIGECGVARFRDFENQVRLIAKLRHPNLVRVRGIHWGDNEKLIIYDYVSNGSLASSSYRKHGSSPFHLPLGVRFKIARGLARGLAFIHDKKYVHGNIKPTNILLNSDMDPIISDFGLVRLVSNNNNSCKASNSGRNLDSQKSISTSQDLTITSSPYATPNSTTSSTMPYQAPESFRNIKPNHKWDVYSFGVILLELLIGRVLLERELCQWSAILIAEKKNQVLRLVDVAIRADVEAKEDAVLSCLKLGFSCASFAPQKRPTMREAVQVLEKIP >Manes.03G025100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2032319:2040745:1 gene:Manes.03G025100.v8.1 transcript:Manes.03G025100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFHKLCQIKTRRWLLVVGAVAITHTLFQSLLLPYGNALSSLLPNSHDLIYDKSSFPIIHFSTKSLMVRNPLTVDPSSLNKSSILDGVVVKDAVSSGGSGEVTHDMGSQRNSGETEIEFASEDEDLDNPIEVAVDNDGDDDDFVEEDLDNPIELVVDRNVSNFSSGNSSGNSTFESIKRQESISVIEFATEGKHDFPLLGQNGKSNLEFFTDSNPPQKELGRIKIALLSPSVEPEVVTSSTNISYSRSSGSSSVGSAIQKIGFSSKNNSARMDKPGRKKMRCEMPPKSITLIDEMNRILVRHRRSSRSMRPRWSSHHDQEILAAKSQIENAPVPVNDRDLYAPLFRNVSKFKRSYELMERILKVYIYKDGKKPIFHLPILKGLYASEGWFMKLMQGSKHFIVKDPRKAHLFYMPFSSRMLEYTLYVRNSHNRTNLRQYLKEYSEKIAAKYPYWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDVSLPETYVRSARNPLRDLGGNPPSQRHILAFYAGSMHGYLRPILLKYWKDKDPSMKMFGPMPPGVASKMNYIQHMKSSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVFNWGAFSVIIAEKDIPNLKEILLSIPQKKYLEMQLGVKKVQRHFLWHANPVKYDLFHMTLHSIWYNRVYQIKPR >Manes.02G104400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8160510:8163368:-1 gene:Manes.02G104400.v8.1 transcript:Manes.02G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKWPKSKSISPLYLLSRRFTSTLHSAESNSTIHCKIKDLISRGLYGQTLVLYTQEFHRAGVQANAFILPSLLKACSSSHYHHYGLQLHCVVLKSGFLSDPVISNALMPFYAKSSDVELALKAFDAMPSRDSISWNSIINCCVQKGRITKAFELFKEMYRLGFVPKSELLASFISLSVRFGDLKLGRIIHALVIVDERIQEEVFVLTSLVDWYFKCGYSSMALRVFDRMEVKNEISWTAMVSGCIANFDHGMAVDCFRAMQINGVKPNRVTLIASLPACAELGCIKHGKEIHGYAIRQGFDSDRHFLSSLIHMYCISGKSMQPAKLIFERSEVKDVVLWSSIIGSYSRSGHSVEAINLFKRMREEGIGPNSVTLLAVITACTTKTALELGNATHGYIVKCGLNFDVFIGNALINMYAKCGCLVASHQIFKEMHIKDSVSWSTLIAGYGLHGYGKEALSFFHEMQDRLVEPDAITLIAILSACNHAGLVEEGKQIFDNVKKEGKILLTIEHYACLIDLLGKSGKIDDAFDIVRTMPLKPSASIWSSLVSACKTHGRSEIAEILARQLIESEPGNAANHTSLSGIFAESKNWHAVEEVRRFMKAKGLTKCYGFSQISIGNPSSFPI >Manes.14G146932.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15345994:15346543:-1 gene:Manes.14G146932.v8.1 transcript:Manes.14G146932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFKKMSTNASSSLSVNQPNCEVDIKNLPSDSGLQPNIMRYSLDVRNQVRRTYLLKDGNRNRRFIVSWFDEFGSWLEYSITKNARSERGYDAFVTESFSNWRKKKNLREHVGNHNSNHNRCHLACQYLMNQTQHIEESISKQ >Manes.02G163100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12796753:12798542:-1 gene:Manes.02G163100.v8.1 transcript:Manes.02G163100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKPIVALSFILCMLCSTYASGFPAIFNFGDSNSDTGGLSAAFSPRNSPYGQTFFQMPVGRCSDGRLIIDFIAESFNLPYLSSYLNSLGANYTHGANFATASSTITVPPSIIPQAGGFSPFNLDVQYEQFLQFMTRSQIIKEQGGTFAELMPEKDYFGKALYTFDIGQNDLAVRFLDNMTVKEVKAIVPDIVDGFSENVKKIYNLGARSFWIHNTGPIGCLSFILEDFPSAKKDNAGCAEAYNEVAQLFNLNLKSSICQLRIDLPLASFTYVDIYSIKYSLYSEPQKHGFEFPHVACCGYGGKYNYSSVAECGDRVITEDGNRIVVDACPSPANRVMWDGVHYTEAANRYVFDQISTGAFLEHSAAFNMPPLISSS >Manes.05G034300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2892980:2908816:1 gene:Manes.05G034300.v8.1 transcript:Manes.05G034300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHTANCTGAVNNSIGGASARDTSRADSSSLPANFSINSRRPPPLTPYKLKCDKDPLNSRLGPPDFHPQTPNCPEETLTREYVQSGYRETVEGLEEAREISLTEVQVFTKPVVIKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGALLTKPAVFPDQRACGEDFKKKWIEGLSQPHKRLRSLADHVPHGYRRKSLFEVLIRNNVPLLRATWFIKVTYLNQVRPSSASISSGTPDKTQLSRTELWTKDVIEYLQILLDEFFSRNNSHSAPHARDRSPQMLYAGSVHHRSDPAPALIDGEEPSLHFKWWYVVRLLHWHHAEGLLLPSAIIDWVLSQLQEKDILEILQLLLPIIYGVLDTIVLSQTYVRMLAGIAVRYIREPSPGGSDLVDNSRRAYTMSALIEMLRYLILAVPDTFVALDCFPLPLSILSYAVNDGSFVSKISEEARKTKDNSAGILSIFRNKGLDAQYQSFSFDQVVSSIQKRADNLAKAACPGYLVHSVAKAVQALDKSLLQGDIREAYSFLFENFCDGAVDEGWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDFRDFRAAPPHDLKFTGRKDFSQVHIASRLLKLTIKDLQSRSRQKNDRSLGINSLAKGLGRHNNVGRVPVGNGYENKGKLKNVNRKNANSSYIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFYPQSYVRQLIVSGIMDASGPLGDFNRRRRHYQILKQLPGLFIRDVLEEGRIAEGSELLEAVHVYSNERRLLLRGVLCEQYQNSFKSNISMQKQKHHLASVKDGGSTSSIDQWKSIQSWSNILMSKKAKSNADIEELKTTILLLLQIPNLSTSSDTGPDESQGSVKRAAESISSKMDSVEGTPGCEDCRRAKRQKLNEEKSSCFQGHSPTSDDEDTWWMRKGPKSLDSSKVDPPLKSSKQVSKVRQKVVRKSLAQLTAARIEGSQGASTSHVCDNKVGCPHHRNGTEGETLKSVDGIRTLHGGDIVSTAKALKQLRFVQKRSITLWLVATVKQLIEETERNIANSNQFARPFGSADDRSSIRWKLGEDELSIILYLMDVCNDLVSACKLLLWLLPKVVTNPSPTIHSGRNIMMLQRNVENHVCEVGEAFLLSCLRRYENILVATDLIPEVLTAAMQRVAALLASSGRVSGSAVLNYSRYLLKKYANLPSVFEWDKSFKATCDKRLISELEPSRSLDGEFGFPLGVPAGVEDLDDFLRQKISGNRITRAGISMKDTVQRHIDDVFHYFSGKDRKFFGAGTQKSPGYEKSDDGYQIAQQITVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTIAKMPDFTVASKHSNSSSAMASLSVARRILRIHISCLCLLKEALGERQSRVFEIALATEASSALATAFGPGKTSRSQFQLSPEDSNVNNDVLNSSARSGRVTKSAAAISALVVGAVIHGVTSLERMVTVFRLKEGLEVIQFIRSTKSYSNGNARSIPAFKVDNSIDVYVHWFRLLVGNCRTLSDGLIVELLGEPSIVALSRMQRMLPLSLVFPPAYSIFAFVIWKQIILSKDLANREDINQLYQSLTMAIGDAIKHLPFRDICLRDSQGFYDIVAADASDAEVAATLNGLDMHSKSAAFVPLRGRLFLNALVDCKMPQSLSAHDDSNRVSGLGGSKGQHSENETKLVDKLVNVLDTIQPAKFHWQWVELRLLLNEQAVVEKLEARDMSLADAIRSSSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHLFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLINIAESKNLSTKAQFWKPWGWCRSGFDTVTNRGEKKKIEVTSLEEGEVIEDGTDTKRSGKGSTQMYNSEGFNMSQQYTTERALVELVLPCIDQGSDESRNTFASDLIKQLNNIEQQINMVTRGASKQSGSTSSGLEGPANKGSNRKVMRGGSPGMNRRNAGGAADSALPPPAALRSSMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPVQSSQSKMDMESLLEIVSVDLSGESLFDRMLLVLHGLLSNSQPSWLKSRSPSKLMNEFPKDSSGLDREVVESLQNDLDRMQLPGSIRWRIQAAMPVLLPSARWSVSCQLPYVPGAAVASLQPSITLSGFYSLNPSQKSPLPLARIMTSGPGKSKPLPLQQENDVEIDPWTLLEDGTGSGPSSSNAAAIGSGDQANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Manes.05G034300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2893078:2908806:1 gene:Manes.05G034300.v8.1 transcript:Manes.05G034300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHTANCTGAVNNSIGGASARDTSRADSSSLPANFSINSRRPPPLTPYKLKCDKDPLNSRLGPPDFHPQTPNCPEETLTREYVQSGYRETVEGLEEAREISLTEVQVFTKPVVIKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGALLTKPAVFPDQRACGEDFKKKWIEGLSQPHKRLRSLADHVPHGYRRKSLFEVLIRNNVPLLRATWFIKVTYLNQVRPSSASISSGTPDKTQLSRTELWTKDVIEYLQILLDEFFSRNNSHSAPHARDRSPQMLYAGSVHHRSDPAPALIDGEEPSLHFKWWYVVRLLHWHHAEGLLLPSAIIDWVLSQLQEKDILEILQLLLPIIYGVLDTIVLSQTYVRMLAGIAVRYIREPSPGGSDLVDNSRRAYTMSALIEMLRYLILAVPDTFVALDCFPLPLSILSYAVNDGSFVSKISEEARKTKDNSAGILSIFRNKGLDAQYQSFSFDQVVSSIQKRADNLAKAACPGYLVHSVAKAVQALDKSLLQGDIREAYSFLFENFCDGAVDEGWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDFRDFRAAPPHDLKFTGRKDFSQVHIASRLLKLTIKDLQSRSRQKNDRSLGINSLAKGLGRHNNVGRVPVGNGYENKVQKGEGLKRLQLLIVELIRSGIFYPQSYVRQLIVSGIMDASGPLGDFNRRRRHYQILKQLPGLFIRDVLEEGRIAEGSELLEAVHVYSNERRLLLRGVLCEQYQNSFKSNISMQKQKHHLASVKDGGSTSSIDQWKSIQSWSNILMSKKAKSNADIEELKTTILLLLQIPNLSTSSDTGPDESQGSVKRAAESISSKMDSVEGTPGCEDCRRAKRQKLNEEKSSCFQGHSPTSDDEDTWWMRKGPKSLDSSKVDPPLKSSKQVSKVRQKVVRKSLAQLTAARIEGSQGASTSHVCDNKVGCPHHRNGTEGETLKSVDGIRTLHGGDIVSTAKALKQLRFVQKRSITLWLVATVKQLIEETERNIANSNQFARPFGSADDRSSIRWKLGEDELSIILYLMDVCNDLVSACKLLLWLLPKVVTNPSPTIHSGRNIMMLQRNVENHVCEVGEAFLLSCLRRYENILVATDLIPEVLTAAMQRVAALLASSGRVSGSAVLNYSRYLLKKYANLPSVFEWDKSFKATCDKRLISELEPSRSLDGEFGFPLGVPAGVEDLDDFLRQKISGNRITRAGISMKDTVQRHIDDVFHYFSGKDRKFFGAGTQKSPGYEKSDDGYQIAQQITVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTIAKMPDFTVASKHSNSSSAMASLSVARRILRIHISCLCLLKEALGERQSRVFEIALATEASSALATAFGPGKTSRSQFQLSPEDSNVNNDVLNSSARSGRVTKSAAAISALVVGAVIHGVTSLERMVTVFRLKEGLEVIQFIRSTKSYSNGNARSIPAFKVDNSIDVYVHWFRLLVGNCRTLSDGLIVELLGEPSIVALSRMQRMLPLSLVFPPAYSIFAFVIWKQIILSKDLANREDINQLYQSLTMAIGDAIKHLPFRDICLRDSQGFYDIVAADASDAEVAATLNGLDMHSKSAAFVPLRGRLFLNALVDCKMPQSLSAHDDSNRVSGLGGSKGQHSENETKLVDKLVNVLDTIQPAKFHWQWVELRLLLNEQAVVEKLEARDMSLADAIRSSSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHLFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLINIAESKNLSTKAQFWKPWGWCRSGFDTVTNRGEKKKIEVTSLEEGEVIEDGTDTKRSGKGSTQMYNSEGFNMSQQYTTERALVELVLPCIDQGSDESRNTFASDLIKQLNNIEQQINMVTRGASKQSGSTSSGLEGPANKGSNRKVMRGGSPGMNRRNAGGAADSALPPPAALRSSMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPVQSSQSKMDMESLLEIVSVDLSGESLFDRMLLVLHGLLSNSQPSWLKSRSPSKLMNEFPKDSSGLDREVVESLQNDLDRMQLPGSIRWRIQAAMPVLLPSARWSVSCQLPYVPGAAVASLQPSITLSGFYSLNPSQKSPLPLARIMTSGPGKSKPLPLQQENDVEIDPWTLLEDGTGSGPSSSNAAAIGSGDQANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Manes.05G034300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2893773:2908806:1 gene:Manes.05G034300.v8.1 transcript:Manes.05G034300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHTANCTGAVNNSIGGASARDTSRADSSSLPANFSINSRRPPPLTPYKLKCDKDPLNSRLGPPDFHPQTPNCPEETLTREYVQSGYRETVEGLEEAREISLTEVQVFTKPVVIKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGALLTKPAVFPDQRACGEDFKKKWIEGLSQPHKRLRSLADHVPHGYRRKSLFEVLIRNNVPLLRATWFIKVTYLNQVRPSSASISSGTPDKTQLSRTELWTKDVIEYLQILLDEFFSRNNSHSAPHARDRSPQMLYAGSVHHRSDPAPALIDGEEPSLHFKWWYVVRLLHWHHAEGLLLPSAIIDWVLSQLQEKDILEILQLLLPIIYGVLDTIVLSQTYVRMLAGIAVRYIREPSPGGSDLVDNSRRAYTMSALIEMLRYLILAVPDTFVALDCFPLPLSILSYAVNDGSFVSKISEEARKTKDNSAGILSIFRNKGLDAQYQSFSFDQVVSSIQKRADNLAKAACPGYLVHSVAKAVQALDKSLLQGDIREAYSFLFENFCDGAVDEGWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDFRDFRAAPPHDLKFTGRKDFSQVHIASRLLKLTIKDLQSRSRQKNDRSLGINSLAKGLGRHNNVGRVPVGNGYENKGKLKNVNRKNANSSYIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFYPQSYVRQLIVSGIMDASGPLGDFNRRRRHYQILKQLPGLFIRDVLEEGRIAEGSELLEAVHVYSNERRLLLRGVLCEQYQNSFKSNISMQKQKHHLASVKDGGSTSSIDQWKSIQSWSNILMSKKAKSNADIEELKTTILLLLQIPNLSTSSDTGPDESQGSVKRAAESISSKMDSVEGTPGCEDCRRAKRQKLNEEKSSCFQGHSPTSDDEDTWWMRKGPKSLDSSKVDPPLKSSKQVSKVRQKVVRKSLAQLTAARIEGSQGASTSHVCDNKVGCPHHRNGTEGETLKSVDGIRTLHGGDIVSTAKALKQLRFVQKRSITLWLVATVKQLIEETERNIANSNQFARPFGSADDRSSIRWKLGEDELSIILYLMDVCNDLVSACKLLLWLLPKVVTNPSPTIHSGRNIMMLQRNVENHVCEVGEAFLLSCLRRYENILVATDLIPEVLTAAMQRVAALLASSGRVSGSAVLNYSRYLLKKYANLPSVFEWDKSFKATCDKRLISELEPSRSLDGEFGFPLGVPAGVEDLDDFLRQKISGNRITRAGISMKDTVQRHIDDVFHYFSGKDRKFFGAGTQKSPGYEKSDDGYQIAQQITVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTIAKMPDFTVASKHSNSSSAMASLSVARRILRIHISCLCLLKEALGERQSRVFEIALATEASSALATAFGPGKTSRSQFQLSPEDSNVNNDVLNSSARSGRVTKSAAAISALVVGAVIHGVTSLERMVTVFRLKEGLEVIQFIRSTKSYSNGNARSIPAFKVDNSIDVYVHWFRLLVGNCRTLSDGLIVELLGEPSIVALSRMQRMLPLSLVFPPAYSIFAFVIWKQIILSKDLANREDINQLYQSLTMAIGDAIKHLPFRDICLRDSQGFYDIVAADASDAEVAATLNGLDMHSKSAAFVPLRGRLFLNALVDCKMPQSLSAHDDSNRVSGLGGSKGQHSENETKLVDKLVNVLDTIQPAKFHWQWVELRLLLNEQAVVEKLEARDMSLADAIRSSSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHLFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLINIAESKNLSTKAQFWKPWGWCRSGFDTVTNRGEKKKIEVTSLEEGEVIEDGTDTKRSGKGSTQMYNSEGFNMSQQYTTERALVELVLPCIDQGSDESRNTFASDLIKQLNNIEQQINMVTRGASKQSGSTSSGLEGPANKGSNRKVMRGGSPGMNRRNAGGAADSALPPPAALRSSMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPVQSSQSKMDMESLLEIVSVDLSGESLFDRMLLVLHGLLSNSQPSWLKSRSPSKLMNEFPKDSSGLDREVVESLQNDLDRMQLPGSIRWRIQAAMPVLLPSARWSVSCQLPYVPGAAVASLQPSITLSGFYSLNPSQKSPLPLARIMTSGPGKSKPLPLQQENDVEIDPWTLLEDGTGSGPSSSNAAAIGSGDQANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Manes.05G034300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2893078:2908806:1 gene:Manes.05G034300.v8.1 transcript:Manes.05G034300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRPPPLTPYKLKCDKDPLNSRLGPPDFHPQTPNCPEETLTREYVQSGYRETVEGLEEAREISLTEVQVFTKPVVIKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGALLTKPAVFPDQRACGEDFKKKWIEGLSQPHKRLRSLADHVPHGYRRKSLFEVLIRNNVPLLRATWFIKVTYLNQVRPSSASISSGTPDKTQLSRTELWTKDVIEYLQILLDEFFSRNNSHSAPHARDRSPQMLYAGSVHHRSDPAPALIDGEEPSLHFKWWYVVRLLHWHHAEGLLLPSAIIDWVLSQLQEKDILEILQLLLPIIYGVLDTIVLSQTYVRMLAGIAVRYIREPSPGGSDLVDNSRRAYTMSALIEMLRYLILAVPDTFVALDCFPLPLSILSYAVNDGSFVSKISEEARKTKDNSAGILSIFRNKGLDAQYQSFSFDQVVSSIQKRADNLAKAACPGYLVHSVAKAVQALDKSLLQGDIREAYSFLFENFCDGAVDEGWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDFRDFRAAPPHDLKFTGRKDFSQVHIASRLLKLTIKDLQSRSRQKNDRSLGINSLAKGLGRHNNVGRVPVGNGYENKGKLKNVNRKNANSSYIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFYPQSYVRQLIVSGIMDASGPLGDFNRRRRHYQILKQLPGLFIRDVLEEGRIAEGSELLEAVHVYSNERRLLLRGVLCEQYQNSFKSNISMQKQKHHLASVKDGGSTSSIDQWKSIQSWSNILMSKKAKSNADIEELKTTILLLLQIPNLSTSSDTGPDESQGSVKRAAESISSKMDSVEGTPGCEDCRRAKRQKLNEEKSSCFQGHSPTSDDEDTWWMRKGPKSLDSSKVDPPLKSSKQVSKVRQKVVRKSLAQLTAARIEGSQGASTSHVCDNKVGCPHHRNGTEGETLKSVDGIRTLHGGDIVSTAKALKQLRFVQKRSITLWLVATVKQLIEETERNIANSNQFARPFGSADDRSSIRWKLGEDELSIILYLMDVCNDLVSACKLLLWLLPKVVTNPSPTIHSGRNIMMLQRNVENHVCEVGEAFLLSCLRRYENILVATDLIPEVLTAAMQRVAALLASSGRVSGSAVLNYSRYLLKKYANLPSVFEWDKSFKATCDKRLISELEPSRSLDGEFGFPLGVPAGVEDLDDFLRQKISGNRITRAGISMKDTVQRHIDDVFHYFSGKDRKFFGAGTQKSPGYEKSDDGYQIAQQITVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTIAKMPDFTVASKHSNSSSAMASLSVARRILRIHISCLCLLKEALGERQSRVFEIALATEASSALATAFGPGKTSRSQFQLSPEDSNVNNDVLNSSARSGRVTKSAAAISALVVGAVIHGVTSLERMVTVFRLKEGLEVIQFIRSTKSYSNGNARSIPAFKVDNSIDVYVHWFRLLVGNCRTLSDGLIVELLGEPSIVALSRMQRMLPLSLVFPPAYSIFAFVIWKQIILSKDLANREDINQLYQSLTMAIGDAIKHLPFRDICLRDSQGFYDIVAADASDAEVAATLNGLDMHSKSAAFVPLRGRLFLNALVDCKMPQSLSAHDDSNRVSGLGGSKGQHSENETKLVDKLVNVLDTIQPAKFHWQWVELRLLLNEQAVVEKLEARDMSLADAIRSSSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHLFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLINIAESKNLSTKAQFWKPWGWCRSGFDTVTNRGEKKKIEVTSLEEGEVIEDGTDTKRSGKGSTQMYNSEGFNMSQQYTTERALVELVLPCIDQGSDESRNTFASDLIKQLNNIEQQINMVTRGASKQSGSTSSGLEGPANKGSNRKVMRGGSPGMNRRNAGGAADSALPPPAALRSSMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPVQSSQSKMDMESLLEIVSVDLSGESLFDRMLLVLHGLLSNSQPSWLKSRSPSKLMNEFPKDSSGLDREVVESLQNDLDRMQLPGSIRWRIQAAMPVLLPSARWSVSCQLPYVPGAAVASLQPSITLSGFYSLNPSQKSPLPLARIMTSGPGKSKPLPLQQENDVEIDPWTLLEDGTGSGPSSSNAAAIGSGDQANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Manes.05G034300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2893078:2908806:1 gene:Manes.05G034300.v8.1 transcript:Manes.05G034300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRPPPLTPYKLKCDKDPLNSRLGPPDFHPQTPNCPEETLTREYVQSGYRETVEGLEEAREISLTEVQVFTKPVVIKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGALLTKPAVFPDQRACGEDFKKKWIEGLSQPHKRLRSLADHVPHGYRRKSLFEVLIRNNVPLLRATWFIKVTYLNQVRPSSASISSGTPDKTQLSRTELWTKDVIEYLQILLDEFFSRNNSHSAPHARDRSPQMLYAGSVHHRSDPAPALIDGEEPSLHFKWWYVVRLLHWHHAEGLLLPSAIIDWVLSQLQEKDILEILQLLLPIIYGVLDTIVLSQTYVRMLAGIAVRYIREPSPGGSDLVDNSRRAYTMSALIEMLRYLILAVPDTFVALDCFPLPLSILSYAVNDGSFVSKISEEARKTKDNSAGILSIFRNKGLDAQYQSFSFDQVVSSIQKRADNLAKAACPGYLVHSVAKAVQALDKSLLQGDIREAYSFLFENFCDGAVDEGWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDFRDFRAAPPHDLKFTGRKDFSQVHIASRLLKLTIKDLQSRSRQKNDRSLGINSLAKGLGRHNNVGRVPVGNGYENKVQKGEGLKRLQLLIVELIRSGIFYPQSYVRQLIVSGIMDASGPLGDFNRRRRHYQILKQLPGLFIRDVLEEGRIAEGSELLEAVHVYSNERRLLLRGVLCEQYQNSFKSNISMQKQKHHLASVKDGGSTSSIDQWKSIQSWSNILMSKKAKSNADIEELKTTILLLLQIPNLSTSSDTGPDESQGSVKRAAESISSKMDSVEGTPGCEDCRRAKRQKLNEEKSSCFQGHSPTSDDEDTWWMRKGPKSLDSSKVDPPLKSSKQVSKVRQKVVRKSLAQLTAARIEGSQGASTSHVCDNKVGCPHHRNGTEGETLKSVDGIRTLHGGDIVSTAKALKQLRFVQKRSITLWLVATVKQLIEETERNIANSNQFARPFGSADDRSSIRWKLGEDELSIILYLMDVCNDLVSACKLLLWLLPKVVTNPSPTIHSGRNIMMLQRNVENHVCEVGEAFLLSCLRRYENILVATDLIPEVLTAAMQRVAALLASSGRVSGSAVLNYSRYLLKKYANLPSVFEWDKSFKATCDKRLISELEPSRSLDGEFGFPLGVPAGVEDLDDFLRQKISGNRITRAGISMKDTVQRHIDDVFHYFSGKDRKFFGAGTQKSPGYEKSDDGYQIAQQITVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTIAKMPDFTVASKHSNSSSAMASLSVARRILRIHISCLCLLKEALGERQSRVFEIALATEASSALATAFGPGKTSRSQFQLSPEDSNVNNDVLNSSARSGRVTKSAAAISALVVGAVIHGVTSLERMVTVFRLKEGLEVIQFIRSTKSYSNGNARSIPAFKVDNSIDVYVHWFRLLVGNCRTLSDGLIVELLGEPSIVALSRMQRMLPLSLVFPPAYSIFAFVIWKQIILSKDLANREDINQLYQSLTMAIGDAIKHLPFRDICLRDSQGFYDIVAADASDAEVAATLNGLDMHSKSAAFVPLRGRLFLNALVDCKMPQSLSAHDDSNRVSGLGGSKGQHSENETKLVDKLVNVLDTIQPAKFHWQWVELRLLLNEQAVVEKLEARDMSLADAIRSSSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHLFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLINIAESKNLSTKAQFWKPWGWCRSGFDTVTNRGEKKKIEVTSLEEGEVIEDGTDTKRSGKGSTQMYNSEGFNMSQQYTTERALVELVLPCIDQGSDESRNTFASDLIKQLNNIEQQINMVTRGASKQSGSTSSGLEGPANKGSNRKVMRGGSPGMNRRNAGGAADSALPPPAALRSSMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPVQSSQSKMDMESLLEIVSVDLSGESLFDRMLLVLHGLLSNSQPSWLKSRSPSKLMNEFPKDSSGLDREVVESLQNDLDRMQLPGSIRWRIQAAMPVLLPSARWSVSCQLPYVPGAAVASLQPSITLSGFYSLNPSQKSPLPLARIMTSGPGKSKPLPLQQENDVEIDPWTLLEDGTGSGPSSSNAAAIGSGDQANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Manes.05G034300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2893078:2908806:1 gene:Manes.05G034300.v8.1 transcript:Manes.05G034300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHTANCTGAVNNSIGGASARDTSRADSSSLPANFSINSRRPPPLTPYKLKCDKDPLNSRLGPPDFHPQTPNCPEETLTREYVQSGYRETVEGLEEAREISLTEVQVFTKPVVIKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGALLTKPAVFPDQRACGEDFKKKWIEGLSQPHKRLRSLADHVPHGYRRKSLFEVLIRNNVPLLRATWFIKVTYLNQVRPSSASISSGTPDKTQLSRTELWTKDVIEYLQILLDEFFSRNNSHSAPHARDRSPQMLYAGSVHHRSDPAPALIDGEEPSLHFKWWYVVRLLHWHHAEGLLLPSAIIDWVLSQLQEKDILEILQLLLPIIYGVLDTIVLSQTYVRMLAGIAVRYIREPSPGGSDLVDNSRRAYTMSALIEMLRYLILAVPDTFVALDCFPLPLSILSYAVNDGSFVSKISEEARKTKDNSAGILSIFRNKGLDAQYQSFSFDQVVSSIQKRADNLAKAACPGYLVHSVAKAVQALDKSLLQGDIREAYSFLFENFCDGAVDEGWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDFRDFRAAPPHDLKFTGRKDFSQVHIASRLLKLTIKDLQSRSRQKNDRSLGINSLAKGLGRHNNVGRVPVGNGYENKGKLKNVNRKNANSSYIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFYPQSYVRQLIVSGIMDASGPLGDFNRRRRHYQILKQLPGLFIRDVLEEGRIAEGSELLEAVHVYSNERRLLLRGVLCEQYQNSFKSNISMQKQKHHLASVKDGGSTSSIDQWKSIQSWSNILMSKKAKSNADIEELKTTILLLLQIPNLSTSSDTGPDESQGSVKRAAESISSKMDSVEGTPGCEDCRRAKRQKLNEEKSSCFQGHSPTSDDEDTWWMRKGPKSLDSSKVDPPLKSSKQVSKVRQKVVRKSLAQLTAARIEGSQGASTSHVCDNKVGCPHHRNGTEGETLKSVDGIRTLHGGDIVSTAKALKQLRFVQKRSITLWLVATVKQLIEETERNIANSNQFARPFGSADDRSSIRWKLGEDELSIILYLMDVCNDLVSACKLLLWLLPKVVTNPSPTIHSGRNIMMLQRNVENHVCEVGEAFLLSCLRRYENILVATDLIPEVLTAAMQRVAALLASSGRVSGSAVLNYSRYLLKKYANLPSVFEWDKSFKATCDKRLISELEPSRSLDGEFGFPLGVPAGVEDLDDFLRQKISGNRITRAGISMKDTVQRHIDDVFHYFSGKDRKFFGAGTQKSPGYEKSDDGYQIAQQITVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTIAKMPDFTVASKHSNSSSAMASLSVARRILRIHISCLCLLKEALGERQSRVFEIALATEASSALATAFGPGKTSRSQFQLSPEDSNVNNDVLNSSARSGRVTKSAAAISALVVGAVIHGVTSLERMVTVFRLKEGLEVIQFIRSTKSYSNGNARSIPAFKVDNSIDVYVHWFRLLVGNCRTLSDGLIVELLGEPSIVALSRMQRMLPLSLVFPPAYSIFAFVIWKQIILSKDLANREDINQLYQSLTMAIGDAIKHLPFRDICLRDSQGFYDIVAADASDAEVAATLNGLDMHSKSAAFVPLRGRLFLNALVDCKMPQSLSAHDDSNRVSGLGGSKGQHSENETKLVDKLVNVLDTIQPAKFHWQWVELRLLLNEQAVVEKLEARDMSLADAIRSSSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHLFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLINIAESKNLSTKAQFWKPWGWCRSGFDTVTNRGEKKKIEVTSLEEGEVIEDGTDTKRSGKGSTQMYNSEGFNMSQQYTTERALVELVLPCIDQGSDESRNTFASDLIKQLNNIEQQINMVTRGASKQSGSTSSGLEGPANKGSNRKVMRGGSPGMNRRNAGGAADSALPPPAALRSSMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPVQSSQSKMDMESLLEIVSVDLSGESLFDRMLLVLHGLLSNSQPSWLKSRSPSKLMNEFPKDSSGLDREVVESLQNDLDRMQLPGSIRWRIQAAMPVLLPSARWSVSCQLPYVPGAAVASLQPSITLSGFYSLNPSQKSPLPLARIMTSGPGKSKPLPLQQENDVEIDPWTLLEDGTGSGPSSSNAAAIGSGDQANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Manes.03G068416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9434549:9435760:1 gene:Manes.03G068416.v8.1 transcript:Manes.03G068416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQSCVLFSLLIVFLHCTIILSQSPAAAPAQGPVAASPPPPVISQTPAASPAQPASVPAPTNVTKILEKDGHFTVFIRLLKSTQEENHLLTVLNNSNNGLTIFAPTDGAFSNLKSGTLNSLTDEQKSELVKFHVIPTFLSTSQFQTVTNPVGTEAGSGGRVALNFTTYPNSVNITTGLTNTSISGTMYTDNQLAIYRVDKVLLPMDIFTSKPPSPAPGPAPEKLKPKKEAPVAETPVVSTTVNTSGAVSPVHHHVLLLGVGIVAAIFSL >Manes.08G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37488970:37490471:1 gene:Manes.08G138700.v8.1 transcript:Manes.08G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIPCCENMGLKKGPWTPEEDQILVSYIQRFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSNEEEEAIISLHQILGNRWSAIAAKLPGRTDNEIKNYWHSHLKKRLEEKQANPSPSSSAGNCTKTSKMAVINNARSSHQPENFPTHIGIHHLLHAQVFPQEQSQEPLATLEATNGFNTVNDTQFWYDLFMKAGNSKEVHGNL >Manes.11G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12751439:12755765:1 gene:Manes.11G085900.v8.1 transcript:Manes.11G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSKVLIIGATGNLGFHLAQFSLKFGHPTFILIRDSAFTDPVKLQKLNSLTDAGATILKGCLEDEKNLVEAVKRVDVVICSIPSKQALDQRLLIKAIKEAGCVKKFIPSEFGADPDKVQVSDIDQNFYSQKSEIRRLIEAEGIPYTYICCNLLMNYLLPSLIQPGLKTPPRDKVTVFGDGNVKGVFMKEHDVAAFTTCTIDDPRALNKTLYLRPPGNVYSINELIEIWESKIGKKLDKIYVPEDQLLKKIKDTPYPDNMVLVFIYSVFVRGDHTYFNIESSGGVDGTQLYPHLKYTTISEYLETLV >Manes.13G111100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31636942:31642337:1 gene:Manes.13G111100.v8.1 transcript:Manes.13G111100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPEFSSILRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRTPQTPKRDDNTKALSVVVQRNEEIVDAKPVAVSSGTPRNVGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYWAPSDLRKLLAGNLKLLTANGKLVKVKHKYRIAPSSAVSEVRRNSPHLLAEGKQKDSPKSEKSNTRILTKSQVDQELSKIRGMTALEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAKAAVKAFKYRTRHLLNAVLSNSS >Manes.13G111100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31636876:31643389:1 gene:Manes.13G111100.v8.1 transcript:Manes.13G111100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPEFSSILRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRTPQTPKRDDNTKALSVVVQRNEEIVDAKPVAVSSGTPRNVGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYWAPSDLRKLLAGNLKLLTANGKLVKVKHKYRIAPSSAVSEVRRNSPHLLAEGKQKDSPKSEKSNTRILTKSQVDQELSKIRGMTALEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAKAAVKAFKYRTRHLLNAVLSNSS >Manes.13G111100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31636942:31642321:1 gene:Manes.13G111100.v8.1 transcript:Manes.13G111100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAENVAEFNCADIFFEGVEPPLMGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPEFSSILRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRTPQTPKRDDNTKALSVVVQRNEEIVDAKPVAVSSGTPRNVGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYWAPSDLRKLLAGNLKLLTANGKLVKVKHKYRIAPSSAVSEVRRNSPHLLAEGKQKDSPKSEKSNTRILTKSQVDQELSKIRGMTALEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAKAAVKAFKYRTRHLLNAVLSNSS >Manes.13G111100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31636932:31643376:1 gene:Manes.13G111100.v8.1 transcript:Manes.13G111100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPEFSSILRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRTPQTPKRDDNTKALSVVVQRNEEIVDAKPVAVSSGTPRNVGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYWAPSDLRKLLAGNLKLLTANGKLVKVKHKYRIAPSSAVSEVRRNSPHLLAEGKQKDSPKSEKSNTRILTKSQVDQELSKIRGMTALEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAKAAVKAFKYRTRHLLNAVLSNSS >Manes.13G111100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31636942:31642321:1 gene:Manes.13G111100.v8.1 transcript:Manes.13G111100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAENVAEFNCADIFFEGVEPPLMGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPEFSSILRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRTPQTPKRDDNTKALSVVVQRNEEIVDAKPVAVSSGTPRNVGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYWAPSDLRKLLAGNLKLLTANGKLVKVKHKYRIAPSSAVSEVRRNSPHLLAEGKQKDSPKSEKSNTRILTKSQVDQELSKIRGMTALEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAKAAVKAFKYRTRHLLNAVLSNSS >Manes.13G111100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31636876:31643389:1 gene:Manes.13G111100.v8.1 transcript:Manes.13G111100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPEFSSILRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRTPQTPKRDDNTKALSVVVQRNEEIVDAKPVAVSSGTPRNVGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYWAPSDLRKLLAGNLKLLTANGKLVKVKHKYRIAPSSAVSEVRRNSPHLLAEGKQKDSPKSEKSNTRILTKSQVDQELSKIRGMTALEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAKAAVKAFKYRTRHLLNAVLSNSS >Manes.13G111100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31636942:31642337:1 gene:Manes.13G111100.v8.1 transcript:Manes.13G111100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPEFSSILRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRTPQTPKRDDNTKALSVVVQRNEEIVDAKPVAVSSGTPRNVGSKEILARLDNLILEAITTLKEPSGSDRASIALYIEEKYWAPSDLRKLLAGNLKLLTANGKLVKVKHKYRIAPSSAVSEVRRNSPHLLAEGKQKDSPKSEKSNTRILTKSQVDQELSKIRGMTALEAAAAAAKAVAEAEAAIAEAEEAAREAEAAEAEAEAAQVFAKAAVKAFKYRTRHLLNAVLSNSS >Manes.09G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1951022:1957897:-1 gene:Manes.09G008600.v8.1 transcript:Manes.09G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYALGSAFDLKSGQMIMVALLLMVGSFYLGTLFGNNAPVYVSQFSSNSSSSSSPDMFTFSNKIALTYRKTPLLIPETGMNICPLKFNEYIPCHDVLYVKSLLPSLDLSRREELERHCPPPEKRLFCLVPPPEDYKLPIKWPTSRDYVWRSNVNHTRLAEVKGGQNWVHEMDLLWWFPGGGTHFKHGAPEYIQRLGNMTTNETGDLGSAGVVQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISAIATKQLPYPSSSFEMVHCSRCRVDWHENDGILLKEINRVLRYNGYFVYSSPPAYRKDKDYPMIWEKLVNLTSAMCWKLIARKVQTAIWVKQENDSCLMHNAEMKLINICDTVDDIKSLWNIPLRNCITRRGAQTNAQNLPPRPGRLSVYSESLIKIGISEEEFVSDAIFWKNQVKSYWKLIDVSETDIRNIMDMNAYLGGFAVALNTLPVWVMNTVPVGMNNTLSAIYDRGLLGAYHDWCEPFSTYPRTYDLLHANHLFSHYRHHLEGCLLEDVMLEMDRIIRPQGFIIIRDDEAVTSRIRDLAPKFLWEVKSHSLENKEQKLETVLICKKKFWTII >Manes.07G110000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31546095:31548179:-1 gene:Manes.07G110000.v8.1 transcript:Manes.07G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGTGRQMVMKIFKWLHELKPVLLMVLAQFSFAGVNVFYKLATYDGMSLRVIVAYRFIFATVFLTPLALIFERKNRPKLTWTILFQAFLCGFFGGSLSQNFYFESLVLTSATFATAMANLIPAITFILAASFRLEKMGISTLAGKAKVVGTLMGIGGAMLLTFYKGREIHIWSTHINLMKLVTPHGGHVTASDGTRVLGCIFAMGNCISFSLWLIIQAKMSQKFPCPYSSTALMSFMAALQSVVYAFCFEKDWNQWKLGWNIRLYTAAYAGIIVHGMMITLMIWCVRIKGPLFTTIFYPLMLVFTAFFGSLLLDENLHLGSIIGSTFIVCGLYAVLWGKDAEIKKISQLIPLKSSRENETSKNDNAKNLSSGEEEKIEAREV >Manes.06G083600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21889425:21898668:1 gene:Manes.06G083600.v8.1 transcript:Manes.06G083600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQETSPAPAPSAEVVGNAFVEQYYHILHQSPELVHKFYQDSSLLSRPDADGIMTTVTTMQAINDKILSLNYEDYTAEIKNADAQESYEKGVIVLVTGCLTGKDNVKKKFSQTFFLAPQDKGYFVLNDVFRFVEENVSLPNDSFLISGVNESAVPLTSTTQPGWGDILEEDPTQDTDHPTVDIATSFEEENLNNGAEVCDPSDKEEGSVIEEEIVKHQTGSAHNETLTSVDAAPGVLEEAPKKSYASIVKVMKDIKPSSVYAPTCPNVAPANSEKQAMNSVKSAYAPEAVAPISVGVPESSDVHEEAEGHSIYVRNLPFNATTVQLAEVFKKFGPIKRDGIQVRSNKQGFCFGFVEFETWSSMQSALEASPVNIGDRQAIVEEKKTNTRVGNSGRGRYSSGRGGFRSDSFKNRGNFGGGRGYIRNEFRNQGEFSGRSRGSAGHNGEDYQRVNQNGSKRGGPQGGVKGGSVST >Manes.06G083600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21888659:21898668:1 gene:Manes.06G083600.v8.1 transcript:Manes.06G083600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQETSPAPAPSAEVVGNAFVEQYYHILHQSPELVHKFYQDSSLLSRPDADGIMTTVTTMQAINDKILSLNYEDYTAEIKNADAQESYEKGVIVLVTGCLTGKDNVKKKFSQTFFLAPQDKGYFVLNDVFRFVEENVSLPNDSFLISGVNESAVPLTSTTQPGWGDILEEDPTQDTDHPTVDIATSFEEENLNNGAEVCDPSDKEEGSVIEEEIVKHQTGSAHNETLTSVDAAPGVLEEAPKKSYASIVKVMKDIKPSSVYAPTCPNVAPANSEKQAMNSVKSAYAPEAVAPISVGVPESSDVHEEAEGHSIYVRNLPFNATTVQLAEVFKKFGPIKRDGIQVRSNKQGFCFGFVEFETWSSMQSALEASPVNIGDRQAIVEEKKTNTRVGNSGRGRYSSGRGGFRSDSFKNRGNFGGGRGYIRNEFRNQGEFSGRSRGSAGHNGEDYQRVNQNGSKRGGPQGGVKGGSVST >Manes.06G083600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21889425:21898668:1 gene:Manes.06G083600.v8.1 transcript:Manes.06G083600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQETSPAPAPSAEVVGNAFVEQYYHILHQSPELVHKFYQDSSLLSRPDADGIMTTVTTMQAINDKILSLNYEDYTAEIKNADAQESYEKGVIVLVTGCLTGKDNVKKKFSQTFFLAPQDKGYFVLNDVFRFVEENVSLPNDSFLISGVNESAVPLTSTTQPGWGDILEEDPTQDTDHPTVDIATSFEEENLNNGAEVCDPSDKEEGSVIEEEIVKHQTGSAHNETLTSVDAAPGVLEEAPKKSYASIVKVMKDIKPSSVYAPTCPNVAPANSEKQAMNSVKSAYAPEAVAPISVGVPESSDVHEEAEGHSIYVRNLPFNATTVQLAEVFKKFGPIKRDGIQVRSNKQGFCFGFVEFETWSSMQSALEASPVNIGDRQAIVEEKKTNTRVGNSGRGRYSSGRGGFRSDSFKNRGNFGGGRGYIRNEFRNQGEFSGRSRGSAGHNGEDYQRVNQNGSKRGGPQGGVKGGSVST >Manes.06G083600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21889424:21898668:1 gene:Manes.06G083600.v8.1 transcript:Manes.06G083600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQETSPAPAPSAEVVGNAFVEQYYHILHQSPELVHKFYQDSSLLSRPDADGIMTTVTTMQAINDKILSLNYEDYTAEIKNADAQESYEKGVIVLVTGCLTGKDNVKKKFSQTFFLAPQDKGYFVLNDVFRFVEENVSLPNDSFLISGVNESAVPLTSTTQPGWGDILEEDPTQDTDHPTVDIATSFEEENLNNGAEVCDPSDKEEGSVIEEEIVKHQTGSAHNETLTSVDAAPGVLEEAPKKSYASIVKVMKDIKPSSVYAPTCPNVAPANSEKQAMNSVKSAYAPEAVAPISVGVPESSDVHEEAEGHSIYVRNLPFNATTVQLAEVFKKFGPIKRDGIQVRSNKQGFCFGFVEFETWSSMQSALEASPVNIGDRQAIVEEKKTNTRVGNSGRGRYSSGRGGFRSDSFKNRGNFGGGRGYIRNEFRNQGEFSGRSRGSAGHNGEDYQRVNQNGSKRGGPQGGVKGGSVST >Manes.06G083600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21888659:21898668:1 gene:Manes.06G083600.v8.1 transcript:Manes.06G083600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQETSPAPAPSAEVVGNAFVEQYYHILHQSPELVHKFYQDSSLLSRPDADGIMTTVTTMQAINDKILSLNYEDYTAEIKNADAQESYEKGVIVLVTGCLTGKDNVKKKFSQTFFLAPQDKGYFVLNDVFRFVEENVSLPNDSFLISGVNESAVPLTSTTQPGWGDILEEDPTQDTDHPTVDIATSFEEENLNNGAEVCDPSDKEEGSVIEEEIVKHQTGSAHNETLTSVDAAPGVLEEAPKKSYASIVKVMKDIKPSSVYAPTCPNVAPANSEKQAMNSVKSAYAPEAVAPISVGVPESSDVHEEAEGHSIYVRNLPFNATTVQLAEVFKKFGPIKRDGIQVRSNKQGFCFGFVEFETWSSMQSALEASPVNIGDRQAIVEEKKTNTRVGNSGRGRYSSGRGGFRSDSFKNRGNFGGGRGYIRNEFRNQGEFSGRSRGSAGHNGEDYQRVNQNGSKRGGPQGGVKGGSVST >Manes.06G083600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21889425:21898668:1 gene:Manes.06G083600.v8.1 transcript:Manes.06G083600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQETSPAPAPSAEVVGNAFVEQYYHILHQSPELVHKFYQDSSLLSRPDADGIMTTVTTMQAINDKILSLNYEDYTAEIKNADAQESYEKGVIVLVTGCLTGKDNVKKKFSQTFFLAPQDKGYFVLNDVFRFVEENVSLPNDSFLISGVNESAVPLTSTTQPGWGDILEEDPTQDTDHPTVDIATSFEEENLNNGAEVCDPSDKEEGSVIEEEIVKHQTGSAHNETLTSVDAAPGVLEEAPKKSYASIVKVMKDIKPSSVYAPTCPNVAPANSEKQAMNSVKSAYAPEAVAPISVGVPESSDVHEEAEGHSIYVRNLPFNATTVQLAEVFKKFGPIKRDGIQVRSNKQGFCFGFVEFETWSSMQSALEASPVNIGDRQAIVEEKKTNTRVGNSGRGRYSSGRGGFRSDSFKNRGNFGGGRGYIRNEFRNQGEFSGRSRGSAGHNGEDYQRVNQNGSKRGGPQGGVKGGSVST >Manes.14G161700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23200568:23211577:1 gene:Manes.14G161700.v8.1 transcript:Manes.14G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTKMFSSLFGDKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVIDSSDTDRLVIAKDEFHAILEEEELRGAVVLIFANKQDLPGALDDAAVTEALELHKIKNRQWAIFKASAIKGEGLFEGLDW >Manes.14G125300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9948425:9950607:-1 gene:Manes.14G125300.v8.1 transcript:Manes.14G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSTKKKRKPTLSPMARTTLLLALILAIILNISSSFSSFSLAATDVSVYESFLQCLENNTNPQDQISDLVYSQTNASYTSVLRAYIRNARLNTSTTLKPVIILTPRQISHVQAAVVCTKNVGYQLKIRSGGHDYEGISYTSDVPFFLLDMFNLRSIDVDVKNESAWVQTGATLGEVYYRIWEKSKAYGFPAGVCPTVGVGGHISGGGYGNMLRKYGLAVDNVLDAQIVDANGKLLDRKAMGEDLFWAIRGGGGGSFGVVISYKIMLVPVPETVTVFRVERTLDENATDVVFKWQLVAPKTDNNLFMRMLLQPVTSRTNRTQRTIRASIVALYLGNADTLVSLLGNEFPELGLKKEVCNETSWIQSVLWWANDDIGTSPEVLLDRDLDSANFLKRKSDYVETPISKDKLNWIWQRMIEVGKTGLVFNPYGGRMSEIPETDTPFPHRKGNLFKIQYSVNWEDAGTTAENEFLTQARKLHNYMTPFVSKNPRRAFLNYRDLDIGVMEAGKNSYEEGSIYGSKYFHGNFDRLVKVKTAVDPENFFRNEQSIPTLPTKA >Manes.06G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21054435:21054711:1 gene:Manes.06G074800.v8.1 transcript:Manes.06G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAEKPVGTQISGQGKKEPAAKATDAPSKSAASKSSAPKKAAPNQSKKKGKGGKSGKN >Manes.13G011200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1470416:1476070:-1 gene:Manes.13G011200.v8.1 transcript:Manes.13G011200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDNRNSSTAERASTDGGRGEYDWTCPRCGNDNSSFRSICNRCNCTQLRPADHISKSAAELVQTPRSYPSSAPYIGSGAPSSMYMGVPPYGSSLFNRLSIPPYGVPFSGGSAYHYNYSSHLSAGSPYRPLHISGPLPYPGGSMMGNAEMYGMPLLMDRYGLGIPIGPALTGPRPGFFPDDNSLKKDADAMRDNDWICPNCGNINFSFRIVCNMRNCNIPKPGSQAAKSKKNSKQKMPEGSWKCENCNNINYPYRTKCNRQNCGVEKHVESNKSPSPVVDENDQVCYVIHPVCIYFYCLILFSSLITCVFTNSMSINKMFLTRQTLPPFLSLLLSLLLSTPSVLRYRYVYLVRCQLLFSLCNN >Manes.13G011200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1470401:1476070:-1 gene:Manes.13G011200.v8.1 transcript:Manes.13G011200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDNRNSSTAERASTDGGRGEYDWTCPRCGNDNSSFRSICNRCNCTQLRPADHISKSAAELVQTPRSYPSSAPYIGSGAPSSMYMGVPPYGSSLFNRLSIPPYGVPFSGGSAYHYNYSSHLSAGSPYRPLHISGPLPYPGGSMMGNAEMYGMPLLMDRYGLGIPIGPALTGPRPGFFPDDNSLKKDADAMRDNDWICPNCGNINFSFRIVCNMRNCNIPKPGSQAAKSKKNSKQKMPEGSWKCENCNNINYPYRTKCNRQNCGVEKHVESNKSPSPVVDENDQVAFVAGLLCSCVG >Manes.13G011200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1470416:1476070:-1 gene:Manes.13G011200.v8.1 transcript:Manes.13G011200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDNRNSSTAERASTDGGRGEYDWTCPRCGNDNSSFRSICNRCNCTQLRPADHISKSAAELVQTPRSYPSSAPYIGSGAPSSMYMGVPPYGSSLFNRLSIPPYGVPFSGGSAYHYNYSSHLSAGSPYRPLHISGPLPYPGGSMMGNAEMYGMPLLMDRYGLGIPIGPALTGPRPGFFPDDNSLKKDADAMRDNDWICPNCGNINFSFRIVCNMRNCNIPKPGSQAAKSKKNSKQKMPEGSWKCENCNNINYPYRTKCNRQNCGVEKHVESNKSPSPVVDENDQVSTSFDLAEIIKVGYVFLLVRLS >Manes.13G011200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1470416:1476070:-1 gene:Manes.13G011200.v8.1 transcript:Manes.13G011200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDNRNSSTAERASTDGGRGEYDWTCPRCGNDNSSFRSICNRCNCTQLRPADHISKSAAELVQTPRSYPSSAPYIGSGAPSSMYMGVPPYGSSLFNRLSIPPYGVPFSGGSAYHYNYSSHLSAGSPYRPLHISGPLPYPGGSMMGNAEMYGMPLLMDRYGLGIPIGPALTGPRPGFFPDDNSLKKDADAMRDNDWICPNCGNINFSFRIVCNMRNCNIPKPGSQAAKSKKNSKQKMPEGSWKCENCNNINYPYRTKCNRQNCGVEKHVESNKSPSPVVDENDQ >Manes.13G011200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1470416:1476070:-1 gene:Manes.13G011200.v8.1 transcript:Manes.13G011200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDNRNSSTAERASTDGGRGEYDWTCPRCGNDNSSFRSICNRCNCTQLRPADHISKSAAELVQTPRSYPSSAPYIGSGAPSSMYMGVPPYGSSLFNRLSIPPYGVPFSGGSAYHYNYSSHLSAGSPYRPLHISGPLPYPGGSMMGNAEMYGMPLLMDRYGLGIPIGPALTGPRPGFFPDDNSLKKDADAMRDNDWICPNCGNINFSFRIVCNMRNCNIPKPGSQAAKSKKNSKQKMPEGSWKCENCNNINYPYRTKCNRQNCGVEKHVESNKSPSPVVDENDQVSTSFDLAEIIKVGYVFLLVRLS >Manes.02G220001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35029198:35029875:-1 gene:Manes.02G220001.v8.1 transcript:Manes.02G220001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIITWNCHGAASSTFRNAFQEYKRLYHPNIFCLMEPRISGEAADEVCGLLGYENWIRVEAIEFSDGIWLLWSEDGFRIELVVTDPQFITVAINFSTGEKWLFSVVYASLDIYLRRKLWQSLSGENSLSISKWIVAGDFNSVVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVKSAAWLDRCNTRLDSGIGIPTVRWNLGCRKPLVG >Manes.09G092137.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28575934:28578176:1 gene:Manes.09G092137.v8.1 transcript:Manes.09G092137.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRASTILVHSRNWLLHSRNYNIHAAFTSFHEGCLLFFISHFLPLTTFNPHLRLLISIFDNINYFPLMIFHYPFLYIPPATSFSCFLQSQSMDNLLCDEVWFSTTASPSAPDDSYGTDDYWSDSFYTTKEDCEKAICVCLQKELAYMPEPGYLEHLLSEKLFFARFKAIQWLVKSRSRLNLSFVTLFNAATYLDRFISMNQFHGWKNWMVELLSIACLSVASKFSETSPPTLHEIQMEDLDHSFQSITIQRMELILLQTLEWRLGSTTAYSYVELLTMNIDPSKTRLPKELVARVTELLVGAILDCQLVEYRPSIACVSALWCSLQELIPSKSEAHLAYLTGFFNQNQKDDIMKCHKYMKEAKLVNPVYNLAGCGNSNYCPSSPVTVLLTERIELYDSHVDLSFFKDHSGSNSSNRESMQKRIKRMN >Manes.12G075850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:8710988:8711859:1 gene:Manes.12G075850.v8.1 transcript:Manes.12G075850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSTCTYAGLIIMESFLSSLLAAITGSEEVTWEVLGCLVVTERHAMVKFKGGCLKDLKNKKGKVARKGMNYLVQVKATRKVMNHHFLDLKFLQQVVGVTFLFQGLIDYLWMMDFQEPLDLLW >Manes.05G149002.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25199431:25200334:1 gene:Manes.05G149002.v8.1 transcript:Manes.05G149002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNHIIQKSYYPTIGVCECLASHFVISTVPAIIAYVFTDDLLLFAEASIKQISEIMCCLQRFGVYSGQKINVAKSKIFFSHNVYFVVRSTICDLAGMQMMDDLRS >Manes.09G083600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21971012:21973936:-1 gene:Manes.09G083600.v8.1 transcript:Manes.09G083600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQLGLLKVTVVQGKKLVIRDFKSSDPYVVVKLGNQTSKTRVINSCLNPVWNEELSFTLTEPFGALSLEVFDKDRFKADDKMGHAQLSLQPIASAARLKQILHVCSGETVLRKVVPDTDNCLARESSISCIDGEVVQSVWLRLCEVESGEIELKIKLIDPPVASSR >Manes.09G083600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21971012:21973936:-1 gene:Manes.09G083600.v8.1 transcript:Manes.09G083600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQLGLLKVTVVQGKKLVIRDFKSSDPYVVVKLGNQTSKTRVINSCLNPVWNEELSFTLTEPFGALSLEVFDKDRFKADDKMGHAQLSLQPIASAARLKQILHVCSGETVLRKVVPDTDNCLARESSISCIDGEVVQSVWLRLCEVESGEIELKIKLIDPPVASSR >Manes.01G027150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5659933:5660363:1 gene:Manes.01G027150.v8.1 transcript:Manes.01G027150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRLGQGTFGGRFGGRKSLQSRKSGRFGGTFGGRNSQTETKLMHVRRHFRRPKLPDRDESLLSGAGFGSRKACLPSHVRRPKVLRLPNLVSAKGQKLGSFCTFRLQTFQSCIKPILQHTNTSIHVPRGLKPS >Manes.01G257800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAEVVALTKLTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSMWFHRPFRADDWILFVVSIG >Manes.01G257800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAELTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSMWFHRPFRADDWILFVVSIG >Manes.01G257800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAEVVALTKLTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHS >Manes.01G257800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAELTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSMWFHRPFRADDWILFVHVVSLTQEGLFRKASSNPAAASKL >Manes.01G257800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAEVVALTKLTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSMWFHRPFRADDWILFVITSPAASHCRGFVSGQMFNRKGEHVVSLTQEGLFRKASSNPAAASKL >Manes.01G257800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAELTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSMWFHRPFRADDWILFVITSPAASHCRGFVSGQMFNRKGEHVVSLTQEGLFRKASSNPAAASKL >Manes.01G257800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAEVVALTKLTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSMWFHRPFRADDWILFVHVVSLTQEGLFRKASSNPAAASKL >Manes.01G257800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAELTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHS >Manes.01G257800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAEVVALTKLTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSRFPVCKLEEYHCHDYFDSML >Manes.01G257800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAELTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSMWFHRPFRADDWILFVITSPAASHCRGFVSGQMFNRKGEHVVSLTQEGLFRKASSNPAAASKL >Manes.01G257800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAELTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHS >Manes.01G257800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41437600:41443798:1 gene:Manes.01G257800.v8.1 transcript:Manes.01G257800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVIEFLGAVPLLQRLPGSSLKKIAELVTVKNYEKGQCVIREGEIGEGTYFIWEGEAEVSGSVHSERDARPEFQLQRYDYFADGVIASIQQAEVVALTKLTCLLLPHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNIFQCITLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLAGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNVVFALIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLIDPRLPRSYRNKVASKDFVPWPIEIRFCEPTTNTSQTKSPPSLRYWFRARGKLSDDRALHRCAAAYASDLLFLQVSLNPHRAKGLKTAHVSLDHSMWFHRPFRADDWILFVITSPAASHCRGFVSGQMFNRKGEHVVSLTQEGLFRKASSNPAAASKL >Manes.18G120100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12661960:12666394:-1 gene:Manes.18G120100.v8.1 transcript:Manes.18G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPAIISSYVLGFEEKGPEPRKENNERENIESGTTEQKGPDLNSWLPVTASREAKWWYSTFHNVTAMVGAGVLGLPYAMSQLGWIPGVLGIFLSWMITFYTLWQLVELHEVEPGRRFDRYPELGQYALGEKLGYWIIMPQQLLVQVASDIVYVVTGGKSLKKFAQLLIPGLSHIRQTYFILFFVALQFLLSQTPNFNSLKAVSFVAALMSFCYSMVAFVSSISKGLHHHPTSYGVRSHTTPGIIFNALSGIGTIAFSYAGHSVVLEIQATIPSSPQNPSKKPMWKGVVLAYIIVIICYMSVAVSGFWAFGDLVEDDVLISLEKPRWTIAIANLMVFFHVIGSFQVYAMPVFDKIESYLVTKRNFTPGKNLRLVARSGYVAFVGIVGICVPFFGGLLGFFGGLVFASTSYFIPCIIWLVVQKPKRWSFHWFASWISIFVGVSIALLSPIGGARQIFISAKTYKAFS >Manes.03G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18860572:18862871:-1 gene:Manes.03G084700.v8.1 transcript:Manes.03G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMFSLPAAKPCCIKSNNVSKFLHPPRFIVTRARAQVITAPNKSATITSTTASPHLLKQAIPHSDLHILDIVERQSQASTSLAHQDACRKPEFHPLFLEEAFERCRNICAEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNAVHMSSAVLDRWEERLEDIFDGRPYDMLDAALTDTVFKFPLDIKPFRDMIEGMRMDTRKCRYENFQQLYLYCYYVAGTVGLMTVPVMGIAPESCVPAQNIYNSALYLGIGNQLTNILRDVGEDALRGRIYLPQDELAEFGLCDKDVIARKVSDRWREFMKEQITRARYYFNLAEEGASHLDKDSRWPVWSCLMLYRKILDAIEENDYDNLTKRAYVGRTKKLLTLPIAYTKAQAPPSFILQ >Manes.15G180980.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24226765:24227363:1 gene:Manes.15G180980.v8.1 transcript:Manes.15G180980.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRNFLHNYILMATKLNKPSNFLVLVLFWSFVIMPLCTIQARPLAPKHLHYGTLRPSPAGGDQLSTELAEALLEDLDLFGIKKEGPSDGGEGH >Manes.18G027300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2372705:2376441:1 gene:Manes.18G027300.v8.1 transcript:Manes.18G027300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYETSSNGEAANSNLQIIRYSPFQPCTEFPSPWFDLRVFYVRISNFHVDASALEFLTLNHIPLSPDTLLEVNGARSSKYSDGVSSLLRRDRVGKKSDEATFVSTDSIRTTSSVKFEVFHKEDLILSGVLKMSNPNSNGFVGESKSNVKRWSMNCEPEIGAGSGFLKGKHIAGAELPTIEVYVTGCFSGTPIILTKTLQLSYCKKQLRKGMLDSIPEYETTESPQKFSPKHDLQQIAEYRSYKLENEEDYRNMYWRSEYMEGEDGELSWFNAGVRVGVGIGLGICVGIGIGVGLLVRTYQATTRNFKRRPA >Manes.18G027300.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2372705:2374606:1 gene:Manes.18G027300.v8.1 transcript:Manes.18G027300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYETSSNGEAANSNLQIIRYSPFQPCTEFPSPWFDLRVFYVRISNFHVDASALEFLTLNHIPLSPDTLLEVNGARSSKYSDGVSSLLRRDRVGKKSDEATFVSTDSIRTTSSVKFEVFHKEDLILSGVLKMSNPNSNGFVGESKSNVKRWSMNCEPEIGAGSGFLKGKHIAGAELPTIEVYVTGCFSGTPIILTKTLQLSYCKKQLRKGMLDSIPEYETTESPQKFSPKHDLQVYILGILILKCQSLLYWLISCFDKYNL >Manes.18G027300.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2372705:2374606:1 gene:Manes.18G027300.v8.1 transcript:Manes.18G027300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYETSSNGEAANSNLQIIRYSPFQPCTEFPSPWFDLRVFYVRISNFHVDASALEFLTLNHIPLSPDTLLEVNGARSSKYSDGVSSLLRRDRVGKKSDEATFVSTDSIRTTSSVKFEVFHKEDLILSGVLKMSNPNSNGFVGESKSNVKRWSMNCEPEIGAGSGFLKGKHIAGAELPTIEVYVTGCFSGTPIILTKTLQLSYCKKQLRKGMLDSIPEYETTESPQKFSPKHDLQVYILGILILKCQSLLYWLISCFDKYNL >Manes.18G027300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2372705:2376441:1 gene:Manes.18G027300.v8.1 transcript:Manes.18G027300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYETSSNGEAANSNLQIIRYSPFQPCTEFPSPWFDLRVFYVRISNFHVDASALEFLTLNHIPLSPDTLLEVNGARSSKYSDGVSSLLRRDRVGKKSDEATFVSTDSIRTTSSVKFEVFHKEDLILSGVLKMSNPNSNGFVGESKSNVKRWSMNCEPEIGAGSGFLKGKHIAGAELPTIEVYVTGCFSGTPIILTKTLQLSYCKKQLRKGMLDSIPEYETTESPQKFSPKHDLQIAEYRSYKLENEEDYRNMYWRSEYMEGEDGELSWFNAGVRVGVGIGLGICVGIGIGVGLLVRTYQATTRNFKRRPA >Manes.18G027300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2372705:2376441:1 gene:Manes.18G027300.v8.1 transcript:Manes.18G027300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYETSSNGEAANSNLQIIRYSPFQPCTEFPSPWFDLRVFYVRISNFHVDASALEFLTLNHIPLSPDTLLEVNGARSSKYSDGVSSLLRRDRVGKKSDEATFVSTDSIRTTSSVKFEVFHKEDLILSGVLKMSNPNSNGFVGESKSNVKRWSMNCEPEIGAGSGFLKGKHIAGAELPTIEVYVTGCFSGTPIILTKTLQLSYCKKQLRKGMLDSIPEYETTESPQKFSPKHDLQIAEYRSYKLENEEDYRNMYWRSEYMEGEDGELSWFNAGVRVGVGIGLGICVGIGIGVGLLVRTYQATTRNFKRRPA >Manes.18G027300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2372705:2376441:1 gene:Manes.18G027300.v8.1 transcript:Manes.18G027300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYETSSNGEAANSNLQIIRYSPFQPCTEFPSPWFDLRVFYVRISNFHVDASALEFLTLNHIPLSPDTLLEVNGARSSKYSDGVSSLLRRDRVGKKSDEATFVSTDSIRTTSSVKFEVFHKEDLILSGVLKMSNPNSNGFVGESKSNVKRWSMNCEPEIGAGSGFLKGKHIAGAELPTIEVYVTGCFSGTPIILTKTLQLSYCKKQLRKGMLDSIPEYETTESPQKFSPKHDLQIAEYRSYKLENEEDYRNMYWRSEYMEGEDGELSWFNAGVRVGVGIGLGICVGIGIGVGLLVRTYQATTRNFKRRPA >Manes.18G027300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2372705:2376441:1 gene:Manes.18G027300.v8.1 transcript:Manes.18G027300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPYETSSNGEAANSNLQIIRYSPFQPCTEFPSPWFDLRVFYVRISNFHVDASALEFLTLNHIPLSPDTLLEVNGARSSKYSDGVSSLLRRDRVGKKSDEATFVSTDSIRTTSSVKFEVFHKEDLILSGVLKMSNPNSNGFVGESKSNVKRWSMNCEPEIGAGSGFLKGKHIAGAELPTIEVYVTGCFSGTPIILTKTLQLSYCKKQLRKGMLDSIPEYETTESPQKFSPKHDLQQIAEYRSYKLENEEDYRNMYWRSEYMEGEDGELSWFNAGVRVGVGIGLGICVGIGIGVGLLVRTYQATTRNFKRRPA >Manes.04G060056.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15087669:15090184:1 gene:Manes.04G060056.v8.1 transcript:Manes.04G060056.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHAKIGYWGEEYYMEEQVAPARYRQEFLTIAWERVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRFEKCIVGTGLERQVALDSGVPAIAEHEGKIIYTDIDKIILSGNGDTLRIPLVRYQRSNKNTCMHQKIQLRRGKCIKKGQVLADGAATVGGELALGKNVLVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTQAHLLRNLDKNGIVMLGSWVETGDILVGKLTPQMAKESSYAPEDRLLRAVLGIQKKGGSYYNPERICVYILQKREIKVGDKVAERHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMNVGQIFECSLGLAGGLLDRHYRIAPFDERYEQEASRKLVFSELYEASKQTANPWVFEPEYLGKSKIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGARQEVLGTTIIGGTIPKPEDAPESFRLLIRELRSLALELNHFLVSEKNFQITRKEA >Manes.01G254500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41194620:41195761:1 gene:Manes.01G254500.v8.1 transcript:Manes.01G254500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYAEVFLPRLFVHALSFLGFIRSLIICLFNYLGLSDFLETDNIWPDNPTQTHSHKLVHAVLIREILPVIKFDDLIAGASHSELPESCAVCLYEFEGDAEIRWLKNCKHIFHRACLDRWMDHDGNTCPLCRTSFVPDEMQEEFNKRLVTASGDGDFYSEYSSVPVL >Manes.17G040700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23239833:23245900:-1 gene:Manes.17G040700.v8.1 transcript:Manes.17G040700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDPNPFDEEEVNPFSDPAVRGKSSGQKFSGGAFYTSSAPPASNSRLSPLPPEPADFVYDHGATVDIPIDSNTDLKKKEKELQAKEAELRRREQDVKRREDAAARAGIVLDEKNWPPFFPIIHHDIANEIPVHLQRIQYVAFTTFLGLVLCLFWNIIAVTTAWIKGEGVKIWFLAIIYFIAGVPGAYVLWYRPLYRAFRTDSALRFGWFFLFYLVHIGFCIVAAVAPPIVFKGKSFTGILSAIDVLGDHALVGIFYFVGFGLFCLELVLSIWVLQQVYMYFRGSGKAAQMKQEAARGAMRAAI >Manes.17G040700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23239833:23246166:-1 gene:Manes.17G040700.v8.1 transcript:Manes.17G040700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDPNPFDEEEVNPFSDPAVRGKSSGQKFSGGAFYTSSAPPASNSRLSPLPPEPADFVYDHGATVDIPIDSNTDLKKKEKELQAKEAELRRREQDVKRREDAAARAGIVLDEKNWPPFFPIIHHDIANEIPVHLQRIQYVAFTTFLGLVLCLFWNIIAVTTAWIKGEGVKIWFLAIIYFIAGVPGAYVLWYRPLYRAFRTDSALRFGWFFLFYLVHIGFCIVAAVAPPIVFKGKSFTGILSAIDVLGDHALVGIFYFVGFGLFCLELVLSIWVLQQVYMYFRGSGKAAQMKQEAARGAMRAAI >Manes.03G030400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2421729:2424888:-1 gene:Manes.03G030400.v8.1 transcript:Manes.03G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPPPLLLDILNRLTDSADLARCRLISKSFNALSREVRSINLVCTLSRYLKLRSPATKDRTTPFKTVFNSLVLNSPVVESISIGVDKSLGGITYDDVDDESDDLYLTGAGFVREWLPRVCRELRSLSISDFWVQSCWRRSDILALVSSCCHILCELEVKNAWLSVNGLNPMPMLTSLTLESVRLDDEDLNKVNHCFPCLQVLNLIGVGGLKEPKIQLFHLRKFRWTVSNAPQSLTIFAPKLVKLELNCIEPRALVLETPSLSDFYLSLRKAHKFEVKELLDLKILQLESADLCGLIYSFPSNNSIERLIVDSLKCVKPGDMTMLSLDMLFNMFPNVSSLTLRSGAWSEMETCFVAGGFQSHAGMQGLKEIVAQLVIHDIDITILFIFTVLDKCTNLSDVALLIHCEVDPKVASNFISRCTADRPRVGWRWGIWNTGSIDTWTSDRI >Manes.16G036100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4530392:4533708:-1 gene:Manes.16G036100.v8.1 transcript:Manes.16G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIYLFGDSITEESFDDGGWGASLAHIFSRTVDVVLRGYSGYNTRWALKVVERVFDPPVERGGDGGAQPLAVTVFFGANDACLPDRCSAWQHVPLPEYKQNLHAIFSFFKARWPNTIILFITPPPIDEAARLRYPYVENLLGLSERTNEAAGAYAKACIAVAEECRCPVVDIWTKMQQNSNWKNAYLRDGLHLSRSGNKFVFEEVVVKLKEQGLSVEKLPVDLPLIADIDPKDPLKSFQK >Manes.06G028800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7045733:7073599:1 gene:Manes.06G028800.v8.1 transcript:Manes.06G028800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDCHIRGPLKEEFTFPASSAPFKNCHASTIVEVDKDHFLVAYFGGTLEGAPDVKIWLQTYKDGTWQSPVIVDEQSEVPMWNPVLFKLPSEELLLFYKIGQEVQKWSGCLKRSYDKGITWTEREQLPPGILGPSKNKPTLLENGLLLCGSSVESWNSWGAWMEVTADAGRSWRKYGPIYIENTPLSVIQPVPFQTANGTLRVLLRSFEGIGKICMSESYDGGLNWGYAIPMELPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSEDDGDSWYDVITLEENPEMEFSYPAVIQASDGSIHISYTYNRTQIKHVVLQPN >Manes.06G028800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7045744:7073468:1 gene:Manes.06G028800.v8.1 transcript:Manes.06G028800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVRSFVLIVCLLVFLFILLFLVFNFSHFHPSFNEYETNRKVHNYFSNSDVKFHSHLQLFHHFRLHLTSRSRKVAEIHDNATPLVPGRSNMRKDCHIRGPLKEEFTFPASSAPFKNCHASTIVEVDKDHFLVAYFGGTLEGAPDVKIWLQTYKDGTWQSPVIVDEQSEVPMWNPVLFKLPSEELLLFYKIGQEVQKWSGCLKRSYDKGITWTEREQLPPGILGPSKNKPTLLENGLLLCGSSVESWNSWGAWMEVTADAGRSWRKYGPIYIENTPLSVIQPVPFQTANGTLRVLLRSFEGIGKICMSESYDGGLNWGYAIPMELPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSEDDGDSWYDVITLEENPEMEFSYPAVIQASDGSIHISYTYNRTQIKHVVLQPN >Manes.06G028800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7045744:7073468:1 gene:Manes.06G028800.v8.1 transcript:Manes.06G028800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLQVDKDHFLVAYFGGTLEGAPDVKIWLQTYKDGTWQSPVIVDEQSEVPMWNPVLFKLPSEELLLFYKIGQEVQKWSGCLKRSYDKGITWTEREQLPPGILGPSKNKPTLLENGLLLCGSSVESWNSWGAWMEVTADAGRSWRKYGPIYIENTPLSVIQPVPFQTANGTLRVLLRSFEGIGKICMSESYDGGLNWGYAIPMELPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSEDDGDSWYDVITLEENPEMEFSYPAVIQASDGSIHISYTYNRTQIKHVVLQPN >Manes.06G028800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7045734:7073598:1 gene:Manes.06G028800.v8.1 transcript:Manes.06G028800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDCHIRGPLKEEFTFPASSAPFKNCHASTIVEVDKDHFLVAYFGGTLEGAPDVKIWLQTYKDGTWQSPVIVDEQSEVPMWNPVLFKLPSEELLLFYKIGQEVQKWSGCLKRSYDKGITWTEREQLPPGILGPSKNKPTLLENGLLLCGSSVESWNSWGAWMEVTADAGRSWRKYGPIYIENTPLSVIQPVPFQTANGTLRVLLRSFEGIGKICMSESYDGGLNWGYAIPMELPNPNSGIDGVKLSDGRILLAYNTISRGVLKVAVSEDDGDSWYDVITLEENPEMEFSYPAVIQASDGSIHISYTYNRTQIKHVVLQPN >Manes.15G073400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5543448:5543759:1 gene:Manes.15G073400.v8.1 transcript:Manes.15G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYEENRCIKDNHLRLLASKFELKRNLFKALVRDPQLPIEVREKFQYKLSKLPRNSSFTRVRNRCIFTGRPRAVYQLFRMSRIVFRELASQGMLNGVKKASW >Manes.04G012200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1655453:1656037:-1 gene:Manes.04G012200.v8.1 transcript:Manes.04G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGSATQTHSKLLRVMAMIILTLIFLLGLAVLITWIVIKPKQLVYTIENGSVSNFSLKYNHLSASFDFVIRARNPNRRISIYYDSIDVSLSYDDQTIAFNTLDPFHQPRRNETQLEAKLEARDAALSSGLAKDLKIEKSAGKVQLDVRIKARIRYKVGIWKSKHRTLRILCPSVMLHFSSSEVAQRTFCVIEN >Manes.16G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1717489:1719677:-1 gene:Manes.16G015500.v8.1 transcript:Manes.16G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTIASVSSVLNPMATFILSCFAPKTLACTFSQLKPSFTPLLTSTSQSWALPPGHSVRARTQPRPLMTRAAADSDFSAKRSSSSDTRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKQRNNSKYESRRYERRRDGPPPERRRPRQGANTSEPSG >Manes.10G056200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12607149:12610392:-1 gene:Manes.10G056200.v8.1 transcript:Manes.10G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQSREGRDLSDSESEYQSGEEEEEEEEEFHYDAVERQETPQSTSSSRSRKALDEIDAKLKSLKLKYPNSQHAIPNSVKLYLHIGGNTPSAKWIVSDKLTSYNFFKTCKIDGNDDSDQEEESRSGESYFWILKVGSKVRARVSTEMQLKMFGDQRRVDFVNNGVWALKFFTDEEYRTFVTQFQDCLFENVYGLQANEENKIKVYGKEFIGWVKPEIADDTVWDDAEGDTPSGKGTPVHANQDLMEEFEEAANGGVQSLTLGALNNSFLVNDLGVQVYRNYHKGIHGKGICVKFDDRSRTSSDQLTPKKAMLMRAETNMMLMSPLKEGKPHSTGIQQLDIETGKIVTGWKFEKDGTEITMRDITNDTKGAQLDPSESTFLGLDDNRLCQWDMRDKRGMVQSIGGESPVLSWSQGHQFSRGTNFQCFASTGDGSIVVGSIDGKIRLYSRTSMRQARTAFPGLGSPITHVDVTYDGKWVLGTTDTYLVLICTLFTDKDGKTKTGFSGRMGNKIPAPRLLKLTPLDSHLAGVNNKFHGGHFSWVTESGKQERHLVATVGKFSVIWDFQQVKNSAHECYRNQQGLKSCYCYKIVLKDESIVESRFMHDNYAVSNSPEAPLVVATPMKVSSISLSGKRSQA >Manes.04G093700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29922288:29933486:1 gene:Manes.04G093700.v8.1 transcript:Manes.04G093700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVTGAQKLEQQKLSPEEIDVLEQNFLIHLFQVMDKSNFKITTEEEIDVALSGQYLLNLPIKVDESKLDKELLKKYFAEHPHEDLPDFVDKFVVFRRGIGIDRTTDYFALEKVDMLIARFWAFLLRVTRIEKLLHRKLRRRRKQDPKKDDETNSEAAQDDLCVERIRLENMELSIRNLLGSNTIQEPTFDRIIVVYRRASTKSKKERGIYVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLVAVISSVEMPKADLWVIFAILSTVIGYFAKTYFTFEQNLAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVLILFFILMEQGKATLQDLDLRCEELIQEEFGERCNFDVNDAVHKLERFGIVSRDTIGRYYCVSLKRANEIIGTTTEELVLKAKQGIST >Manes.04G093700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29922288:29933486:1 gene:Manes.04G093700.v8.1 transcript:Manes.04G093700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVTGAQKLEQQKLSPEEIDVLEQNFLIHLFQVMDKSNFKITTEEEIDVALSGQYLLNLPIKVDESKLDKELLKKYFAEHPHEDLPDFVDKFVVFRRGIGIDRTTDYFALEKVDMLIARFWAFLLRVTRIEKLLHRKLRRRRKQDPKKDDETNSEAAQDDLCVERIRLENMELSIRNLLGSNTIQEPTFDRIIVVYRRASTKSKKERGIYVKHFKNIPMADMEIPEKKNPGLTPMDWVKFLGSAIVGLVAVISSVEMPKADLWVIFAILSTVIGYFAKTYFTFEQNLAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVLILFFILMEQGKATLQDLDLRCEELIQEEFGERCNFDVNDAVHKLERFGIVSRDTIGRYYCVSLKRANEIIGTTTEELVLKAKQGIST >Manes.04G093700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29923148:29933486:1 gene:Manes.04G093700.v8.1 transcript:Manes.04G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYSLFDPVTGAQKLEQQKLSPEEIDVLEQNFLIHLFQVMDKSNFKITTEEEIDVALSGQYLLNLPIKVDESKLDKELLKKYFAEHPHEDLPDFVDKFVVFRRGIGIDRTTDYFALEKVDMLIARFWAFLLRVTRIEKLLHRKLRRRRKQDPKKDDETNSEAAQDDLCVERIRLENMELSIRNLLGSNTIQEPTFDRIIVVYRRASTKSKKERGIYVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLVAVISSVEMPKADLWVIFAILSTVIGYFAKTYFTFEQNLAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVLILFFILMEQGKATLQDLDLRCEELIQEEFGERCNFDVNDAVHKLERFGIVSRDTIGRYYCVSLKRANEIIGTTTEELVLKAKQGIST >Manes.04G093700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29922288:29933486:1 gene:Manes.04G093700.v8.1 transcript:Manes.04G093700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGKKKEVIRLERESVIPILKPKLIMTLVNLIELSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVTGAQKLEQQKLSPEEIDVLEQNFLIHLFQVMDKSNFKITTEEEIDVALSGQYLLNLPIKVDESKLDKELLKKYFAEHPHEDLPDFVDKFVVFRRGIGIDRTTDYFALEKVDMLIARFWAFLLRVTRIEKLLHRKLRRRRKQDPKKDDETNSEAAQDDLCVERIRLENMELSIRNLLGSNTIQEPTFDRIIVVYRRASTKSKKERGIYVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLVAVISSVEMPKADLWVIFAILSTVIGYFAKTYFTFEQNLAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVLILFFILMEQGKATLQDLDLRCEELIQEEFGERCNFDVNDAVHKLERFGIVSRDTIGRYYCVSLKRANEIIGTTTEELVLKAKQGIST >Manes.04G093700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29922288:29933486:1 gene:Manes.04G093700.v8.1 transcript:Manes.04G093700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGKKKEVIRLERESVIPILKPKLIMTLVNLIELSSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVTGAQKLEQQKLSPEEIDVLEQNFLIHLFQVMDKSNFKITTEEEIDVALSGQYLLNLPIKVDESKLDKELLKKYFAEHPHEDLPDFVDKFVVFRRGIGIDRTTDYFALEKVDMLIARFWAFLLRVTRIEKLLHRKLRRRRKQDPKKDDETNSEAAQDDLCVERIRLENMELSIRNLLGSNTIQEPTFDRIIVVYRRASTKSKKERGIYVKHFKNIPMADMEIPEKKNPGLTPMDWVKFLGSAIVGLVAVISSVEMPKADLWVIFAILSTVIGYFAKTYFTFEQNLAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVLILFFILMEQGKATLQDLDLRCEELIQEEFGERCNFDVNDAVHKLERFGIVSRDTIGRYYCVSLKRANEIIGTTTEELVLKAKQGIST >Manes.01G232200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39605320:39607433:-1 gene:Manes.01G232200.v8.1 transcript:Manes.01G232200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTKKRNLPQGDAHDDEDNEEIKIEKFFALVKSIREARDRFPNGSDTSKQEMDCKNKKRKLEEENKRQFAVWKPCFQPEDFLEEFHARKSWATVAITPQKSEVLPTQEEAKEELDLRLSL >Manes.13G023951.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3300648:3301283:-1 gene:Manes.13G023951.v8.1 transcript:Manes.13G023951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELMTKLLRLMSQGLGLEKDCLKKKLGENPILRAQSNFYPPCPDPEMTLGLAVHTDLNALTIVRQSEGVTGLQVIKDGKWVAVDPIPNSFVINLGDHIQVLSNGRYKSVHHRAVTNKVHRRISLATFYVPGKDTVNGPIEDLIDEKHPPVYRSYRYAWFLEEFYRPEGTRRMVKETFELLTS >Manes.11G160600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32607705:32610878:-1 gene:Manes.11G160600.v8.1 transcript:Manes.11G160600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNLDGKEIKPLKICVIGDGDFIGACLCEKIIYDSPHQVTLMDESHKIKHLFGGNAARIDRFSYLNIDVPADVARLQPVLDAADILINIPAVCLPADYSTRTFDTIKSNFLDVVPLIEYCSEKNKRLIHLSSSEVYGKTTAGYLLKDDPLRKDPKFYVLKEDESPCILGSIDKRRWSYACAQQLTERLISAEGDEHGLEYTIVRAFNWVGPRMDFIPGVDGPCNGVPTVLTSFSNSLLRREPLKLVDGGYYLRTFCFIEDAMVALLLMIDNPERANGQIFNVGNPNTEISIKELANLMIRVHAKFNREPRSNYSTVAVSSEVFYGKGYDDCDRRIPDMTIINRQLGKY >Manes.11G160600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32608273:32610878:-1 gene:Manes.11G160600.v8.1 transcript:Manes.11G160600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNLDGKEIKPLKICVIGDGDFIGACLCEKIIYDSPHQVTLMDESHKIKHLFGGNAARIDRFSYLNIDVPADVARLQPVLDAADILINIPAVCLPADYSTRTFDTIKSNFLDVVPLIEYCSEKNKRLIHLSSSEVYGKTTAGYLLKDDPLRKDPKFYVLKEDESPCILGSIDKRRWSYACAQQLTERLISAEGDEHGLEYTIVRAFNWVGPRMDFIPGVDGPCNGVPTVLTSFSNSLLRREPLKLVDGGYYLRTFCFIEDAMVALLLMIDNPERANGQIFNVGNPNTEISIKELANLMIRVHAKFNREPRSNYSTVAVSSEVFYGKGYDDCDRRIPDMTIINRQLGWKPKFTLQEIVEVFLIYQHKTYSKSERSSNRAS >Manes.03G169700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29490372:29492993:-1 gene:Manes.03G169700.v8.1 transcript:Manes.03G169700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAGVDRDLNYKETELCLGLPGGGNSELETTTPKATGKRGFAETVDLKLNIQAKDGIMDLNDKIKNVSKDQNQLSAATVKDPAKPPAKAQVVGWPPVRSFRKNIMAQKTTTEEAEKASGSSAAFVKVCMDGAPYLRKVDLKIYKSYQELSEALAKMFSSFTVGNYGAQGLIDFMNGSKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPKAMEKCKSRS >Manes.01G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8955331:8956857:-1 gene:Manes.01G044300.v8.1 transcript:Manes.01G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQIVPASKNVQAQYVEMMVPLYSYGCERKVKKTLSHLKGIYSVNVDYNQQKVTVWEICNKNDVLATMKSKRKEARFWNPEDAIADDQMQESSQPPLNKPSLALMKARSLSLKALRKVFTRSFSL >Manes.05G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20724202:20726617:-1 gene:Manes.05G121900.v8.1 transcript:Manes.05G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKGQFPRGLENCTSLTGLDLSVNEFHGTIPLDIEKKIPFVTSLDISFNNFSGEIPPSIANCRFLNVLKLDHNRLNGRIPQQLGQLERIKTFSVANNLLSGQVPNFVNGNIPAENYADNIRLCGGPLEECTPPRKINWKVIGYTLSAVSVMVTLACCVPWVPVGEKKKKMTTAAAIIMQMIRRKKVKKEELVDGFPMQETEISKLEKFVTRMSYIDLSIATENFSQQNIIGQGQMGTMYKATLPNGWCLAVKRLHNSQQFEQQFMSELKTLGRFRHDNLVPLLGFSIELKERLLVYKYISNGNLFDWLHSAEDKRKILEWPLRVKIAVGLARGLAWLHHSCKFHLANLNINSKSVLLDKNFEPKLSNFGRARRSLAAKTEFLESSSVKEDVYNFGIVVLEMITSKYPTSTGSSGRSLEEYVSSISTSSASCTYDAIDKCLIGKGHDDEIFQLFRIACDCVQQLPKRRPNMLLVYEMIRDIRETQVLPN >Manes.05G121900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20724202:20726602:-1 gene:Manes.05G121900.v8.1 transcript:Manes.05G121900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYKVFISVSLYTYISSLLVTSTAIVHSSDISCLKSIKDSVEDPGNSLVSWNFHNDSNGFICLFTGVDCWHPDENRVLNLRLSKMRLKGQFPRGLENCTSLTGLDLSVNEFHGTIPLDIEKKIPFVTSLDISFNNFSGEIPPSIANCRFLNVLKLDHNRLNGRIPQQLGQLERIKTFSVANNLLSGQVPNFVNGNIPAENYADNIRLCGGPLEECTPPRKINWKVIGYTLSAVSVMVTLACCVPWVPVGEKKKKMTTAAAIIMQMIRRKKVKKEELVDGFPMQETEISKLEKFVTRMSYIDLSIATENFSQQNIIGQGQMGTMYKATLPNGWCLAVKRLHNSQQFEQQFMSELKTLGRFRHDNLVPLLGFSIELKERLLVYKYISNGNLFDWLHSAEDKRKILEWPLRVKIAVGLARGLAWLHHSCKFHLANLNINSKSVLLDKNFEPKLSNFGRARRSLAAKTEFLESSSVKEDVYNFGIVVLEMITSKYPTSTGSSGRSLEEYVSSISTSSASCTYDAIDKCLIGKGHDDEIFQLFRIACDCVQQLPKRRPNMLLVYEMIRDIRETQVLPN >Manes.02G207833.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:23578126:23580897:1 gene:Manes.02G207833.v8.1 transcript:Manes.02G207833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQKLHVAGMESTQSRPLSLHLHSFPPNPFNITSQFFKIKASQNPIKHRGFPKYRKRKHNSSFTEKDAFPESLPLQTKNPAAVYKDIQRFARQNKLKEALTIMDYLDQQGIPVNVTTFSTLIAACVRSKSLREAKQIHTHIRINGLGNNEFLRTKLVHMYTSCGSLDDAKLVFDECTSSGSVYPWNALLRGTVISGGKRYLDVLSAYAEMRQLGVELNVYTFTNVIKSFAGASALRQGLKTHGLLVKNGLLDSSILRTGLIDMYFKCGKIKLAHTLFEEMPDRDIVVWGAMIAGFAHNRRQMEALDYLRWMIGERIYPNSVILTTILPVIGEMWAKKLGQEVHGYVLKRKNCSRQLSIQSGLIDMYCKCGDMGSGRRVFYGSMDRNTVSWTALMSGYASNGRHDQALRSVAWMQQEGFRPDVVTVATAIPVCAELKALKHGKEIHAYAVKSLFLPNVSVITSLIKMYSSCGVLDYSVKLFDTMENRNVISWTAMIDSYVENWCINEALSVFRSMQLSKHRPDSVVMARMLSICSEIKALKLGKEIHGHVLKKNFEAIPFVSAEIVKMYGSCGAIQGAKSAFYAIPVKGSMAWTAIIKAYGCNNLWQEAVHLFHKMISGGFTPTHFTFKVVLSICEQAGFADDACRIFDIMTRRYKINASEEYYSIIIGLLTRDGRIQEAERFIQMSSSS >Manes.10G044400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4656899:4666110:-1 gene:Manes.10G044400.v8.1 transcript:Manes.10G044400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPERLPGDRKDFCKERKPRWRESSSSHYGSSRDFPRWGGSNEFRRPPGHGKQGGWHLFAEESGHGYAPFRSSDRILEEKNFRTSVSRGDGKYARNGRDNRGSFSQRDWRANSWELNNGSLNMPGRLHDARNDQKSVDDTVTYPSSHPRTEFLTSWDPLHSKDLHDNSKMVVGNGMGTGQRGDRENLDWKPLNKWTRSGSLSSRGSGFSHSSSSKSLGGADSYEGKAELQLKNASLVQSPSGDAAACVTSAPSDEMAARKKPRLNWGEGLAKYEKKKVESPEVNLSKDGVVISSNNIEPIHSQITTLVEKSPRVMGLSDCASPATPSSVACSSPGVEEKISGKEVTGDNDVSNLCGSPSIGSQSNIEGLSFNLEVLDATSVANLGAVLVELLQSDDSSSVDSSFIRSTAMNKLLILKGGISKALEVTESEIDLLENELKSLKFVSGSRYPCLEASSFLPAGDEVKLCNEQGAASDIPRPSPLQVSSCGPGDLEKIPICSGGLEEVHGGSKGDDVDSSGNATSKFVESMSTVKAVSSSDLVKHGECSGDVGGIETTNLVFKSVVPCTSDQGDVPACGDVDVNFLTECKGGDSFPNDASFAEDNLCNLILAANRESASKACKVFSTLLPRDDCKIDFPEVANVAFRHNDVLVKEKFARRKQFLKFKERVVTLKFKAFQHLWKEDMRLLSIRKFRAKSQKKYESMRITHSGYQKNRSSVRSRFSSLVGNLSLIPTTEMLNFTSRLLSVSQVKLYRNALKMPALSLDNKERTVSRFISSNGLVEDPCAIEKERAMINPWSSEERGVFIDKLATFGKDFRKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKPSLIAADADNGMANQQMCSGRYYLGGYCNSKPSHGDDGNLDRSSNLDILENERETVAADVLAGICGSMSSEAMSSCITTSVDPGDGCREWKSQKVDSVRKRPSTSDVTENVDEETCSDESCGEMDPADWTDEEKSIFIRAVSSYGKDFATISRCVRTRSRDQCKVFFSKARKCLGLDSIHPGSGNLGTPLSDDANGGGSDAEGGAFEYGSVNCSSKLGSKMDDNLPLPVMDPKCEVSDDVERIILTTDLNRSKDNNVSVELVQNDMKVEKTFVSDSCQMEHKPVQAIDIDNKIMDGSVCQSELMKAQEISNGSVSLEAGMEKPIEDNISVGDTVDPVPSNPVGDVKTVVEASANGSVNHFKAEELLPLENSLNDQSGLIRDSNASTDVSHQSLDMGSCSKFSLAMENMQHASMEIKSMDKYRIAAIPFRKMDNHDTLLSQFDIQESRDEQGKKLVGQDNDLQHLPGQRLVSCSESSQIIAGYPLPIPTKIEMNGDVSCGPPEVQSLPMADRSAANQFVGQDCYLRKCNNVKDECSVPELPLVSQHTDIGNDHPRDHSRSSSNVEKPCRNGDVKLFGKILTNSSCAQKSNPSINENVEQGTHCTKPGSKSSTLKFTGHQTTDGSSSVLKFDRNNYLGLENVPMKSYGFWDGNMIQTGFPSISEYFLAKYPAAFGNYHVSSSKMEQQALQAAMKCNDRNLTGVSVLPPREISSSKGVVDYQMYRSHDSSKVQPFSVDMKQRQDIFCEMQRRNGFETISSLQQQGRGMVGMNVVGRGGVLVGGSCTGVSDPVTALKMHYSKTEQYGGQNGSMMREEESWRSKGDIGR >Manes.10G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4656899:4666110:-1 gene:Manes.10G044400.v8.1 transcript:Manes.10G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPERLPGDRKDFCKERKPRWRESSSSHYGSSRDFPRWGGSNEFRRPPGHGKQGGWHLFAEESGHGYAPFRSSDRILEEKNFRTSVSRGDGKYARNGRDNRGSFSQRDWRANSWELNNGSLNMPGRLHDARNDQKSVDDTVTYPSSHPRTEFLTSWDPLHSKDLHDNSKMVVGNGMGTGQRGDRENLDWKPLNKWTRSGSLSSRGSGFSHSSSSKSLGGADSYEGKAELQLKNASLVQSPSGDAAACVTSAPSDEMAARKKPRLNWGEGLAKYEKKKVESPEVNLSKDGVVISSNNIEPIHSQITTLVEKSPRVMGLSDCASPATPSSVACSSPGVEEKISGKEVTGDNDVSNLCGSPSIGSQSNIEGLSFNLEVLDATSVANLGAVLVELLQSDDSSSVDSSFIRSTAMNKLLILKGGISKALEVTESEIDLLENELKSLKFVSGSRYPCLEASSFLPAGDEVKLCNEQGAASDIPRPSPLQVSSCGPGDLEKIPICSGGLEEVHGGSKGDDVDSSGNATSKFVESMSTVKAVSSSDLVKHGECSGDVGGIETTNLVFKSVVPCTSDQGDVPACGDVDVNFLTECKGGDSFPNDASFAEDNLCNLILAANRESASKACKVFSTLLPRDDCKIDFPEVANVAFRHNDVLVKEKFARRKQFLKFKERVVTLKFKAFQHLWKEDMRLLSIRKFRAKSQKKYESMRITHSGYQKNRSSVRSRFSSLVGNLSLIPTTEMLNFTSRLLSVSQVKLYRNALKMPALSLDNKERTVSRFISSNGLVEDPCAIEKERAMINPWSSEERGVFIDKLATFGKDFRKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKRKQSKSSTNYLMASGKHWNREMNAASLDILGAASLIAADADNGMANQQMCSGRYYLGGYCNSKPSHGDDGNLDRSSNLDILENERETVAADVLAGICGSMSSEAMSSCITTSVDPGDGCREWKSQKVDSVRKRPSTSDVTENVDEETCSDESCGEMDPADWTDEEKSIFIRAVSSYGKDFATISRCVRTRSRDQCKVFFSKARKCLGLDSIHPGSGNLGTPLSDDANGGGSDAEGGAFEYGSVNCSSKLGSKMDDNLPLPVMDPKCEVSDDVERIILTTDLNRSKDNNVSVELVQNDMKVEKTFVSDSCQMEHKPVQAIDIDNKIMDGSVCQSELMKAQEISNGSVSLEAGMEKPIEDNISVGDTVDPVPSNPVGDVKTVVEASANGSVNHFKAEELLPLENSLNDQSGLIRDSNASTDVSHQSLDMGSCSKFSLAMENMQHASMEIKSMDKYRIAAIPFRKMDNHDTLLSQFDIQESRDEQGKKLVGQDNDLQHLPGQRLVSCSESSQIIAGYPLPIPTKIEMNGDVSCGPPEVQSLPMADRSAANQFVGQDCYLRKCNNVKDECSVPELPLVSQHTDIGNDHPRDHSRSSSNVEKPCRNGDVKLFGKILTNSSCAQKSNPSINENVEQGTHCTKPGSKSSTLKFTGHQTTDGSSSVLKFDRNNYLGLENVPMKSYGFWDGNMIQTGFPSISEYFLAKYPAAFGNYHVSSSKMEQQALQAAMKCNDRNLTGVSVLPPREISSSKGVVDYQMYRSHDSSKVQPFSVDMKQRQDIFCEMQRRNGFETISSLQQQGRGMVGMNVVGRGGVLVGGSCTGVSDPVTALKMHYSKTEQYGGQNGSMMREEESWRSKGDIGR >Manes.18G089000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8193699:8194502:-1 gene:Manes.18G089000.v8.1 transcript:Manes.18G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTDQMLLQRPLPMEKTWKSHVELAPNCPRCASSNTKFCYYNNYSLSQPRYICKDCRRYWTKGGSLRNVPVGGGCRKTSRAKSLRVSQDGHRTAFAKSFSGLSDRSNESRGEYSLAQETATTNGSSEIDLAVVFANFLNQDSSFQPEYMAMGQELPNDQACTQANSLTPDSAVIECDDKLTDLLIQECDSFLEGLCLPPAIVRDHQQQERIQELIESHEMVQDYSYLWSEAAATLSNATWETVVQPQEEFISSFSMDDELKISLIK >Manes.17G016600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8481542:8483942:1 gene:Manes.17G016600.v8.1 transcript:Manes.17G016600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSAGGVPPGFRFHPTDEEMLHYYLKKKVSFQRFDMEVIKEVDLNKVEPWELQERCKIGSTPQNECYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSFKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDGDDIQGNSSTEDGWVVCKVFKKKNLFKVGNGGSSSINSSKHYQLNTSIIQPRSFMQSLTNTHDQQAFDFELNKSKLGLHHHYPHTTATTSHYSPLQSQNLMSTHDYSSALGSGSGSASPMIAKQFMLNARDCETGSENLRYPQSCDAVLQVGTCEQPNHDQQHHQGLNEWSMLDRIVTPHLGNDDSSKEVRFEDHNNASSVHSLRGEMDFWSYGK >Manes.15G166401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14112347:14113295:1 gene:Manes.15G166401.v8.1 transcript:Manes.15G166401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSLVAELIPHAPLCSLFGEDWQVGGPSEGVSGFRVVHLHHLHLLMNHVILTQRPLMRKLVSASTACPSSGNQGNEGSGTDGWFFRIFFGS >Manes.07G110200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31611628:31622191:-1 gene:Manes.07G110200.v8.1 transcript:Manes.07G110200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEITYASSSSPDLTSISSSTSGYSMPTSGARPVKIIPLQHPNATSSSSLASSLASALFSRWLAKMKRMSVAQWIETFLPCCRWIRTYKWREYLQIDLMAGVTIGVMLVPQAMSYAKLAGLHPIYGLYCGFVPVFVYALFGSSRQLATGPVALVSLLVSNVLSGIVDSSDELYTELAILLALMVGILECIMGILRLGWLIRFISHSVISGFTTASAIVIALSQAKYFLGYDVVRSSKIVPLVKSIISGVDKFSWPPFVMGFSILAVLLVMKHLGKSRKPLRFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPSFSVPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELFGLGLANILGSFFSAYPATGSFSRSAVNNESGAKTGLSGIVTGILMGCALLFLTPLFEYIPQCSLAAIVISAVMGLVDYDEAIFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDRLREYELDVDKSTRRGPEVERIYFVILELSPVTYIDSSAVQALKDLHQEYKSRDIQIAISNPNRDVLLTLSKAGAVELIGKEWYFVRVHDAVQVCLQHVQSMNQAPASTHTDPLPEDKLSFFQRLLKQRADDLSVSELESGDRRLLISKDRDSQLEPLLFRKS >Manes.13G082800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14636376:14640031:-1 gene:Manes.13G082800.v8.1 transcript:Manes.13G082800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEATKYIKKSLGGGEEDAIMFCGSGTTAAIKRLQEVMGIAVTSILRDRLIQCISDQERWVVFVGPYEHHSNLLSWRQSLAEVVEIGVDDNGLIHMESLQEKLHFYRNANRPMLGSFSACSNVTGIYSDTRGIARLLHQYGAFVCFDFAASGPYVKIEMRSGEIDGYDAIFLSPHKFVGGPGSPGILLMSKALYQLRSSPPSTCGGGTVSYVNGFNEKDTLYYEEIEERENGGTPQIIQIIRAALAFWVKEYIGYQMIDKQETYYIEKALKRLMSNKNIWVLGNTSVKRQAILSFLIFSTTNSPSSEMEDGEFRERKERELYMWAETGNKRDKPLHGAFVASLLNDLFGIQARGGCACAGPYGHILLNISETSSLSFRSAIQKGDHGVKPGWTRISFPYYMSNEEFEFILAALEFIAIYGQRFLALYNFNFKSGSWSLKKKAFKNLVGGKPKSIDMPFAGSNEGEIIINKHKSYLETAKRIANLLPKFPSQRKLPENLDHDFLYFRV >Manes.13G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14636376:14640031:-1 gene:Manes.13G082800.v8.1 transcript:Manes.13G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQPIPREEIPVELRSSTTDRIHTRTKSATFTGSFSSSSFSDLCHRSESFRTLEMGVPKSNSSEKRLGWLRSQIIGDNVEFDSPFGKRKLTYADHTASGQSLRYIENFIIKNVLPFYGNTHTCDSYVGHRTTKMVQEATKYIKKSLGGGEEDAIMFCGSGTTAAIKRLQEVMGIAVTSILRDRLIQCISDQERWVVFVGPYEHHSNLLSWRQSLAEVVEIGVDDNGLIHMESLQEKLHFYRNANRPMLGSFSACSNVTGIYSDTRGIARLLHQYGAFVCFDFAASGPYVKIEMRSGEIDGYDAIFLSPHKFVGGPGSPGILLMSKALYQLRSSPPSTCGGGTVSYVNGFNEKDTLYYEEIEERENGGTPQIIQIIRAALAFWVKEYIGYQMIDKQETYYIEKALKRLMSNKNIWVLGNTSVKRQAILSFLIFSTTNSPSSEMEDGEFRERKERELYMWAETGNKRDKPLHGAFVASLLNDLFGIQARGGCACAGPYGHILLNISETSSLSFRSAIQKGDHGVKPGWTRISFPYYMSNEEFEFILAALEFIAIYGQRFLALYNFNFKSGSWSLKKKAFKNLVGGKPKSIDMPFAGSNEGEIIINKHKSYLETAKRIANLLPKFPSQRKLPENLDHDFLYFRV >Manes.16G048314.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:10174017:10176041:-1 gene:Manes.16G048314.v8.1 transcript:Manes.16G048314.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVAEKRRKETSQLDQSKWSKAIGESSNLILASQVHATIELPSYSVETRLSPMPPSTFDDLLRGYTMVADNYLLVKNIRKKFILPGDQERLDAEDDDNGYHHAMAVNLEVMTAIQARKERFHKLHGAFGELQTQNILLITELGNMHSLAYGDDLRKRC >Manes.09G131800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33315011:33326138:-1 gene:Manes.09G131800.v8.1 transcript:Manes.09G131800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNPMQGLKLNNGGAQTQSSNSINGHNIEAFLSQRRSKGDENFNYSEEREATELYSRVKSQKVEIQILREQIAAACVKELQLLNEKYVLERKLSDLRMAIDEKQKEAITSALNELLRRKGDLEENLKLAHDLKVADDERYIFTSSLLGLLAEYGVWPHVINASAISNSIMRLHNQLQSKIRTSHDKIRELVVGAHSKSEFHDKDYTGNGNLMRQAPYQSTVLMYMQHQNGISRSNHHIDERHLEPTDTTLRYGHEIDVADKAGLMLNEKMHSQLNKNSLPEFSFDPSRQVSGPLSNMTKDSLYPSSTYNEIVYEEGPGIDGFQIIGEATPGEKLLGCGYPVRGTSLCMFQWVRHLEDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGEIVRLFANDQNKIKCDPDMQREIDLYISKGEATFSVQLLTDSSDHWKSATLILQRSHYQIKSISEEVVLIAEKYSRNLSIKIPSGFSTQFVLTRSSGSSHPLNTYDVRMRDTLVLTMRMFQNKALDDKRKGRA >Manes.09G131800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33314320:33326180:-1 gene:Manes.09G131800.v8.1 transcript:Manes.09G131800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNPMQGLKLNNGGAQTQSSNSINGHNIEAFLSQRRSKGDENFNYSEEREATELYSRVKSQKVEIQILREQIAAACVKELQLLNEKYVLERKLSDLRMAIDEKQKEAITSALNELLRRKGDLEENLKLAHDLKVADDERYIFTSSLLGLLAEYGVWPHVINASAISNSIMRLHNQLQSKIRTSHDKIRELVVGAHSKSEFHDKDYTGNGNLMRQAPYQSTYMQHQNGISRSNHHIDERHLEPTDTTLRQVSGPLSNMTKDSLYPSSTYNEIVYEEGPGIDGFQIIGEATPGEKLLGCGYPVRGTSLCMFQWVRHLEDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGEIVRLFANDQNKIKCDPDMQREIDLYISKGEATFSVQLLTDSSDHWKSATLILQRSHYQIKSISEEVVLIAEKYSRNLSIKIPSGFSTQFVLTRSSGSSHPLNTYDVRMRDTLVLTMRMFQNKALDDKRKGRA >Manes.09G131800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33314320:33326180:-1 gene:Manes.09G131800.v8.1 transcript:Manes.09G131800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNPMQGLKLNNGGAQTQSSNSINGHNIEAFLSQRRSKGDENFNYSEEREATELYSRVKSQKVEIQILREQIAAACVKELQLLNEKYVLERKLSDLRMAIDEKQKEAITSALNELLRRKGDLEENLKLAHDLKVADDERYIFTSSLLGLLAEYGVWPHVINASAISNSIMRLHNQLQSKIRTSHDKIRELVVGAHSKSEFHDKDYTGNGNLMRQAPYQSTYMQHQNGISRSNHHIDERHLEPTDTTLRYGHEIDVADKAGLMLNEKMHSQLNKNSLPEFSFDPSRQVSGPLSNMTKDSLYPSSTYNEIVYEEGPGIDGFQIIGEATPGEKLLGCGYPVRGTSLCMFQWVRHLEDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGEIVRLFANDQNKIKCDPDMQREIDLYISKGEATFSVQLLTDSSDHWKSATLILQRSHYQIKSISEEVVLIAEKYSRNLSIKIPSGFSTQFVLTRSSGSSHPLNTYDVRMRDTLVLTMRMFQNKALDDKRKGRA >Manes.18G145241.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27870639:27871163:1 gene:Manes.18G145241.v8.1 transcript:Manes.18G145241.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSIRSAEDESPWMKRNLPQRTQPLLHHPGVSSPCCFAHSSTTYHQVFKCNELPTIYLENLPINYDAAFCTRSP >Manes.05G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8180679:8181607:-1 gene:Manes.05G089900.v8.1 transcript:Manes.05G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGNTPYTVTVENTIMLQSSEDQLTNFFTQAAELLPKYLPNIYKKITPCQSSKGVYTFKIEYANGCPIETETDQIDKLDLEKLQCFYSVIDGDVLKYYKNLKVTVTLYPQEKGCKVKRAYEYEKVDNSFAPAFFEFEIAVLIQVDQVIQNAPTKKY >Manes.16G083500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28987517:28991794:1 gene:Manes.16G083500.v8.1 transcript:Manes.16G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKPPSSKSKPFAAAEKTENRRPSNSERSAYFARREAAKVLRSVLQGDAKRQAVGSIKSLVYSPSIKNKKATFALVCQTLKHLPIIKDVLESAAILNSKWKRQEELISIIVYDILFGQEISLVGGDAEKFLIGRKNALQSALAKLLVRKKVKSIENLIALYQPADVSKPCCVRVNTLKSDVDCALRELGKQFTVRKDDIVPDLLILPPHTDLHNHPLVLNGSAFLQGKASSMVAAVLAPKPGWEVLDACSAPGNKTVHLAALMGGKGKIIACELNKDRIKRLEDTVRLSGATNIEIRHGDFLNLNPRDSSFSKIRAILLDPSCSGSGTAAQRLDHLLPSHATDVTDTERLNKLSAFQKKALAHALSFPAVERVVYSTCSVNQIENEDVIISVLPLAASHGFQLVTPFPQWHRRGLPVFEGSEHLLRTDPIEDHEGFFIALFVKKSSINDSEEQTGIRNTPRALTTKKPHFKRHSHANRKFSVIPAVYSGVFKIWNSRLVMKRRIRRTKGLP >Manes.03G070700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10776975:10797007:-1 gene:Manes.03G070700.v8.1 transcript:Manes.03G070700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVSVSVSVWRPSIFPNKPLFFELDGNSRNGGLWRNPHKLVVRAGPRKISFGRECRGALQAGIDKLADVVSLTLGPKGRNVILSDSKTLKVVNDGVTIARAIELSDAMENAGAVLIQEVASKMNDLAGDGTTTAIILARAMIKIGLLAVAFGANPVSLKKGMDTTVKYLVEILKEQSVQIRGRDDIKAVASISAGNDEYVGNLIAESIDKIGYDGVISIASSSSSETYVIIEEGIKIDKGYMSPQFISNQEKSLVEFDNARILVTDRRIATVKEIVPLLEKTMQLSVPLLIFAEDISKQVLETLVVNKMQGLLSVAVVKCPGFGEGKKALLQDIALMTGADFLSGDFGLSLLDATSDQLGVAQKVTITSNSTTIVADPSTKAEIQARILQMKKDLSETDNAYLSRKLSERIAKLCGGVAVIKVGAHTEAELEDRKLRIEDAKNATYAAMAEGIVPGGGATYVHLSEQISALQDSMDDKNMQIGADIVAKALLEPAKTIAYNAGFDGAVVVEKIRTSDWRKGFNAMTDEYEDLLDAGVVDPCRVLRCALQSAASIAGLILTTQAILVEKIKKPKPAIPQVPGITP >Manes.03G070700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10776975:10797007:-1 gene:Manes.03G070700.v8.1 transcript:Manes.03G070700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKMNDLAGDGTTTAIILARAMIKIGLLAVAFGANPVSLKKGMDTTVKYLVEILKEQSVQIRGRDDIKAVASISAGNDEYVGNLIAESIDKIGYDGVISIASSSSSETYVIIEEGIKIDKGYMSPQFISNQEKSLVEFDNARILVTDRRIATVKEIVPLLEKTMQLSVPLLIFAEDISKQVLETLVVNKMQGLLSVAVVKCPGFGEGKKALLQDIALMTGADFLSGDFGLSLLDATSDQLGVAQKVTITSNSTTIVADPSTKAEIQARILQMKKDLSETDNAYLSRKLSERIAKLCGGVAVIKVGAHTEAELEDRKLRIEDAKNATYAAMAEGIVPGGGATYVHLSEQISALQDSMDDKNMQIGADIVAKALLEPAKTIAYNAGFDGAVVVEKIRTSDWRKGFNAMTDEYEDLLDAGVVDPCRVLRCALQSAASIAGLILTTQAILVEKIKKPKPAIPQVPGITP >Manes.03G070700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10776975:10797008:-1 gene:Manes.03G070700.v8.1 transcript:Manes.03G070700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQFISNQEKSLVEFDNARILVTDRRIATVKEIVPLLEKTMQLSVPLLIFAEDISKQVLETLVVNKMQGLLSVAVVKCPGFGEGKKALLQDIALMTGADFLSGDFGLSLLDATSDQLGVAQKVTITSNSTTIVADPSTKAEIQARILQMKKDLSETDNAYLSRKLSERIAKLCGGVAVIKVGAHTEAELEDRKLRIEDAKNATYAAMAEGIVPGGGATYVHLSEQISALQDSMDDKNMQIGADIVAKALLEPAKTIAYNAGFDGAVVVEKIRTSDWRKGFNAMTDEYEDLLDAGVVDPCRVLRCALQSAASIAGLILTTQAILVEKIKKPKPAIPQVPGITP >Manes.15G095801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7476351:7478689:1 gene:Manes.15G095801.v8.1 transcript:Manes.15G095801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISELSSTASSSSSSSSPGQSSSSLTCSTPSIQMVSKSVSERLLGKFFDASQYDFDYEQSGLWSPPIPRTVFLVSAGNVCSQEEFFSKLKKAKKPWRKRIACFCALWWC >Manes.15G095801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7476462:7477421:1 gene:Manes.15G095801.v8.1 transcript:Manes.15G095801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISELSSTASSSSSSSSPGQSSSSLTCSTPSIQMVSKSVSERLLGKFFDASQYDFDYEQSGLWSPPIPRTVFLVSAGNVCSQEEFFSKLKKAKKPWRKRIACFCALWWC >Manes.09G185400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37243518:37248389:1 gene:Manes.09G185400.v8.1 transcript:Manes.09G185400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIIRQKAFGMSLQTIRPAASVWRGYSSAAKEMTVRDALNSALDEEMSADPKVFLMGEEVGEYQGAYKITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTINFSLQAIDHIINSAAKSNYMSAGRISVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKEGIIAEVINLRSIRPLDKHTINASVRKTNRLVTVEEGFPQHGIGAEICASIIEESFSYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSAPMAAAQ >Manes.01G155300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34179649:34182041:1 gene:Manes.01G155300.v8.1 transcript:Manes.01G155300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSNANTSKFDAKLKVNGAGGGQKGLKKTGKDPNKPKRPASAFFVFMEEFRQEYKEKHPNNKSVSVVGKAAGDKWKSMSEAEKAPYVAKAEKRKNEYNKNMAAYNKRMAGGGNADEESDKSKSEVNDEEEEDNE >Manes.13G054500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6269755:6281198:1 gene:Manes.13G054500.v8.1 transcript:Manes.13G054500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYVGIIVSDPSLQNQFTQVELRSLKSHFMSMRRESGKLTLRDLASRMSRLKVVGENLTEEERASFIQDLYQNLDDEVDFEFFLKVYLKLHAHANARTGTVAKNSSAFLKAATTTLLHTISEPEKASYVAHINNYLGKDDFLKKYLPVDSSTNDLFEIAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLMLGLISQIIKIQLLADLSLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKAGYRKIVTNFSSDVKDAEAYAHLLNVLAPEYSNPSTLAMKDHFGRAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDTQISREERAFRFWMNSLGNSTYIDNVFEDLRNGWVLLETLEKVSPGIVNWKIANKPPIKLPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRCNILQLLKNLRFHSHGKEITDADILKWANTKVRGAGRQSHMESFKDRSLSDGIFFLELLSSVQPRAVNWSLVTKGVTDEEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKSNGTSDSETISNSTVDDSASESSLEENGNLFAGTGLIEKKRREKSYVCNS >Manes.13G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6269755:6275628:1 gene:Manes.13G054500.v8.1 transcript:Manes.13G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYVGIIVSDPSLQNQFTQVELRSLKSHFMSMRRESGKLTLRDLASRMSRLKVVGENLTEEERASFIQDLYQNLDDEVDFEFFLKVYLKLHAHANARTGTVAKNSSAFLKAATTTLLHTISEPEKASYVAHINNYLGKDDFLKKYLPVDSSTNDLFEIAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLMLGLISQIIKIQLLADLSLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKAGYRKIVTNFSSDVKDAEAYAHLLNVLAPEYSNPSTLAMKDHFGRAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDTQISREERAFRFWMNSLGNSTYIDNVFEDLRNGWVLLETLEKVSPGIVNWKIANKPPIKLPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRCNILQLLKNLRFHSHGKEITDADILKWANTKVRGAGRQSHMESFKDRSLSDGIFFLELLSSVQPRAVNWSLVTKGVTDEEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKSNGTSDSETISNSTVDDSASESSLEENGNL >Manes.13G054500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6269755:6281198:1 gene:Manes.13G054500.v8.1 transcript:Manes.13G054500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYVGIIVSDPSLQNQFTQVELRSLKSHFMSMRRESGKLTLRDLASRMSRLKVVGENLTEEERASFIQDLYQNLDDEVDFEFFLKVYLKLHAHANARTGTVAKNSSAFLKAATTTLLHTISEPEKASYVAHINNYLGKDDFLKKYLPVDSSTNDLFEIAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLMLGLISQIIKIQLLADLSLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKAGYRKIVTNFSSDVKDAEAYAHLLNVLAPEYSNPSTLAMKDHFGRAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDTQISREERAFRFWMNSLGNSTYIDNVFEDLRNGWVLLETLEKVSPGIVNWKIANKPPIKLPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRCNILQLLKNLRFHSHGKEITDADILKWANTKVRGAGRQSHMESFKDRSLSDGIFFLELLSSVQPRAVNWSLVTKGVTDEEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKSNGTSDSETISNSTVDDSASESSLEENGNLFGPEEPRTPAVSELLQCLVRANQRFAGTGLIEKKRREKSYVCNS >Manes.13G054500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6269755:6281198:1 gene:Manes.13G054500.v8.1 transcript:Manes.13G054500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYVGIIVSDPSLQNQFTQVELRSLKSHFMSMRRESGKLTLRDLASRMSRLKVVGENLTEEERASFIQDLYQNLDDEVDFEFFLKVYLKLHAHANARTGTVAKNSSAFLKAATTTLLHTISEPEKASYVAHINNYLGKDDFLKKYLPVDSSTNDLFEIAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLMLGLISQIIKIQLLADLSLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKAGYRKIVTNFSSDVKDAEAYAHLLNVLAPEYSNPSTLAMKDHFGRAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDTQISREERAFRFWMNSLGNSTYIDNVFEDLRNGWVLLETLEKVSPGIVNWKIANKPPIKLPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRCNILQLLKNLRFHSHGKEITDADILKWANTKVRGAGRQSHMESFKDRSLSDGIFFLELLSSVQPRAVNWSLVTKGVTDEEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKSNGTSDSETISNSTVDDSASESSLEENGNLFGPEEPRTPAVSELLQCLVRANQR >Manes.06G057881.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19260588:19294194:1 gene:Manes.06G057881.v8.1 transcript:Manes.06G057881.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACGLATHFLFSKDLPLLENTLKTSDMATICQVVNKFTQKPNLKQDTIYQTQRLETINKCFSKDTIEEILLALENEAKNNPEIWITEAINSMKAASHTSLKITLRSIKEGRLQNLKQCLVREYTICCNVLRATVSYDFYEGSRALLFDKDKKPKWEPSKLELVSKEMVNRCFNGIDDDDWKCLQIPDRSVSSGDVLKPKL >Manes.08G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34813004:34815899:1 gene:Manes.08G108800.v8.1 transcript:Manes.08G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLIFLLVFALSLCSFFTSIEGRFHGLTKQKYLHIVSKISLPPSPVPALAPVPAPAPQAASPSYIASSPSPEPSSVRVFDVLSFGAVGDGASDDTQAFKMAWDAACQTEFSVLLAPVGYSFMILPTIFTGPCKNGLVFQIDGTILPPDGPESWPTKTSKRQWLVFYRINEMSMQGGGVIDGKGEKWWNLPCKPHKGTHGTSLGPCDSPVAIRFFMSSNLRVQGLRVKNSPQFHFRFDNCQNVHVEMLSIIAPASSPNTDGIHIESTNNVQIYNSIVSNGDDCISIGAGCYNVKIRNITCGPSHGISIGSLGVHNTRACVSNITVTDSVIKNSDNGVRIKTWQGGYGCVSKVTFNNIHMDTVRNPIIIDQFYCLSKNCTNQTTAVYISDISYTNIKGTYDVRSPPLHLACSDSVPCSNLILSDIELLPAKGQFMADPFCWNAFGATQTVTIPPVLCLSEGIPRFVDQTMVDQC >Manes.11G077251.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11415182:11416005:-1 gene:Manes.11G077251.v8.1 transcript:Manes.11G077251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFIGSPAILSSRTVVHTPQTMGHCWSKVYVCGDCQLNDDIRNKHAECTCKNIHKINTTEKWEEKLLEASRDSKSMIVNFYSSWCSPSKSIAPAYCNLS >Manes.13G118900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32553885:32556052:1 gene:Manes.13G118900.v8.1 transcript:Manes.13G118900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAISRLLKLLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDKERISEARDELHRMLNEDELRDATLLVFANKQDLPNAMTVSEITDKLGLHSLRQRRWYIQSTCATSGQGLYEGLDWLSSNISSKG >Manes.13G118900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32553593:32556052:1 gene:Manes.13G118900.v8.1 transcript:Manes.13G118900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAISRLLKLLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDKERISEARDELHRMLNEDELRDATLLVFANKQDLPNAMTVSEITDKLGLHSLRQRRWYIQSTCATSGQGLYEGLDWLSSNISSKG >Manes.03G164600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29123687:29126725:-1 gene:Manes.03G164600.v8.1 transcript:Manes.03G164600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT1 MAREQLHVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYYKEGSTSPGSLPSNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKRVYGMTLMLMVISSIASGLSFGKAPTAVMSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIISAAFKAKYPAQSYQNDPVGSTVPEADYVWRVILMFGAIPAAVTYYWRMKMPETARYTALVAKNAKQAASDMAKVLQVDLEVDIEKIEQSGGNNFGLFSKEFVRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPQAKTMNALEEVYRIGRAQTLIALCSSVPGYWFTVALIDVIGRFAIQLMGFFFMTVFMFALAIPYHHWTLPDNRIGFVIMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAMVGGFGFLYAADGIGVRNTLLILGGINFLGMMFTFLVPESKGKSLEEISGEGEEENQSCQTTRV >Manes.03G164600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29123710:29126724:-1 gene:Manes.03G164600.v8.1 transcript:Manes.03G164600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT1 MAREQLHVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYYKEGSTSPGSLPSNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKRVYGMTLMLMVISSIASGLSFGKAPTAVMSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIISAAFKAKYPAQSYQNDPVGSTVPEADYVWRVILMFGAIPAAVTYYWRMKMPETARYTALVAKNAKQAASDMAKVLQVDLEVDIEKIEQSGGNNFGLFSKEFVRRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPQAKTMNALEEVYRIGRAQTLIALCSSVPGYWFTVALIDVIGRFAIQLMGFFFMTVFMFALAIPYHHWTLPDNRIGFVIMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAMVGGFGFLYAADGIGVRNTLLILGGINFLGMMFTFLVPESKGKSLEEISGEGEEENQSCQTTRV >Manes.15G121200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9640957:9644075:1 gene:Manes.15G121200.v8.1 transcript:Manes.15G121200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKGLIICLYSMWCKL >Manes.15G121200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9640957:9644075:1 gene:Manes.15G121200.v8.1 transcript:Manes.15G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Manes.15G177100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16434603:16438235:1 gene:Manes.15G177100.v8.1 transcript:Manes.15G177100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEVTCLSPRATVEDVYDFFSHCGPIEHVEIIRSGEYGSTAYVTFRGAYALETAILLSGATIVDQRICITRWGTYNMDESDPWNSWKVENSVGSTGIHVNHYASSPGEAVTVAQEVVKTMLAKGYVLGKDALIKAKAFDESHHVSATAAAKVAELSNRIGLTDKIQAGMETVRSVDQKYHVTDITASAVLVTGTAAVVAASYTGKAAVAAANAVVNSSYFARGALWVSDVLTRAAQAVADFGNSE >Manes.15G177100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16434603:16438235:1 gene:Manes.15G177100.v8.1 transcript:Manes.15G177100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEVTCLSPRATVEDVYDFFSHCGPIEHVEIIRSGEYGSTAYVTFRGAYALETAILLSGATIVDQRICITRWGTYNMDESDPWNSWKVENSVGSTQGIHVNHYASSPGEAVTVAQEVVKTMLAKGYVLGKDALIKAKAFDESHHVSATAAAKVAELSNRIGLTDKIQAGMETVRSVDQKYHVTDITASAVLVTGTAAVVAASYTGKAAVAAANAVVNSSYFARGALWVSDVLTRAAQAVADFGNSE >Manes.15G177100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16434603:16438235:1 gene:Manes.15G177100.v8.1 transcript:Manes.15G177100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEVTCLSPRATVEDVYDFFSHCGPIEHVEIIRSGEYGSTAYVTFRGAYALETAILLSGATIVDQRICITRWGTYNMDESDPWNSWKVENSVGSTGIHVNHYASSPGEAVTVAQEVVKTMLAKGYVLGKDALIKAKAFDESHHVSATAAAKVAELSNRIGLTDKIQAGMETVRSVDQKYHVTDITASAVLVTGTAAVVAASYTGKAAVAAANAVVNSSYFARGALWVSDVLTRAAQAVADFGNSE >Manes.15G177100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16434603:16438235:1 gene:Manes.15G177100.v8.1 transcript:Manes.15G177100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEVTCLSPRATVEDVYDFFSHCGPIEHVEIIRSGEYGSTAYVTFRGAYALETAILLSGATIVDQRICITRWGTYNMDESDPWNSWKVENSVGSTGIHVNHYASSPGEAVTVAQEVVKTMLAKGYVLGKDALIKAKAFDESHHVSATAAAKVAELSNRIGLTDKIQAGMETVRSVDQKYHVTDITASAVLVTGTAAVVAASYTGKAAVAAANAVVNSSYFARGALWVSDVLTRAAQAVADFGNSE >Manes.15G177100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16434603:16438235:1 gene:Manes.15G177100.v8.1 transcript:Manes.15G177100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEVTCLSPRATVEDVYDFFSHCGPIEHVEIIRSGEYGSTAYVTFRGAYALETAILLSGATIVDQRICITRWGTYNMDESDPWNSWKVENSVGSTQGIHVNHYASSPGEAVTVAQEVVKTMLAKGYVLGKDALIKAKAFDESHHVSATAAAKVAELSNRIGLTDKIQAGMETVRSVDQKYHVTDITASAVLVTGTAAVVAASYTGKAAVAAANAVVNSSYFARGALWVSDVLTRAAQAVADFGNSE >Manes.15G177100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16434603:16438235:1 gene:Manes.15G177100.v8.1 transcript:Manes.15G177100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEVTCLSPRATVEDVYDFFSHCGPIEHVEIIRSGEYGSTAYVTFRGAYALETAILLSGATIVDQRICITRWGTYNMDESDPWNSWKVENSVGSTQGIHVNHYASSPGEAVTVAQEVVKTMLAKGYVLGKDALIKAKAFDESHHVSATAAAKVAELSNRIGLTDKIQAGMETVRSVDQKYHVTDITASAVLVTGTAAVVAASYTGKAAVAAANAVVNSSYFARGALWVSDVLTRAAQAVADFGNSE >Manes.15G177100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16434600:16438235:1 gene:Manes.15G177100.v8.1 transcript:Manes.15G177100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEVTCLSPRATVEDVYDFFSHCGPIEHVEIIRSGEYGSTAYVTFRGAYALETAILLSGATIVDQRICITRWGTYNMDESDPWNSWKVENSVGSTGIHVNHYASSPGEAVTVAQEVVKTMLAKGYVLGKDALIKAKAFDESHHVSATAAAKVAELSNRIGLTDKIQAGMETVRSVDQKYHVTDITASAVLVTGTAAVVAASYTGKAAVAAANAVVNSSYFARGALWVSDVLTRAAQAVADFGNSE >Manes.15G177100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16434603:16438235:1 gene:Manes.15G177100.v8.1 transcript:Manes.15G177100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGGYTAEVTCLSPRATVEDVYDFFSHCGPIEHVEIIRSGEYGSTAYVTFRGAYALETAILLSGATIVDQRICITRWGTYNMDESDPWNSWKVENSVGSTQGIHVNHYASSPGEAVTVAQEVVKTMLAKGYVLGKDALIKAKAFDESHHVSATAAAKVAELSNRIGLTDKIQAGMETVRSVDQKYHVTDITASAVLVTGTAAVVAASYTGKAAVAAANAVVNSSYFARGALWVSDVLTRAAQAVADFGNSE >Manes.09G077766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21481952:21483397:-1 gene:Manes.09G077766.v8.1 transcript:Manes.09G077766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVTVMVIKVDLGCEKCQKKIKKVLCKIPQIQNQIYDEKANTVTITVVCCSPEKIKKKICCKGGDSVKGIEIKVPEKVKPQEKPKEPEKPKPKEPEKPKEKPKEPEKSKQAEKPKALQLIPDYPPPVRACCMECYQGYGGGPCYHGYGRPLPCYESYGTPVPCYEAYGRPVYDSWVGGGGFNGCRRGCYVGRCDCLSEGNPSACSVM >Manes.16G103400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30847499:30853339:-1 gene:Manes.16G103400.v8.1 transcript:Manes.16G103400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKGASDVVVGKVVVVAVKASKEIPRRALVWALTHVVQPGDCINLLVVIPAHSSSKRVWGFSRFTSDCTSGNRKSASGTGLDQRDDISDSCSEMLLQIHDVFDPEKVKIRIKVVAGSSSGVVATEAKKAQSNWVILDKHLTHEKQYCMEELQCNVVVMKRSQPKVLRLNLIGSPAMQTEMCWSLPFVMEPVLKDIESKHHTLDILRGPFVTPASSPDHESSLTATDVGTSSISSSDPGTSPFFLSGIYGGQKKEHLLFTEENGSLYESESGSDCDKKVTSCNRLYFQPWIDNNLSSSGELAKSLLDGFRRTKNTAQTFTFKSLLENLSKLGREHDIGVLNYRTDLNLSKNIREAISLSTRVPPGPPPLCSACRHKAPAFGNPPRWFSFAELELATDGFSQENFLAEGGFGSVHRGVLPDGLVVAVKQHKLASTQGDLEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLDSHLFGHSQDPLNWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPMVGDFGLARWQPNGDMGVETRIIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAIDIKRPKGQQCLTEWVRPLLEKHAIQEILDPRLMNCYSEQQVHNMMHCASLCIRRDPYSRPRMSQVLRMLEGDMVMNSSNQF >Manes.16G103400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30847499:30851503:-1 gene:Manes.16G103400.v8.1 transcript:Manes.16G103400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQCNVVVMKRSQPKVLRLNLIGSPAMQTEMCWSLPFVMEPVLKDIESKHHTLDILRGPFVTPASSPDHESSLTATDVGTSSISSSDPGTSPFFLSGIYGGQKKEHLLFTEENGSLYESESGSDCDKKVTSCNRLYFQPWIDNNLSSSGELAKSLLDGFRRTKNTAQTFTFKSLLENLSKLGREHDIGVLNYRTDLNLSKNIREAISLSTRVPPGPPPLCSACRHKAPAFGNPPRWFSFAELELATDGFSQENFLAEGGFGSVHRGVLPDGLVVAVKQHKLASTQGDLEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLDSHLFGHSQDPLNWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPMVGDFGLARWQPNGDMGVETRIIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAIDIKRPKGQQCLTEWVRPLLEKHAIQEILDPRLMNCYSEQQVHNMMHCASLCIRRDPYSRPRMSQVLRMLEGDMVMNSSNQF >Manes.16G103400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30847499:30853339:-1 gene:Manes.16G103400.v8.1 transcript:Manes.16G103400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKGASDVVVGKVVVVAVKASKEIPRRALVWALTHVVQPGDCINLLVVIPAHSSSKRVWGFSRFTSDCTSGNRKSASGTGLDQRDDISDSCSEMLLQIHDVFDPEKVKIRIKVVAGSSSGVVATEAKKAQSNWVILDKHLTHEKQYCMEELQCNVVVMKRSQPKVLRLNLIGSPAMQTEMCWSLPFVMEPVLKDIESKHHTLDILRGPFVTPASSPDHESSLTATDVGTSSISSSDPGTSPFFLSGIYGGQKKEHLLFTEENGSLYESESGSDCDKKVTSCNRLYFQPWIDNNLSSSGELAKSLLDGFRRTKNTAQTFTFKSLLENLSKLGREHDIGVLNYRTDLNLSKNIREAISLSTRVPPGPPPLCSACRHKAPAFGNPPRWFSFAELELATDGFSQENFLAEGGFGSVHRGVLPDGLVVAVKQHKLASTQGDLEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLDSHLFGHSQDPLNWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPMVGDFGLARWQPNGDMGVETRIIGTFGWLQDGKLLISNDQKANSA >Manes.16G103400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30847499:30853339:-1 gene:Manes.16G103400.v8.1 transcript:Manes.16G103400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKGASDVVVGKVVVVAVKASKEIPRRALVWALTHVVQPGDCINLLVVIPAHSSSKRVWGFSRFTSDCTSGNRKSASGTGLDQRDDISDSCSEMLLQIHDVFDPEKVKIRIKVVAGSSSGVVATEAKKAQSNWVILDKHLTHEKQYCMEELQCNVVVMKRSQPKVLRLNLIGSPAMQTEMCWSLPFVMEPVLKDIESKHHTLDILRGPFVTPASSPDHESSLTATDVGTSSISSSDPGTSPFFLSGIYGGQKKEHLLFTEENGSLYESESGSDCDKKVTSCNRLYFQPWIDNNLSSSGELAKSLLDGFRRTKNTAQTFTFKSLLENLSKLGREHDIGVLNYRTDLNLSKNIREAISLSTRVPPGPPPLCSACRHKAPAFGNPPRWFSFAELELATDGFSQENFLAEGGFGSVHRGVLPDGLVVAVKQHKLASTQGDLEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLDSHLFGHSQDPLNWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPMVGDFGLARWQPNGDMGVETRIIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAIDIKRPKGQQCLTEWVRPLLEKHAIQEILDPRLMNCYSEQQVHNMMHCASLCIRRDPYSRPRMSQVLRMLEGDMVMNSSNQF >Manes.04G021300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2572937:2574272:1 gene:Manes.04G021300.v8.1 transcript:Manes.04G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRSTQQFSLTATAKNCYQYFSFKARQSNTHKAKELNMNPKVCFFLFSFLALVFAARAQERAPHGLAFENPVAFSPSAVEFFHPKTQQPNTKKPCEESSGCSPLPLAAEVEAIQTQESEASSSQKVGSRLGASGIAAIVVGLAFAVLIATGGFYVFSTRRANMNRDSSIKPDA >Manes.17G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27294637:27298335:-1 gene:Manes.17G073000.v8.1 transcript:Manes.17G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIPAGTNSSAFRECFSLAWKNPFILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDRETVLQESIVSMAVSGAIIGAAIGGWLNDRYGRRSAILLADILFFIGALVMAASPGPALLIVGRVFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTKAPGTWRWMLGIAGVPAFLQFILMLLLPESPRWLYRKGREEEAKAILRKIYPADEVESEIQDLKVSTEKEIEEEGDPKNINLIKLCKTTTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNQTALLLSLVTAGLNALGSILSIYFIDRTGRKKLLTISLVGVILSLGLLSGIFHETTTQSPMIKATESRYGNYTCPDYSSAMNAASWDCMKCLKATHPDCGFCASSSDKLLPGVCLISNDKVKDLCHGDHREWYTRGCPSKNGWVALIGLSLYIISFSPGMGTVPWIVNSEIYPLRFRGVCGEIAATANWISNLIVAQSFLSLTQAIGTAWTFLIFGFISVVALVFVFVCVPETKGLPIEEVEKMLELRSLHYKFWEKRSEPFKKKPEV >Manes.18G144812.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:21293444:21293976:1 gene:Manes.18G144812.v8.1 transcript:Manes.18G144812.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNGKELSFEKKRPMRHLPGSAAESEVRPPNMGRFRERFWPPKALFERTKVRPPNLKFARRTCMSLGSTLGCRRSLTRPPIKSPQIGNGRVFSPFSSSGEFLYSFGRFHAFSTHPSSFHEFYPCFEVLKLK >Manes.14G113200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14051483:14061643:1 gene:Manes.14G113200.v8.1 transcript:Manes.14G113200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYSYSPSSSAGDGPPKSRVKYTPEGDAHFSEDFVQKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLVQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEELVFGNSVYWIRFDEDYSEKKFKSSSPYGIKYKFHLEDAVDCPEWIVPFNIFKSLAEEYDLELVFVKNAHEFVHEYLKKPEYIDLMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLKKRGQPDRMQTNSRRDKGKMHISKEDIMYINSV >Manes.14G113200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14051481:14061697:1 gene:Manes.14G113200.v8.1 transcript:Manes.14G113200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYSYSPSSSAGDGPPKSRVKYTPEGDAHFSEDFVQKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLVQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEELVFGNSVYWIRFDEDYSEKKFKSSSPYGIKYKFHLEDAVDCPEWIVPFNIFKSLAEEYDLELVFVKNAHEFVHEYLKKPEYIDLMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLKKVKHYHASSIQ >Manes.14G113200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14051483:14061643:1 gene:Manes.14G113200.v8.1 transcript:Manes.14G113200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYSYSPSSSAGDGPPKSRVKYTPEGDAHFSEDFVQKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLVQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEELVFGNSVYWIRFDEDYSEKKFKSSSPYGIKYKFHLEDAVDCPEWIVPFNIFKSLAEEYDLELVFVKNAHEFVHEYLKKPEYIDLMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLKKRGQPDRMQTNSRRDKGKMHISKEDIMYINSV >Manes.14G113200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14051481:14061697:1 gene:Manes.14G113200.v8.1 transcript:Manes.14G113200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYSYSPSSSAGDGPPKSRVKYTPEGDAHFSEDFVQKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLVQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEELVFGNSVYWIRFDEDYSEKKFKSSSPYGIKYKFHLEDAVDCPEWIVPFNIFKSLAEEYDLELVFVKNAHEFVHEYLKKPEYIDLMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLKKVKHYHASSIQ >Manes.14G113200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14051422:14061701:1 gene:Manes.14G113200.v8.1 transcript:Manes.14G113200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYSYSPSSSAGDGPPKSRVKYTPEGDAHFSEDFVQKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLVQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEELVFGNSVYWIRFDEDYSEKKFKSSSPYGIKYKFHLEDAVDCPEWIVPFNIFKSLAEEYDLELVFVKNAHEFVHEYLKKPEYIDLMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLKKRGQPDRMQTNSRRDKGKMHISKEDIMYINSV >Manes.14G113200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14051422:14061750:1 gene:Manes.14G113200.v8.1 transcript:Manes.14G113200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYSYSPSSSAGDGPPKSRVKYTPEGDAHFSEDFVQKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLVQLYARRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPARLICGDCYEVRLDKVLADDAPFDICSCQFALHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIIKKLREAEELVFGNSVYWIRFDEDYSEKKFKSSSPYGIKYKFHLEDAVDCPEWIVPFNIFKSLAEEYDLELVFVKNAHEFVHEYLKKPEYIDLMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLKKRGQPDRMQTNSRRDKGKMHISKEDIMYINSV >Manes.02G203026.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19033088:19033442:1 gene:Manes.02G203026.v8.1 transcript:Manes.02G203026.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNSRQAGVNVHYYEDETAPTGTCAVFVVGGERSVYLFSLYF >Manes.10G013800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1415549:1430778:1 gene:Manes.10G013800.v8.1 transcript:Manes.10G013800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFVVNLEGKIYSCKHCRTHLALYEDIVSKSFYCRHGKAYLFNKVVNVSLGVKEERSMITGLHTVVDIFCVGCGSIVGWKYEIAHEKSQKYKEGKSVLERFKIAGPDGSSYWVNQEAHVGGSDADDV >Manes.10G013800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1427268:1430778:1 gene:Manes.10G013800.v8.1 transcript:Manes.10G013800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFVVNLEGKIYSCKHCRTHLALYEDIVSKSFYCRHGKAYLFNKVVNVSLGVKEERSMITGLHTVVDIFCVGCGSIVGWKYEIAHEKSQKYKEGKSVLERFKIAGPDGSSYWVNQEAHVGGSDADDV >Manes.10G013800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1427268:1430778:1 gene:Manes.10G013800.v8.1 transcript:Manes.10G013800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFVVNLEGKIYSCKHCRTHLALYEDIVSKSFYCRHGKAYLFNKVVNVSLGVKEERSMITGLHTVVDIFCVGCGSIVGWKYEIAHEKSQKYKEGKSVLERFKIAGPDGSSYWVNQEAHVGGSDADDV >Manes.11G049010.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6261102:6262713:1 gene:Manes.11G049010.v8.1 transcript:Manes.11G049010.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAINFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.01G173566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35490557:35494176:-1 gene:Manes.01G173566.v8.1 transcript:Manes.01G173566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNEMRTMTEYDLRQFENDDFVSIFEQCTPLDLRDYLDLSSTPIDYTFLESTSVPEFLQEKVPPDLAFSFTEYIQEKAQVRNPTHEHVQENRVPLLPAPPQPIHVEVEPMPKRHRGRTRSKPPKESQPQLVIDVPSWLPDGWTVKKWVRRNGASAGHVDKYYVSPDGRQFRSKKEVVKYLDDMQANKHKEILGLKRDKHK >Manes.01G173566.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35490557:35494176:-1 gene:Manes.01G173566.v8.1 transcript:Manes.01G173566.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRNEMRTMTEYDLRQFENDDFVSIFEQCTPLDLRDYLDLSSTPIDYTFLESTSVPEFLQEKVPPDLAFSFTEYIQEKAQVRNPTHEHVQENRVPLLPAPPQPIHVEVEPMPKRHRGRTRSKPPKESQPQLVIDVPSWLPDGWTVKKWVRRNGASAGHVDKYYVSPDGRQFRSKKEVVKYLDDMQANKHKEILGLKRDKHK >Manes.05G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1499271:1501478:-1 gene:Manes.05G015700.v8.1 transcript:Manes.05G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSTTLSITSSSSLVDAKAPRQLAAASPQCVTLPTLPPPPVQTQNRPWKTTAYCRKLARNVMAMATGEALATGEAPTELATTEPPEIVKSIQQAWDKVEDKYAVSSLAVAGVVALWGSTGMISAIDRLPLIPGALELVGIGYTGWFAYKNIIFKPDREAFVAKVKATFNDIIGSSS >Manes.12G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3663792:3667481:-1 gene:Manes.12G043800.v8.1 transcript:Manes.12G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKQCQVCNEAQSKYKCPSCLAPYCSLVCFKKHKEIPCAKPVSKEEKSTADPQLPVERPLTVDDASEVLQKPQLESIASSSEIRDALKDESLRKLIHSINCARDPQSELDKAMGGEAFRIFTDKILSAIGQ >Manes.15G110700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8844689:8845867:-1 gene:Manes.15G110700.v8.1 transcript:Manes.15G110700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMAPRYWCHMCSQRVNPIIEVEIKCPFCQSGFVEEMSSSTRENQEPDSDFSSDRALSLWAPVLLGMMGNPRVRRRVRRMEPEEDGNDSDGGEAHHGGESELYGELESIMRRRRRSSGTISQLLQGIRARTVSESDNSEGDRSRDGDRDRIRARDRERERVILINPLNRTIIVQGSYDSSNGQNQNHTLIGSLGDYFVGPGLDLLLQHLAENDPNRYGTPPAQKEAIEALPTVTVKETLQCSVCLDDFEIGTEAKEMPCKHKFHNSCILPWLELHSSCPVCRFQLPADESKSDSERSRISINRREINNTDNNNSSSTTDSSRNNNHHHHQGNSNAVREGEGRNGNGRRFSFPWPFNSLFSSSSGSQSAGSNSSSASLSNASGNASQIDEN >Manes.15G110700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8844302:8848694:-1 gene:Manes.15G110700.v8.1 transcript:Manes.15G110700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQIRDHKKERERMEEAMAPRYWCHMCSQRVNPIIEVEIKCPFCQSGFVEEMSSSTRENQEPDSDFSSDRALSLWAPVLLGMMGNPRVRRRVRRMEPEEDGNDSDGGEAHHGGESELYGELESIMRRRRRSSGTISQLLQGIRARTVSESDNSEGDRSRDGDRDRIRARDRERERVILINPLNRTIIVQGSYDSSNGQNQNHTLIGSLGDYFVGPGLDLLLQHLAENDPNRYGTPPAQKEAIEALPTVTVKETLQCSVCLDDFEIGTEAKEMPCKHKFHNSCILPWLELHSSCPVCRFQLPADESKSDSERSRISINRREINNTDNNNSSSTTDSSRNNNHHHHQGNSNAVREGEGRNGNGRRFSFPWPFNSLFSSSSGSQSAGSNSSSASLSNASGNASQIDEN >Manes.04G003500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:602349:605807:-1 gene:Manes.04G003500.v8.1 transcript:Manes.04G003500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEALREAISTIMNASKEKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEGIGLQWMDVEALKKLNKNKKLVKKLAKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQVFQNVQMSVNFLVSLLKKNWQNVKCLHLKTTMGTPQRVF >Manes.04G003500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:602349:605807:-1 gene:Manes.04G003500.v8.1 transcript:Manes.04G003500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEALREAISTIMNASKEKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEGIGLQWMDVEALKKLNKNKKLVKKLAKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQVFQNVQMSVNFLVSLLKKNWQNVKCLHLKTTMGTPQRVF >Manes.09G066300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10921407:10923229:1 gene:Manes.09G066300.v8.1 transcript:Manes.09G066300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKKPAAAEPAGGGEKKDDAKVISVYKVDMHCEGCAKKIRRAVKHLEGVEAVKTDCEGNKLTVTGKVDPAKVKERLEEKIKKKVDIVSPQPKKDGGDKKPDEKAEKKSEEKKPEEKKPPKESTVVLKIRTHCDGCISKMKKIILKFKGVNSVTVDGPKDLVTVKGTMDAKEMVPYLKEKLRRNVDVVPPKKEEEKKGGDGEKKEGDGGKKEAAAAASGGGGAKVEVSKMEYYPAPAPTHWFDGMFGHSYAAEPQHGSYPVNHGYPMMTHGYVQQGYVNQGYVMEPAYHHPMHAPQMFSDENPNSCSVM >Manes.02G217600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30816671:30820043:1 gene:Manes.02G217600.v8.1 transcript:Manes.02G217600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANALASLNKISPFLSPQRRYKLIIPQLGQYPVRPCPWIYTTICNNRSCKSANFVVAKPIKGRIREFRLLKSVELDQYITSDDEDEMSEGFFEAIEELERMTREPSDILEEMNDRLSARELQLVLVYFSQEGRDSWCALEVFEWLRKENRVDKETMDLMVSIMCSWIKKLIEGDHDTGDVVDLLVDMDCVGLKPSFSMIEKVISLYWEMGEKERAISFVKEVLRREITFSKDDGEGQKGGPTGYLAWKMMVDGNYKDAVKLVINLRESGLKPEVYSYLIAMTAVVKELNEFAKALRKLRGFATSGLIAELDPENLRIIEKYQSDLLADGVCLSSWVIQEGNPLLYGVVHERLLAMYICAGCGLDAEKQLWEMKLVGKEADRDLYDIVLAICASQKEAGAIGRLLTGIEVTTSHQKKKTLSWLLRGYIKGGHYDEASEVLIKMLDLGLCPDYLDRVAVLQGLRKRIQQWGNVESYLKLCKRLSVSNLIGPPLVYLYIKKYKLWIMKML >Manes.15G004800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:539732:545682:-1 gene:Manes.15G004800.v8.1 transcript:Manes.15G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPIIVLAFAYAICRFLLMLIPPNVPSIDVDASDVLDGNQTQENSFIYIPPRGRTQQQDKKVQCYEPATMKYLGYFPALSPAEVKDRVAHARKAQKTWAKSSFKQRRQFLRVLLKYIIEHQELICEVSSRDTGKTMVDASLGEIMTTCEKITWLLSEGERWLKPEYRSTGRSMLHKKSKVEFHPLGVIGAIVSWNYPFHNIFNPMLAALFSGNSIVIKVSEHASWSGCFYFRIVQAALAAVGAPENLVDIITGFAETGEALVASVDKIIFVGSPGVGKMIMRNAANTLIPVTLELGGKDAFIVCEDVDVPHVAQIAVRAALQSSGQNCAGAERFYVHKDIYSSFVSEVAKIVKSVSAGPPLAGRYDMGAICLQEHSDRLQNLVNDAIDKGAEIVARGSIGHLSEGAVDQYFPPTILVNVNHKMKLMQEEAFGPIMPIMKFSSDEDVVKLANDSRYGLGCAVFSGSQRRAKEIASQIHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKSVVEDRWWPYIKTKIPKPIQYPVSENGFEFQVSLVEALYGLNIWDRLRALVNVLKILTEQNSSSSKRND >Manes.14G045000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3897020:3907072:-1 gene:Manes.14G045000.v8.1 transcript:Manes.14G045000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPTSSKLVASSPLEKFSPGRAQPESMLAMDQKDKLSIGEGSAIMMKTSWNSMDHHAKSWSSLSVHPSSYGFDGTRAGISTTQWESSLFSSSFSDAFSGKLRLLDNDVKSHQPAKTVASPYEEDESFESLKELEAHAIGNLLPAEDDLFSGVTDELGYNAYGNSRDDLEDFDLFITGGGMELEGDDHPSVGQRNSDFVGGVNNGQGVSNGSIVGEHPYGEHPSRTLFVRNINSNVEDTELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRSLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGAYGEIKEIRETPHKRHHKFIEYYDIRAAEAALSALNRSDIAGKQIKLEPSRPGGTRRLVPKPEQEQEEPNLCQSPFDDSSAGRLAAFSPGVIASSCMDNGSTQVLPSAIQSPMGSFIESHQSSSVPNNLPSPIVGSVGKQVGLHESNHSMDEIIFGNQCVQSLHPHSLPEYHGSLAHGIPYNSSSTVGGMAHSVGSKLSDGINSRPIQGVGSNGHLMEFGGGVFGSSGNGSASLPGNHYIWSNSNSGQQHHGNRMIWPNSPSFANGVHAHHLSHMPGLPRTPPVMLNTLPAHHHIGSAPAVNPSLWDKRHAYSGESPDSSSFHLGPLGSVGFPGGSPPHPMDIASLNIFSHVGGNCMDVTKNAGLHTGHPMCHIFPGRNPMISMPAPFDSSNERVRNLSHRRMESNTNHSDKKQYELDIDHILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMTDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDRRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGSEENQHQGNPSTSANGEDSSNGTDSSSGSA >Manes.14G045000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3897020:3907072:-1 gene:Manes.14G045000.v8.1 transcript:Manes.14G045000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDVMDQRSGTASSHFFEDIWLPAEIQTGFWKPHSMPDHQIGKDGMAHPTSSKLVASSPLEKFSPGRAQPESMLAMDQKDKLSIGEGSAIMMKTSWNSMDHHAKSWSSLSVHPSSYGFDGTRAGISTTQWESSLFSSSFSDAFSGKLRLLDNDVKSHQPAKTVASPYEEDESFESLKELEAHAIGNLLPAEDDLFSGVTDELGYNAYGNSRDDLEDFDLFITGGGMELEGDDHPSVGQRNSDFVGGVNNGQGVSNGSIVGEHPYGEHPSRTLFVRNINSNVEDTELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRSLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGAYGEIKEIRETPHKRHHKFIEYYDIRAAEAALSALNRSDIAGKQIKLEPSRPGGTRRLVPKPEQEQEEPNLCQSPFDDSSAGRLAFSPGVIASSCMDNGSTQVLPSAIQSPMGSFIESHQSSSVPNNLPSPIVGSVGKQVGLHESNHSMDEIIFGNQCVQSLHPHSLPEYHGSLAHGIPYNSSSTVGGMAHSVGSKLSDGINSRPIQGVGSNGHLMEFGGGVFGSSGNGSASLPGNHYIWSNSNSGQQHHGNRMIWPNSPSFANGVHAHHLSHMPGLPRTPPVMLNTLPAHHHIGSAPAVNPSLWDKRHAYSGESPDSSSFHLGPLGSVGFPGGSPPHPMDIASLNIFSHVGGNCMDVTKNAGLHTGHPMCHIFPGRNPMISMPAPFDSSNERVRNLSHRRMESNTNHSDKKQYELDIDHILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMTDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDRRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGSEENQHQGNPSTSANGEDSSNGTDSSSGSA >Manes.14G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3897020:3907072:-1 gene:Manes.14G045000.v8.1 transcript:Manes.14G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDVMDQRSGTASSHFFEDIWLPAEIQTGFWKPHSMPDHQIGKDGMAHPTSSKLVASSPLEKFSPGRAQPESMLAMDQKDKLSIGEGSAIMMKTSWNSMDHHAKSWSSLSVHPSSYGFDGTRAGISTTQWESSLFSSSFSDAFSGKLRLLDNDVKSHQPAKTVASPYEEDESFESLKELEAHAIGNLLPAEDDLFSGVTDELGYNAYGNSRDDLEDFDLFITGGGMELEGDDHPSVGQRNSDFVGGVNNGQGVSNGSIVGEHPYGEHPSRTLFVRNINSNVEDTELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRSLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGAYGEIKEIRETPHKRHHKFIEYYDIRAAEAALSALNRSDIAGKQIKLEPSRPGGTRRLVPKPEQEQEEPNLCQSPFDDSSAGRLAAFSPGVIASSCMDNGSTQVLPSAIQSPMGSFIESHQSSSVPNNLPSPIVGSVGKQVGLHESNHSMDEIIFGNQCVQSLHPHSLPEYHGSLAHGIPYNSSSTVGGMAHSVGSKLSDGINSRPIQGVGSNGHLMEFGGGVFGSSGNGSASLPGNHYIWSNSNSGQQHHGNRMIWPNSPSFANGVHAHHLSHMPGLPRTPPVMLNTLPAHHHIGSAPAVNPSLWDKRHAYSGESPDSSSFHLGPLGSVGFPGGSPPHPMDIASLNIFSHVGGNCMDVTKNAGLHTGHPMCHIFPGRNPMISMPAPFDSSNERVRNLSHRRMESNTNHSDKKQYELDIDHILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMTDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDRRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGSEENQHQGNPSTSANGEDSSNGTDSSSGSA >Manes.14G045000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3897020:3907072:-1 gene:Manes.14G045000.v8.1 transcript:Manes.14G045000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPTSSKLVASSPLEKFSPGRAQPESMLAMDQKDKLSIGEGSAIMMKTSWNSMDHHAKSWSSLSVHPSSYGFDGTRAGISTTQWESSLFSSSFSDAFSGKLRLLDNDVKSHQPAKTVASPYEEDESFESLKELEAHAIGNLLPAEDDLFSGVTDELGYNAYGNSRDDLEDFDLFITGGGMELEGDDHPSVGQRNSDFVGGVNNGQGVSNGSIVGEHPYGEHPSRTLFVRNINSNVEDTELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRSLQNKPLRRRKLDIHYSIPKDNPSEKDINQGTLVIFNLDSSVSTEELHKIFGAYGEIKEIRETPHKRHHKFIEYYDIRAAEAALSALNRSDIAGKQIKLEPSRPGGTRRLVPKPEQEQEEPNLCQSPFDDSSAGRLAFSPGVIASSCMDNGSTQVLPSAIQSPMGSFIESHQSSSVPNNLPSPIVGSVGKQVGLHESNHSMDEIIFGNQCVQSLHPHSLPEYHGSLAHGIPYNSSSTVGGMAHSVGSKLSDGINSRPIQGVGSNGHLMEFGGGVFGSSGNGSASLPGNHYIWSNSNSGQQHHGNRMIWPNSPSFANGVHAHHLSHMPGLPRTPPVMLNTLPAHHHIGSAPAVNPSLWDKRHAYSGESPDSSSFHLGPLGSVGFPGGSPPHPMDIASLNIFSHVGGNCMDVTKNAGLHTGHPMCHIFPGRNPMISMPAPFDSSNERVRNLSHRRMESNTNHSDKKQYELDIDHILHGEDSRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMTDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDRRCRPILFHTDGPNAGDPEPFPMGTNIRSRLGKPRTSGSEENQHQGNPSTSANGEDSSNGTDSSSGSA >Manes.17G075400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27609968:27614403:1 gene:Manes.17G075400.v8.1 transcript:Manes.17G075400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVHIDAEQQKVTVSGSVDSATLIKKLVRAGKHAEVWSQKSNQNQNQKQKNNCNKEDKNKGQKQGILKNLEALKNQHKFPIALSSEDDYFDDDEEEEEDELNFLGPSHLGLIRQQIEASNAKKNIGAMAVAPNCGNKMNNNVGNGNAQKKGNPNPNQNMGMKINPGGVDQKGMAALKMNGAQLGGNINSGEGKRANEIATMMNLAGFHGNVGNLPNSAAALGGFQQVQSNMGYQGSSGGGGFPSGGYATGQHPSSMMMNMNGYNHPASAASMMMNMQNRHMMQQQQQQQQPQPQMMYHRSPFIPPNTGYYYNYSPAPYPEQQPNYNGDNSATATHMFNDENTSSCSIM >Manes.06G047200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14835308:14838557:1 gene:Manes.06G047200.v8.1 transcript:Manes.06G047200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKPAVRISDRKLIVKDRTIVSGVPDNVVSTSGSSSSPVEGVFLGAVFNEENSRQVVSLGTLREVRFMACFRFKLYWMAQKMGDHGRDIPLETQFLLVETKDGSQLESDDGNEENQIIYTVFLPLIEGCFRACLQGNMNDELELCLESGDSETKAASFSHSLFIHAGTDPFGTITDAIRQVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYHEVTQEGVEAGLDSLAAGGTPPKFVIIDDGWQSVGSDPPEETDENKQQQLPRLTGIKENSKFQNKDDPVVGIKNIVNRAKQKHGLKYVYVWHAIIGYWGGVRPGVKEMEEYGSLMKYPMISRGVLENDPTWKTDIMKVQGLGLMNPKSVHKFYNELHSYLASAGIDGVKVDVQCILETLGGGLGGRVELTRQYHQALDASVARNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFFPREPVSHTIHIAAVAYNSVFLGEIMQPDWDMFHSLHPAAEYHASARAISGGTVYVSDEPGKHDFKVLKKLVLPDGSVLRARLPGRPTRDCLFSDPSRDCVSLLKIWNMNKYTGVLGVYNCQGAAWNSVERKNTFHETISGALTGAIKGRDVHLIAEAATDSEWNGDCAVYLHRTSEVTILPYNSALPVSLEVLGHDMFTVTPIKVLAPEFSFAPFGLIDMYNAGGAIEGLKYELKGGARLSELDENIGVSDARVGKICMDVKGCGKFGAYSSARPRRCIVDSNVVEFVYDSSAGLVTFGLDSLPEEGKLHAVEVEL >Manes.04G083100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28441094:28449478:-1 gene:Manes.04G083100.v8.1 transcript:Manes.04G083100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMQANQMTVSITGATGFIGRRLVQRLHADNHYINVLTRSKSKAELIFPAKDFPRIVVAEEPKWKDSIRGSNAVVNLAGMPISTRWSSEIKKEIKQSRIRVTSKVVDLINDSPDGVRPTVLVSATAVGYYGSSETQVFDERSPSGNDYLAEVCREWEASALKVNKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGQQWFSWIHLDDIVNLIYEALSNPAYRGVINGTAPNPVRLAEMCDRLGNVLGRPSWLPVPDFALKAVLGEGASVVLDGQKVLPKRAKELGFQFKYPHVQDALKTIL >Manes.04G083100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28441094:28449478:-1 gene:Manes.04G083100.v8.1 transcript:Manes.04G083100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMQANQMTVSITGATGFIGRRLVQRLHADNHYINVLTRSKSKAELIFPAKDFPRIVVAEEPKWKDSIRGSNAVVNLAGMPISTRWSSEIKKEIKQSRIRVTSKVVDLINDSPDGVRPTVLVSATAVGYYGSSETQVFDERSPSGNDYLAEVCREWEASALKVNKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGQQWFSWIHLDDIVNLIYEALSNPAYRGVINGTAPNPVRLAEMCDRLGNVLGRPSWLPVPDFALKAVLGEGASVVLDGQKVLPKRAKELGFQFKYPHVQDALKTIL >Manes.04G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28441094:28449478:-1 gene:Manes.04G083100.v8.1 transcript:Manes.04G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCRATALTWTHSISPPLHIPRTFSKLDARRLSVCCASDQTQKANQMTVSITGATGFIGRRLVQRLHADNHYINVLTRSKSKAELIFPAKDFPRIVVAEEPKWKDSIRGSNAVVNLAGMPISTRWSSEIKKEIKQSRIRVTSKVVDLINDSPDGVRPTVLVSATAVGYYGSSETQVFDERSPSGNDYLAEVCREWEASALKVNKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGQQWFSWIHLDDIVNLIYEALSNPAYRGVINGTAPNPVRLAEMCDRLGNVLGRPSWLPVPDFALKAVLGEGASVVLDGQKVLPKRAKELGFQFKYPHVQDALKTIL >Manes.17G083400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28999091:29003777:-1 gene:Manes.17G083400.v8.1 transcript:Manes.17G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLFLSHYPYLYSSFKSCCKSPRFIFPISLLHSSKQSLDPNLMQDFVFDEVESRNSSSSVTRIAQSSSKTNELINQAEHTKRNESTVQISHPWPEWVDLMELLLKRGYFTSNMNPFLNGELGTKEMNWIRTACLNFARDQLGLVRFFSRKDIHVIARCGCPSIDRKVVNSGKRLRAHVGINEGNVCGSCKLRGDCERAYVMAREGEGARTVDVMRILVTYGFDHLSDNLDNSPFDNKMVKDSVRVLLKEMVDFGKQQLDSDLPDGTSLKSCEPLRTHSSMKQGDWLCPKCNFLNFSRNIRCLQCDSLFEDRLKQLHKDEHHLPLKKGDWICEKCNFLNFAKNTRCLQCKEKPPKRHLNPGEWECESCNYINFRRNMVCLKCDHRRPKAVNTRSKISTPLDHDSRAYPNHRRLRFVDGGHNGNVDKSMQLDRMNQRRGVDRFRFVEEEREDEHSNVRTKGSGFIDFPIVGGKSSLSQDSQNRERWKQEMLEKRKTSARNGESDEESMCADTQRRFKFLETTDDEDMAEWFGHTK >Manes.05G001900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:231210:234966:1 gene:Manes.05G001900.v8.1 transcript:Manes.05G001900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSTCRELVVCVLFIFSEFQGKTNVSVVAIALSQSSGFFASYTTETNGSRRTFPAPKFPICKNWEFTFSIVILSNLIKWDYEKVPMGSDSTSTPPASTSTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEIMASSLNGLTVGETLSENLMESPARSEGMFYARDDMSLQYSPMSEDSDDSRFCETPINTCSSQPESLPTSPVSPYRYQRSFGGFSPASSTSSYPAHGYTATSVTCSQPRQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPPGSSFELPFGSGQEPASNIEVDERPCSYMKSLVDERDYQIEECSSRIAPGPELNDGKSCRVLNMNVKGDESGG >Manes.S023716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:296541:297353:-1 gene:Manes.S023716.v8.1 transcript:Manes.S023716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.12G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35444344:35452924:-1 gene:Manes.12G148100.v8.1 transcript:Manes.12G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGRLIAGSHNRNEFVLINADENGRIKSVQELSGQVCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVDGDEEEDDIDDLEHEFDYGNFDGLGPEQVAEAMLSSQLNTGHASHSNVSGIPTHSELDSSPLSSKIPLLTYGEEDAEISSDRHALIVPPYMGHGNRVHPMPYSDPSIPLQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQSDKLQVVKHGGGNDGGNFDGDEFDDPDLPMMDEGRQPLSRKLPIPSSKISPYRLIIILRLVILGLFFHYRILHPVNDAYGLWLTSVICEIWFGVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPSFVRERRAMKREYEEFKVRINGLVATAQKVPEDGWTMQDGTAWPGNNVRDHPGMIQVFLGHSGVCDVEGNELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGYDAPVKKKPPGKTCNCWPKWCCLCCGSRKNKKSKPKKEKKKKSKNREASKQIHALENIEEGIEDPNIEKSLETSQMKLEKKFGQSPVFVASTILENGGVPHDASPASLLREAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKWLERFSYINSVVYPLTSIPLLVYCTLPAICLLTGKFIVPEISNYAGIIFIALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGDFSELYLFKWTSLLIPPTTLLIINIVGVVVGISDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLLWVRINPFVSRDGPVLELCGLNCD >Manes.08G004124.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:869365:870036:1 gene:Manes.08G004124.v8.1 transcript:Manes.08G004124.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTELLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.11G153500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32050364:32050729:-1 gene:Manes.11G153500.v8.1 transcript:Manes.11G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDHKPQQILPELDEKSATSQGLKQDDGDEIVAADEELVSSNDQEECKTPTSSDHKIPRIRSCPPTPRKKERKLLLQKRKFSEMEFFEASNRDEVESFFRSNFELARVESCRMKRRCRSF >Manes.18G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1490791:1494547:-1 gene:Manes.18G013400.v8.1 transcript:Manes.18G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEDSKAVKLKEEKAEADEKSLSSILQARKKNPTNAGTLTTKSRPKDSKVKKEEPQVDDNDDDFDKSVVVKSSSGSRPKTSKVKKEEDDDDDHKPISKKNSPPKELNKKKKKKEEEKKKGSAVTEQNGKKRERKVYELPGQKRDPPEERDPLRIFYESLYQQLPNSEMAQIWMMESGLLSKEEAKKVYEKKQKKNQLKLSSPIKAVASTKKTQSATVVKKKTPSTPAPSVKKKTTETKVEKQQPKKRKIGDGSSEEDSDDDFVLSRSTKKQRAS >Manes.17G029905.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22404564:22406162:-1 gene:Manes.17G029905.v8.1 transcript:Manes.17G029905.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSMKPRSIVWDHFSKFIDDMGIQKGKCNYCEKEFYCDPKKNGTSTLKHHMSACIKNSHSVTTRQSQLSLQPLSSSTQEGGGNYQLGMLSSWHFDQDVARRKLAKMIIIDELPFMFVEGEGFKEWVECIQPKFRIPSRWTISRDFYDLYLEERKKLKSYFQKCSQRICITTDTWTSLQRINYMCITAHYIDDNWILHKKILNFCPIGSHKGDDIGMAIESCLLNWGIKRVFTMTVNNASSNDVAVTYLKKKINENIEAVKRVREAVRYVRQSPARLQKFKSCCEMEGIQSKCHLSLDVSTRWNSTYLMLRTAKKFENAFDRFATIDPCFKFDLVSGKECDDVPDSLDWDYIRKIVDFLGHFYDLTLKISGSRYVTSNIFFDEISSVDCLLQEWKIRDDLTLANMGEKMKVKFDKYWGDPNKMNKLIYIAVVMDPRYKMEFMGFALSAVYGNGKGLDLTDKIKSAVYELFDEYKTMFANENANINDGHAHSIAIENLDEER >Manes.11G093400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15554894:15555208:1 gene:Manes.11G093400.v8.1 transcript:Manes.11G093400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSTKLTQTAVLKQILKRCSSLGKKHGYDDDGLPLDVPKGHFAVYVGENRSRYVVPISLLTHPEFQCLLRQAEEEFGFDHDMGITIPCEEVVFRSLTSSLR >Manes.03G072200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11683227:11687797:-1 gene:Manes.03G072200.v8.1 transcript:Manes.03G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMAWKEKRLLAVLLLLCFSFGFVVCQNQDLSVLLEVKKSFLEDPENVLRDWNESNPNFCKWRGVTCGLSSVDGSMRVLSLNLSDSSLSGSISPSLGRLQNLLHLDLSSNSLTGPIPTALSNLSSLESMLLFSNQLTGSIPTQLGSLTSLRVMRIGDNGLSGPIPASFRELANLVTLGLASCSLTGTIPPQLGQLTMLENLILQQNQLQGPIPAELGNCSSLTIFTAANNNLSGSIPVELGRLENLQILNLANNSISGQIPSQLGELSQLTYLNLLGNELEGPIPKSLTKLGNLQNLDLSMNKLTGGIPEEFGNMGQLVYLVLSNNNLSGVIPRSICSNATSLESLFLAEIQLSGPIPKELRQCQSLQQLDLSNNTLNGSIPIEIFDLAELNYLYLHNNSLEGSISPFIANLSNLQELALYHNNFQGNLPKEIGMLGNLQVLYLYDNQLSGEIPMEIGNCSSLQMVDFFGNHFSGEIPITLGRLKGLKLLHLRQNELVGEIPSSLGNCHQLKILDLADNHLSGGIAATFGFLQALEQLMLYNNSLEGNIPDSLTNLRNLTRINLSKNKLNGSIEPLCSSSSFLSFDVTGNEFDHEIPPQLGNSPSLERLRLGNNQFTGKIPWTLGKIRQLSLLDLSGNLLTGPIPVQLMLCNKLTHIDLNNNLLSGPIPLWLGRLTQLGELKLSSNQFTGSLPPELFNCSKLLVLSLDSNSLNGTLPVEIGRLESLDVLNLNNNQLSGPISRTLGRLSKLYELRLSHNSFSGEIPVELGQLKNLQSMMDLSYNNLSGEIPPSIGTLSKLESLDLSHNQLVGEIPPQVGQMSSLGKLNLSFNNLQGKLSKQFSHWPAEAFEGNLQLCGKPLNHCNGFDSGNQRSGLSESTVVIISAITTLAAIALLVFGLSLFFKQRRESFRRGSELTCNYSSTSSKAQHRPLFQKGIAKRDFRWEDIMEATSNLSDEFIIGSGGSGTIYKAELHTGETVAVKRILWKDDFLLNKSFMREVKTLGSIKHRHLVKLLGYCTNKGAGSNLLIYEYMENGSVWNWLHGLSPVNIKKKKSLDWEARLKIAVGLAEGVEYLHHDCVPKLIHRDIKSSNLLLDSNMEAHLGDFGLAKALVEDPGSSSEANCSWFAGSYGYIAPEYAYSFEVTEKSDVYSMGIVLMELVSGKMPTDALFGVDMDIVRWVEKHMEMQGSGREELLDPELKPLLPGEECAAYQVLEIALQCTKTNPQERPSSRHACDLLLHLFHNRMVDFAKVNVDAYA >Manes.07G062316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9182352:9186741:-1 gene:Manes.07G062316.v8.1 transcript:Manes.07G062316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSRGISSPSRVRPMSSYPGSTPSILSFSVDLRRGKMGEDRIVDAHMLRLLYNRYLQWRFVNARADASFMLHRLNAEKILWNVWVTISELQHSVILKRIKLLLLRQKLKLTSILKGQEVQSLHIMIDGDGCHVGLKSKTEGGK >Manes.17G083600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29018074:29018298:1 gene:Manes.17G083600.v8.1 transcript:Manes.17G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKHVKHNKSYHGDGEVGCLPIHSQVIKIKQEFKKIQHPGLKQTEMRRVIYKITRERSRSPLGLAERPISVGN >Manes.06G095700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23032694:23037637:1 gene:Manes.06G095700.v8.1 transcript:Manes.06G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSQPPTPLRKLLVEVVNARDLLPKDGQGSSSPYVLAAFDGQKRRTSTKFRDLNPEWNEKLEFIVSDPDNMEFEELEIEVFNDKKYGNGSGRKNHFLGRLKLYGSQFAKRGEEELVYFPLEKRSVFSWIRGEIGLKICYYDELVVEDQQPPPPSDKDAPLPQEQPKSPAIVVVEEGRPFEFLARPETFHSHRFGDGSDLPPVVVVEESPPPVVHLHSESPVCEPAEPQAEGLYTSDLRKMQTTRFAACAGARVRVPKRPDGEYSPRVISGKFTGENERVNPYNLVEPMQYLFVRIVRARGLSHNNSPYVKIRTSSNGLRSKPAIYRTGEPTNSPEWHQVFALSYDRLDLRSSTLEISVWDSPEQYLGDICLDLSDVPVRDPLDSALTPQWYHLESGPGQISSRVSGDIQLSVWIGTQKDDAFPEAWNSNAPHAAHTRSKIYQSPKLWYLRVTILEVQDVQITSNLSPLTTPEIRVKAHLGFQSVRSRRGSMNNHNASFHWNEDLIFVAGEPLEDSLIVVVEGRTNREAIPLGHVMVPLSSIEQRIEERYVVPKWFALEGRASIGPNGAGVGYHGRIHLRLCLEGGYHVLDEAAQVCSDFRPTAKQLWKPAIGMLELGILGARGLMPMQHNVNRVLRGKGSTNAYCVAKYGKKWVRTRTITDSFDPRWNEQYTWQVYDPCTVLTIGVFDNSRIFADSTEHKFDTRIGKVRIRVSTLDSNKVYISSYPLLVLQRSGLKKMGEIELAVRFVCPSLLPQTCTVYGQPLLPRMHYLRPLGAAQQEALRGAAIKMVASWLGRFEPPLEPEVVQYMLDADSHSWSMRKSKANWFRIVAVLAWAVGLAKWLHNIRMWKNSTTTVLVHILYLVLVWFPDLIVPTGFLYMFLVGVWYYRFRPKIPAGMDTRLSQAETLDPDELDEEFDTIPSSKPPEIIRARYDRLRILAARVQTVLGDLATQGERVQALVSWRDPRATKLFIVVCLAITIILYVVPPKMVAVALGFYYLRHPMFRNPKPPANLNFFRRLPSLSDRLM >Manes.09G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10482074:10485840:1 gene:Manes.09G062000.v8.1 transcript:Manes.09G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGQGSGFDLSFKILLIGDSGVGKSSLLVSFISSSVEDLSPTIGVDFKIKQLIVGGKRLKLTIWDTAGQERFRTLTSSYYRNAQGIMLVYDVTRRETFTNLSDVWAKEVDLYCTNKDCVKMLVGNKVDIESEGVVSKEEGMGLAKEHGCTFLECSAKTRQNVEQCFEELALKIMEIPSLLEEGSSAVKRNILKQKQENQSPPSKYSGGCCSS >Manes.05G090600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8263221:8267780:-1 gene:Manes.05G090600.v8.1 transcript:Manes.05G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCSDCFTDLLCCEESSEIFSGESPECSSDLESSACIEESIASFIEDERNFVPGFDYLSRFQSRSLDASAREESVAWILKVKTYYRFQPLTAYLSVNYLDRFLYSRPLPQANGWPMHLLAVACLSLAAKMEEALVPSLLDLQVEGAKYIFEPRTIRRMELLVLTVLDWRLRSVTPFSFLGFFACKIDPTGTYIGFLISRATEIILSNMQEASFLEYWPSSIAAAAILCAANEIPNLSLANPEHAESWCDGLSKEKIIGCYQLMQDLVLDNSRRKPPKVLPLLRVTIRARTRSLDSSSSPSSSSSSSSSYKRRKLNNCLWVDDDKGNPD >Manes.03G001300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:210196:226815:-1 gene:Manes.03G001300.v8.1 transcript:Manes.03G001300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDSRGASRNHLVFAYYVTGHGFGHATRVIEVVRNLILAGHDVHVVTGAPDFVFTSEVQSPRLFIRKVLLDCGAVQTDALTVDRLASLEKYSETAVKPRDSILATETKWLRSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSILWQIAEDYSHLPAFRDVIDVSLVVRRLHKTRKEVRKELGIGDDVNLLILNFGGQPSGWKLKEYYLPSGWLCLVCGASDSQELLPNFIKLAKDAYTPDLIAASDCMLGKIGYGTCSEALAYKLPFIFVRRDYFNEEPFLRNMLEYFQSGVEMIRRDLLAGHWKPYLEHAVSLKPCYEGGINGGELAAHILQETAIGKYYASDKLSGARRLRDAIVLGYQLQRVDGRDICIPEWYANAENELNKSTRSPVAQKNEDGPPRSTWTGDFEILHGDLQGLSDTTSFLNSLLELDSAYDSGKNTEKLQMWEHKAAAGLFNWEEDIFATRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRIHPSKNRLWKHAEARQNAKGQGATPVLQIVSYGSELSNRGPTFDMDLSDFMEGNQPISYEKARKYFAQDPSQKWAAYVAGSILVLMTERGVRFEDSINMLVSSAVPEGKGVSSSASVEVASMSAVAAAHGLNISPRDIALLCQKVENHIVGAPCGVMDQMTSAYGEANKLLAMVCQPAEVIGLVEIPGHIRFWGIGSGIRHSVGGADYGSVRIGAFMGRQMIKSVASAMLSRSLPRANGSMLDELEDYGAELLKHESSLDYLCNLTPHRYEALYAKMLPESIVGEAFLEKYTDHNDPVTVIDPKQTYVVRAPARHPIYENFRVKAFKALLSSATSDDQLTALGELLYQCHYSYSACGLGTEGTDRLVGLVQEKQHSKPSKSEDRTLYGAKITGGGSGGTVCVIGRNSLKSSQQIFEIQQKYKGATGYLPFIFEGSSPGAAKFGNLRIRRRFSF >Manes.03G001300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:210196:226815:-1 gene:Manes.03G001300.v8.1 transcript:Manes.03G001300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDSRGASRNHLVFAYYVTGHGFGHATRVIEVVRNLILAGHDVHVVTGAPDFVFTSEVQSPRLFIRKVLLDCGAVQTDALTVDRLASLEKYSETAVKPRDSILATETKWLRSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSILWQIAEDYSHCEFLIRLLGYCPMPAFRDVIDVSLVVRRLHKTRKEVRKELGIGDDVNLLILNFGGQPSGWKLKEYYLPSGWLCLVCGASDSQELLPNFIKLAKDAYTPDLIAASDCMLGKIGYGTCSEALAYKLPFIFVRRDYFNEEPFLRNMLEYFQSGVEMIRRDLLAGHWKPYLEHAVSLKPCYEGGINGGELAAHILQETAIGKYYASDKLSGARRLRDAIVLGYQLQRVDGRDICIPEWYANAENELNKSTRSPVAQKNEDGPPRSTWTGDFEILHGDLQGLSDTTSFLNSLLELDSAYDSGKNTEKLQMWEHKAAAGLFNWEEDIFATRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRIHPSKNRLWKHAEARQNAKGQGATPVLQIVSYGSELSNRGPTFDMDLSDFMEGNQPISYEKARKYFAQDPSQKWAAYVAGSILVLMTERGVRFEDSINMLVSSAVPEGKGVSSSASVEVASMSAVAAAHGLNISPRDIALLCQKVENHIVGAPCGVMDQMTSAYGEANKLLAMVCQPAEVIGLVEIPGHIRFWGIGSGIRHSVGGADYGSVRIGAFMGRQMIKSVASAMLSRSLPRANGSMLDELEDYGAELLKHESSLDYLCNLTPHRYEALYAKMLPESIVGEAFLEKYTDHNDPVTVIDPKQTYVVRAPARHPIYENFRVKAFKALLSSATSDDQLTALGELLYQCHYSYSACGLGTEGTDRLVGLVQEKQHSKPSKSEDRTLYGAKITGGGSGGTVCVIGRNSLKSSQQIFEIQQKYKGATGYLPFIFEGSSPGAAKFGNLRIRRRFSF >Manes.03G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:210196:226815:-1 gene:Manes.03G001300.v8.1 transcript:Manes.03G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDSRGASRNHLVFAYYVTGHGFGHATRVIEVVRNLILAGHDVHVVTGAPDFVFTSEVQSPRLFIRKVLLDCGAVQTDALTVDRLASLEKYSETAVKPRDSILATETKWLRSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSILWQIAEDYSHLPAFRDVIDVSLVVRRLHKTRKEVRKELGIGDDVNLLILNFGGQPSGWKLKEYYLPSGWLCLVCGASDSQELLPNFIKLAKDAYTPDLIAASDCMLGKIGYGTCSEALAYKLPFIFVRRDYFNEEPFLRNMLEYFQSGVEMIRRDLLAGHWKPYLEHAVSLKPCYEGGINGGELAAHILQETAIGKYYASDKLSGARRLRDAIVLGYQLQRVDGRDICIPEWYANAENELNKSTRSPVAQKNEDGPPRSTWTGDFEILHGDLQGLSDTTSFLNSLLELDSAYDSGKNTEKLQMWEHKAAAGLFNWEEDIFATRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRIHPSKNRLWKHAEARQNAKGQGATPVLQIVSYGSELSNRGPTFDMDLSDFMEGNQPISYEKARKYFAQDPSQKWAAYVAGSILVLMTERGVRFEDSINMLVSSAVPEGKGVSSSASVEVASMSAVAAAHGLNISPRDIALLCQKVENHIVGAPCGVMDQMTSAYGEANKLLAMVCQPAEVIGLVEIPGHIRFWGIGSGIRHSVGGADYGSVRIGAFMGRQMIKSVASAMLSRSLPRANGSMLDELEDYGAELLKHESSLDYLCNLTPHRYEALYAKMLPESIVGEAFLEKYTDHNDPVTVIDPKQTYVVRAPARHPIYENFRVKAFKALLSSATSDDQLTALGELLYQCHYSYSACGLGTEGTDRLVGLVQEKQHSKPSKSEDRTLYGAKITGGGSGGTVCVIGRNSLKSSQQIFEQKYKGATGYLPFIFEGSSPGAAKFGNLRIRRRFSF >Manes.03G001300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:210196:226815:-1 gene:Manes.03G001300.v8.1 transcript:Manes.03G001300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDSRGASRNHLVFAYYVTGHGFGHATRVIEVVRNLILAGHDVHVVTGAPDFVFTSEVQSPRLFIRKVLLDCGAVQTDALTVDRLASLEKYSETAVKPRDSILATETKWLRSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSILWQIAEDYSHCEFLIRLLGYCPSMFDITESQNWRIFCILFSFPHLALEVFVGWHTVVNLMFSLFAVPAFRDVIDVSLVVRRLHKTRKEVRKELGIGDDVNLLILNFGGQPSGWKLKEYYLPSGWLCLVCGASDSQELLPNFIKLAKDAYTPDLIAASDCMLGKIGYGTCSEALAYKLPFIFVRRDYFNEEPFLRNMLEYFQSGVEMIRRDLLAGHWKPYLEHAVSLKPCYEGGINGGELAAHILQETAIGKYYASDKLSGARRLRDAIVLGYQLQRVDGRDICIPEWYANAENELNKSTRSPVAQKNEDGPPRSTWTGDFEILHGDLQGLSDTTSFLNSLLELDSAYDSGKNTEKLQMWEHKAAAGLFNWEEDIFATRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRIHPSKNRLWKHAEARQNAKGQGATPVLQIVSYGSELSNRGPTFDMDLSDFMEGNQPISYEKARKYFAQDPSQKWAAYVAGSILVLMTERGVRFEDSINMLVSSAVPEGKGVSSSASVEVASMSAVAAAHGLNISPRDIALLCQKVENHIVGAPCGVMDQMTSAYGEANKLLAMVCQPAEVIGLVEIPGHIRFWGIGSGIRHSVGGADYGSVRIGAFMGRQMIKSVASAMLSRSLPRANGSMLDELEDYGAELLKHESSLDYLCNLTPHRYEALYAKMLPESIVGEAFLEKYTDHNDPVTVIDPKQTYVVRAPARHPIYENFRVKAFKALLSSATSDDQLTALGELLYQCHYSYSACGLGTEGTDRLVGLVQEKQHSKPSKSEDRTLYGAKITGGGSGGTVCVIGRNSLKSSQQIFEIQQKYKGATGYLPFIFEGSSPGAAKFGNLRIRRRFSF >Manes.03G001300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:210196:226815:-1 gene:Manes.03G001300.v8.1 transcript:Manes.03G001300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDSRGASRNHLVFAYYVTGHGFGHATRVIEVVRNLILAGHDVHVVTGAPDFVFTSEVQSPRLFIRKVLLDCGAVQTDALTVDRLASLEKYSETAVKPRDSILATETKWLRSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSILWQIAEDYSHCEFLIRLLGYCPMPAFRDVIDVSLVVRRLHKTRKEVRKELGIGDDVNLLILNFGGQPSGWKLKEYYLPSGWLCLVCGASDSQELLPNFIKLAKDAYTPDLIAASDCMLGKIGYGTCSEALAYKLPFIFVRRDYFNEEPFLRNMLEYFQSGVEMIRRDLLAGHWKPYLEHAVSLKPCYEGGINGGELAAHILQETAIGKYYASDKLSGARRLRDAIVLGYQLQRVDGRDICIPEWYANAENELNKSTRSPVAQKNEDGPPRSTWTGDFEILHGDLQGLSDTTSFLNSLLELDSAYDSGKNTEKLQMWEHKAAAGLFNWEEDIFATRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRIHPSKNRLWKHAEARQNAKGQGATPVLQIVSYGSELSNRGPTFDMDLSDFMEGNQPISYEKARKYFAQDPSQKWAAYVAGSILVLMTERGVRFEDSINMLVSSAVPEGKGVSSSASVEVASMSAVAAAHGLNISPRDIALLCQKVENHIVGAPCGVMDQMTSAYGEANKLLAMVCQPAEVIGLVEIPGHIRFWGIGSGIRHSVGGADYGSVRIGAFMGRQMIKSVASAMLSRSLPRANGSMLDELEDYGAELLKHESSLDYLCNLTPHRYEALYAKMLPESIVGEAFLEKYTDHNDPVTVIDPKQTYVVRAPARHPIYENFRVKAFKALLSSATSDDQLTALGELLYQCHYSYSACGLGTEGTDRLVGLVQEKQHSKPSKSEDRTLYGAKITGGGSGGTVCVIGRNSLKSSQQIFEQKYKGATGYLPFIFEGSSPGAAKFGNLRIRRRFSF >Manes.18G074712.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6815591:6823470:1 gene:Manes.18G074712.v8.1 transcript:Manes.18G074712.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTNDSVPNLTASFSRASSSGSSLRRRSLSLSHTVIDDDTESENVSEAGDIGDRALHSKRHSESSSVRFSLDNALENGLVFPIRDDNLLQSHGMLSHNSTTSNTAFPQQVPEENISPLSTDAMVCSNDQKKVLTRYLLQKLFGPSVAGVTGDHYPLYLDLPSNMVGSFLMGWWGVVFKGDIVSVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLIVDGHWVFALVGFLLGLFLAAYSIKFGIGTAKCFKLFLERINRNKTKISNWRVDNRKRHLAVMATLVLMLGMLWSVSGTLLKEDFNSGSSGAQLWLACLVAAPGVWIRWFLARLNGRGLGKTGHLKWVPFGTLMANVSAACIMAALATLKKVNTMPWKKVTKIGELMFML >Manes.18G074712.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6818873:6823470:1 gene:Manes.18G074712.v8.1 transcript:Manes.18G074712.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHNPMGKFTLRLIHPINAVSHQVLTRYLLQKLFGPSVAGVTGDHYPLYLDLPSNMVGSFLMGWWGVVFKGDIVSVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLIVDGHWVFALVGFLLGLFLAAYSIKFGIGTAKCFKLFLERINRNKTKISNWRVDNRKRHLAVMATLVLMLGMLWSVSGTLLKEDFNSGSSGAQLWLACLVAAPGVWIRWFLARLNGRGLGKTGHLKWVPFGTLMANVSAACIMAALATLKKVVHTKTCNTISTAIQFGFLGCLSTVSTFIAEYNAMEESNKNWRAYVYALMTILISFGMGILIYSVPVWTKGYE >Manes.18G074712.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6815591:6823470:1 gene:Manes.18G074712.v8.1 transcript:Manes.18G074712.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTNDSVPNLTASFSRASSSGSSLRRRSLSLSHTVIDDDTESENVSEAGDIGDRALHSKRHSESSSVRFSLDNALENGLVFPIRDDNLLQSHGMLSHNSTTSNTAFPQQVPEENISPLSTDAMVCSNDQKKEKETALVLSPVLEYVSCLVYLSVFGILGVLTRYLLQKLFGPSVAGVTGDHYPLYLDLPSNMVGSFLMGWWGVVFKGDIVSVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLIVDGHWVFALVGFLLGLFLAAYSIKFGIGTAKCFKLFLERINRNKTKISNWRVDNRKRHLAVMATLVLMLGMLWSVSGTLLKEDFNSGSSGAQLWLACLVAAPGVWIRWFLARLNGRGLGKTGHLKWVPFGTLMANVSAACIMAALATLKKVVHTKTCNTISTAIQFGFLGCLSTVSTFIAEYNAMEESNKNWRAYVYALMTILISFGMGILIYSVPVWTKGYE >Manes.18G074712.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6815591:6823470:1 gene:Manes.18G074712.v8.1 transcript:Manes.18G074712.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTNDSVPNLTASFSRASSSGSSLRRRSLSLSHTVIDDDTESENVSEAGDIGDRALHSKRHSESSSVRFSLDNALENGLVFPIRDDNLLQSHGMLSHNSTTSNTAFPQQVPEENISPLSTDAMVCSNDQKKVLTRYLLQKLFGPSVAGVTGDHYPLYLDLPSNMVGSFLMGWWGVVFKGDIVSVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLIVDGHWVFALVGFLLGLFLAAYSIKFGIGTAKCFKLFLERINRNKTKISNWRVDNRKRHLAVMATLVLMLGMLWSVSGTLLKEDFNSGSSGAQLWLACLVAAPGVWIRWFLARLNGRGLGKTGHLKWVPFGTLMANVSAACIMAALATLKKVVHTKTCNTISTAIQFGFLGCLSTVSTFIAEYNAMEESNKNWRAYVYALMTILISFGMGILIYSVPVWTKGYE >Manes.18G074712.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6815591:6823470:1 gene:Manes.18G074712.v8.1 transcript:Manes.18G074712.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHNSTTSNTAFPQQVPEENISPLSTDAMVCSNDQKKEKETALVLSPVLEYVSCLVYLSVFGILGVLTRYLLQKLFGPSVAGVTGDHYPLYLDLPSNMVGSFLMGWWGVVFKGDIVSVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLIVDGHWVFALVGFLLGLFLAAYSIKFGIGTAKCFKLFLERINRNKTKISNWRVDNRKRHLAVMATLVLMLGMLWSVSGTLLKEDFNSGSSGAQLWLACLVAAPGVWIRWFLARLNGRGLGKTGHLKWVPFGTLMANVSAACIMAALATLKKVVHTKTCNTISTAIQFGFLGCLSTVSTFIAEYNAMEESNKNWRAYVYALMTILISFGMGILIYSVPVWTKGYE >Manes.18G074712.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6815591:6823470:1 gene:Manes.18G074712.v8.1 transcript:Manes.18G074712.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTNDSVPNLTASFSRASSSGSSLRRRSLSLSHTVIDDDTESENVSEAGDIGDRALHSKRHSESSSVRFSLDNALENGLVFPIRDDNLLQSHGMLSHNSTTSNTAFPQQVPEENISPLSTDAMVCSNDQKKEKETALVLSPVLEYVSCLVYLSVFGILGVLTRYLLQKLFGPSVAGVTGDHYPLYLDLPSNMVGSFLMGWWGVVFKGDIVSVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLIVDGHWVFALVGFLLGLFLAAYSIKFGIGTAKCFKLFLERINRNKTKISNWRVDNRKRHLAVMATLVLMLGMLWSVSGTLLKEDFNSGSSGAQLWLACLVAAPGVWIRWFLARLNGRGLGKTGHLKWVPFGTLMANVSAACIMAALATLKKVNTMPWKKVTKIGELMFML >Manes.01G187100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36390608:36392060:1 gene:Manes.01G187100.v8.1 transcript:Manes.01G187100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGSGSGSGSGSGNFIQVVANNFDVLVLPLVTLVYPLYASIKAIETKSRTDDQQWLTYWVLYSMMTLFELTFSKILECIPIWRYAKLIITCWLVLPHFNGAAHVYQHFIRPVYLNPQSAQKIWYVPRKKDMFSKQDDILTAAEKYMEEHGTEDFQRLITKADREDRARRNGNYMIFDDDYIY >Manes.14G093601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7826252:7826605:-1 gene:Manes.14G093601.v8.1 transcript:Manes.14G093601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQRQKQETEEKKRAEIKWTSIPFSAFWLRSSVASVLISLISDMWTNGPRDIKFNVLRGEPNTVACYWGSRASPMHCTAARACVPSKC >Manes.01G239900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40122197:40125606:-1 gene:Manes.01G239900.v8.1 transcript:Manes.01G239900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSATKFTPFNPTTPRSHHKQPLFDPLKTTSSFPGSTRKLRFTTFPTLNLLNSHRRSPVVAVSEAIEEKKLKLTSNLLITKEEGLELYEDMVLGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKEDYVVSTYRDHVHALSKGVSARAVMSELFGKTTGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFTSKYKRAVLKETDSEDVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARMGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPVTSLKKYIIENNLASEVELKAIEKKIDEVVEDAVEFADESPHPPRSQLLENVFADPKGFGIGPDGRYRCEDPKFTQGTAHV >Manes.13G118200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32490620:32503482:1 gene:Manes.13G118200.v8.1 transcript:Manes.13G118200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVHRSLSVIDSRLLTRPTRPRRLDSLNPIFCPTSLPSHFHLKSLSAPLKFPNIPNQTVRCVAVANNHHDHDHHHHYDDHQHHHHHHHHHHHYHGDCEELTEPQKAFIRFAKAVGWVDLANLLREHLQLCCCSAALFVAAAACPYVMPNPVVKPLQNAFMIIAFPLVGVSASLDALTDITGGKVNIHVLMALAAFASLFMGNALEGGLLLAMFNLAHIAEEFFTSCSMVDVKELKESHPDSALVLDVHDDKLPDLSDLSYKSVPVHDVKVGSYILVGTGEAVPVDCEVFQGNATIIIEHLTGEIKPIEANVGDRIPGGARNLDGRMIIKATKMWKESTLNRIVQLTEEAQLNKPKLQRWLDEFGERYSKVVVGLSVAVALLGPFLFKWPFISTSVCRGSVYRALGLMVAASPCALAVAPLAYVTAISSCARKGILLKGGQVLDALAACHTIAFDKTGTLTTGGLMFKAIEPIYGHLVGNKKINFTSCCTPSCEKEALAVAAAMEKGTTHPIGRAVVDHCIDKDLPSVSVESFEYFPGRGLTATLNNMERLTSDCYMAGAGRVKLLKASLGSIEFITSLCKSEDKSRKIKEAVNASPYGSDFVHAALSIEEKVTLIHLEDRLRAGVSNVIMELQEQARLRVMMLTGDHESSAWRVAKAVGITEVHCSLKPEDKLNHVKGIARDMGGGLIMVGEGINDAPALAAATVGIVLAQRASATAIAVADVLLLRDNISGVPFCIAKSRQTTSLVKQNVALALTCIILASLPSVLGFLPLWLTVLLHEGGTLLVCINSIRALNDPKWSWGEDLLHAIKEFKSRLTSLKTDNTSSSKMQAAPL >Manes.13G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32490620:32503482:1 gene:Manes.13G118200.v8.1 transcript:Manes.13G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVHRSLSVIDSRLLTRPTRPRRLDSLNPIFCPTSLPSHFHLKSLSAPLKFPNIPNQTVRCVAVANNHHDHDHHHHYDDHQHHHHHHHHHHHYHGDCEELTEPQKAFIRFAKAVGWVDLANLLREHLQLCCCSAALFVAAAACPYVMPNPVVKPLQNAFMIIAFPLVGVSASLDALTDITGGKVNIHVLMALAAFASLFMGNALEGGLLLAMFNLAHIAEEFFTSCSMVDVKELKESHPDSALVLDVHDDKLPDLSDLSYKSVPVHDVKVGSYILVGTGEAVPVDCEVFQGNATIIIEHLTGEIKPIEANVGDRIPGGARNLDGRMIIKATKMWKESTLNRIVQLTEEAQLNKPKLQRWLDEFGERYSKVVVGLSVAVALLGPFLFKWPFISTSVCRGSVYRALGLMVAASPCALAVAPLAYVTAISSCARKGILLKGGQVLDALAACHTIAFDKTGTLTTGGLMFKAIEPIYGHLVGNKKINFTSCCTPSCEKEALAVAAAMEKGTTHPIGRAVVDHCIDKDLPSVSVESFEYFPGRGLTATLNNMEAGAGRVKLLKASLGSIEFITSLCKSEDKSRKIKEAVNASPYGSDFVHAALSIEEKVTLIHLEDRLRAGVSNVIMELQEQARLRVMMLTGDHESSAWRVAKAVGITEVHCSLKPEDKLNHVKGIARDMGGGLIMVGEGINDAPALAAATVGIVLAQRASATAIAVADVLLLRDNISGVPFCIAKSRQTTSLVKQNVALALTCIILASLPSVLGFLPLWLTVLLHEGGTLLVCINSIRALNDPKWSWGEDLLHAIKEFKSRLTSLKTDNTSSSKMQAAPL >Manes.06G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24853401:24859666:1 gene:Manes.06G118300.v8.1 transcript:Manes.06G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSASTPPPRSATDLFSDPLDAHPLWFKPNLFLSPTFDSESYISELRTFVPFDTLRSELQAHLASLNHELIDLINRDYADFVNLSTKLVDVDAAVVRMRAPLLELREKIEGFRGSVEVSLVALRNGLQQRSEAAAAREVLELLLDTFHVVSKVEKLIKELPSVPADWSNGDLSSSVKNAVSNGTSLQPIENGTNLRETQSMLLERIASEMNRLKFYMAHAQNLPFIDNMEKRIQSASLLLDASLGHCFVNGLEHRDENAIYNCLRAYAAIDNTKSAEEIFRTTIVAPLVQKIIPHGQSGMDAGASGDELENDYHQIKQLIHKDCKFLLEISAAENSGLHVFDFLANSILKEVLSAIQNGKPSAFSPGRPTEFLMNYKSSLDFLAHLEGYCPSRSAVAKFRTEAVYAEFMKQWNVGVYFSLRFQEIAGALDSVLAATSLIPVQNSHSGLGNLQDLTLKQSATLLESLRSCWREDVLILLCSDKFLRLSLQLLARYSNWLSSGLAARKTSNTGSSSGYEWAISATPDDFIYIIHDITCLATEVCGDYLDHVLQRLSTCSTNILDQVKQSILQGGKSLNDLAPLAVNAIIEALVDKAVEDLRQVKGITATYRMTNKPLPARHSPYVSGVLRPLKAFLEGERAMTFLTKETRNELLLGAATNITGSYYELAAELVSVARKTESSLQRIRQGAQRRAGASSDVSDHSVSDTDKICMQLFLDIQEYGRNLSVMGVEAANIPAYRSLWQCVAPPDRQSVINL >Manes.15G046000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3505193:3510284:1 gene:Manes.15G046000.v8.1 transcript:Manes.15G046000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPYPIPVTAAQVGTYFVGQYYQLLQQQPEFVYQFYSDTSTMLRIDGTIRENATTMLQIHALIMSLNYAGIEIRTAHSLESWNGGVLVMVSGSVQVKDFSGRRKFVETFFLAPQEKGYFVLNDVFHFIDEEQIHHHPAVLLAHNNLESKLYVPTAIPEPVQNYLMGGENQTREFAAPAEAKENGAVDSYGFSEQQPQQVSESENVREENSMDSNGSLQNTVTTAEDQLSASVKEPIGETQKHTYASILQVAKRQSVPSVAPPTSDLNHAPQPTSQQATVTSNSFEKSRAETFEEISALEDGEIRSVYVGEIRSVYVRNLPTTVSAAEIEEEFKNFGKIVPDGVVIRSRKDVGVCYAFVEFEDMTGVHNAVKEGSTHVAGRQVYIEERRPNSNIPSRAGRGRGRGRGSYPSDAPRGRFGGRGFDKGGSYDGGDRDNRSRGNGHDMPNPRQDRGFTGYQLSRNGDNHWE >Manes.14G103600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8683180:8684672:-1 gene:Manes.14G103600.v8.1 transcript:Manes.14G103600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVMAKGEDERKVMVAIDESEYSHYALIWVLDNLKESLPRSPLFIFMAQPPPRNYPFAASLGSARMYCSVSAAPDFLNSVKENNKKLALAFLEKAKEICASRGVNAEILTEEGDAKTAICNVVEKLNIGMLILGDHGLGKIKRLAFLTFF >Manes.14G103600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8683180:8684672:-1 gene:Manes.14G103600.v8.1 transcript:Manes.14G103600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVMAKGEDERKVMVAIDESEYSHYALIWVLDNLKESLPRSPLFIFMAQPPPRNYPFAASLGSARMYCSVSAVSAPDFLNSVKENNKKLALAFLEKAKEICASRGVNAEILTEEGDAKTAICNVVEKLNIGMLILGDHGLGKIKRALLGSVSSYCVRYAKCPVLVVKKP >Manes.16G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4650794:4652470:-1 gene:Manes.16G036600.v8.1 transcript:Manes.16G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHPHTQKFSLFEQRKRKKEMARDRSSVVTSIAERWRELSGENDWKGLLDPLDIDLRLSILHYGDRVAATTYAFNDKVSSDCRGFSRYPPEEFFSKLGVTKRNPSLDYTLTDFIYSRVEKDVFHWDSEPISTWCAYVAVATDEAKTKLGRRDIVVSWRGVMLNVELHKGLQALPCLASDLFGNWHLPLPFVHSGFHSLYTSKDQNSTYNKTSAREQVLAAVRKLVDQYKDEEVSITITGNSLGSALATLNAVDIAYNDYNKPSSEPKQSFPVTAIVFAGPRVGDLGFKKIYDDLKDVHVLRITNAKDPVPNFPPNFDPIPDLPPIGPIHVGENLPIDTSESKFLKSDVSPHMLDVYLHGVAGTQGSKGGFNLEVPFDLAIINKLTDGLTDEYNKNIPAEWWVEENKGMVQNDDGTYTAQFYVPDPPVVPLN >Manes.01G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3451421:3469774:1 gene:Manes.01G012900.v8.1 transcript:Manes.01G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQGGEKSNHPTSSPISVVSNFWKEFDLEKEKSVLDEQGLRIAENQENSLKNRRKLAESTRDFKKASPEEKLGLFNSLLKGYQEEVDNLTKRAKFGENSFLNIYQKLYEAPDPYPALASIAEQDMKLSELESENRKMKIELEEFRTEAAHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEMKHRSLAEENQKTLEVLKEREQYLQDQLRQAKESVANMQKLHELAQSQLFEVRAQSEEEGAAKQSEFNLLMDEVERAQMRLLSLEREKGFLRSQLESANEETGNKNSDSADSNSILENSLSAKEKIISELNMELHNIETTLTNEREQHVNEIKKLNMVLNEKELALEEMKKELHARPTAKLVDDLRKKVKILQAVGYNSIEAEDWEVATSGQEMSKMESLLLDKNRKMEHEFTQLKVKLSEKVSLLETAEGKIAELTAKVDEQQKLIQKLEDDILKGYSSKDRKGGLFDDWDLSEAGGAELTENTDQKRMPSDQDQNSMLKVICNQRDRFRTRLRETEEEVRQLKEKIRILTAELEKTKADNVKLYGKIRYVQDYNLEKVVSRGSKKQAEDLESGFSSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSNGEESFTGDKMQNLPHAL >Manes.01G012900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3453039:3469774:1 gene:Manes.01G012900.v8.1 transcript:Manes.01G012900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSELESENRKMKIELEEFRTEAAHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEMKHRSLAEENQKTLEVLKEREQYLQDQLRQAKESVANMQKLHELAQSQLFEVRAQSEEEGAAKQSEFNLLMDEVERAQMRLLSLEREKGFLRSQLESANEETGNKNSDSADSNSILENSLSAKEKIISELNMELHNIETTLTNEREQHVNEIKKLNMVLNEKELALEEMKKELHARPTAKLVDDLRKKVKILQAVGYNSIEAEDWEVATSGQEMSKMESLLLDKNRKMEHEFTQLKVKLSEKVSLLETAEGKIAELTAKVDEQQKLIQKLEDDILKGYSSKDRKGGLFDDWDLSEAGGAELTENTDQKRMPSDQDQNSMLKVICNQRDRFRTRLRETEEEVRQLKEKIRILTAELEKTKADNVKLYGKIRYVQDYNLEKVVSRGSKKQAEDLESGFSSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSNGEESFTGDKMQNLPHAL >Manes.14G106700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8973133:8974416:1 gene:Manes.14G106700.v8.1 transcript:Manes.14G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAVADILLWKNKYLSGGILIGFTVIWFLFEVVEYHFVTLLSHLLMLFMAVFFIWSNAAGFIKRNPPDIDDIELPESTLRFFFKQINRLLSDFYYISSGNDLITFFLTIACLWTLSAFGSLCSTLTLLYIVFLCLATLPALYERYEDQVDHFAGRSSQEMKKLFENFNSKVFDKIPRGPTKDKKLM >Manes.06G082200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21778493:21779547:-1 gene:Manes.06G082200.v8.1 transcript:Manes.06G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.08G136850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37365041:37365980:1 gene:Manes.08G136850.v8.1 transcript:Manes.08G136850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMPCECEIGSKPVYFFSPFSSSFLLLHEPFSTSWRPISILSRQEEASPNWIVGYPLPFGVLVDRSL >Manes.08G136850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37365041:37365980:1 gene:Manes.08G136850.v8.1 transcript:Manes.08G136850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMPCECEIGSKPVYFFSPFSSSFLLLHEPFSTSWRPISILSRQEEASPNWIVGYPLPFGVLVDRSL >Manes.08G136850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37365041:37365981:1 gene:Manes.08G136850.v8.1 transcript:Manes.08G136850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMPCECEIGSKPVYFFSPFSSSFLLLHEPFSTSWRPISILSRQEEASPNWIVGYPLPFGVLVDRSL >Manes.14G102300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8485355:8488427:1 gene:Manes.14G102300.v8.1 transcript:Manes.14G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHTVNLTSISISISGSSNPSPILTFLSHLHTYTQMGLKKTTSLLENLLEKAGGCAVIDGGFATQLETHGAAINDPLWSAICLIKNSDLIKRVHLEYLEAGADILVTSSYQATLPGFVSKGLPIEEGELLLKKSVKLAVEARDKFWDAVKSNPIHRYNRALVAASIGSYGAYLADGSEYSGYYGPDVNLEKLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQACVELLEEENIKIPSWICFSSVDGENAPSGESFQECLEIINKSNKVIAVGINCAPPHFIESLICKFKELTQKFITVYPNSGEVWDGVAKRWLPSSCFDDDKFELFAARWHELGASLIGGCCRTTPSTIQAISKVLKERS >Manes.09G111700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31376301:31377516:-1 gene:Manes.09G111700.v8.1 transcript:Manes.09G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFWVQFVLGSDSLLFLMLGLSLLPKQNISVSLDFKKTALQRSRDTSSFKRVVANPQVPIQPRE >Manes.17G013701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6553903:6554713:1 gene:Manes.17G013701.v8.1 transcript:Manes.17G013701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTGQVTHLNQNPAEGEEPFFILPHQHPNNFYKRLMKNRWVLGLFTSHIKYSPLISLFSTSTFKELFISIVRVAEMRFVNLETPQIWLDRIPSI >Manes.16G079300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28395395:28398424:-1 gene:Manes.16G079300.v8.1 transcript:Manes.16G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCANMMENRLFSVPIDVGGRNSTVMEGIGSQITPSSFVQFDSFDLNNQNQTLDGYSVIPTLRGAPISDLHADNRAAAVVVSEAFTRGTEFQQEFVGGTPISGPALATLVSSRSGFQETLTNLAISESSMYPLEVLRTYVSDDFSNGLNSSFASSVNYGCNEVFVHMNAKEDINRFPAPVELAGKAPLRTGFQSYSSMGNLEANNWISTNSVNLSADDPYRSSHFSNELSLSLASSQPSAIHGSHVPDQCSEISCSGVTRHCLKETPCSEQTSSSCKELSLSCGSYRAGQFSQVISGSRYLHVIQEILAQIASYSLENLDQMSFSSAGFKIGADIPSGSSYPKEGRMCLMGPDGSPNVDSGFEVRLDPALQKRALEAKKTQLLSLLQVVDDRYNQCLDEIHTVVSAFHAATELDPRIHTRFALQTISFLYKSLRERISNQILAMGAHFDSGGARETEGSLETSYLHKQWTLQQLKKKDHQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMNRRKARQDEEGTNSNHRNHMISINNLRFNVNQS >Manes.06G080500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21611996:21614488:1 gene:Manes.06G080500.v8.1 transcript:Manes.06G080500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSMNSKRQRRPNVRLGEIGDVPAAFACGFYQKTEENLGHKSYEIDVLNPNDSAHSHLYGFAMQNSPECVVLGPGVSPRIPVDSPQNRENKNPNSSKSAFELVKSDEIDMTNNLNFGTITRKCRVMKRRGRSIKGTSNVFGSTWSSKLNPQLRNEDSKECSVKEFVTFTSDECNDYYPDNGFKDLSDHEIPATSKEVCEFNMDEPAHDTRQHRNSNESWQGDTCNEGNNVSPISNHMWDEMKLGGGDVNTVTRWLEDQGFGKYAGIFEVHEVDEEVLPLLTLEDLKEIGVFAVGPRRKLYTAIQQLRKGGISACQHIQMKM >Manes.06G080500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21611996:21614488:1 gene:Manes.06G080500.v8.1 transcript:Manes.06G080500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSMNSKRQRRPNVRLGEIGDVPAAFACGFYQKTEENLGHKSYEIDVLNPNDSAHSHLYGFAMQNSPECVVLGPGVSPRIPVDSPQNRENKNPNSSKSAFELVKSDEIDMTNNLNFGTITRKCRVMKRRGRSIKGTSNVFGSTWSSKLNPQLRNEDSKECSVKEFVTFTSDECSKECSVKEFVRFTSDECNDYYPDNGFKDLSDHEIPATSKEVCEFNMDEPAHDTRQHRNSNESWQGDTCNEGNNVSPISNHMWDEMKLGGGDVNTVTRWLEDQGFGKYAGIFEVHEVDEEVLPLLTLEDLKEIGVFAVGPRRKLYTAIQQLRKGGISACQHIQMKM >Manes.06G080500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21611996:21614562:1 gene:Manes.06G080500.v8.1 transcript:Manes.06G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSMNSKRQRRPNVRLGEIGDVPAAFACGFYQKTEENLGHKSYEIDVLNPNDSAHSHLYGFAMQNSPECVVLGPGVSPRIPVDSPQNRENKNPNSSKSAFELVKSDEIDMTNNLNFGTITRKCRVMKRRGRSIKGTSNVFGSTWSSKLNPQLRNEDSKECSVKEFVTFTSDECSKECSVKEFVRFTSDECNDYYPDNGFKDLSDHEIPATSKEVCEFNMDEPAHDTRQHRNSNESWQGDTCNEGNNVSPISNHMWDEMKLGGGDVNTVTRWLEDQGFGKYAGIFEVHEVDEEVLPLLTLEDLKEIGVFAVGPRRKLYTAIQQLRKGGISACQHIQMKM >Manes.14G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1477053:1479902:-1 gene:Manes.14G002800.v8.1 transcript:Manes.14G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALSVVGSPVVDSHTSPCLCLDALPTSNMNLKNSGELVLQRNSMKRRQLNRPGALELGSSFVGSWHDWRLSSKAISGIVSNKSSRKLRKDRRFVVVYELGGQYEDTFEDVKTQILNYFTYKAVRTVLTQLYEMNPTQYRWFYDFVASNKPGEGKRFIRTLVKEKQDLAERVMITRLHLYGKWVKKCNHAEIYQEISDENLELMRERLKETVIWPSESDDSNTEKIG >Manes.S026216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:377500:377874:1 gene:Manes.S026216.v8.1 transcript:Manes.S026216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.17G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31403891:31407735:-1 gene:Manes.17G106900.v8.1 transcript:Manes.17G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRIDSNHLIKLTSLRRIRRLETVWDDEEQFDDVSKFRCQVARKLFDECESKKGKNSLIQAGYGGWLMYTAASAGDLSFVQELLDRNPLLVFGEGEYGVTDILYAAARSKNCEVFRIVYDFAVSPRFLTAKSGEFEEQIGEIPSQYKWEMINRAVHAAARGGNSIVLKELLSNCSDVLAYRDKEGSTILHAAAARGQVEVVKDLTASFDIINSTDHLGNTALHIAAYRGQLSVVEALINASPSLISSTNNAGETFLHMAVSGFQTPAFKRLDRQIELMKQLISGKFFDVEDIINAKSNDGRTALHTAIIGNVHSDLVQLLMSAQSINVNVRDADGMTPLDLLKLRPDSASSDVLIRQLISAGGIFGCQDYTARRAIASHLKMQGNGVSPGTSFRISDTEIFLYTGLEIAFDARADPASEERSSYSTEHLDSTNENQSSTSNRKLLKRVFHWPRLIGKKPERFNKSLDQISVESGKKCSSSEETPTPLRQRFMRPSSLPNHKRTLSVSSDQSSPKAKKKLASGIIHGVMQAMPQVNIPGRSRSSSFSKSSMTSPRSIEKQKGVFLDDDVAGPSSSNQSFDDGTSNVIGKEGATMNKRLRSQYFCFGASGLSVKIPVSRPRQRYSSNPSVLSVA >Manes.03G082500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469791:14480317:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14470289:14480313:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469791:14480317:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14471659:14480313:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469148:14480311:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469148:14480311:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQVGVFCVS >Manes.03G082500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14470547:14480313:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14470666:14480313:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469003:14480311:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469791:14480317:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQVGVFCVS >Manes.03G082500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469791:14480317:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQVGVFCVS >Manes.03G082500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14470666:14480313:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469148:14480311:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14470547:14480313:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469003:14480311:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469791:14480317:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14470289:14480313:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469791:14480317:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469148:14480311:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQVGVFCVS >Manes.03G082500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14471659:14480313:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.03G082500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14469148:14480311:-1 gene:Manes.03G082500.v8.1 transcript:Manes.03G082500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGWIDGDESGREMLSRVLTERPYLHLPPFQKFPLRVGNVVELVGPSPCAKTHILMQAAIDCILPKHCGGLGHLVLFIDLDCRFDILRLSQMLRNRIFQANENKSNDDEELFLECMKRFLYIRCYDTLEFLATLKTLHYKLQKERQAQGISVNFLMIDSIGAFHWIDRASTSLRLGFDNRKALSLHNVYATVVQEIKKLLLLHPMLVIASKATILGSRYAANAGKWDRNLRTLCSPNSAVSSVTKKVHQFMYREYMPSIWQSFVTLRILIQASDVHISTDKNHNKSVYLSQWLMPPVSFVDSFIVNDVGVFCVS >Manes.01G020400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4775923:4792102:1 gene:Manes.01G020400.v8.1 transcript:Manes.01G020400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEANRLVNGDSEMSFQKQRRGISRNGISGKHKNLGSNGTGASCSNDEISGDEDILTVHARDVDDNMDVEISPDVVVSRKTRQREMTFQDMYNNQDLFDDDDDDSDWEPLQKRIDVMKWFCTNCTMVNLNDVVHCEICGEHKESGILRHGFFASPFSQDAGSPEVETEVKGRNKDTRVKHSSSNSCTAVGFDERMLLHSEVEMKSHPHPERPDRLRAIAASLATAGIFPGRCYPIPAREITQQELQMVHSLEHVQAVELTSHIFSSYFTPDTYANEHSACAARLAAGLCADLASAIFSGCAKNGFALVRPPGHHAGIKQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGKFYPGTGAVDEIGTNGAAGYCVNIPWSRGGIGDNDYIFAFQHVVLPIAAEFAPDFTIISAGFDAARGDPLGCCDVTPAGYAQMTHMLYTLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESTGFELGNTVPSKAGLQTVLEVVKIQMQIWSSLKSIFAKLQLKWEEYCSENKKEQIKKRRRTEAPIWWKWGRKSLLYHLLSGNLRVKRSTAAD >Manes.01G020400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4776596:4792102:1 gene:Manes.01G020400.v8.1 transcript:Manes.01G020400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEANRLVNGDSEMSFQKQRRGISRNGISGKHKNLGSNGTGASCSNDEISGDEDILTVHARDVDDNMDVEISPDVVVSRKTRQREMTFQDMYNNQDLFDDDDDDSDWEPLQKRIDVMKWFCTNCTMVNLNDVVHCEICGEHKESGILRHGFFASPFSQDAGSPEVETEVKGRNKDTRVKHSSSNSCTAVGFDERMLLHSEVEMKSHPHPERPDRLRAIAASLATAGIFPGRCYPIPAREITQQELQMVHSLEHVQAVELTSHIFSSYFTPDTYANEHSACAARLAAGLCADLASAIFSGCAKNGFALVRPPGHHAGIKQAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGKFYPGTGAVDEIGTNGAAGYCVNIPWSRGGIGDNDYIFAFQHVVLPIAAEFAPDFTIISAGFDAARGDPLGCCDVTPAGYAQMTHMLYTLSGGKLLVILEGGYNLRSISSSATAVIKVLLGESTGFELGNTVPSKAGLQTVLEVVKIQMQIWSSLKSIFAKLQLKWEEYCSENKKEQIKKRRRTEAPIWWKWGRKSLLYHLLSGNLRVKRSTAAD >Manes.13G096400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28576115:28581284:-1 gene:Manes.13G096400.v8.1 transcript:Manes.13G096400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMEFASQETHENSDDQHLDFDFILHMDLTAANLSLPNETVLRAAISLKDKVVEMTWKGSRRITGPVVDPTIYSGLLGTVFTCLRSYEVTGNQQDLLLCSEIVDTCLAAAPASSRHVTFLCGRGGLYALGAVVANLKGDQQGRNLFLNLFLEVAQERALPIGPEEGGFGMSYDLMYGRAGFLWAALFINKYLGEETLPSDLLLPVVDAILAGGRAGASDNPACPLMYRWHGTRYWGAANGLAGILQVLLHFSLGQEDAEDVKGTLRYMMSNRFPLSGNYPSSEGNSRDKLVQWSHGATGMAITLCKASQVFQNDREFRDAAIEAGEVVWKSGIVKKVGLADGVAGNAYAFLSLFRLTGDAVYEERAKAFASFLYHNATKLVAGGHGSRADYSYSLFEGLAGTACLWFDLLEPERSRFPGFEL >Manes.01G120601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31681648:31682217:-1 gene:Manes.01G120601.v8.1 transcript:Manes.01G120601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREEEPPFSVAFLAISASSLTLLLLSSLSSPSFIKSSTALLTSSLFTNTPFCPSSTPLSGTSTPTLTPIFSTCTANFSLENWSAKSGHVISGTPLLIASSVEFQPQCVRKPPTAGCDSIITCGAQPHITRPLPLVLSSNPVSEIHFSISFDLSPPLTTQINGRLDASKPKPSSISCEVGIFGRLPRQA >Manes.05G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2351728:2356832:-1 gene:Manes.05G027100.v8.1 transcript:Manes.05G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNSDLFDPRAEMESVYSRGASSSDGDFGFAFNDVNFSDRLLRIEIMGDSPDNRPDGEGCTSIADWARYRKRRREDIKKDNAVEVSVGAEEQILSCNQPDMDGLGCENQDEEAVAMIEESPSGDEAPDGNESAWSMDCSTVVRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMDLLNFMYSSTLSNTTAPGLLDVLMAADKFEVASCMRYCSRLLRNMAMTPESALLYLELPSSVLMAEAVQPLTDAAKQYLASRYRDINKFQEDVMSLPLAGIEAILSSDDLQVASEDAVYDFVLKWARTQYPRPEERREVLGSRLARYIRFPYMTCRKLKKVLTCSDFEHDVASKLVLEALFFKAEAPHRQRILAAEESASLNRRFVERAYKYRPVKVVEFELPRQQCMVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARTKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRH >Manes.02G227200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36632829:36634841:-1 gene:Manes.02G227200.v8.1 transcript:Manes.02G227200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVLKSITCSLIALALAALFFTKYAHFSSIILPLLIAYLFKQQTNHHNLPPGPISIPIFGNWLQVGNDLNHRLLASMAKSYGPIFLLKLGSKNLAVVSDPELATQVLHTQGVEFGSRPRNVVFDIFTGNGQDMVFTIYGDHWRKMRRIMTLPFFTNKVVQHYSTMWEKEMEFVVNDLFKYDEKKMRSEGIVIRKRLQLMLYNIMYGMMFDARFESQDDPLFIEATRFNSERSRLAQSFDYNYGDFIPLLRPLLRGYLNKCKDLQQRRLAFFNNYFVEKRRKIMAANGEKHHINCAIDHILYAQMKGEISEDNVLYIVENINVAAIETTLWSMEWAIAELVNHPTVQRKIREEISTVLKGNPITESNLHELPYLQATVKETLRLHTPIPLLVPHMNLEEAKLGGFTIPKETKVVVNAWWLANNPKWWKNPEEFRPERFFEEECSTEAVAGGKVDFRYLPFGMGRRSCPGIILALPILGLVIGKLVSKFEMKGPPGIEKVDVSEKGGQFSLHIAKHSTVVFEPIHA >Manes.16G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30579148:30588525:1 gene:Manes.16G100000.v8.1 transcript:Manes.16G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDTPENVTASTETSTSTATPGISQSSSDLNLSLRRRRSSSNSAGAAAELSSKIDQLESDVGGDMMMDPEPMEESDALRSNGKGYGHDKDRVENRENRGRSDIKFTYRPSVPAHRVLKESPLSSDLIFKQSHAGLFNLCIVVLVAVNSRLIIENIMKYGWLIKTGFWFSSRSLRDWPLLMCCLTLPILSLAAYLVEKLAYRKYISEPIVVFFHVLITSAAVLYPVSVILSCESAVLSGVTLMLFACIVWLKLVSYAHTNYDMRALANSVDKGDTLPNTLNAVYSHDVSFKSLVYFMVAPTLCYQPSYPRTASIRKGWVVRQFVKLIIFTGFMGFIIEQYINPIVQNSQHPLKGDLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNARTVDEYWRMWNMPVHKWMVRHIYFPCLRHKVPKGIAIIIVFFVSAVFHELCIAVPCHMFKLWAFIGIMFQIPLVVITSYLQNKFRSSMVGNMIFWFIFCILGQPMCVLLYYHDLMNRKGSTESI >Manes.16G100000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30579148:30588525:1 gene:Manes.16G100000.v8.1 transcript:Manes.16G100000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILDTPENVTASTETSTSTATPGISQSSSDLNLSLRRRRSSSNSAGAAAELSSKIDQLESDVGGDMMMDPEPMEESDALRSNGKGYGHDKDRVENRENRGRSDIKFTYRPSVPAHRVLKESPLSSDLIFKQSHAGLFNLCIVVLVAVNSRLIIENIMKYGWLIKTGFWFSSRSLRDWPLLMCCLTLPILSLAAYLVEKLAYRKYISEPIVVFFHVLITSAAVLYPVSVILSCESAVLSGVTLMLFACIVWLKLVSYAHTNYDMRALANSVDKGDTLPNTLNAVYSHDVSFKSLVYFMVAPTLCYQPSYPRTASIRKGWVVRQFVKLIIFTGFMGFIIEQYINPIVQNSQHPLKGDLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNARTVDEYWRMWNMPVHKWMVRHIYFPCLRHKVPKLCIAVPCHMFKLWAFIGIMFQIPLVVITSYLQNKFRSSMVGNMIFWFIFCILGQPMCVLLYYHDLMNRKGSTESI >Manes.03G134302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26309477:26312603:-1 gene:Manes.03G134302.v8.1 transcript:Manes.03G134302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRALLSGLCSNSTIPAIKAPPKQLFLTLHPSSSSSSSSSSAVCFKTPLLVSRTSAKAYFKRLECVNSTEKLGNVVFDKEDYKNPDIKRKKLAVFVSGGGSNFKSIHQACLQGTANGDVVVLVTNKNGCGGAEYARNKKIPLILLPRTKEEPDGLSPSDLVTALREFDVDFILLAGYLKLIPVELIQAYPKCILNIHPSLLPAFGGKGYYGMKVHKAVIASGARYSGATIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLREEHQLYVEVTTALCEERIIWREDGVPLIVSRENPNEYS >Manes.10G148300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31429440:31431179:-1 gene:Manes.10G148300.v8.1 transcript:Manes.10G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLLDATVSTFDGVFEKFKSEAPQNKANLILFLADNDPSTNLSWCPDCVRAEPVINKKLEASSDNIVLLRAYVGDRPTWRNPQHPWRVDSKFKLTGVPTLVSWENDAIKGRLEDYEAHLEDKIDALVSSK >Manes.13G010200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1403579:1411656:1 gene:Manes.13G010200.v8.1 transcript:Manes.13G010200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSLPTSHLISQLVDHCFFLTRGSFGSFAEMVIIGVKRRMEEVLGKHTSDLREYEHIVLVHYREVGEGKSTPRSAVQLSPGLSSAFSPSTTSHTTHNRDSTSAVSDLYDPDRSSSSPSSTEISSEIVTKDNGLETLTGFTSSPKDGVSQFLRRLEEHLSLNEDSIKETDPLCSEEGITNDPELLEFAKQISEKDHYVNMLHGPENIVNNQCYDFGEPPGLQLQSNNVVHLQDTGDGGKYHQPFVEYADGSKESISWNEVLESCKVSSGVDYQEKPQPSLREPAEEHEYSHWLNFNGNNVRNSSELLPQDVENFDIPLYSPVLGTHETNPDYYSMLYDEGHLGVPIEPDSSLTVSRQQKFTIREISPEWGFTSEATKVIIVGSFLCDPSESAWKCMFGETEVPTEIIQEGVLCCVAPPHLPGKVTFCVTSGNRESCSEVREFEYRAKSSCPHCNLTQMEVAKGPEELLLLVRFVQMLLSGSSMQKEDSIETGIQLLRKLKTDDGLWSRIIETLLIGNGTSTGTIDWLLEQLLKDKLQQWLSFKSQERRDQPSCTLSKKEQGIIHMVAGLGFEWALSPIISQGIGVNFRDINGWTALHWAARFGREKMIAALLAFGASAGVVTDPTSQDPVGKTPASIAADSGHKGLAGYLSEVALTSHLSSLTLGESELSKGSAEVEAEKTVDSISKGSFSAYEDQVSLKDTLAAVRNAAQAAARIQAAFRAHSFRKRQKEAAMLANSIDEYGLNSSDIHEVSAMSKLAFGNAHDYKSATLYIQKKYRGWKVRQDFLAFRRKVVKIQAHVRGYQVRKRYKVICWAVGILEKAVLRWRRKGVGLRGFRNEGEAIEDSEDEDILKLFRKQKVDAAIEEAVSRVLSMVDCLEARQQYRRMLERYREAKAEVIETSEAAATSADMENDDILPFQVGAASNYLT >Manes.13G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1403579:1411656:1 gene:Manes.13G010200.v8.1 transcript:Manes.13G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGYDINVLFQEAQTRWLKPAEVLYILQNHEKYKFTHEPPHKPTSGSLFLFNKRVLRFFRRDGHNWRKKKDGRSVGEAHERLKVGNVEALNCYYAHGEQNPNFQRRSYWMLDPEYEHIVLVHYREVGEGKSTPRSAVQLSPGLSSAFSPSTTSHTTHNRDSTSAVSDLYDPDRSSSSPSSTEISSEIVTKDNGLETLTGFTSSPKDGVSQFLRRLEEHLSLNEDSIKETDPLCSEEGITNDPELLEFAKQISEKDHYVNMLHGPENIVNNQCYDFGEPPGLQLQSNNVVHLQDTGDGGKYHQPFVEYADGSKESISWNEVLESCKVSSGVDYQEKPQPSLREPAEEHEYSHWLNFNGNNVRNSSELLPQDVENFDIPLYSPVLGTHETNPDYYSMLYDEGHLGVPIEPDSSLTVSRQQKFTIREISPEWGFTSEATKVIIVGSFLCDPSESAWKCMFGETEVPTEIIQEGVLCCVAPPHLPGKVTFCVTSGNRESCSEVREFEYRAKSSCPHCNLTQMEVAKGPEELLLLVRFVQMLLSGSSMQKEDSIETGIQLLRKLKTDDGLWSRIIETLLIGNGTSTGTIDWLLEQLLKDKLQQWLSFKSQERRDQPSCTLSKKEQGIIHMVAGLGFEWALSPIISQGIGVNFRDINGWTALHWAARFGREKMIAALLAFGASAGVVTDPTSQDPVGKTPASIAADSGHKGLAGYLSEVALTSHLSSLTLGESELSKGSAEVEAEKTVDSISKGSFSAYEDQVSLKDTLAAVRNAAQAAARIQAAFRAHSFRKRQKEAAMLANSIDEYGLNSSDIHEVSAMSKLAFGNAHDYKSATLYIQKKYRGWKVRQDFLAFRRKVVKIQAHVRGYQVRKRYKVICWAVGILEKAVLRWRRKGVGLRGFRNEGEAIEDSEDEDILKLFRKQKVDAAIEEAVSRVLSMVDCLEARQQYRRMLERYREAKAEVIETSEAAATSADMENDDILPFQVGAASNYLT >Manes.13G010200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1403579:1411656:1 gene:Manes.13G010200.v8.1 transcript:Manes.13G010200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGYDINVLFQEAQTRWLKPAEVLYILQNHEKYKFTHEPPHKPTSGSLFLFNKRVLRFFRRDGHNWRKKKDGRSVGEAHERLKVGNVEALNCYYAHGEQNPNFQRRSYWMLDPEYEHIVLVHYREVGEGKSTPRSAVQLSPGLSSAFSPSTTSHTTHNRDSTSAVSDLYDPDRSSSSPSSTEISSEIVTKDNGLETLTGFTSSPKDGVSQFLRRLEEHLSLNEDSIKETDPLCSEEGITNDPELLEFAKQISEKDHYVNMLHGPENIVNNQCYDFGEPPGLQLQSNNVVHLQDTGDGGKYHQPFVEYADGSKESISWNEVLESCKVSSGVDYQEKPQPSLREPAEEHEYSHWLNFNGNNVRNCHLGVPIEPDSSLTVSRQQKFTIREISPEWGFTSEATKVIIVGSFLCDPSESAWKCMFGETEVPTEIIQEGVLCCVAPPHLPGKVTFCVTSGNRESCSEVREFEYRAKSSCPHCNLTQMEVAKGPEELLLLVRFVQMLLSGSSMQKEDSIETGIQLLRKLKTDDGLWSRIIETLLIGNGTSTGTIDWLLEQLLKDKLQQWLSFKSQERRDQPSCTLSKKEQGIIHMVAGLGFEWALSPIISQGIGVNFRDINGWTALHWAARFGREKMIAALLAFGASAGVVTDPTSQDPVGKTPASIAADSGHKGLAGYLSEVALTSHLSSLTLGESELSKGSAEVEAEKTVDSISKGSFSAYEDQVSLKDTLAAVRNAAQAAARIQAAFRAHSFRKRQKEAAMLANSIDEYGLNSSDIHEVSAMSKLAFGNAHDYKSATLYIQKKYRGWKVRQDFLAFRRKVVKIQAHVRGYQVRKRYKVICWAVGILEKAVLRWRRKGVGLRGFRNEGEAIEDSEDEDILKLFRKQKVDAAIEEAVSRVLSMVDCLEARQQYRRMLERYREAKAEVIETSEAAATSADMENDDILPFQVGAASNYLT >Manes.13G010200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1403579:1411656:1 gene:Manes.13G010200.v8.1 transcript:Manes.13G010200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGYDINVLFQEAQTRWLKPAEVLYILQNHEKYKFTHEPPHKPTKMVIIGVKRRMEEVLGKHTSDLREYEHIVLVHYREVGEGKSTPRSAVQLSPGLSSAFSPSTTSHTTHNRDSTSAVSDLYDPDRSSSSPSSTEISSEIVTKDNGLETLTGFTSSPKDGVSQFLRRLEEHLSLNEDSIKETDPLCSEEGITNDPELLEFAKQISEKDHYVNMLHGPENIVNNQCYDFGEPPGLQLQSNNVVHLQDTGDGGKYHQPFVEYADGSKESISWNEVLESCKVSSGVDYQEKPQPSLREPAEEHEYSHWLNFNGNNVRNCHLGVPIEPDSSLTVSRQQKFTIREISPEWGFTSEATKVIIVGSFLCDPSESAWKCMFGETEVPTEIIQEGVLCCVAPPHLPGKVTFCVTSGNRESCSEVREFEYRAKSSCPHCNLTQMEVAKGPEELLLLVRFVQMLLSGSSMQKEDSIETGIQLLRKLKTDDGLWSRIIETLLIGNGTSTGTIDWLLEQLLKDKLQQWLSFKSQERRDQPSCTLSKKEQGIIHMVAGLGFEWALSPIISQGIGVNFRDINGWTALHWAARFGREKMIAALLAFGASAGVVTDPTSQDPVGKTPASIAADSGHKGLAGYLSEVALTSHLSSLTLGESELSKGSAEVEAEKTVDSISKGSFSAYEDQVSLKDTLAAVRNAAQAAARIQAAFRAHSFRKRQKEAAMLANSIDEYGLNSSDIHEVSAMSKLAFGNAHDYKSATLYIQKKYRGWKVRQDFLAFRRKVVKIQAHVRGYQVRKRYKVICWAVGILEKAVLRWRRKGVGLRGFRNEGEAIEDSEDEDILKLFRKQKVDAAIEEAVSRVLSMVDCLEARQQYRRMLERYREAKAEVIETSEAAATSADMENDDILPFQVGAASNYLT >Manes.13G010200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1403579:1411656:1 gene:Manes.13G010200.v8.1 transcript:Manes.13G010200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGYDINVLFQEAQTRWLKPAEVLYILQNHEKYKFTHEPPHKPTKMVIIGVKRRMEEVLGKHTSDLREYEHIVLVHYREVGEGKSTPRSAVQLSPGLSSAFSPSTTSHTTHNRDSTSAVSDLYDPDRSSSSPSSTEISSEIVTKDNGLETLTGFTSSPKDGVSQFLRRLEEHLSLNEDSIKETDPLCSEEGITNDPELLEFAKQISEKDHYVNMLHGPENIVNNQCYDFGEPPGLQLQSNNVVHLQDTGDGGKYHQPFVEYADGSKESISWNEVLESCKVSSGVDYQEKPQPSLREPAEEHEYSHWLNFNGNNVRNSSELLPQDVENFDIPLYSPVLGTHETNPDYYSMLYDEGHLGVPIEPDSSLTVSRQQKFTIREISPEWGFTSEATKVIIVGSFLCDPSESAWKCMFGETEVPTEIIQEGVLCCVAPPHLPGKVTFCVTSGNRESCSEVREFEYRAKSSCPHCNLTQMEVAKGPEELLLLVRFVQMLLSGSSMQKEDSIETGIQLLRKLKTDDGLWSRIIETLLIGNGTSTGTIDWLLEQLLKDKLQQWLSFKSQERRDQPSCTLSKKEQGIIHMVAGLGFEWALSPIISQGIGVNFRDINGWTALHWAARFGREKMIAALLAFGASAGVVTDPTSQDPVGKTPASIAADSGHKGLAGYLSEVALTSHLSSLTLGESELSKGSAEVEAEKTVDSISKGSFSAYEDQVSLKDTLAAVRNAAQAAARIQAAFRAHSFRKRQKEAAMLANSIDEYGLNSSDIHEVSAMSKLAFGNAHDYKSATLYIQKKYRGWKVRQDFLAFRRKVVKIQAHVRGYQVRKRYKVICWAVGILEKAVLRWRRKGVGLRGFRNEGEAIEDSEDEDILKLFRKQKVDAAIEEAVSRVLSMVDCLEARQQYRRMLERYREAKAEVIETSEAAATSADMENDDILPFQVGAASNYLT >Manes.13G010200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1403579:1411656:1 gene:Manes.13G010200.v8.1 transcript:Manes.13G010200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSLPTSHLISQLVDHCFFLTRGSFGSFAEMVIIGVKRRMEEVLGKHTSDLREYEHIVLVHYREVGEGKSTPRSAVQLSPGLSSAFSPSTTSHTTHNRDSTSAVSDLYDPDRSSSSPSSTEISSEIVTKDNGLETLTGFTSSPKDGVSQFLRRLEEHLSLNEDSIKETDPLCSEEGITNDPELLEFAKQISEKDHYVNMLHGPENIVNNQCYDFGEPPGLQLQSNNVVHLQDTGDGGKYHQPFVEYADGSKESISWNEVLESCKVSSGVDYQEKPQPSLREPAEEHEYSHWLNFNGNNVRNCHLGVPIEPDSSLTVSRQQKFTIREISPEWGFTSEATKVIIVGSFLCDPSESAWKCMFGETEVPTEIIQEGVLCCVAPPHLPGKVTFCVTSGNRESCSEVREFEYRAKSSCPHCNLTQMEVAKGPEELLLLVRFVQMLLSGSSMQKEDSIETGIQLLRKLKTDDGLWSRIIETLLIGNGTSTGTIDWLLEQLLKDKLQQWLSFKSQERRDQPSCTLSKKEQGIIHMVAGLGFEWALSPIISQGIGVNFRDINGWTALHWAARFGREKMIAALLAFGASAGVVTDPTSQDPVGKTPASIAADSGHKGLAGYLSEVALTSHLSSLTLGESELSKGSAEVEAEKTVDSISKGSFSAYEDQVSLKDTLAAVRNAAQAAARIQAAFRAHSFRKRQKEAAMLANSIDEYGLNSSDIHEVSAMSKLAFGNAHDYKSATLYIQKKYRGWKVRQDFLAFRRKVVKIQAHVRGYQVRKRYKVICWAVGILEKAVLRWRRKGVGLRGFRNEGEAIEDSEDEDILKLFRKQKVDAAIEEAVSRVLSMVDCLEARQQYRRMLERYREAKAEVIETSEAAATSADMENDDILPFQVGAASNYLT >Manes.13G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14364837:14396431:-1 gene:Manes.13G083700.v8.1 transcript:Manes.13G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGNSPNVSSLLNQSFGNENSSTSLSSLGGFQHGIADTMIESNPVSKVPNDVGCTPPSAPTKLLNSVSSSQIPSHSGSSTLSDQQQIQTFEPRRFQHGQQSLQQFPVSCSQAQQQLPQFQSIRGGLGVGASVVPVKLEPDVMNDQIGLQSKLLQSLGPVKLELQQNQIGRGIGPVKLENQHSDQALFLQQQQQQQLLHMSRQSSQAAIAQMNFLWQQRILQQQLLGPRPQPHQQSQQQKGPIRSAVKPIYEPGTCAQRLIQYMYQQQHRPADNNIEFWRKFVLEFFSHNARKRWCVSLYGNSRQTNGVFHQDLWHCEICNRKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPHEYQNSNGQIVLDYAKAIQESVFQHLRVVRDGHLRIVFSPDLKICSWEFCARHHEELIPRKLIIPQVSQLGAAAQKYQASARNSSSSSSKRDLESNCNLFLASARQLAKALEVPLVNDLGYSKRYVRCLQISEVVNSMKDLIDYSQETGTGPVESLAQFPRRTRPGLHYSVQQSQEQQQQFRGHVLNNNHNSVQTNVVHPSTSSGVASADNFYDMSSINSVSGLLRQNSMNSTIENQMNNPGSPYAGTPVQIPSAGSSTTLPQTQPNPPSPFSCPTPSSSNNPPQSSHNVLTASNSANHVVMANSPTQISVGQSPQSNEVAPNELQRSVEKIIQDVMTSSQFSGGGNTASIDSEENNMNNLNGIALKNQNVLTRGKFLLGNGTVDSSSSTMVGEFRSFGRGNGLSATASGIKAAMATKSLLERNRWQHEFTNQLHNGIGSVNSFLDHQFDWKSA >Manes.13G083700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14364837:14396431:-1 gene:Manes.13G083700.v8.1 transcript:Manes.13G083700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGNSPNVSSLLNQSFGNENSSTSLSSLGGFQHGIADTMIESNPVSKVPNDVGCTPPSAPTKLLNSVSSSQIPSHSGSSTLSDQQQIQTFEPRRFQHGQQSLQQFPVSCSQAQQQLPQFQSIRGGLGVGASVVPVKLEPDVMNDQIGLQSKLLQSLGPVKLELQQNQIGRGIGPVKLENQHSDQALFLQQQQQQQLLHMSRQSSQAAIAQMNFLWQQRILQQQLLGPRPQPHQQSQQQKGPIRSAVKPIYEPGTCAQRLIQYMYQQQHRPADNNIEFWRKFVLEFFSHNARKRWCVSLYGNSRQTNGVFHQDLWHCEICNRKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPHEYQNSNGQIVLDYAKAIQESVFQHLRVVRDGHLRIVFSPDLKICSWEFCARHHEELIPRKLIIPQLGAAAQKYQASARNSSSSSSKRDLESNCNLFLASARQLAKALEVPLVNDLGYSKRYVRCLQISEVVNSMKDLIDYSQETGTGPVESLAQFPRRTRPGLHYSVQQSQEQQQQFRGHVLNNNHNSVQTNVVHPSTSSGVASADNFYDMSSINSVSGLLRQNSMNSTIENQMNNPGSPYAGTPVQIPSAGSSTTLPQTQPNPPSPFSCPTPSSSNNPPQSSHNVLTASNSANHVVMANSPTQISVGQSPQSNEVAPNELQRSVEKIIQDVMTSSQFSGGGNTASIDSEENNMNNLNGIALKNQNVLTRGKFLLGNGTVDSSSSTMVGEFRSFGRGNGLSATASGIKAAMATKSLLERNRWQHEFTNQLHNGIGSVNSFLDHQFDWKSA >Manes.17G081900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28662908:28667623:-1 gene:Manes.17G081900.v8.1 transcript:Manes.17G081900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLLLLLLVASAVSADEDSFIGVNVGTAVSDMPSPTQVVALLKAQNIRHVRLYDADRAMLLALANTGIRVTVSVPNEQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEVLTTLPNAAPVLVSALKFIHSALVASNLDGQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLMKFLQSTRSYFMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNVPIVVTESGWPSKGDSNEPDATIDNANTYNSNLIRHVLNNTGTPKHPGIAVSTYIYELYNEDSRPGPVSEKNWGLFNANGVPVYVLHLTGAGTVLANDTTNQTFCVAKEGSDPKMLQAALDWACGPGKVDCSPLLQGQQCYEPDNVVAHSTYAFNAYFQSMAKSPGTCDFKGVATITTTDPTLGEMAP >Manes.17G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28662908:28667623:-1 gene:Manes.17G081900.v8.1 transcript:Manes.17G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLLLLLLVASAVSADEDSFIGVNVGTAVSDMPSPTQVVALLKAQNIRHVRLYDADRAMLLALANTGIRVTVSVPNEQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEVLTTLPNAAPVLVSALKFIHSALVASNLDGQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLMKFLQSTRSYFMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNVPIVVTESGWPSKGDSNEPDATIDNANTYNSNLIRHVLNNTGTPKHPGIAVSTYIYELYNEDSRPGPVSEKNWGLFNANGVPVYVLHLTGAGTVLANDTTNQTFCVAKEGSDPKMLQAALDWACGPGKVDCSPLLQGQQCYEPDNVVAHSTYAFNAYFQSMAKSPGTCDFKGVATITTTDPSHGSCKFPGSSGRNGTLTNTTSLAPSSNSTSSDSPPLHIHNVDPFTISVIGALLTSTVFL >Manes.02G042000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3443342:3448264:1 gene:Manes.02G042000.v8.1 transcript:Manes.02G042000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSADSAKLLRVRAGDSQSDCFLSPHLMFPDKIYALLQRNPENAERALNSIKAKLDAACVNEVLNKCSRNDSQIGLRFFIWAGYQSNYRHSSFMYGKACQLFKIKQNPRVVLDLVQTFKVRNCLVNVKTFKVVLNLCKEGRLADEALVLLRKMPEFDIRADTNAYNIVIKLFCDKGDMNMAQKLMGEMGLIDLYPDMVTHMSMLKGFCDVGKLNEAYSLFKVMKAHGCAPNVVAYSTLIDGICRFGSVERALELLGEMEKEGGDCSPNILTYTSLVQGLCEKGRTMDAFAVLDRMEAFGCAPNRVTVSTLLKGLCVEGHLEEAYKLIDRVVIGGSVSYSDCYSSLVVCLIRIKKIEEAEKLFRRILVSGVKPDGLACSVMIKELCLKNRVLDGYCLYEETEMIGCLPTIDSDIYSILLVGLYQQGYSAEAAKLARTMLEKRIPLKPPYVDEAVEHLKKSGDKELAVDLANIGM >Manes.02G042000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3443342:3448265:1 gene:Manes.02G042000.v8.1 transcript:Manes.02G042000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSFTRFFSLSVTPIIPKVSASHFTASLPDKIYALLQRNPENAERALNSIKAKLDAACVNEVLNKCSRNDSQIGLRFFIWAGYQSNYRHSSFMYGKACQLFKIKQNPRVVLDLVQTFKVRNCLVNVKTFKVVLNLCKEGRLADEALVLLRKMPEFDIRADTNAYNIVIKLFCDKGDMNMAQKLMGEMGLIDLYPDMVTHMSMLKGFCDVGKLNEAYSLFKVMKAHGCAPNVVAYSTLIDGICRFGSVERALELLGEMEKEGGDCSPNILTYTSLVQGLCEKGRTMDAFAVLDRMEAFGCAPNRVTVSTLLKGLCVEGHLEEAYKLIDRVVIGGSVSYSDCYSSLVVCLIRIKKIEEAEKLFRRILVSGVKPDGLACSVMIKELCLKNRVLDGYCLYEETEMIGCLPTIDSDIYSILLVGLYQQGYSAEAAKLARTMLEKRIPLKPPYVDEAVEHLKKSGDKELAVDLANIGM >Manes.02G042000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3443342:3448467:1 gene:Manes.02G042000.v8.1 transcript:Manes.02G042000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKACQLFKIKQNPRVVLDLVQTFKVRNCLVNVKTFKVVLNLCKEGRLADEALVLLRKMPEFDIRADTNAYNIVIKLFCDKGDMNMAQKLMGEMGLIDLYPDMVTHMSMLKGFCDVGKLNEAYSLFKVMKAHGCAPNVVAYSTLIDGICRFGSVERALELLGEMEKEGGDCSPNILTYTSLVQGLCEKGRTMDAFAVLDRMEAFGCAPNRVTVSTLLKGLCVEGHLEEAYKLIDRVVIGGSVSYSDCYSSLVVCLIRIKKIEEAEKLFRRILVSGVKPDGLACSVMIKELCLKNRVLDGYCLYEETEMIGCLPTIDSDIYSILLVGLYQQGYSAEAAKLARTMLEKRIPLKPPYVDEAVEHLKKSGDKELAVDLANIGM >Manes.07G028850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3152314:3153185:1 gene:Manes.07G028850.v8.1 transcript:Manes.07G028850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGLPSLEISFTTIAPHVFDGENYHVWAIRMEAYLDANDLWEAIEEVKSHKEQKARKCKAKACLFAAVNFLKEEYEGNEKIKGMQVMNLVREFEMQNETIKEYSDRLLNIVNKVRLLGTEFTDTRIATISSLEDAKDLSKISLTELIHALQALERRRLMRSEGSVKETKGKKNKKNKKDNSCSDSPRINGESKHDFPPCKHCGKKGHPPFNCWKRPDQRREKCQKTGHH >Manes.02G215305.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35555916:35560618:-1 gene:Manes.02G215305.v8.1 transcript:Manes.02G215305.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNELHSNSFCKINALTVEHCKELLKIFPSMLLRGLQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVWDCPNLKAIFPATIAKNLLQLETLDVESCGGVEEIVAQDQGTEASIEFLFPCLEFLILRELNELKCFYSRIHTLESPLLKRLTVYHCEKLNIFCPESENLLKTDTESQAMIQDPRPLFSFRKVVSNLEKLTLTRKDAAMILEGQFPADLFHKLTTIGIHCFHDESAVFPFDLLERFQPMESLVVGCSQFKEPFPCDGSVGRKKYAEVLRLIRGLTLNNLLDLTDIWNQDSEIDQVLQSLELLHVERCNSLVALAPSSTFQNLITLEMLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVIVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMMMYRMLENIELSAQR >Manes.13G075878.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18251041:18254664:-1 gene:Manes.13G075878.v8.1 transcript:Manes.13G075878.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQTVSETFVHRSGHTGRAGKKGNAILIYTEDQTRQVRIYEREIGCRFTQLPRIMVQSGSMGMMNDIGSGGRFGGARDRRFGDTGFSRAGGHGDYGSFGGARDGRFGDTGFSRVGGHGDYGSNHTRNPGFGHSSGRGQFSGQMNGSGSFGFNRNQAGNFSGSGFSERGRSDGSSTFADFGSGGSSGFGDSNSSRTSDGLNDPRCSRFGIFGDFQSDNSKNGRR >Manes.07G063001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11048663:11061676:-1 gene:Manes.07G063001.v8.1 transcript:Manes.07G063001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLNAEKILWNVWVTISELRHSVILKRIKLLLLRQKLKLTSILKGQKILWNVWVTISELRHSVIPKRIKLLLLRQMLKLTSILKGQISKKKIKMVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKIKVEKLHHEAGRLKNRTVKLQQAVEEATRKGEEIYESVNKWLIDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELANEGDLDSISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLHPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMVAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKELEKEKKVLIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRGQDVLSREMGTQKEFKLDVLQDDEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLNQLSEFDNEEIYLKVYTILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYVMGLSLFKNISTVQGARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVRDTALLIASREQHAFIVTSGRELMKFPNKDCTGISLPYCDIENLPEGWECPKAVALLLFTEIFSLRIPHQFFKGIRNLQVVDFTGIHFVSLPSSRAFLSNLRTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLTRLKVLDVSNCSKLKMIPANALSKLSDLEELYMSNSFVEWESDGNNASLAELEKLSQLTTSEMQILDDKILPKRLFSNGRLQSFRILIGDNWDWDDNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVREDENLLYDIDGSAFQN >Manes.17G026196.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:18239463:18242839:-1 gene:Manes.17G026196.v8.1 transcript:Manes.17G026196.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNSQEGQSVVRPPFFDGNDFLYWKNRMYYFLKSEGVDLWDIVENGPFFPTRMIDGNQEQKPKSEWSELEKKRVALNDKAIHILFCALSGSEYNKVCMKSTVKEIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLSKVQLDELLGNLIDYEMTLKREQVEEPSKMKKNIVLRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKRFIPRKNFRKEKGESSKKEVVIYYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTEEEDVGDEIVNMCFMALEESSDEVCLKSSKIESKWYLDSGCSRHMTKNSSHFISLEKKDGSGQVTFGDNGKGKIIGIGKVAFLCMDLLKNLSKDELVDGLPKIKYEKDKVCDACQMGKQVKSSFKSINKVIFSRPLQLLHMDLFSPTRVASHGGMHYGFVIVDDYSRVLIRPLLNKTPYELWNGRKPRVSYFRVFSCKCFLLNNKDNLGKFDSKTDEGIFLGYSTSSKSYRVFNKRTLIVEESMHVVFDEFNPFAPRKEVSCDDDLVGNLDELTIEDPQPHGDQSQPKEDSIEAKENEVGLQEQ >Manes.04G132600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33332151:33336689:-1 gene:Manes.04G132600.v8.1 transcript:Manes.04G132600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAHRTPKSSGSRSLFFQDLASPVSTHKGKFSTPGQAAAVSALWRENFGGSDLPPPPMYTLEDRSDFSPESGIPDYPLSPEIKSVPRTPFQSSGRDSMTPGKGKSEASTSFALMSGHHNQQGSASSAWWSPTKASSSDQEDKGKGSPVEGVVQPGALITLPPPREVARPEMQRNCLPAGNLDEEEWVTVYGFSPGDTNLVLREFEKCGVILKHVPGPRDANWMHILYQNRSDAQKALSKNGMQINGVLIVGVKLVDPMQRQALNEKLNNQGFMTLPPTPSSRSADLKTVRTASRPYYLQNGSGNAQQSGGAIASPAKSLVSKVFDVMFGV >Manes.04G132600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33332151:33336689:-1 gene:Manes.04G132600.v8.1 transcript:Manes.04G132600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAHRTPKSSGSRSLFFQDLASPVSTHKGKFSTPGQAAAVSALWRENFGGSDLPPPPMYTLEDRSDFSPESGIPDYPLSPEIKSVPRTPFQSSGRDSMTPGKGKSEASTSFALMSGHHNQQGSASSAWWSPTKASSSDQEDKGKGSPVEGVVQPGALITLPPPREVARPEMQRNCLPAGNLDEEEWVTVYGFSPGDTNLVLREFEKCGVILKHVPGPRDANWMHILYQNRSDAQKALSKNGMQINGVLIVGVKLVDPMQRQALNEKLNNQGFMTLPPTPSSRSADLKTVRTASRPYYLQNGSGNAQQSGGAIASPAKSLVSKVFDVMFGV >Manes.10G138318.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30646101:30649666:1 gene:Manes.10G138318.v8.1 transcript:Manes.10G138318.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGKAVCVTGGSGYIASWLLKLLLQRGYTVKATVRDLDDPKKIEHLRVLDGAKERLHLFKANLLEEGAFDAVIDGCEGVFHTASPVIRSTNDPQTEILEPAIKGTLNILKSCVKVPSVKRVVLTSSILTVMRKGQLVAPDVVVDETWFADPAFYQENKHWYALSKTLAEDTAWKFAKENGIDLVTTHPGWVIGPLLQPTINLTVEMVLNHMKGMERFPDDIDKFVDVRDVANAHIQAFEKASASGRYCLVGKHGHISEILKIVHEHYPTSCLPERCEEGKPFVPEYEISKEKAKALGIIFTPLEVTVKDTIESLKEKGYLTV >Manes.10G138318.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30646656:30649731:1 gene:Manes.10G138318.v8.1 transcript:Manes.10G138318.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGKAVCVTGGSGYIASWLLKLLLQRGYTVKATVRDLDDPKKIEHLRVLDGAKERLHLFKANLLEEGAFDAVIDGCEGVFHTASPVIRSTNDPQTEILEPAIKGTLNILKSCVKVPSVKRVVLTSSILTVMRKGQLVAPDVVVDETWFADPAFYQENKHWYALSKTLAEDTAWKFAKENGIDLVTTHPGWVIGPLLQPTINLTVEMVLNHMKGMERFPDDIDKFVDVRDVANAHIQAFEKASASGRYCLVGKHGHISEILKIVHEHYPTSCLPERCEEGKPFVPEYEISKEKAKALGIIFTPLEVTVKDTIESLKEKGYLTV >Manes.02G042566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3481610:3482890:1 gene:Manes.02G042566.v8.1 transcript:Manes.02G042566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQTASEADFANLESIRRHLLGDSVASCNNFTPALPAATPVYCRSSSFGSLYPCLTENWGDLPLKIDDSEDMMLYGVLRDALTVGWVPSLKTEPQPDFTPVKLEPQENFSVLQESFTAPATILQPPERIATQAAAATPAAAPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRVTSKRSSPEPSSSTSSGSGSGSPKRRKKTVSSATTMVSTSTVVSEAGLKMENAARYQVASSTHGEQLLVSQ >Manes.03G213025.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:33036568:33037761:1 gene:Manes.03G213025.v8.1 transcript:Manes.03G213025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCQKMICHIFLHWSMSWQVYSWFLKWLDFRFCLPNSISSLLLEWRFIVHGKSIWLSRNEKVFHNKEVTIDFLCSLIFHQVAGYTILNLLITVDTIKCWAISSIGGVIRECSGSFMCVFSCLTGILDSNAADFLAIEKALSNLATKPDIWSSKQRNAS >Manes.08G155100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38843440:38845640:-1 gene:Manes.08G155100.v8.1 transcript:Manes.08G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTLLGKLEKLSIDGEEDGLFAMQLATISILPMVLRTTLELDLLEIMAEKGEGGQSSASELASRLPTKNPDAPSILERMLRLLASYSILTCSTVTDEQGNAQNLYGLTPVCKFFTKNIDGVSLAPYAISLMSRPAIGSWFHLKEAVLEGVSPFEKANGMGIFEFVRRNKTVFNESMYSHTMIVMKKFLEKYKGFEGLHQLVDVGGGLGANLSLIVSKYPQIKAINFDLPHVIHDAPPCPGVEHVGGDMFVNIPKGEAIFMKWILHDWDDDQCLKILKNCYDALPEFGKVIVVESVIPEFVETDVLSRNVFKLDMNMLIAIPGGKERTEKEFETLAKVAGFAAVKLIDRAYSYSILEFYKRP >Manes.09G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33821700:33822819:-1 gene:Manes.09G138800.v8.1 transcript:Manes.09G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVFEDFEPYCKWQKDQERDVLEVHLNGFKKDQLKIQLSNLGVMTITGERPLEGSKRSRFRKELRLSKDYVTDEIRAKMSGGILSIIMPKKTELTPPSFRDNKPTLPPQNQENERTTPPRTATQNTKTSMFSSYRFQLPDNIILNLGTRNVATAFALLIVVGAFVMYKYRQLSPPVES >Manes.13G093250.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24478749:24480464:-1 gene:Manes.13G093250.v8.1 transcript:Manes.13G093250.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVIAKLYSVFFKYQQKSLLQSLSQLPFSDKADPFGLTSRPHESIASSNPLFTDGVATKDIHVDPFSSLSLRIFLPETALASPLATSRDYDVPTYGGYSPPTSKFNRKLPVMLQFHGGGFVSGSNDSVANDTFCRRIAKLCDVIVVAVGYRLAPESRYPAAFEDGLTVLNWLAKQANLASCRRSFQLSAAAQAVGEVNLEKKFAAASESLRRGIMFANSLYL >Manes.15G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9442519:9450363:1 gene:Manes.15G118400.v8.1 transcript:Manes.15G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITGPITPGVVSFFLGIIPVFVAWIYSELLEYRRASSLSKVHSDNNLVKLEKVTIKEDDRAVLLEGGLMRTASLKFHSSSIKMNLMRFMTMDDSFLLENRATFRAMSEFGVILIYFYICDRTNLLGDSTKKYDRDLFLFLYSLLIIVSGMTSLKKHNDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFVQMMWRLNFFVAFCCVVLNNDYMLYYICPMHTLFTLMVYGTLGIFNKYNENSSVMTVKILSCFLVVILVWEIPGVFDILWSPLLFLLGYTDPAKPDLPRVHEWHFRSGLDRYIWIIGMIYAYYHPYVEKWMEKLEESESKRKLSIKTGIVSVCLLVGYLWYEYIYKLDKVAYNKYHPYTSWIPITVYICLRNFTHQLRSFSLTLFAWLGKITLETYISQFHIWLRSNIPNGQPKWLLSIIPEYPLMNFMLTTAIYVLVSYRLFELTNTLKTVFIPTKDNRRLFHNFVAGAAISIFLYFMSLILLQIPLASV >Manes.16G087700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29427601:29430459:1 gene:Manes.16G087700.v8.1 transcript:Manes.16G087700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTNMAREQNHLLQSYKVGIIGAGISGIAAAKQLSHYSPLVFEATGSIGGVWKHCSFTSTRLQTPRCDFEFSDFPWPERDNSTFPSYQEILEYLHNYATHFDVLKFVKFNSKVVEIRYVGDRQTTNLDVKPGEYGTLLRGYPVWEVAVETDHSTNLQWYAFELLVVCIGKYGDVARTPVFPPSKGEEVFNGKVLHTMDYAKLDKDGAGELLRGKKVAIVGYKKSAIDLAVECAEANQEGQPCTMVIRTLHWTVPSYWIWGFPFFLFFSTRSSQFLHERPHQSFFKSLICLLLSPLRKAISTFIESYLVWKLPLEKYGLKPNHPFVEDYASCQMAILPEDFFAEADKGNILFKRASNWWFWSGGLEFEDHTKLEADVVLLATGFDGKKKLQDLLPHPFSSLITDSSGIMPLYRGTIHPLIPNMGFVGYIESVSNLHTAELRSIWLARLADDRFKLPSIAKMIEQTNEEMQVMKRTTRFYKRHCISTYSINHSDEICEEMGWNPLRKKNWLLEAFSPYNSQDYHEKKDA >Manes.16G087700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29427601:29430459:1 gene:Manes.16G087700.v8.1 transcript:Manes.16G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTNMAREQNHLLQSYKVGIIGAGISGIAAAKQLSHYSPLVFEATGSIGGVWKHCSFTSTRLQTPRCDFEFSDFPWPERDNSTFPSYQEILEYLHNYATHFDVLKFVKFNSKVVEIRYVGDRQTTNLDVKPGEYGTLLRGYPVWEVAVETDHSTNLQWYAFELLVVCIGKYGDVARTPVFPPSKGEEVFNGKVLHTMDYAKLDKDGAGELLRGKKVAIVGYKKSAIDLAVECAEANQGAEGQPCTMVIRTLHWTVPSYWIWGFPFFLFFSTRSSQFLHERPHQSFFKSLICLLLSPLRKAISTFIESYLVWKLPLEKYGLKPNHPFVEDYASCQMAILPEDFFAEADKGNILFKRASNWWFWSGGLEFEDHTKLEADVVLLATGFDGKKKLQDLLPHPFSSLITDSSGIMPLYRGTIHPLIPNMGFVGYIESVSNLHTAELRSIWLARLADDRFKLPSIAKMIEQTNEEMQVMKRTTRFYKRHCISTYSINHSDEICEEMGWNPLRKKNWLLEAFSPYNSQDYHEKKDA >Manes.17G051900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24866916:24871904:1 gene:Manes.17G051900.v8.1 transcript:Manes.17G051900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRISSRLSRTLSLSILSSTSVQQRTLATRSVSSTLQTLQQQELTIPGRLLKWVSLGFVRTSSFATGFTPLQRKPLDSIMDIERAKDKSPEDLASIWDDYHLGRGHIGASMTAKLYHLLVQRAADCLYFVIPLWRGSGYTTMFAQVQMPYMLFTGLEDYKERGTQAAPYFTVSFYTEFAETKDLVLIRGDVVFTSKLTDEEAKWLLETAQSFYLNDGRYKLVERFNKETRDFEFKDVLRALDMPIL >Manes.10G115400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28115625:28119990:-1 gene:Manes.10G115400.v8.1 transcript:Manes.10G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTRFATDALGVVTICLVALLVLLGLLCIVYSFYFRSRIRNQGLIQLSYFSGPWIIRITFILFVIWWGFGEIIRLSLLRRDGRVLNALDLKWQETVCKGYIVSNMGFAEPCLFLTLVFLLRAPLQNMETGILSRKWNRRTAGYVLLYCLPIFALQLFIILIGPQLRKNKGSLQKLPHYFTSTVMIENAADVIALCTYPLLNTILLGLFATILTVYLFWLGRRILKLVINKGLQKRVYTLIFSVSSFLPLRVLLLGLSVLSKPEHILFEALAFSAFLALVCCSGVCICILVYCPIADSLALGNLQDVEARRRIIDEHNDTMSLIANQSHLEESSRLSPGRNSDASTKRGSISFRTYERDGASTGPFVELSLFSPSQDATPPGSPPLGWPMRPPRQAQGY >Manes.09G055901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9010087:9012630:1 gene:Manes.09G055901.v8.1 transcript:Manes.09G055901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFLAAAAEKVGPALRRQALTLTDAAASRIRHLLQQRQRPYLKLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTRTNAGGAKQGSNR >Manes.01G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4336670:4339303:1 gene:Manes.01G018200.v8.1 transcript:Manes.01G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQEIVSKTHVLVVSFPAQGHINPLIQFAKRLAFKGLKVTLLVFITNELHQIPSSSIRVETISFTPQTDNSNCIHGSFFKNLQDSVSANLPEFIAKQTKDGSPVSCIVYDSAMSWVLDIARELGVAGASFFTQSIAVCAIYYHLNEGKLKIPVEQPSVSLPGLPELDTYELPSFVYNTKDYPQVLSVLYSQFSNFREVDWIFFNTFNILEEEAMNWMRSLREIKAIGPTIPSVYIGKQLEDDKDYGCNLLKSNNDCIGWLNSKETGSVVFVSFGSAAALGEEQMTELAWGLKRSNTYFLWVVRETEEKKLPSNFVEETSEKGLVVQWCLQLEVLAHKAVGCFITHCGWNSTLEGLSLGVPMIAMPQWTDQSTNAKFIADVWKVGVRAKVDEKGLVSKEEVELCIKEIIVGEKGNEIRKNSDKWKKLAEEAVDTGGSSDKSIDEFVKELVNKSSQS >Manes.10G013500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1392062:1394787:1 gene:Manes.10G013500.v8.1 transcript:Manes.10G013500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKEEKSIIRVLKTVFFLIALLISFLLFSAPVLLVIADTVLPFSLLSASLSSSSSSLSFKTLSSHFSNYDFRYSLIDIPLISIIRSSIIICVYSFCDGPRLSRGPYLGITAICSVLSVIYVSLKAPYVFSVSRMNDEGEGEYSKAMEIALFICSWVLAIAHIVVAYRTSCKERRKLLVYKIDIEAVSACKNGFPGYKKIPKEERIK >Manes.10G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1392062:1394787:1 gene:Manes.10G013500.v8.1 transcript:Manes.10G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKEEKSIIRVLKTVFFLIALLISFLLFSAPVLLVIADTVLPFSLLSASLSSSSSSLSFKTLSSHFSNYDFRYSLIDIPLISIIRSSIIICVYSFCDGPRLSRGPYLGITAICSVLSVIYVSLKAPYVFSVSRMNDEGEGEYSKAMEIALFICSWVLAIAHIVVAYRTSCKERRKLLVYKIDIEAVSACKNGFPGYKKIPKEERIK >Manes.15G189200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30474615:30503152:-1 gene:Manes.15G189200.v8.1 transcript:Manes.15G189200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSNIVARIFERQVRTPPPGTSVNCARQFYENLFPSYTVYDVECPDHSFRKFTDDGQYLISFSRNHQDLIVYRPKWFTYSCKEEDCDLPSRAKKFDSFFTQLYCVSLASSNEVIYKDFFLYVESSQFGLFATSTAQFHDTPAIGGAVQGVPSIERITFHLLRLEDGEILDKKVFRNDFVNPAHNMGAFLYDDLLAIVSLRYQTIHILQIRESGNLVDVRAIGTFCREDDELFLNSSTQCMAIVDKSKMHQSSWSHVENSVNNTQPSSENSFLSGIKQRLLSFIFRGIWNEERHNSQRVQGLKKKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGVSRSADHHPSFFAVYNMDTTEIVAFYQNSADELYLLFEQFCDHFYATSRNSLYMNFISSHSNNIHAFEQLQSIKNKASNFSQFAKKMLASLPFSCQSLSPSPYFDHSLFRFDEKLISATDRHRPSTDHPIKFISRRQPCTLKFKIKPGPEAGSVDGRTKKLSSFLFHPFLPLALSIQQTAFLQPSVNIHFRG >Manes.15G029300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2335685:2336925:-1 gene:Manes.15G029300.v8.1 transcript:Manes.15G029300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCVTPFPSFTLAKQLLPTKLPSLKLNYRAGRSLKTTVRSYKVLIEHEGQSTELEVEPDETILSKALDSGLPVPHDCKLGVCMTCPAKLISGTVDQSEGMLSDDVVERGYALLCASYPKSDCHIRTIPEDELLSLQLATAND >Manes.06G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25076776:25080631:-1 gene:Manes.06G119700.v8.1 transcript:Manes.06G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRELVLLATATVFGAVASALAVRFFSFNQKRHFPRTDSSGNSAVSNNGYSQSQSPFDPSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQNGVILGIGYNGFPRGCSDDKLPWAKKSKTGNPLETKYPYVCHAEVNAILNTNHASAVGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKNLSNSDTTYIASHKLLSMAGIKVRKHQPQMSRILVKFEDS >Manes.15G163700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13687195:13691218:1 gene:Manes.15G163700.v8.1 transcript:Manes.15G163700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLIARLESAVARLEALSSSGCRDRGIADFAGADLATDPSSIAFDNLLAQFFGKLSAAAEKIGGQVLEVTNIVHQAFCVQKELLFKAKHTQKPDNAGLAEFLKPLNEVIMQANAMTEGKRSDFFNHLKSAADGLTAMVWIAYTGKDCGMIMPIAHVEESWQMAEFYNNKILVEYKSKDPNHVEWAKAMKELYLPGLRDYVKNHYPLGPIWGVSCKKAPTSATSRALAPGAPAPPRPPPASLFSAESSQPSSSKPKEGMAAVFQELNSSKSVTSGLRKVTADMKTKNRADRTGVVGASGKESRTSSPSISKTGPPKLELQMGRKWIVENQIGRKDLVIGNCDSKQSVYVFGCKDSVLQIQGKVNNITIDKCTKMGVVFTDVVAAFEIVNCSGVEVQCQGSAPTVSVDNTSGCQLYLSKESLGASVTTAKASEINVLVPGEEPNGDWVEHALPEQFINLYKNGRFETTPVSHSGG >Manes.01G243700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40462013:40467178:1 gene:Manes.01G243700.v8.1 transcript:Manes.01G243700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTHRVERSEIKPGDHIYTYRAVFTYSHHGIFVGGNKVVHFTPRQNANSSSDTSSDIYNTISSIPSSCDIPDCGFRQPNSGVALSCLDCFLGKGSLYCFEYGVTPSVFLAKLRGGTCTTAASDPEETVIHRAMYLLQNGFGNYDVLGNNCEDFALYCKTGLLVMDRQGVGRSGQASSFIGAPLAALLSSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRSDVIKVAVEDLAVNLGWTDPHEEVYEDNEASRLIAR >Manes.15G086501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6635492:6638528:-1 gene:Manes.15G086501.v8.1 transcript:Manes.15G086501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQNWLALFICFVSNISAITCCLDNERAALLSFKSQLADPSNRLSSWNGRNCCTWHGIRCSNMLHVVSVDLRNPNPTSFIVNMTSELVSSSNSTSSALIGSISSSLFTLAHIRHLDLSFNNFQFSRIPTRIANLTHLTYLNLSNSMFSDSITTQLANLTSLRWLDLSCSLQVPDFSSISYNLSSQLTVRAGVEYTYIPGGYLSSSNLDWLKGLRNLRGLFLSGVDLSEASKFPQWANPLSDLFNLRSLWLSNCKIMGKVPVNQLLNLTQMYVLAMDFNSFTSQIPVRLANMTSLLALVLTGSNLKGTIPYLPQLQELHVGNTNLTIDLESMFAVPWPRLKFLDIRSSQVIGSIPPSFANTTSLVTFIAYNCFIEGNIPSSMTNLSRLERLHLELNRLVGQIPANNLSGKLPDCITHFPKLQVLYLSLNSFTGTIPSLTSFFKSSNPYIVGLGYNKLTVKLDQQLFSPSFQPQVLDLSSCNISGEIPDFLSNQTQLAFLSLAYNNLSGSIPSWLFHLPNLGCLDLSFNRLQGFLPPTIQMNLFFGPTILNLAGNLLEGLIPSLLENIDAINLSANNFIGCIPPQIGLGNARYISLSGNKLTGQIPVSFCQENNAIMLLDLSNNSLSGSLPGSLGNCTSLSFLNIAHNNLSSSIPAVLGNAKSLSNLDLTGNHFEGPFPAFIQKLQNLVVLKMGCNNFAGKIPHFIGDLKNLRILVLKSNFFNESIPPDINKLEKLQIMDFSDNKLSGTIPEKLDGLKTLITRPMDGDLLGYVFSAMYAGVELSMGYKGLIYRFDVVRTYHSGIDISLNALTGNIPQEMTLLRGLAMLNLSHNALSGEIPSGIGDMSGLQSLDLSFNKLTEGIPETINLLDSLGIMNLSYNNLSGRIPPGTKFDTLSADGWTYVGNEFLCGSPHAKIECKINDGSSDQEPVSEDDTSEKWLLIGIVSAGYVVGFSGYFVVLNLLKERWREGYWMAIDKLASRISVSR >Manes.07G086900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27575714:27578152:-1 gene:Manes.07G086900.v8.1 transcript:Manes.07G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNHSKKSNAEIAPFDFIKSTTAIKLYGSPTAACTAYIRFALLYKTLSLDFVPTTDTPDSQLVLQIGSKTVSGSRETLLRFIDAKLPQPPLMLPEIEGFGETTPWIMRAVVLQHRSMRWHLERLVNWGEDLTTRGGRKTVDPAMGSPRMEIRKFSKSYSQLLEIMLEHAQMEERVVFPILEMADRGICRAANEEHARDLPIMNGIKEDIKSIGVLDTGSPDYREALCNLSTRLKSLLEHCKEHFEEEEKDLLPLMEAVELSKEQQLKVLEQCFDLMHGTDSHLFNFLIEGLLPWEAMHYLDLILTCKDEEKAASMLCSIIE >Manes.07G080642.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24647674:24649184:1 gene:Manes.07G080642.v8.1 transcript:Manes.07G080642.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.08G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28802843:28806601:-1 gene:Manes.08G083200.v8.1 transcript:Manes.08G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEMKEKTVVEVDGTNSGGGDVEDGAGSGDDLLHAVGEGGGSSRARRDRVKVPWSPEEDAILSRLVSRTGARNWTLIARGIAGRSGKSCRLRWCNQLDPAVKHKPFTDEEEHIIATAHAVHGNKWAVIARLLPGRTDNAIKNHWNSSLRRRSTERGRTRLASGNVDQDANLDKPKASSEETLSCGDANSFKSIEGKNVSSLDNLDDQCEEKAPLEIPSNNEAKESSTLFRPMARVSAFSVHNPEIASPCPRPVPMQGPSIEAPIPDNGICKLLDRIYNERLVPHQCGHGCCKAQNGNNLVNSLLGPEFVDFLDPPSFPNFELAAIATDISNFAWLKSGLENKTVPNDKAARITPCGSQLQMGHL >Manes.S031716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:770780:771154:1 gene:Manes.S031716.v8.1 transcript:Manes.S031716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.13G071900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14836156:14836587:1 gene:Manes.13G071900.v8.1 transcript:Manes.13G071900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNNHPQINTPISLVLSFILLKMKRNFERKELASRGCRCRRSDERSSILEEDRRFLEVLRQRQPHIFLQRGNTFIVFLFGELVHSTHLDDLLRAESRLNLGRR >Manes.03G077700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13836608:13838846:-1 gene:Manes.03G077700.v8.1 transcript:Manes.03G077700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDAQALDLQRSGGSSSVMMSRSMNEMQMEVQRRLHEQLEVQRHLQLRMEAHGKYMQNMLEKAYQTLASENMASGIYKGIGNQGVPDMGGGMKDFSPLNFSLFQDLNIYGGDQLDLQHNMERQSSLDGYMQNNDNICLGKKRPSPYSGNGKGHLFWPDDLRFQELGSAPTCLGPQDDHLFKGDHLIQIASQSVDRGSVLDSISDIYESKPPVFQGDSVSDKHTRLERPSPRRAPFPADRMSPMINTAGAMLREGNSTFG >Manes.03G077700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13836608:13838846:-1 gene:Manes.03G077700.v8.1 transcript:Manes.03G077700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAKKPSTMNSNDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDAQALDLQRSGGSSSVMMSRSMNEMQMEVQRRLHEQLEVQRHLQLRMEAHGKYMQNMLEKAYQTLASENMASGIYKGIGNQGVPDMGGGMKDFSPLNFSLFQDLNIYGGDQLDLQHNMERQSSLDGYMQNNDNICLGKKRPSPYSGNGKGHLFWPDDLRFQELGSAPTCLGPQDDHLFKGDHLIQIASQSVDRGSVLDSISDIYESKPPVFQGDSVSDKHTRLERPSPRRAPFPADRMSPMINTAGAMLREGNSTFG >Manes.03G077700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13836608:13838846:-1 gene:Manes.03G077700.v8.1 transcript:Manes.03G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDAQALDLQRSGGSSSVMMSRSMNDNSHMVDATRMQMEVQRRLHEQLEVQRHLQLRMEAHGKYMQNMLEKAYQTLASENMASGIYKGIGNQGVPDMGGGMKDFSPLNFSLFQDLNIYGGDQLDLQHNMERQSSLDGYMQNNDNICLGKKRPSPYSGNGKGHLFWPDDLRFQELGSAPTCLGPQDDHLFKGDHLIQIASQSVDRGSVLDSISDIYESKPPVFQGDSVSDKHTRLERPSPRRAPFPADRMSPMINTAGAMLREGNSTFG >Manes.03G077700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13836608:13838846:-1 gene:Manes.03G077700.v8.1 transcript:Manes.03G077700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAKKPSTMNSNDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDAQALDLQRSGGSSSVMMSRSMNDNSHMVDATRMQMEVQRRLHEQLEVQRHLQLRMEAHGKYMQNMLEKAYQTLASENMASGIYKGIGNQGVPDMGGGMKDFSPLNFSLFQDLNIYGGDQLDLQHNMERQSSLDGYMQNNDNICLGKKRPSPYSGNGKGHLFWPDDLRFQELGSAPTCLGPQDDHLFKGDHLIQIASQSVDRGSVLDSISDIYESKPPVFQGDSVSDKHTRLERPSPRRAPFPADRMSPMINTAGAMLREGNSTFG >Manes.08G134600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37143001:37146703:1 gene:Manes.08G134600.v8.1 transcript:Manes.08G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSVTLSFSRLPLALPFSSSHSTLFNTSTLSYTANNSINNSLVLGKTRSRNLRTRKGLTCNALFGLGVPELVVIAGVAALVFGPKKLPEVGKSIGKTVKSFQQAAKEFESELKKEPDSLSESPGEKPTAVSEEKKQDVEVSSSKESI >Manes.03G148700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27580274:27585415:-1 gene:Manes.03G148700.v8.1 transcript:Manes.03G148700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTGEVAVMDLSLGVRTRAKTLALQRQARLPASPPQPSTASAQPASSGYLQLRSRRLLKPPILVHDSKRQKHTHNKEVQNPNSNSMAASRVRVGSKDSRTNAAGLKKDVNGESKEEEIEEKHNDGDNNNNNDSDIYESKDLGIEASFGENVLDIEGRERSTRESTPCSFIRDPETIRTPGSTTRPASSTETSRRSRNSTRRHIPTAHEMDEFFAGAEEEQQRQFIEKYNFDPINDKPLPGRYEWEKLDP >Manes.05G009856.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:515028:515869:1 gene:Manes.05G009856.v8.1 transcript:Manes.05G009856.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSLRRPAEPPSPRHFSCSSFKNINVILLEEQNGSKSQPQTPRRPSIFHRVRIASPLLRNHSKTFIISPCGEAQWKMEGLLGFGVGIWIHFHSTASKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGLRYVLCAQCNGSHKIYSEKHGFRTCTSCNVNGLINGNVNLALYPQ >Manes.S043716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1309699:1310079:1 gene:Manes.S043716.v8.1 transcript:Manes.S043716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.05G141200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24061847:24067115:-1 gene:Manes.05G141200.v8.1 transcript:Manes.05G141200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMGSLSAVNGPLHYPVARRDESVVDDYHGVKVADPYRWLEDPDAEEVKEFVQEQVKLTESVLKSCEAREKLSEKITKLFDHPRYDAPFKQGNKYFYFHNTGLQAQDVLYVQDNLDGEPEVLLDPNALSEDGTVSLNTISVSEDAKYLAYGISSSGSDWVTVKVMHVENKRVEADTLSWVKFSGISWTHDSKGFFYSRYPAPKDGENLDAGTETSSNLYHELCYHFLGTDQSEDILCWRDPENPKYMFGASVTDDGKYLLLYIDESCDPVNKVYYCDMSAFPQGLEGFKGNHLLPFIKLIDEFDAQYQAIANDETLFTFLTNKDAPKYKLVRVDLKEPSIWTDVVPEAAKDVLESACAVNGDQMIVSYLSDVKYVLQVRDLKTGSLVHQLPIDIGSVNGISARRKDSTVFIGFTSFLTPGIIYQCNLDAEVPDMKIFREISVAGFDRTEFHVEQAFFPSKDGTKIPMFIVAKKNIKLDGSHPCLLYGYGGFNISLTPSFSVSRIILTRHLGVVYCIANIRGGGEYGEEWHKAGSLAKKQNCFDDFISAAEYLISTGYTQPSKLCIEGGSNGGLLIGASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEEEFHWLIKYSPLHNVQRPWEQHPDQPCQYPSTMLLTADHDDRVVPLHSLKLLATMQYVLCTSLENSPQANPIIGRIECKAGHGAGRPTQKMIDEAADRYSFMAKVLDATWNE >Manes.05G141200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24061847:24067786:-1 gene:Manes.05G141200.v8.1 transcript:Manes.05G141200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMGSLSAVNGPLHYPVARRDESVVDDYHGVKVADPYRWLEDPDAEEVKEFVQEQVKLTESVLKSCEAREKLSEKITKLFDHPRYDAPFKQGNKYFYFHNTGLQAQDVLYVQDNLDGEPEVLLDPNALSEDGTVSLNTISVSEDAKYLAYGISSSGSDWVTVKVMHVENKRVEADTLSWVKFSGISWTHDSKGFFYSRYPAPKDGENLDAGTETSSNLYHELCYHFLGTDQSEDILCWRDPENPKYMFGASVTDDGKYLLLYIDESCDPVNKVYYCDMSAFPQGLEGFKGNHLLPFIKLIDEFDAQYQAIANDETLFTFLTNKDAPKYKLVRVDLKEPSIWTDVVPEAAKDVLESACAVNGDQMIVSYLSDVKYVLQVRDLKTGSLVHQLPIDIGSVNGISARRKDSTVFIGFTSFLTPGIIYQCNLDAEVPDMKIFREISVAGFDRTEFHVEQAFFPSKDGTKIPMFIVAKKNIKLDGSHPCLLYGYGGFNISLTPSFSVSRIILTRHLGVVYCIANIRGGGEYGEEWHKAGSLAKKQNCFDDFISAAEYLISTGYTQPSKLCIEGGSNGGLLIGASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKEEEFHWLIKYSPLHNVQRPWEQHPDQPCQYPSTMLLTADHDDRVVPLHSLKLLATMQYVLCTSLENSPQANPIIGRIECKAGHGAGRPTQKMIDEAADRYSFMAKVLDATWNE >Manes.14G068300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5677453:5681030:-1 gene:Manes.14G068300.v8.1 transcript:Manes.14G068300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDVMKLMMSDYNVETINDGLNEFNVDFHGPKESLYEGGIWKIRVELPDAYPYKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPADPLNGDAASLMMKDREKYDKKVKEYCERYAKRENIMNYKAEEQSGEEDISEEESGSSDNDIAGHVDP >Manes.04G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30916:34261:1 gene:Manes.04G000100.v8.1 transcript:Manes.04G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPNIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEYLRTYLNLPSEIVPATLKKSARPTGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGDFGGDKGGAPADFQPSFRGSGGRPGFGRGGGGYGAAQSSSSGFA >Manes.18G031966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2704239:2705629:1 gene:Manes.18G031966.v8.1 transcript:Manes.18G031966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLMGLGDEYDNVKNQILLQDPLPSINKAYSMIMSVEKQREVQIDSTSSSETAAVMLTRRNFSGNRSNTGTGNNRASFSSRKEDKKKQYCTNCKGAGHIVDDCFSLHGYPDWFIELQKKRGVDVRKYLRANNVTRVATDETPLQQSGLQQKGTDGLDKGMTNFLQQEFQKFLNSRSGSNDQDDGDVRHVNFAGFTD >Manes.17G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26171097:26178830:1 gene:Manes.17G062000.v8.1 transcript:Manes.17G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSESRETSPQRKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTIERYKKACADSSNTGSVSEANAQYYQQEAAKLRVQISNLQNSNRHMLGESLGALTVKELKSLEIRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIADNERKQQNMNMMPGGGNYEIMQSQPFDNRNYFQVNALQPTNHYPHQDQMALQLV >Manes.17G062000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26171096:26178830:1 gene:Manes.17G062000.v8.1 transcript:Manes.17G062000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSESRETSPQRKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTIERYKKACADSSNTGSVSEANAQYYQQEAAKLRVQISNLQNSNRHMLGESLGALTVKELKSLEIRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIADNERKQQNMNMMPGGGNYEIMQSQPFDNRNYFQVNALQPTNHYPHQDQMALQLV >Manes.04G153300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34870984:34873926:-1 gene:Manes.04G153300.v8.1 transcript:Manes.04G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVCPFVKAARPDDSSSKKPGEVPNKHGAEHDGKTKKESGDSASISPKCPFGYDSASASPKSGSISPKCPLGYDSQTFKIGPLSCVLCQALLFESSRCVPCSHVYCKVCISRFKDCPLCGADIEKIEADTNLQAVVDRFIEGHARIKRSHVDAEDKEEEVGDDKKVIYEDVSLERGAFLMQHAMRALRAQNVESAKSRLSLCAEDIRGQIEKTGDTSELCSQLGAVLGMLGDCCRTMGDPGSAVAYFEESVEFLSKLPTDNQEITHTLSVSLNKIGDLKYYAGDVEAARSYYFRALNVRREAIKHYPNVSSQTLDVAVSIAKVADADRSLGNEDEALDKFQEAIKLLECLTIKPEEVSLEQRRSSVLEFLKNQLSEKQSD >Manes.04G134700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33539599:33547424:-1 gene:Manes.04G134700.v8.1 transcript:Manes.04G134700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKVVLAVVCATAVAGAVTAAIVVKRKMRTGDKWAKAIEIVKDMEEKCATPIGKLKQIADAMVVEMHAGLASEGGSKLKMLISYVDNLPTGDENGLFYALDLGGTNFRVLRVQLGGKDGRLVNQEFAEVPIPPNLMTGTSEVLFDYIAAELAKFVAQEGEELRLPPGKMRELGFTFSFPVIQTSIASGTLVRWTKGFSIDDTVGQDVVMELTKAMERQGVHMHVSALVNDTVGTLAGGRYFHKDVIAAVILGTGTNAAYVESAQAIPKWHGALPESGEMVINMEWGNFRSSHLPLTDYDLALDVESLNAGEQIFEKVISGMYLGEIVRRVLLNMAEEAAFFGDTVPSKLKIPFILRTPDMSAMHHDTSSDLKVVGNKLKDILEIPNTSLKARRVVVELCNIVATRGARLAAAGILGILKKMGKDTVKEGDKNRTVIALDGGLYEHYSEYSKCMEDTLNELLGEEVSKSIEIVHSNDGSGIGAALLAASHSHYR >Manes.07G132200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33394017:33395517:-1 gene:Manes.07G132200.v8.1 transcript:Manes.07G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEPRSSSSCAACKFLKRRCIPNCIFAPYFRSDEPKKFAKVHKVFGASNVSKILTEVPEEQREDTVNSLAYEAEARLRDPVYGCIGAIALLQRKMVELQFDLAIARARLARYTANSSSSAAILNDRSGLTTFSEFPAICGGLIQSFGLSSSELNQDGLMNDLSQFPYIF >Manes.09G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32564399:32566644:1 gene:Manes.09G123300.v8.1 transcript:Manes.09G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQSSLTGDQKLRPNCGRKPLQPKNSLASPVTEIQILKPKKDWIEISVASESNKENYPICATTPTKLVIEPLDASLAEELSAIKKKIERLRLDGQRTEKMLNEREKLLDLQMKELEQRGEIQKRLEIEVDRLYRLKELQSFSMRISPMRSLREKEHEKKTAQVHSQGMKAEDMEASVSGSRMMSPCLSSSSSSNSISSQLVAV >Manes.07G111700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31710494:31712628:1 gene:Manes.07G111700.v8.1 transcript:Manes.07G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKAATEALMPKKHQDAEASPGTTKKQIQSQAKAEKKVPVVYYLSQNGKIEHPHFVEVPLSSPQGLYLRDVVKTLNLLRGQGMGSMFSWASKRSYKNIFLWQDLLDDDLIYPCQGQDYILKGSLLLETSLSFRSNDSISSSTSRRSSEMNSSSIEDSNSPVSRRKKHSCSVDVNDEHRIYKAKTSGELTRNGSNVSTQTDDNQIIEMEAEGLSTKSSSKASGNLESSAEAYRSRKIRNQKVGRDHDNLKMNERKLVMKLIGCGCGSKRFKDFQQMENKYC >Manes.12G066601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6909062:6916386:-1 gene:Manes.12G066601.v8.1 transcript:Manes.12G066601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLICERCNSQPALFRCFEERISLCQNCDWMVHGNSSSTTTHKRQTINCFSGCPSASELSSIWSFVLNFPSVGESTCEQELGLMSIAENSSTGAWGPNENIINQSVSGAVAADEALDIAKSSVYGGTSSMPELISLPNNTEKPVGSANAPLSKLCCPGTKGPAVSGDDDLYEDFNMDEVDLNIENYEELFGFTLNNSEELFENGGIDSLFGTKDISAADSNCQGAGEEGLSVGLVNTMQQACSNAASADSMMSAKTEPVLCFTTRQGHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCPESSCPSANRSNAVMRYKEKKKTRKFEKRVRYASRKARADVRRRVKGRFVKAGDNYDYDPLSQIRSY >Manes.16G090400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29674091:29686584:1 gene:Manes.16G090400.v8.1 transcript:Manes.16G090400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMENGSPFSSLNTIIDEPPRLERFYMQKFRLYKTRSKFYMIGRDKSRTYWRVLKIDRQDPSELNIREDSTTYTESECSDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNIYAVSKSEMIPLPNSSVQSKITNSKNENRYKKLLCTVDLTKDFFFSYSYNVMCSLQKNLCNKETGQVLYETMFVWNEFLTRGIRNHLQNTRWTVALVYGFFKQATLSMSGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVEAEQIVFEDVPEGFPIQISSVVQNRGSIPLFWSQETSRLNLKPDIILSRKDQNYEATRLHFENLVKRYGNPIIILNLIKTQEKKPRESILRAEFANAIDYINKDLSEENRLRFLHWDLNKHTRSKATDVLLLLGKVAAYALTLTGFFYCQITPALMTEGCTIWPSFGNTQNGHISPQNTCGNDEEDTNDVERNFRDGNGVANGNHSIKLPMFQQGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGLMDTPKIDLDAPLADELMGFYERMGDTLAHQYGGSAAHNKIFSQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQLGKPAVWELNSDQHYSTGRNGQTYVDQDRRSYFKRSLSDGNILHESRSPMSATNVKQEKFASSALPNQWEGEKAVLSESSPEILPSEIDISFSRCNPSMPRRQLLRDVEREQYLGSDHIYFSEDMFNCSNFVDLDWLSSSGNSCEEEQFERSSVLTSSTIAGMSSENVVNGIMSDTTPSSSEYGSNMKVRQQIGMELPYVNSQNSNVLEEFSDGFVQWVNYGETLCY >Manes.16G090400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29674380:29686066:1 gene:Manes.16G090400.v8.1 transcript:Manes.16G090400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMENGSPFSSLNTIIDEPPRLERFYMQKFRLYKTRSKFYMIGRDKSRTYWRVLKIDRQDPSELNIREDSTTYTESECSDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNIYAVSKSEMIPLPNSSVQSKITNSKNENRYKKLLCTVDLTKDFFFSYSYNVMCSLQKNLCNKETGQVLYETMFVWNEFLTRGIRNHLQNTRWTVALVYGFFKQATLSMSGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVEAEQIVFEDVPEGFPIQISSVVQNRGSIPLFWSQETSRLNLKPDIILSRKDQNYEATRLHFENLVKRYGNPIIILNLIKTQEKKPRESILRAEFANAIDYINKDLSEENRLRFLHWDLNKHTRSKATDVLLLLGKVAAYALTLTGFFYCQITPALMTEGCTIWPSFGNTQNGHISPQNTCGNDEEDTNDVERNFRDGNGVANGNHSIKLPMFQQGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGLMDTPKIDLDAPLADELMGFYERMGDTLAHQYGGSAAHNKIFSQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQLGKPAVWELNSDQHYSTGRNGQTYVDQDRRSYFKRSLSDGNILHESRSPMSATNVKQEKFASSALPNQWEGEKAVLSESSPEILPSEIDISFSRCNPSMPRRQLLRDVEREQYLGSDHIYFSEDMFNCSNFVDLDWLSSSGNSCEEEQFERSSVLTSSTIAGMSSENVVNGIMSDTTPSSSEYGSNMKVRQQIGMELPYVNSQNSNVLEEFSDGFVQWVNYGETLCY >Manes.01G049915.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:17937966:17938355:1 gene:Manes.01G049915.v8.1 transcript:Manes.01G049915.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWADENRRPQEFTIGDLVIVKLLPEQLRFLRNHEKRLVRKYKGPLSVVAKIGPAAYRIEPLKWMTVYPVFHVSKLKAYHVDESNVSCNKTSRPPVTRAPPVNQGIEKILAEQVVKSTKRPPQKRVPY >Manes.18G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9321133:9325528:1 gene:Manes.18G100300.v8.1 transcript:Manes.18G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDKGMSSDNIKGLVLALSSSFFIGASFIVKKKGLKKAGSSGLRAGAGGYSYLYEPLWWIGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHVILREKLHLFGILGCALCVVGSTTIVLHAPKERDIESVKEVWELATEPAFLVYATFVIGAVFVIIVCVIPQYGQTHVMVYIAVCSLVGSLSVMSVKAIGIALKLTFSGMNQLLYPQTWAFTLVVLTCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTEICGFVTILSGTFLLHKTKDMVEGSSQSLRLPRHTQDNVFEPEGIPLRRQESMRQA >Manes.14G092350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7698305:7699835:-1 gene:Manes.14G092350.v8.1 transcript:Manes.14G092350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASTVDSFVSAKDRFYKQEIEMVPMESSYCDSSRNDTEDTDMSLFSKKDSQKWDRIAIVLAFTALLALLSVSYFSVVHGKFFPQTFKNSWSYLLFLMVINMVFYFPVIGFILSKKHPSIAKIFSCLSLVGLVLSFTVLSWRLLPPHFNWFPWVFLVLTLGALVYQCICQPWSWIRSLSRKCLQNMY >Manes.02G052200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4154011:4161369:1 gene:Manes.02G052200.v8.1 transcript:Manes.02G052200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSHFSATSHCSSVSKFVDFGSGSGGKWRSNLLLIRTLRSRSLTRSFSVKNVSGEPKTKLKNPISDGDASSIASSIKYHAEFTPVFSPEKFELPKAFVATAQSVRDALIINWNATYECYDRLNLKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALTKLGHDLESVARHEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQRIAKDGQEEVAEDWLEMGNPWEIVRNDISYPVKFYGKVVSGSDGKKHWIGGEDIIAVAYDLPIPGYKTKSTINLRLWSTKAPAEDLDLYAFNAGKHTKAYEALANAEKICYILYPGDDSLEGKILRLKQQYTLCSASLQDIIARFERRSGSKIRWQEFPDKVAVQMNDTHPTLCIPELMRILMDLKGLSWNEAWNITQRTTAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELVNFIVCKYGKADPNIFEKKLKEMRILENVDLPSTFAGLTLKPKESSAAAISEASKLNVKDEVDSGDEPQSKGEPKSKGTQKKEEVMAEPPPKLVRMANLCVVGGHAVNGVAEIHSEIVKDEVFNAFYELWPNKFQNKTNGVTPRRWIRFCNPDLSKIITEWTGSEEWVLNTEKLAELRKFADNEDFQTQWRAAKKSNKMKVASLLKEKTGYSVSADAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSAVERKAKYVPRVCIFGGKAFATYAQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAKAHEIAGLRKERVMGKFVPDPRFEEVKDFVRTGVFGCKYDEMLGSLEGNEGFGCGDYFLVGKDFPSYIECQEKVDEAYQDQRRWTNMSIMNTAGSYKFSSDRTIHEYAKDIWNIRPTILP >Manes.11G087700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14048077:14054302:-1 gene:Manes.11G087700.v8.1 transcript:Manes.11G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIGRGDTVQEAKRGAGGSAIFVARNRFAVLDKSSNQVLVKNLKNEVVKKSSLPIAADAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGDLQTPFVKYVVWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLERDGKNRAIVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNSGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLKIAEVKNDVMGQFHNSLYLGDVQERVKILENAGHLPLAYITAKVHGLDDVAERLAADLGDDVPSVPEGKVPSLLMPPVPVMCGGDWPLLRVMKGVFEGGLDNMGRGGADEDEDTGEGGEGDWGGLDIVDDDGLQNGDVTAILEDGKVAEENEEGGWELEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIRNFSPLRSMFLDLHSGSHSYLRAFSSTPVISLAVERGWNESASPNVRGPPALVFNFSQLEEKLKAGYRATTGGKFTEALRLFLSILHTVPLIVVESRREVDEVKELIVIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQMPHLRLALLNAMTVCYKAKNLATAANFARRLLETNPTIENQAKTARQVLQAAERNMRDAAELNYDFRNPFVTCGATYVPIYRGQKDVSCPYCSSRFVPSQDGKLCTVCDLAVVGADASGLLCSPSQIR >Manes.09G172800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37669125:37673224:-1 gene:Manes.09G172800.v8.1 transcript:Manes.09G172800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADRMRGKMYAAPMPPAHRHLSGSDRQIIHNNGPGLRVYQAWRGNNIFCLGGRVIFGPDVRSLFLTVFMIATPVILFCTFVSHELINEFHHHLGNLIDVICAIFTVYVMFLLFITSSQDPGIIPRNLHPPDEDGSTISTDWPAIHGGGSSLPPMKDVLVNGIVVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTMLCLYVFTFCWVNVRKIMEAYDCNHWRALLKSPFSGILILYTFVCAWFVGGLTAFHLYLICTNQTTYENFRYRYDGKTNPYNLGFLRNIIEVFLTKIPKSKNNFREKIKAEPYSVYATSMSLGHSLSPEVPKTSFDVEAGKRQAVADEDFEEIQSHIDSVGGLERCGTQPRHTNRDQKANWEIIPDIHILAADVEMDHGLRDRQKISRDH >Manes.09G172800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37669125:37673224:-1 gene:Manes.09G172800.v8.1 transcript:Manes.09G172800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADRMRGKMYAAPMPPAHRHLSGSDRQIIHNNGPGLRVYQAWRGNNVMFLLFITSSQDPGIIPRNLHPPDEDGSTISTDWPAIHGGGSSLPPMKDVLVNGIVVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTMLCLYVFTFCWVNVRKIMEAYDCNHWRALLKSPFSGILILYTFVCAWFVGGLTAFHLYLICTNQTTYENFRYRYDGKTNPYNLGFLRNIIEVFLTKIPKSKNNFREKIKAEPYSVYATSMSLGHSLSPEVPKTSFDVEAGKRQAVADEDFEEIQSHIDSVGGLERCGTQPRHTNRDQKANWEIIPDIHILAADVEMDHGLRDRQKISRDH >Manes.09G172800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37669125:37673224:-1 gene:Manes.09G172800.v8.1 transcript:Manes.09G172800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADRMRGKMYAAPMPPAHRHLSGSDRQIIHNNGPGLRVYQAWRGNNIFCLGGRVIFGPDVRSLFLTVFMIATPVILFCTFVSHELINEFHHHLGNLIDVICAIFTVMFLLFITSSQDPGIIPRNLHPPDEDGSTISTDWPAIHGGGSSLPPMKDVLVNGIVVKVKYCQTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTMLCLYVFTFCWVNVRKIMEAYDCNHWRALLKSPFSGILILYTFVCAWFVGGLTAFHLYLICTNQTTYENFRYRYDGKTNPYNLGFLRNIIEVFLTKIPKSKNNFREKIKAEPYSVYATSMSLGHSLSPEVPKTSFDVEAGKRQAVADEDFEEIQSHIDSVGGLERCGTQPRHTNRDQKANWEIIPDIHILAADVEMDHGLRDRQKISRDH >Manes.14G070800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5881779:5885810:-1 gene:Manes.14G070800.v8.1 transcript:Manes.14G070800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSGEAPESSCHHAPYSRKQKSLGLLCSNFLSFYDRGGVEIIGLDDAASKLGVERRRIYDVVNVLESVGVLARKAKNKYTWKGFAAIPKALQELKEDGLRENLSSFGKQQSSNCEKVSDYENDYEDECDSNPNTGSQNDNSIPSGIVKSTAAPRYDNRKEKSLGLLTRNFVKLFVCSKADLISLDEAAKLLFGDAHNLSIMRTKVRRLYDIANVLSSLELIEKTHTAEARKPAFKWLGWRGKPESGSGDALTESRKRKFGADVTNICFKRSQVDSSADGDRNDNLKLPNQIKVENVVTMADRSTSGQDSSKSYHFGPFAPVTVAKVGGSDNKVTQTHDWDSLESTYRPRYHNEALRELFAHYMEAWKSWCTEVLARKQYKSSDASPLCILMDSK >Manes.17G098500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30472329:30478123:-1 gene:Manes.17G098500.v8.1 transcript:Manes.17G098500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVKWRGGSTLKPYLKFIEARVSKHKRSHSDPVLIKVEKPKLNNMFEASHKLEKDKMEPPEDSVEARNRVSPNTKIQDSLKEEIIELQDQLRDQFEMHHALEKAMNYVPFPYDTKNDGSIPKATKELIKEIAVLELEVIHLERYLLSLYRDTFDQQFSSQQGLDEICKNSITHKRMFPAVPRQDITTDNHNSVNQSSHLTFHQNSKGNQPMECNGSWGPEKLLDSSIHQYQYSVSQRSIGSPSSKSVSRAVDLYHSLPLSMLEHAQNNDSNASLADYLGTNTQDYVFETPNLISEEMIKCISSIYCDLADPPLIGRDYPSPILFSSSQIELPAQGQCEMWSFHCGNFPSANLYVDNPFHIGASKELNGPYCTMAMVQWINRDSEKLKDVQQKLQDFRSLVSKLEAVDPRKLKHEEKLAFWINVHNALVMHAFLVYGIPHSNMKRMSLILKAAYNVGGHTVNIDMIQNSILGCHLLRPGQWLRHLFSSKPKFKVGDPRKLYSIKHPEPRLHFALSAGCRSDPAVRVYTPKRVFEDLEAAMEEYVQSNLIIYQDKKLHLPKLVECFAKELDLCPDGLLHMIEHLLPNSLRKSIQDFQFRKSGKSIEWIHHNFAFRYLLSKELVQ >Manes.17G098500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30472329:30478548:-1 gene:Manes.17G098500.v8.1 transcript:Manes.17G098500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVKWRGGSTLKPYLKFIEARVSKHKRSHSDPVLIKVEKPKLNNMFEASHKLEKDKMEPPEDSVEARNRVSPNTKIQDSLKEEIIELQDQLRDQFEMHHALEKAMNYVPFPYDTKNDGSIPKATKELIKEIAVLELEVIHLERYLLSLYRDTFDQQFSSQQGLDEICKNSITHKRMFPAVPRQDITTDNHNSVNQSSHLTFHQNSKGNQPMECNGSWGPEKLLDSSIHQYQYSVSQRSIGSPSSKSVSRAVDLYHSLPLSMLEHAQNNDSNASLADYLGTNTQDYVFETPNLISEEMIKCISSIYCDLADPPLIGRDYPSPILFSSSQIELPAQGQCEMWSFHCGNFPSANLYVDNPFHIGASKELNGPYCTMAMVQWINRDSEKLKDVQQKLQDFRSLVSKLEAVDPRKLKHEEKLAFWINVHNALVMHAFLVYGIPHSNMKRMSLILKAAYNVGGHTVNIDMIQNSILGCHLLRPGQWLRHLFSSKPKFKVGDPRKLYSIKHPEPRLHFALSAGCRSDPAVRVYTPKRVFEDLEAAMEEYVQSNLIIYQDKKLHLPKLVECFAKELDLCPDGLLHMIEHLLPNSLRKSIQDFQFRKSGKSIEWIHHNFAFRYLLSKELVQ >Manes.17G098500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30472323:30478564:-1 gene:Manes.17G098500.v8.1 transcript:Manes.17G098500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVKWRGGSTLKPYLKFIEARVSKHKRSHSDPVLIKVEKPKLNNMFEASHKLEKDKMEPPEDSVEARNRVSPNTKIQDSLKEEIIELQDQLRDQFEMHHALEKAMNYVPFPYDTKNDGSIPKATKELIKEIAVLELEVIHLERYLLSLYRDTFDQQFSSQQGLDEICKNSITHKRMFPAVPRQDITTDNHNSVNQSSHLTFHQNSKGNQPMECNGSWGPEKLLDSSIHQYQYSVSQRSIGSPSSKSVSRAVDLYHSLPLSMLEHAQNNDSNASLADYLGTNTQDYVFETPNLISEEMIKCISSIYCDLADPPLIGRDYPSPILFSSSQIELPAQGQCEMWSFHCGNFPSANLYVDNPFHIGASKELNGPYCTMAMVQWINRDSEKLKDVQQKLQDFRSLVSKLEAVDPRKLKHEEKLAFWINVHNALVMHAFLVYGIPHSNMKRMSLILKAAYNVGGHTVNIDMIQNSILGCHLLRPGQWLRHLFSSKPKFKVGDPRKLYSIKHPEPRLHFALSAGCRSDPAVRVYTPKRVFEDLEAAMEEYVQSNLIIYQDKKLHLPKLVECFAKELDLCPDGLLHMIEHLLPNSLRKSIQDFQFRKSGKSIEWIHHNFAFRYLLSKELVQ >Manes.17G032500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22787221:22788383:1 gene:Manes.17G032500.v8.1 transcript:Manes.17G032500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFYVIVTCLLLNQFQIPAEALGPVGVGVTYSILADNLPPAKEAIPFAYSKGFKRIRIYEPHKNALDAIIHTTMDVILGVGNEDIVKIAYEKGFAQKWVDENVKAYNDTIIFSMIAVGHDISPEDKLAEALLPAMQALQDALPSDELQPIPVVTPLTLNWLENSHPPSAGEFRRKYLPNIKSIVQFMELQLGQAILCDLYPYYAMVNDPAYAKIPIEYALLSSSQVIVQDGNIGYTNLLDGLIDAFISALEKIGQVSFRIYVGATGWPIASGSGAKLESFENAETYTRNVVSRMQTAAISPKSRTPSFTYLYNLYLQNQATGIERDFGLFYPNNGAVFPNIQYNMTPIGH >Manes.12G079700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10890314:10895731:-1 gene:Manes.12G079700.v8.1 transcript:Manes.12G079700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIEKEIMFSDEELREMSGVKRGGDYIEVTCGCTSHRYGDAVGRLRVFINGDLEITCECTPGCNEDKLTPAAFEKHSGRETARKWKNNVWVIVNGEKVPLSKTVLLKYYSQASKYANGSHRSCNGRVSHRDEFVCCNKCKKKRRFRLRTKEECRIHHDALADPNWKCADLPFDKKLMHLLFLCGGADPLPYIVYL >Manes.12G079700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10890314:10895731:-1 gene:Manes.12G079700.v8.1 transcript:Manes.12G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIEKEIMFSDEELREMSGVKRGGDYIEVTCGCTSHRYGDAVGRLRVFINGDLEITCECTPGCNEDKLTPAAFEKHSGRETARKWKNNVWVIVNGEKVPLSKTVLLKYYSQASKYANGSHRSCNGRVSHRDEFVCCNKCKKKRRFRLRTKEECRIHHDALADPNWKCADLPFDKITCDDDEERASRWVYRGCTRSPTCKGCTSCVCFGCEICRFSDCSCQTCIDFTRNAKA >Manes.18G068000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6112965:6115384:1 gene:Manes.18G068000.v8.1 transcript:Manes.18G068000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann2 MSTLIVPHTVPPVSDDCEQLRKAFSGWGTNEGLIISVLAHRNAAQRKLIRETYYEAYGEDLLKELDRELSNDFERVVLHWTLGPSERDAVLANEAAKKWTSSNQVLMEIACTRSSNELLHVRQAYHARYKKSLEEDVAQHTTGDFRKLLFPLVCSYRYEGAEVNMTLAKTEAKLIHEKISKKAYSDEDIIRVISTRSKAQINATVNEYKNAFGNDINKDLKADPKDEFLSLLRATVKCLTRPDKYFEKVLRLAINKRGTDEGALTRVVTTRAEVDMKIIKDEYQQRNSIPLETAIAKDTHGDYEDMLLALIGHKED >Manes.06G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20498789:20501172:-1 gene:Manes.06G068800.v8.1 transcript:Manes.06G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIAAYLVKCLLEKGHTVRTTVRDPGDAAKVGFLREFKGAKERLKIFKADLLVEGSFDESIQGVDGVFHTASPVLVPYDDNIQETLIDPCIKGTLNVLNSCSKASSVKRVVLTSSCSSIRYRYDVQQVCPLNESHWSDTDYCKNYNLWYAYAKTIGEKEAWRIVKEKGIDLVVVNPSFVVGPLLAPQPTSTLLLILSIVKGLRGEYPNTTVGFVHIDDVIAAHILAMEDSRASGRLICSSSVAHMSEIVEMLRAKYPSYPYENNCSSKEGDNNPHSMDTTKIAQLGFPAFKTLQQMFDECIKSFQDKGFL >Manes.02G152800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11698238:11710461:-1 gene:Manes.02G152800.v8.1 transcript:Manes.02G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHLIMPGIEVWSRNSLLNDQLQSAFLLELNSSYSSFTCGGIFLEPTVSSSLISLISSRNSSVSVSSLKSCSKHTVFAGFSRERSSQVAVSRFFLSVSLRKDGSGLVHEPKVYLVPKGDEKSVIKEEKVLGGGNAVLEGRRKRDVRVRGRGAVNTTKHLWAGATAAMVSRTFVAPLERLKLEYMVRGEQKHILDLIRIIATTQGLKGFWKGNLVNILRSAPFKAVNFCAYDTYRKQLLRLSGSEETTNSERFIAGAAAGISATILCIPLDTIRTKIVAPGGEALGGVVGAFHHMIRTEGFFSLYKGLMPSILSIAPSAAVFYGVYDILKSAYLHSPEGRKKIANTSQNGQELNALDQLELGPIRTLLYGAGACAEASTYPFEVVRRRLQLQVRSTKMSAMATCIKIIEQGGISALYAGLIPSLLQVLPSAAISYFVYEVMKIVLKVE >Manes.07G090100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28241445:28253150:1 gene:Manes.07G090100.v8.1 transcript:Manes.07G090100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSDQEMQDLRTDSGIEDSTAMTIEFLRARLLSERSVSRSARQRADELAKRVAELEEQLRIVSLQRMKAEKATADVLAILEGNGISDISETFDSSSDQDTPSESKVDNSSFQEEENSINSKIRNNEKEELSGSDIDFSPVTSRSLSWKGRKDCPRDLEKFKDISTRRRNNFGSVASSPKHCQGKSCRQIRRKESRSIAEEHKANSIKVDAIEDQVATTSENFPSCPDVKPEMHGGVVKRGEEKMLLEDSLSSCLENGQNTNGNDIDYNVYGGDRDMEKALEHQAQLIGRYEEMEKAQTEWEEKFRENNNSTPDSCDPGNHSDITEERDEIKGPATHSAATIASQTHELRSDVEDFNKIQPSGFLPSSHVDAASLEERERESSSTHVSKSSTQDFAFPLVMEKQNQDSHENNCHPPSHIPRHDSVSHGSYDSPGSQYVPSFSSTTSSHLSKVKGSENELYALVPQKTSDVVGGVLEALKAAKQSLQQRVPLVSVSVGKSAEPSLPATMLRDKGQIPVGCAGLFRIPTDFLVEDKARANFISPSSRLSLGNYYPNTGVPAAASNLLVSTPYLESKSSLSTQDQFLSSQYIGSGSSIPTQKPYFDPYLDTGVPSSSRYAYPSYPINASYPDLMPRIPSREALSASLPGRTVRMPNTNHFSFIDDNIRPNTYR >Manes.07G090100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28241174:28253150:1 gene:Manes.07G090100.v8.1 transcript:Manes.07G090100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSDQEMQDLRTDSGIEDSTAMTIEFLRARLLSERSVSRSARQRADELAKRVAELEEQLRIVSLQRMKAEKATADVLAILEGNGISDISETFDSSSDQDTPSESKVDNSSFQEEENSINSKIRNNEKEELSGSDIDFSPVTSRSLSWKGRKDCPRDLEKFKDISTRRRNNFGSVASSPKHCQGKSCRQIRRKESRSIAEEHKANSIKVDAIEDQVATTSENFPSCPDVKPEMHGGVVKRGEEKMLLEDSLSSCLENGQNTNGNDIDYNVYGGDRDMEKALEHQAQLIGRYEEMEKAQTEWEEKFRENNNSTPDSCDPGNHSDITEERDEIKGPATHSAATIASQTHELRSDVEDFNKIQPSGFLPSSHVDAASLEERERESSSTHVSKSSTQDFAFPLVMEKQNQDSHENNCHPPSHIPRHDSVSHGSYDSPGSQYVPSFSSTTSSHLSKVKGSENELYALVPQKTSDVVGGVLEALKAAKQSLQQRVPLVSVSVGKSAEPSLPATMLRDKGQIPVGCAGLFRIPTDFLVEDKARANFISPSSRLSLGNYYPNTGVPAAASNLLVSTPYLESKSSLSTQDQFLSSQYIGSGSSIPTQKPYFDPYLDTGVPSSSRYAYPSYPINASYPDLMPRIPSREALSASLPGRTVRMPNTNHFSFIDDNIRPNTYR >Manes.07G090100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28241174:28253150:1 gene:Manes.07G090100.v8.1 transcript:Manes.07G090100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSDQEMQDLRTDSGIEDSTAMTIEFLRARLLSERSVSRSARQRADELAKRVAELEEQLRIVSLQRMKAEKATADVLAILEGNGISDISETFDSSSDQDTPSESKVDNSSFQEEENSINSKIRNNEKEELSGSDIDFSPVTSRSLSWKGRKDCPRDLEKFKDISTRRRNNFGSVASSPKHCQGKSCRQIRRKESRSIAEEHKANSIKVDAIEDQVATTSENFPSCPDVKPEMHGGVVKRGEEKMLLEDSLSSCLENGQNTNGNDIDYNVYGGDRDMEKALEHQAQLIGRYEEMEKAQTEWEEKFRENNNSTPDSCDPGNHSDITEERDEIKGPATHSAATIASQTHELRSDVEDFNKIQPSGFLPSSHVDAASLEERERESSSTHVSKSSTQDFAFPLVMEKQNQDSHENNCHPPSHIPRHDSVSHGSYDSPGSQYVPSFSSTTSSHLSKVKGSENELYALVPQKTSDVVGGVLEALKAAKQSLQQRVPLVSVSVGKSAEPSLPATMLRDKGQIPVGCAGLFRIPTDFLVEDKARANFISPSSRLSLGNYYPNTGVPAAASNLLVSTPYLESKSSLSTQDQFLSSQYIGSGSSIPTQKPYFDPYLDTGVPSSSRYAYPSYPINASYPDLMPRIPSREALSASLPGRTVRMPNTNHFSFIDDNIRPNTYR >Manes.02G094300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7375679:7378105:-1 gene:Manes.02G094300.v8.1 transcript:Manes.02G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRCCSSQGNMMGQCTCGLFHSQSNSFTMLFSMPNHNNSFDEADMYPFASSSSSVDCTLSLGTPSTRLSEDDDKRSRHERRPSSCMSNFCWDILQTNKTAPYASHAHKGSRGSNSSANSNSTNNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAANAISNNNMQVHHHQEQWTSTTLIKTIHGFKPRKCHATLRPMNSGS >Manes.13G132700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34166391:34168944:1 gene:Manes.13G132700.v8.1 transcript:Manes.13G132700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPQAAATLLQPTKAGGMPSRTSSLQLRSTQTVSKAFGLDPSNARITCSLQSDLKDLAQKCVDATKLAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYLEVKGTGTANQCPTIASGVDKFAFKPGKYNAKKFCLEPTSFTVKAEGVNKNAPPEFQNTKLMTRLTYTLDEMEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVATGKPESFSGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENNKNVSSSTGKITLSVTGSNPDTGEVIGVFESYQPSDTDLGAKTPKEVKIQGIWYAQLES >Manes.18G025300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2858024:2861256:1 gene:Manes.18G025300.v8.1 transcript:Manes.18G025300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHFFNCVILTFGPHAVYYSATPLSEYDTLGTSVKAALVYLGTAIVKLVCLATFLKVSENDSFDPYQELLKALIGFVDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAIIVATMPSITSYLRRGLGWHFPKVVGFELFTSLVMAFISWQLFSACQRPSS >Manes.12G030200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2612349:2617033:-1 gene:Manes.12G030200.v8.1 transcript:Manes.12G030200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIDGDMADIETLNYDDLDSVSKLQKTQRYNDIMQKVEDALEKGSDISNQGLVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPDEVLQKTIDACDRALALDAAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQTTPPALRMRACRLLAAKSTLAARVDSTRGDPSGNTGRSLREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYALTDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVAQSKLAAKVAKKFKEKTYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >Manes.12G030200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2612349:2617033:-1 gene:Manes.12G030200.v8.1 transcript:Manes.12G030200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNDADIIEEDDVDAGNMEEDIDGDMADIETLNYDDLDSVSKLQKTQRYNDIMQKVEDALEKGSDISNQGLVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPDEVLQKTIDACDRALALDAAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQTTPPALRMRACRLLAAKSTLAARVDSTRGDPSGNTGRSLREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYALTDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVAQSKLAAKVAKKFKEKTYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >Manes.14G047228.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4093944:4101538:1 gene:Manes.14G047228.v8.1 transcript:Manes.14G047228.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSIPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKSQTVWHWLINHAKLTACNVYEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVNRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQCTSGNQLIAFLKAHLGACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGYFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVKGGRWLRTSHGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPIECFWFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWGSYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSTYKWKSEGEGSGRIWVPLGRQDELGNGKWADPTECVLHDKDQLFGLQLNVLEKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECCAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEILLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQPSMPSLPRSKLLELYRAIGVQKISESVQMEELSLEASVGRMRANPSDILIGKGLVRLILGFLADPSFNMEARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASRKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDRICPLSELIKFAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAF >Manes.14G047228.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4093944:4102512:1 gene:Manes.14G047228.v8.1 transcript:Manes.14G047228.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSIPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKSQTVWHWLINHAKLTACNVYEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVNRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQCTSGNQLIAFLKAHLGACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGYFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVKGGRWLRTSHGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPIECFWFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWGSYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSTYKWKSEGEGSGRIWVPLGRQDELGNGKWADPTECVLHDKDQLFGLQLNVLEKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECCAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEILLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQPSMPSLPRSKLLELYRAIGVQKISESVQMEELSLEASVGRMRANPSDILIGKGLVRLILGFLADPSFNMEARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASRKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDRICPLSELIKFAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAF >Manes.14G047228.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4093944:4120147:1 gene:Manes.14G047228.v8.1 transcript:Manes.14G047228.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSIPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKSQTVWHWLINHAKLTACNVYEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVNRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQCTSGNQLIAFLKAHLGACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGYFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVKGGRWLRTSHGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPIECFWFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWGSYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSTYKWKSEGEGSGRIWVPLGRQDELGNGKWADPTECVLHDKDQLFGLQLNVLEKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECCAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEILLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQPSMPSLPRSKLLELYRAIGVQKISESVQMEELSLEASVGRMRANPSDILIGKGLVRLILGFLADPSFNMEARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASRKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDRICPLSELIKFAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAF >Manes.14G047228.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4093944:4101606:1 gene:Manes.14G047228.v8.1 transcript:Manes.14G047228.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSIPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKSQTVWHWLINHAKLTACNVYEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVNRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQCTSGNQLIAFLKAHLGACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGYFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVKGGRWLRTSHGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPIECFWFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWGSYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSTYKWKSEGEGSGRIWVPLGRQDELGNGKWADPTECVLHDKDQLFGLQLNVLEKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECCAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEILLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQPSMPSLPRSKLLELYRAIGVQKISESVQMEELSLEASVGRMRANPSDILIGKGLVRLILGFLADPSFNMEARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASRKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDRICPLSELIKFAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAF >Manes.14G047228.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4093944:4120147:1 gene:Manes.14G047228.v8.1 transcript:Manes.14G047228.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSIPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKSQTVWHWLINHAKLTACNVYEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVNRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQCTSGNQLIAFLKAHLGACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGYFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVKGGRWLRTSHGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPIECFWFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWGSYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSTYKWKSEGEGSGRIWVPLGRQDELGNGKWADPTECVLHDKDQLFGLQLNVLEKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECCAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEILLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQPSMPSLPRSKLLELYRAIGVQKISESVQMEELSLEASVGRMRANPSDILIGKGLVRLILGFLADPSFNMEARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASRKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDRICPLSELIKFAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAF >Manes.02G078700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6084693:6096551:1 gene:Manes.02G078700.v8.1 transcript:Manes.02G078700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHREREIMNEEEEEKDEKQEDREYEVTGVRDRIHSSLGSRFNLIENEFGIESGNRRMFSRESVINGIRYVSRGLFIHPENRWYRAWTKFILIWAIYSSFFTPMEFGFFRGLPENLFILDIVGQLAFLFDIVLQFFIAYRDSQTYRMIYKRTPIAIRYLKSHFFIDLLACMPWDMIYKACGRKEEVRYLLWIRLSRVRKLTNFFQNMEKDIRINYLFTRIVKLTAVELYCTHTAACIFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAIVTMATVGYGDIHAVNMREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDLIKYLNRNRLGRDIRNQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIEKVPLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEVVGTGEDGSEETVSLLQPNSSFGEISLVCNIPLPYTIRVCELCRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDLAFHIGKQEAELALRVNSAAYHGDLYELKGFARAGAGLNRTDYDGRSPLHLAASRGYEDITRFLIQEGVDINIKDKFGNTPLLEAIKNGHDHVASLLVEEKAELSLDDAGSFLCSVVSHGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGLHMMAKLLIEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAHLSEFPPHSQEITGIS >Manes.02G078700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6084692:6096551:1 gene:Manes.02G078700.v8.1 transcript:Manes.02G078700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHREREIMNEEEEEKDEKQEDREYEVTGVRDRIHSSLGSRFNLIENEFGIESGNRRMFSRESVINGIRYVSRGLFIHPENRWYRAWTKFILIWAIYSSFFTPMEFGFFRGLPENLFILDIVGQLAFLFDIVLQFFIAYRDSQTYRMIYKRTPIAIRYLKSHFFIDLLACMPWDMIYKACGRKEEVRYLLWIRLSRVRKLTNFFQNMEKDIRINYLFTRIVKLTAVELYCTHTAACIFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAIVTMATVGYGDIHAVNMREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDLIKYLNRNRLGRDIRNQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIEKVPLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEVVGTGEDGSEETVSLLQPNSSFGEISLVCNIPLPYTIRVCELCRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDLAFHIGKQEAELALRVNSAAYHGDLYELKGFARAGAGLNRTDYDGRSPLHLAASRGYEDITRFLIQEGVDINIKDKFGNTPLLEAIKNGHDHVASLLVEEKAELSLDDAGSFLCSVVSHGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGLHMMAKLLIEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAHLSEFPPHSQEITGERGCSVVVVLSCLCLEN >Manes.02G078700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6084693:6096551:1 gene:Manes.02G078700.v8.1 transcript:Manes.02G078700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHREREIMNEEEEEKDEKQEDREYEVTGVRDRIHSSLGSRFNLIENEFGIESGNRRMFSRESVINGIRYVSRGLFIHPENRWYRAWTKFILIWAIYSSFFTPMEFGFFRGLPENLFILDIVGQLAFLFDIVLQFFIAYRDSQTYRMIYKRTPIAIRYLKSHFFIDLLACMPWDMIYKACGRKEEVRYLLWIRLSRVRKLTNFFQNMEKDIRINYLFTRIVKLTAVELYCTHTAACIFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAIVTMATVGYGDIHAVNMREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDLIKYLNRNRLGRDIRNQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIEKVPLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEVVGTGEDGSEETVSLLQPNSSFGEISLVCNIPLPYTIRVCELCRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDLAFHIGKQEAELALRVNSAAYHGDLYELKGFARAGAGLNRTDYDGRSPLHLAASRGYEDITRFLIQEGVDINIKDKFGNTPLLEAIKNGHDHVASLLVEEKAELSLDDAGSFLCSVVSHGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGLHMMAKLLIEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAHLSEFPPHSQEITVAYFFI >Manes.02G078700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6084693:6096551:1 gene:Manes.02G078700.v8.1 transcript:Manes.02G078700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHREREIMNEEEEEKDEKQEDREYEVTGVRDRIHSSLGSRFNLIENEFGIESGNRRMFSRESVINGIRYVSRGLFIHPENRWYRAWTKFILIWAIYSSFFTPMEFGFFRGLPENLFILDIVGQLAFLFDIVLQFFIAYRDSQTYRMIYKRTPIAIRYLKSHFFIDLLACMPWDMIYKACGRKEEVRYLLWIRLSRVRKLTNFFQNMEKDIRINYLFTRIVKLTAVELYCTHTAACIFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAIVTMATVGYGDIHAVNMREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDLIKYLNRNRLGRDIRNQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIEKVPLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEVVGTGEDGSEETVSLLQPNSSFGEISLVCNIPLPYTIRVCELCRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDLAFHIGKQEAELALRVNSAAYHGDLYELKGFARAGAGLNRTDYDGRSPLHLAASRGYEDITRFLIQEGVDINIKDKFGNTPLLEAIKNGHDHVASLLVEEKAELSLDDAGSFLCSVVSHGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGLHMMAKLLIEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAHLSEFPPHSQEITVTEKIQRRKCTIFPFHPWGPKEEKRSGIVLWVPQTLEELIKTAVEQLQLPQDCYMLTEDAGKILDVDMIDDGEKLYLISETHKI >Manes.02G078700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6084693:6096551:1 gene:Manes.02G078700.v8.1 transcript:Manes.02G078700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHREREIMNEEEEEKDEKQEDREYEVTGVRDRIHSSLGSRFNLIENEFGIESGNRRMFSRESVINGIRYVSRGLFIHPENRWYRAWTKFILIWAIYSSFFTPMEFGFFRGLPENLFILDIVGQLAFLFDIVLQFFIAYRDSQTYRMIYKRTPIAIRYLKSHFFIDLLACMPWDMIYKACGRKEEVRYLLWIRLSRVRKLTNFFQNMEKDIRINYLFTRIVKLTAVELYCTHTAACIFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAIVTMATVGYGDIHAVNMREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDLIKYLNRNRLGRDIRNQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIEKVPLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEVVGTGEDGSEETVSLLQPNSSFGEISLVCNIPLPYTIRVCELCRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDLAFHIGKQEAELALRVNSAAYHGDLYELKGFARAGAGLNRTDYDGRSPLHLAASRGYEDITRFLIQEGVDINIKDKFGNTPLLEAIKNGHDHVASLLVEEKAELSLDDAGSFLCSVVSHGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGLHMMAKLLIEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAHLSEFPPHSQEITVAYFFI >Manes.02G078700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6084693:6096551:1 gene:Manes.02G078700.v8.1 transcript:Manes.02G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHREREIMNEEEEEKDEKQEDREYEVTGVRDRIHSSLGSRFNLIENEFGIESGNRRMFSRESVINGIRYVSRGLFIHPENRWYRAWTKFILIWAIYSSFFTPMEFGFFRGLPENLFILDIVGQLAFLFDIVLQFFIAYRDSQTYRMIYKRTPIAIRYLKSHFFIDLLACMPWDMIYKACGRKEEVRYLLWIRLSRVRKLTNFFQNMEKDIRINYLFTRIVKLTAVELYCTHTAACIFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAIVTMATVGYGDIHAVNMREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDLIKYLNRNRLGRDIRNQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIEKVPLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEVVGTGEDGSEETVSLLQPNSSFGEISLVCNIPLPYTIRVCELCRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDLAFHIGKQEAELALRVNSAAYHGDLYELKGFARAGAGLNRTDYDGRSPLHLAASRGYEDITRFLIQEGVDINIKDKFGNTPLLEAIKNGHDHVASLLVEEKAELSLDDAGSFLCSVVSHGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGLHMMAKLLIEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAHLSEFPPHSQEITEKIQRRKCTIFPFHPWGPKEEKRSGIVLWVPQTLEELIKTAVEQLQLPQDCYMLTEDAGKILDVDMIDDGEKLYLISETHKI >Manes.02G078700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6084692:6096551:1 gene:Manes.02G078700.v8.1 transcript:Manes.02G078700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHREREIMNEEEEEKDEKQEDREYEVTGVRDRIHSSLGSRFNLIENEFGIESGNRRMFSRESVINGIRYVSRGLFIHPENRWYRAWTKFILIWAIYSSFFTPMEFGFFRGLPENLFILDIVGQLAFLFDIVLQFFIAYRDSQTYRMIYKRTPIAIRYLKSHFFIDLLACMPWDMIYKACGRKEEVRYLLWIRLSRVRKLTNFFQNMEKDIRINYLFTRIVKLTAVELYCTHTAACIFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAIVTMATVGYGDIHAVNMREMIFVMIYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMTDLIKYLNRNRLGRDIRNQIKGHLRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIEKVPLFKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEVVGTGEDGSEETVSLLQPNSSFGEISLVCNIPLPYTIRVCELCRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDLAFHIGKQEAELALRVNSAAYHGDLYELKGFARAGAGLNRTDYDGRSPLHLAASRGYEDITRFLIQEGVDINIKDKFGNTPLLEAIKNGHDHVASLLVEEKAELSLDDAGSFLCSVVSHGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGLHMMAKLLIEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAHLSEFPPHSQEITGERGCSVVVVLSCLCLEN >Manes.11G081400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15129699:15130742:1 gene:Manes.11G081400.v8.1 transcript:Manes.11G081400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQPNGRYKIDYYKAANSPWTLMPPPQLKEQNNALVMNKKIMAILAERDTAIQERNIALAEKKEALAARDEALQEREKALAERDKALMERDNALAAIQYRENGLNFPVGNGNQQGSKRIPHPVYNSNAVAGAINSGEMHITDAFPITTVSAETLKPRQTKRPKENKSVSSKPAKSPRKGNKVGEDLNRQGTSDGKKFKVEWDGHDAGLNLVSFDETTMPVPVCTCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLASEGHDLSVPLDLKDYWARHGTNRYITIK >Manes.11G081400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15123889:15131286:1 gene:Manes.11G081400.v8.1 transcript:Manes.11G081400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQPNGRYKIDYYKAANSPWTLMPPPQLKEQNNALVMNKKIMAILAERDTAIQERNIALAEKKEALAARDEALQEREKALAERDKALMERDNALAAIQYRENGLNFPVGNGNQQGSKRIPHPVYNSNAVAGAINSGEMHITDAFPITTVSAETLKPRQTKRPKENKSVSSKPAKSPRKGNKVGEDLNRQGTSDGKKFKVEWDGHDAGLNLVSFDETTMPVPVCTCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLASEGHDLSVPLDLKDYWARHGTNRYITIK >Manes.11G081400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15124831:15131282:1 gene:Manes.11G081400.v8.1 transcript:Manes.11G081400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQPNGRYKIDYYKAANSPWTLMPPPQLKEQNNALVMNKKIMAILAERDTAIQERNIALAEKKEALAARDEALQEREKALAERDKALMERDNALAAIQYRENGLNFPVGNGNQQGSKRIPHPVYNSNAVAGAINSGEMHITDAFPITTVSAETLKPRQTKRPKENKSVSSKPAKSPRKGNKVGEDLNRQGTSDGKKFKVEWDGHDAGLNLVSFDETTMPVPVCTCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLASEGHDLSVPLDLKDYWARHGTNRYITIK >Manes.11G081400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15123944:15131282:1 gene:Manes.11G081400.v8.1 transcript:Manes.11G081400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQLKEQNNALVMNKKIMAILAERDTAIQERNIALAEKKEALAARDEALQEREKALAERDKALMERDNALAAIQYRENGLNFPVGNGNQQGSKRIPHPVYNSNAVAGAINSGEMHITDAFPITTVSAETLKPRQTKRPKENKSVSSKPAKSPRKGNKVGEDLNRQGTSDGKKFKVEWDGHDAGLNLVSFDETTMPVPVCTCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARVGGRKMSGSVFTKLLSRLASEGHDLSVPLDLKDYWARHGTNRYITIK >Manes.10G087730.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23170934:23173975:-1 gene:Manes.10G087730.v8.1 transcript:Manes.10G087730.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRITAQKKATTSRGVARYEKLESSENGIDQAEKDKKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENCELPYQNHGHVILADPSPILFYRISSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.17G042400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23488586:23490306:1 gene:Manes.17G042400.v8.1 transcript:Manes.17G042400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGVCSQTWFTSAMSLTKSGASNVPDSYILPSSARPNATLTPSTTLPIVDLSMLHHPSLRPRVVNEIRSACKEIGFFQVINHGIPLHVMKDALDAVMGFFDLPLEEKMLLMSGNVHAPVRYGTSLNHSRDKVHFWRDFIKHYSHPISEWIHLWPANPPSYREKMAKYATAVQNLQKQLMRVVLESLGLNPNYLENEIEEGSQVRTMNCCPACPDPQLTLGMPPHSDYGSLTILLQSCTGLQIMDQNKNWIPVPVTEGALLVQLGDQVEVMSNGQYKSVVHQATVSPQRKRFSIASLHSLAINKKVGPAPELVDEKHPTSYKEFSFSDFLDYISNNDILDGRFIDTLKKNP >Manes.06G176100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29968147:29971351:1 gene:Manes.06G176100.v8.1 transcript:Manes.06G176100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEQTQQQQQPVMGVVAGAGQMSYTTNPYQTAAMMASGTPAIAVPSPTQPPTTFSNSPHQLTYQQAQHFHHQQQQQQLQMFWANQMQEIEQITDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPMVGSPAEIPYYYVPPQPPVGPPGMIMGKPVDQAAAFGAQQLRPPVAFMPWPQTQPPPPPQQEAQQQQSDT >Manes.05G199900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32456378:32463412:-1 gene:Manes.05G199900.v8.1 transcript:Manes.05G199900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRIETCYMTLQVAAVPEDVGTAGALRAIAHHLTAKDILVVTGDLVSDVPPGAVAAAHRRHDAVVTTMLCSAPVGGPAESGSSAGKDKIKKPRRYNIIGLDPTKQFLLHIATGAEVEKDIRIQKSILRAVGQMEIRSDLMDAHVYAFKRSILQEILEEKDKFQSLKQDVLPYLVRSQLRSELSLNGVSQAEENGNEKVSSQNNHVVVSQILANASTPSFHELYALGHNGSAPVRRIHKCCAYIASNTRYCARLNSIQAYSDINRDVIGEANHLSGYSFSAHNNIIHPSSQLGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVIMNHVTIGDGCSIQGSVVCSNVQLQERVGLKDCQVGAGFVVTAGCEYKGESLARKEK >Manes.05G199900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32456378:32463412:-1 gene:Manes.05G199900.v8.1 transcript:Manes.05G199900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSKKLVPLVSKEVPKPLLPVANRPVLSYVLEQLELCNLKDLIVVVEGQDAALLVGAWISSAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTAKDILVVTGDLVSDVPPGAVAAAHRRHDAVVTTMLCSAPVGGPAESGSSAGKDKIKKPRRYNIIGLDPTKQFLLHIATGAEVEKDIRIQKSILRAVGQMEIRSDLMDAHVYAFKRSILQEILEEKDKFQSLKQDVLPYLVRSQLRSELSLNGVSQAEENGNEKVSSQNNHVVVSQILANASTPSFHELYALGHNGSAPVRRIHKCCAYIASNTRYCARLNSIQAYSDINRDVIGEANHLSGYSFSAHNNIIHPSSQLGSKTTVGPHCMLGEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVIMNHVTIGDGCSIQGSVVCSNVQLQERVGLKDCQVGAGFVVTAGCEYKGESLARKEK >Manes.03G025400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2071073:2074599:1 gene:Manes.03G025400.v8.1 transcript:Manes.03G025400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKDLLPPAKSTTTTYYDHSSDPWFKQRFSSSEAEQTTVVNHKPVPPYMERKGFVPRKVEDFGDGGAFPEIHIAQYPLDMGRSKSANPGTKILPLTVDAHGNVAYDAIVKQNENAKKIVYSQHKDLIPKILRNDEDKDEDEDEDLQKEIEETTQETKAALEKIVNVRLSAAQPKNVPKQSSDSKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAVPPSSAPISSDKSAMGDVDMTGDYERVREREKDVPRESREEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDVDADVYGGADEQLDKILKTERFKPDKAFAGTSEKTGPRDRPVEFEKDAEEADPFGLDQFLTEVKKGKKAMDKVGTGGTMRASAGSSMRDGYEGGSGRTRIGFERGR >Manes.03G025400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2071020:2074599:1 gene:Manes.03G025400.v8.1 transcript:Manes.03G025400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKDLLPPAKSTTTTYYDHSSDPWFKQRFSSSEAEQTTVVNHKPVPPYMERKGFVPRKVEDFGDGGAFPEIHIAQYPLDMGRSKSANPGTKILPLTVDAHGNVAYDAIVKQNENAKKIVYSQHKDLIPKILRNDEDKDEDEDEDLQKEIEETTQETKAALEKIVNVRLSAAQPKNVPKQSSDSKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAVPPSSAPISSDKSAMGDVDMTGDYERVREREKDVPRESREEREERLQREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTAQPTLSTLYRPKKDVDADVYGGADEQLDKILKTERFKPDKAFAGTSEKTGPRDRPVEFEKDAEEADPFGLDQFLTEVKKGKKAMDKVGTGGTMRASAGSSMRDGYEGGSGRTRIGFERGR >Manes.06G004400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:881569:884044:1 gene:Manes.06G004400.v8.1 transcript:Manes.06G004400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLMPCQPYLKLPETAPATCCVPLKDMITTEAQCLCSVLTNARVLQSFNVTEADAMNLLKVCGAQADLSSCKNATSPTSSPDSPPSSSLSEKSSPPPSSSTPERGGHWWSQLERCGFISIFLVVFFLALSP >Manes.11G024000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2424957:2431285:-1 gene:Manes.11G024000.v8.1 transcript:Manes.11G024000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIRARLRRSHFHPFSCLRPRTECDEGPHPIEGPGYSRIVHCNQPRMHRKKPLKYCSNYISTTKYNIVTFVPKALFEQFRRVANIYFLLAAILSLTPVAPFSAVSMIFPLAFVVGISMVKEALEDWRRFMQDMKVNTRKASVHVADGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKPKRALEATLPLDDDEAFKDFTGTIKCEDPNPSLYTFIGNLEYDRQVYPLDPNQVLLRDSKLRNTTFVYGVVIFTGFDSKVMQNSTKSPSKRSRIERKMDKIIYILFSLLLLISLISSIGFAVKVKFQMPDWWYMQPAKPENLYDPNSPVKSGLAHLITALILYGYLIPISLYVSIEVVKVCQAKFIDEDLNMYDEETGNTAEARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAKQMAMDLEEQDAEMPTGSGLKNHSRNSWENSNGAPEIELETVITSKDEKDQKTAIKGFSFEDNRLMDGNWLKEPNTDVIILFFRILAVCQSAVPELNEETGVFTYEAESPDEGAFLVAAREFGFEFCKRTQSGVIVREKYAHPGQLVEREFKILNLLEFTSKRKRMSVIVKDEDGQILLLCKGADSIIFDRLAKHGRLYEETTTKHLNEYGEAGLRTLALAYKKLTESEYDAWNSEFMKAKTSIGGDRDGMLERVADMIERELILVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGYACSLLRQGMKQICITVINSDMMAHDSKQAAKENILNQITNASQMIKLEKDPHAAFALIIDGKTLTFALEDDMKLHFLALAVDCASVICCRVSPKQKALVTRLVKEGTGRTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSIYDDWYMLLFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPNNLFFDWYRIMGWMGNGLYSSLIIFFLNLVILFDQPFRAEGQTADMAAVGTTMFSCIICAVNCQIALTMSHFTWIQHLFVWGSIAAWFLFLLLYGMVSPIYSGNAYHILVEALGPAPIYWCSIFLVTVACNLPYLAHISFQRCIHPLDHHIIQEIKYYKKDVEDQHMWRREKSKARQETKIGFSARVDAKIRQLRGRLNKKHSTLISQSYASSPS >Manes.11G024000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2424978:2431286:-1 gene:Manes.11G024000.v8.1 transcript:Manes.11G024000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIRARLRRSHFHPFSCLRPRTECDEGPHPIEGPGYSRIVHCNQPRMHRKKPLKYCSNYISTTKYNIVTFVPKALFEQFRRVANIYFLLAAILSLTPVAPFSAVSMIFPLAFVVGISMVKEALEDWRRFMQDMKVNTRKASVHVADGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKPKRALEATLPLDDDEAFKDFTGTIKCEDPNPSLYTFIGNLEYDRQVYPLDPNQVLLRDSKLRNTTFVYGVVIFTGFDSKVMQNSTKSPSKRSRIERKMDKIIYILFSLLLLISLISSIGFAVKVKFQMPDWWYMQPAKPENLYDPNSPVKSGLAHLITALILYGYLIPISLYVSIEVVKVCQAKFIDEDLNMYDEETGNTAEARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAKQMAMDLEEQDAEMPTGSGLKNHSRNSWENSNGAPEIELETVITSKDEKDQKTAIKGFSFEDNRLMDGNWLKEPNTDVIILFFRILAVCQSAVPELNEETGVFTYEAESPDEGAFLVAAREFGFEFCKRTQSGVIVREKYAHPGQLVEREFKILNLLEFTSKRKRMSVIVKDEDGQILLLCKGADSIIFDRLAKHGRLYEETTTKHLNEYGEAGLRTLALAYKKLTESEYDAWNSEFMKAKTSIGGDRDGMLERVADMIERELILVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGYACSLLRQGMKQICITVINSDMMAHDSKQAAKENILNQITNASQMIKLEKDPHAAFALIIDGKTLTFALEDDMKLHFLALAVDCASVICCRVSPKQKALVTRLVKEGTGRTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSIYDDWYMLLFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPNNLFFDWYRIMGWMGNGLYSSLIIFFLNLVILFDQPFRAEGQTADMAAVGTTMFSCIICAVNCQIALTMSHFTWIQHLFVWGSIAAWFLFLLLYGMVSPIYSGNAYHILVEALGPAPIYWCSIFLVTVACNLPYLAHISFQRCIHPLDHHIIQEIKYYKKDVEDQHMWRREKSKARQETKIGFSARVDAKIRQLRGRLNKKHSTLISQSYASSPS >Manes.11G024000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2424978:2431212:-1 gene:Manes.11G024000.v8.1 transcript:Manes.11G024000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIRARLRRSHFHPFSCLRPRTECDEGPHPIEGPGYSRIVHCNQPRMHRKKPLKYCSNYISTTKYNIVTFVPKALFEQFRRVANIYFLLAAILSLTPVAPFSAVSMIFPLAFVVGISMVKEALEDWRRFMQDMKVNTRKASVHVADGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKPKRALEATLPLDDDEAFKDFTGTIKCEDPNPSLYTFIGNLEYDRQVYPLDPNQVLLRDSKLRNTTFVYGVVIFTGFDSKVMQNSTKSPSKRSRIERKMDKIIYILFSLLLLISLISSIGFAVKVKFQMPDWWYMQPAKPENLYDPNSPVKSGLAHLITALILYGYLIPISLYVSIEVVKVCQAKFIDEDLNMYDEETGNTAEARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAKQMAMDLEEQDAEMPTGSGLKNHSRNSWENSNGAPEIELETVITSKDEKDQKTAIKGFSFEDNRLMDGNWLKEPNTDVIILFFRILAVCQSAVPELNEETGVFTYEAESPDEGAFLVAAREFGFEFCKRTQSGVIVREKYAHPGQLVEREFKILNLLEFTSKRKRMSVIVKDEDGQILLLCKGADSIIFDRLAKHGRLYEETTTKHLNEYGEAGLRTLALAYKKLTESEYDAWNSEFMKAKTSIGGDRDGMLERVADMIERELILVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGYACSLLRQGMKQICITVINSDMMAHDSKQAAKENILNQITNASQMIKLEKDPHAAFALIIDGKTLTFALEDDMKLHFLALAVDCASVICCRVSPKQKALVTRLVKEGTGRTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSIYDDWYMLLFNVVLTSLPVISLGVFEQDVPSEVCLQFPALYQQGPNNLFFDWYRIMGWMGNGLYSSLIIFFLNLVILFDQPFRAEGQTADMAAVGTTMFSCIICAVNCQIALTMSHFTWIQHLFVWGSIAAWFLFLLLYGMVSPIYSGNAYHILVEALGPAPIYWCSIFLVTVACNLPYLAHISFQRCIHPLDHHIIQEIKYYKKDVEDQHMWRREKSKARQETKIGFSARVDAKIRQLRGRLNKKHSTLISQSYASSPS >Manes.02G183001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14627910:14629229:1 gene:Manes.02G183001.v8.1 transcript:Manes.02G183001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWVGWVGIRRLLGLRSGFSILFIFLRSSSSSSSLLLLLLWEIWFQCNERVWNNKNCVAQLVVRRSLPKVQEWRSVQVVERQSATGLVFAARWSSLYAGNVKLNVDAA >Manes.06G069600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20597481:20600467:1 gene:Manes.06G069600.v8.1 transcript:Manes.06G069600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTLSATLPNLRPPQCKEDEVCQEADTGQLAILYASLLLTALGSGGIRPCVVAFGADQFDETDPKQSTKTWRYFNWYYFVMGVSILLAVTVLVYVQDNVGWGLGLGIPTIAMFLSIIAFIIGYPLYRNLDPAGSPFTRLLQVSVAAFRKRKLSMVSDPKLLYENEELDAPISIGGRLLHTKQMKFLDKAAIVTEEDNLKAGETPNLWRLNTVHRVEELKSIIRMGPIWAAGILLITAYAQQSTFSLQQAKSMDRHISKSFQIPAGSMSVFTMTSMLTTIAIYDRFLVPFVRRFTGLERGITFLQRMGIGFVISIFATLVAGFVEIKRKHAAAANGLLNSHHTIPISVFWLVPQYSLHGIAEAFMSIGHLEFFYDQAPESMRSTATALFWTAISVGNYVSTLLVTLVHKFSAGPDGSNWLPDNNLNKGKLEYFYWLITLMQVVNLVYYLICAKMYTFKPVEIHRKEASDSIDDGVVELTNKA >Manes.06G069600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20596624:20600467:1 gene:Manes.06G069600.v8.1 transcript:Manes.06G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYMVPGRPVKQQGMKMEKMEEKGLHAKRQKGGLATMPFIFANEACEKLAVVGFNTNMISYLTGQLHMPLTKAANTLTNFGGTASLTPLLGAFFADAYAGRFWTITVASIIYQIGMTSLTLSATLPNLRPPQCKEDEVCQEADTGQLAILYASLLLTALGSGGIRPCVVAFGADQFDETDPKQSTKTWRYFNWYYFVMGVSILLAVTVLVYVQDNVGWGLGLGIPTIAMFLSIIAFIIGYPLYRNLDPAGSPFTRLLQVSVAAFRKRKLSMVSDPKLLYENEELDAPISIGGRLLHTKQMKFLDKAAIVTEEDNLKAGETPNLWRLNTVHRVEELKSIIRMGPIWAAGILLITAYAQQSTFSLQQAKSMDRHISKSFQIPAGSMSVFTMTSMLTTIAIYDRFLVPFVRRFTGLERGITFLQRMGIGFVISIFATLVAGFVEIKRKHAAAANGLLNSHHTIPISVFWLVPQYSLHGIAEAFMSIGHLEFFYDQAPESMRSTATALFWTAISVGNYVSTLLVTLVHKFSAGPDGSNWLPDNNLNKGKLEYFYWLITLMQVVNLVYYLICAKMYTFKPVEIHRKEASDSIDDGVVELTNKA >Manes.16G037100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4750714:4754300:1 gene:Manes.16G037100.v8.1 transcript:Manes.16G037100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVRLAMGLQKSPPNPKAASPPASSRKVTPQKPVFSRSFGVYFPRSSAQVQPSPPDVAHLLRLIEELRNREARLKTELLEFKLLKESVAVVPVLENDISAKNAELEKAIMKIQCLESENELLRTELSEAKVKFEEEIRESDEKVKALEADIVKLKKTLSDKESEELSSSQRFQGLMEFSTKSNLIRNLKKGVKCTDTVSANHETQNHKSEPVDVKRDEVEIERPSQSRCNSEELVDSTHSNLRSRAPKVPKPPPKRSSSSNSISLSTSSSISDQSISAAAAAPPPPASVKPAAPLPPPPPPPKGMRMGPANKVKRVPEVVEFYHSLMRRDSRRESTSGNPDVLPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREASFGYCDLKKLESEASSFRDDARQPCGPALKKMQALLEKLEHGVYNLSRMRESTTNRYKGFHIPMDWMLETGIVSQIKLASVKLAMKYMKRVSAELETIVSGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCQSQQQQKIHLAINL >Manes.03G110100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276049:23289918:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276043:23289918:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKEGTSSNVKKNNVASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276108:23289787:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKEGTSSNVKKNNVASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276043:23289918:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276058:23289787:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDHIIFILFIFVCHSACSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276108:23289787:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276108:23289787:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKEGTSSNVKKNNVASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276051:23289907:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKEGTSSNVKKNNVASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276043:23289787:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKEGTSSNVKKNNVASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276108:23289787:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276051:23289907:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGLRGSHGSSQPSAKFPAIARKYSSKMLASSLREKERVLPVICRYLGRRRVAMILLVALALLAFVWGSLKVSKASLHQHEEAGSGSLEHPCHRFALPPPPPLGGRRLGPRPCSVCYIPVELARARMPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.03G110100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23276108:23289787:1 gene:Manes.03G110100.v8.1 transcript:Manes.03G110100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSVSPVLRNLTYVVDGNPRKTELHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNKPGHHTGFDIDEADLMELQQFHEVIVASAIFGNYDIIQQPKNISEESRRTVPFYMFVDEETEAYMKNSSVLDSSMKVGLWRIIVVHNIPYNDSRRNGKVPKLLLHRILPNVQYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNASIDYQIEFYKSEGLTPYSDAKFPITSDVPEGCVIIREHVPITNLFGCLWFNEVDRFTSRDQLSFSTVRDKIMKKVKWSIDMFLDCERRNFVIQAYHRDLLEHMPSPVASVIRGRPSLNHYSLTGRTPVKDTRRNRRFSARHHRKSVAGTRNKQAISQARKFYLISNYAT >Manes.02G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10133889:10134311:-1 gene:Manes.02G133200.v8.1 transcript:Manes.02G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCNGCGMYPDISETTRTETLIAGVAPAKKFNEGSEMNLGAESGHGCKCGSNCNCDPCNCK >Manes.18G110675.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11005769:11006551:-1 gene:Manes.18G110675.v8.1 transcript:Manes.18G110675.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQLLAADQFDKKRNHRQIIDNQENGHVSTELTLSCWFPNMIKKPRTTRISSSFSLHISIVDSTPAGKKEVSTQLKLFDETWVADHDASATRKEPDGVSKESSELKPLARDTANQIIYSPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNLCRLLVASALVKDHILPFMSSETLEKIRGEGAEFCFWDFDTKTELNVALKYWHTSKSYIFNKGWLNNFVKRRNLVEGDLIGIYWDSREKIFNFSVLERAYEVYP >Manes.02G200000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16970739:16972747:-1 gene:Manes.02G200000.v8.1 transcript:Manes.02G200000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIKKETTEMVIGKQATETVTVDKTANEIVLENLTTESVSVKETMDEILSEKKTEENVEEGETKDAVTVPEETAKDAVTVPEETAKETNKEKKLVVVFVLGGPGGGKSTQCAKIAQEVGYTHLSSGDLLRQTIKSDLENGPMIEAMIREGKSVPPGITMEILQKAMVKSGNDKFILDGFPRDEEIRKAFEAATKTEPELVLFFDCSEEERERRILSREQGRVDDNAESLRKRFRYFQEHTLPVVEYYRARGLVLQIDAGRSEKDVFETLKSILGPSTARLVEEEQEPNIAEIEREISGLRV >Manes.02G200000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16970591:16972747:-1 gene:Manes.02G200000.v8.1 transcript:Manes.02G200000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIKKETTEMVIGKQATETVTVDKTANEIVLENLTTESVSVKETMDEILSEKKTEENVEEGETKDAVTVPEETAKDAVTVPEETAKETNKEKKLVVVFVLGGPGGGKSTQCAKIAQEVGYTHLSSGDLLRQTIKSDLENGPMIEAMIREGKSVPPGITMEILQKAMVKSGNDKFILDGFPRDEEIRKAFEAATKTEPELVLFFDCSEEERERRILSREQGRVDDNAESLRKRFRYFQEHTLPVVEYYRARGLVLQIDAGRSEKDVFETLKSILGPSTARLVEEEQEPNIAEIEREISGLRV >Manes.09G162400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35678084:35683131:1 gene:Manes.09G162400.v8.1 transcript:Manes.09G162400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENIEEGELSSPLVLDNRSQIRGRDDASVGGDEQGGGSSVTTVVVISTLVAVAGSYVFGSAVGYSSPTQSGIMDDLGLSVAEYSLFGSILTIGAMVGAIMSGRIADYTGRRGLMGFSEIFCIIGWLAIVFSKASWWLDVGRLLVGYGIGLLAYVVPIYIAEITPKDIRGAFTTVHQLMICCGASAMYLIGAFVPWRILALIGTIPCLFQLLGLFFIPESPRWLAKIGRWKECEAALQRLRGENADISDEAAEIKDYTEALQQHSESNIFELFQWKYAHSLIVGVGLMVLQQFGGVNGIAFYASSIFESAGFSGSVGTISMVVVQVPMTALGVVLMDISGRRPLLMISAAGTCLGCFLVALSFLSQDLHKWLEFSPFLALIGVLTYTGSFSLGMGGIPWVIMSEVFPINMKGTAGSLVTVVNWLGSWIISYAFNFLMSWSSSGTFFIFSSICGLTVLFVSKLVPETKGRTLEEIQASMNPFSAK >Manes.13G000024.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:70116:73759:1 gene:Manes.13G000024.v8.1 transcript:Manes.13G000024.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAVENKKVIFKGYIDRAPRETDMEMRTGTLELKTPKGSGAFLVKNLYLSCDPYMRGRMRDYHGSYIPPFVPGQPIQGFGVSKVVASDNPDFKPGDLVSGITGWEEYSLIQKPEQFRTVQHDDIPLSFNLGLLGMPGLTAYAGFYEVCCPKEGDNVFVSAASGAVGQLVGQLAKLHGCYVVGCAGTSQKVDLLKNKLGFHEAFNYKEEPNIDAALKRYFPEGIDIYFDNVGGDMLDAALRNMRISGRIAVCGMVSLHSLSDPCGIRNLFNLVSKRIRMQGFLQSDYLHLYPKFLKHVINNYRQGKIVYIEDMNEGLESAPAALAGLFSGKNVGKQVIRVAYE >Manes.07G038609.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4732433:4734267:-1 gene:Manes.07G038609.v8.1 transcript:Manes.07G038609.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKINNEHLPPNRIHVRKRKPVVFFTSREKKYPNGSTPKRNAGELGYWEPTGTDEAILDGKKPMGFRKSLDYYEGKQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQSKQLDEWVLCKIYNNKAEDKKNKNDEDGGTVNIAETEIPKADDVSTAQPLLCDNSLMISQEYENGYGSYLLPPLWSDPPQPVLDNVDNDPPPMNNTFNNNFAYNVQPIQICQPPSHYSNGFQPIYGRGDQVWNINSMQTSSMNDLFLMPTGQELIHGRGDQVWDINSIQTTTLNDHFYVPAEEPVFGCGNQVSNINYMVTADMNGYLLVIAEEPSPLLEPAAAEKSTREFDAQPSSSNQPMPVEGAYDHASSVHREEERQSSLFDMLQYFG >Manes.05G143500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24478640:24481130:-1 gene:Manes.05G143500.v8.1 transcript:Manes.05G143500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGVHYAPLIPSPSPSRPPAPVNNLSPSSNQNMLLSVFLALFLPCVGMSAVFLVYICLLWYAAENNQTEISPPVKQPTEKGLSPSELDKLPKITGKELVMGTECAVCLDEIEIEQPARLVPGCNHGFHLQCADTWLSKHSVCPVCRGKLDSQFFIASNENPC >Manes.05G143500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24479579:24481138:-1 gene:Manes.05G143500.v8.1 transcript:Manes.05G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGVHYAPLIPSPSPSRPPAPVNNLSPSSNQNMLLSVFLALFLPCVGMSAVFLVYICLLWYAAENNQTEISPPVKQPTEKGLSPSELDKLPKITGKELVMGTECAVCLDEIEIEQPARLVPGCNHGFHLQCADTWLSKHSVCPVCRGKLDSQFFIASNENPC >Manes.05G143500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24478640:24481130:-1 gene:Manes.05G143500.v8.1 transcript:Manes.05G143500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGVHYAPLIPSPSPSRPPAPVNNLSPSSNQNMLLSVFLALFLPCVGMSAVFLVYICLLWYAAENNQTEISPPVKQPTEKGLSPSELDKLPKITGKELVMGTECAVCLDEIEIEQPARLVPGCNHGFHLQCADTWLSKHSVCPVCRGKLDSQFFIASNENPC >Manes.09G022700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4584155:4613493:-1 gene:Manes.09G022700.v8.1 transcript:Manes.09G022700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHTYQEICFAWGLKAELKKLEDILLTVKAVLMDAEEKQVNDHQLRLWLAKLKDALYDAEDVLDEFECEDQRRRVLQLYGTTTKKVGHFFSCSNSIAFRFKMSAKVKQIREILDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIIRLLQDSSDSEQISVIPIVGIGGLGKTSLAKFVYNDERVRNHFQLQIWVCVSEEFDIKILTEKIIKSTEDGITHVEKLKNMEMDQLQRILRESIGDKKYLLILDDVWNDDPMKWNQLKELLSMGANGSKILVTTRSNKVASIMGTIPKAYELSGLPEDECVALFTKCAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLNTDESYWKSIRDSELWKIAQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYAFYNWELIQFWMAHGLLESANQNEEPEGIGSRYFQELGSRSFFQDFEIREGIWITCKMHDLVHDLALSLTQNEFLAITSSTTHISQHSVRHLLFPNSALLPQDLSTLLQGLDHVRTVIFRSDKKSHSSQSNLDLYLLRFQYLRMLELAHSKLEISLDWISALKHLRYLHVHGNSRIKKLPNSIFKLHNLQTLLLCEGIEELTSDIKYLINLKYLMFSTKQKCLPMNGIGCLTSLRFLDIRSCEKLEHLFEDMQGLKHLRTLIIGDCESLISLPQSMKYLTALEILAIGNCKNLNLTLAEKGKDDKHLAQFNLQKLILKKLPKLVDFPEWLLQGSSNTLQFLKLESCEYLKELPVCIQHIASLQQLEIENCDELNERCERGKGEDWSKIAHIPKIVINGSDIDSSYD >Manes.07G135966.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33865446:33868349:1 gene:Manes.07G135966.v8.1 transcript:Manes.07G135966.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQAVTRLKVAHTTPKLPCVTEGLPPTHKEASPSDHHRSKNTAPPPHPSRLFAARKFPRHATLSIRSRVSPADCQEFEERAVESEKSISLAMESFPCDLPPQLNQHMDRSIDEETFKSYLSHWSPLRWQEYELC >Manes.09G171750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36290938:36292598:1 gene:Manes.09G171750.v8.1 transcript:Manes.09G171750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSIEELQSSLEKVSDFDVSFLDQLMADLRTWLSASITYQETCLDGFENSTSDAGQKMKKALKTAMEMSSSGLDIIDGFANVIYHISTYHTATVVVHGDNFVAKNIGFEYSTGPTKHQAVALRFSADFAIFYNCTMDGYQDTLYTHAKRQFFRECSVSSTIAFVFGDAPVVFQNCKFMVRKPLPNQRCGAL >Manes.09G164300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35818168:35821414:1 gene:Manes.09G164300.v8.1 transcript:Manes.09G164300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRLLHLRRLLLLRLHRKLARVAMQFLLATGSLRYHLLHPDYLYYRIRELQKNYKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCAWSLEECGRYLETIKMYENKPADLIQGQMDTDYLSRLTHTLTTVRHVNKTDVVTLGSTFGSLSNIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVISSRPVVPETSSKGNEPSSINEVTDVEKEDEDASKRRKKEPELTVKSALSTAFAKYADKFGKRNDKSHGEKAGETSVAVESGALNTDSVYMTGS >Manes.09G164300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35818168:35821414:1 gene:Manes.09G164300.v8.1 transcript:Manes.09G164300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGELNRKSNPKKVVIQIPSYEEVLQSSQSKSQSLFKPSQTFSQAFSFIKNTEFYTPPPSASTSQSAPVTDDASAPSPSPSSAVASSSPKTGQSRNAILVSHRQKGNPLLKHIRNVRWSFADVICDYLLGQNSCALYLSLRYHLLHPDYLYYRIRELQKNYKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCAWSLEECGRYLETIKMYENKPADLIQGQMDTDYLSRLTHTLTTVRHVNKTDVVTLGSTFGSLSNIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVISSRPVVPETSSKGNEPSSINEVTDVEKEDEDASKRRKKEPELTVKSALSTAFAKYADKFGKRNDKSHGEKAGETSVAVESGALNTDSVYMTGS >Manes.08G060951.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:7731355:7732740:-1 gene:Manes.08G060951.v8.1 transcript:Manes.08G060951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVTRKKHKMGLKRELACISLLLLLIMSQLETPPFAVGYGKFSRFKSESASDHHLSPTNSNGVFRGKNANKDSDEIFGAEKRKVYTGPNPLHNR >Manes.14G153800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18039283:18052797:1 gene:Manes.14G153800.v8.1 transcript:Manes.14G153800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMSFLLLLVSDTEKFYTEVQEKILARYNKTFDWSLKAKMMGKKAIEAAQVFVHETGISDSLSAEDFLVEREDMLRHMFPTSELMPGASRLIGHLHAKGIPICVATGSHKRHFQLKTQRHGELFSLMHHIVLGDDPEVKKGKPSPDIFLAAARRFEGEPVDPKKILVFEDAPSGVLAAKNAGMNVVMVPDPRLDRSHHETADQVLSSLLEFDPGYWGLPSFEKGEN >Manes.14G153800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18039283:18052797:1 gene:Manes.14G153800.v8.1 transcript:Manes.14G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSVTLSVKEPITHVIFDMDGLLLDTEKFYTEVQEKILARYNKTFDWSLKAKMMGKKAIEAAQVFVHETGISDSLSAEDFLVEREDMLRHMFPTSELMPGASRLIGHLHAKGIPICVATGSHKRHFQLKTQRHGELFSLMHHIVLGDDPEVKKGKPSPDIFLAAARRFEGEPVDPKKILVFEDAPSGVLAAKNAGMNVVMVPDPRLDRSHHETADQVLSSLLEFDPGYWGLPSFEKGEN >Manes.16G067750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26046925:26048118:1 gene:Manes.16G067750.v8.1 transcript:Manes.16G067750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSPHSRAQGVFGELLMSCLECVWHLIRVHLCRIGPEGPRRPSVLAVAESVQRLPEVSRTKLNLLFYEIKCLKHVHASCLYVIG >Manes.13G130400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33900162:33902360:-1 gene:Manes.13G130400.v8.1 transcript:Manes.13G130400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDGHNLPLLCLFVVANFLSFYHCRAANGDDNSKLGASFIFGDSLVDAGNNNYLPTLSRANIRPNGIDFKASGGNPTGRYTNGRTIGDIVGEELGQPNYAIPFLAPNSTGKAILYGVNYASGGGGIMNATGRIFVNRLGMDIQIDYFNITRKEIDKLLGPSKARDYIMKKSIFSITVGSNDFLNNYLLPVLSVGARITESPDAFIDDMLNHLRGQLTRLYQLDARKFVIGNVGPIGCIPYQKTINQLKENECVELANKLALQYNGRLKDLLAELNDNLPGATFVHANVYDLVMELITNYDKYGNCYIPSFFFWYNRLQPGLEHESSQLWR >Manes.13G130400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33900162:33902360:-1 gene:Manes.13G130400.v8.1 transcript:Manes.13G130400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDGHNLPLLCLFVVANFLSFYHCRAANGDDNSKLGASFIFGDSLVDAGNNNYLPTLSRANIRPNGIDFKASGGNPTGRYTNGRTIGDIVGEELGQPNYAIPFLAPNSTGKAILYGVNYASGGGGIMNATGRIFVNRLGMDIQIDYFNITRKEIDKLLGPSKARDYIMKKSIFSITVGSNDFLNNYLLPVLSVGARITESPDAFIDDMLNHLRGQLTRLYQLDARKFVIGNVGPIGCIPYQKTINQLKENECVELANKLALQYNGRLKDLLAELNDNLPGATFVHANVYDLVMELITNYDKYGFTTATRACCGNGGQFAGIIPCGPSSSMCQDRSKHVFWDPYHPSEAANLLLAKQLLEGDKRYISPINLRQLRDL >Manes.14G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1925228:1926362:1 gene:Manes.14G018800.v8.1 transcript:Manes.14G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRVSFGVLVAIVALFAVVLPLAHAQSPVSAPSPSPTSDGTTIDQGVAYVLMLVALVLTYLIH >Manes.11G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1232046:1236967:-1 gene:Manes.11G012800.v8.1 transcript:Manes.11G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKVMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPAEVETVISRL >Manes.01G220400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38853091:38853372:1 gene:Manes.01G220400.v8.1 transcript:Manes.01G220400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFSNAKVLSALITKAINMRGFSAAAAAPQGVVSSVPRGGASMVKKTAEENIGSAEKVSWVPDPRTGFYKPENIAEEIDAVELRALLLKKH >Manes.01G250200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40912561:40916802:-1 gene:Manes.01G250200.v8.1 transcript:Manes.01G250200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNTDLFDPRTDMESVYSRGASSSDGDFGFAFNDINFSDRLLRIEIMGESPDDRSDGEGCTSIADWARHRKRRREDIKKDNAVEVSVGAEEQILSCNQPDMDDCLGCENQDEEAVAMIEESPSGDEAADFNESASSMDCSSIVRVKTLHISSPILAAKSPFFYKLFSNGMMESEQRHVTLRINASEEAALMDLLNFMYSSTLSNTTAPGLLDVLMAADKFEVASCMRYCSRLLRNMAMTPESALLYLELPSSVLMAEAVQPLTDAAKRYLASRYRDVNKFQEEVMSLPLAGIEAILSSDDLQVASEDAVYDFVLKWARIQYPQPEDRREVLGHRLARYIRFPYMTCRKLKKVLTCSDFDHDVASKLVLEALFFKAEAPHRQRILAAEESASMNRRFVERAYKYRPVKIVEFELPRQQCVVYLDLKREECTNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFGVDYEFAARTKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRH >Manes.12G086248.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12715295:12716797:-1 gene:Manes.12G086248.v8.1 transcript:Manes.12G086248.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKGNSININLENETENNVNQNFQETQELHQNQASNFQGNTSQKTMRYHYEGHFIFSPNRIYENGRFIEKPNFDVDFISFFDILDDLKKDCGFDVIKGDKFYYLKADKALSDLDALIEVKDDTYVKTMMDSYKKFLSKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATAATGSNTIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQTISSVSCCPLQVKQWNKITDDKLDHMWSTILEKFTFEYSDARNGAIFGHMNALYRYYRHKLKKKYFDSKATYSLRLRNKPKDMDVKDWKYLVNLWTENAFQERSNKNKTNRCKRSMPPYTGIKSFTRLRDHMV >Manes.12G151302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35961135:35964008:1 gene:Manes.12G151302.v8.1 transcript:Manes.12G151302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTNTHGKGNLYFLGKNRSVGLETSGQILTENTKNHNNCLQQMLPIFQKLKSYVLMASMFIILLFCYVFCAVEGAEQEIPGKINLGSSLFPTSQPTSWFSPSGRFAFGFYQQGSGFAVGIWLVGKSNRTVVWTANRNDPPVSASSTISLTEEGKLLYKIGQGGEKLIANNTEAASFASLQDSGNLVLYNAKSEIIWESFKYPTDTILGGQTLFSGAQLVSSSETSHTGRFHLKMQEDGNLVLYPLNTGDTPGDAYWRSSTLGHEFKFYLYLNSSGRLLIVNGTSSKIISTVYGNSLSANDDNSTIYRATLDIDGVLRLYSHRYDESGELKATTEWEALKSPCEVKSFCGFNSYCTYNDDQPVCLCLPGSDFIDPNHRNLGCTRNYTEAACKGGKQNPLHFNITTMENINWGDIPYVQQYMSIEECRNSCLEDCNCGAALFSENTCKKQRLPLRSIRYKRLVEHGEDGLTEELKLRLFSYDELKAATNGFREELGKGSFGAVYKGTLYKRKKLVAVKRLEKLVEEGEREFQAEMRAIGRTHHKNLVRLLGYCAVDSKRLLVYEYMSNGSLANLVFNSSGRPSWNQRIKIAIDVAKGIHYLHEECETPIIHCDIKPQNILMDEFWTAKIADFGLAKLLMPDQTRTFTVVRGTRGYLAPEWHKNTPISVKADIYSYGIVLLEIVCCRKNMELNTLKPEEIVLSTWVYNCFVAGELEKLVIGEEADKKILEKMVMVAMWCIQDEPALRPSMKSVVLMLEGITDVSVPPCPTAPSMEFNSLL >Manes.07G062308.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9125974:9179553:-1 gene:Manes.07G062308.v8.1 transcript:Manes.07G062308.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIFISIFTEVIKEPIMEFVVVPIKRHTSYPFTYKSKVEKLHHEAGKLKNRTVKLQQAVEEATRKGEEIYERVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASEGDLDSISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAVEENLFDVVAMVAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKEKKELEKENKVLIILDDIWRKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSFFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLKQLSEFDNEEIYSKVHAVLESSYNNLGSNEVKSFFLLCGLIRQSNIEIQSLLKYIMGLSLFKNISTVQEARNKVYSLIDSLKMQSLLLDGDMYGFIKIHDVVRDTALSIASREQHAFIDTSGKELMKFPNKDCTRISISYCDTENLPEGWECPKAEALLLFTEIFSLGIPHQFFKGIRNLEVVDFTGIHFLSLPSSLAFLSNLHTLCLHQCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCFKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDDNYKTSRMLKLKLKTSIHSGYGIKVLLRDTEDLCLDKVREAENLLYDIDGDGFPKLKHLRVQNNHAIQHIINGTKWAVCDAFPILESLILENLMKLEKIYHGRLTVGSFNKLKILQVRNCGRLTHLFSLSTAKSLLQLQKMEVRDCPKMETIVIDESENSYEVLKFNCLNFLNLENLPNLRSFHTKIKALPKIEEFLSEQESDAHLSLFSRMVSFPNLEHLGLYSVGCEKIWHDQLSATSSKLERLFVHGCHELKHLFTTSIVKRLLQLKTLYISFCSSMEEIILTEEFIEEEDERMNQILFPKLDELTLWNLPKLIRFCTGYQIEFQSLRNLDIDSCDALMCLVPSVPHTGMMKKQDDIEMNQNQSEKAEIQSLFNGMVGFPNLERLSLFEINELKRIWHSPLAANSFFKLKSLDVSNCQKLTAVFPSNVLERFRKMEELHVSNCASLQEIYQLEGFNVDEAFELRSLNIHELRSLKHVWKKDPQGVFSFQNLKSVKVSGCDVLNYLFLGTHIFECQKLTSLDIRDCHKIIKFSYQEIQEEGRQPLLFLEKMSPNLEELTLEHKDLIAIQQEQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVQGHNTSEQLFSYEGLAGEEEEHARTLARVKNLKLESVDNLKHIWDPDSGLKPLLHYLETLSVFNCGSLINVAPSSSSFQNLATLEVSYCAGLANLITASTAKSMVQLTKMTVRDCGMMTEIVTSDGDDHTEDEIINFDKLKFLELDDLPHLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGDLNTPKLRGILLGDQQRWEGNLNATLAEMTFCQYFKASVFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSAEIVFDLEGVSADDGLLPRLKKLQLTSLPMLRHLCNKDPRGILELKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIELNNCKMIEGIIEKEEPADKMILLPSLKSVVLKCLPRFSRLCSEWSNVECPLLEKMSIHECPSLKNIFATQTLVNTIDELHTPFLHKMFPNLKKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSHNELLPFDSDQEDITIIPQIWNPDGQLHDPLFQSCADLIVLAPSCVPLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVQEDGSTDEIVFSKMKTLKLKDLQNLTGFSLGSYTFKFPSLGQVTVLKCPKLRIFTVRKLSTPKIHGVFTGYSFKRTFHWEGDLNATIEQIYMKYVGFKYINDVQLSNFPMLKEKWHSQFPFENLEYLRKLVVDECAFFSNAISSNLLKRLSWLNKLAVERCDSVEELFELEGLNADECDVGLLESLEELRLIDLPRLVHVWNKDPPGILSFRSLALLKVENCSSLTNIFTLSMASGLVKLKRLELNGCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKWPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDAFFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMKCGRLQVLVASSVSFQNLTALQVSNCQSLVNLLSSSTARSLERLEKMKIEECELIQEVIVVEVDKEEEENEICFSRLKCLELQRLPSLSSFCSGNLTFSFPFMEEVIIVECPNMKIFAQEVSTPQLWRVQTGGHKYEWEWEGSLNNTIQALFKEKKRKNLENGRKSAENLQKDDLPSDLPKNLLQSLPRSS >Manes.13G118000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32468572:32469208:-1 gene:Manes.13G118000.v8.1 transcript:Manes.13G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLRKSLIFFLILGLLNSATEAGRSMNTSKTRDSATSRVIKGFLDGFSLGAIKQSGPSPGVGHKYVDSQTLGGIKNSGPSSGEGHNAVTGTHL >Manes.10G077210.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:16975373:16976070:1 gene:Manes.10G077210.v8.1 transcript:Manes.10G077210.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHMDHSPKWPRHMDQAADSTWPQVHHSLQWTGPRTIKPSAHLPLTAHASTHGLQLQHMGRSRHQLQAQNNATPTRLFTWPDHPHADQSIVGHASPLSRARPAHGPPTQAKLVDRASSHGQWTPSIHAHSRFDAHFAIPSSGSSPHVHLVLMDRASPNHAHEDSNSRLGRTSLTI >Manes.05G014750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1358539:1361807:1 gene:Manes.05G014750.v8.1 transcript:Manes.05G014750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMQSAPPNLPVGWRFHPTDFELLDPYLKNKRLGHLAHCFYIGEFELCNFNPSDLLPESSDEECYFFCRPEHYLENGRRKTKRKARTGFWKGTGKTISVTNKDDNEEIGTRKILVYHDPNRTKWVIHEYAFTAKLNLPFKGDFVLCKLHVNKKQTGNKKSTKIQPSSKNKKANQKLKDIKLGCKKGKPSKKARMDLSDCNAASASTFENQNLMTSSAYGEGEPHNHMTSDCENQNPNKMVAIPTHEVGDFGYQKDSNFSYGNPYDMSAFSTYNKGQESLSMTQTPYGIHNVSTCNKVETSCLLASHLEYQNPNEISIISSNEKCTPVCQWASGVEDQHPYEITTVSADNKDETSSLMDFQFETQNPLKMNFKSSYDNGIPTNPGILDFGSQNPSMNSNISVSEEGEWNHLIGVPSYFENQNQYENTDNSIPGNYWSTCIASYIQDTTFQDVEFQHNTQDMSIFEGHMINHSLDSLLGEYSFSENEIFTRDEQEDTGCSALQQPIHNKENPCHSGFGASVSTST >Manes.13G051716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6020886:6024549:-1 gene:Manes.13G051716.v8.1 transcript:Manes.13G051716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMDFVPLFIFALVVSVCSAEISSKVGINWGGLGNNLPSPTRSVELIKSIGAKQIKIYNADPDILNALKNTDFVVSIMVPNEIIANISKSQYLSDRWVQTNVVPFYPEVKIRYLLVGNEILTNPDLGTWYNLVPAMRRIKSSLKTHKIHKVKVGTPSAMNVLESSFPPSSGTFRSDISAPIMKPMLQFLNRTKSFFFVDVYPYFAWADNSKDINLDYALLESTNVTYTDPVTNLTYTNLFDQMLDAIVFAMKRLGYPDIRIFIAETGWPNNGDLDQIGANIYNAATYNRNIVKKLTTKPAIGTPARPGSVIPSFIFALYNENQKPGPGTERHFGLLYPNGSQVYEIDLSGKTPESEYTKPLPAPTNNEPYKGKIWCVAGKGANKTALGDALSYACSQGNKTCEQIKPGKSCFKPDSLVWHASYSFSSYWAQFKKLGGTCYFNGLATQTAKDPSFGHCKFPSVSLL >Manes.04G158200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35286274:35288848:-1 gene:Manes.04G158200.v8.1 transcript:Manes.04G158200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETNQESPSLPSNNNSIKEDPSRKRLSATGAGGGGGGDRLKRDEWSEGAVSCLLEAYESKWILRNRAKLKGHDWEDVARYVSSRANCTKSPKTQTQCKNKIESMKKRYRSESATADASSWHLYPRLDLLLRGSSAAAAVAPPPPQQQPPPSLQASNHPPPLILLETSHLLPQLPPQPPLPPAIVTAQNSHGSNGVDRGAKEDGDGTKLSDHVSDKNVMDTDSSTPALYSDKEKLRSKKLKMRVEQKKRRRREEWEIADSIRWLAEVVVRSEKARMDAMREIEKMRIEAEAKRGEMDLKITEIIANTQLEIAKLFARVGKGVDSSLRIGRS >Manes.15G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:820883:825419:-1 gene:Manes.15G009000.v8.1 transcript:Manes.15G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPVALLLLLALRYAIGINGEISSGQCDYKPSLNPRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGAVILGSQNPSHWDVLDPLPSYGRGIELPGKRYRSLINGYKLRDVVITGDNGTIDGQGSVWWDWFNSHSLNYSRPHLVEFIESERLVVSNLTFLNAPAYNIHPVYCSDVLVQNMSLSAPLQSPYTIGIVPDSSNNVCIEESIIEMGYDAIALKSGWDEYGISYDRATRNVHIRRVHLQSSAGSSIAFGSEMSGGISDVHVQKVHIYNSFSGIEFRTTKGRGGYIKGIYVSDVEMENVNIAFGAIDDHGLHPDSNFDPNALPILDQITLQNITGTNITIAGNFTGIQESPFTSICLFNVSLLASASSNSWICSSVIGSSESVFPEPCPELMSLYSNSSNCYTPLISYGESASL >Manes.03G096000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16429959:16437497:1 gene:Manes.03G096000.v8.1 transcript:Manes.03G096000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTFLARKGPLGTVWCAAHLQHRLKKSHYTSTDISSTVDRIMFPEVPIALRMSGHLLLGVVRIYSKKVDYLYHDCNVILIGLRKAFASTEVNLPENATTAKFESVTLPQTFDLDALDVDLDVYPDGSPDTHTRSQEEITLQDQIPTGRDPYVIITFDEDIMMDALPPEQDFDSGVQPMDKNVLPQSMVDTPHASPMDQMQLPTETLDLQEPGPSNQAEVPMDTVDNQEAPSNQTEIRSETLDFQDPVQSNQAELRTDTLDVRDSGPSNQTEVLNSVLNNGNSPLEIEVMRDLVHGFSSENLPAVFPGHQNDASDPNKSLDLGLNQKEIPSPFKEDTMPSGGQSSPFLQCPEPLNSAASQQAPEVFDTWNISPELAMRPTPPVQQPRPRQRKRKHFFDESTVLTNKFMKKALEDSSDISRKRREIPSTTLAIWKLNNTLRKEQVFYEPSLTGSSPEICNLLNKDFVPIKCHLTLEREASPDPRIATSPASPTEVIPESRDATSPAAATEVIPEPRIATSASATEHFQGPPVAQPLAPEAEPNPEIECLRHHEGHDGNSMLPELLPSPARVMTSPGRFVSSPFTRDDFTPSSLRSLESEKLPWAGTNTGTEVLPTPDIAASTGTYTTELETPRTFLEEQFDMGHTGLSNIPESMNTAQTEDLYFLEADNSPAGSEGTQGEYSLSVRTRAVAQYLKGCSPVTPVSEDHSGDLSLNKILQGKTRKLCARMFFETLVLKSYGLIDVRQEQPYSDITLKLTSTFSKAQI >Manes.03G096000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16429959:16437250:1 gene:Manes.03G096000.v8.1 transcript:Manes.03G096000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTFLARKGPLGTVWCAAHLQHRLKKSHYTSTDISSTVDRIMFPEVPIALRMSGHLLLGVVRIYSKKVDYLYHDCNVILIGLRKAFASTEVNLPENATTAKFESVTLPQTFDLDALDVDLDVYPDGSPDTHTRSQEEITLQDQIPTGRDPYVIITFDEDIMMDALPPEQDFDSGVQPMDKNVLPQSMVDTPHASPMDQMQLPTETLDLQEPGPSNQAEVPMDTVDNQEAPSNQTEIRSETLDFQDPVQSNQAELRTDTLDVRDSGPSNQTEVLNSVLNNGNSPLEIEVMRDLVHGFSSENLPAVFPGHQNDASDPNKSLDLGLNQKEIPSPFKEDTMPSGGQSSPFLQCPEPLNSAASQQAPEVFDTCTSFRNISPELAMRPTPPVQQPRPRQRKRKHFFDESTVLTNKFMKKALEDSSDISRKRREIPSTTLAIWKLNNTLRKEQVFYEPSLTGSSPEICNLLNKDFVPIKCHLTLEREASPDPRIATSPASPTEVIPESRDATSPAAATEVIPEPRIATSASATEHFQGPPVAQPLAPEAEPNPEIECLRHHEGHDGNSMLPELLPSPARVMTSPGRFVSSPFTRDDFTPSSLRSLESEKLPWAGTNTGTEVLPTPDIAASTGTYTTELETPRTFLEEQFDMGHTGLSNIPESMNTAQTEDLYFLEADNSPAGSEGTQGEYSLSVRTRAVAQYLKGCSPVTPVSEDHSGDLSLNKILQGKTRKLCARMFFETLVLKSYGLIDVRQEQPYSDITLKLTSTFSKAQI >Manes.15G073700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5560268:5562878:1 gene:Manes.15G073700.v8.1 transcript:Manes.15G073700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNLEAIKGSGRSIKVGTTGTISALMTRELESIKSASQASLSHQDKPKTDPVSVPCSVTTPRGLRAKKSLGEASTSASSSSNKHGSPETAQKMKSYNRSAHRMPMLRSENLTLERNISRDKTRKKGTNIVEVVDIKCGQPDKTWTSPITSKLKKLGFSKLSETIV >Manes.15G073700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5560529:5562314:1 gene:Manes.15G073700.v8.1 transcript:Manes.15G073700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNIDDMVQNLEAIKGSGRSIKVGTTGTISALMTRELESIKSASQASLSHQDKPKTDPVSVPCSVTTPRGLRAKKSLGEASTSASSSSNKHGSPETAQKMKSYNRSAHRMPMLRSENLTLERNISRDKTRKKGTNIVEVVDIKCGQPDKTWTSPITSKLKKLGFSKLSETIV >Manes.15G073700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5560277:5562878:1 gene:Manes.15G073700.v8.1 transcript:Manes.15G073700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNIDDMVQNLEAIKGSGRSIKVGTTGTISALMTRELESIKSASQASLSHQDKPKTDPVSVPCSVTTPRGLRAKKSLGEASTSASSSSNKHGSPETAQKMKSYNRSAHRMPMLRSENLTLERNISRDKTRKKGTNIVEVVDIKCGQPDKTWTSPITSKLKKLGFSKLSETIV >Manes.15G073700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5560277:5562878:1 gene:Manes.15G073700.v8.1 transcript:Manes.15G073700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNIDDMVQNLEAIKGSGRSIKVGTTGTISALMTRELESIKSASQASLSHQDKPKTDPVSVPCSVTTPRGLRAKKSLGEASTSASSSSNKHGSPETAQKMKSYNRSAHRMPMLRSENLTLERNISRDKTRKKGTNIVEVVDIKCGQPDKTWTSPITSKLKKLGFSKLSETIV >Manes.15G073700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5560268:5562532:1 gene:Manes.15G073700.v8.1 transcript:Manes.15G073700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNLEAIKGSGRSIKVGTTGTISALMTRELESIKSASQASLSHQDKPKTDPVSVPCSVTTPRGLRAKKSLGEASTSASSSSNKHGSPETAQKMKSYNRSAHRMPMLRSENLTLERNISRDKTRKKGTNIVEVVDIKCGQPDKTWTSPITSKLKKLGFSKLSETIV >Manes.13G137200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34513585:34516051:1 gene:Manes.13G137200.v8.1 transcript:Manes.13G137200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLLSFVLLLSLCCSLRGESFRREEEGYDYSGGMRPCMVKKENRKSLMVTEYGEISAVDISSGTRGPYHLQFMTLEPNSLFLPVLLHADMVFYVKTGSGKLSWADDEREIKRMNIKRGDVYRLHAGSIFFVQSSLEPEREKLRIYAIFSNTEEDVLEPSIGAYSNIRDLVLGFDKKLLQSAFKVPDDVIEEMTSAIRPPGIVHAVPEKKSMFLELEARFIEALAGDKDGSFYSINGRKKKTKIFNILEADRDVENCNGWSVTVGRGDLKSLRGSNIGIFMVNLTKGSMMGPHWNPMATEIAIVLHGRGMVRVVCSSNLNQTDCKNMRFSVEEGDVFAVPRFHPMAQMSFNNDSFVFMGFSTSTRKNYPQFLAGKSSVLQTLNKEILALSFNVTNTTIDGLLTPQKDAIILDCTSCAEEEETKMEEEMEREKQEEEAREREEERKREEEERKREEEEERKRQEEEERKRREEEERKRQEEEERKREEEEERKQKEEEERRRKEEEKGRENKKKQKEGKERKSKDEKKQQEGRKKKP >Manes.13G137200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34513585:34516051:1 gene:Manes.13G137200.v8.1 transcript:Manes.13G137200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSLLSFVLLLSLCCSLRGESFRREEEGYDYSGGMRPCMVKKENRKSLMVTEYGEISAVDISSGTRGPYHLQFMTLEPNSLFLPVLLHADMVFYVKTGSGKLSWADDEREIKRMNIKRGDVYRLHAGSIFFVQSSLEPEREKLRIYAIFSNTEEDVLEPSIGAYSNIRDLVLGFDKKLLQSAFKVPDDVIEEMTSAIRPPGIVHAVPEKKSMFLELEARFIEALAGDKDGSFYSINGRKKKTKIFNILEADRDVENCNGWSVTVGRGDLKSLRGSNIGIFMVNLTKGSMMGPHWNPMATEIAIVLHGRGMVRVVCSSNLNQTDCKNMRFSVEEGDVFAVPRFHPMAQMSFNNDSFVFMGFSTSTRKNYPQFLAGKSSVLQTLNKEILALSFNVTNTTIDGLLTPQKDAIILDCTSCAEEEETKMEEEMEREKQEEEAREREEERKREEEERKREEEEERKRQEEEERKRREEEERKRQEEEERKREEEEERQREEEKRREEEEAKREEEEARKQKEEEERRRKEEEKGRENKKKQKEGKERKSKDEKKQQEGRKKKP >Manes.13G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8786150:8788560:1 gene:Manes.13G068400.v8.1 transcript:Manes.13G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHQESESKEPALRQNSESTQLESLLTLDGVEEILGYRFQNRSLLEEAFTDPSVPDKCFSYERLEHVGDSVLNLLFTKEHYLKYPDLPPGALTRLRAANVDTEKLARVAVKHGLQRFLHHKKPLLEEQIREFSQAILDYPLHSNGLVDVPKVLADIVESVVGAVFIDCDFSMDTVWKVFKDLLEPIISRETLKIHPVTELYEVCQKRNLKVKFVDMWRETRAFDVLIDDQLVGRGTYDLKKEIAHNRAAKDALHNIRRILEEE >Manes.02G128400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9729796:9733894:1 gene:Manes.02G128400.v8.1 transcript:Manes.02G128400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARCWEEEEASVWPPRSYFCNFCGREFRSSQALGGHMNVHRRDRARLKQSPDFQNDFLHHQHQNLHEITLLHNPNSRVFVSPAGVSFPVMTRDKKCHKPDISMNPAELKRENVSCKRQRSDELLSPKSTSMKKNHLQAEFKRLCEDATQGLDLELRLGFSLI >Manes.S028352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2354998:2355156:1 gene:Manes.S028352.v8.1 transcript:Manes.S028352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.12G031100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2688314:2688418:-1 gene:Manes.12G031100.v8.1 transcript:Manes.12G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRLLLATVGPPIKARAGLRRKQAGRGSYRGS >Manes.05G185500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30520043:30522952:1 gene:Manes.05G185500.v8.1 transcript:Manes.05G185500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPNRNQRSKGFKKNSYDSRTGEELEKVQREHENIKLGRKDLLPRVDETTLEGESKGDKAELEEGIEEIKPEEVEDDGRGGGDDEIERHDQDRTEEEESDEVEDLIDVDDRERDVGTEEHESEEKSNQLEDASSINHRAQNGGTRNSQEAREEHYKGDDASSSVVHNIQNLSSEFQIGGLRRINVAIGLKRVVTGEEKDEFNSANSYTGSESSSLTEAVANQKPKIELNPESIIVEMLETIHHLNATATGEPTFLQAASREQNGSSGEAKESTQSNANSTFSAMSGSLGAVNHGAASVSKPMVNRHTIKLDPSTSSERNKSTML >Manes.05G185500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30520043:30522952:1 gene:Manes.05G185500.v8.1 transcript:Manes.05G185500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPNRNQRSKGFKKNSYDSRTGEELEKVQREHENIKLGRKDLLPRVDETTLEGESKGDKAELEEGIEEIKPEEVEDDGRGGGDDEIERHDQDRTEEEESDEVEDLIDVDDRERDVGTEEHESEEKSNQLEDASSINHRAQNGGTRNSQEAREEHYKGDDASSSVVHNIQNLSSEFQIGGLRRINVAIGLKRVVTGEEKDEFNSANSYTGSESSSLTEAVANQKPKIELNPESIIVEMLETIHHLNATATGEPTFLQAASREQNGSSGEAKESTQSNANSTFSAMSGSLGAVNHGAASVSKPMVNRHTIKLDPSTSSERNKSTML >Manes.05G185500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30520043:30522952:1 gene:Manes.05G185500.v8.1 transcript:Manes.05G185500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPNRNQRSKGFKVKHFLQICLLLVICIWLLNQLKLSYDKKNSYDSRTGEELEKVQREHENIKLGRKDLLPRVDETTLEGESKGDKAELEEGIEEIKPEEVEDDGRGGGDDEIERHDQDRTEEEESDEVEDLIDVDDRERDVGTEEHESEEKSNQLEDASSINHRAQNGGTRNSQEAREEHYKGDDASSSVVHNIQNLSSEFQIGGLRRINVAIGLKRVVTGEEKDEFNSANSYTGSESSSLTEAVANQKPKIELNPESIIVEMLETIHHLNATATGEPTFLQAASREQNGSSGEAKESTQSNANSTFSAMSGSLGAVNHGAASVSKPMVNRHTIKLDPSTSSERNKSTML >Manes.05G185500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30520043:30522952:1 gene:Manes.05G185500.v8.1 transcript:Manes.05G185500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPNRNQRSKGFKVKHFLQICLLLVICIWLLNQLKLSYDKKNSYDSRTGEELEKVQREHENIKLGRKDLLPRVDETTLEGESKGDKAELEEGIEEIKPEEVEDDGRGGGDDEIERHDQDRTEEEESDEVEDLIDVDDRERDVGTEEHESEEKSNQLEDASSINHRAQNGGTRNSQEAREEHYKGDDASSSVVHNIQNLSSEFQIGGLRRINVAIGLKRVVTGEEKDEFNSANSYTGSESSSLTEAVANQKPKIELNPESIIVEMLETIHHLNATATGEPTFLQAASREQNGSSGEAKESTQSNANSTFSAMSGSLGAVNHGAASVSKPMVNRHTIKLDPSTSSERNKSTML >Manes.05G185500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30520043:30522952:1 gene:Manes.05G185500.v8.1 transcript:Manes.05G185500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSPNRNQRSKGFKKNSYDSRTGEELEKVQREHENIKLGRKDLLPRVDETTLEGESKGDKAELEEGIEEIKPEEVEDDGRGGGDDEIERHDQDRTEEEESDEVEDLIDVDDRERDVGTEEHESEEKSNQLEDASSINHRAQNGGTRNSQEAREEHYKGDDASSSVVHNIQNLSSEFQIGGLRRINVAIGLKRVVTGEEKDEFNSANSYTGSESSSLTEAVANQKPKIELNPESIIVEMLETIHHLNATATGEPTFLQAASREQNGSSGEAKESTQSNANSTFSAMSGSLGAVNHGAASVSKPMVNRHTIKLDPSTSSERNKSTML >Manes.13G131200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33955355:33958051:1 gene:Manes.13G131200.v8.1 transcript:Manes.13G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHTKKICSPSISVISNPSLMDPLKLLLTLIFTLFSLIFYIYFYHARRATSCCSVPQAGGAWPVIGHLHLFGGQQLTHRTLGAMADKYGPVFTIKLGSNRVLTVNSWEMARECFTSHDRVFSTRPSIAASKLLSYNFAMFGFAPYGSYWREMRKIAMIELLSAHRMDMLKHIRASEVETAIRELYKTWVGRSRKENGVLVDMKQWFGDLTHNVALRMVEGRRCFGPYADMEEGEARRCQKVIGDFGYLFGVFVLSDAIPFLGWLDFNGYEKSMKKTAKELEFSWKFSGKEKEEQDFMDVMLNILEEAKISEFDADTINKATCLNLILAGSDTTMVTLTWALSLLLNNPHTETGDINNLIYIEAIVKETVRLYPPTPILDLRAAMEDCTLSSGCQVPAGTRLMVNAWKIQRDERVWPNPDEFQPERFLTSAHKDIDLKAQSFKLIPFGSGRRSCPGISLALQVVHFILGSFLHCFEVAKPSSSEDVDMSESPGLTNLKATPLEAVLVPRLNSRLYGL >Manes.07G133148.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29662984:29667094:1 gene:Manes.07G133148.v8.1 transcript:Manes.07G133148.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFLEITECLAEMINYVANEPSVGLFYVQQHTQNAVPNVINLKNNIKEKTHETILHTEDLEDSIAVVRSMKDCGFPIADEMIRDIRASLALMSERQPRRGLLHSATSGFQIGRTRSWGPSTWGHNRIEQESRRTSNYLSSVFKTAKETASNFKWPQFEPKDSMPTAAEKLQSHNNSSELVASASTSSSLPDMESDEQADSMPLPSQAADDLQAEDEQVEMNLLPPKVMSLLENYDEFKADKEAKLEEWLGETGEIQDKLQEDK >Manes.07G133148.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29662999:29667083:1 gene:Manes.07G133148.v8.1 transcript:Manes.07G133148.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFLEITECLAEMINYVANEPSVGLFYVQQHTQNAVPNVINLKNNIKEKTHETILHTEDLEDSIAVVRSMKDCGFPIADEMIRDIRASLALMSERQPRRGLLHSATSGFQIGRTRSWGPSTWGHNRIEQESRRTSNYLSSVFKTAKETASNFKWPQFEPKDSMPTAAEKLQSHNNSSELVASASTSSSLPDMESDEQADSMPLPSQAADDLQAEDEQVEMNLLPPKVMSLLENYDEFKADKEAKLEEWLGETGEIQDKLQEDK >Manes.07G133148.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29662928:29667094:1 gene:Manes.07G133148.v8.1 transcript:Manes.07G133148.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFLEITECLAEMINYVANEPSVGLFYVQQHTQNAVPNVINLKNNIKEKTHETILHTEDLEDSIAVVRSMKDCGFPIADEMIRDIRASLALMSERQPRRGLLHSATSGFQIGRTRSWGPSTWGHNRIEQESRRTSNYLSSVFKTAKETASNFKWPQFEPKDSMPTAAEKLQSHNNSSELVASASTSSSLPDMESDEQADSMPLPSQAADDLQAEDEQVEMNLLPPKVMSLLENYDEFKADKEAKLEEWLGETGEIQDKLQEDK >Manes.07G133148.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29662999:29667083:1 gene:Manes.07G133148.v8.1 transcript:Manes.07G133148.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYVANEPSVGLFYVQQHTQNAVPNVINLKNNIKEKTHETILHTEDLEDSIAVVRSMKDCGFPIADEMIRDIRASLALMSERQPRRGLLHSATSGFQIGRTRSWGPSTWGHNRIEQESRRTSNYLSSVFKTAKETASNFKWPQFEPKDSMPTAAEKLQSHNNSSELVASASTSSSLPDMESDEQADSMPLPSQAADDLQAEDEQVEMNLLPPKVMSLLENYDEFKADKEAKLEEWLGETGEIQDKLQEDK >Manes.07G133148.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29662928:29667095:1 gene:Manes.07G133148.v8.1 transcript:Manes.07G133148.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFLEITECLAEMINYVANEPSVGLFYVQQHTQNAVPNVINLKNNIKEKTHETILHTEDLEDSIAVVRSMKDCGFPIADEMIRDIRASLALMSERQPRRGLLHSATSGFQIGRTRSWGPSTWGHNRIEQESRRTSNYLSSVFKTAKETASNFKWPQFEPKDSMPTAAEKLQSHNNSSELVASASTSSSLPDMESDEQADSMPLPSQAADDLQAEDEQVEMNLLPPKVMSLLENYDEFKADKEAKLEEWLGETGEIQDKLQEDK >Manes.07G133148.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29662999:29667083:1 gene:Manes.07G133148.v8.1 transcript:Manes.07G133148.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFLEITECLAEMINYVANEPSVGLFYVQQHTQNAVPNVINLKNNIKEKTHETILHTEDLEDSIAVVRSMKDCGFPIADEMIRDIRASLALMSERQPRRGLLHSATSGFQIGRTRSWGPSTWGHNRIEQESRRTSNYLSSVFKTAKETASNFKWPQFEPKDSMPTAAEKLQSHNNSSELVASASTSSSLPDMESDEQADSMPLPSQAADDLQAEDEQVEMNLLPPKVMSLLENYDEFKADKEAKLEEWLGETGEIQDKLQEDK >Manes.07G133148.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29662999:29667083:1 gene:Manes.07G133148.v8.1 transcript:Manes.07G133148.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYVANEPSVGLFYVQQHTQNAVPNVINLKNNIKEKTHETILHTEDLEDSIAVVRSMKDCGFPIADEMIRDIRASLALMSERQPRRGLLHSATSGFQIGRTRSWGPSTWGHNRIEQESRRTSNYLSSVFKTAKETASNFKWPQFEPKDSMPTAAEKLQSHNNSSELVASASTSSSLPDMESDEQADSMPLPSQAADDLQAEDEQVEMNLLPPKVMSLLENYDEFKADKEAKLEEWLGETGEIQDKLQEDK >Manes.01G031700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6211520:6220215:-1 gene:Manes.01G031700.v8.1 transcript:Manes.01G031700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTADRRTRLHRKRHYKSTKRREKLSTAIPDAPIKRFSDSSAVGDYTLSEYVHLDFEKGAATTCRRSEASNKNFHLTQLQWNHSQIDSNGICQEEVWFDSVSIIDSDSDDDFSSVHGDGFGSVGNTIAYGTASRFLDTGSKYEGFYESYLKIDGGVPKDYDIATKTKKVVDDPYGSFQGLKELTCDSGEKVQDIRRKSTVIMVSLKRKSCDGQEKTQFSGAAGRLVYRPRAGFLIPSSKGEKPTPGCWSEVSPSVFKLRGENYFRDKQKSPAPDFSPYIPMGADLFACPRKISHIAQHLELPFVQPHENVPSLLIVNIQLPTYPVAMFQGECDGEGMSLVLYFRLSENFDKEISPHFQESIKKLVEDDMEKVRCFAKESTIPYRERLKILVGLVNPEDLQLSSTEKKLINAYNDKPVLSRPQHEFHRGPNYLEIDLDIHRFSYISRKGLEAFRDRMKDGIANVGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.01G031700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6211520:6220215:-1 gene:Manes.01G031700.v8.1 transcript:Manes.01G031700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTADRRTRLHRKRHYKSTKRREKLSTAIPDAPIKRFSDSSAVGDYTLSEYVHLDFEKGAATTCRRSEASNKNFHLTQLQWNHSQIDSNGICQEEVWFDSVSIIDSDSDDDFSSVHGDGFGSVGNTIAYGTASRFLDTGSKYEGFYESYLKIDGGVPKDYDIATKTKKVVDDPYGSFQGLKELTCDSGEKVQDIRRKSTVIMVSLKRKSCDGQEKTQFSGRLVYRPRAGFLIPSSKGEKPTPGCWSEVSPSVFKLRGENYFRDKQKSPAPDFSPYIPMGADLFACPRKISHIAQHLELPFVQPHENVPSLLIVNIQLPTYPVAMFQGECDGEGMSLVLYFRLSENFDKEISPHFQESIKKLVEDDMEKVRCFAKESTIPYRERLKILVGLVNPEDLQLSSTEKKLINAYNDKPVLSRPQHEFHRGPNYLEIDLDIHRFSYISRKGLEAFRDRMKDGIANVGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.01G031700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6211520:6219334:-1 gene:Manes.01G031700.v8.1 transcript:Manes.01G031700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTADRRTRLHRKRHYKSTKRREKLSTAIPDAPIKRFSDSSAVGDYTLSEYVHLDFEKGAATTCRRSEASNKNFHLTQLQWNHSQIDSNGICQEEVWFDSVSIIDSDSDDDFSSVHGDGFGSVGNTIAYGTASRFLDTGSKYEGFYESYLKIDGGVPKDYDIATKTKKVVDDPYGSFQGLKELTCDSGEKVQDIRRKSTVIMVSLKRKSCDGQEKTQFSGRLVYRPRAGFLIPSSKGEKPTPGCWSEVSPSVFKLRGENYFRDKQKSPAPDFSPYIPMGADLFACPRKISHIAQHLELPFVQPHENVPSLLIVNIQLPTYPVAMFQGECDGEGMSLVLYFRLSENFDKEISPHFQESIKKLVEDDMEKVRCFAKESTIPYRERLKILVGLVNPEDLQLSSTEKKLINAYNDKPVLSRPQHEFHRGPNYLEIDLDIHRFSYISRKGLEAFRDRMKDGIANVGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.01G031700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6211520:6219334:-1 gene:Manes.01G031700.v8.1 transcript:Manes.01G031700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTADRRTRLHRKRHYKSTKRREKLSTAIPDAPIKRFSDSSAVGDYTLSEYVHLDFEKGAATTCRRSEASNKNFHLTQLQWNHSQIDSNGICQEEVWFDSVSIIDSDSDDDFSSVHGDGFGSVGNTIAYGTASRFLDTGSKYEGFYESYLKIDGGVPKDYDIATKTKKVVDDPYGSFQGLKELTCDSGEKVQDIRRKSTVIMVSLKRKSCDGQEKTQFSGAAGRLVYRPRAGFLIPSSKGEKPTPGCWSEVSPSVFKLRGENYFRDKQKSPAPDFSPYIPMGADLFACPRKISHIAQHLELPFVQPHENVPSLLIVNIQLPTYPVAMFQGECDGEGMSLVLYFRLSENFDKEISPHFQESIKKLVEDDMEKVRCFAKESTIPYRERLKILVGLVNPEDLQLSSTEKKLINAYNDKPVLSRPQHEFHRGPNYLEIDLDIHRFSYISRKGLEAFRDRMKDGIANVGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.12G152100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36031438:36031677:-1 gene:Manes.12G152100.v8.1 transcript:Manes.12G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCSRPLILFLWILFLFIFFLGYSHASRTTNVFNINVPKSQQYKGHFFNFLPRHLPIPTSGPSRRHNDIGLQNRKSP >Manes.04G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30320631:30324466:-1 gene:Manes.04G098900.v8.1 transcript:Manes.04G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPNREWFETDAVLRVSLGNFLFFTILAVLMVGVKNQKDPRDSLHHGGWMMKIICWFILVIFTFFVPNEIVSFYESISKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEKFWYAALLVVSLVCYLATFVFSGFLFHWFTPSGQDCGLNTFFIVMTLIFVFVFAIVALHPAVSGSILPASVISFYCMYLCYSGLASEPREYECNGLHKHSKAVSTGTLTIGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGKPLLPLDKTEAEHDEKEKSKPVAYSYAFFHIIFSLASMYSAMLLTGWSTSVGGSGKLVDVGWASVWVRIVTGWATAGLYVWSLVAPIMFPDREF >Manes.04G126475.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32799966:32833948:-1 gene:Manes.04G126475.v8.1 transcript:Manes.04G126475.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMIYCAAVSLYKPSFFRPNMEQIIKVLERNMLPEEIMDWNDINGLLDGIPPNTQINMSKIYGFEELATATEFFSNAHLLGEGALGQVFKATLDGNDVVIKRLKRIRPENTLKEMKFLGVVRHPNLVKVIGYCSEGANRVLVSEFVPNRTLTYHLHGKHILDWSKRINIAIHSAKGLEYLHKHCKPKVLHGYLKTNNIFLDDNFGPKIADFGLYDFLPDPYFSVRISMECNYILNS >Manes.04G126475.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32799966:32833880:-1 gene:Manes.04G126475.v8.1 transcript:Manes.04G126475.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMIYCAAVSLYKPSFFRPNMEQIIKVLERNMLPEEIMDWNDINGLLDGIPPNTQINMSKIYGFEELATATEFFSNAHLLGEGALGQVFKATLDGNDVVIKRLKRIRPENTLKEMKFLGVVRHPNLVKVIGYCSEGANRVLVSEFVPNRTLTYHLHGKHILDWSKRINIAIHSAKGLEYLHKHYCRFWSLRFFA >Manes.07G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31044247:31046473:1 gene:Manes.07G104600.v8.1 transcript:Manes.07G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTLMSCGIATAFPSLLSSSKSKFSSSLPLPSVNGFSRFTMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVQAQEWAAVPGGQATYLGQPVPWGTLPTILVIEFLAIAFVEHQRSMEKDPEKRKYPGGAFDPLGYSKDPAKFHEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRTLSP >Manes.05G115300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11686135:11686518:1 gene:Manes.05G115300.v8.1 transcript:Manes.05G115300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTDHHSLAAPTGVAWENIMVAFCLESALEIATLSIQSGSDSHFSLTIQLFSLVILLAFICLCFGKFAGKKYPVISTLLGENLSRFLVATAFVLAITVPFPLWLKYTSWTIYALLMLAIMIFNYF >Manes.14G096902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8036532:8039120:1 gene:Manes.14G096902.v8.1 transcript:Manes.14G096902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSGLRVSDRRGELLFAILFFFLCISLFPKNWCLLPLQRVFFLLFRVCRFNFCCFFIYTSSHRYSIAGCSSCSSKLACNKFRFRFLHLSVCVGFLITVFFLDIYIC >Manes.14G096902.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8037041:8037474:1 gene:Manes.14G096902.v8.1 transcript:Manes.14G096902.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSGLRVSDRRGELLFAILFFFLCISLFPKNWCLLPLQRVFFLLFRVCRFNFCCFFIYTSSHRYSIAGCSSCSSKLACNKFRFRFLHLSVCVGFLITVFFLDIYIC >Manes.14G096902.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8036532:8039120:1 gene:Manes.14G096902.v8.1 transcript:Manes.14G096902.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSGLRVSDRRGELLFAILFFFLCISLFPKNWCLLPLQRVFFLLFRVCRFNFCCFFIYTSSHRYSIAGCSSCSSKLACNKFRFRQHVVQCSKKTT >Manes.14G096902.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8036532:8039645:1 gene:Manes.14G096902.v8.1 transcript:Manes.14G096902.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSGLRVSDRRGELLFAILFFFLCISLFPKNWCLLPLQRVFFLLFRVCRFNFCCFFIYTSSHRYSIAGCSSCSSKLACNKFRFSIYQ >Manes.14G096902.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8037041:8037616:1 gene:Manes.14G096902.v8.1 transcript:Manes.14G096902.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSGLRVSDRRGELLFAILFFFLCISLFPKNWCLLPLQRVFFLLFRVCRFNFCCFFIYTSSHRYSIAGCSSCSSKLACNKFRFSIYQ >Manes.14G096902.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8036532:8039645:1 gene:Manes.14G096902.v8.1 transcript:Manes.14G096902.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSGLRVSDRRGELLFAILFFFLCISLFPKNWCLLPLQRVFFLLFRVCRFNFCCFFIYTSSHRYSIAGCSSCSSKLACNKFRFSIYQ >Manes.09G135600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33593182:33596316:1 gene:Manes.09G135600.v8.1 transcript:Manes.09G135600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSILESIGVEIIGVMSPVSICMFLVVLIVYSLSPSNPLAAASAPTIRTAANLVYLENPSDSTAQKLEGALLNALVFVILIAVSTFILVLLYYYNFTNFLKNYMRFSAFFVLGTMGGSIFLSLIQHFSIPVDSITCFILLFNFTIVGVLSVFADGIPIFLRQGYMVALGIFVAVWFTQLPEWTTWVLLVALAVYDLVAVLAPGGPLKLLVELAQTRDEELPALVYEARPEISRNMNNHGRSLDLLIGGVSDSGSVEMQTMSNRNVNRNESETFVNSEYATIPVRNFGNMEGVGNRDDDSEMSPLVGFSRERNSSSSELSDYSTVVGNQASEIVVDQERSPLVAVLGWGNDREQGCNV >Manes.09G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33593182:33596316:1 gene:Manes.09G135600.v8.1 transcript:Manes.09G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSILESIGVEIIGVMSPVSICMFLVVLIVYSLSPSNPLAAASAPTIRTAANLVYLENPSDSTAQKLEGALLNALVFVILIAVSTFILVLLYYYNFTNFLKNYMRFSAFFVLGTMGGSIFLSLIQHFSIPVDSITCFILLFNFTIVGVLSVFADGIPIFLRQGYMVALGIFVAVWFTQLPEWTTWVLLVALAVYDLVAVLAPGGPLKLLVELAQTRDEELPALVYEARPEISRNMNNHGRSLDLLIGGVSDSGSVEMQTMSNRNVNRNESETFVNSEYATIPVRNFGNMEGVGNRDDDSEMSPLVGFSRERNSSSSELSDYSTVVGNQASEIVVDQERSPLVAVLGWGNDREQVRRDDSENSVFATKGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRQALPALPISIALGVVFYFLTRLLMEPFIVGMSTNLIMF >Manes.17G056500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25491890:25495952:1 gene:Manes.17G056500.v8.1 transcript:Manes.17G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKLNLNVPLLSVRRSSTPTFRKSSTPTSSSNGANGKKPENSHLNRRHTLPCHKSDFNLEQVTEPVAVPFHWEQIPGRPKDGSLPDPRSRKEASVTTRSPSQRMLDVIKNLKGKKTEDQDVFMPQNEGKTSRNIAVSRLDYLKEGVNEKTGFNSDNDDDDDVYSDALETLSPTDSFSVNCSVSGVSGFDNQIVKRSGTFSADLQTRDFMMSRFLPAAKAMTLETPHYASKKQPVSGEQPRQIIKVVHPGRAAPVNRNESLKALPYHQDIEDEESEDECDDCADSSSIATKGCGLLPRLCVKNSLCLLNPVPAMKLRTLASMSSARDEIKKLSKAAYSRSQSPTVKKPAKDPVNKQKQANEVRSPRLVGIENKLSCGSNRFSYASDRQMISRTSPFRRSGVISPYRNQVPQSPSGGGGFLGAPKELEDLKANKVNLFRKGFKSQELVSYHGIRRGSCPVTPPVEKTLYVDTVNVAGLLCSNSGSSDVKKGFVDSPEKDLKSLVNSREIQKTAAPESFSEDVKPLNFPGGMGKLEHKCLKSGEADLNLLSDKSPHKGETDRFEDLSQESKALVCVSTTSEGNLNVDSDQISSIDSENAKNSLVQTPLPPLLPKTPSESWLWRTLPSVSSQNLSSHLHRGTTFQSKRQDPKTSSISSKWENIVKSSYLHKDHVRYSEELFPHASQQSKS >Manes.01G048100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11348689:11355193:-1 gene:Manes.01G048100.v8.1 transcript:Manes.01G048100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRAARKTCVHVGLIRAWTTPSLWRAVGLTSKCNIEVTVKSFSSPVRGIPEFSFSSFLRGHHIFSTYKSLIRCFYATPQLSARRRNNDEPVGLKIQTKGKFKDKNRNRTQPPVEAPYVAPKLKRNTKSSLDKTVDIFEGMTVVELAKRTGQSIATLQDILVNVGEKARSEFDPLSIDVAELVGMEVGVNVRRQHSSEGAEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFIVGMPSGSSITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAIYHAKAANVPVVVAINKCDKPAANPERVKIQLASEGLQLEEMGGDVQVVEVSAVKKTGLDDLEEALLLQAEIMDLKARVDGPAQAYVVEARLDKGRGPLATAIVKTGTLVCGQHVVVGSEWGKIRAIRDMVGKLTDRAKPAMPVEIEGLKGLPMAGDDIVVVESEERARMLSAGRKRKFEKDRLIQMIDERPETIEVTDDIPVPERVEMPIIVKADVQGTVQAVTDALKTLNSPQVFVNVVHVGVGSISQSDVDLAQACGACIVGFNVKTPPSAVTMAATQARIKIMQHRVIYHLLEEVGNLIVDKAPGTSETKVAGEAEVLSIFELKGRSKSVGGDMKIAGCRVIDGQVTRTAIMRLLRSGDVVFEGSCTSLKREKQDVERVGKGNECGLMLGDCDDFRVGDVIQCLEQVVRKPKFISSESGAVRIEC >Manes.01G048100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11348689:11355193:-1 gene:Manes.01G048100.v8.1 transcript:Manes.01G048100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRAARKTCVHVGLIRAWTTPSLWRAVGLTSKCNIEVTVKSFSSPVRGIPEFSFSSFLRGHHIFSTYKSLIRCFYATPQLSARRRNNDEPVGLKIQTKGKFKDKNRNRTQPPVEAPYVAPKLKRNTKSSLDKTVDIFEGMTVVELAKRTGQSIATLQDILVNVGEKARSEFDPLSIDVAELVGMEVGVNVRRQHSSEGAEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFIVGMPSGSSITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAIYHAKAANVPVVVAINKCDKPAANPERVKIQLASEGLQLEEMGGDVQVVEVSAVKKTGLDDLEEALLLQAEIMDLKARVDGPAQAYVVEARLDKGRGPLATAIVKTGTLVCGQHVVVGSEWGKIRAIRDMVGKLTDRAKPAMPVEIEGLKGLPMAGDDIVVVESEERARMLSAGRKRKFEKDRLIQMIDERPETIEVTDDIPVPERVEMPIIVKADVQGTVQAVTDALKTLNSPQVFVNVVHVGVGSISQSDVDLAQACGACIVGFNVKTPPSAVTMAATQARIKIMQHRVIYHLLEEVGNLIVDKAPGTSETKVAGEAEVLSIFELKGRSKSVGGDMKIAGCRVIDGQVTRTAIMRLLRSGDVVFEGSCTSLKREKQDVERVGKGNECGLMLGDCDDFRVGDVIQCLEQVVRKPKFISSESGAVRIEC >Manes.01G048100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11348689:11355193:-1 gene:Manes.01G048100.v8.1 transcript:Manes.01G048100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRAARKTCVHVGLIRAWTTPSLWRAVGLTSKCNIEVTVKSFSSPVRGIPEFSFSSFLRGHHIFSTYKSLIRCFYATPQLSARRRNNDEPVGLKIQTKGKFKDKNRNRTQPPVEAPYVAPKLKRNTKSSLDKTVDIFEGMTVVELAKRTGQSIATLQDILVNVGEKARSEFDPLSIDVAELVGMEVGVNVRRQHSSEGAEILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFIVGMPSGSSITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAIYHAKAANVPVVVAINKCDKPAANPERVKIQLASEGLQLEEMGGDVQVVEVSAVKKTGLDDLEEALLLQAEIMDLKARVDGPAQAYVVEARLDKGRGPLATAIVKTGTLVCGQHVVVGSEWGKIRAIRDMVGKLTDRAKPAMPVEIEGLKGLPMAGDDIVVVESEERARMLSAGRKRKFEKDRLIQMIDERPETIEVTDDIPVPERVEMPIIVKADVQGTVQAVTDALKTLNSPQVFVNVVHVGVGSISQSDVDLAQACGACIVGFNVKTPPSAVTMAATQARIKIMQHRVIYHLLEEVGNLIVDKAPGTSETKVAGEAEVLSIFELKGRSKSVGGDMKIAGCRVIDGQVTRTAIMRLLRSGDVVFEGSCTSLKREKQDVERVGKGNECGLMLGDCDDFRVGDVIQCLEQVVRKPKFISSESGAVRIEC >Manes.09G043600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7792762:7793864:-1 gene:Manes.09G043600.v8.1 transcript:Manes.09G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTDGSGGAGGFLGSSNIGGFGYGIGVSIGILLLITTITLASYFCTRTQQPSSVANQRSDREDQSLELQNIVVDIGLDEATLKSYPKLMYSEAKLHKKDSTGACCSICLADYKNTDMLRLLPDCGHLFHLKCVDPWLRQHPTCPVCRTSPLPTPLSTPLAEVVPLASRRG >Manes.04G071000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27025447:27027816:1 gene:Manes.04G071000.v8.1 transcript:Manes.04G071000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVSGCPRSFSGLVNHPKQPFGFRRCNGIKFNDDNVIKLSQRISGGSTQYFPAKPSSNKYVLSAGRNQYQWSYQDDMPPEPFVLTLVKEVVWGVKWLFSFLIEQPSQLKYLEWPSFQSTLKTATLTLVIVALLIVVLSSVDSVLCYLLALLLRRTA >Manes.04G071000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27025447:27027765:1 gene:Manes.04G071000.v8.1 transcript:Manes.04G071000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVSGCPRSFSGLVNHPKQPFGFRRCNGIKFNDDNVIKLSQRISGGSTQYFPAKPSSNKYVLSAGRNQYQWSYQDDMPPEPFVLTLVKEVVWGVKWLFSFLIEQPSQLKYLEWPSFQSTVFESG >Manes.04G071000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27025447:27027765:1 gene:Manes.04G071000.v8.1 transcript:Manes.04G071000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVSGCPRSFSGLVNHPKQPFGFRRCNGIKFNDDNVIKLSQRISGGSTQYFPAKPSSNKYVLSAGRNQYQWSYQDDMPPEPFVLTLVKEVVWGVKWLFSFLIEQPSQLKYLEWPSFQSTLKTATLTLVIVALLIVVLSSVDSVLCYLLALLLRRTA >Manes.13G072400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10526913:10527674:-1 gene:Manes.13G072400.v8.1 transcript:Manes.13G072400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTIVAWAREFLIEVGCQEPVLEIKAKIELLLGVPVSAQILSILGWELVDGLDMEDYPIVTEGTRIDLTIKPMTPPPFNNHSGKIQITVKFSAKQINIEVDTTDTVRSLKEKIHIVESTPIKRMSLFFSGVELDEDFRNLSEYGIGEFSEIIVFLKTVNRLRDDPPTRKLNIVVKTSSALLNAASIPLEMKDSSTVNDLRSLLLSRKILPEDDYLFIHKQRIMRDNCSLRWHGVENGDSLYVFKGTVSRNGY >Manes.16G055300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:19513438:19520733:1 gene:Manes.16G055300.v8.1 transcript:Manes.16G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPEELQFLTLPDILRESIAIPKLSPKTFYLVTLILIFPLSFAILAHSLFTHPLLVQLEEHPLADPNQIRHEWTVLMIFQFCYLIFLFAFSLLSTAAVVFTVASLYTSKPVTFSSTMSAIPRVFKRLFITFLWVTLLMFLYNSMILIFLIIFVIAIDTQNVLLLFFSMVVIFAFFLVVHVYITAVWHLASVVSVLEPSYGFAAMKKSYELLKGRTRMAAVLVFGYLAICGVIGGIFGSVVVHGGENYGVFTRIVVGGFLVGVLVIVNLVGFLVQSVFYYVCKSYHHQGIDKNVLHDHLAGYLGEYVPLKSSIPMENLED >Manes.14G027700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2530236:2532072:1 gene:Manes.14G027700.v8.1 transcript:Manes.14G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVEQNGETTAVESQRSLPTPFLTKTYQLVDDQTIDDVISWNDDGSTFIVWNPTVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDCFRKGEKILLCDIQRRKISTQAVGTATQPTPVAIAASAIPTAKPMISPSNSGDGQVISTNSSPSRGVQVSGPSTELLDENERLRKENVQLSKELTEMKNLCSNIFGLVSNYAVCQSEGGFQTSEGGGFSTVKPVDFLQEKQFGGEEDDTSARLFGVAIGVKRAREGEGAAMDNDAQLQLQLQLQQPRANSVKVEPLDCQNNAMNGDDESRLAAA >Manes.11G047175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5006792:5007203:-1 gene:Manes.11G047175.v8.1 transcript:Manes.11G047175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARILAFQDLEGQYVCFVVSIPFSAFWLRSSVVSVLISLISDTWAIGSHDIKLIFLWGEHVTVACYWGSWASPMRCTVAWAWRTPTNSSFKSFIVSLFLPHS >Manes.02G145000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120553:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEQDHAEADEPFVYFPSGDVIFQQIAGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120553:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECVAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENGAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEDHAEADEPFVYFPSGDVIFQQIAGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120553:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEDHAEADEPFVYFPSGDVIFQQIAGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120607:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECVAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENGAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEQDHAEADEPFVYFPSGDVIFQQIAGITPQAGSIPNAPSSFGTDPSWNPGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120607:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEDHAEADEPFVYFPSGDVIFQQIAGITPQAGSIPNAPSSFGTDPSWNPGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120553:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECVAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEQDHAEADEPFVYFPSGDVIFQQIAGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120607:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECVAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEQDHAEADEPFVYFPSGDVIFQQIAGITPQAGSIPNAPSSFGTDPSWNPGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120607:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECVAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEDHAEADEPFVYFPSGDVIFQQIAGITPQAGSIPNAPSSFGTDPSWNPGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120553:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECVAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEDHAEADEPFVYFPSGDVIFQQIAGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120607:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEQDHAEADEPFVYFPSGDVIFQQIAGITPQAGSIPNAPSSFGTDPSWNPGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120553:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECVAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENGAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEQDHAEADEPFVYFPSGDVIFQQIAGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.02G145000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11120607:11130594:-1 gene:Manes.02G145000.v8.1 transcript:Manes.02G145000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLEVFDFKEEDELTEYSAGKMLGRFKNPSHDNPAFSKSDFLECVAQGSALEKEESGTITCVDVDAIEHGNNPCNATACAPLEAVRQESTAKEDNSHPDAAFQSESLNHVKDSCAKMDNNESKSSFTEQEGKALCCEASSPGKSLSNCALAASPSHTMQSEPVVNVKSDADASMNKSSPSTPASDIAENGAILNGHSANNNFSASKMDVINITVDYVTYRDNYWTGCLVTFSWDGIKISGIPANGDQGTFSFERGIDDIIRIESRHLQRFRAVTVKLHLLSKDAAQATNAYGVEQLEFAVAEPNCSRKLEEIASLNVKYLALSAIVPDVDASMDERFELLQQRPYFPTFDEAVEDVVYPKGDSDAVSISKRDFDLLLPETFINDTIIDFYIKYLKNQIPPKEKHRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRKVDMFGKDYVFIPVNFNLHWSLLVICHPGEVASFKDEDLGKSLRVPCILHMDSIKGTHAGLKNLVQSYLWEEWKSRQKEASEDLSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLADAPLNFSPFKINEFSKFLNVDWFPPAEASLKRTLIQRLISELLENRPRELSSGDCSDDPECSFPENSEKETRVQFVSEGCTRAVACHGNLSTSDASQGIEITLLEASSARNSDCLNDPGLVLREFFEPGVAAGPLLAHCSSFDQPSYYRLNGAMSQEMEDHAEADEPFVYFPSGDVIFQQIAGITPQAGSIPNAPSSFGTDPSWNPGISMQGENDKSSPETCATDDSDVGIIENCPVEKDLDLCQKEKIDQQRSQSVENLECLTEKIAVSSGEMLENAAIRSNEDPDRMHDANENGDLAWCQENPTSLRKDTDMVENGLHQDSEKAEIMGGDVQTMCDDVMAESDEKQMIQVNVMAESDEKRRMEEYVMAESEQQTAKRHRVTPPEEGEGDVTGSLSRELHL >Manes.05G184300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30292149:30296208:1 gene:Manes.05G184300.v8.1 transcript:Manes.05G184300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFNWWAKEGHRGTPVVVKMENPNWSMVELEGPSDEDFLIGDSPSRPIDKSRNKNARQLTWVLFLKAHKAAGYLTSIASSTVSIGSVIKRRLRSGRTDTDPEVDGDVGLENENPTVKSRFYFCIKVFLWLSVLLLGFEMAAYFKGWHFGSPHLQLQYLLATPFGFKDIFDSLYSRWVLIRVEYLAPPLQFLANVCIVLFLVQSLDRLVLCLGCFWIRFKKIKPIPKQDAIADLESGEDGFFPMVLVQIPMCNEKEVYQQSIAAACNLDWPKSKILVQVLDDSDDPTTQLLIKEEVNKWQQEGAHIVYRHRVVRQGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPAPDFLKRTVPHFKDNEELALVQARWSFVNREENLLTRLQNINLAFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKISIWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWIVCYVPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLAAKEPNNQRVASVPNLVEMKADIQQEQKARKKKHNRIYTKELALAFLLLAASARSLLSAQGIHFYFLLFQGISFLLVGFDLIGEQVQ >Manes.05G129700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22087172:22091356:1 gene:Manes.05G129700.v8.1 transcript:Manes.05G129700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEGFDPEMQFLASKQETGNEWELFKENVRPLKRGRNVRLLNDALKSHTNNHLKKSLLETRRRLIEAIDEYKGNDPLLPWLECIKWVQESFPPGGDSSGLIVIYEQCVRAFWHSDRYKDDLRYLKVWLEYAENCVDAEVIYNFLDANEIGKSHSAYYLAYALHMESKSKMKVANDIFNLGISRDASPIEKLKDAYKKFLMRSMIKPKVLEDDSGENHLQVRSFGTVLATAENRRQNMESSDIARKRLKPDRNQKAPLSIYKDSNTDTTLGHQAGKSKIDFNSWNSLGARAERNKENNAVPAKWTTYKIPQRPGPRGGGATASACIEVFVDEECVETNSSHGDGGGGKSPTLQLRQGDGLDIKKESELLRANPLRNFPLSSLPR >Manes.05G129700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22087172:22091159:1 gene:Manes.05G129700.v8.1 transcript:Manes.05G129700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEGFDPEMQFLASKQETGNEWELFKENVRPLKRGRNVRLLNDALKSHTNNHLKKSLLETRRRLIEAIDEYKGNDPLLPWLECIKWVQESFPPGGDSSGLIVIYEQCVRAFWHSDRYKDDLRYLKVWLEYAENCVDAEVIYNFLDANEIGKSHSAYYLAYALHMESKSKMKVANDIFNLGISRDASPIEKLKDAYKKFLMRSMIKPKVLEDDSGENHLQVRSFGTVLATAENRRQNMESSDIARKRLKPDRNQKAPLSIYKDSNTDTTLGHQAGKSKIDFNSWNSLGARAERNKENNAVPAKWTTYKIPQRPGPRGGGATASACIEVFVDEECVETNSSHGDGGGGKSPTLQLRQGDGLDIKKESELLRANPLRNFPLSSLPR >Manes.S049516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1653137:1653562:-1 gene:Manes.S049516.v8.1 transcript:Manes.S049516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.14G092850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7763684:7764230:1 gene:Manes.14G092850.v8.1 transcript:Manes.14G092850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSLIDLKFLIANLLHLTAQRTSQFGAVAHVNHTYPLTFMIFLGSALRNSF >Manes.13G046600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5426352:5434868:-1 gene:Manes.13G046600.v8.1 transcript:Manes.13G046600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPDLYPLTSLQIGDIKSYLSRAFLYFAPTSHKFLILVDNQSWWKSKHSKTNRLREFVITKYRVSPFKNNRALQRWPSLAYKSSSQEKKKFFNWLPDVSMASVSEKTPFSMMNLYKALHGFLVFEVAWKDVRGINYLNELQTDTSLALEVKALRKWEFSGIDQALSCISLWFSSTQTEAQTLWSSLVFLYYKVPSSSKGIKIASKELLFNASQAALFSEDVFFDVRECPIETNDKSCMNNQVEESMHGKRKENLWEDGNLEPMEYKDAFLLLGFNDRNLPFKLNQIITSDLRLLTLLEAGLPSWVIFLQSYPFFNRVYRPWMRPVFRILYAVISSITVIIGFYDLYKNVPLLKAAASHLSWPIFKWIESCDMISRIWYLGTMLFLHTFEKAVRLFLMKTQVMKLPVLVLARLLIHPLERLIGFLLPVWSIFTGIGDKICMIAEVVIQPLSSMLLVFAEVLFSPLELLYSFILNLGTLISSLFDIVWELLAIPSHGCLHLAKYMSSILLDIYEVLSRILVIFTNKKGLVLRVVQVKPSSFDMSFWHLLWNDLLSKHLQLL >Manes.13G046600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5426352:5434868:-1 gene:Manes.13G046600.v8.1 transcript:Manes.13G046600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPDLYPLTSLQIGDIKSYLSRAFLYFAPTSHKFLILVDNQSWWKSKHSKTNRLREFVITKYRVSPFKNNRALQRWPSLAYKSSSQEKKKFFNWLPDVSMASVSEKTPFSMMNLYKALHGFLVFEVAWKDVRGINYLNELQTDTSLALEVKALRKWEFSGIDQALSCISLWFSSTQTEAQTLWSSLVFLYYKVPSSSKGIKIASKELLFNASQAALFSEDVFFDVRECPIETNDKSCMNNQVEESMHGKRKENLWEDGNLEPMEYKDAFLLLGFNDRNLPFKLNQIITSDLRLLTLLEAGLPSWVIFLQSYPFFNRVYRPWMRPVFRILYAVISSITVIIGFYDLYKNVPLLKAAASHLSWPIFKWIESCDMISRIWYLGTMLFLHTFEKAVRLFLMKTQVMKLPVLVLARLLIHPLERLIGFLLPVWSIFTGIGDKICMIAEVVIQPLSSMLLVFAEVLFSPLELLYSFILNLGTLISSLFDIVWELLAIPSHGCLHLAKYMSSILLDIYEVLSRILVIFTNKKGLVLRVVQVKPSSFDMSFWHLLWNDLLSKVCLIACML >Manes.13G046600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5426352:5434868:-1 gene:Manes.13G046600.v8.1 transcript:Manes.13G046600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPDLYPLTSLQIGDIKSYLSRAFLYFAPTSHKFLILVDNQSWWKSKHSKTNRLREFVITKYRVSPFKNNRALQRWPSLAYKSSSQEKKKFFNWLPDVSMASVSEKTPFSMMNLYKALHGFLVFEVAWKDVRGINYLNELQTDTSLALEVKALRKWEFSGIDQALSCISLWFSSTQTEAQTLWSSLVFLYYKVPSSSKGIKIASKELLFNASQAALFSEDVFFDVRECPIETNDKSCMNNQVEESMHGKRKENLWEDGNLEPMEYKDAFLLLGFNDRNLPFKLNQIITSDLRLLTLLEAGLPSWVIFLQSYPFFNRVYRPWMRPVFRILYAVISSITVIIGFYDLYKNVPLLKAAASHLSWPIFKWIESCDMISRIWYLGTMLFLHTFEKAVRLFLMKTQVMKLPVLVLARLLIHPLERLIGFLLPVWSIFTGIGDKICMIAEVVIQPLSSMLLVFAEVLFSPLELLYSFILNLGTLISSLFDIVWELLAIPSHGCLHLAKYMSSILLDIYEVLSRILVIFTNKKGLVLRVVQVKPSSFDMSFWHLLWNDLLSKVFRSLKNIICVLVTFFTSCNRHRLSIYNYCKAVLQHRYHLFRLAHLQYRYRSVPQLESHSHHQVANKERQNLR >Manes.13G046600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5426352:5434869:-1 gene:Manes.13G046600.v8.1 transcript:Manes.13G046600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSEKTPFSMMNLYKALHGFLVFEVAWKDVRGINYLNELQTDTSLALEVKALRKWEFSGIDQALSCISLWFSSTQTEAQTLWSSLVFLYYKVPSSSKGIKIASKELLFNASQAALFSEDVFFDVRECPIETNDKSCMNNQVEESMHGKRKENLWEDGNLEPMEYKDAFLLLGFNDRNLPFKLNQIITSDLRLLTLLEAGLPSWVIFLQSYPFFNRVYRPWMRPVFRILYAVISSITVIIGFYDLYKNVPLLKAAASHLSWPIFKWIESCDMISRIWYLGTMLFLHTFEKAVRLFLMKTQVMKLPVLVLARLLIHPLERLIGFLLPVWSIFTGIGDKICMIAEVVIQPLSSMLLVFAEVLFSPLELLYSFILNLGTLISSLFDIVWELLAIPSHGCLHLAKYMSSILLDIYEVLSRILVIFTNKKGLVLRVVQVKPSSFDMSFWHLLWNDLLSKVFRSLKNIICVLVTFFTSCNRHRLSIYNYCKAVLQHRYHLFRLAHLQYRYRSVPQLESHSHHQVANKERQNLR >Manes.13G046600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5426352:5434869:-1 gene:Manes.13G046600.v8.1 transcript:Manes.13G046600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSEKTPFSMMNLYKALHGFLVFEVAWKDVRGINYLNELQTDTSLALEVKALRKWEFSGIDQALSCISLWFSSTQTEAQTLWSSLVFLYYKVPSSSKGIKIASKELLFNASQAALFSEDVFFDVRECPIETNDKSCMNNQVEESMHGKRKENLWEDGNLEPMEYKDAFLLLGFNDRNLPFKLNQIITSDLRLLTLLEAGLPSWVIFLQSYPFFNRVYRPWMRPVFRILYAVISSITVIIGFYDLYKNVPLLKAAASHLSWPIFKWIESCDMISRIWYLGTMLFLHTFEKAVRLFLMKTQVMKLPVLVLARLLIHPLERLIGFLLPVWSIFTGIGDKICMIAEVVIQPLSSMLLVFAEVLFSPLELLYSFILNLGTLISSLFDIVWELLAIPSHGCLHLAKYMSSILLDIYEVLSRILVIFTNKKGLVLRVVQVKPSSFDMSFWHLLWNDLLSKVFRSLKNIICVLVTFFTSCNRHRLSIYNYCKAVLQHRYHLFRLAHLQYRYRSVPQLESHSHHQVANKERQNLR >Manes.13G046600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5426352:5434868:-1 gene:Manes.13G046600.v8.1 transcript:Manes.13G046600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPDLYPLTSLQIGDIKSYLSRAFLYFAPTSHKFLILVDNQSWWKSKHSKTNRLREFVITKYRVSPFKNNRALQRWPSLAYKSSSQEKKKFFNWLPDVSMASVSEKTPFSMMNLYKALHGFLVFEVAWKDVRGINYLNELQTDTSLALEVKALRKWEFSGIDQALSCISLWFSSTQTEAQTLWSSLVFLYYKVPSSSKGIKIASKELLFNASQAALFSEDVFFDVRECPIETNDKSCMNNQVEESMHGKRKENLWEDGNLEPMEYKDAFLLLGFNDRNLPFKLNQIITSDLRLLTLLEAGLPSWVIFLQSYPFFNRVYRPWMRPVFRILYAVISSITVIIGFYDLYKNVPLLKAAASHLSWPIFKWIESCDMISRIWYLGTMLFLHTFEKAVRLFLMKTQVMKLPVLVLARLLIHPLERLIGFLLPVWSIFTGIGDKICMIAEVVIQPLSSMLLVFAEVLFSPLELLYSFILNLGTLISSLFDIVWELLAIPSHGCLHLAKYMSSILLDIYEVLSRILVIFTNKKGLVLRVVQVKPSSFDMSFWHLLWNDLLSKVFRSLKNIICVLVTFFTSCNRHRLSIYNYCKAVLQHRYHLFRLAHLQYRYRSVPQLESHSHHQVANKERQNLR >Manes.13G046600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5426352:5434868:-1 gene:Manes.13G046600.v8.1 transcript:Manes.13G046600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSEKTPFSMMNLYKALHGFLVFEVAWKDVRGINYLNELQTDTSLALEVKALRKWEFSGIDQALSCISLWFSSTQTEAQTLWSSLVFLYYKVPSSSKGIKIASKELLFNASQAALFSEDVFFDVRECPIETNDKSCMNNQVEESMHGKRKENLWEDGNLEPMEYKDAFLLLGFNDRNLPFKLNQIITSDLRLLTLLEAGLPSWVIFLQSYPFFNRVYRPWMRPVFRILYAVISSITVIIGFYDLYKNVPLLKAAASHLSWPIFKWIESCDMISRIWYLGTMLFLHTFEKAVRLFLMKTQVMKLPVLVLARLLIHPLERLIGFLLPVWSIFTGIGDKICMIAEVVIQPLSSMLLVFAEVLFSPLELLYSFILNLGTLISSLFDIVWELLAIPSHGCLHLAKYMSSILLDIYEVLSRILVIFTNKKGLVLRVVQVKPSSFDMSFWHLLWNDLLSKVFRSLKNIICVLVTFFTSCNRHRLSIYNYCKAVLQHRYHLFRLAHLQYRYRSVPQLESHSHHQVANKERQNLR >Manes.02G209960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24811282:24813457:1 gene:Manes.02G209960.v8.1 transcript:Manes.02G209960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITPYYQNVSRATTRKYCFSAYEIEKKKVKALLKDTDKVSVTTNLWKYGQHISYMVVTAHFVDSEWKLHKHTLNFCDVPPPHTGVVICDVLQKCLVEWGIEDNVWTIVVDNTSYNDVAVRILKDNLAYKNSLALHGKLFHVRCCADILNLLVQDGLSEIVDIIKNVHESVKHLVAYKSRCLIFSEIAKQLKLPSKKFLLDCGIRWNATYFILSAALEFKDVFHRYQQRDSSYIYLPSEDDWQEVKEVCYFLEEFNKVTNVISGTEYPTSNLFLLELHSIKKLLDEAHENENIYMKAMVGKMKCKFDKYWGD >Manes.14G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3205863:3209499:1 gene:Manes.14G036600.v8.1 transcript:Manes.14G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQNCVSYAILVTWVLLVCSCEASFALTSEALALLKWKEGLGNQSIIQSWVLSPEDANSGNTSHCKWRGIMCNDAGSVTEINLAYTGLTGTLQDLDFSSFPNLLRLDLKVNKLTGTVPSNIGLLSKLQFLDLSTNSLHGTLPLSLANLTQVYELDVSRNNITGVLDPRLFPDGTGAAKTGLISLKNLLLQTTALGGRIPQEIGNLKYLSLLALDENHFYGPIPRSLGNLSELSILRLSGNLLSGNIPPNLGTLSKLTDLRLLTNKLSGQVPEELGNLSSLTVLHLSENNFTGQLPQQVCQGGKLVNFSAAFNNFSGPIPVSLKNCHSLYRVRLEHNQLTGVVDQDFGVYPNLTYVDLSFNNFRGELSANWGECKNLTVLRIAGNMLSGKIPVEIGQLNSLAVLDLSFNQISGEIPAQLGKLSKLLFLSLKDNWLSGQVPVEIGELSSLQSLDLSMNMLSGPIPYQIGECTRLRLLSLAKNSLNGTIPYQIGNLVASQNLLDLSSNFLTGEIPSQLGKLTSLEQLNLSCNNLSGAIPASLSNMWSLIAVNFSYNNLEGPLPDSNIFRSSQPSAYSNNKDLCSGFVKGLKPCNATPERKNGRNKKNRVVIVVAPLAGGLFLSLAFVGVVGLLHPWSSRNFSKDGSKSSSTEDPFSMCYFNGRIVYEDIIKATKNFNDTYCIGEGGTGKVYKVEMPGSQILAVKKLNYLGRDGEAERIKSFSYEVAALAELRHRNIVKLHGFCSRGKHIFLVYEFIKNGSLANMLSSDKGAKELDWEKRIRVVKDFGTARFLKPDSSNWTTIAGTYGYIAPELACTGVVTEKCDVYSFGVLTLEVMIGKHPGELISYLQSLSSRCIHLDDVLDARLSPPSDQQLADKLSCLLTISLSCLRANPQSRPSMRTVSQLLEIKASSD >Manes.14G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3205863:3209499:1 gene:Manes.14G036600.v8.1 transcript:Manes.14G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQNCVSYAILVTWVLLVCSCEASFALTSEALALLKWKEGLGNQSIIQSWVLSPEDANSGNTSHCKWRGIMCNDAGSVTEINLAYTGLTGTLQDLDFSSFPNLLRLDLKVNKLTGTVPSNIGLLSKLQFLDLSTNSLHGTLPLSLANLTQVYELDVSRNNITGVLDPRLFPDGTGAAKTGLISLKNLLLQTTALGGRIPQEIGNLKYLSLLALDENHFYGPIPRSLGNLSELSILRLSGNLLSGNIPPNLGTLSKLTDLRLLTNKLSGQVPEELGNLSSLTVLHLSENNFTGQLPQQVCQGGKLVNFSAAFNNFSGPIPVSLKNCHSLYRVRLEHNQLTGVVDQDFGVYPNLTYVDLSFNNFRGELSANWGECKNLTVLRIAGNMLSGKIPVEIGQLNSLAVLDLSFNQISGEIPAQLGKLSKLLFLSLKDNWLSGQVPVEIGELSSLQSLDLSMNMLSGPIPYQIGECTRLRLLSLAKNSLNGTIPYQIGNLVASQNLLDLSSNFLTGEIPSQLGKLTSLEQLNLSCNNLSGAIPASLSNMWSLIAVNFSYNNLEGPLPDSNIFRSSQPSAYSNNKDLCSGFVKGLKPCNATPERKNGRNKKNRVVIVVAPLAGGLFLSLAFVGVVGLLHPWSSRNFSKDGSKSSSTEDPFSMCYFNGRIVYEDIIKATKNFNDTYCIGEGGTGKVYKVEMPGSQILAVKKLNYLGRDGEAERIKSFSYEVAALAELRHRNIVKLHGFCSRGKHIFLVYEFIKNGSLANMLSSDKGAKELDWEKRIRVVKGVAHALNYMHHDCDPPVVHRDISSNNVLLNSELEAHVSDFGTARFLKPDSSNWTTIAGTYGYIAPELACTGVVTEKCDVYSFGVLTLEVMIGKHPGELISYLQSLSSRCIHLDDVLDARLSPPSDQQLADKLSCLLTISLSCLRANPQSRPSMRTVSQLLEIKASSD >Manes.09G054700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8888784:8889980:-1 gene:Manes.09G054700.v8.1 transcript:Manes.09G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKPFRKQLHRDIIIDNDGGASVNHVVSLTSTTYGALKLDINEKRQQQQEHEQEPIKEIVAESKKLQQRSPPREEPEIINAWELMKDLEDGGLPISNHPKKSPKMRALRREIADMDARSPLKFMNQIGSPRKAKTFGGKENKVKKVSEFSPRAVLKAKNSSGKSSKAMLRLSYPVKSSPIWTKTENAESFSPLFDPELVELYERELSEEEGKIKKIISTTPRPQKSKNARELESILHSFEQKCPTGGENEVVIYTTTLRGIRKTFEECNTVRSIIESYHIHMLERDVSMDSGFKEEIRELMGTKEVKLPLVFVKGRFIGGADQVVKLEEEGKLEILFDGVPRGLASDCHGCAGLRFMMCVKCNGSCKVLDEKHKKMVKCGECNENGLRHCPICC >Manes.07G095808.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30018982:30045278:-1 gene:Manes.07G095808.v8.1 transcript:Manes.07G095808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVRELFRDTDSTEFVIVTIPTVMAVSESSRLRASLQKENVPVKRLIVNQILPPSASDCKFCAMKRRDQMRALEMIQNDPELSSLTLIHAPLVDVEIRGVPALKFLGDIIWK >Manes.01G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28836576:28840284:-1 gene:Manes.01G086400.v8.1 transcript:Manes.01G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDMESFNNVKQWLSEIERYASENVNKLLVGNKSDLTANKAVSYETAKAFADEIGIPFMETSAKNSTNVEQAFMAMAAEIKNRMASQPAMNNARPPTVQIRGQPVNQKSSGCCSS >Manes.08G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5822416:5825105:1 gene:Manes.08G054200.v8.1 transcript:Manes.08G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKICLFVWFKRLFDSEGKPRTEKRSFRWRWILRSLKFKQRPVLPSLPSHKNQRLIDEATEKQRKFAMTAALATKAAAEAAVAAAQAAAEVVRLTGSSQSRFHFTKKDEHFAAIKIQSAFRGFLQARKALRALKGLVTLQAIVRGQAVREQVVVKLKRSPSDAKMLSRVRAKSILDIDNIGNEGGNKQLSKLKELGDMDNMLECKSQRSWDYSKLSKEDMESLWFKKQEASIKRDRMMKFSFSQRERRNTRRLEESISDKETGRQNRWLEQLTDKDAFVTQWTENLKSSAISSLSTGEIFGEVQVKTKGTRKQDSVEGFNSPVSFPRRSFCHTQRNAAEDESSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDTCFDHSLSYKNRQFLWSSYDGELFGSYGSSSCASQGVSLSINRHC >Manes.08G054200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5822408:5825105:1 gene:Manes.08G054200.v8.1 transcript:Manes.08G054200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKICLFVWFKRLFDSEGKPRTEKRSFRWRWILRSLKFKQRPVLPSLPSHKNQRLIDEATEKQRKFAMTAALATKAAAEAAVAAAQAAAEVVRLTGSSQSRFHFTKKDEHFAAIKIQSAFRGFLQARKALRALKGLVTLQAIVRGQAVREQVVVKLKRSPSDAKMLSRVRAKSILDIDNIGNEGGNKQLSKLKELGDMDNMLECKSQRSWDYSKLSKEDMESLWFKKQEASIKRDRMMKFSFSQRERRNTRRLEESISDKETGRQNRWLEQLTDKDAFVTQWTENLKSSAISSLSTGEIFGEVQVKTKGTRKQDSVEGFNSPVSFPRRSFCHTQRNAAEDESSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDTCFDHSLSYKNRQFLWSSYDGELFGSYGSSSCASQGVSLSINRHC >Manes.08G054200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5822408:5825105:1 gene:Manes.08G054200.v8.1 transcript:Manes.08G054200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKICLFVWFKRLFDSEGKPRTEKRSFRWRWILRSLKFKQRPVLPSLPSHKNQRLIDEATEKQRKFAMTAALATKAAAEAAVAAAQAAAEVVRLTGSSQSRFHFTKKDEHFAAIKIQSAFRGFLARKALRALKGLVTLQAIVRGQAVREQVVVKLKRSPSDAKMLSRVRAKSILDIDNIGNEGGNKQLSKLKELGDMDNMLECKSQRSWDYSKLSKEDMESLWFKKQEASIKRDRMMKFSFSQRERRNTRRLEESISDKETGRQNRWLEQLTDKDAFVTQWTENLKSSAISSLSTGEIFGEVQVKTKGTRKQDSVEGFNSPVSFPRRSFCHTQRNAAEDESSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDTCFDHSLSYKNRQFLWSSYDGELFGSYGSSSCASQGVSLSINRHC >Manes.08G054200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5822416:5825105:1 gene:Manes.08G054200.v8.1 transcript:Manes.08G054200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKICLFVWFKRLFDSEGKPRTEKRSFRWRWILRSLKFKQRPVLPSLPSHKNQRLIDEATEKQRKFAMTAALATKAAAEAAVAAAQAAAEVVRLTGSSQSRFHFTKKDEHFAAIKIQSAFRGFLARKALRALKGLVTLQAIVRGQAVREQVVVKLKRSPSDAKMLSRVRAKSILDIDNIGNEGGNKQLSKLKELGDMDNMLECKSQRSWDYSKLSKEDMESLWFKKQEASIKRDRMMKFSFSQRERRNTRRLEESISDKETGRQNRWLEQLTDKDAFVTQWTENLKSSAISSLSTGEIFGEVQVKTKGTRKQDSVEGFNSPVSFPRRSFCHTQRNAAEDESSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDTCFDHSLSYKNRQFLWSSYDGELFGSYGSSSCASQGVSLSINRHC >Manes.08G054200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5822416:5825105:1 gene:Manes.08G054200.v8.1 transcript:Manes.08G054200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKICLFVWFKRLFDSEGKPRTEKRSFRWRWILRSLKFKQRPVLPSLPSHKNQRLIDEATEKQRKFAMTAALATKAAAEAAVAAAQAAAEVVRLTGSSQSRFHFTKKDEHFAAIKIQSAFRGFLQARKALRALKGLVTLQAIVRGQAVREQVVVKLKRSPSDAKMLSRVRAKSILDIDNIGNEGGNKQLSKLKELGDMDNMLECKSQRSWDYSKLSKEDMESLWFKKQEASIKRDRMMKFSFSQRERRNTRRLEESISDKETGRQNRWLEQLTDKDAFVTQWTENLKSSAISSLSTGEIFGEVQVKTKGTRKQDSVEGFNSPVSFPRRSFCHTQRNAAEDESSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDTCFDHSLSYKNRQFLWSSYDGELFGSYGSSSCASQGVSLSINRHC >Manes.08G054200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5822416:5825105:1 gene:Manes.08G054200.v8.1 transcript:Manes.08G054200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKICLFVWFKRLFDSEGKPRTEKRSFRWRWILRSLKFKQRPVLPSLPSHKNQRLIDEATEKQRKFAMTAALATKAAAEAAVAAAQAAAEVVRLTGSSQSRFHFTKKDEHFAAIKIQSAFRGFLARKALRALKGLVTLQAIVRGQAVREQVVVKLKRSPSDAKMLSRVRAKSILDIDNIGNEGGNKQLSKLKELGDMDNMLECKSQRSWDYSKLSKEDMESLWFKKQEASIKRDRMMKFSFSQRERRNTRRLEESISDKETGRQNRWLEQLTDKDAFVTQWTENLKSSAISSLSTGEIFGEVQVKTKGTRKQDSVEGFNSPVSFPRRSFCHTQRNAAEDESSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDTCFDHSLSYKNRQFLWSSYDGELFGSYGSSSCASQGVSLSINRHC >Manes.08G054200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5822416:5825105:1 gene:Manes.08G054200.v8.1 transcript:Manes.08G054200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKICLFVWFKRLFDSEGKPRTEKRSFRWRWILRSLKFKQRPVLPSLPSHKNQRLIDEATEKQRKFAMTAALATKAAAEAAVAAAQAAAEVVRLTGSSQSRFHFTKKDEHFAAIKIQSAFRGFLQARKALRALKGLVTLQAIVRGQAVREQVVVKLKRSPSDAKMLSRVRAKSILDIDNIGNEGGNKQLSKLKELGDMDNMLECKSQRSWDYSKLSKEDMESLWFKKQEASIKRDRMMKFSFSQRERRNTRRLEESISDKETGRQNRWLEQLTDKDAFVTQWTENLKSSAISSLSTGEIFGEVQVKTKGTRKQDSVEGFNSPVSFPRRSFCHTQRNAAEDESSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDTCFDHSLSYKNRQFLWSSYDGELFGSYGSSSCASQGVSLSINRHC >Manes.08G054200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5822416:5825105:1 gene:Manes.08G054200.v8.1 transcript:Manes.08G054200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKICLFVWFKRLFDSEGKPRTEKRSFRWRWILRSLKFKQRPVLPSLPSHKNQRLIDEATEKQRKFAMTAALATKAAAEAAVAAAQAAAEVVRLTGSSQSRFHFTKKDEHFAAIKIQSAFRGFLARKALRALKGLVTLQAIVRGQAVREQVVVKLKRSPSDAKMLSRVRAKSILDIDNIGNEGGNKQLSKLKELGDMDNMLECKSQRSWDYSKLSKEDMESLWFKKQEASIKRDRMMKFSFSQRERRNTRRLEESISDKETGRQNRWLEQLTDKDAFVTQWTENLKSSAISSLSTGEIFGEVQVKTKGTRKQDSVEGFNSPVSFPRRSFCHTQRNAAEDESSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDTCFDHSLSYKNRQFLWSSYDGELFGSYGSSSCASQGVSLSINRHC >Manes.04G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27322266:27325304:1 gene:Manes.04G076200.v8.1 transcript:Manes.04G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLCSSSPALRFHLKPTPFLPSLPRFHSPKLGLPSLSRPDFRRLNLVSCNHENPSTPSIELPALSLPLVHSPTGSTLKSHFPQQVANGSPVQQKAVNLGTFIVLSSVIIFLIHPVFASPAFATFQTAAKTRGPAGAGAAAVGAKLLHTELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRSWVESAVVGALWGCGHDAGQVIFGLLFLLLKDRLHIEIIRTWGTRVVGFTLLVIGAMGIREASEVSTPCVALENGECDVTVYESLEIPTLGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLIMFLLGTVVAMGSYTVFIGSCSQALKDKVPRITEKLTWISSLIAIALGLAIIISQFFGFSLY >Manes.04G082196.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29202720:29204588:-1 gene:Manes.04G082196.v8.1 transcript:Manes.04G082196.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLECNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFGDLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPPERFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSWELPSYKFENGDSEYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWV >Manes.05G096400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8845473:8854718:-1 gene:Manes.05G096400.v8.1 transcript:Manes.05G096400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLALIEQSFNSCYSIWARQVLDELPESFTITDPCISGHPIVFANSAFFKMFGYSKDEVIGRNGRIFQGPKTNRTSVLEIREAIREERALQISLLNYRKDGTPFWMLFHMSPVFRKEDGRVIHFVAVQVPILGTQRLNGSNLSEEGSGFRAIVFGSCRREVCSDSLVDLGRVLALDSDTRGLDFEEPCEASEAEKRRATSAMNSILSVLTQDSVSTGKSVCGKRCSFPGATVISSSLNISLGRIKQSFVLTDPHLPDMPIVYASDAFLKLTGYARDEVLGRNCRFLRGVETDPSVLEKIKESIQAEQACTVRILNYRKNKNTFWNLLHISPVRNATGKIAYYVGVQIEEGCKRQDWHGLNPEMRQRSCVGAVRVAVRSSSIGAGSSKS >Manes.13G150400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36585420:36585724:1 gene:Manes.13G150400.v8.1 transcript:Manes.13G150400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYAPTSKQLSTQGDRFLHYSMVCFCFLVDQTRKVRRSKPAAGTCSRCGGGASVADMKTSTRFCCVPFYCLF >Manes.06G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26084531:26091717:-1 gene:Manes.06G132300.v8.1 transcript:Manes.06G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWRDNVGSISIGRDNSPLHNVGVQDVEAPSILRSTLFLDHVGDVVLTLDSEGLSWDLLDSFETDESVCLGIKFSPKIAKETKFFDVYGVEFVKYGFMHGSNPANVGKYFLSCKFRDSEMHRFRVHGVQKSHTQPCLWVPTTYTFGHKDLETCQLWVNRINASLKIKKERPKNLLVFVNPRSGKANGWRTWESVAPIFSRANVEVKVVVTERAGHAFDVMASLANKELNSYDGVIAVGGDGFFNEILNGFLLPRYRARHPPFPSDFIHSVEGKGNALVPKSNETVPETAHKNEDNSPLISSSTCNRSGLENFRTEDGANPTDQDLEFSRLKERFRFGIIPAGSTDAIVMCTTGTRDPVTSALHIVLGKRVYLDIAQVVRWKASSTANIEPCVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTKVFLRHRSYEAEVAYMETESEKINSFAGKGHLFSRTRAVWGPEKSERAVCRMNCGVCKRRPSYMSTRSPRATPYSRSEETRWRRCRGHFLSIGAAVISNRNERAPDGLVADAHLSDGFLHLLLIRDCPRALYLWHLTQLAKRGGKPLNFEFVEQHKTPAFTFTSFGNESVWNLDGELFHAHQLSAQVFQGLISLFASGPEV >Manes.09G081640.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:19997448:19998008:1 gene:Manes.09G081640.v8.1 transcript:Manes.09G081640.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVTVAAVAAAAQSSVFAKLGQPELQWMKVCNMYGKFCNQVGEGTASSLLVSVSMVALSCISAFSLFRLYGANKGKGSTWW >Manes.03G113400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23774777:23784656:-1 gene:Manes.03G113400.v8.1 transcript:Manes.03G113400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNTHISHKDSAQKEKDKEEVEEEEEEEEEEEDEHFYESLDRIASSCCSCSVSNSDSDPDLNPTHSNSSSNSPGNNIDHPFPLPKFPLGVSKYDVWISEPASVSERRQRLLRQMGLSSQPSLSRAKPQGDINFNRSDSSDHSSQEGAGPSTSGGIVRLKSEGAGSRTDRNGGADECNDEFNSCCSSLCTSPSLLHVHSHRILSNDLMNVNNCDSSCDKNNKDSNNVVVFGSKKSTDVSSPKATALSTNKPPVGKYGRKMDAYRSDSTNSNGDLNGSCSNTMGELVEELDCNGDGGIDTAAAASETQVCTIKNLDNGKEFVVNEIREDGAWNKLKEVGTERQLTMEEFEMCVGHSPIVQELMRRQNVEDGTRENLDSNPNGGVGGGVSKLKKKGSWLRSIRSVAGNVTGNKERRSSDERDTGSDKGGRRSSSATDDSQDVSFHGPERVRVIETERKGELLNDKPDDGNLNFLLTANGSPEASLLSPTADGHYEKKRRGRTSVSRKSLGLDHIVVPEIVFALTDKPICSFQGHLDDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKTCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCRLYSTSENKLQQKYQIDLQNKKKKAHLKKITGFQFAWGSSSEVLITSADSRIRVVDGVDLVHKFKGFRNTNSQISASLTANGKHVVSASDDSYVYVWKHESDSRPCRSKGVTVARSYEHFHCQDVSVAIPWPGVGDAWGLEDAYYGEHNGLENHLDEVSVANHPPTPVEELSVNEGPQSLSGCTNSPLNGIICSATNGYFFDRISATWPEERLNLATRNWSPGTSVDFSDGMNPNMSAYGMVIVTAGLRGEIRAYQNFGLPVRI >Manes.03G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23774777:23784655:-1 gene:Manes.03G113400.v8.1 transcript:Manes.03G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNTHISHKDSAQKEKDKEEVEEEEEEEEEEEDEHFYESLDRIASSCCSCSVSNSDSDPDLNPTHSNSSSNSPGNNIDHPFPLPKFPLGVSKYDVWISEPASVSERRQRLLRQMGLSSQPSLSRAKPQGDINFNRSDSSDHSSQEGAGPSTSGGIVRLKSEGAGSRTDRNGGADECNDEFNSCCSSLCTSPSLLHVHSHRILSNDLMNVNNCDSSCDKNNKDSNNVVVFGSKKSTDVSSPKATALSTNKPPVGKYGRKMDAYRSDSTNSNGDLNGSCSNTMGELVEELDCNGDGGIDTAAAASETQVCTIKNLDNGKEFVVNEIREDGAWNKLKEVGTERQLTMEEFEMCVGHSPIVQELMRRQNVEDGTRENLDSNPNGGVGGGVSKLKKKGSWLRSIRSVAGNVTGNKERRSSDERDTGSDKGGRRSSSATDDSQDVSFHGPERVRVRQYGKSFKELSALYKFQEIQAHNGSIWSIKFSLDGRHLASAGEDCVIHVWQVIETERKGELLNDKPDDGNLNFLLTANGSPEASLLSPTADGHYEKKRRGRTSVSRKSLGLDHIVVPEIVFALTDKPICSFQGHLDDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKTCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCRLYSTSENKLQQKYQIDLQNKKKKAHLKKITGFQFAWGSSSEVLITSADSRIRVVDGVDLVHKFKGFRNTNSQISASLTANGKHVVSASDDSYVYVWKHESDSRPCRSKGVTVARSYEHFHCQDVSVAIPWPGVGDAWGLEDAYYGEHNGLENHLDEVSVANHPPTPVEELSVNEGPQSLSGCTNSPLNGIICSATNGYFFDRISATWPEERLNLATRNWSPGTSVDFSDGMNPNMSAYGMVIVTAGLRGEIRAYQNFGLPVRI >Manes.14G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8824402:8826298:1 gene:Manes.14G105300.v8.1 transcript:Manes.14G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQQIFSSNLRTRMKQRRFTVFVKDLFSRFKNQVRGGSLHVTASIVLCLSIGSTVVILVMFINDHVKKYLASSENPCSYLLCNSSLSSPSLPPLIQSPNASGSLSDLIAPKELWHSMKDKELLWRASMVPHIDEYPYSRTPKVAFMFLTRGRLPLAELWEKFFDGHEGLYSIYVHTSPEFSEQPPESSAFYNRRIPSKPVEWGGATMVDAERRLLANALLDFSNERFLLLSETCIPIFNFTTIYNYLINSKQSFIGSFDDPRANARGRYNKRLCPTVNISDWRKGSQWFELDRKLAREMVSDVKYYPVFRKHCKPPCYMDEHYLPTLVTKRWPQLNSNRSITWVDWSAGGPHPVTFMRKDVSEEFLKRIRNGINCTYNGSITTVCFLFARKFHPSTLEPLLRIAPSLLGFNL >Manes.09G111051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31329366:31329933:-1 gene:Manes.09G111051.v8.1 transcript:Manes.09G111051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKKRITRGCIKTDRGPWIVHRTTNNGIVTRFRVPSDREHENNKQRERRRRAVARKIFAGLRQHGNYKLPRHVLRLFVKKQEFTLRLVKASSYDAQTEDLDCYKGNNLMGSEYGTFPSSSLIEGCHGD >Manes.09G128600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33110172:33116444:-1 gene:Manes.09G128600.v8.1 transcript:Manes.09G128600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAELTTEQVLKRDIPWETYMATKLISGTDLQLLRRYDNRSESYRAQLLDDDGPAYVRVFVNILRDIFKEETVEYVLALVDEMLTANPKRARLFHDRSLANEDTYEPFLRLLWKGNWYVQEKSCKILALIVSARPKTHDGITANGQASNSKSKVTFIDDVLKGLVEWLCAQLKKPSHPSRGVPTAISCLATLLKEPVVRASFVQADGVKLLIPLISPASTQQSIQLLYETCLCVWLLSYYEPAIEYLATSRSLPRLIEVVKSSTKEKVVRVIVLTFRNLLSKGTFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNQLEDGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPVFWRENINNFEENDFQILRVLITILDTSNDPRALAVACFDLSQFIQHHPAGRIIVTDLKAKERVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQA >Manes.06G158400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28398977:28404824:1 gene:Manes.06G158400.v8.1 transcript:Manes.06G158400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTEMKVLQDSKDLDQINFSTQTAYELPNDQQNNASEALGVDSDSVSVSGNNSRKVTREDIEVVQNLIERCLQLYMNRNEVVNTLLQQARIEPGFTALVWQKLEEENADFFKAYYMRLILQKQIIVFNQLLEHQYHLMKSSTPPKVPLAPIENGIRQLPVNNLPMVYNIPQQPPFPSTGPPQLGSVGSVPSCHLANGIPTSGNFHPMQINAGKETVMNISPAGPIPAVPAIKSEIASTLTSVTSNGQFPFTPTEISELSVNASALDSAYASHMTSPEGLQLGLDSGTDNSRELQPFCQVPWTLSFSDLTADLANVEGEMQSIMCYYKHLTLDG >Manes.06G158400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28398977:28404824:1 gene:Manes.06G158400.v8.1 transcript:Manes.06G158400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTEMKDSKDLDQINFSTQTAYELPNDQQNNASEALGVDSDSVSVSGNNSRKVTREDIEVVQNLIERCLQLYMNRNEVVNTLLQQARIEPGFTALVWQKLEEENADFFKAYYMRLILQKQIIVFNQLLEHQYHLMKSSTPPKVPLAPIENGIRQLPVNNLPMVYNIPQQPPFPSTGPPQLGSVGSVPSCHLANGIPTSGNFHPMQINAGKETVMNISPAGPIPAVPAIKSEIASTLTSVTSNGQFPFTPTEISELSVNASALDSAYASHMTSPEGLQLGLDSGTDNSRELQPFCQVPWTLSFSDLTADLANVEDHGQLGNYSGSDILLDSPEQNDIVEEFFLDNVPAPGNQKEE >Manes.06G158400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28398977:28404824:1 gene:Manes.06G158400.v8.1 transcript:Manes.06G158400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTEMKDSKDLDQINFSTQTAYELPNDQQNNASEALGVDSDSVSVSGNNSRKVTREDIEVVQNLIERCLQLYMNRNEVVNTLLQQARIEPGFTALVWQKLEEENADFFKAYYMRLILQKQIIVFNQLLEHQYHLMKSSTPPKVPLAPIENGIRQLPVNNLPMVYNIPQQPPFPSTGPPQLGSVGSVPSCHLANGIPTSGNFHPMQINAGKETVMNISPAGPIPAVPAIKSEIASTLTSVTSNGQFPFTPTEISELSVNASALDSAYASHMTSPEGLQLGLDSGTDNSRELQPFCQVPWTLSFSDLTADLANVEADHGQLGNYSGSDILLDSPEQNDIVEEFFLDNVPAPGNQKEE >Manes.06G158400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28398977:28404824:1 gene:Manes.06G158400.v8.1 transcript:Manes.06G158400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTEMKVLQDSKDLDQINFSTQTAYELPNDQQNNASEALGVDSDSVSVSGNNSRKVTREDIEVVQNLIERCLQLYMNRNEVVNTLLQQARIEPGFTALVWQKLEEENADFFKAYYMRLILQKQIIVFNQLLEHQYHLMKSSTPPKVPLAPIENGIRQLPVNNLPMVYNIPQQPPFPSTGPPQLGSVGSVPSCHLANGIPTSGNFHPMQINAGKETVMNISPAGPIPAVPAIKSEIASTLTSVTSNGQFPFTPTEISELSVNASALDSAYASHMTSPEGLQLGLDSGTDNSRELQPFCQVPWTLSFSDLTADLANVEADHGQLGNYSGSDILLDSPEQNDIVEEFFLDNVPAPGNQKEE >Manes.06G158400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28398977:28404824:1 gene:Manes.06G158400.v8.1 transcript:Manes.06G158400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTEMKVLQDSKDLDQINFSTQTAYELPNDQQNNASEALGVDSDSVSVSGNNSRKVTREDIEVVQNLIERCLQLYMNRNEVVNTLLQQARIEPGFTALVWQKLEEENADFFKAYYMRLILQKQIIVFNQLLEHQYHLMKSSTPPKVPLAPIENGIRQLPVNNLPMVYNIPQQPPFPSTGPPQLGSVGSVPSCHLANGIPTSGNFHPMQINAGKETVMNISPAGPIPAVPAIKSEIASTLTSVTSNGQFPFTPTEISELSVNASALDSAYASHMTSPEGLQLGLDSGTDNSRELQPFCQVPWTLSFSDLTADLANVEDHGQLGNYSGSDILLDSPEQNDIGKSEIFCCFK >Manes.06G158400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28398977:28404824:1 gene:Manes.06G158400.v8.1 transcript:Manes.06G158400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTEMKVLQDSKDLDQINFSTQTAYELPNDQQNNASEALGVDSDSVSVSGNNSRKVTREDIEVVQNLIERCLQLYMNRNEVVNTLLQQARIEPGFTALVWQKLEEENADFFKAYYMRLILQKQIIVFNQLLEHQYHLMKSSTPPKVPLAPIENGIRQLPVNNLPMVYNIPQQPPFPSTGPPQLGSVGSVPSCHLANGIPTSGNFHPMQINAGKETVMNISPAGPIPAVPAIKSEIASTLTSVTSNGQFPFTPTEISELSVNASALDSAYASHMTSPEGLQLGLDSGTDNSRELQPFCQVPWTLSFSDLTADLANVEDHGQLGNYSGSDILLDSPEQNDIVEEFFLDNVPAPGNQKEE >Manes.02G042200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3453261:3457044:-1 gene:Manes.02G042200.v8.1 transcript:Manes.02G042200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPLNSSASSSSSLDKEQSNGVSNRARLYLNVYDLTPVNNYLYWFGLGIFHSGIEVYGMEFGFGAHEYPTSGVFEVEPRSCPGFIFRRSLLLGSTNLSCSEVRSFMEHLSAKYHGDTYHLIAKNCNHFTDEVCVQLTGKHIPGWINRMARVVSGSFCNCLLPESIQITAVRHLPDHPAYSDDDGLESIVSSVSAESAGEDSDHHLLTIPNGDVAFLKEKPVRLARDLM >Manes.02G042200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3453261:3457044:-1 gene:Manes.02G042200.v8.1 transcript:Manes.02G042200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPLNSSASSSSSLDKEQSNGVSNRARLYLNVYDLTPVNNYLYWFGLGIFHSGIEVYGMEFGFGAHEYPTSGVFEVEPRSCPGFIFRRSLLLGSTNLSCSEVRSFMEHLSAKYHGDTYHLIAKNCNHFTDEVCVQLTGKHIPGWINRMARVGSFCNCLLPESIQITAVRHLPDHPAYSDDDGLESIVSSVSAESAGEDSDHHLLTIPNGDVAFLKEKPVRLARDLM >Manes.02G042200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3453261:3457044:-1 gene:Manes.02G042200.v8.1 transcript:Manes.02G042200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPLNSSASSSSSLDKEQSNGVSNRARLYLNVYDLTPVNNYLYWFGLGIFHSGIEVYGMEFGFGAHEYPTSGVFEVEPRSCPGFIFRRSLLLGSTNLSCSEVRSFMEHLSAKYHGDTYHLIAKNCNHFTDEVCVQLTGKHIPGWINRMARVVSGSFCNCLLPESIQITAVRHLPDHPAYSDDDGLESIVSSVSAESAGEDSDHHLLTIPNGDVAFLKEKPVRLARDLM >Manes.11G073800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10652646:10658077:-1 gene:Manes.11G073800.v8.1 transcript:Manes.11G073800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIYQIFSASALISLGVYHLTSTIRNFLKSPQSFSARPHHPLPSSSARLKHLHLYILLLCLLIAFAHQILISSDFDPLLKGHTPVHRFTSLQSAALLFLFVIVSVALLLSESTSLLPLPPDLFFALGSALFFLQYSVSSSAASVQTSDLQAKCDSVSAQISAVVAFLCLILAFQPRMFIADAGLAGAICLQGLWVLQTGLSLYVEVFIPEGCHWLLEMVRGVEGSTKCDLEESRLRAVAILNLLFVVHVTFVVLIVMVTYAVMARTIGIRRLGSYEALPTTASDSNHIQMKAFTGTQA >Manes.11G073800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10652646:10658084:-1 gene:Manes.11G073800.v8.1 transcript:Manes.11G073800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIYQIFSASALISLGVYHLTSTIRNFLKSPQSFSARPHHPLPSSSARLKHLHLYILLLCLLIAFAHQILISSDFDPLLKGHTPVHRFTSLQSAALLFLFVIVSVALLLSESTSLLPLPPDLFFALGSALFFLQYSVSSSAASVQTSDLQAKCDSVSAQISAVVAFLCLILAFQPRMFIADAGLAGAICLQGLWVLQTGLSLYVEVFIPEGCHWLLEMVRGVEGSTKCDLEESRLRAVAILNLLFVVHVTFVVLIVMVTYAVMARTIGIRRLGSYEALPTTASDSNHIQMKAFTGTQA >Manes.11G073800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10652646:10658084:-1 gene:Manes.11G073800.v8.1 transcript:Manes.11G073800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIYQIFSASALISLGVYHLTSTIRNFLKSPQSFSARPHHPLPSSSARLKHLHLYILLLCLLIAFAHQILISSDFDPLLKGHTPVHRFTSLQSAALLFLFVIVSVALLLSESTSLLPLPPDLFFALGSALFFLQYSVSSSAASVQTSDLQAKCDSVSAQISAVVAFLCLILAFQPRMFIADAGLAGAICLQGLWVLQTGLSLYVEVFIPEGCHWLLEMVRGVEGSTKCDLEESRLRAVAILNLLFVVHVTFVVLIVMVTYAVMARTIGIRRLGSYEALPTTASDSNHIQMKAFTGTQA >Manes.11G073800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10652646:10658077:-1 gene:Manes.11G073800.v8.1 transcript:Manes.11G073800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIYQIFSASALISLGVYHLTSTIRNFLKSPQSFSARPHHPLPSSSARLKHLHLYILLLCLLIAFAHQILISSDFDPLLKGHTPVHRFTSLQSAALLFLFVIVSVALLLSESTSLLPLPPDLFFALGSALFFLQYSVSSSAASVQTSDLQAKCDSVSAQISAVVAFLCLILAFQPRMFIADAGLAGAICLQGLWVLQTGLSLYVEVFIPEGCHWLLEMVRGVEGSTKCDLEESRLRAVAILNLLFVVHVTFVVLIVMVTYAVMARTIGIRRLGSYEALPTTASDSNHIQMKAFTGTQA >Manes.11G073800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10655037:10658225:-1 gene:Manes.11G073800.v8.1 transcript:Manes.11G073800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIYQIFSASALISLGVYHLTSTIRNFLKSPQSFSARPHHPLPSSSARLKHLHLYILLLCLLIAFAHQILISSDFDPLLKGHTPVHRFTSLQSAALLFLFVIVSVALLLSESTSLLPLPPDLFFALGSALFFLQYSVSSSAASVQTSDLQAKCDSVSAQISAVVAFLCLILAFQPRMFIADAGLAGAICLQGLWVLQTGLSLYVEVFIPEGCHWLLEMVRGVEGSTKCDLEESRLRAVAILNLLFVVHVTFVVLIVMVTYAVMARTIGIRRLGSYEALPTTASDSNHIQMKAFTGTQA >Manes.11G073800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10655037:10658124:-1 gene:Manes.11G073800.v8.1 transcript:Manes.11G073800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIYQIFSASALISLGVYHLTSTIRNFLKSPQSFSARPHHPLPSSSARLKHLHLYILLLCLLIAFAHQILISSDFDPLLKGHTPVHRFTSLQSAALLFLFVIVSVALLLSESTSLLPLPPDLFFALGSALFFLQYSVSSSAASVQTSDLQAKCDSVSAQISAVVAFLCLILAFQPRMFIADAGLAGAICLQGLWVLQTGLSLYVEVFIPEGCHWLLEMVRGVEGSTKCDLEESRLRAVAILNLLFVVHVTFVVLIVMVTYAVMARTIGIRRLGSYEALPTTASDSNHIQMKAFTGTQA >Manes.02G208000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:23649624:23655090:1 gene:Manes.02G208000.v8.1 transcript:Manes.02G208000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQSSSHGGTSSSYRGVRKRKWGKWVSEIREPGKKNRIWLGSFETSEMAATAYDVAALHFRGREAKLNFPELVNHLPKPASSNAEDIRMAAHEAALRLRTPVKEPEGGGSSNNVVGPVTVRLSPSQIQAINESPLDSPKMWMEMAMVEESMMFSNDAGEDDDWNYNMQNDSLWDP >Manes.02G208000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:23649624:23655013:1 gene:Manes.02G208000.v8.1 transcript:Manes.02G208000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQSSSHGGTSSSYRGVRKRKWGKWVSEIREPGKKNRIWLGSFETSEMAATAYDVAALHFRGREAKLNFPELVNHLPKPASSNAEDIRMAAHEAALRLRTPVKEPEGGGSSNNVVGPVTVRLSPSQIQAINESPLDSPKMWMEMAMVEESMMFSNDAGEDDDWNYNMQNDSLWDP >Manes.17G099200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30560782:30565190:-1 gene:Manes.17G099200.v8.1 transcript:Manes.17G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGTKNGWHSVMPLRFRDQSATSFCIFPKVKSAGYSPGNSPVYLNVYDLTTMNGYVYWAGVGIFHSGVEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFKFRKSIFMGTTSLDPFQIREFMERQSAKYNGDAYHLIVKNCNHFSEDICYKLTGNSIPKWVNRLARIGSFCNCILPEALKATTVRHDSNLQECDNEKKRLRSGFSCWSSISMPQREVSLSSLFLHSHYKGCLPPWELKRSRKGSPKEG >Manes.04G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3337205:3342050:-1 gene:Manes.04G028100.v8.1 transcript:Manes.04G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohH MNKQGDQSTRWILDGVEADRMDEESKNHLPHRSRNAKSGNLGKHSGNLGMHTSKNSSSPGTYSGNLGQTLKRTTSQFGGSIWKTTSNALRISSVLTPSPPPPPRRRPERVERTASAAARGLKGLRFLDRTMTGKEMDAWRSIENRFHQFAVDDRLPKEKFGICIGMGDSKEFAGEVFDAIARRKNIDTTNGITKDEVKLFWEDMTRQDLDARLQIFFDMCDKNGDGKLSEDEVKEVILLSASANKLTNLNQQAATYAALIMEELDPDHLGYIEMWHLEILLREMVNNDESVHKLKKANTLTRAMIPRRYRTPLSKTFSLATEFIYENWMRIWAINIWLFINFLLVLWKFEEYVHSPTFKITGYCVCIAKAAGETLKFNMALILVPVCRRTLTNLRSTCLSKAIPFDDNIKFHQIIATAISIGTLMHVMAHLTCNYPRLSSCPKLKFMIYLGPVLGYQQPTYGGLMLTTVSITGVALTLIMAVSFTLATHYFRRNIVKLPGVFHRLAGFNSFWYAHHFLILAYVLLILHGYYLIFPKPWYQKTTWMYLVAPLLLYAGERLFSGYQEHNHQVNVVKAVIYSGNVLALYITKPQGFKYRSGMYLFVKCPDISKFEWHPFSITSAPGDDYLSVHIRTLGDWTTELKSIFEKVCEPPPSDKQQPKRGNLKRIETRALSTANYDEIQATFPQILIKGPFGAPAQSYTQYDILLLIGLGIGATPFISIAKDLLYQIKQNNLGPGKSVEPARKCAERAYFYWVTREQASFEWFKGVMDDIAEFDRNNVIEMHNYLTSVYEQGDARSALIGMVQQLQHAKNGIDIVSQSRIKTHFARPNWRKVLSQLASTHPASRIGVFYCGSSTLAKPLKKLCHEFSLDSTTRFEFHKENF >Manes.01G135700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32830868:32841644:1 gene:Manes.01G135700.v8.1 transcript:Manes.01G135700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRYLELMLAINENGFSGDVQNEMKEMGSGVMTTSTMAAAVVVVLWVAVSVDVGWCSDDGMMENAKGRMNMAARNVEVKAKEMKQKAAEAMRNVKEKAGSWYRRAYGTFSKDARENIKGRASKTSDTMKQAAYGASRYACGMNVDEAVNKACGTVGDIKDFNSDQVIRMASDRASDAREKVAGAMDYGKYKAANAYDDANDLASYWVGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDTNMASDRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNARDEAKQKLGRDTNMASGRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDANMASEKLGDAEEEMTEAMEHGKERSAQVHDEAKQQFDKAKDAIADAMGYERWDKMDVSDEAIKKVCEVYCTAKETMKVQGKSKYEAAKERLSKATGDLGAKMRNERAVDMYDEAEQKMGMASDVASEKASKGKEAMQLGAMGCEEMNAFDEAVNNVREAYRLAKEERTFRGRSKYEAAKERMWKATGGCWGEDAGVYCR >Manes.01G135700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32839610:32841644:1 gene:Manes.01G135700.v8.1 transcript:Manes.01G135700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAAYGASRYACGMNVDEAVNKACGTVGDIKDFNSDQVIRMASDRASDAREKVAGAMDYGKYKAANAYDDANDLASYWVGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDTNMASDRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNARDEAKQKLGRDTNMASGRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDANMASEKLGDAEEEMTEAMEHGKERSAQVHDEAKQQFDKAKDAIADAMGYERWDKMDVSDEAIKKVCEVYCTAKETMKVQGKSKYEAAKERLSKATGDLGAKMRNERAVDMYDEAEQKMGMASDVASEKASKGKEAMQLGAMGCEEMNAFDEAVNNVREAYRLAKEERTFRGRSKYEAAKERMWKATGGCWGEDAGVYCR >Manes.01G135700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32838070:32841758:1 gene:Manes.01G135700.v8.1 transcript:Manes.01G135700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVMTTSTMAAAVVVVLWVAVSVDVGWCSDDGMMENAKGRMNMAARNVEVKAKEMKQKAAEAMRNVKEKAGSWYRRAYGTFSKDARENIKGRASKTSDTMKQAAYGASRYACGMNVDEAVNKACGTVGDIKDFNSDQVIRMASDRASDAREKVAGAMDYGKYKAANAYDDANDLASYWVGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDTNMASDRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNARDEAKQKLGRDTNMASGRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDANMASEKLGDAEEEMTEAMEHGKERSAQVHDEAKQQFDKAKDAIADAMGYERWDKMDVSDEAIKKVCEVYCTAKETMKVQGKSKYEAAKERLSKATGDLGAKMRNERAVDMYDEAEQKMGMASDVASEKASKGKEAMQLGAMGCEEMNAFDEAVNNVREAYRLAKEERTFRGRSKYEAAKERMWKATGGCWGEDAGVYCR >Manes.01G135700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32838039:32841656:1 gene:Manes.01G135700.v8.1 transcript:Manes.01G135700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVMTTSTMAAAVVVVLWVAVSVDVGWCSDDGMMENAKGRMNMAARNVEVKAKEMKQKAAEAMRNVKEKAGSWYRRAYGTFSKDARENIKGRASKTSDTMKQAAYGASRYACGMNVDEAVNKACGTVGDIKDFNSDQVIRMASDRASDAREKVAGAMDYGKYKAANAYDDANDLASYWVGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDTNMASDRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNARDEAKQKLGRDTNMASGRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDANMASEKLGDAEEEMTEAMEHGKERSAQVHDEAKQQFDKAKDAIADAMGYERWDKMDVSDEAIKKVCEVYCTAKETMKVQGKSKYEAAKERLSKATGDLGAKMRNERAVDMYDEAEQKMGMASDVASEKASKGKEAMQLGAMGCEEMNAFDEAVNNVREAYRLAKEERTFRGRSKYEAAKERMWKATGGCWGEDAGVYCR >Manes.01G135700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32830868:32841644:1 gene:Manes.01G135700.v8.1 transcript:Manes.01G135700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRYLELMLAINENGFSGDVQNEMKEMGSGVMTTSTMAAAVVVVLWVAVSVDVGWCSDDGMMENAKGRMNMAARNVEVKAKEMKQKAAEAMRNVKEKAGSWYRRAYGTFSKDARENIKGRASKTSDTMKQAAYGASRYACGMNVDEAVNKACGTVGDIKDFNSDQVIRMASDRASDAREKVAGAMDYGKYKAANAYDDANDLASYWVGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDTNMASDRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNARDEAKQKLGRDTNMASGRASDAREKVAGAMDCGKYKAANAYDDADNMASYWLGDSRDAMAEGMNYGRSRLTNAYDEAKQKLGRDANMASEKLGDAEEEMTEAMEHGKERSAQVHDEAKQQFDKAKDAIADAMGYERWDKMDVSDEAIKKVCEVYCTAKETMKVQGKSKYEAAKERLSKATGDLGAKMRNERAVDMYDEAEQKMGMASDVASEKASKGKEAMQLGAMGCEEMNAFDEAVNNVREAYRLAKEERTFRGRSKYEAAKERMWKATGGCWGEDAGVYCR >Manes.05G046600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3869205:3872471:1 gene:Manes.05G046600.v8.1 transcript:Manes.05G046600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISPQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSQILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Manes.01G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28994062:28997478:1 gene:Manes.01G088600.v8.1 transcript:Manes.01G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCLKKAVLISVYEEKPTKTKDHRHKHHHHHHYVYHSIQQDKGGDQPTGKGYNRRAELLLYSQRLRLSVRPAESSHLLDPKPVSSNIQQPAVKAVAVQRKPKDKRTPHCLGNWKILSLKFCRSMTSVQAKKEKRKKKQTGPKSNAMKGVMKSPEVQKKRGFITKLLSMLQKHR >Manes.01G088600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28994315:28995561:1 gene:Manes.01G088600.v8.1 transcript:Manes.01G088600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCLKKAVLISVYEEKPTKTKDHRHKHHHHHHYVYHSIQQDKGGDQPTGKGYNRRAELLLYSQRLRLSVRPAESSHLLDPKPVSSNIQQPAVKAVAVQRKPKDKRTPHCLGNWKILSLKFCRSMTSVQAKKEKRKKKQTGPKSNAMKGVMKSPEV >Manes.09G095100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28742952:28743314:-1 gene:Manes.09G095100.v8.1 transcript:Manes.09G095100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNQSTLRKSKQKMVRSYTCSFCNKCFSNARALGGHMNIHRKERENLRKASDENLLPLDTSKSMKPVDFPHLDSEEINPLVSESREDAYMLFSHRQTTQIELDLELRLGPPTHIKHHQR >Manes.10G087200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22318871:22322394:1 gene:Manes.10G087200.v8.1 transcript:Manes.10G087200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDGEDEEY >Manes.17G040100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23205429:23209446:-1 gene:Manes.17G040100.v8.1 transcript:Manes.17G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTLNPGQLTLMGSAFCTMITMHFTLQLLSQHLFYWKNPKEQKAIIIIILMAPIYAIDSFVGLLDIQGSKAFFMFLDSIKECYEALVIAKFLALMYSYLNISISRNIVPDGIKGREIHHSFPMTLFQPRTVRLDHRTLRLLKYWTWQFVIIRPICSILMITLQLLGFYPSWLSWTFTIILNISVSLALYSLVVFYHVFAKELAPHNPLAKFLCIKGIVFFCFWQGVVLDILVGLGIIRSHHFWLDVEHIEEALQNVLVCLEMVVFSVLQQYAYHVSPYSGEVETKLKLNKKD >Manes.17G040100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23205429:23209446:-1 gene:Manes.17G040100.v8.1 transcript:Manes.17G040100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTLNPGQLTLMGSAFCTMITMHFTLQLLSQHLFYWKNPKEQKAIIIIILMAPIYAIDSFVGLLDIQGSKAFFMFLDSIKECYEALVIAKFLALMYSYLNISISRNIVPDGIKGREIHHSFPMTLFQPRTVRLDHRTLRLLKYWTWQFVIIRPICSILMITLQLLGFYPSWLSWTFTIILNISVSLALYSLVVFYHVFAKELAPHNPLAKFLCIKGIVFFCFWQGVVLDILVGLGIIRSHHFWLDVEHIEEALQNVLVCLEMVVFSVLQQYAYHVSPYSGEVETKLKLNKKD >Manes.18G089333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8211466:8212356:1 gene:Manes.18G089333.v8.1 transcript:Manes.18G089333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKKLQVMAMVVFITISLLLPNHVASSTALATDAIPKSIFGRKLLVDPPTYGRWPGTGGYPCCTRPSPP >Manes.10G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1027715:1031451:1 gene:Manes.10G020200.v8.1 transcript:Manes.10G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVQNLIKEKKFPFIFAFFVLLLCATSFLYTTDSRFPSFSLYDIQKQTNPSSFQLFSPPQIVTPPPVAAVSSPTTATTTADRNDVANGNENKSNEGNSVVVGGGGMEGIEKIQWALCKGTVAVDYIPCLDNFKAIKALHSRRHMEHRERHCPKPNPRCLPPLPKGYKVPLLWPKSRDMIWFDNVPHPKLVEYKKDQNWVRRDGDFLVFPGGGTQFKDGVTDYFNFIEKTLPIIQWGRRTRVVLDVGCGVASFGGYLLDKNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTKKLPYPNNAFDLIHCARCRVHWDADGGKPLMELNRILRPGGFFIWSATPAYREDVRDRNYWKSMVALTKIMCWKDVAKTMVSPGVGLVIYRKPDTFSCYDDQKERDPPFCYHRGPQDIPWYEPLTRCLSKLPVDIGGNVLSWPSLWPYRLNDLPPVLSFDPDLRDMFYEDTKHWSVLVSDVYLNAAAVNWSSVRNIMDMNAGYGGFAAALVDLPFWVMNVVPFDAQNTLSVIFDRGLIGVYHDWCESFSTYPRTYDLLHSSFLFKNLTQRCDLIDVAVEMDRMVRPGGYVLVQDTMEMIHKLGSILGSLHWSTSLYEKQFLIAKKSFWRPS >Manes.S055316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1924845:1924967:1 gene:Manes.S055316.v8.1 transcript:Manes.S055316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4657855:4661025:-1 gene:Manes.15G061100.v8.1 transcript:Manes.15G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQFLCFPVFFIFFLFILTVLRMRKKSKSKNSSPNLPPGPWKLPLIGSMHHLVGSLPHQRLRDLAKKHGPLMHLRLGEVTNIVISSPETAKQVMKTHDVIFAQRPFLLAASIVAYEFSDIAFAPYGDYWRQMRKICTLELLTAKRVKSFRSIREEEMSKLIRSLSSSAGSPINFGKMFSSLTYSITSRAAFGKIWKGEETFKSAVKKLIQLAGGFTLADVYPSIKLLHMISTTRPKLERLRQIIDEIFDNIIHEHKARKAAAKSGTDSEEQDFVDVLLNCQDGADLEFPLTNDNIKGVILDTFIAGSETSSTTVEWAMSEMLKNPRVMEKAQAEVRMVFGVEGNIDEERLHELHYLKMVIKETLRLHPPIALLLPRECRENCVINGFDIPVKSKVTVNAWAIGRDPDYWIEAERFYPERFLNSSIDYKGNNFELIPFGAGRRICPGILFGMANVESPLARLLYYFDWKLPAGLKPENLDMLEVFGAAVKRKNDLQLIPIPYFPPPPPPPVE >Manes.04G038300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5356072:5359109:1 gene:Manes.04G038300.v8.1 transcript:Manes.04G038300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLQKPQEIERVGEHEQEEEDSNFTCEICIEPTESNRKFKNGGLCCHPFCLDCISKYINVKVEAITGNIECPGLNCKHALDPLSCRSIVSKQLFDKWCELLCDSVVLSFERCYCPYRDCSALVLNECKDKLKKIKCPNCKKNFCFRCKLPWHAGYQCNESGQLRDSNDILIGELIEEKKWTRCYNCGHSVERVSGCRDIKCKCGVRFCHQCGGRFHLGPCKHKCCGDAFCMLLFLAMLIVFSYLLYHQVNLISPSNK >Manes.04G038300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5354328:5357255:1 gene:Manes.04G038300.v8.1 transcript:Manes.04G038300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLQKPQEIERVGEHEQEEEDSNFTCEICIEPTESNRKFKNGGLCCHPFCLDCISKYINVKVEAITGNIECPGLNCKHALDPLSCRSIVSKQLFDKWCELLCDSVVLSFERCYCPYRDCSALVLNECKDKLKKIKCPNCKKNFCFRCKLPWHAGYQCNESGQLRDSNDILIGELIEEKKWTRCYNCGHSVERVSGCRDIKCKYVLSL >Manes.04G038300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5354328:5359109:1 gene:Manes.04G038300.v8.1 transcript:Manes.04G038300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLQKPQEIERVGEHEQEEEDSNFTCEICIEPTESNRKFKNGGLCCHPFCLDCISKYINVKVEAITGNIECPGLNCKHALDPLSCRSIVSKQLFDKWCELLCDSVVLSFERCYCPYRDCSALVLNECKDKLKKIKCPNCKKNFCFRCKLPWHAGYQCNESGQLRDSNDILIGELIEEKKWTRCYNCGHSVERVSGCRDIKCKCGVRFCHQCGGRFHLGPCKHKCCGDAFCMLLFLAMLIVFSYLLYHQVNLISPSNK >Manes.11G151500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31557109:31558779:1 gene:Manes.11G151500.v8.1 transcript:Manes.11G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLYLLLIILMIPSSNNAQSPPSPGYYPSSRISTIGFNQGFRNLWGPQHQRLDQGTLTIWLDTSSGSGYKSLEPYRSGYFGADIKLQPGYTAGVITSFYLSNNEEHPGNHDEIDIEFLGTTPDKPYTLQTNVYIRGSGDGRIIGREMKIHLWFDPTQDFHNYGILWTPTEIIFFVDDIPIRRYPRKSDATFPMRPMWVYGSIWDASSWATENGKYKADYRYQPFIGRYKNFKIGGCKASGPATCRPPSASPSGGLSQQQYSAMQWVQRNYLAYDYCRDPNRDHTQTPEC >Manes.05G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2850260:2854574:-1 gene:Manes.05G033800.v8.1 transcript:Manes.05G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDSETSRESMSQGEDDTVYVALGKDVEQQKLTLLWALENFPGKKFCILHVHQPSKTIPCVGGNFLANRVDQQELKEFQELERKIMHRILEYYLLLCHQVEVQAEKLCIEMDDTGKGIVELAYQHGIKKLVMGAAADKRYSEDMADLKSRKAKYVQQRIPLCCQVWYVCKGYLVHTGEGELTCSSANALGHHDSASSERIDETGLELELCEVPQSEEDIHLHNPDALEESSTNQLYEQLEQALMEAEKFKREAFEESLKHWEAEKIAIKAIRRAKALESLYAKELKLRKESEEALVKEKEDHQRTKKQWDEDRLITMDQRLLQQIQSSNFDNKIKEMNDEILTAVEQCKEYKKERDELELERDIVLKIAEEISKFQAGDLEIDIALKIAEELSKRQAEDASAIHMGQFLSVFSLSEIKEATRNFDPSFKIGEGGYGSIYKGVLRYTPVAIKVLNPDSMQGPLEFKQEVEMLSKLRHPNLVILIGACLEAFALIYEYLPNGSLEDRLSCKDNSSPLPWQARIRIATELCSVLIFLHSSNPHSIVHGDLKPGNILLDAKLACKLSDFGICRALSLQENSRNRTLYHKTDPKGTFLYLDPYFLATGELSPKSDTYSFGVILLQLLTGRSAFGIVKQIGDALDEGTLSSFLDPLAGDWPFVQAKQLARLALRCCSMNRNSRPDLAIEAWRVLEPMRASCGDSSSLQFSSLWRQQSPSYFLCPILQEMMRDPHVAADGFTYEAEALIGWLESGHNTSPMTNLELQHFNLVPNHSLRSAIQEWQQFSRSS >Manes.06G002000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:555737:562518:1 gene:Manes.06G002000.v8.1 transcript:Manes.06G002000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSTGRTIVTPGGTITPISPPIKNPSHFSHFTSILAPKSYPLLIFTRISLLHLKTQLILTRKPPPLSSLMAMDRSAASSSLMLTSGASGRINALFSVQALKSFLILINAVVLFLLLPFRGRRRTVPISSSTEKPKDFEKLQDCGSQRKMVRVPATIVPWKSSSSSGSGGVVVDQEVATRRALAIRRVLQDDDPNSLRESSLFVTARGDTLFTQLWTPVSVRIRGLVVLMHGLNEHSGRYNDFAKQLNAHGFKVYGMDWIGHGGSDGLHAYVPALDYAVSDLKNFLDKVLGENPGLPCFCFGHSTGAAIVLKAVLDPKVEARVAGVVLTSPAVGVQPSHPIFVVLAPIFSVLLPRLQLSAANKKGTVVSRDPEALIAKYSDPLVYTGSIRVRTGYEILRITTYLQQNLTKMRVPFLVLHGTADTVTDPEASKKLYDEASSTDKTIKLCEGLLHDLIFEPEGQEIVNDIIEWLGCRL >Manes.04G154000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34965584:34967221:-1 gene:Manes.04G154000.v8.1 transcript:Manes.04G154000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLISRRLSSKLLKLSPSSLVYSHFISQETQIHGFTFCPQSHSSISPFSHQQNPFNQNTLSKSRLFSAFIGERAQIPDVKNKEMTPQRAAKMSSFFISPRLSSKLQQNPSTQNKHGFTLSKMETSRYFSTFIRERTQIPDVKNKEMTSTKPLSSSIYTHITNQKPRYLSSSSDPEKSQNDPSKLPSFKHQETEKRDESSEALARCVMKKIFSGTKILFHMVQLHIGLHALIFYMAEGTIPILEVSLLSGILLSFIQLDKLLQFEILSREGQIEKLWLAKFLLFLLQLTLVMLGIVLVFKVVVLMALLGMDV >Manes.08G163200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39821515:39824088:-1 gene:Manes.08G163200.v8.1 transcript:Manes.08G163200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIQIRDLPEGVLFGNLESLFSQMLHNMGRMLPRAAAVLMNSFEELDPTIVSDLNSKFNNILCIGPFNLVSPPPPVPDTYGCMAWLDKQKPASVAYISFGSVATPPPHELVALAEALEASKVPFLWSLKDHSKVHLPNGFLDRTKSHGIVLSWAPQVEILEHAALGVFVTHCGWNSILESIVGGVPMICRPFFGDQRLNGRMVEDVWEIGLLMDGGVLTKNGAIDGLNQILLQGKGKKMRENIKRLKELAKGATEPKGSSSKSFTELANLVRSRGSYEN >Manes.08G163200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39821558:39826036:-1 gene:Manes.08G163200.v8.1 transcript:Manes.08G163200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTTSATAEPHVAVCAFPFGTHAAPLFSIIHRLAASSPDTHFSFFNTAKSNASILSASKHNTLPNLKVNEVWDGVPEDYTFLGKPQEEIELFLKAAPECFRKSIKAAVAETEKEVTCLVTDAFFWFAAEIAEAIRVDWMAFWAGSPASISSHFYTDLIRENFGAGGKLEEDQTLNLIPGMSKIQIRDLPEGVLFGNLESLFSQMLHNMGRMLPRAAAVLMNSFEELDPTIVSDLNSKFNNILCIGPFNLVSPPPPVPDTYGCMAWLDKQKPASVAYISFGSVATPPPHELVALAEALEASKVPFLWSLKDHSKVHLPNGFLDRTKSHGIVLSWAPQVEILEHAALGVFVTHCGWNSILESIVGGVPMICRPFFGDQRLNGRMVEDVWEIGLLMDGGVLTKNGAIDGLNQILLQGKGKKMRENIKRLKELAKGATEPKGSSSKSFTELANLVRSRGSYEN >Manes.08G163200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39821558:39826067:-1 gene:Manes.08G163200.v8.1 transcript:Manes.08G163200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTTSATAEPHVAVCAFPFGTHAAPLFSIIHRLAASSPDTHFSFFNTAKSNASILSASKHNTLPNLKVNEVWDGVPEDYTFLGKPQEEIELFLKAAPECFRKSIKAAVAETEKEVTCLVTDAFFWFAAEIAEAIRVDWMAFWAGSPASISSHFYTDLIRENFGAGGKLEEDQTLNLIPGMSKIQIRDLPEGVLFGNLESLFSQMLHNMGRMLPRAAAVLMNSFEELDPTIVSDLNSKFNNILCIGPFNLVSPPPPVPDTYGCMAWLDKQKPASVAYISFGSVATPPPHELVALAEALEASKVPFLWSLKDHSKVHLPNGFLDRTKSHGIVLSWAPQVEILEHAALGVFVTHCGWNSILESIVGGVPMICRPFFGDQRLNGRMVEDVWEIGLLMDGGVLTKNGAIDGLNQILLQGKGKKMRENIKRLKELAKGATEPKGSSSKSFTELANLVRSRGSYEN >Manes.01G199400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37317191:37328653:-1 gene:Manes.01G199400.v8.1 transcript:Manes.01G199400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLYLHEPSVLHNLSRRYGINEIYTYTGNILIAVNPFKGLPELYDVEVMEKYKGAAIGKLSPHVFAIADIAYRAMIDEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGNNASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNEHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGNPSSFHYLNQSNCYDLVGVNDAREYLTTRRAMDTVGISKEDQEAIFRVVAAILHLGNINFAKEEEADSSALKDESSQFHLQMTAELLMCDPHSLEGAICQRIMITPEEIIKKSLNPHDAAVNRDGLAKTIYSRLFEWLVAKINVSIGQDPNSTCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMDQQEYVKEEIDWSYIEFIDNQDILDLIEKKTGGIIALLDEACMFPKSTHETFAQKLYQTFKDHKRFIKPKLTRADFTIVHYAGEVQYQSDLFLDKNKDYVVPEHRELLSASRCSFVSGLFRSPTEENAKPSKFSAIGSRLENAKPSKFSSIGSRFKGVLEAIRIKCSGYPTHMTFSEFLQRFGMLAPEILRGDYEERVACKWIFEKMELTGHQLGKTKVFLRSGQGAELDAHRARVLRNSAAVIQRHAKARSDRKSFTLQRQASVHIQSHWRGKRARKLYKEKREDAAAVKIQRNLRRQLATRSYNGIRFSAVTLQSGLRAMAARSEFRSREQNKAATALQAYWRSHKAVSDYKKLKEASVVSQCSMSERIAEEETMNLNMEEEETDDLELSYDELREKLEELKFHLLSEKQLRIELEVEKGREIITLLQSLKNLQNQVDETNDVLLREREDAYKGSGGDVAFNPDDDDDDASNPDDDAKKETHSEVQNLKALLRAEKQRADHCEKKYVEAQELSEKRRKKLKETEKRVRQLQDSLNSVSQDAIFHVRPICRPENDPTHFVPLGFNFWNRCHRCSC >Manes.01G199400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37317191:37328652:-1 gene:Manes.01G199400.v8.1 transcript:Manes.01G199400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLYLHEPSVLHNLSRRYGINEIYTYTGNILIAVNPFKGLPELYDVEVMEKYKGAAIGKLSPHVFAIADIAYRAMIDEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGNNASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNEHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGNPSSFHYLNQSNCYDLVGVNDAREYLTTRRAMDTVGISKEDQEAIFRVVAAILHLGNINFAKEEEADSSALKDESSQFHLQMTAELLMCDPHSLEGAICQRIMITPEEIIKKSLNPHDAAVNRDGLAKTIYSRLFEWLVAKINVSIGQDPNSTCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMDQQEYVKEEIDWSYIEFIDNQDILDLIEKKTGGIIALLDEACMFPKSTHETFAQKLYQTFKDHKRFIKPKLTRADFTIVHYAGEVQYQSDLFLDKNKDYVVPEHRELLSASRCSFVSGLFRSPTEENAKPSKFSAIGSRLENAKPSKFSSIGSRFKLQLQKLMDTLNSTQPHYIRCVKPNNTLEPGVFDGTSVMQQLRSGGVLEAIRIKCSGYPTHMTFSEFLQRFGMLAPEILRGDYEERVACKWIFEKMELTGHQLGKTKVFLRSGQGAELDAHRARVLRNSAAVIQRHAKARSDRKSFTLQRQASVHIQSHWRGKRARKLYKEKREDAAAVKIQRNLRRQLATRSYNGIRFSAVTLQSGLRAMAARSEFRSREQNKAATALQAYWRSHKAVSDYKKLKEASVVSQCSMSERIAEEETMNLNMEEEETDDLELSYDELREKLEELKFHLLSEKQLRIELEVEKGREIITLLQSLKNLQNQVDETNDVLLREREDAYKGSGGDVAFNPDDDDDDASNPDDDAKKETHSEVQNLKALLRAEKQRADHCEKKYVEAQELSEKRRKKLKETEKRVRQLQDSLNSVSQDAIFHVRPICRPENDPTHFVPLGFNFWNRCHRCSC >Manes.01G199400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37317191:37328653:-1 gene:Manes.01G199400.v8.1 transcript:Manes.01G199400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLYLHEPSVLHNLSRRYGINEIYTYTGNILIAVNPFKGLPELYDVEVMEKYKGAAIGKLSPHVFAIADIAYRAMIDEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGNNASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNEHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGNPSSFHYLNQSNCYDLVGVNDAREYLTTRRAMDTVGISKEDQEAIFRVVAAILHLGNINFAKEEEADSSALKDESSQFHLQMTAELLMCDPHSLEGAICQRIMITPEEIIKKSLNPHDAAVNRDGLAKTIYSRLFEWLVAKINVSIGQDPNSTCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMDQQEYVKEEIDWSYIEFIDNQDILDLIEKKTGGIIALLDEACMFPKSTHETFAQKLYQTFKDHKRFIKPKLTRADFTIVHYAGEVQYQSDLFLDKNKDYVVPEHRELLSASRCSFVSGLFRSPTEENAKPSKFSAIGSRLENAKPSKFSSIGSRFKGVLEAIRIKCSGYPTHMTFSEFLQRFGMLAPEILRGDYEERVACKWIFEKMELTGHQLGKTKVFLRSGQGAELDAHRARVLRNSAAVIQRHAKARSDRKSFTLQRQASVHIQSHWRGKRARKLYKEKREDAAAVKIQRNLRRQLATRSYNGIRFSAVTLQSGLRAMAARSEFRSREQNKAATALQAYWRSHKAVSDYKKLKEASVVSQCSMSERIAEEETMNLNMEEEETDDLELSYDELREKLEELKFHLLSEKQLRIELEVEKGREIITLLQSLKNLQNQVDETNDVLLREREDAYKGSGGDVAFNPDDDDDDASNPDDDAKKETHSEVQNLKALLRAEKQRADHCEKKYVEAQELSEKRRKKLKETEKRVRQLQDSLNRMLYSMSDQFADLKMILHTSSPSASTSGTVATDVRVDVASDLSDAASTGSDISFRAPVLSAASEEVPPENSGAEEWHRDKEGAFDDYF >Manes.01G199400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37317191:37328652:-1 gene:Manes.01G199400.v8.1 transcript:Manes.01G199400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLYLHEPSVLHNLSRRYGINEIYTYTGNILIAVNPFKGLPELYDVEVMEKYKGAAIGKLSPHVFAIADIAYRAMIDEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGNNASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNEHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGNPSSFHYLNQSNCYDLVGVNDAREYLTTRRAMDTVGISKEDQEAIFRVVAAILHLGNINFAKEEEADSSALKDESSQFHLQMTAELLMCDPHSLEGAICQRIMITPEEIIKKSLNPHDAAVNRDGLAKTIYSRLFEWLVAKINVSIGQDPNSTCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMDQQEYVKEEIDWSYIEFIDNQDILDLIEKKTGGIIALLDEACMFPKSTHETFAQKLYQTFKDHKRFIKPKLTRADFTIVHYAGEVQYQSDLFLDKNKDYVVPEHRELLSASRCSFVSGLFRSPTEENAKPSKFSAIGSRLENAKPSKFSSIGSRFKLQLQKLMDTLNSTQPHYIRCVKPNNTLEPGVFDGTSVMQQLRSGGVLEAIRIKCSGYPTHMTFSEFLQRFGMLAPEILRGDYEERVACKWIFEKMELTGHQLGKTKVFLRSGQGAELDAHRARVLRNSAAVIQRHAKARSDRKSFTLQRQASVHIQSHWRGKRARKLYKEKREDAAAVKIQRNLRRQLATRSYNGIRFSAVTLQSGLRAMAARSEFRSREQNKAATALQAYWRSHKAVSDYKKLKEASVVSQCSMSERIAEEETMNLNMEEEETDDLELSYDELREKLEELKFHLLSEKQLRIELEVEKGREIITLLQSLKNLQNQVDETNDVLLREREDAYKGSGGDVAFNPDDDDDDASNPDDDAKKETHSEVQNLKALLRAEKQRADHCEKKYVEAQELSEKRRKKLKETEKRVRQLQDSLNRMLYSMSDQFADLKMILHTSSPSASTSGTVATDVRVDVASDLSDAASTGSDISFRAPVLSAASEEVPPENSGAEEWHRDKEGAFDDYF >Manes.01G199400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37317191:37328653:-1 gene:Manes.01G199400.v8.1 transcript:Manes.01G199400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLYLHEPSVLHNLSRRYGINEIYTYTGNILIAVNPFKGLPELYDVEVMEKYKGAAIGKLSPHVFAIADIAYRAMIDEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGNNASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNEHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGNPSSFHYLNQSNCYDLVGVNDAREYLTTRRAMDTVGISKEDQEAIFRVVAAILHLGNINFAKEEEADSSALKDESSQFHLQMTAELLMCDPHSLEGAICQRIMITPEEIIKKSLNPHDAAVNRDGLAKTIYSRLFEWLVAKINVSIGQDPNSTCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMDQQEYVKEEIDWSYIEFIDNQDILDLIEKKTGGIIALLDEACMFPKSTHETFAQKLYQTFKDHKRFIKPKLTRADFTIVHYAGEVQYQSDLFLDKNKDYVVPEHRELLSASRCSFVSGLFRSPTEENAKPSKFSAIGSRLENAKPSKFSSIGSRFKLQLQKLMDTLNSTQPHYIRCVKPNNTLEPGVFDGTSVMQQLRSGGVLEAIRIKCSGYPTHMTFSEFLQRFGMLAPEILRGDYEERVACKWIFEKMELTGHQLGKTKVFLRSGQGAELDAHRARVLRNSAAVIQRHAKARSDRKSFTLQRQASVHIQSHWRGKRARKLYKEKREDAAAVKIQRNLRRQLATRSYNGIRFSAVTLQSGLRAMAARSEFRSREQNKAATALQAYWRSHKAVSDYKKLKEASVVSQCSMSERIAEEETMNLNMEEEETDDLELSYDELREKLEELKFHLLSEKQLRVRYKSALFSIV >Manes.01G199400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37317191:37328653:-1 gene:Manes.01G199400.v8.1 transcript:Manes.01G199400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLYLHEPSVLHNLSRRYGINEIYTYTGNILIAVNPFKGLPELYDVEVMEKYKGAAIGKLSPHVFAIADIAYRAMIDEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGNNASEGRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFNEHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGNPSSFHYLNQSNCYDLVGVNDAREYLTTRRAMDTVGISKEDQEAIFRVVAAILHLGNINFAKEEEADSSALKDESSQFHLQMTAELLMCDPHSLEGAICQRIMITPEEIIKKSLNPHDAAVNRDGLAKTIYSRLFEWLVAKINVSIGQDPNSTCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMDQQEYVKEEIDWSYIEFIDNQDILDLIEKKTGGIIALLDEACMFPKSTHETFAQKLYQTFKDHKRFIKPKLTRADFTIVHYAGEVQYQSDLFLDKNKDYVVPEHRELLSASRCSFVSGLFRSPTEENAKPSKFSAIGSRLENAKPSKFSSIGSRFKGVLEAIRIKCSGYPTHMTFSEFLQRFGMLAPEILRGDYEERVACKWIFEKMELTGHQLGKTKVFLRSGQGAELDAHRARVLRNSAAVIQRHAKARSDRKSFTLQRQASVHIQSHWRGKRARKLYKEKREDAAAVKIQRNLRRQLATRSYNGIRFSAVTLQSGLRAMAARSEFRSREQNKAATALQAYWRSHKAVSDYKKLKEASVVSQCSMSERIAEEETMNLNMEEEETDDLELSYDELREKLEELKFHLLSEKQLRVRYKSALFSIV >Manes.11G114100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26796151:26806505:-1 gene:Manes.11G114100.v8.1 transcript:Manes.11G114100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALILRAALSPNPDERKAAEQSLNQFQYTPQHLVRLLHIVVDNDCDMAVRQVASIHFKNFIAKNWAPHEPDELSKISQSDKDMVRDHILVYVVQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWIKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFPQVLSIFNSLVQIANLSLEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMILFLNVLERPVPAESQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKIQNQENRAFAQMFQKNYAGKILECHLNLLNVVRVGGYLPDRVTNLILQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDETPVEYKPYRQKDGALLSIGSLCDKLKQTEPYKSELEHMLVQHVFPEFSSPVGHLRAKAAWVAGQYVHINFSDQNNFLKALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAFGLCQNLAAAFWRCMNTAEADEEVDDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALADWAVDFFPNILVPLDNYISRGTAHFLTCKNPDYQQSLWIMISSVMADRNLEDNDIEPAPKLIEVVFQNCKGQVDQWVEPYLRITVERLHRAEKSYLKCLLIQVIADALYYNAALTLSVLQKLGVAAEIFNLWFQMLQQVKKSGALANFKRS >Manes.11G114100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26796151:26806505:-1 gene:Manes.11G114100.v8.1 transcript:Manes.11G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALILRAALSPNPDERKAAEQSLNQFQYTPQHLVRLLHIVVDNDCDMAVRQVASIHFKNFIAKNWAPHEPDELSKISQSDKDMVRDHILVYVVQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWIKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFPQVLSIFNSLVQIANLSLEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMILFLNVLERPVPAESQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKIQNQENRAFAQMFQKNYAGKILECHLNLLNVVRVGGYLPDRVTNLILQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDETPVEYKPYRQKDGALLSIGSLCDKLKQTEPYKSELEHMLVQHVFPEFSSPVGHLRAKAAWVAGQYVHINFSDQNNFLKALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAFGLCQNLAAAFWRCMNTAEADEEVDDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALADWAVDFFPNILVPLDNYISRGTAHFLTCKNPDYQQSLWIMISSVMADRNLEDNDIEPAPKLIEVVFQNCKGQVDQWVEPYLRITVERLHRAEKSYLKCLLIQVIADALYYNAALTLSVLQKLGVAAEIFNLWFQMLQQVKKSGALANFKREHDKKVCCLGLTSLLALPATQLPGEALERVFRTTLDLLVSYKDQVAGLCDFPLLPEAEKEEAAEDDDDMDGFQTDDEDDGEGSDKDMGVDVEDGDEADSSRLQKLAAQAKAFHPHDDDDEDSDDDYSDDEELQSPIDEVDPFIFFMDTIKVMQASDPPRFQNLTQTLDFRHQALAHGVAQHAEQRRVEIEKERMEQASATVAS >Manes.11G114100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26796151:26806505:-1 gene:Manes.11G114100.v8.1 transcript:Manes.11G114100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALILRAALSPNPDERKAAEQSLNQFQYTPQHLVRLLHIVVDNDCDMAVRQVASIHFKNFIAKNWAPHEPDELSKISQSDKDMVRDHILVYVVQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWIKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFPQVLSIFNSLVQIANLSLEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMILFLNVLERPVPAESQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKIQNQENRAFAQMFQKNYAGKILECHLNLLNVVRVGGYLPDRVTNLILQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDETPVEYKPYRQKDGALLSIGSLCDKLKQTEPYKSELEHMLVQHVFPEFSSPVGHLRAKAAWVAGQYVHINFSDQNNFLKALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAFGLCQNLAAAFWRCMNTAEADEEVDDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMMEALADWAVDFFPNILVPLDNYISRGTAHFLTCKNPDYQQSLWIMISSVMADRNLEDNDIEPAPKLIEVVFQNCKGQVDQWVEPYLRITVERLHRAEKSYLKCLLIQVIADALYYNAALTLSVLQKLGVAAEIFNLWFQMLQQVKKSGALANFKREHDKKVCCLGLTSLLALPATQLPGEALERVFRTTLDLLVSYKDQVAEAEKEEAAEDDDDMDGFQTDDEDDGEGSDKDMGVDVEDGDEADSSRLQKLAAQAKAFHPHDDDDEDSDDDYSDDEELQSPIDEVDPFIFFMDTIKVMQASDPPRFQNLTQTLDFRHQALAHGVAQHAEQRRVEIEKERMEQASATVAS >Manes.16G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29047928:29050597:-1 gene:Manes.16G084600.v8.1 transcript:Manes.16G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVEIIYTNGFSKLCSQPTHPNLDQSSSWYEETIDDDLKWSFALNSVLHKGTSEFQDIALLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPSLLCHPLPRNVFIMGGGEGSAAREALKHNSIEKVVMCDIDQEVVNFCRTYLTVNQDAFCNKKLNLVINDAKAELEKRTDKFDIIIGDLADPVEGGPCYQLYTKSFYEQILKPKLNDNGIFVTQAGPAGIFTHKEVFSSIYNTIKQVFKYVVAYSAHVPSFADTWGWVMASDQPFSIDAEEIDRRIEERIEGELLYLNGAAFISSATLNKTVSLSLLNETHVYTEDDARFIPGHGLGYRN >Manes.16G084600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29047928:29050597:-1 gene:Manes.16G084600.v8.1 transcript:Manes.16G084600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVEIIYTNGFSKLCSQPTHPNLDQSSSWYEETIDDDLKWSFALNSVLHKGTSEFQDIALLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPSLLCHPLPRNVFIMGGGEGSAAREALKHNSIEKVVMCDIDQEVVNFCRTYLTVNQDAFCNKKLNLVINDAKAELEKRTDKFDIIIGDLADPVEGGPCYQLYTKSFYEQILKPKLNDNGIFVTQAGPAGIFTHKEVFSSIYNTIKQVFKCIRPTLLYRC >Manes.10G080500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19364441:19365536:1 gene:Manes.10G080500.v8.1 transcript:Manes.10G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRACRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Manes.12G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17652310:17654961:-1 gene:Manes.12G091800.v8.1 transcript:Manes.12G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSVSNSANSGTAAHPACASCKHQRKKCGEDCILAPYFPAERSREFQAVHKVFGVSNVMKLVRSVKEEDRKTVADSLVWEAFCRQNDPILGPLGEYRKIQEELKLYKNQTQTQNQNQTQTQTQILNQNQLVQQQQGSMIYKGTPGMVAWNNGINGVNNKGIEGGLPTTTTNNNNMVNFSHENGSMIYSSYPLNYVQGPEKIMKQEKDVSSRLLPLQPQQHHSIATGFNHQQQYYLPGQFGSMNGKTIDNSIWEGGP >Manes.03G158400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28642444:28643817:-1 gene:Manes.03G158400.v8.1 transcript:Manes.03G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFTPPFQLLELNMISAQNLAKVSRKMKTYAVAWVHPERKLCTTVDNQSDNNPTWNDKFVFRVDDEFLYGETSAIMIEIYAVNWFRDVQVGTVRVTVGNLIPPPQLHRQHHIRLGMRFVALQVRRRSGCPQGILNIGVAILDPSKRSMPLYTQNASAIGYRHLMGEKDPRMHKEDEKNSDEQNQLLLPWTPKPELRRIKSDTSSMIGSVMVPKRTTNKRKAGSMVNGSAYEKQNSNASSMITGSEINTKGNKSKPDSTSNGLGYGALTMAKYKSRISGGKNTIKKNHHGSFDDISSLKFNQLELDIGKLNFENQNRMNLNGGVPLISESELGPSASEVAAKVARKRNHSGIEEMESEIISSWSLESGMEGLQSKLERWRTELPPAYDHSDLSSSLCSGHEIRRRIRSSESDIDGVFSCFITLGSFECSIVCGGPSTRKKSGRVKRSSSLSSLSFL >Manes.06G000200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:183556:199701:1 gene:Manes.06G000200.v8.1 transcript:Manes.06G000200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSREILNFLVRKDARKILKRKDSDAGERGRALEELRASLFNKFRSHESAKRHEQRLCHPIVALSFNFLVAISIIFMNKWVLQGVGFHFPICLSFIHYSISWALMAILKALSVLPASPPSKSSILSLFTLGFVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVLLEFVWFKKRVSVSKVVALTVVSVGVAVATVTDLQFSLFGACVALAWIIPSAINKILWSTLQQRENWTALALMWKTTPITLVFLASLVPFLDPPGVFAYQWNAINTLLILGSAFLGFLLQWSGALALGATSAISHVVLGQFKTCVVLLGNFYLFGSNPGVTSIFGAFTAIAGMSAYTYLNLFSMKSQAGKTSPRKTAAAKSRLSRENGDSHDGYGGESV >Manes.07G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34549459:34552378:1 gene:Manes.07G141700.v8.1 transcript:Manes.07G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAIHMREDTTFSSNPKPHFVLVHGVSGGGWCWYKIRCLMENSGYKVSCVDLKGAGIDQADANSVLSFDEYNKPLMDFLSSLPDHEQVILVGHSAGGLSITQATHKLAKKIRLAVYVAATMLKLGFWTDQDIQDGVPDLSSFGDVYELGFGLGCDQPPTSAIIKKEFQRQIIYQMSPQEDSTLASMLLRPGPILALQSAQFKEEDDNGIDKVKRVYIKTMHDHVIKPQQQEAMINRWPPSQVYVLDTDHSPFFSSPFVLFGLLVKASASVGYV >Manes.14G110400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9288273:9289937:-1 gene:Manes.14G110400.v8.1 transcript:Manes.14G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTGETPTLLGIMGRFIRKRLFNILSQGPIPSHIAFILDGNRRFARKNKLEEGDGHKAGFLSLLSALTYCYELGMKYATVYAFSIDNFRRRPNEVQYVMDLMLEKIKMIMKEESTLNSYGIGVRFKGNIKLLSEPLQIAAEKVMKATAHNSRFLLYICVAYTSTDEIVHAVEESCKENLNSTDQQELEKANGTANSVIPAEKMKPFSDIKLVELERNFYITEDVDVLIRSSGEKRLSNFLLWQATHCILYSPTALWPEIGFWHIVRAVVDFQRYHSYFDKKKKQL >Manes.04G160000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35461435:35468805:-1 gene:Manes.04G160000.v8.1 transcript:Manes.04G160000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYPSHKLCGFLSTVLALPSLDPSLSFLSPCHVFADGSEIGFKAQNGVFLFPVTSSKIDCPSPLQRNSDVSSSSSSSRKRRYKRGIGMVNGSLSVVHQIQALVSSKCIKVVARILKVQVCESGEHEAKAVVLVDVYLPVELWTGWQFPKSGSIAGALFRHLSCDWGKRSSMLADEGGFFENVNGTSVWNLSDCHVLGCQLHCNVPDSSKRRRFELHEIFKGLPSVGNKEKFYSSRVKPADGSFGSGIWDLTDDILTSILTVLGPMDLVRVSATCHHLRSLALSIMPCMKLKLFPHQEAAVEWMLQRERNAQVSPHPLYMNFSTEDGFTFYVNIVSGELVTEIAPTFTDFRGGMFCDEPGLGKTITALSLILKTQGTIADPPDGVQVTWCSHNGDQRCGYYELNGDNFTRDSKILGKRAMSLSPHRTLFSLDNLSYSTPKRTKSMDLSKQAVQVDESCSGKGMKSLSASCSKPAAQVFRCTRSLSRVKRNLFLTYKEESDFGSKRNIVGNATRRKCDFSVPMNTSWDQHLDMSCGEASADCLVYNETWVQCDACRKWRKLTDAVPNASEAWFCSMNNDPAHRSCKDPEEAWDGCESITYLPGFHPKGTSGANEQNVSFFISVLKEHWSVTNSKTKKALAWLAKLPSERLSQMETIGLLCPILDNCSLSGSDIHAYHKIFQSFGLIKRVEKVSRWYYPQTLENLVFDVDALKIALCNPLNSIRLYLSRATLIVVPANLVDHWKTQIQKHVKPGQLRVCIWTDHKKPSAHGLAWDYDVVITTFNRLSAEWGSSKRSPLMQVHWLRVMLDEGHTLGSSLNLTNKLQMAISLMATNRWLLTGTPTPNTPNSQLSHLQPMLKFLHEEVYGQNQKSWEAGILRPFEAEMEEGHSRLLQLLHRCLISARKKDLKTIPPCIKKVTFLNFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNQKQWKFRSATIRNVRLSCCVAGHIKVTDAGEDIQETMDILVEKGLESKSEEYALIKYYLQYGGNCLRCKEWCRLPVVTPCRHLLCLDCVGLDSERCTFPGCGNLYEMQTPENLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVSYLVQRLKSLQEANIESGHFVDKERDTRDIEQPCPSLMCDSSALLLDCSRQSSESSKAATEKVLIFSQFLEHIHVIEQQLTFAGIKFAGHYSPMHSSNKMKSLTTFQHDATCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMRGTIEEQMLEFLKDTDECRKLLKEEFGKSNHQGARPHRSLHDFAERNYLAQLSFVYTSPRT >Manes.04G160000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35461435:35468805:-1 gene:Manes.04G160000.v8.1 transcript:Manes.04G160000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYPSHKLCGFLSTVLALPSLDPSLSFLSPCHVFADGSEIGFKAQNGVFLFPVTSSKIDCPSPLQRNSDVSSSSSSSRKRRYKRGIGMVNGSLSVVHQIQALVSSKCIKVVARILKVQVCESGEHEAKAVVLVDVYLPVELWTGWQFPKSGSIAGALFRHLSCDWGKRSSMLADEGGFFENVNGTSVWNLSDCHVLGCQLHCNVPDSSKRRRFELHEIFKGLPSVGNKEKFYSSRVKPADGSFGSGIWDLTDDILTSILTVLGPMDLVRVSATCHHLRSLALSIMPCMKLKLFPHQEAAVEWMLQRERNAQVSPHPLYMNFSTEDGFTFYVNIVSGELVTEIAPTFTDFRGGMFCDEPGLGKTITALSLILKTQGTIADPPDGVQVTWCSHNGDQRCGYYELNGDNFTRDSKILGKRAMSLSPHRTLFSLDNLSYSTPKRTKSMDLSKQAVQVDESCSGKGMKSLSASCSKPAAQVFRCTRSLSRVKRNLFLTYKEESDFGSKRNIVGNATRRKCDFSVPMNTSWDQHLDMSCGEASADCLVYNETWVQCDACRKWRKLTDAVPNASEAWFCSMNNDPAHRSCKDPEEAWDGCESITYLPGFHPKGTSGANEQNVSFFISVLKEHWSVTNSKTKKALAWLAKLPSERLSQMETIGLLCPILDNCSLSGSDIHAYHKIFQSFGLIKRVEKVSRWYYPQTLENLVFDVDALKIALCNPLNSIRLYLSRATLIVVPANLVDHWKTQIQKHVKPGQLRVCIWTDHKKPSAHGLAWDYDVVITTFNRLSAEWGSSKRSPLMQVHWLRVMLDEGHTLGSSLNLTNKLQMAISLMATNRWLLTGTPTPNTPNSQLSHLQPMLKFLHEEVYGQNQKSWEAGILRPFEAEMEEGHSRLLQLLHRCLISARKKDLKTIPPCIKKVTFLNFTDEHARSYNELVVTVRRNILMADWNDPSHVESLLNQKQWKFRSATIRNVRLSCCVAGHIKVTDAGEDIQETMDILVEKGLESKSEEYALIKYYLQYGGNCLRCKEWCRLPVVTPCRHLLCLDCVGLDSERCTFPGCGNLYEMQTPENLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVSYLVQRLKSLQEANIESGHFVDKERDTRDIEQPCPSLMCDSSALLLDCSRQSSESSKAATEKVLIFSQFLEHIHVIEQQLTFAGIKFAGHYSPMHSSNKMKSLTTFQHDATCMALLMDGSAALGLDLSFVTHVFLMEPIWDRRTLMNVGNY >Manes.11G082700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:18219361:18220388:1 gene:Manes.11G082700.v8.1 transcript:Manes.11G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSLVFFVMSLVLAMPCTQAFHIDKWHQRIKAQKETVTNLQFYFHDTVSGKNPSAIKVAQSTDTERSPTLFGAIMMADDPLTQGPDPKSKLVGRAQGLYGSAGQSELCLLMAMNFAFTDGTYDGSSISLLGKNSAMSPVREMPIVGGTGIFRLARGYAIAKTHWLDITTGDAIVGYNVTVVH >Manes.04G154100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34968796:34970068:1 gene:Manes.04G154100.v8.1 transcript:Manes.04G154100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLNRNLLSHIQKRLLQSFYSTSSLPTTTSPSFTVQNLVNSSRNPLESILSDSKHGKNYLKRTQSILKVLKAYNFSDTHVARLIVKWPKLLNCEVSSNLQPKLEYLMKHGFVGELLPELIVSNPTILKRALDTHIKPSLEYLRSYLCSNDNIVASVKRCSWLLTSDLKGAMQPNAEFLIKEGVSLHRLQKIIMLQPRAIMQKHQNMVYAVNAVKNLGLKPTSPMFIHAVRVMISMSESTWKKKIELMKSFEWSEEEILSAFVRDPLCLACSEKKIKNVMDFYMNTVKLEPRNIISYPKFLMYAVEKRLRPRYDVLKVLESKKLIQGNRKIEWLFTINEKNFMKNYVFRYADEVPGLLEMYVGAKEVKETVIS >Manes.11G159900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32535402:32537276:-1 gene:Manes.11G159900.v8.1 transcript:Manes.11G159900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNASSLWLESISSVFSLLFFGFTFLFSFFSLLVFVFRLKPWCNCEVCKSYLTASWTKDFANLCDWYTHLLRKSPTGTIHLHVLGNVITSNPENVEYILKTNFDNYPKGKPFSALLGDLLGRGIFNVDGDSWRFQRKMASLELGSVSIRIYAFELIMSEIRGRLIPLLSSNADKNQALDLQDVFRRFSFDTICKFSFGLDPGCLRLSLPISEFALAFDTASKLSAERAAAPSPIIWKIKRLLNLGSEKKLKEAIKYVDELAEELINHRRKVGFTDKKDLLSRFMGSINDDKYLRDIVISFLLAGRDTVASGLTSFFWLLSQHPEVESAIRDESERIIGPSQENISYEQLRGMHYLNAAIYESLRLYPSVQFDSKFAEEDDILPDDTFVTKGTRVTYHQYAMGRMERVWGPDCLEFKPERWLKNGVFVAENSYKYPVFHAGFRVCLGKEMALVEMKSVALQMIRSFNVRVVDPDQPPRFSPGLTATVRGGLPVLIQERESSTNHHHHGHHI >Manes.14G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8292985:8295672:-1 gene:Manes.14G100200.v8.1 transcript:Manes.14G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLDAILGRTFKTSKFIPLAHLATSRLAVFKNQHQVRCNQARSDVLQLLQQGHHERALLRVEQVIKEQHLLDVYVMMEGYCNLLVERVHLIVQERVCPDELKEAVSSLFYASTRCGDFPELQEIRKVFTSWYGKEFAARSIELRNNCGVNPKMIQKLSTRQPNLDSRMKLLKEIASENNIVVQLEEASDTNEEKMEVSKKQNQHEHKMSTNSDSSKLVSPEEMEKVALNDSVKAKKNYRDVSDAAQAAFELAADAAAAARAAVELSRSEPHDPDNHNSPGNRGKKVTDKDESMKLESEQKNHVFHHGNEGKKLEANDSAEQKGLTSTATSNSGPTEEGLRVYSMSLDAEHLVEQLEKDIVLDESDDETHDLGSNIKSGKIKGEIKAAEKKIPSIIQAGLHREKGPISVRTRGLRGY >Manes.03G197000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31460003:31460799:1 gene:Manes.03G197000.v8.1 transcript:Manes.03G197000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGAAGAGGAKGKKKGETFTIDCSKPVEDKIMDIASLEKFLQERIKVGGKAGVLGDSVTVTREKSKITVTSDSRFSQRYLKYLTKKHLKKHNVRDWLRVIASNKDPNVYELRYFNIAENEGEDEG >Manes.11G140201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30558247:30559988:1 gene:Manes.11G140201.v8.1 transcript:Manes.11G140201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTFKIKSKQGADHKQPLTKQPISVYVQTLGSSPSISHLLCCGRLSGLIDHEKQPSVVEQESKIFPFVLAQSPLSLAYLAEGGSHWSLVVYDRIANVFVHRDSCSGTNKRHALQLYKAVVRFVGASDGAADGKYMELVNSPQQVNCGLYVTAIARGICSWNKNCHQTDRGFLWFCVVNDQVTPSAVAAMGNEILCMIRRLMESK >Manes.06G056400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15307054:15311257:-1 gene:Manes.06G056400.v8.1 transcript:Manes.06G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYLHWIIIAFLAASADAATTYTVGDATGWAVPTNISFYDNWVADKSFEPGDSLVFNWTSTHNVLEVTSKAEYDSCTKTNGILNETSPVTINLTANGTLYFICTIGPHCTLGQKVTIKVGNGVSTSPPPSNSANPPFTISAFWPLLSAILMYFFASPAHIVY >Manes.12G139600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34593258:34594438:1 gene:Manes.12G139600.v8.1 transcript:Manes.12G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLYEFLARLYTVATVFFCLLALEAVILFRSVTGSITSSDNRNAISTTEYLQLIEEKNPAILYTEMLREQLVIECSVCLSEFLEGESVRNLKCKHTFHKDCLDKWLQQYLATCPLCRTKVLPDEIVADYHRLRDQTDQYDGSDEEMIFLLSALHGNGLQRIF >Manes.04G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2806795:2816272:1 gene:Manes.04G024300.v8.1 transcript:Manes.04G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHAVTEVEKNAFCTNGDSSSSSCSSNSSPSPASSSIYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQVAYSAPFSPVEMPTFNLHPQIFCKVVNVQLLANKENDEVYTQLALLPQPELVGQKLEGKELEDLGVDEEGGAGLPAKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGENGELRLGIRRAARPRNVLPDSVIGKQNSYPSVLSPVANAISTNSMFNVLYSPRASHAEFVVPYKKYMKSIMNPVCIGTRFKMRFEMDDSPERRCSGVVTGKSDLDPYRWPNSKWRCLMVRWDEDIGSDHQERVSPWEIDPSVSLPPLSIQSSPRLKKLRTGLPAMPPDNPITGGGEFLDFEESGRSSKVLQGQENVGFVSPLYRGDTLNRSPDFEMQNLAHQNLVSIGREKANIGEITRARSTTYTGFAESDRFPKVLQGQEICQLRSLTGKSDFNLGAWGKPNLGFGPFNIYQAPRANFYPLAAESLQNMYFHYGDIYKTGQDPRMRSYATNFPRENFQFGASSMLTARDEVGKSNQSHEHKPQETISATPALGVNLINQEDNSFNGTSSGCKLFGFSLTAESAIPNSQNSGKRSCTKVHKQGSLVGRAIDLARLNSYSDLLVELERLFSMEGLLRNPNKGWQILYTDSENDVMVVGDDPWHEFCNVVSKIHIYTQEEVEKMTIGVIGDDTQSCLDQAHAVMEASKSSSVGQPDFSPQ >Manes.08G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2734136:2735408:1 gene:Manes.08G028500.v8.1 transcript:Manes.08G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSESTAIDVPESSAVPKGKASLMAATRDAKGGYNKGFAIFDFILRLGAIVCALAAASTMGTSDESLPFFNQFFQFQASYDDIPTFQFFVIAMAMIAGYLVLSLPFSIVSIVRPHAAGPRILLLIFDTVSLALNTAAAAAAADIVYLAHNGNSTTNWFAICQQFGDFCRKVSGAVVASFASAVVFMLLVIMSGLALRRQ >Manes.11G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2420513:2424642:-1 gene:Manes.11G023900.v8.1 transcript:Manes.11G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYIGTNIQTNEEVALKLETIKTKHPQLLSESKLYKTLQGGTGIPNVKWFGVEGDYTVLVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEYVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQYDYVFDWTILKYQQAQITSPPTCALGPGAGPSSGIPPLGATVDKRSGGEEGRPGWPSTDASRRRNSGPVTSSANLFKQKGLTTNDLPLSKDATLSSSNFLRSSGPSRRPAISSSREAVIVRSDTDPTRSRTTDVSPAVKISSVQRSLPIASSEQKHPSSGRNSSNIKTFESALRGIESLRFNKEERIQY >Manes.06G068700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20490775:20494914:-1 gene:Manes.06G068700.v8.1 transcript:Manes.06G068700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESTTTSTLLEDLVEKAGGCAIIDGGFATQLETHGAAINDSLWSALCLIKDPDLIKRVHLEYLEAGADVLITSSYQATLPGFISKGLSLEEGELLLKKSVKLAIEARDKFWDAVKCNPLQRYNRALVAASIGSYGAYLADGSEYSGYYEPDVKLEKLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQACVELLEEENIKIPSWICFSSVDGENAPSGESFQECLEIINKSNKINAVGINCAPPHFIESLICKFKELTEKLIVVYPNSGEVWDGRAKRWLPSTCFDDDKFELSAIRWHELGASLIGGCCRTTPSTIRAISKVLKERE >Manes.10G153100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31965220:31966175:-1 gene:Manes.10G153100.v8.1 transcript:Manes.10G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECNPTSKQRYDITMSKRTRKPFSLPQLKVHAPTKASPEKDRATGDSDHRSLKQLMINGKINTEGTNNEGNTSDESENRVRNSLGQHFSEEEKKQQQQLLLVKKQKQELIHGAKLKGVMGGYVKVLSHLIKAKRNSSSSSTRSNTGSRKKPVLRLAM >Manes.13G131800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34067881:34068180:1 gene:Manes.13G131800.v8.1 transcript:Manes.13G131800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVISQSQQSLSAEDRENQLQLGPISTQIHLKSSSSSNQASSSSVMDREVILRRIRHHKTLKKVQNAFQALISSSEHENMVSKNRQRWLDHEDCFSSP >Manes.08G033100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3157213:3163845:-1 gene:Manes.08G033100.v8.1 transcript:Manes.08G033100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISSFFKSSSSSSSSKSDQDLPSIFKDDDHELALWENAEHQFINTYKRRAPKSNDGNKGIEANKRPGSDKSAKPISKDLCWKPESISGKVLNKKRSYAQFHLDLGQSDFNLRTCSTCGVKYAPGEEEDEKNHKIFHRKYTHGVQYKGCRNERVIHMPYSEEGRVVLVFGSDPFALRNKVQEVIKMMEIDLGEGWIFHKLCKVYLFISSRRVVGCVVAEPIKEAFKVLPCSVDRRSDGATAMNSKSDSSILRFGEIILQRETTKRAPAVSSLEVLDGESNGAIVCEERAAPAICGIRAIWVTPSNRKKGIANQLLDAVRRSFCTGFTLQQSQIAFSPPTSAGKALASNYTGTASFMVYKPNAVDS >Manes.08G033100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3157213:3163845:-1 gene:Manes.08G033100.v8.1 transcript:Manes.08G033100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISSFFKSSSSSSSSKSDQDLPSIFKDDDHELALWENAEHQFINTYKRRAPKSNDGNKGIEANKRPGSDKSAKPISKDLCWKPESISGKVLNKKRSYAQFHLDLGQSDFNLRTCSTCGVKYAPGEEEDEKNHKIFHRKYTHGVQYKGCRNERVIHMPYSEEGRVVLVFGSDPFALRNKVQEVIKMMEIDLGEGWIFHKLCKVYLFISSRRVVGCVVAEPIKEAFKVLPCSVDRRSDGATAMNSKSDSSILRFGEIILQRETTKRAPAVSSLEVLDGESNGAIVCEERAAPAICGIRAIWVTPSNRKKGIANQLLDAVRRSFCTGFTLQQSQIAFSPPTSAGKALASNYTGTASFMVYKPNAVDS >Manes.08G033100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3156691:3163845:-1 gene:Manes.08G033100.v8.1 transcript:Manes.08G033100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISSFFKSSSSSSSSKSDQDLPSIFKDDDHELALWENAEHQFINTYKRRAPKSNDGNKGIEANKRPGSDKSAKPISKDLCWKPESISGKVLNKKRSYAQFHLDLGQSDFNLRTCSTCGVKYAPGEEEDEKNHKIFHRKYTHGVQYKGCRNERVIHMPYSEEGRVVLVFGSDPFALRNKVQEVIKMMEIDLGEGWIFHKLCKVYLFISSRRVVGCVVAEPIKEAFKVLPCSVDRRSDGATAMNSKSDSSILRFGEIILQRETTKRAPAVSSLEVLDGESNGAIVCEERAAPAICGIRAIWVTPSNRKKGIANQLLDAVRRSFCTGFTLQQSQIAFSPPTSAGKALASNYTGTASFMVYKPNAVDS >Manes.08G033100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3157213:3163845:-1 gene:Manes.08G033100.v8.1 transcript:Manes.08G033100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISSFFKSSSSSSSSKSDQDLPSIFKDDDHELALWENAEHQFINTYKRRAPKSNDGNKGIEANKRPGSDKSAKPISKDLCWKPESISGKVLNKKRSYAQFHLDLGQSDFNLRTCSTCGVKYAPGEEEDEKNHKIFHRKYTHGVQYKGCRNERVIHMPYSEEGRVVLVFGSDPFALRNKVQEVIKMMEIDLGEGWIFHKLCKVYLFISSRRVVGCVVAEPIKEAFKVLPCSVDRRSDGATAMNSKSDSSILRFGEIILQRETTKRAPAVSSLEVLDGESNGAIVCEERAAPAICGIRAIWVTPSNRKKGIANQLLDAVRRSFCTGFTLQQSQIAFSPPTSAGKALASNYTGTASFMVYKPNAVDS >Manes.08G033100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3156692:3163845:-1 gene:Manes.08G033100.v8.1 transcript:Manes.08G033100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKISSFFKSSSSSSSSKSDQDLPSIFKDDDHELALWENAEHQFINTYKRRAPKSNDGNKGIEANKRPGSDKSAKPISKDLCWKPESISGKVLNKKRSYAQFHLDLGQSDFNLRTCSTCGVKYAPGEEEDEKNHKIFHRKYTHGVQYKGCRNERVIHMPYSEEGRVVLVFGSDPFALRNKVQEVIKMMEIDLGEGWIFHKLCKVYLFISSRRVVGCVVAEPIKEAFKVLPCSVDRRSDGATAMNSKSDSSILRFGEIILQRETTKRAPAVSSLEVLDGESNGAIVCEERAAPAICGIRAIWVTPSNRKKGIANQLLDAVRRSFCTGFTLQQSQIAFSPPTSAGKALASNYTGTASFMVYKPNAVDS >Manes.11G133400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29772256:29773571:1 gene:Manes.11G133400.v8.1 transcript:Manes.11G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKVKKGAGGRKGGGPKKKPTSRSVRAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTEKATKEPKSPSKATKSPKKA >Manes.16G134300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33517680:33521336:1 gene:Manes.16G134300.v8.1 transcript:Manes.16G134300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLPLRILSSLLLLGLIWFIIYLCNTIFILLISSHRVKRKLCMQGITGPSPSFLYGNLSQMQQIQSNAINRSHTHGDFVAHDYTSSIFPYFEHWRKLYGPVYTYSTGFRQHLYVNDPEMVKEMNQSLSLDLGKPTYMTKRLEPMLGKGIIRSNGHVWAQQRKIIAPEFFMDKTKGMVGLIVESAKPLLRKWEQCIEAQGGRQADITIDEDLRGLSADVIARACFGSSYMKGKEIFSKLRTLRTLITKQSILFGITSFGFFAYKNQKLKRNLETEIESLIWETVKERENQSLDKSYIEKDLMQQLLEAAVNNSNSSNSNFSPKHFIVDNCKNIYFAGHEATATAATWCMMLLALYPEWQSRIREEVTQFCSEGLDANSLSNLKTVTMVIQEALRLYPPGAFVSREALEQVQIGKITVPKGVCIWTLIPTMHRDTTIWGPDANEFRPERFADGVSKACKFAQAYIPFGVGTRLCVGRNLAMVELKVVLSLIVSEFTFSLSPNYQHSPAFRMIVEPEHGVQIIIRKV >Manes.17G064600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26462848:26465945:1 gene:Manes.17G064600.v8.1 transcript:Manes.17G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFRAISRNIKSPPKIFPFAVLYFKISHHSYVSGCILNGVNGKYSTEAITHSYRALLSNHLKNQRLDEARIIFDRIPTPDVHLYTMMISGYAKNDRLTDALMMFERMAVRDVISWNSMIKGCLDCGDLALARRLFDEMPERNVVSWTTMVNGYLKFGIVEVAERLFWNMPARDVAAWNAMIYGYCVNGRVEEGLKLFEKMPCRNVISWTSMIGGLDQNGKSEESLFLFGRMMASGVEPTSSTFACVLTACANAINFIIGVQVHGHVVKLGYCFGEYISASLITFYSNCKEVKKAHQVFSETLSKNVVVWTALLTGYDLNCKHGDALMVLSDMIKMGVFPNQSTFTSAFNSCRGLEGLDKGKEIHTVAIKLGLETDVFVGNSLVVMYNECGNINDAAAAFKKIDEKNTVSWNSIIVGSSQHGHGIWALIFFNQMIRACVDPDEITFTGLLSACSHSGMLQKGRCFFEYIRQYKPTALELQHYACMVDILGRCGKLEEAEELIKTMPMKANSMIWLALLSSCRMHSNLDVAERAATSIFDLEPHCSAAYVLLSNLYASAGRWNDVSRMRAKMKLVGVVKQPGSSWIILKGMKHEFLSGDRSHPVSEKIFEKLDWLGEKLKQYGYVPDQRFALHDVDDEQKEEMLSYHSERLAIGFALISTVEGSTITVMKSLRICGDCHYVIKLTSKIVGREIIVRDSSRFHHFRNGTCSCGDYW >Manes.04G048409.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5575337:5576609:1 gene:Manes.04G048409.v8.1 transcript:Manes.04G048409.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIVCRNHFFILVEGEATGRLLRYDPPTKTIHVVLDGLAFPNGIQLFEDQSFLLLTETTNYRLMKLWLEGPKTGTVELVADLPGFTDNVRINDKGQFWVAIDCCRTPAQYVLTHNPWMRIIYFQDSQGVAMKIVSEVRKVKGKQWIGTMAHNHIATLPYPYELCIN >Manes.15G113900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9032663:9035817:-1 gene:Manes.15G113900.v8.1 transcript:Manes.15G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSAGFAIKSFRSVAYHTSSCTLPNPSASLIHEFTQFCYQRNLPRAMEAMDAMHKHGIWADSITYSELIKCCLARGAVEQGKRVHKHLFSNGYHPKKFLINVLISMYVKFNMLDDARELFDQIPERDFVSWTTMISAYANAKLNDKALEFLILMLREGVKPNMYTYSSVLRACDGLSNLKQLHANIIKSGLDSDIYVRSALIDIYSKWSQTGNALRVFNEMATEDLVIWNSIIAGFAQNGDSDEALKLFKRMKRAGFPAEQATLTSVLRACTGLALLELGRQVHVHVFKYDQDLILNNALLDMYCKCGSLEDADTVFTRMVVKDVISWSTMIAGLAQNGYSREALRLFESMKVSGLKPNYITFLGALFACSHAGLLEAGWYHFRSMKKLFGVDPGREHYCCMIDLLGRAGKLDDALELINEMECGPDVATWRTLLGACRVHRNVDLAIHAAKQILRLYPQDAGTYILLSNIYANAQRWNDVAQIRRTMNDMGIRKEPGCSWVEVNKQIHAFILGDNSHPQLDEINKHLTRLIQKLKGVGYVPDTNFVLQDLEGEQREDSLRHHSEKLALVFGLMSLSKEQTIRIRKNLRICGDCHLFAKLVAKVEHRIIVIRDPIRYHHFRYGVCSCGDYW >Manes.05G203300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32863265:32866666:1 gene:Manes.05G203300.v8.1 transcript:Manes.05G203300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIVPDKTNNTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVITKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGEVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYSAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFSEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKIDESEDEKQKKEQLKQKFEALCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMRAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDAGEGDADMPVLEEADADAEGSKMEEVD >Manes.15G188721.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31158108:31158583:-1 gene:Manes.15G188721.v8.1 transcript:Manes.15G188721.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLFLWLASVETFIELTLSAMKNIADQID >Manes.12G060113.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5277963:5280134:-1 gene:Manes.12G060113.v8.1 transcript:Manes.12G060113.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSPKDPYYSETTTRRVASASSSSSASTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLENRSACDAGIDVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRARINLKVLRFGMMGSAVGSVMGCIFLVLSMVDVIQIRLGMLSCGSKSAVHSVTALVLLVSSALLIYISTACYAFLH >Manes.14G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6257988:6259746:-1 gene:Manes.14G074800.v8.1 transcript:Manes.14G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCPGLYHDIGKKARDLLYRDYAHQPRTHFHYQDIKWNFELSCETPEILPGVTTLFRFTVPDSCQVELRFLRDYFGIAAGVGVRAYEQGPFRGDGYNPVVNLSGVAGNSLFSLGTDISFNVATRTFDEFSAGLSFNSPFLISALNLDDKLDAVKASCYYTFNPLSRSAIAAELKHKFSENGATTVTVGAQHSFFPFTLMKARVNNEGKIGGLVRLEVWEKLLVAITGEVDFRASNKISKIGMSMAFSL >Manes.14G074800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6256155:6259746:-1 gene:Manes.14G074800.v8.1 transcript:Manes.14G074800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCPGLYHDIGKKARDLLYRDYAHQPRTHFHYQDIKWNFELSCETPEILPGVTTLFRFTVPDSCQVELRFLRDYFGIAAGVGVRAYEQGPFRGDGYNPVVNLSGVAGNSLFSLGTDISFNVATRTFDEFSAGLSFNSPFLISALNLDDKLDAVKASCYYTFNPLSRSAIAAELKHKFSENGATTVTVGAQHSFFPFTLMKARVNNEGKIGGLVRLEVWEKLLVAITGEVDFRASNKISKIGMSMAFSL >Manes.04G126526.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32837089:32848317:1 gene:Manes.04G126526.v8.1 transcript:Manes.04G126526.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCCCFFGRRNRRKKGNHPPIAEESSIPIEATVNKEQEIKEESAIPIEANISAEKEIIEESDFPIEAAASREKGVRTFSYDELAKAAGYFSIDDNNRLGLGLTGEVFKGELSNGEVVAIKRLKHQANPEHEEMARHQYQVEAEILSHIEPHQNIVKVIGYCNDASNRLLVYEFVPNNSLKSCLHGNEKQTIKWSDRLKIALGIAEGLTYLHEICEPRIIHRDIKSANILLDDKFIPKIGDFGLAKEFMSSHTHVSTAPRGTISYEPPEYYAADLSTKLTEKSDVFSFGVVLLELITGKFAILGGDERLVDWALSPLKQVLETNNKEDLDMKKYNNLVDFKLQKDNDKKEMSRMIYCAAACVYKPMKLRPKMSEIVEVLKGNKEPTDYIWLRNDTQYLYQGSPYPPLPEALRPAVP >Manes.S034816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:889573:889947:1 gene:Manes.S034816.v8.1 transcript:Manes.S034816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.17G068900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26890121:26892306:-1 gene:Manes.17G068900.v8.1 transcript:Manes.17G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLHLLLHFVTLLPFVSCLSHEEVRDHKNTREYIHKLSPKMKSEVALHGVLLWVSMGLLAPVGILLVRMPHREEGGSRRKLFFYLHLILQILSVLLATSGAIMSIKSFENSFDNNHQRIGLALYVAVWVQAAIEFRRPHRGSKRRSTWYFLHWILGTVISLVGIINIYTGLDAYHQKFSANTRIWTIVFTAQISFMAFFYLFQDKWEYMQKQAVILGNIEPITPTITTQSDAHKELVPEPCVKRNALSNLFD >Manes.13G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5420560:5423269:-1 gene:Manes.13G046500.v8.1 transcript:Manes.13G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSFFRILMEFSFPLLQSLILAVLMVGGVFANYKITSFDENYEVTWGFDHVLSLNQGTQIQLSMDTSSGSGFASKLNFGSGFFHLRMKLPGNNSAGVVTAFYLSSHGNNHDELDFEFLGNNEGKPITLQTNVFANGMGNREQRIYLWFDPTADFHSYQVLWNQHQIVFFVDEIPIRVFKNKTNIGVSYPSRPMQVQASLWDGDSWATDGGQTKINWSYAPFKANFQGFDISGCSVLDISNILPCFSQKYWWNLDKFWKLNSSQQKAYDNVRNKHLTYDYCLDNPRFPIPPPECPQ >Manes.05G107500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10313412:10318113:1 gene:Manes.05G107500.v8.1 transcript:Manes.05G107500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEASLSLIMLATFLHLLPGMGTKVHCESCFPGYFSMRDLNEDSNSCSWPLYYGDRAFTNGQYYNGFLPRTITDVYPGYDKDVVKQTMLEHEAIFKTQLCELHRLYRIQRDLMDESKRKELYKNRMPIETSLSSSPLASQITSEDARRWHIPGFPLGNSVCGGPSTSCTEDMHSPLSSIKGSSAQASPLLSQNGGTPKDMEILDSRPTKVRRKMFDLQLPADEYLDTEGEQVQLRDENVCGISNYLSNRNHNVATATSGKTNCQGDAFQSESCLKSKNNLADLNEPIDVEDTNGSANDLLGCTSSHCEIQEHELATKPKSQFLGFPKEVLLNHHHGSNNGIASDLYLQNNVKGKLWFPHMLDSGNSNNNIKSPSQSLQPEKLPSSSQPLQVLSNKNNEPATLFHTDQSKVDQLRERTSCGSELSGRNNEVSNNNLPVSDVASHIPSQYAIDPSSDLSNCWSWSGSSRDKLGGSLSQKSMSVQMHLNLNSSATLSRSSQSSTQSHGVFGDQWNYNSSSASNLRVGTEMPDQNGFYHGSSSGSKDLLIRFPPGNRDFLNYNSASDAAQELIHHGLEKLYKSSNCVLSKSAQDVNLNAALSNSSSTKMTSQKGLEVIDLERNHEDHHVALPWLRTKPDREAEAVSAGVDLNMGGFSDLQSSLKQLSDKREAGRIPNQTVVQNMKSAPCSNVFEASRIEARDSSSCRRILGFPIFEKPDVSKIESSSLPSPSVLHPQPSQEVENNRKIRALDINLPCDPAVPDCFQQTVAEVLTEKEKDTQVANVRYEIDLNSCITEDESSLVPSAAGANVRMISGIDLEAPAVPETEEEIIPGEECLAKAHAAPSELAQHKTESPPDEFVRIAAEAIVAISVTGHNHQVDATHNPSEASMTDSLHWFVEIVSSFGEDLESKFAVLEAEGDSRDKEGPSLEDYFESMTLKLTETKEEDYMPKPLVPEDLKLEETGRTLLPTRTRRGQSRRGRQRRDFQRDILPGLVSLSRHEVTEDLQTFGGLMRASGHIWNSGLTRRSGCGRGRRRALASSSPPAVIASEPCTPLIQQLNNVEVRLDDRSLTGWGKTPRRPRRQRCPPGNAAALPLS >Manes.05G107500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10309555:10318113:1 gene:Manes.05G107500.v8.1 transcript:Manes.05G107500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFLHLLPGMGTKVHCESCFPGYFSMRDLNEDSNSCSWPLYYGDRAFTNGQYYNGFLPRTITDVYPGYDKDVVKQTMLEHEAIFKTQLCELHRLYRIQRDLMDESKRKELYKNRMPIETSLSSSPLASQITSEDARRWHIPGFPLGNSVCGGPSTSCTEDMHSPLSSIKGSSAQASPLLSQNGGTPKDMEILDSRPTKVRRKMFDLQLPADEYLDTEGEQVQLRDENVCGISNYLSNRNHNVATATSGKTNCQGDAFQSESCLKSKNNLADLNEPIDVEDTNGSANDLLGCTSSHCEIQEHELATKPKSQFLGFPKEVLLNHHHGSNNGIASDLYLQNNVKGKLWFPHMLDSGNSNNNIKSPSQSLQPEKLPSSSQPLQVLSNKNNEPATLFHTDQSKVDQLRERTSCGSELSGRNNEVSNNNLPVSDVASHIPSQYAIDPSSDLSNCWSWSGSSRDKLGGSLSQKSMSVQMHLNLNSSATLSRSSQSSTQSHGVFGDQWNYNSSSASNLRVGTEMPDQNGFYHGSSSGSKDLLIRFPPGNRDFLNYNSASDAAQELIHHGLEKLYKSSNCVLSKSAQDVNLNAALSNSSSTKMTSQKGLEVIDLERNHEDHHVALPWLRTKPDREAEAVSAGVDLNMGGFSDLQSSLKQLSDKREAGRIPNQTVVQNMKSAPCSNVFEASRIEARDSSSCRRILGFPIFEKPDVSKIESSSLPSPSVLHPQPSQEVENNRKIRALDINLPCDPAVPDCFQQTVAEVLTEKEKDTQVANVRYEIDLNSCITEDESSLVPSAAGANVRMISGIDLEAPAVPETEEEIIPGEECLAKAHAAPSELAQHKTESPPDEFVRIAAEAIVAISVTGHNHQVDATHNPSEASMTDSLHWFVEIVSSFGEDLESKFAVLEAEGDSRDKEGPSLEDYFESMTLKLTETKEEDYMPKPLVPEDLKLEETGRTLLPTRTRRGQSRRGRQRRDFQRDILPGLVSLSRHEVTEDLQTFGGLMRASGHIWNSGLTRRSGCGRGRRRALASSSPPAVIASEPCTPLIQQLNNVEVRLDDRSLTGWGKTPRRPRRQRCPPGNAAALPLS >Manes.05G107500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10309536:10318113:1 gene:Manes.05G107500.v8.1 transcript:Manes.05G107500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVHCESCFPGYFSMRDLNEDSNSCSWPLYYGDRAFTNGQYYNGFLPRTITDVYPGYDKDVVKQTMLEHEAIFKTQLCELHRLYRIQRDLMDESKRKELYKNRMPIETSLSSSPLASQITSEDARRWHIPGFPLGNSVCGGPSTSCTEDMHSPLSSIKGSSAQASPLLSQNGGTPKDMEILDSRPTKVRRKMFDLQLPADEYLDTEGEQVQLRDENVCGISNYLSNRNHNVATATSGKTNCQGDAFQSESCLKSKNNLADLNEPIDVEDTNGSANDLLGCTSSHCEIQEHELATKPKSQFLGFPKEVLLNHHHGSNNGIASDLYLQNNVKGKLWFPHMLDSGNSNNNIKSPSQSLQPEKLPSSSQPLQVLSNKNNEPATLFHTDQSKVDQLRERTSCGSELSGRNNEVSNNNLPVSDVASHIPSQYAIDPSSDLSNCWSWSGSSRDKLGGSLSQKSMSVQMHLNLNSSATLSRSSQSSTQSHGVFGDQWNYNSSSASNLRVGTEMPDQNGFYHGSSSGSKDLLIRFPPGNRDFLNYNSASDAAQELIHHGLEKLYKSSNCVLSKSAQDVNLNAALSNSSSTKMTSQKGLEVIDLERNHEDHHVALPWLRTKPDREAEAVSAGVDLNMGGFSDLQSSLKQLSDKREAGRIPNQTVVQNMKSAPCSNVFEASRIEARDSSSCRRILGFPIFEKPDVSKIESSSLPSPSVLHPQPSQEVENNRKIRALDINLPCDPAVPDCFQQTVAEVLTEKEKDTQVANVRYEIDLNSCITEDESSLVPSAAGANVRMISGIDLEAPAVPETEEEIIPGEECLAKAHAAPSELAQHKTESPPDEFVRIAAEAIVAISVTGHNHQVDATHNPSEASMTDSLHWFVEIVSSFGEDLESKFAVLEAEGDSRDKEGPSLEDYFESMTLKLTETKEEDYMPKPLVPEDLKLEETGRTLLPTRTRRGQSRRGRQRRDFQRDILPGLVSLSRHEVTEDLQTFGGLMRASGHIWNSGLTRRSGCGRGRRRALASSSPPAVIASEPCTPLIQQLNNVEVRLDDRSLTGWGKTPRRPRRQRCPPGNAAALPLS >Manes.17G020133.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:10889378:10890770:1 gene:Manes.17G020133.v8.1 transcript:Manes.17G020133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFMALEESSDEVTTLDDFTLNDDDVEFSYDELVGALKLMNDELEKSHRKNKILKCELASFKKESENSPKEPLPSNDSLQKSLDELSLENKNLKNEILELKNSLSKLLKGKDKLDEILDSQRSPSIKYGLGYDKSTQANFSKTVFVKATNSHEPKVSSSNGNVPKVSSSNMSMRNAPTRNAHVHQSTSYNTHIRHTPRQFAYKRNDHYRTHTSSSQNHHSNHISCSHAFNKQRRNGHMRTQTHSLTYGPRVRRFNGHCHYCGKFGHTNYKCSIRKLHLGYGSIWKLDSGMTNPQGPKYIWVPKSV >Manes.18G056500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4924548:4953474:1 gene:Manes.18G056500.v8.1 transcript:Manes.18G056500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPVKFLFGFLMVSITLWMVFIFASRLLAWILSRIVGASIGFRVGGWKCLRDVVVKFKKGAVESIAVGEIRLSVRQSLVKLGAGFFSRDPKLQVLICDLEVVMRSSSKGMQKNKTRKARTCNSGRGKWMVLANIARFLSVSVTDLVVKTPKAMIEVKELRLDITKDGGSKPNLLFKLHILPIVIHMGEPRVNCDQLSNLDGGACISTGETSFGVREGPYASFTCEEFSLSCEFGHDREVGVIICNLDINCGEVFVHLNEKLLSKNKISDASQTDKTVVNSAVVKDLQRKQSTLVAFIKYTSMFPQKVSFALPKLDVRFFHQEYGLVIENNIMGIKLKSIKSQCTEEMGESTRLDVQMDFSEIHLLREAGTSVMEILKVVVVSFVYVPMEPTSPVRAEIDVKLGGTQCNIIMSRLKPWLQVHYSKKKKMVLREEIHAPVKSQSTASKAIMWTCTVSAPEMTIVLYSINGLPLYHLCSQSSHVFANNISSMGTTIHLELGELNFHMADEYQECLKESPFVVESNSGALIHIARVSLDWGKKDSESSEEDGARCKLALSIDVTGMGIYFNFKRVESLVVTAISFQSLLKSLSSSGKKTTESQSGRSSKPSGKGIQVLKFNLERCTVNFCGETSLENAVVADPKRVNYGSQGGRVVISVSDDGMPRTASVISTVSDDCKNLNYSLSLDIFHFTLCVNKENQTTEMELERARSIYQEHLEEHRPDTKVKLFEMQNAKFVRRSGGLKGIAICSLFSATEIAVRWEPDAHLSLIELILQLKLLVHKQKLQENGTERREEASSMRHTESGNFDKSKKKENIFAVDVEMLNISAGVGDGVDGVVQLQSIFSENARIGVLLEGLMLSFNGARVFKSGRMQISRIPSASSISSDSKLPSAITWDWVIQGLDVHIRMPYRLELRAIDDSVEDMLRALKLVAAAKTQLIFPMKKESSKPKKSSSMKFGCVKFCIRKLIADIEEEPIQGWLDEHYQLMKSEACELAVRQKFLDEFISKFSNCSKTAETNESATERKFNYNGVQIDVQDPSAIQKMQEEIYKQSFRSYYQACQKLVPSEGSGACREGFQSGFRPSTSRTSLLSISARELDVSLTRIDGGDDGMIEVLKKLDPVCREEEIPFSRLYGSNILLCASSLVIQLRNYTFPLFAATAGKCEGRVVLAQQATCFQPQIYQDVFIGRWRKVRLLRSATGTTPPTKSYFDLPLFFQKAEVSYGVGYEPSFADVSYAFTVALRRANLSVRNPGPLVQPPKKERSLPWWDDMRNYIHGNITLGYSKSIWHILATTDPYERLDKLQITSGSMEIQQSDGRIYISAKDFKIFLSSLERLANNCGLKLPTGVYGAFLEAPVFILEVTMDWDCDSGTPLNHYLFALPNEGKPREKVFDPFRSTSLSLRWNFSLRPSVPSCENQSSSSYMSDSTVYNPPHKPGNVSIVPTVLNVGAHDFAWLIKFWNLNYVPPHKLRYFSRWPRFGVPRIPRSGNLSLDRVMTEFFLRIDATPARIKHMPLDDDDPAKGLTFNMTKLKYEICFSRGKQKYNFECKRDTLDLVYQGVDLHMPKAILDKEDSTSVAKVVQMTKKNCQPSGMERVPSEKHNNISACTEKHRDEGFLLSCDYFTIRRQAPKAEPARLLTWQEAGRRNLEMTYVRSEFENGSESDDHTRSDPSDDDGYNVVIADNCQRVFVYGLKLLWNIENRDAVWSWVGGLSKAFAPPKPSPSRQYAQRKLLEEKQSHAEIKVNQDDISKPPSTSYNVDFPSRNTETSGSLSSPSHSTKMENSSSAVAVFPATNGSIDDSEEEGTRHFMVNVIEPQFNLHSEEANGRFLLAAVSGRVLARSFHSVLHVGYEMIEQALGSGDVQLSDSVPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKPLKELTFNTRNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFPAEDDEDVEEEADEMVPDGVEEVELAKIELEQKEREQKLLLDDIRRLSLNGDASGDLSRKESELWMITGGRSNLVQGLKRELVNAKKFRKAASGSLRLALQKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIFDFDRDYKDVGVALFTTKYFVVRNCLPNAKSDMVLSAWNPPPDWGKKVMLRVDAKQGAPRDGNSHIELFQVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGAKRVKKGSSTQDACSSYSHATKDSDGTSKPIVGSGQDLRRTSSFDRSWEETLAESVAAELVLQAHSSSMSSSKADPLGCNEQPDESSKSKAKESKLVKSGRSSHEGKKIGKSNEEKRSRPRKVMEFHNIKISQVELQITYESSRFNLHELKLLMDTFHRVEFTGTWRRLFSRVKKHVVWGTLKSVTGMQGKKFKDKAHSQRESNGAGVPDIELNFSDNDGQPGKSDQYPNWLKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFHGEWSESDAEFSPFARQLTITKAKKLIRRHTKKFRSRGQKGSSSVQRDSLPSSPRETTPFEPFESDSSSESSPYEDFHEQLEFQSLKGD >Manes.18G056500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4924398:4954233:1 gene:Manes.18G056500.v8.1 transcript:Manes.18G056500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPVKFLFGFLMVSITLWMVFIFASRLLAWILSRIVGASIGFRVGGWKCLRDVVVKFKKGAVESIAVGEIRLSVRQSLVKLGAGFFSRDPKLQVLICDLEVVMRSSSKGMQKNKTRKARTCNSGRGKWMVLANIARFLSVSVTDLVVKTPKAMIEVKELRLDITKDGGSKPNLLFKLHILPIVIHMGEPRVNCDQLSNLDGGACISTGETSFGVREGPYASFTCEEFSLSCEFGHDREVGVIICNLDINCGEVFVHLNEKLLSKNKISDASQTDKTVVNSAVVKDLQRKQSTLVAFIKYTSMFPQKVSFALPKLDVRFFHQEYGLVIENNIMGIKLKSIKSQCTEEMGESTRLDVQMDFSEIHLLREAGTSVMEILKVVVVSFVYVPMEPTSPVRAEIDVKLGGTQCNIIMSRLKPWLQVHYSKKKKMVLREEIHAPVKSQSTASKAIMWTCTVSAPEMTIVLYSINGLPLYHLCSQSSHVFANNISSMGTTIHLELGELNFHMADEYQECLKESPFVVESNSGALIHIARVSLDWGKKDSESSEEDGARCKLALSIDVTGMGIYFNFKRVESLVVTAISFQSLLKSLSSSGKKTTESQSGRSSKPSGKGIQVLKFNLERCTVNFCGETSLENAVVADPKRVNYGSQGGRVVISVSDDGMPRTASVISTVSDDCKNLNYSLSLDIFHFTLCVNKENQTTEMELERARSIYQEHLEEHRPDTKVKLFEMQNAKFVRRSGGLKGIAICSLFSATEIAVRWEPDAHLSLIELILQLKLLVHKQKLQENGTERREEASSMRHTESGNFDKSKKKENIFAVDVEMLNISAGVGDGVDGVVQLQSIFSENARIGVLLEGLMLSFNGARVFKSGRMQISRIPSASSISSDSKLPSAITWDWVIQGLDVHIRMPYRLELRAIDDSVEDMLRALKLVAAAKTQLIFPMKKESSKPKKSSSMKFGCVKFCIRKLIADIEEEPIQGWLDEHYQLMKSEACELAVRQKFLDEFISKFSNCSKTAETNESATERKFNYNGVQIDVQDPSAIQKMQEEIYKQSFRSYYQACQKLVPSEGSGACREGFQSGFRPSTSRTSLLSISARELDVSLTRIDGGDDGMIEVLKKLDPVCREEEIPFSRLYGSNILLCASSLVIQLRNYTFPLFAATAGKCEGRVVLAQQATCFQPQIYQDVFIGRWRKVRLLRSATGTTPPTKSYFDLPLFFQKAEVSYGVGYEPSFADVSYAFTVALRRANLSVRNPGPLVQPPKKERSLPWWDDMRNYIHGNITLGYSKSIWHILATTDPYERLDKLQITSGSMEIQQSDGRIYISAKDFKIFLSSLERLANNCGLKLPTGVYGAFLEAPVFILEVTMDWDCDSGTPLNHYLFALPNEGKPREKVFDPFRSTSLSLRWNFSLRPSVPSCENQSSSSYMSDSTVYNPPHKPGNVSIVPTVLNVGAHDFAWLIKFWNLNYVPPHKLRYFSRWPRFGVPRIPRSGNLSLDRVMTEFFLRIDATPARIKHMPLDDDDPAKGLTFNMTKLKYEICFSRGKQKYNFECKRDTLDLVYQGVDLHMPKAILDKEDSTSVAKVVQMTKKNCQPSGMERVPSEKHNNISACTEKHRDEGFLLSCDYFTIRRQAPKAEPARLLTWQEAGRRNLEMTYVRSEFENGSESDDHTRSDPSDDDGYNVVIADNCQRVFVYGLKLLWNIENRDAVWSWVGGLSKAFAPPKPSPSRQYAQRKLLEEKQSHAEIKVNQDDISKPPSTSYNVDFPSRNTETSGSLSSPSHSTKMENSSSAVAVFPATNGSIDDSEEEGTRHFMVNVIEPQFNLHSEEANGRFLLAAVSGRVLARSFHSVLHVGYEMIEQALGSGDVQLSDSVPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKPLKELTFNTRNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSFPAEDDEDVEEEADEMVPDGVEEVELAKIELEQKEREQKLLLDDIRRLSLNGDASGDLSRKESELWMITGGRSNLVQGLKRELVNAKKFRKAASGSLRLALQKAAQLRLMEKEKNKSPSYAMRISLQINKVVWSMLVDGKSFAEAEINDMIFDFDRDYKDVGVALFTTKYFVVRNCLPNAKSDMVLSAWNPPPDWGKKVMLRVDAKQGAPRDGNSHIELFQVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKVSTTAGAKRVKKGSSTQDACSSYSHATKDSDGTSKPIVGSGQDLRRTSSFDRSWEETLAESVAAELVLQAHSSSMSSSKADPLGCNEQPDESSKSKAKESKLVKSGRSSHEGKKIGKSNEEKRSRPRKVMEFHNIKISQVELQITYESSRFNLHELKLLMDTFHRVEFTGTWRRLFSRVKKHVVWGTLKSVTGMQGKKFKDKAHSQRESNGAGVPDIELNFSDNDGQPGKSDQYPNWLKRPSDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFHGEWSESDAEFSPFARQLTITKAKKLIRRHTKKFRSRGQKGSSSVQRDSLPSSPRETTPFEPFESDSSSESSPYEDFHEQLEFQSLKGD >Manes.06G163400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29046742:29048891:1 gene:Manes.06G163400.v8.1 transcript:Manes.06G163400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGAGERGAFRRGFGGASRGDRGGRGRRRARREEEEKWVPVTKLGRLVKDGKIRSLEQIYLHSLPIKEHQIIDTLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFTRSPFQEYTDLLGKPTKVLIEDAEKIEA >Manes.05G016600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1564740:1566713:-1 gene:Manes.05G016600.v8.1 transcript:Manes.05G016600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEVACTYASLILHDDGIPVTAEKIAQLVKAANVTVESYWPSLFAKLLGKRAADDLIMNVCSGGGGAPVAAAAPAAAAGGAAAAAAPPAEEKKKEEPEEESDDDMGFSLFD >Manes.13G150950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36615622:36616249:-1 gene:Manes.13G150950.v8.1 transcript:Manes.13G150950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPPLVLSLLRFLRPFQVQAGKEEARQVGLKTGFEVGEELGFYRGCVDVWNSAIRIDPTAFSTRVQKSIKQMEELIEKYPRLDPEDESVEEIMDSLRLKFRVIRAGLGVKLEYDGYPKPKAVEF >Manes.16G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32299680:32307065:1 gene:Manes.16G119700.v8.1 transcript:Manes.16G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKNPLVFMDVSIDGDPFERMVFELFSDIAPKTAENFRALCTGEKGIGPKTGRPLHYKGSFFHCIIKGSMAQGGDFVKRDGSSGESIYGGKFPDEAPRLKHDGPGLLSMSIADRDTLGSQFIITFKANHHLDRKYVVFGKMVQGDEVLKKIESVGDEEGRPLVTVKIINCGELKEGADKKKVHKLKMGRDALSDSDSHEARKKGKHKKSSRDRKKKRRRYYSSESDSSSDSDTGSSESDSDSDSYVSSSDISSSSDERHKKRKRSSKRDKYRRGKRRDKRREKRLKRRDKRSKRRSRRASDSLTDDESESKSGSSSDDGLEVQAKDRRHKDPSVKNGDQSPMVLEEDDPSLSHKMREERDMLEKEKRESPKENGGRRSNGIKADATSDRSEDRPPDVVDDHPGKSRSRSMSPKRTMSKSMSISPRRSMSKSPSVSPKRRSMSRSPHISQRRSFSRSPARSGSSRSPARSISRSPARSVSRSPLRGKKARSISKSPVRSRSRRSESRSPVRSPPQRSKSRSTPRVSSRKSISRSPVRSSRRELSRSPVRSHRRSASRSPVRSNRRSASRSPVRSYRRSASRSPIRSFRKSISRSPVRSSRRSISRSSGRAPKRSASRSPVRAPSRNNRRSYSRSPSPIRRARSPARRSLSRSVSPDGSPKRIRRGRGFSQRYSYARRYRTPSVDRSPVRSYRFGRIDRDRYSSYRRYSPRRYRSPPRGRTPPRYRGRRSRTRSPSASPSPRYRNRRYTPSRSPVRSRSPVDAPRSRASPRADRRRSPSRSRSLSVSRSSLDSQSPKRVSKDRSRSSSGSPSGKRGLVSYEDGSPDSGER >Manes.15G005500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:606990:609473:-1 gene:Manes.15G005500.v8.1 transcript:Manes.15G005500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLDEVRRFEKECFFDLGHPLLNRIAESFVKAAGVGAIQAVSREAYFTAIEGSGLDSNGVPPELSASSDSKKRHRFPDLKGETNRKSLEALVKSTGKESLQWGLAAGMYSGLTYGLREARGAHDWKNSAVAGAITGMALALTTDDVSHEQVVQCAITGAAISTAANLLTAGIF >Manes.15G091000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7100149:7106097:-1 gene:Manes.15G091000.v8.1 transcript:Manes.15G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESHRQAAKSSRLGTRNTGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKAAIDELAELPAWHPTTGTATATTETSTQQDQLSDERDYHPVMENLAVLGGSGSGTTRTVAAATTTVATMATDAQNMEQQQHLGENPNHSSSFLPPSLDSDAIADTIKSFFPMGASVETSSASIEFQSYPPDLLSRTSSQNQDLRLSLQFQEPILLQQPPHGHAQNEQQVLFSGTAHHLGFDGSSAGWSEHHQHRPAEISRFQRMVAWNAGSAADTGSGGGFIFNPTLSQPVLPPPLLQPFFGQNQFSSQRGPLQSSNTPSVRAWIDPGITSDHHHHNEIPQIHQQQSSIFGGGFAAAIGGFSGFRIPARIQGEEEEQDGIHNKLSSASSDSRH >Manes.02G081100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6355064:6362219:1 gene:Manes.02G081100.v8.1 transcript:Manes.02G081100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESLEAVPFDRTVEQAILALKKGAHLLKCRRRGKPKFCPFRLSTVNFQRQLQPDKEHQSFSLIYANGERSLDLICKDKAQADSWFIGLRDVISRCHRSRPFTGLTCNRGALSCVNSPAGYIRRKHNLGILENAAELSQVRSLCGSPTQSLSERCFSDGLSLSSDSFCLSESSLQQVQNTMDVFVPNSPYVERNIKKCGSMHACSEFPNDMSRRFVAPRYRSPKIRQNDILKDVLIWGEGVEGGNIRGMVQRIGNQGMQVDALVPALLDSTAMIDVQSISLGGRHAALITKRGEVFCWGEGSGGKLGHKVNMDVNYPKLVESLDSVHIKYVACGEYQTCALTQSGELYMWGDNKYGADLGDEVKTRNHWLPYKLSGPFDGITISRVACGEWHTAIVSSSGQLFTYGDGTFGVLGHGNLQSVSQPKEVESLRGLCVKSVACGSWHTAAIVDIVADRFKYNSVGGKLFTWGDGDKGRLGHIETGKKLVPTCVSQLLQYDFIQVSCGRMLTVVLTNTGKVYAMGSSVYGQLGNPRAKDKSITIVEGNLKEEFVKEISSGSYHVAALTSGGSVYTWGKGTNGQLGLGNTEDRNSPTFVEALRNRQVESITCGSNLTAAICLHKFISVTDQSACNGCRTPFGLAKKKHNCYNCGILFCHACSSRKVINASLAPNKSKPSRVCDSCFNHLQKVTSSGRILKLENHGPKQKSSANKMLSDEKECRGEATPAGSYILSLSQLYNLDAQGGQKKTHKSQGEKEQQLETVSSFSAALPRWGQVSCPAVFESYYSKNDAFPVDSMSTVSSAINIDEGMLEANKKLAEEVQRLRAEARRLEMQCEIGNQKIEECQETIEKTWSVAREEAAKRKAANEIIKALALRLHGMSERISAGKEAKTGLDVNASQNTRAYTNSPTVTPRPPFSSIHLPPEVKLPKDRQVDSLSSSPIVFSNTLKSLDGRGLFQENGRSEDESSVPRTDSRQNGTKGSRLEWLEQYEPGVYITFTTLPSGQRGLKRVRFSRKRFSEKEAERWWEENQVTVYQKYGIEGYVNSNQTQVKS >Manes.02G081100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6355064:6362219:1 gene:Manes.02G081100.v8.1 transcript:Manes.02G081100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESLEAVPFDRTVEQAILALKKGAHLLKCRRRGKPKFCPFRLSTDEKYLIWYSGQEEKQLKLSSIVQIITGQRTVNFQRQLQPDKEHQSFSLIYANGERSLDLICKDKAQADSWFIGLRDVISRCHRSRPFTGLTCNRGALSCVNSPAGYIRRKHNLGILENAAELSQVRSLCGSPTQSLSERCFSDGLSLSSDSFCLSESSLQQVQNTMDVFVPNSPYVERNIKKCGSMHACSEFPNDMSRRFVAPRYRSPKIRQNDILKDVLIWGEGVEGGNIRGMVQRIGNQGMQVDALVPALLDSTAMIDVQSISLGGRHAALITKRGEVFCWGEGSGGKLGHKVNMDVNYPKLVESLDSVHIKYVACGEYQTCALTQSGELYMWGDNKYGADLGDEVKTRNHWLPYKLSGPFDGITISRVACGEWHTAIVSSSGQLFTYGDGTFGVLGHGNLQSVSQPKEVESLRGLCVKSVACGSWHTAAIVDIVADRFKYNSVGGKLFTWGDGDKGRLGHIETGKKLVPTCVSQLLQYDFIQVSCGRMLTVVLTNTGKVYAMGSSVYGQLGNPRAKDKSITIVEGNLKEEFVKEISSGSYHVAALTSGGSVYTWGKGTNGQLGLGNTEDRNSPTFVEALRNRQVESITCGSNLTAAICLHKFISVTDQSACNGCRTPFGLAKKKHNCYNCGILFCHACSSRKVINASLAPNKSKPSRVCDSCFNHLQKVTSSGRILKLENHGPKQKSSANKMLSDEKECRGEATPAGSYILSLSQLYNLDAQGGQKKTHKSQGEKEQQLETVSSFSAALPRWGQVSCPAVFESYYSKNDAFPVDSMSTVSSAINIDEGMLEANKKLAEEVQRLRAEARRLEMQCEIGNQKIEECQETIEKTWSVAREEAAKRKAANEIIKALALRLHGMSERISAGKEAKTGLDVNASQNTRAYTNSPTVTPRPPFSSIHLPPEVKLPKDRQVDSLSSSPIVFSNTLKSLDGRGLFQENGRSEDESSVPRTDSRQNGTKGSRLEWLEQYEPGVYITFTTLPSGQRGLKRVRFSRKRFSEKEAERWWEENQVTVYQKYGIEGYVNSNQTQVKS >Manes.02G081100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6355064:6362219:1 gene:Manes.02G081100.v8.1 transcript:Manes.02G081100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEESLEAVPFDRTVEQAILALKKGAHLLKCRRRGKPKFCPFRLSTDEKYLIWYSGQEEKQLKLSSIVQIITGQRTVNFQRQLQPDKEHQSFSLIYANGERSLDLICKDKAQADSWFIGLRDVISRCHRSRPFTGLTCNRGALSCVNSPAGYIRRKHNLGILENAAELSQVRSLCGSPTQSLSERCFSDGLSLSSDSFCLSESSLQQVQNTMDVFVPNSPYVERNIKKCGSMHACSEFPNDMSRRFVAPRYRSPKIRQNDILKDVLIWGEGVEGGNIRGMVQRIGNQGMQVDALVPALLDSTAMIDVQSISLGGRHAALITKRGEVFCWGEGSGGKLGHKVNMDVNYPKLVESLDSVHIKYVACGEYQTCALTQSGELYMWGDNKYGADLGDEVKTRNHWLPYKLSGPFDGITISRVACGEWHTAIVSSSGQLFTYGDGTFGVLGHGNLQSVSQPKEVESLRGLCVKSVACGSWHTAAIVDIVADRFKYNSVGGKLFTWGDGDKGRLGHIETGKKLVPTCVSQLLQYDFIQVSCGRMLTVVLTNTGKVYAMGSSVYGQLGNPRAKDKSITIVEGNLKEEFVKEISSGSYHVAALTSGGSVYTWGKGTNGQLGLGNTEDRNSPTFVEALRNRTPFGLAKKKHNCYNCGILFCHACSSRKVINASLAPNKSKPSRVCDSCFNHLQKVTSSGRILKLENHGPKQKSSANKMLSDEKECRGEATPAGSYILSLSQLYNLDAQGGQKKTHKSQGEKEQQLETVSSFSAALPRWGQVSCPAVFESYYSKNDAFPVDSMSTVSSAINIDEGMLEANKKLAEEVQRLRAEARRLEMQCEIGNQKIEECQETIEKTWSVAREEAAKRKAANEIIKALALRLHGMSERISAGKEAKTGLDVNASQNTRAYTNSPTVTPRPPFSSIHLPPEVKLPKDRQVDSLSSSPIVFSNTLKSLDGRGLFQENGRSEDESSVPRTDSRQNGTKGSRLEWLEQYEPGVYITFTTLPSGQRGLKRVRFSRKRFSEKEAERWWEENQVTVYQKYGIEGYVNSNQTQVKS >Manes.12G141400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34780580:34785345:1 gene:Manes.12G141400.v8.1 transcript:Manes.12G141400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEKSFGSTLRTLRLINEASINKEAYHLSPLNVESLASHSTLKNGVDCISCSGIKDSLSETSETDLRSFSAQNKMHVAADVEDIIPCSNQELALNGQLNQQICAADVEEIIPCSNQELALNGLLNRQICVRSSLDSVINQSSTIEKYIMEEARSNDLKTLEIGLTMKKLKLKEAQLALSFDSNHLERSKIAMGMSKASFKAEKFKSQVEETKYAELLKNCIDCLVAGLFIMSACLLYGAYIFSYQRITDATASCNPSVQESKSWWYPKQFSTFNSGLHTLRCHVQVVSRMLFGMLLILAVAYLLLQRSATSRQTMPVTFILLLLGAVCGFAGKFCVDTLGGSGLHWLLYWESMCLLHFFSNVFTSKLFYVLHGPVTVSQGMKSNAICPYWFRRFVFYGLVLLFLPLCCGLLPFAGPGEWRNHFLTCASNFLSSYQLGEWRNHFLTCASNFLSSYQLVDYDLYV >Manes.12G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34780580:34785345:1 gene:Manes.12G141400.v8.1 transcript:Manes.12G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLLSPVESLSSSSPPAQESSATADSYRMMEGPPFQKPIDNGKLIMAHYNEKERKKKKKKKKKKKAYDDVVMTPSSSSSAALPSMQRRTRITYKRRKPKVVIGPVRHGDRFWDGDLEAVSLPLGMSFAAVVALVLERKDVEGEQMSVDHLSKICASAVRESLVNVFGDKFDFFARNFEKSFGSTLRTLRLINEASINKEAYHLSPLNVESLASHSTLKNGVDCISCSGIKDSLSETSETDLRSFSAQNKMHVAADVEDIIPCSNQELALNGQLNQQICAADVEEIIPCSNQELALNGLLNRQICVRSSLDSVINQSSTIEKYIMEEARSNDLKTLEIGLTMKKLKLKEAQLALSFDSNHLERSKIAMGMSKASFKAEKFKSQVEETKYAELLKNCIDCLVAGLFIMSACLLYGAYIFSYQRITDATASCNPSVQESKSWWYPKQFSTFNSGLHTLRCHVQVVSRMLFGMLLILAVAYLLLQRSATSRQTMPVTFILLLLGAVCGFAGKFCVDTLGGSGLHWLLYWESMCLLHFFSNVFTSKLFYVLHGPVTVSQGMKSNAICPYWFRRFVFYGLVLLFLPLCCGLLPFAGPGEWRNHFLTCASNFLSSYQLGEWRNHFLTCASNFLSSYQLVDYDLYV >Manes.06G002400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:614867:616663:1 gene:Manes.06G002400.v8.1 transcript:Manes.06G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFGYWRRKPNSLKDTHKKKKQQQLLSSNVELLIPNNFLCPISLDLMKDPVTLSTGFTYDRSNIEAWLQAGNFTCPVTNQLLNSFDLIPNHNLRKMIQDWCVENRNFGIQRIPTPKVPVSPTEVSQLLSCLADSTRSLDQYECWDLLQKIKKWGNESERNRSCMVVNGASSVLASTFDAFATDSFQRNAKVLEEILSILNWMFPLDVESQIYLSSNNSLRCMLWFLRCRDLSSKQNSISVLKELLSSDQQHAETLASIEGVNEILYSFIKDPICPKISKDSLMVIFHLVSHPSSSENIRSSLVKMGLISSLIEIIIDAEKSTCEKALVVFDKLCDCEEGREEAYINALTWPVLVKKILRVSAAATDYSVSAIWKLNKYGRKERVMVDVLQVGAFQKLVLVLQLGCSDETKEKATELLKQMNPYRNNGFECIESVDFKNLKRSF >Manes.08G034500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336550:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVRQVLEVVAGCKGITDVDQMSKTIYHNTCRKPLHKHRARVGFILASMFST >Manes.08G034500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336456:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVR >Manes.08G034500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336456:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKQVLEVVAGCKGITDVDQMSKTIYHNTCRVFFPHDLDTAADALLAGRHDW >Manes.08G034500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336456:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKFFIFMYIQEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVR >Manes.08G034500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336456:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKFFIFMYIQEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVRQVLEVVAGCKGITDVDQMSKTIYHNTCRVFFPHDLDTAADALLAGRHDW >Manes.08G034500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336550:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVRQVLEVVAGCKGITDVDQMSKTIYHNTCRKPLHKHRARVGFILASMFST >Manes.08G034500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336456:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVRQVLEVVAGCKGITDVDQMSKTIYHNTCRVFFPHDLDTAADALLAGRHDW >Manes.08G034500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336456:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVR >Manes.08G034500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336550:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVRQVLEVVAGCKGITDVDQMSKTIYHNTCRKPLHKHRARVGFILASMFST >Manes.08G034500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331605:3336460:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVRQVLEVVAGCKGITDVDQMSKTIYHNTCRVFFPHDLDTAADALLAGRHDW >Manes.08G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3331584:3336557:1 gene:Manes.08G034500.v8.1 transcript:Manes.08G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFRGIYYGKQHHVADVATVLSRAWSAGVDRIIVTGGSLEGSKEALTIAETDGRLFCTVGVHPTRCKEFEESADPEQHFQALLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAHATKLPMFLHMRAAAEDFCEIVERNRDKFSAGVTHSFTGNTEDCDKLLSFNNMYIGVNGCSLKTTENLDVVKGIPVERMMVETDSPYCEIKNTHAGIKFIKSLWPSKKKEKYDQNCIVKGRNEPCLVRQVLEVVAGCKGITDVDQMSKTIYHNTCRVFFPHDLDTAADALLAGRHDW >Manes.12G129400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33676127:33683547:-1 gene:Manes.12G129400.v8.1 transcript:Manes.12G129400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLSKWVFAFALTWWATFSGVYSVEGLRGGSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTEVQFKSVTLQKYMCAENGGGMGVTVDRDAASSWETFKLWRVSASEFQFRTSQGQFLTCEGEGCSISASANTSSEGKSFYIERNNNNRVHLKLLSGAYLQATIGNQLTADYPGKPGWDDNAATFEMTIVANNLHGDYQLANGYGHSKVKDVLKKHRNSFITMEDFKFLYRHGINAVRIPVGWWIAFDPNPPAPFIGGSLEALDNAFSWAQAYNIKCIIDLHAAPGSQNGMEHSASRDGTTGWPTSPDYISQTLDVIDFLASRYARHPALLGIELLNEPSAASVPLEVLVPYYKQGYQIVRKYSSTAYVIICQRIGNADPMELYQANIGSNNLVVDLHYYNLFDTFFVNMSSEDNIQFIYKSRETQLQALSSSNGPLVFIGKGNG >Manes.12G129400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33676127:33683547:-1 gene:Manes.12G129400.v8.1 transcript:Manes.12G129400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLSKWVFAFALTWWATFSGVYSVEGLRGGSKVRGVNLGGWLVVEGWIKPSLFDGIPNGDMLDGTEVQFKSVTLQKYMCAENGGGMGVTVDRDAASSWETFKLWRVSASEFQFRTSQGQFLTCEGEGCSISASANTSSEGKSFYIERNNNNRVHLKLLSGAYLQATIGNQLTADYPGKPGWDDNAATFEMTIVANNLHGDYQLANGYGHSKVKDVLKKHRNSFITMEDFKFLYRHGINAVRIPVGWWIAFDPNPPAPFIGGSLEALDNAFSWAQAYNIKCIIDLHAAPGSQNGMEHSASRDGTTGWPTSPDYISQTLDVIDFLASRYARHPALLGIELLNEPSAASVPLEVLVPYYKQGYQIVRKYSSTAYVIICQRIGNADPMELYQANIGSNNLVVDLHYYNLFDTFFVNMSSEDNIQFIYKSRETQLQALSSSNGPLVFIGEWVNEWNVTSGAQTDYQNFGKAQLDAYDAASFGWAYWTLKNDRKHWDFEWNIRNNYLQFGNSPAKQVFRSVVLLGLVPVFFFLHPIL >Manes.18G007380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1033437:1038134:-1 gene:Manes.18G007380.v8.1 transcript:Manes.18G007380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKLPTAGNPIRCKAAVSRKPGEPLVLEEILVAPPCCHEVRIRIICTSLCQSDVTFWKLKDFPGVFPRILGHEAIGVVESVGEDVDEVKEGDMVIPIFMSDCGDCADCRSKKSNLCSKLPFKVSPWMPRHETSRFTDLNGEVIYHFLSVSSFSQYTVVDIAHLTKIDPSIPPNRACLLSCGVSTGVGAAWRTANVEAGSTVAIFGLGSIGLAVAEGARLCGATRIIGVDMNPNKFEIGKTFGVTDFVRAGDPGSKSVSQVINEMTGGGADYCFECVGLASLVREAYSCCRKGWGKTIVLGVDKPGAELSLSSFDVLHSGKILTGCLFGGLKAKSDIPVLLKRYMDKELQLDEFVTHEIKFEDINKAFDLLAEGKCLRCVIWMDK >Manes.17G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32063261:32072278:1 gene:Manes.17G113600.v8.1 transcript:Manes.17G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSVCADDDNFDWDSEDEREIDNHGLSSSSTLTLPAEATASSAEASSSVGSSGSKLIDHFVGMGFPEKMVAEAIQENGEDNTDLILETLLKCSARSSASSSGSKVIDHFIAMGFDAELVSKAIQENGEGNTDRILETLLTYSAIEKSPEEQQPVDSDHWSSDYDGSFLDDFSDIDSSGSEEIAKSEPDEGNTLLFLARMGYTVDEASIAMERCGPDATIAELTDFICAAQMAKAADASLPEEKPKLKHFDEDYPKHKKRSYYEYDIWKKKQRMRLEKKMLPGDDEMIRLPNPMIGFGVPTDPAIVTHRTLPEAAIGPPYFYYENVALAPKGVWTTISRFLYDVEPEFVDSKYFCASARKRGYVHNLPIQNRFPLVPLPPHSIHEALPLTKKWWPSWDTRTKLNCLQTCIGSAKLTDRIRKAVEDYDGEPPLSVQKFVLDQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKELFPGGINLLSLFSGIGGAEVGLHRLGIRLKNVVSVEISEVNRNIVRCWWEQTNQTGNLIDIEDVQQLNADRLEQLMSSFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILDLVKCIMTRN >Manes.17G113600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32063261:32072278:1 gene:Manes.17G113600.v8.1 transcript:Manes.17G113600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDSVCADDDNFDWDSEDEREIDNHGLSSSSTLTLPAEATASSAEASSSVGSSGSKLIDHFVGMGFPEKMVAEAIQENGEDNTDLILETLLKCSARSSASSSGSKVIDHFIAMGFDAELVSKAIQENGEGNTDRILETLLTYSAIEKSPEEQQPVDSDHWSSDYDGSFLDDFSDIDSSGSEEIAKSEPDEGNTLLFLARMGYTVDEASIAMERCGPDATIAELTDFICAAQMAKAADASLPEEKPKLKHFDEDYPKHKKRSYYEYDIWKKKQRMRLEKKMLPGDDEMIRLPNPMIGFGVPTDPAIVTHRTLPEAAIGPPYFYYENVALAPKGVWTTISRFLYDVEPEFVDSKYFCASARKRGYVHNLPIQNRFPLVPLPPHSIHEALPLTKKWWPSWDTRTKLNCLQTCIGSAKLTDRIRKAVEDYDGEPPLSVQKFVLDQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKELFPGGINLLSLFSGIGGAEVGLHRLGIRLKNVVSVEISEVNRNIVRCWWEQTNQTGNLIDIEDVQQLNADRLEQLMSSFGGFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILDLVKCIMTRN >Manes.09G114100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31635821:31637341:-1 gene:Manes.09G114100.v8.1 transcript:Manes.09G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKTGRLFLRKHRKWPHLTFHVKWQQTFKQQEALRNLKEAATAPTSSLQPRQQTTSKPYLLSSLIHSFSIYDCEPTPQAYHFIIKTLSKTSQLNQISPVLDHLEKMEKFDTPEFILSHLIEIYGNANEIQKAVELFYRIPKFRCVPSVYSLNTLLSVLCRTSEGLKMVPEILLKSRALHIRVDESSFRLLISALCRIKKVGHAVELFDCMINDGFNLDTDICFMLLSSLCEQTDIHIQGVDVVAFLGKLRKLGFCPGILDYTNVIRFLVRKGMGADALGVLYEMKSDGIKPDIVCYNMVLNGVIASGSYSKADELFDELLVFGLVPDVYTYNVYIECLCKQGKVEEGIKMAVSMEELGCKPNLSTYNMLLQALCNSGELGQARDLLVREMSSKGFGLSMQTYKIMIDGLISSGKVTEACALLEEELDKGLCPQSSTFDEIICGLCPIGLTCKALELLEKMIHKNVSPGVRAWEALLLGCQSNNSFAEDVGLLDSLVNSSTLPEP >Manes.17G089333.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784637:29789561:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKSLKTLGDKSIKEQHFTARDFLEAEVVFLQVLNYEIGAGNIAFVFLEELLAQFRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWVKFVTSFKEEDIVEIVRDILMHVLDPRQPGIKILEVEEKKFL >Manes.17G089333.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784649:29789220:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKSLKTLGDKSIKEQHFTARDFLEAVVFLQVLNYEIGAGNIAFVFLEELLAQFRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWVKFVTSFKEEDIVEIVRDILMHVLDPRQPGIKILEVEEKKFL >Manes.17G089333.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784647:29790999:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKIHDSCPLSVKSLKTLGDKSIKEQHFTARDFLEAEVVFLQVLNYEIGAGNIAFVFLEELLAQFRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWESSSTYLLMPKFC >Manes.17G089333.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784649:29790995:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKIHDSCPLSVKSLKTLGDKSIKEQHFTARDFLEAVSKFHYQGFRISLLLVAHLLEHLMKPLCRRWSFCRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWVKFVTSFKEEDIVEIVRDILMHVLDPRQPGIKILEVEEKKFL >Manes.17G089333.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784637:29791125:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKSLKTLGDKSIKEQHFTARDFLEAEVVFLQVLNYEIGAGNIAFVFLEELLAQFRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWVKFVTSFKEEDIVEIVRDILMHVLDPRQPGIKILEVEEKKFL >Manes.17G089333.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784647:29790999:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKSLKTLGDKSIKEQHFTARDFLEAEVVFLQVLNYEIGAGNIAFVFLEELLAQFRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWESSSTYLLMPKFC >Manes.17G089333.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784637:29789561:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKIHDSCPLSVKSLKTLGDKSIKEQHFTARDFLEAEVVFLQVLNYEIGAGNIAFVFLEELLAQFRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWVKFVTSFKEEDIVEIVRDILMHVLDPRQPGIKILEVEEKKFL >Manes.17G089333.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784649:29790995:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKSLKTLGDKSIKEQHFTARDFLEAVSKFHYQGFRISLLLVAHLLEHLMKPLCRRWSFCRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWVKFVTSFKEEDIVEIVRDILMHVLDPRQPGIKILEVEEKKFL >Manes.17G089333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29784637:29791125:1 gene:Manes.17G089333.v8.1 transcript:Manes.17G089333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRLLAVEFLIESAHRLEATPIVKYTALSLLADRFYPSLSRSVGQRKMGNWLLQPMTQSNLQLFALVSIWISSKIHDSCPLSVKSLKTLGDKSIKEQHFTARDFLEAEVVFLQVLNYEIGAGNIAFVFLEELLAQFRGVAKNGELIDFEACMDIMDLLYEKEETSVLYSSPRTLAASTLVASYVITVPVPTQRWRFPVLPWVKFVTSFKEEDIVEIVRDILMHVLDPRQPGIKILEVEEKKFL >Manes.12G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2782951:2788575:1 gene:Manes.12G032100.v8.1 transcript:Manes.12G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNKIKMQKEEPNKAPPEVPVYWIEASDSVSRHFSFQPDGRLSMKVVDDSRSILHRIIDSFQNKFFPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATAVSWVLKDGMQHVGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGMGAGIQLASTICSSIQGKMIVGPLLSIVHVCSVIEEMRATPVNTLNPQRTAMVVADFVKSGKISSPADLRYHEDLLFPGRLIEDAGNVKVGRALHKVFTPSKLREVKDIFQEEKFLLNVGNKWTDMVLEQNASGEDALRGWLVAAYAASMDKSSHGSSTAVLQDAYLKMNSSFDSFLSELQAKGWHTDRFLDGTGSRFAW >Manes.12G032100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2782951:2788575:1 gene:Manes.12G032100.v8.1 transcript:Manes.12G032100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNKIKMQKEEPNKAPPEVPVYWIEASDSVSRHFSFQPDGRLSSLLFAAGLRPTPAQATAVSWVLKDGMQHVGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGMGAGIQLASTICSSIQGKMIVGPLLSIVHVCSVIEEMRATPVNTLNPQRTAMVVADFVKSGKISSPADLRYHEDLLFPGRLIEDAGNVKVGRALHKVFTPSKLREVKDIFQEEKFLLNVGNKWTDMVLEQNASGEDALRGWLVAAYAASMDKSSHGSSTAVLQDAYLKMNSSFDSFLSELQAKGWHTDRFLDGTGSRFAW >Manes.12G032100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2785064:2788575:1 gene:Manes.12G032100.v8.1 transcript:Manes.12G032100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGMGAGIQLASTICSSIQGKMIVGPLLSIVHVCSVIEEMRATPVNTLNPQRTAMVVADFVKSGKISSPADLRYHEDLLFPGRLIEDAGNVKVGRALHKVFTPSKLREVKDIFQEEKFLLNVGNKWTDMVLEQNASGEDALRGWLVAAYAASMDKSSHGSSTAVLQDAYLKMNSSFDSFLSELQAKGWHTDRFLDGTGSRFAW >Manes.09G099700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29812473:29817523:1 gene:Manes.09G099700.v8.1 transcript:Manes.09G099700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKFSLCWFHSHLKPSVLESSDLETGAKNDGNVWPSFTEFSFEQLKAATSGFSSDNIVSEHGEKAPNVVYKGKLDNNDRWIAVKRFNRLAWPDSRQFLEEARSVGSLRSERLANLIGCCCEGDERLLVAEFMPHETLARHLFHWENQPMKWAMRLRVALYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEPRERPNAKSLVTSLLSLQKEAEQVPSYVLMGIPHETTSSTQQLSLTPFGEACLRVDLTAIHEILEKIGYKDDEGIANELSFQMWTSQMQETLNSKKHGDTAFRAKDFATAIECYTQFIDGGTMVSPTVYARRCLSYLMSEMPQEALGDAMQAQVISPEWATASYLQAACLFSLGMETDAQETLKDGTKLEAKRNKS >Manes.09G099700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29812473:29817523:1 gene:Manes.09G099700.v8.1 transcript:Manes.09G099700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKFSLCWFHSHLKPSVLESSDLETGAKNDGNVWPSFTEFSFEQLKAATSGFSSDNIVSEHGEKAPNVVYKGKLDNNDRWIAVKRFNRLAWPDSRQFLEEARSVGSLRSERLANLIGCCCEGDERLLVAEFMPHETLARHLFHWENQPMKWAMRLRVALYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEPRERPNAKSLVTSLLSLQKEAEVPSYVLMGIPHETTSSTQQLSLTPFGEACLRVDLTAIHEILEKIGYKDDEGIANE >Manes.09G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29812473:29817523:1 gene:Manes.09G099700.v8.1 transcript:Manes.09G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKFSLCWFHSHLKPSVLESSDLETGAKNDGNVWPSFTEFSFEQLKAATSGFSSDNIVSEHGEKAPNVVYKGKLDNNDRWIAVKRFNRLAWPDSRQFLEEARSVGSLRSERLANLIGCCCEGDERLLVAEFMPHETLARHLFHWENQPMKWAMRLRVALYLAQALEYCSSKGRALYHDLNAYRVLFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEPRERPNAKSLVTSLLSLQKEAEVPSYVLMGIPHETTSSTQQLSLTPFGEACLRVDLTAIHEILEKIGYKDDEGIANELSFQMWTSQMQETLNSKKHGDTAFRAKDFATAIECYTQFIDGGTMVSPTVYARRCLSYLMSEMPQEALGDAMQAQVISPEWATASYLQAACLFSLGMETDAQETLKDGTKLEAKRNKS >Manes.S042516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1263311:1267145:-1 gene:Manes.S042516.v8.1 transcript:Manes.S042516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILAQQNPEAQCITWKLAHRHEHNTQIMPHRSLAQASN >Manes.17G076100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27642199:27644886:1 gene:Manes.17G076100.v8.1 transcript:Manes.17G076100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTLLRPLSIPSSSPSRSYLPSKPLVQNPSFCFSCSSLRSEQVTAGVTGTRSEYKPGVFDDLFLSLFRNKMVKEVGWDSDKPGYAGLIEVANRLMSSGRTNSDTRDTAVRILRSLFPPFLLDLYKLFISPLGGGKVAALMIARVTALTCQWLMGICTVNSVDLPDGTSCESGVFVERCKYLEESKCVGICVNTCKLPTQVSYPSCLMSS >Manes.17G076100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27642342:27645412:1 gene:Manes.17G076100.v8.1 transcript:Manes.17G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTLLRPLSIPSSSPSRSYLPSKPLVQNPSFCFSCSSLRSEQVTAGVTGTRSEYKPGVFDDLFLSLFRNKMVKEVGWDSDKPGYAGLIEVANRLMSSGRTNSDTRDTAVRILRSLFPPFLLDLYKLFISPLGGGKVAALMIARVTALTCQWLMGICTVNSVDLPDGTSCESGVFVERCKYLEESKCVGICVNTCKLPTQTFFKDYMGVPLLMEPNFTDYSCQAESEDAQIHHFFFFSDLTWKFKFGVLPPQPEDDITLKEPCLEVCPMASRRQQVAQSTDVGQCPKA >Manes.17G076100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27642199:27644886:1 gene:Manes.17G076100.v8.1 transcript:Manes.17G076100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTLLRPLSIPSSSPSRSYLPSKPLVQNPSFCFSCSSLRSEQVTAGVTGTRSEYKPGVFDDLFLSLFRNKMVKEVGWDSDKPGYAGLIEVANRLMSSGRTNSDTRDTAVRILRSLFPPFLLDLYKLFISPLGGGKVAALMIARVTALTCQWLMGICTVNSVDLPDGTSCESGVFVERCKYLEESKCVGICVNTCKLPTQTFFKDYMGVPLLMEPNFTDYSCQFKFGVLPPQPEDDITLKEPCLEVCPMASRRQQVAQSTDVGQCPKA >Manes.17G076100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27642199:27645412:1 gene:Manes.17G076100.v8.1 transcript:Manes.17G076100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTLLRPLSIPSSSPSRSYLPSKPLVQNPSFCFSCSSLRSEQVTAGVTGTRSEYKPGVFDDLFLSLFRNKMVKEVGWDSDKPGYAGLIEVANRLMSSGRTNSDTRDTAVRILRSLFPPFLLDLYKLFISPLGGGKVAALMIARVTALTCQWLMGICTVNSVDLPDGTSCESGVFVERCKYLEESKCVGICVNTCKLPTQTFFKDYMGVPLLMEPNFTDYSCQFKFGVLPPQPEDDITLKEPCLEVCPMASRRQQVAQSTDVGQCPKA >Manes.17G076100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27642293:27645412:1 gene:Manes.17G076100.v8.1 transcript:Manes.17G076100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTLLRPLSIPSSSPSRSYLPSKPLVQNPSFCFSCSSLRSEQVTAGVTGTRSEYKPGVFDDLFLSLFRNKMVKEVGWDSDKPGYAGLIEVANRLMSSGRTNSDTRDTAVRILRSLFPPFLLDLYKLFISPLGGGKVAALMIARVTALTCQWLMGICTVNSVDLPDGTSCESGVFVERCKYLEESKCVGICVNTCKLPTQTFFKDYMGVPLLMEPNFTDYSCQFKFGVLPPQPEDDITLKEPCLEVCPMASRRQQVAQSTDVGQCPKA >Manes.15G180336.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22844839:22848984:1 gene:Manes.15G180336.v8.1 transcript:Manes.15G180336.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSVVVTMEKPNNFSIVEFNATDPSLFPEKRKAVSPKQFTWVLLLKAYKALTCVSWLAMAFKATFISIKKRTALSEMRQDEPRNRGRLYRFIKAFLVISIVALVIEIIAHFKKWNLNLKNPWEIQGLVQWSYMAWLSFRVDYIAPLVITLSKFCTVLFLIQSLDRLVLCLGCFWIKYKKLKPEINVDAYDVEDPSSFPMVLVQIPMCNEREVYAQSISAACQLDWPRDRLLIQVLDDSDDGTVQLLIQDEVSSWRQKGINIIYRHRLIRTGYKAGNLKSAMNCDYVKDYEFVAIFDADFQPNPDFLKQTIPHFKGNPKLGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIITSKISKWKKSNLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYIPIFMSFLNILPAPKSFPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWIVTKKTGRSSESDLLAFAERESKSSNEEKILRRHSESGLTLLSKLQEQEAAPVKKRNRLYRKELALAFLLLTAAARSLLSAHGVHFYYLLFQGVSFLVVGLDLIGEQVS >Manes.10G081700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21135943:21140285:1 gene:Manes.10G081700.v8.1 transcript:Manes.10G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRERDARDSDSRRHRSGFDREPSPKRSRRDGKPETERVPSNTNLDVEDHADRDQKHRRRLQDALPLEASSAPESKAESGSVSKETDKKPNGHHEGSKHSDPTEVPRSRSYYQHDERGNAAQVGRNFGRRATAERQWRDSKDVRNERAMDKSSTYDSRQRDEKAQAKGGDNVWRHDGFFKMEAEPAPPVRKRPAFREKKIPVDSENAEKATSEPARQGHSDRPTSVSERRDLVSERREERDRNPRHSDRYERPVLGDKREPQRGSIMSRERYTGGGGGGGNYRGRERFSGRQGYRPSGTRVEKWKHDLYDEANRSPITKNEEDQIAKVEELLAS >Manes.08G144800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38649619:38653035:-1 gene:Manes.08G144800.v8.1 transcript:Manes.08G144800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSYSMDKDEKTQIANKALESDFFLQWGNKKRLRCVRVRGPEIISQRSDGVFRRKITTRIDRRIVSPATEKEASHPQSNRLTRNSEAATLRSSMTENRKSASPEKEDRYYTTRGSMGVDENGKVSIDGNNGEDKGHVWPKLYITLSSKEKEEDFMAMKGCKLPQRPKKRAKIIQRSLLRPRGLKAMGSMESDSE >Manes.08G144800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38649619:38653035:-1 gene:Manes.08G144800.v8.1 transcript:Manes.08G144800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSYSMDKDEKTQIANKALESDFFLQWGNKKRLRCVRVRGPEIISQRSDGVFRRKITTRIDRRIVSPATEKEASHPQSNRLTRNSEAATLRSSMTENRKSASPEKEDRYYTTRGSMGVDENGKVSIDGNNGEDKGHVWPKLYITLSSKEKEEDFMAMKGCKLPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSTKKRPRGLKAMGSMESDSE >Manes.08G144800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38649619:38653035:-1 gene:Manes.08G144800.v8.1 transcript:Manes.08G144800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDEKTQIANKALESDFFLQWGNKKRLRCVRVRGPEIISQRSDGVFRRKITTRIDRRIVSPATEKEASHPQSNRLTRNSEAATLRSSMTENRKSASPEKEDRYYTTRGSMGVDENGKVSIDGNNGEDKGHVWPKLYITLSSKEKEEDFMAMKGCKLPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSTKKRPRGLKAMGSMESDSE >Manes.08G004130.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:807798:811523:1 gene:Manes.08G004130.v8.1 transcript:Manes.08G004130.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNHTQINQLWQLRYRPPNSSLSLPLLFTSLYSQPAPTTTFKKMWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNECGYDSNFQQPPDGTASSSSGKKTRISRNKSGITGRRLNFGAENYKADKRSGGSEKSARRFAVCLKKRRTAKSVPSKCGSRSSKDSSLFGCGLGIGIMYMMSAEKAEISKLSNAMDEIAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRAGTGHNNDPKVIKVSGIPMIDDVECPSSGLIEEPEPQLLEMDQLEAELASELQKLPWSYPEASGHEGVEPNMDKNETFSGGLHKLEGQSNISFQCHGVLPSELDQKLSYLLIEQLENQIEELESELHSVQSKLHEKEAELDCVKLTRD >Manes.16G057800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21642298:21650993:1 gene:Manes.16G057800.v8.1 transcript:Manes.16G057800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCFSKIHLAFGPKHTLANLSSSVLPPFFLKMARSALDEMSDSGAFLRTASTFRNFISRDPNSQYPAESGRYHLYMSYACPWASRCLVYLKIKGLEKAISFTSVKPIWERTKDSDEHTGWVFPLSETEEPGAEPDPLNGAKSIREIYELASTNYTGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNDIAENAALDLYPSHMQTQIDETNEWIYSDINNGVYKCGFARKQGPYEEAAKLLYEALDKCERILGKQRYICGNTLSEADIRLFVSLIRFDEVYTVHFKCNKKLLREYPNLFNYTKDIYQIPGVSSTVNMEHIKRHYYGSHPSINPFGIIPIGSNINFSSPHDRDRFY >Manes.16G057800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21642500:21650993:1 gene:Manes.16G057800.v8.1 transcript:Manes.16G057800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSALDEMSDSGAFLRTASTFRNFISRDPNSQYPAESGRYHLYMSYACPWASRCLVYLKIKGLEKAISFTSVKPIWERTKDSDEHTGWVFPLSETEEPGAEPDPLNGAKSIREIYELASTNYTGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNDIAENAALDLYPSHMQTQIDETNEWIYSDINNGVYKCGFARKQGPYEEAAKLLYEALDKCERILGKQRYICGNTLSEADIRLFVSLIRFDEVYTVHFKCNKKLLREYPNLFNYTKDIYQIPGVSSTVNMEHIKRHYYGSHPSINPFGIIPIGSNINFSSPHDRDRFY >Manes.15G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1939681:1940132:1 gene:Manes.15G024700.v8.1 transcript:Manes.15G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVELEDDLFFADLSKQISLLIMEDDEDSVANCSSFPFQNFSRVNYPTAPSPFMYEQRRESKGTGVFIPRSSQPRRKHRQARHSSFNAKSHRQQDNTRMVSQVSYNNSLYPKNG >Manes.09G149000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34682093:34683413:-1 gene:Manes.09G149000.v8.1 transcript:Manes.09G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSSSFFNQYQINYHSHFSPDQSSSSFSSVESFYTQPLPFNENDSGEMLLLGVLNQAPISSTHYEEVSSRGDYQEEPVQEIAYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAALAMRGSMAILNFPMEKVVESLEEMNYEFKEGYSPVLALKKKHSMRRKAESNRKKIKGKEMRMENMVVLEDLGADYLEELLTISETASPW >Manes.15G077800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5835647:5837307:-1 gene:Manes.15G077800.v8.1 transcript:Manes.15G077800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGVFFVCLVLMGIDTVAGIFGTQAEHARNKMIFTNGKAERFQCRETKGDGFRLGVAATTLLAVAHIAANLHGGFMCICCMEQLEKSPNNRQLWFACLLLSWIVAAIGFPMLITGTLEISKLRESCTDLQSHFLSAGGIFCFVHALFCILLFVSASIGLGNGMPSELEFLSPFSSLGHYSINHSIY >Manes.16G011500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1157386:1159852:-1 gene:Manes.16G011500.v8.1 transcript:Manes.16G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNDFSPPRNMAISANPAARLLLLTALVSLFAYLATSTSDPEELVNELLSQQSQSKNGVIHLDDHSVSRFLTSTKTPRPYSLLIFFDAKQLHDKHELHLQDLYLEFSLLASSFITNNPDESSSSYGKLFFCDIEFKESQSSFGLFGVNSLPHIRLVGPNVKNPKESEAMDQGDFSRMAESMSNFVASRTKLSVGPIHRPPILSRNQLGLLFAVLLIWTPFMIKKVLTGQTLLHDPKIWLGGSIFVYFFSVSGAMHNIIRKMPMFLADRNDPNKLIFFYQGSGMQLGAEGFAIGFLYTIVGLLLAFVTHVLVMVKNVAVQRLIMVISLVVSFWAVNKVIYLDNWKTGYGIHTFWPSSWK >Manes.03G076800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13483617:13500824:-1 gene:Manes.03G076800.v8.1 transcript:Manes.03G076800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSEVTFGPIKYRYGQLAKATDHFSNNLLLGEGGFGQVYKGSLDGKTNIAVKKLKCLPEQSREGLTHEIMVVSSVRHRNLVRLLGYCIDGANGLLVFDFFPNKSLKSHLQGRKEILDWPKRMKIALGSAKGLEYLHEHCDTKIIHRDIKSDNILLDNNFEAKVADFGIALFFPDADVTHLTKSVVGTEVYVDPENPEKVSEKSDIYSFGVVLLELISGRAIRHQGINIVTWAENRIQPALNGQNMDLIDSKLQGKYEEKEMKRMISCAADCVYKPSKLRPQIKEVVRALEGYVRDDNPNLGGSRQNCTKPQEPIFIPKTDKGTEANNYHRTFEKPSSSGNSQVYKPRRFTYQKLSEATEGFSEQNFLTQGGFGATYKGFLDGKIVAVKKLFDLPNEQKVEELEKEIEVVSKVSHSNLVNPIGYCIEKQGRLLVIEFIASKSLKAHLHGNEKLAWSTRMKIATACAKGLEYLHESCKIMHGNISSNNIFLDNNLEPKIASFGLAKFYRPSFKTNIFVNTEDHTEVSEKSDIYDFGVVLLELITGRGGNVVKWAKDLIKPALINEKYKALLDSTTHSNYNTRDVVRMIYCAAACVYRPSDFRPQMKEIVGILEGRLSLKDIWNANDLQFIESI >Manes.03G076800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13467899:13500451:-1 gene:Manes.03G076800.v8.1 transcript:Manes.03G076800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSEVTFGPIKYRYGQLAKATDHFSNNLLLGEGGFGQVYKGSLDGKTNIAVKKLKCLPEQSREGLTHEIMVVSSVRHRNLVRLLGYCIDGANGLLVFDFFPNKSLKSHLQGRKEILDWPKRMKIALGSAKGLEYLHEHCDTKIIHRDIKSDNILLDNNFEAKVADFGIALFFPDADVTHLTKSVVGTEVYVDPENPEKVSEKSDIYSFGVVLLELISGRAIRHQGINIVTWAENRIQPALNGQNMDLIDSKLQGKYEEKEMKRMISCAADCVYKPSKLRPQIKEVVRALEGYVRDDNPNLGGSRQNCTKPQEPIFIPKTDKGTEANNYHRTFEKPSSSGNSQVYKPRRFTYQKLSEATEGFSEQNFLTQGGFGATYKGFLDGKIVAVKKLFDLPNEQKVEELEKEIEVVSKVSHSNLVNPIGYCIEKQGRLLVIEFIASKSLKAHLHGNEKLAWSTRMKIATACAKGLEYLHESCKIMHGNISSNNIFLDNNLEPKIASFGLAKFYRPSFKTNIFVNTEDHTEVSEKSDIYDFGVVLLELITGRGGNVVKWAKDLIKPALINEKYKALLDSTTHSNYNTRDVVRMIYCAAACVYRPSDFRPQMKEIVGILEGRLSLKDIWNANDLQFIESI >Manes.03G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13482642:13500590:-1 gene:Manes.03G076800.v8.1 transcript:Manes.03G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSEVTFGPIKYRYGQLAKATDHFSNNLLLGEGGFGQVYKGSLDGKTNIAVKKLKCLPEQSREGLTHEIMVVSSVRHRNLVRLLGYCIDGANGLLVFDFFPNKSLKSHLQGRKEILDWPKRMKIALGSAKGLEYLHEHCDTKIIHRDIKSDNILLDNNFEAKVADFGIALFFPDADVTHLTKSVVGTEVYVDPENPEKVSEKSDIYSFGVVLLELISGRAIRHQGINIVTWAENRIQPALNGQNMDLIDSKLQGKYEEKEMKRMISCAADCVYKPSKLRPQIKEVVRALEGYVRDDNPNLGGSRQNCTKPQEPIFIPKTDKGTEANNYHRTFEKPSSSGNSQVYKPRRFTYQKLSEATEGFSEQNFLTQGGFGATYKGFLDGKIVAVKKLFDLPNEQKVEELEKEIEVVSKVSHSNLVNPIGYCIEKQGRLLVIEFIASKSLKAHLHGNEKLAWSTRMKIATACAKGLEYLHESCKIMHGNISSNNIFLDNNLEPKIASFGLAKFYRPSFKTNIFVNTEDHTEVSEKSDIYDFGVVLLELITGRGGNVVKWAKDLIKPALINEKYKALLDSTTHSNYNTRDVVRMIYCAAACVYRPSDFRPQMKEIVGILEGRLSLKDIWNANDLQFIESI >Manes.03G076800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13471748:13500451:-1 gene:Manes.03G076800.v8.1 transcript:Manes.03G076800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSEVTFGPIKYRYGQLAKATDHFSNNLLLGEGGFGQVYKGSLDGKTNIAVKKLKCLPEQSREGLTHEIMVVSSVRHRNLVRLLGYCIDGANGLLVFDFFPNKSLKSHLQGRKEILDWPKRMKIALGSAKGLEYLHEHCDTKIIHRDIKSDNILLDNNFEAKVADFGIALFFPDADVTHLTKSVVGTEVYVDPENPEKVSEKSDIYSFGVVLLELISGRAIRHQGINIVTWAENRIQPALNGQNMDLIDSKLQGKYEEKEMKRMISCAADCVYKPSKLRPQIKEVVRALEGYVRDDNPNLGGSRQNCTKPQEPIFIPKTDKGTEANNYHRTFEKPSSSGNSQVYKPRRFTYQKLSEATEGFSEQNFLTQGGFGATYKGFLDGKIVAVKKLFDLPNEQKVEELEKEIEVVSKVSHSNLVNPIGYCIEKQGRLLVIEFIASKSLKAHLHGNEKLAWSTRMKIATACAKGLEYLHESCKIMHGNISSNNIFLDNNLEPKIASFGLAKFYRPSFKTNIFVNTEDHTEVSEKSDIYDFGVVLLELITGRGGNVVKWAKDLIKPALINEKYKALLDSTTHSNYNTRDVVRMIYCAAACVYRPSDFRPQMKEDFMLKRGNIQ >Manes.03G076800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13467899:13500451:-1 gene:Manes.03G076800.v8.1 transcript:Manes.03G076800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSEVTFGPIKYRYGQLAKATDHFSNNLLLGEGGFGQVYKGSLDGKTNIAVKKLKCLPEQSREGLTHEIMVVSSVRHRNLVRLLGYCIDGANGLLVFDFFPNKSLKSHLQGRKEILDWPKRMKIALGSAKGLEYLHEHCDTKIIHRDIKSDNILLDNNFEAKVADFGIALFFPDADVTHLTKSVVGTEVYVDPENPEKVSEKSDIYSFGVVLLELISGRAIRHQGINIVTWAENRIQPALNGQNMDLIDSKLQGKYEEKEMKRMISCAADCVYKPSKLRPQIKEVVRALEGYVRDDNPNLGGSRQNCTKPQEPIFIPKTDKGTEANNYHRTFEKPSSSGNSQVYKPRRFTYQKLSEATEGFSEQNFLTQGGFGATYKGFLDGKIVAVKKLFDLPNEQKVEELEKEIEVVSKVSHSNLVNPIGYCIEKQGRLLVIEFIASKSLKAHLHGNEKLAWSTRMKIATACAKGLEYLHESCKIMHGNISSNNIFLDNNLEPKIASFGLAKFYRPSFKTNIFVNTEDHTEVSEKSDIYDFGVVLLELITGRGGNVVKWAKDLIKPALINEKYKALLDSTTHSNYNTRDVVRMIYCAAACVYRPSDFRPQMKEVAKWG >Manes.17G079240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28252682:28253472:1 gene:Manes.17G079240.v8.1 transcript:Manes.17G079240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEIHFWIDAQISFMMSSVPDHTLYPICPLTKFLVLIFLKVNGVRKALLSAENISMMGLLPWLRI >Manes.04G161600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35576392:35577657:1 gene:Manes.04G161600.v8.1 transcript:Manes.04G161600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHQPSDYFQTLLSSARPFLRGELQSIDPNLPSLVSVLRSVGAGECWHKHGSFLDHLLDIYRILKIWNAPDSVCLCGLFHSAYSNSYVNLAIFDPSTGRDLVRSLVGEAAEELIHLFCIVPRQPLIHDDLLFKYSDTELVQHLKLSEISLKNAKDKGLFNRDESWRKQLNSILPAGGINVKHIKTGEDVLVTRRVVAIFLMMTMADFSDQLFGFQDVLFNNLDGRLQFSGNNFAALWPGDGKPGLWMNSISRMGALYTLIQREEEIFIQERKRAGGVGVDRGRDEDIELVVPPVFDACTKILDAKEQIMSRDLYWEAVCDMPKIGIEKAEELLLRCVENNPFVGEPRVVLGQVYLTKGRFEEAEKEVMRGLTLMLEWGSPWDKRMSWEGWIAWARVLWMKAKEKSWPQTSWGVLNLGLVK >Manes.13G034100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4206938:4207510:-1 gene:Manes.13G034100.v8.1 transcript:Manes.13G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLNLSLGLPPAKKAWRSITSKLLRKPDKSRALKKPKYQYKKSFFEQRGQSSSRRFFFRPSKYSLTFKRRRSRLFPHKKTAPVYVDKLFKEPVADELVEQCMPSAKTMKLLDNHQAVIVSTEAEASREDGKGGERAIAAADDMWESLGFASPQMRGIDERAEQFIASFRSEMEVQEMIAGGLFIDGPGE >Manes.02G196900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16263133:16267580:-1 gene:Manes.02G196900.v8.1 transcript:Manes.02G196900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLAVEVLDASDLMPKDGQGSANPFVQVNFDDQRQRTQTKSKDLNPCWNEKLFFTVNDPRDLPNKTIEVVVYHERTGEAGHDKNFLGRVRISGVSVPLSESEARVQRCPLEKRGLFSNIKGDIALKIYAVHGGNYYPPPPPQPPKAASIETEATPLQEINTNKLEEDVMAGERKTKKKKEKEVRTFHSIGTPAASSGPGPGPAPAAPPPISSGFGLQTHLMKEKAPTVETRTDYARAGPPTVMHMQVPKPNPEFALVETRPPVAARMRYKAGDKTASTYDLVEQMQYLYVSVVKARDLPVMDVSGSLDPYAEVKLGNYKGKTKHLEKNQNPVWHQIFAFSKDRIQANLLEVTVKDKDFVKDDFVGRVLFDLSEVPVRVPPDSPLAPQWYKLEDKKGDKTNKGEIMLAVWMGTQADESFPEAWHSDAHDIGHTNLANTRSKVYFTPKLYYLRVQVIEAQDLVPSDRGRAPDVYVKVRLGNQGRITKPSPMRSINPVWNEELMFVASEPFEDYIIVSVEDRVGPGRDEVMGMVNIQVREVPPRRDTAKLPDPRWFSLFKPALAEEEGQKKKEKFSSKIQLCLCLDTGYHVLDESTHFSSDLQPSSKFLRKEKIGILELGILSARNLLPMKGKDGKTTDAYCVAKYGNKWVRTRTLLDNLHPRWNEQYTWDVHDPCTVITIGVFDNCQINGKDDAKDQKIGKVRIRLSTLETDRIYTHYYPLLVLHPSGLRKHGEIQLALRFTCTAWVNMVTQYGKPLLPKMHYLQPISVRHIDWLRHQAMQIVAVRLGRAEPPLKREIVEYMLDVDYHMWSLRRSKANFGRIMKLLSGVAAVCKWFNDICTWRNPVTTCLVHVLFLILVCYPELILPTIFLYLFVIGLWNYRYRPRQPPHMDIRLSQADNVHPDELDEEFDSFPTSRPADIVRMRYDRLRSVAGRVQTVVGDLASQGERAQAILSWRDPRATAIFIIFSLIWAVFIYVTPFQVVAVLVGLYLLRHPRFRSKLPSVPVNFFKRLPSKSDMLL >Manes.02G000800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:126496:131830:1 gene:Manes.02G000800.v8.1 transcript:Manes.02G000800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPQKTSNGVSTRWVSIFCIASFFLGVLVVNRFWTIDPVKMDEEASSVKEHPSKISHPVVNCEKKETSVQARDILSQVSQTHDVIMTLDKTISSLEMQLAAARAVKADTEEGSPMVTKSGTKPLKERQKVFFVMGIITAFSSRKRRDSIRETWMPKGEELKKLETEKGIILRFVIGHSASPGGVLDRAIDAEEEQHKDFLRLNHIEGYHELSSKTQIYFSTAVARWDADFYIKVDDDVHINLGMVGSTLARHRSKPRVYIGCMKSGPVLSQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNR >Manes.02G000800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:126313:132278:1 gene:Manes.02G000800.v8.1 transcript:Manes.02G000800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPQKTSNGVSTRWVSIFCIASFFLGVLVVNRFWTIDPVKMDEEASSVKEHPSKISHPVVNCEKKETSVQARDILSQVSQTHDVIMTLDKTISSLEMQLAAARAVKADTEEGSPMVTKSGTKPLKERQKVFFVMGIITAFSSRKRRDSIRETWMPKGEELKKLETEKGIILRFVIGHSASPGGVLDRAIDAEEEQHKDFLRLNHIEGYHELSSKTQIYFSTAVARWDADFYIKVDDDVHINLGMVGSTLARHRSKPRVYIGCMKSGPVLSQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPGKIASGRLRQEILALHHLIGAAVAFARQWREWRRYISSVGKVTEQYGIQVSETFHSKVVVI >Manes.02G000800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:126313:131134:1 gene:Manes.02G000800.v8.1 transcript:Manes.02G000800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPQKTSNGVSTRWVSIFCIASFFLGVLVVNRFWTIDPVKMDEEASSVKEHPSKISHPVVNCEKKETSVQARDILSQVSQTHDVIMTLDKTISSLEMQLAAARAVKADTEEGSPMVTKSGTKPLKERQKVFFVMGIITAFSSRKRRDSIRETWMPKGEELKKLETEKGIILRFVIGHSASPGGVLDRAIDAEEEQHKDFLRLNHIEGYHELSSKTQIYFSTAVARWDADFYIKVDDDVHINLGMVGSTLARHRSKPRVYIGCMKSGPVLSQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNR >Manes.02G000800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:126291:132277:1 gene:Manes.02G000800.v8.1 transcript:Manes.02G000800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPQKTSNGVSTRWVSIFCIASFFLGVLVVNRFWTIDPVKMDEEASSVKEHPSKISHPVVNCEKKETSVQARDILSQVSQTHDVIMTLDKTISSLEMQLAAARAVKADTEEGSPMVTKSGTKPLKERQKVFFVMGIITAFSSRKRRDSIRETWMPKGEELKKLETEKGIILRFVIGHSASPGGVLDRAIDAEEEQHKDFLRLNHIEGYHELSSKTQIYFSTAVARWDADFYIKVDDDVHINLGMVGSTLARHRSKPRVYIGCMKSGPVLSQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKAQAGNPCAASFDWSCSGVCKAVERMEEVHQQCGEGDGAIWHTSF >Manes.02G000800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:126313:131830:1 gene:Manes.02G000800.v8.1 transcript:Manes.02G000800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPQKTSNGVSTRWVSIFCIASFFLGVLVVNRFWTIDPVKMDEEASSVKEHPSKISHPVVNCEKKETSVQARDILSQVSQTHDVIMTLDKTISSLEMQLAAARAVKADTEEGSPMVTKSGTKPLKERQKVFFVMGIITAFSSRKRRDSIRETWMPKGEELKKLETEKGIILRFVIGHSASPGGVLDRAIDAEEEQHKDFLRLNHIEGYHELSSKTQIYFSTAVARWDADFYIKVDDDVHINLGMVGSTLARHRSKPRVYIGCMKSGPVLSQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKAQAGNPCAASFDWSCSGVCKAVERMEEVHQQCGEGDGAIWHTSF >Manes.02G000800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:125910:132131:1 gene:Manes.02G000800.v8.1 transcript:Manes.02G000800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPQKTSNGVSTRWVSIFCIASFFLGVLVVNRFWTIDPVKMDEEASSVKEHPSKISHPVVNCEKKETSVQARDILSQVSQTHDVIMTLDKTISSLEMQLAAARAVKADTEEGSPMVTKSGTKPLKERQKVFFVMGIITAFSSRKRRDSIRETWMPKGEELKKLETEKGIILRFVIGHSASPGGVLDRAIDAEEEQHKDFLRLNHIEGYHELSSKTQIYFSTAVARWDADFYIKVDDDVHINLGMVGSTLARHRSKPRVYIGCMKSGPVLSQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKAQAGNPCAASFDWSCSGVCKAVERMEEVHQQCGEGDGAIWHTSF >Manes.02G054000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4311088:4314011:-1 gene:Manes.02G054000.v8.1 transcript:Manes.02G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDEVLKAVFPLLDGVDLASCMAVCKQWRDIARDDYFWKCLCAKRWPSICKRPNPPTVTYYKLYQTFYKRQHRQTLLPPRISLDDLEFFIDIWAEEKLIFSEVVPGPVLLTGIRVPPPGICDILRFHLDGPDYKMILPVEPTVKIPLSQTVSVSVLAGRKDSNKVARVINRSMFDYIDRTAFRAMAFEYLDFSPAHPFIPGIRAWISLLFMDDGNEGVIDVFGIEMDFRDAANSREEVLWLLDMLDWK >Manes.17G042225.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23268616:23278565:-1 gene:Manes.17G042225.v8.1 transcript:Manes.17G042225.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSTNVNCLSIGKKACRLFITGGDDHKVNLWAIGKPTSLMTLCGHTSPVESVAFDTAEVLVLAGASTGVIKLWDLEEAKMLRTLTGHSSNCTAAEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLIHDFKFHEGHIRSLDFHPLEFLLATGSADGTVKFWDLETFDLIGSTRPEATGVRTITFHPDGRTLFCGLDDSLKVYSWEPVIFHDAIGIGWSTLGDLCINEGKLLGCSHYRNSVAVWVADISLIEPYGVGFVPEESDCAEKKFNISKSDSPVKARTGERSASDLRSISPEYEIKEIKNIYVDSTGGIPVSSQKAGSLSSPNMVLPVDSKEMINPPTEKKSSTIEVTGKASGEALNKSFVVPTVVPRDSAVDNNSSNSGRGTVTFSRTKPGMLLRPAHIWRPSNSKNDVEKLSVALESETSSSVTSEKESAMDLKSQSLHVSEDGIQNSCEENKSTIKSVADKFEKILSPETPTCQENCDESIANSNKGIPSVKIVNGVAVVAGRTRSLVERFERREKFSSEDQSINMASQVAHETNRISNINPGAAKETDIKPPTATSVSSLVMPENDRKPPTAINTSPLVMPETDRKPPTSVNVTPHFMPETDRKPPTSANVPPHFIAEIDRKPSTETNMTTHVIPETDRKPPTATNMPAHAMPETKSKPVIATNMTLGFMPEMGRTPAKGTALTPRAICERDRKPTTTTNVNPRVVPETDRSPPIATEVTPRVIRGMDRAPSMLEEPQISGSEPVCSSYRDISEDLMRTHDVFLSTLKSRLTKLQVVRHFWEQNDIKGAINGLRKLQDPSVQADVISILMEKMEILTLDLFSCLLPVLVGLLESKMERHTSVSLEMLLKLVAVFGPIVRSTISAPPAVGVDLHAEQRLECCKQCFVQLQNIQQILPLVTRKGGLVAKSALELNLVLRGS >Manes.17G042225.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23269427:23278682:-1 gene:Manes.17G042225.v8.1 transcript:Manes.17G042225.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSTNVNCLSIGKKACRLFITGGDDHKVNLWAIGKPTSLMTLCGHTSPVESVAFDTAEVLVLAGASTGVIKLWDLEEAKMLRTLTGHSSNCTAAEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLIHDFKFHEGHIRSLDFHPLEFLLATGSADGTVKFWDLETFDLIGSTRPEATGVRTITFHPDGRTLFCGLDDSLKVYSWEPVIFHDAIGIGWSTLGDLCINEGKLLGCSHYRNSVAVWVADISLIEPYGVGFVPEESDCAEKKFNISKSDSPVKARTGERSASDLRSISPEYEIKEIKNIYVDSTGGIPVSSQKAGSLSSPNMVLPVDSKEMINPPTEKKSSTIEVTGKASGEALNKSFVVPTVVPRDSAVDNNSSNSGRGTVTFSRTKPGMLLRPAHIWRPSNSKNDVEKLSVALESETSSSVTSEKESAMDLKSQSLHVSEDGIQNSCEENKSTIKSVADKFEKILSPETPTCQENCDESIANSNKGIPSVKIVNGVAVVAGRTRSLVERFERREKFSSEDQSINMASQVAHETNRISNINPGAAKETDIKPPTATSVSSLVMPENDRKPPTAINTSPLVMPETDRKPPTSVNVTPHFMPETDRKPPTSANVPPHFIAEIDRKPSTETNMTTHVIPETDRKPPTATNMPAHAMPETKSKPVIATNMTLGFMPEMGRTPAKGTALTPRAICERDRKPTTTTNVNPRVVPETDRSPPIATEVTPRVIRGMDRAPSMLEEPQISGSEPVCSSYRDISEDLMRTHDVFLSTLKSRLTKLQVVRHFWEQNDIKGAINGLRKLQDPSVQADVISILMEKMEILTLDLFSCLLPVLVGLLESKMERHTSVSLEMLLKLVAVFGPIVRSTISAPPAVGVDLHAEQRLECCKQCFVQLQNIQQILPLVTRKGGLVAKSALELNLVLRGS >Manes.17G042225.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23269371:23278567:-1 gene:Manes.17G042225.v8.1 transcript:Manes.17G042225.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSTNVNCLSIGKKACRLFITGGDDHKVNLWAIGKPTSLMTLCGHTSPVESVAFDTAEVLVLAGASTGVIKLWDLEEAKMLRTLTGHSSNCTAAEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLIHDFKFHEGHIRSLDFHPLEFLLATGSADGTVKFWDLETFDLIGSTRPEATGVRTITFHPDGRTLFCGLDDSLKVYSWEPVIFHDAIGIGWSTLGDLCINEGKLLGCSHYRNSVAVWVADISLIEPYGVGFVPEESDCAEKKFNISKSDSPVKARTGERSASDLRSISPEYEIKEIKNIYVDSTGGIPVSSQKAGSLSSPNMVLPVDSKEMINPPTEKKSSTIEVTGKASGEALNKSFVVPTVVPRDSAVDNNSSNSGRGTVTFSRTKPGMLLRPAHIWRPSNSKNDVEKLSVALESETSSSVTSEKESAMDLKSQSLHVSEDGIQNSCEENKSTIKSVADKFEKILSPETPTCQENCDESIANSNKGIPSVKIVNGVAVVAGRTRSLVERFERREKFSSEDQSINMASQVAHETNRISNINPGAAKETDIKPPTATSVSSLVMPENDRKPPTAINTSPLVMPETDRKPPTSVNVTPHFMPETDRKPPTSANVPPHFIAEIDRKPSTETNMTTHVIPETDRKPPTATNMPAHAMPETKSKPVIATNMTLGFMPEMGRTPAKGTALTPRAICERDRKPTTTTNVNPRVVPETDRSPPIATEVTPRVIRGMDRAPSMLEEPQISGSEPVCSSYRDISEDLMRTHDVFLSTLKSRLTKLQVNLAVLNEASATRFNKRQTALCLVFLMMLAKYCSVAMFLLQLKMF >Manes.17G042225.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23269438:23278559:-1 gene:Manes.17G042225.v8.1 transcript:Manes.17G042225.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSTNVNCLSIGKKACRLFITGGDDHKVNLWAIGKPTSLMTLCGHTSPVESVAFDTAEVLVLAGASTGVIKLWDLEEAKMLRTLTGHSSNCTAAEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLIHDFKFHEGHIRSLDFHPLEFLLATGSADGTVKFWDLETFDLIGSTRPEATGVRTITFHPDGRTLFCGLDDSLKVYSWEPVIFHDAIGIGWSTLGDLCINEGKLLGCSHYRNSVAVWVADISLIEPYGVGFVPEESDCAEKKFNISKSDSPVKARTGERSASDLRSISPEYEIKEIKNIYVDSTGGIPVSSQKAGSLSSPNMVLPVDSKEMINPPTEKKSSTIEVTGKASGEALNKSFVVPTVVPRDSAVDNNSSNSGRGTVTFSRTKPGMLLRPAHIWRPSNSKNDVEKLSVALESETSSSVTSEKESAMDLKSQSLHVSEDGIQNSCEENKSTIKSVADKFEKILSPETPTCQENCDESIANSNKGIPSVKIVNGVAVVAGRTRSLVERFERREKFSSEDQSINMASQVAHETNRISNINPGAAKETDIKPPTATSVSSLVMPENDRKPPTAINTSPLVMPETDRKPPTSVNVTPHFMPETDRKPPTSANVPPHFIAEIDRKPSTETNMTTHVIPETDRKPPTATNMPAHAMPETKSKPVIATNMTLGFMPEMGRTPAKGTALTPRAICERDRKPTTTTNVNPRVVPETDRSPPIATEVTPRVIRGMDRAPSMLEEPQISGSEPVCSSYRDISEDLMRTHDVFLSTLKSRLTKLQVVRHFWEQNDIKGAINGLRKLQDPSVQADVISILMEKMEILTLDLFSCLLPVLVGLLESKMERHTSVSLEMLLKLVAVFGPIVRSTISAPPAVGVDLHAEQRFGYAAFSRRLA >Manes.17G042225.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23269433:23278562:-1 gene:Manes.17G042225.v8.1 transcript:Manes.17G042225.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSTNVNCLSIGKKACRLFITGGDDHKVNLWAIGKPTSLMTLCGHTSPVESVAFDTAEVLVLAGASTGVIKLWDLEEAKMLRTLTGHSSNCTAAEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLIHDFKFHEGHIRSLDFHPLEFLLATGSADGTVKFWDLETFDLIGSTRPEATGVRTITFHPDGRTLFCGLDDSLKVYSWEPVIFHDAIGIGWSTLGDLCINEGKLLGCSHYRNSVAVWVADISLIEPYGVGFVPEESDCAEKKFNISKSDSPVKARTGERSASDLRSISPEYEIKEIKNIYVDSTGGIPVSSQKAGSLSSPNMVLPVDSKEMINPPTEKKSSTIEVTGKASGEALNKSFVVPTVVPRDSAVDNNSSNSGRGTVTFSRTKPGMLLRPAHIWRPSNSKNDVEKLSVALESETSSSVTSEKESAMDLKSQSLHVSEDGIQNSCEENKSTIKSVADKFEKILSPETPTCQENCDESIANSNKGIPSVKIVNGVAVVAGRTRSLVERFERREKFSSEDQSINMASQVAHETNRISNINPGAAKETDIKPPTATSVSSLVMPENDRKPPTAINTSPLVMPETDRKPPTSVNVTPHFMPETDRKPPTSANVPPHFIAEIDRKPSTETNMTTHVIPETDRKPPTATNMPAHAMPETKSKPVIATNMTLGFMPEMGRTPAKGTALTPRAICERDRKPTTTTNVNPRVVPETDRSPPIATEVTPRVIRGMDRAPSMLEEPQISGSEPVCSSYRDISEDLMRTHDVFLSTLKSRLTKLQVVRHFWEQNDIKGAINGLRKLQDPSVQADVISILMEKMEILTLDLFSCLLPVLVGLLESKMERHTSVSLEMLLKLVAVFGPIVRSTISAPPAVGVDLHAEQRLECCKQCFVQLQNIQQILPLVTRRISGKIGSRIESSSSRIIAKARHWKSLPAASLRVLRSPYRPFLATESNSL >Manes.17G042225.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23269446:23278559:-1 gene:Manes.17G042225.v8.1 transcript:Manes.17G042225.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSTNVNCLSIGKKACRLFITGGDDHKVNLWAIGKPTSLMTLCGHTSPVESVAFDTAEVLVLAGASTGVIKLWDLEEAKMLRTLTGHSSNCTAAEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLIHDFKFHEGHIRSLDFHPLEFLLATGSADGTVKFWDLETFDLIGSTRPEATGVRTITFHPDGRTLFCGLDDSLKVYSWEPVIFHDAIGIGWSTLGDLCINEGKLLGCSHYRNSVAVWVADISLIEPYGVGFVPEESDCAEKKFNISKSDSPVKARTGERSASDLRSISPEYEIKEIKNIYVDSTGGIPVSSQKAGSLSSPNMVLPVDSKEMINPPTEKKSSTIEVTGKASGEALNKSFVVPTVVPRDSAVDNNSSNSGRGTVTFSRTKPGMLLRPAHIWRPSNSKNDVEKLSVALESETSSSVTSEKESAMDLKSQSLHVSEDGIQNSCEENKSTIKSVADKFEKILSPETPTCQENCDESIANSNKGIPSVKIVNGVAVVAGRTRSLVERFERREKFSSEDQSINMASQVAHETNRISNINPGAAKETDIKPPTATSVSSLVMPENDRKPPTAINTSPLVMPETDRKPPTSVNVTPHFMPETDRKPPTSANVPPHFIAEIDRKPSTETNMTTHVIPETDRKPPTATNMPAHAMPETKSKPVIATNMTLGFMPEMGRTPAKGTALTPRAICERDRKPTTTTNVNPRVVPETDRSPPIATEVTPRVIRGMDRAPSMLEEPQISGSEPVCSSYRDISEDLMRTHDVFLSTLKSRLTKLQVVRHFWEQNDIKGAINGLRKLQDPSVQADVISILMEKMEILTLDLFSCLLPVLVGLLESKMERHTSVSLEMLLKLVAVFGPIVRSTISAPPAVGVDLHAEQRLECCKQCFVQLQNIQQILPLVTRRISGKIGSRIESSSSRIIAKARHWKSLPAASLRVLRRQYPNCTMQCYSFHVSLGTSQFCHAASYFLAVHIGLF >Manes.17G042225.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23269429:23278562:-1 gene:Manes.17G042225.v8.1 transcript:Manes.17G042225.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFVAHSTNVNCLSIGKKACRLFITGGDDHKVNLWAIGKPTSLMTLCGHTSPVESVAFDTAEVLVLAGASTGVIKLWDLEEAKMLRTLTGHSSNCTAAEFHPFGEFFASGSTDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLIHDFKFHEGHIRSLDFHPLEFLLATGSADGTVKFWDLETFDLIGSTRPEATGVRTITFHPDGRTLFCGLDDSLKVYSWEPVIFHDAIGIGWSTLGDLCINEGKLLGCSHYRNSVAVWVADISLIEPYGVGFVPEESDCAEKKFNISKSDSPVKARTGERSASDLRSISPEYEIKEIKNIYVDSTGGIPVSSQKAGSLSSPNMVLPVDSKEMINPPTEKKSSTIEVTGKASGEALNKSFVVPTVVPRDSAVDNNSSNSGRGTVTFSRTKPGMLLRPAHIWRPSNSKNDVEKLSVALESETSSSVTSEKESAMDLKSQSLHVSEDGIQNSCEENKSTIKSVADKFEKILSPETPTCQENCDESIANSNKGIPSVKIVNGVAVVAGRTRSLVERFERREKFSSEDQSINMASQVAHETNRISNINPGAAKETDIKPPTATSVSSLVMPENDRKPPTAINTSPLVMPETDRKPPTSVNVTPHFMPETDRKPPTSANVPPHFIAEIDRKPSTETNMTTHVIPETDRKPPTATNMPAHAMPETKSKPVIATNMTLGFMPEMGRTPAKGTALTPRAICERDRKPTTTTNVNPRVVPETDRSPPIATEVTPRVIRGMDRAPSMLEEPQISGSEPVCSSYRDISEDLMRTHDVFLSTLKSRLTKLQVVRHFWEQNDIKGAINGLRKLQDPSVGICENSSYLMLINCI >Manes.09G042601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7710741:7712623:-1 gene:Manes.09G042601.v8.1 transcript:Manes.09G042601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFFWGNGKDNGDGIRWFRWKRLAVPKVAGGLGYWELRQFNLALVAKQGWRLLKYPNTLASKVLKAKYFSHSDFFQAPVGNGPSQIWRSDPYIRTEVAENMPFVRVSDLILNRTWNAELIQTHFQQHDSDNILHIPLSLRECDDDWCWALNRKGEYVVKEGYRVAMEDNLADLSVGLEKDFHVLYCCSFARSYWLLSNLGWVSFSSLNTMLSYVLTFLHVSQREEVFMLIWSLWIHRNDVVWNQIFQQPIYVVNRARVVLEEWQLAWLHFRVTDSGMVVRNSLGYCCECQIMIVSGLMDPLLGELKSKNYFPVCMETDCELVVNALNSSHSDSLYFKLIINDCKALLHELQYISFAFVRRSANQVAHTVARRAGSMSDFDWGMPSFILIQRIII >Manes.14G023200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2220086:2223474:1 gene:Manes.14G023200.v8.1 transcript:Manes.14G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLSDEAVMAVRLAIIVLSAVVFAGYMMIWVMKPTNVYFVDWQPHIQAKADSKFFGEQGEYTLVYMFPILFIATLACVYLHLGKKSVGSGSSKVPSWFAWCKRPILVKGPLGIVSLIELSFLGMLFVLMVWSLYSYLQAMFAFAAPTAASLGFQVWEVKLELSALSLGLAGNICLVFLFFPVTRGSSVLQLIGLTSEASVKYHIWLGHITMTIFTAHGLCYIIFWAKTHQLSQMLKWDKYFISNVAGEIALLSGLIMWLTSLKRIRRKIFELFLYSHHLYILFVVFYVFHVCFSRACIILPGFYLFMIDRYLRFLQSQQRIRLVSARISPCETVELNFSKSPGLRYAPRSTAFVNVPKISKVQWHPFTITSSSNMDPEKLSIVIKCEGNWSHKLYQMLSSPEPIDRLEVSLEGPYGHGSTHFMRHDLLVMVSGGSGITPFISIIREVLFLANTANGKMPRILLICAFKKSEELTMLDLLLPVTATAFDISLLQLKIEAYITREQVLQADGKKLLRTIWFKPNVSDVPVSAVLGPNSWLWLGAIISASFVIFLILIIILARYYIYPIDHNTDMIYSMPARAALNLFLICISMVMAASAAVLWNKKQNAREMKQIQNADMPTPATSPGSQFHHADRELESLPQQSLLQATRVHPGERPNFKKILLECKGDSVGVLVSGPRKMRQEVAAICSSSLEANLYFESISFSW >Manes.06G098000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23212439:23222087:-1 gene:Manes.06G098000.v8.1 transcript:Manes.06G098000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLESDKQPSKVGDYRMKVTKSDQLVLAMYAVATPLFDGDPCAPLRHQLWNPRTAVWKLSVDAMTDYPHHHLFYSLNCCRQRASVAGLEREEGETRKTEQEPKRKTMLTVSPQTMTEMWATMGSTIASFMFLWAIFRQFCPYEVRRYLEKYSQRIMSFFYPYIKISIHEYTGGRLKRSEAYAAVEAYLSVNSTKYAKRLKAEMGKDCSNLVLSMDEHERVTDEFRGVKVWWVSSKLVSPSQSMYPQQERRYYKLAFHKNHREMITEVYLQYVMREGKEIRMRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMALEPEKKQEIIEDLLTFSKSKDFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKKVEKSLDDEKEKSEKEIPRKDLKEEANSKVTLSGLLNFIDGLWSACGGERLIVFTTNYVEKLDPALIRRGRMDKHIELSYCSFEAFKVLAMNYLKLKAHPMFDTIEGLMKETRITPADVAENLMPKSPLDNAEKCLSNLIQALEEVKEAEAFKTEKE >Manes.14G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7758852:7763594:1 gene:Manes.14G092800.v8.1 transcript:Manes.14G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSPYPISALSYINPALPKRVSSPSVFIPKAMAKELYFNHDGSTTKKLLAGVDMVAELVGVTLGPKGRNVVLENKYGPPKIVNDGETVLKQIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSVVLAHGLITEGLKVIAAGMNPVQVARGIEKTSKALVSELKLMSREVEDCELADVAAVSAGNDYTVGNMISDALREVGRRGVVTIEKGKYIENNLQIVKGMQFDRGYLSPYFVTDRRKMTVEFHNCKLLLVDKKITNPKEMRKILDSAVKEKYPIVIIAEGIEQEALALLIRNKLKGVLKAAAIKAPAFGERKSHYLDDIAILTGGTVIRDDMGFTLEKAGKEVLGSTTRVMITKDCTLIVTDESTQAAVQKRVSQIRNLVENTEENFQKKILNERIARLSGGIAILQVGAQTQVELKDKQLRIEDALNATKAAIEEGVVVGGGCSLLRLSTKVEGIKELLGNEEQKIGAEIFKRALSYPARLIAKNAGVNGNIVINQVLSNDDPRYGYNAARDRYEDLITAGIIDPTKVVRCCLENAASVAKTFLTADAVVVDIREPQPLPRKPILTTPGTAPMSLPIRGQSL >Manes.12G112200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30970460:30976924:-1 gene:Manes.12G112200.v8.1 transcript:Manes.12G112200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLISMPSNSCCHGTRRCYIILHFNPSSIAHWFSTGTDSTRPEFPGENAYHILGVSETSSFTEIKASFRRLAKETHPDLAESNSGSSTSQRFVQILAAYEILSDSERRALYDKYLMSQRRVMQNHSGESSTLHMYKTQTTASKQMEVVEWLKWYRLAMNDILSQKKVVVGTSYFDVLEADFYSAIHAAYYGPVIESMDLLPDCFEAEERSDYETSEVLHLVSGRELFGMVCLVNKVPELSSSCAKKLNSFASAGLGYCQSIENTSIFMNSNEANNDGLSQIHARNILSQVSDAYRDLELHISGRVVAVATRVPPKGQYNGVQHEDSQDQIRVFLNSDDNSTHIRRGCSQDSILDGAVGLKIPLGTITGLGSSPEEGSCFVYDRNGAKTHVIMKHRTLLVKHMYWYAVGDKVSICECRCSRARLPPSR >Manes.12G112200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30969821:30976924:-1 gene:Manes.12G112200.v8.1 transcript:Manes.12G112200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLISMPSNSCCHGTRRCYIILHFNPSSIAHWFSTGTDSTRPEFPGENAYHILGVSETSSFTEIKASFRRLAKETHPDLAESNSGSSTSQRFVQILAAYEILSDSERRALYDKYLMSQRRVMQNHSGESSTLHMYKTQTTASKQMEVVEWLKWYRLAMNDILSQKKVVVGTSYFDVLEADFYSAIHAAYYGPVIESMDLLPDCFEAEERSDYETSEVLHLVSGRELFGMVCLVNKVPELSSSCAKKLNSFASAGLGYCQSIENTSIFMNSNEANNDGLSQIHARNILSQVSDAYRDLELHISGRVVAVATRVPPKGQYNGVQHEDSQDQIRVFLNSDDNSTHIRRGCSQDSILDGAVGLKIPLGTITGLGSSPEEGSCFVYDRNGAKTHVIMKHRTLLVKHMYWYAVGDKVSICECRCSRARLPPSRFWLFEPRCDMHDIGGWYIETFGRDKKGRTVLSQRYWDGIDESEQYDNRLVFRRQPWLQLLPNHHQP >Manes.12G112200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30971852:30976924:-1 gene:Manes.12G112200.v8.1 transcript:Manes.12G112200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLISMPSNSCCHGTRRCYIILHFNPSSIAHWFSTGTDSTRPEFPGENAYHILGVSETSSFTEIKASFRRLAKETHPDLAESNSGSSTSQRFVQILAAYEILSDSERRALYDKYLMSQRRVMQNHSGESSTLHMYKTQTTASKQMEVVEWLKWYRLAMNDILSQKKVVVGTSYFDVLEADFYSAIHAAYYGPVIESMDLLPDCFEAEERSDYETSEVLHLVSGRELFGMVCLVNKVPELSSSCAKKLNSFASAGLGYCQSIENTSIFMNSNEANNDGLSQIHARNILSQVSDAYRDLELHISGRVVAVATRVPPKGQYNGVQHEDSQDQIRVFLNSDDNSTHIRRGCSQDSILDGAVGLKIPLGTITGLGSSPEEGSCFVYDRNGAKTHVIMKHRTLLVKHMYWYAVGDKVSICECRCSRARLPPSRFWLFEPRCDMHDIGGWYIETFGRDKKGRTVLSQRYWDGIDESEQYDK >Manes.12G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30970688:30976879:-1 gene:Manes.12G112200.v8.1 transcript:Manes.12G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLISMPSNSCCHGTRRCYIILHFNPSSIAHWFSTGTDSTRPEFPGENAYHILGVSETSSFTEIKASFRRLAKETHPDLAESNSGSSTSQRFVQILAAYEILSDSERRALYDKYLMSQRRVMQNHSGESSTLHMYKTQTTASKQMEVVEWLKWYRLAMNDILSQKKVVVGTSYFDVLEADFYSAIHAAYYGPVIESMDLLPDCFEAEERSDYETSEVLHLVSGRELFGMVCLVNKVPELSSSCAKKLNSFASAGLGYCQSIENTSIFMNSNEANNDGLSQIHARNILSQVSDAYRDLELHISGRVVAVATRVPPKGQYNGVQHEDSQDQIRVFLNSDDNSTHIRRGCSQDSILDGAVGLKIPLGTITGLGSSPEEGSCFVYDRNGAKTHVIMKHRTLLVKHMYWYAVGDKVSICECRCSRARLPPSRFWLFEPRCDMHDIGGWYIETFGRDKKGRTVLSQRYWDGIDESEQYDKRLHPAMYLLALAYRTLDLEDTKRRKRAFKNFIESQLFRVFHWCKKLV >Manes.05G069200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5707245:5707691:1 gene:Manes.05G069200.v8.1 transcript:Manes.05G069200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFFWSFYSKQMDTKKVVDLSSCLLLEDSGDSEVDFDPNLPFNKDLAAADDDAQSCSCDVSDNFYSCVTDLNACSEVEQASVHHVVDDFKEEEEKEEDQQLHGYQEWANGHLGLPENQKSCVSVESTNEPMNETEKNRLFWETCLAS >Manes.08G061375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7924873:7926258:-1 gene:Manes.08G061375.v8.1 transcript:Manes.08G061375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFCMHVRPPKEVWLATYKSPSDRKWASFLPILELRVFKLRSSFGSLEAQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.17G089000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29680214:29698785:-1 gene:Manes.17G089000.v8.1 transcript:Manes.17G089000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIFAAFIICFCSFSSLAELQRFQQPLKSDGSLSFLVIGDWGRRGLFNQSEVASQMGVIGEEQDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSSSNAKWKLVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSSHSNIQFITSGGGSKAWRGDIRKWDPEELKLYYDGQGFMSVQMTDSTAAFAFYDAFGSVLHQWSISKESHSAA >Manes.14G161600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23321384:23324963:1 gene:Manes.14G161600.v8.1 transcript:Manes.14G161600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSSFLLPSACTHDAYSFSSHLLPHKPTTTAVSNTKRKFNGAVVAALDNNACDDNSAMIIREQETKQKRSLNFSGNKPSTPVLDTVNYPIHMKNLSIQELEILADELREEIVYTVSKTGGHLSSSLGVAEITVALHHVFNTPEDKIIWDVGHQAYPHKILTGRRSGMHTIRQTFGLAGFPKRDESEHDAFGAGHSSTSISAGIGMAVGRDLVGKNNHVIAVIGDGAMTAGQAYEAMNNAGYLDSNLIIILNDNKQVSLPTATVDGPAPPVGALSKALTKLQSSRKLRQLREAAKGITKQIGGQTHEIAAKVDSYVRGMAAGTGASLFEELGLYYIGPVDGHNVEDLVSILKKVKSLPAPGPVLIHVITEKGKGYSPAEIAADKMHGVVKFDPTSGKQLKSKSSTLSYTQYFAESLIAEAEADDKIVAIHAAMGGGTGLNLFQKQFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDETELMHMVATAAAINDRPSCFRYPRGNGIGTTLPPNNKGTPLEIGKGRILREGSRVALLGYGTIVQSCLAAADLLQNFGISATVADARFCKPLDGELVRQLAQEHEILITAEEGSIGGFGSHVVHFLCLNGLLDGKLKLRPMVLPDRYIDHGSQTDQIEEAGLSSKHIAATVVSLIGGQKDSLHLLNL >Manes.11G052700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6580337:6582214:1 gene:Manes.11G052700.v8.1 transcript:Manes.11G052700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGDSRFGGDFGGYGGRGGSSFGFPGIGSDVRPGDWYCTAGNCGAHNFANRSSCFKCGVYKDDSAGGFDSDIPRSRGFGSGSNPSGWKSGDWICTRWECNEHNFASRMECFKCNAPRDLSNRTSY >Manes.11G052700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6579472:6582214:1 gene:Manes.11G052700.v8.1 transcript:Manes.11G052700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGDSRFGGDFGGYGGRGGSSFGFPGIGSDVRPGDWYCTAGNCGAHNFANRSSCFKCGVYKDDSAGGFDSDIPRSRGFGSGSNPSGWKSGDWICTRWECNEHNFASRMECFKCNAPRDLSNRTSY >Manes.11G052700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6579472:6582214:1 gene:Manes.11G052700.v8.1 transcript:Manes.11G052700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGDSRFGGDFGGYGGRGGSSFGFPGIGSDVRPGDWYCTAGNCGAHNFANRSSCFKCGVYKDDSAGGFDSDIPRSRGFGSGSNPSGWKSGDWICTRWECNEHNFASRMECFKCNAPRDLSNRTSY >Manes.11G052700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6580335:6582476:1 gene:Manes.11G052700.v8.1 transcript:Manes.11G052700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGDSRFGGDFGGYGGRGGSSFGFPGIGSDVRPGDWYCTAGNCGAHNFANRSSCFKCGVYKDDSAGGFDSDIPRSRGFGSGSNPSGWKSGDWICTRWECNEHNFASRMECFKCNAPRDLSNRTSY >Manes.11G052700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6580337:6582477:1 gene:Manes.11G052700.v8.1 transcript:Manes.11G052700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGDSRFGGDFGGYGGRGGSSFGFPGIGSDVRPGDWYCTAGNCGAHNFANRSSCFKCGVYKDDSAGGFDSDIPRSRGFGSGSNPSGWKSGDWICTRWECNEHNFASRMECFKCNAPRDLSNRTSY >Manes.11G052700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6580337:6582214:1 gene:Manes.11G052700.v8.1 transcript:Manes.11G052700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENMSRPGDWNCRSCQHLNFQRRDSCQRCGDSRFGGDFGGYGGRGGSSFGFPGIGSDVRPGDWYCTAGNCGAHNFANRSSCFKCGVYKDDSAGGFDSDIPRSRGFGSGSNPSGWKSGDWICTRWECNEHNFASRMECFKCNAPRDLSNRTSY >Manes.02G148202.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11388463:11388846:1 gene:Manes.02G148202.v8.1 transcript:Manes.02G148202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDKPQVNNTKRRALLILNCILLTVGNCGGPLILRLYFIHGGKRVWLSSWLQTGGWPIIFILLLISYLHRGSHKPTTKFFYMDTSLFIAATIVGVITGFDDYLYAYGIARLPVSTSSLIIATQLG >Manes.11G050870.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6476204:6480109:1 gene:Manes.11G050870.v8.1 transcript:Manes.11G050870.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTHFLSLPPSLPPSLALSLSLAEASAATMYRATVLLLLLSTACHVAFSVTDGLLPNGDFEYGPKPSQMKGTVVTAKNAIPNWEISGYVEYIKSGQKQGDMLLVVPGGAFAVRLGNEASIKQKIKVTKNSYYSITFNVARTCAQEEKLNLSVSPNSEPNDWGVLPMQTMYSSNGWDSYAWAFQADASEVEISIHNPGVEEDPACGPLIDSIALKFLSNPKRTRGNLLKNGNFEEGPYVFPNTDWGVLIPPKIEDDHSPLPGWIIESLKAVKYIDSDHFWVPEGKRAIELVAGKESALAQIVKTVPGKTYVLTFSVGDSNNSCEGSMVIEAYAGKDKIEVPYQSKGKGGFKRARFQFKAVSTHTRVMFLSSFYTMKSDNSGSLCGPVLDDIKLLSVRTPRRLL >Manes.08G011400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343042:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSSFEILWSLRNICGHLSSLGMLM >Manes.08G011400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350425:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRFKSII >Manes.08G011400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350425:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRFKSII >Manes.08G011400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSSFEILWSLRNICGHLSSLGMLM >Manes.08G011400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350425:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRFKSII >Manes.08G011400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343043:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSSFEILWSLRNICGHLSSLGMLM >Manes.08G011400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343042:1350424:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1342995:1350600:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350425:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRFKSII >Manes.08G011400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350425:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRFKSII >Manes.08G011400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1342995:1350600:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1342995:1350600:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRKSRFWSTMLWLYPGVYEIKFIVDGHWKIDPQTESVTRGGICNNILRVVE >Manes.08G011400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1343044:1350425:1 gene:Manes.08G011400.v8.1 transcript:Manes.08G011400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLYHPPCFLTLSSHKLFLIQEECKISLNTHHHPPQKSFRICICSAKKSRSGRKVKSDAELCNDIREFLSAVGLPEDHVPSMKELSDNGRTDLAHIVRRRGYKLLRRLLLNSMKEEIDESNEEKSLAREQDIFSDHIDILTVTEGQDEKVEDTSKDTKLPEEVTIIDDHSESAGSNPECNSSGHSSMHIEPFVKVSLHGSLNRHLDSASDNNTYKPMETSVNSSSGKKALDNSKDHEEKVNRMVEDISLSTGVLKLDCPADSPVASLNFGDHSSMPRDSAANSSLEDKVAKFIQSGNLDVTEDTFYGILDESIEESEGVNKPDNEAEIPSEAPYEENFGDAFGRSNAALALNGSIPTSRRNMLHKMVYGARNGNLSDEGPASSDLDKGLHVETSRRENQVEINNLKFMLRQKELELSRLQEQIEKEKLALSDLQSKAEKEISKAQKLISDKDAELVAAEESLSELVEVEIQYCGDGEIVEVAGSFNGWHHPIKLDPQPSSSIVDSTGSRFKSII >Manes.09G148650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34651395:34661201:1 gene:Manes.09G148650.v8.1 transcript:Manes.09G148650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISATSAMFTRRQWSIYFFAVLYLIGSGRKCCRDTRLRRAL >Manes.16G048700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:14845370:14847083:-1 gene:Manes.16G048700.v8.1 transcript:Manes.16G048700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGTQMDWKETAHAHIFEIDLPGVSKEDVKLEVEEGRVLRVSAERKEEAEEKGEKWHCKERASGGFFREFELPENAKVDEIKASMRDGVLVVIVPKDEHRKKKPRKKGVEIYGEDEGEHAPKGLGRFVCCKA >Manes.16G048700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:14845370:14847083:-1 gene:Manes.16G048700.v8.1 transcript:Manes.16G048700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHSLMNQNRLFNPFQRLFMDNSGTQMDWKETAHAHIFEIDLPGVSKEDVKLEVEEGRVLRVSAERKEEAEEKGEKWHCKERASGGFFREFELPENAKVDEIKASMRDGVLVVIVPKDEHRKKKPRKKGVEIYGEDEGEHAPKGLGRFVCCKA >Manes.03G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:361147:368295:1 gene:Manes.03G003500.v8.1 transcript:Manes.03G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGGISLEEIKNESVDLERIPIEEVFEQLKCTREGLTSEEGANRLQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIVALLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIIIEIIVMYPIQHRKYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRTLIEVFAKGVDKEYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIRELHFLPFNPVDKRTALTYIDSDGNWHRVSKGAPEQILGLCNSKEDVKKKVHAVIDKFAERGLRSLAVARQEVPEKSKDSPGGPWQLVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQDKDASIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKYDFAPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFSTGIVLGGYLALMTVIFFWAMYDTDFFTDKFGVRSLHGREREMMAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVSAFVVAQLVATLIAVYANWGFARIEGCGWGWAGVIWLYSLVTYVPLDLLKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPESSNLFADKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Manes.03G003500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:361968:368295:1 gene:Manes.03G003500.v8.1 transcript:Manes.03G003500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIVALLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIIIEIIVMYPIQHRKYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRTLIEVFAKGVDKEYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIRELHFLPFNPVDKRTALTYIDSDGNWHRVSKGAPEQILGLCNSKEDVKKKVHAVIDKFAERGLRSLAVARQEVPEKSKDSPGGPWQLVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQDKDASIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKYDFAPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFSTGIVLGGYLALMTVIFFWAMYDTDFFTDKFGVRSLHGREREMMAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVSAFVVAQLVATLIAVYANWGFARIEGCGWGWAGVIWLYSLVTYVPLDLLKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPESSNLFADKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Manes.03G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7910837:7912581:-1 gene:Manes.03G065600.v8.1 transcript:Manes.03G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSEVYRQHPVKVQEITPLDFDSIGTVPDSHAWPVSDGFESNDWSSIPTIDLKDSNAAKLIGHACETFGAFQVVNHGIPINVFEEVEAEASRLFSLPTTQKLKALRSPGGATGYGLARISPFFDKYMWHEGFTIMGSPMDHARQLWPSDYRKFCDVMEDYQKKVEELAVTLMRQILKYLAISEEEMNWIGSPGVASTALQLNSYPVCPDPRRAMGLAPHTDTSLLTILHQRISGLQIFKEGVGWSFVRPTTGALVVNVGDLLHIISNARFSSVVHRVVMKEAKQRFTVAFFYSPPIDFNLCPLGLSSGQIPIYRSVSVSEYFGNKAKNLNQALASIRIS >Manes.18G104400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10106515:10108543:1 gene:Manes.18G104400.v8.1 transcript:Manes.18G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKQRNSSPYFPYPSANNINLHYIRTNFENELKMMNLLALSLVLSSLFAAQVWSPSPASGSHHHNQKKEEDVIVKEGHRIIVVETYDEDGQHNTKVSISPPQDSVSTAEHGKFPSGILDSAKEKVKQAAQEMPNIGQGVSDSYPDTKSSEGRGPRELICDAFGKCTHKIARAIDKAKEQVSQKAHEAVAQKKELAHEAKERVEDAYEKTKETASHKAHEAKQAVEDAYEVAKETKESAEQAAEDAYRKAKESVIHKAHEAKDTGKTIGMEAARNAPEIVEEVKESTGQAKGRVSRLLSHMGSAVGLNSLMRVVNLLGFATAYGMCVWVTLISSYVLAGALTRHQFGIVQSKIYPVYFRALAYCIGAALLGHVLGQRKKLFTSKAEMFQLYNLLASVLLVLINSLYLEPLSTKVMFEKLKLEKEEGRGRESPSGDGGRRGEAQPVTATAAAPVADNPGARESSSRLNRENERLKKLNSYSSLLNTVTLMALSWHLVYLGQKLHATC >Manes.S026416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:393885:394007:1 gene:Manes.S026416.v8.1 transcript:Manes.S026416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLCANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G165900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14052764:14058362:1 gene:Manes.15G165900.v8.1 transcript:Manes.15G165900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPTRIMIGVNESTIKGYPHPSISSKGAFDWTLQKIVRSNTCGFKLLFLHVQVPDEDGFDDMDSIYASPDDFKSMKQRDRVRGLHLLEYFVNRCHQIGVACEAWLKRGDPKEEICREVRRVQPDLLVVGSRGLGPFQRVFVGTVSEFCQKHAECPVISIKRRAEETPQDPVDD >Manes.15G165900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14052901:14056479:1 gene:Manes.15G165900.v8.1 transcript:Manes.15G165900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPTRIMIGVNESTIKGYPHPSISSKGAFDWTLQKIVRSNTCGFKLLFLHVQVPDEDGFDDMDSIYASPDDFKSMKQRDRVRGLHLLEYFVNRCHQIGVACEAWLKRGDPKEEICREVRRVQPDLLVVGSRGLGPFQRYSHSFQKLWDPR >Manes.15G165900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14052901:14058362:1 gene:Manes.15G165900.v8.1 transcript:Manes.15G165900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPTRIMIGVNESTIKGYPHPSISSKGAFDWTLQKIVRSNTCGFKLLFLHVQVPDEDGFDDMDSIYASPDDFKSMKQRDRVRGLHLLEYFVNRCHQIGVACEAWLKRGDPKEEICREVRRVQPDLLVVGSRGLGPFQRVFVGTVSEFCQKHAECPVISIKRRAEETPQDPVDD >Manes.15G165900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14051914:14058477:1 gene:Manes.15G165900.v8.1 transcript:Manes.15G165900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPTRIMIGVNESTIKGYPHPSISSKGAFDWTLQKIVRSNTCGFKLLFLHVQVPDEDGFDDMDSIYASPDDFKSMKQRDRVRGLHLLEYFVNRCHQIGVACEAWLKRGDPKEEICREVRRVQPDLLVVGSRGLGPFQRVFVGTVSEFCQKHAECPVISIKRRAEETPQDPVDD >Manes.15G165900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14052901:14058244:1 gene:Manes.15G165900.v8.1 transcript:Manes.15G165900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPTRIMIGVNESTIKGYPHPSISSKGAFDWTLQKIVRSNTCGFKLLFLHVQVPDEDGFDDMDSIYASPDDFKSMKQRDRVRGLHLLEYFVNRCHQIGVACEAWLKRGDPKEEICREVRRVQPDLLVVGSRGLGPFQRVFVGTVSEFCQKHAECPVISIKRRAEETPQDPVDD >Manes.09G091500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:27354050:27355826:1 gene:Manes.09G091500.v8.1 transcript:Manes.09G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPLSKRKRKGQSRRPESNPLTKFEQMGFAALASAPTVTQFTPHSNTRPIPPPLHLIPGQNPVNPTSKPNFPLKQQSDKSIDLTVAWTNSISRHCRNGQLPEAASQFTQMLLAGVEPNHITFTTLLSGCADFPSHGKSLGPLIHSYVRKRGFDTRNVMVGTAVVDMYAKCGQVELARLCFDELKIKNSVSWNTMMDGYMRNGEIEDAIELFDEMPERDAISWTVFIDGFVKKGLFEQGLEWFREMQVSMMEPDYVTIIAVLSACANLGALGLGLWIHRYVLKQEFRDNIRISNSLIVMYSRCGCIEIARQVFHKMLKRTLVSWNSIIVGFATNGFAEEALEYFVLMQKEGFKPDGVSFTGALTACSHAGLVDKGLKYFDVMKKVYKLSPRIEHYGCIVDLYSRAGRLEDAWSVIENMAMKPNEVILGSLLAACRTGENVELAERLMNYLADLDPGVDSNFVLLANIYAAVGRWDGAGKVRRRMKALGIQKKPGISSIEVGCSIHEFVAGDKSHYETEHIYETLQLLYHDLKLCGYVPDTINDVYEND >Manes.11G097800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20893226:20898518:1 gene:Manes.11G097800.v8.1 transcript:Manes.11G097800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAELVLPTHLSFKRMQMYEKYPKGQARGRHWKHLKQILQAENFQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYSDPRTNLRYAGADVFKLVRSLPNEYVQRYLALRNAAVVLK >Manes.11G097800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20893307:20898518:1 gene:Manes.11G097800.v8.1 transcript:Manes.11G097800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAELVLPTHLSFKRMQMYEKYPKGQARGRHWKHLKQILQAENFQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYSDPRTNLRYAGADVFKLVRSLPNEYVQRYLALRNAAVVLK >Manes.11G097800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20893513:20898198:1 gene:Manes.11G097800.v8.1 transcript:Manes.11G097800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAELVLPTHLSFKRMQMYEKYPKGQARGRHWKHLKQILQAENFQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYSDPRTNLRYAGADVFKLVRSLPNEYVQRYLALRNAAVVLK >Manes.11G097800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20893311:20898335:1 gene:Manes.11G097800.v8.1 transcript:Manes.11G097800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAELVLPTHLSFKRMQMYEKYPKGQARGRHWKHLKQILQAENFQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYSDPRTNLRYAGADVFKLVRSLPNEYVQRYLALRNAAVVLK >Manes.11G097800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20893271:20898476:1 gene:Manes.11G097800.v8.1 transcript:Manes.11G097800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAELVLPTHLSFKRMQMYEKYPKGQARGRHWKHLKQILQAENFQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYSDPRTNLRYAGADVFKLVRSLPNEYVQRYLALRNAAVVLK >Manes.11G097800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20893271:20898476:1 gene:Manes.11G097800.v8.1 transcript:Manes.11G097800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAELVLPTHLSFKRMQMYEKYPKGQARGRHWKHLKQILQAENFQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYSDPRTNLRYAGADVFKLVRSLPNEYVQRYLALRNAAVVLK >Manes.11G097800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20893513:20898198:1 gene:Manes.11G097800.v8.1 transcript:Manes.11G097800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAELVLPTHLSFKRMQMYEKYPKGQARGRHWKHLKQILQAENFQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYSDPRTNLRYAGADVFKLVRSLPNEYVQRYLALRNAAVVLK >Manes.11G097800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20893226:20898518:1 gene:Manes.11G097800.v8.1 transcript:Manes.11G097800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEVVRAELVLPTHLSFKRMQMYEKYPKGQARGRHWKHLKQILQAENFQNYPPDEPNYVNIESPPSMHPCKRICDITGFEAPYSDPRTNLRYAGADVFKLVRSLPNEYVQRYLALRNAAVVLK >Manes.03G199200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31592080:31595987:1 gene:Manes.03G199200.v8.1 transcript:Manes.03G199200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYEKGSSGSLNNFNFDFGIGSNRSKSLNEQKNQTSSSYSSYTSSTTTQPKPAWQPNKPSWAHQPAPSQPTRPGLNGPTSMVGDIFGKSWNSTASGSGIGIVEKNPNLFGDLVSSALGPGNKGNSNVPLKNATTSSNSAYSMGNLADSLPKTSNSVKSGNGFGSNNNFGGYTGGYNINSSNVNATGFGNANVGSSKSANLGGPSMKCMAGSGLGRGGAVGGNRDPFGSLVDFGSKQQSGGLNSASKTGKTSERDDAFGDFQNAAKPSTTAFPSGGFGANNTDFMGSNISSNSNVDDFGMPSNVFTSQKQTPAQTSSGDPLDMFFSSSSGGAATTSGGMGGQQFSEVDDWGLESDLGGGAGNDSGGATTELEGLPPPPAGVSASAAKNKGIDNQKQGQYADAIKWLSWAIVLLEKTGDQASSMEVLSSRASCYKEVGEYKKAVADCTKVLEHDDANVSVLVQRALLYESMEKYKLGAEDLRTVLKIDPANRIARSTIHRLTKMVD >Manes.02G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8126255:8130495:1 gene:Manes.02G103900.v8.1 transcript:Manes.02G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLDRLSKDDPFLLQYQPSELRVASEFLTTWIPFLSRDLCRQCTQILSDRIRSLDPEVEAEAESSYSDIAVTPVPLSNCESHDNGFVDNCGNHSSGSWKDEGGDGVNSLGSWKDATNGCSESVLEASTSVILGASPLIEAPRHQMSWADMAQEDEFVEEEEEEEEEEEEEEEEEERELKKRVVSVNALTGEMKISKDVEKPKLSREQREYIRFMSVKRKKDFICLERVKGKIVNILEGLELHSLVFSAAEQRRIVNYVHELHELGSKGKLKERTYTAPKKWMRGKGRVTIQFGCCYNYATDKNGNPPGILLNELVDPIPNLFKVIIRRLIGWHVLPPTCVPDSCIVNIYDEGDCIPPHIDNHDFHRPFCTVSFVSECNIVFGSNLEVVSAGEFTESIAIPLPVGSVLVLNGNAADVSKHCVPSVPSKRISITFRKMDEAKRPIGFVPEPDLQGIEPLVYDVDKTRRLKSPKYGPYRRRQPIGKDGKIGARGFRENGSQSEPHYSTRSGRGSANKWRVR >Manes.18G044932.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3971365:3972589:1 gene:Manes.18G044932.v8.1 transcript:Manes.18G044932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Manes.03G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27565500:27567988:-1 gene:Manes.03G148500.v8.1 transcript:Manes.03G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEDNFSVNYTALDYTLDHHNHQQQDQEFTKSRISEASGDNSNGMIDYMLNNPHPHQQQLSSGFCTSTSLDKLSFADVMQFADFGPKLALNQTRISEEETGIDPVYFLKFPVLNDKREGQSLMVPQLSGENKAGIMGEDRAVREEEEARVSDNTSVQLQFLGDQDLQNKNPIPEAKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEHLLQCLESQKRRRLYGEGSRQMGDSSLAIQQPQPPFFPPLPLQNDQMKLVDFETELREETAENKSCLADVEVKVLGFDAMIKILSRRRPGQLIKTIAALEDLQLNILHTNITTIEQTVLYSFNVKIASESRFTAEDIASSVQQIFSFIHANSSM >Manes.06G000800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:324683:330643:1 gene:Manes.06G000800.v8.1 transcript:Manes.06G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTTIAADSDEFLYCTFASRYVRSPVPRFKMPEKSMPKEAAYQVINDELMLDGNPRLNLASFVTTWMEPECNDLILASINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPVGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNELLTKKNEETGWDIPIHVDAASGGFVAPFVCPDLPWDFRLPLVKSINVSGHKYGLVYPGVGWVVWRAKEDLPEELIFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKKVIENCMENTRVLKGGLEKTGRFDIVSKDVGVPLVAFSLKDSSKYSVFDMSENLRRFGWIIPAYTIPADAEQIAVLRVVVREDFSRSLAERLIWHIEQVLEEMDSLPIQMSTKAATVKKQLESTEGQKVVKKSEKEMQEEITRYWRRLVDGKRIGVC >Manes.09G058561.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9332309:9338082:-1 gene:Manes.09G058561.v8.1 transcript:Manes.09G058561.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTFKYVIVGGGVAAGYAAREFGKQGVKPGELAIISKEAVAPYERPALSKAYLFPEGTARLPGFHVCVGSGGERLAPEWYKEKGIELILSTEIVEADLATKTLTSAAGEAFKYQILIIATGSTVVKLSDFGVQGADAKNIFYLREIDDADKLVEGIKAKKNGKAVVVGGGYIGLELSAALRINNIDVSMVYPEPWCMPRLFTADIAAFYEGYYANKGVKIIKGTFAVGFNADSNGEVKEVKLKDGRVLEADIVVVGVGAIPLTTLFKGQVAEDKGGIKTDAFFRTSVPDVYAVGDVATFPLKLYNELRRVEHVDHARKSAEQAVKAIKSSEEGETIDEYDYLPYFYSRAFDLSWQFYGDNVGDTVLFRDSDQKSPKPKFGSYWIKDGKVVGAFLEGGSPDENKAIAKVARVQPSVQNLDLLTKEGLSFACKI >Manes.09G058561.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9322232:9337920:-1 gene:Manes.09G058561.v8.1 transcript:Manes.09G058561.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTFKYVIVGGGVAAGYAAREFGKQGVKPGELAIISKEAVAPYERPALSKAYLFPEGTARLPGFHVCVGSGGERLAPEWYKEKGIELILSTEIVEADLATKTLTSAAGEAFKYQILIIATGSTVVKLSDFGVQGADAKNIFYLREIDDADKLVEGIKAKKNGKAVVVGGGYIGLELSAALRINNIDVSMVYPEPWCMPRLFTADIAAFYEGYYANKGVKIIKGTFAVGFNADSNGEVKEVKLKDGRVLEADIVVVGVGAIPLTTLFKGQVAEDKGGIKTDAFFRTSVPDVYAVGDVATFPLKLYNELRRVEHVDHARKSAEQAVKAIKSSEEGETIDEYDYLPYFYSRAFDLSWQFYGDNVGDTVLFRDSDQKSPKPKFGSYWIKDGKVVGAFLEGGSPDENKAIAKVARVQPSVQNLDLLTKEGLSFACKI >Manes.09G058561.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9321989:9338079:-1 gene:Manes.09G058561.v8.1 transcript:Manes.09G058561.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTFKYVIVGGGVAAGYAAREFGKQGVKPGELAIISKEAVAPYERPALSKAYLFPEGTARLPGFHVCVGSGGERLAPEWYKEKGIELILSTEIVEADLATKTLTSAAGEAFKYQILIIATGSTVVKLSDFGVQGADAKNIFYLREIDDADKLVEGIKAKKNGKAVVVGGGYIGLELSAALRINNIDVSMVYPEPWCMPRLFTADIAAFYEGYYANKGVKIIKGTFAVGFNADSNGEVKEVKLKDGRVLEADIVVVGVGAIPLTTLFKGQVAEDKGGIKTDAFFRTSVPDVYAVGDVATFPLKLYNELRRVEHVDHARKSAEQAVKAIKSSEEGETIDEYDYLPYFYSRAFDLSWQFYGDNVGDTVLFRDSDQKSPKPKFGSYWIKDGKVVGAFLEGGSPDENKAIAKVARVQPSVQNLDLLTKEGLSFACKI >Manes.14G013100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1256069:1257965:1 gene:Manes.14G013100.v8.1 transcript:Manes.14G013100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNEHIVDIEQISTSIEKELEILHPLSDECCIYRVPNILRRSNEEAYTPQEVSIGPLHHGRPELKPMEEIKRRYLQEFLLLSNSTMSLKEYVKFIEERETKLRNCYAETIEMNSEDLVKMILIDSAFLIMVFLKFSCKELQTGNDRLFSKPWKEFDVRYDMILLENQLPFFILKDLFDVSDIRNKLQLQGLSLLKLTHEFLKIKWKSWVTDEVSEEHNFSQVHHILDFLRICRRPSSTMQMESKLSKQIFLHAPSATQLHQAGVKFELSPSKNKFDIQFEHGILKIPRLRVTKRTEFYLRNLQAFEQCHCNDDNRYTSDYIAFITMLVRTTKDVEVLAQSGVAQNRLRNNDTMSSLLNNLGTGNFVSRTRFHFSDIVEELNKYCENSLHKWKATLKQDYFNTPWAGISVATAAILLLLTLIQTVCSIIQI >Manes.01G246800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40692692:40693377:1 gene:Manes.01G246800.v8.1 transcript:Manes.01G246800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEAMTRLAGLLILLLLVSKPAVSETVLDINCSAVITSLTPCLDYIQDKADKPSPTCCDGMNSVVGTVKSKADREALCDCLKQTLSNIKYDPARISALPKQCGLPIDIPPITPTTDCTKFL >Manes.04G020900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2530574:2532021:-1 gene:Manes.04G020900.v8.1 transcript:Manes.04G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKSYLARPNYRFLSSDQALHAPLTHDSAYELDESDIYNISATSRSNSTEFLKAVPSSRFTKKSTPTAVALTRRNDPGDRTVGTPSSLPVNIPDWSKILKDEYRENRRRDMDDDDDDGEGEDYFDGGSRVPPHEFLARQMARTRIASFSVHEGVGRTLKGRDLSRVRNAIWEKTGFQD >Manes.15G080900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6215559:6219643:-1 gene:Manes.15G080900.v8.1 transcript:Manes.15G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGFGALFLYFFCFSILRTSATTLDIINPIQSLRDGDTLVSAGRIFELGFFSLADSNRRYLGLWYKKISPRTIVWVANRETPLSNTSGSLNITAQGDLVLVNGTNDNFWSSNTSNIAKDPVAELLDSGNFVVRDANDSNPENFLWQSFDYPVDTTLPGMKLGVNLVTGHETFSSSWKSSEDPASGQFSVHLDLGGYPQLFLKKENRIHYRAGSWNGLRLTGTPNMKPNAFFSYEFVWNDKEVYFKYDILNDSNLVRYTISPSGLWQRFSWDERANDWVVIATAQTDQCENYAFCGAFGSCEINNSPVCLCLDGFMPKSPRDWNMLVWSDGCVRRTPLNCSDGDGFLKHSGIKLPDTSSSWFDQKIDLAQCRDLCLRNCSCSAYANLDERGGGSGCLLWFDDLIDIRGLAASGQDLYVRVAASELEKIEKKRSIKKISGIVAGIVAVIISMLIVFCICRRKIRKYGRTKKNSRKNDESEGKEEEMELPLFDMNTIVDATNNFSSSNKLGEGGFGSVYKGTSSEGQEIAVKRLSKSSGQGLREFKNEVILIAKLQHRNLVKLLGCCIHEDEKMLIYEYMPNKSLDFFLFDQTRRRLLDWHKRMHIIEGIARGLVYLHQDSRLRIIHRDLKASNILLDRDMNPKISDFGLARIFGGDQTEAKTKRVVGTYGYMSPEYAVDGLFSVKSDVFSFGVMVLEIVSGKKNRGFNHPGHELNLLGHAWILWTNEKPLELIDDCLTESCVASQVVRCIDVALLCVQKRPEDRPNMASVVVMLSSENSLPQPKQPGFFTERTPLEADNSSDKHEGYSANEVSLSLLEAR >Manes.11G126100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28788950:28794245:-1 gene:Manes.11G126100.v8.1 transcript:Manes.11G126100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTCRTRMGLPLIFLVIIISSNFIAAQITVQTNSSEPGTNLVETNNSSQSDDTVRVDPLDSFKKYRGGYDITNKHYWSSTAFTGVSGYAIGVLWLLAGMIYGSILLANTYCCKPRKKETNKKNLPCHKQCYFWPILLAIVFTVLAITASGLVLGGNERFRSRAKTVVDIIIDTADGASVTIYNTTGAMKQIVDNLAESNVSANQASGFLTSTSEMLDDQAADIEREARKHRRMIEKGLKIVYIITTVIMSLNLAAVIALSVCGTLRLRRALNLLIVLCWILTALCWLFFGLYFFLSKFSGDTCTALENFQEDPYNNSLSSILPCDEMLKAKPILTDVSAGIYNIVNQVNENISVVQVCNPFSGPPEYQYQANNCPENTIRIGDIPKILEPLTCSGTNNGTCSTGQFISVNDFRTLEDYTSSIQNLLNAYPGMESLVECQSVSAAFSEILTNHCKPLKKYVKMVWGSMLFLSLSMVFLVLIWTVQAHHEQEHHSADGSVKPHSAAENEVESGKRKVEA >Manes.11G126100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28788948:28794245:-1 gene:Manes.11G126100.v8.1 transcript:Manes.11G126100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTCRTRMGLPLIFLVIIISSNFIAAQITVQTNSSEPGTNLVETNNSSQSDDTVRVDPLDSFKKYRGGYDITNKHYWSSTAFTGVSGYAIGVLWLLAGMIYGSILLANTYCCKPRKKETNKKNLPCHKQCYFWPILLAIVFTVLAITASGLVLGGNERFRSRAKTVVDIIIDTADGASVTIYNTTGAMKQIVDNLAESNVSANQASGFLTSTSEMLDDQAADIEREARKHRRMIEKGLKIVYIITTVIMSLNLAAVIALSVCGTLRLRRALNLLIVLCWILTALCWLFFGLYFFLSKFSGDTCTALENFQEDPYNNSLSSILPCDEMLKAKPILTDVSAGIYNIVNQVNENISVVQVCNPFSGPPEYQYQANNCPENTIRIGDIPKILEPLTCSGTNNGTCSTGQFISVNDFRTLEDYTSSIQNLLNAYPGMESLVECQSVSAAFSEILTNHCKPLKKYVKMVWGSMLFLSLSMVFLVLIWTVQAHHEQEHHSADGSVKPHSAAENEVESGKRKVEA >Manes.07G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1833677:1836238:1 gene:Manes.07G015300.v8.1 transcript:Manes.07G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDHVWDFTVRFPGCYNYLGSWGAKDLNFLLSLMNSTNLWIVEIDRNRFGGVLPESISNFSINLSKFSIDENGISGRIPSGIVNLVNLEKLSMTNNQLSGNIPNDIGKLQNLKVLILDGNRLSGIIPSSLGNLTLLFELRLYNNQLEGNLPQSLGGCQNLMLLDVAKNNLSGKIPAQVIGLSSLSIFADFSANYFTGVIPKEIGNLKDVGELGISDNMLSGRIPDSLGSCIKLEVLALQGNFFHGSIPSSLGSLRGLQELDLSRNNLSGKIPEFFQSFVLLQTLNLSDNNFEGAVPVEGIFRNASAILLKGNAKLCGGISEFRLPKCNIKNHKKRMSLLLKIVISAVCSLSGLASLFTCALLYWLKNKRKEPALDPYKNLLLNLSYQDLVKATDGFVAANLIGAGSFGRVYKGILAEIGPTVAIKVLNLVDSRASKSFIAECEALKNIRHRNLVKVLTACSGVDYQGNDFKALVYEYMVNGSLEEWLHPIPRTEEGDHGPPKRLNLLQRLNIAIDVASALDYLHHQCQISIIHCDLKPSNVLLDDDMNGHVSDFGLAKILSECTNNYSTSQSSSIGVRGTLGYAPPEYGVGSQVSIYGDVYSYGIMLLEMFTGRRPTDEMFKEDLTLHNFAKAASPDQAAEIVDSFLLEGTIDMDRRMYSTSQRFQDCLFSIIRVGVNCSAEIPQERMKINDVVAELHSIKNKLIGATIR >Manes.04G083600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28464941:28472228:-1 gene:Manes.04G083600.v8.1 transcript:Manes.04G083600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGAELPKMEKTQIASAREEKILVLVRLRPLNEKEIVANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCPTRQVYEEGAREVALSVVSGINSSIFAYGQTSSGKTYTMMGITGYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLIADSTPLRLLDDPEKGTVVEKVREEILKDWSHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQSLSSGARLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRSPAPVSSTSDYATLLRKKDIQIQKMEKEIREVTKQRDLAESQVEDLLRMIGHDQTLRNGAVVGPHPTQQAGHTWEDEFGDGGSNMDEPYHLQDTTDDHSVSDSTYPLISIGKKIVRSDSCQSLEDTAFGPAEDTDDYCKEVQCIETDETRRENKFELHSITSGENEATLALTMFGDGTATEQQISTPAIGHREVSHMQDGFTYDMLEQRLHHVQRTIDALVSPEPDETSPPSLAAHLSSSRSMKLTRSSSCGENTMTGSSPYFGKSEQIESTPPNGFEKIFPGRPESVRRKFPPLNYSGAARLSRNDSQSSVDSAYTDDFRSQSIETSADVDIPSIQTFVEGLQEMAKQEYEKHLGDGQAQETDRTAHKFEKNTKDVGLVPMCEAMETSSDWPMAFERQRGEIFELWQTCNISLVHRTYFFLLIKGDPTDSIYMEVELRRLSFLKETLSQGNHTVGGRSTLTTSIKALHKERGMLSKLMQRRLSEEERKIIYQKWGIELSSKRRRLQLANRLWSNTKDMNHIMESAAIVARLVRFVEQGQALKEMCGLSFTPPSTRRRLLGWTFSISTLL >Manes.04G083600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28464724:28472212:-1 gene:Manes.04G083600.v8.1 transcript:Manes.04G083600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGAELPKMEKTQIASAREEKILVLVRLRPLNEKEIVANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCPTRQVYEEGAREVALSVVSGINSSIFAYGQTSSGKTYTMMGITGYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLIADSTPLRLLDDPEKGTVVEKVREEILKDWSHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQSLSSGARLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRSPAPVSSTSDYATLLRKKDIQIQKMEKEIREVTKQRDLAESQVEDLLRMIGHDQTLRNGAVVGPHPTQQAGHTWEDEFGDGGSNMDEPYHLQDTTDDHSVSDSTYPLISIGKKIVRSDSCQSLEDTAFGPAEDTDDYCKEVQCIETDETRRENKFELHSITSGENEATLALTMFGDGTATEQQISTPAIGHREVSHMQDGFTYDMLEQRLHHVQRTIDALVSPEPDETSPPSLAAHLSSSRSMKLTRSSSCGENTMTGSSPYFGKSEQIESTPPNGFEKIFPGRPESVRRKFPPLNYSGAARLSRNDSQSSVDSAYTDDFRSQSIETSADVDIPSIQTFVEGLQEMAKQEYEKHLGDGQAQETDRTAHKFEKNTKDVGLVPMCEAMETSSDWPMAFERQRGEIFELWQTCNISLVHRTYFFLLIKGDPTDSIYMEVELRRLSFLKETLSQGNHTVGGRSTLTTSIKALHKERGMLSKLMQRRLSEEERKIIYQKWGIELSSKRRRLQLANRLWSNTKDMNHIMESAAIVARLVRFVEQGQALKEMCGLSFTPPSTRRRLLGWTFSISTLL >Manes.04G083600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28464900:28472209:-1 gene:Manes.04G083600.v8.1 transcript:Manes.04G083600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGAELPKMEKTQIASAREEKILVLVRLRPLNEKEIVANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCPTRQVYEEGAREVALSVVSGINSSIFAYGQTSSGKTYTMMGITGYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLIADSTPLRLLDDPEKGTVVEKVREEILKDWSHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQSLSSGARLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRSPAPVSSTSDYATLLRKKDIQIQKMEKEIREVTKQRDLAESQVEDLLRMIGHDQTLRNGAVVGPHPTQQAGHTWEDEFGDGGSNMDEPYHLQDTTDDHSVSDSTYPLISIGKKIVRSDSCQSLEDTAFGPAEDTDDYCKEVQCIETDETRRENKFELHSITSGENEATLALTMFGDGTATEQQISTPAIGHREVSHMQDGFTYDMLEQRLHHVQRTIDALVSPEPDETSPPSLAAHLSSSRSMKLTRSSSCGENTMTGSSPYFGKSEQIESTPPNGFEKIFPGRPESVRRKFPPLNYSGAARLSRNDSQSSVDSAYTDDFRSQSIETSADVDIPSIQTFVEGLQEMAKQEYEKHLGDGQAQETDRTAHKFEKNTKDVGLVPMCEAMETSSDWPMAFERQRGEIFELWQTCNISLVHRTYFFLLIKGDPTDSIYMEVELRRLSFLKETLSQGNHTVGGRSTLTTSIKALHKERGMLSKLMQRRLSEEERKIIYQKWGIELSSKRRRLQLANRLWSNTKDMNHIMESAAIVARLVRFVEQGQALKEMCGLSFTPPSTRRRLLGWTFSISTLL >Manes.04G083600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28464900:28472220:-1 gene:Manes.04G083600.v8.1 transcript:Manes.04G083600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGAELPKMEKTQIASAREEKILVLVRLRPLNEKEIVANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCPTRQVYEEGAREVALSVVSGINSSIFAYGQTSSGKTYTMMGITGYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLIADSTPLRLLDDPEKGTVVEKVREEILKDWSHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQSLSSGARLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRSPAPVSSTSDYATLLRKKDIQIQKMEKEIREVTKQRDLAESQVEDLLRMIGHDQTLRNGAVVGPHPTQQAGHTWEDEFGDGGSNMDEPYHLQDTTDDHSVSDSTYPLISIGKKIVRSDSCQSLEDTAFGPAEDTDDYCKEVQCIETDETRRENKFELHSITSGENEATLALTMFGDGTATEQQISTPAIGHREVSHMQDGFTYDMLEQRLHHVQRTIDALVSPEPDETSPPSLAAHLSSSRSMKLTRSSSCGENTMTGSSPYFGKSEQIESTPPNGFEKIFPGRPESVRRKFPPLNYSGAARLSRNDSQSSVDSAYTDDFRSQSIETSADVDIPSIQTFVEGLQEMAKQEYEKHLGDGQAQETDRTAHKFEKNTKDVGLVPMCEAMETSSDWPMAFERQRGEIFELWQTCNISLVHRTYFFLLIKGDPTDSIYMEVELRRLSFLKETLSQGNHTVGGRSTLTTSIKALHKERGMLSKLMQRRLSEEERKIIYQKWGIELSSKRRRLQLANRLWSNTKDMNHIMESAAIVARLVRFVEQGQALKEMCGLSFTPPSTRRRLLGWTFSISTLL >Manes.04G083600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28464724:28472212:-1 gene:Manes.04G083600.v8.1 transcript:Manes.04G083600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGAELPKMEKTQIASAREEKILVLVRLRPLNEKEIVANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCPTRQVYEEGAREVALSVVSGINSSIFAYGQTSSGKTYTMMGITGYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLIADSTPLRLLDDPEKGTVVEKVREEILKDWSHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQSLSSGARLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRSPAPVSSTSDYATLLRKKDIQIQKMEKEIREVTKQRDLAESQVEDLLRMIGHDQTLRNGAVVGPHPTQQAGHTWEDEFGDGGSNMDEPYHLQDTTDDHSVSDSTYPLISIGKKIVRSDSCQSLEDTAFGPAEDTDDYCKEVQCIETDETRRENKFELHSITSGENEATLALTMFGDGTATEQQISTPAIGHREVSHMQDGFTYDMLEQRLHHVQRTIDALVSPEPDETSPPSLAAHLSSSRSMKLTRSSSCGENTMTGSSPYFGKSEQIESTPPNGFEKIFPGRPESVRRKFPPLNYSGAARLSRNDSQSSVDSAYTDDFRSQSIETSADVDIPSIQTFVEGLQEMAKQEYEKHLGDGQAQETDRTAHKFEKNTKDVGLVPMCEAMETSSDWPMAFERQRGEIFELWQTCNISLVHRTYFFLLIKGDPTDSIYMEVELRRLSFLKETLSQGNHTVGGRSTLTTSIKALHKERGMLSKLMQRRLSEEERKIIYQKWGIELSSKRRRLQLANRLWSNTKDMNHIMESAAIVARLVRFVEQGQALKEMCGLSFTPPSTRRRLLGWTFSISTLL >Manes.04G083600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28464941:28472672:-1 gene:Manes.04G083600.v8.1 transcript:Manes.04G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGAELPKMEKTQIASAREEKILVLVRLRPLNEKEIVANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCPTRQVYEEGAREVALSVVSGINSSIFAYGQTSSGKTYTMMGITGYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLIADSTPLRLLDDPEKGTVVEKVREEILKDWSHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQSLSSGARLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRSPAPVSSTSDYATLLRKKDIQIQKMEKEIREVTKQRDLAESQVEDLLRMIGHDQTLRNGAVVGPHPTQQAGHTWEDEFGDGGSNMDEPYHLQDTTDDHSVSDSTYPLISIGKKIVRSDSCQSLEDTAFGPAEDTDDYCKEVQCIETDETRRENKFELHSITSGENEATLALTMFGDGTATEQQISTPAIGHREVSHMQDGFTYDMLEQRLHHVQRTIDALVSPEPDETSPPSLAAHLSSSRSMKLTRSSSCGENTMTGSSPYFGKSEQIESTPPNGFEKIFPGRPESVRRKFPPLNYSGAARLSRNDSQSSVDSAYTDDFRSQSIETSADVDIPSIQTFVEGLQEMAKQEYEKHLGDGQAQETDRTAHKFEKNTKDVGLVPMCEAMETSSDWPMAFERQRGEIFELWQTCNISLVHRTYFFLLIKGDPTDSIYMEVELRRLSFLKETLSQGNHTVGGRSTLTTSIKALHKERGMLSKLMQRRLSEEERKIIYQKWGIELSSKRRRLQLANRLWSNTKDMNHIMESAAIVARLVRFVEQGQALKEMCGLSFTPPSTRRRLLGWTFSISTLL >Manes.04G083600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28464924:28472220:-1 gene:Manes.04G083600.v8.1 transcript:Manes.04G083600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGAELPKMEKTQIASAREEKILVLVRLRPLNEKEIVANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCPTRQVYEEGAREVALSVVSGINSSIFAYGQTSSGKTYTMMGITGYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLIADSTPLRLLDDPEKGTVVEKVREEILKDWSHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQSLSSGARLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRSPAPVSSTSDYATLLRKKDIQIQKMEKEIREVTKQRDLAESQVEDLLRMIGHDQTLRNGAVVGPHPTQQAGHTWEDEFGDGGSNMDEPYHLQDTTDDHSVSDSTYPLISIGKKIVRSDSCQSLEDTAFGPAEDTDDYCKEVQCIETDETRRENKFELHSITSGENEATLALTMFGDGTATEQQISTPAIGHREVSHMQDGFTYDMLEQRLHHVQRTIDALVSPEPDETSPPSLAAHLSSSRSMKLTRSSSCGENTMTGSSPYFGKSEQIESTPPNGFEKIFPGRPESVRRKFPPLNYSGAARLSRNDSQSSVDSAYTDDFRSQSIETSADVDIPSIQTFVEGLQEMAKQEYEKHLGDGQAQETDRTAHKFEKNTKDVGLVPMCEAMETSSDWPMAFERQRGEIFELWQTCNISLVHRTYFFLLIKGDPTDSIYMEVELRRLSFLKETLSQGNHTVGGRSTLTTSIKALHKERGMLSKLMQRRLSEEERKIIYQKWGIELSSKRRRLQLANRLWSNTKDMNHIMESAAIVARLVRFVEQGQALKEMCGLSFTPPSTRRRLLGWTFSISTLL >Manes.04G083600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28464941:28472228:-1 gene:Manes.04G083600.v8.1 transcript:Manes.04G083600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGAELPKMEKTQIASAREEKILVLVRLRPLNEKEIVANEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDCPTRQVYEEGAREVALSVVSGINSSIFAYGQTSSGKTYTMMGITGYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLIADSTPLRLLDDPEKGTVVEKVREEILKDWSHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQSLSSGARLKEGCHINRSLLTLGTVIRKLSNGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKELARLESELRSPAPVSSTSDYATLLRKKDIQIQKMEKEIREVTKQRDLAESQVEDLLRMIGHDQTLRNGAVVGPHPTQQAGHTWEDEFGDGGSNMDEPYHLQDTTDDHSVSDSTYPLISIGKKIVRSDSCQSLEDTAFGPAEDTDDYCKEVQCIETDETRRENKFELHSITSGENEATLALTMFGDGTATEQQISTPAIGHREVSHMQDGFTYDMLEQRLHHVQRTIDALVSPEPDETSPPSLAAHLSSSRSMKLTRSSSCGENTMTGSSPYFGKSEQIESTPPNGFEKIFPGRPESVRRKFPPLNYSGAARLSRNDSQSSVDSAYTDDFRSQSIETSADVDIPSIQTFVEGLQEMAKQEYEKHLGDGQAQETDRTAHKFEKNTKDVGLVPMCEAMETSSDWPMAFERQRGEIFELWQTCNISLVHRTYFFLLIKGDPTDSIYMEVELRRLSFLKETLSQGNHTVGGRSTLTTSIKALHKERGMLSKLMQRRLSEEERKIIYQKWGIELSSKRRRLQLANRLWSNTKDMNHIMESAAIVARLVRFVEQGQALKEMCGLSFTPPSTRRRLLGWTFSISTLL >Manes.16G114800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31983931:31988628:1 gene:Manes.16G114800.v8.1 transcript:Manes.16G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPSQTFCQIGHQYSAGHGILKLSPFLSLALPPPLPDKMLKLWKWYQHCLSSHPVKTQIISSGILWGIGDIGAQYITHSTALRRLNNSQDVQPEFKVNWKRVAITSLFGFGFVGPVGHFWYQGLDRLIRTRLQLQPKSVRFVAAKVAADGIIFGPFDLFVFFTYMGFSTGKNATQVKEDVKRDFLPALILEGGVWPIVQIANFRYVPVRYQLLYVNMFCLLDSGFLSWIEQQNDAPWKQWFTSFQPLKERGGQGRL >Manes.02G176500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13908171:13911928:1 gene:Manes.02G176500.v8.1 transcript:Manes.02G176500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKELGRELSERFGSKSSSTIQPEDNSDEAGFNLENKAAYLDEKLVFRRKSFSDSFLVNIHDLFDNVSSGNFDKTGNSAISQSSSAPNEDSDFCRLSKMQIEPTLPIAIKFQDVKYKVYTGKYILHGITGSANPGEILALMGTSGSGKTTLLNLLSGRAKFNSGAITYNDQPYNKSLNRRIGFVTQDDVVFSHLTVKETLTYAALLRLPNMLTREEKIERALNVIRELGLERSQNTVIGGKFVRGISGGERKRVCIGHEILLNPSLLLLDEPTSGLDSTTALRIVQILQDMAKAGKTVVTTVHQPSSRLFSKFDKLILLGRGSSLYFGKTSEAMMYFSSIGCNPIIAMNPAEFLVDLANGNINDKSVPSELEDKFLPGNKTLETADVHEYLVEAYEAKMGTMEKPKSLQSEEEGEIHGKLIPSDWGATWWDQFLILVNRSFKERRHEYFSPLRITQVVATAIIVGLLWWNSDASSPEHYEDQAGLLFFISVFWGFFPLFTAIFTFPQERAILVKERGVGMYRLSAYFAARNISDLPLDLVMPTVFLVIVYFMVGLKLSFHAFSLTLLTVFLSIVASQGLGLAIGAAIMDLKKATTLASIIMMTFMLSGGLFLQKVPSFMSWLRYVSFNYHTYRLLLMIQCPCMDPAPGSSHCQFHLVTDLRLDNGREEVIAMTVMIVAYRLLAYFFLRKMKLRKMA >Manes.08G119800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35877433:35877897:1 gene:Manes.08G119800.v8.1 transcript:Manes.08G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEQDRLLPVANVGRIMKQILPPTAKISKEAKQTMQECATEFISFVTGEASDKCHKENRKTINGDDICWSLSSLGFDNYAEAIVRYLHKYREAERERANQNKATSTANTQDKVEEDLNFTSTQHEIQTEITPAPLMKFRVLEKGNSSSPKKPS >Manes.17G015178.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8043148:8044609:1 gene:Manes.17G015178.v8.1 transcript:Manes.17G015178.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISTGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.12G089750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15424347:15444081:-1 gene:Manes.12G089750.v8.1 transcript:Manes.12G089750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADILTSLRSLMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALVSMNDARLWTDGRYFLQAEQELSDQWKLMRIGEDPPVDAWTADNLPAHAAVGIDPWCISVDTAQKWECAFAEKQQKLIQTATNLVDEVWKNRPPAETNPLIVHPLEYTGRSVADKLKDLREKLKNEKARGMIVTTLDEVAWLYNIRGNDVSYCPVVHAFAIVTSNSAFLYVDKRKVSSEAHSYIKENGIEVREYTAVSSDVLLLASNQLDSTSAVKGNQSIKTNSSEIDIVGNGTAESEENKADLIWVDPGSCCYALYSKLNSEKVLLKQSPLALAKALKNPVELDGLKKAHIRDGAAVVQYLVWLDKQMQEIYGASGYFLEFKSENKGKDSETRKLTEVTVSDKLEGFRASKELFRGLSFPTISSVGPNAAIIHYSPKAETCSELDPNSIYLCDSGAQYLDGTTDITRTVHFGKPSEHERACYTAVLKGHIALGNARFPNGTNGHALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPQARNVPLQASMTVTDEPGYYEDGNFGIRLENVLIVKEGNTKFNFGEKGYLSFEHITWAPYQSKLIDVGLLMPDEIDWLNNYHSTCRDILAPYLDDSENAWLNKATEPIKV >Manes.16G063000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24583276:24616175:-1 gene:Manes.16G063000.v8.1 transcript:Manes.16G063000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIWVHLVVVLSLGLFMGILCLNDSDSLSADVYIVTLKQAPASHYYGEFRMETNVFKHGSTDTRNKLHRPRNNSSSHLNTGSYIAQVHDSLLRRVLRGEKYLKLYSYHYLINGFAVLVTSQQADKLSRRREVANVVLDFSVRTATTHTPQFLGLPQGAWVKEGGYATAGEGIVIGFVDTGIDPNHPSFADDVSENSYTVPGHFSGICEVTRDFPSGSCNRKLIAARHFAASAITRGIFNTSQDYASPFDGDGHGTHTASVAAGNHGIPVIVAGNHFGNASGMAPHSHIAVYKALYKRFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRVYTNSIVLGNNVTIPGVGLAPGTNNGTMYTLISALHALNNETTIANDMYAGECQDSSNLNQDLVQGNLLICSYSVRFVLGLSTIKQALQAAKNLSAAGVVFYMDSTVVGFRLNPIPMRMSGIIVPSPDDSKILLKYYNSSLDRDEFTGKITRFRAIARISGGVKANYNSSSPVIMFYSARGPDPEDSLLDDADILKPNLVAPGNFIWAAWSSLGTESVEFQGENFALMSGTSMAAPHVAGLAALVKQKFPSFSPSAVASALSTTASLHDKNGGPIKAQRAYANPDQNQTPATPFDMGSGFVNATAALDPGLIFDSSFDDYMLFLCGINGSGPVIFNYTGQNCLTYNSTINGTDLNLPTVTIAKLDKCRTVKRTVVNVAGNETYSVSWSAPYGVSMKVIPARFSIASGEKQVLYIMFNATMNSSTASFGRIGIYGNQGHVLNIPLAVILKITYNTTNG >Manes.08G035600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3444542:3449066:-1 gene:Manes.08G035600.v8.1 transcript:Manes.08G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKIMRWRPWPLSGPRKYEVRLVVRRMEGWDLVPECGEKEKRSDKLTVEVRWKGPKFALRSLRRTVKRNFTKEVEFFGGKNGVVEWDEEFQSVCTLSPQKENVFHPWEIAFTVFNSTIGSPKNKVPAVGTASLNLAEYASTAEQKELELSLPLLLPAGAAEPKPMLCISLILLELRFPEATEPLQREIVPVSSPPQSGETVSTEKDELSAIKAGLRKVKIFTDYVSTRRAKKACREEEGSEGRCSARSEEGEYNYPFDSDSIDDFEEGESDEIKEDSAVRKSFSYGTLAYANCAGGSFYSDMRKNGEDEDWVYYSNRKSDVGCSHIDDLTQTSEPSLLQTSKRSILPWKKRKLSFRSPKAKGEPLLKKGYGEEGGDDIDFDRRQLSSDDAGVFGSHKADEDSNTNRSSVSDFGDDNFAIGSWEHKEIISRDGHMKLQTEVFFASIDQRNERAAGESACTALVAVIADWFQNNHGIMPIKSQFDSLIREGSLEWRNLCENETYREQFPDKHFDLETIIQAKIRSLSVVPGKSFIGFFHPEGMDEGRFDFLHGAMSFDNIWDEISGCGSEHPNSDEPQVYIVSWNDHFFILKVEPEAYYIIDTLGERLYEGCNQAYILKFDRNTIIRKLPSVAQPSDEKIMGDQPIVAVAGEHKNQQSNSKEEVSESGTVVIKPEEPGKTEDEGEVICQGKDSCKEYIKSFLAAIPIRELQADIKKGLIASTPLHHRLQIEFHYTQHLQALSETRAAAASPPPSESNDVAITEVVA >Manes.17G109900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31665251:31668408:1 gene:Manes.17G109900.v8.1 transcript:Manes.17G109900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDFFPILLTLFLGLGLAFVLSRLLTRKNPANLPKGTMGWPLCGETLALLKPHRSNSMGFFLQQRCSRYGKIFKSHLFGYPAIVSCDYDFNMFILQNEGKLFRASYPTAMHDILGKFSLLHITGDLHKKLRNIAVSFITVSKSTPSFLHCVEKFSISMMESWKDRKEIGFHKDIRKFTLDLMVNTLMGIEPDEPVASKILKLFRTYMKGFVSLPLHFPGSPYSKAVKAREKLSSTVREIIKEKEKEMNVEIARKEDFLDVILSKRRLSDDETVSIVLDILLGGYETTSTLISLIVYFLAHSPVAFESLKKEHEVIRRSKEDGKPLDWEDYQKMEFTINVISESMRCGNVVKFVHRKALEDVKYKEYVIPSGWKVLPMFTGAHLDASLHENPFEFNPWRWSSDKTTSKKMMPFGGGARLCPGAELAKVVIAFFLHHLVLNYRWKIKADEYPVAYPYVEFQRGLQLEVEPAEQTISKKEEAWN >Manes.11G082000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14884857:14892970:1 gene:Manes.11G082000.v8.1 transcript:Manes.11G082000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAREENILVLLRLRPLNEKEIAANEVADWECINDTTILYRNTLREGSTFPSAYTFDKVFRSDYSTRQVYEEGVQEVALSVVRGINSSIFAYGQTSSGKTYTMMGVTEYTVADIFDYMHRHEERAFVLKLSAIEIYNEAIRDLLSMDSTPLRLLDDPEKGTIIEKVTEETLKDWSHLKELLSVCEAQRQIGETTLNEKSSRSHQILRLTIESSAREFIGKENSTTLSATVNFVDLAGSERASQALSSGARLKEGCHINRSLLTLGTVIRKLSKGRHGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQRELARLESELRSPAPATSTSDYATLLRKKDLQIQKMEKEIRELTKQRDLAESRIEDLLRMIGQDQTSRNGAVIGPHLNQQAGRTWEDEYGDSGSNMEEPYHLQDSTDDHFVSDDSSLMSIGKKIVRSNSCQSLEDTAIGPAECTDDYCKEVQCIEMDEARRDGNFELHSTSNGENEGTLTLTMFGDGPAAEQQLSTPANGHREVSHIQNGFTYDMLEHRLHDVQSTIDALVNPDPEETSPQSSETNMSSSRTMNLTRSWNSNENLMTGSSPYFGKAEQIGSTPPNGFEKSFSGRPDSVRRKFPPLNYGADTATLSRNDSESSLGSAYTDDLRSQSIKTSADGDIPSIQDFVEGLQEMAKQEYEKQLVDSQVWETDQTAHTLEKNAKDVGLEPMHEALENSSDWPLAFERKRREIFELWQTCNVSLIHRTYFFLLFKGDPTDSVYMEVELRRLSFLKETLSQGDHSVGGGQTFTFATSVKALHKERGMLSKLMRKRLSEEERKRIYLKWGIGLNSKRRRLQLANRLWSTAKDINHIMESAAIVGKLVRFVEQGQGLKEMFGLSFTPPNTRRRSLGWTYSKSTLL >Manes.03G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3684487:3688877:-1 gene:Manes.03G041800.v8.1 transcript:Manes.03G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEIVKKLNLQPHPEGGFYWETFRDASVTLSTSQLPSGYKVDRPVSTAIYFLLPSGCVSHLHRIPCAETWHFYLGEPITVLELNEIDGQIKLTCLGSDLIGDNQKPQYTVPPNVWFGAFPTKDYIISPDGAVANTAPRDPETHFSLVGCTCAPAFQFEDFELAKRSELISRFPNHKHLISLLTFPD >Manes.17G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3191146:3192683:1 gene:Manes.17G009000.v8.1 transcript:Manes.17G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVLIVGGTGYLGKRLVKASLGLGHETYVLQRKEIGVDIEKVQMLLSFKEEGAHLVEASFDDQQSLVDAVKLVDVVICSISGVHIRSHQILLQLKLVDAIKQAPNVKRFLPSEFGTDPSRMGNAMEPGRITFDDKMVVRKAIEEAGIPYTYISANCFAGYFLGGLCQPGHIIPSRDHVSLLGDANQKAIYVDEDDISVYTIHTIDDPRTLNKTLYIRPPKNILSQREVVEIWENLIGKQLHKSSISKQEFLDSMKGQNYAEQVGLTHYYHVCYEGCLTNFEIGEQAEEATQLYPQVNYTTVEQYLRRYL >Manes.08G115800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35545467:35545982:-1 gene:Manes.08G115800.v8.1 transcript:Manes.08G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSIFFFFILVLANPSHQAPDLVTTTCDQTLYKDLCKSALATAPKTDVKDAQSLAKFALKMTSLNGGEIHKRITQLLTTNSDEFVKQCLTDCSTVYQDAIDQLEDSMVALDTKAFNDVNTWVSAAMTVAQSCEDGFKGKQGAVSPLTDMNKRFGQLCSVSLAITNQAAKN >Manes.01G246000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40628561:40632767:1 gene:Manes.01G246000.v8.1 transcript:Manes.01G246000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTIESMPSSDLTDEDEIHPHHIQFPSLPKPQSNNNINISNTNSVSSAIQSISVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHEALCNFRPYNCPYAGSECVVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMGPVYMAFLRFMGDETEARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >Manes.06G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17521243:17527753:1 gene:Manes.06G050900.v8.1 transcript:Manes.06G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKRVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSSPMLRNGESGDWIGTFEGHKGAVWSCCLDTSALRAASGSADFTAKVWDALTGDELHSFEHKHIVRACAFSEDTRLLLTGGVEKVLRIYDLSRPDAPPREVDKSPGSVRTVAWLHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSSVTSAEVSQDGRYITTCDGSTVKFWDANHFGLVKSYNMPCTVESASLEPKYGNKFIAGGEDMWIHVYDFHTGDEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLTHDENEGFTANGSIGNVRVPAEEVSRKIEGFHISEDGRAKDKEEAGKD >Manes.12G042224.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3204387:3206188:-1 gene:Manes.12G042224.v8.1 transcript:Manes.12G042224.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSHQLHFILFPYMAQGHMIPMVDIARLLAQHGVIITIITSPLNSKRFASTLARTVESGLQIHLVKVPFPSEEAGLPKGCENLDMLPTLGLGIDFVSATNLLQEPVERLLEEIQPRPNCIISDMCLPYTSRVASKFQIPRIIADTVTCKSEYFQVPGLPDHIEVTKEQLPEAMHQNLQIMNEQLMAAESVTYGIIYNSFEELEPAYVQEFKEARGDKVWCIGPLQRGDKSSVDGHKCFKWLNSQKPDSVLYVCFGSLCNLITSQLIELGLALEASNKPFIWVLRGGGKSTQIEKWIEEDGFEERIKERGFLIRGWAPQVAILSHAAVGGFLTHCFLNERLVVDVLKIGVRAGTEVPIGVLVKRKDVKRAIERLMDGGEEGDEMRERAIELSRLANGAMEPEGSSYVNMEMLIQDIMQQTFDPMTAVCKLIAISNLHFTSLVLQWLLIRDGAFFTHCGWNSTLEGISAGVPVVAFPLFVEQFYNEKLVVEALGIGGSVGVEAAVT >Manes.09G018460.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3927843:3929641:1 gene:Manes.09G018460.v8.1 transcript:Manes.09G018460.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLNILINCFCRLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCIESKMDKAVEFFDDMVARGYQPNVYTYSVIINGMCKFGKTSVAIRLLKGMADRGCEPDVVTYGAIIDALCKDELVGEALELSSQMRNKGISPNVITYTSLIHGVCKLGQKNQALALVNEMVEQNILPDVYTFSVLIDALCKDGMVAEAQNTFNVMIQRGVEPNVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIGTLCKKGLVSNAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKIFDLMVTNEIADFFSYNILMNGYCKCKMIDDAKEIFDEMSHKGLVPDAVTYHTLIKAMFQAGRPQTAKELFKDMCSHGQQPNIVTFSIMIDGLCSQGNLDEALTLLKAMEKSQLKANFVIYSSLINGMCKVGKINDAKELFSSLFEIGSQPDVY >Manes.S046016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1402652:1403464:-1 gene:Manes.S046016.v8.1 transcript:Manes.S046016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.04G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2203817:2207282:-1 gene:Manes.04G018000.v8.1 transcript:Manes.04G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGGKQNGDHKYSNGCCVEKDPLKWGLAAEALNGSHVEEVKKMVREYRRAVVRLGGETLTVGQVAAVASGGGNGVAVELCESARDGVKASSDWVIESMNKGTDSYGVTTGFGATSHRRTKQGAALQQELIRFLNAGIFGKGAESGHTLPRTATRAAMLVRINTLLQGYSGIRFEILEALTKLINHNITPCLPLRGSITASGDLVPFSYIAGLLTGRPNSTAVGPNGETLDAGEAFRLAGIAGGFFELQPKEGLAIVNGTGVGAGLASIVLFDANVLALLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVQEAKKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLALASIGKLMFAQFSELVNDFYNNGLPSNLTGGRNPSLDYGFKGAEIAMAAYCSELQYLANPVTNHVHSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLVALCQAIDLRHLEENLKSTVKNTVSQVAKKILNMVVNGERHPSRFREKDLLRVVDCEQVFAYIDDPCNSTYPLMQKLRQVIVDHALTNNDYMNNMHASIFLKIGAFEDELKSVLPEEVEAARIACESGNPAVPNRIKECRSYPLYKFVREALGTELLTGEKLRSPGEEFEKLFTAICEGKIIDPLLECLKDWDGTPLPIC >Manes.14G047000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4071779:4081036:-1 gene:Manes.14G047000.v8.1 transcript:Manes.14G047000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVNLSNRMQFDFQCSSSGDIQKKTIMDHKNVWLMHRSGQNIQRVIPRIMGFESRGLNSPVNLFNGNESVSTAVSSTNDAIDYTGSLVRKWLLSPLSGVLLLDQFNGDSLDIGDSTYKSNFFSGKDNYRVSASQEHKKAHIGSSNNFYSPNWCTTYLPGWKNLMDDNYGANSIFFIHRPMLDDKEITSHNQVFSLPGLINSGETTKVRCQSGTIAIPVKKAGSSPLSLSPLGPKLSERINSAGLCSNVSKKLKDDYLTFKDIEQSLDGTFPGSLSSQKHDDSRMVHKSLQDFDNLRKNFDAITTEITTDVVQSWGEDSNPTLPCIKLSRTFSGLSVRRSLVGSFEESLLSGRLLSGKASQRIDGFLAVLNVTGGNFSPQSQKLPFTVTSVDGDNYLLYYASIDLAGDMPSNKGSEKMRRSLSIDDPQAEQTRLRIPMKGQIQLVLSNPEKTPIHTFFCNYDLSDMPAGTEITLFSSGLTGRKTDHDIKSEVKQSSTPDSNHSSTCGMETSDLKGDDADHTIRSLNHVSKCINIGLEVNSPPNSTSAFVSKSVYSPSKANENTAGAGVLRYALHLWFLCPFPKKSPRSVRRCKSDPLSAPARNKVDIEGDRRFYLYSKMKVVFLQRHSDADEGKLYVEYDYPSDPKYFNI >Manes.14G047000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4071779:4081036:-1 gene:Manes.14G047000.v8.1 transcript:Manes.14G047000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVNLSNRMQFDFQCSSSGDIQKKTIMDHKNVWLMHRSGQNIQRVIPRIMGFESRGLNSPVNLFNGNESVSTAVSSTNDAIDYTGSLVRKWLLSPLSGVLLLDQFNGDSLDIGDSTYKSNFFSGKDNYRVSASQEHKKAHIGSSNNFYSPNWCTTYLPGWKNLMDDNYGANSIFFIHRPMLDDKEITSHNQVFSLPGLINSGETTKVRCQSGTIAIPVKKAGSSPLSLSPLGPKLSERINSAGLCSNVSKKLKDDYLTFKDIEQSLDGTFPGSLSSQKHDDSRMVHKSLQDFDNLRKNFDAITTEITTDVVQSWGEDSNPTLPCIKLSRTFSGLSVRRSLVGSFEESLLSGRLLSGKASQRIDGFLAVLNVTGGNFSPQSQKLPFTVTSVDGDNYLLYYASIDLAGDMPSNKGSEKMRRSLSIDDPQAEQTRLRIPMKGQIQLVLSNPEKTPIHTFFCNYDLSDMPAGTEITLFSSGLTGRKTDHDIKSEVKQSSTPDSNHSSTCGMETSDLKGDDADHTIRSLNHVSKCINIGLEVNSPPNSTSAFVSKSVYSPSKANENTAGAGVLRYALHLWFLCPFPKKSPRSVRRCKSDPLSAPARNKVDIEGDRRFYLYSKMKVVFLQRHSDADEGKLYVEYDYPSDPKYFNI >Manes.14G047000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4070710:4081036:-1 gene:Manes.14G047000.v8.1 transcript:Manes.14G047000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVNLSNRMQFDFQCSSSGDIQKKTIMDHKNVWLMHRSGQNIQRVIPRIMGFESRGLNSPVNLFNGNESVSTAVSSTNDAIDYTGSLVRKWLLSPLSGVLLLDQFNGDSLDIGDSTYKSNFFSGKDNYRVSASQEHKKAHIGSSNNFYSPNWCTTYLPGWKNLMDDNYGANSIFFIHRPMLDDKEITSHNQVFSLPGLINSGETTKVRCQSGTIAIPVKKAGSSPLSLSPLGPKLSERINSAGLCSNVSKKLKDDYLTFKDIEQSLDGTFPGSLSSQKHDDSRMVHKSLQDFDNLRKNFDAITTEITTDVVQSWGEDSNPTLPCIKLSRTFSGLSVRRSLVGSFEESLLSGRLLSGKASQRIDGFLAVLNVTGGNFSPQSQKLPFTVTSVDGDNYLLYYASIDLAGDMPSNKGSEKMRRSLSIDDPQAEQTRLRIPMKGQIQLVLSNPEKTPIHTFFCNYDLSDMPAGTEITLFSSGLTGRKTDHDIKSEVKQSSTPDSNHSSTCGMETSDLKGDDADHTIRSLNHVSKCINIGLEVNSPPNSTSAFVSKSVYSPSKANENTAGAGVLRYALHLWFLCPFPKKSPRSVRRCKSDPLSAPARNKVDIEGDRRFYLYSKMKVVFLQRHSDADEGKLYVEYDYPSDPKYFNI >Manes.14G047000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4071779:4081036:-1 gene:Manes.14G047000.v8.1 transcript:Manes.14G047000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVNLSNRMQFDFQCSSSGDIQKKTIMDHKNVWLMHRSGQNIQRVIPRIMGFESRGLNSPVNLFNGNESVSTAVSSTNDAIDYTGSLVRKWLLSPLSGVLLLDQFNGDSLDIGDSTYKSNFFSGKDNYRVSASQEHKKAHIGSSNNFYSPNWCTTYLPGWKNLMDDNYGANSIFFIHRPMLDDKEITSHNQVFSLPGLINSGETTKVRCQSGTIAIPVKKAGSSPLSLSPLGPKLSERINSAGLCSNVSKKLKDDYLTFKDIEQSLDGTFPGSLSSQKHDDSRMVHKSLQDFDNLRKNFDAITTEITTDVVQSWGEDSNPTLPCIKLSRTFSGLSVRRSLVGSFEESLLSGRLLSGKASQRIDGFLAVLNVTGGNFSPQSQKLPFTVTSVDGDNYLLYYASIDLAGDMPSNKGSEKMRRSLSIDDPQAEQTRLRIPMKGQIQLVLSNPEKTPIHTFFCNYDLSDMPAGTEITLFSSGLTGRKTDHDIKSEVKQSSTPDSNHSSTCGMETSDLKGDDADHTIRSLNHVSKCINIGLEVNSPPNSTSAFVSKSVYSPSKANENTAGAGVLRYALHLWFLCPFPKKSPRSVRRCKSDPLSAPARNKVDIEGDRRFYLYSKMKVVFLQRHSDADEGKLYVEYDYPSDPKYFNI >Manes.14G047000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4070501:4081036:-1 gene:Manes.14G047000.v8.1 transcript:Manes.14G047000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVNLSNRMQFDFQCSSSGDIQKKTIMDHKNVWLMHRSGQNIQRVIPRIMGFESRGLNSPVNLFNGNESVSTAVSSTNDAIDYTGSLVRKWLLSPLSGVLLLDQFNGDSLDIGDSTYKSNFFSGKDNYRVSASQEHKKAHIGSSNNFYSPNWCTTYLPGWKNLMDDNYGANSIFFIHRPMLDDKEITSHNQVFSLPGLINSGETTKVRCQSGTIAIPVKKAGSSPLSLSPLGPKLSERINSAGLCSNVSKKLKDDYLTFKDIEQSLDGTFPGSLSSQKHDDSRMVHKSLQDFDNLRKNFDAITTEITTDVVQSWGEDSNPTLPCIKLSRTFSGLSVRRSLVGSFEESLLSGRLLSGKASQRIDGFLAVLNVTGGNFSPQSQKLPFTVTSVDGDNYLLYYASIDLAGDMPSNKGSEKMRRSLSIDDPQAEQTRLRIPMKGQIQLVLSNPEKTPIHTFFCNYDLSDMPAGTEITLFSSGLTGRKTDHDIKSEVKQSSTPDSNHSSTCGMETSDLKGDDADHTIRSLNHVSKCINIGLEVNSPPNSTSAFVSKSVYSPSKANENTAGAGVLRYALHLWFLCPFPKKSPRSVRRCKSDPLSAPARNKVDIEGDRRFYLYSKMKVVFLQRHSDADEGKLYVEYDYPSDPKYFNI >Manes.14G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4070710:4081036:-1 gene:Manes.14G047000.v8.1 transcript:Manes.14G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVNLSNRMQFDFQCSSSGDIQKKTIMDHKNVWLMHRSGQNIQRVIPRIMGFESRGLNSPVNLFNGNESVSTAVSSTNDAIDYTGSLVRKWLLSPLSGVLLLDQFNGDSLDIGDSTYKSNFFSGKDNYRVSASQEHKKAHIGSSNNFYSPNWCTTYLPGWKNLMDDNYGANSIFFIHRPMLDDKEITSHNQVFSLPGLINSGETTKVRCQSGTIAIPVKKAGSSPLSLSPLGPKLSERINSAGLCSNVSKKLKDDYLTFKDIEQSLDGTFPGSLSSQKHDDSRMVHKSLQDFDNLRKNFDAITTEITTDVVQSWGEDSNPTLPCIKLSRTFSGLSVRRSLVGSFEESLLSGRLLSGKASQRIDGFLAVLNVTGGNFSPQSQKLPFTVTSVDGDNYLLYYASIDLAGDMPSNKGSEKMRRSLSIDDPQAEQTRLRIPMKGQIQLVLSNPEKTPIHTFFCNYDLSDMPAGTETFLRQKITLFSSGLTGRKTDHDIKSEVKQSSTPDSNHSSTCGMETSDLKGDDADHTIRSLNHVSKCINIGLEVNSPPNSTSAFVSKSVYSPSKANENTAGAGVLRYALHLWFLCPFPKKSPRSVRRCKSDPLSAPARNKVDIEGDRRFYLYSKMKVVFLQRHSDADEGKLYVEYDYPSDPKYFNI >Manes.14G047000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4071779:4081036:-1 gene:Manes.14G047000.v8.1 transcript:Manes.14G047000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVNLSNRMQFDFQCSSSGDIQKKTIMDHKNVWLMHRSGQNIQRVIPRIMGFESRGLNSPVNLFNGNESVSTAVSSTNDAIDYTGSLVRKWLLSPLSGVLLLDQFNGDSLDIGDSTYKSNFFSGKDNYRVSASQEHKKAHIGSSNNFYSPNWCTTYLPGWKNLMDDNYGANSIFFIHRPMLDDKEITSHNQVFSLPGLINSGETTKVRCQSGTIAIPVKKAGSSPLSLSPLGPKLSERINSAGLCSNVSKKLKDDYLTFKDIEQSLDGTFPGSLSSQKHDDSRMVHKSLQDFDNLRKNFDAITTEITTDVVQSWGEDSNPTLPCIKLSRTFSGLSVRRSLVGSFEESLLSGRLLSGKASQRIDGFLAVLNVTGGNFSPQSQKLPFTVTSVDGDNYLLYYASIDLAGDMPSNKGSEKMRRSLSIDDPQAEQTRLRIPMKGQIQLVLSNPEKTPIHTFFCNYDLSDMPAGTEITLFSSGLTGRKTDHDIKSEVKQSSTPDSNHSSTCGMETSDLKGDDADHTIRSLNHVSKCINIGLEVNSPPNSTSAFVSKSVYSPSKANENTAGAGVLRYALHLWFLCPFPKKSPRSVRRCKSDPLSAPARNKVDIEGDRRFYLYSKMKVVFLQRHSDADEGKLYVEYDYPSDPKYFNI >Manes.17G015174.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8016038:8017499:1 gene:Manes.17G015174.v8.1 transcript:Manes.17G015174.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.14G147000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16215361:16217928:-1 gene:Manes.14G147000.v8.1 transcript:Manes.14G147000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKRVVVMVAMVSCLASVALAAQGIAVWYPNKSGPGKPPYTPSKCYGNQNNGAMIAGVSDALWNNGAACGRRYRVRCIGGANLAPHPCKQGTSVVVKVVDYCSRGCQGEINLSRDAFAQIADPEAGIVKVQYDQV >Manes.09G059527.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9276654:9281054:-1 gene:Manes.09G059527.v8.1 transcript:Manes.09G059527.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQHGESICRNVVISSTKAELDADATKPTPICVKSRSSYGGSRSRQNLTEAEKEARRLRRILANRESARQTIRRRQVLCEELSKKAADLAWENENLKREKELALKEYQSLGFKNKHLKAQMAKLIKTEVVEPQGDLKSPHEKRPTTPAPNCQLLFYNQHPFSQLCWPSIIQSPNSVQSHNGPQNAISIPSTISMVTNGELDSSQQQQENPMIVNGSRTPLYIVSCPWFFPVHDHVNGIRPQTSLGLKHIRDGTSVNNQYSSSSSSKAAALTVDQLSPLPEKVKLEAPGSTEIRVINDLIETPVGFPPDRGSQCVESHPKETTPTPVILGSIAVKNENGLQSEVLPYVDGICTKSSQLVSALPGKNQGPFNFPSKKLVDAAAAAEARRRRKELTKLKSLHSRQCRMNC >Manes.09G059527.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9276654:9281054:-1 gene:Manes.09G059527.v8.1 transcript:Manes.09G059527.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERCSVSTSSSSSSSSSGSSSSGVAADQMMRIEMEAAEALADLAHLTMRECRSGDSGGRWGSKGKRGKKRIKSESSPDDCASNPVVDSVHSCPDLAPDQAVMDQQHGESICRNVVISSTKAELDADATKPTPICVKSRSSYGGSRSRQNLTEAEKEARRLRRILANRESARQTIRRRQVLCEELSKKAADLAWENENLKREKELALKEYQSLGFKNKHLKAQMAKLIKTEVVEPQGDLKSPHEKRPTTPAPNCQLLFYNQHPFSQLCWPSIIQSPNSVQSHNGPQNAISIPSTISMVTNGELDSSQQQQENPMIVNGSRTPLYIVSCPWFFPVHDHVNGIRPQTSLGLKHIRDGTSVNNQYSSSSSSKAAALTVDQLSPLPEKVKLEAPGSTEIRVINDLIETPVGFPPDRGSQCVESHPKETTPTPVILGSIAVKNENGLQSEVLPYVDGICTKSSQLVSALPGKNQGPFNFPSKKLVDAAAAAEARRRRKELTKLKSLHSRQCRMNC >Manes.04G151600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34735703:34740720:1 gene:Manes.04G151600.v8.1 transcript:Manes.04G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLDIATTQASLQNHLSPLGVQSLIHCKVLSSQFIFGDGKIPVSTWKSFHFPRKLNPFERSKLQIKAVATLEPKCLANKEDGHKISENAQLDIDSDASKVQAESSSADSTELGEKERLRRIRISKANKGNTPWNKGRKHSAETLQRIRERTRLAMQNPKIKMKLANLGHAQSEETRMKIGVGVRMRWQKRREKMTLQETCLYEWQNLIAEASRRGYLGEEELQWDSYKILSEKLEVEWVESIEQRKATRRPKGSKRAPKSPEQKRKIAEAIAAKWADPDYRDRVRSALAKYHGIPAGAERRPRRRPSTQAKKQDPTKRKTRDTNNLSGNDATSPIQRLRLRRSKTPLYKDPLAGSKLEMIKNIRAQRAAAETKKTEAIGRARLLIAEAEKAAKALEVAATKSPIAQASLLETRKLITEAIQSLESIDTVHITSTKNDLDPSLGPAERISQVEMAMDMGNGNSNQAELKEVNGTKILASSKDEDLNFTNLHDILNGENEILSANSNGYSLPSIRLESLLEHPSSPNHFGQLEANGNVKPQRNPLLNGSQVQQVKEESPSKPISSTKKWVRGRLVEVQDGDKC >Manes.15G032800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2553808:2557298:1 gene:Manes.15G032800.v8.1 transcript:Manes.15G032800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPNQDTRKEVIQAWDMDNSYEDQRHHKDPKEFVSLDQLAELGVLGWKLDADKHETDEELKKIHEECGYSYKDNIEICPDNLLKCDEKIKTFLEEHLHTDEEIHYCLAGSGEIIVLPAGNYHRFALDTDNYINIFNYICKNMSCLQAMRLFVGDPIWTPFNCPLDHLHARKEYLKAFVHKEVGNHTVDAAA >Manes.15G188701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31019582:31020812:-1 gene:Manes.15G188701.v8.1 transcript:Manes.15G188701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWMCLFLSLTLLDLAAEAERIRKCKWRVFALQDEPKEARVWLPNNDSHGLAMARAFRDFCLKDFGLISVPNVSCQLLTDKDEFRVLATDGIWGFPSNKEVVDIVASALARSSAARALVESAVRAWRYKYPTSKVADCAVVCLFLDTNNLSTASNTNTKEQTN >Manes.18G024000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2299452:2301578:-1 gene:Manes.18G024000.v8.1 transcript:Manes.18G024000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDLRHLWLHLQYNLAPFDRFMEHSRLTGDFDESSLEFQRKILERSGLGEETYVPEAMHYIPPRPSMAAAREEAEQVMFGALDNLFANTNVNPKNIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSGDKNRAKYKLVHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQLLFFATLVVKKLFNKKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYVEAKRRMRKGNRVWQIAFGSGFKCNSAVWEALRNVKPSHNGPWEDCIDKYPVKLVA >Manes.18G024000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2299075:2301773:-1 gene:Manes.18G024000.v8.1 transcript:Manes.18G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDLRHLWLHLQYNLVSIIICSAFLVFGLTVYIMTRPRHVYLVDYSCFLAPDHLKAPFDRFMEHSRLTGDFDESSLEFQRKILERSGLGEETYVPEAMHYIPPRPSMAAAREEAEQVMFGALDNLFANTNVNPKNIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSGDKNRAKYKLVHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQLLFFATLVVKKLFNKKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYVEAKRRMRKGNRVWQIAFGSGFKCNSAVWEALRNVKPSHNGPWEDCIDKYPVKLVA >Manes.18G024000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2299143:2301783:-1 gene:Manes.18G024000.v8.1 transcript:Manes.18G024000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGEIRIHQTRRLPDFLQSVNLKYVKLGYHYLISNLLTLCFIPLIIVTSIEASQMNLDDLRHLWLHLQYNLVSIIICSAFLVFGLTVYIMTRPRHVYLVDYSCFLAPDHLKAPFDRFMEHSRLTGDFDESSLEFQRKILERSGLGEETYVPEAMHYIPPRPSMAAAREEAEQVMFGALDNLFANTNVNPKNIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSGDKNRAKYKLVHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQLLFFATLVVKKLFNKKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYVEAKRRMRKGNRVWQIAFGSGFKCNSAVWEALRNVKPSHNGPWEDCIDKYPVKLVA >Manes.03G146300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27386039:27389503:-1 gene:Manes.03G146300.v8.1 transcript:Manes.03G146300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYRLCLEALPNIPISDIKGTAIHKKVAYFFKALKSIRDSWSMSHKCLAKYGLDKFSNMNSTNVEQLVVFATLDSVIKAAAELFDKLEDEELNEDYTQQPGKSTESHPCNKSTSLAAENYARTAVSPDISNSPPRPPPRPPPPGVQVCQKSSILPQSKEEVKAVNTGVIESRLQKSNGTEDVTGETGIPKSAPPPPVMPPRGSKPTATPVKKGFGPPPPPPIGGKSLLQRKAVSKLKRSTQMPSLFQILKEKMEGSNLTIKSSSVRTKQLGGSSGGREGMSATLAELSKRSTYFLQIQEDIQKYAKSIFELKAAINSFQTNDMVKLLKFRNHFESILGVLTDESQVLAKFEDFPTQKLETLRAAAALYSKLETIVATLKNWEIVPPLSKLLDKVECYIRKIKVQVDAFERNKDEESKKFKSHNIEFDFLMFTRVKESVIDLSSGCMELALKERREAKTNGNGKTGATEGKMKDSGRLLWRVFRLAFQAYSFAGGQDDHSTKLANELANEILVNSQ >Manes.03G146300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27386039:27389503:-1 gene:Manes.03G146300.v8.1 transcript:Manes.03G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYRLCLEALPNIPISDIKGTAIHKKVAYFFKALKSIRDSWSMSHKCLAKYGLDKFSNMNSTNVEQLVDIVVFATLDSVIKAAAELFDKLEDEELNEDYTQQPGKSTESHPCNKSTSLAAENYARTAVSPDISNSPPRPPPRPPPPGVQVCQKSSILPQSKEEVKAVNTGVIESRLQKSNGTEDVTGETGIPKSAPPPPVMPPRGSKPTATPVKKGFGPPPPPPIGGKSLLQRKAVSKLKRSTQMPSLFQILKEKMEGSNLTIKSSSVRTKQLGGSSGGREGMSATLAELSKRSTYFLQIQEDIQKYAKSIFELKAAINSFQTNDMVKLLKFRNHFESILGVLTDESQVLAKFEDFPTQKLETLRAAAALYSKLETIVATLKNWEIVPPLSKLLDKVECYIRKIKVQVDAFERNKDEESKKFKSHNIEFDFLMFTRVKESVIDLSSGCMELALKERREAKTNGNGKTGATEGKMKDSGRLLWRVFRLAFQAYSFAGGQDDHSTKLANELANEILVNSQ >Manes.03G146300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27386039:27389503:-1 gene:Manes.03G146300.v8.1 transcript:Manes.03G146300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYRLCLEALPNIPISDIKGTAIHKKVAYFFKALKSIRDSWSMSHKCLAKYGLDKFSNMNSTNVEQLVDIVVFATLDSVIKAAAELFDKLEDEELNEDYTQQPGKSTESHPCNKSTSLAAENYARTAVSPDISNSPPRPPPRPPPPGVQKSNGTEDVTGETGIPKSAPPPPVMPPRGSKPTATPVKKGFGPPPPPPIGGKSLLQRKAVSKLKRSTQMPSLFQILKEKMEGSNLTIKSSSVRTKQLGGSSGGREGMSATLAELSKRSTYFLQIQEDIQKYAKSIFELKAAINSFQTNDMVKLLKFRNHFESILGVLTDESQVLAKFEDFPTQKLETLRAAAALYSKLETIVATLKNWEIVPPLSKLLDKVECYIRKIKVQVDAFERNKDEESKKFKSHNIEFDFLMFTRVKESVIDLSSGCMELALKERREAKTNGNGKTGATEGKMKDSGRLLWRVFRLAFQAYSFAGGQDDHSTKLANELANEILVNSQ >Manes.03G146300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27386039:27389503:-1 gene:Manes.03G146300.v8.1 transcript:Manes.03G146300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYRLCLEALPNIPISDIKGTAIHKKVAYFFKALKSIRDSWSMSHKCLAKYGLDKFSNMNSTNVEQLAAELFDKLEDEELNEDYTQQPGKSTESHPCNKSTSLAAENYARTAVSPDISNSPPRPPPRPPPPGVQKSNGTEDVTGETGIPKSAPPPPVMPPRGSKPTATPVKKGFGPPPPPPIGGKSLLQRKAVSKLKRSTQMPSLFQILKEKMEGSNLTIKSSSVRTKQLGGSSGGREGMSATLAELSKRSTYFLQIQEDIQKYAKSIFELKAAINSFQTNDMVKLLKFRNHFESILGVLTDESQVLAKFEDFPTQKLETLRAAAALYSKLETIVATLKNWEIVPPLSKLLDKVECYIRKIKVQVDAFERNKDEESKKFKSHNIEFDFLMFTRVKESVIDLSSGCMELALKERREAKTNGNGKTGATEGKMKDSGRLLWRVFRLAFQAYSFAGGQDDHSTKLANELANEILVNSQ >Manes.07G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:801495:806310:1 gene:Manes.07G006000.v8.1 transcript:Manes.07G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSALQLTERKWKMPLMVFFRDARLIFKMDELGSEILRVAVPAAMALAADPIASLIDTAFIGHLGPVEIAAVGVSIAIFNQASKVTIFPLVSITTSFVAEEDTAQKMSNEPQKGEEFEKKDSAKTCEMKELVPEDVMLENLEKGSAEDTEEKDPIPDDADCKAVACKSSTFTEGKAFKEKPKNKKERRHIPSASTALIVGGILGLVQAIFLIFCAKPLLSIMGVKSGSPMLTPARKYLTLRALGSPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFIFACRLGVSGAAIAHVLSQYLISLILLWSLMKKVDLLPPSAKDLQFGRFLKNGFLLLARVIAATICVTLAASRAARLGSTPMAAFQVCLQVWLTSSLLADGLAVAGQAIIACAFAEKDYQKATTAATRVLQMSFVLGLGLAVVVGVGLHFGDGIFSKDPNVLHIISIGIPFVAATQPINSIAFVFDGVNFGASDFAYSAYSMVLVAIASIATIFVLSNTGGFVGIWIALTIFMGLRTFAGVWRMGTGTGPWRFLRGGLLP >Manes.07G006000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:798502:806299:1 gene:Manes.07G006000.v8.1 transcript:Manes.07G006000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSALQLTERKWKMPLMVFFRDARLIFKMDELGSEILRVAVPAAMALAADPIASLIDTAFIGHLGPVEIAAVGVSIAIFNQASKVTIFPLVSITTSFVAEEDTAQKMSNEPQKGEEFEKKDSAKTCEMKELVPEDVMLENLEKGSAEDTEEKDPIPDDADCKAVACKSSTFTEGKAFKEKPKNKKERRHIPSASTALIVGGILGLVQAIFLIFCAKPLLSIMGVKSGSPMLTPARKYLTLRALGSPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFIFACRLGVSGAAIAHVLSQYLISLILLWSLMKKVDLLPPSAKDLQFGRFLKNGFLLLARVIAATICVTLAASRAARLGSTPMAAFQVCLQVWLTSSLLADGLAVAGQAIIACAFAEKDYQKATTAATRVLQMSFVLGLGLAVVVGVGLHFGDGIFSKDPNVLHIISIGIPFVAATQPINSIAFVFDGVNFGASDFAYSAYSMVLVAIASIATIFVLSNTGGFVGIWIALTIFMGLRTFAGVWRMGTGTGPWRFLRGGLLP >Manes.07G006000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:801519:806299:1 gene:Manes.07G006000.v8.1 transcript:Manes.07G006000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSALQLTERKWKMPLMVFFRDARLIFKMDELGSEILRVAVPAAMALAADPIASLIDTAFIGHLGPVEIAAVGVSIAIFNQASKVTIFPLVSITTSFVAEEDTAQKMSNEPQKGEEFEKKDSAKTCEMKELVPEDVMLENLEKGSAEDTEEKDPIPDDADCKAVACKSSTFTEGKAFKEKPKNKKERRHIPSASTALIVGGILGLVQAIFLIFCAKPLLSIMGVKSGSPMLTPARKYLTLRALGSPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVANIILDPIFIFACRLGVSGAAIAHVLSQYLISLILLWSLMKKVDLLPPSAKDLQFGRFLKNGFLLLARVIAATICVTLAASRAARLGSTPMAAFQVCLQVWLTSSLLADGLAVAGQAIIACAFAEKDYQKATTAATRVLQMSFVLGLGLAVVVGVGLHFGDGIFSKDPNVLHIISIGIPFVAATQPINSIAFVFDGVNFGASDFAYSAYSMVLVAIASIATIFVLSNTGGFVGIWIALTIFMGLRTFAGVWRMGTGTGPWRFLRGGLLP >Manes.11G129200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29408752:29414665:-1 gene:Manes.11G129200.v8.1 transcript:Manes.11G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFCDLTRERKMDWHRCFLVLFWIAVILPIVSSKEQLSSRECEDLGFTGLALCSDCNTLAEYVKNLELVSDCLKCCTEDSDDSMSKITYSGAVLEVCMRKLVFYPEIVGFIEEEKDKFPTVKVKYVFNSPPKLILLDDEGKQKEAIRIDNWKREHVLQFLREKVKSASS >Manes.10G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3517709:3518856:-1 gene:Manes.10G035500.v8.1 transcript:Manes.10G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQIQLVASLIFLLILLSWTVQGQPKTTFDLVVAKDGNGNYSTIMDAIAAAPKHSARKFIIKIEKGIYTENVLVEDDKTNIIFIGDGIDKTIISGSRSAGGGYKTYKTATLGIRGDGFMAMDITIENTAGAANKQAVALRSSAQSVFYRCKFSGYQDTLYTNQKKQFYRQCEIYGTIDFIFGDATVVLQNCAIYARLPPKGESNTITITAQGRNKSTENSGIVIQNCTITAADDLKRSGSSLIKSYLGRPWKEYSTTIVMQSFIDNIIDPAGWLEWENNKTNLATIFYAEYENRGPGAATNRRVKWTSYHKINRREAMKFTVRNFISGNAWLPSLGIPFFLDLM >Manes.14G102800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8518338:8521030:-1 gene:Manes.14G102800.v8.1 transcript:Manes.14G102800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCAIHPSAFSTCEEIRSPVSDRRDSVVCPKPRRLGLLNVTANDHPVRSLRWQISHQAELCDSKAGTDLLEIILTKGGCGVEQSYTQVASSPPFFCGSPPSRVANPLIQDARFGDEKFSPISPLMPMPILPPSGLSSSPTSSTRKGGCVRSNFGNKPAVRVEGFDCLDRDSRNCSIPTLA >Manes.14G102800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8518297:8520426:-1 gene:Manes.14G102800.v8.1 transcript:Manes.14G102800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCAIHPSAFSTCEEIRSPVSDRRDSVVCPKPRRLGLLNVTANDHPVRSLRWQISHQAELCDSKAGTDLLEIILTKGGCGVEQSYTQVASSPPFFCGSPPSRVANPLIQDARFGDEKFSPISPLMPMPILPPSGLSSSPTSSTRKGGCVRSNFGNKPAVRVEGFDCLDRDSRNCSIPTLA >Manes.14G102800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8518338:8520923:-1 gene:Manes.14G102800.v8.1 transcript:Manes.14G102800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCAIHPSAFSTCEEIRSPVSDRRDSVVCPKPRRLGLLNVTANDHPVRSLRWQISHQAELCDSKAGTDLLEIILTKGGCGVEQSYTQVASSPPFFCGSPPSRVANPLIQDARFGDEKFSPISPLMPMPILPPSGLSSSPTSSTRKGGCVRSNFGNKPAVRVEGFDCLDRDSRNCSIPTLA >Manes.17G051800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24855534:24858249:1 gene:Manes.17G051800.v8.1 transcript:Manes.17G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCHRHSLLLLVLLLLHLLASFSTLLAEESEAVAKARALNQKSVTAVLVFGDSTVDSGNNNFVKTIFKGNFAPYGKDFPNQQPTGRFSNGRLSTDFIASYVGVKDFVPPYLDPNLSIEDLMTGVSFASAGTGFDPLTPQISNVIGIPEQLEYFKEYKKRLESAIGKKRTENHINKALFILSAATNDFVVNYFTLPVRRKSYSVSGYQRFILNNALQFIQELIGEGAKRISVTGLPPMGCLPVVITLFSKNAILERGCIEYFSSVGREFNQMLQNEVNRLHDRYPDVKIAISDAYGPLSDMIQGQQSSRFEVVNSGCCGTGYLEAGILCNPKSFLCDDASKYVFWDSIHPTERTYYDVFMASRPTIDFLISDA >Manes.15G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3767691:3770759:-1 gene:Manes.15G048500.v8.1 transcript:Manes.15G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVIRTKPGMASVKDMPILQDGPPPGGFPPVRYARRIPNKGPSAMAIFLAALGTFSYGMYQVGQGNKIRRALKEEKYAARRAILPVLQAEEDERFVKEWNKYLEYEAEVMKDVPGWKVGENVYNSGRWMPPATGELRPDVW >Manes.15G048500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3767691:3770759:-1 gene:Manes.15G048500.v8.1 transcript:Manes.15G048500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVIRTKPGMASVKDMPILQDGPPPGGFPPVRYARRIPNKGPSAMAIFLAALGTFSYGMYQVGQGNKIRRALKEEKYAARRAILPVLQAEEDERFVKEWNKYLEYEAEVMKDVPGWKVGENVYNSGRWMPPATGELRPDVW >Manes.02G176100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13869589:13871239:-1 gene:Manes.02G176100.v8.1 transcript:Manes.02G176100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREMEKESEIQSAIEELSMLIQLKTSDNHGAALIPTRPFLYVCNLVIQVLDKIGPTMAVLRQDINQNVERIELQCDSDPSLYSNLVEILKKEADEGNARKGTSCSKAFVWLTRSLDFTVALLQRLVKNPDQEMEEAVKDSYNITLKPWHGWISSAAYRVALKLIPDTKSFINLLKPKDENTETLIEDMETFISLLVPILEEIHPTLTLYRLDKLKST >Manes.07G041000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5018322:5021807:1 gene:Manes.07G041000.v8.1 transcript:Manes.07G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGGRPAPRRAARPAARPAPARSPPPQPVNHAPPPAPAQSGGGGSLLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVTEAAAAVPAPTASSMGGDACSIHSKAFQDCVNNFGSDISKCQFYMDMLAECRKNSGSALSV >Manes.16G040150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5356433:5367760:1 gene:Manes.16G040150.v8.1 transcript:Manes.16G040150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTQPRMQRNNAMVCVLIIFLINVNGEKSPFDDHGNHFHDQMKKLQALKSSLIRRDLASSPSPSPSFSAPAPSPQAGAVGSPRVFRVTSFGADPSGKLDGSEALEKAIAAAFEGPKEWSLMEGMINLGGAHIYLEGGIYKISQPLRLPAAGAGNLMISYSFSPKSGI >Manes.18G144848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21533243:21534734:1 gene:Manes.18G144848.v8.1 transcript:Manes.18G144848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPSLKGKLTPTIC >Manes.16G133000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33292905:33294285:-1 gene:Manes.16G133000.v8.1 transcript:Manes.16G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTKQKHRTSAPESTTSRSNSSVPTTITTSSSTAWISEAIDGGSLRRVNLDDGTNGWASPPGDLFYLRAKNYFTKRQKSPSGDYLLSPAGMDWLKSTTKLDNVLARPDNRVSMALRKAHSQGTSLKSFIFAVNLQVPGKDHHSAVFYFATEDPIPPGSLLYRFINGDDSFRNQRFKIVNRIVKGPWIVKKAVGNYSACLLGKALTCNYHRGANYLEIDVDIASSKIATAILHLALGYVTSVTIDMGFVVEAQAEDELPEKLIGAIRVCQMEMSSATVVETPNAAAARGIGFAKVKHHESGEDDDDEQ >Manes.13G094101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26754326:26755043:1 gene:Manes.13G094101.v8.1 transcript:Manes.13G094101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIEKAIRKLNGCYRQLNQARTLLMQDPRYKKGFKFDNVWSMMKDAEKFKDKSNNPTPDSPMVPSSNLSSFSIHLNEDIAGYYTSSDRPLGVKKAKLKKKLESFSSALKCLHADNEKLVESLANAIAEREKGRLMRKNKILLLDLNSIFDPIAHKTFRQEKIRISEKRAQRQ >Manes.08G048200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4855171:4857898:-1 gene:Manes.08G048200.v8.1 transcript:Manes.08G048200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYSNFFTGWFKFSNNPLYHQYPSLNPTPATAAAQVSYSPHHLYTNPFNQTQAPYYNYQNPPPSPPLREALPLLRLSPERDGERYQVQEDDDEDQEQMSFMAVDVDKINNNKAKVDHESFLSSSAAAGGDNEDDDTVTVALHIGLPSPSAAEMASVLSSSSEATDKDGDGDDSGFPFSRLNKGQYWIPTPTQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGIDGFEEDDEPASEVEQDNESMQ >Manes.17G024294.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:15064995:15073268:-1 gene:Manes.17G024294.v8.1 transcript:Manes.17G024294.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESVDTTNNTNTKGSLPEAEKKKEQSLPFYQLFSFADKYDWLLMISGSIGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLEKMTHEVSKYALYFVYLGLVVCLSSYAEISCWMYTGERQVGTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYAQAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIRQKPTIIQDPSDGKCLPEVNGNIEFKDVTFSYPSRPDVIIFRDFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATMDEVEAAASAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDTIAVIQQGQVVETGTHEELISKGGAYASLIRFQEMVRTRDFANPSTRRSRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPDGYFCRLLKLNAPEWPYSIMGAIGSVLSGFIGPTFAIVMSNMIEVFYYRNPASMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKISSLFSYELRVPQLRSLRRSQTSGLLFGLSQLALYASEALILWYGAHLVSKGSSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILDRSTRIDADDPEADSVESIHGEIELRHVDFAYPSRPDVPVFKDLNLRIRAGQSQALVGASGCGKSSVIALIERFYDPTAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKEGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDSIGVVQDGRIVEQGSHSELVSRPDGAYSRLLQLQHHHI >Manes.15G080500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6184787:6187966:1 gene:Manes.15G080500.v8.1 transcript:Manes.15G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFNTTTNWWESSRNRFDTGPSSSSSGLNSLGSFAWPSEMVDVKGRSSIESATVSDSSVVFHDTQKLQQGHDSGPDLHMMGLGLSSQAMDWNHALLRGDKTDGSFRSMLQENLNSSTSYQQETGIGSSHVQWRERMFSGDSSMNEFKQMGRGFSLDQPQFSPHESSSDSTVTCQALQSSGFQMDSTAIYGSPSTMLQGLLVSDNQPTQQASFENRPMSYPYGANYGISSNELVPSWSNKVPQFLRNSPPKQPPHSQLHFSNNAPFWNASASSMSDVRPNFFPSLQPQFPTSNFEEKPKQNISEIRDSSTVVKKSGSEPAATKRPRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTVLSTPYMKSGAPIHHQQSSDKSNKDPEGQKPDLRSRGLCLVPISSTFPVTHETTVDFWTPTFGGTFR >Manes.15G080500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6184787:6187966:1 gene:Manes.15G080500.v8.1 transcript:Manes.15G080500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFNTTTNWWESSRNRFDTGPSSSSSGLNSLGSFAWPSEMVDVKGRSSIESATVSDSSVVFHDTQKLQQGHDSGPDLHMMGLGLSSQAMDWNHALLRGDKTDGSFRSMLQENLNSSTSYQQETGIGSSHVQWRERMFSGDSSMNEFKQMGRGFSLDQPQFSPHESSSDSTVTCQALQSSGFQMDSTAIYGSPSTMLQGLLVSDNQPTQQASFENRPMSYPYGANYGISSNELVPSWSNKVPQFLRNSPPKQPPHSQLHFSNNAPFWNASASSMSDVRPNFFPSLQPQFPTSNFEEKPKNISEIRDSSTVVKKSGSEPAATKRPRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTVLSTPYMKSGAPIHHQQSSDKSNKDPEGQKPDLRSRGLCLVPISSTFPVTHETTVDFWTPTFGGTFR >Manes.03G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12946806:12959249:-1 gene:Manes.03G075400.v8.1 transcript:Manes.03G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDILAAHYGEEEEEAPSKVAKVDVPSAPLVGGVVPGSLGVGYSPQTLGGIQPIYNSAVPVPPAGWPLPPRPQPWFPQPPAVSIPPPAPVGYAQQPLFPVQNVRPPLPSSTAPAQVAPPGLPSSAPPIPVSQPLFPVVNNNLPQSSPFSAPLPSPNISSSSPAEVKGSVDVHSGANSSLTTSFHTSIPGGTLANSHSYASGPNTGGPSIGPPPVIANKAPATQAAANEVYLVWDDEAMSMEERRMSLARYQVHDETSQMSSIDAAIDRRILESRLAGRMAF >Manes.12G089142.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:14640807:14642940:1 gene:Manes.12G089142.v8.1 transcript:Manes.12G089142.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMENQEEEESAPTATATATSQPSSKYQLFLAIMSKRRTWVCLFVLVYAILLSSSWNFLKSILSWYKNQAQVTSASSGWPALYASVLLGAVFGILSMIAALAVAVPATLVIWITVVVLLAFCGKPRRALVIEGRKITREIIGSVFKILLKEGNVVAAVCAVLGYFALVRRNYEGD >Manes.14G104600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8732623:8737452:-1 gene:Manes.14G104600.v8.1 transcript:Manes.14G104600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGETGDRVRGLVMAMGTERSKPPLHNFNLPCLKWGNQKHLRCMKVSDSAASKDKNISNGISTDRQRSSRSPPSKFFASADYEIRSFKRPKTRNDGGGGEGGISEVREKLMFDFKTAADKMKDAILKKGVPDEENDMLEVPGSEKVEDEDEKEQSPSLVPPTEVVADREQEVRPWNLRTRRAACKAPIARNSVTGKGLKIEERNASNFSPLRIEGAKSPRLRGEKKDKEDEKEKETEQVRTKFALALSRKEIEEDFMKMVGHRPARRPKKRHRNVQKQMDMLFPGLWLTEVTVDTYKVPEIPDNGKVIAFLH >Manes.14G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8735933:8737213:-1 gene:Manes.14G104600.v8.1 transcript:Manes.14G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGETGDRVRGLVMAMGTERSKPPLHNFNLPCLKWGNQKHLRCMKVSDSAASKDKNISNGISTDRQRSSRSPPSKFFASADYEIRSFKRPKTRNDGGGGEGGISEVREKLMFDFKTAADKMKDAILKKGVPDEENDMLEVPGSEKVEDEDEKEQSPSLVPPTEVVADREQEVRPWNLRTRRAACKAPIARNSVTGKGLKIEERNASNFSPLRIEGAKSPRLRGEKKDKEDEKEKETEQVRTKFALALSRKEIEEDFMKMVGHRPARRPKKRHRNVQKQMDMLFPGLWLTEVTVDTYKVPEIPDNGKR >Manes.03G209100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32439298:32442444:-1 gene:Manes.03G209100.v8.1 transcript:Manes.03G209100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATFARRKAYLLARNFSNSSPDALRYSFSLTSFSRGFAASGSEENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMFHEAQHSFANHGVKFSSVEIDLPAMMAQKDKAVANLTRGIEGLFKKNKVSYVKGYGKFISPSEVSVDTLEGGNTIVKGKNIIIATGSDVKSLPGITIDEKKIVSSTGALALSEIPKKLVVVGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPSMDGEIRKQFQRSLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPASGGDQTILEADVVLVSAGRTPFTAGLGLDKIGVETDKVGRIPVNERFATNVPGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKQGHVDYDKVPGVVYTNPEVASVGKTEEQVKALGIEYRVGKFPFMANSRAKAIDNAEGIVKILAEKETDKILGVHIMAPNAGELIHEAAVALTYDAASEDLARVCHAHPTMSEAVKEAAMATHDKPIHI >Manes.07G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5066987:5069703:-1 gene:Manes.07G043300.v8.1 transcript:Manes.07G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASCLKVLTRSSELIGGFLVLGCFLPAFNVFVLFLMFGLGLKLLQFTWQGKGLIQFLCEIIGKSVDKNCGFCFRRGFDKVCDSKMMSFSFSSLKLLKNSKSGNEDDFLVSKELSKPNGVAVDDDDDSERECDDNDESKFCVEDEVFDVIALRRMVKIERHRADMAYAELEKERMATASAADEAMAMILRLQSEKSSIEIEANQERRLAEQKQEYDQEMIQSLQWILMKYESEMTVLEEKLKQHMESDEVDQFQASSANLSSDFDSATEDGFEDIQTNSVEEDFSLLNFQLIKDPCITMPYFVCASEDYGSQAISRNK >Manes.02G020001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1805067:1812784:1 gene:Manes.02G020001.v8.1 transcript:Manes.02G020001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKFCLLISVAAVISLVCYPPSVTAGDIVHDDDSSPKKPGCENDFILVKVQTWVNGIENAEFVGVGARFGTTIVSKEKNANQTRLTLSDPRDCCTPPKKKLDRDVIMVDRGKCKFTTKTNNAEAAGASAVLIINNQKELYKMVCEPDETDLDIKIPAVMLPQDAGASLEKMLLNSSSVSVQLYSPNRPLVDIAEVFLWLTAVVTILCASYWSAWSTREATIEHDKLLKDAVDEIPNYKVIGVSSVVDINTTSAVLFVVVASCFLVMLYKLMSYWFVELLVVLFCVGGVEGLQTCLVALLSRWFKHAGESYVKIPFFGALSYLTLAVSPFCIAFAAVWAVYRNVSLAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFISKTLFHESVMIVVARGDRSGVDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFSLRYDWLANKSLRAGYFLWAMIAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRGDLNNLWTRGEPERSCPHVRLEHLHELNLEK >Manes.13G018951.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2838281:2847680:1 gene:Manes.13G018951.v8.1 transcript:Manes.13G018951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGFLNFFLGFFMGVFGFLNFFLGFFMGVFRFIDFALRFFLVFILFLSFFLVFIPFLSFFLVFSLFLSLFLGFSLSLGCKGTFYLGFFLAGSSDTRSTCRTRSTCRTKSTCRIKSTCRTRSTCRTRSTCKIRSTYRNRSTCRTRTRSTCKIRSTYRTRSTCKIGSTCRTRSTCKIGSTCRTRSTCKIGSICRTRSTYKIRTRSACRTRSTCKTRSTCRTKSTCRTRRWLRLRSYSSVVIKSQQLHAIIN >Manes.07G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7515342:7516935:1 gene:Manes.07G054200.v8.1 transcript:Manes.07G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFASECTSGCESGWTLYLEQSFLSPTPTTTAAAAGSRRGSKQVDGRKSSGFCEKKKNVYEEESDEDHEHDEEDLSMVSDASSGPPHHFQEDDERNYLYSAFKDAALMNKNGGKRKKEQEVLSSLLDDTASSPAFNFSKSRDFAFMAKNQASIHSTLDYPQAAQFEGRSAYQEHFDNYIQTSSLSGNQIENNQWF >Manes.01G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28552150:28553448:-1 gene:Manes.01G083100.v8.1 transcript:Manes.01G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKNSEASANCKMVMNKGAWTVEEDRKLADYIEVHGAKRWKTIAFKAGLKRCGKSCRLRWLNYLRPNIKRGNISDEEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINKKEKASDESPSTQPQKSITEKTCDAVAAVEEMVEEGSKEEAIPERSFDVNQFLDFSMEGTYDLEWLNKFLQLDEDAWLAADN >Manes.01G227200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39220357:39228854:-1 gene:Manes.01G227200.v8.1 transcript:Manes.01G227200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKPSNGRSPLVNPQRQITSFFSKASSPSPSPSPLPASTLSKGQSPKLNPNPKRPSPSSPSTPSPLQSKMKKPLLVIGQTPSPSASPSASTPATAIKSYGKEVVDKRIRVYWPLDKSWYEGYVKSYYEDSGKHLVEYDDFEEEVLDLGKEKIEWVEETVKKFKRLRRGSLSFKKTVIEDEIEEMEDVGNIEEGNDGDDSSDEDWGKNPEMDVSDEGDMDLGDEQEVDNDRVGKNEKQGGKSESRKRKVCGAGKLVCGKKSEDTGNVSKKELKVSVVEQVKNKDVVSGLGNALTSNASERFSARETGKLWFLGAEQRDAKRRRPGDAHYDPRTLYLPPNFVKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGEQPHCGFPERNFSMNVEKLARKGYRVLVIEQTETPEQLELRRKEKGSKDKVVKREICAVVTKGTLTEGELLAANPDASYLMAVTERCQNLENENFERVFGICVVDVATSRIFLGQFKDDAECSSLCCLLSELRPVEIIKPAKMLSSETERVMLKQTRNPLVNELVPLSEFWDSEKTVCEVKAIYRRITDQSAPGSLNKADIDTAELHIAEDGSSCLPEILSKLVNKGENGSLALSALGGTLYYLKQAFLDETLLRFAKFESLPCSDFCNVAQKPYMILDAAAMENLEIFENNRNGGSSGTLYAQLNHCVTASGKRLLKTWLARPLYDLRSIEDRQDAVAGLRGANQPIALEFRKALSRLPDMERLLARICASSEASGRNANKVILYEDAAKKQLQEFITALRGCELMAQACSSLCAILENAESRQLHHLLTPGEGLPNVHSILKHFKEAFDWVEANNSGRIIPHEGVDVEYDSACKKVREIESSLTKHLKEQRKLLGETSISYVTVGKEAYLLEVPEHLGGNIPRDYELRSSKKGFYRYWTSSIKKFLGELSQSESEKESTLKSILQRLIGRFCKHHDKWRQLVSATAELDVLISLAIASEFYEGPACRPIVLGCQSSEVPRLSAKSLGHPILRSDSLGKGAFVPNDINIGGSVGASFVLLTGPNMGGKSTLLRQVCLAVILAQVGADVPAESFELSPVDRIFVRMGAKDHIMAGQSTFLTELSETALMLSSATRNSLVALDELGRGTSTSDGQAIAESVLEHFVHKVQCRGMFSTHYHRLAVDYKKDPKVSLCHMACQVGNGIEELKEVTFLYKLTPGACPKSYGVNVARLAGNFFSPLLASLYEFPQDSILVVIKMF >Manes.01G227200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39219313:39228816:-1 gene:Manes.01G227200.v8.1 transcript:Manes.01G227200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKPSNGRSPLVNPQRQITSFFSKASSPSPSPSPLPASTLSKGQSPKLNPNPKRPSPSSPSTPSPLQSKMKKPLLVIGQTPSPSASPSASTPATAIKSYGKEVVDKRIRVYWPLDKSWYEGYVKSYYEDSGKHLVEYDDFEEEVLDLGKEKIEWVEETVKKFKRLRRGSLSFKKTVIEDEIEEMEDVGNIEEGNDGDDSSDEDWGKNPEMDVSDEGDMDLGDEQEVDNDRVGKNEKQGGKSESRKRKVCGAGKLVCGKKSEDTGNVSKKELKVSVVEQVKNKDVVSGLGNALTSNASERFSARETGKLWFLGAEQRDAKRRRPGDAHYDPRTLYLPPNFVKSLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGEQPHCGFPERNFSMNVEKLARKGYRVLVIEQTETPEQLELRRKEKGSKDKVVKREICAVVTKGTLTEGELLAANPDASYLMAVTERCQNLENENFERVFGICVVDVATSRIFLGQFKDDAECSSLCCLLSELRPVEIIKPAKMLSSETERVMLKQTRNPLVNELVPLSEFWDSEKTVCEVKAIYRRITDQSAPGSLNKADIDTAELHIAEDGSSCLPEILSKLVNKGENGSLALSALGGTLYYLKQAFLDETLLRFAKFESLPCSDFCNVAQKPYMILDAAAMENLEIFENNRNGGSSGTLYAQLNHCVTASGKRLLKTWLARPLYDLRSIEDRQDAVAGLRGANQPIALEFRKALSRLPDMERLLARICASSEASGRNANKVILYEDAAKKQLQEFITALRGCELMAQACSSLCAILENAESRQLHHLLTPGEGLPNVHSILKHFKEAFDWVEANNSGRIIPHEGVDVEYDSACKKVREIESSLTKHLKEQRKLLGETSISYVTVGKEAYLLEVPEHLGGNIPRDYELRSSKKGFYRYWTSSIKKFLGELSQSESEKESTLKSILQRLIGRFCKHHDKWRQLVSATAELDVLISLAIASEFYEGPACRPIVLGCQSSEVPRLSAKSLGHPILRSDSLGKGAFVPNDINIGGSVGASFVLLTGPNMGGKSTLLRQVCLAVILAQVGADVPAESFELSPVDRIFVRMGAKDHIMAGQSTFLTELSETALMLSSATRNSLVALDELGRGTSTSDGQAIAESVLEHFVHKVQCRGMFSTHYHRLAVDYKKDPKVSLCHMACQVGNGIEELKEVTFLYKLTPGACPKSYGVNVARLAGLPNSILEKAAAKSREFEAVYGKHRKSSGNEMVALIQNLIDAATKLSCHGFDIRAIAKLQLRARLLLPQNGSGINLSSESR >Manes.12G007000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:787833:788970:1 gene:Manes.12G007000.v8.1 transcript:Manes.12G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQYKYQHQQQGAESSSWGYYVPAARGMVGSDPLERVVRLASESAVVIFSISSCCMCHAVKRLFCGMGVNPTVYELDQDPRGKEIERALMRLLGNYSSAVPVVFIGGKLIGAMDRVMASHINGTLVPLLKEAGALWL >Manes.01G058600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24671453:24675355:1 gene:Manes.01G058600.v8.1 transcript:Manes.01G058600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQKDSEKIIWDQMRSPSGNPLSGPASQTRILPKLMVWLILFVSVTYVVYTLKLVATTRGCSHDPFSTNHHLSIVSNHSKPLIQHQTASTIHRRGNQETHQLTEIQHIVFGIAASAKLWEQRKNYIKLWYKPEKMRGIVWLDDTVKTREGEGLPPVKISADTSRFAYTNRQGHRSAIRISRIVSETLRLSLDNVRWFVMGDDDTVFITENLVRVLRKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALVNMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKETGFHQASSWDHRWLPFGFVPMPTNILGASVSRTVSTLCHLLI >Manes.01G058600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24671453:24675355:1 gene:Manes.01G058600.v8.1 transcript:Manes.01G058600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQKDSEKIIWDQMRSPSGNPLSGPASQTRILPKLMVWLILFVSVTYVVYTLKLVATTRGCSHDPFSTNHHLSIVSNHSKPLIQHQTASTIHRRGNQETHQLTEIQHIVFGIAASAKLWEQRKNYIKLWYKPEKMRGIVWLDDTVKTREGEGLPPVKISADTSRFAYTNRQGHRSAIRISRIVSETLRLSLDNVRWFVMGDDDTVFITENLVRVLRKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALVNMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKETGFHQASSWDHRWLPFGFVPMPTNILGASVSRTVSTLCHLLI >Manes.01G058600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24671453:24675305:1 gene:Manes.01G058600.v8.1 transcript:Manes.01G058600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQKDSEKIIWDQMRSPSGNPLSGPASQTRILPKLMVWLILFVSVTYVVYTLKLVATTRGCSHDPFSTNHHLSIVSNHSKPLIQHQTASTIHRRGNQETHQLTEIQHIVFGIAASAKLWEQRKNYIKLWYKPEKMRGIVWLDDTVKTREGEGLPPVKISADTSRFAYTNRQGHRSAIRISRIVSETLRLSLDNVRWFVMGDDDTVFITENLVRVLRKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALVNMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKETGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVTRVQALQRLMVPMKLDSAGLMQQSICYDKSKGWTISVSWGFAVQIFRGVFSPREIEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYMSKTRFDSSLNVTVSEYARHRVPHPACKWKMVDPSKIDVAVVYKKPDPHLWDRVSAS >Manes.01G058600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24671459:24674728:1 gene:Manes.01G058600.v8.1 transcript:Manes.01G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQKDSEKIIWDQMRSPSGNPLSGPASQTRILPKLMVWLILFVSVTYVVYTLKLVATTRGCSHDPFSTNHHLSIVSNHSKPLIQHQTASTIHRRGNQETHQLTEIQHIVFGIAASAKLWEQRKNYIKLWYKPEKMRGIVWLDDTVKTREGEGLPPVKISADTSRFAYTNRQGHRSAIRISRIVSETLRLSLDNVRWFVMGDDDTVFITENLVRVLRKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALVNMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKETGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVTRVQALQRLMVPMKLDSAGLMQQSICYDKSKGWTISVSWGFAVQIFRGVFSPREIEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFVFYMSKTRFDSSLNVTVSEYARHRVPHPACKWKMVDPSKIDVAVVYKKPDPHLWDRSPRRNCCRVMNSKRKGSITIDVGVCREGEVSEI >Manes.01G058600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24671453:24675355:1 gene:Manes.01G058600.v8.1 transcript:Manes.01G058600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQKDSEKIIWDQMRSPSGNPLSGPASQTRILPKLMVWLILFVSVTYVVYTLKLVATTRGCSHDPFSTNHHLSIVSNHSKPLIQHQTASTIHRRGNQETHQLTEIQHIVFGIAASAKLWEQRKNYIKLWYKPEKMRGIVWLDDTVKTREGEGLPPVKISADTSRFAYTNRQGHRSAIRISRIVSETLRLSLDNVRWFVMGDDDTVFITENLVRVLRKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALVNMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKETGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVTRVQALQRLMVPMKLDSAGLMQQSICYDKSKGWTISVSWGFAVQIFRGVFSPREIEMPSRTFLNWYRRADYTAYAFNTRP >Manes.04G064300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24787362:24827075:-1 gene:Manes.04G064300.v8.1 transcript:Manes.04G064300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSHSLPSLESIKSLPVDFRLTGSLSLDQLEKSDDVNAKNSDAICSTIPENDSLGNGVVDGVPDINGNDVNEDSPYSGNIIAVEGRPSSGDGDLDIVTSVSPSPSISRSHTEQRWGDTASYAAKKKLQSWFQLPNGYWQLGKIISTSGTESVISLSDGKVLKVKFESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKEVPLYGNDYIEAYKNKSIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGAPPMLREKINLKSASEYKYLGQSNCYSINGVDDAERFRVVTEALDIVHVSKEDQDSVFAMLAAVLWLGNISFIVVDNENHVEPLTDEGLTTVAKLIGCDVGELKLALSTRKMRVGNDNIIQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLHSNSCFRGDRGKAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSRHLPRIFASSMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKANNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLESVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHSILRVQSCFRGLQARRYLRELKRGIATLQSLVRGEKIRREYAVMLQRHRAAVVIQRRIKTTLSQKKYEEIHAASIIIQSVVRGFLVRRCSGNIGLLTSGTKGNESDEVVVKASFLAELQRRVLKAEAGLREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDFSWDTASNYRGQESNGHSARPMSAGLSVISRLAEEFEQRTQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFESWKKDYGSRLRETKVILNKLGNEEGALDRVKKKWWGRRNSTRYN >Manes.04G064300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24785954:24827075:-1 gene:Manes.04G064300.v8.1 transcript:Manes.04G064300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSHSLPSLESIKSLPVDFRLTGSLSLDQLEKSDDVNAKNSDAICSTIPENDSLGNGVVDGVPDINGNDVNEDSPYSGNIIAVEGRPSSGDGDLDIVTSVSPSPSISRSHTEQRWGDTASYAAKKKLQSWFQLPNGYWQLGKIISTSGTESVISLSDGKVLKVKFESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKEVPLYGNDYIEAYKNKSIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGAPPMLREKINLKSASEYKYLGQSNCYSINGVDDAERFRVVTEALDIVHVSKEDQDSVFAMLAAVLWLGNISFIVVDNENHVEPLTDEGLTTVAKLIGCDVGELKLALSTRKMRVGNDNIIQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLHSNSCFRGDRGKAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSRHLPRIFASSMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKANNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLESVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHSILRVQSCFRGLQARRYLRELKRGIATLQSLVRGEKIRREYAVMLQRHRAAVVIQRRIKTTLSQKKYEEIHAASIIIQSVVRGFLVRRCSGNIGLLTSGTKGNESDEVVVKASFLAELQRRVLKAEAGLREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDFSWDTASNYRGQESNGHSARPMSAGLSVISRLAEEFEQRTQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFESWKKDYGSRLRETKVILNKLGNEEGALDRVKKKWWGRRNSTRYN >Manes.04G064300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24785896:24827075:-1 gene:Manes.04G064300.v8.1 transcript:Manes.04G064300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSHSLPSLESIKSLPVDFRLTGSLSLDQLEKSDDVNAKNSDAICSTIPENDSLGNGVVDGVPDINGNDVNEDSPYSGNIIAVEGRPSSGDGDLDIVTSVSPSPSISRSHTEQRWGDTASYAAKKKLQSWFQLPNGYWQLGKIISTSGTESVISLSDGKVLKVKFESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKEVPLYGNDYIEAYKNKSIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGAPPMLREKINLKSASEYKYLGQSNCYSINGVDDAERFRVVTEALDIVHVSKEDQDSVFAMLAAVLWLGNISFIVVDNENHVEPLTDEGLTTVAKLIGCDVGELKLALSTRKMRVGNDNIIQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLHSNSCFRGDRGKAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSRHLPRIFASSMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKANNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLESVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHSILRVQSCFRGLQARRYLRELKRGIATLQSLVRGEKIRREYAVMLQRHRAAVVIQRRIKTTLSQKKYEEIHAASIIIQSVVRGFLVRRCSGNIGLLTSGTKGNESDEVVVKASFLAELQRRVLKAEAGLREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDFSWDTASNYRGQESNGHSARPMSAGLSVISRLAEEFEQRTQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFESWKKDYGSRLRETKVILNKLGNEEGALDRVKKKWWGRRNSTRYN >Manes.04G064300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24785896:24827075:-1 gene:Manes.04G064300.v8.1 transcript:Manes.04G064300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSHSLPSLESIKSLPVDFRLTGSLSLDQLEKSDDVNAKNSDAICSTIPENDSLGNGVVDGVPDINGNDVNEDSPYSGNIIAVEGRPSSGDGDLDIVTSVSPSPSISRSHTEQRWGDTASYAAKKKLQSWFQLPNGYWQLGKIISTSGTESVISLSDGKVLKVKFESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKEVPLYGNDYIEAYKNKSIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGAPPMLREKINLKSASEYKYLGQSNCYSINGVDDAERFRVVTEALDIVHVSKEDQDSVFAMLAAVLWLGNISFIVVDNENHVEPLTDEGLTTVAKLIGCDVGELKLALSTRKMRVGNDNIIQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLHSNSCFRGDRGKAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSRHLPRIFASSMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKANNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLESVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHSILRVQSCFRGLQARRYLRELKRGIATLQSLVRGEKIRREYAVMLQRHRAAVVIQRRIKTTLSQKKYEEIHAASIIIQSVVRGFLVRRCSGNIGLLTSGTKGNESDEVVVKASFLAELQRRVLKAEAGLREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDFSWDTASNYRGQESNGHSARPMSAGLSVISRLAEEFEQRTQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFESWKKDYGSRLRETKVILNKLGNEEGALDRVKKKWWGRRNSTRYN >Manes.04G064300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24787362:24827075:-1 gene:Manes.04G064300.v8.1 transcript:Manes.04G064300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSHSLPSLESIKSLPVDFRLTGSLSLDQLEKSDDVNAKNSDAICSTIPENDSLGNGVVDGVPDINGNDVNEDSPYSGNIIAVEGRPSSGDGDLDIVTSVSPSPSISRSHTEQRWGDTASYAAKKKLQSWFQLPNGYWQLGKIISTSGTESVISLSDGKVLKVKFESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKEVPLYGNDYIEAYKNKSIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGAPPMLREKINLKSASEYKYLGQSNCYSINGVDDAERFRVVTEALDIVHVSKEDQDSVFAMLAAVLWLGNISFIVVDNENHVEPLTDEGLTTVAKLIGCDVGELKLALSTRKMRVGNDNIIQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLHSNSCFRGDRGKAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSRHLPRIFASSMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKANNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLESVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHSILRVQSCFRGLQARRYLRELKRGIATLQSLVRGEKIRREYAVMLQRHRAAVVIQRRIKTTLSQKKYEEIHAASIIIQSVVRGFLVRRCSGNIGLLTSGTKGNESDEVVVKASFLAELQRRVLKAEAGLREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDFSWDTASNYRGQESNGHSARPMSAGLSVISRLAEEFEQRTQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFESWKKDYGSRLRETKVILNKLGNEEGALDRVKKKWWGRRNSTRYN >Manes.04G064300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24785896:24827075:-1 gene:Manes.04G064300.v8.1 transcript:Manes.04G064300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSHSLPSLESIKSLPVDFRLTGSLSLDQLEKSDDVNAKNSDAICSTIPENDSLGNGVVDGVPDINGNDVNEDSPYSGNIIAVEGRPSSGDGDLDIVTSVSPSPSISRSHTEQRWGDTASYAAKKKLQSWFQLPNGYWQLGKIISTSGTESVISLSDGKVLKVKFESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKEVPLYGNDYIEAYKNKSIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGAPPMLREKINLKSASEYKYLGQSNCYSINGVDDAERFRVVTEALDIVHVSKEDQDSVFAMLAAVLWLGNISFIVVDNENHVEPLTDEGLTTVAKLIGCDVGELKLALSTRKMRVGNDNIIQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLHSNSCFRGDRGKAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSRHLPRIFASSMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKANNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLESVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHSILRVQSCFRGLQARRYLRELKRGIATLQSLVRGEKIRREYAVMLQRHRAAVVIQRRIKTTLSQKKYEEIHAASIIIQSVVRGFLVRRCSGNIGLLTSGTKGNESDEVVVKASFLAELQRRVLKAEAGLREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDFSWDTASNYRGQESNGHSARPMSAGLSVISRLAEEFEQRTQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFESWKKDYGSRLRETKVILNKLGNEEGALDRVKKKWWGRRNSTRYN >Manes.04G064300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24785896:24827075:-1 gene:Manes.04G064300.v8.1 transcript:Manes.04G064300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSHSLPSLESIKSLPVDFRLTGSLSLDQLEKSDDVNAKNSDAICSTIPENDSLGNGVVDGVPDINGNDVNEDSPYSGNIIAVEGRPSSGDGDLDIVTSVSPSPSISRSHTEQRWGDTASYAAKKKLQSWFQLPNGYWQLGKIISTSGTESVISLSDGKVLKVKFESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNQDMIYTKAGPVLVAINPFKEVPLYGNDYIEAYKNKSIESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGAPPMLREKINLKSASEYKYLGQSNCYSINGVDDAERFRVVTEALDIVHVSKEDQDSVFAMLAAVLWLGNISFIVVDNENHVEPLTDEGLTTVAKLIGCDVGELKLALSTRKMRVGNDNIIQKLTLSQAIDTRDALAKSIYACLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLHSNSCFRGDRGKAFTVCHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSRHLPRIFASSMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKANNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFAKRYGFLLLESVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHSILRVQSCFRGLQARRYLRELKRGIATLQSLVRGEKIRREYAVMLQRHRAAVVIQRRIKTTLSQKKYEEIHAASIIIQSVVRGFLVRRCSGNIGLLTSGTKGNESDEVVVKASFLAELQRRVLKAEAGLREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDERDFSWDTASNYRGQESNGHSARPMSAGLSVISRLAEEFEQRTQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFESWKKDYGSRLRETKVILNKLGNEEGALDRVKKKWWGRRNSTRYN >Manes.09G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18016112:18018551:1 gene:Manes.09G077400.v8.1 transcript:Manes.09G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIPHDIINDILLQLPVKALLRFRCLSRPLCSIIDGPKFINHHLAHSLHTRSHLSLILRDWNIYTLDFDSLDAAAAASVVASAESLDHPLHVGGGTEIVGSCNGLVALRNSERDLALYNPSTRKLKRVPVSEIYPPDRFLKTGYVFYGFGYDSINEDYKLVRMVTFVGDDDRCETFDHDYEVKIYSLKRDSWKKIKGLPYYLRFLHKPFYQVLHRRGYGVFASNALHWALPHWPELGVKNSIIAFDIVAEMFHQVPQPDYHKDQLNFQVDVEVLDGTLCLMCNYEHVYVDLWVMREYGVKESWIKLFSFRATKSISSFMFLRPLAYSKDGDKMLLEVNDQKLVWYDLNRKTVRTVKIRGGPKSFGAEMYVGSLVPLGNGNEEEEKKQALEMEKRKTNTQKMNDFLSVGFKLKL >Manes.01G000217.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:597515:599805:-1 gene:Manes.01G000217.v8.1 transcript:Manes.01G000217.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIGRLPWGAGSVRCRRRGGIGRSPPSRFHPSPACRRRNRVKPMKNKGKPKSKGGNGSKGRGKPKWQSKAKVPKEIVPKEGICFHCKEPGHWKRNCKLYLDEFKKKKSSETTASGIYVIDINLSISTSWVLDTGCGSHICTNVKGLKRSRKLKKGDVDLRVGNEARVAAIAVGTYELVLPNGFLLVLNNCLYIPTLSRNIISVSVLDDEGFSFLIKNKKCSIK >Manes.15G176084.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:21260463:21261956:-1 gene:Manes.15G176084.v8.1 transcript:Manes.15G176084.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCLNFFLPWVYQWYFDFQYVTDLKVPVIVKRHKIKWWGSFRNPTTEESVKQWVIKKAQIPGTSYAGKLTMQEEPIFGAQKAQCQAMLAAAKTPEEYKLICQKMFNQLSTGSSVKLEDEQSSKEESSVKSSGKSKIKKKPGRRKTKKQSSSETESTASSETSSSSKTSVSSHYDSNEDDCYGVLPPVKIKSKTGKGKKTIKVKKEKKEKIKRKSKKKKDTSSSSSESE >Manes.08G097600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32916329:32919551:1 gene:Manes.08G097600.v8.1 transcript:Manes.08G097600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVSSNKPSRCDANDKLKKLIFEKTQRRENITSHRPPRARKEMALNPQLFQNGMPVPFVNEIFVLTRDGVEFEIDKIPGSHGGHVKAKGIIYLSNIRMVFVAYKPVGNFTAFDMPLLYIHGEKFNQPIFFCNNVSGLVEPVVPENENAALYSTHSFKILFKEGGCGTFIPLFFNLLSSVRQYNQQQTSGMGPQMNPLVAAQTPVDEMMRHAYVDPNDPTRIFLQQPTPESELRRRTYQLSPAERSM >Manes.05G184400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30303402:30307196:1 gene:Manes.05G184400.v8.1 transcript:Manes.05G184400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGAFLTSAGINIGLCMVLFSLYSILRKQPSNRVVYFGRRLASVRIRNDDFFFIDRFVPSPNWIVKAWETTEEEIIKIGGLDALAFQRMLIFSIRIFAIAAIVCLLLVLPMNYYGKEMQHKQIPAESLDVFTIGNVKEGSKWLWAHCLSLYVISFTTCILLFLEYKSITEMRLAHITKAPLNPSHFTILVRSIPWTPHESYSDSVKKFFTNYYASSYLSHQMVYQRGILQKLMLDAGEMCKMIIPNSISGKSLRPYCVCVTNTTSFKILTSEPEIVKEPISYADIDLVTRENECAAAFVFFKTRYTAVVAAQMLQSSNPMLWVTELAPEPHDVFWSNLSISYRQLWLRKIATLLAAIVFMFLFLIPVTFVQGLTQLDKLSKTFPFLRGLLKKDYMRRVITGYLPSVILMLFLYTVPPMMMLFSSMEGHVSRSERKRSAGLKILYFTIWNVFFVNVLSGSVIRQLNVFTSFRDIPKELAKAIPKQASFFMTYVLTSGWASLACEVMQLFPFSYNMFKKFILRIQEDSSEDLMSFPYHTEVPRVLVFGLIGFTCAIMAPLILPFMLVHFFLAYLVYRNQILNVYIPKYEGEGRFWPIFHNTTIFSLVLSQIIAIGVFGMKESPIASSFMFPLVICTILFNEYCRHRFSPIFQKDAVEVLIDMDRRDEQSGKMNEIYQQLHSAYCQIPIATQNFCESVHKCNTFNDIESIQSGLEHSEVSEVGGVSQVSEGSEPWAIVNLERESPIIRI >Manes.10G054600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6798768:6803491:1 gene:Manes.10G054600.v8.1 transcript:Manes.10G054600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEIVTQQDSSEEPKNLFSFLKRFGLKLPVFNEDKKAPSKSVVKDEAEMAVGGDGEADNTKQRPNFVRFPNAHPIIPPPLDIELEESSGKTHNPVYALGGFIILKWVWARWKERKERAKKASPDNDQPSDEYLSPPDDND >Manes.10G054600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6798768:6803491:1 gene:Manes.10G054600.v8.1 transcript:Manes.10G054600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEIVTQQDSSEEPKNLFSFLKRFGLKLPVFNEDKKAPSKSVVKDEAEMAVGGDGEADNTKQRPNFVRFPNAHPIIPPPLDIELEESSGKTHNPVIIWQVYALGGFIILKWVWARWKERKERAKKASPDNDQPSDEYLSPPDDND >Manes.14G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9979740:9992321:-1 gene:Manes.14G125700.v8.1 transcript:Manes.14G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAHYNSRTHLHKLVFSSSNIGLLHMSSKFLLRPATPPPPPFPSRRHLCNTRKCLLPVYCSKSISKIRPYFLKTNGFASNATVTAPVHGGESEVKSLRKLDILRRKLEELGIELDTFTPGQHNHLLCPMCNGGDLEDKSFSLFISPDGSGASWTCFRAKCGWSGRTRPFAGSSSTYESSIQDSKVKQRREITVESLKLEPLCSELIGYFAERLISAETLQRNRVMQKSYGSQIMIAFTYWRNGMLTSCKYRDSNKKFFQERDTEKIFYGLDDIKEAEDVIIVEGEIDKLAMEEAGFRTCVSVPDGAPPKVSSKELPPEEQDTKYQYLWNCKEYLNKASRIILATDGDAPGQALAEEIARRIGRERCWRVKWPKKNKEEYCKDANEVLMFLGPTALREAVENAELYPIRGLFNFRDYFIEIDDYYNRTLGYDCGVSTGWRALDGLYKVMPGELTIVTGVPNSGKSEWIDALLCNLNSNVGWKFALCSMENRVREHARKLLEKHIQKPFFDARYGGSVERMSVEELEEGKKWLNDTFYLIRCEDDKLPSVHWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPISMTETEYVSQMLTLIKRFAQHHACHVWFVAHPRQLQNWAGAPPNLYDISGSAHFINKCDNGIVIHRNRDPEAGPVDQVQVCVRKVRNKVIGTIGDAALSYNRVTGEFMDIA >Manes.02G181350.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVYAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSGKLFLTTQHQICYILLKSI >Manes.02G181350.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSGKLFLTTQHQICYILLKSI >Manes.02G181350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKKSEALVMTSEVAEESKVQDNMPAKTDDTQGSIVYAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVCKFQENESVNVEHFNVFSQNEIFVQDAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKKSEALVMTSEVAEESKVQDNMPAKTDDTQGSIVCNRFL >Manes.02G181350.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHGRC >Manes.02G181350.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKKSEALVMTSEVAEESKVQDNMPAKTDDTQGSIVCKFQENESVNVEHFNVFSQNEIFVQDAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441670:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVYAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKKSEALVMTSEVAEESKVQDNMPAKTDDTQGSIVCNRFL >Manes.02G181350.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVCNRFL >Manes.02G181350.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVYAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHGRC >Manes.02G181350.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441670:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVYAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKKSEALVMTSEVAEESKVQDNMPAKTDDTQGSIVYAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441670:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVYAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKKSEALVMTSEVAEESKVQDNMPAKTDDTQGSIVCKFQENESVNVEHFNVFSQNEIFVQDAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVCNRFL >Manes.02G181350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441669:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVCKFQENESVNVEHFNVFSQNEIFVQDAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.02G181350.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14431907:14441670:-1 gene:Manes.02G181350.v8.1 transcript:Manes.02G181350.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSLKRKQLQALCKKHGIPANTTNLEMAERLTASLKVNGIATSEEGNEKNSKDAPKKLKKVRFRPDNETREYVPSAYRKPEGRRRRATLVNPVSKELGQSNLSENVVRKKRERGSEKIESDCRITRSRARVDNETFSVLQKSRASQEGEASKNIARDSVEARKGFRRSKRNMAKCTDSEIMKVDVVSRITRSGAQFAGNSSTVGGKGENEVFGVAKECEGAVRIKKLSEGLSRNGSRRKSVAQHNDEVESYGQEVLKEARKKSMNLNFANVNEVNASLASTERTEKVSITAAGQRRSRRKAAVVNSTAAIDEHGIGESIGKVKKSNENVLREDAKVSNELRRSTRNASRQCSVANFNKMNEIADSVGNIGQLKRKREAIKETEATLDGSLVGEPPRRSALEALKSGLVGLSAACKSVEEKATENMKNANDITISQLSEVDGLTTGESGFKTFEKRKVSKSKARGKTCIATVGVSALHSATKFEKNLASTPVLLASAATEQASSIENVSGKDAEVSNKLQSSTGNASRQILVPTFNEMNEIADSNGKVGQLKRKRDAVKGTKAYLDGSLDGEPPSGSTQVSESGFVGLSEPCISVEEKATEHIENANCINISPSEDNGLTVPEAAFKSFEKRGESKIKTRGKRSIATLDVSTLYSGTGEEIDSATSLEENLASTPLVLTSSYTEEASLVVGNSQAINANNVVLVNDIGKLVSDTKGGVDDQSCQSLEIYANLASDNSTELELAKFQEKACDVASPSGGFSSANQFALAGESCNLSGLEKGLAREQMSTDKDACAVSDGISNNSIEVDGISVQKDGVCGLEGTEQDGIKDEIKHNVVPSNREWLPAVSAEIVTKSTNNVCLESNKTVTPNSDCGDGKNGVCGLQGTEQDGIKDEIKHNVVPYNRRWLPAVSTEIVTKSTNNVCLESNKTIMPNSDCGDGKNALVRMVPYALPQFYFENLEDRNMSNTAMLKNSNDEVLRKHEAVRETEASLDGSLVREPPRRSTLEALESDSVGLSTPCKSVEGKETKHIKNPLSEENGLTMPEVAFKSFEKEGESKFKTRGKRSKPAEDVFALRSGIGEERDNASKLRKNLASTPLLLASAATDVEASKAVRKPEAINDNNVIMAHDLGKLFSNTKGGIDDQSCQSVPLEIWASLDNHNSTALKLVKTIACDVTSPYVGFSSSNQYAFKDAGERSKTSGLKKGLAREQMSTSKDACYDSDGVSGNSTEVDRIAIQEDGVSGLERTEQDDIRDGTGRSLPAVRAEIATKSIKNVYQESNETVTPNSNCEDAKSILERMVPDALPKLYFENLEEGNMSNPATTKNFNDEVLREQNFGDCMAGKGASFDSNGGKPFFSEAPSTLDVLKLPSNEFRHYEEMVIESNCGVDAVTDVPMTKYWDAVINMVGNQSMDGEPKPEVEQHDKKSKDSEDLVNGKFVEEPLNAAQCDQVVREGNVEGAWVDKLSDNGDGEEVPTKVNDNFSESLTKSIFREREYFGGENVSQFPECITGVDGMEKKEVKCKVSDSIVDTTVTINGYHMDDEAADVHDIIYENAEINASETCMMTIEMQEAPSGGILQKNETMEPGKEILDCEDELLKVNDAGAIALSEVASTDFGGLDKRPDGATVKAVELENLEEKCGSELDISDHIAFGIDVKAAEANEKVENMDGNLKGKDFNSEAEQESDNIVFSSHETASLNIQVESAIVTNWEVNLIQGNGEQNREIISDEDLLDNNSMIKDSGHVMHAEEAQVEKSEEVTEDSLVNEDSGHTVLIKDVTNIQKLAQFAEMHFSEALPSVRKEPTVDLGLDQASFINQEIIDIQNCEDEKVENFSISAQEEVWAEEATVSGKGDDLVKCNANRAPENNISYSGSEGDTENRACAEDEEVCTPTTGKIDIPKEVAVGETVLSDCPDKKSHEMARSELQTIISNCSNWEDYQPAENLLFADTCFGKPEFASGSSFTQQNAIAEASSEFKEQVKEKDDTIIGEYGTAQRSGRDLNDPIDGSSLGNRSSCPQEVAKDQLNVPHDSVNESDFMNDVDYATLNKRSCENESKIHSSEAGEARHLHKLDDEVPSVVEPKTTVDFENLVALPAFKSELLINCSTISAVCSSPYHESEALVMTSEVAEESKVQDNMPAKTDDTQGSIVYAKATAFCDAATMEQTLKSDPCNLKPDNIGNLNVEDVGEAKESTKDMPKMGEALDKSPGFTTSGVGQDITAVDGHGLQRKLQVPLKPSSHPEKEDELNVYGVRLMMTRKSNIISLIQGTPQKALDANVMKENAPSTKRQRVGEVTAPKTLPKRRPLEDLKKQ >Manes.07G002400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:508548:513642:-1 gene:Manes.07G002400.v8.1 transcript:Manes.07G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQIQKLNSTKPFSLLPPKPSLSSHGNTFFPTNYKQKKCLPKRNPSLSVRASIIGKAISAIKHHTEAVSVTATVTVKVTVGGLISSIGVTKPLDELTDAIGKSLLLELVSAELDHKTGLEKDTIKAFAHIHLGSHKPGEVKLEANFNVPSGFGEVGAILIENEHHKEVFIESIVLEGFPQGPVTVSCNSWAHSKYDNPNKRIFFTNKSYLPADTPDGLKRLREGELESLRGNGQGERKSFERIYDYDVYNDLGDPDSKEDLARPVLGGKEHPYPRRCRTGRPRTETDPLTETRSGDFYVPRDESFAEVKQASFGINTAKSLLHALVPTIETAIIDDKLGFPYFTAIDQLFNEGIELPKDVEKPWYLQTLLPRTVKAVNETGKEILRFETPELFDRDKFSWFRDEEFSRQTLAGLNPYGIELVTEWPLTSKLDPKIYGPAESAITKEIVEKEIGGFMTVEEAFEKKRLFILDYHDILLPYVAKVRELEGTTLYGSRTLFFLVNDSALMPVAIELTRPQIGDRPQWKTVFTPGHDATSCWLWRMAKAHAIAHDSGIHQLVSHWLRTHCCVEPYIIAANRQLSEMHPIYRLLNPHFRYTMEINALARSKLINAGGIIESTFSPGKYSIELSSVAYDKLWRFDTEALPADLISRGMAVEDPTAKHGLKLAIEDYPFANDGLVLWDAIKQWVTDYVNHYYPEASQVKSDSELQAWWEEVRTKGHEDKKDETWWPVLNTKQDLIQVLATIIWTTSGHHAAVNFGQYVFAGYFPNRPTIARNNMPTEDPAEEDFELFLKKPEKALLQLFPSQQQATKVMAILDVLSSHSPDEEYIGDTWEPSWVADPVIKTAYERFNARLKELEATIDERNNDLKNTNRAGAGVVPYELLKPFSESGVTGKGVPNSISI >Manes.13G055900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6465307:6467494:-1 gene:Manes.13G055900.v8.1 transcript:Manes.13G055900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSPKDPYYRENTTGRVTSASSSSSVSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQRSLENRSACDAGIDVVKKLLVFEVVSFSFFLFSSLIAQGLKLAINLLNSKDVDEAFRAHINLNVLRFGMMGSAMGSVMGCIFLVLSMVNVIEIRLGMLSCGSNSAVHAVTTLVLLVSSALLIYISTAVYAFLH >Manes.17G016004.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:6332412:6334133:1 gene:Manes.17G016004.v8.1 transcript:Manes.17G016004.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKERIENLEAAVGQLQDSISNMGQGLNEKLQQIEKAISKFSEMTLPTKEGTSSVGDQTSQVRDKQVGPRDGGKPFYSAKLEKLEFLKYSGDDPTEWFTRVDQFFEYQGTPAAEKVSLASYHLRGEANEWWQWLRRTHTEAGTTVTWDIFSEELWSRFGPTDCEDFDESLSKIQQTGPLRDYQREFERLGNRVKGWTQKALVGTFMGGLKPEIAEGIRMFKPKTLKDAISLARMKDEQLQRQKSDSKHESVLSPTRNKPSTPLKRLNWEEMQNRRTAGLCFNCNEKFTPGHRCAKPQLLLLDGRLETEEDDEGGGSEKALKLQRGLQF >Manes.10G084550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:21748576:21750385:1 gene:Manes.10G084550.v8.1 transcript:Manes.10G084550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQSILWGRRIIMKGVRWRVGTGSSIYCKEDKWLPKAFPSRAYPKIDHDPSICLVSHLIDHSAHAWDNKRILEANFSYEDIQYILSILVPYVHQEDKLIWHLTTKGGTLLSLVILLLVNFSI >Manes.15G029800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2357066:2359894:-1 gene:Manes.15G029800.v8.1 transcript:Manes.15G029800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISPFPFIKQNPFKDFDSHQLPNLPIFYLRGILILLLLIVAQSCSISCSLANNHHHNQNQATMKVHPLPKKRNNITIQYYINNTTGHQGDPSSHKKLRRLPHIFSRVLELPFRSDADVSIEENPDCFRFVAETDNIGDLRAHAIEIYPGVTKIVVRPIGYLELSSLDDLELDMWRFRLPESTRPELASAVLTDGELIVTVPKGDELEREGNDNNREFRGEMEQIGKNSLAMSLIMQVLENDSV >Manes.03G057500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5760899:5762488:-1 gene:Manes.03G057500.v8.1 transcript:Manes.03G057500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLLLKLPAPARPLSSFNNRKLSSASELLSRYNCYRTRRCFTKATYGSSLEPPNLPRLAETARISLTPDEVEEFAPKIRQVIDWFGQLQAVDLSSVDPAIRAGSLERSLHIYSVIFRLLVIEHSGYGFLN >Manes.03G057500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5759428:5762488:-1 gene:Manes.03G057500.v8.1 transcript:Manes.03G057500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLLLKLPAPARPLSSFNNRKLSSASELLSRYNCYRTRRCFTKATYGSSLEPPNLPRLAETARISLTPDEVEEFAPKIRQVIDWFGQLQAVDLSSVDPAIRAGSLERSLHIYSVIFRLLVIEHSGYGFLN >Manes.03G057500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5759428:5762488:-1 gene:Manes.03G057500.v8.1 transcript:Manes.03G057500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLLLKLPAPARPLSSFNNRKLSSASELLSRYNCYRTRRCFTKATYGSSLEPPNLPRLAETARISLTPDEVEEFAPKIRQVIDWFGQLQAVDLSSVDPAIRADTEGENLRDDIPQPYEKREAAVAAIPSYEEPYIKVPKVLNKE >Manes.03G057500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5759428:5762488:-1 gene:Manes.03G057500.v8.1 transcript:Manes.03G057500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLLLKLPAPARPLSSFNNRKLSSASELLSRYNCYRTRRCFTKATYGSSLEPPNLPRLAETARISLTPDEVEEFAPKIRQVIDWFGQLQAVDLSSVDPAIRADTEGENLRDDIPQPYEKREAAVAAIPSYEEPYIKVPKVLNKE >Manes.03G057500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5759428:5762488:-1 gene:Manes.03G057500.v8.1 transcript:Manes.03G057500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRALLLLKLPAPARPLSSFNNRKLSSASELLSRYNCYRTRRCFTKATYGSSLEPPNLPRLAETARISLTPDEVEEFAPKIRQVIDWFGQLQAVDLSSVDPAIRAGSLERSLHIYSVIFRLLVIEHSGYGFLN >Manes.03G166900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29261509:29271152:1 gene:Manes.03G166900.v8.1 transcript:Manes.03G166900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKTAVPSQLLAERGSNLVVINPGSANVRIGLAHQDTPFNIPHCIARYTNQFPKLNVKDQMLNIQVTTAQHMDREKAYDIIASLLKIPFLDEETANNSFPRKLGYWFMIKYKTIEVILQIGRIDGHNTQSGRKDLAFTWANVYEPETNSSLASESPTIESHIGEASEIHESTDAKEPTMSERKYRGFICGEEALRIPPTEPYCLHRPIRRGHLNISQHYPMQQVVEDLHTIWDWILVEKLHIPQSERNLYSAILVLPETFDNREIKEMISIVLRDLCFSSAVVHQEGLAAVFGNGLSMACIVNIGAQMTSVICVEDGVAIPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLRESYCEIKEGEVDAVAVVHSYEEGVPVGSHRTRLTALNVPPMGLFYPMLLVPDVYSPPPRSWFHDYDDMLEDTWHIEYPRRPDISDGLFPGVNVGLPTWDSYPVLLSKPNKEEKVGLAEAITSSILSTGRIDLKRKLFCSIQLIGGVALTPGLTPAVEERVLHAIPSNEAIDTVEVLQSRTNPNFVSWKGGAILGILDFGRDAWIYREDWIRNGIHVGSGRKYRDSYYLQAQAMCYINS >Manes.03G166900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29261505:29271152:1 gene:Manes.03G166900.v8.1 transcript:Manes.03G166900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKTAVPSQLLAERGSNLVVINPGSANVRIGLAHQDTPFNIPHCIARYTNQFPKLNVKDQMLNIQVTTAQHMDREKAYDIIASLLKIPFLDEETANNSFPRKIGRIDGHNTQSGRKDLAFTWANVYEPETNSSLASESPTIESHIGEASEIHESTDAKEPTMSERKYRGFICGEEALRIPPTEPYCLHRPIRRGHLNISQHYPMQQVVEDLHTIWDWILVEKLHIPQSERNLYSAILVLPETFDNREIKEMISIVLRDLCFSSAVVHQEGLAAVFGNGLSMACIVNIGAQMTSVICVEDGVAIPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLRESYCEIKEGEVDAVAVVHSYEEGVPVGSHRTRLTALNVPPMGLFYPMLLVPDVYSPPPRSWFHDYDDMLEDTWHIEYPRRPDISDGLFPGVNVGLPTWDSYPVLLSKPNKEEKVGLAEAITSSILSTGRIDLKRKLFCSIQLIGGVALTPGLTPAVEERVLHAIPSNEAIDTVEVLQSRTNPNFVSWKGGAILGILDFGRDAWIYREDWIRNGIHVGSGRKYRDSYYLQAQAMCYINS >Manes.03G166900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29261509:29271152:1 gene:Manes.03G166900.v8.1 transcript:Manes.03G166900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKTAVPSQLLAERGSNLVVINPGSANVRIGLAHQDTPFNIPHCIARYTNQFPKLNVKDQMLNIQVTTAQHMDREKAYDIIASLLKIPFLDEETANNSFPRKLGYWFMIKYKTIEVILQIGRIDGHNTQSGRKDLAFTWANVYEPETNSSLASESPTIESHIGEASEIHESTDAKEPTMSERKYRGFICGEEALRIPPTEPYCLHRPIRRGHLNISQHYPMQQVVEDLHTIWDWILVEKLHIPQSERNLYSAILVLPETFDNREIKEMISIVLRDLCFSSAVVHQEGLAAVFGNGLSMACIVNIGAQMTSVICVEDGVAIPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLRESYCEIKEGEVDAVAVVHSYEEGVPVGSHRTRLTALNVPPMGLFYPMLLVPDVYSPPPRSWFHDYDDMLEDTWHIEYPRRPDISDGLFPGVNVGLPTWDSYPVLLSKPNKEEKVGLAEAITSSILSTGRIDLKRKLFCSIQLIGGVALTPGLTPAVEERVLHAIPSNEAIDTVEVLQSRTNPNFVSWKGGAILGILDFGRDAWIYREDWIRNGIHVGSGRKYRDSYYLQAQAMCYINS >Manes.03G166900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29261509:29271152:1 gene:Manes.03G166900.v8.1 transcript:Manes.03G166900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKTAVPSQLLAERGSNLVVINPGSANVRIGLAHQDTPFNIPHCIARYTNQFPKLNVKDQMLNIQVTTAQHMDREKAYDIIASLLKIPFLDEETANNSFPRKIGRIDGHNTQSGRKDLAFTWANVYEPETNSSLASESPTIESHIGEASEIHESTDAKEPTMSERKYRGFICGEEALRIPPTEPYCLHRPIRRGHLNISQHYPMQQVVEDLHTIWDWILVEKLHIPQSERNLYSAILVLPETFDNREIKEMISIVLRDLCFSSAVVHQEGLAAVFGNGLSMACIVNIGAQMTSVICVEDGVAIPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLRESYCEIKEGEVDAVAVVHSYEEGVPVGSHRTRLTALNVPPMGLFYPMLLVPDVYSPPPRSWFHDYDDMLEDTWHIEYPRRPDISDGLFPGVNVGLPTWDSYPVLLSKPNKEEKVGLAEAITSSILSTGRIDLKRKLFCSIQLIGGVALTPGLTPAVEERVLHAIPSNEAIDTVEVLQSRTNPNFVSWKGGAILGILDFGRDAWIYREDWIRNGIHVGSGRKYRDSYYLQAQAMCYINS >Manes.03G166900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29261508:29271152:1 gene:Manes.03G166900.v8.1 transcript:Manes.03G166900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKTAVPSQLLAERGSNLVVINPGSANVRIGLAHQDTPFNIPHCIARYTNQFPKLNVKDQMLNIQVTTAQHMDREKAYDIIASLLKIPFLDEETANNSFPRKIGRIDGHNTQSGRKDLAFTWANVYEPETNSSLASESPTIESHIGEASEIHESTDAKEPTMSERKYRGFICGEEALRIPPTEPYCLHRPIRRGHLNISQHYPMQQVVEDLHTIWDWILVEKLHIPQSERNLYSAILVLPETFDNREIKEMISIVLRDLCFSSAVVHQEGLAAVFGNGLSMACIVNIGAQMTSVICVEDGVAIPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLRESYCEIKEGEVDAVAVVHSYEEGVPVGSHRTRLTALNVPPMGLFYPMLLVPDVYSPPPRSWFHDYDDMLEDTWHIEYPRRPDISDGLFPGVNVGLPTWDSYPVLLSKPNKEEKVGLAEAITSSILSTGRIDLKRKLFCSIQLIGGVALTPGLTPAVEERVLHAIPSNEAIDTVEVLQSRTNPNFVSWKGGAILGILDFGRDAWIYREDWIRNGIHVGSGRKYRDSYYLQAQAMCYINS >Manes.03G166900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29261509:29271152:1 gene:Manes.03G166900.v8.1 transcript:Manes.03G166900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKTAVPSQLLAERGSNLVVINPGSANVRIGLAHQDTPFNIPHCIARYTNQFPKLNVKDQMLNIQVTTAQHMDREKAYDIIASLLKIPFLDEETANNSFPRKLGYWFMIKYKTIEVILQIGRIDGHNTQSGRKDLAFTWANVYEPETNSSLASESPTIESHIGEASEIHESTDAKEPTMSERKYRGFICGEEALRIPPTEPYCLHRPIRRGHLNISQHYPMQQVVEDLHTIWDWILVEKLHIPQSERNLYSAILVLPETFDNREIKEMISIVLRDLCFSSAVVHQEGLAAVFGNGLSMACIVNIGAQMTSVICVEDGVAIPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDILTKPIDLLMLNRLRESYCEIKEGEVDAVAVVHSYEEGVPVGSHRTRLTALNVPPMGLFYPMLLVPDVYSPPPRSWFHDYDDMLEDTWHIEYPRRPDISDGLFPGVNVGLPTWDSYPVLLSKPNKEEKVGLAEAITSSILSTGRIDLKRKLFCSIQLIGGVALTPGLTPAVEERVLHAIPSNEAIDTVEVLQSRTNPNFVSWKGGAILGILDFGRDAWIYREDWIRNGIHVGSGRKYRDSYYLQAQAMCYINS >Manes.04G151300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34718500:34729183:1 gene:Manes.04G151300.v8.1 transcript:Manes.04G151300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFATQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDHSPTTGSVTTTDAEKARLAKTSLAFNCKNPTFRKLFPEYVEKFNQQQQSEQIISEQGEREKKSSPTMEELDNSLGDVNRVQMPKDTRRNRKQTFPTWVMLLLVSIFGIVMALPLLQL >Manes.04G151300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34719148:34729185:1 gene:Manes.04G151300.v8.1 transcript:Manes.04G151300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFATQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDHSPTTGSVTTTDAEKARLAKTSLAFNCKNPTFRKLFPEYVEKFNQQQQSEQIISEQGEREKKSSPTMEELDNSLGDVNRVQMPKDTRRNRKQTFPTWVMLLLVSIFGIVMALPLLQL >Manes.04G151300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34718439:34729183:1 gene:Manes.04G151300.v8.1 transcript:Manes.04G151300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFATQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDHSPTTGSVTTTDAEKARLAKTSLAFNCKNPTFRKLFPEYVEKFNQQQQSEQIISEQGEREKKSSPTMEELDNSLGDVNRVQMPKDTRRNRKQTFPTWVMLLLVSIFGIVMALPLLQL >Manes.04G151300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34718408:34729194:1 gene:Manes.04G151300.v8.1 transcript:Manes.04G151300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFATQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDHSPTTGSVTTTDAEKARLAKTSLAFNCKNPTFRKLFPEYVEKFNQQQQSEQIISEQGEREKKSSPTMEELDNSLGDVNRVQMPKDTRRNRKQTFPTWVMLLLVSIFGIVMALPLLQL >Manes.02G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8206789:8209930:1 gene:Manes.02G105100.v8.1 transcript:Manes.02G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAAVSFPSSKSTSLSSRTSIVAPDRIAFNKVSVYYKDVSSGGRVVSIRAQVTTEAPAKVKKESKKMEEGVVVNKFKPKNPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYVNENGETVKGVCSNFLCDLKPGSEVKITGPVGKEMLMPKDPNATIVMLATGTGIAPFRSFLWKMFFEKHEDYKFTGLAWLFLGVPTSSSLLYKEEFEKMKEKAPDNFRLDFAVSREQTNEKGEKMYIQTRMAQYAEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWLEYKRSLKKAEQWNVEVY >Manes.02G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1925137:1934902:1 gene:Manes.02G021900.v8.1 transcript:Manes.02G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQQQRKLGENVIYDSLNGNHALNADEKEAPEGIDGNIQPLVEWLNHMIPHLNLPLEASEEELRACLIDGTVLCSILSKLNPGLVEMRGNFEPGPEKVKLFLAAMDEMGFPRFVLADIEQGYMMPVLQCLGTLKAHFDYNGGKEGIRNHSRRLWNLLLANTKGTHQQSEGIDHSKGVHRSVISEPYPALLHDAGHKFSKELPLKQEYYADLVDSNIWELMKSNSLDNASTRTLFSLVYKILDDSTGRKNGHIQHMVHILKKVIQVIEQRFSIQAENLRDQNNLYGIHTEKYQSKIRVLETLASGTAVEIEVLLSQLQKIKIEKIKIEHKEKLEEQELHKLKEEKNHNDIEKLTLREELELAKKMHEEHCLLLEVRAKEKNAELEKRMEELERLLVESRNKVKELESFSESKSQRWKKKEGTYQSFINYQFGAFQELRATSESIKREVLKTKRSYLEEFQCLGGKLKGLADAAENYHSVLAENRKLYNEVQDLKGNIRVYCRIRPFLPGQCKKQTTIEYIGENGELVVSNPSKQGKDNHRLFKFNKVFAPTASQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLSSEEDWGVNYRALHDLFKISHSRKSSIRYEIGVQMVEIYNEQVRDLLSSNSSQKRLGIWSTTQPNGLAVPDAIMHCVKSSSDVLELMNIGLMNRAIGATALNERSSRSHSVLTVHVHGMDLETGAVLRGNLHLIDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVIFALAQKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGIELGAARSNKEGRDIRELMQQVACLKDTIAKKDEEIEQLQQLKSNVVGMKHGVNSLRSESSSPRRYSIEGPRKAPMTSGEKSLGPYDKVASDIDNSSDYSEKRSEAGSLQSRDDFRHQEASFPQSRSMGDLRLQKEFLSQPKLRKDIGQNPKEDIDLLGFGDVDSDERLSDISDGGLSMGTETESVEFTLFPEPAKSPGSTRPAEAAEPAKSPVATPGAAKPTEKAENTRKPSFISKLPRPPQQLMQTRLSRLSLTKNSTPRALSTPRTLGSSSAAKPPKKWH >Manes.02G021900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1925137:1934902:1 gene:Manes.02G021900.v8.1 transcript:Manes.02G021900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQQQRKLGENVIYDSLNGNHALNADEKEAPEGIDGNIQPLVEWLNHMIPHLNLPLEASEEELRACLIDGTVLCSILSKLNPGLVEMRGNFEPGPEKVKLFLAAMDEMGFPRFVLADIEQGYMMPVLQCLGTLKAHFDYNGGKEGIRNHSRRLWNLLLANTKGTHQQSEGIDHSKGVHRSVISEPYPALLHDAGHKFSKELPLKQEYYADLVDSNIWELMKSNSLDNASTRTLFSLVYKILDDSTGRKNGHIQHMVHILKKVIQVIEQRFSIQAENLRDQNNLYGIHTEKYQSKIRVLETLASGTAVEIEVLLSQLQKIKIEKIKIEHKEKLEEQELHKLKEEKNHNDIEKLTLREELELAKKMHEEHCLLLEVRAKEKNAELEKRMEELERLLVESRNKVKELESFSESKSQRWKKKEGTYQSFINYQFGAFQELRATSESIKREVLKTKRSYLEEFQCLGGKLKGLADAAENYHSVLAENRKLYNEVQDLKGNIRVYCRIRPFLPGQCKKQTTIEYIGENGELVVSNPSKQGKDNHRLFKFNKVFAPTASQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLSSEEDWGVNYRALHDLFKISHSRKSSIRYEIGVQMVEIYNEQVRDLLSSNSSQKRLGIWSTTQPNGLAVPDAIMHCVKSSSDVLELMNIGLMNRAIGATALNERSSRSHSVLTVHVHGMDLETGAVLRGNLHLIDLAGSERVDRSEATGDRLKEAQHINKSLSALGDVIFALAQKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGIELGAARSNKEGRDIRELMQQVACLKDTIAKKDEEIEQLQQLKSNVVGMKHGVNSLRSESSSPRRYSIEGPRKAPMTSGEKSLGPYDKVASDIDNSSDYSEKRSEAGSLQSRDDFRHQEASFPQSRSMGDLRLQKEFLSQPKLRKDIGQNPKEDIDLLGFGDVDSDERLSDISDGGLSMGTETESVEFTLFPEPAKSPGSTRPAEAAEPAKSPVATPGAAKPTEKAENTRNFISKLPRPPQQLMQTRLSRLSLTKNSTPRALSTPRTLGSSSAAKPPKKWH >Manes.03G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22266551:22271338:-1 gene:Manes.03G099900.v8.1 transcript:Manes.03G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSHLCFASVLTLLVTCTFQFQAHAAPAGPLVKHLSSLLKWTARSSLKMPQSDENVLQFEDGYLVETVVEGNEIGVVPYKIRVSGDGELYAVDEVNSNIVKITPPLSQYSRARLVAGSFQGYTGHVDGKPNEARFNHPRGVTMDDKGNVYVADTLNLAIRKIGDAGVTTIAGGKSSIAGYRDGPSEDAKFSTDFDVVYVHSTCSLLVVDRGNAAIRQISLNQEDCDYESTSVTASDLLMVVGAVLAGYITCMLQQGFGPSFFSRTQQYSESEFKGYPTMEKPSPITESMKEEPKWPSFGQLIIDLSKLALEALASMFLYLIPSWVRSSGSKKCLTPLKDSLRMPEDEIEPPSVQRQSVPVSLSETRQVHTPSTNDKYSDMKPPKIKSASFKDPSLSSKHRSSKRQEYAEFYGSGEMPSTGRSKSHREKTRHRQRDRSGEVVFGAAGTEPKAAEMKPVGYDNPKFDHYNMKSKYGSDNAYQF >Manes.13G089300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20648441:20651825:-1 gene:Manes.13G089300.v8.1 transcript:Manes.13G089300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQPIIFPSILAFLLIISLSPSAATLYKTSNSGTIKYKDQRVVAEHGVVAADDGRCSLIGMKVLRDGGHAIDAAVATALCLGVVSPASSGIGGGAFMLIRLANGTSKAFDMRETAPMQASQGMYAGNATKKASGALSIAVPGELAGLHLAWKQHGRLTWERLVRPAEKLARRGFKISPYLYSQMVRSEAGILADKGLGDIFTSNGKLLQPNDVCYNKKLAHTLRIIARNGVKEFYNGSIGFNLVRDIQEAGGILTTGDLQKYQVKIRKSLSSNFLGLKIIGMPPPSAGAASVMLILNILAEFGVPEGISGPLGIHRQIEALKHAFAVRMNLGDPDFVNITEVLSDMLSPTFAQQLKKTIYDNMTFDSGHYGGRWNQVNDHGTSHVSIVDKERNVVSMTNTVNAYFGAKILSKSTGIVLNNEMDDFSMPVNDTANDTHPSSANFISPGKRPLSSMSPTIVLKSLNIITTEL >Manes.13G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20648442:20651825:-1 gene:Manes.13G089300.v8.1 transcript:Manes.13G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQPIIFPSILAFLLIISLSPSAATLYKTSNSGTIKYKDQRVVAEHGVVAADDGRCSLIGMKVLRDGGHAIDAAVATALCLGVVSPASSGIGGGAFMLIRLANGTSKAFDMRETAPMQASQGMYAGNATKKASGALSIAVPGELAGLHLAWKQHGRLTWERLVRPAEKLARRGFKISPYLYSQMVRSEAGILADKGLGDIFTSNGKLLQPNDVCYNKKLAHTLRIIARNGVKEFYNGSIGFNLVRDIQEAGGILTTGDLQKYQVKIRKSLSSNFLGLKIIGMPPPSAGAASVMLILNILAEFGVPEGISGPLGIHRQIEALKHAFAVRMNLGDPDFVNITEVLSDMLSPTFAQQLKKTIYDNMTFDSGHYGGRWNQVNDHGTSHVSIVDKERNVVSMTNTVNAYFGAKILSKSTGIVLNNEMDDFSMPVNDTANDTHPSSANFISPGKRPLSSMSPTIVLKDEQLKAVVGASGGSMIIAGTTEVLLNHFGRGMDPLSSVIAPRIYHQLTPNIVYYENWTTVYNDHFEIPSDTREFLRKRGHVLQSIAGGSICQFLVQDLEGSTGNKSFGKLVGISDPRKGGRPAGF >Manes.15G123800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9850298:9861760:-1 gene:Manes.15G123800.v8.1 transcript:Manes.15G123800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEIQTQPCKFSRVGNGRNDNSRIGQKGSGEHQYPDDEEDGERKRPNLGSGSISGASGAGGDAGSANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAADAINELPSLNGSFPDTPKQLSDEKRTSDGPERGPDSADVELEDPNFNPNQNQRLSLSKSTCSSASDTSKGSGLSLSRSDIRVNRAEARGRARERAAKEKEKDKESLIAHHQNMNPISQSSTFTELLTAGISSVRNNNSNNDGATTTSPSGSEANLFHNKAVPARQWPLTPMDYFGTELLGPSSSRTTHHPSGFSGQIQLGNSIPQAMSMSIPPFHFTGEHHQEQLQHFPFLSDHLIPVATTQSGPGGDYNLNFTISSGLAGFNRGTLQSNSSSPSLLPHLQRYSSSPLDGSTNVPFFIGAVGPPPPQLEHHHQHQFPPPGSQLCYGDGSRHSDQKGKGKN >Manes.15G179000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23660332:23665873:-1 gene:Manes.15G179000.v8.1 transcript:Manes.15G179000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRYCCRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYHVFVVYAYGVAAFVLLPAPFISCRSRVLPPLSFSILCKIGLLGLIGCSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERIALKGRSSQAKVLGTIVSIAGAFIVTLYKGPPIIIASSQSISLHQSLKSSHPNWILGGIFLTAEYILVPMWYIVQTQIMKEYPAEFTVVFFYNLFVSIIAAIFTLVVEGASSAWIIHRNIALASILCSGLFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKSKEELSEDYGSSNLESPSAHKVPLLQNYKEELA >Manes.02G221020.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22524807:22527798:-1 gene:Manes.02G221020.v8.1 transcript:Manes.02G221020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEHRDTLPFDPEIERTLRRLRKQAAEASSEATEFGQQAAPMAEPQAPAAAHDGHAVQGQLIQENPANRPQEQRERTMRELATPIGDYAPLCITYPPLTVPFELKSGLIHHLPKFRGLQNENPHKHLKEFKIICSSMRPQGISEDHVKLRAFPFSLDDHAKDWLFYLPPGSITSWDDMVQAFLDKYFPPSKSIGIIREITSIRQKPSEDLYDYWERFERLCTGCPQHDMTDRALIEFFYGGLSPSERKFIDVACGGSIKDKTPRQLRELISTLAASSRQYGEEKQLQRANEVNSTIVSDLTSVMKSFAIELAQQMRAPQPSRPCGICSYVGHPTDQCPTLQEDHHQANAIGRYNNQPRYDPYSNTYNPGWRDHPNFSYGKGNSDQNHQSYQRSQVQPTPPMDTNNEVMKTLQMMQQQMGQMAIAINRLEAQGKLPSQTEENPKLNVSAITLRSGKELQDTRCEEERQVAPKLAPSETLARQPEASPTPSAAPPAQKAEQKVRFQIPPPFPKRFERTQKEKEEKEILETFRKVEINIPLLDAVKQIPRYAKFLKELCTNRRKLAEREKVSVGEVVTAVIKRELPTKCKDKGMFAISCKIGNVGIKKAMCDLGASINVMPLSIYKSLNACALKETKVVIQLADRSVVYPIGVLEDVLVQVNELVFPADFYVIDTKEDSCNTSSDILLGRPFLSTARTKIDVHDGTLTMEFEGEVIKYNVYDAMKYPHDMSPVYGLDIVDCLSQEIFDENQDDILNSDFCRDTDQVQIESQKEPKLKETVCSIQQVVCSQAQIGENSIAPLQNGVQILPAQKEEPESLSGMSFQLPTQINSSSSPLQSSLSQKEIPESTLAPPPLQSSKEQHLSESEECKHDLLEQIFLAQSDEPWYADMVNYLATDLVLGVNKLEI >Manes.12G113900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31490429:31493801:-1 gene:Manes.12G113900.v8.1 transcript:Manes.12G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTSSSSSGEEEDANWKAAIESIAATTTFGSKVFGNSSNGSTSHSTSNRDVESEQTQNSQKLKHYQLKAQKLLDDILGKTLVLVSEPIHAPDNPIADGGVRLFKHSPAGIIFDHRDEIQGPRKRPRILPGYDIDEKSKKFRRQIRSVAVDGADIIAAAREKGQKALAKLEAKEAAAKAKAKKEEERVAELKRIRGEKWLPSIAKEMQLNRNNGQKV >Manes.16G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3272488:3273644:1 gene:Manes.16G028800.v8.1 transcript:Manes.16G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSISSSRTDKKTIERNRRNQMKALCSKLNSLLPHQSSRKPMSLSDQLDQAAKYIKKLQINLEKMRERKESLMGREERPPNSSSGSNGATMRLRYPQIKVHEIGSALEVVLITGLETQFMFNEIIRILHEEGAEIVNASFSVLDDVVFHTIHSKVGDSSHSYGAARISERLKKFVPDANPF >Manes.08G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36405806:36408547:-1 gene:Manes.08G123700.v8.1 transcript:Manes.08G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTTRPHYKSNLGFTSYSFLADKQTLIKKTIVTDSLVSKSRISCYCPTMTADDHHHHSCKFLPKRIILVRHGESEGNIDTAAYVTTPDNKIQLTSLGLAQARVAGTRLLDLISNSSNSTTNWRVHFYVSPYQRTRSTLREIGRSFERERIVGVKEECRIREQDFGNFQIEERMKVIKETRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMNRLHKEPCELNLIIVSHGLTCRVFMMKWFKWTVEQFEHLNNLGNCEFRVMELGDGGEYSLAVHHTDEEMLEWGMSPEMIADQKWRIHANRGDWNDNCSWYFDAFFDHLNTDLDKESDEQTNDSSTKCV >Manes.13G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5695238:5704495:-1 gene:Manes.13G050000.v8.1 transcript:Manes.13G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQQASVPPDVVGNAFVHQYYLILHQSPELVHRFYQDVSRLGRPDEGGIMSTTTTMHAINEKILSLGYGEFRAEIITVDSQESYNGGVLVLVTGYLTRNDNIRRKFTQTFFLAPQDKGYFVLNDVFRYVDDAKHQNGNLDVANGVEAPVTPNQEIPSLLENHIAEQTSAFSEEANGEEVCNPFENGDGAIEEAAAPEPEVVGEIPDDSQMVIDPRIVAESNAKIEEVPKKSYASIVKVMKENATPFPSPAPAPSPLRSASKSQEQVTTAVTLVVASETHVSTSDTTENGNSQESEAEGTSIYVKGLPLDATPALLENEFKKFGPIRSGGIQVRSQKGFCFGFVEFETTSAVQSALQASPIMISGCRAVVEEKRSTSRGNNRGRFFGAGAGYRNEGARGRGNFGGGKAYGRGDFSNRTEFGNRNGNRGGFQNRGGDGYRRNDKMGNNGGRANRAGGLAFNAAAKTTVPRVSATA >Manes.13G026100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3484332:3489308:1 gene:Manes.13G026100.v8.1 transcript:Manes.13G026100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSAVQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTASRQNCNAAGLISFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMETNQRPPMAVTNAVSWRSEGIFYKKNEVFLDVVESVNILVNSNGQVIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDMKFHQCVRLSRFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVERHSRSRVEIMVKARSQFKERSTATNVEVELPVPVDASNPNIRTSMGSASYAPERDALMWKIKSFPGGKEYMLRAEFSLPSITAEEGAPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Manes.05G113400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21621476:21627559:-1 gene:Manes.05G113400.v8.1 transcript:Manes.05G113400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGESAPKPLQHLSGQICHICGDDVGLTVDGELFVACNECAFPICRTCYEYERREGTQVCPQCKTRFKRLKGCARVEGDEEEDDIDDLENEFNFDATKSRKDMQHPLASDAMLHYGHTYDNSDPHQVLHPLPRLPLLTDGRTVDDIPPEQHALVPSFMSNGGGGNKRIHPLPFSDHALPVQPRSMDPSKDLAAYGYGSIAWKERMENWKQKQEKLQMMKNENGGKDWDYDGDAPDLPLMDEARQPLSRKLPISSSQINPYRIIIIIRLVVLGFFFHYRVTHPVNDAYALWLISVICEIWFALSWILDQFPKWLPIDRETYLDRLSLRYEKEGQTSQLAPIDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFAQKMDYLKDKVQASFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTLWPGNNVRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYFNNSKAIRESMCFMMDPLLGKRVCYVQFPQRFDGIDRHDRYANRNIVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKAKKPPSRTCNCLPKWCCGCCSSGRKKKKKTNKPKSEMKKKTSRTFSPALEGIEDQNVAAMSEEKLEKKFGQSPVFVASTLLEDGGSLKNASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPDRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFATSILEMRWSGVGIADWWRNEQFWVIGGVSAHLFAVFQGLLKVIAGVDTNFTVTAKGGDDDEFSELYLFKWTTLLIPPTTLLIINLIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Manes.05G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21621476:21627559:-1 gene:Manes.05G113400.v8.1 transcript:Manes.05G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIRRDGESAPKPLQHLSGQICHICGDDVGLTVDGELFVACNECAFPICRTCYEYERREGTQVCPQCKTRFKRLKGCARVEGDEEEDDIDDLENEFNFDATKSRKDMQHPLASDAMLHYGHTYDNSDPHQVLHPLPRLPLLTDGRTVDDIPPEQHALVPSFMSNGGGGNKRIHPLPFSDHALPVQPRSMDPSKDLAAYGYGSIAWKERMENWKQKQEKLQMMKNENGGKDWDYDGDAPDLPLMDEARQPLSRKLPISSSQINPYRIIIIIRLVVLGFFFHYRVTHPVNDAYALWLISVICEIWFALSWILDQFPKWLPIDRETYLDRLSLRYEKEGQTSQLAPIDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFAQKMDYLKDKVQASFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTLWPGNNVRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYFNNSKAIRESMCFMMDPLLGKRVCYVQFPQRFDGIDRHDRYANRNIVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKAKKPPSRTCNCLPKWCCGCCSSGRKKKKKTNKPKSEMKKKTSRTFSPALEGIEEGIEGIEDQNVAAMSEEKLEKKFGQSPVFVASTLLEDGGSLKNASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPDRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNVASLWFLSLFICIFATSILEMRWSGVGIADWWRNEQFWVIGGVSAHLFAVFQGLLKVIAGVDTNFTVTAKGGDDDEFSELYLFKWTTLLIPPTTLLIINLIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSDGPLLEECGLDCN >Manes.12G104800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28503485:28504318:1 gene:Manes.12G104800.v8.1 transcript:Manes.12G104800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHVRSISFPSRSHPLYVSIEEHLYELKASQSSSIGHKLAALKELYVDDFLQLSFTQQTFCHEGQNQTVEEALNGSLGLLDMRECVQGLESSIRRKRVGESGFNEVDVYMVSKKRSIKVIGKYLRNFCRTTTLDENFDLVNMINLLKCAEEISLAGRTKLSGWFVVSKLWQPKNGEVEANEVENIDDALLVLKSSKGINQELDCVYRRLVKTRWVLPLKHNFVSCNFVIVYIIHIQVNPSDY >Manes.10G076601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15512542:15513506:1 gene:Manes.10G076601.v8.1 transcript:Manes.10G076601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNPIVSALRNNQTPPIIINQDSSVFSTSVILNKINYPLWSQIMEMRIGSSNKAGYLTGEVKKPPPEDPSYAIWVIENYKVKSWLIDSMDPLLMQRFILLSTAKEIWKAIAKTFYDELDETCLFELNRKSFSTTQNGGPLSIYYNELVAIFQEIDHKITSQEETVEGMVQLHSQWLGFESIFFLSGLDPEFDHVYREILRKDPKLNLESTYTYVQREYQQRQTMGGPSSGSTKTQSAKSNNFVCSYCGENGHSKQRCYEIIGYPEWNAYDGSHNRGPIKYGGQIITYS >Manes.06G051701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18190592:18191651:-1 gene:Manes.06G051701.v8.1 transcript:Manes.06G051701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLSRQSSCPSETSLASLSFWVGKSPLVQLWVCPLTVEFVVSHLMAPQWSSSDKCVRGKGSYFLLVYMLRPMVLDEIAAPIRRMVSSFLSVGPPLLWS >Manes.10G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29659601:29661614:1 gene:Manes.10G130700.v8.1 transcript:Manes.10G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSMQTQSFGSVIQMARNLSLQGAFLFIFFIIASAQNTSTTTKTFTLFNNCKGTIWPAIITKGDNNRGDGFVLKPAQTASYTASSGWSGRIWARTGCNFNNNGVGSCQTGSCGTSLNCSGPSSPPNTIAEFTLGDTDFYDVSLVDGFNLPIGILPLNGKGNCSNAGCESDLRGSCPSELSVKSNGQVVACRSACDVFNTDEYCCRGAYSEPVACVSSNYSKVFKQACPAASSYAFDKDSTSIITCSASDYAVTFCASRNQALCSYHDNKVVCNKANGSKALLPNGWWNLMVILPLLFILQIKI >Manes.01G262600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41776287:41778704:-1 gene:Manes.01G262600.v8.1 transcript:Manes.01G262600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLFYFDFQRFINDRAFLHPKTSRSSSMINPKVVSVDTRDNTEPGATGISILVVDCDTTCLTIASKMLHTFGYKVMTATRATDALSILQERQHELDLVLTEVHLPDMDKYELLETMGEISCLPIVVLSADNNENAMLGCLFKGAVFYLLKPITMNDVKSLWQFSYMKTPGEIAPSGGSKCFQEESPENGSIEASECLSFSDAWEQIAQKGKRKELEDIDKDKGDDSVKSTVPKKPKLIWTNELHDRFLQAIRILGIDSAHPKKILKHMNVPGLKKENISSHLQKYRLSLKREQDAIQKTMLRDYHLSSFNLQRENSQFLKPQFLTTTQPEISSHVQNLKNPDGTMCLASLGCANYPMRDSSNQGYNSISKSGEPVPQNEHTYSTHTSFSNVGIRINNEFGQMGNDNGEEFLMLSDEENSRFENATDGMEFLGNWSEQQQQFQEPPLSPAPLRLEQEEGEDILWAERGTSDLFDSADWSA >Manes.17G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24717790:24721928:1 gene:Manes.17G049700.v8.1 transcript:Manes.17G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLLPFLSLLFPSPSFSLNQEGLYLHQVKLSLSDPDSALSSWSDRDTTPCSWFGVSCDPVTHSVSSIDLSNSNVAGPFPSLLCRLHNLTSISFYNNFINESLPLDISSCQNLHHLDLSQNCLTGTLPHTLADLPNLRYLDLTGNNFSGDIPESFGRFQKLEVISLVYNLFDGVIPPFLGNITTLKMLNLSYNPFSPGRIPLELGNLTNLEILWLTECNLVGEIPDSLGQLKNLKDLDLAVNSLTGTIPSSLTELTSVFQIELYNNSLTGELPRGLGNLTALRLLDASMNDLNGPIPDELCRLPLESLNLYENRFEGNLPASIANSPRLYELRLFSNRLTGELPQNLGKNSPLKWLDVSSNKFTGQIPASLCAYGELEELLMIHNSFSGQIPESLSECRSLARVRLGYNRFSGEVPSGFWGLPHVYLVELANNSFSGPIAKTIATAANLSLLILDNNRFNGIIPQEIGWLENLRSFSGSENKFSGPLPVSIVNLKLLGSLNLHGNLLSGELPSGVDNWKKMNELNLANNQVSGKIPEQIGRLPVLNYLDLSNNQFSGKIPLSMQNLKLNHLNLSNNRLSGEIPPFFSKQMYKSSFLGNPGLCGGIEGLCDGRGEGKGGGYVWFLKSIFILAALVLVVGVVWFYFKYQSFKNARAIDKSKWTLMSFHKLGFSECEILASLDEDNVIGSGSSGKVYKVVLSIGEVVAVKKLWGGDKKESDETDVEKGQFQDDRFEAEIETLGKIRHKNIVKLWCCCITRDCKLLVYEYMPNGSLGDLLHSGKGGLLDWPTRYKILLDAAEGLSYLHHDCVPPIVHRDVKSSNILLDGDFGARLADFGVAKVVDSTAGKPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELITRKLPVDPELGEKDLVKWVCTTLDQKGVDHVIDPKLDSCFREEICKVLNIGILCINPLPISRPSMRRVVKMLQEVGPENIPKTAKKDGKLTPYYNEDGLDHGNVA >Manes.03G058700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5938207:5947210:-1 gene:Manes.03G058700.v8.1 transcript:Manes.03G058700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVVVRRLSRGSTTSQTVVSLSHASWRLYSTAFREERDTFGPIQVPADKLWGAQTQRSLQNFEIGGDREQMPEPIIRAFGILKKCAAKVNMDYGLDPSIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPNLRNLHTALHSKSTEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRILCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETRLPFVTAENKFEALAAHDAFVESSGALNTIATSLMKIANDIRLLGSGPRCGLGELVLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPMIASNLLHSVRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKLAHKEGSTLKEAALKLQVLTSEEFDSLVVPEKMIGPSNG >Manes.05G146201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24859262:24859933:-1 gene:Manes.05G146201.v8.1 transcript:Manes.05G146201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTTNVAHASGRSLVSINGTQFPLKFTSTNYPIWRAQVSLLFKGHNLMGCVLGTVQISPAYELPLCGSPVGDIDLVIQVLKGVGPEFRDIAAIIHARDTVISFDELQDKLLAHELYLKQIDPSYEVL >Manes.12G126800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33289016:33294475:-1 gene:Manes.12G126800.v8.1 transcript:Manes.12G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIKKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFEYCSSSMKEILERHNLHSKNLEKLEQPSLELQLVENSNRSRLSKEVAEKSHQLRQMRGEELQGLNIEQLQQLEKSLEVGLSRVIEKKGEKIMNEISELQTKGRKLMEENERLRQEVMEISNCRKQTIADSENVVYEEGQSSESVTNVCNSNGPPHDYESSDTSLKLGLPYNG >Manes.S025452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2171885:2172043:-1 gene:Manes.S025452.v8.1 transcript:Manes.S025452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.14G114800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10846915:10853729:1 gene:Manes.14G114800.v8.1 transcript:Manes.14G114800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILRVHSVDVDHPLAFEEATFSTVSTTTTQSNPIHNPKYSERRGVVHLYRNASQSSLPNPSSRSTSLFIVAVPNYLSADDFIRFCESHIDNVHELLFIRNDGMEDRYSVLIKLNDQLTADGFYGNFNGKRFSPAEAEICHILFVLNVDYTEAAEIASTPPSGFTQLPTCPICLERLDPDTSGILSTLCDHSFQCSCTSKWTYLSCQVCRLCQQQDEIPSCSVCGTSENLWVCLICGFVGCGRYKEQHAIRHWQDTQHCYSLDLRTQQIWDYVGDNYVHRLNQSKADGKLVDMNTRCMSLEGDYGTCGCSEDSGISGALFSSKVETIVDEYNRLLANQLEAQRQNYESLIADLRSKRESSINEVVDKAVTSTMQDIQTKLEKCEQEKDAVAEINRSLIKNQEIWRKKVKEVEERETAALRSRDERIIDLEEQVQ >Manes.14G114800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10846915:10853729:1 gene:Manes.14G114800.v8.1 transcript:Manes.14G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILRVHSVDVDHPLAFEEATFSTVSTTTTQSNPIHNPKYSERRGVVHLYRNASQSSLPNPSSRSTSLFIVAVPNYLSADDFIRFCESHIDNVHELLFIRNDGMEDRYSVLIKLNDQLTADGFYGNFNGKRFSPAEVCRLCQQQDEIPSCSVCGTSENLWVCLICGFVGCGRYKEQHAIRHWQDTQHCYSLDLRTQQIWDYVGDNYVHRLNQSKADGKLVDMNTRCMSLEGDYGTCGCSEDSGISGALFSSKVETIVDEYNRLLANQLEAQRQNYESLIADLRSKRESSINEVVDKAVTSTMQDIQTKLEKCEQEKDAVAEINRSLIKNQEIWRKKVKEVEERETAALRSRDERIIDLEEQIRDLTVYIEAQKTLNNMTDTSDIQGGTLLPVPSKGSSPANNKRHTKPGRRRT >Manes.14G114800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10846915:10853729:1 gene:Manes.14G114800.v8.1 transcript:Manes.14G114800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILRVHSVDVDHPLAFEEATFSTVSTTTTQSNPIHNPKYSERRGVVHLYRNASQSSLPNPSSRSTSLFIVAVPNYLSADDFIRFCESHIDNVHELLFIRNDGMEDRYSVLIKLNDQLTADGFYGNFNGKRFSPAEAEICHILFVLNVDYTEAAEIASTPPSGFTQLPTCPICLERLDPDTSGILSTLCDHSFQCSCTSKWTYLSCQVCRLCQQQDEIPSCSVCGTSENLWVCLICGFVGCGRYKEQHAIRHWQDTQHCYSLDLRTQQIWDYVGDNYVHRLNQSKADGKLVDMNTRCMSLEGDYGTCGCSEDSGISGALFSSKVETIVDEYNRLLANQLEAQRQNYESLIADLRSKRESSINEVVDKAVTSTMQDIQTKLEKCEQEKDAVAEINRSLIKNQEIWRKKVKEVEERETAALRSRDERIIDLEEQIRDLTVYIEAQKTLNNMTDTSDIQGGTLLPVPSKGSSPANNKRHTKPGRRRT >Manes.03G103000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22689082:22694239:1 gene:Manes.03G103000.v8.1 transcript:Manes.03G103000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSKGIAWVGNIYQRIETMCHEVDNIVNQDTVQYVENQVHTMGQSMKKFYSDVQGLIPPIGDPVKPEAQAVVLKANAAVSTCIKSGIHIEEEDYGYTSPIKQSLVESSDFDTVENLPINDFDTVENLPRNELRRYHVVNQSNTPTFGESLEAVESDSSPGEVDDISTNNDHSVHKLVNQSTSPASEESLWGVGSDSARVKIDEVSPSIKLTMHHLVSQSNTSTSRESLEESEFDFAPTKFDDVSANENSGFRMKEIAINDKSNVPEVSESFDSLEKESFKASLSGEFTGSNNESTCVSMSEVSPAASLHVEESQSPQKVEMVCYIPAAADDSDSLSVATSGVVLSEMDFSVASSSGSIFTEPCTLSENSFNAKVGSNGNPGNVDGHDSDNSNVLLSSMSASVVSIHREVAEAVCTCSNSVLSLESTECSNYSSNLSDDITDSEMETIDLFDKMKLEGSCVFVDDSMLYEVSRRTCKLRSYKKKLLDVFTSKKRLSKEYEQLAIWFGDPDMEVSQDTLQSQLQSSSTVILDCDYGTHHIRDSEWELL >Manes.02G098700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7682004:7683815:-1 gene:Manes.02G098700.v8.1 transcript:Manes.02G098700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDVNQQRIKANGIWLHVAEKGTGPLVLLLHGFPEFWYSWRHQITFLANHGYHVVAPDLRGYGDSDSPLTHHSYTLLHLVGDLVGILDHFGEQQAFVVGHDWGAVLAWHLSLHRPDRVKGVIAMSVPYYQRNPDAKVIESFRRIFGDGFYICQFQEAGRAEKAFARYDYLTVMKKFLLITKTDNLVAPLGMEIIDYLQTPAVLPPWITEEELQVYADKFQETGFTGPLNYYRAMDM >Manes.02G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7682004:7683815:-1 gene:Manes.02G098700.v8.1 transcript:Manes.02G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDVNQQRIKANGIWLHVAEKGTGPLVLLLHGFPEFWYSWRHQITFLANHGYHVVAPDLRGYGDSDSPLTHHSYTLLHLVGDLVGILDHFGEQQAFVVGHDWGAVLAWHLSLHRPDRVKGVIAMSVPYYQRNPDAKVIESFRRIFGDGFYICQFQEAGRAEKAFARYDYLTVMKKFLLITKTDNLVAPLGMEIIDYLQTPAVLPPWITEEELQVYADKFQETGFTGPLNYYRAMDMNWELYAAWQGSKITVPAKFIIGDKDIGFDISGTREYVLGDTFKSFVPDLQIAILDGHHFIQQEKAQQVSEEILAFINSL >Manes.05G142900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24370263:24372564:1 gene:Manes.05G142900.v8.1 transcript:Manes.05G142900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLQKCRNLSIFTSSIARNSVVSNQRLLHNGPDTIEELLDRHIVKKEKSLDDDEEELLNRQRLTSNRREALHLYRDILRATRFFMWTDSRGVLWRDVLRENARKEFEEARFEKDPEIVTRLLIGGRDAVESALEKLAEKQRQQIEKERGHGGDRR >Manes.05G142900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24370180:24372564:1 gene:Manes.05G142900.v8.1 transcript:Manes.05G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLQKCRNLSIFTSSIARNSVVSNQRLLHNGPDTIEELLDRHIVKKEKSLDDDEEELLNRQRLTSNRREALHLYRDILRATRFFMWTDSRGVLWRDVLRENARKEFEEARFEKDPEIVTRLLIGGRDAVESALEKLAEKQRQQIEKERGHGGDRR >Manes.05G158600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27113696:27116309:-1 gene:Manes.05G158600.v8.1 transcript:Manes.05G158600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYENYDPSFPDQPVVDLYLPVWARLPSFSSKPAFIWAEDGSSGATKASVLTYSQLNDSTQLISNQLHELLPRGNTVIVLSPPGLELVEVIFGCQRAGLLSVPIFPPDPSFANGNYHHLVRVLSQTKPKVAIADQDYIASVQRYLSSSSSNQKLSELLQKLIWISTCDLKGKKVGLSMDSSSYNGCRPNEVYLIQYTSGATGIPKPVLVTAGSATHNVRVARKAYDLHPNSVIVSWLPQYHDCGLQFLLLTVVSGATCVLTSPGEFITRPRLWLELISEFKATCTPVPSFTLPLVVKRGGVEQGTRPVTLWSLKNLIIINEPIYKAPVEEFIDVFKPFGLDPSCISPSYGLAENCTFVSTAWRCNGNFGSFPSYNKLLPSARLSCQHEQDDEDMDIIVVNEDTHETVPDGIEGEIWISSPSNCSGYLGHPSLTREIFQARLRNKVSRCFVRTGDRGIVGGEERFLYITGRCSDVIRLRNGQEKHPHYIETAAYNCYPDFLRGGCLAAIQISDKVALVAETQRRENNVSVLRQICEGIKKGVMDEERVEIGLIVLVKSGNLPKTTSGKIQRWATKEKLVGGKMSIIMEMLFDNNNNNCNNISLSSSSGQLLQANKSMEEAGNARTLMAEGREEISLTLSNAATRPSLLSLL >Manes.17G022600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13474466:13484540:1 gene:Manes.17G022600.v8.1 transcript:Manes.17G022600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGYLEAIVRGHRSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYCTYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDLDQVRAAMEKYPPYQSIFSRLSYGESQLLDKAFYEEEVKRLCLAFEQQFHYAVFFAYVRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >Manes.09G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7834623:7835878:1 gene:Manes.09G044100.v8.1 transcript:Manes.09G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFSSPATSFAKRLEGKVALITGGASGIGESTARLFARHGAKVVIADVQSELGHSVAEKIQSESGQPVSYVQCDVSKDSDVENAVNTAVSMHGKLDIMFNNAGITGNYDPSISSVEYEDFKKVIDVNLYGGLIGAKHAARVMIPEKKGCILFTSSFASVCYGGIPHAYTASKHAIVGITKNLAVELGKHGIRVNCISPGGIPTPLAAKTMGDVDLKTVQEAFMGISNLKGVPVDANDVAEAALYLGSEESKFVSGLNMIVDGGFCLTNSGLTQIDP >Manes.08G108000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34744694:34747888:-1 gene:Manes.08G108000.v8.1 transcript:Manes.08G108000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCTVKEKKNIFTTILHGKLLTLCASGTQLGFSYNARGSIPASSLSRTLSFLEQNNVSGSHIRVLIADHRVLRTLSNSGVSVDLYLNETIVENFTNSRSSAVLWLKTHVITFVPHVNMKSIILKGSNDLSKLSSSLKLIHSVLSSFQFNNEVKVSVEFSLSFLENLNGKQENDLLGVLGFIKKTSSFIIVEGSTDNGVELRMGDLFLKSIIQKSTLAISLLPCNDVAVVMKVKSLIDPSLEEVAEFAAKFLKSLENTQIAGQITELYAEVSSAEDFSEKELEREHEQIFPSSRRELLKTTSHDTINPPGTVPQDNPTPTIVTVPATNPVTITPTNPASTPIPIPSITPVVVPPMNPSVNPPAPITNPVTTPAPITVPGMQPITNPVTTYPTPPVNVPVTAPVTNPISPPATTNAPAIPGQSWCVAKSGVSETALQLALDYACGMGGADCSEIQQGGSCYNPNTLQNHASFAFNSYYQKNPVATSCDFGGTATVINTNPSTGSCVFPLSSSSSSTSSLPTPTPSTINPVTTPSSPGDGTSGTVTPPSVLNSSSPVPGTTTGFGSDTPPGFNTSTSKSTTMQPSIGCISLITFFIVRIVFLDM >Manes.08G108000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34744561:34747888:-1 gene:Manes.08G108000.v8.1 transcript:Manes.08G108000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCTVKEKKNIFTTILHGKVNFFSLSLHVIQSSFFCFLFPFLFFSLPHLFIFKTLSLSFSCLFKQHHRMNLIKNPIWVLFLKPRKQTPLKMAEVASNCLFLFFLQLLTLCASGTQLGFSYNARGSIPASSLSRTLSFLEQNNVSGSHIRVLIADHRVLRTLSNSGVSVDLYLNETIVENFTNSRSSAVLWLKTHVITFVPHVNMKSIILKGSNDLSKLSSSLKLIHSVLSSFQFNNEVKVSVEFSLSFLENLNGKQENDLLGVLGFIKKTSSFIIVEGSTDNGVELRMGDLFLKSIIQKSTLAISLLPCNDVAVVMKVKSLIDPSLEEVAEFAAKFLKSLENTQIAGQITELYAEVSSAEDFSEKELEREHEQIFPSSRRELLKTTSHDTINPPGTVPQDNPTPTIVTVPATNPVTITPTNPASTPIPIPSITPVVVPPMNPSVNPPAPITNPVTTPAPITVPGMQPITNPVTTYPTPPVNVPVTAPVTNPISPPATTNAPAIPGQSWCVAKSGVSETALQLALDYACGMGGADCSEIQQGGSCYNPNTLQNHASFAFNSYYQKNPVATSCDFGGTATVINTNPSTGSCVFPLSSSSSSTSSLPTPTPSTINPVTTPSSPGDGTSGTVTPPSVLNSSSPVPGTTTGFGSDTPPGFNTSTSKSTTMQPSIGCISLITFFIVRIVFLDM >Manes.08G108000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34744630:34747340:-1 gene:Manes.08G108000.v8.1 transcript:Manes.08G108000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCWLLKFMNELLFFAGTQLGFSYNARGSIPASSLSRTLSFLEQNNVSGSHIRVLIADHRVLRTLSNSGVSVDLYLNETIVENFTNSRSSAVLWLKTHVITFVPHVNMKSIILKGSNDLSKLSSSLKLIHSVLSSFQFNNEVKVSVEFSLSFLENLNGKQENDLLGVLGFIKKTSSFIIVEGSTDNGVELRMGDLFLKSIIQKSTLAISLLPCNDVAVVMKVKSLIDPSLEEVAEFAAKFLKSLENTQIAGQITELYAEVSSAEDFSEKELEREHEQIFPSSRRELLKTTSHDTINPPGTVPQDNPTPTIVTVPATNPVTITPTNPASTPIPIPSITPVVVPPMNPSVNPPAPITNPVTTPAPITVPGMQPITNPVTTYPTPPVNVPVTAPVTNPISPPATTNAPAIPGQSWCVAKSGVSETALQLALDYACGMGGADCSEIQQGGSCYNPNTLQNHASFAFNSYYQKNPVATSCDFGGTATVINTNPSTGSCVFPLSSSSSSTSSLPTPTPSTINPVTTPSSPGDGTSGTVTPPSVLNSSSPVPGTTTGFGSDTPPGFNTSTSKSTTMQPSIGCISLITFFIVRIVFLDM >Manes.12G028200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2461304:2462167:1 gene:Manes.12G028200.v8.1 transcript:Manes.12G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMSSKSAVSVHQGSNSCSATELAEIAGKFEEDFSLKLKLNEATENELESLKDEKPLHEEERQVEEEDEEFSFACLNPDGSPITADCIFQDGQIRPFFPLFNRDLLFADDSEAKSSSVSALRLPLRKVYVEERVQASSASSSEADEPEGPFCVWERKAVEASPEVCKKSNSTGFSKLRRFRELVLRSNSDGKDAFVFLNHQNHNNNPSSITESASTKTKKAKESEKGVEKKRTPVAKNGGKAPSAHERLYLSNRAMKEEHKRKSYLPYRVGFFTYANGLTGNVHPY >Manes.16G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32392491:32399067:1 gene:Manes.16G121400.v8.1 transcript:Manes.16G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKNEKDTVVTQVSIGGFNSRVKAKDLVAYLESEIGLVWRCRLKTSWTPPESYPNFDITNTAGVQRTDGHNKIEPHAFVHFASPQSATWAKNAAGRCELFLNDRPLKVSLGPENPYHMNQRRRTTTPFKLSDVHVEIGTLSRNEFLVGWSGPSSGVDFLVDPFDGTCKFCFTRDTAFSVKDTTEHAVIRCDFKLEFLVRDINEVKQYTDWNTSSLVILLQLVSAPFVWYRTADDDIEVLAPFNLLDDDDPWIRTTDFTPSGAIGRCNSYRVSIPPRHGAKLNRALNYLKERRVQLEFLKMPLKNSNEPEYGMPMSDAFFCIHHKEGIAFEVMFLVNAVMHKGIFNQHQLSDSFFDLLRSQPLDVNLSALKHICSYKRPVFDAYCCLKAVQQWLLNNPKLFKSPKQLDDIVEIRRLAITPTRAYCLPPEVELSNRVLRKYKDIADRFLRVTFMDEGLQTINANTLTYYVAPIVREITSNSFSQKTRVFKRVKGILTDGFYLCGRRYSFLAFSSNQLRDRSAWFFAENAEISVHQIRSWMGRFTNRNIAKCAARMGQCFSSTYATVEVPSGEVNLSLPDIERNGYIFSDGIGTITPDLAKEVAEKLKLDANPPCAYQIRYAGCKGVVACWPAQGDGIRLSLRPSMNKFQSNHTTLEICSWTRFQPGFLNRQIITLLSVLQVPDEIFWEMQFDMVSKLDQMLMDADVAFDVITASCAEQGNTAAIMLSAGFKPQKEPHLQGMLTCIRAAQLWGLREKARIFVSSGRWLMGCLDELGVLEQGQCFIQVSNPSLENCFLKHGSRFSEIKKNLEVIKGTVVIAKNPCLHPGDVRILEAVDAPELHHLHDCLIFPQKGDRPHTNEASGSDLDGDLYFVTWDENLIPPSKRSWTPMQYNAAEAKVLNRPVRSQDIIDFFARHMVNENLGAICNAHVVRADLSEYGALDDNCIKLAELAATAVDFPKTGMLVTMPPYLRPKMYPDFMGKEDYQSYKSTKILGRLYRQVKDACDDNVVAASSELNIVPGDIPYDRDLEVSGSSDYILEAWDQKCSYDGQLKGLMGQYKVKREEEVVTGHIWSMPKYNSRKNGELKERLKQSYSGLKKEFRQVFEKMDLDFEQLSDDEKNLLYERKASAWYQVAYHPTWIKKSLELQGPEADDSAAMLSFAWIAADYLARIKIKCRGIEGVDTSKPVNSLVKYLADRI >Manes.02G088300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6947587:6958213:-1 gene:Manes.02G088300.v8.1 transcript:Manes.02G088300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSVAAEWQLLSNRFYRKLEIYQMRWKHVDLSRNKVACAPFGGPIAIIRDDSKIVQLYSESALRKLRIFNSAGVLISETVWKHPGGRLIGISWSDDQTLICIVQDGTIYRYNINGECLEPNYSMGKECFEQNVVDCVFWGNGVVCLTEAGKLFCVSDFKDIKPYRLADPVIGMEEELPHCMAVIEPQYTVSGNVVVLLGVGDGIVTVDDDDARQVESDDLRGPVQKLAVSHNGRILARFTHDGYLVMSNIQFETIFEYQCESALPPEQMAWCGLDSVLLYLDDMLWVVGPSEYSISYIYDEPLILIPECDGVRVLSNTTMEFLQRVPDSTESIFKIGSTSPASLLVDALDHFDRRSAKADENLRLIRTYLQEAVEACVDAAGHEFDVSRQRTLLRAASYGQAFFGFGSNFQRDCIQEMCKTLRVLNAVRDPVIGIPLSIQQYKSLTAPVLIGRLINANQHLLALRLSEYLGMNQEVVIMHWACSKITASLAIPDAALLEILLDKLKICKGISYAAVAAHADKSGWRKLAAMLVEYESRSSKQIPLLLSIGEEDTALTKATESGDADLVYLVLFHIWLKRPALEFFGTIQARPIARDLFVTYARSYKHEFLKDFFLSTGQLQDVAFLLWKESWELGKNPMASKGSPLHGPRIKLIEKAQSLFSETKEHIFESKAAEEHAKLLRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACIDADEKSEALKYIPKLADPRERAEAFARIGMAKEAADAASQAKDGELLGRLKLSFAQNTAASSIFDTLRDRLSFQGVS >Manes.12G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35795122:35799516:-1 gene:Manes.12G149500.v8.1 transcript:Manes.12G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDFRSILESSGVDVWTFIDTAIVVASLDFGTELKERRDKIVEMLYSTCSSGRCRNCDFDVGRISNEHEMKENSIAVKVGGGGGSGSPSTTPRSIHGDEEDDDELDPYAGLFDDEQKKILEIKQHLEDPDQSEDSLVDLLQSLADMDITFKALKETDIGRHVNRLRKNSSNDVRRLVKQLVRKWKEIVDDWVRLNPQGEHASSALMADGDSPQQKIPQNYHHQVPDFAYSPNPQNGSSGSDKNNSEPERKPKSVPRKEAPPRPTQQSLPASASASASHNVQRQKEQQQRERDFDSDRLASARKRLQENYKEALNAKKQRTIQVMDIHEIPKPKNAYFAKNKGGGSQGRHW >Manes.02G110500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8564074:8564661:1 gene:Manes.02G110500.v8.1 transcript:Manes.02G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQKFKGQVLNFLPKAATPVTFLSPPPSPIRTNAGKGLSSPRVSLIPKEVRRRARSLSFDAREPTSPKVSCMGQVKNKKKKKKKMMMMDLTKLPDNVLCSCPGAIMRIFKRKQQSGESDVSNKKPPTEEQIPSSGPSKQLASGRGVLQKFDLKVCDVVGAADGESFCPPDEKLQDIVTSESMSMDGEIAVNPR >Manes.01G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27739492:27740918:-1 gene:Manes.01G074600.v8.1 transcript:Manes.01G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTMSTVVMMVAILLVSTSTTTTQAQNVASCGQKLIPCADYLNTTTTPPESCCGSIKDAVTNDINCLCNLYNTPGLLQSLNINITQALALTGRCGVNADLTACSKAESPSSVPPPPGVPGNDGSRMAWTGFSGVVLLLATCLLY >Manes.10G117000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28224622:28225143:1 gene:Manes.10G117000.v8.1 transcript:Manes.10G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYSKIKIFNPTSAKSRSIDLSYPLTSSELKKANSQTDTDSKPQEKITSQLQNFNYTNTKPTTTITNTATANENLQDSLSWLQDEEGYKESSKLGRNSSVSSASGFQSAVKKAFSMRRSSSVSESYCRIHDQSSGFPSPTHDDEDDGSPTRSVKKKNSRSRILRACKKLVGL >Manes.10G026300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2589498:2596196:-1 gene:Manes.10G026300.v8.1 transcript:Manes.10G026300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSILEPTLKDILEVVKPLREDWEVRSKIIEELKDVVTSVESLRGATVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKKRKQNLLGELLRALRQRGGWRGLQFVPNARVPILKFESGRQSISCDISIDNLQGQMKSNFLFWINQIDGRYRDMVLLVKEWAKAYSINNPKTGTLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNAVDDLAGVRIVAEERIKEICNANISRYVSDKYREVNRSSLSELFISFLAKIEDPFEQPENTARAVSAGNLEKISEAFQMSYNRLVLAGHNSSSLLSMLVRPQILNFIARTTVGSSNYTPVDYQSTRPVMSRAVQPQSRVQHQIQNMSSLERHPNYFTAKRQVSHPNSLSKQIQETHPNNSKLRLQHPIQNTRLEKQPINFSKQRQQIHPDTTSLEKHPNYFTRQRQESHPDTTTLVKHPDYFTKQTQGTRPYSSTKQRQESYPSYSTRQNHAVPYYGQGQHIWRPKSDG >Manes.10G026300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2589498:2596182:-1 gene:Manes.10G026300.v8.1 transcript:Manes.10G026300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSILEPTLKDILEVVKPLREDWEVRSKIIEELKDVVTSVESLRGATVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKKRKQNLLGELLRALRQRGGWRGLQFVPNARVPILKFESGRQSISCDISIDNLQGQMKSNFLFWINQIDGRYRDMVLLVKEWAKAYSINNPKTGTLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNAVDDLAGVRIVAEERIKEICNANISRYVSDKYREVNRSSLSELFISFLAKFSDIRLKAAELGICTFTGQWEDIKSNTRWLPKTYALFIEDPFEQPENTARAVSAGNLEKISEAFQMSYNRLVLAGHNSSSLLSMLVRPQILNFIARTTVGSSNYTPVDYQSTRPVMSRAVQPQSRVQHQIQNMSSLERHPNYFTAKRQVSHPNSLSKQIQETHPNNSKLRLQHPIQNTRLEKQPINFSKQRQQIHPDTTSLEKHPNYFTRQRQESHPDTTTLVKHPDYFTKQTQGTRPYSSTKQRQESYPSYSTRQNHAVPYYGQGQHIWRPKSDG >Manes.10G026300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2589498:2596130:-1 gene:Manes.10G026300.v8.1 transcript:Manes.10G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSILEPTLKDILEVVKPLREDWEVRSKIIEELKDVVTSVESLRGATVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKKRKQNLLGELLRALRQRGGWRGLQFVPNARVPILKFESGRQSISCDISIDNLQGQMKSNFLFWINQIDGRYRDMVLLVKEWAKAYSINNPKTGTLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNAVDDLAGVRIVAEERIKEICNANISRYVSDKYREVNRSSLSELFISFLAKFSDIRLKAAELGICTFTGQWEDIKSNTRWLPKTYALFIEDPFEQPENTARAVSAGNLEKISEAFQMSYNRLVLAGHNSSSLLSMLVRPQILNFIARTTVGSSNYTPVDYQSTRPVMSRAVQPQSRVQHQIQNMSSLERHPNYFTAKRQVSHPNSLSKQIQETHPNNSKLRLQHPIQNTRLEKQPINFSKQRQQIHPDTTSLEKHPNYFTRQRQESHPDTTTLVKHPDYFTKQTQGTRPYSSTKQRQESYPSYSTRQNHAVPYYGQGQHIWRPKSDG >Manes.10G026300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2589498:2596193:-1 gene:Manes.10G026300.v8.1 transcript:Manes.10G026300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSILEPTLKDILEVVKPLREDWEVRSKIIEELKDVVTSVESLRGATVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKKRKQNLLGELLRALRQRGGWRGLQFVPNARVPILKFESGRQSISCDISIDNLQGQMKSNFLFWINQIDGRYRDMVLLVKEWAKAYSINNPKTGTLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNAVDDLAGVRIVAEERIKEICNANISRYVSDKYREVNRSSLSELFISFLAKIEDPFEQPENTARAVSAGNLEKISEAFQMSYNRLVLAGHNSSSLLSMLVRPQILNFIARTTVGSSNYTPVDYQSTRPVMSRAVQPQSRVQHQIQNMSSLERHPNYFTAKRQVSHPNSLSKQIQETHPNNSKLRLQHPIQNTRLEKQPINFSKQRQQIHPDTTSLEKHPNYFTRQRQESHPDTTTLVKHPDYFTKQTQGTRPYSSTKQRQESYPSYSTRQNHAVPYYGQGQHIWRPKSDG >Manes.10G026300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2589491:2596192:-1 gene:Manes.10G026300.v8.1 transcript:Manes.10G026300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSILEPTLKDILEVVKPLREDWEVRSKIIEELKDVVTSVESLRGATVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKKRKQNLLGELLRALRQRGGWRGLQFVPNARVPILKFESGRQSISCDISIDNLQGQMKSNFLFWINQIDGRYRDMVLLVKEWAKAYSINNPKTGTLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNAVDDLAGVRIVAEERIKEICNANISRYVSDKYREVNRSSLSELFISFLAKFSDIRLKAAELGICTFTGQWEDIKSNTRWLPKTYALFIEDPFEQPENTARAVSAGNLEKISEAFQMSYNRLVLAGHNSSSLLSMLVRPQILNFIARTTVGSSNYTPVDYQSTRPVMSRAVQPQSRVQHQIQNMSSLERHPNYFTAKRQVSHPNSLSKQIQETHPNNSKLRLQHPIQNTRLEKQPINFSKQRQQIHPDTTSLEKHPNYFTRQRQESHPDTTTLVKHPDYFTKQTQGTRPYSSTKQRQESYPSYSTRQNHAVPYYGQGQHIWRPKSDG >Manes.10G026300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2589498:2596180:-1 gene:Manes.10G026300.v8.1 transcript:Manes.10G026300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSILEPTLKDILEVVKPLREDWEVRSKIIEELKDVVTSVESLRGATVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKKRKQNLLGELLRALRQRGGWRGLQFVPNARVPILKFESGRQSISCDISIDNLQGQMKSNFLFWINQIDGRYRDMVLLVKEWAKAYSINNPKTGTLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNAVDDLAGVRIVAEERIKEICNANISRYVSDKYREVNRSSLSELFISFLAKFSDIRLKAAELGICTFTGQWEDIKSNTRWLPKTYALFIEDPFEQPENTARAVSAGNLEKISEAFQMSYNRLVLAGHNSSSLLSMLVRPQILNFIARTTVGSSNYTPVDYQSTRPVMSRAVQPQSRVQHQIQNMSSLERHPNYFTAKRQVSHPNSLSKQIQETHPNNSKLRLQHPIQNTRLEKQPINFSKQRQQIHPDTTSLEKHPNYFTRQRQESHPDTTTLVKHPDYFTKQTQGTRPYSSTKQRQESYPSYSTRQNHAVPYYGQGQHIWRPKSDG >Manes.10G026300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2589498:2596181:-1 gene:Manes.10G026300.v8.1 transcript:Manes.10G026300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYSILEPTLKDILEVVKPLREDWEVRSKIIEELKDVVTSVESLRGATVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKKRKQNLLGELLRALRQRGGWRGLQFVPNARVPILKFESGRQSISCDISIDNLQGQMKSNFLFWINQIDGRYRDMVLLVKEWAKAYSINNPKTGTLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNAVDDLAGVRIVAEERIKEICNANISRYVSDKYREVNRSSLSELFISFLAKFSDIRLKAAELGICTFTGQWEDIKSNTRWLPKTYALFIEDPFEQPENTARAVSAGNLEKISEAFQMSYNRLVLAGHNSSSLLSMLVRPQILNFIARTTVGSSNYTPVDYQSTRPVMSRAVQPQSRVQHQIQNMSSLERHPNYFTAKRQVSHPNSLSKQIQETHPNNSKLRLQHPIQNTRLEKQPINFSKQRQQIHPDTTSLEKHPNYFTRQRQESHPDTTTLVKHPDYFTKQTQGTRPYSSTKQRQESYPSYSTRQNHAVPYYGQGQHIWRPKSDG >Manes.18G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8846457:8850797:1 gene:Manes.18G095600.v8.1 transcript:Manes.18G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSYSVLFCLILVMLTMPRTLVLFVASLSSDGEALLSLISEADPSAKASSSVLSTWNPSSPTPCSWQGITCSPQNRVISLSLPDTFLNLSSLPSELSALTFLQLLNLSSTNISGTIPPPFGQLTHLRLLDLSSNSLTGSIPQQLGLLSSLQFLYLNSNRLSGRIPPQLANLTSLQVFCLQDNLLNGSIPAQLGSLISLQEFRVGGNPYLTGELSPQLGLLTNLTTFGVAATGLSGVIPPTFGNLLNLQTLALYDTEVFGSIPPELGLCSELRNLYLHMNKLTGFIPPQLGKLQKLTSLLLWGNALSGPIPADISNCSSLVVLDASANDLSGEIPGDLGKLVVLEQLHLSDNSFTGAIPWQLSNCTSLTAFQIDKNQLSGAIPWQVGEMKYLQNFFVWGNLVSGTIPASFGNCTELYALDLSRNKLTGTIPDEIFGLKKLSKLLLLGNSISGGLPRSVAGCQSLVRLRLGENQLSGQIPKEIGQLQNLVFLDLYMNHFSGEIPTEIANITVLELLDVHNNLFTGEIPSQLGALVNLEQLDLSRNSFTGEIPLSFGNFSFLNKLILNNNLLSGSIPRSIKNLQKLTLLDLSYNSFSGPIPPEIGYLTSLTISLDLSSNTFTGELPETMSSLTQLQSIDLSHNLLYGKIKVLGSLTSLTLLNISYNNFSGPIPVTPFFRTLSSNSYLQNRNLCESTDGSICTSRVIRRNGLKSAKTVALISVILASVIMAVLASWILLGRNHKYIMEKTSGALASSSVDKDFSYPWTFIPFQKLNFSIDNILECLRDENIIGKGCSGVVYKAEMPNGELIAVKKLWKAKRDEEAVDSFEAEIQILERVRHRNIVKLLGYCSNKNVKLLLYNYIPNGNLQQLLQGNRNVDWETRYKIAVGSAQGLAYLHHDCVPAILHRDIKCNNILLDSKFEAYLADFGLAKLMNSPNYHQAISRVAGSYGYIAPEYGYSMNITEKSDVYSYGVVLLEILSGRGAVESQVGDGLHIVEWVKRKMGSFEPAISILDSKLQGLPDQMVQEMLQTLGIAMFCVNSSPVERPTMKEVVALLTEVKSPPEEWGKTSQPLIKQSSNQS >Manes.18G109100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10774667:10778729:-1 gene:Manes.18G109100.v8.1 transcript:Manes.18G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLIGLSGWFNKKILDPLNQILSRGTEPKQLAFSAALGITMGIFPICGVTVLLCGMAIALLGSLCHAPTVMLANFIATPIELSLVVPFLRFGEVLSGGPHFPLTSDALKKVLTGQASHEVLSSIAHALLGWLVAAPFILAILYIVFFPFFNVLVRKFSSVTSSPEKSPNPFSEVRLKVRNV >Manes.13G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6137289:6139786:1 gene:Manes.13G052400.v8.1 transcript:Manes.13G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGVFDSDPMVIAKVTEFKKELQKLFTIIVDDDDYPIHTLDQTIHTLSALKGLKMNKRSLSFKLRQTLSSCPDEFKCPLSKELMRDPVILATGQTYDRLFIQKWLKAGNRTCPLTQQVLSHTILTPNLLVREMISQWCKSRGFESPDPVHYVNEEGITEADRDHFLSLLEKMSLALPEQKHAARELRLLTKRMPSFRALFGESIDAIPQLLNPLSASKSGSGIHPDLQEDVITTLLNLSIHDNNKKLVAETPMVIPLLMEALRSGTIEIRTNAAAALFTLSALDSNKALIGKSGAIKPLIDLLEEGNPLAMKDVASAIFTLCFLHENRGRAVRDGVVKVIMKKIANNVLVDELLAILAIVSTHQRAVEEMGELGTVPCLLRIMRESICERNKENCIAILHVICFYDRTKWKAMREEENSYGTISKLARDGTSRAKRKANGILERLNRGVNLTHTA >Manes.13G052400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6137289:6139786:1 gene:Manes.13G052400.v8.1 transcript:Manes.13G052400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQWCKSRGFESPDPVHYVNEEGITEADRDHFLSLLEKMSLALPEQKHAARELRLLTKRMPSFRALFGESIDAIPQLLNPLSASKSGSGIHPDLQEDVITTLLNLSIHDNNKKLVAETPMVIPLLMEALRSGTIEIRTNAAAALFTLSALDSNKALIGKSGAIKPLIDLLEEGNPLAMKDVASAIFTLCFLHENRGRAVRDGVVKVIMKKIANNVLVDELLAILAIVSTHQRAVEEMGELGTVPCLLRIMRESICERNKENCIAILHVICFYDRTKWKAMREEENSYGTISKLARDGTSRAKRKANGILERLNRGVNLTHTA >Manes.12G111800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30930606:30931765:-1 gene:Manes.12G111800.v8.1 transcript:Manes.12G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMAREKHMEKQKAAGKGSQLESNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKSDVSACFPHLKK >Manes.14G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5571117:5574201:-1 gene:Manes.14G066800.v8.1 transcript:Manes.14G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLLAMVLLLLFHFFLASASASQSPTVIVIGAGLSGIAAAKTLHEAGIRDILILEATPRIGGRVMKTQFSGLTVEKGANWLFGGGPVANPLLDIARKLKLRTTLSNYENLTSNTYKQEGGLYPSKLVEKVDKVASARDDFCVALSKKLSSKKKDVDISILASQRMFNKVPITPLEMVIDFYHNDYEDAEPPKVTSLKHTCPRNEFVDHGEDPHFVADPRGFEVIVQYLAKQFLSSLTSDPRLKLNKVVRDISYSKNGVTIKTEDGSTYNSNYVIVSASVGVLQSDLIEFKPTLPLWKKIAISDFSMTIYTKIFLKFPYKFWPAGPGTEFFLYTHMSRGYYPLWQHLENEHPGSNILFVTVTADESRRIEQLPDEAIEEEIMVILKKLFGNNIPKPESILVPRWGLDRFYKGSYSNWPDNYSQKRKDQLADPVGPVYFTGEHTSDRYIGYATGAYSAGIDTANDLIHCIKKKSCRGYNKNRWQ >Manes.01G049315.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13551604:13553710:-1 gene:Manes.01G049315.v8.1 transcript:Manes.01G049315.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLILFFFLFFIKISHTLQLCSDSCSPIGPEVRFPFSLAGDGCRSYPGFNLSCSVTGQTILNLPHSGNFIVQFIDYQTQAITIRDPENCFARRLLDNFTLSGSPFVTQIYETFSFLNCSSNFSSSESLPPLSRMIHCLSDENFTVVAIPTSFYGYIPSMQSCTVKSEMVHVPVYWRRSPEGYSSLTWIDPYCAECEQSGGNCGFKDSSTLDIGCSNLPSKTHGLPRSAKYGIIIGVGIPGLLCIIGVGCYLCGRLRNYNSRSHHSTAEVTITFPHPSSISINGLDGPTIESYPKTLLGESRRLPKPHDNTCPICLCEYQAKETLRTIPECNHYFHAPCIDEWLKMNATCPLCRNSPELSSGVTTPSSLLSTSSSSLSSVQ >Manes.02G115600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8884525:8888073:-1 gene:Manes.02G115600.v8.1 transcript:Manes.02G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVALVAALGNMLEGWDNGTIAGSLIYIKKEFSLQTQPTMEGLIAAISLIGAAIITTFCGPVSDILGRRPMLTISAIMYIFSGLVTLWSPNVYVLLMGRVLNGFGIGLAITLVPVYISETAPSEIRGLLNTFPQFMGSGGMFLSYCMLFGVSLMDSPQWRHMLGFLSIPSVAYLALTIFYLPESPRWLVSKGRMCEAKQVLQRLRGREDVSGELALLDEGLGVGREGSLEAFIIGPASEGKAIEKGQVKLYGHEEGLAWVATPITGQSNIGIVSRRGSTASQSMPFVDPLVTLFGSVHENLPATGSMLLPSTGSISSMIGNQGRTENWDEENPRDDEDSAVENADSDDNLQSPLLSPQESSVEKDDARPANSSILSIRRNTSLFYPGDEASMGIIGGGWQLAYKYSERTGEDGRKEGGIQRMYLKQEAPVGSQRGSFLSATASVDHEEAEHVQASALVSQTAIRSRQVLGQIPDVASDIQPSQTADKIPSFGDLFEPGVKRALIVGVSLQMLQQVSGINGVLYYTPQILEQAGVAVLLSNMGLKSDSASLLTSLLASFLMLPCIVIAMRLMDVTGRRSILLYTIPVLIVSLLALVLSNVVNVGSTLHAIISAASVISYISFFVMGFGPVPNIFCSEIFPTSIRGLCITICALTYWIGDLTITYLIPVMLNSIGLSGVFTFFAFWCIISWIFVFLKVPETKGMPLEVISEFFAVGATAIPKND >Manes.11G061900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8626070:8641935:-1 gene:Manes.11G061900.v8.1 transcript:Manes.11G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNWRPNAQGGEPAIDTGDWRAQLQPDSRQRIVNKIMETLKRQLPFSGQDGLEELKIIAVRFEEKIYAAATSQSDYLRKISLKMLTLESKSQKPVPNPLPPNAPGNNNRPPDPGASHSMQPQVHNQGQSLPIPLTTNQSQTRQQLLSQTIQNNMTSTGVQSSASLTSALPSVSGLTQNSIPSVVCQNPNIQNISGVPQNTVGNAMGQGVPSNIFSNAQRQMPGRQQVVPQQQQQQSQNPQQYLYQQQLQLMKQKYQHGNLPHSLVQSHIQQQQQQQQQQQNLLQQTQLQSSQSSMQTSSVMQPSMMQSVISGIQQGQPPSVQQSTQSMLQQHTQSVLRQQQQPQQASSIHQQQTSMMQQQQQLMNQQSNVANMQQNLLIGQQNNVGDMQQQQQQRLLSQQNNVQNLQQQQQQLMAQQNNLLSMHQQQLGSQSNVSGLQHQQQQQLLGSQPGNSSMQTNQHSVQMLQQPKGPLQQEPANNLVPTQGQQSQSQSSQQQLMSQIQSQPTQLQQQLGLQQQSNQLQRDMQTRLQASTQAPASLLQQQNVIDQQKQLYQSQRPLPETSSTSLDSTAQTRHTNGGDLQEEVYQKIKSMKEMYLPELNEMYQKIATKLQQHDSLPQQPKSEQLEKLKIFKNMLERIIAFLQVSKNNILPGFKEKLGSYEKQIINFINTNRPRKPIPSLQQGQIPQPHIQQSQSQVSQVQSHENQMNPQMQQSMNLQGSVPAMQQNNMSNLQHNSLSSLSGSTSQQNMMNSLQPASNLDSSQGNAMSSLQLAAVGSLQQNPVSTTQQANINNLSSQSGVNMLQPNVPLQSHPNMLQQQHLKQQQEQQMLQNQQLKQQIHQRQMQQQLLQKQQLLQQQQQQQLHQQAKQQLPAQMQVHQMNDVNELKMRQGIGVKPGVFQQQHLSAGQRTAYPHQQMKPGSSYPISSPQLLQAASPQFSQHSPQVDQQNILSSLTKIGTPLQSANSPFVVPSPSTPLAPSPMPGDSEKPSGISSLSNAGNIGQQQIGAQVLAPSLAIGTPGISASPLLAECTGSDGAHGNALTTASGKSSVTEQPLERLIKAVKSMSPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTNCRIQARNCITQDGVNGTRKMRRYTSAMPLNVVSSASSISDSFKQLNGPETSDLESTATSTVKKPRIEANHALLEEIREINQRLIDTVVDISEEDVDPTAAGSAAGGGEGTIVKCSFSAVALSPNLKSQYASSQMSPIQPLRLFVPTNYPNCSPILLDVLPVEISKEYEDLSVKAKSRFSISLRSLSQPMSLGEIARTWDVCARAVISEHAQQSGGGSFSSKYGTWENCLSAV >Manes.12G052300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4915181:4916062:1 gene:Manes.12G052300.v8.1 transcript:Manes.12G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLFRALLLILFLYTSNAADPLGDLCNKGTKISSKISKNIDHLLSELVAGAPSPGYIATSTGNGQDKVYGLAQCRGDVSSDDCSGCLQDAAKEIRQRCPDQVDARIWYDYCFLRYNGENFIGKLDTSYAIFYFNVENVTDPEDFNKKLGSLIDKIRSQAVVPKNKGLGKGEISLSPFVTVYGLVQCTRDLAVIDCAQCLAIAVGNFPNFCNNRKGCRALYSNCYVRYELYPFFFPLDSTNSTSVSGNLVVKVYA >Manes.18G003700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:748549:757188:-1 gene:Manes.18G003700.v8.1 transcript:Manes.18G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTQLPNMDQFEAYFRRADLDGDGRISGAEAVFFLQGANLPKQVLAQIWTHADQSRSGFLSRTEFYNALKLVTVAQSKRELTPDIVKAALYGPAAAKIPPPKITLPATPVQQANPMGAASAPQMGLVAPTSSQNLGFRGPGVPNASMNQHYFPSQQSQSMRPPQATLPGTASRPTQGIISPEFSRGGSVVGHSQAMATSTASGSPQAIPSSTSCPATPNSNISTSWISGKTSAALTGPPSTPSATMQPQTQVSMFSQPTSNDSKALVVSGNGFATDSSFGGDVFSALSSTGKKETSMPAYSSSGPPALATAVPASSGGHLAVKSNSLDSLQSAFAMQPLGGQLQRAQSLPTSDQQVSASSSSSITSPSIPVGVGNSSDNSQIPWPKMKPSDVQKYTKVFMEVDTDRDGRITGEQARNLFLSWRLPREVLKQVWDLSDQDNDSMLSLREFCFALYLMERYREGCPLPASLPRNVMLDETLLSMTGQPKVAYGNVAWGQSPGFGQLPGMGTQPIAPATGLRPPVPVTGPRALPDGATASNQQNARAPILEDSFLNKSDGGEQNSTPQDGTASDKKVDEPEKVILDSKEKIEFYRTKMQDLVLYKSRCDNRLNEITERALADKREAEMLGKKYEEKYKQVAEVASKLTIEEATFRDIQERKFELNQAIINMEQGGSADGILQVRADRIQSDLDELIKALIERCKKHGLEVKSTAMIELPIGWQPGIQEGAAVWDEDWDKFEDEGFANDLTIDVKNASATQKEKVSQDGSLTPDSLSNGDGKSGNFLSGGEHALKGESAYTHSEDELARSPQGGSARRNALDSPSSDVFAKSIDPDAETHRSFDESTWGAFDTQDDTDSVWGFNPASTKDSDSDKHRDFFGTGDFGVKPIRTESPSSESIFQKKSPFFEDSVAGSPVSRFGNSPRYSEAGDSFDNFSRFDSFSMQESGFSPGEKLTRFDSISSTKDYGHSRAFSSFDDADPFGSSGPFKVSSDNQTPKSGSGSWNAF >Manes.05G120000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:16229723:16231951:-1 gene:Manes.05G120000.v8.1 transcript:Manes.05G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNSYVVIKAHIDGSPKESDFELKSESISLLLDSGSNDVILKNLYLSIDPYQLNRMKSQSSSQIYSTLAAAINPGEAINGYGVGEVLVSGNPEFQKGDLVVGLITWGEYSVIKPGGMLRKLELMGFPLSNHVGILGFSGLTAYAGFFEVCKPKKGEKVFVSAASGSVGNLVGQYAKLFGCYVVGCAGSKEKVELLKEKLGFDDAFNYKEQTDLKATLKRYFPDGIDIYFDNVGAEMQEAAIANMNLFGRVAICGVISEYTDSGRKAAPDMIDIVYRRIKIQGFLAADFLNVYADFISTTCDHLRAGKMHILEDISTGVESIPSSLIGLFRGHNVGKKMVQLSDT >Manes.12G033900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2975029:2984132:1 gene:Manes.12G033900.v8.1 transcript:Manes.12G033900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRASSRKKNTFDESKDEEMSPEDEEALSNITKQKVAAAKQYIENHYKEQMKNLQERKERRTTLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTDHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNSANGTGPNEERPEAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVSERLGTKGAAEIKAHPFFNGIEWDKLYLMEAAFIPEVKDELDTQNFEKFEESENQTQATTKSGPWRKVS >Manes.12G033900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2975029:2984132:1 gene:Manes.12G033900.v8.1 transcript:Manes.12G033900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRASSRKKNTFDESKDEEMSPEDEEALSNITKQKVAAAKQYIENHYKEQMKNLQERKERRTTLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTDHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNSANGTGPNEERPEAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVSERLGTKGAAEIKAHPFFNGIEWDKLYLMEAAFIPEVKDELDTQNFEKFEESENQTQATTKSGPWRKVS >Manes.12G033900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2975029:2984132:1 gene:Manes.12G033900.v8.1 transcript:Manes.12G033900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRASSRKKNTFDESKDEEMSPEDEEALSNITKQKVAAAKQYIENHYKEQMKNLQERKERRTTLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTDHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNSANGTGPNEERPEAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVSERLGTKGAAEIKAHPFFNGIEWDKLYLMEAAFIPEVKDELDTQNFEKFEESENQTQATTKSGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMGNLALSYSYKQCETSKFLFRGQL >Manes.12G033900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2975028:2984133:1 gene:Manes.12G033900.v8.1 transcript:Manes.12G033900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRASSRKKNTFDESKDEEMSPEDEEALSNITKQKVAAAKQYIENHYKEQMKNLQERKERRTTLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTDHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNSANGTGPNEERPEAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVSERLGTKGAAEIKAHPFFNGIEWDKLYLMEAAFIPEVKDELDTQNFEKFEESENQTQATTKSGPWRKLT >Manes.12G033900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2975029:2984132:1 gene:Manes.12G033900.v8.1 transcript:Manes.12G033900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRASSRKKNTFDESKDEEMSPEDEEALSNITKQKVAAAKQYIENHYKEQMKNLQERKERRTTLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTDHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNSANGTGPNEERPEAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVSERLGTKGAAEIKAHPFFNGIEWDKLYLMEAAFIPEVKDELDTQNFEKFEESENQTQATTKSGPWRKMLSSKDLNFVGYTYKNFEIVNDYQVPGMADLKKKNNKPKRPSVKTLFDAESETSESSDTTSEQSVQGSFLNLLPPKLEASEKKKDSL >Manes.12G033900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2975029:2984132:1 gene:Manes.12G033900.v8.1 transcript:Manes.12G033900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRASSRKKNTFDESKDEEMSPEDEEALSNITKQKVAAAKQYIENHYKEQMKNLQERKERRTTLEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTDHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNSANGTGPNEERPEAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVSERLGTKGAAEIKAHPFFNGIEWDKLYLMEAAFIPEVKDELDTQNFEKFEESENQTQATTKSGPWRKVS >Manes.12G140900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34716826:34717828:1 gene:Manes.12G140900.v8.1 transcript:Manes.12G140900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWELACRKREWESPNGIEWALSELIKHPGAMKKVQKELEEKVGMERMVEESDLESLEYLEMVIKETFRLHPVAPLLLPHEATEDAIIDGFLIPKKSHVIINAWAIGRDPKAWTDAEKFWPERFMGSKLDIRGRDFQLLPFGSGRRGCPGIQLGMTVVRLVVAQLVHCFKWELPNEMVPSDLDMTEEFSLVTSRANHLHAIPTYRLHI >Manes.02G200224.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17106234:17108932:-1 gene:Manes.02G200224.v8.1 transcript:Manes.02G200224.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEKVQQLGNFAAGASQLGLPAYEWWSEALHGVSNTGPGTFFDETVPGATSFPTVLLTTASFNQSLWKRIGRVVSSEARAMYNLGRAGLTYWSPNINVVRDPRWGRIQETPGEDPYIVGTYASTYVRGLQDIEGTENITDLNSRPLKVAACCKHYTAYDIEDWMGVDRFHFDARVTEQDMLETFQKPFEMCVKDGDASSVMCSFNRINGVPVCADKKLMQDTIRGDWDLHGYIVSDCDSIEVMVDGHKWLGDTQEDAVSQVLKAGLDLDCGDYYPKSLKKAVMQGQVSEAEVDKSLKYLYVVLMRLGYFDGSRFNSLGKKDICTHENFELAAEAAKQGIVLLKNDNETLPLNSSKYKKLAVIGPHGNATKAMIGNYAGVPCRYVSPIEGFSAFGEVKYEMGCGDVACKNDSLIFPAMEAAREADATILVVGLDLSVESEGRDRVDLLLPGYQNLLINQVSKASKGPVILVIMTAGGVDISFAKESTNIQSILWAGYPGQEGGRAIADIVFGKHNPGGRLPLTWYEAEYANLVPMTSMTLRPIANPVANLSYPGRTYKFFNGSTVYPFGYGLSYTNFNYKIAPSKTLIKIKLNKYQHCSNLNYEYNDDKPYCPAVLVDDCPCEQEFRVAITVKNVGKMDGSEVVIVYSKPPKGITETHAKQVIGFERVFVQAGGETKTKFRFNVCKSLAIVDKKGYKVLPSGLHTIMVGDANVSFFVSVQYYK >Manes.16G045500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:7740649:7742637:-1 gene:Manes.16G045500.v8.1 transcript:Manes.16G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTEIDHLASARMFLKTSLENSRAISSALDKTGQRLEKINQTLPSLMGSAAPLSTFSAIKDQIDHGIGPAMAVIKVYNAIQELERSILLYNPGSDLSAYLLLVKKLEQGLKFLASNCGLAIQWLEGILQFLEDNMVSNDFYALKVSMCLNLLQKLQGSEEQAKLSGGILCAAFCKLEIEFKQLLAENSSPAAFPFFVGKQACIASSPLPVAVLKKLQAIIGTLNASNRLEKCVSVFVQVRSMNARKSLEALDLNYLEKSVTELDDVQEIESFINQWCNHLESAVKNIYEVEYKLCNEVFQEIESDIWMGCFSKIAKQSGILSFLRFGARVAECKKDPVKLLKLLDMFECLENLRADFNRLFKGEDSAEIQNLTRDLIRKIVCGASEIFWEFPFQVKHERGSSSPSDGGVPRLVSFVTDYCNLLLGEDYKPLLIKILTIHQSWKNEEYKETLITNQIHCIIKEIGLNLDTWSKGYDDLSLSYLFMMNNHFHFCNLRGTEVGELMGESWVRGHEQYKDYFMTLYLGETWGKIVSLLSQDQEDSDSPASVSVKKRRLKEFNEALDEMYEKQSKWVVPSEKLRLKICRVAVQAFVPVYKSFLQSLSITEQQEVKYTAQGLESMLSSLFQPKLRMYSTNNKQTHWIDEVKNIEKNHFHQFTLMAV >Manes.01G046700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11837271:11841571:1 gene:Manes.01G046700.v8.1 transcript:Manes.01G046700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRQIFSSIFLVGLALFLANADPVEDRRALLDFVSNLPHSRPLNWNESSPVCNYWTGVTCSKDGSRVIAVRLPGVGFQGPIPPNTLGRLSALQVLSLRSNLISGHFPYDFSNLKNLSFLYLQYNNLSGPLPADFSVWNNLTIVNLSNNRFNGSIPRSLSNLTHLAALNLANNSLSGEIPEFNLPTLQQINLSNNNLSGSLPKSLRRFPNFVFSGNNISFESFAPPVSPVLAPTTVPNPKSKNSRGLGETALLGIIIAACVLGFVAFAFLIIVCCSRKKNGDEYSGKLKKGEMSPEKVVSRTQDANNRLVFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKEVSAGKRDFEQQMQVVGSIKHENVVELRAYYYSKDEKLMVYDYFSQGSVSSVLHGKRGGERISLDWDARMRIALGAARGIARIHVENGGKLVHGNIKSSNIFLNSRQYGCVSDLGLSTIMSPLSAPTSRAAGYRAPEVTDTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIALSCVVRMPDQRPKMPEVVKMIENVRRLDTDNRPSSENRSESSTPPPPATETES >Manes.01G046700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11837114:11841571:1 gene:Manes.01G046700.v8.1 transcript:Manes.01G046700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRQIFSSIFLVGLALFLANADPVEDRRALLDFVSNLPHSRPLNWNESSPVCNYWTGVTCSKDGSRVIAVRLPGVGFQGPIPPNTLGRLSALQVLSLRSNLISGHFPYDFSNLKNLSFLYLQYNNLSGPLPADFSVWNNLTIVNLSNNRFNGSIPRSLSNLTHLAALNLANNSLSGEIPEFNLPTLQQINLSNNNLSGSLPKSLRRFPNFVFSGNNISFESFAPPVSPVLAPTTVPNPKSKNSRGLGETALLGIIIAACVLGFVAFAFLIIVCCSRKKNGDEYSGKLKKGEMSPEKVVSRTQDANNRLVFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKEVSAGKRDFEQQMQVVGSIKHENVVELRAYYYSKDEKLMVYDYFSQGSVSSVLHGKRGGERISLDWDARMRIALGAARGIARIHVENGGKLVHGNIKSSNIFLNSRQYGCVSDLGLSTIMSPLSAPTSRAAGYRAPEVTDTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIALSCVVRMPDQRPKMPEVVKMIENVRRLDTDNRPSSENRSESSTPPPPATETES >Manes.01G046700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11837155:11841571:1 gene:Manes.01G046700.v8.1 transcript:Manes.01G046700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRQIFSSIFLVGLALFLANADPVEDRRALLDFVSNLPHSRPLNWNESSPVCNYWTGVTCSKDGSRVIAVRLPGVGFQGPIPPNTLGRLSALQVLSLRSNLISGHFPYDFSNLKNLSFLYLQYNNLSGPLPADFSVWNNLTIVNLSNNRFNGSIPRSLSNLTHLAALNLANNSLSGEIPEFNLPTLQQINLSNNNLSGSLPKSLRRFPNFVFSGNNISFESFAPPVSPVLAPTTVPNPKSKNSRGLGETALLGIIIAACVLGFVAFAFLIIVCCSRKKNGDEYSGKLKKGEMSPEKVVSRTQDANNRLVFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKEVSAGKRDFEQQMQVVGSIKHENVVELRAYYYSKDEKLMVYDYFSQGSVSSVLHGKRGGERISLDWDARMRIALGAARGIARIHVENGGKLVHGNIKSSNIFLNSRQYGCVSDLGLSTIMSPLSAPTSRAAGYRAPEVTDTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIALSCVVRMPDQRPKMPEVVKMIENVRRLDTDNRPSSENRSESSTPPPPATETES >Manes.01G046700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11837115:11841571:1 gene:Manes.01G046700.v8.1 transcript:Manes.01G046700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRQIFSSIFLVGLALFLANADPVEDRRALLDFVSNLPHSRPLNWNESSPVCNYWTGVTCSKDGSRVIAVRLPGVGFQGPIPPNTLGRLSALQVLSLRSNLISGHFPYDFSNLKNLSFLYLQYNNLSGPLPADFSVWNNLTIVNLSNNRFNGSIPRSLSNLTHLAALNLANNSLSGEIPEFNLPTLQQINLSNNNLSGSLPKSLRRFPNFVFSGNNISFESFAPPVSPVLAPTTVPNPKSKNSRGLGETALLGIIIAACVLGFVAFAFLIIVCCSRKKNGDEYSGKLKKGEMSPEKVVSRTQDANNRLVFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKEVSAGKRDFEQQMQVVGSIKHENVVELRAYYYSKDEKLMVYDYFSQGSVSSVLHGKRGGERISLDWDARMRIALGAARGIARIHVENGGKLVHGNIKSSNIFLNSRQYGCVSDLGLSTIMSPLSAPTSRAAGYRAPEVTDTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIALSCVVRMPDQRPKMPEVVKMIENVRRLDTDNRPSSENRSESSTPPPPATETES >Manes.18G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12603875:12607171:-1 gene:Manes.18G119600.v8.1 transcript:Manes.18G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMDLLNSLLNWVAPPASLVMLACSWPALCFITTCEWLYKSFYSENMEDKVVIITGASSGIGEQIAYEYAKRGANLVLIARRENRLRGIAEKSRHMGAKHVMIMAADVVKEDECKRFVNETVNFFGRVDHLVNTATLGHTFYLEEVVDTSVFPHLLDINFWGNVYPTFVALPFLHQTNGRVIINAAVESWLPLPRMSLYAAAKAALVNFYETLRFELNDEVGITIATHGWIGSEMSRGKFKVEEGAEMQWIEEREVQATGGPVEEYAKLIVAGACRGDQYVKFPSWYDVFLLYRVFAPSVLNWTFRMLLATHTGRRTSLVGTGRSMLEGSPPRKLLTGSNSLSQTSSLQMQKLE >Manes.10G130000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29598849:29600625:-1 gene:Manes.10G130000.v8.1 transcript:Manes.10G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTHRRPRVTVNGIRRMRTFHYFWCQTCRRTLRFSSIQHPHENFCPHCFNVLNHELDISRPRLVPDVAELEPFPASGLLDSLAQMLHPQTRREYLEFGRRIRWESEGANGPWITLQFLEPPRPERLPMAAPPQQLVVPEDENFVNADNLFVSRTTDIDRPGPPPAPASAIETLPTVIITQENLTKDTHCPVCKDEFEVDMEVKELPCKHLYHSDCIVPWLNLHNSCPVCRHPVSDDLEDYLQQENGQAFGFEEVMNTMNWVRNQFVTLWPVRAFSDWTQRYLEFLDNRAASSRGETSWWRAFFFL >Manes.05G105200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9919426:9920886:-1 gene:Manes.05G105200.v8.1 transcript:Manes.05G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPVTSISKAFNFNVLATSVDQRPANPIALIPIWSTKKLSLLTICASNSISGDNTHTHLFLTRCSTKPGTNTDNGRGQDSSTGSNPSLKLENPSTPVSNNSLASSVSSPSSSRGLVLDLGTIEWWDCNEIGSPVVRRFLSDEEERWYMWYHGNSSKKPEADCIGLAVSKNGIHWERGTGPVISSGDVGIVMNCSQDWWAFDTMSIRPSQVLIMSSNRVKASSAVYWLYYSGFSSEKVDFVDDDSLEFNLENPERFCLENNSHGNGKIFKSLPGLAMSQDGRHWARIEGDHHSGALFDVGTEREWDSLFIASPQVVFHGNGDLRMYYHSFDMENGHFAIGIARSRDGIKWVKLGKIMGGGKIGSFDEYGVMNPSVVKSKKGGKYVMAYEGVAADGRRSIGLAVSPDGLKDWRRFQDEEVLRPSEKDAWDSKGIGCPCLVQMDGDDDEWRLYYRGIGNGGRTGIGMAFCLGNDISSFRRWTGFRF >Manes.09G063048.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10564867:10567273:-1 gene:Manes.09G063048.v8.1 transcript:Manes.09G063048.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQKAYLFFTATLTIVLLFSHLASSVESHGDEVEDEREFDYDQRSNKGPEHWGEIKKEWATCKSGDLQSPIDMCNKRVKLTKKSGDFKRNYKACNSIIKNRGHDISLQWEGYNAGSVEINGNEYFLEQCHWHSPSEHTINGRRYDMEVHMVHVNTDPNVKYNITVVALLYKIGPPDAFLSKLLRDIKSMNDREQERSMGMINPKEIKMGGKKYYRYLGSLTVPPCTEGVIWTMNKKIRTVSEDQVKALREAVHDYAKENARPVQPLNKREIKLYGASN >Manes.01G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30929427:30936553:1 gene:Manes.01G110400.v8.1 transcript:Manes.01G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPHAYSFDSWKTPRLPPQSTRLMIIIFIIIILENYKNNSHTSHLLLFIYLFIICFLGWVGLGVVCFRGTRGKRREEMGFPVRFPGVSNELLKILDANMDEAPARRRAREAFKDIQLGIDHILFKSPADGMKIKESYEVNSRGLEIFTKCWLPETSSLRAVVCYCHGYGDTCTFFFEGIARKLASSGYGVFAMDYPGFGLSEGLHCYIPSFDRLVDDVIEHYTKVKEDPAISSLPSFLFGQSMGGAVALKVHLKQPNAWNGAILVAPMCKIADDMVPPLLVKKFLIGVANILPTKKLVPQKDLAEAAFRDLKKRELTSYNVIAYKDKPRLKTALEMLKTTQEIEQRLEEVSVPLLILHGESDIVTDPSVSKALYEKARSSDKKLKLYKDAFHSLLEGEPDDVIIQVFNDIISWLDEHTKETNAC >Manes.01G110400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30929427:30936553:1 gene:Manes.01G110400.v8.1 transcript:Manes.01G110400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPHAYSFDSWKTPRLPPQSTRLMIIIFIIIILENYKNNSHTSHLLLFIYLFIICFLGWVGLGVVCFRGTRGKRREEMGFPVRFPGVSNELLKILDANMDEAPARRRAREAFKDIQLGIDHILFKSPADGMKIKESYEVNSRGLEIFTKCWLPETSSLRAVVCYCHGYGDTCTFFFEGIARKLASSGYGVFAMDYPGFGLSEGLHCYIPSFDRLVDDVIEHYTKVKEDPAISSLPSFLFGQSMGGAVALKVHLKQPNAWNGAILVAPMCKIADDMVPPLLVKKFLIGVANILPTKKLVPQKDLAEAAFRDLKKRELDKPRLKTALEMLKTTQEIEQRLEEVSVPLLILHGESDIVTDPSVSKALYEKARSSDKKLKLYKDAFHSLLEGEPDDVIIQVFNDIISWLDEHTKETNAC >Manes.04G023000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2734214:2735479:1 gene:Manes.04G023000.v8.1 transcript:Manes.04G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQSFFSFSLLVIFLHCTITLAQSPAAAPAQGPAAASPPPPVIAQPPATSPAQPSSVPAPTNVTKILEKDGHFTVFIRLLKSTQEENHLLTVLNNSNNGITIFAPSDSAFSSLKSGTLNSLTDEEKSELVKFHVIPTFLSTSQFQTVSNPVGTEAGSGGRVSLNFTTYPNSVNITTGLTNTSISGTVYTDNQLAIYRVDKVLLPMDIFTPKPPAPAPGPAPEKKPKKATPVADTPVVSTTVNTSGAVSCMHYHVVLLGVGLVAAIFSL >Manes.10G003157.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:427717:428106:1 gene:Manes.10G003157.v8.1 transcript:Manes.10G003157.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSCHPNAFYFSSTFTSGRTKPFLPLTGLSLKLREKANHRLHGCAKLETGSTQMGKELEAEIIELGKLRERCKERRGMVELLECLEREAIMGDDEGRDPIDYNRRAQIFDKSSRVFQALKERSTPSQ >Manes.05G164200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27668870:27676166:-1 gene:Manes.05G164200.v8.1 transcript:Manes.05G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWLSKIFKGFSHNASDRHYHGNYGEDPNYYAPSTSGAVWSERENEDIDRAIALSLSEERQNGKFVTDNETQLEEDEQLARAIQESLNVESPPQYGYGYGNGNAYQGNLYQPVPVQFPMGYRICAGCNTEIGHGRFLNCLNAFWHPECFRCHACNLPISDYEFSMSGNYRYHKSCYKERYHPKCDVCKYFIPTNPAGLIEYRAHPFWVQKYCPSHEHDGTPRCCSCERMEPRDTGYVALSDGRKLCLECLDSAIMDTNQCQPIYLDIREFYESLNMRVEQHVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEEQTVSRILRRPRFGARNWAMDMVTEPYKLTRRCEVTAILVLFGLPRLLTGSILAHEMMHAWMRLKGFQHLSQDVEEGICQVLAYMWLETQLQTGSGSNVASSSALRASKQGVRSPFERKLGEFFKHQIESDTSPVYGDGFRIGHRAVQKYGLQMLLSCSILIQKLLSLALSIGANALGLLIRNWVDVSSFRPILAEKILHC >Manes.05G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6017666:6022735:1 gene:Manes.05G073000.v8.1 transcript:Manes.05G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILREITKPSSSSSSSSSSASNSTTTSTSITETVNGSHQFKITGYSLAKGLGIGKYIASDTFNVGGYSWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTVLESSDYLKEDCLQVHCSVGVVKSHTEGPKTYSIAVPSSNIGQHFGQLLESGKGTDVKFEVDGEVFAAHKLVLAARSPVFRAQLFGPMKDQNTQLIKVEDMEAPVFKALLHFIYWDSLPDLEELTGLNTKWASTLMSQHLLAAADRYGLDRLRLLCEANLCEDVAINTVATTLALAEQHHCFQLKAVCLKFVAMPENLRAVMQTDGFEYLKESCPSILTELLEYVARVSEHSVILCRHGNEAILDGSDLNGRRVKQRL >Manes.09G011664.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2447535:2450036:-1 gene:Manes.09G011664.v8.1 transcript:Manes.09G011664.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSPMAKKTCWFGWFKRLFVSQEKTRTEKKSRRWRWILGKLKLKQRPVLPSPQWIIDEAAEKQRKFAVTVALATAAAAEAAVAAAQVAAEVVKLTGSSQSRLHFAMKDENLAAIKIQSAFRGYLARKALRALKGVVKLQAIVRGQVVRRQVGTKLKRLPSNTKMRSKVRVTTIDICKEGENKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDKQRHWLEELANKDALARVRIENLKASHIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRSQRNITGDDSSALNSSVFPTYMAATESAKAKARSMSTPRQRIGIQDHFLIDSLSYKSGLSLWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.15G137500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11113387:11117628:1 gene:Manes.15G137500.v8.1 transcript:Manes.15G137500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTLSKLESETESEINSQVASNVSLQEPSSDPSKDSSTPSSSHTGLPKLQQGPTSVSLDLSLTFNSNDIELKGTGETSSEVAAPASAATVPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRMGIFSDRYTSLASLPLHGSTFRSLGIKAHSAVHQNMLPSQKPPDARGGARFEQGYYGTPVFMEDDDVGLHWPGSFRQVDESGGNFNLVLGQSPNMNIVGMAPQPRTDSSAPDLTLKL >Manes.11G161300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32672582:32675273:1 gene:Manes.11G161300.v8.1 transcript:Manes.11G161300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSESDNIAHGSNGNKSNSSHPPQLQDQDSMKETSSLSSPLAAAGQNNSLLSLTLNEIQHKSGKSFGSMNMDEFLANLWSVDENQDSSQPNQHQPTKDVSNATLNQPSSLARQGSFSIPAPLCKKTVDEVWFEIQKDRPHNQNPTNIGPHEPPQRQQTLGEMTLEDFLVKAGIVQEAPLGSRPTSQQKMVTTPSVQTISSCLDATFGMGQVIGIGFSAQQAIGNNFSAANGFTPYQMFPQAKGYSIAEAPNNAKNDQAHLDLNAQQNKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELELELNQLKEENAKLKQLVLCRHGQ >Manes.11G161300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32672582:32675273:1 gene:Manes.11G161300.v8.1 transcript:Manes.11G161300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSESDNIAHGSNGNKSNSSHPPQLQDQDSMKETSSLSSPLAAAGQNNSLLSLTLNEIQHKSGKSFGSMNMDEFLANLWSVDENQDSSQPNQHQPTKDVSNATLNQPSSLARQGSFSIPAPLCKKTVDEVWFEIQKDRPHNQNPTNIGPHEPPQRQQTLGEMTLEDFLVKAGIVQEAPLGSRPTSQQKMVTTPSVQTISSCLDATFGMGQVIGIGFSAQQAIGNNFSAANGFTPYQMFPQAKGYSIAEAPNNAKNDQAHLDLNAQQNKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELELELNQLKEENAKLKQLVEEIEQNRKEEVMRRKPTMQPQKKSEKLKSIRRTVSLPW >Manes.14G095400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7933514:7934367:1 gene:Manes.14G095400.v8.1 transcript:Manes.14G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMFLAFTLVCLCFPLTIIDAQAPAASPVTLPTTPPPTTTPIAPTQSPVSAATPPAATTPISPPTPKVAPAISPTVPPPQVPPPQTPPIATPVQPPALPPPPQASPAPAQAPAAPAPSPATPPPTPTPAPATPPAPVPAPPPLAPTPELVPSPAPAPTKHKKRRRHRHKKHHHPPAPAPTPPSPPAPPTTLTDSDDTAPAPSPNLNGGNAMYQLGERSGIWGRTLATAFLLLVGYNF >Manes.02G021700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1911646:1919363:1 gene:Manes.02G021700.v8.1 transcript:Manes.02G021700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGEKGRPYKKLKSSKDEHRSIAMEDEDVYFGEAEDDYRDDGDKEGKKRDFSKLELKLDHASRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTKLPQEMIDFIHASTANYGKVKLVLKKNRYLVESPFPEVLKRLLKDEVISRARITPEAHGNDGFTISKTAGEIATGHDGLLNEAELAAAAEEKETHAFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFQLWSTIRDEHICRFTSDSKERFQGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHAERTKILQAFKTSKEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDSGADLSYYHLDEQLSLLAKVLNAGDDAVGLEQLEEDADDIALHKARRSMGSMSAMSGAKGMVYMEYSTGRNKLGQGQIKSKPKDPSKRHHLFKRRYG >Manes.02G021700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1911763:1920526:1 gene:Manes.02G021700.v8.1 transcript:Manes.02G021700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGEKGRPYKKLKSSKDEHRSIAMEDEDVYFGEAEDDYRDDGDKEGKKRDFSKLELKLDHASRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTKLPQEMIDFIHASTANYGKVKLVLKKNRYLVESPFPEVLKRLLKDEVISRARITPEAHGNDGFTISKTAGEIATGHDGLLNEAELAAAAEEKETHAFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFQLWSTIRDEHICRFTSDSKERFQGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHAERTKILQAFKTSKEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDSGADLSYYHLDEQLSLLAKVLNAGDDAVGLEQLEEDADDIALHKARRSMGSMSAMSGAKGMVYMEYSTGRNKLGQGQIKSKPKDPSKRHHLFKRRYG >Manes.02G021700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1911763:1919772:1 gene:Manes.02G021700.v8.1 transcript:Manes.02G021700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGEKGRPYKKLKSSKDEHRSIAMEDEDVYFGEAEDDYRDDGDKEGKKRDFSKLELKLDHASRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLNKLSKTKLPQEMIDFIHASTANYGKVKLVLKKNRYLVESPFPEVLKRLLKDEVISRARITPEAHGNDGFTISKTAGEIATGHDGLLNEAELAAAAEEKETHAFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFQLWSTIRDEHICRFTSDSKERFQGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISLTKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHAERTKILQAFKTSKEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDSGADLSYYHLDEQLSLLAKVLNAGDDAVGLEQLEEDADDIALHKARRSMGSMSAMSGAKGMVYMEYSTGRNKLGQGQIKSKPKDPSKRHHLFKRRYG >Manes.17G116800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32398989:32404443:1 gene:Manes.17G116800.v8.1 transcript:Manes.17G116800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASINGWCLASLSPSQSSRRRPTFGPSVFATLNSSPVSSSSSSSSSSIPSLIRNQPVFAAPAPIINPTWREEMGKNSYDEAIEALKKLLNEKGELKASAAARVDQITAELQTASSDGKLFNPVERMKQGFVHFKKEKYEKNPALYGELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQVKYSGIGSAVEYAVLHLKVENIVVIGHSACGGIKGLMTFPFDGNKSTDFIEDWVSIGLPAKAKVLAEHGGADLPELCTHCEKEAVNVSLGNLLTYPFVRDGLVKKSLALKGGYYDFVTGSFELWGLEFGLSPPLSVKDVATILHWKL >Manes.05G012904.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:772858:773773:-1 gene:Manes.05G012904.v8.1 transcript:Manes.05G012904.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGGNSRLLDDFKLRMQSKFEMLDLGIMSYFFGLKIEQGADSIFVSQRKNALKMLKKFNLDYYKSVVVSLVVNEKLSKDDGAEPADASLYRSLVGSLIYLTASRPDLMYSVSLLSRFMHSSSQLHFVLRYLKGTAEFGLALQAMFFFLGSGPFSWNSRKQEMPLQILVQHGRTRLKFYFLREVENNSEIKLLHCKSNEQLADILIKVLSKNKFAELRKKFGISRKNSKEECRLMRLLLDT >Manes.12G085501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:10634633:10635138:-1 gene:Manes.12G085501.v8.1 transcript:Manes.12G085501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEGNEGIRRAPKGHFVVYVGDELSRHIVPLSYLKNSTFQQLMQKAADEYGFNSHTSIVLPCDESFFLRVKYFVSTYAF >Manes.13G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3361842:3369185:-1 gene:Manes.13G024700.v8.1 transcript:Manes.13G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLCNAVAQRHFLSSLHCKNRRSLSIRPAANTKLRFSTSDFSRSSGLRRLCPLKSSSINGFSIANNGHVEQFEGEQREENFELHERLRKFFEFLPSILPGGNWWSFSEDVEVKYLAKPVTLWRALGRMWQLVARDRWVIFAAFSALMVAAVSEISIPHFLTASIFSAQNTQIAVFHRNVRLLVLLCVIAGICSGLRGCFFGIANMILVKRMREALYSALLLQDISFFDNETVGDLTSRLGSDCQQVSRVIGNDLNLILRNAVQGSGALIYLLILSWPLGLCTLIICSTLAAVMLIYGMYQKKAAKLTQEFTASANQVAQETFSLMRTVRIYGTETLELERYNLWLEKLASISLRQSAAYGFWNLSFNTLYHSTQVIAVLVGGTFILGGHITAEKLTKFILYSEWLIYSTWWVGDNLSSLMQSIGASEKVFQLMDLLPSDQFTSKGLKLQRLVGQIEFVNISFYYPSRAAIPVLQHVNLSVHPGQMVAIVGLSGSGKSTLVNLLLRLYEPTNGQILIDGFPLRELDIKWLRERIGYVGQEPKLFRMDISSNIRYGCTRDISQKDVEWAAKQAYAHDFITNLPNGYETLVDDDLLSGGQKQRIAIARAILRDPAILILDEATSALDAESEHNIKGVLHAIRSDLTTKRTVIVIAHRLSTIQAADRIVVMNGGQIIEMGSHSELLHHDGLYARLTRRQADAVA >Manes.05G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6958238:6961247:-1 gene:Manes.05G084200.v8.1 transcript:Manes.05G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEGDLNLKATELRLGLPGSDEPKKQSVTPSVRSNKRASPEISEESRIRSNSSVSIDENGDRDIGAPPAKAQVVGWPPIRSYRKNCFQTKQNEVEVACRYVKVSVDGAPYLRKIDLKVYKSYPELLKALENMFKLTIGEYSEREGYNGSNYSPTYEDKDGDWMLVGDVPWEMFICSCKRLRIMKGSEAKGLSCL >Manes.01G077000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28033546:28037532:-1 gene:Manes.01G077000.v8.1 transcript:Manes.01G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFRPRGCRVAVIGAGAAGLVAARELRREGHQVVVFEKDDQIGGTWVYTPHVETDLLGLDPFRPIIHSSLYESLRTNLPRELMGFMDFPFVARGQGETRDARRFPGHREVLLYLQDFARKFRIEELIRFHSEVVSVELIDDFKWKVKSHKKRVEGDGDKYGHVGFLDEIFDAVVVCNGHHTEPRIAEIPGVNLWPGRQIHSHNYRTPEPFRDQMVIIIGNAWSAADLSTEIAGVAKEVHVASRSVQDGTYEKKPGFDNIYLHSMIESSHEDGSVVFRDGSTILADVILHCTGYKYHFPFLKTHGIVTVNDNRVGPLYKHVFPPVLAPWLSFVGIPWKIAPFLTFEFQSKWIAGVLSGRILLPSQEEMMEDVKDFYSTLESSGIPKRYTHNLFNYQAEYSNWLAAQCQCPGFEEWRNQMYYFAMKNITVRPKTYRDKWEDDHLIFQAYEDFKKYA >Manes.03G206101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32147549:32148707:1 gene:Manes.03G206101.v8.1 transcript:Manes.03G206101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHHTPLQEDLSNLKTKESRLMKPQKIAKKSLTGVFSLVSEKCFLKKSWRRFQRSQAPILSAKWRNYSIFIRSISSFWLNSKILTTADEPGDVSTELCGFHKPDGSVEVDIAANFLKLARIRVLNCANADQQSKKFIDALVKVVLDECYALPEEIDCYSCTFVFQSSCSVSLLLALELYSICDILLAQLNSDSGPSPT >Manes.16G125000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32668843:32672165:-1 gene:Manes.16G125000.v8.1 transcript:Manes.16G125000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVRRASHAGSWYTDNPKKLDEELDRWIKAAALPKCPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNISRIFLLGPSHHYYTPKCALSKATVYKTPIGDLPIDLEVIEELKATGKFELMDLRVDEAEHSMEMHLPYLAKVFEGHQVKVVPILVGALNADNEAMYGQLLAKYVDDPSNFFSVSSDFCHWGSRFNFMHYDKKYGAIHKSIEALDKMGMDVIETGDPDAFKQYLQEYDNTICGRHPISVFLHMLRNCSTKIKIKFLRYEQSSQCKTTRDSSVSYASAAAKVDA >Manes.16G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24668120:24669459:-1 gene:Manes.16G063300.v8.1 transcript:Manes.16G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISPSMADLFQSSVFSSRLAGAVCVAKLVLFCAGIISTVIFFKVAIIPFAFDLVLSALPRIWISLRSWDTKSSSGKTQFLSADKSPGSLWHDVDVDEDDEISLNFVKTINPSVKLCSSSDSGLADSGKKLQVGEETTTTAPTTTTLEPPEEAEEDTMEETWKLIMEGQGKELSRQLKKSETWDTPPRAAVDTAAAADHDEIDPVAWARRELRKSETFSDRASLRREKSMSQDELNRRVEAFITKFNNEMRLQRQESYQQFMKMVNGGV >Manes.06G096134.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23057160:23058249:1 gene:Manes.06G096134.v8.1 transcript:Manes.06G096134.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEYTFQILSSTISPCWVSFWGNPSKPESHLKELKESLECKEQTPSTHCSNWATAPLDEELPQCLKRSSLQIQMNKGFLTNQVQSLSHLDVSYLSLTSTTQLKGSSLTHFQSRNPSLLSALQSTS >Manes.11G069600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9851510:9854297:-1 gene:Manes.11G069600.v8.1 transcript:Manes.11G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLVSQISFSLEILLISIQLVVAAPRISKPNCADHCGDISIPYPFGMGDDCYMEDWFEIECDNTVNPPRPLLSRVNLEVFLIDLDLSAIEVESPIISSNCSGLENGLLVNLTGSPFFLSDENVFTATGCNTRALLTHNSPQLVGCDSTCLGQKDDVDWREMLPKLRKKASDRDWLTDYCNGYNCCQTVIPSLVMVFNPTLQDKDANRNKSGCKLAFLAGGSGLKSWRKKDPNVQFPMLIDWKINSTRRKIVDPEAVNCSNYNDHSFDEPAFRCSCNPGFEGNPYLGCTDIDECKDPNYDQCLRKILKCVNTHGSYRCVPQTKWIIIFGICAVVIVLTVLIIGARRLNKNIQKRKNIKRREKFFKRMLQQHESSSQDNIEKAKIFSLKELEKATDHFNVNRIIGQGGQGTVYKGMLVDGRIVAVKKSNVVDESKFEHFINEVVILSQINHRNVVKLLGCCLEAEFPLLIYEFISNGTLFQYLHEQVDEEFPLSWATRLQIATEISGALSYLHSAAAIPIFHRDIKSKNILLDEKYKAKVSDFGISRSVAIGQTHLTTKVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQEPICSTKSEDVVSLATSFIQMMESNRLFEIIDPRIVEQYSIKEEVMVVANIAKRCLNLSGKKRPTMKQVTMELEAIRFSRENACIEENWEENELDLQDFAAASTSILVSTTAW >Manes.11G069600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9851510:9854297:-1 gene:Manes.11G069600.v8.1 transcript:Manes.11G069600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLVSQISFSLEILLISIQLVVAAPRISKPNCADHCGDISIPYPFGMGDDCYMEDWFEIECDNTVNPPRPLLSRVNLEVFLIDLDLSAIEVESPIISSNCSGLENGLLVNLTGSPFFLSDENVFTATGCNTRALLTHNSPQLVGCDSTCLGQKDDVDWREMLPKLRKKASDRDWLTDYCNGYNCCQTVIPSLVMVFNPTLQDKDANRNKSGCKLAFLAGGSGLKSWRKKDPNVQFPMLIDWKINSTRRKIVDPEAVNCSNYNDHSFDEPAFRCSCNPGFEGNPYLGCTGICAVVIVLTVLIIGARRLNKNIQKRKNIKRREKFFKRMLQQHESSSQDNIEKAKIFSLKELEKATDHFNVNRIIGQGGQGTVYKGMLVDGRIVAVKKSNVVDESKFEHFINEVVILSQINHRNVVKLLGCCLEAEFPLLIYEFISNGTLFQYLHEQVDEEFPLSWATRLQIATEISGALSYLHSAAAIPIFHRDIKSKNILLDEKYKAKVSDFGISRSVAIGQTHLTTKVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQEPICSTKSEDVVSLATSFIQMMESNRLFEIIDPRIVEQYSIKEEVMVVANIAKRCLNLSGKKRPTMKQVTMELEAIRFSRENACIEENWEENELDLQDFAAASTSILVSTTAW >Manes.01G213100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38347529:38352251:1 gene:Manes.01G213100.v8.1 transcript:Manes.01G213100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQQFEEQQTQSLIDQRIKEHLGQTAAFQQVGAAYNQHLLVQRPRLPVLPTPVMPVSGNPQLPVNAPLIPGIRPPVLPRPIPGAPGYLSAPGMPQMLAPPGAPPLPGHANGMQRPPMVIPPTTVPGSTPASTASGAAPSMVPPATYQANPAAATSGSFDSFNSAPAVAPEANH >Manes.01G213100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38347529:38352251:1 gene:Manes.01G213100.v8.1 transcript:Manes.01G213100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYQQFEEQQTQSLIDQRIKEHLGQTAAFQQVGAAYNQHLLVQRPRLPVLPTPVMPVSGNPQLPVNAPLIPGIRPPVLPRPIPGAPGYLSAPGMPQMLAPPGAPPLPGHANGMQRPPMVIPPTTVPGSTPASTASGAAPSMVPPATYQANPAAATSGSFDSFNSAPAVAPEANH >Manes.02G112900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8712176:8715626:-1 gene:Manes.02G112900.v8.1 transcript:Manes.02G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISDENNPIIKPTSFQGGLEMGSRNFGQEIRNNRRALNVLNQNFIGAKACTCVVDKRGMSENHGVPERNPLHPSHRPITRKFAAQLSSTHQHCPQQEAKKQKPSLPSRNEFGDSIFVDVKENKAPSDHPVPMFLEQTEAIPDETDQMEEVEMEDIIEEPILDIDGCDSKNPLAVVDYVEDMYAYYRKMESFSCVSPNLMAQQFDINEKMRAILVDWLIEVHDKFELMKETLFLTINLIDRFLSQQTVVRKKLQLVGLVALLLACKYEEVSVPVVGDLILISDKAYTRKEVLEMENLMLNRLQFNMSLPTPYVFVQRFLKAAQCDKKIELLSFFLVELSIVEYEMLKFPPSLLAAAAIYTAQCTVYGFKQWSRTSEWHSNYSEDQLLMFKTNGEFSPEGRDRETHCGIQEIQYI >Manes.02G112900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8712152:8715626:-1 gene:Manes.02G112900.v8.1 transcript:Manes.02G112900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISDENNPIIKPTSFQGGLEMGSRNFGQEIRNNRRALNVLNQNFIGAKACTCVVDKRGMSENHGVPERNPLHPSHRPITRKFAAQLSSTHQHCPQEAKKQKPSLPSRNEFGDSIFVDVKENKAPSDHPVPMFLEQTEAIPDETDQMEEVEMEDIIEEPILDIDGCDSKNPLAVVDYVEDMYAYYRKMESFSCVSPNLMAQQFDINEKMRAILVDWLIEVHDKFELMKETLFLTINLIDRFLSQQTVVRKKLQLVGLVALLLACKYEEVSVPVVGDLILISDKAYTRKEVLEMENLMLNRLQFNMSLPTPYVFVQRFLKAAQCDKKIELLSFFLVELSIVEYEMLKFPPSLLAAAAIYTAQCTVYGFKQWSRTSEWHSNYSEDQLLECSRLMVSFHQKAGTGKLTAVYRKYNTSKFGYTSKSEPAVFLLEAQK >Manes.02G112900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8712176:8715626:-1 gene:Manes.02G112900.v8.1 transcript:Manes.02G112900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISDENNPIIKPTSFQGGLEMGSRNFGQEIRNNRRALNVLNQNFIGAKACTCVVDKRGMSENHGVPERNPLHPSHRPITRKFAAQLSSTHQHCPQQEAKKQKPSLPSRNEFGDSIFVDVKENKAPSDHPVPMFLEQTEAIPDETDQMEEVEMEDIIEEPILDIDGCDSKNPLAVVDYVEDMYAYYRKMESFSCVSPNLMAQQFDINEKMRAILVDWLIEVHDKFELMKETLFLTINLIDRFLSQQTVVRKKLQLVGLVALLLACKYEEVSVPVVGDLILISDKAYTRKEVLEMENLMLNRLQFNMSLPTPYVFVQRFLKAAQCDKKIELLSFFLVELSIVEYEMLKFPPSLLAAAAIYTAQCTVYGFKQWSRTSEWHSNYSEDQLLECSRLMVSFHQKAGTGKLTAVYRKYNTSKFGYTSKSEPAVFLLEAQK >Manes.03G053716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5197722:5198099:-1 gene:Manes.03G053716.v8.1 transcript:Manes.03G053716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLYEVDTILGIKVEKYSGDFAICPSHYIEKVLNKFKHLNIKDANTLYDVSCKVTTNISRIIAQLEYASAIGSLMYAMYYTRPDIAFAVCKLSRYTSNHSIEHWKTIARVLGYLKKNYELQIVL >Manes.08G076200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15299658:15322587:-1 gene:Manes.08G076200.v8.1 transcript:Manes.08G076200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPDEAINQFKALMDQVEEPLKRTYQNIHQGYHTETLMRFLKAREWNVAKAHKMLIDCLHWRIQNEIDNVLTKPIVPTDLYRAMRDSQLIGMSGYSREGLPVFAIGVGLSTLDKASVHYYVQSHIQINEYRDCIILPFASKKYGRPVTTCVKVLDMTGLKLSALGQIKLLTIISTVDDLNYPEKTKTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGNGRDELLKIMDLASLPHFCKKEGSGSSRHAENAAENCYSLDHPFHQQLYNYIKQQCLITEPDKPIKQGSFHVDLPEEAVERTEIAKTIESELHKFENGDGLSRSVSGLKINDY >Manes.08G076200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15299658:15322587:-1 gene:Manes.08G076200.v8.1 transcript:Manes.08G076200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPDEAINQFKALMDQVEEPLKRTYQNIHQGYHTETLMRFLKAREWNVAKAHKMLIDCLHWRIQNEIDNVLTKPIVPTDLYRAMRDSQLIGMSGYSREGLPVFAIGVGLSTLDKASVHYYVQSHIQINEYRDCIILPFASKKYGRPVTTCVKVLDMTGLKLSALGQIKLLTIISTVDDLNYPEKTKTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGNGRDELLKIMDLASLPHFCKKEGSGSSRHAENAAENCYSLDHPFHQQLYNYIKQQCLITEPDKPIKQGSFHVDLPEEAVERTEIAKTIESELHKFENGDGLSRSVSGLKINDY >Manes.08G076200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15299658:15322613:-1 gene:Manes.08G076200.v8.1 transcript:Manes.08G076200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPDEAINQFKALMDQVEEPLKRTYQNIHQGYHTETLMRFLKAREWNVAKAHKMLIDCLHWRIQNEIDNVLTKPIVPTDLYRAMRDSQLIGMSGYSREGLPVFAIGVGLSTLDKASVHYYVQSHIQINEYRDCIILPFASKKYGRPVTTCVKVLDMTGLKLSALGQIKLLTIISTVDDLNYPEKTKTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGNGRDELLKIMDLASLPHFCKKEGSGSSRHAENAAENCYSLDHPFHQQLYNYIKQQCLITEPDKPIKQGSFHVDLPEEAVERTEIAKTIESELHKFENGDGLSRSVSGLKINDY >Manes.06G063600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19842676:19846957:1 gene:Manes.06G063600.v8.1 transcript:Manes.06G063600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENVVKDVLSISNESWSYEDLLKVESRILKALQPDLRLNPDPLLDHEVSLTKKINLGITWGWRKRKLSCVQNFNSQNSAQESNFRPSVAYHGTEVPTSRKDISIAVLSKVAQENIHNCQSVDVCNKYPAADLCSFSTNIGRDQKETLSLVPSESLSHATVCIPILKGNTKLPAGLSNVVVKQEPFETSHLFSSDVRTVNDTFLPSSQSQVASDIGAAAIGLFQGELLPGEASAPAKQKKNFCPRDSSIMMIDSLASTRNLSTADTSSALGNLHMVSDVVKQEPLETTDLFSSYVWNINNTFLPSNPNLERFSKIGRVMQRYRKNDKKRKLEQQLPNRPFFRYDLVAYHLADSEDRSLKDANTRNRIFQDDCGAQIKLVITEMLNEGKVETSVVYEEEEKDSISLPLSTYCPTTHFADIFAAQFQSLLVKEGYHIAGDRPDPSVHSCEGQSSSQHPDIIQTDAAPCGSVLQSAPILIPGLSTSILPPMKSRMSALNTWQLSSQNILSRRQLLPHVNFQLPFQNLPSNLSKPQIDIAAQVSPINPQWPEILNKHDYLQFQIMRSQRHQEQLMQKKALLGGLGAAIGVPGMMQWDSDISGIGDAVMALGGSMNSLQREQVPWIGNLGQFNNLGSNISCPNKRTKCFCMMSDYPSSLLAKLALGEPVAHQGGAIMSRIPIQSNGMVKMQRPSIPNPAYLMNNQQLPQIQIQQQLQMPYSQQQAGSFAEHVSSSLAQVNLQQLEQLLQTGILEWSANSAVQERSDGNGFRHGWF >Manes.16G008801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:903859:905728:1 gene:Manes.16G008801.v8.1 transcript:Manes.16G008801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDLKVFKIHWGSRICIRGKEKLINAFLSQVEGFNYLGLCGEFGGGSGLDALKIIVIVNCPSFAYLINAEEFLGRYALLPELEGLCFEDLDTFKALCNGELPSGTSLSMRKLKYLTFFRCPELLNIFTLPNPQQEFDQLQVVEEKGPTELLHLPKLQIVCINGCQKLKVIFPVSIAQGLEQLKELELEDCDELEAIVAEREEEEKRIDKVVFSQLIRIRLYKLYNLKAFCMDNLPLKWPSLEELSVDSCPKMKTFAASNGNQITPKLKEIKINTNHIKLEGTNLNTIMKYHNKEETKRRYILKINGLDLRRKRW >Manes.11G089700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16735939:16740713:-1 gene:Manes.11G089700.v8.1 transcript:Manes.11G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHKRPIHAVTTWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSLGISVLIYKLMKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLRASYMEDKDNFAIYYVALPCAILALFIHPSTSHNLLNRILWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >Manes.11G052000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5811522:5826059:-1 gene:Manes.11G052000.v8.1 transcript:Manes.11G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNASKAVEILEAYEGTLEDDYPPENERCEHGEMLLYKISLLEECGSFERALEELHKKGSKIVDKLGYKEQEVSLLVKLGRLEEGAELYKVLLAMNPDNYRYYEGLQKCVGLYSENGHYSADEIDKLDSLYKSLGQQYTWSSAVKRIPLDFLQEDKFHEAADNYIRPLLTKGVPSLFSDLSPLYHHAVKADILEKLILELERSIRLTGRYPGRTEKEPPSTLMWTLFFLAQHYDRRSHYEIALTKIDEAIEHTPTVIDLYSVKSRILKHAGDLAAAAALADEARCMDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGELGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLHDSPAKLTSEEDDEMSKLLPSQKKKMRQKQKKAEARAKREAEVRNEESSSSGVSKSGKRHVKPVDPDPNGEKLLQVEDPLLEATKYLKLLQKNSPDSLETHLLSFEVNMRKHKILLALQAVKQLLRLDAENPDSHRCLIRFFHKVGSMTAPVTDAEKLIRCVLEAERPSISELHEKSLIEANKFFFEKHKDSLMHRAAVAEMLHVLEPNKKSEAIKLIEDSANNLVPVNGALGPVKGWKLKDCIAVHKLLETVLADHDAASRWKVRCSEYFPYSTYFEGNCSSTMPNSAYNQIGKNHENGSASLPGGGKLADSIASNGKLEEAFKEITI >Manes.06G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24596831:24599647:-1 gene:Manes.06G115100.v8.1 transcript:Manes.06G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSTFTPIFSSFPSKTTTLQKFPSYSVISNSFTQSAPPIHQNSSVSAPTVTIIPPLQHHPPTSAYVHLPFCRKRCHYCDFPIVALGSANPTDDDPRISNYVELLRREIVSTIPEFNIHPPLETVFFGGGTPSLVSPRLVSSILDTLRGKFGFLEDSEISMEMDPGTFDSNKMKDLMRLGVNRVSLGVQAFQEELLKAGGRAHGVKEVYEALEIMRSCGVENWSMDLISSLPHQTPQMWEESLRLTIQAQPKHVSVYDLQVEQGTKFGILYTPGEFPLPSETQSADFYRMASQMLSDAGYSHYEISSYCKDGFECKHNFTYWKNKPFYGFGLGSASYVNGVRFSRPRKMREYMDYVHNLENGAVDCYGNNHLDAKDLAMDILMLSLRTARGLDLRSFTDVFGGSLFYSLCKVYKPYIESGHVVCLDEERRAMTADEFNNLFTHEDEISSRLAYIRLSDPDGFLLSNELISLAFGVIAP >Manes.16G119900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32310022:32316810:-1 gene:Manes.16G119900.v8.1 transcript:Manes.16G119900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPQSASNTTTTTAAATTAIVAAATFSDSSPVQESPFSNYISNLSPIKPVKAAHVAQGFLGVSSPPLVFTSPRIIPHRETSFFQRSQLAQVPSAETPENDGGRNNLAGLSDDIGKSVNYSTKSITDSHQENAGENSARDQPGSSSGCVDEYLYDPVDVDCASSVNLVNPNAKQSNDVLQSSALSEHAEQDQGHSMFEIKPAQVEKEQSSNKQSPIKCPKDESGMTMGHFPEKKQCDVLESQVVRAQEDYNENVGASLQGALDNIVQREPEASHLQRGLSRRCLQFGETRWKTVVNSTCSPNLMNNVTSSRSPASAMELESLDGSLVDLSDSSSKKQTVNLSRSATSMFPPRGNEKLPITVSKPSGIGLHLNSIVNTSAVGHTTATNIESSNCSESSNLVEKIAITPKDRMLETKASLPASAATADSLHNAEPSNMLQPVGHQTTPLNKRKFNPEHGYNVEEFGQVSPAKKKRKKSSSLDGDGCKRCNCKRTKCLKLPEYEDTVIETRQQIESRNPNAFAPKIVQHVAEFAAEDGNQLMPSLARHKRGCHCKRSMCLKKYCECYQANVGCSSDCRCEGCKNVYGRKEEYGSTGEIASNIVRKQILDGRIDDKLEMAATNSDFLHAELYDLRHLTPSTPSIQHSDHGKDAQVQFNSSRYVPSPESDFSILPSYAKSISSPSNSHSNNMIPEKGEEILDIDTCGQGMDYNVAMMDQFSPRHDALVDICELTPLQNPSMTQTTSASSKTRDWTGGSRLQLCPGSGCFSSGRSFRWRSSPITPLTRLHESKNQGHDTDSGLYDILEDDTPEILKEASTPITSVKASSPNKKRVSPPHKHIQGLWSSSSGGLRSGRKFILKAVPSFPPLTPCIDSKDSRTEKKDSNK >Manes.16G119900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32310206:32316808:-1 gene:Manes.16G119900.v8.1 transcript:Manes.16G119900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DMSQALSEHAEQDQGHSMFEIKPAQVEKEQSSNKQSPIKCPKDESGMTMGHFPEKKQCDVLESQVVRAQEDYNENVGASLQGALDNIVQREPEASHLQRGLSRRCLQFGETRWKTVVNSTCSPNLMNNVTSSRSPASAMELESLDGSLVDLSDSSSKKQTVNLSRSATSMFPPRGNEKLPITVSKPSGIGLHLNSIVNTSAVGHTTATNIESSNCSESSNLVEKIAITPKDRMLETKASLPASAATADSLHNAEPSNMLQPVGHQTTPLNKRKFNPEHGYNVEEFGQVSPAKKKRKKSSSLDGDGCKRCNCKRTKCLKLYCDCFAAGIYCAESCACQGCFNRPEYEDTVIETRQQIESRNPNAFAPKIVQHVAEFAAEDGNQLMPSLARHKRGCHCKRSMCLKKYCECYQANVGCSSDCRCEGCKNVYGRKEEYGSTGEIASNIVRKQILDGRIDDKLEMAATNSDFLHAELYDLRHLTPSTPSIQHSDHGKDAQVQFNSSRYVPSPESDFSILPSYAKSISSPSNSHSNNMIPEKGEEILDIDTCGQGMDYNVAMMDQFSPRHDALVDICELTPLQNPSMTQTTSASSKTRDWTGGSRLQLCPGSGCFSSGRSFRWRSSPITPLTRLHESKNQGHDTDSGLYDILEDDTPEILKEASTPITSVKASSPNKKRVSPPHKHIQGLWSSSSGGLRSGRKFILKAVPSFPPLTPCIDSKDSRTEKKDSNK >Manes.16G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32309935:32316834:-1 gene:Manes.16G119900.v8.1 transcript:Manes.16G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPQSASNTTTTTAAATTAIVAAATFSDSSPVQESPFSNYISNLSPIKPVKAAHVAQGFLGVSSPPLVFTSPRIIPHRETSFFQRSQLAQVPSAETPENDGGRNNLAGLSDDIGKSVNYSTKSITDSHQENAGENSARDQPGSSSGCVDEYLYDPVDVDCASSVNLVNPNAKQSNDVLQSSALSEHAEQDQGHSMFEIKPAQVEKEQSSNKQSPIKCPKDESGMTMGHFPEKKQCDVLESQVVRAQEDYNENVGASLQGALDNIVQREPEASHLQRGLSRRCLQFGETRWKTVVNSTCSPNLMNNVTSSRSPASAMELESLDGSLVDLSDSSSKKQTVNLSRSATSMFPPRGNEKLPITVSKPSGIGLHLNSIVNTSAVGHTTATNIESSNCSESSNLVEKIAITPKDRMLETKASLPASAATADSLHNAEPSNMLQPVGHQTTPLNKRKFNPEHGYNVEEFGQVSPAKKKRKKSSSLDGDGCKRCNCKRTKCLKLYCDCFAAGIYCAESCACQGCFNRPEYEDTVIETRQQIESRNPNAFAPKIVQHVAEFAAEDGNQLMPSLARHKRGCHCKRSMCLKKYCECYQANVGCSSDCRCEGCKNVYGRKEEYGSTGEIASNIVRKQILDGRIDDKLEMAATNSDFLHAELYDLRHLTPSTPSIQHSDHGKDAQVQFNSSRYVPSPESDFSILPSYAKSISSPSNSHSNNMIPEKGEEILDIDTCGQGMDYNVAMMDQFSPRHDALVDICELTPLQNPSMTQTTSASSKTRDWTGGSRLQLCPGSGCFSSGRSFRWRSSPITPLTRLHESKNQGHDTDSGLYDILEDDTPEILKEASTPITSVKASSPNKKRVSPPHKHIQGLWSSSSGGLRSGRKFILKAVPSFPPLTPCIDSKDSRTEKKDSNK >Manes.16G119900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32310409:32316763:-1 gene:Manes.16G119900.v8.1 transcript:Manes.16G119900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPQSASNTTTTTAAATTAIVAAATFSDSSPVQESPFSNYISNLSPIKPVKAAHVAQGFLGVSSPPLVFTSPRIIPHRETSFFQRSQLAQVPSAETPENDGGRNNLAGLSDDIGKSVNYSTKSITDSHQENAGENSARDQPGSSSGCVDEYLYDPVDVDCASSVNLVNPNAKQSNDVLQSSALSEHAEQDQGHSMFEIKPAQVEKEQSSNKQSPIKCPKDESGMTMGHFPEKKQCDVLESQVVRAQEDYNENVGASLQGALDNIVQREPEASHLQRGLSRRCLQFGETRWKTVVNSTCSPNLMNNVTSSRSPASAMELESLDGSLVDLSDSSSKKQTVNLSRSATSMFPPRGNEKLPITVSKPSGIGLHLNSIVNTSAVGHTTATNIESSNCSESSNLVEKIAITPKDRMLETKASLPASAATADSLHNAEPSNMLQPVGHQTTPLNKRKFNPEHGYNVEEFGQVSPAKKKRKKSSSLDGDGCKRCNCKRTKCLKLYCDCFAAGIYCAESCACQGCFNRPEYEDTVIETRQQIESRNPNAFAPKIVQHVAEFAAEDGNQLMPSLARHKRGCHCKRSMCLKKYCECYQANVGCSSDCRCEGCKNVYGRKEEYGSTGEIASNIVRKQILDGRIDDKLEMAATNSDFLHAELYDLRHLTPSTPSIQHSDHGKDAQVQFNSSRYVPSPESDFSILPSYAKSISSPSNSHSNNMIPEKGEEILDIDTCGQGMDYNVAMMDQFSPRHDALVDICELTPLQNPSMTQTTSASSKTRDWTGGSRLQLCPGSGCFSSGRSFRWRSSPITPLTRLHESKNQGHDTDSGLYDILEDDTPEILKEASTPITSVKASSPNKKRVSPPHKHIQGLWSSSSGGLRSGRKFILKAVPSFPPLTPCIDSKDSRTEKKDSNK >Manes.09G041600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7536453:7541624:1 gene:Manes.09G041600.v8.1 transcript:Manes.09G041600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVLPPTSSPSRSRALVKCCNCACSCSLVASSSSVSWFRSVKRKYDDFEGNRFFIPGFDLFSNPRVEIENECAALRETVGGQQQAIQDLYAELEEERNASSTAANEAMSMILRLQREKAEIQMEAKQFKRFAEEKMAHDQQELLAFEDVLYKRDQAIQSLTCEMQAYKHRMMSFGLTEAEAEGEKGDKSGVSRNTSMAGNSDAAQYEFPAFDYPPLKCHLNENPIVLESNDDIVDIEKYAFGETPHARDRLKNLEYRINQMEQSPSSSQLDGESSVSKNILEKVIVGHSPRRPRDRIFSVDGSSSFTGRSRETVPDLASESPRFKFTNSFKKMDYVSQSEDSSNLRKVDNASDFGDDMSDRVYTIDSVHSGVPYNSVAEPKAGAGIYEDYISTPRDTLNRPDVSDPDIKKLYMRLQALEADRESMRQAIISMRTDKAQMVLLKEIAQHLCKEMSPERRMPVRKPSLLGTFSFLSIFKWIVPFVFWRNKARRSRFMCGLSASNVGLLLLLDKGPRTRQWRCLTSTQV >Manes.09G041600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7536498:7541624:1 gene:Manes.09G041600.v8.1 transcript:Manes.09G041600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVLPPTSSPSRSRALVKCCNCACSCSLVASSSSVSWFRSVKRKYDDFEGNRFFIPGFDLFSNPRVEIENECAALRETVGGQQQAIQDLYAELEEERNASSTAANEAMSMILRLQREKAEIQMEAKQFKRFAEEKMAHDQQELLAFEDVLYKRDQAIQSLTCEMQAYKHRMMSFGLTEAEAEGEKGDKSGVSRNTSMAGNSDAAQYEFPAFDYPPLKCHLNENPIVLESNDDIVDIEKYAFGETPHARDRLKNLEYRINQMEQSPSSSQLDGESSVSKNILEKVIVGHSPRRPRDRIFSVDGSSSFTGRSRETVPDLASESPRFKFTNSFKKMDYVSQSEDSSNLRKVDNASDFGDDMSDRVYTIDSVHSGVPYNSVAEPKAGAGIYEDYISTPRDTLNRPDVSDPDIKKLYMRLQALEADRESMRQAIISMRTDKAQMVLLKEIAQHLCKEMSPERRMPVRKPSLLGTFSFLSIFKWIVPFVFWRNKARRSRFMCGLSASNVGLLLLLDKGPRTRQWRCLTSTQV >Manes.09G041600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7536523:7541624:1 gene:Manes.09G041600.v8.1 transcript:Manes.09G041600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVLPPTSSPSRSRALVKCCNCACSCSLVASSSSVSWFRSVKRKYDDFEGNRFFIPGFDLFSNPRVEIENECAALRETVGGQQQAIQDLYAELEEERNASSTAANEAMSMILRLQREKAEIQMEAKQFKRFAEEKMAHDQQELLAFEDVLYKRDQAIQSLTCEMQAYKHRMMSFGLTEAEAEGEKGDKSGVSRNTSMAGNSDAAQYEFPAFDYPPLKCHLNENPIVLESNDDIVDIEKYAFGETPHARDRLKNLEYRINQMEQSPSSSQLDGESSVSKNILEKVIVGHSPRRPRDRIFSVDGSSSFTGRSRETVPDLASESPRFKFTNSFKKMDYVSQSEDSSNLRKVDNASDFGDDMSDRVYTIDSVHSGVPYNSVAEPKAGAGIYEDYISTPRDTLNRPDVSDPDIKKLYMRLQALEADRESMRQAIISMRTDKAQMVLLKEIAQHLCKEMSPERRMPVRKPSLLGTFSFLSIFKWIVPFVFWRNKARRSRFMCGLSASNVGLLLLLDKGPRTRQWRCLTSTQV >Manes.09G041600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7536453:7541624:1 gene:Manes.09G041600.v8.1 transcript:Manes.09G041600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVLPPTSSPSRSRALVKCCNCACSCSLVASSSSVSWFRSVKRKYDDFEGNRFFIPGFDLFSNPRVEIENECAALRETVGGQQQAIQDLYAELEEERNASSTAANEAMSMILRLQREKAEIQMEAKQFKRFAEEKMAHDQQELLAFEDVLYKRDQAIQSLTCEMQAYKHRMMSFGLTEAEAEGEKGDKSGVSRNTSMAGNSDAAQYEFPAFDYPPLKCHLNENPIVLESNDDIVDIEKYAFGETPHARDRLKNLEYRINQMEQSPSSSQLDGESSVSKNILEKVIVGHSPRRPRDRIFSVDGSSSFTGRSRETVPDLASESPRFKFTNSFKKMDYVSQSEDSSNLRKVDNASDFGDDMSDRVYTIDSVHSGVPYNSVAEPKAGAGIYEDYISTPRDTLNRPDVSDPDIKKLYMRLQALEADRESMRQAIISMRTDKAQMVLLKEIAQHLCKEMSPERRMPVRKPSLLGTFSFLSIFKWIVPFVFWRNKARRSRFMCGLSASNVGLLLLLDKGPRTRQWRCLTSTQV >Manes.08G090725.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30463254:30477060:1 gene:Manes.08G090725.v8.1 transcript:Manes.08G090725.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGLESATAAATRGNETDILALLDFKNLITQDPLRVMSSWNDSVHFCNWIGVSCSSSNDRVITLNLNSKKLAGSIPPSIRNLTHLTVINLYENSFSGELPQEMGRLWRLQQLNLTYNSFVGKIPSNLSHCKELTIPEQLSSLSKLVVFGFGGNNLTGNIPTWIGNFSSLFALSLAVNNFVGNIPNELGRLSSLGIFQLYGNYLSGTIPSSIYNLSSIYYFSVAQNQLRGQLPQDIGLTLPKLRVFGGGVNNFTGVFPVSLSNVSGLQVLDFAQNSLTGNIPRNLKNLKSLYRLNFDENNLGHCEIDDLNFLSSLANCSLEVLGLAQYHYSGELPSSIGNLSTNLQIFTIGRNLIHGSISVGIENLVNLYSLGLEAGYNSNYLSGNVPSAIGKLQNLGALYLNLNRFSGSIPPFIGNLTRLTRLFMEENRFEGSIPDSLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISVVMSNNSLTGSIPSEVGNLRNLVELDLSQNKLTIPESSNNMSGEIPEFLSKLRDLKYLNLSFNDFEGEVSGEAIFSNASAVSIIGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVVFAIAILCSVVIFCMTNSKAPPSEDRHVGMSYSEIVKSTNGFSAENLIGSGSFGSVYKGTLSDDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIITACSTIDHQGNDFKCLVFEFMANGSLDKWLHPRVDEQDQTKRLSFIQRLNIAIDIASALDYLHHNCETPIVHCDLKPSNVLLDEDMTAHVGDFGLATFLLESSNNPSKSEAISVVLKGSIGYIPPEYGLNVQVSALGDVYSFGILLLEMFTGRRPTDDMFKDDLSIHKFVAMALPEHAMDVIDPTMLDDETADDETNEEKAIITNSNARGNASRTQECIVSAMRIGVSCSSSSPGERMAMSSVVNKLHDIRDSFLRSKSSRWKKYE >Manes.17G072200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27206406:27206762:-1 gene:Manes.17G072200.v8.1 transcript:Manes.17G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDAEQVMEISSTVSGSSDGSNPKSSSLVSRVRKLLFRKMLVGIKDGRFFLGTFHCIDKQGNIILQDTVEYRSTRRSSPSPMEQRCLGLILIPSSCRTSCHVDCSIEEQLSLLKVQE >Manes.07G039561.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4256826:4258054:-1 gene:Manes.07G039561.v8.1 transcript:Manes.07G039561.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKGNFNKKFLITEEAKYVEKPYIGVAVLEVVAAAELRMPLMKVPLLCLNRAAWYNSHNCRKQLAERPIVGICLPFKTKQNKGVDDTNWYHFHEKQIPAWDRACQQARMETAFADELLPPRDPGEDENMPKELEEPDNVMHHENIMDSPLQVTSLLLANSEPQMEPSPEAERQSNLHDSAAPGANSEPQMESIHLELDN >Manes.S046216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1408995:1455311:-1 gene:Manes.S046216.v8.1 transcript:Manes.S046216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGPNSTEFVVGVEVLTAELDGNISTTKSQSPVHHLETRTSARAQHTNAMPTPCTSVHLTRPSIGINHRIISLNCHQWPWNGPHRARDGPHRVHHAREFFSMLNREVEVERGLTSLFACYTDAHIWPSACPGPGLAGPPRGVTTHTPLKSLRNKLSCARRKHHNV >Manes.18G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8746696:8752725:-1 gene:Manes.18G094600.v8.1 transcript:Manes.18G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKSIATSLDEVDRTMYASFCSAANSLSQLYTQAMNHQKLSFQAGERHGLEKLYQWIWRQQEGGSRIATVDILNYIQNELDYAGEEPPMSPRAPQHQNSQPVQFSNSSFLVSSGSSGPITAGQGTRSEHCDQQPKNSVFSNALSSPVRRSLQNYHIAQEGYYPPGGPPPGNGTRSNEPNFLQHQNRDPNPVSSNDSSMDI >Manes.03G065680.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8352722:8363596:-1 gene:Manes.03G065680.v8.1 transcript:Manes.03G065680.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKKKIIEKEKVRKTMALKLEKLTDGKKKSIALNIDTSESSNLSSYEEEMAMLVRKFRRAFRKGGSKCKRFVKKYGPKDDSQKDPKEIICYECNKPGHIRPNCPKNKKKKKEDKGKKAMVAVCDAIDESSSDDSNEKNEGNICCMALEKETAEPSKDEKIQVIESEPPNIEELELAFAKVYDKYKTYKRKCASLKLENVSLRSENISLNIVLKENEFYKTQMILFKDLKKELEISKQNCEKLSEKNKVLERKTESLTKDLTKFTKGKQSLELLFGSQRMSGEKSGIGYNGFAN >Manes.14G126500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10040010:10040717:-1 gene:Manes.14G126500.v8.1 transcript:Manes.14G126500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIAAAAAAAVVCNSTGSSRNGNHHELLQQVTLPSPPPPLSLPLPSLPLSRYESQKRRDWNTFGQYLRNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHNQACPFFGHPHPPAPCPCPLKQAWGSLDALIGRLRAAFEENGGLPETNPFGARAVRLYLREVRDAQAKARGIAYEKKKRKKPQQQQQQLQSSNTYPNQIQQGDGSGGGVSGGFAERNMVSRGLVKLGNLFVLN >Manes.09G029700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6243521:6244127:-1 gene:Manes.09G029700.v8.1 transcript:Manes.09G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFGASFAHMVMLLVPLFLVYTRGVMPQDIAPSPAMDTGAALALPVSVALMCSSLIVSLFAI >Manes.14G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3159604:3161325:-1 gene:Manes.14G036000.v8.1 transcript:Manes.14G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIALKRIVSSNLIPPSIRYIRPTASASRLFNTNVARQFDDDEDERGVEVDSRYGRNLPRSRDDFFSDVVDPFWPSRSLNQLLNTMDKFMNNPFLSASRGIGAGVRRGWDVRETDDALKLLIDMPGLDKEDVKVSVEQNTLVIKGEEAKESDVEESGRKYTGRIDLPEKVYRTDQIKAEMKNGVLKVVVPKAKEDERADVFHVKVE >Manes.09G129400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33151030:33154936:-1 gene:Manes.09G129400.v8.1 transcript:Manes.09G129400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFAPVSISGGSQLKAHELWTSRSNSLAKTSKLIVQRKSNQVGNRKLAVRAEYNDGSRGGGSDFVAGFFLGGAIFGTLAYIFAPQIRRSLLNEDEYGFRRAKRPIYYDEGFEKTRETLNAKISQLNSAIDNVSSRLRGGNNSPAVPVETDSEVEATM >Manes.04G031500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3973752:3974817:1 gene:Manes.04G031500.v8.1 transcript:Manes.04G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Manes.02G205400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17980835:17983490:1 gene:Manes.02G205400.v8.1 transcript:Manes.02G205400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMFFCLALLFSFLLLAFNFFLRRSTQHRNLPTSPFALPIIGHLHLVNLPLHRSLHALSQKYGPIISLRFGFRRVIVLSSPSMVEECFTKNDIVFSNRPPLTILKYVTYNCTTLGTTSYGDHWRKLRRIGTHEVFSSSRLNVFTGIRRDEIKIFMNKLYSVSSHDFAKVVLRPMLMELTFNIMMRMVAGKRYYGEEVTANDKVEAEEFREMIAEMFKYTGASYLGDFLPFLKLIDYQGFLKRVKRLGKRTDRFLQNLIDEHRCASPERKKDTMIGHLLSMQESQPEYYTDDIIKALILDVIFGGTESAAVTLEWAMSDLLNHPEAMEKVKKELDIHISENSLMNESDISKLSYLQNIITETMRLHPPGPLLIRHLSSQDCSIGGYHVKPNTMLIVNAWAIHRDPEVWDDATGFKPERFESSAGQGSEVYKYMPFGLGRRSCPGMGLANRVMVFALGSMIHCFEWRKASDQKIDMSEGYGLTMPMAKPLKAMCKARNVMTNKLSSTL >Manes.18G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8556905:8558645:-1 gene:Manes.18G092600.v8.1 transcript:Manes.18G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISFMLFLLLLLLFLFSQSSHSITILVDGVSEWKHPSVHVGDSIIFKHKHHYKLYIFQNQRAFNLCNFTQASLLTQPNSTSYTWYPSRLGFYYFAFNNGSQKSCAQASQKLSIKVLPQAPAPIPSPTSGGGVSSPALQPISGSNSPLTVPTVVPDKSGGGMPFINSNPAVPLPTGEVDSATIRPLSASAHRQQVSVGLFGAQITPFCVALLVLL >Manes.18G092600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8548398:8558645:-1 gene:Manes.18G092600.v8.1 transcript:Manes.18G092600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISFMLFLLLLLLFLFSQSSHSITILVDGVSEWKHPSVHVGDSIIFKHKHHYKLYIFQNQRAFNLCNFTQASLLTQPNSTSYTWYPSRLGFYYFAFNNGSQKSCAQASQKLSIKVLPQAPAPIPSPTSGGGVSSPALQPISGSNSPLTVPTVVPDKSGGGMPFINSNPAVPLPTGEVDSATIRPLSASAHRQQIGRPAA >Manes.10G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4653294:4656669:1 gene:Manes.10G044300.v8.1 transcript:Manes.10G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFRGLNDESVPSQHDILRCPFLRNINEPTNFSFSSSMPFPMPIRKGKGPIFEDGPNFDMAFRLFHGRDGVVPLSERSFSSSKKEDTQPSLPEFNPLAAKAATISLSAFGPGGPFSFDSFSKKWNEKMNSNSSKKKSSSQGGQPNHEASSSEWLQTGNCPIAKSYRAVSSVLPLVAKVFQPPPGVKFRCPPAVVAARAAIARTAFAKNIRPQPLPAKILVIGMLGMAVNIPLGIWREHTQKFSASWFAAVHAAVPFIAMLRKSVLMPKSAMAFTIAASVLGQVIGSRAERYRLKAVAARKMSLAETPAGGSSDLQIVSVKGGHCGNVVEYPVSLQVAGNSSSANVFC >Manes.04G000400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:160397:169342:1 gene:Manes.04G000400.v8.1 transcript:Manes.04G000400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRKSDAADHEAESSEGNTSAGFTEVVNSPLQTPGKGGKAPKTSRLSKSSKSGPQNATSNIGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVARPGEADENVASLQAEVENLNIEEHRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGIEPTPNYPSTSSFVENLATTMVPGESRGKVIEMQGEEAHRMCSDLNTSQDFVSGIMKIVPSDVDSNADYWLLSDAGVSITDMWPTEPGVEWNEFGTLNDDYGMANVSTPRSQTPPSNQTEVPSSANNSAG >Manes.04G000400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:160397:169342:1 gene:Manes.04G000400.v8.1 transcript:Manes.04G000400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPPNPSTRQPQQNTMQNPLKRQLPFTSMKPPFLAPGDYHRFNTEPRRVVDHEVETIIVKPPPLKRKSDAADHEAESSEGNTSAGFTEVVNSPLQTPGKGGKAPKTSRLSKSSKSGPQNATSNIGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVARPGEADENVASLQAEVENLNIEEHRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGIEPTPNYPSTSSFVENLATTMVPGESRGKVIEMQGEEAHRMCSDLNTSQDFVSGIMKIVPSDVDSNADYWLLSDAGVSITDMWPTEPGVEWNEFGTLNDDYGMANVSTPRSQTPPSNQTEVPSSANNSAG >Manes.04G000400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:160397:169342:1 gene:Manes.04G000400.v8.1 transcript:Manes.04G000400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPPNPSTRQPQQNTMQNPLKRQLPFTSMKPPFLAPGDYHRFNTEPRRVVDHEVETIIVKPPPLKRKSDAADHEAESSEGNTSAGFTEVVNSPLQTPGKGGKAPKTSRLSKSSKSGPQNATSNIGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVARPGEADENVASLQAEVENLNIEEHRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEQAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGIEPTPNYPSTSSFVENLATTMVPGESRGKVIEMQGEEAHRMCSDLNTSQDFVSGIMKIVPSDVDSNADYWLLSDAGVSITDMWPTERILVKILHSFD >Manes.04G000400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:160397:169342:1 gene:Manes.04G000400.v8.1 transcript:Manes.04G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPPNPSTRQPQQNTMQNPLKRQLPFTSMKPPFLAPGDYHRFNTEPRRVVDHEVETIIVKPPPLKRKSDAADHEAESSEGNTSAGFTEVVNSPLQTPGKGGKAPKTSRLSKSSKSGPQNATSNIGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVARPGEADENVASLQAEVENLNIEEHRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEQAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGIEPTPNYPSTSSFVENLATTMVPGESRGKVIEMQGEEAHRMCSDLNTSQDFVSGIMKIVPSDVDSNADYWLLSDAGVSITDMWPTEPGVEWNEFGTLNDDYGMANVSTPRSQTPPSNQTEVPSSANNSAG >Manes.04G000400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:160397:169342:1 gene:Manes.04G000400.v8.1 transcript:Manes.04G000400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRKSDAADHEAESSEGNTSAGFTEVVNSPLQTPGKGGKAPKTSRLSKSSKSGPQNATSNIGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVARPGEADENVASLQAEVENLNIEEHRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGIEPTPNYPSTSSFVENLATTMVPGESRGKVIEMQGEEAHRMCSDLNTSQDFVSGIMKIVPSDVDSNADYWLLSDAGVSITDMWPTEPGVEWNEFGTLNDDYGMANVSTPRSQTPPSNQTEVPSSANNSAG >Manes.04G000400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:160397:169342:1 gene:Manes.04G000400.v8.1 transcript:Manes.04G000400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRKSDAADHEAESSEGNTSAGFTEVVNSPLQTPGKGGKAPKTSRLSKSSKSGPQNATSNIGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVARPGEADENVASLQAEVENLNIEEHRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEQAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGIEPTPNYPSTSSFVENLATTMVPGESRGKVIEMQGEEAHRMCSDLNTSQDFVSGIMKIVPSDVDSNADYWLLSDAGVSITDMWPTEPGVEWNEFGTLNDDYGMANVSTPRSQTPPSNQTEVPSSANNSAG >Manes.04G000400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:160397:169342:1 gene:Manes.04G000400.v8.1 transcript:Manes.04G000400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPPNPSTRQPQQNTMQNPLKRQLPFTSMKPPFLAPGDYHRFNTEPRRVVDHEVETIIVKPPPLKRKSDAADHEAESSEGNTSAGFTEVVNSPLQTPGKGGKAPKTSRLSKSSKSGPQNATSNIGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVARPGEADENVASLQAEVENLNIEEHRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGIEPTPNYPSTSSFVENLATTMVPGESRGKVIEMQGEEAHRMCSDLNTSQDFVSGIMKIVPSDVDSNADYWLLSDAGVSITDMWPTERILVKILHSFD >Manes.04G000400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:160397:169342:1 gene:Manes.04G000400.v8.1 transcript:Manes.04G000400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRKSDAADHEAESSEGNTSAGFTEVVNSPLQTPGKGGKAPKTSRLSKSSKSGPQNATSNIGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVARPGEADENVASLQAEVENLNIEEHRLDEQIREMQERLRDLSEDENNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEQAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGIEPTPNYPSTSSFVENLATTMVPGESRGKVIEMQGEEAHRMCSDLNTSQDFVSGIMKIVPSDVDSNADYWLLSDAGVSITDMWPTEPGVEWNEFGTLNDDYGMANVSTPRSQTPPSNQTEVPSSANNSAG >Manes.03G207900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:32349908:32350987:-1 gene:Manes.03G207900.v8.1 transcript:Manes.03G207900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTDGIFPDEVILQILARLPVKSLFRAKTVCKLWYRISSDNYFIQLYNEIAAKNPVVLVEISDSSELKSSLICIDNLRGVSEFSLDFLKDRVKVRASCNGILCCSSIPDKGVYYVCNPMTREFKLLPRSRERHVTRFYPDGEATLVGLACNLSMQKYNVVLAGYHRTFGHRPDGTFICLVFDSDLNKWRKFVSFQDDHFTHMNRNQVVFVNGALHWLTGSFSCILALDMGCDVWRKISLPEEVSYGAGNRVYLLESDGCLSVIQISEAWMKIWVMKDYESERWHEVERVSLRCIRGMVPGIFPISQTGECVFMATHKQVLVYHRRSRVWKEMYSVKNKSALPLWFSAHAFRSTIFSCN >Manes.14G129556.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10348861:10349501:1 gene:Manes.14G129556.v8.1 transcript:Manes.14G129556.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQFGGQKEYADPSEVSCGAESCSEWAIVELQGVIEVQPSLQDHLQNLMIGELCRPSSQEDYTFTVGYHELTGSKVTLKKPLLVLKKVKLMDVDQGSENSSLK >Manes.14G150100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16515686:16534623:-1 gene:Manes.14G150100.v8.1 transcript:Manes.14G150100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGFSKWWTATITVALAVLSATTAIPYYFCRKKSKALDSKVQELEIALNSSLQKCAAERQGRIRAQQALREALAQPKVKHLEQTSYPMAPIGLVQSCFSTRNGTPRQPLLVPLARGCLVFSSSRVPPASLEGLEEYSHCWIIYVFHLNTDIEKLWKQPSRSKFKAKVRVPRLKGRRMGVFATRSPHRPCPIGLTVAKVEAVQGNMVLLSGVDLVDGTPILDIKPYLPYCDSIQGATVPKWVMEDSMLAVASVSFSEGFSASFAHSWEIAGKKSLYASPDEFQHLIKQVLSWDIRSVSQRNQPHDPIFKEGNGKDLCGSPDSDGNHDEDTSGNENVKVPLHSEDVIYHLILEGINVSYRIDCNGNVMVQKAIVSSEYPNVNHNHCSYSMWRDKLS >Manes.04G164800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35792837:35804106:1 gene:Manes.04G164800.v8.1 transcript:Manes.04G164800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYGTGAYDFKRHHVAEYPVEVQTHLGDKPVESKPGSTLPNSITLSEIQRDQLTKIAAANWLQTGGTGSEEKKNDFDPELVKQIYETELKVKDGRKPVPLQRVMILEVSQYLENYLWPNFDPETATFEHVMSMILMINEKFRENVAAWLCFYDRKDVFKEFLERVIRLKEGRELSIAEKTNYLVFMINAFQSLEDEIVSETVLKLGSLQSWYSLSYGRFQMELCLNTDLIKKWKRMIKREAKEAMKQGELFDPSTSLEVKFLRNLIEEFLDVLDFQVFPHSSSLSEDGLDIGFEGVDDAAVLYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYERFEINDHVGTQLTDDEVLQSHYDRFQAFQLLAFKKIPKLRELALSNIGAIHKRADLSKKLSVLSPEELKDLVCYKLKLVSDRDPWSKRVDFLIEVMVSFFEKQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSSVTAEVTFSISSYKAQIRSEWNSLKEHDVLFLLSIRPSFEPLSAEEAGKATVPQRLGLQYVRGCEIIEIRDEEGTLMNDFTGRIKRDEWKPPKGELRTVTLALDTAQYHMDVTDIAEKGAEDVYKTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHNVFLGYGNPSAAQWTNMPDLLETVDFKDTFLDADHLKESFPDYQVCFVNPDSTESLNPKPPFRIRLPRTMKGNTHAVPGNKKLSIDSMNDANMEDAGSEKEKLIVEAYIPPDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLNEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACEDNKDKPTFVQDRFPFKEFFSNMPQPVLTGQSFEKDMRAAMGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVKEGAIFHKANAGFSYEYQLVDVPDYHGRGETAPSPWFYQNEGEAEYVVSVYVYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYDFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDHLALNLNENLSHTERPVEDVGQPYLVSGVEEMGHIVIDKINQLYQARMMNYQFEQYYSSNTSAPANGAWDNTQHESKSEEVEEMEGIESGQNEDLPLQGKPDGERDAEMGRNDENGVPSESTNEETDKV >Manes.02G007232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:883313:883936:-1 gene:Manes.02G007232.v8.1 transcript:Manes.02G007232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDRFHYTNNYITSKQILLANNKNRDVSGNFMPNLIYVSREKNTASHHRFKAGALNVLLRVSAAMTNAPIILTLDCDMTSNDSQTIFMILCYYWDPEIRSRYSYIQFPQHFQGINKNDIYGCQFKRLFQIQPMGFDGLGGANHVGTNCFFSRRAFFGGPSSFISPEIPELVQIMW >Manes.02G126000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9582874:9584863:-1 gene:Manes.02G126000.v8.1 transcript:Manes.02G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLLGLWASPFSCRVELVLKLKGIQYQYIEVDLFNKSPLLLKSNPVHKKIPVLIHNGKPIAESHVILEYIDETWKNNPILPENPYDRAIARFWAKFIDEKIVQTASKFRSANEEEKEQILEELGEQLKVIEKELEGKEFFGGESIGYVDIVAFLLVYWFQVGQEVMQKEWINEEKFPVLCKWMGKLHEIDVFNQCLPPKDKHYAYIRAQIEAAKSASK >Manes.12G134300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34133516:34142442:-1 gene:Manes.12G134300.v8.1 transcript:Manes.12G134300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARSSPIGNQLDILQILVEARHRWLRPAEICEILRNYKQFRVAPEPQHRPPSGSLLLFDRKALRYFRKDGHNWRKKKDGKTVKEAHEKLKSGSVDVLHCYYAHGEGNENFQRRSYWMLEEELSHIVLVHYRDVKGNRANFNNVKEHEDTIPCTQEIEDTVPHSEMDTSVSSHFHHDNYQVPAQTADTISMNSAQASEYEDAESVYNHQASSGLHLFLEEQQPAREKIDASLRDHHDPVSLSSGYEGKLSAVPGRDFFSLAHADKAEDTEGASSTFQLQKHFDLPCWKDILENFTPGIESASFRPQFSSQGDTAGIIPKQEDAIPKQLLSNSLPLQKEWQNLEDASSRLSKWAMDQKLHPNSTADLTTSFHEQELLSGDLTNTLELFGTQKSGHSVQNDVQLQYSNTDQSITPEGKSIYSSTVKQLSEEGLKKLDSFSRWMSKELGDVNESHMQSSSGPYWDAVENENGIDDPKISSRVHLDTYLLGPSLSQDQLFSIIDFSPTWVYVGSKIKVLITGRFLKTPEEVENCKWSCMFGEVEVQAEVIADGVLRCQTPLNKAGMVPFYVTCSDRVACSEVREFEYRLSQDVNIIDSYSSSSSVMRFGKLLSLNSLSLPKCNTSNIVENTQLSNKISSLLKVHNEEEWNKMLKLTSEAGVSLEKVKEELLQKLLKDRLHVWLLQKAAEGGKGPSVLDEGGQGVLHLAAALGYDWALEPTIVAGVSVNFRDINGWTALHWAASCGRERTVASLVFLGAAPGALTDPTPKYPTARTPADLASANGHKGIAGYLAESALSAHLFSLNLDKQDGDVAGVPEAKAGQIVPEHSTSVNSDGDLPYGLSLKDSLAAVYNATQAAARIHQVFRVQSFQKKQLKEFGDDKYGMPHEHALSFIASKALKSGQHDEPVHAAATRIQNKFRSWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIVWSVGIVEKVILRWRRKGSGLRGFKSEALIGGPSMQDRSPKEDDYDFFKEGRKQTEARSQIALARVKSMHQYPEARDQYRRLLNVVTEIQETKLVGDQINNSEATAEFDDLIDVEALFDDDTFMPAAS >Manes.10G071200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12384152:12390793:1 gene:Manes.10G071200.v8.1 transcript:Manes.10G071200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSGSASLMIVSDLDFTMVDHLDQENLSLLRFNALWEAYYRQNSLLVFSTGRSPTIYKQLKNEKPLLTPDIAIMSVGTEIMYGESMIRDDDWEKYLNHKWNKEIVLEETAKFPDLTPQAETEQRPHKVSFFVETIKALEIIKTLRELLENRGLDVKVIYSNAKALDILPKRADKGQALSYLLKKFIANGKVPISTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAGNAKNNSKIIHATERCASGIIQAIGSFRLGPNVSPRDIKDIQTCKGEIFGPTHEVVKFYLFYERWRNAEVEKSIEYMKILRLVLFPLGTFVHPSGVEQSVEHCIEAMTRLYGDKQGKQYRVWLDQVSAAQVGSDTWLVKFYKWELSGAERHCCLTTALLSSKVYLETILFIFVVISLLYLPLNGVMAKVPDGFTWMHMHQTWLDGSAPEKQTTWLF >Manes.10G071200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12384134:12390826:1 gene:Manes.10G071200.v8.1 transcript:Manes.10G071200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSGSASLMIVSDLDFTMVDHLDQENLSLLRFNALWEAYYRQNSLLVFSTGRSPTIYKQLKNEKPLLTPDIAIMSVGTEIMYGESMIRDDDWEKYLNHKWNKEIVLEETAKFPDLTPQAETEQRPHKVSFFVETIKALEIIKTLRELLENRGLDVKVIYSNAKALDILPKRADKGQALSYLLKKFIANGKVPISTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAGNAKNNSKIIHATERCASGIIQAIGSFRLGPNVSPRDIKDIQTCKGEIFGPTHEVVKFYLFYERWRNAEVEKSIEYMKILRLVLFPLGTFVHPSGVEQSVEHCIEAMTRLYGDKQGKQYRVWLDQVSAAQVGSDTWLVKFYKWELSGAERHCCLTTALLSSKAKVPDGFTWMHMHQTWLDGSAPEKQTTWLF >Manes.10G071200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12385505:12390827:1 gene:Manes.10G071200.v8.1 transcript:Manes.10G071200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSGSASLMIVSDLDFTMVDHLDQENLSLLRFNALWEAYYRQNSLLVFSTGRSPTIYKQLKNEKPLLTPDIAIMSVGTEIMYGESMIRDDDWEKYLNHKWNKEIVLEETAKFPDLTPQAETEQRPHKVSFFVETIKALEIIKTLRELLENRGLDVKVIYSNAKALDILPKRADKGQALSYLLKKFIANGKVPISTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAGNAKNNSKIIHATERCASGIIQAIGSFRLGPNVSPRDIKDIQTCKGEIFGPTHEVVKFYLFYERWRNAEVEKSIEYMKILRLVLFPLGTFVHPSGVEQSVEHCIEAMTRLYGDKQGKQYRVWLDQVSAAQVGSDTWLVKFYKWELSGAERHCCLTTALLSSKAKVPDGFTWMHMHQTWLDGSAPEKQTTWLF >Manes.10G071200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12384134:12390826:1 gene:Manes.10G071200.v8.1 transcript:Manes.10G071200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSGSASLMIVSDLDFTMVDHLDQENLSLLRFNALWEAYYRQNSLLVFSTGRSPTIYKQLKNEKPLLTPDIAIMSVGTEIMYGESMIRDDDWEKYLNHKWNKEIVLEETAKFPDLTPQAETEQRPHKVSFFVETIKALEIIKTLRELLENRGLDVKVIYSNAKALDILPKRADKGQALSYLLKKFIANGKVPISTLVCGDSGNDAELFSIPEVYGVMVSNAQEELLQWHAGNAKNNSKIIHATERCASGIIQAIGSFRLGPNVSPRDIKDIQTCKGEIFGPTHEVVKFYLFYERWRNAEVEKSIEYMKILRLVLFPLGTFVHPSGVEQSVEHCIEAMTRLYGDKQGKQYRVWLDQVSAAQVGSDTWLVKFYKWELSGAERHCCLTTALLSSKAKVPDGFTWMHMHQTWLDGSAPEKQTTWLF >Manes.14G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1388743:1394737:1 gene:Manes.14G001600.v8.1 transcript:Manes.14G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIQNGKMEANGRADLKAPLLQPPDGVAIAVPKEKDHRDKKLKTIKLKIGDIKCSSCATSIESVLGELNGVERTIVSPLDGHAAISYIPDLVTAQKIRETIEGAGFSVDEFPEQEMSVCRLRIKGMACTSCSESVERALLMVNGIKKAVVGLALEEAKIHFDQNLTDTDHIIEAVEDAGFGAELISSGNDMNKVHLKIEGVNSIEDATTIQAFLESSQGVNHVEMDLAEHKVTINYDPDLTGPRSLIQRVEEASLGPIIYHASLYVPPRRRETEKLQEIRTYRNQFFMSCLFSVPVFLFSMVLPMLHPYGNWLEYRIQNMLSIGMLLRWILCTPVQFIVGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSVYIVIKAMTSKKFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTELSPETAYLITRDSDGNVVSEMEISTELIQRNDVIKIVPGAKVPVDGIVIDGQSHVNESMITGEARPIAKRPGDKVIGGTMNENGCLLVKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFITWLGWFIPGEAGLYPSHWIPKAMDGFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGDALEKAYKVKTVIFDKTGTLTVGKPVVVSAVLFSSFSMEEFCDMATAAEVNSEHPIAKAVVEHAKRLRQKVGSSAVHVAEAKDFEVHTGAGVSGKVGEKMVLVGNKRLMRACNVTIGTEVENYISENEQLARTCVLVSIDGKIAGAFAVTDPVKPEAGRVISYLHSMGISAIMVTGDNWATAAAIAKEVGIGKVFAETDPMGKADRIKDLQGKGITVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTILRIRLNYVWALGYNILGMPIAAGILYPFIGIRLPPWLAGACMAASSISVVCSSLLLQSYKKPLHSANS >Manes.14G001600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1388701:1394737:1 gene:Manes.14G001600.v8.1 transcript:Manes.14G001600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIQNGKMEANGRADLKAPLLQPPDGVAIAVPKEKDHRDKKLKTIKLKIGDIKCSSCATSIESVLGELNGVERTIVSPLDGHAAISYIPDLVTAQKIRETIEGAGFSVDEFPEQEMSVCRLRIKGMACTSCSESVERALLMVNGIKKAVVGLALEEAKIHFDQNLTDTDHIIEAVEDAGFGAELISSGNDMNKVHLKIEGVNSIEDATTIQAFLESSQGVNHVEMDLAEHKVTINYDPDLTGPRSLIQRVEEASLGPIIYHASLYVPPRRRETEKLQEIRTYRNQFFMSCLFSVPVFLFSMVLPMLHPYGNWLEYRIQNMLSIGMLLRWILCTPVQFIVGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSVYIVIKAMTSKKFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTELSPETAYLITRDSDGNVVSEMEISTELIQRNDVIKIVPGAKVPVDGIVIDGQSHVNESMITGEARPIAKRPGDKVIGGTMNENGCLLVKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFITWLGWFIPGEAGLYPSHWIPKAMDGFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGDALEKAYKVKTVIFDKTGTLTVGKPVVVSAVLFSSFSMEEFCDMATAAEVNSEHPIAKAVVEHAKRLRQKVGSSAVHVAEAKDFEVHTGAGVSGKVGEKMVLVGNKRLMRACNVTIGTEVENYISENEQLARTCVLVSIDGKIAGAFAVTDPVKPEAGRVISYLHSMGISAIMVTGDNWATAAAIAKEVGIGKVFAETDPMGKADRIKDLQGKGITVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTILRIRLNYVWALGYNILGMPIAAGILYPFIGIRLPPWLAGACMAASSISVVCSSLLLQSYKKPLHSANS >Manes.14G001600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1388615:1394796:1 gene:Manes.14G001600.v8.1 transcript:Manes.14G001600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIQNGKMEANGRADLKAPLLQPPDGVAIAVPKEKDHRDKKLKTIKLKIGDIKCSSCATSIESVLGELNGVERTIVSPLDGHAAISYIPDLVTAQKIRETIEGAGFSVDEFPEQEMSVCRLRIKGMACTSCSESVERALLMVNGIKKAVVGLALEEAKIHFDQNLTDTDHIIEAVEDAGFGAELISSGNDMNKVHLKIEGVNSIEDATTIQAFLESSQGVNHVEMDLAEHKVTINYDPDLTGPRSLIQRVEEASLGPIIYHASLYVPPRRRETEKLQEIRTYRNQFFMSCLFSVPVFLFSMVLPMLHPYGNWLEYRIQNMLSIGMLLRWILCTPVQFIVGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSVYIVIKAMTSKKFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTELSPETAYLITRDSDGNVVSEMEISTELIQRNDVIKIVPGAKVPVDGIVIDGQSHVNESMITGEARPIAKRPGDKVIGGTMNENGCLLVKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFITWLGWFIPGEAGLYPSHWIPKAMDGFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGDALEKAYKVKTVIFDKTGTLTVGKPVVVSAVLFSSFSMEEFCDMATAAEVNSEHPIAKAVVEHAKRLRQKVGSSAVHVAEAKDFEVHTGAGVSGKVGEKMVLVGNKRLMRACNVTIGTEVENYISENEQLARTCVLVSIDGKIAGAFAVTDPVKPEAGRVISYLHSMGISAIMVTGDNWATAAAIAKEVGIGKVFAETDPMGKADRIKDLQGKGITVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTILRIRLNYVWALGYNILGMPIAAGILYPFIGIRLPPWLAGACMAASSISVVCSSLLLQSYKKPLHSANS >Manes.14G001600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1388700:1394738:1 gene:Manes.14G001600.v8.1 transcript:Manes.14G001600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVHLKIEGVNSIEDATTIQAFLESSQGVNHVEMDLAEHKVTINYDPDLTGPRSLIQRVEEASLGPIIYHASLYVPPRRRETEKLQEIRTYRNQFFMSCLFSVPVFLFSMVLPMLHPYGNWLEYRIQNMLSIGMLLRWILCTPVQFIVGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSVYIVIKAMTSKKFEGQDFFETSAMLISFILLGKYLEVLAKGKTSDALAKLTELSPETAYLITRDSDGNVVSEMEISTELIQRNDVIKIVPGAKVPVDGIVIDGQSHVNESMITGEARPIAKRPGDKVIGGTMNENGCLLVKATHVGSETALSQIVQLVEAAQLARAPVQKLADQISKFFVPTVVIAAFITWLGWFIPGEAGLYPSHWIPKAMDGFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGDALEKAYKVKTVIFDKTGTLTVGKPVVVSAVLFSSFSMEEFCDMATAAEVNSEHPIAKAVVEHAKRLRQKVGSSAVHVAEAKDFEVHTGAGVSGKVGEKMVLVGNKRLMRACNVTIGTEVENYISENEQLARTCVLVSIDGKIAGAFAVTDPVKPEAGRVISYLHSMGISAIMVTGDNWATAAAIAKEVGIGKVFAETDPMGKADRIKDLQGKGITVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTILRIRLNYVWALGYNILGMPIAAGILYPFIGIRLPPWLAGACMAASSISVVCSSLLLQSYKKPLHSANS >Manes.05G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:341176:344024:1 gene:Manes.05G003800.v8.1 transcript:Manes.05G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLCSAKSLIFLFILSAIPIAFIISLELAKPTTHVFHYHSSGFFRECGKWDDLNRRFIVAFMDGGVGEIRVPDDYSPGTVLQEVTAVKDVDIAGNSSLGIVVDRPRNRLLVVNADVIGNKYSGLAAYDLSTWQRLFLTHLSGPNDEKSFADDVAVDAEGNAYVTDAKASKIWKVGKDGKLLSVIRNPLFIQREWYKNLVALNGIVYHPDGFLIVIHTFSGNLYKIDIAKDDEVKLIKLNGGSLSFGDGLELLSPTKLVVAGNPSARLVESSDGWETATVVAKFKGPAHRLATAATVKDGRVYLNHMVGMGYPKKKHAIVEAVFSN >Manes.02G006040.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:673901:675141:1 gene:Manes.02G006040.v8.1 transcript:Manes.02G006040.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENKSDKQPTAPAIGVRETKRRKATPYSPSKSISEVRNNSSPSSNQLPVKSLIRFRCVSKFCSITISHPSFVTVHQPYPRPKPGLLFTCATRLQLAQTFFAATASQNGGPATCCLIVPPRFSRYTTQSVNGIVCMDFGICATICNPSTRQAISLPFLCPKTSIITSNTYFCVNVLGFNPNNKQYKVLNSWGNYNLGTTEYRVFTLGTRKWRRVHGGPAFFAQRESICIGGIIFFRSWTTLGTNGGLAVLVSFDVQTESFRVINLPRGAPYNVATSSLIQLKGRLIIADYQIDSEGYCLWVVEDYWNEIWTQHYVFFPLCLKKTITGHNVIVSGSIDTGEIIMAPQCLSAPFTPFYVYYCNLSRGHFISVKIFGLPDYDAFDTSSNNVAITNYVENILPLS >Manes.13G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31994910:31995817:1 gene:Manes.13G114300.v8.1 transcript:Manes.13G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEKAHNVKVLGSGEQVVVLSHGFGTDQSVWKYLVPYLIEDYRVILYDNMGAGSTNPDYYDFERYSTIDGFVYDLLAILEELQVKSCVFVGHSVLSMVGAIASITRPDLFSKLVLICATPRLLNDKDYIGGFDQEDIDQMLEGIHSNYKAWCSGFAPTVIGDDMESAPVQEFSRTLFNMRPDIALSLAQVKFQCDIRHILHMVKVPCHILQSATDNVLPVQVAEYLHQHLGGPSIVEIMPTGGHLPHLSSPNIIIPVLLRHIRLDITK >Manes.09G170900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36213376:36220218:1 gene:Manes.09G170900.v8.1 transcript:Manes.09G170900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNSENDVVVDDGDGGDVGVGCAGGGRGKSFGSVSCSICLEMVTDNGDRSWAKLQCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGCRSLPEFSMDDWTHDEDLYDLSYSEMSFGVHWCPFGSLARLPSSFEEGEFSSNAYHDLLGQHAIFAEHTAAVSSATHPCPYIAYFGPIHPSSSNPSGSVSDGSNFNNHWNGPSVPTEIHNSYAFPAMDLNYHGWEHHSHPFSAASNRIGTPDQPSIPSATQRPARSSSDLPRSASFMHPFLVGHSSATRAGSSVIPPYQGSNARARDRVQALQAYYQQQPGNSTAIRTPIVSGMRRSSSHRGLSQAGAVASSSDQTGFYFIPPGTSGRNYQEAENPPTRYRAWERDHLPSFSLSQVDRESNWIAFHQAAGGSDGSIRSNSFRQRHGSERMS >Manes.13G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15912897:15915141:-1 gene:Manes.13G085900.v8.1 transcript:Manes.13G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTREQLAGVLVFDSSALQKQPNLPTEFVWPHKDLVGNQDELEEPLIDLDGFLKGDEVETAHAAELLRVACLHHGFFQVTNHGIDSSLIRAAYQEIDTIFKLPLDKKLSVRRQPGGVCGYSGAHADRYSSKLPWKETFSFEYNGNHSHPVVVDYFKHFLGEHFQPTGWVYQRYCEEMRRLSLVIFELLAVSLRVDRYYYKEFFEDGSSIMRCNNYPPCNNSGLTLGTGPHCDPTSLTILHQDEVGGLEVFANNKWQAIRPRSDAFVVNIGDTFMALSNGKYKSCLHRAVVNRERERRSLVFFVCPKEDKVVRPPQDLVCIEGPRKYPDFTWSDLLDFTQNHYRADVATFQSFIKWLPSSKTI >Manes.11G088678.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16126444:16137501:1 gene:Manes.11G088678.v8.1 transcript:Manes.11G088678.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDQTKVIGERSSVVHGSSSAARVNEIKGLLGFDDFFSVDSLGHSGGLALLWRSVSSISLLSSSQHHIDTEICMDGVGSWRLTGYYGEADRGSRHLSWQRLRMLASHLEALWVCLGDFNDIISPSEKRGGRPQPPRLINGFRKALCDSSLMEFPMIGYPFTWEHSRNSGSWVESKLDRVLTNAQWRARFSNSSAEVMGFSTSDHLPILLVVKCFVEQRHAHRFRFENTWLRETGCRNLISDIWQFSSNMDAAGKLEACRSALKSWGINLRLQHKVEMDECLAFMSRLRGSRLQEHITECLRAKARFFHLLNLREIFWKQQAKQFWLKEGDANTHFFHQSASAQKRKNTIVKLLDDSNVWQDRNSGLEGVMTDYFMTLFTSHGCNSEHVLQCVPTLVSQDHNDSLLAPYNCDEVRSAAFSMKIDKSPGLDGFFFSSSIGIL >Manes.09G157800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35331058:35334493:-1 gene:Manes.09G157800.v8.1 transcript:Manes.09G157800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLNPKSMQSPKSIISISKLNPKSLCFFYSSAFCHRDPHLAPSHYRFISSTNSVCSWMDSIKGVFTGKKADSKDTVESFTLLRFADELKNARRVGSFKQFIVGRSSEATFADAFEKMEGIVRYLHGFDPTGENVQTSQKQEAAKHCNCTIADVERALAQFTWAKEAQKKLQKLKEEGKPVPKSIAEMQKMMGSTPLELARSNLAKSGQLSRNAFCPCGSKKRYKRCCGKD >Manes.15G079400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6101114:6104430:-1 gene:Manes.15G079400.v8.1 transcript:Manes.15G079400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGATMRAAAKIAGVGVVNSGIRGAVSAVPSPAEQSVRNASRPVSAVLSSLHTGTAGGVEIAGVQRPSWEIDDWEFAGGEEELLLESKDPIPRVVFGPAPSLQEAKSATAELKDALEKVYVSSSPYPESGGSFGGDQLSRQPLLTNSDYLQNKSCITCEPTATSASSNAVKAFALLNENPQAQSVVASIAADPNVWDAVMKNESLLEFLESQKIKSDADAESQDLGSPRKFAELSDGVSEAGIFENGSKDIFEDIKHTVVEMMNNISSFVHHIFGFSSAENTSATADGNSRSSFIDNTLGASFMALAVMAIVMVVMKRG >Manes.04G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1091415:1095330:1 gene:Manes.04G008600.v8.1 transcript:Manes.04G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLCFTLLIFCFRFICPLLETAALSIETDKQALISFKFQLSVESPNSLSSWDQSSSSPCNWTGVSCNRFGQRVIGLKLSRFGLSGSLSPNIGNLSFLQSLELQNNQLTGTIPEEICSLSGLRVLNLSSNSLQGSIPLNVSKLTELRILDMSMNQITGRIPVELTSITTMQALNLGRNLLSGTIPPSIGNLSSLETLILGTNSLSGMIPSDLSNLRNLKVLDLTINNLTGTVPSTIYNMSSLVDLALASNQLWGKIPSDIGETLPNLLVFNLCFNKFTGTIPGSLHNLTNIKVIRIAHTLLEGTIPPGLGNLPFLEMYNIGFNRIASSGYNGLGFITSLTNSTRLRFLAFDGNLLQGVIPESIGNLSRDLLKLYMGGNHIYGSIPASIGNLSSLTLLNLSYNSITGEIPTEIGQLENMQELVLAGNRIAGRIPDSLGNLQKLNQIDLSGNELVGRIPTTFGNFHSLLSMDLSKNKLNGTIPKEILRLQSLSMNLNLSNNFLSGNLSEGIELLDSVVIIDLSSNLLSGNIPGSLKNCKSLEEFYINRNTFSGPIPSSLAEMKGLEILDLSYNNLSGSIPLDLGKLQALQSLNLAFNDLEGVVPCDGVFTNLSRVQLEGNLKLSKQTPCHNSQARGRKQIKVYIIILIVVTLALCFSAGSFFYIRRNKEKIAQSPSSIKEQHQLVSYHELRQATGNFNDQNLIGSGSFGSVYKGCLGDGSDVAIKVLDVKQVGFKKSFVAECEALRNVRHRNLVKLITSCSGVDFKNEEFLALVYEFLGNGNLEDWIKDKRKKEDGDGLNLVERLNVGIDVASAIDYLHHDCEVPVVHCDLKPSNILLDEDLTAKVGDFGLARLLMEELGDQTSISSTHVLKGSIGYIPPEYGLGVKPSTAGDAYSFGVLLLELFTGKSPIDESLMGEQNLVGWVQSAFPGRILQVLDPELLLLMDNLSHDGRTINSEVQRECAITVLGIGLSCTASSPDSRISMRNALRKLKAARDNLLNHVPMLKGF >Manes.01G171600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35335906:35339214:1 gene:Manes.01G171600.v8.1 transcript:Manes.01G171600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREISSTLKNLKFMQRAAQREEKTKKQEEEKPNENFFSPGVIRKCVVIMEGDPHPGAAMGRMSFQSFNPSVDKLNQEAANLCRPEASDAHATGTSGQGSTSFRENGSSQDEAGCSNTFKEKFNTDLKRKQTEVVTKSENQNKSPKTEGGQQSSPNSCKGSVSQPKPGKLDWSVLRPKSQQSKGRGGNK >Manes.01G171600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35335906:35339214:1 gene:Manes.01G171600.v8.1 transcript:Manes.01G171600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDPHPGAAMGRMSFQSFNPSVDKLNQEAANLCRPEASDAHATGTSGQGSTSFRENGSSQDEAGCSNTFKEKFNTDLKRKQTEVVTKSENQNKSPKTEGGQQSSPNSCKGSVSQPKPGKLDWSVLRPKSQQSKGRGGNK >Manes.06G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23408575:23412513:1 gene:Manes.06G100000.v8.1 transcript:Manes.06G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSEAPESSCRHLTYSRKQKSLGLLCTNFLSLYDKDGIEVIGLDDAASKLGVERRRIYDIVNVLESVGVLARKAKNKYTWKGFGAIPKALQELKEEGLRENLSSFDKQQSSNFEKLSDDDNDDEDDCDSNPNTGSQNDNAIPSGIVKSTAASRFDNRKEKSLGLLTQNFVKLFVCSKADLISLDEAAKLLLGDAHNLSIMRTKVRRLYDIANVLSSLKLIEKTHTAEARKPAFRWLGLKGKPESGPVDALAEGRKRTFGADVTNICFKRNKLDSLADGEKSNNLKLPNQIKFENVVTIADASTSGQDFSKSYQFGPFAPATVAKAGGDDNKSTQSHDWESLASTYRPQYHNQALRELFAHYMEAWQSWYTEVAGKKPIQQIS >Manes.06G007600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1232728:1233928:1 gene:Manes.06G007600.v8.1 transcript:Manes.06G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSSTSLYFHNMEEIQKVFNQFDTNGDGRISVDELGGVLQSMGSACTSAEIERIMEDVDTDKDGFISLEEFAQLFKSSSGAAADSELKEAFDLYDQNKNGLISSAELHQVLNRLGMSCSLDDCVRMISNVDADGDGSVNFQEFQKMMAATVNNGANATDSGN >Manes.18G007700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1056932:1059753:1 gene:Manes.18G007700.v8.1 transcript:Manes.18G007700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKLLRSYSFFIQVLPTFAALFSLGSMTNGIDLPGLQYDPRLLLHGQQYIEVYRPFPSSATIHNKVTLAGLHDKGKAAIVEIETKSYEKESGELLCMNRSTVYLRGAGGFSNSTPPYSYSNYPKNQVAAAKIPKTQPFAVFEDFIQPSQALLYGLSGDYNPLHSDPMIAKVAGFSRPILHGLCTLGFAVRAIIKCICRGDASIIKNISGRFLLHVYPGETLITEMWLDGLRVVYQAKVKERNRAVLSGYVDLRHLTSSL >Manes.18G007700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1056216:1059753:1 gene:Manes.18G007700.v8.1 transcript:Manes.18G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSQFDHDRVLAHKFPESTYVYTERDAAIYALGVGACGRDAVDADELKYVYHENGQHFIQVLPTFAALFSLGSMTNGIDLPGLQYDPRLLLHGQQYIEVYRPFPSSATIHNKVTLAGLHDKGKAAIVEIETKSYEKESGELLCMNRSTVYLRGAGGFSNSTPPYSYSNYPKNQVAAAKIPKTQPFAVFEDFIQPSQALLYGLSGDYNPLHSDPMIAKVAGFSRPILHGLCTLGFAVRAIIKCICRGDASIIKNISGRFLLHVYPGETLITEMWLDGLRVVYQAKVKERNRAVLSGYVDLRHLTSSL >Manes.14G122200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9606029:9608312:1 gene:Manes.14G122200.v8.1 transcript:Manes.14G122200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDNTNGIRKGGCMWRKEDFLPEESFQSWRNYVNALSNIKTRLKDRLLTRSLDSLELQELRACSEHEMKKSLNWWDLIWFGVGAVLGAGIFVLTGEAARNDAGPAVIVSYFISGISAMLSVLCYSEFAVELPVAGGSFSYLRVELGDFVAYIAAGNILFEYVISGAGVARAWTSYFATLCSREPNSFRIHAPSLPEDYNYFDPIAIAVSVVVCICACWSIKGSSRFNSIASVIHIFVLFFIVIVGLTKANPANFATFAPFGIRGILRGSAMLFFAYVGFDGVATLGEETKNPGRDIPVGLIGSMLIIVSVYCLLSATLIFMQPYTQIDANAPFTLAFQAVGLNWAKFVVALGALKGMTTVLLANIIGQARYFTHIGRTHMAPPLLAAINGKTGTPVNATVIMTVANSIVAFFTSLDVLSNLLSIATLFIFSLVALALLVRRYYVAGETSDYDRKKLTILLVLILGSSIGTAVYFAVSEGWIGYAVTLPIWFLSTLGIQLTLKQAKKPKLWGVPLVPWLPSASIAVNLFIMGSVDGESVIRFTIWTAILIVYYLLVALHASYDAAKEIQRIADATTNLEAEMTTQENC >Manes.02G083400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6545012:6546148:1 gene:Manes.02G083400.v8.1 transcript:Manes.02G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQKIHPVTQDVEAAHPPTVPLMPRNSSKSDNGDPVEHFPPLRRTIPVMHSKPPKRRSCCCRCLCWTLSIVLLLIVIIGVVAGILYLVFRPKLPDYSVDRLRITQFNLSSDSSLSAAFDVTITAKNPNKKIGIYYEGGSHISVWYTGTKLCEGSLPKFYQGHRNTTVLIVPLAGQTQDANGLLTSLQQQQQENGIVPLNLRVKQPVRIKLGKLKLMKVKFWVKCKLDVDSLSANNAISIRNSSCKFRFRL >Manes.12G020900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1844150:1849997:1 gene:Manes.12G020900.v8.1 transcript:Manes.12G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQQDNDRLAGGGIPRKSYLRSVSWTDRSPTQPNPRPQPNSKARSCLPPLQPLSITRRPVEEWPAAGSDDLGVWPNPQTPRGPIKPLDNSNIEQPVKEFQFKKDKLAFFDKECSRIAGHIYLGSDAVAKNREILRQNGITHVLNCVGFVCPEYFKGDLVYKTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWMEGQSFEDAFQYVKAARGVTNPNMGFACQLLQCQKRVHAVPASPNSMLRMYRMAPHSSYDPLHLVPKMLSQPGASGLDSRGAFIVHIPTAIFVWIGKNCNSVMSNQAKIAANQVIRYERAHGPIMIIKEGEEPSEFWDAIANGQIPSDGCQKVEIIKEAALSSGKNENIAAAVCSGVCEKKVEEYDLDFKLFHKALVGGVVPPLAVSNVGSETCLPARESGWGRIRQKFANGIMKEFLASSKLKHGHVSSMGASDMIVDACKESEELVSSVDDPSSSPSAFPCGSPDSFDCFLNSSPNRVINSNEAEHFVPLIDPLLPPPTPTGSLDSFSCFLDSSPKCNYKSPTLSPSTSDYSSSSFAFSPSSSNWSDLSYLSSRQPSPSGLEATDPFYAKNAPSADNFCLPYKETPSSTRKVFSDNRALRLDDTSSPGKGISTSSIAVRRGSNPPSLMLLPSVDEAAQVPKNLVRSWSFSLLDLEDDEMKDVHNEQFEHVSSREEQMLDANTSSAGDSAESEFEEGKEYNNSHHPLRDGIKKAA >Manes.11G128200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29091402:29092591:-1 gene:Manes.11G128200.v8.1 transcript:Manes.11G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTHHLSLAVFRPISLKMVTQQRMMSHLFAITLAIILAGALPKNVMGQSVADLVTQDFFNGIINQAPAGCPGKNFYSRDTFLQALNSYSDFGKLGSPDDSKREVAAFFAHTTHETGFFCNIEEKDVPPTENYCDTNFPQYPCTPGKRYFGRGPIQLSWNYNYGAAGQDNRFDGLNNPEIVATDPVVSFRTALWYWMKNVRPSVSQGFGATIRAINGPVECDGKEPAKVQARIDLYTRYCGQFGVAPGGNLQC >Manes.14G024100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2274861:2279421:-1 gene:Manes.14G024100.v8.1 transcript:Manes.14G024100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVLVSTPTSTRSAFYGHSSLLSSHHKTLKFGISLRILQLGISPFSQWSGLKHLGISITPKSVNNVRKGRCKGRAIQASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQEVSREFKSSLEREIGLDEISSQTQNKYGSNITNTASTTSPVTSPENSPTAADPNGALSQNRAYTSEEYLKITEEQLKVSAAQQLEQTSPPGESQVESQTQGWPFFTPICSFLSFCRSHFLIL >Manes.14G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2274861:2279421:-1 gene:Manes.14G024100.v8.1 transcript:Manes.14G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVLVSTPTSTRSAFYGHSSLLSSHHKTLKFGISLRILQLGISPFSQWSGLKHLGISITPKSVNNVRKGRCKGRAIQASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQEVSREFKSSLEREIGLDEISSQTQNKYGSNITNTASTTSPVTSPENSPTAADPNGALSQNRAYTSEEYLKITEEQLKVSAAQQLEQTSPPGESQVESQTQATAGAMPSPQKPENEV >Manes.01G177700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35755054:35770620:1 gene:Manes.01G177700.v8.1 transcript:Manes.01G177700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKDHVMQGRSLKDSQKPVPAPFLTKTYQLVDDPLTDHIVSWGNDNASFVVRRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFKKVVSDRWEFANEYFRKGAKHLLSEIHRRKTPQHQHFPILAHHNLQQEEIFRWNIIDPPIFPLSPKPTTDLLRSLSEDNQRLRMKNYMLLSELSRMATLYNDIIYFIQSHVKPAPLVPNEQKGYCSTAPKIVEWDSSCQDQQIMGKSSLTFTGESNHRQVKLFGVHISSGKKRLLSELR >Manes.01G177700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35769090:35770620:1 gene:Manes.01G177700.v8.1 transcript:Manes.01G177700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGEWVMAFTVERCDEEMVFSADSQKPVPAPFLTKTYQLVDDPLTDHIVSWGNDNASFVVRRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFKKVVSDRWEFANEYFRKGAKHLLSEIHRRKTPQHQHFPILAHHNLQQEEIFRWNIIDPPIFPLSPKPTTDLLRSLSEDNQRLRMKNYMLLSELSRMATLYNDIIYFIQSHVKPAPLVPNEQKGYCSTAPKIVEWDSSCQDQQIMGKSSLTFTGESNHRQVKLFGVHISSGKKRLLSELR >Manes.05G182700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30098895:30099955:-1 gene:Manes.05G182700.v8.1 transcript:Manes.05G182700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGHSQSKFMRIVTIPLKVLGKARDLYVKSMTGCAARASSGHSMSLPNGQLPKSYSMGSSMSNDNDDFRDLIRAASVRSLGHKNEIDMLLHQMKQQQSIPSKQLPKSSSVGMGFMGRIDEEKPSDEDGSSHGANLKAAKGELYPRSRSYAVAKTSVAF >Manes.13G075298.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12653066:12656219:1 gene:Manes.13G075298.v8.1 transcript:Manes.13G075298.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLRRLSSSSLLGSYGGFKCISYMPSRFSAFSPGGLDNGNSSSRFENVSAPYLSRFQNSAGLEKVESGRNSNPMDFVRGIIEHDNPRFSQYNVEHNADIVHMKLMRNNSFISVTDSKGNTKLVVTSGSKKVVGDGKATRYAAEATAEYVGRKAREMGLKSVVVKVEGFTYFRRKRQAIMSFKEGFCNSRADRNPIVYIEDTTRRAHNGCRLPKKRRI >Manes.13G075298.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12653050:12656674:1 gene:Manes.13G075298.v8.1 transcript:Manes.13G075298.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLRRLSSSSLLGSYGGFKCISYMPSRFSAFSPGGLDNGNSSSRFENVSAPYLSRFQNSAGLEKVESGRNSNPMDFVRGIIEHDNPRFSQYNVEHNADIVHMKLMRNNSFISVTDSKGNTKLVVTSGSKKVVGDGKATRYAAEATAEYVGRKAREMGLKSVVVKVEGFTYFRRKRQAIMSFKEGFCNSRADRNPIVYIEDTTRRAHNGCRLPKKRRI >Manes.07G116000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32143216:32145526:1 gene:Manes.07G116000.v8.1 transcript:Manes.07G116000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSTVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.07G116000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32142470:32145526:1 gene:Manes.07G116000.v8.1 transcript:Manes.07G116000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSTVEKTYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.01G148500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33787792:33794284:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLEELTVHKKKVEEVKAWFEERLRDSKATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENAFCFLAVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETMSQRYIANGGSSFSSVSDVATEHLPVLKWLGRGASSGVEVHHVRMHQKEAWDDSTEKLSLDNEEGQFSDDEIEDW >Manes.01G148500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33787792:33794284:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENAFCFLAVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETMSQRYIANGGSSFSSVSDVATEHLPVLKWLGRGASSGVEVHHVRMHQKEAWDDSTEKLSLDNEEGQFSDDEIEDW >Manes.01G148500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33788783:33794280:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENAFCFLAVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETIPFQIIKPTNKCNLFSSNATHVIYKMILNLNKELF >Manes.01G148500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33787793:33794302:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSVVILSSDDEDNESSLSSYQSYPKPKSRRPLITRTNSREPKKPRISGTRSRMSKECSNVNEITFPCGDFDEFFYGSKVSAGSGRNNVKELWVDKYMPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENAFCFLAVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETMSQRYIANGGSSFSSVSDVATEHLPVLKWLGRGASSGVEVHHVRMHQKEAWDDSTEKLSLDNEEGQFSDDEIEDW >Manes.01G148500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33787792:33794284:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSVVILSSDDEDNESSLSSYQSYPKPKSRRPLITRTNSREPKKPRISGTRSRMSKECSNVNEITFPCGDFDEFFYGSKVSAGSGRNNVKELWVDKYMPRSLEELTVHKKKVEEVKAWFEERLRDSKATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENAFCFLAVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETMSQRYIANGGSSFSSVSDVATEHLPVLKWLGRGASSGVEVHHVRMHQKEAWDDSTEKLSLDNEEGQFSDDEIEDW >Manes.01G148500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33788023:33794280:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENVPAFCFLAVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETMSQRYIANGGSSFSSVSDVATEHLPVLKWLGRGASSGVEVHHVRMHQKEAWDDSTEKLSLDNEEGQFSDDEIEDW >Manes.01G148500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33788783:33794280:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETIPFQIIKPTNKCNLFSSNATHVIYKMILNLNKELF >Manes.01G148500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33788783:33794280:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSVVILSSDDEDNESSLSSYQSYPKPKSRRPLITRTNSREPKKPRISGTRSRMSKECSNVNEITFPCGDFDEFFYGSKVSAGSGRNNVKELWVDKYMPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENAFCFLAVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETIPFQIIKPTNKCNLFSSNATHVIYKMILNLNKELF >Manes.01G148500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33788023:33794280:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSVVILSSDDEDNESSLSSYQSYPKPKSRRPLITRTNSREPKKPRISGTRSRMSKECSNVNEITFPCGDFDEFFYGSKVSAGSGRNNVKELWVDKYMPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENVPAFCFLAVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETMSQRYIANGGSSFSSVSDVATEHLPVLKWLGRGASSGVEVHHVRMHQKEAWDDSTEKLSLDNEEGQFSDDEIEDW >Manes.01G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33787799:33794281:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNSVVILSSDDEDNESSLSSYQSYPKPKSRRPLITRTNSREPKKPRISGTRSRMSKECSNVNEITFPCGDFDEFFYGSKVSAGSGRNNVKELWVDKYMPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETMSQRYIANGGSSFSSVSDVATEHLPVLKWLGRGASSGVEVHHVRMHQKEAWDDSTEKLSLDNEEGQFSDDEIEDW >Manes.01G148500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33787924:33794280:-1 gene:Manes.01G148500.v8.1 transcript:Manes.01G148500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLEELTVHKKKVEEVKAWFEERLRDSKENLKNHVLVITGEAGVGKSATIHVIASHFGATLCEWNAPTPTIWQEHLHNASAGIHYTSKLEEFVNFIEKIRKYGVIPSSISAESKSPIILLIDDLPVTHGRVAFERLQNCLLLLVRSTRIPTAVLITDYGKADSADHTARYMEELQLSLESAGACKVAFNPITNNSIRKALSRICKHEQCNMTSEQIDLIAKASGGDIRHAITSLQLFCVKPDLGLSVPLCNSARSHSDRNSDELDALISGFSLLFGRDETLSLFHALGKFLHNKRGTEATMVLDPDAFFVHDKFARLPMRMDAPEKVLCQAHGQARPIADFLHENVLDFISDEAMDAAWDVASYLSDADLLLSSFHGVLARYNEAESVLQSAAASVAVRGVLFGNSNPSPSRWHAIRRPKLWQVEQALPRNQKETMSQRYIANGGSSFSSVSDVATEHLPVLKWLGRGASSGVEVHHVRMHQKEAWDDSTEKLSLDNEEGQFSDDEIEDW >Manes.03G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1723287:1729746:1 gene:Manes.03G020300.v8.1 transcript:Manes.03G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAGRQMSRSSSTAHHHRQYSDNFLDSGRWLQSASQDFGYGSRMNRSMLSMDPSTPPVCSRSSSMRKNADDYVSPSELSPGLLDLHSFDTELLSEVSIPSLYEGSLHNQPARGKSFDDSEPYLAASRLTSRVRNLPENNLLKSFSGDKERSNNVAKIKVVVRKRPLNKKEMSKKEEDIITIEPNSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEGVSNDEVYSETVEPIVPLIFHRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMRQTYRNQGFYLFVSFFEIYGGKLFDLLNERKKLCMREDGKQQVCIVGLQEYRVSDVEAIREFIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGSESKPARLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDSDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKRDPLSSSNLRDSTALPLTSSLPNEASFDDNITDVPNEKNTFAWAKPTEREKSPPFNLDHIPSGRAEGHAAASVYSDYYKCQIGGQKAIAEDDFDYTKEAFELEKTSWMNNNKVESYDIPALEDKQRNDATMKRRDVPHFMTNNSNADDNHLNALLKEEEDLVSAHRKQVEETIDIVREEMNLLVEADQPGNQLDDYISKLNAILAQKAAGILQLQTRLAQFQRHLNEHSVLVSSYGN >Manes.16G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31905407:31907239:1 gene:Manes.16G113400.v8.1 transcript:Manes.16G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDTLPDGTLAHFDEQDQLQQVSIHSLAAGKKVVIVGVPGAFTPTCSLKHVPGFIEKAEELKSKGVAEILCISVNDPFVMKAWAKSYPENKHVKFLADGSATYTHALGLELDLSDKGLGTRSRRFALLVDDLKVKAANLEQGGEFTVSSVDEILKGL >Manes.03G149700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27694849:27702102:-1 gene:Manes.03G149700.v8.1 transcript:Manes.03G149700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ6 MNRAITRKLAAATNAYLSKVPRKNLCSGGGSSAPASTSTQINNHRHEDKQSFTHTVHTYDVAIVGGGMVGLALACSLATAPLTKHLKVAIIDSNPALGSKVSIKKEEPPDTRVSTVTPATISLFKDIGAWQYVQQHRHAYFDKMQVWDYTGLGYTKYNARDVNKDVLGCVVENKVLHGSLFSCVEDTDFQKTIYPSRLTSMSLHPSSLSTVDSSSSTEALYVKGRLAKLELSDGSSLYAKLVVGADGAKSQVRQLSGFKTTGWNYSQNAVICTVEHCVENQCAWQRFLPAGPIALLPMGDNFSNIVWTMNPKESSDCKSMNEDNFVKAVNHALDYGYGPHPRSSLLAGSDMFSWLRGNLVISANDTFEVPPKVVKLASARMAFPLSLMHAKDYASKRVVLIGDAAHAVHPLAGQGVNLGFGDAFALSRVIAEGIALGMDIGEVSLLKKYEAERKPANLTMMAILDGFQKAYSVDFGPLNVLRAAAFHGAHHISPLKKSIISYASGEHRLPLFS >Manes.02G218400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:33992986:34005591:-1 gene:Manes.02G218400.v8.1 transcript:Manes.02G218400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASAAAASSSSKKSSEPEVLERKRLKKLAFYNNLLSETPAKTNSPLNPSKLVLKHHGKDILRKSQRKNRFLFSFPGLLAPIAAGGKIGDLKDLGTRNPILYLHFPQGDMKLFGTIVYPKNRYLTLQFSRGGKNVLCEDYFDSMIVFSEAWWIGRKEENPEEARLDFPKQMFERQGQEDEYDFKGGAGAASVNKQVVHKSGFKYVEDESPDTELENDLSDDKNNLKDMKETAQIRHSGRTAGKTFKFAEASFGDDSVESIVADVAKEEGEEEKNVKSNTSSTIVDFDKEGAIEGNYVFQKVQACAVSDTKFKKLPESAVITLSNKDSDSHANHGSLVQATTSTLFNKVHDKRKVEEKNEPRSSRKSPSSKASGRKVQLAGRKRKIDQAGGPRKRGKVTEGKKSGTVTEAKMKVYEVPTCIF >Manes.02G218400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:33984930:34005591:-1 gene:Manes.02G218400.v8.1 transcript:Manes.02G218400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASAAAASSSSKKSSEPEVLERKRLKKLAFYNNLLSETPAKTNSPLNPSKLVLKHHGKDILRKSQRKNRFLFSFPGLLAPIAAGGKIGDLKDLGTRNPILYLHFPQGDMKLFGTIVYPKNRYLTLQFSRGGKNVLCEDYFDSMIVFSEAWWIGRKEENPEEARLDFPKQMFERQGQEDEYDFKGGAGAASVNKQVVHKSGFKYVEDESPDTELENDLSDDKNNLKDMKETAQIRHSGRTAGKTFKFAEASFGDDSVESIVADVAKEEGEEEKNVKSNTSSTIVDFDKEGAIEGNYVFQKVQACAVSDTKFKKLPESAVITLSNKDSDSHANHGSLVQATTSTLFNKVHDKRKVEEKNEPRSSRKSPSSKASGRKVQLAGRKRKIDQAGGPRKRGKVTEGKKSGTVTEAKMKVYEVEDDDIEEFSSSTQV >Manes.02G218400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:33984930:34005591:-1 gene:Manes.02G218400.v8.1 transcript:Manes.02G218400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASAAAASSSSKKSSEPEVLERKRLKKLAFYNNLLSETPAKTNSPLNPSKLVLKHHGKDILRKSQRKNRFLFSFPGLLAPIAAGGKIGDLKDLGTRNPILYLHFPQGDMKLFGTIVYPKNRYLTLQFSRGGKNVLCEDYFDSMIVFSEAWWIGRKEENPEEARLDFPKQMFERQGQEDEYDFKGGAGAASVNKQVVHKSGFKYVEDESPDTELENDLSDDKNNLKDMKETAQIRHSGRTAGKTFKFAEASFGDDSVESIVADVAKEEGEEEKNVKSNTSSTIVDFDKEGAIEGNYVFQKVQACAVSDTKFKKLPESAVITLSNKDSDSHANHGSLVQATTSTLFNKVHDKRKVEEKNEPRSSRKSPSSKASGRKVQLAGRKRKIDQAGGPRKRGKVTEGKKSGTVTEAKMKVYEVEDDDIEEFSSSTQSPDTDESDEDWTA >Manes.05G171600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28630143:28631111:-1 gene:Manes.05G171600.v8.1 transcript:Manes.05G171600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKAQVSHDVFPYLRVYKDGTIERFAGTEVVPAGLDPETGVFSKDTVIVPETRVSARLYRPSLAKASQKLPLVIYFHGGGFFISSTADPLYHRSLNKLVAEANIVLVSVDYRLAPENSLPAAYEDSWAALNWVAAHAGEGDGKEAWLKDYADFGRVFLGGDSCGANMTHHLTLKLKGSELSRELKIEGVAMIHPYFWGQDPVGVEKIDHVRRSMVDNWWTFICPSHKGCDDPLINPFADGSPSLEGLACNRVLVIVADKDILRDRGKLYYEKLLSSGWKGTAKLVEIRGEDHVFHIFDPNTENAKSMFRDLASFFGKARH >Manes.10G106300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25628804:25633068:1 gene:Manes.10G106300.v8.1 transcript:Manes.10G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIGGVSLRSISIRSSVRRLTPHFAKPLSTTATSGDLIDDKESPKSFESRDDFEQRIFGGISGSSSASESFFQKLDRLSKARNFTNSGPDKRYGSEVWNDLDGEEEYDGKGDAEGMDDFDDMDEYDEMDESFDSLSDGMDEKLKKAATYYKVDPEEMEEEDYEYRPDMRFPIGSTYDIKDLDLRKPGVSKAVRRREFEVTTEEVLAQADFRNVSFLANFITEAGIIIKRSQTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVFGKSMEHLDQDFEYESFSGPMEDDDDVNPRRA >Manes.07G024800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2703963:2720988:-1 gene:Manes.07G024800.v8.1 transcript:Manes.07G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSD2 MGHGSSKSPPEVEEESHSSRPKQKLHIHGHHHHLRRIFRRSHRNGSGSGTSCLKLVTAEDFAGIALLSIISAEMKFKDKWLACVSIGEQTFRTEISDQTEKPVWNSEKKLLLEKNGPHVARISVFETNRISKNNLIGYCEIDLLEFLSRDSDSDVEVFELFDPSSGIVVGKISVSCSVEDPIETEKRFARRILSIVDYNGDGQLSFSEFSDLIKAFGNQVAAKKKEELFKAADKNGDGVVSMDELADLLAIQQEKEPLITCCPVCGEILEVSDRLSTVVHLSLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSTYDVGLNFGSSASHILVFDRRTKRLVEELIDGKIVMSMRAIYQSKIGLQLIDKGAKELLISISEKQGRKMNSAESANDIPKFVEFFKDQINLVELKYPLESFKTFNEFFIRELKPGARPIAFMERDDIAVCAADSRLMAFENIEDSLRLWIKGQKFSIQGLLGKEICSTNFVDGSLVIFRLAPQDYHRFHLPVSGIIEQFVNVPGNLYTVNPIAVNSEYCNVFTENKRVVSIISTAEFGKVAFVAIGATMVGSITFLKKEGDSVKKGDEFGYFSFGGSTVICVFEKGAIQIDEDLLVNSARSLETLVSVGMKLGIATRKQSGIDLPNLENCVLED >Manes.08G155700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39254644:39262505:-1 gene:Manes.08G155700.v8.1 transcript:Manes.08G155700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAMRIRVLIRRFLVVSIRTSYKSVCKHPFLVGVVFFLIFLYRSFPLLFSLLVSSSPVFVCTAILLGTLLSFGEPNIPEIEKETEEVSHEISSFKAGAIGDATVVLEKDEDFFVENFAGKKKDAAEEATGKDNWEQNRVSKIEGDDGLGDYKPLIDVSSRDIKFEKQVNEEVEREFNDLEQEKNGEIYKEQRGIGEVLSNEEAVENHFSLVQDVGDESVLVEEDKSPAEFIEAEKGDHLNFELPSWKRINDDDEEEEEEEEEDDEASDSGSDGAESSSPDASLADIIPMLDELHPLLDEETPQPAGMSQDGSDAGSECSHKFKEGRVVSEYDVKNQEDGEEEEGEEEEDGDDDNDNEEREEAQGDKEDESKCAIKWTEDDQKNLMDLGTSELERNQRLESLIARRRARRNMRLMAEKNLIDLDGADLPLAIPPISTARHNPFDLPYDSYDNAPGSAPSVLLPRHNPFDLPYDSNEEKPDLKVDSFQQEFSAFQQRELVFRRHESFSVGPSIFGPPKQERQGLRWKPYFVPERFGTDETSYQTFQRQLSEVSESKLSSVPDTESVSSALEEEDKKLYEEDISQETEMLSNLDCISLLVEHGSLSSEGVDSIDIENIGRKDVQHGGDEIILGDVQNHHELDSSLSTPREETPAKPNTSEILLQMEPVEEECSSRSSLSSASEVDKKLPDVKKRSTSPDPGDTQIAESHISTSLDSVFHFMDVVVDENQERQPVLEPRGYHLGERVSVMQTSFDSDFHFTNGVEDDNKHMEPVFEPTRDHTGDSGILVQASLDSDSHFKTVVVDNDQQQELVLEPSGSESCILTQTSLNSDFHFVSGVVDDIQHQEPVYDSSPQGVGKFSFLSVSSDTRATSEMGSPSALAEFSGKESEVHTRNMGKNTCGNKETYEGSSKEHSLDENESRSREVTEVEHDANVGLSEDDLAFDFQKDKNGFVKSESVVEHDVADLPPSSSNNGSVKEDHEYGEESSNNESEELHSSIANAEIVIGHHQDVIDKLDSVSSGNHMASEKPILNALEEHPPVAADVSMDSKLSPSGSESVKEHAMYMEDILQPEQEEVPSSGLELMSSNELNLSENEERQPAVVAEQVLEAHPDASSSEIKLVEEHSSSADAMIVDSLLQDADVKLVSSGSSCHVPAEAKSHFEVEKQLSWSDKSIVVDDDKSQEPSVMLVESRGEVNIVNNINVPEVFDHEISETALFSPESLEYKSKVDEFDFKDNILDKIVYEDSGHVLEHPNYSETHRSSVAEENINEDEDEIKEIDEGLLSELDTVGDFSVKEVVGESLHDEKIPGKTSIAIPEYNLLPGDSSAREIKPELPILEVRSVTDIELAFKQLHEGVDVEEVIIPSMIEDQPFVASNLQVVEARSLEDLNVALKQASEGNNEETSKLSDSMGGPASVNEVGSSSSAKELPVLEVRTINDIDLAFKQLDEGVEVEEVILPSMIEDQPFVASNLQVVEARSLEDLNIAMQRASEGNNKEMPKLSDSMGGPASVNEVGSSSSAKELPTLEVRTINDIDLAFKQLNEGVDVEEVILPSTIEQHLANNESGNPQHSSFDLQVIEARSLDDLRVAMKQVSEANTEEKSKPSDLNKGPAEVNEVGSSISTKELPVLEVRTTDDIDRAFNQPNNGADFPCIIGQNLVVNQSSDPLYLSPDLQDIEASSVEDLDSAMKEVSGVKIEQLPKSSDSIKDISRTSEVNEIDATKGIESSTVEAHEAGVIQESGSSSVEFGSQQMSTAVPGEPKQ >Manes.07G065686.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:12280425:12280796:-1 gene:Manes.07G065686.v8.1 transcript:Manes.07G065686.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPSQNVFEEHRVTMPLLVVALIIYVKALWIEEKLKAAQANYQTIVGRFRLLACFLSIVLLVLILVPVVGWILLSIWTCSVIWLISESRQGICNFPRQAFDELKKLVGYAFQALRSGAVETA >Manes.16G013300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1313620:1316885:1 gene:Manes.16G013300.v8.1 transcript:Manes.16G013300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVFSFVLPLILLGVITFTSEAQPTYLYHVCPNTTTFTINSTYQDNLKIVLSQLRNPGIRTNGFSTSAFGEDPNDVYGQSLCFGDLSTEVCQECLDFATQDIIQRCPIEKVAIVWYDQCFLRYSKQLIQSTMAESPKVFMWNTQNVTDQERFNELLAKTMKEAANNASSAPVGGIKFAPGENNLTVFQTLYTLAQCTPDLSGNDCLLCLEAAISDLPTCCGGKIGGRVLSPSCNIRYEIYQFYNASGFIPESYPNRTKTVVTEASAFPPLPSANTRPTPAPGSITRPEEPKMSISEGGKGGKTSQIKVIGSASAAVAVLLLISSSIYTIWRRKILKKEEMEISEVQLLDMGIGPALCKSTPEEEMSMNSHQDFSMIPFDKVYDATKHFSDETKLGEGGFGPVYKGLLEDGREVAVKRLSRTSGQGLQEFMNEVTLIAKLQHRNLVRLLGCCLEKTEKLLIYEYMHNKSLDVFLFDSNMIVHLDWQKRLSIINGVARGVLYLHEDSRLRIIHRDLKASNVLLDYDMNPKISDFGMAKIFGGNDNKTTNRIVGTYFP >Manes.16G013300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1313620:1316885:1 gene:Manes.16G013300.v8.1 transcript:Manes.16G013300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVFSFVLPLILLGVITFTSEAQPTYLYHVCPNTTTFTINSTYQDNLKIVLSQLRNPGIRTNGFSTSAFGEDPNDVYGQSLCFGDLSTEVCQECLDFATQDIIQRCPIEKVAIVWYDQCFLRYSKQLIQSTMAESPKVFMWNTQNVTDQERFNELLAKTMKEAANNASSAPVGGIKFAPGENNLTVFQTLYTLAQCTPDLSGNDCLLCLEAAISDLPTCCGGKIGGRVLSPSCNIRYEIYQFYNASGFIPESYPNRTKTVVTEASAFPPLPSANTRPTPAPGSITRPEEGGKGGKTSQIKVIGSASAAVAVLLLISSSIYTIWRRKILKKEEMEISEVQLLDMGIGPALCKSTPEEEMSMNSHQDFSMIPFDKVYDATKHFSDETKLGEGGFGPVYKGLLEDGREVAVKRLSRTSGQGLQEFMNEVTLIAKLQHRNLVRLLGCCLEKTEKLLIYEYMHNKSLDVFLFDSNMIVHLDWQKRLSIINGVARGVLYLHEDSRLRIIHRDLKASNVLLDYDMNPKISDFGMAKIFGGNDNKTTNRIVGTYFP >Manes.16G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1313620:1316885:1 gene:Manes.16G013300.v8.1 transcript:Manes.16G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVFSFVLPLILLGVITFTSEAQPTYLYHVCPNTTTFTINSTYQDNLKIVLSQLRNPGIRTNGFSTSAFGEDPNDVYGQSLCFGDLSTEVCQECLDFATQDIIQRCPIEKVAIVWYDQCFLRYSKQLIQSTMAESPKVFMWNTQNVTDQERFNELLAKTMKEAANNASSAPVGGIKFAPGENNLTVFQTLYTLAQCTPDLSGNDCLLCLEAAISDLPTCCGGKIGGRVLSPSCNIRYEIYQFYNASGFIPESYPNRTKTVVTEASAFPPLPSANTRPTPAPGSITRPEEGGKGGKTSQIKVIGSASAAVAVLLLISSSIYTIWRRKILKKEEMEISEVQLLDMGIGPALCKSTPEEEMSMNSHQDFSMIPFDKVYDATKHFSDETKLGEGGFGPVYKGLLEDGREVAVKRLSRTSGQGLQEFMNEVTLIAKLQHRNLVRLLGCCLEKTEKLLIYEYMHNKSLDVFLFDSNMIVHLDWQKRLSIINGVARGVLYLHEDSRLRIIHRDLKASNVLLDYDMNPKISDFGMAKIFGGNDNKTTNRIVGTYGYMSPEYAMEGLFSVKSDVFSFGVLLLEIISGKRNNRFYLSEEGESLLTYSWRLWSNDEGMKLMDPSLVKSCVEAEVLKCIHIGLLCVQDDPAERPNMSSVVVMLGSDSIIIPQPKQSAFSISQFVARTTTSLSPKICSINQVSLSNVLPR >Manes.16G013300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1313620:1316885:1 gene:Manes.16G013300.v8.1 transcript:Manes.16G013300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVFSFVLPLILLGVITFTSEAQPTYLYHVCPNTTTFTINSTYQDNLKIVLSQLRNPGIRTNGFSTSAFGEDPNDVYGQSLCFGDLSTEVCQECLDFATQDIIQRCPIEKVAIVWYDQCFLRYSKQLIQSTMAESPKVFMWNTQNVTDQERFNELLAKTMKEAANNASSAPVGGIKFAPGENNLTVFQTLYTLAQCTPDLSGNDCLLCLEAAISDLPTCCGGKIGGRVLSPSCNIRYEIYQFYNASGFIPESYPNRTKTVVTEASAFPPLPSANTRPTPAPGSITRPEEPKMSISEGGKGGKTSQIKVIGSASAAVAVLLLISSSIYTIWRRKILKKEEMEISEVQLLDMGIGPALCKSTPEEEMSMNSHQDFSMIPFDKVYDATKHFSDETKLGEGGFGPVYKGLLEDGREVAVKRLSRTSGQGLQEFMNEVTLIAKLQHRNLVRLLGCCLEKTEKLLIYEYMHNKSLDVFLFDSNMIVHLDWQKRLSIINGVARGVLYLHEDSRLRIIHRDLKASNVLLDYDMNPKISDFGMAKIFGGNDNKTTNRIVGTYGYMSPEYAMEGLFSVKSDVFSFGVLLLEIISGKRNNRFYLSEEGESLLTYSWRLWSNDEGMKLMDPSLVKSCVEAEVLKCIHIGLLCVQDDPAERPNMSSVVVMLGSDSIIIPQPKQSAFSISQFVARTTTSLSPKICSINQVSLSNVLPR >Manes.13G076550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:12830693:12831358:1 gene:Manes.13G076550.v8.1 transcript:Manes.13G076550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMSIAPQKAIIAETGEEVDTDEVKLNTILAVKAGEIIPIDGIVVDGNCEVDEKTLNGESFPVPKQKVITYTFCSLLLDNIKLLQPDNISKFQVTLVLKLLL >Manes.05G161700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27436702:27439226:1 gene:Manes.05G161700.v8.1 transcript:Manes.05G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTHKGKYLSFLYLLFILASISVNFSSSIATVLDHDEHHSPYHEPEKQEVLLHKLEELVRNLSEVVARLETKLSESAKVVVPLEGSQNLEQDRVNQIKSDGKRSVVNVKEEDIEGKTRDGDTARAVSVTKYSPIWSERFQFVSAVKLDSDATCINVLPFRDYEGLSKYVAVGDDQGRVYVFSRNGDVLIDFQTHIDSPVTALVSYLSVYKNECIVATGHRNGVVLVHKVHESLNGEEWGSLSMENVGKFMPDDHLEGLAVTLLEVHHVGRSRYILSSDVGGKIRVFRENGTVHGSAMPTSRPLAFLKQRLLFLTESGAGSLDLRSMKVRESECEGLNHTLVRNYVFDATERSKAYGFTSEGDLIHVLLLGDVMNFKCRVRSKRKFDMDEPIAMQAIKGYLLVVNEEKIFAYNVSTQHYVRVGGPRLLFAAGLDEIRSSFLNYQVVDETNGRRLIPLIASDREKLVVIGLGSGYVGMYRSNLPVFKGEFNTMLWTSPVLFFILFLFVAWHFFAKKKEALTSWGPDDPFSSTSTTTGVPLGSSTGERTFADSSSRRDELMDLRAGGLRGPSMRYVSPSRYPAGTTGSFNTATADTNARPTSVDPNYRASSELKYRGPALESKVFPIRRENLYANNKGVDESS >Manes.16G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:713634:714610:1 gene:Manes.16G007100.v8.1 transcript:Manes.16G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMADSVDYTSNETTSTQDSKEGVKSQDSRPEFSEDEESLIARMFSLVGERWSLIAGRIPGRTAEEIEKYWTSKYSSSSER >Manes.18G067900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6103893:6107789:-1 gene:Manes.18G067900.v8.1 transcript:Manes.18G067900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGFAVNDEPVNGFNGKITASVVVTCIVAASSGLIFGYDIGISGGVTTMAPFLKKFFPSVLRKASGAKTNMYCVYDSQVLTAFTSSLYIAGLAASLVASRVSAALGRRNTMVLGGCTFLAGAAINGGAANISMLILGRILLGFGVGFTNQATPVYLSEVAPPKWRGAFNTGFQFFIGIGVVSANCINFGTAKHTWGWRLSLGLAIVPAAIMTMGALLISDTPTSLVERGKLEQARKSLIKVRGSETDVDAELAELIKYSEVGKAAQAEPFVTIFERQYRPHLVMSIAIPFFQQVTGINVIAFYAPVLFQSVGFGNDSALIAAIILGLVNLGSILVSTGVVDRYGRRFLFIVGGTQMFICQVAVACVLASTTGVSGTKHISKENAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPMKIRPTGQSISVAVNFATTFGLSQTFLTMLCHFKYGTFLFYAGWIVMMTIFIVLFLPETKGIPLDSMYTVWKRHWYWRRFV >Manes.18G067900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6103806:6107860:-1 gene:Manes.18G067900.v8.1 transcript:Manes.18G067900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGFAVNDEPVNGFNGKITASVVVTCIVAASSGLIFGYDIGISGGVTTMAPFLKKFFPSVLRKASGAKTNMYCVYDSQVLTAFTSSLYIAGLAASLVASRVSAALGRRNTMVLGGCTFLAGAAINGGAANISMLILGRILLGFGVGFTNQATPVYLSEVAPPKWRGAFNTGFQFFIGIGVVSANCINFGTAKHTWGWRLSLGLAIVPAAIMTMGALLISDTPTSLVERGKLEQARKSLIKVRGSETDVDAELAELIKYSEVGKAAQAEPFVTIFERQYRPHLVMSIAIPFFQQVTGINVIAFYAPVLFQSVGFGNDSALIAAIILGLVNLGSILVSTGVVDRYGRRFLFIVGGTQMFICQVAVACVLASTTGVSGTKHISKENAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPMKIRPTGQSISVAVNFATTFGLSQTFLTMLCHFKYGTFLFYAGWIVMMTIFIVLFLPETKGIPLDSMYTVWKRHWYWRRFV >Manes.18G067900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6103806:6107860:-1 gene:Manes.18G067900.v8.1 transcript:Manes.18G067900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGFAVNDEPVNGFNGKITASVVVTCIVAASSGLIFGYDIGISGGVTTMAPFLKKFFPSVLRKASGAKTNMYCVYDSQVLTAFTSSLYIAGLAASLVASRVSAALGRRNTMVLGGCTFLAGAAINGGAANISMLILGRILLGFGVGFTNQATPVYLSEVAPPKWRGAFNTGFQFFIGIGVVSANCINFGTAKHTWGWRLSLGLAIVPAAIMTMGALLISDTPTSLVERGKLEQARKSLIKVRGSETDVDAELAELIKYSEVGKAAQAEPFVTIFERQYRPHLVMSIAIPFFQQVTGINVIAFYAPVLFQSVGFGNDSALIAAIILGLVNLGSILVSTGVVDRYGRRFLFIVGGTQMFICQVAVACVLASTTGVSGTKHISKENAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPMKIRPTGQSISVAVNFATTFGLSQTFLTMLCHFKYGTFLFYAGWIVMMTIFIVLFLPETKGIPLDSMYTVWKRHWYWRRFV >Manes.18G067900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6104081:6107747:-1 gene:Manes.18G067900.v8.1 transcript:Manes.18G067900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGFAVNDEPVNGFNGKITASVVVTCIVAASSGLIFGYDIGISGGVTTMAPFLKKFFPSVLRKASGAKTNMYCVYDSQVLTAFTSSLYIAGLAASLVASRVSAALGRRNTMVLGGCTFLAGAAINGGAANISMLILGRILLGFGVGFTNQATPVYLSEVAPPKWRGAFNTGFQFFIGIGVVSANCINFGTAKHTWGWRLSLGLAIVPAAIMTMGALLISDTPTSLVERGKLEQARKSLIKVRGSETDVDAELAELIKYSEVGKAAQAEPFVTIFERQYRPHLVMSIAIPFFQQVTGINVIAFYAPVLFQSVGFGNDSALIAAIILGLVNLGSILVSTGVVDRYGRRFLFIVGGTQMFICQVAVACVLASTTGVSGTKHISKENAILVLVLMCIYAAGFGWSWGPLSWLIPSEIFPMKIRPTGQSISVAVNFATTFGLSQTFLTMLCHFKYGTFLFYAGWIVMMTIFIVLFLPETKGIPLDSMYTVWKRHWYWRRFV >Manes.14G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6946743:6950264:1 gene:Manes.14G083900.v8.1 transcript:Manes.14G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYNNPWFIVYVVLVCLYFQFQISSAVDKISGNQSLSGDRTISSEEGNFVLGFFKPGNSSNYYIGIWYNTLSELTAVWVANRDKPVSDKYSSQLRISDGNLALFNESNTLIWSTDLKPTTSSSLEAVLLDDGNFVLRDGTNSSDQLWQSFDHPTDTFLPGCRLGFNKITKTSTRLISWKNKDDPAPGLYSIEVDPNGTSQFYISWNRSKIMWTSGNWDGQRFSLLPEMRLNYIFNYTYVDNENERYFVYSLYNSSIISRLIIDVGGQIQQLSWLKSAKQWNLSWSQPRVECDLYAYCGSFGSCNLKSKPLCHCLSGFHPKSEEEWNSEVYNGGCARKTILQCGNSSLINGKSDQFLASPDMLLPTNPQPWALAKEKAQECGSTCLSDCLCTAYAYDGTHCSFWHGDLLDLRQREDGDPNGQTLYVRLAASEFSNSKSKERLIVVVVGSMVIVIVLGLLLLVILRRTKMRKIEKVSGSPSEIKDSIAAEGGQDNPQLVFFSFKTILAATSNFSEAQKLGEGGFGPVYKGNLPGDQEIAIKRLSRKSGQGLEEFMNELKLIANLQHKYLVRLLGCCVEREEKILIYEYMPNRSLDKFLFDPSQKAKLVWDKRLNIAEGVAQGLLYIHKFSRMKVIHRDLKASNILLDEAMNPKISDFGMARIFGINQTEANTNRVMGTYGYMSPEYAFSGKFSEKSDVFSFGVFLLEIVSGRRNTSFHRSGLSLTLLSWAWELWKEGNEAEIIDPSMNGTCRPEEAVRFIQVGLLCIQEDPTDRPTMSSVVLMLSSDTQTLPSPKEPAFHSRRTVESSSPRPNSCSKNEMTISLPEGR >Manes.14G083900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6946743:6950264:1 gene:Manes.14G083900.v8.1 transcript:Manes.14G083900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYNNPWFIVYVVLVCLYFQFQISSAVDKISGNQSLSGDRTISSEEGNFVLGFFKPGNSSNYYIGIWYNTLSELTAVWVANRDKPVSDKYSSQLRISDGNLALFNESNTLIWSTDLKPTTSSSLEAVLLDDGNFVLRDGTNSSDQLWQSFDHPTDTFLPGCRLGFNKITKTSTRLISWKNKDDPAPGLYSIEVDPNGTSQFYISWNRSKIMWTSGNWDGQRFSLLPEMRLNYIFNYTYVDNENERYFVYSLYNSSIISRLIIDVGGQIQQLSWLKSAKQWNLSWSQPRVECDLYAYCGSFGSCNLKSKPLCHCLSGFHPKSEEEWNSEVYNGGCARKTILQCGNSSLINGKSDQFLASPDMLLPTNPQPWALAKEKAQECGSTCLSDCLCTAYAYDGTHCSFWHGDLLDLRQREDGDPNGQTLYVRLAASEFSNSKSKERLIVVVVGSMVIVIVLGLLLLVILRRTKMRKIGSPSEIKDSIAAEGGQDNPQLVFFSFKTILAATSNFSEAQKLGEGGFGPVYKGNLPGDQEIAIKRLSRKSGQGLEEFMNELKLIANLQHKYLVRLLGCCVEREEKILIYEYMPNRSLDKFLFDPSQKAKLVWDKRLNIAEGVAQGLLYIHKFSRMKVIHRDLKASNILLDEAMNPKISDFGMARIFGINQTEANTNRVMGTYGYMSPEYAFSGKFSEKSDVFSFGVFLLEIVSGRRNTSFHRSGLSLTLLSWAWELWKEGNEAEIIDPSMNGTCRPEEAVRFIQVGLLCIQEDPTDRPTMSSVVLMLSSDTQTLPSPKEPAFHSRRTVESSSPRPNSCSKNEMTISLPEGR >Manes.03G079300.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340295:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341530:21344989:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340209:21345206:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341511:21345202:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341860:21343335:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340209:21345206:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340209:21345206:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341860:21343199:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVADVHGWNISSNIAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340209:21345206:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341860:21343303:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVHGWNISSNIAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341530:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341530:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340295:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341530:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341511:21345202:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340295:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340295:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340209:21345206:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341860:21343335:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21341530:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.03G079300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21340295:21345135:-1 gene:Manes.03G079300.v8.1 transcript:Manes.03G079300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGCWRELEGRAIATTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDISPNDEWVNMSMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNRIIAQCRQKCEVMQEKFTEKLEQVHTAYQKMAKRCQMMQQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESIKRSAIQPAGNFYSRNEPDFFPNPSATFRDNRDPIRKDWSVFTPPTPGPREDIWPARQNSSNSGPFDISGASPGKQAAIPVDPGNRRTGIHNTFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.11G055447.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7674469:7674919:1 gene:Manes.11G055447.v8.1 transcript:Manes.11G055447.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSTNETDEPVQMQEETLEHTPAALEGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSINNDIKMRYTAPWKTWSEIPLKTKDELF >Manes.17G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25193556:25194886:-1 gene:Manes.17G054300.v8.1 transcript:Manes.17G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAILENKPIFVAILLVGIWTSQTSSRSLKDASMTEKHEMWMAKYGRVYKDNAEKERRFNIFEENVKFIESFNNAGNKPYKLDINEFADLANEEFRASRNGYKRSAILKSSTSSFRYENVTAVPTSIDWRNKGAVTPIKDQGQCGCCWAFSAVAAMEGITKLSTGKLISLSEQELVDCDTNGEDQGCEGGLMDDAFEFIIKNGGLTTEANYPYKGQDDTCNTGKASNHAAKITGYEDVPANSEEALKKAVANHPVSVAIDAGGSAFQFYSNGIFTGECGTELDHGVTAVGYGTSDDGTKYWLVKNSWGTSWGEDGYIRMERDIDAKEGLCGIAMEPSYPTA >Manes.03G159500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28683361:28694115:-1 gene:Manes.03G159500.v8.1 transcript:Manes.03G159500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSISGEVPEEPVVSKKSGLLYEKRLIERHISDYGKCPITGEPLAMDDIVPVKTGKIVKPRTVQTASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQMPTLATAATANAFALSNGKRAAEDDDLGPPGKRIHPGISASIITELTDCNAALSQQRKKRQIPPTLASVDALERYTQLSSHPLHKTSKPGIVSIDIHYSKDVIATGGVDSTAVLFDRPSGQILSTLSGHSKKVTSVKFVAEGDLFLTGSADKTVRIWQGSEEGKYDCRHILKDHTAEVHAVTVHATNNYFVTASLDNTWCFYDLSSGLCLTQVSDTSKSDTSKPEGYTSAAFHPDGLILGTGTSEAVVKIWDVKSQANVAKFDGHVGAVTAISFSENGYFLATAAHDSVKLWDLRKLKNFRTLNLYDPDTPTNSVEFDHSGCYLGVGGSDIRVFQVASVKAEWNCIKTLPDLSGTGRTTCVKFGPDAKYIAVGSMDRNLRIFGLPGDDGQTE >Manes.06G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27967084:27967500:1 gene:Manes.06G152300.v8.1 transcript:Manes.06G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSYGGGKSSLGYLFGSDEQPNETRAPPPVVKAPWGDDNSTNTPQDNPSKKLNVSNNYHRAQGQNSGNFLTDRPTTKVQSAPGGESSVGYLFGDK >Manes.14G129428.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10326106:10327895:1 gene:Manes.14G129428.v8.1 transcript:Manes.14G129428.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGPSIISGGKTARRAFEFGRTYVVRPKGKHLATVVWLHGLGDNGSRGSQLLETLPLPNIKWICPTAPTRPITVFGGFPSTACDLIGELSENAPDDVEGFDAAAAHIANLLSTEPADIKLGIGGFSMGAATYILQPAFL >Manes.06G078200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21460437:21468292:-1 gene:Manes.06G078200.v8.1 transcript:Manes.06G078200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYSIDNPESDRRHEGFSSQGGGIRDIESFMEVRTKADADLAYSSEKLVNLHGLLIHLLAWDDDLEVVAMENSYISTTSFEKALVFDLLSGIFDSEVREVENFMDNIQADIVDARHKIFSNKHSTELVTIMGEKLHDTEESLYKTQERLAEVKKQSIKLQSASPTFRLENWKEDKSLEFSANNQLQHINADAKWRTAEQKRNILGMLDKSLAREIYLEKKLSELRQSEEQLKLKLHYTEQVTFRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRIQIVQFNLNGSLQREAELKSELEDCRRQLDAKNTALRKLEISTAEPVTKSLEVPTLMEKVNSLEEQLKRSELCLKHANEFNEDIQEQLSELENIVETLKDNIYEAESRAEIAEAKVTQLTDTNLELTEEINFLKSSADSNNKKVSLLEKQVRELEIQQQHSKVSSEVSQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTESTEEQCIILSETNMELGKELSFLRSRVKSLEVALDQANNSKAAGAKEIDLKTRLIMDTVRQLAKEREHIQNQLFSLTRENKLLVEKLRNAKSEAHTIIHKYGDVDVKKVPFSESNLSNETFGKASEEAMSLSKSSQAGG >Manes.06G078200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21460437:21468292:-1 gene:Manes.06G078200.v8.1 transcript:Manes.06G078200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKLHDTEESLYKTQERLAEVKKQSIKLQSASPTFRLENWKEDKSLEFSANNQLQHINADAKWRTAEQKRNILGMLDKSLAREIYLEKKLSELRQSEEQLKLKLHYTEQVTFRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRIQIVQFNLNGSLQREAELKSELEDCRRQLDAKNTALRKLEISTAEPVTKSLEVPTLMEKVNSLEEQLKRSELCLKHANEFNEDIQEQLSELENIVETLKDNIYEAESRAEIAEAKVTQLTDTNLELTEEINFLKSSADSNNKKVSLLEKQVRELEIQQQHSKVSSEVSQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTESTEEQCIILSETNMELGKELSFLRSRVKSLEVALDQANNSKAAGAKEIDLKTRLIMDTVRQLAKEREHIQNQLFSLTRENKLLVEKLRNAKSEAHTIIHKYGDVDVKKVPFSESNLSNETFGKASEEAMSLSKSSQVDKAIEDANPHEIEEGSSHHPTDESCDLVSKLEVDKTEQAGKTNRVLNFKAILVAVIAVVAIYLLHKEPLQFENF >Manes.06G078200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21460437:21468292:-1 gene:Manes.06G078200.v8.1 transcript:Manes.06G078200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKLHDTEESLYKTQERLAEVKKQSIKLQSASPTFRLENWKEDKSLEFSANNQLQHINADAKWRTAEQKRNILGMLDKSLAREIYLEKKLSELRQSEEQLKLKLHYTEQVTFRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRIQIVQFNLNGSLQREAELKSELEDCRRQLDAKNTALRKLEISTAEPVTKSLEVPTLMEKVNSLEEQLKRSELCLKHANEFNEDIQEQLSELENIVETLKDNIYEAESRAEIAEAKVTQLTDTNLELTEEINFLKSSADSNNKKVSLLEKQVRELEIQQQHSKVSSEVSQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTESTEEQCIILSETNMELGKELSFLRSRVKSLEVALDQANNSKAAGAKEIDLKTRLIMDTVRQLAKEREHIQNQLFSLTRENKLLVEKLRNAKSEAHTIIHKYGDVDVKKVPFSESNLSNETFGKASEEAMSLSKSSQAGG >Manes.06G078200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21460437:21468292:-1 gene:Manes.06G078200.v8.1 transcript:Manes.06G078200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLMKSLFLVLSCRQKLKELNSRMDDYSIDNPESDRRHEGFSSQGGGIRDIESFMEVRTKADADLAYSSEKLVNLHGLLIHLLAWDDDLEVVAMENSYISTTSFEKALVFDLLSGIFDSEVREVENFMDNIQADIVDARHKIFSNKHSTELVTIMGEKLHDTEESLYKTQERLAEVKKQSIKLQSASPTFRLENWKEDKSLEFSANNQLQHINADAKWRTAEQKRNILGMLDKSLAREIYLEKKLSELRQSEEQLKLKLHYTEQVTFRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRIQIVQFNLNGSLQREAELKSELEDCRRQLDAKNTALRKLEISTAEPVTKSLEVPTLMEKVNSLEEQLKRSELCLKHANEFNEDIQEQLSELENIVETLKDNIYEAESRAEIAEAKVTQLTDTNLELTEEINFLKSSADSNNKKVSLLEKQVRELEIQQQHSKVSSEVSQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTESTEEQCIILSETNMELGKELSFLRSRVKSLEVALDQANNSKAAGAKEIDLKTRLIMDTVRQLAKEREHIQNQLFSLTRENKLLVEKLRNAKSEAHTIIHKYGDVDVKKVPFSESNLSNETFGKASEEAMSLSKSSQAGG >Manes.06G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21460437:21468292:-1 gene:Manes.06G078200.v8.1 transcript:Manes.06G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLMKSLFLVLSCRQKLKELNSRMDDYSIDNPESDRRHEGFSSQGGGIRDIESFMEVRTKADADLAYSSEKLVNLHGLLIHLLAWDDDLEVVAMENSYISTTSFEKALVFDLLSGIFDSEVREVENFMDNIQADIVDARHKIFSNKHSTELVTIMGEKLHDTEESLYKTQERLAEVKKQSIKLQSASPTFRLENWKEDKSLEFSANNQLQHINADAKWRTAEQKRNILGMLDKSLAREIYLEKKLSELRQSEEQLKLKLHYTEQVTFRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRIQIVQFNLNGSLQREAELKSELEDCRRQLDAKNTALRKLEISTAEPVTKSLEVPTLMEKVNSLEEQLKRSELCLKHANEFNEDIQEQLSELENIVETLKDNIYEAESRAEIAEAKVTQLTDTNLELTEEINFLKSSADSNNKKVSLLEKQVRELEIQQQHSKVSSEVSQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTESTEEQCIILSETNMELGKELSFLRSRVKSLEVALDQANNSKAAGAKEIDLKTRLIMDTVRQLAKEREHIQNQLFSLTRENKLLVEKLRNAKSEAHTIIHKYGDVDVKKVPFSESNLSNETFGKASEEAMSLSKSSQVDKAIEDANPHEIEEGSSHHPTDESCDLVSKLEVDKTEQAGKTNRVLNFKAILVAVIAVVAIYLLHKEPLQFENF >Manes.06G078200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21460437:21468292:-1 gene:Manes.06G078200.v8.1 transcript:Manes.06G078200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKLHDTEESLYKTQERLAEVKKQSIKLQSASPTFRLENWKEDKSLEFSANNQLQHINADAKWRTAEQKRNILGMLDKSLAREIYLEKKLSELRQSEEQLKLKLHYTEQVTFRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRIQIVQFNLNGSLQREAELKSELEDCRRQLDAKNTALRKLEISTAEPVTKSLEVPTLMEKVNSLEEQLKRSELCLKHANEFNEDIQEQLSELENIVETLKDNIYEAESRAEIAEAKVTQLTDTNLELTEEINFLKSSADSNNKKVSLLEKQVRELEIQQQHSKVSSEVSQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTESTEEQCIILSETNMELGKELSFLRSRVKSLEVALDQANNSKAAGAKEIDLKTRLIMDTVRQLAKEREHIQNQLFSLTRENKLLVEKLRNAKSEAHTIIHKYGDVDVKKVPFSESNLSNETFGKASEEAMSLSKSSQAGG >Manes.06G078200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21460437:21468292:-1 gene:Manes.06G078200.v8.1 transcript:Manes.06G078200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYSIDNPESDRRHEGFSSQGGGIRDIESFMEVRTKADADLAYSSEKLVNLHGLLIHLLAWDDDLEVVAMENSYISTTSFEKALVFDLLSGIFDSEVREVENFMDNIQADIVDARHKIFSNKHSTELVTIMGEKLHDTEESLYKTQERLAEVKKQSIKLQSASPTFRLENWKEDKSLEFSANNQLQHINADAKWRTAEQKRNILGMLDKSLAREIYLEKKLSELRQSEEQLKLKLHYTEQVTFRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRIQIVQFNLNGSLQREAELKSELEDCRRQLDAKNTALRKLEISTAEPVTKSLEVPTLMEKVNSLEEQLKRSELCLKHANEFNEDIQEQLSELENIVETLKDNIYEAESRAEIAEAKVTQLTDTNLELTEEINFLKSSADSNNKKVSLLEKQVRELEIQQQHSKVSSEVSQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTESTEEQCIILSETNMELGKELSFLRSRVKSLEVALDQANNSKAAGAKEIDLKTRLIMDTVRQLAKEREHIQNQLFSLTRENKLLVEKLRNAKSEAHTIIHKYGDVDVKKVPFSESNLSNETFGKASEEAMSLSKSSQVDKAIEDANPHEIEEGSSHHPTDESCDLVSKLEVDKTEQAGKTNRVLNFKAILVAVIAVVAIYLLHKEPLQFENF >Manes.06G078200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21460437:21468292:-1 gene:Manes.06G078200.v8.1 transcript:Manes.06G078200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKLHDTEESLYKTQERLAEVKKQSIKLQSASPTFRLENWKEDKSLEFSANNQLQHINADAKWRTAEQKRNILGMLDKSLAREIYLEKKLSELRQSEEQLKLKLHYTEQVTFRMEEAAEVVWGRFLEAENAAEVLMGISKELVGRIQIVQFNLNGSLQREAELKSELEDCRRQLDAKNTALRKLEISTAEPVTKSLEVPTLMEKVNSLEEQLKRSELCLKHANEFNEDIQEQLSELENIVETLKDNIYEAESRAEIAEAKVTQLTDTNLELTEEINFLKSSADSNNKKVSLLEKQVRELEIQQQHSKVSSEVSQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTESTEEQCIILSETNMELGKELSFLRSRVKSLEVALDQANNSKAAGAKEIDLKTRLIMDTVRQLAKEREHIQNQLFSLTRENKLLVEKLRNAKSEAHTIIHKYGDVDVKKVPFSESNLSNETFGKASEEAMSLSKSSQVDKAIEDANPHEIEEGSSHHPTDESCDLVSKLEVDKTEQAGKTNRVLNFKAILVAVIAVVAIYLLHKEPLQFENF >Manes.16G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4007160:4010888:-1 gene:Manes.16G032800.v8.1 transcript:Manes.16G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEEVEERTLETTPTWAVATVCFILILLSITIEHLLHLLAKYFNKRKRKALIQALDKIRSELMLLGFMSLLLTVSEKPIANICIPMSVGETFLPCGSLSSSENEEETKCAEQGKLSLLSRSGVRELQFLIFVLASFHSLSSILIFGLGMAKMRKWESWEAETRTLEYQFSKDPRRFLLAHQTSFGKRHLRYWSEHRLIRWPTCFLRQFYESVSKVDYLTLRHGFVMAHFEQGTNFDFQNYIERALEKDFGVVVGISFWIWVFSVFYIFFNAQKFHSYLWLPFIPLVLLLLVGTKLQGIITAMCIDSHDKSHVVRGTLLVKPSDHFFWFGRPKLLLHLIHFILFQNSFQLAFFTWTWFKFGLRSCFHRETEDIIIRLVMGVLVHFLCGYVTLPLYALVTQMGSSMRKAVFPEDVVVGLKRWRARAKKNLKTSNSVATSLDNSLHTSPSFRVDTSYSFEFSSPSDVGEHVAIKVINGGGGGGEEEEDEEDEEGKEKQTQDEHQRNDSFAGFASNLTLVLEKQRS >Manes.06G149600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27807888:27808070:-1 gene:Manes.06G149600.v8.1 transcript:Manes.06G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAESSGAKKVMSPCDVEALKKCLEENKGDYVKCQSQIEAFKSSCSLKKPSSSLQSSS >Manes.08G168800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40289308:40297871:-1 gene:Manes.08G168800.v8.1 transcript:Manes.08G168800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNSLRFAVSALLAVRNSLIDPFRQLRNWDKGDPCTSNWTGVVCYDTIGTDSYWHVDELQLLNMNLSGSLAPQLGQLTRLKILDFMWNDLDGSIPKEIGNISTLILLLLSGNKLSGALPDELGFLSSLNRLQLDQNKISGQIPKSYANLSSVKHIHFNNNSISGQIPSELSKLSTLLHLLLDNNNLSGNLPPELSNLPELRILQLNNNNFNGSEIPATYAKFSKLAKLSLRNCSLRGAIPDFSSIPDLLYLDLSWNYLTGSIPSNLSVNMTTIDLSDNRLNGSIPESFSNLPMLQRLSLENNLFTGSVPTDIRQNMSFNTNDRLTIDLRNNLLSNILGKLNQRHNITLRLEGNPVCNDANISNIEQFCGSDAERDGTTESSTNYTTICPIQACPVDNFFEYAPASPMPCYCAAPLRIGIRLKSPSFSYFLPYIYPYEKYLTSALKLDLYQLYIDSLIWEEGPRLRMYLKLFPAWDDEHSHIFNSTEVQRLRHMFLSWNFPRTDFFGPYELLNFTLVGPYSHLDFGTQRRGINKAVWAAIIIGAIACTFVASTIITLLIVRRHARYSWNLSRKRLSTKISMKVDGVKFFTLREMVLATDNFNSSAQVGRGGYGKVYRGILSDNTTVAIKRAEQGSFQGQKEFLTEIRLLSRLHHRNLVSLIGYCDEKGEQMLVYEFMPNGTLRDWLSATAKEKLNFGMRLNIALGSAKGILYLHTEANPPVFHRDIKASNILLDSKLTAKVADFGLSRLAPVLNDEGTLANHVSTVVRGTPGYIDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVLMAHQSGTMFSIIDSRMGSYPSECVGRLVSLALRCCHDEPENRPSMLEVVRELENILKMMPETDAIFSEPMYPYSGKSASSSPLCRSMDPYASCSVLGSDLASDITPIINPR >Manes.08G168800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40289308:40297796:-1 gene:Manes.08G168800.v8.1 transcript:Manes.08G168800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGSLAPQLGQLTRLKILDFMWNDLDGSIPKEIGNISTLILLLLSGNKLSGALPDELGFLSSLNRLQLDQNKISGQIPKSYANLSSVKHIHFNNNSISGQIPSELSKLSTLLHLLLDNNNLSGNLPPELSNLPELRILQLNNNNFNGSEIPATYAKFSKLAKLSLRNCSLRGAIPDFSSIPDLLYLDLSWNYLTGSIPSNLSVNMTTIDLSDNRLNGSIPESFSNLPMLQRLSLENNLFTGSVPTDIRQNMSFNTNDRLTIDLRNNLLSNILGKLNQRHNITLRLEGNPVCNDANISNIEQFCGSDAERDGTTESSTNYTTICPIQACPVDNFFEYAPASPMPCYCAAPLRIGIRLKSPSFSYFLPYIYPYEKYLTSALKLDLYQLYIDSLIWEEGPRLRMYLKLFPAWDDEHSHIFNSTEVQRLRHMFLSWNFPRTDFFGPYELLNFTLVGPYSHLDFGTQRRGINKAVWAAIIIGAIACTFVASTIITLLIVRRHARYSWNLSRKRLSTKISMKVDGVKFFTLREMVLATDNFNSSAQVGRGGYGKVYRGILSDNTTVAIKRAEQGSFQGQKEFLTEIRLLSRLHHRNLVSLIGYCDEKGEQMLVYEFMPNGTLRDWLSATAKEKLNFGMRLNIALGSAKGILYLHTEANPPVFHRDIKASNILLDSKLTAKVADFGLSRLAPVLNDEGTLANHVSTVVRGTPGYIDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVLMAHQSGTMFSIIDSRMGSYPSECVGRLVSLALRCCHDEPENRPSMLEVVRELENILKMMPETDAIFSEPMYPYSGKSASSSPLCRSMDPYASCSVLGSDLASDITPIINPR >Manes.08G168800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40289308:40297871:-1 gene:Manes.08G168800.v8.1 transcript:Manes.08G168800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVRFSGPVFLVSHCFLVLLTLAQTSSTDPSEVSALLAVRNSLIDPFRQLRNWDKGDPCTSNWTGVVCYDTIGTDSYWHVDELQLLNMNLSGSLAPQLGQLTRLKILDFMWNDLDGSIPKEIGNISTLILLLLSGNKLSGALPDELGFLSSLNRLQLDQNKISGQIPKSYANLSSVKHIHFNNNSISGQIPSELSKLSTLLHLLLDNNNLSGNLPPELSNLPELRILQLNNNNFNGSEIPATYAKFSKLAKLSLRNCSLRGAIPDFSSIPDLLYLDLSWNYLTGSIPSNLSVNMTTIDLSDNRLNGSIPESFSNLPMLQRLSLENNLFTGSVPTDIRQNMSFNTNDRLTIDLRNNLLSNILGKLNQRHNITLRLEGNPVCNDANISNIEQFCGSDAERDGTTESSTNYTTICPIQACPVDNFFEYAPASPMPCYCAAPLRIGIRLKSPSFSYFLPYIYPYEKYLTSALKLDLYQLYIDSLIWEEGPRLRMYLKLFPAWDDEHSHIFNSTEVQRLRHMFLSWNFPRTDFFGPYELLNFTLVGPYSHLDFGTQRRGINKAVWAAIIIGAIACTFVASTIITLLIVRRHARYSWNLSRKRLSTKISMKVDGVKFFTLREMVLATDNFNSSAQVGRGGYGKVYRGILSDNTTVAIKRAEQGSFQGQKEFLTEIRLLSRLHHRNLVSLIGYCDEKGEQMLVYEFMPNGTLRDWLSATAKEKLNFGMRLNIALGSAKGILYLHTEANPPVFHRDIKASNILLDSKLTAKVADFGLSRLAPVLNDEGTLANHVSTVVRGTPGYIDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVLMAHQSGTMFSIIDSRMGSYPSECVGRLVSLALRCCHDEPENRPSMLEVVRELENILKMMPETDAIFSEPMYPYSGKSASSSPLCRSMDPYASCSVLGSDLASDITPIINPR >Manes.08G168800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40289308:40297913:-1 gene:Manes.08G168800.v8.1 transcript:Manes.08G168800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGSLAPQLGQLTRLKILDFMWNDLDGSIPKEIGNISTLILLLLSGNKLSGALPDELGFLSSLNRLQLDQNKISGQIPKSYANLSSVKHIHFNNNSISGQIPSELSKLSTLLHLLLDNNNLSGNLPPELSNLPELRILQLNNNNFNGSEIPATYAKFSKLAKLSLRNCSLRGAIPDFSSIPDLLYLDLSWNYLTGSIPSNLSVNMTTIDLSDNRLNGSIPESFSNLPMLQRLSLENNLFTGSVPTDIRQNMSFNTNDRLTIDLRNNLLSNILGKLNQRHNITLRLEGNPVCNDANISNIEQFCGSDAERDGTTESSTNYTTICPIQACPVDNFFEYAPASPMPCYCAAPLRIGIRLKSPSFSYFLPYIYPYEKYLTSALKLDLYQLYIDSLIWEEGPRLRMYLKLFPAWDDEHSHIFNSTEVQRLRHMFLSWNFPRTDFFGPYELLNFTLVGPYSHLDFGTQRRGINKAVWAAIIIGAIACTFVASTIITLLIVRRHARYSWNLSRKRLSTKISMKVDGVKFFTLREMVLATDNFNSSAQVGRGGYGKVYRGILSDNTTVAIKRAEQGSFQGQKEFLTEIRLLSRLHHRNLVSLIGYCDEKGEQMLVYEFMPNGTLRDWLSATAKEKLNFGMRLNIALGSAKGILYLHTEANPPVFHRDIKASNILLDSKLTAKVADFGLSRLAPVLNDEGTLANHVSTVVRGTPGYIDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVLMAHQSGTMFSIIDSRMGSYPSECVGRLVSLALRCCHDEPENRPSMLEVVRELENILKMMPETDAIFSEPMYPYSGKSASSSPLCRSMDPYASCSVLGSDLASDITPIINPR >Manes.08G168800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40289308:40297739:-1 gene:Manes.08G168800.v8.1 transcript:Manes.08G168800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVRFSGPVFLVSHCFLVLLTLAQTSSTDPSEVSALLAVRNSLIDPFRQLRNWDKGDPCTSNWTGVVCYDTIGTDSYWHVDELQLLNMNLSGSLAPQLGQLTRLKILDFMWNDLDGSIPKEIGNISTLILLLLSGNKLSGALPDELGFLSSLNRLQLDQNKISGQIPKSYANLSSVKHIHFNNNSISGQIPSELSKLSTLLHLLLDNNNLSGNLPPELSNLPELRILQLNNNNFNGSEIPATYAKFSKLAKLSLRNCSLRGAIPDFSSIPDLLYLDLSWNYLTGSIPSNLSVNMTTIDLSDNRLNGSIPESFSNLPMLQRLSLENNLFTGSVPTDIRQNMSFNTNDRLTIDLRNNLLSNILGKLNQRHNITLRLEGNPVCNDANISNIEQFCGSDAERDGTTESSTNYTTICPIQACPVDNFFEYAPASPMPCYCAAPLRIGIRLKSPSFSYFLPYIYPYEKYLTSALKLDLYQLYIDSLIWEEGPRLRMYLKLFPAWDDEHSHIFNSTEVQRLRHMFLSWNFPRTDFFGPYELLNFTLVGPYSHLDFGTQRRGINKAVWAAIIIGAIACTFVASTIITLLIVRRHARYSWNLSRKRLSTKISMKVDGVKFFTLREMVLATDNFNSSAQVGRGGYGKVYRGILSDNTTVAIKRAEQGSFQGQKEFLTEIRLLSRLHHRNLVSLIGYCDEKGEQMLVYEFMPNGTLRDWLSATAKEKLNFGMRLNIALGSAKGILYLHTEANPPVFHRDIKASNILLDSKLTAKVADFGLSRLAPVLNDEGTLANHVSTVVRGTPGYIDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVLMAHQSGTMFSIIDSRMGSYPSECVGRLVSLALRCCHDEPENRPSMLEVVRELENILKMMPETDAIFSEPMYPYSGKSASSSPLCRSMDPYASCSVLGSDLASDITPIINPR >Manes.08G168800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40289308:40297913:-1 gene:Manes.08G168800.v8.1 transcript:Manes.08G168800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVRFSGPVFLVSHCFLVLLTLAQTSSTDPSEVSALLAVRNSLIDPFRQLRNWDKGDPCTSNWTGVVCYDTIGTDSYWHVDELQLLNMNLSGSLAPQLGQLTRLKILDFMWNDLDGSIPKEIGNISTLILLLLSGNKLSGALPDELGFLSSLNRLQLDQNKISGQIPKSYANLSSVKHIHFNNNSISGQIPSELSKLSTLLHLLLDNNNLSGNLPPELSNLPELRILQLNNNNFNGSEIPATYAKFSKLAKLSLRNCSLRGAIPDFSSIPDLLYLDLSWNYLTGSIPSNLSVNMTTIDLSDNRLNGSIPESFSNLPMLQRLSLENNLFTGSVPTDIRQNMSFNTNDRLTIDLRNNLLSNILGKLNQRHNITLRLEGNPVCNDANISNIEQFCGSDAERDGTTESSTNYTTICPIQACPVDNFFEYAPASPMPCYCAAPLRIGIRLKSPSFSYFLPYIYPYEKYLTSALKLDLYQLYIDSLIWEEGPRLRMYLKLFPAWDDEHSHIFNSTEVQRLRHMFLSWNFPRTDFFGPYELLNFTLVGPYSHLDFGTQRRGINKAVWAAIIIGAIACTFVASTIITLLIVRRHARYSWNLSRKRLSTKISMKVDGVKFFTLREMVLATDNFNSSAQVGRGGYGKVYRGILSDNTTVAIKRAEQGSFQGQKEFLTEIRLLSRLHHRNLVSLIGYCDEKGEQMLVYEFMPNGTLRDWLSATAKEKLNFGMRLNIALGSAKGILYLHTEANPPVFHRDIKASNILLDSKLTAKVADFGLSRLAPVLNDEGTLANHVSTVVRGTPGYIDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVLMAHQSGTMFSIIDSRMGSYPSECVGRLVSLALRCCHDEPENRPSMLEVVRELENILKMMPETDAIFSEPMYPYSGKSASSSPLCRSMDPYASCSVLGSDLASDITPIINPR >Manes.14G091000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7519604:7521645:-1 gene:Manes.14G091000.v8.1 transcript:Manes.14G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVARKQVLEKNSIFVRDKERPCSNGFFSKHLKKVYPIGLHRSNSSLSLSSVSLSLSQNSNDSSLTDYSTPLEQKISLALRLITPLERREAPAVSKNVQQQPQQQSNQESTGGELKRCNWVTKNSDEVYVTFHDESWGVPVYDDNQLFELLAMSGMLMDYNWTEIIKRKELFREAFAGFHPNIVAKMGEKEIIEIASNKAIMLAESRVRCIIDNAKCIVKIEREFGSFSSYMWGYVNFKPVINRYKYPRNVPLRTPKAEAISRDLLKRGFRFVGPVIVYSFMQVAGLTIDHMVDCFRYGECVSLAERPWRHI >Manes.17G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28570064:28574460:1 gene:Manes.17G080700.v8.1 transcript:Manes.17G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMVHRNTNKITLILVYAMLEWVLIVLLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHLFEPAKFQNSYRSLVCEAHAMEISKLGYCLNHRKLAESQDMCEDCSSSPQAEFSNKFAFFPWMKKLGVVQECGSDKISESFEVISKCGCCGVSLEKKLCCPGNYLMKPSWGDSDDIHKGNFVLEADSDYNIDEGEDRSDKKTSGFVCDRCGEEQGVDKNWGVEERNCEEKTEEILSCSVSSFDCKEMVADESFKAETLMEKELESVEKDELNVSVDDPSCDQSGTIEEAGCKKDISSEIQQQHIEFYIDQDDCHLIPIELMVSSKQISDRNQKREKENRGNEDFVFEFDKNVGTLYELVEDRFNLDDKIPLLPILESEEEAMVAEMESSEFNENESSSELLADYELKVDLEEVAIAQPTQTPTSNGDAVIQEGSEIEGEEMESDKNQVSEEIHQTHSNEIEADISIGTENPDHEPVEDFQSQEVHINARDYHGSKQDEEDLVHVRTITVETSEPVTNNHLPLNSESNDTEEDKIPDTPTSVDSIHHLHKKLLFVERRESGIEESLDGSVISENEAGDGVLTAEKLKSALRAERKALNALYAELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEVYRKKVQDYETKEKLMILKRRKESSTRSGTSSASCSNAEDSDGVSVDLNHEAKEENGFENHQDTGNQNTPVDAVLYLEESLATFEEERLSILDQLKVLEEKLFTLSDEEEQHLEDIKPIEHLYEENGSCYNENLDHSSEANGVANGHYKEMNGNHHQERKNFGAKAKRLLPLFDAIDAETEDGIQNGHAEGLDSVALLKSINKFGVESKKLAIEEEVDHVYERLQALEADREFLKHCITSLKKGDKGIELLQEILHHLRDLRSVEHRVRNMGDGVL >Manes.17G080700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28570064:28574460:1 gene:Manes.17G080700.v8.1 transcript:Manes.17G080700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMVHRNTNKITLILVYAMLEWVLIVLLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHLFEPAKFQNSYRSLVCEAHAMEISKLGYCLNHRKLAESQDMCEDCSSSPQAEFSNKFAFFPWMKKLGVVQECGSDKISESFEVISKCGCCGVSLEKKLCCPGNYLMKPSWGDSDDIHKGNFVLEADSDYNIDEGEDRSDKKTSGFVCDRCGEEQGVDKNWGVEERNCEEKTEEILSCSVSSFDCKEMVADESFKAETLMEKELESVEKDELNVSVDDPSCDQSGTIEEAGCKKDISSEIQQQHIEFYIDQDDCHLIPIELMVSSKQISDRNQKREKENRGNEDFVFEFDKNVGTLYELVEDRFNLDDKIPLLPILESEEEAMVAEMESSEFNENESSSELLADYELKVDLEEVAIAQPTQTPTSNGDAVIQEGSEIEGEEMESDKNQVSEEIHQTHSNEIEADISIGTENPDHEPVEDFQSQEVHINARDYHGSKQDEEDLVHVRTITVETSEPVTNNHLPLNSESNDTEEDKIPDTPTSVDSIHHLHKKLLFVERRESGIEESLDGSVISENEAGDGVLTAEKLKSALRAERKALNALYAELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEVYRKKVQDYETKEKLMILKRRKESSTRSGTSSASCSNAEDSDGVSVDLNHEAKEENGFENHQDTGNQNTPVDAVLYLEESLATFEEERLSILDQLKVLEEKLFTLSDEEEQHLEDIKPIEHLYEENGSCYNENLDHSSEANGVANGHYKEMNGNHHQERKNFGAKAKRLLPLFDAIDAETEDGIQNGHAEGLDSVALLKSINKFGVESKKLAIEEEVDHVYERLQALEADREFLKHCITSLKKGDKGIELLQEILHHLRDLRSVEHRVRNMGDGVL >Manes.12G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33922324:33928474:1 gene:Manes.12G131400.v8.1 transcript:Manes.12G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVNVPRWKPNQSPAGNPPQNKPTATQVNELEVQSIASEEDDNSLRVESVRFPFNISFASDTHDSHHLPFASSPSLKKIEMEAATTVVGLINDEKQLQFSSKDRNNDGIFCTWKDLRVTVGDGKNSRRGILQGLTGYAQPGEVLCVMGPSGCGKSTLLDALAGRLSSNTQQTGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAVYYSAQLQLPDSMLTSEKKERAEMTIREMGLQDSVDTRIGGWSSKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMKRILRLAKQDGRTVITSIHQPSSEVFELFHNLCLLSYGKTVYFGPVSMAEQFFSSNGFPCPSLRNPSDHYLRTINKDFEFDADIEQGDGGSISTEEAINVLVKSYQSSEIFKQVQQQVAYICERRGGMLEKKGSQASFITQCIVLTKRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFHDIGLTYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAFVVGNTFSSIPYLLIISLIPGAIAYYLVGLQRSFEHFAYFALLLFACMMLVESLMMTVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWRYPMYYIAFHKYANQGFYKNEFEGLTFPNNQAGGSPTITGEEILRNIWQVEMGYSKWVDIGILFGMVVVYRLMFLGIIKIVEKVKPIIKAVMSVPPAQVSSDT >Manes.04G002600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:540224:542801:-1 gene:Manes.04G002600.v8.1 transcript:Manes.04G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTRPYSSQQSYTPSAPSLPEYVNQQDHAYNSFSHPPDDNMRRQQHQPPPAYAAGYGQGEHSHGYSGFPPGTHPDVIRSFQMVDRDRSGYIDENELQQALSSGYQRFNIRTIRLLMSLFKNPHDPLRIGPKEFVALWSCLGQWRTIFERYDKDRSGKIDLFELRDALYGIGYAIPPSVLKVLISKYDDGSGRKIELNFDSFVECGMIIKGLTEKFKQKDSRYSGTATFNYDEFMCMVIPFLVSYD >Manes.17G037400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20992085:20997377:-1 gene:Manes.17G037400.v8.1 transcript:Manes.17G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMPVQLAPMEVVGLVGNWWDEINESTRWQDGIFYALCAAYALVSSVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAILFGFHRQVFIFHPKVLTVILLDFPGLLFFSTYTLLLLFWAEIYYQARSLPTDKLRTVYISANSGIYFIQVCIWVYLCVTDNSIVELIGKIFVAVVSIIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEIGSVTAICFTCFLIRCFVVLLSAFDSDASLDVLDHPVLNLIYYMLVEILPSALVLCILRKLPPKRISVQYHPIR >Manes.01G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2864619:2879010:1 gene:Manes.01G008500.v8.1 transcript:Manes.01G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSGLTFKLHPLVIVNISDHYTRVKSQINPPVPSTANTVSSNTNGAGDGVSTAVSAATRPTRVYGCVIGVQRGRTVEIFNSFELLYDPITHSLHRAFLEKKQELYKKVFPHFYVLGWYSTGSDAEESDMHIHKALMDINENPVYVLLNPIINPAQKDLPVTIYESELHVIDGIPQLIFVHSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGLHSAIKMLNSRIRVLHHYLVAMQKGEIPCENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLITYLAMFTNCSSTMNEVVDKFNTAYDRHSRRGGRTAFI >Manes.02G212000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:27113599:27114072:1 gene:Manes.02G212000.v8.1 transcript:Manes.02G212000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLSCLGLSKESPRDVLLIKQNGKIIKSKQGTLVKHILASYPNHVVLQCWSKSLNPAVPVTVLPDSSQLGWGDDNSIYLLVAKDQGLSDPETLMRSILSRITSAGNSNGDRSHDQNSGDKDGNGKTQLSNACFEYRKSLWQPALHTIPETPSPQNL >Manes.17G019001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9999169:10000314:1 gene:Manes.17G019001.v8.1 transcript:Manes.17G019001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKLSSALLGRTQVPLKVPEFRLWREGSAAEGAAESALSSLFKVVFYACLSDVLEGFWGVVYELFRVCWHLIRVHLCRIGPEGPRRPSVLAVAESVQRLPEVSRTKLNLLFYEIKCLKHAHASCLYVIG >Manes.17G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26822931:26826045:1 gene:Manes.17G068200.v8.1 transcript:Manes.17G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSIFSPIYSSSHALLKPNNNPFLGKFLASKPCTPLKRRAALTTRALLSATKESVLKDFHERRALKIISGLQNFNKDNVASVVTAADKGGATHVDIACDPELVKLASSLTSLPVCVSSVDPEAFLAAVEAGALMVEIGNYDSFYDEGVVFSPEQILNLTKHTKRILPSVTLSVTVPHTLSLPDQVKLAELLEQEGADIIQTEGGKCSNPSESGVLGLIEKATPTLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGASGVGVGSAVNKLNEVVAMIAEVRNIADSLGISADRHATPEERILTL >Manes.01G082600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28504169:28507804:-1 gene:Manes.01G082600.v8.1 transcript:Manes.01G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWLNEDSTYKYFEVILVDVAHNAIRNDPRINWLCNPIHKHRELRGLTSAGKKYRGLRGKGHLHHKNRPSRRATWKRNNTLSLRRYR >Manes.16G105000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31060784:31063408:-1 gene:Manes.16G105000.v8.1 transcript:Manes.16G105000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRAIVNAERRGKASVELQPISTVMSSFLKIMKDRGYIKNFQVYDPQRVGRITVELQGRVKDCRALTYRQDIKAKDLEAYRLRMLPTHQYGYVVITTPDGVLDHEAAISRNVGGQVLGYFH >Manes.05G204400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33053898:33061891:-1 gene:Manes.05G204400.v8.1 transcript:Manes.05G204400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGERGEYNDEKFMLISNSDRGEDGDGGEDLEDQGITSTPNSLSKGASGGAIKDLFLKHLDRSFPARCLSSFKRLEKDTSRDLSPRPHSHSHNPNRNRDDFGGHHDDDVLGDSAPPEWVLLLLGCLLGLASGLCVAAFNTGVHVIHEWAWSGTPNEGAAYLRLQRLADTWHRILLIPVTGSVIVGMMHGLLEILDQIRQTSITSPSHRQGIDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGLLLMMENNREREIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPRRAENSPPFTTAMIILASVISSTVSNVVLGTQSAFTVPPYDLKSAAELPLYLILGMLCGVVSVAFTRLVSWFTDAFEFIKEKFALPAVFCPALGGLGAGIIALRYPGILYWGFTNVEEILRTGKSASAPGIWLLTQLAVAKVVATSLCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAEIINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRIILPLMGAVGLAIWVPSVANQTKDTEASNKRALTRGYSSLSNSEDINEVWRRTDGGGDLELSVVENASDYEAITQDLLLEDLKVSRAMSKNYVKVSMSSTLKEAIDCMHNSKQNCVLVVDDEDLLDGILTYGDIRQLSNKSDEDAIGDSTITDVNTCLVSSICTRGISYRGQDRGLLTCYPDTDLAIAKELMEAKGVKQLPVVKRCRGSWKERKRRIVAVLHYDSIWSCLRQLLS >Manes.05G204400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33053898:33061891:-1 gene:Manes.05G204400.v8.1 transcript:Manes.05G204400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGERGEYNDEKFMLISNSDRGEDGDGGEDLEDQGITSTPNSLSKGASGGAIKDLFLKHLDRSFPARCLSSFKRLEKDTSRDLSPRPHSHSHNPNRNRDDFGGHHDDDVLGDSAPPEWVLLLLGCLLGLASGLCVAAFNTGVHVIHEWAWSGTPNEGAAYLRLQRLADTWHRILLIPVTGSVIVGMMHGLLEILDQIRQTSITSPSHRQGIDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGLLLMMENNREREIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPRRAENSPPFTTAMIILASVISSTVSNVVLGTQSAFTVPPYDLKSAAELPLYLILGMLCGVVSVAFTRLVSWFTDAFEFIKEKFALPAVFCPALGGLGAGIIALRYPGILYWGFTNVEEILRTGKSASAPGIWLLTQLAVAKVVATSLCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAEIINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRIILPLMGAVGLAIWVPSVANQTKDTEASNKRALTRGYSSLSNSEDINEVWRRTDGGGDLELSVVENASDYEAITQDLLLEDLKVSRAMSKNYVKVSMSSTLKEAIDCMHNSKQNCVLVVDDEDLLDGILTYGDIRQLSNKSDEDAIGDSTITDVNTCLVSSICTRGISYRGQDRGLLTCYPDTDLAIAKELMEAKGVKQLPVVKRCRGSWKERKRRIVAVLHYDSIWSCLREEIARIEKKVVLTR >Manes.02G166100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13042433:13043383:-1 gene:Manes.02G166100.v8.1 transcript:Manes.02G166100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNQERKRQTDDDERKQQAASRRVPFPDLDQVHSDFAMAVALQEQERAFNILTSIESDSEEVETDEESNNGNDYEFFENQELELLEGQDSNSDEDMEEEDDEEDDIDPDELSYEELIALGEFIGEEKRGLSIEEIWKSLRPWKYEQCAERRSGIERCVICQVEYEGGESLVALPCDHLYHSECVINWLQVKKICPICSSEIPSTKNPC >Manes.06G049500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16082972:16086875:-1 gene:Manes.06G049500.v8.1 transcript:Manes.06G049500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAIQPPLVDTTACLCRVDAGLKTVATAKKYVPGSKLCLQPDIKPSIHPTRSKPSRGDRSRNQYPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLAGNFFYSLRKSLGIAEEWIYVIKRDRDGKISWHAFDPIYQLWQPLPPVPKEYSEVLGFGCAVLSGCHLYLFGGKDPLKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVMNNCLYVAGGENDGGHRSLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYEGKWFLKGLGSRRQVLSEVYQPETDSWYPIYDGMVAGWRNPSASLNGHLYALDCKDGCQLRVYDDATDSWSKHIDSKMHLGNSRALEAAALVPLHGKLCIIRNNMSISLVDVSKSDDLRGATAEHLWETLSGKGQFKTLVTNLWSSLAGRYRQKSHIVHCQVLQA >Manes.03G112266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23694615:23694961:1 gene:Manes.03G112266.v8.1 transcript:Manes.03G112266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGVIGDKWSMRILWACAIGSAISLYMVAVERQIQNRDRMLAESLKAMEEESGSGETV >Manes.17G064300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26441370:26446826:-1 gene:Manes.17G064300.v8.1 transcript:Manes.17G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRRQASKLREQVAKQQQAVIKQFSGTGYESSDVMVIDEVELQRHQQLEKLYRLTRAGKDFQKDIVKAAETFTAIGYKHIEAGTKLSEDCCRYGTENVNDNMLAKAVAIYGDARKHVEKEQEDLNRLLSSQVLDPLRAMITGAPLEDARHLAQRYSRMRQEAETQAAEVSRRQARVKEMPIPENVAKLQAAEAKMRELKANMAVLGKEAAAALAAVEAQQQRLTFQRLVAMVEGEKNYHLRIAAILSEVEAEMVSEKQRKESAPPVISSPVIPLENVSEKTMYFLAEATHPFNAETEKELSLAVGDYVVVRKVSPTGWSEGECKGKSGWFPSAYVEKRERIPTSNGSSQLY >Manes.05G055002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4569352:4578019:-1 gene:Manes.05G055002.v8.1 transcript:Manes.05G055002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIICWNCRGVGNPQAVNAMVDIIQYYKPSILFLMETKANGVRMEQIKSLLRFSHCFFVDCVGIGGALSLMWKDDVKLAITGYCSNFIDSTIGDGSDCWRFTGFYGCPDPESGRRRTSWNLLRALADRSQLPWLCSGDYNDIADPLEKVGGPLHCISLINGFRNALADANLNDIQSVGSFLSYTYREGTVQCSKERLDRACSNATWDAHFPDAISSNLVAYPGITASVSNHTPLLIETVGTQDAFLQYFSGLFSNSPTDFAELLPLVQPRIGAEDNVELLADFTDEEFHSALFQMDPNKAPGLDGLNLAFFQKYWPIIGVDVCNIYRLWLAQGNIPSEISSALIVLILKCVNPVDVKDFRPIALCNVIYKILSKALANRLKRTNYDNFIVAFETMHGLKLQNRGSVGSCALKIDIAKAYDRVEWSYLFAMLSALGFSDTWVGWMHMCFSNMSYYIAVNGAEIGPVVPSRGLSLLIQDSENRGLLHGCCAKVGCPPVSHIFFTDDSLLFFDGTVGEAIRIKQILGVYKKASGQAVNFDKSGIMFSPCVSEENRLTISGILDVHLPLGSGNYLGDRIWKRISSWSNRFLSRAGREVLIKFVLQAIPTYCMNVFLLPVFTCRQLHVMMNKFWWGGCREDGRGMNWLSWDRMCGRKSEGGMGFWDLASFNTALLGKQGWRLLVDTNSLLYRVLKAKYFPNGNFLSARLGSNYSFVWKSILSSQQVLQRGVFVVNCHWIPRDIGFMPLDESIFVPEAMRVCDLFVEGELHWDVEKLMNIFSVVDMRAILTIPLPLFPKPDKLIWHLHKKGVYSVKSAYFCALELSGRTGLLGYNDGWNRLWSLDRACRGVLPTRDILLRRLWRLVGFSTAVDFPIFMDFFIHIYNTFSRERTARMAIHAWKLWHARNERLWVNKVLSPSEVHHAASSYFNDYVASLVARPRTLSHPSVPRVLLLVEATTLEVDWIAFIDCAVFASADLFGFAAVFEDLEGFFFIAISGFYEGGGQPAGCIFTDNQSLTLAIRSPLDDFSEFGLVVSDCKDAMRSHGNIHVRWIRRSENRAAHLLARESIHHGRFKIWINIPDCLLDYYSTR >Manes.15G064100.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4858890:4861497:-1 gene:Manes.15G064100.v8.1 transcript:Manes.15G064100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKSSIAAEPEKQKSDYAVQTSLSLSNGIGVQRKEVMVDNLEDFIGILEVYIHQARDIHNICIYHKQDVYAKFCLTSDPENTVSSKIINGGGRNPVFNDTLRLNVKTIESSLKCEIFMMSRVRNYLEDQLLGFALVPLSDVLIQNGKLEKEYSLSSTDLFHSPAGFVQLSLSYNGTSPEVIAIPAMTTAVATDKAAQDVEIQESLPSEFDKIEFPDPKIMNENQMMVSEYFGIPCSSVDSETSASVVTCDVENHVSSEMGVHVLESFSAATVDSNKVTKPDSPPSSVSTDGVSSHSVASSSDTSDVPTSKPPSQEHDSVQKEKKGADVGDGETDSSGGAQSEKIVKPLITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTTSDQKTQSSKNSGSRVFYGSRAFF >Manes.15G064100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4858909:4860860:-1 gene:Manes.15G064100.v8.1 transcript:Manes.15G064100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKSSIAAEPEKQKSDYAVQTSLSLSNGIGVQRKEVMVDNLEDFIGILEVYIHQARDIHNICIYHKQDVYAKFCLTSDPENTVSSKIINGGGRNPVFNDTLRLNVKTIESSLKCEIFMMSRVRNYLEDQLLGFALVPLSDVLIQNGKLEKEYSLSSTDLFHSPAGFVQLSLSYNGTSPEVIAIPAMTTAVATDKAAQDVEIQESLPSEFDKIEFPDPKIMNENQMMVSEYFGIPCSSVDSETSASVVTCDVENHVSSEMGVHVLESFSAATVDSNKVTKPDSPPSSVSTDGVSSHSVASSSDTSDVPTSKPPSQEHDSVQKEKKGADVGDGETDSSGGAQSEKIVKPLITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTTSDQKTQSSKNSGSRVFYGSRAFF >Manes.15G064100.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4858909:4861204:-1 gene:Manes.15G064100.v8.1 transcript:Manes.15G064100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKSSIAAEPEKQKSDYAVQTSLSLSNGIGVQRKEVMVDNLEDFIGILEVYIHQARDIHNICIYHKQDVYAKFCLTSDPENTVSSKIINGGGRNPVFNDTLRLNVKTIESSLKCEIFMMSRVRNYLEDQLLGFALVPLSDVLIQNGKLEKEYSLSSTDLFHSPAGFVQLSLSYNGTSPEVIAIPAMTTAVATDKAAQDVEIQESLPSEFDKIEFPDPKIMNENQMMVSEYFGIPCSSVDSETSASVVTCDVENHVSSEMGVHVLESFSAATVDSNKVTKPDSPPSSVSTDGVSSHSVASSSDTSDVPTSKPPSQEHDSVQKEKKGADVGDGETDSSGGAQSEKIVKPLITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTTSDQKTQSSKNSGSRVFYGSRAFF >Manes.15G064100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4858890:4861855:-1 gene:Manes.15G064100.v8.1 transcript:Manes.15G064100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKSSIAAEPEKQKSDYAVQTSLSLSNGIGVQRKEVMVDNLEDFIGILEVYIHQARDIHNICIYHKQDVYAKFCLTSDPENTVSSKIINGGGRNPVFNDTLRLNVKTIESSLKCEIFMMSRVRNYLEDQLLGFALVPLSDVLIQNGKLEKEYSLSSTDLFHSPAGFVQLSLSYNGTSPEVIAIPAMTTAVATDKAAQDVEIQESLPSEFDKIEFPDPKIMNENQMMVSEYFGIPCSSVDSETSASVVTCDVENHVSSEMGVHVLESFSAATVDSNKVTKPDSPPSSVSTDGVSSHSVASSSDTSDVPTSKPPSQEHDSVQKEKKGADVGDGETDSSGGAQSEKIVKPLITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTTSDQKTQSSKNSGSRVFYGSRAFF >Manes.15G064100.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4859144:4861827:-1 gene:Manes.15G064100.v8.1 transcript:Manes.15G064100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKSSIAAEPEKQKSDYAVQTSLSLSNGIGVQRKEVMVDNLEDFIGILEVYIHQARDIHNICIYHKQDVYAKFCLTSDPENTVSSKIINGGGRNPVFNDTLRLNVKTIESSLKCEIFMMSRVRNYLEDQLLGFALVPLSDVLIQNGKLEKEYSLSSTDLFHSPAGFVQLSLSYNGTSPEVIAIPAMTTAVATDKAAQDVEIQESLPSEFDKIEFPDPKIMNENQMMVSEYFGIPCSSVDSETSASVVTCDVENHVSSEMGVHVLESFSAATVDSNKVTKPDSPPSSVSTDGVSSHSVASSSDTSDVPTSKPPSQEHDSVQKEKKGADVGDGETDSSGGAQSEKIVKPLITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTTSDQKTQSSKNSGSRVFYGSRAFF >Manes.15G064100.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4857777:4861854:-1 gene:Manes.15G064100.v8.1 transcript:Manes.15G064100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVVSPFKSSIAAEPEKQKSDYAVQTSLSLSNGIGVQRKEVMVDNLEDFIGILEVYIHQARDIHNICIYHKQDVYAKFCLTSDPENTVSSKIINGGGRNPVFNDTLRLNVKTIESSLKCEIFMMSRVRNYLEDQLLGFALVPLSDVLIQNGKLEKEYSLSSTDLFHSPAGFVQLSLSYNGTSPEVIAIPAMTTAVATDKAAQDVEIQESLPSEFDKIEFPDPKIMNENQMMVSEYFGIPCSSVDSETSASVVTCDVENHVSSEMGVHVLESFSAATVDSNKVTKPDSPPSSVSTDGVSSHSVASSSDTSDVPTSKPPSQEHDSVQKEKKGADVGDGETDSSGGAQSEKIVKPLITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTTSDQKTQSSKNSGSRVFYGSRAFF >Manes.08G089700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29660088:29661238:1 gene:Manes.08G089700.v8.1 transcript:Manes.08G089700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIEILSPASYLQNSNWLFQETKGTKWTPEENKQFENALALYDKDDPDRWQKVAAMIPGKTVGDVIKQYRELEEDVSDIEAGLIPIPGYSTSDASFTLEWVNNNQPYDGFKHYYTPGGKRTTVARSSEQERKKGVPWTEEEHRQFLMGLQKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQSTGGKDKRRSSIHDITTVNLPDTKSLSSDEKKSSPDHSSTSLQSQPQQKMAAMAKGFVDHKPQNEGGGAAGVFSQANGNLLMAPFCGISSYGQKLQEQNLLGGTLPGYQFSPYNLNLIFQMQPTQRQ >Manes.08G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38343828:38346182:1 gene:Manes.08G147800.v8.1 transcript:Manes.08G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPQSSLPPGFRFHPTDEELILHYLKKKIASTPFPVSIIADVDIYKFDPWDLPAKAAFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKVIAASSAIRGGAGVGSVQENIGVKKALVFYKGRPPKGIKTNWIMHEYRLTDAPAYNVKPIKPKDSSSSAMRLDDWVLCRIYKKTHASSPSAAAAAAAAASDHDQEEEEEEEQLVQETLVPSLKNPPSNKSLMPQKSSSFSNLLDAMDYSILRSFLSDNQLHPTGYDSTPAPVPVGAIPDQPFFNNCNPLINSSSSSSFLIQKPTQLSDPIPNMENKLKRPLPTIDEDMNPHPSKKFINCCSFTNSTTQTDMAHQLNFLNPPFLNTSNYF >Manes.11G118850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27714746:27718031:1 gene:Manes.11G118850.v8.1 transcript:Manes.11G118850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLAKTLSKLFLALLVLIFLSTSASTATSALTDGPTKVGMEAYCLLRWKASLDNQSQSVLDSWVGRGPCKWIGVTCDSSGSITILSLINLGLRGTLHSFNFSCFPNLTRLEISNNSLHGTLPSQISNLSKITYLNLSGNHLTGNIPAEFGMLSSLSELYLSSNNFTGLIPTSMTKLENLSILYLSGNKLSGSIPSKIGFLKSLEELDLSSNNLTGAIPSSIGHLRNLSRLTLFLNKLSGSLPLEFNNLTRLKSLQLGENGFTGHLPEDVCLGGLLEKFSTNFNHFSGSIPKTLRNCTSLFRLRLDWNQLTGNISEQLGIYPHLDYMDLSNNRFHGEIPRKLGQWKSITSLKFSNNSISGSIPLELGNATQLHLIDLSWNHLQGQVPKELAKLKLLMKLCLNNNNLFGVVPLDFKVLSNLDHLNLAANNLSGPIPGQLGELSNLLILNLSRNEFTAGIPFELGNLHFLQVLDLSHNLLMGNIPQQLGQLRTLEVLNLSNNMLSGSIPTTFDNLWSLTVVDISYNELEGSIPDVKAFREAPFEAYRNNNALCGNASSLKACTSIKSGKTSRAKRKNVVIVIVLPVLAALFLVFLIGGLLILLPLRRRQAQSRELQDKDILVIPGHDQELQYETIIEATENFNSNYCIGVGGCGVVYKAVLPSGRVFAVKKLHSLQESDKSKNLKAFEREIQVLLEIRHRNIVKLHGFCSHSKDCFLVYEFVEKGSLRSILNSDEQAAELDWIKRQNIVKGVANALSYMHHNCPFPIIHRDISSNNILLDSEYESRISDFGTAMLLLSDSSNKASFAGTFGYTAPELAYTTQVNEKCDVYSFGVITLELVMGTHPCNLISSLWSSISSSSLSSSDDHDKLFKDVIDQRLVLPQNQVAESLVYITMLAFSCLHLNPKSRPTMQQISSKLTSKHPLVSKSFSTIKLEELLSNNIANI >Manes.06G019901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:3464418:3464843:1 gene:Manes.06G019901.v8.1 transcript:Manes.06G019901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGDWIYLKLQPYKQTFFALQKTLKLAAKFYGPFRVLERIGSVAYKLDLPPTSAIHLVFHVSLLKQKLGDHVVPIIELPSREDGEILVAPQEVLQTREIIRGGQQVFQLLIKWKNLSLEDATWEDKSFVLAQFPKFAHSLGQK >Manes.06G141000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26842638:26844122:1 gene:Manes.06G141000.v8.1 transcript:Manes.06G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESNTVQIPSSDYQPQIVCNPDGTYTRLLQVPTVPASPDPNQSTSSVVSKDIPINPSKQTWLRIYLPRRALDSSSTAHNLPLIVYYHGGGFILLSAASCVTHDFCLLMSEQLDAVVISVDYRLAPEHRLPAAYEDAIEALHCISTSEEDWLRKFTDLSSCFLMGTSAGGNIAYHAGLRACEQVEELEPLKIKGLILHHPYFGGLERTGSELKMVKDPILPLSGNDLMWELSLPVGADRDHEYSNPMAGRGSNMFEDVRANGLRILVTGCHGDPLIDRQVEFANMLEEKGVRTVAHFGEGCHSVELIEMSKADSLFVVIKDFMFCF >Manes.08G144900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38656110:38656847:1 gene:Manes.08G144900.v8.1 transcript:Manes.08G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKMRVFLAIVVVVMAISAIQNVGAQEAPAPSPASDATVFVPTVFASLAALAFGLLV >Manes.02G204500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17711246:17716990:1 gene:Manes.02G204500.v8.1 transcript:Manes.02G204500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLQRRAFEKAFSGRTQLFKFSLSLFLVLWGLFFLLSLWISRSDGYRYESAVLEAGISTCNGENLGGCKPSESLETKFLNKICSVYSDESLSTDRDENRVSNDELLGSEVNTNYALVMEQPEANSVSDVKFETNGPKIDRLSHSVPLGLDEFKSRAFSSKSKSGTAQAGGVIHRLEPGGKEYNYASASKGAKVLAFNKEAKGASNVLGKDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFELLGSLVYPTDSWVRLGNFTAANVKHSQRFTLQEPQWVRYLKLNLLSHYGSEFYCTLSIVEVYGVDAVERMLEDLISVENKIIYQEGTGEQKPSPSLSESSRVDDYDQELRAGSESGTSVENSNMRLEVTKKNCQIMWKKFITNK >Manes.02G204500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17711248:17714146:1 gene:Manes.02G204500.v8.1 transcript:Manes.02G204500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLQRRAFEKAFSGRTQLFKFSLSLFLVLWGLFFLLSLWISRSDGYRLLEAGISTCNGENLGGCKPSESLETKFLNKICSVYSDESLSTDRDENRVSNDELLGSEVNTNYALVMEQPEANSVSDVKFETNGPKIDRLSHSVPLGLDEFKSRAFSSKSKSGTAQAGGVIHRLEPGGKEYNYASASKGAKVLAFNKEAKGASNVLGKDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFELLGSLVYPTDSWVRLGNFTAANVKHSQRFTLQEPQWVRYLKLNLLSHYGSEFYCTLSIVEVYGVDAVERMLEDLISVENKIIYQEGTGEQKPSPSLSESSRVDDYDQELRAGSESGTSVENSNMRLEVTKKNCQIMWKKFITNK >Manes.02G204500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17711248:17714146:1 gene:Manes.02G204500.v8.1 transcript:Manes.02G204500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLQRRAFEKAFSGRTQLFKFSLSLFLVLWGLFFLLSLWISRSDGYRYESAVLEAGISTCNGENLGGCKPSESLETKFLNKICSVYSDESLSTDRDENRVSNDELLGSEVNTNYALVMEQPEANSVSDVKFETNGPKIDRLSHSVPLGLDEFKSRAFSSKSKSGTAQAGGVIHRLEPGGKEYNYASASKGAKVLAFNKEAKGASNVLGKDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFELLGSLVYPTDSWVRLGNFTAANVKHSQRFTLQEPQWVRYLKLNLLSHYGSEFYCTLSIVEVYGVDAVERMLEDLISVENKIIYQEGTGEQKPSPSLSESSRVDDYDQELRAGSESGTSVENSNMRLEVTKKNCQIMWKKFITNK >Manes.S027116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:416448:416615:1 gene:Manes.S027116.v8.1 transcript:Manes.S027116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.04G107600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31220631:31222984:1 gene:Manes.04G107600.v8.1 transcript:Manes.04G107600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRRKLQPPCSFLQQLSFQEVSPTQPFSQISPPINTIFFTTIFHIHLVCLVYSLHIYFSFSIDKFIIISYSIHTMTSFKLNSLTTLPSFIVFLVFCSSVNVSFASLRVGFYRSSCPSAEAIVRKAVNKAVSQNPGLGAGLIRMHFHDCFVRGCDASVLLKSTPGNPSEREHVANNPSLRGFEVIDEAKAEIEALCPQTVSCADILAFAARDSSYKLGGINYVVPAGRRDGRVSREDEVGQNLPPFFFNAQQLADNFARKGMSVDEMVTLSGAHSIGVSHCSSFSSRLYNFNATHAQDPSMDPRYAAFLKTKCPPPSANRVDGGPTVALDPTPNRMDNKYYMELKKNRGLLTSDQTLMNSASTQMMVVNNARNAGTWAAKFAKAMVHMGSLDVLTGTDGEIRRQCSAAN >Manes.01G265004.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41974059:41974378:-1 gene:Manes.01G265004.v8.1 transcript:Manes.01G265004.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEFGTLLPSCPLPLYEVGTCFLLVTLGSLFLLSLYFEVVVYLLGCPELFLEIGS >Manes.03G171600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29652743:29653171:1 gene:Manes.03G171600.v8.1 transcript:Manes.03G171600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIKGYVGVILLYILGMGQACLGIKIGERYHVYIVNDLNQDVLYVHCKSKDDDLGSHGLQVKDKFHFTFRVNVWGTTLFWCNFNWGNINHGGGYHIFWYKDELVYKCGYKEKDCIWFARNDGIYLKNIPENQFQIFYEWQR >Manes.02G003000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:352498:356368:-1 gene:Manes.02G003000.v8.1 transcript:Manes.02G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASYAAFYPLFPFRRIPLSSHLIPAAHNGAATIQMADDKTPHPSLDVIGGGSVAFLPALKTLNRPYNPFPLVGQNPHFETIFASFLRVTPYVRYKRECIRTKDSGSIALDWVVGDSRRLPPDSPVLILLPGLTGGSEDSYVKHLLVKARSKGWRVVVFNSRGCGDSPVTTPQFYSASFLGDMREVVAVVTSRYPNAHIYAVGWSLGANILVNYLGEESLACPLAGAVSLCNPFDLVIADKDFRKGFNIVYDKALTRALCRIFQKHALLFEELDGEYDIPSVANAKSVRDFDQGLTRVSFGFKSVDDYYSNSSSSHAISDVCRPLLCIQAANDPIAPASGIPRDAIKVNPNCLLIVTPKGGHLGWVAGSEAPLGAPWTDPVVMDFLEYLEGVAPKSSRSVSPAGSVHDVKEV >Manes.14G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2993923:2995046:1 gene:Manes.14G033400.v8.1 transcript:Manes.14G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSAENATNAYLKTVRMGKKAKEPDVAEFISALAAGRNAQLMVVSCDGSAISTVQALVAAAHQTGGRVICILRDHKELQISRQVLGLDACHIEFVIGEAQNLLQSKYSEADFVLIDCNLENHEGILGAVQARGKRNGAVVVGYNALTKGSWWSSGSKTQLLPIGEGLLVTRIAASAKIGKRSSHWIVKVDKCTGEEHVFRVRFPHGKQIEA >Manes.14G075100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6277428:6278898:-1 gene:Manes.14G075100.v8.1 transcript:Manes.14G075100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIIRGKGGEAGERTRSRNHVYQEFVPSAAWTEDSNSHYLLVDLPDFKKEEVKLQVDASGQITVRGERLVNNNKYISFEKTFKAPENSDMDNITGKFDGEILYVTLPKKVQRKEATNEIRENIEGGVGKDNEQEKPSSVGERASELETNEEEEEEERKKKKERKKGSRVDEFGTETVKKWGEEDEVSVVEKAMGILMKNRDILLTAAFAFSLGVLVSPFLSILSRWIKLNSSSWAPGC >Manes.14G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6277428:6279331:-1 gene:Manes.14G075100.v8.1 transcript:Manes.14G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIIRGKGGEAGERTRSRNHVYQEFVPSAAWTEDSNSHYLLVDLPDFKKEEVKLQVDASGQITVRGERLVNNNKYISFEKTFKAPENSDMDNITGKFDGEILYVTLPKKVQRKEATNEIRENIEGGVGKDNEQEKPSSVGERASELETNEEEEEEERKKKKERKKGSRVDEFGTETVKKWGEEDEVSVVEKAMGILMKNRDILLTAAFAFSLGVLVSRKFDSARY >Manes.08G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38363243:38368278:1 gene:Manes.08G147900.v8.1 transcript:Manes.08G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPFGGHHHHHRRNDDEEERSEYYPPPGTAPPPPYIRASEFQSPPPPRPPLYYEGTEYHPSPPPRPDFQFNEFAPAPPAQVTHAYHSSHNQGQHSSYDYPPPTQVIHVSHEKTEDESPHSFRPHLPSFIHHHIHQSGSGSGFDLSNKPSYKVYCKAEPNFLLTIRDGKVILAPSDPADQFQNWYKDEKYSTRVKDEEGCPCFALVNKATGEAMKHSIGATHPVQLIPYNPTVLDESILWTESKDLGDGYRAVRMVNNIHLNVDAFHGDKRSGGVHDGTTIVLWQWNKGDNQRWRITPH >Manes.15G188901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27802788:27808152:1 gene:Manes.15G188901.v8.1 transcript:Manes.15G188901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESFIYDEHIQISGKFYQGPQNFFHFAFVSLCRVIHFAGWAFRGSQEPAVNKLKEPILDEITAALVSRFELNFTRQDTASMWFLCKQEIKPVVFSVLLRSIRYNLFVILLIFLLIYA >Manes.03G069001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10740970:10753736:1 gene:Manes.03G069001.v8.1 transcript:Manes.03G069001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFKPDILRDKVALLTGGGSGIGYEIALQLGKHGALIAIMGRRKHVLDSAVASLHILGIPAIGLVGDVRRRDDAVKTLESTVRHFGRLDILVNAAAGNFLVAPEDLSPNGFRTVLDIDSVGTFTMCHEALKYLKKGGQGKDPATGGTIINISATLHYTATWYQIHVSAAKAAVDSITRSLALEWGTDYDIRVNGIAPGPIGDTAGFSKLAPDEILREAKEKMPLDRLGEKWDIAMAAVYLTSDAGKYVNGTTLVVDGGDWLHKPRHLPKDAVKQLSRAVEKRSRDAPVGIPKSKL >Manes.09G011684.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2492347:2495609:1 gene:Manes.09G011684.v8.1 transcript:Manes.09G011684.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPNIVTFTTLINGLCIESKIDKAVEFFDDTVARGYQPDVRTFNVIVNGMCKFGKTNVAIGLLKGMADRGFEPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDGLCKDGMVSEAQNTFNVMIQRGVGPNVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPNVVTYSSLMDGYCLCNHMDKAKKLFDLMVTNEIANIFSYTILINGYCKYKMIDDAKDIFVGMSHKGLVPDVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCSQGNLDEALTLLKKMEESQLKPNLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCQQGLMDEAYKVFKDMEEVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLSRNNDLILSKLRNRSEASKGVQ >Manes.07G126300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32910650:32915061:-1 gene:Manes.07G126300.v8.1 transcript:Manes.07G126300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEHTVLQLSPTSSSSTLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLSDGTVDIRNSYAVPHNEFSDQVALDIDYHHNMLLSHQKVNPKEVIVGWYSTGLGVTGGSALIHEFYSREVPNPIHLTVDTGFRNGGGTIKAYVSVNLSLGDRQLAAQFQEIPVDLRMVEAERVGFDILKTPMVDKIPSDLEGMEASMQRLLALIDDVYKYVDDVVEGRAAADSSVGRFISETVASLPKLSPSAFDKLVNDSLQDHLLLLYLSSITRTQLSLAEKLNTAAQIL >Manes.05G078500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6415372:6421532:1 gene:Manes.05G078500.v8.1 transcript:Manes.05G078500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAAQTSSLLTDFLRQRGGVAIIDGGLATELERHSADLNDPLWSAKCLLTSPHLIRNVHLDYLEAGADIIITASYQATIQGFEAKGCSGEESEALLKKSVEIACEARDIYYERCQAETSDGNNDNRVLKRRPILVAASVGSYGAYLADGSEYSGNYGDEITLETLKAFHRRRVQVLAESGADLIAFETVPNKVEAQAFAELLEEENIKIPSWFSFNSKDGVNVVSGDSLVECASVAESCEKVAAVGINCTPPRFIHGLILSVKKVTSKPILIYPNSGESYDAHRKEWVQNTGVSDEDFVSHVNKWCEVGASLVGGCCRTTPNTIRAIYRTLSNRSALPL >Manes.05G078500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6415372:6421532:1 gene:Manes.05G078500.v8.1 transcript:Manes.05G078500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAAQTSSLLTDFLRQRGGVAIIDGGLATELERHSADLNDPLWSAKCLLTSPHLIRNVHLDYLEAGADIIITASYQATIQGFEAKGCSGEESEALLKKSVEIACEARDIYYERCQAETSDGNNDNRVLKRRPILVAASVGSYGAYLADGSEYSGNYGDEITLETLKAFHRRRVQVLAESGADLIAFETVPNKAFAELLEEENIKIPSWFSFNSKDGVNVVSGDSLVECASVAESCEKVAAVGINCTPPRFIHGLILSVKKVTSKPILIYPNSGESYDAHRKEWVQNTGVSDEDFVSHVNKWCEVGASLVGGCCRTTPNTIRAIYRTLSNRSALPL >Manes.05G078500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6415372:6419796:1 gene:Manes.05G078500.v8.1 transcript:Manes.05G078500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPAAQTSSLLTDFLRQRGGVAIIDGGLATELERHSADLNDPLWSAKCLLTSPHLIRNVHLDYLEAGADIIITASYQATIQGFEAKGCSGEESEALLKKSVEIACEARDIYYERCQAETSDGNNDNRVLKRRPILVAASVGSYGAYLADGSEYSGNYGDEITLETLKAFHRRRVQVLAESGADLIAFETVPNKVEAQAFAELLEEENIKIPSWFSFNSKDGVNVVSGDSLVECASVAESCEKVAAVGINCTPPRFIHGLILSVKKVRCSSFVIPELVFYMFLTLFQEVENLKQSFMLLLNSLNYVLLLMQSFDPCWKYK >Manes.14G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3838016:3841539:1 gene:Manes.14G044200.v8.1 transcript:Manes.14G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKKKQKNNNYILVQDTHRRKDRGMDPEVFTASLFKWDPRALVPAPNRLFEAVAPPLHPGTAHAVRPRELCGLEELFQAYGVRYYTAAKIAELGFTVSTLLDMKDEEIDEMMNSLSQIFRWDLLVGERYGIKSAVRAERRRLEEEDSRRRHLLSGDTTKAIDALSQEGLSEEPMQKEKEAAGSGGGGMSEVAAGERKQQQQRRRKGQRKVVDIDQDDENENDENGGAGGYERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIHVQSFAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRVFKERGENVGAWRQACYKPLVNIAASQGWDIDAIFNAHPRLAIWYVPTKLRQLCHAERNSAAPSSCVSSGGDHLPF >Manes.14G044200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3838016:3841539:1 gene:Manes.14G044200.v8.1 transcript:Manes.14G044200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKKKQKNNNYILVQDTHRRKDRGMDPEVFTASLFKWDPRALVPAPNRLFEAVAPPLHPGTAHAVRPRELCGLEELFQAYGVRYYTAAKIAELGFTVSTLLDMKDEEIDEMMNSLSQIFRWDLLVGERYGIKSAVRAERRRLEEEDSRRRHLLSGDTTKAIDALSQEGLSEEPMQKEKEAAGSGGGGMSEVAAGERKQQQQRRRKGQRKVVDIDQDDENENDENGGAGGYERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIHVQSFAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRVFKERGENVGAWRQACYKPLVNIAASQGWDIDAIFNAHPRLAICY >Manes.14G044200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3838016:3841539:1 gene:Manes.14G044200.v8.1 transcript:Manes.14G044200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKKKQKNNNYILVQDTHRRKDRGMDPEVFTASLFKWDPRALVPAPNRLFEAVAPPLHPGTAHAVRPRELCGLEELFQAYGVRYYTAAKIAELGFTVSTLLDMKDEEIDEMMNSLSQIFRWDLLVGERYGIKSAVRAERRRLEEEDSRRRHLLSGDTTKAIDALSQEGLSEEPMQKEKEAAGSGGGGMSEVAAGERKQQQQRRRKGQRKVVDIDQDDENENDENGGAGGYERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIHVQSFAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEEASNALRRVFKERGENVGAWRQACYKPLVNIAASQGWDIDAIFNAHPRLAIWYVPTKLRQLCHAERNSAAPSSCVSSGVIN >Manes.07G097400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30163630:30165049:-1 gene:Manes.07G097400.v8.1 transcript:Manes.07G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPPTPAPEAPTGLPDSDSIPVGHPLFSRIRLATPADVPHIHKMIHQMAIFERLADHCIATESSLSSTLFNHAPFSSFTVFLLEVSPNPLPKITSPNFTPIERIVQLDLPVIDPEAELFKNGTNDVVVAGFVLFFPNYSTFLAKPGLYVEDLFVRECYRRKGMGKMLLSAVAAQAVKMGYGRVEWVVLDWNVNAIKFYEEMGAKILTEWRICRLTGEALEAYRDTF >Manes.05G071700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5892620:5932741:-1 gene:Manes.05G071700.v8.1 transcript:Manes.05G071700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLVGFVLPLLLLTAALTNWSLISLGDVIAFLLIQYYAPKIGFRFRRRFILLWPIILFSLFVILSELVYLIVWAIKGNKWSEANAWWAHLTGLMVFQSWKSPPVIYFLVVQLLAVVVAFVDIYGNRFGLFPWQESCWGHFLTVLEQIGSYFRVASCLLLPAIQLGVGISHPSWLSLPFFIGSCAGLVDWSLTSNFLGLFRWWRPLQLYASFNIILLYVYQLPIEFPNLFHWIADFIGLFKISGKAEWPEICSGLSLVLFYIMLSFIRCDLEEMDFIMSMRGSNLTEQLLPLRHSFFIRQSKSGVTHTNVLLRGAVFRTFSINFFTYGFPVSLFALSYWSFHFASACAFGLLAYVGYIVYAFPSVFRMHRLNGLLLVFILFWAVSTYIFNVAFPLFNRKLEKDMEIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLYLSDEGNRPSNENDSAEVIFFLVYLLSHDISKRLRQCLILLCEAHFALLYILQIDLISHALEQTGSTSMEVLLQLGLLKQDSSWDFLEIALLACFCAIHNHGFEMLFSFSAIVQHTPSAPIGFSILQAGLNKSVLLSVCASQTAKYNHDNHSYESRITSFLGAVGQKFLSMYRSCGTYIAFLTILFTVYLVIPNYISFGYIFLLLVWIIGRQLVEKTRRRLWFPLKVYAIMVFVSIYSLSSFPHFEMWLSRFIDLYFYLGYNSKASLLQNVWESLAVLIVMQLYSYERRQSKYNRSDDPDPLDSGPFGFMKRFLIWHSQKILFVTLFYASLGPISAFGFVYLLGLVICSTLPKTSRVPSKSFLLYTGFLVTSEYLFQMWGRQVGMFPGQKHSGISLFLGFRAYEPSFRGLESGLRGKVLVIAACTLQYNVFCWLAQMPNPVPDKGKWEEPCPLFISDESAFMNDSISNDENKPPSYDSVPSVKGEGVTTASTLTFTSGLTQVPNSNKTVSADGSSTRIFSFGYIWGSTKESHKWNKKRILALRKERFETQKTILKIYLKFWMENMFNLFGLEINMVALLLASFALLNAISILYIALLAACILVNRPIIRKLWPIFVFLFASILILEYFAIWKSMYPFNKHTPSATDLSCHDCWKSSALHFQYCKNCWLGLTVDDSRMLASYFVVFMLACFKLRADRFSSFSGSSTYRQMMSQRKNMFVWKDLSFETKSMWTFLDYLRLFCYCHLLDLVLCLVLVTGTLEYDILHLGYLAFALVFFRMRLVMLKKRNKIFRFLRIYNFALIVLSLAYQSPFLGVSKASGKCGTLDYIYEMIGFYKYDYGFRITARSALVEIIIFVLVSLQSYMFSSSEFDHVSRYLEAEQIGAIVHEQEKKAAWKTAQLQHIRESEEKKRLRNMQVEKMKSEMLNLQIQLHDINSSANCGGTSPEREGLRRRRSTSLNSKRDSASPEKGEQTLRKQDQIIGEDSSFSFEVKESSVSLNTESLEKEMSPKYSSEFPICEITEISQESADSLLFDPGKKERGQSKENPLKSAVQLIGDGVSQVQSIGNQAVNNLVSFLNIEPEDSNTNENFSSENGVYDEMESQNNKHVDLDRSASLQSDMSSDTTSLQIGRIFWHIWSQMRSNNDIVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNTGPNYIFWVIMLIYTEVYILLQYLYQIIIQHCGLTIHSGLLRELGFPAHKINSSFVISALPLFFVYLFTLLQSSITAKDGEWMPSMESKFCRKSTLHREEALLSYSWSEKAQELLHVTTSMLRLIVRSVFRYWESLTKGAESPPYFVQMSMDVDLWPEDGIQPERIESGINQLLRIVHDERCKGKNPNLCPFASKIHVQSIERSEENPNMALVVFEVVYAAPLTSCVSAEWYKSLTPAADVAKEILKAKYDGLVEAIGFPYLIISVIGGGKREIDLYAYIFGADLSVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEFVFLLMVIFFLIVLDRIIYLCSFATGKVIFYIFNLILFTYSVTVYAWHLESFQEHAAGLALRAIFLAKAVSLALQAIQIRYGIPHKSTLHRQFLTSQVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHASLYLVKCDTVLNRATHKQGEKQTKWTKCCNGICLFFILIFVIWAPMLIYSSGNPTNIANPIKDASVQLDIKTAGGRLTLYQTTLCEKLQWDNVNSDVDLDPDGYLNAYNNNDIQLICCQADASMLWLVPDVVQKRFIQSLEWDLDLDILFIWVLSRERPKGKEVVKYEKPIDPLDLPTRSDVQKVLNGSTNSFRIYNLYPRYLRVTGSGDVRPLEQEVSAVSADLIINRANFSWWSFHDINSSDVSGCGGLTGPTAIIMSEETPPQGILGDTISKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >Manes.05G071700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5892620:5932741:-1 gene:Manes.05G071700.v8.1 transcript:Manes.05G071700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLINKLFSHKMLSIGLDIMTSLFLLLASQLNNIIICRSGVTHTNVLLRGAVFRTFSINFFTYGFPVSLFALSYWSFHFASACAFGLLAYVGYIVYAFPSVFRMHRLNGLLLVFILFWAVSTYIFNVAFPLFNRKLEKDMEIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLYLSDEGNRPSNENDSAEVEEGTKVFIVATIAWGLRKCSRAIMLALIFLIAMKPGFIHAVYMIFFLVYLLSHDISKRLRQCLILLCEAHFALLYILQIDLISHALEQTGSTSMEVLLQLGLLKQDSSWDFLEIALLACFCAIHNHGFEMLFSFSAIVQHTPSAPIGFSILQAGLNKSVLLSVCASQTAKYNHDNHSYESRITSFLGAVGQKFLSMYRSCGTYIAFLTILFTVYLVIPNYISFGYIFLLLVWIIGRQLVEKTRRRLWFPLKVYAIMVFVSIYSLSSFPHFEMWLSRFIDLYFYLGYNSKASLLQNVWESLAVLIVMQLYSYERRQSKYNRSDDPDPLDSGPFGFMKRFLIWHSQKILFVTLFYASLGPISAFGFVYLLGLVICSTLPKTSRVPSKSFLLYTGFLVTSEYLFQMWGRQVGMFPGQKHSGISLFLGFRAYEPSFRGLESGLRGKVLVIAACTLQYNVFCWLAQMPNPVPDKGKWEEPCPLFISDESAFMNDSISNDENKPPSYDSVPSVKGEGVTTASTLTFTSGLTQVPNSNKTVSADGSSTRIFSFGYIWGSTKESHKWNKKRILALRKERFETQKTILKIYLKFWMENMFNLFGLEINMVALLLASFALLNAISILYIALLAACILVNRPIIRKLWPIFVFLFASILILEYFAIWKSMYPFNKHTPSATDLSCHDCWKSSALHFQYCKNCWLGLTVDDSRMLASYFVVFMLACFKLRADRFSSFSGSSTYRQMMSQRKNMFVWKDLSFETKSMWTFLDYLRLFCYCHLLDLVLCLVLVTGTLEYDILHLGYLAFALVFFRMRLVMLKKRNKIFRFLRIYNFALIVLSLAYQSPFLGVSKASGKCGTLDYIYEMIGFYKYDYGFRITARSALVEIIIFVLVSLQSYMFSSSEFDHVSRYLEAEQIGAIVHEQEKKAAWKTAQLQHIRESEEKKRLRNMQVEKMKSEMLNLQIQLHDINSSANCGGTSPEREGLRRRRSTSLNSKRDSASPEKGEQTLRKQDQIIGEDSSFSFEVKESSVSLNTESLEKEMSPKYSSEFPICEITEISQESADSLLFDPGKKERGQSKENPLKSAVQLIGDGVSQVQSIGNQAVNNLVSFLNIEPEDSNTNENFSSENGVYDEMESQNNKHVDLDRSASLQSDMSSDTTSLQIGRIFWHIWSQMRSNNDIVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNTGPNYIFWVIMLIYTEVYILLQYLYQIIIQHCGLTIHSGLLRELGFPAHKINSSFVISALPLFFVYLFTLLQSSITAKDGEWMPSMESKFCRKSTLHREEALLSYSWSEKAQELLHVTTSMLRLIVRSVFRYWESLTKGAESPPYFVQMSMDVDLWPEDGIQPERIESGINQLLRIVHDERCKGKNPNLCPFASKIHVQSIERSEENPNMALVVFEVVYAAPLTSCVSAEWYKSLTPAADVAKEILKAKYDGLVEAIGFPYLIISVIGGGKREIDLYAYIFGADLSVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEFVFLLMVIFFLIVLDRIIYLCSFATGKVIFYIFNLILFTYSVTVYAWHLESFQEHAAGLALRAIFLAKAVSLALQAIQIRYGIPHKSTLHRQFLTSQVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHASLYLVKCDTVLNRATHKQGEKQTKWTKCCNGICLFFILIFVIWAPMLIYSSGNPTNIANPIKDASVQLDIKTAGGRLTLYQTTLCEKLQWDNVNSDVDLDPDGYLNAYNNNDIQLICCQADASMLWLVPDVVQKRFIQSLEWDLDLDILFIWVLSRERPKGKEVVKYEKPIDPLDLPTRSDVQKVLNGSTNSFRIYNLYPRYLRVTGSGDVRPLEQEVSAVSADLIINRANFSWWSFHDINSSDVSGCGGLTGPTAIIMSEETPPQGILGDTISKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >Manes.05G071700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5892620:5932741:-1 gene:Manes.05G071700.v8.1 transcript:Manes.05G071700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLVGFVLPLLLLTAALTNWSLISLGDVIAFLLIQYYAPKIGFRFRRRFILLWPIILFSLFVILSELVYLIVWAIKGNKWSEANAWWAHLTGLMVFQSWKSPPVIYFLVVQLLAVVVAFVDIYGNRFGLFPWQESCWGHFLTVLEQIGSYFRVASCLLLPAIQLGVGISHPSWLSLPFFIGSCAGLVDWSLTSNFLGLFRWWRPLQLYASFNIILLYVYQLPIEFPNLFHWIADFIGLFKISGKAEWPEICSGLSLVLFYIMLSFIRCDLEEMDFIMSMRGSNLTEQLLPLRHSFFIRQSKSGVTHTNVLLRGAVFRTFSINFFTYGFPVSLFALSYWSFHFASACAFGLLAYVGYIVYAFPSVFRMHRLNGLLLVFILFWAVSTYIFNVAFPLFNRKLEKDMEIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLYLSDEGNRPSNENDSAEEEGTKVFIVATIAWGLRKCSRAIMLALIFLIAMKPGFIHAVYMIFFLVYLLSHDISKRLRQCLILLCEAHFALLYILQIDLISHALEQTGSTSMEVLLQLGLLKQDSSWDFLEIALLACFCAIHNHGFEMLFSFSAIVQHTPSAPIGFSILQAGLNKSVLLSVCASQTAKYNHDNHSYESRITSFLGAVGQKFLSMYRSCGTYIAFLTILFTVYLVIPNYISFGYIFLLLVWIIGRQLVEKTRRRLWFPLKVYAIMVFVSIYSLSSFPHFEMWLSRFIDLYFYLGYNSKASLLQNVWESLAVLIVMQLYSYERRQSKYNRSDDPDPLDSGPFGFMKRFLIWHSQKILFVTLFYASLGPISAFGFVYLLGLVICSTLPKTSRVPSKSFLLYTGFLVTSEYLFQMWGRQVGMFPGQKHSGISLFLGFRAYEPSFRGLESGLRGKVLVIAACTLQYNVFCWLAQMPNPVPDKGKWEEPCPLFISDESAFMNDSISNDENKPPSYDSVPSVKGEGVTTASTLTFTSGLTQVPNSNKTVSADGSSTRIFSFGYIWGSTKESHKWNKKRILALRKERFETQKTILKIYLKFWMENMFNLFGLEINMVALLLASFALLNAISILYIALLAACILVNRPIIRKLWPIFVFLFASILILEYFAIWKSMYPFNKHTPSATDLSCHDCWKSSALHFQYCKNCWLGLTVDDSRMLASYFVVFMLACFKLRADRFSSFSGSSTYRQMMSQRKNMFVWKDLSFETKSMWTFLDYLRLFCYCHLLDLVLCLVLVTGTLEYDILHLGYLAFALVFFRMRLVMLKKRNKIFRFLRIYNFALIVLSLAYQSPFLGVSKASGKCGTLDYIYEMIGFYKYDYGFRITARSALVEIIIFVLVSLQSYMFSSSEFDHVSRYLEAEQIGAIVHEQEKKAAWKTAQLQHIRESEEKKRLRNMQVEKMKSEMLNLQIQLHDINSSANCGGTSPEREGLRRRRSTSLNSKRDSASPEKGEQTLRKQDQIIGEDSSFSFEVKESSVSLNTESLEKEMSPKYSSEFPICEITEISQESADSLLFDPGKKERGQSKENPLKSAVQLIGDGVSQVQSIGNQAVNNLVSFLNIEPEDSNTNENFSSENGVYDEMESQNNKHVDLDRSASLQSDMSSDTTSLQIGRIFWHIWSQMRSNNDIVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNTGPNYIFWVIMLIYTEVYILLQYLYQIIIQHCGLTIHSGLLRELGFPAHKINSSFVISALPLFFVYLFTLLQSSITAKDGEWMPSMESKFCRKSTLHREEALLSYSWSEKAQELLHVTTSMLRLIVRSVFRYWESLTKGAESPPYFVQMSMDVDLWPEDGIQPERIESGINQLLRIVHDERCKGKNPNLCPFASKIHVQSIERSEENPNMALVVFEVVYAAPLTSCVSAEWYKSLTPAADVAKEILKAKYDGLVEAIGFPYLIISVIGGGKREIDLYAYIFGADLSVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEFVFLLMVIFFLIVLDRIIYLCSFATGKVIFYIFNLILFTYSVTVYAWHLESFQEHAAGLALRAIFLAKAVSLALQAIQIRYGIPHKSTLHRQFLTSQVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHASLYLVKCDTVLNRATHKQGEKQTKWTKCCNGICLFFILIFVIWAPMLIYSSGNPTNIANPIKDASVQLDIKTAGGRLTLYQTTLCEKLQWDNVNSDVDLDPDGYLNAYNNNDIQLICCQADASMLWLVPDVVQKRFIQSLEWDLDLDILFIWVLSRERPKGKEVVKYEKPIDPLDLPTRSDVQKVLNGSTNSFRIYNLYPRYLRVTGSGDVRPLEQEVSAVSADLIINRANFSWWSFHDINSSDVSGCGGLTGPTAIIMSEETPPQGILGDTISKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >Manes.05G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5892620:5932741:-1 gene:Manes.05G071700.v8.1 transcript:Manes.05G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLVGFVLPLLLLTAALTNWSLISLGDVIAFLLIQYYAPKIGFRFRRRFILLWPIILFSLFVILSELVYLIVWAIKGNKWSEANAWWAHLTGLMVFQSWKSPPVIYFLVVQLLAVVVAFVDIYGNRFGLFPWQESCWGHFLTVLEQIGSYFRVASCLLLPAIQLGVGISHPSWLSLPFFIGSCAGLVDWSLTSNFLGLFRWWRPLQLYASFNIILLYVYQLPIEFPNLFHWIADFIGLFKISGKAEWPEICSGLSLVLFYIMLSFIRCDLEEMDFIMSMRGSNLTEQLLPLRHSFFIRQSKSGVTHTNVLLRGAVFRTFSINFFTYGFPVSLFALSYWSFHFASACAFGLLAYVGYIVYAFPSVFRMHRLNGLLLVFILFWAVSTYIFNVAFPLFNRKLEKDMEIWEMVGLWHYPIPGFFLLAQFCLGILVALGNLVNNSVFLYLSDEGNRPSNENDSAEVEEGTKVFIVATIAWGLRKCSRAIMLALIFLIAMKPGFIHAVYMIFFLVYLLSHDISKRLRQCLILLCEAHFALLYILQIDLISHALEQTGSTSMEVLLQLGLLKQDSSWDFLEIALLACFCAIHNHGFEMLFSFSAIVQHTPSAPIGFSILQAGLNKSVLLSVCASQTAKYNHDNHSYESRITSFLGAVGQKFLSMYRSCGTYIAFLTILFTVYLVIPNYISFGYIFLLLVWIIGRQLVEKTRRRLWFPLKVYAIMVFVSIYSLSSFPHFEMWLSRFIDLYFYLGYNSKASLLQNVWESLAVLIVMQLYSYERRQSKYNRSDDPDPLDSGPFGFMKRFLIWHSQKILFVTLFYASLGPISAFGFVYLLGLVICSTLPKTSRVPSKSFLLYTGFLVTSEYLFQMWGRQVGMFPGQKHSGISLFLGFRAYEPSFRGLESGLRGKVLVIAACTLQYNVFCWLAQMPNPVPDKGKWEEPCPLFISDESAFMNDSISNDENKPPSYDSVPSVKGEGVTTASTLTFTSGLTQVPNSNKTVSADGSSTRIFSFGYIWGSTKESHKWNKKRILALRKERFETQKTILKIYLKFWMENMFNLFGLEINMVALLLASFALLNAISILYIALLAACILVNRPIIRKLWPIFVFLFASILILEYFAIWKSMYPFNKHTPSATDLSCHDCWKSSALHFQYCKNCWLGLTVDDSRMLASYFVVFMLACFKLRADRFSSFSGSSTYRQMMSQRKNMFVWKDLSFETKSMWTFLDYLRLFCYCHLLDLVLCLVLVTGTLEYDILHLGYLAFALVFFRMRLVMLKKRNKIFRFLRIYNFALIVLSLAYQSPFLGVSKASGKCGTLDYIYEMIGFYKYDYGFRITARSALVEIIIFVLVSLQSYMFSSSEFDHVSRYLEAEQIGAIVHEQEKKAAWKTAQLQHIRESEEKKRLRNMQVEKMKSEMLNLQIQLHDINSSANCGGTSPEREGLRRRRSTSLNSKRDSASPEKGEQTLRKQDQIIGEDSSFSFEVKESSVSLNTESLEKEMSPKYSSEFPICEITEISQESADSLLFDPGKKERGQSKENPLKSAVQLIGDGVSQVQSIGNQAVNNLVSFLNIEPEDSNTNENFSSENGVYDEMESQNNKHVDLDRSASLQSDMSSDTTSLQIGRIFWHIWSQMRSNNDIVCYCCFILVFLWNFSLLSMVYLGALFLYALCVNTGPNYIFWVIMLIYTEVYILLQYLYQIIIQHCGLTIHSGLLRELGFPAHKINSSFVISALPLFFVYLFTLLQSSITAKDGEWMPSMESKFCRKSTLHREEALLSYSWSEKAQELLHVTTSMLRLIVRSVFRYWESLTKGAESPPYFVQMSMDVDLWPEDGIQPERIESGINQLLRIVHDERCKGKNPNLCPFASKIHVQSIERSEENPNMALVVFEVVYAAPLTSCVSAEWYKSLTPAADVAKEILKAKYDGLVEAIGFPYLIISVIGGGKREIDLYAYIFGADLSVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEFVFLLMVIFFLIVLDRIIYLCSFATGKVIFYIFNLILFTYSVTVYAWHLESFQEHAAGLALRAIFLAKAVSLALQAIQIRYGIPHKSTLHRQFLTSQVSRINYLGYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHASLYLVKCDTVLNRATHKQGEKQTKWTKCCNGICLFFILIFVIWAPMLIYSSGNPTNIANPIKDASVQLDIKTAGGRLTLYQTTLCEKLQWDNVNSDVDLDPDGYLNAYNNNDIQLICCQADASMLWLVPDVVQKRFIQSLEWDLDLDILFIWVLSRERPKGKEVVKYEKPIDPLDLPTRSDVQKVLNGSTNSFRIYNLYPRYLRVTGSGDVRPLEQEVSAVSADLIINRANFSWWSFHDINSSDVSGCGGLTGPTAIIMSEETPPQGILGDTISKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >Manes.09G130000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33208811:33213655:1 gene:Manes.09G130000.v8.1 transcript:Manes.09G130000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRANGIPRGQKAKNIQIEGPNWILIAGGALLSTLSIRLGYKLKQNLDAKQQTNTSNSLKGNGKSSDRRRTEGCHMHSNMYSYTQNDDGCFNCMSDLKHQPSDQMMSESGAALPLVMVSDPESTKNNGVMWVSSPDRLELPPKPFYHSNCSDSPCISESGSDIFSKREVIQKLRQQLKRRDDMIMEMQDQIVELQNSLNAQLVHSTSLQSQLDTANRDLFDSEREIQRLRKAIADHCVKDAATNEKPSIANIWPSEVRNGHANGYLGGDGGFELSEKGRGDGDRVEMLKREVGDLKEVIEGKEYLLQSYKEQKAELSMKIKELQQRLDSHLLNIL >Manes.18G011966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1358920:1360605:-1 gene:Manes.18G011966.v8.1 transcript:Manes.18G011966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFARSSVDNQSIYPYKQIKNQSKKNARGLASGSSENLAPTNNNIRGGGGLLFGVPPSLSFSYPSSSSFSVLYPHRQIQRQSQPPLLPLPISRPHNSLPYSRTRDLACPPTCRKTNRTRDHSLTPKKSKEPIPRRDSKPTEAAPVSAKSFIIASTAPLGPDPNDLPKDVSKVLSSSASSPSSSLIVDNGVIPIGIKDLDSVFTLSPHPSSLPLPKFFTRPKLSCTAEAAGIDAGATDNLRRLLRLP >Manes.09G111850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31384675:31385160:-1 gene:Manes.09G111850.v8.1 transcript:Manes.09G111850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGSLVSSSSSSDTAGDLSSQSAGAIISSSSSTMQTPNVTQFLSIKLTSNNYLLWHAQIMPLLHGYRLASYVDGTGAAPPELLQNGSPNPAFTDWFCQDTPFLIKMLLQRNRGWTVCCFCERQIII >Manes.02G171400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13526488:13529025:-1 gene:Manes.02G171400.v8.1 transcript:Manes.02G171400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELQIRSEVSRRCFSSGGEDWRTNWLRLSKFTVSSKNKFTVVGCDSYAYLLGSRAGLKYSAGCMSICDSIKSVYNKSCAGSGCCQIEIPDGLSYTNVTAYSFDRHEKVQDFNPCTYAFVVEDGKFNFSSEYLEKIPQDKKFTMVLEWSIEKAGDHKLSSACKDDATSYNLDNDSGYRCKCKDGYEGNPYIGCRDIDECARQNNCSHKCTNTDGNYTCTCPKGYHGDGRKDGQRCTASQFPLMKIIIGVGIGVIVLFVATSWLYLVLKQRKLIKLREKFFRENGGFILRQKLSGQQGNPDMAKIFTDEELKKATNNFDESTVVGKGGFGTVYRGILADNREVAIKKSISVDQNQIEQFINEVVVLSQINHRNVVKLLGCCLETPVPLLVYEFISNGTVFDCMHNQRNASALSWELRLRIAAETAGALSYLHSAASVPIIHRDVKTTNMLLDANYTAKLSDFGASRLVPLDETQLSTMVQGTLGYLDPEYLRTNQLTEKSDVYSFGVVLAELLTGKKALSFDRPEKERSLAAHFLTRVEEGKLFEILERHIVNEGNEEQVMEVARLAKRCLNLKGDERPSMKEVAMELEGLHMMNMHPWAVVNAEETEYLLTREESNAFNNGDGLTASSAGIDSMKDNLLVSVGGGR >Manes.09G076452.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13417250:13418197:1 gene:Manes.09G076452.v8.1 transcript:Manes.09G076452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYLCYIKHLFFYIVGRLSIIQYNCFMRFFFDSLREILVLDIASRIRVCVVSVSNRLGFRINWYQS >Manes.10G081500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21085188:21093577:1 gene:Manes.10G081500.v8.1 transcript:Manes.10G081500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSETTSSGENPRPWHSYHTVYTNAKAGMEGVDKEKVQRIVYEMSKGSKYFENEEKKEAYMRQKIETMRAQCAKLTAADVSHYQMVADKRIVELETTRDLSRIWLHVDMDAFYAAVETLSNPSLTGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFNKYTHYSNLTRKVFQKYDPHFMAASLDEAYLDITDVCKERGVTGGEVADELRTRVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDWMAVMTFISSLPIRKIGGIGKVTEHMLRDVLGINTCEEMLQKGGFLCALFSRSSADFFLSVGLGLGGTDTPEVKFRKSISNERTFSATDDEALLYQKLADIAQMLCTDMQKEGLRGRTLTLKLKTASFEVRSRAVTLQKYICSGEEILKYASKLLKAELPISLRLIGLRMSHFNEDKAGAPSDPTQRTLTKFVLSRDASGKTMGGQSSLSSNCSDEAFMDDLETSFPNDEIRDPWDSSCKQDLEDHNCTFGNNIEAEEAHESLSNNTAENIAETSLPRKLGGGSTDMVNEEVILHNEAVISPDWQYQCFKADDYKCSLCGIEMPPDFVEERQEHSDFHLAERLQEKESSINSRTSIERHRFAQKAITSSRSSRKKHKPSPKQGSHLSIDMYFVKSNQNF >Manes.10G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21085203:21093577:1 gene:Manes.10G081500.v8.1 transcript:Manes.10G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSETTSSGENPRPWHSYHTVYTNAKAGMEGVDKEKVQRIVYEMSKGSKYFENEEKKEAYMRQKIETMRAQCAKLTAADVSHYQMVADKRIVELETTRDLSRIWLHVDMDAFYAAVETLSNPSLTGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFNKYTHYSNLTRKVFQKYDPHFMAASLDEAYLDITDVCKERGVTGGEVADELRTRVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDWMAVMTFISSLPIRKIGGIGKVTEHMLRDVLGINTCEEMLQKGGFLCALFSRSSADFFLSVGLGLGGTDTPEVKFRKSISNERTFSATDDEALLYQKLADIAQMLCTDMQKEGLRGRTLTLKLKTASFEVRSRAVTLQKYICSGEEILKYASKLLKAELPISLRLIGLRMSHFNEDKAGAPSDPTQRTLTKFVLSRDASGKTMGGQSSLSSNCSDEAFMDDLETSFPNDEIRDPWDSSCKQDLEDHNCTFGNNIEAEEAHESLSNNTAENINTADTVECNSKSHHTEAFELKIAETSLPRKLGGGSTDMVNEEVILHNEAVISPDWQYQCFKADDYKCSLCGIEMPPDFVEERQEHSDFHLAERLQEKESSINSRTSIERHRFAQKAITSSRSSRKKHKPSPKQGSHLSIDMYFVKSNQNF >Manes.10G081500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21085188:21093577:1 gene:Manes.10G081500.v8.1 transcript:Manes.10G081500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSETTSSGENPRPWHSYHTVYTNAKAGMEGVDKEKVQRIVYEMSKGSKYFENEEKKEAYMRQKIETMRAQCAKLTAADVSHYQMVADKRIVELETTRDLSRIWLHVDMDAFYAAVETLSNPSLTGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFNKYTHYSNLTRKVFQKYDPHFMAASLDEAYLDITDVCKERGVTGGEVADELRTRVYEETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDWMAVMTFISSLPIRKIGGIGKVTEHMLRDVLGINTCEEMLQKGGFLCALFSRSSADFFLSVGLGLGGTDTPEVKFRKSISNERTFSATDDEALLYQKLADIAQMLCTDMQKEGLRGRTLTLKLKTASFEVRSRAVTLQKYICSGEEILKYASKLLKAELPISLRLIGLRMSHFNEDKAGAPSDPTQRTLTKFVLSRDASGKTMGGQSSLSSNCSDEAFMDDLETSFPNDEIRDPWDSSCKQDLEDHNCTFGNNIEAEEAHESLSNNTAENKLLCLGSLVGAAQIW >Manes.10G081500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21085203:21093577:1 gene:Manes.10G081500.v8.1 transcript:Manes.10G081500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSETTSSGENPRPWHSYHTVYTNAKAGMEGVDKEKVQRIVYEMSKGSKYFENEEKKEAYMRQKIETMRAQCAKLTAADVSHYQMVADKRIVELETTRDLSRIWLHVDMDAFYAAVETLSNPSLTGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFNKYTHYSNLTRKVFQKYDPHFMAASLDEAYLDITDVCKERGVTGGEVADELRTRVYEETGLTCSAGVAPNRLLAKIGGIGKVTEHMLRDVLGINTCEEMLQKGGFLCALFSRSSADFFLSVGLGLGGTDTPEVKFRKSISNERTFSATDDEALLYQKLADIAQMLCTDMQKEGLRGRTLTLKLKTASFEVRSRAVTLQKYICSGEEILKYASKLLKAELPISLRLIGLRMSHFNEDKAGAPSDPTQRTLTKFVLSRDASGKTMGGQSSLSSNCSDEAFMDDLETSFPNDEIRDPWDSSCKQDLEDHNCTFGNNIEAEEAHESLSNNTAENINTADTVECNSKSHHTEAFELKIAETSLPRKLGGGSTDMVNEEVILHNEAVISPDWQYQCFKADDYKCSLCGIEMPPDFVEERQEHSDFHLAERLQEKESSINSRTSIERHRFAQKAITSSRSSRKKHKPSPKQGSHLSIDMYFVKSNQNF >Manes.10G081500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21085203:21093577:1 gene:Manes.10G081500.v8.1 transcript:Manes.10G081500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSETTSSGENPRPWHSYHTVYTNAKAGMEGVDKEKVQRIVYEMSKGSKYFENEEKKEAYMRQKIETMRAQCAKLTAADVSHYQMVADKRIVELETTRDLSRIWLHVDMDAFYAAVETLSNPSLTGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFNKYTHYSNLTRKVFQKYDPHFMAASLDEAYLDITDVCKERGVTGGEVADELRTRVYEETGLTCSAGVAPNRLLAKIGGIGKVTEHMLRDVLGINTCEEMLQKGGFLCALFSRSSADFFLSVGLGLGGTDTPEVKFRKSISNERTFSATDDEALLYQKLADIAQMLCTDMQKEGLRGRTLTLKLKTASFEVRSRAVTLQKYICSGEEILKYASKLLKAELPISLRLIGLRMSHFNEDKAGAPSDPTQRTLTKFVLSRDASGKTMGGQSSLSSNCSDEAFMDDLETSFPNDEIRDPWDSSCKQDLEDHNCTFGNNIEAEEAHESLSNNTAENIAETSLPRKLGGGSTDMVNEEVILHNEAVISPDWQYQCFKADDYKCSLCGIEMPPDFVEERQEHSDFHLAERLQEKESSINSRTSIERHRFAQKAITSSRSSRKKHKPSPKQGSHLSIDMYFVKSNQNF >Manes.06G069950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20615558:20617589:1 gene:Manes.06G069950.v8.1 transcript:Manes.06G069950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILFFCESVIITERWLLLIGLRRRHRELDARSQRICFFLELALPLLNPYQFLLFVLSLSLSLSPYMLLFADCLGLKFISNVYGSCREQVQEVVLSADIRCAECQVRIAEIMSRMAETDSLSVNLLEKKVILTCKYPGVKVPTRQVAAVYSNPLSKIAMFKRIFRSTSS >Manes.04G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:917501:921087:1 gene:Manes.04G006700.v8.1 transcript:Manes.04G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLASTSEIVEATEELDFDLKTDENDGKHSVLKSGNRYSIEDDINRLFEAIDGRISVKGLGLSHESSKDSLRKKAMKRPMRVGSPQMSGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPSVPSGASEAGTIKRLYRAVVVEANGSGLPLSEGNGDLVEISLVPERITTASTEKLCESSQMDKEALSCQNAHSLPHQVVPLLTGCSSEKPKMEVERIKSTDFSSINHATEKLPEVDEKTSASFLASMKVSAPEGQKNQSHASSLPPNCRPGTVANNPACNSPRFMKPIFRNKSFVKKKVKQDSTVVFSNQCNGKVNNDLGPSTSCSDICECTPEHGREESVKASPASSATNRSVECNSGIEDTSSSKQGLYNSSSAAKSILIKVDEKSRSREKGEFSQSSKSSIGDYSSSTSVSEDSNLSGSSRCGNRPHMSKDLRWEAIRHFQRQHGSLGLKHFKLIKKLGCGDIGSVYLAELTGTNCLFALKVMDNEFLASRKKMSRAETEREILQMLDHPFLPTLYAHFVSDRFSCLVMEYCPGGDLHVLRQKQPSRSFSEQATRFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLSDFDLSLRCTVNPIVVQSSSPVEEPTKKMSSPCSEASCIDPFCLHPAWQVSCFTPRLLSVAAKSRKLKSDLAAQVSPLPQLVVEPTNARSNSFVGTHEYLAPEIIKAEGHGSAVDWWTFGIFLFELLYGKTPFKGSGNEETLSNVVSRSLKFPSSPIVGFHARDLIRGLLIKEPENRLGSAKGAAEIKQHPFFEGLNWALIRCAIPPEMPKFGDAGSGTPATFPQNKDSAKCKESKGTEEYTEFEMF >Manes.18G072000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6461691:6463629:1 gene:Manes.18G072000.v8.1 transcript:Manes.18G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTTSVNGFYSFLTRGIDDLERVYLSNNFMSIQFLQKVLSLLRSFHSQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGISGIENYYSAGFNITSSLDNHRRLSIQLSRQIVRAISGCRREAAGLEEENRALMETRIQPLSLRFDEKVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLHGLVYCWPESTFLRGGYEGCLFFGSAFMISTARLQQRVAGEINQINGRPGILLYEFRRSKMAMEELRGELERRCGQGVVVDWETEVEIRERVENLRGCFGVLRSGAENIIGQLDDFFDEIVEGRKKLLDFCSHR >Manes.15G187500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:27411202:27412858:-1 gene:Manes.15G187500.v8.1 transcript:Manes.15G187500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHNSSNKHFNLNHKRRVMAFNNKSKKTHFSSHFNLCITLFFIVLFTIPALFLLHTPPSSICNTLSTSSHSNPWSGDLRTAEFAWNRLWFTEIHQPPPLKLKIAVFSRKWPTGTTPGGMERHAYTLHTTLARRGHQIHVFTSPLDGKSSSAPSSLSPLIHCHEGEPGKWRYNKAWERFQEENQRQPFDVVHSESVALPHWLARDVPNLAVSWHGIALESLQSDIYQDLTRKSDEPISPVANRSMQGVVLKVVNEIRFFKNYAHHVAISDSCGEMLRDVYQIPKKRVHVIVNGVDEDDFREDVRLGHEFRSKIGVPQNASLVLGVAGRLVKDKGHPLLFEAFSKLKAKYPNVYLIVAGSGPWEQRYKDLGAQVLVLGSMSPSELRAFYNSIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIKGTIIVDDEFGYMFSPNVESLLESLEMVVMQGSKRMAQRGKACRKYAVSMFTAWKMALAYERLFLCIKNETFCAYP >Manes.10G109500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26904998:26907115:1 gene:Manes.10G109500.v8.1 transcript:Manes.10G109500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYRLRFLWLPLGFFLLLLVCAKAENPLKNINLAPFWQWRSAWDCLQNVSTNCATNLHLNGVLNINGSALTDFCTGGCAEHTHNVLTCIYYAKRDFWFANGATVKNLTETIHHGCSTNTSINTDFKSSAMRVYQNLLVPLVSSIATMLIVNIFHIY >Manes.18G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13209584:13213439:1 gene:Manes.18G122400.v8.1 transcript:Manes.18G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLAVGSSSAALAPMVSAHSTYGNASVHLPTMHFGFKGSQPSSHLFIPTTPISSFKAAVATVDSNDLSSSSQNPPDKEQANKYYFVVANAKFMLDEEEHFKELLFERLRLYGERNKEQDFWLVIEPKFLDKFPNITKRLKRPAVALVSTNGPWITFMKLRLDRVLSDSYEADTLEEALASNPTTLEFEKPKKWVAPYPKYEYGWWEPFLLAGSKESKV >Manes.02G020701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1845932:1848896:-1 gene:Manes.02G020701.v8.1 transcript:Manes.02G020701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFASTMPPRNDLIRSQGISPLSDIITIDVGGQLFQTTKQTLALAGPKSLFSQFSQSTHVSLAPLFIDRDPELFSILLSLLRTGNLPSKAKAFDLEDLIAESKFYNVEPLLVNSLSNPSLFDAFNLERSLTLPLNGRDFPSAMATTSFGTLHVAHGSKITSFDWALRRKSTILTQFTAIDSLLAISPTLAAAGATDFSGMQILDLEKGSVKETLCWENVTRSSSTVQAIGSSPDFLLTSFESGRRNSNSIMVYDLQTFSPVTEIAHCEIYGADLDSAIPATKLKWVESHNVVMASGSHSGPSGILGNVKLWDIRSGNVIWELKERVDCFSDITVSDSLSAIFKVGVNSGEVFYTDLRKLGDTDSNPWICLGDKRKVLNVKKEGNGCKIEAHGNQVFCSKGGDVELWSEVAMNSSKKSEDGLPDRVFRRNLMGRAKDIGGSRITNLAFGGSKMFLTRKDQQSVEVWQSSIRGF >Manes.04G046700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7328962:7334424:-1 gene:Manes.04G046700.v8.1 transcript:Manes.04G046700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDIVIFVFMLISQTCNGSDSSHCASSSCGNNHNISYPFRLQTDPKNCGDHRYELSCQNNLTVLNLNGGRYYVQSIDYDNFTIGLVDAGVHPDNCSSIPRFPFIYDLSKRYSTYIYRWSESEEYKRADLSQQIMFIKCQNPVKSPPYVGTAPCLNSSYVNIGDMKANDLMELCSVEMISLFPLFPAKKNMSFLEIHRQLAFGFQLSWHRIYCEKCHPYDCYLDSRKGIQCFYRPWNWITYILGWILWILLGPFHYKGNLPYVGGLIFSEITWILVWTFVYLGMCFVARALCGAPCVIAFLIYKWRRRHLSGYENIEEFLQSHNNLMPIRYSYSDIRKITRGFKEKLGEGGYGFVYKGKLRSGQFAAIKTLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCEEGSISLSWKKLYEISLGVARGIEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSITSLTTVRGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLTIEDSSEEENILARKIIITGLWCIQMQPCNRHPMNKVLDMLEGDLKSLELPPRPILYPVESMNIDEGESSMSSEVK >Manes.04G046700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7328962:7334424:-1 gene:Manes.04G046700.v8.1 transcript:Manes.04G046700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDIVIFVFMLISQTCNGSDSSHCASSSCGNNHNISYPFRLQTDPKNCGDHRYELSCQNNLTVLNLNGGRYYVQSIDYDNFTIGLVDAGVHPDNCSSIPRFPFIYDLSKRYSTYIYRWSESEEYKRADLSQQIMFIKCQNPVKSPPYVGTAPCLNSSYVNIGDMKANDLMELCSVEMISLFPLFPAKKNMSFLEIHRQLAFGFQLSWHRIYCEKCHPYDCYLDSRKGIQCFYRPWWILWILLGPFHYKGNLPYVGGLIFSEITWILVWTFVYLGMCFVARALCGAPCVIAFLIYKWRRRHLSGYENIEEFLQSHNNLMPIRYSYSDIRKITRGFKEKLGEGGYGFVYKGKLRSGQFAAIKTLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCEEGSISLSWKKLYEISLGVARGIEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSITSLTTVRGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLTIEDSSEEENILARKIIITGLWCIQMQPCNRHPMNKVLDMLEGDLKSLELPPRPILYPVESMNIDEGESSMSSEVK >Manes.15G001900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:291513:295077:-1 gene:Manes.15G001900.v8.1 transcript:Manes.15G001900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIILKDRDFEVDLENGARASEEDQSKETVSGVRKQAKELLAKICGIFVDGTMKGENGLNLCPDGPNSNGVIGEQVKLDGERTVGHVGKKVVKEKRKKMSNKKASKPPRPPKGPSLDAADQKLIKEIMELAMLKRARAEQMKAMKKMKAAKASSSNSYVFAMVFTILFCIVIIFQATSSRVTPVSVRGSETPESSLIAVQHFGNPSASVPNEHASESPNYIKPIAGFDPPVNL >Manes.15G001900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:291513:295077:-1 gene:Manes.15G001900.v8.1 transcript:Manes.15G001900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIILKDRDFEVDLENGARASEEDQSKETVSGVRKQAKELLAKICGIFVDGTMKGENGLNLCPDGPNSNGVIGEQVKLDGERTVGHVGKKVVKEKRKKMSNKKASKPPRPPKGPSLDAADQKLIKEIMELAMLKRARAEQMKAMKKMKAAKASSSNSYVFAMVFTILFCIVIIFQATSSRVTPVSVRGSETPESSLIAVQHFGNPSASVPNEHASESPNYIKPIAGFDPPVNL >Manes.05G155500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26763610:26768936:1 gene:Manes.05G155500.v8.1 transcript:Manes.05G155500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMPGIPSQQGIATSKCLSEKHPPFHMHSWYFSRHEIEDCSPSRKDGIDFEKESQLRKLYCLFIQDLGRKLKVPQVTIACALMLCHRFYMCQSHAKNDWQTIATASMFLACKIEETPRLLRDVVVVAYEMIYKWDPSAPQRIRRIEFCDNQKELITIGERLLLATIAFDLDIQLPYKPLVDALKKLKIFPDLAKVAWNFVNDWISTTLCLQYKPHYIAAGSMFLAAKFQKVKLPTEKGKVWWLEFDISPKQLEEVIQEMVRLLEQDKKRALPSSHERVSSGPSVGKTDTCSPRSTITSVSIASSRSTGGSVTESQVLGERNFVMQQALSCQTSDSCGASSIVNDDGESQPRTGKFDLGSSSKPVPIHDSYSKIEGNRIREALRRRKRCEGAANQMCNKIINAELDTEAWIERELENGIELESASSEKKQRKL >Manes.05G155500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26763610:26768936:1 gene:Manes.05G155500.v8.1 transcript:Manes.05G155500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLACKIEETPRLLRDVVVVAYEMIYKWDPSAPQRIRRIEFCDNQKELITIGERLLLATIAFDLDIQLPYKPLVDALKKLKIFPDLAKVAWNFVNDWISTTLCLQYKPHYIAAGSMFLAAKFQKVKLPTEKGKVWWLEFDISPKQLEEVIQEMVRLLEQDKKRALPSSHERVSSGPSVGKTDTCSPRSTITSVSIASSRSTGGSVTESQVLGERNFVMQQALSCQTSDSCGASSIVNDDGESQPRTGKFDLGSSSKPVPIHDSYSKIEGNRIREALRRRKRCEGAANQMCNKIINAELDTEAWIERELENGIELESASSEKKQRKL >Manes.05G155500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26763610:26768936:1 gene:Manes.05G155500.v8.1 transcript:Manes.05G155500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLACKIEETPRLLRDVVVVAYEMIYKWDPSAPQRIRRIEFCDNQKELITIGERLLLATIAFDLDIQLPYKPLVDALKKLKIFPDLAKVAWNFVNDWISTTLCLQYKPHYIAAGSMFLAAKFQKVKLPTEKGKVWWLEFDISPKQLEEVIQEMVRLLEQDKKRALPSSHERVSSGPSVGKTDTCSPRSTITSVSIASSRSTGGSVTESQVLGERNFVMQQALSCQTSDSCGASSIVNDDGESQPRTGKFDLGSSSKPVPIHDSYSKIEGNRIREALRRRKRCEGAANQMCNKIINAELDTEAWIERELENGIELESASSEKKQRKL >Manes.05G155500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26764145:26768936:1 gene:Manes.05G155500.v8.1 transcript:Manes.05G155500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHRFYMCQSHAKNDWQTIATASMFLACKIEETPRLLRDVVVVAYEMIYKWDPSAPQRIRRIEFCDNQKELITIGERLLLATIAFDLDIQLPYKPLVDALKKLKIFPDLAKVAWNFVNDWISTTLCLQYKPHYIAAGSMFLAAKFQKVKLPTEKGKVWWLEFDISPKQLEEVIQEMVRLLEQDKKRALPSSHERVSSGPSVGKTDTCSPRSTITSVSIASSRSTGGSVTESQVLGERNFVMQQALSCQTSDSCGASSIVNDDGESQPRTGKFDLGSSSKPVPIHDSYSKIEGNRIREALRRRKRCEGAANQMCNKIINAELDTEAWIERELENGIELESASSEKKQRKL >Manes.05G155500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26764840:26768936:1 gene:Manes.05G155500.v8.1 transcript:Manes.05G155500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHRFYMCQSHAKNDWQTIATASMFLACKIEETPRLLRDVVVVAYEMIYKWDPSAPQRIRRIEFCDNQKELITIGERLLLATIAFDLDIQLPYKPLVDALKKLKIFPDLAKVAWNFVNDWISTTLCLQYKPHYIAAGSMFLAAKFQKVKLPTEKGKVWWLEFDISPKQLEEVIQEMVRLLEQDKKRALPSSHERVSSGPSVGKTDTCSPRSTITSVSIASSRSTGGSVTESQVLGERNFVMQQALSCQTSDSCGASSIVNDDGESQPRTGKFDLGSSSKPVPIHDSYSKIEGNRIREALRRRKRCEGAANQMCNKIINAELDTEAWIERELENGIELESASSEKKQRKL >Manes.05G155500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26763610:26768936:1 gene:Manes.05G155500.v8.1 transcript:Manes.05G155500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHRFYMCQSHAKNDWQTIATASMFLACKIEETPRLLRDVVVVAYEMIYKWDPSAPQRIRRIEFCDNQKELITIGERLLLATIAFDLDIQLPYKPLVDALKKLKIFPDLAKVAWNFVNDWISTTLCLQYKPHYIAAGSMFLAAKFQKVKLPTEKGKVWWLEFDISPKQLEEVIQEMVRLLEQDKKRALPSSHERVSSGPSVGKTDTCSPRSTITSVSIASSRSTGGSVTESQVLGERNFVMQQALSCQTSDSCGASSIVNDDGESQPRTGKFDLGSSSKPVPIHDSYSKIEGNRIREALRRRKRCEGAANQMCNKIINAELDTEAWIERELENGIELESASSEKKQRKL >Manes.12G060101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5205668:5207321:1 gene:Manes.12G060101.v8.1 transcript:Manes.12G060101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRETPDERPLVGNDGDWKKEKLEKLEKSKQVQLSALDDLVSVNSLFTIAIFLGLAFASPSQQSLDNRPECSPDVKMEKRLVLYEVVSFACFLLSSLVAKSLKLFINVQDTKEAMHVDRAHEYKVIKPSRGFMILLSVLASTIGVVFLTISMVDVVQIKIGKMSCGIYETRAAVISLCAVVALALVIYLPSTVITILRCMCSR >Manes.04G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30476287:30480253:-1 gene:Manes.04G100200.v8.1 transcript:Manes.04G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVELMFEVSFTLVALLLLCIQPAIAAPPLNSNCTERCGNVNITYPFGMGKKECYLNEWFEIECNKSVYPHRPFLRRIKMEVLDISAGGSATVISPIVSSNCSGWEGDEPINLTGSPFYISNSNSFISVGCNTRALLMDEPLLRVGCDSSACNGTGCCKITVPSTVQVFNPILNQHTGGCELAFLAVDGGLLYRPEVSKFPMVLDWTINSTQTKAIDRETANCSSYLYGNGPEFQCYCNDGYEGNPYIGCTDTDECKSPNYHFCRRFTKCMNTKGSYKCVPDPKWIIIIVLCGVIGVLAIPLGCWRLYKVLRKLRDIQLKKKFFKRNGGLLLKQQLNSSDGSVQKTKIFSSKELEKATDRFSENRILGQGGQGTVYKGMLTDGRIVAIKKSKLLDEEKLQEFINEVVILSQVNHRNVVRLLGCCLETEVPLLVYEFIPNGSLFQYLHDQSEEAPLPWDMRLRIAGEVAGALAYLHSAASIPIYHRDIKSTNVLLDEKNRAKVSDFGTSRSITIDQTHLTTRVQGTFGYLDPEYYQTSQFTDKSDVYSFGVVLVELLSGKKPIYSRSSEEIMSLAMHFVVLMEENRLFDIIDARIVEHCAEEEITEVANLAKRCLNLNGKKRPTMKEVAAELDGIQTSRSKLNIVKKNNEEIEDNLSDDDSITESYETQETISDGIAIAV >Manes.04G100200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30476079:30480253:-1 gene:Manes.04G100200.v8.1 transcript:Manes.04G100200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVELMFEVSFTLVALLLLCIQPAIAAPPLNSNCTERCGNVNITYPFGMGKKECYLNEWFEIECNKSVYPHRPFLRRIKMEVLDISAGGSATVISPIVSSNCSGWEGDEPINLTGSPFYISNSNSFISVGCNTRALLMDEPLLRVGCDSSACNGTGCCKITVPSTVQVFNPILNQHTGGCELAFLAVDGGLLYRPEVSKFPMVLDWTINSTQTKAIDRETANCSSYLYGNGPEFQCYCNDGYEGNPYIGCTDTDECKSPNYHFCRRFTKCMNTKGSYKCVPDPKWIIIIVLCGVIGVLAIPLGCWRLYKVLRKLRDIQLKKKFFKRNGGLLLKQQLNSSDGSVQKTKIFSSKELEKATDRFSENRILGQGGQGTVYKGMLTDGRIVAIKKSKLLDEEKLQEFINEVVILSQVNHRNVVRLLGCCLETEVPLLVYEFIPNGSLFQYLHDQSEEAPLPWDMRLRIAGEVAGALAYLHSAASIPIYHRDIKSTNVLLDEKNRAKVSDFGTSRSITIDQTHLTTRVQGTFGYLDPEYYQTSQFTDKSDVYSFGVVLVELLSGKKPIYSRSSEEIMSLAMHFVVLMEENRLFDIIDARIVEHCAEEEITEVANLAKRCLNLNGKKRPTMKEVAAELDGIQTSRSKLNIVKKNNEEIEDNLSDDDSITESYETQETISDGIAIAV >Manes.18G102900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9820058:9820303:1 gene:Manes.18G102900.v8.1 transcript:Manes.18G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVKAEERRRRAPKGHFVVYVGNEMKRFVIPTYFLKIPIFQQLLDEAAEEYGYNYQLGILLPCNESSFINLIAFLKKHY >Manes.01G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12576296:12582407:1 gene:Manes.01G045600.v8.1 transcript:Manes.01G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDVFDDNVDIFSATISDLISSLKSAFQSSHFSEVQAVLASREQKLKREIEAKAKENELLKKQNGLLELERLEKIKVKNELRRCSRECLELRELNSRLTQELNDLNERLQAVAECKQAIIELTRKNCELECAKLKAERDAEIYKRRFEELEPRVSSLEKDAALLKSLAPEDGGGDLRIKEAQMISENEEVDSRTNGGCTSEVLVDLEQKGPGCFHKLDKNGTGGGRPLLRDVVEIMDSDDDSSPCKNLDTKEMVITAHVDHAHSGKAVAEHGTKALKRKSTSGVNNGADDKNQEVDSSSTTDNLKMTKIQKILHMLNSSPAINFREFTPLKPAVLLQCEEKIGAADKFQNGSDSSSSSSSEDEWDFSVDFSTMNKHWQRGQENGPCKRWGRDADMVTAFEKNGELCMEAVCALYRQQTRKSIYGTSSSQNRGFNKFALTRGTTLAEFLIDGDPKGKLTKSKMELMAYDPKGLDDCRRLAIEHSKQLFEIYQKQEDPLFLN >Manes.01G045600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12576296:12582407:1 gene:Manes.01G045600.v8.1 transcript:Manes.01G045600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDVFDDNVDIFSATISDLISSLKSAFQSSHFSEVQAVLASREQKLKREIEAKAKENELLKKQNGLLELERLEKIKVKNELRRCSRECLELRELNSRLTQELNDLNERLQAVAECKQAIIELTRKNCELECAKLKAERDAEIYKRRFEELEPRVSSLEKDAALLKSLAPEDGGGDLRIKGGGRPLLRDVVEIMDSDDDSSPCKNLDTKEMVITAHVDHAHSGKAVAEHGTKALKRKSTSGVNNGADDKNQEVDSSSTTDNLKMTKIQKILHMLNSSPAINFREFTPLKPAVLLQCEEKIGAADKFQNGSDSSSSSSSEDEWDFSVDFSTMNKHWQRGQENGPCKRWGRDADMVTAFEKNGELCMEAVCALYRQQTRKSIYGTSSSQNRGFNKFALTRGTTLAEFLIDGDPKGKLTKSKMELMAYDPKGLDDCRRLAIEHSKQLFEIYQKQEDPLFLN >Manes.16G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8796289:8804466:-1 gene:Manes.16G047800.v8.1 transcript:Manes.16G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSFFPSSSIPANVRDPRSGSVTEINTSVQWFDGTKERIQKMFDKIELSVSSYDTAWVAMVPSPNSSSVPLFPECAKWIVDNQLSDGSWGLPHRHPLLVKDALSSTLACVLALKRWGIGENQMNKGLQFIELNSGSLTDQKQHTPFGFDIIFPGMLDKAKYLALNLPLKSEYIDAMICRRDLELRSGCGGNTEARKAYLAYVSEGLGELQDWKMVMNYQRNNGSLFNSPSTTAAAFSHVQDADCLRYLHSVLEKFGNAVPTIYPLDIYARLFMVDTLERLGIDRHFRKEIKFILDETYRYWLQGNEEIFLDCTTCAMAFRLLRVNGYDVSSDMLTQFTEERFLNSLGGYLKDTSSALELCKASQIIYPDEPLLEKQNSWTSDFLKQELSSGSIYANRLGKHITAEVQDALNFPYCADLDRLSHRRCIEHYSVDETRILKTSYRSNIGNEHILKLAVEDFNACQSIHREELEHLGRWVVDNGLDKLKFARQKLGYCYFSGAAALFAPELSDARMSWAKNGVLTTVIDDFFDVGGSEEELLNLIQLVEKWDVDSSTECCSEQVEIIFSALHSTICEIGDKAFTWQGRKVTSHVIEIWLDLLKSMLRESQWSRTKATPKLDEYMANGYVSFALGPIVLPALYFVGPKLAEEEIRNPEFHDLFKTMSTCGRLLNDWRGFQRESSEGKLNAVSLHMIHGSDVVTEEEAIEKLQALISSQRRQLLRLVLQEKNSIIPRPCKDLFWKMIRVLHLFYMNDDGFTLDEMMNAANAVINEPLSFMN >Manes.16G047800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8796289:8804467:-1 gene:Manes.16G047800.v8.1 transcript:Manes.16G047800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVEQDEGANVRDPRSGSVTEINTSVQWFDGTKERIQKMFDKIELSVSSYDTAWVAMVPSPNSSSVPLFPECAKWIVDNQLSDGSWGLPHRHPLLVKDALSSTLACVLALKRWGIGENQMNKGLQFIELNSGSLTDQKQHTPFGFDIIFPGMLDKAKYLALNLPLKSEYIDAMICRRDLELRSGCGGNTEARKAYLAYVSEGLGELQDWKMVMNYQRNNGSLFNSPSTTAAAFSHVQDADCLRYLHSVLEKFGNAVPTIYPLDIYARLFMVDTLERLGIDRHFRKEIKFILDETYRYWLQGNEEIFLDCTTCAMAFRLLRVNGYDVSSDMLTQFTEERFLNSLGGYLKDTSSALELCKASQIIYPDEPLLEKQNSWTSDFLKQELSSGSIYANRLGKHITAEVQDALNFPYCADLDRLSHRRCIEHYSVDETRILKTSYRSNIGNEHILKLAVEDFNACQSIHREELEHLGRWVVDNGLDKLKFARQKLGYCYFSGAAALFAPELSDARMSWAKNGVLTTVIDDFFDVGGSEEELLNLIQLVEKWDVDSSTECCSEQVEIIFSALHSTICEIGDKAFTWQGRKVTSHVIEIWLDLLKSMLRESQWSRTKATPKLDEYMANGYVSFALGPIVLPALYFVGPKLAEEEIRNPEFHDLFKTMSTCGRLLNDWRGFQRESSEGKLNAVSLHMIHGSDVVTEEEAIEKLQALISSQRRQLLRLVLQEKNSIIPRPCKDLFWKMIRVLHLFYMNDDGFTLDEMMNAANAVINEPLSFMN >Manes.16G047800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8796289:8804467:-1 gene:Manes.16G047800.v8.1 transcript:Manes.16G047800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKIELSVSSYDTAWVAMVPSPNSSSVPLFPECAKWIVDNQLSDGSWGLPHRHPLLVKDALSSTLACVLALKRWGIGENQMNKGLQFIELNSGSLTDQKQHTPFGFDIIFPGMLDKAKYLALNLPLKSEYIDAMICRRDLELRSGCGGNTEARKAYLAYVSEGLGELQDWKMVMNYQRNNGSLFNSPSTTAAAFSHVQDADCLRYLHSVLEKFGNAVPTIYPLDIYARLFMVDTLERLGIDRHFRKEIKFILDETYRYWLQGNEEIFLDCTTCAMAFRLLRVNGYDVSSDMLTQFTEERFLNSLGGYLKDTSSALELCKASQIIYPDEPLLEKQNSWTSDFLKQELSSGSIYANRLGKHITAEVQDALNFPYCADLDRLSHRRCIEHYSVDETRILKTSYRSNIGNEHILKLAVEDFNACQSIHREELEHLGRWVVDNGLDKLKFARQKLGYCYFSGAAALFAPELSDARMSWAKNGVLTTVIDDFFDVGGSEEELLNLIQLVEKWDVDSSTECCSEQVEIIFSALHSTICEIGDKAFTWQGRKVTSHVIEIWLDLLKSMLRESQWSRTKATPKLDEYMANGYVSFALGPIVLPALYFVGPKLAEEEIRNPEFHDLFKTMSTCGRLLNDWRGFQRESSEGKLNAVSLHMIHGSDVVTEEEAIEKLQALISSQRRQLLRLVLQEKNSIIPRPCKDLFWKMIRVLHLFYMNDDGFTLDEMMNAANAVINEPLSFMN >Manes.02G077100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5863948:5866587:1 gene:Manes.02G077100.v8.1 transcript:Manes.02G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQLIALAAALIFASATTSLVSAAPVVTYVFGDSLTEVGNNNYLQYSLARSDYPWYGIDFSGGQATGRFTNGRTIGDIISEKLGIQSPPPYLSLSKNDDALLKGVNYASGGAGILNDTGLYFIQRLSFDDQINCFKKTKEVIKRKIGEAAANKHCNEALYFIGIGSNDYVNNFLQPFLVDAQQYTPDEFLELLISTLDQQLLRLYQLGARKIVFHGLGPLGCIPSQRRKSKKGICLKRVNEWTLEFNSRVQKLLTTLNQRLPNANFLFADTYGDVLDLINNPTAYGFKVSNTSCCNVDTSIGGLCLPNSKLCKNRKEYVFWDAFHPSDAANQVLAEKFFSFLFPNLPSPSAAPKPSPSAAPKPSPSH >Manes.12G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1042591:1045340:1 gene:Manes.12G010100.v8.1 transcript:Manes.12G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVPFSEPKEHIFRSRYPAVPVPENVTIPDFVLQDAELYADKVAFVEALTGKAYTYGEVVRETRRFAKALRSIGLKKGQVVIVVLPNVAEYGIVALGIMAAGGVYSGANPAAHESEIKKQVQAANAKLIVTNDLSYGKVSSLELPVILTGETRIGSAMNWHELLDSADRATDKYVCEDVHQSDLCALPFSSGTTGISKGVMLTHRNLVANLCSSLFSVGPEMLGQVTTLGLIPFFHIYGITGICFATLRNKGKVVVMSRFELRTFLNALISQEVTFAPIVPPIILALVKNPIVEEFDLSKLKLRAIMTAAAPLAPELLTAFEKKFPGVQVQEAYGLTEHSCITLTHGDPDKEHGIAKKNSVGFILPNLEVKFIDPETGGSLPKNTPGEICVRSQCVMQGYYDNKEETARAIDQDGWLHTGDSGYIDDDGDIFIVDRIKELIKYKGFQVAPAEIEAILLAHPSVEDAAVVPLPDEEAGEIPAACVVKNKEAKENEEDIIKYVASNVAHYKKVRVVQFVESIPKSPSGKIMRRLIKEKMIEKLQHNPKPLPPNSH >Manes.05G173200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28796217:28796781:1 gene:Manes.05G173200.v8.1 transcript:Manes.05G173200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVKCGNSGHLTFTEGQLKALFKEHDVNGDGRLSKEEIKKAFQQLGSRSPGWRVRRSLHHADTNGDGSIGVDELDELVKYVGAFGYNIK >Manes.03G071100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11184546:11187644:-1 gene:Manes.03G071100.v8.1 transcript:Manes.03G071100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAKVSSIFIYPIKSCRGISVSHAPVTPTGFRWDRNWLVVNYKGRAYTQRVEPKLALVEVGLPREAFLDGWEPAETSYNMVIKAPGMGVLNVPLAKPQAVADGVSVWEWSGSALDEGAEAAKWFSDYLGKPSRLVRFNAASETRPVDPDYAPGYKTMFADLFPYMLISQGSLDALNKLLKEPVPINRFRPNILVEGCEPFSEDLWKEIRINKFTFQGVKLCSRCKVYAFSFCIFLYLL >Manes.03G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11182886:11187644:-1 gene:Manes.03G071100.v8.1 transcript:Manes.03G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAKVSSIFIYPIKSCRGISVSHAPVTPTGFRWDRNWLVVNYKGRAYTQRVEPKLALVEVGLPREAFLDGWEPAETSYNMVIKAPGMGVLNVPLAKPQAVADGVSVWEWSGSALDEGAEAAKWFSDYLGKPSRLVRFNAASETRPVDPDYAPGYKTMFADLFPYMLISQGSLDALNKLLKEPVPINRFRPNILVEGCEPFSEDLWKEIRINKFTFQGVKLCSRCKVPTINQSNGVADKEPNETLMKIRSDKVLRPHKKQQGKIYFGQNMVWKDNLNGGRGNIINVGDPVVVVRKVSSAAEAAA >Manes.15G181070.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24244966:24245603:1 gene:Manes.15G181070.v8.1 transcript:Manes.15G181070.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRNFLLNYILMATKLNKPSNFLVLVLFWSFVIMPLCTIQARPLASKHLHYGTLRPSPAGGDQLSTELAEALLEDLDLFGIKKEGPSNGGEGH >Manes.03G019500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1687795:1689197:1 gene:Manes.03G019500.v8.1 transcript:Manes.03G019500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSVMPFVTHARTAEEEQRWLVFQAVETTGAEPARTETSGGDGGGSMKKCVCSPTRHPGSFRCRHHHGDYEWGRRITKNKIFPKIGKEFRFSKWMILLCRELPIIAS >Manes.02G211960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25742912:25745083:-1 gene:Manes.02G211960.v8.1 transcript:Manes.02G211960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKDTLLHNVLFDYINNKCSILSIFNSKPNSCIFLLAGHSFA >Manes.17G069200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26920153:26930169:1 gene:Manes.17G069200.v8.1 transcript:Manes.17G069200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MNS3-2 MLLMIFGLALLLMTHTSSHHSFSDGLAKGTNVSEEITMGGSSRFGRFWRKPPRLPPRLSPDEKGTNNKPSNESLRLNADPIWIERQQKVKESFLHAWSGYKKYAMGYDELMPLSQQGVDGLGGLGATIVDALDTAMIMGANEVVFEAGSWIETHLSDKISKNGQVNLFETTIRVLGGLLSAYHLSGGGQHNNSMYKGPKPIVYLETARKLADRLLVAFTSSPTPIPFSDVVLHGPSAHRAPDGLSSTSEVSTLQLEFNYLSTVSGDPKYSVEAMKVLAHMKNLPKVEGLVPIYISPDSGEFSGENIRLGSRGDSYYEYLIKVWLQQGSSQDSDFTYLHDMYEEALKGVRRLLVQKSIPNGLVFVGELPYGPKGVFRPKMDHLVCFLPGTLALGATKGITKEKAMKDDLLKFEDLENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTKEYYEGGLDGGNKGSKFVDDIIIKHADRHNLLRPETVESLFVLYRVTEDPKYREWGWQIFEAFEKFTKVESGGYSSLDDVTLLPSRRRDKMETFFLGETLKYLYLLFGDTSVIPLDKFVFNTEAHPLPIKGT >Manes.17G069200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26920153:26930169:1 gene:Manes.17G069200.v8.1 transcript:Manes.17G069200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MNS3-2 MSKSLPYSMKDVHYDNAKFRQRSFVKVIAQSFVTSNLKRKCISCSTGKFLMLLMIFGLALLLMTHTSSHHSFSDGLAKGTNVSEEITMGGSSRFGRFWRKPPRLPPRLSPDEKGTNNKPSNESLRLNADPIWIERQQKVKESFLHAWSGYKKYAMGYDELMPLSQQGVDGLGGLGATIVDALDTAMIMGANEVVFEAGSWIETHLSDKISKNGQVNLFETTIRVLGGLLSAYHLSGGGQHNNSMYKGPKPIVYLETARKLADRLLVAFTSSPTPIPFSDVVLHGPSAHRAPDGLSSTSEVSTLQLEFNYLSTVSGDPKYSVEAMKVLAHMKNLPKVEGLVPIYISPDSGEFSGENIRLGSRGDSYYEYLIKVWLQQGSSQDSDFTYLHDMYEEALKGVRRLLVQKSIPNGLVFVGELPYGPKGVFRPKMDHLVCFLPGTLALGATKGITKEKAMKDDLLKFEDLENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTKEYYEGGLDGGNKGSKFVDDIIIKHADRHNLLRPETVESLFVLYRVTEDPKYREWGWQIFEAFEKFTKVESGGYSSLDDVTLLPSRRRDKMETFFLGETLKYLYLLFGDTSVIPLDKFVFNTEAHPLPIKGT >Manes.07G009956.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1234703:1237905:1 gene:Manes.07G009956.v8.1 transcript:Manes.07G009956.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASKLSPQLIRMLIFFLISLLALTKPAKADDVDDIPKNFNRSYFPDDFIFGTATSAYQIEGAANISGKGPSVWDTFTHEYPERIRDKSNGDMAVDFYHRYQEDIQNVKNMGFNAFRFSISWSRVIPSGRRREGINEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSRNIVRDYREYADLLFERFGDRVKHWMTFNEPWALSGFAYDDGVFAPGRCSSWVNNQCRAGNSATEPYIVAHNLLLSHSAVVNIYRKNYQKIQNGKIGITLFTFWFEPLSNRISDIEASRTAMDFMFGLWMDPLTYGRYPRSVQDLIGDKLISFSDEETQLLRGSYDFIGLQYYTSYYAKPNASIDSDRIRYKTDSNITETPYDYDGNLIGPQAYSPWFYIYPNGIRHLLNYTKDRYNNPVIYITENGVDNLNDETQSIEEALKDEFRIDYYRKHIWNTLGSLRDYNVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKNSAIWFTKFLNPSN >Manes.14G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15137948:15139274:1 gene:Manes.14G146000.v8.1 transcript:Manes.14G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHMVLSLFVSVLVASLMVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQASGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSTGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIIFSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSQAPFTASYRNFSANACAWSNWVSSCGTSNSMTNSWLSEELDSTSQERLQWVRNNYMIYNYCTDANRFPQGFPPECNLS >Manes.03G134704.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26277564:26278616:-1 gene:Manes.03G134704.v8.1 transcript:Manes.03G134704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMELKSFIKVWVLAITCLCYCYYVAARLPKGTLRLLSILPVIYIFIVLPTNLTSPNLCGPTAFFLAWLANFKLLLFSFDQAPLSPLPPKLFHFISLACLPIQLKQKTHNHTNRSPHFMPRSLLLAIKTFVLVLLFHIYSYRQFMHPYVILVLYCLHVYLHVELVLAISAVPARALFGFEIEPQFNEPYLATSLQDFWGRRWNLMVTSILRPTVYYPVHQFSKRLFGPTWASLPAVIATFWVSGLMHEVIYFYLTRVSPTWEVTWFFILHGICVAIEVVLKKVVKDRWQLHRAISGPLAVTFAGVTAFWLFFPQITRNRVDEQVIWECSILLNFIKHKVSSCFYCLML >Manes.11G067000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9557600:9560643:1 gene:Manes.11G067000.v8.1 transcript:Manes.11G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPLAPSYLHHPRPGFITKARILFLTLTISASVVIIFTILYFLYHLWFSLVNRSRTIPFDSCTPLKLQKFSYKELRIATNDFDDDHIIGKGGSGTVFRGIARDGKLYAIKRLDTLSLQSEREFQNELQILGGLRSNFLVTLLGYCFEKNKRLLIYEYMPNKSLQELLFGEGHLGLSWDRRFEIILDVAKALEFLHLGCDPPVIHGDIKPSNVLLDFDFRAKISDFGLSRIKVEGEFGVDLFSQDLGKSQELWKSQELSGNFTSETPAVSTHLDSCQEVDFSLALQASSSKNNRTCYNVRALNVKSFNYNANIGNESDVKVGNGKGKEVSGGEDWNCKFMPYDEEPCSIDHSKELNCTTVSVVDDSSIGTKQWGKDWWWRQDGSGELCSKDYVMEWIGSQICPSNPDWDEEKRSTPERTELSSSAPLDKVEDASESQLHELGFENCIKESERKDSRGRKNRRRKNRKMQEWWKEEHLDEISKKGNKLKNVETKWKKRLKTPHFHLTRRFHFHRQKKLGEQTLKDSDQHGEFSFRRGWKKKNAHERSTGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGYLMEKADIYSLGVLILVIVSGRRPLHVLASPMKLEKANLISWCRHLAQAGNILELVDERLKDDYNKEQATLCVNLALICLQKMPELRPEIGDIVKILKGEMDLPPLPFEFSPSPPSRLFSRSRRRHNSNTE >Manes.05G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24010813:24016193:1 gene:Manes.05G140900.v8.1 transcript:Manes.05G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLAGRVAVCTLLCVAVSLFANVGAEDPYRFFNWNVTYGDIYPLGVRQTGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLLSWNGIQQRRNSYEDGVIGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPDGDYTVLIGDWYKSNHTVLRAHLDRGKKLPFPDGILINGRGPNGYALTVEQGKTYRLRISNVGLQHSLNFRIQNHKMKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQPGQDYYIVVSSRFTTPILTTTGVLHYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTTRTIRFASSAGQVNGKQRYAVNSVSFVAPDTPLKLADYFKIQGVFRENSISDKPYGGGIYLDTSVLTVNYREFVEIVFENSENIVQSWHLDGYSFFVVGMDGGQWTSDSRNQYNLRDAVARCTTQVYPMSWTAIYVPLDNVGMWNLRSEFWARQYLGQQLYLRVYTASTSLRDEFPIPKNALLCGRASSRSTRPL >Manes.07G050400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5998482:5998973:-1 gene:Manes.07G050400.v8.1 transcript:Manes.07G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKNSLSLLCLVSLASLILPLNAQDSQQDFLDAHNQARAAVGVGPMTWDNTVAAFAQNYASQRAGDCNLVHSTNPPYGENLAMSTGDFSGKDAVKLWVDEKAFYDYNSNSCTGGEQCLHYTQVVWRNSVRLGCAKVKCNNGGTFIGCNYDPPGNFVGQKPY >Manes.15G080600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6192060:6196265:-1 gene:Manes.15G080600.v8.1 transcript:Manes.15G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIVYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLPEEVLGKMSAPPKSDVPIITPNELADADGFIFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKLKGGSPYGAGTYAGDGSRQPSDLELEQAFHQGKYIATITKRLKGAA >Manes.08G165800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40024634:40028293:-1 gene:Manes.08G165800.v8.1 transcript:Manes.08G165800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASELFSENGRDADLNGSCSNNNRNNSSKGEEPIIGIQGKGKMVRKRMACEMMEVQTADQNQRCYRRISGGGGTTDSLPEDNIIGCTSSLTNIINPNQNQNQNQNPNPNPYPVLNYSTMTVLPSSTNLTSMTSGGSVSVSLCGFLSSTGTTNFSSNDFLSSSSQLQAPAVCGFSGLPLFPSERDRQRNAAVPVVLSTSSTTGGGGAAAAAAAAATAITMDDASATAWIDGIIKDLIHSSANVSIPQLIQNVREIIFPCNPSLASLLEYRLRSLAEPIPNYPVDRRRKEALPHRDYSNQVQASSGLTLNLENVNSYTSLPDSTAAVATASPVVNQYSSWGTTPSLVCQGNNQQLHHQLQLLHDEQQQQESPSSTSVTPPLLALNQGHPQQQEYEKSSSAETEQVANTGIATTPTSVAAAGISSREKKEELRQQKRDEEGLHLLTLLLQCAEAVSADNFEEANKILLEISELSTPYGTSAQRVAAYFSEAMSARLVNSCLGIYATLPSVPLSHTQKMASAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPSGPPYVRLTGLGTSMETLEATGKRLSDFAQKLGLPFEFFPVAEKVGNLDPEKLNVSKREAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSREIRNVLAVGGPSRSGELKFHNWRDKLQQSGFKGISLAGNAATQATLLLGMFPSDGYTLVEDNGALKLGWKDLCLLTASAWRPFHGITANATAIATTSIHNQYHLQRFATV >Manes.06G145000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27199907:27205088:1 gene:Manes.06G145000.v8.1 transcript:Manes.06G145000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRLFGAKKSCPSPAKEKRRWSFARSSNTISSQFNKREVLSGPYDDNLDANKHAIAVAAATAAVAEAALAAAQAAAEVVRLTSVSGGGGRSTASGHVSGSNRRREEELAAIKIQSEFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRVQARARASRTHVSESWHSTGKSSQSQHTVPAAPHKDRLLHEYGTKFDGPSILKRCGSNSNLREIRHVDEAKLGSNWLDRWMEESFWNNHGNIPMRNRHVDDEKSDKILEVDTWKPHMKSQQSIRTLQASQHVMASDHNNQFTSDLPSILSSKATYQMPTVSPGEVSSLNSLNFPLRKDEAVMRNVESNPYAFSPSSRPGSSGRRGPFTPTRSECSWGFFNGYSGYPNYMANTESFQAKVRSQSAPKQRLEFEKYGSSKRSVQGFHDADTMSERGFAPQINFRNKAYPASGRLNRVGGDVR >Manes.07G046400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5272728:5275656:-1 gene:Manes.07G046400.v8.1 transcript:Manes.07G046400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFLFIFCCIVPLSADELPLYIPSDNFAFDCSPSYPSSDFKSTFASEKSFSFSSVSRTYALDPHYRDGEPKISACVFRKQNTYPFSVSTGPKFVRLYFKPMAYPGLMLSKALFSVSIDRYRVLTTSEGSYSKLPSDVSYFVRELCINVDSQILNVTFTPSSKISGSYAFFNKIEIVSMPSNLYIREDAPLPLIGQPSSYVMDNSTALELMYRINIGGELIPQPEDTGMFRLWTSDADYFVSDEAETSIIQSDVEIKSSSLGPAFAAPVQVYASARTVQGGSASNYSSRWSFPVDFGFYYLVRLHFCEISRRIQSEGQRVFRVYISNQSAEEHADIFHWSHGAGIPIFRDYIVNFSRPGDGIKYLSIATASDYGSYEGEGPILNGLEIFKLSDHFNNLAGNYPFGVRNSHLNVSDSKDHSSDDRVFTGVAYVLGWSVLVMNILFCFSLVVSNFKGHREKLKQGQSSNNCRIFSVAEIKSATNNFADTLLIGTGGFGMVYKGTIDGGTINVAIKRANPSSHQGFKEFQTEISMLSELRHSHLVSLIGYSMEDKEMILVYDYMARGTLRDHLYKSQKPPLPWKQRLKICIGAARGLHYLHTGAKGVIIHRDIKSTNILLDDKWVAKVSDFGLSKAGSSSLTQSKTKTHVSTMVKGTFGYLDPEYYRRQKLTEKSDVYSFGVVLFEILCARPAVLPMGEIEEEEEYEKASLAEWALHCCQMGTIEREIDPYLEGKIDPECFRIFTDIAKKCLAEKGIERPSMGDVLCNLELAMQKQNAADLLVEMGTKEAKMKLNGEACIEIEEGQCISSYNSN >Manes.14G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3280712:3285131:-1 gene:Manes.14G037800.v8.1 transcript:Manes.14G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLRFPSIYSLRAYFSETNCTKTDVKKLPALDEKYIIGSEVAAEVLYRRITPHEISAKRNSWHFWAVPSIAAEEVSTSHSNSKPRSTVVPKKGSLWSELKGTGMLKWGQRRQVRYLARHVEDKRKQKFSSKVPDKEEEEEAGDEEEENAMEVASDEGEEEKASDDEKEEDEKAGKSRETKTNRKRNHQASSCKSQNNSKRPKNEMQNQIVAYKQKKNKVLKNSIDRWSVERYKLAEVNMLKIMKEQGAVFGKPILRPELRAQARKLIGDTGLLDHLLKHMAGKVAPGGEERFRRRHNAEGAMEYWLEKADLVDIRREAGVQDPYWTPPPGWKTGDNPTQDPLCAREIRNLKEEIAKLKRDMEEQLSKKHEEELAIVTSQISSVTSHDIEHEKLLTPLKEMYIDLVNKKVKIEEQLMEISQSLCGMEEQMGKLKTAMEEAKRTESSEGPALMRLPESTSPAVTQKKRKEAIMQQKKEETVPRELRQEHSKAGCNRTPPTAKSPSPADDKAAKIERLKSGFRICKPQGTFLWPNMVISSPQVVVQLEDLFAVPTPPSVSSTSATQPHLLPAPPPQHDEPIPTSPLKPLAEKGPVTIALPTAVSRQIHSSDDVYGPQYENSSISTTSATSTNTTTITSQINLNEPPCNIQNDNGLTGSHSQGQTSTYPVTYQRRGHHTVTAIAAMPCLEPAKKEMNQWEGGKDEGKEMMRYCEQEQQGCCSAASTCPCLSVEVGAWLALATSNQPLENKSKRG >Manes.05G074900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6138628:6140133:1 gene:Manes.05G074900.v8.1 transcript:Manes.05G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAQYYLFSSLLFFFFFFSPSFAQQSFRPKALVVPVSKDAATLQYVTQIEQRTPLVPINLVVHLGGRFLWVDCDQGYVSSTYRPARCGSALCSLGGADGCGDCFSGPRPGCNNNTCGVSPDNPVIRTATGGELATDVVSVNSTNGSNPGRAVTVPRFLFSCAPTSLLEGLANGVVGMAGLGRTRAAFPSQFAAAFSFHRKFAICLASNGVIFFGDGPYNFFPNLQYTSQSLTFTPLFINPVSTAAAFSQGEPSAEYFIGVTSIKIVDKTVPLNSTLLTIDSKGNGGTKISTVNPYTVLESSIFKAVTETFISEAAAWNITRVGSVAPFDVCFSTENVLSTRLGYGVPTISLVLQNENVIWDIYGANSIVQVSDDVLCLGLVNGGSNPRTSIVIGGYQLENNLLQFDLATSRLGFSSLLFGRRTTCANFNFTSIA >Manes.03G003400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:348300:350050:1 gene:Manes.03G003400.v8.1 transcript:Manes.03G003400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPSSSSLIAQKTWELENNIITVDNPPNSSNSAVDASSDAIFYYDEMAQAKFQQDRPWVNDPHYFRRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRFGPLIAPPQRKKEVIVILISSNDMYCLIYKMVVSLVSVSFFFLFFFFR >Manes.03G003400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:347992:354105:1 gene:Manes.03G003400.v8.1 transcript:Manes.03G003400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPSSSSLIAQKTWELENNIITVDNPPNSSNSAVDASSDAIFYYDEMAQAKFQQDRPWVNDPHYFRRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRFGPLIAPPQRKKEEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVRQSSRSSPGPEPMVES >Manes.09G074400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16499092:16502530:1 gene:Manes.09G074400.v8.1 transcript:Manes.09G074400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDESPPDGIKVGVNDEDFSTIYADIEGPAGTPYENGLFRMKLLLSSDFPHSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPNLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHAKPKPKLKSGAISESTTALNVDQSNSSVLNNDQKNTAIAPVIALPSPLAPCTAATKGGNGQEHSSAIVPVAETGDGESETVATPVVSTQKKENGAAKVQVDKRKMDARKKSLKRL >Manes.09G074400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16498843:16502529:1 gene:Manes.09G074400.v8.1 transcript:Manes.09G074400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLAKELKNLDESPPDGIKVGVNDEDFSTIYADIEGPAGTPYENGLFRMKLLLSSDFPHSPPKGYFLTKIFHPNIATNGEICVNTLKKDWNPNLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHAKPKPKLKSGAISESTTALNVDQSNSSVLNNDQKNTAIAPVIALPSPLAPCTAATKGGNGQEHSSAIVPVAETGDGESETVATPVVSTQKKENGAAKVQVDKRKMDARKKSLKRL >Manes.02G190900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15321478:15325844:-1 gene:Manes.02G190900.v8.1 transcript:Manes.02G190900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYVCGTVTTVFLRLFLFLSLLFPLGLSLSSNQNSIMINLSLLLNNTALSWDVKTQPNPCLWKGVNCSSDNSSVISLSLNGLGVSSSGFLSLVCQIESLQSLDLSNNRLSSIPDEFISSCGGISELKLVNFSKNFLFVDLPTFRGFLGLESLDLSFNSLRGSISSQLDGLSSLKILNLSFNRFKGSVNLGKSMVSLEELHLSVNFFQGEIPSEIFNYLNLTLIDLSANNFSGFIPDSIGNLTKLKTLILSSNDLVGEIPQTIADITTLSRFSANQNGFSGRIPSGITRYLSFLDLSYNKLSGSIPLDLLLQPNLGTVDLSYNLLDGTIPENISQALVRLRLGSNSLVGSVPSLCKSNQKLTYLELDNNTLSGEIPVGLASCRNLALLNLAQNGLSGPLPVELGNMSKLEVLKLQLNKLVGEIPESFSQLQKLSTLNISWNSLTGLIPSSISNLENLAQLNLQGNGLHGLIPDNINNMRSLLELQLGQNQLGGRIPMMPLKLQIALNLSSNLFQGPIPNTLGQLKELEVLDLSNNKFSGEIPSFLTQLASLTKLILSNNQLSGIIPEFKPWVSVIANGNVGLINATKTNNSPEFIKKRKPLVLAVTLAVGAAAIAVGVIIIVAVSFSRRFQKINDQQSQSEEDLPLPRVIQGNLLTANAIHRSNIDFAKAMEAVTYSWNIVVKTKFSTYYRAIMPCGVSYFVKKLNWSDKIFQLGNRDKFEQELEVLGKLNNSNVMTPLAYVLTVDSAFLFYEHAHKGTLFDVLHGKLEKALDWGSRYSIAVGVAQGLTFLHGNSSGPILLLDLSSKNILLKSLKEPLVGDIELCKVIDPSKSTGSISTIAGSVGYIPPEYAYTMRVTMAGNIYSFGVVLLELLTGKPAISNGTELAKWVLNNSKQQDRWDHILDFNISKTSVAVRGQMLAILKVALSCVSVSPEARPTMKNVLRMILNAR >Manes.17G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30771990:30775879:-1 gene:Manes.17G100600.v8.1 transcript:Manes.17G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRFILLCLLLQAFFSLARSQSFIGINYGLVADNLPPPAATAKLLQSTAVQKVRLYGADPAILKALANTGIGIVIGASNGDIPALGSDPNSATQWVNSNVLPYYPASNIILITIGNEVVLSGDQNLISQLLPAMQNMENALKAASLGGKIKVSTVHSMAVLSQSDPPSSGLFNPSYQDTMRGLLQFQRDNGSPLAINPYPFFAYQSDPRPETLAFCLFQPNAGRVDSGNGILYMNMFDAQVDAVRSALNALGFKDIEILVAETGWPYRGDSNEVGPSIENARAYNGNLIAHLRSLVGTPLMPGKSVDTYLFAIYDEDLKPGPGSERAFGLFKPDLSMTYDVGLSKSSLTPSTPQTPATPSVRPTGAAWCMPKSGVSDAQLQGSLDYACGQGIDCSPIQPGGACFEPNTLVSHAAYAMNLYYQASGKSPWDCDFSQTAALTSTNPSYNSCVYPGGST >Manes.04G068600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26658485:26661201:1 gene:Manes.04G068600.v8.1 transcript:Manes.04G068600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFEFGSTPATPKPASVAASTPPISAPPSQFHSPSLSRSPLLSTGDHIQPASTNRTPRTSTPKISTPRLRTPRFITPLGSPIRRALHLTKLDPQDAWLPITESRNGNKFYAAFHCLCSGIGVQALILPVAFTTLGWAWGVIDMTLTFLWQLYTLYLLVQLHESTEQGIRYSRYMQLANATFGEKLSKWLALVPIMYLSAGTCIALIIIGGSTSKMFFQTVCGETCNVKTLTTVEWYLVFTCGAVVLSQLPNMNSIAGVSLIGAITAVGYCTIIWAVSVAEGRMPGVSYNPVRGSSDIERVFDVLNALGIIAFAFRGHNLILEIQATMPSSEKHPSRVPMWKGVKVAYALIAMCLFPLAIGGYWAYGQMIPTGGMLTALFMFHGRDTSRFILALTSLFVIINALSSFQIYGMPMFDDLESLYTRRMKKPCPWWLRAIIRALFGFLCFFVAVAIPFLGSMAGLIGGIALPVTLAYPCFMWLKMKKPEMYSPMWFLNWGLGIFGLALSGAQIAAGIYVVVSTGTKVSFFNPQ >Manes.04G068600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26658485:26661220:1 gene:Manes.04G068600.v8.1 transcript:Manes.04G068600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFEFGSTPATPKPASVAASTPPISAPPSQFHSPSLSRSPLLSTGDHIQPASTNRTPRTSTPKISTPRLRTPRFITPLGSPIRRALHLTKLDPQDAWLPITESRNGNKFYAAFHCLCSGIGVQALILPVAFTTLGWAWGVIDMTLTFLWQLYTLYLLVQLHESTEQGIRYSRYMQLANATFGEKLSKWLALVPIMYLSAGTCIALIIIGGSTSKMFFQTVCGETCNVKTLTTVEWYLVFTCGAVVLSQLPNMNSIAGVSLIGAITAVGYCTIIWAVSVAEGRMPGVSYNPVRGSSDIERVFDVLNALGIIAFAFRGHNLILEIQATMPSSEKHPSRVPMWKGVKVAYALIAMCLFPLAIGGYWAYGQMIPTGGMLTALFMFHGRDTSRFILALTSLFVIINALSSFQIYGMPMFDDLESLYTRRMKKPCPWWLRAIIRALFGFLCFFVAVAIPFLGSMAGLIGGIALPVTLAYPCFMWLKMKKPEMYSPMWFLNWGLGIFGLALSGAQIAAGIYVVVSTGTKVSFFNPQ >Manes.01G091200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29280129:29283518:-1 gene:Manes.01G091200.v8.1 transcript:Manes.01G091200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDPNLNTSASMEDNLVSVENPKSQLVQSFRKNYPPAFLRKVVAEVMATYLLVFVTCGAAAISASDEQRISKLGASIAGGLIVTVMIYAVGHVSGAHMNPAVTAAFAAVRHFPWKQVPFYVAAQLTGAISASFTLKVLLHPIKHVGTTSPAGSDLQALIMEIVVTFSMMFVTSAVATDTKAIGELAGIAVGSAVCITSILAGPVSGGSMNPARTLGPAIASAHYKGIWVYLIGPVVGTLLGAWSYNLIRVTDKPVQAISPRSFSFKLRRIRSKDMEAHNNDPLDAL >Manes.08G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38773753:38774733:1 gene:Manes.08G146200.v8.1 transcript:Manes.08G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDQPAIDFDYEEFEPFCKWRRDEKCDFFEIYGLQDFKKEQLKIKRKLNTLIITGECPLKNNIRNLFRKEIRLSKHWKIDEIRAKFKKRGILYVTLPKKTSSSFSKKGGNSTGFVFAWLALPSNYLAVAVVPLAIVIGGLFVCKNYMSF >Manes.01G133900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32695712:32699884:1 gene:Manes.01G133900.v8.1 transcript:Manes.01G133900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWERAVETALDGQTDHAAARTLTLDGVVKCVHGRLPPPSLLEKFENLLQLSIANIGVSSLDQFPRLRNLQKLILSDNRISGGLEFLVDAGLDSLRDLDLSNNRIQYIEDLAPLAQLKLISLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDPEGNERPESDEEDEEEDDEDDPGSGEIDGEERPYRLNNGHSEGVEGIVDVDEDEESDADEEETETARRINGPNQNGFRVAAVGGRDDVEEGDEEEENESGEEIDYEDGDDDDDDDDVVEVHEIEDSGDEEDGVEDDDEDEEDEEEDEEEEEEVDNDEVDFAEPESTGRLASTEGEIDGHEQGEDDGEEDDNGETGEEEQGVDDDGEFEEEEDEEDYGAGYLVQPVGQAEVHDAGGSDMEANEEDDHEGEEEVEDDEVQVLPSSSSSHNKRKKDEDLDLDEDDDFVEYSKSSKKKR >Manes.17G050200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24747526:24748370:-1 gene:Manes.17G050200.v8.1 transcript:Manes.17G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAIPFRTRIPSTAIAGGNRQLSPTGSASSNGRNVLVSANRENYVQKLVLENSVIVFGKSGCCMCHVMKRLLLGLGVNPTVFEVDENEEAAVINELSNISCSKDVGEGEVQFPVVFVGGKLFGGLERVMATHICGELVPILKDAGALWL >Manes.08G067200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:10238433:10243035:1 gene:Manes.08G067200.v8.1 transcript:Manes.08G067200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKQDSKVTEPTEPPKKQQQKQKQKEEIMELVPEEEEYEEVEEEEEDGEEEEEVEEEEEEEEEEEEEEDDDDGDDQNQIDDEDDESLDKLLEPFSKEQLINLIRYAADTHGDIADRIRKIADEDPVHRKIFVHGLGWDTNTETLMNAFKPYGEIEDCKAVCDKVSGKSKGYGFILFKKRSGASKALKEPQKKIGNRMTACQLASIGPVPAAGAATPAHQQVSEYTLRKIYVSNVGSDLDPQKLTAFFAKYGEIEEGPLGLDKATGKPKGFSLFVYRTVESAKKALEEPHKNFEGHILHCQKAIDGPKPGKSMQQQQQQQQHHVQNSHFQRNDNPAYAGGVASGPAHLMAPSAAPGIGFNQGAGAAAAPALNPALGQALTALLATQGAGLGLTNLLGTLGSAASVNQGGVPGAAPGMQSAYGSQANISPGVIGSYGNQGVMQGGYSNQQLGQGGSGRGHGVGQYGGVAPYMGH >Manes.03G038800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3400137:3404615:-1 gene:Manes.03G038800.v8.1 transcript:Manes.03G038800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEEEEFLRPPPALATEIEVPVPVA >Manes.03G038800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3400135:3404615:-1 gene:Manes.03G038800.v8.1 transcript:Manes.03G038800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEEEEFLRPPPALATEIEVPVPVA >Manes.03G038800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3400135:3404615:-1 gene:Manes.03G038800.v8.1 transcript:Manes.03G038800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEEEEFLRPPPALATEIEVPVPVA >Manes.03G038800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3400985:3404543:-1 gene:Manes.03G038800.v8.1 transcript:Manes.03G038800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEEEEFLRPPPALATEIEVPVPVA >Manes.05G010500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:944530:947715:1 gene:Manes.05G010500.v8.1 transcript:Manes.05G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRNIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKAVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMMTINLDLKRGGNRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKPQA >Manes.08G158611.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39466474:39467454:-1 gene:Manes.08G158611.v8.1 transcript:Manes.08G158611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQMKDPVKVIREALAKALVFYYPFAGRLREWPNRKLLVECTAESILFIEADADVTLEQSSDALELPFPYFEEMLFDVPGSSETLNCPLLLVQVTRFKCGGFALALRVNHTVSDAPGFVQFMSGVAEMARGKQAPSVLPVWERHVLNARSPPSVTCIHRVYDEVEDNKSTRLLAKLEFH >Manes.04G154700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35003689:35007570:1 gene:Manes.04G154700.v8.1 transcript:Manes.04G154700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQVTNGRLGFLSKRFTTILVICFIALPVLVMAVMMSTNSKFDISSILGVEVQNATALDVDSKPTTTSIPDDKLNDTQAHVFGRGSDSEYESSQLTSTPDSKLLNGSLAPRFGKASDPEYEYSQPTSTPDDKQLSKTLASSSSGGSVSENDTSQSTSIHNVNLLNGTFASRFGERPGSRNDSSESTSMANDKLLDGLSPPGFDERSCLSKYGSVLYRKISSHKPSPFLLSKLRNYENLHKRCGPYTEPYNRTLKILKSGHVGSTTMCKYVVWRPDNGLGNRMVSIASSFLYALLTNRVLLLDHGTDMADLFCEPFPNTSWLLPMDFPLRNQFRNSELRYAHSLGGMLEKDRKNLLMGSTPPYLYLTIKKRDYDLDKEIFYCDKNQALLGKIPWLILLSEQYFAPSFFLIPSFKNEATKLFPEKETVFHHLGRYLFNPSNQVWKQLITRFYDANLANADERIGLQLRVFHANAGQIQTVVDQLLACTLKKKLLPEIDTRNSVASSSNNWTSTKAILITSLYPEFYKNLSNRYLVHPSLTGEVFGVYQPSHEGYQHRGDNKHNMKAWAEIYLLSLCDVLVTSAWSTFGYVAQSLGGLKPWILHKPGHGVYLPCKRATSMEPCFHYPFSYDCKSKNKVDAGSLVPYIRQCEDRELGIKLFDDEQS >Manes.04G154700.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35005295:35008353:1 gene:Manes.04G154700.v8.1 transcript:Manes.04G154700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKLLDGLSPPGFDERSCLSKYGSVLYRKISSHKPSPFLLSKLRNYENLHKRCGPYTEPYNRTLKILKSGHVGSTTMCKYVVWRPDNGLGNRMVSIASSFLYALLTNRVLLLDHGTDMADLFCEPFPNTSWLLPMDFPLRNQFRNSELRYAHSLGGMLEKDRKNLLMGSTPPYLYLTIKKRDYDLDKEIFYCDKNQALLGKIPWLILLSEQYFAPSFFLIPSFKNEATKLFPEKETVFHHLGRYLFNPSNQVWKQLITRFYDANLANADERIGLQLRVFHANAGQIQTVVDQLLACTLKKKLLPEIDTRNSVASSSNNWTSTKAILITSLYPEFYKNLSNRYLVHPSLTGEVFGVYQPSHEGYQHRGDNKHNMKAWAEIYLLSLCDVLVTSAWSTFGYVAQSLGGLKPWILHKPGHGVYLPCKRATSMEPCFHYPFSYDCKSKNKVDAGSLVPYIRQCEDRELGIKLFDDEQS >Manes.04G154700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35003689:35007654:1 gene:Manes.04G154700.v8.1 transcript:Manes.04G154700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQVTNGRLGFLSKRFTTILVICFIALPVLVMAVMMSTNSKFDISSILGVEVQNATALDVDSKPTTTSIPDDKLNDTQAHVFGRGSDSEYESSQLTSTPDSKLLNGSLAPRFGKASDPEYEYSQPTSTPDDKQLSKTLASSSSGGSVSENDTSQSTSIHNVNLLNGTFASRFGERPGSRNDSSESTSMANDKLLDGLSPPGFDERSCLSKYGSVLYRKISSHKPSPFLLSKLRNYENLHKRCGPYTEPYNRTLKILKSGHVGSTTMCKYVVWRPDNGLGNRMVSIASSFLYALLTNRVLLLDHGTDMADLFCEPFPNTSWLLPMDFPLRNQFRNSELRYAHSLGGMLEKDRKNLLMGSTPPYLYLTIKKRDYDLDKEIFYCDKNQALLGKIPWLILLSEQYFAPSFFLIPSFKNEATKLFPEKETVFHHLGRYLFNPSNQVWKQLITRFYDANLANADERIGLQLRVFHANAGQIQTVVDQLLACTLKKKLLPEIDTRNSVASSSNNWTSTKAILITSLYPEFYKNLSNRYLVHPSLTGEVFGVYQPSHEGYQHRGDNKHNMKAWAEIYLLSLCDVLVTSAWSTFGYVAQSLGGLKPWILHKPGHGVYLPCKRATSMEPCFHYPFSYDCKSKNKVDAGSLVPYIRQCEDRELGIKLFDDEQS >Manes.04G154700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35003663:35008335:1 gene:Manes.04G154700.v8.1 transcript:Manes.04G154700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQVTNGRLGFLSKRFTTILVICFIALPVLVMAVMMSTNSKFDISSILGVEVQNATALDVDSKPTTTSIPDDKLNDTQAHVFGRGSDSEYESSQLTSTPDSKLLNGSLAPRFGKASDPEYEYSQPTSTPDDKQLSKTLASSSSGGSVSENDTSQSTSIHNVNLLNGTFASRFGERPGSRNDSSESTSMANDKLLDGLSPPGFDERSCLSKYGSVLYRKISSHKPSPFLLSKLRNYENLHKRCGPYTEPYNRTLKILKSGHVGSTTMCKYVVWRPDNGLGNRMVSIASSFLYALLTNRVLLLDHGTDMADLFCEPFPNTSWLLPMDFPLRNQFRNSELRYAHSLGGMLEKDRKNLLMGSTPPYLYLTIKKRDYDLDKEIFYCDKNQALLGKIPWLILLSEQYFAPSFFLIPSFKNEATKLFPEKETVFHHLGRYLFNPSNQVWKQLITRFYDANLANADERIGLQLRVFHANAGQIQTVVDQLLACTLKKKLLPEIDTRNSVASSSNNWTSTKAILITSLYPEFYKNLSNRYLVHPSLTGEVFGVYQPSHEGYQHRGDNKHNMKAWAEIYLLSLCDVLVTSAWSTFGYVAQSLGGLKPWILHKPGHGVYLPCKRATSMEPCFHYPFSYDCKSKNKVDAGSLVPYIRQCEDRELGIKLFDDEQS >Manes.04G154700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35003689:35008335:1 gene:Manes.04G154700.v8.1 transcript:Manes.04G154700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQVTNGRLGFLSKRFTTILVICFIALPVLVMAVMMSTNSKFDISSILGVEVQNATALDVDSKPTTTSIPDDKLNDTQAHVFGRGSDSEYESSQLTSTPDSKLLNGSLAPRFGKASDPEYEYSQPTSTPDDKQLSKTLASSSSGGSVSENDTSQSTSIHNVNLLNGTFASRFGERPGSRNDSSESTSMANDKLLDGLSPPGFDERSCLSKYGSVLYRKISSHKPSPFLLSKLRNYENLHKRCGPYTEPYNRTLKILKSGHVGSTTMCKYVVWRPDNGLGNRMVSIASSFLYALLTNRVLLLDHGTDMADLFCEPFPNTSWLLPMDFPLRNQFRNSELRYAHSLGGMLEKDRKNLLMGSTPPYLYLTIKKRDYDLDKEIFYCDKNQALLGKIPWLILLSEQYFAPSFFLIPSFKNEATKLFPEKETVFHHLGRYLFNPSNQVWKQLITRFYDANLANADERIGLQLRVFHANAGQIQTVVDQLLACTLKKKLLPEIDTRNSVASSSNNWTSTKAILITSLYPEFYKNLSNRYLVHPSLTGEVFGVYQPSHEGYQHRGDNKHNMKAWAEIYLLSLCDVLVTSAWSTFGYVAQSLGGLKPWILHKPGHGVYLPCKRATSMEPCFHYPFSYDCKSKNKVDAGSLVPYIRQCEDRELGIKLFDDEQS >Manes.04G154700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35003689:35008335:1 gene:Manes.04G154700.v8.1 transcript:Manes.04G154700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQVTNGRLGFLSKRFTTILVICFIALPVLVMAVMMSTNSKFDISSILGVEVQNATALDVDSKPTTTSIPDDKLNDTQAHVFGRGSDSEYESSQLTSTPDSKLLNGSLAPRFGKASDPEYEYSQPTSTPDDKQLSKTLASSSSGGSVSENDTSQSTSIHNVNLLNGTFASRFGERPGSRNDSSESTSMANDKLLDGLSPPGFDERSCLSKYGSVLYRKISSHKPSPFLLSKLRNYENLHKRCGPYTEPYNRTLKILKSGHVGSTTMCKYVVWRPDNGLGNRMVSIASSFLYALLTNRVLLLDHGTDMADLFCEPFPNTSWLLPMDFPLRNQFRNSELRYAHSLGGMLEKDRKNLLMGSTPPYLYLTIKKRDYDLDKEIFYCDKNQALLGKIPWLILLSEQYFAPSFFLIPSFKNEATKLFPEKETVFHHLGRYLFNPSNQVWKQLITRFYDANLANADERIGLQLRVFHANAGQIQTVVDQLLACTLKKKLLPEIDTRNSVASSSNNWTSTKAILITSLYPEFYKNLSNRYLVHPSLTGEVFGVYQPSHEGYQHRGDNKHNMKAWAEIYLLSLCDVLVTSAWSTFGYVAQSLGGLKPWILHKPGHGVYLPCKRATSMEPCFHYPFSYDCKSKNKVDAGSLVPYIRQCEDRELGIKLFDDEQS >Manes.04G154700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35003676:35008353:1 gene:Manes.04G154700.v8.1 transcript:Manes.04G154700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQVTNGRLGFLSKRFTTILVICFIALPVLVMAVMMSTNSKFDISSILGVEVQNATALDVDSKPTTTSIPDDKLNDTQAHVFGRGSDPEYEYSQPTSTPDDKQLSKTLASSSSGGSVSENDTSQSTSIHNVNLLNGTFASRFGERPGSRNDSSESTSMANDKLLDGLSPPGFDERSCLSKYGSVLYRKISSHKPSPFLLSKLRNYENLHKRCGPYTEPYNRTLKILKSGHVGSTTMCKYVVWRPDNGLGNRMVSIASSFLYALLTNRVLLLDHGTDMADLFCEPFPNTSWLLPMDFPLRNQFRNSELRYAHSLGGMLEKDRKNLLMGSTPPYLYLTIKKRDYDLDKEIFYCDKNQALLGKIPWLILLSEQYFAPSFFLIPSFKNEATKLFPEKETVFHHLGRYLFNPSNQVWKQLITRFYDANLANADERIGLQLRVFHANAGQIQTVVDQLLACTLKKKLLPEIDTRNSVASSSNNWTSTKAILITSLYPEFYKNLSNRYLVHPSLTGEVFGVYQPSHEGYQHRGDNKHNMKAWAEIYLLSLCDVLVTSAWSTFGYVAQSLGGLKPWILHKPGHGVYLPCKRATSMEPCFHYPFSYDCKSKNKVDAGSLVPYIRQCEDRELGIKLFDDEQS >Manes.04G154700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35003661:35008335:1 gene:Manes.04G154700.v8.1 transcript:Manes.04G154700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQVTNGRLGFLSKRFTTILVICFIALPVLVMAVMMSTNSKFDISSILGVEVQNATALDVDSKPTTTSIPDDKLNDTQAHVFGRGSDSEYESSQLTSTPDSKLLNGSLAPRFGKASDPEYEYSQPTSTPDDKQLSKTLASSSSGGSVSENDTSQSTSIHNVNLLNGTFASRFGERPGSRNDSSESTSMANDKLLDGLSPPGFDERSCLSKYGSVLYRKISSHKPSPFLLSKLRNYENLHKRCGPYTEPYNRTLKILKSGHVGSTTMCKYVVWRPDNGLGNRMVSIASSFLYALLTNRVLLLDHGTDMADLFCEPFPNTSWLLPMDFPLRNQFRNSELRYAHSLGGMLEKDRKNLLMGSTPPYLYLTIKKRDYDLDKEIFYCDKNQALLGKIPWLILLSEQYFAPSFFLIPSFKNEATKLFPEKETVFHHLGRYLFNPSNQVWKQLITRFYDANLANADERIGLQLRVFHANAGQIQTVVDQLLACTLKKKLLPEIDTRNSVASSSNNWTSTKAILITSLYPEFYKNLSNRYLVHPSLTGEVFGVYQPSHEGYQHRGDNKHNMKAWAEIYLLSLCDVLVTSAWSTFGYVAQSLGGLKPWILHKPGHGVYLPCKRATSMEPCFHYPFSYDCKSKNKVDAGSLVPYIRQCEDRELGIKLFDDEQS >Manes.01G235000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39777884:39783520:1 gene:Manes.01G235000.v8.1 transcript:Manes.01G235000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQPQPSLAYPLTVAPTNPDTSTPQPEKTPINPSTPMLPGAPRFPSPNLQQDQSPSPSIKTPILQSPFNGIKTGSSIPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSSLPTSSPPHFSNGSAQLHHQVPQATEDSISIEELPCVLFSAHKVLKQKKLANVSSLGFGALIFPGREISPGPQIIQRDPHRCQNCGAYANLYCKILLGSGQWQCVICRKLNGSDGEYIAPSKEDLSNLPEISSPMVDYVRTGNKRPGFVPVSDSRMSAPIVLVIDECLDEPHLQHLQSSLHAFVDSLPPAARIGIILYGRTVSVYDFSEESMASADVLPGDKSPSQESLKALIYGTGVYLSPMHASKEVAHQIFSSLRPYKLKIAEASRDRCLGTAVEVALSIIQGPSAEMSRGVVKRAGGNSRIIVCAGGPSTYGPGSVPHSLSHPNYPYMEKTALKWMEHLGHEAYRHNTVVDILCAGTCPVRVPLLQPLAKASGGILVLHDDFGEAFGVNLQRASTRASGSQGLLEIRCSDDILITQVVGPGEEAHIDTHETFKNDVSFSIQMLSVEETQSFSLSMENKGDIKSDCVYFQFAIQYMSLYQADISRVITVRLSTVDSVSAYLESVQDEVAAILIAKRTLLRAKNYSDAIDMRTIIDERIKDIALRFGSQVPKSKLHRFPKELSLLPEFLFHLRRGPLLGNIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSNTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEELTELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRSLTTEQRTKLKCSFIHFDDPSLCEWMRSLKVVPPEPS >Manes.02G020601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1844759:1845058:-1 gene:Manes.02G020601.v8.1 transcript:Manes.02G020601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLQRISAPTRLTCAIPFLASKQGLVTVTCHPKNVERILKARFHNYPRGPTLQAAFHDLLGYGIFISDGNTWLFQRKTAAFEFTTRTLRQTMSRCGSQ >Manes.05G018400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1687337:1694663:1 gene:Manes.05G018400.v8.1 transcript:Manes.05G018400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKTNPTNENDGTTTIVATGNPNQTPLRPSTPRNGAVRVPSVKNTPARSSNPSPWPSPYPHGVSASPLPGGVSPSPARASTPRRFFRRPFPPPSPAKHIAASLAKRLGGAKPKEGPIPEHGGMEPEQQQQQSLDKSFGYSKNFGAKYELGKEVGRGHFGHTCSARVKKGEFKDQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKYYDACEDANNVYIVMELCEGGELLDKILARGGRYTEEDAKAIVVQILSVVSFCHLQGVVHRDLKPENFLFTSRDDDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVISYILLCGSRPFWARTESGIFRAVLRSDPNFDDLPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALTHPWLRDDSRPIPLDILVYKLVKAYLHATPFKRAALKALARHATDAMREMRIPDILNTMESLAYRKMHFDEFCAAAISTYQLEALEGWDQIASTAFEHFEREGNRVISIEELARELNVGPSAYSFIKDWIRNSDGKLSLLGYTKFLHGVTLRSSNTRHH >Manes.05G018400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1687337:1694663:1 gene:Manes.05G018400.v8.1 transcript:Manes.05G018400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKTNPTNENDGTTTIVATGNPNQTPLRPSTPRNGAVRVPSVKNTPARSSNPSPWPSPYPHGVSASPLPGGVSPSPARASTPRRFFRRPFPPPSPAKHIAASLAKRLGGAKPKEGPIPEHGGMEPEQQQQQSLDKSFGYSKNFGAKYELGKEVGRGHFGHTCSARVKKGEFKDQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKHLVKYYDACEDANNVYIVMELCEGGELLDKILARGGRYTEEDAKAIVVQILSVVSFCHLQGVVHRDLKPENFLFTSRDDDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVISYILLCGSRPFWARTESGIFRAVLRSDPNFDDLPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALTHPWLRDDSRPIPLDILVYKLVKAYLHATPFKRAALKALSKALPEDELVYLGAQFRLLEPNRDGSVTVDNFRMALARHATDAMREMRIPDILNTMESLAYRKMHFDEFCAAAISTYQLEALEGWDQIASTAFEHFEREGNRVISIEELARELNVGPSAYSFIKDWIRNSDGKLSLLGYTKFLHGVTLRSSNTRHH >Manes.15G059500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4559801:4571170:-1 gene:Manes.15G059500.v8.1 transcript:Manes.15G059500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGGTMFMQIFKRKKRIIEQVKYQTDLFDQHLASKCILDGIAPPSWLWSPSFLFLSSDPNESTKKELIPGLLLPRPQPANPYSAVHYSLYQKPNAAGNEGFPNASRTEIHASKIGSDDEMSVLSLFPTADTQCTCNGVLEPVCSVTSQDCADARVSDNCPDHAQSLVKIQRSKSRQRALELRNSAEATKSPSCDDNHATVCASQHTRSGINSLQFDHVDELELVKPVDTNTEVCEEEEEEKAKTGGSWDNDGLKNYMNESLEKCNHVNKLLERVNPSVLSRANLIAEEAKVVDCRGNERNSNVVSDRVTRYRSSISQASTLKKPLEVDISWSVADEDGVRVEAVDKSAKQPNSVKPSFVVSNEFNGSSKAKARDKLTKGQGSNISVGRTTRSGSSIQQPNCVNEYLEMDNACGNGEENDVSKPKQLFNHDNGLRDSVNPIGITYKSPGLRAKVTNCQKVASNDACSQRITRSSSADVYHIIEFPKVDLCSDVIKDGDAVPAQSFRKSSQLPQQFVDGRINLQVLPISQPKFPSCTSSILAQTVVQHNGVVLASVLELRPPSECVMSVKPKQLNFNDVEESSLLETSNSALKNKKGEKPNPSIDMPLLEQKLPIKEEKPSSEAHMNDKVGLVDESTVNPVQQKMDEFISQNRNADFYFVGSWPQHKRKKIECQLTNAFFASPTLKIGDAVQSDVGKNPLKDMHRNVEHQRIEEYKVSSSKQQVEEIEISLEGRGRSASTACNLMLEQGTPSVPSLKKLAGEHETGQHTAEEKNLYQFEDELEGRGTEVMPYTEDTILEKKDHLDRKDLSYGSSGSHSQIQSVICSDRKMPEYDGFVMETDDEQLCTSKEGIDFDKLDLPPNGLGRASVLDQLCKSTCLHSSFFDLPATYKLQEALNLCHSLPNGLFENIEQLDSSFRCLNQEVNQALHESHSVSPPLSDSQSAWDLRKLCASPVGKLWGGMPPKSSSSGKRVSSIPELPCISEENEITDGVIGTFLEGVGPEMFISSVTREPLADITENSNPLVSVCEAELNDDRNSIASLHTEFSFSGTCDGQNEDKENQNLPLEGDSFKRGNGSLHGSSSKPKLSGKSGSVNGGLGLSVKESKCNKIASNVTSFIPFVQQKQAVAVVTGKRVIKVKALEAAETAKRLAEKKENDRKMRKEALKLERARFLEEQNLRQLEPKKKKKEQKKREADTAARKRQREEERKEREGKLKHIEEAKKHQLVHEKKVHAEKEQKEDGRTYERKESKDRLGKYNETVKAEEGHNLQTVPNSEPTTTDVSKIDTINAGIIPEDSEALSDCGDNSEVTSYFCKGSDSLICNLFQEQSYEISPYKGSDDEDEEEDDNIRDSKFVPSWASKNHLALVVSSQPQNPESIFPRASFCSISEVLLPRKLQPK >Manes.01G113900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31166768:31169988:-1 gene:Manes.01G113900.v8.1 transcript:Manes.01G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPAPFLSPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYEDEQLREEPPSKVLIDKVQRNILSDKPRVTKFPINFYPENAQAAEQPPAHDQAAETGGNEEPLPPSPNQSSDRDGP >Manes.11G047600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5070134:5076067:1 gene:Manes.11G047600.v8.1 transcript:Manes.11G047600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVVAVARQRGIDVVLNDESKRETPSIVCFGDKQRFIGTAGAASVMMNPKNSISQIKRLIGRQFSDPELQKDLKSLPFAVTEGPDGFPLIHARYLGEVKAFTPTQVLGMVLSNLKGIAEKNLNAAVVDCCIGIPAYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFRKGQLKILAHSFDRSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEKICVPILERVKRPLEKALQDAKLTVENVHMVEVVGSCSRVPAIIKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVHESFPFSVALSWKGAAPDSQNGAADNQQSTIVFPKGNPIPSIKALTFYRSGTFTVDVQYADVSELQVPAKISTYTIGPFQSSTSERAKVKVKVRLNLHGIVSVESATLLEEEEVEVPVTKEPAKEATKMDTDEAPSDAAPPNSNVSDVNMQDVKGTADASGVENGVPESGDKPTQMETETKVEAPKKKVKKTNIPVAELVYGGMPPADVQKAVEKEFEMALQDRVMEETKDRKNAVEAYVYDMRNKLSDKYQEFVTDPEREEFTTKLQAVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTERGSVIDQLAYCVNSYREAAMSNDPKFDHIDLSEKQKVLNECVEAEAWLRERKLQQDTLPKYATPVLLSADVRRKAEALDRFCRPLMTKPKPAKPATPETPATPPPQGSEQQAQGGDANASANEDTGAGSGGVPPASGEPMETEKSENTTA >Manes.11G047600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5070134:5076147:1 gene:Manes.11G047600.v8.1 transcript:Manes.11G047600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVVAVARQRGIDVVLNDESKRETPSIVCFGDKQRFIGTAGAASVMMNPKNSISQIKRLIGRQFSDPELQKDLKSLPFAVTEGPDGFPLIHARYLGEVKAFTPTQVLGMVLSNLKGIAEKNLNAAVVDCCIGIPAYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFRKGQLKILAHSFDRSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEKICVPILERVKRPLEKALQDAKLTVENVHMVEVVGSCSRVPAIIKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVHESFPFSVALSWKGAAPDSQNGAADNQQSTIVFPKGNPIPSIKALTFYRSGTFTVDVQYADVSELQVPAKISTYTIGPFQSSTSERAKVKVKVRLNLHGIVSVESATLLEEEEVEVPVTKEPAKEATKMDTDEAPSDAAPPNSNVSDVNMQDVKGTADASGVENGVPESGDKPTQMETETKVEAPKKKVKKTNIPVAELVYGGMPPADVQKAVEKEFEMALQDRVMEETKDRKNAVEAYVYDMRNKLSDKYQEFVTDPEREEFTTKLQAVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTERGSVIDQLAYCVNSYREAAMSNDPKFDHIDLSEKQKVLNECVEAEAWLRERKLQQDTLPKYATPVLLSADVRRKAEALDRFCRPLMTKPKPAKPATPETPATPPPQGSEQQAQGGDANASANEDTGAGSGGVPPASGEPMETEKSENTTA >Manes.11G047600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5070133:5076147:1 gene:Manes.11G047600.v8.1 transcript:Manes.11G047600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVVAVARQRGIDVVLNDESKRETPSIVCFGDKQRFIGTAGAASVMMNPKNSISQIKRLIGRQFSDPELQKDLKSLPFAVTEGPDGFPLIHARYLGEVKAFTPTQVLGMVLSNLKGIAEKNLNAAVVDCCIGIPAYFTDLQRRAVLDAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFRKGQLKILAHSFDRSLGGRDFDEVLFHHFAAKFKEEYKIDVFQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEKICVPILERVKRPLEKALQDAKLTVENVHMVEVVGSCSRVPAIIKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVHESFPFSVALSWKGAAPDSQNGAADNQQSTIVFPKGNPIPSIKALTFYRSGTFTVDVQYADVSELQVPAKISTYTIGPFQSSTSERAKVKVKVRLNLHGIVSVESATLLEEEEVEVPVTKEPAKEATKMDTDEAPSDAAPPNSNVSDVNMQDVKGTADASGVENGVPESGDKPTQMETETKVEAPKKKVKKTNIPVAELVYGGMPPADVQKAVEKEFEMALQDRVMEETKDRKNAVEAYVYDMRNKLSDKYQEFVTDPEREEFTTKLQAVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTERGSVIDQLAYCVNSYREAAMSNDPKFDHIDLSEKQKVLNECVEAEAWLRERKLQQDTLPKYATPVLLSADVRRKAEALDRFCRPLMTKPKPAKPATPETPATPPPQGSEQQAQGGDANASANEDTGAGSGGVPPASGEPMETEKSENTTA >Manes.08G001802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:456868:464868:1 gene:Manes.08G001802.v8.1 transcript:Manes.08G001802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQSKADLAKKQKIVEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKADPSKVAAKKKEEEDKAKEKELNDLFKVAVSQPKVPIGADPKSILCEFYKVGQCAKGFKCKFSHDLNVQRKGEKIDLYSDKRDIGSMEEWDQETLEKVVESKKIEYNQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKIVIIDMLFLQDIY >Manes.03G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27488939:27491540:-1 gene:Manes.03G147100.v8.1 transcript:Manes.03G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRFAGDMTHLVSVLVLLLKIHTIKSCAGISLKTQELYALVFVTRYLDIFTSFISFYNTVMKLIFLGSSFSIVWYIRRHRLVRKSYDKDQDTFRHYFLVLPCLILALLIHEKFTFTEIMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWTYRYFTEEHYVHWITWISGIVQTLLYADFFYYYFRSWKNNVKLELPA >Manes.08G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34318080:34324604:-1 gene:Manes.08G103800.v8.1 transcript:Manes.08G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIIRQKRILPAASSLRGYSSASKQMMVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSCYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKDGISAEIINLRSIRPLDRPAINASVRKTSRLVTVEEGFPQHGVGAEICASIIEESFGYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSKPMAAAQ >Manes.08G103800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34318080:34324604:-1 gene:Manes.08G103800.v8.1 transcript:Manes.08G103800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIIRQKRILPAASSLRGYSSASKQMMVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSCYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKDGISAEIINLRSIRPLDRPAINASVRKTSRLVTVEEGFPQHGVGAEICASIIEESFGYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRSKPMAAAQ >Manes.11G035400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3428747:3430986:-1 gene:Manes.11G035400.v8.1 transcript:Manes.11G035400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEARYPSNALHQSVSRADRRVVRAILEARPSFAWKCDQDGCLPLHCACEKSSLEMTKILLEYAPQSSMVLNNKGYVPLHLAAMNGCAPIIREFQSRAPECFNLFTQQGDSVVHLAVKSGSFDAFIVMRDVFTTIPHFLLVRDQHDNTVLHLAISTGCYKMCYDVQIAEYLIKVKLLDLNAQNYSGLTALDILEEVAFPDEEKWSLANLLVKAGGKRSIVISSTSLVRESNDNGMEHKDIKSPASSNGATTTHNSQPSGAAAQTNYNTTRSEKNKKPTQKELARHTSDHLRKMQIEALQNSRNTIIVVAVLIATVSFAAGINPPGGVYQDGPMKGKSILARATAFKVFEISNTFALFTSLSVVITLIRIIPFRRKPLVRVLKIADRVMWVAVLCMGISFLAATWVITPHSGGTEWMPVLATAAGGSALGATFIVIAVIFAIHWQRKRMWRKKRTEGKVEEQPVEQFAVEELEIKILGSAKSDIAPNYGLGYHTY >Manes.03G160600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28750335:28754917:1 gene:Manes.03G160600.v8.1 transcript:Manes.03G160600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLNDYFSQYGDVLQTVVMRDKTTGRPRGFGFVVFADPSILDRVLQDKHTIDGRTVEAKKALSREEQQTTVRAGNLNSARNTGNGGNIRTKKIFVGGLPPTLTEEGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDSEDAVDQVLHKTFHDLNGKQVEVKRALPKDANPGAGSRSMGGGASGAGGYQGYGASGGNSSSYDGRMDSNRYMQPQSTGGGFPPYGSSGYNAPGYGYGPANNGVGYGGYGGYGGASAGYGGPAGAAYGNPNVPNTGYASGPPGAPRSTWSSQAPSGYGAMGYGNAASWGQPNAGAGGPGTTPAGQSPSGTAGYGNQGYGYGNYGGNDGSYGNPAGYGAVGGRAGGTPNSNVGGAGGELQGNGSGYMGSGYGDANGNPGYGNAGWRSEPLASGNYGTPQANGPHGGQVGYGGGYGGGQSRQGQQQ >Manes.03G160600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28750329:28754917:1 gene:Manes.03G160600.v8.1 transcript:Manes.03G160600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLNDYFSQYGDVLQTVVMRDKTTGRPRGFGFVVFADPSILDRVLQDKHTIDGRTVEAKKALSREEQQTTVRAGNLNSARNTGNGGNIRTKKIFVGGLPPTLTEEGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDSEDAVDQVLHKTFHDLNGKQVEVKRALPKDANPGAGSRSMGGGASGAGGYQGYGASGGNSSSYDGRMDSNRYMQPQSTGGGFPPYGSSGYNAPGYGYGPANNGVGYGGYGGYGGASAGYGGPAGAAYGNPNVPNTGYASGPPGAPRSTWSSQAPSGYGAMGYGNAASWGQPNAGAGGPGTTPAGQSPSGTAGYGNQGYGYGNYGGNDGSYGNPAGYGAVGGRAGGTPNSNVGGAGGELQGNGSGYMGSGYGDANGNPGYGNAGWRSEPLASGNYGTPQANGPHGGQVGYGGGYGGGQSRQGQQQ >Manes.03G160600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28750335:28754917:1 gene:Manes.03G160600.v8.1 transcript:Manes.03G160600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLNDYFSQYGDVLQTVVMRDKTTGRPRGFGFVVFADPSILDRVLQDKHTIDGRTVEAKKALSREEQQTTVRAGNLNSARNTGNGGNIRTKKIFVGGLPPTLTEEGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDSEDAVDQVLHKTFHDLNGKQVEVKRALPKDANPGAGSRSMGGGASGAGGYQGYGASGGNSSSYDGRMDSNRYMQPQSTGGGFPPYGSSGYNAPGYGYGPANNGVGYGGYGGYGGASAGYGGPAGAAYGNPNVPNTGYASGPPGAPRSTWSSQAPSGYGAMGYGNAASWGQPNAGAGGPGTTPAGQSPSGTAGYGNQGYGYGNYGGNDGSYGNPAGYGAVGGRAGGTPNSNVGGAGGELQGNGSGYMGSGYGDANGNPGYGNAGWRSEPLASGNYGTPQANGPHGGQVGYGGGYGGGQSRQGQQQ >Manes.03G160600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28750328:28754934:1 gene:Manes.03G160600.v8.1 transcript:Manes.03G160600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLNDYFSQYGDVLQTVVMRDKTTGRPRGFGFVVFADPSILDRVLQDKHTIDGRTVEAKKALSREEQQTTVRAGNLNSARNTGNGGNIRTKKIFVGGLPPTLTEEGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDSEDAVDQVLHKTFHDLNGKQVEVKRALPKDANPGAGSRSMGGGASGAGGYQGYGASGGNSSSYDGRMDSNRYMQPQSTGGGFPPYGSSGYNAPGYGYGPANNGVGYGGYGGYGGASAGYGGPAGAAYGNPNVPNTGYASGPPGAPRSTWSSQAPSGYGAMGYGNAASWGQPNAGAGGPGTTPAGQSPSGTAGYGNQGYGYGNYGGNDGSYGNPAGYGAVGGRAGGTPNSNVGGAGGELQGNGSGYMGSGYGDANGNPGYGNAGWRSEPLASGNYGTPQANGPHGGQVGYGGGYGGGQSRQGQQQ >Manes.16G043700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7032209:7033859:1 gene:Manes.16G043700.v8.1 transcript:Manes.16G043700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIHSTIYFPFLLIFVAVILQSSSSYTISSRYPLPVPSHRTLLASQRDRRQQIPICSEMVSRSLCSPNPNCRWCVSEALDDMCFSKAEAWRLPQQFLNVSGIIKIGKSSKEILVY >Manes.05G117300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12070314:12097044:-1 gene:Manes.05G117300.v8.1 transcript:Manes.05G117300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEEDFVFFGTPIEREEELTSRKKKAIAEASGHLRTLPSWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWAPQSFTSSRKNRAEVKQQSILNFLDEDEKAELEGRSLGTSSQFDTFGFTAAEFARKQAEKEQQQRPSAIPGPVPDEIVLPATESIGVKLLLKMGWRHGHSIKDSHANSLYDARREARKAFLAFSSDDAKAHHTETEPDEDYLGNLEQSVNDAVQTSQSTPVFVLNPKQDMYGLGYDPYKHAPEFREKKRSRASDRREPGNRKALLTRDGLFGFKSGKAAPGFGIGALEEYDAEDEDVYAVAYDFEETIVQDQEVEEPPRPSTDHKPKLAWKEQGILPGFKVASKSDYQLERFDPPVIPKDFVPHHKFPGPLDADDKYSIPPPPEVPPPDDNNMKLLIEGVATLVARCGKLFEDLSREKNKSNPLFSFLNGGNGHDYYARKLWEERQKRNNQKSLALDGRSSPSVQKMTAESRGKILGERPLERSLKDLSSSVVSADVNLQFSLSDTFTKPASFGGVPEVAKPFKDDPAKQERFERFLKEKYQGGLRSIDSIGASNMSESARARERLDFEAAAEAIEKGKWNEESKLSTQQLEFSAGGGMLFTSAGLEQHKDVHAENLVTRKAYPKREEFQWRPLPVLCKRLDLIVNILISTLSSSVSLKFFCFLLFFLSFLFRFLPNLTSGVPFIFLEKTLFLLSHFLSS >Manes.05G117300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12059568:12097044:-1 gene:Manes.05G117300.v8.1 transcript:Manes.05G117300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEEDFVFFGTPIEREEELTSRKKKAIAEASGHLRTLPSWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWAPQSFTSSRKNRAEVKQQSILNFLDEDEKAELEGRSLGTSSQFDTFGFTAAEFARKQAEKEQQQRPSAIPGPVPDEIVLPATESIGVKLLLKMGWRHGHSIKDSHANSLYDARREARKAFLAFSSDDAKAHHTETEPDEDYLGNLEQSVNDAVQTSQSTPVFVLNPKQDMYGLGYDPYKHAPEFREKKRSRASDRREPGNRKALLTRDGLFGFKSGKAAPGFGIGALEEYDAEDEDVYAVAYDFEETIVQDQEVEEPPRPSTDHKPKLAWKEQGILPGFKVASKSDYQLERFDPPVIPKDFVPHHKFPGPLDADDKYSIPPPPEVPPPDDNNMKLLIEGVATLVARCGKLFEDLSREKNKSNPLFSFLNGGNGHDYYARKLWEERQKRNNQKSLALDGRSSPSVQKMTAESRGKILGERPLERSLKDLSSSVVSADVNLQFSLSDTFTKPASFGGVPEVAKPFKDDPAKQERFERFLKEKYQGGLRSIDSIGASNMSESARARERLDFEAAAEAIEKGKWNEESKLSTQQLEFSAGGGMLFTSAGLEQHKDAHAEDLVTRKAYPKREEFQWRPLPVLCKRFDLIDPYMGKPPPPPRMRSKMDSLIFTSDSVKTSKFEEIVTANRDQVSVLQTDTQEISKDVAHGKKEIEVQVENVERPVDLYKAIFSDDSDDEVETPIVNKVDDPEKKVEVAHTTLNRLIAGDFLESLGKELGLEVPPDRSYSTNISGSSPKKESAVASAAGNMNFMPVENRSASTSNTGCLASRNEKVLHNQEITKVTESQKNDSIQGSPQGGSSRHVEHGPSDKRSGETDVEKSEKRAQDDRKAKSPSIRHRKSSISSSDDERTRKRSRSHRYSSSDSYSDSSEDDQSHYHSRTKGRKKGSSREKSSSSRRRKHSKQRQHRNWY >Manes.05G117300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12060499:12086981:-1 gene:Manes.05G117300.v8.1 transcript:Manes.05G117300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRHGHSIKDSHANSLYDARREARKAFLAFSSDDAKAHHTETEPDEDYLGNLEQSVNDAVQTSQSTPVFVLNPKQDMYGLGYDPYKHAPEFREKKRSRASDRREPGNRKALLTRDGLFGFKSGKAAPGFGIGALEEYDAEDEDVYAVAYDFEETIVQDQEVEEPPRPSTDHKPKLAWKEQGILPGFKVASKSDYQLERFDPPVIPKDFVPHHKFPGPLDADDKYSIPPPPEVPPPDDNNMKLLIEGVATLVARCGKLFEDLSREKNKSNPLFSFLNGGNGHDYYARKLWEERQKRNNQKSLALDGRSSPSVQKMTAESRGKILGERPLERSLKDLSSSVVSADVNLQFSLSDTFTKPASFGGVPEVAKPFKDDPAKQERFERFLKEKYQGGLRSIDSIGASNMSESARARERLDFEAAAEAIEKGKWNEESKLSTQQLEFSAGGGMLFTSAGLEQHKDAHAEDLVTRKAYPKREEFQWRPLPVLCKRFDLIDPYMGKPPPPPRMRSKMDSLIFTSDSVKTSKFEEIVTANRDQVSVLQTDTQEISKDVAHGKKEIEVQVENVERPVDLYKAIFSDDSDDEVETPIVNKVDDPEKKVEVAHTTLNRLIAGDFLESLGKELGLEVPPDRSYSTNISGSSPKKESAVASAAGNMNFMPVENRSASTSNTGCLASRNEKVLHNQEITKVTESQKNDSIQGSPQGGSSRHVEHGPSDKRSGETDVEKSEKRAQDDRKAKSPSIRHRKSSISSSDDERTRKRSRSHRYSSSDSYSDSSEDDQSHYHSRTKGRKKGSSREKSSSSRRRKHSKQRQHRNWY >Manes.05G117300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12058492:12097045:-1 gene:Manes.05G117300.v8.1 transcript:Manes.05G117300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEEDFVFFGTPIEREEELTSRKKKAIAEASGHLRTLPSWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWAPQSFTSSRKNRAEVKQQSILNFLDEDEKAELEGRSLGTSSQFDTFGFTAAEFARKQAEKEQQQRPSAIPGPVPDEIVLPATESIGVKLLLKMGWRHGHSIKDSHANSLYDARREARKAFLAFSSDDAKAHHTETEPDEDYLGNLEQSVNDAVQTSQSTPVFVLNPKQDMYGLGYDPYKHAPEFREKKRSRASDRREPGNRKALLTRDGLFGFKSGKAAPGFGIGALEEYDAEDEDVYAVAYDFEETIVQDQEVEEPPRPSTDHKPKLAWKEQGILPGFKVASKSDYQLERFDPPVIPKDFVPHHKFPGPLDADDKYSIPPPPEVPPPDDNNMKLLIEGVATLVARCGKLFEDLSREKNKSNPLFSFLNGGNGHDYYARKLWEERQKRNNQKSLALDGRSSPSVQKMTAESRGKILGERPLERSLKDLSSSVVSADVNLQFSLSDTFTKPASFGGVPEVAKPFKDDPAKQERFERFLKEKYQGGLRSIDSIGASNMSESARARERLDFEAAAEAIEKGKWNEESKLSTQQLEFSAGGGMLFTSAGLEQHKDAHAEDLVTRKAYPKREEFQWRPLPVLCKRFDLIDPYMGKPPPPPRMRSKMDSLIFTSDSVKTSKFEEIVTANRDQVSVLQTDTQEISKDVAHGKKEIEVQVENVERPVDLYKGRRHNINDIKRRWSF >Manes.04G072700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27780180:27785116:-1 gene:Manes.04G072700.v8.1 transcript:Manes.04G072700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFTLYAAFFTLLSLSSLSDVESASFKLINKCRYTVWPGLLSGAGTAQLPTTGFALKSGKSKTVNIPRSWSGRIWGRTVCTQDSSGKFSCLTGDCGSGKLECGGSGAIPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLVIPKKTTNGLCGATGCLIDLNGACPKELKLAARANGQVGAACRSACEAFGEPQFCCSDAYSTPDTCSPSVYSEFFKHACPRAYSYAYDDKTSTYTCANTDYVIIFCPPPYSSEKLLGRRKDGAQLPLVNKTMMYISSKHASSASSSDR >Manes.04G072700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27780275:27785116:-1 gene:Manes.04G072700.v8.1 transcript:Manes.04G072700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFTLYAAFFTLLSLSSLSDVESASFKLINKCRYTVWPGLLSGAGTAQLPTTGFALKSGKSKTVNIPRSWSGRIWGRTVCTQDSSGKFSCLTGDCGSGKLECGGSGAIPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLVIPKKTTNGLCGATGCLIDLNGACPKELKLAARANGQVGAACRSACEAFGEPQFCCSDAYSTPDTCSPSVYSEFFKHACPRAYSYAYDDKTSTYTCANTDYVIIFCPPPYSR >Manes.04G072700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27780253:27785116:-1 gene:Manes.04G072700.v8.1 transcript:Manes.04G072700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFTLYAAFFTLLSLSSLSDVESASFKLINKCRYTVWPGLLSGAGTAQLPTTGFALKSGKSKTVNIPRSWSGRIWGRTVCTQDSSGKFSCLTGDCGSGKLECGGSGAIPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLVIPKKTTNGLCGATGCLIDLNGACPKELKLAARANGQVGAACRSACEAFGEPQFCCSDAYSTPDTCSPSVYSEFFKHACPRAYSYAYDDKTSTYTCANTDYVIIFCPPPYSSEKLLGRRKDGAQLPLVNKTMMYISSKHASSASSSDR >Manes.04G072700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27780356:27785117:-1 gene:Manes.04G072700.v8.1 transcript:Manes.04G072700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFTLYAAFFTLLSLSSLSDVESASFKLINKCRYTVWPGLLSGAGTAQLPTTGFALKSGKSKTVNIPRSWSGRIWGRTVCTQDSSGKFSCLTGDCGSGKLECGGSGAIPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLVIPKKTTNGLCGATGCLIDLNGACPKELKLAARANGQVGAACRSACEAFGEPQFCCSDAYSTPDTCSPSVYSEFFKHACPRAYSYAYDDKTSTYTCANTDYVIIFCPPPYSR >Manes.04G072700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27780356:27785082:-1 gene:Manes.04G072700.v8.1 transcript:Manes.04G072700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFFTLYAAFFTLLSLSSLSDVESASFKLINKCRYTVWPGLLSGAGTAQLPTTGFALKSGKSKTVNIPRSWSGRIWGRTVCTQDSSGKFSCLTGDCGSGKLECGGSGAIPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLVIPKKTTNGLCGATGCLIDLNGACPKELKLAARANGQVGAACRSACEAFGEPQFCCSDAYSTPDTCSPSVYSEFFKHACPRAYSYAYDDKTSTYTCANTDYVIIFCPPPYSSEKLLGRRKDGAQLPLVNKTMMYISSKHASSASSSGVVPVQLIAGAASIIVAVLLFWPIL >Manes.10G087710.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23199493:23202342:1 gene:Manes.10G087710.v8.1 transcript:Manes.10G087710.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRITAQKKATTSRGVARYEKLESSENGIDQAEKDKKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENCELPYQNHGHVILADPSPILFYRISSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.18G062550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5492926:5495426:-1 gene:Manes.18G062550.v8.1 transcript:Manes.18G062550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRYQKLHALVFVHYNMQLKLRNLSRKSQHELEKSYNPINLDYIFKEDDPLNPWLEERENPVLDGEENPWLEEDEPAPSKSQQVNAPIHGHNIGGSGDAEPEDSFILSSSSDDDDGGSGQGGRGEGRGATSSLQSHDDPSSYHRHSPSPSPAPAPTLQHTYHRSRGSGGSSDKGKGVAHGECSMDVDNYGYGTYGTSESSMEATSTSDYGYRGNFQCEYSNPYPYQPPALYSDLSLSEQSFSHTQTQSNPSDQFGMGSFFSFDPSQYYQYHQDQSSQSQDEGSGSTQEPARRSFWW >Manes.12G144300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35073855:35077247:1 gene:Manes.12G144300.v8.1 transcript:Manes.12G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETTSLLNLKAGFPSNRRLAASVHSFPPTCYHRHPAPLLKSLSLSFRANNVNRQGTKIPAVLETENAVTEEQSKPPVKIVAVVGNGSLSPLKSAPWEEVMLHTAKRLKWVDEGYQMIVFTDNVCQCNDKTKYLEKELSHADVLLIVAVSNQESVKWIQMNSQTVPNIICFDSSPNLENKLGGSYVQNEINGTLCGKIIGVSLPNKIKESLQVVKTVSEAWDRHNADDIRFCLLVIINAYIRPVPILKNLRSKGFSTLNCMVKNCGPQIVNCLLDPNCRKALQCLNNCSPVDQVCNYRCIASYESPNLEAFSLCVLQKNNCLELDARIPERPYVPPMVKFRGEELCHETAEDLFVGWLGTLDWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVQTLEGRMVWRRRKYRVKRGKVPGTFHFSVLDNGVVSNEFWTTVDVSDDFSWGLFHYSGAARAAGQSYTGAVLVSPDGAYPNEKERERMVSALDKCGIKEWELFTVDNCSCQGPPLGIPEGSSLHCKVAPGEEKRKWATL >Manes.01G017200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4208888:4213181:-1 gene:Manes.01G017200.v8.1 transcript:Manes.01G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICFLSPVKPISISPLVHNKNPPVFRCNFSLPTITTEPKPVFTSVRSFAPATVANLGPGFDFLGCAVDGLGDFVSVTVDSSVHPGEISISDISGTHASKKLSKNPLWNCAGIAAIATMKMLNIRSVGLSLILEKGLPLGSGLGSSAASAAAAAVAVNEIFGGKLEAKDLVLAGLESEAKVSGYHADNIAPAIMGGFVLIRSYDPLELMSLKFPEGKDLFFVLVSPDFEAPTKKMRAALPAEIGMPHHVWNCSQAGALVASVLQGDLVGLGQALSSDKIVEPKRAPLIPGMEEVKKAAIRAGAFGCTISGAGPTAVAVIDDEERGKEIGESMVEAFWKEGNLKAVAMVKRLDRVGARLVGSVPTR >Manes.13G127300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33497466:33504886:1 gene:Manes.13G127300.v8.1 transcript:Manes.13G127300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVQGFEKTGKRLKMKRRHSDSKIKHAMTSHAGKCICCRQNKPTIDRSSTPGELKSPAMIRAEEVQSNLESAFPSFVKSLVRSHVGSCFWMGLPGPFCRAHLPREDTIITLEDECGKGFRMKYIAYKTGLSAGWRQFCVAHQLLEGDVLVFQLIEPCKFKVYIIRANDLTEVDGALGLLTLDPQIKQNDAEIATVPCKSTKRKRPRSLPLAIVQKKQKKSGRSRISVPKTAQLAEQSENDSEEVGSEVLEGFKLSLPAIQFENVKGFENFNILVDGLVLDSELSEDVRRKYYDLCCSQNSFLHENLIKGINFKLIAGIISEIVNIADGIRACNLTTSRNEFNTWDKTLKASEHFGMNVGFLRARLSQLVSLAFDSEGATNTRRYIEARNEIVHTEDEIRNLEAKLVELKAAHDKFGSDIESLKSKAESYELQFQEEVLAPW >Manes.13G127300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33497517:33504886:1 gene:Manes.13G127300.v8.1 transcript:Manes.13G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVKKEAEEGNLYKTASLGEEKLKSAGDEDVTLAQLSFHPSSPRPRPLAIASSSSVQGFEKTGKRLKMKRRHSDSKIKHAMTSHAGKCICCRQNKPTIDRSSTPGELKSPAMIRAEEVQSNLESAFPSFVKSLVRSHVGSCFWMGLPGPFCRAHLPREDTIITLEDECGKGFRMKYIAYKTGLSAGWRQFCVAHQLLEGDVLVFQLIEPCKFKVYIIRANDLTEVDGALGLLTLDPQIKQNDAEIATVPCKSTKRKRPRSLPLAIVQKKQKKSGRSRISVPKTAQLAEQSENDSEEVGSEVLEGFKLSLPAIQFENVKGFENFNILVDGLVLDSELSEDVRRKYYDLCCSQNSFLHENLIKGINFKLIAGIISEIVNIADGIRACNLTTSRNEFNTWDKTLKASEHFGMNVGFLRARLSQLVSLAFDSEGATNTRRYIEARNEIVHTEDEIRNLEAKLVELKAAHDKFGSDIESLKSKAESYELQFQEEVLAPW >Manes.13G127300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33497539:33504886:1 gene:Manes.13G127300.v8.1 transcript:Manes.13G127300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHSDSKIKHAMTSHAGKCIWQNKPTIDRSSTPGELKSPAMIRAEEVQSNLESAFPSFVKSLVRSHVGSCFWMGLPGPFCRAHLPREDTIITLEDECGKGFRMKYIAYKTGLSAGWRQFCVAHQLLEGDVLVFQLIEPCKFKVYIIRANDLTEVDGALGLLTLDPQIKQNDAEIATVPCKSTKRKRPRSLPLAIVQKKQKKSGRSRISVPKTAQLAEQSENDSEEVGSEVLEGFKLSLPAIQFENVKGFENFNILVDGLVLDSELSEDVRRKYYDLCCSQNSFLHENLIKGINFKLIAGIISEIVNIADGIRACNLTTSRNEFNTWDKTLKASEHFGMNVGFLRARLSQLVSLAFDSEGATNTRRYIEARNEIVHTEDEIRNLEAKLVELKAAHDKFGSDIESLKSKAESYELQFQEEVLAPW >Manes.13G127300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33497657:33504886:1 gene:Manes.13G127300.v8.1 transcript:Manes.13G127300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVKKEAEEGNLYKTASLGEEKLKSAGDEDVTLAQLSFHPSSPRPRPLAIASSSSVQGFEKTGKRLKMKRRHSDSKIKHAMTSHAGKCICCRQNKPTIDRSSTPGELKSPAMIRAEEVQSNLESAFPSFVKSLVRSHVGSCFWMGLPGPFCRAHLPREDTIITLEDECGKGFRMKYIAYKTGLSAGWRQFCVAHQLLEGDVLVFQLIEPCKFKVYIIRANDLTEVDGALGLLTLDPQIKQNDADNAEIATVPCKSTKRKRPRSLPLAIVQKKQKKSGRSRISVPKTAQLAEQSENDSEEVGSEVLEGFKLSLPAIQFENVKGFENFNILVDGLVLDSELSEDVRRKYYDLCCSQNSFLHENLIKGINFKLIAGIISEIVNIADGIRACNLTTSRNEFNTWDKTLKASEHFGMNVGFLRARLSQLVSLAFDSEGATNTRRYIEARNEIVHTEDEIRNLEAKLVELKAAHDKFGSDIESLKSKAESYELQFQEEVLAPW >Manes.13G127300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33497466:33504886:1 gene:Manes.13G127300.v8.1 transcript:Manes.13G127300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVQGFEKTGKRLKMKRRHSDSKIKHAMTSHAGKCIWQNKPTIDRSSTPGELKSPAMIRAEEVQSNLESAFPSFVKSLVRSHVGSCFWMGLPGPFCRAHLPREDTIITLEDECGKGFRMKYIAYKTGLSAGWRQFCVAHQLLEGDVLVFQLIEPCKFKVYIIRANDLTEVDGALGLLTLDPQIKQNDAEIATVPCKSTKRKRPRSLPLAIVQKKQKKSGRSRISVPKTAQLAEQSENDSEEVGSEVLEGFKLSLPAIQFENVKGFENFNILVDGLVLDSELSEDVRRKYYDLCCSQNSFLHENLIKGINFKLIAGIISEIVNIADGIRACNLTTSRNEFNTWDKTLKASEHFGMNVGFLRARLSQLVSLAFDSEGATNTRRYIEARNEIVHTEDEIRNLEAKLVELKAAHDKFGSDIESLKSKAESYELQFQEEVLAPW >Manes.13G127300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33497539:33504886:1 gene:Manes.13G127300.v8.1 transcript:Manes.13G127300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHSDSKIKHAMTSHAGKCICCRQNKPTIDRSSTPGELKSPAMIRAEEVQSNLESAFPSFVKSLVRSHVGSCFWMGLPGPFCRAHLPREDTIITLEDECGKGFRMKYIAYKTGLSAGWRQFCVAHQLLEGDVLVFQLIEPCKFKVYIIRANDLTEVDGALGLLTLDPQIKQNDAEIATVPCKSTKRKRPRSLPLAIVQKKQKKSGRSRISVPKTAQLAEQSENDSEEVGSEVLEGFKLSLPAIQFENVKGFENFNILVDGLVLDSELSEDVRRKYYDLCCSQNSFLHENLIKGINFKLIAGIISEIVNIADGIRACNLTTSRNEFNTWDKTLKASEHFGMNVGFLRARLSQLVSLAFDSEGATNTRRYIEARNEIVHTEDEIRNLEAKLVELKAAHDKFGSDIESLKSKAESYELQFQEEVLAPW >Manes.13G127300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33497517:33504886:1 gene:Manes.13G127300.v8.1 transcript:Manes.13G127300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVKKEAEEGNLYKTASLGEEKLKSAGDEDVTLAQLSFHPSSPRPRPLAIASSSSVQGFEKTGKRLKMKRRHSDSKIKHAMTSHAGKCIWQNKPTIDRSSTPGELKSPAMIRAEEVQSNLESAFPSFVKSLVRSHVGSCFWMGLPGPFCRAHLPREDTIITLEDECGKGFRMKYIAYKTGLSAGWRQFCVAHQLLEGDVLVFQLIEPCKFKVYIIRANDLTEVDGALGLLTLDPQIKQNDAEIATVPCKSTKRKRPRSLPLAIVQKKQKKSGRSRISVPKTAQLAEQSENDSEEVGSEVLEGFKLSLPAIQFENVKGFENFNILVDGLVLDSELSEDVRRKYYDLCCSQNSFLHENLIKGINFKLIAGIISEIVNIADGIRACNLTTSRNEFNTWDKTLKASEHFGMNVGFLRARLSQLVSLAFDSEGATNTRRYIEARNEIVHTEDEIRNLEAKLVELKAAHDKFGSDIESLKSKAESYELQFQEEVLAPW >Manes.13G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33123877:33125957:-1 gene:Manes.13G123600.v8.1 transcript:Manes.13G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVKGKVCVTGASGFLASWLIKRLLLSGYQVIGTVRDPENEKKLAHLWNLEGAKERLQLVKADLMEMGSFDDAIFGCHGVFHTASPVLRPSSDPKIEILEPAVEGTLNVLRSCKKNPCLKRVILTSSSSAVRVRDDFDPLVPLDESSWSSVEISERLQIWYALSKTLAENAAWEFCNKNGISLITILPAFVIGPSLPPELCSTASDVLGLLKGETEKFQWHGRMGYVHIDDVALSHILVYEHESAHGRYLCSSTVLELDELVSLLSARYPSLPIPKRFQQLNRPFYDFNTTKLKSLGFEFKPVEKMFDDCIASLKEQGHLAVD >Manes.13G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31507302:31507645:1 gene:Manes.13G109600.v8.1 transcript:Manes.13G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFQVVTLFLLVTLLLSSTAITYAARHEPGFSNGSSAAKDQLQGVDGTEMVEESCDGDGEEECLMRRTLAAHIDYIYTQKHNP >Manes.09G015250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3289289:3291929:1 gene:Manes.09G015250.v8.1 transcript:Manes.09G015250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTCLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYRTVLSMSKTIELLGISHDVYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFNTLINGLCMESKIDKAVEFFDDMVARGYQPDVRTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVQQNILPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYTSLIDGLCISDQFKEALALLKVMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPNVVTYSSLMDGYCLCKQIDKARKVFDLMVTNEIAGIFSYTILINGYCKCKMIDDAKEIFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPDLVTYSILINGMCKAGKINDAKELFSSLFENGLQPDVYVYSTIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELIIEMVDKGFSADAATTELVVHLSLNNDLILRLLKVRNEGSAN >Manes.16G130800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33143278:33145902:1 gene:Manes.16G130800.v8.1 transcript:Manes.16G130800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALQTKGSSMDPLETQELTEKTVLNDPSLQSLPISKQNGHHPSDQTETKSNSLLSIFLEPFQWLQMLSSRLNPTFIFGVVLVYGISQGFSGSFFKVVTDYYWKDVQKVQPSVVQLYIGLYYIPWIMKPVWGLFTDVFPVWGYKRRPYFVVAGVLGCISALMVALLGKLPAAVALSCLIGVTAGVAIADVTIDACIARNSIEMRSLAPDMQSLCGFCSSVGALVGYLSSGFFVHHLGPQMALVLLAIPPVLLILLGFVIYEVRSTSFRSEKKKAVEDLGVAVKGMCRTIKFNQVWRPSLYMYLSLALSISTHEGQFYWYTDPKAGPAFSQEFVGIVYAIGAMASIVGVVIYQKALKNYPFRNLIFAAQLLYGLSGMLDIIFILRWNLVLGIPDSFFVISEECVSRIVSRVRWMPMIVLSTRLCPLGIEGTFFALLMCIDSLGSLSSKWGGGIVLHLLNVTRTDFRNLWLVILIRNVLRLGTLSLIFLIPKADQMDDFIPADILGINLAANSVDDDGDDGLQLVPVNERNDNEEV >Manes.10G091767.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23652085:23653152:-1 gene:Manes.10G091767.v8.1 transcript:Manes.10G091767.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFLPSVLQMIFDGVASPVLQRLGSIWVLEDNLNKLQQSLFMVQAVLEDAGDQQAKLWDLLHKKTCLVLFDDAWRADDLDDWDKLRPLFKWDLGGDDCWALFRQRAFQRGQIVRICRGLPLAAKALVPRNFEIFSSFKLLVVLDLNSCGLTELHESVGELFCLKHLDLSYTFIRDLPGTIQCLYSLEALNLHGCCNLEQLPNQLPRANLRHLITAGCEGDAMWEDEENFI >Manes.01G059300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24942708:24945350:1 gene:Manes.01G059300.v8.1 transcript:Manes.01G059300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIMLENLKKQLALAVRSIQWSYAIFWSISATQPGVLEWGDGYYNGDIKTRRTVQPMELNADELGLQRSEQLRELYEFLSAGEASPQARRPSVALSPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLATGQPIWLCNAHFADSKAFSRSLLAKTVVCFPFLRGVVELGVTELILEDPSLIHHVKTSFLEIQYPIVATKTSTRSDKELADTACNQDNLDVKLISVARCEELDVVSHNDISDNQPEEDSFMVEEINGGDDGFSNCVHNSLNSSNYTSQSIADPVKLAPVPNNETVKNRMSKDVQDRNHNKLTALDLQITDGFHYQSILSSLLKTTHPLILGQHFQDCNEVSSFVSWRKLGLLHCQRPRSAPSQKLLKKILFEVPRMHVSGLPDSPEDNSNNVGVLIPEADDFSANHVLVERKRRERLKENFMILKSSFYSIKRADKVSVLDETIEYMQELERRVEKLESCRELTELDARTRRRERTSDNYGRNKTGNSKKPPVNKRKICKIDDTETEMDYDISVSVNDKDVIIEINCPWREGLLLEIMDAAASHLHLDSYSVQSSTINGILSLTIKSKQKGLTAASAREIKQVIERVARKY >Manes.10G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26443475:26446470:-1 gene:Manes.10G112500.v8.1 transcript:Manes.10G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGKDSKLKLKLSLPPPPPQGVSFAEFLTPSGTFLDGDLRVNRDGIRIVSQSETEAAPLIRPSDQQLALADLETIKVLGKGNGGIVQLVQHKWTQQLFALKVMELKIEESARKLIARELRINLTSQCPNVVMFYESFYDNGKISIVLEYMDGGSLADLLNKVKKIAEAYLAAICKQVLQGLLYLHHEKHIIHRDLKPCNILINHEGEVKIADFGVSAIMASTSGHANTYVGTYHYMSPERISSEVSGGEHNYRSDIWSLGIVMLQCATGQFPYSPPDRSEDWASVYQLVAAIVKQPEPCAPSDQFSPEFCSFISSCLQKDPTKRWSARDLLEHPFMIRYGDLQAEALKSYFTNAGSPETSSK >Manes.10G112500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26443842:26446437:-1 gene:Manes.10G112500.v8.1 transcript:Manes.10G112500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGKDSKLKLKLSLPPPPPQGVSFAEFLTPSGTFLDGDLRVNRDGIRIVSQSETEAAPLIRPSDQQLALADLETIKVLGKGNGGIVQLVQHKWTQQLFALKVMELKIEESARKLIARELRINLTSQCPNVVMFYESFYDNGKISIVLEYMDGGSLADLLNKVKKIAEAYLAAICKQVLQGLLYLHHEKHIIHRDLKPCNILINHEGEVKIADFGVSAIMASTSGHANTYVGTYHYMSPERISSEVSGGEHNYRSDIWSLGIVMLQCATGQFPYSPPDRSEDWASVYQLVAAIVKQPEPCAPSDQFSPEFCSFISSCLQKDPTKRWSARDLLVTETFIFLLLDIQMKYHQFCADQQTFLTFYFPFNIFNCNGRNIHS >Manes.01G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31876853:31880421:1 gene:Manes.01G123100.v8.1 transcript:Manes.01G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVVSAAMAVQDQYGGTPPSTAHPAGNGISVNAGLHAIMGSQVREQFTSGNDYAPKVRKPYTITKQRERWTEDEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVREASGNTTSSVEPIEIPPPRPKRKPMHPYPRKLVHPLNKEPSIPEQPLRSSSPNFSISEQENQSPTSVLSAFGSDALGSIDSNSPNRSLSPVSCAGGSHPADFTICEPNLGTEDHGSPVTITAAALNEQFPQAQKLDLFPREEDVFYEEGLVEETSTRSLKLFGRTVLVTECQSYRPSSPTMENSKVLPLLDTNEGKPILPWNFMATELPHENRECTLSPLSHGPAGTLYCLQFQKDNSNSLEATPSLPLLAFCGGMPFPLVPFHKQDPVKVHLDSNGEERRGKYIYKEGSGSNSGSVNDGGSLDKNIDGETQSRQHCYGEKELYPIPELKLSDKSASTNRCMKGFVPYRKRTAERGSQSSTITVEEREEQRIRLCL >Manes.01G123100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31876853:31880421:1 gene:Manes.01G123100.v8.1 transcript:Manes.01G123100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVVSAAMAVQVRKPYTITKQRERWTEDEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVREASGNTTSSVEPIEIPPPRPKRKPMHPYPRKLVHPLNKEPSIPEQPLRSSSPNFSISEQENQSPTSVLSAFGSDALGSIDSNSPNRSLSPVSCAGGSHPADFTICEPNLGTEDHGSPVTITAAALNEQFPQAQKLDLFPREEDVFYEEGLVEETSTRSLKLFGRTVLVTECQSYRPSSPTMENSKVLPLLDTNEGKPILPWNFMATELPHENRECTLSPLSHGPAGTLYCLQFQKDNSNSLEATPSLPLLAFCGGMPFPLVPFHKQDPVKVHLDSNGEERRGKYIYKEGSGSNSGSVNDGGSLDKNIDGETQSRQHCYGEKELYPIPELKLSDKSASTNRCMKGFVPYRKRTAERGSQSSTITVEEREEQRIRLCL >Manes.S095216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251200.1:437:1254:-1 gene:Manes.S095216.v8.1 transcript:Manes.S095216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILVYSSDLALGYSSNKVRKLRFSLSFQYICWMNLDVFA >Manes.02G173300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13686672:13690584:1 gene:Manes.02G173300.v8.1 transcript:Manes.02G173300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPAELSATPYQNHKPYSLNGSNENSGLSNQIFGSDEQKTMYMHDSYSSETCEKCYNDSPTEELIQPSSSSISGNSFHLQDVPSFQPRSGSGSSVTSQDLSNTSASVRYCGAYQFPSESDFLEIQSPDTVDFEADEMRSKLQELERALLDDNGDDDMFGNSQGMEIGELSEPIQNVTLYDSPKESSSSDSNLSSISSNKVSQLSPLAPKRLLLNCANAIAEGKFGVADALINDLRQMVSIQGDPPQRIAAYMVEGLAARMASSGKYLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFMSANGAIIEAFEGEKRVHIIDFDINQGNQYITLIQTLANQPGRPPYLRLTGVDYPESVQRPIGGLKIIGQRLEKLAEAFKVPFEFHAVASKISLVSPSMLNCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTAPFFPRFVEAYNYYSAVFDSLDATLQRESQDRMNVEKQCLARDIVNIVACEGDERIERYEVAGKWRARMMMAGFTSCSISPNVVETIRKLIKQYCDRYTLKEEMGALLFGWEDKSLIVCSAWR >Manes.02G173300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13686526:13690798:1 gene:Manes.02G173300.v8.1 transcript:Manes.02G173300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPAELSATPYQNHKPYSLNGSNENSGLSNQIFGSDEQKTMYMHDSYSSETCEKCYNDSPTEELIQPSSSSISGNSFHLQDVPSFQPRSGSGSSVTSQDLSNTSASVRYCGAYQFPSESDFLEIQSPDTVDFEADEMRSKLQELERALLDDNGDDDMFGNSQGMEIGELSEPIQNVTLYDSPKESSSSDSNLSSISSNKVSQLSPLAPKRLLLNCANAIAEGKFGVADALINDLRQMVSIQGDPPQRIAAYMVEGLAARMASSGKYLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFMSANGAIIEAFEGEKRVHIIDFDINQGNQYITLIQTLANQPGRPPYLRLTGVDYPESVQRPIGGLKIIGQRLEKLAEAFKVPFEFHAVASKISLVSPSMLNCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTAPFFPRFVEAYNYYSAVFDSLDATLQRESQDRMNVEKQCLARDIVNIVACEGDERIERYEVAGKWRARMMMAGFTSCSISPNVVETIRKLIKQYCDRYTLKEEMGALLFGWEDKSLIVCSAWR >Manes.03G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2316211:2319346:1 gene:Manes.03G028600.v8.1 transcript:Manes.03G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIIESLALKLPLATKPAGSGVHPSTTPCFCKLRIKNFASQTALLPLCSSANDSPPETSTSATGFHLDATAIRRLSGKPITLRVEVYTGRMGHTCGVNGGKLLGQVQVSVDLENVQSSPRVFQNGWLKLGNQPDKPAARLHLVVRVEPDPRFVFQFGGEPECSPVVFQTQGNILQPVFSCKFSADRNSRSRSLPSDFTVNSNRGWRRTFSGEKEQAGRERKGWMVMIYDLSGSPVAAASMITPFVPSPGTDRVSRSNPGAWLILRPHGISVSSWKPWGRLEAWRERGPIDGLGYKFELVTDNGGPSRGIPIAESTISLKKGGQFCIDSRLIKDSALNSRSPVKGFVMCSTVEGEGKISKPVVQVGVQHVTCMADAALFIALSAAIDLSMDACRLFSHKLRKELCHDVHDSLS >Manes.09G061300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10030425:10036069:1 gene:Manes.09G061300.v8.1 transcript:Manes.09G061300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTEGASGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEKKLPPNYKDLLDLLPPERYQNLSKKDIFAQLSRPVPFDDGNKEVWLDRVTGRVCMSISAKGMTITGIEDRRYWNWVPTEESRFHVVAYLQQIWWFEVDGVVKFPFPADIYTLSFRLHLGRFAKRLGRRVCNFEHTHGWDIKPVRFELSTSDGQQASSESCLDETEQEEAYGNHKRGLWIEYKVGEFVVTDSVPATEVRFSMKQIDCTHSKGGLCVDSVFIIPSDLKEGKRRGVLK >Manes.09G061300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10030425:10036069:1 gene:Manes.09G061300.v8.1 transcript:Manes.09G061300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTEGASGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEKKLPPNYKDLLDLLPPERYQNLSKKDIFAQLSRPVPFDDGNKEVWLDRVTGRVCMSISAKGMTITGIEDRRYWNWVPTEESRFHVVAYLQQIWWFEVDGVVKFPFPADIYTLSFRLHLGRFAKRLGRRVCNFEHTHGWDIKPVRFELSTSDGQQASSESCLDETEQEEAYGNHKRGLWIEYKVGEFVVTDSVPATEVRFSMKQIDCTHSKGGLCVDSVFIIPSDLKEGKRRGVLK >Manes.09G061300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10030710:10033263:1 gene:Manes.09G061300.v8.1 transcript:Manes.09G061300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTEGASGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEKKLPPNYKDLLDLLPPERYQNLSKKDIFAQLSRPVPFDDGNKEVWLDRVTGRVCMSISAKGMTITGIEDRRYWNWVPTEESRFHVVAYLQQIWWFEVDGVVKFPFPADIYTLSFRLHLGRFAKRLGRRVCNFEHTHGWDIKPVRFELSTSDGQQASSESCLDETEQEEAYGNHKRGLWIEYKVGEFVVTDSVPATEVRFSMKQIDCTHSKGGLCVDSVFIIPSDLKEGKRRGVLK >Manes.09G061300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10030425:10036069:1 gene:Manes.09G061300.v8.1 transcript:Manes.09G061300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTEGASGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEKKLPPNYKDLLDLLPPERYQNLSKKDIFAQLSRPVPFDDGNKEVWLDRVTGRVCMSISAKGMTITGIEDRRYWNWVPTEESRFHVVAYLQQIWWFEVDGVVKFPFPADIYTLSFRLHLGRFAKRLGRRVCNFEHTHGWDIKPVRFELSTSDGQQASSESCLDETEQEEAYGNHKRGLWIEYKEVLCYYQKKEN >Manes.09G061300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10030425:10036637:1 gene:Manes.09G061300.v8.1 transcript:Manes.09G061300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTEGASGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEKKLPPNYKDLLDLLPPERYQNLSKKDIFAQLSRPVPFDDGNKEVWLDRVTGRVCMSISAKGMTITGIEDRRYWNWVPTEESRFHVVAYLQQIWWFEVDGVVKFPFPADIYTLSFRLHLGRFAKRLGRRVCNFEHTHGWDIKPVRFELSTSDGQQASSESCLDETEQEEAYGNHKRGLWIEYKVGEFVVTDSVPATEVRFSMKQIDCTHSKGGLCVDSVFIIPSDLKEGKRRGVLK >Manes.09G061300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10030425:10036069:1 gene:Manes.09G061300.v8.1 transcript:Manes.09G061300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTEGASGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEKKLPPNYKDLLDLLPPERYQNLSKKDIFAQLSRPVPFDDGNKEVWLDRVTGRVCMSISAKGMTITGIEDRRYWNWVPTEESRFHVVAYLQQIWWFEVDGVVKFPFPADIYTLSFRLHLGRFAKRLGRRVCNFEHTHGWDIKPVRFELSTSDGQQASSESCLDETEQEEAYGNHKRGLWIEYKVGEFVVTDSVPATEVRFSMKQIDCTHSKGGLCVDSVFIIPSDLKEGKRRGVLK >Manes.05G120900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20181702:20184070:1 gene:Manes.05G120900.v8.1 transcript:Manes.05G120900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLTTTTHSSFKLTSLDVFQCHIQTLEREREMEKIQGAARKHVLILPLPLQGHINPMLQFSKRLASKGLKVTLLTFTGKPTTQGEDGLLSFESISNTSEESRMDMDADDYMKKLQDMVALKLPAIVAKHEESGFPVSCLIYDSFMPWALELARKIGISPAPFFTESCAVCAIYYALHEGKLKIPTDDEASVSLQGLPPLEAYDLPSFFYDLEKYQDVLSYLASQFLNIEEVDWIFCNTFDILEQEMVNWMANKWPIKSIGPTIPSMFLDKRLEDDKEYGLSLFKPNSDACMKWLDAKEPYSVVYVSFGSLAALGEAQMTELAWGLKRSNTCFLWVVREPEKEKLPNNFIEETKEMGLVVTWSPQLEVLAHKSVGCFVTHCGWNSILEALSFGVPMVAMPQWTDQPTNAKFVSDVWKVGIRVKVDEEGIATKEEIERCMREVMEGETRNEMKKNLEKWKKLACLAVDEGGSSDKNIEEFTTKLTCSSNGFKE >Manes.08G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33105503:33108084:-1 gene:Manes.08G098900.v8.1 transcript:Manes.08G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANWVIEVNEKLEKMMDTDNSMEEERWKKRAIYKIPACVKDLNKKAYRPQAVSFGPYYHGEEHLKPMEEHKHRALLHFLKRANKPLQVFVESLAEVVQVLKDSYHPLDISWQEDTSRFLQLMILDGCFMLEILRIATHALDDYATNDPIFSSHGKLYIIPYIMRDMLMLENQLPLLVLVKLVAVEIGKEEDEEFINKLILKFCFPETPVSSLGSCLHPLDVYRKSLLQKHAGKEKRRGRSSRRRHDNGGNIIRSATELSEAGIRFKKSKTKSLKDISFKGGVLRLPVIVVDDATESIFLNLIAFERFHVGAGNEVTSYVFFMDNIIDSERDVAVLHSRGIIQNAIGSDKAVAKLFNSISKDITLDPDSSLDYVHKNVTAYCKKAWNEWRANLIHTYFRNPWAILSFIAAIFLFALTIVQTVYTIYPIYKNDASSSPISSPPVASPPPPPPHLFSKPPFPRLHH >Manes.01G088101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28959534:28960460:-1 gene:Manes.01G088101.v8.1 transcript:Manes.01G088101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLFFFFFFIFFALHVNSSFAATNFNVLSYGAKPNGVTDSTKAFLDAWAAACGSAGSTIIYVPKGRYLLGSMIFGGGCKSPDITIRVDETLVAPRDYRILGKAANWLSFEGVAGVSIVGGALDAKGPTLWACKAKGKNCPSGATSLSFTNSNNIKIKVSDVQINDIIYQGIRGTSATEVAIRFDCSSKYPCSGIRLHSVNLTYSNQAAQSFCANVLGKTIGLVKPSSCL >Manes.18G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2544087:2548366:-1 gene:Manes.18G030100.v8.1 transcript:Manes.18G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQPIKTSNTQKHRPLTPFRFIRGLICLLVFLSTSFMFLVYFGPLGVISRLASLHYRRKVASFIFSIWLALWPSLFEKINGTKVVFYGDAVPAKERVLIIANHRTEVDWMYLWDLALRKGCLGSIKYILKSSLMKLPVFGWGFHILEFISVDRKWEVDEPVMRQMLSTFKDPQDGLWLALFPEGTDFTELKCLGSQKFAAEAGLPVLTNVLLPKTKGFCLCLEVLRSSLDAVYDVSIAYKHQFPTFLDNVFGLDPAEVHIHIRRIPMKDIPASDSEAASWLMNTFQLKDQLLSDFGTHGHFPNEGTEEELSTLECLVNFVMVISLTAIFTYLTLFSSIWFKIYVSLACVFLALATYYKFQPLPIIGSFIPMFSCRNI >Manes.08G078500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19007259:19010055:1 gene:Manes.08G078500.v8.1 transcript:Manes.08G078500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLKFLELKAKRTYRYIVFKIEEKQKQVIVEKLGEPTQSYEDFAASLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFRSRAN >Manes.08G078500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19005771:19010055:1 gene:Manes.08G078500.v8.1 transcript:Manes.08G078500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRTYRYIVFKIEEKQKQVIVEKLGEPTQSYEDFAASLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFRSRAN >Manes.02G018000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1664777:1669898:1 gene:Manes.02G018000.v8.1 transcript:Manes.02G018000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNKDSSSASTADAAHVTKVKGVPTHGGRYVQYNVYGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIRDIIRPPNKEAFNDVYIVYELMDTDLHHIIRSDQQLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLIIELIGSPDDASLGFLRSNNARRYVRQLPQYRKQNFSVRFPNMSSGAADLLEKMLVFDPNKRITVDEALCHPYLSSLHDINDEPVCPRPFHFDFEHPSCTEEHIKELIWRESVKFNPDPPAHWEKMNT >Manes.02G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1664626:1669898:1 gene:Manes.02G018000.v8.1 transcript:Manes.02G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNKDSSSASTADAAHVTKVKGVPTHGGRYVQYNVYGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIRDIIRPPNKEAFNDVYIVYELMDTDLHHIIRSDQQLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLIIELIGSPDDASLGFLRSNNARRYVRQLPQYRKQNFSVRFPNMSSGAADLLEKMLVFDPNKRITVDEALCHPYLSSLHDINDEPVCPRPFHFDFEHPSCTEEHIKELIWRESVKFNPDPPAHWEKMNT >Manes.01G063700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26219087:26220359:1 gene:Manes.01G063700.v8.1 transcript:Manes.01G063700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWSDLPADLLLQIAQKIYSFEDLFAFSLVSRACNEVALEENSKRSSNTAPWLILPEKNGTASESREFLSLYRGRVSRLLLPEAKGKQCLSSQGWLMIIEFQREISLVHPISHLKISLPQIIRSTDFFTDKISKFVLSSNPSSNSDFRVMAIYGGDKRLACCRPGDEEWTKIRTSSSPCTDLINYKGQFCVLDDEYRVLAVNFKGSNSAANVQLFSELTTPDFRTLWELRRRLLLMHWFQIGRWMRCYLVESEGALLIVMHVTYGSHIVRSDYRYKSCNFEIFKLDSSKKGLQAVNSLGNKALFLGEHSSSFLITAHRGSGYKPNCIYYAEDLSGEIEEIEDVGVYNLTDGCTEPLPKNAYVSRGSPALWVQPSF >Manes.16G082700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28905278:28908586:-1 gene:Manes.16G082700.v8.1 transcript:Manes.16G082700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILSSFFCSDIHTDAANGNDSESDEVQQLFIPFRGYCARKGVQLKEVVLDETDVARALLDYISKQYVGSIVVGSSTRNALTRKFKNQDVPTSLIKAAPDFCSVYVISKGKIMSVKTAQRPATNPPIPPKVPSPLALPAPHPVDYFEHEDASRGKHGKGYYRNAGSERLHLEKNSDPLRGPLKCRAPASLFIDTMDVSFPGTGMRISTSRDSPHEETDFSVPGSLDVAVPSSESSQASPREGVSQNARDLESEMRRLKLELKHTIDMYSTACKEALSAKKKACELHQWKMEEARRFEEARLSEEAALAIAEMEKAKCKVAMEAAEKAQKLAEMEAQKRKYAEMKAKRESEEKNRALNALAHNDVRYRKYAIEEIEEATDKFSLANKIGEGGYGPVYKGRLDHTPVAIKVLRPDAAQGKKQFQQEVEVLSCIRHPHMVLLLGACPEYGCLVYEYMENGSLEDRLLRRDNTHPISWRKRFKIASEIATALLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGRLTTRSDIYSLGIMFLQIITAKPPMGLAHHVGRAIQRGTFEEMLDPSVPDWPVEEALAFAKMSLKCAELRKKDRPSLATVIVPELNRLKELGRHGHSSSSRSVSPLPHSSSNALHP >Manes.16G082700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28905278:28909231:-1 gene:Manes.16G082700.v8.1 transcript:Manes.16G082700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGLLIILCSTTHLLLLFMFDTRTITIVDAANGNDSESDEVQQLFIPFRGYCARKGVQLKEVVLDETDVARALLDYISKQYVGSIVVGSSTRNALTRKFKNQDVPTSLIKAAPDFCSVYVISKGKIMSVKTAQRPATNPPIPPKVPSPLALPAPHPVDYFEHEDASRGKHGKGYYRNAGSERLHLEKNSDPLRGPLKCRAPASLFIDTMDVSFPGTGMRISTSRDSPHEETDFSVPGSLDVAVPSSESSQASPREGVSQNARDLESEMRRLKLELKHTIDMYSTACKEALSAKKKACELHQWKMEEARRFEEARLSEEAALAIAEMEKAKCKVAMEAAEKAQKLAEMEAQKRKYAEMKAKRESEEKNRALNALAHNDVRYRKYAIEEIEEATDKFSLANKIGEGGYGPVYKGRLDHTPVAIKVLRPDAAQGKKQFQQEVEVLSCIRHPHMVLLLGACPEYGCLVYEYMENGSLEDRLLRRDNTHPISWRKRFKIASEIATALLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGRLTTRSDIYSLGIMFLQIITAKPPMGLAHHVGRAIQRGTFEEMLDPSVPDWPVEEALAFAKMSLKCAELRKKDRPSLATVIVPELNRLKELGRHGHSSSSRSVSPLPHSSSNALHP >Manes.16G082700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28905278:28909231:-1 gene:Manes.16G082700.v8.1 transcript:Manes.16G082700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGLLIILCSTTHLLLLFMFDTRTITIVDAANGNDSESDEVQQLFIPFRGYCARKGVQLKEVVLDETDVARALLDYISKQYVGSIVVGSSTRNALTRKFKNQDVPTSLIKAAPDFCSVYVISKGKIMSVKTAQRPATNPPIPPKVPSPLALPAPHPVDYFEHEDASRGKHGKGYYRNAGSERLHLEKNSDPLRGPLKCRAPASLFIDTMDVSFPGTGMRISTSRDSPHEETDFSVPGSLDVAVPSSESSQASPREGVSQNARDLESEMRRLKLELKHTIDMYSTACKEALSAKKKACELHQWKMEEARRFEEARLSEEAALAIAEMEKAKCKVAMEAAEKAQKLAEMEAQKRKYAEMKAKRESEEKNRALNALAHNDVRYRKYAIEEIEEATDKFSLANKIGEGGYGPVYKGRLDHTPVAIKVLRPDAAQGKKQFQQEVEVLSCIRHPHMVLLLGACPEYGCLVYEYMENGSLEDRLLRRDNTHPISWRKRFKIASEIATALLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGRLTTRSDIYSLGIMFLQIITAKPPMGLAHHVGRAIQRGTFEEMLDPSVPDWPVEEALAFAKMSLKCAELRKKDRPSLATVIVPELNRLKELGRHGHSSSSRSVSPLPHSSSNALHP >Manes.16G082700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28905278:28909231:-1 gene:Manes.16G082700.v8.1 transcript:Manes.16G082700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSDDAFSVMNATVVAIDKDKNSQHAVRWAIDHLVFNNPLIVIVHVRHKNHHYHAANGNDSESDEVQQLFIPFRGYCARKGVQLKEVVLDETDVARALLDYISKQYVGSIVVGSSTRNALTRKFKNQDVPTSLIKAAPDFCSVYVISKGKIMSVKTAQRPATNPPIPPKVPSPLALPAPHPVDYFEHEDASRGKHGKGYYRNAGSERLHLEKNSDPLRGPLKCRAPASLFIDTMDVSFPGTGMRISTSRDSPHEETDFSVPGSLDVAVPSSESSQASPREGVSQNARDLESEMRRLKLELKHTIDMYSTACKEALSAKKKACELHQWKMEEARRFEEARLSEEAALAIAEMEKAKCKVAMEAAEKAQKLAEMEAQKRKYAEMKAKRESEEKNRALNALAHNDVRYRKYAIEEIEEATDKFSLANKIGEGGYGPVYKGRLDHTPVAIKVLRPDAAQGKKQFQQEVEVLSCIRHPHMVLLLGACPEYGCLVYEYMENGSLEDRLLRRDNTHPISWRKRFKIASEIATALLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGRLTTRSDIYSLGIMFLQIITAKPPMGLAHHVGRAIQRGTFEEMLDPSVPDWPVEEALAFAKMSLKCAELRKKDRPSLATVIVPELNRLKELGRHGHSSSSRSVSPLPHSSSNALHP >Manes.16G082700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28905278:28909231:-1 gene:Manes.16G082700.v8.1 transcript:Manes.16G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVVAIDKDKNSQHAVRWAIDHLVFNNPLIVIVHVRHKNHHYHAANGNDSESDEVQQLFIPFRGYCARKGVQLKEVVLDETDVARALLDYISKQYVGSIVVGSSTRNALTRKFKNQDVPTSLIKAAPDFCSVYVISKGKIMSVKTAQRPATNPPIPPKVPSPLALPAPHPVDYFEHEDASRGKHGKGYYRNAGSERLHLEKNSDPLRGPLKCRAPASLFIDTMDVSFPGTGMRISTSRDSPHEETDFSVPGSLDVAVPSSESSQASPREGVSQNARDLESEMRRLKLELKHTIDMYSTACKEALSAKKKACELHQWKMEEARRFEEARLSEEAALAIAEMEKAKCKVAMEAAEKAQKLAEMEAQKRKYAEMKAKRESEEKNRALNALAHNDVRYRKYAIEEIEEATDKFSLANKIGEGGYGPVYKGRLDHTPVAIKVLRPDAAQGKKQFQQEVEVLSCIRHPHMVLLLGACPEYGCLVYEYMENGSLEDRLLRRDNTHPISWRKRFKIASEIATALLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYHMTSAAGTFCYIDPEYQQTGRLTTRSDIYSLGIMFLQIITAKPPMGLAHHVGRAIQRGTFEEMLDPSVPDWPVEEALAFAKMSLKCAELRKKDRPSLATVIVPELNRLKELGRHGHSSSSRSVSPLPHSSSNALHP >Manes.01G023200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5052788:5056870:1 gene:Manes.01G023200.v8.1 transcript:Manes.01G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATQPASTATLLKKSFFSAKPQSSISTSIPFPSVKPRSLVVRNSVTVSPPSPAKIAKECKVKSVKARQIIDSRGNPTVEVDLIADDLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLNAVKNINDILGPKLIGVDVRNQDDVDAIMLEIDGTPNKSKLGANAILGVSLSICRAGAGVKGVPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGRYDLNFKKQPNDGAHVLSAQSLGELYKDFINDFPIVSIEDPFDQDDWNSWASLQSSVDIQLVGDDLLVTNPKRIAEAIQKKACNGLLLKVNQIGTVTESIRAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >Manes.11G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27951134:27965152:1 gene:Manes.11G120300.v8.1 transcript:Manes.11G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESGFHLCFLLLPLLILHFMPRISAIRKDIGFQETKICRNTVQGRFLLSDDNGHVCDALSVDPQSRCCPERGEKFSCHGCNLLSQCCNSYEFCVSCCLNPARTQEEEVIKLKIAKPSTAGTYASIFDFCAGRCRHNSESVVHENAYLSDFHHCFSLPSNMSAANYTQLEARLVGINVIVGRQGESCDSACKANGQSCVSNKLLVLNQCDIMQKYMSCKGTCLASIGADQPAEVVDNAPKHLNPGACLYTRTQSMLSCDGSHQHTRRLCPCA >Manes.12G133300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34024355:34027874:-1 gene:Manes.12G133300.v8.1 transcript:Manes.12G133300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTALQDSCYRYSFSRAGLKSFATDLGDGTVMHCWVPKTHVGSKPTLLLIHGFGANAMWQFNHFIPQLKSTFNVYVPDLLFFGDSHTTRPERTEAFQAQCVMALMDALNVTNVDLMGMSYGGFVAYSIAAQFKERVGRVVLGCAGVCLGKKDTEKGLFQLSVDDAINILMPQNPDKVRELVRLSFHKPPPTGPNCFLNDFIEVMCTEYRQEKIELIQALHDDKKQSNLPKITQVFSSFYSSMVQLILFLFSRSPSVVLFHMQPTLIIWGEYDQIFPVEMAHTLKRLVWKPSNILHIQGLHSS >Manes.12G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34024355:34027873:-1 gene:Manes.12G133300.v8.1 transcript:Manes.12G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTALQDSCYRYSFSRAGLKSFATDLGDGTVMHCWVPKTHVGSKPTLLLIHGFGANAMWQFNHFIPQLKSTFNVYVPDLLFFGDSHTTRPERTEAFQAQCVMALMDALNVTNVDLMGMSYGGFVAYSIAAQFKERVGRVVLGCAGVCLGKKDTEKGLFQLSVDDAINILMPQNPDKVRELVRLSFHKPPPTGPNCFLNDFIEVMCTEYRQEKIELIQALHDDKKQSNLPKITQPTLIIWGEYDQIFPVEMAHTLKSHIGESAELVIIKNMGHALKPKEMFKHMKSFLIDTPPPTKQGNCINAHKMLNFN >Manes.02G024700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2136048:2136311:1 gene:Manes.02G024700.v8.1 transcript:Manes.02G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPIPTPRLAFLVLLIILALSQVSSCRHLHINKGDQTSEQTAKPVFFPFSWHFPAKAPQGSGKEKISSVYGVSYKRVPGGPNPLHN >Manes.14G109300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9186284:9193896:1 gene:Manes.14G109300.v8.1 transcript:Manes.14G109300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRVRRKEHIVHQNGDMISSVGDVDPWTAWAYKPRTISLLLIGACLLIWASGALDPESSVSGDMVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHLDLGVELPERSYGADCRIYIPDNPASRFKNLYDTLFDEFVLAHIFGWWGKAIFIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPVWLVIFWSSVGVALVIFLIFWSWQLHRSLGRKRQ >Manes.14G109300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9186294:9193896:1 gene:Manes.14G109300.v8.1 transcript:Manes.14G109300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLVSALVFSLIKFLLNCVSSWASGALDPESSVSGDMVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHLDLGVELPERSYGADCRIYIPDNPASRFKNLYDTLFDEFVLAHIFGWWGKAIFIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPVWLVIFWSSVGVALVIFLIFWSWQLHRSLGRKRQ >Manes.14G109300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9186294:9193896:1 gene:Manes.14G109300.v8.1 transcript:Manes.14G109300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRVRRKEHIVHQNGDMISSVGDVDPWTAWAYKPRTISLLLIGACLLIWASGALDPESSVSGDMVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHLDLGVELPERSYGADCRIYIPDNPASRFKNLYFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPVWLVIFWSSVGVALVIFLIFWSWQLHRSLGRKRQ >Manes.14G109300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9186294:9193896:1 gene:Manes.14G109300.v8.1 transcript:Manes.14G109300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMGVQASYYFTVTYWCMLTYKRDDARQFMKFLHLDLGVELPERSYGADCRIYIPDNPASRFKNLYDTLFDEFVLAHIFGWWGKAIFIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPVWLVIFWSSVGVALVIFLIFWSWQLHRSLGRKRQ >Manes.14G109300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9186294:9193896:1 gene:Manes.14G109300.v8.1 transcript:Manes.14G109300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLHLDLGVELPERSYGADCRIYIPDNPASRFKNLYDTLFDEFVLAHIFGWWGKAIFIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPVWLVIFWSSVGVALVIFLIFWSWQLHRSLGRKRQ >Manes.14G109300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9186294:9193735:1 gene:Manes.14G109300.v8.1 transcript:Manes.14G109300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRVRRKEHIVHQNGDMISSVGDVDPWTAWAYKPRTISLLLIGACLLIWASGALDPESSVSGDMVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHLDLGVELPERSYGADCRIYIPDNPASRFKNLYDTLFDEFVLAHIFGWWGKAIFIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPVWLVIFWSSVGVALVIFLIFWSWQLHRSLGRKRQ >Manes.14G109300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9186294:9193702:1 gene:Manes.14G109300.v8.1 transcript:Manes.14G109300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRVRRKEHIVHQNGDMISSVGDVDPWTAWAYKPRTISLLLIGACLLIWASGALDPESSVSGDMVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHLDLGVELPERSYGADCRIYIPDNPASRFKNLYDTLFDEFVLAHIFGWWGKAIFIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPVWLVIFWSSVGVALVIFLIFWSWQLHRSLGRKRQ >Manes.14G109300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9191169:9193510:1 gene:Manes.14G109300.v8.1 transcript:Manes.14G109300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLHLDLGVELPERSYGADCRIYIPDNPASRFKNLYDTLFDEFVLAHIFGWWGKAIFIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWIPPRNPVIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPKPMPVWLVIFWSSVGVALVIFLIFWSWQLHRSLGRKRQ >Manes.12G043400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3710709:3712709:-1 gene:Manes.12G043400.v8.1 transcript:Manes.12G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHCFFILSLSLLLLFHGSFSLRQPRDECQVDRINALEPDNRVECEAGVIDSWNPNEDQFLCAGVAVVRWTIEPRGLLLPSYSNAPQLVYIVRGRGVTGISFAGCPETFQESQQLGVSTRVQDKHQKIRRFRQGDVIAIPAGVPHWCYNDGNEPVVAVSVLDVHNRANQLDMNPRHFYVAGNPEDMFQQRFDETRDPRGPRETRRPFMEPTQRECNNLFCGMDTRFLAEAFNVNEQLARKLRNENDVRGNIVRVEGNLQLVRPPRTQQERQEQLQRERGFGRRPNGLEETYCSARIIENIGDPTRADVFVPEAGHVRTVNSHNLPILERMQLSASHVVLRDNSVRLPHLHMNAHSVLYAVRGQAQVQVVDENGNAVFDGNVREGQVLTVPQNFAVVKRTDKDVFEYVAFKTDDNAMTNDLAGRASTMRALPVEVIATAYRLPLEDARRLKFATQETTLTSVRPRPGRWADA >Manes.07G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7521046:7521552:-1 gene:Manes.07G054100.v8.1 transcript:Manes.07G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSFVTPSRSLYSSPLRFILDWWLPASSLGGVF >Manes.07G137700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34054510:34057255:-1 gene:Manes.07G137700.v8.1 transcript:Manes.07G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDMEAGSPSPNQKPLTRLNAFVANSRLGKRFKLAERNSTFTTELRAGTAIFLTMAYILAVNASILTDSGGPCSVSDCIPLCSDPTIPVSNCTGSSLRIIQPDDSCKFDPVNPGYAACLDKIRKDLIVATVVSSLIGCVIMGVFANLPLALAPGMGTNAYFAYTVVGFHGSGNVSYESALTAVFIEGLIFLAISAIGLRAQLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGLGLVGYSPSTLVTLGGCPRSSRALLAPVTTLANGTIALIPGGTVSGDILCLRDRMESPTLWLGIVGFFIIAYCLVKNIKGAIIYGIVFVTAVSWFRDTSVTAFPNTVAGNAAHEYFKKVVDVHVIKKTAGALSFKSIRKGYFWEALFTFLYVDILDTTGTLYSMARFAGFLDQNGNFEGQYFAFMSDATSIVVGSLLGTSPVTVYIESSTGIREGGRTGLTSLTVAGYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMRAVVDIEWNDMRQAIPAFITLILMPLTYSIAYGLIGGIGTYIVLHLGDWGEELLVKVGVLNSRGEKEEVDSGSGPSGSNRSNGNHSNNGNGNDFSDANEQNRQDRRMKTIETEV >Manes.16G055900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19733186:19763508:-1 gene:Manes.16G055900.v8.1 transcript:Manes.16G055900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWQDFSAQDLSPTAARSKEWDGPSRWTEYLGSDMSSPVTFRTSRNKGPDGQIQSSGGSYKGLNLQWVVQLTEVAEGLMAKMYRLNQILDYPDPVGHVFSEAFWKAGVFPNYPRICLLLSKKFPEHFSKLQLERVDKIALDALNDSAEVHLQSLEPWVQLLIDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKIPRKMMLQMYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTYYREWVLLGYLVCPDELLRVTSIDIALVVLKENLILTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEAHEQALVSCDAIHCERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIAPSKSKVARMVPVDIDPSDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGIVALDLDASLKGLLQQIVHHLENIPKPQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASAIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNVSRVSIPSAKSPKGAVGFPLPGHESYPENNSSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRVLAVLKTENDLQRPSVLESLIRRHMSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLHLFENPTEQLPGAATEVVCNWYMENIVKDISGAGILFTPTHRCFKSTRPVGGYFAESVTDLRELQAFVRIFGGYGVDRLDKMMKEHTAALLNCIDTSLRSNREVLEVIAGSMHSGDRIEREASLKQIVDLDTVIGFCIEAGQALAFDQLLAEAAGVVLEEGAPLIYSLLAGVVKHIPGEMPERKEIKRIRGVGSSVGVVLDHDSEWVRSILEEVGGANDGSWTLLPYLFATFMTSSIWNTTGFNVDTGAFNNNMHCLARCMSAVIAGSEFVRMEREHHQRLSFSNGHVGEALDPEIHSRLSAEASIKSAMQLFVRFAAGIVLDSWSEANRSHLVAKLIFLDQLCEMSPYLPRSSLEAHVPYAIMRSIYSQYYSNSPSIPLALLTVSPRHSPAVSLPHASPSVKQPRGDSTPQYSTNDSGFFKVSSSHIQEHPYETDSGNLRSSENKQWNARRSGPLDYSSSRKAKLVEGSTSGSTGPSPLPRFAVSRSGPLLYK >Manes.16G055900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19733186:19763508:-1 gene:Manes.16G055900.v8.1 transcript:Manes.16G055900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITWERLMQVDKIALDALNDSAEVHLQSLEPWVQLLIDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKIPRKMMLQMYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTYYREWVLLGYLVCPDELLRVTSIDIALVVLKENLILTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEAHEQALVSCDAIHCERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIAPSKSKVARMVPVDIDPSDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGIVALDLDASLKGLLQQIVHHLENIPKPQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASAIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNVSRVSIPSAKSPKGAVGFPLPGHESYPENNSSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRVLAVLKTENDLQRPSVLESLIRRHMSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLHLFENPTEQLPGAATEVVCNWYMENIVKDISGAGILFTPTHRCFKSTRPVGGYFAESVTDLRELQAFVRIFGGYGVDRLDKMMKEHTAALLNCIDTSLRSNREVLEVIAGSMHSGDRIEREASLKQIVDLDTVIGFCIEAGQALAFDQLLAEAAGVVLEEGAPLIYSLLAGVVKHIPGEMPERKEIKRIRGVGSSVGVVLDHDSEWVRSILEEVGGANDGSWTLLPYLFATFMTSSIWNTTGFNVDTGAFNNNMHCLARCMSAVIAGSEFVRMEREHHQRLSFSNGHVGEALDPEIHSRLSAEASIKSAMQLFVRFAAGIVLDSWSEANRSHLVAKLIFLDQLCEMSPYLPRSSLEAHVPYAIMRSIYSQYYSNSPSIPLALLTVSPRHSPAVSLPHASPSVKQPRGDSTPQYSTNDSGFFKVSSSHIQEHPYETDSGNLRSSENKQWNARRSGPLDYSSSRKAKLVEGSTSGSTGPSPLPRFAVSRSGPLLYK >Manes.16G055900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19733186:19763508:-1 gene:Manes.16G055900.v8.1 transcript:Manes.16G055900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQDLANVTYYREWVLLGYLVCPDELLRVTSIDIALVVLKENLILTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEAHEQALVSCDAIHCERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIAPSKSKVARMVPVDIDPSDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGIVALDLDASLKGLLQQIVHHLENIPKPQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASAIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNVSRVSIPSAKSPKGAVGFPLPGHESYPENNSSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRVLAVLKTENDLQRPSVLESLIRRHMSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLHLFENPTEQLPGAATEVVCNWYMENIVKDISGAGILFTPTHRCFKSTRPVGGYFAESVTDLRELQAFVRIFGGYGVDRLDKMMKEHTAALLNCIDTSLRSNREVLEVIAGSMHSGDRIEREASLKQIVDLDTVIGFCIEAGQALAFDQLLAEAAGVVLEEGAPLIYSLLAGVVKHIPGEMPERKEIKRIRGVGSSVGVVLDHDSEWVRSILEEVGGANDGSWTLLPYLFATFMTSSIWNTTGFNVDTGAFNNNMHCLARCMSAVIAGSEFVRMEREHHQRLSFSNGHVGEALDPEIHSRLSAEASIKSAMQLFVRFAAGIVLDSWSEANRSHLVAKLIFLDQLCEMSPYLPRSSLEAHVPYAIMRSIYSQYYSNSPSIPLALLTVSPRHSPAVSLPHASPSVKQPRGDSTPQYSTNDSGFFKVSSSHIQEHPYETDSGNLRSSENKQWNARRSGPLDYSSSRKAKLVEGSTSGSTGPSPLPRFAVSRSGPLLYK >Manes.16G055900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19733186:19763508:-1 gene:Manes.16G055900.v8.1 transcript:Manes.16G055900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWQDFSAQDLSPTAARSKEWDGPSRWTEYLGSDMSSPVTFRTSRNKGPDGQIQSSGGSYKGLNLQWVVQLTEVAEGLMAKMYRLNQILDYPDPVGHVFSEAFWKAGVFPNYPRICLLLSKKFPEHFSKLQLERVDKIALDALNDSAEVHLQSLEPWVQLLIDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKIPRKMMLQMYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTYYREWVLLGYLVCPDELLRVTSIDIALVVLKENLILTLFRDEYVLLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEAHEQALVSCDAIHCERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIAPSKSKVARMVPVDIDPSDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGIVALDLDASLKGLLQQIVHHLENIPKPQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLRKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASAIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNVSRVSIPSAKSPKGAVGFPLPGHESYPENNSSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRVLAVLKTENDLQRPSVLESLIRRHMSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLHLFENPTEQLPGAATEVVCNWYMENIVKDISGAGILFTPTHRCFKSTRPVGGYFAESVTDLRELQAFVRIFGGYGVDRLDKMMKEHTAALLNCIDTSLRSNREVLEVIAGSMHSGDRIEREASLKQIVDLDTVIGFCIEAGQALAFDQLLAEAAGVVLEEGAPLIYSLLAGVVKHIPGEMPERKEIKRIRGVGSSVGVVLDHDSEWVRSILEEVGGANDGSWTLLPYLFATFMTSSIWNTTGFNVDTGAFNNNMHCLARCMSAVIAGSEFVRMEREHHQRLSFSNGHVGEALDPEIHSRLSAEASIKSAMQLFVRFAAGIVLDSWSEANRSHLVAKLIFLDQLCEMSPYLPRSSLEAHVPYAIMRSIYSQYYSNSPSIPLALLTVSPRHSPAVSLPHASPSVKQPRGDSTPQYSTNDSGFFKVSSSHIQEHPYETDSGNLRSSENKQWNARRSGPLDYSSSRKAKLVEGSTSGSTGPSPLPRFAVSRSGPLLYK >Manes.01G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7312979:7317048:1 gene:Manes.01G038100.v8.1 transcript:Manes.01G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIMGNVNEEEMREIEKFEMENLEEMRNEPEDMSRIAPWTKQITIRGLIASLAIGIIYSVIAMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLLQKAGIVTSPFTRQENTIIQTCAVACYSIAVAGGFASYLLGLNRKTYEQAGVDTKGNTPGSTKEPGIGWMTGFLFVTSFVGLLALVPLRKIMILDYKLTYPSGTATAVLINGFHTSKGDKIARKQVHGFIKFFSISFLWAFFQWFYTGGGNCGFAQFPTFGLKAWKNSFYFDFSMTYVGAGMICSHLVNLSLLLGAILSWGIMWPLIAGLKGDWFPATLPESSMRSVNGYKVFISIALILGDGLYNFLKILYFTASSIRTRAKTGDLKTLSDKQNQDDLRRNEVFVRETIPIWVACLGYTAFSIISIIVIPIMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAALTGGDNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTAIGCVVAPLTFFLFYKAFDVGNPNGEYKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFAFAIFANLVRDLSHKKFGKWIPLPMAMAVPFLVGAYFAIDMCMGSLIVFAWHKLNSKKAGLMVPAVASGLICGDGLWILPSSILALAKIHPPICMSFS >Manes.10G091600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23606146:23617939:1 gene:Manes.10G091600.v8.1 transcript:Manes.10G091600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVLSPVLQVIFDRLASPILQVLGDRWDLKDNFQNLQQALLMAQAVLEEAEDQHVTNKAVQTWLLKLKAAAYDAEDLLDKLAAHLKKSEAQEAHFSSFFDAKKIVFDADEGNMIAASQFDERETGCFVIESEIYGRNKDKEEIVKLLLSREETNKGNLSFIPIIGMGGLGKTTLAQLAYYDDEVTQHFDVKLWVFVSDAFDVRIIKEAIESATMEKCENLAVNVLQSKLWALLHKKRYLVVLDDVWNEDQKAWDKLKPVFVGGMDGSKIVITTRNQKVALVTSFPTYPYYLKELDEDDCWKLFKHRAFPRGEEIGLIRSDGTRKPLEDIGEEYFQDLIWKSFFHDSGDFDNGDKSGYRMHHIIHDLAQSVAGHDSIVLEKGLPSCSLTQIRHAAVVCDSKNPEIHQALCKAKRLRTLILSPGGDTTEFPDQLFATSTFLRVLDMSASGLHYLVHSIGNCLCLRYLDLSYTPIRFLPYGTVEQLPFLQTLNLCGCINLKELPIIAKMMSLRHLDITGCESLTAMSPSFDALYKNYGRSFSRAAQHEKFFNSTFEPGFSSQLHILPTIVVGGFLDLMFLGRLNLQGELKIIHLENVNTSNDTENANLMEKDNLDSLGLYWGEKHDISILNPVQEDSKPPFLKREQHHSTGSSEQHQSDANAAEEVAKGLQPHQNLKMLVVKGYPGNRFPHWMLPNLTKVDLRDCPNCNYLPVLGNLLFLKTLILHGMPSLVHIGTEFYGEASGQLFPSLEELVLSDFLNLQEWLDPDGKDAFPKLSKLIVKKCPKLISMPLLASLEHLEIRKCSAVLFNSIKSASSLTVLAIEDVAEFSPSSEGIFVNNPLLSSLEIIACPGLCFLPSELGNLNALKSLKIHRCEELSSFQQGFQHFKALESLEICHCHCISIPEGIGSISSLRSLCIDNCDNLTSLPLSLKNLTCLEHLTIMNCRNLVSLPEDMHRLSALQSLTILSCSQVLSLPEELQHITTMH >Manes.10G091600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23605982:23617939:1 gene:Manes.10G091600.v8.1 transcript:Manes.10G091600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVLSPVLQVIFDRLASPILQVLGDRWDLKDNFQNLQQALLMAQAVLEEAEDQHVTNKAVQTWLLKLKAAAYDAEDLLDKLAAHLKKSEAQEAHFSSFFDAKKIVFDADEVRKKLQELQTVALEGSNFNLQQGNMIAASQFDERETGCFVIESEIYGRNKDKEEIVKLLLSREETNKGNLSFIPIIGMGGLGKTTLAQLAYYDDEVTQHFDVKLWVFVSDAFDVRIIKEAIESATMEKCENLAVNVLQSKLWALLHKKRYLVVLDDVWNEDQKAWDKLKPVFVGGMDGSKIVITTRNQKVALVTSFPTYPYYLKELDEDDCWKLFKHRAFPRGEESKYPNLVPIGKEIIKKCGGIPLAVKTMSVSMRFKKQEREWLFVQNSDLWKLDVYHKEILPVMKSSYLLLPSHLKRCFAFCSILPKGYEIKKEKLIQLWMAVGLIRSDGTRKPLEDIGEEYFQDLIWKSFFHDSGDFDNGDKSGYRMHHIIHDLAQSVAGHDSIVLEKGLPSCSLTQIRHAAVVCDSKNPEIHQALCKAKRLRTLILSPGGDTTEFPDQLFATSTFLRVLDMSASGLHYLVHSIGNCLCLRYLDLSYTPIRFLPYGTVEQLPFLQTLNLCGCINLKELPIIAKMMSLRHLDITGCESLTAMSPSFDALYKNYGRSFSRAAQHEKFFNSTFEPGFSSQLHILPTIVVGGFLDLMFLGRLNLQGELKIIHLENVNTSNDTENANLMEKDNLDSLGLYWGEKHDISILNPVQEDSKPPFLKREQHHSTGSSEQHQSDANAAEEVAKGLQPHQNLKMLVVKGYPGNRFPHWMLPNLTKVDLRDCPNCNYLPVLGNLLFLKTLILHGMPSLVHIGTEFYGEASGQLFPSLEELVLSDFLNLQEWLDPDGKDAFPKLSKLIVKKCPKLISMPLLASLEHLEIRKCSAVLFNSIKSASSLTVLAIEDVAEFSPSSEGIFVNNPLLSSLEIIACPGLCFLPSELGNLNALKSLKIHRCEELSSFQQGFQHFKALESLEICHCHCISIPEGIGSISSLRSLCIDNCDNLTSLPLSLKNLTCLEHLTIMNCRNLVSLPEDMHRLSALQSLTILSCSQVLSLPEELQHITTMH >Manes.10G091600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23606146:23610150:1 gene:Manes.10G091600.v8.1 transcript:Manes.10G091600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVLSPVLQVIFDRLASPILQVLGDRWDLKDNFQNLQQALLMAQAVLEEAEDQHVTNKAVQTWLLKLKAAAYDAEDLLDKLAAHLKKSEAQEAHFSSFFDAKKIVFDADEGNMIAASQFDERETGCFVIESEIYGRNKDKEEIVKLLLSREETNKGNLSFIPIIGMGGLGKTTLAQLAYYDDEVTQHFDVKLWVFVSDAFDVRIIKEAIESATMEKCENLAVNVLQSKLWALLHKKRYLVVLDDVWNEDQKAWDKLKPVFVGGMDGSKIVITTRNQKVALVTSFPTYPYYLKELDEDDCWKLFKHRAFPRGEESKYPNLVPIGKEIIKKCGGIPLAVKTMSVSMRFKKQEREWLFVQNSDLWKLDVYHKEILPVMKSSYLLLPSHLKRCFAFCSILPKGYEIKKEKLIQLWMAVGLIRSDGTRKPLEDIGEEYFQDLIWKSFFHDSGDFDNGDKSGYRMHHIIHDLAQSVAGHDSIVLEKGLPSCSLTQIRHAAVVCDSKNPEIHQALCKAKRLRTLILSPGGDTTEFPDQLFATSTFLRVLDMSASGLHYLVHSIGNCLCLRYLDLSYTPIRFLPYGTVEQLPFLQTLNLCGCINLKELPIIAKMMSLRHLDITGCESLTAMSPSFDALYKNYGRSFSRAAQHEKFFNSTFEPGFSSQLHILPTIVVGGFLDLMFLGRLNLQGELKIIHLENVNTSNDTENANLMEKDNLDSLGLYWGEKHDISILNPVQEDSKPPFLKREQHHSTGSSEQHQSDANAAEEVAKGLQPHQNLKMLVVKGYPGNRFPHWMLPNLTKVDLRDCPNCNYLPVLGNLLFLKTLILHGMPSLVHIGTEFYGEASGQLFPSLEELVLSDFLNLQEWLDPDGKDAFPKLSKLIVKKCPKLISMPLLASLEHLEIRKCSAVLFNSIKSASSLTVLAIEDVAEFSPSSEGIFVNNPLLSSLEIIACPGLCFLPSELGNLNALKSLKIHRCEELSSFQQGFQHFKALESLEICHCHCISIPEGIGSISSLRSLCIDNCDNLTSLPLSLKNLTCLEHLTIMNCRNLVSLPEDMHRLSALQSLTILSCSQVLSLPEELQHITTMH >Manes.10G091600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23606146:23610150:1 gene:Manes.10G091600.v8.1 transcript:Manes.10G091600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVLSPVLQVIFDRLASPILQVLGDRWDLKDNFQNLQQALLMAQAVLEEAEDQHVTNKAVQTWLLKLKAAAYDAEDLLDKLAAHLKKSEAQEAHFSSFFDAKKIVFDADEGNMIAASQFDERETGCFVIESEIYGRNKDKEEIVKLLLSREETNKGNLSFIPIIGMGGLGKTTLAQLAYYDDEVTQHFDVKLWVFVSDAFDVRIIKEAIESATMEKCENLAVNVLQSKLWALLHKKRYLVVLDDVWNEDQKAWDKLKPVFVGGMDGSKIVITTRNQKVALVTSFPTYPYYLKELDEDDCWKLFKHRAFPRGEEIGLIRSDGTRKPLEDIGEEYFQDLIWKSFFHDSGDFDNGDKSGYRMHHIIHDLAQSVAGHDSIVLEKGLPSCSLTQIRHAAVVCDSKNPEIHQALCKAKRLRTLILSPGGDTTEFPDQLFATSTFLRVLDMSASGLHYLVHSIGNCLCLRYLDLSYTPIRFLPYGTVEQLPFLQTLNLCGCINLKELPIIAKMMSLRHLDITGCESLTAMSPSFDALYKNYGRSFSRAAQHEKFFNSTFEPGFSSQLHILPTIVVGGFLDLMFLGRLNLQGELKIIHLENVNTSNDTENANLMEKDNLDSLGLYWGEKHDISILNPVQEDSKPPFLKREQHHSTGSSEQHQSDANAAEEVAKGLQPHQNLKMLVVKGYPGNRFPHWMLPNLTKVDLRDCPNCNYLPVLGNLLFLKTLILHGMPSLVHIGTEFYGEASGQLFPSLEELVLSDFLNLQEWLDPDGKDAFPKLSKLIVKKCPKLISMPLLASLEHLEIRKCSAVLFNSIKSASSLTVLAIEDVAEFSPSSEGIFVNNPLLSSLEIIACPGLCFLPSELGNLNALKSLKIHRCEELSSFQQGFQHFKALESLEICHCHCISIPEGIGSISSLRSLCIDNCDNLTSLPLSLKNLTCLEHLTIMNCRNLVSLPEDMHRLSALQSLTILSCSQVLSLPEELQHITTMH >Manes.03G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24683731:24688199:1 gene:Manes.03G120600.v8.1 transcript:Manes.03G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRARAALAAAPRSLLRLFSTNSTPSFIPPPTSAETSARQQADPNTNLFVSGLSKRTTSEGLSEAFSKFGEVVHARVVTDRVSGYSKGFGFVRYANLDAAAKGIEGMDGKFLDGWVIFAEYARPRPSPTPPGINAGPGYGSNTGPSYGSNRGPTYGNAAGSAFQSNTVPDYVNNAAGPAYHSNTSPDYGNATGPAPAYGNTTYGSNSTGPTFGSNVDPAYRSSTDPAYSNAPTYTYGNASGPSDGSSAGPTYSNTPTYENASGTSYGNMGPYGNDMGAPYGSR >Manes.09G092514.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27837976:27839441:1 gene:Manes.09G092514.v8.1 transcript:Manes.09G092514.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTMLAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.02G027900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2334765:2337919:1 gene:Manes.02G027900.v8.1 transcript:Manes.02G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISSSNKKPLLIGKKPLMLKDYLWDDLGSCSSSGFKSFPRRQCCTTIRFRLQIDLKTNTKHRRQQFFKTSTSSKAASTTISALQKASEAVINAVKLLPFNSSSSYSMKSSSPFVHTKSRKRGLLLPRKLSRMLFKKSFWKKADHIEREGNKNERWRLFREFLQERDEPSDHNINQNSTSPVTAAFTISRRSTSSSSNSNCQSWTDSEFTGNNNSTAESHSSQNAATEGQKDVAREKKVSEGVGVTGGQDSIIFSVEKNTKEWPSEVDKEQFSPVSVLDCPFEDEEETSSPFQRNLVRMEGAKYKFMAKNRKFGSLSQLDPLNLETQMELTGLEDDETHKSPAKARSLSVHNNMFIDVKQERSAHDLLKLVNGKISLNHPLVDDNVLLDFFKEKIMENKANNSTAEGYNKALEKELDVAQDWINGQPQEMFTGWEVKDSRIVYVRDMERKGKWRNFDEEKEELVLELELVIFTSLVNETILHLLS >Manes.18G021051.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2068460:2069549:1 gene:Manes.18G021051.v8.1 transcript:Manes.18G021051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGNSSGSSQLHNSGSEEDVQNLMDQRKRKRMQSNRESARRSRQKKQQHLDKLMSQVTELKRDNSQILTSINITTQHYLNVEAENSILRAQMVELNQRLESLNEILNYINTTNSVLETEDLQTSAAAAAADNFMNPLSLIYLNHPIMASPDFFQY >Manes.12G039300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3424208:3428728:-1 gene:Manes.12G039300.v8.1 transcript:Manes.12G039300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMIMEREKADESSGENSVVMENSATMIGPKGMIKQHEFVRVIIQSLYSLGYRKSASCLESESGISYKSVDLELLESQIPEGNWNICIDTLNGIKELVDETRASALFLVFKQCMLECLSCGDDSLALAVLRKKVPALLGRENICNLAYSLLNLKKKDLSNLDENSIFEQRRRLLTELEKLLPPPTVLPERRLEHLVETAVTAQIDSCMYHNSQEEVSLYEDHCCTREQIPTETIQILTEHENEVWFVQFSNNGEYLASSSSDCTAIIWKVMEGERLTFKRTLRSHQNPVSFVAWSPDDTKLLTCGNVEVLKLWDVETGTCKHTFGDHGFIVSSCAWFPDSKRLVCGSSDPEKGICMYDCDGNEIKAWRGTRMPKVLDLAVTPDGEHLISVFSDKDIRIFNLVTNAERVISEEHPITSLSVSINSKFFIVNLNSQEIHLWDVAGKWEKALRYKGHKQHKYVIRSCFGGLNSSFIASGSENSQVYIWNRRNPKPIEVLSGHLMTVNCVSWNPRRHQMLASASDDHTIRIWGPSQSKNIQHEKLI >Manes.12G039300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3424207:3428716:-1 gene:Manes.12G039300.v8.1 transcript:Manes.12G039300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMIMEREKADESSGENSVVMENSATMIGPKGMIKQHEFVRVIIQSLYSLGYRKSASCLESESGISYKSVDLELLESQIPEGNWNICIDTLNGIKELVDETRASALFLVFKQCMLECLSCGDDSLALAVLRKKVPALLGRENICNLAYSLLNLKKKDLSNLDENSIFEQRRRLLTELEKLLPPPTVLPERRLEHLVETAVTAQIDSCMYHNSQEEVSLYEDHCCTREQIPTETIQVMEGERLTFKRTLRSHQNPVSFVAWSPDDTKLLTCGNVEVLKLWDVETGTCKHTFGDHGFIVSSCAWFPDSKRLVCGSSDPEKGICMYDCDGNEIKAWRGTRMPKVLDLAVTPDGEHLISVFSDKDIRIFNLVTNAERVISEEHPITSLSVSINSKFFIVNLNSQEIHLWDVAGKWEKALRYKGHKQHKYVIRSCFGGLNSSFIASGSENSQVYIWNRRNPKPIEVLSGHLMTVNCVSWNPRRHQMLASASDDHTIRIWGPSQSKNIQHEKLI >Manes.12G039300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3424307:3428716:-1 gene:Manes.12G039300.v8.1 transcript:Manes.12G039300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDSLWRAWEMEFMIMEREKADESSGENSVVMENSATMIGPKGMIKQHEFVRVIIQSLYSLGYRKSASCLESESGISYKSVDLELLESQIPEGNWNICIDTLNGIKELVDETRASALFLVFKQCMLECLSCGDDSLALAVLRKKVPALLGRENICNLAYSLLNLKKKDLSNLDENSIFEQRRRLLTELEKLLPPPTVLPERRLEHLVETAVTAQIDSCMYHNSQEEVSLYEDHCCTREQIPTETIQILTEHENEVWFVQFSNNGEYLASSSSDCTAIIWKVMEGERLTFKRTLRSHQNPVSFVAWSPDDTKLLTCGNVEVLKLWDVETGTCKHTFGDHGFIVSSCAWFPDSKRLVCGSSDPEKGICMYDCDGNEIKAWRGTRMPKVLDLAVTPDGEHLISVFSDKDIRIFNLVTNAERVISEEHPITSLSVSINSKFFIVNLNSQEIHLWDVAGKWEKALRYKGHKQHKYVIRSCFGGLNSSFIASGSENSQVYIWNRRNPKPIEVLSGHLMTVNCVSWNPRRHQMLASASDDHTIRIWGPSQSKNIQHEKLI >Manes.02G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5279895:5283783:1 gene:Manes.02G069100.v8.1 transcript:Manes.02G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESICRNLRDGALEGEHAPALTIRDAIDSPFGFDVFAHVLSQLSSFILAGKSQSRGVVVVAFTRSPSFYVDLLKRRGVDVSSSHKWLRILDCYTDPLGWKDKLVDSGNLVDTSDEASCMTHLCKDVRDLDNLYSLILESGKGLVGQGKVHLSVAIDSVNAMLRHAPMSRVAGLLSNLRSHDQVSCIYWLLHSDLHEVRVTSSVEYISSMVANVEPLNQSARGQRWDLENIFMLKQNFGKGKLNVRFKRRNGRVRMMCEEFHIEQSGINFTPVSPEDGTINQGLLPKVQFSLQLSEKEQTERAKVVLPFEHQGNGKPIQIYDGRRSITENKNGATLVSTGNPQKNEASGEGEIIYFRDSDDEMPDSDEDPDDDLDI >Manes.15G175400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19321781:19360419:-1 gene:Manes.15G175400.v8.1 transcript:Manes.15G175400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIVEKKITIGVCVMEKKVKCGLEVLSAPMGRILERLQAFGEFEIIHFGDKVILEDPIESWPICDCLIAFYSTGYPLKKAEAYAALRKPYLVNELEPQHLLHDRRKVYQCLEAYGIPVPRYALVNREFPCQELDYFIEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPCEGLTRQGSGIIGTFGQSEELRCVITVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDASMLDGLDNASIEMEEAKARLNEIITSGAKNSNSNVSSEFPWMTDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEELIETNSYNVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVAELKSNQDQESTLAKSEEDGEYQSKFFIKSEDTRRASTTSDLSIDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLVNVLRYCNLDESLQGEDSLVCHSALERLHKTKELDYMSYIVLRMFENVEVALEDPKRFRIEMTYSRGADLSPLEKNDSEATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAAVLERLVNLWRFHKQDKHSTANGK >Manes.15G175400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19321832:19360416:-1 gene:Manes.15G175400.v8.1 transcript:Manes.15G175400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIVEKKITIGVCVMEKKVLSAPMGRILERLQAFGEFEIIHFGDKVILEDPIESWPICDCLIAFYSTGYPLKKAEAYAALRKPYLVNELEPQHLLHDRRKVYQCLEAYGIPVPRYALVNREFPCQELDYFIEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPCEGLTRQGSGIIGTFGQSEELRCVITVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDASMLDGLDNASIEMEEAKYERRGLKGQQQGLKCFFEARLNEIITSGAKNSNSNVSSEFPWMTDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEELIETNSYNVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVAELKSNQDQESTLAKSEEDGEYQSKFFIKSEDTRRASTTSDLSIDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLVNVLRYCNLDESLQGEDSLVCHSALERLHKTKELDYMSYIVLRMFENVEVALEDPKRFRIEMTYSRGADLSPLEFQKNDSEATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAAVLERLVNLWRFHKQDKHSTANGK >Manes.15G175400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19321832:19360416:-1 gene:Manes.15G175400.v8.1 transcript:Manes.15G175400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIVEKKITIGVCVMEKKVKCGLEVLSAPMGRILERLQAFGEFEIIHFGDKVILEDPIESWPICDCLIAFYSTGYPLKKAEAYAALRKPYLVNELEPQHLLHDRRKVYQCLEAYGIPVPRYALVNREFPCQELDYFIEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPCEGLTRQGSGIIGTFGQSEELRCVITVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDASMLDGLDNASIEMEEAKYERRGLKGQQQGLKCFFEARLNEIITSGAKNSNSNVSSEFPWMTDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEELIETNSYNVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVAELKSNQDQESTLAKSEEDGEYQSKFFIKSEDTRRASTTSDLSIDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLVNVLRYCNLDESLQGEDSLVCHSALERLHKTKELDYMSYIVLRMFENVEVALEDPKRFRIEMTYSRGADLSPLEKNDSEATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAAVLERLVNLWRFHKQDKHSTANGK >Manes.15G175400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19321781:19360419:-1 gene:Manes.15G175400.v8.1 transcript:Manes.15G175400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIVEKKITIGVCVMEKKVKCGLEVLSAPMGRILERLQAFGEFEIIHFGDKVILEDPIESWPICDCLIAFYSTGYPLKKAEAYAALRKPYLVNELEPQHLLHDRRKVYQCLEAYGIPVPRYALVNREFPCQELDYFIEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPCEGLTRQGSGIIGTFGQSEELRCVITVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDASMLDGLDNASIEMEEAKARLNEIITSGAKNSNSNVSSEFPWMTDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEELIETNSYNVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVAELKSNQDQESTLAKSEEDGEYQSKFFIKSEDTRRASTTSDLSIDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLVNVLRYCNLDESLQGEDSLVCHSALERLHKTKELDYMSYIVLRMFENVEVALEDPKRFRIEMTYSRGADLSPLEFQKNDSEATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAAVLERLVNLWRFHKQDKHSTANGK >Manes.15G175400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19321832:19360416:-1 gene:Manes.15G175400.v8.1 transcript:Manes.15G175400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIVEKKITIGVCVMEKKVKCGLEVLSAPMGRILERLQAFGEFEIIHFGDKVILEDPIESWPICDCLIAFYSTGYPLKKAEAYAALRKPYLVNELEPQHLLHDRRKVYQCLEAYGIPVPRYALVNREFPCQELDYFIEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPCEGLTRQGSGIIGTFGQSEELRCVITVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDASMLDGLDNASIEMEEAKYERRGLKGQQQGLKCFFEARLNEIITSGAKNSNSNVSSEFPWMTDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEELIETNSYNVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVAELKSNQDQESTLAKSEEDGEYQSKFFIKSEDTRRASTTSDLSIDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLVNVLRYCNLDESLQGEDSLVCHSALERLHKTKELDYMSYIVLRMFENVEVALEDPKRFRIEMTYSRGADLSPLEFQKNDSEATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAAVLERLVNLWRFHKQDKHSTANGK >Manes.15G175400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19321832:19360416:-1 gene:Manes.15G175400.v8.1 transcript:Manes.15G175400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIVEKKITIGVCVMEKKVLSAPMGRILERLQAFGEFEIIHFGDKVILEDPIESWPICDCLIAFYSTGYPLKKAEAYAALRKPYLVNELEPQHLLHDRRKVYQCLEAYGIPVPRYALVNREFPCQELDYFIEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPCEGLTRQGSGIIGTFGQSEELRCVITVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDASMLDGLDNASIEMEEAKYERRGLKGQQQGLKCFFEARLNEIITSGAKNSNSNVSSEFPWMTDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEELIETNSYNVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVAELKSNQDQESTLAKSEEDGEYQSKFFIKSEDTRRASTTSDLSIDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLVNVLRYCNLDESLQGEDSLVCHSALERLHKTKELDYMSYIVLRMFENVEVALEDPKRFRIEMTYSRGADLSPLEKNDSEATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAAVLERLVNLWRFHKQDKHSTANGK >Manes.15G175400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19321781:19360419:-1 gene:Manes.15G175400.v8.1 transcript:Manes.15G175400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIVEKKITIGVCVMEKKVLSAPMGRILERLQAFGEFEIIHFGDKVILEDPIESWPICDCLIAFYSTGYPLKKAEAYAALRKPYLVNELEPQHLLHDRRKVYQCLEAYGIPVPRYALVNREFPCQELDYFIEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPCEGLTRQGSGIIGTFGQSEELRCVITVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDASMLDGLDNASIEMEEAKARLNEIITSGAKNSNSNVSSEFPWMTDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEELIETNSYNVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVAELKSNQDQESTLAKSEEDGEYQSKFFIKSEDTRRASTTSDLSIDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLVNVLRYCNLDESLQGEDSLVCHSALERLHKTKELDYMSYIVLRMFENVEVALEDPKRFRIEMTYSRGADLSPLEKNDSEATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAAVLERLVNLWRFHKQDKHSTANGK >Manes.15G175400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19321781:19360419:-1 gene:Manes.15G175400.v8.1 transcript:Manes.15G175400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGIVEKKITIGVCVMEKKVLSAPMGRILERLQAFGEFEIIHFGDKVILEDPIESWPICDCLIAFYSTGYPLKKAEAYAALRKPYLVNELEPQHLLHDRRKVYQCLEAYGIPVPRYALVNREFPCQELDYFIEEEDFVEVHGNRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSAIPPTLPWKVNEPVQPCEGLTRQGSGIIGTFGQSEELRCVITVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAIQLQDLLDATRMLVPRTRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDASMLDGLDNASIEMEEAKARLNEIITSGAKNSNSNVSSEFPWMTDGAGLPPNASELLPKLVKLTKKVTEQVRLLAKDEDEELIETNSYNVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKERFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVAELKSNQDQESTLAKSEEDGEYQSKFFIKSEDTRRASTTSDLSIDQDDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLVNVLRYCNLDESLQGEDSLVCHSALERLHKTKELDYMSYIVLRMFENVEVALEDPKRFRIEMTYSRGADLSPLEFQKNDSEATSLHQEHTLPIMGPERLQEVGSYLTLEKMEKMIRPFAMPAEDFPPPATPAGFSGYFSKSAAVLERLVNLWRFHKQDKHSTANGK >Manes.06G155700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28221679:28224535:-1 gene:Manes.06G155700.v8.1 transcript:Manes.06G155700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAITAPLSRYFSTAKQLKIFGSSIQQHEFVPQIAAFTEDLCASILDQYPDIKTLKRLHCKVLTDDYLRWNSSLGIKLMRAYAACGEPGITRHIFDEITDKNVVFFNVMIRSYVNNNLYRDAFFVYKTMSSQGFDPDMYTFVCILKASSGSDNLWAGLQIHGAILKFGMDSNLFVGNGLVAMYGKCKCLKEARQMFDEMPCRDVVSWNSMVAGYAQNERFNEALELCREMEGSKLKPNACTMASLLPAAGNTSSDNVLYVKEMFLKLAKTSVISWNVMIAVYVNNTMPKEAVDLYLQMEANGIESDVVSIVSVLPACGDLSAVTQGRRIHEYAKRKRLLPNLLLENALIDMYAKCGCLKDARAVFDQMQFRDIVSWTSMISAYGMCGQGRDAVAVFSKMQESGLRPDSIAFVSVLAACSHAGLLDKGRYIFNLMSEYGIIPRLEHFACMVDLLGRAGNIDEAYDIIREMPLEPNERVWGALLSACRIYSNMDIGLLAADQLFQLVPEQSGYYVLLSNIYAKAGRWQDVAAIRSILQKKGLRKIPGISNVELNDQVHTFLAGDQFHPLSKEIYEELDVLVGKMKEFGYMPESGSALHDVEEEDKECHLAVHSEKLAIAFAIINTKPGTPIRVTKNLRVCGDCHVAAKLISKITKREIVIRDTHRFHHFHDGACSCGDY >Manes.04G132900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33363937:33365435:1 gene:Manes.04G132900.v8.1 transcript:Manes.04G132900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLISHHTNGRNYRAKGLDKKRVLQLVLLLGICMWLLYQVKSSHHQQESYNGNLQNLSERNVTVVLGRKGMAKQKNHINAVTESQDTSGSEEYERTKDGGVGEDEIDGNISAKSHFPMFDMANEASEIEIVDDNGENNHRVEAFLDENGIPPDASNLFNSTPYEPTDRTQES >Manes.04G132900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33363937:33365435:1 gene:Manes.04G132900.v8.1 transcript:Manes.04G132900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLISHHTNGRNYRAKGLDKKRVLQLVLLLGICMWLLYQVKSSHHQQESYNGNLQNLSERNVTVVLGRKGMAKQKNHINAVTESQDTSGSEEYERTKDGGVGEDEIDGNISAKSHFPMFDMANEASEIEIVDDNGENNHRVEAFLDENGIPPDASNLFNSTPYEPTDRTQES >Manes.04G132900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33363843:33365435:1 gene:Manes.04G132900.v8.1 transcript:Manes.04G132900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLISHHTNGRNYRAKGLDKKRVLQLVLLLGICMWLLYQVKSSHHQQESYNGNLQNLSERNVTVVLGRKGMAKQKNHINAVTESQDTSGSEEYERTKDGGVGEDEIDGNISAKSHFPMFDMANEASEIEIVDDNGENNHRVEAFLDENGIPPDASNLFNSTPYEPTDRTQES >Manes.04G132900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33363890:33365435:1 gene:Manes.04G132900.v8.1 transcript:Manes.04G132900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLISHHTNGRNYRAKGLDKKRVLQLVLLLGICMWLLYQVKSSHHQQESYNGNLQNLSERNVTVVLGRKGMAKQKNHINAVTESQDTSGSEEYERTKDGGVGEDEIDGNISAKSHFPMFDMANEASEIEIVDDNGENNHRVEAFLDENGIPPDASNLFNSTPYEPTDRTQES >Manes.04G132900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33363880:33365435:1 gene:Manes.04G132900.v8.1 transcript:Manes.04G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLISHHTNGRNYRAKGLDKKRVLQLVLLLGICMWLLYQVKSSHHQQESYNGNLQNLSERNVTVVLGRKGMAKQKNHINAVTESQDTSGSEEYERTKDGGVGEDEIDGNISAKSHFPMFDMANEASEIEIVDDNGENNHRVEAFLDENGIPPDASNLFNSTPYEPTDRTQES >Manes.06G174600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29813799:29826897:-1 gene:Manes.06G174600.v8.1 transcript:Manes.06G174600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSSTPLIVLFAVYGLFTVVVVDLVHAQNQTRPTTDPNEARALNSIFQQWDIPNLYKWNLNEELCVGEALDPSTPIDDNRYQLFIKCDCSDNNGTTCHITALKVYALDVVGVIPDELWTLTSLTYLNLAQNFLTGTLSPSIANLNRMQYLTLGINSLSGELPKELGLLTDLRSFGFGSNNFYGPLPSELGNCTKLEQIYFDSSGVSGEIPSSFANLKSLVTVWASDNELTGKIPDFIGSWSRLTNLRFEGNSFGGPIPPTLSNLTSLEELRISDLSNGSSSLSFIRDLKSLTTLVLRNDNISGPVPSNIGEYQNLTQLDLSFNNITGQIPDTLFNLSQLNFLFLGNNQLDGPLPAQKSASLLNIDVSYNNLSGSVPSWVNDQSLQVNLIANNFIIDLPNGGGLPSGLNCLQRNFPCLRGAPVYSEFAVKCGGPQITSSDRIVYERENETLGPATYYVTGTSRWGVSNVGYFTGRNNPQYKIFSSSQFANTLDSELFQTARVSASSLRYYGMGLENGNYTVKLQFSETAIEDGNTWRSLGRRVFDVYVQGNRVLKDFDIKKEAGGISKHAVEKDFTAQVSENYLEIHLFWAGKGTCCIPSQGTYGPSISAISAKLDFMPTVSKKYKAGVIVGIVVGVGTASFLLVLVVFFVIQRRKSESTYDDEELLGILDARPYTFSYAELKTAAEDFSHANKLGEGGFGPVYKGKLNDGRMIAVKQLSVTSHQGKSQFITEVATISAVQHRNLVKLYGCCIEGDNRLLVYEYLENKSLDQALFGERNLNLDWLTRYEICLGVARGLAYLHEESRLRIVHRDVKSSNILLDSDLIPKISDFGLAKLYDEKKTHISTHVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALEVVSGRPNSDSSLEEEKMYLLEWAWHLHENNREVELVDSNLQEFSEEEVKRLIKVALLCTQTSPNLRPSMSRVVAMLSGDIEVSSEISRPGYLTEWKFDDISGSFMDEIAHKGIDSSYYSLSTRTSMVVDTVHHHSSGTTPMLHDFIGDDR >Manes.06G174600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29815480:29826896:-1 gene:Manes.06G174600.v8.1 transcript:Manes.06G174600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSSTPLIVLFAVYGLFTVVVVDLVHAQNQTRPTTDPNEARALNSIFQQWDIPNLYKWNLNEELCVGEALDPSTPIDDNRYQLFIKCDCSDNNGTTCHITALKVYALDVVGVIPDELWTLTSLTYLNLAQNFLTGTLSPSIANLNRMQYLTLGINSLSGELPKELGLLTDLRSFGFGSNNFYGPLPSELGNCTKLEQIYFDSSGVSGEIPSSFANLKSLVTVWASDNELTGKIPDFIGSWSRLTNLRFEGNSFGGPIPPTLSNLTSLEELRISDLSNGSSSLSFIRDLKSLTTLVLRNDNISGPVPSNIGEYQNLTQLDLSFNNITGQIPDTLFNLSQLNFLFLGNNQLDGPLPAQKSASLLNIDVSYNNLSGSVPSWVNDQSLQVNLIANNFIIDLPNGGGLPSGLNCLQRNFPCLRGAPVYSEFAVKCGGPQITSSDRIVYERENETLGPATYYVTGTSRWGVSNVGYFTGRNNPQYKIFSSSQFANTLDSELFQTARVSASSLRYYGMGLENGNYTVKLQFSETAIEDGNTWRSLGRRVFDVYVQGNRVLKDFDIKKEAGGISKHAVEKDFTAQVSENYLEIHLFWAGKGTCCIPSQGTYGPSISAISAKLDFMPTVSKKYKAGVIVGIVVGVGTASFLLVLVVFFVIQRRKSESTYDDEELLGILDARPYTFSYAELKTAAEDFSHANKLGEGGFGPVYKGKLNDGRMIAVKQLSVTSHQGKSQFITEVATISAVQHRNLVKLYGCCIEGDNRLLVYEYLENKSLDQALFGERNLNLDWLTRYEICLGVARGLAYLHEESRLRIVHRDVKSSNILLDSDLIPKISDFGLAKLYDEKKTHISTHVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALEVVSGRPNSDSSLEEEKMYLLEWAWHLHENNREVELVDSNLQEFSEEEVKRLIKVALLCTQTSPNLRPSMSRVVAMLSGDIEVSSEISRPGYLTEWKFDDISGSFMDEIAHKGIDSSYYSLSTRTSMVVDTVHHHSSGTTPMLHDFIGDDR >Manes.06G174600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29813799:29826897:-1 gene:Manes.06G174600.v8.1 transcript:Manes.06G174600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSSTPLIVLFAVYGLFTVVVVDLVHAQNQTRPTTDPNEARALNSIFQQWDIPNLYKWNLNEELCVGEALDPSTPIDDNRYQLFIKCDCSDNNGTTCHITALKVYALDVVGVIPDELWTLTSLTYLNLAQNFLTGTLSPSIANLNRMQYLTLGINSLSGELPKELGLLTDLRSFGFGSNNFYGPLPSELGNCTKLEQIYFDSSGVSGEIPSSFANLKSLVTVWASDNELTGKIPDFIGSWSRLTNLRFEGNSFGGPIPPTLSNLTSLEELRISDLSNGSSSLSFIRDLKSLTTLVLRNDNISGPVPSNIGEYQNLTQLDLSFNNITGQIPDTLFNLSQLNFLFLGNNQLDGPLPAQKSASLLNIDVSYNNLSGSVPSWVNDQSLQVNLIANNFIIDLPNGGGLPSGLNCLQRNFPCLRGAPVYSEFAVKCGGPQITSSDRIVYERENETLGPATYYVTGTSRWGVSNVGYFTGRNNPQYKIFSSSQFANTLDSELFQTARVSASSLRYYGMGLENGNYTVKLQFSETAIEDGNTWRSLGRRVFDVYVQGNRVLKDFDIKKEAGGISKHAVEKDFTAQVSENYLEIHLFWAGKGTCCIPSQGTYGPSISAISAKLDFMPTVSKKYKAGVIVGIVVGVGTASFLLVLVVFFVIQRRKSESTYDDEELLGILDARPYTFSYAELKTAAEDFSHANKLGEGGFGPVYKGKLNDGRMIAVKQLSVTSHQGKSQFITEVATISAVQHRNLVKLYGCCIEGDNRLLVYEYLENKSLDQALFGERNLNLDWLTRYEICLGVARGLAYLHEESRLRIVHRDVKSSNILLDSDLIPKISDFGLAKLYDEKKTHISTHVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALEVVSGRPNSDSSLEEEKMYLLEWAWHLHENNREVELVDSNLQEFSEEEVKRLIKVALLCTQTSPNLRPSMSRVVAMLSGDIEVSSEISRPGYLTEWKFDDISGSFMDEIAHKGIDSSYYSLSTRTSMVVDTVHHHSSGTTPMLHDFIGDDR >Manes.06G174600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29814376:29826765:-1 gene:Manes.06G174600.v8.1 transcript:Manes.06G174600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSSSTPLIVLFAVYGLFTVVVVDLVHAQNQTRPTTDPNEARALNSIFQQWDIPNLYKWNLNEELCVGEALDPSTPIDDNRYQLFIKCDCSDNNGTTCHITALKVYALDVVGVIPDELWTLTSLTYLNLAQNFLTGTLSPSIANLNRMQYLTLGINSLSGELPKELGLLTDLRSFGFGSNNFYGPLPSELGNCTKLEQIYFDSSGVSGEIPSSFANLKSLVTVWASDNELTGKIPDFIGSWSRLTNLRFEGNSFGGPIPPTLSNLTSLEELRISDLSNGSSSLSFIRDLKSLTTLVLRNDNISGPVPSNIGEYQNLTQLDLSFNNITGQIPDTLFNLSQLNFLFLGNNQLDGPLPAQKSASLLNIDVSYNNLSGSVPSWVNDQSLQVNLIANNFIIDLPNGGGLPSGLNCLQRNFPCLRGAPVYSEFAVKCGGPQITSSDRIVYERENETLGPATYYVTGTSRWGVSNVGYFTGRNNPQYKIFSSSQFANTLDSELFQTARVSASSLRYYGMGLENGNYTVKLQFSETAIEDGNTWRSLGRRVFDVYVQGNRVLKDFDIKKEAGGISKHAVEKDFTAQVSENYLEIHLFWAGKGTCCIPSQGTYGPSISAISAKLDFMPTVSKKYKAGVIVGIVVGVGTASFLLVLVVFFVIQRRKSESTYDDEELLGILDARPYTFSYAELKTAAEDFSHANKLGEGGFGPVYKGKLNDGRMIAVKQLSVTSHQGKSQFITEVATISAVQHRNLVKLYGCCIEGDNRLLVYEYLENKSLDQALFGERNLNLDWLTRYEICLGVARGLAYLHEESRLRIVHRDVKSSNILLDSDLIPKISDFGLAKLYDEKKTHISTHVAGTFGYLAPEYAMRGHLTEKADVFAFGVVALEVVSGRPNSDSSLEEEKMYLLEWAWHLHENNREVELVDSNLQEFSEEEVKRLIKVALLCTQTSPNLRPSMSRVVAMLSGDIEVSSEISRPGYLTEWKFDDISGSFMDEIAHKGIDSSYYSLSTRTSMVVDTVHHHSSGTTPMLHDFIGDDR >Manes.06G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22709391:22711475:-1 gene:Manes.06G091800.v8.1 transcript:Manes.06G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVSSLAILVLAIVGVAIDGCHCKIVQFIFGDSLSDVGNNLYLSKSLAQASLPWYGIDFGNGLPNGRFTNGRTVADIIGDRAGLPRPPAFLDPSLTEDDILDNGVNYASGGGGILNETGGYFIQRLSLNKQIELFQGTQQLIINKIGQEEAQKFFQEARYVVALGSNDFINNYLMPVYSDSWKYNDRTFIDYLMETLEGQLKTLHSLGARQVMVFGLGPMGCIPLQRVLSTSGNCQDRTNKLAISFNQATSKLIDSLPTKLANASFKFGDAYDVVNDVITNPTKYGFKNSDSPCCSFGRIRPALTCVPASTLCKDRSVYVFWDEYHPSDSANALIANELIKKFGFLRVNDTNGPSSAPAPEG >Manes.03G066340.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7435297:7465001:-1 gene:Manes.03G066340.v8.1 transcript:Manes.03G066340.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTSKGVETVKKETSHGSSNEGNIAFEGLAEGSSVSNHSAENLEIKKFANDFLSLYCSELQSTATSPSKPKLNDHKRPGGQQLVTDEGMASKKRHCISIVSHSGGETATSIKKKTENKQSASIVQAEMPFTNASGGLAASLRKCTRASNSIVDMTECCTPGSSIVRACARETPRSMRGSSMFSPGEAFWNEAIQIADCLFAEDGNPLALTVEDLIKGSCGDKSRQVLDEASSKYRQMQNGHSLHLNGTYVSISTKEVSPLPVKHLDFLFEDKNLDASSPCFSTNKANVHKSGEQSECGSANIKSPKVVKMTTYNETQTKEEIHEVQGTTSVHAVTKSEADLRNQDTHNLTSSSLVQEVGNSVANNNCGDVGTPSSFELLKDRLDLGNWLPSEVCSIYKRRGISKLYPWQVDCLNVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVIITGKIALLVLPYVSICAEKAEHLESLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEIGIIVIDELHMVGDQSRGYLLELLLTKLRYASGEGNSESSSGESSGTSSKGDPAHGLQIVGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNTIYDKKMDIVRTIPRAADLGGKDPDHIVELCNEVVQEGHSVLIFCSSRKGCESTAKHISKFLKQFTVNIQNSSDFLDISSAIDALRRCPVGLDPTLEETFPSGVAYHHAGLTVEEREIIESCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILICKPEELKRIMGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFRDVVKSAQDSLRWLCHRKFLEWSDDTKLYSTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMELSPLDKSVGNRVGVLEPFLMRMAHGAPMRTLNRPTKNMKELHGKLETRHRNSNNNVISEEQSLRVCRRFYVALILSRLVQETPVAEVCEAFKVARGMVQALQENSGRFASMVSLFCERLGWYDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASISEIVRALFESTSWAAQEGSAQRRIQLGVAKKIKNGARKIVLDKAEEARAAAFSAFRSLGLSVPQFSQPSLLNASINVVEQGNTSKLSGDDTTNSFLCLEQRDHVSPVLCIEGGKNSAKVTLESGIKLMETKDVDLVAPVEVKSSDLVQQNCGFANSIMPFEKSLTLGTELDSTSNHNHNHTTSDHNPNEVVVLSVKSGQDGDGTEIHGINIHSDVHEQCSRESLCGGNMDSAWEKGPINASNTPGGFDSFLALWDTAQEFYFDLHYNKRSEVNSITPFEIHGMAICWENSPVYYVNLPKDLLWTENHRNALLDENWLEIVRHRWIRIGEIMGKREVKKFTWNLKIQIQVLKKAAVSIQSFGCMNLSKSLDLELLDSSHFLLSPINIKEGIDMCVVAWVLWPDEERSSNPNLEKEVKRRLPSEAVAAANRNGRWKNQMRRAAHNGCCRRVAQTRALCAVLWKLLVSEGLFEPLNNIEIPLVNVLAEMELCGIGVDMEGCLQARKVLGRKLRSLEKKAYELAGMTFSLYTAADIANVLYGHLKLPIPEGHGKGKLHPSTDKHCLDLLRNEHPIIQVIKEHRTLAKLLNCTLGSICSLARISMRTQKYTLHGHWLQTSTSTGRLSMEEPNLQCVEHMVEFSMGVDENGRDDDCNHYKINARDFFVPTQDNWLLLTADYSQIELRLMAHFSKDASLIELLSNPHGDVFTMMAARWTGKPEDSVASDERDQTKRLVYGILYGMGANTLAEQLNCSSEEAAEKIKSFKGSFPGVALWLLEAVGSCRRNGYVETLMGRKRFLSKIKYGNSKEKSKAQRQAVNSICQGSAADIIKIAMINIYSVIVGVDCPESSSSLATKFHMLKQRCRILLQVHDELVLETDPSVIEEAASLLQISMESAASLLVPLQVKLKVGRTWGSLKPFEVGQCKMEVLVLDS >Manes.03G066340.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7435297:7465342:-1 gene:Manes.03G066340.v8.1 transcript:Manes.03G066340.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSPRTRIDQFFASKKRNSISPGLKHGRVEKHARIAEEGSPSAKGTLDNYLVNSQDPNHDAKLSSTACGLLARQDAVKRNLSLEINNISKEENKDLSSLAKMESQTSKGVETVKKETSHGSSNEGNIAFEGLAEGSSVSNHSAENLEIKKFANDFLSLYCSELQSTATSPSKPKLNDHKRPGGQQLVTDEGMASKKRHCISIVSHSGGETATSIKKKTENKQSASIVQAEMPFTNASGGLAASLRKCTRASNSIVDMTECCTPGSSIVRACARETPRSMRGSSMFSPGEAFWNEAIQIADCLFAEDGNPLALTVEDLIKGSCGDKSRQVLDEASSKYRQMQNGHSLHLNGTYVSISTKEVSPLPVKHLDFLFEDKNLDASSPCFSTNKANVHKSGEQSECGSANIKSPKVVKMTTYNETQTKEEIHEVQGTTSVHAVTKSEADLRNQDTHNLTSSSLVQEVGNSVANNNCGDVGTPSSFELLKDRLDLGNWLPSEVCSIYKRRGISKLYPWQVDCLNVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVIITGKIALLVLPYVSICAEKAEHLESLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEIGIIVIDELHMVGDQSRGYLLELLLTKLRYASGEGNSESSSGESSGTSSKGDPAHGLQIVGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNTIYDKKMDIVRTIPRAADLGGKDPDHIVELCNEVVQEGHSVLIFCSSRKGCESTAKHISKFLKQFTVNIQNSSDFLDISSAIDALRRCPVGLDPTLEETFPSGVAYHHAGLTVEEREIIESCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILICKPEELKRIMGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFRDVVKSAQDSLRWLCHRKFLEWSDDTKLYSTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMELSPLDKSVGNRVGVLEPFLMRMAHGAPMRTLNRPTKNMKELHGKLETRHRNSNNNVISEEQSLRVCRRFYVALILSRLVQETPVAEVCEAFKVARGMVQALQENSGRFASMVSLFCERLGWYDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASISEIVRALFESTSWAAQEGSAQRRIQLGVAKKIKNGARKIVLDKAEEARAAAFSAFRSLGLSVPQFSQPSLLNASINVVEQGNTSKLSGDDTTNSFLCLEQRDHVSPVLCIEGGKNSAKVTLESGIKLMETKDVDLVAPVEVKSSDLVQQNCGFANSIMPFEKSLTLGTELDSTSNHNHNHTTSDHNPNEVVVLSVKSGQDGDGTEIHGINIHSDVHEQCSRESLCGGNMDSAWEKGPINASNTPGGFDSFLALWDTAQEFYFDLHYNKRSEVNSITPFEIHGMAICWENSPVYYVNLPKDLLWTENHRNALLDENWLEIVRHRWIRIGEIMGKREVKKFTWNLKIQIQVLKKAAVSIQSFGCMNLSKSLDLELLDSSHFLLSPINIKEGIDMCVVAWVLWPDEERSSNPNLEKEVKRRLPSEAVAAANRNGRWKNQMRRAAHNGCCRRVAQTRALCAVLWKLLVSEGLFEPLNNIEIPLVNVLAEMELCGIGVDMEGCLQARKVLGRKLRSLEKKAYELAGMTFSLYTAADIANVLYGHLKLPIPEGHGKGKLHPSTDKHCLDLLRNEHPIIQVIKEHRTLAKLLNCTLGSICSLARISMRTQKYTLHGHWLQTSTSTGRLSMEEPNLQCVEHMVEFSMGVDENGRDDDCNHYKINARDFFVPTQDNWLLLTADYSQIELRLMAHFSKDASLIELLSNPHGDVFTMMAARWTGKPEDSVASDERDQTKRLVYGILYGMGANTLAEQLNCSSEEAAEKIKSFKGSFPGVALWLLEAVGSCRRNGYVETLMGRKRFLSKIKYGNSKEKSKAQRQAVNSICQGSAADIIKIAMINIYSVIVGVDCPESSSSLATKFHMLKQRCRILLQVHDELVLETDPSVIEEAASLLQISMESAASLLVPLQVKLKVGRTWGSLKPFEVGQCKMEVLVLDS >Manes.03G066340.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7435075:7465001:-1 gene:Manes.03G066340.v8.1 transcript:Manes.03G066340.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQTSKGVETVKKETSHGSSNEGNIAFEGLAEGSSVSNHSAENLEIKKFANDFLSLYCSELQSTATSPSKPKLNDHKRPGGQQLVTDEGMASKKRHCISIVSHSGGETATSIKKKTENKQSASIVQAEMPFTNASGGLAASLRKCTRASNSIVDMTECCTPGSSIVRACARETPRSMRGSSMFSPGEAFWNEAIQIADCLFAEDGNPLALTVEDLIKGSCGDKSRQVLDEASSKYRQMQNGHSLHLNGTYVSISTKEVSPLPVKHLDFLFEDKNLDASSPCFSTNKANVHKSGEQSECGSANIKSPKVVKMTTYNETQTKEEIHEVQGTTSVHAVTKSEADLRNQDTHNLTSSSLVQEVGNSVANNNCGDVGTPSSFELLKDRLDLGNWLPSEVCSIYKRRGISKLYPWQVDCLNVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVIITGKIALLVLPYVSICAEKAEHLESLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEIGIIVIDELHMVGDQSRGYLLELLLTKLRYASGEGNSESSSGESSGTSSKGDPAHGLQIVGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNTIYDKKMDIVRTIPRAADLGGKDPDHIVELCNEVVQEGHSVLIFCSSRKGCESTAKHISKFLKQFTVNIQNSSDFLDISSAIDALRRCPVGLDPTLEETFPSGVAYHHAGLTVEEREIIESCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILICKPEELKRIMGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFRDVVKSAQDSLRWLCHRKFLEWSDDTKLYSTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMELSPLDKSVGNRVGVLEPFLMRMAHGAPMRTLNRPTKNMKELHGKLETRHRNSNNNVISEEQSLRVCRRFYVALILSRLVQETPVAEVCEAFKVARGMVQALQENSGRFASMVSLFCERLGWYDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASISEIVRALFESTSWAAQEGSAQRRIQLGVAKKIKNGARKIVLDKAEEARAAAFSAFRSLGLSVPQFSQPSLLNASINVVEQGNTSKLSGDDTTNSFLCLEQRDHVSPVLCIEGGKNSAKVTLESGIKLMETKDVDLVAPVEVKSSDLVQQNCGFANSIMPFEKSLTLGTELDSTSNHNHNHTTSDHNPNEVVVLSVKSGQDGDGTEIHGINIHSDVHEQCSRESLCGGNMDSAWEKGPINASNTPGGFDSFLALWDTAQEFYFDLHYNKRSEVNSITPFEIHGMAICWENSPVYYVNLPKDLLWTENHRNALLDENWLEIVRHRWIRIGEIMGKREVKKFTWNLKIQIQVLKKAAVSIQSFGCMNLSKSLDLELLDSSHFLLSPINIKEGIDMCVVAWVLWPDEERSSNPNLEKEVKRRLPSEAVAAANRNGRWKNQMRRAAHNGCCRRVAQTRALCAVLWKLLVSEGLFEPLNNIEIPLVNVLAEMELCGIGVDMEGCLQARKVLGRKLRSLEKKAYELAGMTFSLYTAADIANVLYGHLKLPIPEGHGKGKLHPSTDKHCLDLLRNEHPIIQVIKEHRTLAKLLNCTLGSICSLARISMRTQKYTLHGHWLQTSTSTGRLSMEEPNLQCVEHMVEFSMGVDENGRDDDCNHYKINARDFFVPTQDNWLLLTADYSQIELRLMAHFSKDASLIELLSNPHGDVFTMMAARWTGKPEDSVASDERDQTKRLVYGILYGMGANTLAEQLNCSSEEAAEKIKSFKGSFPGVALWLLEAVGSCRRNGYVETLMGRKRFLSKIKYGNSKEKSKAQRQAVNSICQGSAADIIKIAMINIYSVIVGVDCPESSSSLATKFHMLKQRCRILLQVHDELVLETDPSVIEEAASLLQISMESAASLLVPLQVKLKVGRTWGSLKPFEVGQCKMEVLVLDS >Manes.03G066340.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7435297:7465342:-1 gene:Manes.03G066340.v8.1 transcript:Manes.03G066340.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSPRTRIDQFFASKKRNSISPGLKHGRVEKHARIAEEGSPSAKGTLDNYLVNSQDPNHDAKLSSTACGLLARQDAVKRNLSLEINNISKEENKDLSSLAKMESQTSKGVETVKKETSHGSSNEGNIAFEGLAEGSSVSNHSAENLEIKKFANDFLSLYCSELQSTATSPSKPKLNDHKRPGGQQLVTDEGMASKKRHCISIVSHSGGETATSIKKKTENKQSASIVQAEMPFTNASGGLAASLRKCTRASNSIVDMTECCTPGSSIVRACARETPRSMRGSSMFSPGEAFWNEAIQIADCLFAEDGNPLALTVEDLIKGSCGDKSRQVLDEASSKYRQMQNGHSLHLNGTYVSISTKEVSPLPVKHLDFLFEDKNLDASSPCFSTNKANVHKSGEQSECGSANIKSPKVVKMTTYNETQTKEEIHEVQGTTSVHAVTKSEADLRNQDTHNLTSSSLVQEVGNSVANNNCGDVGTPSSFELLKDRLDLGNWLPSEVCSIYKRRGISKLYPWQVDCLNVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVIITGKIALLVLPYVSICAEKAEHLESLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEIGIIVIDELHMVGDQSRGYLLELLLTKLRYASGEGNSESSSGESSGTSSKGDPAHGLQIVGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNTIYDKKMDIVRTIPRAADLGGKDPDHIVELCNEVVQEGHSVLIFCSSRKGCESTAKHISKFLKQFTVNIQNSSDFLDISSAIDALRRCPVGLDPTLEETFPSGVAYHHAGLTVEEREIIESCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILICKPEELKRIMGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFRDVVKSAQDSLRWLCHRKFLEWSDDTKLYSTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMELSPLDKSVGNRVGVLEPFLMRMAHGAPMRTLNRPTKNMKELHGKLETRHRNSNNNVISEEQSLRVCRRFYVALILSRLVQETPVAEVCEAFKVARGMVQALQENSGRFASMVSLFCERLGWYDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASISEIVRALFESTSWAAQEGSAQRRIQLGVAKKIKNGARKIVLDKAEEARAAAFSAFRSLGLSVPQFSQPSLLNASINVVEQGNTSKLSGDDTTNSFLCLEQRDHVSPVLCIEGGKNSAKVTLESGIKLMETKDVDLVAPVEVKSSDLVQQNCGFANSIMPFEKSLTLGTELDSTSNHNHNHTTSDHNPNEVVVLSVKSGQDGDGTEIHGINIHSDVHEQCSRESLCGGNMDSAWEKGPINASNTPGGFDSFLALWDTAQEFYFDLHYNKRSEVNSITPFEIHGMAICWENSPVYYVNLPKDLLWTENHRNALLDENWLEIVRHRWIRIGEIMGKREVKKFTWNLKIQIQVLKKAAVSIQSFGCMNLSKSLDLELLDSSHFLLSPINIKEGIDMCVVAWVLWPDEERSSNPNLEKEVKRRLPSEAVAAANRNGRWKNQMRRAAHNGCCRRVAQTRALCAVLWKLLVSEGLFEPLNNIEIPLVNVLAEMELCGIGVDMEGCLQARKVLGRKLRSLEKKAYELAGMTFSLYTAADIANVLYGHLKLPIPEGHGKGKLHPSTDKHCLDLLRNEHPIIQVIKEHRTLAKLLNCTLGSICSLARISMRTQKYTLHGHWLQTSTSTGRLSMEEPNLQCVEHMVEFSMGVDENGRDDDCNHYKINARDFFVPTQDNWLLLTADYSQIELRLMAHFSKDASLIELLSNPHGDVFTMMAARWTGKPEDSVASDERDQTKRLVYGILYGMGANTLAEQLNCSSEEAAEKIKSFKGSFPGVALWLLEAVGSCRRNGYMMN >Manes.03G066340.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7435075:7465342:-1 gene:Manes.03G066340.v8.1 transcript:Manes.03G066340.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSPRTRIDQFFASKKRNSISPGLKHGRVEKHARIAEEGSPSAKGTLDNYLVNSQDPNHDAKLSSTACGLLARQDAVKRNLSLEINNISKEENKDLSSLAKMESQTSKGVETVKKETSHGSSNEGNIAFEGLAEGSSVSNHSAENLEIKKFANDFLSLYCSELQSTATSPSKPKLNDHKRPGGQQLVTDEGMASKKRHCISIVSHSGGETATSIKKKTENKQSASIVQAEMPFTNASGGLAASLRKCTRASNSIVDMTECCTPGSSIVRACARETPRSMRGSSMFSPGEAFWNEAIQIADCLFAEDGNPLALTVEDLIKGSCGDKSRQVLDEASSKYRQMQNGHSLHLNGTYVSISTKEVSPLPVKHLDFLFEDKNLDASSPCFSTNKANVHKSGEQSECGSANIKSPKVVKMTTYNETQTKEEIHEVQGTTSVHAVTKSEADLRNQDTHNLTSSSLVQEVGNSVANNNCGDVGTPSSFELLKDRLDLGNWLPSEVCSIYKRRGISKLYPWQVDCLNVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVIITGKIALLVLPYVSICAEKAEHLESLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEIGIIVIDELHMVGDQSRGYLLELLLTKLRYASGEGNSESSSGESSGTSSKGDPAHGLQIVGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNTIYDKKMDIVRTIPRAADLGGKDPDHIVELCNEVVQEGHSVLIFCSSRKGCESTAKHISKFLKQFTVNIQNSSDFLDISSAIDALRRCPVGLDPTLEETFPSGVAYHHAGLTVEEREIIESCYRKGLLRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESILICKPEELKRIMGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFRDVVKSAQDSLRWLCHRKFLEWSDDTKLYSTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVEVEPDWELYYERFMELSPLDKSVGNRVGVLEPFLMRMAHGAPMRTLNRPTKNMKELHGKLETRHRNSNNNVISEEQSLRVCRRFYVALILSRLVQETPVAEVCEAFKVARGMVQALQENSGRFASMVSLFCERLGWYDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARSLYKAGLRTPLAIAEASISEIVRALFESTSWAAQEGSAQRRIQLGVAKKIKNGARKIVLDKAEEARAAAFSAFRSLGLSVPQFSQPSLLNASINVVEQGNTSKLSGDDTTNSFLCLEQRDHVSPVLCIEGGKNSAKVTLESGIKLMETKDVDLVAPVEVKSSDLVQQNCGFANSIMPFEKSLTLGTELDSTSNHNHNHTTSDHNPNEVVVLSVKSGQDGDGTEIHGINIHSDVHEQCSRESLCGGNMDSAWEKGPINASNTPGGFDSFLALWDTAQEFYFDLHYNKRSEVNSITPFEIHGMAICWENSPVYYVNLPKDLLWTENHRNALLDENWLEIVRHRWIRIGEIMGKREVKKFTWNLKIQIQVLKKAAVSIQSFGCMNLSKSLDLELLDSSHFLLSPINIKEGIDMCVVAWVLWPDEERSSNPNLEKEVKRRLPSEAVAAANRNGRWKNQMRRAAHNGCCRRVAQTRALCAVLWKLLVSEGLFEPLNNIEIPLVNVLAEMELCGIGVDMEGCLQARKVLGRKLRSLEKKAYELAGMTFSLYTAADIANVLYGHLKLPIPEGHGKGKLHPSTDKHCLDLLRNEHPIIQVIKEHRTLAKLLNCTLGSICSLARISMRTQKYTLHGHWLQTSTSTGRLSMEEPNLQCVEHMVEFSMGVDENGRDDDCNHYKINARDFFVPTQDNWLLLTADYSQIELRLMAHFSKDASLIELLSNPHGDVFTMMAARWTGKPEDSVASDERDQTKRLVYGILYGMGANTLAEQLNCSSEEAAEKIKSFKGSFPGVALWLLEAVGSCRRNGYVETLMGRKRFLSKIKYGNSKEKSKAQRQAVNSICQGSAADIIKIAMINIYSVIVGVDCPESSSSLATKFHMLKQRCRILLQVHDELVLETDPSVIEEAASLLQISMESAASLLVPLQVKLKVGRTWGSLKPFEVGQCKMEVLVLDS >Manes.14G174300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:29116628:29118658:1 gene:Manes.14G174300.v8.1 transcript:Manes.14G174300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFLHSLFYIRDIIILDPAPATATMKILLFFHLLTTTMYFSSYNISISSPSVIFLKGRRSMREQRILPLHRNVQDKNKAFDEETKQMTIQEHVRVRAHQHLSNNDLDLVYHIDYQGVTTHPIPTPKHPKP >Manes.11G009600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1022039:1024575:1 gene:Manes.11G009600.v8.1 transcript:Manes.11G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQEELAAQLEAQKILESDKPVAEDVVEDDDDDDDEEDDDDDKDEDEAEGQQDGDASGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPETSTMAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTN >Manes.06G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23878392:23880503:1 gene:Manes.06G106200.v8.1 transcript:Manes.06G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLITLTYFSTLMLHLASANVVHVNPCRSYCGNITIDYPFSLQYGCGHPGFRDLLFCMNDVLMFHISSGSYRVLEIDYAYKSLTLHEPHLSTCDTIILGCKGNGFSVEPWRSPYFNPTADNVFMLIGCSAQSPLFQGFPEKHLPCRNVSGMGCEEYFGCPAWSVVGHRQVGSKLGSGQPPECCAVAFEAIKAINLSKLECEGYSSAYSLAPLRIAGPSEWSYGIRVRYSVQGNEEFCRACEATGGACGYGSDGVRQLCMCENFNSTSNCDSVSSATSSRTWYRGSTLAGYLLGMLAWVASNPS >Manes.01G235900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39837101:39840059:1 gene:Manes.01G235900.v8.1 transcript:Manes.01G235900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCHCFTGVGHSSFLLILSMYTIEDGDYPDCDGMMMLSSGLSFESFDADEELSDDEEGIGMLDLSSDSDKEVGCYSLPATPPRRRNRGGLVKKQLVGVKEYASENEARKGKMRKIMGSRRAVREILVDGDNESKKKDEGAGMGNYYGHCNSFSGESEGGGLVVITRPKGGRRSLCMDYEEVKACRDLGFELEHERMLEMPSRVSLSGSTLETSSGGNSPIANWRISSPGDDPREVKARLKVWAQAVALASTSRHGGF >Manes.01G235900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39837101:39840059:1 gene:Manes.01G235900.v8.1 transcript:Manes.01G235900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAESTNLLSSSSSSSSSATMLEDNLSRLSLCTSSMYTIEDGDYPDCDGMMMLSSGLSFESFDADEELSDDEEGIGMLDLSSDSDKEVGCYSLPATPPRRRNRGGLVKKQLVGVKEYASENEARKGKMRKIMGSRRAVREILVDGDNESKKKDEGAGMGNYYGHCNSFSGESEGGGLVVITRPKGGRRSLCMDYEEVKACRDLGFELEHERMLEMPSRVSLSGSTLETSSGGNSPIANWRISSPGDDPREVKARLKVWAQAVALASTSRHGGF >Manes.02G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9112820:9118169:-1 gene:Manes.02G119100.v8.1 transcript:Manes.02G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRMCMCFIRKFKVVEAGPPPDVKDHFKKYTDGATHMTAEQLRNFLVDVQADSNASIADAEKIIEQILNKRHHIAKFTRHTLTLDDFHHYLFSADLNPPIRENQVHQDMTKPLSHYFIYTGHNSYLTGNQLSSDCSDIPIINALKRGVRVIELDIWPNSTKDDIHVLHGRTLTTPVELIRCLTSIKEHAFSFSPYPVIITLEDHLTPYLQAKAAQIIIETFDDMLYYPECECLEEFPSPEDLKHRIIISTKPPKKYHKSESVKIKGNKSQKDKDSDDDVWGKEPKKYHKSESVKIKGNKSQKDKDSDDDVWGKEPSDLGPGQEDSDSSDSDSSEASDDELNHVGVDAYKRLIAIHAGKPKGGLKEALKVDPNKIRRLSLSEKALEKATENHGMDVIRFTQKNVLRVYPKGTRFNSSNYKPLIAWMHGAQMVAFNMQGYGRALWLMHGMFRANGGCGYVEKPDFLMNVGSNGEIFNPKAKLSVKKTLKVKVYMGDGWHLDFKQTHFDLYSPPDFYTRVGIAGVPDDVKMEKTKIKEDNWTPVWGEEFTFPLTVPEIALLRIEVHEYDMSEKDDFAGQICFPVSEIKQGIRAVSLFDRKGKKLNSARLLMRFEFV >Manes.S022652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2014852:2014974:1 gene:Manes.S022652.v8.1 transcript:Manes.S022652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.08G164500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39952170:39954225:1 gene:Manes.08G164500.v8.1 transcript:Manes.08G164500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGAGKSTIGEMLAKVLNCRFLDADDFHSQSNKAKMNQGIPLSDEDRLPWLESLRDALRESLAGGETVVLGCSSLQKQYREILRSADPNYKLGSYISTVKFVLLDAKAEVLAERLNKRAAEGKHFMPATLLQSQLDLLQIDESEGIFKVDATSSPQAIVNDIRSFDFMINST >Manes.08G164500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39952126:39954473:1 gene:Manes.08G164500.v8.1 transcript:Manes.08G164500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPKGKAIVIMGVSGAGKSTIGEMLAKVLNCRFLDADDFHSQSNKAKMNQGIPLSDEDRLPWLESLRDALRESLAGGETVVLGCSSLQKQYREILRSADPNYKLGSYISTVKFVLLDAKAEVLAERLNKRAAEGKHFMPATLLQSQLDLLQIDESEGIFKVDATSSPQAIVNDIRSFDFMINST >Manes.08G164500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39952070:39954459:1 gene:Manes.08G164500.v8.1 transcript:Manes.08G164500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPKGKAIVIMGVSGAGKSTIGEMLAKVLNCRFLDADDFHSQSNKAKMNQGIPLSDEDRLPWLESLRDALRESLAGGETVVLGCSSLQKQYREILRSADPNYKLGSYISTVKFVLLDAKAEVLAERLNKRAAEGKHFMPATLLQSQLDLLQIDESEGIFKVDATSSPQAIVNDIRSFDFMINST >Manes.08G164500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39952017:39954473:1 gene:Manes.08G164500.v8.1 transcript:Manes.08G164500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPKGKAIVIMGVSGAGKSTIGEMLAKVLNCRFLDADDFHSQSNKAKMNQGIPLSDEDRLPWLESLRDALRESLAGGETVVLGCSSLQKQYREILRSADPNYKLGSYISTVKFVLLDAKAEVLAERLNKRAAEGKHFMPATLLQSQLDLLQIDESEGIFKVDATSSPQAIVNDIRSFDFMINST >Manes.08G164500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39952104:39954225:1 gene:Manes.08G164500.v8.1 transcript:Manes.08G164500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDSTIGEMLAKVLNCRFLDADDFHSQSNKAKMNQGIPLSDEDRLPWLESLRDALRESLAGGETVVLGCSSLQKQYREILRSADPNYKLGSYISTVKFVLLDAKAEVLAERLNKRAAEGKHFMPATLLQSQLDLLQIDESEGIFKVDATSSPQAIVNDIRSFDFMINST >Manes.15G143600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11772001:11783013:-1 gene:Manes.15G143600.v8.1 transcript:Manes.15G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDLVTRLREILRTSDLDTATAGSVRRKLEEDLGVDLSDRKKFIREQIDIYLETLQNEHKDEDEEENMPVDVNQNEEGNEIDAVEEEGEEEEEEEEEEEESEERGTKRKRSNKVEKVGKKRGGGFTKLCALSPRLQKFVGVPELARTEVVKKLWAYIRENNLQDPKNKRNINCDEALRDLFRVNTINMFQMNKALSKHIRPLTAEAGCLYFLQFQLQLPQTGFHIELPLYFIYEHEKLKEKGEDGSNSVSESDDPAEEQEVEEEEEEAVEKEGTVQSNKGGGSSKADKDVKKRGGGFTKLCSLSPQLQAFTGVPELARTEVVKKLWAYIREKDLQDPNNKRNIICDESLRALFRVDSINMFQMNKELSKHIGPLNEEDGTSPDNSIQKETRCKQGREEGSDELKQKEKRQKKGASGLLVPLPLSDALVKFFGTGESTLSRADVVKRMWEYIKQHDLQDPSDKRRIICDEKLKELFEVDSFNGFSVSKLLTSHFIKMEQ >Manes.15G143600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11772001:11783013:-1 gene:Manes.15G143600.v8.1 transcript:Manes.15G143600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDLVTRLREILRTSDLDTATAGSVRRKLEEDLGVDLSDRKKFIREQIDIYLETLQNEHKDEDEEENMPVDVNQNEEGNEIDAVEEEGEEEEEEEEEEEESEERGTKRKRSNKVEKVGKKRGGGFTKLCALSPRLQKFVGVPELARTEVVKKLWAYIRENNLQDPKNKRNINCDEALRDLFRVNTINMFQMNKALSKHIRPLTAEAGCLYFLQFQLQLPQTGFHIELPLYFIYEHEKLKEKGEDGSNSVSESDDPAEEQEVEEEEEEAVEKEGTVQSNKGGGSSKADKDVKKRGGGFTKLCSLSPQLQAFTGVPELARTEVVKKLWAYIREKDLQDPNNKRNIICDESLRALFRVDSINMFQMNKELSKHIGPLNEEDGTSPDNSIQKETRCKQGREEDELKQKEKRQKKGASGLLVPLPLSDALVKFFGTGESTLSRADVVKRMWEYIKQHDLQDPSDKRRIICDEKLKELFEVDSFNGFSVSKLLTSHFIKMEQ >Manes.15G143600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11772001:11783013:-1 gene:Manes.15G143600.v8.1 transcript:Manes.15G143600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDLVTRLREILRTSDLDTATAGSVRRKLEEDLGVDLSDRKKFIREQIDIYLETLQNEHKDEDEEENMPVDVNQNEEGNEIDAVEEEGEEEEEEEEEEEESEERGTKRKRSNKVEKVGKKRGGGFTKLCALSPRLQKFVGVPELARTEVVKKLWAYIRENNLQDPKNKRNINCDEALRDLFRVNTINMFQMNKALSKHIRPLTAEAEHEKLKEKGEDGSNSVSESDDPAEEQEVEEEEEEAVEKEGTVQSNKGGGSSKADKDVKKRGGGFTKLCSLSPQLQAFTGVPELARTEVVKKLWAYIREKDLQDPNNKRNIICDESLRALFRVDSINMFQMNKELSKHIGPLNEEDGTSPDNSIQKETRCKQGREEDELKQKEKRQKKGASGLLVPLPLSDALVKFFGTGESTLSRADVVKRMWEYIKQHDLQDPSDKRRIICDEKLKELFEVDSFNGFSVSKLLTSHFIKMEQ >Manes.15G143600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11772001:11783013:-1 gene:Manes.15G143600.v8.1 transcript:Manes.15G143600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDLVTRLREILRTSDLDTATAGSVRRKLEEDLGVDLSDRKKFIREQIDIYLETLQNEHKDEDEEENMPVDVNQNEEGNEIDAVEEEGEEEEEEEEEEEESEERGTKRKRSNKVEKVGKKRGGGFTKLCALSPRLQKFVGVPELARTEVVKKLWAYIRENNLQDPKNKRNINCDEALRDLFRVNTINMFQMNKALSKHIRPLTAEAGCLYFLQFQLQLPQTGFHIELPLYFIYEHEKLKEKGEDGSNSVSESDDPAEEQEVEEEEEEAVEKEGTVQSNKGGGSSKADKDVKKRGGGFTKLCSLSPQLQAFTGVPELARTEVVKKLWAYIREKDLQDPNNKRNIICDESLRALFRVDSINMFQMNKELSKHIGPLNEEDAPDNSIQKETRCKQGREEDELKQKEKRQKKGASGLLVPLPLSDALVKFFGTGESTLSRADVVKRMWEYIKQHDLQDPSDKRRIICDEKLKELFEVDSFNGFSVSKLLTSHFIKMEQ >Manes.15G143600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11772001:11783013:-1 gene:Manes.15G143600.v8.1 transcript:Manes.15G143600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDLVTRLREILRTSDLDTATAGSVRRKLEEDLGVDLSDRKKFIREQIDIYLETLQNEHKDEDEEENMPVDVNQNEEGNEIDAVEEEGEEEEEEEEEEEESEERGTKRKRSNKVEKVGKKRGGGFTKLCALSPRLQKFVGVPELARTEVVKKLWAYIRENNLQDPKNKRNINCDEALRDLFRVNTINMFQMNKALSKHIRPLTAEAGCLYFLQFQLQLPQTGFHIELPLYFIYEHEKLKEKGEDGSNSVSESDDPAEEQEVEEEEEEAVEKEGTVQSNKGGGSSKADKDVKKRGGGFTKLCSLSPQLQAFTGVPELARTEVVKKLWAYIREKDLQDPNNKRNIICDESLRALFRVDSINMFQMNKELSKHIGPLNEEDAPDNSIQKETRCKQGREEGSDELKQKEKRQKKGASGLLVPLPLSDALVKFFGTGESTLSRADVVKRMWEYIKQHDLQDPSDKRRIICDEKLKELFEVDSFNGFSVSKLLTSHFIKMEQ >Manes.15G143600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11772001:11783013:-1 gene:Manes.15G143600.v8.1 transcript:Manes.15G143600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDLVTRLREILRTSDLDTATAGSVRRKLEEDLGVDLSDRKKFIREQIDIYLETLQNEHKDEDEEENMPVDVNQNEEGNEIDAVEEEGEEEEEEEEEEEESEERGTKRKRSNKVEKVGKKRGGGFTKLCALSPRLQKFVGVPELARTEVVKKLWAYIRENNLQDPKNKRNINCDEALRDLFRVNTINMFQMNKALSKHIRPLTAEAEHEKLKEKGEDGSNSVSESDDPAEEQEVEEEEEEAVEKEGTVQSNKGGGSSKADKDVKKRGGGFTKLCSLSPQLQAFTGVPELARTEVVKKLWAYIREKDLQDPNNKRNIICDESLRALFRVDSINMFQMNKELSKHIGPLNEEDGTSPDNSIQKETRCKQGREEGSDELKQKEKRQKKGASGLLVPLPLSDALVKFFGTGESTLSRADVVKRMWEYIKQHDLQDPSDKRRIICDEKLKELFEVDSFNGFSVSKLLTSHFIKMEQ >Manes.15G143600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11772001:11783013:-1 gene:Manes.15G143600.v8.1 transcript:Manes.15G143600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDLVTRLREILRTSDLDTATAGSVRRKLEEDLGVDLSDRKKFIREQIDIYLETLQNEHKDEDEEENMPVDVNQNEEGNEIDAVEEEGEEEEEEEEEEEESEERGTKRKRSNKVEKVGKKRGGGFTKLCALSPRLQKFVGVPELARTEVVKKLWAYIRENNLQDPKNKRNINCDEALRDLFRVNTINMFQMNKALSKHIRPLTAEAEHEKLKEKGEDGSNSVSESDDPAEEQEVEEEEEEAVEKEGTVQSNKGGGSSKADKDVKKRGGGFTKLCSLSPQLQAFTGVPELARTEVVKKLWAYIREKDLQDPNNKRNIICDESLRALFRVDSINMFQMNKELSKHIGPLNEEDAPDNSIQKETRCKQGREEDELKQKEKRQKKGASGLLVPLPLSDALVKFFGTGESTLSRADVVKRMWEYIKQHDLQDPSDKRRIICDEKLKELFEVDSFNGFSVSKLLTSHFIKMEQ >Manes.15G143600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11772001:11783013:-1 gene:Manes.15G143600.v8.1 transcript:Manes.15G143600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDLVTRLREILRTSDLDTATAGSVRRKLEEDLGVDLSDRKKFIREQIDIYLETLQNEHKDEDEEENMPVDVNQNEEGNEIDAVEEEGEEEEEEEEEEEESEERGTKRKRSNKVEKVGKKRGGGFTKLCALSPRLQKFVGVPELARTEVVKKLWAYIRENNLQDPKNKRNINCDEALRDLFRVNTINMFQMNKALSKHIRPLTAEAEHEKLKEKGEDGSNSVSESDDPAEEQEVEEEEEEAVEKEGTVQSNKGGGSSKADKDVKKRGGGFTKLCSLSPQLQAFTGVPELARTEVVKKLWAYIREKDLQDPNNKRNIICDESLRALFRVDSINMFQMNKELSKHIGPLNEEDAPDNSIQKETRCKQGREEGSDELKQKEKRQKKGASGLLVPLPLSDALVKFFGTGESTLSRADVVKRMWEYIKQHDLQDPSDKRRIICDEKLKELFEVDSFNGFSVSKLLTSHFIKMEQ >Manes.15G061500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4688999:4692445:-1 gene:Manes.15G061500.v8.1 transcript:Manes.15G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIATILDLLRKHPNFYSSQFSASAAVSAAAVSVAAAGTPFASRFLFGYSGTRVSHCDAGAVFSDDYISSIRKASSDIFQHDSLNYTTKEYYFELRPLLSAFEWKQLAVTSLRSFLLFYLPLLEHASSTEEDDEEFLQDSPETQHVDLIVPFQKSVKQIVHETTVVTTRRILERLAVHYASQQLAWKLLKDAPKSAVRKAERGMPTILYFFRVSRTTFRGQFLGVAASWIVQVGIVIYRFCNNLLKSEEENNRVDKSEQVIILRKKVTGVTLRCSASLVFASIGAGIGATLIRPSTGQWIGCAIGDLAGPVVVSLWVEKAFHLEL >Manes.18G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1159316:1165942:-1 gene:Manes.18G009100.v8.1 transcript:Manes.18G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSHLFLTVFLYNFSTFMVVPAMTDVTMAAICPGQVECSLAIFLSGFQQAIIGLGTLVMMPLVGNLSDKYGRKALLALPMGLAIIPLAILAYSRTRYFFYAYYVLRTLTAMVCEGSLHCLALAYVADGVPEGRRASAFGILSGIGSSAFVCGTLSARFLSTASTFRVAASMAIVALVYMRVFLQESIVDGSLSTPILSHRESKVDALGNSSKNVQIFKTMPSLENMICLLKSSVTLSQAAVVAFFINLSEVGLHSSLMYYLKAQFHFNKNQFADLMVISGIAGTISQLVLMPILAPALGEGKLLSIGLFFTCAHIFLNGIAWSFWVPYAAAMLSILFVFSQPCLRTIVSKQVGTSEQGMAQGCISGIGSFANVVSPLVFSPLTALFLSERAPFHFPGFSIMCTGFASMIALIQSTMIRTAPPISNESSNNSNYVDA >Manes.18G009100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1159316:1165942:-1 gene:Manes.18G009100.v8.1 transcript:Manes.18G009100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSHLFLTVFLYNFSTFMVVPAMTDVTMAAICPGQVECSLAIFLSGFQQAIIGLGTLVMMPLVGNLSDKYGRKALLALPMGLAIIPLAILAYSRTRYFFYAYYVLRTLTAMVCEGSLHCLALAYVADGVPEGRRASAFGILSGIGSSAFVCGTLSARFLSTASTFRVAASMAIVALVYMRVFLQESIVDGSLSTPILSHRESKVDALGNSSKNVQIFKTMPSLENMICLLKSSVTLSQAAVVAFFINLSEVGLHSSLMYYLKAQFHFNKNQFADLMVISGIAGTISQLVLMPILAPALGEGKLLSIGLFFTCAHIFLNGIAWSFWVPYAAAMLSILFVFSQPCLRTIVSKQVGTSEQGMAQGCISGIGSFANVVSPLVFSPLTALFLSERAPFHFPGFSIMCTGFASPVGFEVITLIIDAC >Manes.07G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32220273:32226249:-1 gene:Manes.07G116600.v8.1 transcript:Manes.07G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSDSHLSLFSPEEVEFMAEDELVEIVPNLRMDSLNFICGDYGPFYPQIATQVPLWLAVALKKRGKCTIRPPQWMSIENLTRVLEGERDSHAFQPLPFHYVEISRLLFDHAREDIPDIYMVRSLIEDIRDVRFHKVETNLEKFTASTVTWKNMSAMEVNIIRAFSGRALQAFYKHENEHMMPDTDRTQDRQPQILNAPKRNLKPR >Manes.05G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20858604:20860067:-1 gene:Manes.05G122300.v8.1 transcript:Manes.05G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQHSFPFLVAILTYLFTSSLSNAAHYNVLYYGAKPDGRTDSTKAFLAAWIQACGSVRPATVYVPAGRFFLRNIVFQGPCKNGAIFFRIVGTLVAPSDYRVIGNAGNWLLFQFVNGVTVYGGVLDGQGPALWACKASGRNCPTGATSLAFSNSNNIAISRLISLNSQMFHIVINGCHNVKVQGVTVSASGNSPNTDGIHVQLSSSVAILNSWIGTGDDCISIGAGTSNMWIERVACGPGHGISIGSLGKELQEPGVENVIVKSVVFTGTQNGLRIKSWARPSNGFVRNIRFRDAVMKNVQNPIIIDQNYCPNNINCPNQESGIKVSDITYHGIWGSSATPVAVKFDCSRKSPCTGIYLGDVNLTYRNQPSEASCKNADGVAIGFVQPSSCL >Manes.16G088150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29454875:29455174:1 gene:Manes.16G088150.v8.1 transcript:Manes.16G088150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFFGREGTRKLASTTKKKREKTTSLANEDIVHLDSYSCADSILSSRNKHEAAKLCKCSKNFGRKKKKKDKSFTNSSKKKNAELYEFCPKEASTTHYN >Manes.05G186500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30636164:30639776:-1 gene:Manes.05G186500.v8.1 transcript:Manes.05G186500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASSCLVLFCFLCLFSALKSQSDELQILLNLKTAFHKSNTDVFNSWNSRDFICNFTGITCNSVNSVTEIELSNQNLVGFVPFDSICKLPSLEKLSLGFNSLSGSISDDLNKCTNLKYLDLGNNPFNGPFPKFSSLNQLQHLFLNWSMFSGVFPWKSLENITGLVTLSLGDNPFDTTPFPSEIVKLTKLNCLYLSNCSIGGKIPQEIGDLSELINLELSDNNISGEIPPQIGMLKNLWQLELYNNSLTGKLPAQIGNLTKLEKFDASMNYLEGGLSELRSLSNLVTLQLYENELSGEIPMEFGQFKKLVNLSLYGNKLTGPLPPQLGSWANFIFIDVSENYLTGLIPPDMCKQGTMDALLMLQNNFTGEIPASYASCTTLKRFRVSKNSLSGSIPAGIWGLPNVNIIDIEFNHFEGPVTSDIRNAKNLVQLFLGNNRLSGELPEEISRATSLFSIKLNDNKFSGKIPETIGELKRLSSLHLENNLLSGSIPESLGSCDSLSDLNIAHNLLSNQIPSSLGFLPTLNSLNLSENQLSGQIPNTLSSLRLSLLDLSHNRLTGRIPQSLSIEAYNGSFGGNSGLCSQTVSTFQRCKPEAGMSRDIRTLIACFGVGAALLVLSLVYFLYLKKKEKDHERSLKEESWDVKSFHVLSFGEDEILDSIKEENLIGKGGSGNVYKVALANGKDLAVKHIWNTDFGGRKKGWSTAPMLAKRGGKSTEFDAEVQTLSSIRHVNVVKLFCSITSEDSSLLVYEYMPNGSLWDSLHTTRKMKLDWETRYEIAVGAAKGLEYLHHGCDRPIIHRDVKSSNILLDEFLKPRIADFGLAKIVQANGGKDSTHVIAGTHGYIAPEYGYTYKVNEKCDVYSFGVVLMELVSGKRPIEPEYGDNKDIVDWVSSNLKSKERVLSIIDSRIPEFFKEDAVKVLRIAILCTERLPSLRPTMRKVVQMLGEAEPCKVVSIVITKDGASKIKEVTDTEKFNPDI >Manes.09G016500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3383396:3392628:-1 gene:Manes.09G016500.v8.1 transcript:Manes.09G016500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKASSSPSPILIGNCEVIVEANNFTCQSDPNSLQISLTKSAKIKISVREDFNRRCNDDIWKSKAEGEGKASILGDDHIFVLVNSKYSGDRCKSYIEEVLKIYNRELPTMKYTANTGKQSTFLEKCVSNGKYCSLLLQSKSVEGYGEVIAAITYQIVPADTQYAEIPLAAVSSVYQHKGLGRCLYMELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRPHMESLAALAISTQPEEGCNTLNTENQIAERSRSKRMIGDEFLGEGSNLDAGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMALDSGSGSERTDPCFNKCPLGISKSCSFIGVTSTNPLTSHCMEDNAKKGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGAWIVSSSWLKESFRKSKFVDELPYTLLDEEYMLKYGTQLKDAVLRAKARPRALLKGYDVCISTNVQPPVEALSTIVSSAGGNIISGLDKVNEASKTIFVACEEDMEEALSAAKKGIRTFSSDWLMNCVMKQELDLQALQFAESL >Manes.09G016500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3386333:3392628:-1 gene:Manes.09G016500.v8.1 transcript:Manes.09G016500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKASSSPSPILIGNCEVIVEANNFTCQSDPNSLQISLTKSAKIKISVREDFNRRCNDDIWKSKAEGEAGKASILGDDHIFVLVNSKYSGDRCKSYIEEVLKIYNRELPTMKYTANTGKQSTFLEKCVSNGKYCSLLLQSKSVEGYGEVIAAITYQIVPADTQYAEIPLAAVSSVYQHKGLGRCLYMELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRPHMESLAALAISTQPEEGCNTLNTENQIAERSRSKRMIGDEFLGEGSNLDGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMALDSGSGSERTDPCFNKCPLGISKSCSFIGVTSTNPLTSHCMEDNAKKGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGCEREKGV >Manes.09G016500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3383396:3392628:-1 gene:Manes.09G016500.v8.1 transcript:Manes.09G016500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKASSSPSPILIGNCEVIVEANNFTCQSDPNSLQISLTKSAKIKISVREDFNRRCNDDIWKSKAEGEAGKASILGDDHIFVLVNSKYSGDRCKSYIEEVLKIYNRELPTMKYTANTGKQSTFLEKCVSNGKYCSLLLQSKSVEGYGEVIAAITYQIVPADTQYAEIPLAAVSSVYQHKGLGRCLYMELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRPHMESLAALAISTQPEEGCNTLNTENQIAERSRSKRMIGDEFLGEGSNLDAGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMALDSGSGSERTDPCFNKCPLGISKSCSFIGVTSTNPLTSHCMEDNAKKGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGAWIVSSSWLKESFRKSKFVGELSVK >Manes.09G016500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3383190:3392628:-1 gene:Manes.09G016500.v8.1 transcript:Manes.09G016500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKASSSPSPILIGNCEVIVEANNFTCQSDPNSLQISLTKSAKIKISVREDFNRRCNDDIWKSKAEGEAGKASILGDDHIFVLVNSKYSGDRCKSYIEEVLKIYNRELPTMKYTANTGKQSTFLEKCVSNGKYCSLLLQSKSVEGYGEVIAAITYQIVPADTQYAEIPLAAVSSVYQHKGLGRCLYMELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRPHMESLAALAISTQPEEGCNTLNTENQIAERSRSKRMIGDEFLGEGSNLDGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMALDSGSGSERTDPCFNKCPLGISKSCSFIGVTSTNPLTSHCMEDNAKKGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGAWIVSSSWLKESFRKSKFVDELPYTLLDEEYMLKYGTQLKDAVLRAKARPRALLKGYDVCISTNVQPPVEALSTIVSSAGGNIISGLDKVNEASKTIFVACEEDMEEALSAAKKGIRTFSSDWLMNCVMKQELDLQALQFAESL >Manes.09G016500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3383190:3392628:-1 gene:Manes.09G016500.v8.1 transcript:Manes.09G016500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKASSSPSPILIGNCEVIVEANNFTCQSDPNSLQISLTKSAKIKISVREDFNRRCNDDIWKSKAEGEGKASILGDDHIFVLVNSKYSGDRCKSYIEEVLKIYNRELPTMKYTANTGKQSTFLEKCVSNGKYCSLLLQSKSVEGYGEVIAAITYQIVPADTQYAEIPLAAVSSVYQHKGLGRCLYMELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRPHMESLAALAISTQPEEGCNTLNTENQIAERSRSKRMIGDEFLGEGSNLDGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMALDSGSGSERTDPCFNKCPLGISKSCSFIGVTSTNPLTSHCMEDNAKKGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGAWIVSSSWLKESFRKSKFVDELPYTLLDEEYMLKYGTQLKDAVLRAKARPRALLKGYDVCISTNVQPPVEALSTIVSSAGGNIISGLDKVNEASKTIFVACEEDMEEALSAAKKGIRTFSSDWLMNCVMKQELDLQALQFAESL >Manes.09G016500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3386333:3392628:-1 gene:Manes.09G016500.v8.1 transcript:Manes.09G016500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKASSSPSPILIGNCEVIVEANNFTCQSDPNSLQISLTKSAKIKISVREDFNRRCNDDIWKSKAEGEGKASILGDDHIFVLVNSKYSGDRCKSYIEEVLKIYNRELPTMKYTANTGKQSTFLEKCVSNGKYCSLLLQSKSVEGYGEVIAAITYQIVPADTQYAEIPLAAVSSVYQHKGLGRCLYMELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRPHMESLAALAISTQPEEGCNTLNTENQIAERSRSKRMIGDEFLGEGSNLDGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMALDSGSGSERTDPCFNKCPLGISKSCSFIGVTSTNPLTSHCMEDNAKKGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGCEREKGV >Manes.09G016500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3383396:3392628:-1 gene:Manes.09G016500.v8.1 transcript:Manes.09G016500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKASSSPSPILIGNCEVIVEANNFTCQSDPNSLQISLTKSAKIKISVREDFNRRCNDDIWKSKAEGEAGKASILGDDHIFVLVNSKYSGDRCKSYIEEVLKIYNRELPTMKYTANTGKQSTFLEKCVSNGKYCSLLLQSKSVEGYGEVIAAITYQIVPADTQYAEIPLAAVSSVYQHKGLGRCLYMELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRPHMESLAALAISTQPEEGCNTLNTENQIAERSRSKRMIGDEFLGEGSNLDAGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMALDSGSGSERTDPCFNKCPLGISKSCSFIGVTSTNPLTSHCMEDNAKKGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGAWIVSSSWLKESFRKSKFVDELPYTLLDEEYMLKYGTQLKDAVLRAKARPRALLKGYDVCISTNVQPPVEALSTIVSSAGGNIISGLDKVNEASKTIFVACEEDMEEALSAAKKGIRTFSSDWLMNCVMKQELDLQALQFAESL >Manes.09G016500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3383396:3389725:-1 gene:Manes.09G016500.v8.1 transcript:Manes.09G016500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRPHMESLAALAISTQPEEGCNTLNTENQIAERSRSKRMIGDEFLGEGSNLDGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMALDSGSGSERTDPCFNKCPLGISKSCSFIGVTSTNPLTSHCMEDNAKKGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGAWIVSSSWLKESFRKSKFVDELPYTLLDEEYMLKYGTQLKDAVLRAKARPRALLKGYDVCISTNVQPPVEALSTIVSSAGGNIISGLDKVNEASKTIFVACEEDMEEALSAAKKGIRTFSSDWLMNCVMKQELDLQALQFAESL >Manes.06G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20953839:20956003:1 gene:Manes.06G073700.v8.1 transcript:Manes.06G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHCKDFKEGKCQGQKVVDGETMPLVLQPPEPDKGDIDSLMSALKRNKDWFEQMIIKNSAVLLRGFDVKKAEDFNDIVEAVGWDDIRYVGPAPRTHIYKRVWTANEGPLSQFIYYHHEMVLINEYPKKVVLFCEIPPPEGGQTPFVPSFKVTERMLEEFPEAVEEIDRKGLKYRFTALSKNDKSSMRGRGWEDAFGTSDKAEAERRAKALGMDVEWLPNGGATTIIGPRWLTKVFDGRKGRRMWFNTLVGMHGKEQSSALMADGTEIPENFVKRCEEIIEEESIQFEWEKGDVLFLDNLALLHGRRPSLPPRKVLVATCK >Manes.12G100300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:25805336:25805933:-1 gene:Manes.12G100300.v8.1 transcript:Manes.12G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRAMCTCSLILVLILSHEVLVVEGRRLKSHGNKLCKKCSARTDHRILHVAERSQKLLGSENKTSKMDYVDDFRPTVPGHSPGVGHSLKN >Manes.15G003000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:220119:222143:1 gene:Manes.15G003000.v8.1 transcript:Manes.15G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFKARYSTHKITGSSSSTTTTQSEEEMGGLRRGPWTAEEDFNLINYIATHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLMILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLIERIQAANTTAASSTTAACTIGSGTTTEATHHHHLNDNTNTDVGSGQWVVAHVGVFGGDFGVAQVIPTTYNTPETWNTAASSESFGTHIWPNDHFSLSVNPDNLQPEQVGYSESMISPSGYFNQVLDFQAMEHDNNLWVEGGYTSDNLWKVEDMWFNM >Manes.15G003000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:219464:222015:1 gene:Manes.15G003000.v8.1 transcript:Manes.15G003000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLHLRELRMMDFKARYSTHKITGSSSSTTTTQSEEEMGGLRRGPWTAEEDFNLINYIATHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLMILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLIERIQAANTTAASSTTAACTIGSGTTTEATHHHHLNDNTNTDVGSGQWVVAHVGVFGGDFGVAQVIPTTYNTPETWNTAASSESFGTHIWPNDHFSLSVNPDNLQPEQVGYSESMISPSGYFNQVLDFQAMEHDNNLWVEGGYTSDNLWKVEDMWFNM >Manes.02G208801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24183806:24185578:-1 gene:Manes.02G208801.v8.1 transcript:Manes.02G208801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNSSEDVASCKATTVSQPSSTTQPANSSTHSQLEPSTPINPSHSLPAASEIEAGCSNESKSCLWDAEDDVN >Manes.01G068800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27003549:27006212:1 gene:Manes.01G068800.v8.1 transcript:Manes.01G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPCIRESSEVCFHGCCPTTFLGLSEPQTTVTSLTSAAVSRYDFEVSMASSIYPNSQFTNHESLPSLHESFSNFIKAFPQYSLTEKADKIRQQEYYHLSLSKHVCLDYIGHGLFSYFQQQSQYSQASSSASTSTSPPLHSSAAFGTPFFDISYRSVSLTSQLQYAAPESDLENNIQKRITAFMNISEDDYNMVFTANQSSAFKLLADSYPFQSHRNLLTVYDYENEAVRVMIESSKKKGAQVTSAEFSWPSLRIQSGKLQKKIASKKKNRGLFVFPLQSRMTGASYSYFWMSMAQENGWHVLLDATALGPKDMETLGLSLFKPDFLICSFFKVFDENPSGFGCLFVKKSSISVLKDSNTSTSVGIVKLVPATRPSQQSEESSMADIEAESKANLELSDADVLPGSSSNPMLISQQVSSKTSELHEIEEIAEKQKAPEIERSIDRIPELEYRGLDHADSLGLILISARARYLINWLVNALTSLQHPHSENGQPPISIYGPKVKFDRGPAVAFNVFDWKGDKIDPALVQKLADRNNISLSYGVLQNIWLRDKHGEERRWTLETITGGGGSILNEKREKPHSGISVVTAALGFLTNFEDVYRLWSFVSRFLDADFVEKERWRYTALNQKIIEV >Manes.09G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3975922:3985657:-1 gene:Manes.09G018800.v8.1 transcript:Manes.09G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPSTSKPSNDKARQKNNVELLKSEVATFASSLGLSSSSLPSSSGFNDADFRKSGALKKPKSSKQRTKLDKTLNGDENESAPKVQFHKSSEKGRNFKNKDDKSHKNEKKSQFDKKPKKNPVPKPPVLSLDDNNKSNSSTRAFDKYKNLPKLPLVKASALGAWHEDAMEFEKKVLGEGKSKLELKMAVEEWKGVVEKKKELGERLMWQYSQDYEQSRGQSGDIKMVVTTQRSGTAADKVSAFSVLVGDNPIANLRSLDALIGMVTSKVGKRHALTGFEALKELFISSLLPDRKLKTLLQRPVNNLPETKDGYSLLLFWYWEDCLKQRYERFVFALEEASRDMLPILKDKALKTMYALLKSKSEQERRLLSALVNKLGDPQNRGASNADFHLSNLLSDHPNMKAVVIDEVDSFLFRPHLGLRAKYHAVNFLSQIRLSHKGEGPKAAKRLVDVYFALFKVLINEAENSQKMDKSGKAKDTNASSPVKELKVESSSESYVEMDSRLLSALLTGINRAFPYVSSTEADDIIEVQTPMLFRLVHSKNFNVGVQALMLLDKISSKNQIVSDRFYRALYSKLLLPAAMNSSKAEMFIGLILRAMKSDVNLKRVAAFAKRLLQVSLQQPPQYACGCLFLLSEVLKARPPLWNMVMQNESVDEDLEHFEDIVEETDTDPHSATKAENDLEFVPSGDKSDPANDSSESEDDSPVPSSDDDDDDSDDDEAELLVEDGSKEFEESKPLSTYNCNQSQTCSTGSSLPGGYNPRHREPSYCNADRASWWELMVLASHVHPSVATMARTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQTAWHGGSQIEPAKKLDMNNHLIGPEILSLAEVDVPPEDLVFHKFYTNKMNSTKPKKKKKKKAAEEEAAEELFDVGDGDEVGGADESDNEEIENLLDSANLSFDADGEYDYDDLDQVANEDDDDLIGNASDVEMDAPSDIAREEDSDGIADGDNSEDDIEAVDIGDVDDDSDEDDKFNNRKRKRKSGGKAGASPFASLEEYEHLINEEGPQKKSMKKKKLKSRKKRKSSE >Manes.12G060600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5947357:5955427:-1 gene:Manes.12G060600.v8.1 transcript:Manes.12G060600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFVGVLVSDPWLQSQFTQVELRTLKSNYVAIGTQTGHVTVGELPPVFVKLKGFSEVFNADEIKTILGESYSNPNEELDFETFLRAYLNVQARVPAKAGGRKLKSSCSFLKASTTTFHHNINESEKASYVTHINNYLGEDPFLSKYLPVDAATNALFDLVKDGVLLCKLINVAVPGTIDERAINKKKVLNPWERNENHTLCLNSSKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLDLKKTPELVGLVDNSKEVEELMGLAPEKLLLKWMNFHLKKAGYGKQVTNFSSDVKDGEAYVYLLKTLAPELNTSDDVASSDPKQRANIVLEQAEKLDCKRYLTANDIVEGSPNLNLAFVAHIFQHRNGLTADAKKKSFAEMMEDDTQTSREERCFRLWINSLGIVTYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKQTTKPPIKMPFRKVENCNQAVQIGKELLFSLVNVAGNDIVQGNKKLILAFLWQLMRFTMLQLLQNLRSHSSHSEEITDNDILNWANNKVKKVGKTSQMENFKDKSLSNGIFFLDLLSAVEPRVVNWTVVTKGENDEDKKMNATYIISVARKLGCSIFLLPEDIMEVNQKMILVLTASIMYWSLQHQTVESESSVVAEDIIETSSIEGDVTMDDAASDAGSDS >Manes.12G060600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5947357:5955427:-1 gene:Manes.12G060600.v8.1 transcript:Manes.12G060600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFVGVLVSDPWLQSQFTQVELRTLKSNYVAIGTQTGHVTVGELPPVFVKLKGFSEVFNADEIKTILGESYSNPNEELDFETFLRAYLNVQARVPAKAGGRKLKSSCSFLKASTTTFHHNINESEKASYVTHINNYLGEDPFLSKYLPVDAATNALFDLVKDGVLLCKLINVAVPGTIDERAINKKKVLNPWERNENHTLCLNSSKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLDLKKTPELVGLVDNSKEVEELMGLAPEKLLLKWMNFHLKKAGYGKQVTNFSSDVKDGEAYVYLLKTLAPELNTSDDVASSDPKQRANIVLEQAEKLDCKRYLTANDIVEGSPNLNLAFVAHIFQHRNGLTADAKKKSFAEMMEDDTQTSREERCFRLWINSLGIVTYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKQTTKPPIKMPFRKVENCNQAVQIGKELLFSLVNVAGNDIVQGNKKLILAFLWQLMRFTMLQLLQNLRSHSSHSEEITDNDILNWANNKVKKVGKTSQMENFKMRIKR >Manes.10G090000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22733114:22733857:1 gene:Manes.10G090000.v8.1 transcript:Manes.10G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWNISALVACVVVLALVLPSHAQNSPQDYLNAHNAARSAIVGANIPALLYDTTLEADVQLYLSTLLGVCNINVDLSLNGINVKVKENVLTGLDAVNAWVSEQIFYDYNTNLCVGGVCNHYTQVVWKSSVSIGCFRTQCLNNVNLWIVGCKYSPPGNIIGQRPY >Manes.17G074250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27435432:27438724:1 gene:Manes.17G074250.v8.1 transcript:Manes.17G074250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQRTALNKGREREREREEDVCLWFPLLLLLHSRDPNSLERDSMEYERIDKVQSGIISPSKLRMKLMGPHHNRKKDGSNSNSSRTSPSRLDDAEFVNNSLLASNDEEAFCAAPSLEVAFVTAPGDPAVDLSQVDQPSCQPKETLLKENGNVGRVKMQQFSKGESGNSTAVHPMRSFEDDNLDYDSNASSSSFEFHKERSVLNQFTRSLSRPMPSKWNDAEKWIMNKQNLQPNSKKNALHSQANRMLGTKTVRVAPESTNHDLKLVDTKRIDFCQPAPQMAFEKFSFIPPGTPSVSGQVYGGNSLIDQCTQSEDLQEVEQREIYDTKSLAEGTTVLPIIRSVCMRDMGTEMTPVTSQEPSRTATPVGAITPLRSPTSSIPSTPWREAPALTTMEHGTDDDTQHTSGKSRKELNEQEMKLRTRREIVALGVQLGKISIAAWASNHEKNTSEVETTDVEELERIEFEKRAAAWEEAEKSKHTARYKREEIKIQAWESQQKAKLEAEMRKIETQVEKMRAEAQAKMVKKISMARQRSEEKRAAAEARRNRDAEKAAAQAEYIRRTGRMPSSHYMCCGWLS >Manes.17G074250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27435432:27438724:1 gene:Manes.17G074250.v8.1 transcript:Manes.17G074250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQRTALNKGREREREREEDVCLWFPLLLLLHSRDPNSLERDSMEYERIDKVQSGIISPSKLRMKLMGPHHNRKKDGSNSNSSRTSPSRLDDAEFVNNSLLASNDEEGDPAVDLSQVDQPSCQPKETLLKENGNVGRVKMQQFSKGESGNSTAVHPMRSFEDDNLDYDSNASSSSFEFHKERSVLNQFTRSLSRPMPSKWNDAEKWIMNKQNLQPNSKKNALHSQANRMLGTKTVRVAPESTNHDLKLVDTKRIDFCQPAPQMAFEKFSFIPPGTPSVSGQVYGGNSLIDQCTQSEDLQEVEQREIYDTKSLAEGTTVLPIIRSVCMRDMGTEMTPVTSQEPSRTATPVGAITPLRSPTSSIPSTPWREAPALTTMEHGTDDDTQHTSGKSRKELNEQEMKLRTRREIVALGVQLGKISIAAWASNHEKNTSEVETTDVEELERIEFEKRAAAWEEAEKSKHTARYKREEIKIQAWESQQKAKLEAEMRKIETQVEKMRAEAQAKMVKKISMARQRSEEKRAAAEARRNRDAEKAAAQAEYIRRTGRMPSSHYMCCGWLS >Manes.09G064318.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10477733:10481038:-1 gene:Manes.09G064318.v8.1 transcript:Manes.09G064318.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTDASVFVGSHLRPSILLRIPILCATTLGGNYLAHTQGKRHQTNLAKRAAREAKEAPALPQPNKRKVNIRKTVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSFEQKVQPYDKRYQYLLFAAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPPEANKPQSAPAANGTGAPGVPPRSLPPPPQAPPPPPPPSQGLPAGSRPPPPPMPGSLPPPPPPMTNGPRPMPPGGTPPAPPPPPGGSGTMANFTPGAQVGRPPMPPPSFSSQQM >Manes.09G064318.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10477734:10481038:-1 gene:Manes.09G064318.v8.1 transcript:Manes.09G064318.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPALPQPNKRKVNIRKTVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSFEQKVQPYDKRYQYLLFAAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPPEANKPQSAPAANGTGAPGVPPRSLPPPPQAPPPPPPPSQGLPAGSRPPPPPMPGSLPPPPPPMTNGPRPMPPGGTPPAPPPPPGGSGTMANFTPGAQVGRPPMPPPSFSSQQM >Manes.12G045650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3964560:3968902:1 gene:Manes.12G045650.v8.1 transcript:Manes.12G045650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMNKSKITGDVALMDLSQSTTLGVRTRAKTLALQRLQPPSPSASPNLDTSSFSYLQLRSRRLEKPQLLQEAKRNPDSSSGKKLNSKGSSRLSKSSMKVVGDCDGGEEEVCFSNKGEVLGLKCEAEDLGLEGSFGDNYLDFEPRERSTRESTPCSLIRDSNTIGTPGSTTRQGSSTTTNRRVRNVIQRNNPTTEDMEEFFACAEQKQQRLFIEKYNFDVVNDLPLPGRYEWVQVIPK >Manes.18G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2964435:2974143:1 gene:Manes.18G034500.v8.1 transcript:Manes.18G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTVNSMVFSVTAALTRLSALSYSHPRSSSLFRFTHIRIITATISSKRQRHHSSRTMTSSVLPVESAAMQDKVTAPYGSWKSPITADVVSGASKRLGGTAIDGHGGLFWLESRPTEAGRSVLVKGGEKSGEEAVDVTPKEFSVRTTAQEYGGGAFTISGDTVIFANYKDQRLYKQSVDSIDSTPVPITPDYGSSVVSYADGIFDSRFNRFVTVMEDRRVSSMNAITTIVAIGLNDSDIEEPKVLVSGNDFYAFPRMDPKGERIAWIEWGHPNMPWDKSELWVGYISENGDIYKRICVAGNDNKIVESPTEPKWSSTGELFFITDRRNGFWNLYKWIESVNDVQALYSLDAEFSSPLWVFGINSYELIQNKEGRNLIACSYRQKGRSHFGILDCAQSSLSLLDIPFTDINSITLGHHCLYIEGASTIHPSSVAKVILDDQGSKVVDFEIVWSSSPDSLKYTSYFSSPELIEFPTGVPGQNAYAYFYPPLNPIYQASPEEKPPLLLKSHGGPTSETRGILNLSIQYWTSRGWAVVDVNYGGSTGYGREYRERLLGNWGIVDVNDCCSCAKFLVDSGKADGERLCITGGSAGGYTTLAALAFKETFKAGASLYGVADLSMLRAETHKFESHYIDNLVGDEKNYFERSPINFVDRFSCPIILFQGLEDKVVPPDQARIIYQALKKKGLPVALVEYEGEQHGFRKAENIKFTLEQEMVFFARLVGNFNVADDINPIKIDNFD >Manes.15G109101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8735275:8742533:-1 gene:Manes.15G109101.v8.1 transcript:Manes.15G109101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWRPWRIYRVEEGRGDLTGDAGEEIDMRSSQAQIDLASGRLWLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIHNPWANEVEWNGPWSDSSPEWTDRMKHKLKDDPQMKSSREYKKQQQKIQQRGCRALCCSCRLSVSSSEEAAESSSASDRYASISSLAHAMVQERLDQMIREKQQMEARHKERRRLKNEGIKFVVMVAMEKSSYDPREDFRESMVEMILANRLQEPKDLRSLLNYYISMNSQDYHGIILEVFHEVCTNLFLYCKCH >Manes.15G000800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:407871:418232:-1 gene:Manes.15G000800.v8.1 transcript:Manes.15G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSNSSFLFLYYASILTLSLLLTRSHSAPQAFRRDPGHPQWHHGAFHDVRDRVRSDVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRFTLDTHKLQEFLRTSFPSHRPSCLETGEPLDIEHHVVYNAFPAGQAELIALEKSLKDAMVPAGTARETDFGREVPLFEVEATIVEPMFHKLYSYVFDKEDIRYTAAESDRPVPNAIFIVNFDKVRMDPRNKEIDLDSLMYGKITPLTSEDIIKQEGDYVYRYRYNGGGATQVWLSSDRFVVIDLSAGPCTYGKIETEEGSVSSRTLPRIRNIMCPRGLGAISNHVSHDIFVGQLAALIATTVEHVIAPDVRFETVDLATRLLIPIIVLQNHNRYNIMEKGHNYSINIEEIESEVKRMVHEGQEVVIVGGSHALHHHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKIYLDGAILKEEMERSADVLAAGLVELADPSLSSKFFLRQNWMDESGGSDDSILKHKSIWARYDSRHGKKKKKKIKKNEKKKRGDLRRTYGTRIIPVFVLSLADVDPHLMMEDDSLVWTSKDVVIVLQHQHEKIPLSYVSETERRHALPSLAQRQILAGLASAVGGVSAPYEKASHVHERPIVNWLWAAGCHPFGPFSNTSKISKLLKDVTLRNTIYACVDSALHRIHDTSEAVQAFAAEYLKTPMGEPVKGKKNKTTTELWLEKFYEKTTNLPEPFPHELVERLEKYLDGLEDQLVDLSSLLYDHRLQDAHLNSSDILQSSMITQRYVDRVLANERDKMKCCEIEYKYPVHSSQTYIYGGVLLAGFFVYFVVIFFSNPVR >Manes.06G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25440100:25445207:-1 gene:Manes.06G124300.v8.1 transcript:Manes.06G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFSRVQKELQECSRDIESSGIRVTPKSENLSRLTGTIPGPMGTPYEGGTFQIDISLPDGYPFEPPKMQFTTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSIQALLSAPQPDDPQDAVVAQQYLKDYQTFVATARYWTENFAKTSSLGVEEKVQKLVEMGFLEAIVRSALDAVGGDENLALEKLLSG >Manes.08G078711.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28176676:28176834:1 gene:Manes.08G078711.v8.1 transcript:Manes.08G078711.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMPAPCTNACGYMIACAGERDAEQGSSCTSNSYLKMRNKLHKSVNCQKLQG >Manes.08G078711.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28176676:28177016:1 gene:Manes.08G078711.v8.1 transcript:Manes.08G078711.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMPAPCTNACGYMIACAGERDAEQGSSCTSNSYLKMRNKLHKSVNCQKLQVQ >Manes.13G081678.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16909204:16910259:-1 gene:Manes.13G081678.v8.1 transcript:Manes.13G081678.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIISIGGCGTCCKRFHMVCLFRKQLEGILENLKEKPDVGTLLLALQRTLEFEDELAEIFGGRSTSREIGNEIEEIGRDSNSQTVSDIRKKYEKKLAANQGEPEVCILVFLTE >Manes.08G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11726158:11731001:-1 gene:Manes.08G070200.v8.1 transcript:Manes.08G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEDYQLLSRGDHQQLSLSQPDLLVFELNRLQNLLTEKDRELGAVQVEVKALRTTEVSKDKAIKELKNEVEKLDEKLSVTENLVEHKNLEIKKLINEKKDALAAQFAAEATLRRLHANLKDDDSVPMESFIAPLEAEIKMYKHKIAILQEDKKAMERLTKSKESALLEAEHILRSALERTLMVDDVQNQNHELKRQIEICQEENRILEKTNRLKVMEVEKLSQTLKELEEAILAGEAAANTIRDYKRQISELNDGKRLLEREIARIKVSANRVATVVANEWKDENDKVMPVKQWLEERRLLQGEMQRLRDKLVVAERTAKAEAQIKDKLKLRLKTLEDGLKHVSSFSVNSPKPAKASNILGFLTSNGGLGKRSTSQPRVSIICETIPLQQPNTGNANSNAVGKLKQADSFKRNYCSAENKLRNSLLASRRKGIDSKGKENTEVKANADANINKYKNEDTTISIEPKDKACGNDELHYKGSTSPVCEDVVSGFLYDRLQKEVINLRKTLEAKDSSLDTKDKEIQMLTKNVDALNKAIEVKSKKVKRGTADREKEAVLARVDHTKVLEYELH >Manes.03G083600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20469182:20480038:-1 gene:Manes.03G083600.v8.1 transcript:Manes.03G083600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLQMSWQPSLLSQKRKNGPPLGLKNLGNSCYLNSVLQCLTYTPPLANFCLRLQHSSLCDSVSNGERKRECPFCILEKRIVRSLSLDLTLDAPAKIQSCLRIFAEHFRCGLQEDAHEFLRYVIDACHNTCLRLMKLRRKGNDSFNGTNTVVREIFGGALQSQVKCLSCNSESNKVDEIMDISLDVLHSNSLREAMQKFFQPEILDGSNKYRCENCKKLVTARKQMSILQAPNILVIQLKRFEGTFGGKIEKAIAFDEVLVLSSFMCKGNQDLKPEYNLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCNDSYVTVSTLQDVLSEKVYILFFSRTNQRPLPSGATSGVKSSNFNGVGTSKSPKIAVAPKCVDQSSCKDIPSMSKSDKVSSIRQIKLNGSGSSSRRVPPTVDRNADMHKSRNMNMDGDAKDTVYMEKLDKDMSPIINGNGCSKNKIIVDETCPSIAGASENFITQNGALDSVKPDLSEANGTMVKMVAEKGYNHLELQNSRENGHTGTSELKRKLEDSCILFAQDSHSRAKVKELKEVLKQEASSVLRSCGWSNKVYSFMCSRKRLCARGARNIPTDIELKKLLITEAKSTFISQIPESLKEDLIRHLRSFSQEK >Manes.03G083600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20469182:20480041:-1 gene:Manes.03G083600.v8.1 transcript:Manes.03G083600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLQMSWQPSLLSQKRKNGPPLGLKNLGNSCYLNSVLQCLTYTPPLANFCLRLQHSSLCDSVSNGERKRECPFCILEKRIVRSLSLDLTLDAPAKIQSCLRIFAEHFRCGLQEDAHEFLRYVIDACHNTCLRLMKLRRKGNDSFNGTNTVVREIFGGALQSQVKCLSCNSESNKVDEIMDISLDVLHSNSLREAMQKFFQPEILDGSNKYRCENCKKLVTARKQMSILQAPNILVIQLKRFEGTFGGKIEKAIAFDEVLVLSSFMCKGNQDLKPEYNLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCNDSYVTVSTLQDVLSEKVYILFFSRTNQRPLPSGATSGVKSSNFNGVGTSKSPKIAVAPKCVDQSSCKDIPSMSKSDKVSSIRQIKLNGSGSSSRRVPPTVDRNADMHKSRNMNMDGDAKDTVYMEKLDKDMSPIINGNGCSKNKIIVDETCPSIAGASENFITQNGALDSVKPDLSEANGTMVKMVAEKGYNHLELQNSRENGHTGTSELKRKLEDSCILFAQDSHSRAKVKELKEVLKQEASSVLRSCGWSNKVYSFMCSRKRLCARGARNIPTDIELKKLLITEAKSTFISQIPESLKEDLIRHLRSFSQEK >Manes.04G042200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6839024:6843821:-1 gene:Manes.04G042200.v8.1 transcript:Manes.04G042200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLSQCLAGLVPHDRVSHSITNVSDRDMHLPSPAVEILPSKMAHLYKYAAENVELQGLNVFKGKVNVADIIGFTGSEMISSKTDGSVKSWDSSIDLVNVLKHELRDGQLSFRGKRVLELGCGYGLPGIFACLKGACMVHFQDLNAETVRCTTIPNVLANLEQARDSQSRQPESPLTPSRHPLSPSVHFYAGDWEELPAVLSIVRNDAFEVTTGMSLSFSEEDFMDGCSSQDGSVIGQETSSRQSRKLSGSRAWERASEIDHGEGGYDVILMTDIPYSVTSLKKLYALIKKCLRPPYGVLYLATKRNYVGFNNGARHLKGLVDEEGIFGAHLVKEMSERDVWKFFLK >Manes.04G042200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6838170:6843356:-1 gene:Manes.04G042200.v8.1 transcript:Manes.04G042200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLSQCLAGLVPHDRVSHSITNVSDRDMHLPSPAVEILPSKMAHLYKYAAENVELQGLNVFKGKVNVADIIGFTGSEMISSKTDGSVKSWDSSIDLVNVLKHELRDGQLSFRGKRVLELGCGYGLPGIFACLKGACMVHFQDLNAETVRCTTIPNVLANLEQARDSQSRQPESPLTPSRHPLSPSVHFYAGDWEELPAVLSIVRNDAFEVTTGMSLSFSEEDFMDGCSSQDGSVIGQETSSRQSRKLSGSRAWERASEIDHGEGGYDVILMTDIPYSVTSLKKLYALIKKCLRPPYGVLYLATKRNYVGFNNGARHLKGLVDEEGIFGAHLVKEMSERDVWKFFLK >Manes.04G042200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6837847:6843821:-1 gene:Manes.04G042200.v8.1 transcript:Manes.04G042200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLSQCLAGLVPHDRVSHSITNVSDRDMHLPSPAVEILPSKMAHLYKYAAENVELQGLNVFKGKVNVADIIGFTGSEMISSKTDGSVKSWDSSIDLVNVLKHELRDGQLSFRGKRVLELGCGYGLPGIFACLKGACMVHFQDLNAETVRCTTIPNVLANLEQARDSQSRQPESPLTPSRHPLSPSVHFYAGDWEELPAVLSIVRNDAFEVTTGMSLSFSEEDFMDGCSSQDGSVIGQETSSRQSRKLSGSRAWERASEIDHGEGGYDVILMTDIPYSVTSLKKLYALIKKCLRPPYGVLYLATKRNYVGFNNGARHLKGLVDEEGIFGAHLVKEMSERDVWKFFLK >Manes.04G062801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22252680:22253356:-1 gene:Manes.04G062801.v8.1 transcript:Manes.04G062801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVDNFGTARLRPVATALSGRLRLSFAASLSLICYCWRGARSEE >Manes.01G216000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38527109:38533387:1 gene:Manes.01G216000.v8.1 transcript:Manes.01G216000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQNVFPGNSDSDQSISDEEELNGHMTDNCFSLNTNAEKEQGLLLQSRLEILRGMNDGSMEKEAGNLTHEDQTSFFAGDKVKMLDFPKNGGLTFSQRKESKHHPDEEIISDDEDDYVLANSITRGDRKLNKDSNLHGFRREKQDEARTWSMISKEAKALNHLNKQSLSSFSAFSRGNKSCKGVRDKVKPKFSLHIKSHKVGLSRPLTSKDEDVMSSKVLDEPEQLEPIEDEAVEKSNLEFLEDFHGQTEVPLIIAPADEALGNGIVEHSMTELLDGLQDRNVQLRGNPKMFRRTRGKKRAQLMGKKNISLLGDRNIDDEEKPEQVITGSSSDDEANYANLNLANPEMKGQSVADRFQEALAATSLSNDGALVTAAKLSGIGLFGKLQQVMQSEKERDTDFLKKIQMGASPNDKSRCNVVKILSIYLEAKLSVCRCLFGSNIEGSQKLVDKEREGTVIFSPRICGDVDLEVGSLICIHPPWKEVQAMGNDNSVILSTYFSKVWKESNN >Manes.01G216000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38527109:38533387:1 gene:Manes.01G216000.v8.1 transcript:Manes.01G216000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRGTRILIRAFLQGLLLQSRLEILRGMNDGSMEKEAGNLTHEDQTSFFAGDKVKMLDFPKNGGLTFSQRKESKHHPDEEIISDDEDDYVLANSITRGDRKLNKDSNLHGFRREKQDEARTWSMISKEAKALNHLNKQSLSSFSAFSRGNKSCKGVRDKVKPKFSLHIKSHKVGLSRPLTSKDEDVMSSKVLDEPEQLEPIEDEAVEKSNLEFLEDFHGQTEVPLIIAPADEALGNGIVEHSMTELLDGLQDRNVQLRGNPKMFRRTRGKKRAQLMGKKNISLLGDRNIDDEEKPEQVITGSSSDDEANYANLNLANPEMKGQSVADRFQEALAATSLSNDGALVTAAKLSGIGLFGKLQQVMQSEKERDTDFLKKIQMGASPNDKSRCNVVKILSIYLEAKLSVCRCLFGSNIEGSQKLVDKEREGTVIFSPRICGDVDLEVGSLICIHPPWKEVQAMGNDNSVILSTYFSKVWKESNN >Manes.01G216000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38527109:38533387:1 gene:Manes.01G216000.v8.1 transcript:Manes.01G216000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQNVFPGNSDSDQSISDEEELNGHMTDNCFSLNTNAEKEQGLLLQSRLEILRGMNDGSMEKEAGNLTHEDQTSFFAGDKVKMLDFPKNGGLTFSQRKESKHHPDEEIISDDEDDYVLANSITRGDRKLNKDSNLHGFRREKQDEARTWSMISKEAKALNHLNKQSLSSFSAFSRGNKSCKGVRDKVKPKFSLHIKSHKVGLSRPLTSKDEDVMSSKVLDEPEQLEPIEDEAVEKSNLEFLEDFHGQTEVPLIIAPADEALGNGIVEHSMTELLDGLQDRNVQLRGNPKMFRRTRGKKRAQLMGKKNISLLGDRNIDDEEKPEQVITGSSSDDEANYANLNLANPEMKGQSVADRFQEALAATSLSNDGALVTAAKLSGIGLFGKLQQVMQSEKERDTDFLKKIQMGASPNDKSRCNVVKILSIYLEAKLSVCRCLFGSNIEGSQKLVDKEREGTVIFSPRICGDVDLEVGSLICIHPPWKEVQAMGNDNSVILSTYFSKVWKESNN >Manes.01G216000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38527109:38533387:1 gene:Manes.01G216000.v8.1 transcript:Manes.01G216000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQNVFPGNSDSDQSISDEEELNGHMTDNCFSLNTNAEKEQGLLLQSRLEILRGMNDGSMEKEAGNLTHEDQTSFFAGDKVKMLDFPKNGGLTFSQRKESKHHPDEEIISDDEDDYVLANSITRGDRKLNKDSNLHGFRREKQDEARTWSMISKEAKALNHLNKQSLSSFSAFSRGNKSCKGVRDKVKPKFSLHIKSHKVGLSRPLTSKDEDVMSSKVLDEPEQLEPIEDEAVEKSNLEFLEDFHGQTEVPLIIAPADEALGNGIVEHSMTELLDGLQDRNVQLRGNPKMFRRTRGKKRAQLMGKKNISLLGDRNIDDEEKPEQVITGSSSDDEANYANLNLANPEMKGQSVADRFQEALAATSLSNDGALVTAAKLSGIGLFGKLQQVMQSEKERDTDFLKKIQMGASPNDKSRCNVVKILSIYLEAKLSVCRCLFGSNIEGSQKLVDKEREGTVIFSPRICGDVDLEVGSLICIHPPWKEVQAMGNDNSVILSTYFSKVWKESNN >Manes.01G216000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38527109:38533387:1 gene:Manes.01G216000.v8.1 transcript:Manes.01G216000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQNVFPGNSDSDQSISDEEELNGHMTDNCFSLNTNAEKEQGLLLQSRLEILRGMNDGSMEKEAGNLTHEDQTSFFAGDKVKMLDFPKNGGLTFSQRKESKHHPDEEIISDDEDDYVLANSITRGDRKLNKDSNLHGFRREKQDEARTWSMISKEAKALNHLNKQSLSSFSAFSRGNKSCKGVRDKVKPKFSLHIKSHKVGLSRPLTSKDEDVMSSKVLDEPEQLEPIEDEAVEKSNLEFLEDFHGQTEVPLIIAPADEALGNGIVEHSMTELLDGLQDRNVQLRGNPKMFRRTRGKKRAQLMGKKNISLLGDRNIDDEEKPEQVITGSSSDDEANYANLNLANPEMKGQSVADRFQEALAATSLSNDGALVTAAKLSGIGLFGKLQQVMQSEKERDTDFLKKIQMGASPNGLPKVGG >Manes.01G216000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38527990:38533387:1 gene:Manes.01G216000.v8.1 transcript:Manes.01G216000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQNVFPGNSDSDQSISDEEELNGHMTDNCFSLNTNAEKEQGLLLQSRLEILRGMNDGSMEKEAGNLTHEDQTSFFAGDKVKMLDFPKNGGLTFSQRKESKHHPDEEIISDDEDDYVLANSITRGDRKLNKDSNLHGFRREKQDEARTWSMISKEAKALNHLNKQSLSSFSAFSRGNKSCKGVRDKVKPKFSLHIKSHKVGLSRPLTSKDEDVMSSKVLDEPEQLEPIEDEAVEKSNLEFLEDFHGQTEVPLIIAPADEALGNGIVEHSMTELLDGLQDRNVQLRGNPKMFRRTRGKKRAQLMGKKNISLLGDRNIDDEEKPEQVITGSSSDDEANYANLNLANPEMKGQSVADRFQEALAATSLSNDGALVTAAKLSGIGLFGKLQQVMQSEKERDTDFLKKIQMGASPNDKSRCNVVKILSIYLEAKLSVCRCLFGSNIEGSQKLVDKEREGTVIFSPRICGDVDLEVGSLICIHPPWKEVQAMGNDNSVILSTYFSKVWKESNN >Manes.14G008600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:480636:485210:-1 gene:Manes.14G008600.v8.1 transcript:Manes.14G008600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFETESQKKKFGAGVFGDVHTVTMMLPKELHLDKMDPPRLSSPPSLDLRFQLPPFKLSVRDFVKSREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFLEIIEQQGWQGLWAGNAINMIRIIPTQAIELGTFECVKRAMTSMQEKWNQADCPRVQIGPVSLNFSLSWISPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSRDLYPSLSIAISKIYKDGGIGAFYAGISPTLIGMLPYSTCYYFMYETMKNSYCQSKKKKSLNRPEMLLIGALAGFTASTISFPLEVARKRLMVGALQGKCPPHMAAALSEVIREEGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVDKRLL >Manes.14G008600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:480636:485210:-1 gene:Manes.14G008600.v8.1 transcript:Manes.14G008600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFETESQKKKFGAGVFGDVHTVTMMLPKELHLDKMDPPRLSSPPSLDLRFQLPPFKLSVRDFVKSREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFLEIIEQQGWQGLWAGNAINMIRIIPTQAIELGTFECVKRAMTSMQEKWNQADCPRVQIGPVSLNFSLSWISPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSRDLYPSLSIAISKIYKDGGIGAFYAGISPTLIGMLPYSTCYYFMYETMKNSYCQSKKKKSLNRPEMLLIGALAGFTASTISFPLEVARKRLMVGALQGKCPPHMAAALSEVIREEGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVDKRLL >Manes.14G008600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:480635:485210:-1 gene:Manes.14G008600.v8.1 transcript:Manes.14G008600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQSQSQDFVKSREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFLEIIEQQGWQGLWAGNAINMIRIIPTQAIELGTFECVKRAMTSMQEKWNQADCPRVQIGPVSLNFSLSWISPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSRDLYPSLSIAISKIYKDGGIGAFYAGISPTLIGMLPYSTCYYFMYETMKNSYCQSKKKKSLNRPEMLLIGALAGFTASTISFPLEVARKRLMVGALQGKCPPHMAAALSEVIREEGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVDKRLL >Manes.14G008600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:480636:485210:-1 gene:Manes.14G008600.v8.1 transcript:Manes.14G008600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQSQSQKKKFGAGVFGDVHTVTMMLPKELHLDKMDPPRLSSPPSLDLRFQLPPFKLSVRDFVKSREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFLEIIEQQGWQGLWAGNAINMIRIIPTQAIELGTFECVKRAMTSMQEKWNQADCPRVQIGPVSLNFSLSWISPVAVGGAAAGIVSTLVCHPLEVLKDRLTVSRDLYPSLSIAISKIYKDGGIGAFYAGISPTLIGMLPYSTCYYFMYETMKNSYCQSKKKKSLNRPEMLLIGALAGFTASTISFPLEVARKRLMVGALQGKCPPHMAAALSEVIREEGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVDKRLL >Manes.03G017800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1496972:1502237:-1 gene:Manes.03G017800.v8.1 transcript:Manes.03G017800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMSPFKGITSDVKGRAACYKEDWVSALRSGIRILAPTTYIFFASALPVIAFGEQLNRDTDGSLSTVETLVSTAICGIIHSIFGGQPLLIVGVAEPTVIMYTYLYKFSNAKAELGQKLYLAWAGWVCVWTALMLFLLAIFNAGNIITKFTRIAGELFGMLITVLFFQEAIKGLVSEFNIPKHENPQSEKYQFHWLYANGLLAIIFSFGLLVTALKSRQARSWRYGTGWLRSFIADYGVPLMVLFWTLMTYSVPSKVPVGVPRRLQCPLLWDSMSVYHWTVIKDMGKVPVVYIFVAIIPAIMIAGLYFFDHCVASQMAQQKEFNLKNPSAYHYDVLLLGFMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKKQLIRKKMVKSAKECIERNASNSEIYGRMQAVFIEMDTAPPNASVDKELKDLKEAVMKSDDGGDTKENFDPEKHLDAYLPVRVNEQRMSNLLQSLLVGLSTCALPIIKQIPTSVLWGYFAYMAIDSLPGNQFWERILLIFVPPNRRYKVLEGVHASFVEMVPFKQIFIFTLFQFVYFLICFGVTWIPIGGVLFPLPFFLLISIRHSIFPKVFHPLYLQELDAAEYEEIAGSPKRSRSLMHRERELHDLRNEPNDGDDFYDAEILDEMTTHRGELKLRTSSFKEDKLYQVHPRDDDGRRQ >Manes.03G017800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1496820:1502237:-1 gene:Manes.03G017800.v8.1 transcript:Manes.03G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMSPFKGITSDVKGRAACYKEDWVSALRSGIRILAPTTYIFFASALPVIAFGEQLNRDTDGSLSTVETLVSTAICGIIHSIFGGQPLLIVGVAEPTVIMYTYLYKFSNAKAELGQKLYLAWAGWVCVWTALMLFLLAIFNAGNIITKFTRIAGELFGMLITVLFFQEAIKGLVSEFNIPKHENPQSEKYQFHWLYANGLLAIIFSFGLLVTALKSRQARSWRYGTGWLRSFIADYGVPLMVLFWTLMTYSVPSKVPVGVPRRLQCPLLWDSMSVYHWTVIKDMGKVPVVYIFVAIIPAIMIAGLYFFDHCVASQMAQQKEFNLKNPSAYHYDVLLLGFMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKKQLIRKKMVKSAKECIERNASNSEIYGRMQAVFIEMDTAPPNASVDKELKDLKEAVMKSDDGGDTKENFDPEKHLDAYLPVRVNEQRMSNLLQSLLVGLSTCALPIIKQIPTSVLWGYFAYMAIDSLPGNQFWERILLIFVPPNRRYKVLEGVHASFVEMVPFKQIFIFTLFQFVYFLICFGVTWIPIGGVLFPLPFFLLISIRHSIFPKVFHPLYLQELDAAEYEEIAGSPKRSRSLMHRERELHDLRNEPNDGDDFYDAEILDEMTTHRGELKLRTSSFKEDKLYQVHPRDDDGRRQ >Manes.03G017800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1496972:1502125:-1 gene:Manes.03G017800.v8.1 transcript:Manes.03G017800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMSPFKGITSDVKGRAACYKEDWVSALRSGIRILAPTTYIFFASALPVIAFGEQLNRDTDGSLSTVETLVSTAICGIIHSIFGGQPLLIVGVAEPTVIMYTYLYKFSNAKAELGQKLYLAWAGWVCVWTALMLFLLAIFNAGNIITKFTRIAGELFGMLITVLFFQEAIKGLVSEFNIPKHENPQSEKYQFHWLYANGLLAIIFSFGLLVTALKSRQARSWRYGTGWLRSFIADYGVPLMVLFWTLMTYSVPSKVPVGVPRRLQCPLLWDSMSVYHWTVIKDMGKVPVVYIFVAIIPAIMIAGLYFFDHCVASQMAQQKEFNLKNPSAYHYDVLLLGFMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKKQLIRKKMVKSAKECIERNASNSEIYGRMQAVFIEMDTAPPNASVDKELKDLKEAVMKSDDGGDTKENFDPEKHLDAYLPVRVNEQRMSNLLQSLLVGLSTCALPIIKQIPTSVLWGYFAYMAIDSLPGNQFWERILLIFVPPNRRYKVLEGVHASFVEMVPFKQIFIFTLFQFVYFLICFGVTWIPIGGVLFPLPFFLLISIRHSIFPKVFHPLYLQELDAAEYEEIAGSPKRSRSLMHRERELHDLRNEPNDGDDFYDAEILDEMTTHRGELKLRTSSFKEDKLYQVHPRDDDGRRQ >Manes.12G001500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:364699:366681:-1 gene:Manes.12G001500.v8.1 transcript:Manes.12G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNVGPVAFPIRNPRSYSNIFMATYTASWEEEKTGTPYSYRPAKEVHFQKTHSMPPQKSEIFKSLEGWAENNVLNLLKPVEKSWQPQDFLPQPDSEGFYDQVKEMRERTKELSDDYFVVLAGDMITEEAVPTYQSMLNTLDGLEDKTGVSLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRTIEKTIQYLIGCGMDSKFENNPYCGFIYTSFQERATFVSHGNTARLAKEKGDIKLAQICGTIAADEKRHETAYVKIVEKLFEIDSDTTVLAFENMMRKKITMPAQLMYDGEDYNLFDHYSAVAQRLGVYTAKDYADILEFLVERWGVDKLTGLSSEGRRAQDFVCGLAPRFRKLEERAQERAKKYATIPCSWIYGREVQL >Manes.02G014432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1397514:1397874:1 gene:Manes.02G014432.v8.1 transcript:Manes.02G014432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSYTAWFAYTLIFNSTKNKGTINIMGADLMMEKTRDSVVGGTGDFFMARGIATIQTDTSQGDYYFRLKMDIKLYVNVMKIIRFYE >Manes.01G108900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30766690:30774314:-1 gene:Manes.01G108900.v8.1 transcript:Manes.01G108900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERYIDDGMHPRVLVDGFEVAKRATLQFLEKFKTPVVSGDEPDKEILKMVARTTLRTKLYEALADQLTDIVVNAVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYDKSEINAGFFYSNAEQREAMVAAERRQVDERVKKIIELKDKVCSGNDSNLVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDCLGWAGLVYEHILGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFEFAARKYLLNEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDEIVSLTGEHDRDNIVGINLQMGGPLDPQMEGIFDNYSVKRQVINSGPVIASQLLLVDEVIRAGRNMRKPN >Manes.01G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30097357:30098653:1 gene:Manes.01G100100.v8.1 transcript:Manes.01G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHEQKLLKKVNFLQWKREGDHREANVMHRYHIVERDDYKKYSGLCRMVQKLVNILKQMDPRDPYRVEMTDVLLEKLYNMGVIPSRKSLALCDRLSVSSFCRRRLSTVLVRLKFAEHLKEAVTYIEQGHIRVGPETVTDPAFLVTRNMEDFITWVDTSKIKRKVLEYNDQLDDYDVMN >Manes.01G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31023312:31029747:-1 gene:Manes.01G112000.v8.1 transcript:Manes.01G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIFILTITFVCSPFVSSTDERYQNCSAKFDCGNITNIGYPFWRSDQPDYCGHPKFWLNCTDEAALITIQNLTYQVLEIDSDTHNLKLAREDYIGDICPDLLINTTLDSFFNYASDAQDVTLYYGCPMLSIPFPGVIGFSNQFNCTLNNTEQRGFYVTREVDLGNFNSTIIGLLNLCENRVIVPATQSAIAPVERSPTEGNLVTALEQGFGLQWDVNNAVCETCNLSKGMCGYNTTTSSFVCYCADQPEQFSCRGSTANQPESSGPAGKYNKVVLGIGLAIAAITVLTIFLGWYYCFRRRGFWSGKALTLQRKNKTQAQNIETFIMNYHRLMPRRYSYSEIKKMTKSFTDKLGEGGFGGVYKGKSPDGRFVAVKVLNKSMGDGEEFINEVASISRTSHVNIVILLGFCYERDKKALVYEYMSNGSLDKFIYNQRSEQRNCCLDWKTLYGIAIGVARGLEYLHCGCNSRIVHFDIKPQNVLLDDDFCPKISDFGLAKLCKGKESKVSILGAKGTAGYIAPEVFMRSYGRVSYKSDVYSYGMMILELFEGKDDINVGEASQSSEMYFPDWIYKKLELGHTCSLHENMTVEEGETVRRMVIVGLWCIQTNPSDRPSMTRVIEMLEGNLQSLQIPPKPVLFSPIILSDSSAVSSTTYEQ >Manes.18G033800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3032055:3032291:-1 gene:Manes.18G033800.v8.1 transcript:Manes.18G033800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNFILAVALVIALVLSHGITSTEGRQLKLQQKSVMSTRNFNFYRRNLDGDSDDFRPTNPGHSPGAGHSNGPSSKP >Manes.02G201524.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:17248597:17248956:1 gene:Manes.02G201524.v8.1 transcript:Manes.02G201524.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVNILSDPWLYSEDGFHVSLPFLSGFRNLLVRDLFSNDTYQWNYFLLCNLFLPRDVKKNLKLPICQENLEDDLVWHSICGGDYIVKSGYDVALSMTALLARLLTQLSDWSKLWALQIPPK >Manes.08G075300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15933924:15934939:1 gene:Manes.08G075300.v8.1 transcript:Manes.08G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASYIHMVHHLIEECIVFNMSKEECMEALNKHANIKPVITSTVWNELEKENKEFFEAYKKKKRGEEASEMETRHKIQGVIAESSNTTQDH >Manes.11G122200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28350697:28354708:-1 gene:Manes.11G122200.v8.1 transcript:Manes.11G122200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYKFFLIYLQLVLFFLQFLQAHSSGNHTDKLALLNFKAEIISDPDEILNSWNDSLPFCQWYGITCSRRHQRVTLMVLGDHNLIGSISPHIGNLSFLRILNLQNNGFNGRIPQEIGNLLRLQQLNLNNNMIEGDIPLNLTRCSRLKIIQLQRNNLTGKILTELDSLAMLEELRLSVNNLNGTIPPSLGNLSSLAILDAGRMELEGNIPHELGRFTELTFFNFEDNKLSGMIPLSIFNISSLRNISITQNKLSGILPRNIGITLPNLQQIRIGDNLFSGFIPNSFCNASQLEILEVVENNFVGQLPNCLGNLQRLQRLKVADNNLGYNTTSDMAFLTSLKNCSNMKILGFSSNNFGGVLPNSVANLSVQLNQLYFGGNQITGVIPEALENLINLISLDMRGNLLTGVIPSSLGKLRKLQLLDLGENRLSGKVPFTIGNITQLFKLTFSQNNLEGIIPISIRNCQNLLYLDISRNNFNGSIPKEILLPSLSLCLNLSRNSLTGSLPAEVGKLTNINKLDVSGNMLSGEIPVTIGSCSSLEYLYMQQNSFQGMIPSSLISLKGLQKLDISQNNLTGEIPKVLQSLIYLLYLNLSFNNLMGEIPSEGVFNNSSAISLIGNNKLCGGVPELHLPKCPTKEIGHDMLCGATSVFNQPKCPTKEIGHDMELRCANNRICFDESIAIKLVIIIPCVIFFILLMVSSVLAYQWRTSKRKSFVTPVEMDHIVKVSYKELYDATRGFSAHELIGSGSFGSVYKGFLNEIEGPVAIKVLNLGMNGASKSFMDECKVLGKVRHRNLAKLLTYCSSIDYKQNEFKALVYEFIGKGSLEKWLHHTRTNYKSRKLNFLRRLNIAIDVASALHYIHDLYEIPIIHCDLKPSNILIDDDMVAHLSDFGLAKLYTNDVSQSETSSVGIRGTIGYIPPEYGIGSTASKEGDVYSYGILILEMFTGKRPTDKIFKNYLTLHNFVKDALPERLVHITDPTLLPRGMEETQTTNSEIDEQAQTHAEAESSTNGNISRTSIAKEKDGLISVFKVGIACSAQSPKNRMKMRDVIKELHLLRSTFLGVRIFG >Manes.11G122200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28350697:28354708:-1 gene:Manes.11G122200.v8.1 transcript:Manes.11G122200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYKFFLIYLQLVLFFLQFLQAHSSGNHTDKLALLNFKAEIISDPDEILNSWNDSLPFCQWYGITCSRRHQRVTLMVLGDHNLIGSISPHIGNLSFLRILNLQNNGFNGRIPQEIGNLLRLQQLNLNNNMIEGDIPLNLTRCSRLKIIQLQRNNLTGKILTELDSLAMLEELRLSVNNLNGTIPPSLGNLSSLAILDAGRMELEGNIPHELGRFTELTFFNFEDNKLSGMIPLSIFNISSLRNISITQNKLSGILPRNIGITLPNLQQIRIGDNLFSGFIPNSFCNASQLEILEVVENNFVGQLPNCLGNLQRLQRLKVADNNLGYNTTSDMAFLTSLKNCSNMKILGFSSNNFGGVLPNSVANLSVQLNQLYFGGNQITGVIPEALENLINLISLDMRGNLLTGVIPSSLGKLRKLQLLDLGENRLSGKVPFTIGNITQLFKLTFSQNNLEGIIPISIRNCQNLLYLDISRNNFNGSIPKEILLPSLSLCLNLSRNSLTGSLPAEVGKLTNINKLDVSGNMLSGEIPVTIGSCSSLEYLYMQQNSFQGMIPSSLISLKGLQKLDISQNNLTGEIPKVLQSLIYLLYLNLSFNNLMGEIPSEGVFNNSSAISLIGNNKLCGGVPELHLPKCPTKEIGHDMLCGATSVFNQPKCPTKEIGHDMELRCANNRICFDESIAIKLVIIIPCVIFFILLMVSSVLAYQWRTSKRKSFVTPVEMDHIVKVSYKELYDATRGFSAHELIGSGSFGSVYKGFLNEIEGPVAIKVLNLGMNGASKSFMDECKVLGKVRHRNLAKLLTYCSSIDYKQNEFKALVYEFIGKGSLEKWLHHTRTNYKSRKLNFLRRLNIAIDVASALHYIHDLYEIPIIHCDLKPSNILIDDDMVAHLSDFGLAKLYTNDVSQSETSSVGIRGTIGYIPPEYGIGSTASKEGDVYSYGILILEMFTGKRPTDKIFKNYLTLHNFVKDALPERLVHITDPTLLPRGMEETQTTNSEIDEQAQTHAEAESSTNGNISRTSIAKEKDGLISVFKVGIACSAQSPKNRMKMRDVIKELHLLRSTFLGVRIFG >Manes.03G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1846928:1850105:1 gene:Manes.03G022300.v8.1 transcript:Manes.03G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALDRDQTTLKSKSFHVKRMFDHSGKHSQSSVHENGNDSSGADEKSHSRNHPKQDTPLNLPRSDKSGAKIPNPHNKGPTELEMIKDKFAKLLLGEDMSGGGKGVASALALSNAITNLAASVFGEQKRLEPMNPERKTRWRREIDWLLSVTDHIVELVPSQQSNNGVNMEIMVTKQRSDLLMNIPALRKLDNLLIEHLDQFGNQKEFCYVSRDSEDSEQGTAPRNDDKWWIPTVKVPPEGLSEAMRRWMLSQKDSVNQVLKAAMAINAQVLSEMVVPENYIESLPKNGRESLGDSIYKNITVEFFDPEQFLSTMDLSTEHKVLDLKNRIEASIVIWKRKMHQKDGKSSWGSGVSLEKRELFEERAETILIILKQRFPGIPQSALDISKIQFNKDVGQAVLESYSRIIESLAFTVLSRIEDVLYADTLTQSPRSKSDDNEKAEEETGKMSPADTSTPTSVRLSDFMGWGADTNIKKNSCYTENYCKGEHDQKAMLRPLATKRFSYLEKLESLSGIRSPTARN >Manes.09G175400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36674520:36680567:1 gene:Manes.09G175400.v8.1 transcript:Manes.09G175400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERKRSKGSFFHFFDWNGKSRKKLFENNSELSEGSEQEKENVESIAKLQVHAIEEDDRRANSSNKGSIDFSSTSSVTSDEGFGTRAPGVVARLMGLDSFPTSNVAKPSSTPFYDSASFRASQHDRSNHNLWSGYNPMDYLNNSGKQEGYAWESRSLKMQNRPIERYQTEILPPKSAKSIPITHHKLLSPIKTPGFIPTKNVAYIMEAAAKIIEASPKATINGKMPSIGTSSVPLRIQDLKLKMEAAHTASRPQRPNELFSAKNTKGHHSDNNRTRSEGKLSCNASSCSEKGASDSLKHKGKSISLSLQSKSNAQRGKGSTSRNNNIKQKEQMEIRSNQSLKSLPNTQKAKRTSEGKTGNVLRQNKQKQNCESGKESSTSKNSVSNQSGRKAQSMSSSAGHSRTANKVVIKPETLSKKVHSVVTTSERDKPSNISRKKQSVNGDFQVDRSVSHNVSCNRDVRLIKCKVATDGSMHTDIDNRKNGMDVISFTFTSPVKRATPFQSSMMGETNSCSIDSFAANGHPYFQKSTSSFSGLTIVAGDALGVLLEQKLQELTNKFESTHCNIIRDKSSGSSTSSLQNFLSTVNVVSTVPAAQDERIELVEIDKSDHPDCCSLESPKLTKNQIWQGSEEMEEHSCSRSFSFAEIDLECRHPSPVSILEPSFESASCSNTNVQSDEMLSDFSTNEFLEVEAETELSDSASSISTLDIRRKLARTFTTTEVKGSSDWELDYVKDVLKNAETMLKDLALGHAPKIINPQLFHLLENQENVIKRNEGEDSKLGRKVLFDCVSERLELMCGQAFVGSCKSWAKICMLFESKGWLAEELYQEISGWKSMGDLMVDELVDRDMSTQYGRWLDFNIEIFEEGVKIEKGILTSLVDELVSDFFIM >Manes.09G175400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36674520:36680567:1 gene:Manes.09G175400.v8.1 transcript:Manes.09G175400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERKRSKGSFFHFFDWNGKSRKKLFENNSELSGSEQEKENVESIAKLQVHAIEEDDRRANSSNKGSIDFSSTSSVTSDEGFGTRAPGVVARLMGLDSFPTSNVAKPSSTPFYDSASFRASQHDRSNHNLWSGYNPMDYLNNSGKQEGYAWESRSLKMQNRPIERYQTEILPPKSAKSIPITHHKLLSPIKTPGFIPTKNVAYIMEAAAKIIEASPKATINGKMPSIGTSSVPLRIQDLKLKMEAAHTASRPQRPNELFSAKNTKGHHSDNNRTRSEGKLSCNASSCSEKGASDSLKHKGKSISLSLQSKSNAQRGKGSTSRNNNIKQKEQMEIRSNQSLKSLPNTQKAKRTSEGKTGNVLRQNKQKQNCESGKESSTSKNSVSNQSGRKAQSMSSSAGHSRTANKVVIKPETLSKKVHSVVTTSERDKPSNISRKKQSVNGDFQVDRSVSHNVSCNRDVRLIKCKVATDGSMHTDIDNRKNGMDVISFTFTSPVKRATPFQSSMMGETNSCSIDSFAANGHPYFQKSTSSFSGLTIVAGDALGVLLEQKLQELTNKFESTHCNIIRDKSSGSSTSSLQNFLSTVNVVSTVPAAQDERIELVEIDKSDHPDCCSLESPKLTKNQIWQGSEEMEEHSCSRSFSFAEIDLECRHPSPVSILEPSFESASCSNTNVQSDEMLSDFSTNEFLEVEAETELSDSASSISTLDIRRKLARTFTTTEVKGSSDWELDYVKDVLKNAETMLKDLALGHAPKIINPQLFHLLENQENVIKRNEGEDSKLGRKVLFDCVSERLELMCGQAFVGSCKSWAKICMLFESKGWLAEELYQEISGWKSMGDLMVDELVDRDMSTQYGRWLDFNIEIFEEGVKIEKGILTSLVDELVSDFFIM >Manes.09G175400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36674520:36680567:1 gene:Manes.09G175400.v8.1 transcript:Manes.09G175400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERKRSKGSFFHFFDWNGKSRKKLFENNSELSGSEQEKENVESIAKLQVHAIEEDDRRANSSNKGSIDFSSTSSVTSDEGFGTRAPGVVARLMGLDSFPTSNVAKPSSTPFYDSASFRASQHDRSNHNLWSGYNPMDYLNNSGKQEGYAWESRSLKMQNRPIERYQTEILPPKSAKSIPITHHKLLSPIKTPGFIPTKNVAYIMEAAAKIIEASPKATINGKMPSIGTSSVPLRIQDLKLKMEAAHTASRPQRPNELFSAKNTKGHHSDNNRTRSEGKLSCNASSCSEKGASDSLKHKGKSISLSLQSKSNAQRGKGSTSRNNNIKQKEQMEIRSNQSLKSLPNTQKAKRTSEGKTGNVLRQNKQKQNCESGKESSTSKNSVSNQSGRKAQSMSSSAGHSRTANKVVIKPETLSKKVHSVVTTSERDKPSNISRKKQSVNGDFQVDRSVSHNVSCNRDVRLIKCKVATDGSMHTDIDNRKNGMDVISFTFTSPVKRATPFQSSMMGETNSCSIDSFAANGHPYFQKSTSSFSGLTIVAGDALGVLLEQKLQELTNKFESTHCNIIRDKSSGSSTSSLQNFLSTVNVVSTVPAAQDERIELVEIDKSDHPDCCSLESPKLTKNQIWQGSEEMEEHSCSRSFSFAEIDLECRHPSPVSILEPSFESASCSNTNAVQSDEMLSDFSTNEFLEVEAETELSDSASSISTLDIRRKLARTFTTTEVKGSSDWELDYVKDVLKNAETMLKDLALGHAPKIINPQLFHLLENQENVIKRNEGEDSKLGRKVLFDCVSERLELMCGQAFVGSCKSWAKICMLFESKGWLAEELYQEISGWKSMGDLMVDELVDRDMSTQYGRWLDFNIEIFEEGVKIEKGILTSLVDELVSDFFIM >Manes.09G175400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36674520:36680567:1 gene:Manes.09G175400.v8.1 transcript:Manes.09G175400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERKRSKGSFFHFFDWNGKSRKKLFENNSELSEGSEQEKENVESIAKLQVHAIEEDDRRANSSNKGSIDFSSTSSVTSDEGFGTRAPGVVARLMGLDSFPTSNVAKPSSTPFYDSASFRASQHDRSNHNLWSGYNPMDYLNNSGKQEGYAWESRSLKMQNRPIERYQTEILPPKSAKSIPITHHKLLSPIKTPGFIPTKNVAYIMEAAAKIIEASPKATINGKMPSIGTSSVPLRIQDLKLKMEAAHTASRPQRPNELFSAKNTKGHHSDNNRTRSEGKLSCNASSCSEKGASDSLKHKGKSISLSLQSKSNAQRGKGSTSRNNNIKQKEQMEIRSNQSLKSLPNTQKAKRTSEGKTGNVLRQNKQKQNCESGKESSTSKNSVSNQSGRKAQSMSSSAGHSRTANKVVIKPETLSKKVHSVVTTSERDKPSNISRKKQSVNGDFQVDRSVSHNVSCNRDVRLIKCKVATDGSMHTDIDNRKNGMDVISFTFTSPVKRATPFQSSMMGETNSCSIDSFAANGHPYFQKSTSSFSGLTIVAGDALGVLLEQKLQELTNKFESTHCNIIRDKSSGSSTSSLQNFLSTVNVVSTVPAAQDERIELVEIDKSDHPDCCSLESPKLTKNQIWQGSEEMEEHSCSRSFSFAEIDLECRHPSPVSILEPSFESASCSNTNAVQSDEMLSDFSTNEFLEVEAETELSDSASSISTLDIRRKLARTFTTTEVKGSSDWELDYVKDVLKNAETMLKDLALGHAPKIINPQLFHLLENQENVIKRNEGEDSKLGRKVLFDCVSERLELMCGQAFVGSCKSWAKICMLFESKGWLAEELYQEISGWKSMGDLMVDELVDRDMSTQYGRWLDFNIEIFEEGVKIEKGILTSLVDELVSDFFIM >Manes.04G023800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2771571:2780740:-1 gene:Manes.04G023800.v8.1 transcript:Manes.04G023800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEMIACSNSATRTKYPANKMGVIDILFRVDEICKKYDKYDIDKQRDLNTYGNDAFAHLYASVESDIEAALQKSESATTEKNRAAAAAMNAEVRRTKARLMEELPKLKKLAHKKVKGLSKEEHAVRPDLVLALPERIQAIPDGTAGAAQSGGWAGSAPNKNIKFDTSEEHLDDGFFQQSEESSQFRQEYEMRKLKQDQGLDFISEGLDTLKDLAHDMSEELDRQVPLMDEIDTKVDKASSDLRNTNIRLKKAIVEVRSSRNFCIDMILLCIILGIASYIYKNKRASSLIQSLLVSSVGGYLVR >Manes.09G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33605891:33610114:-1 gene:Manes.09G135800.v8.1 transcript:Manes.09G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHVSSFVAVSKKYLLCLFSFILALFILSWFFLLPSTDRPNFIDHSLLTNAFRSTTDSGSSHSWNQKNVEPLLGSRGGGGDEETAQEKVAVKEVKCNVHNENNTEPLKVFMYDLPSQFHFELLDWIPQAGSVWPDLRTKIPAYPGGLNLQHSIEYWLTLDLLASETPGFPRAGSAIRIRNSSEADVIFVPFFSSLSYNRYSKVNPHQKKSKNKLLQQKLVKFVISQREWKRSGGRNHVILAHHPNSLLQARMKLWPAMFILADFGRYPPNIANVEKDVIAPYKHVIRSYVNDLSNFDNRPILLYFQGAIYRKAGGHVRQELFYLLKDEKDVHFQFGSVKNNGINKASKGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSQFCIFVRASDAIKGKFLMNLIRGIGKDEWTRMWQKLKEVEHFFEFQYPSKEDDAVQMIWQAVARKVPAMRMKIHKSMRFSRSLTRKHRELREVPTPSNFW >Manes.09G058808.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9472531:9473544:1 gene:Manes.09G058808.v8.1 transcript:Manes.09G058808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLSSSSNTQQQTNLKMGSQKRKAGRKKFQETRHPVYKAVRRRKGKWVSELRQPYNKSSRIWLGTFPSPDMAARAYDVADLALRGDSSSLNFPESAHLLPQVRSTSTIDIQCAALEAADKFQHSSTSSSYSSSINTMDGTQKVEEACKSEDMFLDEEELFNMPALLDSMAEGLILTPPAMKKGFSWNDVEDDDPVNLTLWSD >Manes.07G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30498443:30503897:-1 gene:Manes.07G100200.v8.1 transcript:Manes.07G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIPWGVAVSPTSINNNLNFNFKKQQQQPSFFYSTSSSSSSSSSSSRVSHEPSLSPLSKSGACRASQVVELFPSVSPEIVVREARLEDCWEVVETHCSCFFPDYSFPLDFVLRIDRLVGMLSGFSIPNGCKRTCLVAIIGNSGDESFCFGSEDFKIGGFDGKFSLNRGYVAGILTVDTVADFLPRKGPLRQRRTGIAYISNVAVRERHRRKGIAKRLIAKAETQARSWGCRAIALHCDLNNPGATKLYKGQGFKCIKVPEGANWPQPRTSPDIKFNFMMKLLKTPTTT >Manes.10G119200.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28416235:28419719:-1 gene:Manes.10G119200.v8.1 transcript:Manes.10G119200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGAKFPCRLHHHRTFIAAKVKWVRDPYLDKAVSKEKDLKQAISVKNEILTSPSKSLPLSSLSLLKPLLNLPTTALKLFQKYPTLFTQFQPSASLPLHVKLTPHALSIHKEEQEIHNSSTHRDDAVKRLAKLLMLTRATRLPLHIIDRLKFDLGLPHNYITALLSDYPEYFQVCEAQDCFSDKETLHLELVCWRDELAVSEMEKRVAHGDVENVKKGERIGFSLSYPNGFDLKKKVKDWVFEWQGLPYISPYENAFHLNPNGDQAEKWVVAVLHELLWLLVSKKTEKENVLILGDYFGFGNRFKNALVHHPGMFYVSNKIRTQTVVLREAYRKDFLVIKHPLMGMRFRYIHLMNKANEKQRKAAGGALGSWSRRRVASSINRGKVRTIRDKSWKGEEDKSNGSSDSEFEDFDYSESEVEDGNDEVRKLDL >Manes.10G119200.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28416235:28419713:-1 gene:Manes.10G119200.v8.1 transcript:Manes.10G119200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGAKFPCRLHHHRTFIAAKVKWVRDPYLDKAVSKEKDLKQAISVKNEILTSPSKSLPLSSLSLLKPLLNLPTTALKLFQKYPTLFTQFQPSASLPLHVKLTPHALSIHKEEQEIHNSSTHRDDAVKRLAKLLMLTRATRLPLHIIDRLKFDLGLPHNYITALLSDYPEYFQVCEAQDCFSDKETLHLELVCWRDELAVSEMEKRVAHGDVENVKKGERIGFSLSYPNGFDLKKKVKDWVFEWQGLPYISPYENAFHLNPNGDQAEKWVVAVLHELLWLLVSKKTEKENVLILGDYFGFGNRFKNALVHHPGMFYVSNKIRTQTVVLREAYRKDFLVIKHPLMGMRFRYIHLMNKANEKQRKAAGGALGSWSRRRVASSINRGKVRTIRDKSWKGEEDKSNGSSDSEFEDFDYSESEVEDGNDEVRKLDL >Manes.10G119200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28416235:28419718:-1 gene:Manes.10G119200.v8.1 transcript:Manes.10G119200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGAKFPCRLHHHRTFIAAKVKWVRDPYLDKAVSKEKDLKQAISVKNEILTSPSKSLPLSSLSLLKPLLNLPTTALKLFQKYPTLFTQFQPSASLPLHVKLTPHALSIHKEEQEIHNSSTHRDDAVKRLAKLLMLTRATRLPLHIIDRLKFDLGLPHNYITALLSDYPEYFQVCEAQDCFSDKETLHLELVCWRDELAVSEMEKRVAHGDVENVKKGERIGFSLSYPNGFDLKKKVKDWVFEWQGLPYISPYENAFHLNPNGDQAEKWVVAVLHELLWLLVSKKTEKENVLILGDYFGFGNRFKNALVHHPGMFYVSNKIRTQTVVLREAYRKDFLVIKHPLMGMRFRYIHLMNKANEKQRKAAGGALGSWSRRRVASSINRGKVRTIRDKSWKGEEDKSNGSSDSEFEDFDYSESEVEDGNDEVRKLDL >Manes.10G119200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28416235:28419719:-1 gene:Manes.10G119200.v8.1 transcript:Manes.10G119200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGAKFPCRLHHHRTFIAAKVKWVRDPYLDKAVSKEKDLKQAISVKNEILTSPSKSLPLSSLSLLKPLLNLPTTALKLFQKYPTLFTQFQPSASLPLHVKLTPHALSIHKEEQEIHNSSTHRDDAVKRLAKLLMLTRATRLPLHIIDRLKFDLGLPHNYITALLSDYPEYFQVCEAQDCFSDKETLHLELVCWRDELAVSEMEKRVAHGDVENVKKGERIGFSLSYPNGFDLKKKVKDWVFEWQGLPYISPYENAFHLNPNGDQAEKWVVAVLHELLWLLVSKKTEKENVLILGDYFGFGNRFKNALVHHPGMFYVSNKIRTQTVVLREAYRKDFLVIKHPLMGMRFRYIHLMNKANEKQRKAAGGALGSWSRRRVASSINRGKVRTIRDKSWKGEEDKSNGSSDSEFEDFDYSESEVEDGNDEVRKLDL >Manes.10G119200.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28416235:28419718:-1 gene:Manes.10G119200.v8.1 transcript:Manes.10G119200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGAKFPCRLHHHRTFIAAKVKWVRDPYLDKAVSKEKDLKQAISVKNEILTSPSKSLPLSSLSLLKPLLNLPTTALKLFQKYPTLFTQFQPSASLPLHVKLTPHALSIHKEEQEIHNSSTHRDDAVKRLAKLLMLTRATRLPLHIIDRLKFDLGLPHNYITALLSDYPEYFQVCEAQDCFSDKETLHLELVCWRDELAVSEMEKRVAHGDVENVKKGERIGFSLSYPNGFDLKKKVKDWVFEWQGLPYISPYENAFHLNPNGDQAEKWVVAVLHELLWLLVSKKTEKENVLILGDYFGFGNRFKNALVHHPGMFYVSNKIRTQTVVLREAYRKDFLVIKHPLMGMRFRYIHLMNKANEKQRKAAGGALGSWSRRRVASSINRGKVRTIRDKSWKGEEDKSNGSSDSEFEDFDYSESEVEDGNDEVRKLDL >Manes.10G119200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28416235:28419713:-1 gene:Manes.10G119200.v8.1 transcript:Manes.10G119200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGAKFPCRLHHHRTFIAAKVKWVRDPYLDKAVSKEKDLKQAISVKNEILTSPSKSLPLSSLSLLKPLLNLPTTALKLFQKYPTLFTQFQPSASLPLHVKLTPHALSIHKEEQEIHNSSTHRDDAVKRLAKLLMLTRATRLPLHIIDRLKFDLGLPHNYITALLSDYPEYFQVCEAQDCFSDKETLHLELVCWRDELAVSEMEKRVAHGDVENVKKGERIGFSLSYPNGFDLKKKVKDWVFEWQGLPYISPYENAFHLNPNGDQAEKWVVAVLHELLWLLVSKKTEKENVLILGDYFGFGNRFKNALVHHPGMFYVSNKIRTQTVVLREAYRKDFLVIKHPLMGMRFRYIHLMNKANEKQRKAAGGALGSWSRRRVASSINRGKVRTIRDKSWKGEEDKSNGSSDSEFEDFDYSESEVEDGNDEVRKLDL >Manes.14G056700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4824906:4828157:-1 gene:Manes.14G056700.v8.1 transcript:Manes.14G056700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRFLLLALSLALVLGISESFEFHENDLASEESLWDLYERWRSHHTVSRSLHEKHKRFNVFKYNAMHVYNTNKQDKPYKLKLNKYADMTNHEFRSTYAGSKIKHHRMFRGVPHVNESFMYEKVERVPSSVDWRKKGAVTGVKDQGQCGSCWAFSTVVAVEGINQIKTNKLVSLSEQELVDCDIDVNQGCNGGLMEYAFEFIKNQGGITTETNYPYIADGGSCDVSKENSPAVSIDGYEKVPANNEDALLKAVANQPVSVAIDAGGSDFQFYSEGVFTGSCGTELDHGVAIVGYGTTVDGTKYWIVKNSWGTEWGEKGYIRMQRGISDREGLCGIAMEASYPIKNTSDNPKGVKSSPKDEL >Manes.18G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4023006:4027675:-1 gene:Manes.18G045800.v8.1 transcript:Manes.18G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNTQRKNIVMLDTDDDDNSSVSSSSTMKSDRTSVLGTEEVQLDKDSLLEQALDALYEKRGSTREKALASIIDAFNSNMQHQFLEKKFVTLLHQCLSCIKKGSSKEISLASHAIGLLALTVGCGDNAREILEDSVTPISQALKSGSESTKTVSLLECLAVITFVGGNEPAETERSMQIMWQLVRPKLGSNVVAVKPSAPVIAAVVSAWSFLLTTMDGWTLDPKDWQESISYFSGLLDKDDRSVRIAAGEALALIFEMGSLEKFTAEAKSSTNGSVQEGNKSREGLAHIQGLKAKILNQVRNLSAEAGGKGSTKKDLNSQRNLFKDVLEFLEYGYCPETSMKIGGDSLQTSTWSQLIQLNFLKRFLGSGFVKHMQDNDLLHDVFGFIPKRKYLQGVEHQMSSSEKRMYKSPNSVLNKARTQFLNKQRMMSKDRNFGRFSVNVGDEEA >Manes.07G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32628745:32632724:1 gene:Manes.07G122300.v8.1 transcript:Manes.07G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANVSLLSWLLLLSLSCELLVPSTTAAHANPKSYIVYMGERPKGQFSMSSHHLSMLQQAIGSNFSPESRLIRSFKRTFNAFVADLTWDEAQKIAGMANVVSVFPNKKRTLDTTRSWDFIGFSQQVKRSTLESDIVIGVLDTGIWPESEAFNDQGFVSPPSKWKGSCPPDFRCNNKLIGAKYYRNNETYDPRDDRSPRDTAGHGTHTASTAAGSLVSMASLYGLGEGTARGAVPLARVAVYKICWSDGCYDADILEAFGDAIEDGVDIISLSVGGDDIEDYFEDTAAIGAFHAMKKGIFTSTSAGNSGPGAGSIRKLSPWFLSVAASTIDRRFIANVQLGNNETYEGISINTFDLNQTMYPLIYGGDAPNLAQNYTAEKSRFCKENSLDENLVKGKIVLCDARSTGKTAFFARAAGVVMKGRRPRNRAFSYPLPASHLEDEDNNIFSYIRSTSNATATIYKSTEGNNTLAPFVPDFSSRGPNPITPDILKPDIAAPGVEILAAWSHVSSVTGIPGDVRLVPYNIISGTSMACPHATGVAAYVKSHHPTWSPSAIKSALMTTASPMSSWTNSDAEFAYGAGHINPVEANDPGLVYDAEPIDYVKFLCGQGYDSNRLQIVTGDNSSSCSETVNATVWDLNYPSFALSVSNSEFVSRVFNRVVTNVGLPTSTYKATVTAPPGLKIQVNPSMLAFTSVGEKLSFAVTIEGSMNSRIASASLVWDDGVYQVRSPIIVHILS >Manes.12G089978.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15934060:15937863:-1 gene:Manes.12G089978.v8.1 transcript:Manes.12G089978.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDLDNSSTASGEASVSSSGNQPPPPIVTGKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSKEVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKQYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESARNQTQTQNPTPTPTPTPTPASNLAAPNPNPESEPKLQVDPPPQSPPPAVPAASAPVPPALSQSAGVTSSSVSRTHSSELPDNPTPITEEARAPAPAGLNGSCSSSTSASSNGGTSSSVFASLFASSTASGSLQPPQTSPFTDLIRAMAHPDRPTDLASSSSIEPISLCLSTNHGSSIFGTAGQELRQYAPPPQPAMSATALLQKAAQMGAAATNASLLRGLGIVSSSSSAQQDNMPWGHRQVEPENTSIAAGLGLGLQCDGGSGLKELMMGTHSVFGAKQTTLDFLGLGMAAGGSPSSGLSALITSIGNGLDVAAAAASFGGGEFTSKDMGRSS >Manes.01G042501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8426188:8426881:-1 gene:Manes.01G042501.v8.1 transcript:Manes.01G042501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNGKELSFEKKRPRRRLPGSAAESEVRPPNMERFWERFWPPKALFERTKVRPPNMHEFRGHVRLPKVFDQATYKEPSDRKWASFLPILELRFEA >Manes.11G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3427382:3429341:1 gene:Manes.11G035300.v8.1 transcript:Manes.11G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKMMASAVHLFSSCFPVFLACMNGLADAARVFKVGDELGWQEPGGNNTAVYTQWAERNRFQVGDSLSFVYKNDSVIQVDKWGYYHCNDSNPIVAFNNGRSIFNLDRPGPFYFISGDPGHCRNGQHLIVEVMGLHHQRSHSPPSIANPPESYSAPSPQPSSGAVVSVRLVSLLVVLLATLVALLLSPKTILC >Manes.12G057502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5572531:5577315:-1 gene:Manes.12G057502.v8.1 transcript:Manes.12G057502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLFLSEPNWKEDGVRGDSVVAKQWISLLNQLQSLIWSLMTAGGRAEARLWLCSTISGITSLTSRQQRDLFVNLLRTRPTNHSLASQLLQMIFEKQPRKAGPIIAKRSYMLEKFFAGNPKRITQWFSNFANGGGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQRTVENFLDNVPEFWSSTEFAESLRDGDILFLDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHTYLSMNMEPVDFGNSSCWLEFALSRFNDCESFDQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQICTISSTGNSLDPLLNECFKMKTTEAIKFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSDKYAMLHHVGLSEESDYELDNVASVKRKRRKKKKSRKKKRNFDDEEFYENELLDLDTSNNQLGLQSKAGSWLLSTDGFSASWTNVDLPDHLSKFCFSTWMKWVFAK >Manes.16G104800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31053258:31055382:1 gene:Manes.16G104800.v8.1 transcript:Manes.16G104800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGLLKNEELYRYVLETSVYPREPQHLTDLRNVTANHPRAAMATSPDAGQLIAMLLQLVNAKKTIEVGVFTGYSLLLTALSIPEDGKVTAIDVNRETYEIGLPIIRRAGVEHKIDFIESEALPILDKLLKDYGNEGSFDFAFVDADKVNYWNYHERLLKLLKVGGIIVYDNTLWGGTVAMPEDSAPEAMKMGRQLTIDLNKLLAADSRVQISHASLGDGITICRRLY >Manes.16G104800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31047786:31055382:1 gene:Manes.16G104800.v8.1 transcript:Manes.16G104800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGLLKNEELYRYVLETSVYPREPQHLTDLRNVTANHPRAAMATSPDAGQLIAMLLQLVNAKKTIEVGVFTGYSLLLTALSIPEDGKVTAIDVNRETYEIGLPIIRRAGVEHKIDFIESEALPILDKLLKDYGNEGSFDFAFVDADKVNYWNYHERLLKLLKVGGIIVYDNTLWGGTVAMPEDSAPEAMKMGRQLTIDLNKLLAADSRVQISHASLGDGITICRRLY >Manes.06G026460.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7617193:7618131:-1 gene:Manes.06G026460.v8.1 transcript:Manes.06G026460.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEMIRKLEISGKILQQQVNQAVNSVNAHILRREEELQDLWDDDETLAAIPAEFVTRTSCKIVEPATTQLALVEAFASQETAPAVKNIEFVAITLSTHTVQTFEAKTATTVEPTKAPAAESVQSDASLVAVQATETRTATAVNNSEPATFPAQPVAEPAESEIYTAEIAPAVQKSATNLESTIKSATTPSNRTATAPAAEFSALADFSAPAYFSAPADFADAPVAEFSALADFSAPADFAAALAVEFSAPANSPTAVFAQTPADMAEYNM >Manes.01G200700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37409398:37413018:1 gene:Manes.01G200700.v8.1 transcript:Manes.01G200700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETDVAAAGLPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Manes.17G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23832731:23834454:-1 gene:Manes.17G044300.v8.1 transcript:Manes.17G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGVCSQTWFTSAMSLTKSGASNVPDSYILPSSARPNATLNPSTTLPIVDLSMLHHPSLRPRVVNEIRSACKEIGFFQVINHGIPLHVMKDALDAVMGFFDLPLEEKMLLMSGNVHAPVRYGTSLNHSRDKVHFWRDFIKHYSHPISEWIHLWPANPPSYREKMAKYATAVQNLQKQLMRVVLESLGLNPNYLQNEIEEGSQVMTMNCYPACPEPQLTLGMPPHSDYGSLTILLQSCTGLQIMDQNKNWIPVPVTEGALLVQLGDQVEVMSNGQYKSVVHQATVSPQRKRFSIASLHSLALNKKVGPAPELVDEQHPTSYKEFSFSDFLDYISNNDILDGRFIDTLKKNP >Manes.08G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35204546:35206564:1 gene:Manes.08G113000.v8.1 transcript:Manes.08G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGGSNGGSCYYTVLGIRRDASFSDIRTAYRKLAMKWHPDKWTRNPGVAGEAKLRFQQIQEAYSVLSDEAKRSMYDAGLYDPLEEEDKDFCDFMQEMISMMNNVKDEGDSFEDLQRMFADMVGGDGVSFDLNKDPMDRKRARVNASKGNAAKRNTARV >Manes.11G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9080364:9082424:1 gene:Manes.11G065300.v8.1 transcript:Manes.11G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDAAVAVETPAQPLGEAMDLMTALQLVLRKSLAHGGLVRGLHEGAKVIEKHAAQLCVLAEDCNQPDYIKLVKGLCADHGVGLLTVPSAKTLGEWVGLCKIDTEGKARKVVGCSCAVVQDYGEESEGLNVVQQHVKSH >Manes.12G022400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1933025:1938321:1 gene:Manes.12G022400.v8.1 transcript:Manes.12G022400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIIQVKGSRYLLHKFPLLSKCLRLQRLCSESPESSQHQIVQLPDFPGGIEAFELCAKFCYGITITLSAYNIVAARCAAEYLQMTEDVEKGNLIYKLEVFLNSCVLNGWKDSIVTLQSTKAFPLWSEDIGITSRCIEAIASKVLTQPSKIGLSHSQSRRVRDDVSCNGAESQRHKPASKLWWAEDMAELGIDLYWRTMVAIKSNGKVASNLIGDALKIYAARWLPNISRPRNANNEEASDSDSDSENETNSKHRLLLESIVSLLPADKGAVSCSFLLKLLKAANILNASSSSKMELARRVGLQLEEATVNDLLIPSFSNANDTLYDVNLVMTILEQFKLQGQSPPTSPPRSKLGFERRRSRSAENIDMEFQESRRSSSASHSSKLKVAKVVDGYLQQIASDVNLPLSKFIALAESIPDFARHDHDDLYRAIDIYLKAHPELTKSERKRLCRTLDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMAGGKVTELPRNIKALLASHDIDPSRSTAALSTTTSIAAEDQWSVSGLKSPKSKLSTLRMKLAEDDLEEHDLQSNGTGRASKFKAIRALPTRPRRMFSKLLSINRSAGEKN >Manes.12G022400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1933025:1938422:1 gene:Manes.12G022400.v8.1 transcript:Manes.12G022400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIIQVKGSRYLLHKFPLLSKCLRLQRLCSESPESSQHQIVQLPDFPGGIEAFELCAKFCYGITITLSAYNIVAARCAAEYLQMTEDVEKGNLIYKLEVFLNSCVLNGWKDSIVTLQSTKAFPLWSEDIGITSRCIEAIASKVLTQPSKIGLSHSQSRRVRDDVSCNGAESQRHKPASKLWWAEDMAELGIDLYWRTMVAIKSNGKVASNLIGDALKIYAARWLPNISRPRNANNEEASDSDSDSENETNSKHRLLLESIVSLLPADKGAVSCSFLLKLLKAANILNASSSSKMELARRVGLQLEEATVNDLLIPSFSNANDTLYDVNLVMTILEQFKLQGQSPPTSPPRSKLGFERRRSRSAENIDMEFQESRRSSSASHSSKLKVAKVVDGYLQQIASDVNLPLSKFIALAESIPDFARHDHDDLYRAIDIYLKAHPELTKSERKRLCRTLDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMAGGKVTELPRNIKALLASHDIDPSRSTAALSTTTSIAAEDQWSVSGLKSPKSKLSTLRMKLAEDDLEEHDLQSNGTGRASKFKAIRALPTRPRRMFSKLLSINRSAGEKN >Manes.02G135700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10309226:10311046:1 gene:Manes.02G135700.v8.1 transcript:Manes.02G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIWVPPLLLMIPILVYLLRNKLKAKRSQRKQQPPGPPGIPIIGNLHQLGELRHRTLWQFSKKYGPVMLLHLGRVPTVIVSSADAAKEVLKTHDLNCCSRPLLAGTGKLSYNYLDVAFTPYGDYWRDMRKICVLELFSAKRVQSFQFVREEETDLLISSISKFSSSATPVDLSEKMMSLTANITCRAAFGKSFQEKGFGHERFQEVIHEGFAMLGSFSAADFFPYVGWVVDRLTGLHARLEKNFQEFDVFYQKVIEDHIQKQSKDPGKEDIIDVLLGMERPQTETAIQSSQDHIKAILMNIFLAGVETGAITIVWAMAELARHPRVMKKAQEEIRSCIGNKTRVSESDIDKLEYLKMIVKETMRLHPPGPLLIPREAMSSFSINEYEIEPKTRIQVNVWAIGRDPKIWRNPEEFFPERFIDNPIDFKGQNYELLPFGGGRRGCPGISMGLATVELALANLLFCFDWKLPFNMKEEELNMEEASGLSTYKKAALLLVPVQYQTA >Manes.15G038000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2990334:2992344:-1 gene:Manes.15G038000.v8.1 transcript:Manes.15G038000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFFFLNPLPFLQVRSTIQGTAVGVSHCVSYTFSLTKHPHPNFFCRSRKRNRLFSHTMSYRRDIRNSRSAIFDDGLEEGGLRASSSYSHSINEHDNDKAIDSLQDRVIFLKRLTGDIHEEVESHNRLLDRMVCLHVFSSSNSPENNLWPFI >Manes.15G038000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2988361:2992382:-1 gene:Manes.15G038000.v8.1 transcript:Manes.15G038000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFFFLNPLPFLQVRSTIQGTAVGVSHCVSYTFSLTKHPHPNFFCRSRKRNRLFSHTMSYRRDIRNSRSAIFDDGLEEGGLRASSSYSHSINEHDNDKAIDSLQDRVIFLKRLTGDIHEEVESHNRLLDRMGNKMDISRGIMSGTMDRFKMVFEKKSGRRTCILAGVFVVSFLIIYYLIRYLIPLWL >Manes.08G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11767448:11769396:-1 gene:Manes.08G070700.v8.1 transcript:Manes.08G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGVSPGNVPVYHGTNLKVIDRRVRLAELILRCLICGLGILAAVLVGTDTQVKEIFSIQKKARFTDMKALVFLVIANGIAAAYSLLQGVRCVVGMVRGSVLFSKLLAWAIFSGDQVMAYLTVAAVAAAAQSAAFAKLGEPELQWMKICNMYGKFCNQVGEGIASAFLVSLSMVVLSCISAFSLFRLYGANKGKGSSRW >Manes.S040716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1187181:1191957:1 gene:Manes.S040716.v8.1 transcript:Manes.S040716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G111401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26277943:26278728:1 gene:Manes.10G111401.v8.1 transcript:Manes.10G111401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTWNCQGAGNPLTIHNLKRMLKIHSPYILCLLETKNSAMKVQKIDRGFSADPFTWNNKRGENANIRERLDRGLVSLQWLCCYSNASIMPLEDDESDHRSILLNTNSNCPKLKTLFYFDERWLNINETEELIKTTW >Manes.05G138400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13266438:13273365:-1 gene:Manes.05G138400.v8.1 transcript:Manes.05G138400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSASETFKTELRTIYSQLCQDDMPMVRRSAATNLGKFAATVEPAHLKTDIMSTFEDLTQDDQDSVRLLAVEVCAALGKLLEPQDCVAHILPVIVNFSKDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLCDNEAEVRIAAAGKVTKFCRILNPELAIQQILPCVKELSTDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDFLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPEWAMQHIVPQVVEKTIRPCLVELSEDPDVDVRFFASQALQSSDQVMMSA >Manes.05G138400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13266438:13273365:-1 gene:Manes.05G138400.v8.1 transcript:Manes.05G138400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSASETFKTELRTIYSQLCQDDMPMVRRSAATNLGKFAATVEPAHLKTDIMSTFEDLTQDDQDSVRLLAVEVCAALGKLLEPQDCVAHILPVIVNFSKDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLCDNEAEVRIAAAGKVTKFCRILNPELAIQQILPCVKELSTDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDFLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPEWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSEITCSTLLPVVVNASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFASQALQSSDQVMMSA >Manes.05G138400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13266438:13273365:-1 gene:Manes.05G138400.v8.1 transcript:Manes.05G138400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSASETFKTELRTIYSQLCQDDMPMVRRSAATNLGKFAATVEPAHLKTDIMSTFEDLTQDDQDSVRLLAVEVCAALGKLLEPQDCVAHILPVIVNFSKDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLCDNEAEVRIAAAGKVTKFCRILNPELAIQQILPCVKELSTDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDFLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPEWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSEITCSTLLPVVVNASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFASQALQSSDQVMMSA >Manes.05G138400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13266438:13273365:-1 gene:Manes.05G138400.v8.1 transcript:Manes.05G138400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKAVESLCRIGAQMREQDLVEYFIPLVKRLAAGEWFTARVSSCGLFHIAYPSASETFKTELRTIYSQLCQDDMPMVRRSAATNLGKFAATVEPAHLKTDIMSTFEDLTQDDQDSVRLLAVEVCAALGKLLEPQDCVAHILPVIVNFSKDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLCDNEAEVRIAAAGKVTKFCRILNPELAIQQILPCVKELSTDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDFLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPEWAMQHIVPQVLDMINNPHYLYRMTILHAISLLAPVMGSEITCSTLLPVVVNASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFASQALQSSDQVMMSA >Manes.08G109300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34838757:34840436:-1 gene:Manes.08G109300.v8.1 transcript:Manes.08G109300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLTTATKTTTSLVKQASISSCAYVTFLAGNGDYVKGVVGLAKGLRKVRSKYPLVVAILPDVPDEHRKILVSQGCIVKEIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDLQDGYFYGVMDCFCEQTWSFSPQYKIGYCQQCPDRVQWPAEMGPKPPLYFNAGMFVFEPSLSTYDDLLQTVKVTTPTLFAEQDFLNMFFKDIYRPLPPIYNLVLAMLWRHPENIELEKVKVVHYCAAGSKPWRYTGKEENMDREDIKMLVKKWWDIYTDESLDYKNTVAAAGGTEDDLQPFLAALSEAGVAHYLTAPSAA >Manes.01G161400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34650053:34650502:-1 gene:Manes.01G161400.v8.1 transcript:Manes.01G161400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLESSSLVEVESTMDVTIISPKPEPILPKTNKKKRLTIESNIGGGDGDGDDDTEKDCDVEVWDTLSKSFRQVQAVLDQNRNLIQRVNENHQSMIPDNLAKNVPLIREINENISEIISIYSDLSVNFSNAVRERRRIKKGSSGERSDS >Manes.05G208350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33540531:33540959:-1 gene:Manes.05G208350.v8.1 transcript:Manes.05G208350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCNSSLVEFWYNTSYRSIVQMTLFEALYGILPPIYIPYIFNDFNVAAIGIFMRDRKTTAALLKQHLNKAAHRMKQFADRKGTERSFQVGDKVFLKLKPYNVIPYPQGILERRMVKRKNKRLHPFPCFCSLRKRNILKGKY >Manes.15G091700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7144367:7146067:-1 gene:Manes.15G091700.v8.1 transcript:Manes.15G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKHQPHRTTISIRYYSTILALALLVTSFCLFLSPIAQVQEALLFPSGNTSFSGDLRDAKFPWNKLCFGPTFEKLKLAVFSKTWPIGAAPGGMERHASTLYHALAARGHEIHVFTVPSDRRPHSDIHEGNLHVYFAANDHGSVNCSLAFEIFNKIGTDVPFDYVHTESVSLPHWRAKMVPDVAVTWHGIWYEIMHSKLFEELFSNPNGVLPGPMTELQEAMPRLIEEIRFFSSYKHHICISNSAGEVLMNIYQLPQRNVHVILNGVDHTKFVHNPEAGTRFRRRYGIPENASLVMGAAGRLVRDKGHPLLYEAFSLIRKRHPGVCLVVAGSGPWRRRYAELGSNVKVLGALEPAQLSEFYNAIDVFVNPTLRPQGLDLTLIEAMQCGKPVLTPNYPSIVGTVVVNEEFGYTFSPNVKSFVEALEMVIRDGPKVLHKKGLACKEYALSMFTATKMASAYERFFLCMKNTKYCQYPLPTDC >Manes.16G129100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33042505:33052941:-1 gene:Manes.16G129100.v8.1 transcript:Manes.16G129100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEISERVYVFDSCFTTDVLEDDEYKVYIGNVVSQLRDYFPDSSFMVFNFREGEKQSQIGSLLSDYDMTVMDYPHHYEGCPLLTMEMIHHFLRSSESWLSLLQQNVLLMNCEQGGWPVLAFMLAALLIYRKQFTGEQKTLDMIYKQAPREFLQLMSPLNPLPSQLRYLQYISRRNVGSQWPPLDRALTLDCVILRVIPNMDEEGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEVCDLIKIDIHCHIQGDIVMECISVDSDLEREQMMFRVMFNTAFIRSNILMLNRDEIDTPWNLKDQFPKDFRAEVLFSEMDSASSRASIDLPGLEEKGGLPEEAFAKVQEIFCNVDWLDTKPDVALNVLQHITTIQENLEAVSPRKAEKGSMVFEKVQDEMKLNEEKKCGSTTSTSMWELPLNSNSIMEKIEPQEPKIALQWAKQSKILSPRLSKASRSSPYQSTPSTLGISAVLHDHAASNDEEAMRSLTLTSSTISSPLPNVQKSVQLSRVSHIRLSSPPPPSSSTSMQCSIEGATSLEKISAAKCPLQSTSKATKSSPQCSYPSAYESSSFTPPPPPPPPPCSVESPSPRERKLFSASAPSPSPPPPPPLFSSGTSSSTIKNSHSALPSPPHSLVASSGLPPPPPAPSPGSVTGHSGVAKVPPPPPPPSSAQNSSNNLGPSSVDKVPPPPPPPSSAQNSSNSSACAPPVPPPPAPCAKGLSSSPATSSPSPAAPPVPGPPSGARFGSPAAPPVPGPAAPPVPGPAAPPVPGPPGAKGRGLLRSSQRIQGQPRRANLKPYHWLKLTRAMQGSLWAETQKSDEASMAPEIDMLELERLFSAAAPASQGGGKSNRRTLGAKPDKVQLIELRRAYNCEIMLSKVKIPLADLMVR >Manes.16G129100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33042505:33052941:-1 gene:Manes.16G129100.v8.1 transcript:Manes.16G129100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEISERVYVFDSCFTTDVLEDDEYKVYIGNVVSQLRDYFPDSSFMVFNFREGEKQSQIGSLLSDYDMTVMDYPHHYEGCPLLTMEMIHHFLRSSESWLSLLQQNVLLMNCEQGGWPVLAFMLAALLIYRKQFTGEQKTLDMIYKQAPREFLQLMSPLNPLPSQLRYLQYISRRNVGSQWPPLDRALTLDCVILRVIPNMDEEGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEVCDLIKIDIHCHIQGDIVMECISVDSDLEREQMMFRVMFNTAFIRSNILMLNRDEIDTPWNLKDQFPKDFRAEVLFSEMDSASSRASIDLPGLEEKGGLPEEAFAKVQEIFCNVDWLDTKPDVALNVLQHITTIQENLEAVSPRKAEKGSMVFEKVQDEMKLNEEKKCGSTTSTSMWELPLNSNSIMEKIEPQEPKIALQWAKQSKILSPRLSKASRSSPYQSTPSTLGISAVLHDHAASNDEEAMRSLTLTSSTISSPLPNVQKSVQLSRVSHIRLSSPPPPSSSTSMQCSIEGATSLEKISAAKCPLQSTSKATKSSPQCSYPSAYESSSFTPPPPPPPPPCSVESPSPRERKLFSASAPSPSPPPPPPLFSSGTSSSTIKNSHSALPSPPHSLVASSGLPPPPPAPSPGSVTGHSGVAKVPPPPPPPSSAQNSSNNLGPSSVDKVPPPPPPPSSAQNSSNSSACAPPVPPPPAPCAKGLSSSPATSSPSPAAPPVPGPPSGARFGSPAAPPVPGPAAPPVPGPAAPPVPGPPGAKGRGLLRSSQRIQGQPRRANLKPYHWLKLTRAMQGSLWAETQKSDEASMAPEIDMLELERLFSAAAPASQGGGKSNRRTLGAKPDKVQLIELRRAYNCEIMLSKVKIPLADLMSSLLALDDSTLDVDQVDNLIKFCPTKEEMELLKGYNGDKENLGKCEQFFLELMKVPRVESKLRVFSFKLQFDHQVSDLRRNLNIVNSAAEEIRSSVKLKRILQTILSLGNALNQGTARGSAVGFRLDSLLKLTDTRAQNNKMTLMHYLCKVLADKLPEPLDFPKDLMSLEAVTKIQLKYLAEEMQAVSKGLEKVVQELSASENDGHVSEQFCKILKSFLSSAESEVRSLASLYSTVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFSRSHEENIKQIEIEKKKADKEAESERLKMNASKKESENLPSPTRTGNIK >Manes.16G129100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33042505:33052941:-1 gene:Manes.16G129100.v8.1 transcript:Manes.16G129100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEISERVYVFDSCFTTDVLEDDEYKVYIGNVVSQLRDYFPDSSFMVFNFREGEKQSQIGSLLSDYDMTVMDYPHHYEGCPLLTMEMIHHFLRSSESWLSLLQQNVLLMNCEQGGWPVLAFMLAALLIYRKQFTGEQKTLDMIYKQAPREFLQLMSPLNPLPSQLRYLQYISRRNVGSQWPPLDRALTLDCVILRVIPNMDEEGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEVCDLIKIDIHCHIQGDIVMECISVDSDLEREQMMFRVMFNTAFIRSNILMLNRDEIDTPWNLKDQFPKDFRAEVLFSEMDSASSRASIDLPGLEEKGGLPEEAFAKVQEIFCNVDWLDTKPDVALNVLQHITTIQENLEAVSPRKAEKGSMVFEKVQDEMKLNEEKKCGSTTSTSMWELPLNSNSIMEKIEPQEPKIALQWAKQSKILSPRLSKASRSSPYQSTPSTLGISAVLHDHAASNDEEAMRSLTLTSSTISSPLPNVQKSVQLSRVSHIRLSSPPPPSSSTSMQCSIEGATSLEKISAAKCPLQSTSKATKSSPQCSYPSAYESSSFTPPPPPPPPPCSVESPSPRERKLFSASAPSPSPPPPPPLFSSGTSSSTIKNSHSALPSPPHSLVASSGLPPPPPAPSPGSVTGHSGVAKVPPPPPPPSSAQNSSNNLGPSSVDKVPPPPPPPSSAQNSSNSSACAPPVPPPPAPCAKGLSSSPATSSPSPAAPPVPGPPSGARFGSPAAPPVPGPAAPPVPGPAAPPVPGPPGAKGRGLLRSSQRIQGQPRRANLKPYHWLKLTRAMQGSLWAETQKSDEASMAPEIDMLELERLFSAAAPASQGGGKSNRRTLGAKPDKVQLIELRRAYNCEIMLSKVKIPLADLMSSLLALDDSTLDVDQVDNLIKFCPTKEEMELLKFFLELMKVPRVESKLRVFSFKLQFDHQVSDLRRNLNIVNSAAEEIRSSVKLKRILQTILSLGNALNQGTARGSAVGFRLDSLLKLTDTRAQNNKMTLMHYLCKVLADKLPEPLDFPKDLMSLEAVTKIQLKYLAEEMQAVSKGLEKVVQELSASENDGHVSEQFCKILKSFLSSAESEVRSLASLYSTVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFSRSHEENIKQIEIEKKKADKEAESERLKMNASKKESENLPSPTRTGNIK >Manes.16G129100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33042505:33052941:-1 gene:Manes.16G129100.v8.1 transcript:Manes.16G129100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYRKPPDGLLEISERVYVFDSCFTTDVLEDDEYKVYIGNVVSQLRDYFPDSSFMVFNFREGEKQSQIGSLLSDYDMTVMDYPHHYEGCPLLTMEMIHHFLRSSESWLSLLQQNVLLMNCEQGGWPVLAFMLAALLIYRKQFTGEQKTLDMIYKQAPREFLQLMSPLNPLPSQLRYLQYISRRNVGSQWPPLDRALTLDCVILRVIPNMDEEGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEVCDLIKIDIHCHIQGDIVMECISVDSDLEREQMMFRVMFNTAFIRSNILMLNRDEIDTPWNLKDQFPKDFRAEVLFSEMDSASSRASIDLPGLEEKGGLPEEAFAKVQEIFCNVDWLDTKPDVALNVLQHITTIQENLEAVSPRKAEKGSMVFEKVQDEMKLNEEKKCGSTTSTSMWELPLNSNSIMEKIEPQEPKIALQWAKQSKILSPRLSKASRSSPYQSTPSTLGISAVLHDHAASNDEEAMRSLTLTSSTISSPLPNVQKSVQLSRVSHIRLSSPPPPSSSTSMQCSIEGATSLEKISAAKCPLQSTSKATKSSPQCSYPSAYESSSFTPPPPPPPPPCSVESPSPRERKLFSASAPSPSPPPPPPLFSSGTSSSTIKNSHSALPSPPHSLVASSGLPPPPPAPSPGSVTGHSGVAKVPPPPPPPSSAQNSSNNLGPSSVDKVPPPPPPPSSAQNSSNSSACAPPVPPPPAPCAKGLSSSPATSSPSPAAPPVPGPPSGARFGSPAAPPVPGPAAPPVPGPAAPPVPGPPGAKGRGLLRSSQRIQGQPRRANLKPYHWLKLTRAMQGSLWAETQKSDEASMAPEIDMLELERLFSAAAPASQGGGKSNRRTLGAKPDKVQLIELRRAYNCEIMLSKVKIPLADLMSSLLALDDSTLDVDQVDNLIKFCPTKEEMELLKGYNGDKENLGKCEQFFLELMKVPRVESKLRVFSFKLQFDHQVSDLRRNLNIVNSAAEEIRSSVKLKRILQTILSLGNALNQGTARGSAVGFRLDSLLKLTDTRAQNNKMTLMHYLCKVLADKLPEPLDFPKDLMSLEAVTKIQLKYLAEEMQAVSKGLEKVVQELSASENDGHVSEQFCKILKSFLSSAESEVRSLASLYSTVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFSRSHEENIKQIEIEKKKADKEAESERLKMNASKKESENLPSPTRTGNIK >Manes.17G029910.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22418079:22418711:1 gene:Manes.17G029910.v8.1 transcript:Manes.17G029910.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFNLLANILTQNKLEGPNYVDWKTNLDILLTAEEYKFVLSQVCPEKPSEGASEEADEMVRCYILTSMSNVLQHQHRKMDTVYDILENLNEIFGDQTSAAETHVLKMMSLLNDMEVLVVEVDMATQIEMDLNTLLASFQQFHLNYNMNKVEFTLSKLLNELVAAQTIINQGVAHVVLNVE >Manes.07G118300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32353323:32355671:-1 gene:Manes.07G118300.v8.1 transcript:Manes.07G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTASYWCYRCSRFVRAWRQQAPDDRITCPDCETGFIEEFEIQEDISNRRFPPPSSSAAASAAMLMVGALPSTDQNNPVRRTRRNTGDRSPINPVIVLRGGSVGGGEGTSENESSGRGFELYYDDGSGSGLRPLPPSMSEFFLGSGFERLLDQISQIEINGLGRYEHPPASKFAIESMPTVIISEGHTAIELHCAVCKEPFELESEGREMPCKHIYHSDCILPWLSLRNSCPVCRHELPGDDESSNDQVFGEINNDNEESAVGLTIWRLPGGGYAVGRFTGARSGMRELPVVYTEMDGGFNNGGLPRRISWESRGGGRGRENGGGGRRGSWVGLGRALRHLFTCFGGGITGESSNLDSRIRRGRSRSYWLFNSTSSPRRRRVWDVEVSVGRRRR >Manes.13G121000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32815842:32830842:1 gene:Manes.13G121000.v8.1 transcript:Manes.13G121000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMDHKTWLWRKKSTEKMIVATDKVNLSPNGNEEEIQTLLTDKAELEKDLKCLNDKLSSALTECNAKDDLVKEQAKMAEDAMAGWEKAEAKAGSLKQELDEAIQHKAAGEERLTYLDAALKECMQQLRFVREEQEQRVHDAVMKASGEHEKSQMILEEKLAETSKRLAKLGIENTHLSKALLAKERLIEDLTKQKVQVEADSNALMIRLESTEKENASLKYEVRVLEKELEIRNEEREFNRRTADASHKQHLGSVKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDVLGRDSVELRRRTYSSPNALIFDTAVDNSPDTPSKKINSLTEQLCAIEEENKALKGALNRKSNELQISRTMYATTASKLSQVESHLDEMSKGQSTLEPSGSGLAHELSLALVSDVGSDDKVSCSESWASALISELEHFKYEKQRVPPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSPHVSSDDANATVSPFEIDSNGHLLQVTGKEIVPVLESSSRVSEQEIKTKDLVIGKASESSDWLQDILKVLLEQTCITQRKPDEILEDVRVALVGISNGSHAECLDTRESSKHQNASDSPHIGGCISWKPTDRPSLLDSSCGNNDVDVPETDGSNQQLQSDLGKSLHNIIEHVERIALPNYDTSDTLSREDGSSFPYKDTETSSGYMVRVLQWKTSELAAVLQQFVHACYDLLNGKSDVDIFAQELCYALDWIMNHCFSLQDVSSMRDAIKKQFDWDESRSETEPEVGMISQFSEVDKLCLARDQVAASNGFHTCLEKDEFQCIITDENKKLKDELVNIKSTRKDLEGRLQSAIDKSESLVNQLQESEKIIASMQKEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEARHKFSSLEVELENKESCCEELEATCLQLQLQLESITKKAIPNHELHQEEKQLRTDWEITAASEKLAECQETILNLGKQLKALATPSEAALFDKVISTSTDTNAASVTNTEGTTVSTPKDKIRNQRSSLLDQMLAEDNKSPNINESNKCSSTFVSNGVIEPLEKILILNGTKQHDDDVEISSLAIVPCKKQGGGSLWRKLLWRKRKSNSKRPPLSFST >Manes.13G121000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32815854:32830826:1 gene:Manes.13G121000.v8.1 transcript:Manes.13G121000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMDHKTWLWRKKSTEKMIVATDKVNLSPNGNEEEIQTLLTDKAELEKDLKCLNDKLSSALTECNAKDDLVKEQAKMAEDAMAGWEKAEAKAGSLKQELDEAIQHKAAGEERLTYLDAALKECMQQLRFVREEQEQRVHDAVMKASGEHEKSQMILEEKLAETSKRLAKLGIENTHLSKALLAKERLIEDLTKQKVQVEADSNALMIRLESTEKENASLKYEVRVLEKELEIRNEEREFNRRTADASHKQHLGSVKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDVLGRDSVELRRRTYSSPNALIFDTAVDNSPDTPSKKINSLTEQLCAIEEENKALKGALNRKSNELQISRTMYATTASKLSQVESHLDEMSKGQSTLEPSGSGLAHELSLALVSDVGSDDKVSCSESWASALISELEHFKYEKQRVPPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSPHVSSDDANATVSPFEIDSNGHLLQVTGKEIVPVLESSSRVSEQEIKTKDLVIGKASESSDWLQDILKVLLEQTCITQRKPDEILEDVRVALVGISNGSHAECLDTRESSKHQNASDSPHIGGCISWKPTDRPSLLDSSCGNNDVDVPETDGSNQQLQSDLGKSLHNIIEHVERIALPNYDTSDTLSREDGSSFPYKDTETSSGYMVRVLQWKTSELAAVLQQFVHACYDLLNGKSDVDIFAQELCYALDWIMNHCFSLQDVSSMRDAIKKQFDWDESRSETEPEVGMISQFSEVDKLCLARDQVAASNGFHTCLEKDEFQCIITDENKKLKDELVNIKSTRKDLEGRLQSAIDKSESLVNQLQESEKIIASMQKEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEARHKFSSLEVELENKESCCEELEATCLQLQLQLESITKKAIPNHELHQEEKQLRTDWEITAASEKLAECQETILNLGKQLKALATPSEAALFDKVISTSTDTNAASVTNTEGTTVSTPKDKIRNQRSSLLDQMLAEDNKSPNINESNKCSSTFVSNGVIEPLEKILILNGTKQHDDDVEISSLAIVPCKKQGGGSLWRKLLWRKRKSNSKRPPLSFST >Manes.13G121000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32815842:32830842:1 gene:Manes.13G121000.v8.1 transcript:Manes.13G121000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMDHKTWLWRKKSTEKMIVATDKVNLSPNGNEEEIQTLLTDKAELEKDLKCLNDKLSSALTECNAKDDLVKEQAKMAEDAMAGWEKAEAKAGSLKQELDEAIQHKAAGEERLTYLDAALKECMQQLRFVREEQEQRVHDAVMKASGEHEKSQMILEEKLAETSKRLAKLGIENTHLSKALLAKERLIEDLTKQKVQVEADSNALMIRLESTEKENASLKYEVRVLEKELEIRNEEREFNRRTADASHKQHLGSVKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDVLGRDSVELRRRTYSSPNALIFDTAVDNSPDTPSKKINSLTEQLCAIEEENKALKGALNRKSNELQISRTMYATTASKLSQVESHLDEMSKGQSTLEPSGSGLAHELSLALVSDVGSDDKVSCSESWASALISELEHFKYEKQRVPPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSPHVSSDDANATVSPFEIDSNGHLLQVTGKEIVPVLESSSRVSEQEIKTKDLVIGKASESSDWLQDILKVLLEQTCITQRKPDEILEDVRVALVGISNGSHAECLDTRESSKHQNASDSPHIGGCISWKPTDRPSLLDSSCGNNDVDVPETDGSNQQLQSDLGKSLHNIIEHVERIALPNYDTSDTLSREDGSSFPYKDTETSSGYMVRVLQWKTSELAAVLQQFVHACYDLLNGKSDVDIFAQELCYALDWIMNHCFSLQDVSSMRDAIKKQFDWDESRSETEPEVGMISQFSEVDKLCLARDQVAASNGFHTCLEKDEFQCIITDENKKLKDELVNIKSTRKDLEGRLQSAIDKSESLVNQLQESEKIIASMQKEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEARHKFSSLEVELENKESCCEELEATCLQLQLQLESITKKAIPNHELHQEEKQLRTDWEITAASEKLAECQETILNLGKQLKALATPSEAALFDKVISTSTDTNAASVTNTEGTTVSTPKDKIRNQRSSLLDQMLAEDNKSPNINESNKCSSTFVSNGVIEPLEKILILNGTKQHDDDVEISSLAIVPCKKQGGGSLWRKLLWRKRKSNSKRPPLSFST >Manes.13G121000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32815854:32830826:1 gene:Manes.13G121000.v8.1 transcript:Manes.13G121000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMDHKTWLWRKKSTEKMIVATDKVNLSPNGNEEEIQTLLTDKAELEKDLKCLNDKLSSALTECNAKDDLVKEQAKMAEDAMAGWEKAEAKAGSLKQELDEAIQHKAAGEERLTYLDAALKECMQQLRFVREEQEQRVHDAVMKASGEHEKSQMILEEKLAETSKRLAKLGIENTHLSKALLAKERLIEDLTKQKVQVEADSNALMIRLESTEKENASLKYEVRVLEKELEIRNEEREFNRRTADASHKQHLGSVKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDVLGRDSVELRRRTYSSPNALIFDTAVDNSPDTPSKKINSLTEQLCAIEEENKALKGALNRKSNELQISRTMYATTASKLSQVESHLDEMSKGQSTLEPSGSGLAHELSLALVSDVGSDDKVSCSESWASALISELEHFKYEKQRVPPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSPHVSSDDANATVSPFEIDSNGHLLQVTGKEIVPVLESSSRVSEQEIKTKDLVIGKASESSDWLQDILKVLLEQTCITQRKPDEILEDVRVALVGISNGSHAECLDTRESSKHQNASDSPHIGGCISWKPTDRPSLLDSSCGNNDVDVPETDGSNQQLQSDLGKSLHNIIEHVERIALPNYDTSDTLSREDGSSFPYKDTETSSGYMVRVLQWKTSELAAVLQQFVHACYDLLNGKSDVDIFAQELCYALDWIMNHCFSLQDVSSMRDAIKKQFDWDESRSETEPEVGMISQFSEVDKLCLARDQVAASNGFHTCLEKDEFQCIITDENKKLKDELVNIKSTRKDLEGRLQSAIDKSESLVNQLQESEKIIASMQKEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEARHKFSSLEVELENKESCCEELEATCLQLQLQLESITKKAIPNHELHQEEKQLRTDWEITAASEKLAECQETILNLGKQLKALATPSEAALFDKVISTSTDTNAASVTNTEGTTVSTPKDKIRNQRSSLLDQMLAEDNKSPNINESNKCSSTFVSNGVIEPLEKILILNGTKQHDDDVEISSLAIVPCKKQGGGSLWRKLLWRKRKSNSKRPPLSFST >Manes.01G020300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4765682:4769690:1 gene:Manes.01G020300.v8.1 transcript:Manes.01G020300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGVILCQISALKDMLDQVNEEIEANIQVTREIESEIVKCTEYESTLASRESELTKTLYVSQFDIIGLISVRNESRKSAKLLEEELSSLRKKREEMLKRMNNKRERFAMECLEFQREIDKGENDELKKLLSEKEFFENEIRLLEQKNDSLKNSMLAFVEEVLLDLQDSNSALHVEIQNINHENEKLLKDIDDLKTMLLSNFNYRHS >Manes.02G060950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4718121:4720050:-1 gene:Manes.02G060950.v8.1 transcript:Manes.02G060950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLALGLLFIVNVLRDCSYAHAHQQDWEMDKDKLVVPEDTVSAMKELVASCTRKLGGRKMGAHEVLMRRKFKKDTSGEQNHASVVKSLQGSQAQYLNLNELQKNVNNLKPKTQKSDNWNTNPKHFHQESKKLLTKASLENLSLPDKQLSHQTQAIAPKGETQRLLEATKEIVNLMTKDYRGMDRPRRKPPINNHVPIH >Manes.02G060950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4718121:4720050:-1 gene:Manes.02G060950.v8.1 transcript:Manes.02G060950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLALGLLFIVNVLRDCSYAHAHQQDWEMDKDKLVVPEDTVSAMKELVASCTRKLGGRKMGAHEVLMRRKFKKDTSGEQNHASVVKSLQGSQAQYLNLNELQNVNNLKPKTQKSDNWNTNPKHFHQESKKLLTKASLENLSLPDKQLSHQTQAIAPKGETQRLLEATKEIVNLMTKDYRGMDRPRRKPPINNHVPIH >Manes.08G073101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:14174615:14177445:-1 gene:Manes.08G073101.v8.1 transcript:Manes.08G073101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALLPLSYFLLFLLPNFALAQNRGNLTVGASRTASDQNSSWLSPSGVFAFGFYPLNKNNSVDLFLLAIWYANIPEKTIVWYSNGDYPAPKNSKVQLTDGGLVLTDPRGGELWRSPTSGSVTSGAMTDAGNFVIHAGDSVAWESFEHPTDTILPSQILERERVLSSRYSDTNFSRGRFQLKLQEDGNLVLATVNLPSNHTNEPYYESGTDGDSNSSSAGFQLIFNSSAYLYILRANGQKFPLSSDPPLGNFYHRATLNFDGVFTQYYRPITSTGNERWTPIWTQPGNICQASTVNAGSGVCGFNSVCTLIQDQRANCECPSGYSLLDPNDQHGNCKPNYTQTCEENETGPVEDLYGFEVLMNTDWPTSDYALLQPFTEEGCRQSCLHDCMCAVAIFRSGDMCWKKKLPLSNGRVDPNLNGKALIKVRRGDVPRIKPEILVKQDKNRDGLMVAGAVLLSCSIFANLLLVGAICLGCFLIHQKKHKRVPHQDNLAETNLRCFDYKELVEATDGFKEELGRGAFGIVYKGVLSVGSSIPVAVKKLETVARDGMKEFRTELKVIGQTHHKNLVRLLGFCDDGEHQLLVYEFLSNGTLSSFLFVGERPSWNKRIQIAFGIARGLLYLHEECSTQIIHCDIKPQNVLLDDCYNARICDFGLAKLLLLDQSDTLTAIRGTKGYVAPDWFRNLPITVKVDVYSFGVLLLEIISCRKSVEADIDGTNTEKAILTDWAYDCYCEGSLDALVGHDADALDLDERRKLERFVMVGLWCVQEDPSLRPFMKQVVQMLEGVVEVPIPPCPCPFSITF >Manes.01G161800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34672101:34674704:1 gene:Manes.01G161800.v8.1 transcript:Manes.01G161800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSRSLHEVSDLENGHVLLKPSTKEGFNGSRSLQNVSSSIMRKKSDPVLVSSARFQMLRQFFANLQQVILGTKMAVLFPAIPLAIAADFYKFGRPWLFALSLLGLAPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIAFFALYQNKIHVLKYSLLGSILSNLLLVLGTSLLCGGLANLKKEQRYDRKQADVNSLLLLLGLLCHMLPLMFRYAIGEGPDTFYCTLELSRASSIVMLLAYVAYIFFQLKTHRQLFESQEEGKEEEEEEKAVIGFWSAFSWLIGMTMIIALLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSATQISMFVVPLCVVVGWIMHVHMDLDFSLLETGSLAFTIIITAFTLQDGTSHYMKGVVLFLCYIVIAACFWVHKIPQNQITIGKPFTGVFAA >Manes.01G056700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24079626:24082071:-1 gene:Manes.01G056700.v8.1 transcript:Manes.01G056700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGPVLLLFYFFSFYLAFSNALIISSEIGINYGRLGSNLPSAFQSIQIIQSMNVSRVKLYDANPEILKLLSGTKIHVAIMVPNHAIISIASNQSIANKWVQDNVLQYLPDTKIRFILVGNEVLSYVSEQEKIIWHNLVPAMRRIKNSLKAKKIQNIKIGAPLAMDILEITLPPSKGSFRCDISSTVIVPLLKFLNGTKSFFFIDVYPYFPWSANPSITSLDMALFKPNQNYTDPYTGFVYTNLLDQMLDSVIFAMTKLGFPDVRLSISETGWPNAGDIDQPGANIYNAATYNRNVVKRMTAKPAIGTPARPGEVIPTFIFSLYDENQKGGPGTERHWGLLNGNGAPIYDIDLTGNRLETEYGPLPNPNNNKPYKGKLWCVAARGADLMDLARALRYACSQGNGTCDALGIGKECYEPVSVLWHASYAFSSYWAQFRSQGADCYFNGLAEQTTTNPSHGSCTFPSVTI >Manes.14G153850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:18049670:18050173:1 gene:Manes.14G153850.v8.1 transcript:Manes.14G153850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYADRRSEREFTVGDMVYLKLQPCRQASWALRKNFKLTARFYGTYQVIAKVGPVACKLQLTSDLIVHPVFHVSLLKMKVGDQTIIIQDLPSFSEDYVTIMPEAVLKTRSILRNGKRFQQGLIKWCNLPLEEATWEDQHFIFKQFPEFRSSWGQEESSGEGIVTSS >Manes.07G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32368173:32370979:1 gene:Manes.07G118600.v8.1 transcript:Manes.07G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMGSSCGACKFLRRKCMNDCVFAPYFCYDEAATHFAAVHKVFGASNVSKLLLHLPVQNRSDAAITISYEALARMQDPIYGCVAHIFALQQQIASLQEEIDILEHQMANFTVGIASCGSSQTTSNPNCEMRISSLQDAINMQYYQNQSAAQANNSGYVTGNQAFDSQMDAQLSLMHEWEEPNPSCQSHPNPLDRLLEDLDQDIFPFCPWLDSGNTAN >Manes.09G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33359406:33360610:1 gene:Manes.09G132300.v8.1 transcript:Manes.09G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQVIMAEEVKLITSGPSPFGLRVSWALKLKGIQYESIEENLSKKSPLLLQHNPIYKKIPVLLHNGRPVVESLIILQYIEETWKQNPLLPAHPYEKAMSLFWAKFVDDKVLPSIWCIFMKKGKEQEEAKAEAWGNLKYLEEEVRGKKFFGGENIGIVDISFGWVVNSLSVVEELVGFEVIDGEKFPLLIKWMKAFSESPVIKENLQPRDLLLSIYGAYLQAPTTYN >Manes.03G128400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25551808:25557150:1 gene:Manes.03G128400.v8.1 transcript:Manes.03G128400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILAWASNTFLSIAANYVEEGVKAAINQFRYMCCLKKFQQQLDQEEHALDVVQQEVHRLVEEDRRSTKVPDEPVEDWIKRTNQAIEDAHLLQNAIKQDKKCFNSWCPNWAWRWSRSKEAEDLTGTLKNLKEERSTFLKLTHDVDLPNIEFIQSKGLILSKASEAALCEILKALENDGVHMIGLHGMPGVGKTTLAIQVKEEAERRKLFDEFVKVTVTEKPNMSAIQDRIAQQLHLDYGNKENSIKERASKLMLRLQDEKKKLIVLDDVWGELNLNEIGIPSAENLGNCKILLTTRRVPVCDAMNCRPKILLDILTEDEAWVLFKTAANLEDDSTALSNVAKRVAKECGRLPVAIVSLAKALRGKSLHGWERALTKLQEGEHLEIRDLSREENAYKSLKFSFDELPREETKRCLLLCSLYPEDHEICIEDLSRYAFGLRLYQRARSLKDNLSEVVDALDELRDCHLLLEAGKEGHVKMHDLVRDVVLLIGKSYSVAGESKTEKEFIVGGGVGFEEWPTDESFRECAAISLLDNEIDRLPDQLDSPKLEILLLARRAYSTEGYSSSRDKFTNISDKSFHGMEILRVLSLTRVILSIRSLECLTSLRTLELRYCKVSDLDSLQNLKTLEILSLFGSYVVDIPEEIGELKNLKLLELTDCYPGKIPSNLIQNLFKLEELYLGSYEEWEETDNASLMELNSLRHLTTLSLTPRAITGVPENFALPKNLTEYHIHNCDCEYPSFPSRLRYPASRTLCLIPTEQTVRACKELFKNVYDLRMDYNPGRFKNMTPDMSEMGFQDLSRLEIHGYELECLVSTTKQKNIAAKTFSNLVELNIDAVASLNELCDGSPPEGFLEKLQKLTVKECEKIITIFPTKLLQGMLKLESVIIEECESLQDVFQLDGMDDAEECLSHLTTLELICMDALLCIWKGPTHHVNLRSLTRITLWDCGSLKSVISPSLAQTLVHLEKLDIESCGQLEHIISEKDEDGKETFSKTRPQQTCLQNLKEVHVKDCEKLECVFPLSIARGLLQLEVLKVSDCAQLMQVFSDEDGNIFPYPTELELEDSSKVGYLFSSTSAVVLPYLSHVKIHKCPKLLLHSVVQISPKVSTNSEQLIVADTERLPLEILDLKGCSELVGIVAKENDYNVEKEEISLNTPFLSVCFTSLKKIQIVDCNKLKILLPITVARGLRHLAELHIQASHQLVAIFGSEEQTDISNMSEIVLPELLKLHLEELSSLISFCPKEYHFVFPSLEVLKVKTCPEMTTIFTAARDASVHAKFEAQTTSGDSAIESATTQVLVVPHSNDSDWTRCEGKWISKAEAEKKEEEEEEVEEEEEEEEEEEEEEGGGGGGGEENLNKENGS >Manes.03G128400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25548158:25557150:1 gene:Manes.03G128400.v8.1 transcript:Manes.03G128400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILAWASNTFLSIAANYVEEGVKAAINQFRYMCCLKKFQQQLDQEEHALDVVQQEVHRLVEEDRRSTKVPDEPVEDWIKRTNQAIEDAHLLQNAIKQDKKCFNSWCPNWAWRWSRSKEAEDLTGTLKNLKEERSTFLKLTHDVDLPNIEFIQSKGLILSKASEAALCEILKALENDGVHMIGLHGMPGVGKTTLAIQVKEEAERRKLFDEFVKVTVTEKPNMSAIQDRIAQQLHLDYGNKENSIKERASKLMLRLQDEKKKLIVLDDVWGELNLNEIGIPSAENLGNCKILLTTRRVPVCDAMNCRPKILLDILTEDEAWVLFKTAANLEDDSTALSNVAKRVAKECGRLPVAIVSLAKALRGKSLHGWERALTKLQEGEHLEIRDLSREENAYKSLKFSFDELPREETKRCLLLCSLYPEDHEICIEDLSRYAFGLRLYQRARSLKDNLSEVVDALDELRDCHLLLEAGKEGHVKMHDLVRDVVLLIGKSYSVAGESKTEKEFIVGGGVGFEEWPTDESFRECAAISLLDNEIDRLPDQLDSPKLEILLLARRAYSTEGYSSSRDKFTNISDKSFHGMEILRVLSLTRVILSIRSLECLTSLRTLELRYCKVSDLDSLQNLKTLEILSLFGSYVVDIPEEIGELKNLKLLELTDCYPGKIPSNLIQNLFKLEELYLGSYEEWEETDNASLMELNSLRHLTTLSLTPRAITGVPENFALPKNLTEYHIHNCDCEYPSFPSRLRYPASRTLCLIPTEQTVRACKELFKNVYDLRMDYNPGRFKNMTPDMSEMGFQDLSRLEIHGYELECLVSTTKQKNIAAKTFSNLVELNIDAVASLNELCDGSPPEGFLEKLQKLTVKECEKIITIFPTKLLQGMLKLESVIIEECESLQDVFQLDGMDDAEECLSHLTTLELICMDALLCIWKGPTHHVNLRSLTRITLWDCGSLKSVISPSLAQTLVHLEKLDIESCGQLEHIISEKDEDGKETFSKTRPQQTCLQNLKEVHVKDCEKLECVFPLSIARGLLQLEVLKVSDCAQLMQVFSDEDGNIFPYPTELELEDSSKVGYLFSSTSAVVLPYLSHVKIHKCPKLLLHSVVQISPKVSTNSEQLIVADTERLPLEILDLKGCSELVGIVAKENDYNVEKEEISLNTPFLSVCFTSLKKIQIVDCNKLKILLPITVARGLRHLAELHIQASHQLVAIFGSEEQTDISNMSEIVLPELLKLHLEELSSLISFCPKEYHFVFPSLEVLKVKTCPEMTTIFTAARDASVHAKFEAQTTSGDSAIESATTQVLVVPHSNDSDWTRCEGKWISKAEAEKKEEEEEEVEEEEEEEEEEEEEEGGGGGGGEENLNKENGS >Manes.15G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1945403:1948205:1 gene:Manes.15G024900.v8.1 transcript:Manes.15G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTEQNNCWWYLSSTHFAASAMAFSCWCCRKSQNVSFAGEDPKVHPDQQMDSDVDQLRRFSLEELKLATDYFSNENILGSGGFGKVYKGRLQDDSLVAVKRLEHKPTPDGELQFQTTTEIINMAVHPHVLRLSGFCMTTSEKLLVYPYMANGSVASHLRERPPSQPPLGWPTRKRVALGTARGLSYLHDHCNPKVIHRDVKAANILLDEEFEAVVGDFGLAKLMDYNDTHVITDVCGTAGHIAPEYLYNGICSEKTDVYGYGIMLLELITGQRAVDLAWIAAEDDLLLLDWVKVLLRENSVEELADPDLQGNYIEAEMKHLIKIALLCTRGSPSYRPKMSEVIRMVEGHSLSERWDEWQEMESCDPKLEVTLQTFYFTVDSTQLQRPIELSGPR >Manes.15G024900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1945403:1948205:1 gene:Manes.15G024900.v8.1 transcript:Manes.15G024900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCWCCRKSQNVSFAGEDPKVHPDQQMDSDVDQLRRFSLEELKLATDYFSNENILGSGGFGKVYKGRLQDDSLVAVKRLEHKPTPDGELQFQTTTEIINMAVHPHVLRLSGFCMTTSEKLLVYPYMANGSVASHLRERPPSQPPLGWPTRKRVALGTARGLSYLHDHCNPKVIHRDVKAANILLDEEFEAVVGDFGLAKLMDYNDTHVITDVCGTAGHIAPEYLYNGICSEKTDVYGYGIMLLELITGQRAVDLAWIAAEDDLLLLDWVKVLLRENSVEELADPDLQGNYIEAEMKHLIKIALLCTRGSPSYRPKMSEVIRMVEGHSLSERWDEWQEMESCDPKLEVTLQTFYFTVDSTQLQRPIELSGPR >Manes.15G024900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1946145:1948208:1 gene:Manes.15G024900.v8.1 transcript:Manes.15G024900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCWCCRKSQNVSFAGEDPKVHPDQQMDSDVDQLRRFSLEELKLATDYFSNENILGSGGFGKVYKGRLQDDSLVAVKRLEHKPTPDGELQFQTTTEIINMAVHPHVLRLSGFCMTTSEKLLVYPYMANGSVASHLRERPPSQPPLGWPTRKRVALGTARGLSYLHDHCNPKVIHRDVKAANILLDEEFEAVVGDFGLAKLMDYNDTHVITDVCGTAGHIAPEYLYNGICSEKTDVYGYGIMLLELITGQRAVDLAWIAAEDDLLLLDWVKVLLRENSVEELADPDLQGNYIEAEMKHLIKIALLCTRGSPSYRPKMSEVIRMVEGHSLSERWDEWQEMESCDPKLEVTLQTFYFTVDSTQLQRPIELSGPR >Manes.15G024900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1945403:1948205:1 gene:Manes.15G024900.v8.1 transcript:Manes.15G024900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCWCCRKSQNVSFAGEDPKVHPDQQMDSDVDQLRRFSLEELKLATDYFSNENILGSGGFGKVYKGRLQDDSLVAVKRLEHKPTPDGELQFQTTTEIINMAVHPHVLRLSGFCMTTSEKLLVYPYMANGSVASHLRERPPSQPPLGWPTRKRVALGTARGLSYLHDHCNPKVIHRDVKAANILLDEEFEAVVGDFGLAKLMDYNDTHVITDVCGTAGHIAPEYLYNGICSEKTDVYGYGIMLLELITGQRAVDLAWIAAEDDLLLLDWVKVLLRENSVEELADPDLQGNYIEAEMKHLIKIALLCTRGSPSYRPKMSEVIRMVEGHSLSERWDEWQEMESCDPKLEVTLQTFYFTVDSTQLQRPIELSGPR >Manes.17G120000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34926197:34927085:1 gene:Manes.17G120000.v8.1 transcript:Manes.17G120000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLMNHIYKFFLSDIREKENAQKPLNFLPFFQRQTPTAIIMSFPSSPHLLHRHHCTHTFSSLTNSITHKASSLFPPAKGNSRSRRKKKKIQKTTLPCVSFALSVSLSLGFQ >Manes.10G012100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1271176:1274094:1 gene:Manes.10G012100.v8.1 transcript:Manes.10G012100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLPISPYIVFPVVSVTFVAFFFSLPLTIHALGSGSTVAVTSASVCGIVAAKSSQSIICYGTSGQVINVEPAVSFSVISGGQDFFCGLRSGGYAFLCWNTLNSSNTSSFNNPKRVYFNRTVLLQNLAVGGNHICAIVNDTANASRTGTVSCWRGDGRISNQTPADVDHFQSISSGNGFSCGILMNSSRIRCWGDSTIARKIESEFGNMSMASIAAGGSHVCGVNSTGFLVCKGDNSFRQLDVRLNSPLEYSQLALGDNYSCALRRNGSVVCWGGGGLFSVNETVGISFESIVSGSNFICGLTTGNFSIMCWGPGWPNVNNSGVQILPFIDQILPGPCVQSCEGRLYPDSDKLCFGSGKVCYPPELNVSFAIPPSPPFLPSPPPPVMLPPPSSPSKKLKNGLLAFAIVGSVGAFAGICTVIYCLWTGVCFGKKKVHNSVQPTITRAGSNGGTTSNHSGLISRSSTIRRQTSRAMRRQRSGTSSKHADRAEEFSLAELAVATNDFSLENKIGAGSFGVVYRGKLVDGREVAIKRGETGQKTKKFQEKESAFESELSFLSRLHHKHLVRLVGYCEDGDERLLVYDYMKNGALYDHLHDKNNIQKNSSVINSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDTNWTARVSDFGLSLMGPESERDYRPMKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKDDENGAPTSIVDFAVPRIMSSELVKVLDPRVGPPELNEAEAVELVAYTALHCVNLEGKDRPTMTDIVANLERALSLCDGSHGSISSGTISIVSE >Manes.10G012100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1271128:1274093:1 gene:Manes.10G012100.v8.1 transcript:Manes.10G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLPISPYIVFPVNLAVGGNHICAIVNDTANASRTGTVSCWRGDGRISNQTPADVDHFQSISSGNGFSCGILMNSSRIRCWGDSTIARKIESEFGNMSMASIAAGGSHVCGVNSTGFLVCKGDNSFRQLDVRLNSPLEYSQLALGDNYSCALRRNGSVVCWGGGGLFSVNETVGISFESIVSGSNFICGLTTGNFSIMCWGPGWPNVNNSGVQILPFIDQILPGPCVQSCEGRLYPDSDKLCFGSGKVCYPPELNVSFAIPPSPPFLPSPPPPVMLPPPSSPSKKLKNGLLAFAIVGSVGAFAGICTVIYCLWTGVCFGKKKVHNSVQPTITRAGSNGGTTSNHSGLISRSSTIRRQTSRAMRRQRSGTSSKHADRAEEFSLAELAVATNDFSLENKIGAGSFGVVYRGKLVDGREVAIKRGETGQKTKKFQEKESAFESELSFLSRLHHKHLVRLVGYCEDGDERLLVYDYMKNGALYDHLHDKNNIQKNSSVINSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDTNWTARVSDFGLSLMGPESERDYRPMKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKDDENGAPTSIVDFAVPRIMSSELVKVLDPRVGPPELNEAEAVELVAYTALHCVNLEGKDRPTMTDIVANLERALSLCDGSHGSISSGTISIVSE >Manes.14G006900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:330161:334949:1 gene:Manes.14G006900.v8.1 transcript:Manes.14G006900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKTSIPSYFPFSSLNARSHTQFHSQILLPLKSPSFLLHQTTQLLTNPFPKCQNPCNPSPSSTQDQQEHQLKDTQEAIFHFLQDFGISFEDSSSIASNCPNYARMLIDSVKDLDDWNGWESASADGKEYVDLAFKEKVIYMAKDKGDNGKVAFLESLGLSLSSAMNVARYITSQSLPALINKVEHMKEMLFSGSDDKSLIGKNARRMMMHLSIPIGDDLQQTLSLFEKIEARRGGLDMLGSSDASFRCLVESFPHILSLPLDTHLKPMMELLESIGIPKERMHAIFLLFPPILLCDSKDIERKVLSLKKFVAVDEDFGKIIQKYPWILSTSIQDNYKKIVSLCDMEKVAKASIDKAIRNWPHILGCSTSKLKLMFEQFGVLCVKHKKLGQVIAKSPQLLLRKPEDFLQVVSFLKDLGFDQETVGKILVRCPEIFAMSIEKTLRVKVEFLTSIGVSEDHLPRIIKKYPEFLVSDINKALLPRMEYLMEVGLSKREIVFMVRRFSPLLGYSVNEVLRPKYEFLVNIMEKPVKEVVDYPRYFSYSLEKKIKPRFWVLKGRNVECSLKDMLGKNDEEFATKFMDAGGMLVSSSPSQP >Manes.06G173198.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29723695:29725014:-1 gene:Manes.06G173198.v8.1 transcript:Manes.06G173198.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRPVRQERDQSGCMWGLVSMFNFRHGRSTQTLLSDRRHGPRRAVAAVNAMNKSALLTNLDENHQGIIGVKRA >Manes.01G172900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35434129:35440353:-1 gene:Manes.01G172900.v8.1 transcript:Manes.01G172900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVFNSHHAIRKAIANPHKVADFFQLRPSVFGIDFAYRSTCNICGHPSALILFNSFSSSLASRNGEREDFYDSNDGSKKFRLMGFHDAECKSSSFDEIEGDVECSDSDNDDNDILVVNSVNRNHGQNEGVRKIEIEEEEFRHPLVREICRLIELRSTWNPKLEGEMRRLLRSLKPRQVCAVLQSQDDERVALKFFYWADRQWRYRHNPIVYYAMLEVLSKTKLCQGARRVLRLMARRGIVCQPEAFAYAMVAYSRAGKLRNAMQVLTVMQKAGIEPNLLICNTTIHILIMANKLEKALRFLERMKLVGITPDVLTYNCLIKGYCDVHQIDGAMELIAEMPLKGCSPDKVSYYTVLGFLSKYKRIKEIKDLLEKMMKDNKLFPDQVTYNTLIHMLSKHGHADEAIEFLREAEERGFQVDKVGHSAIVDSFCKQGKIEQAKAIVNEMFTKGCSPDVVTYTAVVNGLCKVGQVEEAKKMLQQMYKHGYKPNTVSYTALLNGLCRNGKSLEAREMMNMSEEDWWTPNAITYSVVMHGLRREGKLAEACDVVREMLRKGFFPTPVEINLLINSLCWEGKTVDAKIFMEECLKWGCAVNAVNFTTVIHGFCRKDNIDAALSLLDDMYLNNKHPDAVTYTTIINALAKKGRIEEATKFTLLMLKKGLDPTPVTYRTVIHWYCQIGKVENLLKLLDKMLSRKKCRTAYNQVIEKLCSFGDLEAADKLLGKVLRTASRIDANTCHVLMESYLSKGIPLSSYRVAVRMFNRNLIPDLELCEKVSKKLTLEGKSEEADNLMLRFVERGNTLHHGQHISQSS >Manes.01G172900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35436466:35440353:-1 gene:Manes.01G172900.v8.1 transcript:Manes.01G172900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVFNSHHAIRKAIANPHKVADFFQLRPSVFGIDFAYRSTCNICGHPSALILFNSFSSSLASRNGEREDFYDSNDGSKKFRLMGFHDAECKSSSFDEIEGDVECSDSDNDDNDILVVNSVNRNHGQNEGVRKIEIEEEEFRHPLVREICRLIELRSTWNPKLEGEMRRLLRSLKPRQVCAVLQSQDDERVALKFFYWADRQWRYRHNPIVYYAMLEVLSKTKLCQGARRVLRLMARRGIVCQPEAFAYAMVAYSRAGKLRNAMQVLTVMQKAGIEPNLLICNTTIHILIMANKLEKALRFLERMKLVGITPDVLTYNCLIKGYCDVHQIDGAMELIAEMPLKGCSPDKVSYYTVLGFLSKYKRIKEIKDLLEKMMKDNKLFPDQVTYNTLIHMLSKHGHADEAIEFLREAEERGFQVDKVGHSAIVDSFCKQGKIEQAKAIVNEMFTKGCSPDVVTYTAVVNGLCKVGQVEEAKKMLQQMYKHGYKPNTVSYTALLNGLCRNGKSLEAREMMNMSEEDWWTPNAITYSVVMHGLRREGKLAEACDVVREMLRKGFFPTPVEINLLINSLCWEGKTVDAKIFMEECLKWGCAVNAVNFTTVIHGFCRKDNIDAALSLLDDMYLNNKHPDAVTYTTIINALAKKGRIEEATKFTLLMLKKGLDPTPVTYRTVIHWYCQIGKVENLLKLLDKMLSRKKCRTAYNQVIEKLCSFGDLEAADKLLGKVLRTASRIDANTCHVLMESYLSKGIPLSSYRVAVRMFNRNLIPDLELCEKVSKKLTLEGKSEEADNLMLRFVERGNTLHHGQHISQSS >Manes.01G172900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35434129:35440353:-1 gene:Manes.01G172900.v8.1 transcript:Manes.01G172900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEKQQYLCLEEAKTRCPASRNGEREDFYDSNDGSKKFRLMGFHDAECKSSSFDEIEGDVECSDSDNDDNDILVVNSVNRNHGQNEGVRKIEIEEEEFRHPLVREICRLIELRSTWNPKLEGEMRRLLRSLKPRQVCAVLQSQDDERVALKFFYWADRQWRYRHNPIVYYAMLEVLSKTKLCQGARRVLRLMARRGIVCQPEAFAYAMVAYSRAGKLRNAMQVLTVMQKAGIEPNLLICNTTIHILIMANKLEKALRFLERMKLVGITPDVLTYNCLIKGYCDVHQIDGAMELIAEMPLKGCSPDKVSYYTVLGFLSKYKRIKEIKDLLEKMMKDNKLFPDQVTYNTLIHMLSKHGHADEAIEFLREAEERGFQVDKVGHSAIVDSFCKQGKIEQAKAIVNEMFTKGCSPDVVTYTAVVNGLCKVGQVEEAKKMLQQMYKHGYKPNTVSYTALLNGLCRNGKSLEAREMMNMSEEDWWTPNAITYSVVMHGLRREGKLAEACDVVREMLRKGFFPTPVEINLLINSLCWEGKTVDAKIFMEECLKWGCAVNAVNFTTVIHGFCRKDNIDAALSLLDDMYLNNKHPDAVTYTTIINALAKKGRIEEATKFTLLMLKKGLDPTPVTYRTVIHWYCQIGKVENLLKLLDKMLSRKKCRTAYNQVIEKLCSFGDLEAADKLLGKVLRTASRIDANTCHVLMESYLSKGIPLSSYRVAVRMFNRNLIPDLELCEKVSKKLTLEGKSEEADNLMLRFVERGNTLHHGQHISQSS >Manes.01G172900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35434129:35440353:-1 gene:Manes.01G172900.v8.1 transcript:Manes.01G172900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVFNSHHAIRKAIANPHKVADFFQLRPSVFGIDFAYRSTCNICGHPSALILFNSFSSSLASRNGEREDFYDSNDGSKKFRLMGFHDAECKSSSFDEIEGDVECSDSDNDDNDILVVNSVNRNHGQNEGVRKIEIEEEEFRHPLVREICRLIELRSTWNPKLEGEMRRLLRSLKPRQVCAVLQSQDDERVALKFFYWADRQWRYRHNPIVYYAMLEVLSKTKLCQGARRVLRLMARRGIVCQPEAFAYAMVAYSRAGKLRNAMQVLTVMQKAGIEPNLLICNTTIHILIMANKLEKALRFLERMKLVGITPDVLTYNCLIKGYCDVHQIDGAMELIAEMPLKGCSPDKVSYYTVLGFLSKYKRIKEIKDLLEKMMKDNKLFPDQVTYNTLIHMLSKHGHADEAIEFLREAEERGFQVDKVGHSAIVDSFCKQGKIEQAKAIVNEMFTKGCSPDVVTYTAVVNGLCKVGQVEEAKKMLQQMYKHGYKPNTVSYTALLNGLCRNGKSLEAREMMNMSEEDWWTPNAITYSVVMHGLRREGKLAEACDVVREMLRKGFFPTPVEINLLINSLCWEGKTVDAKIFMEECLKWGCAVNAVNFTTVIHGFCRKDNIDAALSLLDDMYLNNKHPDAVTYTTIINALAKKGRIEEATKFTLLMLKKGLDPTPVTYRTVIHWYCQIGKVENLLKLLDKMLSRKKCRTAYNQVIEKLCSFGDLEAADKLLGKVLRTASRIDANTCHVLMESYLSKGIPLSSYRVAVRMFNRNLIPDLELCEKVSKKLTLEGKSEEADNLMLRFVERGNTLHHGQHISQSS >Manes.02G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8483752:8485604:1 gene:Manes.02G109200.v8.1 transcript:Manes.02G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMGEEGPVEHQQHAKDYVDPPPAPLLDLKELKRWSFYRALIAEFMATLLFLYVSVATVIGYKSQADACDGVGFLGVAWAFGGMIFILVYCIAGISGGHINPAVTFGLLLARKLSLVRAVAYMVFQCLGAIAGVGLVKAVMEDSYSSLGGGANSVSSGYSKGTALGAEIIGTFVLVYTVFSATDSKRKARDSFVPVLAPLPIGFAVFVVHLATIPITGTGINPARSFGAAVIYNNKTVWDDHWIFWAGPFLGAMAAAGYHQYILRAGAAKALGSFRSNPSI >Manes.10G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3546733:3548179:1 gene:Manes.10G035000.v8.1 transcript:Manes.10G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITRIAVGNPGEASQPDALRAAMAEFFSMIIFVFAGEGSGMAFSKLTDNGSTTPAGLIAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGILYWIAQLLGSVVACLLLKFATGGLETSAFALSSGVSSWNAVVFEIVMTFGLVYTVYATAVDPKKGNVGIVAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWVGPLVGAAVAAIVYDNIFIGPNAHEPLSTNDF >Manes.11G118937.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27728621:27729322:1 gene:Manes.11G118937.v8.1 transcript:Manes.11G118937.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLAKTLSKLFQALLLLIFLSTSASTATSALTDGPTKVGMEAYCLLRWKASLDNQSQSVLDSWVGRGPCKWIRVNCDSFGSITILSLINFGLRGTLHSFNFSCFPNLTRLEIWNNLLHGTLPSQISNLSKIAYLDLSGNHLTGNIPSEIGMLIDLHTLALSKNLFDGHIPAEFGMLSSLSELYLSSNNFIGLIPTSMTKLENISILYLWRNKLSDSIPPEIGFLKSLKSLDLS >Manes.06G032800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8730657:8751553:1 gene:Manes.06G032800.v8.1 transcript:Manes.06G032800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESSSNSSIFCASFNQDNSGFAVSTRDGFKIFDPNSGRLCYERAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTGTALRELNFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLAILDTLDTVPNTKGLCAFSPSLDGCFLALPASTTKGSVLVYNVMELQSHCEIDAHRAPLAAVTLSSNGMYIATASEQGTIIRVHLVLEATKSYSFRRGTYPSTIFSLSFGPSMQLPDILVAASSSGSIHVFSLSFAINQRSRRSSSLLGSILPDSVNDALDPAHHHVLHNAVPAGVKSYVVVRKVDKAADTSTEFVACRATLSIITFNGYFQEYIVNINNQNESKWTLEREFNLLPAISGEEQHFQLV >Manes.06G032800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8730657:8751553:1 gene:Manes.06G032800.v8.1 transcript:Manes.06G032800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESSSNSSIFCASFNQDNSGFAVSTRDGFKIFDPNSGRLCYERAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTGTALRELNFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLAILDTLDTVPNTKGLCAFSPSLDGCFLALPASTTKGSVLVYNVMELQSHCEIDAHRAPLAAVTLSSNGMYIATASEQGTIIRVHLVLEATKSYSFRRGTYPSTIFSLSFGPSMQLPDILVAASSSGSIHVFSLSFAINQRSRRSSSLLGSILPDSVNDALDPAHHHVLHNAVPAGVKRSCNTVMW >Manes.06G032800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8730657:8751553:1 gene:Manes.06G032800.v8.1 transcript:Manes.06G032800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESSSNSSIFCASFNQDNSGFAVSTRDGFKIFDPNSGRLCYERAVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTGTALRELNFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLAILDTLDTVPNTKGLCAFSPSLDGCFLALPASTTKGSVLVYNVMELQSHCEIDAHRAPLAAVTLSSNGMYIATASEQGTIIRVHLVLEATKSYSFRRGTYPSTIFSLSFGPSMQLPDILVAASSSGSIHVFSLSFAINQRSRRSSSLLGSILPDSVNDALDPAHHHVLHNAVPAGVKSYVVVRKVDKAADTSTEFVACRSIL >Manes.11G070000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10069187:10072060:1 gene:Manes.11G070000.v8.1 transcript:Manes.11G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGLSLRWASLLTFLLLQMLSESSGLPMKSCESKCGSIDIEYPFGIGANCSMNDWFVVVCNGTADNRRAFISSINLEVTDFLYERSRLRVKSPVVSFNCDVNHSNSVVDLRRTPFTVSSHNRFTVVGCKARALLTSAEPDIIGCQPTCNKKLKPQGRNPPCSGNRCCQTSIPYYLQVFKPSFEKENGKEGKRVCKLAFIVESTWFKSNIKDPYKVQEREYVPMLLDWKINATDMESLGINKETTSWSFRYYNGFGFPYPNNSMLMCRQGYAGNPYLPDGCQDVDECLDFEVRSRCSGNCINTRGSYRCEAQKSWIFILGSSMGFGLLSLLLTSWWLSKFIKKRKRSQRKKKFFKKNGGLLLKQQVCSSKANVEKATIFSLKELEKATDRFNVNRILGKGGQGTVYKGMLADGRIVAVKKSEKVDQTRVEQFINEVVILSQINHRNVVKLLGCCLETDVPLLVYEFIPNGTLFHYLHHPTEEFQLSWKMRLQIAIEVAGALSYLHSAASIPIYHRDIKSTNILLDEKYRSKVSDFGTSRSIPLDHTHLTTRVLGTFGYLDPEYFQSSQFTEKSDVYSYGVVLVELLTGQQPLSSASLRDGVGLAAHFIMSVEEDKLFDVLDPRIVEQCDKEEVMVVASVAKRCLNLNGKQRPTMKEVIMELEGIQFPQKDHLSILRKGDEFESNLWDACSFTTFSGSNCCTDLPVEI >Manes.07G023500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2540247:2543780:-1 gene:Manes.07G023500.v8.1 transcript:Manes.07G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLVKKEQPIGLEDENDNDPLVMASCLDFKVAALKKKKKQKKMCFDHHELTDDRSSQILSMFRKYLYSKTQNDVVGKTNMEESEAIKLKHAARFTPRQASLLEQKLLRGIRKEEKQSEFDGKSSAAQLTIFYAGTINVYNNVPAHKAQAIMLLAGESSTSKPASVELPAKMETNGISSSVNLTSVCKLQADLPIARKLSLQHFLEKRRCRIISKSPYSSPATPRAEENSEETKPRADGDDSNAVHGSISLSPFPSRLGYFFPVSANKDL >Manes.07G023500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2540330:2543766:-1 gene:Manes.07G023500.v8.1 transcript:Manes.07G023500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLVKKEQPIGLEDENDNDPLVMASCLDFKVAALKKKKKQKKMCFDHHELTDDRSSQILSMFRKYLYSKTQNDVVGKTNMEESEAIKLKHAARFTPRQASLLEQKLLRGIRKEEKQSEFDGKSSAAQLTIFYAGTINVYNNVPAHKAQAIMLLAGESSTSKPASVELPAKMETNGISSSVNLTSVCKLQADLPIARKLSLQHFLEKRRCRIISKSPYSSPATPRAEENSEETKPRADGDDSNAVHGSISLSPFPSRLGYFFPVSANKDL >Manes.03G142700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27081803:27088702:-1 gene:Manes.03G142700.v8.1 transcript:Manes.03G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVANHEAIKQFQSLMDQIDQPLKDTFQNMHQGYPNETLLRFLKAREWNVSKAHKMLIDCLQWRIQNEIDNILAKPIIPVDLYRAIRDSQLVGLSGYTKEGLPVVAVGVGLSTFDKASVNYYVQSHIQINEYRDRVILPAASKKYGRHISTCVKVLDMTGLKLSALNQVKLLTVISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLQGCGRDELLKITDYASLPHFCRKEGSGSSHLTGSGTAGNCFSLDHDFHQQVYNYIKHQAALSEPTAPIRQGSVHVDIPEPDPEDAKIAKTIESEFQKFGGLNGLSNSLNGLKVNGH >Manes.S046316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1438869:1439681:-1 gene:Manes.S046316.v8.1 transcript:Manes.S046316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.09G016747.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3595564:3598818:-1 gene:Manes.09G016747.v8.1 transcript:Manes.09G016747.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWNRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTYTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFSHLHLVDLGFSVFGKMLKLGLEPDVVTFTTLINGLCTESKIDKAVEFFDDMVACGYQPNVYTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPDVVTYSAIIDALCKDELVGKALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYTSLIDGLCISDQFKEALALLKEMVERNISLDVFTFNILIDTLCKKGLVSNAPNIIKIMIQRGVQPTVVTYNSMMDGYCLGNQIDKARKLFDLMVTNEIANIFSYSILINGYCKCKMIDDAKELFDEMSHKGLVPDVVTYSILIKGMFQAGRPENVKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVCVYNAIMKGLCQQGLMDEAYKIFRDMEKGGCLPNNWCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVRLLCNDDLILRLLKVRNEGSAN >Manes.05G037100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3058724:3060345:-1 gene:Manes.05G037100.v8.1 transcript:Manes.05G037100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKKGLKKGPWTPEEDEILISYIKKNGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLCMGLDPQTHEPFTSCGPAIKAPASPATRHMAQWESARLEAEARLSRESSLFNPPTPGKSDNDYFLRIWNSEVGDSFRKFNQADKTTRKSPASASQASSSTKCGSVSAVTADISPNLAGCSTTARNQNEDTECKSCKSYAEDLNAGSDSSSSLELEDSSDSTLQLLLDFPINNDMSFLEENMDGYATYSAM >Manes.11G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3196640:3200209:1 gene:Manes.11G033400.v8.1 transcript:Manes.11G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEAKAKSVRRALRDVSNNYTNGNNGGGGGGGGGGRFSKSVNVNKKTISEKQIENQQSITMAQQEGGQGGEDHLDRLLLVQSDLCSLTRQIDELVAQAFKLKASSKQGKKEIESFSHVLSDMLSSLKPWASRLQETFFTPASNIETQLGQCSADKTLSNINGDENFEVGSPEQRRMSSLISPSPLVSWRADCNIERSRQLFLLTPLPISKTLSSKHQDLSKSVFERIVSNPAGELSLSLSVSGDLNDDLLEGIATDPTPNKPSESVVTEAKRTLHSECVSPLIFSKRDHAMLVMTPCLKMSPPKSCVLLEPISESSHKGKGMVRKSTPFPVGIHSQISESSSDSEGSENLTLKYPELMGIQWTYKSRMGKKEIEASPNWFFSPPKTCVLLEPPDEKSLDTVATDNLLPISAPLLDHQTNLSLTKERNDQVGCHEIRKSCNQDSSLTAVESTPIWKEPASTMRRGKRPGENTLKKELWTKFEAASTYGIRLNVSALQKTAQKGFLDMLDEASRDG >Manes.14G155601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19709752:19712340:1 gene:Manes.14G155601.v8.1 transcript:Manes.14G155601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNHIFMCYVIVRVFNGNKLVDMATRTIPEALLVDTVANLHDVQSGMVLGVIEAFLTSFCCPLNSHQ >Manes.13G120000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32661809:32663144:-1 gene:Manes.13G120000.v8.1 transcript:Manes.13G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNNNNTKLILLHPYIQKQGSSNRLWLLAFVSFFTIAFLVTLIYTREALPTKSTASLAAAATSSSISTSAPLPTTVINTLLHYASRSNDSFHMSYSEIKPISDVLRKCSSPCNFLVFGLTHETLLWKALNHNGRTVFIEENRYYAAYFEELHPEFDVFDVQYTTKMREYKELIASTRDQIRNECKPVQNLLFSECKLGINDLPNHVYEVDWDVILIDGPRGDGPEGPGRMTPIFTSGVLARSKKGGNGKTHIFVHDYYRNVEKVYGDEFLCRENLVEANDMLAHFVVEKMDENSYQFCNNQTSKSSSSSSS >Manes.14G101900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8441237:8442618:1 gene:Manes.14G101900.v8.1 transcript:Manes.14G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRKQPLNHNKPRKKVTDTKSILPEELRMESKMRKIRVIYHDPYATDSDSSDDESEINVMRSYKSKRFVHEINLPSIVFPRSNPIEPESSCQDSNNSGKIPNKRRRVLGKTTSSTATAAKETTGTKVAPKKPVGVRQRKWGKWAAEIRNPVTKVRTWLGTYNTLEEAAQAYEAKKREYDAMTMAASEKSHNISTSVAASQSQNINKSNTNHNCSVSSDDTGSVLSHTSPSSVLELDTSVVSNLNGDSSDLIKEGGFDTDVADLELSDLGFMNEPLGSCPGDEDLNLGLEFGNLIDDFGQLYDEFCAIEDLEICRIDSDEPSELPDYDFEFGNEEFAYLDDHHHHQQHQLPLNIACP >Manes.09G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26999234:27002480:-1 gene:Manes.09G089100.v8.1 transcript:Manes.09G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKTFTMNWDALGDQDDDDDRFFESRDRLSSAVPLERASSGSEDETNDFEDTRLSFASSVSTAEFRNYSTAAAAAMSPEYDIWLSAPGSIKERRKRLLQGMGLSADKELLNISSKNLTRAVSTKITNRHASPDKKTDPSKDTKPKPSPAPVPVVLVRSRSGNDIASFSVEKTRKEEILGNISKQRLTRSSSMIIVSQARIYPYQDPARISTKDRKKTRSLEQKRSLTPSISDNRFRAFFLIKNLDTGKEFIVNEYNHDGNWNKVSDLQTGKQLTMEEFEKSVGHSPVVKELMKRENVNKMNGDERKLTANKYLSKSFRNSKRRGAAFLKKAKGMAHSMSLKGIDKERDIVSPKAESKAESKNSSPSGWIKVRQNGKSCKELSALHLCQEIQAHQGSIWTMKFSPDTQLLASGGEDRTIHIWEVQECEIMSLNPFSTPGLGEVTPMPSEKKKKKKGSLSRKSSLIPDYVHVPETVFSLSEKPICSFTGHLDDVLDLSWSRSQLLLSSSMDKTVRLWDMETKSCLKLFAHNDYVTCIHFNPMDDNYFISGSLDNKVRIWNIPDRMLVDWTDLHEMVTAVCYTPDGQGALIGSHKGSCRMYNVEDCKLSRAEHIDLHNKKRPKKITGFQFSPCNPTEVLVASADSRIRIIDGSELVYKFKGFRNLNSQIAASFSTDGKFVICASEDSHVYLWKRDERAGTSGKGKNMTKTKSHENFQCRDVSIAILWPGTVRGDPPPEQHHSKRHSKRSSSFSSPTNDESSVSSRRNFPSSASDSPTREDNSSATGNERKLPPLPNKKDNNNKNVDRAPSLGEDLFPVCWLDSGLANSFKSASSSMRYGESPSISAGPSKSSSWPSSWSWLHGNQNVQATAWGMVIVTATLGGEIRAYQNFGLPRQSNLF >Manes.16G009300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:963436:968276:-1 gene:Manes.16G009300.v8.1 transcript:Manes.16G009300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRHDVQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKNNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKMIQDQIAETLGLKLDKVNEQHRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHGGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGRDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDCPNLKILLMHIEKTRLWEEAIYMPATVLTGMKALQVFDRRDSSRNRFLAHFLRSLELGFSQLTNLRTLMLQYYKIVDTTPIGELKNLEILSLKNCTFRQPFNTIGKLTNLSSFLVIRSAVLIS >Manes.16G009300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:963435:965752:-1 gene:Manes.16G009300.v8.1 transcript:Manes.16G009300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRHDVQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKNNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKMIQDQIAETLGLKLDKVNEQHRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHGGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGRDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDCPNLKILLMHIEKTRLWEEAIYMPATVLTGMKALQVFDRRDSSRNRFLAHFLRSLELGFSQLTNLRTLMLQYYKIVDTTPIGELKNLEILSLKNCTFRQPFNTIGKLTNLSSFLVIRSAVLIS >Manes.16G009300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:963435:965752:-1 gene:Manes.16G009300.v8.1 transcript:Manes.16G009300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRHDVQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKNNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKMIQDQIAETLGLKLDKVNEQHRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHGGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGRDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDCPNLKILLMHIEKTRLWEEAIYMPATVLTGMKALQVFDRRDSSRNRFLAHFLRSLELGFSQLTNLRTLMLQYYKIVDTTPIGELKNLEILSLKNCTFRQPFNTIGKLTNLRLLDVEFF >Manes.16G009300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:963435:968276:-1 gene:Manes.16G009300.v8.1 transcript:Manes.16G009300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRHDVQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKNNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKMIQDQIAETLGLKLDKVNEQHRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHGGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGRDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDCPNLKILLMHIEKTRLWEEAIYMPATVLTGMKALQVFDRRDSSRNRFLAHFLRSLELGFSQLTNLRTLMLQYYKIVDTTPIGELKNLEILSLKNCTFRQPFNTIGKLTNLRLLDVEFF >Manes.16G009300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:963436:968276:-1 gene:Manes.16G009300.v8.1 transcript:Manes.16G009300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRHDVQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKNNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKMIQDQIAETLGLKLDKVNEQHRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHGGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGRDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDCPNLKILLMHIEKTRLWEEAIYMPATVLTGMKALQVFDRRDSSRNRFLAHFLRSLELGFSQLTNLRTLMLQYYKIVDTTPIGELKNLEILSLKNCTFRQPFNTIGKLTNLRLLDVEFF >Manes.18G144901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21667661:21681607:1 gene:Manes.18G144901.v8.1 transcript:Manes.18G144901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.05G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5262205:5265888:1 gene:Manes.05G062700.v8.1 transcript:Manes.05G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFMLRVYCLPIVWLNLAVAVFSADKYSREDFPPGFIFGSGTSAYQVEGAASEDGRSPSIWDTFSHQGKMGGATGDISVDEYHKYKEDVKLMAEIGLDAYRFSISWPRLIPNGRGPANPKAVRYYNNLINELISHGIQPHVTLYHYDHPQALEDEYGGWISRKIIKDFTAFADVCFREFGDRVMYWTTLNEPNILPLFSYDVGQLPPNRCSPPFGFNCTQGNSTSEPYLVAHHLLLAHASAVRLYRKKYQDKQQGFIGINLFVFATYPLTNSTEDVLATQRANDFFVGLIANPVVYGVYPDIVKKNAGSRLPAFTNQESRQVKGSFDFLGVNHYMSIQVKDDSASLKSEYRDYLADMAVEMMADIDNTKFEYPIQPAGMQAALEYMKQAYGNPPIYIHENGQRTRRTSSLDDTSRVKYMHGYIGSVLDAIRNGSNTRGYFTWSLIDVLELLGGYEACFGLYYVDINDPQLKRHAKLSAHWYSQFLKGRPVGSTSDALIELA >Manes.05G062700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5263054:5265888:1 gene:Manes.05G062700.v8.1 transcript:Manes.05G062700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATGDISVDEYHKYKEDVKLMAEIGLDAYRFSISWPRLIPNGRGPANPKAVRYYNNLINELISHGIQPHVTLYHYDHPQALEDEYGGWISRKIIKDFTAFADVCFREFGDRVMYWTTLNEPNILPLFSYDVGQLPPNRCSPPFGFNCTQGNSTSEPYLVAHHLLLAHASAVRLYRKKYQDKQQGFIGINLFVFATYPLTNSTEDVLATQRANDFFVGLIANPVVYGVYPDIVKKNAGSRLPAFTNQESRQVKGSFDFLGVNHYMSIQVKDDSASLKSEYRDYLADMAVEMMADIDNTKFEYPIQPAGMQAALEYMKQAYGNPPIYIHENGQRTRRTSSLDDTSRVKYMHGYIGSVLDAIRNGSNTRGYFTWSLIDVLELLGGYEACFGLYYVDINDPQLKRHAKLSAHWYSQFLKGRPVGSTSDALIELA >Manes.04G143200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34177901:34180566:1 gene:Manes.04G143200.v8.1 transcript:Manes.04G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWEKPVREDRFRHKHRQNPSFSSTLLDAIYRSIDESNDKGEEELIFYKETMRKKHSNGFKGDERIATLQKACMIEKWMEEKVSYEKVAVRRKSVGDFDKNAPKDFDPKPPPMLLNSSSSSSESSCGGGFSSSESESTYGLSSSRSSSICAMQRPKPIRTSVSARPERYERCVDEISMYHHERKYSNYAPTQKPKHEGSFGKTKSRALKFYGDLKKVKQPISPGGRLASFLNSLFTAGNAKKAKISSSLSGCEERKIKSEQTSKCSSTSSFSRSCLSKTSSARGNKLSNGTKRSVRFYPVSVIVDEDSRPCGHKSLYANHEETSMAVTSTRNLANEELKLHVMNESRRVEKVARDLLKNYQKKQEEFAARELFCNGNGESSAEEEEEDDDDEEVASCASSDLFELDNLSAIGIERYREELPVYETTHLDKNRVIANGIIL >Manes.05G163800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27639056:27640985:-1 gene:Manes.05G163800.v8.1 transcript:Manes.05G163800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSTLQSLKHCLSEHPSIVNFRWSITQTWGSTWFFIFSAISIYISASITLHLFLSILLSRKRRVPLGPIPAIHSLTVALISAFIFIGLLFSTAAEIRDTRWFWRRTKTTTAFQWLLCFPLGTRPTGRVFFWSYAFYLSRFLHLFRTFFTILRYRKLSFFTLFNQSILLFMSFLWLEFSQSFQVLAILLTTLLYSVVYGYRFWTAIGLPRAHFLFVANCQVVLLGCNLVCHFGVLFLHFLKGGCNGMVAWGLNSVLNGMILLLFLRFYVKVHLIKKKARAVMGEFKDSASEIKKAS >Manes.14G151967.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17504458:17504813:-1 gene:Manes.14G151967.v8.1 transcript:Manes.14G151967.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWQETILFHLMIYTLSQKTQLQIDWLTVHPLVKPVTHLTSTNFNIEDAPIINRLHPHCHYS >Manes.05G129800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22086236:22087171:-1 gene:Manes.05G129800.v8.1 transcript:Manes.05G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLRFVTALSAPTQETAILSSSLSSRSDACKVFLNSLAFKRPEGKAAMNLRVRAMGGAPKFKGTQMREKHLTEMIEKKIIEAKEVCEGDQTSDECKVAWDEVEEVSQAKADFRLKLEKQDPLEYFCQDNPETDECRVYED >Manes.14G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13507232:13514931:-1 gene:Manes.14G145600.v8.1 transcript:Manes.14G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDQTVLSLRPGGGRGSRLFNPRLDSSSSNSSSSSSTISSSIAFGDLPLLRPHGSALLKTGDSRFEVHDRVRYTRDQLLSLREAVVISDEILKIKREIEAELFGEDQSWSRGEINPPHQPQSRYSEPDNRDWRGRSGQFSASGEERSWDSLREKTDLGGRYESRQADANQFNRQDQLNSQFARAQISSNQLGGPAPALVKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVIQLIFEKAVLEPTFCPMYAQLCSDLNEKLPPFPSEEPGGKEITFKRVLLNNCQEAFEGADKLREEVRQMTAPEHELERRDKERLAKLRTLGNIRLIGELLKQKMVPEKIVHHIVKELLGPDTKICPAEENVEAICQFFNTIGKQLDENTKSQRINDIYFLRLKELSSNPQLAPRLRFMIRDVLDLRANNWIPRREEVKAKTITEIHSEAEKNLGLRPGAASSIRNNRAVVSAAPGNAGPGGFLINRPGAGGMMPGMPGTRRMPGMPGIDNDNWEVPRNRSMQPSGRVQSPMYSKSASLNTRLLPQGSGGFIGGKSSALLQGSTGPSPRPHSFGLGNELPAQVPVVSKPSPAPYVPPVVDKPAGSAASLNPEELGRKTVSLLKEYFNARLLDEALQCVEELKSPSYHPEVVKEAISLALEENPPCVELVAKLLEYLLAKKVLTARDIGTGCLLYGSLLDDIGIDLPKAPNNFGEVIGKLVLAGGLDFKVVAEVLKKMEDDRYQKAVFDAAMRIISCSPNAQVLLDSQSSDIEVCKSLF >Manes.01G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32290745:32296700:1 gene:Manes.01G129000.v8.1 transcript:Manes.01G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDSSSFSLAARGRLAVLSAHLTAAAAISPPVLDAQCVSAQVPLPGNLQGTLTVIDERTGKKYQIQVSEHGTVKASDFKKISTGKDDKGLKLYDPGYLNTAPVQSSISYIDGDEGILRYRGYPIEELAESSTFLEVSYLILYGNLPSKSQLADWEFAISQHSAVPQGILDIIQAMPHDAHPMGALVSAMSALSIFHPDANPALRGQDLYKSKQVRDKQIARILGKAPTIAAAAYLRLAGRPPVLPSSNLSYAENFLYMLDSLGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGGKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAVALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLAHWRESLDDPDTKIMRPQQVYTGAWLRHYMPLKERMETGDADRLGQMSVSNASRRRLAGSGI >Manes.12G147201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36825595:36826374:1 gene:Manes.12G147201.v8.1 transcript:Manes.12G147201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAKKISTTQRSKYWERGFGLEARKIEKTLGENYKSTSDRTTQRSKYRERGFGLEAREIEKTLGQNYKSTSDRLFERDTEMRRSRRC >Manes.14G015600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1047073:1049805:1 gene:Manes.14G015600.v8.1 transcript:Manes.14G015600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVNSVSPLTNPFPEAARIACGFFSHIPNLHSFSLNKGFTKVLASTQITISPKDSVVSLPNWRSGKNDHRSREIRLNDAYFHLEYVVKKGQKPDVVQATQLLYDLCKANKLKKAIKVMEMMIGSGMIPDAASYTFLVNHLCKRGNVGHAMQLVEKMEEYGYPTNTVTYNSLVRGLCMHGNLNKSLQILDRLMQKGLVPNAFTYSSLLEATYKERGVNEAMRLLDEIITKGGQPNLVSYNVLLTGLCKEGRIEEAIQFFRDLPSKGFNPNVVSYNIILRSLCYEGRWEEANELLAEMDGDERSPSIVTYNILIGSLAFHGRTEQALQVVDEMMNGPFKPTATSYNPIIARLCEEGKGKVQEAFSLIQSLGNKQNFSIHEFYKGVISCLSRKGNTYPAFQLLYEMTKYGFTPDSYTHSSLIRGLCIEGMLYEAMEIFKLLEEDHYRPDVDNFNALILGFCKSHRTDLSLEVFEMMIEKGYMPSETTYAIIVEGIIHEEEKGLAAEVLKELHLKQVMSQNTVERLCMQYDLEGVPV >Manes.11G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29742376:29744708:-1 gene:Manes.11G133200.v8.1 transcript:Manes.11G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDIIKNNKKSGSGNARGRGRPSGPGPARRLANRGANRAAPYTASKAPETTWQHDMFTDQGMAYGQAGRASAIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYSIHYDRSGRSKGTAEVVFSRRTDALAAVKRYNNVQLDGKPMKIEIVGTNIATPAAPPANNVSFGNSNGVPRGGQGRGGTTGRPRGGGGRGFGRGRGRGRGRGEKVSAEDLDADLEKYHSEAMQTN >Manes.12G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1457634:1461890:-1 gene:Manes.12G015300.v8.1 transcript:Manes.12G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYFPLRWESTGDQWWYASPIDFAAANGQYDLVRELLYLDTNLLIKLTSLRRIRRLETVWDDEEQFIGVASCRALVAKKLFLECETKRGHNTLLRAGYGGWLLYTAASAGDVSFLKELLERDPLLVFGEGEYGVTDIFYAAARSKNSDVFKLLLDFSISRRCGLNSDQELEDQLDKNYSEFRQDMMNRAVHAAARGGNLEILKELLGDFSCVFAYRDAQGSTVLHTASGRGQVEVVKDIIPCDGLVAATDYQGNTALHVAAYRGCLAVVEVLILACPSLISITNKYGDTFLHMAVSGFQTPGFRRVDRQIELVKQLVSGELVNIQGIINVTNNDGRTALHMAVVENVQSNLVELLMTVPSVNLNICDVNGMTPLDLLSQQPRTASSEILIKQLISAGAICNSQENMTRSVIAFHSSGHGIGCSPGTSFRIPDAEIFLYTSTENASDATFDLTSVNYSTCLSEASEFDTADSANNKKSASVNNAARHLKSLLQWPKKKEKRDASTELVDNFSLDSFSLSRSLEDHPIPLRQRYSKHSCLSSNGNVFSFRSSLPSPLTRKKFTAGLTHGVIQAMPHFAASVESPSSPFSQSSMASPVSLDKEKGVDIAGPSSLNPSLKHRQPSLNKKLMNQYFCFGAQGLAVDNSISYSQKTQSYKRTASLIA >Manes.S034516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:872730:873155:1 gene:Manes.S034516.v8.1 transcript:Manes.S034516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGSGGGRWPPVCSAHAAGPKAESSAATATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.17G078850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27871893:27872399:1 gene:Manes.17G078850.v8.1 transcript:Manes.17G078850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVGKLEADVEIDVPAVEFHDVFGCRPHHVSNMSPDRIHGCDLHEDIMKEYKSYKATVQATSKGNGSLVYWTLKYEKLNENIPDPHTLMEFLIHCT >Manes.12G153900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36169144:36170076:1 gene:Manes.12G153900.v8.1 transcript:Manes.12G153900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDNYDYHFPYFPLPPPHNPPSPPKVTPPHPSPSPPNVPSSHKPPPSPKVSPPHNVPSSPKVSPPHNPTPPHNTPTPQVAPPHHPITPPPPLPIPAKPPSHPIYPPPPRHPIPPPSPPHFVPPPPPPPTPAPGHHSTVIVIVFVSLGGLFFLAFLSVALCCFIKKRKKRIVRKTEIVDFEEHMRVQEAIVPGPHGEQTKVLTIEEDVHIVEKIKKNEKISEGSHIKSSHEHSQETDLPASSFQPSQNYLEHKV >Manes.04G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31310588:31337503:-1 gene:Manes.04G109400.v8.1 transcript:Manes.04G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSFSTAVVSGNMERPRSSRLGAEILYALQKLKEILQQKNMQFALFELDVHSSKNNLSAAKNLKSNAGSSKLGADDAVEEKEEGMHKVLNSKKLLAQSRSSSSNRVEKMSLENSSRGKVYLNSDEGKQVVQGSEIEQLSKRLKFLEEESKIMRQEFLEQVEEKEKLVKEIYKQFQTIYRCL >Manes.02G215610.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35904670:35906252:-1 gene:Manes.02G215610.v8.1 transcript:Manes.02G215610.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEGQFPADLFHKLTAIGIYCFHDESAVFPFNLLERFQPMKNLQVGCSRFKELFPCDGSVGRKKYVEVLRLIRRLKLNNLPDLTDIWNQDSELDQVLQSLELLHVERCNSLVALAPSSTFQNLITLKLLKCNGLLNLVTSSTAKSLVQLTIMSIKECDGLKEIVANDGDEIELKEDIIFSKLKTLELHYLPSLVCFCSSEHNFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNDAIQQLFAEMYKC >Manes.09G179600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36889362:36889736:-1 gene:Manes.09G179600.v8.1 transcript:Manes.09G179600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATTRKRKIENVEEQEKKGQQETDLEDDDDDDEEKIEKFFTLVRNSRDLMRRGSNASKEKDKKKPEAAAAAWNPSFQPEDFMQDIVKDGKGVAGPSTTAEEQQKQKKEAEGGNNELDLNLSL >Manes.08G145500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38710772:38712642:1 gene:Manes.08G145500.v8.1 transcript:Manes.08G145500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPSSPISRKTTTTTTTPCCTKVGIKRGPWTPEEDELLSSYVKKEGTGRWRTLPSRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDIILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNPDHNSSQFAKDPNQNSGPKSVNLEETGRTYRVMATKVSQTFNMTNLDHYQNPEVVEDGNDNRLNDNCDALVMELQSDQGHSNEEYHFNGNEDEDTFSSFLESLINENENLFLINQQQQQQQHPNMIAPPVQHGVFSAQPFNNTATWEVEVAPSMAALGDEMLL >Manes.04G110400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31387896:31392581:1 gene:Manes.04G110400.v8.1 transcript:Manes.04G110400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLCGLKRLTELDLKNNKFSGPLPQCLGNISNLQYLDLSSNQFTGNMESILSKLTSLECLVLSGNNFEGLFSFSALANHSKLEIFELSSGSSKLEVDTQNPTWFPTFQLKLFHLSNCHLNVQTRTVPSFLLYQHDMNFIDLSHNKLTGAFPSWILQNNSKLQVMNLMNNSFAGSFQLPNFNHRDLVKLEISSNNITGQLPKEFGLVLSNLCYINMSRNSFHGNVPSSVGEIRQLRYMDLSYNNFSGVLPGSILGNGTDLYYLYLSNNNFNAIGGESSSIRAELFVLDMSNNKLSDTIPLQLCNMVSLRILDLSENRLHGSLPSCFNSPWLQFLFLQKNSLSGSIPYVLSTSPSLVALDLRDNKFTGNIPTWIDQLSELRVLSLGGNPLGGHLPEQLCELRNVSILDLSRNLLSGSIPSCFNNISFGNVREHNNFEYTPKSLGDFLPFTSYYSLYDGTLEFEVEELFHMSSSKEVEVEFAMKYKYNPYKGDIVNLLAGYIPISFSNLESLESLDLSFNKLSGEIPSQLVALSFLETFNVSYNNLSGRIPDEGQFGTFDESSYRGNPGLCGPIVNRGCDAAEVPPTPPSNDKEEEEEEGGVDMAWFNWSFNASYVTIIFVLMVTLRINREWRMLWFYWVDVCIYYISIQLFGTDRLCL >Manes.03G173400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29777847:29779444:-1 gene:Manes.03G173400.v8.1 transcript:Manes.03G173400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFPHRLRLRYLSTALTFLLLLSSSVTTLSLLGCGFPDEGERGRELKAVDRVLSQKRLSGPGSSPPTCRSKCGRCFPCQPVHVPIQPGLSVPLEYYPEAWRCKCGNKLFMP >Manes.06G038601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:11680863:11681618:1 gene:Manes.06G038601.v8.1 transcript:Manes.06G038601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGIHLSKNQCPMTSDERERMNKIPYASAIGSIMYAMLCTRPDVSYALSTTNRYQTDPGESHWTAVKNILKYLRRTKDAFLVYGGLEDELVVSGYTDASFQTDIDDFRSQSGFVFNLNGGIVSWKSSKQSTIADSTIEAEYIAASDAAKRAVWLKEFISELGMVSSIAKPMDLYCDNNGAIAQAKEPRSHQRSKHILRRYHLIREIIDRGDIKICKVDTNDNIANPLTKPLSQVKHDQHTRSMGIRYLYD >Manes.02G044300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3637678:3641355:1 gene:Manes.02G044300.v8.1 transcript:Manes.02G044300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLDFGRKALFYVRVLSGYEERRIRNYRLQLEKRLQQAQERKTALRKIPEQAILSEVRRMVEEMQTLNRKLEETEAAIEEYFKPIDKDAEIIMKMQLEGEEKSMKEMMKVMQTQTLLERAEAEKGANSHNLDTNQHSQETDSSSSTKQHAQIR >Manes.02G044300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3637788:3641001:1 gene:Manes.02G044300.v8.1 transcript:Manes.02G044300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLDFGRKALFYVRVLSGYEERRIRNYRLQLEKRLQQAQERKTALRKIPEQAILSEVRRMVEEMQTLNRKLEETEAAIEEYFKPIDKDAEIIMKMQLEGEEKSMKEMMKVMQTQTLLERAEAEKGANSHNLDTNQHSQETDSSSSTKQHAQIR >Manes.02G044300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3637678:3641355:1 gene:Manes.02G044300.v8.1 transcript:Manes.02G044300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLDFGRKALFYVRVLSGYEERRIRNYRLQLEKRLQQAQERKTALRKIPEQAILSEVRRMVEEMQTLNRKLEETEAAIEEYFKPIDKDAEIIMKMQLEGEEKSMKEMMKVMQTQTLLERAEAEKGANSHNLDTNQHSQETDSSSSTKQHAQIR >Manes.02G044300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3637788:3641001:1 gene:Manes.02G044300.v8.1 transcript:Manes.02G044300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLDFGRKALFYVRVLSGYEERRIRNYRLQLEKRLQQAQERKTALRKIPEQAILSEVRRMVEEMQTLNRKLEETEAAIEEYFKPIDKDAEIIMKMQLEGEEKSMKEMMKVMQTQTLLERAEAEKGANSHNLDTNQHSQETDSSSSTKQHAQIR >Manes.08G001700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:439744:443206:1 gene:Manes.08G001700.v8.1 transcript:Manes.08G001700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQSTAFSPKIPQPSVISTTLSTPLFQEAASTGHRCRSIKFLTERQRPIVCSAISGSRGNETSSLVGANLLETVKVFDLDGNGIPVSDLWKDRKAVVAFARYFGCVLCRKRADYLAAKKDIMDASGVALVLIGPGSVDQAKTFFEQTKFKGEVYADPNHSSYEALKFVSGVLTTFTPKAGLKIIQSYILRQYSLTWKSIDKTGNFHLKGDCVQSGWQQGGIIVVGPGKTNISYIHKDREAGDDPDIEDILEACCS >Manes.05G064400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5355056:5357093:-1 gene:Manes.05G064400.v8.1 transcript:Manes.05G064400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDHKATIIDGKAIAQTVRSEIADEARQLLEKYGKVPGVAVVIVGDRKDSQNYKRISEAELVSKLHELNANPDIHGIAVQLPLPKHINEEKVLSEISLERIEPLFVPCPQGNGCWKLLSRSGISIKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRSGDQESTIHGADIIIAAAGQAMMIKGSWIKPGAAVIDVGTSDVDDPSEKSGYRLVGDVDFEEACKVAGWITPVPDGVGPMTVTMVLMNNLDGAKRLLMQ >Manes.13G052900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6159730:6161049:1 gene:Manes.13G052900.v8.1 transcript:Manes.13G052900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFPTLFLILLFSSALLFSPSSSQKCTSQKFRNNKLFANCTDLPVLDSHLHFTYNSSNSSLSIAFIAPPAQPEGWVSWAINPTATGMIGSQAFIAFISNGSVVVNTYNISSYSALQLSELSFNVWDLSAESDGKNIVIFATVKLPEKAQSLNQVWQVGASVSGGRPNKHDMAEVNLHSKGLLELVGGGAPAPAPAPGSGSAPRPSPVKPRSSAGIRKLDVTPLVGLFVLLGSFVAF >Manes.10G105100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25318794:25322847:1 gene:Manes.10G105100.v8.1 transcript:Manes.10G105100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSFPFPQGTALNHSENSNSNSNNVMVSSGAKPETVMHSPDLEKGHPGINCRNRQNDIFLTWEELGVTVPSKKHGSKWILQGFTGYAQPGELLAIMGPSGCGKTTLLDALAGRLDSSTKQTGQVLINGRKQPLAYGTSAYVSQDDVITWTLTVREAVYYSAQLQLPNSMSLTEKKQRAEMTIKEMGLQDSIDTRIGGWGSKGLSSGQKRRLSICIEILTRPKLLFLDEPTSGLDSAASYYVMKKLANLAKQHGMSIITSIHQPGCDVFQLFHNLCLVSSGRTIYFGPSSAANKFFEMNGFPCPSHHNPSDHYLITINTDFDEDMEQGFGAKKDREEVIELLVRSYESSATFRQIQRRVREICRQERAELNKGSQANFITQSLVLSRRSFVNMYRDRGYYWLRLVIYIMLGLGLGSVFYSIGFGYSSIQARGSLLMFIASLLTIMAIGGFPSFVEDMKVFQRERLNGHYESCAFVIGNTMSSTPYLLLISLIPGAITYYLVGLQQGSEHFIYFASTLFACMMLVETLMLIVASIVPNFLMGLITGAGIQGLMMLSGGFFRLPNDLPTVLWRYPLYYIAFHKYAYQGLYKNEFEGLKFPPSDLKGPPSINGEEILRNIWQVEMGYSKWVDLAILFCMVVLYRFVLFCVLGIMEKFKPFVREIKFVSLKEAKKVLAYPYGKPLDEIR >Manes.10G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25318906:25322847:1 gene:Manes.10G105100.v8.1 transcript:Manes.10G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCSQLQALNHSENSNSNSNNVMVSSGAKPETVMHSPDLEKGHPGINCRNRQNDIFLTWEELGVTVPSKKHGSKWILQGFTGYAQPGELLAIMGPSGCGKTTLLDALAGRLDSSTKQTGQVLINGRKQPLAYGTSAYVSQDDVITWTLTVREAVYYSAQLQLPNSMSLTEKKQRAEMTIKEMGLQDSIDTRIGGWGSKGLSSGQKRRLSICIEILTRPKLLFLDEPTSGLDSAASYYVMKKLANLAKQHGMSIITSIHQPGCDVFQLFHNLCLVSSGRTIYFGPSSAANKFFEMNGFPCPSHHNPSDHYLITINTDFDEDMEQGFGAKKDREEVIELLVRSYESSATFRQIQRRVREICRQERAELNKGSQANFITQSLVLSRRSFVNMYRDRGYYWLRLVIYIMLGLGLGSVFYSIGFGYSSIQARGSLLMFIASLLTIMAIGGFPSFVEDMKVFQRERLNGHYESCAFVIGNTMSSTPYLLLISLIPGAITYYLVGLQQGSEHFIYFASTLFACMMLVETLMLIVASIVPNFLMGLITGAGIQGLMMLSGGFFRLPNDLPTVLWRYPLYYIAFHKYAYQGLYKNEFEGLKFPPSDLKGPPSINGEEILRNIWQVEMGYSKWVDLAILFCMVVLYRFVLFCVLGIMEKFKPFVREIKFVSLKEAKKVLAYPYGKPLDEIR >Manes.18G114400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11634677:11635594:-1 gene:Manes.18G114400.v8.1 transcript:Manes.18G114400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVMAEGEGSFNNNHGVAWWRGSLLGKGGFGSVYLAYLKKPKSRNAFYRPVMAVISAEVSSSSSLQKEKEVFNNLHDCPYILESYGEETTVSENGQMVYNLLLEYASGGTLADLIRRSGGCGLPELDVKRYTRSILKGIDYIHSHDYVHRDLKPENVLLVPSGSGDFVPKIGDFGLAKKVQKTKRRMFDSSIAGTILYMAPETLVDNIQESASEIWALGCIVFEMFTGKPPWGLNPNETIEELCKRIADGLELLEIPSGISKDGKDFLRGCLVKNHKFRFTIEMLLNHPFVSGIDDTEYLVRF >Manes.01G051545.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10279032:10289074:-1 gene:Manes.01G051545.v8.1 transcript:Manes.01G051545.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohG MKGLPKHERRWASDTVTGKETLGSGTSPGIDSNTAEEFVEVTLDFQNDDTIVLRSVEPAAVVNIEDGNGVASAGVATPLSVSASVSRSPTIRRSSSNKLLQFSQELKAEAVAKARQFSQELKAELRRFSWSHGHATKVLSASPSNGGGGGGFESALAARALRKQRAQMDRTRSGAQKALRGLRFMSNCKSKGVDAWNEVQINFEKLAKDGYLYRADFAQCIGMRDSKEFALELFDALGRRRRLKVEKVTRDELYEFWSQITDQSFDSRLQIFFDMVDKNDDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRGPIRRLSTQLIYFLQENWKRIWVLALWVLIMIGLFTWKFFQYKQKNAFIVMGYCLLTAKGAAETLKLNMALILLPVCRNTITWLRSTRLGYFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINSSDQEYKNYLRDDFGEHKPSYAKLVKGAEGVTGILMVIFMAIAFTLATRWFRRSLIKFPKPFDRLTGFNAFWYSHHLFVLVYILLIIHGVLLYLVHKWYLKTTWMYLAVPVLLYAGERALRFFRSGFYSVQLRKVAIYPGNVLTLQMSKPSQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACERPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLGSDISRTSDLSVGSNDASTHTRTSPKRKKTMRTTNAYFYWVTREQGSFDWFKGVMNEVADIDQRGVIEMHNYLTSVYEEGDARSTLITMVQALNHAKNGVDIVSGTRVTFLTLNSCFLPTSFVALQFDHQNRNYRGLNFLFFIFCLSKCYPIFLSGANPFCKA >Manes.01G051545.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10279882:10289111:-1 gene:Manes.01G051545.v8.1 transcript:Manes.01G051545.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohG MKGLPKHERRWASDTVTGKETLGSGTSPGIDSNTAEEFVEVTLDFQNDDTIVLRSVEPAAVVNIEDGNGVASAGVATPLSVSASVSRSPTIRRSSSNKLLQFSQELKAEAVAKARQFSQELKAELRRFSWSHGHATKVLSASPSNGGGGGGFESALAARALRKQRAQMDRTRSGAQKALRGLRFMSNCKSKGVDAWNEVQINFEKLAKDGYLYRADFAQCIGMRDSKEFALELFDALGRRRRLKVEKVTRDELYEFWSQITDQSFDSRLQIFFDMVDKNDDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRGPIRRLSTQLIYFLQENWKRIWVLALWVLIMIGLFTWKFFQYKQKNAFIVMGYCLLTAKGAAETLKLNMALILLPVCRNTITWLRSTRLGYFVPFDDNINFHKVAIYPGNVLTLQMSKPSQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACERPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLGSDISRTSDLSVGSNDASTHTRTSPKRKKTMRTTNAYFYWVTREQGSFDWFKGVMNEVADIDQRGVIEMHNYLTSVYEEGDARSTLITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKLCSKHCNARIGVFYCGAPVLAKELSKLCYEFNQKGSTKFEFHKEHF >Manes.01G051545.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10279032:10289074:-1 gene:Manes.01G051545.v8.1 transcript:Manes.01G051545.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohG MKGLPKHERRWASDTVTGKETLGSGTSPGIDSNTAEEFVEVTLDFQNDDTIVLRSVEPAAVVNIEDGNGVASAGVATPLSVSASVSRSPTIRRSSSNKLLQFSQELKAEAVAKARQFSQELKAELRRFSWSHGHATKVLSASPSNGGGGGGFESALAARALRKQRAQMDRTRSGAQKALRGLRFMSNCKSKGVDAWNEVQINFEKLAKDGYLYRADFAQCIGMRDSKEFALELFDALGRRRRLKVEKVTRDELYEFWSQITDQSFDSRLQIFFDMVDKNDDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRGPIRRLSTQLIYFLQENWKRIWVLALWVLIMIGLFTWKFFQYKQKNAFIVMGYCLLTAKGAAETLKLNMALILLPVCRNTITWLRSTRLGYFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINSSDQEYKNYLRDDFGEHKPSYAKLVKGAEGVTGILMVIFMAIAFTLATRWFRRSLIKFPKPFDRLTGFNAFWYSHHLFVLVYILLIIHGVLLYLVHKWYLKTTWMYLAVPVLLYAGERALRFFRSGFYSVQLRKVAIYPGNVLTLQMSKPSQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACERPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLGSDISRTSDLSVGSNDASTHTRTSPKRKKTMRTTNAYFYWVTREQGSFDWFKGVMNEVADIDQRGVIEMHNYLTSVYEEGDARSTLITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKLCSKHCNARIGVFYCGAPVLAKELSKLCYEFNQKGSTKFEFHKEHF >Manes.01G051545.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10279032:10289127:-1 gene:Manes.01G051545.v8.1 transcript:Manes.01G051545.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohG MKGLPKHERRWASDTVTGKETLGSGTSPGIDSNTAEEFVEVTLDFQNDDTIVLRSVEPAAVVNIEDGNGVASAGVATPLSVSASVSRSPTIRRSSSNKLLQFSQELKAEAVAKARQFSQELKAELRRFSWSHGHATKVLSASPSNGGGGGGFESALAARALRKQRAQMDRTRSGAQKALRGLRFMSNCKSKGVDAWNEVQINFEKLAKDGYLYRADFAQCIGMRDSKEFALELFDALGRRRRLKVEKVTRDELYEFWSQITDQSFDSRLQIFFDMVDKNDDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRGPIRRLSTQLIYFLQENWKRIWVLALWVLIMIGLFTWKFFQYKQKNAFIVMGYCLLTAKGAAETLKLNMALILLPVCRNTITWLRSTRLGYFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINSSDQEYKNYLRDDFGEHKPSYAKLVKGAEGVTGILMVIFMAIAFTLATRWFRRSLIKFPKPFDRLTGFNAFWYSHHLFVLVYILLIIHGVLLYLVHKWYLKTTWMYLAVPVLLYAGERALRFFRSGFYSVQLRKVAIYPGNVLTLQMSKPSQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACERPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLGSDISRTSDLSVGSNDASTHTRTSPKRKKTMRTTNAYFYWVTREQGSFDWFKGVMNEVADIDQRGVIEMHNYLTSVYEEGDARSTLITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKLCSKHCNARIGVFYCGAPVLAKELSKLCYEFNQKGSTKFEFHKEHF >Manes.03G048716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4449633:4449908:-1 gene:Manes.03G048716.v8.1 transcript:Manes.03G048716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIALKIKDKLCFINRKCEILDADLATYMKWLIVDNMVILWILNCLSKELAKSFLNATSAHQFWKEIRKRFGESGTFPLPIGKEDKYFYSN >Manes.01G115900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31281836:31304139:-1 gene:Manes.01G115900.v8.1 transcript:Manes.01G115900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLLPLRLPVLDLKSGLLVMIVVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLEQVVRALRFLQKGRDSYTNTWEAVHIPRGTLQVTFLHKGFDETRRLGLQSETEQMVRHASPPGETGMLVVDSVVPGGPAHTQLEPGDVLIRVNGEVTTQFLKLETLLDDCVNHEIELQIERGGTSLTVKLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLFRAGVPRHAIIKKFANEEISQLDELISALSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSYGLWTAKLAIQSESWRLSSSINDIGQGLTSQTVLLGGEVTQIENVNQEDNPEMADAFTTIETSSEHSSGEPHSRYESDVGTKKRRVSDLSANGTAVADGSIQHETGELKLENPSLMENEVLREYQGAIAPAVNASFAESVIEPTLVLFEVHVPPSIMIDGVHSQHFFGTGVIIYHSQDMGLVAVDRNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALIAYDPLALGAAGASMVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSIVTNPFAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLADEHGRVQAIWGSFSTQLKYGFSTSEDHQFVRGIPIYTISQVLDKIVHGANGPPLLINCVRRPMPLVRILEVELYPTLLSKARSFGLSDNWVQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKDPVTCFRDIENACQALDKSSDSEGKLSMTIFRQGREIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGRSTPDLDAFINVTKELGHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPNTALWSRQTIKALD >Manes.01G115900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31281836:31304407:-1 gene:Manes.01G115900.v8.1 transcript:Manes.01G115900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLEQVVRALRFLQKGRDSYTNTWEAVHIPRGTLQVTFLHKGFDETRRLGLQSETEQMVRHASPPGETGMLVVDSVVPGGPAHTQLEPGDVLIRVNGEVTTQFLKLETLLDDCVNHEIELQIERGGTSLTVKLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLFRAGVPRHAIIKKFANEEISQLDELISALSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSYGLWTAKLAIQSESWRLSSSINDIGQGLTSQTVLLGGEVTQIENVNQEDNPEMADAFTTIETSSEHSSGEPHSRYESDVGTKKRRVSDLSANGTAVADGSIQHETGELKLENPSLMENEVLREYQGAIAPAVNASFAESVIEPTLVLFEVHVPPSIMIDGVHSQHFFGTGVIIYHSQDMGLVAVDRNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALIAYDPLALGAAGASMVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSIVTNPFAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLADEHGRVQAIWGSFSTQLKYGFSTSEDHQFVRGIPIYTISQVLDKIVHGANGPPLLINCVRRPMPLVRILEVELYPTLLSKARSFGLSDNWVQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKDPVTCFRDIENACQALDKSSDSEGKLSMTIFRQGREIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGRSTPDLDAFINVTKELGHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPNTALWSRQTIKALD >Manes.01G115900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31281836:31312120:-1 gene:Manes.01G115900.v8.1 transcript:Manes.01G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLEKLGSETAMVGLESTIKEDLCMEIDPPFRESVASAEDWRRALNKVVPAVVVLRTTACRAFDTESAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEIPVYPIYRDPVHDFGFFRYDPGAIQFLNYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLEQVVRALRFLQKGRDSYTNTWEAVHIPRGTLQVTFLHKGFDETRRLGLQSETEQMVRHASPPGETGMLVVDSVVPGGPAHTQLEPGDVLIRVNGEVTTQFLKLETLLDDCVNHEIELQIERGGTSLTVKLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLFRAGVPRHAIIKKFANEEISQLDELISALSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSYGLWTAKLAIQSESWRLSSSINDIGQGLTSQTVLLGGEVTQIENVNQEDNPEMADAFTTIETSSEHSSGEPHSRYESDVGTKKRRVSDLSANGTAVADGSIQHETGELKLENPSLMENEVLREYQGAIAPAVNASFAESVIEPTLVLFEVHVPPSIMIDGVHSQHFFGTGVIIYHSQDMGLVAVDRNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALIAYDPLALGAAGASMVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSIVTNPFAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLADEHGRVQAIWGSFSTQLKYGFSTSEDHQFVRGIPIYTISQVLDKIVHGANGPPLLINCVRRPMPLVRILEVELYPTLLSKARSFGLSDNWVQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKDPVTCFRDIENACQALDKSSDSEGKLSMTIFRQGREIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGRSTPDLDAFINVTKELGHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPNTALWSRQTIKALD >Manes.01G115900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31281836:31304407:-1 gene:Manes.01G115900.v8.1 transcript:Manes.01G115900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLLPLRLPVLDLKSGLLVMIVVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLEQVVRALRFLQKGRDSYTNTWEAVHIPRGTLQVTFLHKGFDETRRLGLQSETEQMVRHASPPGETGMLVVDSVVPGGPAHTQLEPGDVLIRVNGEVTTQFLKLETLLDDCVNHEIELQIERGGTSLTVKLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFRFQCGLVYVSEPGYMLFRAGVPRHAIIKKFANEEISQLDELISALSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSYGLWTAKLAIQSESWRLSSSINDIGQGLTSQTVLLGGEVTQIENVNQEDNPEMADAFTTIETSSEHSSGEPHSRYESDVGTKKRRVSDLSANGTAVADGSIQHETGELKLENPSLMENEVLREYQGAIAPAVNASFAESVIEPTLVLFEVHVPPSIMIDGVHSQHFFGTGVIIYHSQDMGLVAVDRNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALIAYDPLALGAAGASMVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSIVTNPFAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLADEHGRVQAIWGSFSTQLKYGFSTSEDHQFVRGIPIYTISQVLDKIVHGANGPPLLINCVRRPMPLVRILEVELYPTLLSKARSFGLSDNWVQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKDPVTCFRDIENACQALDKSSDSEGKLSMTIFRQGREIDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGRSTPDLDAFINVTKELGHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPNTALWSRQTIKALD >Manes.18G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5393315:5396376:-1 gene:Manes.18G061000.v8.1 transcript:Manes.18G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFCSTRVTSVFSSSAIRRRLPLVGAFCVLSFGLSSLSSPLKTGCAQSLPFVPLLRSKFSTHSQANTVKMEASGNTVPSIVVYVTVPNRDAGKKLAHSIIKEKLAACVNIVPGIESVYEWKGEVQTDPEELLIIKTRQSLLEALTEHVKANHEYEVPEVISLPITGGSIPYLEWLKKSTRD >Manes.03G210501.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32597134:32610506:1 gene:Manes.03G210501.v8.1 transcript:Manes.03G210501.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFIPRNALSKTPQPQDEANENEFENSLNSAHFPPPRTPLNTISDPSQYHTEIHESDFLSKGKLERIRAARLSSKKFEPVDKAESFGTPRISIRHGKAHSEPNSSQNTPARSGSRVSLGGAVGAYAAGSRNTHFSKGKETSSSNRLSRGISIENSDFSVEAPHFELNEDPSFWADHNVQVLIRIRPLSNTETVAQEYGRCLRQASAQTLVWLGHPETRFTFDHIACETISQEKLFRVVGIPMVENCISGYNSCMFAYGQTGSGKTYTMMGEINHLEGNLSEDCGITPRIFEYLFSRIREEGDSRKNEKLRFSCKCSFLEIHNEQITDLLEPSSTNLQLREDLRKGVYVENLTEYDVKTVHDVNKLLSQGAANRKMAATNMNSESSRSHSVFTCIIESLWERDSMNHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSMCSSQETLSTLKFAQRAKLIQNNAKVNEDASGDVSTLQRQIQQLKDQLSFLIKHHNLNTSLSSCVPNFEDSTLAIYPQMYNSSKENRATDGHNLTNSACEKFKCMEATLVGSLRREKMAETALRKLEAEIDHVKQFAFQKEEELKRTRMILRFREEKIKQLEILVDGALPVDHYLTEENKALKEEILQLQARIEKNPELTRFALENLKLLEQLQLFQNFYEQGERETLLAEVSELREQLLDMLERKLKCSSAYENQDNNILEELEDCRNMNSKLMREVVELRGELKNYSSCNEAALVNVETVSIVSESGDEMASYRLADDAYLKNKNNWKMDTKFLIEPMETEHTHLFKELPLKQELNPQFMEILNKKDKVERESVLKVGSECLELRNSEKQNKSLAMEGSEDVKSMVLQAKLEKLTRDLEQARILNCQYQEAQASKLSHQHQVELIHEQVEIETARAILHLQEEVTALHLEFNEKLSCMTQENMRLRNTIETKEEEIRMLCGEWERATFELTSFLVEGSKSLEDASGQIDSIICSFPQVNVWIREHVERAARACIDKEEAILRLEKSLEDAQEMVIEMELKLNSLKDATMALNGFPQSDTDQSMGEAINLGLLLNEKSNTIKMLESKLKVQENHNIEAEKRAHAALLVVKWLSDHHKVSSSNDIGRGIHISELSSPTEMGNHKILEIKDDSNALTVEDIEAHEDLESLVLESENAINSCYMDVDLHISALRTDVLQASTTYTKWFKALVNEIQELKCKFMELKESNTGFQSSIIKFQASESLELQKFENQLHVLCTIRDELAMMNERLKIIDDFLNKKINAHGYALMDEYLTEAEGWSADNCLSGYSTSGSEFSNESAILGNQLDGFSQTCCSKLNGRIHKQMVDLKLQTDSSVQSGSESSKKLFEKLSHDEAVTFCLRKELDMAFDAFNKLYIQLTTIFDETDVVNISYTVERNKMVHSFGMMMEMEEASSHNARKVVTDDEVSHASIFLSKCEEANEAMKEADHMLNALLKANENTKQLNGMWKQASEELMMERSQLLEENELLKSSICLKEEENKLLLDEISHGLVEIVNCVSLLEGSFLQMQREVEDRCKVLYSDLLSMGKDILHFFGSSSSSLEDIFSDIMEKEFALSVMYHCVVEEAIHKIPRFNLRSGIHPVEQPECQPIINAFHKVRSSGQDDIMISNKNVTKGEELVTDLEGGVLGVSYDNMVYENVSLKKELERKEVLLKGLLFDFSLLQEIASNKKDIKDETEMLILALSEVRHELQLRTSQLDSLLVQYKKIEGQLADTEDALFISKSELMHANERLDTLSDQNSELRILLKDLYLKRSQAEEQLAEQMEVVRGLEQEIIHLNSSLDRKLCSSVEGPEEDLLKVINEREKLREEVCSLNDKLEVTCALVDEKEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELECTINVLEKKVYEMDEEVERHRLIRESLELELQALRHRLSTVDNFPDTLAADNTQYSKLELHEAHNQIRLLERDIAEKDKEIKQCKEYISELVLHSEAQASQYQEKYKTLEAMAHEVKIGLSNSTSAAPAQGRSEKSSVRTRGSSSPFRCISNLVQQMNLEKDQELSATRLRIEELEAVLASRQKEACSLNARLAAAESMTHDVIRDLLGVKLDMTNYANLIEQHQVQKLVEAAHLQTEEFQAMEQEILNLRRQINDLIEEKESCMSEINKKVADILAAQITVEQLQERDQLLSAQNEMLKMDKTNLLRRVAELDEMVKTLLGKQSSQQQIQQASKTKENSMLKMGDADLSKRLANSEKLLNRVNDELAQYRRFSRNHPHVKNYGHGSEFKQR >Manes.03G210501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32597134:32610506:1 gene:Manes.03G210501.v8.1 transcript:Manes.03G210501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFIPRNALSKTPQPQDEANENEFENSLNSAHFPPPRTPLNTISDPSQYHTEIHESDFLSKGKLERIRAARLSSKKFEPVDKAESFGTPRISIRHGKAHSEPNSSQNTPARSGSRVSLGGAVGAYAAGSRNTHFSKGKETSSSNRLSRGISIENSDFSVEAPHFELNEDPSFWADHNVQVLIRIRPLSNTETVAQEYGRCLRQASAQTLVWLGHPETRFTFDHIACETISQEKLFRVVGIPMVENCISGYNSCMFAYGQTGSGKTYTMMGEINHLEGNLSEDCGITPRIFEYLFSRIREEGDSRKNEKLRFSCKCSFLEIHNEQITDLLEPSSTNLQLREDLRKGVYVENLTEYDVKTVHDVNKLLSQGAANRKMAATNMNSESSRSHSVFTCIIESLWERDSMNHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSMCSSQETLSTLKFAQRAKLIQNNAKVNEDASGDVSTLQRQIQQLKDQLSFLIKHHNLNTSLSSCVPNFEDSTLAIYPQMYNSSKENRATDGHNLTNSACEKFKCMEATLVGSLRREKMAETALRKLEAEIDHVKQFAFQKEEELKRTRMILRFREEKIKQLEILVDGALPVDHYLTEENKALKEEILQLQARIEKNPELTRFALENLKLLEQLQLFQNFYEQGERETLLAEVSELREQLLDMLERKLKCSSAYENQDNNILEELEDCRNMNSKLMREVVELRGELKNYSSCNEAALVNVTDSFSNHTEEIRHIDKCSLVETVSIVSESGDEMASYRLADDAYLKNKNNWKMDTKFLIEPMETEHTHLFKELPLKQELNPQFMEILNKKDKVERESVLKVGSECLELRNSEKQNKSLAMEGSEDVKSMVLQAKLEKLTRDLEQARILNCQYQEAQASKLSHQHQVELIHEQVEIETARAILHLQEEVTALHLEFNEKLSCMTQENMRLRNTIETKEEEIRMLCGEWERATFELTSFLVEGSKSLEDASGQIDSIICSFPQVNVWIREHVERAARACIDKEEAILRLEKSLEDAQEMVIEMELKLNSLKDATMALNGFPQSDTDQSMGEAINLGLLLNEKSNTIKMLESKLKVQENHNIEAEKRAHAALLVVKWLSDHHKVSSSNDIGRGIHISELSSPTEMGNHKILEIKDDSNALTVEDIEAHEDLESLVLESENAINSCYMDVDLHISALRTDVLQASTTYTKWFKALVNEIQELKCKFMELKESNTGFQSSIIKFQASESLELQKFENQLHVLCTIRDELAMMNERLKIIDDFLNKKINAHGYALMDEYLTEAEGWSADNCLSGYSTSGSEFSNESAILGNQLDGFSQTCCSKLNGRIHKQMVDLKLQTDSSVQSGSESSKKLFEKLSHDEAVTFCLRKELDMAFDAFNKLYIQLTTIFDETDVVNISYTVERNKMVHSFGMMMEMEEASSHNARKVVTDDEVSHASIFLSKCEEANEAMKEADHMLNALLKANENTKQLNGMWKQASEELMMERSQLLEENELLKSSICLKEEENKLLLDEISHGLVEIVNCVSLLEGSFLQMQREVEDRCKVLYSDLLSMGKDILHFFGSSSSSLEDIFSDIMEKEFALSVMYHCVVEEAIHKIPRFNLRSGIHPVEQPECQPIINAFHKVRSSGQDDIMISNKNVTKGEELVTDLEGGVLGVSYDNMVYENVSLKKELERKEVLLKGLLFDFSLLQEIASNKKDIKDETEMLILALSEVRHELQLRTSQLDSLLVQYKKIEGQLADTEDALFISKSELMHANERLDTLSDQNSELRILLKDLYLKRSQAEEQLAEQMEVVRGLEQEIIHLNSSLDRKLCSSVEGPEEDLLKVINEREKLREEVCSLNDKLEVTCALVDEKEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELECTINVLEKKVYEMDEEVERHRLIRESLELELQALRHRLSTVDNFPDTLAADNTQYSKLELHEAHNQIRLLERDIAEKDKEIKQCKEYISELVLHSEAQASQYQEKYKTLEAMAHEVKIGLSNSTSAAPAQGRSEKSSVRTRGSSSPFRCISNLVQQMNLEKDQELSATRLRIEELEAVLASRQKEACSLNARLAAAESMTHDVIRDLLGVKLDMTNYANLIEQHQVQKLVEAAHLQTEEFQAMEQEILNLRRQINDLIEEKESCMSEINKKVADILAAQITVEQLQERDQLLSAQNEMLKMDKTNLLRRVAELDEMVKTLLGKQSSQQQIQQASKTKENSMLKMGDADLSKRLANSEKLLNRVNDELAQYRRFSRNHPHVKNYGHGSEFKQR >Manes.03G210501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32597134:32610506:1 gene:Manes.03G210501.v8.1 transcript:Manes.03G210501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFIPRNALSKTPQPQDEANENEFENSLNSAHFPPPRTPLNTISDPSQYHTEIHESDFLSKGKLERIRAARLSSKKFEPVDKAESFGTPRISIRHGKAHSEPNSSQNTPARSGSRVSLGGAVGAYAAGSRNTHFSKGKETSSSNRLSRGISIENSDFSVEAPHFELNEDPSFWADHNVQVLIRIRPLSNTETVAQEYGRCLRQASAQTLVWLGHPETRFTFDHIACETISQEKLFRVVGIPMVENCISGYNSCMFAYGQTGSGKTYTMMGEINHLEGNLSEDCGITPRIFEYLFSRIREEGDSRKNEKLRFSCKCSFLEIHNEQITDLLEPSSTNLQLREDLRKGVYVENLTEYDVKTVHDVNKLLSQGAANRKMAATNMNSESSRSHSVFTCIIESLWERDSMNHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSMCSSQETLSTLKFAQRAKLIQNNAKVNEDASGDVSTLQRQIQQLKDQLSFLIKHHNLNTSLSSCVPNFEDSTLAIYPQMYNSSKENRATDGHNLTNSACEKFKCMEATLVGSLRREKMAETALRKLEAEIDHVKQFAFQKEEELKRTRMILRFREEKIKQLEILVDGALPVDHYLTEENKALKEEILQLQARIEKNPELTRFALENLKLLEQLQLFQNFYEQGERETLLAEVSELREQLLDMLERKLKCSSAYENQDNNILEELEDCRNMNSKLMREVVELRGELKNYSSCNEAALVNVTDSFSNHTEEIRHIDKCSLVETVSIVSESGDEMASYRLADDAYLKNKNNWKMDTKFLIEPMETEHTHLFKELPLKQELNPQFMEILNKKDKVERESVLKVGSECLELRNSEKQNKSLAMEGSEDVKSMVLQAKLEKLTRDLEQARILNCQYQEAQASKLSHQHQVELIHEQVEIETARAILHLQEEVTALHLEFNEKLSCMTQENMRLRNTIETKEEEIRMLCGEWERATFELTSFLVEGSKSLEDASGQIDSIICSFPQVNVWIREHVERAARACIDKEEAILRLEKSLEDAQEMVIEMELKLNSLKDATMALNGFPQSDTDQSMGEAINLGLLLNEKSNTIKMLESKLKVQENHNIEAEKRAHAALLVVKWLSDHHKVSSSNDIGRGIHISELSSPTEMGNHKILEIKDDSNALTVEDIEAHEDLESLVLESENAINSCYMDVDLHISALRTDVLQASTTYTKWFKALVNEIQELKCKFMELKESNTGFQSSIIKFQASESLELQKFENQLHVLCTIRDELAMMNERLKIIDDFLNKKINAHGYALMDEYLTEAEGWSADNCLSGYSTSGSEFSNESAILGNQLDGFSQTCCSKLNGRIHKQMVDLKLQTDSSVQSGSESSKKLFEKLSHDEAVTFCLRKELDMAFDAFNKLYIQLTTIFDETDVVNISYTVERNKMVHSFGMMMEMEEASSHNARKVVTDDEVSHASIFLSKCEEANEAMKEADHMLNALLKANENTKQLNGMWKQASEELMMERSQLLEENELLKSSICLKEEENKLLLDEISHGLVEIVNCVSLLEGSFLQMQREVEDRCKVLYSDLLSMGKDILHFFGSSSSSLEDIFSDIMEKEFALSVMYHCVVEEAIHKIPRFNLRSGIHPVEQPECQPIINAFHKVRSSGQDDIMISNKNVTKGEELVTDLEGGVLGVSYDNMVYENVSLKKELERKEVLLKGLLFDFSLLQEIASNKKDIKDETEMLILALSEVRHELQLRTSQLDSLLVQYKKIEGQLADTEDALFISKSELMHANERLDTLSDQNSELRILLKDLYLKRSQAEEQLAEQMEVVRGLEQEIIHLNSSLDRKLCSSVEGPEEDLLKVINEREKLREEVCSLNDKLEVTCALVDEKEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELECTINVLEKKVYEMDEEVERHRLIRESLELELQALRHRLSTVDNFPDTLAADNTYSSQNEDIIWQYSKLELHEAHNQIRLLERDIAEKDKEIKQCKEYISELVLHSEAQASQYQEKYKTLEAMAHEVKIGLSNSTSAAPAQGRSEKSSVRTRGSSSPFRCISNLVQQMNLEKDQELSATRLRIEELEAVLASRQKEACSLNARLAAAESMTHDVIRDLLGVKLDMTNYANLIEQHQVQKLVEAAHLQTEEFQAMEQEILNLRRQINDLIEEKESCMSEINKKVADILAAQITVEQLQERDQLLSAQNEMLKMDKTNLLRRVAELDEMVKTLLGKQSSQQQIQQASKTKACVCIAIFSNSRLLPTH >Manes.03G210501.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32597134:32610506:1 gene:Manes.03G210501.v8.1 transcript:Manes.03G210501.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFIPRNALSKTPQPQDEANENEFENSLNSAHFPPPRTPLNTISDPSQYHTEIHESDFLSKGKLERIRAARLSSKKFEPVDKAESFGTPRISIRHGKAHSEPNSSQNTPARSGSRVSLGGAVGAYAAGSRNTHFSKGKETSSSNRLSRGISIENSDFSVEAPHFELNEDPSFWADHNVQVLIRIRPLSNTETVAQEYGRCLRQASAQTLVWLGHPETRFTFDHIACETISQEKLFRVVGIPMVENCISGYNSCMFAYGQTGSGKTYTMMGEINHLEGNLSEDCGITPRIFEYLFSRIREEGDSRKNEKLRFSCKCSFLEIHNEQITDLLEPSSTNLQLREDLRKGVYVENLTEYDVKTVHDVNKLLSQGAANRKMAATNMNSESSRSHSVFTCIIESLWERDSMNHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSMCSSQETLSTLKFAQRAKLIQNNAKVNEDASGDVSTLQRQIQQLKDQLSFLIKHHNLNTSLSSCVPNFEDSTLAIYPQMYNSSKENRATDGHNLTNSACEKFKCMEATLVGSLRREKMAETALRKLEAEIDHVKQFAFQKEEELKRTRMILRFREEKIKQLEILVDGALPVDHYLTEENKALKEEILQLQARIEKNPELTRFALENLKLLEQLQLFQNFYEQGERETLLAEVSELREQLLDMLERKLKCSSAYENQDNNILEELEDCRNMNSKLMREVVELRGELKNYSSCNEAALVNVETVSIVSESGDEMASYRLADDAYLKNKNNWKMDTKFLIEPMETEHTHLFKELPLKQELNPQFMEILNKKDKVERESVLKVGSECLELRNSEKQNKSLAMEGSEDVKSMVLQAKLEKLTRDLEQARILNCQYQEAQASKLSHQHQVELIHEQVEIETARAILHLQEEVTALHLEFNEKLSCMTQENMRLRNTIETKEEEIRMLCGEWERATFELTSFLVEGSKSLEDASGQIDSIICSFPQVNVWIREHVERAARACIDKEEAILRLEKSLEDAQEMVIEMELKLNSLKDATMALNGFPQSDTDQSMGEAINLGLLLNEKSNTIKMLESKLKVQENHNIEAEKRAHAALLVVKWLSDHHKVSSSNDIGRGIHISELSSPTEMGNHKILEIKDDSNALTVEDIEAHEDLESLVLESENAINSCYMDVDLHISALRTDVLQASTTYTKWFKALVNEIQELKCKFMELKESNTGFQSSIIKFQASESLELQKFENQLHVLCTIRDELAMMNERLKIIDDFLNKKINAHGYALMDEYLTEAEGWSADNCLSGYSTSGSEFSNESAILGNQLDGFSQTCCSKLNGRIHKQMVDLKLQTDSSVQSGSESSKKLFEKLSHDEAVTFCLRKELDMAFDAFNKLYIQLTTIFDETDVVNISYTVERNKMVHSFGMMMEMEEASSHNARKVVTDDEVSHASIFLSKCEEANEAMKEADHMLNALLKANENTKQLNGMWKQASEELMMERSQLLEENELLKSSICLKEEENKLLLDEISHGLVEIVNCVSLLEGSFLQMQREVEDRCKVLYSDLLSMGKDILHFFGSSSSSLEDIFSDIMEKEFALSVMYHCVVEEAIHKIPRFNLRSGIHPVEQPECQPIINAFHKVRSSGQDDIMISNKNVTKGEELVTDLEGGVLGVSYDNMVYENVSLKKELERKEVLLKGLLFDFSLLQEIASNKKDIKDETEMLILALSEVRHELQLRTSQLDSLLVQYKKIEGQLADTEDALFISKSELMHANERLDTLSDQNSELRILLKDLYLKRSQAEEQLAEQMEVVRGLEQEIIHLNSSLDRKLCSSVEGPEEDLLKVINEREKLREEVCSLNDKLEVTCALVDEKEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELECTINVLEKKVYEMDEEVERHRLIRESLELELQALRHRLSTVDNFPDTLAADNTYSSQNEDIIWQYSKLELHEAHNQIRLLERDIAEKDKEIKQCKEYISELVLHSEAQASQYQEKYKTLEAMAHEVKIGLSNSTSAAPAQGRSEKSSVRTRGSSSPFRCISNLVQQMNLEKDQELSATRLRIEELEAVLASRQKEACSLNARLAAAESMTHDVIRDLLGVKLDMTNYANLIEQHQVQKLVEAAHLQTEEFQAMEQEILNLRRQINDLIEEKESCMSEINKKVADILAAQITVEQLQERDQLLSAQNEMLKMDKTNLLRRVAELDEMVKTLLGKQSSQQQIQQASKTKENSMLKMGDADLSKRLANSEKLLNRVNDELAQYRRFSRNHPHVKNYGHGSEFKQR >Manes.03G210501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32597134:32610506:1 gene:Manes.03G210501.v8.1 transcript:Manes.03G210501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRFIPRNALSKTPQPQDEANENEFENSLNSAHFPPPRTPLNTISDPSQYHTEIHESDFLSKGKLERIRAARLSSKKFEPVDKAESFGTPRISIRHGKAHSEPNSSQNTPARSGSRVSLGGAVGAYAAGSRNTHFSKGKETSSSNRLSRGISIENSDFSVEAPHFELNEDPSFWADHNVQVLIRIRPLSNTETVAQEYGRCLRQASAQTLVWLGHPETRFTFDHIACETISQEKLFRVVGIPMVENCISGYNSCMFAYGQTGSGKTYTMMGEINHLEGNLSEDCGITPRIFEYLFSRIREEGDSRKNEKLRFSCKCSFLEIHNEQITDLLEPSSTNLQLREDLRKGVYVENLTEYDVKTVHDVNKLLSQGAANRKMAATNMNSESSRSHSVFTCIIESLWERDSMNHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSMCSSQETLSTLKFAQRAKLIQNNAKVNEDASGDVSTLQRQIQQLKDQLSFLIKHHNLNTSLSSCVPNFEDSTLAIYPQMYNSSKENRATDGHNLTNSACEKFKCMEATLVGSLRREKMAETALRKLEAEIDHVKQFAFQKEEELKRTRMILRFREEKIKQLEILVDGALPVDHYLTEENKALKEEILQLQARIEKNPELTRFALENLKLLEQLQLFQNFYEQGERETLLAEVSELREQLLDMLERKLKCSSAYENQDNNILEELEDCRNMNSKLMREVVELRGELKNYSSCNEAALVNVTDSFSNHTEEIRHIDKCSLVETVSIVSESGDEMASYRLADDAYLKNKNNWKMDTKFLIEPMETEHTHLFKELPLKQELNPQFMEILNKKDKVERESVLKVGSECLELRNSEKQNKSLAMEGSEDVKSMVLQAKLEKLTRDLEQARILNCQYQEAQASKLSHQHQVELIHEQVEIETARAILHLQEEVTALHLEFNEKLSCMTQENMRLRNTIETKEEEIRMLCGEWERATFELTSFLVEGSKSLEDASGQIDSIICSFPQVNVWIREHVERAARACIDKEEAILRLEKSLEDAQEMVIEMELKLNSLKDATMALNGFPQSDTDQSMGEAINLGLLLNEKSNTIKMLESKLKVQENHNIEAEKRAHAALLVVKWLSDHHKVSSSNDIGRGIHISELSSPTEMGNHKILEIKDDSNALTVEDIEAHEDLESLVLESENAINSCYMDVDLHISALRTDVLQASTTYTKWFKALVNEIQELKCKFMELKESNTGFQSSIIKFQASESLELQKFENQLHVLCTIRDELAMMNERLKIIDDFLNKKINAHGYALMDEYLTEAEGWSADNCLSGYSTSGSEFSNESAILGNQLDGFSQTCCSKLNGRIHKQMVDLKLQTDSSVQSGSESSKKLFEKLSHDEAVTFCLRKELDMAFDAFNKLYIQLTTIFDETDVVNISYTVERNKMVHSFGMMMEMEEASSHNARKVVTDDEVSHASIFLSKCEEANEAMKEADHMLNALLKANENTKQLNGMWKQASEELMMERSQLLEENELLKSSICLKEEENKLLLDEISHGLVEIVNCVSLLEGSFLQMQREVEDRCKVLYSDLLSMGKDILHFFGSSSSSLEDIFSDIMEKEFALSVMYHCVVEEAIHKIPRFNLRSGIHPVEQPECQPIINAFHKVRSSGQDDIMISNKNVTKGEELVTDLEGGVLGVSYDNMVYENVSLKKELERKEVLLKGLLFDFSLLQEIASNKKDIKDETEMLILALSEVRHELQLRTSQLDSLLVQYKKIEGQLADTEDALFISKSELMHANERLDTLSDQNSELRILLKDLYLKRSQAEEQLAEQMEVVRGLEQEIIHLNSSLDRKLCSSVEGPEEDLLKVINEREKLREEVCSLNDKLEVTCALVDEKEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELECTINVLEKKVYEMDEEVERHRLIRESLELELQALRHRLSTVDNFPDTLAADNTYSSQNEDIIWQYSKLELHEAHNQIRLLERDIAEKDKEIKQCKEYISELVLHSEAQASQYQEKYKTLEAMAHEVKIGLSNSTSAAPAQGRSEKSSVRTRGSSSPFRCISNLVQQMNLEKDQELSATRLRIEELEAVLASRQKEACSLNARLAAAESMTHDVIRDLLGVKLDMTNYANLIEQHQVQKLVEAAHLQTEEFQAMEQEILNLRRQINDLIEEKESCMSEINKKVADILAAQITVEQLQERDQLLSAQNEMLKMDKTNLLRRVAELDEMVKTLLGKQSSQQQIQQASKTKENSMLKMGDADLSKRLANSEKLLNRVNDELAQYRRFSRNHPHVKNYGHGSEFKQR >Manes.16G132875.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33284575:33287498:1 gene:Manes.16G132875.v8.1 transcript:Manes.16G132875.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASIFHSCFMYSSLILYLCLFFSLEKGSFAFEARKIAESQHLQLFHTIQVSDLLQSTSCKNSTKENTAVLKVVHRHGPCSQLNQGNANAPDLEKILAEDQSRVDSIHSKLSITSGGSYVKQTDGTRLPANKGLSLGTGNYFVTVGLGTPRKNLPLIFDTGSDLTWAKCKPGVDAYDPTKSTSYFNISCTSEICPYVTSATGMRPDCVSSTCVYGLQYGDGSFSVGFLAKERLSVGSTDVFDNFYFGCGQRNEGLFGKVGGLIGLGRAKLSIVTQTASKYNKLFSYCLPAYGKTGFLSFGASQSKSAKFIPLSSNANFYGLELIGIIVGGQKLPISITVFSRAGTIIDSGTVITRLQPAAYSALRSAFEKAMSKYPKAKPLSILDTCYDFSKYETVSVPKIVFSFNGADVEIDQAGIFVANGQAQVCLAFAGNSDARDVAIFGNTQQQNFEVVYDVNGGKVGFAPGEC >Manes.02G157000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12268172:12282036:-1 gene:Manes.02G157000.v8.1 transcript:Manes.02G157000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATAKTTKANVRNGTCKWADPIYETTRLLQDNKTKQYDEKLYKLVIAMGSSRSSIFGEATINLADYTDALKPSVIALPLHGCDSGTILHVTVQLLTSKTGFREFEQQRELRERGLQADQNSPDESSGRKVSSFEINDHMDKVNTRVRFKEKSKNLASLEEEVGPNEEYAESAVGFDGSSNTSESLYAEKHETSSTHEIDSLRSTVSGDLAGLSLGQNPQLEKGDPSDHRFLVQGTNDWVHGWSSDYSVDNDLTAAYEENNRLRGSLEVAESSIHELKLELNLLQCHADSIGQEAQKFAEQLGAEIASGNELEKEVSVLKSECSKLKGDLEQLKVSKLGSPLTSREAFVTEQDHIFQDLQLRWLKGILAIEDKLRELQNKACFGYNEGDFRFLASDMEALLGILQNLKQASGLDTIHSEGASIKEIKNGPLATGTGVDVDLYQPELGMLHCLNIPSLISHESDAVDTNSAMKSKIFELLRELDESKADRESLAKKMEQMECYYEALVQELEENQSQMLRELQNLRNEHSTCLYSVSSTKAEMESMRQDLNDQIARLAEDKCDLDSLNKELEGRAVTAEAALKRARLNYSIAVDQLQKDLELLSSQILSMYETNENLIRQAFVDSSQTSIRGFDSGEYVSKLLQFQNQAVGIKKQQLGGDSLGDLKRSLHLQEGLYRKVEEEVHEMHFVNMYLDVLSRALQETLLGANKDVKLMDEKVNDLQKQLELSAESKALLMQKLQTALDDVHSLSDYKANYIAKCNDVTQQNQILEVSLQNVTRENHCLVQKITEWEAQVMKYRGYESKYEACCAEKAELACLLEKRTLENGTLQHENLSLQEELKIVKSKFVEQASQNENLQNFVNSLQCKLQDLLVSYKNESINGLPLLSEYDSPDLRSRDLTGIIMQLEGLQHIACERILKLEEEKKCLLHERDVAKLSRTESESEIAMMKQKFEHEIRSMVDKLNASNALLQKLQLDIEAFANRLEVGAKVEEKYTQQHNELFSDLNHLEVGLEKLTSKNRDLAHEILALETLMAELTEENHALMASLQEKNEECTKLASELKNLKESLRSLHDENQALVSSSREKTEKCVLLASELKNVRESLQSLHDENQALVSSLDKTVEAATVASELNVLKGNFQSLRDENQALMMSLQDKTEASIKQALELNSLKESLQSLHDEKESWIVSTEESARLATELNHLKQSLQSLNDENQALLASTQDKTDESSKLALELSSLKESLQLLTDEKQTLIGSLQNKTEESANLALELNYLKEILQSLDDEKQSWVASSQEKTKETDKLALELNSLKENLQTLHDENQVLVMCSQEKSEESSKLKSEVNSLKERHQCLRNENQALIVSSRDKTNECLQLASELNRLGDNLQSLHDQLQEERRLRESLEIKSADQTSQLNEKEFQLLHLKKLVSDLELEKLRVSNLLAQYDDILISAREECASLSVLENEICEMHELLIAADVKLIFTKTQYEGRAEELVLQLCSSDRHLTELQKKHFDVETTLNRCLASEAQYIEENANLLISLNSMRSEIEASVAENRLLLEAKRLTTAEHEEYKLQAHNVGLRHFGDESQHCKEVERLKNMLLSSEEETDNLMLSKEELEVKVLVLKAKLDEQQAWITEMEGYGDEVVMLKKRYNELTQKFTEQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEAPPNAMQESLRIAFIKEQYETRMQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEVCHLKKNEELGMKILKLESELQSVLSDKRERMNAYDVMKAEMECSLISLECCKEEKQKLEMCLQECNKEKSKLAVELAQMKELQENSKLAMNIQEEGNDGSCKFDCMSSDESVFGNVYRENPNADASRSERKSVDVAPTSGPTRESTLKCLEQGSSRNCDEAEHTCPAPTNTVGQANALMNVQLDQDILSSSMNGIRSPVLLNQEKLLDIDMQNLALINERFRAKSLKSSLDHLSNELERMKNENSLLQDNHDFHQKFPTLEREFMQLQKANAELGSMFPLFNEFSESGNALERVLALEIELAEALQAKKQSSIHFQSSFLKQHSDEEAVFKSFRDINELIKDMLELKGKYTAVETELKEMHDRYSKLSLQFAEVEGERQKLRMTLKNVRTSKKAPHLDRSSSPSIGDHM >Manes.02G157000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12268172:12282036:-1 gene:Manes.02G157000.v8.1 transcript:Manes.02G157000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATAKTTKANVRNGTCKWADPIYETTRLLQDNKTKQYDEKLYKLVIAMGSSRSSIFGEATINLADYTDALKPSVIALPLHGCDSGTILHVTVQLLTSKTGFREFEQQRELRERGLQADQNSPDESSGRKVSSFEINDHMDKVNTRVRFKEKSKNLASLEEEVGPNEEYAESAVGFDGSSNTSESLYAEKHETSSTHEIDSLRSTVSGDLAGLSLGQNPQLEKGDPSDHRFLVQGTNDWVHGWSSDYSVDNDLTAAYEENNRLRGSLEVAESSIHELKLELNLLQCHADSIGQEAQKFAEQLGAEIASGNELEKEVSVLKSECSKLKGDLEQLKVSKLGSPLTSREAFVTEQDHIFQDLQLRWLKGILAIEDKLRELQNKACFGYNEGDFRFLASDMEALLGILQNLKQASGLDTIHSEGASIKEIKNGPLATGTGVDVDLYQPELGMLHCLNIPSLISHESDAVDTNSAMKSKIFELLRELDESKADRESLAKKMEQMECYYEALVQELEENQSQMLRELQNLRNEHSTCLYSVSSTKAEMESMRQDLNDQIARLAEDKCDLDSLNKELEGRAVTAEAALKRARLNYSIAVDQLQKDLELLSSQILSMYETNENLIRQAFVDSSQTSIRGFDSGEYVSKLLQFQNQAVGIKKQQLGGDSLGDLKRSLHLQEGLYRKVEEEVHEMHFVNMYLDVLSRALQETLLGANKDVKLMDEKVNDLQKQLELSAESKALLMQKLQTALDDVHSLSDYKANYIAKCNDVTQQNQILEVSLQNVTRENHCLVQKITEWEAQVMKYRGYESKYEACCAEKAELACLLEKRTLENGTLQHENLSLQEELKIVKSKFVEQASQNENLQNFVNSLQCKLQDLLVSYKNESINGLPLLSEYDSPDLRSRDLTGIIMQLEGLQHIACERILKLEEEKKCLLHERDVAKLSRTESESEIAMMKQKFEHEIRSMVDKLNASNALLQKLQLDIEAFANRLEVGAKVEEKYTQQHNELFSDLNHLEVGLEKLTSKNRDLAHEILALETLMAELTEENHALMASLQEKNEECTKLASELKNLKESLRSLHDENQALVSSSREKTEKCVLLASELKNVRESLQSLHDENQALVSSLDKTVEAATVASELNVLKGNFQSLRDENQALMMSLQDKTEASIKQALELNSLKESLQSLHDEKESWIVSTEESARLATELNHLKQSLQSLNDENQALLASTQDKTDESSKLALELSSLKESLQLLTDEKQTLIGSLQNKTEESANLALELNYLKEILQSLDDEKQSWVASSQEKTKETDKLALELNSLKENLQTLHDENQVLVMCSQEKSEESSKLKSEVNSLKERHQCLRNENQALIVSSRDKTNECLQLASELNRLGDNLQSLHDQLQEERRLRESLEIKSADQTSQLNEKEFQLLHLKKLVSDLELEKLRVSNLLAQYDDILISAREECASLSVLENEICEMHELLIAADVKLIFTKTQYEGRAEELVLQLCSSDRHLTELQKKHFDVETTLNRCLASEAQYIEENANLLISLNSMRSEIEASVAENRLLLEAKRLTTAEHEEYKLQAHNVGLRHFGDESQHCKEVERLKNMLLSSEEETDNLMLSKEELEVKVLVLKAKLDEQQAWITEMEGYGDEVVMLKKRYNELTQKFTEQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEAPPNAMQESLRIAFIKEQYETRMQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEVCHLKKNEELGMKILKLESELQSVLSDKRERMNAYDVMKAEMECSLISLECCKEEKQKLEMCLQECNKEKSKLAVELAQMKELQENSKLAMNIQEEGNDGSCKFDCMSSDESVFGNVYRENPNADASRSERKSVDVAPTSGPTRESTLKCLEQGSSRNCDEAEHTCPAPTNTVGQANALMNVQLDQDILSSSMNGIRSPVLLNQEKLLDIDMQNLALINERFRAKSLKSSLDHLSNELERMKNENSLLQDNHDFHQKFPTLEREFMQLQKANAELGSMFPLFNEFSESGNALERVLALEIELAEALQAKKQSSIHFQSSFLKQHSDEEAVFKSFRDINELIKDMLELKGKYTAVETELKEMHDRYSKLSLQFAEVEGERQKLRMTLKNVRTSKKAPHLDRSSSPSIGDHM >Manes.02G157000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12268171:12282036:-1 gene:Manes.02G157000.v8.1 transcript:Manes.02G157000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATAKTTKANVRNGTCKWADPIYETTRLLQDNKTKQYDEKLYKLVIAMGSSRSSIFGEATINLADYTDALKPSVIALPLHGCDSGTILHVTVQLLTSKTGFREFEQQRELRERGLQADQNSPDESSGRKVSSFEINDHMDKVNTRVRFKEKSKNLASLEEEVGPNEEYAESAVGFDGSSNTSESLYAEKHETSSTHEIDSLRSTVSGDLAGLSLGQNPQLEKGDPSDHRFLVQGTNDWVHGWSSDYSVDNDLTAAYEENNRLRGSLEVAESSIHELKLELNLLQCHADSIGQEAQKFAEQLGAEIASGNELEKEVSVLKSECSKLKGDLEQLKVSKLGSPLTSREAFVTEQDHIFQDLQLRWLKGILAIEDKLRELQNKACFGYNEGDFRFLASDMEALLGILQNLKQASGLDTIHSEGASIKEIKNGPLATGTGVDVDLYQPELGMLHCLNIPSLISHESDAVDTNSAMKSKIFELLRELDESKADRESLAKKMEQMECYYEALVQELEENQSQMLRELQNLRNEHSTCLYSVSSTKAEMESMRQDLNDQIARLAEDKCDLDSLNKELEGRAVTAEAALKRARLNYSIAVDQLQKDLELLSSQILSMYETNENLIRQAFVDSSQTSIRGFDSGEYVSKLLQFQNQAVGIKKQQLGGDSLGDLKRSLHLQEGLYRKVEEEVHEMHFVNMYLDVLSRALQETLLGANKDVKLMDEKVNDLQKQLELSAESKALLMQKLQTALDDVHSLSDYKANYIAKCNDVTQQNQILEVSLQNVTRENHCLVQKITEWEAQVMKYRGYESKYEACCAEKAELACLLEKRTLENGTLQHENLSLQEELKIVKSKFVEQASQNENLQNFVNSLQCKLQDLLVSYKNESINGLPLLSEYDSPDLRSRDLTGIIMQLEGLQHIACERILKLEEEKKCLLHERDVAKLSRTESESEIAMMKQKFEHEIRSMVDKLNASNALLQKLQLDIEAFANRLEVGAKVEEKYTQQHNELFSDLNHLEVGLEKLTSKNRDLAHEILALETLMAELTEENHALMASLQEKNEECTKLASELKNLKESLRSLHDENQALVSSSREKTEKCVLLASELKNVRESLQSLHDENQALVSSLDKTVEAATVASELNVLKGNFQSLRDENQALMMSLQDKTEASIKQALELNSLKESLQSLHDEKESWIVSTEESARLATELNHLKQSLQSLNDENQALLASTQDKTDESSKLALELSSLKESLQLLTDEKQTLIGSLQNKTEESANLALELNYLKEILQSLDDEKQSWVASSQEKTKETDKLALELNSLKENLQTLHDENQVLVMCSQEKSEESSKLKSEVNSLKERHQCLRNENQALIVSSRDKTNECLQLASELNRLGDNLQSLHDQLQEERRLRESLEIKSADQTSQLNEKEFQLLHLKKLVSDLELEKLRVSNLLAQYDDILISAREECASLSVLENEICEMHELLIAADVKLIFTKTQYEGRAEELVLQLCSSDRHLTELQKKHFDVETTLNRCLASEAQYIEENANLLISLNSMRSEIEASVAENRLLLEAKRLTTAEHEEYKLQAHNVGLRHFGDESQHCKEVERLKNMLLSSEEETDNLMLSKEELEVKVLVLKAKLDEQQAWITEMEGYGDEVVMLKKRYNELTQKFTEQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEAPPNAMQESLRIAFIKEQYETRMQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEVCHLKKNEELGMKILKLESELQSVLSDKRERMNAYDVMKAEMECSLISLECCKEEKQKLEMCLQECNKEKSKLAVELAQMKELQENSKLAMNIQEEGNDGSCKFDCMSSDESVFGNVYRENPNADASRSERKSVDVAPTSGPTRESTLKCLEQGSSRNCDEAEHTCPAPTNTVGQANALMNVQLDQDILSSSMNGIRSPVLLNQEKLLDIDMQNLALINERFRAKSLKSSLDHLSNELERMKNENSLLQDNHDFHQKFPTLEREFMQLQKANAELGSMFPLFNEFSESGNALERVLALEIELAEALQAKKQSSIHFQSSFLKQHSDEEAVFKSFRDINELIKDMLELKGKYTAVETELKEMHDRYSKLSLQFAEVEGERQKLRMTLKNVRTSKKAPHLDRSSSPSIGDHM >Manes.02G157000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12268172:12282036:-1 gene:Manes.02G157000.v8.1 transcript:Manes.02G157000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATAKTTKANVRNGTCKWADPIYETTRLLQDNKTKQYDEKLYKLVIAMGSSRSSIFGEATINLADYTDALKPSVIALPLHGCDSGTILHVTVQLLTSKTGFREFEQQRELRERGLQADQNSPDESSGRKVSSFEINDHMDKVNTRVRFKEKSKNLASLEEEVGPNEEYAESAVGFDGSSNTSESLYAEKHETSSTHEIDSLRSTVSGDLAGLSLGQNPQLEKGDPSDHRFLVQGTNDWVHGWSSDYSVDNDLTAAYEENNRLRGSLEVAESSIHELKLELNLLQCHADSIGQEAQKFAEQLGAEIASGNELEKEVSVLKSECSKLKGDLEQLKVSKLGSPLTSREAFVTEQDHIFQDLQLRWLKGILAIEDKLRELQNKACFGYNEGDFRFLASDMEALLGILQNLKQASGLDTIHSEGASIKEIKNGPLATGTGVDVDLYQPELGMLHCLNIPSLISHESDAVDTNSAMKSKIFELLRELDESKADRESLAKKMEQMECYYEALVQELEENQSQMLRELQNLRNEHSTCLYSVSSTKAEMESMRQDLNDQIARLAEDKCDLDSLNKELEGRAVTAEAALKRARLNYSIAVDQLQKDLELLSSQILSMYETNENLIRQAFVDSSQTSIRGFDSGEYVSKLLQFQNQAVGIKKQQLGGDSLGDLKRSLHLQEGLYRKVEEEVHEMHFVNMYLDVLSRALQETLLGANKDVKLMDEKVNDLQKQLELSAESKALLMQKLQTALDDVHSLSDYKANYIAKCNDVTQQNQILEVSLQNVTRENHCLVQKITEWEAQVMKYRGYESKYEACCAEKAELACLLEKRTLENGTLQHENLSLQEELKIVKSKFVEQASQNENLQNFVNSLQCKLQDLLVSYKNESINGLPLLSEYDSPDLRSRDLTGIIMQLEGLQHIACERILKLEEEKKCLLHERDVAKLSRTESESEIAMMKQKFEHEIRSMVDKLNASNALLQKLQLDIEAFANRLEVGAKVEEKYTQQHNELFSDLNHLEVGLEKLTSKNRDLAHEILALETLMAELTEENHALMASLQEKNEECTKLASELKNLKESLRSLHDENQALVSSSREKTEKCVLLASELKNVRESLQSLHDENQALVSSLDKTVEAATVASELNVLKGNFQSLRDENQALMMSLQDKTEASIKQALELNSLKESLQSLHDEKESWIVSTEESARLATELNHLKQSLQSLNDENQALLASTQDKTDESSKLALELSSLKESLQLLTDEKQTLIGSLQNKTEESANLALELNYLKEILQSLDDEKQSWVASSQEKTKETDKLALELNSLKENLQTLHDENQVLVMCSQEKSEESSKLKSEVNSLKERHQCLRNENQALIVSSRDKTNECLQLASELNRLGDNLQSLHDQLQEERRLRESLEIKSADQTSQLNEKEFQLLHLKKLVSDLELEKLRVSNLLAQYDDILISAREECASLSVLENEICEMHELLIAADVKLIFTKTQYEGRAEELVLQLCSSDRHLTELQKKHFDVETTLNRCLASEAQYIEENANLLISLNSMRSEIEASVAENRLLLEAKRLTTAEHEEYKLQAHNVGLRHFGDESQHCKEVERLKNMLLSSEEETDNLMLSKEELEVKVLVLKAKLDEQQAWITEMEGYGDEVVMLKKRYNELTQKFTEQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEAPPNAMQESLRIAFIKEQYETRMQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEVCHLKKNEELGMKILKLESELQSVLSDKRERMNAYDVMKAEMECSLISLECCKEEKQKLEMCLQECNKEKSKLAVELAQMKELQENSKLAMNIQEEGNDGSCKFDCMSSDESVFGNVYRENPNADASRSERKSVDVAPTSGPTRESTLKCLEQGSSRNCDEAEHTCPAPTNTVGQANALMNVQLDQDILSSSMNGIRSPVLLNQEKLLDIDMQNLALINERFRAKSLKSSLDHLSNELERMKNENSLLQDNHDFHQKFPTLEREFMQLQKANAELGSMFPLFNEFSESGNALERVLALEIELAEALQAKKQSSIHFQSSFLKQHSDEEAVFKSFRDINELIKDMLELKGKYTAVETELKEMHDRYSKLSLQFAEVEGERQKLRMTLKNVRTSKKAPHLDRSSSPSIGDHM >Manes.09G058200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10110622:10113658:-1 gene:Manes.09G058200.v8.1 transcript:Manes.09G058200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPSKDPRKSGAPLILQNGKDKTVVESAIAKLNGDLTRNQKKKIRRKAKRAAQGCAEKEVSADADADPETSAKEESSANAKTNVGSAEERPTTPNHINRVSDADGTEKNGLENQGNKRGSRSTRKKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDHFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEKEAEDMNDFITKLKL >Manes.14G064900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5375692:5377292:-1 gene:Manes.14G064900.v8.1 transcript:Manes.14G064900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYDYECKPFADTNGGHGQVMDNFQSGRYLNLPESNPTDMMVSNQNNNMSLNFQEVKPINFLVPDEVSCVSANHEYHKKVGLNKNRASPSARRTWKGCKKNNLVKGQWTIEEDRLLAQLVEQYGLRKWSHIARMLPGRIGKQCRERWHNHLRPDIKKDIWSEDEDKVLIQAHAEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKCRSKYPRGSLLQEYIKSLNLDSVPGRYQGTIKLKAKSSAAIDTTAVNMKARNQLQPQAASNVFPNDNRSVPNCYDFNEVPDFDFDEKLFQEGCSFDSLLDEIANCDHVVDEKSFEQMDAAVEDVNPLFMDFEVKKELDLVEMISQSKM >Manes.02G156000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207952:12214371:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGWNWRTIVIGISFLAFLLVAKYIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207532:12213798:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYIQQTMSSTIHSTDEEHQTKEMDIRSLSSSRRHPQNAPHIHKVGVPPKQNLFKEFKATVKETLFADDPLRPFKDQPGSKKFVMGMQTLFPILEWGRSYNFTKLRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGWNWRTIVIGISFLAFLLVAKYIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207654:12214379:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYIQQTMSSTIHSTDEEHQTKEMDIRSLSSSRRHPQNAPHIHKVGVPPKQNLFKEFKATVKETLFADDPLRPFKDQPGSKKFVMGMQTLFPILEWGRSYNFTKLRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGWNWRTIVIGISFLAFLLVAKYIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207988:12214371:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYIQQTMSSTIHSTDEEHQTKEMDIRSLSSSRRHPQNAPHIHKVGVPPKQNLFKEFKATVKETLFADDPLRPFKDQPGSKKFVMGMQTLFPILEWGRSYNFTKLRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGWNWRTIVIGISFLAFLLVAKYIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207939:12212542:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIHSTDEEHQTKEMDIRSLSSSRRHPQNAPHIHKVGVPPKQNLFKEFKATVKETLFADDPLRPFKDQPGSKKFVMGMQTLFPILEWGRSYNFTKLRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207654:12212861:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHYRYIQQTMSSTIHSTDEEHQTKEMDIRSLSSSRRHPQNAPHIHKVGVPPKQNLFKEFKATVKETLFADDPLRPFKDQPGSKKFVMGMQTLFPILEWGRSYNFTKLRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGWNWRTIVIGISFLAFLLVAKYIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207939:12214371:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYIQQTMSSTIHSTDEEHQTKEMDIRSLSSSRRHPQNAPHIHKVGVPPKQNLFKEFKATVKETLFADDPLRPFKDQPGSKKFVMGMQTLFPILEWGRSYNFTKLRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207530:12214412:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIHSTDEEHQTKEMDIRSLSSSRRHPQNAPHIHKVGVPPKQNLFKEFKATVKETLFADDPLRPFKDQPGSKKFVMGMQTLFPILEWGRSYNFTKLRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGWNWRTIVIGISFLAFLLVAKYIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207952:12212765:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGWNWRTIVIGISFLAFLLVAKYIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.02G156000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12207986:12212535:-1 gene:Manes.02G156000.v8.1 transcript:Manes.02G156000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIQQTMSSTIHSTDEEHQTKEMDIRSLSSSRRHPQNAPHIHKVGVPPKQNLFKEFKATVKETLFADDPLRPFKDQPGSKKFVMGMQTLFPILEWGRSYNFTKLRGDIIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEVDYKADAEAYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLFGIQNFTKKTDIVSVLHSVFGAAHHGWNWRTIVIGISFLAFLLVAKYIGKKNKKFFWVPAIAPLISVVLSTFFVFITRADKHGVQIVRHIEKGINPSSVKEIYFSGQFLLKGFRIGVVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLIDFEAAILIWKIDKFDFIACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQLKSSFQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLHKRDVQLILANPGPVVIDKLHASHFATTIGEDKIFLTVADAVSACSPKLVTEV >Manes.16G012200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1187278:1188057:-1 gene:Manes.16G012200.v8.1 transcript:Manes.16G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFFETQRGTRFSIEVGFFDTVLEIKEKVEKYQGIPIHKQTLVFNGQVLQDDRDVEYCEILQNSRIQLLIASDNNHKHQIKIEESSTSDKIQLILRISSSKMLVPIEMNVDDTILHLKEKIHEMDSIPIQRLILQSNGGELLDTRSLSECELRDNSEINVNIRPSTGATTTGTKKLKLMVLPKCGAKKIPVELNASDKVGELRKELEKLNQMLHFHLPSDYFFIYKQNVMDDDRSFRWHHVCQGDTIEIFNGSITGGS >Manes.07G057500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6586225:6588282:1 gene:Manes.07G057500.v8.1 transcript:Manes.07G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMEKPTAPEQIEVMTTHQHSLTRRKGGLITIPFILANEFFERVASHGLLPNMVFYLMKEYHMGVAQATTTIFLWNAATNFTPLLGALISDSCLGRFLTIGLGSSFSLLGMTLMWLTAMVPYLRPPPCDQTTQTICETPTALQMAVLVISMGLISIGAGGIRPCSLAFGVDQLDKRSNSKNKGVLESYFGCYNACTVIAVLMSMTAVVYIQEQHGWKVGFGVPVILMFLSIFLFFVASPLYFKLKERTNLLAGFAQVLIAAYKNRKVPFPPRDSDNKYYHKKNSEFIVPTENLRFLNKACIIRNPEQDLAPDGSASNPWNLCTVERVEELKVIIRVIPIWSTGIMISINVSQGSLLQVLQASSMDRHLTSNFEIPAGSFAMFLVVSIIMWIFLYDRVILPLASKIKGEPVRLGVKLRMGIGLFFSCMSMVVAGIVENIQQSKAIMEGNINNPQAVLEMSALWLIPQFCLNGLAEAFNSISQSEFFHSEFPKNLSSIASALSGLGMAVANLLAIVVLSTVDQITTEGGKDGWVPDNINKGRYDKYYGLLAIMSFVSLLYFVLCSWAYDHSKDQLSEVGDDDHKE >Manes.01G158000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34370997:34376436:1 gene:Manes.01G158000.v8.1 transcript:Manes.01G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDLFIASLMPVLKVLLITALGSFLAIDRFDILGVDARKHLNNVVFFVFNPALVGSNLAKYITLRSMGILWFMPFNILLTFIIGSVLGWLLIKSTKAPNGLRGLVLGCCSAGNLGNMPLIFIPAVCKERGGPFGDVDVCYTHGLAYVSLSMAIGAIYMWSYVYNIMRIYSSKDCEVPKVEAFAKVAKSPRETPENLSKVSTGPLLPLQGHSPNEDHIDHFELDCAMSKRKAEGSFLERIKQSLQVFTKINFRRLLAPSTSGALVGFVVGVIPQFRKALIGDNAPLHVVQDSASLLGEAAIPTVTLVVGANLLKGLKGSEVQRSVIIGIIVVRYILLPIFGVVIVKSAVRLGLVQSNPLYQFVLLLQYALPPAMNIGTMTQLFGTGESECSVILLWTYALASISLTLWSTLFMWLVG >Manes.01G158000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34370997:34376436:1 gene:Manes.01G158000.v8.1 transcript:Manes.01G158000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNILLTFIIGSVLGWLLIKSTKAPNGLRGLVLGCCSAGNLGNMPLIFIPAVCKERGGPFGDVDVCYTHGLAYVSLSMAIGAIYMWSYVYNIMRIYSSKDCEVPKVEAFAKVAKSPRETPENLSKVSTGPLLPLQGHSPNEDHIDHFELDCAMSKRKAEGSFLERIKQSLQVFTKINFRRLLAPSTSGALVGFVVGVIPQFRKALIGDNAPLHVVQDSASLLGEAAIPTVTLVVGANLLKGLKGSEVQRSVIIGIIVVRYILLPIFGVVIVKSAVRLGLVQSNPLYQFVLLLQYALPPAMNIGTMTQLFGTGESECSVILLWTYALASISLTLWSTLFMWLVG >Manes.03G123300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25075292:25080913:-1 gene:Manes.03G123300.v8.1 transcript:Manes.03G123300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAPRSGDAIFSSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLVDEFLAKSNVTRCVDFKETAEVIAKVGFKMFLGVSASVTNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVSMKTEVTWARDMLRGDDAFELQVKLLKQVPEEYPYKDDE >Manes.08G039600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3887102:3892959:1 gene:Manes.08G039600.v8.1 transcript:Manes.08G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGGSDSEEEESEFEEDIDNEAAGESTTQAPQNRYLRGTASDSDDSDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESDKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEDLINKYRENPESEEEEEGDEETEEEEDSDSEFVEDPSKIAMSDEDEEDDEDRQDNRTEIEGDWQKMMSKKDKLMDRQFMKDPSEITWDTVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINIWKKCVQNMLVILDILVQYPNIVVDDMVEPDEKETQKGTDYDGTIRVWGNLVAFLERIDVEFFKSLQCIDPHTREYVERLQDEPMFSVLAQSVQEYLERVRDFKAASRVALRRVELIYYKPQEVYDAMRKLAEQADDGEKSGEEPKVEESKGPSAFVATLELVPRKPTFPESSRTMMDMLVSLIYKYGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDSVQHMDISSQILFNRAMAQLGLCAFRVGLITEGHGCLSELYSGGRVKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANTLDAKRKVISKTFRRLLEVSERQTFTGPPENVRDHVMTATRALTKGDFQKAFDVIKSLDFWRLLRNRDSVLEMLKAKIKEEALRTYLFTYSSSYGSHSLDQLTKMFDLSGAQIHSIVSKMMINEELHASWDQPTQCIVFHDVEHTRLQVLAFHLTEKLSVLAESNERAIEARIGGGAGLDLPMRRKDGQDYASMAAGGGKWQDNSSFTQGRQGSGRSGYNVGGGRPPALSQGTGGGYSRGTRSGGYSGGSRYQDGAYGGPGRTGVRGSQMDGSNRMVNLNRGVRA >Manes.14G087101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7212817:7216016:-1 gene:Manes.14G087101.v8.1 transcript:Manes.14G087101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLSLKHASSNSSKKLIISTNSSLPLSHPCYNAFTSLAKSTHHGHRQQSYIFGLSLFNPNHLFHSCHSQHTLSRKVHGFLSNPWLPKQFMSNVSNTHFKFLSKGRAGYKFGISRAGFSRGYSGLKHGFGSGGRSWRSWFNRVPADNMVLGLIFINVAVFTLWQIADKKFMADNFMISMDNFRSGRLHTLITSSFSHVDAGHYISNTCVLYFFGRKIGRTLGPKHLLKLYLAGALGGSLFYLLHHAFMALSTKGQGMSEKDTSGTPGLGSSGAVNAILMLEILLNPGSTIYLQFIIPVRAALVGLFIIGTDLFRVWQGNSKVSGSTHLGGLAVAAIAYGRIKKGRL >Manes.02G175900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13864871:13868512:-1 gene:Manes.02G175900.v8.1 transcript:Manes.02G175900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYANSPKSFNAYPRGEFDLESGTIKRLRKSKNSSLHPLKMIKSMANRLHYYYKLHPLLVFIISLSLGITILVGFSLYENRYRTMNSYSKTVMSSNAYPFAKLKNLVMVAGHSVYTSSNCEKVEKEDSWFLEPYQRNPGQAATFVTHIQEGIEIAARDDGALLLFSGGETRKDAGPRSEAQSYWTVAESKGWFGNEESVRWRALTEEHARDSFENLLFSVCRFRELTGTYPENITVVSYDFKKDRFSLLHRTAIRFPDLRFFYHGTPATSTSKEAALKGEALVRTQFEEDPYGCRGTLWRKKIGRDPFHRSIPYPNGCPEIEGLFRYCGADPYPGSLPWAN >Manes.16G038800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:6216542:6216721:-1 gene:Manes.16G038800.v8.1 transcript:Manes.16G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAAMINGRKISRSSSGRPIPKRGQVKVAIVVGLAHSVASIFSLNSRRAGPASLFS >Manes.02G217000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31378985:31381846:-1 gene:Manes.02G217000.v8.1 transcript:Manes.02G217000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSPVYHSHVRDEWHHTMEVESKVSLHVERVLSQVRVICRKIRSPHPLGVFYGDNPLDYSFSLVLFQIILVVLISRFVRFLLKPLKQPRIISDIIGGIIIGPSILGQIPSFIRTVFPENSIFLVQNVGIMGFMYFLFLAGVKMDLTLIRKSGKKHVYTALVGVVVPCILVAVVGVIVRSSLDKELARVSGIGAVATDLAFTSFPVIYLVLKELNLLSSEVGRTALAVAVIGDSLGIVVIIAFEALKQGEVTIECAMWYFVSTIILGAFFVLPTRRVMVWIVKNTPKGKPTEQAFVILILLGVLVMGFFTDMFGLAIANGSLWFGLVVPDGPPLGATIVERSETIVMEILMPFSFAFTGLCTNVFAMASYGWSALAPLFFMFITGYISKICSTFASALYFHMPLKDSLALSLILNLRGQLDILIYIHWIDKRIIGIPIFTMLVLLTTLVTGICTPLIGLLYNPTRPYMINRRRTIQHSPPGKELRIVVCICNEENVSGIIDLLEVSYPTYDNPFRIFAIHLMELVGRSTPMFIEHEELDQSLKHTEHETTFNALKMYQEARQDYVKLHFYTALAIKRTMYQDICELALSNKASLIILPFEKGRLDALPGTEIVRHGHTNKSLSSNVVAHAPCSVGILIDKSHIRNPFMIYPFRQSTYHNYIMLFLGGADAREALSYADRMVMNPEVSLIVVRFLAYNNEGDDEIEKKLDDGIVTSFWVKNEMNERVVYREIVVRNGEETLAAIQAFNTSANDLWIVGRKQGINPVMLQGLSSWSENQELGIIGDYVSSVDFGSEASVLVIHQQVMRVQGISKREY >Manes.17G088150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29566594:29568265:1 gene:Manes.17G088150.v8.1 transcript:Manes.17G088150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDHYAHRRVQTPTTTILTTQVSLLERLLLLSLPSLLLPCSPCSHYLSYHYSHRQEKPEFDLQQVRVQYMGIPASNLNSLDPTIGTTTMTTGATTASLSLTIHMLFTAVNPNKVGIKYSESKFTVMYHGIPLGKASVPGFYQEAHSERQVEATISVDRYSLIQANAVDLIRDASLI >Manes.11G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2876447:2877344:1 gene:Manes.11G030700.v8.1 transcript:Manes.11G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSLKSVLVAVFIFAMVLSPMMPGEAARLGHRDLLQTRRPICPACVCCIPPPPGSCCSCCASPIQTQSTTGSP >Manes.05G139226.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:13732580:13732765:1 gene:Manes.05G139226.v8.1 transcript:Manes.05G139226.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISCSFIFIIGFRKALLYLLENIHVLLCQVACCKTVLITCGKCDKINYMRWDFPVHNSKW >Manes.13G102850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30182692:30183145:-1 gene:Manes.13G102850.v8.1 transcript:Manes.13G102850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACRHYNAARLVINHRFPIRRHNEVLFSVSVLWITWMHIVSSEFLFIVTEDIEYKQQLTHQLLEFNYVNLKNRYVSILFVFFKRK >Manes.05G177901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29451322:29452669:-1 gene:Manes.05G177901.v8.1 transcript:Manes.05G177901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNECFIGLENSVKVLYTFYCQNFQYAFFLQYLSGNYINIGLSLEILEREFSLESYFSLGALVFSSLPLVSSPSPVWTRGMPLFLPPGEWVSLPTSG >Manes.18G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5533696:5536518:1 gene:Manes.18G062800.v8.1 transcript:Manes.18G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNGGGNLNEALLPHGGVDKHDQENARELASRVWIETKKLWQIVGPAIFSRIASFSMNIITQAFAGHLGDVQLASISIANTVIVGFNFGLLLGMASALETLCGQAFGAKRYQMLGIYMQRSWIVLFFCCFLLLPVYVFASPILEWLGQPENVAEETCVVAMWLLPLHFSFAFIFPLQRFLQSQLKNQVIAWVTLAALVINAFTSWVFVYVLDFGVIGAAIALDVSWWFMVFALLGYVLYWCPLTWTGFSVQAFSGLWEFFELSAASGVMLCLENWYYRILILMTGHLKNATLAVDALSICMSINGWEFMIPLAFLAATGVRVANELGAGNGKAAKFATIVSMVQSTIIGLIICLIIVIFHNKFAVIFTSSSDVLEEVDKLSIFLAVTILLNSIQPVLSGVAVGSGWQAMVAYVNLGCYYVIGLPLGFLMGWVFKLGVKGIWGGMIFGGTAVQTVILAIITMKSDWDKEAEKARARVAKWSSPHPDDQPAEPARR >Manes.13G075199.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12647648:12650445:-1 gene:Manes.13G075199.v8.1 transcript:Manes.13G075199.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVQKAPQGNVPQVEEEVVVVADVPQAEKMTPTPAPQLPVIPVVEKQAPPVPETEEEPVKPKLQVEEAVETEVSKSSGENDEKVPESVCFKEESTKIADLSDAEKKGLEELRELVQEALNKHEFGATTPPPPAAAANEGEEAKEAVGAEEEQKPAQEATPASEEEHVKAEPDVAAVETKDEVVKDAKIEMVENEVTEEKVDAASAATDTADDDGAKTVEAIEETIVAVSSSATQEQTLPPEKESEAKSTSTDKEEAKNAISVPPEEVSIWGIPLLADERSDVILLKFLRARDFKVRDAFTMLKNTIQWRKEFGIDELLEQDLGDDLGKAVFMHGFDKEGHPVCYNVYGEFQDKDLYRKSFSDEEKRKRFLRWRIQFLEKSIRKLDFKPGGISTIVQVNDLKNSPGPSKRELRQATKQALQLLQDNYPEFVAKQVFINVPWWYLAVNRMISPFLTQRTRSKFVFASPSKSAETLLRYISAEQIPLKYGGLSKDGEFCTADTVTEVTMKPAAKHTVEFCLSEACLLTWEVRVVGWDVTYGAEFVPSAEESYTVIIQKARKVAATEEPVVCNSFKVGEPGKIVITIDNTTSKKKKLLYRFKTKESTE >Manes.01G045180.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17604698:17605431:1 gene:Manes.01G045180.v8.1 transcript:Manes.01G045180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEISDYSVLEAKSSSVRLLHDWLRLRMSWADLFSRYMVAMASSLQHPLVQHCNGLLALPLLSLWAIGRSVLLMICCDGDMSMQLSMIHLRLSVQCCKGVGPCSVIGLLFVNCSFFFLDCL >Manes.12G066900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8411588:8415404:1 gene:Manes.12G066900.v8.1 transcript:Manes.12G066900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPHILEPYFIILSKEMATNLSVKAQKTQSQKTYSQNQRQSSNYQPTVWSHSFIQSLKNENADLLYKDKARKLEEETRAAIYSENEDFLTILELIDDIQRLGLAFRFEKDIKRVLDRFVDSEGRNLWTQKSLHATSLMFRLLRQHGYEVSQDEFKNFMDDKDNLLAIYKKDVKGMLSLYEASSLGFEGEDLLDEAMAQTRTHLINTLQKGNLSELDNSTMEISISHALELPLYRRMVMLKARWYIEAYNKRKNANHSLLQLAKINFNMVQSILQRDLKEMSRWWNNLGLAGKLTFSRDRLMECFFWTVGIEFEPQFSSCRKGLTKVGSFITIIDDVYDVYGTLDELELFTDVVERWDIDAVKDLPEYMKLCFLALYNTVNEMTYDTLAKHGEFVHPYLKKSGELDRGETINSISCYMDEHGVSEEYARKEINKMIDNAWKKMNQYNQTEVNSFTKLFIEASINLARISQCVYQHGDGHGAPDTNSKKRVVSLIIEPISKETLSYDRCIYND >Manes.12G066900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8411588:8415404:1 gene:Manes.12G066900.v8.1 transcript:Manes.12G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPHILEPYFIILSKEMATNLSVKAQKTQSQKTYSQNQRQSSNYQPTVWSHSFIQSLKNENADLLYKDKARKLEEETRAAIYSENEDFLTILELIDDIQRLGLAFRFEKDIKRVLDRFVDSEGRNLWTQKSLHATSLMFRLLRQHGYEVSQDEFKNFMDDKDNLLAIYKKDVKGMLSLYEASSLGFEGEDLLDEAMAQTRTHLINTLQKGNLSELDNSTMEISISHALELPLYRRMVMLKARWYIEAYNKRKNANHSLLQLAKINFNMVQSILQRDLKEMSRWWNNLGLAGKLTFSRDRLMECFFWTVGIEFEPQFSSCRKGLTKVGSFITIIDDVYDVYGTLDELELFTDVVERWDIDAVKDLPEYMKLCFLALYNTVNEMTYDTLAKHGEFVHPYLKKSWADLCKAFLQEAKWRHKKLTPSFNEYIENGWRSVSGTVILMHAYLLLDQKILKQGLDSLVNHHHILKWPSVVFRLCNDLATSSGELDRGETINSISCYMDEHGVSEEYARKEINKMIDNAWKKMNQYNQTEVNSFTKLFIEASINLARISQCVYQHGDGHGAPDTNSKKRVVSLIIEPISKETLSYDRCIYND >Manes.09G144100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34197681:34199796:-1 gene:Manes.09G144100.v8.1 transcript:Manes.09G144100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPQSSLPPGFRFHPTDEELILHYLKKKIASKHFPVSIIADVDIYKFDPWDLPAKAVFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKVIMASSTMASENLGVKKALVFYKGRPPKGIKTNWIMHEYRLADAPAHNIKPIKPKDSSSTAMRLDDWVLCRIYKKTHASPPSASAAAAAASDHDHEEEDEEEEQYVQETLLPSLKNPLSNKSLMPQKSSSFSNLLDAMDYSMLRTFLSDHNQFNINPTGYDSTPSSLLNGAIPEQNFFSNCNPPANSSSSYLIQKLPQLSNPIPNIENKLKRPFSSVDEDMHHHPSKKLLNSCSFTNSTSQTDIAYHQYNFLNQPFLNQQLLLNPHHLQFQGQT >Manes.08G116300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35584355:35586514:1 gene:Manes.08G116300.v8.1 transcript:Manes.08G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSFIVGIIGNIISILVFASPIKTFWTVVKKKSTENYKGVPYITTLLSTSLWTFYGLLNPDGLLVVTVNGAGAVFQFIYVTLFLIYAPKDKKVNAAKLVALLDVGFLGVVITVTLVAMHGNLRLTFVGILCAAFTIGMYAAPLSAMRTVIKTKSVEYMPFLLSFFLFLNGGVWSVYAVLVKDFYIGVPNATGFVLGSAQLILYAMYTKKSGSEKSAMEEEGSPHLVKGGIEMRTHNDEDDKMCINNRSLNKGKSLPKPTVNRQNSLKKILKTLSLNVQDLQSGWLHDIELGNRKPDNDQP >Manes.08G116300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35583207:35586514:1 gene:Manes.08G116300.v8.1 transcript:Manes.08G116300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKVNAAKLVALLDVGFLGVVITVTLVAMHGNLRLTFVGILCAAFTIGMYAAPLSAMRTVIKTKSVEYMPFLLSFFLFLNGGVWSVYAVLVKDFYIGVPNATGFVLGSAQLILYAMYTKKSGSEKSAMEEEGSPHLVKGGIEMRTHNDEDDKMCINNRSLNKGKSLPKPTVNRQNSLKKILKTLSLNVQDLQSGWLHDIELGNRKPDNDQP >Manes.07G099200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30359196:30365966:1 gene:Manes.07G099200.v8.1 transcript:Manes.07G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQPNFGKMEGWLYTIQSSRFGLKFSSKRYFILEENHLKCYKTIPISQNEDPVRSAMLDSCIRITDNGRESINRKVLFIFTLYSTLNHNDQLKLGASSSEDAARWIHSLQNAVLKECTNPGKDFVAISKRKWPPIRLSGSKGVDSLDYYSTLHTEAMTSDVIASSGWKIIGCKNGLRLFKEATDWDSYGRHWDDHPAIMAVGVVDGTPETIFHTLMSLGPLRSEWDFCFDCGSVVELLDCHTDIIHKKLSNHWLPRMMNSRDLLLRRYWRREDDGTYVILYHSVIHKKCPPQKGYVRACLKSGGYVISPINQGTRSLVKHMLAVDWKTWKSYVHPSSERSITIRMLERVAALREFFQAKEGNNSAFLSGDLSGQTVLPHIEREDIKVEVKGLEKTKDLCLMENEAKKLDKSLTPLSSLSDEFFEVPDANDMMDFDMENEWSSQMKPEVQTAVLSPGLSSATGTAKKKLHDLAVQKNGYVDLQNSAKEDSRFCFYGTTFRNDPCCSLPCSWAAADPSTYLIRGKNYFNDGVKVNAKGTLMEIVGADWLRSDQRVSDLSSHPDSIVQKYAAQDRPEFFLVINIQFRSSTVYTLALYYMLKTPLEETPFLLNFVNGDDAYRNARFKMIPYIAKGAWIVKQSVRKRGCLVGKALDVNYYRGKNYLEVEIDVASSIVARGLTNLVVGYMMNLVVEMAFLIQSETEEELPECLLGTCRLNYLDAAKAVPL >Manes.03G086906.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17283090:17284846:1 gene:Manes.03G086906.v8.1 transcript:Manes.03G086906.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCRLEGRIPDWISTQKTLNLLDLSENMLQGPFPQWLAEIDLGAIVLSDNKLTGSLPPRLFESLSLSVLALSRNNFSGELPENIGNANSIIILMLSSNNFSGQIPASISEIYRLILLDFSGNRFSGKIPIFKPDALLAFIDFSSNEFSGDVPVSFSEETMILSLGNNKFSGILPKNLTNLSKLQHLDLHDNNITGNLPSSLSQISNLQVLNLRNNSLEGSIPDTISNLTSLRILDLSNNNLNGEITVKLGNLLGMIETPNTFALTDLFIIPIEFKDLVVNWKNAKRGLSSHSLDIYSLLDLSENQLSGKIPSSLGHLKGLKILNISYNHISGKIPETFGGLKSVESLDLSHNRLSGTIPETLSKLQELSTLDVSNNKLEGKIPVGGQMDTMNDPSYFANNSGLCGVQIMVACSPEQSPPPVETPEEEAWFSWAGMGFGYAVGLLATVLVIGFTRFGERLPPRNRPGRHRRRRV >Manes.06G102700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23564193:23565380:-1 gene:Manes.06G102700.v8.1 transcript:Manes.06G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDPEMAHVDSIPEQSSNSLLANTSCCFCFPCFDSRRSPSVGLAWWEKIQSSGDGNPWWEPGARAIKKIREWSEIVAGPKWKTFIRRFNRSKGSGNSRPGKFQYDPLSYALNFDEGPGQSNNFDEEDDCGRFRDFSSRYSSVSVSGKPLAMDASRDKDGTEMAVA >Manes.08G150600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39204880:39212033:-1 gene:Manes.08G150600.v8.1 transcript:Manes.08G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFICMTCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLRDWDQQRQRLPDNSNVDKVREFIKTVYVDKRYAGGKTLDKPPRDLQRHRNHEDETRRASSYHSYSQSPPYDFQYEDRRYGKQTAVLTRKPGSDRGLYVGKMSSFICSPTRLNEKMFEDRFANEGSVSRISDYSVSSGGDPSSAESPNFQKDIGYVSPPIQTPRNNSNEIAHNQIIDLFSEENFKRDAEGFPRRQSTASLGSVGSFDSNSTSFKSYNSGNLPDAVTEPECANGVQQNSRMPTFPESVSTPKSCDSLDHFKAPVVAESDASGSSPIDLFQLPTASPASSINLFQAPLDPALSLNANQTNQTSLPSSTDLFGGIFQQQSVTNPDSSVPKNEGWATFDTQPIAFTPGTGNLTPSEIPSSVGTLANFDQVSSLGTGVQWPPFQNSIAQGSSLMPSPWDNDLHNLQASVNTVSTQTWNAFDNSITQLPLEGRNEGSLPRVATHMPSSTANPYSGLKVSEEPSKDGIQRAALCEGLSGLNGPLGIVLEPSYTPSVNPMMEAPQPQAINHKSTNPFDLPYDSDLEPSMFLEMSSLQTTLPNDHLPATFLGGVSEPWFPQDPATTYIPAAPQGSLAYMAGQAPSPQLANGQTQGPLASVGGNPFA >Manes.09G025000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5355620:5360175:-1 gene:Manes.09G025000.v8.1 transcript:Manes.09G025000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVWQSFDNPSDTILPGQSLSIYKTLRAASKNSVSSYYSLYMNASGQLQLKWESDVVYWTSGNPFASNLSAVLTSDGILQLVDQTLKPMWSVFGEDHNDTVNFRSLRLDADGNLRMYSWEADSKSWRAVWQAVENQCDVFATCGHHGVCVFNASGSPECQCPFKITSDPNSKCFAQDCKSASSMITYKNTFLYEIYPPTDSIVLTSLQQCKILCLRNSMCTAATYTNDGTAECRMKTTPYFSGYSGPSLSSISFIKTCSDPLPVDPNSSGSSSAQSPAKRTYWLSIPCLVGAVSGTFVLFAVIQLALGCYIYRRRNLIWKKAALAYTGGNSKGLMMLSFAEIKEITGNFKYQIGPKMYRGVLPNHQPVAIKDLETSVEERKFRAVVSKIGSIHHRNLVKLDGYCCELSQRILVYEYVKNGSVLKHMEDDELSKRLTWRRRVNICLDVARAICYLHTGCREFVSHGNLKCENVILDKNYEAKVSEFGLGIVHPEASCVREKDVEDFGKMMLILVTGCLQVGEVCEWAYKEWLQGHPERAVDNRMDDGFDIEELERTLRTAFWCLQSDERMRPSMGEVVKVLEGTLTVDPPPPPFAGLSLPAEELSLTSGSAS >Manes.09G025000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5355620:5360175:-1 gene:Manes.09G025000.v8.1 transcript:Manes.09G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQDKFFHFKPFLLFLFTGFSLVSVVLSKIPLGSKLSVEENNSWVSPNGDFAIGFFSHSDQPNQYSVGIRFNSKSIPVSKQTVVWVAGAEISVGNKSYFQLSQSGELVLVDSFKGVTVWSSKTSRSAVVSGVLRDDGNLVLQDEMGSVVWQSFDNPSDTILPGQSLSIYKTLRAASKNSVSSYYSLYMNASGQLQLKWESDVVYWTSGNPFASNLSAVLTSDGILQLVDQTLKPMWSVFGEDHNDTVNFRSLRLDADGNLRMYSWEADSKSWRAVWQAVENQCDVFATCGHHGVCVFNASGSPECQCPFKITSDPNSKCFAQDCKSASSMITYKNTFLYEIYPPTDSIVLTSLQQCKILCLRNSMCTAATYTNDGTAECRMKTTPYFSGYSGPSLSSISFIKTCSDPLPVDPNSSGSSSAQSPAKRTYWLSIPCLVGAVSGTFVLFAVIQLALGCYIYRRRNLIWKKAALAYTGGNSKGLMMLSFAEIKEITGNFKYQIGPKMYRGVLPNHQPVAIKDLETSVEERKFRAVVSKIGSIHHRNLVKLDGYCCELSQRILVYEYVKNGSVLKHMEDDELSKRLTWRRRVNICLDVARAICYLHTGCREFVSHGNLKCENVILDKNYEAKVSEFGLGIVHPEASCVREKDVEDFGKMMLILVTGCLQVGEVCEWAYKEWLQGHPERAVDNRMDDGFDIEELERTLRTAFWCLQSDERMRPSMGEVVKVLEGTLTVDPPPPPFAGLSLPAEELSLTSGSAS >Manes.08G067800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:10488657:10490297:-1 gene:Manes.08G067800.v8.1 transcript:Manes.08G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYAFGNLLIILLHIGTLLSSLACPYCPYPTPPPKPPKYPPKFPPKHPPKVKPPPHHKPPKPPKPPVHKPPYIPKPPVHKPPHVPKPPIVKPPTTPKPPHVPKPPITPKPPIVHPPYVPKPPVVKPPPLVPKPPVVKPPYYPKPPIVYPPKPPTLPPKPPPYYPKPPIVYPPKPPTLPPKPPVTPSPPTLPPKPPVTPTPPTLPPKPPVVPTPPTLPPKPPVVPTPPTLPPKPPVTPTPPYYPSPPVTPAPPKPPVTPPVKPPSPPTETPCPPPPPPPVPCPPPPPKQETCPIDTLKLGACVDVLGGLVHIGVGSSAKDACCPVLQGLVDLDAALCLCTTIKAKLLNINIIIPIALEVLVDCGKTPPPGFKCSA >Manes.10G126666.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29190650:29191716:1 gene:Manes.10G126666.v8.1 transcript:Manes.10G126666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIENLNPSIEHDQLIIYQSSLKGDVAELDALLQQDELILDRLTITSCHETPLHIAAMRGHLQFAQALLKRKPKLAEELDSLCRLPLHLASAEGL >Manes.15G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2207158:2210450:-1 gene:Manes.15G028000.v8.1 transcript:Manes.15G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIACSNGISNGNGVNGKAHSSLNGYRKSCWYEEEIEENLRFCFALNSILHTGATQYQEIALLDTKPFGKALVIDGKLQSAEVDEFIYHECLVHPALVYHPNPKTIFIMGGGEGSTAREILRHSTVDKVVMCDIDEEVVDFCKAYLAVNKKAFCDPRLEIIINDARREIESRKECYDVIIGDLADPIEGGPCYKLYTKSFYELCVKPKLNEGGIFVTQAGPAGIFSHTEVFSCIYNTLKQVFKYVVPYSAHVPSYADTWGWVMASDSPLVLSADEFDLRIKQKIRGENRYLDGKTFSSASILSKAVRKSLNNETHVYTEGAARFIYGHGSACNQNHA >Manes.16G031900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3802568:3806379:-1 gene:Manes.16G031900.v8.1 transcript:Manes.16G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYLLILFPAIFCSSLLALSSQVPPSTHLLLPQLHEAKLKVAQLESSLEELIRQVKDRDLYLEERENQILEMEKKINDLQSTLLKLKGGSLPLLADEKINVLEEEIRVLWATSRRNNFDLHVLESKVRDAEDGLKSVTSEVEKMADVVSEQWIQIQQFEQALQLREMSILKAQRQARTSRCSFLKFINYLSSEYLPNSLGPLGLHLFGAESAFGSYISQSLHQLERFFSTMKESHHELQGFIKREMGRHEFTAQIANDELVFFVASALIIFPILSAWMLLSSQLH >Manes.03G202100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31792694:31796805:-1 gene:Manes.03G202100.v8.1 transcript:Manes.03G202100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLALDSCRASSSSLNNLSQFQESFSQLKSSGESALKPKADPNHISNFETAPRGNTRYLLNPPPHRRANSVSISSGPISASTCSKSIDHHYFSRILSRNDCFLLLNHDLNAKRIILKPQFVISILQNQENPLHILKFYIWVCKIDPSFVKDQSVKGVLANCLYRKGPVLLSVELLKDIRNSGYRVTEDLLCILVSSWGRLGLVKYCNEIFGQISFLGISPSTRLYNAVIDALIKSNSLDSAYLKFQQMSVDNCKPDRFTYNILIHGVCRIGVMDEALRLLKQMEGLGYPANVFTYTILIDGFLKAKRVDEAFRVLETMKAQKLSPNEATIRAFVHGVFHSMAPSKAFELAIRFIEGEPILRRLACDTLLCCLSDNNMAREAGVLLEKLGKRGYLPDSSVFNITMNCLIKGSNLNKTCSILDNFVEQGMKLSVSTYLVLIEALYGAGRVMEGDRYFNQMMKYGLVSNVFSYNMVIDCFCKTSMMDKAANIFKLMQYKGITPNIVTFNTLINRHCKVGEVSKARELLLMLLEHGLKPDIFTFNSIIYGLCQAKQIDDALGCFDELVEWGASPNAVTYNILIRSLCLIGDIPRSMKFLRNMRMGGISPDVFSFNAVIQSFCRMGKVENAEKLFVSMLSIGLNPDNYTYGAFIKLYCESGRYNDAKEMFLSMEANGCKPDSFICNIILDTLVKQGQLEEAQKIAKICSERGIFVHFIAKSQNYVLHGS >Manes.11G111801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25936410:25939566:1 gene:Manes.11G111801.v8.1 transcript:Manes.11G111801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLRSDLAKCRQDGQSIVLYFGKLKILWDEINNYDQILVCAGAGYKCNLTIEMKRKREEERVHQFLIGLDEEGYGTMQSHILSTDPLLI >Manes.03G002800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:314171:316575:-1 gene:Manes.03G002800.v8.1 transcript:Manes.03G002800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHPRAQNLKRAKRIIALVSVVSIFLIGVSVSRPGSSASCYLFPASKSCTWQEKPEIIPARELTDDETASQVVITEILKKPVMHSNNPKIAFILKIRRPFSLCIYKKVKKKGHDDRFTVYVHASRETAAHVSRFFIGRDIHSEKVEWGKISMVAAEKRLLARALLDPDNQQFVLLSESCVPLHTFDYVYNYLMFTNVSYIECFEDLGPDGSGRYSEHMMPEVDKQDFRKGSQWFSMKRQHAVIVMSDFLYYTKFRLFCKPNMDGRNCYADEHYLPTLFNMIDPKGISKWSLTHVDWSERKWHPKAYRSSDITYDLLKEITTTDEALHLTSDAKRVRSTPCMWNGVRRPCYLFARKFYPDTLDKLMFLLSNYSTI >Manes.08G050500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5197147:5204271:1 gene:Manes.08G050500.v8.1 transcript:Manes.08G050500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNVSGDLSAEMEVDAFRRLFPLRFYERHLADSIRPDARPLGRARDTTMALGAVASADGSALTKIGSTTMLAAIKMEVMTPSTDSPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVSKQLSDTILSSGMIDLKELSLVSGKAAWMAYLDIYCLDADGALFDCALLSAVAAFSNLQIPVVSLNDDGKIVVVSEEGEEGKLEKEPVNKEKRKLTLRSLPFSLTCILHKNYILADPTAEEESIMETLVTVVLDSSSRLVSFYKPGGPVLAYTSAVQDCVALTRQRVKELQKILDEAVSGMEID >Manes.08G050500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5197791:5204269:1 gene:Manes.08G050500.v8.1 transcript:Manes.08G050500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNVSGDLSAEMEVDAFRRLFPLRFYERHLADSIRPDARPLGRARDTTMALGAVASADGSALTKIGSTTMLAAIKMEVMTPSTDSPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVSKQLSDTILSSGMIDLKELSLVSGKAAWMAYLDIYCLDADGALFDCALLSAVAAFSNLQIPVVSLNDDGKIVVVSEEGEEGKLEKEPVNKEKRKLTLRSLPFSLTCILHKNYILADPTAEEESIMETLVTVVLDSSSRLVSFYKPGGPVLAYTSAVQDCVALTRQRVKELQKILDEAVSGMEID >Manes.08G050500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5198316:5204271:1 gene:Manes.08G050500.v8.1 transcript:Manes.08G050500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNVSGDLSAEMEVDAFRRLFPLRFYERHLADSIRPDARPLGRARDTTMALGAVASADGSALTKIGSTTMLAAIKMEVMTPSTDSPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVSKQLSDTILSSGMIDLKELSLVSGKAAWMAYLDIYCLDADGALFDCALLSAVAAFSNLQIPVVSLNDDGKIVVVSEEGEEGKLEKEPVNKEKRKLTLRSLPFSLTCILHKNYILADPTAEEESIMETLVTVVLDSSSRLVSFYKPGGPVLAYTSAVQDCVALTRQRVKELQKILDEAVSGMEID >Manes.08G050500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5197698:5204300:1 gene:Manes.08G050500.v8.1 transcript:Manes.08G050500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNVSGDLSAEMEVDAFRRLFPLRFYERHLADSIRPDARPLGRARDTTMALGAVASADGSALTKIGSTTMLAAIKMEVMTPSTDSPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVSKQLSDTILSSGMIDLKELSLVSGKAAWMAYLDIYCLDADGALFDCALLSAVAAFSNLQIPVVSLNDDGKIVVVSEEGEEGKLEKEPVNKEKRKLTLRSLPFSLTCILHKNYILADPTAEEESIMETLVTVVLDSSSRLVSFYKPGGPVLAYTSAVQDCVALTRQRVKELQKILDEAVSGMEID >Manes.07G001300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:353839:357757:1 gene:Manes.07G001300.v8.1 transcript:Manes.07G001300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILWRIGLLFAGVVFRQVLYLGLAHLLTSMKVQQWKMAEDQAYGIPSLRNTPEDVATMKSLGFDAYRFSISWSRLLPRGHLIGGVNQKGIDYYNNLINELLANGIQPFVTLYHWDLPQVLEDEYGGLLSSKLVDDFRDYAELCFSKFGDRVKHWVTFNEPLTVATDGYTNGKKAPGRCSNWLPFNCTGGDSSTEPYLAAHNQLLAHAAAVKVYRDKYQISQMGQIGITINSEWLLPMTDSISDLNAVARAISFEYDWFMEPLKSGSYPADMVAYVGKRLPQFSEDESLLVKGSFDFIGVNYYTSKYATNVPCKTENLSYSTDSCVMRTPDRNGIPIGPRSGSEWLYVYPRGIHDLLLYTKNKFNDPVIYITENGVSELDDTDRIMLEDDLRVDYFNNHLAFIKKAIMKGVKVKGYFGWSLLDNFEWDDGFAVRFGMVYVNYKDGLERSLKKSAMWFKEFLHSGNYSQLAAF >Manes.07G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:353839:357756:1 gene:Manes.07G001300.v8.1 transcript:Manes.07G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGFLSLFLILLPVSAVHNEDSVEDWAPLRRSSFPAGFIFGTGSSAYQYEGAAMEDGRGPSIWDTFTKKYPDKIKDHSNGDVAIDSYHRYKEDVATMKSLGFDAYRFSISWSRLLPRGHLIGGVNQKGIDYYNNLINELLANGIQPFVTLYHWDLPQVLEDEYGGLLSSKLVDDFRDYAELCFSKFGDRVKHWVTFNEPLTVATDGYTNGKKAPGRCSNWLPFNCTGGDSSTEPYLAAHNQLLAHAAAVKVYRDKYQISQMGQIGITINSEWLLPMTDSISDLNAVARAISFEYDWFMEPLKSGSYPADMVAYVGKRLPQFSEDESLLVKGSFDFIGVNYYTSKYATNVPCKTENLSYSTDSCVMRTPDRNGIPIGPRSGSEWLYVYPRGIHDLLLYTKNKFNDPVIYITENGVSELDDTDRIMLEDDLRVDYFNNHLAFIKKAIMKGVKVKGYFGWSLLDNFEWDDGFAVRFGMVYVNYKDGLERSLKKSAMWFKEFLHSGNYSQLAAF >Manes.07G001300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:353839:357756:1 gene:Manes.07G001300.v8.1 transcript:Manes.07G001300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGFDAYRFSISWSRLLPRGHLIGGVNQKGIDYYNNLINELLANGIQPFVTLYHWDLPQVLEDEYGGLLSSKLVDDFRDYAELCFSKFGDRVKHWVTFNEPLTVATDGYTNGKKAPGRCSNWLPFNCTGGDSSTEPYLAAHNQLLAHAAAVKVYRDKYQISQMGQIGITINSEWLLPMTDSISDLNAVARAISFEYDWFMEPLKSGSYPADMVAYVGKRLPQFSEDESLLVKGSFDFIGVNYYTSKYATNVPCKTENLSYSTDSCVMRTPDRNGIPIGPRSGSEWLYVYPRGIHDLLLYTKNKFNDPVIYITENGVSELDDTDRIMLEDDLRVDYFNNHLAFIKKAIMKGVKVKGYFGWSLLDNFEWDDGFAVRFGMVYVNYKDGLERSLKKSAMWFKEFLHSGNYSQLAAF >Manes.07G001300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:353839:357756:1 gene:Manes.07G001300.v8.1 transcript:Manes.07G001300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGFLSLFLILLPVSAVHNEDSVEDWAPLRRSSFPAGFIFGTGSSAYQYEGAAMEDGRGPSIWDTFTKKYPDKIKDHSNGDVAIDSYHRYKEDVATMKSLGFDAYRFSISWSRLLPRGHLIGGVNQKGIDYYNNLINELLANGIQPFVTLYHWDLPQVLEDEYGGLLSSKLVDDFRDYAELCFSKFGDRVKHWVTFNEPLTVATDGYTNGKKAPGRCSNWLPFNCTGGDSSTEPYLAAHNQLLAHAAAVKVYRDKYQISQMGQIGITINSEWLLPMTDSISDLNAVARAISFEYDWFMEPLKSGSYPADMVAYVGKRLPQFSEDESLLVKGSFDFIGVNYYTSKYATNVPCKTENLSYSTDSCVMRTLRIRMALRLSKRNS >Manes.01G099300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30045068:30049657:1 gene:Manes.01G099300.v8.1 transcript:Manes.01G099300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPNFHFSLPRWIIGLLCYRRNNQNESSNVNFDDNPITNSVECYACTQVGVPVFHSTSCDHDHQPEWEASAGSSLVPIQNRPTPKKCPSSRTQSRLPTGPFGTVLDPRSKRVQNWNRAFLLARGMALAIDPLFFYALSIGRGGAPCLYMDGGLAALVTVLRTCVDAVHLCHLWLQFRLAYVSRESLVVGCGKLVWDTGAIASHYVRSVRGFWFDVFVILPVPQAVFWLVLPKLIREEQIKLIMTMLILIFIFQFLPKVYHCIYLMRKMQKVTGYIFGTIWWGFGLNLIAYFIASHIAGGCWYALAIQRAASCLRQQCQRKPKCDLFLSCSEEICYQFLIPTNTIGNPCGGNFTTNLRQPMCLDISGPFKYGIYKTALPVISSNSLAVKTLYPIFWGLMTLSTFGNDLEPTSNWLEVIFSICMVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSRLRQRVRHFERQRWAAMGGDDEMELIKDLPEGLRRDIKRHLCLDLIKQVPLFQNLEDLILDNICDRVKPRVL >Manes.17G025188.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:15237557:15239358:-1 gene:Manes.17G025188.v8.1 transcript:Manes.17G025188.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNSFHETQDRVSDLGIQQKSKDFSLSEIIATESAYLSRKMEVKECTEVEMDRESAGSSEENEESPKSVGKWGRNISNVAFVHSQVLRIREEDSHIGEDIAEGLSTKDKVINAGFGQNTQLRRVASTVDVVFFSKPILPCSPLSGKTTVKAVP >Manes.07G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:647829:651453:-1 gene:Manes.07G003800.v8.1 transcript:Manes.07G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLYSPSFNYQNGELRRNQEYMDLNQHHYHQEQHQQNSGLMRYRSAPSSFLQSLVNGTSSHGGDGGGVEGEDYRYFRSSSPEMDTMLARFMSTCNGSGDSNPQNLQEYGERPAMKQEIEDSQMVYQSLPINDLTDDGNPVNVGNSVDNTYGVMNSMALENSMQASKMSTLNGSNLVRQNSSPAVFFSNLGVDNGFTVTKDGGSFRACNGLNAEASPSISRLSNHLNFPSGQRFLPRIAEIGEENPGASSPEGNTGKRQYMNFGNDSWDDSSSNDFKRLRSNDGHVFSGLNMLDNQNGNSGNRSTGLTHHLSLPKTASEMATIEKFLQFQGSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQDLFPNMDKQTNTADMLDLAVEYIKDLQKQVKNLKDTRAKCTCSSKQKLYLNASA >Manes.10G074400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13391162:13396553:-1 gene:Manes.10G074400.v8.1 transcript:Manes.10G074400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVALSLLLSNPPPTKPQFPSQTHSHHQPVIPTSSPPPIAPLLQNLLLSNQHSDFLKPINPKNPSNLSPRPRTRIGKARDPNRGKPWSHHRLSPLGQQVLNSLTDPSFESTELDKILSHLFEYYQDESSSISGNMDSLSMDVLGVIKGLGFYKKCDLAVSVFEWVRSRKECELVLNCSVVAVIVSMLGKQGKVSAAASLFNNLRKDGLDLDVYAYTSLITAYASNGRYRDAVLVFRKMEEEGCKPTLITYNVILNVYGKMGMPWSKISGLVEGMKSAGVALDDYTYNTLISCCRRGSLYEEAVQVFEEMKLAGFSPDKVTYNSLLDVYGKSRRPKEAMEVLKEMETNGFSPSIVTYNSLISAYSRDGLLREAMELKTQMVEKGIKPDVFTYTTLLSGFEKSGKDEYAMRVFEQMRAAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKTCNCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFEQAMAVYKRMLEAGVGPDLSSYNAVLAALARGGLWEQSEKIFTEMKDVSCKPNELTYCSLLHAYANGKEIERMRALAEEIYSGVTEPVAVLLKTLVLVNSKCDLLMEAEHAFMELRKKGSPDLSTLNAMIAIYGRRQMVAKANEILNLMDESGFSPSLATYNSLMYMYSRSENFQRSEEILKDILAKGLKPDIISYNTVIFAYCRNGRMKEASRIFSEIKDSGLVPDVITYNTFVASYAADSMFEEAIDVVKYMIKNGCKRNQNTYNSIVDGYCKHNRRADASTFVSSLRELDPHVSKEEECRLTERIMKKWS >Manes.10G074400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13391162:13396548:-1 gene:Manes.10G074400.v8.1 transcript:Manes.10G074400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVALSLLLSNPPPTKPQFPSQTHSHHQPVIPTSSPPPIAPLLQNLLLSNQHSDFLKPINPKNPSNLSPRPRTRIGKARDPNRGKPWSHHRLSPLGQQVLNSLTDPSFESTELDKILSHLFEYYQDESSSISGNMDSLSMDVLGVIKGLGFYKKCDLAVSVFEWVRSRKECELVLNCSVVAVIVSMLGKQGKVSAAASLFNNLRKDGLDLDVYAYTSLITAYASNGRYRDAVLVFRKMEEEGCKPTLITYNVILNVYGKMGMPWSKISGLVEGMKSAGVALDDYTYNTLISCCRRGSLYEEAVQVFEEMKLAGFSPDKVTYNSLLDVYGKSRRPKEAMEVLKEMETNGFSPSIVTYNSLISAYSRDGLLREAMELKTQMVEKGIKPDVFTYTTLLSGFEKSGKDEYAMRVFEQMRAAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKTCNCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFEQAMAVYKRMLEAGVGPDLSSYNAVLAALARGGLWEQSEKIFTEMKDVSCKPNELTYCSLLHAYANGKEIERMRALAEEIYSGVTEPVAVLLKTLVLVNSKCDLLMEAEHAFMELRKKGSPDLSTLNAMIAIYGRRQMVAKANEILNLMDESGFSPSLATYNSLMYMYSRSENFQRSEEILKDILAKGLKPDIISYNTVIFAYCRNGRMKEASRIFSEIKDSGLVPDVITYNTFVASYAADSMFEEAIDVVKYMIKNGCKRNQNTYNSIVDGYCKHNRRADASTFVSSLRELDPHVSKEEECRLTERIMKKWS >Manes.10G074400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13391290:13396548:-1 gene:Manes.10G074400.v8.1 transcript:Manes.10G074400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVALSLLLSNPPPTKPQFPSQTHSHHQPVIPTSSPPPIAPLLQNLLLSNQHSDFLKPINPKNPSNLSPRPRTRIGKARDPNRGKPWSHHRLSPLGQQVLNSLTDPSFESTELDKILSHLFEYYQDESSSISGNMDSLSMDVLGVIKGLGFYKKCDLAVSVFEWVRSRKECELVLNCSVVAVIVSMLGKQGKVSAAASLFNNLRKDGLDLDVYAYTSLITAYASNGRYRDAVLVFRKMEEEGCKPTLITYNVILNVYGKMGMPWSKISGLVEGMKSAGVALDDYTYNTLISCCRRGSLYEEAVQVFEEMKLAGFSPDKVTYNSLLDVYGKSRRPKEAMEVLKEMETNGFSPSIVTYNSLISAYSRDGLLREAMELKTQMVEKGIKPDVFTYTTLLSGFEKSGKDEYAMRVFEQMRAAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKTCNCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFEQAMAVYKRMLEAGVGPDLSSYNAVLAALARGGLWEQSEKIFTEMKDVSCKPNELTYCSLLHAYANGKEIERMRALAEEIYSGVTEPVAVLLKTLVLVNSKCDLLMEAEHAFMELRKKGSPDLSTLNAMIAIYGRRQMVAKANEILNLMDESGFSPSLATYNSLMYMYSRSENFQRSEEILKDILAKGLKPDIISYNTVIFAYCRNGRMKEASRIFSEIKDSGLVPDVITYNTFVASYAADSMFEEAIDVVKYMIKNGCKRNQNTYNSIVDGYCKHNRRADASTFVSSLRELDPHVSKEEECRLTERIMKKWS >Manes.10G074400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13391160:13396553:-1 gene:Manes.10G074400.v8.1 transcript:Manes.10G074400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVALSLLLSNPPPTKPQFPSQTHSHHQPVIPTSSPPPIAPLLQNLLLSNQHSDFLKPINPKNPSNLSPRPRTRIGKARDPNRGKPWSHHRLSPLGQQVLNSLTDPSFESTELDKILSHLFEYYQDESSSISGNMDSLSMDVLGVIKGLGFYKKCDLAVSVFEWVRSRKECELVLNCSVVAVIVSMLGKQGKVSAAASLFNNLRKDGLDLDVYAYTSLITAYASNGRYRDAVLVFRKMEEEGCKPTLITYNVILNVYGKMGMPWSKISGLVEGMKSAGVALDDYTYNTLISCCRRGSLYEEAVQVFEEMKLAGFSPDKVTYNSLLDVYGKSRRPKEAMEVLKEMETNGFSPSIVTYNSLISAYSRDGLLREAMELKTQMVEKGIKPDVFTYTTLLSGFEKSGKDEYAMRVFEQMRAAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKTCNCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFEQAMAVYKRMLEAGVGPDLSSYNAVLAALARGGLWEQSEKIFTEMKDVSCKPNELTYCSLLHAYANGKEIERMRALAEEIYSGVTEPVAVLLKTLVLVNSKCDLLMEAEHAFMELRKKGSPDLSTLNAMIAIYGRRQMVAKANEILNLMDESGFSPSLATYNSLMYMYSRSENFQRSEEILKDILAKGLKPDIISYNTVIFAYCRNGRMKEASRIFSEIKDSGLVPDVITYNTFVASYAADSMFEEAIDVVKYMIKNGCKRNQNTYNSIVDGYCKHNRRADASTFVSSLRELDPHVSKEEECRLTERIMKKWS >Manes.10G074400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13391161:13396548:-1 gene:Manes.10G074400.v8.1 transcript:Manes.10G074400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVALSLLLSNPPPTKPQFPSQTHSHHQPVIPTSSPPPIAPLLQNLLLSNQHSDFLKPINPKNPSNLSPRPRTRIGKARDPNRGKPWSHHRLSPLGQQVLNSLTDPSFESTELDKILSHLFEYYQDESSSISGNMDSLSMDVLGVIKGLGFYKKCDLAVSVFEWVRSRKECELVLNCSVVAVIVSMLGKQGKVSAAASLFNNLRKDGLDLDVYAYTSLITAYASNGRYRDAVLVFRKMEEEGCKPTLITYNVILNVYGKMGMPWSKISGLVEGMKSAGVALDDYTYNTLISCCRRGSLYEEAVQVFEEMKLAGFSPDKVTYNSLLDVYGKSRRPKEAMEVLKEMETNGFSPSIVTYNSLISAYSRDGLLREAMELKTQMVEKGIKPDVFTYTTLLSGFEKSGKDEYAMRVFEQMRAAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKTCNCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFEQAMAVYKRMLEAGVGPDLSSYNAVLAALARGGLWEQSEKIFTEMKDVSCKPNELTYCSLLHAYANGKEIERMRALAEEIYSGVTEPVAVLLKTLVLVNSKCDLLMEAEHAFMELRKKGSPDLSTLNAMIAIYGRRQMVAKANEILNLMDESGFSPSLATYNSLMYMYSRSENFQRSEEILKDILAKGLKPDIISYNTVIFAYCRNGRMKEASRIFSEIKDSGLVPDVITYNTFVASYAADSMFEEAIDVVKYMIKNGCKRNQNTYNSIVDGYCKHNRRADASTFVSSLRELDPHVSKEEECRLTERIMKKWS >Manes.10G074400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13391162:13396553:-1 gene:Manes.10G074400.v8.1 transcript:Manes.10G074400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVALSLLLSNPPPTKPQFPSQTHSHHQPVIPTSSPPPIAPLLQNLLLSNQHSDFLKPINPKNPSNLSPRPRTRIGKARDPNRGKPWSHHRLSPLGQQVLNSLTDPSFESTELDKILSHLFEYYQDESSSISGNMDSLSMDVLGVIKGLGFYKKCDLAVSVFEWVRSRKECELVLNCSVVAVIVSMLGKQGKVSAAASLFNNLRKDGLDLDVYAYTSLITAYASNGRYRDAVLVFRKMEEEGCKPTLITYNVILNVYGKMGMPWSKISGLVEGMKSAGVALDDYTYNTLISCCRRGSLYEEAVQVFEEMKLAGFSPDKVTYNSLLDVYGKSRRPKEAMEVLKEMETNGFSPSIVTYNSLISAYSRDGLLREAMELKTQMVEKGIKPDVFTYTTLLSGFEKSGKDEYAMRVFEQMRAAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKTCNCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFEQAMAVYKRMLEAGVGPDLSSYNAVLAALARGGLWEQSEKIFTEMKDVSCKPNELTYCSLLHAYANGKEIERMRALAEEIYSGVTEPVAVLLKTLVLVNSKCDLLMEAEHAFMELRKKGSPDLSTLNAMIAIYGRRQMVAKANEILNLMDESGFSPSLATYNSLMYMYSRSENFQRSEEILKDILAKGLKPDIISYNTVIFAYCRNGRMKEASRIFSEIKDSGLVPDVITYNTFVASYAADSMFEEAIDVVKYMIKNGCKRNQNTYNSIVDGYCKHNRRADASTFVSSLRELDPHVSKEEECRLTERIMKKWS >Manes.05G148800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25167081:25172721:1 gene:Manes.05G148800.v8.1 transcript:Manes.05G148800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSKSRGFCGWFIVAVVLALVVVAVVYTVKKKTGKSDDASPVPGPPGAVDKKYADALKVAMQFFDVQKSGKLEDNKISWRGNSALKDGSEAKLDLSKGMYDAGDHMKFGFPMAFTATVLSWAILEYGDQMDAVNQLEPAQDSLKWITDYLINAHAAENVLYIQVGDPKTDHGCWDRPEVMTEKRPLIQVNTSFPGTEVAAETAAAMASASLVFKKSDPTYSSTLLKHAEELFSFADKHRGSYSESIPDVQNYYNSTGYGDELLWAASWLYHATGDKSYLQYVTGQNGKSFANWGSPTWFCWDNKLAGTQVLLSRLTFFGEQDSSNSGLQMYRTTAEAVMCGLIPNSPTATTSRTVGGLVLVSDWNGLQHPVASAFLATLYSDYMLTSRTAKLSCNGKSYKPSDLRKFARSQADYVLGNNALKMSFLVGYGDKFPQYVHHRGASIPVDATTGCKDGWKWLDSEEPNPNIAVGGLVGGPFRNETYDDSRNNTMQGEPSTYNSAVIVGLLSGLVTTSSVVQSFT >Manes.16G007351.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:737295:738580:-1 gene:Manes.16G007351.v8.1 transcript:Manes.16G007351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSPVSIPLFIGENSQIWEAMVEEDRDPPPLESNPTVNQIRMHEEQATKKDKALTVLHTGVADHFFTSIMAFKTPKGIWDYLQTENEGNERVKSMKDTKTIKEYTTRVLEVLNRMRLAGAEVEQSSQTPCSRAASMHQNSKKKLRYSNNQIHKHQQKGNYPPCSHCHKSNHHETKCWKLFKCSNCNRIGHISKFCREKVQRQQELTHQQVKLSDDKQQALVADENTSEEHLYMAQANISSTGKEWLLDSGCSNHMTADSSIFCNVNPTFKITMRLGNGSILKATGKGTIQVPLEVGDKYIHDVLLVPRLDQNLLRGLTVIFSWSYQ >Manes.04G148300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34523503:34528300:1 gene:Manes.04G148300.v8.1 transcript:Manes.04G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLSSPLCTWFLAACMSIACEKDHLLESSIFQYPKRLTQKSRHKKLIGSVHCSQNLLSSFQVSGMQSLMSSCLSLEPFEVLYNSNRSSSSLNSIFSLFGSKSSNRRQMQKRSAVHSGGVAVAVQPEKEIILKKKPLTKNRRVVVTGMGVVTSIGQDADTFYNNLLDGVSGISEIEAFDCSQFPTKIAGEIKSFSADGCVSPKLFKRADKSVLYLLTAGKKALADAGITEQVMDVLDKTRCGVIIGSALGGMKIFNDAIEALRVSYKKMNPFCVPFATTNVGSAILAMDLGWMGPNYSISSACATSNFCILNSANHIIRGETDLMLCGGSDAAIIPIGLGGFVACRTLSQRNDDPTKASRPWDTDRDGFVLGEGAGVLLLEELEHAKRRGAKIYSEFLGGSFTCDAYHMTDPHPDGMGIALCIEQALVQSGVSKENVNYINAHATSTLRGDLTEFQAIVRCFGQNPELRVNSTKSMIGHLLGASGAVEAVATIKAIQTGWVHPNINLENPDEAVDRDLLVGTKKESLDIKVALSNSFGFGGHNSSILFAPYK >Manes.04G148300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34523503:34528300:1 gene:Manes.04G148300.v8.1 transcript:Manes.04G148300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTSIGQDADTFYNNLLDGVSGISEIEAFDCSQFPTKIAGEIKSFSADGCVSPKLFKRADKSVLYLLTAGKKALADAGITEQVMDVLDKTRCGVIIGSALGGMKIFNDAIEALRVSYKKMNPFCVPFATTNVGSAILAMDLGWMGPNYSISSACATSNFCILNSANHIIRGETDLMLCGGSDAAIIPIGLGGFVACRTLSQRNDDPTKASRPWDTDRDGFVLGEGAGVLLLEELEHAKRRGAKIYSEFLGGSFTCDAYHMTDPHPDGMGIALCIEQALVQSGVSKENVNYINAHATSTLRGDLTEFQAIVRCFGQNPELRVNSTKSMIGHLLGASGAVEAVATIKAIQTGWVHPNINLENPDEAVDRDLLVGTKKESLDIKVALSNSFGFGGHNSSILFAPYK >Manes.04G148300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34523502:34528300:1 gene:Manes.04G148300.v8.1 transcript:Manes.04G148300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLSSPLCTWFLAACMSIACEKDHLLESSIFQYPKRLTQKSRHKKLIGSVHCSQNLLSSFQVSGMQSLMSSCLSLEPFEVLYNSNRSSSSLNSIFSLFGSKSSNRRQMQKRSAVHSGGVAVAVQPEKEIILKKKPLTKNRRVVVTGMGVVTSIGQDADTFYNNLLDGVSGISEIEAFDCSQFPTKIAGEIKSFSADGCVSPKLFKRADKSVLYLLTAGKKALADAGITEQVMDVLDKTRCGVIIGSALGGMKIFNDAIEALRVSYKKMNPFCVPFATTNVGSAILAMDLGWMGPNYSISSACATSNFCILNSANHIIRGETDLMLCGGSDAAIIPIGLGGFVACRTLSQRNDDPTKASRPWDTDRDGFVLGEGAGVLLLEELEHAKRRGAKIYSEFLGGSFTCDAYHMTDPHPDGMGIALCIEQALVQSGVSKENVNYINAHATSTLRGDLTEFQAIVRCFGQNPELRVNSTKSMIGHLLGASGAVEAVATIKAIQTGWVHPNINLENPDEAVVCYSFYDLTVCSFTLFQVVVFNLLCYVCIQDRDLLVGTKKESLDIKVALSNSFGFGGHNSSILFAPYK >Manes.04G148300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34523503:34528300:1 gene:Manes.04G148300.v8.1 transcript:Manes.04G148300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLSSPLCTWFLAACMSIACEKDHLLELTQKSRHKKLIGSVHCSQNLLSSFQVSGMQSLMSSCLSLEPFEVLYNSNRSSSSLNSIFSLFGSKSSNRRQMQKRSAVHSGGVAVAVQPEKEIILKKKPLTKNRRVVVTGMGVVTSIGQDADTFYNNLLDGVSGISEIEAFDCSQFPTKIAGEIKSFSADGCVSPKLFKRADKSVLYLLTAGKKALADAGITEQVMDVLDKTRCGVIIGSALGGMKIFNDAIEALRVSYKKMNPFCVPFATTNVGSAILAMDLGWMGPNYSISSACATSNFCILNSANHIIRGETDLMLCGGSDAAIIPIGLGGFVACRTLSQRNDDPTKASRPWDTDRDGFVLGEGAGVLLLEELEHAKRRGAKIYSEFLGGSFTCDAYHMTDPHPDGMGIALCIEQALVQSGVSKENVNYINAHATSTLRGDLTEFQAIVRCFGQNPELRVNSTKSMIGHLLGASGAVEAVATIKAIQTGWVHPNINLENPDEAVDRDLLVGTKKESLDIKVALSNSFGFGGHNSSILFAPYK >Manes.04G148300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34523503:34528300:1 gene:Manes.04G148300.v8.1 transcript:Manes.04G148300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLSSPLCTWFLAACMSIACEKDHLLESSIFQYPKRLTQKSRHKKLIGSVHCSQNLLSSFQVSGMQSLMSSCLSLEPFEVLYNSNRSSSSLNSIFSLFGSKSSNRRQMQKRSAVHSGGVAVAVQPEKEIILKKKPLTKNRRVVVTGMGVVTSIGQDADTFYNNLLDGVSGISEIEAFDCSQFPTKIAGEIKSFSADGCVSPKLFKRADKSVLYLLTAGKKALADAGITEQVMDVLDKTRCGVIIGSALGGMKIFNDAIEALRVSYKKMNPFCVPFATTNVGSAILAMDLGWMGPNYSISSACATSNFCILNSANHIIRGETDLMLCGGSDAAIIPIGLGGFVACRTLSQRNDDPTKASRPWDTDRDGFVLGEGAGVLLLEELEHAKRRGAKIYSEFLGGSFTCDAYHMTDPHPDGMGIALCIEQALVQSGVSKENVNYINAHATSTLRGDLTEFQAIVRCFGQNPEVKGNILKSSIYLQSSESLVLKPFLQLRVNSTKSMIGHLLGASGAVEAVATIKVNSFCVLPWVLLK >Manes.12G073200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7961666:7977674:1 gene:Manes.12G073200.v8.1 transcript:Manes.12G073200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRVHNFVCYHVALQLQTHCLFEILPPSIYSRLLSCNTTLLHRPYCHRKMRDLPLKSEIGLNGDKYNHFVLLMKDSKTICRAQCLDDPPVKVPRRWNLHDIVSRSSLNIDCQLPHFLLRPAALCSRDENEWKRFLSHLQKRDSVGIAKFDFCEFYVLPPDEAYNFSHARVAYRLDKYSGQKHCESGPSQLVSVIVPSTVADTTEACLFKRTSLNPTEICGNSSTISPSHDVEFAAGKGGHLQSKGVGFNENNGVPDVKLPHSIGDNCGSVPTDRCQPCAVKQDRPLEKNYVRADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISVEIIYSKRAGKDIPMLSVIDDGQGMTHQDIVRMTCFGHKQPDIDDPDHIGRFGVGFKTGAMRLGRDALVLTQTADSRSIAFLSQSLNEGKDNLEIPIVSYCRKGQFMEVDRNVQSKALAKYNLKTIKEFSPFDKYLIGEKAGLFHGKCTGTQIYIWNLDKWGSNYCLDWTDGLTGGSSFHQGDILIRSRRVRSRPGQMSQKVLLDYSLRSYLEVIFLVPRMRMYVQGSLVKSRPLANSLSNTYQASAVIMGKHVQVTLGRSQLEWEQANCGMFLYWHGRLIEAYKRVGGMTYNGEVGRGVIGVIDVTELMNEGNGHVWVHSNKQGFLDCESYALLEEWLGKEADEYWDKNYDTVLLKKGGYLHKPDHEWVQCDKCRKWRMLSSGFDSKNLPTEWFCYMEPFKGSCEIPEQKVESGVITVSAKRSGYGSRDVEGDATITPEGDIDENFDGTQKNDRKGLKRNRKGLSRSCKKVVS >Manes.12G073200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7961666:7977674:1 gene:Manes.12G073200.v8.1 transcript:Manes.12G073200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRVHNFVCYHVALQLQTHCLFEILPPSIYSRLLSCNTTLLHRPYCHRKMRDLPLKSEIGLNGDKYNHFVLLMKDSKTICRAQCLDDPPVKVPRRWNLHDIVSRSSLNIDCQLPHFLLRPAALCSRDENEWKRFLSHLQKRDSVGIAKFDFCEFYVLPPDEAYNFSHARVAYRLDKYSGQKHCESVADTTEACLFKRTSLNPTEICGNSSTISPSHDVEFAAGKGGHLQSKGVGFNENNGVPDVKLPHSIGDNCGSVPTDRCQPCAVKQDRPLEKNYVRADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISVEIIYSKRAGKDIPMLSVIDDGQGMTHQDIVRMTCFGHKQPDIDDPDHIGRFGVGFKTGAMRLGRDALVLTQTADSRSIAFLSQSLNEGKDNLEIPIVSYCRKGQFMEVDRNVQSKALAKYNLKTIKEFSPFDKYLIGEKAGLFHGKCTGTQIYIWNLDKWGSNYCLDWTDGLTGGSSFHQGDILIRSRRVRSRPGQMSQKVLLDYSLRSYLEVIFLVPRMRMYVQGSLVKSRPLANSLSNTYQASAVIMGKHVQVTLGRSQLEWEQANCGMFLYWHGRLIEAYKRVGGMTYNGEVGRGVIGVIDVTELMNEGNGHVWVHSNKQGFLDCESYALLEEWLGKEADEYWDKNYDTVLLDILRWFLLFQKKGGYLHKPDHEWVQCDKCRKWRMLSSGFDSKNLPTEWFCYMEPFKGSCEIPEQKVESGVITVSAKRSGYGSRDVEGDATITPEGDIDENFDGTQKNDRKGLKRNRKGLSRSCKKVVS >Manes.12G073200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7961666:7976110:1 gene:Manes.12G073200.v8.1 transcript:Manes.12G073200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRVHNFVCYHVALQLQTHCLFEILPPSIYSRLLSCNTTLLHRPYCHRKMRDLPLKSEIGLNGDKYNHFVLLMKDSKTICRAQCLDDPPVKVPRRWNLHDIVSRSSLNIDCQLPHFLLRPAALCSRDENEWKRFLSHLQKRDSVGIAKFDFCEFYVLPPDEAYNFSHARVAYRLDKYSGQKHCESVADTTEACLFKRTSLNPTEICGNSSTISPSHDVEFAAGKGGHLQSKGVGFNENNGVPDVKLPHSIGDNCGSVPTDRCQPCAVKQDRPLEKNYVRADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISVEIIYSKRAGKDIPMLSVIDDGQGMTHQDIVRMTCFGHKQPDIDDPDHIGRFGVGFKTGAMRLGRDALVLTQTADSRSIAFLSQSLNEGKDNLEIPIVSYCRKGQFMEVDRNVQSKALAKYNLKTIKEFSPFDKYLIGEKAGLFHGKCTGTQIYIWNLDKWGSNYCLDWTDGLTGGSSFHQGDILIRSRRVRSRPGQMSQKVLLDYSLRSYLEVIFLVPRMRMYVQGSLVKSRPLANSLSNTYQASAVIMGKHVQVTLGRSQLEWEQANCGMFLYWHGRLIEAYKRVGGMTYNGEVGRGVIGVIDVTELMNEGNGHVWVHSNKQGFLDCESYALLEEWLGKEADEYWDKNYDTVLLLL >Manes.12G073200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7961666:7977674:1 gene:Manes.12G073200.v8.1 transcript:Manes.12G073200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRVHNFVCYHVALQLQTHCLFEILPPSIYSRLLSCNTTLLHRPYCHRKMRDLPLKSEIGLNGDKYNHFVLLMKDSKTICRAQCLDDPPVKVPRRWNLHDIVSRSSLNIDCQLPHFLLRPAALCSRDENEWKRFLSHLQKRDSVGIAKFDFCEFYVLPPDEAYNFSHARVAYRLDKYSGQKHCESVADTTEACLFKRTSLNPTEICGNSSTISPSHDVEFAAGKGGHLQSKGVGFNENNGVPDVKLPHSIGDNCGSVPTDRCQPCAVKQDRPLEKNYVRADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISVEIIYSKRAGKDIPMLSVIDDGQGMTHQDIVRMTCFGHKQPDIDDPDHIGRFGVGFKTGAMRLGRDALVLTQTADSRSIAFLSQSLNEGKDNLEIPIVSYCRKGQFMEVDRNVQSKALAKYNLKTIKEFSPFDKYLIGEKAGLFHGKCTGTQIYIWNLDKWGSNYCLDWTDGLTGGSSFHQGDILIRSRRVRSRPGQMSQKVLLDYSLRSYLEVIFLVPRMRMYVQGSLVKSRPLANSLSNTYQASAVIMGKHVQVTLGRSQLEWEQANCGMFLYWHGRLIEAYKRVGGMTYNGEVGRGVIGVIDVTELMNEGNGHVWVHSNKQGFLDCESYALLEEWLGKEADEYWDKNYDTVLLVLLHGTFQGIM >Manes.12G073200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7961666:7977674:1 gene:Manes.12G073200.v8.1 transcript:Manes.12G073200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRVHNFVCYHVALQLQTHCLFEILPPSIYSRLLSCNTTLLHRPYCHRKMRDLPLKSEIGLNGDKYNHFVLLMKDSKTICRAQCLDDPPVKVPRRWNLHDIVSRSSLNIDCQLPHFLLRPAALCSRDENEWKRFLSHLQKRDSVGIAKFDFCEFYVLPPDEAYNFSHARVAYRLDKYSGQKHCESDTTEACLFKRTSLNPTEICGNSSTISPSHDVEFAAGKGGHLQSKGVGFNENNGVPDVKLPHSIGDNCGSVPTDRCQPCAVKQDRPLEKNYVRADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISVEIIYSKRAGKDIPMLSVIDDGQGMTHQDIVRMTCFGHKQPDIDDPDHIGRFGVGFKTGAMRLGRDALVLTQTADSRSIAFLSQSLNEGKDNLEIPIVSYCRKGQFMEVDRNVQSKALAKYNLKTIKEFSPFDKYLIGEKAGLFHGKCTGTQIYIWNLDKWGSNYCLDWTDGLTGGSSFHQGDILIRSRRVRSRPGQMSQKVLLDYSLRSYLEVIFLVPRMRMYVQGSLVKSRPLANSLSNTYQASAVIMGKHVQVTLGRSQLEWEQANCGMFLYWHGRLIEAYKRVGGMTYNGEVGRGVIGVIDVTELMNEGNGHVWVHSNKQGFLDCESYALLEEWLGKEADEYWDKNYDTVLLKKGGYLHKPDHEWVQCDKCRKWRMLSSGFDSKNLPTEWFCYMEPFKGSCEIPEQKVESGVITVSAKRSGYGSRDVEGDATITPEGDIDENFDGTQKNDRKGLKRNRKGLSRSCKKVVS >Manes.12G073200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7961666:7977674:1 gene:Manes.12G073200.v8.1 transcript:Manes.12G073200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRVHNFVCYHVALQLQTHCLFEILPPSIYSRLLSCNTTLLHRPYCHRKMRDLPLKSEIGLNGDKYNHFVLLMKDSKTICRAQCLDDPPVKVPRRWNLHDIVSRSSLNIDCQLPHFLLRPAALCSRDENEWKRFLSHLQKRDSVGIAKFDFCEFYVLPPDEAYNFSHARVAYRLDKYSGQKHCESVADTTEACLFKRTSLNPTEICGNSSTISPSHDVEFAAGKGGHLQSKGVGFNENNGVPDVKLPHSIGDNCGSVPTDRCQPCAVKQDRPLEKNYVRADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISVEIIYSKRAGKDIPMLSVIDDGQGMTHQDIVRMTCFGHKQPDIDDPDHIGRFGVGFKVALVIFLWTGAMRLGRDALVLTQTADSRSIAFLSQSLNEGKDNLEIPIVSYCRKGQFMEVDRNVQSKALAKYNLKTIKEFSPFDKYLIGEKAGLFHGKCTGTQIYIWNLDKWGSNYCLDWTDGLTGGSSFHQGDILIRSRRVRSRPGQMSQKVLLDYSLRSYLEVIFLVPRMRMYVQGSLVKSRPLANSLSNTYQASAVIMGKHVQVTLGRSQLEWEQANCGMFLYWHGRLIEAYKRVGGMTYNGEVGRGVIGVIDVTELMNEGNGHVWVHSNKQGFLDCESYALLEEWLGKEADEYWDKNYDTVLLKKGGYLHKPDHEWVQCDKCRKWRMLSSGFDSKNLPTEWFCYMEPFKGSCEIPEQKVESGVITVSAKRSGYGSRDVEGDATITPEGDIDENFDGTQKNDRKGLKRNRKGLSRSCKKVVS >Manes.12G073200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7961666:7977674:1 gene:Manes.12G073200.v8.1 transcript:Manes.12G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHRVHNFVCYHVALQLQTHCLFEILPPSIYSRLLSCNTTLLHRPYCHRKMRDLPLKSEIGLNGDKYNHFVLLMKDSKTICRAQCLDDPPVKVPRRWNLHDIVSRSSLNIDCQLPHFLLRPAALCSRDENEWKRFLSHLQKRDSVGIAKFDFCEFYVLPPDEAYNFSHARVAYRLDKYSGQKHCESVADTTEACLFKRTSLNPTEICGNSSTISPSHDVEFAAGKGGHLQSKGVGFNENNGVPDVKLPHSIGDNCGSVPTDRCQPCAVKQDRPLEKNYVRADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISVEIIYSKRAGKDIPMLSVIDDGQGMTHQDIVRMTCFGHKQPDIDDPDHIGRFGVGFKTGAMRLGRDALVLTQTADSRSIAFLSQSLNEGKDNLEIPIVSYCRKGQFMEVDRNVQSKALAKYNLKTIKEFSPFDKYLIGEKAGLFHGKCTGTQIYIWNLDKWGSNYCLDWTDGLTGGSSFHQGDILIRSRRVRSRPGQMSQKVLLDYSLRSYLEVIFLVPRMRMYVQGSLVKSRPLANSLSNTYQASAVIMGKHVQVTLGRSQLEWEQANCGMFLYWHGRLIEAYKRVGGMTYNGEVGRGVIGVIDVTELMNEGNGHVWVHSNKQGFLDCESYALLEEWLGKEADEYWDKNYDTVLLKKGGYLHKPDHEWVQCDKCRKWRMLSSGFDSKNLPTEWFCYMEPFKGSCEIPEQKVESGVITVSAKRSGYGSRDVEGDATITPEGDIDENFDGTQKNDRKGLKRNRKGLSRSCKKVVS >Manes.13G057300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6627040:6634259:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKT >Manes.13G057300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6630591:6634259:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKVGSQGFLVATA >Manes.13G057300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6627040:6634259:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKSKHKSSKIDEIGVIKVAG >Manes.13G057300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6627040:6632814:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKSKHKSSKIDEIGVIKVAG >Manes.13G057300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6627040:6632814:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKT >Manes.13G057300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6630591:6634259:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKVGSQGFLVATA >Manes.13G057300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6627040:6634259:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKSKHKSSKIDEIGVIKVAG >Manes.13G057300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6630222:6632328:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKT >Manes.13G057300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6627040:6634259:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKT >Manes.13G057300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6630176:6632328:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKSKHKSSKIDEIGVIKVAG >Manes.13G057300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6627040:6634259:-1 gene:Manes.13G057300.v8.1 transcript:Manes.13G057300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESKKFERGPRELTGAVDLISHYKLLPHHEFFCKRSLPLSISDTHYLHTVVGDTEIRKGEGMQLDQLIQNTSYSRDSNSRIQPFDLDVLREAFQFKETTPVDLPPAEKGTPTIAAKSKSESKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGGDHSKKHHEKKRKHDGDEYINDVHKHKKSKHKSSKIDEIGVIKVAG >Manes.08G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3956403:3959737:1 gene:Manes.08G040400.v8.1 transcript:Manes.08G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFLNSPTLATNKLAKLSGKFQWCFLRRKKMSSSSIVYCQGGGKAIRSSGFSSVLTERSSSTLVGDDHAAAVIDAGSLVLSPNGNKGQSEISIKDFDSYGGTTSSSLVEIQEGIGIVKFLGGKGFFITGATGFLAKVLIEKILRTMPDVGKIYVLIKAKNMEAAMARLKSEIINAELFKCLQQTYGKSYQTFMLSKLVPVVGNVCESNLGLEEDLANLIANEIDVIVNSAANTTFDERYDVAIDINTRGPCHLMSFAKKCQKLKLFLQVSTAYVNGQRQGRIMEKPFCIGDCIARESFGDFVSENTPRFPPTLDVENEMKLALNSKETFQENDLAQKMKELGLQRARKFGWQDTYVFTKAMGEMMIDSLRGDIPVVIIRPSVIESTCREPFPGWMEGNRMMDPIILYYGKGQLTGFLVDPNGVLDVVPADMVVNATLAAIARHGMVQKSGINVYQIASSVVNPLAFEDLAKLLYEHYNSTPYMDSKGSPIHVPSMKLFKSMEDFSDHLWRDVIQRNGLAELASSDRKLSLKHEFICRKSVEQAKYLANIYEPYTFYGGRFDNSNTQRLIESMSENEKKKFGFDVESIDWRDYITNVHIPGLRRHVLKGRGMCS >Manes.04G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33261107:33265924:-1 gene:Manes.04G131000.v8.1 transcript:Manes.04G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPSWWNMHPPSQQPSSLLSSSLSPSLFPSQYVLGSSSSSSSSFPFNSLPDNQELPQSWSQLLLGGLPGEEDRFCLSHFQNKKLEDCEDQILNPYPRVSVVADIKREVSRKSNLYGHGDEEFQLPRPTWSPAIPVSSPRSCVTSLSSNVLEYSFNKPDTRNQHPDQSSECNSTATGGMCKKPRVQPSSSQQPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLATASSNMSNQQSVQGERNSVFPEDPGQLLNDICLKRKGDRNQQDSNEKPKDLRSRGLCLVPVSCTHHVGSDNGADYWAPAIGGGF >Manes.12G146300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35333038:35338338:1 gene:Manes.12G146300.v8.1 transcript:Manes.12G146300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRIEKPKAETPINENEIRITTQGRMRNYITYATTLLQEKGSNEIILKAMGRAINKTVMIAELIKRRIVGLHQNTAIESTDITDMWEPLEEGLLPLETTRHVSMITITFSKKELDTSSTGYQPPLPVDQVKPLNEFEDEGEGSPIIRGRGRGSWGRGRGRGNYNVGDYNGDGWDGGRGYGGRGRGRGRGRFFRGRGRGRGRGFGGQSSGYYDYGEFDALPAPGRGRGRGRGRGRGRGRTFRSEGPDQAGAA >Manes.12G146300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35333038:35338338:1 gene:Manes.12G146300.v8.1 transcript:Manes.12G146300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRIEKPKAETPINENEIRITTQGRMRNYITYATTLLQEKGSNEIILKAMGRAINKTVMIAELIKRRIVGLHQNTAIESTDITDMWEPLEEGLLPLETTRHVSMITITFSKKELDTSSTGYQPPLPVDQVKPLNEFEDEGEGSPIIRGRGRGSWGRGRGRGNYNVGDYNGDGWDGGRGYGGRGRGRGRGRFFRGRGRGRGRGFGGQSSGYYDYGEFDALPAPGRGFAGRGRGRGRGRGRGRTFRSEGPDQAGAA >Manes.12G146300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35333038:35338338:1 gene:Manes.12G146300.v8.1 transcript:Manes.12G146300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRIEKPKAETPINENEIRITTQGRMRNYITYATTLLQEKGSNEIILKAMGRAINKTVMIAELIKRRIVGLHQNTAIESTDITDMWEPLEEGLLPLETTRHVSMITITFSKKELDTSSTGYQPPLPVDQVKPLNEFEDEGEGSPIIRGRGRGSWGRGRGRGNYNVGDYNGDGWDGGRGYGGRGRGRGRGRFFRGRGRGRGRGFGGQSSGYYDYGEFDALPAPGRGRGRGRGRGRGRGRTFRSEGPDQAGAA >Manes.12G146300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35333038:35338338:1 gene:Manes.12G146300.v8.1 transcript:Manes.12G146300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRIEKPKAETPINENEIRITTQGRMRNYITYATTLLQEKGSNEIILKAMGRAINKTVMIAELIKRRIVGLHQNTAIESTDITDMWEPLEEGLLPLETTRHVSMITITFSKKELDTSSTGYQPPLPVDQVKPLNEFEDEGEGSPIIRGRGRGSWGRGRGRGNYNVGDYNGDGWDGGRGYGGRGRGRGRGRFFRGRGRGRGRGFGGQSSGYYDYGEFDALPAPGRGFAGRGRGRGRGRGRGRTFRSEGPDQAGAA >Manes.07G057600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6602788:6602982:1 gene:Manes.07G057600.v8.1 transcript:Manes.07G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLENLMQSIKSKVRALKKSKKPYIKMDKSSSVKVEIRSRKARQLIDKTLKVADRPGKRSVS >Manes.01G148000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33765990:33770453:-1 gene:Manes.01G148000.v8.1 transcript:Manes.01G148000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESIFPCVSLFLYYVAQFTSATVVLKSFFFSFPDLPAKFAAGVNRSGVCGALHVANPLDACSSLHNFFGSNETEQVKIALIVRGECPLENKILNAQNGGFDAAIVYDDRDKRNLVYMMMNPEGIKVHAVFVSKAAGEILKEHARGEEAECCIYLSHSDTAWTVLAISFLSLLVILAFLMIAFVLPRHWLHWQQMNFHFKSVDVRMLEGLPRFTFNSTHLNCNHSGETCAICLENYKDGEILKILPCQHEFHSSCVDSWLTKWGTSCPVCKFDMKTRFIYSQIKRGI >Manes.07G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14527790:14529642:-1 gene:Manes.07G070200.v8.1 transcript:Manes.07G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNMGLLQLMLLLQLWTGVLTQLAEAVRSLDEEVLTLTAPASSRDYPDPPARRDVYSNGKIHDITHLINPKMPKWGSPDGMGQVVTIIDDMKKGAVAYTSEMDLPSHTGTHVDAPSHFFEDYFDKGFDTSTLSLKTLNGPALVVDVPRNSNITAEVMKNLQIPQGIHRVLFRTLNSDRKLMYQSEFHSDYVGIMGDGANWIVDNTDIKLVGIDYLSIASYTDAVPTHQALLKNREIVIVEGLKLDDVAAGIYDLHCLPLRVLGAEGTPARCILTGL >Manes.11G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5124724:5128426:1 gene:Manes.11G047900.v8.1 transcript:Manes.11G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVAQIACLQCLYYLTLGFFLSILVGTRVSRMSLVYFFDFVAVTTSTITGWCVVTSFLLSSIAGAGFMHYLIERAKKCLDFSATLYIIHLFICIIYGGWPSSLAWWIVNGIGLAVMALLGEYLCIRRELREIPITRYRSNI >Manes.02G018600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1694262:1698754:1 gene:Manes.02G018600.v8.1 transcript:Manes.02G018600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTIQKDSDKIIWDHMRSPSGNSISGPGSQNRSLPKLLVWLILFVSLTYVVYTLKLVATSRACNHEPFSTHYHLSLISNHSQPLIHNHTSSDIHRRGNQETHQPTEIQHIVFGIGASAKLWEQRKNYIRIWFKPQEMRGIVWLDDAVKTRGRDGLPPVKISGDTSRFAYKNRQGHRSAIRISRIVSETLRLGLENVRWFVMGDDDTVFITENLVRVLRKYDHNQFYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALDKMQDRCIQRYPGLYGSDDRMHACMAELGVPLTKETGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVTRVQALQRLTAPMKLDSAGLMQQSICYDKSKSWTISVSWGFAVQVFRGVFSPREMEMPSRTFLNWYKRADYKAYAFNTRPVSRNPCQKPFVFYMSKARFDPSLNLTVSEYARHRVPHPACKWKMADPTNLDVIVVHKKPDPHLWERSPRRNCCRVMNSKKKGSITIDVGVCREGEVSEIR >Manes.02G018600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1694233:1698754:1 gene:Manes.02G018600.v8.1 transcript:Manes.02G018600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTIQKDSDKIIWDHMRSPSGNSISGPGSQNRSLPKLLVWLILFVSLTYVVYTLKLVATSRACNHEPFSTHYHLSLISNHSQPLIHNHTSSDIHRRGNQETHQPTEIQHIVFGIGASAKLWEQRKNYIRIWFKPQEMRGIVWLDDAVKTRGRDGLPPVKISGDTSRFAYKNRQGHRSAIRISRIVSETLRLGLENVRWFVMGDDDTVFITENLVRVLRKYDHNQFYYIGSLSESHLQNIYFSYGMAYGGGGFAISYPLAKALDKMQDRCIQRYPGLYGSDDRMHACMAELGVPLTKETGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNVTRVQALQRLTAPMKLDSAGLMQQSICYDKSKSWTISVSWGFAVQVFRGVFSPREMEMPSRTFLNWYKRADYKAYAFNTRPVSRNPCQKPFVFYMSKARFDPSLNLTVSEYARHRVPHPACKWKMADPTNLDVIVVHKKPDPHLWERSPRRNCCRVMNSKKKGSITIDVGVCREGEVSEIR >Manes.12G134800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34174968:34177713:-1 gene:Manes.12G134800.v8.1 transcript:Manes.12G134800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVVHFANLPIKLLIPTTFNNIKEIALKTIPSASKIEIKRVLESLYGFEVDKVRTLNMEGKKKKIRGLLIAKPDYKKAYVTLRNPLSISPNLFPLKFIEEEKERMNKQRRSSIMEDGEGKKHWLDGKKEERVGYEQGRGRGRAGVRDSMAEKAKFPWSSMRSPSQ >Manes.01G181300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35965255:35965575:1 gene:Manes.01G181300.v8.1 transcript:Manes.01G181300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQEREKDVQTTNSHGVREMVEEQYKKIKEHAETYPYVWASYIVVYGGFALWTTYRWRKLRKTEDRVRALQEKLRKLVDSQDSAISTTTTHEKAPSSIDKSLPK >Manes.13G039200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4751079:4751468:-1 gene:Manes.13G039200.v8.1 transcript:Manes.13G039200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETSYGSFDRVTLKGTNVGAAMTIRHGVPTGEPQDSCCINIYVNNNVQGVNNSILLGSEVKMRDPGVSIFLEDLNLGKKWMESNKLKKKKKKKKTKKEDTALGTQLGLSSIFTALFVLLLLLFLSFS >Manes.03G052400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4854798:4856740:1 gene:Manes.03G052400.v8.1 transcript:Manes.03G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWERAIREDRYKLESKNPSFSSTLLDEIYRSTCEADTNHEDLKFYGETMMPMKHTRGSSVKVSRAVEENKEMEALRRACLIEKWMDSKVTQKVSTQHSRKKLTEFERKLQLEHDLDQDAVFFSSTSISSDSSFGGFSSSDTESCYGARSMASSSFFPTRPKPVRTSVSTRSGKTEKTERKVSTLFHEQTPRVEENIIKSKSRALKIYDNLKKVKQPTSPGGKLANFIHSLFTNGNTKKARGSSSVSNCDEAWKSKPRQAPSTCSSVSSFSRSCLSKSSPSTREKLRNGVKRSVRFYPVSVIVDEDCRPCGHKSLYKEEQSSSFMSVSFPKSWKIGKSPTRKVDDELKYQVIEKTRKVGEVAREFLKDYRQNQKKNDDLIMRNDFCHYNDQFGDDDDEDEDDEDDSSCSSSDLFELDHLSVIGKNKYCEELPVYETTRVNTNRAIANSLIM >Manes.S000981.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:3074:13001:1 gene:Manes.S000981.v8.1 transcript:Manes.S000981.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASQRASKPVRRKEADWRDPLEGCTADRPRSSEKGSSESMPVGEACRCGGLPSPRVLAAAATTNPGARSAKEHETKRGRSRSGRAVLFREPKRLSATDISALASMKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.04G070800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27011943:27014259:1 gene:Manes.04G070800.v8.1 transcript:Manes.04G070800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPNNAEYVVLGEASSPRLDEIQKVSIVPLIFLIFYEVSGGPFGVEDSVKAAGPLLALLGFFLFPFIWSIPEALITAEMSTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALESGFPRIVAVLALTIALTYMNYRGLTIVGRVAILLGVFSLLPFVFMGLVAIPKLKPSRWLVMDLDNVDWGLYLNTLFWNLNYWDSISTLAGEVENPSKTLPKALFYAVILVVSGYLFPILIGTGAVPLDRELWSDGYFSDIAKILGGIWLRTWIQGASALSNMGMFVAEMSSDSFQLLGMAERGMLPEFFGKRSSYGTPVAGIIFSASGVILLSWLSFQEIVAAENFLYCFGMIMEFIAFVKLRIEYPGAPRPYTIPVGTAGAILICIPPTLLILVVLALASLKVMAISIFTVILGLAMQPCLMYAAKKRWFRFSENSNIADIYSTYYERNAP >Manes.04G070800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27011782:27014962:1 gene:Manes.04G070800.v8.1 transcript:Manes.04G070800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPNNAEYVVLGEASSPRLDEIQKVSIVPLIFLIFYEVSGGPFGVEDSVKAAGPLLALLGFFLFPFIWSIPEALITAEMSTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALESGFPRIVAVLALTIALTYMNYRGLTIVGRVAILLGVFSLLPFVFMGLVAIPKLKPSRWLVMDLDNVDWGLYLNTLFWNLNYWDSISTLAGEVENPSKTLPKALFYAVILVVSGYLFPILIGTGAVPLDRELWSDGYFSDIAKILGGIWLRTWIQGASALSNMGMFVAEMSSDSFQLLGMAERGMLPEFFGKRSSYGTPVAGIIFSASGVILLSWLSFQEIVAAENFLYCFGMIMEFIAFVKLRIEYPGAPRPYTIPVGTAGAILICIPPTLLILVVLALASLKVMAISIFTVILGLAMQPCLMYAAKKRWFRFSENSNIADIYSTYYERNAP >Manes.04G070800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27011784:27014962:1 gene:Manes.04G070800.v8.1 transcript:Manes.04G070800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPNNAEYVVLGEASSPRLDEIQKVSIVPLIFLIFYEVSGGPFGVEDSVKAAGPLLALLGFFLFPFIWSIPEALITAEMSTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALESGFPRIVAVLALTIALTYMNYRGLTIVGRVAILLGVFSLLPFVFMGLVAIPKLKPSRWLVMDLDNVDWGLYLNTLFWNLNYWDSISTLAGEVENPSKTLPKALFYAVILVVSGYLFPILIGTGAVPLDRELWSDGYFSDIAKILGGIWLRTWIQGASALSNMGMFVAEMSSDSFQLLGMAERGMLPEFFGKRSSYGTPVAGIIFSASGVILLSWLSFQEIVAAENFLYCFGMIMEFIAFVKLRIEYPGAPRPYTIPVGTAGAILICIPPTLLILVVLALASLKVMAISIFTVILGLAMQPCLMYAAKKRWFRFSENSNIADIYSTYYERNAP >Manes.11G105000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23906936:23915669:1 gene:Manes.11G105000.v8.1 transcript:Manes.11G105000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDAQKIRNICILAHVDHGKTTLADHLIAATGGGLLHPKMAGKLRFMDYLDEEQRRAITMKSSSIALHYKDYSINLIDSPGHMDFCCEVSTAARLSDGALILVDAVEGVHIQTHAVLRQSWIEKLTPCLVLNKIDRLIYELKLSPVEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSLLAGPSGEVDYENLEFIEDDEEDTFQPQKGNVAFVCALDGWGFGISEFAEFYASKLGASSAALQKALWGPRYFNPKSKMIVGKKGVEGGSKARPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELRNKDPKVVLQAVMSRWLPLSDAILSMVVKCMPDPIVAQSFRISRLLPKREVLHDAVDLSIIAEADLVRKSVEICDSSPEAPSVAFVSKMFAVPRKMLPQRGPNGEILNNHSDENGSHESDECFLAFARIFSGVLYSRQRVFVLSALYDPLKVESMQKHVQDAELHSLYLMMGQGLKPVASAKAGDIVAIQGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMTTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGHTANALDNLKSLSRSSDYVEKTTPNGRGVVRVQVMRLPPALTKVLDESVDILGDIIGGRLGQTNKDVEKQGSTIVHDDNSIEGLKKRIMDAVDGEISSWSGTDKDRAEKYKHKWQKFLRRIWALGPRQVGPNILFTPDLKSKSNDFSVLVRGSPHVSERLGLVDNSSDGDMPADPSSEATKILEIEAESLQNSIVSGFQLATAAGPLCDEPMWGVAFLVEVYISPLAEQSDESDINQQSEQHGIFTGQVMTAVKDACRAAVLQNKPRLVEAMYFCELNTRTEYLGSMYAVLNRKRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEVLPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKIVQHATKQRTLARKV >Manes.11G105000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23906974:23915669:1 gene:Manes.11G105000.v8.1 transcript:Manes.11G105000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDAQKIRNICILAHVDHGKTTLADHLIAATGGGLLHPKMAGKLRFMDYLDEEQRRAITMKSSSIALHYKDYSINLIDSPGHMDFCCEVSTAARLSDGALILVDAVEGVHIQTHAVLRQSWIEKLTPCLVLNKIDRLIYELKLSPVEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSLLAGPSGEVDYENLEFIEDDEEDTFQPQKGNVAFVCALDGWGFGISEFAEFYASKLGASSAALQKALWGPRYFNPKSKMIVGKKGVEGGSKARPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELRNKDPKVVLQAVMSRWLPLSDAILSMVVKCMPDPIVAQSFRISRLLPKREVLHDAVDLSIIAEADLVRKSVEICDSSPEAPSVAFVSKMFAVPRKMLPQRGPNGEILNNHSDENGSHESDECFLAFARIFSGVLYSRQRVFVLSALYDPLKVESMQKHVQDAELHSLYLMMGQGLKPVASAKAGDIVAIQGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMTTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGHTANALDNLKSLSRSSDYVEKTTPNGRGVVRVQVMRLPPALTKVLDESVDILGDIIGGRLGQTNKDVEKQGSTIVHDDNSIEGLKKRIMDAVDGEISSWSGTDKDRAEKYKHKWQKFLRRIWALGPRQVGPNILFTPDLKSKSNDFSVLVRGSPHVSERLGLVDNSSDGDMPADPSSEATKILEIEAESLQNSIVSGFQLATAAGPLCDEPMWGVAFLVEVYISPLAEQSDESDINQQSEQHGIFTGQVMTAVKDACRAAVLQNKPRLVEAMYFCELNTRTEYLGSMYAVLNRKRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEVLPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKIVQHATKQRTLARKV >Manes.11G105000.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23906974:23913442:1 gene:Manes.11G105000.v8.1 transcript:Manes.11G105000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDAQKIRNICILAHVDHGKTTLADHLIAATGGGLLHPKMAGKLRFMDYLDEEQRRAITMKSSSIALHYKDYSINLIDSPGHMDFCCEVSTAARLSDGALILVDAVEGVHIQTHAVLRQSWIEKLTPCLVLNKIDRLIYELKLSPVEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSLLAGPSGEVDYENLEFIEDDEEDTFQPQKGNVAFVCALDGWGFGISEFAEFYASKLGASSAALQKALWGPRYFNPKSKMIVGKKGVEGGSKARPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELRNKDPKVVLQAVMSRWLPLSDAILSMVVKCMPDPIVAQSFRISRLLPKREVLHDAVDLSIIAEADLVRKSVEICDSSPEAPSVAFVSKMFAVPRKMLPQRGPNGEILNNHSDENGSHESDECFLAFARIFSGVLYSRQRVFVLSALYDPLKVESMQKHVQDAELHSLYLMMGQGLKPVASAKAGDIVAIQGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMTTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGHTANALDNLKSLSRSSDYVEKTTPNGRGVVRVQVMRLPPALTKVLDESVDILGDIIGGRLGQTNKDVEKQGSTIVHDDNSIEGLKKRIMDAVDGEISSWSGTDKDRAEKYKHKWQKFLRRIWALGPRQVGPNILFTPDLKSKSNDFSVLVRGSPHVSERLGLVDNSSDGDMPADPSSEATKILEIEAESLQNSIVSGFQLATAAGPLCDEPMWGVAFLVEVYISPLAEQSDESDINQQSEQHGIFTGQVMTAVKDACRAAVLQNKPRLVEAMYFCELNTRTEYLGSMYAVLNRKRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEVLPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKIVQHATKQRTLARKV >Manes.11G105000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23906974:23915669:1 gene:Manes.11G105000.v8.1 transcript:Manes.11G105000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDAQKIRNICILAHVDHGKTTLADHLIAATGGGLLHPKMAGKLRFMDYLDEEQRRAITMKSSSIALHYKDYSINLIDSPGHMDFCCEVSTAARLSDGALILVDAVEGVHIQTHAVLRQSWIEKLTPCLVLNKIDRLIYELKLSPVEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSLLAGPSGEVDYENLEFIEDDEEDTFQPQKGNVAFVCALDGWGFGISEFAEFYASKLGASSAALQKALWGPRYFNPKSKMIVGKKGVEGGSKARPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELRNKDPKVVLQAVMSRWLPLSDAILSMVVKCMPDPIVAQSFRISRLLPKREVLHDAVDLSIIAEADLVRKSVEICDSSPEAPSVAFVSKMFAVPRKMLPQRGPNGEILNNHSDENGSHESDECFLAFARIFSGVLYSRQRVFVLSALYDPLKVESMQKHVQDAELHSLYLMMGQGLKPVASAKAGDIVAIQGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMTTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGHTANALDNLKSLSRSSDYVEKTTPNGRGVVRVQVMRLPPALTKVLDESVDILGDIIGGRLGQTNKDVEKQGSTIVHDDNSIEGLKKRIMDAVDGEISSWSGTDKDRAEKYKHKWQKFLRRIWALGPRQVGPNILFTPDLKSKSNDFSVLVRGSPHVSERLGLVDNSSDGDMPADPSSEATKILEIEAESLQNSIVSGFQLATAAGPLCDEPMWGVAFLVEVYISPLAEQSDESDINQQSEQHGIFTGQVMTAVKDACRAAVLQNKPRLVEAMYFCELNTRTEYLGSMYAVLNRKRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEVLPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKIVQHATKQRTLARKV >Manes.11G105000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23906974:23915669:1 gene:Manes.11G105000.v8.1 transcript:Manes.11G105000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDAQKIRNICILAHVDHGKTTLADHLIAATGGGLLHPKMAGKLRFMDYLDEEQRRAITMKSSSIALHYKDYSINLIDSPGHMDFCCEVSTAARLSDGALILVDAVEGVHIQTHAVLRQSWIEKLTPCLVLNKIDRLIYELKLSPVEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSLLAGPSGEVDYENLEFIEDDEEDTFQPQKGNVAFVCALDGWGFGISEFAEFYASKLGASSAALQKALWGPRYFNPKSKMIVGKKGVEGGSKARPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELRNKDPKVVLQAVMSRWLPLSDAILSMVVKCMPDPIVAQSFRISRLLPKREVLHDAVDLSIIAEADLVRKSVEICDSSPEAPSVAFVSKMFAVPRKMLPQRGPNGEILNNHSDENGSHESDECFLAFARIFSGVLYSRQRVFVLSALYDPLKVESMQKHVQDAELHSLYLMMGQGLKPVASAKAGDIVAIQGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMTTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGHTANALDNLKSLSRSSDYVEKTTPNGRGVVRVQVMRLPPALTKVLDESVDILGDIIGGRLGQTNKDVEKQGSTIVHDDNSIEGLKKRIMDAVDGEISSWSGTDKDRAEKYKHKWQKFLRRIWALGPRQVGPNILFTPDLKSKSNDFSVLVRGSPHVSERLGLVDNSSDGDMPADPSSEATKILEIEAESLQNSIVSGFQLATAAGPLCDEPMWGVAFLVEVYISPLAEQSDESDINQQSEQHGIFTGQVMTAVKDACRAAVLQNKPRLVEAMYFCELNTRTEYLGSMYAVLNRKRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEVLPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKIVQHATKQRTLARKV >Manes.11G105000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23906974:23915669:1 gene:Manes.11G105000.v8.1 transcript:Manes.11G105000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDAQKIRNICILAHVDHGKTTLADHLIAATGGGLLHPKMAGKLRFMDYLDEEQRRAITMKSSSIALHYKDYSINLIDSPGHMDFCCEVSTAARLSDGALILVDAVEGVHIQTHAVLRQSWIEKLTPCLVLNKIDRLIYELKLSPVEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSLLAGPSGEVDYENLEFIEDDEEDTFQPQKGNVAFVCALDGWGFGISEFAEFYASKLGASSAALQKALWGPRYFNPKSKMIVGKKGVEGGSKARPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELRNKDPKVVLQAVMSRWLPLSDAILSMVVKCMPDPIVAQSFRISRLLPKREVLHDAVDLSIIAEADLVRKSVEICDSSPEAPSVAFVSKMFAVPRKMLPQRGPNGEILNNHSDENGSHESDECFLAFARIFSGVLYSRQRVFVLSALYDPLKVESMQKHVQDAELHSLYLMMGQGLKPVASAKAGDIVAIQGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMTTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGHTANALDNLKSLSRSSDYVEKTTPNGRGVVRVQVMRLPPALTKVLDESVDILGDIIGGRLGQTNKDVEKQGSTIVHDDNSIEGLKKRIMDAVDGEISSWSGTDKDRAEKYKHKWQKFLRRIWALGPRQVGPNILFTPDLKSKSNDFSVLVRGSPHVSERLGLVDNSSDGDMPADPSSEATKILEIEAESLQNSIVSGFQLATAAGPLCDEPMWGVAFLVEVYISPLAEQSDESDINQQSEQHGIFTGQVMTAVKDACRAAVLQNKPRLVEAMYFCELNTRTEYLGSMYAVLNRKRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEVLPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKIVQHATKQRTLARKV >Manes.11G105000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23906936:23915669:1 gene:Manes.11G105000.v8.1 transcript:Manes.11G105000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDAQKIRNICILAHVDHGKTTLADHLIAATGGGLLHPKMAGKLRFMDYLDEEQRRAITMKSSSIALHYKDYSINLIDSPGHMDFCCEVSTAARLSDGALILVDAVEGVHIQTHAVLRQSWIEKLTPCLVLNKIDRLIYELKLSPVEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSLLAGPSGEVDYENLEFIEDDEEDTFQPQKGNVAFVCALDGWGFGISEFAEFYASKLGASSAALQKALWGPRYFNPKSKMIVGKKGVEGGSKARPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELRNKDPKVVLQAVMSRWLPLSDAILSMVVKCMPDPIVAQSFRISRLLPKREVLHDAVDLSIIAEADLVRKSVEICDSSPEAPSVAFVSKMFAVPRKMLPQRGPNGEILNNHSDENGSHESDECFLAFARIFSGVLYSRQRVFVLSALYDPLKVESMQKHVQDAELHSLYLMMGQGLKPVASAKAGDIVAIQGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMTTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGHTANALDNLKSLSRSSDYVEKTTPNGRGVVRVQVMRLPPALTKVLDESVDILGDIIGGRLGQTNKDVEKQGSTIVHDDNSIEGLKKRIMDAVDGEISSWSGTDKDRAEKYKHKWQKFLRRIWALGPRQVGPNILFTPDLKSKSNDFSVLVRGSPHVSERLGLVDNSSDGDMPADPSSEATKILEIEAESLQNSIVSGFQLATAAGPLCDEPMWGVAFLVEVYISPLAEQSDESDINQQSEQHGIFTGQVMTAVKDACRAAVLQNKPRLVEAMYFCELNTRTEYLGSMYAVLNRKRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEVLPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKIVQHATKQRTLARKV >Manes.11G105000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23906974:23915669:1 gene:Manes.11G105000.v8.1 transcript:Manes.11G105000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDAQKIRNICILAHVDHGKTTLADHLIAATGGGLLHPKMAGKLRFMDYLDEEQRRAITMKSSSIALHYKDYSINLIDSPGHMDFCCEVSTAARLSDGALILVDAVEGVHIQTHAVLRQSWIEKLTPCLVLNKIDRLIYELKLSPVEAYNRLLRIVHEVNGIMSTYKSEKYLSDVDSLLAGPSGEVDYENLEFIEDDEEDTFQPQKGNVAFVCALDGWGFGISEFAEFYASKLGASSAALQKALWGPRYFNPKSKMIVGKKGVEGGSKARPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELRNKDPKVVLQAVMSRWLPLSDAILSMVVKCMPDPIVAQSFRISRLLPKREVLHDAVDLSIIAEADLVRKSVEICDSSPEAPSVAFVSKMFAVPRKMLPQRGPNGEILNNHSDENGSHESDECFLAFARIFSGVLYSRQRVFVLSALYDPLKVESMQKHVQDAELHSLYLMMGQGLKPVASAKAGDIVAIQGLGQHILKSATLSSTRNCWPFSSMAFQVSPTLRVAIEPSDPADMTTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGHTANALDNLKSLSRSSDYVEKTTPNGRGVVRVQVMRLPPALTKVLDESVDILGDIIGGRLGQTNKDVEKQGSTIVHDDNSIEGLKKRIMDAVDGEISSWSGTDKDRAEKYKHKWQKFLRRIWALGPRQVGPNILFTPDLKSKSNDFSVLVRGSPHVSERLGLVDNSSDGDMPADPSSEATKILEIEAESLQNSIVSGFQLATAAGPLCDEPMWGVAFLVEVYISPLAEQSDESDINQQSEQHGIFTGQVMTAVKDACRAAVLQNKPRLVEAMYFCELNTRTEYLGSMYAVLNRKRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGAASALLVLSHWEVLPEDPFFVPKTEEEIEEFGDGSSVLPNTARKLINAVRRRKGLPVEEKIVQHATKQRTLARKV >Manes.13G069700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9339192:9360008:1 gene:Manes.13G069700.v8.1 transcript:Manes.13G069700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFQSLFLGVPLSSSLKGQIHANSLYLGRGPLSRRTFRKCMCTKKHNEWITQAIRFSNFCGKYVVFLRNAIGSRSELKVECVKEPFSQSRALVRSLSPLWKEGLLLVRGSVFVAVISGVCLLVWYGQNKAKGYIESKLLPSICSALSDYIQREIDFGKVRRVSPLSITLESCSIGPHGEEFSCGEVPTMKLRLCPFASLRRGKIVIDAVLSHPSVVVVQKKDYTWLGIPASEGSLQRHLSTEEGIDYRTKTRRVAREELAACWERQRDNDAKEAAERGYIIPERDSSLSEDEVWQEDAIQLTNLTNYKSFSCMDEKMRWRDHHCMDTGPAYNMKHADLEKAFGVKFPGSGLKFWSSVITGPKKLKFKRRSNGCDNSAAGINAKRRILERSASRAIAYFRGLANEEFDEPSQSSDGYDIMSLDTLLVQIQRDNNADVSVDVSSVEERLPADNQHGEPDENLGIQPLTRSKHLLSRTYGFSLIRDPFLKTLDILTEAAKVGENFPSSTNVVRDAKINGVNGEYLSVDVVNRDMDAHTSEINNYTSGKPHSEPAMVYPVSSSSLTLNSGLSSFSRNIRRSFSYFLAGPIQKLKSGLGPKVEDIVAELVDGVDVVPSEGIEKMLPVSLDSVHFKGGTLMLLAYGDREPREMENVNGHLKFQNHYGRVYVQLSGNCKMWRSDAISEDGGWLSADVFVDILEQKWHANLKIAKLFAPLFERILEIPIAWSKGRATGEVHICMSRGETFPNLHGQLDVTGLAFQIFDAPSSFSDISASLCFRGQRIFLHNTSGWFGNIPLEASGDFGIHPEEGEFHLMCQVPSVEVNSLMKTFKMRPLLFPLAGSVTAVFNCQGPLDAPIFVGSGMVSRKISHSVSDVPVSTAYEAMLRSKDAGAVAAVDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDSAMDVNFSGNFSFDRIMHRYIPGYLQLMPLKLGDLTGETKLSGSLLKPRFDIKWVAPKAEGSFTDARGDIVISHDYITINSSSVAFELYTKVQTTYPDEYWLDRKEFDANYGIPFTVDGIELDLRMRGFEFFSLVSSYPFDSPRPTHLKATGKVKFQGKVLKPSSIANEKDLPCDKKVSHEQIEGNKESLVGEVSVSGLRLNQLMLAPQLVGQLSISRDHIKLDAMGRPDESLAVELVVPLQPSCEENSQNEKLSSFSLQKGQLRVNASFRPLHSATLEVRHLPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLRPKFSGVLGESLDVAARWSGDVITVEKTVLEQTSSRYELQGEYVLPGTRDRNLAGKERGDLFKRAMTGQLGTVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSVALYSESLQDLLEVIREHCTASNEVILEDISLPGLAELKGRWHGSLDASGGGNGDTMVDFDFHGEDWEWGTYETQRVLAVGAYSNNDGLRLERIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVIESSASDTVHSLRQLLAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPINFVQNNSFEEEDTETDKTGATWVPGWVKERNSDPSDETSEKKFLRDRNEESWNSQLAESLKVLNWNFLDAGEVRIDADIKDGGMMMLTALSPYVNWLHGNADVMLQVRGTVEQPVLDGFASFHRASINSPVLRKPFTNFGGTVHVKSNRLCITSLESRVSRRGKLFIKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQVTGSILQPNLSGNIKLSHGEAYLPHDKGSGGAAFNRLASSQSRLPGRGLNRAVASRYVSRFFSSEPDASRTKFPQTTVKSTEAEKELEQFSIKPNVDIRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGVLTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDALTPSEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPSVDPLKSLANNISFGTEVEVQLGKHLQASIVRQMKDSEMAMQWTLIYHLTSRLRVLLQSAPSKRLLFEYSATSQD >Manes.13G069700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9339192:9360008:1 gene:Manes.13G069700.v8.1 transcript:Manes.13G069700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVSLDSVHFKGGTLMLLAYGDREPREMENVNGHLKFQNHYGRVYVQLSGNCKMWRSDAISEDGGWLSADVFVDILEQKWHANLKIAKLFAPLFERILEIPIAWSKGRATGEVHICMSRGETFPNLHGQLDVTGLAFQIFDAPSSFSDISASLCFRGQRIFLHNTSGWFGNIPLEASGDFGIHPEEGEFHLMCQVPSVEVNSLMKTFKMRPLLFPLAGSVTAVFNCQGPLDAPIFVGSGMVSRKISHSVSDVPVSTAYEAMLRSKDAGAVAAVDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDSAMDVNFSGNFSFDRIMHRYIPGYLQLMPLKLGDLTGETKLSGSLLKPRFDIKWVAPKAEGSFTDARGDIVISHDYITINSSSVAFELYTKVQTTYPDEYWLDRKEFDANYGIPFTVDGIELDLRMRGFEFFSLVSSYPFDSPRPTHLKATGKVKFQGKVLKPSSIANEKDLPCDKKVSHEQIEGNKESLVGEVSVSGLRLNQLMLAPQLVGQLSISRDHIKLDAMGRPDESLAVELVVPLQPSCEENSQNEKLSSFSLQKGQLRVNASFRPLHSATLEVRHLPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLRPKFSGVLGESLDVAARWSGDVITVEKTVLEQTSSRYELQGEYVLPGTRDRNLAGKERGDLFKRAMTGQLGTVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSVALYSESLQDLLEVIREHCTASNEVILEDISLPGLAELKGRWHGSLDASGGGNGDTMVDFDFHGEDWEWGTYETQRVLAVGAYSNNDGLRLERIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVIESSASDTVHSLRQLLAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPINFVQNNSFEEEDTETDKTGATWVPGWVKERNSDPSDETSEKKFLRDRNEESWNSQLAESLKVLNWNFLDAGEVRIDADIKDGGMMMLTALSPYVNWLHGNADVMLQVRGTVEQPVLDGFASFHRASINSPVLRKPFTNFGGTVHVKSNRLCITSLESRVSRRGKLFIKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQVTGSILQPNLSGNIKLSHGEAYLPHDKGSGGAAFNRLASSQSRLPGRGLNRAVASRYVSRFFSSEPDASRTKFPQTTVKSTEAEKELEQFSIKPNVDIRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGVLTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDALTPSEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPSVDPLKSLANNISFGTEVEVQLGKHLQASIVRQMKDSEMAMQWTLIYHLTSRLRVLLQSAPSKRLLFEYSATSQD >Manes.13G069700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9339192:9360008:1 gene:Manes.13G069700.v8.1 transcript:Manes.13G069700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFQSLFLGVPLSSSLKGQIHANSLYLGRGPLSRRTFRKCMCTKKHNEWITQAIRFSNFCGKYVVFLRNAIGSRSELKVECVKEPFSQSRALVRSLSPLWKEGLLLVRGSVFVAVISGVCLLVWYGQNKAKGYIESKLLPSICSALSDYIQREIDFGKVRRVSPLSITLESCSIGPHGEEFSCGEVPTMKLRLCPFASLRRGKIVIDAVLSHPSVVVVQKKDYTWLGIPASEGSLQRHLSTEEGIDYRTKTRRVAREELAACWERQRDNDAKEAAERGYIIPERDSSLSEDEVWQEDAIQLTNLTNYKSFSCMDEKMRWRDHHCMDTGPAYNMKHADLEKAFGVKFPGSGLKFWSSVITGPKKLKFKRRSNGCDNSAAGINAKRRILERSASRAIAYFRGLANEEFDEPSQSSDGYDIMSLDTLLVQIQRDNNADVSVDVSSVEERLPADNQHGEPDENLGIQPLTRSKHLLSRTYGFSLIRDPFLKTLDILTEAAKVGENFPSSTNVVRDAKINGVNGEYLSVDVVNRDMDAHTSEINNYTSGKPHSEPAMVYPVSSSSLTLNSGLSSFSRNIRRSFSYFLAGPIQKLKSGLGPKVEDIVAELVDGVDVVPSEGIEKMLPVSLDSVHFKGGTLMLLAYGDREPREMENVNGHLKFQNHYGRVYVQLSGNCKMWRSDAISEDGGWLSADVFVDILEQKWHANLKIAKLFAPLFERILEIPIAWSKGRATGEVHICMSRGETFPNLHGQLDVTGLAFQIFDAPSSFSDISASLCFRGQRIFLHNTSGWFGNIPLEASGDFGIHPEEGEFHLMCQVPSVEVNSLMKTFKMRPLLFPLAGSVTAVFNCQGPLDAPIFVGSGMVSRKISHSVSDVPVSTAYEAMLRSKDAGAVAAVDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDSAMDVNFSGNFSFDRIMHRYIPGYLQLMPLKLGDLTGETKLSGSLLKPRFDIKWVAPKAEGSFTDARGDIVISHDYITINSSSVAFELYTKVQTTYPDEYWLDRKEFDANYGIPFTVDGIELDLRMRGFEFFSLVSSYPFDSPRPTHLKATGKVKFQGKVLKPSSIANEKDLPCDKKVSHEQIEGNKESLVGEVSVSGLRLNQLMLAPQLVGQLSISRDHIKLDAMGRPDESLAVELVVPLQPSCEENSQNEKLSSFSLQKGQLRVNASFRPLHSATLEVRHLPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLRPKFSGVLGESLDVAARWSGDVITVEKTVLEQTSSRYELQGEYVLPGTRDRNLAGKERGDLFKRAMTGQLGTVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSVALYSESLQDLLEVIREHCTASNEVILEDISLPGLAELKGRWHGSLDASGGGNGDTMVDFDFHGEDWEWGTYETQRVLAVGAYSNNDGLRLERIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVIESSASDTVHSLRQLLAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPINFVQNNSFEEEDTETDKTGATWVPGWVKERNSDPSDETSEKKFLRDRNEESWNSQLAESLKVLNWNFLDAGEVRIDADIKDGGMMMLTALSPYVNWLHGNADVMLQVRGTVEQPVLDGFASFHRASINSPVLRKPFTNFGGTVHVKSNRLCITSLESRVSRRGKLFIKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQVTGSILQPNLSGNIKLSHGEAYLPHDKGSGGAAFNRLASSQSRLPGRGLNRAVASRYVSRFFSSEPDASRTKFPQTTVKSTEAEKELEQFSIKPNVDIRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGVLTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDALTPSEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPSVDPLKSLANNISFGTEVEVQLGKHLQASIVRQMKDSEMAMQWTLIYHLTSRLRVLLQSAPSKRLLFEYSATSQD >Manes.13G069700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9339192:9360008:1 gene:Manes.13G069700.v8.1 transcript:Manes.13G069700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFQSLFLGVPLSSSLKGQIHANSLYLGRGPLSRRTFRKCMCTKKHNEWITQAIRFSNFCGKYVVFLRNAIGSRSELKVECVKEPFSQSRALVRSLSPLWKEGLLLVRGSVFVAVISGVCLLVWYGQNKAKGYIESKLLPSICSALSDYIQREIDFGKVRRVSPLSITLESCSIGPHGEEFSCGEVPTMKLRLCPFASLRRGKIVIDAVLSHPSVVVVQKKDYTWLGIPASEGSLQRHLSTEEGIDYRTKTRRVAREELAACWERQRDNDAKEAAERGYIIPERDSSLSEDEVWQEDAIQLTNLTNYKSFSCMDEKMRWRDHHCMDTGPAYNMKHADLEKAFGVKFPGSGLKFWSSVITGPKKLKFKRRSNGCDNSAAGINAKRRILERSASRAIAYFRGLANEEFDEPSQSSDGYDIMSLDTLLVQIQRDNNADVSVDVSSVEERLPADNQHGEPDENLGIQPLTRSKHLLSRTYGFSLIRDPFLKTLDILTEAAKVGENFPSSTNVVRDAKINGVNVSSSSLTLNSGLSSFSRNIRRSFSYFLAGPIQKLKSGLGPKVEDIVAELVDGVDVVPSEGIEKMLPVSLDSVHFKGGTLMLLAYGDREPREMENVNGHLKFQNHYGRVYVQLSGNCKMWRSDAISEDGGWLSADVFVDILEQKWHANLKIAKLFAPLFERILEIPIAWSKGRATGEVHICMSRGETFPNLHGQLDVTGLAFQIFDAPSSFSDISASLCFRGQRIFLHNTSGWFGNIPLEASGDFGIHPEEGEFHLMCQVPSVEVNSLMKTFKMRPLLFPLAGSVTAVFNCQGPLDAPIFVGSGMVSRKISHSVSDVPVSTAYEAMLRSKDAGAVAAVDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDSAMDVNFSGNFSFDRIMHRYIPGYLQLMPLKLGDLTGETKLSGSLLKPRFDIKWVAPKAEGSFTDARGDIVISHDYITINSSSVAFELYTKVQTTYPDEYWLDRKEFDANYGIPFTVDGIELDLRMRGFEFFSLVSSYPFDSPRPTHLKATGKVKFQGKVLKPSSIANEKDLPCDKKVSHEQIEGNKESLVGEVSVSGLRLNQLMLAPQLVGQLSISRDHIKLDAMGRPDESLAVELVVPLQPSCEENSQNEKLSSFSLQKGQLRVNASFRPLHSATLEVRHLPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLRPKFSGVLGESLDVAARWSGDVITVEKTVLEQTSSRYELQGEYVLPGTRDRNLAGKERGDLFKRAMTGQLGTVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSVALYSESLQDLLEVIREHCTASNEVILEDISLPGLAELKGRWHGSLDASGGGNGDTMVDFDFHGEDWEWGTYETQRVLAVGAYSNNDGLRLERIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVIESSASDTVHSLRQLLAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPINFVQNNSFEEEDTETDKTGATWVPGWVKERNSDPSDETSEKKFLRDRNEESWNSQLAESLKVLNWNFLDAGEVRIDADIKDGGMMMLTALSPYVNWLHGNADVMLQVRGTVEQPVLDGFASFHRASINSPVLRKPFTNFGGTVHVKSNRLCITSLESRVSRRGKLFIKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQVTGSILQPNLSGNIKLSHGEAYLPHDKGSGGAAFNRLASSQSRLPGRGLNRAVASRYVSRFFSSEPDASRTKFPQTTVKSTEAEKELEQFSIKPNVDIRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGVLTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDALTPSEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPSVDPLKSLANNISFGTEVEVQLGKHLQASIVRQMKDSEMAMQWTLIYHLTSRLRVLLQSAPSKRLLFEYSATSQD >Manes.13G069700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9339192:9360008:1 gene:Manes.13G069700.v8.1 transcript:Manes.13G069700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVSLDSVHFKGGTLMLLAYGDREPREMENVNGHLKFQNHYGRVYVQLSGNCKMWRSDAISEDGGWLSADVFVDILEQKWHANLKIAKLFAPLFERILEIPIAWSKGRATGEVHICMSRGETFPNLHGQLDVTGLAFQIFDAPSSFSDISASLCFRGQRIFLHNTSGWFGNIPLEASGDFGIHPEEGEFHLMCQVPSVEVNSLMKTFKMRPLLFPLAGSVTAVFNCQGPLDAPIFVGSGMVSRKISHSVSDVPVSTAYEAMLRSKDAGAVAAVDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDSAMDVNFSGNFSFDRIMHRYIPGYLQLMPLKLGDLTGETKLSGSLLKPRFDIKWVAPKAEGSFTDARGDIVISHDYITINSSSVAFELYTKVQTTYPDEYWLDRKEFDANYGIPFTVDGIELDLRMRGFEFFSLVSSYPFDSPRPTHLKATGKVKFQGKVLKPSSIANEKDLPCDKKVSHEQIEGNKESLVGEVSVSGLRLNQLMLAPQLVGQLSISRDHIKLDAMGRPDESLAVELVVPLQPSCEENSQNEKLSSFSLQKGQLRVNASFRPLHSATLEVRHLPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLRPKFSGVLGESLDVAARWSGDVITVEKTVLEQTSSRYELQGEYVLPGTRDRNLAGKERGDLFKRAMTGQLGTVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSVALYSESLQDLLEVIREHCTASNEVILEDISLPGLAELKGRWHGSLDASGGGNGDTMVDFDFHGEDWEWGTYETQRVLAVGAYSNNDGLRLERIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVIESSASDTVHSLRQLLAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPINFVQNNSFEEEDTETDKTGATWVPGWVKERNSDPSDETSEKKFLRDRNEESWNSQLAESLKVLNWNFLDAGEVRIDADIKDGGMMMLTALSPYVNWLHGNADVMLQVRGTVEQPVLDGFASFHRASINSPVLRKPFTNFGGTVHVKSNRLCITSLESRVSRRGKLFIKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQVTGSILQPNLSGNIKLSHGEAYLPHDKGSGGAAFNRLASSQSRLPGRGLNRAVASRYVSRFFSSEPDASRTKFPQTTVKSTEAEKELEQFSIKPNVDIRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGVLTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDALTPSEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPSVDPLKSLANNISFGTEVEVQLGKHLQASIVRQMKDSEMAMQWTLIYHLTSRLRVLLQSAPSKRLLFEYSATSQD >Manes.13G069700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9339192:9360008:1 gene:Manes.13G069700.v8.1 transcript:Manes.13G069700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFQSLFLGVPLSSSLKGQIHANSLYLGRGPLSRRTFRKCMCTKKHNEWITQAIRFSNFCGKYVVFLRNAIGSRSELKVECVKEPFSQSRALVRSLSPLWKEGLLLVRGSVFVAVISGVCLLVWYGQNKAKGYIESKLLPSICSALSDYIQREIDFGKVRRVSPLSITLESCSIGPHGEEFSCGEVPTMKLRLCPFASLRRGKIVIDAVLSHPSVVVVQKKDYTWLGIPASEGSLQRHLSTEEGIDYRTKTRRVAREELAACWERQRDNDAKEAAERGYIIPERDSSLSEDEVWQEDAIQLTNLTNYKSFSCMDEKMRWRDHHCMDTGPAYNMKHADLEKAFGVKFPGSGLKFWSSVITGPKKLKFKRRSNGCDNSAAGINAKRRILERSASRAIAYFRGLANEEFDEPSQSSDGYDIMSLDTLLVQIQRDNNADVSVDVSSVEERLPADNQHGEPDENLGIQPLTRSKHLLSRTYGFSLIRDPFLKTLDILTEAAKVGENFPSSTNVVRDAKINGVNVSSSSLTLNSGLSSFSRNIRRSFSYFLAGPIQKLKSGLGPKVEDIVAELVDGVDVVPSEGIEKMLPVSLDSVHFKGGTLMLLAYGDREPREMENVNGHLKFQNHYGRVYVQLSGNCKMWRSDAISEDGGWLSADVFVDILEQKWHANLKIAKLFAPLFERILEIPIAWSKGRATGEVHICMSRGETFPNLHGQLDVTGLAFQIFDAPSSFSDISASLCFRGQRIFLHNTSGWFGNIPLEASGDFGIHPEEGEFHLMCQVPSVEVNSLMKTFKMRPLLFPLAGSVTAVFNCQGPLDAPIFVGSGMVSRKISHSVSDVPVSTAYEAMLRSKDAGAVAAVDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDSAMDVNFSGNFSFDRIMHRYIPGYLQLMPLKLGDLTGETKLSGSLLKPRFDIKWVAPKAEGSFTDARGDIVISHDYITINSSSVAFELYTKVQTTYPDEYWLDRKEFDANYGIPFTVDGIELDLRMRGFEFFSLVSSYPFDSPRPTHLKATGKVKFQGKVLKPSSIANEKDLPCDKKVSHEQIEGNKESLVGEVSVSGLRLNQLMLAPQLVGQLSISRDHIKLDAMGRPDESLAVELVVPLQPSCEENSQNEKLSSFSLQKGQLRVNASFRPLHSATLEVRHLPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLRPKFSGVLGESLDVAARWSGDVITVEKTVLEQTSSRYELQGEYVLPGTRDRNLAGKERGDLFKRAMTGQLGTVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSVALYSESLQDLLEVIREHCTASNEVILEDISLPGLAELKGRWHGSLDASGGGNGDTMVDFDFHGEDWEWGTYETQRVLAVGAYSNNDGLRLERIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVIESSASDTVHSLRQLLAPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIDLGRAEIVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPINFVQNNSFEEEDTETDKTGATWVPGWVKERNSDPSDETSEKKFLRDRNEESWNSQLAESLKVLNWNFLDAGEVRIDADIKDGGMMMLTALSPYVNWLHGNADVMLQVRGTVEQPVLDGFASFHRASINSPVLRKPFTNFGGTVHVKSNRLCITSLESRVSRRGKLFIKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQVTGSILQPNLSGNIKLSHGEAYLPHDKGSGGAAFNRLASSQSRLPGRGLNRAVASRYVSRFFSSEPDASRTKFPQTTVKSTEAEKELEQFSIKPNVDIRLSDLKLVLGPELRIVYPLILNFAVSGELELNGLAHPKWIKPKGVLTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDALTPSEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPSVDPLKSLANNISFGTEVEVQLGKHLQASIVRQMKDSEMAMQWTLIYHLTSRLRVLLQSAPSKRLLFEYSATSQD >Manes.12G075800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:8707056:8707995:-1 gene:Manes.12G075800.v8.1 transcript:Manes.12G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSCRGLKICCGVTAIFLIIFAVIFTSLALTIFKPKDPKIIAHSFGLNNFESGNFTANVTLSLVITIENPNYGSFMFRNSTGYVNYHEDLVAEIPIDGCFVPSHSTVNIPTSGALMEDKLLENPYLLPDIMAGSLNFTSSAVIYGKVSVLKILKLHATAYISCDISIFVPSQDIESTCNSKFKL >Manes.18G047700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4152638:4156481:-1 gene:Manes.18G047700.v8.1 transcript:Manes.18G047700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCILFILLLQIGSGIAASHFTVKYIPGFQGPLPFELQTGYIGVDESEDVQLFYYFIKSQRNPKEDPILLWLTGGPGCSALSALLYEIGPVTFEVVEYNGSLPTLVLNPHSWTQVASIIFMDLPAGTGFSYAKTELASHSTDLIQVRQADQFLRKWLVDHPEFLSNPVYIAGDSYSGITIPAITQQLLHGNEEGMDPPINVKGYIVGNGATDPSFDANSKIPFAHGMGLISDELYEALKRSCGEEYVNIDPNNTECLKHMQDFNEGLYGIFPNHILEPICGFASPKPFQIFGTGFLGDNSQDILQIDPFVPTIGCRSYAYLLSSIWTDDKNVRKALHIREGTVTKWQRCNYGISYTVDIPSSLKYHLSLSKKGYRSLIYSGDHDMVVPFLGTQAWIRSLNYSILDDWRPWIVDWQIAGYTRTYSNRMTFATVKVIKFSIS >Manes.18G047700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4152638:4156424:-1 gene:Manes.18G047700.v8.1 transcript:Manes.18G047700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCILFILLLQIGSGIAASHFTVKYIPGFQGPLPFELQTGYIGVDESEDVQLFYYFIKSQRNPKEDPILLWLTGGPGCSALSALLYEIGPVTFEVVEYNGSLPTLVLNPHSWTQVASIIFMDLPAGTGFSYAKTELASHSTDLIQVRQADQFLRKWLVDHPEFLSNPVYIAGDSYSGITIPAITQQLLHGNEEGMDPPINVKGYIVGNGATDPSFDANSKIPFAHGMGLISDELYEALKRSCGEEYVNIDPNNTECLKHMQDFNEGLYGIFPNHILEPICGFASPKPFQIFGTGFLGDNSQDILQIDPFVPTIGCRSYAYLLSSIWTDDKNVRKALHIREGTVTKWQRCNYGISYTVDIPSSLKYHLSLSKKGYRSLIYSGDHDMVVPFLGTQAWIRSLNYSILDDWRPWIVDWQIAGYTRTYSNRMTFATVKGAGHTAPEYKPAECLAMFKRWINQVPL >Manes.06G102000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23520371:23522351:-1 gene:Manes.06G102000.v8.1 transcript:Manes.06G102000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAATLIIQYPSGKVDKFYWPVSAAEIMKMNPGHYVALLLSTTLYPTHKNDECPSNAASTTTTTTTTTTTTTNNNNSNNNSLRVTRIKLLKPTDTLVLGHVYRLITTQEVMKGLMAKKQAKLKKNQPESAGKPERMREMQYSGLDIEVKRDHQVTKNERNRPRTATTTNSASAAARSRTWQPSLRSISEAGS >Manes.02G072500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5551048:5554777:-1 gene:Manes.02G072500.v8.1 transcript:Manes.02G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPAPFVSPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPINVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYEDEQLREEPPPKVLIDKVQRNILSDKPRVTKFPINFYPENAESAEEPSLHDQPAETDGNEEQLHPSSDHFSDKEGS >Manes.02G072500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5551269:5554777:-1 gene:Manes.02G072500.v8.1 transcript:Manes.02G072500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVTVLDNPAPFVSPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPINVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYEDEQLREEPPPKVLIDKVQRNILSDKPRVTKFPINFYPENAESAEEPSLHDQPAETDGNEEQLHPSSDHFSDKEGS >Manes.01G069400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27067585:27068830:-1 gene:Manes.01G069400.v8.1 transcript:Manes.01G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.01G033500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6498790:6505152:-1 gene:Manes.01G033500.v8.1 transcript:Manes.01G033500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKKQKVPEWTEAYMDYNGLKRILRGILQYKQSRQPSTPLRALQRRLKTAEFPSKGDIEEQVIDVNASQEDGCKESYKTEFLRKSEEGGDIEVMFFEKLDEELNKVNSFYKDKVEEMMHEASLLNRQMDVLIALRIRLQKHDFQGAHAEPSCSNDIVASNPLRDINSGQCTGRGCVQSTIGEDTSNDSDLEQSPGNLEVSEVHTANSDSSSKQKENDCEQDPLKILEHVKINNTFESPLSTIKGVFKDSKDENLSFNKEELRKVEERLKVVFTEFYQKLHLLKHYSYMNLAAVSKIMKKYEKFTARKASRLYMKMVDNSYLGSSDEVSGLLEKVEATFIKHFSNSNRREGMKSLRPKAKREKHSVTFFSGFFSGCSISLLIAVILRIQARKLMQKREGVSYVVNIFPLYSLFGYVVLHMLMYATNIYFWRRYRVNYPFIFGFKQGTELGHREVFMLSNGLALLALASCLANLHLDSGTNAAKYKTITEMVPLGSVSVRWFYSYFSVLLTSYTVPVVSSLSGVCSVVFVHHYTRLPFQIFSWQTTLPVRSRPSEVLNCTFAIMAWGNTLGDKANAITMVSIMPSILLLLLYPTGFASYSVFVDCAKRKMHLMDTTVSNTC >Manes.01G033500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6498790:6505152:-1 gene:Manes.01G033500.v8.1 transcript:Manes.01G033500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHEASLLNRQMDVLIALRIRLQKHDFQGAHAEPSCSNDIVASNPLRDINSGQCTGRGCVQSTIGEDTSNDSDLEQSPGNLEVSEVHTANSDSSSKQKENDCEQDPLKILEHVKINNTFESPLSTIKGVFKDSKDENLSFNKEELRKVEERLKVVFTEFYQKLHLLKHYSYMNLAAVSKIMKKYEKFTARKASRLYMKMVDNSYLGSSDEVSGLLEKVEATFIKHFSNSNRREGMKSLRPKAKREKHSVTFFSGFFSGCSISLLIAVILRIQARKLMQKREGVSYVVNIFPLYSLFGYVVLHMLMYATNIYFWRRYRVNYPFIFGFKQGTELGHREVFMLSNGLALLALASCLANLHLDSGTNAAKYKTITEMVPLGSVSVVLLILFCPFDIIYRSSRFFFIRCLFRCICAPLYKVTLSDFFLADHLASQIQAIRSFELYICYYGLGEHSRRQSKCHNHGVYNALYFTVAVIPYWIRFLQCLRRLCEEKDASHGYNGFKYLLTIVAVVMRTTCELKKGKTWIVLALISSAVAVIMNTYWDIVVDWGLLQKKSKNPFLRDKLVISHKSVYFAAMVLNVLLRLAWMQLALEFNLPNHHKIAASTVIACLEIIRRGIWSFFRLENEHLNNVGKFRAFKSVPLPFNYYDADADKDD >Manes.01G033500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6498790:6505152:-1 gene:Manes.01G033500.v8.1 transcript:Manes.01G033500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKKQKVPEWTEAYMDYNGLKRILRGILQYKQSRQPSTPLRALQRRLKTAEFPSKGDIEEQVIDVNASQEDGCKESYKTEFLRKSEEGGDIEVMFFEKLDEELNKVNSFYKDKVEEMMHEASLLNRQMDVLIALRIRLQKHDFQGAHAEPSCSNDIVASNPLRDINSGQCTGRGCVQSTIGEDTSNDSDLEQSPGNLEVSEVHTANSDSSSKQKENDCEQDPLKILEHVKINNTFESPLSTIKGVFKDSKDENLSFNKEELRKVEERLKVVFTEFYQKLHLLKHYSYMNLAAVSKIMKKYEKFTARKASRLYMKMVDNSYLGSSDEVSGLLEKVEATFIKHFSNSNRREGMKSLRPKAKREKHSVTFFSGFFSGCSISLLIAVILRIQARKLMQKREGVSYVVNIFPLYSLFGYVVLHMLMYATNIYFWRRYRVNYPFIFGFKQGTELGHREVFMLSNGLALLALASCLANLHLDSGTNAAKYKTITEMVPLGSVSVVLLILFCPFDIIYRSSRFFFIRCLFRCICAPLYKVTLSDFFLADHLASQIQAIRSFELYICYYGLGEHSRRQSKCHNHGVYNALYFTVAVIPYWIRFLQCLRRLCEEKDASHGYNGFKYLLTIVAVVMRTTCELKKGKTWIVLALISSAVAVIMNTYWDIVVDWGLLQKKSKNPFLRDKLVISHKSVYFAAMVLNVLLRLAWMQLALEFNLPNHHKIAASTVIACLEIIRRGIWSFFRLENEHLNNVGKFRAFKSVPLPFNYYDADADKDD >Manes.01G033500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6498790:6505152:-1 gene:Manes.01G033500.v8.1 transcript:Manes.01G033500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKKQKVPEWTEAYMDYNGLKRILRGILQYKQSRQPSTPLRALQRRLKTAEFPSKGDIEEQVIDVNASQEDGCKESYKTEFLRKSEEGGDIEVMFFEKLDEELNKVNSFYKDKVEEMMHEASLLNRQMDVLIALRIRLQKHDFQGAHAEPSCSNDIVASNPLRDINSGQCTGRGCVQSTIGEDTSNDSDLEQSPGNLEVSEVHTANSDSSSKQKENDCEQDPLKILEHVKINNTFESPLSTIKGVFKDSKDENLSFNKEELRKVEERLKVVFTEFYQKLHLLKHYSYMNLAAVSKIMKKYEKFTARKASRLYMKMVDNSYLGSSDEVSGLLEKVEATFIKHFSNSNRREGMKSLRPKAKREKHSVTFFSGFFSGCSISLLIAVILRIQARKLMQKREGVSYVVNIFPLYSLFGYVVLHMLMYATNIYFWRRYRVNYPFIFGFKQGTELGHREVFMLSNGLALLALASCLANLHLDSGTNAAKYKTITEMVPLGSVSVRWFYSYFSVLLTSYTVPVVSSLSGVCSVVFVHHYTRLPFQIFSWQTTLPVRSRPSEVLNCTFAIMAWGNTLGDKANAITMVSIMPSILLLLLYPTGFASYSVFVDCAKRKMHLMDTTVSNTC >Manes.01G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6498790:6504648:-1 gene:Manes.01G033500.v8.1 transcript:Manes.01G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKKQKVPEWTEAYMDYNGLKRILRGILQYKQSRQPSTPLRALQRRLKTAEFPSKGDIEEQVIDVNASQEDGCKESYKTEFLRKSEEGGDIEVMFFEKLDEELNKVNSFYKDKVEEMMHEASLLNRQMDVLIALRIRLQKHDFQGAHAEPSCSNDIVASNPLRDINSGQCTGRGCVQSTIGEDTSNDSDLEQSPGNLEVSEVHTANSDSSSKQKENDCEQDPLKILEHVKINNTFESPLSTIKGVFKDSKDENLSFNKEELRKVEERLKVVFTEFYQKLHLLKHYSYMNLAAVSKIMKKYEKFTARKASRLYMKMVDNSYLGSSDEVSGLLEKVEATFIKHFSNSNRREGMKSLRPKAKREKHSVTFFSGFFSGCSISLLIAVILRIQARKLMQKREGVSYVVNIFPLYSLFGYVVLHMLMYATNIYFWRRYRVNYPFIFGFKQGTELGHREVFMLSNGLALLALASCLANLHLDSGTNAAKYKTITEMVPLGSVSVVLLILFCPFDIIYRSSRFFFIRCLFRCICAPLYKVTLSDFFLADHLASQIQAIRSFELYICYYGLGEHSRRQSKCHNHGVYNALYFTVAVIPYWIRFLQCLRRLCEEKDASHGYNGFKYLLTIVAVVMRTTCELKKGKTWIVLALISSAVAVIMNTYWDIVVDWGLLQKKSKNPFLRDKLVISHKSVYFAAMVLNVLLRLAWMQLALEFNLPNHHKIAASTVIACLEIIRRGIWSFFRLENEHLNNVGKFRAFKSVPLPFNYYDADADKDD >Manes.01G033500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6498790:6505152:-1 gene:Manes.01G033500.v8.1 transcript:Manes.01G033500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKKQKVPEWTEAYMDYNGLKRILRGILQYKQSRQPSTPLRALQRRLKTAEFPSKGDIEEQVIDVNASQEDGCKESYKTEFLRKSEEGGDIEVMFFEKLDEELNKVNSFYKDKVEEMMHEASLLNRQMDVLIALRIRLQKHDFQGAHAEPSCSNDIVASNPLRDINSGQCTGRGCVQSTIGEDTSNDSDLEQSPGNLEVSEVHTANSDSSSKQKENDCEQDPLKILEHVKINNTFESPLSTIKGVFKDSKDENLSFNKEELRKVEERLKVVFTEFYQKLHLLKHYSYMNLAAVSKIMKKYEKFTARKASRLYMKMVDNSYLGSSDEVSGLLEKVEATFIKHFSNSNRREGMKSLRPKAKREKHSVTFFSGFFSGCSISLLIAVILRIQARKLMQKREGVSYVVNIFPLYSLFGYVVLHMLMYATNIYFWRRYRVNYPFIFGFKQGTELGHREVFMLSNGLALLALASCLANLHLDSGTNAAKYKTITEMVPLGSVSVRWFYSYFSVLLTSYTVPVVSSLSGVCSVVFVHHYTRLPFQIFSWQTTLPVRSRPSEVLNCTFAIMAWGNTLGDKANAITMVSIMPSILLLLLYPTGFASYRKYTDTCQQNL >Manes.14G090600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7489030:7495879:1 gene:Manes.14G090600.v8.1 transcript:Manes.14G090600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALLPILLTSKHSLKTSILSHHFHLIPTISSSSFSSHCRRRLPFCLPSKSPPLAKKVPFTVSAHGKTWKDSYNWMRNTNEPDFLHYLNQENSYAQAFMADTQNLQRTLSEEMRNRMPTKISTASERWGPWLYYQYIPEGKEYPVLSRRLESGNNGWLNTLLSYTRGQLGMEQILLDWNQIAEQYGYVHVGTCRVSPDHNFLAYTLDFTGNEQFVLQIKDLKDGSMVPKSEFNGVVSLAWAQDGRTLFYTTSDQNQRPYRVLCTKLGSDVIDDVIVYTEDDLNFCVDITSTKDGKFITVNSNSRTSSEVYVIDATNPFDSMQRVHKRVSGVQYFLEHHNGLFYILTNAALSGWSGGNYYLATCPVEHILSSQWQSIILPSENMNFQDMDIFSGHLVLFLQKNGFPTLCSINLPIKIDCKSKVEVDDLDPWFFPLPSNMCSIVPGSNHDFMNPKYRVVLSSPVMPDLIVDYDMSRETFSIVQQEEVRELSDDHGRCLPTCKQDTPEYLDAQTREDKNYHNVELRGWKDFSDSYCCERKEAISHDGVKVPLTILYSRKAWQRGLSPGILQGYGAYGEVLDKTWCPDRLSLLDRGWVMAFADVRGGGSGDSSWHKSGSGLNKHNSIYDFVSCGNYLINEGYVHRDQLGAIGFSAGGLLVGAVINIDPNLFCAAILKVPFLDICNTLLDPSLPLTLLDYEEFGNPQIQSEFENILSFSPYDNIPTDGCLPSMLVTTSFLDSRVGVWEAAKWVARIRDSTCSSCSCSVILKTNMVGGHFGEGGFYSKCEETAYDYAFLMKVIGDLNDRRS >Manes.06G039700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11953504:11958151:1 gene:Manes.06G039700.v8.1 transcript:Manes.06G039700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLTEYEKKRLENIRRNDEMMAALKIHSTASQLSAATKRQRVGASKSYKLSPEKKKAKSESPIVIRRSLRTRGMPPDSGGLDGFSVETPDRTPKSISPQKPSPRVMGPLSTRDAYSGEGSDRALIDTILSLEKKPQLGVPIKKELDRGEVVKMEQSDGILHDSVKGVVKDLHLDCDIKIEKKETESCVDFWSMNLKTENIARLVPGRIMAVRFFPCNDVRMVVAGNKFGNVAFWNMDSKGEEGDGIFLYHHHTGPISGILFQQSCLSKIYTSCYDGFLRLMNAEKEVFDLVYSSDDAIFSLSQQPNNVHSLYFGEGRGGGLNSWDERTGRFSSQWILHADRINSIDFNSQNPNIMATSSTDGTACLWDLRSVNADKPKSLKILNHNRAVHSAYFSPSGSFLATTSADNSVGVLGGVNFEDSSMIYHNNQTGRWLSSFRGIWGWDDSSIFIGNMKRGVDVISPSQRRTILTLQSPDMSAIPCRFDAHPCKVGMLAGATSGGQVYVWTSS >Manes.06G039700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11953504:11958151:1 gene:Manes.06G039700.v8.1 transcript:Manes.06G039700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLTEYEKKRLENIRRNDEMMAALKIHSTASQLSAATKRQRVGASKSYKLSPEKKKAKSESPIVIRRSLRTRGMPPDSGGLDGFSVETPDRTPKSISPQKPSPRVMGPLSTRDAYSGEGSDRALIDTILSLEKKPQLGVPIKKELDRGEVVKMEQSDGILHDSVKGVVKDLHLDCDIKIEKKETESCVDFWSMNLKTENIARLVPGRIMAVRFFPCNDVRMVVAGNKFGNVAFWNMDSKGEEGDGIFLYHHHTGPISGILFQQSCLSKIYTSCYDGFLRLMNAEKEVFDLVYSSDDAIFSLSQQPNNVHSLYFGEGRGGGLNSWDERTGRFSSQWILHADRINSIDFNSQNPNIMATSSTDGTACLWDLRSVNADKPKSLKILNHNRAVHSAYFSPSGSFLATTRWC >Manes.03G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21050822:21052594:1 gene:Manes.03G084300.v8.1 transcript:Manes.03G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQLLAFLLLTCMVATMVQGQGTRVGFYSSSCPRVESIVRTTVETHFRSNPTIAPGLLRMHFHDCFVHGCDASILIDGANSEKTAGPNLPLRGFEVIDDAKSKLEAACPGVVSCADILALAARDSVVLTRGPTWPVPTGRRDGRVSLASDTANLPGFTDSIDVQKQKFAALGLNTQDLVTLVGGHTIGATACQFFRYRLYNFSGNGADPSIDPAFVPQLQALCPQNGDASKRIALDTGSSNTFDSTFFSNLRNGRGILESDQKLWTDATTRTFVQRFLGIRGLLGLTFNVEFARSMVKMSNIGVKTGSNGEIRKICTAIN >Manes.09G145600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34343110:34349908:-1 gene:Manes.09G145600.v8.1 transcript:Manes.09G145600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKMQSLRRELSTGIRPLHETFMALIRLFGTKGQATRGLDILAAMEKLNYDIRLAWIVLVEELVKNKYLEDANKVFLKGAKGGLRATDELYDRLIEEDCKAGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEEYMKPDAETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNVRTYALLVECFTKYCVVREAIRHFRALRNFEGGTKVLHNEGNFGDPLSLYLRALCREGRIVDLLEALEAMAKDNQHIPPRAMILSKKYRTLVSSWIEPLQEEAELGYEIDYVARYIAEGGLTGERKRWVPRRGKTPLDPDAAGFMYSNPMETSFKQRCLEDLKIHHRKLLKTLRNEGLTALGDVSESDYLRVEERLKKIIKGPDQNVLKPKAASKMIVSELKEELDAQGLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLEEGNTEFWKRRFLGEGLSSNHVKPVNIGRTELPDVLDDVDAVEDAEKDVEDEEADDEEEVEVEVEVEQTENPDGDRIVKDKEVEAKKPLQMIGVQLLKDSDQTLTRSKKSKRRSARASVEDDDDDDWFPEDIFEAFKELRKRKIFDVEDMYTIADAWGWTWEREIKNKPPRKWSQEWEVELAIEVMLKTIELGGTPTVGDCAMILRAAIRAPMPSAFLKILQTTHSLGYAFGSPLYDEVITLCIDLGEIDAAIAIVADLETTGITVPDETLDRVISARQAADNDKDKDTDKDNTNSIAANSNVDDETSSHP >Manes.09G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34342555:34349908:-1 gene:Manes.09G145600.v8.1 transcript:Manes.09G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTPPPFKPYWFSSRNAVVCTAATSTVERKSRRKKQQKQQQRQLKKSDDYGLSAVVSAAEKGLRFSFMEELMERARNRDAVGVSDVIYDMVAAGLSPGPRSFHGLIVAHSLNGNLEGAMQSLRRELSTGIRPLHETFMALIRLFGTKGQATRGLDILAAMEKLNYDIRLAWIVLVEELVKNKYLEDANKVFLKGAKGGLRATDELYDRLIEEDCKAGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEEYMKPDAETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNVRTYALLVECFTKYCVVREAIRHFRALRNFEGGTKVLHNEGNFGDPLSLYLRALCREGRIVDLLEALEAMAKDNQHIPPRAMILSKKYRTLVSSWIEPLQEEAELGYEIDYVARYIAEGGLTGERKRWVPRRGKTPLDPDAAGFMYSNPMETSFKQRCLEDLKIHHRKLLKTLRNEGLTALGDVSESDYLRVEERLKKIIKGPDQNVLKPKAASKMIVSELKEELDAQGLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLEEGNTEFWKRRFLGEGLSSNHVKPVNIGRTELPDVLDDVDAVEDAEKDVEDEEADDEEEVEVEVEVEQTENPDGDRIVKDKEVEAKKPLQMIGVQLLKDSDQTLTRSKKSKRRSARASVEDDDDDDWFPEDIFEAFKELRKRKIFDVEDMYTIADAWGWTWEREIKNKPPRKWSQEWEVELAIEVMLKTIELGGTPTVGDCAMILRAAIRAPMPSAFLKILQTTHSLGYAFGSPLYDEVITLCIDLGEIDAAIAIVADLETTGITVPDETLDRVISARQAADNDKDKDTDKDNTNSIAANSNVDDETSSHP >Manes.09G145600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34342783:34349908:-1 gene:Manes.09G145600.v8.1 transcript:Manes.09G145600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPFTPPPFKPYWFSSRNAVVCTAATSTVERKSRRKKQQKQQQRQLKKSDDYGLSAVVSAAEKGLRFSFMEELMERARNRDAVGVSDVIYDMVAAGLSPGPRSFHGLIVAHSLNGNLEGAMQSLRRELSTGIRPLHETFMALIRLFGTKGQATRGLDILAAMEKLNYDIRLAWIVLVEELVKNKYLEDANKVFLKGAKGGLRATDELYDRLIEEDCKAGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEEYMKPDAETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNVRTYALLVECFTKYCVVREAIRHFRALRNFEGGTKVLHNEGNFGDPLSLYLRALCREGRIVDLLEALEAMAKDNQHIPPRAMILSKKYRTLVSSWIEPLQEEAELGYEIDYVARYIAEGGLTGERKRWVPRRGKTPLDPDAAGFMYSNPMETSFKQRCLEDLKIHHRKLLKTLRNEGLTALGDVSESDYLRVEERLKKIIKGPDQNVLKPKAASKMIVSELKEELDAQGLPTDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDELISRIKLEEGNTEFWKRRFLGEGLSSNHVKPVNIGRTELPDVLDDVDAVEDAEKDVEDEEADDEEEVEVEVEVEQTENPDGDRIVKDKEVEAKKPLQMIGVQLLKDSDQTLTRSKKSKRRSARASVEDDDDDDWFPEDIFEAFKELRKRKIFDVEDMYTIADAWGWTWEREIKNKPPRKWSQEWEVELAIEVMLKTIELGGTPTVGDCAMILRAAIRAPMPSAFLKILQTTHSLGYAFGSPLYDEVITLCIDLGEIDAAIAIVADLETTGITVPDETLDRVISARQAADNDKDKDTDKDNTNSIAANSNVDDETSSHP >Manes.03G192200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31064238:31070900:1 gene:Manes.03G192200.v8.1 transcript:Manes.03G192200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRMKLGRVKKVQLSETTLVTRSPIRPQKRNNHPNSEVVAPTTSHSDELDCQCSSAPHEINNSTSANSDNWMVLSVSGDKPTPRFNHAATVIGNKMFVVGGESGSGLLDDVQVLNFAQFTWTAISSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDRISVWAFDMETECWSLLEAKGDVPVARSDHTVVRASTVLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHCTGTGPSPRSNHVAALFDDKMLLIFGGASKSRTLNDLYFLDFETMVWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLVFDILKVEWSVAFAASPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPTNQVEVMGMDKSESSMSRQLVASKGPGPILFGKKSLSMGLAAQLGTDSSQRSVESLARQNLASAVEQHGSGRKSLSETLADPNPVSGNVSLRKQFIEEEHSTAVKVAKNSEDGTFSSLAMEHRINQPDVSIQTNISIGKIIAEENSSVFEYENMNSQNQGIGNHPVDNEDVLVPATDGITGGCHSSMYLLYETKITALIRKNGVLEGQLAAALSSRDAAEKNLSSVLKSKQEMEKKLADALREMELLKEKLAGIELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLSGERARAFQLQVEVFHLKQRLQSMENRVPTPRKQFNV >Manes.03G192200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31064250:31070900:1 gene:Manes.03G192200.v8.1 transcript:Manes.03G192200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRMKLGRVKKVQLSETTLVTRSPIRPQKRNNHPNSEVVAPTTSHSDELDCQCSSAPHEINNSTSANSDNWMVLSVSGDKPTPRFNHAATVIGNKMFVVGGESGSGLLDDVQVLNFAQFTWTAISSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDRISVWAFDMETECWSLLEAKGDVPVARSDHTVVRASTVLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHCTGTGPSPRSNHVAALFDDKMLLIFGGASKSRTLNDLYFLDFETMVWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLVFDILKVEWSVAFAASPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPTNQVEVMGMDKSESSMSRQLVASKGPGPILFGKKSLSMGLAAQLGTDSSQRSVESLARQNLASAVEQHGSGRKSLSETLADPNPVSGNVSLRKQFIEEEHSTAVKVAKNSEDGTFSSLAMEHRINQPDVSIQTNISIGKIIAEENSSVFEYENMNSQNQGIGNHPVDNEDVLVPATDGITGGCHSSMYLLYETKITALIRKNGVLEGQLAAALSSRDAAEKNLSSVLKSKQEMEKKLADALREMELLKEKLAGIELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLSGERARAFQLQVEVFHLKQRLQSMENRVPTPRKQFNV >Manes.03G192200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31064700:31070900:1 gene:Manes.03G192200.v8.1 transcript:Manes.03G192200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRMKLGRVKKVQLSETTLVTRSPIRPQKRNNHPNSEVVAPTTSHSDELDCQCSSAPHEINNSTSANSDNWMVLSVSGDKPTPRFNHAATVIGNKMFVVGGESGSGLLDDVQVLNFAQFTWTAISSKLYLSPSSLPLKIPACKGHCLVSWGKKALLIGGKTDPASDRISVWAFDMETECWSLLEAKGDVPVARSDHTVVRASTVLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHCTGTGPSPRSNHVAALFDDKMLLIFGGASKSRTLNDLYFLDFETMVWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLVFDILKVEWSVAFAASPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPTNQVEVMGMDKSESSMSRQLVASKGPGPILFGKKSLSMGLAAQLGTDSSQRSVESLARQNLASAVEQHGSGRKSLSETLADPNPVSGNVSLRKQFIEEEHSTAVKVAKNSEDGTFSSLAMEHRINQPDVSIQTNISIGKIIAEENSSVFEYENMNSQNQGIGNHPVDNEDVLVPATDGITGGCHSSMYLLYETKITALIRKNGVLEGQLAAALSSRDAAEKNLSSVLKSKQEMEKKLADALREMELLKEKLAGIELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLSGERARAFQLQVEVFHLKQRLQSMENRVPTPRKQFNV >Manes.03G192200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31064250:31070900:1 gene:Manes.03G192200.v8.1 transcript:Manes.03G192200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSRRRMKLGRVKKVQLSETTLVTRSPIRPQKRNNHPNSEVVAPTTSHSDELDCQCSSAPHEINNSTSANSDNWMVLSVSGDKPTPRFNHAATVIGNKMFVVGGESGSGLLDDVQVSWGKKALLIGGKTDPASDRISVWAFDMETECWSLLEAKGDVPVARSDHTVVRASTVLILFGGEDAKRRKLNDLHMFDLKSLTWLPLHCTGTGPSPRSNHVAALFDDKMLLIFGGASKSRTLNDLYFLDFETMVWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLVFDILKVEWSVAFAASPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPTNQVEVMGMDKSESSMSRQLVASKGPGPILFGKKSLSMGLAAQLGTDSSQRSVESLARQNLASAVEQHGSGRKSLSETLADPNPVSGNVSLRKQFIEEEHSTAVKVAKNSEDGTFSSLAMEHRINQPDVSIQTNISIGKIIAEENSSVFEYENMNSQNQGIGNHPVDNEDVLVPATDGITGGCHSSMYLLYETKITALIRKNGVLEGQLAAALSSRDAAEKNLSSVLKSKQEMEKKLADALREMELLKEKLAGIELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLSGERARAFQLQVEVFHLKQRLQSMENRVPTPRKQFNV >Manes.06G067800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18801141:18801632:-1 gene:Manes.06G067800.v8.1 transcript:Manes.06G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQELIRSLSPNSKTRSPLAEKPSETTTKMSNTKTKGENRGDKSIIKRCGEVAGGTTAECVAVCCCCPCALMNFLVLTIYKMPACLCRKARKRHRKRKHDSLLVHTVSKDSCKEELMEKQKAGVGIHDGGESDTGADELEKEMWYRFYATGFWRSPSHRSTR >Manes.10G086100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22205854:22206569:1 gene:Manes.10G086100.v8.1 transcript:Manes.10G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKAIKVSKLMQITRAPIRVLCKARDLYVKSMLNFAGSGRVGYGSIGGGTAQLPKSFSVNSSRAVVDDKEFKKLLRLVSTKGISDVETHLRCSGGDRRPYGIESSGMRRSYSVGVGKIGRIDEDRACSFREEDDDE >Manes.16G133700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33399049:33405568:-1 gene:Manes.16G133700.v8.1 transcript:Manes.16G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVDVSDSNFSKMEGNQIDQIEDMDIEVVSMWPEDIDSEKPYNIEKPRGDQDMLEEVTIVEEPTIVDFHRLIELTNYTDRGSSQLAYLVKHWEYQQATAVRLLREELDILSQQRQEVELKKLEIIENFRFEEEGYGGDKRPVSILDEAIDIYQDLPRRKKDVIVQNKKVEVEAEYDTVAYWKQRALHLEKLLEASIQREQALIEKLQESVKNLERQSSPVEELSQILKRADNFLHFILQNAPVVMGHQDKELRYRFIYNHFPRLQEEDILGKTDMEIFTGAGVKESQDFKREVLEKGLSAKREITFETELFGTKIFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTHLDREQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGHIADDVPIVVIGDVLRIRQILTNLISNAIKFTHEGKVGINLYVVSDPCFGKAEGNHQKSSSGHLTTNASKEEKCTLASQTNNDRNGSHTPHQNHSLDGEPVTPGRNGNTMDGDKLEEPQSQETVVWLRCDVYDSGIGIPENALPTLFKKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSRVNCGSTFTFVLPYKVSPMCDDSSDDADELSDMTDHDAATEDETAGYFLFQPRTLGSLFSNGSTRTQKLLPNNIGFANSHKLNGFPDSCYSLLPHNDRTKETASVEDACSTAEVADTLSEPASSFTHSLEPANGNVACRSKQCQEDTNRKLQNTECSREVDSRPKTSESQVSSQAQEKSEVSSQCTSGSNPQVATTKLQPKILLVEDNKINVMVALSMMKQLGLSIDVVNNGVEAVQAVQGNCYDLVLMDVCMPVMDGLQATKLIRSFEETGSWDAAVKAGIELRAPSSNCSMPSRKRTPIIAMTANTLSESADECYANGMDSFISKPVTFQKLKECFEQYFP >Manes.06G141600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26969567:26974167:-1 gene:Manes.06G141600.v8.1 transcript:Manes.06G141600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKMIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVSVLIFSQKGRLSEFSSNDMQKTIERYRKHVEELQPENNDTEQRIQQLISESTEMVKKIEQLEILQRKFLGQELASCSLEELQEMDSKLEKSLSNIRAKKEVMFKEQIEQLKEKERLLLVENAILREKCDEKACPLPTQQKEVSFSSLSSDISEVETRLSIRLPEPVTHLS >Manes.06G141600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26969567:26974167:-1 gene:Manes.06G141600.v8.1 transcript:Manes.06G141600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKMIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVSVLIFSQKGRLSEFSSNDMQKTIERYRKHVEELQPENNDTEQRIQQLISESTEMVKKIEQLEILQRKFLGQELASCSLEELQEMDSKLEKSLSNIRAKKEVMFKEQIEQLKEKERLLLVENAILREKELHVDQFVNIYLVAL >Manes.06G141600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26969567:26974167:-1 gene:Manes.06G141600.v8.1 transcript:Manes.06G141600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKMIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVSVLIFSQKGRLSEFSSNDMQKTIERYRKHVEELQPENNDTEQRIQQLISESTEMVKKIEQLEILQRKFLGQELASCSLEELQEMDSKLEKSLSNIRAKKEVMFKEQIEQLKEKERLLLVENAILREKCDEKACPLPTQQKEVSFSSLSSDISEVETRLSIRLPEPVTHLS >Manes.06G141600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26969567:26974167:-1 gene:Manes.06G141600.v8.1 transcript:Manes.06G141600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKMIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVSVLIFSQKGRLSEFSSNDMQKTIERYRKHVEELQPENNDTEQRIQQLISESTEMVKKIEQLEILQRKFLGQELASCSLEELQEMDSKLEKSLSNIRAKKEVMFKEQIEQLKEKERLLLVENAILREKELHVDQFVNIYLVAL >Manes.06G141600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26969567:26974167:-1 gene:Manes.06G141600.v8.1 transcript:Manes.06G141600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKMIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVSVLIFSQKGRLSEFSSNDMQKTIERYRKHVEELQPENNDTEQRIQQLISESTEMVKKIEQLEILQRKFLGQELASCSLEELQEMDSKLEKSLSNIRAKKEVMFKEQIEQLKEKERLLLVENAILREKCDEKACPLPTQQKEVSFSSLSSDISEVETRLSIRLPEPVTHLS >Manes.06G141600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26969567:26974167:-1 gene:Manes.06G141600.v8.1 transcript:Manes.06G141600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMKMIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVSVLIFSQKGRLSEFSSNDMQKTIERYRKHVEELQPENNDTEQRIQQLISESTEMVKKIEQLEILQRKFLGQELASCSLEELQEMDSKLEKSLSNIRAKKEVMFKEQIEQLKEKERLLLVENAILREKELHVDQFVNIYLVAL >Manes.16G064600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25170103:25173753:-1 gene:Manes.16G064600.v8.1 transcript:Manes.16G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTQGILLKLLQSMNTTTRVTGEHRFALLQVIGIVPALAGSDLWPNHGFYVQLSDSLNSTYVSLSERDTDLILSNRLQLGQFVYVERFEFDSPVPRVCGIRPIAGRHPFVGTPEPLIARISASKKDFVIQPVDNTEYSVDPIAVYLANKKCEDMPRNENKEVKSDSKIEKSSKTRQPLARRDNMMAGNDANSEENKGPDKVPQRFSSPAGAKRSVSAGKKNVAVMERDPSPAGKAKRSASPVPSKCMVPSLVAAREENRKAAREPAIIVPSRYRQPSPSRKQASPNARRASLSPGRRLSGVKVSPAVADSAGKKKIATIVAGISKVSEALVGSAKSSRKGWDETPVEHKEKGDLKKKPDLQAILRTQAALSRRLSDANSRHSNQDDDSSSNEALKCVSPEDCSDKEKPTCAALGFTMHEKKWTDGSVPLDKVSAELARLGKEAMQRRTLATTAAAEALEEAIATESLVRSLSVFSELASVSKAGNPLPSIDKFFSVYDDVVKYTAIAESVAASHSSDHAGIPTEQSKSASLWVEAALATDLEIVSLLNNKNNDPPTTLPKSLSKRQSVKASSSTASDPTVGVWTRNHGMKETVELAMKLQSEMQMWFIKFVEESLDAGFRALGECSIDGSKPLPLNFSSIAGILSQLKRVNDWLDGVVSKGDKLLAEKIEKLKRKIYGFVIQHVGTTFDNCSQVASS >Manes.02G205700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18067691:18077823:-1 gene:Manes.02G205700.v8.1 transcript:Manes.02G205700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSFTLPCKTPFEVFLSFRRPDTGESFTSHLYKALSDENIQTFIDKGLERGKEIESSLLKGIEESEISVIVFSKGYASSPWCLDELVKILECRRTMGRIVLPIFYRVDPSDVESQSGIFGDGFRENEEQHMESTLRVQRWRSALKEAASISGWDSKEHRPESVLVEAVVKAVKENLSTLSQMSPVDSNGFVGIESSIEQIQSLLCIESEEIRFVGIWGMGGIGKSTCAEILYHSISDKFNASCFLTNVKETLKKAGSISMLRAILSKVLKHGDVDIETPNILPASIARKLRRRKVLIVLDDVNEDSLIVNGNWFGPGSRIIVTSRDLQVLKTRVDEQYIYEIQALSHYDALQLFSLNATRQKLPPLDYMEFSEKVVKYAQGLPLALKVIGSHLYKRPKREWEIALDKLTKCPDSNIQKILKISYDELEEIEKDVFLDIACFFKGENKCQVENYLVGSYGVATNWGMIRLVDKYLITIVHNKLEMHDLIQEIGQDIARREGSRLLNTKDFQRLLTTNKGKSKVKGIFLDMSKMEKLHIDEEAFSGLDNLKYLKVYRSSCNYGDAGFIFDSNYLQYLPNELRLLYWEEYPCELLPTSFLAENLVELSLPSSNIKRLWSGDQAPQKLKYLDLFRCKQLIELPNLSSATNLKSISLVSCKSLVEIPSSIQHLSNLTSLDLDGCKNLKSVPSLSKLESLEYLSLSWCSNINIVPDLPMGIKEVYSYDSGIEELSSSMECLSSLVHLGMGECVKLKTLPSSMSLLKCLRWLDLNGCLGLVEIPDDIVSLSLLEKLSLDNCNRLQGLPELPCRLGILRAGNCTLLETTVSTSYINLPKYSGRKNYEFNYCNCVNLNQNSRCNIVKDARLRIEQLATNNTESGVGFFVGLPGSEIPRWFSYENPRSSMDIRFPFGCFNSMFLGFAFSAILSFEVPVIAAKMLLNCKCNFKNVKGGERDFSIIEYFPPVTVPESDHLFLWYKHYTYSDDLCNVKEATFTFTAELLNYNFDQLECEKYKLKVKICGVHLMYGNEEVNKCKPSRAETSLSLHCGETNPNFKESVLETESNDKNIRLDYLSSNQTNAFTDGRSACEKEEPLCLNVYSSNAEDECGYNETMLPFYHYLWMS >Manes.02G205700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18067691:18077823:-1 gene:Manes.02G205700.v8.1 transcript:Manes.02G205700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSFTLPCKTPFEVFLSFRRPDTGESFTSHLYKALSDENIQTFIDKGLERGKEIESSLLKGIEESEISVIVFSKGYASSPWCLDELVKILECRRTMGRIVLPIFYRVDPSDVESQSGIFGDGFRENEEQHMESTLRVQRWRSALKEAASISGWDSKEHRPESVLVEAVVKAVKENLSTLSQMSPVDSNGFVGIESSIEQIQSLLCIESEEIRFVGIWGMGGIGKSTCAEILYHSISDKFNASCFLTNVKETLKKAGSISMLRAILSKVLKHGDVDIETPNILPASIARKLRRRKVLIVLDDVNEDSLIVNGNWFGPGSRIIVTSRDLQVLKTRVDEQYIYEIQALSHYDALQLFSLNATRQKLPPLDYMEFSEKVVKYAQGLPLALKVIGSHLYKRPKREWEIALDKLTKCPDSNIQKILKISYDELEEIEKDVFLDIACFFKGENKCQVENYLVGSYGVATNWGMIRLVDKYLITIVHNKLEMHDLIQEIGQDIARREGSRLLNTKDFQRLLTTNKGKSKVKGIFLDMSKMEKLHIDEEAFSGLDNLKYLKVYRSSCNYGDAGFIFDSNYLQYLPNELRLLYWEEYPCELLPTSFLAENLVELSLPSSNIKRLWSGDQAPQKLKYLDLFRCKQLIELPNLSSATNLKSISLVSCKSLVEIPSSIQHLSNLTSLDLDGCKNLKSVPSLSKLESLEYLSLSWCSNINIVPDLPMGIKEVYSYDSGIEELSSSMECLSSLVHLGMGECVKLKTLPSSMSLLKCLRWLDLNGCLGLVEIPDDIVSLSLLEKLSLDNCNRLQGLPELPCRLGILRAGNCTLLETTVSTSYINLPKYSGRKNYEFNYCNCVNLNQNSRCNIVKDARLRIEQLATRVEWDFLLVYLEVKYQGGSAMKTQDLQWISGSLLVALTPCSWVSHFLPF >Manes.02G017500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1622421:1624808:1 gene:Manes.02G017500.v8.1 transcript:Manes.02G017500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATECQPSFLARFSIRRSQVISMDRNREQQLEDLELFQKHVADRFADLLSPPKEVISSEPLMSISWLRKLVDMFLCCEAEFKTILIMGRDPSQICKPPLDQLIPELMERAVKALDICNAVSSGIDSVLQCQRFAQIAVSALKQNPVGDGQVKRARKALSSMLNAMTVDDKENYSKGAERTWSFGRRGNTAGTNKERAAGNFRSLSMIVAKNWSASKQILAMCSNLVPPRSGEPTGLASHVYIMSSVMVFVMWALVAAVPCQERSGLATHLQIPKHLTWAHSMIGLQERIAEEWKKKEKKCSAGLLEEIQKMEKLGQSLIEFADGFQFPVDTEKMDEVTTQVAELAEICKRIEEGLVPLQMQIREVFHRIVRSRTEVLEMMDHAAKVPQPVV >Manes.05G075600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6167241:6174625:1 gene:Manes.05G075600.v8.1 transcript:Manes.05G075600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLRFTPLPFGTRPCKISGKCRQNNVQLCHPLCAKTCFSFTKAVVESRKSSFWLHKRPFPARKIRQFTINSSDQLQSDYLNSEPISTQEAVPLKASDGAALLSFHDTSNFNTLQYKPKMFQNRFLNFVRINSVLNNAAESFFKSEIRRRLFVTAVLIVISRIGYYIPLPGFDRRLIPQDYLSFISGSVDELGDFTAELKLSFFQLGISPQILASILMQVLCHVIPSLVKLRKEGLDGHEKIKSYIWWMSLGFAILEALIVACYSLPYSIYAASHRAKHVMVTAFLLVCGAMATTWICDTISESGFGQGSSLIICVGILTGYTDTLYKMLCHLSGSAVSWWPYIFAVLGVFTVVTMGAVVVTEGCRKIKLQYYGFKLASAARDDSPITEVEPYIPFNINPSGMQPILTTTYLLAIPSILAGILGSPFWVHVKETLNPETSLGAEPWVYYSIYGLFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKIQASTRFWGGLLLSILATTSTMLDHYLRHINEGFSIGFTSVLIIVGSIIDLRRSYQAYNVMPSLSKALRRYGV >Manes.05G075600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6167241:6174662:1 gene:Manes.05G075600.v8.1 transcript:Manes.05G075600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLRFTPLPFGTRPCKISGKCRQNNVQLCHPLCAKTCFSFTKAVVESRKSSFWLHKRPFPARKIRQFTINSSDQLQSDYLNSEPISTQEAVPLKASDGAALLSFHDTSNFNTLQYKPKMFQNRFLNFVRINSVLNNAAESFFKSEIRRRLFVTAVLIVISRIGYYIPLPGFDRRLIPQDYLSFISGSVDELGDFTAELKLSFFQLGISPQILASILMQVLCHVIPSLVKLRKEGLDGHEKIKSYIWWMSLGFAILEALIVACYSLPYSIYAASHRAKHVMVTAFLLVCGAMATTWICDTISESGFGQGSSLIICVGILTGYTDTLYKMLCHLSGSAVSWWPYIFAVLGVFTVVTMGAVVVTEGCRKIKLQYYGFKLASAARDDSPITEVEPYIPFNINPSGMQPILTTTYLLAIPSILAGILGSPFWVHVKETLNPETSLGAEPWVYYSIYGLFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKIQASTRFWGGLLLSILATTSTMLDHYLRHINEGFSIGFTSVLIIVGSIIDLRRSYQAYNVMPSLSKALRRYGV >Manes.05G044799.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3698699:3701791:1 gene:Manes.05G044799.v8.1 transcript:Manes.05G044799.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSALLTNLDENHQGIIGGEERITVAVDDGKPSVKKLMEEEMFCEEGLKKQIDSAEPKQSNSEYGGNKRKNCKRTNRGVEKTGTEKTLGSSPESQSIIRNKGTNGVGCCFFLTEIKRRLKQAIGKEQQEIAPDGASKRFANKYRARGDSDKKYRENNGRNSLGKDHFFNEKIARPPSAVRKEEKTDMLKECEIDLERETAACPKNRMANIYVEAKKHLSDMLTSGTGVQNFSSGQVPKSLGRILSFPEYNFSPTGSPGREWGQGLVTAQMRFSNNNEFQKHESNGGHRGRMTLNSETDLCVSNDPAYSQAVTSANPNSSSPCELAQDNEVDKILCTIGDTDMLKECEIDLEREIAAYLKNRMANIYVEAKISFPEYNFSPTGSPGREWGQGFVTAQMRFSNINEFQKHESNGGHRGRMTLNSETDLCVSNDPAYSQAVTSANPNSSSPCELAQDNEVEKILCTIGDTSGGDVDIVKSAEIGVQEDCNISDTLSEPINSSRTGDDQNGDVSEACDGKTFSRCSKHDLNEENQLPPSALTSPSTSPITKNDNNLEGVVEVSERPSPVSVLEPLFTEEDVSPASTRLQPAPLPIQPQRIQFEEHAPSSVDIGTHFKAHIAYKESIFEYEKAVVQASGENWDESYIMPILLTHFLTHQYLMR >Manes.06G179601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30593514:30596964:-1 gene:Manes.06G179601.v8.1 transcript:Manes.06G179601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNETNNNNKRSEIGKPMIGLICGTLVYYHCAYRNASLLSLVSDVLIVLLCSLAILGLLFRQMNISVPVDPLEWQISQDTANSIVAWFANTIGAAESVLRVAATGHDKRLFFKVAICLYVLSALGRLVSGFTVAYAALCLFCLYMITEKSQTSGRFISQFVRRSSGTSLDLD >Manes.S023352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2039627:2039788:-1 gene:Manes.S023352.v8.1 transcript:Manes.S023352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.01G097700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29904545:29907746:-1 gene:Manes.01G097700.v8.1 transcript:Manes.01G097700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTVKDVSPHEFVKAYAAHLKRSGKIELPHWTDIVKTGRLKELAPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGAIARHILQQLQNMNIIDIEPKGGRRITSSGQRDLDQVAGRIVVAP >Manes.01G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29904545:29907746:-1 gene:Manes.01G097700.v8.1 transcript:Manes.01G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTVKDVSPHEFVKAYAAHLKRSGKIELPHWTDIVKTGRLKELAPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGAIARHILQQLQNMNIIDIEPKGGRRITSSGQRDLDQVAGRIVVAP >Manes.17G035000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21763422:21769221:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.17G035000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21762129:21769344:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQIGYLVPLKLTLEEDTSIPKLPLSKGSNTIGRSHVSIVDKRLSRNHLTLTASVDGSATISIVGTNPVVVKSGDQRRKLSPGEQVSITSGDIIELIPGHHFFKYVASSLSNSCSNSSSPNTPKRGCNDGREIVKSDQPCRKKMRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.17G035000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21762129:21769344:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQIGYLVPLKLTLEEDTSIPKLPLSKGSNTIGRSHVSIVDKRLSRNHLTLTASVDGSATISIVGTNPVVVKSGDQRRKLSPGEQVSITSGDIIELIPGHHFFKYVASSLSNSCSNSSSPNTPKRGCNDGREIVKSDQPCRKKMRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.17G035000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21762129:21769235:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.17G035000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21762129:21769247:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQIGYLVPLKLTLEEDTSIPKLPLSKGSNTIGRSHVSIVDKRLSRNHLTLTASVDGSATISIVGTNPVVVKSGDQRRKLSPGEQRGCNDGREIVKSDQPCRKKMRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.17G035000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21762129:21769238:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQIGYLVPLKLTLEEDTSIPKLPLSKGSNTIGRSHVSIVDKRLSRNHLTLTASVDGSATISIVGTNPVVVKSGDQRRKLSPGEQRGCNDGREIVKSDQPCRKKMRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRW >Manes.17G035000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21762105:21769247:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQIGYLVPLKLTLEEDTSIPKLPLSKGSNTIGRSHVSIVDKRLSRNHLTLTASVDGSATISIVGTNPVVVKSGDQRRKLSPGEQRGCNDGREIVKSDQPCRKKMRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.17G035000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21755882:21769221:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSQIGYLVPLKLTLEEDTSIPKLPLSKGSNTIGRSHVSIVDKRLSRNHLTLTASVDGSATISIVGTNPVVVKSGDQRRKLSPGEQVSITSGDIIELIPGHHFFKYVASSLSNSCSNSSSPNTPKRGCNDGREIVKSDQPCRKKMRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.17G035000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21762105:21769235:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.17G035000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21763422:21769221:-1 gene:Manes.17G035000.v8.1 transcript:Manes.17G035000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAPEDKAKAVNKLEAEDGGPVNCEESIRNFRVPNDKLPLTFRLLKVQGLPAWANTSCVSINDVIQGDIHVAILSNYMVDIDWLTSACPTLAKIPHVLVIHGEGDGTLEVMKRSKPANWILHKPPLPISFGTHHSKAMFLVYPRGVRIIIHTANLIYVDWNNKSQGLWMQDFPWKDKQNQSQGCGFENDLVDYLNAVKWPEFTIKLPALGSFTINPTFFKKFDYSNAAVRLIASVPGYHTGANLKRWGHMKLRSVLQECTFGKEFKNSPLAYQFSSLGSLDEKWMTELATSMSSGISEDKTPLGIGQSQIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKEFLKKYWSKWKANHTGRCRAMPHIKTFTRYSGQKLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSLSKRHGHQFCCTDNGVPSEDKRGLLTDSEVGRTELVTLTWQGTVDSSSEVIPLPVPYELPPQPYSSEDVPWSWDRRYSKKDVYGQSWPRLVQLYTSPDS >Manes.16G071400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:27284782:27285743:-1 gene:Manes.16G071400.v8.1 transcript:Manes.16G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNMDLILLALLYCSFISCALLLAIFVTFNGLMLAFFITLFSIVVIDVNDAFSLFSLYFVTVKANFELGFVVILWVVIHEAIIVMLVLKPLCERMVSEIKVKATQFIKIVEDTLLLIKTERY >Manes.08G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4032503:4041704:1 gene:Manes.08G041600.v8.1 transcript:Manes.08G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEQKLRSGNLHHDPTINPGLGSPRCPRCLSLLNPTAERGEWAITSVLHDATAVAGSGIGGMLSAVHGFNTGIPFLQNRLKGPKWMPFLVGLPPLLMFSAASAAFGGYALPKFAQLTVTSYYAASSASHYGISLLTRHIEEAHTSRAQQESHS >Manes.01G048130.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11471656:11484024:1 gene:Manes.01G048130.v8.1 transcript:Manes.01G048130.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRRFGWPPIKAPQTENGRDFSPFSSSGVFMSSFGHFHVFSLSPSYFYEFHGCFEEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.09G056502.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9090424:9090657:-1 gene:Manes.09G056502.v8.1 transcript:Manes.09G056502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSELYLQNCYIMKENEKLRKKAQRLNQENQALLSELKQKLTKDNSKANVSSNSVLDLNLSSCSTHNPTNSSTN >Manes.03G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1145521:1149034:-1 gene:Manes.03G013400.v8.1 transcript:Manes.03G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGSVVLAEFTATATNASAIARQILEKIPGNNDSHVSYSQDRYIFHVKRTDGLTVLCMADDTAGRRIPFAFLEDIHQRFVRTYGRAVHSAQAYAMNDEFSRVLSQQMEYYSNDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQARRFRNTVWWRNVKLMVALIFLLLVIVYVVLAFVCHGLTLPTCLK >Manes.11G083000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:12541625:12542548:-1 gene:Manes.11G083000.v8.1 transcript:Manes.11G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKASNSKNPHELPNFLTHPPPKTLQQHHQPQPQPQPQQAAMGENKPAEIKDFQIVIADKEEQKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAVAGGSISQQGTSLSAGLHQKIDELGGSSSSRTSWAMVGGNLARPHHVATAGLWPPVGGFGFQSSSATGPATTNLGTDSSSYLQKIEFPGFDLPGNNMGPMSFTSILGASNQQIPGLELGLSQDGHIGVLNPHTLSQIYQQMGQARVQQHQQQQHNPAKDDSQGSGQ >Manes.13G015900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1854675:1863773:-1 gene:Manes.13G015900.v8.1 transcript:Manes.13G015900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSDSDGSHISATPPRNSKPPTLPPPPPPQPLPQALSSSHRSRTRAQTSFGAENPPKSLTKSPPKPTQDEPAPNFSPLSTLPFQIRRPFIQNRPTVPISLSIETLPAGYFSKSASFSKIHRPSLNFESTENDCGPPKSAGANSTDCVSQGNKPNSFKKHPNLIGTNAPLPPAKLRKCSASEGNFVKLNMNRSKRKFMNKKATRKTGYGSSGFKSYRRSKRKQKAQSDADQEDGLICEITEKKPKRAKGSELIEEAVLAAQNNASDENLVRLLNVMYGFDSFRDGQLEAIKMVLDGKSTMLVLPTGAGKSLCYQIPAMLLQGITLVVSPLVALMIDQLKQLPPELQGGLLSSSQTSQEAAETFRLVKEGAIKVLFISPERFLNAEFLSNFSSISISLLVVDEAHCISEWSHNFRPSFMRLRAPLLRARLNVQCFLAMTATATSTTLNAVMSALEISSANLIQKPHLRDNLQLSVSLSGNRMKDLLRLIKSSPFMEVQSIIIYCKFQSETDIVSRYLCDNNISSKSYHSAISSKDRSRIQELFCSNKIRVVVATVAFGMGLDKSDVGAVIHYSLPESLEEYVQEIGRAGRDGRLSYCHLFFDDTTYYKLRSLSHSEGVDEYAVSKFLCQVFTNGKHGKICSLIKESASREFDMKEEVMLTLLTQLELGEVQYLHLLPELNVTCSLNFYKTTPVLLADKDIVVSAILKKSETRQGQYVFDLPTVSNSIGFTTIDLLNHIQNLKLKGEITYEVNNPAYCYSIVKVPEDFCSLSAHLTRWLSEVERLKVQKLDAMFNAAVFAVNDCKKMQGCSDSQHTHCLQRKILDYFREDGQCDISNPMHKSSPFLRADIKVFLQSNSQAKFTPRAIARIMHGIPSPAYPSTTWSKTHFWGRYTQIDFQVVMEAAKAELMNFVGKNAL >Manes.13G015900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1854675:1863774:-1 gene:Manes.13G015900.v8.1 transcript:Manes.13G015900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSDSDGSHISATPPRNSKPPTLPPPPPPQPLPQALSSSHRSRTRAQTSFGAENPPKSLTKSPPKPTQDEPAPNFSPLSTLPFQIRRPFIQNRPTVPISLSIETLPAGYFSKSASFSKIHRPSLNFESTENDCGPPKSAGANSTDCVSQGNKPNSFKKHPNLIGTNAPLPPAKLRKCSASEGNFVKLNMNRSKRKFMNKKATRKTGYGSSGFKSYRRSKRKQKAQSDADQEDGLICEITEKKPKRAKGSELIEEAVLAAQNNASDENLVRLLNVMYGFDSFRDGQLEAIKMVLDGKSTMLVLPTGAGKSLCYQIPAMLLQGITLVVSPLVALMIDQLKQLPPELQGGLLSSSQTSQEAAETFRLVKEGAIKVLFISPERFLNAEFLSNFSSISISLLVVDEAHCISEWSHNFRPSFMRLRAPLLRARLNVQCFLAMTATATSTTLNAVMSALEISSANLIQKPHLRDNLQLSVSLSGNRMKDLLRLIKSSPFMEVQSIIIYCKFQEIGRAGRDGRLSYCHLFFDDTTYYKLRSLSHSEGVDEYAVSKFLCQVFTNGKHGKICSLIKESASREFDMKEEVMLTLLTQLELGEVQYLHLLPELNVTCSLNFYKTTPVLLADKDIVVSAILKKSETRQGQYVFDLPTVSNSIGFTTIDLLNHIQNLKLKGEITYEVNNPAYCYSIVKVPEDFCSLSAHLTRWLSEVERLKVQKLDAMFNAAVFAVNDCKKMQGCSDSQHTHCLQRKILDYFREDGQCDISNPMHKSSPFLRADIKVFLQSNSQAKFTPRAIARIMHGIPSPAYPSTTWSKTHFWGRYTQIDFQVVMEAAKAELMNFVGKNAL >Manes.13G015900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1854675:1863773:-1 gene:Manes.13G015900.v8.1 transcript:Manes.13G015900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSDSDGSHISATPPRNSKPPTLPPPPPPQPLPQALSSSHRSRTRAQTSFGAENPPKSLTKSPPKPTQDEPAPNFSPLSTLPFQIRRPFIQNRPTVPISLSIETLPAGYFSKSASFSKIHRPSLNFESTENDCGPPKSAGANSTDCVSQGNKPNSFKKHPNLIGTNAPLPPAKLRKCSASEGNFVKLNMNRSKRKFMNKKATRKTGYGSSGFKSYRRSKRKQKAQSDADQEDGLICEITEKKPKRAKGSELIEEAVLAAQNNASDENLVRLLNVMYGFDSFRDGQLEAIKMVLDGKSTMLVLPTGAGKSLCYQIPAMLLQGITLVVSPLVALMIDQLKQLPPELQGGLLSSSQVLFISPERFLNAEFLSNFSSISISLLVVDEAHCISEWSHNFRPSFMRLRAPLLRARLNVQCFLAMTATATSTTLNAVMSALEISSANLIQKPHLRDNLQLSVSLSGNRMKDLLRLIKSSPFMEVQSIIIYCKFQSETDIVSRYLCDNNISSKSYHSAISSKDRSRIQELFCSNKIRVVVATVAFGMGLDKSDVGAVIHYSLPESLEEYVQEIGRAGRDGRLSYCHLFFDDTTYYKLRSLSHSEGVDEYAVSKFLCQVFTNGKHGKICSLIKESASREFDMKEEVMLTLLTQLELGEVQYLHLLPELNVTCSLNFYKTTPVLLADKDIVVSAILKKSETRQGQYVFDLPTVSNSIGFTTIDLLNHIQNLKLKGEITYEVNNPAYCYSIVKVPEDFCSLSAHLTRWLSEVERLKVQKLDAMFNAAVFAVNDCKKMQGCSDSQHTHCLQRKILDYFREDGQCDISNPMHKSSPFLRADIKVFLQSNSQAKFTPRAIARIMHGIPSPAYPSTTWSKTHFWGRYTQIDFQVVMEAAKAELMNFVGKNAL >Manes.12G135900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34281905:34283616:-1 gene:Manes.12G135900.v8.1 transcript:Manes.12G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILYLSFRQVFLLYLVFFLFTFVILSASSDGSQLQSQQFLGRRRLLEEERDQDQLIPKKKGTNSSSSKNQTKLIKPNLSSKNQTKLFKTSLSSKNQTKITKASNSTKLALSTSSKSELKKLNSTPQLKKLNSTSQLKKLNFTSNVSASTKKTSDLLKVSSPKNKTSTKGSKTLVSDSESMKQTKNQLKTTEKKPSNQKKTTQEKKQPSWLDLEVDDDLVAEFRDLPTRFQQSLLPDIEKISMTSKKYLTKANKEMAKGFKPIVGNRYASIVASVVSFAFILIPLLLVSLIFNRIKAYFSIQKIVIFIQVYLSIYFSILCLSSIVTGLEPLRFFYATSQSTYVCLMVLQTLGYILYLLLLLMYLILVFSTESGLGSKLLGLGQIFVGFAVGLHFYVAVFHRVVLHQPPKATWKVHGIYAACFLVICLLAKFDRRKKAYVEDGGEEGKKN >Manes.13G045300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5337971:5341454:1 gene:Manes.13G045300.v8.1 transcript:Manes.13G045300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKVWRWILGLIYIVAVATIWIAASFVVQSVVDSGVSPFLITYICNSLFVIYIPLVEIGRYLEDSYESLFFWRNKKDSPIQELAESEQVILLGDSDIDAKVGDLNPSVPLTEGKHNPRGDDVDLPAEFTPYSIGRIVPFEEDNKGVDAKGRWTRARVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSTASSLFTFLVSLAFLGEKFTWVKLVSVLLCMAGTIIVSLGDSKSGLSAIASNPLLGDFLALISAGLYAVYITLIRLKLPDDDGKSGQASMAQFLGFLGLFNLFIFLPVALILGFTMLEPFDMLTWKQFGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSLTGNAPRLMDYLGAIAVMIGFAGINIPSDACSKSIEASVESENQTSNSTHQDQLSPLQEAVAIS >Manes.13G045300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5337758:5341454:1 gene:Manes.13G045300.v8.1 transcript:Manes.13G045300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRCPVVERALLLFLPLSLSLLTNNDLLILKLIFRAVEIGRYLEDSYESLFFWRNKKDSPIQELAESEQVILLGDSDIDAKVGDLNPSVPLTEGKHNPRGDDVDLPAEFTPYSIGRIVPFEEDNKGVDAKGRWTRARVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSTASSLFTFLVSLAFLGEKFTWVKLVSVLLCMAGTIIVSLGDSKSGLSAIASNPLLGDFLALISAGLYAVYITLIRLKLPDDDGKSGQASMAQFLGFLGLFNLFIFLPVALILGFTMLEPFDMLTWKQFGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSLTGNAPRLMDYLGAIAVMIGFAGINIPSDACSKSIEASVESENQTSNSTHQDQLSPLQEAVAIS >Manes.13G045300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5337662:5341454:1 gene:Manes.13G045300.v8.1 transcript:Manes.13G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKVWRWILGLIYIVAVATIWIAASFVVQSVVDSGVSPFLITYICNSLFVIYIPLVEIGRYLEDSYESLFFWRNKKDSPIQELAESEQVILLGDSDIDAKVGDLNPSVPLTEGKHNPRGDDVDLPAEFTPYSIGRIVPFEEDNKGVDAKGRWTRARVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSTASSLFTFLVSLAFLGEKFTWVKLVSVLLCMAGTIIVSLGDSKSGLSAIASNPLLGDFLALISAGLYAVYITLIRLKLPDDDGKSGQASMAQFLGFLGLFNLFIFLPVALILGFTMLEPFDMLTWKQFGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSLTGNAPRLMDYLGAIAVMIGFAGINIPSDACSKSIEASVESENQTSNSTHQDQLSPLQEAVAIS >Manes.13G045300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5337758:5341454:1 gene:Manes.13G045300.v8.1 transcript:Manes.13G045300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKVWRWILGLIYIVAVATIWIAASFVVQSVVDSGVSPFLITYICNSLFVIYIPLVEIGRYLEDSYESLFFWRNKKDSPIQELAESEQVILLGDSDIDAKVGDLNPSVPLTEGKHNPRGDDVDLPAEFTPYSIGRIVPFEEDNKGVDAKGRWTRARVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSTASSLFTFLVSLAFLGEKFTWVKLVSVLLCMAGTIIVSLGDSKSGLSAIASNPLLGDFLALISAGLYAVYITLIRLKLPDDDGKSGQASMAQFLGFLGLFNLFIFLPVALILGFTMLEPFDMLTWKQFGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSLTGNAPRLMDYLGAIAVMIGFAGINIPSDACSKSIEASVESENQTSNSTHQDQLSPLQEAVAIS >Manes.18G140500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:20208784:20209625:1 gene:Manes.18G140500.v8.1 transcript:Manes.18G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSPNLPHKSLKIKLPLDDGNNNNNNNLFSKHLTTSQSSMALEDDHGGSSAAVPFTWESRPGTPKIKFRENPLPPLTPPPSYFYTTAKTPTKKSYSLSNPFNTIFSKRSARRTSFPLSPASSSSSSSSQFSSPRCSSKPYFFASSSPVTVLRSRVRHEISSPRRSFDSIMMGEEEEHDGGLPVSSMCFGIGRGGNAGRSRGGCYASVIKVLLRDV >Manes.S030916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:725584:726909:1 gene:Manes.S030916.v8.1 transcript:Manes.S030916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGAEKVYMDKGLAEEIGLSYSKHKGYVKGFDQHKVSIAGVARGVDLGIGDFRGKTDIVIVPLEEKLMYLGIDFLKEKGAFLMLHANTMGFMVEGQPLYVPIHREDWVERRISEAKFSSNIGAMTLIEGQHGSKGQEQRGRCRNGWGRMSRTEGDTPTISPKLCGRRPMGVAKRPKELNCPRNEGTGQSVEKNSRRAAGLANSANGAILPAVGVRGSGLAHRQLARRGRPTGANWACGVCRSCGACRSCRTHRGCGALWQRARGTPTGAGRCGARNGGATRRTRRHSCGARGAGAQGRRVLGAQAGAREDRAIAGWPTEQIGPQRWLACGARQSEVRICQRREGSGTCWKAREGSRSIGGAREGARRVWLANENSRGVWLAYENSRRHQNGPVAPRTGWNSPEGSRNLQGQQISVQQQGVHELVGQLNPEASQGCEITI >Manes.07G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:327868:330152:1 gene:Manes.07G000700.v8.1 transcript:Manes.07G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAENLESSLPVPSVQEIVSHLPHTVPLRYIRDEIISPSSHDDHSSSVPWIDMAKLLHPESQASELHKLHSACKDWGLFQLINHGVSDASLRSIKKQTQEFFELPLEEKKRWAQKPGSLEGYGQAFVTSEEQKLDWNDMIFLKALPIQNRKMEFWPQKPEKFRETLQNYSEDIRKLAVSITQFIAMGLGINDQTKEFYQGYQEGTYDVRFNCYPPCPQPDRVIGMIPHADMSGITLLLDACDVPGLQVLKDGDWVFVEPIDSALVVNIGQIIEIMSNGIYKAPDHRAVVNKSKERFSVVTFCFPNPSVKIGPAKELTKSGSPPLYKTITLAEYFQSFYNRKLEVSFIDCLKV >Manes.07G000700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:327867:330152:1 gene:Manes.07G000700.v8.1 transcript:Manes.07G000700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAENLESSLPVPSVQEIVSHLPHTVPLRYIRDEIISPSSHDDHSSSVPWIDMAKLLHPESQASELHKLHSACKDWGLFQLINHGVSDASLRSIKKQTQEFFELPLEEKKRWAQKPGSLEGYGQAFVTSEEQKLDWNDMIFLKALPIQNRKMEFWPQKPEKFRETLQNYSEDIRKLAVSITQFIAMGLGINDQTKEFYQGYQEGTYDVRFNCYPPCPQPDRVIGMIPHADMSGITLLLDACDVPGLQVLKDGDWVFVEPIDSALVVNIGQIIEVTPQIMSNGIYKAPDHRAVVNKSKERFSVVTFCFPNPSVKIGPAKELTKSGSPPLYKTITLAEYFQSFYNRKLEVSFIDCLKV >Manes.09G045900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8070994:8072666:1 gene:Manes.09G045900.v8.1 transcript:Manes.09G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDVPQYFICPISLQIMKDPVTAITGITYDRESIEHWLFTIKNTICPVTKQPLSEDSDLTPNHTLRRLIQAWCVDNASCGVDRIPTPKSCLNKFHVLKLIKNLSHPQLQSKTIRELELLAAATERNRKHMAEAGVAKALLLFIITCFEQRRIKDGLQESLSILWLIRIPSRESKAFLIENYLIIESLTWVLGCNIDNHVTLKSHAVSVLKMLLEEATFSVLERLKPEFFDRVVGVIREKITQEGINAALKILLCACPWGRNRVMMVESGAVFELIELEWRSPEKKTTEMIFEILFHLCSCADGRAKFLRHKGGIAVVAKRILNVSPTADDRAIMILGLICKFSGTSMVIQEMSSVKAVSKLCMLLQADCSVYLKEKAREILRSHSDEWKNSPCVDNASLF >Manes.03G194100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31191392:31198061:-1 gene:Manes.03G194100.v8.1 transcript:Manes.03G194100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGELKRTLIDTTAGALAGAISRTVTSPLDVIKIRFQVQLEPTSSWALIYGNMGGSSKYTGILQAAKEIFREEGLPGFWRGNVPALFMVMPYTAIQFTVLHKLKTFAAGSSKSENHIQLSSYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPTMRSAFVDIIRTRGFRGLYAGLSPTLVEIVPYAGLQFGTYDTFKRWTMAWNRQTSSNLSMTSVDNSLSSFQLFVCGLAAGACAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHRAYRNMLDALRRILQAEGWAGLYKGIVPSTIKAAPAGAVTFVAYEFTSDWLESMWT >Manes.17G064700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26467077:26467331:1 gene:Manes.17G064700.v8.1 transcript:Manes.17G064700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEQEKAEHERIFKRFDLNGDGKISAAELGDCLKTLGSVTPDEIKRMMAEIDTDGDGFISHQEFTDFAMANRGLMKDVAKIF >Manes.15G036100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2833206:2835500:-1 gene:Manes.15G036100.v8.1 transcript:Manes.15G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVVYENDLNLKATELTLGLPGTERNQEQAVSCARNNKRPLPESRDQDAKSDVPRADPDTPPAPKALIVGWPPIRSYRKNSLQQPKQTEAESSGMYVKVSMDGAPYLRKIDLTVYKGYPELLKALENMFKFTIGEYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWEMFMSSCKRLRIMKGSEARGLGCGV >Manes.06G115750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24662974:24665709:-1 gene:Manes.06G115750.v8.1 transcript:Manes.06G115750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKGNSININLENETENNVNQSFQETQELHQNQASNFQGNTSQKTMRYHYECHFIFSPNKIYENGRFMEKPNFDVDFISFFDILDDLKKDCGFDVIKVDKFYYLKADKALSDLDALIEVKNDTDVKNIMDSYKKFPLKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATAATGSNTIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQTIRSVSCCPLQVKQWNKITDDKLDHMWSTILEKFTFEYSDARKGAIFGYMNALYRYYRHKLKKKYFDSKATYSLHLRNKPKDMDVKDWKYLVNLWTENAFQERSNKNKTNRCKRSMPPYTGTKSFARLRDHMKKKNEKTHSRLELFLESRKRKQGKEIDPISQEAIEKFQQLKKQREEWQISLDDDAMFADVLGPEKNGYVRAYGPGKNITEYFGARPTKI >Manes.12G085832.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:12704188:12704748:-1 gene:Manes.12G085832.v8.1 transcript:Manes.12G085832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADVLGPEKNGYVRAYGPGKNVTEYFGVRPTKIELLRQLDTSRREVNEHVQQIQKEASEQVNDVKKQMDEKLAEMNRIWEQKFKMLLEKNNNIASVSN >Manes.12G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34837174:34838660:1 gene:Manes.12G142400.v8.1 transcript:Manes.12G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVARAIHCELGAQKPAILKRENPVSVQVPAPKLKLSETDPNAKLMLQPRLCTLRSYGEDRFAVVKTKKDGVDEVSPFFETLSEYIESSKKSHDFEIISGRLAMIVFAVTVGTELVTGNSVFRKMDIQGIAEAGGVCLGAVTCAAIFAWFSSARNRVGRIFTTSCNTFIDLLIDEVVDGLFYDGEINDWSDDI >Manes.07G045501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5175921:5177595:1 gene:Manes.07G045501.v8.1 transcript:Manes.07G045501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMFDGKPLTPDVVIDETWFSDPTYCESIKHWYLYAKTIAEKAVWKFAEESGIDIVIIHPGFVIGPFLQPTLNVTVEVILNYVNGKLFLSALSLNVMISNMFILCESWVPCMEEKHFLMKFTDLLMLHVASAHIQAFEQASGNS >Manes.10G132800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29810091:29812230:1 gene:Manes.10G132800.v8.1 transcript:Manes.10G132800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEKKMEIDLSLQIDTEDIEEEEEEEEEEVQEVEENGEEDEEDLQEINENEATATTATTGGEVVDDSSVELSLQDNNKTEELYGYVCQLSALQMEMNRMKEENKVLRRVVEKTMKDYHDLQMKFAVIQQNTHKDPHIFLPLNGDDKRAVQEPIGSVPKFLDSNNQRSLEPFLPKDNDRIIEEKELSLSLRLQTDYSDHHHHQQEREEDRKEENKEENGNCSWVPSDNNKLQKTDHHLAGITTHAPTPPNRKARVSVRARCQTATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLLDSSNPLSNGFHNFTQSSSLPYQGGSMGPHMFYPHSSPFRSINPNDPSKGIVLDLTNDTPERFSLPISSSSSSPALPLFSWMQNKSSSSSSHQNNGSNNENVTTIASDPNFRVAVAAAITSLINKEKGTHHAMESPSVPNKEGEGGSSSSRNWVLESLSAAAGKPIRNSSPLENL >Manes.10G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29810078:29812297:1 gene:Manes.10G132800.v8.1 transcript:Manes.10G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEKKMEIDLSLQIDTEDIEEEEEEEEEEVQEVEENGEEDEEDLQEINENEATATTATTGGEVVDDSSVELSLQDNNKTEELSALQMEMNRMKEENKVLRRVVEKTMKDYHDLQMKFAVIQQNTHKDPHIFLPLNGDDKRAVQEPIGSVPKFLDSNNQRSLEPFLPKDNDRIIEEKELSLSLRLQTDYSDHHHHQQEREEDRKEENKEENGNCSWVPSDNNKLQKTDHHLAGITTHAPTPPNRKARVSVRARCQTATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLLDSSNPLSNGFHNFTQSSSLPYQGGSMGPHMFYPHSSPFRSINPNDPSKGIVLDLTNDTPERFSLPISSSSSSPALPLFSWMQNKSSSSSSHQNNGSNNENVTTIASDPNFRVAVAAAITSLINKEKGTHHAMESPSVPNKEGEGGSSSSRNWVLESLSAAAGKPIRNSSPLENL >Manes.07G076300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:18406015:18407965:1 gene:Manes.07G076300.v8.1 transcript:Manes.07G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQQFQLHIAFFPYMAQGHLIPTMDMARLFVRHGVKATIITTPSNAPLFSKTIERDRQLGFEIFIQLMDFPSAEVGLPEGCENASSINTPEMISKFYRAISLLENPLENVLQDCQPNCLVADMMFPWATEIANKFGIPRLVFHGVSTFSLCVFDSLRRYDIYKSLASDFDPFTVLGLPNQIKLTRLQLPNYIREENELTEALDQIIQSVLKSYGVLINSFYELEPKYLEHYRNVMGRKAWLIGPLSLCNKETEDKVQRGDTTSIDEHECLKWLALKKPNSVLYICFGSMFKFPRPQLLELARALEASGQNFIWVLKNEGKEEDHQWLPEGFEMRMKGKGLIIRGWAPQLLILDHEATGGFMTHCGWNSTLEAVAAGVPMVTWPLYAEQFFNEKLVTEVLKVGTNVGSQEWSTYEKKIIIKMEDIRKAVTMVMAGEEGEVMRNRAKELKEMARQAIEAGGSSFSYLNAFLEELKAINV >Manes.15G164401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13770958:13773985:1 gene:Manes.15G164401.v8.1 transcript:Manes.15G164401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPFLLLICLLIGQYVCDRDAQLVQCRAADREALLDFKMGLNDTYLLSSWQGTDCCGWSGIYCDNATGAVIALDISDPSGRHPLGGEIRPSLAELKSLKYLDLSLNNLHGRLPAFLGNMTSLMHLDLSQNYFIGEIPDSLGQLKNLTLLSLCYNLLEGLIPASIGNLHHLSHLDLSSNKLNGTIPDSLGMLSELISLDVYMNELKGVISETHFLRLSKLENLWLSKNSLIFNVRSNWVPPFQIYEIDLGSCYLGPSFPAWLRSQQHITDLDISNCNISGTIPNWFWDMSGELASLNVSFNHLEGHLPNLLNVSRATVDFSHNNFQGPIPLADLSFLELSNNQFSGPIPSNIGQVMPYIMFLYLFGNQLTGEIPGSIGETIIVALDLSRNNLTGSIPSSMGNCSDLLALDLQDNNLSGGIPRSMGQLHQLQTLHLGKNRLSGEIPSSLKCLTSLETLDLSNNKLTGLSDLASLQFLDIAENQLNGSFPSAFGNLKAMTHLQNITQQRCLRRVFGRGCYNEYQENIFATIHGHELRYTKTVSLLAGIDLSGNNLSGEFPKDITKLVGLEVLNLSRNYISGQIPENISEMRQLLSLDLSSNSLSGPIPQGMSSMTFLESLNLSNNNLSGRIPYKSQMTTFRASSFAGNSGLCGEPLALKCPGNDSNNKDGHDDSDSGRKDEADDDNGFIGKLFYLSIGMGFAVGLVLPFLIFAIKRSWGGVYFALVDVIAYRLSSRKMKTVFIKI >Manes.09G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28817774:28818418:1 gene:Manes.09G095500.v8.1 transcript:Manes.09G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCCFGTSYSKLVGGRASSSFGKGKSHEGLIKYGFSLIKGKANHPMEDYHVAKLVQIQGHELGLFAIYDGHLGAGVH >Manes.09G095500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28817557:28819146:1 gene:Manes.09G095500.v8.1 transcript:Manes.09G095500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCCFGTSYSKLVGGRASSSFGKGKSHEGLIKYGFSLIKGKANHPMEDYHVAKLVQIQGHELGLFAIYDGHLGAGVH >Manes.09G102700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30145001:30147538:1 gene:Manes.09G102700.v8.1 transcript:Manes.09G102700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGSEILSPASYLANSNWLFQETRGTKWTHEENKQFENALALYDKDEPDRWQKVAAMIPGKTVGDVIKQYRELEEDVFDIEYYTPGGKRTAATRSREPGRKKGVPWTEEEHRQFLIGLEKHGKGDWRNISRDFVTTRTPTQVASHAQKYFIRQSTEGKDKRRSSIHDITIVNLLPDVKSSSADEKKSSPNHSISSLQSQPQPKIVGIRKGLADMKLQNEGEDGAGVFSQANGNLLMPPFCEISSYGQKLQEHNLLKETLPGYHFPPYNLISQQPMKRQ >Manes.09G102700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30145001:30147538:1 gene:Manes.09G102700.v8.1 transcript:Manes.09G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGSEILSPASYLANSNWLFQETRGTKWTHEENKQFENALALYDKDEPDRWQKVAAMIPGKTVGDVIKQYRELEEDVFDIEAGLIPIPGYISSDSFTLDCVNNDQGYDSFKQYYTPGGKRTAATRSREPGRKKGVPWTEEEHRQFLIGLEKHGKGDWRNISRDFVTTRTPTQVASHAQKYFIRQSTEGKDKRRSSIHDITIVNLLPDVKSSSADEKKSSPNHSISSLQSQPQPKIVGIRKGLADMKLQNEGEDGAGVFSQANGNLLMPPFCEISSYGQKLQEHNLLKETLPGYHFPPYNLISQQPMKRQ >Manes.15G138601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11206145:11207880:1 gene:Manes.15G138601.v8.1 transcript:Manes.15G138601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRETKSFKRSLRAETEGFPNFESSAKNPNKNLRVFPFSIKHRETAMWRICDCSSTLRIQAVEFLVNLLMSVPTHLPQHRFEVSPIVKYTALSLFLIDSVLLSPVLQDTMHESNKEKQFSFY >Manes.15G138601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11206145:11207880:1 gene:Manes.15G138601.v8.1 transcript:Manes.15G138601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRETKSFKRSLRAETEGFPNFESSAKNPNKNLRVFPFSIKHRETAMWRICDCSSTLRIQAVEFLVNLLMSVPTHLPQHRFEVSPIVKYTALSLFLIDSVLLSPGIIYNTNLFCYSLSLSIYIYML >Manes.11G098300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222191:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEATEEEEESFDQLPAALLATIMTKLDVASICSVASTCKIFKACASHILTFIPNFHLFDTALSINLLSPLLPPNPYLSSLKVDCARLDDSAIDLLVRPSLHELCLHNCADFSGKLLSEIGGKCADLRYLYLGSVAEKRGRAIHISDLEELLNGCTQLEVLTLMFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEM >Manes.11G098300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222095:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEIRFYRHCFIKKLW >Manes.11G098300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222086:21224112:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGRIISSLVSLSVRGCKRLTDKCVYALFEGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEIRFYRHCFIKKLW >Manes.11G098300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222190:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEM >Manes.11G098300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222267:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGRIISSLVSLSVRGCKRLTDKCVYALFEGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEMFYRHCFIKKLW >Manes.11G098300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222095:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEATEEEEESFDQLPAALLATIMTKLDVASICSVASTCKIFKACASHILTFIPNFHLFDTALSINLLSPLLPPNPYLSSLKVDCARLDDSAIDLLVRPSLHELCLHNCADFSGKLLSEIGGKCADLRYLYLGSVAEKRGRAIHISDLEELLNGCTQLEVLTLMFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGRIISSLVSLSVRGCKRLTDKCVYALFEGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEIRFYRHCFIKKLW >Manes.11G098300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222267:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEATEEEEESFDQLPAALLATIMTKLDVASICSVASTCKIFKACASHILTFIPNFHLFDTALSINLLSPLLPPNPYLSSLKVDCARLDDSAIDLLVRPSLHELCLHNCADFSGKLLSEIGGKCADLRYLYLGSVAEKRGRAIHISDLEELLNGCTQLEVLTLMFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGRIISSLVSLSVRGCKRLTDKCVYALFEGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEMFYRHCFIKKLW >Manes.11G098300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21222190:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGRIISSLVSLSVRGCKRLTDKCVYALFEGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEM >Manes.11G098300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222095:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEATEEEEESFDQLPAALLATIMTKLDVASICSVASTCKIFKACASHILTFIPNFHLFDTALSINLLSPLLPPNPYLSSLKVDCARLDDSAIDLLVRPSLHELCLHNCADFSGKLLSEIGGKCADLRYLYLGSVAEKRGRAIHISDLEELLNGCTQLEVLTLMFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEIRFYRHCFIKKLW >Manes.11G098300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222095:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGRIISSLVSLSVRGCKRLTDKCVYALFEGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEIRFYRHCFIKKLW >Manes.11G098300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21222190:21227013:-1 gene:Manes.11G098300.v8.1 transcript:Manes.11G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEATEEEEESFDQLPAALLATIMTKLDVASICSVASTCKIFKACASHILTFIPNFHLFDTALSINLLSPLLPPNPYLSSLKVDCARLDDSAIDLLVRPSLHELCLHNCADFSGKLLSEIGGKCADLRYLYLGSVAEKRGRAIHISDLEELLNGCTQLEVLTLMFDVSLFLRHNFARVWALASEKLTSLEIGYVSSVMVTELLSPSVGPHQPLNHIRASILPGILKLNLSVDYITDAMVGTISKSLVSLTHLDLRDTPLIEPRITFDLTNSGLQQINQYGKLKHLSLIRSQEYIVTYFRRVNDLGILLMADKCANMESICLGGFCQVTDTGFKTILHSCSSLYRLRVSHGIHLTDLVFHDMSATALCMTHVSLRWCNLLTNHAIKSLVSNTHLKVLDLRDCKSLGDEALRAISTLPELKSLLLDGSDISDSGLSYLKGRIISSLVSLSVRGCKRLTDKCVYALFEGSSRLELQELDVSNLPYLSDNGILCLAKSRVPISVLRMRQCPLIGDTSIMALASMQVDEDRGHGSSLRLLDIYNCGGMTQLAFRWLKKPYFPRLRWLGVTANVNRDIIDALARNRPFLNVASHGEELGIDQWDNSESLHMHDYDDVDELEQWLLEGEFEDDDEEMIDAEINPEM >Manes.11G091600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13431673:13437758:1 gene:Manes.11G091600.v8.1 transcript:Manes.11G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACCVAARDKNIVNGPRSEILHRNIRYSPTWSFRWDNRGRVAGEETSIAWFSDANNRNDGPDIKYESANASERGSPLDSFRRRAWQKSPTSEATNAHVRTSASDHSASRIISMDATLEQVKESAESPTVSDPSPTKLSVSLPSTSSMTSPASSQSHPRPTSSTTPNWPHNSSAHQLIGQVPDTKIPGLKSSNSFSVPEDRSSVPSWSNESTRGSLGSSSDGWSMHAFSELMATSHRERCSFDNDSLSFHHEKTRSSGQISSCSSVDLQTCGICSKLLAEKSLWSSPKIVLSNELSVVAVLTCGHVYHAECLETMTPEISKYDPPCPVCTFGEKQTQKLSQKAFKAEMELKAKNKRSRNRVVDSDCDGDSVMFDRLKVGGHEGKGPKMASSSSMKGSLAKPFLKRHFSIGSKSSKSLAESHTTKKKGFFWTRSLKV >Manes.08G082611.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28572806:28573207:-1 gene:Manes.08G082611.v8.1 transcript:Manes.08G082611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKPPETSLQNFPAQTTTFIDAKVEVKDTQNEFLYKVELPGLKIDEVSVEVEEGNVLKISGKRCVKKEEALHVVSYVGEFMKRYRLPANSKPDETTSRFENGAVIVSVPKGGTIGKPARRITIVNTAATQV >Manes.12G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36087454:36091247:1 gene:Manes.12G153000.v8.1 transcript:Manes.12G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFRKLGRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEIRRLADNMVQLGKEGTLCAARRAAAFVRGDDVIHKLFTELAYRYKDRSGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQPPQREPLDPWTKSRLSRQFAPPKEEKKSDV >Manes.08G001600.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.49.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.49.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIIFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:430028:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.47.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.47.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIIFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.46.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:439219:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.46.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIIFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438993:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.52.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.52.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKNVHMVVAFKVHMD >Manes.08G001600.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.50.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.50.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIIFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.51.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438219:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.51.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIIFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.48.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.48.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIIFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.44.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:430028:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.45.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438219:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRQTANESTSRATES >Manes.08G001600.53.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:428508:438991:-1 gene:Manes.08G001600.v8.1 transcript:Manes.08G001600.53.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGDGDKDLRELNHLLQSVNKRVKDGIVSEEQNLAEDCSLEVNGTAENIKDGHGGAPQAPAAALQITQQQQSQGSTVCWERFLHLRSLKVLLVEYDDSTRHVVTALLRNCSYEVIETSNGLQAWKILEDLTNQIDLVLTEVIMPQLSGIGLLCKIMSHRSRKNIPVIMMSSHDSMGLVFRCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNDTGSNDEEDNESIGLNLGDGSDNGSGTQSSWTKQAVEVDSPRPVSPWDQIAECPDSTCAQVIHSNAEISDNAIGKDPKKVVPGNLELHLEYQVEDPIKHVDIKQKNVLEREPGKLKEQIDKGQLDLNSEGPSIKLKYEAASLTGFITNTIDPHMNSMDFEASHGHSKISDIKDSSSNDAKETLPFELSLKRLRGVKDVGTTILEDRNVLRRSDSSAFSRYNAASNSNKATGANIKSGCPNDSSLDVTKKGSVCDMQSHSNGDHPNQYSNVGSNNFDLDSTTNHTFTESVILKNKSAVSSTIKYLHPPSTFQPMKGDPLCAPQQILSEKANDVAGTTMLTQTRDTIQELQIPHLNNHYHHLPSMQSQQQQLATEHDDSSLKKMAAAVPHCGSSNLLGGTLEGNGGNYSINGSASGSNHGSNHGSNGQNGSCTAVNAGGTNVESDNGIAGKAGSGDGSGSGSGSGDGNRVDQNKFSQREAALTKFRQKRKERCFRKKNVHMVVAFKVHMD >Manes.09G099800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29816951:29820307:-1 gene:Manes.09G099800.v8.1 transcript:Manes.09G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALEMPHIDLEQGSHRRSVTGSDDTCFSDAEDGSCYSQFYSTTGGSYDDYTFACVSDHEIIEGEVPDSRRVSSVSDCSVVVEIEGRVPEIKVHLDKVERDCRICHLGLESNSHESGIPIELGCSCKNDLAAAHKQCAEAWFQIKGNKTCEICHSIARNVVGVNETETKEQSNETNNATAVAAVAASASHLETPSFWRGHRFLNFLLACVVFAFVISWLFHFKVPSSS >Manes.06G138100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26589734:26594453:-1 gene:Manes.06G138100.v8.1 transcript:Manes.06G138100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSPKGVPGLVALPRSLSSMAASVGGLAIFLVLGSLLLVSYPIGSTVRGYFYGVDNSNKLALPVFPGNESLHSTLDVINSESSSGLGLGVPISSTGVNSSGINGIDKNSRTLESDLQIPNANANARQEEGVREKGSSVASGAEGNVVSNNSGTVDKRSDQTSPGISSSDSKSEAKPALSDVSSNATPISSDDSGCDLYHGTWFFDSQGPSYTNNTCPVLTQMQNCQGNGRPDKDYENWRWKPSECQLPRFDAKKFLELMRGKTLAFIGDSVARNQMESMLCLLWQVEVPKNRGNKRMQRYFFKSTSTMIVRIWSSWLVHKTSEPFDFAPEGIVKLHLDAPDEDFMEFIPSYDVIVLSSGHWFAKQSVYILNNEIVGGQLWWPDKSRSMKINNIEAFGISTETILTSIVTHPNYNGLTILRSFSPDHYEGGAWNTGGSCTGKVKPLALGELVENGFTDVMHKKQVTAFDRAIKKATNKSKLRLMDITEPFSYRHDGHPGPYRSLDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNEFVLEIIRREFEGNRSTSL >Manes.06G138100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26589734:26594453:-1 gene:Manes.06G138100.v8.1 transcript:Manes.06G138100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDSPKGVPGLVALPRSLSSMAASVGGLAIFLVLGSLLLVSYPIGSTVRGYFYGVDNSNKLALPVFPGNESLHSTLDVINSESSSGLGLGVPISSTGVNSSGINGIDKNSRTLESDLQIPNANANARQEEGVREKGSSVASGAEGNVVSNNSGTVDKRSDQTSPGISSSDSKSEAKPALSDVSSNATPISSDDSGCDLYHGTWFFDSQGPSYTNNTCPVLTQMQNCQGNGRPDKDYENWRWKPSECQLPRFDAKKFLELMRGKTLAFIGDSVARNQMESMLCLLWQVEVPKNRGNKRMQRYFFKSTSTMIVRIWSSWLVHKTSEPFDFAPEGIVKLHLDAPDEDFMEFIPSYDVIVLSSGHWFAKQSVYILNNEIVGGQLWWPDKSRSMKINNIEAFGISTETILTSIVTHPNYNGLTILRSFSPDHYEGGAWNTGGSCTGKVKPLALGELVENGFTDVMHKKQVTAFDRAIKKATNKSKLRLMDITEPFSYRHDGHPGPYRSLDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNEFVLEIIRREFEGNRSTSL >Manes.05G090400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8235208:8240385:1 gene:Manes.05G090400.v8.1 transcript:Manes.05G090400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGGGGAAPPPKQEELQPHPVKDQLPSISYCITSPPPWPEAILLGFQHYLVMLGTTVVIPTTLVPQMGGGNEEKAKMIQTLLFVAGLNTLFQTMFGTRLPAVIGGSYTYLPTTISIVLAGQYSDILSPQEKFEKIMRGIQGSLIVASTLQIVVGFSGLWRNVARFLSPLSAVPLVALSGFGLYEFGFPLLTKCVEIGLPQIILLLVFSQYLPHLTRGERTVFDRFAVIFSVVIVWVYAHLLTVGGAYKNSGPKTQISCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVSLVESSGAFIAVSRYASATPLPPSILSRGVGWQGVGILFSGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISAAFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTAINGYGPVHTGARWFNDMINVPFSSEAFVAGVLAFFLDTTLHGKDNATKKDRGMHWWDKFRSYKTDTRSEEFYSLPFNLNKFFPSV >Manes.05G090400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8235208:8240385:1 gene:Manes.05G090400.v8.1 transcript:Manes.05G090400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGGGGAAPPPKQEELQPHPVKDQLPSISYCITSPPPWPEAILLGFQHYLVMLGTTVVIPTTLVPQMGGGNEEKAKMIQTLLFVAGLNTLFQTMFGTRLPAVIGGSYTYLPTTISIVLAGQYSDILSPQEKFEKIMRGIQGSLIVASTLQIVVGFSGLWRNVARFLSPLSAVPLVALSGFGLYEFGFPLLTKCVEIGLPQIILLLVFSQYLPHLTRGERTVFDRFAVIFSVVIVWVYAHLLTVGGAYKNSGPKTQISCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVSLVESSGAFIAVSRYASATPLPPSILSRGVGWQGVGILFSGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISAAFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTAINGYGPVHTGARWFNDMINVPFSSEAFVAGVLAFFLDTTLHGKDNATKKDRGMHWWDKFRSYKTDTRSEEFYSLPFNLNKFFPSV >Manes.05G090400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8235208:8240385:1 gene:Manes.05G090400.v8.1 transcript:Manes.05G090400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGGGGGAAPPPKQEELQPHPVKDQLPSISYCITSPPPWPEAILLGFQHYLVMLGTTVVIPTTLVPQMGGGNEEKAKMIQTLLFVAGLNTLFQTMFGTRLPAVIGGSYTYLPTTISIVLAGQYSDILSPQEKFEKIMRGIQGSLIVASTLQIVVGFSGLWRNVARFLSPLSAVPLVALSGFGLYEFGFPLLTKCVEIGLPQIILLLVFSQYLPHLTRGERTVFDRFAVIFSVVIVWVYAHLLTVGGAYKNSGPKTQISCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVSLVESSGAFIAVSRYASATPLPPSILSRGVGWQGVGILFSGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISAAFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTAINGYGPVHTGARWFNDMINVPFSSEAFVAGVLAFFLDTTLHGKDNATKKDRGMHWWDKFRSYKTDTRSEEFYSLPFNLNKFFPSV >Manes.06G060100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:17714292:17732013:-1 gene:Manes.06G060100.v8.1 transcript:Manes.06G060100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAHAVPGPGIGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPAPGMMQPQLSRPPVPQLSAPPMTYPAAAAPPAGAAPVLRPPGQMPPPAYPGQAPPMGRGPPPPLPPQFAGRPPQQGFPMPPQFAQRPMGMPPQQQAPMMRGPPAPPRPGMQPPPPRPGMPPPPGGVPVYGPPRPGMPPPLNPQQQQQNQQQQ >Manes.06G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25507567:25510349:-1 gene:Manes.06G125100.v8.1 transcript:Manes.06G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSNQNHHFHLDSPFLPNTPINLPGFMEGNISTNCFSQFYPPELPLQTPLDVRLQDTNPLDHSSVLGLSDNEPSVTKKQSTDNSTVVDKLESGEQVIQKLPPADKKRKHANGSSSNSAQSKDARDGRRKKQKKCNISEMKDEGKRPKAVKKVSEEPSTGYIHVRARRGQATDSHSLAERVRREKISERMKILQQLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASFNPLLHDFGLNLDALMVRPLEGLSSLSSSPSRMQQCNTTRPTDFVDAATATTATSTFATANNYPLIDNSSAALLQEQSPSDFIHQDSGSLLWDVDEQRQKFLNPSGFSNKLCSFQ >Manes.11G081600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14913679:14919353:-1 gene:Manes.11G081600.v8.1 transcript:Manes.11G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALACCVDAAVAPPGYAFHAGDGSLPSPVQFSGVPPAPTTTATASIESSPSHWSSSLSSALYKIDAWGAPYFSVNSSGNIAVRPYGTDTLPHQEIDLLKIVRKVSDPKSTGGLGLQLPLIVRLPDVLKNRLESLQSAFNFAIHSQGYEAHYQGVYPVKCNQDRFVVEDIVRFGSPFRFGLEAGSKPELLLAMSCLCKGNPKALLVCNGFKDGEYISLALLARKLALNTVIVLEQEEELDLVLALSKKMSVRPVIGVRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLEETSMLDCLQLLHFHIGSQIPSTALLADGVGEAAQIYCELVRLGAHMQVLDIGGGLGIDYDGSKSGNSDLSVAYGLEEYSLAVVQAVKFVCDRKNIKHPVLCSESGRAIVSHHSILIFEAVSASVSSAASMTNAGFQYFMEGLTEDALSDYRNLSAAVVRGEYETCLLYAEQLKQRCVDQFKEGSIGMEQLAAVDGFCELVGKAIGLSEPTRTYHVNLSVFTSIPDFWGIGQLFPIVPIHRLDQRPAVRGILSDLTCDSDGKIDKFIGGESSLPLHELEGGGRYYLGMFLGGAYEEALGGVHNLFGGPSVVRVSQSDGPHSFAVTRAVPGPSCSDVLRVMQHEPELMFETLKHRAEEFCHHDEDSDDGNDSDHGMGNTALASSLARFFHNMPYLVASCSLTALNNGGFYYCNEDAADSAGGDEEQWSYCCA >Manes.09G081636.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20555042:20558122:1 gene:Manes.09G081636.v8.1 transcript:Manes.09G081636.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEEGYSTVRSHVLSIDPFPNLNCAYAIVVQQDHPMSFTIWASGRNSGRDKDKTSICSHCNREDHEVESYFRLIGYPKRSRNRPHGNSSVHDDIQKRGNGGGCNKGGAIHAHAAQVLNALNDKQWAALLGMLNSHHNTNERLTVHTCKLSSGGTFEFWYKRMGHPVKQMRKVFFSSNNKATKCFDLIHYDLWGPYMIPASCGALYFLTIVDDCSWAVWIYLLNKVIAIIKSQIEKNVKVIKSDNGSEFVYLNQYFEDLKILYQTSCVGKPQ >Manes.08G156100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39310489:39312152:-1 gene:Manes.08G156100.v8.1 transcript:Manes.08G156100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGPSPVVPSLMVGILGLIVFGPMLMEYVVPLFEAGEDEGASFSFIMVLPLLLLVILHLISTLCPRLGMCCSTRQRSSSYGHDSEGYGLGTLLLLVLFFVLYSIWSSNDT >Manes.08G088931.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:24005130:24005384:1 gene:Manes.08G088931.v8.1 transcript:Manes.08G088931.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLYFTKLKKLWNELTCLIPQPECTCGATKLMNKRDNNDKVMRFLMGLGDHYDNVKNRILIINPLLSVSKAFSPVQRDKTRE >Manes.01G203400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37593475:37600877:-1 gene:Manes.01G203400.v8.1 transcript:Manes.01G203400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKNPLVFFDVSIDGDPVERIAIELFADVVPKTADNFRALCTGEKGNGKTTGKPLHYKGSFFHRIIRGFMAQGGDFSKGNGTGGESIYGGKFADENFILKHDEPGLLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGMDVVKKIEQVGTGDGKPIHPVKIVDCGETSENKIQGAVGNAGKKKKAGKIPSSDDSSDGQTRGKQKKSLKDRRKKRKKRYLSSDSYSSDDDSDSGTDSDSESVSDSSLSDSSSSSDGRHIRKRKSLKRGKHQHGRKRRDGRRERKRVRHNRRSKRKSKWSSGSSSDTESSTSGSTSSSSDDKKADLHVSARKTNSSTLAEKKVENLDARKKSPASHLGKEVVAGRDHKPKATGENLSNEEGELPPKNDGHANNGNGIDPESEMTTNRRTYADDSNKPRHAIPSPKRRPNNSHRSRSTSPKKAPSSPRFRTNSRSPARKSGEPSQGRPSRSPLGNLARKAPESSNSDHRKGLSRSQSPNGTPKRIRKGRGFTERYSFARRYRTPSPEKSPQRSYRYGERNINERYRDRSYSERSSNRRYRSPPRGRSPSRYGNRRSRSISRSPGSYRGRYKDQSRSQSPIRSPSPREKRPAISEGLKSRLGARIDDQHDLDKGMSRSRSSSRSRSHGVSLSRSPDAVPTKHRRSKSSSPSAQRGLVSYGDLSPDSGTK >Manes.01G203400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37593475:37600877:-1 gene:Manes.01G203400.v8.1 transcript:Manes.01G203400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKNPLVFFDVSIDGDPVERIAIELFADVVPKTADNFRALCTGEKGNGKTTGKPLHYKGSFFHRIIRGFMAQGGDFSKGNGTGGESIYGGKFADENFILKHDEPGLLSMANSGPNTNGSQFFITFKRQPHLDGKHVVFGKVIKGMDVVKKIEQVGTGDGKPIHPVKIVDCGETSENKIQGAVGNAGKKKKAGKIPSSDDSSDGQTRGKQKKSLKDRRKKRKKRYLSSDSYSSDDDSDSGTDSDSESVSDSSLSDSSSSSDGRHIRKRKSLKRGKHQHGRKRRDGRRERKRVRHNRRSKRKSKWSSGSSSDTESSTSGSTSSSSDDKKADLHVSARKTNSSTLAEKKVENLDARKKSPASHLGKEVVAGRDHKPKATGENLSNEEGELPPKNDGHANNGNGIDPESEMTTNRRTYADDSNKPRHAIPSPKRRPNNSHRSRSTSPKKAPSSPRFRTNSRSPARKSGEPSQGRPSRSPLGNLARKAPESSNSDHRKGLSRSQSPNGTPKRIRKGRGFTERYSFARRYRTPSPEKSPQRSYRYGERNINERYRDSYRSYSERSSNRRYRSPPRGRSPSRYGNRRSRSISRSPGSYRGRYKDQSRSQSPIRSPSPREKRPAISEGLKSRLGARIDDQHDLDKGMSRSRSSSRSRSHGVSLSRSPDAVPTKHRRSKSSSPSAQRGLVSYGDLSPDSGTK >Manes.06G067000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20316317:20317306:-1 gene:Manes.06G067000.v8.1 transcript:Manes.06G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKFQQHQNNILNSLRPSPLKINKDSHLIHKLSSSTEASNSSSTSTSVVAPVGGEAGAKQLQRNHPVIIYTYSPKVIHTEARDFMALVQKLTGLSSSSYDETIKPTQQGQESGGVNVTNNNSKDLKAAVSTDDKESILKAPKNRYLADIPLFTPNSVDFFCSPRPARRTDSAYASSSMADSISPSVLKFMKGLPEY >Manes.01G266800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42111654:42119421:-1 gene:Manes.01G266800.v8.1 transcript:Manes.01G266800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSKICNGAQTNCTFPDLFNPQTPKSVSSISFRSQLKGSSVSWGLKHCRRKPDCTAGTVKVTPVRVSASVATAEKPSTAPEIVLQPINEISGVVTLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHYMLGALRTLGLHVEDNSQLKRAVVEGCGGHFPVGRKSKNVELFLGNAGTAMRPLTAAVTAAGGNSSYILDGVPRMRERPIGDLVAGLKQLGADIECSSTNCPPVHVNGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVEMTLKLMERYGVTVKHTGSWDRFFIRGGQKYKSPGNSFVEGDASSASYFLAGAAITGGTVTVEGCGTSSLQGDVKFAEVLEKMGAKVTWTENSVTVTGPPRSSPSEKHLRAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAICTELRKLGATVEEGPDYCVITPPEKLNIAEIDTYDDHRMAMAFSLAACGDVPVTIKDPGCTRKTFPDYFEVLERFTKQ >Manes.17G060000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25866709:25870849:1 gene:Manes.17G060000.v8.1 transcript:Manes.17G060000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIFSSLRRRRSPSLEAFLAPVDLSDVTLVETLASVSTELVSCFSGTSFFFQRKNSRSLIRKIELFGVLLEYLRDSGSGTCLSPTAVVCFKELYLLLYRSKILLNYCAESSKLWLLLQNQSISGHFHDVNQEISTLLDVFPLDDHELSDDVREQIELMQKQTRKARLYIDKNDEALRVRLFSFLDEFENGRIPGLVELRSFFVDSLGIRDAKSCRTEIEFLEEQIVNHEGDVEPSASVLNGFVAITRYCRFLLFGFETNEVELQFGSMKKPRKRLITQEIANTFITIPKDFCCPISLDLMRDPVIVSTGQTYDRSSISRWVEDGHSTCPKTGQMLTNTRLVPNRALRNLIVQWCTAHGIACEPPENTDSSAEAFAATLPSKAAIEANRATATLLIQQLANGSQGAKTIAAREIRLLAKTGKENRAFIAEAGAIPHLCQLLSSSNPVAQENSVTAMLNLSIYDKNKSRIMDEEGCLGSIVEVLMFGLTTEARENAAATLFSLSAVHDYKKRIADEGGAVEALAGLLRVGTPRGKKDAVTALFNLSTHTENCVKMIEAGAVTALVGALGNEGVAEEAAGALALIVRQPIGAEAVGREEMAVAGLIGMMRCGTPRGKENAVAALLELCRSGGAAATERVLRAPALAGLLQTLLFTGTKRARRKAASLARVFQRCENYALHFGGLGAGYAFAGNSATNRDSSFVGDVSVAMSISVPVL >Manes.15G156600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12920235:12923391:1 gene:Manes.15G156600.v8.1 transcript:Manes.15G156600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGEIPENANENCPGPQSESAGKSDDCQGCPNQEACASAPKGPDPDLVAIAERMATVKHKLLILSGKGGVGKSTFSAQLSFALAAMDFQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQATGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGVEVLGVVENMSGLCQPLTDFRFMKLTEAAEQQDITEKVLECMREKAPEMLGLIAYSEVFDSSGGGATHMCREMGVPFLGKVPLDPHLCKAAEEGRSCFADQKCGTSAPALKNIIENLLAAKKWN >Manes.02G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:889724:894302:-1 gene:Manes.02G007400.v8.1 transcript:Manes.02G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSIFYFIFSQFDSRTTPPRNMEALRPKGRTTATHIPPLHTAQLLPIVPFNRVFASVYLCALLAFFYHHLQRLISSTTPISSFINLILFISDLVLAFMWSTAQAARLYPIQRKEFPENLDKVITRSDYPAIDVFICTADPSKEPPMSVVNTALSVMSYDYPTEKLSVYVSDDGGSALTLFAFMEAAKFAAHWLPFCRENNILQRSPESYFESNYSTSSQTVEIKKLYESMKMRVEHVMEKGKINDEYIRSHEECQVFKQWTHKFTIANHPTIIQVILANNKSKDAGGNFMPNLIYISREKSSNTHHRFKAGASNVLLRVSAIMTNAPIILVLDCDMYSNDPQTLLRMLCYYSDPKIRSKYSYIQFPQYFHGVNKNDMYACQFKRLFQIQPMGFDGLGGANHVGTNCFFSRRAFFGGPSNFVSPEIPELSPSHVVDKPIQSSEVMALAYHVAGCNYENQTTWGYKMGYRYGSLVEDFFTGYQLRCEGWRSMFCNPYRPAFLGNAPISLVDSLNQQKRWVVGVLEVGFSKYSPIIYGIRRVGLLMSLLYSQYAFWPIWSIPITTYAFLPQLALINQVRIFPKVSEPWFLLYPFLFLGAYGQDYFDFVSAKGTFQMWWNDQRIWTVRGVSCFMFGSLEFLLKSLGISTLGFSVTSKVVDDEQSKRYDQGIFDFGVPSPMFVPLTMAALINLVALILGLAQVLKGGNSLEGLVIQMFIAGFWVVNCWPIYEAMVYRKDNGKLPVKITLTAAFLTWALYIVA >Manes.05G063700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5320775:5322348:-1 gene:Manes.05G063700.v8.1 transcript:Manes.05G063700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEVKEQAEMVQDNDKKLKYLDFVQAAAIYVLICFSAIYDYAKENSGPLKLGVQTVEGTVKTVIGPVYEKFRDVPFELLKFVDLKVDHSLSELDRHVPSLVKQASSQARAVAWEVRRAGMVDAAKSIAKTMYTKYEPTAKELYCKYEPVAERYAVSAWHALNLLPLFPRAAEVAVPTAAYWSEKYNLVVSQTAERGYTAASYLPLIPIEKIAKVFQEDDNGPTVSASEEVVTAQ >Manes.15G018700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1573100:1573682:1 gene:Manes.15G018700.v8.1 transcript:Manes.15G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKENDQLKVITVEFKVSMYCNACERNVAKTISKFKGVETFTTDMNKHKVVVIGCIDPQKLVKKLKKKTGKRVEIIVKKEEEEEEKEKATKENYDNQGNDAGPPFFLDFCEEELLMSFSDENPNACSVM >Manes.10G076000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14242417:14244728:1 gene:Manes.10G076000.v8.1 transcript:Manes.10G076000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDEDYLGAGVSSTTSFSQLLLSDDDNDIVGIHMSHDFHYSSSAATGNPPKMLCFGDFHHLQKAQDDTNVVLHSEPTISTLQKSSGVTCSESSSTSSANNISNSKNVNTASRSTRKNGSSQKSGLSNRSIAKTRLTSDRTSKKSKTETPISSAQPKVRKEKLGERVTALQQLVSPFGKTDTASVLHEAMGYIRFLQDQVQVLCSPYLKHPLVSTIPHIISVLFTLMCFHVSTPHIMLDQYFIESKSH >Manes.10G076000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14242417:14244728:1 gene:Manes.10G076000.v8.1 transcript:Manes.10G076000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDEDYLGAGVSSTTSFSQLLLSDDDNDIVGIHMSHDFHYSSSAATGNPPKMLCFGDFHHLQKAQDDTNVVLHSEPTISTLQKSSGVTCSESSSTSSANNISNSKNVNTASRSTRKNGSSQKSGLSNRSIAKTRLTSDRTSKKSKTETPISSAQPKVRKEKLGERVTALQQLVSPFGKTDTASVLHEAMGYIRFLQDQVQVLCSPYLKHPLKKKSEENGGEEARKDLKSRGLCLVPVEWTVHVASSNGADYWSHASGSASESICP >Manes.10G076000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14242417:14244728:1 gene:Manes.10G076000.v8.1 transcript:Manes.10G076000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDEDYLGAGVSSTTSFSQLLLSDDDNDIVGIHMSHDFHYSSSAATGNPPKMLCFGDFHHLQKAQDDTNVVLHSEPTISTLQKSSGVTCSESSSTSSANNISNSKNVNTASRSTRKNGSSQKSGLSNRSIAKTRLTSDRTSKKSKTETPISSAQPKVRKEKLGERVTALQQLVSPFGKTDTASVLHEAMGYIRFLQDQVQKKKSEENGGEEARKDLKSRGLCLVPVEWTVHVASSNGADYWSHASGSASESICP >Manes.01G136700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32925881:32930768:-1 gene:Manes.01G136700.v8.1 transcript:Manes.01G136700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVATTCHFTLDTIMPQALSLLERCSSMEELKQVHAHMFKTGLILETIPVSKLLAFSCSPKSGNLPYAHMIFDRISRPNTFMWNKMIRGYADSTKPEQALKLYHQMLRDSVPHNAYTFPFLLKACSSLSAIEETQQIHAHIIKLGFASDVYATNSLLHAYATSGFIKSAQVLFDRIPQPDVVSWNSTIDGYMKHGYTEIANQLFRDMPARNAISYTIMISGYVQAGLDKEALDLFQEMQIAGVKPDKVGLASVLSACANSGALDQGRWIHAYINKNGIQVDKILGCVLINMYAKCGSVKEALEAFKKIGKKSVSVWTAIIYSFAIHGCGREALYWFMQMLKAGIKPNLITFTAILTACSYAGLVDEGKSLFDSMDKVYSLNPTVEHYGCMVDLLGRAGLLKEATDLIERMPVKPNAVIWGALLNACHIHGNIELGKQIGKFLIELDPDHGGRHIHLANVHAAAGEWNEAAEARRHMNEYGVSKLPGCSSIILDGAVHGFLAGDGSHPQMKEIYCMWYNIAVQLRQEGYKTTTLKFLT >Manes.01G136700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32925883:32930768:-1 gene:Manes.01G136700.v8.1 transcript:Manes.01G136700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVATTCHFTLDTIMPQALSLLERCSSMEELKQVHAHMFKTGLILETIPVSKLLAFSCSPKSGNLPYAHMIFDRISRPNTFMWNKMIRGYADSTKPEQALKLYHQMLRDSVPHNAYTFPFLLKACSSLSAIEETQQIHAHIIKLGFASDVYATNSLLHAYATSGFIKSAQVLFDRIPQPDVVSWNSTIDGYMKHGYTEIANQLFRDMPARNAISYTIMISGYVQAGLDKEALDLFQEMQIAGVKPDKVGLASVLSACANSGALDQGRWIHAYINKNGIQVDKILGCVLINMYAKCGSVKEALEAFKKIGKKSVSVWTAIIYSFAIHGCGREALYWFMQMLKAGIKPNLITFTAILTACSYAGLVDEGKSLFDSMDKVYSLNPTVEHYGCMVDLLGRAGLLKEATDLIERMPVKPNAVIWGALLNACHIHGNIELGKQIGKFLIELDPDHGGRHIHLANVHAAAGEWNEAAEARRHMNEYGVSKLPGCSSIILDGAVHGFLAGDGSHPQMKEIYCMWYNIAVQLRQEGYKTTTLKFLT >Manes.01G136700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32925883:32930836:-1 gene:Manes.01G136700.v8.1 transcript:Manes.01G136700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKMIRGYADSTKPEQALKLYHQMLRDSVPHNAYTFPFLLKACSSLSAIEETQQIHAHIIKLGFASDVYATNSLLHAYATSGFIKSAQVLFDRIPQPDVVSWNSTIDGYMKHGYTEIANQLFRDMPARNAISYTIMISGYVQAGLDKEALDLFQEMQIAGVKPDKVGLASVLSACANSGALDQGRWIHAYINKNGIQVDKILGCVLINMYAKCGSVKEALEAFKKIGKKSVSVWTAIIYSFAIHGCGREALYWFMQMLKAGIKPNLITFTAILTACSYAGLVDEGKSLFDSMDKVYSLNPTVEHYGCMVDLLGRAGLLKEATDLIERMPVKPNAVIWGALLNACHIHGNIELGKQIGKFLIELDPDHGGRHIHLANVHAAAGEWNEAAEARRHMNEYGVSKLPGCSSIILDGAVHGFLAGDGSHPQMKEIYCMWYNIAVQLRQEGYKTTTLKFLT >Manes.01G136700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32925881:32930768:-1 gene:Manes.01G136700.v8.1 transcript:Manes.01G136700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVATTCHFTLDTIMPQALSLLERCSSMEELKQVHAHMFKTGLILETIPVSKLLAFSCSPKSGNLPYAHMIFDRISRPNTFMWNKMIRGYADSTKPEQALKLYHQMLRDSVPHNAYTFPFLLKACSSLSAIEETQQIHAHIIKLGFASDVYATNSLLHAYATSGFIKSAQVLFDRIPQPDVVSWNSTIDGYMKHGYTEIANQLFRDMPARNAISYTIMISGYVQAGLDKEALDLFQEMQIAGVKPDKVGLASVLSACANSGALDQGRWIHAYINKNGIQVDKILGCVLINMYAKCGSVKEALEAFKKIGKKSVSVWTAIIYSFAIHGCGREALYWFMQMLKAGIKPNLITFTAILTACSYAGLVDEGKSLFDSMDKVYSLNPTVEHYGCMVDLLGRAGLLKEATDLIERMPVKPNAVIWGALLNACHIHGNIELGKQIGKFLIELDPDHGGRHIHLANVHAAAGEWNEAAEARRHMNEYGVSKLPGCSSIILDGAVHGFLAGDGSHPQMKEIYCMWYNIAVQLRQEGYKTTTLKFLT >Manes.01G136700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32925881:32930768:-1 gene:Manes.01G136700.v8.1 transcript:Manes.01G136700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVATTCHFTLDTIMPQALSLLERCSSMEELKQVHAHMFKTGLILETIPVSKLLAFSCSPKSGNLPYAHMIFDRISRPNTFMWNKMIRGYADSTKPEQALKLYHQMLRDSVPHNAYTFPFLLKACSSLSAIEETQQIHAHIIKLGFASDVYATNSLLHAYATSGFIKSAQVLFDRIPQPDVVSWNSTIDGYMKHGYTEIANQLFRDMPARNAISYTIMISGYVQAGLDKEALDLFQEMQIAGVKPDKVGLASVLSACANSGALDQGRWIHAYINKNGIQVDKILGCVLINMYAKCGSVKEALEAFKKIGKKSVSVWTAIIYSFAIHGCGREALYWFMQMLKAGIKPNLITFTAILTACSYAGLVDEGKSLFDSMDKVYSLNPTVEHYGCMVDLLGRAGLLKEATDLIERMPVKPNAVIWGALLNACHIHGNIELGKQIGKFLIELDPDHGGRHIHLANVHAAAGEWNEAAEARRHMNEYGVSKLPGCSSIILDGAVHGFLAGDGSHPQMKEIYCMWYNIAVQLRQEGYKTTTLKFLT >Manes.01G136700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32925883:32930836:-1 gene:Manes.01G136700.v8.1 transcript:Manes.01G136700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKMIRGYADSTKPEQALKLYHQMLRDSVPHNAYTFPFLLKACSSLSAIEETQQIHAHIIKLGFASDVYATNSLLHAYATSGFIKSAQVLFDRIPQPDVVSWNSTIDGYMKHGYTEIANQLFRDMPARNAISYTIMISGYVQAGLDKEALDLFQEMQIAGVKPDKVGLASVLSACANSGALDQGRWIHAYINKNGIQVDKILGCVLINMYAKCGSVKEALEAFKKIGKKSVSVWTAIIYSFAIHGCGREALYWFMQMLKAGIKPNLITFTAILTACSYAGLVDEGKSLFDSMDKVYSLNPTVEHYGCMVDLLGRAGLLKEATDLIERMPVKPNAVIWGALLNACHIHGNIELGKQIGKFLIELDPDHGGRHIHLANVHAAAGEWNEAAEARRHMNEYGVSKLPGCSSIILDGAVHGFLAGDGSHPQMKEIYCMWYNIAVQLRQEGYKTTTLKFLT >Manes.05G036600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3014818:3020581:-1 gene:Manes.05G036600.v8.1 transcript:Manes.05G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVKLGVEVVSAHDLMPKDAQGSASAFVELHFDHQKFRTTTKEKDLNPVWNENFYFNISDPNNLSNLTLEAYVYNHSKENNSKSFLGKVRLTGTSFVPYSDAVVLHYPLEKRGIFSRAKGELGLKVFVTDNPSIRSSNPLPAMDSSLFKDSRSTQAQALEQQIPDSVPKLFSSDKNESRHTFHHLPNSSQPLPQQQQHVPAVAPQQTMNFGTHEIRSEPHAPRIVRMFSDSSSQPVDYALKETSPFLGGGQIVGGRVIRGERMASTYDLVEQMMYLFVRVVKARDLPTKDVTGSLDPYVEIRVGNYKGITKYFEKQKNPEWNEVFAFARDRMQSSVLEVVVKDKDLVKDDFVGILRFDMHEIPTRVPPDSPLAPQWYRLEDRKGEKVNGELMLAVWYGTQADETFPDAWHSDAVTPSDSPSTISAHIRSKVYHSPRLWYVRVNVIEAQDLVIPDKNRFPDSYVKVQIGNQVLKTKMVQTRTMNPVWNEDLMFVAAEPFEDHLILSVEDRVGPNKDETVGKVVIPLNSVEKRADDRIIRSRWFNIEKSISAAMDEQLAKKDKFSSRLHLRVVLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGVLNADGLHPMKTRDGKGTSDTYCVAKYGQKWVRTRTIIDSLSPKYNEQYTWEVYDPATVLTVGVFDNSNLGGSNGNRDIKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGIKKMGELHLAIRFSYTSMANMMFLYARPLLPKMHYIRPLTVMQQDMLRHQAVNIVAARFSRAEPPLRKEVVEYMSDADSHLWSMRRSKANFFRLMSVFSGLFGVGKWFGEVCMWKNPITTVLVHLLFAMLVCFPELILPTVFLYMFVIGLWKYRFRPRYPPHMNTRISCADAVHPDELDEEFDTFPTTRSPEIVRMRYDRLRSVAARIQTVVGDVASQGERIQSLLSWRDPRATTIFLTFCLVSAIFLYATPFQVLALVAGFYSMRHPRFRHKTPSAPINFFRRLPARTDSML >Manes.17G005900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2136041:2139064:1 gene:Manes.17G005900.v8.1 transcript:Manes.17G005900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT4 MRNLKFPKRYLIVILTFISTCVCYIERVGFSIAYTVAADAAGVNQSSKGTILSTFYYGYAFSQVPGGWAAQRIGGRKVLLLSFVLWSSTCFLVPLDPNRVVILVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLLLPSLVKYKGPESVFLTEAALGGLWSLLWFKYASDPPRSEHPKAAAAGFGDSLLPIKGSQKIKMENGGSIIKTAKIPWRRILVSFPIWAIVANNFTFHYALYVLMNWLPTYFEQGLKLSLQEMGSSKMMPYLNMFVFSNIGGVVADHLITKRILSVTRTRKLLNTIGFFVASLALMALPIFRTSIGAVICSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGRLLEASKTAYSDLSGPESWRAVFVIPGLLCIFSSFMFLLFSTGERIFD >Manes.17G005900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2136034:2139102:1 gene:Manes.17G005900.v8.1 transcript:Manes.17G005900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT4 MRNLKFPKRYLIVILTFISTCVCYIERVGFSIAYTVAADAAGVNQSSKGTILSTFYYGYAFSQVPGGWAAQRIGGRKVLLLSFVLWSSTCFLVPLDPNRVVILVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLLLPSLVKYKGPESVFLTEAALGGLWSLLWFKYASDPPRSEHPKAAAAGFGDSLLPIKGSQKIKMENGGSIIKTAKIPWRRILVSFPIWAIVANNFTFHYALYVLMNWLPTYFEQGLKLSLQEMGSSKMMPYLNMFVFSNIGGVVADHLITKRILSVTRTRKLLNTIGFFVASLALMALPIFRTSIGAVICSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGRLLEASKTAYSDLSGPESWRAVFVIPGLLCIFSSFMFLLFSTGERIFD >Manes.17G005900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2136041:2138666:1 gene:Manes.17G005900.v8.1 transcript:Manes.17G005900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT4 MRNLKFPKRYLIVILTFISTCVCYIERVGFSIAYTVAADAAGVNQSSKGTILSTFYYGYAFSQVPGGWAAQRIGGRKVLLLSFVLWSSTCFLVPLDPNRVVILVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLLLPSLVKYKGPESVFLTEAALGGLWSLLWFKYASDPPRSEHPKAAAAGFGDSLLPIKGSQKIKMENGGSIIKTAKIPWRRILVSFPIWAIVANNFTFHYALYVLMNWLPTYFEQGLKLSLQEMGSSKMMPYLNMFVFSNIGGVVADHLITKRILSVTRTRKLLNTIGFFVASLALMALPIFRTSIGAVICSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGRLLEASKTAYSDLSGPESWRAVFVIPGLLCIFSSFMFLLFSTGERIFD >Manes.17G005900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2136034:2139102:1 gene:Manes.17G005900.v8.1 transcript:Manes.17G005900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT4 MRNLKFPKRYLIVILTFISTCVCYIERVGFSIAYTVAADAAGVNQSSKGTILSTFYYGYAFSQVPGGWAAQRIGGRKVLLLSFVLWSSTCFLVPLDPNRVVILVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLLLPSLVKYKGPESVFLTEAALGGLWSLLWFKYASDPPRSEHPKAAAAGFGDSLLPIKGSQKIKMENGGSIIKTAKIPWRRILVSFPIWAIVANNFTFHYALYVLMNWLPTYFEQGLKLSLQEMGSSKMMPYLNMFVFSNIGGVVADHLITKRILSVTRTRKLLNTIGFFVASLALMALPIFRTSIGAVICSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGRLLEASKTAYSDLSGPESWRAVFVIPGLLCIFSSFMFLLFSTGERIFD >Manes.02G227100.25.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619467:36632338:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.28.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619479:36632037:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36619476:36631889:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLMIGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.23.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36622006:36633714:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36619476:36632484:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNWTCLHEQEDQLCTAFVTGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619473:36633714:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619466:36632484:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36626205:36631889:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36620899:36631889:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNWTCLHEQEDQLCTAFVTGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.22.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619476:36633714:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36619490:36633714:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVMGMMWSNWSVFFPLFSCRLQIRLIFIFSFGSLGEMGCDLQSEYEHPITEEAFSGCPVSFAGSIQVANLIKLLGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36620007:36631920:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVMGMMWSNWSVFFPLFSCRLQIRLIFIFSFGSLGEMGCDLQSEYEHPITEEAFSGCPVSFAGSIQVANLIKLLGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36619466:36632484:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLMIGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.26.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619473:36632037:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36619479:36632485:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVMGMMWSNWSVFFPLFSCRLQIRLIFIFSFGSLGEMGCDLQSEYEHPITEEAFSGCPVSFAGSIQVANLIKLLGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.27.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619479:36632037:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.29.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619472:36632029:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36620007:36633714:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVMGMMWSNWSVFFPLFSCRLQIRLIFIFSFGSLGEMGCDLQSEYEHPITEEAFSGCPVSFAGSIQVANLIKLLGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36619491:36631887:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNWTCLHEQEDQLCTAFVTGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36619476:36631889:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.02G227100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36619479:36631851:1 gene:Manes.02G227100.v8.1 transcript:Manes.02G227100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVMGKQLPHLFAVSFYEESHYLLTKDKKEGQNTSRPEDQGTFFVLQLQHLITGSWLRLPSYSSSGFPVNNCLLAKTMLSIRNLRHGITALSPTVLLSLIVNNEYHCTEIFRHHIRVSSSQRPVCEFMNSSLTNLYMPIVVFGMWDGLNRQMHSLSTPGRAILVQARDPAKLSMEIEKAIDDHRFNDAWKLFEQHSHMEGLPRKSIVNKLLASFAESFDVCWLEKAHGLVEQAIVESKQKLLEKEPLIYLSFSLAKCGLSIPASTIIRRLIEMELYPPVTAWSAIVGHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTSVNIALAGCLLFGKTRKAEQLLDMMPHISIKVNATLLITVAHIYERNGRREELKKLKRHVDEAYSLSDIQFRQFYTCLLTCHLKFGDLESASNMVLKMLQKAKEARNSLAAATLLIRDKSKSSTGQFSQESLNLRYGLEKNRSTGVCMISYEEFSKDRNFLKLEAEAKELLGSLLGKLQNQVELITTDHGVLLPTEKIYVKLVKAYLESGKTKDLVAFLIKAEKEDSPASNDDSVLVHVINACISLGWLDQAHDLLDEMRLAGVTTSSSVYASLLKSYCKASRAGEAASLLRDARRAGFQLDSSCYNALIESRVLQNDTHGALNLFKEAKDAKIHRASHQQFEMLVKGCSEGGEAGLMTKLLREIEDGQTLDSGVHVWNNVIHFFCRKKLMQDAERALKKMRSLGHAPNAQTFHSMVTGYAAVGGKYVEVTELWGEMKALAATTSMNFDQELLDSVLYTFVRGGFFVRAIEVVDMMEKENMFIDKYKYRTLFLKYHKTLHKGKAPKFQTEAQLRKREAALSFKKWVGLQ >Manes.17G026099.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20146195:20146503:-1 gene:Manes.17G026099.v8.1 transcript:Manes.17G026099.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNMNNMEKSILELHEMLKTAEVNVKKRPTEILNVNKGKPMKSKGKPKSKGGNGPKGRGKPKLQAKAKVPKEEICFHCKEPMHWKRNCKLFLDECKKKKSSE >Manes.02G097900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7622129:7623234:1 gene:Manes.02G097900.v8.1 transcript:Manes.02G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSGASSGSIIQRKPSSEEDLQQIMDQRKRKRMLSNREAARRSRMKKQKRLDDLMSQLDQLEKENNEIFNNICITSQLLLNVEAKNSILIAQVDELSHRLESLNEIVNYVNFSNGLIIKDEYDDQMIIDDYVVNPWINSFHVNQPIMDMALF >Manes.04G066950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:25370799:25372218:1 gene:Manes.04G066950.v8.1 transcript:Manes.04G066950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQKIKDNSRRLWSHQTFPEIASVSPPALALKSPSSFLEILAKFSIHLDRLHIDLLSCMSHKLAWSSSCPAPSYVDLGDFRPSRLLGFSSPGALPGSGSRASPA >Manes.04G030200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3674342:3674986:-1 gene:Manes.04G030200.v8.1 transcript:Manes.04G030200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPTPEAPLRLENYSQWNAYVIGPVIAVCSSVVLFSYYRMLRRLCCTLNTQTLPRNRAQMSRINQNNLEDSSLQNYSHGLESNIMRSLPISQFKKEEESEASNRECAVCLGEFEEGEWLKHLPNCAHVFHVACIDTWFQTHSNCPLCRSHVYDLCHEYSISMDTLLHTLRREDFFNERAEHYQNLRSEILRNSIPATEEIGTGLVSSRQVLE >Manes.08G008300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1100207:1100383:1 gene:Manes.08G008300.v8.1 transcript:Manes.08G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIIVVSLPLILFILILALACYLIGRNSGRREAARIPQYYGPPAPAPPPSVDKPSQV >Manes.11G113101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26671206:26675252:-1 gene:Manes.11G113101.v8.1 transcript:Manes.11G113101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGKVEKPSKRKSSLKNPKPHPKFKKKKKNQPPKADKNESKTKSSSDINEVTPASASASASELRSFFINEFQSANGLQLSSIELESIKETSFLELSQELGQDVQALGKQMKAAFGSSYKEVLCEGQLVEGKIDPGSPAVLIVSTSALRAIELLRGVRTLTRECHAVKLFSKHMKVEEQVALLKDRVNLASGTPSRVKKLIDIEALGLSRLSVIVLDIHTDMKGYSLLTLPQVRDEFWDLYKNYLHQPLLRGDLRICLFGPLPNGNVVRGKRKKVPDE >Manes.08G008100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1083458:1088293:-1 gene:Manes.08G008100.v8.1 transcript:Manes.08G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYIDKAVCGLTRSMVNPIFQEYTGKFYIRSIDFKSLSLGTIPPNIHGIKVHETIEKELVIEPAVRWVGNPNISLVLKFLSLPITVQLLDVQVFAAPRITLRPLVPTFPCFGSLVVSLLEKPRVDFGLKLLGADVMAIPGLYQFVQDRIGRQIASLYLWPQTLDIQILDGSVGAMKKPVGILHVKVVRAVKLLKMDLLGTSDPYVQLSLTGERLPAKRTSIKMKNLNPEWNERFKLVVKDPESQVLQLHVNDWEKVGTHDKLGMQVVPLRLLTPYETKRFTLDLVKNRNPDDPQNEKPRGKLVVEMAFNPFKEDGERFNGPSDCHVRKESGVGGVPEDMLVHRGGLLLVTVEGAEDVEGKHHNNPYAMVIFRGEQKQTKLIRKTRDPKWNEEFQFLLEEAPLKDKIHIDVISKRTWFSFRPKETLGYVDINLMDVVYNGRINQKYHLINSRNGVLTVDIRWKAL >Manes.08G008100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1083458:1088293:-1 gene:Manes.08G008100.v8.1 transcript:Manes.08G008100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSNLLGIIGFGIGIPIGLLLGFFIFIYLEPEDAKDPVIRPLHELDTISLLDIFPEIPMWVKHPDFDRVDWLNKFVSNMWPYIDKAVCGLTRSMVNPIFQEYTGKFYIRSIDFKSLSLGTIPPNIHGIKVHETIEKELVIEPAVRWVGNPNISLVLKFLSLPITVQLLDVQVFAAPRITLRPLVPTFPCFGSLVVSLLEKPRVDFGLKLLGADVMAIPGLYQFVQDRIGRQIASLYLWPQTLDIQILDGSVGAMKKPVGILHVKVVRAVKLLKMDLLGTSDPYVQLSLTGERLPAKRTSIKMKNLNPEWNERFKLVVKDPESQVLQLHVNDWEKVGTHDKLGMQVVPLRLLTPYETKRFTLDLVKNRNPDDPQNEKPRGKLVVEMAFNPFKEDGERFNGPSDCHVRKESGVGGVPEDMLVHRGGLLLVTVEGAEDVEGKHHNNPYAMVIFRGEQKQTKLIRKTRDPKWNEEFQFLLEEAPLKDKIHIDVISKRTWFSFRPKETLGYVDINLMDVVYNGRINQKYHLINSRNGVLTVDIRWKAL >Manes.06G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25046516:25053239:-1 gene:Manes.06G119400.v8.1 transcript:Manes.06G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSDKTEQLEGLTPANEAQADGEGVGEEEELSWSSDSEIGDALDWLDSKDDKEAVDGAITLNSRRPNAHGGLHSRPNSTTLQPLSNRNQRFSHHIRASPLEEWEGRLNVGMSNSVTTAIRESVRDMAIGKTKTTEKADRATVEQAIDPRTRMALFKMLNQGVFHDINGCISTGKEANVYHATKCDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLMRLKAAGIRCPTPYLLRLHVLVMEFIGKAGWAAPRLKDAALSLDKLREGYVEMIIAMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQAVDLDHPHALDFLREDCVHVSDFFKKHGVAVMTIRELFDFIVDPTITDDSVDSYLEEVQQKILSRDVTVEDEIADSVFVQSYIPKTLDHVKNAEEDVIRITSGKDTGDMYYQTITGLKEALSKVQVSEAQKDQKEEDLNPAEETSLNQDGHFNTSESESDSETDDENSKESDESGSLSEVVKKEPLDKRTARKENKKKVKEEKREARKTKIPKAVKKRKKKLAKAKKTR >Manes.02G135000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10280746:10281561:1 gene:Manes.02G135000.v8.1 transcript:Manes.02G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYPHFNFRASESVETGLSPLSPSPVILTQDELKKIAAYKAVEYVESGMVIGLGTGSTAKHAVDRIGELLRQGKLKNIIGIPTSKKTHEQALSLGIPLSDLDSYPNIDLAIDGADEVDPHLNLVKGRGGSLLREKMIEGACKKFVVIVDETKMVKYIGGSGLAMPVEIVPFCWKFTAKRLQELFGYAGCVAKLRTKGSNGKESGGEPFVTDNGNYIVDLYFKRDMGDLKAASDAILRLAGVVEHGMFLDMATTVIVAGELGVTIKNKHT >Manes.02G072900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5570966:5578068:-1 gene:Manes.02G072900.v8.1 transcript:Manes.02G072900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQMEVDDNSADFDMQLIGNFLSFASRGDRVGLNQMLRAGTSPNVQDYDKRTALHLAASEGHAPIVELLLHYKANVNLKDRWQRTPLTDARLYGHRDICRILEVHGGKDFINDQPMTVRHEQDSNELNFDISELNTERSSTVEQGVFGESVKVKWRGTWVVKTVIKSQIYHPVKMKLSAKDNTRLRELRHPNILQFLGSIVHGEEMILITEYLSKGNLDDILCRKGRLDLPTALRYALDIARGMNYLHEHKPLPIVHNHLDPRNLLQDEGDHLKIGEYWVQMFYEQIHPNQDTCQKMDDPSGTSIYQSNVTKKDICRFGFIFYQMLEGRHLSDMNFDFINLKSVDFEPKFQISRCPKRIQQLIERCTSKDPQSSPSFSAVIETLEEVSTSLGRAGCPVC >Manes.02G072900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5571263:5578066:-1 gene:Manes.02G072900.v8.1 transcript:Manes.02G072900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQMEVDDNSADFDMQLIGNFLSFASRGDRVGLNQMLRAGTSPNVQDYDKRTALHLAASEGHAPIVELLLHYKANVNLKDRWQRTPLTDARLYGHRDICRILEVHGGKDFINDQPMTVRHEQDSNELNFDISELNTERSSTVEQGVFGESVKVKWRGTWVVKTVIKSQIYHPVKMKLSAKDNTRLRELRHPNILQFLGSIVHGEEMILITEYLSKGNLDDILCRKGRLDLPTALRYALDIARGMNYLHEHKPLPIVHNHLDPRNLLQDEGDHLKIGEYWVQMFYEQIHPNQDTCQKMDDPSGTSIYQSNVTKKDICRFGFIFYQMLEGRHLSDMNFDFINLKSVDFEPKFQISRCPKRIQQLIERCTSKDPQSSPSFSAVIETLEEVSTSLGRAGCPVC >Manes.10G137484.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30553052:30553922:1 gene:Manes.10G137484.v8.1 transcript:Manes.10G137484.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITAELPHFTRLSKLEISECPQLTCMPLFPNLDEKLLLENCSLVQHLQQMIKMSIVQAVPSTSSSSSSSSSMLGLSKLKALWVVSIEDLKAFPQELLLILTSLEELHLKDCPRLASLPLEMRQLTLRALDIKGCSQLKEKYGTRKCSDWRIISHIPNIQIDGQKVQWDRKYLWDQEDSSIVPASPLSKLKTLIVEDLESLPEDWLPNLTRLQHRIQST >Manes.12G066300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6653637:6656998:1 gene:Manes.12G066300.v8.1 transcript:Manes.12G066300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHNNAHSELSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLQKYREMEGEKSSLGRQGEKDGAGGSGGGGAAASGGGSGGGVSSGGVGGAGGGFNGGGQGMYGGMMMMGHHQGHMYGSGGYHHQMGVGKGGSGNSSQTEG >Manes.11G103150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23355631:23355831:-1 gene:Manes.11G103150.v8.1 transcript:Manes.11G103150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTRVFGLLTHFLFCMIRRGSLHPHYTVQKAITRPEMHNPVNASPSHIKQGQFCNFVIYFRLVS >Manes.04G053480.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17764724:17805448:-1 gene:Manes.04G053480.v8.1 transcript:Manes.04G053480.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQASRIIKSLSSTICPRDPHISPFTSSSSSSAYSLLQSRSFAAASPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLKKFIADPQTEGIVLIGEIGGTAEEDAAALIKESGTQKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLKEAGVTVVESPAKIGSAMFNVFKERGLVN >Manes.04G053480.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17775155:17805448:-1 gene:Manes.04G053480.v8.1 transcript:Manes.04G053480.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQASRIIKSLSSTICPRDPHISPFTSSSSSSAYSLLQSRSFAAASPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLKKFIADPQTEGIVLIGEIGGTAEEDAAALIKESGTQKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLKEAGVTVVESPAKIGSAMFNVFKERGLVN >Manes.06G069700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20605721:20608627:1 gene:Manes.06G069700.v8.1 transcript:Manes.06G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEKMEEKGFHAKRQKGGMATLPFIFSSDACERLAIVGFNTNMISYLTGQLHMPLTKAAKTVTNYGGTATLTPLLGAFFADAYAGRFWTITVASIIYQIGMTSLTLSAALPNLRPPQCKEDEVCQEADTGQLAILYASLLLMALGSGGIRPCVVAFGAEQFDETDPKQSTKTWRYFNWYYFVMGVSNLLAVTVLVYVQDNVGWGLGLGIPAIVMFLSIIAFIIGYPLYRHLDPAGSPFTRLVQVSVAAFRKRKLSMVSDPKLLYQNEELDAPISIGGRLLHSKHMKFLDKAAIVTEEDNLKASETPNLWRLNTVHRVEELKSIIRMGPIWATGILLITAYAQQNTFSLQQAKSMDRHLSKSFQIPAASMSVFTMISMLTTVAIYDRFLIPFVRRFTGLERGMNFLQRMGIGFVISILATLVAGFVEIKRKHAAIANGLLNSHHTIPISVFWLVPQYSLHGIAEAFVSIGHLEFFYDQAPESMRSTGIALFWTATSVGNYASTLLVTLVHKFSAGPDGSNWLPDNNLNKGKLEYFYWLITLMQVVNFLCYLICAKMYTFKPIQIHRKEASDSIDDGVVELTNKVPVC >Manes.10G138601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30443237:30454168:-1 gene:Manes.10G138601.v8.1 transcript:Manes.10G138601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFPFLWIICLLCGELLCGGVAQSVHCNAADREALFDLKRGLNDSWNRLSSWHGTNCCGWSGIACHNTTGAVLAVDLPKSSGLQPLGGEIRPSLAKLKSLKHLDLSGNNFHGKIPHFLSDLQNLQYLNLSFAGFSGEIPPNLGNLSSLQFLDVSSVSLTVDNIEWVSGLLSLKYLSMNYLNLSSLGGAWIEPLNKLPLLTELHLEYCGLSGFIYSLPSVNFTALKVMKLQCSFFHAKLPTWFTNISSLVSVDIGNSWLTGRIPLGFGELPNLQSLKLNYNMELSASCFQLFAGSWKKIRVLDFSIINLHGRLPALLGNLTSLIHLDLHINNIEGGIPISIGKLSKLKYINLSSNKLIGSLPNSIGQLKNLVELRLNSNLLQGSIPYSIGNLQHLTILKLSSNNINGTLPDSIGLLSELSTLDVSLNKLTGIISEAHFHRLENLEQIILSDNSVILNVSSHWVPPFQVIFLQMSSCHVGPSFPYWLRSQKKIQVLDFSRAGVSGCIPNWFWNMTSILSFLNFSFNSLEGHIPNTFKLIPYAIVDLSFNQFKGPVPLPNALSLDLSHNQFYGSMPENISQVMSSLQFLSLSSNQLTGGIPASIGALSLNVLDLSKNNLAGSIPPNIGNCSSLTVLDLQNNNLSGRIPNSIGRLNGLQTLHLSNNKFSGEIPSSLQNLSKLETLDLGSNMLTGKLPFWVGEAFPLLRILCLGANKLSGELPLTLSNSSSLQILDLAENQLNGSIPANLGNLKAMAQQQKVNHYLLYGKDENHNYQENIHVTINGLGLTYTRTLSLLTSIDLSGNNLSGELPEAITRLVGLEVLNLSRNHISGQIPDSISELHELLSLDLSGNRLSGPIPQSITSLTFLGNLNVSNNNLSGKIPSANQMLTFNASSFAGNPGLCGDPLAVKCGNGSNNGDDNYPDGGGKADEDDNSNGFVDNWFYMSIGVGFAVGLLLPYLVFAMKRSWGGVYFAFVDGTAYRLSSEKMQAAMRRRTG >Manes.09G066601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10981112:10982898:1 gene:Manes.09G066601.v8.1 transcript:Manes.09G066601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHREDKKADDFGRAVSRMAVAQICETLGFHGFKESALDALTDVAIRYLLDLGKTASTHANLSVPPFPVVRDKRLIPSFLNMSETPPGKHIPPWLPAFPDPHTYIRTPMWNERVVDPRAEQIEQARQRRKAERALLSLQQRLVSNGSAEASSSEANNDNVKELGVPESNPFLSTPLKPGEKDVSAVVLPDKLKNNACLMEAFAPAIEAAKEGDLAESEDRERRLLPEKRPAVIFKFKTGKKLLGEPLDLSLSRKGGGRVGHWLGRDEERDDKKRRAEYILRHSMENPHELTQL >Manes.09G066601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10981109:10985128:1 gene:Manes.09G066601.v8.1 transcript:Manes.09G066601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHREDKKADDFGRAVSRMAVAQICETLGFHGFKESALDALTDVAIRYLLDLGKTASTHANLSGRTQCNLFDIVRGFEDMGAPRGFSGASSSGNCVVSSGTIKEIIEFVGSTDEIPFAQPVPPFPVVRDKRLIPSFLNMSETPPGKHIPPWLPAFPDPHTYIRTPMWNERVVDPRAEQIEQARQRRKAERALLSLQQRLVSNGSAEASSSEANNDNVKELGVPESNPFLSTPLKPGEKDVSAVVLPDKLKNNACLMEAFAPAIEAAKEGDLAESEDRERRLLPEKRPAVIFKFKTGKKLLGEPLDLSLSRKGGGRVGHWLGRDEERDDKKRRAEYILRHSMENPHELTQL >Manes.03G212100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32854319:32858056:-1 gene:Manes.03G212100.v8.1 transcript:Manes.03G212100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRWAMDGGFWELDVSTPVTLEGEARAVPGDPLPLGLSRGTKLSRSKQIHFFQRFMSAPFIPSYSPATPAHGGGGFSLQRVLAIPMFNQNWFGTLLGQFNLQKFVSSINESGALRSSDSSRLHTICRHLRDKSLYALGFCSELLLTPDDTLLFSLDTYGHSRTMRKKAILHHKLLNHNLTLEAVSPGLFVDKSGNYWDVPFSMALDLASIASDSGASYHLCTHYNRGSPKLFEGGHTLEVPAALLPGFSVKSAFSLKKNVDIWRSKAQKLKTVQPFDLFLSNPHISASGIIGAAMTACLGDNSVRSQEVDDPQGFKGLYLHTPGVKSALLADIFSSVSFTAQHGNFQRLFLDLTRFHVHLDFPSGSKFLSGAAKLAQDFFNSKQPSVEAVREICPNATISLQQQIAGPFSFRVDSGIVIDWKNKDWHLRTHDPVFAMEYALQALGSVKAIAWYSPKQQEFMLELRFFE >Manes.03G127400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25202426:25205326:1 gene:Manes.03G127400.v8.1 transcript:Manes.03G127400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNLDMFSRLTSSLLVLIVSYLPFKEAARTSVLSKQWRNVWRETTYLEFDEKSFVNLEETDENQRIQRSFFFDFIRQFLANYPRTPIQKFSLTCSKPEGFLADIQNFVIFAISRNVKDLELDFSDPIWREEDDPKNHPAAVELPFQVYQHLGLESLKLISCNFDLSRFSNSTTLKGVSLVWVDMSLASIEILLLRFPFLESLSLKKCWNIHHVEITVPNLRLKNLVLDKCHFNRNYYYIDGPTLRFLKFSGRVGIFQLVNLTQIEEADLDFGMEPEFDDIGILLYYFLEAVCAAKVLTLCSVLLQIVPTSHEPVRLRAPLAVRHLILKTAMHPNEFYGVRFMFRNCPKLEILTFEICPAKIFPDYEPPFELNPSEFWSEEIWVQMCMKKSLKVINVKGFKGTPNELYILRYIIMCARKLRKVNFYVFDGDDLEEIWEKFCYLRQVPSSSKNLKTHIQLISMDT >Manes.06G143500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27092343:27096692:1 gene:Manes.06G143500.v8.1 transcript:Manes.06G143500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERDAEDAIRGLDRIEFGRKGRRLRVEWTKQERGIRRPGSSRRSSTNTRPSKTLFVINFDPHYTRTKDLERHFEPYGRIVSVRIRRNFAFVQYESQEDATKALEATNMSKLTDRVISVEYAVRDDDERRNGFSPERGRDRSPDRRSHDKRRSPSPYRRERGSPDYGRGPSPGPYRRERASPDYGRRRSPSPYRRERASPDYGRGSSRSPYRRERAGSDHGRGPSSSPYRRERATPDIGQIQSGSPYQRGRASPDSARNRSPSPYGRERENADNGRGSSRSPYARAGASPDNGHRTSPISLPGERDSPNDVGAESPMHERYNSRSPPADE >Manes.06G143500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27092343:27096488:1 gene:Manes.06G143500.v8.1 transcript:Manes.06G143500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNFEYDARQSELERLFRRYGRVERVDMKSGFAFIYMEDERDAEDAIRGLDRIEFGRKGRRLRVEWTKQERGIRRPGSSRRSSTNTRPSKTLFVINFDPHYTRTKDLERHFEPYGRIVSVRIRRNFAFVQYESQEDATKALEATNMSKLTDRVISVEYAVRDDDERRNGFSPERGRDRSPDRRSHDKRRSPSPYRRERGSPDYGRGPSPGPYRRERASPDYGRRRSPSPYRRERASPDYGRGSSRSPYRRERAGSDHGRGPSSSPYRRERATPDIGQIQSGSPYQRGRASPDSARNRSPSPYGRERENADNGRGSSRSPYARAGASPDNGHRTSPISLPGERDSPNDVGAESPMHERYNSRSPPADE >Manes.06G143500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27092321:27096545:1 gene:Manes.06G143500.v8.1 transcript:Manes.06G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRNCTHAFFFLYIGFAFIYMEDERDAEDAIRGLDRIEFGRKGRRLRVEWTKQERGIRRPGSSRRSSTNTRPSKTLFVINFDPHYTRTKDLERHFEPYGRIVSVRIRRNFAFVQYESQEDATKALEATNMSKLTDRVISVEYAVRDDDERRNGFSPERGRDRSPDRRSHDKRRSPSPYRRERGSPDYGRGPSPGPYRRERASPDYGRRRSPSPYRRERASPDYGRGSSRSPYRRERAGSDHGRGPSSSPYRRERATPDIGQIQSGSPYQRGRASPDSARNRSPSPYGRERENADNGRGSSRSPYARAGASPDNGHRTSPISLPGERDSPNDVGAESPMHERYNSRSPPADE >Manes.06G143500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27092328:27096692:1 gene:Manes.06G143500.v8.1 transcript:Manes.06G143500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFCGNFEYDARQSELERLFRRYGRVERVDMKSGFAFIYMEDERDAEDAIRGLDRIEFGRKGRRLRVEWTKQERGIRRPGSSRRSSTNTRPSKTLFVINFDPHYTRTKDLERHFEPYGRIVSVRIRRNFAFVQYESQEDATKALEATNMSKLTDRVISVEYAVRDDDERRNGFSPERGRDRSPDRRSHDKRRSPSPYRRERGSPDYGRGPSPGPYRRERASPDYGRRRSPSPYRRERASPDYGRGSSRSPYRRERAGSDHGRGPSSSPYRRERATPDIGQIQSGSPYQRGRASPDSARNRSPSPYGRERENADNGRGSSRSPYARAGASPDNGHRTSPISLPGERDSPNDVGAESPMHERYNSRSPPADE >Manes.06G143500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27092343:27096488:1 gene:Manes.06G143500.v8.1 transcript:Manes.06G143500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRNCTHAFFFLYIGFAFIYMEDERDAEDAIRGLDRIEFGRKGRRLRVEWTKQERGIRRPGSSRRSSTNTRPSKTLFVINFDPHYTRTKDLERHFEPYGRIVSVRIRRNFAFVQYESQEDATKALEATNMSKLTDRVISVEYAVRDDDERRNGFSPERGRDRSPDRRSHDKRRSPSPYRRERGSPDYGRGPSPGPYRRERASPDYGRRRSPSPYRRERASPDYGRGSSRSPYRRERAGSDHGRGPSSSPYRRERATPDIGQIQSGSPYQRGRASPDSARNRSPSPYGRERENADNGRGSSRSPYARAGASPDNGHRTSPISLPGERDSPNDVGAESPMHERYNSRSPPADE >Manes.16G018560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1895996:1906009:-1 gene:Manes.16G018560.v8.1 transcript:Manes.16G018560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIPKTYNYSFVNNEEEIYFSYSHEDSSVLLRMVVDSRMVTWVSSNDSDGKWTEFWSASEYRCDSYGSCGANGMCDPKHVNIYECSCLPGYEPKSFRNWVLMRDGSGGCVRKRLKSSSVCGQGEGFVKVPQVKVPDTSTAVWVNMSMSRVDCEQECYKDCSCSAYASIKIPGKDVACLAWYGDLMDIVDLMDHSGYDAYVRVDAIELAAEIERSNGFLEMKGMLAFLVVSVSSAWFVIILFVYLWLRKRKKRVKNKSNRRLFDSINGPHYHKDNFEADDIQTSRSHTDLAFFNLSTISAATDNFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLIAKLQHRNLVKLLGCCIQEEERILIYEYLSNGSLDLFLFGLKVADHP >Manes.16G018560.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1902359:1905935:-1 gene:Manes.16G018560.v8.1 transcript:Manes.16G018560.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIPKTYNYSFVNNEEEIYFSYSHEDSSVLLRMVVDSRMVTWVSSNDSDGKWTEFWSASEYRCDSYGSCGANGMCDPKHVNIYECSCLPGYEPKSFRNWVLMRDGSGGCVRKRLKSSSVCGQGEGFVKVPQVKVPDTSTAVWVNMSMSRVDCEQECYKDCSCSAYASIKIPGKDVACLAWYGDLMDIVDLMDHSGYDAYVRVDAIELAEIERSNGFLEMKGMLAFLVVSVSSAWFVIILFVYLWLRKRKKRVKNKSNRRLFDSINGPHYHKDNFEADDIQTSRSHTDLAFFNLSTISAATDNFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLIAKLQHRNLVKLLGCCIQEEERILIYEYLSNGSLDLFLFVGIALGILYLHQDSRWQIIHRDLKGSNILLDAEMNPKISDFGMARILEDDQIQHKTHRVVGT >Manes.16G018560.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1896489:1905935:-1 gene:Manes.16G018560.v8.1 transcript:Manes.16G018560.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIPKTYNYSFVNNEEEIYFSYSHEDSSVLLRMVVDSRMVTWVSSNDSDGKWTEFWSASEYRCDSYGSCGANGMCDPKHVNIYECSCLPGYEPKSFRNWVLMRDGSGGCVRKRLKSSSVCGQGEGFVKVPQVKVPDTSTAVWVNMSMSRVDCEQECYKDCSCSAYASIKIPGKDVACLAWYGDLMDIVDLMDHSGYDAYVRVDAIELAEIERSNGFLEMKGMLAFLVVSVSSAWFVIILFVYLWLRKRKKRVKNKSNRRLFDSINGPHYHKDNFEADDIQTSRSHTDLAFFNLSTISAATDNFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLIAKLQHRNLVKLLGCCIQEEERILIYEYLSNGSLDLFLFVGIALGILYLHQDSRWQIIHRDLKGSNILLDAEMNPKISDFGMARILEDDQIQHKTHRVVGT >Manes.07G016700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1962145:1965871:1 gene:Manes.07G016700.v8.1 transcript:Manes.07G016700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSVIFPLSVRCIVVLVLSLQLSFEVPSIDAATTIGGNETDHLALLEFKAKISHDPQNVTSSWNTSAHFCNWEGVICSRRHKRVTGLNLHSKELVGNLSPYIGNMSFLKVIRLQNNSLQGEIPPEIGRLFRIQVLDLSNNSFEGKIPANLSRCLNMSFLGLAYNKLFGNFPVELATLLKLKHIVIHANYLSGDIPSFLGNFSSLEALSARGNFFSGNIPQSLGQLKHLSSIGLGSNNLSGIVPQAIFNLSSITVLSLSNNSLHGSLPSEIGLLLPQLQILQISRNNFSGSVPVSLSNATKLQRILLQHNNFNGKVDVDFGGLQQLYRLFLSNNNLGKEGENDLDFITSLLNSSNLKDVDLSYNQFKGELPNSVSNVSSTLEWISVHFNQIGGRLPQWLSKIVSLENINLAYNQITGTIPIELGKLSRLVDFKLNDNRLYGSIPSSLGNISSLGEIRLLRNNLQGTIPSSLGNCQKLLFLSLSQNNLSGSIPKELFPFQSMLVSIALDQNRLDGSLPSEIGNLFNLKELYVSQNLLSGEIPNDLGRCNSLELLYMGNNNLEGSIPPSLASLKGLRILDLSHNNFSGKIPEYLEQFALEHVNLSSNNFDGEVPTEGVFANASAISVAGNNRLCGGIPELQLPRCPVSKRSKLRSFKIAVIIISCVFGVVVLLTCMWYGFKKKKREQSPTSLDIMSFHNVSYEMILKATDGFSSANLIGVGSFGSVYKGTFVEDGAIFAVKVLNLQQQGASKSFMAECQSLRNVRHRNLVKIITSCSSIDFQGNEFKALVYDYMPNGDLQDWLHTDLERPVELIDEQPSLSLLQRLNIAIDIGNGLDYLHHHCQKPIIHCDLKPCNILLDDEMVAHIGDFGLAKFLPHLMNPTQSSSIGVRGTIGYTPPEYGLGSEVSTSGDVYSYGILLLEMVTGKKPTDDIFVEGFNLHNFARMAMPNQVLKIVDPILLQEDFPTKARNDSKVECLTCLIKVGVACTMESPQDRMDQL >Manes.07G016700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1962146:1965871:1 gene:Manes.07G016700.v8.1 transcript:Manes.07G016700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSVIFPLSVRCIVVLVLSLQLSFEVPSIDAATTIGGNETDHLALLEFKAKISHDPQNVTSSWNTSAHFCNWEGVICSRRHKRVTGLNLHSKELVGNLSPYIGNMSFLKVIRLQNNSLQGEIPPEIGRLFRIQVLDLSNNSFEGKIPANLSRCLNMSFLGLAYNKLFGNFPVELATLLKLKHIVIHANYLSGDIPSFLGNFSSLEALSARGNFFSGNIPQSLGQLKHLSSIGLGSNNLSGIVPQAIFNLSSITVLSLSNNSLHGSLPSEIGLLLPQLQILQISRNNFSGSVPVSLSNATKLQRILLQHNNFNGKVDVDFGGLQQLYRLFLSNNNLGKEGENDLDFITSLLNSSNLKDVDLSYNQFKGELPNSVSNVSSTLEWISVHFNQIGGRLPQWLSKIVSLENINLAYNQITGTIPIELGKLSRLVDFKLNDNRLYGSIPSSLGNISSLGEIRLLRNNLQGTIPSSLGNCQKLLFLSLSQNNLSGSIPKELFPFQSMLVSIALDQNRLDGSLPSEIGNLFNLKELYVSQNLLSGEIPNDLGRCNSLELLYMGNNNLEGSIPPSLASLKGLRILDLSHNNFSGKIPEYLEQFALEHVNLSSNNFDGEVPTEGVFANASAISVAGNNRLCGGIPELQLPRCPVSKRSKLRSFKIAVIIISCVFGVVVLLTCMWYGFKKKKREQSPTSLDIMSFHNVSYEMILKATDGFSSANLIGVGSFGSVYKGTFVEDGAIFAVKVLNLQQQGASKSFMAECQSLRNVRHRNLVKIITSCSSIDFQGNEFKALVYDYMPNGDLQDWLHTDLERPVELIDEQPSLSLLQRLNIAIDIGNGLDYLHHHCQKPIIHCDLKPCNILLDDEMVAHIGDFGLAKFLPHLMNPTQSSSIGVRGTIGYTPPEYGLGSEVSTSGDVYSYGILLLEMVTGKKPTDDIFVEGFNLHNFARMAMPNQVLKIVDPILLQEDFPTKARNDSKVECLTCLIKVGVACTMESPQDRMDVSNAIKELHAIKNNFMQTK >Manes.07G016700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1962146:1965871:1 gene:Manes.07G016700.v8.1 transcript:Manes.07G016700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSVIFPLSVRCIVVLVLSLQLSFEVPSIDAATTIGGNETDHLALLEFKAKISHDPQNVTSSWNTSAHFCNWEGVICSRRHKRVTGLNLHSKELVGNLSPYIGNMSFLKVIRLQNNSLQGEIPPEIGRLFRIQVLDLSNNSFEGKIPANLSRCLNMSFLGLAYNKLFGNFPVELATLLKLKHIVIHANYLSGDIPSFLGNFSSLEALSARGNFFSGNIPQSLGQLKHLSSIGLGSNNLSGIVPQAIFNLSSITVLSLSNNSLHGSLPSEIGLLLPQLQILQISRNNFSGSVPVSLSNATKLQRILLQHNNFNGKVDVDFGGLQQLYRLFLSNNNLGKEGENDLDFITSLLNSSNLKDVDLSYNQFKGELPNSVSNVSSTLEWISVHFNQIGGRLPQWLSKIVSLENINLAYNQITGTIPIELGKLSRLVDFKLNDNRLYGSIPSSLGNISSLGEIRLLRNNLQGTIPSSLGNCQKLLFLSLSQNNLSGSIPKELFPFQSMLVSIALDQNRLDGSLPSEIGNLFNLKELYVSQNLLSGEIPNDLGRCNSLELLYMGNNNLEGSIPPSLASLKGLRILDLSHNNFSGKIPEYLEQFALEHVNLSSNNFDGEVPTEGVFANASAISVAGNNRLCGGIPELQLPRCPVSKRSKLRSFKIAVIIISCVFGVVVLLTCMWYGFKKKKREQSPTSLDIMSFHNVSYEMILKATDGFSSANLIGVGSFGSVYKGTFVEDGAIFAVKVLNLQQQGASKSFMAECQSLRNVRHRNLVKIITSCSSIDFQGNEFKALVYDYMPNGDLQDWLHTDLERPVELIDEQPSLSLLQRLNIAIDIGNGLDYLHHHCQKPIIHCDLKPCNILLDDEMVAHIGDFGLAKFLPHLMNPTQSSSIGVRGTIGYTPPEYGLGSEVSTSGDVYSYGILLLEMVTGKKPTDDIFVEGFNLHNFARMAMPNQVLKIVDPILLQEDFPTKARNDSKVECLTCLIKVGVACTMESPQDRMDVSNAIKELHAIKNNFMQTK >Manes.10G092800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23888358:23892486:1 gene:Manes.10G092800.v8.1 transcript:Manes.10G092800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLTSIASEEINLVYGFKNDLRKLQRTLSTIKAILIDADEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRVVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIIRKEVGVDMRIKNSWRETDSFVLKSEIVGRDKDKEEIIKSLMCPVNQSNISVVAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSKEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNRIWSQLRKYLMVGAIGSRILVTTRSTRVALAMGVDCPYALAGLTEDQSWNLFERLAFREGTSKVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYEFGKEYLIQLWMAQGYVQSRSQSKDENLEEIGKGYFNELLFRSFFQKEKFCYKMHDLINDLAQSMAGDSCFVLADNTKNVPDRIQHVFSGNLSVEECFKQLKNRGLRTLHCDNYGDKLSLNLDSIFSNCRSIRALSLRCNINELPDSIGKLKHLRYLGLFRNNEISSLPNSICNLYNLQTLILQECWGFEKLPTDMRKLICLRQLIIRECHRLEFMPLGLGRLTNLQTLSTFVVGSDEGRRCSSLNELNSLNQLRGTISIKGLENVKIAALESNQVNLKEKKHLKSLRLEWGDSDGGNSELLLDNLHPHPKLKHLDVKCYGGLRFSNWLSSITNLVNITLYKCSKCEHLPPLDNLPHLESLDLSQFDSLEYISDEDNLFSALSASTTTTFFPSLKFLNIDLCRNLKGWWRTCMEAKMVPQFPCLSHLIISNCPNLTLMPTFPSLDTELSLSYVSIRPLQRTLQMAAMASALPSASSSVTAPFSKLKTLWLQGIENLASLPGEWMQNLSFLEELFVGYSMEISDEDGRGIFKWTCLVSLRDLTLSDLSNLVSLPRELQYVTTLQRLSIWSCSNLRALPDWIGNLTALENLNIDDCPELESLSRGMRQITTLQRLTIRDCPRLSERCEHDTAADWPNISHIPNVRIDARDIQKEGRYLL >Manes.15G176249.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19733799:19734157:1 gene:Manes.15G176249.v8.1 transcript:Manes.15G176249.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPFEDLRLSSRVRSITSIMPRHYRKKFTILSTHVFNFQALAWYLKSALQNKDSISQACMIQIIRKARKDLRRKDRKQH >Manes.02G035900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2978382:2983545:-1 gene:Manes.02G035900.v8.1 transcript:Manes.02G035900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNPFLPISQPLHPTYTSLPDVSHRRFSKKLLFGVFSALSLVLLFVALVIDQKGSEQTFPSRENENVASLSLPKETAKPETLRPISRGVSAGVSEKASLISSGSASSEEQYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSRDLIHWLHLPLAMVANQWYDQNGVWTGSATILPDGNIIMLYTGSTNESVQVQNLAYPADANDPLLLEWTKYSGNPVLVPPPGIDIKDFRDPTTAWYTSEGKWRISIGSKVGKTGVALIYDTEDFINYNLKSEALHGVPGTGMWECVDFYPVSKKYQHGLDTSDNGPDVKHVVKASLDDDRHDYYAIGTYDELNSKWTPDNPDIDVGIGLRYDYGIFYASKTFYDHHKGRRVLWGWIGESDSELADVKKGWACLQAIPRTVSLDTKTGSNLLQWPVEEVENLRLRAREFKKVEVEPGSVVPLDLDAATQLDIVAVFELDKDALMKTAESTEEFSCKTSRGAAHRNALGPFGLLVLADDSLAEQTPVYFYINKGSNGTFKTFFCTDQSRSSAANDVNKQIYGNFVPVLEGEKFTLRILVDHSIIESFAQGGRTTITSRVYPTRAIYGSAKVFLFNNAIETNVTASLKIWQMNSAFIRPYPNIR >Manes.02G035900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2977781:2983544:-1 gene:Manes.02G035900.v8.1 transcript:Manes.02G035900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNPFLPISQPLHPTYTSLPDVSHRRFSKKLLFGVFSALSLVLLFVALVIDQKGSEQTFPSRENENVASLSLPKETAKPETLRPISRGVSAGVSEKASLISSGSASSEEQYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSRDLIHWLHLPLAMVANQWYDQNGVWTGSATILPDGNIIMLYTGSTNESVQVQNLAYPADANDPLLLEWTKYSGNPVLVPPPGIDIKDFRDPTTAWYTSEGKWRISIGSKVGKTGVALIYDTEDFINYNLKSEALHGVPGTGMWECVDFYPVSKKYQHGLDTSDNGPDVKHVVKASLDDDRHDYYAIGTYDELNSKWTPDNPDIDVGIGLRYDYGIFYASKTFYDHHKGRRVLWGWIGESDSELADVKKGWACLQAIPRTVSLDTKTGSNLLQWPVEEVENLRLRAREFKKVEVEPGSVVPLDLDAATQLDIVAVFELDKDALMKTAESTEEFSCKTSRGAAHRNALGPFGLLVLADDSLAEQTPVYFYINKGSNGTFKTFFCTDQSRSSAANDVNKQIYGNFVPVLEGEKFTLRILVDHSIIESFAQGGRTTITSRVYPTRAIYGSAKVFLFNNAIETNVTASLKIWQMNSAFIRPYPNIRFSMVIHFGVSMLLLMRTDFWYLSWLV >Manes.11G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5943640:5951313:1 gene:Manes.11G050700.v8.1 transcript:Manes.11G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MVGTPLSGLQDHLKLAREYALEGLYDTSMIFFDGAIAQINKHLNTLDDPLIRTKWMNAKKAISEEAEVVKQLDAERRAFKETPTGRRAASPPINAKSSFVFQPLDEYPTSSSAPMDDPDVWRPPSRDSTSRRPARAGQVGMRKSPQDGTWGRGGSTRTATSGRAPKAGASSRVNSGIRASSGGKKGTGAGKSGKGDSANGDAEDGKSKKGQYEGPDPDLAAMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAPDVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALQKVQRSVSQADIEKHEKWFSEFGSA >Manes.15G066300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5044216:5050355:1 gene:Manes.15G066300.v8.1 transcript:Manes.15G066300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDHNHSSSAPTTPRIRHRKRSNEIPNELSKLNGIHLLSDDQDKYKSMWIRANSSLWMLGGFLFILYLGHLYIWAAVVVIQIFMARELFNLLRRAHEDRRLPGFRLLNWHFFFTAMLFVYGRIISQRLVNTVTSDKFFYRLVGRIIKYQMVICYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIIVFTQSAFTVANIFEGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVATVISAFVLANIFGNFQWLTCPRKDLSTGWLHCDPGPLFKPEYYSLPGWMPDWFPWKEISVLPVQWHALWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMIMAVFAYIYHQSFVFPQDYTVEMFLDQILGSLTLEEQKDLYRKLGEIFQERGNRSCSKVL >Manes.01G239300.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40097637:40101714:-1 gene:Manes.01G239300.v8.1 transcript:Manes.01G239300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNPQHGQIIEISVDVPDGGSSVGGSKICGEAPCGFSDAGAIYKDTRERSASMRKLLVAVALCVIFMSVEVGGGIVANSLAILTDAAHLLSDVAAFAISLLSLWAAGFPATPRLSYGFFRVEILGALVSTQLIWLLAGILVYEAIYRLIHDTGEVNGFVMFLVAAFGLVVNVIMALLLGHDNGHGYGHGHDDHNHKIEHSHGKKITTHHRHHHEERLQEEHHPVSEETAVPLLDEGKGKHVKTHRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLHNILEILMESSPREIDATKLEMGLLEMEEVMAIHELHIWAITVGKVLLSCHVKIRPEANADMVLDNVINYIRREYNISHVTIQIER >Manes.01G239300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40097097:40101714:-1 gene:Manes.01G239300.v8.1 transcript:Manes.01G239300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNPQHGQIIEISVDVPDGGSSVGGSKICGEAPCGFSDAGAIYKDTRERSASMRKLLVAVALCVIFMSVEVGGGIVANSLAILTDAAHLLSDVAAFAISLLSLWAAGFPATPRLSYGFFRVEILGALVSTQLIWLLAGILVYEAIYRLIHDTGEVNGFVMFLVAAFGLVVNVIMALLLGHDNGHGYGHGHDDHNHKIEHSHGKKITTHHRHHHEERLQEEHHPVSEETAVPLLDEGKGKHVKTHRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLHNILEILMESSPREIDATKLEMGLLEMEEVMAIHELHIWAITVGKVLLSCHVKIRPEANADMVLDNVINYIRREYNISHVTIQIER >Manes.01G239300.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40097171:40101714:-1 gene:Manes.01G239300.v8.1 transcript:Manes.01G239300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNPQHGQIIEISVDVPDGGSSVGGSKICGEAPCGFSDAGAIYKDTRERSASMRKLLVAVALCVIFMSVEVGGGIVANSLAILTDAAHLLSDVAAFAISLLSLWAAGFPATPRLSYGFFRVEILGALVSTQLIWLLAGILVYEAIYRLIHDTGEVNGFVMFLVAAFGLVVNVIMALLLGHDNGHGYGHGHDDHNHKIEHSHGKKITTHHRHHHEERLQEEHHPVSEETAVPLLDEGKGKHVKTHRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLHNILEILMESSPREIDATKLEMGLLEMEEVMAIHELHIWAITVGKVLLSCHVKIRPEANADMVLDNVINYIRREYNISHVTIQIER >Manes.01G239300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40097107:40101714:-1 gene:Manes.01G239300.v8.1 transcript:Manes.01G239300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNPQHGQIIEISVDVPDGGSSVGGSKICGEAPCGFSDAGAIYKDTRERSASMRKLLVAVALCVIFMSVEVGGGIVANSLAILTDAAHLLSDVAAFAISLLSLWAAGFPATPRLSYGFFRVEILGALVSTQLIWLLAGILVYEAIYRLIHDTGEVNGFVMFLVAAFGLVVNVIMALLLGHDNGHGYGHGHDDHNHKIEHSHGKKITTHHRHHHEERLQEEHHPVSEETAVPLLDEGKGKHVKTHRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLHNILEILMESSPREIDATKLEMGLLEMEEVMAIHELHIWAITVGKVLLSCHVKIRPEANADMVLDNVINYIRREYNISHVTIQIERKEEATM >Manes.01G239300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40097171:40100169:-1 gene:Manes.01G239300.v8.1 transcript:Manes.01G239300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETQNPQHGQIIEISVDVPDGGSSVGGSKICGEAPCGFSDAGAIYKDTRERSASMRKLLVAVALCVIFMSVEVGGGIVANSLAILTDAAHLLSDVAAFAISLLSLWAAGFPATPRLSYGFFRVEILGALVSTQLIWLLAGILVYEAIYRLIHDTGEVNGFVMFLVAAFGLVVNVIMALLLGHDNGHGYGHGHDDHNHKIEHSHGKKITTHHRHHHEERLQEEHHPVSEETAVPLLDEGKGKHVKTHRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLHNILEILMESSPREIDATKLEMGLLEMEEVMAIHELHIWAITVGKVLLSCHVKIRPEANADMVLDNVINYIRREYNISHVTIQIER >Manes.01G239300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40097171:40101714:-1 gene:Manes.01G239300.v8.1 transcript:Manes.01G239300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNPQHGQIIEISVDVPDGGSSVGGSKICGEAPCGFSDAGAIYKDTRERSASMRKLLVAVALCVIFMSVEVGGGIVANSLAILTDAAHLLSDVAAFAISLLSLWAAGFPATPRLSYGFFRVEILGALVSTQLIWLLAGILVYEAIYRLIHDTGEVNGFVMFLVAAFGLVVNVIMALLLGHDNGHGYGHGHDDHNHKIEHSHGKKITTHHRHHHEERLQEEHHPVSEETAVPLLDEGKGKHVKTHRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLHNILEILMESSPREIDATKLEMGLLEMEEVMAIHELHIWAITVGKVLLSCHVKIRPEANADMVLDNVINYIRREYNISHVTIQIERKEEATM >Manes.01G239300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40097171:40101714:-1 gene:Manes.01G239300.v8.1 transcript:Manes.01G239300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNPQHGQIIEISVDVPDGGSSVGGSKICGEAPCGFSDAGAIYKDTRERSASMRKLLVAVALCVIFMSVEVGGGIVANSLAILTDAAHLLSDVAAFAISLLSLWAAGFPATPRLSYGFFRVEILGALVSTQLIWLLAGILVYEAIYRLIHDTGEVNGFVMFLVAAFGLVVNVIMALLLGHDNGHGYGHGHDDHNHKIEHSHGKKITTHHRHHHEERLQEEHHPVSEETAVPLLDEGKGKHVKTHRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLHNILEILMESSPREIDATKLEMGLLEMEEVMAIHELHIWAITVGKVLLSCHVKIRPEANADMVLDNVINYIRREYNISHVTIQIERKEEATM >Manes.01G239300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40097107:40101714:-1 gene:Manes.01G239300.v8.1 transcript:Manes.01G239300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMFLLSCDTHGGAEMNFQMETQNPQHGQIIEISVDVPDGGSSVGGSKICGEAPCGFSDAGAIYKDTRERSASMRKLLVAVALCVIFMSVEVGGGIVANSLAILTDAAHLLSDVAAFAISLLSLWAAGFPATPRLSYGFFRVEILGALVSTQLIWLLAGILVYEAIYRLIHDTGEVNGFVMFLVAAFGLVVNVIMALLLGHDNGHGYGHGHDDHNHKIEHSHGKKITTHHRHHHEERLQEEHHPVSEETAVPLLDEGKGKHVKTHRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIVDLICTLIFSVVVLGTTIKMLHNILEILMESSPREIDATKLEMGLLEMEEVMAIHELHIWAITVGKVLLSCHVKIRPEANADMVLDNVINYIRREYNISHVTIQIER >Manes.11G088600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16106956:16107886:1 gene:Manes.11G088600.v8.1 transcript:Manes.11G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLPAKIFSAKQILKRQQPLLGRNQRDVPKGHIAVYVGECQKKRFVVPISYVNHPLFVDLLNRSEEEFGFNHPMGGLTIPCQEDVFIDLTSRLQTS >Manes.18G049925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4296032:4296848:-1 gene:Manes.18G049925.v8.1 transcript:Manes.18G049925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIWLQPFIQHFVPHSSHKLRKKYYGPFPIIGKIGKVAYRFQLPPDAKIHNAFHVSLLKSAYASVDASLDLRASLVYAIPYPQAVLKRRIVKRRNQAATQWLIHWTWSSPADATWEYAKDIQARFLSLVS >Manes.18G103800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9977001:9978771:1 gene:Manes.18G103800.v8.1 transcript:Manes.18G103800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLFPNSRRLSENGWCQSCSHASLFLCISIGMSPGRAIACPLYCLDVDYMTCPSSGEEKLSPSCNCCLAPKNCTLHLSDGSSLYCKPHEF >Manes.08G171000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40505781:40510553:1 gene:Manes.08G171000.v8.1 transcript:Manes.08G171000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDICFFLLLVPVVVHSLDSTFNDDVLGLIVFKAGLQDPESKLASWNEDDENPCNWVGVKCDPKTQRVTELVLDGFSLSGHIGRGLLRLQFLQILSLSNNNFTGTINPDLTQLGVLQVVDLSRNNLSGLIPDGFFKQCGSLRSVSFANNNLTGQIPESLSWCTSLAAVNFSSNQLSGELPSGLWFLRGLESLDLSDNLLEGEIPEGIANLADLTTINLQKNRFTGQLPVDIGGCLLLKALDFSENSLSDILPESLRRLRSCTSLRLRGNSFTGEVPGWIGELTNLESLDLSANEFMGRIPTSIGNLSMLKELNLSRNHLTGGLPQSMTNGLNLLVIDVSQNRLTGLLSPWIFKTGLKIVSLSVTSLVSSLQGLKVLDLSSNVLSGEIPSDIGVVSSLLVLNISRNRLFGSIPSSIGELKRTQVLDLSDNKLNGRIPSEIGGAVSLVELRLEKNRLTGSIPTQIKNCSSLTSLILSQNNLTGPVPAAIANISNLQYVDLSFNNLSGSLPKELTNLSYLLSFNVSHNNLQGELPVGGFFNTISPSAVSGNPSLCGSIVNRSCPSVHPKPIVLNPNSSASSNGSSLIHNHQKIALSISALIAIGAAAFIALGVVAVSLLNIHVRSSMARTAVALTLSGGEDFSCSPTNDPNYGKLVMFSGDTDFVAGAHALLNKDCELGRGGFGVVYRTILRDGRSVAIKKLTVSSLIKSQDDFEREVKRLGKIRHHNVVALEGYYWTSSLQLLIYEYISSGSLYKHLHDGNSKNCLSWRQRFNIILGMAKGLAHLHRMNIIHYNLKSTNILIDESGEPKVGDFGLARLLPMLDHCILSSKIQSALGYMAPEFACRTVKITEKCDVYGFGILVLEVVTGKRPVEYMEDDVVVLCDMVRGALEDGRMEDCIDGRLGGKFPADEAIPVIKLGLVCASQVPSNRPDMEEVINILELIQCPAEDQEELE >Manes.01G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31179232:31180887:1 gene:Manes.01G114200.v8.1 transcript:Manes.01G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLRVILFGFQWFDVYNMLNASISCVCKPTITTPTSLEMASDQTRNSIYSYIHNCFLQRIGVDKAKGIIFETIFEKLDIKQIYSLSPTIFRIVDLGCAAGPNTFVAVENIVELVKLKCQFHGLDPDGLEFQVFFNDLVSNDFNLLFKTIPSNKEYYASGVPGSFHGRLFPPASLHFAYSSHALAHLSKVPDELLDISSPAWNKGKVYFTSSPKEVGEAYTRQFAKDMESFLKARALELVPGGLMAFLLTSCHPDTKCVLTAVLDLLGSTLMDLVKMGMVSEEKVDSFNLPLYFPNPIELKDLIEKNGSFSIERMHALELPCPDEIWEGVLHMRAILEKPIQDHFGVHIVETLFNQLQNKIVENSVAFDLSYKRAFVLCAVLKRKIHLENI >Manes.01G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30760694:30765785:1 gene:Manes.01G108800.v8.1 transcript:Manes.01G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIQEARENHVKKKVEEALRSKMKQKALKECDQFTSKYAQCASGRTLSVVWQCRKQAKELNNCLHQYTNDAVLEEMKKEYMLQQDGKGSVRT >Manes.09G089621.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:26734165:26739666:1 gene:Manes.09G089621.v8.1 transcript:Manes.09G089621.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFLCKSASTVKSDDSESSEIKKVINKNELILEDFVKAIDEWEIPKVDKEQIYKIPKFNVFKTDYVIKTEERDFQLSKPFEKITLLSPRTLNHHRSKKYKYIHIGLIQVGIKPLTREGLNTSILAVVRDARFLNFQDSLLGSVETSLSEGPISFEVYPDITISLNDLNILESIVLEIKTHNYKMKQGSIPIALIYKIHYKAMNSAFATKYKLQPKLGETRFLQTDLTKTNTVIPKTIRWKDITLPDEWILEGIVPPPEPEKVKPNTSLSKIEQFEDGKVSLKFNRSMSSRYTGSSSSVITDDIGRVSNIPSVIYATYKEDEPKPVMQTIVQHRHSISEIPNRHLKMKGVDYSSSVPQAVYNPVENYLNQQAEMAPSSPESPSASAITENINNINRELNVLSKEKLEKKFLKEITKTKNLYKLNKIIKKYKFEDLKEEYLNSQNEEQIGFFDWFKLCKKKSINPITIRDKRPEWIVNDKVVQSDFPPKTISKIKHGENEIVCAPYKIAKENDADKKIIEQNNFSNASLICLGTQLKKIEKILKDKDEKVEEKKEIKSHMFKPYQISSSSQKELKADKNEFLQSLKDQLSKIEVSESSRNIIPETPQSGNINALNEESESEQSQPDSEDIKQFEINPISHREIVSRAPDLRVMEKPNITSQFKYNASTVYEWNIDGLSEYNILSLLQQMTMAANAYKTQSFASDRAIAEIIIAGFTGQLKGWWDYHLTDMQQLEILNSVQVNSDGEPIYNESGETIQDAVSTLILTISLHFIGDPSLLKDKNAELLSNLKCKKLSDFQNYKTTFLTRLMLREDSNQDFWKEKFLAGLPYFLGEKVRNNIKQHFGNPIPYKRLTYGQLVSIIQKEGLQICQDLRLQKTLKYEMHKTKQELGSFCKQFDYGIMQDKGCNGQCSRIKPRKYYKKDRYYKKKYVAKPNKEEYYKRKNVKTHKKYERKKPEERNQNKRSFKDLTCYNCGKKGHTSKFCRFNKRLNELGLDEEITNKIQEICLSSEASETDITESENQIDELVTSSSEQSINVLTKEQDSLLNLINGIEDNKTKERILKRLIKSFDESEEIKNTMPKIEKQTYDLTKILSKNKEPKEKITVGELQREIKIIKAEVKELKQQLQIDSRRIKALESQLISEPSSSSEEEEEEETNKMDQSENREVDNNFILLLQEITSRKYLVKLTIKFSEEFKLNTIALFDTGADLNCIKSSLVPKKFWQETKEKLKTANKTSLSILGKAEALVINQDLEIKTIFLLSQQISHMVILGTPFINLITPYTVKEDCIEFKTRNKKVKIDFTEKPKRKNLNLIKAHSIRTMEINSMIKSKEEDLKDLKNNVQIVRIKKQLESEIVNKKIENLKNEMEEELCADHPNTFWNRKQHIVDLPYEEGFEEKSISTKARPIQMSPELLEHCKKEIKELEDKRLISKSRSPWSCAAFYVNKSAEIERGTPRLVINYKPLNTALKWIRYPIPNKKDLLNRLYNANIFSKFDMKSGYWQIQIHPKDRYKTAFTVPFGQYEWNVMPFGLKNAPSEFQRIMNDIFNPYSKFCIVYIDDVLIFSKNIEEHFKHLKTFCGIIKRNGLALSKTKMNLFQTKVRFLGHYIEQGKIQPIERTMVFGDKFPDEIINKTQLQRFLGCLNYVIDFYPNLNRMIKPLHERLKKNAKPWTQEHTNIIRHIKSLIKEIPCLYLPNPEAFKIVETDASDIGYGGILKQRIDGKETIIQFTSGHWNSTQMNYSTIKKEILSIVICINKFQSDLLNQKFLVRIDCKAAKDVLKKDVKNLASKQIFARWQALLSIFDFDIEFIKGSKNNIPDFLTREFLQQNGSKEEQK >Manes.07G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32637829:32638562:1 gene:Manes.07G122400.v8.1 transcript:Manes.07G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKRLTASVLLVMIVVISHDQYPPGGAEAEATPLSSLKRVKTKKFFASLGLECKCCDGAKGECRSSWNSSCSKLQCLPWKSY >Manes.07G080691.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24685980:24687490:1 gene:Manes.07G080691.v8.1 transcript:Manes.07G080691.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.07G047534.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5546332:5552696:-1 gene:Manes.07G047534.v8.1 transcript:Manes.07G047534.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISQLHIVLPVICLIIGEFALNGKAQMVDCNESDREALIDFKKGLEESEGRISSWRGSNCCQWWGITCDNNTGAVVTVDLHNQYPSGYDASGRYGNWNLSGEIRPSLTKLKSLRYLDLSFNTFNGTIPDFLSSLENLQYLNLSNAGFRGAVPPNLGNLSRLQYLDLSPSFPYYLSVNNFEWVTGLVSLKYLEMTGSNLSMVGLRWIEAFHKLPHLTELHLSSCGLSTFTSTLTFVNFTSLAVLDLHGNQFNSMLPSWLVNISSLVSLDISSSSLYGRIPLGFGELTNLRSLNLGNNDNLTASCSLLLGGSWKKIEVLDFELNNGIKGGIPGSIGRLCNLQYIDLSANILTGSIPEGIENCPSKGPLPSLQQFIASDNQLVGNLPDWLGQLTNLVELNLQWNSLHGPIPASLGNLQHLSELRLEANKLNGSLPESLGQLSNLSALDVSINELTGVISETHFSRLSKLQLLLLSENSFILNLNSHWIPPFQLWYLELGSCHLGPLFPEWLRSQKELKYLHFPNASVSGSIPEWFWEMSGNLSVLNISFNQLEGQLPNPFNIAPFALLDLSSNLFYGPIPLPSAEINLLDLSNNQFSGPIPDNIGKIMPNLVFLSLSNNQITSEVPVSIGEMKSLQVVDLSRNNLTGSIPPSIGNSSLLSVLDLQKNNLSGEILASLGQLNLLQTLHLNNNRFSGEIPSTLQNLSFLETLDLGNNSSLQVLDLAENKLNSTIPASFGDLKAMTQQQTVNIYLFYGSYMTQYYQENFAVNMYGQPLVYTKTLSLLTSIDLSGNNLHGELPEQITKLVGLVVLNLSGNHISGRIPNSISELRQLLSLDLSDNNFSGGIPPSMSSMTFLAYLNVSNNKLSGIIPYTNQMTTFNATSFSGNPGLCGGPLTVKCSDGGVTGDSDGRRNADSDRDDSFIDKWFYLSIGLGYAAGLLLPYLTFAIRTSWGDIYFGFVDKIVAKLLEFL >Manes.13G100900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29429012:29431227:1 gene:Manes.13G100900.v8.1 transcript:Manes.13G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGHQRSLSSDDLIPSRNPRSRNNNTSVVEDLRGRLAETEARLERARAREAELSRRLEEMKRFVSVMEILETYLKRRFQEQQDNIASLLSSLPAK >Manes.10G067700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10139607:10142366:1 gene:Manes.10G067700.v8.1 transcript:Manes.10G067700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFISLLFMLFFVSILFVFLFHSIFKFFDFNPRNLPLPPGSLGWPYIGETFQLYSQNPNVFFASKLKRYGSVFKTHILGCPCVMISSPEAAKFVLVTRAYLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFVPEAIKTIVCDIESIAKDSLKSWEGRIINTFQEMKTYTFNVALLLIFGKDEFLYREDLKRCYYILEKGYNSMPINLPGTLFNKSMKARKELAQILAKILSSRRQMKLDKNDLLGSFMGDKEGLTDDQIADNIIGVIFASRDTTASVLTWILKYLGENPSVLQAVTEEQEDIVTSKEKGGEEELLTWADTKKMPITTRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPEIFPDPEKFDPSRFEVAPKPNTFMPFGNGVHSCPGNELAKLEILILLHHLTTKYRWSMVGKDNGIQYGPFALPQNGLPIQLSKKS >Manes.17G079666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28484200:28484521:-1 gene:Manes.17G079666.v8.1 transcript:Manes.17G079666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMEKFIPELHGMLKTAEINVGKRPTQNLNVNKGKPMKNKRKPKSKVVPKEGICFHCKEPGHWKRNCKLYLDECKKKKSSETTT >Manes.01G085400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28761690:28763275:1 gene:Manes.01G085400.v8.1 transcript:Manes.01G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQSETDFALLESVCVHLLGESMASCNNSAPSLLATTPVYCRSSSFGSLYPCLTENWGDLPLKVDDSEDMVLYGVLRDALTVGWVPSLKTESQPDFPPVKLEPQENLAVLQESVAAPETTVLPPERIISEGSAAVTTPAAAPAKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRVTSKRSSPEPSSSTSSGLESGSPKRRKKVVSSAATKASTPTVVAEAGLKMENVGAHQEAEGKRGVQLLASQ >Manes.03G169800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29511420:29512184:1 gene:Manes.03G169800.v8.1 transcript:Manes.03G169800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVAYDNDLNLKATELRLGLPGTERNQEQEVSCARNNKRPLPETGDHSGETKAKSDAPESPRAPKAQIVGWPPIRSYRKNSLQQPKQTEADSSGMYVKVSMDGAPYLRKIDLKVYNCYPELLKALENMFKFTVGQYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWDMFMSSCKRLRIMKGSEARGLGCGV >Manes.10G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:961122:964191:-1 gene:Manes.10G008400.v8.1 transcript:Manes.10G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTLAAQLNTYLSRSKWPNAQSRNFSVFNRSRDELSIEEEAERKIGWLLKFFFAGTATFVAYQFFPYMGDNLVQQSITLLQVKDPFFKRTGASRLARFAVDDERRKKILELGGAQELVNMLENAKEDNTRIAALKALVALSHSDEAVGALHHAGAISIIKSTPDSLEESEINNYKSGLLKRFQDLRYGS >Manes.10G063600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9900130:9904957:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9900084:9905183:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9900147:9905031:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9899858:9905052:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9900194:9905027:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9899858:9905052:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9900188:9904943:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9900130:9904957:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9899936:9905050:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9900087:9905030:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G063600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9899858:9905052:-1 gene:Manes.10G063600.v8.1 transcript:Manes.10G063600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQILRLPCSWLSFQKKEMSPAPPLNLIHSLLLLLFFFSFSLLATSHTSSSSSSPSLHSTSLSDWQPAHATYYAASDPRDTVGGACGYGDLGKAGYGKATVGLSEALFERGQICGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTSDGGGHCNPPNKHFVLPIESYEKIAIWKAGNMPIQYRRIKCRKDGGIRFTISGSSIFISVMISNVAGAGDITAMKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTNSDGITITSYNVAPKGWSFGQSFEGKQFET >Manes.10G078866.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18341479:18341889:-1 gene:Manes.10G078866.v8.1 transcript:Manes.10G078866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCAILTTKSKCVDSLNENMIHMFPSESNIYTSFDEVIGDTNNYYLEEFLNTLLPNGLPTYKLKLKVNCPIILLRNLDPSNDLCNGTRIVCISFGKNIIHAEITVGQHVGKQALLPKILLLPLENEKYLFHFKRK >Manes.S023153.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251224.1:884219:884518:-1 gene:Manes.S023153.v8.1 transcript:Manes.S023153.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVPDFGLIASIPKTFKSITHITSGARFRPNSFHPKTLPSLSPMLHPVPDFILIASIQNTAKSITHVTSGARFRPYSFHPKHCQVYHPCYIRCPISF >Manes.01G268100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42212267:42215282:1 gene:Manes.01G268100.v8.1 transcript:Manes.01G268100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAFSPDHLSPSDQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCTNLLTVNMRSLLLPAANQLHLGHAFFNPQNILEEIRSVAPPNMLLNQPNPNEPLMSVRGGMEELPKPPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKTNVLQQEGEVGAANVGVAPY >Manes.07G103500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30937502:30943875:1 gene:Manes.07G103500.v8.1 transcript:Manes.07G103500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCNTSQTTTVEESPSFFGLPWPDLNDGLSYSDIVSSSDSELTLIEFYSSKYNNSAPLHGWLQRIQNGQITIDGVIVKEPNIILRGGSELVYHRLPWREPDAPYMLQILYEDADLIALNKPSGLQVLPGGLFQQRTVLTQLQWWAKKKTSSLLSQEQHPVPVHRLGRGTSGILLCAKSKLAKTHLAAYFADGTSRVGDIGNTSTKLVKGRKISKIYRALVDGILNQDKVIIKQPIGTVRYPGVAKGLYVASPSGKPALSKVEVLERDVQGNHTLVQVEIESGRPHQIRIHLSFIGHPLLGDPLYVVGGQPKSFESEYVDESFAQDGYGSTTIIYMDSYFMLLVFIYFSPFTWITISSKEISTFHTHTFATFLVVI >Manes.07G103500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30937502:30943875:1 gene:Manes.07G103500.v8.1 transcript:Manes.07G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCNTSQTTTVEESPSFFGLPWPDLNDGLSYSDIVSSSDSELTLIEFYSSKYNNSAPLHGWLQRIQNGQITIDGVIVKEPNIILRGGSELVYHRLPWREPDAPYMLQILYEDADLIALNKPSGLQVLPGGLFQQRTVLTQLQWWAKKKTSSLLSQEQHPVPVHRLGRGTSGILLCAKSKLAKTHLAAYFADGTSRVGDIGNTSTKLVKGRKISKIYRALVDGILNQDKVIIKQPIGTVRYPGVAKGLYVASPSGKPALSKVEVLERDVQGNHTLVQVEIESGRPHQIRIHLSFIGHPLLGDPLYVVGGQPKSFESEYVDESFAQDGGYQRPTKPVPGDCGYYLHAHQLFLLHPTTNEVIRITAPLPPILRTRSAAGIPSPCSTW >Manes.03G208700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32421316:32429284:1 gene:Manes.03G208700.v8.1 transcript:Manes.03G208700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLSPKTYVFKLMNSSGVTESGESEKVLLLMESGVRLHTTAYVRDKSNTPSGFTLKLRRHIRSRRLEDVRQLGYDRIVLFQFGLGANAHYVILELYAQGNILLTDSDFTVLTLLRSHRDDDKGFAIMSRHRYPTEICRVFERTTAAKLQETLSSFKEPENTEPVSNDENNTADKAQKEKQCKRKGGKSSELSKNASDGTRAKQATLKNLLGEALGYGPALSEHMILDAGLVPNTKVSKNSRLDNDAIQVLLHAVAKFEDWLQDVISGNKVPEGYILMQIKHLGKDHAPSESRSSCQIYDEFCPMLLNQFRTREHMKFDTFDAALDEFYSKIESQRSEQQQKAKEDSAIQKLNKIRLDQENRVLTLRKEVEHCVRMAELIEYNLEDVDAAILAVRVALAKGMSWEDLTRMVKEEKKLGNPVAGLIDKLHLERNCMTLLLSNNLDEMDDDEKTLPVDKVEVDLALSAHANARRWYEQKKKQESKQEKTVTAHEKAFKAAERKTRIQLSQEKSVATISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHADLHGASSTVIKNHRPEQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEGINDFEESGPPQEISDSESEKSVTDKELVLESKNVTVDLNAEVEDPLKFLPQDATISEINKEDTSNIVGNSYGVASVTPQLEDLIDRALGLGPAAVSQKHYGVETSQVNMSEDHGSEEWKATGRDKPHISKAERRKLKKGHKNGAGDANVELENEESKETVVSVSQPEKSVQNSKVIGGKISRGQKGKLKKMKEKYANQDEEERSIRMALLASAGNTRKKHGETQNQTVAAGKDKMPIISPENAPKVCYKCKKAGHLSRDCPEHPNDNSNNNANGALSNDTRVGFDRINLEVDKVALEEDDIHEIGEEDKGKLNDLDYLTGNPLPSDILLYAVPVCGPYSAVQSYKYRVKIVPGTAKKGKAAKTALSLFSHMQEVTSREKELMKACTDPELVAAIIGNVKITAAGLTQLKQKQKKGKKSRKEES >Manes.12G116500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31818504:31819301:1 gene:Manes.12G116500.v8.1 transcript:Manes.12G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFQWHNHKGSSSPLPPTASSATARHRKVLRVVNTVDSKILEYTRPMLVKDVLINFSAGFSIGLSEKPLTVLPQNYKLKMGNVYYLFPVSSTGRTSAAADGTTKRIKLIITKKELQELLSNKISVEEMCLLGMNKKDNPWRGVSSLSRWRPALQSIPEGIE >Manes.09G049700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8442758:8456152:-1 gene:Manes.09G049700.v8.1 transcript:Manes.09G049700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEVSAIKIDQIEVDKETIDMLAALGMGDIPGIVQVDPVAVPVTQFVFGRGGAGGRPGGRF >Manes.09G049700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8442758:8456152:-1 gene:Manes.09G049700.v8.1 transcript:Manes.09G049700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEVSAIKIDQIEVDKETIDMLAALGMGDIPGIVQVDPVAVPVTQFVFGRGGAGGRPGGRF >Manes.09G049700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8455383:8455820:-1 gene:Manes.09G049700.v8.1 transcript:Manes.09G049700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEVSAIKIDQIEVDKETIDMLAALGMGDIPGIVQVDPVAVPVTQFVFGRGGAGGRPGGRF >Manes.09G049700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8442758:8456152:-1 gene:Manes.09G049700.v8.1 transcript:Manes.09G049700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEVSAIKIDQIEVDKETIDMLAALGMGDIPGIVQVDPVAVPVTQFVFGRGGAGGRPGGRF >Manes.17G108400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31502344:31504612:-1 gene:Manes.17G108400.v8.1 transcript:Manes.17G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWNSFPLKITYEVFGWIAFVSWSMSFYPQVILNFRRKSVVGLNFDFVVLNLTKHSSYLIYNASLYFSSAIQKQYFDKYGEKQMIPVAANDVAFSIHAVLLTAITLFQIAIYQRGHQNVSKIALAIVCIVWIAAAVCLFVALHRHSWLWLISVFNSIQICMTVIKYIPQAVMNFMRKSTDGFSIVNILLDFLGGMTNYAQMTTQSIDQHSWVNFYGNIGKTMLSLVSVLFDLVFMFQHHFLYSDKRKKHTSPEINTSLHSQNV >Manes.12G010000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1032764:1038025:-1 gene:Manes.12G010000.v8.1 transcript:Manes.12G010000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKSGSFKLLEPSIPPPSKKSRKEQNRGKLLGNTGTTEIMEQGIWKEFPEDLIEAVIARLPIDTFFRFRTVCRKWNSLLDSQSFSQHCNQVPQANPWFYTITHENVNSGAMYDPSLKKWHHPSISSLPTKMIVLPVASAGGLVCFIDIGHRNFYVCNPLTRSFKELPARSVKVWSRIAVGMTLNGNATSEGYRILWVCCDGEYEVYDSVKNSWTRPGSMPSNIKLPLSLNFRSQAVSIDGTVYFMRSDPEGIVSYDMISGVWKQFIIPAPFHLSDHTLAECDGQIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVKSREWLKVPGCLVPRGKKRQWIACGTAFHPCLTATA >Manes.12G010000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1032764:1038025:-1 gene:Manes.12G010000.v8.1 transcript:Manes.12G010000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSADDYCDLVMAAGKSGSFKLLEPSIPPPSKKSRKEQNRGKLLGNTGTTEIMEQGIWKEFPEDLIEAVIARLPIDTFFRFRTVCRKWNSLLDSQSFSQHCNQVPQANPWFYTITHENVNSGAMYDPSLKKWHHPSISSLPTKMIVLPVASAGGLVCFIDIGHRNFYVCNPLTRSFKELPARSVKVWSRIAVGMTLNGNATSEGYRILWVCCDGEYEVYDSVKNSWTRPGSMPSNIKLPLSLNFRSQAVSIDGTVYFMRSDPEGIVSYDMISGVWKQFIIPAPFHLSDHTLAECDGQIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVKSREWLKVPGCLVPRGKKRQWIACGTAFHPCLTATA >Manes.12G010000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1032764:1038025:-1 gene:Manes.12G010000.v8.1 transcript:Manes.12G010000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSADDYCDLVMAAGKSGSFKLLEPSIPPPSKKSRKEQNRGKLLGNTGTTEIMEQGIWKEFPEDLIEAVIARLPIDTFFRFRTVCRKWNSLLDSQSFSQHCNQVPQANPWFYTITHENVNSGAMYDPSLKKWHHPSISSLPTKMIVLPVASAGGLVCFIDIGHRNFYVCNPLTRSFKELPARSVKVWSRIAVGMTLNGNATSEGYRILWVCCDGEYEVYDSVKNSWTRPGSMPSNIKLPLSLNFRSQAVSIDGTVYFMRSDPEGIVSYDMISGVWKQFIIPAPFHLSDHTLAECDGQIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVKSREWLKVPGCLVPRGKKRQWIACGTAFHPCLTATA >Manes.12G010000.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1032764:1038025:-1 gene:Manes.12G010000.v8.1 transcript:Manes.12G010000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSADDYCDLVMAAGKSGSFKLLEPSIPPPSKKSRKEQNRGKLLGNTGTTEIMEQGIWKEFPEDLIEAVIARLPIDTFFRFRTVCRKWNSLLDSQSFSQHCNQVPQANPWFYTITHENVNSGAMYDPSLKKWHHPSISSLPTKMIVLPVASAGGLVCFIDIGHRNFYVCNPLTRSFKELPARSVKVWSRIAVGMTLNGNATSEGYRILWVCCDGEYEVYDSVKNSWTRPGSMPSNIKLPLSLNFRSQAVSIDGTVYFMRSDPEGIVSYDMISGVWKQFIIPAPFHLSDHTLAECDGQIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVKSREWLKVPGCLVPRGKKRQWIACGTAFHPCLTATA >Manes.12G010000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1032764:1038025:-1 gene:Manes.12G010000.v8.1 transcript:Manes.12G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAMLRQLIGQLQHLLNLHGSPPPPLHLHHQPFFELHHHDNHHRWCVLDMNDGSADDYCDLVMAAGKSGSFKLLEPSIPPPSKKSRKEQNRGKLLGNTGTTEIMEQGIWKEFPEDLIEAVIARLPIDTFFRFRTVCRKWNSLLDSQSFSQHCNQVPQANPWFYTITHENVNSGAMYDPSLKKWHHPSISSLPTKMIVLPVASAGGLVCFIDIGHRNFYVCNPLTRSFKELPARSVKVWSRIAVGMTLNGNATSEGYRILWVCCDGEYEVYDSVKNSWTRPGSMPSNIKLPLSLNFRSQAVSIDGTVYFMRSDPEGIVSYDMISGVWKQFIIPAPFHLSDHTLAECDGQIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVKSREWLKVPGCLVPRGKKRQWIACGTAFHPCLTATA >Manes.06G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20466555:20471508:1 gene:Manes.06G068300.v8.1 transcript:Manes.06G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGSTLVHVLVVILSLIAFGFAIAAERRRSVGHIETDVTNATYCVYNSDVATGYGVGAFLFLLSSESLLMGVTKCMCFGRPLNPGGNRAWSIIYFVSSWLTFLVAEACLIAGATKNAYHTKYRGMIYAQNFSCETLRKGVFVAGAVFAVATMVLNVYYYMYFSKATAPQATQKANRASSHVGMTGYA >Manes.04G063965.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23839177:23841963:1 gene:Manes.04G063965.v8.1 transcript:Manes.04G063965.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFALFINSIFIFIQSYSCDAKDLKACKFDAIYQLGDSISDTGNSIVEMPQLYHARFPYGQTIHKATGRSSDGYLIIDYIAQSAGLPLLEPYENPNSTFSHGVDFAVAGATASSIKTIINWHIPLPYTNSSLYVQNKWLKKHLSAICNDKKECKRKLKHALYMIGTIGCNDYIIAFQYGKSIEEVKVMVPRVIQSIKTAIRKVIDYGAYQVVVPGAFQLGCAPSFLTAFSSNKSSYDSHGCLKDYNDFFMYHNNHLQVALQKIRKKNPHIHIIYGDLYGALEWILDNFSNLGFKSLKKGCCGIGGRFNYNPSIKKMCGAHGVPICSNPKEYVFWDGSHFTHQANKYMSKWLIKDILPQLHCNI >Manes.15G020000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1652039:1655011:-1 gene:Manes.15G020000.v8.1 transcript:Manes.15G020000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVTEKLRQLCSRIRRLIWKRPRPKVIIRRFGKTPKDRCKWKPGIHKSSIHLNRKRDDSSSERPIRIATFNVAMFSLAPAVPKTEEAVVFGQEDEDFMMFKNPVEVDTRAKSVNYHPKSILKQSPLHASLRSPEQLSPQKKNLISRRKVSINLPDNEISIAHGKLLGFVEDERVGSSNIIASRNYRSSVVLRSPVCLPAKFLTQFTGEENLKSSRSILEVLREVDADILALQDVKAEEEKAMRPLSDLAASLGMKYVFAESWAPEYGNAILSKWPIKKWRVQKIANDEDFRNVLKATISVPLAGEVDFYCTQLDHLDENWRMKQINAMIQSSNSPHILAGGLNSLTGSDYSPERWMDIVKYYEDIGKPRPKIEVTNFLKGKEYIDAKDFAGECEPVVIIAKGQNVQGTCKYGTRVDYLLASQDSPYKFIPGSYSVISSKGTSDHHIVKAEIVKGSNSHQESAVRQTQKAKQKVVKITNPWPSKGIWKLNT >Manes.01G007800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2763451:2770293:-1 gene:Manes.01G007800.v8.1 transcript:Manes.01G007800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKVDKKVPIEENGTKEENGTSEPSISNENDESSEISSTIQKDGDEGKEVSKKKKKKNKSKKKKELLQQTDPPSIPVIELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLEKPIYNSVRQAAEVHRQVRKYIKGILKPGMLMTDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGRYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDAGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIVQPYPPLCDIKGSYVSQFEHTILLRPTCKEVISRGDDY >Manes.17G045900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24263470:24264550:1 gene:Manes.17G045900.v8.1 transcript:Manes.17G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGTNPSIMDPKPPHPLHHIAETPTHKLLLKQWLKEEELILIRVALKETQMDSIRKEITQLYIFFFLFHSISLLLLFNASSRDPPGSGTSCKRSWIPSLCSLLCSMGITWAVRYKTEVELHLEKLLQREKEDGRLLSKCVEELKKKGVEFDLLKEVDALRRAKSLRVEAKVVRKWTARDFETLFFFTVSFLVMGLTRIILCS >Manes.S017986.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:171538:171912:1 gene:Manes.S017986.v8.1 transcript:Manes.S017986.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.07G081075.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24897671:24898477:-1 gene:Manes.07G081075.v8.1 transcript:Manes.07G081075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTSNCLPKYYGPFKVLLKIGAVAYKLELPATAKVHPVFHVSLLKKYIGAGSGVQTQLPQFDEDDESNPQPEAILDSRVRRKRSEVLIHWRGSSPMEATWEELEQVKKQFPDVSLEDKRIV >Manes.08G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37249691:37251285:-1 gene:Manes.08G135800.v8.1 transcript:Manes.08G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLPCYLHIAKGISTESPYTCKGIRFSPVRKISWQKRSVLSNKGCVVQCCSSVSSTSASSAAKQFLVEDRTTFQDREEQLENLVSEHGWRVRRLVNDEYEMREVAQIQAEAFHTPMALFDDLFFQFFKAEVLAGLLYKLRNSPSDRYACLVAEPAADSSKSSRQNLVGIVDVTASRDESVMQHLRGAEEYLYVSGIAVSKTFRRQKVGSVLLKACDVLSNLWGFKYLVLRAYEDDVGARRLYTKAGYRVVSSDPQWMTWIGRKRRVLMIKESNLLR >Manes.17G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29120149:29126024:1 gene:Manes.17G084600.v8.1 transcript:Manes.17G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQRVALSATASDYGSCKGGGAAADAVVSDQFPVGLRVLVVDDDATCLRIVEQMLRRCLYHVTTCSQAKVALNLLRERKGCFDVVLSDVHMPDMDGYKLLEHVGLEMDLPVIMMSSDGRTSAVMRGIRHGACDYLIKPIREEELKNIWQHVVRKKWHENKELEHSGTLEDNDRNKRGNDDAEYASSINEGAEGVLKVQKKRSNSKDEDDGEPENDDPSTSKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQGGVSNAFCGPLDSNVKLNSLGRFDIQALAASGQIPPQTLAALHAELFGRPAGSLVTTLDQPALLQAPMQGSKCIPVEHGVAFGQPLGKCQSNISKAFPQNMVSVEHVASGFGAWTCNTNLAGMTTQNGSMLMDILHQQQKHQQQPQQQSLSEPSRSINVQPSCLVVPSQSSASFQAGNSPASVNQNCNFTRKTLVDYSLLSSQSNNSSLNIGQTPDGNLKTTVVVGYAAPGSISPSASSSSVNADSGITHQVQTSAITFGATRHLPGLASNICDVQGTYGAKSAEVLDQGPLRNLGFVGKGTCLPSRFAVEEFEAPSNNLNHGKIYVENSGSKVKQEPNTEYSDIARIGIPVLEQLPPNDLMSVFTE >Manes.15G031500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2455702:2459979:1 gene:Manes.15G031500.v8.1 transcript:Manes.15G031500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQDSPVFNYINSLSPIKPVKSLHITQAFHSLSFASLPSIFTSPHVSSNKESRFLRRHQYSDPSKSGFSSENGNKVSSEEEADLDVAQLYDNSDELQENFDPGVSIGEVSVEPPSEDLKFAVELPRRLRYDCGSPEYEATGTTPSCGPQTDQTSELPGISRKCSPEHELHLQGIHQTEQKKEATDCVWENLMSDDTDLLIFHSPIDTEAFKGLMQKSLDLDASFSPSLDEVQKVRIDPSTQPGETGVPMEINQTQENLNEHVDVDNEVGMSAKVLSKGISVLYRGMRRRCLDFETVGAHRKDLDDSSNSSTSGGGQSEEKVAPKDKQLVLFKPGRDSSRCVLPGIGLHLNALAISCKDSKNVKHETLSSGKSLSSFVASSHSQNNGQEPNESLSLVSTEIDIDPTENEVPLVEDVPQASACLVTEEFNPSSPKKKRRKLEGESEACKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQECFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSETANEVGDESSKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSAPTETETEPEDDTERCENNGVDKISEKTEIQNKEEQHPNSALPITPLAICRPLLQLPFSSKSKPPRSFLSIGSSSGLCTGQKYGKPNILKPQTKFETQAQTIYDDDMPEILRGNYSPSTGIKTSPNSKRVSPPHSILGSSTGQRTGRKLILQSIPSFPSLTPQH >Manes.15G031500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2454401:2459968:1 gene:Manes.15G031500.v8.1 transcript:Manes.15G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEKTQITTSLSKFEDSPVFNYINSLSPIKPVKSLHITQAFHSLSFASLPSIFTSPHVSSNKESRFLRRHQYSDPSKSGFSSENGNKVSSEEEADLDVAQLYDNSDELQENFDPGVSIGEVSVEPPSEDLKFAVELPRRLRYDCGSPEYEATGTTPSCGPQTDQTSELPGISRKCSPEHELHLQGIHQTEQKKEATDCVWENLMSDDTDLLIFHSPIDTEAFKGLMQKSLDLDASFSPSLDEVQKVRIDPSTQPGETGVPMEINQTQENLNEHVDVDNEVGMSAKVLSKGISVLYRGMRRRCLDFETVGAHRKDLDDSSNSSTSGGGQSEEKVAPKDKQLVLFKPGRDSSRCVLPGIGLHLNALAISCKDSKNVKHETLSSGKSLSSFVASSHSQNNGQEPNESLSLVSTEIDIDPTENEVPLVEDVPQASACLVTEEFNPSSPKKKRRKLEGESEACKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQECFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSETANEVGDESSKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSAPTETETEPEDDTERCENNGVDKISEKTEIQNKEEQHPNSALPITPLAICRPLLQLPFSSKSKPPRSFLSIGSSSGLCTGQKYGKPNILKPQTKFETQAQTIYDDDMPEILRGNYSPSTGIKTSPNSKRVSPPHSILGSSTGQRTGRKLILQSIPSFPSLTPQH >Manes.16G029100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3318791:3326051:1 gene:Manes.16G029100.v8.1 transcript:Manes.16G029100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSPIPPKDQKEKPSLLVEVSKEEKQLLALIHAKGILHPDVQVVYKKICSSYEKTILNDLEVAELQDVEYSLWKLHYRHIDEFRKRIKKSSGNEEAIKSVAMQCVAAAKRSDDNHVEGFKLFLSEATRFYQNLIIKIKRYYGLPEDFLFHRSGGKLSSVEPKKMQKLQFLCHRFLVCLGDLARYREQCEKSDIQNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLTFLSSDAHFDFLNPFESTIQTKYQSTNGPSNCKMVQAQDEDSRGTHLWLLFIRTISFFFIKSSLEDFPFTFASTIKELDVLMALDDVQLKAAMESYQRMDSARSGPFRNLQVVSVFIFVIENLRRSQETRDSKNKNDIQQFELAREAFTATFIFMGRLAERCLKANDLDSCPLLPALLVFSEWLASIVDEAETYGSDEKSTCAISYFFCVYLELLKQFDINKGEVEPPGSIALWEDYELRGFAPLACSHALLDFSSHWGHADSYVFGTECRAQRIINAAIKIAGRSSSNQKWICYDKSGRKFYLPESNKFANKKETEKVESCGTVEVKVSDQHIHKMTQETEKIEEKPTNSHAISKSVAIEEEEVILFKPLTRYNSAPLYSAIAGNGQTSLEDTVDQMVPGDDCLRRATSLLIAQNQVQGESLAFHSDLTNFRHNPPSQHPDPHVRDAAPFPFSESSISSSGPLSFSNSISAGPPSLNAWVFNRSSLSNDGVKGKREMKKMMPIEEVASASLDDLSIDDAENSVISLGHEAVTMHSSSPAYSAPLPSAPFLPDDSIWFNGIQSTFSDYNGLGNINRTNNFFDASQVSDYSNWTGSHQPIDYGLGIPGLVDGYPPTHRMTSSEWLRQYRENQILQRTTSQTWPVHSYAAMNTENFYGHDRSSSGLFAQFGAPLAANPLSYEEIPPSHSGFSPVYGNVDHRRDKLYPGYQRPSPYGCGAVNEPEPLLHYLKEKEWLLQKDPTLRGPTYMGS >Manes.16G029100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3318902:3326148:1 gene:Manes.16G029100.v8.1 transcript:Manes.16G029100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSPIPPKDQKEKPSLLVEVSKEEKQLLALIHAKGILHPDVQVVYKKICSSYEKTILNDLEVAELQDVEYSLWKLHYRHIDEFRKRIKKSSGNEEAIKSVAMQCVAAAKRSDDNHVEGFKLFLSEATRFYQNLIIKIKRYYGLPEDFLFHRSGGKLSSVEPKKMQKLQFLCHRFLVCLGDLARYREQCEKSDIQNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLTFLSSDAHFDFLNPFESTIQTKYQSTNGPSNCKMVQAQDEDSRGTHLWLLFIRTISFFFIKSSLEDFPFTFASTIKELDVLMALDDVQLKAAMESYQRMDSARSGPFRNLQVVSVFIFVIENLRRSQETRDSKNKNDIQQFELAREAFTATFIFMGRLAERCLKANDLDSCPLLPALLVFSEWLASIVDEAETYGSDEKSTCAISYFFCVYLELLKQFDINKGEVEPPGSIALWEDYELRGFAPLACSHALLDFSSHWGHADSYVFGTECRAQRIINAAIKIAGRSSSNQKWICYDKSGRKFYLPESNKFANKKETEKVESCGTVEVKVSDQHIHKMTQETEKIEEKPTNSHAISKSVAIEEEEVILFKPLTRYNSAPLYSAIAGNGQTSLEDTVDQMVPGDDCLRRATSLLIAQNQVQGESLAFHSDLTNFRHNPPSQHPDPHVRDAAPFPFSESSISSSGPLSFSNSISAGPPSLNAWVFNRSSLSNDGVKGKREMKKMMPIEEVASASLDDLSIDDAENSVISLGHEAVTMHSSSPAYSAPLPSAPFLPDDSIWFNGIQSTFSDYNGLGNINRTNNFFDASQVSDYSNWTGSHQPIDYGLGIPGLVDGYPPTHRMTSSEWLRQYRENQILQRTTSQTWPVHSYAAMNTENFYGHDRSSSGLFAQFGAPLAANPLSYEEIPPSHSGFSPVYGNVDHRRDKLYPGYQRPSPYGCGAVNEPEPLLHYLKEKEWLLQKDPTLRGPTYMGS >Manes.16G029100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3318787:3326051:1 gene:Manes.16G029100.v8.1 transcript:Manes.16G029100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSPIPPKDQKEKPSLLVEVSKEEKQLLALIHAKGILHPDVQVVYKKICSSYEKTILNDLEVAELQDVEYSLWKLHYRHIDEFRKRIKKSSGNEEAIKSVAMQCVAAAKRSDDNHVEGFKLFLSEATRFYQNLIIKIKRYYGLPEDFLFHRSGGKLSSVEPKKMQKLQFLCHRFLVCLGDLARYREQCEKSDIQNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLTFLSSDAHFDFLNPFESTIQTKYQSTNGPSNCKMVQAQDEDSRGTHLWLLFIRTISFFFIKSSLEDFPFTFASTIKELDVLMALDDVQLKAAMESYQRMDSARSGPFRNLQVVSVFIFVIENLRRSQETRDSKNKNDIQQFELAREAFTATFIFMGRLAERCLKANDLDSCPLLPALLVFSEWLASIVDEAETYGSDEKSTCAISYFFCVYLELLKQFDINKGEVEPPGSIALWEDYELRGFAPLACSHALLDFSSHWGHADSYVFGTECRAQRIINAAIKIAGRSSSNQKWICYDKSGRKFYLPESNKFANKKETEKVESCGTVEVKVSDQHIHKMTQETEKIEEKPTNSHAISKSVAIEEEEVILFKPLTRYNSAPLYSAIAGNGQTSLEDTVDQMVPGDDCLRRATSLLIAQNQVQGESLAFHSDLTNFRHNPPSQHPDPHVRDAAPFPFSESSISSSGPLSFSNSISAGPPSLNAWVFNRSSLSNDGVKGKREMKKMMPIEEVASASLDDLSIDDAENSVISLGHEAVTMHSSSPAYSAPLPSAPFLPDDSIWFNGIQSTFSDYNGLGNINRTNNFFDASQVSDYSNWTGSHQPIDYGLGIPGLVDGYPPTHRMTSSEWLRQYRENQILQRTTSQTWPVHSYAAMNTENFYGHDRSSSGLFAQFGAPLAANPLSYEEIPPSHSGFSPVYGNVDHRRDKLYPGYQRPSPYGCGAVNEPEPLLHYLKEKEWLLQKDPTLRGPTYMGS >Manes.16G029100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3318787:3326212:1 gene:Manes.16G029100.v8.1 transcript:Manes.16G029100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSPIPPKDQKEKPSLLVEVSKEEKQLLALIHAKGILHPDVQVVYKKICSSYEKTILNDLEVAELQDVEYSLWKLHYRHIDEFRKRIKKSSGNEEAIKSVAMQCVAAAKRSDDNHVEGFKLFLSEATRFYQNLIIKIKRYYGLPEDFLFHRSGGKLSSVEPKKMQKLQFLCHRFLVCLGDLARYREQCEKSDIQNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLTFLSSDAHFDFLNPFESTIQTKYQSTNGPSNCKMVQAQDEDSRGTHLWLLFIRTISFFFIKSSLEDFPFTFASTIKELDVLMALDDVQLKAAMESYQRMDSARSGPFRNLQVVSVFIFVIENLRRSQETRDSKNKNDIQQFELAREAFTATFIFMGRLAERCLKANDLDSCPLLPALLVFSEWLASIVDEAETYGSDEKSTCAISYFFCVYLELLKQFDINKGEVEPPGSIALWEDYELRGFAPLACSHALLDFSSHWGHADSYVFGTECRAQRIINAAIKIAGRSSSNQKWICYDKSGRKFYLPESNKFANKKETEKVESCGTVEVKVSDQHIHKMTQETEKIEEKPTNSHAISKSVAIEEEEVILFKPLTRYNSAPLYSAIAGNGQTSLEDTVDQMVPGDDCLRRATSLLIAQNQVQGESLAFHSDLTNFRHNPPSQHPDPHVRDAAPFPFSESSISSSGPLSFSNSISAGPPSLNAWVFNRSSLSNDGVKGKREMKKMMPIEEVASASLDDLSIDDAENSVISLGHEAVTMHSSSPAYSAPLPSAPFLPDDSIWFNGIQSTFSDYNGLGNINRTNNFFDASQVSDYSNWTGSHQPIDYGLGIPGLVDGYPPTHRMTSSEWLRQYRENQILQRTTSQTWPVHSYAAMNTENFYGHDRSSSGLFAQFGAPLAANPLSYEEIPPSHSGFSPVYGNVDHRRDKLYPGYQRPSPYGCGAVNEPEPLLHYLKEKEWLLQKDPTLRGPTYMGS >Manes.16G029100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3320056:3325225:1 gene:Manes.16G029100.v8.1 transcript:Manes.16G029100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSPIPPKDQKEKPSLLVEVSKEEKQLLALIHAKGILHPDVQVVYKKICSSYEKTILNDLEVAELQDVEYSLWKLHYRHIDEFRKRIKKSSGNEEAIKSVAMQCVAAAKRSDDNHVEGFKLFLSEATRFYQNLIIKIKRYYGLPEDFLFHRSGGKLSSVEPKKMQKLQFLCHRFLVCLGDLARYREQCEKSDIQNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLTFLSSDAHFDFLNPFESTIQTKYQSTNGPSNCKMVQAQDEDSRGTHLWLLFIRTISFFFIKSSLEDFPFTFASTIKELDVLMALDDVQLKAAMESYQRMDSARSGPFRNLQVVSVFIFVIENLRRSQETRDSKNKNDIQQFELAREAFTATFIFMGRLAERCLKANDLDSCPLLPALLVFSEWLASIVDEAETYGSDEKSTCAISYFFCVYLELLKQFDINKGEVEPPGSIALWEDYELRGFAPLACSHALLDFSSHWGHADSYVFGTECRAQRIINAAIKIAGRSSSNQKWICYDKSGRKFYLPESNKFANKKETEKVESCGTVEVKVSDQHIHKMTQETEKIEEKPTNSHAISKSVAIEEEEVILFKPLTRYNSAPLYSAIAGNGQTSLEDTVDQMVPGDDCLRRATSLLIAQNQVQGESLAFHSDLTNFRHNPPSQHPDPHVRDAAPFPFSESSISSSGPLSFSNSISAGPPSLNAWVFNRSSLSNDGVKGKREMKKMMPIEEVASASLDDLSIDDAENSVISLGHEAVTMHSSSPAYSAPLPSAPFLPDDSIWFNGIQSTFSDYNGLGNINRTNNFFDASQVSDYSNWTGSHQPIDYGLGIPGLVDGYPPTHRMTSSEWLRQYRENQILQRTTSQTWPVHSYAAMNTENFYGHDRSSSGLFAQFGAPLAANPLSYEEIPPSHSGFSPVYGNVDHRRDKLYPGYQRPSPYGCGAVNEPEPLLHYLKEKEWLLQKDPTLRGPTYMGS >Manes.16G029100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3318994:3325225:1 gene:Manes.16G029100.v8.1 transcript:Manes.16G029100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSPIPPKDQKEKPSLLVEVSKEEKQLLALIHAKGILHPDVQVVYKKICSSYEKTILNDLEVAELQDVEYSLWKLHYRHIDEFRKRIKKSSGNEEAIKSVAMQCVAAAKRSDDNHVEGFKLFLSEATRFYQNLIIKIKRYYGLPEDFLFHRSGGKLSSVEPKKMQKLQFLCHRFLVCLGDLARYREQCEKSDIQNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLTFLSSDAHFDFLNPFESTIQTKYQSTNGPSNCKMVQAQDEDSRGTHLWLLFIRTISFFFIKSSLEDFPFTFASTIKELDVLMALDDVQLKAAMESYQRMDSARSGPFRNLQVVSVFIFVIENLRRSQETRDSKNKNDIQQFELAREAFTATFIFMGRLAERCLKANDLDSCPLLPALLVFSEWLASIVDEAETYGSDEKSTCAISYFFCVYLELLKQFDINKGEVEPPGSIALWEDYELRGFAPLACSHALLDFSSHWGHADSYVFGTECRAQRIINAAIKIAGRSSSNQKWICYDKSGRKFYLPESNKFANKKETEKVESCGTVEVKVSDQHIHKMTQETEKIEEKPTNSHAISKSVAIEEEEVILFKPLTRYNSAPLYSAIAGNGQTSLEDTVDQMVPGDDCLRRATSLLIAQNQVQGESLAFHSDLTNFRHNPPSQHPDPHVRDAAPFPFSESSISSSGPLSFSNSISAGPPSLNAWVFNRSSLSNDGVKGKREMKKMMPIEEVASASLDDLSIDDAENSVISLGHEAVTMHSSSPAYSAPLPSAPFLPDDSIWFNGIQSTFSDYNGLGNINRTNNFFDASQVSDYSNWTGSHQPIDYGLGIPGLVDGYPPTHRMTSSEWLRQYRENQILQRTTSQTWPVHSYAAMNTENFYGHDRSSSGLFAQFGAPLAANPLSYEEIPPSHSGFSPVYGNVDHRRDKLYPGYQRPSPYGCGAVNEPEPLLHYLKEKEWLLQKDPTLRGPTYMGS >Manes.16G029100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3318994:3325225:1 gene:Manes.16G029100.v8.1 transcript:Manes.16G029100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSPIPPKDQKEKPSLLVEVSKEEKQLLALIHAKGILHPDVQVVYKKICSSYEKTILNDLEVAELQDVEYSLWKLHYRHIDEFRKRIKKSSGNEEAIKSVAMQCVAAAKRSDDNHVEGFKLFLSEATRFYQNLIIKIKRYYGLPEDFLFHRSGGKLSSVEPKKMQKLQFLCHRFLVCLGDLARYREQCEKSDIQNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLTFLSSDAHFDFLNPFESTIQTKYQSTNGPSNCKMVQAQDEDSRGTHLWLLFIRTISFFFIKSSLEDFPFTFASTIKELDVLMALDDVQLKAAMESYQRMDSARSGPFRNLQVVSVFIFVIENLRRSQETRDSKNKNDIQQFELAREAFTATFIFMGRLAERCLKANDLDSCPLLPALLVFSEWLASIVDEAETYGSDEKSTCAISYFFCVYLELLKQFDINKGEVEPPGSIALWEDYELRGFAPLACSHALLDFSSHWGHADSYVFGTECRAQRIINAAIKIAGRSSSNQKWICYDKSGRKFYLPESNKFANKKETEKVESCGTVEVKVSDQHIHKMTQETEKIEEKPTNSHAISKSVAIEEEEVILFKPLTRYNSAPLYSAIAGNGQTSLEDTVDQMVPGDDCLRRATSLLIAQNQVQGESLAFHSDLTNFRHNPPSQHPDPHVRDAAPFPFSESSISSSGPLSFSNSISAGPPSLNAWVFNRSSLSNDGVKGKREMKKMMPIEEVASASLDDLSIDDAENSVISLGHEAVTMHSSSPAYSAPLPSAPFLPDDSIWFNGIQSTFSDYNGLGNINRTNNFFDASQVSDYSNWTGSHQPIDYGLGIPGLVDGYPPTHRMTSSEWLRQYRENQILQRTTSQTWPVHSYAAMNTENFYGHDRSSSGLFAQFGAPLAANPLSYEEIPPSHSGFSPVYGNVDHRRDKLYPGYQRPSPYGCGAVNEPEPLLHYLKEKEWLLQKDPTLRGPTYMGS >Manes.16G029100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3318910:3326213:1 gene:Manes.16G029100.v8.1 transcript:Manes.16G029100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSPIPPKDQKEKPSLLVEVSKEEKQLLALIHAKGILHPDVQVVYKKICSSYEKTILNDLEVAELQDVEYSLWKLHYRHIDEFRKRIKKSSGNEEAIKSVAMQCVAAAKRSDDNHVEGFKLFLSEATRFYQNLIIKIKRYYGLPEDFLFHRSGGKLSSVEPKKMQKLQFLCHRFLVCLGDLARYREQCEKSDIQNQNWSVAVTHYLEATKIWPHSGNPQNQLAVLATYVGDEFLALYHCIRSLAVREPFPDAWNNLILLFERNRSSHLTFLSSDAHFDFLNPFESTIQTKYQSTNGPSNCKMVQAQDEDSRGTHLWLLFIRTISFFFIKSSLEDFPFTFASTIKELDVLMALDDVQLKAAMESYQRMDSARSGPFRNLQVVSVFIFVIENLRRSQETRDSKNKNDIQQFELAREAFTATFIFMGRLAERCLKANDLDSCPLLPALLVFSEWLASIVDEAETYGSDEKSTCAISYFFCVYLELLKQFDINKGEVEPPGSIALWEDYELRGFAPLACSHALLDFSSHWGHADSYVFGTECRAQRIINAAIKIAGRSSSNQKWICYDKSGRKFYLPESNKFANKKETEKVESCGTVEVKVSDQHIHKMTQETEKIEEKPTNSHAISKSVAIEEEEVILFKPLTRYNSAPLYSAIAGNGQTSLEDTVDQMVPGDDCLRRATSLLIAQNQVQGESLAFHSDLTNFRHNPPSQHPDPHVRDAAPFPFSESSISSSGPLSFSNSISAGPPSLNAWVFNRSSLSNDGVKGKREMKKMMPIEEVASASLDDLSIDDAENSVISLGHEAVTMHSSSPAYSAPLPSAPFLPDDSIWFNGIQSTFSDYNGLGNINRTNNFFDASQVSDYSNWTGSHQPIDYGLGIPGLVDGYPPTHRMTSSEWLRQYRENQILQRTTSQTWPVHSYAAMNTENFYGHDRSSSGLFAQFGAPLAANPLSYEEIPPSHSGFSPVYGNVDHRRDKLYPGYQRPSPYGCGAVNEPEPLLHYLKEKEWLLQKDPTLRGPTYMGS >Manes.03G146700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27438026:27441317:1 gene:Manes.03G146700.v8.1 transcript:Manes.03G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVDKKDPSGAKVTKSAAKKGGK >Manes.02G140900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10837551:10845134:-1 gene:Manes.02G140900.v8.1 transcript:Manes.02G140900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLSLPMFIIHLILLFSSFDLVVAAAASSIQPLCHDDESSALLQFKESHILDSSVSDDPNAYPKVKSWNVNGGDCCSWEGVECDQASGYVINLDLSSSRLYGSINSTCSLFRVVHLQRLNLADNHFNQSIIPPEVGAFSGLNYLNLSRSSFSGQIPVEILKLSNLESLDLSGNALQLRNPGLGSLAAMLTHLKLLHLGGVNVSSFVPHSLANFSSLKSLDLEDCGLYGEFPAGIFHLPSLQLLSIQSNLFLTGYLPEFNQSSPLELLFVGNTSFSGNLPYSLGSLKSLNQLDASRCQFSVETNLYGNIPSSFQNLTQLSAVRVHRNLLTGRFPSWLGNLTQLTQLLLSKNNMHGPLPESISKLTNLKQIELFSNSFNGTLEFDMFLNMKSLLDLQLSRNDLSVVLNRKVNATFPKLKLLGLGSCNLTEFPNFLRGQDQVSLLFLDGNNIHGKIPKWMLNVSKETLVFLQLDNNFLTGFEEPPAILPWVSLKYLSLSCNNLQGPLPIPPASVAYYDFSNNQLTGEISEMICNLTSILFLDLSNNIISGILPQCLGYLGNSLSVLNLRNNSIGGSIPPTYNKGCQLTLLDFSQNQLEGQLPRSMANCTMLQTLDLGDNLINDTFPFWLGTLPELRVLVLRSNKFNGAIGRPEKAQIDFPKLHIIDLSCNSFTGKLPSQQFQNCVAMKVLGAEKLAYLQSNSSFTRKGSLTFELSYSYDHSMTMSNKGSLTEYEKILEFFTAIDFSCNKFEGEIPPVIGTLKALNLLNLSNNGLTGKIPAELGEMSSIECLDLSGNKLSEEIPEQLTQLTFLAFLNLSYNHLTGRIPQGKQFNTFEKNSFEGNSGLCGRPLSNCGDDNNQPPESRSQGQDDEGSFYLTWKMVAAGYAFGVVMGGVIGHIAFERKKEWFMKTFRVGQKK >Manes.12G060108.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5626633:5629865:-1 gene:Manes.12G060108.v8.1 transcript:Manes.12G060108.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIMDCQLLRLVHDVESQEEQTKIKDIVSQICTISSTGNSLDPLLNECFKMKTTEAIKFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSDKYAMLHHVGLSEESDYELDNVASVKRKRRKKKKSRKKKRNFDDEEFYENELLDLDTSNDQLGLQSKAGSWLLSTDGFSASWTDMGNILLQAEFSLVPYMLN >Manes.12G060108.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5627780:5629859:-1 gene:Manes.12G060108.v8.1 transcript:Manes.12G060108.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIMDCQLLRLVHDVESQEEQTKIKDIVSQICTISSTGNSLDPLLNECFKMKTTEAIKFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSDKYAMLHHVGLSEESDYELDNVASVKRKRRKKKKSRKKKRNFDDEEFYENELLDLDTSNDQLGLQSKAGSWLLSTDGFSASWTDVDLPDHLSKFYFSTWMKWVFAK >Manes.02G061000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4720949:4725268:-1 gene:Manes.02G061000.v8.1 transcript:Manes.02G061000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGESSESVSVLRDDDACPRQVSPIRVGGSRNTSPLGRVGSRNTSPSRQKAVKTKPRGLDEETAATFGKAVHPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDGSFLKFHSQVPSHGPCLLTFWKNSQIPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRVDRSFKVIATSDIYGDKSLPTEVYDSKLGRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHEKIMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDRKAWSWIAGCALQSYNSQVCFYEPRFDASIC >Manes.02G061000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4721922:4725268:-1 gene:Manes.02G061000.v8.1 transcript:Manes.02G061000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGESSESVSVLRDDDACPRQVSPIRVGGSRNTSPLGRVGSRNTSPSRQKAVKTKPRGLDEETAATFGKAVHPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDGSFLKFHSQVPSHGPCLLTFWKNSQIPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRVDRSFKVIATSDIYGDKSLPTEVYDSKLGRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHEKIMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDRKAWSWIAGCALQSYNSQVCFYEPRFDASIC >Manes.12G050866.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4751286:4752064:-1 gene:Manes.12G050866.v8.1 transcript:Manes.12G050866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSWNCQGTVSPNFRRAVNEYRRLYKIDVIALLETRVSGSQADKICKDLEFEHWLRVEAFGFSGGIWVCWNNNGFELEVLNTHPQFINCRIKPTWGSP >Manes.02G009600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1046923:1047633:1 gene:Manes.02G009600.v8.1 transcript:Manes.02G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASSISLQGSLFRSQFLGQNSFSNCPRRPYSLIPKDSRFRLKPCAKFDLFEILGGRGLLNGEQGLQQELKREVESSPGAASAKEENSGTLEETTTGSVPEDAFGKELMGLTGGFPGGEKGLKKFIEENPPPKKQSAVDSGDTLGLTATKKPEPPELPLLMPGMIAIVSNSNSPYYMYCGIVQRITDGKAAVLFEGGNWDRLITFRLEELQRREKGPPGINPRSAILEELIDKTQ >Manes.01G000124.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:219252:223171:1 gene:Manes.01G000124.v8.1 transcript:Manes.01G000124.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDYGFNKESTTTGSNQCGNSLSPELDLEKQDNPKILPQHRSDGSPSKNSTLEPDPTILTIVVSNAEAHADPAKKELPSVDSPKKGYLSRSASSHEQCRVCQQEKEEVLIDLGCKCKGGLAKAHRSCIDTWFRTRGSNKCEICQEVAVNVSPPESQTSANYWVWRIDPTFRPRDPERGCFSPLWVACTILIGGLLLDVLISITLGVSALPVNIIFGVIVILGLGTALRLALEFCREWSFRRVVERVDANVNVGYHPAL >Manes.09G172701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37802073:37802963:-1 gene:Manes.09G172701.v8.1 transcript:Manes.09G172701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHTQMQFGCERECPTGWVDGRGCGTEDAKDGEKRLWLAFASGWVAAELVIGWVDGRGCGIELKDENGEGTRTVKGREQ >Manes.18G087700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8053184:8057590:-1 gene:Manes.18G087700.v8.1 transcript:Manes.18G087700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGCESGVTASIMGFREMGRCSFGGVLISLLCFGWLVSYVAEGYPAEDLVVDLPGQPQVGFKQFAGYVDVDVKHGRSLFYYFVEAKQSPETKPLALWLNGGPGCSSIGGGAFTELGPFFPEGDGRGLRRNSMSWNRVSNILFVESPAGVGWSYSATASDYTTGDAKTANDMLMFLLKWYEKFPEYKSRELFLTGESYAGHYIPQLAEVLLDHNARSTGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEIGLKVMNECQFEDYTFSNPHNVTAACNEAISEANSIVGEYINNYDVILDVCYPSIVEQELRLRKVVTKISVGVDVCMTLERSFYFNLPEVQKALHANRTHLPYRWSMCSSVLEYSDTDGNLDMLPILKKIIKNRIPVWVFSGDQDSVVPLLGSRTLVRELAHDLNFKITVPYGAWFHKGQVAGWATEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVRGRRLPSKPRIPIDD >Manes.18G087700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8053224:8057579:-1 gene:Manes.18G087700.v8.1 transcript:Manes.18G087700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGCESGVTASIMGFREMGRCSFGGVLISLLCFGWLVSYVAEGYPAEDLVVDLPGQPQVGFKQFAGYVDVDVKHGRSLFYYFVEAKQSPETKPLALWLNGGPGCSSIGGGAFTELGPFFPEGDGRGLRRNSMSWNRVSNILFVESPAGVGWSYSATASDYTTGDAKTANDMLMFLLKWYEKFPEYKSRELFLTGESYAGHYIPQLAEVLLDHNARSTGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEIGLKVMNECQFEDYTFSNPHNVTAACNEAISEANSIVGEYINNYDVILDVCYPSIVEQELRLRKVVTKISVGVDVCMTLERSFYFNLPEVQKALHANRTHLPYRWSMCSSVLEYSDTDGNLDMLPILKKIIKNRIPVWVFSGDQDSVVPLLGSRTLVRELAHDLNFKITVPYGAWFHKGQVAGWATEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVRGRRLPSKPRIPIDD >Manes.18G087700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8053185:8057593:-1 gene:Manes.18G087700.v8.1 transcript:Manes.18G087700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGCESGVTASIMGFREMGRCSFGGVLISLLCFGWLVSYVAEGYPAEDLVVDLPGQPQVGFKQFAGYVDVDVKHGRSLFYYFVEAKQSPETKPLALWLNGGPGCSSIGGGAFTELGPFFPEGDGRGLRRNSMSWNRVSNILFVESPAGVGWSYSATASDYTTGDAKTANDMLMFLLKWYEKFPEYKSRELFLTGESYAGHYIPQLAEVLLDHNARSTGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEIGLKVMNECQFEDYTFSNPHNVTAACNEAISEANSIVGEYINNYDVILDVCYPSIVEQELRLRKVVTKISVGVDVCMTLERSFYFNLPEVQKALHANRTHLPYRWSMCSSVLEYSDTDGNLDMLPILKKIIKNRIPVWVFSGDQDSVVPLLGSRTLVRELAHDLNFKITVPYGAWFHKGQVAGWATEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVRGRRLPSKPRIPIDD >Manes.18G087700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8053224:8057576:-1 gene:Manes.18G087700.v8.1 transcript:Manes.18G087700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGCESGVTASIMGFREMGRCSFGGVLISLLCFGWLVSYVAEGYPAEDLVVDLPGQPQVGFKQFAGYVDVDVKHGRSLFYYFVEAKQSPETKPLALWLNGGPGCSSIGGGAFTELGPFFPEGDGRGLRRNSMSWNRVSNILFVESPAGVGWSYSATASDYTTGDAKTANDMLMFLLKWYEKFPEYKSRELFLTGESYAGHYIPQLAEVLLDHNARSTGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEIGLKVMNECQFEDYTFSNPHNVTAACNEAISEANSIVGEYINNYDVILDVCYPSIVEQELRLRKVVTKISVGVDVCMTLERSFYFNLPEVQKALHANRTHLPYRWSMCSSVLEYSDTDGNLDMLPILKKIIKNRIPVWVFSGDQDSVVPLLGSRTLVRELAHDLNFKITVPYGAWFHKGQVAGWATEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVRGRRLPSKPRIPIDD >Manes.01G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8796223:8797872:-1 gene:Manes.01G044000.v8.1 transcript:Manes.01G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPKNLADQLCVVLAITFLCQVGVLSQLEVGFYTNSCGLAEFIVKDEVRAAFAKDKGVAAGLVRMHFHDCFVRGCDASVLIDSTPSNTAEKDSAVNNPSLRGFEVIDKAKSRLEALCEGIVSCADVLAFAARDSVELTGGLGYDVPAGRRDGKISLASETLTNLPGPTFNVNQLTQSFADKGLSQDEMVTLSGAHTIGRSHCTSFSNRLYKFNGTNSQDPSLDGTYAARLKQQCPQGNTDPSLVVPMDPSSPSTTDVGYYIDVQANRGLFTSDQTLMTNPATANQVNLNARNVNLWRSKFATAMVKMGQIDVLTGADSGEIRTNCRVVNT >Manes.08G167300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40191834:40194934:-1 gene:Manes.08G167300.v8.1 transcript:Manes.08G167300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEICTDKMGKSQDLSQKFTESLDETCSEEANKAKVEPSLGPITPDANKENGDFALEPSSPLTVVTKLPTLFTFDSKTNRNQDQFPYNDNFSSPKTPKDGVFDPFAPGPDDKVLAPQSKKYFDEAGISVARRLHFGSSLKGLDHESPGDGMESISDEEIFKSVYENLLEAIVSKQTESALAELSNMEWDSDSCRTPPSASQLNGIAETCPGAPLKPTGKSRIIDLGLCRKLEF >Manes.14G093500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7805916:7813912:1 gene:Manes.14G093500.v8.1 transcript:Manes.14G093500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDNSSAELHQKRHGLLKDQVRLVKRKDCDRYEIVPIQQTYTFEKGFFLFIRACQLLAQNNDGIILIGLAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLKNVHDLKAGKSAEVPIYDFKSSSRIGYRTVEVPTSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIHRAGQAPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQSPTYILKSAKKVKVDQIKAALSEDHTETTEETYDIYLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVTDAPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFINDRVCVKIDWLEQLNRQYVQVQGRDRLVVRCVAEQLGLEGSYVPRTYIEQIQLEKLVNEVMALPDDLKSKLSLDEDLVFSPKDALLPASADRVAMRNKNLKSGMAHSYSSQRDKNLSSLAGLAANNRGYSERNRESKALLANQGILTQLSEQISSLNDRMDEFTTRIEELNSKLNINENSSGQQKLGLQPEACNGYASSMSYFTSGLSNGSLTGSKMHNSSSSSQLAKESQLMEEISGIVRGQRQVMHQLDTLSNLLRESLGQRSEQVRRGRRSMIPDIEITKIAVILSVGVLGFSMLRRIF >Manes.14G093500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7806061:7813642:1 gene:Manes.14G093500.v8.1 transcript:Manes.14G093500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDNSSAELHQKRHGLLKDQVRLVKRKDCDRYEIVPIQQTYTFEKGFFLFIRACQLLAQNNDGIILIGLAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLKNVHDLKAGKSAEVPIYDFKSSSRIGYRTVEVPTSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIHRAGQAPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQSPTYILKSAKKVKVDQIKAALSEDHTETTEETYDIYLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVTDAPFVISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFINDRVCVKIDWLEQLNRQYVQVQGRDRLVVRCVAEQLGLEGSYVPRTYIEQIQLEKLVNEVMALPDDLKSKLSLDEDLVFSPKDALLPASADRVAMRNKNLKSGMAHSYSSQRDKNLSSLAGLAANNRGYSERNRESKALLANQGILTQLSEQISSLNDRMDEFTTRIEELNSKLNINENSSGQQKLGLQPEACNGYASSMSYFTSGLSNGSLTGSKMHNSSSSSQLAKESQLMEEISGIVRGQRQVMHQLDTLSNLLRESLGQRSEQVRRGRRSMIPDIEITKIAVILSVGVLGFSMLRRIF >Manes.18G130580.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21786278:21796196:1 gene:Manes.18G130580.v8.1 transcript:Manes.18G130580.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDNWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVHDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVCNPIIIDQMYCPWNQCFRFPGCYKCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYRGKEGPVKSLCANDSGRLKAARTRSKPAILSVYL >Manes.07G074522.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22127723:22133092:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDLLQ >Manes.07G074522.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22125697:22132719:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDLLQ >Manes.07G074522.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22125697:22132719:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDLLQ >Manes.07G074522.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22129309:22131085:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDL >Manes.07G074522.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22125697:22132719:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDLLQ >Manes.07G074522.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22127723:22132719:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQAAVIQAASFGLCHCICSWEGMGLVWYLGHHYLMSFW >Manes.07G074522.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22125668:22132719:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDLLQ >Manes.07G074522.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22128363:22131085:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDLLQ >Manes.07G074522.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22127723:22133293:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDLLQ >Manes.07G074522.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22127723:22132719:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQGPHSLEKLGTCDLLQ >Manes.07G074522.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22127723:22132719:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQAAVIQAASFGLCHCICSWEGMGLVWYLGHHYLMSFW >Manes.07G074522.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22127723:22132719:-1 gene:Manes.07G074522.v8.1 transcript:Manes.07G074522.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATNDCGGPLHYGMECIALVTDNIGGYDVCTAIGHVLISVTSIIDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICNSNGVQAAVIQAASFGLCHCICSWEGMGLVWYLGHHYLMSFW >Manes.01G209300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38070376:38071561:-1 gene:Manes.01G209300.v8.1 transcript:Manes.01G209300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFFGFRLKHLGHKICQQHIYGVCKPHELVSIKKKVQMEIVKTPTLMLFAAALVFLPKMEAQQFQHPLCVSQVALVNYACAMLPYIPLPPLIPPIRPLPPADPSPDDEENGHGHVDNHGHSRDQNNSQGPYRHEQGPGHGHGHEHEHEHGHKHGHGHNHSHGHGQEHRHRHRHHESHAHGNCCRWLNELDDECVCDMLVRLPSFLSRHMHTYTLYLDETCNITYACEGRLLRP >Manes.03G155100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28282698:28292129:1 gene:Manes.03G155100.v8.1 transcript:Manes.03G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVIRKKPGMASVKDMPILQDGPPPGGFPPVRYARRIPNKGPSAMAIFLAAFGVFSYGMYQVGQGNKIRRALKEEKYAARRAILPLLQAEEDERFVKEWKKYLEYEAEIMKDVPGWKVGENVYNSGRWMPPATGELRPDVW >Manes.04G035034.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4523196:4523978:-1 gene:Manes.04G035034.v8.1 transcript:Manes.04G035034.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLHQMLLYSALSALSLLSVCYSFNSQKQISIFSRTSLIILFSYTNSWGIHAMDGIP >Manes.07G111802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31719811:31720122:-1 gene:Manes.07G111802.v8.1 transcript:Manes.07G111802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRITKHPLECILQNARNQHRRKIQKRKENLQNGKESASTTRIKQSHNIPNFPIAIRAESIWSI >Manes.01G050600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9623259:9627158:1 gene:Manes.01G050600.v8.1 transcript:Manes.01G050600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNTIGQMCPSPMKATSNGVFQGDSPLDYALPLAILQICLVIALTRGLAFFLRPLRQPRVIAEIIGGILLGPSALGRSKRYLHAVFPPKSLTVLDTLANIGLLFFMFLVGLELDTKSLRQTGKKALAVAIAGITLPFAIGIGTSFILRATISEGVNGTSFLLFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGSNHSPLISFWVLLSGCVFVICAILVVPPIFKTITRRCHEGEPINETYVCATLATVLAAGFVTDAVGIHAMFGAFVIGVLVPKEGPFAHALVEKVEDLVSGLFLPLYFVSSGLKTNIAEIHGLQSWGLLALVIFTACFGKIFGTFVVSLLCKVPLREAVAMGLLMNTKGLVELIVLNIGKDKKVLNDQTFAIMILMALFTTFITTPLVVAVYKPARRVRTADYKYRTIERKNSNTQLRILACFHSSRNIPSIINLLEASRGIQKTEGLCVYALHLMELSERSSAILMVHKARKNGLPVWNKGHRSDSNHVIVAFDAFQQLSRVSVRSMTAISSMSDLHEDICTTAERKRASFIILPFHKHQRLDGSLETTRTDFRWVNRRVLEHAPCSVGILVDRGLGGTTQIPASNVSYLITVLFFGGCDDREALAYGALMAEHPGISLMVVRFLVAPAAEGEIVQVNREANLETKLGSWDEKVLMEFKRKTSGDVSIRYEERVVTDAAGTIDVISEVSRCNLFLVGRIPDGEIPADINRSNECPELGTIGSLLSSYDFPTTASVLVIQQYNDQVTSQIGDHMVDKDSESN >Manes.01G050600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9622750:9627184:1 gene:Manes.01G050600.v8.1 transcript:Manes.01G050600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNTIGQMCPSPMKATSNGVFQGDSPLDYALPLAILQICLVIALTRGLAFFLRPLRQPRVIAEIIGGILLGPSALGRSKRYLHAVFPPKSLTVLDTLANIGLLFFMFLVGLELDTKSLRQTGKKALAVAIAGITLPFAIGIGTSFILRATISEGVNGTSFLLFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGSNHSPLISFWVLLSGCVFVICAILVVPPIFKTITRRCHEGEPINETYVCATLATVLAAGFVTDAVGIHAMFGAFVIGVLVPKEGPFAHALVEKVEDLVSGLFLPLYFVSSGLKTNIAEIHGLQSWGLLALVIFTACFGKIFGTFVVSLLCKVPLREAVAMGLLMNTKGLVELIVLNIGKDKKVLNDQTFAIMILMALFTTFITTPLVVAVYKPARRVRTADYKYRTIERKNSNTQLRILACFHSSRNIPSIINLLEASRGIQKTEGLCVYALHLMELSERSSAILMVHKARKNGLPVWNKGHRSDSNHVIVAFDAFQQLSRVSVRSMTAISSMSDLHEDICTTAERKRASFIILPFHKHQRLDGSLETTRTDFRWVNRRVLEHAPCSVGILVDRGLGGTTQIPASNVSYLITVLFFGGCDDREALAYGALMAEHPGISLMVVRFLVAPAAEGEIVQVNREANLETKLGSWDEKVLMEFKRKTSGDVSIRYEERVVTDAAGTIDVISEVSRCNLFLVGRIPDGEIPADINRSNECPELGTIGSLLSSYDFPTTASVLVIQQYNDQVTSQIGDHMVDKDSESN >Manes.01G050600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9622832:9627158:1 gene:Manes.01G050600.v8.1 transcript:Manes.01G050600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNTIGQMCPSPMKATSNGVFQGDSPLDYALPLAILQICLVIALTRGLAFFLRPLRQPRVIAEIIGGILLGPSALGRSKRYLHAVFPPKSLTVLDTLANIGLLFFMFLVGLELDTKSLRQTGKKALAVAIAGITLPFAIGIGTSFILRATISEGVNGTSFLLFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGSNHSPLISFWVLLSGCVFVICAILVVPPIFKTITRRCHEGEPINETYVCATLATVLAAGFVTDAVGIHAMFGAFVIGVLVPKEGPFAHALVEKVEDLVSGLFLPLYFVSSGLKTNIAEIHGLQSWGLLALVIFTACFGKIFGTFVVSLLCKVPLREAVAMGLLMNTKGLVELIVLNIGKDKKVLNDQTFAIMILMALFTTFITTPLVVAVYKPARRVRTADYKYRTIERKNSNTQLRILACFHSSRNIPSIINLLEASRGIQKTEGLCVYALHLMELSERSSAILMVHKARKNGLPVWNKGHRSDSNHVIVAFDAFQQLSRVSVRSMTAISSMSDLHEDICTTAERKRASFIILPFHKHQRLDGSLETTRTDFRWVNRRVLEHAPCSVGILVDRGLGGTTQIPASNVSYLITVLFFGGCDDREALAYGALMAEHPGISLMVVRFLVAPAAEGEIVQVNREANLETKLGSWDEKVLMEFKRKTSGDVSIRYEERVVTDAAGTIDVISEVSRCNLFLVGRIPDGEIPADINRSNECPELGTIGSLLSSYDFPTTASVLVIQQYNDQVTSQIGDHMVDKDSESN >Manes.13G093580.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26163414:26164809:1 gene:Manes.13G093580.v8.1 transcript:Manes.13G093580.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYEGGSQIFEENKTKIKKNMGRSPCCDESGLKKGPWTPEEDQKLIKYIHKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSVLGNKWSAIASHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDIFSTLPQLIALANLKEMIDYHSLEEHAVRLQAEAVQMAKFQYLQYLLQTQTQPSAASVGASSNNIANTPTSCFSDLETFNLLNSLVPIKDSPDSNSITQLDLSSSSAASLPGLTNSIPFSHLPDLQIPCSYQTPLNKDTINQANPPPEFTVFSQGNNSPNSPWPPSSSSTPCPPSKVAPPFVTQTTSSLNTLGDASSTTTSSYGGEAPTVWQELLLEDPLFHEIA >Manes.06G060000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17701342:17704347:-1 gene:Manes.06G060000.v8.1 transcript:Manes.06G060000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSELTLDCKPHSYSMLLKSFGDQNDHQTQKLEEFLSRLEEERLKIDAFKRELPLCMQLLTNAVETSRQQLQSYRANQGPRPVLEEFIPLKNSTSETLDNSSNISDKANWMTTAQLWSQESNETKLQTTLNTFPKKTNIGFSVSPKISLDTKQRNGGAFLPFSKERNLCPSPILALASTEPEMEDQKCLETENGFSCPKRGNSEKIGNNNGGVVVIEQGKGTGNSSSDGQATNTGTGNCTNNTTTSTTTSSQAHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQATGAPARLVVLGGIWVPPEYATAAAAAHTGAPTLYGAHPASHAPPPHFCAAPPMPQDFYTTAAAAAPSSPQPTHHQMHHHHHTLHQLHMYKATSQAHSSPESDVRGGDRSESIEDGKSESSSWKSGENGGDRKGLASLREDGDDSNGSEISLKPLRY >Manes.06G127000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25700410:25702656:1 gene:Manes.06G127000.v8.1 transcript:Manes.06G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMVSALVQVIGNSHNNPLQVHENPLSIPQSNTQGHDQPQQPVPDQGNTRRRHYRGVRHRPWGKWAAEIRDPKKAARVWLGTFDNAEAAALAYDEAALRFKGSKAKLNFPERVQGRSELGFLTNRQDLHAGTQQIMTRRVTDPPCPPHTQQEAYTTRFQYPQFLLPSGNYGFNYAEPVGRYSSGESYLSPASSAASSSSSSSSSSSSTTSHQQEELIRFSMQFGNPYSHYNPPTNWMDFDSTRGRD >Manes.15G052300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4036011:4037088:1 gene:Manes.15G052300.v8.1 transcript:Manes.15G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASKSTVVSESHAMLLFLGLLLVASLLAGPIDSKKLIPSSQTTTGLQPSHSTSAAAATFSGSDSGQQFKEAAHEVPSGPNPESNK >Manes.06G080900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21656799:21658041:-1 gene:Manes.06G080900.v8.1 transcript:Manes.06G080900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVSDVKKDEKRGKRACKTLPWRNKNGNEKRVNQEFTLDRTTVSMQNKSNNSGWPHFQDEDFIVFCFKENGAFDVVKDGKPEAFELFDSGQRSPRPVIRKEEEEQKSYLDVESHSVTSSRSYKFEEVGNHRMVSVESSDSNQSESSTESFSFPILHWELTGSPEQMSISEGQYTRKHKLTCAHFHCWKF >Manes.06G080900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21656799:21658393:-1 gene:Manes.06G080900.v8.1 transcript:Manes.06G080900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVSDVKKDEKRGKRACKTLPWRNKNGNEKRVNQEFTLDRTTVSMQNKSNNSGWPHFQDEDFIVFCFKENGAFDVVKDGKPEAFELFDSGQRSPRPVIRKEEEEQKSYLDVESHSVTSSRSYKFEEVGNHRMVSVESSDSNQSESSTESFSFPILHWELTGSPEQMSISEGQYTRKHKLTCAHFHCWKF >Manes.06G080900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21656799:21658393:-1 gene:Manes.06G080900.v8.1 transcript:Manes.06G080900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVSDVKKDEKRGKRACKTLPWRNKNGNEKRVNQEFTLDRTTVSMQNKSNNSGWPHFQDEDFIVFCFKENGAFDVVKDGKPEAFELFDSGQRSPRPVIRKEEEEQKSYLDVESHSVTSSRSYKFEEVGNHRMVSVESSDSNQSESSTESFSFPIEKIKIADCTGS >Manes.06G080900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21656799:21658393:-1 gene:Manes.06G080900.v8.1 transcript:Manes.06G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVSDVKKDEKRGKRACKTLPWRNKNGNEKRVNQEFTLDRTTVSMQNKSNNSGWPHFQDEDFIVFCFKENGAFDVVKDGKPEAFELFDSGQRSPRPVIRKEEEEQKSYLDVESHSVTSSRSYKFEEVGNHRMVSVESSDSNQSESSTESFSFPILHWELTGSPEQMSISEGQYTRKHKLTCAHFHCWKF >Manes.05G066300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5524810:5531650:-1 gene:Manes.05G066300.v8.1 transcript:Manes.05G066300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFRTSCFSSRPNRTLFITTKLASSHPHFHPHSSMLRTILRRSATTTAKFSSFISKDCPCRSTLLVSFCRPLPFFVVSPRSAVARFHTHQVVAMAERPAETTSTSHKFTNRLAAEHSPYLLQHAHNPVDWYPWGEEAFAEARRRDVPIFLSIGYSTCHWCHVMEVESFEDEGVAKLLNDWFVSIKVDREERPDVDKVYMTFVQALYGGGGWPLSVFLSPDLKPLMGGTYFPPDDNYGRPGFKTILRKVKDAWDNKREMLIKSGAFAIEQLSEALSASASTNKLPDGLSQNALQLCAEQLSQSYDSRYGGFGSAPKFPRPVEIQLMLYHSKKMEDAGKLDVAKEGQKMVLFTLQCMAKGGIHDHVGGGFHRYSVDERWHVPHFEKMLYDQGQLANVYLDAFSITNDVFYSLVSRDILDYLRRDMIGPEGEIFSAEDADSAEHEGAKKKKEGAFYIWTSKEIDDILGERATLFKDHYYIKQLGNCDLSGMSDPHNEFKGTNVLIELNDVSALASKLGLPTMKYLDILGECKQKLFNVRSKRPRPHLDDKVIVSWNGLAISSFARASKVLKGETDGTKFHFPIVGCDPKEYLEVADKAATFIRRHLYNEQTRRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYEFGGGIHWLVWATELQNTQDEVFLDREGGGYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLIRLASMVAGSRSDYYRQTAEHLLAVFETRLKDMAMAVPLMCCAADLISVPSRKQVVLVGHRESSEFDNMLAAAHALYDPNRTVIHIDPTKNEEMEIWEDNNSNIALMAKNNYVPDKVVALVCQNFTCSPPVTDPKYLEALLSKKPAAV >Manes.05G066300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5524810:5530982:-1 gene:Manes.05G066300.v8.1 transcript:Manes.05G066300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESFEDEGVAKLLNDWFVSIKVDREERPDVDKVYMTFVQALYGGGGWPLSVFLSPDLKPLMGGTYFPPDDNYGRPGFKTILRKVKDAWDNKREMLIKSGAFAIEQLSEALSASASTNKLPDGLSQNALQLCAEQLSQSYDSRYGGFGSAPKFPRPVEIQLMLYHSKKMEDAGKLDVAKEGQKMVLFTLQCMAKGGIHDHVGGGFHRYSVDERWHVPHFEKMLYDQGQLANVYLDAFSITNDVFYSLVSRDILDYLRRDMIGPEGEIFSAEDADSAEHEGAKKKKEGAFYIWTSKEIDDILGERATLFKDHYYIKQLGNCDLSGMSDPHNEFKGTNVLIELNDVSALASKLGLPTMKYLDILGECKQKLFNVRSKRPRPHLDDKVIVSWNGLAISSFARASKVLKGETDGTKFHFPIVGCDPKEYLEVADKAATFIRRHLYNEQTRRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYEFGGGIHWLVWATELQNTQDEVFLDREGGGYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLIRLASMVAGSRSDYYRQTAEHLLAVFETRLKDMAMAVPLMCCAADLISVPSRKQVVLVGHRESSEFDNMLAAAHALYDPNRTVIHIDPTKNEEMEIWEDNNSNIALMAKNNYVPDKVVALVCQNFTCSPPVTDPKYLEALLSKKPAAV >Manes.05G066300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5524810:5531149:-1 gene:Manes.05G066300.v8.1 transcript:Manes.05G066300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESFEDEGVAKLLNDWFVSIKVDREERPDVDKVYMTFVQALYGGGGWPLSVFLSPDLKPLMGGTYFPPDDNYGRPGFKTILRKVKDAWDNKREMLIKSGAFAIEQLSEALSASASTNKLPDGLSQNALQLCAEQLSQSYDSRYGGFGSAPKFPRPVEIQLMLYHSKKMEDAGKLDVAKEGQKMVLFTLQCMAKGGIHDHVGGGFHRYSVDERWHVPHFEKMLYDQGQLANVYLDAFSITNDVFYSLVSRDILDYLRRDMIGPEGEIFSAEDADSAEHEGAKKKKEGAFYIWTSKEIDDILGERATLFKDHYYIKQLGNCDLSGMSDPHNEFKGTNVLIELNDVSALASKLGLPTMKYLDILGECKQKLFNVRSKRPRPHLDDKVIVSWNGLAISSFARASKVLKGETDGTKFHFPIVGCDPKEYLEVADKAATFIRRHLYNEQTRRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYEFGGGIHWLVWATELQNTQDEVFLDREGGGYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLIRLASMVAGSRSDYYRQTAEHLLAVFETRLKDMAMAVPLMCCAADLISVPSRKQVVLVGHRESSEFDNMLAAAHALYDPNRTVIHIDPTKNEEMEIWEDNNSNIALMAKNNYVPDKVVALVCQNFTCSPPVTDPKYLEALLSKKPAAV >Manes.12G031700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2751181:2752665:1 gene:Manes.12G031700.v8.1 transcript:Manes.12G031700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICQERHSSRHHSNSSSFKNQEKSIKTQMEEPSFFHQYLKPDLSFDYFPSLSWEESFPYHSNFLPFEANDSGDMLLFDVVAQGHQKESSESNSTTATKEEDLTSQEEVSVSTIKKEKSYRGVRKRPWGKYAAEIRDSTRNGIRVWLGTFDSAEAAALAYDQAAFSMRGSMAILNFPVETVRQSLQDIKYRCEDGCSPVVALKRKHSMRRKSTSKKSKGKELNIKPKNVVVLEDLGAEYLEELLSSSCEGSPNPW >Manes.07G090400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28354347:28358411:1 gene:Manes.07G090400.v8.1 transcript:Manes.07G090400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNYYLSVVVIEEKKRAKGMAEDKSIADEGKNEPRVIDEKKRRGVISRIWNGIFRLHGDNFEKRLQSISKKEAAVLSRMKSRSLTWRKMTRHLIIFSVIFEVIAVGYAIMTTRSMDLNWKMRAFRVMPMFLLPGISCLAYSAFVSFRTMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDEDKLNSETRMSNDVEFVQASGLRNRKPGHTRSSSAGSSPVLHRDEGMLCSAQSEGLQTAQEKQLVVEHQNPQGSALHEGGWIARLAALLVGEDPTESYALICGNCHMHNGLVRKEDFPHITYYCPHCRALNQPKNSEGHVSGFNSPNLGNMKGEDNVDVVNSASNLLGESILTGSSPTRDDSEKEQERGDIASGELAS >Manes.07G090400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28354069:28358385:1 gene:Manes.07G090400.v8.1 transcript:Manes.07G090400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKSIADEGKNEPRVIDEKKRRGVISRIWNGIFRLHGDNFEKRLQSISKKEAAVLSRMKSRSLTWRKMTRHLIIFSVIFEVIAVGYAIMTTRSMDLNWKMRAFRVMPMFLLPGISCLAYSAFVSFRTMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDEDKLNSETRMSNDVEFVQASGLRNRKPGHTRSSSAGSSPVLHRDEGMLCSAQSEGLQTAQEKQLVVEHQNPQGSALHEGGWIARLAALLVGEDPTESYALICGNCHMHNGLVRKEDFPHITYYCPHCRALNQPKNSEGHVSGFNSPNLGNMKGEDNVDVVNSASNLLGESILTGSSPTRDDSEKEQERGDIASGELAS >Manes.07G090400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28353711:28358411:1 gene:Manes.07G090400.v8.1 transcript:Manes.07G090400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKSIADEGKNEPRVIDEKKRRGVISRIWNGIFRLHGDNFEKRLQSISKKEAAVLSRMKSRSLTWRKMTRHLIIFSVIFEVIAVGYAIMTTRSMDLNWKMRAFRVMPMFLLPGISCLAYSAFVSFRTMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDEDKLNSETRMSNDVEFVQASGLRNRKPGHTRSSSAGSSPVLHRDEGMLCSAQSEGLQTAQEKQLVVEHQNPQGSALHEGGWIARLAALLVGEDPTESYALICGNCHMHNGLVRKEDFPHITYYCPHCRALNQPKNSEGHVSGFNSPNLGNMKGEDNVDVVNSASNLLGESILTGSSPTRDDSEKEQERGDIASGELAS >Manes.07G090400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28353408:28358385:1 gene:Manes.07G090400.v8.1 transcript:Manes.07G090400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLCNAPSTFQHCMMSIYVYRVVVIEEKKRAKGMAEDKSIADEGKNEPRVIDEKKRRGVISRIWNGIFRLHGDNFEKRLQSISKKEAAVLSRMKSRSLTWRKMTRHLIIFSVIFEVIAVGYAIMTTRSMDLNWKMRAFRVMPMFLLPGISCLAYSAFVSFRTMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDEDKLNSETRMSNDVEFVQASGLRNRKPGHTRSSSAGSSPVLHRDEGMLCSAQSEGLQTAQEKQLVVEHQNPQGSALHEGGWIARLAALLVGEDPTESYALICGNCHMHNGLVRKEDFPHITYYCPHCRALNQPKNSEGHVSGFNSPNLGNMKGEDNVDVVNSASNLLGESILTGSSPTRDDSEKEQERGDIASGELAS >Manes.07G090400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28354156:28358385:1 gene:Manes.07G090400.v8.1 transcript:Manes.07G090400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKSIADEGKNEPRVIDEKKRRGVISRIWNGIFRLHGDNFEKRLQSISKKEAAVLSRMKSRSLTWRKMTRHLIIFSVIFEVIAVGYAIMTTRSMDLNWKMRAFRVMPMFLLPGISCLAYSAFVSFRTMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDEDKLNSETRMSNDVEFVQASGLRNRKPGHTRSSSAGSSPVLHRDEGMLCSAQSEGLQTAQEKQLVVEHQNPQGSALHEGGWIARLAALLVGEDPTESYALICGNCHMHNGLVRKEDFPHITYYCPHCRALNQPKNSEGHVSGFNSPNLGNMKGEDNVDVVNSASNLLGESILTGSSPTRDDSEKEQERGDIASGELAS >Manes.07G090400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28353823:28358404:1 gene:Manes.07G090400.v8.1 transcript:Manes.07G090400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKSIADEGKNEPRVIDEKKRRGVISRIWNGIFRLHGDNFEKRLQSISKKEAAVLSRMKSRSLTWRKMTRHLIIFSVIFEVIAVGYAIMTTRSMDLNWKMRAFRVMPMFLLPGISCLAYSAFVSFRTMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDEDKLNSETRMSNDVEFVQASGLRNRKPGHTRSSSAGSSPVLHRDEGMLCSAQSEGLQTAQEKQLVVEHQNPQGSALHEGGWIARLAALLVGEDPTESYALICGNCHMHNGLVRKEDFPHITYYCPHCRALNQPKNSEGHVSGFNSPNLGNMKGEDNVDVVNSASNLLGESILTGSSPTRDDSEKEQERGDIASGELAS >Manes.11G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6567537:6572355:-1 gene:Manes.11G052500.v8.1 transcript:Manes.11G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSSILLKGIVGLPAVRSTRTVFGSLNCYGMRYSTTSPSDPDTHEDFRPNNKLEGSGIYLKDIVEQDVKDNPVMIYMKGVPDLPQCGFSSLAVRVLKHYNVPLSARNILEDPELKSAVKSFSNWPTFPQIFIKGEFIGGSDIIMNMHQSGELKEKLQDVAANQKSE >Manes.11G052500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6567537:6572355:-1 gene:Manes.11G052500.v8.1 transcript:Manes.11G052500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSTTSPSDPDTHEDFRPNNKLEGSGIYLKDIVEQDVKDNPVMIYMKGVPDLPQCGFSSLAVRVLKHYNVPLSARNILEDPELKSAVKSFSNWPTFPQIFIKGEFIGGSDIIMNMHQSGELKEKLQDVAANQKSE >Manes.11G052500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6567537:6572355:-1 gene:Manes.11G052500.v8.1 transcript:Manes.11G052500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSSILLKGIVGLPAVRSTRTVFGSLNCYGMRYSTTSPSDPDTHEDFRPNNKLEGSGIYLKDIVEQDVKDNPVMIYMKGVPDLPQCGFSSLAVRVLKHYNVPLSARNILEDPELKSAVKSFSNWPTFPQIFIKGEFIGGSDIIMNMHQSGELKEKLQDVAANQKSE >Manes.04G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26918008:26923020:1 gene:Manes.04G070200.v8.1 transcript:Manes.04G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRARITPDKAVPNVWQREVGELSTRSFAHRLAASEDLVLRLEIYKKLEKHRGCVNTVSFNADGDVLVSGSDDRRVILWDWETGRIKLSFHSGHNNNVFQAKIMPYTDDRSIVTCAADGQVRRAQILEHTVETALLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTRRATELFTCQPIEDRKNYTPVVHLNAISIDPRNPNLFAVAGTDEYTRVYDVRMYKWDGSTEFGRPTDYFCPPHLIGDEQVGITGLSFSDQSELLVSYNDEFIYLFTRDMGLGLNPDLSSSVCSDASEMGPVDADGKPTPQVYKGHRNCETVKGVSFFGPGCEYVVSGSDCGRIFIWKKKGGELIRVMEADKHVVNCIEPHPHITGLASSGIETDIKIWTPKAFERATLPANIEKVLRFDRIPWFGDEDDDNDDDYFSDDEDDDDGNDDDDDDNDDDDDDDDDGNDDDANDSGGDADDDTDDDYNDDDCDGDIDNFVDGYDDANDDSDLHFLCSAETEG >Manes.04G070200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26918008:26923020:1 gene:Manes.04G070200.v8.1 transcript:Manes.04G070200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRARITPDKAVPNVWQREVGELSTRSFAHRLAASEDLVLRLEIYKKLEKHRGCVNTVSFNADGDVLVSGSDDRRVILWDWETGRIKLSFHSGHNNNVFQAKIMPYTDDRSIVTCAADGQVRRAQILEHTVETALLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTRRATELFTCQPIEDRKNYTPVVHLNAISIDPRNPNLFAVAGTDEYTRVYDVRMYKWDGSTEFGRPTDYFCPPHLIGDEQVGITGLSFSDQSELLVSYNDEFIYLFTRDMGLGLNPDLSSSVCSDASEMGPVDADGKPTPQVYKGHRNCETVKGVSFFGPGCEYVVSGSDCGRIFIWKKKGGELIRVMEADKHVVNCIEPHPHITGLASSGIETDIKIWTPKAFERATLPANIEKVCFINVELVTESISFKILFYLISSS >Manes.04G070200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26918008:26923020:1 gene:Manes.04G070200.v8.1 transcript:Manes.04G070200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRARITPDKAVPNVWQREVGELSTRSFAHRLAASEDLVLRLEIYKKLEKHRGCVNTVSFNADGDVLVSGSDDRRVILWDWETGRIKLSFHSGHNNNVFQAKIMPYTDDRSIVTCAADGQVRRAQILEHTVETALLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTRRATELFTCQPIEDRKNYTPVVHLNAISIDPRNPNLFAVAGTDEYTRVYDVRMYKWDGSTEFGRPTDYFCPPHLIGDEQVGITGLSFSDQSELLVSYNDEFIYLFTRDMGLGLNPDLSSSVCSDASEMGPVDADGKPTPQVYKGHRNCETVKGVSFFGPGCEYVVSGSDCGRIFIWKKKGGELIRVMEADKHVVNCIEPHPHITGLASSGIETDIKIWTPKAFERATLPANIEKLKPKARGWMYHVASPEDLMLQLFSLPRLTSGAERNGESAASGRELLELILTFNANSDSDDGGDPSSPDQLFG >Manes.15G106000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8396926:8397581:1 gene:Manes.15G106000.v8.1 transcript:Manes.15G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTEFSMHNNGLKVEDKDQRDGKRKTVMRRRMMMEEGDINQMADAFINNFRNQLKLEREESFKRFQQIISRGI >Manes.02G153060.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11787750:11789324:1 gene:Manes.02G153060.v8.1 transcript:Manes.02G153060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLYENQISVLIPPEMGNLTSLVQLYMNTNNLSGPIPSTFGELKKLTVLYMFHNQLSGSIPLEIGNMKSLTALSLYANNLSGQVPATLGGLTNLELLHLYENKLSGPILDELANLTSMVDLELSENQLNGSIPSSLSNLKMLERLYLRDNQLSGTIPEQIASLPNLSLLQLESNQLIGQLPQTICQNEKLQNFTVSNNSLDGPIPKSIKDCKSFVRVRLEGNQFTGNVFEDFGVYPQLQYIDLSPNNFYGEISSNWGECPNLRTLTMAGNNISGTIRPEIGNATQLQALNLSSNKLSGRIPMEFGKLDLLKVILNDNQLSGGIPSEFGLLADLEYLDLSANKLNQSIPENIGNLPKLIYLNLSRNEFSQKIPIRNLLKGEIPSALSSLESLEVLNLSHNNLSGSIPDSFGGMIGLLTIDVSYNELEGPIPSNKAFQNASIEAFQGNKGLCWDVPGL >Manes.02G134200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10239122:10245336:1 gene:Manes.02G134200.v8.1 transcript:Manes.02G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTLSAFLSPISLPHHLTQFNPKFLKINTKPTRIFCKSAQSHKTPLSETQLSVSMPEAGGAGAAAPTPGEQFLERQKAFEAAKLVMKEAKKKKRREKQKALKVNSAVVCCYGCGAPLQTSDQEAPGYVDPDTYELKKRHHQLRTVLCGRCRLLSHGHMITAVGGNGGYPGGKQFVSADELREKLSHLRHERVLIVKLVDIVDFNGSFLARVRDLAGANPIILVVTKVDLLPRDTDLNCVGDWVVEATTKKKLNVLSVHLTSSKSLVGITGVISEIQKEKKGRDVYILGSANVGKSAFINALLKMMAHRDPAAAAARKYKPIQSAVPGTTLGPIQIDAFLGGGKLFDTPGVHLHHRQPAVVHSDDLPILAPRSRLRGQSFPNAKAASENGIADKFESNGLNGFSIFWGGLVRIDVLKVLPETSLTFYGPKALQIHIVPTDKADEFYKKELGVLLTPPTGEKKAKDWKGLEIMRELQIKFEDPRRPASDVAISGLGWIAIEPVSRSPGRPESNLEETVKELHLAVHVPKPVEIFVRPPLPVGKAGAEWYQYRELTEKEEELRPKWHF >Manes.08G081400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:25221797:25226637:-1 gene:Manes.08G081400.v8.1 transcript:Manes.08G081400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGSSFWCYRCNRFTMLRIQAIHDSIFCPDCGGGFVEDIGTPSRSPPHLSFPASAMYPSNSDHITTSRLRRSNRRGGGDRSSFNPVIVLRGPAYGGGADDGASGDFELYYDDGVGSGLRPLPASMSEFLMGSGFDRLLVQLTQLEINGIGRAERAPASKSAIESMPLIKILSSHVSMESYCAVCKEPFEIHTEAREMPCKHIYHSDCILPWLSLRNSCPVCRHELPTDVHGNGSSPESGEEAMGLTIWRLPGGGFAIGRFSGGRRAEGTELPVVFTEVDGGFNTAGAPRMISWAPSRRRSRESRGLGRAFRNFFSFFGRIGRRVSDESGLRRRHRTNSMLDRSSRRENSPWVMQDNQW >Manes.08G081400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:25223863:25226637:-1 gene:Manes.08G081400.v8.1 transcript:Manes.08G081400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGSSFWCYRCNRFTMLRIQAIHDSIFCPDCGGGFVEDIGTPSRSPPHLSFPASAMYPSNSDHITTSRLRRSNRRGGGDRSSFNPVIVLRGPAYGGGADDGASGDFELYYDDGVGSGLRPLPASMSEFLMGSGFDRLLVQLTQLEINGIGRAERAPASKSAIESMPLIKILSSHVSMESYCAVCKEPFEIHTEAREMPCKHIYHSDCILPWLSLRNSCPVCRHELPTDVHGNGSSPESGEEAMGLTIWRLPGGGFAIGRFSGGRRAEGTELPVVFTEVDGGFNTAGAPRMISWAPSRRRSRESRGLGRAFRNFFSFFGRIGRRVSDESGLRRRHRTNSMLDRSSRRENSPWVMQDNQW >Manes.14G119228.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11469404:11492516:1 gene:Manes.14G119228.v8.1 transcript:Manes.14G119228.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRKRDQQVIENGVRTGVSGRYSKSGSSKWLGTSLPRQVADFQPGGSCPSLMDLCIHKIREDVEKYSSFAVLPRDITQQIFNDLVFSHFLSDASLEAFRDCALQDILLGEYPGVKDTWMDVVSSQGKSLLSVDLSDSDVTDTGLGLLKDCSNLEVMTLNHCENISDRGLKHISGLTNLTSLSFKKCNVVTAEGMRVFSSLVKLEKLDLERCSRIHGGLVHLKGLFKLESLNVRCCKCITDLDMKALSGLTSLKELQVSNSNITDLGVSYLRGLQKLVLLNLEGCNITTGCLDSISALVALICLNLNRCGLSDEEWDKISGLKKLKVLSLGFNNITDACLAHVKGLTNLESLNLDSCKIGDEGLANLTGLPLKSLELSDTEVGSNGLRHLSGLTLLEDLNLSFTLVTDSGLRKLSELTSLRSLNLDARQITDAGLAALTRLTGLVHLDLFGARISDAGTNCLLHFKNLECLEICGGGLTDAGVKNIKELAHLTVLNLSQNASLTDKTLELISGLSELVSLNVSNSLITNEGLQYLKPLKNLRSLSLESCKVTASEIKKLQSTALPNLVTFRPEH >Manes.14G119228.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11469274:11492877:1 gene:Manes.14G119228.v8.1 transcript:Manes.14G119228.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRKRDQQVIENGVRTGVSGRYSKSGSSKWLGTSLPRQVADFQPGGSCPSLMDLCIHKIREDVEKYSSFAVLPRDITQQIFNDLVFSHFLSDASLEAFRDCALQDILLGEYPGVKDTWMDVVSSQGKSLLSVDLSDSDVTDTGLGLLKDCSNLEVMTLNHCENISDRGLKHISGLTNLTSLSFKKCNVVTAEGMRVFSSLVKLEKLDLERCSRIHGGLVHLKGLFKLESLNVRCCKCITDLDMKALSGLTSLKELQVSNSNITDLGVSYLRGLQKLVLLNLEGCNITTGCLDSISALVALICLNLNRCGLSDEEWDKISGLKKLKVLSLGFNNITDACLAHVKGLTNLESLNLDSCKIGDEGLANLTGLPLKSLELSDTEVGSNGLRHLSGLTLLEDLNLSFTLVTDSGLRKLSELTSLRSLNLDARQITDAGLAALTRLTGLVHLDLFGARISDAGTNCLLHFKNLECLEICGGGLTDAGVKNIKELAHLTVLNLSQNASLTDKTLELISGLSELVSLNVSNSLITNEGLQYLKPLKNLRSLSLESCKVTASEIKKLQSTALPNLVTFRPEH >Manes.14G119228.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11469404:11492516:1 gene:Manes.14G119228.v8.1 transcript:Manes.14G119228.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGICSRKRDQQVIENGVRTGVSGRYSKSGSSKWLGTSLPRQVADFQPGGSCPSLMDLCIHKIREDVEKYSSFAVLPRDITQQIFNDLVFSHFLSDASLEAFRDCALQDILLGEYPGVKDTWMDVVSSQGKSLLSVDLSDSDVTDTGLGLLKDCSNLEVMTLNHCENISDRGLKHISGLTNLTSLSFKKCNVVTAEGMRVFSSLVKLEKLDLERCSRIHGGLVHLKGLFKLESLNVRCCKCITDLDMKALSGLTSLKELQVSNSNITDLGVSYLRGLQKLVLLNLEGCNITTGCLDSISALVALICLNLNRCGLSDEEWDKISGLKKLKVLSLGFNNITDACLAHVKGLTNLESLNLDSCKIGDEGLANLTGLPLKSLELSDTEVGSNGLRHLSGLTLLEDLNLSFTLVTDSGLRKLSELTSLRSLNLDARQITDAGLAALTRLTGLVHLDLFGARISDAGTNCLLHFKNLECLEICGGGLTDAGVKNIKELAHLTVLNLSQNASLTDKTLELISGLSELVSLNVSNSLITNEGLQYLKPLKNLRSLSLESCKVTASEIKKLQSTALPNLVTFRPEH >Manes.14G119228.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11469404:11492516:1 gene:Manes.14G119228.v8.1 transcript:Manes.14G119228.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSSQGKSLLSVDLSDSDVTDTGLGLLKDCSNLEVMTLNHCENISDRGLKHISGLTNLTSLSFKKCNVVTAEGMRVFSSLVKLEKLDLERCSRIHGGLVHLKGLFKLESLNVRCCKCITDLDMKALSGLTSLKELQVSNSNITDLGVSYLRGLQKLVLLNLEGCNITTGCLDSISALVALICLNLNRCGLSDEEWDKISGLKKLKVLSLGFNNITDACLAHVKGLTNLESLNLDSCKIGDEGLANLTGLPLKSLELSDTEVGSNGLRHLSGLTLLEDLNLSFTLVTDSGLRKLSELTSLRSLNLDARQITDAGLAALTRLTGLVHLDLFGARISDAGTNCLLHFKNLECLEICGGGLTDAGVKNIKELAHLTVLNLSQNASLTDKTLELISGLSELVSLNVSNSLITNEGLQYLKPLKNLRSLSLESCKVTASEIKKLQSTALPNLVTFRPEH >Manes.03G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1074700:1079911:-1 gene:Manes.03G012700.v8.1 transcript:Manes.03G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIKCYKPLTSFRFSPPPHSLLRSIQFRTHSSRTFSFSFSRKRTLCCLFSSLSSSWPLINDKVNAGDGAITSSLSSAELWLYNTMSRKKELFKPKVEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLRHLGFEVCYVRNFTDVDDKIIARANELGEDPISLSRRYCEEFHHDMVYLHCLPPSVEPRVSDHMPQIIDMIKQILDSGCAYRMDGDVYFSVEKFPDYGQLSGRKLEDNRAGERVAVDARKKNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACRDSSISYWVHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLLGTHYRSPINYSDIQLESASERIFYIYQTLHDCETVISQHDMAFEKDSIPPDILDGINRFHNVFVTSMSDDLHTPVLLAAFSDPLKIINDMIHTRKGKKQAKRIESLVALEKIVKNALSVLGLMPTRYSEVLQQLREKALKRAKLTEDQVLQKIEGRAVARKNRDYEKSDAIRKDLATLGIALMDSPDGTSWRPAVPLALQQQQVAAS >Manes.02G115000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8852014:8855898:-1 gene:Manes.02G115000.v8.1 transcript:Manes.02G115000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNDDTSENLSQSKSGKPPRNLSCMRHCSSTAFLTDPELDVGIICLKSPSTENSGFLPIFRSGSCSEKGPKQYMEDEYICVDNLHQYLATAVKFPAPGAFYGVFDGHGGIDAASFTRKNILNFIVEDSQFPSGTKKAIRSAFVKVDHALADTKAVDSSSGTTALTALILGRTMLIANVGDSRAVLSKRGRAFELSKDHKPSSTSERLRIERLGGEIYDGYLNGQLSVARALGDWHIKGAKGSKSPLSAEPDLEEINLTEEHEFLIIGCDGLWDVMSSQCAVTIVRKELLIHNDPERCSKALVKEALQRNTCDNLTVVVVCFSPDPPPKIEMPKSHKRRSISAEGLDRLQGILDAA >Manes.02G115000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8851925:8855898:-1 gene:Manes.02G115000.v8.1 transcript:Manes.02G115000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGVSRQIPTWEVGCTTSNGSLPAMDNDNDDTSENLSQSKSGKPPRNLSCMRHCSSTAFLTDPELDVGIICLKSPSTENSGFLPIFRSGSCSEKGPKQYMEDEYICVDNLHQYLATAVKFPAPGAFYGVFDGHGGIDAASFTRKNILNFIVEDSQFPSGTKKAIRSAFVKVDHALADTKAVDSSSGTTALTALILGRTMLIANVGDSRAVLSKRGRAFELSKDHKPSSTSERLRIERLGGEIYDGYLNGQLSVARALGDWHIKGAKGSKSPLSAEPDLEEINLTEEHEFLIIGCDGLWDVMSSQCAVTIVRKELLIHNDPERCSKALVKEALQRNTCDNLTVVVVCFSPDPPPKIEMPKSHKRRSISAEGLDRLQGILDAA >Manes.02G115000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8851925:8855898:-1 gene:Manes.02G115000.v8.1 transcript:Manes.02G115000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNDDTSENLSQSKSGKPPRNLSCMRHCSSTAFLTDPELDVGIICLKSPSTENSGFLPIFRSGSCSEKGPKQYMEDEYICVDNLHQYLATAVKFPAPGAFYGVFDGHGGIDAASFTRKNILNFIVEDSQFPSGTKKAIRSAFVKVDHALADTKAVDSSSGTTALTALILGRTMLIANVGDSRAVLSKRGRAFELSKDHKPSSTSERLRIERLGGEIYDGYLNGQLSVARALGDWHIKGAKGSKSPLSAEPDLEEINLTEEHEFLIIGCDGLWDVMSSQCAVTIVRKELLIHNDPERCSKALVKEALQRNTCDNLTVVVVCFSPDPPPKIEMPKSHKRRSISAEGLDRLQGILDAA >Manes.02G115000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8852014:8855899:-1 gene:Manes.02G115000.v8.1 transcript:Manes.02G115000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNDDTSENLSQSKSGKPPRNLSCMRHCSSTAFLTDPELDVGIICLKSPSTENSGFLPIFRSGSCSEKGPKQYMEDEYICVDNLHQYLATAVKFPAPGAFYGVFDGHGGIDAASFTRKNILNFIVEDSQFPSGTKKAIRSAFVKVDHALADTKAVDSSSGTTALTALILGRTMLIANVGDSRAVLSKRGRAFELSKDHKPSSTSERLRIERLGGEIYDGYLNGQLSVARALGDWHIKGAKGSKSPLSAEPDLEEINLTEEHEFLIIGCDGLWDVMSSQCAVTIVRKELLIHNDPERCSKALVKEALQRNTCDNLTVVVVCFSPDPPPKIEMPKSHKRRSISAEGLDRLQGILDAA >Manes.02G115000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8851924:8855898:-1 gene:Manes.02G115000.v8.1 transcript:Manes.02G115000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDNDDTSENLSQSKSGKPPRNLSCMRHCSSTAFLTDPELDVGIICLKSPSTENSGFLPIFRSGSCSEKGPKQYMEDEYICVDNLHQYLATAVKFPAPGAFYGVFDGHGGIDAASFTRKNILNFIVEDSQFPSGTKKAIRSAFVKVDHALADTKAVDSSSGTTALTALILGRTMLIANVGDSRAVLSKRGRAFELSKDHKPSSTSERLRIERLGGEIYDGYLNGQLSVARALGDWHIKGAKGSKSPLSAEPDLEEINLTEEHEFLIIGCDGLWDVMSSQCAVTIVRKELLIHNDPERCSKALVKEALQRNTCDNLTVVVVCFSPDPPPKIEMPKSHKRRSISAEGLDRLQGILDAA >Manes.08G172311.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40637085:40638929:-1 gene:Manes.08G172311.v8.1 transcript:Manes.08G172311.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKHCPIFAVNREPIRKLPIQMFKTRIWFLPLSSCFVKV >Manes.01G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5618820:5622495:-1 gene:Manes.01G026900.v8.1 transcript:Manes.01G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEGPKPSTKSAQPASNDVQPVNNAPSCKPAVAPQPVDASKQIPAGINSTSVNNYMRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGGGNQ >Manes.09G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31013828:31014789:-1 gene:Manes.09G106400.v8.1 transcript:Manes.09G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLLRLEYSGLFSKRCDDGAEETQHQCSLVLSPSVWQISFPFGG >Manes.03G064751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7753329:7757419:1 gene:Manes.03G064751.v8.1 transcript:Manes.03G064751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVLNHTSYLRTHAWKLLSGISCACSLHKMVTKSLIITVKSAICTKGHFTLNPCQGSLFGVVGGGGVTCLVILKELWMQMMHLHMLVALVWGVSPRMSYLKSCHWQILGYNVLSCKPSVATSLDDIANKKSSASLGKDHDRLIINEWYNMTVYTSKCKEGLELYTEACIKLSKLSNCTKVQGGPSTCSSTDFKLN >Manes.11G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31120792:31129349:-1 gene:Manes.11G146600.v8.1 transcript:Manes.11G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSWFFDENFNGVPDDFFDDAIKYFDFPLEDVEPNDAVEHWETRFQPLVPAPSNVFAGLTAGLCGENDNDTLKIKNTSSILCHESSQQKQWQTAEASSSRSIPLNYDSSEVKCSHVCWTSSPVSVLESSSSSSSAENAVVYHPKFVIPVKRPRSKRPRLQQRTFPFISPSNAPKQLGSSESESESHHDEKMLNLAKKKQKKKNLMLLSCTVEMVKPSSQQPVEIRKCSHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLFPEYRPAASPTFVPSLHSNSHRKVIEMRKKVVKPMNTMAEINKSLPTAPENSF >Manes.06G161300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28871437:28873342:1 gene:Manes.06G161300.v8.1 transcript:Manes.06G161300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNGYQGNTPIAAPTGTPSKQAVPMAKTVDTQSVLKRLQSELMALMMSGESGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLSFPNDYPFKPPKVKFETSCFHPNVDLYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNINSPLNTQAAQLWSNQEEYKKMVEKLYKPPSA >Manes.14G134200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10742979:10744462:-1 gene:Manes.14G134200.v8.1 transcript:Manes.14G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFWLLPPKICMARSGVIVDVKFCFQEEINLTVRKTSVFFPGDGFIVYNPDGEVIFRFDSYGPDSQPKDELVLMDASGKCLLSILRKKPSLHHRWEGFLGERKVCNGDHQEAIFSVCRSSIIGRSSLVVEVCRDPREEYYIEGNYPQRRCKIYSGGASLENSLKQAVAEIKRKVDPSTHVMLGKDVFWLCVQPGLDAAFAMGLVLVLDQIYGDDDGGRLVDPTLENATSSS >Manes.15G137900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11141367:11147691:-1 gene:Manes.15G137900.v8.1 transcript:Manes.15G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSQSSLFQPKSSIPLKTRQNPRLPPPSTVSLPPRLNSVEKREYGFVAKCSMAVTGSNSLAAASVSREMKNPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEELKRKNPRGVLISPGPGTPQDSGISLQTVLELGPLVPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSPVYYDEKGEDGLFSGLNSPFIVGRYHSLVIEKESFPTEELEITAWTEDGLIMAARHKKYKHLQGVQFHPESIITTEGKKIVYNFIKMIERKEAESQN >Manes.11G030500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2874522:2875162:1 gene:Manes.11G030500.v8.1 transcript:Manes.11G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSLKSTMVALFIFALVLSPMVPCEAARPSHPRGLLQTDEPIFCPACVCCTPPPPGECCECCATPVDP >Manes.13G114450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32044558:32049887:-1 gene:Manes.13G114450.v8.1 transcript:Manes.13G114450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPLLFSLSAIPPPSLYMGLDCPVWDLTTYPRQFSIKTEIESAFHVLWDCEVAKQVWRGMVHHFSWDEFTSANLNFYDWF >Manes.13G114450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32044558:32049887:-1 gene:Manes.13G114450.v8.1 transcript:Manes.13G114450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPLLFSLSAIPPPSLYMGLDCPVWDLTTYPRQFSIKTEIESAFHVLWDCEVAKQVWRGMVHHFSWDEFTSANLNFYDWQWR >Manes.17G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22794841:22798610:-1 gene:Manes.17G032700.v8.1 transcript:Manes.17G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSPKKKSPVAEAVVVQPSSPRFPVSTPTSAAQRRIGIAVDLSDESAYAVKWAVQNYLRPGDAVILVHVRPTSVLYGADWGAIDLSIADHYSENQTEQSQKNLEDNLDLFTSTKANELAQPFVEAQIPFKIHIVKDHDMNERLCLEVERLGLCAVIMGSRGFGASRKITKGRLGSVSDYCVHHCVCPVIVVRFPDENGAAAEEPEGGVKKGEEREVLPPFVEEAEQEYHDKQADMEKAA >Manes.15G133800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10783548:10787512:-1 gene:Manes.15G133800.v8.1 transcript:Manes.15G133800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDMWERLAEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLDFEQEVKRSIENLKPIDDPYGGQEVDASSLGWAMSAVSSRAFRLYGKKLPDGTHNDVPMMLPIIDMCNHSFNPNAQILQEQDPSNEKMLIKVVAETSVKQEDLILLNYGCLNNDLFLLDYGFVIPSNPYDCIELRYDGALLDAASIAAGVSSPNFSSPTPWQQQILCQLNLDGEAPVLKVTLGGQELIEGRLLAALRVLLASDMETVEKHDLDTLKSLSANAPLGIANEVAAFRTVIALCVIALGHFPTRMMEDESLLKQGVSATTELAIQFRIQKKSIIIDVMRDLTNRVKSLRAKDMTTTQT >Manes.15G133800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10783548:10788727:-1 gene:Manes.15G133800.v8.1 transcript:Manes.15G133800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDMWERLAEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLDFEQEVKRSIENLKPIDDPYGGQEVDASSLGWAMSAVSSRAFRLYGKKLPDGTHNDVPMMLPIIDMCNHSFNPNAQILQEQDPSNEKMLIKVVAETSVKQEDLILLNYGCLNNDLFLLDYGFVIPSNPYDCIELRYDGALLDAASIAAGVSSPNFSSPTPWQQQILCQLNLDGEAPVLKVTLGGQELIEGRLLAALRVLLASDMETVEKHDLDTLKSLSANAPLGIANEVAAFRTVIALCVIALGHFPTRMMEDESLLKQGVSATTELAIQFRIQKKSIIIDVMRDLTNRVKSLRAKDMTTTQT >Manes.15G133800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10783548:10788727:-1 gene:Manes.15G133800.v8.1 transcript:Manes.15G133800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKMVMASLIHRRPLTCAAAVTASYPSRLVPHPPDLIKWVRREGGFVHEAVKISQEGNNGFGLIASQAIPKGSELIVLPDHIPLKFGPLEYENADGATSVLINLAQKVPEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLDFEQEVKRSIENLKPIDDPYGGQEVDASSLGWAMSAVSSRAFRLYGKKLPDGTHNDVPMMLPIIDMCNHSFNPNAQILQEQDPSNEKMLIKVVAETSVKQEDLILLNYGCLNNDLFLLDYGFVIPSNPYDCIELRYDGALLDAASIAAGVSSPNFSSPTPWQQQILCQLNLDGEAPVLKVTLGGQELIEGRLLAALRVLLASDMETVEKHDLDTLKSLSANAPLGIANEVAAFRTVIALCVIALGHFPTRMMEDESLLKQGVSATTELAIQFRIQKKSIIIDVMRDLTNRVKSLRAKDMTTTQT >Manes.15G133800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10783548:10788707:-1 gene:Manes.15G133800.v8.1 transcript:Manes.15G133800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKMVMASLIHRRPLTCAAAVTASYPSRLVPHPPDLIKWVRREGGFVHEAVKISQEGNNGFGLIASQAIPKGSELIVLPDHIPLKFGPLEYENADGATSVLINLAQKVPEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLDFEQEVKRSIENLKPIDDPYGGQEVDASSLGWAMSAVSSRAFRLYGKKLPDGTHNDVPMMLPIIDMCNHSFNPNAQILQEQDPSNEKMLIKVVAETSVKQEDLILLNYGCLNNDLFLLDYGFVIPSNPYDCIELRYDGALLDAASIAAGVSSPNFSSPTPWQQQILCQLNLDGEAPVLKVTLGGQELIEGRLLAALRVLLASDMETVEKHDLDTLKSLSANAPLGIANEVAAFRTVIALCVIALGHFPTRMMEDESLLKQGVSATTELAIQFRIQKKSIIIDVMRDLTNRVKSLRAKDMTTTQT >Manes.15G133800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10783548:10788707:-1 gene:Manes.15G133800.v8.1 transcript:Manes.15G133800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDMWERLAEELWAMKLGLKLLQERAKVGSFWWPYISNLPETYSVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLDFEQEVKRSIENLKPIDDPYGGQEVDASSLGWAMSAVSSRAFRLYGKKLPDGTHNDVPMMLPIIDMCNHSFNPNAQILQEQDPSNEKMLIKVVAETSVKQEDLILLNYGCLNNDLFLLDYGFVIPSNPYDCIELRYDGALLDAASIAAGVSSPNFSSPTPWQQQILCQLNLDGEAPVLKVTLGGQELIEGRLLAALRVLLASDMETVEKHDLDTLKSLSANAPLGIANEVAAFRTVIALCVIALGHFPTRMMEDESLLKQGVSATTELAIQFRIQKKSIIIDVMRDLTNRVKSLRAKDMTTTQT >Manes.09G066957.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10928064:10929020:-1 gene:Manes.09G066957.v8.1 transcript:Manes.09G066957.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILCWNCRELGNSRTVNALKDLVTSYKPNILFLMETKALSSPMKFFCSFLHFDGCFSVNRQGLGGGLALMWKSYVSVSVVGFFQILLIRLFRKVMFNGGLLVIMGFQNHNDNVSLETLFEFLSRRSSLPWLCSGDFNDLYTRDEKEGGATLSNYLMQGFKQALEDSNLIQIPTIGSFFTWEKGRESNNLVREKLDKTLATEDWARKFTNVVCLVVHVPRSDHKPLVINTAPKDNRGDRRRFQFDNTWLRDEGLAEVVKGAWINSIPRNLLMKCDDLVSALSLWGRSRNREFWQKNKTIQRLLDNRPSTVSHTSLKED >Manes.15G107401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8520492:8524274:1 gene:Manes.15G107401.v8.1 transcript:Manes.15G107401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIRTSSIPSFAHIPTSLDTTQFTQPEILNFQFNKLPTSSFSRIQRNVSNFKLFTTISSPSSVSTESFEPPEPEFEAATSGEKFDWYSQWYPVMPVCDLDKRVPHAKKVMGLDVVVWWDRNESAWKVFDDKCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPPDGPPVHTFKKACIAVYPSTVHHDIVWFWPNTDPQYKDIITKKKPPSIPAWEDPSFTKIMGNRDIPYGYDVLIENLMDPAHVAYAHYGIISTRKPQVMVDREGGRPIDMSVKKLDKDGYIGKMDWGSSKFIAPCIFYYHADPVADQVYGTVSSSQSKRNFFDQQLSTHQRTALIFICIPVSPGNSRLIWAFPRNFGVWIDKIIPRWMSHVGQNLILDSDLYLVHVQERKIMDVGPANWQKTCFVLTKSDALVVGFRRWLNKYAGGQVDWRGKYSGALPPTPPREQLMDRYWSHVVNCRSCNSAHKGLSAFEVILQVISLVSIGIVAAAKQGAIPAAARTTVVALAVVCFAASRWLSHFTYKTFHYHDYNHALR >Manes.18G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1257845:1260487:1 gene:Manes.18G010300.v8.1 transcript:Manes.18G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAIQESNIIETAVQDNLTQEPESKPVQVFYQNQVADEELHKLLLPDVRDLPLAPPSSIETNFVSYVAPDFIKPGHDQYVYRHANGLCVIGLAPTHVAFKDGRGITAVDFNVGKSDRSGMKVTGKRKKNAQHFESNTALCKVCTQDSSYIVRCCVKGSLLEVNDKLIKQPELLNSSADREGYIAIIMPKPADWLKTKASLLSLEEYKKMRESR >Manes.04G125901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32606124:32619029:-1 gene:Manes.04G125901.v8.1 transcript:Manes.04G125901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLCREGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALQSAHGLLYLHQGCEPKIIHRDMKADNILLDNNFNAKVADFSLSSFLSDTDKVSHITSLFRGTNGYADPEYVKWAKSRIGQTLYEDDCTSLVDPRLKEYEEEEMIRMIYCAAASLYKPSPFRPNIKQIIEVLDRKMLPKEIMDRNDIDGLLDGIPPNTQINMPKIYGYKELAAATEYFSNARLLGEGALGQVFKATLDGNDVVIKRLKRIRPENTLKEMKFLGLVRHPYLVKVIGYCSEGANRVLVSEFVPNRTLTYHLHGEYILDWSKRINIAIHSAKGLEYLHENCKPKVLHGYLKTNNILLDDNFIPKIADFGLHDFLPDRIFSTRVSTGSLFYADIDQQKLSEKSDVYFFGIILLELISGREYIVNGFPILYWAEDLIKQALDNGEYTNLVDSRLQEEYEKEEMIRMIYCVAASVYKPPRFRPNISKIVQVLEGRMPWSIIWGENDNAFLNSLSTP >Manes.09G154200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35067373:35067921:-1 gene:Manes.09G154200.v8.1 transcript:Manes.09G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNDHNQKYYNYHPYQDLYNVPAQSLYKLPTSPEFLFHEEAAHQRRSWSENLQYYTGTGYLSGAIIGGAKGSLDGIRSAEPGDTLKLRINRILNSGGHMGRKFGNNLGVLGLMFAGLESALIQYRDTDDLVNTALAGLGTGAIYRAARGPRSAAIAGAIGGIAAAAAVAGKQVIKRYVPI >Manes.S029352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2409706:2409867:1 gene:Manes.S029352.v8.1 transcript:Manes.S029352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.03G203400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31885920:31896446:-1 gene:Manes.03G203400.v8.1 transcript:Manes.03G203400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPSDTEFEGGIYHGRIQLPAEYPFKPPSFMLLTSNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKEERRVLAVKSREAPPRFGTPERQKLIDEIHEYMLSRAPPVPQLNPAQDSEEQNTNREGEAQSSLQEDGAIAAHSNPDPVDGENLAVGDRVIEEVPEAAVDANPGTAELRVSREIPAGGSSDQLLHKPETRAQKPADDRLFTWAAVGLTIAIMVLLLKKFMKSSGYGALFMDGS >Manes.01G080600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28329179:28333390:-1 gene:Manes.01G080600.v8.1 transcript:Manes.01G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNHHDDLDELLDSALDDFHGLNLATSSQRSGGGDGNKESKQQEPSPSFPMGVQGLGMGLPDLKIKKKGKQKVIKESHVAEALDQLREQTREAVKGLESITGPKPVDLNKDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPKWLEEHKASLTTEEYERYTHQYELINRLNEVYENEPNNFTKIVDLMQKMQECGQPPNDIVQELAPDIDFANLGQISPEMLEAQSNCSIM >Manes.07G012500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1575215:1580282:-1 gene:Manes.07G012500.v8.1 transcript:Manes.07G012500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKAETAINENELRITAQGRMRNYISYALSLLQDKGTDEVVLKATGRAINKTVMIAELLKRRIVGLHQITSIGSIDITDTWEPLEEGLLPLETTRHVSVITITLSRKELNLSSIGYQPPIPADQVKSLAEYDDERGNINGVAEHSNGGWDGGRGYGGRGRGRGRGRGFRGRGRGYGGGNMKQESGYYNGYGGPTEAFAQTRGRGRGRGRPRGRGQGVRTGGPVQAAA >Manes.07G012500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1575215:1580282:-1 gene:Manes.07G012500.v8.1 transcript:Manes.07G012500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKAETAINENELRITAQGRMRNYISYALSLLQDKGTDEVVLKATGRAINKTVMIAELLKRRIVGLHQITSIGSIDITDTWEPLEEGLLPLETTRHVSVITITLSRKELNLSSIGYQPPIPADQVKSLAEYDDERAGNINGVAEHSNGGWDGGRGYGGRGRGRGRGRGFRGRGRGYGGGNMKQESGYYNGYGGPTEAFAQTRGRGRGRGRPRGRGQGVRTGGPVQAAA >Manes.07G012500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1575215:1580282:-1 gene:Manes.07G012500.v8.1 transcript:Manes.07G012500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKAETAINENELRITAQGRMRNYISYALSLLQDKGTDEVVLKATGRAINKTVMIAELLKRRIVGLHQITSIGSIDITDTWEPLEEGLLPLETTRHVSVITITLSRKELNLSSIGYQPPIPADQVKSLAEYDDERGNINGVAEHSNGGWDGGRGYGGRGRGRGRGRGFRGRGRGYGGGNMKQESGYYNGYGGPTEAFAQTRGRGRGRGRPRGRGQGVRTGGPVQAAA >Manes.07G012500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1575215:1580282:-1 gene:Manes.07G012500.v8.1 transcript:Manes.07G012500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKAETAINENELRITAQGRMRNYISYALSLLQDKGTDEVVLKATGRAINKTVMIAELLKRRIVGLHQITSIGSIDITDTWEPLEEGLLPLETTRHVSVITITLSRKELNLSSIGYQPPIPADQVKSLAEYDDERAGNINGVAEHSNGGWDGGRGYGGRGRGRGRGRGFRGRGRGYGGGNMKQESGYYNGYGGPTEAFAQTRGRGRGRGRPRGRGQGVRTGGPVQAAA >Manes.18G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2259042:2259680:1 gene:Manes.18G023600.v8.1 transcript:Manes.18G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAAFGLVIAFVLFVSARSVLGEEAPGIYEQIEQVDKLAQDTTKETEASTEVKDTPKQEDSKSSTTAASTETADAPPQEDSKSSTSTTSASTETTDAPTQEDSKSSTSTTSASTETTSTPAEEDSKSSTTSQENSKGSSGSWGQWVVNKLENVGIISGGKSESTESASSAEAPAPDEASSPPA >Manes.11G040553.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4317851:4318350:-1 gene:Manes.11G040553.v8.1 transcript:Manes.11G040553.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICQSFCCTQSNLHSGWPIDRGGHHFLLTHHRDEISSNCWQRTRKQSIDQLLRCSIRRPPQYSGDECG >Manes.01G114900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31216486:31228056:1 gene:Manes.01G114900.v8.1 transcript:Manes.01G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLMLRRFFCFNAPASASASASASASASASASVSAASSSSKKKPLVFLGSPQVSTTVLDALFNASSAPNSIFEIAAIVTQPPARKGRGKTLTPSLVAQYAIDRGFPSDLIFTPERAGEDTFLCSLRALQPELCITAAYGNILPTKFLNIPPMGTVNIHPSLLPLYRGAAPVQRALQDGVKETGISLAFTVRALDAGPVIVHERVDVDDEIKAPDLLDLLFSQGSKLLIHELPSILDGSARAKAQPQDDSKATLAPKIAPEESWISFDEEASVVHNKVRAFAGWPGTRAKLMLVDGKDGNQNVIELKIITTRVCRHGIIQSNEMDEITFFKGSLVIPCWNSTALEVLEVQLPGKKVVSAAAFWNGLRDKKLKKL >Manes.18G042500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3729870:3730585:1 gene:Manes.18G042500.v8.1 transcript:Manes.18G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAASMKRHCFVSSGLLLLVVLLLFTQISVVHCRALRSPTSGSTQQREDVAHQSAGGAASLPVSSNNSSVGDTGTSVRSLVFTLASGPSKKGPGH >Manes.14G154600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19055385:19063951:1 gene:Manes.14G154600.v8.1 transcript:Manes.14G154600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERARDRDRDRDRERDRERRRDKEDRERERERDRDRDRDRDRERTRSTRSRTRSPDRTRSTRSRTRSPDRTRSRHARSPERHRSRSRSPESRSHRRHRNQRTPSPSPPRKRHRHDTEDGRDKEKERERERDRQRAAVSDFLDGIAREQLEKIGNNNNSAGGGDREAEVEGSLVDEDEIEMMKKLGIPAGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >Manes.14G154600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19055235:19063951:1 gene:Manes.14G154600.v8.1 transcript:Manes.14G154600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERARDRDRDRDRERDRERRRDKEDRERERERDRDRDRDRDRERTRSTRSRTRSPDRTRSTRSRTRSPDRTRSRHARSPERHRSRSRSPESRSHRRHRNQRTPSPSPPRKRHRHDTEDGRDKEKERERERDRQRAAVSDFLDGIAREQLEKIGNNNNSAGGGDREAEVEGSLVDEDEIEMMKKLGIPAGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >Manes.14G154600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19055235:19064654:1 gene:Manes.14G154600.v8.1 transcript:Manes.14G154600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERARDRDRDRDRERDRERRRDKEDRERERERDRDRDRDRDRERTRSTRSRTRSPDRTRSTRSRTRSPDRTRSRHARSPERHRSRSRSPESRSHRRHRNQRTPSPSPPRKRHRHDTEDGRDKEKERERERDRQRAAVSDFLDGIAREQLEKIGNNNNSAGGGDREAEVEGSLVDEDEIEMMKKLGIPAGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >Manes.14G154600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19055265:19063951:1 gene:Manes.14G154600.v8.1 transcript:Manes.14G154600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERARDRDRDRDRERDRERRRDKEDRERERERDRDRDRDRDRERTRSTRSRTRSPDRTRSTRSRTRSPDRTRSRHARSPERHRSRSRSPESRSHRRHRNQRTPSPSPPRKRHRHDTEDGRDKEKERERERDRQRAAVSDFLDGIAREQLEKIGNNNNSAGGGDREAEVEGSLVDEDEIEMMKKLGIPAGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >Manes.14G154600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19055385:19063951:1 gene:Manes.14G154600.v8.1 transcript:Manes.14G154600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERARDRDRDRDRERDRERRRDKEDRERERERDRDRDRDRDRERTRSTRSRTRSPDRTRSTRSRTRSPDRTRSRHARSPERHRSRSRSPESRSHRRHRNQRTPSPSPPRKRHRHDTEDGRDKEKERERERDRQRAAVSDFLDGIAREQLEKIGNNNNSAGGGDREAEVEGSLVDEDEIEMMKKLGIPAGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >Manes.14G154600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19055235:19063951:1 gene:Manes.14G154600.v8.1 transcript:Manes.14G154600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRERARDRDRDRDRERDRERRRDKEDRERERERDRDRDRDRDRERTRSTRSRTRSPDRTRSTRSRTRSPDRTRSRHARSPERHRSRSRSPESRSHRRHRNQRTPSPSPPRKRHRHDTEDGRDKEKERERERDRQRAAVSDFLDGIAREQLEKIGNNNNSAGGGDREAEVEGSLVDEDEIEMMKKLGIPAGFDSTKGKPVPGADVSGVRVVTKRQPRQYMNRRGGFNRPLPPERNR >Manes.08G026600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2580020:2583164:-1 gene:Manes.08G026600.v8.1 transcript:Manes.08G026600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLLRAYFPMKMRCCFQSSLHSASSSLVPVQLPSPMETHLWYVVPDQVKSASLLNRYMELLSPVEKKNVLSMPGDQLQKRALLARALVRTTIARYQINCHVDPRSLKFKKNMHGKPEVEWQSKDGWCQPHLHFNISHSSSIIACGVTMNAQEAYVKALGKGFSAAPFKTFTIHVKSAASKRSIFLAEDRVYEASEITIESFTDPKSLTSSCQFALLELDGSHYAAICMEKDKSSEFGMKVPIRLTAWKTIPFVEDECVSGTDAIIPIGGLIKL >Manes.13G110400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31588038:31591464:-1 gene:Manes.13G110400.v8.1 transcript:Manes.13G110400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGKQSSEAKKVISEKMEKHQVSSSKEGKGKRLWKTVKYQLVEYHCLPGYLRDNEYIVGHYRSEWPMKQVLLSIFSIHNETLNVWTHLIGFFLFLSLTICTAIKECLPSLPTMPNIPRLREEIKATLPSMDLLPSLPGWHIMELLYNCLPERFSSENHTNVCVLRSMKEDVVNMIAPLMVRPITRWPFFVFLGGAMFCLLASSTCHLLSCHSERMSYIMLRLDYAGIAALISTSFYPPVYYSFMCSPFFCNLYLGFITILGIATILVSLLPMFEKPEFRTIRAALFSGMGLSGIAPILHKLILFWHQPEALHTTGYEVLMGVLYLIGALVYATRIPERWMPGKFDIAGHSHQLFHIFVVAGAYAHYHAGLVYLKWRDLEGC >Manes.13G110400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31588038:31591408:-1 gene:Manes.13G110400.v8.1 transcript:Manes.13G110400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGKQSSEAKKVISEKMEKHQVSSSKEGKGKRLWKTVKYQLVEYHCLPGYLRDNEYIVGHYRSEWPMKQVLLSIFSIHNETLNVWTHLIGFFLFLSLTICTAIKVPKVIDLHSLQLPDMLKADLHKLQECLPSLPTMPNIPRLREEIKATLPSMDLLPSLPGWHIMELLYNCLPERFSSENHTNVCVLRSMKEDVVNMIAPLMVRPITRWPFFVFLGGAMFCLLASSTCHLLSCHSERMSYIMLRLDYAGIAALISTSFYPPVYYSFMCSPFFCNLYLGFITILGIATILVSLLPMFEKPEFRTIRAALFSGMGLSGIAPILHKLILFWHQPEALHTTGYEVLMGVLYLIGALVYATRIPERWMPGKFDIAGHSHQLFHIFVVAGAYAHYHAGLVYLKWRDLEGC >Manes.13G110400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31588038:31591464:-1 gene:Manes.13G110400.v8.1 transcript:Manes.13G110400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGKQSSEAKKVISEKMEKHQVSSSKEGKGKRLWKTVKYQLVEYHCLPGYLRDNEYIVGHYRSEWPMKQVLLSIFSIHNETLNVWTHLIGFFLFLSLTICTAIKVPKECLPSLPTMPNIPRLREEIKATLPSMDLLPSLPGWHIMELLYNCLPERFSSENHTNVCVLRSMKEDVVNMIAPLMVRPITRWPFFVFLGGAMFCLLASSTCHLLSCHSERMSYIMLRLDYAGIAALISTSFYPPVYYSFMCSPFFCNLYLGFITILGIATILVSLLPMFEKPEFRTIRAALFSGMGLSGIAPILHKLILFWHQPEALHTTGYEVLMGVLYLIGALVYATRIPERWMPGKFDIAGHSHQLFHIFVVAGAYAHYHAGLVYLKWRDLEGC >Manes.13G110400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31588038:31591464:-1 gene:Manes.13G110400.v8.1 transcript:Manes.13G110400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFKFSFVGPLFQKINLLLPFPYHLSLMINNVPCRHLIGFFLFLSLTICTAIKVPKVIDLHSLQLPDMLKADLHKLQECLPSLPTMPNIPRLREEIKATLPSMDLLPSLPGWHIMELLYNCLPERFSSENHTNVCVLRSMKEDVVNMIAPLMVRPITRWPFFVFLGGAMFCLLASSTCHLLSCHSERMSYIMLRLDYAGIAALISTSFYPPVYYSFMCSPFFCNLYLGFITILGIATILVSLLPMFEKPEFRTIRAALFSGMGLSGIAPILHKLILFWHQPEALHTTGYEVLMGVLYLIGALVYATRIPERWMPGKFDIAGHSHQLFHIFVVAGAYAHYHAGLVYLKWRDLEGC >Manes.13G110400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31587835:31591473:-1 gene:Manes.13G110400.v8.1 transcript:Manes.13G110400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGKQSSEAKKVISEKMEKHQVSSSKEGKGKRLWKTVKYQLVEYHCLPGYLRDNEYIVGHYRSEWPMKQVLLSIFSIHNETLNVWTHLIGFFLFLSLTICTAIKVPKVIDLHSLQLPDMLKADLHKLQECLPSLPTMPNIPRLREEIKATLPSMDLLPSLPGWHIMELLYNCLPERFSSENHTNVCVLRSMKEDVVNMIAPLMVRPITRWPFFVFLGGAMFCLLASSTCHLLSCHSERMSYIMLRLDYAGIAALISTSFYPPVYYSFMCSPFFCNLYLGFITILGIATILVSLLPMFEKPEFRTIRAALFSGMGLSGIAPILHKLILFWHQPEALHTTGYEVLMGVLYLIGALVYATRIPERWMPGKFDIAGHSHQLFHIFVVAGAYAHYHAGLVYLKWRDLEGC >Manes.01G255700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41299198:41304724:1 gene:Manes.01G255700.v8.1 transcript:Manes.01G255700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEEGEKNSSRGNEWEVVSLTASTYAASPGPKEVVLKDENNDNRHGEDEAETSRALFMSGHFVFPPNQHENLPLEPDNSEILNELVEENVVSEHGVEEGDKSGGKDEENFKLELNVSEEFPGIQFFDDKEQSIYGTATFSSYHSESGLEGSAAYGENLVIPEVNEQAKEALDFSMDSPNPAKDGKYDGSGLPCEAWWKRRAASLYSHAKETNAFWSIFVAAAVMGLVILGQRWQQERWRALQLKWQASINEKSGRMLGPISRLKDVIVGGDRRGSLIGGSPLSEN >Manes.01G255700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41299069:41302311:1 gene:Manes.01G255700.v8.1 transcript:Manes.01G255700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEEGEKNSSRGNEWEVVSLTASTYAASPGPKEVVLKDENNDNRHGEDEAETSRALFMSGHFVFPPNQHENLPLEPDNSEILNELVEENVVSEHGVEEGDKSGGKDEENFKLELNVSEEFPGIQFFDDKEQSIYGTATFSSYHSESGLEGSAAYGENLVIPEVNEQAKEALDFSMDSPNPAKDGKYDGSGLPCEAWWKRRAASLYSHAKETNAFWSIFVAAAVMGLVILGQRWQQERWRALQLKWQASINEKSGRMLGPISRLKDVIVGGDRRGSLIGGSPLSEN >Manes.01G255700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41299079:41304461:1 gene:Manes.01G255700.v8.1 transcript:Manes.01G255700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEEGEKNSSRGNEWEVVSLTASTYAASPGPKEVVLKDENNDNRHGEDEAETSRALFMSGHFVFPPNQHENLPLEPDNSEILNELVEENVVSEHGVEEGDKSGGKDEENFKLELNVSEEFPGIQFFDDKEQSIYGTATFSSYHSESGLEGSAAYGENLVIPEVNEQAKEALDFSMDSPNPAKDGKYDGSGLPCEAWWKRRAASLYSHAKETNAFWSIFVAAAVMGLVILGQRWQQERWRALQLKWQASINEKSGRMLGPISRLKDVIVGGDRRGSLIGGSPLSEN >Manes.01G255700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41299032:41303795:1 gene:Manes.01G255700.v8.1 transcript:Manes.01G255700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEEGEKNSSRGNEWEVVSLTASTYAASPGPKEVVLKDENNDNRHGEDEAETSRALFMSGHFVFPPNQHENLPLEPDNSEILNELVEENVVSEHGVEEGDKSGGKDEENFKLELNVSEEFPGIQFFDDKEQSIYGTATFSSYHSESGLEGSAAYGENLVIPEVNEQAKEALDFSMDSPNPAKDGKYDGSGLPCEAWWKRRAASLYSHAKETNAFWSIFVAAAVMGLVILGQRWQQERWRALQLKWQASINEKSGRMLGPISRLKDVIVGGDRRGSLIGGSPLSEN >Manes.04G089500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29503199:29510349:1 gene:Manes.04G089500.v8.1 transcript:Manes.04G089500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAVKPDNGKDNVPEKCVQSCQSIWMPHWANTSHRSLSDVHNQLSHHYESGEDSHRAEHHHSPGGLEMETDSSKFVKEFREVHNKAFMNESSGRSLKKLRHETFKGQHFPMFKSSQNRGNILFLNNVDSSTHHEGGLRSQIRPTPGCDFTLGITDNRLPSMLESIPYKIDTQQIESHFEFGGTTSNPEQQVRSNKLLENNGIQFSVPLKDEVLGSTSRVMPSELNNGGTPTESFFHRQDYTDQPSSTFFVDEKKMNSNAALPRHNSSTSDNHLRDFVGEQFQNMSNNSDCKLFPNQIKPPEARLYHRSCEPSRIPSFVHDVKTMNIFTTIDSVKEFCRGPSKLSQTTHFLFTKKTDVNLPDGSQIFRESMISTKNEGRPMNEFLNLSPDFGLNVKQGVKLQTLDSSADNEGKENINSVHISAVDLKNESSTETDTMDMDTLQDNRLFGVASSLSNKDIEGAQKSPTSHAAITSDKEESRGRLPSVELPDINQELPVISGGPNSADAAEMSTSRTQSLDVEHFLSYSELSTNSKPSASADGPLGLDPYSRWVKRLKPSASESFAHGTKSSKMGEPSSHEKVNKFFSKILNCRKTGADPKVGKAYGEKQMVIDHTAELTRNAESSSTDSARKSQDITLSHAWIQRWCHNTASSSKKKPEGLVICQPQTSKATVDNFQKKQYPSIAALALMGKSMTGFRPCEFRKRGSFVVWNTKGLG >Manes.04G089500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29503214:29510182:1 gene:Manes.04G089500.v8.1 transcript:Manes.04G089500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAVKPDNGKDNVPEKCVQSCQSIWMPHWANTSHRSLSDVHNQLSHHYESGEDSHRAEHHHSPGGLEMETDSSKFVKEFREVHNKAFMNESSGRSLKKLRHETFKGQHFPMFKSSQNRGNILFLNNVDSSTHHEGGLRSQIRPTPGCDFTLGITDNRLPSMLESIPYKIDTQQIESHFEFGGTTSNPEQQVRSNKLLENNGIQFSVPLKDEVLGSTSRVMPSELNNGGTPTESFFHRQDYTDQPSSTFFVDEKKMNSNAALPRHNSSTSDNHLRDFVGEQFQNMSNNSDCKLFPNQIKPPEARLYHRSCEPSRIPSFVHDVKTMNIFTTIDSVKEFCRGPSKLSQTTHFLFTKKTDVNLPDGSQIFRESMISTKNEGRPMNEFLNLSPDFGLNVKQGVKLQTLDSSADNEGKENINSVHISAVDLKNESSTETDTMDMDTLQDNRLFGVASSLSNKDIEGAQKSPTSHAAITSDKEESRGRLPSVELPDINQELPVISGGPNSADAAEMSTSRTQSLDVEHFLSYSELSTNSKPSASADGPLGLDPYSRWVKRLKPSASESFAHGTKSSKMGEPSSHEKVNKFFSKILNCRKTGADPKVGKAYGEKQMVIDHTAELTRNAESSSTDSARKSQDITLSHAWIQRWCHNTASSSKKKPEGLVICQPQTSKATVDNFQKKQYPSIAALALMGKSMTGFRPCEFRKRGSFVVWNTKGLG >Manes.10G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28146469:28149994:1 gene:Manes.10G115800.v8.1 transcript:Manes.10G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMAGEIKKKMGSQPTANKSTGTVQMKGQPIQQKNNCCG >Manes.10G115800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28146469:28150001:1 gene:Manes.10G115800.v8.1 transcript:Manes.10G115800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEYDYLFKLLLIGDSSVGKSCLLLRFAKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMAGEIKKKMGSQPTANKSTGTVQMKGQPIQQKNNCCG >Manes.15G128900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10319665:10329646:1 gene:Manes.15G128900.v8.1 transcript:Manes.15G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYNRIPSSGHSTPSPPQSPLRSPRYRHGGSRSKRFNPASHPPGRTLAHRLAWLLLSVLLRRQGIFLFAPLIYISGMLLYMGTVSFDVVPVIKHKPAPGSVYRSPQIYRKLRPEMDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFRDIYDEDYFISTLENDVRVVDKIPLYLMERFDHNMTNVYNFRVKAWSSIHYYKEVVLPRLLEEKVIRISPFANRLSFDAPPAVQRLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVFDGGEQEEKDMIAARERGWKGKFTKPGRTIRPGAIRLNGKCPLTPLEVGLMLRGMGFDKNTFIFLASGKIYNAEKYMAPLLEMFPNMLTKEMLASEDELAPFKNYSSRMAAIDYTICLHSEVFVTTQGGNFPHFLMGHRRFLYGGHSKTIRPDKRKLALLFDNPNIGWKSFKRHMLNMRSHSDSKGFELKRPNDSIYSFPCPDCMCRMNKTDSRSLAP >Manes.01G124300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31963247:31966347:1 gene:Manes.01G124300.v8.1 transcript:Manes.01G124300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFPKYEKLGKLFIVYENRDFIIVLRSCVKEREPTKMESVANPEKPHALCIPYPSQGHINPMLKLAKLLHHNGFHITFLHTDHTHQCLLESLGPNSLNGLPSFHFRTIPDGLPSTSTSTRNTPSLCRSMSETCLPHLRNLISELNHSSSSNVPPVTCIISDGVMSFSLDAAQELGIPHVLFWTTGACAFMAYLHFRHLIDKGLVPFKDWIPGLNGIRLRDIPSFIRTTDPENFMLNFLISETQRAKEASAIVLNTFEALENEVFAALASLPLPRVYSIGSLQLLLNQAADDRLKSIQSNLWKEESGCLEWLDSKEPNSVIYVNFGSMIVTTGDKLVEIAWGLANSNKNFLWVIRPNLVSGTAAVLPPEFVTTTKERGFLASWCPQEQVLSHPSIGGFLTHSGWNSTLESICGGVPMICWPRSSEQQMNCRYCCLEWGIGMEMNSDVKRDEVERLVRVLMEGKKGNEMKKKAMEWRKIAEEAASPKGSSFQNLDNVVNHVLLSSRN >Manes.01G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31963247:31966347:1 gene:Manes.01G124300.v8.1 transcript:Manes.01G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFPKYEKLGKLFIVYENRDFIIVLRSCVKEREPTKMESVANPEKPHALCIPYPSQGHINPMLKLAKLLHHNGFHITFLHTDHTHQCLLESLGPNSLNGLPSFHFRTIPDGLPSTSTSTRNTPSLCRSMSETCLPHLRNLISELNHSSSSNVPPVTCIISDGVMSFSLDAAQELGIPHVLFWTTGACAFMAYLHFRHLIDKGLVPFKDESYLTNGVLDTVIDWIPGLNGIRLRDIPSFIRTTDPENFMLNFLISETQRAKEASAIVLNTFEALENEVFAALASLPLPRVYSIGSLQLLLNQAADDRLKSIQSNLWKEESGCLEWLDSKEPNSVIYVNFGSMIVTTGDKLVEIAWGLANSNKNFLWVIRPNLVSGTAAVLPPEFVTTTKERGFLASWCPQEQVLSHPSIGGFLTHSGWNSTLESICGGVPMICWPRSSEQQMNCRYCCLEWGIGMEMNSDVKRDEVERLVRVLMEGKKGNEMKKKAMEWRKIAEEAASPKGSSFQNLDNVVNHVLLSSRN >Manes.14G106000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8909456:8911751:-1 gene:Manes.14G106000.v8.1 transcript:Manes.14G106000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSFILLFALFLPVFTFIKACPTEVQCGRLGPIKYPFTIEGHEDCGLLVVNDCSKEMQMIQFENERGYPIRYQVESFSPVGSLSTKLSVIDMDLQHSLNDKRCESFNNLSLPGLPYVTFKMNSSLKTMYKCDYSVESGDPDNFRFHSCGGFKFYYAVKDNASIPSPLLDCSTLQLPLNITNVDHDIFRILTSTFSLLVSLQDPMQGHKGQGHKGSGSRAWKTVALSVSGIVLLIIFCCFIIKFSPNCSIFYWKKNTQDHQNIEAFLKNHGVLAPKRYKYSEVKKMTGSFNDKLGQGGYGSVYKGKLPDGRLVAVKVLKESKRNGEEFINEVASISRTSHVNIVTLLGFCFEDSKRALIYEFMSKGSLEKYIYEENPLKADRQLGWETLHHIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDETFCPKISDFGLAKICPRKESIISMMGARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMLVLEMVGGRKNICVSVDNTSEIYFPHWIFKRLELGEELGVDGTANAEDNQLVRKMVVVSLWCIQTDPSNRPPMSRVVEMLQGTLDSLPIPPKPYLFSPPRSVASSSPSPHYDS >Manes.13G068044.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9127247:9129267:-1 gene:Manes.13G068044.v8.1 transcript:Manes.13G068044.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFPPPPQHCSPLKIIKDSIISILASFHAGYFRISLSLCSQALLWKILGDQSIEDAHALRRVFQMLPSTAFLLIWSIALFTVTSLSLLYILRCLVHFEMVKLEFLHHVGVNYLFAPWISWLLLLQSSPFFTPKTMYYLVLWWVFVIPMVVLDVKIYGQWFTKGKRSLSTAANPTSQLSVIGNLVGARAAAQMGWKETCICMFSLGMAHYLVLFVTLYQRLNVGNCLPTMLSPVFFLFIAAPSMASLAWDSISGCFDNLAKMLFFLSLFLFLSLISRPTLFKKSTRKFNVAWWAYSFPMTILALASADYAQEVNNTIAHGVMLVLSSLSVLVTLGLVVFTALNTDKLFLPNDPAVSSPSSNNP >Manes.03G127500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25190812:25197197:1 gene:Manes.03G127500.v8.1 transcript:Manes.03G127500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAEVISQLHRQELSMGRNLSSHSQSPIRGRGSPHRKSPSRRERSPARHRSSHRDISPAREKRSTRTRSPKHEMYHSSSPPRHRSSQRDSSPQAREKNYSHEKSQSPSPRTKRLRRAQAERETEPAEERDHERNRGKGDDKGTRGERETGKLSGREREKSHGKGGKGAYREREAEKLTEREHEKNHGRVTDRNTHRERGEDRDGAERMERRSGKDATDSKSYRARNGRSTSPSDHHHRNKHRSRSPQRDGNRRERDEVTNSRGAERRNDDDDDDDSVAKMKAAEEALEAKQKQQPSFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKDQPDGTISKQVRPYLMDLGSTNKTFINDNPIEPQRYYELFERDTIKFGNSSREYVLLHENSAG >Manes.03G127500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25190812:25197197:1 gene:Manes.03G127500.v8.1 transcript:Manes.03G127500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSSHSQSPIRGRGSPHRKSPSRRERSPARHRSSHRDISPAREKRSTRTRSPKHEMYHSSSPPRHRSSQRDSSPQAREKNYSHEKSQSPSPRTKRLRRAQAERETEPAEERDHERNRGKGDDKGTRGERETGKLSGREREKSHGKGGKGAYREREAEKLTEREHEKNHGRVTDRNTHRERGEDRDGAERMERRSGKDATDSKSYRARNGRSTSPSDHHHRNKHRSRSPQRDGNRRERDEVTNSRGAERRNDDDDDDDSVAKMKAAEEALEAKQKQQPSFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKDQPDGTISKQVRPYLMDLGSTNKTFINDNPIEPQRYYELFERDTIKFGNSR >Manes.03G127500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25190787:25197197:1 gene:Manes.03G127500.v8.1 transcript:Manes.03G127500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSSHSQSPIRGRGSPHRKSPSRRERSPARHRSSHRDISPAREKRSTRTRSPKHEMYHSSSPPRHRSSQRDSSPQAREKNYSHEKSQSPSPRTKRLRRAQAERETEPAEERDHERNRGKGDDKGTRGERETGKLSGREREKSHGKGGKGAYREREAEKLTEREHEKNHGRVTDRNTHRERGEDRDGAERMERRSGKDATDSKSYRARNGRSTSPSDHHHRNKHRSRSPQRDGNRRERDEVTNSRGAERRNDDDDDDDSVAKMKAAEEALEAKQKQQPSFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKDQPDGTISKQVRPYLMDLGSTNKTFINDNPIEPQRYYELFERDTIKFGNSR >Manes.03G127500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25190787:25197197:1 gene:Manes.03G127500.v8.1 transcript:Manes.03G127500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSSHSQSPIRGRGSPHRKSPSRRERSPARHRSSHRDISPAREKRSTRTRSPKHEMYHSSSPPRHRSSQRDSSPQAREKNYSHEKSQSPSPRTKRLRRAQAERETEPAEERDHERNRGKGDDKGTRGERETGKLSGREREKSHGKGGKGAYREREAEKLTEREHEKNHGRVTDRNTHRERGEDRDGAERMERRSGKDATDSKSYRARNGRSTSPSDHHHRNKHRSRSPQRDGNRRERDEVTNSRGAERRNDDDDDDDSVAKMKAAEEALEAKQKQQPSFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKDQPDGTISKQVRPYLMDLGSTNKTFINDNPIEPQRYYELFERDTIKFGNSSREYVLLHENSAG >Manes.03G127500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25190812:25197197:1 gene:Manes.03G127500.v8.1 transcript:Manes.03G127500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSSHSQSPIRGRGSPHRKSPSRRERSPARHRSSHRDISPAREKRSTRTRSPKHEMYHSSSPPRHRSSQRDSSPQAREKNYSHEKSQSPSPRTKRLRRAQAERETEPAEERDHERNRGKGDDKGTRGERETGKLSGREREKSHGKGGKGAYREREAEKLTEREHEKNHGRVTDRNTHRERGEDRDGAERMERRSGKDATDSKSYRARNGRSTSPSDHHHRNKHRSRSPQRDGNRRERDEVTNSRGAERRNDDDDDDDSVAKMKAAEEALEAKQKQQPSFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKDQPDGTISKQVRPYLMDLGSTNKTFINDNPIEPQRYYELFERDTIKFGNSSREYVLLHENSAG >Manes.03G127500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25190811:25197197:1 gene:Manes.03G127500.v8.1 transcript:Manes.03G127500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSSHSQSPIRGRGSPHRKSPSRRERSPARHRSSHRDISPAREKRSTRTRSPKHEMYHSSSPPRHRSSQRDSSPQAREKNYSHEKSQSPSPRTKRLRRAQAERETEPAEERDHERNRGKGDDKGTRGERETGKLSGREREKSHGKGGKGAYREREAEKLTEREHEKNHGRVTDRNTHRERGEDRDGAERMERRSGKDATDSKSYRARNGRSTSPSDHHHRNKHRSRSPQRDGNRRERDEVTNSRGAERRNDDDDDDDSVAKMKAAEEALEAKQKQQPSFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKDQPDGTISKQVRPYLMDLGSTNKTFINDNPIEPQRYYELFERDTIKFGNSSREYVLLHENSAG >Manes.03G127500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25190812:25197197:1 gene:Manes.03G127500.v8.1 transcript:Manes.03G127500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSSHSQSPIRGRGSPHRKSPSRRERSPARHRSSHRDISPAREKRSTRTRSPKHEMYHSSSPPRHRSSQRDSSPQAREKNYSHEKSQSPSPRTKRLRRAQAERETEPAEERDHERNRGKGDDKGTRGERETGKLSGREREKSHGKGGKGAYREREAEKLTEREHEKNHGRVTDRNTHRERGEDRDGAERMERRSGKDATDSKSYRARNGRSTSPSDHHHRNKHRSRSPQRDGNRRERDEVTNSRGAERRNDDDDDDDSVAKMKAAEEALEAKQKQQPSFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKDQPDGTISKQVRPYLMDLGSTNKTFINDNPIEPQRYYELFERDTIKFGNSSREYVLLHENSAG >Manes.03G127500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25191431:25197197:1 gene:Manes.03G127500.v8.1 transcript:Manes.03G127500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSSHSQSPIRGRGSPHRKSPSRRERSPARHRSSHRDISPAREKRSTRTRSPKHEMYHSSSPPRHRSSQRDSSPQAREKNYSHEKSQSPSPRTKRLRRAQAERETEPAEERDHERNRGKGDDKGTRGERETGKLSGREREKSHGKGGKGAYREREAEKLTEREHEKNHGRVTDRNTHRERGEDRDGAERMERRSGKDATDSKSYRARNGRSTSPSDHHHRNKHRSRSPQRDGNRRERDEVTNSRGAERRNDDDDDDDSVAKMKAAEEALEAKQKQQPSFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKDQPDGTISKQVRPYLMDLGSTNKTFINDNPIEPQRYYELFERDTIKFGNSSREYVLLHENSAG >Manes.04G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7047713:7052014:-1 gene:Manes.04G040500.v8.1 transcript:Manes.04G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIRSIFRPHQLRPPSLFSLHSSYSSSTSASAAAIYADRTIKEGPRNDWTRQEIKDVYDSPLLDLLFHGAQVHRHAQNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGVKAQKLMTKEDVLEAAKKAKEAGSTRFCMGAAWRDTVGRKTNFNQILDYVKEIRDMGMEVCCTLGMLEKQQAQELKKAGLTAYNHNLDTSREYYPNIITTRTYDERLKTLEHVREAGINVCSGGIIGLGEAEEDRVGLLYTLATLPAHPESVPINALLAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFTGDKLLTTPNNDFDADQLMFKVLGLIPKSPSFPEDEERAFESENCQEAVSSSG >Manes.S055216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1911525:1912337:1 gene:Manes.S055216.v8.1 transcript:Manes.S055216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G100508.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30560575:30560889:-1 gene:Manes.07G100508.v8.1 transcript:Manes.07G100508.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHHRRDYVQPGPHDPTLLRHQRVHRSEAVWFETVQQPVLQCRRAGRVLHADRIHPRIVPYLQMSGFYGVSRLGFFALDWHLITALVERWRSETHTFMMSVR >Manes.03G062700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7224680:7228259:-1 gene:Manes.03G062700.v8.1 transcript:Manes.03G062700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFKWQDDEKGVKINWKRVATTSLFGFGFVGPVGHFWYEGLDQFIRSRLLLRPNTLRFVTTKVAIDGLLFGPLDLLIFFTYMGFSTGKSVPQIKEDLKRDFLPALILEGGLWPVVQAVNFRFLPVRYQLLYVNIFCLLDSCFLSWIEQQEDAPWKQWFKAFQPFKDQKGQGG >Manes.03G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7224680:7228259:-1 gene:Manes.03G062700.v8.1 transcript:Manes.03G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWKWYQNCLAVHPVKTQVISSGFIWGFGDISAQSITKYTAKKQCQKDDEKGVKINWKRVATTSLFGFGFVGPVGHFWYEGLDQFIRSRLLLRPNTLRFVTTKVAIDGLLFGPLDLLIFFTYMGFSTGKSVPQIKEDLKRDFLPALILEGGLWPVVQAVNFRFLPVRYQLLYVNIFCLLDSCFLSWIEQQEDAPWKQWFKAFQPFKDQKGQGG >Manes.03G062700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7224680:7228259:-1 gene:Manes.03G062700.v8.1 transcript:Manes.03G062700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWKWYQNCLAVHPVKTQVISSGFIWGFGDISAQSITKYTAKKQCQKDDEKGVKINWKRVATTSLFGFGFVGPVGHFWYEGLDQFIRSRLLLRPNTLRFVTTKVAIDGLLFGPLDLLIFFTYMGFSTGKSVPQIKEDLKRDFLPALILEGGLWPVVQAVNFRFLPALLIIADVHT >Manes.13G009000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1257185:1262904:1 gene:Manes.13G009000.v8.1 transcript:Manes.13G009000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEVLKDFAIGHACNCLIGQPSLDDQQYYAKSNYGSRSFKQAQKDHLRKSFAGLEAARIEEEEEYEEEYFEEESSAAISELFHGFLAIGTLGSDPVINDPSTPTFAISVDNITEKETVTENELKLINDELEKVLGAEAREDCCNDSSGRNSYVSAGRSSHGSTITLSGKPTEGQDTNVNGTTVCPLQGYLFGSAIELSETTTVAKKEHRTSLGELFQRTKLAEENSGGKCEKDEKHIEKEADKSAMHLMKKILKKKTLHASSRSSAATAGGTVDPASAEKKQHKIIHMFHRKVHPETSTTTRKADKPQKNENKKTNNGGHNNGNQMLADEDITVLPQRYLSKRSIRRYKSQSNPPQFTLGSSDSNGSRECWIKTDADYLVLEL >Manes.13G009000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1258125:1262098:1 gene:Manes.13G009000.v8.1 transcript:Manes.13G009000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEVLKDFAIGHACNCLIGQPSLDDQQYYAKSNYGSRSFKQAQKDHLRKSFAGLEAARIEEEEEYEEEYFEEESSAAISELFHGFLAIGTLGSDPVINDPSTPTFAISVDNITEKETVTENELKLINDELEKVLGAEAREDCCNDSSGRNSYVSAGRSSHGSTITLSGKPTEGQDTNVNGTTVCPLQGYLFGSAIELSETTTVAKKEHRTSLGELFQRTKLAEENSGGKCEKDEKHIEKEADKSAMHLMKKILKKKTLHASSRSSAATAGGTVDPASAEKKQHKIIHMFHRKVHPETSTTTRKADKPQKNENKKTNNGGHNNGNQMLADEDITVLPQRYLSKRSIRRYKSQSNPPQFTLGSSDSNGSRECWIKTDADYLVLEL >Manes.01G088700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28995831:29000481:-1 gene:Manes.01G088700.v8.1 transcript:Manes.01G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTLVLFTFIITLLDPLPVEAKVFMILMDDEPVLSFQSKQTKARIEEEGMAHKERLSNRHDLILESLLQKGTYTKLYSYTYLLNGVAVHAESEEIFSILKNAKGVRTIHEDVKMEKLTTHTPEFLGIPAGVWPVLGGAKSSGEGVVIGLIDTGINPHHPSFTNNSFLGSLHNTKFRGKCATGKKFPSTACNGKIVAAHYFARAAINAGDFNATRDFASPFDADGHGSHTASTAAGNHKIPVIANGFNYGNASGMAPGARIAVYKALYTFGGYMSDVVAAVDQAVEDGVDILSLSIGPSSVPPGPSAFLNVLEMELLFATKAGIFVVQAAGNGGPSSSSVLSFSPWITSVAASVTDRKYNNTIILGNGQSFSGTGLAPPTAGEAPFPIAAAIDVSRRNITNVVEVESCQHPEHFIRSLVREKLIICTYTFEYEDVNIATVEDTIKKIGAAGFIITMDPDIGSEQVKGTTMTMQVPAIILNNVQASSALWEYYNSKTIRSRSGQAVVFAARARILDGRQAFFTAQAPIVASYSSRGPDVNNALLQTADVLKPNVMAPGSSIWAAWSPNSEGDPNIKGKNFALVSGTSMATPHVAGIAALIKQKHPKWSPAAITSAMMTTADTTDHVGSHILAQSTNQLAPATPFDMGAGSINPARAIDPGLIFDAQFEHYVEFLCAVPGVDDDSVRRAVGIGCPTRRKAWCSDLNTASVTVSNLVGSRKVVRCVTNVSRRNELYRVSVREPLGVNITVSPKVFWIRGNASRHLRILLKATRATRTHRFGEIVLHGSRNHVVRVPVAVYVSTTLK >Manes.02G082000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6434187:6436519:1 gene:Manes.02G082000.v8.1 transcript:Manes.02G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPKCDANYVPLTPLTFLNRAAQVYASRTSVIYEGTRFTWRQTDHRCRRLASSLRSLNIVKNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDAKTIATILRHSEAKIFFVDYQFVPLAREALRLLMDDHSQECVSSESSFPLVIVIDDIDSPTGVRLGELEYEQLIHKGNPNYVPEHIEDECDPIALNYTSGTTSEPKGVVYSHRGAYLSTLSLILGWEMGSEPVYLWSLPMFHCNGWTFTWGTAARGGTNVCLRNTTAYDMYRNISLHKVTHMCCAPIVFNILLEAKPHEKRQITSPVQVLTGGAPPPASLLQKIEPLGFHVTHAYGLTEATGPALVCEWQAKWNQLPQEDQAKLKARQGISILTLADVDVKDLTTMTSVPRDGKTIGEIVLRGSSIMKGYFKDPNATSKAFKNGWFATGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPRILEAAVVAMPHPLWGETPCAFVALKKNANGKTDDLKEADIISYCRKNLPHFMVPKKVEFLPELPKTATGKIQKFQLRTLAQTFEVSKSSSENQKLSNKKSGQSDQVNTKIPRYITETHENVLALSRL >Manes.11G082813.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18508046:18509751:1 gene:Manes.11G082813.v8.1 transcript:Manes.11G082813.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSLHEVLGIPINASCKKSYRKLARVYHSDMVSNNQKDMLANELMKIYATYFTLSGPNKRANYDRDLCKYQQTFGSSSLSCNNGGCF >Manes.12G001100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:345197:348030:-1 gene:Manes.12G001100.v8.1 transcript:Manes.12G001100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >Manes.12G001100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:345219:347984:-1 gene:Manes.12G001100.v8.1 transcript:Manes.12G001100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >Manes.12G001100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:345805:347343:-1 gene:Manes.12G001100.v8.1 transcript:Manes.12G001100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >Manes.18G056600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4898572:4902437:-1 gene:Manes.18G056600.v8.1 transcript:Manes.18G056600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEETKNSDLDQSMKKEAPQLAALLKEMKSVLDTVRSKVQALTARVKANDFPTADGISYLEAKHLLLLNYCQSLVYYTLRKAKGLSIEKHPVVRSLIEIRLFLEKIRPIDKKMEYQIQKLTRDSGRAVEQLNLKENESEATEKSEDLLRYHPKPEMLVSRYDMMAEDGSGAYRPPKIAPTIMEEDKMSKQERNALRREKETQRRAKEGYMKDLVDDMEGRPEEVRENVGNESREFIRYQQQWEERARREEELFVRAPITKMEKKKEKHLKKSRDGLLALTDGFFDEIKTLPLEGDTVDQTKSFNNDSGAIGKLKKRKRRY >Manes.18G056600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4897908:4902437:-1 gene:Manes.18G056600.v8.1 transcript:Manes.18G056600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEETKNSDLDQSMKKEAPQLAALLKEMKSVLDTVRSKVQALTARVKANDFPTADGISYLEAKHLLLLNYCQSLVYYTLRKAKGLSIEKHPVVRSLIEIRLFLEKIRPIDKKMEYQIQKLTRDSGRAVEQLNLKENESEATEKSEDLLRYHPKPEMLVSRYDMMAEDGSGAYRPPKIAPTIMEEDKMSKQERNALRREKETQRRAKEGYMKDLVDDMEGRPEEVRENVGNESREFIRYQQQWEERARREEELFVRAPITKMEKKKEKHLKKSRDGLLALTDGFFDEIKTLPLEGDTVDQTKSFNNDSGAIGKLKKRKRRY >Manes.05G123400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21191648:21197550:1 gene:Manes.05G123400.v8.1 transcript:Manes.05G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANLASQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFRDPEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGAGRNFRVMSSFQTGFGGGVGTAFPSAASFPHYAIQQGIPYNVYGYSPYSPDYTYPASYYSVYGGATAQYSMYGTGPGGMMTGAATAFYPYLQFGEGSGGGAPGYTSGQSYGVQYPHHLFQYSAINSNGAYPQHYGAPMSLAPTAALQSVCFAVPQA >Manes.05G123400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21191648:21197550:1 gene:Manes.05G123400.v8.1 transcript:Manes.05G123400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANLASQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFRDPEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGAGRNFRVMSSFQTGFGGGVGTAFPSAASFPHYAIQQGIPYNVYGYSPYSPDYTYPASYYSVYGGATAQYSMYGTGPGGMMTGAATAFYPYLQFGEGSGGGAPGYTSGQSYGVQYPHHLFQYSAINSNGAYPQHYGAPMSLAPTAALQSGVTMALRAPPIPHR >Manes.09G011943.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2889730:2893875:1 gene:Manes.09G011943.v8.1 transcript:Manes.09G011943.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTRLDDAIASFNHVIHKHPLPSRFHFNRFLSALVKIKQYHTVLSMSKTIELLGISHDLYSLNILINCFCRLHLVDFGFSVFGKMLKFGLEPDVVTFNTLINGLSIESKMDKALEFFDDMVARGYQPDVYTYNTIINGMCKFGKTNVAIGLLKRMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALTLLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAQKIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADILGYNILINGYCKCKMIDDAEELFDEMSHKGLVPNVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVYLSRNNNLILRLLKVRNEGSAN >Manes.02G113100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8731008:8731670:1 gene:Manes.02G113100.v8.1 transcript:Manes.02G113100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPPPPPVPSSSSSSSTTPPSTVQVGPGGSSVGGGSGEGSSQKKRTGGNNNQGGEEGGPKRKKRGEIDTPVSEPVCALCGKKFGSWKGVFGHMRAHPERDWRGAFPPPKGASATMRISNPEIQQQLASTLFALGSETLAKMRQDSITTHGGAGAGAGASSSRAGEIDLNREPREHAGPPSSTTPASTNPPPQGGGSGFDLNLPPPPENADEEDDSKNA >Manes.02G064600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4925721:4927240:-1 gene:Manes.02G064600.v8.1 transcript:Manes.02G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSLYRKFPSALSAEPPEGPYTGYLVITDEESETQDTYCFGACKKRRVKRLPFPQDNILNVIHSSEYHETILARKKVWFLPVLDQPLSSNCYYVIKAKGRYKGQAFTCSRDDDMGLLCCLKKVIKDVKPKPLDPRNIYQQFKIHRHYGRSFFAKSLAPYGYPPELLRNKGWEVRISSSSTHKFQPRIDDALGLDESLRTQLPSFNFPISSKSSSSVIVGTWYCPFVFIREEARIREQMKRSMLYKMTLEQYWEEIFSCDNVNNETNTVIVVDTNVQREVDKVFDMEAEKGERTGRGAFIWYRAVGNRNRRICRGFRVGLSFAIAEKMKWVLEAGGWADGPERTVRVGGRIEIGSEGECEWRRFSCYVLVESFVLKRMDGSLVLTCDFRHTNKIKCKYE >Manes.05G155400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26755816:26758495:1 gene:Manes.05G155400.v8.1 transcript:Manes.05G155400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSPELPDCFYHEQPVLISPSIPTRNHSLYLSNLDDQNFLRFSIKYLYLFKKSVSSDILKCSLSKVLVHYYPLAGRLRTSKEDDQKLEVDCNGEGAVFAEAFMDITAEQFLEHSRKPNRSWRKLLYRVEAQSFLDIPPLVVQVTNLRCGGMILCTGINHCLCDGIGTSQFLQAWAHITAKPNLDLPILLLPFHTRYVLKPRNPPQVTFTHPSYFKNAPDKDSETLALNHYLQSQRLVPASLTFTTSHILHLKRQCIPSLKCTTFETLASHTWRSWVRSLDLPSALNVKLLFSVNVRKKLIPEMPQGYYGNGFVLGCAQTCVKDLVSSNLHHGIKLVQHAKSSLSDDYVRSMIDLLEDKTVKTDVSVSFVVSQWSRLGLEDLDFGEGKPLHMGPLTSDIYCLFLPVIGDTEAVTVLVSLPESVVGKFEYYMKEDSWDKEANGDGFHVEKNGLM >Manes.04G066400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25139643:25142559:-1 gene:Manes.04G066400.v8.1 transcript:Manes.04G066400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKLSLSKISFGWLKLVREEIKESPVMKTISGSLAHQEPMFDFREPSPPFISLPQKTITTVRNSSFSYLNNQVDDSEISIFDAQKYFNESGSSDPRFSNRVSPVNVINLERISEQCDLSAIPRFSSASSSVDGHGRNYRTGSFHATPTASSEASWNSQTGLLSNPPGAIAVSVRHLSANEKKKGSATKWLLGRKCPCSGKKSVQVEEKLSEPRTPSRPNYKSKDSRNLPRQVSDPATTDHWLQRREVIPNCHRISADNNRFPSILGHHSLLSSTRRFSADGTSGFSFPILNQTTPTKLVLNGLSSPNNYSSPPPPPPQLDDPPRDSLEVFRPPEDPVSTKTAMEIHRRQSFTFPASPKSRMTTIDDDNASDASSDLFEIESLSTQTTSYPSSNHRRDSLEEARRLGGSINGSSLYCSRSLDEQTTISVTPTECYEPSEASIDWSVTTAEGFDRASVTNFSITASEAEEMHRHESDKNSGGKKRGGLLSCRCEKAVSVGPQPVKSAGMEGQREGSSTLRHE >Manes.04G066400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25140326:25142560:-1 gene:Manes.04G066400.v8.1 transcript:Manes.04G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKLSLSKISFGWLKLVREEIKESPVMKTISGSLAHQEPMFDFREPSPPFISLPQKTITTVRNSSFSYLNNQVDDSEISIFDAQKYFNESGSSDPRFSNRVSPVNVINLERISEQCDLSAIPRFSSASSSVDGHGRNYRTGSFHATPTASSEASWNSQTGLLSNPPGAIAVSVRHLSANEKKKGSATKWLLGRKCPCSGKKSVQVEEKLSEPRTPSRPNYKSKDSRNLPRQVSDPATTDHWLQRREVIPNCHRISADNNRFPSILGHHSLLSSTRRFSADGTSGFSFPILNQTTPTKLVLNGLSSPNNYSSPPPPPPQLDDPPRDSLEVFRPPEDPVSTKTAMEIHRRQSFTFPASPKSRMTTIDDDNASDASSDLFEIESLSTQTTSYPSSNHRRDSLEEARRLGGSINGSSLYCSRSLDEQTTISVTPTECYEPSEASIDWSVTTAEGFDRASVTNFSITASEAEEMHRHESDKNSGGKKRGGLLSCRCEKAVSVGPQPVKSAGMEGQREGSSTLRHVSSRPPIPNKPPLARSHSARLSLPFAT >Manes.09G085583.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:23001927:23005728:-1 gene:Manes.09G085583.v8.1 transcript:Manes.09G085583.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFTTSSFSLLLLLFLFSSLHIPTQAAKKSYVVYLRRNSHGPEASFALDISRKTESYYELLGSCMESKEKAKEAIFYTYSSYINGFAAILEDEEVDEISKRPEVASVSPNEASELHTTRSWEFLGLERNGQIPPESIWVKARFGEDVIIGNLDTGVWPESESFSDEGMGPIPSKWKGYCDTNDGVRCNRKLIGARYFNKGYQAALGHPLDSSYNTARDTNGHGTHTLATAGGRFVSGANLLGSANGTAKGGSPNARVASYKVCWPSCLDADILAAFDAAIQDGVDILSVSLGSRPRHYFGHGISVGSFHAVKNGILVVCSAGNNGESGGGVSNVAPWILTVAASTIDRDFPSNVILGNNKLFKGLSFNTNTLPTRKYYPLVYSVDAKAANVSADNARFCPPESLEPAKVKGKIVYCLNGLESDVEKSWVVAKAGGIGVILANRYPDAKISPQPHFLPTSVVSAADGLSILAYIYSTRFPIAYISGATEVGDLAAPIVASFSSAGPNSINPEILKPDVTAPGVDILAAYTEASGPSSLVTDQRHLPFNIISGTSMSCPHVSGIAGLLKSIHPDWSPAAIKSAIMTTARTRNNVRQPLATPFPDESSPFIYGSGHIWPSRAMDPGLVYDLTTKDYLNFLCSIGYNATQMSVFAEGPYNCPSKNTSLLDLNYPAITVPNLSGKVTVTRTLKNVGTPGLYKARIDPPQGIKVKVEPMRLKFNKTNEERSFKVTLEAGKNASHFVYAFGRLMWSDGVHTVRSHIAVRKDEATF >Manes.02G172100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13591474:13599098:-1 gene:Manes.02G172100.v8.1 transcript:Manes.02G172100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAASCAERATSDMLIGPDWAINIELCDIINMDPAHAKDALKVLKKRLGSKNPKIQLLALFALETISKNCGENVFQQIIERDILHDMVKIVKKKPDLNVREKILILIDSWQEAFGGPRGRFPQYHAAYNELRAAGVEFPPRAENSVPLFTPPQTQPIIDAPSAYEDAAIQASLQSDASGLSLPEIQNARGLVDVLMEMLSALDPKNPEGVKEEVIVDLVDQCRSYQKRVMLLVNSTSDEELLVQGLALYDNLQRVLGRHDDIAKGTPPVEREADTPVVPLVVINHEEDETEDDFVQLAHRSSRENLQGRAKKPVSVRTEPARLSPPPASKKPISVDSGKIDYLSGDIYKSQGSLGNSEPTSNAVPLHFSSNFSPPHSPTLSASSPQSHTVNSSPFYTGQPLYDEPGPLSKSADQLPPAPREAPRGSLPPPPSRYNQRQEFFEQHGSIGSSTNSSSGSGSSYDGLVGQTQNLSVNPSTPNKQTKPEDALFKDLVDFAKAKSSSSSKPNRSF >Manes.02G172100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13591473:13599098:-1 gene:Manes.02G172100.v8.1 transcript:Manes.02G172100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAASCAERATSDMLIGPDWAINIELCDIINMDPAHAKDALKVLKKRLGSKNPKIQLLALFALETISKNCGENVFQQIIERDILHDMVKIVKKKPDLNVREKILILIDSWQEAFGGPRGRFPQYHAAYNELRAAGVEFPPRAENSVPLFTPPQTQPIIDAPSAYEDAAIQASLQSDASGLRDEELLVQGLALYDNLQRVLGRHDDIAKGTPPVEREADTPVVPLVVINHEEDETEDDFVQLAHRSSRENLQGRAKKPVSVRTEPARLSPPPASKKPISVDSGKIDYLSGDIYKSQGSLGNSEPTSNAVPLHFSSNFSPPHSPTLSASSPQSHTVNSSPFYTGQPLYDEPGPLSKSADQLPPAPREAPRGSLPPPPSRYNQRQEFFEQHGSIGSSTNSSSGSGSSYDGLVGQTQNLSVNPSTPNKQTKPEDALFKDLVDFAKAKSSSSSKPNRSF >Manes.02G172100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13591474:13599098:-1 gene:Manes.02G172100.v8.1 transcript:Manes.02G172100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVKKKPDLNVREKILILIDSWQEAFGGPRGRFPQYHAAYNELRAAGVEFPPRAENSVPLFTPPQTQPIIDAPSAYEDAAIQASLQSDASGLSLPEIQNARGLVDVLMEMLSALDPKNPEGVKEEVIVDLVDQCRSYQKRVMLLVNSTSDEELLVQGLALYDNLQRVLGRHDDIAKGTPPVEREADTPVVPLVVINHEEDETEDDFVQLAHRSSRENLQGRAKKPVSVRTEPARLSPPPASKKPISVDSGKIDYLSGDIYKSQGSLGNSEPTSNAVPLHFSSNFSPPHSPTLSASSPQSHTVNSSPFYTGQPLYDEPGPLSKSADQLPPAPREAPRGSLPPPPSRYNQRQEFFEQHGSIGSSTNSSSGSGSSYDGLVGQTQNLSVNPSTPNKQTKPEDALFKDLVDFAKAKSSSSSKPNRSF >Manes.14G110800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9325311:9327686:-1 gene:Manes.14G110800.v8.1 transcript:Manes.14G110800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHNGGRPSVIASLESCMRKCILRVLSIGPIPSHIAFILDGNRRFAKKEKLEEGAGHRAGFTALIYILKYCYELGVKYASVYAFSIDNFKRRPDEVQYLMDLILETTEGLLKEESIVNSYGIGVHFVGNLKLLSEPVRVAAEKVMRATAKNTRCVLFFCIAYTSTNEIVHAVQESCKDKLNKIAPSNPNKACNDGVEEVGEYINKIDGAITHGVRESCKDEAYDVVTKGAEGIDNSNTVIVNANRTRDSVISVEESDKMLSVSSIKLVDIEKKLYVALAPDPDVLVRTSGSNRLSNFLLWQASKCSLYSPQALWPEIGLLQFVWTVIIFQRNRSYLEKKKQQL >Manes.14G110800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9325311:9327686:-1 gene:Manes.14G110800.v8.1 transcript:Manes.14G110800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHNGGRPSVIASLESCMRKCILRVLSIGPIPSHIAFILDGNRRFAKKEKLEEGAGHRAGFTALIYILKYCYELGVKYASVYAFSIDNFKRRPDEVQYLMDLILETTEGLLKEESIVNSYGIGVHFVGNLKLLSEPVRVAAEKVMRATAKNTRCVLFFCIAYTSTNEIVHAVQESCKDKLNKIAPSNPNKACNDGVEEVGEYINKIDGAITHGVRESCKDEAYDVVTKGAEGIDNSNTVIVNANRTRDSVISVEESDKMLSVSSIKLVDIEKKLYVALAPDPDVLVRTSGSNRLSNFLLWQASKCSLYSPQALWPEIGLLQFVWTVIIFQRNRSYLEKKKQQL >Manes.03G163900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29075250:29077364:1 gene:Manes.03G163900.v8.1 transcript:Manes.03G163900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHHQKVRQIREGDVIAVPTGVTQWVYNNGRTPLVLVQVVDTNNPANQLDQNHRVFFVAGNPQLDVQSKKGESQRGERSERGLTRKPAERDTAGNVFSGMDERVLAEAFNVNTDVARRLRGEDDYRGMIVTVERGLEVLTPQRSPEEERQIKEEEQQKQFELGPSRGTGGYNGVEETLCTAKLRHNVNDPSDTDIFNPRAGRITTVNSHVVPILRNLQFTVQKGVLYRNAIFAPHWNVNAHSVNYVVRGNGHVQMVDDNGNTVFDGQVQEGQMFVAPQNFVVVKKASEQGMEWVSFKTNDAAKVCQLAGRVSAIRSMPVEVLANAFQVSIEEAMRIKNNRQEVTLLSPRTRSRFNVTEGSE >Manes.03G163900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29075250:29077364:1 gene:Manes.03G163900.v8.1 transcript:Manes.03G163900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFLSLSFCLLVFLHGCFAQIEPVTTHPRGFPPLQRRQRPQRDECQLDRISAVEPHRRIQSEAGVTDVWDENDDQFQCAGVVAMRHIIREKGLLLPQYVNGPKLIYVVQGRGVQGVVFPGCPETYQSSESRPQPQPRYGGFGQSGRESMRDHHQKVRQIREGDVIAVPTGVTQWVYNNGRTPLVLVQVVDTNNPANQLDQNHRVFFVAGNPQLDVQSKKGESQRGERSERGLTRKPAERDTAGNVFSGMDERVLAEAFNVNTDVARRLRGEDDYRGMIVTVERGLEVLTPQRSPEEERQIKEEEQQKQFELGPSRGTGGYNGVEETLCTAKLRHNVNDPSDTDIFNPRAGRITTVNSHVVPILRNLQFTVQKGVLYRNAIFAPHWNVNAHSVNYVVRGNGHVQMVDDNGNTVFDGQVQEGQMFVAPQNFVVVKKASEQGMEWVSFKTNDAAKVCQLAGRVSAIRSMPVEVLANAFQVSIEEAMRIKNNRQEVTLLSPRTRSRFNVTEGSE >Manes.14G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6411034:6416607:1 gene:Manes.14G076900.v8.1 transcript:Manes.14G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGMMDSAYFVGRNEILTWINNRLQLNLTRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIEKHIEVNKLVKGRPLDNLEFLQWLKRYCDSINGGIMNENYNPVERRIKGGKDRNSKGGQKTSKSLQSNNLHNSSSGNSVGLSKISGLKQGRGSAVAGGANSSADIETLSKEITDLKLSVDHLEKERDFYFAKLRDVELLCQAPELEDLPMAVAIKKILYAADTKESALEEAQEYLYQAMNNGEDEA >Manes.15G038600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3028515:3031777:1 gene:Manes.15G038600.v8.1 transcript:Manes.15G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSFVGRVLFASVFILSAWQEFNEFGIDGGPAAKTFAPKFKVFSRHVSSHTGFQVPPVEMKHAVAAAIAVKGVGSLLFIFGSSLGAYLLLVHQAIVTPILYDFYNYDADKKEFNQLFMKFTQNLSLFGALLFFIGMKNSIPRRQLKKKPQKSKIM >Manes.04G008800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1113585:1114532:-1 gene:Manes.04G008800.v8.1 transcript:Manes.04G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNYTDSVLDFNFPSFSSIPFHQHDQKQRKKRTKLIKMEPSSVPSTASVSVVSKPKYQAKKSDLSAPKITMPCTECGKKFWSWKALYGHMRCHPERQWRGINPPSNYRRPVLPVTEMGNVEEAAMTSEEYEAAACLLLLNDSDGGTTTLADTECSGHGGIRFHVHEDLNCGFECSSCKKVFGSHQALGGHRASHKNVKGCFAMTCEMGEDHIGSSGASSGAKENVEDNNKMLMVLGHRCSICLRVFASGQALGGHKRCHWEKGEETLSSSMNQGGVATKEEEACGLDLNLPAPVEDELSSSYSLDLALDLRLGL >Manes.12G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1619164:1624363:1 gene:Manes.12G017900.v8.1 transcript:Manes.12G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSHFLVFYFFFLSSVLCQVTEFISIDCGSTSNYTDPRTGLQWISDNGIMNYGKSVEVKNPDGKFVQYQRRRDFSIDNKKYCYNLSTKERRRYIVRATFQYGSLENEDSFPKFELYLDATKWSTVTILEDSRVYVKEMIIRAPSSSIDVCICCATTGSPFISTVELRPLNLSMYATDFEDSFFLKLAARVNFGAPTEDALRYPDDPYDRIWDSDLIKRQNFLVGVAPGTVRINTSENIDIQTREYPPVKVMQTAVVGKEGTLSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLMMNPYMSDYSNAVVNIAENANGSYRLYEPSYMNVSLDFVLSFSFVKTRDSTQGPLLNAIEISKYLKIGSKTDDQDVAVLDVFRSMSTRSSWTNEGGDPCVPAQWEWVNCSSTTPPRITKIELSGKKLEGEIPPEINSMEELTELWLDGNFLTGSLPDISHLVNLKVVHLENNKLSGSLPKYLGSLPTLRELYIQNNSFSGQIPPALLTGKVIINYENNPGLHKDAAKKLHYKLILGTSISVLAVLLVLLLGSLIYLRNLQRKTSHQKSNGQGSSLLTSTKPSTAYSIGRGWHLVDDGVSYYISFSELEEATNNFSKKIGKGSFGTVYYGQMKDGKEVAVKIMADSSSHLTHQFVTEVALLSRIHHRNLVPLIGFCEEGHQRILVYEYMHNGTLRDHIHGSDNQKRLDWLTRLQIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEDDLTHISSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGKKPVSTEDFGAEMNIVHWARALIRKGDVASIVDNVLIENAKIESIWRIAEVAIQCVQQRAVSRPRMQEVILAIQEASKIEKGSDGNQKIYGSGSSRGQSSRKTLLTSFLEIESPDLSNGCLVPAAR >Manes.12G017900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1619164:1624363:1 gene:Manes.12G017900.v8.1 transcript:Manes.12G017900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFIEKRRFQIEVTTVNEQFTSSSINPKRKRKRKTKKKRKKLKEFISIDCGSTSNYTDPRTGLQWISDNGIMNYGKSVEVKNPDGKFVQYQRRRDFSIDNKKYCYNLSTKERRRYIVRATFQYGSLENEDSFPKFELYLDATKWSTVTILEDSRVYVKEMIIRAPSSSIDVCICCATTGSPFISTVELRPLNLSMYATDFEDSFFLKLAARVNFGAPTEDALRYPDDPYDRIWDSDLIKRQNFLVGVAPGTVRINTSENIDIQTREYPPVKVMQTAVVGKEGTLSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLMMNPYMSDYSNAVVNIAENANGSYRLYEPSYMNVSLDFVLSFSFVKTRDSTQGPLLNAIEISKYLKIGSKTDDQDVAVLDVFRSMSTRSSWTNEGGDPCVPAQWEWVNCSSTTPPRITKIELSGKKLEGEIPPEINSMEELTELWLDGNFLTGSLPDISHLVNLKVVHLENNKLSGSLPKYLGSLPTLRELYIQNNSFSGQIPPALLTGKVIINYENNPGLHKDAAKKLHYKLILGTSISVLAVLLVLLLGSLIYLRNLQRKTSHQKSNGQGSSLLTSTKPSTAYSIGRGWHLVDDGVSYYISFSELEEATNNFSKKIGKGSFGTVYYGQMKDGKEVAVKIMADSSSHLTHQFVTEVALLSRIHHRNLVPLIGFCEEGHQRILVYEYMHNGTLRDHIHGSDNQKRLDWLTRLQIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEDDLTHISSVARGTVGYLDPE >Manes.12G017900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1619164:1624406:1 gene:Manes.12G017900.v8.1 transcript:Manes.12G017900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSHFLVFYFFFLSSVLCQVTEFISIDCGSTSNYTDPRTGLQWISDNGIMNYGKSVEVKNPDGKFVQYQRRRDFSIDNKKYCYNLSTKERRRYIVRATFQYGSLENEDSFPKFELYLDATKWSTVTILEDSRVYVKEMIIRAPSSSIDVCICCATTGSPFISTVELRPLNLSMYATDFEDSFFLKLAARVNFGAPTEDALRYPDDPYDRIWDSDLIKRQNFLVGVAPGTVRINTSENIDIQTREYPPVKVMQTAVVGKEGTLSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLMMNPYMSDYSNAVVNIAENANGSYRLYEPSYMNVSLDFVLSFSFVKTRDSTQGPLLNAIEISKYLKIGSKTDDQDVAVLDVFRSMSTRSSWTNEGGDPCVPAQWEWVNCSSTTPPRITKIELSGKKLEGEIPPEINSMEELTELWLDGNFLTGSLPDISHLVNLKVVHLENNKLSGSLPKYLGSLPTLRELYIQNNSFSGQIPPALLTGKVIINYENNPGLHKDAAKKLHYKLILGTSISVLAVLLVLLLGSLIYLRNLQRKTSHQKSNGQGSSLLTSTKPSTAYSIGRGWHLVDDGVSYYISFSELEEATNNFSKKIGKGSFGTVYYGQMKDGKEVAVKIMADSSSHLTHQFVTEVALLSRIHHRNLVPLIGFCEEGHQRILVYEYMHNGTLRDHIHDNQKRLDWLTRLQIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEDDLTHISSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGKKPVSTEDFGAEMNIVHWARALIRKGDVASIVDNVLIENAKIESIWRIAEVAIQCVQQRAVSRPRMQEVILAIQEASKIEKGSDGNQKIYGSGSSRGQSSRKTLLTSFLEIESPDLSNGCLVPAAR >Manes.12G017900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1619164:1624363:1 gene:Manes.12G017900.v8.1 transcript:Manes.12G017900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSHFLVFYFFFLSSVLCQVTEFISIDCGSTSNYTDPRTGLQWISDNGIMNYGKSVEVKNPDGKFVQYQRRRDFSIDNKKYCYNLSTKERRRYIVRATFQYGSLENEDSFPKFELYLDATKWSTVTILEDSRVYVKEMIIRAPSSSIDVCICCATTGSPFISTVELRPLNLSMYATDFEDSFFLKLAARVNFGAPTEDALRYPDDPYDRIWDSDLIKRQNFLVGVAPGTVRINTSENIDIQTREYPPVKVMQTAVVGKEGTLSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLMMNPYMSDYSNAVVNIAENANGSYRLYEPSYMNVSLDFVLSFSFVKTRDSTQGPLLNAIEISKYLKIGSKTDDQDVAVLDVFRSMSTRSSWTNEGGDPCVPAQWEWVNCSSTTPPRITKIELSGKKLEGEIPPEINSMEELTELWLDGNFLTGSLPDISHLVNLKVVHLENNKLSGSLPKYLGSLPTLRELYIQNNSFSGQIPPALLTGKVIINYENNPGLHKDAAKKLHYKLILGTSISVLAVLLVLLLGSLIYLRNLQRKTSHQKSNGQGSSLLTSTKPSTAYSIGRGWHLVDDGVSYYISFSELEEATNNFSKKIGKGSFGTVYYGQMKDGKEVAVKIMADSSSHLTHQFVTEVALLSRIHHRNLVPLIGFCEEGHQRILVYEYMHNGTLRDHIHGSDNQKRLDWLTRLQIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEDDLTHISSVARGTVGYLDPE >Manes.12G017900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1619164:1624363:1 gene:Manes.12G017900.v8.1 transcript:Manes.12G017900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFIEKRRFQIEVTTVNEQFTSSSINPKRKRKRKTKKKRKKLKEFISIDCGSTSNYTDPRTGLQWISDNGIMNYGKSVEVKNPDGKFVQYQRRRDFSIDNKKYCYNLSTKERRRYIVRATFQYGSLENEDSFPKFELYLDATKWSTVTILEDSRVYVKEMIIRAPSSSIDVCICCATTGSPFISTVELRPLNLSMYATDFEDSFFLKLAARVNFGAPTEDALRYPDDPYDRIWDSDLIKRQNFLVGVAPGTVRINTSENIDIQTREYPPVKVMQTAVVGKEGTLSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLMMNPYMSDYSNAVVNIAENANGSYRLYEPSYMNVSLDFVLSFSFVKTRDSTQGPLLNAIEISKYLKIGSKTDDQDVAVLDVFRSMSTRSSWTNEGGDPCVPAQWEWVNCSSTTPPRITKIELSGKKLEGEIPPEINSMEELTELWLDGNFLTGSLPDISHLVNLKVVHLENNKLSGSLPKYLGSLPTLRELYIQNNSFSGQIPPALLTGKVIINYENNPGLHKDAAKKLHYKLILGTSISVLAVLLVLLLGSLIYLRNLQRKTSHQKSNGQGSSLLTSTKPSTAYSIGRGWHLVDDGVSYYISFSELEEATNNFSKKIGKGSFGTVYYGQMKDGKEVAVKIMADSSSHLTHQFVTEVALLSRIHHRNLVPLIGFCEEGHQRILVYEYMHNGTLRDHIHGSDNQKRLDWLTRLQIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEDDLTHISSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGKKPVSTEDFGAEMNIVHWARALIRKGDVASIVDNVLIENAKIESIWRIAEVAIQCVQQRAVSRPRMQEVILAIQEASKIEKGSDGNQKIYGSGSSRGQSSRKTLLTSFLEIESPDLSNGCLVPAAR >Manes.10G059000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8079740:8091749:1 gene:Manes.10G059000.v8.1 transcript:Manes.10G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSASAKVKTQHELVLVEENLSARTLILNRPKQLNALSYQMISRLMELFLAYEEDPNVKLLVLKGNGRAFCAGGDVSAVVLDIRKGNWRLGAQFFAKEFILNYVIATYTKPQVSILNGIVMGGGAGASVHGRFRVATENSVFAMPETALGLFPDIGASYFLSRLPRFFGEYVGLTGARLDGAEMLACGLATHFVPSAKLSLLEEALHKVDSSDPANISSIIDEYSEQPYLKEQSAYQRLDIIDRCFSRRTVEEILSALEREAMNKPDAWISTTIQSLKKASPTSLKISLRSIREGRLQGVGQCLVREYRMVCHVMQGKLSKDFLEGCRAILIDKDKNPKWDPPKLEFISNSMVEQYFSKIDDEEWEDLQLPARFHLPGYAAAKL >Manes.10G059000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8079742:8093764:1 gene:Manes.10G059000.v8.1 transcript:Manes.10G059000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSASAKVKTQHELVLVEENLSARTLILNRPKQLNALSYQMISRLMELFLAYEEDPNVKLLVLKGNGRAFCAGGDVSAVVLDIRKGNWRLGAQFFAKEFILNYVIATYTKPQVSILNGIVMGGGAGASVHGRFRVATENSVFAMPETALGLFPDIGASYFLSRLPRFFGEYVGLTGARLDGAEMLACGLATHFVPSAKLSLLEEALHKVDSSDPANISSIIDEYSEQPYLKEQSAYQRLDIIDRCFSRRTVEEILSALEREAMNKPDAWISTTIQSLKKASPTSLKISLRSIREGRLQGVGQCLVREYRMVCHVMQGKLSKDFLEGCRAILIDKDKNPKWDPPKLEFISNSMVEQYFSKIDDEEWEDLQLPARFHLPGYAAAKL >Manes.09G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30505614:30514136:-1 gene:Manes.09G104000.v8.1 transcript:Manes.09G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLQFWFPVTPPAPESSTRKRARRLQILYSSLQSNLQSGEDEANNCRGQFEPNVIIERYANGSSRRYILDDDLQLKSFLEEHDAKNSRLQESNSLDTKLSWVPYSIKGFVLPEGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGIGSFTGATAAASAAAIRWVSKDGIGALGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLITQVYPAYFLPLASLGNLTKAVARGLKDPSFRVIQYHFAISGNLGEVAAKEEVWEVGAQLFGLALGILMLETPGLVKSFPALALTWMSMRLLHLWLRYLSLSVLQFDTINLKRARLLVKSHISHSAVLGCVECNREENILLWETFIKPRITFGVPLEEMVGSERSVAKVKTLLKLYAKEKYLLTVNQQKRDFEVLISFKVGATSMSVLRSVWQTYWLHENWESSVDVFDQLAQSLLEMEVRFEDFIRQLDVAGWRTHQINIKVPREISIDELGTI >Manes.09G104000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30505614:30514136:-1 gene:Manes.09G104000.v8.1 transcript:Manes.09G104000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLQFWFPVTPPAPESSTRKRARRLQILYSSLQSNLQSGEDEANNCRGQFEPNVIIERYANGSSRRYILDDDLQLKSFLEEHDAKNSRLQESNSLDTKLSWVPYSIKGFVLPEGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGIGSFTGATAAASAAAIRWVSKDGIGALGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLITQVYPAYFLPLASLGNLTKAVARGLKDPSFRVIQYHFAISGNLGEVAAKEEVWEVGAQLFGLALGILMLETPGLVKSFPALALTWMSMRLLHLWLRYLSLSVLQFDTINLKRARLLVKSHISHSAVLGEDTSKTICKGEIFAYGKPTKKGLRGAHLIQGWSYKYVCLAKCVADLLAT >Manes.09G104000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30505614:30514136:-1 gene:Manes.09G104000.v8.1 transcript:Manes.09G104000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLQFWFPVTPPAPESSTRKRARRLQILYSSLQSNLQSGEDEANNCRGQFEPNVIIERYANGSSRRYILDDDLQLKSFLEEHDAKNSRLQESNSLDTKLSWVPYSIKGFVLPEGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGIGSFTGATAAASAAAIRWVSKDGIGALGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLITQVYPAYFLPLASLGNLTKAVARGLKDPSFRVIQYHFAISGNLGEVAAKEEVWEVGAQLFGLALGILMLETPGLVKSFPALALTWMSMRLLHLWLRYLSLSVLQFDTINLKRARLLVKSHISHSAVLGEDTSKTICKGEIFAYGKPTKKGLRGAHLIQGAKINGWSYKYVCLAKCVADLLAT >Manes.09G104000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30505614:30514136:-1 gene:Manes.09G104000.v8.1 transcript:Manes.09G104000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLQFWFPVTPPAPESSTRKRARRLQILYSSLQSNLQSGEDEANNCRGQFEPNVIIERYANGSSRRYILDDDLQLKSFLEEHDAKNSRLQESNSLDTKLSWVPYSIKGFVLPEGFPGSVSDDYLQYMLLQFPTNVTGWICHTLVTSSLLKAVGIGSFTGATAAASAAAIRWVSKDGIGALGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLITQVYPAYFLPLASLGNLTKAVARGLKDPSFRVIQYHFAISGNLGEVAAKEEVWEVGAQLFGLALGILMLETPGLVKSFPALALTWMSMRLLHLWLRYLSLSVLQFDTINLKRARLLVKSHISHSAVLGCVECNREENILLWETFIKPRITFGVPLEEMVGSERSVAKVKTLLKLYAKEKYLLTVNQQKRDFEVLISFKVQK >Manes.03G181800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30369678:30376255:-1 gene:Manes.03G181800.v8.1 transcript:Manes.03G181800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMLLESTVIVLLMLLLILLLIFLACKPWRFFFSSTSYTLKVGDLDRPLVSDEVESNDWARNCDVEGACYQNEGSLCLSRPVGLVHEQRLPSESPHLVQGGSLVLDVSEPTVDVSVGQTIFRKEHLAELQTHVRQEDQSPIVEPGLQNDKNFQEFVPKVIPDQRSCLSLEVISGPCCGLHCSIQSTSTSRLPLTLGRVSSDLLLNDSEVSGKHAMINWNMDKKKWELVDMGSLNGTLLNSRPINHPDSGSRHWSDPIELTNGDILTLGTTSSVHVQITSTAENEMPFGVGMTSDPMSMRRGGKKLAMEDVCYYQWPLPGIEQFGVFGICDGHGGIAAAKSASKMLPEKVANILSDSLTRERVLSQCDASEVLRVAFSQTEASMNNFYEGCTATVLLVWADSDENFFAQCANLGDSACVMNVDGKQIKMTEDHRVTSYSERLRISEIGEPLKDGDTRLCGLNLARMLGDKFLKQQDARFSSEPYISEAVHIHQASRTFALLASDGFWDVVSVKKAVQLVVQMKERDSTRGENPAEMVANFLLSEARTLRTKDNTSIIFVDFNRI >Manes.16G019900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2211717:2213132:1 gene:Manes.16G019900.v8.1 transcript:Manes.16G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFKFYNTHHSPSLLNSSKLHKERTKQPIFHPNMGIHRRKLTVRRCSGQEPEKKKTERRSFLSLEEAGLVELSGLSTHERFLCRLTISSLNLLRVISEEEGYAIEELNAGKVCDWFVKDKVKREQNMESAVLQWDDSEFKF >Manes.06G177300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30051349:30059866:-1 gene:Manes.06G177300.v8.1 transcript:Manes.06G177300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMQDQSQLQNQQPPPQIVVPERLNQAVQQQLNLEAVKTRAISLFKAISRILEEFDAHARSNVTPKWQDILGQYSMVNLELFNIVDEIRKVSKAFVVHPKNVNAENATILPVMLSSKLLPEMEIDDNSKREQLLQGLQSLPISSQIEKLKNRIDMIAAACESAEKVLDDTRKAYQFGTRQGPTILPTLDKSQAAKIQEQENLLRAAVNYGEGLRVTGDQRQITSALPMHLADVLLVGDGGQHNFSDSSGMYMKSTPPLPSNSINNQGPLLQQASGTTLMGRSAASPSAATSATSFDNTTTSPLPYANSPRSSANMMNTPSPQQQTQQQQQQQQQQQQRQKMMQLPHQQQLLAQQQFRQSSMQGLGQNQLPQLHDLQGQNQQKFQQHQMQFSQPLGHQQFQGRQLPSGHVQHGMGQNPLNQGNPLNRHLSQFSGTANNALFNAAQGAPNTQMIPNMSATMSSQPLVPRMQFGLSGSNPQRSHASQILNDQMFNMGVSNPGSMMPIQPQQPQQQQQLGSQGAFGNMPPNAQNLQSNMVTLQNASQNHSNFVQQRQQNQQ >Manes.06G177300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30051349:30059866:-1 gene:Manes.06G177300.v8.1 transcript:Manes.06G177300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMQDQSQLQNQQPPPQIVVPERLNQAVQQQLNLEAVKTRAISLFKAISRILEEFDAHARSNVTPKWQDILGQYSMVNLELFNIVDEIRKVSKAFVVHPKNVNAENATILPVMLSSKLLPEMEIDDNSKREQLLQGLQSLPISSQIEKLKNRIDMIAAACESAEKVLDDTRKAYQFGTRQGPTILPTLDKSQAAKIQEQENLLRAAVNYGEGLRVTGDQRQITSALPMHLADVLLVGDGGQHNFSDSSGMYMKSTPPLPSNSINNQGPLLQQASGTTLMGRSAASPSAATSATSFDNTTTSPLPYANSPRSSANMMNTPSPQQQTQQQQQQQQQQQQRQKMMQLPHQQQLLAQQQFRQSSMQGLGQNQLPQLHDLQGQNQQKFQQLHGQHQMQFSQPLGHQQFQGRQLPSGHVQHGMGQNPLNQGNPLNRHLSQFSGTANNALFNAAQGAPNTQMIPNMSATMSSQPLVPRMQFGLSGSNPQRSHASQILNDQMFNMGVSNPGSMMPIQPQQPQQQQQLGSQGAFGNMPPNAQNLQSNMVTLQNASQNHSNFVQQRQQNQQ >Manes.06G177300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30051349:30059866:-1 gene:Manes.06G177300.v8.1 transcript:Manes.06G177300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMQDQSQLQNQQPPPQIVVPERLNQAVQQQLNLEAVKTRAISLFKAISRILEEFDAHARSNVTPKWQDILGQYSMVNLELFNIVDEIRKVSKAFVVHPKNVNAENATILPVMLSSKLLPEMEIDDNSKREQLLQGLQSLPISSQIEKLKNRIDMIAAACESAEKVLDDTRKAYQFGTRQGPTILPTLDKSQAAKIQEQENLLRAAVNYGEGLRVTGDQRQITSALPMHLADVLLVGDGGQHNFSDSSGMYMKSTPPLPSNSINNQGPLLQASGTTLMGRSAASPSAATSATSFDNTTTSPLPYANSPRSSANMMNTPSPQQQTQQQQQQQQQQQQRQKMMQLPHQQQLLAQQQFRQSSMQGLGQNQLPQLHDLQGQNQQKFQQLHGQHQMQFSQPLGHQQFQGRQLPSGHVQHGMGQNPLNQGNPLNRHLSQFSGTANNALFNAAQGAPNTQMIPNMSATMSSQPLVPRMQFGLSGSNPQRSHASQILNDQMFNMGVSNPGSMMPIQPQQPQQQQQLGSQGAFGNMPPNAQNLQSNMVTLQNASQNHSNFVQQRQQNQQ >Manes.06G177300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30051349:30059866:-1 gene:Manes.06G177300.v8.1 transcript:Manes.06G177300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMMQDQSQLQNQQPPPQIVVPERLNQAVQQQLNLEAVKTRAISLFKAISRILEEFDAHARSNVTPKWQDILGQYSMVNLELFNIVDEIRKVSKAFVVHPKNVNAENATILPVMLSSKLLPEMEIDDNSKREQLLQGLQSLPISSQIEKLKNRIDMIAAACESAEKVLDDTRKAYQFGTRQGPTILPTLDKSQAAKIQEQENLLRAAVNYGEGLRVTGDQRQITSALPMHLADVLLVGDGGQHNFSDSSGMYMKSTPPLPSNSINNQGPLLQASGTTLMGRSAASPSAATSATSFDNTTTSPLPYANSPRSSANMMNTPSPQQQTQQQQQQQQQQQQRQKMMQLPHQQQLLAQQQFRQSSMQGLGQNQLPQLHDLQGQNQQKFQQHQMQFSQPLGHQQFQGRQLPSGHVQHGMGQNPLNQGNPLNRHLSQFSGTANNALFNAAQGAPNTQMIPNMSATMSSQPLVPRMQFGLSGSNPQRSHASQILNDQMFNMGVSNPGSMMPIQPQQPQQQQQLGSQGAFGNMPPNAQNLQSNMVTLQNASQNHSNFVQQRQQNQQ >Manes.11G051601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5866374:5866709:1 gene:Manes.11G051601.v8.1 transcript:Manes.11G051601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFCNWIFCNGLIDLGYEGVPFTWSTGRTLDTLKSARLDRALCSASWRSRFDNAFATHAAKLNYDHVPVVVNTVGLSTPHPPYFKNQIAWLTYDGFENVIKRNWNPLAPI >Manes.07G141000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34235809:34237981:1 gene:Manes.07G141000.v8.1 transcript:Manes.07G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGIRCHGNHHFINSRWPGNTQPINQNARVGLCSLAIDACSRRKQWRICSVSMGTGMGMAVTKHKNKINDGDDVAVVYEKMDEWMKDSVVEIVKNLREAPLLVQVDDKGETTTLKTEKAVEEESWPLVMERWGKREAPLPEGLIFVEQLEKDEEEEEKEEEEAEATTRAWGVVIQGKGVDCGPVCYLLKTSRARSSGMGACCTHFCLMRVKNFRESARSQLKNCWLLQGQ >Manes.16G032600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3959358:3967883:-1 gene:Manes.16G032600.v8.1 transcript:Manes.16G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIFNKGRETASASSPQSSPSPSSLISSSSVTGPPRPINLVYRDKDGKFRMDPEAVATLQLVKEPIGVVSVCGRARQGKSFILNQLLGRSSGFHVAPTHRPCTKGLWLWSAPIKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFVYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGKSKASELGQFSPIFVWLLRDFYLDLVEDDRKITPRDYLELALRPVQGSGRDIAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLDQISLDKLRPEFRSGLDALTKFVFERTRPKQVGATIMTGPILIGITESYLEALNNGAVPTISSSWQSVEEAECRKAHDTATEVYTSTFDHSKPPEEVALREAHDEAVQKAMAAFNANAVGIGSARIKYEGLLQKFFKKKFEEYKKNAFIEADLRCSNAIQNMEKRLRVACHASDANVDKVVKVLDSFLSEYDTSCHGPGKWQKLVIFLQQSLEGPIRDLAKRLNDQITSEKTSLSLKCRSIEDKMEMLNKQLEASEKHRSEYMKRYDEAISEKKKLSDDFMKRISDLQSSRSSLDERCSSLLKTLESSKQEALDWKRKHDQMLSKQKADEDQINSEIGILKSRTSAAEARLAAAHEHTRSAQEEAAEWKRKYDITVRETKAALEKAAVVQERTSKETQLREDALREEFSSRLTEKEEEIKEKNGKIEKVEQYLTTLNLELKDAKSKIKSYDSEISSLKLEIKELAEKLESVNYRAQSYEREARILEQEKNHLEQKYKSEFERFAEVQERCTLAEKESKRATDLADKARADAASAQKEKSELQKLAMERLAQIERARRQIESLERARTDLADALDRTRISEMDALSKVALLEARVEEREKEIESLLKSNNEQRASTVKGIKDLLDDERKAHSAANKRAEELSLQLEAARAKLDSLQQELTSVRLNESALDSKLKAASHGKRIRTDDIEMGVASVQDMGTNDRNPRPSKKSRSTTSPLKHTQPEDGGSVFKGDDDNHSQQSDHEDYTKFTVQKLKQELTRHNFGAELLQLRNPNKKDILALYEKCVLQKS >Manes.02G185000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14794213:14796406:-1 gene:Manes.02G185000.v8.1 transcript:Manes.02G185000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKSFTLLPFISFLLLASCLSSSSGEQFKANVTIANGLAYGYYNYICPQVEGLVRNYLMQVYRSDAGQAAAILRLQSHDCFVQGCDGSVLLDRSPERSEIPNKDFKQQTFQIIENLRQIVHYYCGRVVSCSDLLTLAARDAVFFTGGPDFSVPLGRRDGVTFPRPGQTSEDLIAPTAKTTDILARFARKGLNFVDAVALSGAHTIGIAHCSSFRGRLFPNRDPTMEFSFYDRLSRYCASPAIDPLVWLDFRSPFRFDNLYFVDLMNRQGLFTSDQDLFEDPRTRGTVINFAYNQQQFFVNFAAAMIRMGIVGVLTDGQGEIRARCNMRNSDFYNLESVLEKDNISSSAAI >Manes.15G181801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25984259:25989082:1 gene:Manes.15G181801.v8.1 transcript:Manes.15G181801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSILGACALMLAQNIFFGYWPMCWEQGPDASTLGRATMLSNLRYHTSVIISLFQSLGTLSISSRRLNCLSGYADRIHELIVISRELNSEDKTSLQRSGSRNYFSEADYVEFSKVKVVTPTGNVLVEDLTLKVESGSNLLITGTKPGEYGQNQALENRGL >Manes.11G163500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32829479:32831575:1 gene:Manes.11G163500.v8.1 transcript:Manes.11G163500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNSSSWSRLEDKAFERALVVFPEETLCRWEKIASQVPGKTWFDVKKRYDDLVHDIGEIDSGRVELPSYEDELDCAGGWGSSTESGTSQVWFGSTAKGKDTTERRKGVPWTEEEHRLFLIGLQRYGKGDWRSISRNAVVSRTPTQVASHAQKYFLRLNSMKKDKKRPSIHDITTNPPTTTTTNDSISTHDFIDPNPFSHPPSYHGFGFPM >Manes.05G101500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9476197:9479059:-1 gene:Manes.05G101500.v8.1 transcript:Manes.05G101500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLYVDRFMMPLSSEVHQMAPGAIAGSSSSALNEESDAVGLGQERTQWDKDEEGELLIQVSECRICQEEDLVNKLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTTPPHPPRSEDTAIDIGGGWTISGTPLDLRDPRLLAIAEAERHFLEAEYDEYAASNASGAAFCRSATLIILMEKMMMCLPFSLFSCYEQLVFSYPVTSWLGPSVSCSVEGKDRRLQHWQQHKLLLCFNQGNGEVCSSQ >Manes.05G101500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9473200:9479509:-1 gene:Manes.05G101500.v8.1 transcript:Manes.05G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLYVDRFMMPLSSEVHQMAPGAIAGSSSSALNEESDAVGLGQERTQWDKDEEGELLIQVSECRICQEEDLVNKLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTTPPHPPRSEDTAIDIGGGWTISGTPLDLRDPRLLAIAEAERHFLEAEYDEYAASNASGAAFCRSATLILMALLLLRHALAVTDPDGEDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQRRGLQFTIASGPHVAPHLEPV >Manes.14G137228.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11961084:11962786:1 gene:Manes.14G137228.v8.1 transcript:Manes.14G137228.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVLDISENFFQGYITPEIGTYMPRLIYVNMSGNGFSGSIPSSLGNMSLLEYLDLSNNRLSGNIPEDLTIGCVSLIELILSNNSLQGQIFSETSNLRFLYELQLDGNQFTGSIPHSLSDSSFLRVLDLSHNNLYGTIPRWLGNMYFLRVLDLSMNNISGSLPSNFCSSNIQEIYLSRNGLQGSLEDAFYGCSELIVLDLGHNHMTGSIPSSIGKFSQLSYLILGHNYIDGEIPVQLCNLTQLSLLDLSHNHLFGPILPCLRSTSKTYRQQEGSYPAYAPPVSTDEPLEFTTKSTSYSYQGRMLSYISGIDLSCNHLTGQIPIEIGYLNEIHVLNLSHNSLTGKIPASFFNLRQIESLDLSYNNLEGNIPPQLTKLTFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRDCTEMVSPPSKSRTSIENEESNGFMDMGVFYISFGVAYSVVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFIIDNLVVLSKFRFCSLRR >Manes.15G089100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6816657:6828625:1 gene:Manes.15G089100.v8.1 transcript:Manes.15G089100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGLMEAAGTRFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRYIRSNARKSQRLLERIRERPKLEIKDAETPRKILGEASGTVKVTRDIGDEGTVRASGQGKPLRNAGWDFSIGGSQSTGTIRSAVRPPPVGEKKTEISYDKLTRKNLESGNHLLSASGNALQESPEISFGKVAGDPNSDEPEDNSHDYEELSVSGSGTVVIRTPKGSQSSNMFRDQSSLSSSTVGSLEDASTSGTVVFRGQPDDSDSPWTPSKMGIQERTSSASPEDSAVNLAEAKAAIQAGLRKGNARERFALNKLNNDAHENRRREQMTNSSDSSRSSREYFDAQRVFPRSQQASEDEESTRIASSSAPLSVLLMPSLKEVVADDSGGGSVVRAVTNSLINMERAKPGSADLFVRSLLQRLASSKEPSLKDLQELAARLFSKGKAKPEGTQNANAEAENKKKQQNKEYNSNANLSPLARFLLSRWQSQVSRDVNPT >Manes.15G089100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6816657:6828625:1 gene:Manes.15G089100.v8.1 transcript:Manes.15G089100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGLMEAAGTRFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRYIRSNARKSQRLLERIRERPKLEIKDAETPRKILGEASGTVKVTRDIGDEGTVRASGQGKPLRNAGWDFSIGGSQSTGTIRSAVRPPPVGEKKTEISYDKLTRKNLESGNHLLSASGNALQESPEISFGKVAGDPNSDEPEDNSHDYEELSVSGSGTVVIRTPKGSQSSNMFRDQSSLSSSTVGSLEDASTSGTVVFRGQPDDSDSPWTPSKMGIQERTSSASPEDSAVNLAEAKAAIQAGLRKGNARERFALNKLNNDAHENRRREQMTNSSDSSRSSREYFDAQRVFPRSQQASEDEESTRIASSSAPLSVLLMPSLKEVVADDSGGGSVVRAVTNSLINMERAKPGSADLFVRSLLQRLASSKEPSLKDLQELAARLFSKGKAKPEGTQNANAEAENKKKQQNKEYNSNANLSPLARFLLSRWQSQVSRDVNPT >Manes.15G089100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6816657:6828625:1 gene:Manes.15G089100.v8.1 transcript:Manes.15G089100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGLMEAAGTRFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRYIRSNARKSQRLLERIRERPKLEIKDAETPRKILGEASGTVKVTRDIGDEGTVRASGQGKPLRNAGWDFSIGGSQSTGTIRSAVRPPPVGEKKTEISYDKLTRKNLESGNHLLSASGNALQESPEISFGKVAGDPNSDEPEDNSHDYEELSVSGSGTVVIRTPKGSQSSNMFRDQSSLSSSTVGSLEDASTSGTVVFRGQPDDSDSPWTPSKMGIQERTSSASPEDSAVNLAEAKAAIQAGLRKGNARERFALNKLNNDAHENRRREQMTNSSDSSSSREYFDAQRVFPRSQQASEDEESTRIASSSAPLSVLLMPSLKEVVADDSGGGSVVRAVTNSLINMERAKPGSADLFVRSLLQRLASSKEPSLKDLQELAARLFSKGKAKPEGTQNANAEAENKKKQQNKEYNSNANLSPLARFLLSRWQSQVSRDVNPT >Manes.15G089100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6816657:6828625:1 gene:Manes.15G089100.v8.1 transcript:Manes.15G089100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGLMEAAGTRFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRYIRSNARKSQRLLERIRERPKLEIKDAETPRKILGEASGTVKVTRDIGDEGTVRASGQGKPLRNAGWDFSIGGSQSTGTIRSAVRPPPVGEKKTEISYDKLTRKNLESGNHLLSASGNALQESPEISFGKVAGDPNSDEPEDNSHDYEELSVSGSGTVVIRTPKGSQSSNMFRDQSSLSSSTVGSLEDASTSGTVVFRGQPDDSDSPWTPSKMGIQERTSSASPEDSAVNLAEAKAAIQAGLRKGNARERFALNKLNNDAHENRRREQMTNSSDSSSSREYFDAQRVFPRSQQASEDEESTRIASSSAPLSVLLMPSLKEVVADDSGGGSVVRAVTNSLINMERAKPGSADLFVRSLLQRLASSKEPSLKDLQELAARLFSKGKAKPEGTQNANAEAENKKKQQNKEYNSNANLSPLARFLLSRWQSQVSRDVNPT >Manes.02G208400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23851623:23854389:1 gene:Manes.02G208400.v8.1 transcript:Manes.02G208400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSHLTSSLAQNYSFNPSSIPISHRYPLHRSNYRPAPALPTKYRLVSLWTPKPRPKISCEATEVSVSEQSPTAGGGGGNWIPVVPLAALPKGERRVIIQDGDTILLLWYKDEVFAIENRSPAEGAYSEGLINAKLTQDGCIVCPTTDSTFDLRTGAIKDWYPKNPVLRVLTPALRTLYVYPVKTDEDNIYINMRGGVKSDASAEIVFSGKAQPGMTASDVNVDEVRMVVDEEQEGFGFSGKNELINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFIYAATNAFK >Manes.12G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:790454:792310:1 gene:Manes.12G007100.v8.1 transcript:Manes.12G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIILLLLATFVAHPFSLLAQPANPISRISVVGVVYCDSCSTNSFSRHSYFLPGVDVHIQCTFKANAPKTAEQINFSVNRTTDRYGIYNLEIPKIEGVDCVDGSAIQSLCQASLIKSSSSACNVPGLKTTTNEISVKSKQDTHCIYSLNALSYKPAKRNDTLCGNRKQGTELPSSLNSSKFFLPYFPPYGFPWPTLPPLPFPPLPPYPTLPFPPLPPYPTLPFPPLPPLPSLPFPFPPLPPIPPTPPLFQPPPPPAFNLGDPRTWIPHIPSFSPPPPPAFNLGDPRTWIPHIPSFSPPPPPAVNLRDPRTWIPYLPPSPPNWPRNQNP >Manes.17G063900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26342730:26347009:1 gene:Manes.17G063900.v8.1 transcript:Manes.17G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEAAMGGSLQVPSVQELAKEPLTAVPSRYVRSPQDLPYISDNSPPPPLPVVDLQNLFSEESVGSELDKFHSACRDWGFFQLINHGVSLSLVENLKLEVENFFHLPLNEKKKYYQKAGDVEGFGQAFVVSEEQKLDWADMFFITTLPVHSRKQHLFPELPLPLRETLESYSAEMKDLAMKLINLMAKALRMEAREMTEVFEEGTQSIRMNYYPPCPQPELVMGLNAHSDGGGLTILLQLNQMEGLQIKKDGKWVSIKPLPNAFIINIGDALEILTNGMYQSIEHRATVNSVKERLSIATFYSPGLEGELGPAPSLVTPQTPPLFSRIRFEDYLKGYFSRQLDGKSYLDVIRIGK >Manes.12G022800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1956290:1956778:1 gene:Manes.12G022800.v8.1 transcript:Manes.12G022800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAPPLLSLDSLLAYTYYTAQSINSSANITWWWIPASIILVLLLFLIFLWYFIFRNHVNIILDIESGVAPNEDDQPATVVAAAPTKPRLTGQAVEEAFPTFAYGENENCYECAICLEELKDGDKCRMFLPSCNHTFHKACVDLWLSEDNTCPLCRVVLLS >Manes.18G052200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4452727:4455498:1 gene:Manes.18G052200.v8.1 transcript:Manes.18G052200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHSPGRNQRSKGFKVKHFLQICLLLTICIWLLNQLRNSYDKQKAFENSTGDILDKVQSEHPVIKLGRKDLLPQVEETSLEIESDGDKAELEEEIEELKPDDIDDDGRGGGDDEIDGHDQERAEDEETEEVEDLIDVDDRERDVGNEEQDSEEKGNQLEDASSVNHQAQHEGERNSQEAREEHYKGDDASSSVVHNTRTRSTEFQIGGMRKIKWKIGPSVCGTVSNANSNLSSVTGNLGGINTGVATVSKSMVTEIMKLDAAASAISDGNNAFTTINKNNNAAASNQCSESNANETRDCRKKLSISEDIGCISEK >Manes.18G052200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4452727:4455498:1 gene:Manes.18G052200.v8.1 transcript:Manes.18G052200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHSPGRNQRSKGFKVKHFLQICLLLTICIWLLNQLRNSYDKQKAFENSTGDILDKVQSEHPVIKLGRKDLLPQVEETSLEIESDGDKAELEEEIEELKPDDIDDDGRGGGDDEIDGHDQERAEDEETEEVEDLIDVDDRERDVGNEEQDSEEKGNQLEDASSVNHQAQHEGERNSQEAREEHYKGDDASSSVVHNTRTRSTEFQIGGMRKIKWKIGPSVCGTVSNANSNLSSVTGNLGGINTGVATVSKSMVTEIMKLDAAASAISDGNNAFTTINKNNNAAASNQCSESNANETRDCRKKLSISEDIGCISEK >Manes.09G018934.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3999271:4000659:-1 gene:Manes.09G018934.v8.1 transcript:Manes.09G018934.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKSSDLHLVMFPWFAVGHMTPFLHLANSLAERGCSTTFLLPTEAIQQLQHFNLYPHLITLQSLTVPHVDGLPIGTQTASDIPLELNHLLAIALDCTRNQVEKVIHETRPKFVIYDMAHWVPEITKALGIKAIHYTVVSAASIAIVLVPARNVPEDRPITESEMLMPPSGYPSTTTVLRAHEVRSLLFVSQPFGEGITFYDRMFKAMKESDALAIRTCNETEGNFCDYIGSQHGKPVFLTGPVLPGPTKTPLEDRWCKWLSWFEQGSVLFCAFGSQSILEKDQFQELVLGFELTGLPFLVALKPPAGAATVEEALPEGFEERVKGRGVVWGGWVQQLMILDHPSVGCFVNHCGFGSMWESLLSDCQVVLVPRLGDQILNARFMAEELKVAVEVERDESGWFSKENVSKAIHSVMDKGNEFGSMLKENHRKWREKMINKELMSGYVDKFVQDMQQLVKVMV >Manes.02G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2427580:2429828:-1 gene:Manes.02G030700.v8.1 transcript:Manes.02G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSNGAASETTKPLKFLIYGRTGWIGGLLGKLCDSQGIHYTYGSGRLENRVSLEADIANIKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCREKGLILINYATGCIFEYDAKHPLGSGIGFKEEDTPNFIGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLCNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFAWKNFTLEEQAKVIVAPRSNNELDATKLSKEFPEMLPIKESLIKYVFKPNQKTPSA >Manes.01G250600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40949891:40953348:-1 gene:Manes.01G250600.v8.1 transcript:Manes.01G250600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETSWINDCFDDAARDIGKFESFTDLSDEGNKEINAVSVDLILPNDLLERIIAYLPIASIFRAGSVCKRWHEIVSSRRFLWNFSHVLSQKPWYFMFTSSDEPVGHAYDPILRKWYNIDLPCIETSSWFISSSRGLVCFMDNDSQSELYVCNPITKCCKKLEEPPSLKVADYSALAISVDRMLHGYTISIVKSKQVPGNFFQWDLSVYTYDSETRMWVASWAEVLTGWRSGDESVICDGVLYILIYSTGGGTPENRHGLITYNLSSRSSHGLLIRSFIPVPCPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGKVWQEIARMPHKFFQGFGEFDDVFASSGTDDLIYVQSYGAPALLVFDMNQKQWKWSQKCPVIKRFPLQLFTGFCFEPRLEIEP >Manes.18G110900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11067600:11068604:1 gene:Manes.18G110900.v8.1 transcript:Manes.18G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDVPQWPDLPFTVKLSILAFSFVFDITRNRNGTANRFLMSFFDIRTCPSKKPKNGVKTTDITVDKARNLWFRLYTPTKTGDGTAASGLPVIFYFHGGGFAFLAPSSLPYDKFCRRLASELSAIIISVNYRLAPEHRCPSQYEDGLDTLKFIDTTKIKGFSGNLKQCFIAGDSAGGNLVHHVAVKASEHEFSNLKLIGNILIQPFFGGEERTESELRLTRAPLVNMERADWMWKAFLPEGSNRDHPAANVFGPNSVDISGVKLPATIIFVGGFDPLQDWQKRYYKGLKKSGKEASLVELANAFHSFYNYPQLPEFSSLMKEMKNFMQKQLDK >Manes.09G178700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36846043:36850030:-1 gene:Manes.09G178700.v8.1 transcript:Manes.09G178700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGFIRNYTMKPSCRFLMTRKSLGIFGFAKCHHSLTSNLARNHVHKKQFSAYPLRIFGFGSAINDTQKIFCIPKTNFGQPRFISVFPYGDCTRRRASRGFSVVSSFASEVKGYSTSVETRVNDKNFERIYVQNGIGVKPLVVEKIDKDENVVGEEASRIGIAVPDEGENVNAENVEGVKGVEIAGPKRVESDIEKEAWKLLNDAIVSYCGSPVGTVAANDPGDKQPLNYDQVFIRDFVPSALAFLLRGEGEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDCTLQERVDVQMGIKLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDGSKNLVRAVNNRLSALSFHIREYYWVDIKKINEIYRYKTEEYSLDATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSVISSLGTPKQNKAILNLIEAKWDDIVGRMPLKICYPAIEDEDWRIITGSDPKNTSHWHASRWADWN >Manes.09G178700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36846043:36850030:-1 gene:Manes.09G178700.v8.1 transcript:Manes.09G178700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLGFIRNYTMKPSCRFLMTRKSLGIFGFAKCHHSLTSNLARNHVHKKQFSAYPLRIFGFGSAINDTQKIFCIPKTNFGQPRFISVFPYGDCTRRRASRGFSVVSSFASEVKGYSTSVETRVNDKNFERIYVQNGIGVKPLVVEKIDKDENVVGEEASRIGIAVPDEGENVNAENVEGVKGVEIAGPKRVESDIEKEAWKLLNDAIVSYCGSPVGTVAANDPGDKQPLNYDQVFIRDFVPSALAFLLRGEGEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDCTLQERVDVQMGIKLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDGSKNLVRAVNNRLSALSFHIREYYWVDIKKINEIYRYKTEEYSLDATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSVISSLGTPKQNKAILNLIEAKWDDIVGRMPLKICYPAIEDEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRLELAQKAVALAEKRLAVDRWPEYYDTRTGKFIGKQSRLYQTWTIAGFLTSKVLLENPRMASMLLWEEDYELLEICVCGLSKTGRKKCSRGAAKSQILV >Manes.12G066306.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6660419:6662218:1 gene:Manes.12G066306.v8.1 transcript:Manes.12G066306.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSIERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFCEEHSIDVPNMENFVHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKTDLRNRLGDDLLSDCLVCYFEKEIFRSIDDEVIMQSFQNLASRRNQLRPLKIHRPNPC >Manes.10G066150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9617526:9630493:-1 gene:Manes.10G066150.v8.1 transcript:Manes.10G066150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAANAYKTQSFASDRAIAEIIIAGFTGQLKGWWDYHLTDQEQLDILSSIQVNSEGEPILNELGETIQDAVSALILTISLHFIGDPSHLKDKNAELLSNLKCKKLSHFQNYKTTFLTRLMLREDSNQDFWKEKFLAGLPYFLGEKVRNHIKNQYGNPIPYSKLTFGQLVSIIQKEGLQICHDLKLQRTLKYEMHKTKHELGSFCKQFDYGIEKKYNPYKKYRNKAPIDKTHNKKSFRDLTCYNCGKKGHTSKFCMFNKKLNELNLEEEIVNKIQELCIHLNSSDSEKSISVLTKEQSSLLELINNIEDNSTKEKFLKKLIKSMDETEEIKESFPKINRQTYDLTKILGKNKQSGERISIEDLQREIKIIKSEVKDLKGQLQKDAKRIKFLEEQLISESSSSSNEEKEDEDDQDNIEQLIQEITSRKYLIKLKIKLSENFIIDTIALFDTGADLNCIKSSLVPRRFWNKTKEKLSTANRTKLNILGKTEALIVNQDLEIKTVFLLSQQISHMEILSIVVCINKFQSDLLNQKFLVRIDCKSAKDVLQKDVKNLASKQIFARWQALLSIFDFDIEYIKGSKNNIPDFLTREFLQKNGKKEE >Manes.07G073100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:21660121:21661924:1 gene:Manes.07G073100.v8.1 transcript:Manes.07G073100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCHQLHIFFFPYMAHGHMIPIVDLAKLFALRGLKTTIITTCLNAPLISKTIQRTKDLGIDIDIQILKFPSVEAGLPEGCENLDSITSYDDGSLELIFKFLKATTLLQEPLEKLLQDCQPDCLVADLQFPWATDAADKFGIPRLVFKGTCFFSLCTLECIRLYEPHKKVTSDSEPFVLTNLPGDIKMTRKQLPDDLIQDFETDYSKLMKEIAESELRSFGIIVNSFYEVEPAYVDYYKKALGKRAWPIGPVSLCNGGIDDKAQRGKEASISENECLKWLDSKKRNSVIYICFGSMVNFSASQLMEIAKALEASGQEFIWVVRREKKNKKDEEDWLPKGFEERIERKGLIIRGWAPQVLILNHEAIGGFLTHCGWNSVLEAITAGKPMVTWPVFADHFYNEKLVSEVLQIGVSVGVKEWVAVHGDYVTTEAIEKAITELMVGKEAKEMRSRAKKLGEMATQAVEEGGSSYSNLSALVEEVRSHRHRP >Manes.09G040500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7402743:7404646:-1 gene:Manes.09G040500.v8.1 transcript:Manes.09G040500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNMGVLFEHRGGAIAESLNAKVYGNSSETLVLAHGFGSDQNVWHFLIPYLALYFKIVVFDSVFSPNVNPKFYDPNKYSNFSGYAADLVNLLDELNVSKAIYMGHSMSAMIGCLASIQRYLNAKGYDGGFEISGVKATLTNIKKNFSSWVNDFAPTAVGLNNKQAISEFEDSLNRMKPNIAYNVAKTVFLSDLRRILPKVVVPCTIIQSKKDYIVPEFVAHYMKKKLGGHARVEILKTQGHFPHLTAYSLILKAMKRVHTIK >Manes.09G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7402743:7404646:-1 gene:Manes.09G040500.v8.1 transcript:Manes.09G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNMGVLFEHRGGAIAESLNAKVYGNSSETLVLAHGFGSDQNVWHFLIPYLALYFKIVVFDSVFSPNVNPKFYDPNKYSNFSGYAADLVNLLDELNVSKAIYMGHSMSAMIGCLASIQRPELFHHLILLNGSPRYLNAKGYDGGFEISGVKATLTNIKKNFSSWVNDFAPTAVGLNNKQAISEFEDSLNRMKPNIAYNVAKTVFLSDLRRILPKVVVPCTIIQSKKDYIVPEFVAHYMKKKLGGHARVEILKTQGHFPHLTAYSLILKAMKRVHTIK >Manes.02G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7296080:7302940:1 gene:Manes.02G093200.v8.1 transcript:Manes.02G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFVARGLRVPSSFSSKKPLSNDSLGSHISRFFSTESTGGRSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGEINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNTDGSCQGVIALNMEDGTLHRFLAASTILATGGYGRAYFSATSAHTCTGDGNSMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTVKGNDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEKQKPLEKDAGERTIVWLDKLRNSNGSLPTSQIRLNMQRIMQNNAAVFRTQDTLEEGCQLIDKAWESFHDVKLKDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENVKVRLDYRPVHMNTLDDEIESFPPKARVY >Manes.02G093200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7296080:7302940:1 gene:Manes.02G093200.v8.1 transcript:Manes.02G093200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNTDGSCQGVIALNMEDGTLHRFLAASTILATGGYGRAYFSATSAHTCTGDGNSMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTVKGNDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEKQKPLEKDAGERTIVWLDKLRNSNGSLPTSQIRLNMQRIMQNNAAVFRTQDTLEEGCQLIDKAWESFHDVKLKDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENVKVRLDYRPVHMNTLDDEIESFPPKARVY >Manes.02G093200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7296656:7302940:1 gene:Manes.02G093200.v8.1 transcript:Manes.02G093200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGRIYQRAFGGQSLNFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNTDGSCQGVIALNMEDGTLHRFLAASTILATGGYGRAYFSATSAHTCTGDGNSMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTVKGNDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEKQKPLEKDAGERTIVWLDKLRNSNGSLPTSQIRLNMQRIMQNNAAVFRTQDTLEEGCQLIDKAWESFHDVKLKDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENVKVRLDYRPVHMNTLDDEIESFPPKARVY >Manes.11G147700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31255328:31256998:1 gene:Manes.11G147700.v8.1 transcript:Manes.11G147700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFAIQLLCSFSFLSLLLHILAAESHQTGEEKEFGYQLNSENGPSRWGELEPEWRTCSNGTKQSPINILKQSVKTVTHLGELDRDYRPSNATLKNKGHDMMLEWESGAGSIEINGKEYVLQQCHWHSPSEHTINGRRFAVEMHMVHKSEDGKVAVVGILFKIGNPESFLSSLRDHLKSVSGTGKAEKVVGVVDPNDIKMSRKYYRYMGSLTTPPCTENVTWTISTKVRTVSKEQVRMIRVAAHAESGSNARPIQATNGRLVQLYQPDEEDD >Manes.09G115800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31811733:31818026:-1 gene:Manes.09G115800.v8.1 transcript:Manes.09G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRSEAIELQKLRKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPVPPGLGMSNSGIIKDLVGKGGKILNGKAWSDNGWMCGQDWLENGNWVGGSTGKSNYWRKNGSGYFGGDENCLAEKSYSGVVMFACKLLTSFFLSIRWIWRKIFRISSSREDSSSDADHRGMLAKRAEIGSNYHESRGEKARRKAEEKRQARLEKELLEEEERKQREEVARLVEERRRLRDEKMEAEKDRTKSSQPTREKDSKKEAERRRQERRKEKDKGSSKSNSDAEELEKKAGKECERKREYEKKSETDRREHQKSGTDSLKGQSSELRHGLKHSSASNYSRGNAGARYLDRMRGTFLSSSKAFTGSGFFGKTAYTPANVTKENKFNSSVDHSHTSAYRRDICPPDRVAGKLSMNGDEKNVNHPVFSEPQPRTAPKKSWQQLFTRTSPKIPSSNSNVISRPNCKPQSEVQSPQLQGQSLLLQSFDNPISFGLPSPFTLSAYPNTPISTSLGFSSPIEPIFSNVVEGPHDFTPEEPELFEDPCYVPDPISLLGPVSESLDNFQLDLGTGFASDIGLERPHALKNLSASSEVNKPSPIESPSSRLRIADERHNGSNWFPTTPKAQDSHTLPVDDVHVNEKGTWQMWNSSPLGQDGLGLVGGPGSWLLPPDQCRSTKEDVLQSSSQKTMASLFLTDDQVLSGAHSPENVFLGNGQNGGAFSPVTCSSGNDPWLQNPFSPPFSGSDSHFSLKPQKENVENEIIYGSPTNTATNHPFELSPANCWSKKDWTMRGSGEGVGKPSSTRPNIGGLFPSPDVQSLWSFD >Manes.05G096150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8823332:8869768:1 gene:Manes.05G096150.v8.1 transcript:Manes.05G096150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFPLCKREEFLAIRAPFFLFQGLVIGLPLLEHYGSVVDLYVRARLLLDDTLNFISIMPMKPRTAALLNSCKMYKLLHYVNFSEEK >Manes.06G046875.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14711729:14712707:-1 gene:Manes.06G046875.v8.1 transcript:Manes.06G046875.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRSILTLLPLLLKDLQLDYLHAIYETMRFIYRERNIQSIIFRKNKNKNKKKKKAIEKEYKRPGRRGFSGIFFSTDCCRGWCESMDNSSWSANLPSSCGSMAISWPSPWISVGDFGLEPVSQASGARESIVGERGMERWVFQKILEMG >Manes.06G046875.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14711729:14712707:-1 gene:Manes.06G046875.v8.1 transcript:Manes.06G046875.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRSILTLLPLLLKDLQLDYLHAIYETMRFIYRERNIQSIIFRKNKNKNKKKKKAIEKEYKRPGRRGFSGIFFSTDCCRGWCESMDNSSWSANLPSSCGSMAISWPSPWISVGDFGLEPGMG >Manes.08G155300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38830546:38830926:-1 gene:Manes.08G155300.v8.1 transcript:Manes.08G155300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVIVGDDAGWRLGFDYQAWAKGKHFRVGDKLVFQYYPGDHNVFKVNGTGFQNCIRPPPTEALTTGNDTIVLATPGRKWYICGVAQHCEKGMKLFLTVLPPATR >Manes.10G051900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5918386:5923077:-1 gene:Manes.10G051900.v8.1 transcript:Manes.10G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKIEDLPLPSLFEQARKIHLTATESTADQEMVKKGCVALERCEEMINKLGLFSANETKDDISTTNLKYILVPYYLAELTEKISQDDRMQILKASQAKLKEFLSFCETMEFVPEEELRASVQGGPNSFAERRALKIARFNRQRAAQAKLLEIKERKERRGRSTRATALSTPVEAGEEDLLDDDGEEEREAWLTAISLSICKALDLLEMLKKEEEMLSAVKERQLKEGDKEFSQAILDERTKKAEAWHRGAATRAQYTKPAPPITCATFAQDVLEGRADVSQVHDHKHQPLIFGPASLIGGNLTSERERMAAQVFQPSHRVPTMSIEEAGLREMEIMNKWQERNAKIMAEANSSWYKDYRKVQTGEDDEEEDDAVVQKERAWDDWKDDNPRGAGNKKLTPCG >Manes.18G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6967363:6970205:-1 gene:Manes.18G076600.v8.1 transcript:Manes.18G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFANRLVGAAMRATNNNTVINVCLVGSFLALSVRSMNQQKDIEALEAEKDSLRKSNKAMKKTMWDWKQQLFAEAESDAALVPLARLKAIYGEAPSPPIGDTVKEDAKSPASKIVI >Manes.01G046800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:16594896:16596246:-1 gene:Manes.01G046800.v8.1 transcript:Manes.01G046800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGIIVNPDPCSNSVLNPFNLNWYFLHHNYCESLFTIIRLGQFIYENLYMDKNGPHLKSGQVIIVHIDSIVIRSAKPYLATPGATVHGHYGEILYEGNTLVTFIYEKSRSGDITQGLPKVEQVLKVRSIDSISINLEKRVEGWNECITRILGIPWGFLICTELTIVQSRISLVNKIQKVYRSQGVQIHNRHIEIIVCQITSKVLISEDGMSNVFSPGELIGLL >Manes.15G068000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5182385:5185327:-1 gene:Manes.15G068000.v8.1 transcript:Manes.15G068000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPTVLSHSVLARDSTRTKSFLFSESLSFNFSMAQFDFPLSEPTTTTAVPPSIPPSADMEASVFAVQRILNYGFKNKRLLEEALTHSSYNDSASYQRLEFIGDAVLGLALSNHFFLAYPQLDPGQLSPLRAANISTEKLARVAIRHGLYRFVRHNAASLDDKVREFTDSVSEEDDAVAYYGGSVKAPKILADIVESVAAAVYVDLDFDLQKFWVIFRELLEPIVTPEDLQQQPQPVTMLFELCQKQGKELDIIHWKKETKSIVSVYVDGELVASDSSEQKEIAKLNAAKGALRKLSHSMPSNDGLADILESISGSFEIEGAKQKLYDICGKKRWPKPSYNIEEEMGPAHDKKFICSVQIATIDGVFYIKGEEKTRVKEAESSAASLMIRALQESNHL >Manes.07G080455.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24499126:24520785:1 gene:Manes.07G080455.v8.1 transcript:Manes.07G080455.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKAILSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKDSWVTLRYLDRLKVSGGRAFDRQGEIAWERAHCGDRCKTPLPINLGFDFVTNNIVEDVTSIDSKQIHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSRQVRITNVRCGHGHGISVGSLGKYEKE >Manes.03G034200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2846147:2854340:-1 gene:Manes.03G034200.v8.1 transcript:Manes.03G034200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRILLCGDVLGRLNQLYKRVHSVNKSAGPFDALFCVGQFFPDSPELLEEFMDYVEGRTQIPLPTYFIGDYGVGAPKVLSVASKNSANLGFKMDGLKICENLFWLRSSGKFTLFGLSVAYLSGRQSSETQQFGTYSQDDVDALRALADEPGIVDLFLTNEWPSGVTNRTTSDVPAGISDSAGRDSTVAELVAEIKPRYHIAGTKGIFYAREPYSNIDAVHVTRFFGLASVGNKDKQKFIHAICPTPGSTMTAAEISMKPPNTTLSPYTFLEQKDQPKDPNKRPSESASDSQYWRYDVSQKRQRTGTGDGDKLCFKFIYSDSCPRGEKCHFRHDMDAREQYLRGVCIDFLIKGKCERGPDCKFKHDLQSEVESYSHKRHGSQNSNRSKECWFCLSSPSVESHLIISIGENYYCALAKGPLVQDHVLLMPIEHSPSTLSLPQECESELVRFRNSLKLYFKNRGKEFIAFEWVSKRVTHANLQAVPVPSSKAAAVQDIFNMAADKLGFKFVAKKFDDNSDGRKWLRTQFDRNYSFFYVELPDGTILSHLVEENERFPMQFGREVLAGLLNTPERADWRTCTLNKEEETNMVEEFKKKFEELDPTR >Manes.03G034200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2846147:2854340:-1 gene:Manes.03G034200.v8.1 transcript:Manes.03G034200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRILLCGDVLGRLNQLYKRVHSVNKSAGPFDALFCVGQFFPDSPELLEEFMDYVEGRTQIPLPTYFIGDYGVGAPKVLSVASKNSANLGFKMDGLKICENLFWLRSSGKFTLFGLSVAYLSGRQSSETQQFGTYSQDDVDALRALADEPGIVDLFLTNEWPSGVTNRTTSDVPAGISDSAGRDSTVAELVAEIKPRYHIAGTKGIFYAREPYSNIDAVHVTRFFGLASVGNKDKQKFIHAICPTPGSTMTAAEISMKPPNTTLSPYTFLEQKDQPKDPNKRPSESASDSQYWRYDVSQKRQRTGTGDGDKLCFKFIYSDSCPRGEKCHFRHDMDAREQYLRGVCIDFLIKGKCERGPDCKFKHDLQSEVESYSHKRHGSQNSNRSKECWFCLSSPSVESHLIISIGENYYCALAKGPLVQDHVLLMPIEHSPSTLSLPQECESELVRFRNSLKLYFKNRGKEFIAFEWVSKRVTHANLQAVPVPSSKAAAVQDIFNMAADKLGFKFVAKKFDDNSDGRKWLRTQFDRNYSFFYVELPDGTILSHLVEENERFPMQFGREVLAGLLNTPERADWRTCTLNKEEETNMVEEFKKKFEELDPTR >Manes.03G034200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2847319:2854340:-1 gene:Manes.03G034200.v8.1 transcript:Manes.03G034200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRILLCGDVLGRLNQLYKRVHSVNKSAGPFDALFCVGQFFPDSPELLEEFMDYVEGRTQIPLPTYFIGDYGVGAPKVLSVASKNSANLGFKMDGLKICENLFWLRSSGKFTLFGLSVAYLSGRQSSETQQFGTYSQDDVDALRALADEPGIVDLFLTNEWPSGVTNRTTSDVPAGISDSAGRDSTVAELVAEIKPRYHIAGTKGIFYAREPYSNIDAVHVTRFFGLASVGNKDKQKFIHAICPTPGSTMTAAEISMKPPNTTLSPYTFLEQKDQPKDPNKRPSESASDSQYWRYDVSQKRQRTGTGDGDKLCFKFIYSDSCPRGEKCHFRHDMDAREQYLRGVCIDFLIKGKCERGPDCKFKHDLQSEVESYSHKRHGSQNSNRSKECWFCLSSPSVESHLIISIGENYYCALAKGPLVQDHVLLMPIEHSPSTLSLPQECESELVRFRNSLKLYFKNRGKEFIAFEWVSKRVTHANLQAVPVPSSKAAAVQDIFNMAADKLGFKFVAKKFDDNSDGRKWLRTQFDRNYSFFYVELPDGTILSHLVEENERFPMQFGREVLAGLLNTPERADWRTCTLNKEEETNMVEEFKKKFEELDPTR >Manes.03G034200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2847283:2854444:-1 gene:Manes.03G034200.v8.1 transcript:Manes.03G034200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRILLCGDVLGRLNQLYKRVHSVNKSAGPFDALFCVGQFFPDSPELLEEFMDYVEGRTQIPLPTYFIGDYGVGAPKVLSVASKNSANLGFKMDGLKICENLFWLRSSGKFTLFGLSVAYLSGRQSSETQQFGTYSQDDVDALRALADEPGIVDLFLTNEWPSGVTNRTTSDVPAGISDSAGRDSTVAELVAEIKPRYHIAGTKGIFYAREPYSNIDAVHVTRFFGLASVGNKDKQKFIHAICPTPGSTMTAAEISMKPPNTTLSPYTFLEQKDQPKDPNKRPSESASDSQYWRYDVSQKRQRTGTGDGDKLCFKFIYSDSCPRGEKCHFRHDMDAREQYLRGVCIDFLIKGKCERGPDCKFKHDLQSEVESYSHKRHGSQNSNRSKECWFCLSSPSVESHLIISIGENYYCALAKGPLVQDHVLLMPIEHSPSTLSLPQECESELVRFRNSLKLYFKNRGKEFIAFEWVSKRVTHANLQAVPVPSSKAAAVQDIFNMAADKLGFKFVAKKFDDNSDGRKWLRTQFDRNYSFFYVELPDGTILSHLVEENERFPMQFGREVLAGLLNTPERADWRTCTLNKEEETNMVEEFKKKFEELDPTR >Manes.01G002600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1880656:1884037:-1 gene:Manes.01G002600.v8.1 transcript:Manes.01G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGKTRMVLTLTCRAVHEAVSFVVFCFLDLLDSVLCFAYKVADFFIEADWKPCYCTSAKEAITSSGKILVSEQGESKIVCLSSSKLELQEISDTLYTRPSLLSEVSKLTVNVLKRIKLERSIVQSWKKVKKGTPRSSFTINSTIVEMLQGKIEGQQTHPIPRWSDCDCQHCTRWITSSKDNLFVKAEGADEGGNNNQAKVDVLFIHGFISSSAFWTETAFPNFSDAAKAKYRFFAVDLLGFGRSPKPTDSLYTLREHLEMIERSVIEPYKVKSFHIVAHSLGCILALALAVKYPASVKSLTLLAPPYYPVPRGLQATQYVMRMVAPRRVWPLIAFGASIACWYEHISRTICLLICKNHRLWEFLAKLITRNRIRTFLLEGFFSHTHNAAWHTLHNIICGTAGKLDGYLDKVRDNLKCDVNIFHGRNDELIPVECSYNIKRRVPRARVKVIEKKDHITIVVGRQKAFVRELEKIWSRSGH >Manes.09G183600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37117918:37125490:-1 gene:Manes.09G183600.v8.1 transcript:Manes.09G183600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFSLLHVPPSISSRHQLCSFQSKLQLKKFKPINPCLSPSIQNGKCKFSVISCASSNGREPESSEGGIKGVEKILEEKRRAELSARIASGEFTVKNSGFPSILKNGLSKIGVPNEILEFLFKWVDAGEDYSKIPEAKGAISAIRSEAFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEVWRVRRRAIVPALHQKYVAAMIRLFGQATDRLCKKLDAAASDGEDVEMESLFSRLTLDIIGKAVFNYEFDSLTNDTGIVEAVYTVLREAEDRSVAPIPFWEIPVWKDISPRQRKVSAALKLINEILDELIAICKRMVDEEELNFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVLSKLQSEVDSVLGDRFPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSLENDVLGKYPITRGEDIFISVWNLHRSPHLWEDAEKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFESVVAVAMLVRRFNFQMALGAPPVKMTTGATIHTTEGLKMTVTPRIKPPIIPKLDTPAVTVDAPVNVSSEEPQLGQKGEVSAAHSS >Manes.16G078200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28294501:28297741:1 gene:Manes.16G078200.v8.1 transcript:Manes.16G078200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHSCEDHDCSSNWSLYKHIDLSKVSALNEAVPGSVKSVFKAWEQRLDSSGEHLESNEGDPELLVYVPFTSDVKIKSISIIGGADGTSPSKMRVFTNRDGIDFSDAQSMQAVQEWDLVENLQGVLEYQTRYAKFQNVASITLHFPDNFGGDTSQIHYIGFKGEATQLKRDVVATIVYELRPNPSDHKTEAERNTPFHMWNGE >Manes.S053916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1846652:1846774:-1 gene:Manes.S053916.v8.1 transcript:Manes.S053916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G173200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15196500:15199985:-1 gene:Manes.15G173200.v8.1 transcript:Manes.15G173200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSILSSPSSCYQLSWLHTTATFFVLTTLFYAKMVSCSQPDILNYHDKCAKVVPESSPTSPEFITIPFPPNQEGYFLGGDDIFSYSNSNSSSNSSRYYSYYSSGERKVLLFRTHHVHSTDLDGVYKVQASFILQPSRSSYYVEDVTYSYSYSPQVISSWSERGALSLELEGFWSKSTGKLCMVGSSSAYSQQGKAPVLHAVLKLDDVKSENKITSLIRGTLESLDYADDSSYFKPISILMFPGMNYIYTPELDSVCSGEIDAAKSSLVLPLSKSICSVFSRESNSFKLMYASGCDSAKRCKLLGEGVGFLPGVMSMRLITCSHDRPSLRFLLEFPNSSYADYYLPFSPNTTFVAEGSWNSKKNQLCVVACRISTTTNSLSSSLVEDCSIRMSLRFPSVWSIRKRSAIVGHIWSNKSAKESGYFKRIRFQSYMSELLGIPGLKYEYTLVDKARKSCTEKQPDREKESQYPDANSNDLQFDMAVKNSNGKRIGWGYARPLFIGDQIPIRNVFSRPLSSSRNSMEEAKAQHIKPSNISYKMNFPSPSSSLNEYSQVEVSAEGIYDPETGVLCMAGCRYLGSKNHTDDDLMDCELLLNLQFPPVDSNDYIQGTMKSTRKESDPHYLQPLSFSAVSFYGRHARESIWRMDLEIIMALVSNTLLCFFVGYQIFYVKKHPTMFPCISLLMLVVLTLGHMIPLVLNFEALFFSKQNSTFYLRQSGGWLETNEVVVRVVTMVAFLLKFRLMQLVWSAHWANGNFKASWSAEKKTLYVSLPLYIAGGLIAFYVNGRTYDFGKDMNYAYNGSHQHSLWVDLRSYAGLILDGFLLPQIILNVFQNSKENALSRFFYVGMTFVRLIPHGYDIFRAHYYSDDFDWSYMYANPAADYYSTAWDVIIPLGGLLFAAIIYLQQRNGGRCFLPKRFKELVVYEKVPFTSEP >Manes.07G127904.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33034083:33038021:-1 gene:Manes.07G127904.v8.1 transcript:Manes.07G127904.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNFCSFFYFSATIFIQFLCLTDRGLGGNETDRLALLEFKTKITHDPLGIMSSWNDSINFCQWQGVTCGHRHQRVTSLNLMSLQLAGSISPHVGNLSFLRDLRLDNNSFTRDIPSEIGRLSRLQYLYLPNNSLGGTIPSNLSRCSNLIKFQVANNQLVGEIPMEIGSLSKLKLFTVIHNNMTGLIPASLGNLSSLEILAAGENYFSGSIPNALGRLTTLKKLFLYMNTFSGKIPSSIFNLSSLTSLYLGINPFFPGSLPSDLGISLPNLQNLGMFQAQLIGSIPSSLSNASNLETIQLQLNSLTGQVPAFENSLGLLYFSIQFNSLGSGGAGDLSFLSSLTNATNFRYLAVNENNFGGILPQQISNFSTKVETMLFDDNQIFGNIPAGLQNLINLIDFRAAGNRLSGNIPTVIGELQNLRMLSLSFNEFSGHIPASVGNLTNLYGFSLATNNLQGDIPSSLGNCQNLQILDLSDNNLSGSIPAEVIGLSSLSTYLDLSHNRLSGVLPIQVGNLENLNVFNVSENMLSGEIPSTLGSCVMLDILFMQGNFFQGSIPSSLSSLRGLQELDISNNNLSGAIPEFLAELNSLQVLNLSYNNFEGVVPARGVFKNASRTSVTGNSKLCGGTPEFHLPGCKFKHSKGKLSLAWKIVISTLSGSLCIALVLSSYFLCLSRKKRNEPASNFTENMHLMVSYQSLSKATDVFSSANLIGAGSFGSVYKGILDQGGRFIAVKVFTLVRRGASRSFMAECEALRNIRHRNLIKILTVCSGIDYQGNDFKALVYEFMSNGSLEEWLHHKPIAQETPRSLNLLQRLNVAIDVACALDYLHHQCETPIVHCDLKPSNVLLDDDMTAHVGDFGLARILSEATQDLPASQTSSVGVRGTVGYAAPEYGMGSEVSTSGDVYSYGILLMEMFTGKKPTNAMFKESLNLHNFVCAALPERITEIMDPFLFQEIDNWENGSTGSCRVQNSLVSILEIGVACSAELPQERRNSSNVTAELQSIRNRLLGATGQRIITL >Manes.12G088800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14112235:14114830:-1 gene:Manes.12G088800.v8.1 transcript:Manes.12G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRIKNLSFLQCLQLLLCLSLEVHLSRGSDTILPGQSLSGNQTLASPDGSFELGFFRPGNSQRHYIGIWYKNLPNQTVVWVANREHPVTDPSISALKLSEDGNLVLLNQSGDEIWSTNSTEKVSNSTIAMLLDNGNFVVREASLPSGVIWQSFDYPTDTWLPGGKLGYNKLAREKQFLTSWRNPQNPAPSLFSLGVEQNGTSHLLLWNGSETYWTSGVWTGKIFSLVPEIQFMIDSGGQLRQFVWRKGFNKWALFWTRPTQQCEVYAFCGAFSVCNQQKEPLCNCLQGFEPKSQEDWESEDHTDGCVKKTPSCNSEGKDTFLLMRNIRLPVNSESLAAESIEECKLACLNNCSCNAFAYDNGCLIWKRDLFNLQQLSPVEEIGREFHLRIATSELTETKHKGKKKTTLIVVVTLAAFFTSSILVLLMMWRRRSANANEQIEDSLVLFKYKELRNMTKNFSEKLGEGGFGSVYKGSLPNSTPIAVKQLRSLQQGEKQFYAEVKTIGMIQHINLVRLRGLCAEDSERFLVYDYMPNGSLDSHLFQKTGKTLEWKIRFLVAVGTAKGLAYLHEECRDCIIHCDVKPENILLDAEFNPKVADLGLAKILGRDFSRVLTTMRGTRGYLAPEWLSGEAVTPKADVFSYGMLLCEIISGRRNSDYLDIGLEDYFPFMLANSINKGVDVLTLVDKKLEGNANVEEVSRACKVACWCIQDDEKDRPTMKQVFQILEGVSDAGTPGVPRFLQQLAETRPETIFYSED >Manes.12G094466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:19231752:19233620:-1 gene:Manes.12G094466.v8.1 transcript:Manes.12G094466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGHFHVFSSSPSYFYEFHGCFEEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.05G157800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27058793:27060028:1 gene:Manes.05G157800.v8.1 transcript:Manes.05G157800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSPPPPYTFLLSLSLITVSSGVTFLQQMSERTFVVIFFFWAVLTILTPTLILLSESSKPALYSNVDKITAVTNDRRMMVYAEKQPRRELIPSAPMEAPMAAPVPELVSETRWSTLRRIFKKK >Manes.S036216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:948547:949359:-1 gene:Manes.S036216.v8.1 transcript:Manes.S036216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.17G121521.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32625880:32631836:1 gene:Manes.17G121521.v8.1 transcript:Manes.17G121521.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYRLSQTPKHLNTLAPLPLHPAHSSHFTLRTSSYFTLHASHFTLLHTTLHTSHFTLHNTSHFTLLHASHYFTLHTTHITLKHHCTLHTSHFFTPLHASSHYYTLQPSHYFTLQTSPNTSTLHTATPCTQFTLLHTLHFTHFALLHTISHLTLLHTSHFTLLHISHYFTLHTTHLNTLITLHHFTLLHASHNTQHTLTPLQHYFTLHTLHYFTLHTISHYFKLDTTYLNTLIALHHFTLLHASHNRQHTTHFYTLATLLHTSHFFILHTSHYFTLHAAHLNTLVPLHTSQHFTLHTSHFTLLHFFKLLHASSFTLHHSTTHFTVHTSSHFFTLHTSHFTRS >Manes.07G015200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1809818:1812543:1 gene:Manes.07G015200.v8.1 transcript:Manes.07G015200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPHHPRVTLNGNPRTPTFDYLWCQTCRRPLRFTFTSMNPHENFCPRCFNVLSHVPEISRPLRLDLTHFEPPPASRLVDSLALMIPPSIRRRYPEFDRRIRRESDPPLRQRLPMSAPPHAVVPGHIDMADNDRPVPPAPASAIETLPMVKITQEHLMKDTNCPVCKDEFEVDVEVRELPCKHFYHSDCIVPWLNIHNSCPVCRKAVVDGFEDHLRLENVQSFGFEDVANSMNWLRNQFLSLWPVRAFSDWTQRSLDFLDNRVSSSSGANSWWRSLFIL >Manes.07G015200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1809829:1812543:1 gene:Manes.07G015200.v8.1 transcript:Manes.07G015200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPHHPRVTLNGNPRTPTFDYLWCQTCRRPLRFTFTSMNPHENFCPRCFNVLSHVPEISRPLRLDLTHFEPPPASRLVDSLALMIPPSIRRRYPEFDRRIRRESDPPLRQRLPMSAPPHAVVPGHIDMADNDRPVPPAPASAIETLPMVKITQEHLMKDTNCPVCKDEFEVDVEVRELPCKHFYHSDCIVPWLNIHNSCPVCRKAVVDGFEDHLRLENVQSFGFEDVANSMNWLRNQFLSLWPVRAFSDWTQRSLDFLDNRVSSSSGANSWWRSLFIL >Manes.07G015200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1809694:1812557:1 gene:Manes.07G015200.v8.1 transcript:Manes.07G015200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPHHPRVTLNGNPRTPTFDYLWCQTCRRPLRFTFTSMNPHENFCPRCFNVLSHVPEISRPLRLDLTHFEPPPASRLVDSLALMIPPSIRRRYPEFDRRIRRESDPPLRQRLPMSAPPHAVVPGHIDMADNDRPVPPAPASAIETLPMVKITQEHLMKDTNCPVCKDEFEVDVEVRELPCKHFYHSDCIVPWLNIHNSCPVCRKAVVDGFEDHLRLENVQSFGFEDVANSMNWLRNQFLSLWPVRAFSDWTQRSLDFLDNRVSSSSGANSWWRSLFIL >Manes.07G015200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1809829:1812543:1 gene:Manes.07G015200.v8.1 transcript:Manes.07G015200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPHHPRVTLNGNPRTPTFDYLWCQTCRRPLRFTFTSMNPHENFCPRCFNVLSHVPEISRPLRLDLTHFEPPPASRLVDSLALMIPPSIRRRYPEFDRRIRRESDPPLRQRLPMSAPPHAVVPGHIDMADNDRPVPPAPASAIETLPMVKITQEHLMKDTNCPVCKDEFEVDVEVRELPCKHFYHSDCIVPWLNIHNSCPVCRKAVVDGFEDHLRLENVQSFGFEDVANSMNWLRNQFLSLWPVRAFSDWTQRSLDFLDNRVSSSSGANSWWRSLFIL >Manes.07G015200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1809694:1812572:1 gene:Manes.07G015200.v8.1 transcript:Manes.07G015200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPHHPRVTLNGNPRTPTFDYLWCQTCRRPLRFTFTSMNPHENFCPRCFNVLSHVPEISRPLRLDLTHFEPPPASRLVDSLALMIPPSIRRRYPEFDRRIRRESDPPLRQRLPMSAPPHAVVPGHIDMADNDRPVPPAPASAIETLPMVKITQEHLMKDTNCPVCKDEFEVDVEVRELPCKHFYHSDCIVPWLNIHNSCPVCRKAVVDGFEDHLRLENVQSFGFEDVANSMNWLRNQFLSLWPVRAFSDWTQRSLDFLDNRVSSSSGANSWWRSLFIL >Manes.17G010700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4096117:4103891:1 gene:Manes.17G010700.v8.1 transcript:Manes.17G010700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENYSDKNAVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRIMSFGGNNRAQAFFKQHGWNDGGKIEAKYTSRAAELYRQILSKEVAKSMAEDAGLPSSPVASHSAQVSNGLPDVKVDETPKESSLGRQETPDVSHSLRTSHPVITSSVKKPLGAKKTGKTGGLGARKLTTKSSENLYDQKPEEPVLPVSSPTNNAPKAGASFASRFEYVDHVQSTELTTGGPQVINHVSPPKSSSFFAEFGMDGGFQRKSSSNSSKVQSSSAISSADLFGNGNDNSIDLAASDLINRLSFQAQQDISSLKNIAGETGKKLSSLASSLMTDLQDRIL >Manes.17G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4096117:4103891:1 gene:Manes.17G010700.v8.1 transcript:Manes.17G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENYSDKNAVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRIMSFGGNNRAQAFFKQHGWNDGGKIEAKYTSRAAELYRQILSKEVAKSMAEDAGLPSSPVASHSAQVSNGLPDVKVDETPKESSLGRQETPDVSHSLRTSHPVITSSVKKPLGAKKTGKTGGLGARKLTTKSSENLYDQKPEEPVLPVSSPTNNAPKAGASFASRFEYVDHVQSTELTTGGPQVINHVSPPKSSSFFAEFGMDGGFQRKSSSNSSKVQIQETDEARKKFSNAKSISSAQYFGDQGKATDLDTQVSLQRYSSSSAISSADLFGNGNDNSIDLAASDLINRLSFQAQQDISSLKNIAGETGKKLSSLASSLMTDLQDRIL >Manes.07G121400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572625:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNGGTSQPNKALQLDQQQGNSFTLQLFQRTLEGTLDSFQKSIHEDMRNLHIEILRQFHMQEMEFSSVMSSIAKNQAELIKEIKSLQKENQDLRQLL >Manes.07G121400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572981:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLATGARAAELKDPNEQVLRVLDYSRISRHILVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNGGTSQPNKALQLDQQQGNSFTLQLFQRTLEGTLDSFQKSIHEDMRNLHIEILRQFHMQEMEFSSVMSSIAKNQAELIKEIKSLQKENQDLRQLL >Manes.07G121400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32577426:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLATGARAAELKDPNEQVLRVLDYSRISRHILVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQV >Manes.07G121400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572981:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLATGARAAELKDPNEQVLRVLDYSRISRHILVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNVCTLDWPNKHLPVIASEFFGVSLGEKSNMLCFIIIDALNTVY >Manes.07G121400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572981:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLATGARAAELKDPNEQVLRVLDYSRISRHILVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNGGTSQPNKALQLDQQQGNSFTLQLFQRTLEGTLDSFQKSIHEDMRNLHIEILRQFHMQEMEFSSVMSSIAKNQAELIKEIKSLQKENQDLRQLL >Manes.07G121400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572981:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLATGARAAELKDPNEQVLRVLDYSRISRHILVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNGGTSQPNKALQLDQQQGNSFTLQLFQRTLEGTLDSFQKSIHEDMRNLHIEILRQFHMQEMEFSSVMSSIAKNQAELIKEIKSLQKENQDLRQLL >Manes.07G121400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572981:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLATGARAAELKDPNEQVLRVLDYSRISRHILVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNGGTSQPNKALQLDQQQGNSFTLQLFQRTLEGTLDSFQKSIHEDMRNLHIEILRQFHMQEMEFSSVMSSIAKNQAELIKEIKSLQKENQDLRQLL >Manes.07G121400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572981:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLATGARAAELKDPNEQVLRVLDYSRISRHILVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNVCTLDWPNKHLPVIASEFFGVSLGEKSNMLCFIIIDALNTVY >Manes.07G121400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572625:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLATGARAAELKDPNEQVLRVLDYSRISRHILVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNGGTSQPNKALQLDQQQGNSFTLQLFQRTLEGTLDSFQKSIHEDMRNLHIEILRQFHMQEMEFSSVMSSIAKNQAELIKEIKSLQKENQDLRQLL >Manes.07G121400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32572981:32582279:-1 gene:Manes.07G121400.v8.1 transcript:Manes.07G121400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMWMLAASGGDTVKLFDVSREPGDPCISSYTPSPGCLVNTVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDTGDNIEISWLKQHSAPTAGISFSPSNDKIIASIGLDKKLYTYDLESRRPSSYISYEAPFSSLAFRDDGLTLAAGTSSGRVVFYDVRGRPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGSAVEDSILMPDPLPTVTASSVSLSTGVSNSHNLGRSGLSVEASSLASTSSGSASSMPSFAEETPHRSHLWPSGTLTSLHAPRTSYNFKDDMEVFSPLVDVHPITSALNKLWDDHEGTRKGNLSIDKKPSSLLFPSAGSRFPFADDVTNDHPIFDWKTSSTFKQEETRSSFSPLESTPTPSSKSEESSITPPEAWGGERLSEKLTHLHQSLSLPSQFGMLTSGGFPDLPSTSQIIMSSLTNSNMSFSSLHPRDVSSKQENSTGFSELVSSSSMSQSLSTRSIMGQTTLDVPGSGSLTLPRRFSTYAERISTASSFSNEISLLVSSPKTKKTGAETREELLSSLLSRSDTLSVTEQGILPAVNGGTSQPNKALQLDQQQGNSFTLQLFQRTLEGTLDSFQKSIHEDMRNLHIEILRQFHMQEMEFSSVMSSIAKNQAELIKEIKSLQKENQDLRQLL >Manes.07G133633.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33519072:33519806:-1 gene:Manes.07G133633.v8.1 transcript:Manes.07G133633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDWLRSPSRAHTSPRQPPKPPSPRYFSFSSFKDINAILEEQNGSKSQPQTPRRPSIFHRASPLHRHHRNRSKIFIISPPPNQDDHKIILYFTSLGVVRKTFEDCRTVRSILRGFHVPIDERDLSIDAGYLDEIQMITASKKVRLPAVFLGGNYVGGAEEIKEMNESGELSKMIGGLVGNNIKIKFNSVCDVCGGLRYVLCTQCNGSHKIYSEKHGFRTCTSCNVNGLIKCGLCYPVQCKRSD >Manes.01G198600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37274667:37276921:-1 gene:Manes.01G198600.v8.1 transcript:Manes.01G198600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLSRFGCKNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSDPVQRILFRAGLLPAPPMVAIGRKGGPRDTRPVDPMTGRILDSEKMSNATKSNASESANGAGATTT >Manes.09G124700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32684790:32692910:-1 gene:Manes.09G124700.v8.1 transcript:Manes.09G124700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRTYVSLSLVATVAALYHAFSSRGQFYPAMVYLSTSKINLVLLLNMGLAIMCILWQLTKWVFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVAALLLIKALHWLAQKRVEYIETTPSVPMLSHIRIVSFLGFLLLLDSMFLYSSIKYLIETRQASVSLFFSFEYMILATTTVSTFIKYIFYVSDMLLEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKLRVADFIRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKRLNCGHLFHVHCLRSWLERQHTCPTCRALVVPPENVTSAAGAQSGSQSDTHLQGTGTTSTGLHGSVGSMPNNHLSQHQARLQAAAAAAAVYEKSFVYPSANTLAWSLGYTMLPCAQMPLADAANGESSAEQALIGQSQRESAFPAGPSNLSLPQFSHYVFAPFQVPGANADHGDRLGNMPNSELEAQKKLLQHQIEALQRQLQLLHQPRPEGSVHMDHPTPSSESKGKTVATSSSSSDSGHCGESDV >Manes.09G124700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32684790:32692910:-1 gene:Manes.09G124700.v8.1 transcript:Manes.09G124700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRTYVSLSLVATVAALYHAFSSRGQFYPAMVYLSTSKINLVLLLNMGLAIMCILWQLTKWVFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVAALLLIKALHWLAQKRVEYIETTPSVPMLSHIRIVSFLGFLLLLDSMFLYSSIKYLIETRQASVSLFFSFEYMILATTTVSTFIKYIFYVSDMLLEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKLRVADFIRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKRLNCGHLFHVHCLRSWLERQHTCPTCRALVVPPENVTSAAGAQSGSQSDTHLQGTGTTSTGLHGSVGSMPNNHLSQHQARLQAAAAAAAVYEKSFVYPSANTLAWSLGYTMLPCAQMPLADAANGESSAEQALIGQSQRESAFPAGPSNLSLPQFSHYVFAPFQVPGANADHGDRLGNMPNSELEAQKKLLQHQIEALQRQLQLLHQPRPEGSVHMDHPTPSSESKGKTVATSSSSSDSGHCGESDV >Manes.09G124700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32684790:32692143:-1 gene:Manes.09G124700.v8.1 transcript:Manes.09G124700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRTYVSLSLVATVAALYHAFSSRGQFYPAMVYLSTSKINLVLLLNMGLAIMCILWQLTKWVFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVAALLLIKALHWLAQKRVEYIETTPSVPMLSHIRIVSFLGFLLLLDSMFLYSSIKYLIETRQASVSLFFSFEYMILATTTVSTFIKYIFYVSDMLLEGQWERKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKLRVADFIRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKRLNCGHLFHVHCLRSWLERQHTCPTCRALVVPPENVTSAAGAQSGSQSDTHLQGTGTTSTGLHGSVGSMPNNHLSQHQARLQAAAAAAAVYEKSFVYPSANTLAWSLGYTMLPCAQMPLADAANGESSAEQALIGQSQRESAFPAGPSNLSLPQFSHYVFAPFQVPGANADHGDRLGNMPNSELEAQKKLLQHQIEALQRQLQLLHQPRPEGSVHMDHPTPSSESKGKTVATSSSSSDSGHCGESDV >Manes.05G099550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9273577:9276737:-1 gene:Manes.05G099550.v8.1 transcript:Manes.05G099550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNHLTGIPPPPAETDLAIYLWQTNDSIVRGWLIQTAIWAEIDERQSTKIERDNNILIRNQEIQDERLYLFLAGVQSDLDPVRREILNEEPLPTLDNAYSQLRGEKLRGAIHLPLPSPAILGSDLVGAGLLTKNRSDTDKSSLRDDKSGIKCTYCSGSRHTRDGCFKIIGYPEWWEENKTRKKKGRGQGVGNMAAVAASGNQKAVCNNNLIGQTEEDSSDGQSLGVAAAL >Manes.01G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27347246:27354771:1 gene:Manes.01G071800.v8.1 transcript:Manes.01G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARETVSSSGGGGVTVVGSDVPSEYQIAPRSADNPSSAPGSAPPPPPPPAVVPPPSTAATMPLKKKRGRPRKYGPDGSVTMALSPKPISSAAPALPPVIDFSVEKQRKIKPVSKAKYVLENLGEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTESGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQKPKKQKPDSISISTVVSPTIAVPISTADPKSNLSTAAVPISTADPKPNLSSPTFRGTADPKPNLSSPTFHGDSWSPLPSDSRNKPTDINVSLPAG >Manes.02G042400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3468303:3469581:-1 gene:Manes.02G042400.v8.1 transcript:Manes.02G042400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETQVASALELIRQHLLGDLLSPVPTSSSSSTATNSLTSLQHPSSHCSQNSSSDSAISVSDYFIHDDFFEFERKPQIIDLITPKSSAPTTSFEFGSRSQLSDDFFDFEANSQIPQSSEIESTIDLFYFNIKPQIHPPDSGFQTSRKPSLKISLPNKTNWIGFVKPDQKPVSSNLGIAAAAAEEKRHYRGVRQRPWGKYAAEIRDPNQKGTRVWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGKLNTRADERNDIDSRTKEGNERKRTRETENVESEAKKMTRREETETAFWCNGDLDLNGGFNVAPLSPLSSHPQLGYPQLMVI >Manes.01G149900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33887231:33888885:-1 gene:Manes.01G149900.v8.1 transcript:Manes.01G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDINLASLHDHSSGDQDIAVAPTRHNQHRNPNFILQDFFARPFSKDPQTRRVSAHAQGDPALYGSPVPPPATVLSLNTGPGFDFLDNSDYPLRPTSHLPSHPVSSFNSPFEALDSSSFSKKRVQESDNSSCDRRHKRMIKNRESAARSRAYTNELELAIEHLMEENARLKRQQEELLLAASTQHPKKHTLHRTSTAPF >Manes.01G149900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33887231:33888885:-1 gene:Manes.01G149900.v8.1 transcript:Manes.01G149900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWKDINLASLHDHSSGDQDIAVAPTRHNQHRNPNFILQDFFARPFSKDPQTRRVSAHAQGDPALYGSPVPPPATVLSLNTGPGFDFLDNSDYPLRPTSHLPSHPVSSFNSPFEALDSSSFSKKRVQESDNSSCDRRHKRMIKNRESAARSRARKQAYTNELELAIEHLMEENARLKRQQEELLLAASTQHPKKHTLHRTSTAPF >Manes.03G027100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2167291:2168835:-1 gene:Manes.03G027100.v8.1 transcript:Manes.03G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFIKGVYNSPSGARIIGGTQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYVRQKEDPWNSIFAGAATGGFLSMRQGLGASARSAVFGGILLALIEGAGIMLNKVMSAQQSMPIMIDESVPAMAGGPGFPMGLPGQSQPQVQPVQEAASTSGSESGSWFGGWFGGGKKEPAPSSESKTEILESFDAPPVPNFEYK >Manes.13G049400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5645218:5650245:1 gene:Manes.13G049400.v8.1 transcript:Manes.13G049400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGSHFVPRHHMAVVSQYGYHSAGAERMAGPAAVAAVKKGRKEFYEDEYDDDEVIGGGSSGGKKARREGFDGYGYGAGGKVGLAGALDKKIDGRSRVDRDSDNEQLMQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHAELVEQFSNVWHHTRVRRYLTSEDWPGPESKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >Manes.13G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5645218:5650245:1 gene:Manes.13G049400.v8.1 transcript:Manes.13G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGSHFVPRHHMAVVSQYGYHSAGAERMAGPAAVAAVKKGRKEFYEDEYDDDEVIGGGSSGGKKARREGFDGYGYGAGGKVGLAGALVHADKKIDGRSRVDRDSDNEQLMQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHAELVEQFSNVWHHTRVRRYLTSEDWPGPESKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >Manes.02G067600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5181046:5183124:-1 gene:Manes.02G067600.v8.1 transcript:Manes.02G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLDVFLGRKFKISRFKTLAKLAISRVAIFRNQRQVRFSHAKSDVVELLKLGHQERALLRVEHVIKEQNMIDAFAMIEDYCYLLIDRVILLKKDKECPDELKEAISSLIFASSRCGEFPELQEIRAIFVSRFGREFAACSVELRNNCGVNPKIIQKLSARRPSLEIRLKVLKDTASENGIVLNLVEDAPVVVEEKLDVNQKQQESYISAKLEDTEPEDETHVLPEEVNPDEMLSESLKAGKKYRDVAAAALEAFQSAAYAAAAARAAVELSRSESQDTDQDYQGTKYELEDTKNSNNPLMFQKIHPTDNTSSESEGEYHPKELGSGKNKPGVIDRTPSTSSSDSDRNIPSLKHQDLDSDTMASFPTDDIQNIGQYNADREEYSSDEDGNKSTYRSTKCVLLKTQSDSTEGKSKLKGTHREILAERLNSQHAGLSMRTRREYRGAEK >Manes.10G076100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13838909:13842842:1 gene:Manes.10G076100.v8.1 transcript:Manes.10G076100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTFESKLCSLRKKKGNAIPAKNRSLCKLDATKKNHVGLFDFGSVSWIWDRKNDDKIEKLSLVKDCISELPDDVLVAILSRLKLKEAARTSVLSKRWRYLWAFSQGILEFDVSDFRSLEVSVNNFLNSHRAPTLDELRIRFIRLGVYWWHFGGQFYSWTNVVIGKRVKKLVLDFRNSGFYPSEYDHSLGSSSFSSLVSLHMTHNNASPLLIPYFLSNSPLLEELCVTGSCHLDHLRICGSSLKLKHLDLRYDRIQILEISAPNLESFQYHESFHSHELFLYHESFWNPEYEERTSIFFYDVPRLTHVSFRGRYCNKLLAENLLDLSSFVTQLKSLVLVISGKHKFMRNFSQFPTMENLEYLQLSLSKDTDESFLIYFCLLEASPSLRRSSLKLGWSNYGDIKLEFTRSPEFTRRPKHQCLEVLEIVGFREDYNHDKLVIHLAEYAPFLEKIIIDPNRYGCADISIHQAQKLQSSLPPSVELEILCYGLFRGDHMREESSTSPRGVSNDNTILDQSQVCRNTLDGFQYFG >Manes.10G076100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13838909:13842842:1 gene:Manes.10G076100.v8.1 transcript:Manes.10G076100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTFESKLCSLRKKKGNAIPAKNRSLCKLDATKKNHVGLFDFGSVSWIWDRKNDDKIEKLSLVKDCISELPDDVLVAILSRLKLKEAARTSVLSKRWRYLWAFSQGILEFDVSDFRSLEVSVNNFLNSHRAPTLDELRIRFIRLGVYWWHFGGQFYSWTNVVIGKRVKKLVLDFRNSGFYPSEYDHSLGSSSFSSLVSLHMTHNNASPLLIPYFLSNSPLLEELCVTGSCHLDHLRICGSSLKLKHLDLRYDRIQILEISAPNLESFQYHESFHSHELFLYHESFWNPEYEERTSIFFYDVPRLTHVSFRGRYCNKLLAENLLDLSSFVTQLKSLVLVISGKHKFMRNFSQFPTMENLEYLQLSLSKDTDESFLIYFCLLEASPSLRRSSLKLGWSNYGDIKLEFTRSPEFTRRPKHQCLEVLEIVGFREDYNHDKLVIHLAEYAPFLEKIIIDPNRYGCADISIHQAQKLQSSLPPSVELEILCYGLFRGDHMREESSTSPRGVSNDNTILDQSQVCRNTLDGFQYFG >Manes.02G026600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2251559:2256369:-1 gene:Manes.02G026600.v8.1 transcript:Manes.02G026600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQIPASHYHLAIANKNQMGKASKWFRAVLGLKKPEPLPSQQQQQQTTGRPKEKRRWSFVKSYREKDHPQQHVKHGVSDDQEAPVMVQCSSEYEEDPNKHAIAVAAATAAVAEAAVAAAHAAAEVVRLTSSGRCANSPAVTYDNGSQAWLEDLAAVKIQSAFRGYLARRALRALKALVRLQALVRGHIERKRTKEWVHRMQALLRAQARARTGRIQHSESSHSSCKSSHFHHPGPPTPEKFEHVTRPSSGKYEQSSILKRTGSKSNGRAISDDDKAHVSFNWSERGMDESSWEQIVPFARTGTIDDEKSDKILEIDTGRPHFTPKRRNLFHSSHLALAPVQYSYSFTTSKDSMNHRTVPSPSSGEVQSFTRENEEAFCTAENSPQFYSASSRGGSGRRSPFTPSKSDGSASFLSGYSDYYPNYMSYTESSRAKVRSLSAPKQRPQYERSSSTKRYYIHGFGEPRSSSAQRASAVRASFTSKAYPGSGRLDRLGMPVGQRF >Manes.14G121800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11766997:11790871:1 gene:Manes.14G121800.v8.1 transcript:Manes.14G121800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVFRIGGLHQVSWFQFLPNESDLNSLLDKSVKLEQKDAATWLVLWSHLQLQKDGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSTVVEKAQAAVSRLRVVASGIWVAPGDNEEVAVALSQSLRNCIEKALVGLSYMRFGDVFSKHHPSQSEGLFRRQPTVEFIFAATEEAIFVHVRISAKHIRPLATGDIERVSKYSANNSGYRLPVIVAPHGMRGWLTGCCPNDLVKQVYFSSSGKFRTSNGFIGIPNHFSQGHGCLLRGKNCYVEVTLGCPRSENEKGLQSNSHSIRNLPKNHVVESPAVGRGDHKGSSVQTSLHEKAFIYPAEAVVVPVLQTSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDIDNIEGSWIESNGMQHGYNSSSNSNSSSIGSISSSSSDSDRRMTMEGGDLEADADSLSCRQSGLSSNDHLENDGPKLGSKRPHTGVAESFGQMGTIKNASMQDVYKSDFGSFDVGNSAITGVANEQIGSHLDWDDDDRGMGINIQALLSEFGDFDDFFENDALPFGEPPGTAESQALMFSAPDCGEVVSSPIGVMDVADHMLLPVGFSSFESFNPPPTGVLEECMSKSQEIPIDALTSLPVNHIPPSSAGEFDHLIKAEALMSFAPEYGAVETSTSEFSSSIFRSTYCPKSRKVESSNSSTNNYTYSATPPSSPCLDGSDEKSGMPVNAKAGVRMDIKKYYTHVETVREQPNRKSVTQSEGLATSEGLVPSSFSTFNSTNVVKSVQRKMAEATVGSENFLLSLKTVLATEVECLMFQATMCRVRHVLLSSCNPAPISLSRLSSSTVLSELPGDGSTMTDNISSRYEVKKKESIPVRIAGDIDGVLDGHLNAPVGVWRSVSVPKVAKPSSSPSIEVSQSLPHHSLNEERMLSYKHRQPLQELLDGMALLVQQATSFVDVALDADCSDGPYGWLALQEHWRRGFSCGPSMVHAGCGGTLASCHSLDIAGMQLVDPLSSDIHASSVISLLQSEIKTALKSAFENLDGPLSVTDWCRGRSQSGDGGIACDGSLAESTLSECKDSSSTVCLSVGEPMSPAQSSAGASSCLKVAAVDGAKADDSGQRRLSQEIESDQLSCSRRPSLFVLPSPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPISYCVICPDIDPLTSAAADFFQQLGTVYEICKLGTHQPQSLGNQMEIDSGKLSTSGFVMLDCPQSMKIESSNTSLVGSISDYFLSLSNGWDLTSYLKSLSKAVKSLKIGPCVSTNTKEGNSGPCMVIYVVCPFPEPIAVLQTIIESSVAVGSTILPSDRERRPLLLSQVGKALSSSAVVDEASASNVLVLSGFNVPKLVLQIVTVDAIFRVTSPALNELVILKETAFTVYNKARRISKGSSYDIQSSTLSSRSHPVMAQMTSIPAMWKDCVGPRLGGPSLPREGEIDAGLRPGTWDNSWQTTRAGGLNCDPNRNGDLFHQDEIHYMFEPLFILSEPGSLEHAAAPAVFSNLTAESSKLLSDDSSGGFMQSGSSAVSADAGSGSQLDGLEPDGFGGSYQKTLPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQACSSHDIGSAKPRDFVITRIGNFFELECLEWQKAIYSVGGSEVKKWPLQLRRSMPDGMSASSNGATLQQQEMSLMQERTLPSSPSPMYSPHSKGSGFMKGGLGQSSARKQLIGGHTVDNSRGMLQWVQSISFVAISIDHSLHLVYQADSTSPGGTQGSNGVGAYLEGFTPVKSLGSSSASYILIPSPSMRFLPSTPLQLPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRKDYRSNSREEWPSVLSVSLIDYYGGNNITQEKISRGIMKQGPRPLSSEVRDFEIEIHLILESLAAELHALSWMTVSPAYLERRTALPCHCDMVLRLRRLLHFADKELSSQPDKSQM >Manes.14G121800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11766997:11790871:1 gene:Manes.14G121800.v8.1 transcript:Manes.14G121800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVFRIGGLHQVSWFQFLPNESDLNSLLDKSVKLEQKDAATWLVLWSHLQLQKDGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSTVVEKAQAAVSRLRVVASGIWVAPGDNEEVAVALSQSLRNCIEKALVGLSYMRFGDVFSKHHPSQSEGLFRRQPTVEFIFAATEEAIFVHVRISAKHIRPLATGDIERVSKYSANNSGYRLPVIVAPHGMRGWLTGCCPNDLVKQVYFSSSGKFRTSNGFIGIPNHFSQGHGCLLRGKNCYVEVTLGCPRSENEKGLQSNSHSIRNLPKNHVVESPAVGRGDHKGSSVQTSLHEKAFIYPAEAVVVPVLQTSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDIDNIEGSWIESNGMQHGYNSSSNSNSSSIGSISSSSSDSDRRMTMEGGDLEADADSLSCRQSGLSSNDHLENDGPKLGSKRPHTGVAESFGQMGTIKNASMQDVYKSDFGSFDVGNSAITGVANEQIGSHLDWDDDDRGMGINIQALLSEFGDFDDFFENDALPFGEPPGTAESQALMFSAPDCGEVVSSPIGVMDVADHMLLPVGFSSFESFNPPPTGVLEECMSKSQEIPIDALTSLPVNHIPPSSAGEFDHLIKAEALMSFAPEYGAVETSTSEFSSSIFRSTYCPKSRKVESSNSSTNNYTYSATPPSSPCLDGSDEKSGMPVNAKAGVRMDIKKYYTHVETVREQPNRKSVTQSEGLATSEGLVPSSFSTFNSTNVVKSVQRKMAEATVGSENFLLSLKTVLATEVECLMFQATMCRVRHVLLSSCNPAPISLSRLSSSTVLSELPGDGSTMTDNISSRYEVKKKESIPVRIAGDIDGVLDGHLNAPVGVWRSVSVPKVAKPSSSPSIEVSQSLPHHSLNEERMLSYKHRQPLQELLDGMALLVQQATSFVDVALDADCSDGPYGWLALQEHWRRGFSCGPSMVHAGCGGTLASCHSLDIAGMQLVDPLSSDIHASSVISLLQSEIKTALKSAFENLDGPLSVTDWCRGRSQSGDGGIACDGSLAESTLSECKDSSSTVCLSVGEPMSPAQSSAGASSCLKVDGAKADDSGQRRLSQEIESDQLSCSRRPSLFVLPSPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPISYCVICPDIDPLTSAAADFFQQLGTVYEICKLGTHQPQSLGNQMEIDSGKLSTSGFVMLDCPQSMKIESSNTSLVGSISDYFLSLSNGWDLTSYLKSLSKAVKSLKIGPCVSTNTKEGNSGPCMVIYVVCPFPEPIAVLQTIIESSVAVGSTILPSDRERRPLLLSQVGKALSSSAVVDEASASNVLVLSGFNVPKLVLQIVTVDAIFRVTSPALNELVILKETAFTVYNKARRISKGSSYDIQSSTLSSRSHPVMAQMTSIPAMWKDCVGPRLGGPSLPREGEIDAGLRPGTWDNSWQTTRAGGLNCDPNRNGDLFHQDEIHYMFEPLFILSEPGSLEHAAAPAVFSNLTAESSKLLSDDSSGGFMQSGSSAVSADAGSGSQLDGLEPDGFGGSYQKTLPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQACSSHDIGSAKPRDFVITRIGNFFELECLEWQKAIYSVGGSEVKKWPLQLRRSMPDGMSASSNGATLQQQEMSLMQERTLPSSPSPMYSPHSKGSGFMKGGLGQSSARKQLIGGHTVDNSRGMLQWVQSISFVAISIDHSLHLVYQADSTSPGGTQGSNGVGAYLEGFTPVKSLGSSSASYILIPSPSMRFLPSTPLQLPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRKDYRSNSREEWPSVLSVSLIDYYGGNNITQEKISRGIMKQGPRPLSSEVRDFEIEIHLILESLAAELHALSWMTVSPAYLERRTALPCHCDMVLRLRRLLHFADKELSSQPDKSQM >Manes.14G121800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11766997:11790871:1 gene:Manes.14G121800.v8.1 transcript:Manes.14G121800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVFRIGGLHQVSWFQFLPNESDLNSLLDKSVKLEQKDAATWLVLWSHLQLQKDGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSTVVEKAQAAVSRLRVVASGIWVAPGDNEEVAVALSQSLRNCIEKALVGLSYMRFGDVFSKHHPSQSEGLFRRQPTVEFIFAATEEAIFVHVRISAKHIRPLATGDIERVSKYSANNSGYRLPVIVAPHGMRGWLTGCCPNDLVKQVYFSSGKFRTSNGFIGIPNHFSQGHGCLLRGKNCYVEVTLGCPRSENEKGLQSNSHSIRNLPKNHVVESPAVGRGDHKGSSVQTSLHEKAFIYPAEAVVVPVLQTSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDIDNIEGSWIESNGMQHGYNSSSNSNSSSIGSISSSSSDSDRRMTMEGGDLEADADSLSCRQSGLSSNDHLENDGPKLGSKRPHTGVAESFGQMGTIKNASMQDVYKSDFGSFDVGNSAITGVANEQIGSHLDWDDDDRGMGINIQALLSEFGDFDDFFENDALPFGEPPGTAESQALMFSAPDCGEVVSSPIGVMDVADHMLLPVGFSSFESFNPPPTGVLEECMSKSQEIPIDALTSLPVNHIPPSSAGEFDHLIKAEALMSFAPEYGAVETSTSEFSSSIFRSTYCPKSRKVESSNSSTNNYTYSATPPSSPCLDGSDEKSGMPVNAKAGVRMDIKKYYTHVETVREQPNRKSVTQSEGLATSEGLVPSSFSTFNSTNVVKSVQRKMAEATVGSENFLLSLKTVLATEVECLMFQATMCRVRHVLLSSCNPAPISLSRLSSSTVLSELPGDGSTMTDNISSRYEVKKKESIPVRIAGDIDGVLDGHLNAPVGVWRSVSVPKVAKPSSSPSIEVSQSLPHHSLNEERMLSYKHRQPLQELLDGMALLVQQATSFVDVALDADCSDGPYGWLALQEHWRRGFSCGPSMVHAGCGGTLASCHSLDIAGMQLVDPLSSDIHASSVISLLQSEIKTALKSAFENLDGPLSVTDWCRGRSQSGDGGIACDGSLAESTLSECKDSSSTVCLSVGEPMSPAQSSAGASSCLKVAAVDGAKADDSGQRRLSQEIESDQLSCSRRPSLFVLPSPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPISYCVICPDIDPLTSAAADFFQQLGTVYEICKLGTHQPQSLGNQMEIDSGKLSTSGFVMLDCPQSMKIESSNTSLVGSISDYFLSLSNGWDLTSYLKSLSKAVKSLKIGPCVSTNTKEGNSGPCMVIYVVCPFPEPIAVLQTIIESSVAVGSTILPSDRERRPLLLSQVGKALSSSAVVDEASASNVLVLSGFNVPKLVLQIVTVDAIFRVTSPALNELVILKETAFTVYNKARRISKGSSYDIQSSTLSSRSHPVMAQMTSIPAMWKDCVGPRLGGPSLPREGEIDAGLRPGTWDNSWQTTRAGGLNCDPNRNGDLFHQDEIHYMFEPLFILSEPGSLEHAAAPAVFSNLTAESSKLLSDDSSGGFMQSGSSAVSADAGSGSQLDGLEPDGFGGSYQKTLPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQACSSHDIGSAKPRDFVITRIGNFFELECLEWQKAIYSVGGSEVKKWPLQLRRSMPDGMSASSNGATLQQQEMSLMQERTLPSSPSPMYSPHSKGSGFMKGGLGQSSARKQLIGGHTVDNSRGMLQWVQSISFVAISIDHSLHLVYQADSTSPGGTQGSNGVGAYLEGFTPVKSLGSSSASYILIPSPSMRFLPSTPLQLPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRKDYRSNSREEWPSVLSVSLIDYYGGNNITQEKISRGIMKQGPRPLSSEVRDFEIEIHLILESLAAELHALSWMTVSPAYLERRTALPCHCDMVLRLRRLLHFADKELSSQPDKSQM >Manes.14G121800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11766997:11790871:1 gene:Manes.14G121800.v8.1 transcript:Manes.14G121800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVFRIGGLHQVSWFQFLPNESDLNSLLDKSVKLEQKDAATWLVLWSHLQLQKDGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRHSTVVEKAQAAVSRLRVVASGIWVAPGDNEEVAVALSQSLRNCIEKALVGLSYMRFGDVFSKHHPSQSEGLFRRQPTVEFIFAATEEAIFVHVRISAKHIRPLATGDIERVSKYSANNSGYRLPVIVAPHGMRGWLTGCCPNDLVKQVYFSSGKFRTSNGFIGIPNHFSQGHGCLLRGKNCYVEVTLGCPRSENEKGLQSNSHSIRNLPKNHVVESPAVGRGDHKGSSVQTSLHEKAFIYPAEAVVVPVLQTSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDIDNIEGSWIESNGMQHGYNSSSNSNSSSIGSISSSSSDSDRRMTMEGGDLEADADSLSCRQSGLSSNDHLENDGPKLGSKRPHTGVAESFGQMGTIKNASMQDVYKSDFGSFDVGNSAITGVANEQIGSHLDWDDDDRGMGINIQALLSEFGDFDDFFENDALPFGEPPGTAESQALMFSAPDCGEVVSSPIGVMDVADHMLLPVGFSSFESFNPPPTGVLEECMSKSQEIPIDALTSLPVNHIPPSSAGEFDHLIKAEALMSFAPEYGAVETSTSEFSSSIFRSTYCPKSRKVESSNSSTNNYTYSATPPSSPCLDGSDEKSGMPVNAKAGVRMDIKKYYTHVETVREQPNRKSVTQSEGLATSEGLVPSSFSTFNSTNVVKSVQRKMAEATVGSENFLLSLKTVLATEVECLMFQATMCRVRHVLLSSCNPAPISLSRLSSSTVLSELPGDGSTMTDNISSRYEVKKKESIPVRIAGDIDGVLDGHLNAPVGVWRSVSVPKVAKPSSSPSIEVSQSLPHHSLNEERMLSYKHRQPLQELLDGMALLVQQATSFVDVALDADCSDGPYGWLALQEHWRRGFSCGPSMVHAGCGGTLASCHSLDIAGMQLVDPLSSDIHASSVISLLQSEIKTALKSAFENLDGPLSVTDWCRGRSQSGDGGIACDGSLAESTLSECKDSSSTVCLSVGEPMSPAQSSAGASSCLKVDGAKADDSGQRRLSQEIESDQLSCSRRPSLFVLPSPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPISYCVICPDIDPLTSAAADFFQQLGTVYEICKLGTHQPQSLGNQMEIDSGKLSTSGFVMLDCPQSMKIESSNTSLVGSISDYFLSLSNGWDLTSYLKSLSKAVKSLKIGPCVSTNTKEGNSGPCMVIYVVCPFPEPIAVLQTIIESSVAVGSTILPSDRERRPLLLSQVGKALSSSAVVDEASASNVLVLSGFNVPKLVLQIVTVDAIFRVTSPALNELVILKETAFTVYNKARRISKGSSYDIQSSTLSSRSHPVMAQMTSIPAMWKDCVGPRLGGPSLPREGEIDAGLRPGTWDNSWQTTRAGGLNCDPNRNGDLFHQDEIHYMFEPLFILSEPGSLEHAAAPAVFSNLTAESSKLLSDDSSGGFMQSGSSAVSADAGSGSQLDGLEPDGFGGSYQKTLPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQACSSHDIGSAKPRDFVITRIGNFFELECLEWQKAIYSVGGSEVKKWPLQLRRSMPDGMSASSNGATLQQQEMSLMQERTLPSSPSPMYSPHSKGSGFMKGGLGQSSARKQLIGGHTVDNSRGMLQWVQSISFVAISIDHSLHLVYQADSTSPGGTQGSNGVGAYLEGFTPVKSLGSSSASYILIPSPSMRFLPSTPLQLPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRKDYRSNSREEWPSVLSVSLIDYYGGNNITQEKISRGIMKQGPRPLSSEVRDFEIEIHLILESLAAELHALSWMTVSPAYLERRTALPCHCDMVLRLRRLLHFADKELSSQPDKSQM >Manes.03G089535.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19733533:19738387:-1 gene:Manes.03G089535.v8.1 transcript:Manes.03G089535.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKHVRKLQVVSPVPADIDIANSVEPFHISEIAKDLNLSPNHYDLYGKYKAKVLLSVVDEFKGSADGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESSQSDKALLNRLCPPNKEGKKSFSDIMFRRLNKLGITKTKPEDLTPQEVKKFARLDIDPDSITWRRVMDVNDRFLRKITIGQGPEEKGMFRETGFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGSDIGTEKFMNIKCRYSGLMPQCAIIVATIRALKMHGGGPEVVAGKPLDRAYTTENVALVEAGCVNLARHILNTKSYGVNVVVAVNMFATDTEAELNAVKSAALAAGAYDAVTCTHHAHGGKGAVDLGIAVQRACENVTQPLKFLYPLDISIKEKIEAIARSYGANGVEYSEQAEKQIEMYSRQGFSGLPICMAKTQYSFSHNAAEKGAPTGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDVDTATGKVIGLS >Manes.07G122500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32642065:32643844:1 gene:Manes.07G122500.v8.1 transcript:Manes.07G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKRQQSGASSFDHIFGPRGSSSSSSSLFGSIFSSSSTGLGRDSSGIMGNQGGNSKYGNNPDNSSQSNKGGSIGKDTSCVYENETPEPCYFSSSIYYGGQENYSPRTKNSESHHILKKDDKTDDPNGNNSNSASRGNWWQGSLYY >Manes.02G113200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8733686:8734465:1 gene:Manes.02G113200.v8.1 transcript:Manes.02G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQKDHSDQPEKSSTQQPQNGVDENVDAGSLPSNVGPVSRAEVPPIPAVTIQTARIVPPSFYTTPPPAAGGGGGVTAGASGSREGSRAKKGRSFDPRASSSGGLFFRFLKPKRRIDARAPPVCAVCRRRFPSYYSLFGHLRSHDKREWGGAFPPPRYNPDWVRRGGDDADQQEPPAANQIVIEQEVIPALLGAAQETLARMNQDAQIAPREFDLNVHPQDEAGPSSAGNAETTGLDLNFPPPKEEEEGNDDDEDAPAA >Manes.11G152113.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31641328:31642056:-1 gene:Manes.11G152113.v8.1 transcript:Manes.11G152113.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPQEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPRRPSIFHRASPLHGHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGRLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNRRRMST >Manes.14G047200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4089168:4092033:-1 gene:Manes.14G047200.v8.1 transcript:Manes.14G047200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLYMSDPSGNYGGWKAGAIGANNQAAQSMLKQDYKDEITREEAVQLALKVLSKTMDSTSLTSDKLELAEVFLLPSGEVKYQVRSPDSLSKLLVKFGVTQAPAEAS >Manes.04G020000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2448924:2454323:1 gene:Manes.04G020000.v8.1 transcript:Manes.04G020000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHASVHPVEDPPTTDGGNNNNNAPRVRMKDIQGMPGTKAGLTLRVFQFFFAAAALAVMAATSDFPSVTAFRYLVAAAGLQCLWSLTLAIVDIYALLVMRSLQNYRVVSLFAFGDGITSTLTFAAACASAGITVLIDNDLGSCSQNHCVQFETSTAMAFISWFTALPSFLLNFWSLASR >Manes.04G020000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2448873:2453698:1 gene:Manes.04G020000.v8.1 transcript:Manes.04G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHASVHPVEDPPTTDGGNNNNNAPRVRMKDIQGMPGTKAGLTLRVFQFFFAAAALAVMAATSDFPSVTAFRYLVAAAGLQCLWSLTLAIVDIYALLVMRSLQNYRVVSLFAFGDGITSTLTFAAACASAGITVLIDNDLGSCSQNHCVQFETSTAMAFISWFTALPSFLLNFWSLASR >Manes.05G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15932559:15935107:-1 gene:Manes.05G119600.v8.1 transcript:Manes.05G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNSYVVIKAHIDGSPKESDFELKSESISLLLDSGSNDVIVKNLFVSIDPYQLNRMKSQSSSQMYCTLAAAINPGQAIDAYGVGKVLVSGNPEFQKDDLVVGFITWGEYSVMKPGGMLRKLDPMGFPLSHHLGILGLSGLTAYAGFFEVCKPKKGEKVFVSAASGSVGNLVGQYAKLFGCYVVGSTGSKEKVQLLKENLGFDDAFNYKEQTDLKATLKKYFPDGIDIYFDNVGAEMQEAAIANMNVFGRVAVCGVISEYTDRGRKAAPDMIDIIYRRIKIQGFLASDFLNVYSDFISTTCDYLQASKMHILEDISTGVEIIPSSLIGLFRGHNVGKKMVQLADCLIDAHI >Manes.13G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29231499:29236256:1 gene:Manes.13G099700.v8.1 transcript:Manes.13G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTRGGLPGILVLLLPLLLPGFLSSLSYASPSKFWELNVAKPRHSSLLKSALQRETSHGKQSYLWDPLADQGWRPCVQSTPSALPVKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHFEVNPVWQDSSSFMDIFDVDHFIDVLKDDISIVKELPDEFSWSTREYYATAIRATRIKTAPIHASANWYLDNVLPVLQSYGIAAISPFSHRLTFDSLPMDIQRLRCKVNFQALVFVPHIRALGDALVSRLRYPSKGTEVVNTGYLRETSHVTDENRARKFVVIHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDIELRSQGRCPLTPEEIGLLVAALGFDNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASSEERTQIKGKASLLAAVDYYVGLHSDIFISASPGNMHNAMLGHRTYKNMKTIRPNMALMGQLFLNKSISWPDFQQAVLEGHENRQGEIRLRKPKQSIYTYPAPDCMCEA >Manes.13G099700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29231499:29236256:1 gene:Manes.13G099700.v8.1 transcript:Manes.13G099700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTRGGLPGILVLLLPLLLPGFLSSLSYASPSKFWELNVAKPRHSSLLKSALQRETSHGKQSYLWDPLADQGWRPCVQSTPSALPVKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHFEVNPVWQDSSSFMDIFDVDHFIDVLKDDISIVKELPDEFSWSTREYYATAIRATRIKTAPIHASANWYLDNVLPVLQSYGIAAISPFSHRLTFDSLPMDIQRLRCKVNFQALVFVPHIRALGDALVSRLRYPSKGTEVVNTGYLRETSHVTDENRARKFVVIHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDIELRSQGRCPLTPEEIGLLVAALGFDNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASSEERTQIKGKASLLAAVDYYVGLHSDIFISASPGNMHNAMLGHRTYKNMKTIRPNMALMGQLFLNKSISWPDFQQAVLEGHENRQGEIRLRKPKQSIYTYPAPDCMCEA >Manes.13G099700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29231499:29236256:1 gene:Manes.13G099700.v8.1 transcript:Manes.13G099700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTRGGLPGILVLLLPLLLPGFLSSLSYASPSKFWELNVAKPRHSSLLKSALQRETSHGKQSYLWDPLADQGWRPCVQSTPSLPVKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHFEVNPVWQDSSSFMDIFDVDHFIDVLKDDISIVKELPDEFSWSTREYYATAIRATRIKTAPIHASANWYLDNVLPVLQSYGIAAISPFSHRLTFDSLPMDIQRLRCKVNFQALVFVPHIRALGDALVSRLRYPSKGTEVVNTGYLRETSHVTDENRARKFVVIHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDIELRSQGRCPLTPEEIGLLVAALGFDNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASSEERTQIKGKASLLAAVDYYVGLHSDIFISASPGNMHNAMLGHRTYKNMKTIRPNMALMGQLFLNKSISWPDFQQAVLEGHENRQGEIRLRKPKQSIYTYPAPDCMCEA >Manes.13G099700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29231499:29236256:1 gene:Manes.13G099700.v8.1 transcript:Manes.13G099700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTRGGLPGILVLLLPLLLPGFLSSLSYASPSKFWELNVAKPRHSSLLKSALQRETSHGKQSYLWDPLADQGWRPCVQSTPSLPVKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHFEVNPVWQDSSSFMDIFDVDHFIDVLKDDISIVKELPDEFSWSTREYYATAIRATRIKTAPIHASANWYLDNVLPVLQSYGIAAISPFSHRLTFDSLPMDIQRLRCKVNFQALVFVPHIRALGDALVSRLRYPSKGTEVVNTGYLRETSHVTDENRARKFVVIHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDIELRSQGRCPLTPEEIGLLVAALGFDNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASSEERTQIKGKASLLAAVDYYVGLHSDIFISASPGNMHNAMLGHRTYKNMKTIRPNMALMGQLFLNKSISWPDFQQAVLEGHENRQGEIRLRKPKQSIYTYPAPDCMCEA >Manes.06G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21051612:21052111:1 gene:Manes.06G074700.v8.1 transcript:Manes.06G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKPEKPAAATPLKKEPAKSAPKAPASNPAPKKAEQKPREPKKKGTASKAAAAK >Manes.01G218600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38762016:38765109:1 gene:Manes.01G218600.v8.1 transcript:Manes.01G218600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRTLDSRHSIDSCAFQLHSWRPFHLQPLDSDPPKPYSTNALHSHSLTKRPCLSDRATSFPVDSIDISKLSLIDDDKPIGVGASPYKRGSLRLIARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAHGTCSDFPLAVGTDSSGELFVNGDANWASDVSEAKNSSRREREREREDKENLGVGVGFGQFGNFDAQGNESGYGSEPGYRGDAEFGYEDELDEEEEDARLLFWGDQFGGDTKMEMVGENTFLDQKVHYRCRRKKHDCRMVDSLR >Manes.10G131606.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29726008:29727093:1 gene:Manes.10G131606.v8.1 transcript:Manes.10G131606.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLWRNGPPEKPVLCNACGSRYRIRGTLANYTPKHAQGQPLAKRLRTISKCSFKTEENYSSSDDDDSTQSAISCSLSSCSFSGIQESFWKSCIPSRKRSLYVQRSLTPIERFQRELRNILVHDPLISSENEDDILIYNSNHLRVSRNEIGLGSFLLKPNTAASS >Manes.15G186800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27079593:27085522:-1 gene:Manes.15G186800.v8.1 transcript:Manes.15G186800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKLIKEVGDGTFGSVWRAINKQSGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLKEVIRENDVLYFVFEYMECNLYQLIKDREKLFSEAEVRNWCFQVFQGLSYMHQRGYFHRDLKPENLLVTKHQIKIADFGLAREIDSEPPYTEYVSTRWYRAPEVLLQSYLYSSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICNIIGSPTKDSWADGLNLARAINYQFPQSVGVHLSALIPSASRDATNLIEMLCSWDPCKRPTAGEALQHPFFQSCFYVPPTLRSRAPASRTPPSAGTKATLEQQCARRNPVVLSNSKVSHSFQSPKFNASLSTGAPRKLDMVSQDASRNDKSFKSATKQQIYKPPGKKSPTAINKARAARGFSDAADKFSSMTISSHRKIGSQAKPPPMKAGVYWTADSGDMFLRPTHQFQPGRTYTRKVVG >Manes.17G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31139517:31143475:-1 gene:Manes.17G104000.v8.1 transcript:Manes.17G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGLPLFFVLNLFLMLVPHHAYGFWDPSPDVGLCESSVRMYGYKCHEFNVKTQDGYILGLQRIPEGRNASGDSGVKPPVLLQHGVLVDGMSWFMNAPEQNLPMILADKGFDVWIANTRGTRYSRRHAYLQPSQSEFWNWSWDELVAYDLPAVFEHVQNQTGQKLHYVGHSLGTLTALASFSEGLLVNKVKSAALLSPVAYLSHMKTALGVVAAKTFVGEVSTFFGLAEFNPRGEEATRFLKYVCAQPGVNCYDLLTAITGKNCCLNTSTVNLFLKNEPQSTSTRNMVHLAQIARDRVLAKYNYGNPSFNVMHYGSIKPPVYKLSNIPPKLPLFISYGGQDALSDVQDVQQLLDELKLHDVLKLTVQFVKDYAHADFIMGVNAKDIVYNQVHKFFTNHRF >Manes.05G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:246528:251913:1 gene:Manes.05G002200.v8.1 transcript:Manes.05G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCLKTGIHGIAPSISVTGALDARSNASQVSAVAREKSTTVAAAPHQKAASRFSFRYPLRSLWPGGGQSNRHKGIAVDDAVLVDNGETRNAAGEDADSRSMRSSEGQNENWVLKILHVRSLWKEEEKEHRSSGGEGSIEENDVALNDGVNNEEEKECDVCRVEYDDEKQTEFDRDSFSRLLRKVSLAEAKLYSQMSYLGSLAYSIPKIKAGNLLKYRGLHFVTSSIEKRELAMKAEKVQVSAEGQEAEKGLADEAKCTEQKNNGHPITASTAYQIAASAASYLHSHTKSILPFKSSKAKTDKALPEGDHGENENLNMLNAEVASLMATTDSVTAVVAAKEEVKQAVADDLSSTHSSPCDWFICDDDQGTRFFVIQGSESLASWQANLLFEPVQFEGLDVLVHRGIYEAAKGIYEQMLPEVHAHLKSYGRQATLRFTGHSLGGSLALLLNLMLLIKGEVPALSLLPVITFGAPSIMCGGDYLLRKLGLPRSHVQAITMHRDIVPRAFSCNYPNHVAELLKAVNGNFRNHPCLNNQKLLYAPMGELLILQPDEKFSPNHHLLPPGTGLYTLSCPLADTNEAENLLRTARTLFLNSPHPLEILSDRSAYGSEGTIQRDHDMDSYLKSVRSVIRQELNRIRKVRREHRRKFWWSLLATHGISGGVLMERPAVSMEMGQNQFNFSGVIQTGRESLKRFSRLVASQHMHLLVVLLFPAKLLFLGAYSVINVN >Manes.03G166500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29228954:29231084:-1 gene:Manes.03G166500.v8.1 transcript:Manes.03G166500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAIQHLMSGAVNPTSQTNNAYATNKRKFLNVKCAPLAKFVSLEFEGKLCSAEFIFGKLRTSFLQVGVFLAVYLCVGTLCFYFFLEDIKGKKTSPVIDALYFSVVTITTVGYGDLSPNTSSAKILVFVFVFTGMTLVGLIMNKVADYLTEKQEMLLVKALNKHQKNDPSTEETVFNRPIFKCLLGMAILSVLMIIGAIFLHVVEDLDIIDSLFCVCTTVTTLGFGAESFRTKGGRAFGALWILSSTLGLGLFFLYVTEVFMEDRQRALVNRIQTQGLANLDLEAVNIDSDGAVVSAEVLIWKLKEMGKIGREDISLALKELGVVDVGKAGMLSASDLVGGKTTLKKR >Manes.15G037000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897089:2902521:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.15G037000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897089:2902521:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAAIGDPAEEMKNAMNISFLQRKDTRNNKGEGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.15G037000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897058:2902581:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAAIGDPAEEMKNAMNISFLQRKDTRNNKGEGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.15G037000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897057:2902580:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAAIGDPAEEMKNAMNISFLQRKDTRNNKGEGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.15G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897089:2902552:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAAIGDPAEEMKNAMNISFLQRKDTRNNKGEGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.15G037000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897089:2902579:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAAEEMKNAMNISFLQRKDTRNNKGEGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.15G037000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897056:2902558:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.15G037000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897089:2901799:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAAIGDPAEEMKNAMNISFLQRKDTRNNKGEGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.15G037000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2897089:2902579:-1 gene:Manes.15G037000.v8.1 transcript:Manes.15G037000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVATDTLSELVWYPQKGLRLRCADGSISNKNGSLLWGIVPANTASGLSSDMPISNTQKATSKRNFMASLATCNLGSEVSGGDDSTRFPTSDAGKPRLLVAENNRTRNEHIGRAANGNDGNHALGMEIALTSEIRSAKECEAYDTKMQNAGKGHEESPSIIRKERKNKIVVSHPPGIFPLEKLESGDENDVAAPHSENICGPTTEILALDYAGKAENETQLDDELFPVDKTLAVRQSTTKSRIQNLKGKSRALSDGDANEMMFNEEDNSHESVESCNSAGLFPTGKRRWNFEQQLIVGSKRVKRQIQESPSSSSLIKQDSSFMYWISNMTKGFSKSSEGGVPSFSPALEILNRGPENPDQVLITSKTNNDPGCRITGFQSIFQSLFCRKTKFQEAVTLNVDHPTEELKELELDNKICDLDATPIACSMVTGNIYKRFLPSNDEFNVSTSGNRETPVVHSHDVSMNFAATQENNRGNSTVNKHSCNLATSREKGGTSFSSSQGKHKTNNVEKIDPELPFEGNTASNFDPIGDPLESLWIARFTPKPSGLLLNQDPSKRSAGEALNWSSDGHRQKPQLQSPLGTFGEHENEELLQVLNSGSVTKAPFCAYKIKARYNYKCIHKLKPILASPRFENSEAMASLFARRLDALKHFMPSDEPYNAALATTTCFFCGIKGHHLQECPEITDTELEDLLRNMNSYNGVKEMPRVCIRCFQLDHWAAACPSACSRVRNQAESDASFVNHCGPSKMQLNARIEDNAMQKHIAAGPLTICDRNDFGMERDLNLTWKSNEAANSGEMKLNVKFLGDEIASSSREKKLKENLIEPVYETLNAEISDVPRGIIDAIRMLHLSRTDILKWTNSHMSLSHLDGFFLRVRLGKWEKGLGGTGYYVACITGTKMENSPQNSKKCIAVNVGGIKCLVESQHVSNHDFLEDELVAWWSATSRSGSKLPSEEELRLKAAGKKMLGF >Manes.03G139860.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26739783:26742208:-1 gene:Manes.03G139860.v8.1 transcript:Manes.03G139860.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDVTPSLYKSLYSPFSRRNPFLLPSASSSFCSLSEHLYCNRMAACVDAPRADTSQFSRDPNRSQSDDNVYNYAKYCRPSFSEHVSGLPFAKNHMKTIHSQSVLEDLHHEDEYKADPWLKMQEEARLDVEKEPILSAYYCTSILSQKTLERALANHLAIKLSNSSLPSSTLYDIFLAVLEENQDVISAVKEDLRAVKERDPACISYVHCFLNFKGFLACQAHRVAHKLWAQGKNILALLIQNRVSEAFAVDIHPGAKIGQGILLDHATGLVVGETAVIGNNVSILHNVTLGGTGKCSGDRHPKIGDGVLIGAGTCILGNIRIGDGAKIGACSVVLKDVPARTTAVGNPAKLVGGKQNPVKLDKIPSFTMDHTSHIEWYDYVI >Manes.12G034100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3020812:3028067:1 gene:Manes.12G034100.v8.1 transcript:Manes.12G034100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASMEKSKIDHIVGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEADYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRALRFEDKPDYSYLKRLFRELFIREGYQFDYVFDWTVLKYPQISSSSRGRLSTGKPGHAGPSVERPTEKVSVGKEIRDRWSGAVEAFSTRKISVSSPHDNSRNRSSENHLQQLEPEKGRSSSRYGSNTRKAVISNSRPSSSGEPSESRSNRLLSSSGRLTSSQRLQPGYDPKSSTRAVAPSKGTREDTFRSFELLAIRK >Manes.12G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3020812:3028067:1 gene:Manes.12G034100.v8.1 transcript:Manes.12G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASMEKSKIDHIVGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEADYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRALRFEDKPDYSYLKRLFRELFIREGYQFDYVFDWTVLKYPQISSSSRGRLSTGKPGHAGPSVERPTEKVSVGKEIRDRWSGAVEAFSTRKISVSSPHDNSRNRSSENQLEPEKGRSSSRYGSNTRKAVISNSRPSSSGEPSESRSNRLLSSSGRLTSSQRLQPGYDPKSSTRAVAPSKGTREDTFRSFELLAIRK >Manes.12G102500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:23279173:23280044:-1 gene:Manes.12G102500.v8.1 transcript:Manes.12G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYSSLSCAREGNPVRILSAKPEWHITQARFLRSLCIEKQGKKKRTCSIYSPSPSTFGYHRRSNEGDECFGSDRPDSFLLRQRYLRSYKLTREEGTGKCVSDKIKKWFEQKKKKTKGKSNKYPSGFGTCFNFQFCFVA >Manes.06G005600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1008331:1012663:1 gene:Manes.06G005600.v8.1 transcript:Manes.06G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYRLALSLLTLSLLGCPFVLVLSQDEFKDAPTSGDSDADSSPDSSLEWDEFDYSDYKPEHELDRGSWHPIFEPDSTASDITEDPMMTEYYSAVKKILWAVTGGEVGMMQEATAEIEATAVAGNPHAQSVLGFLYGLGLMKEWNKTMAFLYHHFAAEGGNLQSKMVLAYTYSRQDMYDKAVKLYAELAEVAANSFLISKDLPVIEPVRIHNGAEENKEALRKFRGEGDEDFQILEYQAQKGNAGAMYKLGLFYYFGLRGMRRDHAEALSWFLKAVKKGEPRSMELLGEIYARGAGVERNYTKALELLTLASEQQLYSAYNSMGYLYVKGYGVEKNCTKAKEYFQKAADNEEAGGHYSLGVMYLRGIGVKRNVKLACKYFIAAANAGQTKAFYQLAKMFHMGVGLKKDLVMATALYKLVAERGPWSTLSRWALEAYLKGDIGKALVLYSRMAELGYEIAQGNAAWILDKHEESSMCIGESGLCTDAERHQRALSLWWQASDQGNEHAALRIGDAYYYGLGVERDYERAAEAYMEAKCRSNAEAMLKLGYMHEHGEGLPLDHHLAKRYYDQAKVANLVGNKECEALGMTSIPSPFGPII >Manes.17G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19943985:19945744:-1 gene:Manes.17G028900.v8.1 transcript:Manes.17G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLFKSISEHKLFPCSCFLHFLCFLILVLTLPHFSLTTVHANHCRNFCGAIPINYPFGIDDGCGSPYYRHILVCSDSGNLQLRTPSGRYQVHSISYSDPHIIVSDPFMWNCQDGNHFRPTRPFSLDTSTRFTLSSQNDYLFFNCSQENVIVEPKPIFCERFPDQCDSTCDSASYLCRHLPGCASALGSSSCCAYYPKATESLRMMLKYCAGYTSIYWRNGGNSPYDQVPEYGIRIDFDIPMTTHCLECQDMMKGGGICGFDTQSQSFLCLCNHRNVTTNCKDHENSRQHSRAGVIAGTVSGVSAAGALGVGAGIWFWKKVRAKAPVTCGVQSNENRLF >Manes.07G114700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31992975:31993928:1 gene:Manes.07G114700.v8.1 transcript:Manes.07G114700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLGLSIVFGCLLLALVAELYYLLWWKRRFTNREIGDEYSNPARELFYLFCLKKPSSLRHSQELCSSVRITDALVPHEQDSQLHSTSKEFLFKPFGDDPMDTELMRLNSLSGPPRFLFTIIEETKEDLESEDGKSRGDNKSAKGSRSRSLSDLLLNLETPYLTPLASPPFFTPPLTPLDAGYGQSRFNHLFESAADAEFNKVRSSPPPKFKFLQDAEEKLYRRKQMEEAEKKVQKNDGFDLDDAKKAATSKFLKDEEDGSFITIFVDKNKEKELNNQNHLPQYHSSTSQILPLASSPLRFTSAANKSPIFH >Manes.07G114700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31992200:31994410:1 gene:Manes.07G114700.v8.1 transcript:Manes.07G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLGLSIVFGCLLLALVAELYYLLWWKRRFTNREIGDEYSNPARELFYLFCLKKPSSLRHSQELCSSVRITDALVPHEQDSQLHSTSKEFLFKPFGDDPMDTELMRLNSLSGPPRFLFTIIEETKEDLESEDGKSRGDNKSAKGSRSRSLSDLLLNLETPYLTPLASPPFFTPPLTPLDAGYGQSRFNHLFESAADAEFNKVRSSPPPKFKFLQDAEEKLYRRKQMEEAEKKVQKNDGFDLDDAKKAATSKFLKDEEDGSFITIFVDKNKEKELNNQNHLPQYHSSTSQILPLASSPLRFTSAANKSPIFH >Manes.12G030300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2622037:2626960:1 gene:Manes.12G030300.v8.1 transcript:Manes.12G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGLAVSNDLAVKKPREDEANGVSATTVSMETEGNTDNKSNNDYISSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTQRDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSVEQIDICEIDKMVVDVSKEFFPDVAVGYVDPRVTLHVGDGVAFLKDVPAGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPPVDFKHPVNAIDAYDGKSTTPLKFYNSEIHTAAFCLPSFAKKVIDSKAK >Manes.01G025300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5363097:5365305:-1 gene:Manes.01G025300.v8.1 transcript:Manes.01G025300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMGKLEVIFYVSSCGVCLFFLSFLINFFRKVWWIPIHTQSMMRSQGIQGPAYRFYHGNTTEIINAATHHVFSNPNELSHHNVFSTVLPHISSWVKLYGMNYLSWHGPRAHMVVTEPDLVKEIFNNKDGAFPKVELDEYFKKLVGDGIATTRGEKWYTLRKLSNHAFHAECLKGMIPAMIASVETMLERWKCREDKEIEAFQEFKVLTSDVISRTAFGSSYLEGQHIFDMLTQMAVIITRNKYKVRIPAVSNLVKTADDVESDKLEQGIRNSIVNMMKRREDAAIMSQSSDSFGSDFLGLLLKAHHDDDDPDSRISAEDVIDECKTFYVAGHETTASSITWTIFLLALHTDWQHEARKEVLEFFGCQNPTPDGLVRLKIMNMIINEALRLYPPVVIVPRQVLKQVSLGKLKLPENMVIEIPVLAIHHNSQLWGEDAHLFNPKRFAEGVAKATNNNVAAYLPFGLGPRTCVGSNFAVTETKIALSMILQRYQFTLSPTYVHSPVPLITMCPQHGLQIMLQPLHLG >Manes.12G037850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3255091:3257729:-1 gene:Manes.12G037850.v8.1 transcript:Manes.12G037850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPVLGSLLSSFSDTPNNLDTNKHHYSTHHQIFSRSPYHQTGCLHLSTVSYNSSPISPSIADLSDCSLDGLRRAQSESNLEGLAYISRSNNNEECSNPSHPMKVSARKRRLMLETIPSFSLYNLRGRDEEEEDEDYDKSDVEYDEEEKKQVEANEAMGSGPSSSSDKMENMVLSEQVEVLTKGSLTVGFEEKGGLMISEEMYLAKGLGIDGGNSDGNGSGAGSGGNCGGGGGGKFHWGGEDGGDMGGTEEYYKKMLQENPGNSLFLRNYAEYLYQSKGDVQGAEEYYSRAILADPKDGEVLSQYAKLIWELHQDHERASSYFERAVEASPEDSHVHAAYARFLWETEEEDNECNVPGDFHEHFHQDQMASTGS >Manes.12G037850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3255091:3257647:-1 gene:Manes.12G037850.v8.1 transcript:Manes.12G037850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPVLGSLLSSFSDTPNNLDTNKHHYSTHHQIFSRSPYHQTGCLHLSTVSYNSSPISPSIADLSDCSLDGLRRAQSESNLEGLAYISRSNNNEECSNPSHPMKVSARKRRLMLETIPSFSLYNLRGRDEEEEDEDYDKSDVEYDEEEKKQVEANEAMGSGPSSSSDKMENMVLSEQVEVLTKGSLTVGFEEKGGLMISEEMYLAKGLGIDGGNSDGNGSGAGSGGNCGGGGGGKFHWGGEDGGDMGGTEEYYKKMLQENPGNSLFLRNYAEYLYQSKGDVQGAEEYYSRAILADPKDGEVLSQYAKLIWELHQDHERASSYFERAVEASPEDSHVHAAYARFLWETEEEDNECNVPGDFHEHFHQDQMASTGS >Manes.04G143866.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34219746:34233628:1 gene:Manes.04G143866.v8.1 transcript:Manes.04G143866.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKIEDSFHDEGSAEEDQDKYQEDLVKKYGEQFAESDGFEYDYWPVTMNWLGLGQRIHLEEDARYAEQVKEALDFAIRKQNEKGANLEVDKILIATGFLPFLYYITFRAKNLTTEETREYQTRVVWDPFTRSDADANVELFRFRKDKKRQSFIEPRRLILHRRAHTPMSLELRVMINGSMKKLGVGKFTLLCLQPSSSLIPLYTSLCG >Manes.04G143866.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34219766:34226277:1 gene:Manes.04G143866.v8.1 transcript:Manes.04G143866.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKIEDSFHDEGSAEEDQDKYQEDLGFEYDYWPVTMNWLGLGQRIHLEEDARYAEQVKEALDFAIRKQNEKGANLEVDKILIATGFLPFLYYITFRAKNLTTEETREYQTRVVWDPFTRSDADANVELFRFRKDKKRQREKKKVKRVVLGCNLSLTIN >Manes.04G143866.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34219746:34233628:1 gene:Manes.04G143866.v8.1 transcript:Manes.04G143866.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKIEDSFHDEGSAEEDQDKYQEDLVKKYGEQFAESDGFEYDYWPVTMNWLGLGQRIHLEEDARYAEQVKEALDFAIRKQNEKGANLEVDKILIATGFLPFLYYITFRAKNLTTEETREYQTRVVWDPFTRSDADANVELFRFRKDKKRQSFIEPRRLILHRRAHTPMFLYLSCMWWIFHGNRVWS >Manes.04G143866.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34216929:34221671:1 gene:Manes.04G143866.v8.1 transcript:Manes.04G143866.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKIEDSFHDEGSAEEDQDKYQEDLVKKYGEQFAESDGFEYDYWPVTMNWLGLGQRIHLEEDARYAEQVKEALDFAIRKQNEKGANLEVDKILIATGFLPFLYYITFRAKNLTTEETREYQTRVVWDPFTRSDADANVELFRFRKDKKRQR >Manes.04G143866.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34219766:34233628:1 gene:Manes.04G143866.v8.1 transcript:Manes.04G143866.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKIEDSFHDEGSAEEDQDKYQEDLGFEYDYWPVTMNWLGLGQRIHLEEDARYAEQVKEALDFAIRKQNEKGANLEVDKILIATGFLPFLYYITFRAKNLTTEETREYQTRVVWDPFTRSDADANVELFRFRKDKKRQSFMTSSVSSNRGDSFFIAAHILLCFCI >Manes.04G143866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34219766:34233628:1 gene:Manes.04G143866.v8.1 transcript:Manes.04G143866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKIEDSFHDEGSAEEDQDKYQEDLVKKYGEQFAESDGFEYDYWPVTMNWLGLGQRIHLEEDARYAEQVKEALDFAIRKQNEKGANLEVDKILIATGFLPFLYYITFRAKNLTTEETREYQTRVVWDPFTRSDADANVELFRFRKDKKRQSFMTSSVSSNRGDSFFIAAHILL >Manes.18G106000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10378024:10382672:-1 gene:Manes.18G106000.v8.1 transcript:Manes.18G106000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAILSLSTSAAVSSRIRFHNPKFYTRIQYPLSYSSFFQVNFGGNNRLSSIRRLHLSPNATSLELPLLPFNMGEVLVPSESKVLHLYEARYLALLEESLLRRKKIFVHFVLDPILISSSGTEASFAARHGCLVFIENVERLDVGASVSIRGIGRVKIVKFLQSNPYLIGEIVPVKDWVPDDASKIDSKLMSVKEALYSLNSLEIKLKAPKEALLQTRIANSLTWAEKEPSLECDKAFIPSLAERISFTALQPISGSTQSEMFKLQQLKLRAMDVKETLQRLDDSLELVKENISIVAAKLAIQSLDVQ >Manes.18G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10378024:10382672:-1 gene:Manes.18G106000.v8.1 transcript:Manes.18G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAILSLSTSAAVSSRIRFHNPKFYTRIQYPLSYSSFFQVNFGGNNRLSSIRRLHLSPNATSLELPLLPFNMGEVLVPSESKVLHLYEARYLALLEESLLRRKKIFVHFVLDPILISSSGTEASFAARHGCLVFIENVERLDVGASVSIRGIGRVKIVKFLQLQSNPYLIGEIVPVKDWVPDDASKIDSKLMSVKEALYSLNSLEIKLKAPKEALLQTRIANSLTWAEKEPSLECDKAFIPSLAERISFTALQPISGSTQSEMFKLQQLKLRAMDVKETLQRLDDSLELVKENISIVAAKLAIQSLDVQ >Manes.05G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4853948:4855790:1 gene:Manes.05G058000.v8.1 transcript:Manes.05G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKIFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMNRTIIVRRNYLHFIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSTGAKKAFTAI >Manes.06G070350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20633310:20633756:1 gene:Manes.06G070350.v8.1 transcript:Manes.06G070350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEGLKSLQYETLVMIKLLPEQLRFLRNRDRRLVHKYERPIPIVAKVGPVAYKVEPPQWMKIHPVLHVSRLKPYYANETDDSKNKPSRPAVSRVAPLHQGVEEILAERVVKTTKRPPYKEYLVKWKGLNVEKTNWERVESLTTFPED >Manes.08G097800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32928032:32933696:1 gene:Manes.08G097800.v8.1 transcript:Manes.08G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLWSHSQQLIQFRHRSFCLSDFNRLYPCHRHERRRCHFSVSTTSRRRRYSGIYCNYDDSTKPNQSSSTGIQLYGQIERLITETVRQSQDAWGGSGEWIEVEGAWVLKPRNLRPKSVVHFVGGIFVGAAPQITYRLFLERLAEKGILVIATPYASGFDHFFIADEVQFKFDRCLRFLQDTVQDLPTFGIGHSLGSVIHLLIGSRYAVQRNGNILMAFNNKEASSAIPLFSPVVVPMAQSIGPLLSQIASSPTVRLGAEMTLKQIQNLTPPIMKQVLPLVEQLPPLYTDLVNGREDFSPKPEETRRLVKSYYGISRNLLIKFKDDAIDETSTLAQVLSSEAAISSMLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGSEFLANLTVGTPWETVAKEVGNTIGADSRILRAEVSKDINLLVEVITSWMASNSGPKLLRP >Manes.08G097800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32927263:32933696:1 gene:Manes.08G097800.v8.1 transcript:Manes.08G097800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLWSHSQQLIQFRHRSFCLSDFNRLYPCHRHERRRCHFSVSTTSRRRRYSGIYCNYDDSTKPNQSSSTGIQLYGQIERLITETVRQSQDAWGGSGEWIEVEGAWVLKPRNLRPKSVVHFVGGIFVGAAPQITYRLFLERLAEKGILVIATPYASGFDHFFIADEVQFKFDRCLRFLQDTVQDLPTFGIGHSLGSVIHLLIGSRYAVQRNGNILMAFNNKEASSAIPLFSPVVVPMAQSIGPLLSQIASSPTVRLGAEMTLKQIQNLTPPIMKQVLPLVEQLPPLYTDLVNGREDFSPKPEETRRLVKSYYGISRNLLIKFKDDAIDETSTLAQVLSSEAAISSMLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGSEFLANLTVGTPWETVAKEVGNTIGADSRILRAEVSKDINLLVEVITSWMASNSGPKLLRP >Manes.03G159900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28721407:28724177:-1 gene:Manes.03G159900.v8.1 transcript:Manes.03G159900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDALATAAPLAPVTYERPVRDDLEDTLPKPYLARALQAPDTENPNGTPEHNHYGMSVLQQHVAFFDQDGNGIVYPWETYTGCRAIGFNPIFCLILAVVINLALSYPTLPGWFPSPLFPIYIHNIHRAKHGSDSGTYDTEGRYMPVHFENIFSKYANTLPDKLSLREIWNMTEGQRLAYDLFGWFAAKLEWGALYILARDEEGYLSKEAIRRCFDGSLFEYCAKMNRENEAKMH >Manes.03G159900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28721820:28724177:-1 gene:Manes.03G159900.v8.1 transcript:Manes.03G159900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDALATAAPLAPVTYERPVRDDLEDTLPKPYLARALQAPDTENPNGTPEHNHYGMSVLQQHVAFFDQDGNGIVYPWETYTGCRAIGFNPIFCLILAVVINLALSYPTLPGWFPSPLFPIYIHNIHRAKHGSDSGTYDTEGRYMPVHFENIFSKYANTLPDKLSLREIWNMTEGQRLAYDLFGWFAAKLEWGALYILARDEEGYLSKEAIRRCFDGSLFEYCAKMNRENEAKMH >Manes.01G022000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4945873:4947577:-1 gene:Manes.01G022000.v8.1 transcript:Manes.01G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASQDSSSSKRHFHWTKKIGTENDEVPSFKSSSNSTEEDKKENARSHAALLTPKKKLPAVAVARLRSVLSAFGKNRSNLPLGLGHRVVGTLFGYRRGHVHFAFQRDPNSPPAFLIELATPISGLVREMASGLVRIALECDKEKEEEKNKAVRLLEEPMWRTYCNGKKCGFATRRECGAKDWKVLKAVEPISMGAGVLPGVIGDLGADGELMYMRAKFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRV >Manes.15G182800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25328488:25346282:-1 gene:Manes.15G182800.v8.1 transcript:Manes.15G182800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDSSELTSRSPLGIDLNEIPSTSSPPSIAVSISVSEPVRTPAPTQPVLPNPEPLELESLDVVRSFHENQDPPCGIAAGLPGEEGLPTCGACGKPEVRGHVVVCDGCERGFHISCAGMHGRQAMNLVDWICGECVSGGVKSKRWPLGVKSKRILDINASPPSDCDGDGESSEERVNLRKHTLVGNSSSGDAFVAPVTYSKLLYAGNGFGPFDFKKGSGLMMKAFRVGFEDILHHAQTVDRSLEEIDLGFPLGRLRSSNNTAIRLPSRSPNEILLQGLREFISERHGLLDEGWHVELKHSIANYEVYAVYCSPDGKTFGSMSEVACYLGLTPNCNSMDTDNRSDGSPLQERLRLPKRRKSKRSSLANGFTENKQALVNGYHKELLSNGQSTEIGDSRFGKVGEADAEEDDMAKLLPSDEGLPIQFEDFFVISLGKIDLRPSYHDAQLIWPIGYRSCWHDKVTGSLFVCEVLDGGDSGPVFKVRRFSCSVLPVPEGSTVLCRKSPGQFDGQKSKEYNGMICQNMDCDDDGTIEMILADASPPTEDDILTCLDSSSNVTYSVQTLERLQTISPCSSSADLSSHNLVLGDEIGEISAEECSSSLAWRMVSQKLIDAYSEICYRKGILKLYCKHVNNEIGLPASNDGKESLEKFASLAKFCSSPGSFGIPLEYEGKVDNLAVALSKWLDQDRFGLDTDFVQEIIEQLPGVDACSKYEFLFNRNDYSESQTVGNGILIAKRKNEAELDDVLRRCKKPRLGKDCERVDHYPPPGRWLGSKLPPVLVGDLYQVWELLWRFQEILGLKEPLLLGELEEELINPWFDVSNVSANLKKKVPGSEIVDADKVDGMNGPILSSCEELCEGIQGDNSHVLIQVEKGGTDDSAQDTLASFSYSRFSGVALTKVHISLLSVLITELQSKVAALVDPNFDFGESKSKRGRRKDVDNLIPLRNKLSILPINELTWPELARRYILAVLSMDGSLDSADVTARESSKIFRCLQGDGGVLCGSLAGVAGMEADALLLAEATRKICGSLNREKDLLTIEDEVTNASGSFCEKTSVNDDNIPEWAQVLEPVRKLPTNVGTRIRKCVYEALEKCPPEWAKKRLEHSISKEVYKGNASGPTKKAVLSVLADLMSEELPQKSEKRNKRKITVSVSDIIMKKCRAVLRQAAAADDSKVFCTLLGRNLINTSDHDDEGLLGSPAMVSRPLDFRTIDLRLAVGAYGGSHESFLEDVRELWNNVRTAFRDQHDLVELAETLSQNFETLYEKEVVTVVQKFEDYAKLDQLSAEEKKNLDDVLASTNEIPKAPWDDGVCKVCGVDKDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVGNNVVQEASVSSEVLGQSHIKKYQGQITHVYLETLMHLAVAMGEKDYWQFGIDERTFLLKFLCDELLNSALVRQHLEQCVETTTELQQKLRALSVELKNLKSREEFLAVRTSKLDTSASGEGGEGLGSAPTNQSNCMGKLPNLSERPNHFCACSDDVPAIDDSQEGTGICGFDKHHSVMNYGKKHNCDSQTVKPVDAKRRGKDVDMDGCNRPYQENDRSSRPSELPLSNHLQQVTEHTGEICHHNNMQEHVGKNSSTPLDQPGPSLSSDMNIQGVENVPSVALKESQAYCPELSTIKDDILHLQNLITSVESQLLKQATRREFLGSDSIGRLYWASATPAGHARVVADGSLTLRLRKISDHRGLSDNVSIFKHCASLGMNTFLDLEGSRACFPFMFNPNDSIPTCSPWVSYETDSEIEELIGWLGDNDQMERELKESIIQWLKLRFHETQQTRNTVEEERQAALPIVMNNDKSPFSNCLVTKAALFLEKKYGACVERETINMLKKRGKKGKGTCEEKTYRCDCFELIWPSRHHCSSCHRTFCSDVEFGAHNDGKCYIVLSSHEKSEATNDSLKAKGNFKSDQEEFTGEMDKVCTSKSRSELGSRLIKFQNEQTECPYDFSEICSKFVTKDSNKELVQGIGLIGSNGIPSLVTSVSSYLSDTVLMLISPEMDISVCDKVDDAGSLIFTKGNRSESNAGLQSLSDNSAKEIASKEINEVLKTNKPLLECMKQRGRKSSSDKYIPEIVSGCCCVVPQSSLRPLVGKVSCILRQLKINLLDMEAALPEEALRPSKGQLEKRWAWRAYVKSAESIYQMVQATIVLEEMIKTEYLRNEWWYWSSLSAAAKTSTVSSLALRIYSLDASIVYEKTLSNSDPSENLRSCSISSQKPLPGLHSVEKCKAGRKSNKKRKEPEG >Manes.15G062000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4715967:4717536:1 gene:Manes.15G062000.v8.1 transcript:Manes.15G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPVLAPSLYKSFPPPLSLTNPFLFSHSFPSIGSLSKHLDYNLPMAACVDTPRPDTSPLSRDTSRSESDDHVYKYVNCCRPCFSDHVSSLPFSNNHMKTVHTLSVVEDLHYEDESKEDPWLKMQEEAMLDVEQEPVLSSYYYNSILSQKTLERALANHLAIKLSNFTLPSCTLFDIFIGALEGNPDIISAVKEDLRAVKERDPACISYVHCFLNFKGFLACQAHRIAHKLWVQGRNILAILIQNRVSEAFAVDIHPGARIGQGILLDHATGIVIGETAVIGNNVSILHNVTLGGTGKASGDRHPKIGDGVLIGAGTCILGNIRVGDGAKIGACSVVLKDVPPRTTAVGNPARLVGGKQNPIKLNKIPSFTMDHTSHIAEWSDYVI >Manes.15G062000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4715973:4717656:1 gene:Manes.15G062000.v8.1 transcript:Manes.15G062000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFTIVEDLHYEDESKEDPWLKMQEEAMLDVEQEPVLSSYYYNSILSQKTLERALANHLAIKLSNFTLPSCTLFDIFIGALEGNPDIISAVKEDLRAVKERDPACISYVHCFLNFKGFLACQAHRIAHKLWVQGRNILAILIQNRVSEAFAVDIHPGARIGQGILLDHATGIVIGETAVIGNNVSILHNVTLGGTGKASGDRHPKIGDGVLIGAGTCILGNIRVGDGAKIGACSVVLKDVPPRTTAVGNPARLVGGKQNPIKLNKIPSFTMDHTSHIAEWSDYVI >Manes.15G062000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4715973:4717657:1 gene:Manes.15G062000.v8.1 transcript:Manes.15G062000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEAMLDVEQEPVLSSYYYNSILSQKTLERALANHLAIKLSNFTLPSCTLFDIFIGALEGNPDIISAVKEDLRAVKERDPACISYVHCFLNFKGFLACQAHRIAHKLWVQGRNILAILIQNRVSEAFAVDIHPGARIGQGILLDHATGIVIGETAVIGNNVSILHNVTLGGTGKASGDRHPKIGDGVLIGAGTCILGNIRVGDGAKIGACSVVLKDVPPRTTAVGNPARLVGGKQNPIKLNKIPSFTMDHTSHIAEWSDYVI >Manes.12G105100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:28604041:28607446:1 gene:Manes.12G105100.v8.1 transcript:Manes.12G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHIRSFSLPSRSHPLIVNIEEQLCKLKASQSSTMSCKLNSLKNLFECTDDLLQMPVAQQTLSHESQSQCVEDALSGSLDLLVLCDSTRDFFSQMKECVQELELSLRRRKGKDSGMTTDFEAYVVSRKKLSKVICKYLRNLKRKERKCTTAALENNSNLTNMFSLLTRVQETSLVEFKSILSFISQQKAKSKLSGLSIISKALQSKRVSCEVEIEVNEVEKIDAELPILKSSKDISISQLQNLLKGLESLESSIQEAEEELECIYRRLVKTRASLLNILNH >Manes.03G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1163347:1166930:-1 gene:Manes.03G013600.v8.1 transcript:Manes.03G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQGISEAIRVVPDFPKPGIMFQDITTLFLDHKVFKDTVDIFVDRYRDMGISVVAGIEARGFMFGPSIALAIGAKFVPLRKPGKLPGSVISEGYVLEYGTDCLEMHVDAVQPEERALVIDDLIATGGTLSAAIRLLERMGAEVVECACVIGLPEVKGQRRLNGKPLYILVEPRDIDGYQEM >Manes.07G009968.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1273952:1276783:1 gene:Manes.07G009968.v8.1 transcript:Manes.07G009968.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNAFRFSISWSRVIPSGRRREGINEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSPNIVRDYREYADLLFERFGDRVKYWMTFNEPWALSGFAYDDGLFAPGRCSSWVNDQCRAGNSATEPYIVAHNLLLSHSSAVHIYRKNCQKTQNGKIGITLFTFWFEPLSNRAADIKASKTALDFMFGLWMDPLTYGRYPRTVQDLVGNKLLSFTDEETQLLRESYDFIGLQYYTSYYAKPNALVDPDRIRYKTDSNITETPYDYGGNLIGPQAYSPWFYIYPKGIRHLLNYTKDRYNNPIIYITENGVDNLNDETQSIEEALKDEFRIDYYRKHMWNTLGSLKDYNVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKNSAIWFTKFLNPSN >Manes.14G145500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:13504988:13505638:-1 gene:Manes.14G145500.v8.1 transcript:Manes.14G145500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNYSIYIYVHLNYHRLLIRVTHFPSPSLSLLLADMADHIRCIFSFLVISHIFLSQSLPWSAAEGLVVDQSHKAIAHYYPYRGGQLTVADNEVGAFHTRKLGIHIRRRARFTPRGGRSRSFSSRTQISSFHVIGSVLGYCVFVGFFMG >Manes.04G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33943085:33949921:-1 gene:Manes.04G140400.v8.1 transcript:Manes.04G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFIGAIRASPSLASFALRGRQLSFQTSSLSLSRNWLPLSSFSKNGFSQCRRISRACFISNASGNADSQSLLDQSEIIFMGTGTSEGIPRLSCLTDPLKECPVCSKAVEPGNKNRRLNTGLLIRYSGPGERRNILIDAGKFFYHSALRWFPAFGLRTIDAVIITHSHADAIGGLDDLRDWTNNVQPYIPIYVAERDFEVMKKTHYYLVDTSVITPGAAVSDLQFNIIHEEPFVACDLKFTPLPVWHGHGYRSLGFRFGNICYISDVSDIPDETYPLLKGCEILILDALRPDRSSSTHFGLPRALDEVRKIQPKRTFFTGMMHLMDHEKINEYLSKLMETEGLDVQLSYDGLRIPVKL >Manes.11G145400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30985442:30986695:-1 gene:Manes.11G145400.v8.1 transcript:Manes.11G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIAKVMRPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGEVEVPLD >Manes.14G153631.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19700141:19700596:-1 gene:Manes.14G153631.v8.1 transcript:Manes.14G153631.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQLETVRGQLFSTAIPHMVEVYEQILLLIPIIWRNCKIMLDLYHKAIMFLELRL >Manes.05G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4148997:4152704:1 gene:Manes.05G050000.v8.1 transcript:Manes.05G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPDKTPSPNPNLSPRSPASSKRSKALSSPDLWFKVQSPKNAALKMHIKSLSAISSASPPSDTDFSLLTGFQSSALDPSVPDYTLLLSDELLLQIFSRLPLSHYVSNSLVCKRWLYLHGRLVQSLKLTDWSFLNSGRIFFRFPNLTEIDIVKACIRTPRNSGILLTHKNLSVHIDTQFSRTEFIEQNDLLPYDFLDSGLQMIAKRYPNLRRISAFGASETGLLSISNECEMLQELELHCCGDLSLKGISQCRNLQVVKLIGSVDGFYTSVVSDIGLTLLAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEEFTLFDHRMDGGWLAALSFCSNLKTLRLQSCKIIDSSPGPDEHLGSCPTLEELHLQQCLMRDKPGVKALFLVCEAVREIVLQNCWGLDDEVFGSASLCRRVKLLALEGCASLTTGGLEAVVLDWKDLQKLRVISSNKIKDSEVSPALASLFSVLKELKWRPDTRSILSSSLAGTGLGNKGGRFFKGLKG >Manes.05G050000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4148997:4152704:1 gene:Manes.05G050000.v8.1 transcript:Manes.05G050000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPDKTPSPNPNLSPRSPASSKRSKALSSPDLWFKVQSPKNAALKMHIKSLSAISSASPPSDTDFSLLTGFQSSALDPSVPDYTLLLSDELLLQIFSRLPLSHYVSNSLVCKRWLYLHGRLVQSLKLTDWSFLNSGRIFFRFPNLTEIDIVKACIRTPRNSGILLTHKNLSVHIDTQFSRTEFIEQNDLLPYDFLDSGLQMIAKRYPNLRRISAFGASETGLLSISNECEMLQELELHCCGDLSLKGISQCRNLQVVKLIGSVDGFYTSVVSDIGLTLLAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEEFTLFDHRMDGGWLAALSFCSNLKTLRLQSCKIIDSSPGPDEHLGSCPTLEELHLQQCLMRDKPGVKALFLVCEAVREIVLQNCWGLDDEEGEASGARRMCIADNRRSGGSCS >Manes.10G052201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5992493:5992984:-1 gene:Manes.10G052201.v8.1 transcript:Manes.10G052201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHYDRSHRPLEFNVGDVVLLRLQPYRQSSIASRKNQKLAAKYYGPFEVLERIGSMAYRLKLPPDSKLHPVFHVSTLKPYHFDSGNFEMILPPISEQQPLVPFAILGQRCRSGKQEVLVHWSQSSPTDSSWENVQDLLARFPDFTLADKLPNGAGSTVTRPL >Manes.01G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27776732:27781633:-1 gene:Manes.01G075100.v8.1 transcript:Manes.01G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKAKGNAAFSAGDYAGAVKHFTEAISLAPSNHVLYSNRSAALASLHNYADALADAKKTVEIKPDWSKGYSRLGAAHLGLNQIQDAIAAYKKGLEIDPNNEALKSGLADAQAAASRSRAAPPPNPFGDAFSGPEMWAKLTADPTTRMYLQQPDFVKMMQEIQKNPNNLNMYLKDQRVMQALGVLLNIKFRAPNAGEDMEVPEAESPPPKQERKAEPAKEEKVPEPEPEPMEVSEEKEAKERKAQAVKEKELGNEAYKKKDFETAIAHYTKAMELDDEDISYLTNRAAVYLEMSKFEECIKDCDKAVERGRELRSDFKMIARALTRKGTALVKMAKCSKDYDIAIETFQKALTEHRNPDTLKKLNDAERIKKELEQQEYFDPKLADEEREKGNEYFKQQKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYDKALETYQEGLRHDPQNQELLDGVRRCVEQLNKASRGDLSPEELKERQAKAMQDPEIQNILSDPVMRQVLVDFQENPKAAQEHMKNPMVMNKIQKLVNAGIVQIR >Manes.17G059900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25855427:25862999:1 gene:Manes.17G059900.v8.1 transcript:Manes.17G059900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVRNRILKEANGHISDHLRNHIHLTNCIHLKNHMHKQSPILADRSIMRDLIVLQRSRSLRDPSASPPSCHSPSIVDLLPKKGDKDATIMEGTRSVGVDSQKEGRRLSGTSKHFASLAPSKVVPGELIGGNDMTAAISDYSSKSIARGDGRLRGDESCQKSNSVDLLCSDEDPICNKDVNVLVNDVILGNLDSKFRKSIQKGRHSQDFHIKTLSEQLNEVPMDSDMASSNIHLNGRRSQQKKTSKEPERGIHGYVGMNRMKRQKVRGARRTRTTPSSRDFGGQNEISVASNSLAQGSAGRRYHMEEEEFGDQNFSRDPRNGCGIPWNWSRIHHRSKTFLDLAGRSLSCGLSDSRLRKGSMASHERDGLNVPVASDHSSSYTKSDAEVLPLLVEASGSLVSPDNAGWVHDYSGELGIYADHLLKKDFDSDLASEARSGDHHKLGQNHNCRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVMRKKVGLLYVLYGPHGTGKTSCARIFARALNCRSLEHPKPCGYCSSCIAHDTGKRRNVMEVGPVSNVDFESIMDLLDNMIISHLPSQFRVFIFDDCETLSSDCWSAISKKFFFPKLKDADIVYTLRWIASNEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGLKISVPVVQELVGLISDEKLVDLLDLALSADTVNTVRNLRVIMETGVEPLALMSQLATIITDIVAGSYDFTKGRHRRKFFRQRPLSKEDMERLRQALKTLSEAEKQLRMSNDKLMWLTAALLQLAPDQQYMLPSSSTETSFNHSPIKLKNANGRDVGKKDCELAEMPNNERSLSTRVRLENHSARTSGDFYINAASGGPNMERKRNGKTVMAAQWTSALSSDTVRVNGRQMSAKSREGCEKIWLEVLGKIQFNCIREFLYREGKLISVSFGSAPTVQLIFSSHLTKLKAEKFRVHILQAFDSVLGLPVTIEIRCESNKDTSGMVHAPLMLPASRKVSSQMAQEQETTTGSRMPRAGESLYAGRSEIVEIPASPREIKGNGLHNNAESSKRSLQHARVGGSAVPYETCSVDSMSERRKLGKQSPSKSLVRSKVSLAHVIQQAEGCTQQTGWSNRKAVSIAEKLEQENLRLEPRSRSLLCWKASRATRRKLSRLKISTRRPNSLLKLVSCGKCLSSKSPR >Manes.17G059900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25856163:25862998:1 gene:Manes.17G059900.v8.1 transcript:Manes.17G059900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVRNRILKEANGHISDHLRNHIHLTNCIHLKNHMHKQSPILADRSIMRDLIVLQRSRSLRDPSASPPSCHSPSIVDLLPKKGDKDATIMEGTRSVGVDSQKEGRRLSGTSKHFASLAPSKVVPGELIGGNDMTAAISDYSSKSIARGDGRLRGDESCQKSNSVDLLCSDEDPICNKDVNVLVNDVILGNLDSKFRKSIQKGRHSQDFHIKTLSEQLNEVPMDSDMASSNIHLNGRRSQQKKTSKEPERGIHGYVGMNRMKRQKVRGARRTRTTPSSRDFGGQNEISVASNSLAQGSAGRRYHMEEEEFGDQNFSRDPRNGCGIPWNWSRIHHRSKTFLDLAGRSLSCGLSDSRLRKGSMASHERDGLNVPVASDHSSSYTKSDAEVLPLLVEASGSLVSPDNAGWVHDYSGELGIYADHLLKKDFDSDLASEARSGDHHKLGQNHNCRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVMRKKVGLLYVLYGPHGTGKTSCARIFARALNCRSLEHPKPCGYCSSCIAHDTGKRRNVMEVGPVSNVDFESIMDLLDNMIISHLPSQFRVFIFDDCETLSSDCWSAISKVIDRAPRRVVFVLVCSSLNVLPHIIISRCQKFFFPKLKDADIVYTLRWIASNEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGLKISVPVVQELVGLISDEKLVDLLDLALSADTVNTVRNLRVIMETGVEPLALMSQLATIITDIVAGSYDFTKGRHRRKFFRQRPLSKEDMERLRQALKTLSEAEKQLRMSNDKLMWLTAALLQLAPDQQYMLPSSSTETSFNHSPIKLKNANGRDVGKKDCELAEMPNNERSLSTRVRLENHSARTSGDFYINAASGGPNMERKRNGKTVMAAQWTSALSSDTVRVNGRQMSAKSREGCEKIWLEVLGKIQFNCIREFLYREGKLISVSFGSAPTVQLIFSSHLTKLKAEKFRVHILQAFDSVLGLPVTIEIRCESNKDTSGMVHAPLMLPASRKVSSQMAQEQETTTGSRMPRAGESLYAGRSEIVEIPASPREIKGNGLHNNAESSKRSLQHARVGGSAVPYETCSVDSMSERRKLGKQSPSKSLVRSKVSLAHVIQQAEGCTQQTGWSNRKAVSIAEKLEQENLRLEPRSRSLLCWKASRATRRKLSRLKISTRRPNSLLKLVSCGKCLSSKSPR >Manes.17G059900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25855427:25862998:1 gene:Manes.17G059900.v8.1 transcript:Manes.17G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVRNRILKEANGHISDHLRNHIHLTNCIHLKNHMHKQSPILADRSIMRDLIVLQRSRSLRDPSASPPSCHSPSIVDLLPKKGDKDATIMEGTRSVGVDSQKEGRRLSGTSKHFASLAPSKVVPGELIGGNDMTAAISDYSSKSIARGDGRLRGDESCQKSNSVDLLCSDEDPICNKDVNVLVNDVILGNLDSKFRKSIQKGRHSQDFHIKTLSEQLNEVPMDSDMASSNIHLNGRRSQQKKTSKEPERGIHGYVGMNRMKRQKVRGARRTRTTPSSRDFGGQNEISVASNSLAQGSAGRRYHMEEEEFGDQNFSRDPRNGCGIPWNWSRIHHRSKTFLDLAGRSLSCGLSDSRLRKGSMASHERDGLNVPVASDHSSSYTKSDAEVLPLLVEASGSLVSPDNAGWVHDYSGELGIYADHLLKKDFDSDLASEARSGDHHKLGQNHNCRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVMRKKVGLLYVLYGPHGTGKTSCARIFARALNCRSLEHPKPCGYCSSCIAHDTGKRRNVMEVGPVSNVDFESIMDLLDNMIISHLPSQFRVFIFDDCETLSSDCWSAISKVIDRAPRRVVFVLVCSSLNVLPHIIISRCQKFFFPKLKDADIVYTLRWIASNEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGLKISVPVVQELVGLISDEKLVDLLDLALSADTVNTVRNLRVIMETGVEPLALMSQLATIITDIVAGSYDFTKGRHRRKFFRQRPLSKEDMERLRQALKTLSEAEKQLRMSNDKLMWLTAALLQLAPDQQYMLPSSSTETSFNHSPIKLKNANGRDVGKKDCELAEMPNNERSLSTRVRLENHSARTSGDFYINAASGGPNMERKRNGKTVMAAQWTSALSSDTVRVNGRQMSAKSREGCEKIWLEVLGKIQFNCIREFLYREGKLISVSFGSAPTVQLIFSSHLTKLKAEKFRVHILQAFDSVLGLPVTIEIRCESNKDTSGMVHAPLMLPASRKVSSQMAQEQETTTGSRMPRAGESLYAGRSEIVEIPASPREIKGNGLHNNAESSKRSLQHARVGGSAVPYETCSVDSMSERRKLGKQSPSKSLVRSKVSLAHVIQQAEGCTQQTGWSNRKAVSIAEKLEQENLRLEPRSRSLLCWKASRATRRKLSRLKISTRRPNSLLKLVSCGKCLSSKSPR >Manes.17G059900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25855427:25862998:1 gene:Manes.17G059900.v8.1 transcript:Manes.17G059900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQSPILADRSIMRDLIVLQRSRSLRDPSASPPSCHSPSIVDLLPKKGDKDATIMEGTRSVGVDSQKEGRRLSGTSKHFASLAPSKVVPGELIGGNDMTAAISDYSSKSIARGDGRLRGDESCQKSNSVDLLCSDEDPICNKDVNVLVNDVILGNLDSKFRKSIQKGRHSQDFHIKTLSEQLNEVPMDSDMASSNIHLNGRRSQQKKTSKEPERGIHGYVGMNRMKRQKVRGARRTRTTPSSRDFGGQNEISVASNSLAQGSAGRRYHMEEEEFGDQNFSRDPRNGCGIPWNWSRIHHRSKTFLDLAGRSLSCGLSDSRLRKGSMASHERDGLNVPVASDHSSSYTKSDAEVLPLLVEASGSLVSPDNAGWVHDYSGELGIYADHLLKKDFDSDLASEARSGDHHKLGQNHNCRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVMRKKVGLLYVLYGPHGTGKTSCARIFARALNCRSLEHPKPCGYCSSCIAHDTGKRRNVMEVGPVSNVDFESIMDLLDNMIISHLPSQFRVFIFDDCETLSSDCWSAISKVIDRAPRRVVFVLVCSSLNVLPHIIISRCQKFFFPKLKDADIVYTLRWIASNEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGLKISVPVVQELVGLISDEKLVDLLDLALSADTVNTVRNLRVIMETGVEPLALMSQLATIITDIVAGSYDFTKGRHRRKFFRQRPLSKEDMERLRQALKTLSEAEKQLRMSNDKLMWLTAALLQLAPDQQYMLPSSSTETSFNHSPIKLKNANGRDVGKKDCELAEMPNNERSLSTRVRLENHSARTSGDFYINAASGGPNMERKRNGKTVMAAQWTSALSSDTVRVNGRQMSAKSREGCEKIWLEVLGKIQFNCIREFLYREGKLISVSFGSAPTVQLIFSSHLTKLKAEKFRVHILQAFDSVLGLPVTIEIRCESNKDTSGMVHAPLMLPASRKVSSQMAQEQETTTGSRMPRAGESLYAGRSEIVEIPASPREIKGNGLHNNAESSKRSLQHARVGGSAVPYETCSVDSMSERRKLGKQSPSKSLVRSKVSLAHVIQQAEGCTQQTGWSNRKAVSIAEKLEQENLRLEPRSRSLLCWKASRATRRKLSRLKISTRRPNSLLKLVSCGKCLSSKSPR >Manes.15G180148.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22618339:22618836:-1 gene:Manes.15G180148.v8.1 transcript:Manes.15G180148.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILHELCLSRSNYRSNRYWDVSQSKAFEIYH >Manes.12G035500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3133001:3137963:-1 gene:Manes.12G035500.v8.1 transcript:Manes.12G035500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATGSYHLQDYDEQHRRSSTRQSVTSKMCRVCGDEIGVKEDGQLFVACHVCGFPVCRPCYEYERSEGNQCCPQCNTRYKRHKGCPRVAGDEDDDDDIGTEVLDDEFQIKKHHDDQDHKNVFAHSENGDYIQRPLHTGGAFSSAGSVAGGKEFEADRDMYNDAEWKERVEKWKFRQEKRGLVSKDDGGNDKGEEEDEYIMAEARQPLWRKIPIASSKINPYRIVIVIRLVVLCFFLRFRVLTPAYDAFPLWLISVICEIWFAFSWILDQFPKWLPIERETYLDRLSMRFEREGEPNRLAPVDFFVSTVDPLKEPPIITANTVLSILAVDYPVDKVSCYVSDDGASMLLFDSLAETAEFARRWVPFCKKHSIEPRAPEFYFSQKIDYLKDKVHPSFVKERRSMKREYEEFKVKINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSEGALDVEGKEIPRLVYVSREKRPGYQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYLNNSKAVREAMCFLMDPQLGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGVQGPVYVGTGCVFNRQALYGYDPPVSEKRPKMTCDCWPSWGSCCCGGSRKKSKSKKKGQSSSLFGGLLPRKKKMLGKSYTRKGSGAVFELEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFIASTLMEEGGLPEGTNPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSIYCSPERAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYGYGGKLKWLERLAYVNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLTNLASVWFLALFLSIIATSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAADDTEFGELYLFKWTTLLIPPTTLIIINMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIAHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >Manes.12G035500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3133001:3137963:-1 gene:Manes.12G035500.v8.1 transcript:Manes.12G035500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFATGSYHLQDYDEHRRSSTRQSVTSKMCRVCGDEIGVKEDGQLFVACHVCGFPVCRPCYEYERSEGNQCCPQCNTRYKRHKGCPRVAGDEDDDDDIGTEVLDDEFQIKKHHDDQDHKNVFAHSENGDYIQRPLHTGGAFSSAGSVAGGKEFEADRDMYNDAEWKERVEKWKFRQEKRGLVSKDDGGNDKGEEEDEYIMAEARQPLWRKIPIASSKINPYRIVIVIRLVVLCFFLRFRVLTPAYDAFPLWLISVICEIWFAFSWILDQFPKWLPIERETYLDRLSMRFEREGEPNRLAPVDFFVSTVDPLKEPPIITANTVLSILAVDYPVDKVSCYVSDDGASMLLFDSLAETAEFARRWVPFCKKHSIEPRAPEFYFSQKIDYLKDKVHPSFVKERRSMKREYEEFKVKINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSEGALDVEGKEIPRLVYVSREKRPGYQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYLNNSKAVREAMCFLMDPQLGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGVQGPVYVGTGCVFNRQALYGYDPPVSEKRPKMTCDCWPSWGSCCCGGSRKKSKSKKKGQSSSLFGGLLPRKKKMLGKSYTRKGSGAVFELEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFIASTLMEEGGLPEGTNPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSIYCSPERAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYGYGGKLKWLERLAYVNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLTNLASVWFLALFLSIIATSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAADDTEFGELYLFKWTTLLIPPTTLIIINMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIAHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >Manes.17G000983.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:1621823:1622095:1 gene:Manes.17G000983.v8.1 transcript:Manes.17G000983.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYLGQVETLKDEFNSLMPFTDDVDAQEQRDKFFMVLALIGLRPDLCFVKDQILIGSIIPTLEEVSVRLLRIFLSKSDTTDMESLVLAV >Manes.10G093101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23905972:23909116:-1 gene:Manes.10G093101.v8.1 transcript:Manes.10G093101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISALGLLLAILFLLSRESNCTGDSSFTNCSKSDLLALNDFKNGLEDPGHRLSSWKGSNCCQWQGISCENRTGAVISIDLHNPYPANPAFSSSLSRHRFWNLSGVISPSLLKLKSLQYLDLSFNTFNYIPIPEFLGSLQSLQYLNLSKAGFSGAVPPSLGNLSSLQSLDVSSEYQYPPLMASSLDWVTGLVSLKHVVMDGVDLSAIESNWVRVFNVLPQLTGLHLSGCSLSGSIAFLSPINFTSLSVLDLSFNNINSLFPNWLSNISTLTYVDLSLNGLYGRIPLSLSELPNLQYLSLGSNNLSASCFQLFQGSWRKIKIIDFMFAQIHGKLPASIGNMSSLINLNLFENSVAGGIPGSIGSLPAVLETHCGLNSPLPSLKYLSLSGNHLVGNLPEWLGQLDNLVGLSLDYNLFHGPIPSSLGNLQNLTGLSLSGNQLNGTLPDSFGQLAQLSALDVSLNQLTGSISEVHFTKLNKLKVLGLSSNSFFFSVSSNWVPPFQVQHLEVGSCYLGPAFPAWLRTQREVTLLDFSNANISDTIPNWFWDIASNLSLLNVSFNQLKGQLKNPFTVVPFADVDFKSNFLEGPIPLSTVEIELLELSNNRFSGPIPGKIAESMPNLVFLSLSGNQLTGDIPVSIGDMVSLVVLDLSGNSLSGEIPSSLGICSFLNALDLSFNNLYGEIPLPFCLLNQLRSLHLSNNKFTGGLPSCFQNLSNLETLDLANNRFSSEIPPWIGSGFADLRILSLRSNEFSGEIPSTLSNLSSIQVLDLAQNNFTGSIPINFGDFKAMSQEQYVNEYLLYGKYRGVYYEESLVVNIKGGPQKYTKTLSLVTSIDLSSNSLHGEFPEEITKLVGLVSLNLSQNQLIGQIPESISNLLQISSLDLSRNRLSGEIPSTMSTLSSLGYLNLSNNNLSGMIPFMGQMTTFDASSFDGNPGLCGPPLVHKCPGNDSDGGGGSIVEDTSDGFTDTWFYLSIGLGFAVGILVPFLIFAIRKPWSHAYFLLVDKVVDRILYFACKTATRFRNCCNFRG >Manes.S030616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:715566:715727:1 gene:Manes.S030616.v8.1 transcript:Manes.S030616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.15G181600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:24554986:24555434:-1 gene:Manes.15G181600.v8.1 transcript:Manes.15G181600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLTCHDLQRNNSGREYDQEKLANRNLCCTQVERSWSGNLSPSPYEQIGKSSMAISRTIRQNHRRMNSTGRVAFQGSCEPKLLRSSGMRRDWSFENLGQK >Manes.09G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27313637:27314704:-1 gene:Manes.09G091200.v8.1 transcript:Manes.09G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTMAHLISAVVISSSSSSARKSPKHASKWYAFVKRKKKTPVVRFKEATALESSHGLSWTKVRLLGKGGFGSVFYAKTRTTNNQKTNLPSEMAVKSAIMLRSSSLIHEKRVLCHLGNSPHVVRFYGHEVTVTANGVIVYNLLLEYCSGLSLQRQIRKSDHGLAESDVRSYSRDILRGLKYIHSRGYIHCDIKPANILLMPGRPNRNGTFRAKIADFGVAKAVNEECHNLRGTLRFMSPESVRDRKIEYATDIWAFGCVVLEMLTAKSAWGYRRVKDLKEVIGYSDEMPKIPTNISENATDFLSRCLVRIAAYRWSADMLLQHPFLSVN >Manes.14G053700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4548783:4550523:1 gene:Manes.14G053700.v8.1 transcript:Manes.14G053700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFLNSPVGPKTTHFWGPIANWGFVVAGLVDMNKPPEMISGNMTGAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWAKGQG >Manes.14G053700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4549724:4551204:1 gene:Manes.14G053700.v8.1 transcript:Manes.14G053700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFLNSPVGPKTTHFWGPIANWGFVVAGLVDMNKPPEMISGNMTGAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWAKGQGYLSSEADKKERGSSE >Manes.14G053700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4548783:4551448:1 gene:Manes.14G053700.v8.1 transcript:Manes.14G053700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFLNSPVGPKTTHFWGPIANWGFVVAGLVDMNKPPEMISGNMTGAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWAKGQGYLSSEADKKERGSSE >Manes.13G121300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33004451:33007944:-1 gene:Manes.13G121300.v8.1 transcript:Manes.13G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRLISTSIVQAASNPESSIHKIELTSSDLKFILVQYIQKGLLFTKSKPERWSEVRRSDESLVHHLKNSLSRTLAFFSPLAGRLATVEHDDKTVSFFIDCNNAGIQFVHAVADDITISNILEPIYVPSFVHSFFPLNGTSNYEGVSKPLLGVQITELVDGIFIACTMNHAVADGTTFWNFFNSWSEISRSNSDQISKPPVSEHWFRTYCSLRLPVSIFTSDNEIIPPPPSYRERVFHFTKEKIAGLKAKANAEAGSEKISSLQSLLSHLWGSVVRNRQLVDPNEDTNFHLLVGIRSRLQPQLPGEYFGNAVQIGTVKLKAREIIKQGLGYTALEMNKLVNSYTEDKVRNNLESSMVFKRGGCAHGDLAASSSPRFNVYGNDFGWGRPIAVGSGPGNKRCVKITVFPGVEEGSIDIEVSTSPEILEAMGHDSEFMSAVN >Manes.11G152127.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31666290:31680237:1 gene:Manes.11G152127.v8.1 transcript:Manes.11G152127.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLIVVSDSEVRLDFMLNSKCRANVRLRSLSATTPIAFKVQTSSPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSLPRSPSDRFLFSLRPFPPNSSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSSGDVNSVKNIIKRQRSILSELSPREAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWCELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGWTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHEEILETLERGEVVLMAARRGNLEHLESLLKKGANENYKDQYGFTALRAAAIKGHKDIVSMLVK >Manes.05G026100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2294795:2295300:1 gene:Manes.05G026100.v8.1 transcript:Manes.05G026100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICGSSRSLLGFFVVFSLVFLIFSPSARAQSQAQAPAPAPTSDGTSIDQGIAYILMLVALVLTYLIHPLDASSYSFF >Manes.03G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5323485:5344614:-1 gene:Manes.03G054900.v8.1 transcript:Manes.03G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFVSRAFESMLKECSGKKYPDLQKAIQAYIDSTKESNPQSKSGETNQVASLAEAESSHESDDEAAKAGTQSDQLQNTSEEKPDGKPVRYNGNVTIALANAGCTLDEADVEIVLHPLSLAFETKNLKILESALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMVCSCIDNSSPDSTILQVLKVLLTAVASAKFRVHGELLLGVIRICYNTALHSKNPINQATSKAMLTQMISIVFRRMETDPVSTSSSSAANIKVTSVENTKLEETSTTDQNEEGMTLGDALSQMKEASLASVEELQSLAGGADIKGLEAVLDKAVQIEDGKKMTRGIDLESVGIGERDALLVFRTLCKMGMKEDSDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFSVLMLRFRESLKGEVGVFFPLIVLRSLDGSECPINQKMSVLRMLEKVCKDPQMLVDIYVNYDCDLEAPNLFERMVTTLSKIVQGTQSADPNAVAVSQATSVKGSSLLCLVNVLKSLVDWEKLRRESEKIIKRVQSLEEDLSDAKFVEIKSRGDVPNHFEKAKAHKSTMEAAIGEFNRQPVKGVEYLISNKLVEKNPSSVAQFLRNTPNLDKAMIGDFLGQHEEFPLAVMHAYVDHMKFSGMKFDMAVREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRINSMNNAEDCAPPDLLEEIYDSIVKEEIKMKDDAAGIGKSRQKPESEERGRLVNILNLALPKTKSSSDAESENEAIIKQTQAIFRKQGARRGIFHTVQHTEIIRPMVEAVGWPLLATFSVTMEEGDNKARIILCMAGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDTETDSLRDTWNAVLECVSRLEFITSTPAIAATVMIGSNQISRDAVLQSLREVAGKPAEQVFVNSVKLPSDTIVEFFTALCGVSAEELKQAPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHEEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSSSVRRLIVDCIVQMIKSKVGSIKSGWHSVFMIFTAAADDELESIVDSAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGAVKPIDDNVDATFDVTEYYWFPMLAGLSDLTSDARPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLISSDDEWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDTLLRSIRDASYATQPLELLNALSFENQKSPSVLVMDSGAITGDVADSRQFDISDNGKLSALAVPSSAYSVGENLNASVLPDNTQESGLQSNLDGSEGLPSPSGRIQKPSDSAGIQRSQTFGQKIMENMMDNLFLRGLTTKSKARASDVSVPSSPVTVPDAEPDVKDEEEGPLMTNIRGKCITQLLLLGAIDSIQKKYWSKLKPPQKIAIMDVLLSVLEFAASYNSYPNLKMRMHHIPVERPPLNLIRQELAGTSIYLDVLRKTTTDFHANGGQHLEPNVSDDVDISPVQNNLSFSGDEKLEVIAEEKLVSLCEQVLKEASDLQSSVGETTNMDVHRVLELRSPIIVKVLKGMCFMNSKIFRRHLRDFYPLLTKLVCCDQMEIRGALEDLFRAQLKALVP >Manes.03G054900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5323483:5344730:-1 gene:Manes.03G054900.v8.1 transcript:Manes.03G054900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFVSRAFESMLKECSGKKYPDLQKAIQAYIDSTKESNPQSKSGETNQVASLAEAESSHESDDEAAKAGTQSDQLQNTSEEKPDGKPVRYNGNVTIALANAGCTLDEADVEIVLHPLSLAFETKNLKILESALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMVCSCIDNSSPDSTILQVLKVLLTAVASAKFRVHGELLLGVIRICYNTALHSKNPINQATSKAMLTQMISIVFRRMETDPVSTSSSSAANIKVTSVENTKLEETSTTDQNEEGMTLGDALSQMKEASLASVEELQSLAGGADIKGLEAVLDKAVQIEDGKKMTRGIDLESVGIGERDALLVFRTLCKMGMKEDSDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFSVLMLRFRESLKGEVGVFFPLIVLRSLDGSECPINQKMSVLRMLEKVCKDPQMLVDIYVNYDCDLEAPNLFERMVTTLSKIVQGTQSADPNAVAVSQATSVKGSSLLCLVNVLKSLVDWEKLRRESEKIIKRVQSLEEDLSDAKFVEIKSRGDVPNHFEKAKAHKSTMEAAIGEFNRQPVKGVEYLISNKLVEKNPSSVAQFLRNTPNLDKAMIGDFLGQHEEFPLAVMHAYVDHMKFSGMKFDMAVREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRINSMNNAEDCAPPDLLEEIYDSIVKEEIKMKDDAAGIGKSRQKPESEERGRLVNILNLALPKTKSSSDAESENEAIIKQTQAIFRKQGARRGIFHTVQHTEIIRPMVEAVGWPLLATFSVTMEEGDNKARIILCMAGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDTETDSLRDTWNAVLECVSRLEFITSTPAIAATVMIGSNQISRDAVLQSLREVAGKPAEQVFVNSVKLPSDTIVEFFTALCGVSAEELKQAPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHEEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSSSVRRLIVDCIVQMIKSKVGSIKSGWHSVFMIFTAAADDELESIVDSAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGAVKPIDDNVDATFDVTEYYWFPMLAGLSDLTSDARPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLISSDDEWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDTLLRSIRDASYATQPLELLNALSFENQKSPSVLVMDSGAITGDVADSRQFDISDNGKLSALAVPSSAYSVGENLNASVLPDNTQESGLQSNLDGSEGLPSPSGRIQKPSDSAGIQRSQTFGQKIMENMMDNLFLRGLTTKSKARASDVSVPSSPVPDAEPDVKDEEEGPLMTNIRGKCITQLLLLGAIDSIQKKYWSKLKPPQKIAIMDVLLSVLEFAASYNSYPNLKMRMHHIPVERPPLNLIRQELAGTSIYLDVLRKTTTDFHANGGQHLEPNVSDDVDISPVQNNLSFSGDEKLEVIAEEKLVSLCEQVLKEASDLQSSVGETTNMDVHRVLELRSPIIVKVLKGMCFMNSKIFRRHLRDFYPLLTKLVCCDQMEIRGALEDLFRAQLKALVP >Manes.03G054900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5323485:5344614:-1 gene:Manes.03G054900.v8.1 transcript:Manes.03G054900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFVSRAFESMLKECSGKKYPDLQKAIQAYIDSTKESNPQSKSGETNQVASLAEAESSHESDDEAAKAGTQSDQLQNTSEEKPDGKPVRYNGNVTIALANAGCTLDEADVEIVLHPLSLAFETKNLKILESALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMVCSCIDNSSPDSTILQVLKVLLTAVASAKFRVHGELLLGVIRICYNTALHSKNPINQATSKAMLTQMISIVFRRMETDPVSTSSSSAANIKVTSVENTKLEETSTTDQNEEGMTLGDALSQMKEASLASVEELQSLAGGADIKGLEAVLDKAVQIEDGKKMTRGIDLESVGIGERDALLVFRTLCKMGMKEDSDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFSVLMLRFRESLKGEVGVFFPLIVLRSLDGSECPINQKMSVLRMLEKVCKDPQMLVDIYVNYDCDLEAPNLFERMVTTLSKIVQGTQSADPNAVAVSQATSVKGSSLLCLVNVLKSLVDWEKLRRESEKIIKRVQSLEEDLSDAKFVEIKSRGDVPNHFEKAKAHKSTMEAAIGEFNRQPVKGVEYLISNKLVEKNPSSVAQFLRNTPNLDKAMIGDFLGQHEEFPLAVMHAYVDHMKFSGMKFDMAVREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRINSMNNAEDCAPPDLLEEIYDSIVKEEIKMKDDAAGIGKSRQKPESEERGRLVNILNLALPKTKSSSDAESENEAIIKQTQAIFRKQGARRGIFHTVQHTEIIRPMVEAVGWPLLATFSVTMEEGDNKARIILCMAGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDTETDSLRDTWNAVLECVSRLEFITSTPAIAATVMIGSNQISRDAVLQSLREVAGKPAEQVFVNSVKLPSDTIVEFFTALCGVSAEELKQAPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHEEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSSSVRRLIVDCIVQMIKSKVGSIKSGWHSVFMIFTAAADDELESIVDSAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGAVKPIDDNVDATFDVTEYYWFPMLAGLSDLTSDARPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLISSDDEWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDTLLRSIRDASYATQPLELLNALSFENQKSPSVLVMDSGAITGDVADSRQFDISDNGKLSALAVPSSAYSVGENLNASVLPDNTQESGLQSNLDGSEGLPSPSGRIQKPSDSAGIQRSQTFGQKIMENMMDNLFLRGLTTKSKARASDVSVPSSPVPDAEPDVKDEEEGPLMTNIRGKCITQLLLLGAIDSIQKKYWSKLKPPQKIAIMDVLLSVLEFAASYNSYPNLKMRMHHIPVERPPLNLIRQELAGTSIYLDVLRKTTTDFHANGGQHLEPNVSDDVDISPVQNNLSFSGDEKLEVIAEEKLVSLCEQVLKEASDLQSSVGETTNMDVHRVLELRSPIIVKVLKGMCFMNSKIFRRHLRDFYPLLTKLVCCDQMEIRGALEDLFRAQLKALVP >Manes.03G054900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5323483:5344730:-1 gene:Manes.03G054900.v8.1 transcript:Manes.03G054900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFVSRAFESMLKECSGKKYPDLQKAIQAYIDSTKESNPQSKSGETNQVASLAEAESSHESDDEAAKAGTQSDQLQNTSEEKPDGKPVRYNGNVTIALANAGCTLDEADVEIVLHPLSLAFETKNLKILESALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMVCSCIDNSSPDSTILQVLKVLLTAVASAKFRVHGELLLGVIRICYNTALHSKNPINQATSKAMLTQMISIVFRRMETDPVSTSSSSAANIKVTSVENTKLEETSTTDQNEEGMTLGDALSQMKEASLASVEELQSLAGGADIKGLEAVLDKAVQIEDGKKMTRGIDLESVGIGERDALLVFRTLCKMGMKEDSDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFSVLMLRFRESLKGEVGVFFPLIVLRSLDGSECPINQKMSVLRMLEKVCKDPQMLVDIYVNYDCDLEAPNLFERMVTTLSKIVQGTQSADPNAVAVSQATSVKGSSLLCLVNVLKSLVDWEKLRRESEKIIKRVQSLEEDLSDAKFVEIKSRGDVPNHFEKAKAHKSTMEAAIGEFNRQPVKGVEYLISNKLVEKNPSSVAQFLRNTPNLDKAMIGDFLGQHEEFPLAVMHAYVDHMKFSGMKFDMAVREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRINSMNNAEDCAPPDLLEEIYDSIVKEEIKMKDDAAGIGKSRQKPESEERGRLVNILNLALPKTKSSSDAESENEAIIKQTQAIFRKQGARRGIFHTVQHTEIIRPMVEAVGWPLLATFSVTMEEGDNKARIILCMAGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDTETDSLRDTWNAVLECVSRLEFITSTPAIAATVMIGSNQISRDAVLQSLREVAGKPAEQVFVNSVKLPSDTIVEFFTALCGVSAEELKQAPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHEEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSSSVRRLIVDCIVQMIKSKVGSIKSGWHSVFMIFTAAADDELESIVDSAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGAVKPIDDNVDATFDVTEYYWFPMLAGLSDLTSDARPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLISSDDEWFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDTLLRSIRDASYATQPLELLNALSFENQKSPSVLVMDSGAITGDVADSRQFDISDNGKLSALAVPSSAYSVGENLNASVLPDNTQESGLQSNLDGSEGLPSPSGRIQKPSDSAGIQRSQTFGQKIMENMMDNLFLRGLTTKSKARASDVSVPSSPVTVPDAEPDVKDEEEGPLMTNIRGKCITQLLLLGAIDSIQKKYWSKLKPPQKIAIMDVLLSVLEFAASYNSYPNLKMRMHHIPVERPPLNLIRQELAGTSIYLDVLRKTTTDFHANGGQHLEPNVSDDVDISPVQNNLSFSGDEKLEVIAEEKLVSLCEQVLKEASDLQSSVGETTNMDVHRVLELRSPIIVKVLKGMCFMNSKIFRRHLRDFYPLLTKLVCCDQMEIRGALEDLFRAQLKALVP >Manes.S024616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:335124:335282:1 gene:Manes.S024616.v8.1 transcript:Manes.S024616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.05G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6635536:6636890:-1 gene:Manes.05G080700.v8.1 transcript:Manes.05G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPKKRDVEAGGLRAVPMALESPEQHWFFIRKVYSIIAIQLLVTVAVAATVNLVAPLTHFLVHTKAGLALYIVVIFIPFIVLCPLYYYYQLRPVNYLLLGMFTVALGFSVGFTCAFTSGKIILESATVTAVAVVSLTLYTFWAVRRGHDFSFLGPFLFCAVIAVLLFGLIQIFLPLGKISAMIYGCLASILFCGYIVYDTDNLIKRYAYDEYIWAAVSLYLDIINLFLSLLTLCSAREG >Manes.09G059028.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9549780:9554147:-1 gene:Manes.09G059028.v8.1 transcript:Manes.09G059028.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPENENFPKSPHQLPHSSYPSEPETNTKSSLLQPLMGSQLFPPGSLSMEDINYCGSPLSASFPFEFGAFSVIEQSVYSLSEMYEFEANPISESASNGPSYGGGTVDDSEENIGVPQPLAMLQENPIPPFLSKTYDLVNDQSLDPIISWGNTGESFVVWDPVEFARVILPRNFKHNNFSSFVRQLNTYGFHKIDTDKWEFANKAFRRGERHLLKNIQRRKSPQSQQVGSYYTGPSTEARKSELESEVERLRKERRMMMREVVELQQQLHGSTHHIEAVKQRLQKAEQRQKQMISFLAKLFQNPAFLVFLRQNKQQRGIGSSRMKRKFVKHKQHEPGQSESPMEGQTGKYRPKCINVSSSIVVPAVNPAPVDQSPGYILQEIVEMGQDAEGVAFQIENVLPDEFAISDELAMMQGLFKNPEKFQEGTLNMGNEDPQIKGENVAYTEQEVAPEYFAPFLEDLAVRKNFPEFSSTGIDSIVKQEDVWSMEFENQAGMCSSSQELWSNLANYDVPKIGLTGGFSDIWDLGLAQVAGSSGAHKWPADENPVDGPESHAGQPKYDKSKNIDP >Manes.12G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4195844:4199068:-1 gene:Manes.12G046700.v8.1 transcript:Manes.12G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLLFLVLFAEGVVAFLLLVDIGPLRELVIKSLDQLKMGRGPATVKTIAGTMSLILVSSITSSFKIQNKGAKLGTMSPMDQVLWRTYLLEASLIGFTLFLGFMIDRMHHYLTEFIGLRSSIGSSKEEVERLQKEKMQLKDKEEKASKEIQQLKEQISTLTKNLNKLKLENEEKDKQIETAEAHVVSLQKQSADLLLEYDRLLEDNQNLQAQSLGYKG >Manes.07G060100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8286237:8287734:-1 gene:Manes.07G060100.v8.1 transcript:Manes.07G060100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEHFVSAQCVLIIILIVAPTVSSDDTTPIPADDAKVRNWFQTNVKPLASRKGTLDPALVAAEGKPKIIKISKDGKGDFRTLTDAIKSIPSGNKQRVIMKIGPGVYTEKITIDMNKPFITFLGAPNAMPTLAFGGTALEYGTVDSASVMVLSDYFMATYIIFKNTAPGPNSKKPGAQAVALRISGDKATFYNCRMLGFQDTLLDERGRHFFKNCYIEGTVDFIFGSGKSLYLETEIHVIDNKGAFITAQAKTKKSEDYGYSFVQCKITGKGSGTYLGRAWKTMPEVVFSYTKMGAVVNPLGWSNNNIPERDSTVFFGEYKNSGPGAAPKRRVKFTKQLTDREAKRFISLGYIQGSKWLLPPPL >Manes.16G042400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:6742385:6745863:1 gene:Manes.16G042400.v8.1 transcript:Manes.16G042400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIFSSLRRRRSPSLESFLAPVELSDAALVQTLASVSTDLVSCFSAKSLFFQRRNSLSLIRKIELFSVLLEYLRDSGSGSSLSSTAVVCFKELYLLLYRSKILLDYCTQSSKLWLLIQNRSISGHFHDLNQEISTLLDVFPLDVLELSEDVREQTELMQKQARKARLFIDKNDEALRVRFFSFLDEFEKGRIPSLEELRLFFVENLGIKDARSCRTEIEFLEEQIVNHEGDVEPTASVLNGFVAITRYCRFLLFGFATDKVELQFESQKKPRKGLITREIADTFITIPKDFCCPISLDLMRDPVIISTGQTYDRSSISRWVEEGHCTCPKTGQMLTNSRLVPNRALRNLIVQWCTAHGMPYEPPENIDSSAEAFSAALPTKAALEANRATAALLIQQLADGSQVAKTIAAREIRLLAKTGKENRAFIAEAGAIPHLHKLLSSTNPIAQENSVTAMLNLSIYDKNKSRIMDEDGCLGSIVEVLRLGLTIEARENAAATLFSLSAVHDYKTRIADERGAVEALAGLLKVGTPRGKKDAVTALFNLSTHTENCARMIEAGAVTALVVALGNEVVAEEAAGALALIVRQPIGSEAVGKEEMAVAGLIGMMRCGTPRGKENAVAALLELCRSGGLAATERVLRAPALAGLLQTLLFTGTKRARRKAASLARVFRKCENYALHFGGLGAGYAFAGNSTTSRDSSFVGDVSVAMSISVPVL >Manes.16G042400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:6742322:6746540:1 gene:Manes.16G042400.v8.1 transcript:Manes.16G042400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQARKARLFIDKNDEALRVRFFSFLDEFEKGRIPSLEELRLFFVENLGIKDARSCRTEIEFLEEQIVNHEGDVEPTASVLNGFVAITRYCRFLLFGFATDKVELQFESQKKPRKGLITREIADTFITIPKDFCCPISLDLMRDPVIISTGQTYDRSSISRWVEEGHCTCPKTGQMLTNSRLVPNRALRNLIVQWCTAHGMPYEPPENIDSSAEAFSAALPTKAALEANRATAALLIQQLADGSQVAKTIAAREIRLLAKTGKENRAFIAEAGAIPHLHKLLSSTNPIAQENSVTAMLNLSIYDKNKSRIMDEDGCLGSIVEVLRLGLTIEARENAAATLFSLSAVHDYKTRIADERGAVEALAGLLKVGTPRGKKDAVTALFNLSTHTENCARMIEAGAVTALVVALGNEVVAEEAAGALALIVRQPIGSEAVGKEEMAVAGLIGMMRCGTPRGKENAVAALLELCRSGGLAATERVLRAPALAGLLQTLLFTGTKRARRKAASLARVFRKCENYALHFGGLGAGYAFAGNSTTSRDSSFVGDVSVAMSISVPVL >Manes.06G122400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25302148:25308220:1 gene:Manes.06G122400.v8.1 transcript:Manes.06G122400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETLEEKKSEEEAHVDEKEEAGHEDEKDQPEDTQMKDEEVNSEAQGNEASGEKQVEEVEKEEEKGEEPKEEKEKDEHEEEQKQEEEGTRKAKRGGRRASGKKEQNDSKKPSQESAEKKQSKQSIQKKEKEPVTPSSERPTRERKTVERYSAPEPGRSASKPLTIEKGRGTQLKDIPNVAFKLSKRKPDDNLQTLHSILFGKKAKAHNLKKNIGQFSGYIWAENEEKQKAKVREKLDKCVKEKLVDFCDVLNIPISKALVKKEELTVKLLEFLESPHATSDVLLADKEQKGKKRKVTTGKNASPGEASATPAKKQRRTSQGGEKLKQSSKDDEDEDEDKVESTDAKDAKDDSLDDVDENEAVPKEESDHEESKSEEEEEEPKEKMSIPKTSQKNVKESSGAKSKEKLTPGKKSTQAKPVKSPAKSNKKSSSLSSKRGATDTDGNSSSHSKSKGSTSKKQKVEKESPTERSASSKKQSGKAKSGRKAKAEPSREDMHAVVVDILKEVDFNTATLSDILRQLGTHFGVDLMHRKAEVKDIITEVINNMSDEEEEEEAEDDTEAGADADKDGDDDA >Manes.06G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25302148:25308220:1 gene:Manes.06G122400.v8.1 transcript:Manes.06G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETLEEKKSEEEAHVDEKEEAGHEDEKDQPEDTQMKDEEVNSEAQGNEASGEKQVEEVEKEEEKGEEPKEEKEKDEHEEEQKQEEEGTRKAKRGGRRASGKKEQNDSKKPSQESAEKKQSKQSIQKKEKEPVTPSSERPTRERKTVERYSAPEPGRSASKPLTIEKGRGTQLKDIPNVAFKLSKRKPDDNLQTLHSILFGKKAKAHNLKKNIGQFSGYIWAENEEKQKAKVREKLDKCVKEKLVDFCDVLNIPISKALVKKEELTVKLLEFLESPHATSDVLLADKEQKGKKRKVTTGKNASPGEASATPAKKQRRTSQGGEKLKQSSKDDEDEDEDKVESTDAKDAKDDSLDDVDENEAVPKEESDHEESKSEEEEEEPKEKMSIPKTSQKNVKESSGAKSKEKLTPGKKSTQAKPVKSPAKSNKKSSSLSSKRGATDTDGNSSSHSKSKGSTSKKQKVEKESPTERSASSKKQSGKLSSQASARDQGKAKSGRKAKAEPSREDMHAVVVDILKEVDFNTATLSDILRQLGTHFGVDLMHRKAEVKDIITEVINNMSDEEEEEEAEDDTEAGADADKDGDDDA >Manes.08G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1272050:1283555:1 gene:Manes.08G010800.v8.1 transcript:Manes.08G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGTHQDDGDKNHPPATTVSRAGTTRSWGNTTVSGQSVSTSGSVGSPSSRSEHAMPTPASDSTFLRLNHLDIHADDAATQDAAANKKKKRGQRATGADKSGRGLRQFSMKVCEKVESKGTTTYNEVADELVAEFADPSNSVSSPDQQQQYDEKNIRRRVYDALNVLMALDIISKDKKEIQWKGLPRTSLSDIEELKAERLGLRNRIEKKTAYLQELEEQYIGLQNLIHRNEQLYSSGNAPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQSDDTAANLANDGGEGCSMSNMIQQQILPNPGTSTPIRPPTSPPIPGIIKARVKHEH >Manes.18G034222.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2990356:2999150:1 gene:Manes.18G034222.v8.1 transcript:Manes.18G034222.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTLKSLLLLLLSFHLLAIHSFCDANQVNNLREIIRSHKLNSASHTESWNKEDAEYSPPSSLYIGSQEGLMEADKIDTLPGQPKGVDFNQYAGYVTVDPKNGRALFYYFVESPQNSSTKPLVLWLNGGPGCSSLGYGAMEELGPFRVNSDGRTLYRNEYAWNNVANVIFLESPAGVGFSYSNTSSDYITGDKKTAEDSYTFLVNWLERFPQYKSRDFYITGESYAGHYVPQLAYTILSNNNTTNQTINLKGIAIGNAWIDDNTAIKGTYDYYWTHALNSDETNAGINEYCDFLTGNFSSKCFDYQDQGDTEVGNLDYYNIYAPLCNQSPPKSGSPGSVKDFDPCSSYYVLSYLNLAEVQTALHAKGTEWQACRGHLVKWTDSPTTILPTIEKLMASGIRLWIYSGDVDSVVSVTSSRYAINTFKLAITTPWQPWYANNEVGGYVEEYQGLTLVTVRGSGHTVPSYQPERALTMIYSFLLGTLPPSS >Manes.07G108202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31421336:31423618:-1 gene:Manes.07G108202.v8.1 transcript:Manes.07G108202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCFKSGTKAQADPQFEVNHECVGKGGNDTSKYSSKVSSATIYSVPRTDGEILPSPNLESFDYSELKEATCNFCLDFLLGEDGFGLVFKGWIDEHSLKHVRPETGMPIAVKRLDQRGSRGQQEWLAEIKYMGQLHHPNLVKLIGYCLEDDLRLLVYEFVPNGNLENHLFGRAGDSHFQPFSWDLFMKVSLGAAKALAFLHYKADVIYRNFKTSNILLDSNYNPKLTDLGLAKDGPIGCNTHVSTRVLGTEGYAAPEYIRTGHLTAKNDVYSFGVVLLEMLSGRRAIDRNKPSEEQMLAPHAKRTINMRKFSQVLHPSILGQYPKSSVLKVAQLASQCVSDEPNFRPNMKDVVEVLEELQKSNDNERCKSSKHCK >Manes.11G108701.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLGFPFLVERRTRRWLGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222758:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLGFPFLVERRTRRWLGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMTLFVALVVIILFISSSAVNCESDGDGQWQTLTKQNFSSQIRLHPHVLVLVSVPWSGESRSLMKEISRLVSDRLEEFESLKLMYMQRNKEKLLADAIGATEGIITILYYHHSSSYKYQGKLIAGNILSSIVPYMSVSPHEIPLKALKTQEELKMFLDSTDKALILLEFCGWTPELMARKKRNATGDFAVAGFNGDAARIPVPGGKENQKGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199784:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMTLFVALVVIILFISSSAVNCESDGDGQWQTLTKQNFSSQIRLHPHVLVLVSVPWSGESRSLMKEISRLVSDRLEEFESLKLMYMQRNKEKLLADAIGATEGIITILYYHHSSSYKYQGKLIAGNILSSIVPYMSVSPHEIPLKALKTQEELKMFLDSTDKALILLEFCGWTPELMARKKRNATGDFAVAGFNGDAARIPVPGGKENQKGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25201966:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAVIELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLGFPFLVERRTRRWLGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLGFPFLVERRTRRWLGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222758:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMTLFVALVVIILFISSSAVNCESDGDGQWQTLTKQNFSSQIRLHPHVLVLVSVPWSGESRSLMKEISRLVSDRLEEFESLKLMYMQRNKEKLLADAIGATEGIITILYYHHSSSYKYQGKLIAGNILSSIVPYMSVSPHEIPLKALKTQEELKMFLDSTDKALILLEFCGWTPELMARKKRNATGDFAVAGFNGDAARIPVPGGKENQKGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25202824:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAVIELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMTLFVALVVIILFISSSAVNCESDGDGQWQTLTKQNFSSQIRLHPHVLVLVSVPWSGESRSLMKEISRLVSDRLEEFESLKLMYMQRNKEKLLADAIGATEGIITILYYHHSSSYKYQGKLIAGNILSSIVPYMSVSPHEIPLKALKTQEELKMFLDSTDKALILLEFCGWTPELMARKKRNATGSGDFAVAGFNGDAARIPVPGGKENQKGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222758:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLGFPFLVERRTRRWLGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMTLFVALVVIILFISSSAVNCESDGDGQWQTLTKQNFSSQIRLHPHVLVLVSVPWSGESRSLMKEISRLVSDRLEEFESLKLMYMQRNKEKLLADAIGATEGIITILYYHHSSSYKYQGKLIAGNILSSIVPYMSVSPHEIPLKALKTQEELKMFLDSTDKALILLEFCGWTPELMARKKRNATGSGDFAVAGFNGDAARIPVPGGKENQKGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.11G108701.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25199785:25222497:1 gene:Manes.11G108701.v8.1 transcript:Manes.11G108701.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLGFPFLVERRTRRWLGIEIASLKCSAQDGFGGIPWLGEFSSVNGSSPFHDTDNVKPTVGLSSCTFEEFQQFDSFLSGFINVAREFFLPSERYRFGLVSEISLLSSLGVGDSGSWSTMLYVNGCPSCSKVLKEGDDLKNVLLMGESMVTELEGNGQDLDPAVPASKPSLLLFVDRFSNSAETKRRSKEALDTFRTLALEYQISDQIDQQNSDKPERSSAQLISGHPRLKLSPMAQKIKLKEKMSVTILNEGKYTIRGSSLHEILTYLLQQNKEAKLSSIAKDVGFQLLSDDFDIKTDKLPSEPQVESSEVSAIVSEEDLAKSSANLDEDSASNHDQSYQPTDIECPSPNDEEDISRHLISTKPDQPVSGDGLAISVVSAEEKVSIQVDQLKEEQHQFQSFKGSFFFSDGNYRLLRALTGETKVPSLVIIDPISQQHYVPEQKNFGYASLEDSIHRFLNGILTPYQQSESEPENPREGTRPPFVNVDFHEADSIPRVTVRTFTEQVFGFNQSDDDNAWKEDVLVLFSNSWCGFCQRMELVVREVYRAIKGHMNMLKTGSWNGESETVVNDSLKNNNMKFPKIFLMECTLNDCSLILKSANQREVYPALLLFPAERKTSVSYEGDMAVADVIKFMAVHGSSSQHLTNEKGILWTLAEKSSKSHSKDVLPSTVRKDAPVGKGKYHEVLLKNRTPRRSVDFSDIKSHASKDFHETIPNVLVGSTLVATEKLAMPPFDKSMVLIVKANKNTGFQGLIYNKLIKWESLDDLEKGFELLKEAPLSFGGPLIRRGAPFVALTRRLIKDQLPEVASGIYFLDQKATLHEIEELKSGNQSIADYWFFYGYASWGWDQLYEEIAGGAWYISMDNMGQLEWPGSHV >Manes.17G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24770647:24771969:-1 gene:Manes.17G050400.v8.1 transcript:Manes.17G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKKDYLDLILVPSGLLIMFGYHLFLLYRYLNLPHTTVMGFDNNDKRAWVERIMQADKRDASIALAVIASNTSAATFLATVSLTLSSLIGAWLGNSSNNIFQSELVYGDTSSSTISIKYISLLTCFLLAFSCFVQSARHFVHANYLISTPDSDIPVKNVELAVIRGGDFWSLGLRALYFALDLLLWFFGPIPMFVSSVIMVIILHYLDTNSTPLHRYRPPESQMIKRVGEILSEVVVDIEEHLVK >Manes.10G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:782086:783626:1 gene:Manes.10G006000.v8.1 transcript:Manes.10G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQNFLLLSLVAACTSAVAYGNLSVNYYSSTCPKALSIIHAGVAAAIKKEPRMGASLLRLHFHDCFVNGCDGSILLDDNATFIGEKTAVVNNNSIRGFSVIDHIKAKFGGPSWKVRLGRRDSLTASRALANSSIPPATFNLSALITSFSAQGLSLRNLVALSGSHTIGLARCATFRGHIYNDSNIDPSFAKSLRRICPRSGRDNVLAPLDRHTPACFDNLYYKHLLQGKGLLHSDQELFNGSSADFLVRRYASNPHDFFKDFAKAMVKMSNIKPLTGKQGEVRKNCRKVN >Manes.10G006000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:782086:783626:1 gene:Manes.10G006000.v8.1 transcript:Manes.10G006000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQNFLLLSLVAACTSAVAYGNLSVNYYSSTCPKALSIIHAGVAAAIKKEPRMGASLLRLHFHDCFVNGCDGSILLDDNATFIGEKTAVVNNNSIRGFSVIDHIKAKVEKACPGVVSCADIVALAARDSVVYFGGPSWKVRLGRRDSLTASRALANSSIPPATFNLSALITSFSAQGLSLRNLVALSGSHTIGLARCATFRGHIYNDSNIDPSFAKSLRRICPRSGRDNVLAPLDRHTPACFDNLYYKHLLQGKGLLHSDQELFNGSSADFLVRRYASNPHDFFKDFAKAMVKMSNIKPLTGKQGEVRKNCRKVN >Manes.01G169100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35166593:35171851:1 gene:Manes.01G169100.v8.1 transcript:Manes.01G169100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQSSQENPDNVNPEEQSAIQVRSYDCTFCKRGFSNAQALGGHMNIHRKHKAKLKHPSPNEVPQSPDIPKILDPSFSPIPTSTTHLFMEPKSSQVASSNNWPWFLDKESDDASNTNKTHVGEIQQLSLFVDKPSRKDHHHQQQQLSCDQVHGSTGKGLSSSELDLELRLGPEPQDSSPATGTQVWTMAEIVRTSC >Manes.01G169100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35166593:35171851:1 gene:Manes.01G169100.v8.1 transcript:Manes.01G169100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQSSQENPDNVNPEEQSAIQVRSYDCTFCKRGFSNAQALGGHMNIHRKHKAKLKHPSPNEVPQSPDIPKILDPSFSPIPTSTTHLFMEPKSSQVASSNNWPWFLDKESDDASNTNKTHVGEIQQLSLFVDKPSRKDHHHQQQQLSCDQVHGSTGKGLSSSELDLELRLGPEPQDSSPATGTKRNSGMDNG >Manes.10G097901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24670741:24671178:-1 gene:Manes.10G097901.v8.1 transcript:Manes.10G097901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKRLLNSARKWEMLAALRRKRIIPSQTIRETRSYGTLEMAEKGHFVVYSADQKRFLLPLEYLNNEIIIELFNMAEDEFRLPSKGPLTLSCDAELLEYAISFIKQQVTGDIEKALLMSIGSCCSSSFYVQHRETSHQLPVCSF >Manes.13G009500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1297634:1298032:1 gene:Manes.13G009500.v8.1 transcript:Manes.13G009500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATNKGRGFFKGKLTKAKTFLRVNSKPSIPGQCGTNKVSPSPYSLNGSVDFSSFQSHANPSSIQRSSAHQPSSIPLQFSGNIQSTQKVSAAQLRSFHDYGGDENVDMKAASYISYVRERFKVEKLDSEAW >Manes.15G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7728240:7732107:-1 gene:Manes.15G098900.v8.1 transcript:Manes.15G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSANFKFVKGDIGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFSMDPETSIKFVENRPFNDQRYFLDDQKLKILGWSERTIWEEGLKKTMEWYIQNPDWWGDVTGALLPHPRMLMMPGGRHFDGSEENKSAPYASNNSNQTRMVIPVSRTSTSGSPRKSSLKFLIYGRTGWIGGLLGKLCEKQGIAYEYGRARLEDRSSVLSDIQNVRPTHVLNAAGITGRPNVDWCESHKTETIRTNVAGTLTLADVCREHNILMVNYATGCIFEYDSTHPEHSGIGFTEEEKPNFIGSFYSKTKAMVEELLKEYDNVCTLRLRMPISSDLNNPRNFIYKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKSHVNPNFTWVNFTLEEQSKVIVAPRSNNEMDASKLKKEFPELLSIKESLLKYVFVPNKKV >Manes.03G165400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29178413:29180578:1 gene:Manes.03G165400.v8.1 transcript:Manes.03G165400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRKSSLPPITSPSGSSIFGPRSHSSDTSFPIIAIAIIGILATAFLLVSYYIFVIKCCLNWHRIDLLRRFSLSRNRNRDDPLMGYYPAIETRGLDESVIRSIPIFKFKNRDFGERSFCECAVCLNEFQEDEKLRIIPNCGHVFHIDCIDIWLQNNANCPLCRNSISTNTTRFPIDHIIAPSSSPQDPNPYSESVIGGDEDYVVIELGNHNSADQTLLEAQERLMNSGELSARSVSPSPIPRRKLEQRGSALHKKARKFNRLISMGDECIDIRGKDDQFEIQPIRRSFSMDSSTDRQLYLSIQEIVQQSRQVINELSPVEGCSNTGRPRRTFFSFGHNRGSSRSSVLPVYLDP >Manes.09G161100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35584493:35587862:1 gene:Manes.09G161100.v8.1 transcript:Manes.09G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTFKLATIKSLTTLLRTVTRLPGVLRPAPPPPSSSAMGSLPFTMSDLQLSSKEVQGSPTLLCTPLMGTAVDQMLIEMQRAKDIGADVVEIRLDCLKNFSPRQDLETLIKQSPLPTLVTYRPSWEGGQYEGDETKRQDALRLAMQLGATYVDIELQVAHDFNNSISGSKPDNFKVIVSSHNFHNTPSAEAIANLVARIQATGADIVKIATTALDITDCARIFQIMVHSQVPIIGIVMGERGLISRLLSPKFGGFLTYGALEAGGVSASGQPTAKDLLDLYNFRLIRPDTKVYGIIGKPVGHSKSPLLFNASFKSVGLNAVYMHFLVDDVEKFFNTYSSVDFASGCSCTIPHKEVALKCMDEIDPIAKKIGAINNIVRRPDGTLMAYNTDYIGAISAIEDGLRELNGKVPAGTSPLAGKLFVVLGAGGAGKSLAYGGAQKGARVVVANRSYERAKELADKVGGQAMALAEVEHFHPEEGMVLANTTSVGMKPNFDATPLAQHALKHYCLVFDAIYTPKDTRLLREAKESGALVVYGTEMLIRQGFEQYKNFTGLPAPEELFRQLMDKHA >Manes.S037016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:987509:987667:1 gene:Manes.S037016.v8.1 transcript:Manes.S037016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.09G082308.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:19479199:19479952:1 gene:Manes.09G082308.v8.1 transcript:Manes.09G082308.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIAQQPTVTRGPPTAITWSSCGKRTTGLSTDACLSGDGWLAGRLLHGVAGRRDWQSDPVRTMDPDFHYEFCQRSSCRLSRSSHRQRAVAMQWSVASPSRESA >Manes.01G043450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8553536:8561812:1 gene:Manes.01G043450.v8.1 transcript:Manes.01G043450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIVYYTKLKKLWDKSACLRPVSTCNCGASKQLVEIKSDDRLMQFLMGLNGAYDHVKNQLLLMDPLPNIDKAYSMMLRVEKQREVNSIVIDCIESSIAMQVQGPNYKKEGERNQYKKKDDRYCSHYKNIGHTNETCFKLHDNPEWYKQKKNKYSRNMENGDAEDSHEAESSNTANNNESRNENLANLVHQEVMRIMKGKMVNEAGCVEFTGFAVISEFPYNVFTQTDIVDNMTSQSTWIIDSGATSHMCLVNNLFSS >Manes.02G160400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12543169:12547284:1 gene:Manes.02G160400.v8.1 transcript:Manes.02G160400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKVETVIAGNYIEMEREEGNSNSTKSKISQLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNAGLIFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYLTIASLIHGQIDGVKHSGPSTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLMATLYVLTLTLPSASAVYWAFGDLLLTHSNALSLLPRTGYRDTAVVLMLIHQFITFGFACTPLYFVWEKFIRVHETKSVFKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMITFSTASARENAVERPPSFLGGWVGQFCMNIFVVVWVFIVGFGFGGWASMLNFIRQIDTFGVFTKCYQCPPHKA >Manes.07G029200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3184466:3185489:-1 gene:Manes.07G029200.v8.1 transcript:Manes.07G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKLLRSWSSPYGLRVVWALKLKGVEYEEIFEDLSNKSPLLLQYNPVYKKIPVLVHNGRPICESLLILEYLEETFKQYPLLPQDPHKRATARFWAKFGDEKILPTIRFGALVKQGKEQEEAIVSIIESLKYLEEELRGKKFFGGESIGLVDLALGWIGYYMDVFEEVIGVKFIDKEKFPSLVAWMQEFSNVPIIQQNWPPRDKLIDRFAGVRKAAF >Manes.10G044100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4588554:4589426:1 gene:Manes.10G044100.v8.1 transcript:Manes.10G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIEEFEDALSLCDLSDLCDHEIDPSNEILGSPSTHEDFFEFSTSETHSTMVDDNIIFCGKVISCKTELNDLRNPPFPSSTSPSSSSLLPKKNKLSTSNSSSKNSSKSGTFRIPSVNSRKQKVMIGLAKIPAKMELSDLRERQNRRPPSTMSPGLGSVVADSGKSSWGLIRLFRVRSPGISSMLPKNSFGCISLARPCID >Manes.05G188250.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31006816:31007715:-1 gene:Manes.05G188250.v8.1 transcript:Manes.05G188250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQGNEVHWGSCQELAKLLDVLIGRWSSGRPLEARPTGTWQAAGKKRARAQHCRKEVHGCSGGAACVGPVGVAAHAAQRPNEDWRRLAQHAGRRAAQQAEEVRGRAAQSPNEVHGGTVAHRRRPATGPQQAGAQQTGLARPQACQTRQTSPEEVQTGQKIPERPRFAGKRPGQQNGAKMETKEASPRSSLGSTNSGDF >Manes.18G054064.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4587256:4587905:1 gene:Manes.18G054064.v8.1 transcript:Manes.18G054064.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLCRSEEERKFQFLIVLCAIDCFAVLLSPEQYVWV >Manes.01G029001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5839289:5840543:1 gene:Manes.01G029001.v8.1 transcript:Manes.01G029001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFCNLLILALINMVSAVCNNSLDVRITEEEEVEEVTEDNQVGSEVWNFKLDELKLACGYRFSPHDSELIVHYLLRKILGQQLPVNIIPEIDLYQYDADQLPISEFKHGKPGEAYFFTRVERRYSRGSSWKRTTKTGFWKATGSDVQVEYKNKVIGLKKTMVFYWGKAPVGEKSPWIMHEYRVHPNFFSQDDEYDRFKSMLESYVVCRIRSKGEDSDSESKSFRRKAGKKNRKFKWN >Manes.04G163500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35715609:35721067:1 gene:Manes.04G163500.v8.1 transcript:Manes.04G163500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWRNRRPRRFFYRQYKDPASSYYDPEEPTPYPEFAEDGVPSWEKKFCSLIGSVPWQKIVNVKKFMYCHGNVLNWDDSAGEEAFQNAKKRFWAEINGLTSEISLPDPDKYVDNIDWNPDIDPELITDLELSFFAPNEGEKEGKVEQKNKKFRDYVAVPSEGWNKNSGENITTWKCDYNMQSSVALKDKGQCWNQWHPSTDNPKNLINGDKPSNHSFAQGNEAEKSNTWGDDNKLWRENHNGNHGKELKDWDNNASHDNNPWECSFSQRSEGMKGNAWGDCSDKAWGWSHSANQVNQSKDWDSGYNPWKCSSEGVVHAREKKQGNHSWANNPPETGTSRQSNFSQGSGSLKDRQWRGWKRWDNHYREPKDLELRQVDGGWGTSNAGNRKREGTHQYLTGNKQTRFQGNGYKTGYRWRG >Manes.04G163500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35715609:35720096:1 gene:Manes.04G163500.v8.1 transcript:Manes.04G163500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWRNRRPRRFFYRQYKDPASSYYDPEEPTPYPEFAEDGVPSWEKKFCSLIGSVPWQKIVNVKKFMYCHGNVLNWDDSAGEEAFQNAKKRFWAEINGLTSEISLPDPDKYVDNIDWNPDIDPELITDLELSFFAPNEGEKEGKVEQKNKKFRDYVAVPSEGWNKNSGENITTWKCDYNMQSSVALKDKGQCWNQWHPSTDNPKNLINGDKPSNHSFAQGNEAEKSNTWGDDNKLWRENHNGNHGKELKDWDNNASHDNNPWECSFSQRSEGMKGNAWGDCSDKAWGWSHSANQVNQSKDWDSGYNPWKCSSEGVVHAREKKQGNHSWANNPPETGTSRQSNFSQGSGSLKDRQWRGWKRWDNHYREPKDLELRQVDGGWGTSNAGNRKREGTHQYLTGNKQTRFQGNGYKTGYRWRG >Manes.01G097900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29930224:29933219:1 gene:Manes.01G097900.v8.1 transcript:Manes.01G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIQVAVVDISISRINAWNSDQLPIYEPGLDGVVKECRGRNLFFSTDVEKHVSEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIRDLLSPDRVLIGGRETPEGQAAIKALKDVYAKWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTEVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPDVAEYWKQVIKINDYQKNRFVNRVVSSMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVTDDQIQRDLTMKKFDWDHPLHLQPMSPTTVKQVSVVWDAYEATKDAHGLCILTEWDEFKTLDYQKIYDKMQKPAFVFDGRNVVNVEKLREIGFIVYSIGKPLDAWLKDMPAVA >Manes.10G001000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:579505:583546:1 gene:Manes.10G001000.v8.1 transcript:Manes.10G001000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKRLIPIKQRLVDAVKHVRGPSFCFLHPVPASYVELHSQEQEVVIALGSNVGNRLNNFNQALQLMKKYGITITRHGCLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTYGIRYGPRPIDLDILFYGKFRINSDTLTVPHERIWERPFVMAPLMDVLGSDVENDTVACWHSLSTHSGGLFESWEKLGGENLIGKEEMKRVLPIGNHLWDWSRKTSVMGVINLTPDSFSDGGKFQSVDSIVSHVCSMISEGADIIDFGAQSTRPMASRISPQEELDRLMPVLEAVAKIPEMNGKLISVDTFYSEVALEAVKNGAQVVNDVSGGQLDPNMTKVVAGLEVAYVAMHMRGDPTTMQNSENLQYDNVCKQVASELYSQIKNAELSGVPAWRIIMDPGIGFSKNTWHNLDILMGLPTIRAEIARKSLAMSHAPMLIGPSRKRFLGEICARPAAVERDPATVASITAGILGGANIVRVHNVRDNLDAAKLCDAMLRQKRPSA >Manes.10G001000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:579505:583546:1 gene:Manes.10G001000.v8.1 transcript:Manes.10G001000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKRLIPIKQRLVDAVKHVRGPSFCFLHPVPASYVELHSQEQEVVIALGSNVGNRLNNFNQALQLMKKYGITITRHGCLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTYGIRYGPRPIDLDILFYGKFRINSDTLTVPHERIWERPFVMAPLMDVLGSDVENDTVACWHSLSTHSGGLFESWEKLGGENLIGKEEMKRVLPIGNHLWDWSRKTSVMGVINLTPDSFSDGGKFQSVDSIVSHVCSMISEGADIIDFGAQSTRPMASRISPQEELDRLMPVLEAVAKIPEMNGKLISVDTFYSEVALEAVKNGAQVVNDVSGGQLDPNMTKVVAGLEVAYVAMHMRGDPTTMQNSENLQYDNVCKQVASELYSQIKNAELSGVPAWRIIMDPGIGFSKNTWHNLDILMGLPTIRAEIARKSLAMSHAPMLIGPSRKRFLGEICARPAAVERDPATVASITAGILGGANIVRVHNVRDNLDAAKLCDAMLRQKRPSA >Manes.10G001000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:579505:583546:1 gene:Manes.10G001000.v8.1 transcript:Manes.10G001000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKRLIPIKQRLVDAVKHVRGPSFCFLHPVPASYVELHSQEQEVVIALGSNVGNRLNNFNQALQLMKKYGITITRHGCLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTYGIRYGPRPIDLDILFYGKFRINSDTLTVPHERIWERPFVMAPLMDVLGSDVENDTVACWHSLSTHSGGLFESWEKLGGENLIGKEEMKRVLPIGNHLWDWSRKTSVMGVINLTPDSFSDGGKFQSVDSIVSHVCSMISEGADIIDFGAQSTRPMASRISPQEELDRLMPVLEAVAKIPEMNGKLISVDTFYSEVALEAVKNGAQVVNDVSGGQLDPNMTKVVAGLEVAYVAMHMRGDPTTMQNSENLQYDNVCKQVASELYSQIKNAELSGVPAWRIIMDPGIGFSKNTWHNLDILMGLPTIRAEIARKSLAMSHAPMLIGPSRKRFLGEICARPAAVERDPATVASITAGILGGANIVRVHNVRDNLDAAKLCDAMLRQKRPSA >Manes.10G001000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:579505:583546:1 gene:Manes.10G001000.v8.1 transcript:Manes.10G001000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKRLIPIKQRLVDAVKHVRGPSFCFLHPVPASYVELHSQEQEVVIALGSNVGNRLNNFNQALQLMKKYGITITRHGCLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTYGIRYGPRPIDLDILFYGKFRINSDTLTVPHERIWERPFVMAPLMDVLGSDVENDTVACWHSLSTHSGGLFESWEKLGGENLIGKEEMKRVLPIGNHLWDWSRKTSVMGVINLTPDSFSDGGKFQSVDSIVSHVCSMISEGADIIDFGAQSTRPMASRISPQEELDRLMPVLEAVAKIPEMNGKLISVDTFYSEVALEAVKNGAQVVNDVSGGQLDPNMTKVVAGLEVAYVAMHMRGDPTTMQNSENLQYDNVCKQVASELYSQIKNAELSGVPAWRIIMDPGIGFSKNTWHNLDILMGLPTIRAEIARKSLAMSHAPMLIGPSRKRFLGEICARPAAVERDPATVASITAGILGGANIVRVHNVRDNLDAAKLCDAMLRQKRPSA >Manes.10G001000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:579505:583546:1 gene:Manes.10G001000.v8.1 transcript:Manes.10G001000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKRLIPIKQRLVDAVKHVRGPSFCFLHPVPASYVELHSQEQEVVIALGSNVGNRLNNFNQALQLMKKYGITITRHGCLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTYGIRYGPRPIDLDILFYGKFRINSDTLTVPHERIWERPFVMAPLMDVLGSDVENDTVACWHSLSTHSGGLFESWEKLGGENLIGKEEMKRVLPIGNHLWDWSRKTSVMGVINLTPDSFSDGGKFQSVDSIVSHVCSMISEGADIIDFGAQSTRPMASRISPQEELDRLMPVLEAVAKIPEMNGKLISVDTFYSEVALEAVKNGAQVVNDVSGGQLDPNMTKVVAGLEVAYVAMHMRGDPTTMQNSENLQYDNVCKQVASELYSQIKNAELSGVPAWRIIMDPGIGFSKNTWHNLDILMGLPTIRAEIARKSLAMSHAPMLIGPSRKRFLGEICARPAAVERDPATVASITAGILGGANIVRVHNVRDNLDAAKLCDAMLRQKRPSA >Manes.10G001000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:579505:583546:1 gene:Manes.10G001000.v8.1 transcript:Manes.10G001000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKRLIPIKQRLVDAVKHVRGPSFCFLHPVPASYVELHSQEQEVVIALGSNVGNRLNNFNQALQLMKKYGITITRHGCLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTYGIRYGPRPIDLDILFYGKFRINSDTLTVPHERIWERPFVMAPLMDVLGSDVENDTVACWHSLSTHSGGLFESWEKLGGENLIGKEEMKRVLPIGNHLWDWSRKTSVMGVINLTPDSFSDGGKFQSVDSIVSHVCSMISEGADIIDFGAQSTRPMASRISPQEELDRLMPVLEAVAKIPEMNGKLISVDTFYSEVALEAVKNGAQVVNDVSGGQLDPNMTKVVAGLEVAYVAMHMRGDPTTMQNSENLQYDNVCKQVASELYSQIKNAELSGVPAWRIIMDPGIGFSKNTWHNLDILMGLPTIRAEIARKSLAMSHAPMLIGPSRKRFLGEICARPAAVERDPATVASITAGILGGANIVRVHNVRDNLDAAKLCDAMLRQKRPSA >Manes.10G001000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:579505:583546:1 gene:Manes.10G001000.v8.1 transcript:Manes.10G001000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKRLIPIKQRLVDAVKHVRGPSFCFLHPVPASYVELHSQEQEVVIALGSNVGNRLNNFNQALQLMKKYGITITRHGCLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTYGIRYGPRPIDLDILFYGKFRINSDTLTVPHERIWERPFVMAPLMDVLGSDVENDTVACWHSLSTHSGGLFESWEKLGGENLIGKEEMKRVLPIGNHLWDWSRKTSVMGVINLTPDSFSDGGKFQSVDSIVSHVCSMISEGADIIDFGAQSTRPMASRISPQEELDRLMPVLEAVAKIPEMNGKLISVDTFYSEVALEAVKNGAQVVNDVSGGQLDPNMTKVVAGLEVAYVAMHMRGDPTTMQNSENLQYDNVCKQVASELYSQIKNAELSGVPAWRIIMDPGIGFSKNTWHNLDILMGLPTIRAEIARKSLAMSHAPMLIGPSRKRFLGEICARPAAVERDPATVASITAGILGGANIVRVHNVRDNLDAAKLCDAMLRQKRPSA >Manes.10G001000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:579505:583546:1 gene:Manes.10G001000.v8.1 transcript:Manes.10G001000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKRLIPIKQRLVDAVKHVRGPSFCFLHPVPASYVELHSQEQEVVIALGSNVGNRLNNFNQALQLMKKYGITITRHGCLYETAPAYVTDQPHFLNSAVRAVTKLGPHELLGVLKKIEKDMGRTYGIRYGPRPIDLDILFYGKFRINSDTLTVPHERIWERPFVMAPLMDVLGSDVENDTVACWHSLSTHSGGLFESWEKLGGENLIGKEEMKRVLPIGNHLWDWSRKTSVMGVINLTPDSFSDGGKFQSVDSIVSHVCSMISEGADIIDFGAQSTRPMASRISPQEELDRLMPVLEAVAKIPEMNGKLISVDTFYSEVALEAVKNGAQVVNDVSGGQLDPNMTKVVAGLEVAYVAMHMRGDPTTMQNSENLQYDNVCKQVASELYSQIKNAELSGVPAWRIIMDPGIGFSKNTWHNLDILMGLPTIRAEIARKSLAMSHAPMLIGPSRKRFLGEICARPAAVERDPATVASITAGILGGANIVRVHNVRDNLDAAKLCDAMLRQKRPSA >Manes.05G172200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28680734:28686695:1 gene:Manes.05G172200.v8.1 transcript:Manes.05G172200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTAKDHQTIPLAVLLKRELANERIEKPEISHGQASQSKKGEDFTLLKTECQRVVGDGNTYSVFGLFDGHNGSAAAIYTKENLLNNVTNAIPPDLNRDEWVAALPRALVAGFVKTDKEFESKAQTSGTTVTFVIIEGWVVTVASVGDSRCILESAEGDIYYLSADHRLECNEEERERITASGGEVGRLNTGSGAEIGPLRCWPGGLCLSRSIGDVDVGEFIVPVPYVKQVKLSSGGGRLIISSDGVWDTISAEVALDCCRGMPAEAAAAQIVREAVRMKGLRDDTTCIVIDISQPEKLPAPLPGPKKHHVKGVFKSMFRRKHSESSSQADKAYVRPDVVEELYEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEMKPEEGISIHAGSTNSRKPRPWDGPFLCLSCQEKKEAMEGKRISGDRHSSESD >Manes.05G172200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28680908:28686117:1 gene:Manes.05G172200.v8.1 transcript:Manes.05G172200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTAKDHQTIPLAVLLKRELANERIEKPEISHGQASQSKKGEDFTLLKTECQRVVGDGNTYSVFGLFDGHNGSAAAIYTKENLLNNVTNAIPPDLNRDEWVAALPRALVAGFVKTDKEFESKAQTSGTTVTFVIIEGWVVTVASVGDSRCILESAEGDIYYLSADHRLECNEEERERITASGGEVGRLNTGSGAEIGPLRCWPGGLCLSRSIGDVDVGEFIVPVPYVKQVKLSSGGGRLIISSDGVWDTISAEVALDCCRGMPAEAAAAQIVREAVRMKGLRDDTTCIVIDISQPEKLPAPLPGPKKHHVKGVFKSMFRRKHSESSSQADKAYVRPDVVEELYEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEMKPEEGISIHAGSTNSRKPRPWDGPFLCLSCQEKKEAMEGKRISGDGKMENSCNLGEFRAICV >Manes.10G024199.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2502836:2506059:1 gene:Manes.10G024199.v8.1 transcript:Manes.10G024199.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVWLASFLLLFHSHFQASVSFSLNSNSSAMLCQRDQSLALLQLKETFSIAKAVFVSPYYPKPYLKTKYWKEGTDCCWWDGITCDMETGNVIGLHLSNSFLYGPIYSNNPLFSLRHLRKLDLSLNDFNQSRIVPQFGQFSKLTHLNLSYSGFVGQIPSEITYLSGLLSLDLSWNYDLISETTIFTKLVQNLTQLRELDLTLVNMSVVAPSSLMNLSSSLTSLKLEFCEMQGKIPDISRLSKLVSLDLSWNYGGLTIEPMVFDKLVRNLTKIRDLAFGDVNMSMVEPSSLMNISSYLSSLELRYCELKGKFPDNIIQRSNLQLLDLFGNEDLNGSLPRHNWNNSLRSLSLSLTQITIYLDHDFISNLKSLETLELWQCNFRVSNLKLLGKLTRLIKLDISSNNFSGQIPSSLGSLKRLSSLILSNNSFSGEIPSSFKNLKQLHTLRLQNNHCSGPIPHYFTNFTLLYFLDLSNNKFTGPIPFQVGRFSSLKILDLSNNLLNATIPPSVFTLPELWRLFLNNNQLTGRLGPFQDNSLSYIDLSNNKLYGFIPSSIFKLVDLNVLILSSNKLIGEVSSVVCNLNSLQILDLSNNSLNGFIPQCLGNFSNDLSVLHLGMNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMGQPNYSYDYSVSLTLKGVVIELVRIQTLLTTIDLSGNKFTGEIPQSIGKLKALKLLNLSHNQLTGNIQPSLRELSNLESLDLSSNFLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDKSSYEGNLGLCGFPLEKCNNGERQKPEISKEDDSNSKFGFGWQPVLAGYGCGVIFGIAMGYRVFKTRKPIWFVRIVEAQRRPKPKRFKN >Manes.15G097600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7622421:7626502:-1 gene:Manes.15G097600.v8.1 transcript:Manes.15G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCVPASSLSSSTTTQPYIACATKSSIASNPFIVGFSLLKSKQCVQTVFPVTSSRNFVVRSQKNEEKDLARVPLDQRWMFEESELNGPDIWNKTWYPKAADHVNTDKPWYVVDATDKILGRLASTIAIYIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFDQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGPEHPHEAQKPVELPIRDKRIQKQR >Manes.09G184400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37186472:37191026:1 gene:Manes.09G184400.v8.1 transcript:Manes.09G184400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSGSMLFPATLPHGTTRSTGFSIWSADTITSLSYKPRKEQRKVACMVPLRNIDSYEYSATKFNDSYKPEQFWMVMEPEDDSDVLIECKNVYKSFGEKDILRGVSFKIRHGEALGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGRKRDGLISGQDISGLRIGLVFQSAALFDSLTVRENVGFLLYENSTMGEEQISELVKETLAAVGLKGVEDRLPSELSGGMKKRVALARSIVCDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMVEEEDALGNGKPGKIASYVVVTHQHSTIERAVDRLLFLYKGKIVWQGMTHEFTRSTNPIVQQFASGSLDGPIRY >Manes.04G083258.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29236036:29240479:-1 gene:Manes.04G083258.v8.1 transcript:Manes.04G083258.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLRLWDDVYDYESNIVLWNPTTSETKILPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQRDYLAEIYSLRDDTWRKLDVSLNYWVLPSYKFQNGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEIIKTTALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVACPEYLEMSLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEEEQNSGDAAQC >Manes.04G083258.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29236037:29240479:-1 gene:Manes.04G083258.v8.1 transcript:Manes.04G083258.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLRLWDDVYDYESNIVLWNPTTSETKILPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQRDYLAEIYSLRDDTWRKLDVSLNYWVLPSYKFQNGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEIIKTTALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVACPEYLEMSLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEEEQNSGDAAQC >Manes.04G083258.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29236118:29240479:-1 gene:Manes.04G083258.v8.1 transcript:Manes.04G083258.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLRLWDDVYDYESNIVLWNPTTSETKILPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQRDYLAEIYSLRDDTWRKLDVSLNYWVLPSYKFQNGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEIIKTTALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVACPEYLEMSLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEEEQNSGDAAQC >Manes.04G083258.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29236118:29240479:-1 gene:Manes.04G083258.v8.1 transcript:Manes.04G083258.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLRLWDDVYDYESNIVLWNPTTSETKILPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQRDYLAEIYSLRDDTWRKLDVSLNYWVLPSYKFQNGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEIIKTTALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVACPEYLEMSLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEEEQNSGDAAQC >Manes.04G083258.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29236118:29240479:-1 gene:Manes.04G083258.v8.1 transcript:Manes.04G083258.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLRLWDDVYDYESNIVLWNPTTSETKILPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQRDYLAEIYSLRDDTWRKLDVSLNYWVLPSYKFQNGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEIIKTTALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVACPEYLEMSLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEEEQNSGDAAQC >Manes.04G083258.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29236118:29240479:-1 gene:Manes.04G083258.v8.1 transcript:Manes.04G083258.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLRLWDDVYDYESNIVLWNPTTSETKILPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQRDYLAEIYSLRDDTWRKLDVSLNYWVLPSYKFQNGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEIIKTTALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVACPEYLEMSLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEEEQNSGDAAQC >Manes.02G215615.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35864148:35877102:-1 gene:Manes.02G215615.v8.1 transcript:Manes.02G215615.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKLVVGPIVSKVFERLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDAKQRVKHTVEVAGRNPLEQIEPDVQKWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIVAVKECEAFESRTSVVDEILNTLKDADFNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFELGAVSIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRKRSVLSEMGVQRDFRLEVLEHQEAWNLFEKKVGDLKDSNLQSIAMEVAKRCAGLPILIVTVATALKNKQLFEWKDTLESLKKFDGKGYEEIIYSALELSYNYLRNEEKSLFLLLGQLRPIVLIQDLLKYVVGLGLYNELITVEATRNRLLKVISDLKLSCLLLEDDDRKRVKLHDVVHNFAASFASNHHQVLTAANKIELKKWPNEDFFEQCTSISLPYCKIPKLPEVFECPKLKSFLLFNQDPSLKISGNLFSKMKELKVLDLTEINLSPLPSSLQSLENLQTLCLDFCDLEDIAAIGELKQLQVLSLMGSKIIRLPNEVRNLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNKANLSELKLLSKLSTLDIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIDWMKMNYFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLRVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQITSFCSQVKVHSTSQRAQNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMKLVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDKVLADSFGRLKVLKVLNGKQLLEIFPSKLLEKFLVNLESLTVRHCDSVKEVFDLQAIIKEREVHVVRHSQLRTLEIRNLPNLIQIWNRDPHGILSFHNLRALNAWDCPNLKKFFPFSVAQCLPHLELLSIIGCGMEEIVTKEERAEALAIIPKFAFRGLKTMSLWVLDQLKYFYSGKHTLECPQLKDLNVFLCTKLQTFNFESQEIQEMLKDKEEDELKLQIPQPLFSFREIIGNLEGLTINDQDAAMIQQSQFPMDLFVKLKFLELQSFRYSFLNLPLNLLQKFPNLEKLVLRGCYFKELLQHGHGHDPVLSQIRCLQLISIPNIRHIWNQDSPFFQNLETLQIWDCDGLTNLAPSSATFQNLRTLSVRRCNGMSSLVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTQERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPQLNSIILESLPRLINFSSGSGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWHAQHLKLSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMSLHHLESLKLKDLPKLTRFCTTNLVECSALTEICVQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMKILNCEELLKIFPSMWLRRLQKLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLDIRNLPNLKHVWNKDPMGLVLFDNLSSVVVWDCPNLKAIFPATIAKNLLQLERLDVQSCGGVEEIVAQDQGTEASIEFLFPCLKFLKLQELNELKCFYSGIHTLESPLLKHLTVYHCEKLNILCPESENLLETETESQAMIQDPQPLFSFRKVVSNLKKLALTRKDAAMILEGQFPADLFHKLTTIGIHCFHDESAVFPFDLLERFQPMEILLVGCSQFKELFPCDGSVGRKKYVEVLGWIRSLLLDNLPDLTDIWNQDSQLDQVLQSLELLNVQRCNSLVALAPSSTSFQNLITLKVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLSNLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLNTSSLLGVQKDDQWHWNGNLNAAIQQLFAEIKNAREY >Manes.18G144933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21741581:21743072:1 gene:Manes.18G144933.v8.1 transcript:Manes.18G144933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.14G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1710620:1721201:1 gene:Manes.14G006400.v8.1 transcript:Manes.14G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRNLKSQMPSLKRCKLGDSAGEDDDSAASARKKRKLKGYYPLNLLGEVAAGIIPVGFHGILGSSNVDSDKGFAASWCSEVSCSPPGEVESEPKPKGRDSTRANNRTVEVSRPPLVRTSRGRVQVLPSRFNDSVIENWRKESKTSLRDYSFDDDTQCDNNTIRRDKDRDKFSFRTPKTCSNNVKKQRTEERIGYKSRKYATLCEEEDGGEMGFKKYLSSRSTLTSLHEQLMEDEKCAVLDLSSLERPERKDGLYGPEDFYSGDIVWAKSGKKDPFWPAIVIDPMTQAPELVLRSCIPDAACVMFFANSVNENQRDYAWIRRGMIFPFTDYVDRFHKQSELMDCKPGNFQMAIEEAFLAEQGFTEKLIQDINLAAGNATYDESVFRWLQEATGSNQDQDGHSPTLDIFGNSKGFRPCGGCGMSLPLKLSKKMKTLAPGGQLLCKTCARLTKSNHYCGICKKIWNHSESGSWVRCDGCKVWVHAECDKISNNRFKDLEGTDYYCPTCKAKFNFELSDSEKGQTKSKLNRSNGQPTLPNKVAVFCSGVEGIYFPSLHLVVCKCGSCGPQKLALSEWERHTGSKIKNWRTSIRVKGSLLPLEQWMMQLAEYHARAVSTKPPKRPSIKERKQKLLIFLQEKYEPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVQDFTSWVCKACETPDVKRECCLCPVKGGALKPTDVETLWVHVTCAWFQPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQTTKMVSYCAYHRAPNPDTVLIIQTPLGVISAKSLIQNKKRAGTRLISSNRAKLEELSTEETVEVEAHSAARCRVFKRVNNNKKRTEEEAISHRLTGPCHHPLGVIQSLNAFRVVEEPKSFCSFRERLYHLQRTENDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRRSVADLREARYRVEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSAGDELTYDYLFDPDEPDEFKVPCLCKAPNCRKFMN >Manes.08G005700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:954804:958148:1 gene:Manes.08G005700.v8.1 transcript:Manes.08G005700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMSGDAFSIPSSIPLFAPEEQNANPNPKTNPVPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTNKEVIRKKVYICPEKTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARISSVPATNLNFRNDTVNFPRGEPAGGGVQDIAGISQFSSGFRPDFNGFPSLSADQQKTGLSLWLNQANSQINPADIVPNHANLYASSSSTGLPEMVQMGSNLYGSSSTTNFGNLKLSGLPHGLKEEEGSNKGLNMVDSLPSLYSDSHQNRQSKPAAPMSATALLQKAAQMGSTRSNQSFFGSNSHGLMSSSSSSNTTNLNTLSQNRNELHQVFQNVNKQPECNITVTSSVAMGDAIMVVSSGLDQVAMQSSGRQNDPFQLKVQPGSTSLESGLTRDFLGMSAQSGRPFLPQELAKFASMSSAMGLSQFTNNPN >Manes.08G005700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:954887:958148:1 gene:Manes.08G005700.v8.1 transcript:Manes.08G005700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTNKEVIRKKVYICPEKTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARISSVPATNLNFRNDTVNFPRGEPAGGGVQDIAGISQFSSGFRPDFNGFPSLSADQQKTGLSLWLNQANSQINPADIVPNHANLYASSSSTGLPEMVQMGSNLYGSSSTTNFGNLKLSGLPHGLKEEEGSNKGLNMVDSLPSLYSDSHQNRQSKPAAPMSATALLQKAAQMGSTRSNQSFFGSNSHGLMSSSSSSNTTNLNTLSQNRNELHQVFQNVNKQPECNITVTSSVAMGDAIMVVSSGLDQVAMQSSGRQNDPFQLKVQPGSTSLESGLTRDFLGMSAQSGRPFLPQELAKFASMSSAMGLSQFTNNPN >Manes.08G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:954919:958148:1 gene:Manes.08G005700.v8.1 transcript:Manes.08G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMSGDAFSIPSSIPLFAPEEQNANPNPKTNPVPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTNKEVIRKKVYICPEKTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARISSVPATNLNFRNDTVNFPRGEPAGGGVQDIAGISQFSSGFRPDFNGFPSLSADQQKTGLSLWLNQANSQINPADIVPNHANLYASSSSTGLPEMVQMGSNLYGSSSTTNFGNLKLSGLPHGLKEEEGSNKGLNMVDSLPSLYSDSHQNRQSKPAAPMSATALLQKAAQMGSTRSNQSFFGSNSHGLMSSSSSSNTTNLNTLSQNRNELHQVFQNVNKQPECNITVTSSVAMGDAIMVVSSGLDQVAMQSSGRQNDPFQLKVQPGSTSLESGLTRDFLGMSAQSGRPFLPQELAKFASMSSAMGLSQFTNNPN >Manes.08G005700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:955240:958148:1 gene:Manes.08G005700.v8.1 transcript:Manes.08G005700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMSGDAFSIPSSIPLFAPEEQNANPNPKTNPVPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTNKEVIRKKVYICPEKTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARISSVPATNLNFRNDTVNFPRGEPAGGGVQDIAGISQFSSGFRPDFNGFPSLSADQQKTGLSLWLNQANSQINPADIVPNHANLYASSSSTGLPEMVQMGSNLYGSSSTTNFGNLKLSGLPHGLKEEEGSNKGLNMVDSLPSLYSDSHQNRQSKPAAPMSATALLQKAAQMGSTRSNQSFFGSNSHGLMSSSSSSNTTNLNTLSQNRNELHQVFQNVNKQPECNITVTSSVAMGDAIMVVSSGLDQVAMQSSGRQNDPFQLKVQPGSTSLESGLTRDFLGMSAQSGRPFLPQELAKFASMSSAMGLSQFTNNPN >Manes.08G062100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8281324:8301963:1 gene:Manes.08G062100.v8.1 transcript:Manes.08G062100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSKKNPKTASRLSNLDQSPSPRTPSLISSTDFEISEQKLIQSLEEASSRFPSMIGKSAFIRRVADVVPELRGCKIWLSESSMLASSLSPGSIVSVSLAASERCVTNDLPLSSTSDECSRQFEFESVDETANDIGNYFAFARVFPSSKAFIDGVRLSSNLYYTMGCPDSGRIVFIYPIPNQFLTGLANGHSVVQDRKVDGLTVHNCDELHLELVPIKKRAKLNSDVISIMNTVEKTHEHSENGKISSPGTPLYRPNLISTSPSQLASSRCEEATSNLSSLKTTCANSFDIKEILKDERCKQLLQACVTSWLYSRILVCGNLVAIPILSEFCIFRVTSLNKMQGECTNQHMMEERSHSMYPQSHESVVNMEDAFSIKHETKVYLHLPINLATETPQKSDFSFEKIKREGLKNISKHDISKLGGLHREYAVLKDIIMSSMKNSLSSLGLRPTKGVLLHGPPGTGKTSLARLCALDAGVNLFSVSGPEMISQYHGESEQAINEVFDSASRDAPAVVFIDELDAIAPSRKDGGEELSQRMVATLLNLMDGISRTDGLLVIAATNRPDSIEPALRRPGRLDKEIEIGVPSPKQRLDILNTLLSQMEHSLSEIEIQNLAVATHGFVGADLASLCNEAALVCLRRYAKSKKYYDNLHSKELSFAYESQCDSVITEASCLGEMQDICTDSASSGRLALPVCLESLESSCSSGTVPEISDSNENDSGSSSEAIVVEKEKNLEVTLEDFEKARMKVRPSAMREVILEVPKVNWEDVGGQREVKAQLMEAVEWPQRHQDAFLRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFFAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDGLAVIRGKDNDGVSVSDRVMTQLLVEMDGLHQRVNVTVIAATNRPDKIDLALLRPGRFDRLLYVGPPNGTDREEIFRIHLHKIPCSSDVSIKELAHLTEGCTGADISFICREAAITAIEECIDASEVTMQHLKAAIRQVQPSKIQSYQDLSAKFQRLVHSNHRHDELQMQDSSTGSNSSPIWTLIKSAMQFLYHLMAPGSKSSSHAAS >Manes.08G062100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8285080:8301935:1 gene:Manes.08G062100.v8.1 transcript:Manes.08G062100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPDSGRIVFIYPIPNQFLTGLANGHSVVQDRKVDGLTVHNCDELHLELVPIKKRAKLNSDVISIMNTVEKTHEHSENGKISSPGTPLYRPNLISTSPSQLASSRCEEATSNLSSLKTTCANSFDIKEILKDERCKQLLQACVTSWLYSRILVCGNLVAIPILSEFCIFRVTSLNKMQGECTNQHMMEERSHSMYPQSHESVVNMEDAFSIKHETKVYLHLPINLATETPQKSDFSFEKIKREGLKNISKHDISKLGGLHREYAVLKDIIMSSMKNSLSSLGLRPTKGVLLHGPPGTGKTSLARLCALDAGVNLFSVSGPEMISQYHGESEQAINEVFDSASRDAPAVVFIDELDAIAPSRKDGGEELSQRMVATLLNLMDGISRTDGLLVIAATNRPDSIEPALRRPGRLDKEIEIGVPSPKQRLDILNTLLSQMEHSLSEIEIQNLAVATHGFVGADLASLCNEAALVCLRRYAKSKKYYDNLHSKELSFAYESQCDSVITEASCLGEMQDICTDSASSGRLALPVCLESLESSCSSGTVPEISDSNENDSGSSSEAIVVEKEKNLEVTLEDFEKARMKVRPSAMREVILEVPKVNWEDVGGQREVKAQLMEAVEWPQRHQDAFLRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFFAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDGLAVIRGKDNDGVSVSDRVMTQLLVEMDGLHQRVNVTVIAATNRPDKIDLALLRPGRFDRLLYVGPPNGTDREEIFRIHLHKIPCSSDVSIKELAHLTEGCTGADISFICREAAITAIEECIDASEVTMQHLKAAIRQVQPSKIQSYQDLSAKFQRLVHSNHRHDELQMQDSSTGSNSSPIWTLIKSAMQFLYHLMAPGSKSSSHAAS >Manes.08G062100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8283040:8301935:1 gene:Manes.08G062100.v8.1 transcript:Manes.08G062100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSKKNPKTASRLSNLDQSPSPRTPSLISSTDFEISEQKLIQSLEEASSRFPSMIGKSAFIRRVADVVPELRGCKIWLSESSMLASSLSPGSIVSVSLAASERCVTNDLPLSSTSDECSRQFEFESVDETANDIGNYFAFARVFPSSKAFIDGVRLSSNLYYTMGCPDSGRIVFIYPIPNQFLTGLANGHSVVQDRKVDGLTVHNCDELHLELVPIKKRAKLNSDVISIMNTVEKTHEHSENGKISSPGTPLYRPNLISTSPSQLASSRCEEATSNLSSLKTTCANSFDIKEILKDERCKQLLQACVTSWLYSRILVCGNLVAIPILSEFCIFRVTSLNKMQGECTNQHMMEERSHSMYPQSHESVVNMEDAFSIKHETKVYLHLPINLATETPQKSDFSFEKIKREGLKNISKHDISKLGGLHREYAVLKDIIMSSMKNSLSSLGLRPTKGVLLHGPPGTGKTSLARLCALDAGVNLFSVSGPEMISQYHGESEQAINEVFDSASRDAPAVVFIDELDAIAPSRKDGGEELSQRMVATLLNLMDGISRTDGLLVIAATNRPDSIEPALRRPGRLDKEIEIGVPSPKQRLDILNTLLSQMEHSLSEIEIQNLAVATHGFVGADLASLCNEAALVCLRRYAKSKKYYDNLHSKELSFAYESQCDSVITEASCLGEMQDICTDSASSGRLALPVCLESLESSCSSGTVPEISDSNENDSGSSSEAIVVEKEKNLEVTLEDFEKARMKVRPSAMREVILEVPKVNWEDVGGQREVKAQLMEAVEWPQRHQDAFLRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFFAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDGLAVIRGKDNDGVSVSDRVMTQLLVEMDGLHQRVNVTVIAATNRPDKIDLALLRPGRFDRLLYVGPPNGTDREEIFRIHLHKIPCSSDVSIKELAHLTEGCTGADISFICREAAITAIEECIDASEVTMQHLKAAIRQVQPSKIQSYQDLSAKFQRLVHSNHRHDELQMQDSSTGSNSSPIWTLIKSAMQFLYHLMAPGSKSSSHAAS >Manes.08G062100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8283040:8301935:1 gene:Manes.08G062100.v8.1 transcript:Manes.08G062100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSKKNPKTASRLSNLDQSPSPRTPSLISSTDFEISEQKLIQSLEEASSRFPSMIGKSAFIRRVADVVPELRGCKIWLSESSMLASSLSPGSIVSVSLAASERCVTNDLPLSSTSDECSRQFEFESVDETANDIGNYFAFARVFPSSKAFIDGVRLSSNLYYTMGCPDSGRIVFIYPIPNQFLTGLANGHSVVQDRKVDGLTVHNCDELHLELVPIKKRAKLNSDVISIMNTVEKTHEHSENGKISSPGTPLYRPNLISTSPSQLASSRCEEATSNLSSLKTTCANSFDIKEILKDERCKQLLQACVTSWLYSRILVCGNLVAIPILSEFCIFRVTSLNKMQGECTNQHMMEERSHSMYPQSHESVVNMEDAFSIKHETKVYLHLPINLATETPQKSDFSFEKIKREGLKNISKHDISKLGGLHREYAVLKDIIMSSMKNSLSSLGLRPTKGVLLHGPPGTGKTSLARLCALDAGVNLFSVSGPEMISQYHGESEQAINEVFDSASRDAPAVVFIDELDAIAPSRKDGGEELSQRMVATLLNLMDGISRTDGLLVIAATNRPDSIEPALRRPGRLDKEIEIGVPSPKQRLDILNTLLSQMEHSLSEIEIQNLAVATHGFVGADLASLCNEAALVCLRRYAKSKKYYDNLHSKELSFAYESQCDSVITEASCLGEMQDICTDSASSGRLALPVCLESLESSCSSGTVPEISDSNENDSGSSSEAIVVEKEKNLEVTLEDFEKARMKVRPSAMREVILEVPKVNWEDVGGQREVKAQLMEAVEWPQRHQDAFLRIGTRPPTGVLMFGPPGCSKTLMARAVASEAGLNFFAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDGLAVIRGKDNDGVSVSDRVMTQLLVEMDGLHQRVNVTVIAATNRPDKIDLALLRPGRFDRLLYVGPPNGTDREEIFRIHLHKIPCSSDVSIKELAHLTEGCTGADISFICREAAITAIEECIDASEVTMQHLKAAIRQVQPSKIQSYQDLSAKFQRLVHSNHRHDELQMQDSSTGSNSSPIWTLIKSAMQFLYHLMAPGSKSSSHAAS >Manes.13G068625.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8890160:8895658:1 gene:Manes.13G068625.v8.1 transcript:Manes.13G068625.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPDSAEMLMVIQQIQALHCKETREAALQSLVQRIASHPRAKLILIYAQIPKHLKPFLDIRNEEMHHESLRRSSLVVLEALVKVDDAKVIGFFLKYDMITSFLNCMEFGGALSRTTATFIVHRILLNKDVLSHCDQAGRFLDILRRLELMINKLAKDGSLAEDTRKQLLKQIILCYHRLSENPSAYAKLRNRLPKELRDSSFTDILHVNFKGFTFTVRV >Manes.13G068625.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8890160:8895658:1 gene:Manes.13G068625.v8.1 transcript:Manes.13G068625.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPDSAEMLMVIQQIQALHCKETREAALQSLVQDDSIRENSLPLLLWHSFGAVSILIQRIASHPRAKLILIYAQIPKHLKPFLDIRNEEMHHESLRRSSLVVLEALVKVDDAKVIGFFLKYDMITSFLNCMEFGGALSRTTATFIVHRILLNKDVLSHCDQAGRFLDILRRLELMINKLAKDGSLAEDTRKQLLKQIILCYHRLSENPSAYAKLRNRLPKELRDSSFTDILHVNFKGFTFTVRV >Manes.13G068625.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8891630:8895669:1 gene:Manes.13G068625.v8.1 transcript:Manes.13G068625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRSVSSPYLSNRVRWALALFQRIASHPRAKLILIYAQIPKHLKPFLDIRNEEMHHESLRRSSLVVLEALVKVDDAKVIGFFLKYDMITSFLNCMEFGGALSRTTATFIVHRILLNKDVLSHCDQAGRFLDILRRLELMINKLAKDGSLAEDTRKQLLKQIILCYHRLSENPSAYAKLRNRLPKELRDSSFTDILHVNFKGFTFTVRV >Manes.13G068625.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8890160:8895658:1 gene:Manes.13G068625.v8.1 transcript:Manes.13G068625.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPDSAEMLMVIQQIQALHCKETREAALQSLVQDDSIRENSLPLLLWHSFGAVSILIQRIASHPRAKLILIYAQIPKHLKPFLDIRNEEMHHESLRRSSLVVLEALVKTATFIVHRILLNKDVLSHCDQAGRFLDILRRLELMINKLAKDGSLAEDTRKQLLKQIILCYHRLSENPSAYAKLRNRLPKELRDSSFTDILHVNFKGFTFTVRV >Manes.14G108850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9129237:9134670:-1 gene:Manes.14G108850.v8.1 transcript:Manes.14G108850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEDSISNESWAYKDMLEVESRTLRALQPSLHLNLDPLLDYGESPTQKISLGITYGWKKRKLSDLPKFNSQNSICLPSMVSSGSQDSNFQSDLAYQGIEVPNSGVIPNLLAGKVAQVNIHIYQAVNGCNKTVAVDLSSSSMNINRNQREPTFSLPAEKSECEAQSFERPILKIPMQEPNFTLMQNTWNLAEIGLAPASHRKNNLLYQKIGSEKNIHERYSDQREILPLKNKGQQEIVEGNPKQPTGMLNFVVKQEPRETTDFPNSDVRKIKDKSSVGDMRCNPSKHPQLLEKSPLLVRANSHLADTVEKNLRNEMVTEKVLPSPQVTSDVRTASLRLFQGESLLGEASLPTRQKKNILPGVSSIRMTNSLASTRKIHTADAGSALASPCLERFVKIGAVTQRYKLNDKKRKLDQLLPSKQFFNIALVALHLANSEDRRLKYATARRIPLSKCSIDRKHNGSKTRTLTFVHQAYVHQRKEISQNDSGAQVKLVMSEILNEGKVEITVVYEQEERDSISFPLLPACPNTHFADLFAAHFQSLIAKEGYHIARDQIEPMVLSSDDESSSAFIGSDPAACGSLLRPSPTLIPGQAASMLPCMKSRMLALNSNTGQLSSQNIIPRNHLLPPANFQLPIQNLSNNLSKLQPDVAAQVRMINPQCPEFFNKDAHLQFQMMQRQRRFEQLAQKTSVGGLGAAMGGVDTMQLYGDIGELGDGVMALGGAMNFLQSGQIPWMGNLDQFSNLDSNISSSRKQCFGMMPDHSSILATLGLRAPECPGGAMMNQIAIHGSTGVVQMQRPTILDMAYLLSNQQLPPMPQIPQQLQMPYSQQQQQAMILLLQSAEAANFAGHVSSPICDINSTYNNLMHCPEWAPFNGAPNQHYSRQMMGMQW >Manes.14G108850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9129237:9140265:-1 gene:Manes.14G108850.v8.1 transcript:Manes.14G108850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLEVFSDVPKQLVPDDRDSKALFTAIEHGWLPREIFYQTLCKYVNGAAFCEIRDYCNCLSQKASTALAETSPTIHRVLLQMCAEDSISNESWAYKDMLEVESRTLRALQPSLHLNLDPLLDYGESPTQKISLGITYGWKKRKLSDLPKFNSQNSICLPSMVSSGSQDSNFQSDLAYQGIEVPNSGVIPNLLAGKVAQVNIHIYQAVNGCNKTVAVDLSSSSMNINRNQREPTFSLPAEKSECEAQSFERPILKIPMQEPNFTLMQNTWNLAEIGLAPASHRKNNLLYQKIGSEKNIHERYSDQREILPLKNKGQQEIVEGNPKQPTGMLNFVVKQEPRETTDFPNSDVRKIKDKSSVGDMRCNPSKHPQLLEKSPLLVRANSHLADTVEKNLRNEMVTEKVLPSPQVTSDVRTASLRLFQGESLLGEASLPTRQKKNILPGVSSIRMTNSLASTRKIHTADAGSALASPCLERFVKIGAVTQRYKLNDKKRKLDQLLPSKQFFNIALVALHLANSEDRRLKYATARRIPLSKCSIDRKHNGSKTRTLTFVHQAYVHQRKEISQNDSGAQVKLVMSEILNEGKVEITVVYEQEERDSISFPLLPACPNTHFADLFAAHFQSLIAKEGYHIARDQIEPMVLSSDDESSSAFIGSDPAACGSLLRPSPTLIPGQAASMLPCMKSRMLALNSNTGQLSSQNIIPRNHLLPPANFQLPIQNLSNNLSKLQPDVAAQVRMINPQCPEFFNKDAHLQFQMMQRQRRFEQLAQKTSVGGLGAAMGGVDTMQLYGDIGELGDGVMALGGAMNFLQSGQIPWMGNLDQFSNLDSNISSSRKQCFGMMPDHSSILATLGLRAPECPGGAMMNQIAIHGSTGVVQMQRPTILDMAYLLSNQQLPPMPQIPQQLQMPYSQQQQQAMILLLQSAEAANFAGHVSSPICDINSTYNNLMHCPEWAPFNGAPNQHYSRQMMGMQW >Manes.09G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7530297:7532960:-1 gene:Manes.09G041500.v8.1 transcript:Manes.09G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFMFSSTKIQSRSPLQRKNSSENLDRFIPNRSAMDMDYAHYMLTEGRKGKDKPAVWSSPSSEPYQKLLADTFNITSGRRILAFKNKAPAFVNPIPQELLSSVRQPKIVKAWRHIPQSPERTLDAPDLVDDFYLNLLDWGSSNVLAIALGDTVYLWDASIGNTSELVTVNSEDGPVASISWAPDGCHIAIGLSNSDVQIWDCNNLQHVRTLRGGHQLRVNSLAWNNHILTTGGMDAKIINNDVRVREHIIETYRGHHQGVCGLKWSASGQQLASGGNDNLLFIWDRSMASSNSPMQWLHRLEDHRAAVKALAWCPFQSNLLASGGGGADMCIKFWNSHTGSCLNSVNTGSQVCALLWNKHERELLSSHGFTENQLILWKYPSMVKMSKLTGHTSRVLFMTQNPDGYTVATAAGDETLRFWNVFGNPKVAKHASKANPEPFAHISRIR >Manes.09G041500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7528535:7532788:-1 gene:Manes.09G041500.v8.1 transcript:Manes.09G041500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFMFSSTKIQSRSPLQRKNSSENLDRFIPNRSAMDMDYAHYMLTEGRKGKDKPAVWSSPSSEPYQKLLADTFNITSGRRILAFKNKAPAFVNPIPQELLSSVRQPKIVKAWRHIPQSPERTLDAPDLVDDFYLNLLDWGSSNVLAIALGDTVYLWDASIGNTSELVTVNSEDGPVASISWAPDGCHIAIGLSNSDVQIWDCNNLQHVRTLRGGHQLRVNSLAWNNHILTTGGMDAKIINNDVRVREHIIETYRGHHQGVCGLKWSASGQQLASGGNDNLLFIWDRSMASSNSPMQWLHRLEDHRAAVKALAWCPFQSNLLASGGGGADMCIKFWNSHTGSCLNSVNTGSQVCALLWNKHERELLSSHGFTENQLILWKYPSMVKMSKLTGHTSRVLFMTQNPDGYTVATAAGDETLRFWNVFGNPKVAKHASKANPEPFAHISRIR >Manes.03G127900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25148928:25161399:-1 gene:Manes.03G127900.v8.1 transcript:Manes.03G127900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSKLKSVDFYRKIPRDLTEASLSGAGLSILAALFMVFLFGMELNIYLTVSTSTSIIVDKSSDGDFLRIDFNLSFPSLSCEFASVDVSDVLGTNRLNITKTVRKFSIDHDLRPTGSEFHSGPVLHDIKHGDEFVGEVGEGSVSLTDRNFDHYAHQYPVLVVNFYAPWCYWSNRLKPSWETAAHMMRERYDPELDGRIILARVDCTIEVDLCRRHHIQGYPSIRIFRKGSDVKDDHGHHDHESYYGDRDTESLVKTMEGLIAPLPIESHKSDNSTQNTKRPAPLTGGCRIEGYVRVKKVPGNLIISARSGSHSFDSSLMNMSHVISHLSFGMNVSPQLLNEAKRLMPYIGGSHDKLNGRSFINHRDVDANVTIEHYLQIVKTEVVARRSSREYKLLEEYEYTAHSSLVQSVYIPVAKFHFELSPMQVLITENPRSFSHFITNVCAIIGGVFTVAGILDSILHNTIRMMRKVELGKNF >Manes.03G127900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25149012:25161306:-1 gene:Manes.03G127900.v8.1 transcript:Manes.03G127900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSKLKSVDFYRKIPRDLTEASLSGAGLSILAALFMVFLFGMELNIYLTVSTSTSIIVDKSSDGDFLRIDFNLSFPSLSCEFASVDVSDVLGTNRLNITKTVRKFSIDHDLRPTGSEFHSGPVLHDIKHGDEFVGEVGEGSVSLTDRNFDHYAHQYPVLVVNFYAPWCYWSNRLKPSWETAAHMMRERYDPELDGRIILARVDCTIEVDLCRRHHIQGYPSIRIFRKGSDVKDDHGHHDHESYYGDRDTESLVKTMEGLIAPLPIESHKSDNSTQNTKRPAPLTGGCRIEGYVRVKKVPGNLIISARSGSHSFDSSLMNMSHVISHLSFGMNVSPQLLNEAKRLMPYIGGSHDKLNGRSFINHRDVDANVTIEHYLQIVKTEVVARRSSREYKLLEEYEYTAHSSLVQSVYIPVAKFHFELSPMQVLITENPRSFSHFITNVCAIIGGVFTVAGILDSILHNTIRMMRKVELGTNRICVILCLIPWFEALHIEMGSFPCKQKMIELARRGGCFYC >Manes.04G022650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2714171:2717410:-1 gene:Manes.04G022650.v8.1 transcript:Manes.04G022650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPIMKMLSAYGGLSLLIMLFISSNFGWSRGCLAEEKRAIQEILNSISYQSTSSLSDSFTDDCCQWEGVDCSRTSSHVVRIFFDSLGEEEDVVEVEGLWNPDMNLFAQLKELQELQLTRNRISRLLNPEALCTLTNLQLLDLSYNSIENDMPACLANMSSLRILRLSRNQFQGDLTIFSNLSNIEEIDVSHNLFQGLITLSTFANLSKFSFLDLSYNLHLEVESESPTWNPSFQIQHLFLAGCNLNCRSSKLVPLFLSTQYNLKTLDLSNNFLGGPFPAWMLQNVSSVLTLRGNSFFGQFPGSYRNMELTLAELDISCNHFDGELPSNIGSFLPKLYAFNASSCGLTGTIPPSLGEVNALEHLDLSNNHFHGDIPSGLTKSSALWYLNLSNNRLGGGLLPKDCNMTKLRWLLLHNNSFLGNIPNCLSNSQSLLMVDVGYNKLSGMLAGGMLIFPELGALLLRVNNFSGNISIQLCKMPKLQFLDLSNNDFSGSIPPCISNNFFWTMKSQANSWVPIDFTTKGNAYSFQGIPLTLMTGIDLSDNQLTGTIPDQMSKLHELHSLNLSYNLLGGHIPTSFVHLTSLESLDLSHNRLTGQIPREFSHMSSLTTLRLAFNDLSGRIPFDEQLTTFSESSYIGNPKLCGEPLERHCSKNTNDVNQDDGKEEGREAKNLEEERRVIDEPLFFYSFVFMSYALGFWSVVAPLCFSRNWRRKYYTAIDGWMNYCCRKMF >Manes.02G205300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17892374:17894811:1 gene:Manes.02G205300.v8.1 transcript:Manes.02G205300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMFFSLALLLSFLLLAFNFFLRRSTQHRNLPTSPFALPIIGHLHLVNLPLHRSLHALSQKYGPIISLRFGFRRVIVLSSPSMVEECFTKNDIVFSNRPPLTILKYVTYNCTTLGTTSYSDHWRKLRRIGTHEVFSSNRLNVFTGIRRDEIKIFMNKLYSVSSHDFAKVVLRPMLMELTFNIMMRMVAGKRYYGEEVTANDKAEAEEFREMITEMFKYTGASYLGDFLPFLKLIDYQGFLKRVKRLGKRTDRFLQNLIDEHRCASHERKKDTMIGHLLSMQESQPEYYTDDIIKALILDVIFGGTESAAVTLEWAMSDLLNHPEAMEKVKKELDIHISENSLMNESDISKLSYLQNIITETMRLHPPGPLLIRHLSSQECSIGGYHVKPNTMLIVNAWAIHRDPEVWDDATGFKPERFESSAGQGSEVYKYMPFGLGRRSCPGMGLANRVMVFALGSMIHCFEWRKASDQKIDMSEGYGLTMPMAKPLKAMCKARNVMTNKLSSTL >Manes.10G012500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1290701:1293187:1 gene:Manes.10G012500.v8.1 transcript:Manes.10G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAESLRIDVKQDDDNGSEKADDFNQKVSSLERIFLIANFILELPSAAFDQLSSVHKPQYAVLSMLISFTVLIISIVDLLLRGRKERLTWMRRGLIPWFYYPYPNSKPFGTFPDIIGLACAFLQCIFAAISYAFLYQHADSPIKVSVWPIVFAFGLLYSRISGSTTQKMPNPHARKLNRAEEFTLAQLAAATNDFSLQNKIGESPRFCTVYLGKLPDGSEVVVKRLDTGHQRKKSEEEDCVFENEITLLSRLHHKHLVILVGYCEEEKEMILVYEYANNESLHRRLHHRNTAKLNSWKMRFKIALDAARGIEYLHNYAVPPIIHRNINSSNILLDANWAARVCDFGMSVLDPESVSNYKPKKAEGTVGYIDPEFYSTNVLNAKSDVYSLGVVLLELLTGKTAMFKDEDNGGAITNIADFAVPKILANELAKVLDQRISRPEFDKEAEAVELVAYTALHCVNLQGNNRPNITNIVANLEQASSLCDDHTKDHRHRAGTEQLHGRSEEMEPNSNV >Manes.16G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32430801:32439485:-1 gene:Manes.16G121900.v8.1 transcript:Manes.16G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTILPAKAPLVSSIPSSSSHLEFKFPVKLQHSSLSTVKFRLKPLQARFIPATGDEDSGENLDWVSKRRRNLICVSTLPFLFHFRESFEGFSAEAAELDATYMLMKEEVRKVVSKGKAPGVLRLVFHDAGTFETDGNSGGMNGSIVFELDRPENAGLKKSLKILQKAKSEVDSKQSVSWADMIAVAGAEAVSICGGPTIPVILGRRDSVEPDAEGRLPEESLGASALKQCFKRKGLSTQVLVALSGAHTLGSKGFGNPFVFDNSYYKILLEKPWNSSDKMASMIGLPSDHALVEDDECLRWIKKYADDQDIFFEDFKNAYIKLVNSGARWKSL >Manes.16G109500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31482354:31489851:-1 gene:Manes.16G109500.v8.1 transcript:Manes.16G109500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSEDEQLERVDSLPDTELASTSSGLSSILSTEDTQSANSSGDISTISGSSGEIPAAVVADAVVMRDMEPGKDGELIAAMVRDRCVGRNNRGVSWGFTSVIGRRREMEDAVAVIPGFMSRTCDHVGGCTAPGSRTSAEISPIHFFGVYDGHGGSQVANYCKRRMHEVVAEELDRETINGYEWQRRWEAAFSSGFERADNEVLTEAPEMVGSTAVVVVLSGCQIITSNCGDSRAVLCRGTRTIALTVDQKPDRQDELTRIEEGGGKVINWNGARVLGVLAMSRAIGDRYLRPWIIPVPEITFMTRTDEDECLILASDGLWDVMTNEEVGDVARRLLRRWRRTMVSDEISPAQAVADNLTEIAYSKNSYDNISIVVVDLKPQRKRQVKQ >Manes.16G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31482022:31489960:-1 gene:Manes.16G109500.v8.1 transcript:Manes.16G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSEDEQLERVDSLPDTELASTSSGLSSILSTEDTQSANSSGDISTISGSSGEIPAAVVADAVVMRDMEPGKDGELIAAMVRDRCVGRNNRGVSWGFTSVIGRRREMEDAVAVIPGFMSRTCDHVGGCTAPGSRTSAEISPIHFFGVYDGHGGSQVANYCKRRMHEVVAEELDRETINGYEWQRRWEAAFSSGFERADNEVLTEAPEMVGSTAVVVVLSGCQIITSNCGDSRAVLCRGTRTIALTVDQKPDRQDELTRIEEGGGKVINWNGARVLGVLAMSRAIGDRYLRPWIIPVPEITFMTRTDEDECLILASDGLWDVMTNEEVGDVARRLLRRWRRTMVSDEISPAQAVADNLTEIAYSKNSYDNISIVVVDLKPQRKRQVKQ >Manes.04G063200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23144440:23155838:-1 gene:Manes.04G063200.v8.1 transcript:Manes.04G063200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFITEAASAIKSRFGFHKRSTSESGQATVPSTPDLLKSASRENSSLSLVDTSAVRSICEWEDDADGITGSVPPRNNQSFEFCEDPSFWKDHNVQVIIRLRPLSSSEISLQGYGKCVRQESSQTITWTGHPESRFTFDLVADESVSQEKLFKVAGLPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEEGTRRHSVNCGMTPRVFEHLFSRIQKEKEARRDEKLKFTCKCSFLEIYNEQILDLLDTSSNNLQIREDVKKGVYVENLKEIEVTSARDVMQQLIQGAANRKVAATNMNRASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSVSNGKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSLCCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRMQIQQLKKEVSRLRSLVNGGAENLDNDTSIISFPGSPGSFKWEGLHGSSTPLVSEKKISQKNDFEVALVGAFRREKDKDIALKALAAENQAALQLAKQREDEIQGLKMRLRFREAGIKRLEAVASGKISAETHLLKEKEEHLKEIEVLRTQVDRNQEVTRFAMENLQLKEEIRRLKSFYEEGGREMMSEQIMVLQNQLLEALDWKLMHESHASVVQKADTDVKKEVHGDPIISNQHFQMQETGASWRPSISEENEFLCMQAIQNKAEIDTLHKQLGFCLEEKETLERHANELLAKLEAERSLRDVKKEIQQIELPPLSSDASVVNVHGQMELKTMVDAIAAASQREAEAHEKAIILSKENDELHLKLEACIESNDELQTKLKALIEEKNSLIEMYERAASESNYKSLKEADSAENNDDMEADNDGGSVEFEKGKESDMETVVKNLEHQLMEMHEENEKLMGLYEKAMHERDEFKRMLSSGGQNRVESRELDCPEKLVEVDGGVPFSGSDDVLDGPSVVCEDCQVEEENDTGCGTFCDSETEPLNLNLTTVKVSDDLNLLKMKLETAEQTISDSVKTLSVLGSLEKASGEFDKLWREIQAVEEGFQFKQQEFRSLKHLSSEMQERKALVDKKLSALKYSLLNFSQSVVYYEKREARARARVNASSTNLEQKKEELVRLQVCKGENEAALGKTQQAEVELRDNLAILKSRIEEENHKQENEKVLFAIDNIEKVDTSLKSWHLGSKATDLLKSEEEKTKLQTEIKVFREKLGLIIREIEDMNQKSMKIENEMQAVQLAIQKESRITAEMELALQGVIQEKETLLEMGERGISEFQTMILEYQQHMFDVDLKEVEIEILEEELLPEMKRLEELKSVRVAAAKKITKLLEDKSCQSCLSEKMEKELQNVWASIIEAKTLIAERNSNHC >Manes.04G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23144425:23155907:-1 gene:Manes.04G063200.v8.1 transcript:Manes.04G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFITEAASAIKSRFGFHKRSTSESGQATVPSTPDLLKSASRENSSLSLVDTSAVRSICEWEDDADGITGSVPPRNNQSFEFCEDPSFWKDHNVQVIIRLRPLSSSEISLQGYGKCVRQESSQTITWTGHPESRFTFDLVADESVSQEKLFKVAGLPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEEGTRRHSVNCGMTPRVFEHLFSRIQKEKEARRDEKLKFTCKCSFLEIYNEQILDLLDTSSNNLQIREDVKKGVYVENLKEIEVTSARDVMQQLIQGAANRKVAATNMNRASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSVSNGKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSLCCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVIAMRMQIQQLKKEVSRLRSLVNGGAENLDNDTSIISFPGSPGSFKWEGLHGSSTPLVSEKKISQKNDFEVALVGAFRREKDKDIALKALAAENQAALQLAKQREDEIQGLKMRLRFREAGIKRLEAVASGKISAETHLLKEKEEHLKEIEVLRTQVDRNQEVTRFAMENLQLKEEIRRLKSFYEEGGREMMSEQIMVLQNQLLEALDWKLMHESHASVVQKADTDVKKEVHGDPIISNQETGASWRPSISEENEFLCMQAIQNKAEIDTLHKQLGFCLEEKETLERHANELLAKLEAERSLRDVKKEIQQIELPPLSSDASVVNVHGQMELKTMVDAIAAASQREAEAHEKAIILSKENDELHLKLEACIESNDELQTKLKALIEEKNSLIEMYERAASESNYKSLKEADSAENNDDMEADNDGGSVEFEKGKESDMETVVKNLEHQLMEMHEENEKLMGLYEKAMHERDEFKRMLSSGGQNRVESRELDCPEKLVEVDGGVPFSGSDDVLDGPSVVCEDCQVEEENDTGCGTFCDSETEPLNLNLTTVKVSDDLNLLKMKLETAEQTISDSVKTLSVLGSLEKASGEFDKLWREIQAVEEGFQFKQQEFRSLKHLSSEMQERKALVDKKLSALKYSLLNFSQSVVYYEKREARARARVNASSTNLEQKKEELVRLQVCKGENEAALGKTQQAEVELRDNLAILKSRIEEENHKQENEKVLFAIDNIEKVDTSLKSWHLGSKATDLLKSEEEKTKLQTEIKVFREKLGLIIREIEDMNQKSMKIENEMQAVQLAIQKESRITAEMELALQGVIQEKETLLEMGERGISEFQTMILEYQQHMFDVDLKEVEIEILEEELLPEMKRLEELKSVRVAAAKKITKLLEDKSCQSCLSEKMEKELQNVWASIIEAKTLIAERNSNHC >Manes.08G060402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7676397:7677665:-1 gene:Manes.08G060402.v8.1 transcript:Manes.08G060402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKTIPLFLCIAIPLSLYHNTTSNSLSSLLTRISLPIIGTSPLLPSCYRCLLIIFFSTSIENVAAGFIHVRARRGEATDLPQPCREGTHLITDHICLCVLLFLHLFVN >Manes.08G060402.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7674705:7677876:-1 gene:Manes.08G060402.v8.1 transcript:Manes.08G060402.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKTIPLFLCIAIPLSLYHNTTSNSLSSLLTRISLPIIGTSPLLPSCYRCLLIIFFSTSIENVAAGFIHVRARRGEATDLPQPCREGKKKEAEYEDETVAIS >Manes.04G074641.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28986119:28986790:-1 gene:Manes.04G074641.v8.1 transcript:Manes.04G074641.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSWIWTVVQSLSLSLISLPPHMSPSAFSNNFFFFLLYFLISQSTFFFLFLHVFKLIFLPSSCLLNGIFLPINPHSFHVLSPLLFFLLLLCKFFGIFLCFFFLLPDIFFSQSYGFELNPSSSFFFFDI >Manes.08G009600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1199964:1201824:-1 gene:Manes.08G009600.v8.1 transcript:Manes.08G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATSAVRRLINNSLFLSLKTHRGSEMEAENQDKPHAIMVPCPLQGHIIPFVHLAIKLASIGFTITFINTQKLHQLITKSSPPNTTQSDIFTEARKSGLDIRYTTISDGFPLSFDRFINSDQFMEGLMLVFPAHVDDLVGKLVHQTPQITCLIADTFFTWPSMISNKYNLVNVSFWTEPALVFTLYYHLDLLKINGHYACHDNREDVIDYIPGVESIQPKDLPSYLQAPDTCVALRMIHKAIFEGVKEADLVICNTVQELEPRTISALQEKQPFYPLGPIFLLSGFTKTAVFTSLWSQSDCTQWLQAKPPGSVLYVSFGSLAFMSREDVVEIAHGLLLSKVSFIWVFRPGILGCDDSEILPVGFEDEIKDRGLIVPWCCQISVISHPTVGGFLTHCGWNSILETIWFNVPMLCYPLFTDQITNRKLVVDDWKIGINLCDRKPIRRDEIAEKIKCLMIGKSANDLRKNMEKIKGKVEDAVSSVGSSEKNFKQFIVDLKVKISQVAR >Manes.05G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23833970:23837329:1 gene:Manes.05G136100.v8.1 transcript:Manes.05G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTQNNGFQIDPRSGFCRCNSIFYSKRKPYHLPPNYCIDVTTFISSQAHHGKTAFIDASTGHHFSFVDLWKAVDSVATSLFEMGVRKGNVILLLSPNSIFFPVVCLSVMSLGAVITTTNPLNTPREIATQIADSKPLFAFTTSQLVPKLVNSNRNLPIILIDDHDSAKAQANILTTLSEMMRKEPSRSRVRERVNMDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTIVGRFNEDREHKYICTVPMFHIYGLAMFATGLLAPGSTIIVLPKFEIHEMLSAIERYRATDLPLVPPILVALVNGADEMKSKYDLSSLQSVVSGGAPLSKEVIEGFVEKYQKVRILQGYGLTESTGVGASTDTLEESRRYGTAGLLTSSMEAKIVDPESGEALPMNQTGELWLRGPTIMKGYFFNAEATSTALDSEGWLRTGDLCYVDDDGFIFVIDRLKELIKYKGYQVPPAELEALLLTHSEISDAAVIPFPDKEAGQFPMAYVVRKARSSLSESAVMDFVARQVAPYKRIQRVAFIGAIPRNPSGKILRKDLIKLATSKL >Manes.05G136100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23833970:23837329:1 gene:Manes.05G136100.v8.1 transcript:Manes.05G136100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTQNNGFQIDPRSGFCRCNSIFYSKRKPYHLPPNYCIDVTTFISSQAHHGKTAFIDASTGHHFSFVDLWKAVDSVATSLFEMGVRKGNVILLLSPNSIFFPVVCLSVMSLGAVITTTNPLNTPREIATQIADSKPLFAFTTSQLVPKLVNSNRNLPIILIDDHDSAKAQANILTTLSEMMRKEPSRSRVRERVNMDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTIVGRFNEDREHKYICTVPMFHIYGLAMFATGLLAPGSTIIVLPKFEIHEMLSAIERYRATDLPLVPPILVALVNGADEMKSKYDLSSLQSVVSGGAPLSKEVIEGFVEKYQKVRILQGYGLTESTGVGASTDTLEESRRYGTAGLLTSSMEAKIVDPESGEALPMNQTGYFFNAEATSTALDSEGWLRTGDLCYVDDDGFIFVIDRLKELIKYKGYQVPPAELEALLLTHSEISDAAVIPFPDKEAGQFPMAYVVRKARSSLSESAVMDFVARQVAPYKRIQRVAFIGAIPRNPSGKILRKDLIKLATSKL >Manes.08G088111.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:29596690:29597783:1 gene:Manes.08G088111.v8.1 transcript:Manes.08G088111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRERWKWSFTQDMSCFGLSTHLLLCLAFASREAYDQQGKMEVGLYTGHELFLLWCCSRRHSHIFRDCPRTQQFYSCVWRHDSLCLLLSMDIAAWFSRLHDFECMLCHASSSTQVLFIGLWWLWKWQNEGVFWDVCCILSSQLSYFKVQLA >Manes.04G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26572030:26576922:-1 gene:Manes.04G068100.v8.1 transcript:Manes.04G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAASLPLLKMLVQPASSLSVDNGFRSFSTADTGSLRDKMMKQMAHLDINAQVGSCMPLASMRIGTLIHNIEMNPGQGGKLVRAAGTCAKILKEPTSRFCLVKLPSGAEKLIDSRCRATIGRVSNPSHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.02G086000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6766219:6787301:-1 gene:Manes.02G086000.v8.1 transcript:Manes.02G086000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKPQSTPRFTLGKQSSLKPNREDLLPEEEEELIDPRVRLMYLANEGDVEGIKELLDSGTNVNFSDIDGRTALHVATCQGFTDVVQLLLDRGAEVDTKDRWGSTPLADAIYYKNHNVIKLLEEHGAKPPVAPMHVQNTREIPEYEIDPRELDFSNSVDITKGTFRIALWRGIQVAVKTLGEELFTDEDKVTAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLFAYLKEKGALKPRVAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVAKTVREDSPCQDTSWRYVAPEVYKNEEYDTKVDVFSFALILQEMIEGCPPFSTRPDIEVPKAYAANERPPFRAPAKRYLYGLKELIEECWSEEPFRRPTFREIIMRLDDINNRIAQKSRWKVGPLKCLRTFEAMLKSDRLNPRSHSSRSISR >Manes.03G143000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27110560:27114813:-1 gene:Manes.03G143000.v8.1 transcript:Manes.03G143000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKSDNPHTGDGASPGKIFIGGLAKDTTYATFNKHFGKYGEITDSVIMKDRYTGQPRGFGFITYADPSVVDKVIEDTHIINGKQVEIKRTIPKGSGQSKDFKTKKIFVGGIPSSVTEDEFKNFFSKYGKVVEHQIIRDHETNRSRGFGFIIFDNEETVDQMLSKGNMIDMAGTQVACMEGEAIVAVVDTILMQDRMKVFQCSCLSFA >Manes.03G143000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27110560:27114813:-1 gene:Manes.03G143000.v8.1 transcript:Manes.03G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSKSDNPHTGDGASPGKIFIGGLAKDTTYATFNKHFGKYGEITDSVIMKDRYTGQPRGFGFITYADPSVVDKVIEDTHIINGKQVEIKRTIPKGSGQSKDFKTKKIFVGGIPSSVTEDEFKNFFSKYGKVVEHQIIRDHETNRSRGFGFIIFDNEETVDQMLSKGNMIDMAGTQVEIKKAEPKKASNPPPAPAYGSNSRSRSYNDGFGGLGGSYGGFDGGFGPGPYRNPGGLGGRLGGGGGYGYSSGGGDFGGGYGSFGGSSLGGYRGESSLGYSGRFGPYGGGFGGTYGGSGLGGYGRGGEGYGSYGGSGYSGGYESGPGASYGGAGGLYGRGGYSGSSRYHPYAR >Manes.10G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1395406:1403681:1 gene:Manes.10G013600.v8.1 transcript:Manes.10G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNFELTESNVDPSRQSLTPKEEVQWVPLNSHPLFTSLDDGDAAAQAPRNLLAWDGSSRLYYWDSRKHCLHRISIRLGEPEPTSVLASTPSKVLLADVQIDFVVNKISINRNGSALVLAGLDGLCVMYLYGRTSDKDKAIVCRTVSVGSQIYFNESNLIRALQVAWHPYSDTHIGILSSDSVFRIFNLSSDLLQPEQEFYLQPVEPGRSRRAASICPADFSFGGDHLWDRFSVFVLFGDGSVYILCPIVPFGSVHKWESILEIYSDAHTFGLKSANQTAVSNSNLAISWLEATFPEFNNEAMDGENLLALKARPYALFDASLCLQGPLQKVHHRGEAHTAVRGVECEGHAVSFLYNVVSKDSILVTAWSGGQLQIDALADEIQPVWTIGSPPRLHVDSHDHILALAMICELTSSEIPVVKLDQPIDHTVWLSHPPPLLRLAIVDLALPRKTESGSNIMMFVDPLMPERIYSVHNGGVDSILLHFLPFTSQSSGKEETVRTPSVHPVLSTCQTDNSSPLCGFEALSDSFGYSWIMGVTSMQECFVLEMKTWNLLLPIHVDMEKKSPISEELKEEDTPDIISKELLSGPKVVLVPQASPNLRSVAADSIEGRSTLHQYFKLFHENYVEYAHKVFFELKHHGPQLKRIVDVQHARLREAQEKLLKVEEKQSGLEERINHAIQVHNLLEKRLERLRNLPGAHKKPLSRAEREFKSELDHFTGVELDALQNSIDTLKARLRRHTQSSKANVLNQPRQLSGKNYVQDIQMSQLKSSLAKLSLVNSENSKKVKLVESALKSRESSR >Manes.10G013600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1395406:1403681:1 gene:Manes.10G013600.v8.1 transcript:Manes.10G013600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYGRTSDKDKAIVCRTVSVGSQIYFNESNLIRALQVAWHPYSDTHIGILSSDSVFRIFNLSSDLLQPEQEFYLQPVEPGRSRRAASICPADFSFGGDHLWDRFSVFVLFGDGSVYILCPIVPFGSVHKWESILEIYSDAHTFGLKSANQTAVSNSNLAISWLEATFPEFNNEAMDGENLLALKARPYALFDASLCLQGPLQKVHHRGEAHTAVRGVECEGHAVSFLYNVVSKDSILVTAWSGGQLQIDALADEIQPVWTIGSPPRLHVDSHDHILALAMICELTSSEIPVVKLDQPIDHTVWLSHPPPLLRLAIVDLALPRKTESGSNIMMFVDPLMPERIYSVHNGGVDSILLHFLPFTSQSSGKEETVRTPSVHPVLSTCQTDNSSPLCGFEALSDSFGYSWIMGVTSMQECFVLEMKTWNLLLPIHVDMEKKSPISEELKEEDTPDIISKELLSGPKVVLVPQASPNLRSVAADSIEGRSTLHQYFKLFHENYVEYAHKVFFELKHHGPQLKRIVDVQHARLREAQEKLLKVEEKQSGLEERINHAIQVHNLLEKRLERLRNLPGAHKKPLSRAEREFKSELDHFTGVELDALQNSIDTLKARLRRHTQSSKANVLNQPRQLSGKNYVQDIQMSQLKSSLAKLSLVNSENSKKVKLVESALKSRESSR >Manes.13G074501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11101165:11104636:1 gene:Manes.13G074501.v8.1 transcript:Manes.13G074501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLGGVLVCLLIVAVDVGAGILGIQAEIAQNKVMHLRVLVFECKEPSKDAFKLGLAAAALLGVAHVLANLLGGCMCVCSQDQTQRDSPTRQLSTACYIFSWVIVAVGLSMLVIGTMSNNKSRASCGFSHHHFLSIGGILCFVHGLFSVAYYVSATAAISE >Manes.02G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1079937:1087890:1 gene:Manes.02G010300.v8.1 transcript:Manes.02G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKFLIEVEKAKEAEDGRPSVGPVYRSVFAKDGFPPPIPGMDSCWDVFRISVEKYPNNPMLGHREIVNGKAGKFVWQTYKEVYDLVIKVGNSIRSCGVEPGGKCGIYGANCPEWIMSMEACNAHGLFCVPLYDTLGAGAVEYIICHAEVSIAFVEEKKIAELLKTFPNSTQYMKTIVSFGKVAPEQREEIEKFGLAIYSWEEFLELGEDKQYDLPVKKKSDICTIMYTSGTTGDPKGVMISNDNIVTIIAGVRRLLESVNEQLTAKDVYLSYLPLAHIFDRVIEELFISHGASIGFWRGDVKLLIEDIGELKPTIFCAVPRVLDRIHSGLTQKIASGGFLKQKLFNLAYSYKLNSMKKGHSHDKASPLCDKIVFDKVKQGLGGHVRLILSGAAPLAIHVEAFLRVVSCAHVLQGYGLTETCAGTFVSLPNEMAMLGTVGPPVPNVDVCLESVPEMNYDALSSTPCGEICIRGSTVFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSLKIIDRKKNIFKLSQGEYVAVENLENIYGLSSVVDSIWVYGNSFESFLVAVVNPNKQALENWAQDNGIGGNFKSLCENPKAKEYILGELSKIGKEKKLKGFEFVKAVHLDPEPFDIERDLLTPTYKKKRPQLLKYYQDVIDKMYKSASKPNA >Manes.03G178800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30099573:30102830:-1 gene:Manes.03G178800.v8.1 transcript:Manes.03G178800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLPLRLRSISVPSLHKPKVRISPRNLLPIRIPYPVLFSSPTRMESQMPLRATPTQENGSTVTASSPIESGGRQGRIGEVKRVTKETNVSVKINLDGTGITDSSTGIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRRGISRFGDFSAPLDEALIHVSLDLSGRPHLSYDLEIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGRNSHHIIEATFKAFARALRQATEYDPRRLGTVPSSKGVLSRA >Manes.04G140200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33925868:33928934:-1 gene:Manes.04G140200.v8.1 transcript:Manes.04G140200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPYTGGSWTMIPNVPYHSNSPAHSTQDQFYLHQQSQQQQQFNQFQQQQQFEPQQQQFQQQQPQQFQQQQQQQRLIQQQQQQNQHHQSLASHFHLLHLVENLAEVIENGTRDQHSDALITELYNHFEKCQQLLKSISASISTKTMTVEGQKREVEESEQLLNQRRDLIGKYRNSFEELIKSEP >Manes.13G120400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32702993:32703568:1 gene:Manes.13G120400.v8.1 transcript:Manes.13G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVEKAMATRKRGDGTVDGDRQYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPEFLAGESIGGVCGDMSAASIRKKATEVGARVDALETALSHHHHHHHHHHHHHHHHDHHRSSSSSSNSTNNNSSSNNKSVIDSSEFKSFFERVDLNKVPEAEDSDVEWERN >Manes.05G174000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28875758:28877618:-1 gene:Manes.05G174000.v8.1 transcript:Manes.05G174000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EG964 MAADLKSDSIFDLMGRFLETDEGNELQKRINLIYQFNIAPKKIGIDEVSYTVNLKKGKVTKGPYEGGKPDATFSIKDEDFVKLSEGKMNPQIAFMRGALKIKGSLSAAQKFTPDIFPKPSKL >Manes.05G117800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12202870:12204458:-1 gene:Manes.05G117800.v8.1 transcript:Manes.05G117800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVCIFSYLQNCLPARKFSSFKRPHSRNTKPSTLHREMLQNPKPLQSSIKLHSPIPPTHFPIIKQCCKLSRREIAIFSNSSLLLLLSSQSLEPLYLSKARAEENFPGASKSDQQEEKTGSSPNCSNQTATKRAFLDISIDGEPVGRIVVGLYGEGVPAGAARFSNLVSGAAGISYRRKEFIKIMPNYVQHGGVRSYGVDAELASRKGSNLAVESLTDEWERQNECSGIKNSAGSVSIIVRDPLKPPPKLKLIAKKGKLEIDQEQVGTDPNGTEFVIATRDSPDLDDSNLVIGRVLEGMEVVERIGEVKTVQENTGSPYFRVAKLIGDKRAVVAERGFNRPYSKVIVTNCGLLE >Manes.10G108300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26110969:26117493:-1 gene:Manes.10G108300.v8.1 transcript:Manes.10G108300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVMLMILIVAVSSSLAIQLELEPRKEKIGNQRINTHSYAYEDAGKHPMMSKYVSDHIQKGNFIHEDQMPKLRRILTGINAPPSHSSPGGSG >Manes.10G108300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26110969:26121906:-1 gene:Manes.10G108300.v8.1 transcript:Manes.10G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVMLMILIVAVSSSLAIQLELEPRKEKIGNQRINTHSYAYEDAGKHPMMSKYVSDHIQKGNFIHEDQMPKLRRILTGINAPPSHSSPGGSG >Manes.17G013800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6511410:6568420:-1 gene:Manes.17G013800.v8.1 transcript:Manes.17G013800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEERNQSSCKIDNSRAGENGDEKKNLVEITLKTIGPSPPSALFVPSPIKVCDLRKLIAENKHLPIENLRLIFCGNVLHDKRHEDDAHLQLNNGDSLIVAVKPKPPAKHLRDGFDFDDDDLKFQLPQSTSRWKRRLYFFLHDKLKLPDILLLALFSLSLKMWAVIILWFILAPVAHRWDLGPLYILGTGFSVIFFNLGRRQAGDLSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >Manes.17G013800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6552017:6568420:-1 gene:Manes.17G013800.v8.1 transcript:Manes.17G013800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEERNQSSCKIDNSRAGENGDEKKNLVEITLKTIGPSPPSALFVPSPIKVCDLRKLIAENKHLPIENLRLIFCGNVLHDKRHEDDAHLQLNNGDSLIVAVKPKPPAKHLRDGFDFDDDDLKFQLPQSTSRWKRRLYFFLHDKLKLPDILLLALFSLSLKMWAVIILWFILAPVAHRWDLGPLYCIFYLQ >Manes.17G013800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6511410:6568420:-1 gene:Manes.17G013800.v8.1 transcript:Manes.17G013800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEERNQSSCKIDNSRAGENGDEKKNLVEITLKTIGPSPPSALFVPSPIKVCDLRKLIAENKHLPIENLRLIFCGNVLHDKRHEDDAHLQLNNGDSLIVAVKPKPPAKHLRDGFDFDDDDLFQLPQSTSRWKRRLYFFLHDKLKLPDILLLALFSLSLKMWAVIILWFILAPVAHRWDLGPLYILGTGFSVIFFNLGRRQAGDLSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >Manes.17G013800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6554460:6568420:-1 gene:Manes.17G013800.v8.1 transcript:Manes.17G013800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEERNQSSCKIDNSRAGENGDEKKNLVEITLKTIGPSPPSALFVPSPIKVCDLRKLIAENKHLPIENLRLIFCGNVLHDKRHEDDAHLQLNNGDSLIVAVKPKPPAKHLRDGFDFDDDDLKFQLPQSTSRWKRRLYFFLHDKLKLPDILLLALFSLSLKMWAVIILWFILAPVAHRWDLGPLYCIFYLQ >Manes.17G013800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6556004:6568420:-1 gene:Manes.17G013800.v8.1 transcript:Manes.17G013800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEERNQSSCKIDNSRAGENGDEKKNLVEITLKTIGPSPPSALFVPSPIKVCDLRKLIAENKHLPIENLRLIFCGNVLHDKRHEDDAHLQLNNGDSLIVAVKPKPPAKHLRDGFDFDDDDLKFQLPQSTSRWKRRLYFFLHDKLKLPDILLLALFSLSLKMWAVIILWFILAPVAHRWDLGPLYCIFYLQ >Manes.17G013800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6562645:6568420:-1 gene:Manes.17G013800.v8.1 transcript:Manes.17G013800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEERNQSSCKIDNSRAGENGDEKKNLVEITLKTIGPSPPSALFVPSPIKVCDLRKLIAENKHLPIENLRLIFCGNVLHDKRHEDDAHLQLNNGDSLIVAVKPKPPAKHLRDGFDFDDDDLKFQLPQSTSRWKRRLYFFLHDKLKLPDILLLALFSLSLKMWAVIILWFILAPVAHRWDLGPLYESDESLFQKMPWLDQSTLAHMPAA >Manes.17G013800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6555910:6568420:-1 gene:Manes.17G013800.v8.1 transcript:Manes.17G013800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEERNQSSCKIDNSRAGENGDEKKNLVEITLKTIGPSPPSALFVPSPIKVCDLRKLIAENKHLPIENLRLIFCGNVLHDKRHEDDAHLQLNNGDSLIVAVKPKPPAKHLRDGFDFDDDDLKFQLPQSTSRWKRRLYFFLHDKLKLPDILLLALFSLSLKMWAVIILWFILAPVAHRWDLGPLYILGTGFSVIFFNLGRRQAGDLSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >Manes.17G013800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6552017:6568420:-1 gene:Manes.17G013800.v8.1 transcript:Manes.17G013800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVEEERNQSSCKIDNSRAGENGDEKKNLVEITLKTIGPSPPSALFVPSPIKVCDLRKLIAENKHLPIENLRLIFCGNVLHDKRHEDDAHLQLNNGDSLIVAVKPKPPAKHLRDGFDFDDDDLKFQLPQSTSRWKRRLYFFLHDKLKLPDILLLALFSLSLKMWAVIILWFILAPVAHRWDLGPLYILGTGFSVIFFNLGRRQAGDLSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >Manes.15G047900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3686383:3705236:1 gene:Manes.15G047900.v8.1 transcript:Manes.15G047900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYKGAAIGELTPHPFAVADSAYRQMINEGISQAILVSGESGAGKTESTKMLMRYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGGISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPQEDIKKYKTGDPKSFHYLNQSNFYQLDGMDESNEYLTTRRAMDVVGISTDEQDAIFRVVAAILHLGNVEFTKGDELDSSTPKDDKARFHLKIAAELFMCNEKSLEDSLCKRVIVTRDESITKTLDPAAATVNRDALAKTVYSRLFDWLVNKINNSIGQDPNSKSLIGILDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIDFVDNQDILDLIEKKPGGIIALLDEACMFPKSTNETFAQKLYQTFKDHKRFSKPKLAHSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLGGSKCSFVAGLFPPSPDDSVKSSKFSSIGSRFKQQLQALLETLSATEPHYIRCIKPNNVLRPAIFENSNVLQQLRCGGVMEAIRISCAGYPTRKMFDEFVSRFGILGPDVLKGSYDGPTACKRLLEKANLQGYQIGKTKVFLRAGQMAELDARRSEVLGRAASMIQRKVRSYFCRKRYILLQQSAIDIQAMCRAQIAHHLYECMRREAASLKIQKYARRHLARKSYNRLCTSAVSIQACMRRRAACNELQFRKQTRAAIVIQSHSRKYLASLHYLRLKKAAITMQCALRIEVACRELRKLKMAAKETGALQEAKIKLEEEVKQLTWCLVQEKRTRAELEEAKTQEIAKLQSTLQGVQLEFQETKALHFKEREDAAKETGALQEAKSKMEEQVEQLTCCLEQEKRTKAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKLEEQVEQLTSCLEQEKRTRAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKMEEQVEQLTCCLEQEKRTRAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKMDEQIEQLTCCLEQEKRTRAELEEAKTQEIAKLHSKLQEVQLEFQETKALLFKEREDAKKETEHVSVVDNSVGTKKETEHVPHIQEVSVVDNSVGTKKETEHVPHIQEVSVVDNSVGTKKETEHVPHIQEVSVVDNSVADKSVVDNSVVDNELMNKLTAENEMLKATVSSLEKKIDETERKEKQIDETERKYEETCRISEERLKQALDAEAKIIELKTSMQRLEEKLADLEDAEVLNHQALSSSSVKKRSENLAITSQPLENGDNETQSSSPEKKNDMDLDKKIQIERLHENVDFLIKCMEQNIGFSQGKPVAAVTIYRCLVHWRSFEAEKTSVFDCLIQMIGSVMENQENNGHMAYWLSNTSTLLCFLQRTCRDSQKPPVPTSFFGRVTQSFRSSPSSSSLRVGKDTVQLVEAKYPALLFKQQLTAYVDTIYGIIRENLKKDLSPLLSSCIQAPSVLDGNASESVPTNNWHNVVESLNGLLCTFQENFVSAILVQKTFTQIFSHMNVQLFNSLLLHRESCTFSNGEYVKAGLAELEQWCGQAKEEYIGSAWDELKHTRQAVGFLVIQQKSKITYDEISSDLCTILSIQQQYRMCTLYGDDDSNAERVSADVISSMKSKIDGPDNDDGDSYLLKEDSSIPFSVEEVSNSLQENDFTDVTLPSVLLENPDFEFLHE >Manes.15G047900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3686383:3705236:1 gene:Manes.15G047900.v8.1 transcript:Manes.15G047900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYKGAAIGELTPHPFAVADSAYRQMINEGISQAILVSGESGAGKTESTKMLMRYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGGISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPQEDIKKYKTGDPKSFHYLNQSNFYQLDGMDESNEYLTTRRAMDVVGISTDEQDAIFRVVAAILHLGNVEFTKGDELDSSTPKDDKARFHLKIAAELFMCNEKSLEDSLCKRVIVTRDESITKTLDPAAATVNRDALAKTVYSRLFDWLVNKINNSIGQDPNSKSLIGILDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIDFVDNQDILDLIEKKPGGIIALLDEACMFPKSTNETFAQKLYQTFKDHKRFSKPKLAHSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLGGSKCSFVAGLFPPSPDDSVKSSKFSSIGSRFKQQLQALLETLSATEPHYIRCIKPNNVLRPAIFENSNVLQQLRCGGVMEAIRISCAGYPTRKMFDEFVSRFGILGPDVLKGSYDGPTACKRLLEKANLQGYQIGKTKVFLRAGQMAELDARRSEVLGRAASMIQRKVRSYFCRKRYILLQQSAIDIQAMCRAQIAHHLYECMRREAASLKIQKYARRHLARKSYNRLCTSAVSIQACMRRRAACNELQFRKQTRAAIVIQSHSRKYLASLHYLRLKKAAITMQCALRIEVACRELRKLKMAAKETGALQEAKIKLEEEVKQLTWCLVQEKRTRAELEEAKTQEIAKLQSTLQGVQLEFQETKALHFKEREDAAKETGALQEAKSKMEEQVEQLTCCLEQEKRTKAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKLEEQVEQLTSCLEQEKRTRAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKMEEQVEQLTCCLEQEKRTRAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKMDEQIEQLTCCLEQEKRTRAELEEAKTQEIAKLHSKLQEVQLEFQETKALLFKEREDAKKETEHVSVVDNSVGTKKETEHVPHIQEVSVVDNSVGTKKETEHVPHIQEVSVVDNSVGTKKETEHVPHIQEVSVVDNSVADKSVVDNSVVDNELMNKLTAENEMLKATVSSLEKKIDETERKEKQIDETERKYEETCRISEERLKQALDAEAKIIELKTSMQRLEEKLADLEDAEVLNHQALSSSSVKKRSENLAITSQPLENGDNETQSSSPEKKNDMDLDKKIQIERLHENVDFLIKCMEQNIGFSQGKPVAAVTIYRCLVHWRSFEAEKTSVFDCLIQMIGSVMENQENNGHMAYWLSNTSTLLCFLQRTCRDSQKPPVPTSFFGRVTQSFRSSPSSSSLRVGKDTVQLVEAKYPALLFKQQLTAYVDTIYGIIRENLKKDLSPLLSSCIQVSAILVQKTFTQIFSHMNVQLFNSLLLHRESCTFSNGEYVKAGLAELEQWCGQAKEEYIGSAWDELKHTRQAVGFLVIQQKSKITYDEISSDLCTILSIQQQYRMCTLYGDDDSNAERVSADVISSMKSKIDGPDNDDGDSYLLKEDSSIPFSVEEVSNSLQENDFTDVTLPSVLLENPDFEFLHE >Manes.15G047900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3686383:3705236:1 gene:Manes.15G047900.v8.1 transcript:Manes.15G047900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYKGAAIGELTPHPFAVADSAYRQMINEGISQAILVSGESGAGKTESTKMLMRYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGGISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPQELDGMDESNEYLTTRRAMDVVGISTDEQDAIFRVVAAILHLGNVEFTKGDELDSSTPKDDKARFHLKIAAELFMCNEKSLEDSLCKRVIVTRDESITKTLDPAAATVNRDALAKTVYSRLFDWLVNKINNSIGQDPNSKSLIGILDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIDFVDNQDILDLIEKKPGGIIALLDEACMFPKSTNETFAQKLYQTFKDHKRFSKPKLAHSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLGGSKCSFVAGLFPPSPDDSVKSSKFSSIGSRFKQQLQALLETLSATEPHYIRCIKPNNVLRPAIFENSNVLQQLRCGGVMEAIRISCAGYPTRKMFDEFVSRFGILGPDVLKGSYDGPTACKRLLEKANLQGYQIGKTKVFLRAGQMAELDARRSEVLGRAASMIQRKVRSYFCRKRYILLQQSAIDIQAMCRAQIAHHLYECMRREAASLKIQKYARRHLARKSYNRLCTSAVSIQACMRRRAACNELQFRKQTRAAIVIQSHSRKYLASLHYLRLKKAAITMQCALRIEVACRELRKLKMAAKETGALQEAKIKLEEEVKQLTWCLVQEKRTRAELEEAKTQEIAKLQSTLQGVQLEFQETKALHFKEREDAAKETGALQEAKSKMEEQVEQLTCCLEQEKRTKAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKLEEQVEQLTSCLEQEKRTRAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKMEEQVEQLTCCLEQEKRTRAELEEAKTQEIAQLHSTLQEAAKETGALQEAKSKMDEQIEQLTCCLEQEKRTRAELEEAKTQEIAKLHSKLQEVQLEFQETKALLFKEREDAKKETEHVSVVDNSVGTKKETEHVPHIQEVSVVDNSVGTKKETEHVPHIQEVSVVDNSVGTKKETEHVPHIQEVSVVDNSVADKSVVDNSVVDNELMNKLTAENEMLKATVSSLEKKIDETERKEKQIDETERKYEETCRISEERLKQALDAEAKIIELKTSMQRLEEKLADLEDAEVLNHQALSSSSVKKRSENLAITSQPLENGDNETQSSSPEKKNDMDLDKKIQIERLHENVDFLIKCMEQNIGFSQGKPVAAVTIYRCLVHWRSFEAEKTSVFDCLIQMIGSVMENQENNGHMAYWLSNTSTLLCFLQRTCRDSQKPPVPTSFFGRVTQSFRSSPSSSSLRVGKDTVQLVEAKYPALLFKQQLTAYVDTIYGIIRENLKKDLSPLLSSCIQAPSVLDGNASESVPTNNWHNVVESLNGLLCTFQENFVSAILVQKTFTQIFSHMNVQLFNSLLLHRESCTFSNGEYVKAGLAELEQWCGQAKEEYIGSAWDELKHTRQAVGFLVIQQKSKITYDEISSDLCTILSIQQQYRMCTLYGDDDSNAERVSADVISSMKSKIDGPDNDDGDSYLLKEDSSIPFSVEEVSNSLQENDFTDVTLPSVLLENPDFEFLHE >Manes.S046716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1469157:1470249:1 gene:Manes.S046716.v8.1 transcript:Manes.S046716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRTRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.07G042700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4845608:4846003:1 gene:Manes.07G042700.v8.1 transcript:Manes.07G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRITLPETVGNTDTKKSCCTSAGKAEKGCFVVYSSDPKRFLLPLQCLNNQIILELFEMAEEEFGSQSTGPLTLPCEAELIEYAITLIKQQVTRDIEKTLLTSIVSSFCSLSPNLQHQRTNHQIPICSF >Manes.14G150400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17032050:17043039:1 gene:Manes.14G150400.v8.1 transcript:Manes.14G150400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIPEDNDSVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPTSNAFVVAEEEAARHQQEDEDDDFDDFSGFSETQTHFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANFSSDTQPMPKLDPSILDLYKGLGEFLSRYTAGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGVKKAELFYRLVLLPRIRDDIKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQRYKNELTKEDKQNLRTLVGKQKHKLVTPEIIRELDSSRNRGEKEDPMSMTSPISVINKVIEEDRFDIPQVPMEED >Manes.14G150400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17031848:17043039:1 gene:Manes.14G150400.v8.1 transcript:Manes.14G150400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIPEDNDSVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPTSNAFVVAEEEAARHQQEDEDDDFDDFSGFSETQTHFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANFSSDTQPMPKLDPSILDLYKGLGEFLSRYTAGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGVKKAELFYRLVLLPRIRDDIKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQR >Manes.14G150400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17031821:17043039:1 gene:Manes.14G150400.v8.1 transcript:Manes.14G150400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIPEDNDSVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPTSNAFVVAEEEAARHQQEDEDDDFDDFSGFSETQTHFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANFSSDTQPMPKLDPSILDLYKGLGEFLSRYTAGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGVKKAELFYRLVLLPRIRDDIKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQRYKNELTKEDKQNLRTLVGKQKHKLVTPEIIRELDSSRNRGEKEDPMSMTSPISVINKVIEEDRFDIPQVPMEED >Manes.14G150400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17032050:17043039:1 gene:Manes.14G150400.v8.1 transcript:Manes.14G150400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIPEDNDSVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPTSNAFVVAEEEAARHQQEDEDDDFDDFSGFSETQTHFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANFSSDTQPMPKLDPSILDLYKGLGEFLSRYTAGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGVKKAELFYRLVLLPRIRDDIKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQRYKNELTKEDKQNLRTLVGKQKHKLVTPEIIRELDSSRNRGEKEDPMSMTSPISVINKVIEEDRFDIPQVPMEED >Manes.09G064600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10659889:10661844:-1 gene:Manes.09G064600.v8.1 transcript:Manes.09G064600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLFVAARIGDVETLRNLLPENNNPAALPQSSKWTPLHIACLSGKVDFAREFLRRRPQFLKHENPDGCTALHLASSIGDLQMVMLLLTFGRDDESMDREFCLKKDNDKRNPLHHAVIKGSVEVVSKLLEACPESALEVTAQKETIFHLAVKHRVSVSGELFSQLLGGPYTEHLLNFGDKKGNTVLHLASVRKQTQIIRLLTGWRPNLDANAVNSAGLTQPSLDAKAVNSTGITRPRLDVNAVNSTGLTPLDLLVVDPMNVTDMEIEGIITSKGGIRLNESEEQREYLKSIASWILVMASVTAAASCQIAVFLKGGFWQNSSPVSGGNVTLISNSTNFWAYTSPSANSSSIVSNTTTAIQKADNTKVLHNQHGKTEPASLPYLLASLDGAAFLLSICLIVLVLFPTSTNKSNLVKWLFLRYLTYGSTVSLGFLFWQLVTAEEDLAFQNILSGIFLVFLLVIVILIAIPLFKIYFSYRRIRRKEMSMRRMQFQHREKNLLVSGPCEFKLN >Manes.17G106100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31295073:31303343:1 gene:Manes.17G106100.v8.1 transcript:Manes.17G106100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIESVVTQIQGLSSSATDVSVLNTYLKQAEESLYTESTRLLPFLDHLDPSIHSLGYLYILDACTSGPISKEQAKTLVLIFARFITSCVADQIRLASDKFISVCKRFKDQVMLLEAPMQGVAPMLSAVRKLQSSSAHLTALHPDFLQLCLLSKCYRTGFSVLEEDIFEVDQPRDLFLYCYYGGMVCIGQKRFQKALELLHNVVTAPMSSINAIAVEAYKKYILVSLIHRGQFSANLPKYASSAAQRNLRNFCQPYIELVNSYTTGKIAELETYVQTNREMFGSDNNLGLVKQVVSSMYKRNIQILTQTYLTLSLQDIANTVQLNSSKEAEMHVLQMIQDGEIYATINQRDGMVRFLEDTEQYKTCEMIENIDSSIQRIMELSKKLTTIDEQMSCDPLYLAKAGRERQRFDFDDFDTAPQKFNI >Manes.17G106100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31295027:31303343:1 gene:Manes.17G106100.v8.1 transcript:Manes.17G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIESVVTQIQGLSSSATDVSVLNTYLKQAEESLYTESTRLLPFLDHLDPSIHSLGYLYILDACTSGPISKEQAKTLVLIFARFITSCVADQIRLASDKFISVCKRFKDQVMLLEAPMQGVAPMLSAVRKLQSSSAHLTALHPDFLQLCLLSKCYRTGFSVLEEDIFEVDQPRDLFLYCYYGGMVCIGQKRFQKALELLHNVVTAPMSSINAIAVEAYKKYILVSLIHRGQFSANLPKYASSAAQRNLRNFCQPYIELVNSYTTGKIAELETYVQTNREMFGSDNNLGLVKQVVSSMYKRNIQILTQTYLTLSLQDIANTVQLNSSKEAEMHVLQMIQDGEIYATINQRDGMVRFLEDTEQYKTCEMIENIDSSIQRIMELSKKLTTIDEQMSCDPLYLAKAGRERQRFDFDDFDTAPQKFNI >Manes.10G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3162537:3164370:-1 gene:Manes.10G031200.v8.1 transcript:Manes.10G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVYLDPPNWEQQNQQPGAGGENPQLPLPPPPPGGGGGSSGAIRPGSMAERARLAKIPQPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSSRSKSPTKAGSSSTSGLVSNSCTTDIIGHMVHPPPQLPILPPLHHLSKYNSTEIGLNFFGIQPPVAAKSAGGMEFQIGSTSSGGAGGSLLSTGLVDQWRLQQVQQFPFFANLEPPTGLYPYEGEGIEPPNYVGQLRLSKPLDSGVTQLASVKMEDNQGLNSSKNFLGISGNDQYWGGNAWTDIPGFTSSSTSHLL >Manes.07G106800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31310565:31316915:-1 gene:Manes.07G106800.v8.1 transcript:Manes.07G106800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQLVVILPIAAFQLRMVPPWNHLLRHQALCMRNLWELFILFLPSTDGTSSAAGKSGSLSLDALAKAKKALQMQKELAEKLKKIPLLSKGASSSSDNNTPPILKEELKAQYSGIGVQRETPPISTTSISAGTMSSALTAGNPPASVMEAFPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQMPEEVSVPQKPTRAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSQRVIIKEKTKEPIPEIEWWDVPLLPSGSYDGIDDGNASDKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPAERREKKEKKLFDDPNNVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEEEEEDGDDNEDKPVNKCMLVWQGSVAKPSFNRFFVHECVTEAAARKVFADAGVGHYWDLAVNFSDDQV >Manes.07G106800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31310565:31317117:-1 gene:Manes.07G106800.v8.1 transcript:Manes.07G106800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKELAEKLKKIPLLSKGASSSSDNNTPPILKEELKAQYSGIGVQRETPPISTTSISAGTMSSALTAGNPPASVMEAFPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQMPEEVSVPQKPTRAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSQRVIIKEKTKEPIPEIEWWDVPLLPSGSYDGIDDGNASDKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPAERREKKEKKLFDDPNNVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEEEEEDGDDNEDKPVNKCMLVWQGSVAKPSFNRFFVHECVTEAAARKVFADAGVGHYWDLAVNFSDDQV >Manes.07G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31310565:31316915:-1 gene:Manes.07G106800.v8.1 transcript:Manes.07G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSDKEKSSKRSREERDRDSTKDHHDRDHKHRSRDKDRDDRYRDSDSLHRHRRSDRESHRDHHKSSRHEDRESCRDRESKRERSHDPREDREGSRDRRERSHEPLSDHKSSLSIREDHERSRERSYNRIEEREGSIDGRDTELKREISYESADLKYEARRKRKERGNSEDRLEREKRVRVSEEKRERRRFEDKVKEEDSIDKYDNNSSIEDAKRVESSKVKEEVNDEPTGGNTANRGLPTENGATLESFAKTSSIVHEKSVGTFHPLPTKVSSISNTNENKGVSITRSHEVPGKSSTDGTSSAAGKSGSLSLDALAKAKKALQMQKELAEKLKKIPLLSKGASSSSDNNTPPILKEELKAQYSGIGVQRETPPISTTSISAGTMSSALTAGNPPASVMEAFPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQMPEEVSVPQKPTRAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSQRVIIKEKTKEPIPEIEWWDVPLLPSGSYDGIDDGNASDKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPAERREKKEKKLFDDPNNVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEEEEEDGDDNEDKPVNKCMLVWQGSVAKPSFNRFFVHECVTEAAARKVFADAGVGHYWDLAVNFSDDQV >Manes.01G067801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26900949:26901779:1 gene:Manes.01G067801.v8.1 transcript:Manes.01G067801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKNITDYTGAGEGLMNLHGSPSWVSKYVSDSLTLQGFNKDIKEKKKKKEEIGKEKKKKKKKKKKQKKEIGKEKKKKKKRKKKEIEKEKKKKKKKRKRKEREWVF >Manes.08G108200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34773593:34775948:1 gene:Manes.08G108200.v8.1 transcript:Manes.08G108200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPDSKVACETCTKTNMVMVFGEITTQANVDYEKIVRDTCRSIGFVSDDVGLDADKCKVLVYIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMISINLDLKRGGSGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >Manes.06G135100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26307406:26315075:1 gene:Manes.06G135100.v8.1 transcript:Manes.06G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGYLAISAASTALSFVGLQCWAELSLDKLKSDGLIDEIFSSPENANQALELVWGSYATVALLANFVFNAFILLNLCLKTIFFVELYPSETRKLMERLVNYVIYKGTFLPLVIPATVFQAGLWLIWLTVLCSLKMFQALARDRLERLNASPSAMPWTYFRVYSVLLLVLAVDFFWIRLCLVIYRTLGSSMFLLLFFEPFSIAFETMQAMLVHGFQLLDIWFHHSAGNSTNCQRFKLFDAIAAGSLTEWKGFLIRNLGFSLDLATLLMALGHYIHIWWLHGVAFHLVDAVLFLNIRALLSAIIKRVRGYAKLRVALGALHAALPDATSEELRAYDDECAICREPMAKAKKLHCSHLFHLACLRSWLDQGLNEMYSCPTCRKPLFIGRTENEANHHRGDISSDEQLARQISEGLDQQTAATRPVGVFTNQTHNSIEGIPWRGAGLDPSRLHTWPGQGVDGAGPSTAMRSVGLGRVQMMMRHLASVGETYAQTALEDATWSLWPMNPSQAVASASSVSPATVGRSTGGTGGLHMRTASRSASDNIVNMLAMAETVREVLPHIPDELILQDLQRTNSVTVTVNNLLQM >Manes.16G016100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1681409:1684088:1 gene:Manes.16G016100.v8.1 transcript:Manes.16G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSKATFTSSPIPSPPETPDKWSFTQENILPSTVSNHLIYQDDQQPSINEDDFDIKNHQKKLRSLKHMLNKEGEDAFECLPMVDAIQRLGIEYHFQDEIDSFLKRHYMIHSTYNYNDLHEAALSFRLLRQAGYHVLAGVFDNFKDREGKYKQNLDYDVKGLLGLYEASQLSIGGEDHILDEAGDYSYRLLNSWVTQLDDNQARAVEKTLEYPHHKSLARFMAKHFITDFQGGNGWMNELQQLAKLDFKSVQSQYQQEILEISRWWKNLGLSKELKFARNQPLKWHIWSMAAFKDPSWSEQRLDLTKSISFVYLIDDIFDVQGTLDELVLFTEVIKRWDISTTEQLPQYMRTCFKALDNVTNEISYKVYEQHGWNPVDSLRKTWGILCDAFLVEGRWFASGKLPSAEEYLENGIVSSGAHVVIVHIFFLLGHGLTREAVELIDSNPPIISSLATILRLWDDLGSAKDEGQDGHDGSYIEYYMKENEGCGVENARKHVNQKISDAWKQLNKECLFRKPFSSNFTDACLNLARMVPLMYNYDNNQRLPVLECLVDSLLTETIAQ >Manes.11G068600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9740455:9745174:1 gene:Manes.11G068600.v8.1 transcript:Manes.11G068600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASAVNLRDASYRASASGRQPFQAVDVLGLKKRGQGVRSWIRVDSFGNSQVIEVDKFSMMRRCDLPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQAPGVGEVWQSEGPELNRRRSKNFDNVFANPSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERARRLKSRLVVLTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRQSSFYCDQSLLGFRSNDGGLYASAPVSPVSSPPESRRLEKSLSIARSRHESMRSSESATESIEQLEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPMFDDPGAFKWVLIITGITGITIFCAFVWFFKYRRLMPL >Manes.03G055000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5346480:5347463:-1 gene:Manes.03G055000.v8.1 transcript:Manes.03G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFEDDSGVIVTHLLYKAAVILAILRCALSWALKFRNTTHLPPSSPSDSLHPLPSSQQIRDGLILTTFADVTQRISPAYDTCAVCLGQLRENDQVRELRNCCHVFHVDCIDRWVDHDDDGNHRSCPLCRAPLLTTSQSLGWIRSEPSWAVERILYLFGDDLFVQ >Manes.08G139371.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:20108935:20112256:1 gene:Manes.08G139371.v8.1 transcript:Manes.08G139371.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDVKLWPFKVIPGPGDKPMIVVTYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYSYNMRNTVKDEKIGAKLPPGDKKKIEDAIESAIQWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGGMDDDVPSGGSAAGPKIEEVD >Manes.10G056700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7326216:7330126:-1 gene:Manes.10G056700.v8.1 transcript:Manes.10G056700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGINLVMTVIGFAVSTTFIVFICTRLICARIQLNLSRRSFPITSRSDLSILERGLHGLEPVVVANFPLKKYGDELFLASEDAQCPVCLTEYRHEDIVRILPYCGHFFHMTCIDIWLLQHSTCPVCRISLRECPDKKRMMQPLFSSAIRSPYAMQSFDTHACNCLLAGHGRTHDSRAMGSVRESFCAPEGPEAARGENIYPRTEGNQTGTASLQNKGKITDTNPFQSTQVWSASYTGSPLTESNQIVNDSGNKHVESTSNI >Manes.10G056700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7326216:7330126:-1 gene:Manes.10G056700.v8.1 transcript:Manes.10G056700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGINLVMTVIGFAVSTTFIVFICTRLICARIQLNLSRRSFPITSRSDLSILERGLHGLEPVVVANFPLKKYGDELFLASEDAQCPVCLTEYRHEDIVRILPYCGHFFHMTCIDIWLLQHSTCPVCRISLRECPDKKRMMQPLFSSAIRSPYAMQSFDTHACNCLLAGHGRTHDSRAMGSVRESFCAPEGPEAARGENIYPRTEGNQTGTASLQNKGKITDTNPFQSTQVWSASYTGSPLTESNQIVNDSGNKHVESTSNI >Manes.08G050000.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5141451:5152176:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINFHFCSTLKYIIVYQFCVIKIEWQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMVWFMGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5138091:5152193:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISGCLSRHVFPACDSLCFFCPAMRTRSRQPIKRYKKIMAGIFPRNQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMVWFMGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMVARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5138091:5152193:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISGCLSRHVFPACDSLCFFCPAMRTRSRQPIKRYKKIMAGIFPRNQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMVWFMGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5144236:5152176:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMVARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5138091:5152176:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISGCLSRHVFPACDSLCFFCPAMRTRSRQPIKRYKKIMAGIFPRNQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMVWFMGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5138091:5152176:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISGCLSRHVFPACDSLCFFCPAMRTRSRQPIKRYKKIMAGIFPRNQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMVWFMGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMVARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5141451:5152176:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINFHFCSTLKYIIVYQFCVIKIEWQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMVWFMGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMVARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5144236:5152176:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5138091:5152176:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISGCLSRHVFPACDSLCFFCPAMRTRSRQPIKRYKKIMAGIFPRNQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMVWFMGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMVARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5138091:5152193:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISGCLSRHVFPACDSLCFFCPAMRTRSRQPIKRYKKIMAGIFPRNQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMVARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5141451:5152176:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINFHFCSTLKYIIVYQFCVIKIEWQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMVWFMGEHSHISVEFDNIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMVARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.08G050000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5138091:5152193:1 gene:Manes.08G050000.v8.1 transcript:Manes.08G050000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISGCLSRHVFPACDSLCFFCPAMRTRSRQPIKRYKKIMAGIFPRNQGDGPNERMIGKLCEYAAKNPLRIPKMADSLEQRCYKELRNENYQSAKIVMCIYKKLLISCKEQMPLFASSLLSVMHILLDQTRQDELQIIGCEALFDFVNNQIDGTYMFNLEGFVPKLCQLAQEVGEVKRARGLRSASLQALSSMIVSVVLENYGDQKLEDCGDIKKNCENVDTDKEGPQDKWVQEVLKNEGHPIQDSSAEVIRRIPSWRTIVNEKGEVNVTAEDACNPTFWSGVCLHNMAQLGMEVTNIRRVLESVFRYFDCSNLWSLDYGLAFPVLKDMQFLMDDSGQNPHVLLSTLIKHLDHKNVLKEPDMQLEIVEVTTSLAKNAKVESSVAIIGAVSDLMRHLRKSIHCSLDDTDLGADVKQWNKTFREAVDKCLVELTSKVGDAGPILDVMAVMLENISTITVISKTTISTVYRTAQIAASIPNLMYQNKAFPETLFHQLIPVMLHPDHEIRIGAHRIFSVVLVPSSVSPCSSSPNSESKNGFNRRTLSRTGTVFSSSAALFEKLRKEMTSFKERSHQDNKENVVEGEKMRNGRINSLKFSYSIKNSLGPTTTDENPESSKETEAGCLRLSSRQISLLLTSIWAQSICPTNTPENYEAIAHTYALVLIFSRSKNSSNESLIQSFQLAFSLRNIALNGGSLSPSQRRSLFTLATSMIFFSSEAYNIVPLVKCAKVVLTEKMVNPFLHLVDKKLKAVNPGYDHSSNIYGSKEDDDSALKFLSEINITGNQSREFFATEIVKRLENFPDSELSIAREQLLNEFSPDDVCQLGGQFMDALRKTERDDSLASIEEVFVDPVEGQAKADNELVSEDPNLISVNQILESVLDAAHQVERMSVTAPDLPYKDMADHCETLLMGKQKRMSNVMSSEMKQEESLILFSPKNHGEEAMARNPFLDLNFTESSKSLSVGTIPMQCATEHQQNSDLFKLPSPCPYDNFLKAAGC >Manes.10G077200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17481055:17483762:-1 gene:Manes.10G077200.v8.1 transcript:Manes.10G077200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDISYFNAASSSYLSSANPFSLISPAFSLLLHICTIYIYRYTLTIFYIYPQIPTSQIHPHHHQPTNHSPAMAPLGRSLPFFVLLSLLSIALALDMSIVDYNIRHGQNPLPQRTEAEVQRMYEMWLMKHGKAYNALGEKEKRFEIFKDNLRFIEEHNSVNRTYKVGLNRFADLTNEEYRAKFLGARMERKNRLGAGRSQRYLFNKGDDLPEKVDWREKGAVVPVKDQGQCGSCWAFSTIGAVEGINQIVTGELISLSEQELVDCDTSYNQGCNGGLMDYAFEFIMKNGGIDTEEDYPYKATDNMCDPSRKNAKVVTIDGYEDVPENDEKSLKKAVAHQPVSVAIEAGGRAFQLYQSGVFTGSCGIQLDHGVVAVGYGTENGVDYWIVRNSWGPRWGENGYIRMERNVAGTKTGKCGIAVEASYPTKKGANPPNPGPSPPSPVNPPPPVRPPTECDNSYSCPEGNTCCCIYEYSGFCFGWGCCPLESATCCDDHYSCCPHEYPVCDLNAGTCRISKDNPLSIKALRRVPAKRTHSAGRIAFGRLY >Manes.12G096300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:19606107:19607874:-1 gene:Manes.12G096300.v8.1 transcript:Manes.12G096300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHELLGGAGLIGGERKFSINGTILEGTTSPSPSLSPSSSTTSASTTNTTATATAANSSSENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTTVSTSVGKSSTGKMKTVASEIGRSGFGNGFDHELPSSPIMWASPQNSHILTLLRATHNSNPNSSTLSNSLAVKEEGCMIGTYLIGEPTVATGALNARTLGLDPLAQVPSLGLCSPFWKNSQHQAQQQQQTGFIACEAQNSGIQELYQRLRSSTNYYCDSSTVPLGNLASSSTAASTILESSPVAGGELGYWNPTFSWSDLPTTNGAYP >Manes.15G029200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2326787:2334896:1 gene:Manes.15G029200.v8.1 transcript:Manes.15G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPNPGELTEVTRPSFDEFQRQTSLMTSCTLLWKELSDHFTSLEQNLQKKSEALKHKVQALDHETKATLASLKKREVTIDGSVEIALERVEEHKEAAFKSLENPDCDHPDGEVDDGDALLLLLKSFCLKMHSRDFWKLVITKKKELDVLRSQIPLALSECVDPARFVLEAISEVFPVDKRGERTEKGNDLGWACVLILESLIPVTVDPVIGKSRLLVTPRIKEKAKEIAETWKRSLEERGGIENVKTPDVHTFLQHLVTFGIVKKEDVDLYRKLVVGSAWRKQMPKLAVSLGLGDKMPDMIEELISRGQQLDAVHFTYEVGLVDKFPPVPLLKAFLKDARKAAASILEDPDTGRAAHLAARKEQSALRAVIKCIEEYKLEAEFPPENLRKRLDQLEKTKTEKKRPAAVPANKRTRASNGGPMPPAKAGRSTNAYVSSFPTPPTFVRSPSHTQYPTGIPAYPSPPAVYGSRSPQSPYAYSPEAAAPITGSYPGAPLNYPLYGGYGNGFAPAYQQAYYL >Manes.07G074300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22087365:22088822:-1 gene:Manes.07G074300.v8.1 transcript:Manes.07G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQHSFPFLVAILTYLFTSSLSNAAHYNVLYYGAKPDGRTDSTKAFLAAWIQACGSVTPATVYVPAGRFFLRNIVFQGPCKNGAILFRIVGTLVAPSDYRVIGNAGNWLLFQFVNGVTVYGGVLDGQGPALWACKASGRNCPTGATSLAFSNSNNIAISRLISLNSQMFHIVINGCHNVKVQGVTVSASGNSPNTDGIHVQLSSSVAILNSWIGTGDDCISIGAGTSNMWIERVACGPGHGISIGSLGKELQEPGVENVIVKSVVFTGTQNGLRIKSWARPSNGFVRNIRFQDAVMKNVQNPIIIDQNYCPNNINCPNQGSGIKVSDVAYHGIWGSSATPVAVKFDCSRKSPCTGIYLGDVNLTYRNQPSEASCKNADGVAIGFVQPSSCL >Manes.05G021400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1914217:1915898:1 gene:Manes.05G021400.v8.1 transcript:Manes.05G021400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEVRAAWQRTANHCFVQVDAKRAPKLACCQSSSLSKQIDGGPSPLHRNISYSSLSPDTRWWLQLQPSYGYQKGLAYEQLNALEAEMESLRAEIVNSPSKIDEVPPHDDRHSRDFDGNTSTESSFDAHCRISADRMSKDPEVNNQEVNVLYDKNAQEFTALKDTSDNSKWIGIDPVECVQPQKSNDYCFDPETFWIRGEKNVPWWRTTNKDDLASLLTQKSIDYFGNCDLPPPQMLHFRRSPCGCPGSSDHDDAIPSSLGWKAQSERQRASTGGHLQSGSEKPVSYITSHKDTTEIGPIAEGDLSKVLLLEALRHSQTRAREAEKVAKEAYVEQEHVIKLFLRQASLLFAYKQWFQMLQLETLHYQVKNGNQPMELQRRWQKFTRGKRGKHDRPTHDISKYAVAFALGLSLIGAGLLLEWTVGWMMPI >Manes.02G221502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23214804:23216108:-1 gene:Manes.02G221502.v8.1 transcript:Manes.02G221502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEMTFLKQNDQLSISFFFYISDNFTYPIPYWFHQWWNKFGLNEDIIPDQIKLAQTQFFERNKLPDTIIS >Manes.15G166200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14089678:14097954:-1 gene:Manes.15G166200.v8.1 transcript:Manes.15G166200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSSSTAHDLVSSSSLKPITSSSSRDFIDLMNPPQDDNQHHHNQQAQYNSNTSSNFGSVGDNGIRKEEIVPSYDFQPIRSVASSLDSSVVSLGAPTASSRVWNSAEFVSNSVRNSAALPIRNYGSLDSIEPAKVIVEKDQNASDVAILSEIDKSMKKYADNLLHILEGVSAQLTQLESRTRRLENSVDDLKLSVGNNHGHTDGKMRQLENILTEVQTGVHLLKEKQEIVEAQLQLAKLQVSKGDRQQSETQNHMGSVQQAAPAPPQSHHQQQAASAPPPSHQQLQQAASAPPPSHQQLPPVTYPQSVPPVPPTVPPPPITQQNLPPPAPLPNQLHQSQIPSVPQREPYYSLPGQTQEPPNPQYQVSPSQQSKPSHTALPHQSYQLAPQQYSQPPQLPPPQSQPSFSLGHHPEEGPYVPTQSYPTSLLQSSSQPASGAPPHGAPVIFEPPSTRPSSGFSAGYGPPSGPIEPYPYGGPTSQYGGNPQMKPQQLSHSGGSGYPQLPTARILPQALPTASGVSDGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRAIVRKLTENGQSVDLNIVLDKLMNGGEVQPPRGWYGR >Manes.15G166200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14089678:14097954:-1 gene:Manes.15G166200.v8.1 transcript:Manes.15G166200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQIMDLTSSSTAHDLVSSSSLKPITSSSSRDFIDLMNPPQDDNQHHHNQQAQYNSNTSSNFGSVGDNGIRKEEIVPSYDFQPIRSVASSLDSSVVSLGAPTASSRVWNSAEFVSNSVRNSAALPIRNYGSLDSIEPAKVIVEKDQNASDVAILSEIDKSMKKYADNLLHILEGVSAQLTQLESRTRRLENSVDDLKLSVGNNHGHTDGKMRQLENILTEVQTGVHLLKEKQEIVEAQLQLAKLQVSKGDRQQSETQNHMGSVQQAAPAPPQSHHQQQAASAPPPSHQQLQQAASAPPPSHQQLPPVTYPQSVPPVPPTVPPPPITQQNLPPPAPLPNQLHQSQIPSVPQREPYYSLPGQTQEPPNPQYQVSPSQQSKPSHTALPHQSYQLAPQQYSQPPQLPPPQSQPSFSLGHHPEEGPYVPTQSYPTSLLQSSSQPASGAPPHGAPVIFEPPSTRPSSGFSAGYGPPSGPIEPYPYGGPTSQYGGNPQMKPQQLSHSGGSGYPQLPTARILPQALPTASGVSDGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRAIVRKLTENGQSVDLNIVLDKLMNGGEVQPPRGWYGR >Manes.15G166200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14089678:14097970:-1 gene:Manes.15G166200.v8.1 transcript:Manes.15G166200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQIMDLTSSSTAHDLVSSSSLKPITSSSSRDFIDLMNPPQDDNQHHHNQQAQYNSNTSSNFGSVGDNGIRKEEIVPSYDFQPIRSVASSLDSSVVSLGAPTASSRVWNSAEFVSNSVRNSAALPIRNYGSLDSIEPAKVIVEKDQNASDVAILSEIDKSMKKYADNLLHILEGVSAQLTQLESRTRRLENSVDDLKLSVGNNHGHTDGKMRQLENILTEVQTGVHLLKEKQEIVEAQLQLAKLQVSKGDRQQSETQNHMGSVQQAAPAPPQSHHQQQAASAPPPSHQQLQQAASAPPPSHQQLPPVTYPQSVPPVPPTVPPPPITQQNLPPPAPLPNQLHQSQIPSVPQREPYYSLPGQTQEPPNPQYQVSPSQQSKPSHTALPHQSYQLAPQQYSQPPQLPPPQSQPSFSLGHHPEEGPYVPTQSYPTSLLQSSSQPASGAPPHGAPVIFEPPSTRPSSGFSAGYGPPSGPIEPYPYGGPTSQYGGNPQMKPQQLSHSGGSGYPQLPTARILPQALPTASGVSDGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRAIVRKLTENGQSVDLNIVLDKLMNGGEVQPPRGWYGR >Manes.15G166200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14089678:14097954:-1 gene:Manes.15G166200.v8.1 transcript:Manes.15G166200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQIMDLTSSSTAHDLVSSSSLKPITSSSSRDFIDLMNPPQDDNQHHHNQQAQYNSNTSSNFGSVGDNGIRKEEIVPSYDFQPIRSVASSLDSSVVSLGAPTASSRVWNSAEFVSNSVRNSAALPIRNYGSLDSIEPAKVIVEKDQNASDVAILSEIDKSMKKYADNLLHILEGVSAQLTQLESRTRRLENSVDDLKLSVGNNHGHTDGKMRQLENILTEVQTGVHLLKEKQEIVEAQLQLAKLQVSKGDRQQSETQNHMGSVQQAAPAPPQSHHQQQAASAPPPSHQQLQQAASAPPPSHQQLPPVTYPQSVPPVPPTVPPPPITQQNLPPPAPLPNQLHQSQIPSVPQREPYYSLPGQTQEPPNPQYQVSPSQQSKPSHTALPHQSYQLAPQQYSQPPQLPPPQSQPSFSLGHHPEEGPYVPTQSYPTSLLQSSSQPASGAPPHGAPVIFEPPSTRPSSGFSAGYGPPSGPIEPYPYGGPTSQYGGNPQMKPQQLSHSGGSGYPQLPTARILPQALPTASGVSDGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRAIVRKLTENGQSVDLNIVLDKLMNGGEVQPPRGWYGR >Manes.15G166200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14089678:14097970:-1 gene:Manes.15G166200.v8.1 transcript:Manes.15G166200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSSSTAHDLVSSSSLKPITSSSSRDFIDLMNPPQDDNQHHHNQQAQYNSNTSSNFGSVGDNGIRKEEIVPSYDFQPIRSVASSLDSSVVSLGAPTASSRVWNSAEFVSNSVRNSAALPIRNYGSLDSIEPAKVIVEKDQNASDVAILSEIDKSMKKYADNLLHILEGVSAQLTQLESRTRRLENSVDDLKLSVGNNHGHTDGKMRQLENILTEVQTGVHLLKEKQEIVEAQLQLAKLQVSKGDRQQSETQNHMGSVQQAAPAPPQSHHQQQAASAPPPSHQQLQQAASAPPPSHQQLPPVTYPQSVPPVPPTVPPPPITQQNLPPPAPLPNQLHQSQIPSVPQREPYYSLPGQTQEPPNPQYQVSPSQQSKPSHTALPHQSYQLAPQQYSQPPQLPPPQSQPSFSLGHHPEEGPYVPTQSYPTSLLQSSSQPASGAPPHGAPVIFEPPSTRPSSGFSAGYGPPSGPIEPYPYGGPTSQYGGNPQMKPQQLSHSGGSGYPQLPTARILPQALPTASGVSDGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRAIVRKLTENGQSVDLNIVLDKLMNGGEVQPPRGWYGR >Manes.03G074416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12238972:12239786:-1 gene:Manes.03G074416.v8.1 transcript:Manes.03G074416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYVFDQNIPDVPDENASDDVKDKYDRHSYDNVQATCVMRIEKYETSKLFSFKMTEGSSVHAHVLKMIGYIKKLARLGFVMDHKLSVGLFIMNFNMHKLDAELSKLVSMLVTAEKCLKKEKKKPKKKKNKKKANTILKPTGGVKKDKGTCHHCGIEGDWRRNSKVYLATMKARKLGEASTSGTKK >Manes.01G121000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31713635:31716607:1 gene:Manes.01G121000.v8.1 transcript:Manes.01G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVLETCRKRKRRPKVYSFHSFGDPGCPMNPTGPFRDNIRLFLQECAEPEDYNVEGMPIWCTLLVIESNNFVVPLYTIEENVHFSPNPFCDHCRCTGWGNNLVSKRKYHVIIPIAGEWSKRLEEGALDLHTHILHGMIHCNGFGHLLCINGIEGGSKFLCGREIMDLWDRLCANLRARKVSVEDVSKKRSMDLRLLYGVAYGHPWYGRWGYKFCRGSFGVTKHNYNRAIEILSSLELDKIIQDFKNSDQCKEMKQIIHYYRDLSETLLMTFKDLLRFMLTVKSCPCAQRKRSMAPTASPSISKYLTRVASQKKPLMKEKCIRYRKFSSLVGTLDSRWPTRRLEYAAEVIVNTLKEKKADKLSKGGMSRQDVRDAARMHIGDTGLLDYVLKSMNNVIVGTHVVRRAVNPTTKILEYSIDELNGGVRPVRVTEPEADVVPEPLPVLPLIPGADLYGDMAYLYTKVLLNYPESEVIELASQTVLDSKHFVKEWPFDDEEDQLLRFICQVMPNMIDLEANFNRELPPGEIVVLPLHATVAELKQAATSALRDSYCILEKFVVTEIERMEELDDWELLFGAVESGADLFMRGDGMDLNTELRYEGGPDNWKVRCECGAQDDDGERMVACDICEVWQHTRCNGIEDSGTVPPLFICAGCCDSLGHSRGESQEELENSDDLLMIPATEYVAQFLE >Manes.S022753.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251224.1:467774:468177:-1 gene:Manes.S022753.v8.1 transcript:Manes.S022753.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVPDFGLIASIPKTAKSITRVTSGARFRPNSFHPKNFKVYDPCYIRCPISA >Manes.16G072700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27451734:27455177:-1 gene:Manes.16G072700.v8.1 transcript:Manes.16G072700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTMALPSSSSSPPLSVSTQMKQHIKQDSFRPHSLKFSSMQKENNINDDNVASFNQNPSRRLALLKLGADENMMRPRTPPKMAPVSTAVAEENPLEWVNKDKRRMLHVVYRVGDLEKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFTVELTYNYGVDKYNIGTGFGHFGIAVEDVAKTVNLVKAKGGKVTSEPGLVEGGSTMSAFVEDPDGYKFELLERGLTPEPLCQVMLRVGDLDHAVNFYNKAFGMQLLQRRDFPESKYAVAIMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKSAKAIELCGGSIIREPGPLPGINTKITACLDPDGWKSVLVDNVDFLRELE >Manes.16G072700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27452245:27455177:-1 gene:Manes.16G072700.v8.1 transcript:Manes.16G072700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTMALPSSSSSPPLSVSTQMKQHIKQDSFRPHSLKFSSMQKENNINDDNVASFNQNPSRRLALLKLGADENMMRPRTPPKMAPVSTAVAEENPLEWVNKDKRRMLHVVYRVGDLEKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFTVELTYNYGVDKYNIGTGFGHFGIAVEDVAKTVNLVKAKGGKVTSEPGLVEGGSTMSAFVEDPDGYKFELLERGLTPEPLCQVMLRVGDLDHAVNFYNKAFGMQLLQRRDFPESKYAVAIMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKSAKAIELCGGSIIREPGPLPGINTKITACLDPDGWKSIIGKCRRKDIR >Manes.16G072700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27451734:27455177:-1 gene:Manes.16G072700.v8.1 transcript:Manes.16G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTMALPSSSSSPPLSVSTQMKQHIKQDSFRPHSLKFSSMQKENNINDDNVASFNQNPSRRLALLKLGAAIPESHLLGVRASKLLSADENMMRPRTPPKMAPVSTAVAEENPLEWVNKDKRRMLHVVYRVGDLEKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFTVELTYNYGVDKYNIGTGFGHFGIAVEDVAKTVNLVKAKGGKVTSEPGLVEGGSTMSAFVEDPDGYKFELLERGLTPEPLCQVMLRVGDLDHAVNFYNKAFGMQLLQRRDFPESKYAVAIMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKSAKAIELCGGSIIREPGPLPGINTKITACLDPDGWKSVLVDNVDFLRELE >Manes.16G072700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27452245:27455177:-1 gene:Manes.16G072700.v8.1 transcript:Manes.16G072700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTMALPSSSSSPPLSVSTQMKQHIKQDSFRPHSLKFSSMQKENNINDDNVASFNQNPSRRLALLKLGAAIPESHLLGVRASKLLSADENMMRPRTPPKMAPVSTAVAEENPLEWVNKDKRRMLHVVYRVGDLEKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFTVELTYNYGVDKYNIGTGFGHFGIAVEDVAKTVNLVKAKGGKVTSEPGLVEGGSTMSAFVEDPDGYKFELLERGLTPEPLCQVMLRVGDLDHAVNFYNKAFGMQLLQRRDFPESKYAVAIMGYGPEDKNAVLELTYNYGVTEYDKGNGYAQIAIGTDDVYKSAKAIELCGGSIIREPGPLPGINTKITACLDPDGWKSIIGKCRRKDIR >Manes.04G124400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32499207:32502702:-1 gene:Manes.04G124400.v8.1 transcript:Manes.04G124400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLLKSKFYTKCKSLLKMSKARLELLNKKKSSVAKFLKNDMADLLKNGLDYNAYCRADGLLVEQKMIASYNFIEQFCGCISTNLSAMSKHKEAVQSLIYAAARIAEFPELRDFRTLFTERYGHPNESLVNKEFVETLRPKHIPKETKLQLLHDIAEEFNIEWNSKSLEQKLFKPPQEDQNRHCPKSKDDVISKGDCKGDDEDGNKLKKHKDDHVTERTSPEAGNKVNEKGDDTLHKKEKTESASSGRKNAIYEGYNLPCSSEDEVISLHRRSSSDLDRQQATSSSVGSVSEDEADRKKPFYYRFIPPPYLKPKVVKEEVKIEEPPKPTGNVLADDSVSEAKPKPRSVRRIPSKPPPGDASFGSDARPLKPPPGRETIGSVELPLKPPPGREKVVRFENGGSDKSNSVAAKEADDVDEGDEEKKTTDGHLMHYSKDRGKPNQKPSPSWRSSDDTKLRHTKSGELPPPPGREAGESGLKKGATRHIRAVSLQSEIEHVHPKLPDYEDLAARFAALKGVR >Manes.04G124400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32499207:32502702:-1 gene:Manes.04G124400.v8.1 transcript:Manes.04G124400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMQADGLLVEQKMIASYNFIEQFCGCISTNLSAMSKHKECPEDCREAVQSLIYAAARIAEFPELRDFRTLFTERYGHPNESLVNKEFVETLRPKHIPKETKLQLLHDIAEEFNIEWNSKSLEQKLFKPPQEDQNRHCPKSKDDVISKGDCKGDDEDGNKLKKHKDDHVTERTSPEAGNKVNEKGDDTLHKKEKTESASSGRKNAIYEGYNLPCSSEDEVISLHRRSSSDLDRQQATSSSVGSVSEDEADRKKPFYYRFIPPPYLKPKVVKEEVKIEEPPKPTGNVLADDSVSEAKPKPRSVRRIPSKPPPGDASFGSDARPLKPPPGRETIGSVELPLKPPPGREKVVRFENGGSDKSNSVAAKEADDVDEGDEEKKTTDGHLMHYSKDRGKPNQKPSPSWRSSDDTKLRHTKSGELPPPPGREAGESGLKKGATRHIRAVSLQSEIEHVHPKLPDYEDLAARFAALKGVR >Manes.04G124400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32499207:32502702:-1 gene:Manes.04G124400.v8.1 transcript:Manes.04G124400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLLKSKFYTKCKSLLKMSKARLELLNKKKSSVAKFLKNDMADLLKNGLDYNAYCRADGLLVEQKMIASYNFIEQFCGCISTNLSAMSKHKECPEDCREAVQSLIYAAARIAEFPELRDFRTLFTERYGHPNESLVNKEFVETLRPKHIPKETKLQLLHDIAEEFNIEWNSKSLEQKLFKPPQEDQNRHCPKSKDDVISKGDCKGDDEDGNKLKKHKDDHVTERTSPEAGNKVNEKGDDTLHKKEKTESASSGRKNAIYEGYNLPCSSEDEVISLHRRSSSDLDRQQATSSSVGSVSEDEADRKKPFYYRFIPPPYLKPKVVKEEVKIEEPPKPTGNVLADDSVSEAKPKPRSVRRIPSKPPPGDASFGSDARPLKPPPGRETIGSVELPLKPPPGREKVVRFENGGSDKSNSVAAKEADDVDEGDEEKKTTDGHLMHYSKDRGKPNQKPSPSWRSSDDTKLRHTKSGELPPPPGREAGESGLKKGATRHIRAVSLQSEIEHVHPKLPDYEDLAARFAALKGVR >Manes.06G059500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18433963:18435920:1 gene:Manes.06G059500.v8.1 transcript:Manes.06G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLYLQKQMKLLALSLFSLLFISSVSVSRSKKINNEDFLQCLYNKSQPSNPISEAIYTPSNSSFTDVLESYVRNLRYSTPSTPKPLAVVAAKHESHVQAAVLCCKNLGLQIRIRSGGHDYDGLSYVSQVPFVVLDMSNLRSIDIDIKDETAWAQAGASLGELYYKIAEKSNVHGFPAGVCPTLGLGGHISGGGYGNMMRKYGLSIDNVVDAQIVDVKGRILDRKSMGEDLFWAIRGGGAASFGAVLSWKIKLVAVSERVTVFQVVRSIDQQGGTDLVLKWQQVADKLDQDLFIRLVLQPANGAQQGKKTINAIFVGMFLGDAERLLPLMESNFPELGLQKNDTTEMRWIESVVFWVGMPKGTPIEALLNRTYSKAMLSYLKRKSDYVKQPISKKDLESLWKLMTEIGEVGMTWNPYGGKMNEISETETAFPHRAGNLYKIQYSVNWKEEGSEKANHYLNLTRTLYEAMTPYVSKNPRGAFLNYRDIEIGTIGSSGNGTFQEASVYGRSYFNGNFDRLVSVKTAVDPENFFRYEQSIPIQSRSSKVKVGSL >Manes.03G145900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27345957:27351082:1 gene:Manes.03G145900.v8.1 transcript:Manes.03G145900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIPSFWGPVTSADWCEKNYVYSSYIAEFFNTISNIPSILLALIGLINALRQRFEKRFSVLNISNMILAIGSMVYHSTLQHMQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFIYGAAFAVCHALFRFGIGFKVHYAILCLLCIPRMYKYYIYTNDVSAKRLAKLYVGTISLGSICWLFDRILCSQISQWYFNPQGHALWHVFMGFNSYFANTFLMFCRAQQLGWNPKVVHFMSFFPYVKVQKPKTK >Manes.03G145900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27345963:27350809:1 gene:Manes.03G145900.v8.1 transcript:Manes.03G145900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIPSFWGPVTSADWCEKNYVYSSYIAEFFNTISNIPSILLALIGLINALRQRFEKRFSVLNISNMILAIGSMVYHSTLQHMQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFIYGAAFAVCHALFRFGIGFKVHYAILCLLCIPRMYKYYIYTNDVSAKRLAKLYVGTISLGSICWLFDRILCSQISQWYFNPQGHALWHVFMGFNSYFANTFLMFCRAQQLGWNPKVVHFMSFFPYVKVQKPKTK >Manes.03G145900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27347103:27350809:1 gene:Manes.03G145900.v8.1 transcript:Manes.03G145900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIPSFWGPVTSADWCEKNYVYSSYIAEFFNTISNIPSILLALIGLINALRQRFEKRFSVLNISNMILAIGSMVYHSTLQHMQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFIYGAAFAVCHALFRFGIGFKVHYAILCLLCIPRMYKYYIYTNDVSAKRLAKLYVGTISLGSICWLFDRILCSQISQWYFNPQGHALWHVFMGFNSYFANTFLMFCRAQQLGWNPKVVHFMSFFPYVKVQKPKTK >Manes.03G145900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27346281:27350809:1 gene:Manes.03G145900.v8.1 transcript:Manes.03G145900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIPSFWGPVTSADWCEKNYVYSSYIAEFFNTISNIPSILLALIGLINALRQRFEKRFSVLNISNMILAIGSMVYHSTLQHMQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPTFLFIYGAAFAVCHALFRFGIGFKVHYAILCLLCIPRMYKYYIYTNDVSAKRLAKLYVGTISLGSICWLFDRILCSQISQWYFNPQGHALWHVFMGFNSYFANTFLMFCRAQQLGWNPKVVHFMSFFPYVKVQKPKTK >Manes.18G109600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10895090:10897662:1 gene:Manes.18G109600.v8.1 transcript:Manes.18G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRTEIQTSHMILHGQNLQKRRLKSSFPWSLNPRKRYRLILLKAKMDELKPVAANSCPLTPLTFLERAATAYGDCPSIIYNDTSYTWSHTHRRCLQLASSLSSNGFTRGDVVSVIAPNIPAMYELQFAVPMSGAVLNNINTRLDARTISILMRHSESKLVFVDCLSRALVLEAISLFPPNSPRPTLVLITDDDDAPELSSLSWLNADFWCTYESMVEKGDPEFKWVQPLSEWDPMILNYTSGTTSSPKGVIHCHRGIFILTVGSLIDWAVPKQPVYLWTLPIFHANGWTYTWGMAAVGGTNICLRKFDARTIYSMIKKHGVTHMCGAPVVLNMLANFPNREPLKSPVEILTAGAPPPAAVLFRIEELGFVVSHGYGLTETAGFVVSCAWKRRWNQLPANERARLKARQGVGIAGFTEIDVVDPKTGASVKRDGSSLGEVVLRGGCVMLGYLKDPIGTSKCLKDDGWFYTGDVGVMHPDGYLEVKDRSKDVIISGGENISSVEVESVLYTNPAVNEAAVVARPDDFWGETPCAFLSLKEGLSQKPSEKDIIDFCREKMPHYMVPKSVVFKDELPKTSTGKIQKFVLREIARGMGSSMVSRK >Manes.06G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4178049:4187004:-1 gene:Manes.06G022300.v8.1 transcript:Manes.06G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSITLEEIKNETVDLERIPIDEVFEQLKCTREGLSSEEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLIEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFTKGVEKDHVILLAARASRVENQDAIDAAMVGMLADPREARAGIREVHFLPFNPVDKRTALTYIDDKGNWHRASKGAPEQILTLCNAREDLKRKVHSVIDKFAERGLRSLGVARQQVPEKTKESPGGPWEFVGLLNLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDESIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGGYLALMTVIFFWVMHKTDFFSDKFGVRSIRNSEDEMMGALYLQVSIVSQALIFVTRSRSWSYAERPGLLLVSAFVIAQLVATVIAVYANWGFARIKGIGWGWAGVIWIYSVVFYIPLDLLKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETANIFNEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Manes.06G022300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4178049:4187005:-1 gene:Manes.06G022300.v8.1 transcript:Manes.06G022300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSITLEEIKNETVDLERIPIDEVFEQLKCTREGLSSEEGANRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLIEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFTKGVEKDHVILLAARASRVENQDAIDAAMVGMLADPREARAGIREVHFLPFNPVDKRTALTYIDDKGNWHRASKGAPEQILTLCNAREDLKRKVHSVIDKFAERGLRSLGVARQQVPEKTKESPGGPWEFVGLLNLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDESIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGGYLALMTVIFFWVMHKTDFFSDKFGVRSIRNSEDEMMGALYLQVSIVATVIAVYANWGFARIKGIGWGWAGVIWIYSVVFYIPLDLLKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETANIFNEKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Manes.07G082400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26025535:26065670:-1 gene:Manes.07G082400.v8.1 transcript:Manes.07G082400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFENGEEEEEELEEEILSPSNSSDSGDDYALDREEDLEVDDGQRPVARNPSDEDRKSKNVDALVRGNLIVRRQALLPRVLSVTEGASICRKPFKPPCSNGYKDGNEKLTRRLCARKRFVPWGSSRPVLVAITDRINVSSTVEKDKVEESVILPPGIDPLLLWQPEDSEDAASNSAPIVVDPLLVQFLRPHQREGVQFMFECVSGLHSAANINGCILADDMGLGKTLQSITLLYTLLGQGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGERVKLIALCENSRDDVVSGLDSFTGPRSTLQVLIVSYETFRMHSSKFSHSESCDLLICDEAHRLKNDQTLTNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNPGILGDAMYFRRHYETPIISGREPTATEEEKRLGVERAGELSAKVNQFILRRTNALLSNHLPPKIIEVVCCKLTPLQSELYNHFIHSKNVKRAINEDTKQSKILAYITALKKLCNHPKLIYDTIRSGSPGTSGFEDCIRFFPSEMFSGRSGTWSGGDGAWVELSGKMHVLARLLAHLRRQTDDRIVLVSNYTQTLDLFAQLCRERRYPYVRLDGTTSIGKRQKLVNRFNDPAKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQHEQNDSLVSQGNFLSTEDLRDLFSFHENVRSEIHENMDCARCLFHDDGPRNIIEGEGSISRCQSEQDVSDIGGFAGIAGVLRKLRSSEKQVGTPLEEDLVSWGHHFNASSVPDAILQVSAGDEVTFVFTNQVDGKLVPIESTPTPKMQEIEGNQKEHDNKENLDRNLNLFQHQKSPQPPLPNGDSVRVPLSASLKPLLRTTVKRMRTPLKEAENAALKSKRTLGNQLPLKRLSPYTVEFDNDFE >Manes.17G094100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30220991:30226545:-1 gene:Manes.17G094100.v8.1 transcript:Manes.17G094100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRRLEQGKKKKKASIPEEGEGESEDATGVDLDKRFGFSKEFTSSSCVIELASFESLPLNMHLSCQKGMEFVLLFVNTRLVFKVGRMVVYIVMTCEMNVLFQSNLLVMTTAIAIEDVRREVKLLRGLTGHSNLLQFHDAFEDSDNVCIVMELCEGGELLDRILSRGGKYSEDDAKCVLVQILSVVAFCHLQSVVHRDLKPENFLYTSKDENSQLKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYCTEADVWSIGVIAYILLCGSRPLWARTESGIFRAVLKSDPSFDEIPWPSLSPEAKDFVKHLLNKDPRKRMTAAQALSHPWIRNHNDVKVPHDILIFRLMKAYMRSSSLPRAALRALSKTLTVDEIYYLKEQFALLEPNKNGSITLENFRMALMKNATDAMKDSRIPDFLSSLNALQYRRMDFEEFCAAALSVHQLEALDCWEQRTCRAYELFDKDGNRAIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFHGFVKLLHGISSRTMAKVQ >Manes.01G161600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34661988:34665443:-1 gene:Manes.01G161600.v8.1 transcript:Manes.01G161600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASHKTHQPPTPRVLIVGNYCHDVLIQNHNVVGESLGGASSFIASVLNGMSIPCSLVAKVGHDFRYQVHHSPILVPASKTTVFHAFFDSGVHDNGNHDRVLKRVCACDAIRPTDLPDARFDFGLAVGVGGEILRQTLERMIEICDVVLVDIQALIREFDAVDGTVKLVELRETEFCSLLPRIGVLKVSSEEAVFMDVEEVKKWCCVVVTNGEDGCKVCWKDGEMSISPFLANQEDPTGAGDSFLGGFVTGLVQGLTVPDAALLGNFFGSLTVEQIGLPKFDSRLLQRVKDEIQRRKMQCLHYERNHDELMFLKAAGHEQFHSSLGASKLLTQQPIQEYTDCSEQPKLGINPVYEEAMQTAEGKPWDS >Manes.11G135300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30034208:30036065:1 gene:Manes.11G135300.v8.1 transcript:Manes.11G135300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLFYILISLHFLSYKYWSILLGGSLHAISYQQVFHYSHFFSVISMKIDIDLSPRLAKMDFAGDGGSYFVWCPSELAMLREGNIGAAKLSLEKDGFALPCYSDSAKVAYVLQGNGVAGIVLPEKEEKVVPIKKGDAIALPFGAVTWWFNKQYTELVVLLLGDTSKGHKAGEFTDFFLTGSNGIFTGFSPEFVSRAWDVDEKTVNTLVGTQTGIGIVKLSASCKMPEPKKESRSGLVYNCEEAPLDVDIKNGGRVVVLNTKNLPLVAEVGLGADHVRLDGGAMCSALQVTYIVRGSGRVQVVGSDGRRVLDTRVKAGNLFIVPRFYVVSKICGPDGMDCFSIITTPSPISTHLAGMTSLWKALSPEVLEASFKFSPEVEKLFRSKRTS >Manes.07G000300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:62224:65849:-1 gene:Manes.07G000300.v8.1 transcript:Manes.07G000300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDHNHHNQYNIDLRQFISGRPIHFPAIPQPSPTELFAAHRSLPPQTRHYDMMMLMPRSGGLHDFHSDSTTAACVPPPPPPPPAATAAANSGNTTVAATSATPTLSGLEAEIGSFGGDAGTGRWPRQETLTLLDIRSRLDSKFKEANQKGPLWDEVSRIMYEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETSNPALVPETQFGGTGLRFHSSNQANNGIFHSQKLCDSLSLSDSAEFDTSSEENDLSTGTLMENGSTEKRRKRRDGKSWKTKIKDFIDSQMRKLIERQEAWLEKVTKTLEQKEQERTLREEEWRKREAARIDREQKFWAKERAWIEARDAALMETLRKLTGREVNASSAEELIRVYEIQNQRENGIESGSERAHNSLKCESWTEAETARLMQLRSNMESRFQQSGCMEEEALWEEISAKMAYMGYEKSALMCEEKWKNKEGNNKKRKENTRGSCYFQSNESIYNPGGTYCEINEQGPETVRLQANEGSSPANSNAGNTVSESCFRFLMGDGGENLWENYGLKLSKGD >Manes.07G087700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27478121:27481112:1 gene:Manes.07G087700.v8.1 transcript:Manes.07G087700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREVTVVLLIALLAWAYQSAYPPPPKPCGSLGGPPITAPRIKLRDGRHLAYKEHGVPRETAKYKVIFVHGFSGTKDDTGSLTNLPLEVIEELRVYFVSFDRPGYGESDPDPKRTPKSLASDIEELADHLGLGLKFFVIGSSMGGQVVWGCLKYIPHRLAGATLIAPVVNYWWPRFPANLSKEAYYLQLPQDQWTLRVAHYTPCLTYWWNTQKLFPASAVVARKPEVFSRQDLELFSTISASRTPKQKVIQQGEFESLHRDIMVGFGKWEFDPMDLENPFPNNEGSVHLWQGDEDRMVPVMLQRYVVQKLPWIHYHELPGAGHLFSYVPGMSETILKALLLGRK >Manes.07G087700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27478092:27481112:1 gene:Manes.07G087700.v8.1 transcript:Manes.07G087700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREVTVVLLIALLAWAYQSAYPPPPKPCGSLGGPPITAPRIKLRDGRHLAYKEHGVPRETAKYKVIFVHGFSGTKDDTGSLTNLPLEVIEELRVYFVSFDRPGYGESDPDPKRTPKSLASDIEELADHLGLGLKFFVIGSSMGGQVVWGCLKYIPHRLAGATLIAPVVNYWWPRFPANLSKEAYYLQLPQDQWTLRVAHYTPCLTYWWNTQKLFPASAVVARKPEVFSRQDLELFSTISASRTPKQKVIQQGEFESLHRDIMVGFGKWEFDPMDLENPFPNNEGSVHLWQGDEDRMVPVMLQRYVVQKLPWIHYHELPGAGHLFSYVPGMSETILKALLLGRK >Manes.06G169300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29395459:29401511:-1 gene:Manes.06G169300.v8.1 transcript:Manes.06G169300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRNSRLSCSMLPLPPEEAPRNTRFSSRGPCFDDRFLVEEVNRHIKWSSCPLRRTSDLDARAAQSHDQSQMALAGEAKSLNHVEREIGRENNMLESPSHVNQKVEETGNGRRKSNLSRTSNREGDRPRFSTHIVLALRATSIRPERELPLKKRIYRWSKTERVETGKRAKAAVTRGNADATTIPEQEAKMEVKMDTTSMHDNLVNIETALTLEKENPSECDGNQRSKSINCLLNQEASLPSPIIHVQQGQESGENLFLGGTSDECEGTQKRRRGRPKKATGRRTKGAVGMRRNKYFLD >Manes.17G103000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31071231:31072220:-1 gene:Manes.17G103000.v8.1 transcript:Manes.17G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIPEEQLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSQDLRHWETLTSDEKHFITHVLAFFAASDGIVLENLAGRFMKEVQVAEARAFYGFQIAIENIHSEMYSLLLETYIKDSNEKNRLFHAIDTVPCVAKKAEWALRWIDGSETFAERLIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYGLLRKKLSEERVKGIVRDAVDIEREFVCDALPCALVGMNGELMSQYIEFVADRLLDALGCGKIYNVANPFDWMELISLQGKTNFFEKRVGEYQKAAVMSSLNGNGGTHVFKMDEDF >Manes.16G064350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:25070469:25078401:-1 gene:Manes.16G064350.v8.1 transcript:Manes.16G064350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEKKILKLKFAQDPNSSPDSPCSCLQFTRLGIYHPYLQILKISNASSTSTPVNPRKCPSVLDEDIYVAAIEKIIERDFFSDIAKLRDRLDWLEAVKTGNPILIRDAHLKIIERR >Manes.05G074300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6107513:6109199:-1 gene:Manes.05G074300.v8.1 transcript:Manes.05G074300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMSICHQTHSHVLDIPTNRLKMDIVRWQKTKVVILMGATGTGKSRLSIDLATQFPAEIINSDKMQIYKGLEITTNKITEEERSGIPHHLLGEVNPNADFTAKDFCNMASLAVESISTRGLLPIIVGGSNSYIEALVDDADYRFRSKYDCCFLWVDVSMPVLNDFLCKRVDEMVFKGMVDEVRNIFDPLADYSRGIRRSIGVPELDKYLRAEAFSDGENCERLFLEAIREVKNNNCKLAHRQLEKIRRLKNVKGWNIHRIDATQVFRKRGSMEAEEMWKKLVAKPSSAIVREFLHRVTAATSGITKDFIAQYVF >Manes.05G074300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6107513:6109199:-1 gene:Manes.05G074300.v8.1 transcript:Manes.05G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMSICHQTHSHVLDIPTNRLKMDIVRWQKTKVVILMGATGTGKSRLSIDLATQFPAEIINSDKMQIYKGLEITTNKITEEERSGIPHHLLGEVNPNADFTAKDFCNMASLAVESISTRGLLPIIVGGSNSYIEALVDDADYRFRSKYDCCFLWVDVSMPVLNDFLCKRVDEMVFKGMVDEVRNIFDPLADYSRGIRRSIGVPELDKYLRAEAFSDGENCERLFLEAIREVKNNNCKLAHRQLEKIRRLKNVKGWNIHRIDATQVFRKRGSMEAEEMWKKLVAKPSSAIVREFLHRVTAATSGITKDFIAQYVF >Manes.05G126801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22718792:22719574:1 gene:Manes.05G126801.v8.1 transcript:Manes.05G126801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIGNRSRGRGVRTVRLGDIGQPHRDPTVTSPPLEGVAYHVLPESREGLRDSVSHSVESGAYLTAPPPPSAPAIAPPIAPAAPPLIPPVAPANPFLDKYRSGCFCRASSYT >Manes.11G084185.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:13617273:13619619:-1 gene:Manes.11G084185.v8.1 transcript:Manes.11G084185.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKPILVLVTYTSSVRSELSHPLMKNLNPTKRITKRRRKNYHQRFKYVPKELLTEILAQVASSSVTDFVNARACCKEFLEAASEDYVFEHVTMDSFPVILWKINHGVSSFLERCKKSGNPEALFRQGMIDYFSTSNYDSGLKMLERAASKGHAQAIYVYGIILVCYDGELRNKGVKLLSDLKRSKSSLVITECRKKVQNITWSMWLNNYIVGIGPAEEEEYMKKRKTCSYCNSKLALCSFTNDQTKNSAGWTSSDEVFEDDPFSCDSCLWDLEAILFCKMLRTGSYRP >Manes.14G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4185892:4187753:1 gene:Manes.14G047800.v8.1 transcript:Manes.14G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHFTMAFAFGLLGNIISFLVCLAPMPTFYKIFKKKTSEGFQSLPYVIALFSAMLWLFYAIFANDATLLITINSATFLMETAYIVIYLFYASKKDRILTTKLVLLFNVFGFGLVFVIAMFLTHGKERVKVLGWICMVFALCVFVAPLGIVRKVIKTKSVEYMPFALSFFLTLSAIMWFFYGFLKKDVFVAIPNILGFIFGILQMLLYVIYRKPKNTLEKPTLDEISEHVIDVAKLSANACSEISSAVVAPENISNDNVQGNHVMEQPKQINPDKDLSDTV >Manes.04G073900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29015882:29022333:-1 gene:Manes.04G073900.v8.1 transcript:Manes.04G073900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADAVSAQHDGHIKAGLEKYDIENSEEERKTGLKSLKKKAILASNKFKHSFTKKGRRHSRVMSVAIEDNLDDEELQAVDAFRQVLILDELLPSKHDDHHMMLRFLRARKFDLEKAKQMWSDMLQWRKEFGTDTLMEDFEFQELDEVVKYYPQGYHGIDKEGRPVYIEKLGEVDANKLLQVTTLDRYVKYHVREFEKTFAYKLPACSVAAKKHIDQSTTILDVQGVGLKHFNKTARDLITRIQKIDGDNYPETLNRMFIINGGSGFRLLWSTVKSFLDPKTAAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVQNGVAKCRRRTLSGVEEKAISEDEKPCTEMNKGEFFSVETNMDLVRPKYPVAEEFPMFKKREITKNFGIIPMVDKPVDPAWPALMQKHGYKISKHHFPVGDAGKADGVNNPIISGVLALVMGIVTMVRMTRGMPRKLTEAALYGSQIYCDDAVMKSEALPEPSISTAEYKNMMMRMTEVEKNLNVLASRSDKMPPEKEKILNTALSRVDFLEQELLATKKTLLAAVSKQQELLEYIEKKKKKQKKKRKFFGFY >Manes.04G073900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29016983:29022308:-1 gene:Manes.04G073900.v8.1 transcript:Manes.04G073900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADAVSAQHDGHIKAGLEKYDIENSEEERKTGLKSLKKKAILASNKFKHSFTKKGRRHSRVMSVAIEDNLDDEELQAVDAFRQVLILDELLPSKHDDHHMMLRFLRARKFDLEKAKQMWSDMLQWRKEFGTDTLMEDFEFQELDEVVKYYPQGYHGIDKEGRPVYIEKLGEVDANKLLQVTTLDRYVKYHVREFEKTFAYKLPACSVAAKKHIDQSTTILDVQGVGLKHFNKTARDLITRIQKIDGDNYPETLNRMFIINGGSGFRLLWSTVKSFLDPKTAAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVQNGVAKCRRRTLSGVEEKAISEDEKPCTELVVINKMNKGEFFSVETNMDLVRPKYPVAEEFPMFKKREITKNFGIIPMVDKPVDPAWPALMQKHGYKISKHHFPVGDAGKADGVNNPIISGVLALVMGIVTMVRMTRGMPRKLTEAALYGSQIYCDDAVMKSEALPEPSISTAEYKNMMMRMTEVEKNLNVLASRSDKMPPEKEKILNTALSRVDFLEQELLATKKTLLAAVSKQQELLEYIEKKKKKQKKKRKFFGFY >Manes.05G151500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26075645:26079162:-1 gene:Manes.05G151500.v8.1 transcript:Manes.05G151500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKADQYKTAQSQWLMQAQPSMKQIMAIMAERDAAIHERNMAISEKKAAIAERDMAFLQRDSAIAERNNAIMERDNAIATLQYRENSLTSGNMSSCPPGCQIARGVKHMHHPQQHAHNVPHSSAHNVPHSSEPSYGTREMQANDTLPMSPVGSEAAKSRRGKRSKDTKVTTPNKKTSKSPRKVKRENEDLNKRTFDKPHEWKNEQDMTGGGDDLNKQLVASKSDWKGQDLGLNQIAFDESTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTSISMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGYDLSNPVDLKEHWAKHGTNRYITIK >Manes.05G151500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26075645:26079162:-1 gene:Manes.05G151500.v8.1 transcript:Manes.05G151500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKADQYKTAQSQWLMQAQPSMKQIMAIMAERDAAIHERNMAISEKKAAIAERDMAFLQRDSAIAERNNAIMERDNAIATLQYRENSLTSGNMSSCPPGCQIARGVKHMHHPQQHAHNVPHSSAHNVPHSSEPSYGTREMQANDTLPMSPVGSEAAKSRRGKRSKDTKVTTPNKKTSKSPRKVKRENEDLNKRTFDKPHEWKNEQDMTGGGDDLNKQLVASKSDWKGQDLGLNQIAFDESTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTSISMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGYDLSNPVDLKEHWAKHGTNRYITIK >Manes.05G151500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26075645:26079162:-1 gene:Manes.05G151500.v8.1 transcript:Manes.05G151500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKADQYKTAQSQWLMQAQPSMKQIMAIMAERDAAIHERNMAISEKKAAIAERDMAFLQRDSAIAERNNAIMERDNAIATLQYRENSLTSGNMSSCPPGCQIARGVKHMHHPQQHAHNVPHSSAHNVPHSSEPSYGTREMQANDTLPMSPVGSEAAKSRRGKRSKDTKVTTPNKKTSKSPRKVKRENEDLNKRTFDKPHEWKNEQDMTGGGDDLNKQLVASKSDWKGQDLGLNQIAFDESTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTSISMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGYDLSNPVDLKEHWAKHGTNRYITIK >Manes.05G151500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26075645:26079163:-1 gene:Manes.05G151500.v8.1 transcript:Manes.05G151500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKADQYKTAQSQWLMQAQPSMKQIMAIMAERDAAIHERNMAISEKKAAIAERDMAFLQRDSAIAERNNAIMERDNAIATLQYRENSLTSGNMSSCPPGCQIARGVKHMHHPQQHAHNVPHSSAHNVPHSSEPSYGTREMQANDTLPMSPVGSEAAKSRRGKRSKDTKVTTPNKKTSKSPRKVKRENEDLNKRTFDKPHEWKNEQDMTGGGDDLNKQLVASKSDWKGQDLGLNQIAFDESTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTSISMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGYDLSNPVDLKEHWAKHGTNRYITIK >Manes.05G151500.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26075645:26079162:-1 gene:Manes.05G151500.v8.1 transcript:Manes.05G151500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQPSMKQIMAIMAERDAAIHERNMAISEKKAAIAERDMAFLQRDSAIAERNNAIMERDNAIATLQYRENSLTSGNMSSCPPGCQIARGVKHMHHPQQHAHNVPHSSAHNVPHSSEPSYGTREMQANDTLPMSPVGSEAAKSRRGKRSKDTKVTTPNKKTSKSPRKVKRENEDLNKRTFDKPHEWKNEQDMTGGGDDLNKQLVASKSDWKGQDLGLNQIAFDESTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTSISMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGYDLSNPVDLKEHWAKHGTNRYITIK >Manes.05G151500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26075645:26079162:-1 gene:Manes.05G151500.v8.1 transcript:Manes.05G151500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKADQYKTAQSQWLMQAQPSMKQIMAIMAERDAAIHERNMAISEKKAAIAERDMAFLQRDSAIAERNNAIMERDNAIATLQYRENSLTSGNMSSCPPGCQIARGVKHMHHPQQHAHNVPHSSAHNVPHSSEPSYGTREMQANDTLPMSPVGSEAAKSRRGKRSKDTKVTTPNKKTSKSPRKVKRENEDLNKRTFDKPHEWKNEQDMTGGGDDLNKQLVASKSDWKGQDLGLNQIAFDESTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTSISMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGYDLSNPVDLKEHWAKHGTNRYITIK >Manes.05G151500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26075645:26079162:-1 gene:Manes.05G151500.v8.1 transcript:Manes.05G151500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKADQYKTAQSQWLMQAQPSMKQIMAIMAERDAAIHERNMAISEKKAAIAERDMAFLQRDSAIAERNNAIMERDNAIATLQYRENSLTSGNMSSCPPGCQIARGVKHMHHPQQHAHNVPHSSAHNVPHSSEPSYGTREMQANDTLPMSPVGSEAAKSRRGKRSKDTKVTTPNKKTSKSPRKVKRENEDLNKRTFDKPHEWKNEQDMTGGGDDLNKQLVASKSDWKGQDLGLNQIAFDESTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTSISMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGYDLSNPVDLKEHWAKHGTNRYITIK >Manes.05G151500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26075645:26079162:-1 gene:Manes.05G151500.v8.1 transcript:Manes.05G151500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRENGRHKADQYKTAQSQWLMQAQPSMKQIMAIMAERDAAIHERNMAISEKKAAIAERDMAFLQRDSAIAERNNAIMERDNAIATLQYRENSLTSGNMSSCPPGCQIARGVKHMHHPQQHAHNVPHSSAHNVPHSSEPSYGTREMQANDTLPMSPVGSEAAKSRRGKRSKDTKVTTPNKKTSKSPRKVKRENEDLNKRTFDKPHEWKNEQDMTGGGDDLNKQLVASKSDWKGQDLGLNQIAFDESTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTSISMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGYDLSNPVDLKEHWAKHGTNRYITIK >Manes.16G093766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30004099:30006660:1 gene:Manes.16G093766.v8.1 transcript:Manes.16G093766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRITISLSQPIAKNLQDRFSQEEMYSFKQGDLSVTDYLTRMKLLWDELDNFRPIPQCTYANPYKIRFLKGLNDQFSYVRSQIMLIDPLPSINKVFSLVVQQERQLFLGVVPEPTALVTKLYPKTFQKDLMCITPLRSVSTVASQDIQKKLAIGSIQYQRLLALIQLDKQESHSTNQISSIIDHNSLHLPISSLNSLDTGATDDVCFSFNLFLTYKKIKFINVNLPNGVTVPADMSGKIYFSNSFTLSDENNTSKRIGLAEARNGLYLLVDPATSSTPIIPTVLTSPHCPSYTKFDIWHFRLGHPFMSIMNVIQNKYSFSPYEVLYHVIPDLSFLSLCFATIITASRIKFDPRARRCIFLDYKNGTKGLPLQLTEISSAIPKTCILYPISQVLTYEHLSPSHRNFILSTSIVTEPKSYSQAVKIDCWKECCSGAK >Manes.18G087300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8033642:8038051:1 gene:Manes.18G087300.v8.1 transcript:Manes.18G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGDDFYKVMCAMVPLYFAMLVAYGSVKWWKIFTPEQCSGINRFVAVFAVPVLSFHFISQNNPYQMDTKFILADTLSKIIVLVVLSVWAVFFNGGLDWLITLFSVATLPNTLVMGIPLLMAMYGDFTQSLMVQVVVLQCIIWYTLLLFLFEYRAATLLIKTQFPGPTAASITKIELDNDVISLDGRDPLRTESETDGNGRIRVRIRRSTSSAPESALSSSICLTPRPSNLSNAEIFSVNTPAPFYEYSINPNANNGYTHYNHGPNNEIIICNGDLGFGYRSGTSPRLSGYASSDAYSLQPTPRASNFNELDVTNAGGTPYWVRSPVAGKFFRQPSPAVPDVRMVWGESPGKCQNGGAGAGKDITEKEISFRDSSKTAALEETNSKEAATDQEMPRAFVMLKLILIVVGRKLSRNPNTYSSVIGLLWSLISFKWNVGMPSLVKNSIKIISDAGLGMAMFSLGLFMALQPRIIACGKKRATMGMAIRFICGPIVMSAASVAVGLRGVRLRAAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVALPVTLLYYISLGL >Manes.18G087300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8033642:8038051:1 gene:Manes.18G087300.v8.1 transcript:Manes.18G087300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGDDFYKVMCAMVPLYFAMLVAYGSVKWWKIFTPEQCSGINRFVAVFAVPVLSFHFISQNNPYQMDTKFILADTLSKIIVLVVLSVWAVFFNGGLDWLITLFSVATLPNTLVMGIPLLMAMYGDFTQSLMVQVVVLQCIIWYTLLLFLFEYRAATLLIKTQFPGPTAASITKIELDNDVISLDGRDPLRTESETDGNGRIRVRIRRSTSSAPESALSSSICLTPRPSNLSNAEIFSVNTPAPFYEYSINPNANNGYTHYNHGPNNEIIICNGDLGFGYRSGTSPRLSGYASSDAYSLQPTPRASNFNELDVTNAGGTPYWVRSPVAGKFFRQPSPAVPDVRMVWGESPGKCQNGGAGAGKDITEKEISFRDSSKTAALEETNSKEAATDQEMPRAFVMLKLILIVVGRKLSRNPNTYSSVIGLLWSLISFKWNVGMPSLVKNSIKIISDAGLGMAMFSLGLFMALQPRIIACGKKRATMGMAIRFICGPIVMSAASVAVGLRGVRLRAAIVQLFHKGLYRLFSQGNMDYILTF >Manes.09G073900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16769730:16774791:-1 gene:Manes.09G073900.v8.1 transcript:Manes.09G073900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLKFLPLSSASSPPAFILHNSPNYKSSSNLLPSPKPPSQQLITPTRSRFRISYRYNYTAHDEEGDEESCSFDEAVDLFNRREYYKCHDSLEALWIKAEDPTRTIVHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFQSGPFHQFEQDISAVLQFIYQTQIELAACTDDLCLAMDQSERSYQLLGGYGAGQVLYNLENDPVDGSMWIVFCPDKSYASADSPRVKLPILEATQQHLVAHHLW >Manes.09G073900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16768406:16774791:-1 gene:Manes.09G073900.v8.1 transcript:Manes.09G073900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLKFLPLSSASSPPAFILHNSPNYKSSSNLLPSPKPPSQQLITPTRSRFRISYRYNYTAHDEEGDEESCSFDEAVDLFNRREYYKCHDSLEALWIKAEDPTRTIVHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFQSGPFHQFEQDISAVLQFIYQTQIELAACTDDLCLAMDQSERSYQLLGGYGAGQVLYNLENDPVDGSMWIVFCPDKSYASADSPRVKLPILEATQQHLVAHHLW >Manes.09G073900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16768406:16774791:-1 gene:Manes.09G073900.v8.1 transcript:Manes.09G073900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLKFLPLSSASSPPAFILHNSPNYKSSSNLLPSPKPPSQQLITPTRSRFRISYRYNYTAHDEEGDEESCSFDEAVDLFNRREYYKCHDSLEALWIKAEDPTRTIVHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLRKMNFQSGPFHQFEQDISAVLQFIYQTQIELAACTDDLCLAMDQSERSYQLLGGYGAGQVLYNLENDPVDGSMWIVFCPDKSYASADSPRVKLPILEATQQHLVAHHLW >Manes.01G176600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35711242:35714915:1 gene:Manes.01G176600.v8.1 transcript:Manes.01G176600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQSDEDIGKIAMAVPLLVSKALELFLQDLCDQTYAITLQRGAKTLNSLHLKQCVHTFNVFDFLREIVGKVPDLGDSDAVGDDHGLAKRRKVADDEEDNDSNEEFNRSRMHDTAQASGSGRGRGRGRGRGRGRGSKAGERDAVTKCEKFEDVDNSHQDVNCSPIIEKLYTVDEPEALKENILDGKSAQASVHDFDLNVDLDENGESKTFLVAAPPSSTVKPTPEMKFELPGWSLADVEKMDIDPIQLAISNRRIDEDEEDYDEEG >Manes.08G098400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:33076964:33080472:-1 gene:Manes.08G098400.v8.1 transcript:Manes.08G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPSNGLVLYTGTIVTDDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDISRYALKNSTTGEIVIKHLNKEQEANQNNFRDSATSAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRDFDELSDDEVYDESE >Manes.08G087600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29356128:29362878:-1 gene:Manes.08G087600.v8.1 transcript:Manes.08G087600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLMKILHLKKQNMEDSRLNSIWVFINLLHGILVLCMNSGLESATAAATRGNETDRLALLDFKNLITQDPLQVMSSWNDSVHYCNWIGVSCSSSNDRVVTLNLNSQKLAGSIPPSIGNLTHLTGINLFKNSFFGELPQEMGRLWRLQHLNLTYNSFVAKIPSNLTHCKELTVIEASGNNLVGEIPEQLSSLSKLVVFAFGGNNLTGKIPTWIGNFSSLFALSLALNNFVGNIPNELGRLSSLGFFQLYGNYLSGTVPSSIYNLSSIYYFSVTQNQLHGQLPQDVGLTLPSLRIFAGGVNNFTGVIPVSLSNASGLQVLDFAQNSLTGNIPRNLKNLQSLYRLNFDENNLGNGEIDDLNFLSSLANCTSLEVLGLAQNHYAGELPSSIANLSTNLQIFTIGSNLIHGSIPVEIENLVNLATLGLEGNYLSGNVPSAIGKLQNLGALHLNRNRFSGSIPPFIGNLTRLNRLFMEENRFEGSIPDSLGNCKNLRNLNLSSNNLNGSIPKQVIGLSSLSISVVMSNNSLTGSIPSEVGNLRNLVELDLSHNKLFGEIPSSLGSCASLERLHLEGNKLGGTIPESLKDLRGIEELDLSSNNMSGEIPEFLSKLLALKHLNISFNDFEGEVSGEGIFANASAVSIIGNDKLCGGIPDLDLPSCSKKKKEKPLNLKVIISVTIAVVFSIAILCSVVILRMAHSKAPPSEDRHVGMSYSEIVKSTNGFSAENSIGSGSFGSVYKGTLPGDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIINACSTTDHQGNDFKCLVFEFMANGSLDKWLHPKADEQDQTKRLSFIQRLNIAIDIASALDYLHHHCETPIVHCDLKPSNVLLDEDMTAHVGDFGLATFLLESSNNPSKSEAISVVLNGSIGYIPPVSGYCCWRCLQGERLLMTCSKMI >Manes.08G087600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29359089:29362878:-1 gene:Manes.08G087600.v8.1 transcript:Manes.08G087600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLMKILHLKKQNMEDSRLNSIWVFINLLHGILVLCMNSGLESATAAATRGNETDRLALLDFKNLITQDPLQVMSSWNDSVHYCNWIGVSCSSSNDRVVTLNLNSQKLAGSIPPSIGNLTHLTGINLFKNSFFGELPQEMGRLWRLQHLNLTYNSFVAKIPSNLTHCKELTVIEASGNNLVGEIPEQLSSLSKLVVFAFGGNNLTGKIPTWIGNFSSLFALSLALNNFVGNIPNELGRLSSLGFFQLYGNYLSGTVPSSIYNLSSIYYFSVTQNQLHGQLPQDVGLTLPSLRIFAGGVNNFTGVIPVSLSNASGLQVLDFAQNSLTGNIPRNLKNLQSLYRLNFDENNLGNGEIDDLNFLSSLANCTSLEVLGLAQNHYAGELPSSIANLSTNLQIFTIGSNLIHGSIPVEIENLVNLATLGLEGNYLSGNVPSAIGKLQNLGALHLNRNRFSGSIPPFIGNLTRLNRLFMEENRFEGSIPDSLGNCKNLRNLNLSSNNLNGSIPKQVIGLSSLSISVVMSNNSLTGSIPSEVGNLRNLVELDLSHNKLFGEIPSSLGSCASLERLHLEGNKLGGTIPESLKDLRGIEELDLSSNNMSGEIPEFLSKLLALKHLNISFNDFEGEVSGEGIFANASAVSIIGNDKLCGGIPDLDLPSCSKKKKEKPLNLKVIISVTIAVVFSIAILCSVVILRMAHSKAPPSEDRHVGMSYSEIVKSTNGFSAENSIGSGSFGSVYKGTLPGDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIINACSTTDHQGNDFKCLVFEFMANGSLDKWLHPKADEQDQTKRLSFIQRLNIAIDIASALDYLHHHCETPIVHCDLKPSNVLLDEDMTAHVGDFGLATFLLESSNNPSKSEAISVVLNGSIGYIPPVSGYCCWRCLQGERLLMTCSKMI >Manes.08G087600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29359089:29362878:-1 gene:Manes.08G087600.v8.1 transcript:Manes.08G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLMKILHLKKQNMEDSRLNSIWVFINLLHGILVLCMNSGLESATAAATRGNETDRLALLDFKNLITQDPLQVMSSWNDSVHYCNWIGVSCSSSNDRVVTLNLNSQKLAGSIPPSIGNLTHLTGINLFKNSFFGELPQEMGRLWRLQHLNLTYNSFVAKIPSNLTHCKELTVIEASGNNLVGEIPEQLSSLSKLVVFAFGGNNLTGKIPTWIGNFSSLFALSLALNNFVGNIPNELGRLSSLGFFQLYGNYLSGTVPSSIYNLSSIYYFSVTQNQLHGQLPQDVGLTLPSLRIFAGGVNNFTGVIPVSLSNASGLQVLDFAQNSLTGNIPRNLKNLQSLYRLNFDENNLGNGEIDDLNFLSSLANCTSLEVLGLAQNHYAGELPSSIANLSTNLQIFTIGSNLIHGSIPVEIENLVNLATLGLEGNYLSGNVPSAIGKLQNLGALHLNRNRFSGSIPPFIGNLTRLNRLFMEENRFEGSIPDSLGNCKNLRNLNLSSNNLNGSIPKQVIGLSSLSISVVMSNNSLTGSIPSEVGNLRNLVELDLSHNKLFGEIPSSLGSCASLERLHLEGNKLGGTIPESLKDLRGIEELDLSSNNMSGEIPEFLSKLLALKHLNISFNDFEGEVSGEGIFANASAVSIIGNDKLCGGIPDLDLPSCSKKKKEKPLNLKVIISVTIAVVFSIAILCSVVILRMAHSKAPPSEDRHVGMSYSEIVKSTNGFSAENSIGSGSFGSVYKGTLPGDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIINACSTTDHQGNDFKCLVFEFMANGSLDKWLHPKADEQDQTKRLSFIQRLNIAIDIASALDYLHHHCETPIVHCDLKPSNVLLDEDMTAHVGDFGLATFLLESSNNPSKSEAISVVLNGSIGYIPPEYGFNDQVSALGDVYSFGILLLEMFTGRTPTDDMFKDDLSIHKFVAMALPEHAMDVIDITMLDEEKTADDETNEEKAIIRNSNAQSNASRIQECVVSAMSIGISCSSSSPRDRMAMSSVVNKLHDIRDSFLRSNSSKWKKYEEIFTQQVS >Manes.16G066800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:26658370:26659277:-1 gene:Manes.16G066800.v8.1 transcript:Manes.16G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFKNWRNSSCRETIYLGRSQLQANGEKDEEAGGYKPKWHNKFWRKIYREKKKIFSAPVTLQAAYDPDEYSQNFDQGNGWAEPDNLCRSFSARFADPSRILQKNSSVRCVRGV >Manes.12G153100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36092560:36095896:1 gene:Manes.12G153100.v8.1 transcript:Manes.12G153100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMMGNSPANVEARHRLSSSIEDIYKRRLQKNKVKGIEKPLHIPIQDRSSCCKFPLLKLAFLILICCTIVTLFRSPEVDLSHSSSRWIWGGSDPRYFSDIDMNWDSLMKVIEKLGEQNDYQGIGLLNFNKTEINHWKQLLPDVTHVDLQLDYADRNLTWESLYPEWIDEEQEKEVPVCPSLPKVDVPRKRLDLIAVKLPCRNEWNWSRDVARLHLQIAAASLAASAKGSYPVHLLFITKRFPVPNLFPCKELVVREGNVWLYKPDLNVLREKLQLPVGSCELALPLRDKEPFYSGNPRREAYATILHSAHVYVCGAIAAAQSIRLSGSNRDLVILVDESISVYHRSGLEAAGWKIRTIKRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEVKQKKIRLFGSEPPVLYVLHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHARWWKVHDAMPEKLHQFCSLKSKQKAQLEWDRRQAEQTNYTDGHWKIKIQDHRLKKCIDNLCNWKSMLRHWGESNWTDDEFFNPTPPAISTSSLSGL >Manes.12G153100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36092494:36095934:1 gene:Manes.12G153100.v8.1 transcript:Manes.12G153100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMMGNSPANVEARHRLSSSIEDIYKRRLQKNKVKGIEKPLHIPIQDRSSCCKFPLLKLAFLILICCTIVTLFRSPEVDLSHSSSRWIWGGSDPRYFSDIDMNWDSLMKVIEKLGEQNDYQGIGLLNFNKTEINHWKQLLPDVTHVDLQLDYADRNLTWESLYPEWIDEEQEKEVPVCPSLPKVDVPRKRLDLIAVKLPCRNEWNWSRDVARLHLQIAAASLAASAKGSYPVHLLFITKRFPVPNLFPCKELVVREGNVWLYKPDLNVLREKLQLPVGSCELALPLRDKEPFYSGNPRREAYATILHSAHVYVCGAIAAAQSIRLSGSNRDLVILVDESISVYHRSGLEAAGWKIRTIKRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEVKQKKIRLFGSEPPVLYVLHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHARWWKVHDAMPEKLHQFCSLKSKQKAQLEWDRRQAEQTNYTDGHWKIKIQDHRLKKCIDNLCNWKSMLRHWGESNWTDDEFFNPTPPAISTSSLSGL >Manes.12G153100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36092492:36095934:1 gene:Manes.12G153100.v8.1 transcript:Manes.12G153100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMMGNSPANVEARHRLSSSIEDIYKRRLQKNKVKGIEKPLHIPIQDRSSCCKFPLLKLAFLILICCTIVTLFRSPEVDLSHSSSRWIWGGSDPRYFSDIDMNWDSLMKVIEKLGEQNDYQGIGLLNFNKTEINHWKQLLPDVTHVDLQLDYADRNLTWESLYPEWIDEEQEKEVPVCPSLPKVDVPRKRLDLIAVKLPCRNEWNWSRDVARLHLQIAAASLAASAKGSYPVHLLFITKRFPVPNLFPCKELVVREGNVWLYKPDLNVLREKLQLPVGSCELALPLRDKEPFYSGNPRREAYATILHSAHVYVCGAIAAAQSIRLSGSNRDLVILVDESISVYHRSGLEAAGWKIRTIKRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEVKQKKIRLFGSEPPVLYVLHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHARWWKVHDAMPEKLHQFCSLKSKQKAQLEWDRRQAEQTNYTDGHWKIKIQDHRLKKCIDNLCNWKSMLRHWGESNWTDDEFFNPTPPAISTSSLSGL >Manes.12G153100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36092561:36095841:1 gene:Manes.12G153100.v8.1 transcript:Manes.12G153100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMMGNSPANVEARHRLSSSIHPCLLFTSYSYISLNSEDIYKRRLQKNKVKGIEKPLHIPIQDRSSCCKFPLLKLAFLILICCTIVTLFRSPEVDLSHSSSRWIWGGSDPRYFSDIDMNWDSLMKVIEKLGEQNDYQGIGLLNFNKTEINHWKQLLPDVTHVDLQLDYADRNLTWESLYPEWIDEEQEKEVPVCPSLPKVDVPRKRLDLIAVKLPCRNEWNWSRDVARLHLQIAAASLAASAKGSYPVHLLFITKRFPVPNLFPCKELVVREGNVWLYKPDLNVLREKLQLPVGSCELALPLRDKEPFYSGNPRREAYATILHSAHVYVCGAIAAAQSIRLSGSNRDLVILVDESISVYHRSGLEAAGWKIRTIKRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEVKQKKIRLFGSEPPVLYVLHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHARWWKVHDAMPEKLHQFCSLKSKQKAQLEWDRRQAEQTNYTDGHWKIKIQDHRLKKCIDNLCNWKSMLRHWGESNWTDDEFFNPTPPAISTSSLSGL >Manes.12G153100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36092561:36095841:1 gene:Manes.12G153100.v8.1 transcript:Manes.12G153100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMMGNSPANVEARHRLSSSIHPCLLFTSYSYISLNSEDIYKRRLQKNKVKGIEKPLHIPIQDRSSCCKFPLLKLAFLILICCTIVTLFRSPEVDLSHSSSRWIWGGSDPRYFSDIDMNWDSLMKVIEKLGEQNDYQGIGLLNFNKTEINHWKQLLPDVTHVDLQLDYADRNLTWESLYPEWIDEEQEKEVPVCPSLPKVDVPRKRLDLIAVKLPCRNEWNWSRDVARLHLQIAAASLAASAKGSYPVHLLFITKRFPVPNLFPCKELVVREGNVWLYKPDLNVLREKLQLPVGSCELALPLRDKEPFYSGNPRREAYATILHSAHVYVCGAIAAAQSIRLSGSNRDLVILVDESISVYHRSGLEAAGWKIRTIKRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEVKQKKIRLFGSEPPVLYVLHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHARWWKVHDAMPEKLHQFCSLKSKQKAQLEWDRRQAEQTNYTDGHWKIKIQDHRLKKCIDNLCNWKSMLRHWGESNWTDDEFFNPTPPAISTSSLSGL >Manes.12G153100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36092558:36098865:1 gene:Manes.12G153100.v8.1 transcript:Manes.12G153100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMMGNSPANVEARHRLSSSIEDIYKRRLQKNKVKGIEKPLHIPIQDRSSCCKFPLLKLAFLILICCTIVTLFRSPEVDLSHSSSRWIWGGSDPRYFSDIDMNWDSLMKVIEKLGEQNDYQGIGLLNFNKTEINHWKQLLPDVTHVDLQLDYADRNLTWESLYPEWIDEEQEKEVPVCPSLPKVDVPRKRLDLIAVKLPCRNEWNWSRDVARLHLQIAAASLAASAKGSYPVHLLFITKRFPVPNLFPCKELVVREGNVWLYKPDLNVLREKLQLPVGSCELALPLRDKEPFYSGNPRREAYATILHSAHVYVCGAIAAAQSIRLSGSNRDLVILVDESISVYHRSGLEAAGWKIRTIKRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEVKQKKIRLFGSEPPVLYVLHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHARWWKVHDAMPEKLHQFCSLKSKQKAQLEWDRRQAEQTNYTDGHWKIKIQDHRLKKCIDNLCNWKSMLRHWGESNWTDDEFFNPTPPAISTSSLSGLVHTTAS >Manes.12G153100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36092558:36098865:1 gene:Manes.12G153100.v8.1 transcript:Manes.12G153100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMMGNSPANVEARHRLSSSIEDIYKRRLQKNKVKGIEKPLHIPIQDRSSCCKFPLLKLAFLILICCTIVTLFRSPEVDLSHSSSRWIWGGSDPRYFSDIDMNWDSLMKVIEKLGEQNDYQGIGLLNFNKTEINHWKQLLPDVTHVDLQLDYADRNLTWESLYPEWIDEEQEKEVPVCPSLPKVDVPRKRLDLIAVKLPCRNEWNWSRDVARLHLQIAAASLAASAKGSYPVHLLFITKRFPVPNLFPCKELVVREGNVWLYKPDLNVLREKLQLPVGSCELALPLRDKEPFYSGNPRREAYATILHSAHVYVCGAIAAAQSIRLSGSNRDLVILVDESISVYHRSGLEAAGWKIRTIKRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFQLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWVGDEEEVKQKKIRLFGSEPPVLYVLHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHARWWKVHDAMPEKLHQFCSLKSKQKAQLEWDRRQAEQTNYTDGHWKIKIQDHRLKKCIDNLCNWKSMLRHWGESNWTDDEFFNPTPPAISTSSLSGLVHTTAS >Manes.06G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12450332:12454481:-1 gene:Manes.06G040500.v8.1 transcript:Manes.06G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHFLYLWVLFALMFSSVVLATDPYSEALLSLKSEITDDSNSLSDWLLPSEGNPSDKIHACSWSGVKCNENSTVVISLDLSSKNLGGALPGKHFVVFTEIVDLNISDNSFSGNLPVEIFNLTNLRSLDLSRNNFSGKFPGGISSLHNLAVLDAFSNSFSGPLPVEVSQIEKLKILNLAGSYFDGPIPPEYGSFKSLEFIHLAGNFLSGKIPAEIGRLQTVIHMEIGYNSYQGSIPWQLGNMSELQYLDIADANLYGSIPKQLSNLTKLQSLFLFKNHLTGLVPWEFSKIAPLSSLDLSDNQLSGPIPESFGELKNLRLLSLMYNKMNGTVPQAIAKLPSLDTLLIWNNFFSGSLPQDLGRNSKLGWVDVSTNNLVGSIPPDICAGGVLFKLILFSNNFTGSLSPSISNCSSLVRLRIEDNSFSGEIPLKFSHLPDITYVDLSRNKFTGGIPTDIFKASELQYFNISNNPGLGGIIPEKTWSLPLLQNFSASACNLSGNVPPLQSCKSVIVVELQKNSLAGNIPVSISQCEALRKMDLANNKFTGKIPEELASLPDLSFIDLSHNNLSGQIPEKFGDSSSLVVFNVSYNDISGSIPSNDVFRLMGTTAFAGNQKLCGAPLRPCHASMAILGSKGKRKLTWILLLCAGVVMFIVASAWGIFYITRGSKGRWKMVSFSGLPQFTANDILRSFSSTESMEAEPPLSASVCKAVLPTGITVSVKKIEFESKRMKVVTEFVSRMGDARHKNLNRLLGFCYNKQLAYLLFDNLPNGNLAEKINLKRDWAAKYKLVIGIARGLCFLHHDCYPAMPHGDLRLSNILLDENMEPHLAEFGIKSLAEMTNASSPASETGEFTTTLKEELYMDTYKFGEIIMEILTSGRLMNAGVSIQSKPKEMLLREIYDESEAGSSESTREEIKMAIEVALLCTRSRPADRPSMEDALKLLSGFRSQRK >Manes.10G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5620670:5626338:-1 gene:Manes.10G050700.v8.1 transcript:Manes.10G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFEKITFFCVLLLLSFVAISRARFPDDRGPFLRSTFPSVNAEKLIRELNLFPEKDVNIVRDGDASLRDGRKRIVEKRFRFPNVVEEEYVGVSVEDLGHHAGYYKIASSHAARMFYFFFESRNSKKDPVVIWLTGGPGCSSELAMFYENGPFNIADNMSLVWNPYGWDQASNLLYVDQPIGTGFSYSSDIRDIRHNEQGVSNDLYDFIQAFFSEHPEFANNDFYITGESYAGHYIPAFAARVHKGNKAKEGIPINLKGFAIGNGLTDPAIQYGAYTDYALEMDLIKKSDYDRINRVLPVCELAIKLCGTDGTVSCMASYFVCNGIFSGILSRAGNINYYDIRKKCEGRLCYDFSNLEKFLNQKSVREALGVGDISFVSCSPTVYQALLMDWMRNLEPGIPALLEDGIKMLVYAGEYDLICNWLGNSRWVHAMEWSGQKDFVASPEVPFEVDGSESGVLKSHGPLAFLKVHDAGHMVPMDQPKASLKMLKRWTRGELSESEAKADPKKLVSEM >Manes.01G049345.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:13882174:13882374:-1 gene:Manes.01G049345.v8.1 transcript:Manes.01G049345.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIKPVVHPMEAPALTDGPLNGPNMRMKDVQHMPGTHNGLTFRLVQFVFGLIFVHVMTSTNDFRS >Manes.01G154400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34131210:34132878:1 gene:Manes.01G154400.v8.1 transcript:Manes.01G154400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDIGIPQDYRHMDGFGVNTYTLIKKSGKAHYVKFHWKPTCGVKSLLEDEAIRVGGSNHSHATQDLYDSIAAGHYPEWKLFIQIIDPDDENKFDFDPLDVTKTWPEDILPLQPVGRLVLNRNIDNFFAENEQLAFCPSIVVPGVYYSDDKLLQTRIFSYSDTQRHRLGPNYLMLPANAPKCSHHNDHHDGFMNFMHRDEEVNYFPSRYDPVRHAEKYPTPPVILSGKRDKCVIPKENNFKQPGERYRSFSPDRKERFIQRWVEALSDPRVTHEIRSIWISYWAQADRYLGQKIASHLNMRPTM >Manes.13G025200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3385498:3389623:-1 gene:Manes.13G025200.v8.1 transcript:Manes.13G025200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSREPLLSPKHDQNYIVQHHEDQDQLHSLLISTTNTISFVPDADDIPPINGIRDFLREFYIEFKKLWYLAGPAIFTCICQYSLGAVTQIFSGQVGTLALAAVSVENSVISGFSFGAMLGMGSALETLCGQAFGAGKIDMLGIYLQRSWIILCTTASLLSLIYIFAAQILKLIGQTEAISKAAGIFSIWMIPQLFAYAVNFPMGKFLQAQSKVMVMALIAAAALVLHTVFSWLLMLKLGWSLVGAAVVLNASWWFIDLAQFLYIISGSCGRAWNGFSWKAFQSLWGFVRLSLASAVMICLEIWYLYALILFAGYLKNAEVSVDAMSICMNILGWTMMVAMGMNAAISVRISNELGAGHPRTAKFSLVVAVICSFIIGVSLALILILTTNQYPSLFSSDSQVRDLVIDLTPLLALCIVTNNVQPVLSGVAIGAGWQAIVAYVNIGCYYVFGIPMGLILGYKLDMGVIGIWYGMLSGIAVQTLALFLMIYRTNWNKEASIAEDRIKRWGGHRLPRE >Manes.13G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3385508:3389582:-1 gene:Manes.13G025200.v8.1 transcript:Manes.13G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSREPLLSPKHDQNYIVQHHEDQDQLHSLLISTTNTISFVPDADDIPPINGIRDFLREFYIEFKKLWYLAGPAIFTCICQYSLGAVTQIFSGQVGTLALAAVSVENSVISGFSFGAMQLGMGSALETLCGQAFGAGKIDMLGIYLQRSWIILCTTASLLSLIYIFAAQILKLIGQTEAISKAAGIFSIWMIPQLFAYAVNFPMGKFLQAQSKVMVMALIAAAALVLHTVFSWLLMLKLGWSLVGAAVVLNASWWFIDLAQFLYIISGSCGRAWNGFSWKAFQSLWGFVRLSLASAVMICLEIWYLYALILFAGYLKNAEVSVDAMSICMNILGWTMMVAMGMNAAISVRISNELGAGHPRTAKFSLVVAVICSFIIGVSLALILILTTNQYPSLFSSDSQVRDLVIDLTPLLALCIVTNNVQPVLSGVAIGAGWQAIVAYVNIGCYYVFGIPMGLILGYKLDMGVIGIWYGMLSGIAVQTLALFLMIYRTNWNKEASIAEDRIKRWGGHRLPRE >Manes.13G025200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3385508:3389624:-1 gene:Manes.13G025200.v8.1 transcript:Manes.13G025200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAFGAGKIDMLGIYLQRSWIILCTTASLLSLIYIFAAQILKLIGQTEAISKAAGIFSIWMIPQLFAYAVNFPMGKFLQAQSKVMVMALIAAAALVLHTVFSWLLMLKLGWSLVGAAVVLNASWWFIDLAQFLYIISGSCGRAWNGFSWKAFQSLWGFVRLSLASAVMICLEIWYLYALILFAGYLKNAEVSVDAMSICMNILGWTMMVAMGMNAAISVRISNELGAGHPRTAKFSLVVAVICSFIIGVSLALILILTTNQYPSLFSSDSQVRDLVIDLTPLLALCIVTNNVQPVLSGVAIGAGWQAIVAYVNIGCYYVFGIPMGLILGYKLDMGVIGIWYGMLSGIAVQTLALFLMIYRTNWNKEASIAEDRIKRWGGHRLPRE >Manes.05G182500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30061353:30063811:1 gene:Manes.05G182500.v8.1 transcript:Manes.05G182500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLMSGREDSDLIYILFVGEPMKNEKKNYWFVLFGRHLIGFFLFLFLTVYTAMKVPDVADISPLQNLHDMLQKADFHKIHLQLMNCLPSLPNIPDLQKFKTELKSSLLSMEFLSTLSGNIMERLTACLSDQITRMYHVVDDSVQKQGFSDDGSKMIPQLMFHPITRWPFFVFLGGAMFCLLASSICHLLSCHSERMSYIVHRIDYAGIAALIATSFYPPVYYSFMCNLFFCNLYLGFITVLGIATIVFSLLPVFQRPQLRGFRASLFFGMGMSGVAPILHKLILYRDQPEALQTTGYEILMGILYGLGALIYATRIPERWKPGKFDIAGHSHQLFHVLVVAGAYTHYQAGLVYLRWRDLHGC >Manes.05G182500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30061353:30063811:1 gene:Manes.05G182500.v8.1 transcript:Manes.05G182500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKFLLGLLHKWCFLQFGYIPFSEMIPDRYKNFFPSSENIDKPLDYEDGDEHSSKEKKGHILWKKVKYQLVEYHSLPGYLRDNEFIHGYYRAEWPLKQTLLSIFKIHNETLNVWTHLIGFFLFLFLTVYTAMKVPDVADISPLQNLHDMLQKADFHKIHLQLMNCLPSLPNIPDLQKFKTELKSSLLSMEFLSTLSGNIMERLTACLSDQITRMYHVVDDSVQKQGFSDDGSKMIPQLMFHPITRWPFFVFLGGAMFCLLASSICHLLSCHSERMSYIVHRIDYAGIAALIATSFYPPVYYSFMCNLFFCNLYLGFITVLGIATIVFSLLPVFQRPQLRGFRASLFFGMGMSGVAPILHKLILYRDQPEALQTTGYEILMGILYGLGALIYATRIPERWKPGKFDIAGHSHQLFHVLVVAGAYTHYQAGLVYLRWRDLHGC >Manes.06G016725.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2767428:2769058:1 gene:Manes.06G016725.v8.1 transcript:Manes.06G016725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDQYPVQEPLLSSQGVKKRPLFVSSVKWVLEILMWVIFIAWVVFIFLYPTQFGNELFEKFVGATSGTLFGLSGSIFLLLSGPILLIAFLAIAHLIISGEDEFHHKKNSKYPSVRLWTFPVLVDGPFGVVSAAEFIGILLFVVYIIWAVYVYTLRNLSLISQLHLTSKDEGYSVFCFDILICIAYLLFMRLNYIYFSFSLVLTCFPLY >Manes.08G159200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39564332:39568468:1 gene:Manes.08G159200.v8.1 transcript:Manes.08G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLAPLAGTAPSLHSSLFSNFPLYSHSFPSRTLSSAVHKPSNCYTHYSLTSLHRRLSPVSALDSDVPHPLHQGSADVKDSKRFKQWDSWTAKFSGASNIPFLLLQMPQIILNAQNLMAGNKTALLAVPWLGMFTGLLGNLSLLSYFVKKKETEVIVVQTLGVVSIYIVITQLAMAEAMPLPHFVATSVVVATGLVLNFFNYLGKLNAGIWRVWEDFITVTGLSALPQVMWSTFVPYIPSTILPGAMAFVVAVAAVIMARTGKLSEKGVKFVGGISGWTATLLFMWMPVSQMWTNFLNPDNIKGLSAFSMLLAMIGNGLMVPRALFIRDFMWFTGSSWAALFYGYGNILCMFCFNSISREFFLAATVVLVSWIGMALWRDTVVYGYNSPLTSLKELAFGST >Manes.03G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4497836:4501802:1 gene:Manes.03G049200.v8.1 transcript:Manes.03G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFLVGLLCVLFNNGIEVDASHSEFQNFQSHNLTVPETQPYRTSYHFQPPKNWLNDPNGPMLYKGFYHLFYQYNPNGALFDAGMVWAHSVSHDLINWIHLNHALYPTEPFDIKSCWSGSVTILPGNKPAILYTGINANDTQVQNLAMPKNLSDPLLKEWIKFSQNPVITPPTGVAKDDFRDPTTAWVDAEGKWNVIVGSLINDRGMAILYQSEDFVNWRMYKDPLHSKEKTGMWECPDFFPVSINSTNGVDTSVMNPSVKHVMKASFNSHDYYIIGTYVPGVQKYIPDTDFTSTNTDLRYDYGKFYASKTFFDSIKNRRILWGWVNESDSSEDDVRKGWSGLQSFPRQIWLDRSGSQLVQWPVEEINKLHDKQVSIQNKKLDGGSVLEIPGITASQADAEIVFELPELEEAELLKSTPVDPQQMCTDANASVRGRLGPFGLLALATEDLTEQTAIFFRIFRHENTYIVLMCSDQSRSSIKENVDKTTYGAFVNIDPQNEKISLRSLIDHSIIESFGGEGRTCITSRVYPQLAVHKEAHLYVFNNGTQSITISRLKAWGMSKAQFNYEESCLNNEAHNGEGLSCFVGMFEK >Manes.03G049200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4497836:4501802:1 gene:Manes.03G049200.v8.1 transcript:Manes.03G049200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFLVGLLCVLFNNGIEVDASHSEFQNFQSHNLTVPETQPYRTSYHFQPPKNWLNDPNGPMLYKGFYHLFYQYNPNGALFDAGMVWAHSVSHDLINWIHLNHALYPTEPFDIKSCWSGSVTILPGNKPAILYTGINANDTQVQNLAMPKNLSDPLLKEWIKFSQNPVITPPTGVAKDDFRDPTTAWVDAEGKWNVIVGSLINDRGMAILYQSEDFVNWRMYKDPLHSKEKTGMWECPDFFPVSINSTNGVDTSVMNPSVKHVMKASFNSHDYYIIGTYVPGVQKYIPDTDFTSTNTDLRYDYGKFYASKTFFDSIKNRRILWGWVNESDSSEDDVRKGWSGLQSFPRQIWLDRSGSQLVQWPVEEINKLHDKQVSIQNKKLDGGSVLEIPGITASQMQRLCLNCQN >Manes.03G049200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4497836:4501802:1 gene:Manes.03G049200.v8.1 transcript:Manes.03G049200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFLVGLLCVLFNNGIEVDASHSEFQNFQSHNLTVPETQPYRTSYHFQPPKNWLNDPNGPMLYKGFYHLFYQYNPNGALFDAGMVWAHSVSHDLINWIHLNHALYPTEPFDIKSCWSGSVTILPGNKPAILYTGINANDTQVQNLAMPKNLSDPLLKEWIKFSQNPVITPPTGVAKDDFRDPTTAWVDAEGKWNVIVGSLINDRGMAILYQSEDFVNWRMYKDPLHSKEKTGMWECPDFFPVSINSTNGVDTSVMNPSVKHVMKASFNSHDYYIIGTYVPGVQKYIPDTDFTSTNTDLRYDYGKFYASKTFFDSIKNRRILWGWVNESDSSEDDVRKGWSGLQSFPRQIWLDRSGSQLVQWPVEEINKLHDKQVSIQNKKLDGGSVLEIPGITASQADAEIVFELPELEEAELLKSTPVDPQQMCTDANASVRGRLGPFGLLALATEDLTEQTAIFFRIFRHENTYIVLMCSDQSRSSIKENVDKTTYGAFVNIDPQNEKISLRSLVS >Manes.S023316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:262119:262931:-1 gene:Manes.S023316.v8.1 transcript:Manes.S023316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G081778.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:16922964:16924354:1 gene:Manes.13G081778.v8.1 transcript:Manes.13G081778.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRSNRKTEPNPAQPNPTQPNPTQQASNPTPSIPFAESLPSIPFSTFSNLPIPPPLFSRKMRTTKTTNRFSRRTTTRMTMKMTMVKAETTMTMTMTTTTRRRKTVTRMKTVKKSLALDIQLFVYTVETAHTREGPDLSPSDPQWRSSTIPGPDEAKHSILLERLRLRHLKHSSKPSQAKTQFPPKPVVAIEKDEDGFKSKKGKKMVGSFEEIGLSEEVMGAVREMGIEVPTEIQCIGIPAVLDGKSVVLGSHTGSGKTLAYMLPLVQVNLLSCLIDFLVLLL >Manes.18G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12024800:12037240:1 gene:Manes.18G116500.v8.1 transcript:Manes.18G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSLRSLQSSPPPCLTSNFHFSSPSCTSFLYSFRPNRRFHFLSPCSSLKQTKKQQTLQKTNAPQSLRWFLNPKGGGGGGGADDDKVKGDGETEGGLQGDTAVKGTLLAGVLLVGVVGGFAAVGYIYKDQINAFLNQFSGFIEGYGPAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSLIGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESDIGLTGGNNSLLTLGLGLLATALAAAYVTRLAKDAVKDIE >Manes.05G071600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5889342:5892619:1 gene:Manes.05G071600.v8.1 transcript:Manes.05G071600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLHMSILCRNPRGAVRSVDTYAAQCETCSKWRVIETQEEYEEIRSKILENPFACDRKPGVSCEDPADLEYNASRTWVIDRPGIPKTPVGFKRSLVLRRDFSKMDAYYITPTGKKLRTKNEIAAFLEANPKYKDVSVEDFNFTSPKVMEDTIPEDVKKVNASGNRKGKASKDSA >Manes.05G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5889342:5892619:1 gene:Manes.05G071600.v8.1 transcript:Manes.05G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEDNRETPKTSSKNPRGAVRSVDTYAAQCETCSKWRVIETQEEYEEIRSKILENPFACDRKPGVSCEDPADLEYNASRTWVIDRPGIPKTPVGFKRSLVLRRDFSKMDAYYITPTGKKLRTKNEIAAFLEANPKYKDVSVEDFNFTSPKVMEDTIPEDVKKVNASGNRKGKASKDSA >Manes.06G139600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26768643:26771210:1 gene:Manes.06G139600.v8.1 transcript:Manes.06G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLRNMLEPPWLSFRSLISYLIEECKNTSQLKQIHAQIFTSSNLLQKDHHFFISRLLFFSALSGSGSLSYATQIFRRIKNPNLPIYNIMIRAYASKNSQNHDTHLCQALMLYKHMLSNGISPDSLTLPFLVKECTTRRAIGTGTGIQGQSIKLGFNTDLFVQNSMINFYSACASVSSARKLFDEMLIRDIVSWNSMIIGYLRCGRLDEALDLFRKMKRGNIITWNSLITGFVQGGRPKEALEFFHEMQCLSGDINMAKPDKITIASVLSACAHLGAIDHGKWVHGYLSRSGLECDMVIGTALVDMYGKCGCLERAHEVFREMPKKDTLAWSAIISVFALHGYGKEAFDIFKEMEAEGVKPNHVTFVGLLSACCHSGLVEIGRWCFDIMKRIYRIEPQVHHYACMVDILGRAGLFGEAEELIRNMPIEPDVFVWGALLGGCQMHGNVQLGEKVAQHLIDLEPLNHAFYMNLCDTYAKAGRYNDVQRIRASMKEQGIKKEIPGCSMIEVNGIVHEFSTVGSPDVVMDELVCLLTTLRNEMKANIVLMKN >Manes.14G160600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23798145:23805099:1 gene:Manes.14G160600.v8.1 transcript:Manes.14G160600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVLRIFLSHSIPSLSSRVLNTIFPSTFPPRFRIFFCQNLFYNNFRFISTSSSDNLQGLVDPEDPFPLDYSPVESISSEDFSFLRDSLLHPNTGEHKFDTGKCSNEAVLISNAILANDDGFGNKTQKFLRQYRQKLSEPLVVEVLNLIKNPELSVKFFIWAGRQIGYSHTPSVYTALIQIIETSADSNDRIPEQFLREIKEDDKEVLGKLLNILIRKYCQNGSWNAALEELGRLKDFGYKASRSTYNALIQVFLRAERLDTGFLVQREMSSLGYSMDEFTLGCFAHSLCKAGKWRDALTLIEKEEFVPDTVLYTKMISGLCEASLFEEAMDFLNRMRASYCIPNVVTYKILLCGCLRKKQLGRCKRILKMMITEGCYPSPKIFNSLIHAYCQSGDYTYAYKLLKKMVKCGYQPGYVAYNILIGGICGNEELPSVDVLELAEKAYGEMLEMGFVLNKVNISNFTRCLCAIGKFEKAYNVIREMMTKGFIPDTATYSKVIAYLCNASQVEKAFLLFQEMKRNGITLDVYTYTILLDSFCKAGLIEQARNWLDEMQRYGCAPNVVTYTALIHAYLKARKIKNANEIFEMMLSKGCIPNIVTYTALIDGHCKAGEIEKACQIYARMKDDNVENSDVDMYFRVVDSGSKEPNVYTYGALVDGLCKAHRVKEARDLLEVMSMKGCEPNYIIYDALIDGFCKVGKLDEAQEVFTKMLGHGYTPNVYTYSSLIDRLFKDKRMDLALKVLSKMLENSCAPNVVTYTEMIDGLCKDGKIDEANKLMLMMEEKGCCPNVVTYTAMIDGFGKAGRVDKCLELLQQMCSKGCAPNFVTYRVLINHCCTAGNLDEAYRLLEEMKQTYWPKHVAIYRRVIEGFSREFIASHGLLIEMTGSDSMPILPVYKVLIDNFIKAGRLEMALELHAELSSFSSFSAAHKDIYSSLIESLSLACKVDEAFKLYADMIRRGCVPELSILVHLIMGLLRVGKWEEALQLSDSMVQMPLYLSLWTIILEMSIAPSIYTRANYRTRARGFQQ >Manes.14G160600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23798136:23803573:1 gene:Manes.14G160600.v8.1 transcript:Manes.14G160600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRVLRIFLSHSIPSLSSRVLNTIFPSTFPPRFRIFFCQNLFYNNFRFISTSSSDNLQGLVDPEDPFPLDYSPVESISSEDFSFLRDSLLHPNTGEHKFDTGKCSNEAVLISNAILANDDGFGNKTQKFLRQYRQKLSEPLVVEVLNLIKNPELSVKFFIWAGRQIGYSHTPSVYTALIQIIETSADSNDRIPEQFLREIKEDDKEVLGKLLNILIRKYCQNGSWNAALEELGRLKDFGYKASRSTYNALIQVFLRAERLDTGFLVQREMSSLGYSMDEFTLGCFAHSLCKAGKWRDALTLIEKEEFVPDTVLYTKMISGLCEASLFEEAMDFLNRMRASYCIPNVVTYKILLCGCLRKKQLGRCKRILKMMITEGCYPSPKIFNSLIHAYCQSGDYTYAYKLLKKMVKCGYQPGYVAYNILIGGICGNEELPSVDVLELAEKAYGEMLEMGFVLNKVNISNFTRCLCAIGKFEKAYNVIREMMTKGFIPDTATYSKVIAYLCNASQVEKAFLLFQEMKRNGITLDVYTYTILLDSFCKAGLIEQARNWLDEMQRYGCAPNVVTYTALIHAYLKARKIKNANEIFEMMLSKGCIPNIVTYTALIDGHCKAGEIEKACQIYARMKDDNVENSDVDMYFRVVDSGSKEPNVYTYGALVDGLCKAHRVKEARDLLEVMSMKGCEPNYIIYDALIDGFCKVGKLDEAQEVFTKMLGHGYTPNVYTYSSLIDRLFKDKRMDLALKVLSKMLENSCAPNVVTYTEMIDGLCKDGKIDEANKLMLMMEEKGCCPNVVTYTAMIDGFGKAGRVDKCLELLQQMCSKGCAPNFVTYRVLINHCCTAGNLDEAYRLLEEMKQTYWPKHVAIYRRVIEGFSREFIASHGLLIEMTGSDSMPILPVYKVLIDNFIKAGRLEMALELHAELSSFSSFSAAHKDIYSSLIESLSLACKVDEAFKLYADMIRRGCVPELSILVHLIMGLLRVGKWEEALQLSDSMVQMGIHWVHEKQGADAN >Manes.01G134900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32792051:32795966:1 gene:Manes.01G134900.v8.1 transcript:Manes.01G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIKQKQQQEQQQQHHHQQQQLLGINSFPLNPWPPAMSTNPNIGFSGISGAAFADPFQVPSGGDTGEAGFQFPQMDHHSGFRFSDFCAGAAAAAEFDSDEWMDSLMGGGDSTDSSNLPSGCDAWQNNADFGLYGPDPFATCQTRLSVACSSPSDLNRVIFTETQKSPNPLQVPAWAPSPPPPPPQAVVKDAKPANPPSPSTTKNDAVGLSSSSPEIESPALLKALLECAILAESEPDKAVKSLIKMRESVSEQGDPTERVAFYFTEALYSRVSLQAEKSLTIVETTCEEFTLSYKTLNDACPYSKFAHLTANQAILEATESAGKIHIVDFGIVQGVQWAALLQALATRSTGKPARIRISGIPAPVLGKSPAASLFATGNRLRDFAKLLDLNFEFEPILNPIQELNESSFRVDPDEVLAVNFMLQLYNLLAETPVAVETALRMAKSLNPRIVTLGEYEASLNQIGYVNRFKNALRYFSSVFESLEPNLSRDSPERLQVEKLLLGRRIAGVIGPEEAGSRRERIEDKEQWRVLMESCGFESVALSHYAMSQAKILLWNYNYSSLYSLIESQPGFLSLAWKEVPLLTVSSWR >Manes.03G031096.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2535847:2536502:1 gene:Manes.03G031096.v8.1 transcript:Manes.03G031096.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQSTGLQCFSDWFRVVFVSVGVENATKMIMICWSLWYNRNLIVWEHKFKSPQQVYSLSMRYLQEWRAAATPLVQQNGSNVSSRSWQRPDSSLGFIGIGVVVRDENVIDDCKSIIFNIDSIICYNIYRFIDIVSGVGI >Manes.11G041750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4593258:4601522:1 gene:Manes.11G041750.v8.1 transcript:Manes.11G041750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGMNGADRRMETRDQNESGSFHEKIIGPKSYSYAELAKVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWEKRMKIATGSAKGLEYLHEHCKPKIIHLDVKPDNILLDEDFEPKITDFGLAQFFTDGGTHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRKPINNSTDIVTWANHLIKKALEGRYTNFVDSNLQSFDHEQMYRMVSCVNSCINQPPNSRPTMEKIRLVLEGKSPPEELYDHKLQWSIIHRDDESSSYFEEIDQIEESGSLHDKNYKGGLKPYSYSELAKATDQFSLERQFGQGGFGQAFIASLDGKIRVVKKLKNFPDVQSERDFEREIMVLNGVRHKNLVKLVGYCIEGANRLLISKFFPNMSLRYQLDRKENVLDWKKRMNIAIGSARGLECLHEDRRLKIIHLHIKSDNILLDNYFNPKISDFERALFFRDATTHVFRLSTFGIHIYADLPSVKLQKYSDKLDVYSFGVILLELITGRKLIIDENIDIITWAQPLMKKALEGEYTDFIDSRLQFFDDQEMHRMIFCANVCINQPLRSRPSMKMILLALEGIIPLDSLARDE >Manes.11G041750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4593258:4601522:1 gene:Manes.11G041750.v8.1 transcript:Manes.11G041750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGMNGADRRMETRDQNESGSFHEKIIGPKSYSYAELAKVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWEKRMKIATGSAKGLEYLHEHCKPKIIHLDVKPDNILLDEDFEPKITDFGLAQFFTDGGTHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRKPINNSTDIVTWANHLIKKALEGRYTNFVDSNLQSFDHEQMYRMVSCVNSCINQPPNSRPTMEKIRLVLEGKSPPEELYDHKLQWSIIHRDDESSSYFEEIDQIEESGSLHDKNYKGGLKPYSYSELAKATDQFSLERQFGQGGFGQAFIASLDGKIRVVKKLKNFPDVQSERDFEREIMVLNGVRHKNLVKLVGYCIEGANRLLISKFFPNMSLRYQLDRKENVLDWKKRMNIAIGSARGLECLHEDRRLKIIHLHIKSDNILLDNYFNPKAQPLMKKALEGEYTDFIDSRLQFFDDQEMHRMIFCANVCINQPLRSRPSMKMILLALEGIIPLDSLARDE >Manes.11G041750.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4593258:4599027:1 gene:Manes.11G041750.v8.1 transcript:Manes.11G041750.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGMNGADRRMETRDQNESGSFHEKIIGPKSYSYAELAKVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWEKRMKIATGSAKGLEYLHEHCKPKIIHLDVKPDNILLDEDFEPKITDFGLAQFFTDGGTHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRKPINNSTDIVTWANHLIKKALEGRYTNFVDSNLQSFDHEQMYRMVSCVNSCINQPPNSRPTMEKIRLVLEGKSPPEELYDHKLQWSIIHRDDESSSYFEEIDQIEESGSLHDKNYKGGLKPYSYSELAKATDQFSLERQFGQGGFGQAFIASLDGKIRVVKKLKNFPDVQSERDFEREIMVLNGVRHKNLVKLVGYCIEGANRLLISKFFPNMSLRYQLDRKENVLDWKKRMNIAIGSARGLECLHEDRRLKIIHLHIKSDNILLDNYFNPKILNVPCSLGMLLLTCSDYQLLEFTFMQIYRQ >Manes.11G041750.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4593179:4601522:1 gene:Manes.11G041750.v8.1 transcript:Manes.11G041750.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWEKRMKIATGSAKGLEYLHEHCKPKIIHLDVKPDNILLDEDFEPKITDFGLAQFFTDGGTHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRKPINNSTDIVTWANHLIKKALEGRYTNFVDSNLQSFDHEQMYRMVSCVNSCINQPPNSRPTMEKIRLVLEGKSPPEELYDHKLQWSIIHRDDESSSYFEEIDQIEESGSLHDKNYKGGLKPYSYSELAKATDQFSLERQFGQGGFGQAFIASLDGKIRVVKKLKNFPDVQSERDFEREIMVLNGVRHKNLVKLVGYCIEGANRLLISKFFPNMSLRYQLDRKENVLDWKKRMNIAIGSARGLECLHEDRRLKIIHLHIKSDNILLDNYFNPKISDFERALFFRDATTHVFRLSTFGIHIYADLPSVKLQKYSDKLDVYSFGVILLELITGRKLIIDENIDIITWAQPLMKKALEGEYTDFIDSRLQFFDDQEMHRMIFCANVCINQPLRSRPSMKMILLALEGIIPLDSLARDE >Manes.14G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:469049:473595:1 gene:Manes.14G008300.v8.1 transcript:Manes.14G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNENDALVLADNAFGKLPDHLLIEIFIRVPISEWAQISCVKKQWANLFRGECLWQAALNRNYPFAGEAKRWPGPIPQGLSRRRYTALYVSKHIFELDGEIDEIVGHTYLFLKEQLELSTMPPPSGILHGTIIDQFIACGKSRDSAHELASQIWLAVLDNLEENEHTFVLLKRLAQEGDVFLPYPYAKSIKVQWRVFEKLFTDFRDCFNHVDYYDVLACAKTRFQPIPSAWLGY >Manes.03G144600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27236772:27244763:1 gene:Manes.03G144600.v8.1 transcript:Manes.03G144600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKARRDDVDLLKKERDREKKLKKEKKNEGKDKEKKEKDRSDGKHRDKKEKREKHRDKKEKDRDKDKDKISVSDEKRLLGKSEHNNEEKTPDEKKPPGKSEFNSGEIFIQKGKERGVDRKSLSGEKKFAGEFSGYSERVSQNSYLIEQPSSSVQEVDRRTPDEARGSRKQLIDKFISVNSRNDEGMVGLVAKATGTLADSKAKNKKGDDRKFDGQGIRDESRFSGKAIAQSFPGTVQTRIDKTPTRPPEKDIEKRIDGKDKSKQKEGDDIRGDKQKDKVKGIESQGKDKEKKKEEKVREKSEHNVKAPDKSKGNNKVDLIGIHNAKASHLPKEITVSGLNGGNPRKRKELDTNGFFNANGIKPSKMPRPDSSHPFIQNGKMLETAGTSIASVSDRQGAVNNIKVDAKERKINGVIATRALSISSTAQRPSMPTSAHADQIVKVSRKPHPDSKYLSEVLMVPKMEEWCDFDEQEWLFQGRDSRSKRPRIGSLVVDETPQVWSEALQIESADVCALPYVIPY >Manes.05G047400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3937473:3947469:1 gene:Manes.05G047400.v8.1 transcript:Manes.05G047400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFQLLGVVEALAAIFKAGGRKVLMDVVPILWNDVSSLVKSGTAARSPLLRKYLMKLTQRIGLTCLPHRSPAWCYVGRTSSLGAHVSLTVSKRPDQWSPVANMYPTKAEETSNDMQDEDMDVPEIVEEIIEILLSGLRDTDTVVRWSAAKGIGRITYRLTSVLSEEVLSSVLELFSRGEGDGSWHGACLSLAELARRGLLLPANLPKVVPFIVKALHYDIRRGPHSIGSHVRDAAAYVCWAFGRAYYHTDMREVLEQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRVNSYLHVAVSIVQYEGYLYPFAEELLYNKIGHWEKGLRELAAEALSSLVRYDPEFFASYVLGKLIPCTLSTDLCMRHGATLASGEIVLALHQCAYALATDKQKDVAGIVSAIEKARLYRGKGGEIMRSAVSRFIECISLCHLTLPEKIKWGLLDTLNDNLRHPNSQIQNAAVRALERFVRAYLVSAKCETESGVTAKYLEQLTDQNVAVRRGSALALGVLPYECLADRWKHVLLKLCSSCAIEDNPEDRDAEARVNAVKGLISVCKTLTQARECSDICSGEDHMSVCQVIKNEVMSSLFKALDDYSVDNRGDVGSWVREAAMEGLETCTYILCVMDSNRKSYGVESPLEMPEGAGNGRMIPFFDANLASCLIQGIVKQAVEKMDKIREAAAKVLQRILYNETIFVPFIPLREKLEEIVPNEAHLKWGVPSFSYPRFIKLLQFSCYSRAVLSGLVISIGGLQDSLRKASISALLDYIQAVDSEDPKERMSREYTLSADIIWVLQQYKKCDRVIIPTLKTIEILFSKKIFLNMEVHTPIFCNGVLDSIAIELKGSKDFSKLYAGIAILGYIASLLEHVNTRAFSHLLTFLCHRYPKIRKASAEQVYLVLLQNGNLVPEERMEKALEIISDTSWDGDIEAAKHRRSELCEIAGLDLGELVGSRDIVSNKDREKRRVADENASYSSLVGSTGF >Manes.05G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3937473:3947469:1 gene:Manes.05G047400.v8.1 transcript:Manes.05G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVEETLRKEETAVEEEDDEHECKERVLQKYFLQEWKVVKSLLENIVSNGRVSDPSSVHRIRSIMDKYQQEGQLLEPYLESVVSPLMSIVRSKTIELGVTSDEILEVIKPICIIIYCLVTVCGYKAVIKFFPHQVSDLELAVSLLEKCHDKASATSLRQESTGEMEAKCVILLWLSILVLVPFDISSVDSSIANSSDLGELELAPLVLKILKFSKDYLSNAGPMRTMAGLLLSKLLTRPDMTKAFTSFNAWAHEVLSSGKDDVMSHFQLLGVVEALAAIFKAGGRKVLMDVVPILWNDVSSLVKSGTAARSPLLRKYLMKLTQRIGLTCLPHRSPAWCYVGRTSSLGAHVSLTVSKRPDQWSPVANMYPTKAEETSNDMQDEDMDVPEIVEEIIEILLSGLRDTDTVVRWSAAKGIGRITYRLTSVLSEEVLSSVLELFSRGEGDGSWHGACLSLAELARRGLLLPANLPKVVPFIVKALHYDIRRGPHSIGSHVRDAAAYVCWAFGRAYYHTDMREVLEQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRVNSYLHVAVSIVQYEGYLYPFAEELLYNKIGHWEKGLRELAAEALSSLVRYDPEFFASYVLGKLIPCTLSTDLCMRHGATLASGEIVLALHQCAYALATDKQKDVAGIVSAIEKARLYRGKGGEIMRSAVSRFIECISLCHLTLPEKIKWGLLDTLNDNLRHPNSQIQNAAVRALERFVRAYLVSAKCETESGVTAKYLEQLTDQNVAVRRGSALALGVLPYECLADRWKHVLLKLCSSCAIEDNPEDRDAEARVNAVKGLISVCKTLTQARECSDICSGEDHMSVCQVIKNEVMSSLFKALDDYSVDNRGDVGSWVREAAMEGLETCTYILCVMDSNRKSYGVESPLEMPEGAGNGRMIPFFDANLASCLIQGIVKQAVEKMDKIREAAAKVLQRILYNETIFVPFIPLREKLEEIVPNEAHLKWGVPSFSYPRFIKLLQFSCYSRAVLSGLVISIGGLQDSLRKASISALLDYIQAVDSEDPKERMSREYTLSADIIWVLQQYKKCDRVIIPTLKTIEILFSKKIFLNMEVHTPIFCNGVLDSIAIELKGSKDFSKLYAGIAILGYIASLLEHVNTRAFSHLLTFLCHRYPKIRKASAEQVYLVLLQNGNLVPEERMEKALEIISDTSWDGDIEAAKHRRSELCEIAGLDLGELVGSRDIVSNKDREKRRVADENASYSSLVGSTGF >Manes.08G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:578090:587021:1 gene:Manes.08G002800.v8.1 transcript:Manes.08G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKISFFSFLLLLLLLAYSASAQMPGFVSLDCGGKENFTDELGLVWTSDANLIYGETAIVSVTNETRKQYTTLRHFPADSRKYCYTLGVMSRTRYLLRATFLYGNFDNNNVYPKFDISVGATHWSTIVISDANTIETIELIFLASSPTISVCLSNATTGQPFISTLELRQFNGSIYYTAYENQFYLSVSARINFGADSEDPVRYPDDPFDRIWESDSVKKANYLVDVADGTEKVSTDAPIDVSRNQMPPEKVMQTAVVGTNGSLTYRLNLDGFPGFGWAVTYFAEIEDLEPDESRKFRLVLPGYPDMSKAIVNIQENAQGKYRLYQPGYPNISLPFVLSFKFGKTADSTEGPLLNAMEINKYLEKNDGSPDGEVIASVISPYASADWAQEGGDPCLPVPWSWLQCNSDGRPRIVKISLSSKNLTGNIPSDLPKLNGLVELWLDRNSLTGSIPDFTGCRDLEIIHLENNQLTGELPSSLLDLPNLRELYVQNNLLSGTVPSGLLNKNIVLNYSGNPNLHEGGQRGKHIAIVIGSSVGAAILLIATIASCLFIRRGKRSSDQERSRVPPPVQRLVSNDTPAEGAYCFTFSEIEDATKKFEKKIGSGGFGVVYYGKMKDGKEIAVKVLTSNSYQGKREFSNEVTLLSRIHHRNLVQFLGFCQEEGRSMLVYEFMHNGTLKEHLYGPLTRGRSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKQMRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGDIQGIIDPSLDDEYDLQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAILIEREAVAVREGNSDDMSRNSIRSSLNLGSLEFGGTENYLALDDTVAQPAAR >Manes.13G063858.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7832723:7834740:1 gene:Manes.13G063858.v8.1 transcript:Manes.13G063858.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYLRAAFFSCVLLISLWVPSSLAQTCGGHTFSSNQVFSTCSDLPVLSSFIYWNYHPSNLTADIAYRKTGASTGNWYVWSLNPSGQQMVGSQALLAFHNSSGVPVAYTTKIDSLSPSMQQGDLDFQVSNIKAEYSNGDMIIFATLHLTSSLLSNNQVWQEGTVSGTSFNPHSMDSANKASVGTINFETGATVAGTARTSSKKNVHGVLNALSWGVLMPVGIMIARYLKVFKVANPAWFYLHVACQSSAYIIGVAGWGTGLKLGSDSPGVKYSKHRNIGITLFCFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIALSIVNIYEGFDILDPEKKWKRIYTGIIIFLGALATILEIFTWIIVIKRKKTTSFDKPANGTNGVNGYSA >Manes.05G171700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28636724:28637713:-1 gene:Manes.05G171700.v8.1 transcript:Manes.05G171700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKEISKELFPYLRVYKDGTIERYAGTEVTAAGLDSQTGVLSKDVSLITPQTTISARLYRPYFINNDQKLPLLVYFHGGAFCIASPAEPRYHHCLNQLVFQGKIIVVSVDYRLAPEHPLPAAYDDSWASLQWVFSHVDGGTGTEEWLEDYADFEQVFLAGDSAGANIAHHLALRMKDSNMQASNKKKQKLQGIAMIHPYFWGKDPIGEEANQSEKKSMVDNWWKFVCPSNKGCDDPYINPFVKGAASLKELATESVLIFVAERDILCERGKLYYENLVKSGWQGKAQIVETKGEDHVFHIFKPDCENAYLLIKRWASYINRSNIGSL >Manes.10G045400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4942322:4945658:1 gene:Manes.10G045400.v8.1 transcript:Manes.10G045400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSLMDIQCMCMSSSSSFLESPKLNNNSCVIPPWLRNHPTNSTNLSFKYKICCYYACAWKCQQQQGTWLARRPFITKAKKAPMDLTEEEPAVKVAEKKGTIAGAVALIIGTSIGSGILALPKKASPAGIIPSSIAVIVCWGFLLVEALLLIEINVGLMRKKRKREDEVELDVISIRTMAQETLGDFGGTLATVIYVFLGYTSMIAYSSKSGEVLFHLINIPESISGGLFTALFTILISIGGTAATDQVNQWLTVSMIGLLLAIEVIAVLFGGWSGLEGNGNWGKIPATVPVIIFSLVYHDLGPVLCAYLGGDLPRLRASVLLGSIVPLLAVLVWNAVALGLSAQTDQVDPIESLMS >Manes.10G045400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4942322:4945658:1 gene:Manes.10G045400.v8.1 transcript:Manes.10G045400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSLMDIQCMCMSSSSSFLESPKLNNNSCVIPPWLRNHPTNSTNLSFKYKICCYYACAWKCQQQQGTWLARRPFITKAKKAPMDLTEEEPAVKVAEKKGTIAGAVALIIGTSIGSGILALPKKASPAGIIPSSIAVIVCWGFLLVEALLLIEINVGLMRKKRKREDEVELDVISIRTMAQETLGDFGGTLATVIYVFLGYTSMIAYSSKSGEVLFHLINIPESISGGLFTALFTILISIGGTAATDQVNQWLTVSMIGLLLAIEVIAVLFGGWSGLEGNGNWGKIPATVPVIIFSLVYHDLGPVLCAYLGGDLPRLRASVLLGSIVPLLAVLVWNAVALGLSAQTDQVDPIESLMSVRWSGVSYMVEAFSLLAIGTSLIGTLLGFSEFFKEQLKNLSWHSSTTRNLQEPRNLDGLRDWWGRNKISFTAMSIVVVPTLLVSTTVPDAFSAATAIAVS >Manes.10G045400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4942322:4945658:1 gene:Manes.10G045400.v8.1 transcript:Manes.10G045400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSLMDIQCMCMSSSSSFLESPKLNNNSCVIPPWLRNHPTNSTNLSFKYKICCYYACAWKCQQQQGTWLARRPFITKAKKAPMDLTEEEPAVKVAEKKGTIAGAVALIIGTSIGSGILALPKKASPAGIIPSSIAVIVCWGFLLVEALLLIEINVGLMRKKRKREDEVELDVISIRTMAQETLGDFGGTLATVIYVFLGYTSMIAYSSKSGEVLFHLINIPESISGGLFTALFTILISIGGTAATDQVNQWLTVSMIGLLLAIEVIAVLFGGWSGLEGNGNWGKIPATVPVIIFSLVYHDLGPVLCAYLGGDLPRLRASVLLGSIVPLLAVLVWNAVALGLSAQTDQVDPIESLMR >Manes.10G045400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4942322:4945658:1 gene:Manes.10G045400.v8.1 transcript:Manes.10G045400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSLMDIQCMCMSSSSSFLESPKLNNNSCVIPPWLRNHPTNSTNLSFKYKICCYYACAWKCQQQQGTWLARRPFITKAKKAPMDLTEEEPAVKVAEKKGTIAGAVALIIGTSIGSGILALPKKASPAGIIPSSIAVIVCWGFLLVEALLLIEINVGLMRKKRKREDEVELDVISIRTMAQETLGDFGGTLATVIYVFLGYTSMIAYSSKSGEVLFHLINIPESISGGLFTALFTILISIGGTAATDQVNQWLTVSMIGLLLAIEVIAVLFGGWSGLEGNGNWGKIPATVPVIIFSLVYHDLGPVLCAYLGGDLPRLRASVLLGSIVPLLAVLVWNAVALGLSAQTDQVDPIESLMS >Manes.10G045400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4942322:4945658:1 gene:Manes.10G045400.v8.1 transcript:Manes.10G045400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSLMDIQCMCMSSSSSFLESPKLNNNSCVIPPWLRNHPTNSTNLSFKYKICCYYACAWKCQQQQGTWLARRPFITKAKKAPMDLTEEEPAVKVAEKKGTIAGAVALIIGTSIGSGILALPKKASPAGIIPSSIAVIVCWGFLLVEALLLIEINVGLMRKKRKREDEVELDVISIRTMAQETLGDFGGTLATVIYVFLGYTSMIAYSSKSGEVLFHLINIPESISGGLFTALFTILISIGGTAATDQVNQWLTVSMIGLLLAIEVIAVLFGGWSGLEGNGNWGKIPATVPVIIFSLVYHDLGPVLCAYLGGDLPRLRASVLLGSIVPLLAVLVWNAVALGLSAQTDQVDPIESLMR >Manes.10G045400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4942322:4945658:1 gene:Manes.10G045400.v8.1 transcript:Manes.10G045400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSLMDIQCMCMSSSSSFLESPKLNNNSCVIPPWLRNHPTNSTNLSFKYKICCYYACAWKCQQQQGTWLARRPFITKAKKAPMDLTEEEPAVKVAEKKGTIAGAVALIIGTSIGSGILALPKKASPAGIIPSSIAVIVCWGFLLVEALLLIEINVGLMRKKRKREDEVELDVISIRTMAQETLGDFGGTLATVIYVFLGYTSMIAYSSKSGEVLFHLINIPESISGGLFTALFTILISIGGTAATDQVNQWLTVSMIGLLLAIEVIAVLFGGWSGLEGNGNWGKIPATVPVIIFSLVYHDLGPVLCAYLGGDLPRLRASVLLGSIVPLLAVLVWNAVALGLSAQTDQVDPIESLMSVRWSGVSYMVEAFSLLAIGTSLIGTLLGFSEFFKEQLKNLSWHSSTTRNLQEPRNLDGLRDWWGRNKISFTAMSIVVVPTLLVSTTVPDAFSAATAIAVS >Manes.10G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4942322:4945658:1 gene:Manes.10G045400.v8.1 transcript:Manes.10G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLSLMDIQCMCMSSSSSFLESPKLNNNSCVIPPWLRNHPTNSTNLSFKYKICCYYACAWKCQQQQGTWLARRPFITKAKKAPMDLTEEEPAVKVAEKKGTIAGAVALIIGTSIGSGILALPKKASPAGIIPSSIAVIVCWGFLLVEALLLIEINVGLMRKKRKREDEVELDVISIRTMAQETLGDFGGTLATVIYVFLGYTSMIAYSSKSGEVLFHLINIPESISGGLFTALFTILISIGGTAATDQVNQWLTVSMIGLLLAIEVIAVLFGGWSGLEGNGNWGKIPATVPVIIFSLVYHDLGPVLCAYLGGDLPRLRASVLLGSIVPLLAVLVWNAVALGLSAQTDQVDPIESLMSVRWSGVSYMVEAFSLLAIGTSLIGTLLGFSEFFKEQLKNLSWHSSTTRNLQEPRNLDGLRDWWGRNKISFTAMSIVVVPTLLVSTTVPDAFSAATAIAGGYCMTMLYGILPPAMAWAMYDSERENTSENGLSRARPALVGVGIFASGILVEQIWQDFSALHF >Manes.15G120100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9545721:9552471:1 gene:Manes.15G120100.v8.1 transcript:Manes.15G120100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDDRSSHKRAFLEFLDQNIYKDEIKAMINHKRRRLIINISDLHAFQDFGPRILKDPNEYMQPFCDAATDVARSIDPKYLKEGEQVHVGFEGPFVSRRVTPRELLSEFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPATGDFTTREYRDITSNVGLPTGSVYPTRDNNGNLLVTEYGLCKYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGIYKALPGRSKGSVNGVFRTVLVANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDCSLTPTKNIGLPDSLLSRFDLLFTLLDQMDPDVDRQISEHVLRMHRYRSAIDGGEGTFDGGPRYGREDEADADSSVFVKYNRMLHGRKTQRGRKFDTLTIKFLKKYIHYAKHRIQPELSDEVTKSDVEAALKVLNFAIYHKELAEMEEREQERERELERKRRAEHRSSRNDGDGQNADDIEGTTADAMEVEHPPAEQATTELSSERIEAFNSTFREHMRYLDNITIDDLEKVVNTGDMHYSRDEIMLLLQKLHDENKVMIFDGKVHMVIS >Manes.15G120100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9546089:9552471:1 gene:Manes.15G120100.v8.1 transcript:Manes.15G120100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIYKDEIKAMINHKRRRLIINISDLHAFQDFGPRILKDPNEYMQPFCDAATDVARSIDPKYLKEGEQVHVGFEGPFVSRRVTPRELLSEFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPATGDFTTREYRDITSNVGLPTGSVYPTRDNNGNLLVTEYGLCKYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGIYKALPGRSKGSVNGVFRTVLVANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDCSLTPTKNIGLPDSLLSRFDLLFTLLDQMDPDVDRQISEHVLRMHRYRSAIDGGEGTFDGGPRYGREDEADADSSVFVKYNRMLHGRKTQRGRKFDTLTIKFLKKYIHYAKHRIQPELSDEVTKSDVEAALKVLNFAIYHKELAEMEEREQERERELERKRRAEHRSSRNDGDGQNADDIEGTTADAMEVEHPPAEQATTELSSERIEAFNSTFREHMRYLDNITIDDLEKVVNTGDMHYSRDEIMLLLQKLHDENKVMIFDGKVHMVIS >Manes.03G019900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1705257:1707288:-1 gene:Manes.03G019900.v8.1 transcript:Manes.03G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASKVIMGATLVMVVSLAIVLGLILVLLAELYCTLLLRRRQLGITSSSATITATTNTTSTTANTSSPQPLNQSHSPHTAYYAPGVLQAPRSLCFPTVSCKENEASETKKESSQLHHILEVHTQESNTSPPSTNLPISSHQIREIPIQIICSNDNEKACVGSKQSFVYISNPIYDNDGSVETPFETPDSSPSRLETIGSSSGDEEEIAQPLSSSTPHSLSGSPPLTPMKKLPAEASSVSLRDARSLGTSGSDSVSNNGISSSSSGTPCTSPSW >Manes.05G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6502761:6504252:1 gene:Manes.05G079500.v8.1 transcript:Manes.05G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLELCALLFLMMMLCHRATAQSGCTTALVGLTPCLNYVTGNSSTPSASCCSQLATVVQSQPLCLCALLTGGGSSLGFTINQTQALSLPGACNVQTPPVSQCNAAGNGPSAPPVSSPAIPPADSSDDTPETPNTRSVPIVPAGGGSKTVPAAGGSSAASLTRIQLHLTIFIIFIASCVSDIRF >Manes.08G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2678985:2680911:1 gene:Manes.08G028000.v8.1 transcript:Manes.08G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMAPGFRFYPTEEELVSFYLHNKLEGKRDDLNTAIGRVIPVLDIYDFNPWDLPQLSGELCRKDPEQWFFFIPRQKRETQGGRPSRLTTTGYWKSTGSPGYVYSSSNGRYIGAKRTMVFYKGRIPHGRKTEWKMNEYKVIQEESSSSTCPNPVIRQEFSLCRVYKYSKCRRAFDRRPIGVQIGNPNVPVSNEETRRIQQNPQLTLDRSTFEGSCTEEHGHGHGHGASSQMAMAIDNEPLWDWEHLYNWEDYI >Manes.01G258300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41485760:41486188:-1 gene:Manes.01G258300.v8.1 transcript:Manes.01G258300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHTTYKCLPPVSSHTKAKLTVNSFSPCGDGDGPSECNNQYHSDNELVVALSTEWFDKTSRCLKYLSIHGNGKSINAKVVDECDSTMGCDWDHDYQPPSPNNNVDASKAAWNALGVYDPDEVGEMDIYWYGMMFEGRYEYYSI >Manes.09G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:729137:762134:1 gene:Manes.09G002500.v8.1 transcript:Manes.09G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELFQRGENPVPQVYYNPSLYSTEIDIDSVAQNAVLREQEIATQRVLQVQREAGATPKDDTDIFAERRDPNALKEHLLKMTTEHRAEMALKRRKPAAVEEGHVEIGNGYGVPGGGAYYGSSRPELNKESEKKSASKELPEYLKQKLRARGILKDDTGNGHPLKDDSKLETSSSQPVNIGKLPSGWVEAKDPTSGTTYYYNESIGKSQWERPVEMSSITQMPSPLPLEDWVEAVDETNGQKYYYNTKTNVSQWEHPNSLEIVALQQHCNISDSGNQSWNDQSFELKKCLGCGGWGVGLVQEWGYCNHCSRVLNIAQCHNTTSSFGEQQRTNNFANVKRDSDKRDSKQRSWKPPVAKGNRRESRKRAHTEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKSGSHYAPISKKGDGSDGLGDAD >Manes.12G031400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2706300:2722616:1 gene:Manes.12G031400.v8.1 transcript:Manes.12G031400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRQKGSNALSIFKWRSHGESTLTTNLLGDVPPEIELSDYRRVPSPGSESPSGLLNGESLNVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLAKEALHQHPLTPLTISKAIIVGYLGLFSIYWIFCFLRFFAQLRDILGIRHFYYNSLHVTDNEIQTMPWATILEKVVLLQSSQQLCVVKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISSWVPGAGPTVKSGSNGTQYRLILTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPKTLKKRLMVVGLAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEVDHLFKHRINGSLLHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLEPEGAMSMVVQHTHYMPKRWRGKENSETVRIEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVNVEGVGHVCSFSAFDFQNHGNSNYGSPYHTPHFQRSSQGKMEKSFLSFQSSYPSWEPNAQGKQFLSHLRNFREQKLQGHGVRRVFSHPQTWQGSPNLRGPGNRNTPFSREMPHSTPGCHLGSLWVIDEDQKNHPYLLDWYYTSQPHTSTNHTRDSPVAPFEATEQHPGDYWMPPNFTRNEAMYDDEHWHHQYEDRTESHLGASTSAPLFRESVLQQHDASNLAHSTRSPWWARSGPPGAQPQSSFLEPPDFNQFASDNCYDNFSERSLEEQEHYLNWRSSHRLSRTTYMDDDIEAGENVNLYFGDVYSRQPETPTEHREPKTEFDTRLPL >Manes.12G031400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2706301:2722549:1 gene:Manes.12G031400.v8.1 transcript:Manes.12G031400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRQKGSNALSIFKWRSHGESTLTTNLLGDVPPEIELSDYRRVPSPGSESPSGLLNGESLNVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLAKEALHQHPLTPLTISKAIIVGYLGLFSIYWIFCFLRFFAQLRDILGIRHFYYNSLHVTDNEIQTMPWATILEKVVLLQSSQQLCVVKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISSWVPGAGPTVKSGSNGTQYRLILTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPKTLKKRLMVVGLAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEVDHLFKHRINGSLLHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLEPEGAMSMVVQHTHYMPKRWRGKENSETVRIEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVNVEGVGHVCSFSAFDFQNHGNSNYGSPYHTPHFQRSSQGKMEKSFLSFQSSYPSWEPNAQGKQFLSHLRNFREQKLQGHGVRRVFSHPQTWQGSPNLRGPGNRNTPFSREMPHSTPGCHLGSLWVIDEDQKNHPYLLDWYYTSQPHTSTNHTRDSPVAPFEATEQHPGDYWMPPNFTRNEAMYDDEHWHHQYEDRTESHLGASTSAPLFRESVLQQHDASNLAHSTRSPWWARSGPPGAQPQSSFLEPPDFNQFASDNCYDNFSERSLEEQEHYLNWRSSHRLSRTTYMDDDIEAGENVNLYFGDVYSRQPETPTEHREPKTEFDTRLPL >Manes.12G031400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2706301:2722550:1 gene:Manes.12G031400.v8.1 transcript:Manes.12G031400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRQKGSNALSIFKWRSHGESTLTTNLLGDVPPEIELSDYRRVPSPGSESPSGLLNGESLNVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLAKEALHQHPLTPLTISKAIIVGYLGLFSIYWIFCFLRFFAQLRDILGIRHFYYNSLHVTDNEIQTMPWATILEKVVLLQSSQQLCVVKDLSAHDVVMRLMRKENYLIGMLNKGVLAFPISSWVPGAGPTVKSGSNGTQYRLILTKTLEWTLNWCILQSMFDRNFCVRRDFVSNPKTLKKRLMVVGLAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEVDHLFKHRINGSLLHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLEPEGAMSMVVQHTHYMPKRWRGKENSETVRIEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVNVEGVGHVCSFSAFDFQNHGNSNYGSPYHTPHFQRSSQGKMEKSFLSFQSSYPSWEPNAQGKQFLSHLRNFREQKLQGHGVRRVFSHPQTWQGSPNLRGPGNRNTPFSREMPHSTPGCHLGSLWVIDEDQKNHPYLLDWYYTSQPHTSTNHTRDSPVAPFEATEQHPGDYWMPPNFTRNEAMYDDEHWHHQYEDRTESHLGASTSAPLFRESVLQQHDASNLAHSTRSPWWARSGPPGAQPQSSFLEPPDFNQFASDNCYDNFSERSLEEQEHYLNWRSSHRLSRTTYMDDDIEAGENVNLYFGDVYSRQPETPTEHREPKTEFDTRLPL >Manes.09G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31663623:31670500:1 gene:Manes.09G114500.v8.1 transcript:Manes.09G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMASSSSSSATVAVEKATSDLLIGPDWTMNIDICDSVNSNIWQAKDVVKAVKKRLQHKNPKVQLLALTLLETMVKNCGDYVHFQIAEKNILGEMVKIVKKKADMHVRDKILILLDSWQEAFGGPGGKHSQYYWAYEELRRAGVVFPQRSPDAAPIFTPPVTHSTLRHAQAAYGMPSNSSRRLDETMAMEFEGLSLSGLDSMRSIVELLNDMLQAVNPDDREALKDEIIVDLVNRCRSNQKKLMQMLTTTGDEELLGQGLELNDRIQTLLAKHDAIASGSPMPAEVDNLGPKSTEEYSSNIQPTQVKDASPSSSTNANVSVANVPRSPIDEEDEEEDDFAQLARRHSKPQSSPSESTYGTSEAIASTTTISTPVPCTALALPDPPAPVRTTKEQDIIDLLSITLSTPTSDHPHTPHTPTAATPSMPQVPASSTQGYPYISQTYPGNQGQSTYNNYIVPWAQPQPQHHLQIPSQPHLQPQIQQQMQPQPPLQFQSQSQPQPHTQPQLQFQPQSQSQPQSHPQPQQKSQSSSQSQQPWPHQQFQPQSQSQLQPQPQPQQQFQSPSQSQPQPQQQFQSPSQSQHPPPQQQFQPQPQSLPQPQPQQQFQSPSPSEHPWPQQQFQPQSQPLSQPQPQQQFQIHSQSQRPRPQQQFQSLSQPPLHTQHQQQFRPQSQPQPNAQSQLQYRPESQLQFQSQLQSQNSQYSSGYPPPPWAATPGYANGQSHMSATNNLFSTPRANAGTSYTPEQASRPMQHYNSYPTRGVNGLAMNGDPIVNSGPRNAAPPGQKPFIPSYRLFEDLNVLGNTDGRFKMTSSTSPSLSGPSSQGMVGGRK >Manes.09G114500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31663623:31670500:1 gene:Manes.09G114500.v8.1 transcript:Manes.09G114500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMASSSSSSATVAVEKATSDLLIGPDWTMNIDICDSVNSNIWQAKDVVKAVKKRLQHKNPKVQLLALTLLETMVKNCGDYVHFQIAEKNILGEMVKIVKKKADMHVRDKILILLDSWQEAFGGPGGKHSQYYWAYEELRRAGVVFPQRSPDAAPIFTPPVTHSTLRHAQAAYGMPSNSSRRLDETMAMEFEGLSLSGLDSMRSIVELLNDMLQAVNPDDREALKDEIIVDLVNRCRSNQKKLMQMLTTTGHSKPQSSPSESTYGTSEAIASTTTISTPVPCTALALPDPPAPVRTTKEQDIIDLLSITLSTPTSDHPHTPHTPTAATPSMPQVPASSTQGYPYISQTYPGNQGQSTYNNYIVPWAQPQPQHHLQIPSQPHLQPQIQQQMQPQPPLQFQSQSQPQPHTQPQLQFQPQSQSQPQSHPQPQQKSQSSSQSQQPWPHQQFQPQSQSQLQPQPQPQQQFQSPSQSQPQPQQQFQSPSQSQHPPPQQQFQPQPQSLPQPQPQQQFQSPSPSEHPWPQQQFQPQSQPLSQPQPQQQFQIHSQSQRPRPQQQFQSLSQPPLHTQHQQQFRPQSQPQPNAQSQLQYRPESQLQFQSQLQSQNSQYSSGYPPPPWAATPGYANGQSHMSATNNLFSTPRANAGTSYTPEQASRPMQHYNSYPTRGVNGLAMNGDPIVNSGPRNAAPPGQKPFIPSYRLFEDLNVLGNTDGRFKMTSSTSPSLSGPSSQGMVGGRK >Manes.11G023700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2413890:2417585:1 gene:Manes.11G023700.v8.1 transcript:Manes.11G023700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAKQYDTKMNELLSNEGQEFFTTYDEVYESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDYIRMFVLDEADEMLSRGFKDQIYDIFQLLPAKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFVTRDDERMLFDIQKFYNVVIEELPSNVADLL >Manes.14G085400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7064409:7068763:1 gene:Manes.14G085400.v8.1 transcript:Manes.14G085400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRFVAPLASPQPMTAIRSSLIAEEQTHVGARSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTRAENSRGLWQPFTALLGDAPSVDVKKNVIVTISSDKGLCGGINSTSVKISKALHKLTAGPEKETKYVILGEKAKAQLIRDSKKDIELSITELQKNPLNYTQVSVLADDILKNVEYDALRIVFNKFHSVVSFLPTMSTVLSPEVVEKETESGGKLGDLDSYEIEGGETKGEILQNLAEFQFSCVLFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >Manes.02G213432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:27686087:27686605:1 gene:Manes.02G213432.v8.1 transcript:Manes.02G213432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICLFARKVSCFEILVGLGLLHNCGYLRKNGSKASEPQACKSVLGHHLVLYLRTRTGT >Manes.01G090001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29153528:29164012:1 gene:Manes.01G090001.v8.1 transcript:Manes.01G090001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEKVICSTMDLSNSLPKCAYSPCTARYTSDKDYNPESLKSTATDVSNATDSETRLIKSIVQDDKAQLMSLSRFFLDTIKRSTIDRTVVSKLLHLCCAFDSVDCATSLVNGELGAMPLVNEMDAAGMSPLHTAAKAHAARCVEMLLRKRARTDLKTRDGRGLLPLELSLSKTRMDVIWNPNDHSVEDLVVQLSEKDLTAVKHLSEKTKEIGEVAYASAIGGRVVDLASLLVVAAQKVNESILELHDADLNSKTKTTIYERVIREALSLGRSATLLSAAKRKCSPTKSEITGKRKLLLCEIELFQLFGAVAHTGCAEKKVTSPLILASQAVDEAIIELLLMTNIDVNDVDTEGNSALHWCLKTSKGSCSQQIKIMWLLLKHGARVNQKNKLGLTAVHLAAANGNLQALKVLLLEDPDCVNSKTETKETPLFFAVKNDSKDCAEVLLHWGASTDVFNLRKQRPVDLAESQDMRFLLNPMNISLTNRAFPIQQKYTAWLQGDEVIAETCEELFDWKDEGTTTYRTCTSVKTEICKYFESPTGCVRGSKCFYVHAGEELRQMKQGTEIIHSSTAQDLERKIFVGGLPPSLDSDLLHKFFEEKFGSVADASIVGVQTGDKIQSRGFGFVTFKHKKSVIAAVEAQHVSVMGKQIEIKSALPKCLLSVELQKSPLQNEQEQSDDHLPRSNTTKENNTKEMADWLTPSENAKLDLLSCQSPNQRTEEEMPNCKTTEEEKSMLMSWVDTLICGQPKARSNESQLHKDGMPTWLRTFKKWLPQFLQQVAKREGEYALSSLKADFRAAFGLELDHASLGFPKLSEFMRSFPDLCHIKFVPIGKQKPANHMILLPSLSKSHCQPVQPLNICSPSSHAIESTSNGDSSKAKVFQDIPLVSNENNASTDSSSLWSHQTPEENTEDTLVTVHSTFLQFLKPDLIFHARPWLFVEPLGDTGDTVDRGELGDKFKGWKHIPQERHLVLEVLASKRNNSSVFFLREFDFYDNYKASVVQGRCFGCNQRRVLWANFPCQHLLWCADCKLEITQIASNFEHKCVVCDVKVQKIELIPWHEHCQNTDGAHIDEFAPFKPNYIEKFVQEKISPSTSTLTNSLQLFSEKIEEYKACL >Manes.01G090001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29153528:29164012:1 gene:Manes.01G090001.v8.1 transcript:Manes.01G090001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLEKVICSTMDLSNSLPKCAYSPCTARYTSDKDYNPESLKSTATDVSNATDSETRLIKSIVQDDKAQLMSLSRFFLDTIKRSTIDRTVVSKLLHLCCAFDSVDCATSLVNGELGAMPLVNEMDAAGMSPLHTAAKAHAARCVEMLLRKRARTDLKTRDGRGLLPLELSLSKTRMDVIWNPNDHSVEDLVVQLSEKDLTAVKHLSEKTKEIGEVAYASAIGGRVVDLASLLVVAAQKVNESILELHDADLNSKTKTTIYERVIREALSLGRSATLLSAAKRKCSPTKSEITGKRKLLLCEIELFQLFGAVAHTGCAEKKVTSPLILASQAVDEAIIELLLMTNIDVNDVDTEGNSALHWCLKTSKGSCSQQIKIMWLLLKHGARVNQKNKLGLTAVHLAAANGNLQALKVLLLEDPDCVNSKTETKETPLFFAVKNDSKDCAEVLLHWGASTDVFNLRKQRPVDLAESQDMRFLLNPMNISLTNRAFPIQQKYTAWLQGDEVIAETCEELFDWKDEGTTTYRTCTSVKTEICKYFESPTGCVRGSKCFYVHAGEELRQMKQGTEIIHSSTAQDLERKIFVGGLPPSLDSDLLHKFFEEKFGSVADASIVGVQTGDKIQSRGFGFVTFKHKKSVIAAVEAQHVSVMGKQIEIKSALPKCLLSVELQKSPLQNEQEQSDDHLPRSNTTKENNTKEMADWLTPSENAKLDLLSCQSPNQRTEEEMPNCKTTEEEKSMLMSWVDTLICGQPKARSNESQLHKDGMPTWLRTFKKWLPQFLQQVAKREGEYALSSLKADFRAAFGLELDHASLGFPKLSEFMRSFPDLCHIKFVPIGKQKPANHMILLPSLSKSHCQPVQPLNICSPSSHAIESTSNGDSSKAKVFQDIPLVSNENNASTDSSSLWSHQTPEENTEDTLVTVHSTFLQFLKPDLIFHARPWLFVEPLGDTGDTVDRGELGDKFKGWKHIPQERHLVLEVLASKRNNSSVFFLREFDFYDNYKASVVQGRCFGCNQRRVLWANFPCQHLLWCADCKLEITQIASNFEHKCVVCDVKVQKIELIPWHEHCQNTDGAHIDEFAPFKPNYIEKVRLFKTPPPPEAY >Manes.01G262900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41785400:41791733:-1 gene:Manes.01G262900.v8.1 transcript:Manes.01G262900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEEAKLEGFLQWLEANKVELPGCSIKYCGPNKGFGIFPANNIVDDVLLLVPLDLAITPMRVLQDPLIGPECRAMFEEGEVDDRFLMILFLTLERLRKNSSWKPYLDMLPTTFGNPLWFTDEELLELKGTTLFRATALQKKKLQCLYDDKVKGLVQKLLILSGDSEREVCFEDFLWANSVFWSRALNIPFPRSYIFPQVQEDQGSHSPINNSQISCNSGKDLVDEQDEKGSKCDGDDSKVSGGISTSVEKETIWVEGLVPGIDFCNHDTKAAATWEVDGTGLVTGISFSMYLLSAGQTHLQSEKEISISYGNKGNEELLYLYGFVIDNNPDDYLMVHYPVEAIQNDPFLDSKMQLLEAQKAEMRCLLPKRLLDHGFFPLGPPNNDRNGKCKADQVSNYSWSGQRKTPSYVNKLVFPEDFLTSLRTIAMQEDELYMVSSLLEELVGPEGERQPTDTEVRAAVWEACGDSGALQLLFDLLQMKMMELEESSGTEDYDSELLQKGEHAENLERQGRCRDNLSSKSSGPIQLKFMSRNKWASVVYRRGQKELTRLFLKEAEHALQLSLSEEKN >Manes.14G080900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6721989:6724500:-1 gene:Manes.14G080900.v8.1 transcript:Manes.14G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFKRNPENDPGNRSDPDGQTSVEETERKCGVNPMRMMGGLVVLLMAISVVFSASVVLRDPPSDNALESLLPKAIEAEEQKGLGSERFSKLAGVHQDKLLGGLLSARFSEGTCLSRYQSVAFRKKMPHKPSSYLISRLRRYEILHEKCGPHAESYNKTLEQLKFGRQPESSDCSYVVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGVDMVDLFCEPFPEVSWFLPLDFPLKGQFNSFNQNSPSCYGRMLKDNVSANLHGLKPPSHVYLHLVHDYDDQDKLFFCDENQDFLQKIPWLIMKTDDYFIPSLFLMPSFEQELKNLFPNKETVFHFLGQYLFHPTNSVWGLITRYYQAYLAKANEKIGIQIRIFDSGSGPFQYVLDQILACAVKENLLPEISRQDSITSPSGTKSKAVLVTSLTSWYSQQMRSMYWEHPSTTGELVGIYQPSHEEYQQTEKQIHNRKALAEMFLLSFTDVLITSSWSTFGYVAQGLGGLKPWILYKPENQSAPDPPCGRAMSMEPCFHSPPFYDCKVRRGVDTGALVPHVRHCEDMSWGLKLVNVTDEM >Manes.09G129200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33145471:33148265:1 gene:Manes.09G129200.v8.1 transcript:Manes.09G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIIYYRHQLQVCAKRRSPLIAKQLHAQIVKVGVNESDHLSNTLVDVYGKCGLFQDAYYLFDEMPHRDHVSWASILTAYNQANLPNRTLSIFPSMFTLDRLQPDHFVYATLVKACASLGAIRQGKQVHAQFILSPFYDDDVVKSSLVDMYAKCGLPNIARVVFNSILKENPVSWTSMISGYARGGLKAEAVDLFLRAPVRNLYSWTALISGLVQSGHGIDASFLFIEMRRQRVDIVDPLVLSSIVGACANIAVLEFGKQIHGLVIALGYESCSFISNALVDMYAKCSDILAAKNIFDEIMHKDVVSWTSMIVGAAQHGRANEALALYDDMVLAEVRPNEVTFVGLIYSCSHAGLVSKGRELFKSMTEEYGIKPSLQHFTCLLDLLSRSGHLDEAENLINTMPFKPDEPTWAALLSACKHHGNTEMGIRIANKTLSLKPEDPSTYVLLSNIYAGAGMWEHMSMVRKLMAAREVKKEPGFSTITFGKEIQVFHAGETCHPMKDEIVGLLKELDEEMRRRGYVPDTSFVLHDMEEVEKERQLFWHSERLAVAYGLLKSVPGTVIRIVKNLRVCGDCHTVFKLLSSIVEREIIVRDATRYHHFKGGKCSCNDFW >Manes.02G182800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14599430:14601867:-1 gene:Manes.02G182800.v8.1 transcript:Manes.02G182800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSIPCIRIPNSSSSCSSPPCSSSSTTSTSSCFRFSTATKPYSFTIRSSQAEGPIRRPVAPPLREPSPPAPSPPLKPVPPSPPSSPVAPPPKPVAQVAVGDKNVITLEFQRQKAKELQDYFKQKKLEETDQGPFFGFLGKNEIANGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGIVDLE >Manes.18G013740.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1518619:1524536:1 gene:Manes.18G013740.v8.1 transcript:Manes.18G013740.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMIETDKSRDVRRVASRFSRQIKQSGHKHISAALNGRANSSNQDEYKMDCELADREESLPSQAPSVSGSGGKRFKLPRKQFFDDCNGVDHVSVPRKLRSAMKKRNRESFLSPPLPNSKKLNRSSSGVKSPKMVGLKKSKQNLKQGSPNWSLKHIVGGPITKDEEEVVETLYALAGMFPNIEADRSNNLDGVSSEASPSALQEASERLEPKLEASATIKEDLNGIGRPRTDEAVNPASDIERSPEETTKLYTPITQEPYDLTSNKKHGELDSSIAQVGLHKTVNYEEQKPACNLVNFCFPRGTDQDASTLRQPAKLENLLDRMMEISLAQTPAIGSQPDQQHAIGKSKNNGPILWPGLSSAVSSSACHGPLSQPDLLRNGSIGKVLKVSTDRRSWKRCAAHVYIGCLIRALQIPERKESFSMPPPSQLRPHEVLKQGVLMTINDFSDIRNDLKGIKAASTVNAVLKNSNDTKSGILQHLKPHQDHSQAALASGAYTCQKKGFNFLSLSAGGGAMEPNNRSNGTGNGLEPCAQMQIPYHLQNPTLMPFSMSQTRLTPAYPDQPSAAAAAQQAQLQFPTHLTSPYFVPHASSKALTKLPQQQLWAAQLAAQYRNTGTSTAMTHFPSWPNGRQDSPGLMPYVSPSTSTLDVPGPKYPQISQQQQQLMAITLPHARMKRQSVYEENGVRF >Manes.18G013740.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1518619:1524531:1 gene:Manes.18G013740.v8.1 transcript:Manes.18G013740.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMIETDKSRDVRRVASRFSRQIKQSGHKHISAALNGRANSSNQDEYKMDCELADREESLPSQAPSVSGSGGKRFKLPRKQFFDDCNGVDHVSVPRKLRSAMKKRNRESFLSPPLPNSKKLNRSSSGVKSPKMVGLKKSKQNLKQGSPNWSLKHIVGGPITKDEEEVVETLYALAGMFPNIEADRSNNLDGVSSEASPSALQEASERLEPKLEASATIKEDLNGIGRPRTDEAVNPASDIERSPEETTKLYTPITQEPYDLTSNKKHGELDSSIAQVGLHKTVNYEEQKPACNLVNFCFPRGTDQDASTLRQPAKLENLLDRMMEISLAQTPAIGSQPDQQHAIGKSKNNGPILWPGLSSAVSSSACHGPLSQSCAAKIPAWLGTRPDLLRNGSIGKVLKVSTDRRSWKRCAAHVYIGCLIRALQIPERKESFSMPPPSQLRPHEVLKQGVLMTINDFSDIRNDLKGIKAASTVNAVLKNSNDTKSGILQHLKPHQDHSQAALASGAYTCQKKGFNFLSLSAGGGAMEPNNRSNGTGNGLEPCAQMQIPYHLQNPTLMPFSMSQTRLTPAYPDQPSAAAAAQQAQLQFPTHLTSPYFVPHASSKALTKLPQQQLWAAQLAAQYRNTGTSTAMTHFPSWPNGRQDSPGLMPYVSPSTSTLDVPGPKYPQISQQQQQLMAITLPHARMKRQSVYEENGVRF >Manes.18G013740.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1518619:1524531:1 gene:Manes.18G013740.v8.1 transcript:Manes.18G013740.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMIETDKSRDVRRVASRFSRQIKQSGHKHISAALNGRANSSNQDEYKMDCELADREESLPSQAPSVSGSGGKRFKLPRKQFFDDCNGVDHVSVPRKLRSAMKKRNRESFLSPPLPNSKKLNRSSSGVKSPKMVGLKKSKQNLKQGSPNWSLKHIVGGPITKDEEEVVETLYALAGMFPNIEADRSNNLDGVSSEASPSALQEASERLEPKLEASATIKEDLNGIGRPRTDEAVNPASDIERSPEETTKLYTPITQEPYDLTSNKKHGELDSSIAQVGLHKTVNYEEQKPACNLVNFCFPRGTDQDASTLRQPAKLENLLDRMMEISLAQTPAIGSQPDQQHAIGKSKNNGPILWPGLSSAVSSSACHGPLSQSCAAKIPAWLGTRPDLLRNGSIGKVLKVSTDRRSWKRCAAHVYIGCLIRALQIPERKESFSMPPPSQLRPHEVLKQGVLMTINDFSDIRNDLKGIKAASTVNAVLKNSNDTKSGILQHLKPHQDHSQAALASGAYTCQKKGFNFLSLSAGGGAMEPNNRSNGTGNGLEPCAQMQIPYHLQNPTLMPFSMSQTRLTPAYPDQPSAAAAAQQAQLQFPTHLTSPYFVPHASSKALTKLPQQQLWAAQLAAQYRNTGTSTAMTHFPSWPNGRQDSPGLMPYVSPSTSTLDVPGPKYPQISQQQQQLMAITLPHARMKRQSVYEENGVRF >Manes.18G013740.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1517847:1525268:1 gene:Manes.18G013740.v8.1 transcript:Manes.18G013740.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMIETDKSRDVRRVASRFSRQIKQSGHKHISAALNGRANSSNQDEYKMDCELADREESLPSQAPSVSGSGGKRFKLPRKQFFDDCNGVDHVSVPRKLRSAMKKRNRESFLSPPLPNSKKLNRSSSGVKSPKMVGLKKSKQNLKQGSPNWSLKHIVGGPITKDEEEVVETLYALAGMFPNIEADRSNNLDGVSSEASPSALQEASERLEPKLEASATIKEDLNGIGRPRTDEAVNPASDIERSPEETTKLYTPITQEPYDLTSNKKHGELDSSIAQVGLHKTVNYEEQKPACNLVNFCFPRGTDQDASTLRQPAKLENLLDRMMEISLAQTPAIGSQPDQQHAIGKSKNNGPILWPGLSSAVSSSACHGPLSQSCAAKIPAWLGTRPDLLRNGSIGKVLKVSTDRRSWKRCAAHVYIGCLIRALQIPERKESFSMPPPSQLRPHEVLKQGVLMTINDFSDIRNDLKGIKAASTVNAVLKNSNDTKSGILQHLKPHQDHSQAALASGAYTCQKKGFNFLSLSAGGGAMEPNNRSNGTGNGLEPCAQMQIPYHLQNPTLMPFSMSQTRLTPAYPDQPSAAAAAQQAQLQFPTHLTSPYFVPHASSKALTKLPQQQLWAAQLAAQYRNTGTSTAMTHFPSWPNGRQDSPGLMPYVSPSTSTLDVPGPKYPQISQQQQQLMAITLPHARMKRQSVYEENGVRF >Manes.18G013740.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1518619:1524536:1 gene:Manes.18G013740.v8.1 transcript:Manes.18G013740.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMIETDKSRDVRRVASRFSRQIKQSGHKHISAALNGRANSSNQDEYKMDCELADREESLPSQAPSVSGSGGKRFKLPRKQFFDDCNGVDHVSVPRKLRSAMKKRNRESFLSPPLPNSKKLNRSSSGVKSPKMVGLKKSKQNLKQGSPNWSLKHIVGGPITKDEEEVVETLYALAGMFPNIEADRSNNLDGVSSEASPSALQEASERLEPKLEASATIKEDLNGIGRPRTDEAVNPASDIERSPEETTKLYTPITQEPYDLTSNKKHGELDSSIAQVGLHKTVNYEEQKPACNLVNFCFPRGTDQDASTLRQPAKLENLLDRMMEISLAQTPAIGSQPDQQHAIGKSKNNGPILWPGLSSAVSSSACHGPLSQSCAAKIPAWLGTRPDLLRNGSIGKVLKVSTDRRSWKRCAAHVYIGCLIRALQIPERKESFSMPPPSQLRPHEVLKQGVLMTINDFSDIRNDLKGIKAASTVNAVLKNSNDTKSGILQHLKPHQDHSQAALASGAYTCQKKGFNFLSLSAGGGAMEPNNRSNGTGNGLEPCAQMQIPYHLQNPTLMPFSMSQTRLTPAYPDQPSAAAAAQQAQLQFPTHLTSPYFVPHASSKALTKLPQQQLWAAQLAAQYRNTGTSTAMTHFPSWPNGRQDSPGLMPYVSPSTSTLDVPGPKYPQISQQQQQLMAITLPHARMKRQSVYEENGVRF >Manes.05G031900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2706128:2708043:1 gene:Manes.05G031900.v8.1 transcript:Manes.05G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNANLSSFMFSHASGPEVLQTHVCQLNQSPWDVIPFAQDTYPSSLHQFEGEDSFNGNGSLGDSIGAVESSVASLMEDAEDKAAIKMRVDNMVIDDNYEMEGIAEKKKRVLNDYEEMKMVNEFKFKKCCNKTDGKGWHCKNEPREGHSMCDHHLNVTCCVGLPTSPSSKKQDKGIAGAGGRRGRAKATKKGSSSNSNPYEFYYYSGFGPLWGKRRGDRGEGNKGGDKEIESNGIISVADISTPQNTTSCVAPSPIEDLEDFDYVDEDDDDEEDNQNSDSRKKRVRKPVKARSLKSLM >Manes.05G031900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2706128:2708043:1 gene:Manes.05G031900.v8.1 transcript:Manes.05G031900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKNANLSSFMFSHASGPEVLQTHVCQLNQSPWDVIPFAQDTYPSSLHQFEGEDSFNGNGSLGDSIGAVESVASLMEDAEDKAAIKMRVDNMVIDDNYEMEGIAEKKKRVLNDYEEMKMVNEFKFKKCCNKTDGKGWHCKNEPREGHSMCDHHLNVTCCVGLPTSPSSKKQDKGIAGAGGRRGRAKATKKGSSSNSNPYEFYYYSGFGPLWGKRRGDRGEGNKGGDKEIESNGIISVADISTPQNTTSCVAPSPIEDLEDFDYVDEDDDDEEDNQNSDSRKKRVRKPVKARSLKSLM >Manes.09G140200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33945919:33949827:-1 gene:Manes.09G140200.v8.1 transcript:Manes.09G140200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVISMHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTSIGVEHDSFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDLCLDRVRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLATHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITSSVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVSTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLARVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGENEDEGEDY >Manes.16G106100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31170570:31173405:1 gene:Manes.16G106100.v8.1 transcript:Manes.16G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRCKMLPTMLFMSLFILTFMFSSSSTSTAYVNEEGDLETYIVLLKKPEGNLFTESKDLESWYRSFLAADTSDKPRLIHHYRHVATGFAAKLTADEAKAMEMREELLLFRPQRMVPLHTTRTPSFLGLQQNLGFWKSSNYGEGVIIGVVDSGIAPDHPSFSGEGMPPPPAKWKGKCEFNATLCNNKLIGLRNFDGTSNDMFDRDKHGTHTSGTAAGSPVKGASLFGQANGTAIGMAPLAHLAMYKVSGRDNKTGEAEVLAAMDAAIDDGVDVLSLSIGYNSHPFYDDAIAIGAYAAIQKGIFVSCSAGNDGPVYRTLSNEAPWILTVGASTHDREIRATVLLGNNKELNGESLFQPKDFHSSQLPLVYAGASGNASSASCEKGSLRKVDVKGKVVLCEGGSETISKGKEVKRNRGAAIIIMNDEIEGFYIQPDHHVLPASHVSYAAGSAIKAYINSTSSPTATILFKGTVTGVPTAPQVAWFSSRGPSIASPGILKPDIIGPGQNILAAYPVSVDLITKFGMISGTSMSCPHLSGIAALLKSAHPNWSPAAVKSAIMTTANLRNLGGEPISEPIKLRPANFFDMGAGHVNPSRANDPGLVYDLKPNDYIPYLCGLGYSDKQVRIIVQQKVKCSNKSSIPESQLNYPSFSILLGSTLQKYTRKVTNVGRPNSVYSIDISSPEGVLVKVTPRTIRFSRLNQKATYSVTFSKRGNVSISFAEGYLKWVTDGYSVGSPIAVLFT >Manes.16G086600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29346999:29349426:1 gene:Manes.16G086600.v8.1 transcript:Manes.16G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMKKGTWKCIILSCYKTEPLPESENQALTHSSFRRLSLSDVNNPSSPLSVEDLSNSFAGPKLHIFTFAELRVITQSFSRNNLLGEGGFGPVYKGFIDDKLRPGLEAQPVAVKSLDLDGMQGHNEWMAEIIFLGQLRHPHLVKLIGYCCEEDQRLLVYEYMPRGSLENQLFRRYSATLSWSTRMKIALGAAKGLAFLHETDKPVIFRDFKSSNILLDSDYTAKLSDFGLARDGPEGEETHVTTRVMGTQGYAAPEYVMTGHLTTMSDVYSFGVVLLELLTGKRSMDETRPGREQSLVEWVRPLLKDPNKLDRTIDPRLEGQFSTSGALKAAALAYKCLSHHPKTRPTMSYVVQVLESLQNFDDTFVAPFVYVVPNENGSQKCFEMEAGVKTEGENDGNEDNDHHKTRHRHRRSWRHRIKLPLPRVAYSDSALYENIGNGFISPYTPRKEEA >Manes.17G027700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19748135:19753976:-1 gene:Manes.17G027700.v8.1 transcript:Manes.17G027700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDPPETSRFFHSRPNSATSMSPQSSPSQSPRLGSSRLINNDLCFNSQHSKPFLLSIFLGLRDQQQRLVNRTMKRKVGRVCHQRKRVKGLLGVIGLVGFFFLINWFMLLRLQDHRIADLQNHSSQNSPPSLLVSNKEKWRKVSKGKRLQKGTYERMLALASHALAENKREPKDLWKEPFAEASAWIPCADQRNWDPSGGSNGYILVTANGGMNQQRVAVCNAVVIARLLNSTLVVPKFMYSSVWKDVSQFSDIYQEEHFINYLTPDIRIVKELPEELQSLDLEAIGSVVTDVDIQKESKPSFYLKNILPILLKNRVVHFIGFGNRLAFDPIPFQLQRLRCRCNFHALQFVPKIQQTGALLLQRLRKCASHPGQLDHHLVGPYTKSNVKEKGGHAGKPSRFLALHLRFEIDMVAHSLCEYGGGEEERKVLEAYRAIHFPSLAHLEKTKGLPSPSALRSEGLCPLTPEEAVLMLAALGFNRKTHIFVAGANIYGGQRRLAALTSLYPNLVTKEKLLSATELEPFSNFSSQVTKGNSSILFSTLVFCFSALFILMH >Manes.17G027700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19748135:19753975:-1 gene:Manes.17G027700.v8.1 transcript:Manes.17G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDPPETSRFFHSRPNSATSMSPQSSPSQSPRLGSSRLINNDLCFNSQHSKPFLLSIFLGLRDQQQRLVNRTMKRKVGRVCHQRKRVKGLLGVIGLVGFFFLINWFMLLRLQDHRIADLQNHSSQNSPPSLLVSNKEKWRKVSKGKRLQKGTYERMLALASHALAENKREPKDLWKEPFAEASAWIPCADQRNWDPSGGSNGYILVTANGGMNQQRVAVCNAVVIARLLNSTLVVPKFMYSSVWKDVSQFSDIYQEEHFINYLTPDIRIVKELPEELQSLDLEAIGSVVTDVDIQKESKPSFYLKNILPILLKNRVVHFIGFGNRLAFDPIPFQLQRLRCRCNFHALQFVPKIQQTGALLLQRLRKCASHPGQLDHHLVGPYTKSNVKEKGGHAGKPSRFLALHLRFEIDMVAHSLCEYGGGEEERKVLEAYRAIHFPSLAHLEKTKGLPSPSALRSEGLCPLTPEEAVLMLAALGFNRKTHIFVAGANIYGGQRRLAALTSLYPNLVTKEKLLSATELEPFSNFSSQLAALDFIACTAADAFAMTDSGSQLSSLVAGYRTYYGGGRMPTIRPNKRRLAAIFMKNSTIEWKVFEQRVRKAVRQTKHVFERPVARSVYRFPRCKECMCFKE >Manes.17G027700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19748135:19753975:-1 gene:Manes.17G027700.v8.1 transcript:Manes.17G027700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDPPETSRFFHSRPNSATSMSPQSSPSQSPRLGSSRLINNDLCFNSQHSKPFLLSIFLGLRDQQQRLVNRTMKRKVGRVCHQRKRVKGLLGVIGLVGFFFLINWFMLLRLQDHRIADLQNHSSQNSPPSLLVSNKEKWRKVSKGKRLQKGTYERMLALASHALAENKREPKDLWKEPFAEASAWIPCADQRNWDPSGGSNGYILVTANGGMNQQRVAVCNAVVIARLLNSTLVVPKFMYSSVWKDVSQFSDIYQEEHFINYLTPDIRIVKELPEELQSLDLEAIGSVVTDVDIQKESKPSFYLKNILPILLKNRVVHFIGFGNRLAFDPIPFQLQRLRCRCNFHALQFVPKIQQTGALLLQRLRKCASHPGQLDHHLVGPYTKSNVKEKGGHAGKPSRFLALHLRFEIDMVAHSLCEYGGGEEERKVLEAYRAIHFPSLAHLEKTKGLPSPSALRSEGLCPLTPEEAVLMLAALGFNRKTHIFVAGANIYGGQRRLAALTSLYPNLVTKEKLLSATELEPFSNFSSQPSIQFATNNCRGLLL >Manes.17G027700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19748135:19753975:-1 gene:Manes.17G027700.v8.1 transcript:Manes.17G027700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDPPETSRFFHSRPNSATSMSPQSSPSQSPRLGSSRLINNDLCFNSQHSKPFLLSIFLGLRDQQQRLVNRTMKRKVGRVCHQRKRVKGLLGVIGLVGFFFLINWFMLLRLQDHRIADLQNHSSQNSPPSLLVSNKEKWRKVSKGKRLQKGTYERMLALASHALAENKREPKDLWKEPFAEASAWIPCADQRNWDPSGGSNGYILVTANGGMNQQRVAVCNAVVIARLLNSTLVVPKFMYSSVWKDVSQFSDIYQEEHFINYLTPDIRIVKELPEELQSLDLEAIGSVVTDVDIQKESKPSFYLKNILPILLKNRVVHFIGFGNRLAFDPIPFQLQRLRCRCNFHALQFVPKIQQTGALLLQRLRKCASHPGQLDHHLVGPYTKSNVKEKGGHAGKPSRFLALHLRFEIDMVAHSLCEYGGGEEERKVLEAYRAIHFPSLAHLEKTKGLPSPSALRSEGLCPLTPEEAVLMLAALGFNRKTHIFVAGANIYGGQRRLAALTSLYPNLVTKEKLLSATELEPFSNFSSQVVS >Manes.11G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2712850:2715204:-1 gene:Manes.11G028100.v8.1 transcript:Manes.11G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVVDELGFTDLFNQLLPTMPWNSLMDRMMKELHSQGKTIEHIVDVLKRTPIHPRVVPAIKSAHALGCELRIVSDANMFFIETILKHLGLRDYFSEINTNPGFVDEEGRLRISPYHDFTQSSHGCCRCPPNMCKGLIIERIQASIAKEGNKKIIYLGDGVGDYCPSLKLTEADYLMPRKNFPVWDLICRNPMLIKAEIHEWTDGEELERVLLQIIGASSMEDSNGKSAQLFSADCKLQTITIGAHEAFPKALAVPQ >Manes.05G142800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24367252:24370168:-1 gene:Manes.05G142800.v8.1 transcript:Manes.05G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKSKLRISHSFPFSQLTLRPFSASSPSPSIETSLSTQAAALSPEEDELNLQDDDLNDDEKAISTQTAALSPEESVVADKFHSLIKEHHRKNPNSDFTTHLSADFSQVLRFHPVSISSSIVRHVIEKCGAVRHGIPVVQARAFFNWATGADGFVHSSEPYNEMMDLAGKVRQFDLAWHIIDLMKGRNVEISTKTFSILIRRYVRAGLVAEAIHAFNRMEDYNCKPDKIVFSTLISYLCRKRKASEAESFFNGLKDKFEPDVILYTNLVRGWCRAGNISEAERVFGEMKMAGIKPNVYTYSIVIDALCRCGQITRAHDVFSEMLEVGCEPNAVTFNNLMRIHVKSGRTEKVLQVYNQMKRLGCPPDTITYNFLIETHCKDKNLDNAIKLLNSMVREGCRPNASTFNVLFGCIANLQDANAAHRMYDKMKQLNCKPNTVTYNILMRMFAVSKSIDMVLKFKKEMDENDVEANVNTYQILIAMFCQMGHWNNAYKFLTEMIEEKSLKPSLQIYEMVLQQLNKAGQLKKHEDLVQKMANRRFVTHPL >Manes.04G110500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31468536:31469208:1 gene:Manes.04G110500.v8.1 transcript:Manes.04G110500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNNTAAMRHSSPSYGSDPSSPNTTFVLADPSTFRTIVQKLTGAPDDLSTQKLPLTDHPIKLHERRQNAKNLQINLNSSSTINSGFEHPHDLLQFRQRAGFMVSPVSTLDFFGARTSPRSPCEEFCSRGSSPREEEERAIAEKGFYLHPSPLSTPRGAEPPKLLPLFPLRSPRDDNGDQDDDRNSCS >Manes.07G074028.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15604700:15650450:-1 gene:Manes.07G074028.v8.1 transcript:Manes.07G074028.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWLLYLLLKDRKSWPLYPLLFKLPRSALEVAKKMLTKPSRNPVAATVEKEAGWLLLSSLLSSMPKEELQDQVFDILSLWAPLFGGNPEQEIKQIGDLTPSICADLAVVLMQEGLAHILLVGKS >Manes.05G192781.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31586388:31590356:1 gene:Manes.05G192781.v8.1 transcript:Manes.05G192781.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSETKFLQELVLYAASAALSCLVLFAGLRHLDPNREASKKALEQKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFDSIGGLESIKQSLYELVILPLRRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALTNMKTEFMALWDGFTTDQNAQVMVLAATNRPSELDEAILRRLPQAFQIGMPDRRERVDILKVILKGEKVEEGIDFDYIASLCEGYTGSDLLELCKKAAYFPIRDLLDEEKKGKRYSAPRPLRQSDLENVVATSTKTRIAANEYTRSNSQSPGWSRQSDDHVQATINELSKLVVSQILNIQSDAQDH >Manes.10G140400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30804618:30816015:-1 gene:Manes.10G140400.v8.1 transcript:Manes.10G140400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQVMPACDTLCFFCPALRTRSRQPIKRYKKLLADIFPRAPDEQPNDRKIGKLCEYASKNPLRIPKITSSLEQRCYKDLRTEQFHSVKVVMCIYRKLLVSCKDQMPLFASSLLSLIHILLDQTRHDDIRILGCQALFDFVNNQRDGTYVFNLDGLVPKLCHLAQEMGEDGKVENLRTAGLQALSSMVWFMGEFSHISADFDTVVSVVLDNYECEKNNSDADDFQSECVEEGSPSPDGLTRIPSWRKIVSEQGDVNISQEDYNNPTFWSRVCLHNMAQLAKEATTVRRVLESLFRYFDDGELWSPQYGLALSVLMDMQLIIEKSGQKTHFVLSILIKHLDHKNVLKKPNMQLDIVNVSTCLVQRTKIQPSVAIIGALSDMMRHLRKSIHLSLDDAELGTEVIEWNRKFRTAVDECLVQIAYKVGDADPILDVMAVMLENMPSITVMARTLINTVYRTAQIVASLPNLAYQNKAFPEALFHQLLLAMVYEDHETRVAAHRIFSVVLVPSSFCPRSATATTSIPNKAPNMQRMLSRTVSVFSSSAALFEKMKKEEHTKQENTSVDTQQKVVANDPSMLTRLKSSYSRAYSVKRNPSANENSTENNPSMLNRLKSSYSRAYSMKKIPSPVTEEEITTTIPIATKEQIMSLRLSSRQITLLLSSIWAQSLSPLNFPQNYEAIAHTYSLVLLFSRSKNTSNETLIRSFQLAFSLRGFALAGGPLQPSRRRSLFTLATSMIIFSSKAFNVRPVVSCARATLKDKTVDPFLQLVDECKLQVNKQLVDNPRKVYGSKEDNEDAQQSLSAIKLTESQSKESFASMISKFLGTSADQDSVIRQELLKDFVPDDVCPLGADLFMEMPEETSEPAVEDKGSEKAEPPLFTSDDGPVPNTSEGQKDRGLLLSLDSPPLLSVGELLNTVSETTNQVGRFSISTANDMPYMEMAGHCEALSAGKQKKMSALMSQQSVIKVSACDQIQPMQGYEHNQAVEMSTDFHFQQVLYIHLQSYIGYLSIFFLVH >Manes.10G140400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30804618:30816015:-1 gene:Manes.10G140400.v8.1 transcript:Manes.10G140400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQVMPACDTLCFFCPALRTRSRQPIKRYKKLLADIFPRAPDEQPNDRKIGKLCEYASKNPLRIPKITSSLEQRCYKDLRTEQFHSVKVVMCIYRKLLVSCKDQMPLFASSLLSLIHILLDQTRHDDIRILGCQALFDFVNNQRDGTYVFNLDGLVPKLCHLAQEMGEDGKVENLRTAGLQALSSMVWFMGEFSHISADFDTVVSVVLDNYECEKNNSDADDFQSECVEEGSPSPDGLTRIPSWRKIVSEQGDVNISQEDYNNPTFWSRVCLHNMAQLAKEATTVRRVLESLFRYFDDGELWSPQYGLALSVLMDMQLIIEKSGQKTHFVLSILIKHLDHKNVLKKPNMQLDIVNVSTCLVQRTKIQPSVAIIGALSDMMRHLRKSIHLSLDDAELGTEVIEWNRKFRTAVDECLVQIAYKVGDADPILDVMAVMLENMPSITVMARTLINTVYRTAQIVASLPNLAYQNKAFPEALFHQLLLAMVYEDHETRVAAHRIFSVVLVPSSFCPRSATATTSIPNKAPNMQRMLSRTVSVFSSSAALFEKMKKEEHTKQENTSVDTQQKVVANDPSMLTRLKSSYSRAYSVKRNPSANENSTENNPSMLNRLKSSYSRAYSMKKIPSPVTEEEITTTIPIATKEQIMSLRLSSRQITLLLSSIWAQSLSPLNFPQNYEAIAHTYSLVLLFSRSKNTSNETLIRSFQLAFSLRGFALAGGPLQPSRRRSLFTLATSMIIFSSKAFNVRPVVSCARATLKDKTVDPFLQLVDECKLQVNKQLVDNPRKVYGSKEDNEDAQQSLSAIKLTESQSKESFASMISKFLGTSADQDSVIRQELLKDFVPDDVCPLGADLFMEMPEETSEPAVEDKGSEKAEPPLFTSDDGPVPNTSEGQKDRGLLLSLDSPPLLSVGELLNTVSETTNQVGRFSISTANDMPYMEMAGHCEALSAGKQKKMSALMSQQSVIKVSACDQIQPMQGYEHNQAVEMSTDFHFQQYGAGNPFLDQNFGPNSLNSTTPAGLFLCTAEYQQVQHFQLPASSPYDNFLKAAGC >Manes.10G140400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30804618:30816015:-1 gene:Manes.10G140400.v8.1 transcript:Manes.10G140400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQVMPACDTLCFFCPALRTRSRQPIKRYKKLLADIFPRAPDEQPNDRKIGKLCEYASKNPLRIPKITSSLEQRCYKDLRTEQFHSVKVVMCIYRKLLVSCKDQMPLFASSLLSLIHILLDQTRHDDIRILGCQALFDFVNNQRDGTYVFNLDGLVPKLCHLAQEMGEDGKVENLRTAGLQALSSMVWFMGEFSHISADFDTVVSVVLDNYECEKNNSDADDFQSECVEEGSPSPDGLTRIPSWRKIVSEQGDVNISQEDYNNPTFWSRVCLHNMAQLAKEATTVRRVLESLFRYFDDGELWSPQYGLALSVLMDMQLIIEKSGQKTHFVLSILIKHLDHKNVLKKPNMQLDIVNVSTCLVQRTKIQPSVAIIGALSDMMRHLRKSIHLSLDDAELGTEVIEWNRKFRTAVDECLVQIAYKVGDADPILDVMAVMLENMPSITVMARTLINTVYRTAQIVASLPNLAYQNKAFPEALFHQLLLAMVYEDHETRVAAHRIFSVVLVPSSFCPRSATATTSIPNKAPNMQRMLSRTVSVFSSSAALFEKMKKEEHTKQENTSVDTQQKVVANDPSMLTRLKSSYSRAYSVKRNPSANENSTENNPSMLNRLKSSYSRAYSMKKIPSPVTEEEITTTIPIATKEQIMSLRLSSRQITLLLSSIWAQSLSPLNFPQNYEAIAHTYSLVLLFSRSKNTSNETLIRSFQLAFSLRGFALAGGPLQPSRRRSLFTLATSMIIFSSKAFNVRPVVSCARATLKDKTVDPFLQLVDECKLQVNKQLVDNPRKVYGSKEDNEDAQQSLSAIKLTESQSKESFASMISKFLGTSADQDSVIRQELLKDFVPDDVCPLGADLFMEMPEETSEPAVEDKGSEKAEPPLFTSDDGPVPNTSEGQKDRGLLLSLDSPPLLSVGELLNTVSETTNQVGRFSISTANDMPYMEMAGHCEALSAGKQKKMSALMSQQSVIKVSACDQIQPMQGYEHNQAVEMSTDFHFQQYGAGNPFLDQNFGPNSLNSTTPAGLFLCTAEYQQVQHFQLPASSPYDNFLKAAGC >Manes.10G140400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30804617:30816016:-1 gene:Manes.10G140400.v8.1 transcript:Manes.10G140400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQVMPACDTLCFFCPALRTRSRQPIKRYKKLLADIFPRAPDEQPNDRKIGKLCEYASKNPLRIPKITSSLEQRCYKDLRTEQFHSVKVVMCIYRKLLVSCKDQMPLFASSLLSLIHILLDQTRHDDIRILGCQALFDFVNNQRDGTYVFNLDGLVPKLCHLAQEMGEDGKVENLRTAGLQALSSMVVSVVLDNYECEKNNSDADDFQSECVEEGSPSPDGLTRIPSWRKIVSEQGDVNISQEDYNNPTFWSRVCLHNMAQLAKEATTVRRVLESLFRYFDDGELWSPQYGLALSVLMDMQLIIEKSGQKTHFVLSILIKHLDHKNVLKKPNMQLDIVNVSTCLVQRTKIQPSVAIIGALSDMMRHLRKSIHLSLDDAELGTEVIEWNRKFRTAVDECLVQIAYKVGDADPILDVMAVMLENMPSITVMARTLINTVYRTAQIVASLPNLAYQNKAFPEALFHQLLLAMVYEDHETRVAAHRIFSVVLVPSSFCPRSATATTSIPNKAPNMQRMLSRTVSVFSSSAALFEKMKKEEHTKQENTSVDTQQKVVANDPSMLTRLKSSYSRAYSVKRNPSANENSTENNPSMLNRLKSSYSRAYSMKKIPSPVTEEEITTTIPIATKEQIMSLRLSSRQITLLLSSIWAQSLSPLNFPQNYEAIAHTYSLVLLFSRSKNTSNETLIRSFQLAFSLRGFALAGGPLQPSRRRSLFTLATSMIIFSSKAFNVRPVVSCARATLKDKTVDPFLQLVDECKLQVNKQLVDNPRKVYGSKEDNEDAQQSLSAIKLTESQSKESFASMISKFLGTSADQDSVIRQELLKDFVPDDVCPLGADLFMEMPEETSEPAVEDKGSEKAEPPLFTSDDGPVPNTSEGQKDRGLLLSLDSPPLLSVGELLNTVSETTNQVGRFSISTANDMPYMEMAGHCEALSAGKQKKMSALMSQQSVIKVSACDQIQPMQGYEHNQAVEMSTDFHFQQYGAGNPFLDQNFGPNSLNSTTPAGLFLCTAEYQQVQHFQLPASSPYDNFLKAAGC >Manes.10G140400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30804618:30813389:-1 gene:Manes.10G140400.v8.1 transcript:Manes.10G140400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYRKLLVSCKDQMPLFASSLLSLIHILLDQTRHDDIRILGCQALFDFVNNQRDGTYVFNLDGLVPKLCHLAQEMGEDGKVENLRTAGLQALSSMVWFMGEFSHISADFDTVVSVVLDNYECEKNNSDADDFQSECVEEGSPSPDGLTRIPSWRKIVSEQGDVNISQEDYNNPTFWSRVCLHNMAQLAKEATTVRRVLESLFRYFDDGELWSPQYGLALSVLMDMQLIIEKSGQKTHFVLSILIKHLDHKNVLKKPNMQLDIVNVSTCLVQRTKIQPSVAIIGALSDMMRHLRKSIHLSLDDAELGTEVIEWNRKFRTAVDECLVQIAYKVGDADPILDVMAVMLENMPSITVMARTLINTVYRTAQIVASLPNLAYQNKAFPEALFHQLLLAMVYEDHETRVAAHRIFSVVLVPSSFCPRSATATTSIPNKAPNMQRMLSRTVSVFSSSAALFEKMKKEEHTKQENTSVDTQQKVVANDPSMLTRLKSSYSRAYSVKRNPSANENSTENNPSMLNRLKSSYSRAYSMKKIPSPVTEEEITTTIPIATKEQIMSLRLSSRQITLLLSSIWAQSLSPLNFPQNYEAIAHTYSLVLLFSRSKNTSNETLIRSFQLAFSLRGFALAGGPLQPSRRRSLFTLATSMIIFSSKAFNVRPVVSCARATLKDKTVDPFLQLVDECKLQVNKQLVDNPRKVYGSKEDNEDAQQSLSAIKLTESQSKESFASMISKFLGTSADQDSVIRQELLKDFVPDDVCPLGADLFMEMPEETSEPAVEDKGSEKAEPPLFTSDDGPVPNTSEGQKDRGLLLSLDSPPLLSVGELLNTVSETTNQVGRFSISTANDMPYMEMAGHCEALSAGKQKKMSALMSQQSVIKVSACDQIQPMQGYEHNQAVEMSTDFHFQQYGAGNPFLDQNFGPNSLNSTTPAGLFLCTAEYQQVQHFQLPASSPYDNFLKAAGC >Manes.11G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13164960:13174500:1 gene:Manes.11G084500.v8.1 transcript:Manes.11G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADTMSGPLDRHIMPGLEKSNIENMEEERKNRLRALKKKAITASNKFRNSLTKKGRRNSRVMSVAIEDNIDAEELQAVDAFRQVLILDELLPSKHDDHHLMLRFLRARKYDVDKAKQMWSDMLQWRREFGTETIMEDFEFKELDEVLKYYPQGYHGIDKEGRPVYIEKLGEVDATKLLQVTTLDRYVKYHVWEFEKTFTYKLPACSIAAKKHIDQSTTILDVQGVGLKHFNKTARELITRIQKIDGDNYPETLNRMFIINGGSGFRLLWNTVKSFLDPKTAAKIHVLGNKYQSKLLEIIDACELPEFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVQNGVAKCNRPLSGIEEKTISEDEEVCTKMKKRDDSFIVERAVDFQHPKYPVPEEFLISKKCNITKNSKVIPMVDKSVDTAWPTKMQKHSYNSKDHFPAGVVCKPNGINNPIMSGFFAFLMGIIAVVRMTRSVPRKLTEAAIYGSQVLYDDGMMKSPTVQEPSISTAEYKNMMLRMAEMEEKMNVLASRADTMPPEKEEMLNTALRRADVLELELLATKKALEDAVAKQQQLLEYIEKKKKKKKKFFVF >Manes.11G084500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13164960:13174500:1 gene:Manes.11G084500.v8.1 transcript:Manes.11G084500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADTMSGPLDRHIMPGLEKSNIENMEEERKNRLRALKKKAITASNKFRNSLTKKGRRNSRVMSVAIEDNIDAEELQAVDAFRQVLILDELLPSKHDDHHLMLRFLRARKYDVDKAKQMWSDMLQWRREFGTETIMEDFEFKELDEVLKYYPQGYHGIDKEGRPVYIEKLGEVDATKLLQVTTLDRYVKYHVWEFEKTFTYKLPACSIAAKKHIDQSTTILDVQGVGLKHFNKTARELITRIQKIDGDNYPETLNRMFIINGGSGFRLLWNTVKSFLDPKTAAKIHVLGNKYQSKLLEIIDACELPEFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVQNGVAKCNRPLSGIEEKTISEDEEVCTKMKKRDDSFIVERAVDFQHPKYPVPEEFLISKKCNITKNSKVIPMVDKSVDTAWPTKMQKHSYNSKDHFPAGVVCKPNGINNPIMSGFFAFLMGIIAVVRMTRSVPRKLTEAAIYGSQVLYDDGMMKSPTVQEPSISTAEYKNMMLRMAEMEEKMNVLASRADTMPPEKEEMLNTALRRADVLELELLATKKALEDAVAKQQQLLEYIEKKKKKKKKFFVF >Manes.11G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13169155:13174713:1 gene:Manes.11G084500.v8.1 transcript:Manes.11G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADTMSGPLDRHIMPGLEKSNIENMEEERKNRLRALKKKAITASNKFRNSLTKKGRRNSRVMSVAIEDNIDAEELQAVDAFRQVLILDELLPSKHDDHHLMLRFLRARKYDVDKAKQMWSDMLQWRREFGTETIMEDFEFKELDEVLKYYPQGYHGIDKEGRPVYIEKLGEVDATKLLQVTTLDRYVKYHVWEFEKTFTYKLPACSIAAKKHIDQSTTILDVQGVGLKHFNKTARELITRIQKIDGDNYPETLNRMFIINGGSGFRLLWNTVKSFLDPKTAAKIHVLGNKYQSKLLEIIDACELPEFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVQNGVAKCNRPLSGIEEKTISEDEEVCTKMKKRDDSFIVERAVDFQHPKYPVPEEFLISKKCNITKNSKVIPMVDKSVDTAWPTKMQKHSYNSKDHFPAGVVCKPNGINNPIMSGFFAFLMGIIAVVRMTRSVPRKLTEAAIYGSQVLYDDGMMKSPTVQEPSISTAEYKNMMLRMAEMEEKMNVLASRADTMPPEKEEMLNTALRRADVLELELLATKKALEDAVAKQQQLLEYIEKKKKKKKKFFVF >Manes.11G084500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13169315:13174500:1 gene:Manes.11G084500.v8.1 transcript:Manes.11G084500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADTMSGPLDRHIMPGLEKSNIENMEEERKNRLRALKKKAITASNKFRNSLTKKGRRNSRVMSVAIEDNIDAEELQAVDAFRQVLILDELLPSKHDDHHLMLRFLRARKYDVDKAKQMWSDMLQWRREFGTETIMEDFEFKELDEVLKYYPQGYHGIDKEGRPVYIEKLGEVDATKLLQVTTLDRYVKYHVWEFEKTFTYKLPACSIAAKKHIDQSTTILDVQGVGLKHFNKTARELITRIQKIDGDNYPETLNRMFIINGGSGFRLLWNTVKSFLDPKTAAKIHVLGNKYQSKLLEIIDACELPEFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVQNGVAKCNRPLSGIEEKTISEDEEVCTKKRDDSFIVERAVDFQHPKYPVPEEFLISKKCNITKNSKVIPMVDKSVDTAWPTKMQKHSYNSKDHFPAGVVCKPNGINNPIMSGFFAFLMGIIAVVRMTRSVPRKLTEAAIYGSQVLYDDGMMKSPTVQEPSISTAEYKNMMLRMAEMEEKMNVLASRADTMPPEKEEMLNTALRRADVLELELLATKKALEDAVAKQQQLLEYIEKKKKKKKKFFVF >Manes.05G150000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25341183:25343304:1 gene:Manes.05G150000.v8.1 transcript:Manes.05G150000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLILLLLALPFLLSFVLRKHKTKRNLHLPPGPKGLPFIGNLHQFDSLNPHTYLWQLSQKHGPLMSLRLGFVPILVVSSAKMAKAVMKTHDLIFCSRPALVGQQKLSYNGLDLAFSPYNAYWREIRKICMVYLFNSNRVQTFRPIREFEVSHMLEKISKSAVALKPVNLSEAMMSLTSTIICRVAFGKRYEEDGVERSRFQELLKETQALFTCFFVSDYYPFLGFIDKFTGLVHRLEKNFKEFDIFYEQIIQEHLQPSRSKPGEEDILDILLQLWKNRSFKVDLTFDHIKAVLMNVFVGGTDTGAATVVWAMTLLMKNPITMKKAQEEIRKLVGKKGFVEEDDVQQLPYLKAVIKETMRLQPTVPLLVPRESTEDCVLDGYDIPAKTVVYVNAWAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFGAGRRICPGIFMGLATVEVSLANLLYKFDWEMPVGMKKEDLDMVVQPGITMHKKNALCLMARKYL >Manes.17G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34380047:34385870:-1 gene:Manes.17G119500.v8.1 transcript:Manes.17G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAFTLSRITDLSLKHLTSSPSTTPFSRHNLFARPPPSVSSAAAVTVSCLSSGGGVSDDFVSTRKSSFDRGFLVIANMLKRIEPLDTSVISKGVSDSAKDSMKQTISTMLGLLPSDQFSVMIRVSKHPLDSLLISAVITGYTLWNAEYRISLMRNLDISVENSEKLNSSEQNEESIEQSEESESRGGEIGIQDLEISPKVFGDLSPEALNYIQKLQSELSNVEQELDAQKKETMQIECNRGSRNDLLEYLRSLDSEMVSELSRPSSIEVEDIIHQLVQNIVRRFFKDDPTSNLIGHSIIGNTEDHKDTADEFCDTIRTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >Manes.15G123600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9837991:9847348:1 gene:Manes.15G123600.v8.1 transcript:Manes.15G123600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRSLQCLLSRVCRETRRNYGALPEGTTPSSSQQLISLEYEFSAHNYHPVPIVFSQAKGSSIWDPEGNKYLDFLSAYSAVNQGHCHPKIMKALQDQAEKLTLSSRAFYNDRFPIFAEHLTSMFGYDMVLPMNTGAEGVETALKLARKWGYEKKNIPKDEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGPLLPGHLKVDFGDEVTLEKIFKERGDQIAGFLFEPIQGEAGVIIPPDGYLKAVRQLCSKYNVLMIADEIQTGLARTGKMLACDWEQVRPDVVILGKALGGGVIPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAIASLDVIKDEGLAERSANLGKELMDQLLKVQQQFPDYIKEVRGRGLFNAVEFNSKTLFPVSAYDICLKLKERGILAKPTHDTIVRLTPPLSIR >Manes.15G123600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9838148:9845030:1 gene:Manes.15G123600.v8.1 transcript:Manes.15G123600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRSLQCLLSRVCRETRRNYGALPEGTTPSSSQQLISLEYEFSAHNYHPVPIVFSQAKGSSIWDPEGNKYLDFLSAYSAVNQGHCHPKIMKALQDQAEKLTLSSRAFYNDRFPIFAEHLTSMFGYDMVLPMNTGAEGVETALKLARKWGYEKKNIPKDEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGPLLPGHLKVDFGDEVTLEKIFKERGDQIAGFLFEPIQGEAGVIIPPDGYLKAVRQLCSKYNVLMIADEIQTGLARTGKMLACDWEQVRPDVVILGKALGGGVIPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAIASLDVIKDEGLAERSANLGKELMDQLLKVQQQFPDYIKEVRGRGLFNAVEFNSKTLFPVSAYDICLKLKERGILAKPTHDTIVRLTPPLSISLDELREGSKALHEVLEHDLPNMRKAKPEKASPPGSTICDRCGRNLYASCD >Manes.15G123600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9837965:9847348:1 gene:Manes.15G123600.v8.1 transcript:Manes.15G123600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRSLQCLLSRVCRETRRNYGALPEGTTPSSSQQLISLEYEFSAHNYHPVPIVFSQAKGSSIWDPEGNKYLDFLSAYSAVNQGHCHPKIMKALQDQAEKLTLSSRAFYNDRFPIFAEHLTSMFGYDMVLPMNTGAEGVETALKLARKWGYEKKNIPKDEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGPLLPGHLKVDFGDEVTLEKIFKERGDQIAGFLFEPIQGEAGVIIPPDGYLKAVRQLCSKYNVLMIADEIQTGLARTGKMLACDWEQVRPDVVILGKALGGGVIPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAIASLDVIKDEGLAERSANLGKELMDQLLKVQQQFPDYIKEVRGRGLFNAVEFNSKTLFPVSAYDICLKLKERGILAKPTHDTIVRLTPPLSISLDELREGSKALHEVLEHDLPNMRKAKPEKASPPGSTICDRCGRNLYASCD >Manes.04G096000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30187405:30188619:1 gene:Manes.04G096000.v8.1 transcript:Manes.04G096000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEVVQLDDSNGEIRPDPNLSFEHPYPPTKTMFIPDKECQKPDLLATSSDFLRLWRIGDDQSRVELKSVLNGNKSSEFCGPITSFDWNEVDPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHHASVNALAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Manes.04G096000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30187405:30190481:1 gene:Manes.04G096000.v8.1 transcript:Manes.04G096000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEVVQLDDSNGEIRPDPNLSFEHPYPPTKTMFIPDKECQKPDLLATSSDFLRLWRIGDDQSRVELKSVLNGNKSSEFCGPITSFDWNEVDPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHHASVNALAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Manes.04G096000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30187401:30190481:1 gene:Manes.04G096000.v8.1 transcript:Manes.04G096000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEVVQLDDSNGEIRPDPNLSFEHPYPPTKTMFIPDKECQKPDLLATSSDFLRLWRIGDDQSRVELKSVLNGNKSSEFCGPITSFDWNEVDPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHHASVNALAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Manes.04G096000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30187399:30190481:1 gene:Manes.04G096000.v8.1 transcript:Manes.04G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEVVQLDDSNGEIRPDPNLSFEHPYPPTKTMFIPDKECQKPDLLATSSDFLRLWRIGDDQSRVELKSVLNGNKSSEFCGPITSFDWNEVDPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHHASVNALAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Manes.15G048800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3788902:3792579:-1 gene:Manes.15G048800.v8.1 transcript:Manes.15G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKVVIARPVTFVTGNAKKLEEVRAIIGKSIPLRSLKIDLPELQGEPEDISKEKARLAAKKVKGPVLVEDTCLCYNALKGLPGPYVKWHLDKTGLEGLNNLLAAYEDKSAYALCTFSFALDAESEPVTFLGKTMGKIVPPRGPKDFGWDPIFQPDGYEQTFAEMPKEEKNKISHRYKALLSVKDYFADSGFAFETDDSKENEQNASNKINNDPSVNNEKDGSTPNKRKREDDGVREDDGVAEATEKDDAARKKPKPKGDEK >Manes.13G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3246201:3255332:1 gene:Manes.13G023000.v8.1 transcript:Manes.13G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFKGGPNKQHTSFNCHAAAHISCGYTGTTVNRMGVLSRSMVSRKPNETTRLIITTFLGVAFGFFIGVSFPTLSLSKLNISSTLIPSVDLSYIDTRKLSVSTEMASNSWYSRKSSSNDATQVQNLNGTSKIWIPSNPQGAERLPSGIIAAESDFYLRRLWGNPNEDLTRTPKYLVTFTVGYDQKKNIDANVKKFSENFTVLLFHYDGRVSEWDEFEWSKQAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDQEVHKITEEKPGWCTDPHLPPCAAFVEIMAPVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQTVPSLGNQGESQNGKAPWQGVRERCRKEWTMFQNRMTNAEDAYFRSKAIESSNSTAH >Manes.04G036150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4747577:4758176:1 gene:Manes.04G036150.v8.1 transcript:Manes.04G036150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKPSSSLEILVREPEGFCVWNGPPFGNGEPSIKLEKVPCSSATFSEDGSRLMVMKPESVICIYDCSSFKEMRSFQVSNVLAAALSPCGTYLQTFQKSLTPQDKNVVLWKIDNGDAVYHQFQKNMTKTTWPSIRFSSDEAVACRMATNEMQFFDPRDFSKGIIHQLRVPGVAAIELAKVPGSHVAAYVPESKGSPASVQIFPCGKDMQSQPVARRSFFRCSSVQLNWNCGSTGLLVVVQSDVDKTNQSYYGESKLNYLTTDGTHEGLVPLRKEGPVHDVQWSYSGSEFAVVYGFMPASATLFDKKCKPLLELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMAFWDYANKKQLGTTRAECSVTSEWSPDGCYFMTATTAPRLQVDNGIKIFHYNGSLYFKKMLDKLYQAEWKPESPERFGEIPELAKSFDSLKVEETKPQGQGAISSKSASVRPPAQKPAAYRPPHAKNAAAVQAELFGGSSTEQMSKNALKNKKKREKQKEKKAAAAAEGS >Manes.04G036150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4747577:4753752:1 gene:Manes.04G036150.v8.1 transcript:Manes.04G036150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKPSSSLEILVREPEGFCVWNGPPFGNGEPSIKLEKVPCSSATFSEDGSRLMVMKPESVICIYDCSSFKEMRSFQVSNVLAAALSPCGTYLQTFQKSLTPQDKNVVLWKIDNGDAVYHQFQKNMTKTTWPSIRFSSDEAVACRMATNEMQFFDPRDFSKGIIHQLRVPGVAAIELAKVPGSHVAAYVPESKGSPASVQIFPCGKDMQSQPVARRSFFRCSSVQLNWNCGSTGLLVVVQSDVDKTNQSYYGESKLNYLTTDGTHEGLVPLRKEGPVHDVQWSYSGSEFAVVYGFMPASATLFDKKCKPLLELGTGPYNTIRWNPKGKFLCLAGFGNLPGDMAFWDYANKKQLGTTRAECSVTSEWSPDGCYFMTATTAPRLQVDNGYAQS >Manes.14G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6077060:6082496:-1 gene:Manes.14G073400.v8.1 transcript:Manes.14G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPEVLREQIEKLEMMKADGALDKARKHKKRQLEDTLNMVLKKRKEYEEKMKEKGETPVMFSHLGPPRRRTSAEEEERVKHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLSAGASSSNTESEDAALAVPPPPPPPPPPLPESSTLASGDNSVLPTSLPLPPPPPMPPKPPAPGLGVSLPPPPPGPPPNDQVASHTLLPPPPPLQQSAQPPPPGTSETELGRNSSTLSDEPTSKGTVQLPTLLSPPPPLPGMVLKSASNQSEGVSSEVDGNNPTTTKDNVKMVPPPPPPPRQPPPVPGPTMIPTIQPDVLPPGLSHLPPPPPPPPGMRAPVAQVAPGLPGQVAPPGVMVPLMPRPPYGPPPGPPPMMRPPLPPGPPPALQQEDAAMRPTAPQKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRRETAVPKSKPKSTVSTAAAVATRPAAPIIMKPESTNLPSASKTPSIDDSYMAFLEDMKALGALDA >Manes.14G073400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6077060:6082496:-1 gene:Manes.14G073400.v8.1 transcript:Manes.14G073400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRKEYEEKMKEKGETPVMFSHLGPPRRRTSAEEEERVKHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLSAGASSSNTESEDAALAVPPPPPPPPPPLPESSTLASGDNSVLPTSLPLPPPPPMPPKPPAPGLGVSLPPPPPGPPPNDQVASHTLLPPPPPLQQSAQPPPPGTSETELGRNSSTLSDEPTSKGTVQLPTLLSPPPPLPGMVLKSASNQSEGVSSEVDGNNPTTTKDNVKMVPPPPPPPRQPPPVPGPTMIPTIQPDVLPPGLSHLPPPPPPPPGMRAPVAQVAPGLPGQVAPPGVMVPLMPRPPYGPPPGPPPMMRPPLPPGPPPALQQEDAAMRPTAPQKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRRETAVPKSKPKSTVSTAAAVATRPAAPIIMKPESTNLPSASKTPSIDDSYMAFLEDMKALGALDA >Manes.12G151750.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35975417:35985740:-1 gene:Manes.12G151750.v8.1 transcript:Manes.12G151750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAHNLFMASIFLLLFQFLSLFFTASAQPRYSNISLGSSLTPTTNSSWLSPSGVFAFGFYPQANDYRIGVFLAGIQNKTVVWTANRDDQPVSSNATLLFTDNSGLVLQAQGRNTSLIVPSESASSASLSDLGNFVLYNSDGDIIWQSFDHPTDTLLPTQRLQPNANLYSAVSQTDHSTGIFRLNMQEDGNLVLYAVTPITVTTQDAYWATGTNGQGNNVTLNLDEDGHLYLLNYTGFNIILNLTDGGFPTKETIYILRFDFDGILRLYSYNLTQNGTWNVLWSPTDDKCAPKGMCGLNSYCVLNDQEPDCKCLPGFEHVVQNNWTAGCERNLFAETCRGDNGDIRIEEVANTVWEDNPYSILLISMKEDCERACLQDCYCDVAFFKYGQCKKQRLPLRYGKRNMGDSNSALVKVGKSISISNPTDRVDPIKKKINQLGRGFLIASVSIVALGIVMLAISGILFYRSRAQAYNANENILLCEEYALRSFTFAELEKVTNGFKEEIGEGSFGTVYKGLIISTTQKAVAVKRLEGIVSQGEKEFQTEVKVIGKTHHKNLVRLLGYCNEASNRLLVYEYMSNGSLADVLSTAKKRPCFAERLEIARNIARGILYLHEECETQIMHCDIKPENILMDENTSPKISDFGLAKLLKPNQTKTFTGIRGTRGYVAPEWHRNLPVTVKADVYSFGVVLLEIICCRRHVDHDLPEMESILVDWVYNCFEGGEIEKLVSDDEEVDMKQMDRMIKVGLWCTLDEPSIRPSMKKVVLMLEGTVDIPMPPSPTSFLSCI >Manes.11G085970.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:13650856:13651275:-1 gene:Manes.11G085970.v8.1 transcript:Manes.11G085970.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAAEKAPAEKKPRAEKKLPKEGAIDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Manes.04G143400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34191231:34191680:-1 gene:Manes.04G143400.v8.1 transcript:Manes.04G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLWYGLVLFGTIAIAFAVYLLVMTRWCTPHDVFSHPGLTSPAQQITSASLNLSTYSASTFKYKKGIGNSEVPETECIICLSDFEDEEYVRQLSHCRHLFHATCVDMWLYSHSDCPLCRTPIHRLDSNDGVLTTENSTEGLLDIRISS >Manes.16G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31686334:31690059:1 gene:Manes.16G111400.v8.1 transcript:Manes.16G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLFPIPFPISTPLWNPNPNPSQSSSPTHQRPPTEIRFSRWNNANAREFNERRRAQKEIEDDIRRYRRFDSATKIVRNYDSGIANASIETFKSIGTPSSPSAPSIRGRKSKYSKPESPDSHPAFRGKSRIAEQTQPKTDGIPIDRNANIKISEDGLSYVIDGAPFEFKYSYTETPRIKPVKLREEPFSPFGPTTTARPWTGRAPLPPSKKKLREFDSFKLPPPDKKGVKPVQSPGPFLPGSGPRYVYTREEILGEPLTREEVKALIDGCLKSKRQLNIGRDGLTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMDNVCQQLEERTGGKIIYRKAGVLYLFRGRNYNYKTRPRFPLMLWKPVTPVYPRLIQRVPEGLTLEEASEMRKKGRKLIPICKLAKNGVYCNLVKDVREAFEECELVRINCQGINGSDYRKIGAKLKELVPCVLISFEHEHILMWRGRDWKSSFTKPVNDSQEAKGSDADSAISIVPPMEDQKIEMVCVKDVISVPNIGMTPVGCQEQTEDTSVVQLDDASAAMDTSSIIGETCETNTMLHNAGSFAINESESIGSDNEINVAISVGSDTSLKSVGSTEEVQDGLEGSPDLNEPTKDVPYANGVLQLRELAVENGRAVVLADANLDADTVYQRAVALARSAPPSPVFRHLPKKVAIQQGEKQEVGDLQVKEVTTVAQTEVKERRSFKLKRRNDSSEQYTDSAPQGSLRVDELAKLLAE >Manes.01G094200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29596486:29599623:1 gene:Manes.01G094200.v8.1 transcript:Manes.01G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITSSSKEVDSPTKKEGDTSDGKISNGSSSSTSWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPMPPVNFGLNHPPILTSSHEFGASQSNKEGFKTNGTINWEDPGGLARPNFWSSDSMLKNKSKEVARDSTHEKENWSKGNDQEDNKLHSSLPGLINNNGIPYGSLFQLEHTNFPLSHLGSHGFAAQTADLHNVNAVSSPSTLSLSPGSQIFVCPSGTAQPYFPSHITAASTEVDPRQINHLQILTSSTQNFFPNTLAPTPYTMTQSARPLHFSATPRLFHSHSSGSHQPDKDQDFHCK >Manes.03G142150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27043957:27046166:-1 gene:Manes.03G142150.v8.1 transcript:Manes.03G142150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERIESATKLGRIPEEQRSKHEGFSQWDSYSSRLDHDTILQILIDGKDPNAKDIDGCALPTLVYLAREKRPQHPHHFKAGAMNALIRVSSKISNGPIILNLDCDMYSNNSLSVHDALCFFLDEEKSHEVAFVQYPQHFENITKNDVYSNSLRVVINVEFHGLDGFGGPTYCGTGCFQRRDVLCGRKFTKDSKFEWKKDDHKRLRSILELEQETKSLASCTYEQNTQWGKEMGLIYGCLLEDAITGLSIHCRGWKSVYINPERKAFTGLAPTTLSQTLVQHKRWAEGAFQILFCNYSPLSYARGEISFGLQLGYCYYCFWCPSSIPVLYYCIFPSLYLLKGISLFPQISSPWFLPFAYVISTRYIYSLAEFLWSGGTILGWWCEQRMWLYKRTSSFFFGFIDTILKMLGFTESTFVVTAKFTDEDVLKRYEKEKMEFGDSSPMFAILATLAMLNLFCFIGVVNKVIMNGDVFSLYKTMPLQTLLCIALVLINLPLYQGLFLRNDNGKLPSSLAFKSFVVALLACSSFTLLY >Manes.01G096300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29692708:29698737:-1 gene:Manes.01G096300.v8.1 transcript:Manes.01G096300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSICFSSSVIKWYSFHNLKLLFVFWILTSSLNGVVLGDSDKSVLLEFKNSVSDPSGLLSRWNLINPNHCSWPGVSCDKDSRVVSLNITGHCNNRGKNSENRRCFFCSGSVHYPLYGFGIRRDCQGGNGILVGKLIPAIAMLTELRVLSLPFNGFSGEIPGEIWGMQKLEVLDLEGNTVTGSLPFSFTGLRNLRVLNLGFNDIVGEIPSSLSYCTNLEILNLAGNSINGTIPAFVGGFRGVYLSLNKLGGAVPREIGDNCETLEHLDLSGNFFVGGIPGSLGNCGNMRTLLLYSNLFEEVIPSELGMLRKLEVLDVSRNSLSGSIPHELGNCSGLSVLVLSNVFDPYQDVNSYRRDHLSDQLSSANEDFNFFHGEIPVEIATLPNLRMLWAPSATLEGSLPSNWGACEKLEMINLASNFFSGEIPHEFIRCNKLWHLELSYNKLNGQLVEELPVPCMTVFDVSGNSLSGSIPSFYNGSCQSVPSIYGYPSGIYDPSSAYLSFFANKAKSGRPVLSVERDGEIAILHNFGGNNFSGSLHSMPIAPVRLGKQTTYAFLAGGNKLTGPFPGILFDKCSELDKFILNVSNNIMAGQIPADISAKCRSLKLLDVSNNHIVDFIPPSVGEMVSLVSLNLSWNFLQGQIPTSISQIKGLKYLSLAGNKMNGSIPYSLGESWSLEVLDLSSNMLSGEIPKSLVNLKNLTALLLNDNKLSGQIPSGLANVTMLSAFNVSFNNLSGPLPFGNNLMKCSSVLGNPYLRPCHVFSLTAPTPDPGSATVAQGNALSPPSQSRKSGNNGFNSIEIASIASASAIVSVLLALIVLFIYTRKWSPKSKIMASTRKEVTIFTDIGVPLTFENVVRITGSFNASNCIGNGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWACMLLKQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Manes.01G096300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29692894:29698552:-1 gene:Manes.01G096300.v8.1 transcript:Manes.01G096300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSICFSSSVIKWYSFHNLKLLFVFWILTSSLNGVVLGDSDKSVLLEFKNSVSDPSGLLSRWNLINPNHCSWPGVSCDKDSRVVSLNITGHCNNRGKNSENRRCFFCSGSVHYPLYGFGIRRDCQGGNGILVGKLIPAIAMLTELRVLSLPFNGFSGEIPGEIWGMQKLEVLDLEGNTVTGSLPFSFTGLRNLRVLNLGFNDIVGEIPSSLSYCTNLEILNLAGNSINGTIPAFVGGFRGVYLSLNKLGGAVPREIGDNCETLEHLDLSGNFFVGGIPGSLGNCGNMRTLLLYSNLFEEVIPSELGMLRKLEVLDVSRNSLSGSIPHELGNCSGLSVLVLSNVFDPYQDVNSYRRDHLSDQLSSANEDFNFFHGEIPVEIATLPNLRMLWAPSATLEGSLPSNWGACEKLEMINLASNFFSGEIPHEFIRCNKLWHLELSYNKLNGQLVEELPVPCMTVFDVSGNSLSGSIPSFYNGSCQSVPSIYGYPSGIYDPSSAYLSFFANKAKSGRPVLSVERDGEIAILHNFGGNNFSGSLHSMPIAPVRLGKQTTYAFLAGGNKLTGPFPGILFDKCSELDKFILNVSNNIMAGQIPADISAKCRSLKLLDVSNNHIVDFIPPSVGEMVSLVSLNLSWNFLQGQIPTSISQIKGLKYLSLAGNKMNGSIPYSLGESWSLEVLDLSSNMLSGEIPKSLVNLKNLTALLLNDNKLSGQIPSGLANVTMLSAFNVSFNNLSGPLPFGNNLMKCSSVLGNPYLRPCHVFSLTAPTPDPGSATVAQGNALSPPSQSRKSGNNGFNSIEIASIASASAIVSVLLALIVLFIYTRKWSPKSKIMASTRKEVTIFTDIGVPLTFENVVRITGSFNASNCIGNGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWACMLLKQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Manes.01G096300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29692708:29698737:-1 gene:Manes.01G096300.v8.1 transcript:Manes.01G096300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSICFSSSVIKWYSFHNLKLLFVFWILTSSLNGVVLGDSDKSVLLEFKNSVSDPSGLLSRWNLINPNHCSWPGVSCDKDSRVVSLNITGHCNNRGKNSENRRCFFCSGSVHYPLYGFGIRRDCQGGNGILVGKLIPAIAMLTELRVLSLPFNGFSGEIPGEIWGMQKLEVLDLEGNTVTGSLPFSFTGLRNLRVLNLGFNDIVGEIPSSLSYCTNLEILNLAGNSINGTIPAFVGGFRGVYLSLNKLGGAVPREIGDNCETLEHLDLSGNFFVGGIPGSLGNCGNMRTLLLYSNLFEEVIPSELGMLRKLEVLDVSRNSLSGSIPHELGNCSGLSVLVLSNVFDPYQDVNSYRRDHLSDQLSSANEDFNFFHGEIPVEIATLPNLRMLWAPSATLEGSLPSNWGACEKLEMINLASNFFSGEIPHEFIRCNKLWHLELSYNKLNGQLVEELPVPCMTVFDVSGNSLSGSIPSFYNGSCQSVPSIYGYPSGIYDPSSAYLSFFANKAKSGRPVLSVERDGEIAILHNFGGNNFSGSLHSMPIAPVRLGKQTTYAFLAGGNKLTGPFPGILFDKCSELDKFILNVSNNIMAGQIPADISAKCRSLKLLDVSNNHIVDFIPPSVGEMVSLVSLNLSWNFLQGQIPTSISQIKGLKYLSLAGNKMNGSIPYSLGESWSLEVLDLSSNMLSGEIPKSLVNLKNLTALLLNDNKLSGQIPSGLANVTMLSAFNVSFNNLSGPLPFGNNLMKCSSVLGNPYLRPCHVFSLTAPTPDPGSATVAQGNALSPPSQSRKSGNNGFNSIEIASIASASAIVSVLLALIVLFIYTRKWSPKSKIMASTRKEVTIFTDIGVPLTFENVVRITGSFNASNCIGNGGFGATYKAEISPGVLVAIKRLAVGRFQGVQQFHAEIKTLGRLRHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWACMLLKQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Manes.06G109900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24204365:24207615:1 gene:Manes.06G109900.v8.1 transcript:Manes.06G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPNSFPILSYVMGRLPSFRPKSADTTLDIEQPPPRASSDPSSSQAPIVSQLPHLTDPKVIASMTRAISDVAQTRSVLQTLGPRPDHETVDTSRLKLSEIESNLSKQLEEIVLSSRPAEVDRLEWRAHLADKEQQCRQTAEKEKNLYQTILQLDEMHESYQKLLKAAEQRLVKIYEKAEMGEADDELKEEEVSVQLNEEVVGILQEASGKTLERVDLSNKGLLLLPEAFGRIHGLRVLNLSNNQLEFIPDSIAGLENLEELNLASNLLEALPDSIGLLLNLKILDASSNKLLSLPDSISHCRSLVELDVSFNGLTYLPTNIGYELVNLKRLSIQLNKIRSLPTSVGEMRSLQHLDAHFNELQGLPLSIGRLTNLEILNLSNNFSDLTELPDTFGDLTNLKELDLSNNQIQVLPDTFGRLDNLTTLNLEQNPLIIPPSELVKEGTEAVKIFMAKRWLDILIEEERKSMLEVQEQAETGWLTRSTSWLKNYAAGVSGYLSPRSPKDAYLDQQL >Manes.08G009700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210779:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1211656:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPGIVLSAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210779:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210904:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210779:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPGIVLSAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210904:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPGIVLSAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210779:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210779:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPGIVLSAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1211656:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210779:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPGIVLSAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1211656:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210779:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1211656:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPGIVLSAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.08G009700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1203104:1210779:-1 gene:Manes.08G009700.v8.1 transcript:Manes.08G009700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESQVSLDTNVHSHTENTSIQHGDTGTSSIPDHDKLQQPVKKPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALETQLLKDRKKNVRKRPSQVENGSPTVPSTITSQNRASAHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKPGIVLSAAYKKWEKAAIAGVSLVADAAEHLERTATDKEGENDHHVPEHKSPDAIEKGLPSLPSFSQNHYVEVNAQTNIKLKLQLFPIDDDTRRALEMDKHNPYLELTLSTRKKISSVLEHLYRKWGNSSIASGELMLFPYTAHRENLVSYQRWTRDSIVSASDVYASIGSPPVFRLRYGWFSNIELANAMLQAPSASNFIPGGNSVDMESEKRKIVDSVSTSGLSTNDRSEKNMDFYKDQLSAGNKSHASAPPTTDVLNDYIAEGPRNNFVGSSVPAAKVSWHENEIGNKTNMRQLHDAGDQKLCNGIASSAGEWADSLTNISVGDLLSEVPHNVDPNCIEALTAQSSHCLQEMPFSCDSFDAAIAAHMSRHQSKMVFPSTVTSNTSSIWDAEETCDAFSFQKNHAPHLEIPTSIDVVPQGADKQTNSMVSGAFVEGLPSGEGPVDYPAETDPMDDCSTDPLVVDNLAKDFTGLTDIYWPESLGPLDLDIPSSRYHSEEFLLSDSLSGLNRLIASSLDAFQSCSFFGLDKKDSIPVVEARESSSFSDFKIGSGV >Manes.16G016500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1637017:1639485:1 gene:Manes.16G016500.v8.1 transcript:Manes.16G016500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFWFSLKRSLKCKSQPSDVHDPKARSNLTNVQTRKPCGFGCSRSVSNLRDVLHGSKRHTDKPPSCSPRSLESSDFINPITHEVILSNSTCELKITGFGGNGGCKSVSSTFVGTLKPGTPAPGGHDLVPHCNSTRSNSLSRKVHGDSPVFGSGSATGNATSSKPRASLDAKPSTLTCRKCCENLKTLETLEAHHLSKHAVTELLEGDSSRRIVEIICRARWLKSEANCINIARVFKVHNMQTTIAQFEEHRELVKIKASKLPTRHPRCLADGNELLRFHGTAIACSLGLNGSSGLCTLEKCGVCKILRHGFCKKDVKGGFGIFTASTSGRALESVEISQENHCARKALLVCRVIAGRVHKPLENFQEIAGYSGFDSLAGKVGQFSNIEELYLLNPKALLPCFVVIC >Manes.15G177800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:20525805:20528496:-1 gene:Manes.15G177800.v8.1 transcript:Manes.15G177800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSIQSRKIATLLTVSTSIIWVCLVSSVIGVDDVKCLQGVKASLSDPQGMLSYWNFANSTSGFLCDFVGVSCWNDQENRIISLQLRQMMLSGQFPESLKDCKNLQNLDLSSNALSGPIPPQICTWLPYLVNLDLSNNDLSGPIPHYLVNCTYLNNLILSDNRLSGPIPIEFSSLGRLKRFSVANNDLTGSIPSFFSNFDAGDFSGNDGLCGKPLGSKCGGLSKKNLAIIIAAGIFGAAASLLFAFGVWWWYHLKHSKRGKRGYGIGRGDETSWADRLRAYRLVQVSLFQKPLVKVKLADLMVATNNFSAENVIISTRTGSTYKAVLPDGSALAIKRLNACKLGEKQFRGEMNLLGQLRHPNLTPLLGFCVVEDEKLLVYKQMSNGTLYALLHGNGALLDWPTRFRIGLGAARGLAWLHHGCQPPLLHQYICSKVILVDEDFDARIMDFGLARLMNSADANESSYIDGGLGEFGYMAPEYSSTMVPSLKGDVYGFGVVLLELVTGLKPLDFSTSEEGFKGSLVDWVNNLSSSGRMKDAIDKTLCGKGHDEEILQFLKIALNCVVTWPKDRLSMYQVYQSLKGCGDDLGFSEQDDEFPLIFVKKDNE >Manes.15G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3710776:3713084:1 gene:Manes.15G048000.v8.1 transcript:Manes.15G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSSCLNMPTMFRSSGQEDFLSRRCIWVNGPVIVGAGPSGLAVGAGLKSQGVPFIILERANCIASLWQNRTYDRLKLHLPKQFCQLPNLPFPEDFPEYPTKDQFITYLESYAKHFDMTPHFNETVQSAKYDETFGLWRVKTISSGGFLPTEVEYICRWLVVATGENAEKVVPEFEGLQEFGGNVTHACDYKSGVNYRGKRVLVVGCGNSGMEVSLDLCNHKANPSMVVRSSVHVLPREIFGKSTFELAIEMMKWLPLWLVDKILLILAWLILGNLEKYGLKRPCIGPLQLKNSQGKTPVLDIGALDKIRSGKIKVVPGIKKFSNGRVELVNGEKLEIDSVILATGYRSNVPSWLRENEFFSEDGIPKNPFPNGWKGKAGLYAVGFTRRGLSGASLDAISVAFDIANSWKEETKQKKKTIAARHRRCISHF >Manes.04G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7952750:7957116:1 gene:Manes.04G048900.v8.1 transcript:Manes.04G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGIFFSFLGIVFFFDKGLLAMGNILFISGVSLTIGPKSTMQFFMKRQNFKGTISFGVGFFFVVIGWPILGMILEAYGFIVLFSGFWPTLSVFLQRIPILGWIFQQPFIRSFMDRYRGRRVPV >Manes.12G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8809284:8810846:1 gene:Manes.12G076200.v8.1 transcript:Manes.12G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKMIWVLFSQMILILFFLDFTNASGLKLGFYWRSCPNADQIIKTTLNKYIRRDPTLAAPLLRMHFHDCFVRGCDGSVLLNSKRGNQAEKEAIPNQTLRGFNVIDAVKSALEKKCPGVVSCADTLALVARDAVSMIGGPFWDVKTGRRDGRVSIASEALTQLPSPFANISELKQNFAVRGLNVKDLVVLSGGHTIGIGHCFIISNRLYNFTGKGDTDPSLDPKYAAALKKKCKPGGDNKAIVEMDPGSFKTFDEDYYRIVAKRRGLFQSDAALLDDVETRAYVQLQSLTHGFTFAQDFADSMVKLGDVGVLTGCQGEIRKRCTFVN >Manes.07G027925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3074037:3076983:1 gene:Manes.07G027925.v8.1 transcript:Manes.07G027925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKHYNFLQLLGMLVLFITLLALTRPAMGTDDDDIPDDFSRKYFPDDFIFGTATSAYQIEGEATAKGRAPSVWDIFSKETPDRILDGSNGDVAVDFYNRYIQDIKNVKKMGFNAFRMSISWSRVIPSGRRREGVNEEGIQFYNDVINEIISNGLEPFVTIFHWDTPQALQDKYGGFLSRDIVYDYLQYADLLFERFGDRVKRWMTFNEPSAYVGFAHDDGVFAPGRCSSWVNRQCLAGDSATEPYIVAHNLLLSHAAAVHQYRKYYQGTQKGKIGITLFTFWYEPLSDSKVDVQAAKTALDFMFGLWMDPMTYGRYPRTMVDLAGDRLIGFTDEESQLLRGSYDFVGLQYYTAYYAEPIPPVDPKFRRYKTDSGVNSTPYNLNGNLIGPQAYSSWFYIFPKGIRHFLNYTKDTYNDPVIYVTENGVDNYNNESQPIEEALQDDFRISYYKKHMWNALGSLKNYGVNLKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRYPKKSAHWFTKFLNISVNENNIYELTSKDSRKVGKFYVM >Manes.01G152500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34036157:34039704:1 gene:Manes.01G152500.v8.1 transcript:Manes.01G152500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNWTDLPEELLETISKFLHSRVDVLRFRSVCTSWRSAVPFPSFDQDIPPLILKLPPPFGVDAVLSPATICRMEVSFLNEDNSSSSSKSKPKAWLTKIGETKLGKLKFVHPLSDIQIRYSPITLNLLDLRFFSITKAFMLKSLSGLSLHGINKVLLFPISARCIENELSILAIYHEGKLGYWKYGDDSWTLLDDANFQYDDIIEYKSQFYVVDRWGTVSWIDSSLRLIQYSPPLFGCGSRKNLVESCGDLYVVDRYLDGERKTWNDYENLNVRGLNFPARRRRYRCNPKAIAFRIHKLDEEWGTWVDVKSLGDRAFVLGVDFSISISSADFCGGKGNCIYFTDDDNAMAKGLSSDSIRIFRLEDRIIEKVTTLPEYSDVFWPPKFGSA >Manes.16G087800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29431343:29433718:-1 gene:Manes.16G087800.v8.1 transcript:Manes.16G087800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSSSKQETKQGMQIDKHNRLKEEPHLSGAYIRSLVKQLTSSRTKDPMNPKDRGCVDDDGFSGQNMTKFGEGLSEKQQSQQPQQPLQHKKQVRRRLHTSRPYQERLLNMAEARREIVAALKFHRASMKKANEQQQQQNQQENHQQSSLPGQILTAPCFEQEGKIKSRRNPRIYPSNMGNFSNYLDSFSCSSFSHAPPPPPPHPFSWSTPPNLSLSVTDENLNFPLPNQTLGLNLNFQDFNDLDTTLYHSSNNPSSVYSSSSPSSFSSPSPSLSIATEEIPSKPQEGMPLAVSELNESSYGGGSLHQVMDDEEMAEIRSIGELHQMEWNDTMNLVTSAWWFKFLKTMDSDPEVKTEDDCYHPFDQIMEFPAWLNANDGCLQQHFDDYCSQDYYHDQALPCMDIGEIEGMDGEWLA >Manes.14G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8310331:8312539:-1 gene:Manes.14G100600.v8.1 transcript:Manes.14G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGKRPKKATPAIELKKPKNMLLPEISLASVESLSVPLVQEVVLSADIRCAECQKRVAEIMSRMTGTDSVSVNVLEKKVTVTCRYPGVKLPSKQVAAVYRTPPSKITIFKRIFRSACS >Manes.03G206300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32165795:32171790:1 gene:Manes.03G206300.v8.1 transcript:Manes.03G206300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTTERRGIPGAQFVEDVQTYLTQSGLDANSALSFLQERLQQYKIVEMKLLAQQRDLLAKIPDIEKCLDVVATLQAKKGTGEALITDYEVSEGIYSRALIEDADSVCLWLGANVMLEYSCEEATTLLQKNLDNAKASLEVLVADLQFLRDQVTITQVTIARVYNWDVHQRRMRQTSGTSTDS >Manes.09G055000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8969420:8970858:1 gene:Manes.09G055000.v8.1 transcript:Manes.09G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLQEKLIKVMKPDGKILEYKAPITVHQVLSDFSGHAISDSLQAFQHLLPETKLLGGKLYYLVPLPLPSPKAKKKVRFSVPEEEDKKENIVRIKLVISKQELQEILQKEGVTVDSMISQLQDQRRVETVDTSDNTGYWKPVLESIPEID >Manes.03G086300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17020704:17027246:-1 gene:Manes.03G086300.v8.1 transcript:Manes.03G086300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGGNGKGNENWVEDLQRTVIESKDSAIRSARSFQQNSSSHFRSLQDHVPQAISQFKAYEDTFFSRIKEELTSAKEHPVEAIGVAVTTGLLLMRGPRRFLFRHTFGRLLNEEAKFLKAEKNVKELNVSVDLMKNESKKLLERASLAEKDMKHGRTELMDAGNQIQRLAKSAYKVENQVADLMEGLREIPGRDALKLRAEVASMASVLKQHRTVLDKQIMKISELGVPV >Manes.18G145200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27866692:27870277:1 gene:Manes.18G145200.v8.1 transcript:Manes.18G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLNNSSLQSLEGQRIHLEKELAFGASFVCEALGHINSRGLWLGDDPLSFSVPLLLLQLSLISIFTRSIYIFLKPFGQPSIVSQILGGVILGPSVLGYNITFAEKVFPSKGRTTLETLSVFGFILFIFLIGVKTDPTVILRSGKRPLAIGISAFCLPYALGAFIRLILCNHLSLNHSTCKVLALVARLQSVTAFPVIATFLAELKLLNSEIGRLASSSSMICDLCFWISMSLTYAADIASEKSLKTSVGSFFSVTLLLGIIVFGIRPAALWVVRNTPEGKPVKEIYTLVVLMALMGCVFIGEVVGIDALISSFLVGLVIPDGPPLGAALVERLDCFVSVLLTPIFFTLCGLKTNVFTIKKWRNVGIIQVVVCVGFFGKLIGTMLPPLFCRMPFRDVLSLGLIMNSRGIVELILLNDWRSDNVLNDECFAIMIISVVAITGVISPLVKSLYDPSRRFLAYKRRTIQHHSHNQELRILACIHSQENIHTMITLLDASNPTKENPISLLVLHLIKLAGRASSLLVAHMPRDKPSQNPTQSERIFNAFKKFEHENSGQVSVHCYKGISPRTTMHNDVCSLALEHRISFIIMPFHKQRINGKKVDSSHLYRHLNMNVLDKAPCSVGVLVDRGNPMNFPFWLVEACFYKVCVLFLGGADDREALAYAQRMLKHPRVRVSLLHFKSTSLGEGAIDIVGGTARSKVLDSEILQEYKLQAQINERAAYKEEEVVNRRDVLDVIESMDAAYDLVMVGKRHGESQLMDDLRKWNEDKGLGEVGEIIAARDFKLRASVLVVQQQTRVWGLRDPEMNKTEIYRKIIQKEELKFTQ >Manes.06G086000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22183232:22186271:-1 gene:Manes.06G086000.v8.1 transcript:Manes.06G086000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWITMVGPARFCPSSQGPARPKPPAMETPERSSSSSTSTCYSSSPLPPSVTRLWRPAAQRNLRNQWSKLASYRTQWVASSSSGRSHATSHVNAYLSQKYMPSMELGVLNDMPDIRKKACSKLFKQQELHRSKLLSSYKDMVTVVTHMVNTSRSMRCYVKGTSSSPILQFSSTSEDNNDTGDGAGIPVFASWPISSFEQLAEELVHMFISELSLKRLLVVELHTVSCEVPQVNESYWSNEVYPGEFDDLSICNLYSKETRKPVPPRLVEGKYDLPSMQFKGQPNQDILQGG >Manes.06G086000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22183232:22186271:-1 gene:Manes.06G086000.v8.1 transcript:Manes.06G086000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWITMVGPARFCPSSQGPARPKPPAMETPERSSSSSTSTCYSSSPLPPSVTRLWRPAAQRNLRNQWSKLASYRTQWVASSSSGRSHATSHVNAYLSQKYMPSMELGVLNDMPDIRKKACSKLFKQQELHRSKLLSSYKDMVTVVTHMVNTSRSMRCYVKGTSSSPILQFSSTSEDNNDTGDGAGIPVFASWPISSFEQLAEELVHMFISELSLKRLLVVELHTVSCEVPQVNESYWSNEVYPGEFDDLSICNLYSKETRKPVPPRLVEGKYDLPSMQFKGQPNQDILQVYLTTWLAEVNVDIHRVDEIFAIIGEEMHVNLS >Manes.06G086000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22183232:22186271:-1 gene:Manes.06G086000.v8.1 transcript:Manes.06G086000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWITMVGPARFCPSSQGPARPKPPAMETPERSSSSSTSTCYSSSPLPPSVTRLWRPAAQRNLRNQWSKLASYRTQWVASSSSGRSHATSHVNAYLSQKYMPSMELGVLNDMPDIRKKACSKLFKQQELHRSKLLSSYKDMVTVVTHMVNTSRSMRCYVKGTSSSPILQFSSTSEDNNDTGDGAGIPVFASWPISSFEQLAEELVHMFISELSLKRLLVVELHTVSCEVPQVNESYWSNEVYPGEFDDLSICNLYSKETRKPVPPRLVEGKYDLPSMQFKGQPNQDILQVYLTTWLAEVNVDIHRVDEIFAIIGEEMHVNLS >Manes.06G086000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22183232:22186271:-1 gene:Manes.06G086000.v8.1 transcript:Manes.06G086000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWITMVGPARFCPSSQGPARPKPPAMETPERSSSSSTSTCYSSSPLPPSVTRLWRPAAQRNLRNQWSKLASYRTQWVASSSSGRSHATSHVNAYLSQKYMPSMELGVLNDMPDIRKKACSKLFKQQELHRSKLLSSYKDMVTVVTHMVNTSRSMRCYVKGTSSSPILQFSSTSEDNNDTGDGAGIPVFASWPISSFEQLAEELVHMFISELSLKRLLVVELHTVSCEVPQVNESYWSNEVYPGEFDDLSICNLYSKETRKPVPPRLVEGKYDLPSMQFKGQPNQDILQVYLTTWLAEVNVDIHRVDEIFAIIGEEMHVNLS >Manes.06G086000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22183232:22186271:-1 gene:Manes.06G086000.v8.1 transcript:Manes.06G086000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWITMVGPARFCPSSQGPARPKPPAMETPERSSSSSTSTCYSSSPLPPSVTRLWRPAAQRNLRNQWSKLASYRTQWVASSSSGRSHATSHVNAYLSQKYMPSMELGVLNDMPDIRKKACSKLFKQQELHRSKLLSSYKDMVTVVTHMVNTSRSMRCYVKGTSSSPILQFSSTSEDNNDTGDGAGIPVFASWPISSFEQLAEELVHMFISELSLKRLLVVELHTVSCEVPQVNESYWSNEVYPGEFDDLSICNLYSKETRKPVPPRLVEGKYDLPSMQFKGQPNQDILQVFISLSIAIYKCIPH >Manes.14G020100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1990857:1996265:-1 gene:Manes.14G020100.v8.1 transcript:Manes.14G020100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLIKKCAKGHLPITTADKDKSSNQSVASSKDPIQFKVFEMENMQYAEELVREFLVFRGFTNTLQVFEMELSTDIGKGFQVDKILDLIFSIYIPKFQAEKLVGLLSFFKQCFSLVSEAALFSTLSKLEVSILRYYIVCAVQSGRKDKVVEFFGMNGNDLLQRGGDWTPWFAIPYLKNPSLDPQFRIYFSKEWYEALRLSVRNFFSVIFSGTRIPALLKISSEKNTINNLKKDIKQLNVKLSQLRVLLEEKEAQLCWLRSNGSNAASTLDSNVGQGNNSTPLSAAHEENLMTVETCISATPSISETEQPQERAIGGPANGSSSIARIDIEHIIANDAIQMIHGTAYNDDGREMLAEEEFPEVKVDFQETFLGHTSPISRCRFSASGNNIASASVDGTVRIWTYDSSTPTSRNATIYCGTEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEVFPSVLDLKCSPVEPVFVSAAASRRQGATYLDSLGFASLTVWNMKTWRAMTVLPLGEDPPAITSLCFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAINSILFGPDETSIFSLGSDGKIFEWSLQNQGHVLWSRSCSRFCVPETSRYHRHEMGLDANGRRLLVTSETLRAPIYQVRGHMNGWRTLPHNAAITTVDWHPTLPIFLTGSADNSVRVTSLS >Manes.14G020100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1991481:1996265:-1 gene:Manes.14G020100.v8.1 transcript:Manes.14G020100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLIKKCAKGHLPITTADKDKSSNQSVASSKDPIQFKVFEMENMQYAEELVREFLVFRGFTNTLQVFEMELSTDIGKGFQVDKILDLIFSIYIPKFQAEKLVGLLSFFKQCFSLVSEAALFSTLSKLEVSILRYYIVCAVQSGRKDKVVEFFGMNGNDLLQRGGDWTPWFAIPYLKNPSLDPQFRIYFSKEWYEALRLSVRNFFSVIFSGTRIPALLKISSEKNTINNLKKDIKQLNVKLSQLRVLLEEKEAQLCWLRSNGSNAASTLDSNVGQGNNSTPLSAAHEENLMTVETCISATPSISETEQPQERAIGGPANGSSSIARIDIEHIIANDAIQMIHGTAYNDDGREMLAEEEFPEVKVDFQETFLGHTSPISRCRFSASGNNIASASVDGTVRIWTYDSSTPTSRNATIYCGTEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEVFPSVLDLKCSPVEPVFVSAAASRRQGATYLDSLGFASLTVWNMKTWRAMTVLPLGEDPPAITSLCFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAINSILFGPDETSIFSLGSDGKIFEWSLQNQGHVLWSRSCSRFCVPETSRYHRHEMGLDANGRRLLVTSETLRAPIYQVNISLSHSS >Manes.14G020100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1990857:1996265:-1 gene:Manes.14G020100.v8.1 transcript:Manes.14G020100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQYAEELVREFLVFRGFTNTLQVFEMELSTDIGKGFQVDKILDLIFSIYIPKFQAEKLVGLLSFFKQCFSLVSEAALFSTLSKLEVSILRYYIVCAVQSGRKDKVVEFFGMNGNDLLQRGGDWTPWFAIPYLKNPSLDPQFRIYFSKEWYEALRLSVRNFFSVIFSGTRIPALLKISSEKNTINNLKKDIKQLNVKLSQLRVLLEEKEAQLCWLRSNGSNAASTLDSNVGQGNNSTPLSAAHEENLMTVETCISATPSISETEQPQERAIGGPANGSSSIARIDIEHIIANDAIQMIHGTAYNDDGREMLAEEEFPEVKVDFQETFLGHTSPISRCRFSASGNNIASASVDGTVRIWTYDSSTPTSRNATIYCGTEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEVFPSVLDLKCSPVEPVFVSAAASRRQGATYLDSLGFASLTVWNMKTWRAMTVLPLGEDPPAITSLCFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAINSILFGPDETSIFSLGSDGKIFEWSLQNQGHVLWSRSCSRFCVPETSRYHRHEMGLDANGRRLLVTSETLRAPIYQVRGHMNGWRTLPHNAAITTVDWHPTLPIFLTGSADNSVRVTSLS >Manes.14G020100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1990857:1996265:-1 gene:Manes.14G020100.v8.1 transcript:Manes.14G020100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLIKKCAKGHLPITTADKDKSSNQSVASSKDPIQFKVFEMENMQYAEELVREFLVFRGFTNTLQVFEMELSTDIGKGFQVDKILDLIFSIYIPKFQAEKLVGLLSFFKQCFSLVSEAALFSTLSKLEVSILRYYIVCAVQSGRKDKVVEFFGMNGNDLLQRGGDWTPWFAIPYLKNPSLDPQFRIYFSKEWYEALRLSVRNFFSVIFSGTRIPALLKISSEKNTINNLKKDIKQLNVKLSQLRVLLEEKEAQLCWLRSNGSNAASTLDSNVGQGNNSTPLSAAHEENLMTVETCISATPSISETEQPQERAIGGPANGSSSIARIDIEHIIANDAIQMIHGTAYNDDGREMLAEEEFPEVKVDFQETFLGHTSPISRCRFSASGNNIASASVDGTVRIWTYDSSTPTSRNATIYCGTEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEVFPSVLDLKCSPVEPVFVSAAASRRQGATYLDSLGFASLTVWNMKTWRAMTVLPLGEDPPAITSLCFNHNGKILAAAATDGMIHMFDMSAGLQITGWPAHDSAINSILFGPDETSIFSLGSDGKIFEWSLQNQGHVLWSRSCSRFCVPETSRYHRHEMGLDANGRRLLVTSETLRAPIYQVRGHMNGWRTLPHNAAITTNLIVVGKSFDYQNGSWNGLLYPLPLLKVSEFCFLFFFFYFLG >Manes.18G033700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3035711:3038699:-1 gene:Manes.18G033700.v8.1 transcript:Manes.18G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEDVCLFKTDSLIIKAPKKSPLALRLVVLAFAMICGVYICSICLKQIGPHTTSTLLNFQVFERHCNISNTEPWELHYMHYPEPETFSREECVCNPVRYFAILSMQRSGSGWFETLLNSHINVSSNGEIFGAKDRRANVSAIVQTLDKVYNLDWFSSASKNECNAAVGLKWMLNQGVLEYHEGIVEYFKKKGVHAIFLFRRNLLRRMISVLANSYDKSKKLLNGTHKSHVHSSAEANILAKYKPRLNTSTLLAELKHVDNKAAMAIQYFKSTRHIVVYYEDVVSNPTKLKEVQAFLRLPYRVLTSRQVKIHSGALSQQIENWDEVSQVLDGTSYESFLYSDYRK >Manes.18G033700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3035713:3038699:-1 gene:Manes.18G033700.v8.1 transcript:Manes.18G033700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEDVCLFKTDSLIIKAPKKSPLALRLVVLAFAMICGVYICSICLKQIGPHTTSTLLNFQVFERHCNISNTEPWELHYMHYPEPETFSREECVCNPVRYFAILSMQRSGSGWFETLLNSHINVSSNGEIFGAKDRRANVSAIVQTLDKVYNLDWFSSASKNECNAAVGLKWMLNQGVLEYHEGIVEYFKKKGVHAIFLFRRNLLRRMISVLANSYDKSKKLLNGTHKSHVHSSAEANILAKYKPRLNTSTLLAELKHVDNKAAMAIQYFKSTRHIVVYYEDVVSNPTQKLKEVQAFLRLPYRVLTSRQVKIHSGALSQQIENWDEVSQVLDGTSYESFLYSDYRK >Manes.08G124500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36482423:36496252:1 gene:Manes.08G124500.v8.1 transcript:Manes.08G124500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSKGKNNSKTNSNGFIPNSLKFISSCIKTASSGVRSASASVAASISGDNQDHKDQVLCASFDRLELGPSSFKYVLLLGYSNGFQVIDVEDASDVTEVVSKRDDPVTFLQMQPLPAKSEGCEGFRASHPLLLVVSCAESKSSGPMLSGRDGLVRDGYNEPPTMGNLSISPTTVRFYSLRSHSYVHFLRFRSTVSMVRCSPHIVAVSLATQIYCFDALTLENKFSVLTYPVPQLGGQGMNGVNIGYGPMAVGPRWLAYASDNPLVSNTGRLSPQNLTPPLGVSPSSSPGSGSLMARYAMESSKQIAAGLINLGDMSYKTLSRYYQDFIPDGSNSPVYSNSSWKGGRGATHSAETDNAGVVVVKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSSHGASGTKSYDWSSSHVHLYKLHRGITSAVIQDICFSHFSQWIAIVSSRGTCHIFALSPFGGENVLQIHNSHIDGPSLLPVLSLPWWSTPSFMVNQQSFSASPPSPVTLSVVSRIKNSNTGWLNTVSNAAASAAGKTSVPSGAIAAVFHSCVARDLQPSQLKNVNALDHLLVYTPSGHVVQYKLLSSVGGEMNEVASRIGQGSSVQIQDEELRVNVESVQWWDVCRRADWAEREECISGITLSRQETKDLPMEASDCKDNGSEHVESLKSHEPSHLYLSNAEVQMSSWRVPLWQKSKMFFYEMNHLEAAEQNVIEHHAGGEIEIEKVPTQEVEIRQKDLLPVFDHFHRTLSNWTHRGLSDERFSTLSTASQEVKNSEDTVISHFKAGSTGSVANSDDGSSTKFYPLKLQSGNNTVSKEEISVSASPIQYKNSINQDSSSISFKQPEMGLSSEDGNSMDSDITSLTNGSPAGRKIAKVQSSNSVVTSEASNTSSNRSDLSMNVIDEGPTTDSMDFEQYFQEGYCKVSSLSECHESAEVSFVDNNISPCDLEKSEEDGDNDDMLGGVFAFSEEG >Manes.08G124500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36482423:36496252:1 gene:Manes.08G124500.v8.1 transcript:Manes.08G124500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSKGKNNSKTNSNGFIPNSLKFISSCIKTASSGVRSASASVAASISGDNQDHKDQVLCASFDRLELGPSSFKYVLLLGYSNGFQVIDVEDASDVTEVVSKRDDPVTFLQMQPLPAKSEGCEGFRASHPLLLVVSCAESKSSGPMLSGRDGLVRDGYNEPPTMGNLSISPTTVRFYSLRSHSYVHFLRFRSTVSMVRCSPHIVAVSLATQIYCFDALTLENKFSVLTYPVPQLGGQGMNGVNIGYGPMAVGPRWLAYASDNPLVSNTGRLSPQNLTPPLGVSPSSSPGSGSLMARYAMESSKQIAAGLINLGDMSYKTLSRYYQDFIPDGSNSPVYSNSSWKGGRGATHSAETDNAGVVVVKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSSHGASGTKSYDWSSSHVHLYKLHRGITSAVIQDICFSHFSQWIAIVSSRGTCHIFALSPFGGENVLQIHNSHIDGPSLLPVLSLPWWSTPSFMVNQQSFSASPPSPVTLSVVSRIKNSNTGWLNTVSNAAASAAGKTSVPSGAIAAVFHSCVARDLQPSQLKNVNALDHLLVYTPSGHVVQYKLLSSVGGEMNEVASRIGQGSSVQIQDEELRVNVESVQWWDVCRRADWAEREECISGITLSRQETKDLPMEASDCKDNGSEHVESLKSHEPSHLYLSNAEVQMSSWRVPLWQKSKMFFYEMNHLEAAEQNVIEHHAGGEIEIEKVPTQEVEIRQKDLLPVFDHFHRTLSNWTHRGLSDERFSTLSTASQEVKNSEDTVISHFKAGSTGSVANSDDGSSTKFYPLKLQSGNNTVSKEEISVSASPIQYKNSINQDSSSISFKQPEMGLSSEDGNSMDSDITSLTNGSPAGRKIAKVQSSNSVVTSEASNTSSNRSDLSMNVIDEGPTTDSMDFEQYFQEGYCKVSSLSECHESAEVSFVDNNISPCDLEKSEEDGDNDDMLGGVFAFSEEG >Manes.08G124500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36482791:36487207:1 gene:Manes.08G124500.v8.1 transcript:Manes.08G124500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSKGKNNSKTNSNGFIPNSLKFISSCIKTASSGVRSASASVAASISGDNQDHKDQVLCASFDRLELGPSSFKYVLLLGYSNGFQVIDVEDASDVTEVVSKRDDPVTFLQMQPLPAKSEGCEGFRASHPLLLVVSCAESKSSGPMLSGRDGLVRDGYNEPPTMGNLSISPTTVRFYSLRSHSYVHFLRFRSTVSMVRCSPHIVAVSLATQIYCFDALTLENKFSVLTYPVPQLGGQGMNGVNIGYGPMAVGPRWLAYASDNPLVSNTGRLSPQNLTPPLGVSPSSSPGSGSLMARYAMESSKQIAAGLINLGDMSYKTLSRYYQDFIPDGSNSPVYSNSSWKGGRGATHSAETDNAGVVVVKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSSHGASGTKSYDWSSSHVHLYKLHRGITSAVIQDICFSHFSQWIAIVSSRGTCHIFALSPFGGENVLQIHNSHIDGPSLLPVLSLPWWSTPSFMVNQQSFSASPPSPVTLSVVSRIKNSNTGWLNTVSNAAASAAGKTSVPSGAIAAVFHSCVARDLQPSQLKNVNALDHLLVYTPSGHVVQYKLLSSVGGEMNEVASRIGQGSSVQIQDEELRVNVESVQWWDVCRRADWAEREECISGITLSRQETKDLPMEASDCKDNGSEHVESLKSHEPSHLYLSNAEVQMSSWRVPLWQKSKMFFYEMNHLEAAEQNVIEHHAGGEIEIEKVPTQEVEIRQKDLLPVFDHFHRTLSNWTHR >Manes.08G124500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36482423:36496252:1 gene:Manes.08G124500.v8.1 transcript:Manes.08G124500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSKGKNNSKTNSNGFIPNSLKFISSCIKTASSGVRSASASVAASISGDNQDHKDQVLCASFDRLELGPSSFKYVLLLGYSNGFQVIDVEDASDVTEVVSKRDDPVTFLQMQPLPAKSEGCEGFRASHPLLLVVSCAESKSSGPMLSGRDGLVRDGYNEPPTMGNLSISPTTVRFYSLRSHSYVHFLRFRSTVSMVRCSPHIVAVSLATQIYCFDALTLENKFSVLTYPVPQLGGQGMNGVNIGYGPMAVGPRWLAYASDNPLVSNTGRLSPQNLTPPLGVSPSSSPGSGSLMARYAMESSKQIAAGLINLGDMSYKTLSRYYQDFIPDGSNSPVYSNSSWKGGRGATHSAETDNAGVVVVKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSSHGASGTKSYDWSSSHVHLYKLHRGITSAVIQDICFSHFSQWIAIVSSRGTCHIFALSPFGGENVLQIHNSHIDGPSLLPVLSLPWWSTPSFMVNQQSFSASPPSPVTLSVVSRIKNSNTGWLNTVSNAAASAAGKTSVPSGAIAAVFHSCVARDLQPSQLKNVNALDHLLVYTPSGHVVQYKLLSSVGGEMNEVASRIGQGSSVQIQDEELRVNVESVQWWDVCRRADWAEREECISGITLSRQETKDLPMEASDCKDNGSEHVESLKSHEPSHLYLSNAEVQMSSWRVPLWQKSKMFFYEMNHLEAAEQNVIEHHAGGEIEIEKVPTQEVEIRQKDLLPVFDHFHRTLSNWTHRGLSDERFSTLSTASQEVKNSEDTVISHFKAGSTGSVANSDDGTVNF >Manes.11G068568.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15878025:15879040:1 gene:Manes.11G068568.v8.1 transcript:Manes.11G068568.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLMGIAHAKQKLQRSLSAKIASVLATSHNVPKGHIAVYVGEGYRKRFVIPISYLNHPLFLELLHRAEEEFGFDHPMGGLTLPCTEDYFTFLTSVLSCS >Manes.12G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3090789:3095065:1 gene:Manes.12G035100.v8.1 transcript:Manes.12G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKRIEAGPSTTGEVGEKEERPKKMAEKAMAEAVIDYEEEEEEEDNGVPVPGFVPGPLLSLKEQIEKDQDDDSLRRWKEKLLGCVEGDLNGQMEPEVKFQSIGIISSDFGEINTPLPVDDNRSGQLLFTLKEGSQYQFKLTFNVLHNIVSGLTYSNTVWKGGLQVDQSKGMLGTFAPHQESYVHTLEEETTPSGLLARGIYTAQLKFEDDDRRCHMDLKYSFEIKA >Manes.13G003600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:940199:947053:1 gene:Manes.13G003600.v8.1 transcript:Manes.13G003600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRMYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEARTKGVDNYDRELEDVIDRLIVECDKKISRALKRLDAEDAKAAIAISVSEVTQNEEIIELSKQIKEKLKEVDKYDLEGKTDFKIQALEEVEKLRSERAEKQSALLLEAFNKDRASLPQPLPNPPPLAPLPVAAPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPALDSSKYTAADVRITDQKLRVCDICGAFLSVYDRLLGPVLRG >Manes.13G003600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:940007:951258:1 gene:Manes.13G003600.v8.1 transcript:Manes.13G003600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRMYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEARTKGVDNYDRELEDVIDRLIVECDKKISRALKRLDAEDAKAAIAISVSEVTQNEEIIELSKQIKEKLKEVDKYDLEGKTDFKIQALEEVEKLRSERAEKQSALLLEAFNKDRASLPQPLPNPPPLAPLPVAAPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPALDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQVLGIMFHILL >Manes.13G003600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:940199:946901:1 gene:Manes.13G003600.v8.1 transcript:Manes.13G003600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRMYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEARTKGVDNYDRELEDVIDRLIVECDKKISRALKRLDAEDAKAAIAISVSEVTQNEEIIELSKQIKEKLKEVDKYDLEGKTDFKIQALEEVEKLRSERAEKQSALLLEAFNKDRASLPQPLPNPPPLAPLPVAAPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPALDSSKYTAADVRITDQKLRVCDICGAFLSVYDRYS >Manes.13G003600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:940633:951258:1 gene:Manes.13G003600.v8.1 transcript:Manes.13G003600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGPCPKVHSLQLRKEYEEARTKGVDNYDRELEDVIDRLIVECDKKISRALKRLDAEDAKAAIAISVSEVTQNEEIIELSKQIKEKLKEVDKYDLEGKTDFKIQALEEVEKLRSERAEKQSALLLEAFNKDRASLPQPLPNPPPLAPLPVAAPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPALDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQEERNKLRRGDRHDDQRSKEGSRDRDRESSKDRDRLDSRDRERDYGRRSRDRDRHSDRDRGYDRDRGHDRERDRDSDRSRGYDSRSRKRSRSRSKERSRDYDRHRRYDRY >Manes.13G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:940007:951258:1 gene:Manes.13G003600.v8.1 transcript:Manes.13G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRMYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEARTKGVDNYDRELEDVIDRLIVECDKKISRALKRLDAEDAKAAIAISVSEVTQNEEIIELSKQIKEKLKEVDKYDLEGKTDFKIQALEEVEKLRSERAEKQSALLLEAFNKDRASLPQPLPNPPPLAPLPVAAPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPALDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQEERNKLRRGDRHDDQRSKEGSRDRDRESSKDRDRLDSRDRERDYGRRSRDRDRHSDRDRGYDRDRGHDRERDRDSDRSRGYDSRSRKRSRSRSKERSRDYDRHRRYDRY >Manes.11G039928.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3816811:3824483:1 gene:Manes.11G039928.v8.1 transcript:Manes.11G039928.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERRRNESRGTNSFTDLRGSRSSPELNRSTSIGPRQYSYQQLANATKHFSSNNLLGEGGFGQVFMGSVDGQSLAIKKLKNHRDLQSQGKLQDEIIVVSSVRHKNLVELVGYCVEGADKLLVLKYFPNKSLGYQLHESEENLDWEARMDIAKGSARGLEYLHEHCDPPVIHLDIKSDNILVDDDFKPKVADFGLARFFSEATTHISESAIMGTKAYVDPYAIKTGQYSVKSDVYSFGVMLLELITGKRPIEDGIDVVEWAKSEIKSALRNEEFEDFVDYTLDTFDHGEMYRMLFCIDACLNNHPKFRPSIKRILLALEGILPLDELCNEKGDNKLPRYPTLYKDSTPMKDNNSTKMWRSTDEASSSERFQVRNRSTSNKMWRSADEALMKRSTEATNRLAEGVKRLDLSNDDEEEEDEEEVSDEEEEEEDEGNEDVDNDLSHKSKMAEGGEVIACHTVRAWTEQLEKAQKGKQLTVVDFSAAWCPPSRYMSSVLAEMAKKMPNVTFLVVDVDELTSVSEEWKIEAMPTFLFFKQGKVVDKIVGANTEELQSTIAKHAVDDTSTIFTYQQIEWATRGFSKFLGEGSLGSVFKGFLDGKDVAVRKLEDLSDEKEQEELEQRIKTISSVSHPNLVQQFGHCIQGSDIYLVLEFFPSNSLKSLLHGKKTLEWSKRMKIAIDSAKALEYLHDDYNIVHGEIMTNNILVDKNFQPKVANFGLIMYYRSERTDVYADPEDNECSFEESDVYAFGVVLLELITGKNTKDNDTDIVQWANTLMKRVLYGEYTLLIDSNLEGDYNKKEVQRMIYCAAACLYKPSDSRPQMKEIVGVLERSIPLKDIWDDDDNQFLSGSGKGGGSLKRKSKKTSPLYRVILHDDDYHTVDFVIQKLMKFIPGMTRENADNIARDVHYKGSAEVIVCAQADAEGYCMQLKGTGLGSTIEPASGGR >Manes.08G086000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27160120:27164129:-1 gene:Manes.08G086000.v8.1 transcript:Manes.08G086000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVGVVVEGGQRALNSAAVHGGVVDAGARKFLHQHHSHNHNKQQSFSPQSQIGTVQQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVTALSKASIWHEASRIVHEEGFRAFWKGNLVTIAHRLPYSSVNFYAYERYKSLLQSILGVEKQRGSATADLVVHFVGGGLSGITAASATYPLDLVRTRLAAQRNTTYYKGIGHAFHTICREEGVFGLYKGLGATLLGVGPSIAISFSVYESLRSLWQSQRPSDSTVVVSLACGSLSGIASSTATFPLDLVRRRMQLEGAGGRQRVYTTGLFGTFGHIIRNEGLRGLYRGILPEYYKVVPSVGIVFMTYETLKILLSSVPASC >Manes.02G166400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13045927:13051178:-1 gene:Manes.02G166400.v8.1 transcript:Manes.02G166400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPRSEIPLSAASRSPPSLPHTPPQRLLKPHNCKATSQISSKKHKNSILKVAAAAGKTTHLATWAPLDLTQSELSLPLTFPTGQTFRWKQTGPLQYTGTLGPHLISLKHHNQNGDVYYRIHRTPSESAAKSALLDFLNIDISLTDIWAEFSASDSRFAELARHLKGARVLRQDPLECLIQFLCSSNNNIARITKMVDFISSSGEHLGSVGGFEFHEFPSLERLAMATEQELREAGFGYRAKYVTGTVDALQLKPGGGAEWLASLRKLDLQVVIDALCTLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATRYLLPELAGARLTPKLCGQVANAFVSKYGKYAGWAQTLLFIAELPAQKTILPSQFATNKEIKPAVEKDSEVDDEQIHSSQDLSWQARSLEDDLSWHLNR >Manes.06G159100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28476666:28479049:-1 gene:Manes.06G159100.v8.1 transcript:Manes.06G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNLLFSFFLASFIEIVCTQSPCSSAVCARNEPVIRFPFRLQNRQFKSCGYPGFDLYCDTSTNRTLLELPFSGRFSIQAIDYATQELWINDFNNCLPERILSLNLSNSPFTGLFYQNFTFFNCSLSGYTKYRLNPIACLSGSTHTVFATSSLSVISLLSQPSSSCRPFASKEVPVEWPFYGQILSSDLSDDLRLTWKAPACGKCESRSGHCGLKRNSTSTVVCYNPTIRGIPRSARYVLIIGGGVPLALCALGLACFLCSRVTGRRRSRHLPEFTFRVNPQPTLRAGLDGPTIDSYPKIVLGESRRLPKPEDNTCSICLSEYKPKETLKTIPECQHCFHVDCIDEWLRLNASCPICRNSPLQLPPPQPS >Manes.11G010300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1074300:1082493:1 gene:Manes.11G010300.v8.1 transcript:Manes.11G010300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSNNSVPPSASFDKSRVLDVKPLRSLMPVFPSSPQNPPFVCAPPSGPFPSGFSPFYPFNGPQPAPPDLNQHTHTPEPLRSFPAPQSNGKMSRDGNADGSTGPPAKRPVGRPRSSFSSQKKAKKDLDFTLSVVDNNFVLGITPAQKEDGDTEVVNSVRMRFDALRRRLSQLEDAKEAPNGGIRRADMRAANIMMSKGLRTNLRKRVGVVPGIEVGDIFFSRMEMCLVGLHSQTMAGIDYLIVRGDVEEDSLAVSIVSSGGYDDEAEDKDVLIYSGQGGNVNNIKKDKQAADQKLERGNLALERSLHRNNEVRVIRGMKDANSSTAKIYVYDGLYRIHESWVEKGKSGCNMFKYKLVRLPGQPGAFDVWKSIQQWRQGFSSRVGLILPDLTSGAESLPVSLVNDVDEEKGPAYFTYYATVKYIKSFKLTEPSSGCNCRNACTPGNLNCSCIRKNGGDFPYIANGILVGRRPLVYECGPSCPCIPNCKNRVSQTGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVIEKVKERQDGEGEDDDYVFDTTRVYEPFKWNCEPGLLEGDGDETTEQYSIPSPLIISAKNVGNVARFMNHSCNPNVLWQPVAYEQNNEYFIHIAFFAMKHIPPMAELTYDYGTSQMDEADGSDAFHGKKKCLCGSPGCRGYFG >Manes.11G010300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1074331:1083151:1 gene:Manes.11G010300.v8.1 transcript:Manes.11G010300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSNNSVPPSASFDKSRVLDVKPLRSLMPVFPSSPQNPPFVCAPPSGPFPSGFSPFYPFNGPQPAPPDLNQHTHTPEPLRSFPAPQSNGKMSRDGNADGSTGPPAKRPVGRPRSSFSSQKKAKKDLDFTLSVVDNNFVLGITPAQKEDGDTEVVNSVRMRFDALRRRLSQLEDAKEAPNGGIRRADMRAANIMMSKGLRTNLRKRVGVVPGIEVGDIFFSRMEMCLVGLHSQTMAGIDYLIVRGDVEEDSLAVSIVSSGGYDDEAEDKDVLIYSGQGGNVNNIKKDKQAADQKLERGNLALERSLHRNNEVRVIRGMKDANSSTAKIYVYDGLYRIHESWVEKGKSGCNMFKYKLVRLPGQPGAFDVWKSIQQWRQGFSSRVGLILPDLTSGAESLPVSLVNDVDEEKGPAYFTYYATVKYIKSFKLTEPSSGCNCRNACTPGNLNCSCIRKNGGDFPYIANGILVGRRPLVYECGPSCPCIPNCKNRVSQTGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVIEKVKERQDGEGEDDDYVFDTTRVYEPFKWNCEPGLLEGDGDETTEQYSIPSPLIISAKNVGNVARFMNHSCNPNVLWQPVAYEQNNEYFIHIAFFAMKHIPPMAELTYDYGTSQMDEADGSDAFHGKKKCLCGSPGCRGYFG >Manes.11G010300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1074302:1083683:1 gene:Manes.11G010300.v8.1 transcript:Manes.11G010300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSNNSVPPSASFDKSRVLDVKPLRSLMPVFPSSPQNPPFVCAPPSGPFPSGFSPFYPFNGPQPAPPDLNQHTHTPEPLRSFPAPQSNGKMSRDGNADGSTGPPAKRPVGRPRSSFSSQKKAKKDLDFTLSVVDNNFVLGITPAQKEDGDTEVVNSVRMRFDALRRRLSQLEDAKEAPNGGIRRADMRAANIMMSKGLRTNLRKRVGVVPGIEVGDIFFSRMEMCLVGLHSQTMAGIDYLIVRGDVEEDSLAVSIVSSGGYDDEAEDKDVLIYSGQGGNVNNIKKDKQAADQKLERGNLALERSLHRNNEVRVIRGMKDANSSTAKIYVYDGLYRIHESWVEKGKSGCNMFKYKLVRLPGQPGAFDVWKSIQQWRQGFSSRVGLILPDLTSGAESLPVSLVNDVDEEKGPAYFTYYATVKYIKSFKLTEPSSGCNCRNACTPGNLNCSCIRKNGGDFPYIANGILVGRRPLVYECGPSCPCIPNCKNRVSQTGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVIEKVKERQDGEGEDDDYVFDTTRVYEPFKWNCEPGLLEGDGDETTEQYSIPSPLIISAKNVGNVARFMNHSCNPNVLWQPVAYEQNNEYFIHIAFFAMKHIPPMAELTYDYGTSQMDEADGSDAFHGKKKCLCGSPGCRGYFG >Manes.01G269500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42309774:42310754:-1 gene:Manes.01G269500.v8.1 transcript:Manes.01G269500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSLCVLLFLFLLDSCYCFCPKCFNFSNTHSDSDWEPAGATWYGSPTGAGSTGGACGYENAVEQPPFSSMISAGGPSLYKSGKGCGVCYQVKCTSNSACSGNPVTVVITDKCPGGPCTSESVHFDLSGTAFGAMAVSGQADQLRNAGVLQIQYRRVQCEYHGKTLAFHVDSGANPYYFATVVEYEEGDGDLASVELKQAPDGSDSWIKMQQAWGAVWKLNSGSALRAPFSLRLTSLMSGKTIVASDVIPASWQPGKTYLSVVNF >Manes.15G181501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23757287:23760028:-1 gene:Manes.15G181501.v8.1 transcript:Manes.15G181501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCFYKDVLPLAAMLATITAAIGLNTLFKAASLKGMSYFVFLFYSNLINTLLMLPIPFLLCRRTILPLFKFPLLSRICALGIIGLVCQLIGYKGIQYSSPTMASAMSNVTPAWTFLFAVIFRLEKLALRSSSTQSKIIGTIVSILGALIMVLYKGPIILSTSSTTSPISIPQPVRLPASDWVIGGLLLAIQHIGYAFLFILQTQMMQICPSELLVTFFCYLYSTVISAPVCFIAEPESSAWRIRPDITMVALIYSGILGGATLGILHLWCLRLKGPVYVAAFTPLSIAIAAAMAFIFLGDALHLGSVVGAVFISIGVYAVIWGKSKEEKVREICSSRSLESSCDGIQPLLQDYRVEESLNKNEC >Manes.05G148300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25092672:25095063:-1 gene:Manes.05G148300.v8.1 transcript:Manes.05G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTFLQEQTKNGKQISKRKRKQQKQKEKQPSSWDQIKNLLTCKQIEVSGVHDPSKKTNGYSKMGSSCSSICSFRDVVHGNTRVVHRADNSPESSTVGQETGLLSRKAANGSSTRSLGSSGRSNGGATYTSSSRAIQFRKLSGCYECHMIVDPSRAENFRYPSARTTICACSQCGELFPKPESLELHQIVRHAVLELGPEDSGRNIVEIIFKSSWLKKDNSICKIERILKVQNTQRTIQRFEDSRDAIKTRALNSTKKNPRCAADGNELLRFHCTSLTCSLGARGTSNLCGSIPGCGVCTIIRHGFQGKECKGIRTTASSGRAHDSFVCCTDGRRAMLVCRVIAGRVKRLADDAPPPEEDVASAGGSYDSVAGYPGIYSNLEELFVFNPKAILPCFVVIYSALES >Manes.05G148300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25092672:25095063:-1 gene:Manes.05G148300.v8.1 transcript:Manes.05G148300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTFLQEQTKNGKQISKRKRKQQKQKEKQPSSWDQIKNLLTCKQIEVSGVHDPSKKTNGYSKMGSSCSSICSFRDVVHGNTRVVHRADNSPESSTVGQETGLLSRKAANGSSTRSLGSSGRSNGGATYTSSSRAIQFRKLSGCYECHMIVDPSRYPSARTTICACSQCGELFPKPESLELHQIVRHAVLELGPEDSGRNIVEIIFKSSWLKKDNSICKIERILKVQNTQRTIQRFEDSRDAIKTRALNSTKKNPRCAADGNELLRFHCTSLTCSLGARGTSNLCGSIPGCGVCTIIRHGFQGKECKGIRTTASSGRAHDSFVCCTDGRRAMLVCRVIAGRVKRLADDAPPPEEDVASAGGSYDSVAGYPGIYSNLEELFVFNPKAILPCFVVIYSALES >Manes.18G137925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19626654:19627129:-1 gene:Manes.18G137925.v8.1 transcript:Manes.18G137925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNNSTLSLRSILEKDKLIENGTNFVDWFRNLRIVLKQENKSYALDEAIPEPPPADATNTVKNKHKKHMDDSNDIRCLMLVTQARQDRYETTIALHDCKMAKSESVSAHVLKMKGYIDLLARLGYPLSL >Manes.01G149300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33857619:33859844:-1 gene:Manes.01G149300.v8.1 transcript:Manes.01G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTIQNWGISLALIFILGALASQAIARTLQDALMYEKHEEWMTRYGRVYKDASEKEMRYQIFKKNVERIESFNKASGKTYKLGVNKFADLTNEEFKTTRNRFKGHMCSAQAGPFKYENVSAVPSSMDWRKKGAVTAIKDQGQCGSCWAFSAVAAVEGVTQLSTGKLISLSEQELVDCDTKGEDQGCQGGLMDDAFKFTEQNHGLTTEANYPYEGSDGTCNTQKEANHAAKITGYEDVPANNEAALMKAVAKQPVAVAIDASGYEFQFYSSGIFTGSCGTELDHGVAAVGYGESNGMNYWLVKNSWGTQWGEEGYIRMQKDIDAKEGLCGIAMQASYPTA >Manes.14G037500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3269083:3275265:-1 gene:Manes.14G037500.v8.1 transcript:Manes.14G037500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEQPSPVRRKVKFIPKGRKPKATVPKSEVNYNDNQEDEVAQAQKLMTKFRDNLTRQVPKVEKQSSVQVAFGPGATSSSIRTYGVPGGENAGRCSRSQIKDSIDDDGKIISSSLSTVKEDRTIDSLPDAADALPLKTKKAFREPWDYDHTYYPTTLPLRRPHSGDPEILDEAEFGDAARKLVYDEKTINPASDLGLLEECDKEKMLFFQLPAKLPHFKRSATVKGKEKAEDATPSQGKGVSKKESNLEELPGGYMGKMLVYRSGAVKLKLGDTLYDVSPGEDCKFAQDVMAIKNAAKHCCAIGELGKRAVVTPDVDSLLNSVINLS >Manes.14G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3271149:3275367:-1 gene:Manes.14G037500.v8.1 transcript:Manes.14G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEQPSPVRRKVKFIPKGRKPKATVPKSEVNYNDNQEDEVAQAQKLMTKFRDNLTRQVPKVEKQSSVQVAFGPGATSSSIRTYGVPGGENAGRCSRSQIKDSIDDDGKIISSSLSTVKEDRTIDSLPDAADALPLKTKKAFREPWDYDHTYYPTTLPLRRPHSGDPEILDEAEFGDAARKLVYDEKTINPASDLGLLEECDKEKMLFFQLPAKLPHFKRSATVKGKEKAEDATPSQGKGVSKKESNLEELPGGYMGKMLVYRSGAVKLKLGDTLYDVSPGEDCKFAQDVMAIKNAAKHCCAIGELGKRAVVTPDVDSLLNSVINLS >Manes.06G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9596307:9596658:-1 gene:Manes.06G035300.v8.1 transcript:Manes.06G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWICLVGYLMVLAKPIDNGPPILPEAYLDISGSSINPSENLLSLDCYVTISLSIYRSSKVALTLTKNKKKWHLKSDYLIISLWTINMII >Manes.02G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9405471:9420891:1 gene:Manes.02G123500.v8.1 transcript:Manes.02G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECILNIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYIVSTTRACPYDGYLVREEDSKLLTESNKGLAETIGKITVHCLYHRSGCTWQGPLSDCTSHCSGCAFGNSPVVCNRCGIQIVHRQVQEHAQNCPGVQTQAQSDAAQDATTTGTAPVGDQTGNTATQAQASQTAASTLPGQDPNQQANQTAQPQSVAQGTVPSAEQWYQQQQQYQQYYQQYLGYDPYQQYYPYQQQAVPQYQQPQVYMQQPQLPQTQVQPQAQLQTQPQPYPHVQLPATAPPANQPQVNPQQQTHTTAQPQSQTQSQIHPPPHGQQQPQSQLHPQTHPVHHHPQHIQMPQYPQPHSQVQHPPPQVQAQAHPQLHPQYPVPQPQAQAQAQAQAQAQPQPQPQPQPQPQGMPQTHAQAQHVQPHPQPFTSQPNLPVNPPYLQPQPQYSSANAVTGHNSYPQPQPQQQMQLGGPQHPAHLYPQGGPQPQSHLAQMQSQFPQQPPVLRPPQAHGPIQNPQQPGLLPSPGQVPNVAPAQQPPVHPQVHHPGLPHQRPVMQSVQQPVHQQYMQQQPSSGQALGPVQNQVPQQGAYIQQQLQSQLRPLGPLPSFQQPSHAYPQPHHNVSLPHGTQPYQGQNLGRPMVPPHGVPTQPHPHLSSSMPVRPMQVDAGQSGNTLRTNNQDQLFSEQQSGATTRPMSERPGDHIIEKSSEAESTHESVKRDPNDLAVASRVGADAGEVKTVKSESILKLAVDERKSREEIQDLGGENGELSIKQVKKEPKEAIDEQKDVSNTDHRRFEHSVLEDNEMKGRPQLKTPPLHEGEHFEDRGMKSQKDRNVTPQRSGGFALHSQLQGEGLVQPSHLVPISHGPSVLQQRPVGSPLLQVPPPGPPHHMQLPGHPSAPSRPLDSGHMPHPGQPLNPLPEHPQQPLYNQSLSAEISPAGISGLGSTSIFGRGPSHYGTQGHGHTLPGERTASYGHESDMFPNQRPNYMDGRRIDPLGQQAGALRMNGAPGPDSSVLGLRDDRIKPLPDDHMNSFPQDPSRIVDRAEFEKDAKHFPRSSHLDADSIKEYGNHLPPSRPLDRLPHSFGMDFPLKTPEKGLHAMNYDSGMKLKPLGGSAPSRFFPPYHHDGVMHPNDMGERPIGFHDNTAGRQPDAAPTPQDLFGPVPRYGRRYMDGLAPRSPGRDYPGVTSHGFGAFPGFDDIAGRESHRFGDSFHVNRFPVFPGHLHRGEFEGPGQDGFPNHSRRGEHLDPNNLPGHMRMGERFGFGAFPGPARMGELPGSGNFFHPRLGEPGFRSSFSLKGIPGDGGNYPGDLESFDSSRRRKASSMGWCRICKFDCETVEGLDTHSQTREHQKMAMDMVLTIKQNAKKQKLAPSDHSSLDDASKSRNASFEGRGNKN >Manes.18G007901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1069016:1074596:-1 gene:Manes.18G007901.v8.1 transcript:Manes.18G007901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAVNGPLHYPLARRDDSLVDDYHGVELADPYRWLEDPDSGEVKQFVQEQVRLTESVLKSCDAREKLRDKITKLFDHPRYDVPFKRGDKYFYFHNTGLQAQNVLYVQGSLDGKPEMLLDPNALSEDGTVSLNKISVSEDAKYLAYGLSSSGSDWVTIKVMHVENKRVEVDTLSWVKFSGISWTRDSKGFFYSRYPAPKEGENLDAGTETNSNLYHALYYHFLGTDQSEDILCWRDSENPKYMFGASVTDDGKYLLLYIDESCDPVNKVYYCDISAFPEGLEGFRGNHLLLPFIKLIDNFDAQYQAIANDETLFTFLTNKDAPKYKLVRVDLKEPSIWTDVVPETAKDVLESACAVNGDQIILSYLSDVKHVIQVRDLKTGSLLHQLPIDIGTVYGISARRKHCTVFFGFTSFLTPSIIYQCNLDTEIPDMKIFREISVAGFDRTEFQVDQVFFPSKDGTKIPMFVMAKKNLKLDGTHPCLLYGYGGFNISLTPSFSVSRIILTRHLGAVNCIANIRGGGEYGEEWHKAGSLAKKQNCFDDFISAAEYLVSNGYTQPSKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHSWTSDYGCSDKEEEFHWLIKYSPLHNVRRPWEQHPDQPCQYPSTMLLTADHDDRVVPLHSLKLLATMQYALCTSLENSPQTNPIIGRIECKAGHGAGRPTKKMIDQAADRYGFMAKMLGATWNE >Manes.18G007901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1069016:1074596:-1 gene:Manes.18G007901.v8.1 transcript:Manes.18G007901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAVNGPLHYPLARRDDSLVDDYHGVELADPYRWLEDPDSGEVKQFVQEQVRLTESVLKSCDAREKLRDKITKLFDHPRYDVPFKRGDKYFYFHNTGLQAQNVLYVQGSLDGKPEMLLDPNALSEDGTVSLNKISVSEDAKYLAYGLSSSGSDWVTIKVMHVENKRVEVDTLSWVKFSGISWTRDSKGFFYSRYPAPKEGENLDAGTETNSNLYHALYYHFLGTDQSEDILCWRDSENPKYMFGASVTDDGKYLLLYIDESCDPVNKVYYCDISAFPEGLEGFRGNHLLLPFIKLIDNFDAQYQAIANDETLFTFLTNKDAPKYKLVRVDLKEPSIWTDVVPETAKDVLESACAVNGDQIILSYLSDVKHVIQVRDLKTGSLLHQLPIDIGTVYGISARRKHCTVFFGFTSFLTPSIIYQCNLDTEIPDMKIFREISVAGFDRTEFQVDQVFFPSKDGTKIPMFVMAKKNLKLDGTHPCLLYGYGGFNISLTPSFSVSRIILTRHLGAVNCIANIRGGGEYGEEWHKAGSLAKKQNCFDDFISAAEYLVSNGYTQPSKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHSWTSDYGCSDKEEEFHWLIKYSPLHNVRRPWEQHPDQPCQYPSTMLLTADHDDRVVPLHSLKLLAIDQAADRYGFMAKMLGATWNE >Manes.05G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6969103:6972483:1 gene:Manes.05G084300.v8.1 transcript:Manes.05G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDKTKMGFEETELRLGLPGNNNGEVARKRGFSETVDLKLNLSSKDPKIDYPNDNTNNSQRHKNLLAADPEKPPAKAQVVGWPPVRSFRKNMLSVQKSSTEECEQKLSGNGNATFVKVSLDGAPYLRKVDLSMCKSYQELSDALGKMFSSFSSIGNCGPQGMREFLNESKLKDVLNGTDYVPTYEDKEGDWMLVGDVPWEMFAQSCKRLRVMKGTEATGLAPRAMEKRKNRS >Manes.16G014650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1471951:1478203:1 gene:Manes.16G014650.v8.1 transcript:Manes.16G014650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRLLLFFYPTFLQLLAIAMAQAPPLFYVCGESGNFTTKSTYQSNLDRTLASLASDTKIPSGFYNDSSGQNANKVNAIALCRGDKKPETCRSCINNSSQTLRNLCPNQKEAIAWRDDDCMLRYSNRSIFGKMEFDPKLILYNINNVSEALNPLLASLTSRAAAGDSLRKFATGHATTENNETIYALAQCTPDLSKEDCSNCLNNATGLFPQCCGGKQGARVVTPSCHFRYEIELFYDSGVEEMPPSPTPLPVLPPPQRAPQPGVALSPPAALTPQTPSGESSTTGKARNRVAGAFIAGVFLLLFW >Manes.15G038100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2994035:2995846:-1 gene:Manes.15G038100.v8.1 transcript:Manes.15G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWILKMGNQVSSNLKHALFLEPYKKKNTKRADTKERETIGILSFEVANVMSKTLHLHKSLTDSEVSKLKAEILKSVGVNNLVSADEDYLLQLALAEKLDDLSRIASIVSRLGKKCTEPALQGFEHVYGDIVSGVIDVKQLGFLVKDAEGMVKKMERYVNATSNLYAEMEVLAELEQTTKKFQQNQHEESHRAFEQKLIWQKQDVRHLKEISLWNQTYDKVVELLARRVCTIYARLCVVFGESALRRDSFGANGDIGSSPPMKDECGEASGQIMISSHLKRTYSRRSSNGFQSGPIERPVVVKRETSIKSRIDSHRGEEASLRAEDIVFPCVTSPGKLFMDCLSLSSSACKLDNDEDDIIGNEDRGSQISGCCSVANGGTKREHPYLSGGSNRIPSSVSFSGDHRQARCGMMNDCLGPKSRLTAHASPSTVGGSGLAMRYANVIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRKSLRTHLKSYVKDLAIYDAPLAHDWKETLDGILRWLAPLAHNMIRWQSERNFEQHQIVKRTNVLLLQTLYFADMEKTEAAICELLVGLNYICRYEHQQNALLDCASSFDFEDCMQWQMQCRAAFVD >Manes.13G014107.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2705199:2707904:1 gene:Manes.13G014107.v8.1 transcript:Manes.13G014107.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYVLNAQEKQSASGPASAYGPASASGPASAYGPTSAFGPASASSEKEEEKEVKKEAKKEKEDEKDAEKEAKKEKEDEKEGEKEAKKEKEDEKEGEKEAKKKEKKEKEAKKEAKKKEKKEKEAKKDAKKEDKDEEEAEKDAKKEDKDEKEKEDEKEGEKEAKKKEKKEKEAKKDAKKKDKDEKEAKKDAKKEDKDEEEAEKDAKKEDKDEEEAEKDAKKEAKDEKEAEKDAKKEAKDEKEAEKEAKKEVKAEKKAKNDGKDEKEAKNDGKDEKETKISAPAPAPSRKKMRKMPRKKPRKRKKMRKKARKKPRKRKKMRKKARKKPRKKRRKRKKPRKMPRKKIRTRKKPRKTPRKKIRTRKKLRRMPRKKIKMRKKPRRMPRKKLKMTKKPRRMPRKKLKMTKKPRRMPRKKLKMRKKPRRKPRKKLRPKRKPRMTVRTRKKPRMTVRTRKKPRMKVRTRRRTRKKPRSVPLPLHPA >Manes.01G211900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38257608:38265528:1 gene:Manes.01G211900.v8.1 transcript:Manes.01G211900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKSKNNGLLPNSLRIISSCLKTVSTNASTVASTVRSAGASVAASISTSTEDHKDQVTWAGFDRLELGPSVFKHVLLLGYQNGFQVLDVEDASNFTELVSKRDGPVSFLQMQPFPTKSDGHEGFRSSHPLLLVVAVDDSNNLNVGQNHGHLGGLGRENNTESQSGNYINTPTSVRFYSLRSHCYVHVLRFRSAVHMVRCSPRIVAVGLATQIYCIDALTLESKFSVLTYPVPQLAGQGTVGINIGYGPMAVGSRWLAYASNNPLVSNTSRLSPQNLTPSPGVSPSTSPGGSSLVARYAMESSKQLAAGIINLSDMGYRTLSKYYQELLPDGSNSPVSSNSGWKVGRLAGSDMDNAGMVVVKDFVSRAVISQFKAHTSPISALSFDPSMTLLVTASIYGNNINIFRIMPSCSRSGSGVKVYDWNSSHVHLYKLHRGITSAMIQDICFSHYSQWIAIVSSKGTCHVFTLSPFGGDSGFQSLNSMDEEPSLYPVLSLPWWSSSSCMINQHSFPPPSPVSLSVVSRIKYSSFGWLNTVGSATGSATGKVFVPSGAVAAIFHNSISQSMRANSLEHLLVYTPSGHVVQHELLPSVRPEPGESGSRTHPASLVHIQDDDLKVRVEPVQWWDVCRRSDWSEREECIFGSTGGQDVAEIIQKTPVSLDNLNMEFLDISDDVSEKKSTKSCSVKPHERSHWYLSNAEVHISSLSLPIWQKSKICFYVMDSPRVNSYGDGEFEIEKIPIHEVELKQKELLPVFDHFHSIKSGWNDRGLAVARYNHSPSSEPHQVEGKVMQETVICHSKPASLSSTESSECGSSRRIEHLLDLDQINYEKPYIATCQNLNDYYQEKKGGTIIRRNIYRNFSNSGDQWEW >Manes.01G211900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38257608:38265540:1 gene:Manes.01G211900.v8.1 transcript:Manes.01G211900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKSKNNGLLPNSLRIISSCLKTVSTNASTVASTVRSAGASVAASISTSTEDHKDQVTWAGFDRLELGPSVFKHVLLLGYQNGFQVLDVEDASNFTELVSKRDGPVSFLQMQPFPTKSDGHEGFRSSHPLLLVVAVDDSNNLNVGQNHGHLGGLGRENNTESQSGNYINTPTSVRFYSLRSHCYVHVLRFRSAVHMVRCSPRIVAVGLATQIYCIDALTLESKFSVLTYPVPQLAGQGTVGINIGYGPMAVGSRWLAYASNNPLVSNTSRLSPQNLTPSPGVSPSTSPGGSSLVARYAMESSKQLAAGIINLSDMGYRTLSKYYQELLPDGSNSPVSSNSGWKVGRLAGSDMDNAGMVVVKDFVSRAVISQFKAHTSPISALSFDPSMTLLVTASIYGNNINIFRIMPSCSRSGSGVKVYDWNSSHVHLYKLHRGITSAMIQDICFSHYSQWIAIVSSKGTCHVFTLSPFGGDSGFQSLNSMDEEPSLYPVLSLPWWSSSSCMINQHSFPPPSPVSLSVVSRIKYSSFGWLNTVGSATGSATGKVFVPSGAVAAIFHNSISQSMRANSLEHLLVYTPSGHVVQHELLPSVRPEPGESGSRTHPASLVHIQDDDLKVRVEPVQWWDVCRRSDWSEREECIFGSTGGQDVAEIIQKTPVSLDNLNMEFLDISDDVSEKKSTKSCSVKPHERSHWYLSNAEVHISSLSLPIWQKSKICFYVMDSPRVNSYGDGEFEIEKIPIHEVELKQKELLPVFDHFHSIKSGWNDRGLAVARYNHSPSSEPHQVEGKVMQETVICHSKPASLSSTESSECGSSRRIEHLLDLDQINYEKPYIATCQNLNDYYQEKKGGTIIRSGELNKNSLTIVSLPPEHPKNIDAHGINCIGNSLSLSENYLPPAGGIFIGTSPTLETNGNGDLSALNAGDYDAHILVEGSTIPTGKPLDFGLSFQEGHCKTLNLDECDRSTEGVTDDVDSSGGHCEKEKPEEDGENDEFLGGMFTFFEEG >Manes.01G211900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38257608:38265525:1 gene:Manes.01G211900.v8.1 transcript:Manes.01G211900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKSKNNGLLPNSLRIISSCLKTVSTNASTVASTVRSAGASVAASISTSTEDHKDQVTWAGFDRLELGPSVFKHVLLLGYQNGFQVLDVEDASNFTELVSKRDGPVSFLQMQPFPTKSDGHEGFRSSHPLLLVVAVDDSNNLNVGQNHGHLGGLGRENNTESQSGNYINTPTSVRFYSLRSHCYVHVLRFRSAVHMVRCSPRIVAVGLATQIYCIDALTLESKFSVLTYPVPQLAGQGTVGINIGYGPMAVGSRWLAYASNNPLVSNTSRLSPQNLTPSPGVSPSTSPGGSSLVARYAMESSKQLAAGIINLSDMGYRTLSKYYQELLPDGSNSPVSSNSGWKVGRLAGSDMDNAGMVVVKDFVSRAVISQFKAHTSPISALSFDPSMTLLVTASIYGNNINIFRIMPSCSRSGSGVKVYDWNSSHVHLYKLHRGITSAMIQDICFSHYSQWIAIVSSKGTCHVFTLSPFGGDSGFQSLNSMDEEPSLYPVLSLPWWSSSSCMINQHSFPPPSPVSLSVVSRIKYSSFGWLNTVGSATGSATGKVFVPSGAVAAIFHNSISQSMRANSLEHLLVYTPSGHVVQHELLPSVRPEPGESGSRTHPASLVHIQDDDLKVRVEPVQWWDVCRRSDWSEREECIFGSTGGQDVAEIIQKTPVSLDNLNMEFLDISDDVSEKKSTKSCSVKPHERSHWYLSNAEVHISSLSLPIWQKSKICFYVMDSPRVNSYGDGEFEIEKIPIHEVELKQKELLPVFDHFHSIKSGWNDRGLAVARYNHSPSSEPHQVEGKVMQETVICHSKPASLSSTESSECGSSRRIEHLLDLDQINYEKPYIATCQNLNDYYQEKKGGTIIRSGELNKNSLTIVSLPPEHPKNIDAHGINCIGNSLSLSENYLPPAGGIFIGTSPTLETNGNGDLSALNAGDYDAHILVEGSTIPTGKPLDFGLSFQEGHCKTLNLDECDRSTEGVTDDVDSSGGHCEKEKPEEDGENDEFLGGMFTFFEEGKIFCTVTNY >Manes.03G074616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:12355389:12355787:1 gene:Manes.03G074616.v8.1 transcript:Manes.03G074616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLHGIAVARQAPQVSHRFFADDSYFFYRASKSEILATKDCLALYEVASRQKINFQKSTALFTRNISHAAQVHFSDMLHVPLVAVTNVRSYLGLPSLIGKKKNEIFRFEIDRVWRRMQGGKDITFLKLESKFY >Manes.09G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4080124:4086011:1 gene:Manes.09G019500.v8.1 transcript:Manes.09G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLKGLVKKGLGEMGFNAEGGVINWFPGHMAAATRAIRSRLKLADLVIEVRDSRIPLSSAHKDLQPQLSSKRRVIALNKKDLANPNIMHKWVSYFDSCKQDCIPINAHSKSSVKKLLELVEFKLKEVISREPTLLVMVVGVPNVGKSALINSIHQIASSRFPVQEKMKRATVGPLPGVTQDIAGYKIAHQPSIYVLDTPGVLVPSIPDIETGLKLALAGSVKDSVVGEERIAQYLLAVLNTRGTPLHWRHWNNRKMDGIRYESQDKHEYNIKDLLPKRKKPPNTSDVVYIEDLVTEVQCALYKTLAEFNGNVEDENDLENLIEQQFEVLKKALKIPHKTSEARLMVSKKFLTLFRTGKLGPFILDDVPELNSIS >Manes.04G074400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27484356:27495353:-1 gene:Manes.04G074400.v8.1 transcript:Manes.04G074400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEASSTAPSSSSSGPTTRSQKRARLTAAATTSSSTTTTAATAPSIALAAAPRTRTTRAHPAPMDSTAPVESSSRSRRNKNESSDKGKEKEHEVRVRDNRDVRDRERDSLGLNMESGNNNPNEDDDNDSEGGGIGAFHQNLTSASSALQGLLRKLGAGLDDLLPSSGMPSASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAETFASSPEKLDELCNHGLVTQAASLISTSNSGGGQASLSPPTYTGLIRLLSTFASGSPLGSKTLLLLGISGTLKDILSGSGVSANSSVPPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNMFVKGPVVKKSPSSSSGKQDDLNGNVPEVSAREKLLKDQPDLLKQFGMDLLPVLIQIYGSSVNSPVRHKCLSVIGKLMYFSSSEMIQSLLSVTNISSFLAGVLAWKDPHVLVPALQIAEILMEKLPGTFSKIFVREGVVHAVDQLILAGNPNPTPTQASSAEKDNDYVTGTSSRSRRYKRRSSNSISEGNASEESKTLVPTIAGSPPNSIEIPMVNTNLRLAVGACAKTFKDKYFPSDAGASEIGVTDDLLQLKNLCMKLNAGVDDQKTKAKGKSKASGSLVVDSSANKEEYLIGVIADMLTELSKGDGVSTFEFIGSGVVAALLNYFSPGFFSKERISEANMSKLRQQALRRFKLFVGLALPTSLDEGSAAPMTILVQKLQNALSSLERFPVVLSHSSRSSSGSARLSSGLSALSQPFKLRLCRAQGEKSLRDYSSNVVLIDPLASLAAVEDFLWPRVQRSESGQKPSASVGNSESGTTPTGAGGSSPSTSTPTTRRHSSRSRSSVNIGDAARKEPSQEKSTSSSKGKGKAVLKTAQEEAKGPQTRNAARRRAALDKDAQMKSVNGDSSSEDEELDISPVEIDEALVIEDDDISDDDDDDDDDDDDHEDVLRDDSLPVCMPERVHDVKLGDAPEDSSSAPAASDSQVNPASGSSSRAAAVRGSDSTDFRGGSSYGSRGAMSFAAAAMAGLGAANGRGIRGGRDRQGRPLFGGSSDPPKLIFTAGGKQLNRHLTIYQAIQRQLVLDEDDDERYGGSDFISSDGSRLWSDIYTITYQRADGQTDRVSMGGSSTTTTSKSAKTGGSNLNSDSQLQRMSLLDSIVQGELPCDLEKTNPTYNILALLRVLEGLNQLAPRLRAQLVSDDFAEGKISGLEGLNATGGRVSVEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSANEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVALGMWRSNSSSGKPTMEIDEDGDKNGKANNDSRAVGDADVVQAPLGLFPRPFPPNADASEGSQFYKVVEYFRLVGRVMAKALQDGRLLDLPLSTAFCKLVLGQELDLYDILSFDVELGKVLQELHALVCRKHYMEALGTDNRDAIAGLHFRGTAIEDLCLDFTLPGYPDYILKLGDETVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDISSLQIFSPQELDYLLCGRRELWEPETLVDHIKFDHGYTAKSPAVVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAAANGTGPSESADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAIWEGQGSFDLS >Manes.15G058600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4491684:4497350:-1 gene:Manes.15G058600.v8.1 transcript:Manes.15G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEGQNASDSTKVEVGEIDTSAPFQSVKDAVTRFGEGAFSGEKPAIKKAKPHYAEIVLAKETRLHLAQKELNKLKDQLKNAETTKAQALVELEKARRTVEDLTQKLRKINESKDSAIKATETAKNQAKEIEEVKSGDASGSDGARKQDLESAREQYMAVFIDLDAAKQELRQIRQGCDASLEAKLAAVNQAAEAEHAVKANVEKVSELSKEISALQESIGQVKLASLQAQQEQAKIFAEKDVLRQSYKATLEASANKVIALKSEFDPELAWNLEKQLAETMNEIDTLQKQMENAKTSDLDSVKTVTSELDGAKESLQKIAEEEISLHSLVESLKLELENVKKQHSELKEKEAETESIAGNLHVKLRKSKAELEAAITEESKARGTSEEMFSTLHQLSSEAENARQEAEEMKCKAEELKKVAEATRIKLEEAEKKLRIALEEVEEAKTAETRALDQIKVLSEKTNAARASTSESGANITISREEYEALSRKVEESDTLAEMKVAAAMAQVEAVKASENEALKRFETMQKEIEDMKVATEAALKRAEMAEAAKRAVEGELRRWREREQKKAAETASRILAETERSLESSPRHYRIQKQNPAPQTYVEVRQLEKEKTSVSKKVLLPSLSGIFQRKKNQIEGGSPSYLPGEEPV >Manes.06G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25543576:25553509:1 gene:Manes.06G125700.v8.1 transcript:Manes.06G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEETESSVSIINSTPQSQDKEEERELDLGSDEPDTPSPLTVTSRVLYMLGDITAGPAHRFAQWLELVRKRSGKHRASGFPRRPPGLDTMPSGAGELLVASKRDPPSEQAQETSLWERLGKAAVLDIESSSFSWDMLSSLHHTEHSSSTEQSEDDMNKALEVTVNSGGVVFFALFNQHGNNDASSKEAAAVIKFSSSRMATQSERLGYEFARWLGVQTPQARVIHNCSTEWLQIKEAAEKARGTATLEGDEVGEVTCSELLEALELSRCLLLMSYIHGSPLLESSTAFVSRETAEKTAAALGRVLMLDLVIRNEDRLPCRQLRWRGNAANLLLADKIISANMNALEDVYDSAINRYGPRVIRALQKERRATSVDIRLNSHEPGIVSQGSDLSDVKESPKLSNKSLRSQTSDESVFSKLHKFHIVAIDSGVPRRPPAGKRANDQTNYPKVVELLLNSHDYSSNLLYEITGGKLGSSLDDTKTTDVRGIETTSVVQEFRSGFRAALRDLQGFHIFLLTLHQKLDSLLRAFLNITNKTSGEFDREDMMVPESPLQAGVHCPSPPTPSKDRFLNDNHPDFSDSELQKTTSKSLSSGNKESSDSRSPISRENWHGKFSKGSAEPLQCLRLTTKLRDIHKFAKVDTESNKELGQWNEMLRNDAIKLCQENNFNTGFFEGSDSNSVVDAYELKVRLEHILDRISLISDAANTEKPSSITNSLFIGGALAARSVYTLQHVGITHIVCLCANEIGQSDSQYPDLFEYRNYSICDNEDTNISSIFEDVCDFIDHVESIGGKVLVHCFEGKSRSATLVLAYLMLRKNFTLLEAWNAVRRVHRRAQPNDGFARILLDLDRKLHGKVSMEWQHRKPTMKVCPICGKNAGLSSSSLKLHLQKSHKRLSSGSVDSAMTMEIQKALDALKMTRSGSVSPTQSSSVMD >Manes.01G181900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36035728:36037924:1 gene:Manes.01G181900.v8.1 transcript:Manes.01G181900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIERIEYDPNRSSRIALIRWVEGGPLRLAKKFKAVEEFAPPQKILDSITTTTPGLFSLASLSREGDQRKVAYSCRSAAAYAVVGPQTGMSRGLKSLSTTWVSEGKKTSVGDVFLFAFSTPRAEPSTLSFCGSLNFPRIAVAGAKPNYFAPQLREKDGGKSTFSLSEVRKWNSQSNIWAHRMKRKAAVSWQSFKLQNTRVCWSC >Manes.02G072700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5568421:5569577:-1 gene:Manes.02G072700.v8.1 transcript:Manes.02G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHVSCKLSNPLLLKNSKSTKVIFPSGEIRHIHQPTKAAELMMETPNFFIVNSKSLKIGRRLCVLNADDDLQKANVYVMFPMNRKNSVVTASDLGALFMKANSAVKRVSAGKVRVRPDTTEDAVAVPRLSLEGIEEVSTPEFRHRMSMSRSRKPLLETIDEEPVRSR >Manes.10G139300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30700444:30701551:1 gene:Manes.10G139300.v8.1 transcript:Manes.10G139300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDVGVRIAARFHSHCPQTARLYYHPPANSDDQHIHHLDRRHHGIGSLSVSRDNRAGFCSPRAAFASPRAARGKEAKELFLFSV >Manes.06G151700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27923243:27927249:-1 gene:Manes.06G151700.v8.1 transcript:Manes.06G151700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTFTSPLHASLFPLAFESRYSICHPPLPAIISLPFHRPTQESHRNINTSTFPPSSIRLKPTKLIQPTTLRSHLSYPIISPDDHWGTWTALFATGAFGIWSERTKIGSMVSAPLVSTLVGLAASNLGIIPYETAAYSVVLEFLLPLAVPLLLFRADLRQVLRSTGTLLLAFLLGSVATILGTTVAFLMVPMRSLGQDNWKIAAALMGSYIGGSVNYVAISEALGTYPSVVAAGVAADNVICAIYFMVLFALASKIPPEASASSSGVEMDVQSDSAGKIPVLQTAKAVTVSFVICTIATYLTQLCKIQGGSLPAITAIVVILATAFPTQFGRLAPAGDTIAMVLMQVFFAVVGASGSIRNVIKTAPSIFLFALVQVTVHLGVVLGLGKLLKFDLKQLLLASNANIGGPTTACGMATAKGWNSLVVPGILVGIFGVAIATFLGIGFGVMILKYL >Manes.17G040900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23263804:23268063:-1 gene:Manes.17G040900.v8.1 transcript:Manes.17G040900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEVVASRWGTWEELLLGGAVLRHGTRDWDLVAAELRARSICPYTFTPEVCKAKYEDLQQRFSGSKSLESKLEFLKAEGINDSNVGYDSSQTESLVPFQKSDGVESSSKETSKDGLSAGSFTQETRTNWSPECQVPASASVEDVETKPEVPLSPKQEKVSNIATLAEAFCMGQGGSIRRRRGKRKRKSCSKDVKEGSVGESDFWGSTDVLSVARCKENSTSSSGQTGRGFEIDDKSRDLSKDGVAPNLMEIFNSIAENKCASVFRRRLDSQKRGRYKKMILQHMDFDTLRSRIANGSVTSVKEVYRYLLLLANNALVFYSKTTREYKSALLLRDIVMKSLRQHLKDYISKSTITLLSTTQSMLHLPGKPRSARPVNRKQLGKVSKSGNTIAKTPNTVKKPSDVQSLPSAESVTMTKKGSGRPRKAGRRSTMQSPERSPPKGRKRTRAR >Manes.17G040900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23263805:23268063:-1 gene:Manes.17G040900.v8.1 transcript:Manes.17G040900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRRALEVSEDSIGSLESKLEFLKAEGINDSNVGYDSSQTESLVPFQKSDGVESSSKETSKDGLSAGSFTQETRTNWSPECQVPASASVEDVETKPEVPLSPKQEKVSNIATLAEAFCMGQGGSIRRRRGKRKRKSCSKDVKEGSVGESDFWGSTDVLSVARCKENSTSSSGQTGRGFEIDDKSRDLSKDGVAPNLMEIFNSIAENKCASVFRRRLDSQKRGRYKKMILQHMDFDTLRSRIANGSVTSVKEVYRYLLLLANNALVFYSKTTREYKSALLLRDIVMKSLRQHLKDYISKSTITLLSTTQSMLHLPGKPRSARPVNRKQLGKVSKSGNTIAKTPNTVKKPSDVQSLPSAESVTMTKKGSGRPRKAGRRSTMQSPERSPPKGRKRTRAR >Manes.17G040900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23263805:23268063:-1 gene:Manes.17G040900.v8.1 transcript:Manes.17G040900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEVVASRWGTWEELLLGGAVLRHGTRDWDLVAAELRARSICPYTFTPEVCKAKYEDLQQRFSGSKALFEELRKQRMAELRRALEVSEDSIGSLESKLEFLKAEGINDSNVGYDSSQTESLVPFQKSDGVESSSKETSKDGLSAGSFTQETRTNWSPECQVPASASVEDVETKPEVPLSPKQEKVSNIATLAEAFCMGQGGSIRRRRGKRKRKSCSKDVKEGSVGESDFWGSTDVLSVARCKENSTSSSGQTGRGFEIDDKSRDLSKDGVAPNLMEIFNSIAENKCASVFRRRLDSQKRGRYKKMILQHMDFDTLRSRIANGSVTSVKEVYRYLLLLANNALVFYSKTTREYKSALLLRDIVMKSLRQHLKDYISKSTITLLSTTQSMLHLPGKPRSARPVNRKQLGKVSKSGNTIAKTPNTVKKPSDVQSLPSAESVTMTKKGSGRPRKAGRRSTMQSPERSPPKGRKRTRAR >Manes.17G040900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23263805:23268063:-1 gene:Manes.17G040900.v8.1 transcript:Manes.17G040900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIVVGWGSAPAWYPRLGFGRRRASSPIHLPVCKAKYEDLQQRFSGSKALFEELRKQRMAELRRALEVSEDSIGSLESKLEFLKAEGINDSNVGYDSSQTESLVPFQKSDGVESSSKETSKDGLSAGSFTQETRTNWSPECQVPASASVEDVETKPEVPLSPKQEKVSNIATLAEAFCMGQGGSIRRRRGKRKRKSCSKDVKEGSVGESDFWGSTDVLSVARCKENSTSSSGQTGRGFEIDDKSRDLSKDGVAPNLMEIFNSIAENKCASVFRRRLDSQKRGRYKKMILQHMDFDTLRSRIANGSVTSVKEVYRYLLLLANNALVFYSKTTREYKSALLLRDIVMKSLRQHLKDYISKSTITLLSTTQSMLHLPGKPRSARPVNRKQLGKVSKSGNTIAKTPNTVKKPSDVQSLPSAESVTMTKKGSGRPRKAGRRSTMQSPERSPPKGRKRTRAR >Manes.14G059900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5008416:5010526:-1 gene:Manes.14G059900.v8.1 transcript:Manes.14G059900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHPIGIPACFISSGNQVTDDPATVTRSGQSVFMSVYRTKMMDQCRLITITWCKNLLLHGLSVSVEGPEGENQYTCKVELKPWYFWRKQGSKRFIVDGKAIDIFWDLKAAKFNGETEPQSEYYVAVVCNEEVVLLLGDLKKDAYRKTGCRPALIEPILVWRKEHIFGKKKFSTRMKFHEKGRLHEISIECKNGSPTKSNSANGVEPELEIRIDGHLAIHVKHLQWKFRGE >Manes.04G097600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30249552:30251186:1 gene:Manes.04G097600.v8.1 transcript:Manes.04G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANENVSHLDSVDDFFTSALLILDNEENQEDVFSLYAEELQLQETLMGSVIVSQRQMGNPSSALMIEPPREHNLEEAGQSSSLSFCDICTEMKESNRMFATERCAHSYCSECISKHVAAKIEDNITKVTCPGLNCEAVLELETCRVKLSNKVIDLWEEALCKELISASQRFYCPFRDCSAMMVADSEGESIKEAECPFCHRSFCAWCCVPWHSGVECEVFQKLNEDERGREDLMVMEIAKEKKWSRCPNCKFYVERTEGCPHITCRCSFQFCYGCESEWTETHGGCRRE >Manes.08G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35600164:35602153:-1 gene:Manes.08G116600.v8.1 transcript:Manes.08G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPENGLGKLRKHPTFNFRSNSGKESDGRTTRSSADEASEEVMRVTRSIMIVKPPGYQNSPPVSPAGSTPPVSPFSGGRESFRFRRRSTSDAYEKASEVGPRSPPPPYNV >Manes.08G116600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35600164:35602153:-1 gene:Manes.08G116600.v8.1 transcript:Manes.08G116600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPENGLGKLRKHPTFNFRSNSGKESDGRTTRSSADEASEEVMRVTRSIMIVKPPGYQNSPPVSPAGSTPPEAESHFGFEEDRHRMRTRRQARLDPGVLLLLTTCEI >Manes.07G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15103521:15106878:-1 gene:Manes.07G069100.v8.1 transcript:Manes.07G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLQPAIRSAAAAAAAAATAVRVGPTLPLLQRHRITNNRPLYPSNCPRKFTQLISFPCASLSYDPLNSRYSKLSFIKTNASSPVSPAFTPSNDEAERAKLAQVAKRLESTSKYFKRLGSLGFWGQLICTVVAAVILSFSVVITGKISSPATFYATAGGIAAAFISVFWSFGYIRLSEKLRKTANDPSKAPPRVDVVKSLKNGIVVNLLGMGAAILGMQATVGLLVAKALTSSVNPYYQGTSPGYSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPAAESIPIPRVA >Manes.12G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28970626:28974637:-1 gene:Manes.12G106400.v8.1 transcript:Manes.12G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPDQTRDDESEGCSSQKTSLDGEDEKGKRVGSMSNSSSSAVVIEDGSDEEDGSGERGRIKKRSGKIFGFSVTRDNSSMESDPLVTRQFFPVEDQEMGATSGGGGGVGAGFPRAHWVGVKFCQSESLASPKSAEVSQPLKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYENELNSSESSGNAADHNLDLSLGNSGSKQNSVGFSNDRQNAGMDQQSAPMAFEADWRNRGIRPKLNLSRSDDSDDHRREGYCETETMQLLSQTHIQSPGNEGQRYGQFKRPGENQMFHGFSPNYQMQFPSSSNGGRIGSDLSLSPSDYHHHHQQRQWQSRPPPPHQLVANAAASSGFPQQIRTPQNWLQKNGFHSILRPS >Manes.12G106400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28970626:28974637:-1 gene:Manes.12G106400.v8.1 transcript:Manes.12G106400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPDQTRDDESEGCSSQKTSLDGEDEKGKRVGSMSNSSSSAVVIEDGSDEEDGSGERGRIKKRSGKIFGFSVTRDNSSMESDPLVTRQFFPVEDQEMGATSGGGGGVGAGFPRAHWVGVKFCQSESLASPKSAEVSQPLKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSIEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYENELNSSGNAADHNLDLSLGNSGSKQNSVGFSNDRQNAGMDQQSAPMAFEADWRNRGIRPKLNLSRSDDSDDHRREGYCETETMQLLSQTHIQSPGNEGQRYGQFKRPGENQMFHGFSPNYQMQFPSSSNGGRIGSDLSLSPSDYHHHHQQRQWQSRPPPPHQLVANAAASSGFPQQIRTPQNWLQKNGFHSILRPS >Manes.02G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11000224:11024398:1 gene:Manes.02G143700.v8.1 transcript:Manes.02G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNHGNLANKMSGLSLNDSASLSSNLNFDINNSTATTTATTANNNNDSLFQVMKAVEAAEATIRQQVEENMRLRTELQKKIQELEKYKVDESIAQRSHSIDQWNERVHGPYEPHQSVPSVGDLEDKVKSMGITPAVQPSGMLVLHQDLKLNMEDPAIKSRVENRSVSSKINGTLKVLSGGQATIDSAGLSQLSSPSTTSFSPSRFQMDGEYDPQLNLSSNGQMQMAEVNNPSGLWKQDFISKIREHEEEILLLRKHLSEYSLKEAQIHNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENVRLTYELQAAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVRVLFRHLQEKLIQTESKLKDSQYQLAPWRSDLNHSNVAPQSPSHSPGGALNKHGLELVAQPTYSEGKIPMTPLDAQTTDWDQLSHQQRGLGGAVSKNLELDDVVRYSPLASRNPATHDIQQQFAVTRADARAVQYGDETSNKQVTFREPVSNNESDDPDTEGQQNEGEPSANWGTTALDDPGSSYSPYLPPVLEEPTSSFSEAAEDDPLPAIEGLQISGEAFPGRELQASGYSINGTTSCNFEWIRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFANEQRKIICDPEMQKHIEKTLYSGHASYKVSLSTGFLGIWEPATLAIKREGYSIKCSGSSGVVVTEKFSPNISVAIPYGQPTEFILTNSSNVQHVLRVDSNSMDTSCSRDTIVIILRIFIMRAGERRKGKKNRLFFHK >Manes.02G143700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11000727:11014871:1 gene:Manes.02G143700.v8.1 transcript:Manes.02G143700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNHGNLANKMSGLSLNDSASLSSNLNFDINNSTATTTATTANNNNDSLFQVMKAVEAAEATIRQQVEENMRLRTELQKKIQELEKYKVDESIAQRSHSIDQWNERVHGPYEPHQSVPSVGDLEDKVKSMGITPAVQPSGMLVLHQDLKLNMEDPAIKSRVENRSVSSKINGTLKVLSGGQATIDSAGLSQLSSPSTTSFSPSRFQMDGEYDPQLNLSSNGQMQMAEVNNPSGLWKQDFISKIREHEEEILLLRKHLSEYSLKEAQIHNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENVRLTYELQAAQQERSTFVSSLLPLLAEYSLQPPVPDAQSIVSNVRVLFRHLQEKLIQTESKLKDSQYQLAPWRSDLNHSNVAPQSPSHSPGGALNKHGLELVAQPTYSEGKIPMTPLDAQTTDWDQLSHQQRGLGGAVSKNLELDDVVRYSPLASRNPATHDIQQQFAVTRADARAVQYGDETSNKQVTFREPVSNNESDDPDTEGQQNEGEPSANWGTTALDDPGSSYSPYLPPVLEEPTSSFSEAAEDDPLPAIEGLQISGEAFPGRELQASGYSINGTTSCNFEWIRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFANEQRKIICG >Manes.09G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1749789:1759495:-1 gene:Manes.09G007300.v8.1 transcript:Manes.09G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGHMAVEEPLRLSSVLTSSKSSYLPSLTKLVGTLGPNSRSVETIEACLNAGMSVARFDFSWLDSDYHQETLQNLRLAMKNTKKLCAVMLDTVGPELPVCNQTGKPIELKADDHLTITSDVSKEPSAEFLPVDYCGLSEILKKGDTIFLGQYLFTGSESTSVWLEVLETNGQDVICLIKNTATLEGFILMHVSQVHESLPNLSDKDKQVILEWGSHNNVDLISLSYTRSVEDVRELRAFLETNNLNETQVFAKIETVEGLKHFGEILQEADGIILGRGNLGIDLPPEKVFLFQKSAVYKCNMVGKPVIISRVVDSMTANLRPTRAEATDVANAVLDGADGILLGAETLRGLYPIETIKVVGRICAEAETVCNHSVHFKRISKHVGEPMSHAESVASSAVRAAIKAKAALIVVFTSSGRAARLIAKYRPPVPVFAVVIPRLRSNSLKWTCTGSAQARQLLGVRGVYPILASPNVATSGRSSEESGLKIALDHGKSVGLLKPNDQIVVFQKIADSSVVKIVELQA >Manes.18G139500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:16467399:16467965:1 gene:Manes.18G139500.v8.1 transcript:Manes.18G139500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKALVAFQSKLLNPCRNLFLFFRIKLRKPVFIRAFRFCAHRNKTRKAQPLTKNPISTAMFSFFRSPKRSRNMDRVGELRSVSKEENDRKFFPSPKLFPSPLTPAYITASRVAMKEAFGNEDVQDACRSFENYLVEMIVEEGKVRDLMDVEELLYCWKNLKSPVFIDLVCRFYGELCKDLFSPEDNN >Manes.06G106000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23867418:23871103:1 gene:Manes.06G106000.v8.1 transcript:Manes.06G106000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSSLKVFTNFTAGTRSFLPVRSSAFERRHWLNCEDSARNFRIVACSVDRNGNGGGGSSSSSGSGMGSDKSANSFLSRTQTYALLKQQMEVAAQSEDYEEAARIRDSLKSFEEGEPVLRLRRLLKEAITEERFEDAARHRDELKEIAPHSLLKCSSNATTLGIKVQVRSVYIEGRSQPSKGQYFFAYRIRITNNSDRPVQLLRRHWIITDANGNAENVWGVGVIGEQPVILPSTGFEYSSACPLSTANGRMVSYSFPFFCFEVLPTIEEHDMNEFSSSPELI >Manes.06G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23867418:23871065:1 gene:Manes.06G106000.v8.1 transcript:Manes.06G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSSLKVFTNFTAGTRSFLPVRSSAFERRHWLNCEDSARNFRIVACSVDRNGNGGGGSSSSSGSGMGSDKSANSFLSRTQTYALLKQQMEVAAQSEDYEEAARIRDSLKSFEEGEPVLRLRRLLKEAITEERFEDAARHRDELKEIAPHSLLKCSSNATTLGIKVQVRSVYIEGRSQPSKGQYFFAYRIRITNNSDRPVQLLRRHWIITDANGNAENVWGVGVIGEQPVILPSTGFEYSSACPLSTANGRMEGDFEMKHIDRVGSPTFNVAIAPFSLSILGDESEPF >Manes.17G119000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33147522:33148866:-1 gene:Manes.17G119000.v8.1 transcript:Manes.17G119000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLQAREGCFSSLRHRLPAAVTPIPFARKLDFSCKKDALVLRLSRWRCLHGVLLLLHAGAKRIQLILAVEGSYPSIMLAPLF >Manes.02G152500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11678114:11680019:-1 gene:Manes.02G152500.v8.1 transcript:Manes.02G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPGCDESGLKKGPWTPEEDQKLVDYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSVLGNKWSAIASHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTDLLASLPHLMALLDLKDLMNHRLLDDHSMRLQAEAVQLTKLQYLQYLLQSENSIASNSYGQNGIADVEILNLLNQIPAIKETPFLNSSQFENPASSYFFGLATSQPLHYSNQLPQMSDPQVLFNNQPSLNSEIGQAATLTTMVSQGDNNNNIDPSDSLWVLPSPTSSIPPTLPETSMSNPGDAFSAASSSGGGTYSHWPEIFLDDDSIMHEIS >Manes.13G145993.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36100294:36103954:1 gene:Manes.13G145993.v8.1 transcript:Manes.13G145993.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRPKGTT >Manes.13G026800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3550881:3563032:-1 gene:Manes.13G026800.v8.1 transcript:Manes.13G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIGHNLFQQSLIRPASFKHGSNLNSSGIPASYLFQSASVSRGLQISRSPISSSFYGKNLRVRKSKLAVVNPRPAITIPRAILAMDPASQLLGKFNLDGNVELQVFVSNHTSASTVQVHIQITCTSDSLLLHWGGKHDRKENWVLPSRYPDGTKNYKNRALRSPFVKSGSSSYLKIEIDDPAIQALEFLILDEAHNKWFKNNGDNFHVKLPAREKLIIPNISVPEELVQVQAYLRWERNGKQMYTPEQEKKEYEAARIELLEEVAKGTSIESLRARLTNKNEIKESSVSKTQSKIHAQAHRRWEKSTTSNERFQRNQRDLAQLVTKSATKKSAEEDVSVEPKPKALRAVELFAKEKEERVGGAVLNKKIFKLQDAELLVLVTKPADKMKVYVATDFKEPVTLHWALSRKGKEWLAPPPSVLPPGSVSLNEAAETQLKSISSTELSYQVQSFETEIEENFVGMPFVLFSNEKWIKNKGSDFYVELSGGPRPVQKDAGDGRGTAKVLLDTIAELESEAQKSFMHRFNIAADLMEDAKDAGELGFAGVLVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQNTYTSHPQYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALMDYIKSDLDISVYWKTLNENGITKERLLSYDRAIHSEPSFRRDQKDGLLRDLGNYMRSLKAVHSGADLESAIANCMGYKDEGQGFMVGVQINPISGLPSGFPELLRFVLKHVEDRNVEALLEGLLEARQELRPLLFKSNNRLKDLLFLDIALDSTVRTAIERGYEELNDAGPEKIMYFITLVLENLALSSDDNEEFVYCLKGWNYALSMSKSKSNHWALYAKSVLDRTRLALASKAEWYQQVLQPSAEYLGSLLGVDQWAVNIFTEEIVRAGSAAAVSLLLNRLDPVLRKTAHLGSWQVISPVEAAGYVVVVDELLTVQNLSYDRPTILVARRVSGEEEIPDGTVAVLTSDMPDVLSHVSVRARNSKVCFATCFDHNILDNLRANEGKLLNLKPTSADIVYSMIEGELADLSSNKLKEVGPSPIKLIRKQFSGRYAISSEEFTGEMVGAKSRNIAHLKGKVPSWIGIPTSVALPFGVFEKVLSDGSNQEVAKKLEVLKKQLEGGESSVLRRIRETVLQLAAPPQLVQELKTKMKSSGMPWPGDEGEQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSEDSSEIYAEVVKGLGETLVGAYPGRALSFICKKKDLNSPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSYDPLITDESFRKSILSNIARAGSAIEELYGSPQDIEGVIRDGKLYVVQTRPQM >Manes.18G052901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4415565:4421679:-1 gene:Manes.18G052901.v8.1 transcript:Manes.18G052901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSQMNENTTPRGNFLYAPTVLAPNSSPYGRTSSGSNVSNQQTQMPLSSFHLQSSECFQPEAHPIVKTEASTSQHAPKFDHYPLLRAHQALNQQQGNESSSEVEAIKAKIIAHPQYSNLLEAYMDCQKVGAPPEVAGRLAAARLEFHTKQRSSVSSRDTSKDPELDQFMEAYCDMLVKYREELTRPIQEAMDFMRRIETQLNMICNGPLRIFNSDEKSEGIGSSEEDQENSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAAFYMDGHYMGDGPYRLGP >Manes.18G052901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4415576:4421678:-1 gene:Manes.18G052901.v8.1 transcript:Manes.18G052901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSQMNENTTPRGNFLYAPTVLAPNSSPYGRTSSGSNVSNQQTQMPLSSFHLQSSECFQPEAHPIVKTEASTSQHAPKFDHYPLLRAHQALNQQQGNESSSEVEAIKAKIIAHPQYSNLLEAYMDCQKVGAPPEVAGRLAAARLEFHTKQRSSVSSRDTSKDPELDQFMEAYCDMLVKYREELTRPIQEAMDFMRRIETQLNMICNGPLRIFNSDEKSEGIGSSEEDQENSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAAFYMDGHYMGDGPYRLGP >Manes.08G172601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:41021748:41022047:-1 gene:Manes.08G172601.v8.1 transcript:Manes.08G172601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDSVKKDREELQEEIKKNAIETRNAILEELRSIFGTNIPDKGKGVAGESSEGVGEPAAAAGIPIGSLGGPSLLSSPRGYSHPSSVRDSNSMGFVTDA >Manes.02G100800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7855479:7858021:1 gene:Manes.02G100800.v8.1 transcript:Manes.02G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLQALQPLPLTVFLIIPSLIVLGLISRLRRRKPYPPGPRGLPIIGNLLMMDHLTHRGLAKLAKEYGGLFHLRIGNIHKMVVSSPEMARQVLQVQDNIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWESVRDEVDCMVKTVVAEKGKPVNVGELIFTLTMNIIYRAAFGSKNEGKDEFIRILQEFSRLFGAFNIADFIPWLGWMDPNGLKLNSRLAKARKSLDRFIDLIIDEHMQKKKEGNVSDDNITDMVDDLLAFYSDEPKVNESDDLQNSFKLTRENIKAIIMDVMFGGTETVASAIEWALAELMRTPEELKKVQQELADVVGLERRVEESDFDKLTYLKCTLKETLRLHPPIPLLLHETAEDTEVAGYYIPAKSRVIINAYAIGRDKNSWEDPDTFRPSRFLKDGVPDFKGNNFEFIPFGSGRRSCPGMQLGLYALDLAVAHLLHCFTWQLPDGMKPSELDMSDMFGLTAPRATRLIAVPNPRLLCPL >Manes.04G127533.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33026996:33033472:-1 gene:Manes.04G127533.v8.1 transcript:Manes.04G127533.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTEFPGGQVAEHTSAEDLLKKFSFDELARAARFFSDNRSLGVGDFGDVYKGILESGELVAIKKLKCKQDGEQKEELENQIKEVGSVSHPNLVKLVGYCCEEADRLLVLEFVPNKSLKFHLSDEEKRSKLKWSIRMGIALGSAKGLAYLHEQCNPKIIHRDIKSDNILLDSDFKSKVADFGLAKIFPEINIRHIPADKKEATIYTDPEISDEKSDIYSFGVILLELISGRRIYESDLDIVNWAKPLMTKGDSINVDYENLVDSTLKENYDQIEMEPIIYCAAASLYSPSPKLRPTMGQIVRTLEGKMPHKELWVVEGTQSASNDDYIAYELKTYTYSELAHATKSFTQGRQLGNGGFGSVYWGFLLGKKVAIKKLNHQDSGQDQEEFEKEVNAVGIVRHGNLVKLVGYCNTNADRLLVLEFVTNKSLRYHLNDEQGRSNLKWSVRMKIAKGCAKGLAYLHEECNSKIIHRDIKAENILLDENYKPKIADFGLAKFFPITNSVTHISSRWKGTNVYADPENYYTQQGKSIQQLSDKSDVYSFGVVLLELISGRKINDEHQVDIVKWAKPLMIKGNSIEINYNSFVDSTLKGYHDKKEMTRMIYCAAASVYLPAKRRPRMKKIVEALEGKMSPSELWAVEDVESGSRKPNELELQRPSLLQKFHFGILATAAGDFSRDHLLRQHGSCPVYKGELPGSDQRKVAIKKLDYMSFKQNKEEFEKEIMAISNVNHRNIVNLIGYCSDEEDNRLLVFEFVANNSLKFHLHENGGSTIDWTRRMEIAKGAARGLKYMHEDSGHKILHLYVKSDNILFDDKFIPKLAEFGSAKIFPDSLTHFSIKEIMQNSGYMAPEYQSTSKLTDKLDIYSFGVILLELITGKQPFGHFSGPNDMVNRAKGLLSKSLSEGKDKFDFVDNKLQKKYNTEQMGRMVACVLACVDDNPERRPRMSKILDVLEGNKSLEETILFLNLNIR >Manes.S023152.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2037169:2037981:-1 gene:Manes.S023152.v8.1 transcript:Manes.S023152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.09G049200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8363147:8367553:-1 gene:Manes.09G049200.v8.1 transcript:Manes.09G049200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRVNMTCVNHETGVVEPKKFGLLVNWQREYTMEDILTQLKKEMAAPHNRKLVQPPEGTYF >Manes.09G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8363147:8367553:-1 gene:Manes.09G049200.v8.1 transcript:Manes.09G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVGESLDLPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRVNMTCVNHETGVVEPKKFGLLVNWQREYTMEDILTQLKKEMAAPHNRKLVQPPEGTYF >Manes.07G140300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34194285:34197024:1 gene:Manes.07G140300.v8.1 transcript:Manes.07G140300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTPKALSPSPCLGKPRNPIPNPKFRSFKPHVTQFHCTCERQTERGIEFDTGESFFRHESATGRDLGVLAAALYRQSKGSLRVLDAMCGCGIRSCRYLLEAKADFVLANDANDEYRGIIVGNLKKVERGFGDTRRWVVSHFDANRVLTECYLQRDFFDLIDIDSFGSDSLFLRSAMSALRLNGLLYLTSTDGYSSGGHRPHCSLAAYGAYIRPMPCSNEIGLRMLIGGAVREASLLGYHVTPLFSYYSYHGPVFRVMLQVNRGKEHENRHYGFISYCSQCGNTRSFSWEELGQISCSCSSNKSLVLWMAAQNLKHC >Manes.07G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34194285:34197024:1 gene:Manes.07G140300.v8.1 transcript:Manes.07G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTPKALSPSPCLGKPRNPIPNPKFRSFKPHVTQFHCTCERQTERGIEFDTGESFFRHESATGRDLGVLAAALYRQSKGSLRVLDAMCGCGIRSCRYLLEAKADFVLANDANDEYRGIIVGNLKKVERGFGDTRRWVVSHFDANRVLTECYLQRDFFDLIDIDSFGSDSLFLRSAMSALRLNGLLYLTSTDGYSSGGHRPHCSLAAYGAYIRPMPCSNEIGLRMLIGGAVREASLLGYHVTPLFSYYSYHGPVFRVMLQVNRGKEHENRHYGFISYCSQCGNTRSFSWEELGQISCSCSSNKDCSPVTVSGPLWKGPLHNAAFIAEMLNLAEQWGWIGNSTGADLDKLLKRMLDESDPRLPVGYIKMDEMASRAKINSPSLKTMMSTLLKEGYAVSRSHIASNAIKTNCTMKECIRIAKEIQGAQNLDVK >Manes.09G086650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:26190950:26191069:1 gene:Manes.09G086650.v8.1 transcript:Manes.09G086650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIHIQLLLLPSLALFHVGLCSHRLKRLEKGDRRGNLS >Manes.03G118500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24458147:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGSCIQGNERMLIYEYMPNKSLDFFIFDRTGGKLLDWSNRFNIVCGIARGLLYLHQDSRLRIIHRDLKSSNVLLDADMNPKISDFGMAKTLREDQTEGNTKRVVGT >Manes.03G118500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24437534:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKMDMRLLLRGYQVIQDKD >Manes.03G118500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24458770:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGCCIQGEERVLIYEYMPNRSLDFLIFDFGMAKTFREDQTEGNTKRVVGTYGYMAPEYATDGLFSVKSDVFSFGILILEIISGQKSRGFYHPNHSLNLIGYAWRLWKEGSPLELAAPIILDSCHVSEVIRCIHISLLCVQQHAEDRPSMAYVVLMLGSETAVLPQPKEPDFCKDKGSTEAESSSSNQVSLSTNEISLSVLEAR >Manes.03G118500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24436960:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGCCIQGEERVLIYEYMPNRSLDFLIFGMYALKLSNFSWPSVNKQYIDVQFYLLDW >Manes.03G118500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24458146:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGSCIQGNERMLIYEYMPNKSLDFFIFDRTGGKLLDWSNRFNIVCGIARGLLYLHQDSRLRIIHRDLKSSNVLLDADMNPKISDFGMAKTLREDQTEGNTKRVVGT >Manes.03G118500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24437522:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGCCIQGEERVLIYEYMPNRSLDFLIFVVIWHQSMRLTGYSQ >Manes.03G118500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24436731:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKMDMRLLLRGYQVIQDKD >Manes.03G118500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24458770:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGSCIQGNERMLIYEYMPNKSLDFFIFDRTGGKLLDWSNRFNIVCGIARGLLYLHQDSRLRIIHRDLKSSNVLLDADMNPKISDFGMAKTLREDQTEGNTKRVVGTYGYMAPEYATDGLFSVKSDVFSFGILILEIVSGQKSRGFYHPNHSLNLIGYAWRLWKEGSPLELAAPIILDSCHVSEVIRCIHISLLCVQQHAEDRPSMAYVVLMLGSETAVLPQPKEPDFCKDKGSTEAESSSSNQVSLSTNEISLSVLEAR >Manes.03G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434310:24437683:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGCCIQGEERVLIYEYMPNRSLDFLIFDRTGGKLLDWSNRFDIVCGIARGLLYLHQDSRLRIIHRDLKASNVLLDADMNPKISDFGMAKTFREDQTEGNTKRVVGTYGYMAPEYATDGLFSVKSDVFSFGILILEIISGQKSRGFYHPNHSLNLIGYAWRLWKEGSPLELAAPIILDSCHVSEVIRCIHISLLCVQQHAEDRPSMASVVLMLGSETALLPQPKEPGFLKEESSSSNHVSWSTNEISVSVLEAR >Manes.03G118500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434292:24437534:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGCCIQGEERVLIYEYMPNRSLDFLIFGMYALKLSNFSWPSVNKQYIDVQFYLLDW >Manes.03G118500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434318:24458301:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGCCIQGEERVLIYEYMPNRSLDFLIFDRTGGKLLDWSNRFDIVCGIARGLLYLHQDSRLRIIHRDLKASNVLLDMYLALGF >Manes.03G118500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24434292:24437683:1 gene:Manes.03G118500.v8.1 transcript:Manes.03G118500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVTSLLFTSQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLIDASDGHSGIYLWQSFDYPTDTFLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGKPQLKPNQPFSFNFVHSDDEVYCAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDNWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKNMSLEECRGKCLNNCNCTAYSVVDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPVVVLAFAFLGVCYYFCQRRIIKLKDKNEIDGSDESSEEDLDLPLFDLVTITRATNKFSLNNKLGEGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGCCIQGEERVLIYEYMPNRSLDFLIFDFGMAKTFREDQTEGNTKRVVGTYGYMAPEYATDGLFSVKSDVFSFGILILEIISGQKSRGFYHPNHSLNLIGYAWRLWKEGSPLELAAPIILDSCHVSEVIRCIHISLLCVQQHAEDRPSMASVVLMLGSETALLPQPKEPGFLKEESSSSNHVSWSTNEISVSVLEAR >Manes.13G145890.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36050398:36053240:1 gene:Manes.13G145890.v8.1 transcript:Manes.13G145890.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEDEASTTQQPSSTYYLFLTIMSKRRTWVCLFVLVYAILLSTSWNFLKSVLSWCKEQSQVTTAASCGWPALYASVLLGAVFGFLSMVAALAVAVPATLVIWITVLVLLTFFGKPRRALVIEGRKITREIVGCVLKILLKEGNVVAAVCAVLGYFALVRRNYEGN >Manes.13G145890.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36050398:36052683:1 gene:Manes.13G145890.v8.1 transcript:Manes.13G145890.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEDEASTTQQPSSTYYLFLTIMSKRRTWVCLFVLVYAILLSTSWNFLKSVLSWCKEQSQVTTAASCGWPALYASVLLGAVFGFLSMVAALAVAVPATLVIWITVLVLLTFFGKPRRALVIEGRKITREIVGCVLKILLKEGNVVAAVCAVLGYFALVRRNYEGN >Manes.09G079600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:15263568:15267110:1 gene:Manes.09G079600.v8.1 transcript:Manes.09G079600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSILSLQVHYLQFIYTSIGYKRMHLYAYHHLAQHHNKERGQVLMMSLKSISLTFAVAISAVLASTFVINPSPSLFLKQLIELGFTIEAHFYHQHHHNKHPSKDQIVNICDDFPPDIPPPDTNITSTLCVDRNGCCNFTTVQSAVDAVANFSQKRTIIWINSGIYYEKVTVPRNKQNITFQGQGYTSTAIVWNDTANSSHGTFYSGSVQVFSNNFIAKNISFMNVAPIPGPGDIGAQAVAIRIAGDQAAFWGCGFFGAQDTLHDDRGRHYFKECYIQGSIDFIFGDARSFYEDCQLISMANPVAAGQRVINGAVTAHGRSSKEENTGFAFVNCSIGGSGRIWLGRAWRPFSRVVFAFTSMSDIIAPEGWNDFNDPSRDQTIFYGEYNCSGAGANTSTRAAYVQRLNDTQASTFLNISFIDGDQWLLSYNNS >Manes.16G122601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32470744:32472872:-1 gene:Manes.16G122601.v8.1 transcript:Manes.16G122601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLDLSLSTTHNPIDGSNLNNKGFDPHDQILGLKPWSHDGCLLDKKRSFEDAFGKFKDSPQALSLLLWSGHPNDEDDEKREKKRLSCRLSKMNEEVMEGDDLVVGWPPIKSWRKKVLRQQPSGGRIVNNRVAGGGGSDLINSKYVKVKMEGVAIARKIDLKLFHSYQTLTRSLITMFGKCQQLEKDNANYRLAYQDKDGDWLLAGDIPWQSFIESVHRLELLRNGG >Manes.03G172900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29736753:29739528:-1 gene:Manes.03G172900.v8.1 transcript:Manes.03G172900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQIYVVAALLFTLIGRISSISVTVNDVECVYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPADNVVHTVKGTSGDKFEFKAPKSGMYKFCFHNPYSTPETVSFYIHVGHIPNEHDLAKDEHLNPINVKIAELREALESVTAEQKYLKARDARHRRTNESTRKRVIGYTVGEYILLAIVSVLQVVYIRRLFSKSVAYNRV >Manes.16G057109.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17239132:17241353:1 gene:Manes.16G057109.v8.1 transcript:Manes.16G057109.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNDQMLQNSTSDSNQTSTVASTEITTSSKAKRKPVKPRSVVWDHFTKFVNSEGELKGKCNYYKKEFCCDPKKNGTTALRNHLNSCKKHPHSIETRQAQLSLQKNASDNDVNDLGTLTTWKYDENAIRKALVHMIIIDELPFRFVEGEGFRSFMRAICPRSKLRSFFKKNYQRVSLTTDTWTSLQCINYMCITAHFIDNDWKLHKRIISFCPISSHKGEALGRAIETCLLEWGLDKVFTITVDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMAHIINLVVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKECVLHEKIERKSSLCLDVPTRWNSTYLMLNTTQKYERAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADILAHFYELTLRISGSRYVTSNLFFSEVSDLAFILNQWINSNDLHMKSMGERMRVKFDKYWGDVHKMNKIIYFAVVLDHPRDKFEFMEYSFSQMYGKEKGVELFNKVKSCLFEFLNSYLKHHYKKQKLEESGGFDSKTELEVYLRKMARDILTILVSAVASESAFSTGGRVLDSFRSSLTPKIVEGLICAQDWIRPSNVQVNVEKDLEELEKLEEELPSVGITYGLGGSCSTPTS >Manes.S049816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1656197:1656730:1 gene:Manes.S049816.v8.1 transcript:Manes.S049816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSILTTKQSLQPNGITLRTTTLPRLLARRIQHCTRCHAFPKHSRCLNKTVETEIIPSTLATQTAWRERVGHRESPITATKETRRTILQHMPRQLMRRFGETGGMKRHALT >Manes.15G130900.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451269:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRTRMQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRTRMQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSDYRLMGLVMAVEAHKISSFLEELHSLFVES >Manes.15G130900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451968:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRTRMQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSDYRLMGLVMAVEAHKISSFLEELHSLFVES >Manes.15G130900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRTRMQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRTRMQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451269:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRTRMQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451959:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRTRMQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451968:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSDYRLMGLVMAVEAHKISSFLEELHSLFVES >Manes.15G130900.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSDYRLMGLVMAVEAHKISSFLEELHSLFVES >Manes.15G130900.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10452212:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSNIAVVLLWLCW >Manes.15G130900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451968:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSDYRLMGLVMAVEAHKISSFLEELHSLFVES >Manes.15G130900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKGASRADFVFFDPKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSDYRLMGLVMAVEAHKISSFLEELHSLFVES >Manes.15G130900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10451285:10455607:-1 gene:Manes.15G130900.v8.1 transcript:Manes.15G130900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRRTRMQRRSTMVRPVTFSSCIRFVSNLATAYMTKHQKLDVKLQRYSPDAPGDSFAKLSLEEKSELSESSEEEESKSDDFHGVKMLLQNYLDNKQWDLSGFVDLILGQSTVGTVVKKEDDEDDGLFSVVTALNLGRYKDHKCIMEIREFLIEVCQDRNVIDDLRLLWTEQAHDVGLLVSQRVTNLPLQLLPLLYSALFYEVLLAAEDEPTEELRKALCFKSYLIVSKIYKHKNADYEKGESCQNEEFIIYIKPEDEIFHKLCLWSFCFPLHSEDVTTHEVSDYRLMGLVMAVEAHKISSFLEELHSLFVES >Manes.12G111500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30918261:30919714:1 gene:Manes.12G111500.v8.1 transcript:Manes.12G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRSTSCAVYFRKPNIAKAMSLLTLFIFFLFAGVVPSIAGKSHVIHFRTPNLYPEGLSYDPSAQHFIVGSLRDRTIHAVSDAGVVETLISDPSLPPNTSFAGLAVDSVNNRLLAVIHSLAPLAPFNALAAYDLRTRQRLFLSLLPDGDVSSTDRAVANAVTVDFRGNAYVTNSLGNSEGNFIWKVNAQGEASIFSRSPIFNQHPVDRNAPFNNSGLNGIAYVSKGYLLVVQSNTGKMFKVDVEDGTARTVLLNEDLSLADGIAMRSDGVVLVVTPVEAKLWFLKSDDSWGEGVVYDKTDLDAERFPTSVAVGREDRAYVIYGRVIEGIMGSEGREWFDIEEVKSERESKEENVWIFVLLGLGLAYFLFWRFQMKQLVKNIDKKTN >Manes.04G120750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32222308:32224399:1 gene:Manes.04G120750.v8.1 transcript:Manes.04G120750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSWVQALIPQLWDVVKGCWNCIVTRTEYFSDLQRNLESLKFEKKRLMVVKEVAEGRVTCEEQQLMQRNYEIRDWISSVVHVQNSMDEIIKEGEEEIQKKCVRCFFPKRFCGYQEVGKNVSSELSDLIQLIKGGDFGQVTEIKIAYNPVDEKPAAEEIVGLESEFNQVLESLWGCPRIKDLSTWVIHAPRLQTLVVCSCSSFEEIIADRFSSIDIWSFFQILDC >Manes.14G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4265999:4268181:-1 gene:Manes.14G049200.v8.1 transcript:Manes.14G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPAGEEKNMEEKKPEEAKKPEGDKAEKKEEEKPAEKPPVEEKKEEKKPDDSKESKEESPPPPQEIILKVYMHCEGCARKVRRCLKGFEGVEDVITDCKTSKVVVKGEKADPLKVLERVQRKSHRQVELISPIPKPPSEEEKKAAEEKEKPKPEEKKEEPPVITVVLKVYMHCEACAMEIKRRMQRMKGVESAEPDLKSSQVTVKGVFDPPKLVDYVYKRTGKHAVIVKQEAEKKAEEEKGKESKEEKKEDGGGGGGDKGNKGGEQGENKEKNESEGEAKPEAAAPTEETKVLELKKNEYYYYPPRHAMELYAMELHACTPQIFSDENPNACSVM >Manes.14G049200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4265999:4268181:-1 gene:Manes.14G049200.v8.1 transcript:Manes.14G049200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPEEAKKPEGDKAEKKEEEKPAEKPPVEEKKEEKKPDDSKESKEESPPPPQEIILKVYMHCEGCARKVRRCLKGFEGVEDVITDCKTSKVVVKGEKADPLKVLERVQRKSHRQVELISPIPKPPSEEEKKAAEEKEKPKPEEKKEEPPVITVVLKVYMHCEACAMEIKRRMQRMKGVESAEPDLKSSQVTVKGVFDPPKLVDYVYKRTGKHAVIVKQEAEKKAEEEKGKESKEEKKEDGGGGGGDKGNKGGEQGENKEKNESEGEAKPEAAAPTEETKVLELKKNEYYYYPPRHAMELYAMELHACTPQIFSDENPNACSVM >Manes.04G090052.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29536684:29539160:-1 gene:Manes.04G090052.v8.1 transcript:Manes.04G090052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAFWGTRMMEIVKKHDSGGLVWKRIKLTTTRKANAKKRLLRVWQNEAVLKACAEPPSSKTSTTDAVGVAEKDGAST >Manes.06G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19552757:19555019:-1 gene:Manes.06G061100.v8.1 transcript:Manes.06G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASMMTTLPQFNGLKPQFSAAPIKSLALVRPMRRKGNGALGTRMDFIGSPTNLIMVTTTSLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGTVGHIIGVGIVLGLKNLGSL >Manes.11G128700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29488572:29493493:1 gene:Manes.11G128700.v8.1 transcript:Manes.11G128700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKKVFLPHSKDKLANEADRKSTKEKKKGRGKLRHADTNSFIPLFRKPSSIEKILDEAEREHKLIFRPPTPPEQPKTPPFVPPRVASPKVPSQRVPSPRAASPRVSSPRAASPKVRSPRAASPKHVPRHKETYYRPEPTLRNHHASATKIQAAYRGYIARRSFRALKGLVRLQGVVRGQSVKRQTMNAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQYRSDKDVESTLGKWSLASEAGNGDWDDSLLVKEEIEARLQRKVDAVIKRERAMAYAYSHQLWKTTPKSAQSALADIRSNGFPWWWNWLDRQIPPTNTPESQALKNFQLTPPRPHSEMKPSPRPSSSNYKQQHFGFDTMDTPTPRSSKSVAFASTRPARTPVHRTPQANTSGLPKYSRARASTGAESPFDLPMKDDDSLTSCPPFSVPNYMVPTVSAKAKARANSNPKERFPGTPSSEKRRLSFPLTQGIGSFKWNKGSLFSNKDSTSHKQESLNLSVDSTVSMPATVGRKPFNRFV >Manes.11G128700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29487775:29493493:1 gene:Manes.11G128700.v8.1 transcript:Manes.11G128700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKKVFLPHSKDKLANEADRKSTKEKKKGRGKLRHADTNSFIPLFRKPSSIEKILDEAEREHKLIFRPPTPPEQPKTPPFVPPRVASPKVPSQRVPSPRAASPRVSSPRAASPKVRSPRAASPKHVPRHKETYYRPEPTLRNHHASATKIQAAYRGYIARRSFRALKGLVRLQGVVRGQSVKRQTMNAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQYRSDKDVESTLGKWSLASEAGNGDWDDSLLVKEEIEARLQRKVDAVIKRERAMAYAYSHQLWKTTPKSAQSALADIRSNGFPWWWNWLDRQIPPTNTPESQALKNFQLTPPRPHSEMKPSPRPSSSNYKQQHFGFDTMDTPTPRSSKSVAFASTRPARTPVHRTPQANTSGLPKYSRARASTGAESPFDLPMKDDDSLTSCPPFSVPNYMVPTVSAKAKARANSNPKERFPGTPSSEKRRLSFPLTQGIGSFKWNKGSLFSNKDSTSHKQESLNLSVDSTVSMPATVGRKPFNRFV >Manes.11G128700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29487784:29493493:1 gene:Manes.11G128700.v8.1 transcript:Manes.11G128700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKKVFLPHSKDKLANEADRKSTKEKKKGRGKLRHADTNSFIPLFRKPSSIEKILDEAEREHKLIFRPPTPPEQPKTPPFVPPRVASPKVPSQRVPSPRAASPRVSSPRAASPKVRSPRAASPKHVPRHKETYYRPEPTLRNHHASATKIQAAYRGYIARRSFRALKGLVRLQGVVRGQSVKRQTMNAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQYRSDKDVESTLGKWSLASEAGNGDWDDSLLVKEEIEARLQRKVDAVIKRERAMAYAYSHQLWKTTPKSAQSALADIRSNGFPWWWNWLDRQIPPTNTPESQALKNFQLTPPRPHSEMKPSPRPSSSNYKQQHFGFDTMDTPTPRSSKSVAFASTRPARTPVHRTPQANTSGLPKYSRARASTGAESPFDLPMKDDDSLTSCPPFSVPNYMVPTVSAKAKARANSNPKERFPGTPSSEKRRLSFPLTQGIGSFKWNKGSLFSNKDSTSHKQESLNLSVDSTVSMPATVGRKPFNRFV >Manes.02G181600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14446249:14453656:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRSKNQALLQMQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.02G181600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14446249:14453656:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRSKNQALLQMQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.02G181600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14446249:14453656:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISEALLQMQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.02G181600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14446227:14453704:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRSKNQALLQMQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.02G181600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14446222:14453656:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISEALLQMQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.02G181600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14446222:14453656:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISEALLQMQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.02G181600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14446249:14453656:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRSKNQALLQMQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.02G181600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14446249:14453656:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMKFLKFLFSFIYGASTKCTRTCYVISIILQALLQMQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.02G181600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14448141:14453656:1 gene:Manes.02G181600.v8.1 transcript:Manes.02G181600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIPSAINALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGLGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLCQSAVAARTALQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRTSQPAGYGDVGVAYPQMANATAIAAAFGGGLPPGISGTNDRCTVLVSNLNLDRVDEDKLFNLFSLYGNIVRIKFLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVSHLEEHGTIVNTKLFEMNGKKQALVLFETEEQATEAVVCKHASSLAGSIIRISFSQLQSIRETS >Manes.12G089826.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15514076:15517088:1 gene:Manes.12G089826.v8.1 transcript:Manes.12G089826.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNDTDEEKNDLKNQFVFNINKDLIIDPRHIHVRKLIAEGPYSLVYAGEYQSRHVAMKIIQPMKVSDVIFEHKVKFQREVMLQSKLNHENIVKLIGASVEPTMFLVTELMRGDTLQKHLLSTRPKPLDLKLCISFALDISRAMEYLHENGIIHRDLKPSNLLLTDDKKRVKVSDFGLAKEEITNEMTCEAGTYKWMAPEIFSKAALQIGMKKHYDHKVDVYSFSIVLWELLTNKAPFKGRDNMIVAYAAAANNERPSLENIPAELATLLKSCWSEDPALRPEFLEITKYLKDFLYSTWPAEMKAPEVMEIEDVENKKGEDYRGSGRVKKKSDKRAKKNKSSSLNFRVCFENCFSE >Manes.08G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16641334:16644175:-1 gene:Manes.08G075000.v8.1 transcript:Manes.08G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENRGSIAFFATYKPPVPLDIYSCPLPPTGRHDELHMTDGLSSNYDCRIIPSEALKTIIKRPKLASEANEADVDSGRLSGLIFVSERDKNLETLHIALRFTEKVKVFSFSDVYGTFSDVRMEDSGSIGGGYRVGNRTIDHSVVYVTTKDTPKDRRQPWTAVYKTNLKTGKTERLTPSGVSDLSPSVSPSGRKIAVASFQGKGWNGEIEDLQTDIYVMNVEKPPLERKKIIKNGGWPTWGSDNIIFFHRKVGDFWGVFRFDIASGETVRVTTDGIDAITPAAISDTKVAVATIRKKSSFSDVRGEAQYRHIEIFDSSAPDQPIKITQITRPKADHFNPFVLDGGKRIGYHRCKSDLLNHGDEIPRNFHKLHSPHPDVGLFRVSGVFPTFSKDGSKLAFVDNEFKAVWVADSQGLRIVFETKGPDNIFSPVWNQNPQKDILYVCMGPSFNAGKTLEICAIPNVSSGLRQRSKLTKKFNNAFPSTSPDGNKLVFRSTRDGGDKKHKNLYIMENAEVGEYGNGKITRLTNGPWTDTHCQWSPSGDWIVFSSTRDKPKDAPETDNGLDPGYFSVFLIKANDPSVVVRVIKSGDDLSGHVNHPFFSPDGKSIAVTSDLAAVSVDPISLPLFLHSVRPYGDVFTVDINTDDITKNRDVKKFNRITHSRYENSTPTWTMFATADPNATWNLLLKDDYTPSCPYMYPDGGESWHMTGHLCIPKRCC >Manes.02G029101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2517331:2520837:1 gene:Manes.02G029101.v8.1 transcript:Manes.02G029101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCISTIKYSVLSESLLLGPIVPSRGLRQVDPISSYLFILCAEGLPRMISAKVNQAPIISHLFFADNSLVTFQVSGREARVVKEIMDGYAEASGQLINYSKSSLCFSRNTPLDQKDLGAYLGLSTRIEINKCKKLNSWKRRCLSRAGKKVMLKTVLQALPTYAMNLFLVPQIICYELRGSSHERGMHWVSSERMAKSKFDGGLGFKKLHESNLSMLGKHAWAIFTRDNSLVARTLEAKYFPFGSFLDSEIESNPNYLWRSLWESKKLIASGSLKRIGNSRSISIWEDPWVPLNLNGKVSTPQQTDCDVFLVSDLIKYGCWKRDLILSIFNQVHTHNILSITLSITGQADNCIWKFEKCGFYSVRSAYRLLIQTTHLSCSVVVLNLVWRACLNAIPCLVNLICRNVQVSEFCHVCSSQSKTIIHALVTCHFARDCWMILNLVDSSPICSHLVIVWALWENRNTVVWKQKMCPPHVMIRRVKSLLQDWEAAKSCRELVVNAPICVQWKKPPIESFKLNVDAALLLYQAMGMCCVLRGWNGEFIAARQQRILGNFDAFTVKAMPFREVLSWLKDDGWSPIIVESDAQLLKLSSFSSLVFYCKSLISDMISIFINFVHKSANCVTHALARTSHSIPGLVETLLSHTIIWHFVPYRYTFLI >Manes.01G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5374061:5375632:-1 gene:Manes.01G025500.v8.1 transcript:Manes.01G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGTRLPSFCLNRIRPHVRVRSPPLQSKLNVNISTAKDDQKVTTENPAGEEKSCEGAVKPLIGRKIMIVVDSSLEAKGALQWALSHTVQSQDLLILLHVAKPSNKQATTDESIKEKAPRAYELVNSLKNICQMKRPEIQTEIAVVEGKEKGPLIVEEAKKQGVALLVLGQKKKSMTWRLIMMWASNRVTGGVVEYCIQNANCMAIAVRRKSKKHGGYLITTKRHKDFWLLA >Manes.01G025500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5374061:5375632:-1 gene:Manes.01G025500.v8.1 transcript:Manes.01G025500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGTRLPSFCLNRIRPHVRVRSPPLQSKLNVNISTAKDDQKVTTENPAGEEKSCEGAVKPLIGRKIMIVVDSSLEAKGALQWALSHTVQSQDLLILLHVAKPSNKQAATTDESIKEKAPRAYELVNSLKNICQMKRPEIQTEIAVVEGKEKGPLIVEEAKKQGVALLVLGQKKKSMTWRLIMMWASNRVTGGVVEYCIQNANCMAIAVRRKSKKHGGYLITTKRHKDFWLLA >Manes.15G174900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:16074889:16076187:1 gene:Manes.15G174900.v8.1 transcript:Manes.15G174900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQKRCRHCHAPYSPIPRSYSMHVVHPPEKKGDSYHVVALTSTTLGSLALDSPANYKDNVSAAIDILAAIENDRENSSVCDGQSKDLKNKEFSVGLIEAKSWSNKIQAKIPKIVPRTPVRTPPGEPETINTWELMAGLEEDDGSVHLPHRFRSFSFDVSRDPSPVLDSPRISGTKFSPNKPQTDPKPLWLQLADEEANSKFPQLDSQIFCSPRKSLQDLSPTHPFYLKPSDGEKQQSPPPSHPTAGNDPIKEFSKSGKEKVVVYFTSLRGVRKTYEDCCHVRVILKTLGVRIDERDVSMHSGFKEELRELLGEGYSGGLPKVFIGRKFIGGAEEIRRMHEEGELEKAVEECEMVEDDGGNCNGACEACGDIRFVPCETCSGSCKIYYEREEEEEEEEEDDGDEGEYGFQRCPDCNENGLIRCPICCY >Manes.02G107100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8340555:8342960:1 gene:Manes.02G107100.v8.1 transcript:Manes.02G107100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVLDFCDTNLAECVTIADLGCSSGPNSLFAIAEITSIIHKRFSQLGRSSPEFCVFLNDLPGNDFNTVFKSLPVFHEKMRAENGQDFGPCYFSGTPGSFYGRLFPSSSLHFVHSASSLHWLSQVPPQLSDKTNPLINKGKIYISNTSPPDVINAYKAQFQRDFSSFLEARSKEVVPGGHMVLMFKGRRLADPSPYESCLLWDYLGQAFQDLVSKGIIEEQKLDTYNTPYYEPYTEDIKAEIEKEGSFALDRLATIVLPWDGCNGGVKCDRATTARNMGNAIRAVNESMIRNHFGDEIMDCLFQSFTEIMATDTREAEHVSLVVSVIRKAI >Manes.02G107100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8340555:8342961:1 gene:Manes.02G107100.v8.1 transcript:Manes.02G107100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGKEMQQILSMKGGVGEESYANNSKSQAVLDFCDTNLAECVTIADLGCSSGPNSLFAIAEITSIIHKRFSQLGRSSPEFCVFLNDLPGNDFNTVFKSLPVFHEKMRAENGQDFGPCYFSGTPGSFYGRLFPSSSLHFVHSASSLHWLSQVPPQLSDKTNPLINKGKIYISNTSPPDVINAYKAQFQRDFSSFLEARSKEVVPGGHMVLMFKGRRLADPSPYESCLLWDYLGQAFQDLVSKGIIEEQKLDTYNTPYYEPYTEDIKAEIEKEGSFALDRLATIVLPWDGCNGGVKCDRATTARNMGNAIRAVNESMIRNHFGDEIMDCLFQSFTEIMATDTREAEHVSLVVSVIRKAI >Manes.02G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8340555:8342960:1 gene:Manes.02G107100.v8.1 transcript:Manes.02G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGKEMQQILSMKGGVGEESYANNSKSQNAYLSKTVPVLMQAVLDFCDTNLAECVTIADLGCSSGPNSLFAIAEITSIIHKRFSQLGRSSPEFCVFLNDLPGNDFNTVFKSLPVFHEKMRAENGQDFGPCYFSGTPGSFYGRLFPSSSLHFVHSASSLHWLSQVPPQLSDKTNPLINKGKIYISNTSPPDVINAYKAQFQRDFSSFLEARSKEVVPGGHMVLMFKGRRLADPSPYESCLLWDYLGQAFQDLVSKGIIEEQKLDTYNTPYYEPYTEDIKAEIEKEGSFALDRLATIVLPWDGCNGGVKCDRATTARNMGNAIRAVNESMIRNHFGDEIMDCLFQSFTEIMATDTREAEHVSLVVSVIRKAI >Manes.13G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30378088:30380916:-1 gene:Manes.13G104000.v8.1 transcript:Manes.13G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANGHRFETVIDVGKPANFTGGLEFTSLTYTVSKKQKVDGKWLSQEVDLLHKITGYAPKGCITAVMGPSGAGKSTFLDGLAGRIASGSLMGRVSFDGTEMSPSLIKRTSAYIMQEDRLFPMLTVYETLMFAADFRLGPISTTDKKQRVEKLIEQLGLSSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAQSVIEKVHHIARSGSTVILTIHQPSSRIQLLLDHLIVLARGQLMFQGSPKDVTLHLTRMGRKVPNGENSIEYLIDVIQEYDRSEHGVEALAQFVRTGMKPPKLSDEDMSVSSVVTPAPLSRGNRRQPEGSGQRRNGRKRLPLQTSVDESNEFDHSLRSPSWSGSNIGVVQTFRFTPTRQRNDRKLQNPMSTSPGYYTQSSEILPSTPTPHSSDYTVNENDYLTPNAPQNTLPYHLAPKFANSFFSETWILMRRNFKIIRRTPELFLSRLFVLTIMGFMMATMFINPKDNSQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFIRETSHNAYRASSYTISGLITFLPFLALQSGVYAAIVWKALKLRGPFYYFLLVLYVSLLSTNSFVMFVSSVVPNYILGYAAVIAFTALFFLFCGYFLSSHDIPMYWKWMNKISTMTYPYEGLLMNQYQTSDVFGHDPTGEAISGYSILESLNISTQEFKKWEKVLVIIGWAVLYRIFFYLVLRFFSKNQRS >Manes.17G094900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30303641:30303811:1 gene:Manes.17G094900.v8.1 transcript:Manes.17G094900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQRFEMCIQLLRLAMEFIIAVAEAIGIVIEQNTSHHRLPSHPYAASVPFVGLLP >Manes.07G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34650490:34656063:-1 gene:Manes.07G141300.v8.1 transcript:Manes.07G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILLQQPAFLSPNYNIGDGKITRKDSTSLSFPDWQGGFAVRYAKASYNRFLPHCAAAASSSAAPGSGSDPWLDSQTRQRTRKIAGVDQDELLDPKLLADPDSCFCEFKGVHIHHKVYTAENTLSQLPHSTSPKIGLPIILLHGFGASVFSWSRVMKRLAEITASKVLAFDRPAFGLTSRVDSFMHLSHGTEDTKPLNPYSMAFSVLATLHFIDFLEAEKAILVGHSAGSLVAVNSYFEAPERIAALILVAPAILAPSAFYNAAEGNNGGRDTRTEGDGSNSNVFKKNFVKLFEILLKFTTDIINAIGQRLKGMMDVLNSLYKKVLSAILCSAFGVTLIRMLIDKFGTAAVRFAWYNSKQVNEHVIDGYTKPLRAKGWDKALAEFTAATLAYEDSGSKPPLSKRFHEISCPVLIVTGDSDRIVPAWNAERLSQAIPGSCLKVIKHCGHLPHEEKVEEFVSIVEMFLQRAFGNYEEPSLQSVI >Manes.01G069600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27076621:27084941:-1 gene:Manes.01G069600.v8.1 transcript:Manes.01G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQNFMEMACTSSVTEEERQEQNQQAAVKEEECVHKTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLKNNLNFSPDIAEVSQEKLLSLVAERLIDSNSNVHNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRMDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGSKSYNALVGELVALETQNIEGGSKDNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPCSVSDHQTVRKGDLEEEAELLRVLKLSENELPTSMDDARVTNASEGVLSAGSDEYTCANGALPVNYVDISEEGHIGIDNNHCVLSSDLTSLGSRPGELSCSPPKIDQIKPSETLPGQMPCCPSEADQIKLLDQSTCKESTEHGSCNAIMENCSIESSVQISGITSLSCGKNSVDEDCMDISRGGDQLASITDIHEPAGISGGHDTAEVLSTPNPDSDSCSVIKQNADVPETFTSSFNGSEPIYEGEECILGSGTAFLEDREPVYEGEVILAEQADKTVRSNDKITPQEGELITNFLKNSANQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYILATDQGYINQPDLVWEKLNEVNGDTIFMTGNFKEFKVESNANDTWDEHNAIASTADYIASINPAAQAGLDMNSDLQLAIALQQQEFEQQQPQRHNLQQPTVSGGSGLITGPQVPRTSGKYPSSSSRPEAKSKDKCIVM >Manes.01G069600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27076621:27084356:-1 gene:Manes.01G069600.v8.1 transcript:Manes.01G069600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACTSSVTEEERQEQNQQAAVKEEECVHKTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLKNNLNFSPDIAEVSQEKLLSLVAERLIDSNSNVHNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRMDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGSKSYNALVGELVALETQNIEGGSKDNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPCSVSDHQTVRKGDLEEEAELLRVLKLSENELPTSMDDARVTNASEGVLSAGSDEYTCANGALPVNYVDISEEGHIGIDNNHCVLSSDLTSLGSRPGELSCSPPKIDQIKPSETLPGQMPCCPSEADQIKLLDQSTCKESTEHGSCNAIMENCSIESSVQISGITSLSCGKNSVDEDCMDISRGGDQLASITDIHEPAGISGGHDTAEVLSTPNPDSDSCSVIKQNADVPETFTSSFNGSEPIYEGEECILGSGTAFLEDREPVYEGEVILAEQADKTVRSNDKITPQEGELITNFLKNSANQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYILATDQGYINQPDLVWEKLNEVNGDTIFMTGNFKEFKVESNANDTWDEHNAIASTADYIASINPAAQAGLDMNSDLQLAIALQQQEFEQQQPQRHNLQQPTVSGGSGLITGPQVPRTSGKYPSSSSRPEAKSKDKCIVM >Manes.01G069600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27076621:27084423:-1 gene:Manes.01G069600.v8.1 transcript:Manes.01G069600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHSSLSNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRMDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGSKSYNALVGELVALETQNIEGGSKDNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPCSVSDHQTVRKGDLEEEAELLRVLKLSENELPTSMDDARVTNASEGVLSAGSDEYTCANGALPVNYVDISEEGHIGIDNNHCVLSSDLTSLGSRPGELSCSPPKIDQIKPSETLPGQMPCCPSEADQIKLLDQSTCKESTEHGSCNAIMENCSIESSVQISGITSLSCGKNSVDEDCMDISRGGDQLASITDIHEPAGISGGHDTAEVLSTPNPDSDSCSVIKQNADVPETFTSSFNGSEPIYEGEECILGSGTAFLEDREPVYEGEVILAEQADKTVRSNDKITPQEGELITNFLKNSANQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYILATDQGYINQPDLVWEKLNEVNGDTIFMTGNFKEFKVESNANDTWDEHNAIASTADYIASINPAAQAGLDMNSDLQLAIALQQQEFEQQQPQRHNLQQPTVSGGSGLITGPQVPRTSGKYPSSSSRPEAKSKDKCIVM >Manes.01G069600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27076621:27084445:-1 gene:Manes.01G069600.v8.1 transcript:Manes.01G069600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACTSSVTEEERQEQNQQAAVKEEECVHKTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLKNNLNFSPDIAEVSQEKLLSLVAERLIDSNSNVHNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRMDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGSKSYNALVGELVALETQNIEGGSKDNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPCSVSDHQTVRKGDLEEEAELLRVLKLSENELPTSMDDARVTNASEGVLSAGSDEYTCANGALPVNYVDISEEGHIGIDNNHCVLSSDLTSLGSRPGELSCSPPKIDQIKPSETLPGQMPCCPSEADQIKLLDQSTCKESTEHGSCNAIMENCSIESSVQISGITSLSCGKNSVDEDCMDISRGGDQLASITDIHEPAGISGGHDTAEVLSTPNPDSDSCSVIKQNADVPETFTSSFNGSEPIYEGEECILGSGTAFLEDREPVYEGEVILAEQADKTVRSNDKITPQEGELITNFLKNSANQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYILATDQGYINQPDLVWEKLNEVNGDTIFMTGNFKEFKVESNANDTWDEHNAIASTADYIASINPAAQAGLDMNSDLQLAIALQQQEFEQQQPQRHNLQQPTVSGGSGLITGPQVPRTSGKYPSSSSRPEAKSKDKCIVM >Manes.01G069600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27076621:27084356:-1 gene:Manes.01G069600.v8.1 transcript:Manes.01G069600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHSSLSNKDAGYVENQQQNIADAIDLLPCLATGIDVNIKFRRMDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGSKSYNALVGELVALETQNIEGGSKDNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPCSVSDHQTVRKGDLEEEAELLRVLKLSENELPTSMDDARVTNASEGVLSAGSDEYTCANGALPVNYVDISEEGHIGIDNNHCVLSSDLTSLGSRPGELSCSPPKIDQIKPSETLPGQMPCCPSEADQIKLLDQSTCKESTEHGSCNAIMENCSIESSVQISGITSLSCGKNSVDEDCMDISRGGDQLASITDIHEPAGISGGHDTAEVLSTPNPDSDSCSVIKQNADVPETFTSSFNGSEPIYEGEECILGSGTAFLEDREPVYEGEVILAEQADKTVRSNDKITPQEGELITNFLKNSANQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYILATDQGYINQPDLVWEKLNEVNGDTIFMTGNFKEFKVESNANDTWDEHNAIASTADYIASINPAAQAGLDMNSDLQLAIALQQQEFEQQQPQRHNLQQPTVSGGSGLITGPQVPRTSGKYPSSSSRPEAKSKDKCIVM >Manes.16G083201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28973725:28974754:-1 gene:Manes.16G083201.v8.1 transcript:Manes.16G083201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLIQLPYDASVRVILALLERNLLPDAVVRGLTRLLSASRLRSGYKPSSDLQLSDLFHFVHSLKQMPIAIMTEKPKAQHYELPTSFFKLVLGKNFKYRYFFFFCF >Manes.14G168200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27097400:27108538:-1 gene:Manes.14G168200.v8.1 transcript:Manes.14G168200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEEIRSLQLDSAEENNGVINPEDSKLEEVDKLDRMEEDSKDDVSVNSKEVQAESKVKDKEVSAPVDVGTPDEIEEDNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLVVVVNKMDDPTVNWSKERYDEIESKMTPFLRSSGYNVKKDVLFLPISGLLGTNMKTRMDKSVCSWWKGPCLFEALDAIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVTEGDTLLVMPNKTQVKVVAVYCDENKVRRAGPGENLRVRLSGIDDEDILAGFVLSGTAKPIAAVTEFVAQLQILELLDNAIFTAGYKAVLHIHSIVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRVQVNNMICIEKFADFPQLGRFTLRTEGKTVAVGKVTELPSGSTF >Manes.14G168200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27097304:27108538:-1 gene:Manes.14G168200.v8.1 transcript:Manes.14G168200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIEEEIRSLQLDSAEENNGVINPEDSKLEEVDKLDRMEEDSKDDVSVNSKEVQAESKVKDKEVSAPVDVGTPDEIEEDNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLVVVVNKMDDPTVNWSKERYDEIESKMTPFLRSSGYNVKKDVLFLPISGLLGTNMKTRMDKSVCSWWKGPCLFEALDAIEVPLRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVTEGDTLLVMPNKTQVKVVAVYCDENKVRRAGPGENLRVRLSGIDDEDILAGFVLSGTAKPIAAVTEFVAQLQILELLDNAIFTAGYKAVLHIHSIVEECEIVELLQQIDPKTKKPMKKKVLFVKNGAVVVCRVQVNNMICIEKFADFPQLGRFTLRTEGKTVAVGKVTELPSGSTF >Manes.05G134700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:23590683:23592020:1 gene:Manes.05G134700.v8.1 transcript:Manes.05G134700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENFSKEWVRPSSPTPSFLRTYKISLLDQFIPSICIPLILYYPCPTQDTDIALKRSLLLKQSLSETLAYFYPFAGKVKDNFSIDCDDEGVYYVEARANISLFEYLKQPDLPSMNKLIPVEASLQESTPGSHVAMIQETTFACGGFTIGVLVSHIVSDGTTLSSFLKAWAAIACKSSNEIVCPNFDGPSIFLQHDAFPKEVSMIAFTGPFFRKMKGSTRRILFDGSSIASLQMKATSLNVKNPTRVEVVTAFLSKCLMGIFNKPLAITHAVNLRRRAVPPFPEFSIGNFLWLAAALFTLKEIETEIELSSIVQQMREAIGKINDDTVKKLQGDGGFHELCGMVKEASGELARAGFISGAEYVSFTSWCNFGLYEVDFGWGKPVWTTSVGSNNSEIMFVNSVVLMDARKDKGIEAWMFLDEADILRLEKDEELLQYAFIDPCPLS >Manes.14G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2223475:2228004:-1 gene:Manes.14G023300.v8.1 transcript:Manes.14G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLRLPTLTSFFSSPSICRLPAFSGRHYYFRPPPLTVPFSAQSAASSPPETEDRVDKLKNRVNQDRVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMVNQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATLEEAEKEALLMIDVYTKFAYAQAAIPVIAGRKSKVETFAGAVRTYTIEAMMGDRKALQAGTSHNLGQNFSLAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNVAPIQVVIVPIWKKDNEKAGVLNAASSVKEVLQSAGIKVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDIPGKEGKVFGISMEPSILEAYVKDKLDEIQTSLLSRATSFRDSNIVDVSSYDELKAAISLGKWARGPWSASDADELKVKEETGATIRCFPFEQPQGTKMCLMTGKPAEEVAIFAKSY >Manes.14G023300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2223475:2227975:-1 gene:Manes.14G023300.v8.1 transcript:Manes.14G023300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLRLPTLTSFFSSPSICRLPAFSGRHYYFRPPPLTVPFSAQSAASSPPETEDRVDKLKNRVNQDRVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMVNQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATLEEAEKEALLMIDVYTKFAYAQAAIPVIAGRKSKVETFAGAVRTYTIEAMMGDRKALQAGTSHNLGQNFSLAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNVAPIQVVIVPIWKKDNEKAGVLNAASSVKEVLQSAGIKVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDIPGKEGKVFGISMEPSILEAYVKDKLDEIQTSLLSRATSFRDSNIVDVSSYDELKAAISLGKWARGPWSARCFFFSYLFCLSR >Manes.14G023300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2223475:2227992:-1 gene:Manes.14G023300.v8.1 transcript:Manes.14G023300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLRLPTLTSFFSSPSICRLPAFSGRHYYFRPPPLTVPFSAQSAASSPPETEDRVDKLKNRVNQDRVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVWANVTRWEMRTKPFVRTLEFLWQEGHTAHATLEEAEKEALLMIDVYTKFAYAQAAIPVIAGRKSKVETFAGAVRTYTIEAMMGDRKALQAGTSHNLGQNFSLAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNVAPIQVVIVPIWKKDNEKAGVLNAASSVKEVLQSAGIKVKLDDSDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDIPGKEGKVFGISMEPSILEAYVKDKLDEIQTSLLSRATSFRDSNIVDVSSYDELKAAISLGKWARGPWSASDADELKVKEETGATIRCFPFEQPQGTKMCLMTGKPAEEVAIFAKSY >Manes.04G038700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6014544:6017048:1 gene:Manes.04G038700.v8.1 transcript:Manes.04G038700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFFRSRLQLNNIFPLFVSNAQLGVLPFQSIFVIRSFSSIKSSNLNDRSFTVSYLVNSCGLTLNSAQTISKRVCLKTPEGPESVLRLLREHAFTNSQISSVVKMKPIVLLLHSERTLLPKLEFLYSIGVSREELPIIVSKNPELLCRSIERYLSPHYHILKSVLVCDEKVIKSMKRWLKASIVLSKNDFFANLSLLRGLRIPQSSISVLVIYHPMIMCLKALHFAEGVKKIIKTGFDPSEVKFVKALNILLGMTQKTWDHKMEAFKRWGFSEEEIWSIFRKSPSAMAISETNLMRKMNFFVCKMGWQPAAVGRVPVVLAYGLESRIMPRCSVVRVLLLKGLIKDDIPIPSILTSCEKSFLQRFVNKYQDQVPQLLDIFQGKMGLTELEFDFDDKPAILY >Manes.09G173200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37612749:37613524:1 gene:Manes.09G173200.v8.1 transcript:Manes.09G173200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFANSSSSSPSHSKTTRNQQGQQEEVRFLGVRRRPWCRYVEEIRDPSTKERHWLGTFDTAEEAALAYDWAARSMTGFKARTKFVYSDMPDISALFAPPCQYDCTFNFIASFPYANSCGLVAADDESVQRDGAGAGGTFQPIAATMDVGGSNNCPGDNIELPSLPPDMTSSCCYGSEVGHGFWNESNLFGGSYLGFNVNEFVQQSPLFGRVPSVSDTVTDGLDLGSSSDFLF >Manes.10G101501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24963342:24964589:1 gene:Manes.10G101501.v8.1 transcript:Manes.10G101501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGIASIVAGASTHPLDLIKVRMQLQGESHLPNPASLQALRPAIAFNTVAAGAGNISLPVEIAPPPARVGPISIGVRIIQSEGAAALFTGVSATILRQTLYSTTRMGLYDVLKHKWTDQDTGSMPLPRKILAGLISGGIGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVFDALTQMSKQEGIASLWRGSSLTVNRAMIVTASQLATYDQIKEMILEKGVMSDGIGTHVTASFAAGFVASVASNPIDVIKTRVMNMKVETGGEPPYKGALDCAMKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Manes.07G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32861666:32865102:-1 gene:Manes.07G125600.v8.1 transcript:Manes.07G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKKSEMANKNPKKANLSDHHSIKHILDESVSEIVTSRGYVEDLRLSNLRLFMGTIIIVIALVAQFYKKKFPENNDFLIGCIVLYIVFNGLLQLIAYTKVKNAIMFTYPPKESYTSTGLVVSSKLPRFSDDYTLSIASADPKSISAGKPVEFTKSVSQWFTEDGVLVEGLFWKDAEALIDDYAGEPKKNK >Manes.10G074037.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15067763:15068591:-1 gene:Manes.10G074037.v8.1 transcript:Manes.10G074037.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFLSLTERFFIFIFVGLLGCFLFYCKNGLALFEYSVHVISGGDKEDQRGLRSATTISKS >Manes.13G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:506262:515521:-1 gene:Manes.13G000700.v8.1 transcript:Manes.13G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSKMVIDVDAEPYTNDQHPPRKTLKRKRPSSTSTPLPFNLTIDQKTAQIEALNKELEGLFGYYKQVVNRKMGFEFSLDLRGNDCNTLNGMVGLLMEESNLPLSKLVEMIYRKLAGERLKENVSVTVAVVKSAVLFVGQRVMYGVPNVDADVLEDDNQSCLWCWETRDLKLMPKYVRGALTIRRMCRKKIHERISAVYAMLSALQKSDSDHTCRTDLMKASEKLGKVLHEADIRLLVDNMLQKHGAEMADKEAKREQKLLIKQLEKSKKEAEKEKRQTEKEQKRLQEEADKDEKRREKEESEMRRQLRKQQEEADKEQRRREKEEAELKKRIAIQKQASIMERFLKRSKSASPCQSDKASTELPTSDSTSKYSAKMPGAVTVTMDCSLSSNDNLRFDDIRKLHLSSWKQLGHAIRSNKKQHWSIRQKPKIELFKELKLTATRELAHDDGSVEKLVSRWGEQSFDDRSFETNVESSPDCKKWIRRKQFLQFDKSYRPAFFGIWPKKSNVIGPRHPFRKDPHLDYDIDSDEEWEEEDPGESLSDCDKDDEEQSLEEGCSKDDEEESEDGFFVPDGYLSENEGVQLERTETDLLVEGRGSQNYKQDLQNEEFCTLLQQQKYLNNLTETALRKNQPLIILNLMHEKVPLLFAEDLTGTEKLEKMCLEALSMRAFPGGLPMEISTVNIQAEDQDVYGSSGKAVSTHISTSTIQESDIPIIVSAIQSCSHSINKVVESLQQKFPTVPKSQLRSKVREISDFVDNQWQVKKEILDEVGISISPAKGGRGMQNISTFFSKRCLPPAGKSMNPNESSPQSSLKSGSAVEGQQAFTCSHL >Manes.04G062700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22162866:22171194:1 gene:Manes.04G062700.v8.1 transcript:Manes.04G062700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDLTHKLCASLMLPSLRNAGSPFSLIIGSLCLKHPNLFGGSEKLDVSWDKGLYDSNVLIAYRRPRPEWLAQQCFIMQHSVSPEIGIHGTPADNFSRSGSGGVNLSRFSVGLDLNEPASSQWSCTTSIKFEHVHLLNDDGRSITSDPDGFPVTCSGTPHDHMVVLKQESRYAKANDHSFSRCSMQIEQGIPVFSRWLIFNRFKFVATRGVKLGPAFFLASLTGGSIVGDMAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANSELTFPLVCNAFIIFQRIVTLTYIPYAYCYTL >Manes.04G062700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22162866:22171194:1 gene:Manes.04G062700.v8.1 transcript:Manes.04G062700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLRNAGSPFSLIIGSLCLKHPNLFGGSEKLDVSWDKGLYDSNVLIAYRRPRPEWLAQQCFIMQHSVSPEIGIHGTPADNFSRSGSGGVNLSRFSVGLDLNEPASSQWSCTTSIKFEHVHLLNDDGRSITSDPDGFPVTCSGTPHDHMVVLKQESRYAKANDHSFSRCSMQIEQGIPVFSRWLIFNRFKFVATRGVKLGPAFFLASLTGGSIVGDMAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANSELTFPLNKMLEGVVFLDCGTDLGSGRLVPGNPARRQSKPGSGIGFGYGLRLKSPFGHFQVDYAINAFHQKTVYFGISNLIS >Manes.04G062700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22162866:22171194:1 gene:Manes.04G062700.v8.1 transcript:Manes.04G062700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDLTHKLCASLMLPSLRNAGSPFSLIIGSLCLKHPNLFGGSEKLDVSWDKGLYDSNVLIAYRRPRPEWLAQQCFIMQHSVSPEIGIHGTPADNFSRSGSGGVNLSRFSVGLDLNEPASSQWSCTTSIKFEHVHLLNDDGRSITSDPDGFPVTCSGTPHDHMVVLKQESRYAKANDHSFSRCSMQIEQGIPVFSRWLIFNRFKFVATRGVKLGPAFFLASLTGGSIVGDMAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANSELTFPLNKMLEGVVFLDCGTDLGSGRLVPVLPSSISISVVILAWQSSF >Manes.04G062700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22162866:22171194:1 gene:Manes.04G062700.v8.1 transcript:Manes.04G062700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDLTHKLCASLMLPSLRNAGSPFSLIIGSLCLKHPNLFGGSEKLDVSWDKGLYDSNVLIAYRRPRPEWLAQQCFIMQHSVSPEIGIHGTPADNFSRSGSGGVNLSRFSVGLDLNEPASSQWSCTTSIKFEHVHLLNDDGRSITSDPDGFPVTCSGTPHDHMVVLKQESRYAKANDHSFSRCSMQIEQGIPVFSRWLIFNRFKFVATRGVKLGPAFFLASLTGGSIVGDMAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANSELTFPLNKMLEGVVFLDCGTDLGSGRLVPG >Manes.04G062700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22162866:22171194:1 gene:Manes.04G062700.v8.1 transcript:Manes.04G062700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLRNAGSPFSLIIGSLCLKHPNLFGGSEKLDVSWDKGLYDSNVLIAYRRPRPEWLAQQCFIMQHSVSPEIGIHGTPADNFSRSGSGGVNLSRFSVGLDLNEPASSQWSCTTSIKFEHVHLLNDDGRSITSDPDGFPVTCSGTPHDHMVVLKQESRYAKANDHSFSRCSMQIEQGIPVFSRWLIFNRFKFVATRGVKLGPAFFLASLTGGSIVGDMAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANSELTFPLNKMLEGVVFLDCGTDLGSGRLVPVLPSSISISVVILAWQSSF >Manes.04G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22162866:22171194:1 gene:Manes.04G062700.v8.1 transcript:Manes.04G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDLTHKLCASLMLPSLRNAGSPFSLIIGSLCLKHPNLFGGSEKLDVSWDKGLYDSNVLIAYRRPRPEWLAQQCFIMQHSVSPEIGIHGTPADNFSRSGSGGVNLSRFSVGLDLNEPASSQWSCTTSIKFEHVHLLNDDGRSITSDPDGFPVTCSGTPHDHMVVLKQESRYAKANDHSFSRCSMQIEQGIPVFSRWLIFNRFKFVATRGVKLGPAFFLASLTGGSIVGDMAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANSELTFPLNKMLEGVVFLDCGTDLGSGRLVPGNPARRQSKPGSGIGFGYGLRLKSPFGHFQVDYAINAFHQKTVYFGISNLIS >Manes.04G062700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22162866:22171194:1 gene:Manes.04G062700.v8.1 transcript:Manes.04G062700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDLTHKLCASLMLPSLRNAGSPFSLIIGSLCLKHPNLFGGSEKLDVSWDKGLYDSNVLIAYRRPRPEWLAQQCFIMQHSVSPEIGIHGTPADNFSRSGSGGVNLSRFSVGLDLNEPASSQWSCTTSIKFEHVHLLNDDGRSITSDPDGFPVTCSGTPHDHMVVLKQESRYAKANDHSFSRCSMQIEQGIPVFSRWLIFNRFKFVATRGVKLGPAFFLASLTGGSIVGDMAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANSELTFPLVCNAFIIFQRIVTLTYIPYAYCYTL >Manes.04G062700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22162866:22171194:1 gene:Manes.04G062700.v8.1 transcript:Manes.04G062700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGKAKIDVNVDLTHKLCASLMLPSLRNAGSPFSLIIGSLCLKHPNLFGGSEKLDVSWDKGLYDSNVLIAYRRPRPEWLAQQCFIMQHSVSPEIGIHGTPADNFSRSGSGGVNLSRFSVGLDLNEPASSQWSCTTSIKFEHVHLLNDDGRSITSDPDGFPVTCSGTPHDHMVVLKQESRYAKANDHSFSRCSMQIEQGIPVFSRWLIFNRFKFVATRGVKLGPAFFLASLTGGSIVGDMAPYQAFAIGGVGSVRGYGEGAVGSGRSCLVANSELTFPLLKGWRDQQ >Manes.03G061700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6777929:6782821:1 gene:Manes.03G061700.v8.1 transcript:Manes.03G061700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRTLSPVPRAGTLLNGEVCQVPSPLSKSSSSTQNFQTTRGFFSSFLGLSDSQAFVLGVFSPRSSRSLERSKPRGQVWRRAVFHFLVCFVVGIFVGLTPFVSMNLSANLISKSQAFSFEMVSTVGNFQTFEVMTTNRTSTTESELAENNTAMEPEVKEVKLADVVSNHAPPINQSIPEILDTAFQKLLIVVTPTNAQPFQAYCLNRLAHTLKLVQPPLLWIVVEMTSQSEQTADILRRTGVMYRHLVCNKNLTDIKDRSVHQRNVALSHIENHHLDGIVYFADSGNIYSADLFEQMREIRRFGTWTVAKVTENKSQGILEGPICNGSRVVGWHVNESSRRFQRFHADMSGFAFNSTILWDPKRWHRPTLEPIRLFDTVRNNFQVSSFIEQVVEDESQMEGMLENCSRVMVWNLHLESSNSFYPPKWYTISNLDVTAQLA >Manes.03G061700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6777929:6782821:1 gene:Manes.03G061700.v8.1 transcript:Manes.03G061700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRTLSPVPRAGTLLNGEVCQVPSPLSKSSSSTQNFQTTRGFFSSFLGLSDSQAFVLGVFSPRSSRSLERSKPRGQVWRRAVFHFLVCFVVGIFVGLTPFVSMNLSANLISKSQAFSFEMVSTVGNFQTFEVMTTNRTSTTESELAENNTAMEPEVKEVKLADVVSNHAPPINQSIPEILDTAFQKLLIVVTPTNAQPFQAYCLNRLAHTLKLVQPPLLWIVVEMTSQSEQTADILRRTGVMYRHLVCNKNLTDIKDRSVHQRNVALSHIENHHLDGIVYFADSGNIYSADLFEQMREIRRFGTWTVAKVTENKSQGILEGPICNGSRVVGWHVNESSRRFQRFHADMSGFAFNSTILWDPKRWHRPTLEPIRLFDTVRNNFQVSSFIEQVVEDESQMEGMLENCSRVMVWNLHLESSNSFYPPKWYTISNLDVTAQLA >Manes.09G137900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33771749:33776017:-1 gene:Manes.09G137900.v8.1 transcript:Manes.09G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLSAVATDVIQSCARKLDTSVEKLVEEFEGKWKLEAEISGYSRKFVEFCASKTLPEECKNVEEKISDGSFSRFTFDTMLAWEIPSSENEESRMECEGKTREDGKMIPKVAQEEDDIPLFYSDVMPLLINDEPSVGEDAFVWLAALVPFAADVVNGRFTFETLTAPTGNRLFFPAYDKFLKEISKCIKHLQKQTKPKGVELADDEYILHVEGTASSQRVVRHTGGTSWPGRLTLTNYALYFEASGAITYDDALKIDLSKDIEHTVKPTATGPWGAPLFDKAIIYESPELSEGIVLEFPEMTSSTRRDHWLALAKEVMLMHQFLSKYKVKCPIQSWEMHARTILGIIRLHAAREMLRISPPAPTKFLIFALFDELPKGDYVLEELAESLKKVNSGHPCSASSVLRSLNMFVQPLVSSIEVTQTDKECKSDQDNIHSLESAINQAREEAKDVEIAKATAEELKEEGISESVTVLIELLRPLKTVVPWFQEVISWERPHTTIRMIVATLIIVYKEWFGKAIAAFLLWIVSKMLQARLGRVHDKYNEIVVHTGSDQKTTVESIVAAQFGLRTAYELMQASNIAILKIWSIMCSKARKHADMTMVAMSVSAIIFALIPLKYIIMAITLYYFLMTSKLGKYIGNEQGNRRLKEWWDSIPVIPVHIEDKAPQRLT >Manes.10G067101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9774750:9800033:1 gene:Manes.10G067101.v8.1 transcript:Manes.10G067101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLHVLERRECEILRGYELRLLRCTVAPPLSPPPPSSVCSHHKDGDNIHNLYSLINELLSSIEAGNYLQALFSDAAELVTKFSDLPQCSSAEHVYSELLERVGRFMTDDGVDEEERACRVILVLCVAVAAIFFFTQCNITGPIDDIPRCPLPFKVPEGVKFVEWDDWARNQLMSGGCHLFGKFSNLQFIVFAKMLVMRTKDLLFEGTVSAIYGIRSISWWLARILLLQQRILDEHSSELFDLLQVNMGETLHHFGTLEQVTSYWGTNLYDDEASSIVSTVHLEAGITDYAYGRVDSCRLHFGSAEASAGLQLSITGVLGYRTVHQAEPKAQRVLLVNRSSSNSGATVTGCDSTVNEETLQLPQHESSDILITPKLVENGDESGFSTQCNKKSSLGGASPLTAVQQAVILAQCLLIEKSTRQDELQRWDMAPFIEAIDSQSSSLFILRYLCNFLRIRWESTRSHTKERALGMIEKLVEGIHKPFTGVAKRIPLSYVAYVPAIPNLQKEYGELLVSCGLIGEAIKIFEGLELWDNLIYCNCLLGKKAAAVELIKTRLSEIPSDPRLWCSLGDITNDDSCYEKALEVSNNKSARAKRSLARSAYNRGDYETSKILWESAMALNSLYPDGWFALGAAALKARDVDKALDGFTRAVQLDPENGEAWNNIACLHMIKKRSNEAFISFKEALKFKRDSWQLWENYSEVAMDVGNVRQALEAIQMILHITNCRQADAKLLERIMLEMERGASSRTSSHSMTRDNHSTNQTCFSDFHDDSINDSETQVEWSRETEQLVELLGKILQQIIKSNSRADIWGLYARWHKIKGDLTMCSEALLKQVRSYQGSELWKDRERFKKFAHASLELCKVYMEISSLTGSRRELFTAEMHLKNTVRQAESFSDTEEFRDLQACLDEVKMKLQPSSLPA >Manes.10G067101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9774750:9800033:1 gene:Manes.10G067101.v8.1 transcript:Manes.10G067101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMRTKDLLFEGTVSAIYGIRSISWWLARILLLQQRILDEHSSELFDLLQVNMGETLHHFGTLEQVTSYWGTNLYDDEASSIVSTVHLEAGITDYAYGRVDSCRLHFGSAEASAGLQLSITGVLGYRTVHQAEPKAQRVLLVNRSSSNSGATVTGCDSTVNEETLQLPQHESSDILITPKLVENGDESGFSTQCNKKSSLGGASPLTAVQQAVILAQCLLIEKSTRQDELQRWDMAPFIEAIDSQSSSLFILRYLCNFLRIRWESTRSHTKERALGMIEKLVEGIHKPFTGVAKRIPLSYVAYVPAIPNLQKEYGELLVSCGLIGEAIKIFEGLELWDNLIYCNCLLGKKAAAVELIKTRLSEIPSDPRLWCSLGDITNDDSCYEKALEVSNNKSARAKRSLARSAYNRGDYETSKILWESAMALNSLYPDGWFALGAAALKARDVDKALDGFTRAVQLDPENGEAWNNIACLHMIKKRSNEAFISFKEALKFKRDSWQLWENYSEVAMDVGNVRQALEAIQMILHITNCRQADAKLLERIMLEMERGASSRTSSHSMTRDNHSTNQTCFSDFHDDSINDSETQVEWSRETEQLVELLGKILQQIIKSNSRADIWGLYARWHKIKGDLTMCSEALLKQVRSYQGSELWKDRERFKKFAHASLELCKVYMEISSLTGSRRELFTAEMHLKNTVRQAESFSDTEEFRDLQACLDEVKMKLQPSSLPA >Manes.10G067101.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9775015:9786341:1 gene:Manes.10G067101.v8.1 transcript:Manes.10G067101.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLHVLERRECEILRGYELRLLRCTVAPPLSPPPPSSVCSHHKDGDNIHNLYSLINELLSSIEAGNYLQALFSDAAELVTKFSDLPQCSSAEHVYSELLERVGRFMTDDGVDEEERACRVILVLCVAVAAIFFFTQCNITGPIDDIPRCPLPFKVPEGVKFVEWDDWARNQLMSGGCHLFGKFSNLQFIVFAKMLVMRTKDLLFEGTVSAIYGIRSISWWLARILLLQQRILDEHSSELFDLLQVNMGETLHHFGTLEQVTSYWGTNLYDDEASSIVSTVHLEAGITDYAYGRVDSCRLHFGSAEASAGLQLSITGVLGYRTVHQAEPKAQRVLLVNRSSSNSGATVTGCDSTVNEETLQLPQHESSDILITPKLVENGDESGFSTQCNKKSSLGGASPLTAVQQAVILAQCLLIEKSTRQDELQRWDMAPFIEAIDSQSSSLFILRYLCNFLRIRWESTRSHTKERALGMIEKLVEGIHKPFTGVAKRIPLSYVAYVPAIPNLQKEYGELLVSCGLIGEAIKIFEGLELWDNLIYCNCLLGKKAAAVELIKTRLSEIPSDPRLWCSLGDITNDDSCYEKALEVSNNKSARAKRSLARSAYNRGDYETSKILWESAMALNSLYPDGWFALGAAALKARDVDKALDGFTRAVQLDPENGEAWNNIACLYVILFFSCSPLSLSFHSSLL >Manes.10G067101.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9774750:9787327:1 gene:Manes.10G067101.v8.1 transcript:Manes.10G067101.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLHVLERRECEILRGYELRLLRCTVAPPLSPPPPSSVCSHHKDGDNIHNLYSLINELLSSIEAGNYLQALFSDAAELVTKFSDLPQCSSAEHVYSELLERVGRFMTDDGVDEEERACRVILVLCVAVAAIFFFTQCNITGPIDDIPRCPLPFKVPEGVKFVEWDDWARNQLMSGGCHLFGKFSNLQFIVFAKMLVMRTKDLLFEGTVSAIYGIRSISWWLARILLLQQRILDEHSSELFDLLQVNMGETLHHFGTLEQVTSYWGTNLYDDEASSIVSTVHLEAGITDYAYGRVDSCRLHFGSAEASAGLQLSITGVLGYRTVHQAEPKAQRVLLVNRSSSNSGATVTGCDSTVNEETLQLPQHESSDILITPKLVENGDESGFSTQCNKKSSLGGASPLTAVQQAVILAQCLLIEKSTRQDELQRWDMAPFIEAIDSQSSSLFILRYLCNFLRIRWESTRSHTKERALGMIEKLVEGIHKPFTGVAKRIPLSYVAYVPAIPNLQKEYGELLVSCGLIGEAIKIFEGLELWDNLIYCNCLLGKKAAAVELIKTRLSEIPSDPRLWCSLGDITNDDSCYEKALEVSNNKSARAKRSLARSAYNRGDYETSKILWESAMALNSLYPDGWFALGAAALKARDVDKALDGFTRAVQLDPENGEAWNNIACLQDAGEPAKLP >Manes.10G067101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9774750:9800033:1 gene:Manes.10G067101.v8.1 transcript:Manes.10G067101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETLHHFGTLEQVTSYWGTNLYDDEASSIVSTVHLEAGITDYAYGRVDSCRLHFGSAEASAGLQLSITGVLGYRTVHQAEPKAQRVLLVNRSSSNSGATVTGCDSTVNEETLQLPQHESSDILITPKLVENGDESGFSTQCNKKSSLGGASPLTAVQQAVILAQCLLIEKSTRQDELQRWDMAPFIEAIDSQSSSLFILRYLCNFLRIRWESTRSHTKERALGMIEKLVEGIHKPFTGVAKRIPLSYVAYVPAIPNLQKEYGELLVSCGLIGEAIKIFEGLELWDNLIYCNCLLGKKAAAVELIKTRLSEIPSDPRLWCSLGDITNDDSCYEKALEVSNNKSARAKRSLARSAYNRGDYETSKILWESAMALNSLYPDGWFALGAAALKARDVDKALDGFTRAVQLDPENGEAWNNIACLHMIKKRSNEAFISFKEALKFKRDSWQLWENYSEVAMDVGNVRQALEAIQMILHITNCRQADAKLLERIMLEMERGASSRTSSHSMTRDNHSTNQTCFSDFHDDSINDSETQVEWSRETEQLVELLGKILQQIIKSNSRADIWGLYARWHKIKGDLTMCSEALLKQVRSYQGSELWKDRERFKKFAHASLELCKVYMEISSLTGSRRELFTAEMHLKNTVRQAESFSDTEEFRDLQACLDEVKMKLQPSSLPA >Manes.18G004400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:815614:819932:-1 gene:Manes.18G004400.v8.1 transcript:Manes.18G004400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKNLPSSSHNCSFWTTNSGAPVWNNNSSLTVGARGPILLEDYHLLEKLANFDRERIPERVVHARGASAKGFFEVTHNVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETIRDPRGFAVKFYTREGNFDMVGNNFPVFFIRDGMKFPDLVHAFKPNPKSHIQESWRIFDFLSHVPESLHMLTFLFDDLGIPQDYRHMEGSGVNTYTLINKDGKVHYVKFHWKPTCGVKCLLEEEAIKVGGSNHSHAIQDLYDSIAAGNYPEWKLYIQSMDPDDEDKFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPGIVVPGVSYSEDKLLQTRIFSYSDTQRHRLGSNYLQLPVNAPKCAYHNNHHDGFMNFMHRDEEVNYFPSRCDPVRHSEKFPIPPAICSGKRDKCVIEKENNFKQPGERYRSWAPDRQERFISRLVGCLSDPRITHELRSIWISYWTQCDKSLGQKLASRLNVRPSI >Manes.12G080700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11878069:11898657:1 gene:Manes.12G080700.v8.1 transcript:Manes.12G080700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDLSQSINCEVGGASHSLSKSQDSGDYTRYSLDKETGAATCRVCQCTESDKSGDAVLGFLGIIIPLKESCESGGAIKLQSKEVSIHSENNEFHSKHAGREFGYVEFVSPQGEVFICRTDLEMGSCHQQDSLIELGCSCKNDLALVHYACALKWFVNHGSTICEICGCVAKNIRMVDFKKVLVSLKEYEALRERTATGDPNPARVQTSLGVDPDAVAAIRRQQLSEISMWFSPHNNSDHNNSSLVSQVVSEQPLNTVIEDTVPPENLATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGICALTVVIFFRFIVLTRIRYGPARYWAILFVFWFLVFGIWASRTHGAHTT >Manes.05G058600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4880441:4881315:1 gene:Manes.05G058600.v8.1 transcript:Manes.05G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNFSYFYLLLIFITFLAITATNLIIQETCRKCAHNDPNIHYSFCVASLQSSPDAHCANNLRQLGIISLKLTRLNVTDTRHNIKDLLKNKRLDSSLRSCLNDCFDLYSDAIPTIKQAIKDYNSNHYEDVNIAVSAVTDASTTCEDGFKEIGIVSPLTGRNNNTFELSAIALSIINMLH >Manes.07G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32044985:32046203:-1 gene:Manes.07G115300.v8.1 transcript:Manes.07G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWPKSGDGRRLMFRAAKPHFFKIILDDTIRRRKLGIPRKFARRYGSEMSSPVFLKVPSGEKWEVQLVKCDDEIWLTNGWQEFVGYYSLACGYFLVFEFEQNCHFNVIIMDKSSSEIDYPLSHNEDTCLEEEFPGPKVEETESDHSLPSPLPFTQPHKKLKLEKPTKNIKSLCLTKQSEGKRGKAKETGRMQPLTAEEKANALHRAGANFKSGNPYFMIVMQQTHLHRLNIPASFKREHFNNRKAATFITKEEKAWFVEFVSIGKAIARSRDGWKKFVQENHLEVGDVCVFELINRIACKFNVVIFRHT >Manes.01G148800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33816373:33825652:-1 gene:Manes.01G148800.v8.1 transcript:Manes.01G148800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANGVEDEEKWLAAGIAGLQQNAFYMHRALDSNNIRDVLKYSAQMLSELRTSKLSPHKYYELYMRSFDELRKLEMFFKEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSNEAPAKDVLKDLVEMCRGIQNPVRGLFLRSYLSQVSRDKLLDLGFEYERDADTVMDAVEFILQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDTVLPRVLEQVVNCKDELAQFYLMDCVIQVFPDEYHLQTLEVLLGACPQLQPSVDIKTVLSRLMERLSNYAASSPEVLPEFLQVEAFSKLNNAIGKVIEAQIDMPIFGAVTLYSSLLTFTLHVHPDRLDYADQVLGACVKRLSSKGKLDDSRATKQLVALLSAPLEKYNDVVTALKLSNYPQVMKYLDSETNKVMASVIIQSIMKNNTYISTVDKVEALFELITGLIKDLDGMLEEVDEDDFKEEQNSVARLIQMLDNDDPEEMYKIMCTVRKWIMTGGPRRLPFTVPPLVFSSLKLVRTLQGQDENPFGDESSTTPKKIFQLLNQTIEVLSTVPAPELALRLYLQCAEAANDSDLEPVAYEFFTQAYILYEEEVSDSKAQVTALHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYGCAHLFWVDDQDNMKDGERVLICLKRALRIANAAQQMSNAARGSTGSATLFVEILNKYLYFFERGNPQINAAAIQSLIELITTEMQSNSSTPDPAADAFFASTVRYIQFQKQKGGAIGERYEPIKA >Manes.01G059800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:25422811:25425618:1 gene:Manes.01G059800.v8.1 transcript:Manes.01G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSAFIILSAVAAYLIWWKVVSGSFTGPRVWPLLGSLPGLIKNANRMHDWIADNLRSSAGTYQTCTCAVPFLARKQGLVTVTCDPKNVEHILKARFDNYPKGPTWQAAFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIKNRFCPILESAQLRAQPVDLQDLLLRLTFDNICGLAFGKDPQTLSPELPHNSFAVSFDRATEATLQRFILPEIVWKLRKWLRLGMETSLSQSLQHIDTYLSDIINTRKLELLSQQNGVGNGNPHDDLLSRFMKKKESYTEKFLKHVALNFILAGRDTSSVALSWFFWLVSQHPRVEKKILIEICGVLMETRGNDVSKWLKEPLVFEEVDRLIYLKAALSETLRLYPSVPQDSKHVVTDDVLPSGVHVPAGSLITYSIYAIGRMKFIWGEDSLEFKPERWLSKDGKKFEVHDSYKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLSVIAGHQVEQKMSLTLFMKYGLMMNVHPRDLRPIVESINNVKPTNHLLHHEVVATVA >Manes.01G059800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25422811:25425618:1 gene:Manes.01G059800.v8.1 transcript:Manes.01G059800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEEEAAADLFCKIRLKVTFHQALLFLLFYSATVMDVSSAFIILSAVAAYLIWWKVVSGSFTGPRVWPLLGSLPGLIKNANRMHDWIADNLRSSAGTYQTCTCAVPFLARKQGLVTVTCDPKNVEHILKARFDNYPKGPTWQAAFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIKNRFCPILESAQLRAQPVDLQDLLLRLTFDNICGLAFGKDPQTLSPELPHNSFAVSFDRATEATLQRFILPEIVWKLRKWLRLGMETSLSQSLQHIDTYLSDIINTRKLELLSQQNGVGNGNPHDDLLSRFMKKKESYTEKFLKHVALNFILAGRDTSSVALSWFFWLVSQHPRVEKKILIEICGVLMETRGNDVSKWLKEPLVFEEVDRLIYLKAALSETLRLYPSVPQDSKHVVTDDVLPSGVHVPAGSLITYSIYAIGRMKFIWGEDSLEFKPERWLSKDGKKFEVHDSYKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLSVIAGHQVEQKMSLTLFMKYGLMMNVHPRDLRPIVESINNVKPTNHLLHHEVVATVA >Manes.08G086111.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:27038686:27039596:1 gene:Manes.08G086111.v8.1 transcript:Manes.08G086111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHEVSTSVKLLAKQRFDEAHCMVRFDEAHCMGRFDKAHCMVGKDFGSCITHFGGSFIFSRLERMEFLMFKDAVGTVQNQKFKKNYCK >Manes.01G050200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952557:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952551:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCGTESSHTSCLAEDTFSGKLSVSVADAGETAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952563:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCGTESSHTSCLAEDTFSGKLSVSVADAGETAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952563:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCGTESSHTSCLAEDTFSGKLSVSVADAGETAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952563:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCGTESSHTSCLAEDTFSGKLSVSVADAGETAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952563:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCGTESSHTSCLAEDTFSGKLSVSVADAGETAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952551:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAPHRSEVTLIQRPNNQCGTESSHTSCLAEDTFSGKLSVSVADAGETAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952551:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCGTESSHTSCLAEDTFSGKLSVSVADAGETAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17942873:17952557:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17943553:17952557:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.01G050200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17943553:17952557:-1 gene:Manes.01G050200.v8.1 transcript:Manes.01G050200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEVDFVTSDMLEEDIVEVDENNDIPNFEGERCGICMDIIIDRGVLDCCQHWFCFGCIDNWATITNLCPLCQNEFQLITCVPVYDIIGNNKVDDDAFSRDDDWCIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSAIVEDSNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCMVGEAPHRSEVTLIQRPNNQCAVVVSVVEGNKRNEEASENLHPAIEVDKDLKIDAGDGHSLKAETVSSAKNESNLSLERQGLELSLSRDAFFSQSSTSVVHSELTTCCADGAMDQANSYDGVKDSLGKLLTAYNVGNKLSESETSIGLHLGLSVSSFLSVEHMDNNGTEGQEPGDVKQQSLSEESGLKDEQIIPEATEESVTMTGLKRKHTDNSDDVLKTDVDEEGVANNEVAAFEKKIRTKGKFQMTPKDQVNDFLPDDSCACPTRAAVSKDVKLKKPPEKEHAASDIMGIVKGISRRPSKGLSHQHPADKSSKEREHAAGLRVKKIMRRATEDKESSNVVQKLRKEIREAVRNKTSADIGDNLFDPKLLAAFRTAVAGPATEAVDKLPHSALKAKKSMLQKGKIRENLTKKIYGNSNGRRRRAWDRDCEVEFWKHRCMRATKPEKIATLKSVLNLLRRNTESLEMEQASEGRATNPILSRLYLADTSVFPRKDDIKPLSALAAASNTEQNRGQLISVEKGQNPSHDDCAQKVAEANKVNLKPAIPSVDKGLKVKFQSSKGKVASGEAHLDKAPHRPLQRSVGGSKVDSKKETTVQSDDKKMDKRKWALEVLARKKAGCTNTTHERMENNTVFKGNYPLLAQLPLDMKPVLAPSHHNKIPISVRQTQLYRLAEHFLRKLNLLEIRRTAETELAVADAINIEKEVADKSNSKIVYLNLCSQEILRRLDNSESIRVEESNSSTMVQATDQSQEAIDNLPTDSAVRDALRNAGLLSDSPPSSPCLNHEASNEVDDSSLQNKDEGPDNIFEIDPLPEVDIYGDFEYDLEDEDYIGAASMKVTRLQPEETESRLKLVFSTLQSERLNVVQDINDHKRSGEFEESKHSSPLLNGGTISSTIEAGTDESRVPQEPLPGVEPSLAECEELYGPDKEALIHKFPEDSLRKLSGQVDDEAPAKNDDSGQVKHEIVSSVSQNSCDGQKSSTNSQTSENIPRKDKSKIDTNKQCDIINSISKKVEIYVKEHIRPLCKSGIITVEQYRWAVAKTTEKVMKYHLNAKNANFLIKEGEKVKKLAEQYVETVQQKEKVDLQ >Manes.09G023300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4721725:4727010:-1 gene:Manes.09G023300.v8.1 transcript:Manes.09G023300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHSYQEICFAWGLKAELRKLEDILLTVKAVLMDAEEKQVNDNQLRLWLAKLKDALYDAEDVLDEFESEDQRRQVLQLYGTTSKKVGHFFSCSNPIAFRFKMSAKVKQIRERLDEIASQKSNFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIITLLQDSTDSKQISVIPIVGIGGLGKTSLAKFVYNDERVRNHFQLQIWVCVSEEFDIKILTEKIIKSTEDGMRHVEKLSKMEMDQLQRILREIIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPKAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFYDLILIQFWMAHGLLESANQDEEPEDIGLRYFQELGSRSFFQDFGILEDTLITCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDKKRPSGQSDLDSYLLRFQYLRMLDLAHSKLEISLDRIGALKHLRYLHVHGNSTIKKLPNSICKLHNLQTLVLSDSIEELPSDIRYLINLRFLLFSTKQKCLPMNGIGCLTSLRFLGIGSCEKLEHLFEDMQGLKHLRTLIIGDCESLISLPQSMKYLTALEILAIGNCENLNLTLEEKGKDDKHLAQFNLQKLVLKKLPKLVDFPEWLIQGSFNTLQFLKLESCEYLKELPVCIQNIMSLQQLEIEDCNELSERCEPGKGEDWFKIAHIPKIVIDGSDIDSADD >Manes.05G086373.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7303751:7304097:1 gene:Manes.05G086373.v8.1 transcript:Manes.05G086373.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICPPGKWAWPEIVGKDGNVAAAIIEKENKNVNAIVTRAPIIDLSFNCGRVRVIVAKNGKVIITPTIG >Manes.09G023000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4695149:4701148:-1 gene:Manes.09G023000.v8.1 transcript:Manes.09G023000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHTYQEICFAWGLKAELRKLEDILLTVKAVLMDAEEKQVNDPPLRLWLAKLKDALYDAEDVLDEFQCEDQRRRVLQLYGTTSKKVGHFLSCSNLMAFRIKMSAKVKQIREKLDEIASQKSKFHLIERYESRHVMPRERALTHSFVQASEVIGRDDDKENIITLLQDSSDSDQISVIPIVGIGGLGKTSLAKFVYNDERVRNHFQLQIWVCVSEEFDIKILTEKIIKSTEEGMRHVEKLSKMEMDQLQRILREIIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPKAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFSNWELIQFWMAHGLLESANQNEELEDIGSRYFQELGSRSFFQDFETREGIWITCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSSQSNLDSYLLRFHYLRMLDLAHSKLEISLDWIGALKHLRYLRLYGNSGIKKLPNSICKLHNLQTLVLSDSIEELPSDIRYLINLRFLLFSTKQKCLPMNGIGCLTSLRFLAIGSCEKLEHLFEDMQGLKHLRILIIGNCESLISLPQSMKYLTALEILAIVNCENLNLTLEENGKDDKHFAQFNLQKLILAELPKLVDFPEWLLQGSTNTLRLLILASCKYLKKLPVCIQNIASLQQLEIVACDELSEKCEPGKGENWSKIAHIPTIIIDSWTSIQ >Manes.10G065902.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9519278:9520023:-1 gene:Manes.10G065902.v8.1 transcript:Manes.10G065902.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSWNCRGLENPLTVHNLQGICNSKLDGKVFKFRQFVDRLQLIDISFKGPPTTWNNRREGIYNIRERLDRCLATHQWLLHYPTATVQNLEDLGFDHRPLWVTFKPPYAKAKQYFKFD >Manes.16G087100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29366804:29373458:-1 gene:Manes.16G087100.v8.1 transcript:Manes.16G087100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGKVEVISSKGCSRLFVGFSSSIPSFRGLQSFEPMSPATSSAGSEPLLVRSSGPFSGLVICVTGLSKEARKQVMDATERLGGQYSPNLHPQCTHLVVQSFGGRKFEHALKHGLKNGLFVVTLGWFVDSVRRNVRLSESLYCVKSVGGNDVHLDDLNRRVSSSGTENSCLPVGFNDAKQFEMTEGQHKRSSGRSSNRSIEPTLSGNSIYVDSDISDDLRNKVYEAASGEGATFLDRWFVGCSASHVVCEGASTQRYLGHSNHLVTPHWVLKTAKEKHAQRLVQMSADLARQVGVMLEDFQNGIAGQEINGGNASQDAQSWRNKASFGERQQIVNFAKNGVRSRRGRRMQTCQTPIRPITPSSLLDSICWSISEPSSTASIYTDSLSCDDVSEHHTSVFYDAKGDNKDSEASFANPTRPLTESEKTELIFKNHFLTILFPIDRFSEMGPSSRTFFSENGFTCLQVLDHIYAFYQALLMCSVWL >Manes.16G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29366804:29373648:-1 gene:Manes.16G087100.v8.1 transcript:Manes.16G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGKVEVISSKGCSRLFVGFSSSIPSFRGLQSFEPMSPATSSAGSEPLLVRSSGPFSGLVICVTGLSKEARKQVMDATERLGGQYSPNLHPQCTHLVVQSFGGRKFEHALKHGLKNGLFVVTLGWFVDSVRRNVRLSESLYCVKSVGGNDVHLDDLNRRVSSSGTENSCLPVGFNDAKQFEMTEGQHKRSSGRSSNRSIEPTLSGNSIYVDSDISDDLRNKVYEAASGEGATFLDRWFVGCSASHVVCEGASTQRYLGHSNHLVTPHWVLKTAKEKHAQRLVQMSADLARQVGVMLEDFQNGIAGQEINGGNASQDAQSWRNKASFGERQQIVNFAKNGVRSRRGRRMQTCQTPIRPITPSSLLDSICWSISEPSSTASIYTDSLSCDDVSEHHTSVFYDAKGDNKDSEASFANPTRPLTESEKTELIFKNHFLTILFPIDRFSEMGPSSRTFFSENGFTCLQVLDHIYAFYQENMSASEIEAAIHTDSRHADQLRSVYSSKETAELGYVIFKRIDFLGSCRSFEMLKRVAGDNNSNVYELLIRA >Manes.16G087100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29366804:29373512:-1 gene:Manes.16G087100.v8.1 transcript:Manes.16G087100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGKVEVISSKGCSRLFVGFSSSIPSFRGLQSFEPMSPATSSAGSEPLLVRSSGPFSGLVICVTGLSKEARKQVMDATERLGGQYSPNLHPQCTHLVVQSFGGRKFEHALKHGLKNGLFVVTLGWFVDSVRRNVRLSESLYCVKSVGGNDVHLDDLNRRVSSSGTENSCLPVGFNDAKQFEMTEGQHKRSSGRSSNRSIEPTLSGNSIYVDSDISDDLRNKVYEAASGEGATFLDRWFVGCSASHVVCEGASTQRYLGHSNHLVTPHWVLKTAKEKHAQRLVQMSADLARQVGVMLEDFQNGIAGQEINGGNASQDAQSWRNKASFGERQQIVNFAKNGVRSRRGRRMQTCQTPIRPITPSSLLDSICWSISEPSSTASIYTDSLSCDDVSEHHTSVFYDAKGDNKDSEASFANPTRPLTESEKTELIFKNHFLTILFPIDRFSEMGPSSRTFFSENGFTCLQVLDHIYAFYQVMIQYVPFAAKCFILCVILHMHLAFYSDSFTSYE >Manes.16G087100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29366804:29373458:-1 gene:Manes.16G087100.v8.1 transcript:Manes.16G087100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGKVEVISSKGCSRLFVGFSSSIPSFRGLQSFEPMSPATSSAGSEPLLVRSSGPFSGLVICVTGLSKEARKQVMDATERLGGQYSPNLHPQCTHLVVQSFGGRKFEHALKHGLKNGLFVVTLGWFVDSVRRNVRLSESLYCVKSVGGNDVHLDDLNRRVSSSGTENSCLPVGFNDAKQFEMTEGQHKRSSGRSSNRSIEPTLSGNSIYVDSDISDDLRNKVYEAASGEGATFLDRWFVGCSASHVVCEGASTQRYLGHSNHLVTPHWVLKTAKEKHAQRLVQMSADLARQVGVMLEDFQNGIAGQEINGGNASQDAQSWRNKASFGERQQIVNFAKNGVRSRRGRRMQTCQTPIRPITPSSLLDSICWSISEPSSTASIYTDSLSCDDVSEHHTSVFYDAKGDNKDSEASFANPTRPLTESEKTELIFKNHFLTILFPIDRFSEMGPSSRTFFSENGFTCLQVLDHIYAFYQENMSASEIEAAIHTDSRHADQLRSVYSSKETAELGYVIFKRIDFLGSCRSFEMLKRVAGDNNSNVYELLIRA >Manes.15G068600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5207803:5208762:-1 gene:Manes.15G068600.v8.1 transcript:Manes.15G068600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKIIFFIAITMAISITLTIRSIGDFEEKPLVFPHSVDDATATLSKEPKEVLKLMPSKRLSRFLAEEKNPRAADHCNKDNEICNILGGKNQTCCNNKCLDLSTDDKNCGACKNKCHFSETCCRGQCVNLSYDKRHCGKCNNRCEKGEYCVYGMCHYA >Manes.01G240400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40157453:40161099:-1 gene:Manes.01G240400.v8.1 transcript:Manes.01G240400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQRQFHMVGGSNPGQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAVRACQNASPVIDGRRANCNLASLGAHKTRSPTPQNGAGRFRPAPGLVAPPAYHGSSSSYIHQATGQYTFPYSAYGYTGYPQEGIYPLNYYGVYGGQQYSPYYSTGASGMFHNFYPLYTQYGQSSPGHGFGVQYPQMLQYPYLPQQYGSTGILSLPSSMAMATTTTGATTMATPMTLTTTPAGVVGEGPDSLQASGIASEQNSST >Manes.01G240400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40157453:40161099:-1 gene:Manes.01G240400.v8.1 transcript:Manes.01G240400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAVRACQNASPVIDGRRANCNLASLGAHKTRSPTPQNGAGRFRPAPGLVAPPAYHGSSSSYIHQATGQYTFPYSAYGYTGYPQEGIYPLNYYGVYGGQQYSPYYSTGASGMFHNFYPLYTQYGQSSPGHGFGVQYPQMLQYPYLPQQYGSTGILSLPSSMAMATTTTGATTMATPMTLTTTPAGVVGEGPDSLQASGIASEQNSST >Manes.12G114700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30121156:30124118:-1 gene:Manes.12G114700.v8.1 transcript:Manes.12G114700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGSSFAFVNAMEVFLAPENFIPNDASHISIAGNSGKNYVDILSEALQTIHRINVGGSNLTPENDTLWRFWVPDDSYLYMPATAKNSTFHSDKPNYLQGMSEYIAPDLVYQTAKEMNINSGRLANNFNVTWSFSVSKNARHFVRVHFCDIISPTLGVIDFNLFIYSRFSIVIDPYDRIGHLAAPFYLDFVVDSDDSGLMNFSIGPRADSDIKTAFLNGLEIMQIVGESGSESRTNAPNKTRIFIIVGSIVGGLGLICILAVVVGLCLRCRKPKTVENWEWSPVPLHRGGSSHNRMAEGSVIGSRVPDLNLGLKISFAEIQFVTNNFDAKMIVGKGGFGHVFRGTLRNGIKVAVKRSQPGSGQGLPEFQTEIMVLSKIRHRHLVSLIGYCDEMSEMILVYEFMENGTLRDHLYNSALPSLSWKQRLEICIGAAKGLHYLHRGASGGFIHRDVKSTNILLDEDLVAKVADFGLSRLGPPDQTHVSTGVKGTIGYLDPDYFRTQQLTEKSDVYSFGVVLLEALCARPAINIMLPREQINLAEWCMICKEKGTIEEAVDPSIKSQINPNSLRKFAEIAEKCLQENGADRPTMGDVLWDLEYALQLQQTAVQRQPHEDSATDASAMIGLPNIQHFPSFSMSVEKDDLPILRDDSANIWASEVFSQLKIDDAR >Manes.12G114700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30121075:30124166:-1 gene:Manes.12G114700.v8.1 transcript:Manes.12G114700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKINSPEIRFSFSLLLFFLHFISLHLLSSAYTRPTEYFISCGSNTVISSAGRNFTGDSSSGNSLSFTFKGQSSTVIDSNKSTKTPPLYQTARLFKQKSSYEFEISSSGTYLVRLHFFAFSSADNLSAAHFDVSASGFSLLNNFTPKYNSSDPVIKEFILTINKGKFSINFMPQGSSFAFVNAMEVFLAPENFIPNDASHISIAGNSGKNYVDILSEALQTIHRINVGGSNLTPENDTLWRFWVPDDSYLYMPATAKNSTFHSDKPNYLQGMSEYIAPDLVYQTAKEMNINSGRLANNFNVTWSFSVSKNARHFVRVHFCDIISPTLGVIDFNLFIYSRFSIVIDPYDRIGHLAAPFYLDFVVDSDDSGLMNFSIGPRADSDIKTAFLNGLEIMQIVGESGSESRTNAPNKTRIFIIVGSIVGGLGLICILAVVVGLCLRCRKPKTVENWEWSPVPLHRGGSSHNRMAEGSVIGSRVPDLNLGLKISFAEIQFVTNNFDAKMIVGKGGFGHVFRGTLRNGIKVAVKRSQPGSGQGLPEFQTEIMVLSKIRHRHLVSLIGYCDEMSEMILVYEFMENGTLRDHLYNSALPSLSWKQRLEICIGAAKGLHYLHRGASGGFIHRDVKSTNILLDEDLVAKVADFGLSRLGPPDQTHVSTGVKGTIGYLDPDYFRTQQLTEKSDVYSFGVVLLEALCARPAINIMLPREQINLAEWCMICKEKGTIEEAVDPSIKSQINPNSLRKFAEIAEKCLQENGADRPTMGDVLWDLEYALQLQQTAVQRQPHEDSATDASAMIGLPNIQHFPSFSMSVEKDDLPILRDDSANIWASEVFSQLKIDDAR >Manes.17G038988.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23037787:23038654:1 gene:Manes.17G038988.v8.1 transcript:Manes.17G038988.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKIINTRIYRGSAISSLRPLLKVHLESSTPLSNLLWVKIKTLTILEQAFALYKSLFHSRTILCSMPHSQQQNLSTTFTHSEKPTNYNSKQAFKKLMLWLKVLRERE >Manes.14G036200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3181086:3184247:1 gene:Manes.14G036200.v8.1 transcript:Manes.14G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASANSRYVKLTKEQTAVEDIKPGELNQPIEVPQLAVRKCNECGQPLPENFEPPGDEPWTTGIFGCTEDTESCWTGLFCPCVLFGRNVESLRDDTPWTTPCICHAICVEGGMALAAATAIFHGVDPRTSFLICEGLLFAWWMCGIYTGLVRQSLQRKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVMPMAIVNPPPVQEMSSASENRDSEPSSDKGTSLEMQAL >Manes.01G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31240494:31243278:-1 gene:Manes.01G115200.v8.1 transcript:Manes.01G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLCSRLSSLPGVFRISSLRPSLRLQGLSHSIINDSINRIYDGFYPIFQASRSYARPRGKHYDLFGSAKPGDEEFKKAWKKEMEEDDCLWTGSEDESEDENNSKMSKTRLEKEIRKVRQQAKEHSHLIDADDSDELWSVWSGSDEEKTLWTGSEGDDDDDIPTEAYPNEASDKYIDKLFEFEEKPKYRTISELLKAENEPEELSPGKQARKLAVENALKKLKKGPDGRYINVWEVMSDIDILIGAFENIVSGPEYEELRQGGPKKLNMEFFKDIQARMRDPNYKFSPELKLKRKSKLVPRKKWQKVQSRRRKAQKR >Manes.01G115200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31240494:31243278:-1 gene:Manes.01G115200.v8.1 transcript:Manes.01G115200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDCLWTGSEDESEDENNSKMSKTRLEKEIRKVRQQAKEHSHLIDADDSDELWSVWSGSDEEKTLWTGSEGDDDDDIPTEAYPNEASDKYIDKLFEFEEKPKYRTISELLKAENEPEELSPGKQARKLAVENALKKLKKGPDGRYINVWEVMSDIDILIGAFENIVSGPEYEELRQGGPKKLNMEFFKDIQARMRDPNYKFSPELKLKRKSKLVPRKKWQKVQSRRRKAQKR >Manes.04G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31767549:31771920:1 gene:Manes.04G114500.v8.1 transcript:Manes.04G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKDNDAYEEELLDYEEEVEHAPDSVSAKAAAESAKKGYVGIHSLGFRDFLLKSHNFSKKTGLDKPFLSKDLEVQHECIPQAILGIGVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAAFVLCHTRELAYQICHEFERFSTHLPDVKVAVFYSGVHIKVHKDILKNKCPHIVQVMLFSATLSKEIRPVCNKFMQGPMEIYVDDEAKLTLHGLVQHYIKLTEIEKNCKLNDLLDALDFNQVVIFVKSVNRAAELNKVWVECSFPSICIHSGMSQEERLTRYKGIDIDHVNIVINYETPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQARFEVDIKELPEQIDTSTYMPS >Manes.01G032900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6453959:6459050:1 gene:Manes.01G032900.v8.1 transcript:Manes.01G032900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFEGYERQYCELSANLSRKCNSIFMLPDGVAEEKKEKISEIESGLEDCDALIRKMDLEARSLQPNVKAMLLAKLREYKSDLNKLKREFKRITSGNADHAAREELLEAGMADVHAVSADQRERLSMSVERLNQSGDRIKESRRTMLETEELGVSILEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLSSMSRRITRNKWIVGSVIAALVIAIIIIISFKISHH >Manes.01G032900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6453959:6459050:1 gene:Manes.01G032900.v8.1 transcript:Manes.01G032900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFEGYERQYCELSANLSRKCNSIFMLPDGEEKKEKISEIESGLEDCDALIRKMDLEARSLQPNVKAMLLAKLREYKSDLNKLKREFKRITSGNADHAAREELLEAGMADVHAVSADQRERLSMSVERLNQSGDRIKESRRTMLETEELGVSILEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLSSMSRRITRNKWIVGSVIAALVIAIIIIISFKISHH >Manes.01G032900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6453959:6459050:1 gene:Manes.01G032900.v8.1 transcript:Manes.01G032900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFEGYERQYCELSANLSRKCNSIFMLPDGEEKKEKISEIESGLEDCDALIRKMDLEARSLQPNVKAMLLAKLREYKSDLNKLKREFKRITSGNADHAAREELLEAGMADVHAVSADQRERLSMSVERLNQSGDRIKESRRTMLETEELGVSILEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLSSMSRRITRNKWIVGSVIAALVIAIIIIISFKISHH >Manes.01G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6453959:6459050:1 gene:Manes.01G032900.v8.1 transcript:Manes.01G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFEGYERQYCELSANLSRKCNSIFMLPDGVAEEKKEKISEIESGLEDCDALIRKMDLEARSLQPNVKAMLLAKLREYKSDLNKLKREFKRITSGNADHAAREELLEAGMADVHAVSADQRERLSMSVERLNQSGDRIKESRRTMLETEELGVSILEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLSSMSRRITRNKWIVGSVIAALVIAIIIIISFKISHH >Manes.01G133100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32618804:32623931:-1 gene:Manes.01G133100.v8.1 transcript:Manes.01G133100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDKLVYVAKLAEQAERYDEMVDVMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEETKGNEVSVKRIKEYRQKVESELTNICNDIMIIINEHLIPSASAGESTVFFYKMKGDYYRYLAEFKTGNEKKDAADHSMRAYETAITAAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHFAKQAFDEAISELDNLNEESYKDSTLIMQLLRDNLTLWTSDIPEDGDAPKINGTAKVAGAEDAE >Manes.01G133100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32618804:32623931:-1 gene:Manes.01G133100.v8.1 transcript:Manes.01G133100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDKLVYVAKLAEQAERYDEMVDVMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEETKGNEVSVKRIKEYRQKVESELTNICNDIMIIINEHLIPSASAGESTVFFYKMKGDYYRYLAEFKTGNEKKDAADHSMRAYETAITAAEAELPPTHPIRLGLALNFSVFYYEILNSPERACHFAKQAFDEAISELDNLNEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDAPKINGTAKVAGAEDAE >Manes.01G133100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32618804:32623932:-1 gene:Manes.01G133100.v8.1 transcript:Manes.01G133100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDKLVYVAKLAEQAERYDEMVDVMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEETKGNEVSVKRIKEYRQKVESELTNICNDIMIIINEHLIPSASAGESTVFFYKMKGDYYRYLAEFKTGNEKKDAADHSMRAYETAITAAEAELPPTHPIRLGLALNFSVFYYEILNSPERCVGK >Manes.09G171200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36229105:36231193:1 gene:Manes.09G171200.v8.1 transcript:Manes.09G171200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFMVLKQSNIGEILLSLFSNNIDFLAAISLLAIAIYIVSFNSKRVYLVDFACYKAPDYQRVPISAFIEHKELLGNSNSNAEFQTKVLERSRIGNETYLPSGVHLFPADHSWKYTIEEFEMVLFTVVEDLFTKHKINPKSIGILITNCSLSCPTPSLASMIINKFGFRSNILSFNLSGMGCSAGLLSISLAKDLLKVHKNSLVLVLSTESTTSNVYEGKIKSMLLANCLFRMGGAAILLSNRKSDRDIAKYELQHLVRTHLGSKDGSYNCVYQREDDEGYTGVSLSRSILQVAGEGLKTNMATLAAMVLPYSELIKYVISSMACKKRRGTYIPNFKKAFDHFCVHAGGKTVIDAIKENLKLKDKDVEASKMTLYRFGNTSSSSIWYTLSYLEAKGRVRKGDRVWQLAFGSGFKCNSAVWKCISRMKPEASNVWMEMIHLYPVDVPEVMDH >Manes.17G117227.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33939139:33946365:1 gene:Manes.17G117227.v8.1 transcript:Manes.17G117227.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKSNHFGSIRSIFMHADRVDWLLMVLGFIGSVGDGFSTPLVLFVTSRLMNNIGGSSSFQSDFSHNVIKNALTLCCVACGQWVVCFLEGYCWTRTGERQATRMRARYLKAVLRQDVGYFDLHVTSTAEVITSVSNDSFIIQDVLSEKVPNFLMNASMFMGCYLVGFLVLWRLAIVGFPFIVMLVIPGLMYGRTLMGLARKIKEEYNKAGAIAEQAISSIRTVYAFVGESKTIAAYSEALDFSVKLGLKQGLAKGLAIGSNGIVFAIWSFMCYYGSRMVMYHNARGGTVFVVGASIAMGGLALGAGLSNVKYFSEACTAGERIMEVIERVPKIDVENMEGETLENVRGEVDFKHVEFAYPSRPESIIFKDFSLKFPAGRTVALVGGSGSGKSTVIALLQRFYDPLGGEILLDGVAIDKLQLKWLRSQMGLVSQEPALFATSIKENILFGKEDATMEEVVEAAKASNAHNFICQLPQGYNTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERIVQQALDKAAVGRTTIIIAHRLSTIRNVDLITVVQNGQVMEMGSHDELMEIKDGHYTTLVRLQQTEKGKTNEDDQYHIPSSSLISKIDMNNTSSHRLSMVSRSSSANSMTPSRSSVAAENTLLEEQKFLVPSFQKLLALNLPEWKQASFGCLGAILFGGVQPLYAFAMGSMLSVYFYTDHNEIKKQIRVYSLCFLGLAVFSLIINIVQHYNFACMGEYLTKRIRERMLTKILTFEVGWFDQDENSSGAICSRLAKDANVVRSLVGDRMALVVQTVSAVTIACTMGLVIAWRLAVVMIAVQPIIIVCFYTRRVLLKSMSQKAIKAQDESSKLAAEAASNLRTITAFSSQDRILRMLEKAQEGPLRESIRQSLFAGVGLGSSQSLMTCTWALDFWYGGKLISKGYISARDLFETFMVLVSTGRVIADAGSMTTDLAKGSAAIGSVFAVLDRYTKIEPEYPEGFKPETIMGHVELRDVDFAYPARPDVIIFKGFSIKIEAGKSTALVGQSGSGKSTIIGLIERFYDPIRGTVKIDGRDIKSYHLRSLRKYIALVSQEPTLFAGTIRENIVYGTSDKNDESEIIEAAKAANAHDFITGLKDGYDTWCGGRGVQLSGGQKQRIAIARAILKNPAVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGQVVEQGTHSSLLAKGPAGAYFSLVSLQRNSHNSSTTTSYAFN >Manes.11G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29040268:29042551:-1 gene:Manes.11G127600.v8.1 transcript:Manes.11G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPAEDEKLRELVERYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWAVIARLFPGRTDNAVKNHWHVIMARKCRERSRLQAKRAAQNLVNDHKLSSSSSSSKQDRVLFNSETGNNLASFIDKYYCDRYYNQYPFPHNYLLRPFCQASENNPSLCEGKKQAIEFYDFLQVNTDSSRSEVIDNAKRDDEEVDQQAMEQQRKAGLQFIDFLSVGKYSS >Manes.15G085400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6566274:6568829:1 gene:Manes.15G085400.v8.1 transcript:Manes.15G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAASLVSFAFLVPKEGKANASFKDSSLFGVSLSDQLNADCSSAALRSKRESNQRVWAVRAEAMATASPAVNRDTAEGKKTLRKGSVVITGASSGLGLATAKALAETGKWHIIMACRDFLKAERAAKSAGIAKENYTIMHLDLASLDSVRQFVDNFRRSGRPLDVLVCNAAVYLPTAKQPTFTAEGFELSVGTNHLGHFLLSRLLLDDLKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDMRGLAGGLNGINSSSMIDGEEFDGAKAYKDSKVCNMLTMQEFHRRFHEEAGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEDEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFQNQLSEEASDADKARKVWEISEKLVGLA >Manes.12G122900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32914546:32917999:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32915428:32917994:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKIMWQNLAADCSRCITQFRCYSSQIVWKELRPMMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32914546:32917961:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKIMWQNLAADCSRCITQFRCYSSQIVWKELRPMMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32914546:32917999:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKIMWQNLAADCSRCITQFRCYSSQIVWKELRPMMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32914546:32917961:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKIMWQNLAADCSRCITQFRCYSSQIVWKELRPMMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32915548:32917999:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32915428:32917998:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKIMWQNLAADCSRCITQFRCYSSQIVWKELRPMMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32914546:32917999:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKIMWQNLAADCSRCITQFRCYSSQIVWKELRPMMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32914546:32917961:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKIMWQNLAADCSRCITQFRCYSSQIVWKELRPMMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.12G122900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32914546:32917969:-1 gene:Manes.12G122900.v8.1 transcript:Manes.12G122900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKIMWQNLAADCSRCITQFRCYSSQIVWKELRPMMLKRIEERPKDYPIRDMVPVARDVLKARMLLIQGVSTLIQAIPVVACKFCPEVYIGEKGHLIQTCWGYNRRGAKNRVHEWITGGLNDILIPVETFRLSNMSQKVIKHNQRFNFDRIPAVVELCRQAGVDLTTENLYPSTSTSQSGLGGIDGAVSLSSGELNFVANRTLRAWETLRSGVQKLLVYPAKVCKYCSEIHVGPSGHKDRFCGIFRHENLHPSHLWRKARVDDLVPPKIVWRQRPQDPPVLLNKGREFYGHAPAVVDLCTKAGVITPPKYSCMMKVQGLSAPV >Manes.06G171300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29560670:29568153:-1 gene:Manes.06G171300.v8.1 transcript:Manes.06G171300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGSGDGIQEAPKVGDPNKFGDSSTEGAVFDASQYAFFGKDLMEEVELGGLEDEEEDLRLVEFEEEEFLFGRQEGEVVRSLSDVNDLASTFSKLNKVVNGPRSGGVIGDRGSRESSSAAEWAHGEDFSNYFDQQQLLDPEGIQDGKRWSSQPYASVHGLDSKPLYRTSSYPEQQHHHQHFSSEPILVPKSSYTSYPPPGGQSPQASPNHSHPSIPYLGGGPQMAISLPNLSPFSSPQLQVTGLHHGSLQLSGNLSQFSPSPSANSRLPNQWVNRMGLYPGDHPNRLNNFQQQLSHQNGLMPPQLMSQLQLQQYRLHHPIQPSLSHLSGMQSQQFSHHISPSPPMMSKFEAGLGLGDIRDQRPHQNLPYSQQGFDTNGRKFESVWSQFRSKYMTSDEIENILRMQLAATHSNDPYVDDYYYQACLSKKSAGAKLKHHFCPTLLRDLPPRARPNSEPHAFLQVDALGRTPFSSIRRPRPLLEVDPPNSSISSGTDQKVSEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLDFNQLQDGGVQLKRRRQALLEGLAASLQLVDPLGKNGHTVGLALKDDFVFMRLASLPKGRKLLARYLQLLSPGGDLMRIVCMAIFRHLRFLFGGLPSDLGAADATNNLARVVSLCACRMDIGSLSACLAAVVCSSEQPPLRPLGSSGGNGASLILMSVLERATALLNELQDASNYNVTNRALWKASFDEFFGLLIKYCINKYDSIMQSSLQDPAEAIKKELPMELLRASVPHTNDYQKKMLYDLSQRSLVGQNGGTGGRVNSESVLS >Manes.06G171300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29560670:29568153:-1 gene:Manes.06G171300.v8.1 transcript:Manes.06G171300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGSGDGIQEAPKVGDPNKFGDSSTEGAVFDASQYAFFGKDLMEEVELGGLEDEEEDLRLVEFEEEEFLFGRQEGEVVRSLSDVNDLASTFSKLNKVVNGPRSGGVIGDRGSRESSSAAEWAHGEDFSNYFDQQQLLDPEGIQDGKRWSSQPYASVHGLDSKPLYRTSSYPEQQHHHQHFSSEPILVPKSSYTSYPPPGGQSPQASPNHSHPSIPYLGGGPQMAISLPNLSPFSSPQLQVTGLHHGSLQLSGNLSQFSPSPSANSRLPNQWVNRMGLYPGDHPNRLNNFQQQLSHQNGLMPPQLMSQLQLQQYRLHHPIQPSLSHLSGMQSQQFSHHISPSPPMMSKFEAGLGLGDIRDQRPHQNLPYSQQGFDTNGRKFESVWSQFRSKYMTSDEIENILRMQLAATHSNDPYVDDYYYQACLSKKSAGAKLKHHFCPTLLRDLPPRARPNSEPHAFLQVDALGRTPFSSIRRPRPLLEVDPPNSSISSGTDQKVSEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLDFNQLQDGGVQLKRRRQALLEGLAASLQLVDPLGKNGHTVGLALKDDFVFMRLASLPKGRKLLARYLQLLSPGGDLMRIVCMAIFRHLRFLFGGLPSDLGAADATNNLARVVSLCACRMDIGSLSACLAAVVCSSEQPPLRPLGSSGGNGASLILMSVLERATALLNELQDASNYNVTNRALWKASFDEFFGLLIKYCINKYDSIMQSSLQDPAEAIKKELPMELLRASVPHTNDYQKKMLYDLSQRSLVGQNGGTGGRVNSESVLS >Manes.06G171300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29560670:29568153:-1 gene:Manes.06G171300.v8.1 transcript:Manes.06G171300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIGSGDGIQEAPKVGDPNKFGDSSTGAVFDASQYAFFGKDLMEEVELGGLEDEEEDLRLVEFEEEEFLFGRQEGEVVRSLSDVNDLASTFSKLNKVVNGPRSGGVIGDRGSRESSSAAEWAHGEDFSNYFDQQQLLDPEGIQDGKRWSSQPYASVHGLDSKPLYRTSSYPEQQHHHQHFSSEPILVPKSSYTSYPPPGGQSPQASPNHSHPSIPYLGGGPQMAISLPNLSPFSSPQLQVTGLHHGSLQLSGNLSQFSPSPSANSRLPNQWVNRMGLYPGDHPNRLNNFQQQLSHQNGLMPPQLMSQLQLQQYRLHHPIQPSLSHLSGMQSQQFSHHISPSPPMMSKFEAGLGLGDIRDQRPHQNLPYSQQGFDTNGRKFESVWSQFRSKYMTSDEIENILRMQLAATHSNDPYVDDYYYQACLSKKSAGAKLKHHFCPTLLRDLPPRARPNSEPHAFLQVDALGRTPFSSIRRPRPLLEVDPPNSSISSGTDQKVSEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLDFNQLQDGGVQLKRRRQALLEGLAASLQLVDPLGKNGHTVGLALKDDFVFMRLASLPKGRKLLARYLQLLSPGGDLMRIVCMAIFRHLRFLFGGLPSDLGAADATNNLARVVSLCACRMDIGSLSACLAAVVCSSEQPPLRPLGSSGGNGASLILMSVLERATALLNELQDASNYNVTNRALWKASFDEFFGLLIKYCINKYDSIMQSSLQDPAEAIKKELPMELLRASVPHTNDYQKKMLYDLSQRSLVGQNGGTGGRVNSESVLS >Manes.06G171300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29560670:29567155:-1 gene:Manes.06G171300.v8.1 transcript:Manes.06G171300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVELGGLEDEEEDLRLVEFEEEEFLFGRQEGEVVRSLSDVNDLASTFSKLNKVVNGPRSGGVIGDRGSRESSSAAEWAHGEDFSNYFDQQQLLDPEGIQDGKRWSSQPYASVHGLDSKPLYRTSSYPEQQHHHQHFSSEPILVPKSSYTSYPPPGGQSPQASPNHSHPSIPYLGGGPQMAISLPNLSPFSSPQLQVTGLHHGSLQLSGNLSQFSPSPSANSRLPNQWVNRMGLYPGDHPNRLNNFQQQLSHQNGLMPPQLMSQLQLQQYRLHHPIQPSLSHLSGMQSQQFSHHISPSPPMMSKFEAGLGLGDIRDQRPHQNLPYSQQGFDTNGRKFESVWSQFRSKYMTSDEIENILRMQLAATHSNDPYVDDYYYQACLSKKSAGAKLKHHFCPTLLRDLPPRARPNSEPHAFLQVDALGRTPFSSIRRPRPLLEVDPPNSSISSGTDQKVSEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLDFNQLQDGGVQLKRRRQALLEGLAASLQLVDPLGKNGHTVGLALKDDFVFMRLASLPKGRKLLARYLQLLSPGGDLMRIVCMAIFRHLRFLFGGLPSDLGAADATNNLARVVSLCACRMDIGSLSACLAAVVCSSEQPPLRPLGSSGGNGASLILMSVLERATALLNELQDASNYNVTNRALWKASFDEFFGLLIKYCINKYDSIMQSSLQDPAEAIKKELPMELLRASVPHTNDYQKKMLYDLSQRSLVGQNGGTGGRVNSESVLS >Manes.06G061700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19611923:19613517:1 gene:Manes.06G061700.v8.1 transcript:Manes.06G061700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPCPPLLGSELPMEGGPLSPLSSPPQVVLTQDELKKIAAYKAVEFVQSGMVIGLGTGSTAKHAVDRIADLLRQGKLNNIIGIPTSKKTHQQALSLGIPLSDLDSHPIVDLAIDGADEVDGDLNLVKGRGGSLLREKMIESACKKFVVIVDESKLIPHIGASGAMPVEIVPFCWKFTQERLQQLFDYAGCMAKLRTNGDENGEPFVTDNGNYIIDLYFKKDIGDLKASSDAILRLAGVVEHGMFLGMATTVIVAGELGVTIKNK >Manes.11G162000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32722753:32726651:1 gene:Manes.11G162000.v8.1 transcript:Manes.11G162000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFILFRLLFLLLFLSGSVVPIYSGGVGINYGQIANNLPSPSRVALLLESLNITRVKLYDADPNVLLAFSNSNVDFIVGLGNEYLQNMTDPIKAQNWIQQHLQTHLPQTKVTCITVGNEVFNSNDTQLMSYLLPAMQTVYHALVNLGLDKQVTVTSAHSLNILANSYPPSSGSFRQDLAEYIQPLLNFHSQINSPFLINAYPYFAYKYNPSEVSLDYVLFQPNQGLTDPNTNLKYDNMLYAQIDAVYSAIKAMGHTDIEVRVSETGWPSKGDTDEAGATPQNAGLYNGNLLQRIQEKQGTPAKPSVPVDVYVFALFNEDLKPGPTSERNYGLFYPDGTPVYNIGLQGYLPELTYSSASKINVLSVLSVVIYVMVGLTCA >Manes.06G046400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14629659:14638307:1 gene:Manes.06G046400.v8.1 transcript:Manes.06G046400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGELICCSFHFAAVFAAISATRPRADVAYCIHALARRLAKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLKMAHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDVETDRPRTKDLDTAELLEQLPALQQLIFRVLGCQPQGAAVNNFVIQLALSLVASESIKIYQAISDGTANLVDKFFEMQRHDALRALDIYRRAYQQAERLSEFYEICKSMDIGRGERFIKIEQPPASFLHTMEEYVREAPRMSTVRKDQVADDKIAAPKDILAIEYKKEHQPLAPPPEPVKVEEPVVEPPDLLALDDPVPAASELDGKNNLALAIVPVSDQPSTTLPSQANGITGWELALVTAPSSNDSAAAARKLAGGLDKLTLDSLYDDAIRRNNQPVSYNPWEPSPMANPMMQTTAYDPYFASNAVAAPHSVMAAMTNQQQAFMLQQQQQQQMMMMMMGPQQQPSNPFGYMYGTSVHPYGSGMPPVQAYNPYSGFR >Manes.06G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14629659:14638307:1 gene:Manes.06G046400.v8.1 transcript:Manes.06G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGTQKSLRKALGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPAKEKHIRAVFAAISATRPRADVAYCIHALARRLAKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLKMAHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDVETDRPRTKDLDTAELLEQLPALQQLIFRVLGCQPQGAAVNNFVIQLALSLVASESIKIYQAISDGTANLVDKFFEMQRHDALRALDIYRRAYQQAERLSEFYEICKSMDIGRGERFIKIEQPPASFLHTMEEYVREAPRMSTVRKDQVADDKIAAPKDILAIEYKKEHQPLAPPPEPVKVEEPVVEPPDLLALDDPVPAASELDGKNNLALAIVPVSDQPSTTLPSQANGITGWELALVTAPSSNDSAAAARKLAGGLDKLTLDSLYDDAIRRNNQPVSYNPWEPSPMANPMMQTTAYDPYFASNAVAAPHSVMAAMTNQQQAFMLQQQQQQQMMMMMMGPQQQPSNPFGYMYGTSVHPYGSGMPPVQAYNPYSGFR >Manes.11G016300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1685429:1697781:-1 gene:Manes.11G016300.v8.1 transcript:Manes.11G016300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVSKTGRRFCPKLVILPEPALSEVPGSSKEGSLIDSQNQSYARKLEGDSLGSFTSSSVYPICPWSCSWIPINVYGILSSTNSVSFTLNLYPDGYSVGKASESEAAANQDILQDAPKLLHPYDKTSETLFSAIESGQLPGDILDDIPCKYVNGTLVCECISEQSSSIPSMGGSPIVNRVCLRMSLENTEVESRILKALQPQLFLDPSPKLDRLCNDPTPRKLNLGLSGLQRKRLRQIPEVTVTSTSRIHGKKVCIDRMPAQAAENLIAQNLAQSNMPPVARSFVPDDNVPPLPLEQGSRSFVNISGASPAGLNMISYGDNMNSDTSLHGKRDNQDGQMSPLSNFNKRTRLTLVGPNGLQQQQIGTHINGLHASEMNWRNSLSQNQAMARGYHYANASIQKYPQQMFEGVMNQNAALAPFSAAQPGVKFGPKKEQLEAEKLDGSGQDKSDTMETETCHLDPQELRLQQGLPSHLMRSNFPQVAWNNLSQDLRKEEQLQKRKRVLSAGTLPNSPLSSKSGEFSTGSAGPHFGGAAANAAIGSSQKEMSSVTSVVAVGGTPSLISSGSDSLQRQHQARFDTKRRSNSLSKTAVMNGVGSPKSTSNIGVPLNASTPLVGTPPMADPSMLERFSKIVMVITRHLFNCKKNKVDNYSVDKPNTFSHQNLMVCLSSLPNCEDVKNDASARKLSKSIVGGCMNVCKMRVITILQADRRSDDTVAMHYGEPEDADFLSVEDYLPTLPNFMIRGGYIVEDNPQPRPTRMNISSSSHPTAALSLLIIQLFRCNNTMKQFQQQPPLQLQQQNQHSKIQQQHSQFQWFPMVLPSSSLSHLNTLGQNANMQLGNHMVNKSSQLQRQLLQQQQQQQRQQRQQQQQRKAMMGLGTTMGIGNMGSNMGGLGTLGNTMGLGAGQMTQAQALLKSRMVQSRAGVLGGPQAGISGMSGARQMHPSAAGLSMLVQSLNRANMNPMHGMQWGLWVHQN >Manes.03G087303.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17337125:17341153:1 gene:Manes.03G087303.v8.1 transcript:Manes.03G087303.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSIIVSVAHISADAWQQITRIQLQDRLSSHQLLDLICCFPLQQLGSFALWLWTFLCLPSPDSFYPYSYYSSSGDDDQPQFHHGPASSSSSSSLDLGYYYHDSHSD >Manes.03G087303.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17337128:17344411:1 gene:Manes.03G087303.v8.1 transcript:Manes.03G087303.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSIIVSVAHISADAWQQITRIQLQDRLSSHQLLDLICCFPLQQLGSFALWLWTFLCLPSPDSFYPYSYYSSSGDDDQPQFHHGPASSSSSSSLDLGYYYHDSHSD >Manes.03G087303.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17337112:17344411:1 gene:Manes.03G087303.v8.1 transcript:Manes.03G087303.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSIIVSVAHISADAWQQITRIQLQDRLSSHQLLDLICCFPLQQLGSFALWLWTFLCLPSPDSFYPYSYYSSSGDDDQPQFHHGPASSSSSSSLDLGYYYHDSHSD >Manes.15G026201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2063060:2065482:1 gene:Manes.15G026201.v8.1 transcript:Manes.15G026201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCYYRKKIPKGNRSKMDKDVPVARRSKQLKQKEMKNLPSLDKKTSQALHQALGILSIIQNMEGMILSLLFNQLHLSFPPTLHQLILFSDTHKQTTTSKSCQAWSSFNNWIYHWIINSTRSGWM >Manes.16G020700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2285058:2287101:-1 gene:Manes.16G020700.v8.1 transcript:Manes.16G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRRCNSMKSKSAGFEGEEGFGLGFVKHTRSFGRKRVLIDNSADSMLFDSPINTPLKRLCSLEPEKSALESLPQDILVRILCRVDHDDLKQLFHVSTVIRESTVVAKNCHFAYSTPRKTPAFRTPIYFEDTNELDEIEAPNAPKQLRSYRSRLNGKKLSDISVALFASPKKGLFMETEEA >Manes.16G020700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2285058:2287101:-1 gene:Manes.16G020700.v8.1 transcript:Manes.16G020700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRRCNSMKSKSAGFEGEEGFGLGFVKHTRSFGRKRVLIDNSADSMLFDSPINTPLKRLCSLEPEKSALESLPQDILVRILCRVDHDDLKQLFHVSTVIRESTVVAKNCHFAYSTPRKTPAFRTPIYFEDTNELDEIEAPNAPKQLRSYRSRLNGKKLSDISVALFASPKKGLFMETEEA >Manes.09G065300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10800931:10804160:-1 gene:Manes.09G065300.v8.1 transcript:Manes.09G065300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVNASLATFLNNSSPLLVPSSSFPSTSTPFFKIPLAKSFPCALSATSRRRCLFPSLAIKNEVIEEAADGYGEDQAVEHVEEVGETLLYSFSPLPLLLVAALPGAGTVSSLFGPFVELVKSWNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRFSDDVEEKANAKDLHPKLLGGMFFFFALGATGGITSLLTSDKPIFESPHAVTGFIGLALLSIQTLLPALFEGNPGLRNVHGILGSGIMTLFLVHAAFGLQLGLSY >Manes.15G089756.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6934349:6938331:1 gene:Manes.15G089756.v8.1 transcript:Manes.15G089756.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQKSDEIVALEVEKEVCSEFKAHVFSSSAELLERLHEKWSSVKKQPYPAMYSSVYGGIVLHPAMMVIPIDDHMVHRGHGVFDTTIIFDGYLYELDVHLDRFLRSASKARISSPFPRSTLRSILIQMTAVSKCKKGTLRFWLSAGPGNFLLSPAGCPTSAFYAVVIDDDFSQCKEGVKAITSTIPMKTPEFATMKNVNYLPNVLSMMEAEDKGAFASIWVDDEGYIAEGPHVNVAFITQDKELILPFFDKILRGCTALRLLQLAPELVKQGRLKSVKTANVTVQEAKRAAEMMFVGSTLPLLPIIMWDEQPIGDGKVGELTMALSDLLWEDIAAGVGMQRIPVPYEKLTS >Manes.05G137500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13645546:13645964:-1 gene:Manes.05G137500.v8.1 transcript:Manes.05G137500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNGAVEFEESPPDDFDPSNPYKDPVAMLEMREHVVREKWIDIEKAKILREKLKWCYRIEGVNHLQKCRHLVHQYLDATRGIGWGKDQRPPSLHGPKVEAVASE >Manes.05G137500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13638688:13646007:-1 gene:Manes.05G137500.v8.1 transcript:Manes.05G137500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNGAVEFEESPPDDFDPSNPYKDPVAMLEMREHVVREKWIDIEKAKILREKLKWCYRIEGVNHLQKCRHLVHQYLDATRGIGWGKDQRPPSLHGPKVEAVASE >Manes.05G137500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13644996:13646042:-1 gene:Manes.05G137500.v8.1 transcript:Manes.05G137500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNGAVEFEESPPDDFDPSNPYKDPVAMLEMREHVVREKWIDIEKAKILREKLKWCYRIEGVNHLQKCRHLVHQYLDATRGIGWGKDQRPPSLHGPKVEAVASE >Manes.10G128200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29376048:29381120:1 gene:Manes.10G128200.v8.1 transcript:Manes.10G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLETTPSNIDAVTTGGGNETDHLALLEFKAKIVHDPQNVMRSWNDSAHFCNWQGVVCGLKHRRVTILNLEDKGLVGSLSPYIGNMSFLRQIRLRNNSLQGKIPAEVGRLFRLQAFDLYYNHVEGKIPWNLSLCSNLRLLFLENNKLEGQIPTELANLRKLWVLDLGVNYLTGGLPPSIANMSLLEMLAVPNNFLTGSIPDVLGQLSHLSTIGLALNNFSGIIPPCMYNISSIKIFSVAFNSLHGSVPSDIGILLPHLQWFGLGYNYFSGSIPLSISNASELQVLTLTRNNFNGEVLVQFGLLKQLRILFLAGNNFNGGLQFIASMANCSSLIYLDLSHSQFTGALPNSVANLSSNLRFLAIADNRISGSLPLGLFDLVNLPRIILQRNQITGAIPTEIGKLQKLQELFLDRNRLSGKIPSSIGNLSFLINLQLDTNMLEGNIPSSLGNCRNLLRLGLSRNNLTGFIPKQLFPIASMLISISLFQNHLVGPLPLEIGNLFNLNELNISQNMLSGEIPSNLGQCRSLEFLFMENNNFQGAIPMSLESLKGLRQFDLSNNNMSGPIPKYLGKLALEYLNFSFNNLEGEVPTEGVFANMSSISLEGNKMVCGGIQELRLPRCSFEVSKKRKLRQVIQVAVITIPCILGVLILSASLCCWFKRQKRMQSPSSLELKSFPKLSYQKILKATDGFSTANLLGAGSFGSVYKGTLEEDGVIIAVKVLNPQRRGAAKSFKAECKVLQNIRHRNLVRTITSCSSIDFQGNDFKALVYEYMPNGNLDKWLHPSSEIYVEPTEQWSLSFLQRINIAIDVGSALDYLHHGCQKPVIHCDQKPSNILLDNEMVAHIGDFGLAKFLSQLSGPIHSSSVGVRGTIGYAAPEYGLGSDPSTSGDVYSYGILLLEMMTSKKPTDNIFVEGLNLDDFARMALADHAVEILDPILLQEDEEEEARLNRNEGPTQVRNGQKIECLIRMMKVGIGCSMESPQDRMAISDAVNELQSIRKYYMGARAGLSTQARS >Manes.02G121500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9257148:9258895:-1 gene:Manes.02G121500.v8.1 transcript:Manes.02G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDNPQIYHERQRLQFCLLHALNNLFQRKDEFTRASLDAIAQKLVLDDPNKQNWTPFSVVFKPHHNSLTGNYDINVLIAALEEKGKTVVWHDRRNGASSIHLENHSNGSEDSKLFGIVLNVQVRRYAGLWKSRHWVALRNICGVWYNLDSDLREPMAFQDADEVRAFLDYIIGQDGEVLLVMNEKE >Manes.17G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32406318:32410786:-1 gene:Manes.17G116900.v8.1 transcript:Manes.17G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLISTFFHYSSPLSRLPNRKSPLTLSKLKFKCLSFQGTQNHNNSRIFCFLNQILQFDSLTPHNLLFTKSSSSALDSLLLLFTSLALSVSLFVTDVEPASAFVVTTPRKLQSDELATVRLFQENTPSVVYITNLAVKQDAFTLDVFEVPQGSGSGFVWDKQGHIVTNYHVIRGASDLNFHDIEARMKNFACIPFLQNLVHLIIVGFDQDKDVAVLRVDAPKDKLRPIPIGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGSLIGINTAIYSPSGASSGVGFSIPVDTVSGIVEQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPLDGPAGKAGLRPTKRDSYGRLILGDIITSVNGKKVTNGSDLYRILDQCKVGDQVIVEVLRGDHKEKIPVILEPKPDAS >Manes.10G146400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31352016:31355571:1 gene:Manes.10G146400.v8.1 transcript:Manes.10G146400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICGETKYVQLLHSYLSGFFFFLISVFCRGKELQKLRLKKVKGSSEREDMSLMYSPSFNYQDGELRRNQESMDLNFHHYHQAEHQHSSGLLRYRSAPSSFLESLVSGATGHGNDSGGIEGEDSLYFQASSPDMDTVLARFMSTCNGSGDSNSENLQEYEKRPAMKQEMEDSQIVYQSSPINNLASNGNSVDVSNSLDSSFGVMNSMALENSMQASKMSTVNGSNLVRQNSSPAGFFSNLGVDNGFTVTKDAGGVRACNGLNGEANPSTSSLNNHLSFPSGQRLLPQIAEFEDENPGASSPEENTRKRQYMNFADNTWDDPSLNDFKRLRSNDGNVFSGLYMLDNQNENSGTRVSGLTHHLSLPKTAAEMANVEKFLQFQDSVPCKIRAKRGCATHPRSIAERVRRMRISERMRKLQDLFPNMDKQTNTADKLDFAVEYIKDLQKQLKTLKDTKAKCMCSSEQKQHSSSSS >Manes.01G227000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39197934:39201074:-1 gene:Manes.01G227000.v8.1 transcript:Manes.01G227000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGILSSLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKRELDALLSDEALANVPFLILGNKIDIPYAASEDELRHHLGMTSFTTGKGKVNLADTNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >Manes.14G024000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2268829:2276355:1 gene:Manes.14G024000.v8.1 transcript:Manes.14G024000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPERSRSYVKRDTEDSSDVKSDRAGDDEEWDGSDKRRHRSSKSRRSSNGEDAEGLDGNGRRRSSAGDRNESRKRSGGGSSRAGSDDDDYDTRKELRSKQIKKKQEESSLEKLSSWYQDGELESRQVAEKSGTKGHSRADESERRKMTSRISEHESSRGGNKSKEERSHDGEHDKPQDRDSRYSDRRESSREKAHGSTELGRTSRRRWDESDAGKRTEESHHEKADLRSGKSSDSKHENSKERSTSTRNEPSDSKSRGFDSNNEKGVKANNREERRADGERNKSKSRSEAVEEEDKGSPIAREDRSGRQKSEKHRQQRTSTSRDAVESRERSSNADEDGNTWVRDKSAREVGHSNRSRTPERSVRRHQESQYSETEYERSSDIRRKEPEKDVHRDDRSKGRDDSWNDRNRDRESSKDSWKRRQSAGNDRESKDGDVYDRGRDWEPRHGRERSDNERPHGRTRGEAVKTSSNFGISNENYDVIEIQTKPLDYGRAESGSNFARRSELGQQSDGKSASKAEEWAHIRDERARRNDLYGSTASADDTKERYADEGVSMRDPSPWRDEIDYQAGKGRGQRGGMSGRGAGGHSSSGGPQPPYGNQELGSFSRAPPQGVKGSRVGRGGRGRPTGRDNQQVPLPIMGSPFGPIGVPPPGPMQPLGPSMSPAPGPPISPGVFIPSFSPPVVWPGARGVEMNMLGMPPALSPVPPGPSAPRFPPNMGTPPPNPAMFFNQAGPGRGVPPNMSGPGFNAAGPIGRGTPPDKSSCGWVPPRNNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAKSASAPMYMKCDLHEFELSPDFFGTKFDVILVDPPWEEYVHRAPGVADHMEYWTFEEILNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTVGKGLSSSNFNAEAYVRNFADKDGKIWQGGGGRNPPPEAPHLVMTTPEIESLRPKSPMKNQQQQQQSASISLTTANSSSRRAAGNSPQNPSTFLNQEASSSNPSTPAPWASPMEGFRGRESGNMPLEDKLFDMYGYGGQPNGEYLDFESHRSMNLL >Manes.02G134800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10269867:10275188:1 gene:Manes.02G134800.v8.1 transcript:Manes.02G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKVLLLLLFLNIIASGVIAGSPPTCPADHSSGCDDSDEWKGEFFPGIPKIKYEGPSSKNPLAFKWYKAEKTILGKKMKEWMRFSVAFWHTFRGTGGDPFGAPTKYWPWEDGTNSLAMAKRRMRANFEFLEKLGVDMWCFHDRDIAPEGKTLEESNANLDEIVALAKELQGTKIHPLWGTAQLFVHPRFMHGAATSSEVGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQSLLNTNMERELNHLARFMEAAVAYKKKIGFKGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLQGEFKLNIECNHATLSGHSCHHELETARIDGLLGNIDANSGDPQIGWDTDQFLMDVAEATMVMLSVIRNGGLAPGGFNFDAKLRRESTDVEDLFIAHIAGMDTLARGLRNAAKLIKEGALAELVRGRYESFDTEIGAQIEAGEANFELLEKKAIEWGEPNVPSAKQELAEMIFQASL >Manes.03G180500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30283467:30285227:1 gene:Manes.03G180500.v8.1 transcript:Manes.03G180500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQRPNPCKSGRFINAEVKQKKSPVSTSLYLNQSAMQFRTMALVKRSVVLVLMMPLFQVMNAAIYKVGDSAGWTTIGNIDYKQWSATKTFQVGDIIVFEYNSQFHNVMRVTHAMYRACNASAPFATYTTGNDSITIKTRGHHFFFCGVPGHCQAGQKVDINVPHHQEVIAPTPASSSSSSSTFSPAVPAARIPGPSTSNAISFMPSDNLAFGVAVLATLGFSFA >Manes.10G008100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:944179:951977:-1 gene:Manes.10G008100.v8.1 transcript:Manes.10G008100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHDQPRCVGCLDCGGPSRCGMKCSALVANNIEGYDVCTTIGHFSSTVTGIIDEQNPQKGVIVKMSNNVKTSSGSENCSLSVSVICNSNGAQGPHSLKKLGVCDYSAVLQHPSGCAVVVSVHGKGWGWFGTLLIIILCLFGGYMLVGAVYRYFFLGIRGLDIIPNLDFWARLPQRIQSYCAALVRKFRGPTEGYRSSYSPVNF >Manes.10G008100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:944179:951977:-1 gene:Manes.10G008100.v8.1 transcript:Manes.10G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGIISWILSVAILHQIGSPKPVAAVCQLSFVDGQKLFNFSLTSPLTKFPHGVISEDGFYKVAVNESVLWFQLCNGMVFNHDQPRCVGCLDCGGPSRCGMKCSALVANNIEGYDVCTTIGHFSSTVTGIIDEQNPQKGVIVKMSNNVKTSSGSENCSLSVSVICNSNGAQGPHSLKKLGVCDYSAVLQHPSGCAVVVSVHGKGWGWFGTLLIIILCLFGGYMLVGAVYRYFFLGIRGLDIIPNLDFWARLPQRIQSYCAALVRKFRGPTEGYRSSYSPVNF >Manes.10G008100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:947024:951547:-1 gene:Manes.10G008100.v8.1 transcript:Manes.10G008100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGIISWILSVAILHQIGSPKPVAAVCQLSFVDGQKLFNFSLTSPLTKFPHGVISEDGFYKVAVNESVLWFQLCNGMVFNHDQPRCVGCLDCGGPSRCGMKCSALVANNIEGYDVCTTIGHFSSTVTGIIDEQNPQKGVIVKMSNNVKTSSGSENCSLSVSVICNSNGAQGPHSLKKLGVCDYSAVLQHPSGCAVVVSVHGKGWGWFGTLLIM >Manes.15G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3212148:3217515:1 gene:Manes.15G041600.v8.1 transcript:Manes.15G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGSQKKRLITSVCLVALFLGFIYFYYGSIFGSQSRGAAALEYGSKSLRKLGSSYLGGGDESDGKPDDSTKFGQEDGEEYTVPKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSVMEHYERHCPPPERRYNCLIPPPSGYKIPIKWPKSRDEVWKANIPHTHLAHEKSDQNWMVVKGDKIAFPGGGTHFHYGADKYIASIANMLNFSKNNLNNEGRLRTVLDVGCGVASFGAYLLSSNIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEDDLRIWRKMSALVGRMCWKIAAKRNQTVIWQKPLTNDCYMEREPGTQPPLCRSDDDPDAVWGVRMEACITPYSDHDQRVKGSGLAPWPARLTTPPPRLADFGYSSEMFEKDTERWRHRVENYWNLLSPKTQSDTLRNLMDMKANLGSFAAALKDKDVWVMNVVPKDGPNTLKVIYDRGLIGSVHNWCEAFSTYPRTYDLLHAWTVFSDIEKKGCSAEDLLIEMDRILRPTGFIIIRDKQSVVDFIKKYLVALHWEAVATADPSSETDQDGDDVVFVIQKKLWLTSESVRDTE >Manes.08G060700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7188981:7197711:1 gene:Manes.08G060700.v8.1 transcript:Manes.08G060700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRSLSLSRHSWVLKISLVFLVPLLTFYELGNTESFLFPSPMSANHYITSNGDAELAKPALTSSGSFKARKSKPSANPAAGTAISRAGSDVDDIITLLHGSDPVRVELNRLENEVRDRDRELGEALAEIKSLKNSERLKEKAVEELTDELNKVDEKLKAAEALLESRNLEIKKINEEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAIITPLEAELKLARLEVAKLQDDNRALDRLTKSKEAALLEAEKTVEMALAKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLSGGAAANAVREYQRRVQEMNEEKKVLEREVARAKVSANRVATVVANEWKDGNDKVMPVKQWLEERRFFQGEMQQLRDKLAVAERTAKAESQLKEKYQLRFKVLEERLKASNGNSRATFEGRNVCSGQSRRQSFGGAENFSRSSSNGYLSRKASHLQAGSLRSNNTATLLRHAKASSRSFDGGNGSVDAVKLIPENMSADNAVTSTSNQTQNSETVVCEQPANGLPMEKSKSEHEDCVSGILYDMLQKEVMTLRKASQEKDQSLKDKDDAIEMLAKKVDTLNKAMEVESKKMRREMAAMEKEVAAMQVSKERDHRTRRSSAPRAAQLLSARTRVECSRIAHH >Manes.08G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7188945:7198940:1 gene:Manes.08G060700.v8.1 transcript:Manes.08G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRSLSLSRHSWVLKISLVFLVPLLTFYELGNTESFLFPSPMSANHYITSNGDAELAKPALTSSGSFKARKSKPSANPAAGTAISRAGSDVDDIITLLHGSDPVRVELNRLENEVRDRDRELGEALAEIKSLKNSERLKEKAVEELTDELNKVDEKLKAAEALLESRNLEIKKINEEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAIITPLEAELKLARLEVAKLQDDNRALDRLTKSKEAALLEAEKTVEMALAKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLSGGAAANAVREYQRRVQEMNEEKKVLEREVARAKVSANRVATVVANEWKDGNDKVMPVKQWLEERRFFQGEMQQLRDKLAVAERTAKAESQLKEKYQLRFKVLEERLKASNGNSRATFEGRNVCSGQSRRQSFGGAENFSRSSSNGYLSRKASHLQAGSLRSNNTATLLRHAKASSRSFDGGNGSVDAVKLIPENMSADNAVTSTSNQTQNSETVVCEQPANGLPMEKSKSEHEDCVSGILYDMLQKEVMTLRKASQEKDQSLKDKDDAIEMLAKKVDTLNKAMEVESKKMRREMAAMEKEVAAMQVSKERDHRTRRSSAPRAAQLLSARYARNS >Manes.12G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2451033:2456175:1 gene:Manes.12G028100.v8.1 transcript:Manes.12G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNQYFQTTNLQSEELEVEDRESVVVDNDDDLDADAENGEAHSGDNNESFADFTRNGMFKIGEGSREHDLIKKTLLEGMGRHANFTRIVAIHKNSVSGSAGKARWLAFRIFSQAVAERRGGNSNLRFAWYGASRAEICQVISHGFSHSGETANAQSHGIGISLSPAKFSIDSVESSAADENGLTHILLCRVILGKMEMIPAGSRQFQPSSTEFDSGVDNIVEPRRFTVWNAFMNSHIFPNYIISIQAPSVADMKTNQVRPLRPTSPWMSFPVLLSILSKFLDSS >Manes.11G131800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29642787:29643678:1 gene:Manes.11G131800.v8.1 transcript:Manes.11G131800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSETMDMAGPGRTQIQQITSRLKQYGHIEEKNVFYWFQNRKARQRQKQKQENTAYINRYLHKAYQPVFSPLCSNVICGSYYLPRRDLGFYLRYPKVLLPNGFKRRPRSEKIDKARAYAFTDSKQETIDDKFFGNNEETLPLFLLHPTGVLQGRAETLRSLGSMICAAENSIANSASSSSEMTTGVEDVSSDKPFFDIYFFFHETNAK >Manes.02G110950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8588094:8588255:1 gene:Manes.02G110950.v8.1 transcript:Manes.02G110950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFLGPLFSLIFLLCLPMLYPNTNTSIPFPPFCNNAFNPSSISWVFDWSTS >Manes.14G024400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2293483:2298432:-1 gene:Manes.14G024400.v8.1 transcript:Manes.14G024400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTEESCILYYMILIFASIARQIKAAVTSLTLSLVLLWKQLILRAKERMWPFCRKGPSGFSSSSTAEEVTHGIDASGLTAIVTGASSGIGTETSRVLALRGVHVIMAVRNKAAGRSIKEAIVKEIPSAKVDIMELDLNSMASVRNFATEFNSSGLPLNILINNAGIMATPFMLSKDNIEQQFATNHLGHFLLTNLLLENMKKTAHESKREGRIVIVSSEAHRYTYHEGIRFDKINDPSGYSSIRAYGQSKLANVLHANELTRQLKEDGVNITANSLHPGTISTNLFRHMSTVNGLINVVGRLVLKNVQQGAATTCYVALHPQVKGVSGEYFSDCNLAKATDMGRDVGLAKQLWDFSMKLVN >Manes.18G085550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7901440:7901859:1 gene:Manes.18G085550.v8.1 transcript:Manes.18G085550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSSTTDDSCPGCNMLPFHVRIELVTVFPIPEQECSSRVGRAVQELGGVLQKGRFNENWNLSGQCVMCLEDLSVGWEVSVLQRSHIFHQDFTFKSLQRSCMCPLCCYHFPFNLLSFGWVSLTVFLIIKHGIHLGSCL >Manes.14G064300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5324812:5329535:-1 gene:Manes.14G064300.v8.1 transcript:Manes.14G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSEAGMEALHHLKSTDPPVWLAPSPTLSQTSRTASQHLFSCLKPYAPKSPFDQLLTDGFDAEQIWQQIDLLSQPLLSTLRRQIKHFEKHPEELKIVLDDENKLLDGENKALEEKETKRFDDEVDEDDMDMDMDKFDEEDEDEEDEGGRRESEEGESEDDGEEMAEGKGGEGIEDKFLKIKELEEYLEEDEAREYGLDRKKKKGEQKNLNELDEEEEEEEEEGEEDEDEDDDDDDELDDEDEDAEENARYEDFFGAKKKTVSKRKSRLADGSDEDSSSDDEQDDDGADEKKGGLSTHEKQLAKLQSEIEQMEKANLEPKTWTMRGEVTAASRPKNSALEVDLDFEHNVRPAPVITEEITASIEDIIKQRIIEGRFDDIQKVSSFPSTASREVKQLDENKSKKGLAEVYEEEYIQQTNPAAVPISFSDEQKKEASTLFKKLCLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPTAVSDAAMLAPEEVFAGKGDIKEEAELTQAERKRRRAKKKRKFKAEAAKRMTKKARDNVALLNHKNGE >Manes.09G011616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2281556:2284173:1 gene:Manes.09G011616.v8.1 transcript:Manes.09G011616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAFGTIQSPFLFLFTNYCHSSTSTLEDAHFFTNNFKSASFTRLDDAIASFNHVIHKHPLPSRVHFNRFLSALVKIKQYHTVLSMSKTIELLGISHDLYSLNILINCFCRLHLVDFGFSVFGKMLKFGLEPDVVTFNTLINGLSIESKMDKALEFFDDMVARGYQPNVRTYSVIINALCKFGKTNVAIGLLKGMADRGFEPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYSSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGMVSNAENIIKIMIQRGVEPTVVTYSSLMDGYCLCNHMDKAKKLFDLMVTNEIANIFSYTILINGYCKYKMIDDAKDVFVEMSHKGLVPDVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKIFRDMEKGGCLPNNFSYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNDLILSKLRNRSEASKAVQ >Manes.10G132000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29750254:29755901:1 gene:Manes.10G132000.v8.1 transcript:Manes.10G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNHGGGGGGGGGGGGVGREEEQLKKMTELSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQDEVAIYQSKGTLWKKEMESQVVPPGYDPAMDVKPKTKSVKRNERKKEKRLQASLEKGKNLEAMVDDDMKNEEVLPAEPLGHPSESVKSLTSQMNELAVSANPVSSTPADSTEVSDTSAPSQDIDKRIRALKKKVRIAEGQQQKTAPEDMKPEQLEKLAKLESWRQELKLLEGKKAEHAAS >Manes.05G171000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28587144:28597735:1 gene:Manes.05G171000.v8.1 transcript:Manes.05G171000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRLLDSLRMERVRTIFTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNVKWWSMYACLLGFFYFFSSPFLGKTIKPSYSNFSRWYIAWILVAALYHLPSFQSMGVDLRMNLSLFLTIYVSSILFLLVFHIIFLGLWYVGLVSRVAGKRPEILTIFQNCAVLSVACCVFYSHCGNRAILRNKPFARRNSGWFSFWKKEERSTWLANLIRMNELKDQFCSSWFAPVGSASDYPLLSKWVIYGELGCNGSGCAGSSDEISPIYSLWATFIGLYIANYVVERSTGWALSHPLSVQEYEKLKKKQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMTKVEDGAQQGDLLYDQLSEKEDLWFDFMADTGDGGNSSYAVARLLAKPSIQLTRGDSVLPLQRGTLLLIGGDLAYPNPSAFTYEKRLFCPFEYALQPPPWYKQEHVAVNKPELPVGVSDLKHYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFSELIKEKVGENDSVIIMTHEPNWLLDWYWNSVSGKNVSHLICNYLEGRCKLRIAGDLHHYMRHSYVPSNGPVHVQHLLVNGCGGAFLHPTHVFSNFKELYGTNYETKAAYPSLEDSSRIALGNILKFRKKNWQFDFIGGIIYFVLSFSMFPQCKLDHILQDDTFSGNLWSFFGTVWNSFMYLLEHSYVSLSGLVVLLIAAIAFVPPKVSRKKQAIIGILHVSSHLAAALILMLLLELGVEMCIRHNLLATSGYHTLYQWYRSVESEHFPDPTGLRSRIEQWTFGLYPACIKYLMSAFDVPEVMAVTRSNICKNGIQSLSRGGAAIYYASVFLYFWVFSTPVVSLVFGIYLYICINWFHLHFDEAFSSLRIANYKAFTRFHINKDGDLEVFTLAVDKIPKEWKLDSKWDTELKQPQQMSHHRLFPSKWRAATSQQDPLNTVKIVDHFVIRQTENSDIGASNGSVVR >Manes.S056116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1980604:1981416:1 gene:Manes.S056116.v8.1 transcript:Manes.S056116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G133710.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30082186:30087033:-1 gene:Manes.10G133710.v8.1 transcript:Manes.10G133710.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVAAAGSILSVCFQGLLDRLNSIDLRKYVGQGQVLAQLMKWEKILKRIYAVLEDADEKQTANRLVEIWLCDLRDLAYDLEDIIDELATEVQQRKLEEEPVHPKNKVHEFFCVMCGGGNLNLNTIKFNVEMVAKIVETSARLDEIIKQKDELRLAEYTTRRVSHVTERPPATSLVNEAKVYGREEDKKAMLKLLNAETSDAQVSVISIVGMGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVSRVTKTFLLQLGDGGDDKDLNVLQVKLKQKLSGNKFLVVLDDVWTQNYEEWTLFWGPFEAGAPQSRVIVTTRSQDVSLMMGTTQAYALKKLSHNECMSVFAQHALGANNFDAHLELKQMGEEIVKRCGGLPLAAKALGGILKGKPNPDLWKEVLSSEMWELPDNRSNILPALKLSYFHLPPHLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDQKKMKDSEGLGHKYFDDLLSRSFFQQSNDNKSKYIMHDLIVDLACFVSREICLHMVGKLENAKSFAKIRHSSFIPHFMNTFQRFQSFCEMKNLRTFLSVREDRIRCHITSKVVHELVPKLKCLRSLSLAGYEIEELPNSFGELKHLRYLNLSDTPIQGLPESVDKLFNLQTLKLRDCDELIDLPKGICNLLNLQHLDIIGTRKLKEMPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGKLHITSLENVEVADIRDAGFVNLKDKPGITELHLEWAEADERFDDLRNPSHEEQVLNSIQPYQSLSSLSITSFGGRKFPSWLGEPSFSGMVQVQLRKCRQMTSLPPLGRLKSLKKLSIGDMRGVKEVGVEFYEDDSCFSCLEELEIRSMGEWELWAWSNGLDEDSVPKFPKLHQLQIRNCPKLVGKLPTFLPSLEKLFIVDCPLLVELPKVLPSLTALSIRRCQEAILRSVTNATCLTSLKYLEIALCDELVSLVDGEPGLLPCNLEVLNIYECPNLKELPSELKDLKSLKYLTIRRCRSLVSFPTGGLPHNMIRLRITSCESLESMPEGIVCPSDYSGETSQLEKLYISGCESLRCSSNGKFPYSLKTLRIHNWTPQFLNSLYCGLSHLTELHIEKCPQLESFPGKELPLPSLISLTIAHCEGLRSLSNHMQDFQSLQQLEIGGCHQLELFPEMGLPNPKLVSFQISWCKNLRSLPNQMQNLTSLQSIDISVCEGMESLGEGCLPPNLTSLHIRECLNMKQPMLEWGLHRLVSLRSLVLNVESTGDFISFPDDDGFLLPTSLTHLCIIGFKNLKSISMRIQKLTSLEKLSIWWCPKLQSFPAEGLPATLECLEIHSCPLLRDRCLKDKGGDYWPIISDIPCVDV >Manes.14G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7861545:7870546:1 gene:Manes.14G094200.v8.1 transcript:Manes.14G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHRYKYSGVDHSYVAKYVLQPFWSRFVNFFPLWMPPNMITLTGFMFLVTSALLGYLYSPHLDTAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWVISAVTFYGATWEHFFTNTLILPAINGPTEGLMLIYVTHFFTGIVGAEWWVQHFGESFPFLSWVPIMSEIPTSRAVLFLMIAFGVIPTVAFNVSNVYKVVQARKGNMLLALAMLYPFIVLMGGVLVWDYLSPSDIMGKYPHLVVLGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFAIANALTAKLNDGVPLVDEFWVLLGYCVFTVGLYLHFATSVIHEITTALGINCFRITRKEA >Manes.18G006940.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1020438:1021758:1 gene:Manes.18G006940.v8.1 transcript:Manes.18G006940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPKEVIKIAAKWQNVASSMRKIKVQVAQKGHFVVYSNDKKRFVVPLDYLNHRIFKELFKMSEEEFGLPGKGPITFPYDAVFVEYLISLVKQHASEDSFITMIAYRRRSFDPLDLSSQLIALLSF >Manes.11G030900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2899317:2904166:1 gene:Manes.11G030900.v8.1 transcript:Manes.11G030900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDGRKAVVSVTLWLIFVLLLSATFRNIKLAAAKDDKLAEKRKLAASNSSFLSIDCGVDQDYFDEKMGIFYKSDKDFISTGENKYVSPGYDDDDDDSYTGRILQSLRFFPKGRKNCYKLRPEHGKNQNYLIRAFFKYGNYDALNKIPKFDVYLGANFWMTVQPINVTATLLEEIIYFSLTDVINICLVNTLSGKPFISALELRLLNNSIYKIESKSLYVLGRYDLGNSTNRMVRYKNDVYDRLWDTLTPADCIALNTTANIEVQSINNTLKTPVEVLRTAAQVRSPLRSLGNSFPGVYIAAESEYYACFHFAEILPISQGKGKHRREFTINFNGANYGHITLQYLNPLTMCYGPRKSRDSGFVEFSINQTGRSDLPPILNAFELFYVMPPLGSPTDPADVDAMMAIPQIYTIDKDDNWQRDPCLPRDITWTGLNCSYDSNSPRIISLDLCASRLTGKISSSFFNLTAIRYLDLSGNELTGTVPEFLAQLPNLTVLNLSGNKLTGTVPQSLVQKANNRLLQLSLEGNPSLCQTDSCQKKKRSVLLPIVTSFATVLVLLFLSTIFFFWRMKRQATSQSKKEGLVISTNRSFSYSEIVSITNNFETIIGEGGFGKVYFGTLKDNVQVAVKLLSQNSRQGYKEFQSEAQLLMIVHHRNLVSLIGHCDDRHNKALIYEYMANGNLREHLTETSGSTLNWNERLHIAADAAQGLEYLHNGCKPPIIHRDLKTSNILLNEKLRAKISDFGLSRAFANESGSHITTRPAGTLGYLDPQAQSSGNFNKKSDIYSFGIILLELITGQPAIRRDVNGEIIRIQEWVTPIIENGDVRSIVDPTLQGDFDTNSAWKAVEIALSCVLNTATRRPDMTDVLIELKECLGMVTAVVGSQRMDRGRTRSINSLEMRSLEIYTETAPSPR >Manes.11G030900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2897899:2904166:1 gene:Manes.11G030900.v8.1 transcript:Manes.11G030900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFYKSDKDFISTGENKYVSPGYDDDDDDSYTGRILQSLRFFPKGRKNCYKLRPEHGKNQNYLIRAFFKYGNYDALNKIPKFDVYLGANFWMTVQPINVTATLLEEIIYFSLTDVINICLVNTLSGKPFISALELRLLNNSIYKIESKSLYVLGRYDLGNSTNRMVRYKNDVYDRLWDTLTPADCIALNTTANIEVQSINNTLKTPVEVLRTAAQVRSPLRSLGNSFPGVYIAAESEYYACFHFAEILPISQGKGKHRREFTINFNGANYGHITLQYLNPLTMCYGPRKSRDSGFVEFSINQTGRSDLPPILNAFELFYVMPPLGSPTDPADVDAMMAIPQIYTIDKDDNWQRDPCLPRDITWTGLNCSYDSNSPRIISLDLCASRLTGKISSSFFNLTAIRYLDLSGNELTGTVPEFLAQLPNLTVLNLSGNKLTGTVPQSLVQKANNRLLQLSLEGNPSLCQTDSCQKKKRSVLLPIVTSFATVLVLLFLSTIFFFWRMKRQATSQSKKEGLVISTNRSFSYSEIVSITNNFETIIGEGGFGKVYFGTLKDNVQVAVKLLSQNSRQGYKEFQSEAQLLMIVHHRNLVSLIGHCDDRHNKALIYEYMANGNLREHLTETSGSTLNWNERLHIAADAAQGLEYLHNGCKPPIIHRDLKTSNILLNEKLRAKISDFGLSRAFANESGSHITTRPAGTLGYLDPQAQSSGNFNKKSDIYSFGIILLELITGQPAIRRDVNGEIIRIQEWVTPIIENGDVRSIVDPTLQGDFDTNSAWKAVEIALSCVLNTATRRPDMTDVLIELKECLGMVTAVVGSQRMDRGRTRSINSLEMRSLEIYTETAPSPR >Manes.13G052300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6102456:6105714:-1 gene:Manes.13G052300.v8.1 transcript:Manes.13G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFFLNAGIPPPPLHFEPNFTSALPTWHSLSSAMEMQGNELYCSTEQSPDCFSAPNWEKSTDQCLNFDSALSSMVSSPAASNSNLSSDGIMIRELIGKLGNINPGEISPHSQPMLAASYITPGNNSTNNSCYSTPLNSPPKLNLPPLDKFSKENLNFPSLGKPMALNSSVAEFTADPGFAERAARFSCFGSRSFNGRSSQFGLNNAELAYKSNQLMGNGKLPRVSSTPSLMVIGSQKGNNSSLQDRSELANSSQSSLSEQNPTGESAMKVSCELNSKKRKSVSRGKAKESQPNSTPPANSAKDAEIENNSNSKRSKPNEGKGNEKTAVKAEEDQKGSDGDEKQTKNNNSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTTRLDFNMDTLNSKDIFQSTNQLQNPIFPIDSSATAIFGHQLQQNPALHSNIANGTVTQCSVVPLDTGLCQTLNMQLPPLEGFNHNDPQYPTFCEDDLQTIVQMGFGQNLNQETTLQAHNFTGPNQVSHMKTEL >Manes.08G168300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40274075:40279173:-1 gene:Manes.08G168300.v8.1 transcript:Manes.08G168300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKMQSISKKVLLFFLFSLLLFGCILAQSESETLETLRSAPHKNVGNSVIDGTGIQSAIDFESTSSGLGDRKGNYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGQNHGAGNWVMTGILSGGIFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSYMCADAFNKFLPFCTGFAAGCMIWMVIAEVLPDAFKEASSSQVASAATISVACMEALSTMFQNFSHDYNAEDASGFFVSLLFGLGPFLGGIILVAFALAFHLQHALLMGAASGIAFILGAWRPLQLLVSSKMGVIPLMFLLAVGAVFVHVCSSSIMSLAGRKRASTNNLPTITGFPMSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGQHMVLPVSLHGLPRGAAVASCIFGATDNWHNALAAAALIGFMGPMSAIGSILAGIDYSGLDHIMVLACGGLLPSFGRIIQRAMSLDTPKGTSGLAIGIGFATLCLACTKLVCLHTPYCNSAPEAVR >Manes.14G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2931612:2934487:1 gene:Manes.14G032500.v8.1 transcript:Manes.14G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQIQTRIQSTEPDNLPRKPAGATAMRLIVPLQGVVQGRGGLILGSLIPCALFYFFQLYFKRHRSPNPSSNPPSPSASSPNLAEIPRTSSRSNLSSRGSFSQGRACISNRAMSIAKPNDSPYYIGLDKVSVNPYDRRSNPDGIIQLGLSENRLCLDLIEKWMANNLRDSVVGTDGGDLNIHGIATYQPFDGLMELKVAMANFMSQVVGRAVSFDASQIVLTAGATPAVEILCFCLADQGNAFLVPTPYYPGFDRDMRWRTGVELVPVHCRSTDNFILSVPALEEAYNQARKRGLKVRGILISNPSNPVGNLLPQETLFDLLDFVQEKNIHIISDEIFAGSVYGNGQFVSMAQILEEEDFDKNRIHIIYGLSKDLSLPGFRVGAIYSYNENILTAAKRLTRFSSISAPSQRLLVSMLSDTRFIEEYIDTNKRRIRKIYDLFVEGFKQLGIKCVESSAGLYCWADMGELMNSYSEKGELDLWDKLLNIAKINVTPGSACHCIEPGWFRCCFTTLTEEDIPVVIERISKVAETFKSPG >Manes.14G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7091072:7095315:-1 gene:Manes.14G085900.v8.1 transcript:Manes.14G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGSVLRQTATTHVNNELSASRPSLYQAIRWMSSSKLFVGGVSYATDDTSLREAFSKYGEVVEARIILDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVRVNYATDRARGSFGGGYGGGGYGASGGGYGAGGGGYGAGGGYGAGGGYGASGGGYNNNYGAGSPSGSSSYAGGNALDAAFGSGGGDVAGGSDNFGSSNTGGGFDGNPGLGFGGDESGTLDDGAGGYDQDEPLEGNFKDDDDDAGDFAKRV >Manes.14G085900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7091538:7095187:-1 gene:Manes.14G085900.v8.1 transcript:Manes.14G085900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGSVLRQTATTHVNNELSASRPSLYQAIRWMSSSKLFVGGVSYATDDTSLREAFSKYGEVVEARIILDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVRVNYATDRARGSFGGGYGGGGYGASGGGYGAGGGGYGAGGGYGAGGGYGASGGGYNNNYGAGSPSGSSSYAGGNALDAAFGSGGGDVAGGSDNFGSSNTGGGFDGNPGLGFGGDESGTLDDGAGGYDQDEPLEGNFKDDDDDAGDFAKRV >Manes.09G175000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36655068:36660288:-1 gene:Manes.09G175000.v8.1 transcript:Manes.09G175000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPVPAAIHSSAVEKKLEHLPSMVAGVWSEDSNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTRVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALAHLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVIEANIIGPLVHLLQNAEFDIKKEAAWAISNATSGGTHDQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNLGNTGDVNQYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDETMPPGDASQSGFQFGGSEMPAVPSGGFNFS >Manes.15G104900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8309591:8318171:1 gene:Manes.15G104900.v8.1 transcript:Manes.15G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRGVRRGRAGLTPQNRQPDPPRVQNCVKTRAAVARERKRPLTRLETKRLKEKQEDNKVIVISEKEDSEFLISERKKKGKKNLAVVSVKEKQEEDVKRVGIMGDDSGGLSANKAVGQEEEGNTAPFPEKVQVGGSPLYKIERKLGKGGFGQVFVGRRVSGGTDRAMGPGAMEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNSSGQSMSSEMVACIAVESLSILEKMHSRGYVHGDVKPENFLLGQPGTAQEKKLFLVDLGLATKWRDSGSGQHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLCRGRLPWQGFQGDNKSFLVCKKKMATSSEMLCCFCPPPLKQFLEIVVNMKFDEEPNYSKLISLFEGLIGPNPAIRPINTEGAQKIICQVGQKRGRLNIEEEDDGQPKKKVRLGVPATQWISIYNARLPMKQRYHYNVADGRLAQHVERGIADGLLISSVASCSNLWALIMDAGTGFTNQVYELSPLFLHKEWIMEQWEKNYYISSIAGANNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDNGFRITSTAATFDQAALILSVPRRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVS >Manes.02G121400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9255278:9255637:-1 gene:Manes.02G121400.v8.1 transcript:Manes.02G121400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKNQDCHRPSISKKAISHKQNSFSKRVAGNIGLSGCCGFRLLKKSEFSPLYFLKRLESKVAKALHWRRPSSVGRPRPFVAPIDTHRTEAISECIEFINSSSSSSFQMSNSIAENPS >Manes.14G009600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:566881:568437:1 gene:Manes.14G009600.v8.1 transcript:Manes.14G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTLNHDLVIRRFCPARMEQEQAGDLEETLMNHEFELLSPDDDTTPTTPHLAASEVDKLVDSFINVDEEAKDTDDHPSPKNIPDEMEKFSMVDDVFGDVPMLLEGDDEFEMSTGSSFQDSVAVPSVELVSHGVDQGLHLVHLLLACAEAVGCRDTQLANSLLAQIWASVNPWGDSLQRVSYCFAMGLKSRLSLLHSVNVTGAFTNGAMDVSLITGEEKMEAFQLLYQTTPYIAFGYMAANEAICQAAGGKDSLHVIDVGMDHTLQWPSFLRTLASRPEGPPKVRITGLINNEQNLLELEASMMVLAEDASSLGISFEFNMISEQISPSILTRGNLSLREGEALFVNSIMHLHKFVKESRGSLKAILQAIKKLNPTLLTVVEQDANHNGPFFLGRFLESLHYYSAIFDSLEASLPRNSQQRMKIEKLHFAEEIRNIVAYEGSDRVERHERADQWRRQLGRAGFQVVGLKCLSQARMMLSVYGCDGYTLTSEKGCLLLGWKGRPILLASSWQVHNAPSS >Manes.16G110000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31532894:31557121:-1 gene:Manes.16G110000.v8.1 transcript:Manes.16G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHQLPPSVEQLIAKICTDQNQPPLGLPARRVLASLEEEVVLEILCTIASSEIRKSFDGFVFYLVKQKVGNSSPVKRLCLSPTSPQQSSRSSAPAWLMMHQQCESIFESPISMSLSRDTSCSSEAVSRTEISPQLQALGELEFRKAFLILSYMGSYKLEEVISADKIRAMGHLPMDKFEAEVWKAVGNRCGYIKENERVKYLVWDCGKTHIYHCHVSSGGTYRFKGPYLTTMSNFLQRVLGDDNVLMVKFGKEDADKRCSTSSPVDYYAKCSTSSSVDYYAKYGSLAREGIVVGLRRYRFFVFKDGGKEEKKKNPTTSPVKCFFVRTESDAFKDSRDYILHGKTIREARSIFMDVDKLPSLSNCMARFSLILSKTVNLEVNLSSVKIEKIDDIPCLDNDGNIVKGKDGKLLIHTDGTGFISEDLALKCPRRVQKGKCIDANEMERLLDCNELMGKSSEVKFPESNSGEPPLLIQFRLFHNGGAVKGTLLVNKKLCPGTIQVRPSMIKVEPDSVQNACTKNSFEIVGTSNRPKGAYLSRNLIALLSYGGVPKEFFMDLLNNALEDAQGALSKKHVALRVAISNGEMDNFTVARMLFSGIPLDESHIQYHQLVLMREEKKSLKGGRIPVPESYYLMGTVDPTGTLEADEVCIILDNGQVSGKVLVYRNPGLHFGDIHILKATYVEGIEDFVGNAKYAILFPCKGPRSLADKMAGGDYDGDMYFVSRNPELVEKFNQSEPWNPPFSSSNVPNKKPSDFSDEELEDELFRLFLMTRFQPSYTMGVAADSWLAIMDRLLILGDDRNDERNNMKRNMLDLIDKYYDALDAPKKGGEKIELPEQLKAELFPHHMEKKEEISYKSTSILGLIYDKVKLYMEEDTLETEVWKLHYFNEEVSESCLMKWEELYKHYRQDMTDALNQNTETKNEAANEVIKKYKAILYEASEFEESKRKEEDVFEEALAIYHISYNFAKARGDAKYCGFAWKVAGQALCKLYSLKQGNCQKPMKIYTTPSTLKELFIR >Manes.16G110000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31532894:31557121:-1 gene:Manes.16G110000.v8.1 transcript:Manes.16G110000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHQLPPSVEQLIAKICTDQNQPPLGLPARRVLASLEEEVVLEILCTIASSEIRKSFDGFVFYLVKQKVGNSSPVKRLCLSPTSPQQSSRSSAPAWLMMHQQCESIFESPISMSLSRDTSCSSEAVSRTEISPQLQALGELEFRKAFLILSYMGSYKLEEVISADKIRAMGHLPMDKFEAEVWKAVGNRCGYIKENERVKYLVWDCGKTHIYHCHVSSGGTYRFKGPYLTTMSNFLQRVLGDDNVLMVKFGKEDADKRCSTMFKDGGKEEKKKNPTTSPVKCFFVRTESDAFKDSRDYILHGKTIREARSIFMDVDKLPSLSNCMARFSLILSKTVNLEVNLSSVKIEKIDDIPCLDNDGNIVKGKDGKLLIHTDGTGFISEDLALKCPRRVQKGKCIDANEMELCPGTIQVRPSMIKVEPDSVQNACTKNSFEIVGTSNRPKGAYLSRNLIALLSYGGVPKEFFMDLLNNALEDAQGALSKKHVALRVAISNGEMDNFTVARMLFSGIPLDESHIQYHQLVLMREEKKSLKGGRIPVPESYYLMGTVDPTGTLEADEVCIILDNGQVSGKVLVYRNPGLHFGDIHILKATYVEGIEDFVGNAKYAILFPCKGPRSLADKMAGGDYDGDMYFVSRNPELVEKFNQSEPWNPPFSSSNVPNKKPSDFSDEELEDELFRLFLMTRFQPSYTMGVAADSWLAIMDRLLILGDDRNDERNNMKRNMLDLIDKYYDALDAPKKGGEKIELPEQLKAELFPHHMEKKEEISYKSTSILGLIYDKVKLYMEEDTLETEVWKLHYFNEEVSESCLMKWEELYKHYRQDMTDALNQNTETKNEAANEVIKKYKAILYEASEFEESKRKEEDVFEEALAIYHISYNFAKARGDAKYCGFAWKVAGQALCKLYSLKQGNCQKPMKIYTTPSTLKELFIR >Manes.16G110000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31532893:31557121:-1 gene:Manes.16G110000.v8.1 transcript:Manes.16G110000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHQLPPSVEQLIAKICTDQNQPPLGLPARRVLASLEEEVVLEILCTIASSEIRKSFDGFVFYLVKQKVGNSSPVKRLCLSPTSPQQSSRSSAPAWLMMHQQCESIFESPISMSLSRDTSCSSEAVSRTEISPQLQALGELEFRKAFLILSYMGSYKLEEVISADKIRAMGHLPMDKFEAEVWKAVGNRCGYIKENERVKYLVWDCGKTHIYHCHVSSGGTYRFKGPYLTTMSNFLQRVLGDDNVLMVKFGKEDADKRCSTMFKDGGKEEKKKNPTTSPVKCFFVRTESDAFKDSRDYILHGKTIREARSIFMDVDKLPSLSNCMARFSLILSKTVNLEVNLSSVKIEKIDDIPCLDNDGNIVKGKDGKLLIHTDGTGFISEDLALKCPRRVQKGKCIDANEMERLLDCNELMGKSSEVKFPESNSGEPPLLIQFRLFHNGGAVKGTLLVNKKLCPGTIQVRPSMIKVEPDSVQNACTKNSFEIVGTSNRPKGAYLSRNLIALLSYGGVPKEFFMDLLNNALEDAQGALSKKHVALRVAISNGEMDNFTVARMLFSGIPLDESHIQYHQLVLMREEKKSLKGGRIPVPESYYLMGTVDPTGTLEADEVCIILDNGQVSGKVLVYRNPGLHFGDIHILKATYVEGIEDFVGNAKYAILFPCKGPRSLADKMAGGDYDGDMYFVSRNPELVEKFNQSEPWNPPFSSSNVPNKKPSDFSDEELEDELFRLFLMTRFQPSYTMGVAADSWLAIMDRLLILGDDRNDERNNMKRNMLDLIDKYYDALDAPKKGGEKIELPEQLKAELFPHHMEKKEEISYKSTSILGLIYDKVKLYMEEDTLETEVWKLHYFNEEVSESCLMKWEELYKHYRQDMTDALNQNTETKNEAANEVIKKYKAILYEASEFEESKRKEEDVFEEALAIYHISYNFAKARGDAKYCGFAWKVAGQALCKLYSLKQGNCQKPMKIYTTPSTLKELFIR >Manes.16G110000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31532894:31557121:-1 gene:Manes.16G110000.v8.1 transcript:Manes.16G110000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHQLPPSVEQLIAKICTDQNQPPLGLPARRVLASLEEEVVLEILCTIASSEIRKSFDGFVFYLVKQKVGNSSPVKRLCLSPTSPQQSSRSSAPAWLMMHQQCESIFESPISMSLSRDTSCSSEAVSRTEISPQLQALGELEFRKAFLILSYMGSYKLEEVISADKIRAMGHLPMDKFEAEVWKAVGNRCGYIKENERVKYLVWDCGKTHIYHCHVSSGGTYRFKGPYLTTMSNFLQRVLGDDNVLMVKFGKEDADKRCSTSSPVDYYAKCSTSSSVDYYAKYGSLAREGIVVGLRRYRFFVFKDGGKEEKKKNPTTSPVKCFFVRTESDAFKDSRDYILHGKTIREARSIFMDVDKLPSLSNCMARFSLILSKTVNLEVNLSSVKIEKIDDIPCLDNDGNIVKGKDGKLLIHTDGTGFISEDLALKCPRRVQKGKCIDANEMELCPGTIQVRPSMIKVEPDSVQNACTKNSFEIVGTSNRPKGAYLSRNLIALLSYGGVPKEFFMDLLNNALEDAQGALSKKHVALRVAISNGEMDNFTVARMLFSGIPLDESHIQYHQLVLMREEKKSLKGGRIPVPESYYLMGTVDPTGTLEADEVCIILDNGQVSGKVLVYRNPGLHFGDIHILKATYVEGIEDFVGNAKYAILFPCKGPRSLADKMAGGDYDGDMYFVSRNPELVEKFNQSEPWNPPFSSSNVPNKKPSDFSDEELEDELFRLFLMTRFQPSYTMGVAADSWLAIMDRLLILGDDRNDERNNMKRNMLDLIDKYYDALDAPKKGGEKIELPEQLKAELFPHHMEKKEEISYKSTSILGLIYDKVKLYMEEDTLETEVWKLHYFNEEVSESCLMKWEELYKHYRQDMTDALNQNTETKNEAANEVIKKYKAILYEASEFEESKRKEEDVFEEALAIYHISYNFAKARGDAKYCGFAWKVAGQALCKLYSLKQGNCQKPMKIYTTPSTLKELFIR >Manes.09G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12208638:12209551:-1 gene:Manes.09G071600.v8.1 transcript:Manes.09G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNSHKNPRFLSICSGNLTHSILSQSLYHHHRLSSLLSSPSSFFFTLHYLHSLSLPHKTLLIAKHFLSSLHQLTRHFHPPPLPPHPSATIKHRDLDAALLLLLLCEVHQYNPEILKTPRTEWGRILSKRYSDSILMQSGVGVHYGGVLLPFVEMIIRCWRFVSVMGGCGRKERREVAAAPSAVVALPTVELKGGGGGECVICREEMREGRDVCELPCEHLFHWMCILPWLKKKNTCPCCRFQLPTEDVLGEIQRLWSFLIKKDFFAEQRVM >Manes.02G031700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2592314:2597078:-1 gene:Manes.02G031700.v8.1 transcript:Manes.02G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYEDDRVVPQLRTRVEAHSDDEGDSKGEFVNLRDCEVEECGVIAATEGSSFCLWRWRGSVVWYWVKLAVLFTCLGLLAAVFLKWIGPYFMDKELIPIINWETTTFSTPVLAVLLFASVALFPTLLLPSTPSMWVAGMTFGYGFGFLLIISAAAVGVSLPYFIGSLFLHKIQGWLEKYPKKAAVLRAAGEGNWFHQFRAVTLIRISPFPYILYNYCAVATNVKYGPYILGSLVGLVPEIFVAIYTGILIETLADASNEGHSLSAPQIVFNVIGFCATVVTTIIFTIFAKRRLKVLQDEPLLA >Manes.10G076832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15673103:15674135:1 gene:Manes.10G076832.v8.1 transcript:Manes.10G076832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTTCTSRTNELGIKILVIERSCGCDPFSSTTSKTVSRSHPCTYQ >Manes.05G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:290633:293799:1 gene:Manes.05G002900.v8.1 transcript:Manes.05G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVLFVDDLQSSCAVSHCRICHEAEFESCKSLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQKYESGYTATSKKCQQMEMDAMTIRESLEIPRRGYEPEIQGMVTVDEAAYSDIEWTSAADRSASYCRSLAIIFTALLLLKHFCATLTGGTQDYPFTLVTILVLRTSGILLPMYIVFRTIAAFQKCIRTRYQGLDENDTSTNIQGDENEEQHLV >Manes.05G002900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:290633:293799:1 gene:Manes.05G002900.v8.1 transcript:Manes.05G002900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVLFVDDLQSSCAVSHCRICHEAEFESCKSLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQKYESGYTATSKKCQQMEMDAMTIRESLEIPRRGYEPEIQGMVTVDEAAYSDIEWTSAADRSASYCRSLAIIILVLRTSGILLPMYIVFRTIAAFQKCIRTRYQGLDENDTSTNIQGDENEEQHLV >Manes.05G002900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:290633:293799:1 gene:Manes.05G002900.v8.1 transcript:Manes.05G002900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVLFVDDLQSSCAVSHCRICHEAEFESCKSLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQKYESGYTATSKKCQQMEMDAMTIRESLEIPRRGYEPEIQGMVTVDEAAYSDIEWTSAADRSASYCRSLAIIFTALLLLKHFCATLTGGTQDYPFTLVTILVLRTSGILLPMYIVFRTIAAFQKCIRTRYQVFSLDLSYK >Manes.07G023900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2577950:2585648:-1 gene:Manes.07G023900.v8.1 transcript:Manes.07G023900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKHKSRKEDSERKEEDSKRSHRDRDKERNGERHRDRDKSRRSEREKSVESEDKYERERDKHRRSRDDERERPKDRKRDRDRDRDSEREEKRDRAREKEREKRERDREERERERDRERERRERDREERERERERERERERREREREKEKEKEKEKEKEREREREKERERERRTREKEKRREVTSDNDSGDESREHDRKRRKRDDNEYGERSREQGTGSRSNRHRDDSEGSPRKRIVEDDTDKKEKKTREDELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESERDKHGEVANVDKPNTGKTWTLEGDSDDDEAPPTETSEADMELDENSKPNKDAGDAMVIDFKNGTAALENGGDDVIGDEEIDPLDAFMNSMVLPEVEKLNNAVITQNVDDNKVELKKDKKEEGSNREQLKRGFNKSLGRIIPGDDSDSDYGDLENDENPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKEASRMTSEEVATFRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKRLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIRKFSKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVQSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALQLSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGTGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISRQAAFAQHLVAIAAASKGTTSSIPTAISAAQLLPHGGLPVSLPGVMGLTLPGAATVLPGAGLPVVSNDNTVKAITAAYNLQQNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKDTLVPISEFFGAAITTRGQYFPPGRIPGPGERKLYLFIEGPSETSVKKAKTELKRVLEDITNQALSLPGGAQPGRYSVL >Manes.07G023900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2578751:2585550:-1 gene:Manes.07G023900.v8.1 transcript:Manes.07G023900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKHKSRKEDSERKEEDSKRSHRDRDKERNGERHRDRDKSRRSEREKSVESEDKYERERDKHRRSRDDERERPKDRKRDRDRDRDSEREEKRDRAREKEREKRERDREERERERDRERERRERDREERERERERERERERREREREKEKEKEKEKEKEREREREKERERERRTREKEKRREVTSDNDSGDESREHDRKRRKRDDNEYGERSREQGTGSRSNRHRDDSEGSPRKRIVEDDTDKKEKKTREDELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESERDKHGEVANVDKPNTGKTWTLEGDSDDDEAPPTETSEADMELDENSKPNKDAGDAMVIDFKNGTAALENGGDDVIGDEEIDPLDAFMNSMVLPEVEKLNNAVITQNVDDNKVELKKDKKEEGSNREQLKRGFNKSLGRIIPGDDSDSDYGDLENDENPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKEASRMTSEEVATFRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKRLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIRKFSKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVQSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALQLSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGTGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISRQAAFAQHLVAIAAASKGTTSSIPTAISAAQLLPHGGLPVSLPGVMGLTLPGAATVLPGAGLPVVSNDNTVKAITAAYNLQQNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKDTLVPISEFFGAAITTRGQYFPPGRIPGPGERKLYLFIEGPSETSVKKAKTELKRVLEDITNQALSLPGGAQPGRYSVL >Manes.07G023900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2578751:2585550:-1 gene:Manes.07G023900.v8.1 transcript:Manes.07G023900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKHKSRKEDSERKEEDSKRSHRDRDKERNGERHRDRDKSRRSEREKSVESEDKYERERDKHRRSRDDERERPKDRKRDRDRDRDSEREEKRDRAREKEREKRERDREERERERDRERERRERDREERERERERERERERREREREKEKEKEKEKEKEREREREKERERERRTREKEKRREVTSDNDSGDESREHDRKRRKRDDNEYGERSREQGTGSRSNRHRDDSEGSPRKRIVEDDTDKKEKKTREDELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESERDKHGEVANVDKPNTGKTWTLEGDSDDDEAPPTETSEADMELDENSKPNKDAGDAMVIDFKNGTAALENGGDDVIGDEEIDPLDAFMNSMVLPEVEKLNNAVITQNVDDNKVELKKDKKEEGSNREQLKRGFNKSLGRIIPGDDSDSDYGDLENDENPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKEASRMTSEEVATFRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKRLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIRKFSKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVQSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALQLSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGTGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISRQAAFAQHLVAIAAASKGTTSSIPTAISAAQLLPHGGLPVSLPGVMGLTLPGAATVLPGAGLPVVSNDNTVKAITAAYNLQQNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKDTLVPISEFFGAAITTRGQYFPPGRIPGPGERKLYLFIEGPSETSVKKAKTELKRVLEDITNQALSLPGGAQPGRYSVL >Manes.07G023900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2578751:2585550:-1 gene:Manes.07G023900.v8.1 transcript:Manes.07G023900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKHKSRKEDSERKEEDSKRSHRDRDKERNGERHRDRDKSRRSEREKSVESEDKYERERDKHRRSRDDERERPKDRKRDRDRDRDSEREEKRDRAREKEREKRERDREERERERDRERERRERDREERERERERERERERREREREKEKEKEKEKEKEREREREKERERERRTREKEKRREVTSDNDSGDESREHDRKRRKRDDNEYGERSREQGTGSRSNRHRDDSEGSPRKRIVEDDTDKKEKKTREDELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESERDKHGEVANVDKPNTGKTWTLEGDSDDDEAPPTETSEADMELDENSKPNKDAGDAMVIDFKNGTAALENGGDDVIGDEEIDPLDAFMNSMVLPEVEKLNNAVITQNVDDNKVELKKDKKEEGSNREQLKRGFNKSLGRIIPGDDSDSDYGDLENDENPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKEASRMTSEEVATFRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKRLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIRKFSKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVQSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALQLSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGTGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISRQAAFAQHLVAIAAASKGTTSSIPTAISAAQLLPHGGLPVSLPGVMGLTLPGAATVLPGAGLPVVSNDNTVKAITAAYNLQQNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKDTLVPISEFFGAAITTRGQYFPPGRIPGPGERKLYLFIEGPSETSVKKAKTELKRVLEDITNQALSLPGGAQPGRYSVL >Manes.07G023900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2577950:2585648:-1 gene:Manes.07G023900.v8.1 transcript:Manes.07G023900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKHKSRKEDSERKEEDSKRSHRDRDKERNGERHRDRDKSRRSEREKSVESEDKYERERDKHRRSRDDERERPKDRKRDRDRDRDSEREEKRDRAREKEREKRERDREERERERDRERERRERDREERERERERERERERREREREKEKEKEKEKEKEREREREKERERERRTREKEKRREVTSDNDSGDESREHDRKRRKRDDNEYGERSREQGTGSRSNRHRDDSEGSPRKRIVEDDTDKKEKKTREDELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESERDKHGEVANVDKPNTGKTWTLEGDSDDDEAPPTETSEADMELDENSKPNKDAGDAMVIDFKNGTAALENGGDDVIGDEEIDPLDAFMNSMVLPEVEKLNNAVITQNVDDNKVELKKDKKEEGSNREQLKRGFNKSLGRIIPGDDSDSDYGDLENDENPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKEASRMTSEEVATFRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKRLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIRKFSKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVQSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALQLSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGTGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISRQAAFAQHLVAIAAASKGTTSSIPTAISAAQLLPHGGLPVSLPGVMGLTLPGAATVLPGAGLPVVSNDNTVKAITAAYNLQQNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKDTLVPISEFFGAAITTRGQYFPPGRIPGPGERKLYLFIEGPSETSVKKAKTELKRVLEDITNQALSLPGGAQPGRYSVL >Manes.07G023900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2578751:2585550:-1 gene:Manes.07G023900.v8.1 transcript:Manes.07G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKHKSRKEDSERKEEDSKRSHRDRDKERNGERHRDRDKSRRSEREKSVESEDKYERERDKHRRSRDDERERPKDRKRDRDRDRDSEREEKRDRAREKEREKRERDREERERERDRERERRERDREERERERERERERERREREREKEKEKEKEKEKEREREREKERERERRTREKEKRREVTSDNDSGDESREHDRKRRKRDDNEYGERSREQGTGSRSNRHRDDSEGSPRKRIVEDDTDKKEKKTREDELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESERDKHGEVANVDKPNTGKTWTLEGDSDDDEAPPTETSEADMELDENSKPNKDAGDAMVIDFKNGTAALENGGDDVIGDEEIDPLDAFMNSMVLPEVEKLNNAVITQNVDDNKVELKKDKKEEGSNREQLKRGFNKSLGRIIPGDDSDSDYGDLENDENPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKEASRMTSEEVATFRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKRLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIRKFSKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVQSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALQLSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGTGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISRQAAFAQHLVAIAAASKGTTSSIPTAISAAQLLPHGGLPVSLPGVMGLTLPGAATVLPGAGLPVVSNDNTVKAITAAYNLQQNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKDTLVPISEFFGAAITTRGQYFPPGRIPGPGERKLYLFIEGPSETSVKKAKTELKRVLEDITNQALSLPGGAQPGRYSVL >Manes.07G023900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2580185:2585550:-1 gene:Manes.07G023900.v8.1 transcript:Manes.07G023900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKHKSRKEDSERKEEDSKRSHRDRDKERNGERHRDRDKSRRSEREKSVESEDKYERERDKHRRSRDDERERPKDRKRDRDRDRDSEREEKRDRAREKEREKRERDREERERERDRERERRERDREERERERERERERERREREREKEKEKEKEKEKEREREREKERERERRTREKEKRREVTSDNDSGDESREHDRKRRKRDDNEYGERSREQGTGSRSNRHRDDSEGSPRKRIVEDDTDKKEKKTREDELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESERDKHGEVANVDKPNTGKTWTLEGDSDDDEAPPTETSEADMELDENSKPNKDAGDAMVIDFKNGTAALENGGDDVIGDEEIDPLDAFMNSMVLPEVEKLNNAVITQNVDDNKVELKKDKKEEGSNREQLKRGFNKSLGRIIPGDDSDSDYGDLENDENPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKEASRMTSEEVATFRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKRLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIRKFSKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVQSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALQLSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGTGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISRQAAFAQHLVAIAAASKGTTSSIPTAISAAQLLPHGGLPVSLPGVMGLTLPGAATVLPGAGLPVVSNDNTVKAITAAYNLQQNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKDTLVPISEFFGAAITTRGQYFPPGRIPGPGERKLYLFIEGPSETSVKKAKTELKRVLEDITNQALSLPGGAQPGRYSVL >Manes.07G023900.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2580185:2585550:-1 gene:Manes.07G023900.v8.1 transcript:Manes.07G023900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKHKSRKEDSERKEEDSKRSHRDRDKERNGERHRDRDKSRRSEREKSVESEDKYERERDKHRRSRDDERERPKDRKRDRDRDRDSEREEKRDRAREKEREKRERDREERERERDRERERRERDREERERERERERERERREREREKEKEKEKEKEKEREREREKERERERRTREKEKRREVTSDNDSGDESREHDRKRRKRDDNEYGERSREQGTGSRSNRHRDDSEGSPRKRIVEDDTDKKEKKTREDELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESERDKHGEVANVDKPNTGKTWTLEGDSDDDEAPPTETSEADMELDENSKPNKDAGDAMVIDFKNGTAALENGGDDVIGDEEIDPLDAFMNSMVLPEVEKLNNAVITQNVDDNKVELKKDKKEEGSNREQLKRGFNKSLGRIIPGDDSDSDYGDLENDENPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKEASRMTSEEVATFRKQLELKIHGKDVPKPVKTWHQTGLTSKILETIKRLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIRKFSKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEVQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVQSQDKCDALFRDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALQLSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGTGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISRQAAFAQHLVAIAAASKGTTSSIPTAISAAQLLPHGGLPVSLPGVMGLTLPGAATVLPGAGLPVVSNDNTVKAITAAYNLQQNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKDTLVPISEFFGAAITTRGQYFPPGRIPGPGERKLYLFIEGPSETSVKKAKTELKRVLEDITNQALSLPGGAQPGRYSVL >Manes.08G149400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38499226:38511302:-1 gene:Manes.08G149400.v8.1 transcript:Manes.08G149400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKHIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLEASIVRHKSHIMKLELILRLLDNDELSPEQVNDTKDFLDDYVERNQEDFDDFSDVEELYSSLPLDKVEALEDLVTIGTPGLVKGAPVHSGKTSLAASASQMPAAATSTHQQAASVQEQADDTASQDSNSDIVARTPPAKSSTISSSVASTPNVNHMTPVSVNVPVQTLSSVSASSILPGSASVQGVLENAAAALPSSPASMGNTVKEEEIAGFPSHRPSPALADAGLARGIGRAGLSSQPSSSIPLSSGGVPSNGALGVVPSASDITKRNILSTDDRLGSSGLQQPLASPLSNRMILPQTGKTNDGSAMVDSGNVGEAAGIGGRVFSPSLVPGMQWRPGSSFQNQNELFRARTEIAPDQREKFLQRLQQVQQQGHSTLLGMPPLSGGNHKQFSAQQNPLLHQLNSQSSSVSSQASLGLGVQAPGLNTVTSSALQQPNSFHQQSSQQVVISNNAKDADIGHLKAEEQQQQPLNLPDDSLPESAATSGLSKNLVHEDELKTPYTMDAPTGTSASLAEPVQVPRDIDLSPGQPIQSSQPSTDLGVIGRKSVSDLGAIGDNLSGSAVNSGAGAMHDQLYNLQMLEAAYHKLPQPKDSERARSYTPRHPAATPPSYPQVQAPIVNNPGFWERLTMDSYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >Manes.08G149400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38499226:38511302:-1 gene:Manes.08G149400.v8.1 transcript:Manes.08G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKHIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLEASIVRHKSHIMKLELILRLLDNDELSPEQVNDTKDFLDDYVERNQEDFDDFSDVEELYSSLPLDKVEALEDLVTIGTPGLVKGAPVHSGKTSLAASASQMPAAATSTHQQAASVQEQADDTASQDSNSDIVARTPPAKSSTISSSVASTPNVNHMTPVSVNVPVQTLSSVSASSILPGSASVQGVLENAAAALPSSPASMGNTVKEEEIAGFPSHRPSPALADAGLARGIGRAGLSSQPSSSIPLSSGGVPSNGALGVVPSASDITKRNILSTDDRLGSSGLQQPLASPLSNRMILPQTGKTNDGSAMVDSGNVGEAAGIGGRVFSPSLVPGMQWRPGSSFQNQNELGQFRARTEIAPDQREKFLQRLQQVQQQGHSTLLGMPPLSGGNHKQFSAQQNPLLHQQLNSQSSSVSSQASLGLGVQAPGLNTVTSSALQQPNSFHQQSSQQVVISNNAKDADIGHLKAEEQQQQPLNLPDDSLPESAATSGLSKNLVHEDELKTPYTMDAPTGTSASLAEPVQVPRDIDLSPGQPIQSSQPSTDLGVIGRKSVSDLGAIGDNLSGSAVNSGAGAMHDQLYNLQMLEAAYHKLPQPKDSERARSYTPRHPAATPPSYPQVQAPIVNNPGFWERLTMDSYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >Manes.08G149400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38499226:38511302:-1 gene:Manes.08G149400.v8.1 transcript:Manes.08G149400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKHIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLEASIVRHKSHIMKLELILRLLDNDELSPEQVNDTKDFLDDYVERNQEDFDDFSDVEELYSSLPLDKVEALEDLVTIGTPGLVKGAPVHSGKTSLAASASQMPAAATSTHQQAASVQEQADDTASQDSNSDIVARTPPAKSSTISSSVASTPNVNHMTPVSVNVPVQTLSSVSASSILPGSASVQGVLENAAAALPSSPASMGNTVKEEEIAGFPSHRPSPALADAGLARGIGRAGLSSQPSSSIPLSSGGVPSNGALGVVPSASDITKRNILSTDDRLGSSGLQQPLASPLSNRMILPQTGKTNDGSAMVDSGNVGEAAGIGGRVFSPSLVPGMQWRPGSSFQNQNELGQFRARTEIAPDQREKFLQRLQQVQQQGHSTLLGMPPLSGGNHKQFSAQQNPLLHQLNSQSSSVSSQASLGLGVQAPDIGHLKAEEQQQQPLNLPDDSLPESAATSGLSKNLVHEDELKTPYTMDAPTGTSASLAEPVQVPRDIDLSPGQPIQSSQPSTDLGVIGRKSVSDLGAIGDNLSGSAVNSGAGAMHDQLYNLQMLEAAYHKLPQPKDSERARSYTPRHPAATPPSYPQVQAPIVNNPGFWERLTMDSYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >Manes.08G149400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38499226:38511302:-1 gene:Manes.08G149400.v8.1 transcript:Manes.08G149400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKHIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLSVKKGKTRPPRLTHLEASIVRHKSHIMKLELILRLLDNDELSPEQVNDTKDFLDDYVERNQEDFDDFSDVEELYSSLPLDKVEALEDLVTIGTPGLVKGAPVHSGKTSLAASASQMPAAATSTHQQAASVQEQADDTASQDSNSDIVARTPPAKSSTISSSVASTPNVNHMTPVSVNVPVQTLSSVSASSILPGSASVQGVLENAAAALPSSPASMGNTVKEEEIAGFPSHRPSPALADAGLARGIGRAGLSSQPSSSIPLSSGGVPSNGALGVVPSASDITKRNILSTDDRLGSSGLQQPLASPLSNRMILPQTGKTNDGSAMVDSGNVGEAAGIGGRVFSPSLVPGMQWRPGSSFQNQNELGQFRARTEIAPDQREKFLQRLQQVQQQGHSTLLGMPPLSGGNHKQFSAQQNPLLHQLNSQSSSVSSQASLGLGVQAPGLNTVTSSALQQPNSFHQQSSQQVVISNNAKDADIGHLKAEEQQQQPLNLPDDSLPESAATSGLSKNLVHEDELKTPYTMDAPTGTSASLAEPVQVPRDIDLSPGQPIQSSQPSTDLGVIGRKSVSDLGAIGDNLSGSAVNSGAGAMHDQLYNLQMLEAAYHKLPQPKDSERARSYTPRHPAATPPSYPQVQAPIVNNPGFWERLTMDSYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >Manes.02G032537.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2671263:2678437:1 gene:Manes.02G032537.v8.1 transcript:Manes.02G032537.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARETVSSSSGGGVTVVGSDVPSDYQIAPRSADKPSSASGSAPPPQQQPAMVSPPSTVATMPLKKKRGRPRKYGPDGSVRMALSPKPISSAAPALPPVIDFSAEKPRKIKPVSKAKYELENVGEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICVLSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPSESGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQVVVGSFLAGNQHEQKPKKQKPDSIATVVTPTVAIPISTADPKPNFSSSSFRGDSWPPLPSDSRNKPTDINVSLSAG >Manes.01G143350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33412516:33413859:-1 gene:Manes.01G143350.v8.1 transcript:Manes.01G143350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWFCGFRFKPTDEDLVHFYLQKKLDRQALPLGLITECDIYAGNPWKILDNVKNFDGFYYVFTNLKRMSKAKIDRRAGSGTWKGQTTNRFQQSEGKTRWAKKTFIFEVDKKNLKSVGQNNGRWLMVEFSLGDEGFDGKAVLCRIYNKHARDFHKKEQVGVDYSNCEAIVPYVEKKQVCLADDKEGNQHPTKKMKKQKSTADDEEGHQNSKKMKQQEAVDLYGESIGSTSFCPSNFVVEQLPVSSDYSSRFPEDGAAFLADGELNSLLDVPSDYDLTSLLLDMDVGTGTFPEDELSKFLAENIDDGGFFSSMPPLTMQCNKVNKDDENGNNLSSSMPLQGGFCDRNAVLRNVN >Manes.05G090100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8205272:8210363:1 gene:Manes.05G090100.v8.1 transcript:Manes.05G090100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSSWSKRRGKKSKDHSDPWVYKPVEFWQLEDQTPHATKKHHGSSVFTLKEMEDATCSFSDEHMVGKGGFGRVYRGTLRSGEVVAIKKMELPPFKEAQGEREFRVEVDILSRLSHPNLVSLIGYCADGKHRFLVYEYLQNGNLQDHLNGIGEVKMDWPLRLKVAHGAARGLAFLHSSSAVGIPIVHRDFKSTNILLNANFDAKISDFGLAKLMPEGQETYLTARVLGTFGYFDPQYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLSQGPSDQNLVLQVRHIMNDWKKLRKVIDPELNRSSYTRESIATFANLASRCVRIESSERPSMIECVNELQMIRYTNSRALGMPLHTFKIVK >Manes.05G090100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8205579:8210363:1 gene:Manes.05G090100.v8.1 transcript:Manes.05G090100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPFKEAQGEREFRVEVDILSRLSHPNLVSLIGYCADGKHRFLVYEYLQNGNLQDHLNGIGEVKMDWPLRLKVAHGAARGLAFLHSSSAVGIPIVHRDFKSTNILLNANFDAKISDFGLAKLMPEGQETYLTARVLGTFGYFDPQYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLSQGPSDQNLVLQVRHIMNDWKKLRKVIDPELNRSSYTRESIATFANLASRCVRIESSERPSMIECVNELQMIRYTNSRALGMPLHTFKIVK >Manes.05G090100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8204550:8210363:1 gene:Manes.05G090100.v8.1 transcript:Manes.05G090100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSSWSKRRGKKSKDHSDPWVYKPVEFWQLEDQTPHATKKHHGSSVFTLKEMEDATCSFSDEHMVGKGGFGRVYRGTLRSGEVVAIKKMELPPFKEAQGEREFRVEVDILSRLSHPNLVSLIGYCADGKHRFLVYEYLQNGNLQDHLNGIGEVKMDWPLRLKVAHGAARGLAFLHSSSAVGIPIVHRDFKSTNILLNANFDAKISDFGLAKLMPEGQETYLTARVLGTFGYFDPQYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLSQGPSDQNLVLQVRHIMNDWKKLRKVIDPELNRSSYTRESIATFANLASRCVRIESSERPSMIECVNELQMIRYTNSRALGMPLHTFKIVK >Manes.17G084300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29112495:29115655:1 gene:Manes.17G084300.v8.1 transcript:Manes.17G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVTVVEILGMLILSQSLFFSCIGGDSNGSCIKYERDALLTFKAGLVSNSISLSSWSGDDCCRWEGVSCHNITGHVIKLDLFSNFLTGKISPYLGNLSNLQYLHLGGNRLTTDNLHFVAFLSSLKYFNMEGVAFVDKHENWLQSVNMIPSLVDFSLHDCGLYNSGHVSHFNLTSLEVLNLRYNKFHSTIPSWFSNITNVQHLDLSGNFFRGSLPNEIGDLNSLAFLDVSVNSLEGNLPITFGKLCNLRELYMESNNFSGEIFGPLGDSQSCIQNNLVYLDIFNNSFSGILPNNLGEFKSLELLNLCKNAFSGPIPVSIGQLSNLKLLDLSYNTLRGPIPVSVGQLQNLSTLDVVNNSLDGIVSELHFSKLKRLRFLRMSKNSLVFDIGPNWVAPFQLVSIRLSSCKLGPQFPQWLKRQEHIETLMMSDASISDSMPDWFGNISSSFMVLDLSHNQIFGTLPKLEKFSEYGYSTILLNSNKFEGPVIDFPSDATVLDISDNLLHGQIPEMIGKRMPRLEFLMISNNHLNGTIPVSLCRMESLTVLDLSKNQLSGELPHCWRNLQALHLIDLSNNMLSGDVPISLGSIKQLLSLHLQNNSLHGEIPMSLKNLKDLQFLDLSMNAFAGVFPPWIGESLSSLTILSLHSNKLKGEIPLQLCYLFSLRILNLADNMMEGSIPACFGNFTAMVVYEKEREYWVYSTQGYTESNNGDYDEKLVVFLKGRELEYTRTLQFLRSIDLSGNNFVGEIPKQLFNLSSLQNLNLSRNRLDGHIPWNIGDLNMIESLDLSENDLSGSIPPAISHLNFLSHLNLSFNNLSGRIPTGNQLQTLDDKSIYMGNNGLCGPPLQGCPGDEGKFPTQAENTRKDNIIDMPWFSSGLGMGFVTGYVGVCSILYFKKSWRYAWFQLVDRVYDKIWVAVAIKANQLKRMFQICKFEESA >Manes.11G155800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32243501:32254230:-1 gene:Manes.11G155800.v8.1 transcript:Manes.11G155800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEVKKRGRGRPRKRRRDGDEFDKRAGLAIKKQALEMRWKPLVGRYVLKEFDGNGIFLGKIVYYDSGLYRVDYEDGDCEDLESGELRQLILDDNDFDHDLSKRRQKLDELVFKKSLKNKKNLEKEVAGLKNEMGRVETSVLTDLSGETEVDNTGTQGEGDADSSSDSCEYAPCGDSEPNGEFPTVPPPQLPPSSGSIGVPEECVPHIFSVYGFLRSFNVRLFLSPFTLDDFVGAVNCKVQNTLLDAIHVALMRALRRHLETLSLDGSAVASKCLRCIDWSLLDSLTWPVYLVHYFTVMGHAKGVDWNGVYDELLKREYYSLPVNRKLMILQILCDDVLDCAELRTESDMREESEVGVDPDTVAINLPENGPRRVHPRYSKTSACKDSEAMEIVAESHGTKSSCSSMYFPSRGPEGEEDTPGVGLDGNSDECRLCGMDGTLLCCDGCPSAYHSRCIGIVKMYIPEGPWYCPECTINKLGPTVVVGTLLRGAEIFGVDLYEQVFLGTCNHLLVLRASIGTQPCQRYYNHNDIANVLQVLSSVQHRSIYLGISKAIAEYWKIPESAFFPFETMERSLIMSSMSEDEKSSTLSFPFAFKESHKVADAGEAENVINLNISNVDSVVASCLDGGINTTTHAHPHCIISNSDAKDCYLMNKRLPEHIKVDSTSSVNQQQIDQSDVAHYSFVDRSSVIATCTSAYSDGSHIGHENASSLSAVASSQSKESIHAGFGRVEKNLMDNALYVGTFFKPYSYINHYVHGDFAASAAANLAVLSPDESRISEIHKSGNGKKVFSDISLQIKAFSTAASRFFWPSSEKKLMEVPRERCGWCHSCKLPSNNKRKCLLNSAALAATKGTMKILSGLRSVMSGEGSLHSISTYILYMEEVLCGLTVGPFVSTSYRKQWRKRIEDASTCSAIKCPLLELEENIHTIAFSGDWSKAMDDWLVDSPVIQCSTSTTATTQKRGPGGKRHKKQSGVFDTRADGCDKSFVWWRGGKLLKHAFHKASLPQSDVRRAARQGGSRKISGVYYADDPQLPKRSRQLVWRAAVEKSKNASWLALQVRYLDLHVRWSDLVRPEQNLQDGKGPETEAFFFRNAVICDKKTEENKIRYGVAFQNQKHLPSRIMKSTIEIEQSADGKDKYWFFETHVPLYLIKEYEEKVDKVLLPSAKKSLNELSELQRRQLKASRRDIFLYLAYKRDKLERCSCASCQRFVLLRNAVKCSGCQGYCHKDCTVSSTSFMNEEVEFLINCKQCYNAKAVAHGTSNESPATPLPCQGKESHNVTTATKGTRINHHNQPLTSIRTQESSSDMKQTTVSILGTKSRNRLCSWGVRWKKKNIDTGIDFRRENILLRGGSERLNPVCNLCKKPYNCDLIYIHCETCNNWFHAEAIELDESKLPDVVGFKCCRCRRIKSPKCPYDDNLESEKLASQKSCERVLKKGYIGVGYDSETVAETKECEPTTPIFPMEELLVQDDDPLLFSLSKIEQITEDDSRAKLERNAGGLGPQKLPVRRHTKPQVNAEGMFENNHFTEPSVLVGRSNLMNPKDDLPSCAEWDVSTNGLESEVVFDREGLNYDTEFELQTYSLTEQLASDDGAQLNGFNSSGNDSGNSEGQVYTVSLDGLHEQRAMDISSDQQEPMMAPKLTINTKQCKMCSHSEPVPDLSCEICNLVIHSHCSPWVEDSTPEVTWSCGNCREWR >Manes.11G155800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32243559:32254214:-1 gene:Manes.11G155800.v8.1 transcript:Manes.11G155800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEVKKRGRGRPRKRRRDGDEFDKRAGLAIKKQALEMRWKPLVGRYVLKEFDGNGIFLGKIVYYDSGLYRVDYEDGDCEDLESGELRQLILDDNDFDHDLSKRRQKLDELVFKKSLKNKKNLEKEVAGLKNEMGRVETSVLTDLSGETEVDNTGTQGEGDADSSSDSCEYAPCGDSEPNGEFPTVPPPQLPPSSGSIGVPEECVPHIFSVYGFLRSFNVRLFLSPFTLDDFVGAVNCKVQNTLLDAIHVALMRALRRHLETLSLDGSAVASKCLRCIDWSLLDSLTWPVYLVHYFTVMGHAKGVDWNGVYDELLKREYYSLPVNRKLMILQILCDDVLDCAELRTESDMREESEVGVDPDTVAINLPENGPRRVHPRYSKTSACKDSEAMEIVAESHGTKSSCSSMYFPSRGPEGEEDTPGVGLDGNSDECRLCGMDGTLLCCDGCPSAYHSRCIGIVKMYIPEGPWYCPECTINKLGPTVVVGTLLRGAEIFGVDLYEQVFLGTCNHLLVLRASIGTQPCQRYYNHNDIANVLQVLSSVQHRSIYLGISKAIAEYWKIPESAFFPFETMERSLIMSSMSEDEKSSTLSFPFAFKESHKVADAGEAENVINLNISNVDSVVASCLDGGINTTTHAHPHCIISNSDAKDCYLMNKRLPEHIKVDSTSSVNQQQIDQSDVAHYSFVDRSSVIATCTSAYSDGSHIGHENASSLSAVASSQSKESIHAGFGRVEKNLMDNALYVGTFFKPYSYINHYVHGDFAASAAANLAVLSPDESRISEIHKSGNGKKVFSDISLQIKAFSTAASRFFWPSSEKKLMEVPRERCGWCHSCKLPSNNKRKCLLNSAALAATKGTMKILSGLRSVMSGEGSLHSISTYILYMEEVLCGLTVGPFVSTSYRKQWRKRIEDASTCSAIKCPLLELEENIHTIAFSGDWSKAMDDWLVDSPVIQCSTSTTATTQKRGPGGKRHKKQSGVFDTRADGCDKSFVWWRGGKLLKHAFHKASLPQSDVRRAARQGGSRKISGVYYADDPQLPKRSRQLVWRAAVEKSKNASWLALQVRYLDLHVRWSDLVRPEQNLQDGKGPETEAFFFRNAVICDKKTEENKIRYGVAFQNQKHLPSRIMKSTIEIEQSADGKDKYWFFETHVPLYLIKEYEEKVDKVLLPSAKKSLNELSELQRRQLKASRRDIFLYLAYKRDKLERCSCASCQRFVLLRNAVKCSGCQGYCHKDCTVSSTSFMNEEVEFLINCKQCYNAKAVAHGTSNESPATPLPCQGKESHNVTTATKGTRINHHNQPLTSIRTQESSSDMKQTTVSILGTKSRNRLCSWGVRWKKKNIDTGIDFRRENILLRGGSERLNPVCNLCKKPYNCDLIYIHCETCNNWFHAEAIELDESKLPDVVGFKCCRCRRIKSPKCPYDDNLESEKLASQKSCERVLKKGYIGVGYDSETVAETKECEPTTPIFPMEELLVQDDDPLLFSLSKIEQITEDDSRAKLERNAGGLGPQKLPVRRHTKPQVNAEGMFENNHFTEPSVLVGRSNLMNPKDDLPSCAEWDVSTNGLESEVVFDREGLNYDTEFELQTYSLTEQLASDDGAQLNGFNSSGNDSGNSEGQVYTVSLDGLHEQRAMDISSDQQEPMMAPKLTINTKQCKMCSHSEPVPDLSCEICNLVIHSHCSPWVEDSTPEVTWSCGNCREWR >Manes.11G155800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32243418:32254230:-1 gene:Manes.11G155800.v8.1 transcript:Manes.11G155800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEVKKRGRGRPRKRRRDGDEFDKRAGLAIKKQALEMRWKPLVGRYVLKEFDGNGIFLGKIVYYDSGLYRVDYEDGDCEDLESGELRQLILDDNDFDHDLSKRRQKLDELVFKKSLKNKKNLEKEVAGLKNEMGRVETSVLTDLSGETEVDNTGTQGEGDADSSSDSCEYAPCGDSEPNGEFPTVPPPQLPPSSGSIGVPEECVPHIFSVYGFLRSFNVRLFLSPFTLDDFVGAVNCKVQNTLLDAIHVALMRALRRHLETLSLDGSAVASKCLRCIDWSLLDSLTWPVYLVHYFTVMGHAKGVDWNGVYDELLKREYYSLPVNRKLMILQILCDDVLDCAELRTESDMREESEVGVDPDTVAINLPENGPRRVHPRYSKTSACKDSEAMEIVAESHGTKSSCSSMYFPSRGPEGEEDTPGVGLDGNSDECRLCGMDGTLLCCDGCPSAYHSRCIGIVKMYIPEGPWYCPECTINKLGPTVVVGTLLRGAEIFGVDLYEQVFLGTCNHLLVLRASIGTQPCQRYYNHNDIANVLQVLSSVQHRSIYLGISKAIAEYWKIPESAFFPFETMERSLIMSSMSEDEKSSTLSFPFAFKESHKVADAGEAENVINLNISNVDSVVASCLDGGINTTTHAHPHCIISNSDAKDCYLMNKRLPEHIKVDSTSSVNQQQIDQSDVAHYSFVDRSSVIATCTSAYSDGSHIGHENASSLSAVASSQSKESIHAGFGRVEKNLMDNALYVGTFFKPYSYINHYVHGDFAASAAANLAVLSPDESRISEIHKSGNGKKVFSDISLQIKAFSTAASRFFWPSSEKKLMEVPRERCGWCHSCKLPSNNKRKCLLNSAALAATKGTMKILSGLRSVMSGEGSLHSISTYILYMEEVLCGLTVGPFVSTSYRKQWRKRIEDASTCSAIKCPLLELEENIHTIAFSGDWSKAMDDWLVDSPVIQCSTSTTATTQKRGPGGKRHKKQSGVFDTRADGCDKSFVWWRGGKLLKHAFHKASLPQSDVRRAARQGGSRKISGVYYADDPQLPKRSRQLVWRAAVEKSKNASWLALQVRYLDLHVRWSDLVRPEQNLQDGKGPETEAFFFRNAVICDKKTEENKIRYGVAFQNQKHLPSRIMKSTIEIEQSADGKDKYWFFETHVPLYLIKEYEEKVDKVLLPSAKKSLNELSELQRRQLKASRRDIFLYLAYKRDKLERCSCASCQRFVLLRNAVKCSGCQGYCHKDCTVSSTSFMNEEVEFLINCKQCYNAKAVAHGTSNESPATPLPCQGKESHNVTTATKGTRINHHNQPLTSIRTQESSSDMKQTTVSILGTKSRNRLCSWGVRWKKKNIDTGIDFRRENILLRGGSERLNPVCNLCKKPYNCDLIYIHCETCNNWFHAEAIELDESKLPDVVGFKCCRCRRIKSPKCPYDDNLESEKLASQKSCERVLKKGYIGVGYDSETVAETKECEPTTPIFPMEELLVQDDDPLLFSLSKIEQITEDDSRAKLERNAGGLGPQKLPVRRHTKPQVNAEGMFENNHFTEPSVLVGRSNLMNPKDDLPSCAEWDVSTNGLESEVVFDREGLNYDTEFELQTYSLTEQLASDDGAQLNGFNSSGNDSGNSEGQVYTVSLDGLHEQRAMDISSDQQEPMMAPKLTINTKQCKMCSHSEPVPDLSCEICNLVIHSHCSPWVEDSTPEVTWSCGNCREWR >Manes.11G155800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32243559:32254214:-1 gene:Manes.11G155800.v8.1 transcript:Manes.11G155800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEVKKRGRGRPRKRRRDGDEFDKRAGLAIKKQALEMRWKPLVGRYVLKEFDGNGIFLGKIVYYDSGLYRVDYEDGDCEDLESGELRQLILDDNDFDHDLSKRRQKLDELVFKKSLKNKKNLEKEVAGLKNEMGRVETSVLTDLSGETEVDNTGTQGEGDADSSSDSCEYAPCGDSEPNGEFPTVPPPQLPPSSGSIGVPEECVPHIFSVYGFLRSFNVRLFLSPFTLDDFVGAVNCKVQNTLLDAIHVALMRALRRHLETLSLDGSAVASKCLRCIDWSLLDSLTWPVYLVHYFTVMGHAKGVDWNGVYDELLKREYYSLPVNRKLMILQILCDDVLDCAELRTESDMREESEVGVDPDTVAINLPENGPRRVHPRYSKTSACKDSEAMEIVAESHGTKSSCSSMYFPSRGPEGEEDTPGVGLDGNSDECRLCGMDGTLLCCDGCPSAYHSRCIGIVKMYIPEGPWYCPECTINKLGPTVVVGTLLRGAEIFGVDLYEQVFLGTCNHLLVLRASIGTQPCQRYYNHNDIANVLQVLSSVQHRSIYLGISKAIAEYWKIPESAFFPFETMERSLIMSSMSEDEKSSTLSFPFAFKESHKVADAGEAENVINLNISNVDSVVASCLDGGINTTTHAHPHCIISNSDAKDCYLMNKRLPEHIKVDSTSSVNQQQIDQSDVAHYSFVDRSSVIATCTSAYSDGSHIGHENASSLSAVASSQSKESIHAGFGRVEKNLMDNALYVGTFFKPYSYINHYVHGDFAASAAANLAVLSPDESRISEIHKSGNGKKVFSDISLQIKAFSTAASRFFWPSSEKKLMEVPRERCGWCHSCKLPSNNKRKCLLNSAALAATKGTMKILSGLRSVMSGEGSLHSISTYILYMEEVLCGLTVGPFVSTSYRKQWRKRIEDASTCSAIKCPLLELEENIHTIAFSGDWSKAMDDWLVDSPVIQCSTSTTATTQKRGPGGKRHKKQSGVFDTRADGCDKSFVWWRGGKLLKHAFHKASLPQSDVRRAARQGGSRKISGVYYADDPQLPKRSRQLVWRAAVEKSKNASWLALQVRYLDLHVRWSDLVRPEQNLQDGKGPETEAFFFRNAVICDKKTEENKIRYGVAFQNQKHLPSRIMKSTIEIEQSADGKDKYWFFETHVPLYLIKEYEEKVDKVLLPSAKKSLNELSELQRRQLKASRRDIFLYLAYKRDKLERCSCASCQRFVLLRNAVKCSGCQGYCHKDCTVSSTSFMNEEVEFLINCKQCYNAKAVAHGTSNESPATPLPCQGKESHNVTTATKGTRINHHNQPLTSIRTQESSSDMKQTTVSILGTKSRNRLCSWGVRWKKKNIDTGIDFRRENILLRGGSERLNPVCNLCKKPYNCDLIYIHCETCNNWFHAEAIELDESKLPDVVGFKCCRCRRIKSPKCPYDDNLESEKLASQKSCERVLKKGYIGVGYDSETVAETKECEPTTPIFPMEELLVQDDDPLLFSLSKIEQITEDDSRAKLERNAGGLGPQKLPVRRHTKPQVNAEGMFENNHFTEPSVLVGRSNLMNPKDDLPSCAEWDVSTNGLESEVVFDREGLNYDTEFELQTYSLTEQLASDDGAQLNGFNSSGNDSGNSEGQVYTVSLDGLHEQRAMDISSDQQEPMMAPKLTINTKQCKMCSHSEPVPDLSCEICNLVIHSHCSPWVEDSTPEVTWSCGNCREWR >Manes.15G184700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28799024:28804652:1 gene:Manes.15G184700.v8.1 transcript:Manes.15G184700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDRVAKTQEFHQSVIQIIEGDEMMKTYFYFNSLSSPQMDSLSALCDTLLPSSPSVNITDASLARFFQSSASMAGTPHRILQVGGLISERLKHPKKWLIELALWMLSTWIGTFILCGLSSISLHFPYFQTFSQLPLHKREQILHSWSLSFFYPLRMLFKAIKLLTLIVFFSQVDEKNENLTWKAIGYPGPDPEFKALKLSQYGKLEDKEDDEEEEDDDEEEKLFAPLHRGLVNMNLPRDRLANSLQLAGFPVLTHRPKSNAACSPSNPSLVIQCDAVVVGSGSGGGVVAGVLAKAGYKVLVIEKGSYFARSNLSLLEGPTMDEMYLGGGLMATDDMGIVILAGSTVGGGSAINWSASIRTPQHVINEWSDRYDLEIFDSTLYKEALDVVCQKMGVQSEIHQEGFNNSILRKGCQELGYPVNNIPRNSSPDHYCGWCCLGCKDGRKKSTCETWLLDLVNSGNGAILPRCEAIKVLHKEKRGRNSRRTATGVAFEFEYEGAKDLCVIESKVTIVASGAISTPPLLKRSGLKNPNIGKNLHLHPVVMAWGYFPDAPVSSKAWPEEQKKSYEGGIMTAMSTVVAKFDKSGYGAVIQTPSLHPGMFSSLMPWVSGMDIKTKMCRFSRTAHIFALARDKGKGTVNSPSSITYQMEDSDEENLQKGLEKMLRILAAAGAEEIGTHHSTGRSINVKKVSYNEFEKFVKEESERPLRDLSTQLCSAHQMGSCRMGVNPKDSVVNQMGETWEVEGLFVADSSVFPTALGVNPMVTIQAVAYCTAQSVLEALRRKKKLLVN >Manes.15G184700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28798999:28804652:1 gene:Manes.15G184700.v8.1 transcript:Manes.15G184700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDRVAKTQEFHQSVIQIIEGDEMMKTYFYFNSLSSPQMDSLSALCDTLLPSSPSVNITDASLARFFQSSASMAGTPHRVGGLISERLKHPKKWLIELALWMLSTWIGTFILCGLSSISLHFPYFQTFSQLPLHKREQILHSWSLSFFYPLRMLFKAIKLLTLIVFFSQVDEKNENLTWKAIGYPGPDPEFKALKLSQYGKLEDKEDDEEEEDDDEEEKLFAPLHRGLVNMNLPRDRLANSLQLAGFPVLTHRPKSNAACSPSNPSLVIQCDAVVVGSGSGGGVVAGVLAKAGYKVLVIEKGSYFARSNLSLLEGPTMDEMYLGGGLMATDDMGIVILAGSTVGGGSAINWSASIRTPQHVINEWSDRYDLEIFDSTLYKEALDVVCQKMGVQSEIHQEGFNNSILRKGCQELGYPVNNIPRNSSPDHYCGWCCLGCKDGRKKSTCETWLLDLVNSGNGAILPRCEAIKVLHKEKRGRNSRRTATGVAFEFEYEGAKDLCVIESKVTIVASGAISTPPLLKRSGLKNPNIGKNLHLHPVVMAWGYFPDAPVSSKAWPEEQKKSYEGGIMTAMSTVVAKFDKSGYGAVIQTPSLHPGMFSSLMPWVSGMDIKTKMCRFSRTAHIFALARDKGKGTVNSPSSITYQMEDSDEENLQKGLEKMLRILAAAGAEEIGTHHSTGRSINVKKVSYNEFEKFVKEESERPLRDLSTQLCSAHQMGSCRMGVNPKDSVVNQMGETWEVEGLFVADSSVFPTALGVNPMVTIQAVAYCTAQSVLEALRRKKKLLVN >Manes.07G007501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:924949:926094:1 gene:Manes.07G007501.v8.1 transcript:Manes.07G007501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEMFKVAMRKEEMLKVVMLKEEMLKVLMLKEEMLKVVMLKGEMLKEEMLKVVMLKGEMLKEVMLKVVMLKGEMLKEVMLKGEMLKVGMLKEEMCKVAMCKEEMLKFAMPKSFMGHIVIYKEAILKVVMHKVKMLKVTMHKEEMLKVTVLREYVLKVGMHKVKMLKVAMLKMAMRKEEMLKAAMRKAEMLKLTIMRKEEMFKVSMFKVAMPKTFMGHIVMYKEAMLKMVMHKIEILKVAIRTEEIHNKEISKMIIFKAAAMFTMKICQTLHIVLVHIYQVVLGGKYTSISPKHLKQTLLFTILLLCNICFCLFDRIYVYLSGGCWNKGF >Manes.04G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31035772:31040621:-1 gene:Manes.04G105200.v8.1 transcript:Manes.04G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGISLEEIKKENVDLERIPVEEVFQQLQCSREGLSTEEGEKRLQIFGPNKLEEKTENKFLKFLGFMWNPLSWVMEIAAIMAIALANGGGQPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEAAILVPGDMISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKHPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQEGHFQKVLTAIGNFCICSIGLGMLIEIVVMYPIQHRKYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFAKDVDKEGVILHGAMASRVENQDAIDACIVGMLSDPKEARAGITEVHFLPFNPVEKRTAITYIDSEGNWHRVSKGAPEQIIELCNLRDDAKKKAHAIIDKFADRGLRSLAVCRQTVPEKTKDGAGTPWQMVGLLPLFDPPRHDSAETISRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQTKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVVGFLLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGTYLAIMTVIFFWAAYSSDFFSEKFGVRSIRNSHYELTAAIYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFFIAQLIATILAVYANWEFAKIKGIGWGWAGVIWLYSIIFYIPLDILKFITRYALSGKAWDNIVQNKTAFTTKKDYGRGEREAQWAAAQRTLHGLQPPETAELFQDKNYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >Manes.07G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31462658:31466918:-1 gene:Manes.07G108800.v8.1 transcript:Manes.07G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCRAANRIKGFCCYGHNPKNPSKSHTTVPSNCQTKGKILDSPNLKSFCFSELKKATKNFSAGNVIGKGGFGYVFKGWIDENSLKAATPEIGIAIAVKVLDQKGCQGEQEWLAEIKYLGQLCHPNLVKLIGYCLEDGHWLLVYEFMPNGSLDKYLIRLDFLKAEDSYSVQPLSWNLRMKVALGAAKGLAFLHDEAQVIYRDFKTSNILLDTNFNAKLSDFGLAKDGPTGDNSQITARILGTRGYVAPEYVNTGCLTAKCDVYSFGVVLLELISCRPAIDEYRPSTEQNLVEWARPYLSSKRKIYRVFDPHLEGKYVLSGVLKAANLAAQCLSTEPIMRPIMKEVVKALEQITLRTH >Manes.04G088400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29354457:29358022:-1 gene:Manes.04G088400.v8.1 transcript:Manes.04G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYAKLIRRMNPPRVVIDNDACEHATVIQVDSVNKHGILLEVIQVLTDMNLVITKAYISSDGGWFMDVFNVIGQDGKKIRDKEVMGYIQRRIESNASFFPSLRGSVGVMPSEEHTSIELTGTDRPGLFSEVCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDSTGCAIKDPKRLSTIKELLCNVLKGNNDLKVAKMTLSPPGITSRERRLHQIMFADRDYERVERAGLGRHDDESSRPHVTVLNIEKDYSVITMRSKDRPKLLFDIVCTLTDMEYVVFHGMVNTGRMEAYQEFYIRHVDGLPISSDAERDRVIQCLEAAIERRASEGLELELCTEDRVGLLSDITRIFRENSLCIKRAEISTKGGKAKDTFYVTDVTGNPVDPKIIDSICQQIGQTKLQVKHNSTFSSKPPQETTMGYLFGNLFKARTFQNFKLIKSYS >Manes.S040516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1187871:1187993:1 gene:Manes.S040516.v8.1 transcript:Manes.S040516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6556672:6563836:1 gene:Manes.15G085300.v8.1 transcript:Manes.15G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSRNCHKDASQEEEEEEEEEEEEEEEEEEEEHFYESLDRIASSSCSCSASNSDSDPDPNPTRSNSISNSPGNNNDPPFSVSKLPLGVSKYAVWISEPASVSERRQRLLHQMGLTSDPSLSRAKPEGDFHFNRSVSSDHLSQEKPGPSTSGGIVRSKSDGAGPRTDRDGGDDKCNDDFNSCSSPSSLLSVYSPRILSHDLMDVNNCNSNCDKNNKDNNNVVVSGSKKKTNVSSPKSTALSLNKPPSGKYGRKMDSYRSDSTNSSGNLNGSYSNTSMGELVEELDSNGVGGIDTTATAAENQVCTIKNLDNGKEFVVNEIREDGTWNKLKEVGTGRQLTMEEFEMCVGHSPIVQELMRRQNEEDSSRENLDSTPNGGVGGGVSKLKKKGSWLRSIRSVASSVKGRKERSSSDDRDTGSDRGGRRSSSATDDSQDVSFHGPERVRVRQYGKSFKELSALYKSQEILAHNGSIWSIKFSLDGRYLASAGEDCVIHIWKVIESKRKGDLLNDKQEDGNFNFLLTANGSPEPSLFSPTADGHHEKKRRGRTSISRKSLSLDHIVVPETVFALTDKPICSFKGHVDDVLDLSWSKSQHLLSSSMDKTVRLWHLSTKTCLKIFAHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCRLYSTSENKLQQKCQINLQNKKKKAHLKKITGFQFARGSSSEVLITSADSRVRVIDGVDLVHKFKGFRNTNSQISASLTANGKYVVSASEDSYVYVWKHESDSQPCRSKGITVTRSYEHFQCQDVSVAIPWPGLSDTWGLQDAYSGEQNGVDNHLDEVSVANHPPTPVDESSCNEGSQSLSGCTNSPLNGIICSATNGYFFDRISATWPEEKLKVATRSWSPRTSVDFSDGMNQNMSAYGMVIVTAGIRGEIRTFQNFGLPVRI >Manes.15G001220.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:403016:404298:1 gene:Manes.15G001220.v8.1 transcript:Manes.15G001220.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFGEESTSAFMDDYETLILTTDVELLKRASHNEKLLQRFFSVKLLWYSESEQIELVILKSCVNFTLVFRWD >Manes.15G001220.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:403016:404818:1 gene:Manes.15G001220.v8.1 transcript:Manes.15G001220.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGFGEESTSAFMDDYETLILTTDVELLKRASHNEKLLQRFFSVKLLWYSESEQIELVVFLICYIVSNMGHIS >Manes.03G172300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29683814:29684860:-1 gene:Manes.03G172300.v8.1 transcript:Manes.03G172300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSLLFSSETPCTMAMLYYRWLLEMGYKNPILLLHPLGGYTKADDVPLNWRMKQHEKVLELDPGTTVVSIFPSLMHYAGPTEVQWHAKARISAGANFYIVRRDPAGMSHPFEKRDLYDPDHRKKVLSMAPGFERLNILPFKVVAYDNTQGKMAFFDPTTTRSQDFPIISGTMMRTLAKNKQNPPDGYGFMCPGGWKVLVEYYDSLAPSDNGKVPEPVPA >Manes.12G115300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31680940:31689032:-1 gene:Manes.12G115300.v8.1 transcript:Manes.12G115300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGKIQMMIEAKLSPGPSICNIRRHGDSSRLHDVGVSVASGNFLAAKRRGVVEGVDFGETGEVKKVDVTRMRERLDGGCIVLLSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDESGHLIRFLAIEEADMLIRKRARQSEIAAHYVKAVGKEDFTSLEHNDSVRVVSSSQNGKPLNGRHYPTPTFQNGVGFDSGNGLWSGDQGFAIGGHERQSRLNGYLSELAAATFVCKGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDVYEGTRMARVTDLSGIKQILQPLEDSGVLARRTDEELLKTLDSFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPECRGQGQGDKLLDFIENKASSLNLEMLFLLTTRTADWFKRRGFSECSIDMIPEKKRKKINLSRNSKYYMKKLLPNTSGISVNRAFS >Manes.12G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31680940:31689032:-1 gene:Manes.12G115300.v8.1 transcript:Manes.12G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYLTHCTTLRNPRSLSPCLFSPRHHFLLDASEGTLPFRPQSKPLRPVCLVSNQGRNGSSVNFNVFSEAGSGASADEESYSSVEDRQFVRWFREAWPYLWAHRGAIFVVIISGEIICSPFLDPILKDIAFLHHLGIRFVLVPGTHVQIDNLLAERGHEPKFFGPYRITDSEALAASMEAAGKIQMMIEAKLSPGPSICNIRRHGDSSRLHDVGVSVASGNFLAAKRRGVVEGVDFGETGEVKKVDVTRMRERLDGGCIVLLSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDESGHLIRFLAIEEADMLIRKRARQSEIAAHYVKAVGKEDFTSLEHNDSVRVVSSSQNGKPLNGRHYPTPTFQNGVGFDSGNGLWSGDQGFAIGGHERQSRLNGYLSELAAATFVCKGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDVYEGTRMARVTDLSGIKQILQPLEDSGVLARRTDEELLKTLDSFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPECRGQGQGDKLLDFIENKASSLNLEMLFLLTTRTADWFKRRGFSECSIDMIPEKKRKKINLSRNSKYYMKKLLPNTSGISVNRAFS >Manes.12G115300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31680940:31689032:-1 gene:Manes.12G115300.v8.1 transcript:Manes.12G115300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYLTHCTTLRNPRSLSPCLFSPRHHFLLDASEGTLPFRPQSKPLRPVCLVSNQGRNGSSVNFNVFSEAGSGASADEESYSSVEDRQFVRWFREAWPYLWAHRGAIFVVIISGEIICSPFLDPILKDIAFLHHLGIRFVLVPGTHVQIDNLLAERGHEPKFFGPYRITDSEALAASMEAAGKIQMMIEAKLSPGPSICNIRRHGDSSRLHDVGVSVASGNFLAAKRRGVVEGVDFGETGEVKKVDVTRMRERLDGGCIVLLSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDESGHLIRFLAIEEADMLIRKRARQSEIAAHYVKAVGKEDFTSLEHNDSVRVVSSSQNGKPLNGRHYPTPTFQNGVGFDSGNGLWSGDQGFAIGGHERQSRLNGYLSELAAATFVCKGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDVYEGTRMARVTDLSGIKQILQPLEDSGVLARRTDEEVCSSLITIIVNLVNCSFGIIFFSF >Manes.03G066500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9120734:9126113:1 gene:Manes.03G066500.v8.1 transcript:Manes.03G066500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERLSKAAEAVMETLRTRGWSIGDINQIEAIITIQSALSDDHETSAVTNSVESELLNMDLKSLGAKSLPDPNIFRKTSHLQGPKVLQVSSVRDISVSSIGGVSDSGHRRLLKLSLTDGHSEITAIEYSHIPSIPNDLVPGTKVRLENKIPIHSGILCLNPKVITLMGGVVPSLYEEWQMNQKYSGFSRSLMRLSQETDSGGPPQFEKLQIGAPFRPSSQQSIPAVVQSRYVQGQATAQNHLHSMSHPNRYSGGPKYRGKDKVLEPQVVTLDKRIDYSESTSKSSEPTTVETALNTEIRLIDSQQNADNLDDKVTDASLAQSIEQKPLKSEERIKEVAESAPVQNQAAAHKLLQKMNSSNQGDRRPRGWKHKGKGKQEELQFFTLDEWENRKIGANHTMKNDIPDTSADEEIAWQLQNQLDVEDSHVQMGMHRVETDIRMSMFNYGGDADRVRGMEHGGGGRGKGRGKGEGEGEGEGEEDLIKSSILLFVICIPHLGPSNFSG >Manes.03G164801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29140917:29141605:1 gene:Manes.03G164801.v8.1 transcript:Manes.03G164801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKYLLVLFLAVVLLITHSLAQYRPPINPPPIYKPPPAEGYASVEPTDKHHGPPKKWPPSSVDGTIGVGDHQEKAIPDKDKPYKRKGVPPPHKPGHPPVVDTEDAIHRPPAKKKPPPYSHRPPSSD >Manes.09G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6693465:6693819:1 gene:Manes.09G033900.v8.1 transcript:Manes.09G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQTTLIFISYLIMLLAAFQYAQGDLNYCSKTDTFVGGSCGNSQCLLDFLGKYGASSMPKDCTCKPLGSNQRSCTCLIICKD >Manes.05G170901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28491263:28498920:-1 gene:Manes.05G170901.v8.1 transcript:Manes.05G170901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNGSDSQQLQQEQNKVQQQPPPPPPPPQQHQQWMAMQYPAAAMVMQHQMMPPQHYAPPPPQHYMAAYHQYHQHHPHLQQPPQQHHQNQGSSGENKTIWIGDLHHWMDENYLHTCFASTGEIASIKVIRNKQTGLSEGYGFVEFFTHAAAEKVLQNYTGILMPNTEQPFRLNWATFSSGDKRSDNAPDLSIFVGDLAADVTDSVLHETFASKYPSVKAAKVVFDANTGRSKGYGFVRFGDDSERAQAMAEMNGVYCSSRPMRIGAATPRKSSGYQQQGGYASNGASGQGFQSDGDSTNTTIFVGGLDPNVTDEDLKHPFSQYGEIVSVKIPVGKGCGFVQFGNRSNAEEALQKLNGTVIGKQTVRLSWGRNPANKQFRSDFGNQWSGAYYGGQVYDGYGYALPPPHDPSLYAATAAAYAAYPVYGSHQQQVS >Manes.05G170901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28492201:28498920:-1 gene:Manes.05G170901.v8.1 transcript:Manes.05G170901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNGSDSQQLQQEQNKVQQQPPPPPPPPQQHQQWMAMQYPAAAMVMQHQMMPPQHYAPPPPQHYMAAYHQYHQHHPHLQQPPQQHHQNQGSSGENKTIWIGDLHHWMDENYLHTCFASTGEIASIKVIRNKQTGLSEGYGFVEFFTHAAAEKVLQNYTGILMPNTEQPFRLNWATFSSGDKRSDNAPDLSIFVGDLAADVTDSVLHETFASKYPSVKAAKVVFDANTGRSKGYGFVRFGDDSERAQAMAEMNGVYCSSRPMRIGAATPRKSSGYQQQGGYASNGASGQGFQSDGDSTNTTIFVGGLDPNVTDEDLKHPFSQYGEIVSVKIPVGKGCGFVQFGNRSNAEEALQKLNGTVIGKQTVRLSWGRNPANKQFRSDFGNQWSGAYYGGQVYDGYGYALPPPHDPSLYAATAAAYAAYPVYGSHQQQVS >Manes.16G117801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32181937:32183881:1 gene:Manes.16G117801.v8.1 transcript:Manes.16G117801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTTIKNKSSADVELRVFAPPARPDHFRRIVRIKPGGKVNVSICEDTTGSGRLVIVMVYVDGVYSGVSLLPAYLAACSEVICDRGEDGLVHLQGIKPTLFSTCKPMFLYHLFLGMNCCGGLQQLI >Manes.18G051500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4398379:4403920:-1 gene:Manes.18G051500.v8.1 transcript:Manes.18G051500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAQFSPTIHSSHLFSTRNPTLLHYSSALKPCDQLSFCMASRTTTFTARLKAIPSDTHSKTSELVKEINWDNLGLSPVPTDYMYVMRCSGTDEFSDGELLPFGKIELNPFSSVLNYGQGIIEGLKAFKKEDDSVVLFRPEANGLRMRVGADRICMPAPTIDQFVQAVKATVSANRRWVPPPNKGFLYIRPLLIGSGAVLSLTPSPEFIFLIYVTPVGNYFEHGAEPINLVIENDIHRAVPGGVGSINSIGNYAMVMKGRAAARASGYHDALYLDAVNNKYLEEISTANIFVLKDKTICTPALGGTILPGITRESVIDIARRQRFQVEERLVSVEELFNADEVFCTGNAVGLLPVGSITYQGKRLSFKEGGVGTVSKQLSSALTDIQMGLTDDKMGWTVVLKLEEK >Manes.18G127708.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15468876:15470928:1 gene:Manes.18G127708.v8.1 transcript:Manes.18G127708.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLQWNNLTGKIPTDLANNNLTGKIPLSLGNLSSLTIFSFHENNLEGSIPNQMSQIKSLKIFTAGGNRLSGDYSFNAESFRAGWMDGT >Manes.02G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8762268:8766071:1 gene:Manes.02G113700.v8.1 transcript:Manes.02G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSSSKFFRDSTCQLPSVVSTDYCKRSGTKWRSPQAAMIPSFHLPMRSFEVKNRTSSEDIKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIVNGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGVVSHFDSVVPMGPTIIYNVPSRTGQDIPPHVIHTVSQSPNLAGVKECVGNDRVEEYTENGIVVWSGNDDQCHDARWNHGATGVISVTSNLVPALMRKLMFEGKNPALNSKLMPLIDWLFSEPNPIALNTALAQLGVVRPVFRLPYVPLHLSKRVEFVNLVKSIGRENFIGEKDVQVLDDDEFILVGRY >Manes.10G136800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30443429:30446407:-1 gene:Manes.10G136800.v8.1 transcript:Manes.10G136800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPFLWIICLLCGELLCGGVAQSVNCNPADREALLDLKRGLNDSWNRLSSWHGTNCCGWSGIACHNTTGAVLAVDLPNSSGLQPLGGEIRPSLAKLKSLKHLDLSGNNFHGKIPHFLSDLQNLQYLNLSFAGFSGEIPPNLGNLSSLQFLDVSSVSLTVDNLQWVSGLLSLKYLSMNYLNLSSLGGAWIEPLNKLPLLSELHLEYCGLSGFIYSLPSVNFTSLKVMKLQCSLFTAKLPAWFVNISSLVSVDIGNSWLTGRIPLGFGELPNLQSLKLNYNRELSARCFQLFARSWKKIRVLDFSITNLHGRLPAFLGNLTSLTHLDLHFNNIEGGIPSSIGKLSNLQYIDLSLNKMTGSLPNSLGQLKNLVELRLNSNLLQGSIPYSIGNLQHLTILNLSSNNINGSLPDSIGLLSELYTLDVSLNKLTGIISEAHFHRLENLEEIILSDNSVILNVSSHWVPPFQVIFLQMSSCHVGPSFPYWLRSQKNIEVLDFSRAGVSGSIPNWFWNMTSILSFLNFSFNSLEGHIPNTFKLIPYAIVDLSFNQFKGPVPLPNTLSLDLSHNQFYGSMPENISQVMPSLQLLSLSSNQLTGGIPASIGEMPLNVLDLSKNNLAGSIPSNIGNCSFLTVLDLQKNNFSGGIPNSIGQLNGLQTLHLSNNKFSGEIPSSLQNLSKLETLDLGSNMLTGKLPFWVGEAFPLLRILSLRANKLSGELPLTLSNSSSLQILDLAENQLNGSIPANLGNLKAMAQQQKVNHYLLYGEDENHNYQENIYVTINGLGLTYTRTISLLTSIDLSGNNLSGRFPEAITRLVGLEVLNLSRNHINGQIPDRISALRQLLSLDLSSNRLSGPIPQSLTSLTFLGNLNVSNNNLSGKIPSANQMSTFNASSFAGNPGLCGDPLAVKCANGSNNGGDNYPDPGRKADQDDNGNGFADIWFYMSIAVGFAVGLLLPYLVFAMKRPWGGIYFAFVDGTAYRLSSEKMKAAMRRR >Manes.07G021000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2351676:2352615:1 gene:Manes.07G021000.v8.1 transcript:Manes.07G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIIPINDPKTVVLFSKLLTKTDLEHQLIVPSEVLTKYPILDQNGHVSKYIISFDKNGKPWEFPLATRNTGRYPKPSVPPASWHPFVAEYGLRAGDSVLFYTRLDDPADKIQVRGLRKTILFKGEESWVEVEKLHNQRRACMNLS >Manes.10G053800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6441460:6446644:-1 gene:Manes.10G053800.v8.1 transcript:Manes.10G053800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRGVISCGSWIRRPNNVNLVVLGKSRTRDSSSSSSSVLEFFSFDPDTTSLSPAPLATYVLEKTEGDPVTTAVHPSGDYIVCSTTKGGCKLFELHNEETNLKVLSKQLPPLQDVGPQKCLAFSVDGSRFVTGGMDGHLRILEWPSHRVILDEPKAHKFFRDMDVSLDSAFLVSTSSDGSARIWNMQDGGPVTNLSRNSDEKIELCRFSKDGTKPFLFCTVQRGDKAVTAVYDISTWKKIGYKRLLKKPACVMSVSLDGKYLALGSKDGDICVAEVKKMEIRHYSKRLHLGTCITSLEFCPNQRVVLSTSDEWGAVVTKLTVPADWKEWQIYLLLVGLFLASAVAFYVFFQNSDSFWNFPLGKDQPARPKFDSILGDAQSSDDVFGPLDM >Manes.04G140500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33953481:33956030:1 gene:Manes.04G140500.v8.1 transcript:Manes.04G140500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGHSDPNGPMIYKGIYHLFYQYNPKGAVWMWGSIVWAHSTSTDLVNWTPQSVAIYPSQPSDSNGTWSGSATIFPDGTPAMLFTGVNTLGQQVQNLAMPKNTCDPYLLEWVKSPSNPVMAPTRENHINASSFRDPTTAWLCADGRWKVVIGSKINRKGVAYLYTSEDFVHWIEAQNLFHSAEDTGMWECVDFFPVSVNSSVGVDLQMLRSNIKYVFKASLDDTKHDYYTVGEYDHVKNVYTPDEGSVDGDSGLRYDYGKFYASKTFFDSARNRRILWGWVNESSSVTADIKKGWSGIQAIPRTIWLDKSGKQLVQWPIQEIEKLRTNAVRLPGKILKKGSVLEVPGVTAAQADVEITFNVSDFSTGEVLDPSWTNPQLLCCQKGASVKGSLGPFGLLVLASESLQEYTAVFFRIFKGKNKYVVLMCSDQSRSSLNPDNDKTTYGAFVDVDPVHEQLSLRSLIDHSVVESFGGQGKSCMTARVYPTFTVKEDAPAHLYVFNNGSESVTITRLSAWSMKKAKIN >Manes.04G140500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33953828:33956113:1 gene:Manes.04G140500.v8.1 transcript:Manes.04G140500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNMVAGPMIYKGIYHLFYQYNPKGAVWMWGSIVWAHSTSTDLVNWTPQSVAIYPSQPSDSNGTWSGSATIFPDGTPAMLFTGVNTLGQQVQNLAMPKNTCDPYLLEWVKSPSNPVMAPTRENHINASSFRDPTTAWLCADGRWKVVIGSKINRKGVAYLYTSEDFVHWIEAQNLFHSAEDTGMWECVDFFPVSVNSSVGVDLQMLRSNIKYVFKASLDDTKHDYYTVGEYDHVKNVYTPDEGSVDGDSGLRYDYGKFYASKTFFDSARNRRILWGWVNESSSVTADIKKGWSGIQAIPRTIWLDKSGKQLVQWPIQEIEKLRTNAVRLPGKILKKGSVLEVPGVTAAQADVEITFNVSDFSTGEVLDPSWTNPQLLCCQKGASVKGSLGPFGLLVLASESLQEYTAVFFRIFKGKNKYVVLMCSDQSRSSLNPDNDKTTYGAFVDVDPVHEQLSLRSLIDHSVVESFGGQGKSCMTARVYPTFTVKEDAPAHLYVFNNGSESVTITRLSAWSMKKAKIN >Manes.04G140500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33953221:33956030:1 gene:Manes.04G140500.v8.1 transcript:Manes.04G140500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIICSLRLLLLFALLFGHGVFELEASHQIYHTLQNLDDFDAKTTQPYRTAYHFQPSKNWMNGPMIYKGIYHLFYQYNPKGAVWMWGSIVWAHSTSTDLVNWTPQSVAIYPSQPSDSNGTWSGSATIFPDGTPAMLFTGVNTLGQQVQNLAMPKNTCDPYLLEWVKSPSNPVMAPTRENHINASSFRDPTTAWLCADGRWKVVIGSKINRKGVAYLYTSEDFVHWIEAQNLFHSAEDTGMWECVDFFPVSVNSSVGVDLQMLRSNIKYVFKASLDDTKHDYYTVGEYDHVKNVYTPDEGSVDGDSGLRYDYGKFYASKTFFDSARNRRILWGWVNESSSVTADIKKGWSGIQAIPRTIWLDKSGKQLVQWPIQEIEKLRTNAVRLPGKILKKGSVLEVPGVTAAQADVEITFNVSDFSTGEVLDPSWTNPQLLCCQKGASVKGSLGPFGLLVLASESLQEYTAVFFRIFKGKNKYVVLMCSDQSRSSLNPDNDKTTYGAFVDVDPVHEQLSLRSLIDHSVVESFGGQGKSCMTARVYPTFTVKEDAPAHLYVFNNGSESVTITRLSAWSMKKAKIN >Manes.01G113500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31141395:31144293:-1 gene:Manes.01G113500.v8.1 transcript:Manes.01G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREAKGWFMKELKKVSLLAAPMVVVSVSQNLLPPISLMMAGHLGELHLSAVSVATSFTNATGFALLFGLAGALETLCGQAYGAGQYHKLGSYTYCAIISLLPVCVPVSILWIFMARLLILVGLDPHISMAACKYSIGLIPALFGYAILQSLFRYFQSQSLILPMLLSSCAALCFHVPFCWVLIYKWELGNIGGAIAIDVAYWLNVIFLVSYFLFSSSCEKTRILCWRDIFSSISEFWRFAVSSSVMVCLEWWTFELLVLLAGLLKNSKLETSVLSICITTTSLHYFVQYGISVAASTRVSNELGSGNPQAARTVVHVVLVISITEAAITSTTLFFTRYIFGYAFSNDKEVVDYVTEVAPLLCLSVIVDSLLAVLCGIARGCGWQRIGAFINLGAYYFVGLPLSVVLCFVLHLRGKGLWIGLLVGTTVQVAMFALITAFTNWQKQANMAKDRIFRGQFQQVIDGIEL >Manes.09G054400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8877516:8884227:1 gene:Manes.09G054400.v8.1 transcript:Manes.09G054400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGSAVAADKDESEKPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEEIEEEEEVEEEVEEEEEIEEGANEADAQKGSDSEEEIEEKEHAELLALPPHGSEVYLGGIPHDATEEDLRGFCESIGEVTEVRIMKGKDTGEAKGYAFVTFRSKELASKAIEDLNHTELKGKNIRCSTSQANHRLFIGNVPRNWEEEDMKNVVKKIGPGVISVELLKDPQNSSRNRGFAFIEYYNHACAEYSRKKMSSPKFKLDDNAPTVSWADPKNAGSSASSQVKAVYVKNLPKDITQDRLRQLFEHHGKVTKVVLPPAKAGHERSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSSGGLNSQKSILHPTFPPRLGYGLVGGAYGALGGGYGAAGFAQPMIYGRGPSPAGMAMMPMLLPDGRIGYVLQQPGMQPQNPLPQPRAGRGSGGAGSSSGGRRSNDSNRRSRYNPY >Manes.09G054400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8877516:8883196:1 gene:Manes.09G054400.v8.1 transcript:Manes.09G054400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGSAVAADKDESEKPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEEIEEEEEVEEEVEEEEEIEEGANEADAQKGSDSEEEIEEKEHAELLALPPHGSEVYLGGIPHDATEEDLRGFCESIGEVTEVRIMKGKDTGEAKGYAFVTFRSKELASKAIEDLNHTELKGKNIRCSTSQANHRLFIGNVPRNWEEEDMKNVVKKIGPGVISVELLKDPQNSSRNRGFAFIEYYNHACAEYSRKKMSSPKFKLDDNAPTVSWADPKNAGSSASSQVKAVYVKNLPKDITQDRLRQLFEHHGKVTKVVLPPAKAGHERSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSSGGLNSQKSILHPTFPPRLGYGLVGGAYGALGGGYGAAGFAQPMIYGRGPSPAGMAMMPMLLPDGRIGYVLQQPGMQPQNPLPQPRAGRGSGGAGSSSGGRRSNDSNRRSRYNPY >Manes.09G054400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8877524:8882944:1 gene:Manes.09G054400.v8.1 transcript:Manes.09G054400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGSAVAADKDESEKPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEEIEEEEEVEEEVEEEEEIEEGANEADAQKGSDSEEEIEEKEHAELLALPPHGSEVYLGGIPHDATEEDLRGFCESIGEVTEVRIMKGKDTGEAKGYAFVTFRSKELASKAIEDLNHTELKGKNIRCSTSQANHRLFIGNVPRNWEEEDMKNVVKKIGPGVISVELLKDPQNSSRNRGFAFIEYYNHACAEYSRKKMSSPKFKLDDNAPTVSWADPKNAGSSASSQVKAVYVKNLPKDITQDRLRQLFEHHGKVTKVVLPPAKAGHERSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSSGGLNSQKSILHPTFPPRLGYGLVGGAYGALGGGYGAAGFAQPMIYGRGPSPAGMAMMPMLLPDGRIGYVLQQPGMQPQNPLPQPRAGRGSGGAGSSSGGRRSNDSNRRSRYNPY >Manes.09G054400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8877670:8882944:1 gene:Manes.09G054400.v8.1 transcript:Manes.09G054400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGSAVAADKDESEKPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEEIEEEEEVEEEVEEEEEIEEGANEADAQKGSDSEEEIEEKEHAELLALPPHGSEVYLGGIPHDATEEDLRGFCESIGEVTEVRIMKGKDTGEAKGYAFVTFRSKELASKAIEDLNHTELKGKNIRCSTSQANHRLFIGNVPRNWEEEDMKNVVKKIGPGVISVELLKDPQNSSRNRGFAFIEYYNHACAEYSRKKMSSPKFKLDDNAPTVSWADPKNAGSSASSQVKAVYVKNLPKDITQDRLRQLFEHHGKVTKVVLPPAKAGHERSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSSGGLNSQKSILHPTFPPRLGYGLVGGAYGALGGGYGAAGFAQPMIYGRGPSPAGMAMMPMLLPDGRIGYVLQQPGMQPQNPLPQPRAGRGSGGAGSSSGGRRSNDSNRRSRYNPY >Manes.10G111380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27356502:27360952:1 gene:Manes.10G111380.v8.1 transcript:Manes.10G111380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAESHHSALEPAAMLSDTSLEATAPLSRLIKNDRILNEILSRIGYSKTRIGVYGGAVGKTTLLKSLKVHPDIREMFQFVIWVTVPKVWKLEAVQLEIAKQLPLDDKKSISSWKLMSFLNKVKFLLILAGIHQVISLDFIGIPDPTPENGCNIVLTAVSEEICDRMEVDWKINLEGLLKEFCENAGKIDYSSDLQPLALEVVDLCGYHWHAIFLMSKALKDEYDVCVWNNAIEILRTQPAFPGRDLENIMADVLKFSYSRLPDDTTRRCLKNCALFFENQEIARDSLIDNWMSDDLTDMYSKGQKCSEDGQVFKLREIDRYLLVEHVFPSMEGVFLMRNDSRLTELPKDVNWTKLCEIYLMDNELTEFSLNHCVLLKLLPSGIGNLNCLEVFHLEGTAIVALPREVEQLTNLTSLKVSFREPVSLDHPRKMIPDGVIPQLSKLKNLYIDVSPKDERWKASVESVVLEICTLTTLDTLQFYFPNMKLLSRFNWDSIPISPPLSHFRFTIGDHTSRIICRVPREAELELVRYDKCLKYVNGEGAPKEIKKVLRHASALFLDRNMTIEKLSEFEISNMMQLKCCLAGECDKLQSILDGDQMVIGASEEVVVGFESLECLYIYYAKSLRSICEGRLDNSSFKKLKYLTLHMCPELTIVFTLELLGNLSRLEEFTVDDCSNIRRLVQCKDIENEIKHVLPALKKISLHFLPELDSISDVLSIAPRIKWMSFYYCPNLKNLPISKAFHTELGQIKSEKSWWQALEWQNTEQDSNWKDIFVGKIMLRMM >Manes.14G055800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4770025:4778531:-1 gene:Manes.14G055800.v8.1 transcript:Manes.14G055800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSEPSNFLTDTADTNPKFGFQSSLPSSNADPGSDQTLELRKELEKKQLYLKEGKGENEEAEPDMVVVEKVYEELEKQLHLKEVEEDNHSIEWNQNDDFNCGESHDGEEGLNKYDKEDDGNDDEKYDDEVEKRDERSNGNRRHHYPVRPEAEDCSYYMKTGTCKFGSNCKFNHPATKEKLKEREEAIERPGQTECKYYLRTGGCKYGKACRYNHSRAKTPVLPAKAAVFPVLDLNFLGLPIRPGEKECPYYMRNGSCKYGANCRFNHPDPTAAGGTDPPSGFGNGGTAALQSSPQSSVAPWSSPRGLSEAAPFMPMMFPPTQGVPSQSPEWNGYQAPIYPQERSMHPTSAFVMSNTATDTNVYVHQQQTPFDEFPERPGQPECSYYLKTGDCKFRSNCKYHHPKNQIPKSSPCPLSDKGLPLRPGQHICSYYSRYGICKFGPACKFDHPIHPAAMTGSAEDQAHQDLAREESRISETGNETDTAIQQAV >Manes.14G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4770025:4778531:-1 gene:Manes.14G055800.v8.1 transcript:Manes.14G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSEPSNFLTDTADTNPKFGFQSSLPSSNADPGSDQTLELRKELEKKQLYLKEGKGENEEAEPDMVVVEKVYEELEKQLHLKEVEEDNHSIEWNQNDDFNCGESHDGEEGLNKYDKEDDGNDDEKYDDEVEKRDERSNGNRRHHYPVRPEAEDCSYYMKTGTCKFGSNCKFNHPVRRKNQATKEKLKEREEAIERPGQTECKYYLRTGGCKYGKACRYNHSRAKTPVLPAKAAVFPVLDLNFLGLPIRPGEKECPYYMRNGSCKYGANCRFNHPDPTAAGGTDPPSGFGNGGTAALQSSPQSSVAPWSSPRGLSEAAPFMPMMFPPTQGVPSQSPEWNGYQAPIYPQERSMHPTSAFVMSNTATDTNVYVHQQQTPFDEFPERPGQPECSYYLKTGDCKFRSNCKYHHPKNQIPKSSPCPLSDKGLPLRPGQHICSYYSRYGICKFGPACKFDHPIHPAAMTGSAEDQAHQDLAREESRISETGNETDTAIQQAV >Manes.11G082300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14767644:14772132:1 gene:Manes.11G082300.v8.1 transcript:Manes.11G082300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDVIEGSISSLFIGSLKSNQSGDLLSPEEIAWVDSCLVKDPENSDGDWSSMKDTLIEILGLQPDSQDSSDPGTDDFPGVTETEMLHSAEQGIVKFSGGIDVGTIQINKDTEMSSDDFPNKEESSNLLSQHYQGDLSETLRNAFQPNYREENKRMGESVDSGLDVGSPADETEPSTEDIFRVWDLGIPLVEDELVKQLNKALSESNDQVMPSRTDDLGAWKDFTEKSVDDLVAGIADLSLDQHF >Manes.11G082300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14768673:14769416:1 gene:Manes.11G082300.v8.1 transcript:Manes.11G082300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDVIEGSISSLFIGSLKSNQSGDLLSPEEIAWVDSCLVKDPENSDGDWSSMKDTLIEILGLQPDSQDSSDPGTDDFPGVTETEMLHSAEQGIVKFSGGIDVGTIQINKDTEMSSDDFPNKEESSNLLSQHYQGDLSETLRNAFQPNYREENKRMGESVDSGLDVGSPADETEPSTEDIFRVWDLGIPLVEDELVKQLNKALSESNDQVMPSRTDDLGAWKDFTEKSVDDLVAGIADLSLDQHF >Manes.11G082300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14768835:14769416:1 gene:Manes.11G082300.v8.1 transcript:Manes.11G082300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTLIEILGLQPDSQDSSDPGTDDFPGVTETEMLHSAEQGIVKFSGGIDVGTIQINKDTEMSSDDFPNKEESSNLLSQHYQGDLSETLRNAFQPNYREENKRMGESVDSGLDVGSPADETEPSTEDIFRVWDLGIPLVEDELVKQLNKALSESNDQVMPSRTDDLGAWKDFTEKSVDDLVAGIADLSLDQHF >Manes.09G025266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5513581:5518525:1 gene:Manes.09G025266.v8.1 transcript:Manes.09G025266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.15G056400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4354068:4362998:-1 gene:Manes.15G056400.v8.1 transcript:Manes.15G056400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKARRHDVDLLKKEKDREKKHKKEKKNREQREGKDKEKREKDRSDGKLRDKKEKKDKHRDKKERDRDKDKDKISASDEKRLPGQSEHNNGDKAPDEKKISGKSEVNSGEIFIQRGKERDFDRKYISGEKKFSGQFSSYSERFAQNGHLTEQPKESNFVQEVDRRTRDEARGSGKQLVEKITCVDARKDEQVVRLVSEATGTLADSKDKNQRGYDKKLDGQGIRDESEISGKAMSPSLPGTVQTKIDKTPIQLEKDIEKRIEGKEKSKGNEGGDRRGKKRKDKDKGKEGQGKDKKRKKDEKEKEKNEHKNRELDKLKENSKVDHTGVHNAKASHLLKENTKSGVTEGNLRKQKDLDTNGFFDAIDIKPSKMPRPASSHPLMENGKILATPQTSVPFLSDGNCAVNNLKVHAKERKINGLIETQALPISSTTQPLSIPTSKSFPTTAQADQIAKALKKPHPDTKYLTEVLTVPKMEEWCDFDNQEWLFQGRDFESKKAKVGSFGVDETPQVWSEALQMEAAEVCALPYVIPY >Manes.15G056400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4354068:4362985:-1 gene:Manes.15G056400.v8.1 transcript:Manes.15G056400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKARRHDVDLLKKEKDREKKHKKEKKNREQREGKDKEKREKDRSDGKLRDKKEKKDKHRDKKERDRDKDKDKISASDEKRLPGQSEHNNGDKAPDEKKISGKSEVNSGEIFIQRGKERDFDRKYISGEKKFSGQFSSYSERFAQNGHLTEQPKESNFVQEVDRRTRDEARGSGKQLVEKITCVDARKDEQVVRLVSEATGTLADSKDKNQRGYDKKLDGQGIRDESEISGKAMSPSLPGTVQTKIDKTPIQLEKDIEKRIEGKEKSKGNEGGDRRGKKRKDKDKGKEGQGKDKKRKKDEKEKEKNEHKNRELDKLKENSKVDHTGVHNAKASHLLKENTKSGVTEGNLRKQKDLDTNGFFDAIDIKPSKMPRPASSHPLMENGKILATPQTSVPFLSDGNCAVNNLKVHAKERKINGLIETQALPISSTTQPLSIPTSKSFPTTAQADQIAKALKKPHPDTKYLTEVLTVPKMEEWCDFDNQEWLFQGRDFESKKAKVGSFGVDETPQVWSEALQMEAAEVCALPYVIPY >Manes.15G056400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4354068:4363095:-1 gene:Manes.15G056400.v8.1 transcript:Manes.15G056400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKARRHDVDLLKKEKDREKKHKKEKKNREQREGKDKEKREKDRSDGKLRDKKEKKDKHRDKKERDRDKDKDKISASDEKRLPGQSEHNNGDKAPDEKKISGKSEVNSGEIFIQRGKERDFDRKYISGEKKFSGQFSSYSERFAQNGHLTEQPKESNFVQEVDRRTRDEARGSGKQLVEKITCVDARKDEQVVRLVSEATGTLADSKDKNQRGYDKKLDGQGIRDESEISGKAMSPSLPGTVQTKIDKTPIQLEKDIEKRIEGKEKSKGNEGGDRRGKKRKDKDKGKEGQGKDKKRKKDEKEKEKNEHKNRELDKLKENSKVDHTGVHNAKASHLLKENTKSGVTEGNLRKQKDLDTNGFFDGESYRSENHLFSAHPSLNFLFSSIF >Manes.15G056400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4354068:4362985:-1 gene:Manes.15G056400.v8.1 transcript:Manes.15G056400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKARRHDVDLLKKEKDREKKHKKEKKNREQREGKDKEKREKDRSDGKLRDKKEKKDKHRDKKERDRDKDKDKISASDEKRLPGQSEHNNGDKAPDEKKISGKSEVNSGEIFIQRGKERDFDRKYISGEKKFSGQFSSYSERFAQNGHLTEQPKESNFVQEVDRRTRDEARGSGKQLVEKITCVDARKDEQVVRLVSEATGTLADSKDKNQRGYDKKLDGQGIRDESEISGKAMSPSLPGTVQTKIDKTPIQLEKDIEKRIEGKEKSKGNEGGDRRGKKRKDKDKGKEGQGKDKKRKKDEKEKEKNEHKNRELDKLKENSKVDHTGVHNAKASHLLKENTKSGVTEGNLRKQKDLDTNGFFDAIDIKPSKMPRPASSHPLMENGKILATPQTSVPFLSDGNCAVNNLKVHAKERKINGLIETQALPISSTTQPLSIPTSKSFPTTAQADQIAKALKKPHPDTKYLTEVLTVPKMEEWCDFDNQEWLFQGRDFESKKAKVGSFGVDETPQVWSEALQMEAAEVCALPYVIPY >Manes.15G056400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4354068:4363094:-1 gene:Manes.15G056400.v8.1 transcript:Manes.15G056400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKARRHDVDLLKKEKDREKKHKKEKKNREQREGKDKEKREKDRSDGKLRDKKEKKDKHRDKKERDRDKDKDKISASDEKRLPGQSEHNNGDKAPDEKKISGKSEVNSGEIFIQRGKERDFDRKYISGEKKFSGQFSSYSERFAQNGHLTEQPKESNFVQEVDRRTRDEARGSGKQLVEKITCVDARKDEQVVRLVSEATGTLADSKDKNQRGYDKKLDGQGIRDESEISGKAMSPSLPGTVQTKIDKTPIQLEKDIEKRIEGKEKSKGNEGGDRRGKKRKDKDKGKEGQGKDKKRKKDEKEKEKNEHKNRELDKLKENSKVDHTGVHNAKASHLLKENTKSGVTEGNLRKQKDLDTNGFFDAIDIKPSKMPRPASSHPLMENGKILATPQTSVPFLSDGNCAVNNLKVHAKERKINGLIETQALPISSTTQPLSIPTSKSFPTTAQADQIAKALKKPHPDTKYLTEVLTVPKMEEWCDFDNQEWLFQGRDFESKKAKVGSFGVDETPQVWSEALQMEAAEVCALPYVIPY >Manes.15G056400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4354068:4363004:-1 gene:Manes.15G056400.v8.1 transcript:Manes.15G056400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKARRHDVDLLKKEKDREKKHKKEKKNREQREGKDKEKREKDRSDGKLRDKKEKKDKHRDKKERDRDKDKDKISASDEKRLPGQSEHNNGDKAPDEKKISGKSEVNSGEIFIQRGKERDFDRKYISGEKKFSGQFSSYSERFAQNGHLTEQPKESNFVQEVDRRTRDEARGSGKQLVEKITCVDARKDEQVVRLVSEATGTLADSKDKNQRGYDKKLDGQGIRDESEISGKAMSPSLPGTVQTKIDKTPIQLEKDIEKRIEGKEKSKGNEGGDRRGKKRKDKDKGKEGQGKDKKRKKDEKEKEKNEHKNRELDKLKENSKVDHTGVHNAKASHLLKENTKSGVTEGNLRKQKDLDTNGFFDAIDIKPSKMPRPASSHPLMENGKILATPQTSVPFLSDGNCAVNNLKVHAKERKINGLIETQALPISSTTQPLSIPTSKSFPTTAQADQIAKALKKPHPDTKYLTEVLTVPKMEEWCDFDNQEWLFQGRDFESKKAKVGSFGVDETPQVWSEALQMEAAEVCALPYVIPY >Manes.15G056400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4354068:4363020:-1 gene:Manes.15G056400.v8.1 transcript:Manes.15G056400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKARRHDVDLLKKEKDREKKHKKEKKNREQREGKDKEKREKDRSDGKLRDKKEKKDKHRDKKERDRDKDKDKISASDEKRLPGQSEHNNGDKAPDEKKISGKSEVNSGEIFIQRGKERDFDRKYISGEKKFSGQFSSYSERFAQNGHLTEQPKESNFVQEVDRRTRDEARGSGKQLVEKITCVDARKDEQVVRLVSEATGTLADSKDKNQRGYDKKLDGQGIRDESEISGKAMSPSLPGTVQTKIDKTPIQLEKDIEKRIEGKEKSKGNEGGDRRGKKRKDKDKGKEGQGKDKKRKKDEKEKEKNEHKNRELDKLKENSKVDHTGVHNAKASHLLKENTKSGVTEGNLRKQKDLDTNGFFDAIDIKPSKMPRPASSHPLMENGKILATPQTSVPFLSDGNCAVNNLKVHAKERKINGLIETQALPISSTTQPLSIPTSKSFPTTAQADQIAKALKKPHPDTKYLTEVLTVPKMEEWCDFDNQEWLFQGRDFESKKAKVGSFGVDETPQVWSEALQMEAAEVCALPYVIPY >Manes.04G011900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1615295:1621536:-1 gene:Manes.04G011900.v8.1 transcript:Manes.04G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCVLFLVSLIHGLIATAARTQNESEAWGYVEVRPKAHMFWWLYRSPYRVEISSKPWPIILWLQGGPGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFAVTLGLSALKAIEAGKLKVKLGGVILGDTWISPEDFVLSWGPLLKDVSRLDNNGLEMANSLAQKIKQQIRDGQYVSATDSWAVLEGVISRSSNSVDFYNFLLDSGMDPVSLTAKLLQGIAMKRYIRYLSTLSSSPGGNGDINSLMNGVIKQKLKIIPENISWGGQSDEVFSNLAGDFMRARIDEVDELLAKGVNVTVYSGQLDLICATKGTEAWIEKLKWEGLPSFLKMDRSPLYCGDDTTTRGFTKSYKNFHFYWILGAGHFVPVDQPCIALNMVGAITESPAAVKKTEIDFLIKQTKP >Manes.12G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2794502:2796218:1 gene:Manes.12G032200.v8.1 transcript:Manes.12G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTAEEDQILVNYIQQYGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDTIIKLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKQNPGTPEIKTTSIAMISRVAQESKQESELVTLSNLPGPESPEGVEYRSISPQQCSSSEISSVITGDDASHNNMSSMKVEESDDFPEMDENFWSEVLSSDNSSSGSNFPAAAQFQIPFSTVGNAMEPAVQYGYDSMDFWYNLFTKAGESPELPEI >Manes.09G061500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10041374:10045672:1 gene:Manes.09G061500.v8.1 transcript:Manes.09G061500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKQKLVEEEDLSSESGDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTQKSQYVALKVQKSAQHYTEAAMDEITILQQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGMPIHKVKEICFHILVGLDYLHRQLSIIHTDLKPENILLLSMIDPSKDPRKSGAPLILQNSKDKTVVESAIAKLNGDLTRNQKKKIRRKAKRAAQGCAEKEVSADADADPETSAKEESSANAKTNVGSAEERPTTPNHVNRVSDADGTENNGLENQGNKRGSRSTRKKLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDHFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSEKEAEDMNDFLVPILDFVPEKRPTAAQCLLHPWISSGPRLLEPSMPSQKNEALEGLNNEKKRREKDEREAMEMGIGNIAINADSKAVKDSPSSSKLSKTTITSSAR >Manes.12G156300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36462263:36468297:1 gene:Manes.12G156300.v8.1 transcript:Manes.12G156300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSQPEFDYLFKLLMIGDSGVGKSSLLLSFTSDTFEDLSPTIGVDFKVKYVNIAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKDSERVVTKKEGINFAREYGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAEGSKGLKKNIFKEKPPQSDASTSGCC >Manes.12G156300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36462091:36468297:1 gene:Manes.12G156300.v8.1 transcript:Manes.12G156300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSQPEFDYLFKLLMIGDSGVGKSSLLLSFTSDTFEDLSPTIGVDFKVKYVNIAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKDSERVVTKKEGINFAREYGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAEGSKGLKKNIFKEKPPQSDASTSGCC >Manes.12G156300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36461855:36468364:1 gene:Manes.12G156300.v8.1 transcript:Manes.12G156300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSQPEFDYLFKLLMIGDSGVGKSSLLLSFTSDTFEDLSPTIGVDFKVKYVNIAGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKDSERVVTKKEGINFAREYGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAEGSKGLKKNIFKEKPPQSDASTSGCC >Manes.12G062302.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6161945:6163746:1 gene:Manes.12G062302.v8.1 transcript:Manes.12G062302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFLTMLFIFLSFLFFSNGHLAFSAHCTTISSSKTFEKCMTLPTQQASMAWTFHAHNSTLDLVFSGTFISPSGWVGWGINPTSAEMTGTRALIAFPDPNSGQLVVLPYILDPTVKLQKSPLLSRPLDIHLLSSSATLYGGKLATIHNGAAVQIYATLKVAPNKTKIHFVWNRGLYVQGYSPTIHPTTSNDLSSIATIDVLSGFTAAHKNDIKTWKIAHGILNAVSWGVLLPTGVVTARYLRHIQALGPAWFYAHAGIQLSGFFLGTVGFAIGIKLGELSPGVVYSLHRKLGFAVFCLGALQTLALLFRPKTTNKYRKYWKSYHHFVGYACVVMGVVNVFQGFEVIGESRSYAKLGYCLCLSTLVGTCIALEVNSWVIFCRKSKEEKLRREGLICGSDKGSGTHS >Manes.08G069790.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12635915:12637019:-1 gene:Manes.08G069790.v8.1 transcript:Manes.08G069790.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIAPWKTWSEIPLKTKDELFELFQSRYIWDESEEDMVRFAWEKVGKERLRDILNRVRSELLRKHKKTDRKKSEAGKANRNVEKDGTITKHSGGSIKLEVHENILMVRNYSCILCWSQGVFIYGKSQRVDGAYLSVIAENVNDNCESQSAFDLNKWIEISGSSKGRVYGFGYSDIAKSGTPTTSFSCTSAHPRGPSQTIFSLEEVEQILEQNRIKMKEDMEQMQEQI >Manes.16G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28595677:28598999:-1 gene:Manes.16G080700.v8.1 transcript:Manes.16G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSHRHSPSLPTSSSKTIKPNKPVFTPPSSRYPLHLLVFSLVSLLIGLAAIIFAISAVRRSRPLPVFRCGRSEDTFREFYSSSGSNKLGDNNEALNNRPKLLGFVGIQTGFASVNRRDALRSTWFPSDPDGLLRLEQATGLAFRFVIGRSKDTKKMARLEKEIEKYRDFMLIDVEEEYLRLPYKTLAFFKAAYKLFEAEYYVKADDDIYLRPDRLATLLAKERTHSMTYIGCMKKGPVITDPKMKWYENSGHLIGNEYFLHAYGPIYVLSAEVVASLAAARNNSLRMFNNEDVTIGSWMLAMNVHHEDNRAICDPRCTPTSIAVWDIPKCSGLCNPAARMKELHKIDMCSKSPTLQADDR >Manes.04G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27448741:27460812:1 gene:Manes.04G075400.v8.1 transcript:Manes.04G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNARLLILVILIQLHQTCYSTSNNACPPSSCGHIRHISFPFRLKTDPAICGQEEYEISCENNVPLIYFSSAKYYVKEINYNNFTIRLADSDVQNDNYCSLPPYPSTTEYSFPSLFYATYLRQTYNYDTLYQDLVFITCPKPVVSEDYVDASPCNITFNSSSPNPISEIKDYSYVKIGSDGAMDLEDSCRIDRIYITSLLPKDVKNVSYADVHRGLIFGFELSWFWGCCRNYTENGCKLDASTIINYCNPGSGIIQFPQLRSILLKLEKTALGRILKRFNWDPSLYFDLDLQGYILALLRYALILFVLYRAPFFLGAVLSAIIFCSYRWRRRHYSEYGTVEEFLQTHNNLIPIRYSYSNIKKITNGFKDKLGEGGYGSVYKGKLRSGYFAAVKMLGKSKANGQEFINEVATIGRIHHVNVVKLIGFCAERSKRALIYEFMPNGSLDKHIFAREVTTTISIKKMYEIAIGVARGIEYLHRGCDMQILHFDIKPHNILLDENFIPKVSDFGLAKLYPTDNSIVSLTAARGTMGYMAPELIYKSIGRISYKADVYSFGKLLMEMAGRRKNFHVSEEHPSQIYYPSWVYDQLNEAEGIELGGDTEEEREITKKMIIIALWCIQLKPIDRPSMHKVVEMLESEIECLEMPPKPNFYPQEMPNENPEIQANRELSNVPKSYLDSITWMVNGR >Manes.13G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5106943:5109633:1 gene:Manes.13G043500.v8.1 transcript:Manes.13G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEEEQVVAGEEREIWLEREQDDLLGVNDSSIFYGDFPLLPDFPCMSSSSSSSSTQAPVKAMASSSSSSSVSSSSSAASWAVLKSDAEVVDKKNYDHQRYQHNHHHGQYDPVEAPTTALSSTASMEIPQPSNQAIEDVGCMDEMETFGYMDLFENNDLFDPSSIFQPDERFLDEFQQEQNTQPEQKPQQGNEELIMETKIDETQQQGNASEDLAMVFLEWLKTNKETVSAEDLRKVKIKKATIECAAKRLGGGKEAMKQLLKLILEWVQTNHLQKRRMKESSPNNISYQGQESLQNPNPSASSNPNLNCNSIPPDQTPCFTQSPWVAPPPYVSETGTVIPGYHPMVGYMGDPFGASGASSMAGHSYPPIPPSDYHMLDSPQSWSASHFVLASPYTSFADNNLQPVQGHPPVHSGYGNQYPYQYLPAQPGDRLMRLGSSATKEARKKRMARQRRFLSHHRNHNQQNVQANQHHQNQSSDHHARLGNDNVAPTTQPNPGNWVYWPASGAVSTTPVMSMDVQPVHTSDRPAMQSHQSHHQRQVASDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAEIHLPELEARDGISIAMEDIGTSRIWNMRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCGKYLIRGVKVRQPGSKSENKKSGKSQRNLHASSASAVAAVNASSSTPMNLAQTVK >Manes.12G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34685415:34687396:1 gene:Manes.12G140800.v8.1 transcript:Manes.12G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSTTVPFIGLTLILLTWLWFRKNKDKKLPPGPTGFPIFGSLHLLGKSPHRALHQLAKKYGPIMHLKLGLVPAIVVSSPEAAELFLKIHDLAFASRPPHQAAKYISYEQKSLSFAPYGSYWRNVRKMCTLELLSSLKINSFKSMRKQELQLLIDYVKEASRQRVCVDLSAKVASLSADMSCRMVFGKKYMDKEFDERGFKAVIQEGMQLTAAPNFGDYIPQIAALDLQGLTKRMKAVSKVFDDFFERIIDEHIQSKDENRTKDFVDVMLGFVGSEVSEYQIGRDNIKAIILDMLAASMDTSAAVIEWALSELIKHPGAMKKVQKELEEKVGMERMVEESDLESLEYLEMVIKETFRLHPVAPLLLPHEATEDAIIDGFLIPKKSHIIINAWAIGRDPKAWTDAEKFWPERFMGSNLDIRGRDFQLLPFGSGRRGCPGIQLGMTVVRLVVAQLVHCFNWELPNEMVPSDLDMTEEFSLVTPRANPLHAIPTYRLHI >Manes.08G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2615552:2617948:1 gene:Manes.08G027200.v8.1 transcript:Manes.08G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CWINV2 MVAPKLLAVLGFLLALCNNGCVLGSHKIYPQYQNLKVHKVNQVHRTGYHFQPPMNWINDPNGPMYYKGLYHLFYQYNPKGVVWGNIVWAHSVSKDLINWEALDHAIYPSKWFDINGCWSGSATILPGNKPMILYTGIDPKQRQVQNYAVPKNLTDPYLREWVKPDDNPIVDPDNTVNASAFRDPTTAWWADGHWRILVGSKRKHRGIAYLYRSRDFKQWVKAKHPLHSSPKTGMWECPDFFPVSLSGQNGLETSVVGQNVKHVLKVSLDLTRYEYYTVGTYDKKKDRYTPDNTSVDGWGGLRFDYGNFYASKTFFDPSKNRRILWGWANESDSVKDDMQKGWAGIQAIPRRISLDASRKQVIQWPVEELETLRGQKVQLNNQKLQQGEHFEVKGITAVQADVDVTFSFPSLDKAEPFDPKWAELDALDVCAQKGSKAQGGLGPFGLLTLASENLEEFTPVFFRIFKAPTKHVVLLCSDATSSSLGNGLYKPSFAGFVDVDLTKKQLSLRSLIDHSVVETFGAGGKIVILSRVYPKLGVFDKAHLFVFNNGSETITVENLNAWSMKQPLMNAPIRK >Manes.01G014700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3923474:3928398:1 gene:Manes.01G014700.v8.1 transcript:Manes.01G014700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRTPGTPASKIDRTPASTPGGPRSKEEKIVVTVRLRPLNKKEQLAKDQVAWECVDDRTIVFKPPTQERVAQQTPFTFDKVFGPTCLTETVYEDGAKNVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYKHIMNTPERDFTIKISGLEIYNENVRDLLNSDSGRNLKLLDDPEKGTVVEKLVEETATNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIESTLRENLDCVRSFVAGLNFVDLAGSERASQTHTDGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHVEQSRNTLFFATRAKEVTNNARVNMVVSDKQLVKHLQKEVARLEAELRTPDPSKEKDMIIQQMEMEMEELRRQRDLAQSQVDELRKKIQEEPQAPSTLEAPRPSVKKCLSYSDALLPKWDSKELSRCDRTRKTMLRQSMRQSSTAPFTLVHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRSIQPVCKEVEIGCVVAPNRSVSANLKEEITRLHSQGSTIANLEEQLENVQKSIDKLVMSLPSNNPQSNSEATSKARNQPKKKKILPLTSSNGANRQNFIRSPCSPLSTSKQILENDIENKAPGNEDIIMYSETQTESEKETPTKSEEAGDVSSREGTPVYQRSNSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEDEDNLNEPEPQVSWQVTFREQRQQIIELWDLCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLTWLQQHLAEMGNASPARIGDEPTISLSSSIRALKREREFLAKRLTSRLTVEERDTLYMKWDVPLEGKQRKLQFVNKLWTNPHDARHVEESAEIVAKLVGFCEGGNMSKEMFELNLALPTDKRPWIMGWNPISNLLHL >Manes.01G014700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3922141:3928615:1 gene:Manes.01G014700.v8.1 transcript:Manes.01G014700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRTPGTPASKIDRTPASTPGGPRSKEEKIVVTVRLRPLNKKEQLAKDQVAWECVDDRTIVFKPPTQERVAQQTPFTFDKVFGPTCLTETVYEDGAKNVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYKHIMNTPERDFTIKISGLEIYNENVRDLLNSDSGRNLKLLDDPEKGTVVEKLVEETATNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIESTLRENLDCVRSFVAGLNFVDLAGSERASQTHTDGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHVEQSRNTLFFATRAKEVTNNARVNMVVSDKQLVKHLQKEVARLEAELRTPDPSKEKDMIIQQMEMEMEELRRQRDLAQSQVDELRKKIQEEPQAPSTLEAPRPSVKKCLSYSDALLPKWDSKELSRCDRTRKTMLRQSMRQSSTAPFTLVHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRSIQPVCKEVEIGCVVAPNRSVSANLKEEITRLHSQGSTIANLEEQLENVQKSIDKLVMSLPSNNPQSNSEATSKARNQPKKKKILPLTSSNGANRQNFIRSPCSPLSTSKQILENDIENKAPGNEDIIMYSETQTESEKETPTKSEEAGDVSSREGTPVYQRSNSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEDEDNLNEPEPQVSWQVTFREQRQQIIELWDLCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLTWLQQHLAEMGNASPARIGDEPTISLSSSIRALKREREFLAKRLTSRLTVEERDTLYMKWDVPLEGKQRKLQFVNKLWTNPHDARHVEESAEIVAKLVGFCEGGNMSKEMFELNLALPTDKRPWIMGWNPISNLLHL >Manes.01G014700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3922150:3928389:1 gene:Manes.01G014700.v8.1 transcript:Manes.01G014700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRTPGTPASKIDRTPASTPGGPRSKEEKIVVTVRLRPLNKKEQLAKDQVAWECVDDRTIVFKPPTQERVAQQTPFTFDKVFGPTCLTETVYEDGAKNVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYKHIMNTPERDFTIKISGLEIYNENVRDLLNSDSGRNLKLLDDPEKGTVVEKLVEETATNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIESTLRENLDCVRSFVAGLNFVDLAGSERASQTHTDGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHVEQSRNTLFFATRAKEVTNNARVNMVVSDKQLVKHLQKEVARLEAELRTPDPSKEKDMIIQQMEMEMEELRRQRDLAQSQVDELRKKIQEEPQAPSTLEAPRPSVKKCLSYSDALLPKWDSKELSRCDRTRKTMLRQSMRQSSTAPFTLVHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRSIQPVCKEVEIGCVVAPNRSVSANLKEEITRLHSQGSTIANLEEQLENVQKSIDKLVMSLPSNNPQSNSEATSKARNQPKKKKILPLTSSNGANRQNFIRSPCSPLSTSKQILENDIENKAPGNEDIIMYSETQTESEKETPTKSEEAGDVSSREGTPVYQRSNSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEDEDNLNEPEPQVSWQVTFREQRQQIIELWDLCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLTWLQQHLAEMGNASPARIGDEPTISLSSSIRALKREREFLAKRLTSRLTVEERDTLYMKWDVPLEGKQRKLQFVNKLWTNPHDARHVEESAEIVAKLVGFCEGGNMSKEMFELNLALPTDKRPWIMGWNPISNLLHL >Manes.02G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8665417:8667778:1 gene:Manes.02G112400.v8.1 transcript:Manes.02G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQGNTPVSVSMTGRTSSWPFYTIIFTLLAPVLASILVFHFDSFDPAPFPNHELAHPLPEPSLKNGRLLQGSEFLGVGQLKGPEDIAYDSKSGVIYTSCVDGWIKRVTVNDSVTDTVVENWVHTGGRPLGVVLGHNNDIIVADAYKGLLKISGDGEVEVLTEEAEGVKLKFTDGVDIADDGTIYFTDASYKYEFHEFMWDVLEGKPHGRLLSYDPATKLTKVLLHNLYFANGVAISPDQQSLIYCETPMRRCRRYYIRGNKKGSIEKFVDMPGIPDNIRYDGDGHFWIASATEITPFWDVAFRYPVIRKVAGIYLKYIGDIETQKNGGVFMVDLEGKLISQYHDPDLTLITGGVKIGSHLYCGSIKYSHIIRLNLLKYPARPTK >Manes.13G009900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1385917:1389894:1 gene:Manes.13G009900.v8.1 transcript:Manes.13G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNQQQDQLSRIFFELSSLVLNLLRSPPLPIPLSDQSPAIPSDSSRRRSSAPPQISPAGFASLMLGISMALMLCGSVTFFIGFMLMPWILGLVMVFYVAGIVSTISMLGRSLFCYALAPSSPRKEIPGWKLL >Manes.05G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9923809:9926650:-1 gene:Manes.05G105300.v8.1 transcript:Manes.05G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSFIRRRLSPSKYPDQGFTQLSRLQLKRFGSSTTTLTKILATCVFALPVLFVLSVLIRHPPSDRLLGFAEARVLGNGAQPNVTVFTSAGLGLGSESVLSQPTYKNNDRLLGGLLVAGFDEASCTSRYQSSLYRKTPVHKPSLYLISRLRNYEDLHKRCGPGTESYNTALEQLKSGQTIGSADCKYLVWISYSGLGNRILALTSSFLYALLTNRVLLVDRGKDMADLFCEPFPEKSWLLPLDFPLIDQFETFDHKSSHCYGNMLKNDVINASAELVPPYMYLHLVHDYDDHDKLFFCDEDQSLLAKVPWLIIKTDNYFVPSLFLITSFEQELSKLFPEKGTVFHHLGRYLFHPSNHVWGLITRYYHTYLAKADESIGIQIRVFDSRPGPFKHVMDQILACTLKEKLLPEVDMRDSVVTVSENPKLKAVLVTSLNSGYSENLKNLYWEHPTLTGEVVGVYQPSHEGYQQTEKQMHNRKAWAEMYLLSLTDLLVTSSWSTFGYVAQGLGGLKPWILYKPENEMAPDPPCRRAMSMEPCFHAPPFYDCKAKKGIDTGKLVPHVRHCEDMSWGLKLVDGHDELR >Manes.01G121600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31745890:31749368:-1 gene:Manes.01G121600.v8.1 transcript:Manes.01G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAGMFVIRQTVGSILCCKCGVPMQPNAANMCVKCLRSEVDITEGLQKHVIIRHCPECDSYLHHSNTWIKAQLESKELLTYCLGKLHFRGVRMVHAEFVWTEPHSKRIKIRVRVQKEVLHGAILEQTYVVEYVQQESMCESCSRIQANPDQWVASVQVRQHVSHRRTFFYLEQLILKHDAAVRAIKIKQMDQGIDFFFANRSHGVKFVEFVGKVAPVRSRHDKQLVSHDPKSNNYNYKYTFSVEISPICREDLICLPPKVAVSLGNLGPLVICTKVTNSIALLDPLTLRQCYLDADQYWRASFKSLLTSRQLVEYIVLDVEIISPDVNIGGSRYALAEAQVARLSDFGKNDTIFFIKTHLGHILKPGDQALGYDLYGTNSNDIELDKYKGLVLPEAILIKKSYEEKRQRKHGKPRSWKLKSLSMEVDDSRGRNDQEKMNKEYEEFLRDLEENPELRFNVSLYRNKEYQPSEMASMTDGEDVPSVPLEELLADLDISDMEDGDDYNMSE >Manes.03G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11677998:11683165:1 gene:Manes.03G072100.v8.1 transcript:Manes.03G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSATMIGALLGLGTQMYSNALRKLPYMRHPWEHVLGMGLGAVFVNQLVKWEAQLEQDLDKMLEKAKAANERRYFDEDDD >Manes.05G090500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8247162:8248952:1 gene:Manes.05G090500.v8.1 transcript:Manes.05G090500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDAAALVIQHPCGKIERLYWPISASEVMRMNPGHYVSLIIPLPVSGDQNKDNSHEKKTSTVQFTRVKLLRPTDTLALGHAYRLVTTQEVMKVLRAKKYAKMRKQQPEPVEKPQTAAQKKSSDCEAGKKPADADKDKEKDHQATKNERHRPRTPSITSAALKSKSWRPTLQSISEAAS >Manes.05G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8247162:8248952:1 gene:Manes.05G090500.v8.1 transcript:Manes.05G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDAAALVIQHPCGKIERLYWPISASEVMRMNPGHYVSLIIPLPVSGDQNKDNSHEKKTSTVQFTRVKLLRPTDTLALGHAYRLVTTQEVMKVLRAKKYAKMRKQQPEPVEKPQTAAQKKSSDCEAGKKPADADKDKEKDHQATKNERHRPRTPSITSAALKSKSWRPTLQSISEAAS >Manes.S024752.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2125158:2130540:1 gene:Manes.S024752.v8.1 transcript:Manes.S024752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRMQLRGPLRAPKGAQSEKMDIKTFEFRRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.S027616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:485017:485175:1 gene:Manes.S027616.v8.1 transcript:Manes.S027616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.02G146800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11305742:11306091:1 gene:Manes.02G146800.v8.1 transcript:Manes.02G146800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTNFRALKHENGIAGCATIIVRVIACFHPLQDCQAEYFRHLLKPVT >Manes.09G167600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36000069:36003722:1 gene:Manes.09G167600.v8.1 transcript:Manes.09G167600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITEEPERQPRQAKKEPTLPPKNPKPKPEPHKETRNNPFIFWFYFTLSVSLITIVTVILSSTSSSSDPRSFFLSLSNPLRQHYYNGRTIKVQLAQNLPPTEIFAVESRSKGYVTEKVLIIHGLGLSSFSFRKVIDFLGSKEIHGVVFDLPGNGFSDKSVEVSEERGNGILERLSDAYGLIKEKGLFWVFDNMVETGEVPYQEIMSHYNKLKKVIKPIALGSEEMSRILGQVIETMGLAPVHLVLHDSSLGMVANWILQNTNLVRSITFVDIGSRPALPLWVLKMPVIREVVLGSNFAYERLIHLCCSKGIGGLDLEAQRAILKGRDGRRAVVDTGNKLNTSFSIAEWGALDGIKEMPIQVIWSESWSNEWREEGRRVAEALPHARFVSHSGGRWPQEDTADELAENIVEFISSLPKSIRKAEEEPIPEHIQKLLDEAEDGDHHHHHGHGHGHGHGGHDHHHSHAEAAGYMDAYGLGGHGWGT >Manes.11G076100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11135337:11145466:-1 gene:Manes.11G076100.v8.1 transcript:Manes.11G076100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASTAGCSSWEKYKTKKKKLESPKNELNIKSHISLEWDGKRKEVVAKREQIGLSKTDLRAFIDSAPQHHKILADVTFIPQEIFVVENLNEFLSYEVWQTHLSEKEREYLMQFLPKGSDAEDVVQALLAGDNFHFGNPFLKWGASLCSGNLHPDAVIHQEKCLRADKKAYYSEIQKYHNDMIKYLQKLKETWESSKDPEKSNRDPDRQISSNANESRFHDLEHDAVATSESCSLVAEEKACSSDNQNSSIMKGEELERRIHERSLIRDKSKKPLVASDDAKSRKGERLHKHNIYHTDGVKYMSYLKISKKQHQLVKSMKQSGKSIQSKSLNRVLGNLDMLHVQPYEEFVKEEQMKLHEHWLKLANKDLPTAYENWRQRQSQRYEMAKSLGQDIKGKLEYSMEEQERVNHEIFHQDRNDKEAKRHESRLEDEEELNHKTVFEDDSDQEAREDESDLEDEEELNDEAVLNCQDDEGAREHEAVVENDEESPDRVPLEDQNGIRNQESYVEDNEHSCSDSPQYQSPQQICSLNSGHNLSPVDIDSDRNHAAFKSDDASPYASEYSGNANTADASISQGVPISSSGDGWPSVSMSRSFYDSTVNHGYTSASELLLPHSVNEAQRPQLIDLKSDLHEDDTSKDLLHRRSDGSFSSYPNHDPSGLLQSLFKGQEMLPYHREQKQMGLDFQSPNNVLIEDVHLAGHIQRKLHSSLPLEQGLKRRGDSYMPQSMSEEMYSEGSAFLIPRQGHVPPVTLQDWHVNPVRTAARLQSNLNNNDLLLTQNWYSGEHQVRGGWNSRDGASVAGQSIGNNADQSLFSVLSQCNQLHSTNHFDSMGPTEQFMLPRNYELTSGIAPRISNSLPQTAHPLDYLSGREAPNSLRPDEMGWMTLPQSSGGNDMVGKTYLRSWHQ >Manes.11G076100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11135235:11145470:-1 gene:Manes.11G076100.v8.1 transcript:Manes.11G076100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASTAGCSSWEKYKTKKKKLESPKNELNIKSHISLEWDGKRKEVVAKREQIGLSKTDLRAFIDSAPQHHKILADVTFIPQEIFVVENLNEFLSYEVWQTHLSEKEREYLMQFLPKGSDAEDVVQALLAGDNFHFGNPFLKWGASLCSGNLHPDAVIHQEKCLRADKKAYYSEIQKYHNDMIKYLQKLKETWESSKDPEKSNRDPDRQISSNANESRFHDLEHDAVATSESCSLVAEEKACSSDNQNSSIMKGEELERRIHERSLIRDKSKKPLVASDDAKSRKGERLHKHNIYHTDGVKYMSYLKISKKQHQLVKSMKQSGKSIQSKSLNRVLGNLDMLHVQPYEEFVKEEQMKLHEHWLKLANKDLPTAYENWRQRQSQRYEMAKSLGQDIKGKLEYSMEEQERVNHEIFHQDRNDKEAKRHESRLEDEEELNHKTVFEDDSDQEAREDESDLEDEEELNDEAVLNCQDDEGAREHEAVVENDEESPDRVPLEDQNGIRNQESYVEDNEHSCSDSPQYQSPQQICSLNSGHNLSPVDIDSDRNHAAFKSDDASPYASEYSGNANTADASISQGVPISSSGDGWPSVSMSRSFYDSTVNHGYTSASELLLPHSVNEAQRPQLIDLKSDLHEDDTSKDLLHRRSDGSFSSYPNHDPSGLLQSLFKGQEMLPYHREQKQMGLDFQSPNNVLIEDVHLAGHIQRKLHSSLPLEQGLKRRGDSYMPQSMSEEMYSEGSAFLIPRQGHVPPVTLQDWHVNPVRTAARLQSNLNNNDLLLTQNWYSGEHQVRGGWNSRDGASVAGQSIGNNADQSLFSVLSQCNQLHSTNHFDSMGPTEQFMLPRNYELTSGIAPRISNSLPQTAHPLDYLSGREAPNSLRPDEMGWMTLPQSSGGNDMVGKTYLRSWHQ >Manes.11G076100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11135235:11145470:-1 gene:Manes.11G076100.v8.1 transcript:Manes.11G076100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASTAGCSSWEKYKTKKKKLESPKNELNIKSHISLEWDGKRKEVVAKREQIGLSKTDLRAFIDSAPQHHKILADVTFIPQEIFVVENLNEFLSYEVWQTHLSEKEREYLMQFLPKGSDAEDVVQALLAGDNFHFGNPFLKWGASLCSGNLHPDAVIHQEKCLRADKKAYYSEIQKYHNDMIKYLQKLKETWESSKDPENRSNRDPDRQISSNANESRFHDLEHDAVATSESCSLVAEEKACSSDNQNSSIMKGEELERRIHERSLIRDKSKKPLVASDDAKSRKGERLHKHNIYHTDGVKYMSYLKISKKQHQLVKSMKQSGKSIQSKSLNRVLGNLDMLHVQPYEEFVKEEQMKLHEHWLKLANKDLPTAYENWRQRQSQRYEMAKSLGQDIKGKLEYSMEEQERVNHEIFHQDRNDKEAKRHESRLEDEEELNHKTVFEDDSDQEAREDESDLEDEEELNDEAVLNCQDDEGAREHEAVVENDEESPDRVPLEDQNGIRNQESYVEDNEHSCSDSPQYQSPQQICSLNSGHNLSPVDIDSDRNHAAFKSDDASPYASEYSGNANTADASISQGVPISSSGDGWPSVSMSRSFYDSTVNHGYTSASELLLPHSVNEAQRPQLIDLKSDLHEDDTSKDLLHRRSDGSFSSYPNHDPSGLLQSLFKGQEMLPYHREQKQMGLDFQSPNNVLIEDVHLAGHIQRKLHSSLPLEQGLKRRGDSYMPQSMSEEMYSEGSAFLIPRQGHVPPVTLQDWHVNPVRTAARLQSNLNNNDLLLTQNWYSGEHQVRGGWNSRDGASVAGQSIGNNADQSLFSVLSQCNQLHSTNHFDSMGPTEQFMLPRNYELTSGIAPRISNSLPQTAHPLDYLSGREAPNSLRPDEMGWMTLPQSSGGNDMVGKTYLRSWHQ >Manes.11G076100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11135337:11145466:-1 gene:Manes.11G076100.v8.1 transcript:Manes.11G076100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASTAGCSSWEKYKTKKKKLESPKNELNIKSHISLEWDGKRKEVVAKREQIGLSKTDLRAFIDSAPQHHKILADVTFIPQEIFVVENLNEFLSYEVWQTHLSEKEREYLMQFLPKGSDAEDVVQALLAGDNFHFGNPFLKWGASLCSGNLHPDAVIHQEKCLRADKKAYYSEIQKYHNDMIKYLQKLKETWESSKDPENRSNRDPDRQISSNANESRFHDLEHDAVATSESCSLVAEEKACSSDNQNSSIMKGEELERRIHERSLIRDKSKKPLVASDDAKSRKGERLHKHNIYHTDGVKYMSYLKISKKQHQLVKSMKQSGKSIQSKSLNRVLGNLDMLHVQPYEEFVKEEQMKLHEHWLKLANKDLPTAYENWRQRQSQRYEMAKSLGQDIKGKLEYSMEEQERVNHEIFHQDRNDKEAKRHESRLEDEEELNHKTVFEDDSDQEAREDESDLEDEEELNDEAVLNCQDDEGAREHEAVVENDEESPDRVPLEDQNGIRNQESYVEDNEHSCSDSPQYQSPQQICSLNSGHNLSPVDIDSDRNHAAFKSDDASPYASEYSGNANTADASISQGVPISSSGDGWPSVSMSRSFYDSTVNHGYTSASELLLPHSVNEAQRPQLIDLKSDLHEDDTSKDLLHRRSDGSFSSYPNHDPSGLLQSLFKGQEMLPYHREQKQMGLDFQSPNNVLIEDVHLAGHIQRKLHSSLPLEQGLKRRGDSYMPQSMSEEMYSEGSAFLIPRQGHVPPVTLQDWHVNPVRTAARLQSNLNNNDLLLTQNWYSGEHQVRGGWNSRDGASVAGQSIGNNADQSLFSVLSQCNQLHSTNHFDSMGPTEQFMLPRNYELTSGIAPRISNSLPQTAHPLDYLSGREAPNSLRPDEMGWMTLPQSSGGNDMVGKTYLRSWHQ >Manes.13G136900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34489337:34494393:1 gene:Manes.13G136900.v8.1 transcript:Manes.13G136900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEESTPAKPSKSAPSTQEIPTTPSYPDWSNSMQAYYGAGATPPPFFASTVPSPTPHPYIWGSQHPLIPPYGTPVPYPALYPAGGVYAHPNMTTTPNSAQTNTELEGKAADGKDRSSAKKSKGTSAGKAGESVKATSGSGNDGASQSAESGSDGSSDASDENQQEAAANKKGSFDQMLADANAQNNTAGASVPGKPVVSMPATNLNIGMDLWNASAAAAPGAPKMRANASGASSAIVPAIMPEQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVENLTNDNRNLRDELQRISEECEKLKSENDSIKEELTRLYGPDAVANLEQSNHSSVVQSRGDEGNS >Manes.13G136900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34489225:34494898:1 gene:Manes.13G136900.v8.1 transcript:Manes.13G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEESTPAKPSKSAPSTQEIPTTPSYPDWSNSMQAYYGAGATPPPFFASTVPSPTPHPYIWGSQHPLIPPYGTPVPYPALYPAGGVYAHPNMTTTPNSAQTNTELEGKAADGKDRSSAKKSKGTSAGKAGESVKATSGSGNDGASQSAESGSDGSSDASDENQQEAAANKKGSFDQMLADANAQNNTAGASVPGKPVVSMPATNLNIGMDLWNASAAAAPGAPKMRANASGASSAIVPAIMPEQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVENLTNDNRNLRDELQRISEECEKLKSENDSIKEELTRLYGPDAVANLEQSNHSSVVQSRGDEGNS >Manes.12G052800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4940468:4941191:1 gene:Manes.12G052800.v8.1 transcript:Manes.12G052800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRGSVAVSMFSNSTVLVGWCRPRRRLQRRRVSIIRLGNKRRGFCLGSRSVVQWRVMIAPLRMLKKIIMKIVLNGQFLETSCWSLPLLRPQIFPLC >Manes.09G076548.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13539058:13545245:1 gene:Manes.09G076548.v8.1 transcript:Manes.09G076548.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAAVSPHLNRLLQPASFPYVNNAFRGFSAADTSLRDKMMKQMAAGSCAKILKEPTSKYCLVKLPLGVEKLIDSQCRATIGRVSNPGHNTRTLRKVGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.15G091800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7147014:7151416:1 gene:Manes.15G091800.v8.1 transcript:Manes.15G091800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPIAAVGDGDSRETHRWIEPSKNKKKFYSQKLQKKTLNSSYIPRPQQSFQAPTCDDNDDNSSRPQQKRLDTVASNDSSSHSRSQPGPHSSRSGTGNSLPGYVQFDDKVRISLYSGSKSVIRELKRKLVSELDHVRSLKQKLEAKEIQYDDNGMMGSGGYTNGVGTLARVNSEVSYVGPTNPRPLQGLAVSVDDNSNNFDYGSVGENVDKEKKTKKTPKGNQNHKNPDSVIRREKILNSESKKKLKPDNGGKKGSGAEVMKLASGVDKQSREMFKKFGDLLGKLMKHQYGWVFNEPVDVKKLMLHDYYKIIKRPMDLGTVKSRLKKNWYKSPKEFAEDVRLTFNNAMTYNEKGQDVHIMADVMLNLFEEKWAALKGEFNFNGRIGMGYDESMPTTNSKRAPAPPALAPTSAPPQKMPLETRTLERSESTTKPMASNLKTADIPAHEGRMPIPKKPKAKNVQKREMTFEEKQKLSTDLLSLPSEKLESVVQIIKKRNPGLCQQEDEIEVDIDSVDTETLWELDAVIADYKESLDQNKGKSEPASQPREEAGHNFHETNRTSTFAEAPKQSEAVEAIVTTSPADQVEKQGDNGSSSSSSDSGSSSSGSDGDSSSGYGSDVGQ >Manes.15G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7147098:7151416:1 gene:Manes.15G091800.v8.1 transcript:Manes.15G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPIAAVGDGDSRETHRWIEPSKNKKKFYSQKLQKKTLNSSYIPRPQQSFQAPTCDDNDDNSSRPQQKRLDTVASNDSSSHSRSQPGPHSSRSGTGNSLPGYVQFDDKVRISLYSGSKSVIRELKRKLVSELDHVRSLKQKLEAKEIQYDDNGMMGSGGYTNGVGTLARVNSEVSYVGPTNPRPLQGLAVSVDDNSNNFDYGSVGENVDKEKKTKKTPKGNQNHKNPDSVIRREKILNSESKKKLKPDNGGKKGSGAEVMKLASGVDKQSREMFKKFGDLLGKLMKHQYGWVFNEPVDVKKLMLHDYYKIIKRPMDLGTVKSRLKKNWYKSPKEFAEDVRLTFNNAMTYNEKGQDVHIMADVMLNLFEEKWAALKGEFNFNGRIGMGYDESMPTTNSKRAPAPPALAPTSAPPQKMPLETRTLERSESTTKPMASNLKTADIPAHEGRMPIPKKPKAKNVQKREMTFEEKQKLSTDLLSLPSEKLESVVQIIKKRNPGLCQQEDEIEVDIDSVDTETLWELDAVIADYKESLDQNKGKSEPASQPREEAGHNFHETNRTSTFAEAPKQSEAVEAIVTTSPADQVEKQGDNGSSSSSSDSGSSSSGSDGDSSSGYGSDVGQ >Manes.04G061801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:19956957:19957328:-1 gene:Manes.04G061801.v8.1 transcript:Manes.04G061801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIGELKFFIRLQIKQAKDDIFINQAQHVKELIKRFEMENSKPSRTPMSTNTKLDKDEKGKSVDEKLYRNMIGSLLYLTTSRPDIILSLCLCVHFQLYPKESYLHAVKRILRYLNGILHLGL >Manes.03G005100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:440949:453948:1 gene:Manes.03G005100.v8.1 transcript:Manes.03G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKKEKLVRFNETHHLEKPLPVYKVAGPLLKSEGGISDSNTGHKIPKFGQFKVFPENHEPWRKRILDPGSDVFLQWNRIFLFSCLVALFVDPLFFYLPSVINNGVTSCMDTDLKLGITVTCFRTFADIFYVLNIVVKFRTAYVAPSSRVFGRGELVMDPKKIASRYLKSDFFIDLIAALPLPQIVIWFIIPASRSSQSDHTNNALVLIVLLQYVPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSVCRHELNPIKCKLSFLDCGTLDKDERKLWQNSTQVFGSCDPDNNSVFDYGIFANAVTQNVVSTEFLEKYFYCLWWGLQNLSSYGQSLNTSTFIGETSFAILIAILGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQNLRERVRRFVQYKWLATRGVDEESILRALPTDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLRPGDFCGEELLTWALLPKSTLNLPSSTRTVRALEEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWAACFIQAAWRRHKKRMMAKTLSMSESFALSVDEQVARETTQQEEGDSMASSSSSQAKQNLGVTILASRFAANTRRGIQKIKDVEMPKLQKPEEPDFSREPDDE >Manes.08G112800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35195428:35200545:1 gene:Manes.08G112800.v8.1 transcript:Manes.08G112800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKRPFHGDSEDGTDSPAQDSKRFKNAIRDVLGMLSVQDIVAEIEPFIRGVVRDEIERTVQRVLQPSFRTSSNQTETSGARGFLLQFVSRPPSTIFTGSTMEAEDGNPIRLELLDARTKTLVNSGPLSSMKIEILVLDGDFGIDEREDWTENEFNANVIREREGKRPLVTGGDLNITLKSGSGLISDIIFTDNSSWQRSRRFRLGARPVTKNYSEARIREARSEAFMVKDHRGELNKKHHPPRLVDEIWRLERIAKGGATHKKLATWGIHTVRDFLQTYAINPSELRKVILGGISNRIWDMILDHANECVLDDKLYTYFEAGPSVGLLFNSVYKLVGAAFEGQMYKPLDKLAPSQMALVESLKQKAYKNVHSFIMLDSRDICGPLRSLACPQAEPFNGPNLSLQQLELPAACPGI >Manes.08G112800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35195428:35200545:1 gene:Manes.08G112800.v8.1 transcript:Manes.08G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKRPFHGDSEDGTDSPAQDSKRFKNAIRDVLGMLSVQDIVAEIEPFIRGVVRDEIERTVQRVLQPSFRTSSNQTETSGARGFLLQFVSRPPSTIFTGSTMEAEDGNPIRLELLDARTKTLVNSGPLSSMKIEILVLDGDFGIDEREDWTENEFNANVIREREGKRPLVTGGDLNITLKSGSGLISDIIFTDNSSWQRSRRFRLGARPVTKNYSEARIREARSEAFMVKDHRGELNKKHHPPRLVDEIWRLERIAKGGATHKKLATWGIHTVRDFLQTYAINPSELRKVILGGISNRIWDMILDHANECVLDDKLYTYFEAGPSVGLLFNSVYKLVGAAFEGQMYKPLDKLAPSQMALVESLKQKAYKNVHSFIMLDSRDICGPLRSLACPQAEPFNGPNLSLQQLELPAACPDQPEMLLDFNTMGNQLEFSVAQNPNSLQVFPQTLRNSFKIRDIFPVSYPGENSWSASSSRWPLGTTSQLTPEDSQTQTSTWSPVNTCFFSSDNEGELGIISSHPSFGMLEKSKVGWCKLRAAIMWGSVRRDIAKRMWQSFCM >Manes.15G128400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10259900:10266493:-1 gene:Manes.15G128400.v8.1 transcript:Manes.15G128400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKKPDPPSLQKYGVPFYSAAWVPYKEVRSKLQPQDPHHPDDKDEDSGKENPPPASQQEIADQYYLVLAGGGGEGRSGIPNAIVLSHFDFASNSLSAQPVAKLGLGSDLPYRMAVHPGGDGLICALPKSCRLFNWDEVKDNDAQKLDIKESVKVLTQLEDIGQQLALAFNSDGSVLAVGGEDGYLRVFKWPSMEIILNEAEAHASLRHLCFSPDGKFLVSLGSRGPGRVWDMTSSTVVASLSKDNDEVFASCKFSQSSDKTQVLYIAAITGKGGSIQTWDTSSWKRIGSKQISRDSVSSFNVSPDGKFLAMGTVQGDVLIINSASMRIQMVVRKAHLGMVTALAFSHDSRALVSASMDSSARVTPIEDEKNGGLSLWIILVIILLAIAAYFLKKGLAAFLG >Manes.07G098000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30217190:30220895:-1 gene:Manes.07G098000.v8.1 transcript:Manes.07G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLLLKSYFPLICTKPSLNNKNNTHSNSSILHISLRTNPPHRATTRLSNTHRLSWQNELKNAFQQPPSNQNLIENYSIDQIDKIDDESFQKLVDKKCVDNVRMLIVDAVQNAKAGHPGMAMGMAEVGYYLYRHAMRYNPRNPKWFNRDRFVLSAGHGCLLQYVCLHLAGFESVQIEDLKNLCKLGSRTPGHPENTVTDGIEVTTGPLGQGVANAVGLALAEAHLAARFNKPDCELVDHRVYCIMGDGCAMEGISHEAASLAGHWKLNKLTLIYDDNCNTIDGPTSLTFSEDISARFRALGWNAITVDNIHDNMGSIEDALLSALNETRKPTFIRVKTLIGRLSKKEGTFKSHHGTFGEDDLEEMKRKLKWENQEPFHVIPMVYREMQIQSDQGQKLEREWFSKLDYYKSKYPHQEAAEFEVLLNGGLPSNWESCLPKWSMSDSVDATRGYSEKCLTELAKVLPGLIGGSADLASSNKVYLHDYQDFSVPHSPSGRNIRFGVREHAMAGISNGIALHGSGLIPFAATFLTFSDYMKNSIRLSALSHAGVLYIMTHDSIGLGEDGPTHQPVEQLAGLRAVPRLLVFRPADGNETAGAYKVAIRNREVPSVIALSRQKVAANLEGTSANEVERGGYIVSDNSGRNMPEIILIGTGTELCLCEGSAKMLREEGRKVRVVSLVCWRLFDRQPVEYKEQVFPQGVAKRIGVEAGSPLGWREYVGGEGLVIGVEEFGASGAYLDTFKKFGFTEENVTNVAKSLLEK >Manes.12G077801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:8994136:8995240:-1 gene:Manes.12G077801.v8.1 transcript:Manes.12G077801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKFTSSFNLKLSIALLILQAYTVFGADPLFHFCSSSENFTSNGPYEYNLNKLAGDLSLQTPQAGFGFGSSGRNPDQAYGLALCRGDVSSSDCKTCVAEASGEIRKRCPSNKAAIIWYDYCLYKYSDKKFFGQIDNRNKFYMWNIRVVSEPVSFNKQTKDLLSQLAKKAYATPKLYVSGETKLGESEKLYGLVQCTRDLSNVDCQKCLDGIIGELPSCCDGKEGGRVVSGSCNFRYEIYPFVNE >Manes.05G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6771888:6778268:-1 gene:Manes.05G082400.v8.1 transcript:Manes.05G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLSGAENLALMRAITPKAKGLCFSRSDLHGNYFPRVNLSTSSRIDRTRVAIPKCSLSASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLNDRNLVVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVIKSGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFEKAGFTDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVAKPVNPFKFFLRFILGAMAATYYVLVPIYMWLKDQIVPQGKPI >Manes.12G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29032620:29037444:-1 gene:Manes.12G106500.v8.1 transcript:Manes.12G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVPPQSQVSPPNGVASNGVNQFVSTSLYVGDLDHTVTETQLYDLFNQLGQVVSVRVCRDLTTRRSLGYGYVNYSNIHDAARALEMLNFTPVNGKPIRIMYSHRDPTIRKSGAGNIYIKNLDKAIDNKALHETFSTFGNILSCKVVTDSSGQSLGYGFVQFDNEESAKNAIDKLNGMLLNDKQVYVGPFLRKQERVSAIDKTRFNNVYVKNLSETTTEEDLKKIFGEYGNITSAVVMRDGDGKSKCFGFVNFENPDDAAQSVESLNGKIFDDKEWYVGKAQKKSEREIELKGQFEQTLKETVDKTEGLNLYVKNLDDSITDDKLKELFSEFGTITSCKVMRDPNGVSRGSGFVAFSTAEEASRALAEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPSAMGPAVGPRMPMYPPGTPGIGQQLFYGQGPPAILPPQPGFGYQQQLVPGMRPNFFVPMVQPGQQAQRPGGRRFGAGPVQPQQPLPLMQPQMLPRGRVYRYPPGRNMPDVPMPGLPGGMLPYDVGGVPFRDAAFSQPMQAGALATALANATPEQQRTLLGENLYPLVDQLEHENAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVQQQQQVNSPTDHMASLSLNDNLVS >Manes.05G111200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11436827:11438718:1 gene:Manes.05G111200.v8.1 transcript:Manes.05G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSVLVILLSSSLCLYFLSNLFKFLDSVWWTPIRIQHLMTSQGIRGPSYRFIYGNTQEIIKMRNESMSTSMQDLSHNIFSRIQPHIHSWTKTYGTNFLYWDGPRAQLLVSEPELIREILNNRDKIYRKSEFVAFTRKLLGDGTFTSEGEKWSKLRKLANYAFHAESLKHMIPAMITSVEMMLERWKKHQGEEIEVVEEFRLLTSEVISRAAFGSSYVQGKNIFDMLTKLSMLLSRNIFKVKQIGLISKIWKSRDEIEADKLEKQLENSILEIIKKREDEVMNGEVENFRNDFLGILLKAKHGDDDSKRISLREVIDECKTFYIAGQETSNTLLCWVVLLLATHSDWQEEARNEVFKILGNKTPTADGIAKLKKMGMIINETLRLYPPVVVFSRKADREAQLGKLTLPVDINLHISTLSIHHDPVTWGDDVHLFKPERFSEGLAKATNNNKAIYMPFGFGPRTCVGLNFAITEAKIALSMILQQYSITLSPTYVHSPVEHLTIRPQYGIQVVLNKV >Manes.18G016100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1682881:1689184:-1 gene:Manes.18G016100.v8.1 transcript:Manes.18G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTSCFKIIACGGDSADKDNLQAPESKNSGDRRGWSFRKRSARHRVLSNTVITEAPYSANKESSESASLNFQSPDTSTVPEEISVIQCTGEKPQLPTYADSKESETIVVAKDESKVDEQVEESVVIVIQAAVRCFLAQRELIKRKNLVKLQAVVRGHLVRQHAVGTLRCVQAIVKMQALVRARHARSIQEGSYTEKKIDEKHEKAILKTSGKGNSITKPDVAYTSIEKLLSNRFARQLMESTPKTRPIHIKCDSSKPNSAWNWLERWMSVSSAEPTSQPKLMTEQQFERETSGNVTAEVEATVPSEGFCELEDSKSNIQETNLSSEAEDNLVTCNVDDFKFQERHVTSSLVGDNSEQPQLEKTCASDAKDPSIDINSLPNQATESAVNSQVEISLKAEIECDQTDQRKRSMKRYASEQLETEGKKFVFGSRKVNPAFIAAQSKFEELSSTANSNRSLNSSYQDGGVESNTDTISSGTDTVMRTKALNMVENSVPNYLRSQYGGSECGTELSITSTLDSPDVFEVSGAGCEHEANSTGKENPSSTEDIVVEVKNVSTDLVSNLSDCIMIQPEQLDVVRGEAVDSIVAEDPLRVELKPERSASEVQRELDSETGGPTYRSSPEASPRSHITVPDSQGTPSSKVSLKAKRNKADKSASNQKRKSVSASKQSPSNPNLDSGARSSMEQLPKDERNGKRRNSFGSARPEPSDQEPRDSSSSSSLPHFMQATESARAKIQANNSPRSSPDVQDRDYIKKRHSLPGANGRQGSPRIQRPICQAQPGAKGNGSHVIHEKKWQR >Manes.01G107400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30636391:30643298:1 gene:Manes.01G107400.v8.1 transcript:Manes.01G107400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSLSPNSSPSAVPPTGFSVASPPPATTLPDQTTDPPVPSTTSNSSAPPPQTPPPATPAASPPSPPASPPPSLSRTPPPSAPPPSPPASPPPAPPASPPQSTPIVPPPAVTTSPPPPPDVSPPPRSASSPPSPQSSPTTPVAPPPQAVAPSPPSPVNVPTPSTDSPPPPAEKAPESSPAPPNVTPPSSSHSDSPPPVTKSPPPLPTSALPSPPPSVPSTSLPHVPPAPPDSSSTTGTSPLSPLPSIPTEKPTARATSDGNVSANTTSKGAGNFNTGVAVVIGIVVGFVVLSFLVLAAWVVQKRKRRHAKSNIGYTMPSPFSSSQNSESFFLRPLSHGPLGGSPSGSDFIYSPSEPGGVSNSRPWFTYEELVQATDGFSTKNLLGEGGFGCVYKGILVDGREVAVKQLKIGGSQGEREFKAEVEIISRVHHRHLVSLVGYCTSENQRLLIYDYVPNDTLHYHLHGQGRPVLDWAIRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEARVHGS >Manes.01G107400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30636391:30643137:1 gene:Manes.01G107400.v8.1 transcript:Manes.01G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSLSPNSSPSAVPPTGFSVASPPPATTLPDQTTDPPVPSTTSNSSAPPPQTPPPATPAASPPSPPASPPPSLSRTPPPSAPPPSPPASPPPAPPASPPQSTPIVPPPAVTTSPPPPPDVSPPPRSASSPPSPQSSPTTPVAPPPQAVAPSPPSPVNVPTPSTDSPPPPAEKAPESSPAPPNVTPPSSSHSDSPPPVTKSPPPLPTSALPSPPPSVPSTSLPHVPPAPPDSSSTTGTSPLSPLPSIPTEKPTARATSDGNVSANTTSKGAGNFNTGVAVVIGIVVGFVVLSFLVLAAWVVQKRKRRHAKSNIGYTMPSPFSSSQNSESFFLRPLSHGPLGGSPSGSDFIYSPSEPGGVSNSRPWFTYEELVQATDGFSTKNLLGEGGFGCVYKGILVDGREVAVKQLKIGGSQGEREFKAEVEIISRVHHRHLVSLVGYCTSENQRLLIYDYVPNDTLHYHLHGQGRPVLDWAIRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEARVSDFGLAKIALELDSNTHVTTRVMGTFGYMAPEYATSGKLTEKSDVYSFGVVLLELITGRKPVDDSQPQGDESLVEWARPLLAEALDNEDFEALVDPRLEKNYVAGEMFRMIEAAAACVRHSAAKRPRMSQVVRALGSLDESSDLSNGMKPGQSEIFDSRQHSAQIRMFQRLAFGSQDYSSDFFDNSQSSWRSREQSQSNFMP >Manes.10G084500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21740824:21743332:1 gene:Manes.10G084500.v8.1 transcript:Manes.10G084500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHAYYHFRPLTVLLSVNYFDRFLSSHSLPANGWPFQLLSVACLSLAAKMEEIHVPLLLDLQILEPGFVFGPKTVQRMELHVMANLNWRLRSVTPFDYLDHFISKLPSCSSIKPDNLNRVFTASSDLILSTIRVIDFLAFKPSTIAAAAVLCAGGDSFEISAANGQLFNERISKEMVRSCHQLMQEYLIDTCPLARLKQSTAELPAAPPSPVGVLDAAACRSCDTRSENPSSIGQAEVEPVAKRPRSSATDVQRQ >Manes.10G084500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21740824:21743424:1 gene:Manes.10G084500.v8.1 transcript:Manes.10G084500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTHHSHSLDDSASAVNSLYCDEDAGEVVQFDSDSRISDNGLRSFYLSAYFPPSDENTVDELLDSELHFMPSSDYLHRCRDRSVDATARQDSINWILKVHAYYHFRPLTVLLSVNYFDRFLSSHSLPANGWPFQLLSVACLSLAAKMEEIHVPLLLDLQILEPGFVFGPKTVQRMELHVMANLNWRLRSVTPFDYLDHFISKLPSCSSIKPDNLNRVFTASSDLILSTIRVIDFLAFKPSTIAAAAVLCAGGDSFEISAANGQLFNERISKEYLIDTCPLARLKQSTAELPAAPPSPVGVLDAAACRSCDTRSENPSSIGQAEVEPVAKRPRSSATDVQRQ >Manes.10G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21740824:21743332:1 gene:Manes.10G084500.v8.1 transcript:Manes.10G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTHHSHSLDDSASAVNSLYCDEDAGEVVQFDSDSRISDNGLRSFYLSAYFPPSDENTVDELLDSELHFMPSSDYLHRCRDRSVDATARQDSINWILKVHAYYHFRPLTVLLSVNYFDRFLSSHSLPHFPSQQANGWPFQLLSVACLSLAAKMEEIHVPLLLDLQILEPGFVFGPKTVQRMELHVMANLNWRLRSVTPFDYLDHFISKLPSCSSIKPDNLNRVFTASSDLILSTIRVIDFLAFKPSTIAAAAVLCAGGDSFEISAANGQLFNERISKEMVRSCHQLMQEYLIDTCPLARLKQSTAELPAAPPSPVGVLDAAACRSCDTRSENPSSIGQAEVEPVAKRPRSSATDVQRQ >Manes.10G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21740824:21743332:1 gene:Manes.10G084500.v8.1 transcript:Manes.10G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTHHSHSLDDSASAVNSLYCDEDAGEVVQFDSDSRISDNGLRSFYLSAYFPPSDENTVDELLDSELHFMPSSDYLHRCRDRSVDATARQDSINWILKVHAYYHFRPLTVLLSVNYFDRFLSSHSLPANGWPFQLLSVACLSLAAKMEEIHVPLLLDLQILEPGFVFGPKTVQRMELHVMANLNWRLRSVTPFDYLDHFISKLPSCSSIKPDNLNRVFTASSDLILSTIRVIDFLAFKPSTIAAAAVLCAGGDSFEISAANGQLFNERISKEMVRSCHQLMQEYLIDTCPLARLKQSTAELPAAPPSPVGVLDAAACRSCDTRSENPSSIGQAEVEPVAKRPRSSATDVQRQ >Manes.10G084500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21740824:21743424:1 gene:Manes.10G084500.v8.1 transcript:Manes.10G084500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTHHSHSLDDSASAVNSLYCDEDAGEVVQFDSDSRISDNGLRSFYLSAYFPPSDENTVDELLDSELHFMPSSDYLHRCRDRSVDATARQDSINWILKVHAYYHFRPLTVLLSVNYFDRFLSSHSLPHFPSQQANGWPFQLLSVACLSLAAKMEEIHVPLLLDLQILEPGFVFGPKTVQRMELHVMANLNWRLRSVTPFDYLDHFISKLPSCSSIKPDNLNRVFTASSDLILSTIRVIDFLAFKPSTIAAAAVLCAGGDSFEISAANGQLFNERISKEYLIDTCPLARLKQSTAELPAAPPSPVGVLDAAACRSCDTRSENPSSIGQAEVEPVAKRPRSSATDVQRQ >Manes.15G083233.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6414589:6421181:1 gene:Manes.15G083233.v8.1 transcript:Manes.15G083233.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSFPSSSSSSSSSLFFPGLVVSGERCFYCMSQSAYFRRGWQLRCGNYAGLCDRCAGSFCIIFHWSSNGWRSCVACEKLVHCGCIMSEHTHVILDEGGIKCMDCLTKEFIQESGTQECQSSSDGASKAIGSN >Manes.16G005100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:613151:614584:1 gene:Manes.16G005100.v8.1 transcript:Manes.16G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASGLISSSRVCSSKKINAAISVPKLPRIRFPDPKTPSNNLVEELILRNGFTNTIPVEKSVTLPRIDKETFVSSSTSKATTKLYAILEAVADRVEMHKNVGEQRDNWNKLLLNSINMITLTATTMAGVAAAGGEGSPLLALNVGSTLLFIAATGMLCIMNKIQPSQLAEEQRNATKLFRQLQSQIQTTLALYDPTELDVKDAMDKVLALDKAYPLPLLGKMIEKFPAKFEPAVWWPNTKNFQRNSKRSGNNGWSEGLEVEMREVIEVIKGKDTEDYMRLGNLVLKINKVLAISGPLLTGIAAAGSAFVGNSPWAAIVAVAAGALATTVNTFEHAVQVGMVVEIYRNCAGFFSLLDESIESTLEEADFDRREDGEIFEMKVALQLGRSTSELRDLAQKSSYSSIEGTTIDEFASKLF >Manes.02G221720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21560877:21568680:-1 gene:Manes.02G221720.v8.1 transcript:Manes.02G221720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNDKNNLHGVHDSVIHWQKKADDIDRSSKAFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYRQKIELWISDAEGIKNFKSRESILDDILMALKDDDLRVIGICGMSGIGKTTMAIQLKKIMETEKLFDEFAMATVSDTPDIRKIQDEIAFCLGLELKNGESEVVSASKLHQRLTNCDKRILLILDDVWKEDGLGKIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKTLKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFDILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASINKQWHALQSQARIKEWQDNDGYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDSQLESLPTNVLEGMKELKVLYIASCIPSLPQSIDVLKNLQTLRLLNGRLNEMHTIGALVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVRILRYIPPVVLLRLSKLEELYLPLRYMMKWEWKEEKKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVDIVHKDSENVLHLKGDASDIKGSGICVLLREVEVLYLEEVKNLKKIVNEIEDNSYADLKRDECVDALVRIPDNLRKVEIRGCDELKYFIPLSMARELRQLHSITVMFCKKMEGIFYRNKVNDEIESPLTTLCLDNLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPMEKISILFSSLWVRLSKLQKLTLYNCGLVKALFPSSVAQQFVQLKELNISACCKMEYIVAEAKEEEKNKGINKIAFPNLTELGLNDLPELVAFFADNDFSFELYSLVDLNIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRELHIVHCHFQEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEELSFVECPKIKTICVSVPKSSILSTSAEVSLIKNQRDPSVSNTDESCAFPSKLIQQLQNVKHLWIEGSDSVEVIFSFEGLINGVLNSVEKICLVNLRNLKHLWFKIPPEITAFQNLRELMVEECDNLINLFSICSAKLVGKLQSIKIRRCKRMEEIIGKEGEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRKCKRMETFSYGSLSMPKLEKVIVMINGRWHQLMGSDPNLNAKINELLKMNQQEV >Manes.05G148550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25149550:25151949:-1 gene:Manes.05G148550.v8.1 transcript:Manes.05G148550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFFTRGLVMVFGYAYPAYECYKTIEKNKPQIEHLRFWCQYWILVAVLTVCERIADVFISWLPFYNEAKLAFFIYLWYPKTQGTTYVYDSYFRPCIAKYENDIDRLLLELRTRPGDMAILYWRWAASYGQTRVLEIFQHVSSHSTPSSRSAQLQRRDARTHQPVAAPNRQPSKISRQSAATQPESEGPLSPTSSSSSSQSQMEVAEDVAPSQVREATPLATNDDTNSPTNEADVDEAILVTPAKLRKSLKDQFETSSTFSLQN >Manes.13G152400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36690460:36694106:1 gene:Manes.13G152400.v8.1 transcript:Manes.13G152400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSVSFSAIAQSSNDRKAFASSIRSFASNFDTFRFRSSFSCHYTGVRASNSTSRMVIHCMSTATDVPTVSETKFNFLKAYNKPIPSIYNTVLQELIVQQHLMRYKRTYRYDPVFALGFVTVYDQLMEGYPSDEDRDAIFQAYINALKEDPEQYRIDAKRLEEWARSQTATSLVDFSSREGEVEGTLKDIAERAGNGSFSYSRFFAIGLFRLLELSNATEPTILEKLCAALKVDKRSVDRDLDVYRNLLSKLVQAKELLKEYVDREKKKREERAESQKANEAVKKCLGEPQYVS >Manes.09G081400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11919449:11923827:1 gene:Manes.09G081400.v8.1 transcript:Manes.09G081400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGKWFSSVRKALSPEPKEKKDQKSNKSKKKWFGKQREPDSESTSLERVRVLSPPPAAPPPLPHQSEEVKTIEVTNEQNEQAYSVAVAAAAEPVHPSFEPTMEVVQHVKVNKFAGKPSKEVAAIKIQTAFRGYMARRALRALRGLVRLKSLMEGPTVKRQAIHTLQCMQTLARVQSQIHSRRVRMSEENQALHRQLLQKHAQELEKLRMGEEWDDSLQSKEQIEANLLSKYEAAMRREKALAYSFSHQQTWKNSSRSANPMFMNSGNPAWGWSWLERWMAAHPWENRSMTDKENKDLSSVKNANRSMVGGEICKSYARYQLNSDKFSPSEGEKARQTTSPRSYSTPSKLASSAIARKLKSASPRSSVGAPDDDSRSIVSMQSDRYRRHSIAGTPVRDDESLGSSSTVPSYMVPTESARAKSRLQSPLGAEKDGTPEKEKRTPGPVKKRLSYPPSPARIRRQSGPRKVESIINSENGVVDTEG >Manes.15G076100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5759871:5765391:1 gene:Manes.15G076100.v8.1 transcript:Manes.15G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDPPFQEACNALFKGSLVRAKDEKLVRVEECELPLIDMNCLTLGHKDREKCMKQMAQAASEWGFFQVVNHGISQGVLQSLICEQIKVFHEPLSKKTKENFLNLPSNSYRWGNPDATCLRQFSWSEALHISLADISRMDGYKTTLRSTIEAFAGTAATLSQNLAEILAQNLGVKSNYFRQYCAPYTSYLRMNRYPPCPFSSDQVYGLMPHTDSDFLTILYQDQIGGLQLKKHGRWLAVIPNPQSLIINIGDLFQVFSNNVYKSMEHRVLAPQRVERFSVAFFYCPSYDAVIQSYGKPTMYRKFSFREYKQQIQKDVQATGDKVGVSRFLL >Manes.09G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3831737:3834900:-1 gene:Manes.09G017900.v8.1 transcript:Manes.09G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPHPPAGYGYGAPPTSQSYGTAPAYGAPPPSQPYGAPPPSQPYGAPPPSQPYGTPPPSHPYGAPYAPPPGDKPPKDKPHSSAPAHGGYPPSAPYGSPFASLVPSTFPPGTDPNVVACFQLADQDGSGFIDDKELQRALSSYDQSFSLRTVHLLLFHFTNTNSRKIGPKEFTSVFYSLQSWRSIFDRFDRDRSGRIDSNELKEALYSLGFAVSPVVLDLLVSKFDKSGGKNRAIEYDNFIECCLTVKGLTEKFKEKDSTYSGSATFTYEAFMLTVLPFLIA >Manes.04G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30664751:30674355:-1 gene:Manes.04G101800.v8.1 transcript:Manes.04G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEIYIPGGSLRRGDSSIWSSNAMEGFSKSSREEDDEEALKWAALERLPTYDRLKKGILATSKGASEIDIHGLGFHERKALIDRLVKVADQDNERFLLKLKDRIDRVGIEFPTLEVRFEHLTVETEAHVGSRALPSFLNFFVDTVEGLLNNLHMLPSRKKRFSILEDISGIIRPTRMTLLLGPPSSGKTTLLLALAGKLDPKLKLSGSVTYNGHGMNEFVPRRTAAYISQHDTHIGEMTVRETLAFSARCQGVGHRYEVLTELLRREKQSNIKPDPDVDVFMKGIAAEGQETNVITDYILKILGLDVCADIMVGNEMLRGVSGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSIKQFIRFLNGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPREHVLEFFEHMGFRCPERKGVADFLQEVTSRKDQQQYWACKDSPYSFITVKEFAEAFQSFHVGQRLKDELSTPFDKAKNHPAALATKKYGVGNIQLLKACFSRELLLMKRNSFVHVFKLTQLTIMAMVAMTLFFRTEMHRDSITDGGIYSGSLFFSVIFIMFNGLSEMSMTISKLPVFYKQRNLLFYPAWVYSLPPWITKIPITLVQVALWVFITYYVIGYDPSAGRLFRQYFLLVLISQMASALFRFIAAAGRNMIVAHTFGAFALVLLFALGGFVLSRDDIKKWWIWGYWISPLMYGQNAIVVNEFFGKSWSHVLPNSTEPLGVQVLKSRGFFPHAYWYWIGVGALVGFTLLYNFCFIIALTFLEPLQKPQAVLSEDTPSNEPGRTAGAIQLLSQDSSHKTPTDSGRGSSFRISSERTEVTIEGNRERKKGMVLPFEPHSITFNDVKYSVDMPQEMKNQGATEDKLELLRGVSGAFRPGVLTALMGSSGAGKTTLMDVLSGRKTGGYIEGNIKISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPAEVSSETRKMFIEEVMQLVELTSLRQALVGLPGVSGLSTEQRKRLTIAVELVANPSLIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSCHLIKYFEGIEGVPNIKDGYNPATWMLEVTTSAQETVLGIDFAVSYRNSELYRRNKALIGELSTPAPGSKDLYFPTQYSQAFLVQCMACLWKQCWSYWRNPAYTAVRLLFTTVIALTFGTMFWDLGSKTTKRQDLLNAMGSMYAAIVFLGIQNASSVQPVVAVERTVFYRERAAGMYSPLAYAFAQVVIELPYIFSQAVVYGLIVYAMIGFEWTAAKFFWYLFFMYFTLLYYTFYGMMSVAVTPNQHVASIVSSAFYSLWNLFSGFIIPRPRMPVWWRWYSWACPVAYTLYGLVSSQFGDIKHTLESGDSVEEFVRSYFDFKHELLGAIAAAVVGFAALFAFTFAVCIKFFNFQRR >Manes.04G078300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28030970:28037153:1 gene:Manes.04G078300.v8.1 transcript:Manes.04G078300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSTSLSGPKSTISCPAPQFSGLRRLCTKLDATKSNSSFLHHFNSQLRFSSPRKTSRQIVAMAGTGTFFVGGNWKCNGTKESITKLVSDLNDAKLETDVDVVVAPPFLYIDQLKASLTDRIEISAQNSWVGKGGAFTGEISAEQLKDIGCKWVILGHSERRHIIGEDNEFIGKKAAYALSQGLGVMACIGELLEEREAGKTFDVCFEQLKAFADAVPSWDNIVIAYEPVWAIGTGKVATPVQAQEVHAAVRDWLKKSVSEEVASKTRIIYGGSVNGGNCAELAKQEDIDGFLVGGASLKGPEFATIINSVTSKKVAA >Manes.01G006975.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2393569:2394066:-1 gene:Manes.01G006975.v8.1 transcript:Manes.01G006975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRRRRRRRRSCWVGRRIKSCWVGRRRRRRRRRRKICWVGRRRRRRKSCWVGGRRRRRRRRKKKKKKLLGRRKKKKKKKKKKKKKKKKLLGRKKKKKKKKKKKKKKKKRVNFVNSRVPNGYFERKDCEIGRKRK >Manes.15G177080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16465938:16467655:-1 gene:Manes.15G177080.v8.1 transcript:Manes.15G177080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLESIPRRPVNLCKFFFQIRDPSDALTAYRLSIHRGRRRRPENFLHIVAIEAICIYVNINWNCSDFFSQCNCPCNVNPSWRRNKEKSKYTTLRWAEKRAEVVKAVG >Manes.09G145900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34360308:34361829:-1 gene:Manes.09G145900.v8.1 transcript:Manes.09G145900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIITISSMLFTLVMALETLAPQAEAARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDFPTHRPTGRFSNGLNIPDYISQEIGSDFLLPYLSPELTGQKLLVGANFASAGIGILNDTGIQFVNIIRMFQQYEYFEEYQRRVAALIGPKRTQQLVNGALVLITVGGNDFVNNYYLVPYSVRSRQYSLPDYVKFLISEYKKLLMRLYELGARRVLVTGTGPLGCVPAELATRSRDGECSAELQRAASLFNPQLTQMLGQLNSQYGSDVFIAANTGKMSSDFITNPAAFGKQIPRI >Manes.09G145900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34359333:34361829:-1 gene:Manes.09G145900.v8.1 transcript:Manes.09G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIITISSMLFTLVMALETLAPQAEAARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDFPTHRPTGRFSNGLNIPDYISQEIGSDFLLPYLSPELTGQKLLVGANFASAGIGILNDTGIQFVNIIRMFQQYEYFEEYQRRVAALIGPKRTQQLVNGALVLITVGGNDFVNNYYLVPYSVRSRQYSLPDYVKFLISEYKKLLMRLYELGARRVLVTGTGPLGCVPAELATRSRDGECSAELQRAASLFNPQLTQMLGQLNSQYGSDVFIAANTGKMSSDFITNPAAFGFITSKVACCGQGPYNGVGLCTPASNLCPNRDVYAFWDPFHPSERANGFIVQEILRGTTEYMNPMNLSTILALDSRT >Manes.13G100500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29500982:29501623:-1 gene:Manes.13G100500.v8.1 transcript:Manes.13G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDVAVQVLILIFAVAMFVAIQYFPKQALSKLRTKNRASLQSNRHFIQGTQFLARAKSTSQKSQSQTLAKNALLEAETAISLSPRDPAPLLLKALALDLMGHKASALKTIESALSSPRVKSLEGRERGDALVKMAELKMAVNRRRRVDSAIEDLKEAVNLSGQGERAHCLLGQCYEWKGMREDAKWAFEEALRVQPGSVEARKGLDRLGSH >Manes.01G259600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41590250:41594598:-1 gene:Manes.01G259600.v8.1 transcript:Manes.01G259600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYNRGRYTPGIGAGRGAGMNANPSFQSRVPQQQYVQRNSMQNHQQFQQQQQQQWLRRTQLPPADSSVDEVEKTVQSEAVDSSSQDWKARLKIPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLVMDEADKLLSPEFQPSIEQLIRFLPPNRQILMFSATFPVTVKDFKDRYLQKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >Manes.01G259600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41588038:41594598:-1 gene:Manes.01G259600.v8.1 transcript:Manes.01G259600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYNRGRYTPGIGAGRGAGMNANPSFQSRVPQQQYVQRNSMQNHQQFQQQQQQQWLRRTQLPPADSSVDEVEKTVQSEAVDSSSQDWKARLKIPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMLVMDEADKLLSPEFQPSIEQLIRFLPPNRQILMFSATFPVTVKDFKDRYLQKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >Manes.14G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4837803:4840929:-1 gene:Manes.14G057000.v8.1 transcript:Manes.14G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKMAFFPPNPPSYKLITDDATGLLLLDHFPHRENVDVLRLPTRRGTDIVAVYVRHPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPGEHNTYADIEAAYKCLEESYGTKQENIILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLVIHGTSDEVVDCSHGKQLWELCQEKYEPLWLKGGNHCNLEMYPEYLRHLKKFISTVEKSPSRRNTGRRSTDGIEQPRRSTDCFEAPRKSMDRRDKPRKSTDRTEKLKFHEYKFTNIEKLEKLKVSFDQMERSRRSVEYHEKSRKSIDLQLEKARKSVDWLDRIRAV >Manes.01G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27835564:27836937:-1 gene:Manes.01G075400.v8.1 transcript:Manes.01G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRYIDATYCVLIAILVVATTVSSDDITPIPADDSKVSNWFQTNVKPWRSRKGTLDPALEAAEAKSRIIIVSKDGKGEFKTVTDAINSVPLNNKQRVIIKIGPGVYTEKIQIERTKHFITFLGDPKAMPTLAFGGTAHEYGTLASASVAIEPNYFMAVNIIFKNTAPGPNSKKPGAQAVALRVSGDKAAFYNCNMLGFQDTLCDDRGHHFYKNCYIEGTVDFIFGRGRSLYLESHINVVNNKGLTFITAQAKENKSENWGYSFVQCKITGSASGTYLGRAWRAMPEVVFSYTEMGAVINPLGWSNNKRPERERTVFFAEYQNSGPGSNLKKRVKFAKKLTDRDAKHFLSLGYIQGSKWLLPPPM >Manes.S010791.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:83158:83316:1 gene:Manes.S010791.v8.1 transcript:Manes.S010791.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.18G141575.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373636:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYQVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGEPMPFKPYLHRYHVPYTASGSASPLWYSIKRALTYIIVMSSYSAFGKYSPQYKWLEQELPKV >Manes.18G141575.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373635:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYQVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGSTLHNTNG >Manes.18G141575.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373636:20377406:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGEPMPFKPYLHRYHVPYTASGSASPLWYSIKRALTYIIVMSSYSAFGKYSPQYKWLEQELPKV >Manes.18G141575.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373636:20377406:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYQVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGEPMPFKPYLHRYHVPYTASGSASPLWYSIKRALTYIIVMSSYSAFGKYSPQYKWLEQELPKV >Manes.18G141575.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373635:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGSTLHNTNG >Manes.18G141575.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373635:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYQVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFANAVVG >Manes.18G141575.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373636:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYQVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGSTLHNTNG >Manes.18G141575.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373635:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGSTLHNTNG >Manes.18G141575.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373635:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYQVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGEPMPFKPYLHRYHVPYTASGSASPLWYSIKRALTYIIVMSSYSAFGKYSPQYKWLEQELPKV >Manes.18G141575.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373635:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGEPMPFKPYLHRYHVPYTASGSASPLWYSIKRALTYIIVMSSYSAFGKYSPQYKWLEQELPKV >Manes.18G141575.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373636:20377406:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYQVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFGSTLHNTNG >Manes.18G141575.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20373635:20377407:1 gene:Manes.18G141575.v8.1 transcript:Manes.18G141575.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVFWVTPDEPGSRTMIYWAENSELKNRVDGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYQVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAVYQPWIWTAGNHELDFAPQFANAVVG >Manes.12G129950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33768665:33770467:-1 gene:Manes.12G129950.v8.1 transcript:Manes.12G129950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQNALNTGSESLKPVFSIATVNLQGNNNYVSWAASVELWFVGQGYNDYLTKNAIDITVTDRSNWVKIDAQLCSLLWYSLDPKLLALFQSCKTCCKIWTKAKTLYTNDIQRIYKLVSDIRGEQYSDMASYLGQVDTLKDEFNSLMPLTNDVDAHEGQRDKFFMVLALIGLRYDFCSVKDQILTGSVIPTLEDVSARLLRISLSKSDATDMESSVLAVQGNQGQGGNRKGKGKKFHCTYCDKKGHTRDACWALHGRPPRSNQSDNTEGLLPQPTNKSQNLDSITLIGEDYKKYLQFQAVKQHPPSTSIAHSGNSFACLTKSSPVGPWILDSGASDHISGPEYWENDWNRI >Manes.12G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1589568:1591447:-1 gene:Manes.12G017200.v8.1 transcript:Manes.12G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPPQTLYFSLLLLLLTYFAWKIISSYSNSLTTPPSPPRLPIIGHLHLLTDMPHHTFTRLCNKLGPLIYLQLGQVPTLVINSARLARLVLKTHDHIFANRPQLLSAQYLSFGCSDVTFSPYGAYWRQARKICVTELLSAKRVHSFQVVREEEVNRLLAAVLAESGKEVDMSKRCFALANDILCMVAFGQRFMEEEGKKQNLVNILTETQALFAGFCLGDFFPGWEWVNSVSGYKKRLMKNLDDLRAVCDEIIDEHMKRKNSGRENDDQREDFVDVLLRIQKRKDLEVPISDDNLKALVLDMFVAGTDTSAATLEWTLTELARHPRFMKKAQEEVRKIAIGKRTVDESHLQDLPYMKAVIKETMRLHPPVPLLVPRESMDKFVLDGYQIPAKTRVLINSYAIGRDPKSWDNPLGYDPERFIDNDIDVKDQDFRFLPFGGGRRGCPGYNFGLSTIEIALARLLYHFEWALPRGVGADDVDLSEIFGLATRKKTALVLVPTANKDYQFQGEAV >Manes.07G023700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2560754:2561861:-1 gene:Manes.07G023700.v8.1 transcript:Manes.07G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRARTATTSTKQEAATTTTASSISNEDHESETPKSPQKSPTLSQRAISQTLTTTANLANLLPTGTLLAFQLLTPIFTNNGACDSATRPLTFLLLALLAISCFLASFTDSVKSSDGEVYYGFATFDGIFLFDCPDSEALFDGKDLRKYKIRFIDGVHAVLSVLVFVAVALREKNVVNCFYPLPEHKTQEVLDVVPIGIGIMCSLLFVVFPTRRHGIGYPVTSGK >Manes.S046116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1417779:1418591:-1 gene:Manes.S046116.v8.1 transcript:Manes.S046116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G079543.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24636881:24638390:1 gene:Manes.07G079543.v8.1 transcript:Manes.07G079543.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTNLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKGNLFIINVYSWRSYSSIKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.03G161500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28839864:28844677:1 gene:Manes.03G161500.v8.1 transcript:Manes.03G161500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHTSRDCFPGSLLSGSAATSLDPAETDLTWPFGDLYSLDREDIRETAYEVFFTACRSSPGFGGGRNAITFYSSHNHDAGDSGGSGSSSGFGSVSSGGGRLGNGPLVVTTPTSKIKRALGLKMLKNSPSRRMSSVANGGGGGGGGGGTSPGPPNILLQQSGGYSPISMYNTVPATRPRRPLTSAEIMRLQMKVTEQSDNRLRKTLMRTLVGQMGRKAETIILPLELLRQLKPSEFNDMQEYHIWQTRQLKILEAGLLLHPAIPIEKSNSYAIRLREIIRASDTKPIDTSKNSDTMRALCNSVVTLSWRSPNGAPADVCHWADGLPLNLHLYTCLLQAIFDFRDGTLVLDEVDELVELMKKTWSTLGINRSLHNLCFAWVLFQQYVLTNQIEPDLLYAAHGMLSTEVANDAKKPDREATYVKLLCSMLASMQGWAERRLLHYHDYFQRGNVFLIENLLPLALSASKILGEDVSLIEGTETDDWKIVDSSGDRVDHYIRSSIKNAFAKVIETGSYKSTSVEVKDEASEALLQLAKETEDLALRERESFSPLLRKWQPIAASVAAVTLHHCYGAVLNQYLAGMSSLNNESVEVLQRAGKLENFLVQMVVEDSADCEDGGKAIVREMVPYEVDSVIMRVMKQWVEERMKKGRESFLRLKESETWNPKSKNEPYAQSVVELMKLAKETVDEFFEVPVGITDDLVSELAEGLEHLFQEYIKFVEACGSKQSYVPTLPPLTRCNRDSKLSKLWKIATPCSVSAEEMQQHGIAGAHHPRPSTSRGTQRLYIRLNTLHYLLSHLHSLDKTLTLAPRTFSSSRTHANHRRNRSSASAYFEEVHPSIQSACQHVSEVAAYRLIFLDSNSVFYETLYVGDVANSRIRPALRTLKQNLTLLTAIVTDRAQALAMREVMKATFEAYLMVLLAGGSSRVFYRTDHPMIQEDFESLKRVFCTCAEGLINEELVEGEADIVEGVITLMGECTEQLMEDFSIVTCETSGIGVVGTGQKLPMPPTTGRWNRADPNTILRVLCYRNDKAANQFLKKTFQLAKRR >Manes.01G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33295345:33299288:1 gene:Manes.01G141600.v8.1 transcript:Manes.01G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRSRSKRSSSTSSYASTITTVAFIAVCVIGVWMLTSNSVVPPQTTARKAELAAISAAVDEPEVTTSNDVQNNEPSNKKDQPVFEDNPGDLPADAIKSDDPKTINEQRNKQDSGDQGSAVEENSQGLKGKESGEEQEKQRENHTQVSEESSLTQNQQADQRNQEISQSDQGNQKTRNEESNENQEQTTASENGQNSHEQFPNQKQNEHQQREQHEKIDVGKHSHDSQNQELNGDQQPQKRENNKKSQNSKSYEDQQQQQQRQEDAGIQTTSRESQDEISEEDQKERLKQQRQRQQQEDSASSDEAQQKQHQFGDVTIPGIKQNEDKKSEKATEGVKIQAKNQQTHKESQGENNRITKTKHDTRTEDKSIETNSFPGGGTSGIPKESKESKRSWSTQATESENQKGRRKEGSDGKESLYGYSWALCNDRTGPDFIPCLDNEKAVKKLKTTRHFEHRERHCPEEGPTCLVPLPKGYKRPITWPGSRDKIWYHNVPNTILAEVKGHQNWVKVTGEFLTFPGGGTQFIHGALHYIEFIQQSVPNIEWGKHTRVILDVGCGVASFGGYLFEKDVLTMSFAPKDEHERQVQFALERGIPAISAVMGSQRLPFPSRVFDLIHCARCRVPWHADAGVLLLELNRVMRPGGYFVWSATPVYQKLEEDVQIWQAMSALTVSMCWELVTIKKDKLNYVSAAIYRKPTSNECYNQRKKKVPQLCMSDDDPNAAWYVPLQACMHKVPVDYNQRGSRWPENWPQRLQKPPYWLNSSQMGIYGKPAPQDFAADYEHWKHVVRKSYMKGLGISWSNVRNIMDMRAVYGGFAAALMDLDVWVLNVVNINSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKLKHRCKLAPVMAEVDRIVRPGGKLIVRDESDAIGEVEILLKSLRWEVHLTFSKDQEGLLSAQKGNWRPRTFAPRF >Manes.14G019870.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1981105:1987025:-1 gene:Manes.14G019870.v8.1 transcript:Manes.14G019870.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATRSIHGSLLCPNSGSLQQRVDKLKPLSFAAKILANEESKREKNGLKISPRNTHIAAANRSLRAEPQVIPVSPEDVPQREEQVLHLQHLGDTSVGVWSKPTVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPIMLTPGQEFTFTIRRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTDYSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVENKVDFYAVSFVKDAQVVHELKNYLQSCDADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIAGGVMPPNLGQAFKNHMSEMFAYHATMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTNDKRIQQRLALYQGVCAVYMQFSDDAEETFANALSVLKNQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKV >Manes.05G202800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32735474:32756748:1 gene:Manes.05G202800.v8.1 transcript:Manes.05G202800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALQLSKRLSRSPSSSATFYRTLAALTQSSSHSPSDLLSSVSHYINGSGNLDASPWSTVQSRGIKVTAIHLKPGNVIERKGKIYEVLEAEHKQRGRGGAMMQVELRNIDGGNKESLRFGTEEAVEKVFVQEKSFTCLYVERGTAYLMDPEKFEQLEVPTELFGEAAAYLKEEMKVSLQLYDERPLSGSIPKHVTCAIKETQPHVKGLSATPRYKKALLDNYVTILVPPFLETGEEIVVNTVDNSYIKRVNK >Manes.06G031300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8045545:8058329:1 gene:Manes.06G031300.v8.1 transcript:Manes.06G031300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLPLTCQRLQVTLTSKLLHLHITCAHQPYPPQYYCFPFLFVLSQYRNRRLLSPLPMGNTSSMLTQYDIEEVQEHCSHTFSQQEIVSLYQRFCQLDRNGGGFISAEEFLSVPEFAVNPLSQRLLRMIDGLNFKEFVAFLSAFSPRATSQQKIEFIFKVYDSDGNGKVRFNDLLDVLHDLTGQFISEQQRKQVLIQVLEEAGYTKDSSLVLSDFIKILGNPGLKMEVEVPVD >Manes.06G157500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28338483:28339872:-1 gene:Manes.06G157500.v8.1 transcript:Manes.06G157500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSETMDQKQTEATISESEEVSSIEWEFINMSEQEEDLIYRMYRLVGERWDLIAGRLPGRKPEEIERFWIMRHHEAFAKKRKTA >Manes.06G157500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28338483:28339652:-1 gene:Manes.06G157500.v8.1 transcript:Manes.06G157500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSETMDQKQTEATISESEEVSSIEWEFINMSEQEEDLIYRMYRLVGERWDLIAGRLPGRKPEEIERFWIMRHHEAFAKKRKTA >Manes.15G182266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24592144:24593102:1 gene:Manes.15G182266.v8.1 transcript:Manes.15G182266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVVGRDYGNGVLSSSKHGIKTDGFELRGQSWYVATDIPSDLLVQIGVVYFHLHKYPLLCRCGKMNRLIYESRDPDLSKIALDDLPGGAEGFELVAKFCYGIAVDLTAANISGLRCAAEYVEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENL >Manes.10G120600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28569200:28576174:1 gene:Manes.10G120600.v8.1 transcript:Manes.10G120600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQFTHNLYERRPFLKSKAPAVKWFKEWVPQDVVATGGKCSLFRWVNENQLKALKEKAKESPAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPECGKRYAHDYKLKNHIAAHHEKHSTPDTVKYTTPPEKITKVSKPSAVAYGSVSSDRPYACPYEGCIKAYIHEYKLKLHLRKEHPGHMSDENAENASPNADNEVDEASDQDAYVEKRANGKNRKQSRAKPNLKMPPSKITQRKGSSPSRAALNVVKKSWQPLKEENYEEEDSEETEEEDRDNAEDGWRYGENNEDDDDEETEYED >Manes.10G120600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28569200:28576174:1 gene:Manes.10G120600.v8.1 transcript:Manes.10G120600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQFTHNLYERRPFLKSKAPAVKWFKEWVPQDVVATGGKCSLFRWVNENQLKALKEKAKESPAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPECGKRYAHDYKLKNHIAAHHEKHSTPDTVKYTTPPEKITKVSKPSAVAYGSVSSDRPYACPYEGCIKAYIHEYKLKLHLRKEHPGHMSDENAENASPNADNEVDEASDQDAYVEKRANGKNRKQSRAKPNLKMPPSKITQRKGSSPSRAALNVVKKSWQPLKEENYEEEDSEETEEEDRDNAEDGWRYGENNEDDDDEETEYED >Manes.02G059200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4597892:4604654:1 gene:Manes.02G059200.v8.1 transcript:Manes.02G059200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFSSISNMLKTLDRYQKCSYGAVEVNKPAKELESSYREYLKLKAKFESLQRTQRNLLGEDLGPLNTKELEQIERQLESSLKQVRSTRTQFMLDQLSDLQNKEQLLLEANRALTIKLDEISARNSLRSSWEGGEQSMSYGQQHPQSQELFQPLECNPTLQIGYNPVGTDQMNATTHAQQVNGFIPGWML >Manes.11G042750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4690888:4693832:1 gene:Manes.11G042750.v8.1 transcript:Manes.11G042750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHIKGGNNMNMRVVDRSMEVSIGVEQATEVQDHGKAESKYGSKYEGRKQYEYEGGKSEYGSGYRYRRSNCEEGDHNKTESEYGSKYEERKQYEYEGGGSKFGSEYKCRPSEHHEGDHRKIEYKYGSRHRPSDLEEGDHGKTKSEYGSKYERNKQYQYEGSGPEYGSGYRYRSSDREEGDHDKTEFKYGSSGYRHKSSDHEEQNHSKTEYEYESRYEGRKQYEYEGDGSKYRSGYRYRLSDLEEGDHGKTKSKYGSNYEGKKQYQYDGDGPEYESDYRYRSSDCEDGDHDKTEFEYGSRYEGRKPYEYDGSGFECGSGYRHRSSDREEQNHSKTEYEYESRYEGRKQYEYEGDGSKYRSGYRHRLSDFEEGDHGKTKSEYGSKYKGKKQYQYEGDGPEYENHDKTKFEYGSSGFEYGSGYRHRSNDYEDQNYSKTESEYRSRYEGRKQYEYEGAGSEYGSEYRHRPSEDDKGDYSKTEYEYGLRYENEGDPSMIEFEYGSEYKGMKQYECKSDRTEHESGYGHRPSDNEKGDYGKTEFKYVSRYEERKRYEYESDELEYSSGYRRKSNDQNLWKNGDERQKNKDN >Manes.02G079700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6216018:6224738:1 gene:Manes.02G079700.v8.1 transcript:Manes.02G079700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEGVFVEPSSKPLPDLSLHISPPNTCPSSVSNSTNNIEADASFNLLMSRQQGIHKSNNIGSIRTSDSQAYSTELSLAHPANSFDELTRNKRNFTGVGAEDPPRNTYQQSHHHHHLHHSSTHLSNLNHGVSRLDVSDGLRPIKGIPVYHNRSFPFLPSEQSRENKDPKMCFYQMPYPSSSLCSPSVAPHTSSPYYIGGSGLDPLPLLKSSGPNQSLPAYNRLAPTTRFNGLSMDAFKSPQLHHHHNQYGLGSGEASHGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEEDISPMGNGNDQSLRRFTGQRGPSDGSAVQQEIDYSSTATTLWSNSSRETWPQTNSNDMDGHREAKLQTQQRSGHPVEECNSTKLKGYLGTNLDCKNPSLEFTLGRPDWQGNERN >Manes.02G079700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6216018:6224738:1 gene:Manes.02G079700.v8.1 transcript:Manes.02G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEGVFVEPSSKPLPDLSLHISPPNTCPSSVSNSTNNIEADASFNLLMSRQQGIHKSNNIGSIRTSDSQAYSTELSLAHPANSFDELTRNKRNFTGVGAEDPPRNTYQQSHHHHHLHHSSTHLSNLNHGVSRLDVSDGLRPIKGIPVYHNRSFPFLPSEQSRENKDPKMCFYQMPYPSSSLCSPSVAPHTSSPYYIGGSGLDPLPLLKSSGPNQSLPAYNRLAPTTRFNGLSMDAFKSPQLHHHHNQYGLGSGEASHGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEEDISPMGNGNDQSLRRFTGQRGPSDGSAVQQEIDYSSTATTLWSNSSSSRETWPQTNSNDMDGHREAKLQTQQRSGHPVEECNSTKLKGYLGTNLDCKNPSLEFTLGRPDWQGNERN >Manes.02G079700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6216018:6224738:1 gene:Manes.02G079700.v8.1 transcript:Manes.02G079700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEGVFVEPSSKPLPDLSLHISPPNTCPSSVSNSTNNIEADASFNLLMSRQQGIHKSNNIGSIRTSDSQAYSTELSLAHPANSFDELTRNKRNFTGVGAEDPPRNTYQQSHHHHHLHHSSTHLSNLNHGVSRLDVSDGLRPIKGIPVYHNRSFPFLPSEQSRENKDPKMCFYQMPYPSSSLCSPSVAPHTSSPYYIGGSGLDPLPLLKSSGPNQSLPAYNRLAPTTRFNGLSMDAFKSPQLHHHHNQYGLGSGEASHGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEEDISPMGNGNDQSLRRFTGQRGPSDGSAVQQEIDYSSTATTLWSNSSSRETWPQTNSNDMDGHREAKLQTQQRSGHPVEECNSTKLKGYLGTNLDCKNPSLEFTLGRPDWQGNERN >Manes.16G136150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33656101:33656672:-1 gene:Manes.16G136150.v8.1 transcript:Manes.16G136150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVVVSQEAIRVAELEEQIQNVQNNTDISLEGIRSEAIHLEWDSWDKGKGVNNEEEATGCQTIRGILPTLKEFLNVQEKEQVSAASSIGIGEIPGLFPKNELKLVMEGSNPGVWLRRCEKYFGICKVLRDQRSSIASFFFLVERADSWFHNWSKGKGFLPWEAFEELYIDLG >Manes.08G105000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34516803:34519868:1 gene:Manes.08G105000.v8.1 transcript:Manes.08G105000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQAMEWESQGYEENSGRMRWIIDKGLALGKKMLITGFVISSAPVVLPPLMFISAVGVACSVPYGLFLASYACTEKIMSKLLPIPKTPLFVEDSLTKYEVDSGFRGKVEMKNEDEVNALKGGLYAQKEFEVVEKNGYEEDVNEDVEREKESSKDIDIQIEGTLEKEKEEPLMEKNKDAAEEICGVMIVIEGMEKNVSNTGVEEVPFEVTNVAVELCQGGDNKDNEELVRETRGLIERIRDEGETDTNVKGTVTQLGEGNAYGQKVEENGDKLILLENVEVKAQLQPSSIGSGTSEGSELEHSGISDQAPYSEEIIWKQIDAMRTIVGHTAARQGTYTEELKALYIFTGVEPPVSFKDSSDLAEVSDKLRFLMSIVGVK >Manes.08G105000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34517194:34519868:1 gene:Manes.08G105000.v8.1 transcript:Manes.08G105000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQAMEWESQGYEENSGRMRWIIDKGLALGKKMLITGFVISSAPVVLPPLMFISAVGVACSVPYGLFLASYACTEKIMSKLLPIPKTPLFVEDSLTKYEVDSGFRGKVEMKNEDEVNALKGGLYAQKEFEVVEKNGYEEDVNEDVEREKESSKDIDIQIEGTLEKEKEEPLMEKNKDAAEEICGVMIVIEGMEKNVSNTGVEEVPFEVTNVAVELCQGGDNKDNEELVRETRGLIERIRDEGETDTNVKGTVTQLGEGNAYGQKVEENGDKLILLENVEVKAQLQPSSIGSGTSEGSELEHSGISDQAPYSEEIIWKQIDAMRTIVGHTAARQGTYTEELKALYIFTGVEPPVSFKDSSDLAEVSDKLRFLMSIVGVK >Manes.08G105000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34516803:34519868:1 gene:Manes.08G105000.v8.1 transcript:Manes.08G105000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQAMEWESQGYEENSGRMRWIIDKGLALGKKMLITGFVISSAPVVLPPLMFISAVGVACSVPYGLFLASYACTEKIMSKLLPIPKTPLFVEDSLTKYEVDSGFRGKVEMKNEDEVNALKGGLYAQKEFEVVEKNGYEEDVNEDVEREKESSKDIDIQIEGTLEKEKEEPLMEKNKDAAEEICGVMIVIEGMEKNVSNTGVEEVPFEVTNVAVELCQGGDNKDNEELVRETRGLIERIRDEGETDTNVKGTVTQLGEGNAYGQKVEENGDKLILLENVEVKAQLQPSSIGSGTSEGSELEHSGISDQAPYSEEIIWKQIDAMRTIVGHTAARQGTYTEELKALYIFTGVEPPVSFKDSSDLAEVSDKLRFLMSIVGVK >Manes.08G105000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34516921:34519868:1 gene:Manes.08G105000.v8.1 transcript:Manes.08G105000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQAMEWESQGYEENSGRMRWIIDKGLALGKKMLITGFVISSAPVVLPPLMFISAVGVACSVPYGLFLASYACTEKIMSKLLPIPKTPLFVEDSLTKYEVDSGFRGKVEMKNEDEVNALKGGLYAQKEFEVVEKNGYEEDVNEDVEREKESSKDIDIQIEGTLEKEKEEPLMEKNKDAAEEICGVMIVIEGMEKNVSNTGVEEVPFEVTNVAVELCQGGDNKDNEELVRETRGLIERIRDEGETDTNVKGTVTQLGEGNAYGQKVEENGDKLILLENVEVKAQLQPSSIGSGTSEGSELEHSGISDQAPYSEEIIWKQIDAMRTIVGHTAARQGTYTEELKALYIFTGVEPPVSFKDSSDLAEVSDKLRFLMSIVGVK >Manes.14G022800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2205690:2207362:-1 gene:Manes.14G022800.v8.1 transcript:Manes.14G022800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKPLPTNLDSSFFHSLMPFKSFNPKTQTLKYSKSHSHSAVQSVLQWNRKPELAGETPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAVDADVGLRNLDLLLGLENRVNYTLVEVMNGDCRLDQALVRDKRWSNFELLCISKPRSKLPLGFGGKALVWLVESLKTRSEGCPDFIIIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLALLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDTMKAVMVEEEPKKRGFFSLFGV >Manes.03G177200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30034196:30038579:1 gene:Manes.03G177200.v8.1 transcript:Manes.03G177200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALVELEQVLRSQQAKLTPEEANVLLTCKSKAVREFTTGVIVGGSVAWTATWQLSKFARVYLSGGAAAFLGLWRFNRALNSCLDDILDLDGSRIQRELANIFMNKHQDDPWTMKRMHRCFYSEKVFDDSNSDKPILRWRYRNFFGDHVAHDQRMNDSDLQSDSHAVSHDDSDSKKVHVESKQVPMNPSADVMEDPLDIIFGSMAPVEEIHHPSASSTPARALTRSHRRSHRRHRIHHQKSIDLKPARQQA >Manes.07G020150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2245715:2246170:1 gene:Manes.07G020150.v8.1 transcript:Manes.07G020150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIFPSFRQKVASTATLSDPILRALPNVGEALFCLKTSRGGQFKAMATYKVKAITPDGEVEALEDADIDLPFSCRAGSCSSCAEKLVSGTVDQSEGNYLEDEQIEAGWALTYIAYPLSDIVFISFK >Manes.09G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34368031:34370172:-1 gene:Manes.09G146000.v8.1 transcript:Manes.09G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLVLALASFAPLAAEARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDYPTRRPTGRFSNGLNIPDLISEAIGTESPLPYLSPELEGERLLAGANFASAGIGILNDTGIQFLNIIRMYKQLEYFQQYQQRVSGLIGAEQTQRLVNGALVLMTVGGNDFVNNYYLVPFSARSRQFSLPDYVVYLISEYRKLLMRVYELGARRVLVTGTGPMGCVPAEIAMRGRNGQCSVELQRAASLYNPQLIEMINGLNSQLGSDVFTAANAYDMSMDFINNPRAFGFVTSKIACCGQGPYNGIGLCTELSNLCPNREIYAFWDPFHPSERANRIIVRQILTGTTEYMHPLNLSTIMLLDSKT >Manes.10G036500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3802664:3808070:1 gene:Manes.10G036500.v8.1 transcript:Manes.10G036500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGIISAINGSFSGGKTQEIVVARGKVLDLLRPDENGKLQTLLSVEIFGAIRSLAQFRLTGSQKDYIAVGSDSGRIVILEYNKERNVFDKIHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNEGHPDVRAVIPRRADLPAERGVLVVSAATHKQKSMFFFLLQTEYGDIFKVTLDHENDKVKELKIKYFDTIPVTASMCVLKSGFLFAASEFGNHALYQFQAIGEEADVEASSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPIMDMKVFNLFDEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFNNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLFLEVQASVGGEDGADHPASLFLNAGLQSGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSIIVRDRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVVLPKKKLLVVIESDQGAYAAEEREAAKKECFEAAGMGENGSANADQMENGGDDEDKDDPLSDEQYGYPKAESEKWVSCIRVLDPRTASTTCLLELQDNEAAFSVCTVNFHDKEHGTLLAVGTAKGLQFWPKRSLVAGFIHIYKFVDDGRTLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKKRLLRKCENKLFPNTIVSIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDCVPRWLTATSHIDFDTVAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVITSLHKASLIPGGGECIIYGTVMGSLGALLPFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMAFRSAYFPVKDVIDGDLCEQFPTLPLDAQRKIADELDRTPGEILKKLEEIRNKII >Manes.10G036500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3802664:3808070:1 gene:Manes.10G036500.v8.1 transcript:Manes.10G036500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGIISAINGSFSGGKTQEIVVARGKVLDLLRPDENGKLQTLLSVEIFGAIRSLAQFRLTGSQKDYIAVGSDSGRIVILEYNKERNVFDKIHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNEGHPDVRAVIPRRADLPAERGVLVVSAATHKQKSMFFFLLQTEYGDIFKVTLDHENDKVKELKIKYFDTIPVTASMCVLKSGFLFAASEFGNHALYQFQAIGEEADVEASSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPIMDMKVFNLFDEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFNNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLFLEVQASVGGEDGADHPASLFLNAGLQSGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSIIVRDRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVVLPKKKLLVVIESDQGAYAAEEREAAKKECFEAAGMGENGSANADQMENGGDDEDKDDPLSDEQYGYPKAESEKWVSCIRVLDPRTASTTCLLELQDNEAAFSVCTVNFHDKEHGTLLAVGTAKGLQFWPKRSLVAGFIHIYKFVDDGRTLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKKRLLRKCENKLFPNTIVSIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDCVPRWLTATSHIDFDTVAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVITSLHKASLIPGGGECIIYGTVMGSLGALLPFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMAFRSAYFPVKDVIDGDLCEQFPTLPLDAQRKIADELDRTPGEILKKLEEIRNKII >Manes.10G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3802664:3808070:1 gene:Manes.10G036500.v8.1 transcript:Manes.10G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGIISAINGSFSGGKTQEIVVARGKVLDLLRPDENGKLQTLLSVEIFGAIRSLAQFRLTGSQKDYIAVGSDSGRIVILEYNKERNVFDKIHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNEGHPDVRAVIPRRADLPAERGVLVVSAATHKQKSMFFFLLQTEYGDIFKVTLDHENDKVKELKIKYFDTIPVTASMCVLKSGFLFAASEFGNHALYQFQAIGEEADVEASSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPIMDMKVFNLFDEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFNNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLFLEVQASVGGEDGADHPASLFLNAGLQSGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSIIVRDRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVVLPKKKLLVVIESDQGAYAAEEREAAKKECFEAAGMGENGSANADQMENGGDDEDKDDPLSDEQYGYPKAESEKWVSCIRVLDPRTASTTCLLELQDNEAAFSVCTVNFHDKEHGTLLAVGTAKGLQFWPKRSLVAGFIHIYKFVDDGRTLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKKRLLRKCENKLFPNTIVSIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDCVPRWLTATSHIDFDTVAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVITSLHKASLIPGGGECIIYGTVMGSLGALLPFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMAFRSAYFPVKDVIDGDLCEQFPTLPLDAQRKIADELDRTPGEILKKLEEIRNKII >Manes.05G089600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8166408:8170697:1 gene:Manes.05G089600.v8.1 transcript:Manes.05G089600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSYNVGEVAPFVVMVIMEGCTIALTIMAKTAISGGMSPFVFVLYTNALGSIILFPCSFLFHRERVEQLLFTLPLLLRLFFLGFTGIALSQNLAFVGLSYSSPIVVCAMGLLIPAFSFILSIILRMKKLEWSSSSFQFKVLGTLISAIGAVIVELYKGPYIREASSSSLKLKQKQHLFVFYSAPDRWVLGGLLLAASCLCNSIWNVIQLGTVKQYPQVMKIASFYSLAGTIQCAIFSLIVERDLNAWKIKHNMDLLLIVLTAIFGSVIRSSVHISFTRMKGPFYVPLFQPFRVFWATCFGVSFFVNSLHYGSVIGTVICGMGYYTVTWGQTREDEKRKNFDVERANSSEEKVPLLQQDAEV >Manes.05G089600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8166408:8170697:1 gene:Manes.05G089600.v8.1 transcript:Manes.05G089600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSYNVGEVAPFVVMVIMEGCTIALTIMAKTAISGGMSPFVFVLYTNALGSIILFPCSFLFHRERVEQLLFTLPLLLRLFFLGFTGIALSQNLAFVGLSYSSPIVVCAMGLLIPAFSFILSIILRMKKLEWSSSSFQFKVLGTLISAIGAVIVELYKGPYIREASSSSLKLKQKQHLFVFYSAPDRWVLGGLLLAASCLCNSIWNVIQLGTVKQYPQVMKIASFYSLAGTIQCAIFSLIVERDLNAWKIKHNMDLLLIVLTAIFGSVIRSSVHISFTRMKGPFYVPLFQPFRVFWATCFGVSFFVNSLHYGSVIGTVICGMGYYTVTWGQTREDEKRKNFDVERANSSEEKVPLLQQDAEV >Manes.11G068100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15653196:15653498:-1 gene:Manes.11G068100.v8.1 transcript:Manes.11G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLPAVLRAKQILQRSSPTSNQIASAVMDVPKGYLAVYVGEKQTRRFMIPVSYLNKPSFQDLLIQAEEEFGYDHPMGGLTIPCSENIFIDVISCLNRS >Manes.13G108800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31406293:31410936:-1 gene:Manes.13G108800.v8.1 transcript:Manes.13G108800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNNGDHNNNNNSNWLGFSLSPHHMKIEAPSASAPTAIPTSFFDSQSHSQLNYGSYCGVEGENRGLYSSLPAMPLKSDVSLCVMEALTMSQPQATMMTTSTPKLEDFFGGATMGTHHYGTNDREATDPSLDSMYYHQDPDHHPTNQTCLNHLQQNHRHQQLPRQIFQVQHYPYFINNFRSHEMLVGEKSKETQQVSDCSLQLPTIGDDEINGMRNWDSRCPDYHAMDQQKMISCMGEYGGETADISAMAYGDLQSLGLSMSPGSQSSCVTGSQQVSPAVTDCTAMETKKRGPDKVDQKQTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDLEEKAARAYDLAALKYWGPSAHINFPLENYQNELAEMKNMTRQEKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFGITRYDVERIMASNTLLSGELARRIKDLGSGNEATNYNPSTQNSIEEVIPSQKNYESDWKMAFYQSSQQQLDQKAANVIDNYKTQAFALAPENVIGTDVMSSVHQQDVEDSTKVEAHVSNASSLVTSLSSSREGSQIELVFQCFLQCLPQQRPSCS >Manes.13G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31406293:31410936:-1 gene:Manes.13G108800.v8.1 transcript:Manes.13G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNNGDHNNNNNSNWLGFSLSPHHMKIEAPSASAPTAIPTSFFDSQSHSQLNYGSYCGVEGENRGLYSSLPAMPLKSDVSLCVMEALTMSQPQATMMTTSTPKLEDFFGGATMGTHHYGTNDREATDPSLDSMYYHQDPDHHPTNQTCLNHLQQNHRHQQLPRQIFQVQHYPYFINNFRSHEMLVGEKSKETQQVSDCSLQLPTIGDDEINGMRNWDSRCPDYHAMDQQKMISCMGEYGGETADISAMAYGDLQSLGLSMSPGSQSSCVTGSQQVSPAVTDCTAMETKKRGPDKVDQKQTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDLEEKAARAYDLAALKYWGPSAHINFPLENYQNELAEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFGITRYDVERIMASNTLLSGELARRIKDLGSGNEATNYNPSTQNSIEEVIPSQKNYESDWKMAFYQSSQQQLDQKAANVIDNYKTQAFALAPENVIGTDVMSSVHQQDVEDSTKVEAHVSNASSLVTSLSSSREGSQIELVFQCFLQCLPQQRPSCS >Manes.18G139114.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19009604:19010125:1 gene:Manes.18G139114.v8.1 transcript:Manes.18G139114.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFIFAGRVQFAAFDVKNYGGKTDGKSNISKALLGAWKEACLAKGSNRVVVPKGTYSIGLTDLNGPCKGSMELQGQGTLLAPINPSKYAKDSWITFAYIDRFKLSGGGTFDGQGQVAWKQNNCGRNPKYKRLPVVSLIESYIV >Manes.17G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26661700:26664027:1 gene:Manes.17G066500.v8.1 transcript:Manes.17G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQEVQLQIMVQEAKEENSTESTSVTSQATLPQRRNYNWWLRISIYVLFLLLGQSVAVILGRMYFIKGGNSKWMATVVQLAGFPILIPFYFIMKLKNPTASDTPTKSPSALTLTAIYVSLGLLVAADCFLYSIGLQYLPVSTYTLICASQLAFNSVFSFFLNSQKFTPCIINSLVLLTISSVLLVFNNESANPTGFSKVKYAIGFICTVAASAGYGLVLSLTQLCFKKVIRKQTFKVVMDMIIYQELVATGVAMIGLFASGEWKSLTREMDEYQMGKFSYVMNLSWTAIVWQVFAIGSVGLIFEVSSLFSNAISVLGLPIVPVLAVFVFHDKMDGVKAISMLLAVWGFLSYSYQHYLDHRESKAENRGSIEVSKASHTGED >Manes.17G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23996570:23998289:-1 gene:Manes.17G044600.v8.1 transcript:Manes.17G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGVCSQTWFTSAMSLTKSGASNVPDSYILPSSARPNATLTPSTTLPIVDLSMLHHPSLRSRVVNEIRSACKEIGFFQVINHGIPLHVMKDALDAVMGFFDLPLEEKMLLMSGNVHAPVRYGTSLNHSRDKVHFWRDFIKHYSHPISEWIHLWPANPPSYREKMAKYATAVQNLQKQLMRVVLESLGLNPNYLQNEIEEGSQVMTMNCYPACPEPQLTLGMPLHSDYGSLTILLQSCTGLQIMDQNKNWISVPMTEGALLVQLGDQVEVMSNGQYKSVVHQATVSPQRKRFSIASLHSLALNKKVGPAPELVDEQHPTSYKEFSFSDFLDYISNNDILDGRFIDTLKKNP >Manes.15G192960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32395549:32397265:-1 gene:Manes.15G192960.v8.1 transcript:Manes.15G192960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLTRTGSVSVHAPVLPGSPKCPLSRHDSVSGIFSGENHTVSSPRISLHLKINCHKDTPIRRAMSETDLMRSEIDLFGTSTKLSGAGSLSFPSKIPEEEHLLGSDLHGFGTWSSNHAGLWPDNGIPLEELGFTGDGFGKGNKSGGGNGGDDDDSRSDISKMGDYYKEMLKSNPSDSLILRNYGKFLQEVEGDIERAEEYYGRAILASPGDGEVLSLYGKLIWDSHRDGDRAKAYYDQAVSASPNDCMVLGSYAHFMWEAEEDEGEINGYAEASPALVGVL >Manes.09G051700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8633138:8636278:-1 gene:Manes.09G051700.v8.1 transcript:Manes.09G051700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRRIAGKSSIISTSLLPPALLVCCRSIATKLFVGGLSFYTTENGLSEAFSQYGQVVEAKIVSDRVSDKSKGFGFVTFASEDEAYTALGEMNGKTLNGRVIFVEVAKPRTGFRGELPIARGPPEPSEMIHKSQEDLQTESDIHKPNLS >Manes.08G056100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6144617:6146170:1 gene:Manes.08G056100.v8.1 transcript:Manes.08G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFIKAISPKRLFRSKKDRSVVSRSDPSSYSYGASSSSSSDSSVSNHKPDLIGGAVDIGTPTSVLPDLSGDWSDISCDTYSELLQAFKLIDKDNDGIIPRNELEALLSRLGAKPPSQEEVATMLSEVDQEGDGFISVEALMSRIGSTYEPAADDELRVAFEFFDTDQDGKITAEELLEVYKTLGDEQCTLDDCRRMIAQVDKNGVGFVCFEDFSRMMELQR >Manes.06G162000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28952048:28956525:-1 gene:Manes.06G162000.v8.1 transcript:Manes.06G162000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEATKMVFSRIQGLDPENASKIMGYLLLQDHGDKEMIRLAFGPETLLHNLILQAKTHLGLASNTPSTPSTPSPPSPFNPTSRPNPLSISSSRITSNNGFDITNPSSPSSNSWPLMSPSSTSSLSYASIVNGTSNNHTSSSSLSSSMSLSNAFPYYNSSNTNNNSSNDLIDEYQLQDHFSFLNDSKADDLFDPRLELAMSPTTYGDTHLHRRSFSVPGMCFGSEDSNSGFGWKPCLYFARGFCKNGTSCRFLHGESADAAAIVGSPSKLNEFDQCQELLRSKVAAAQQQKLAAASQFMAGASFPYNKCMNFLLQQQNDTQRSAAAAALMMGDELHKFGRCRPERNDFSPMGLGGAMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKQLQHQQQQMERGEYSACSSPSGLESREAFDLHLGARMFQNTQEMLLRRKLEEQAELQQAIELHGRRLMNMQLLDLKNHNNHQYVHGLSTGSPIPSPTLSRTTNSQNLIFPPDDIDQEVPQENGGNPDAAASRNAVPEAEQEVNPATKHSNGNSNSSICSTDEKTNTEEIDLHESLEHILPDNLFTSPKKSSGDHTVFSAAALEVDETRFLLGMEPLACSRQR >Manes.06G162000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28952048:28956525:-1 gene:Manes.06G162000.v8.1 transcript:Manes.06G162000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEATKMVFSRIQGLDPENASKIMGYLLLQDHGDKEMIRLAFGPETLLHNLILQAKTHLGLASNTPSTPSTPSPPSPFNPTSRPNPLSISSSRITSNNGFDITNPSSPSSNSWPLMSPSSTSSLSYASIVNGTSNNHTSSSSLSSSMSLSNAFPYYNSSNTNNNSSNDLIDEYQLQDHFSFLNDSKADDLFDPRLELAMSPTTYGDTHLHRRSFSVPGMCFGSEDSNSGFGWKPCLYFARGFCKNGTSCRFLHGESADAAAIVGSPSKLNEFDQCQELLRSKVAAAQQQKLAAASQFMAGASFPYNKCMNFLLQQQNDTQRSAAAAALMMGDELHKFGRCRPERNDFSPMGLGGAMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKQLQHQQQQMERGEYSACSSPSGLESREAFDLHLGARMFQNTQEMLLRRKLEEQAELQQAIELHGRRLMNMQLLDLKNHNNHQYVHGLSTGSPIPSPTLSRTTNSQNLIFPPDDIDQEVPQENGGNPDAAASRNAVPEAEQEVNPATKHSNGNSNSSICSTDEKTNTEEIDLHESLEHILPDNLFTSPKKSSGDHTVFSAAALEVDETSTSSSNNNPIMPTSSTLNIGSLKSCFLQMPRISSGHGTIGM >Manes.06G154500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28139594:28143498:1 gene:Manes.06G154500.v8.1 transcript:Manes.06G154500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFELAKHEESAPPLIEYISQDEVSGFDSIDSSRGSGYHPITSSSMGLEPIASEDCCNKFQPINDHMTYSVSINLPISPRGSNSEMNEKDLSEAIKETSFGNGISASSAESTAAGLQNRKIKFHSQSMPKGCAVGLEDSITNSHNNQNLKDKRYDSFKTWSGKIERQITYLRGKTLEPSTENPVEHRVEKDALPLDRYYDALEGPELENLRASEEIVLPDDKTWPFLLRFPISSFGICLGISSQAIMWKTLATSASTKFLHISLNVNLVLWCISFVLVPIVASTYLLKLILYFEAVRREYYHPIRINFFFAPWIALLFLALGVPPSLANNLHSVLWYILMAPILCLELKIYGQWMSGGQRRLSRVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWATIQGSFNYGSRIAYFIALFLYLTLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNEVTNIVTQTLAVILSIASTFTVTALLVTTILHAFVLRDLFPNDIAIAITERPKHHHHHHRHHHYMNWFHRRLGSLEHKDIENYLKYATSDHKDDIEASVDPNSDGSK >Manes.06G154500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28139594:28143498:1 gene:Manes.06G154500.v8.1 transcript:Manes.06G154500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFELAKHEESAPPLIEYISQDEVSGFDSIDSSRGSGYHPITSSSMGLEPIASEDCCNKFQPINDHMTYSVSINLPISPRGSNSEMNEKDLSEAIKETSFGNGISASSAESTAAGLQNRKIKFHSQSMPKGCAVGLEDSITNSHNNQNLKDKRYDSFKTWSGKIERQITYLRGKTLEPSTENPVEHRVEKDALPLDRYYDALEGPELENLRASEEIVLPDDKTWPFLLRFPISSFGICLGISSQAIMWKTLATSASTKFLHISLNVNLVLWCISFVLVPIVASTYLLKLILYFEAVRREYYHPIRINFFFAPWIALLFLALGVPPSLANNLHSVLWYILMAPILCLELKIYGQWMSGGQRRLSRVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWATIQGSFNYGSRIAYFIALFLYLTLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNEVTNIVTQTLAVILSIASTFTVTALLVTTILHAFVLRDLFPNDIAIAITERPKHHHHHHRHHHYMNWFHRRLGSLEHKDIENYLKYATSDHKDDIEASVDPNSDGSK >Manes.06G154500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28139067:28143498:1 gene:Manes.06G154500.v8.1 transcript:Manes.06G154500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFELAKHEESAPPLIEYISQDEVSGFDSIDSSRGSGYHPITSSSMGLEPIASEDCCNKFQPINDHMTYSVSINLPISPRGSNSEMNEKDLSEAIKETSFGNGISASSAESTAAGLQNRKIKFHSQSMPKGCAVGLEDSITNSHNNQNLKDKRYDSFKTWSGKIERQITYLRGKTLEPSTENPVEHRVEKDALPLDRYYDALEGPELENLRASEEIVLPDDKTWPFLLRFPISSFGICLGISSQAIMWKTLATSASTKFLHISLNVNLVLWCISFVLVPIVASTYLLKLILYFEAVRREYYHPIRINFFFAPWIALLFLALGVPPSLANNLHSVLWYILMAPILCLELKIYGQWMSGGQRRLSRVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWATIQGSFNYGSRIAYFIALFLYLTLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNEVTNIVTQTLAVILSIASTFTVTALLVTTILHAFVLRDLFPNDIAIAITERPKHHHHHHRHHHYMNWFHRRLGSLEHKDIENYLKYATSDHKDDIEASVDPNSDGSK >Manes.09G016683.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3488593:3490272:-1 gene:Manes.09G016683.v8.1 transcript:Manes.09G016683.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHKHPLPSRAQFSRFLSALLKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVVGKMLKLGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPNVRTFNVIVNGLCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELAGEALELFSQMRNKGISPNVITYTSLIHGVCKFGQKNQALALMNEMVEQNIFPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGLEPDVITYTSLIDGLCISDQFKEVLALLKEMVERNISLDVFTFNILIDTLCKKGLVSNAPNIIKIMIQRGVQPTIVTYNSMMDGYCLSNQIDKARKLFDLMVTNEIANIFSYNILINGYCKCKMIDDAKELFDEMSHKGLVPDVVTYSTLIKGMFQAGRPQNVKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCNVGKINDAKELFL >Manes.17G104700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31177526:31180776:-1 gene:Manes.17G104700.v8.1 transcript:Manes.17G104700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGLMGEGGKYHDITSSSTGGNHISINVDSLASSFKEMMSQNLSMSEKCCIFKIPHILQRHNEKAYVPNAFSIGPWYHHKPKLKPTEKVKLKYLHGLLSKAPCSEKMLKEFIKAIWEIEEDARACYAGPVDVKPDEFVKILVLDGCFIIELFRKDDNEILREDDDPIFTMSCMLQYLYHDLILLENQIPWFVLEKLFNMTRESGSKPLTQLALKFFHNIFSFTPPPIVQPFHEQKHILDLLRNWLVLSSGKAEDRTLGWQPIPSATNLVDAGIKFRKGEAKSILDIKFTGGVLEIPSLLIQETTEVIIRNLISYEQCCPKLSTRITSYAILLDNLINTARDMDILTDNGIIDNWLNPEDATQFFNKLYHDAYVKQYYYLDLCEKVNEYCHRRWPRWRAMFMRNYFGTPWAIASQIVAATFLILTVLQTLFTIIK >Manes.17G104700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31177526:31180776:-1 gene:Manes.17G104700.v8.1 transcript:Manes.17G104700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGLMGEGGKYHDITSSSTGGNHISINVDSLASSFKEMMSQNLSMSEKCCIFKIPHILQRHNEKAYVPNAFSIGPWYHHKPKLKPTEKVKLKYLHGLLSKAPCSEKMLKEFIKAIWEIEEDARACYAGPVDVKPDEFVKILVLDGCFIIELFRKDDNEILREDDDPIFTMSCMLQYLYHDLILLENQIPWFVLEKLFNMTRESGSKPLTQLALKFFHNIFSFTPPPIVQPFHEQKHILDLLRNWLVLSSGKAEDRTLGWQPIPSATNLVDAGIKFRKGEAKSILDIKFTGGVLEIPSLLIQETTEVIIRNLISYEQCCPKLSTRITSYAILLDNLINTARDMDILTDNGIIDNWLNPEDATQFFNKLYHDAYVKQYYYLDLCEKVNEYCHRRWPRWRAMFMRNYFGTPWAIASQIVAATFLILTVLQTLFTIIK >Manes.17G104700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31177526:31180776:-1 gene:Manes.17G104700.v8.1 transcript:Manes.17G104700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGLMGEGGKYHDITSSSTGGNHISINVDSLASSFKEMMSQNLSMSEKCCIFKIPHILQRHNEKAYVPNAFSIGPWYHHKPKLKPTEKVKLKYLHGLLSKAPCSEKMLKEFIKAIWEIEEDARACYAGPVDVKPDEFVKILVLDGCFIIELFRKDDNEILREDDDPIFTMSCMLQYLYHDLILLENQIPWFVLEKLFNMTRESGSKPLTQLALKFFHNIFSFTPPPIVQPFHEQKHILDLLRNWLVLSSGKAEDRTLGWQPIPSATNLVDAGIKFRKGEAKSILDIKFTGGVLEIPSLLIQETTEVIIRNLISYEQCCPKLSTRITSYAILLDNLINTARDMDILTDNGIIDNWLNPEDATQFFNKLYHDAYVKQYYYLDLCEKVNEYCHRRWPRWRAMFMRNYFGTPWAIASQIVAATFLILTVLQTLFTIIK >Manes.17G104700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31177526:31180776:-1 gene:Manes.17G104700.v8.1 transcript:Manes.17G104700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGLMGEGGKYHDITSSSTGGNHISINVDSLASSFKEMMSQNLSMSEKCCIFKIPHILQRHNEKAYVPNAFSIGPWYHHKPKLKPTEKVKLKYLHGLLSKAPCSEKMLKEFIKAIWEIEEDARACYAGPVDVKPDEFVKILVLDGCFIIELFRKDDNEILREDDDPIFTMSCMLQYLYHDLILLENQIPWFVLEKLFNMTRESGSKPLTQLALKFFHNIFSFTPPPIVQPFHEQKHILDLLRNWLVLSSGKAEDRTLGWQPIPSATNLVDAGIKFRKGEAKSILDIKFTGGVLEIPSLLIQETTEVIIRNLISYEQCCPKLSTRITSYAILLDNLINTARDMDILTDNGIIDNWLNPEDATQFFNKLYHDAYVKQYYYLDLCEKVNEYCHRRWPRWRAMFMRNYFGTPWAIASQIVAATFLILTVLQTLFTIIK >Manes.17G104700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31177526:31180776:-1 gene:Manes.17G104700.v8.1 transcript:Manes.17G104700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGLMGEGGKYHDITSSSTGGNHISINVDSLASSFKEMMSQNLSMSEKCCIFKIPHILQRHNEKAYVPNAFSIGPWYHHKPKLKPTEKVKLKYLHGLLSKAPCSEKMLKEFIKAIWEIEEDARACYAGPVDVKPDEFVKILVLDGCFIIELFRKDDNEILREDDDPIFTMSCMLQYLYHDLILLENQIPWFVLEKLFNMTRESGSKPLTQLALKFFHNIFSFTPPPIVQPFHEQKHILDLLRNWLVLSSGKAEDRTLGWQPIPSATNLVDAGIKFRKGEAKSILDIKFTGGVLEIPSLLIQETTEVIIRNLISYEQCCPKLSTRITSYAILLDNLINTARDMDILTDNGIIDNWLNPEDATQFFNKLYHDAYVKQYYYLDLCEKVNEYCHRRWPRWRAMFMRNYFGTPWAIASQIVAATFLILTVLQTLFTIIK >Manes.17G104700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31177526:31180776:-1 gene:Manes.17G104700.v8.1 transcript:Manes.17G104700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGLMGEGGKYHDITSSSTGGNHISINVDSLASSFKEMMSQNLSMSEKCCIFKIPHILQRHNEKAYVPNAFSIGPWYHHKPKLKPTEKVKLKYLHGLLSKAPCSEKMLKEFIKAIWEIEEDARACYAGPVDVKPDEFVKILVLDGCFIIELFRKDDNEILREDDDPIFTMSCMLQYLYHDLILLENQIPWFVLEKLFNMTRESGSKPLTQLALKFFHNIFSFTPPPIVQPFHEQKHILDLLRNWLVLSSGKAEDRTLGWQPIPSATNLVDAGIKFRKGEAKSILDIKFTGGVLEIPSLLIQETTEVIIRNLISYEQCCPKLSTRITSYAILLDNLINTARDMDILTDNGIIDNWLNPEDATQFFNKLYHDAYVKQYYYLDLCEKVNEYCHRRWPRWRAMFMRNYFGTPWAIASQIVAATFLILTVLQTLFTIIK >Manes.17G104700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31177526:31180776:-1 gene:Manes.17G104700.v8.1 transcript:Manes.17G104700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGKYHDITSSSTGGNHISINVDSLASSFKEMMSQNLSMSEKCCIFKIPHILQRHNEKAYVPNAFSIGPWYHHKPKLKPTEKVKLKYLHGLLSKAPCSEKMLKEFIKAIWEIEEDARACYAGPVDVKPDEFVKILVLDGCFIIELFRKDDNEILREDDDPIFTMSCMLQYLYHDLILLENQIPWFVLEKLFNMTRESGSKPLTQLALKFFHNIFSFTPPPIVQPFHEQKHILDLLRNWLVLSSGKAEDRTLGWQPIPSATNLVDAGIKFRKGEAKSILDIKFTGGVLEIPSLLIQETTEVIIRNLISYEQCCPKLSTRITSYAILLDNLINTARDMDILTDNGIIDNWLNPEDATQFFNKLYHDAYVKQYYYLDLCEKVNEYCHRRWPRWRAMFMRNYFGTPWAIASQIVAATFLILTVLQTLFTIIK >Manes.11G110600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25539594:25542156:-1 gene:Manes.11G110600.v8.1 transcript:Manes.11G110600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPTILSNSKLSNWILRIKEASSNGNFHEVISHYIQLKIAGPLPVYDSLFPPILKAFSYVSPKHGRAVHACLIKRGFESFTSIGNSIMSFYVKCADMDAARSGFDSMRCRDSVSWNILVHGFLDHGALVEGLWHFTSAKVAGFEPNISTLVLVIQACRNLSAKFEGLQLHCYLIQSGHCTISSIQNSLLCMYADDDMECARNMFDEMREKDVISWSVMIGGYVRSLEAQTGLQMFQEMVSNPAIEPDEVTMEMSRRNDVSWNCMISGLVLNENYSEALSVISSMQQEGTEADEVTLVNILQICKYFAHPYQCKAVHCVIIRHGCESNELLHNSLIDAYAKCKLIEHAWEVFIGMRRRDVVVWSTMIAGFAYCGMPDKAISVFQEMNKSTEIPNAVTIMNLLEACSVSADLNRSKWAHGVAVRRGLAVEVTVGTAIVVMYSKCGEIEASRKAFNQIRQKNIVTWSAMIASYGRNGLAHEALALLDEMKLHGLKPNALTIVSVLAACSHCGLVEEGLSIFKSMVEDHGLEPVSEHYSCIVGLLGRAGELDSAMELIKMMPESSKAGASVWGALLSACRNYGNTKLGREAASCVLELEPLNSAGYLLASSMFAADGLWVDATRMRLLAEERGKEATAGYSLIHADSKAS >Manes.11G042500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4625460:4665060:1 gene:Manes.11G042500.v8.1 transcript:Manes.11G042500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYFRWFKNNEGNANDQNRGEENAIPEPSRGAMAGSYFRWFKNNEGNASCQNRGEENAIPEPSRGGVHKYSFEELAKATGDFSNNNRVGLGGSGQVYKGTLPNGKQVAIKRLQYNSDPEKQRMELLFEDEVKTISRTCHRNIVEVVGYCSEEADRLIVYEFVSNNCLKSHIYVGRRQQKTVTAPIDWPTRMKIALGTAEGLAYLHEKCKGKPRIIHRDIKSDNILLDDEFNPKIGDFGISKEFADSKTHVSTIPKGTPDYLAPEHCTEDGQNKRLTDKSDVFSFGVVLLELITGKLAVFEKERREYIFLTIWAVPLLKQILDAEYQDLDAENCKELFDSKLPNNFEKNDMKRLIYCAVACVYKPANLRPHMCKIVKVLNGDLEPKTIWVRSDCKYLYRGSPYTPFPQAQGTSSS >Manes.11G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4661934:4665382:1 gene:Manes.11G042500.v8.1 transcript:Manes.11G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYFRWFKNNEGNASCQNRGEENAIPEPSRGGVHKYSFEELAKATGDFSNNNRVGLGGSGQVYKGTLPNGKQVAIKRLQYNSDPEKQRMELLFEDEVKTISRTCHRNIVEVVGYCSEEADRLIVYEFVSNNCLKSHIYVGRRQQKTVTAPIDWPTRMKIALGTAEGLAYLHEKCKGKPRIIHRDIKSDNILLDDEFNPKIGDFGISKEFADSKTHVSTIPKGTPDYLAPEHCTEDGQNKRLTDKSDVFSFGVVLLELITGKLAVFEKERREYIFLTIWAVPLLKQILDAEYQDLDAENCKELFDSKLPNNFEKNDMKRLIYCAVACVYKPANLRPHMCKIVKVLNGDLEPKTIWVRSDCKYLYRGSPYTPFPQAQGTSSS >Manes.11G042500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4625460:4665060:1 gene:Manes.11G042500.v8.1 transcript:Manes.11G042500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYFRWFKNNEGNASCQNRGGVHKYSFEELAKATGDFSNNNRVGLGGSGQVYKGTLPNGKQVAIKRLQYNSDPEKQRMELLFEDEVKTISRTCHRNIVEVVGYCSEEADRLIVYEFVSNNCLKSHIYVGRRQQKTVTAPIDWPTRMKIALGTAEGLAYLHEKCKPRIIHRDIKSDNILLDDEFNPKIGDFGISKEFADSKTHVSTIPKGTPDYLAPEHCTEDGQNKRLTDKSDVFSFGVVLLELITGKLAVFEKERREYIFLTIWAVPLLKQILDAEYQDLDAENCKELFDSKLPNNFEKNDMKRLIYCAVACVYKPANLRPHMCKIVKVLNGDLEPKTIWVRSDCKYLYRGSPYTPFPQAQGTSSS >Manes.11G042500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4625460:4665060:1 gene:Manes.11G042500.v8.1 transcript:Manes.11G042500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYFRWFKNNEGNASCQNRGEENAIPEPSRGGVHKYSFEELAKATGDFSNNNRVGLGGSGQVYKGTLPNGKQVAIKRLQYNSDPEKQRMELLFEDEVKTISRTCHRNIVEVVGYCSEEADRLIVYEFVSNNCLKSHIYVGRRQQKTVTAPIDWPTRMKIALGTAEGLAYLHEKCKPRIIHRDIKSDNILLDDEFNPKIGDFGISKEFADSKTHVSTIPKGTPDYLAPEHCTEDGQNKRLTDKSDVFSFGVVLLELITGKLAVFEKERREYIFLTIWAVPLLKQILDAEYQDLDAENCKELFDSKLPNNFEKNDMKRLIYCAVACVYKPANLRPHMCKIVKVLNGDLEPKTIWVRSDCKYLYRGSPYTPFPQAQGTSSS >Manes.11G042500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4625460:4665060:1 gene:Manes.11G042500.v8.1 transcript:Manes.11G042500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYFRWFKNNEGNASCQNRGGVHKYSFEELAKATGDFSNNNRVGLGGSGQVYKGTLPNGKQVAIKRLQYNSDPEKQRMELLFEDEVKTISRTCHRNIVEVVGYCSEEADRLIVYEFVSNNCLKSHIYVGRRQQKTVTAPIDWPTRMKIALGTAEGLAYLHEKCKGKPRIIHRDIKSDNILLDDEFNPKIGDFGISKEFADSKTHVSTIPKGTPDYLAPEHCTEDGQNKRLTDKSDVFSFGVVLLELITGKLAVFEKERREYIFLTIWAVPLLKQILDAEYQDLDAENCKELFDSKLPNNFEKNDMKRLIYCAVACVYKPANLRPHMCKIVKVLNGDLEPKTIWVRSDCKYLYRGSPYTPFPQAQGTSSS >Manes.18G058300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5169298:5175424:1 gene:Manes.18G058300.v8.1 transcript:Manes.18G058300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRHLAYRHALLENFEHGAQPLDDDSDLEYELQAFPDVSSEASTEAAACVKVLIASGSPSDSTHPNSTTVEAPLTINIPNGQAVRATSENLQPATMRGMNITVPVSVQKQPLPAATSTEGLDANGSASGTIPPRRKRKPWLESEDMELIAAVQKYGEGNWANILRSEFKWDRTASQLSQRWAIIRKRRLGNWNLGGNTSGVQLTEAQRAARHAMNLALDPPVKSTFTNNSGETSSSQPSSQRPFVTKSSSMGPLGSAANSQVAVNKLVKPDLNSNPVRAAAVAAGARVATQSDAASLLKAAQAKNAVHIMQASSSSIPSMPGGASSHSEARLDVHLNDQAAASVSTHPAVSTSGPCVALEKAPSPTTEPTPNSNAELSSKQDAETTEETKILSEDVTKVQVQEHQGIVLGNVPREQVQEEKAALPNQGAELKTEVGVAQSTDVSRKVNMVDVHGNQAEGIQKSNVDKVPSLSSVGKDENQSPVQENGDNKSTSNKQVDLPRVATDECSEKLEAVCKAEPCNMMTDGQG >Manes.18G058300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5169298:5175424:1 gene:Manes.18G058300.v8.1 transcript:Manes.18G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKSTKQKKGIVSEEDISTLLQRYTANTVLTLLQEVAQFEGVKIDWNALVKTTTTGISNAREYQMLWRHLAYRHALLENFEHGAQPLDDDSDLEYELQAFPDVSSEASTEAAACVKVLIASGSPSDSTHPNSTTVEAPLTINIPNGQAVRATSENLQPATMRGMNITVPVSVQKQPLPAATSTEGLDANGSASGTIPPRRKRKPWLESEDMELIAAVQKYGEGNWANILRSEFKWDRTASQLSQRWAIIRKRRLGNWNLGGNTSGVQLTEAQRAARHAMNLALDPPVKSTFTNNSGETSSSQPSSQRPFVTKSSSMGPLGSAANSQVAVNKLVKPDLNSNPVRAAAVAAGARVATQSDAASLLKAAQAKNAVHIMQASSSSIPSMPGGASSHSEARLDVHLNDQAAASVSTHPAVSTSGPCVALEKAPSPTTEPTPNSNAELSSKQDAETTEETKILSEDVTKVQVQEHQGIVLGNVPREQVQEEKAALPNQGAELKTEVGVAQSTDVSRKVNMVDVHGNQAEGIQKSNVDKVPSLSSVGKDENQSPVQENGDNKSTSNKQVDLPRVATDECSEKLEAVCKAEPCNMMTDGQG >Manes.09G148700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34663332:34668947:-1 gene:Manes.09G148700.v8.1 transcript:Manes.09G148700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVLDDIIRRLLEVRGKPGKQVQLSEAEIRQLCVVSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPHANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLPRPTDVPDTGLLCDLLWSDPSKDVEGWGMNDRGVSYTFGPDKVTEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPVDKRSKFNFGSTATAKPGNTSGVGVFGSTTTAKPGNSPAGVKSFLGAKV >Manes.09G148700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34662993:34669219:-1 gene:Manes.09G148700.v8.1 transcript:Manes.09G148700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVLDDIIRRLLEVRGKPGKQVQLSEAEIRQLCVVSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPHANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALIDEKILCMHGGLSPDLHNLDQIRNLPRPTDVPDTGLLCDLLWSDPSKDVEGWGMNDRGVSYTFGPDKVTEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPVDKRSKFNFGSTATAKPGNTSGVGVFGSTTTAKPGNSPAGVKESFSALQSFLGAKV >Manes.14G107600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9028262:9032542:1 gene:Manes.14G107600.v8.1 transcript:Manes.14G107600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNLVSWFSLQNLRGWFQQEGRMREVDLGAHTIRSHGARVARNHKHDWLILVLLGVIVIVLNIIHPFYRFVGKDMMDDLKYPFKDNTVPTWSVPLYAVLLPIAIFVFVYIRRRDVYDLHHSILGLLFSVLITAVITDAIKNAVGRPRPDFFWRCFPDGKDFYNQWGDVICHGKDSDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRRGHVAKLCIIILPLLAAALVGISRVDDYWHHWQDVFAGALIGLVVSAFCYLQFFPAPYCDEGWGPYAYFQALEESRSNATAGQTTNVLNMQAMDVEAVSLQLRENGNAFTSLDELESGRR >Manes.14G107600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9028222:9032542:1 gene:Manes.14G107600.v8.1 transcript:Manes.14G107600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNLVSWFSLQNLRGWFQQEGRMREVDLGAHTIRSHGARVARNHKHDWLILVLLGVIVIVLNIIHPFYRFVGKDMMDDLKYPFKDNTVPTWSVPLYAVLLPIAIFVFVYIRRRDVYDLHHSILGLLFSVLITAVITDAIKNAVGRPRPDFFWRCFPDGKDFYNQWGDVICHGKDSDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRRGHVAKLCIIILPLLAAALVGISRVDDYWHHWQDVFAGALIGLVVSAFCYLQFFPAPYCDEGWGPYAYFQALEESRSNATAGQTTNVLNMQAMDVEAVSLQLRENGNAFTSLDELESGRR >Manes.14G107600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9028262:9031987:1 gene:Manes.14G107600.v8.1 transcript:Manes.14G107600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNLVSWFSLQNLRGWFQQEGRMREVDLGAHTIRSHGARVARNHKHDWLILVLLGVIVIVLNIIHPFYRFVGKDMMDDLKYPFKDNTVPTWSVPLYAVLLPIAIFVFVYIRRRDVYDLHHSILGLLFSVLITAVITDAIKNAVGRPRPDFFWRCFPDGKDFYNQWGDVICHGKDSDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRRGHVAKLCIIILPLLAAALVGISRVDDYWHHWQDVFAGALIGWGPYAYFQALEESRSNATAGQTTNVLNMQAMDVEAVSLQLRENGNAFTSLDELESGRR >Manes.14G107600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9028262:9031987:1 gene:Manes.14G107600.v8.1 transcript:Manes.14G107600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNLVSWFSLQNLRGWFQQEGRMREVDLGAHTIRSHGARVARNHKHDWLILVLLGVIVIVLNIIHPFYRFVGKDMMDDLKYPFKDNTVPTWSVPLYAVLLPIAIFVFVYIRRRDVYDLHHSILGLLFSVLITAVITDAIKNAVGRPRPDFFWRCFPDGKDFYNQWGDVICHGKDSDIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRRGHVAKLCIIILPLLAAALVGISRVDDYWHHWQDVFAGALIGWGPYAYFQALEESRSNATAGQTTNVLNMQAMDVEAVSLQLRENGNAFTSLDELESGRR >Manes.02G073900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5643209:5647436:1 gene:Manes.02G073900.v8.1 transcript:Manes.02G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNNSKNEEPNTAPEPDLWYDITLGRSFKDHNNHPSPKFCTLRYEFKPASIDKSQPGSLHKGKNNKVTVEYHNNQYGKPKVTFEGVSEDYKENDAVLFFDGETFRLERLHRAVKRLRHVRLPGESAAAMTSATLVAPAVENYSPPVNKGTNQQFLNKVVAHQVQVEQVGIGDSENSVAESAEQKTPEHPSNLPNPSDMPPELKNYEHEEHLDIVNDHDNDCGTTDKENESGKGSRTCLDIDINLPHQADTDDEIADVDISDDEADKGPNAAEALRAQVNAEVMKEQASSSSTSSGTDSSTSENGSGSGSGSGSESGSSSSSDSESSDSDSVTSI >Manes.02G073900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5643209:5647441:1 gene:Manes.02G073900.v8.1 transcript:Manes.02G073900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNNSKNEEPNTAPEPDLWYDITLGRSFKDHNNHPSPKFCTLRYEFKPASIDKSQPGSLHKGKNNKVTVEYHNNQYGKPKVTFEGVSEDYKENDAVLFFDGETFRLERLHRAVKRLRHVRLPGESAAAMTSATLVAPAVENYSPPVNKGTNQQFLNKVVAHQVQVEQVGIGDSENSESAEQKTPEHPSNLPNPSDMPPELKNYEHEEHLDIVNDHDNDCGTTDKENESGKGSRTCLDIDINLPHQADTDDEIADVDISDDEADKGPNAAEALRAQVNAEVMKEQASSSSTSSGTDSSTSENGSGSGSGSGSESGSSSSSDSESSDSDSVTSI >Manes.01G173500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35483764:35488471:-1 gene:Manes.01G173500.v8.1 transcript:Manes.01G173500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFIGGNWKCLQNGTTEEVKKIVTTLNEAEVPPEDVVEVVVSPPFIFLPLVKGLLRPDFQVAAQNCWVRKGGAFTGEVSAEMLVNLSVPWVILGHSERRSLLNESNEFVGDKVAYALSQGLKVIACIGETLEQRESGSTMAVVAAQTKAIAEKVTNWTNVVLAYEPVWAIGTGKVATPAQAQEVHLELRKWLHDNVSADVAASTRIIYGGSVNGGNCKELAAKPDVDGFLVGGASLKPEFIDIIKSATVKKE >Manes.01G173500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35483764:35488471:-1 gene:Manes.01G173500.v8.1 transcript:Manes.01G173500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFIGGNWKCNGTTEEVKKIVTTLNEAEVPPEDVVEVVVSPPFIFLPLVKGLLRPDFQVAAQNCWVRKGGAFTGEVSAEMLVNLSVPWVILGHSERRSLLNESNEFVGDKVAYALSQGLKVIACIGETLEQRESGSTMAVVAAQTKAIAEKVTNWTNVVLAYEPVWAIGTGKVATPAQAQEVHLELRKWLHDNVSADVAASTRIIYGGSVNGGNCKELAAKPDVDGFLVGGASLKPEFIDIIKSATVKKE >Manes.15G037600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2943453:2944103:1 gene:Manes.15G037600.v8.1 transcript:Manes.15G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNSMSNSLKDHTIGSRTSSSSNNIQSNNKLKCSWNYNSQSCGEDYLSGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRQSPPRDGPILNLNVNPNPNPSKSPPFTCTLPSSAAATPPRGLSVLSSPSFASSSETKKWAMDDSLSHDHLSPKSSDLTKPRNAFLSDGFTREGGCKFLKKAEILRLDLEIGLSGSKEDLDLELRLGYS >Manes.09G111650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31375545:31376183:-1 gene:Manes.09G111650.v8.1 transcript:Manes.09G111650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMKGLLFLVIFSDGSIPKRKLRCSWSSLSTCTLNFNKLFLYKYTKREWRKTHLLLIFLN >Manes.17G084450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29118827:29119667:-1 gene:Manes.17G084450.v8.1 transcript:Manes.17G084450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRTGKCAVSSSRLPNLAKLSPPPLHTTLHSIPKIRGIKLSTQEQVSNIRCRARRRVRYEEDDDEKEDDGYGYNEEIALLENYTQSAREEVLLVHAIVDDQEVEVLIFKGFSSSLSYETSPDPSRSVLPATAVIKSIDRVKGPFDPSNIEYIEKALTWEAFKARFASP >Manes.17G084450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29118031:29119746:-1 gene:Manes.17G084450.v8.1 transcript:Manes.17G084450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRTGKCAVSSSRLPNLAKLSPPPLHTTLHSIPKIRGIKLSTQEQVSNIRCRARRRVRYEEDDDEKEDDGYGYNEEIALLENYTQSAREEVLLVHAIVDDQEVEVLIFKLIEAVDKVMTQQGEEQEKTADEVFEKMKILEEGMSEFIPVGIQEIDVKKIGLLDILMSTTFGSFKVYEEVICVKILVPERNPLLYKWVLALKQVPLVKELLSPPDKLAPLLQRYRQNALKSSN >Manes.11G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3155108:3157342:-1 gene:Manes.11G032700.v8.1 transcript:Manes.11G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKGRDNGGDSSSSISSEKREKTRWSFGKSRRDNSVIPRDNLQVKDAAWLRSYIAETERDQNKHAIAMAVVTAAAADAAAAAAQAAMAVVRLTSNGRGTTYGSETEKWAAIKVQTVFRGFLARKALRALKGLVKMQALVRGYLVRKQAAATLHSMQALIRAQTSVRSQRARRSINKENRFQPENRPRKSIEKFDDTKSEFHSERLFASYEFDESPKIVEIKPRSRSRRAAAALSEYDDGVPYTSPLPCPIPSRISIPYYKNHQDFANSVRSNAPATPAKSVCGGSFFRPHSNFPNYMSSTKSFKAKLRSHSAPKQRAEPGPKKKLSLNEIMAARNSISSVRMHRMHKNHQDFANSVRSNAPATPAKSVCGGSFFRPHSNFPNYMSYTKSFKAKLRSHSAPKQRAEPGPKKKLSLNEIMAARNSISSVRMHRLCSQADEGLGL >Manes.05G156400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26873610:26876540:1 gene:Manes.05G156400.v8.1 transcript:Manes.05G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQVLHMNKGDGETSYAKNSTVQSKIISVGKPVMEEAILKLLCSSVPESIGIADLGCSSGPNALRVISEILDIIYAKCKDLGCPPPECRVSLNDLPCNDFNCIFGLLPTLYNKIKEEKGAGFGPCFISAMPGSFYGRLFPSRSLHFVHSSSSLHWLSQVPPSLSWNPSTPMNKGKIYISKTSPSSVLEAYSQQFKENFSLFLKSRSEELVPGGRMVLSFMGRRSVDPTTDESCYQWELLAKALMSMVSEGLVEEEKVDSFNAPYYAPCAEEIKMEVEREGSFSIDMIEGFEIEWDGGSDDKQSRGRRVAKTIRAVVESMLESQFGRDIMDELFHRYAELVDDYLSKNTTKYTNLVISMFRKDC >Manes.15G073300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5532373:5539136:-1 gene:Manes.15G073300.v8.1 transcript:Manes.15G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQTAAAARDQYRLLGENVAKLRTDLMKEQLATFRTQLEEFARKHKNDIRKNPTFRAQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVEICLATRPHNGGLINLQELCTLLRQKRKSDREAASEDDCLRAISKLKILGSGFEVISVGKRKLVRSVPTELNKDHNEILELAQARGYVTVDDVERQLSWTSGRATDALDTLLDEGLAMIDDGHKDGKRRYWFPCVSSISSSGGADI >Manes.04G109000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31297096:31300440:1 gene:Manes.04G109000.v8.1 transcript:Manes.04G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDSLSLRCNTSNLERILCSMVQSLVVETALLAQKSLLCLLFVMRSLPDDVGAVQDLMATDMRFPFSELNRSEEPSAECKDAGETEDDSDDGDGDGDDDDDSNGEEGTDDEEDDSDAEASGDGESDDDDDGNDDDEEGDDDDEDDDDDDDEDDEEGEETQPPSKRKK >Manes.04G016800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2131104:2131966:1 gene:Manes.04G016800.v8.1 transcript:Manes.04G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSSSPNTSTTSEKAKPKPKPKKDRHSKVNGRDRRIRLPTICAARIFQLTWELGNRTDGETIEWLLRMAEPSIIAATGNGIAPANPSPPPASSSNSSFINPLSSGRLPMGCLGFSGGGVIFPCEARVAVPETQGIVVDNNDQSKQEQAFPAII >Manes.02G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4294139:4299046:1 gene:Manes.02G053700.v8.1 transcript:Manes.02G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHLLALARRSHRPPPSALSAVRSSSTAVASSSPSTPPSPPPPNAMIYDRLAESVKSKLKQLENPDPRFLKYGSPHPTLTTHTHILSAPETRITTLPNGLRVATESTLASKTATVGVWIDAGSRFETDDTNGTAHFLEHMIFKGTERRSARDLEEEIENMGGHLNAYTSREQTTYYAKVMDKDVNKALDILADILQNSKFDENRISRERDVILREMEEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAKNIRSITRDHLQGYIQTHYTAPRMVIVASGAVKHEEVVEQVKKLFTKLSADPTTASQLVAKEPAFFTGSEVRIIDDDIPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWNKSAGGGKHIGSELAQRVGINEIAESMMAFNTNYKDTGLFGVYAVAKADCLDDLAWAIMYETTKLSYRVSEADVTRARNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVDASTIKRVANRFIHDKDIAIAATGPIQGLPDYNWFRRRTYLNRY >Manes.18G147001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:31601059:31601946:1 gene:Manes.18G147001.v8.1 transcript:Manes.18G147001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEFEMSMMGELKFFLGLQIKQAKDGIFINQAKYTKELIKRFGMENCKPSRTPMSTNTKLDKDEKGKPIDEKLYRGMIGSLLYLTASRPDIMFSVCLCARFQSCPKESHLHAVKRILRYLHGTLHLGLWYPRSSSFSLCSYSDADFAGSILDRKSTSGTCQLLGQSLVSWCSKKQNSVALSTAEAEYVAAGLCCSQILWIKQQLRDFEVSLDHIPIKCDNTSAINLTKNPIQHSRTKHIDIRHHFIRDHVLNGDVVLEFVDTNNQLADIFTKPLNEERFNFIKRELGMLDSDAC >Manes.15G149900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12436237:12438681:-1 gene:Manes.15G149900.v8.1 transcript:Manes.15G149900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSNSPCAACKFLRRKCLPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPPTSFGRSTRMGHGGLSSSSSSASYDQNSALYYPSTWNNDTCGHNQGRGDHGSI >Manes.15G149900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12436105:12439046:-1 gene:Manes.15G149900.v8.1 transcript:Manes.15G149900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSNSPCAACKFLRRKCLPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPPTSFGRSTRMGHGGLSSSSSSASYDQNSALYYPSTWNNDTCGHNQGRGDHGSI >Manes.01G008900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2968728:2980964:-1 gene:Manes.01G008900.v8.1 transcript:Manes.01G008900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIGNPVEFELPECFNKWKLTPYTFIKRNIYLTKRIKRRLEDDGIFCSCSSSPGASGVCGRDCHCGMLLSSCSTGCRCGSSCLNKPFQHRPVKKMKLVQTEKCGAGIVADEDIAQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCSPNTEMQKWIIDGETRIGIFATRDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPSKPKISSDAALQLVACQVAVSSPKLKAILSGKDVYQNGGLHTGNSEHAYSKRQTRSHNCIGEVIHMFRPYSNRSFGMIKRFDKHSRKHAIMFEDGAVEFLDMSKEDWEFVTL >Manes.01G008900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2968728:2980962:-1 gene:Manes.01G008900.v8.1 transcript:Manes.01G008900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIGNPVEFELPECFNKWKLTPYTFIKRNIYLTKRIKRRLEDDGIFCSCSSSPGASGVCGRDCHCGMLLSSCSTGCRCGSSCLNKPFQHRPVKKMKLVQTEKCGAGIVADEDIAQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCSPNTEMQKWIIDGETRIGIFATRDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPSKPKISSDAALQLVACQVAVSSPKLKAILSGKDVYQNGGLHTGNSEHAYSKRQTRSHNCIGEVIHMFRPYSNRSFGMIKRFDKHSRKHAIMFEDGAVEFLDMSKEDWEFVTL >Manes.01G008900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2968784:2980962:-1 gene:Manes.01G008900.v8.1 transcript:Manes.01G008900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEVMPATKENFDCDHNCIKLAFSKLMKQIGNPVEFELPECFNKWKLTPYTFIKRNIYLTKRIKRRLEDDGIFCSCSSSPGASGVCGRDCHCGMLLSSCSTGCRCGSSCLNKPFQHRPVKKMKLVQTEKCGAGIVADEDIAQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCSPNTEMQKWIIDGETRIGIFATRDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPSKPKISSDAALQLVACQVAVSSPKLKAILSGKDVYQNGGLHTGNSEHAYSKRQTRSHNCIGEVIHMFRPYSNRSFGMIKRFDKHSRKHAIMFEDGAVEFLDMSKEDWEFVTL >Manes.01G008900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2968762:2980964:-1 gene:Manes.01G008900.v8.1 transcript:Manes.01G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEVMPATKENFDCDHNCIKLAFSKLMKQIGNPVEFELPECFNKWKLTPYTFIKRNIYLTKRIKRRLEDDGIFCSCSSSPGASGVCGRDCHCGMLLSSCSTGCRCGSSCLNKPFQHRPVKKMKLVQTEKCGAGIVADEDIAQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCSPNTEMQKWIIDGETRIGIFATRDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPSKPKISSDAALQLVACQVAVSSPKLKAILSGKDVYQNGGLHTGNSEHAYSKRQTRSHNCIGEVIHMFRPYSNRSFGMIKRFDKHSRKHAIMFEDGAVEFLDMSKEDWEFVTL >Manes.01G008900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2968977:2980962:-1 gene:Manes.01G008900.v8.1 transcript:Manes.01G008900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEVMPATKENFDCDHNCIKLAFSKLMKQIGNPVEFELPECFNKWKLTPYTFIKRNIYLTKRIKRRLEDDGIFCSCSSSPGASGVCGRDCHCGMLLSSCSTGCRCGSSCLNKPFQHRPVKKMKLVQTEKCGAGIVADEDIAQGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCSPNTEMQKWIIDGETRIGIFATRDIKKGEHLTYDYQFVQFGADQDCHCGAVGCRRKLGVKPSKPKISSDAALQLVACQVAVSSPKLKAILSGKDVYQNGGLHTGNSEHAYSKRQTRSHNCIGEVIHMFRPYSNRSFGMIKRFDKHSRKHAIMFEDGAVEFLDMSKEDWEFVTL >Manes.12G044300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3621724:3623178:1 gene:Manes.12G044300.v8.1 transcript:Manes.12G044300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEAASKKRNKMVHMNQKLRRKITALVVDDDTTNRTIHSRLLQNLGIENQEVRNGKEAIDLHSSGRIFDLILMDMDMPIMNGIEATKQLRAMGIRSTIAGVSTRSVKEQVQEFMEAGLDDYQEKPLTSAKLISILHKISHNGSVVS >Manes.12G044300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3621724:3623178:1 gene:Manes.12G044300.v8.1 transcript:Manes.12G044300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEAASKKRNKMVHMNQKLRRKITALVVDDDTTNRTIHSRLLQNLGIENQEVRNGKEAIDLHSSGRIFDLILMDMDMPIMNGIEATKQLRAMGIRSTIAGVSTRSVKEQVQEFMEAGLDDYQEKPLTSAKLISILHKISHNGSVVS >Manes.12G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3621395:3623362:1 gene:Manes.12G044300.v8.1 transcript:Manes.12G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEAASKKRNKMVHMNQKLRRKITALVVDDDTTNRTIHSRLLQNLGIENQEVRNGKEAIDLHSSGRIFDLILMDMDMPIMNGIEATKQLRAMGIRSTIAGVSTRSVKEQVQEFMEAGLDDYQEKPLTSAKLISILHKISHNGSVVS >Manes.12G044300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3621395:3623362:1 gene:Manes.12G044300.v8.1 transcript:Manes.12G044300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEAASKKRNKMVHMNQKLRRKITALVVDDDTTNRTIHSRLLQNLGIENQEVRNGKEAIDLHSSGRIFDLILMDMDMPIMNGIEATKQLRAMGIRSTIAGVSTRSVKEQVQEFMEAGLDDYQEKPLTSAKLISILHKISHNGSVVS >Manes.14G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4312367:4315623:-1 gene:Manes.14G049700.v8.1 transcript:Manes.14G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALARISRKALITTIFPHRTLLHRTFTVEASTKSITPSADRVKWDYRGQRQLIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGASVWSGSVLRGDLNKITVGFCSNVQERCVIHAAWNSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKNHFSEFLPYSTVYLEVEKLKKKLGIAI >Manes.11G078400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11680526:11682445:-1 gene:Manes.11G078400.v8.1 transcript:Manes.11G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKQEASHEKAEACVWMTGGEGVAAEGDKARDGSDRGCVTRSRDLVAVVSFGVIRKKRMPRQRRPSSSINHLFSLPTAFTTTTTSHASSSSSHHLSTSPARVIDQRRLSFLFQKELKNSDVSSLKRMILPKKAAEAHLPLLESKEGIFISMDDLDGMHVWSFKYRYWPNNNSRMYVLENTGDFANTHGLQPGDFIMVYKDNLNQNYVIQAKKASDEDVYANITLTEVDDIFLHDFKEINKSSFFNMNYPIVDNTGLSFIYDNTTFSDDSPLDFLGGSLTNYSRIGHMESFGSVESLSLDEFN >Manes.05G041900.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3380023:3388678:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3380023:3388769:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3380811:3390992:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3380023:3388678:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3380023:3388694:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3379939:3388678:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3380808:3390992:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3381168:3388369:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3380023:3388678:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.05G041900.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3380023:3388678:1 gene:Manes.05G041900.v8.1 transcript:Manes.05G041900.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFANSSMQETIERYRRHVKDTKINKQTSEEDMLLLKTEATNMVKKIELLEIAKRKLLGEGLGACTIEELQQIEQQLERSVSNIRARKNQVFKEQIERLKEKEKQLEDENAKLSEKCGAHKWQGLKMVEESRACEERSPVSDVETELFIGPPETRTQRLLQ >Manes.04G127220.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32970006:32973649:-1 gene:Manes.04G127220.v8.1 transcript:Manes.04G127220.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAIHSAKGLEYLHDHCTPQIIHGNLTPNNIFIDSNFEPKMADFGLSILFPDDNITHITTDRGAQIYVDFSYNHENADVYSFGGILLELITGRGHSVDQRRTILRWAKDRIGQALDNSEFTDLVDSRLQEYDEDEMLRMISCAAASVYKSSRFRPRMQQIVQVLEGSMPWSIIWRENDKTFLTE >Manes.08G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19312263:19316390:1 gene:Manes.08G078200.v8.1 transcript:Manes.08G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINDVDEEECGFSRNYFLAKELGSSGKKSTLKISDIDLVDEQELRAAAGNIEPKHEKEIIALVNSYKKMFPRWVFELRCGFGLLMYGFGSKKALIEDFASTALTEYPVVVINGYLQSVNLKQVMIAIAELWWDQLKTRQKTSSRILPKGQQPFDSRSVDDILAFLDRSEAEENDSFVCVAIHNIDGPGLRDSESQQYLARIASCSHIRIIASIDHVNSPLLWDKKMIHTQFNWYWYHVPTFAPYKIEGIFFPLILAHSSTSQSAKTAAIVLQSLTPNAQSVFKILAEYQLSHPEEEGMPFDNLYTVSRERFLVSSQVTLNSHLTEFKDHELVKTRRRSDGQDCLYIPLANDALEKLLSEINQ >Manes.06G038400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11649707:11655978:-1 gene:Manes.06G038400.v8.1 transcript:Manes.06G038400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMGRRVEKRGFEQSVEDDADDLPDPKKAKMPALARSPPKIKGPEGRNLQLHFRTRMPPHLFTSGKVEGEQGAAIHVVLLDASTGSIVQMGPESAAKLNVVVLEGDFNEEADEDWTAEHFEGHEVKEREGKRPLLTGDLQVTLKEGIGTLGDLTFTDNSSWIRSRKFRLGVKVASGYCEGIRVREAKTEAFAVKDHRGELYKKHYPPALNDEVWRLDRIAKDGALHKKLVKAQIVTVEDFLRLLVRDPQKLRNILGSGMSNRMWENTVEHAKTCVLGGKLYVYFTDVTHSTGVVFNHIYELRGLITDGQFLPLESLNHNQKVSVDSLVKRAYENWHQVIEYDGKVLNSLTGTRNNEKRVSVAPVIDNYNTNQYITSNHNRQQQYISSEQCSQSQAVNNPTSVPQLIEFPFVRSDHNAITTLSNPQAALAGGVMDHMSIGTPAGGAYFTGDWSQPRHSHGSEDFFAEEIRLRSSEMLESDDMQRLLKTLSMGVGVGMGNGFGHPDEACYSYSIQAYEPPMDQAFAQERSRGSGKAVVGWLKLKAALRWGIFVRRKAAERRAQLVELD >Manes.06G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11649708:11655978:-1 gene:Manes.06G038400.v8.1 transcript:Manes.06G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMGRRVEKRGFEQSVEDDADDLPDPKKAKMPALASVIVEALKVDSLQRLCSSLEPLFRRIVSEEVERALTRMGHAKLAARSPPKIKGPEGRNLQLHFRTRMPPHLFTSGKVEGEQGAAIHVVLLDASTGSIVQMGPESAAKLNVVVLEGDFNEEADEDWTAEHFEGHEVKEREGKRPLLTGDLQVTLKEGIGTLGDLTFTDNSSWIRSRKFRLGVKVASGYCEGIRVREAKTEAFAVKDHRGELYKKHYPPALNDEVWRLDRIAKDGALHKKLVKAQIVTVEDFLRLLVRDPQKLRNILGSGMSNRMWENTVEHAKTCVLGGKLYVYFTDVTHSTGVVFNHIYELRGLITDGQFLPLESLNHNQKVSVDSLVKRAYENWHQVIEYDGKVLNSLTGTRNNEKRVSVAPVIDNYNTNQYITSNHNRQQQYISSEQCSQSQAVNNPTSVPQLIEFPFVRSDHNAITTLSNPQAALAGGVMDHMSIGTPAGGAYFTGDWSQPRHSHGSEDFFAEEIRLRSSEMLESDDMQRLLKTLSMGVGVGMGNGFGHPDEACYSYSIQAYEPPMDQAFAQERSRGSGKAVVGWLKLKAALRWGIFVRRKAAERRAQLVELD >Manes.05G012400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:791123:793332:1 gene:Manes.05G012400.v8.1 transcript:Manes.05G012400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKPSMLQPSRPQYDMSDIISFLREISSSNTFPDKDEQNIIVRAVNLACGAFGLLASTVSSILDPSKKFCTTFEEFWRRNWKADTYPAEVFDDQLKSKLDNTRLDQTLSDIVVPAYHFDSRRPLVFSTSQARKLHANFNEEVTLRDVVLSSSAIPTIFPLHSFKYSGKFGTFADANIVADNPTLLALSEGTRLYGSGPNYKNYLVLSLGTIKRRAPPCDIIVIPSALLDYISKRIWKGMKARVLQYMHIFFGDIIQMYTTQMLPSQLHEGLLNYLRIQGFEPNDSLPSEIDEPTSGFLELKRIAYDILKRNATYVDPSTGAHAEQDFSNEEALKTFARQLHAERQRRFLNQQEQYGGNYINK >Manes.05G012400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:791123:793332:1 gene:Manes.05G012400.v8.1 transcript:Manes.05G012400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPRSDGECVTVLSIDGEVQGIVPAVLLNFLEDQLKEVEKENGGNPEDVRIADYFDVIGGTGTGSLVAAMLTKPSMLQPSRPQYDMSDIISFLREISSSNTFPDKDEQNIIVRAVNLACGAFGLLASTVSSILDPSKKFCTTFEEFWRRNWKADTYPAEVFDDQLKSKLDNTRLDQTLSDIVVPAYHFDSRRPLVFSTSQARKLHANFNEEVTLRDVVLSSSAIPTIFPLHSFKYSGKFGTFADANIVADNPTLLALSEGTRLYGSGPNYKNYLVLSLGTIKRRAPPCDIIVIPSALLDYISKRIWKGMKARVLQYMHIFFGDIIQMYTTQMLPSQLHEGLLNYLRIQGFEPNDSLPSEIDEPTSGFLELKRIAYDILKRNATYVDPSTGAHAEQDFSNEEALKT >Manes.05G012400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:791123:793332:1 gene:Manes.05G012400.v8.1 transcript:Manes.05G012400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPRSDGECVTVLSIDGEVQGIVPAVLLNFLEDQLKEVEKENGGNPEDVRIADYFDVIGGTGTGSLVAAMLTKPSMLQPSRPQYDMSDIISFLREISSSNTFPDKDEQNIIVRAVNLACGAFGLLASTVSSILDPSKKFCTTFEEFWRRNWKADTYPAEVFDDQLKSKLDNTRLDQTLSDIVVPAYHFDSRRPLVFSTSQARKLHANFNEEVTLRDVVLSSSAIPTIFPLHSFKYSGKFGTFADANIVADNPTLLALSEGTRLYGSGPNYKNYLVLSLGTIKRRAPPCDIIVIPSALLDYISKRIWKGMKARVLQYMHIFFGDIIQMYTTQMLPSQLHEGLLNYLRIQGFEPNDSLPSEIDEPTSGFLELKRIAYDILKRNATYVDPSTGAHAEQDFSNEEALKTFARQLHAERQRRFLNQQEQYGGNYINK >Manes.05G012400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:791123:793332:1 gene:Manes.05G012400.v8.1 transcript:Manes.05G012400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPRSDGECVTVLSIDGEVQGIVPAVLLNFLEDQLKEVEKENGGNPEDVRIADYFDVIGGTGTGSLVAAMLTKPSMLQPSRPQYDMSDIISFLREISSSNTFPDKDEQNIIVRAVNLACGAFGLLASTVSSILDPSKKFCTTFEEFWRRNWKADTYPAEVFDDQLKSKLDNTRLDQTLSDIVVPAYHFDSRRPLVFSTSQARKLHANFNEEVTLRDVVLSSSAIPTIFPLHSFKYSGKFGTFADANIVADNPTLLALSEGTRLYGSGPNYKNYLVLSLGTIKRRAPPCDIIVIPSALLDYISKRIWKGMKARVLQYMHIFFGDIIQMYTTQMLPSQLHEGLLNYLRIQVF >Manes.05G012400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:791123:793332:1 gene:Manes.05G012400.v8.1 transcript:Manes.05G012400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPRSDGECVTVLSIDGEVQGIVPAVLLNFLEDQLKEVEKENGGNPEDVRIADYFDVIGGTGTGSLVAAMLTKPSMLQPSRPQYDMSDIISFLREISSSNTFPDKDEQNIIVRAVNLACGAFGLLASTVSSILDPSKKFCTTFEEFWRRNWKADTYPAEVFDDQLKSKLDNTRLDQTLSDIVVPAYHFDSRRPLVFSTSQARKLHANFNEEVTLRDVVLSSSAIPTIFPLHSFKYSGKFGTFADANIVADNPTLLALSEGTRLYGSGPNYKNYLVLSLGTIKRRAPPCDIIVIPSALLDYISKRIWKGMKARVLQYMHIFFGDIIQMYTTQMLPSQLHEGLLNYLRIQKLMNQPVGFWN >Manes.05G012400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:791300:793332:1 gene:Manes.05G012400.v8.1 transcript:Manes.05G012400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPRSDGECVTVLSIDGEVQGIVPAVLLNFLEDQLKEVEKENGGNPEDVRIADYFDVIGGTGTGSLVAAMLTKPSMLQPSRPQYDMSDIISFLREISSSNTFPDKDEQNIIVRAVNLACGAFGLLASTVSSILDPSKKFCTTFEEFWRRNWKADTYPAEVFDDQLKSKLDNTRLDQTLSDIVVPAYHFDSRRPLVFSTSQARKLHANFNEEVTLRDVVLSSSAIPTIFPLHSFKYSGKFGTFADANIVADNPTLLALSEGTRLYGSGPNYKNYLVLSLGTIKRRAPPCDIIVIPSALLDYISKRIWKGMKARVLQYMHIFFGDIIQMYTTQMLPSQLHEGLLNYLRIQKLMNQPVGFWN >Manes.05G012400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:791123:793332:1 gene:Manes.05G012400.v8.1 transcript:Manes.05G012400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPRSDGECVTVLSIDGEVQGIVPAVLLNFLEDQLKEVEKENGGNPEDVRIADYFDVIGGTGTGSLVAAMLTKPSMLQPSRPQYDMSDIISFLREISSSNTFPDKDEQNIIVRAVNLACGAFGLLASTVSSILDPSKKFCTTFEEFWRRNWKADTYPAEVFDDQLKSKLDNTRLDQTLSDIVVPAYHFDSRRPLVFSTSQARKLHANFNEEVTLRDVVLSSSAIPTIFPLHSFKYSGKFGTFADANIVADNPTLLALSEGTRLYGSGPNYKNYLVLSLGTIKRRAPPCDIIVIPSALLDYISKRIWKGMKARVLQYMHIFFGDIIQMYTTQMLPSQLHEGLLNYLRIQKLMNQPVGFWN >Manes.05G012400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:791300:793332:1 gene:Manes.05G012400.v8.1 transcript:Manes.05G012400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPRSDGECVTVLSIDGEVQGIVPAVLLNFLEDQLKEVEKENGGNPEDVRIADYFDVIGGTGTGSLVAAMLTKPSMLQPSRPQYDMSDIISFLREISSSNTFPDKDEQNIIVRAVNLACGAFGLLASTVSSILDPSKKFCTTFEEFWRRNWKADTYPAEVFDDQLKSKLDNTRLDQTLSDIVVPAYHFDSRRPLVFSTSQARKLHANFNEEVTLRDVVLSSSAIPTIFPLHSFKYSGKFGTFADANIVADNPTLLALSEGTRLYGSGPNYKNYLVLSLGTIKRRAPPCDIIVIPSALLDYISKRIWKGMKARVLQYMHIFFGDIIQMYTTQMLPSQLHEGLLNYLRIQGFEPNDSLPSEIDEPTSGFLELKRIAYDILKRNATYVDPSTGAHAEQDFSNEEALKTFARQLHAERQRRFLNQQEQYGGNYINK >Manes.08G121000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36059279:36062322:-1 gene:Manes.08G121000.v8.1 transcript:Manes.08G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLLRSFQRLLTSSLFFLCAALTFLAFFSIKPKPHYGPAIQPNPSDVWFDLFAKEFNTQKIKIGLVNIDDGLKSVYDAYGLAETVSVDFQPVSKEKKWDDFFPEWIDEEQKWGAPQCPEIPMPRLENYLGLDVIVARVPCGGDEGATEKEGIRDVWRLQVNLVVANLAVANGFVKKPAESERPVYVVFIGSCGPMQEIFRCDDLLTRIGDHWVYKPELKKLKHKMVMPVGSCQIAPPFAETGQEVWKKLLAFSTGTYNQSAYERVAYVTVLHSSEAYVCGALALAQSINQTKSTKDLVLLHDSSITPKSLRGLRAAGWKTRLIEPIRNPYARKGSYNEWNYSKLRVWELTDYDKVIFIDSDIIVLKKMDSFFYYPQLSASANDKMLFNSGILVIEPSTCMFKDLMSKTYKLTSYNGGDQGFLNEAFTWWHRLPSKLNHLKVFQGKGNKNHEIPADIYSIHLLGWKPWMCYSDYDCNWDMLPRQIFASDSAHRRWWRVYEAMPKKLQKYCGLTRQMDARIRKWRGIAKRANLSDGHWKIKARDPRQRLLI >Manes.10G006200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:788399:789475:1 gene:Manes.10G006200.v8.1 transcript:Manes.10G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFQSWVSEHKLTSIGALWTTAVGGSLAYTRSRTPLKPSLRLIHARMHAQALTLAVLSSAAIYHYYEKKGNNNAAAAAAASSK >Manes.02G069700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5318607:5322670:-1 gene:Manes.02G069700.v8.1 transcript:Manes.02G069700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLWKISPSSSSKQSASCCRVRPTALNISGFWLCYCYLCLCLHLFASTYSSLRNLEEFCSLPLLSTLWSFISGSMLYAVSAPKPYISSPRSYLFQQRHLVSTRLSVRASLPDNSNGVKVEYTPWLIVGLGNPGNKYHGTRHNIARAEGVVMNTIQSKALIGIGCIKDVPILLAKPQAYMNFSGESVGPLAAHYQVPLRHIILIYDEMSLPNGVVRLQPKGGHGHHNGVKNVIDHLDGCREFPRLCIGIGNPPGTMDMRAFLLQKFSSTEREQIDAALEQANEAVRTLVLNGFNRSITRFNLGQKYKYNKV >Manes.02G069700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5318607:5322670:-1 gene:Manes.02G069700.v8.1 transcript:Manes.02G069700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLWKISPSSSSKQSASCCRVRPTALNISGFWLCYCYLCLCLHLFASTYSSLRNLEEFCSLPLLSTLWSFISGSMLYAVSAPKPYISSPRSYLFQQRHLVSTRLSVRASLPDNSNGVKVEYTPWLIVGLGNPGNKYHGTRHNVGFEMIDQIARAEGVVMNTIQSKALIGIGCIKDVPILLAKPQAYMNFSGESVGPLAAHYQVPLRHIILIYDEMSLPNGVVRLQPKGGHGHHNGVKNVIDHLDGCREFPRLCIGIGNPPGTMDMRAFLLQKFSSTEREQIDAALEQANEAVRTLVLNGFNRSITRFNLGQKYKYNKV >Manes.14G030301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2735935:2738051:1 gene:Manes.14G030301.v8.1 transcript:Manes.14G030301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWSVRGTPSPSRLQPKTSQSCLNCRCLSPQLGFPEPQKQRGKTRSTIATCRQNFAETAASSVFANPESLPSLQETFAEFSKAYPQYNETCQLQKHDSRKQIVSSSPHSPPQISHFLLFSVSYKMGNLKTQLLHGGQESELESAVRRRIMSFLNIPENDYCMVFTARRTSAFKLVAESYPFQYTVETMINCSKKKGGEVMSAEFSWPRLRIDSANLRKMVMRNRKKNMKRGLFVFPLHSRVTGARYPYFFGLPLIRPDFLICSFYKIFGENPSGFGCLYCSPLGRINHYWNGKSCPSKEAVWFLDNSSGTDKELEKMYKFVLEDELDTSNSFSGPMPVPKIYFGELEQGETSESQTKVKTGKKKASETSETETARTAAEQEVPETPASKKPAKVIEQETSKTSTGTTEVECKGLDQIDLLGLTQISNRARCLINRLVNALMKLKHPNMEEIPDIRIYGSKIKFDRGPALAFNVFDWKGEKVEAPLIQKVADRSNISLSCRFLHHISFSYKYEEEKATVLERRTYGAKGRLTKKSKEKANMGIAVVKKEVGLIVLSYDKFKTLH >Manes.09G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31584397:31585871:1 gene:Manes.09G113700.v8.1 transcript:Manes.09G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQVMPEKTLHGIHVLGPENNPPSPRRLEGKIAIVTGGARGIGEATVRLFARHGAKVVIADVEDTRGIILANSLSPSVIFVHCDVSQEEDIENLINSTVSHYGRLDILFNNAGVLGNQSKHKSILDFDGDEFDKVMRVNVKGMALGIKHAARVMIPRGVGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATDMLINAWRTSSDEEEDCMNFGLPCEQQVEKMEEFVRSLANLKGSTLRTKDIAEAALYLASDESKYVSGHNLVVDGGITTSRNCVGL >Manes.15G012000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1060201:1065437:-1 gene:Manes.15G012000.v8.1 transcript:Manes.15G012000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLEIRTMGGLTSNNKRDPCSNSPDFHISKKPRLLSMHQTPNQTLGSSNSTVSRISRYPETTSKIRREVHAPCRILKFGLSRYKDSDYGVKKKSSADDMGNFLSKQLDSARRSAIGSFRYLLKDKQVIDADNVLEKPEKQAVSEDSSIEEIGAIEGDGREGRSMVLDQRSRDDLVCNKDDNDVKIVEERSVVTLDGNVEVQNAGKMLDSLVLNGEVDVSSVEVYKKLLESAERRNGRLRALDSEIELNQKKLIFYQSTRPIKKPDEKAVEEIPREPFIALTKEEESLVKRAFSPNNRRKVLVTHRNSNIDITGEVLQCLRPGAWLNDEVINVYLELLKEREKREPQKFLTCHFFNTFFYKKLTSAEKNVYDYRAVRRWTTERKLGYFLIECDKAKYYVEEVKDKSKKDIDVSYWEREFLEDLPEQQNGYDCGVFMIKYADFYSRGIGLCFSQDHMPYFRMRTAKEILKLRAD >Manes.15G012000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1060202:1065437:-1 gene:Manes.15G012000.v8.1 transcript:Manes.15G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLEIRTMGGLTSNNKRDPCSNSPDFHISKKPRLLSMHQTPNQTLGSSNSTVSRISRYPETTSKIRREVHAPCRILKFGLSRYKDSDYGVKKKSSADDMGNFLSKQLDSARRSAIGSFRYLLKDKQVIDADNVLEKPEKQAVSEDSSIEEIGAIEGDGREGRSMVLDQRSRDDLVCNKDDNDVKIVEERSVVTLDGNVEVQNAGKMLDSLVLNGEVDVSSVEVYKKLLESAERRNGRLRALDSEIELNQKKLIFYQSTRPIKKPDEKAVEEIPREPFIALTKEEESLVKRAFSPNNRRKVLVTHRNSNIDITGEVLQCLRPGAWLNDEVINVYLELLKEREKREPQKFLTCHFFNTFFYKKLTSAEKNVYDYRAVRRWTTERKLGYFLIECDKIFVPVHREIHWCLAIINKKDQKFQYLDSLKGRDSRVLENLAKYYVEEVKDKSKKDIDVSYWEREFLEDLPEQQNGYDCGVFMIKYADFYSRGIGLCFSQDHMPYFRMRTAKEILKLRAD >Manes.15G012000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1060201:1065437:-1 gene:Manes.15G012000.v8.1 transcript:Manes.15G012000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLEIRTMGGLTSNNKRDPCSNSPDFHISKKPRLLSMHQTPNQTLGSSNSTVSRISRYPETTSKIRREVHAPCRILKFGLSRYKDSDYGVKKKSSADDMGNFLSKQLDSARRSAIGSFRYLLKDKQVIDADNVLEKPEKQAVSEDSSIEEIGAIEGDGREGRSMVLDQRSRDDLVCNKDDNDVKIVEERSVVTLDGNVEVQNAGKMLDSLVLNGEVDVSSVEVYKKLLESAERRNGRLRALDSEIELNQKKLIFYQSTRPIKKPDEKAVEEIPREPFIALTKEEESLVKRAFSPNNRRKVLVTHRNSNIDITGEVLQCLRPGAWLNDEVINVYLELLKEREKREPQKFLTCHFFNTFFYKKAKYYVEEVKDKSKKDIDVSYWEREFLEDLPEQQNGYDCGVFMIKYADFYSRGIGLCFSQDHMPYFRMRTAKEILKLRAD >Manes.07G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6170844:6196217:-1 gene:Manes.07G052100.v8.1 transcript:Manes.07G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACSAAISIAKRLEGKVGLITGGASGIGAATARLFLKHGAKVIVADVQDDLGHSLCQELGSEEIITYAHCDVTRDSQVQNAVDLAVSKYGKLDIMYSNAGLPANMDGILSSDNEEFKRVLDVNVFGGFLAAKHAARVMIPAKKGSIIFTGSNLSVSCFQCAHAYTASKHAAVGLAKNLCVELGQYGIRVNCVSPYAIVTPLLKSFVGLMGMENEKIQEAVSAAGNLKHAVLKAEDIAEAALYLGSDESKYVSGLNLVVDGGYTLTNPSIEMAIKRLHSS >Manes.02G174400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13781463:13785511:-1 gene:Manes.02G174400.v8.1 transcript:Manes.02G174400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNNRAKIFTAHHQAPPCTRTHQIGAILLVAATFFLTRLFDESFPACIPSSFDHHITPQSQSLVHVTDGGSLSWPQRGYGTYLSLKIYIYEEYEIDGLKELLRGREGKISPDACLKGQWGTQVKIHRLFLKSRFRTRKKEEADLFFVPAYVKCVRMMGGLNDKEINQTYVKVLSQMPYFRRSGGRDHVFVFPSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAFNTWKDIIIPGNVDDGMTRRGTPTVQPLPLSKRKYLANYLGRAQGKVGRLKLIELAKQYPDKLESPELKFSGPDKLGRMEYFQNLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVIDYTHVSIKWPSTRIGPELLDYLEAIPDEDVERMIARGRLIRCLWVYAPESELCSAMQGLMWELQRKVRQFHQSAETFWLHNRTIVNRNLVEFSSWKPPMPLP >Manes.17G065100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518107:26530845:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGRVRNVLYNLLMEKCKYVEFHCYCLS >Manes.17G065100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518053:26530859:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGNTE >Manes.17G065100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518598:26530888:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGRVRNVLYNLLMEKCKYVEFHCYCLS >Manes.17G065100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518098:26530856:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGNTE >Manes.17G065100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518598:26530845:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGNTE >Manes.17G065100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518103:26530887:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGRVRNVLYNLLMEKCKYVEFHCYCLS >Manes.17G065100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518598:26530860:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGNTE >Manes.17G065100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518132:26530291:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGRVRNVLYNLLMEKYWLYNPHFGLLRDVSICQSVVSF >Manes.17G065100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518053:26530888:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGRVRNVLYNLLMEKCKYVEFHCYCLS >Manes.17G065100.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518132:26527952:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGNTE >Manes.17G065100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518098:26530887:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGRVRNVLYNLLMEKCKYVEFHCYCLS >Manes.17G065100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518053:26530859:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGNTE >Manes.17G065100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518103:26530845:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGNTE >Manes.17G065100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518053:26530845:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGNTE >Manes.17G065100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26518644:26530845:1 gene:Manes.17G065100.v8.1 transcript:Manes.17G065100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSRGKSHLSVCQKSSMISKKSGSHITVSRQTAGCDAYIDYVQSSIYTSDIESYEAINQREFSSEANSQFQKHSSTFLDSGTMERMELALNCTSSFETIFSPALEPVEIQYLPNNDDDSGGNKDLSVPGLGTDDSDNRSSCYYETCNISDFFISDMIIAGLPFDGNTADDNITVTNPFPEYKCAESSMLFDVAEECVMLPFLEDTPKVSNPNDMVSCEEDVVDQDDASLYLAINQIRSCNQESDLHTELDQIEDFDPQFFIKNLPDLSDMESNFHPTLSPKDSWRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNLKEHTVYVKQRPFLHAFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKFISRRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIARRFGRVRNVLYNLLMEKCKYVEFHCYCLS >Manes.17G050800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24808678:24813538:1 gene:Manes.17G050800.v8.1 transcript:Manes.17G050800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKINCKSASHKLFKDKAKNRVEDLQGMFMDLQFARKESRTVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEQDDATSALAAPKPEPNDQSLQIGNNVVFQEDHSFPFVDQCKGSPTGVHSMVVNNLEGAGNLDYHQYDLSQNFESNFYAGFDSTDLCVEGGAPHVSGYLPSICPPPSAFLGPKCALWDCPRPAQGGLDWCQDYCSSFHHALALNEGPPGMGPVLRPGGIGLKDALLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINKCDACALYRLELKAVDGKKGMKGKITNESVVDLQKQMGRLTAEFPSDNKRCVKGRTKVNAKVGVGSVYSATNRVAPTNETFDYELGPPYNYLVENLGDYYVN >Manes.17G050800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24808678:24813538:1 gene:Manes.17G050800.v8.1 transcript:Manes.17G050800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKINCKSASHKLFKDKAKNRVEDLQGMFMDLQFARKESRTVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEQDDATSALAAPKPEPNDQSLQIGNNVVFQEGQQDHSFPFVDQCKGSPTGVHSMVVNNLEGAGNLDYHQYDLSQNFESNFYAGFDSTDLCVEGGAPHVSGYLPSICPPPSAFLGPKCALWDCPRPAQGGLDWCQDYCSSFHHALALNEGPPGMGPVLRPGGIGLKDALLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINKCDACALYRLELKAVDGKKGMKGKITNESVVDLQKQMGRLTAEFPSDNKRCVKGRTKVNAKVGVGSVYSATNRVAPTNETFDYELGPPYNYLVENLGDYYVN >Manes.17G050800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24808678:24813538:1 gene:Manes.17G050800.v8.1 transcript:Manes.17G050800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKINCKSASHKLFKDKAKNRVEDLQGMFMDLQFARKESRTVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSSDICRLLQLCEEQDDATSALAAPKPEPNDQSLQIGNNVVFQEGQQDHSFPFVDQCKGSPTGVHSMVVNNLEGAGNLDYHQYDLSQNFESNFYAGFDSTDLCVEGGAPHVSGYLPSICPPPSAFLGPKCALWDCPRPAQGGLDWCQDYCSSFHHALALNEGPPGMGPVLRPGGIGLKDALLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINKCDACALYRLELKAVDGKKGMKGKITNESVVDLQKQMGRLTAEFPSDNKRCVKGRTKVNAKVGVGSVYSATNRVAPTNETFDYELGPPYNYLVENLGDYYVN >Manes.05G190700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31347455:31349641:-1 gene:Manes.05G190700.v8.1 transcript:Manes.05G190700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRWLTTLQTFQVFFSSQTITPFNSTGGMAASFGLPFTNAQWKELERQARIYEYLMSSIPVPPHLLIPTSIDLNSDLAPRQSSFCTGQNLKFTNGADLEPGRCRRTDGKKWRCSRNVAPDQKYCERHMHGARPRSRKPVELQASRETNTNNKKRTRYNPAISAESPFPAIISDKNGVSSQFVSTVSPPYLQAPVFLENPIDKVTSCDASLAFASTFKEPRSLERMIKGETADEQWHCVVKQTDIGRETLNWNSFGNFSAGEDQQSNPCMFLSETPKGFIDAWSNGALNNTGSAVFSTGKLSPSSLYLSTEGVDWSDYEMGQIQMGLGPTEPDQNRECCAKYLALASASWTGATPGGPLAEVIQLGGGNSSA >Manes.05G190700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31347411:31349080:-1 gene:Manes.05G190700.v8.1 transcript:Manes.05G190700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFGLPFTNAQWKELERQARIYEYLMSSIPVPPHLLIPTSIDLNSDLAPRQSSFCTGQNLKFTNGADLEPGRCRRTDGKKWRCSRNVAPDQKYCERHMHGARPRSRKPVELQASRETNTNNKKRTRYNPAISAESPFPAIISDKNGVSSQFVSTVSPPYLQAPVFLENPIDKVTSCDASLAFASTFKEPRSLERMIKGETADEQWHCVVKQTDIGRETLNWNSFGNFSAGEDQQSNPCMFLSETPKGFIDAWSNGALNNTGSAVFSTGKLSPSSLYLSTEGVDWSDYEMGQIQMGLGPTEPDQNRECCAKYLALASASWTGATPGGPLAEVIQLGGGNSSA >Manes.03G124100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25542413:25547598:1 gene:Manes.03G124100.v8.1 transcript:Manes.03G124100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIGVTVAVTVASKITELLVNPTRRQLRYVFCFKNIVEELTKEEKILTAAQGRVQNKVNMALRNAEAIEKDVEDWMTEANKVMEDVQQLNNEIEKHIMHFNKWCVNWIWQYSFNKKVAKKAVILKKLGESGKFDKVSYHAPLPGIEFFPSKDFVPSKSSKEAFHQIMEALKNDVSVIGLYGMGGVGKTTLVKEVGRKAIMSKLFDQVLMVVVSQDQGIRKIQDQIADKLGLHLGEKSKEGRSSRLWQRLKSEKKMLIIFDDVWRFLDLKDLGVPHGDDHKGCKILITTRLRLVCSSMDCQREIPLHVLTENEAWALFKKNAGLHDNSSVLSSVAMKVARECKGLPIAIVTLGRALKGKSLSGWKLAFQKLKKSRLIDILDVDKDKNVYACLKLSFDYLRYEESKLCLLLCSLFPEDSEIFVEDLARYAIGLGFYPDAQSFEDVRNEVFEVIGDLKASCMLLETEIEGHVKMHDMVRDVALWIGSKVENVFRVRARIGSEEWPNIGNSDNYTAVSLMDNNVRELPDKLVFPKLELLLLGKKGTLFSSKETINVPVTSFSGLKELKVLSLAHGSLSMQSLEFLTNLKTLELKDCYINCHKSSNKGANLVLLRKLKQLKILSLRGSFFEELPEEIGELNNLRVLDLRSCKWLVRIPSNMIRKLTKLEELYVGGCSFKQWEAEETCKRGSNASLMELRSLSHLAVLWLNYDEYIHQSFVFPSLMGYCIHINCGCSYDMSPSSIRYPTSRTICLTPTDVTTVKACRELFQNVYDFHLLNSTSFQNILPEIDQRGFNDLICLNLLLCDIGCLIDTKQLQVPAVTFSNLEMIDICKTDLREICHGLPPEGFLEKLQTFKMYGCSHMVTIFPAKLRRALKNLKKLVVNHCTDLREVFELDGLGEANTELLSCLTTLELQELPNLRSIWKGPNHHVSLKNLTHLILNNCRSLTYVFSPSLARSLVHIKTLYIGCCDQLEHIISEKDGEEEEVFSDAHPQLGLQNLRILTVYECSKLEYIFPISIVQGLLHVEKIIIVRAIQLRELFGNKEEGVLSAAETNNIVPQPRKSKLKHSPEHSYFRCPAVFPSLQHLEFTECPKLLMHSVTDLLVAPKASTIFEQSSSKSADFEREQMKNQFILECEELEHAIDDDGDNNEKKTILLRSYKSYCQHVCFTNLLHIKLYNCGKLKHLFPITIARSLRYLEYLEVGLADQLVDVFGAEDEANADDEKEIIFPKLYTIRLEDLPSLVNFSPASYHFIFPSLEDLTVIGCPNLVTSFT >Manes.03G124100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25542413:25547598:1 gene:Manes.03G124100.v8.1 transcript:Manes.03G124100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIGVTVAVTVASKITELLVNPTRRQLRYVFCFKNIVEELTKEEKILTAAQGRVQNKVNMALRNAEAIEKDVEDWMTEANKVMEDVQQLNNEIEKHIMHFNKWCVNWIWQYSFNKKVAKKAVILKKLGESGKFDKVSYHAPLPGIEFFPSKDFVPSKSSKEAFHQIMEALKNDVSVIGLYGMGGVGKTTLVKEVGRKAIMSKLFDQVLMVVVSQDQGIRKIQDQIADKLGLHLGEKSKEGRSSRLWQRLKSEKKMLIIFDDVWRFLDLKDLGVPHGDDHKGCKILITTRLRLVCSSMDCQREIPLHVLTENEAWALFKKNAGLHDNSSVLSSVAMKVARECKGLPIAIVTLGRALKGKSLSGWKLAFQKLKKSRLIDILDVDKDKNVYACLKLSFDYLRYEESKLCLLLCSLFPEDSEIFVEDLARYAIGLGFYPDAQSFEDVRNEVFEVIGDLKASCMLLETEIEGHVKMHDMVRDVALWIGSKVENVFRVRARIGSEEWPNIGNSDNYTAVSLMDNNVRELPDKLVFPKLELLLLGKKGTLFSSKETINVPVTSFSGLKELKVLSLAHGSLSMQSLEFLTNLKTLELKDCYINCHKSSNKGANLVLLRKLKQLKILSLRGSFFEELPEEIGELNNLRVLDLRSCKWLVRIPSNMIRKLTKLEELYVGGCSFKQWEAEETCKRGSNASLMELRSLSHLAVLWLNYDEYIHQSFVFPSLMGYCIHINCGCSYDMSPSSIRYPTSRTICLTPTDVTTVKACRELFQNVYDFHLLNSTSFQNILPEIDQRGFNDLICLNLLLCDIGCLIDTKQLQVPAVTFSNLEMIDICKTDLREICHGLPPEGFLEKLQTFKMYGCSHMVTIFPAKLRRALKNLKKLVVNHCTDLREVFELDGLGEANTELLSCLTTLELQELPNLRSIWKGPNHHKRMGKRRKYFQMLILNSAYKT >Manes.03G124100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25538734:25547598:1 gene:Manes.03G124100.v8.1 transcript:Manes.03G124100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIGVTVAVTVASKITELLVNPTRRQLRYVFCFKNIVEELTKEEKILTAAQGRVQNKVNMALRNAEAIEKDVEDWMTEANKVMEDVQQLNNEIEKHIMHFNKWCVNWIWQYSFNKKVAKKAVILKKLGESGKFDKVSYHAPLPGIEFFPSKDFVPSKSSKEAFHQIMEALKNDVSVIGLYGMGGVGKTTLVKEVGRKAIMSKLFDQVLMVVVSQDQGIRKIQDQIADKLGLHLGEKSKEGRSSRLWQRLKSEKKMLIIFDDVWRFLDLKDLGVPHGDDHKGCKILITTRLRLVCSSMDCQREIPLHVLTENEAWALFKKNAGLHDNSSVLSSVAMKVARECKGLPIAIVTLGRALKGKSLSGWKLAFQKLKKSRLIDILDVDKDKNVYACLKLSFDYLRYEESKLCLLLCSLFPEDSEIFVEDLARYAIGLGFYPDAQSFEDVRNEVFEVIGDLKASCMLLETEIEGHVKMHDMVRDVALWIGSKVENVFRVRARIGSEEWPNIGNSDNYTAVSLMDNNVRELPDKLVFPKLELLLLGKKGTLFSSKETINVPVTSFSGLKELKVLSLAHGSLSMQSLEFLTNLKTLELKDCYINCHKSSNKGANLVLLRKLKQLKILSLRGSFFEELPEEIGELNNLRVLDLRSCKWLVRIPSNMIRKLTKLEELYVGGCSFKQWEAEETCKRGSNASLMELRSLSHLAVLWLNYDEYIHQSFVFPSLMGYCIHINCGCSYDMSPSSIRYPTSRTICLTPTDVTTVKACRELFQNVYDFHLLNSTSFQNILPEIDQRGFNDLICLNLLLCDIGCLIDTKQLQVPAVTFSNLEMIDICKTDLREICHGLPPEGFLEKLQTFKMYGCSHMVTIFPAKLRRALKNLKKLVVNHCTDLREVFELDGLGEANTELLSCLTTLELQELPNLRSIWKGPNHHKRMGKRRKYFQMLILNSAYKT >Manes.03G124100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25538734:25547598:1 gene:Manes.03G124100.v8.1 transcript:Manes.03G124100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIGVTVAVTVASKITELLVNPTRRQLRYVFCFKNIVEELTKEEKILTAAQGRVQNKVNMALRNAEAIEKDVEDWMTEANKVMEDVQQLNNEIEKHIMHFNKWCVNWIWQYSFNKKVAKKAVILKKLGESGKFDKVSYHAPLPGIEFFPSKDFVPSKSSKEAFHQIMEALKNDVSVIGLYGMGGVGKTTLVKEVGRKAIMSKLFDQVLMVVVSQDQGIRKIQDQIADKLGLHLGEKSKEGRSSRLWQRLKSEKKMLIIFDDVWRFLDLKDLGVPHGDDHKGCKILITTRLRLVCSSMDCQREIPLHVLTENEAWALFKKNAGLHDNSSVLSSVAMKVARECKGLPIAIVTLGRALKGKSLSGWKLAFQKLKKSRLIDILDVDKDKNVYACLKLSFDYLRYEESKLCLLLCSLFPEDSEIFVEDLARYAIGLGFYPDAQSFEDVRNEVFEVIGDLKASCMLLETEIEGHVKMHDMVRDVALWIGSKVENVFRVRARIGSEEWPNIGNSDNYTAVSLMDNNVRELPDKLVFPKLELLLLGKKGTLFSSKETINVPVTSFSGLKELKVLSLAHGSLSMQSLEFLTNLKTLELKDCYINCHKSSNKGANLVLLRKLKQLKILSLRGSFFEELPEEIGELNNLRVLDLRSCKWLVRIPSNMIRKLTKLEELYVGGCSFKQWEAEETCKRGSNASLMELRSLSHLAVLWLNYDEYIHQSFVFPSLMGYCIHINCGCSYDMSPSSIRYPTSRTICLTPTDVTTVKACRELFQNVYDFHLLNSTSFQNILPEIDQRGFNDLICLNLLLCDIGCLIDTKQLQVPAVTFSNLEMIDICKTDLREICHGLPPEGFLEKLQTFKMYGCSHMVTIFPAKLRRALKNLKKLVVNHCTDLREVFELDGLGEANTELLSCLTTLELQELPNLRSIWKGPNHHVSLKNLTHLILNNCRSLTYVFSPSLARSLVHIKTLYIGCCDQLEHIISEKDGEEEEVFSDAHPQLGLQNLRILTVYECSKLEYIFPISIVQGLLHVEKIIIVRAIQLRELFGNKEEGVLSAAETNNIVPQPRKSKLKHSPEHSYFRCPAVFPSLQHLEFTECPKLLMHSVTDLLVAPKVVFFIHPQIVYKLNLLQLPLILFFISSLLFSFLFKLPESTHMHLLHLHIYMLMLANLFYALNGSNLCIKNSGLIYVSLRYVLLSVICSFC >Manes.03G124100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25538734:25547598:1 gene:Manes.03G124100.v8.1 transcript:Manes.03G124100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIGVTVAVTVASKITELLVNPTRRQLRYVFCFKNIVEELTKEEKILTAAQGRVQNKVNMALRNAEAIEKDVEDWMTEANKVMEDVQQLNNEIEKHIMHFNKWCVNWIWQYSFNKKVAKKAVILKKLGESGKFDKVSYHAPLPGIEFFPSKDFVPSKSSKEAFHQIMEALKNDVSVIGLYGMGGVGKTTLVKEVGRKAIMSKLFDQVLMVVVSQDQGIRKIQDQIADKLGLHLGEKSKEGRSSRLWQRLKSEKKMLIIFDDVWRFLDLKDLGVPHGDDHKGCKILITTRLRLVCSSMDCQREIPLHVLTENEAWALFKKNAGLHDNSSVLSSVAMKVARECKGLPIAIVTLGRALKGKSLSGWKLAFQKLKKSRLIDILDVDKDKNVYACLKLSFDYLRYEESKLCLLLCSLFPEDSEIFVEDLARYAIGLGFYPDAQSFEDVRNEVFEVIGDLKASCMLLETEIEGHVKMHDMVRDVALWIGSKVENVFRVRARIGSEEWPNIGNSDNYTAVSLMDNNVRELPDKLVFPKLELLLLGKKGTLFSSKETINVPVTSFSGLKELKVLSLAHGSLSMQSLEFLTNLKTLELKDCYINCHKSSNKGANLVLLRKLKQLKILSLRGSFFEELPEEIGELNNLRVLDLRSCKWLVRIPSNMIRKLTKLEELYVGGCSFKQWEAEETCKRGSNASLMELRSLSHLAVLWLNYDEYIHQSFVFPSLMGYCIHINCGCSYDMSPSSIRYPTSRTICLTPTDVTTVKACRELFQNVYDFHLLNSTSFQNILPEIDQRGFNDLICLNLLLCDIGCLIDTKQLQVPAVTFSNLEMIDICKTDLREICHGLPPEGFLEKLQTFKMYGCSHMVTIFPAKLRRALKNLKKLVVNHCTDLREVFELDGLGEANTELLSCLTTLELQELPNLRSIWKGPNHHVSLKNLTHLILNNCRSLTYVFSPSLARSLVHIKTLYIGCCDQLEHIISEKDGEEEEVFSDAHPQLGLQNLRILTVYECSKLEYIFPISIVQGLLHVEKIIIVRAIQLRELFGNKEEGVLSAAETNNIVPQPRKSKLKHSPEHSYFRCPAVFPSLQHLEFTECPKLLMHSVTDLLVAPKASTIFEQSSSKSADFEREQMKNQFILECEELEHAIDDDGDNNEKKTILLRSYKSYCQHVCFTNLLHIKLYNCGKLKHLFPITIARSLRYLEYLEVGLADQLVDVFGAEDEANADDEKEIIFPKLYTIRLEDLPSLVNFSPASYHFIFPSLEDLTVIGCPNLVTSFT >Manes.14G141900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12495891:12499210:-1 gene:Manes.14G141900.v8.1 transcript:Manes.14G141900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCEEQDGRLLFSTITADKNMDVHLLETRGGNKIVATFWKHPFARFTILYSHGNAADLGQMHELFIELRAHLRVNIMSYDYSGYGASSGKPSELNTYSDIEAVYNCLMNEYEVKEEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLCPVKMTFWFDIYKNIDKIRQVNCPVLVIHGTSDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLRKFMNAMEKISITKPTKQLTSIPSIDVKQNKCLRWKKAAPQS >Manes.13G042900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5051846:5055637:1 gene:Manes.13G042900.v8.1 transcript:Manes.13G042900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEAAGGKRNIRALVVDDDTTIRTIHCRLLQNLGIQDLDVAINGKEAIDIHCSGRNFHLILMDLEMPIINGIEATKQLRAMGVRSTIAGVSTWSSEQRVQEFMQAGLDDYQAKPLTSAKLISILNKINIK >Manes.03G199300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31596902:31598691:1 gene:Manes.03G199300.v8.1 transcript:Manes.03G199300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFVAVKRSRRLNAEDLWSDLDTLSNFLGLDYPNNANKEQISTQLDLELHEKPKLKLNQVEKTEKGSTVMESNKTQRIRKSIYRGIRQRPWGKWAAEIRDPHKGVRVWLGTYNTAEEAARAYDEAAKRIRGDKAKLNFAQSPAATQAPPLPPQSKKRCPMMAPAATVQSCSGGAVAAPAQQPYMGVGHEKELLSSDYELKEQILNLETFLGLEPEMAADQLSGSSCETSESVDLWMLDDLVSHHQNQRWLSF >Manes.03G130800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25715887:25719858:1 gene:Manes.03G130800.v8.1 transcript:Manes.03G130800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAESARIREKYPDRIPVIVERAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNVLPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGDQ >Manes.09G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10852160:10859890:-1 gene:Manes.09G066100.v8.1 transcript:Manes.09G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPILSSLHISHPRINLYVKPLFFSFTHFSHFSLSLRKFPLPWQSLSINPRTLSSLVSAVSPTENGVSSGKSGALSAPPVVLEEAVQKIDVNPPKGTRDFPPEEMRLRSWLFNNFRESEALFIRKAGEEIRDQKSVPLLLNWFVMGQCWRYERMTRGRRQEHYQWNMDIVGVPEVTAEAELISSIVTFFKRNGITASDVGFKVSSRKEVLRRNSISENLFGKVCIIIDKIEKIPISEIKKDLKSVGMSEKAVEELLQVLSIKSLTKLEGHLVEMEKFLTSLTACVTVEVLGEAGEAVADLKQLFSLAEKFGYSEWIQFDASVVRGLAYYTGIGFDREGKLRAICGGGRYDRLLSTFGGDDIPACGFRFGDAVIVELLKEKGILPELSLQVENIVCALDCYLQGAAATVATALRDKGQSVGLVLENKPLKWVFERAAWINAQRLILVGNTEWQKSMVGVKILSTGEQYEVKLDELE >Manes.04G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24984001:24989424:-1 gene:Manes.04G064500.v8.1 transcript:Manes.04G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCCTYSLLPPSTRLQVTDLSCSWQLKNAHQMLPSLGKKPEKQKKNKMKQFYGFQTPSTIVAYYGLKTPPYKLDALEPYMSKRTLEMHWGAHHRAYVEGLNKQLANDDILYGYTMDELVKVTYNNGNPLPEFNNAAQVWNHDFFWESMQPGGGGMAELGVLQQIEKDFGSFTHFKEKFIESAFTLFGSGWVWLVLKREEKRLAIVKTSNAITPLVWDDIPIICLDMWEHAYYLDYKNDREKYVNAFMDHLVSWNSAMARMARAEAFVNLGEPKIPIA >Manes.06G151200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27889520:27893815:1 gene:Manes.06G151200.v8.1 transcript:Manes.06G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRGRGNGGGFRGGRGDGGRGRGGGRGGGRGFGDRGSAMKSRGGGRGGGRGRGGRGGGMRGGSKVVVEPHRHEGVFIAKGGKEDALVTKNLVPGEAVYNEKRIAVQNEDGTKVEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFESEVKKMVQEQFKPSEQVTLEPFERDHACVIGGYRMPKKQKAAA >Manes.15G052700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4075042:4080217:1 gene:Manes.15G052700.v8.1 transcript:Manes.15G052700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTAEVAVMDLSLGVRTRAKTLALQRQARLPSSPPEPPLVPAQPSPPAASCGYLQLRSRRLVKLPILVHDSKRQKHGHNKEAQNPNNSNSIAGSGVRACSMDSGTNGSGQKKEVNGENKEEELQENNNDINESKDLGIEASFGENVLEIEGSERFSVGFFVGGCLPNSSTTLVWVRWFQLNTNMLRGTRESTPCSLIRDPETIRTPGSTTRHASSAETSRRMQDSMRRHIPTANEMDEFFAGAEEEQQRQFIEKYNFDPVKDKPLPGRYEWEKLDPRRP >Manes.15G052700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4075042:4080218:1 gene:Manes.15G052700.v8.1 transcript:Manes.15G052700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTAEVAVMDLSLGVRTRAKTLALQRQARLPSSPPEPPLVPAQPSPPAASCGYLQLRSRRLVKLPILVHDSKRQKHGHNKEAQNPNNSNSIAGSGVRACSMDSGTNGSGQKKEVNGENKEEELQENNNDINESKDLGIEASFGENVLEIEGSERFSVGFFVGGCLPNSSTTLVWVRWFQLNTNMLRGTRESTPCSLIRDPETIRTPGSTTRHASSAETSRRMQDSMRRHIPTANEMDEFFAGAEEEQQRQFIEKYNFDPVKDKPLPGRYEWEKLDPRRP >Manes.15G052700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4074927:4080217:1 gene:Manes.15G052700.v8.1 transcript:Manes.15G052700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTAEVAVMDLSLGVRTRAKTLALQRQARLPSSPPEPPLVPAQPSPPAASCGYLQLRSRRLVKLPILVHDSKRQKHGHNKEAQNPNNSNSIAGSGVRACSMDSGTNGSGQKKEVNGENKEEELQENNNDINESKDLGIEASFGENVLEIEGSERGTRESTPCSLIRDPETIRTPGSTTRHASSAETSRRMQDSMRRHIPTANEMDEFFAGAEEEQQRQFIEKYNFDPVKDKPLPGRYEWEKLDPRRP >Manes.15G052700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4074927:4080217:1 gene:Manes.15G052700.v8.1 transcript:Manes.15G052700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTAEVAVMDLSLGVRTRAKTLALQRQARLPSSPPEPPLVPAQPSPPAASCGYLQLRSRRLVKLPILVHDSKRQKHGHNKEAQNPNNSNSIAGSGVRACSMDSGTNGSGQKKEVNGENKEEELQENNNDINESKDLGIEASFGENVLEIEGSERGTRESTPCSLIRDPETIRTPGSTTRHASSAETSRRMQDSMRRHIPTANEMDEFFAGAEEEQQRQFIEKYNFDPVKDKPLPGRYEWEKLDPRRP >Manes.15G052700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4075042:4080184:1 gene:Manes.15G052700.v8.1 transcript:Manes.15G052700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTAEVAVMDLSLGVRTRAKTLALQRQARLPSSPPEPPLVPAQPSPPAASCGYLQLRSRRLVKLPILVHDSKRQKHGHNKEAQNPNNSNSIAGSGVRACSMDSGTNGSGQKKEVNGENKEEELQENNNDINESKDLGIEASFGENVLEIEGSERFSVGFFVGGCLPNSSTTLVWVRWFQLNTNMLRGTRESTPCSLIRDPETIRTPGSTTRHASSAETSRRMQDSMRRHIPTANEMDEFFAGAEEEQQRQFIEKYNFDPVKDKPLPGRYEWEKLDPRRP >Manes.15G052700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4074927:4080984:1 gene:Manes.15G052700.v8.1 transcript:Manes.15G052700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTAEVAVMDLSLGVRTRAKTLALQRQARLPSSPPEPPLVPAQPSPPAASCGYLQLRSRRLVKLPILVHDSKRQKHGHNKEAQNPNNSNSIAGSGVRACSMDSGTNGSGQKKEVNGENKEEELQENNNDINESKDLGIEASFGENVLEIEGSERGTRESTPCSLIRDPETIRTPGSTTRHASSAETSRRMQDSMRRHIPTANEMDEFFAGAEEEQQRQFIEKYNFDPVKDKPLPGRYEWEKLDPRRP >Manes.15G052700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4075042:4080985:1 gene:Manes.15G052700.v8.1 transcript:Manes.15G052700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTAEVAVMDLSLGVRTRAKTLALQRQARLPSSPPEPPLVPAQPSPPAASCGYLQLRSRRLVKLPILVHDSKRQKHGHNKEAQNPNNSNSIAGSGVRACSMDSGTNGSGQKKEVNGENKEEELQENNNDINESKDLGIEASFGENVLEIEGSERFSVGFFVGGCLPNSSTTLVWVRWFQLNTNMLRGTRESTPCSLIRDPETIRTPGSTTRHASSAETSRRMQDSMRRHIPTANEMDEFFAGAEEEQQRQFIEKYNFDPVKDKPLPGRYEWEKLDPRRP >Manes.15G052700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4075042:4080193:1 gene:Manes.15G052700.v8.1 transcript:Manes.15G052700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKTTAEVAVMDLSLGVRTRAKTLALQRQARLPSSPPEPPLVPAQPSPPAASCGYLQLRSRRLVKLPILVHDSKRQKHGHNKEAQNPNNSNSIAGSGVRACSMDSGTNGSGQKKEVNGENKEEELQENNNDINESKDLGIEASFGENVLEIEGSERGTRESTPCSLIRDPETIRTPGSTTRHASSAETSRRMQDSMRRHIPTANEMDEFFAGAEEEQQRQFIEKYNFDPVKDKPLPGRYEWEKLDPRRP >Manes.17G084800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29237739:29242161:1 gene:Manes.17G084800.v8.1 transcript:Manes.17G084800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTISLYGFPSVENPEDIKEFLEEYTGEGTVHAVEVALPKSSGSRTHAKVQFTDVEAAETIKSLAEDYLWYENSYLKARDAPHDIVPMPRNFVQCIDNITLNFGCQISKEIFSLLWKQENVSAKFGFGLRKFFFFFSFLSTDYKLELSYENIWQIDVHRPHGGAKKFLIIQLYGVPKIYKKDTSALSYFKEAADDKWVREVDFTPSCCVGQSSALCLELPLRGRLPNFQQNFAYYKENESQFHLVTGSAFSCNSDLVPIVSSPNGLHLPYEILFKVNSLVQHGCLPGPALDADFFRLVDPHRVEIAYIEHALEKLRHLNECCYDPVRWLSEQYMKYITSGRLPGPPVATLDDGLVYVYRVQITPAKVYFCGPEVNLSNRVLRNYPDDIKNFIRVSFVDENLDRLYSTNLSSRTSSVNDERRTGIYDRILSVLRNGVVIGDKKFEFLGFSSSQLRENSVWMFASRPGLTAEDIRKWMGEFREIKNVAKYAARLGQSFSSSRETFNVYRHETEIIPDIEVNNNGVNYVFSDGIGKISSELAHSIAQKLGFRNYTPSAFQIRYGGYKGVVAVDPTSPMKLSLRKSMSKFKSNNTSLDVLGWSKYQACFLNREVITLLSTLGVRDRYFERKQKEAVAQLDAILKDPLQAEEVLKMMAPGENTNILKEMFSCGYKASTEPFLSMMLQTFRAAKLFDLRTKTRIFIPKGRVMMGCLDETGTLEYGQVFVQYSCASNRQFISCNETDELHQIFQGKVVVAKNPCLHPGDVRVLKAVDVPALHHMVDCIVFPQKGKRPHTNECSGSDLDGDVYFVCWDPDLIPPFRYPPMDYTAAKSMILDHDVTIQEVQDYFADYILNDNLGIICHAHIAFADKEPSKAMSKECIELAKLSSIAVDFPKTGVPAKIPPHLRVKKYPDFMEKPDKLKYESQRIIGKLFRAVKDIAPSTSPIRSFTMQVAVQCYDPDMEVEGYMNYINDAFYYKSQYDNKLGNMMDYYGIKTEAEIISGWIVSTWKSFDKKRDFDTIMFSVRSLRNQARAWFNETETDDLYAKASAWYYVTYHPSFWGRYNEGLDRDHFLSFPWCVHDKLIEIKRGRAGDGSNSVSPDLSSLAHQFSNSVSLNWYSEIED >Manes.17G084800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29237968:29242116:1 gene:Manes.17G084800.v8.1 transcript:Manes.17G084800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINLVCESLQFKLIEHKMAKTISLYGFPSVENPEDIKEFLEEYTGEGTVHAVEVALPKSSGSRTHAKVQFTDVEAAETIKSLAEDYLWYENSYLKARDAPHDIVPMPRNFVQCIDNITLNFGCQISKEIFSLLWKQENVSAKFGFGLRKFFFFFSFLSTDYKLELSYENIWQIDVHRPHGGAKKFLIIQLYGVPKIYKKDTSALSYFKEAADDKWVREVDFTPSCCVGQSSALCLELPLRGRLPNFQQNFAYYKENESQFHLVTGSAFSCNSDLVPIVSSPNGLHLPYEILFKVNSLVQHGCLPGPALDADFFRLVDPHRVEIAYIEHALEKLRHLNECCYDPVRWLSEQYMKYITSGRLPGPPVATLDDGLVYVYRVQITPAKVYFCGPEVNLSNRVLRNYPDDIKNFIRVSFVDENLDRLYSTNLSSRTSSVNDERRTGIYDRILSVLRNGVVIGDKKFEFLGFSSSQLRENSVWMFASRPGLTAEDIRKWMGEFREIKNVAKYAARLGQSFSSSRETFNVYRHETEIIPDIEVNNNGVNYVFSDGIGKISSELAHSIAQKLGFRNYTPSAFQIRYGGYKGVVAVDPTSPMKLSLRKSMSKFKSNNTSLDVLGWSKYQACFLNREVITLLSTLGVRDRYFERKQKEAVAQLDAILKDPLQAEEVLKMMAPGENTNILKEMFSCGYKASTEPFLSMMLQTFRAAKLFDLRTKTRIFIPKGRVMMGCLDETGTLEYGQVFVQYSCASNRQFISCNETDELHQIFQGKVVVAKNPCLHPGDVRVLKAVDVPALHHMVDCIVFPQKGKRPHTNECSGSDLDGDVYFVCWDPDLIPPFRYPPMDYTAAKSMILDHDVTIQEVQDYFADYILNDNLGIICHAHIAFADKEPSKAMSKECIELAKLSSIAVDFPKTGVPAKIPPHLRVKKYPDFMEKPDKLKYESQRIIGKLFRAVKDIAPSTSPIRSFTMQVAVQCYDPDMEVEGYMNYINDAFYYKSQYDNKLGNMMDYYGIKTEAEIISGWIVSTWKSFDKKRDFDTIMFSVRSLRNQARAWFNETETDDLYAKASAWYYVTYHPSFWGRYNEGLDRDHFLSFPWCVHDKLIEIKRGRAGDGSNSVSPDLSSLAHQFSNSVSLNWYSEIED >Manes.12G047000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4223537:4226968:-1 gene:Manes.12G047000.v8.1 transcript:Manes.12G047000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVVSEAATTCVNSMSESLANRLRLGNLTEDGLSYKERFIVRSYEVGINKTATVETIANFLQEVGCNHAQSVGFSIDGFAATLAMRKLHLIWISVSRG >Manes.01G062100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:25934377:25935263:1 gene:Manes.01G062100.v8.1 transcript:Manes.01G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAPINFATYLLLLVVLLATSSVLVDGHECKPSGKIKGTKPPKGGRNHGDDSDSCEAGKHYPIYKCSPPVTKHTKATLTINSFEKGGDGGAPSECDGKFHSDHKPVVALSTGWFNNMKRCHKFIKIHGNGRSVKAMVVDECDSTMGCDKDHDFQPPCPNNIVDASKAVWKALGVKESDDRFGFMDVTWSDA >Manes.15G057600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4430181:4434648:1 gene:Manes.15G057600.v8.1 transcript:Manes.15G057600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRQFLYPSEEDLFKLVRFLVERLSESSVGVKLPDLKDVNSTRKIRVEGSTDNSEDWMEKADDRGLDHQQEKLKNLSLHNIGSELSDSNVEDTSFNRLLAAEGESERVAFQKVTPAKDQSSQMRHESETLQNQEKLLVKEVTARTSELQKLQEELEMLKDAAEMAFDDQHPIEFYVEQLNKQDSKRCNIVELESKWDAFRKPLEEKKRSLEESLYADIPEAQEKLLKLREVELEKQAILSKVRRLEEEHSKLCVDFGKQPKLPTRTSYIERIKEITKNSRKQDADIERILKETRELQLESNSIRECLDRTYAVLDEIVFREAKKDPVGRQAYRLLTSIHECFEQISEKILMTDRIRREMAECEKKLSAMAFRSLNVDKLQADLDAITKENKYLEQQLGDD >Manes.15G057600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4430181:4434649:1 gene:Manes.15G057600.v8.1 transcript:Manes.15G057600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYEDVLLKSLQNAGVSIPSNVSSIQDFTSATLVSICAQSVNLLDETASLPSSFPDSLVDKFKICTDIALAIKRLGYIGDMTYYKFLYPSEEDLFKLVRFLVERLSESSVGVKLPDLKDVNSTRKIRVEGSTDNSEDWMEKADDRGLDHQQEKLKNLSLHNIGSELSDSNVEDTSFNRLLAAEGESERVAFQKVTPAKDQSSQMRHESETLQNQEKLLVKEVTARTSELQKLQEELEMLKDAAEMAFDDQHPIEFYVEQLNKQDSKRCNIVELESKWDAFRKPLEEKKRSLEESLYADIPEAQEKLLKLREVELEKQAILSKVRRLEEEHSKLCVDFGKQPKLPTRTSYIERIKEITKNSRKQDADIERILKETRELQLESNSIRECLDRTYAVLDEIVFRSIFPQGSKERPSRAPSVQASH >Manes.15G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4430181:4434648:1 gene:Manes.15G057600.v8.1 transcript:Manes.15G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYEDVLLKSLQNAGVSIPSNVSSIQDFTSATLVSICAQSVNLLDETASLPSSFPDSLVDKFKICTDIALAIKRLGYIGDMTYYKFLYPSEEDLFKLVRFLVERLSESSVGVKLPDLKDVNSTRKIRVEGSTDNSEDWMEKADDRGLDHQQEKLKNLSLHNIGSELSDSNVEDTSFNRLLAAEGESERVAFQKVTPAKDQSSQMRHESETLQNQEKLLVKEVTARTSELQKLQEELEMLKDAAEMAFDDQHPIEFYVEQLNKQDSKRCNIVELESKWDAFRKPLEEKKRSLEESLYADIPEAQEKLLKLREVELEKQAILSKVRRLEEEHSKLCVDFGKQPKLPTRTSYIERIKEITKNSRKQDADIERILKETRELQLESNSIRECLDRTYAVLDEIVFREAKKDPVGRQAYRLLTSIHECFEQISEKILMTDRIRREMAECEKKLSAMAFRSLNVDKLQADLDAITKENKYLEQQLGDD >Manes.15G170600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14883293:14888778:-1 gene:Manes.15G170600.v8.1 transcript:Manes.15G170600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYLPSSLQKSSSLTTPSFISSSHCSSLFSSRVSMVSTDSRTKIFPQSSLEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLLQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDIHSGQSMGYFDIPVDHLYCQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCTHAVFSPPAIERLSGGLFQEVIITNTIPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >Manes.15G170600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14883293:14888778:-1 gene:Manes.15G170600.v8.1 transcript:Manes.15G170600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLYLPSSLQKSSSLTTPSFISSSHCSSLFSSRVSMVSTDSRTKIFPQSSLRCDMAEPISVENVGNGKPNIQILNERIFPKFLESARNEKSVNKNGSRLKLFSGSANPALSQEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCDVYLLQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDIHSGQSMGYFDIPVDHLYCQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCTHAVFSPPAIERLSGGLFQEVIITNTIPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >Manes.10G012400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1287900:1289727:1 gene:Manes.10G012400.v8.1 transcript:Manes.10G012400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLHIDVKQDDDSASEKADRFDQKVERIFLIVNFILELPSAAFDQLSSVHKPQYALLSMLISFTVLIISIVDLLLKGRKERVTWMRRGLMPWFYYPYPNSKPFGTFPDIIGLACAFFQCIFAAISYAFLFKHADSSIKLSVWPIIFALGLLFSRISGDTAQKGPKPLVRRLNRAEEFTLAQLAAATNDFSLQNKIGEGNSYVVFIGKLPDGSEVAVKRRDTGYEFQEEDTFFESEITFLSRLHHKHLIRLVGYCEEEKEMILVYEYLKNGSLHDHLHQRNSAKINSWKMRFKIALDAARGIEYLHNYAVPPIIHRNINSSNILLDANWAARVCDFGMSVLDPESVSNYKPKKAEGTVGYIDPEFYSTNVLTAKSDVYSLGVILLELLTGKTAMFKDEDNGGAITNIADFAVPKILANELAKVLDQRISRPEFDKEAEAVELVAYTALHCVNLQGNNRPNITNIVANLEQASSLCDDHTKDHRHRAGTEQLHGGSEEIEPNSNV >Manes.10G012400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1287961:1289727:1 gene:Manes.10G012400.v8.1 transcript:Manes.10G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLHIDVKQDDDSASEKADRFDQKVKVERIFLIVNFILELPSAAFDQLSSVHKPQYALLSMLISFTVLIISIVDLLLKGRKERVTWMRRGLMPWFYYPYPNSKPFGTFPDIIGLACAFFQCIFAAISYAFLFKHADSSIKLSVWPIIFALGLLFSRISGDTAQKGPKPLVRRLNRAEEFTLAQLAAATNDFSLQNKIGEGNSYVVFIGKLPDGSEVAVKRRDTGYEFQEEDTFFESEITFLSRLHHKHLIRLVGYCEEEKEMILVYEYLKNGSLHDHLHQRNSAKINSWKMRFKIALDAARGIEYLHNYAVPPIIHRNINSSNILLDANWAARVCDFGMSVLDPESVSNYKPKKAEGTVGYIDPEFYSTNVLTAKSDVYSLGVILLELLTGKTAMFKDEDNGGAITNIADFAVPKILANELAKVLDQRISRPEFDKEAEAVELVAYTALHCVNLQGNNRPNITNIVANLEQASSLCDDHTKDHRHRAGTEQLHGGSEEIEPNSNV >Manes.10G080301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18811672:18816148:-1 gene:Manes.10G080301.v8.1 transcript:Manes.10G080301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQSINIKLDKKEEMLEELTKRLAKLGLKEDTKKKAIVPLTVESKVETEGEETRDEERELAKLELMLRETEPAEVNMIKYPKPQSTMDLKPYYPRPSPINLQYEDMSYNPVQVDGSSIIQWNIDGLSDYQIKNVLQYMTMHATACRAKGNDDPAAARALIVGFSGQLKGWWDFSVSNEEKIQIFNMVKQEGAQQVPDVVNTLLYTIGLHFIGSVSMFTDRAQEQLINLCCPDLSHFKWYKDTFFSLVFTREDSQNNVWKEKFLAGLPALFAERVRDQIRSKHNGNIPYHDYTYGELASEVVTTGIYLCNELKIHKQMQKERFYGKQILGNFCEQYGLPPIKFPSLKFKGGSREDDRVRQGRQSSAPTNKAFLQRQMSL >Manes.12G125600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33180180:33184382:1 gene:Manes.12G125600.v8.1 transcript:Manes.12G125600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHVEKVYVILGNDLQDGFKTLDWTLGKWKLNQISIVILHVTCNISKDFVYTPFGKLPVSSVSEEKLEVLRKYEQEKIEKLLFKYIAFCGKVKAETMEVEKSDEPIHKVIVDLISKHKITKLVMGLTFMKSSSWKSKNAISGSFYIHQHKPNFCEFFIICGGKLVFLRGENEETIMEDDQGITAAKRGNRKTWLGKMFTEGRNFSHIDASSKGLESQNSQNQWENFVLEIHNYYEQLLSLNMDEDICEEENEILQADSLEEDVLDPTDSTMSMAAKMEFLRSKIEDAQKIIQLKKEEAKLNEERSAKAEWALSLCNARVDKLEAKIKDEVTNRIEIKKSLDNEKEQIQETMSDIAENRSRLNSVLELKSELSNKLHLSTLARSHAEAQLEKAVLARAEMVREIEELRQQRDVLQRRIEFCKEKDAIGMVSKYNEITCGYREYSSEDIRLATDGFSESLRLKSGGDWTNVYRGRIHNLAVAVKMFNHDAGFSREDFLAKVKYLNNIRHPHLVAMVGFCVEPKCIIFEYMHNGSLREILFSSERNQKSRDRTLRWQDRIRIAHEVCSGLAYLHLAKPRLVIHGHLTTSNILLDRNLVAKLSGFGLSRRSNENYDRHHDIRAFGVVLVHLLTGRNWGGLLEEEMKWDRSGLVGVLDERAGQWPLDLAEEIVGIAMKCMPSDLRCNKDLSIGTVMEELDRVRKKADGIVAREGCEGFVRGVDKEESREVPGIFLCPIFQDVMKNPHVAADGFSYELEAIEEWLKMGRDTSPMTNLKLKHKFLTPNHTLRSLIQEWHSKNSNITS >Manes.01G042200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8355809:8356974:1 gene:Manes.01G042200.v8.1 transcript:Manes.01G042200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLSWSAAPLVSKKPINTPTKGTIPCSLSFPQRGSNSVRPSRLSFVRAQAAGDNKDTSVDVQVNPQSSQGTAVEKRPRRQLAVDVSPFGLLDPLSPMRTMRQMMDTMDRIFEDAMTLPGSRSRTVGEVRAPWDIKEDENEFKLRFDMPGLSKEDVKVFVEEDVLVIKGEHKNEEGGEDSWASRSLSSYDTRLKLPDNCEKDRIKAELKNGVLFISIPKTKVERKVIDVEIQ >Manes.S024852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2130797:2131171:-1 gene:Manes.S024852.v8.1 transcript:Manes.S024852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.04G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18357518:18361948:1 gene:Manes.04G061000.v8.1 transcript:Manes.04G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNDLINLNLSDATEKIIAEYIWIGGSGLDLRSKARTLPGPVTDPSKLPKWNYDGSSTGQAPGDDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGDPIPTNKRHNAAKIFSHSDVVAEEPWYGIEQEYTLLQKEVKWPLGWPVGGFPGPQGPYYCGTGADKAYGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYLLERITEIAGAVLSFDPKPIQGDWNGAGAHTNYSTKSMRNDGGIHVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASIRVGRDTEQNGKGYFEDRRPASNMDPYVVTSMIAETTILWNP >Manes.09G011934.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2872939:2875104:1 gene:Manes.09G011934.v8.1 transcript:Manes.09G011934.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWGRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHFSTSTLEDARILTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLYALVKMKQYHTVLSMSKTIELLGISHNIYSLNILINCFCRLHLVDFGFSVFGKIFKFGLEPDVVTFTTLINGLSIESKMDKALEFFNDMLAGGCQPNVYTFNVIVNGLCKFGKTNVAIELLKEMADRGCEPDVVTYNAIIDTLCKDELVGEALELFYQMRNKGISPNVITYTGLIHGFCKLGQKNQALALMNEMVEQNVLPNDYTFNVLIDALCKDGMVSEAQNTFNVMIQRGGEPNLITYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIFRIMIQRGVEPTVVTYSSLLDGYCLGNQIDKARKLFDVMVTNEIADIFSYNILINGYCKCNMIDDAKELFDEMSHKGLVPDAVTYSTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSTMIDGLCRQGNLDEALTLLKAMEKSQLKPNLVICSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNYCYNIIIQGFLKHEDLPKASKLINEMVDKGFSADDATTELVVHLSRNNDLILSKLRNRFESSKAVQ >Manes.14G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5795252:5796038:1 gene:Manes.14G069300.v8.1 transcript:Manes.14G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAATLAIQYPSGKVEKLYWPVSAAEVMKMNPGHYVALLLSTTLYPTHKNGECPNPTNNNSNNSVRITRIKLLKPTDTLVLGHVYRLITTQEVMKGLVAKKEAKQKKDQSAEMQYIGLEKDHQVTKNERTRTRTATATNSASAAARSRTWQPSLQSISEASS >Manes.14G062600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEGEGLGNTRKGLINPIQAVGNVGSAGLGWPRGRMTDC >Manes.14G062600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLGKCISCIVLAQDTWLPCQVMILPTQQKTGVSLNCQ >Manes.14G062600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEGEGLGNTRKGLINPIQAVGNVGSAGLGWPRGRMTDC >Manes.14G062600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLHSSVATARIMFLHCQWIIVMLTHSVELFVMKVLTGTQNAVLINQLKIHLHRQSGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEGEGLGNTRKGLINPIQAVGNVGSAGLGWPRGRMTDC >Manes.14G062600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLGKCISCIVLAQDTWLPCQVMILPTQQKTGVSLNCQ >Manes.14G062600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEGEGLGNTRKGLINPIQAVGNVGSAGLGWPRGRMTDC >Manes.14G062600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLGKCISCIVLAQDTWLPCQVMILPTQQKTGVSLNCQ >Manes.14G062600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEVNFTDKLVP >Manes.14G062600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEGEGLGNTRKGLINPIQAVGNVGSAGLGWPRGRMTDC >Manes.14G062600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWYATFLILKTCFFVSFNSVYVQFRLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEGEGLGNTRKGLINPIQAVGNVGSAGLGWPRGRMTDC >Manes.14G062600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEVNFTDKLVP >Manes.14G062600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLHSSVATARIMFLHCQWIIVMLTHSVELFVMKVLTGTQNAVLINQLKIHLHRQSGNNADVSTMSLEVDGGDGLTYLADDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKQRIYEYRDRAAERRNFHGGFGVGPGQKSTLVDDVCSPVSTEEAAAEALDMSFGSGSYARKMLEKMGWKEGEGLGNTRKGLINPIQAVGNVGSAGLGWPRGRMTDC >Manes.14G062600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5223246:5228685:1 gene:Manes.14G062600.v8.1 transcript:Manes.14G062600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGGKETDSQATVVDDDSFVWDETSGLYFHASSGFYHDSNAGWYYSSRDGLYYKFENGSYVLLEFDKVNAVESRSSPGVVSDTSDRDESSTQFCCNSEDNVSSLPVDHCDAYSFSGTVRDEGAHGYTKCSSDQPAENPPPPSEWLEESLINLYLSGYKQVGNNADVSTMSLEVDGGDGLTYLADGASNDDTQMLKDGDWITEHNCKVIDQCESTIDEDASWEEENWQAQYGQVVNSGEQPLQDFHAVDLWDWAKITVSRRDGKSQTFRLVGRLVKQSAKLHPSMPSSGNLYRTAPICEAHLDLVRARTGQVYKLHSPSARYLASLSSYDSSNPTKDWGVPELSMNIPHIPLTKSSGKSKPKLADKGTDCKGMFISSSQHSISKKNL >Manes.18G104900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10183675:10187869:1 gene:Manes.18G104900.v8.1 transcript:Manes.18G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQVIKSILVHPFTAFITTRSKTTSAQYVASRARDPTFEKLMEKYKNLVKVIAIQDLILANPTDSNPSVSLDFLSRLSQKLHLNRGAASFLRKHPHIFHIFHNPTLSQSFCKLTDTALQVSRQEAEAINASLPVVVNRLVWLLSMSTSKSLPLRAIFKVWRELGLPDDFEDSVISRNPQLFRLDDAHEPNTHILKLVAEIPNNHFTSAVENWRVTECCKEDCSVDRTEMQYSFKHQYPPGMRLSKSFRSKVKEWQRLPYVGPYEELGEKKRSKAGLMGLEKRSVAIVHEFLSLTVEKMVEVEKISHFRKCFGIDLNIRDLFLDHPGIFYLSTKGKRHTVFLREAYERGRLIEPNPVYDVRRKLLDLVILGRCRSLASKLNSGEIGRVEDSGLQDENSD >Manes.02G056100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4429736:4436814:-1 gene:Manes.02G056100.v8.1 transcript:Manes.02G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKFIHTLSDENPDLQKHIGCMNGIFQLFDRHHFLGGGRRLTGQNHKRLPPGQNGNHSIEPPCTSQKAKEKNQKKSIKEKQRVSTESSRTSFSSSSCSSSLSSLECNRASQLEPCSFNQTIGPETHARDLPSPLYQPNVSFRSRQQSPDLRDVVKDSIYREARGLSVKTVSKGESGSQTLKYFDSPRPLQQPKFVNTKVSGLKESSQVLHKLQEKPRKSSEEKVVSSTSGLKDARRLSCDGRESREAYKSTIKLKELPRLSLDSRAGSVSGSTTELKSSDPIGDLKRGDRNLSNILNQQEPESHTRLSNVVAKLMGLEVLPGSMSADGNQTRQIKTHPDVEKNSFLGSSRTTNENKQNQIPGSPRNLHKEPISPRLRHVDSVKKQIPNSKFPIETAPWRQPDGSKGSETTALKGRLTPPNAPNTTLSVYGEIEKKLAQLEFKGSGADLRALKQILEAMQKTKEILETKNEGRKLGTQASTNTSVHQNSKLPNQHNVQSNSPISNLTRGTSSPKSDKSPIVIMKPAKLIKASNPASSVSPTQSSSVLHDLQIAGSEDGRRESIDKQTAKALTPKAGHLRERSSLPSRSMDKSTAIRMTRQPNTSRDPQSTAKEDSCKSLGSRQIKKKVGLEKQPRPTTRSSDSIRAQRQSGRQTTESGSPRDKMRPKSANLPSDDELSDIGSYARDLSHQGDTISLQSESTISLASQIDEEVSSTDKTNKINNNFIQQAHLRQKKTVARSMKGRSITEPASASSEQPSPVSVLDATFYSDDLPSPIKKKPIAFKEDEIEWNSGDINHSSSSINSSFQLVINHRKSEDIHPLIQNLTQLLSAQDEPIIDEITPHYNSTNPDHKYISEILLASGLLEDFESTFTTIHLQQTGYPVNPHLFLALEQAKSNVMHSNDKESKTKTFQSENHIKVKRKLVFDAVNEILAHKLRLESYSRHWHSPNMLADKRSRGQQLLGELCSEVDRMQGNASSCSLDDENDSLRDILQADLMHQSTHWTTCTSEIPWLVLDVERLIFKDLISEVVTGEAISLRVPSGGYCRQLFSK >Manes.04G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32359184:32359942:1 gene:Manes.04G121900.v8.1 transcript:Manes.04G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRARSLMVIFVVLGMAVGQSAASFGGCYKSCFLKCIITPPGNSPISCGVKCLKDCIIPSSLTTSTAKEQTHYFCNFGCASSLCTNFSTKQDPGEENVAKCVDSCSTRCSKNFSP >Manes.09G067260.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11023898:11029719:1 gene:Manes.09G067260.v8.1 transcript:Manes.09G067260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAESSESKGTKRDFSTAILERKKAPNRLVVDEATNDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTVCIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNIFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPSEYCVVAPDTEIFCEGEPVRREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRRDNPEAMEEDVEDDVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSEASGAATGSDPFAASAGGADDDDLYN >Manes.08G076715.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17452341:17459800:1 gene:Manes.08G076715.v8.1 transcript:Manes.08G076715.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLTPDQFRKVGLGVMPSPSPFLTPRPERRRPESRGSDWNNNRHDRDKEVNVQVLLRCRPLSDEEQRTNVAKVISCNEHKREVTVLQSVANKQVDRVFTFDKVFGPKAQQRSIYDQAIAPIVNEVLEGFNCTVFAYGQTGTGKTYTMEGGMRNKGGDLPAEAGVIPRAVRQIFDTLEAQNADYSMKVTFLELYNEEITDLLAPEDTLRYTDDRQKKPISLMEDGKGCVVVRGLEEEAVYSANEIYTLLERGAAKRRTADTLLNKRSSRSHSVFSITVHVKEASVGDEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSAHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSAHSLEETLSTLDYAYRAKNIKNKPEANQKMSKAVLLKDLYLEIERMKEDVRAAREKNGVYVRHDRFVQDEAEKKARIEKIEQLENDLSLSEKQVDRFRELYLTEQEQKLDIESELKGCKLNLEKSNKELVDLQENHKVVISTLKEKEFLISKLLLSENSLISRAKELRGDLQTASEDINSLFAKLDQKDKMEAENQRLILIFGSHLDQSLKDLHKTILGSVSQQQQQIRCMEEHARSFLASKCDATQILESRIKKMAETYTSGVAAMKELASTMQRKASSDLEHINVTISSQTMAVEHFLSTAVLEAKEVIEHIQNLLDEQKQLIAVSAQRQEEGLKRSLVSSQVISKATTDFFNDLNCHASKVLTALQESQVKRIHQLVDFERMFKEGAAREEKQALENIAEILATLTSRKAAMVSEVSRNIQDLTIQETKRFQQDMYSMQQVSSDAKEEINRYAEKAQMHFIEDTFSVAESRVVMEKVHQECSKRVDYSRKQWENSQSYINNLNKNNVLEIESTVKENISKNHVWHEEFLSASSAMDEKCDSRTSDMLAAVDDSLMRDKESKKEMKSITTLCLDQLKSIQENHGQSIAHVRSEAEKYLTKDFKVDQHSGTTPKRRDIRVPSLASIEEMRTLALENLKEEKNIENRSKLGHNEMKVSQQAASLNRAPFADVN >Manes.S019294.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:197922:198080:1 gene:Manes.S019294.v8.1 transcript:Manes.S019294.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.03G066420.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7379125:7381902:-1 gene:Manes.03G066420.v8.1 transcript:Manes.03G066420.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLREMEGKQAHDPIFIDNMNKSSKRCVCVPGPLIVGAGPSGLAVAACLKERGVPITVIERSNCVASLWQLKTYDRLRLHLPKQFCELPLMGFPTEFPTYPTKQQFIDYLEKYADKFDIRPRFNETVSHAEFDRVIGFWRVRTVGPKVEEKEYVCRWLVVATGENAEAVVPNIEGMGEFEGDIRHTSLYSSGEEFRGKRVLVVGCGNSGMEVCLDLCNHSAKPSVVVRDTVHVLPKEMLGKSTFGLSMWLLKWLPMRVVDGLLLIVSRMMLGDTAKLGFERPQLGPLELKTVSGKTPVLDVGTLARIKSGEIKVCPGIKRLKRHSVEFVNWKTENFDAILLATGYKSNVPSWLKEGDMFSEKDGLPRRPFPNGWKGERGLYAVGFTKRGILGASMDAKRVAEDIERCWKAEAKHWMVFARSLLPQSSS >Manes.06G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28029764:28034644:-1 gene:Manes.06G153000.v8.1 transcript:Manes.06G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNPNQPDGFYLDPNGMALPGLGPFVTASATPSEDPSKKIRKPYTITKSRESWSEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKATKNSPMLSQPPGSFHLLEPGLDGRPDSASIPMNPMNNASTASQTNNLPAVSLSNQAKGANNCCSSTENVSTMKPIGETAGLGNHGHRLRVLPDFAQVYNFIGSVFDPNATSHLQRLKKMDPIDVETVLLLMRNLSINLTSPDFEDHRRLLSSYEIDTPAIGACKILKDSLSKNVAQFG >Manes.09G128100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33080753:33084492:1 gene:Manes.09G128100.v8.1 transcript:Manes.09G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAFNEYSRTVCVMDASGSLGTTLVHRLLQRGYNVHAAVQNHGKLEYFEELSSCNSKKLRVFYSDPFDYHSIMDALKGCSGLFYSFEPPSDQPNYDEFMADVEVRAAHNVVEACAQTETIHKVVFTSSVTAVLWSNDRNKTSDDLDERNWSDINFCRKFKLWHAMSKALAEKTAWALAMDREINMVSINGGLIMSPDITIANPYLKGAAEMYEDGVFVTVDLSFIVDAHICVFEDVASYGRYLCFNHVINRNEDAVKLARILLPPSESPHPKSFEDTSVHQQRISNKKLNKLMVDFDSEVQID >Manes.09G041100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7461995:7465914:-1 gene:Manes.09G041100.v8.1 transcript:Manes.09G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFARLFTGLGSRKLLAFSFHSTTPMPMPARHTFGRAGNDPMSELYRRITPIGDPQVSIVPILDQWVQEGRPISKDQLRIFIKEFRYYKRFHHALEISLWMTDKRYCPLTSVDSALRLDLISLVHGNEEAEKFFNNIPQKIRGVEVYSTRVNCYARAKSVEKAEAVLQKMSDLGFARETVTYNVMLNLYYQTGNREKFDALIHEMEENGIAYDRFTLGIQLSAYAAVSDIEGMEKIITKMESGNGVVLDWIIYSNAANAYTKAGLLDKALEMLKKCEGLVSSKKRSRAYDSLLTQYAAIGKKDEVLRIWELYKKKEKIYNRGYSCIMSSLLKFDDIESAEKIFEEWESQHLQYDVRVPNFLIAAYSRKGDMERAESLLKRIVSKGRKPDYFSWFNLAEGYLKNNQTSNAFEMMKKAILACGPGWKPTSESLASFLEHLKGKGDFDKAEEFLKLLVDKDIISLDVQERLLNCVKANESSLV >Manes.09G188600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37484893:37489388:1 gene:Manes.09G188600.v8.1 transcript:Manes.09G188600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSKLASPSPSASLSPYSSFKSRYSVPVCFVDLNTKTAFLARASSSKLSVPSSHRLTRSLVVRCAQSTGNGSPPKKTTLHDLYEREGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTKGTVEAAKWLHKVVDRPNVYIKIPATAPCIPSIKEVISNGINVNVTLIFSLARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPESLDLRGKAAVTQAALAYRLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFIDHGSVERTIDANVSEAEGIYSALEKLGIDWSYVGNQLEVEGVDSFKKSFDSLLDTLQEKANSLKLVSS >Manes.07G117704.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32315729:32317763:1 gene:Manes.07G117704.v8.1 transcript:Manes.07G117704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCCWYTVSLSFSLSRCFSLLTNLSAPTNPQIHYPFNPFTSSSTSIYTHQSFKAQASVFLLYSSFEFLFFSVLGFHG >Manes.08G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4143371:4159787:1 gene:Manes.08G042300.v8.1 transcript:Manes.08G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGSKSKRLSSINSKAANSRSSSTTSSSKQFVETSIDGQSSPASSSARSKPQYFYSENVPLDGETPKENVTVTVRFRPLSPREIRQGVEISWYADGETIVRNEHNQSIAYAYDRVFGPTTTTRHVYDIAAQHVVSGSMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTTFNLLSSRSHSIFTLTIESSPCGENSEGAVNLSQLNLIDLAGSESSKAETTGGRWKEGSYINKSLLTLGTVISKLTDGRASHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSYEETHNTLKFAHRAKHIEIQAAQNKIVDEKSLIKKYQNEIHSLKEELEQLKKGIVVTVPQLKDMVEDNIVLLKQKLEDGQFEIQSRLEQEEEAKEALLGRIQRLTKLILVSSKASQSSRFPHCPGPRRRHSFGEEELAYLPYKRRDLMLDDENIELYVSPEGKSETTDDALKREKKSRKHGLLNWLKLQKRDSGLGTTSDKPSGVKSNRTPSTPQAENSNFHTESIFSNPLLTESSPSADLLSEIRPDGEIPEDNLLGQETPLTSIKTSDQIDLLREQQKILSGEVALHSSALKRLSEEASRNPQKEHIHVEMKRLNDEIKVKTEQIALLEKQITDSIVVSHNKMDKLEVSQTVAELMEQLNEKSFELEVKTADNRIIQEQLNQKICECEGLQETIVSLKQQLSDALDLRNISPLPSYLKRFSELKSLHSQHHVDKEIAVTKNRNEDLLQQAQTAEIEELKQKVAALTESNEQLEMQKQKLADESSYAKGLASAAAMELKALSEEIAKLMNQNERLAAELAALKNSPTQRRTNSTVRNGRRENHLKRHDQVGPTSELKKELAISREREAQYEAALMEKDQQDAELQRKVEESKQREAYLENELANMWVLVAKLKKSHGSSTDNSDSTREAPSV >Manes.18G139300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16370511:16375626:1 gene:Manes.18G139300.v8.1 transcript:Manes.18G139300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNQVGLPETDADHGYVETEPTGRYGRFEEVLGKGAMKTVYKAIDEMLGIEVAWNQVKLNELLRSPENLQRLYSEVHLLSTLNHDSIIKFYTSWIDVHRKTFNFITEMFTSGTLREYRKKYKRVNIRAIKNWARQILQGLVYLHSHDPPVIHRDLKCDNIFINGHLGQVKIGDLGLAAILRGSQSAHSVIGTPEFMAPELYEEDYNELVDVYSFGMCVLEMLTSEYPYSECINPAQIYKKVTSGKLPAAFYQIQDLEAQQFIGKCLAAASKRLSAKELLLDPFLASDEAEPSPVTRSRDQKPFLNCREMEKLQLSSDPTRTDMTITGKLNPEDDTIFLKVQIANKDGSLRNIYFPFDILHDTPPDVAMEMVKELDIDDWEPFEIAEMIDGEISSLVPNWKKWDLPRIEACHTFNYKEDDGANHPFDSSSSCTSSQASISGLITHFLQDDFLDDTSSQSSSHSGSYSNVNYISGDDHKFDMPTTKRDQKPPMRTQNSTRFCPQDNSSSYIGQAIAKDAYKHCKLLLESQHGASSSKNKRVVDNRRLTRNRSLVDIRSQLLHRSLVEEVNKRRLFKTAGSVENVGFQAPPEDSKRMAQRNSCRRK >Manes.18G139300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16370511:16375626:1 gene:Manes.18G139300.v8.1 transcript:Manes.18G139300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNQVGLPETDADHGYVETEPTGRYGRFEEVLGKGAMKTVYKAIDEMLGIEVAWNQVKLNELLRSPENLQRLYSEVHLLSTLNHDSIIKFYTSWIDVHRKTFNFITEMFTSGTLREYRKKYKRVNIRAIKNWARQILQGLVYLHSHDPPVIHRDLKCDNIFINGHLGQVKIGDLGLAAILRGSQSAHSVIGTPEFMAPELYEEDYNELVDVYSFGMCVLEMLTSEYPYSECINPAQIYKKVTSGKLPAAFYQIQDLEAQQFIGKCLAAASKRLSAKELLLDPFLASDEAEPSPVTRSRDQKPFLNCREMEKLQLSSDPTRTDMTITGKLNPEDDTIFLKVQIANKDGSLRNIYFPFDILHDTPPDVAMEMVKELDIDDWEPFEIAEMIDGEISSLVPNWKKWDLPRIEACHTFNYKEDDGANHPFDSSSSCTSSQASISGLITHFLQDDTSSQSSSHSGSYSNVNYISGDDHKFDMPTTKRDQKPPMRTQNSTRFCPQDNSSSYIGQAIAKDAYKHCKLLLESQHGASSSKNKRVVDNRRLTRNRSLVDIRSQLLHRSLVEEVNKRRLFKTAGSVENVGFQAPPEDSKRMAQRNSCRRK >Manes.16G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32607748:32612905:1 gene:Manes.16G124300.v8.1 transcript:Manes.16G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILGSKSVILILLIQLCLSVITPALAISTGSTDGNTINGRVKIAGVGAKGFGLPGKLSNVKVILNGGQNITFLRPDGYFSFYNVPAGTHLIEVAAIGYFFSPVRVDVSARFPGKVQAALTENRRGLSELVLEPLSDEQYYETREPFSIMSVVKSPMGLMVGFMLVVMFLMPKLMENMDPEEMRRAQEEMRQQGVPSLASLLPGAQR >Manes.11G049000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6170887:6174969:-1 gene:Manes.11G049000.v8.1 transcript:Manes.11G049000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFTAKLWDALTGDELHSFDHKHIVRACAFSEDTHLLLTGGMEKILRIFDLNRPDAPPREVDSSPGSIRTVAWLHSDQTILSSCTDIGGVRLWDIRSGKVVQTLETKSPVTSAEVSVDGRYITTADGSAVKFWDANHFGLVKSYNMPCNVESASLEPKLGNKFVAGGEDMWIHIFDFNTGEQIGMYF >Manes.11G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6169777:6174969:-1 gene:Manes.11G049000.v8.1 transcript:Manes.11G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFTAKLWDALTGDELHSFDHKHIVRACAFSEDTHLLLTGGMEKILRIFDLNRPDAPPREVDSSPGSIRTVAWLHSDQTILSSCTDIGGVRLWDIRSGKVVQTLETKSPVTSAEVSVDGRYITTADGSAVKFWDANHFGLVKSYNMPCNVESASLEPKLGNKFVAGGEDMWIHIFDFNTGEQIGCNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPANLEENDSVAGNGPAGKVTTSADDVGHKLEGFHMSKEGKSCENEKATDD >Manes.08G068200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:10630517:10634794:1 gene:Manes.08G068200.v8.1 transcript:Manes.08G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISPVLVKPGGVQSKFSEERMVGKLSTRLLNICVVSFCKARQLDKAESVIIDAVRLGIRPNVVTYNELIVGYCRLSSFESAYSLLQRMREARINPDVVTYNSLISGAARNCLLSKSLDLFEEMLQRGMAPDIWSFNTLMHCFFELGRPEEANQVFRDIILHGLSPCPATFNIMINGLCKNGYTSNALMLFRNLQRHGFVPELITYNILINGLCKVGRLGAARRMLKELSASGYLPNAITYTTVMKCCFRSRRFQQGLEILQEMRHKGYTFDGFADCTVVGALIKGGRIKEATNYMDQMMRNGIKHDLASYNTLMNLYCKVGKLEDAYQLLDEIEEGGLECDEYTHTILIDGLCKAGNIEGAQQHMKHMNVLGLKSHLVASNCVVDGLCKAGQIDNALKMFESMEMRDSFTYSSLVHNLCKARRYHCASKLLLGCINSGMKILRSAQWAVIDGLQYSGFQKEARKLKSKIRLAQMVQC >Manes.03G007400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:627042:628582:-1 gene:Manes.03G007400.v8.1 transcript:Manes.03G007400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDNHPKPNSKTTFFLGCFGFSGKHHVSRKKSAKFDHKRSWFSRSRTFLLKQSVTKTVPVEYSAAVSEKLLTTLKKSSKPKRKSSSDEIPSKRKTPASQDKFPATDSKVDATSDQASRQSPHKTSHSSEQNIILENGKLLDSAKQLSFRRKIDTLRNSSCSQPGSPNPGTNATAPTIHRLTSFPATNPPEKTRVNTKKKPRKENGKIDKGFDPLIGMSVLMVTLIIMFLWGRLCAILCTSAWLYFVARLSKPTPNGTVKNGLVSGEPDLNPEEQKKRVVLEGFLERNHRRSPPS >Manes.12G061100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6309213:6320964:-1 gene:Manes.12G061100.v8.1 transcript:Manes.12G061100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGIKRTHLSSRKDRNLGHKAPDSPITPLQESRKSLQDNSIPNRPSTGTPAPWAPRLSVLARIPPANKSDKGNEADPIKPVYVGEFPQLVRAEQASFLQKHIPGDGCISGGMDKETCLSWVICGNRLFIWSYLSSVASKDCIVLELPSNVSDGRDNGKSLYEGSNWMLCVVNWDKSCKGRKKVVPSYYSAGIVMCNQKTQVVIYWPDIYSEEGSIPVICQLSADELEATSSSVDGKTTTNRQQQHNRTGSSSIGLNYFNSLIASPVPGLQNVCVALVCSSKGELWQFYCSPTGIRRSKLYQDEVSSSFKGNDNGQFVGSKGYPRSLIWHSSLHSMDDSSRQFLLLTDHEIQCFSIAFRPDLNVSKLWSHEIVGTDGDSGIKKDLAGQKRIWPLDVHVDDQGKVITVLVATFCKDRVSGSSYTQYSLLTMQCKSRVNISSDMHEKVLEKKAPIQVIIPKARLEDEDFLFSMRLRVGGRPSGSAIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEESAEEERRNITFASNIAPRRASSDAWDAGGRQRAVITGIAHRSAGDEESEALLGQLFHDFLLTGQVDSSFQKLQNSGAFERDGETNVFARTSKSIVDTLAKHWTTTRGAEIVALTIVSSQLIEKQQKHERFLQFLALSKCHEELCTKQTGQSLQIVLEHGEKLAGMIQLRELQNVISQNHSIAAGSPHSSSEAQISGALWDLIQLVGERARRSTVLLMDRDNAEVFYSKVSDIEEVFYCLDKHLEYVVSEEQPLEVQIRRACELSNAVVSVFHTAALYRNEHHIWYPPPEGLTPWCCKPVVRNGLWRVASFMLQLLNETTGLKDSIKSDLYSHLEVLAEVLLEAYAGAITAKLECGEEHKGLLKEYWNRRDSLLDCLYQKLKDFVEGAHQGLNIGTNEQNGEVLRKLSSTLLSIAKKHEGYNTMWSICCDLNDAVLLRNLMHESMGPKGGFSFFAFKQLYEKRQFSKLLRLGEEFQEELSIFLKHHQDLLWLHELFLHQFSSASEALHALAVSQDEHSFSEAEEGEDPEHTGMISTLADRKRLLNLSRIAAMAAGKDAGSGAKVMRIDADLKILKLQEEIVKVLQANGEEIYDGRQLFRPDELIDLCLKSDNPELALLAFDVLAWTSSSFRRSHRNLLEECWKNAADQDDWGKLHQASLDEGWSDEETLQQLKDTVLFQAASRCYGPQAETIEEGFEEVLSLRKENSEGSPMKDLDFSVEAILMQHKDFPDAGKLMLTAIMLGSVQDDIKVEEGPSPME >Manes.12G061100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6309214:6320964:-1 gene:Manes.12G061100.v8.1 transcript:Manes.12G061100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETCLSWVICGNRLFIWSYLSSVASKDCIVLELPSNVSDGRDNGKSLYEGSNWMLCVVNWDKSCKGRKKVVPSYYSAGIVMCNQKTQVVIYWPDIYSEEGSIPVICQLSADELEATSSSVDGKTTTNRQQQHNRTGSSSIGLNYFNSLIASPVPGLQNVCVALVCSSKGELWQFYCSPTGIRRSKLYQDEVSSSFKGNDNGQFVGSKGYPRSLIWHSSLHSMDDSSRQFLLLTDHEIQCFSIAFRPDLNVSKLWSHEIVGTDGDSGIKKDLAGQKRIWPLDVHVDDQGKVITVLVATFCKDRVSGSSYTQYSLLTMQCKSRVNISSDMHEKVLEKKAPIQVIIPKARLEDEDFLFSMRLRVGGRPSGSAIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEESAEEERRNITFASNIAPRRASSDAWDAGGRQRAVITGIAHRSAGDEESEALLGQLFHDFLLTGQVDSSFQKLQNSGAFERDGETNVFARTSKSIVDTLAKHWTTTRGAEIVALTIVSSQLIEKQQKHERFLQFLALSKCHEELCTKQRQSLQIVLEHGEKLAGMIQLRELQNVISQNHSIAAGSPHSSSEAQISGALWDLIQLVGERARRSTVLLMDRDNAEVFYSKVSDIEEVFYCLDKHLEYVVSEEQPLEVQIRRACELSNAVVSVFHTAALYRNEHHIWYPPPEGLTPWCCKPVVRNGLWRVASFMLQLLNETTGLKDSIKSDLYSHLEVLAEVLLEAYAGAITAKLECGEEHKGLLKEYWNRRDSLLDCLYQKLKDFVEGAHQGLNIGTNEQNGEVLRKLSSTLLSIAKKHEGYNTMWSICCDLNDAVLLRNLMHESMGPKGGFSFFAFKQLYEKRQFSKLLRLGEEFQEELSIFLKHHQDLLWLHELFLHQFSSASEALHALAVSQDEHSFSEAEEGEDPEHTGMISTLADRKRLLNLSRIAAMAAGKDAGSGAKVMRIDADLKILKLQEEIVKVLQANGEEIYDGRQLFRPDELIDLCLKSDNPELALLAFDVLAWTSSSFRRSHRNLLEECWKNAADQDDWGKLHQASLDEGWSDEETLQQLKDTVLFQAASRCYGPQAETIEEGFEEVLSLRKENSEGSPMKDLDFSVEAILMQHKDFPDAGKLMLTAIMLGSVQDDIKVEEGPSPME >Manes.12G061100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6309214:6320965:-1 gene:Manes.12G061100.v8.1 transcript:Manes.12G061100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETCLSWVICGNRLFIWSYLSSVASKDCIVLELPSNVSDGRDNGKSLYEGSNWMLCVVNWDKSCKGRKKVVPSYYSAGIVMCNQKTQVVIYWPDIYSEEGSIPVICQLSADELEATSSSVDGKTTTNRQQQHNRTGSSSIGLNYFNSLIASPVPGLQNVCVALVCSSKGELWQFYCSPTGIRRSKLYQDEVSSSFKGNDNGQFVGSKGYPRSLIWHSSLHSMDDSSRQFLLLTDHEIQCFSIAFRPDLNVSKLWSHEIVGTDGDSGIKKDLAGQKRIWPLDVHVDDQGKVITVLVATFCKDRVSGSSYTQYSLLTMQCKSRVNISSDMHEKVLEKKAPIQVIIPKARLEDEDFLFSMRLRVGGRPSGSAIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEESAEEERRNITFASNIAPRRASSDAWDAGGRQRAVITGIAHRSAGDEESEALLGQLFHDFLLTGQVDSSFQKLQNSGAFERDGETNVFARTSKSIVDTLAKHWTTTRGAEIVALTIVSSQLIEKQQKHERFLQFLALSKCHEELCTKQRQSLQIVLEHGEKLAGMIQLRELQNVISQNHSIAAGSPHSSSEAQISGALWDLIQLVGERARRSTVLLMDRDNAEVFYSKVSDIEEVFYCLDKHLEYVVSEEQPLEVQIRRACELSNAVVSVFHTAALYRNEHHIWYPPPEGLTPWCCKPVVRNGLWRVASFMLQLLNETTGLKDSIKSDLYSHLEVLAEVLLEAYAGAITAKLECGEEHKGLLKEYWNRRDSLLDCLYQKLKDFVEGAHQGLNIGTNEQNGEVLRKLSSTLLSIAKKHEGYNTMWSICCDLNDAVLLRNLMHESMGPKGGFSFFAFKQLYEKRQFSKLLRLGEEFQEELSIFLKHHQDLLWLHELFLHQFSSASEALHALAVSQDEHSFSEAEEGEDPEHTGMISTLADRKRLLNLSRIAAMAAGKDAGSGAKVMRIDADLKILKLQEEIVKVLQANGEEIYDGRQLFRPDELIDLCLKSDNPELALLAFDVLAWTSSSFRRSHRNLLEECWKNAADQDDWGKLHQASLDEGWSDEETLQQLKDTVLFQAASRCYGPQAETIEEGFEEVLSLRKENSEGSPMKDLDFSVEAILMQHKDFPDAGKLMLTAIMLGSVQDDIKVEEGPSPME >Manes.12G061100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6309213:6320964:-1 gene:Manes.12G061100.v8.1 transcript:Manes.12G061100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGIKRTHLSSRKDRNLGHKAPDSPITPLQESRKSLQDNSIPNRPSTGTPAPWAPRLSVLARIPPANKSDKGNEADPIKPVYVGEFPQLVRAEQASFLQKHIPGDGCISGGMDKETCLSWVICGNRLFIWSYLSSVASKDCIVLELPSNVSDGRDNGKSLYEGSNWMLCVVNWDKSCKGRKKVVPSYYSAGIVMCNQKTQVVIYWPDIYSEEGSIPVICQLSADELEATSSSVDGKTTTNRQQQHNRTGSSSIGLNYFNSLIASPVPGLQNVCVALVCSSKGELWQFYCSPTGIRRSKLYQDEVSSSFKGNDNGQFVGSKGYPRSLIWHSSLHSMDDSSRQFLLLTDHEIQCFSIAFRPDLNVSKLWSHEIVGTDGDSGIKKDLAGQKRIWPLDVHVDDQGKVITVLVATFCKDRVSGSSYTQYSLLTMQCKSRVNISSDMHEKVLEKKAPIQVIIPKARLEDEDFLFSMRLRVGGRPSGSAIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEESAEEERRNITFASNIAPRRASSDAWDAGGRQRAVITGIAHRSAGDEESEALLGQLFHDFLLTGQVDSSFQKLQNSGAFERDGETNVFARTSKSIVDTLAKHWTTTRGAEIVALTIVSSQLIEKQQKHERFLQFLALSKCHEELCTKQTGQSLQIVLEHGEKLAGMIQLRELQNVISQNHSIAAGSPHSSSEAQISGALWDLIQLVGERARRSTVLLMDRDNAEVFYSKVSDIEEVFYCLDKHLEYVVSEEQPLEVQIRRACELSNAVVSVFHTAALYRNEHHIWYPPPEGLTPWCCKPVVRNGLWRVASFMLQLLNETTGLKDSIKSDLYSHLEVLAEVLLEAYAGAITAKLECGEEHKGLLKEYWNRRDSLLDCLYQKLKDFVEGAHQGLNIGTNEQNGEVLRKLSSTLLSIAKKHEGYNTMWSICCDLNDAVLLRNLMHESMGPKGGFSFFAFKQLYEKRQFSKLLRLGEEFQEELSIFLKHHQDLLWLHELFLHQFSSASEALHALAVSQDEHSFSEAEEGEDPEHTGMISTLADRKRLLNLSRIAAMAGKDAGSGAKVMRIDADLKILKLQEEIVKVLQANGEEIYDGRQLFRPDELIDLCLKSDNPELALLAFDVLAWTSSSFRRSHRNLLEECWKNAADQDDWGKLHQASLDEGWSDEETLQQLKDTVLFQAASRCYGPQAETIEEGFEEVLSLRKENSEGSPMKDLDFSVEAILMQHKDFPDAGKLMLTAIMLGSVQDDIKVEEGPSPME >Manes.12G061100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6309214:6320964:-1 gene:Manes.12G061100.v8.1 transcript:Manes.12G061100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGIKRTHLSSRKDRNLGHKAPDSPITPLQESRKSLQDNSIPNRPSTGTPAPWAPRLSVLARIPPANKSDKGNEADPIKPVYVGEFPQLVRAEQASFLQKHIPGDGCISGGMDKETCLSWVICGNRLFIWSYLSSVASKDCIVLELPSNVSDGRDNGKSLYEGSNWMLCVVNWDKSCKGRKKVVPSYYSAGIVMCNQKTQVVIYWPDIYSEEGSIPVICQLSADELEATSSSVDGKTTTNRQQQHNRTGSSSIGLNYFNSLIASPVPGLQNVCVALVCSSKGELWQFYCSPTGIRRSKLYQDEVSSSFKGNDNGQFVGSKGYPRSLIWHSSLHSMDDSSRQFLLLTDHEIQCFSIAFRPDLNVSKLWSHEIVGTDGDSGIKKDLAGQKRIWPLDVHVDDQGKVITVLVATFCKDRVSGSSYTQYSLLTMQCKSRVNISSDMHEKVLEKKAPIQVIIPKARLEDEDFLFSMRLRVGGRPSGSAIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEESAEEERRNITFASNIAPRRASSDAWDAGGRQRAVITGIAHRSAGDEESEALLGQLFHDFLLTGQVDSSFQKLQNSGAFERDGETNVFARTSKSIVDTLAKHWTTTRGAEIVALTIVSSQLIEKQQKHERFLQFLALSKCHEELCTKQRQSLQIVLEHGEKLAGMIQLRELQNVISQNHSIAAGSPHSSSEAQISGALWDLIQLVGERARRSTVLLMDRDNAEVFYSKVSDIEEVFYCLDKHLEYVVSEEQPLEVQIRRACELSNAVVSVFHTAALYRNEHHIWYPPPEGLTPWCCKPVVRNGLWRVASFMLQLLNETTGLKDSIKSDLYSHLEVLAEVLLEAYAGAITAKLECGEEHKGLLKEYWNRRDSLLDCLYQKLKDFVEGAHQGLNIGTNEQNGEVLRKLSSTLLSIAKKHEGYNTMWSICCDLNDAVLLRNLMHESMGPKGGFSFFAFKQLYEKRQFSKLLRLGEEFQEELSIFLKHHQDLLWLHELFLHQFSSASEALHALAVSQDEHSFSEAEEGEDPEHTGMISTLADRKRLLNLSRIAAMAGKDAGSGAKVMRIDADLKILKLQEEIVKVLQANGEEIYDGRQLFRPDELIDLCLKSDNPELALLAFDVLAWTSSSFRRSHRNLLEECWKNAADQDDWGKLHQASLDEGWSDEETLQQLKDTVLFQAASRCYGPQAETIEEGFEEVLSLRKENSEGSPMKDLDFSVEAILMQHKDFPDAGKLMLTAIMLGSVQDDIKVEEGPSPME >Manes.12G061100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6309214:6320964:-1 gene:Manes.12G061100.v8.1 transcript:Manes.12G061100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGIKRTHLSSRKDRNLGHKAPDSPITPLQESRKSLQDNSIPNRPSTGTPAPWAPRLSVLARIPPANKSDKGNEADPIKPVYVGEFPQLVRAEQASFLQKHIPGDGCISGGMDKETCLSWVICGNRLFIWSYLSSVASKDCIVLELPSNVSDGRDNGKSLYEGSNWMLCVVNWDKSCKGRKKVVPSYYSAGIVMCNQKTQVVIYWPDIYSEEGSIPVICQLSADELEATSSSVDGKTTTNRQQQHNRTGSSSIGLNYFNSLIASPVPGLQNVCVALVCSSKGELWQFYCSPTGIRRSKLYQDEVSSSFKGNDNGQFVGSKGYPRSLIWHSSLHSMDDSSRQFLLLTDHEIQCFSIAFRPDLNVSKLWSHEIVGTDGDSGIKKDLAGQKRIWPLDVHVDDQGKVITVLVATFCKDRVSGSSYTQYSLLTMQCKSRVNISSDMHEKVLEKKAPIQVIIPKARLEDEDFLFSMRLRVGGRPSGSAIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEESAEEERRNITFASNIAPRRASSDAWDAGGRQRAVITGIAHRSAGDEESEALLGQLFHDFLLTGQVDSSFQKLQNSGAFERDGETNVFARTSKSIVDTLAKHWTTTRGAEIVALTIVSSQLIEKQQKHERFLQFLALSKCHEELCTKQRQSLQIVLEHGEKLAGMIQLRELQNVISQNHSIAAGSPHSSSEAQISGALWDLIQLVGERARRSTVLLMDRDNAEVFYSKVSDIEEVFYCLDKHLEYVVSEEQPLEVQIRRACELSNAVVSVFHTAALYRNEHHIWYPPPEGLTPWCCKPVVRNGLWRVASFMLQLLNETTGLKDSIKSDLYSHLEVLAEVLLEAYAGAITAKLECGEEHKGLLKEYWNRRDSLLDCLYQKLKDFVEGAHQGLNIGTNEQNGEVLRKLSSTLLSIAKKHEGYNTMWSICCDLNDAVLLRNLMHESMGPKGGFSFFAFKQLYEKRQFSKLLRLGEEFQEELSIFLKHHQDLLWLHELFLHQFSSASEALHALAVSQDEHSFSEAEEGEDPEHTGMISTLADRKRLLNLSRIAAMAAGKDAGSGAKVMRIDADLKILKLQEEIVKVLQANGEEIYDGRQLFRPDELIDLCLKSDNPELALLAFDVLAWTSSSFRRSHRNLLEECWKNAADQDDWGKLHQASLDEGWSDEETLQQLKDTVLFQAASRCYGPQAETIEEGFEEVLSLRKENSEGSPMKDLDFSVEAILMQHKDFPDAGKLMLTAIMLGSVQDDIKVEEGPSPME >Manes.12G061100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6309214:6320965:-1 gene:Manes.12G061100.v8.1 transcript:Manes.12G061100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETCLSWVICGNRLFIWSYLSSVASKDCIVLELPSNVSDGRDNGKSLYEGSNWMLCVVNWDKSCKGRKKVVPSYYSAGIVMCNQKTQVVIYWPDIYSEEGSIPVICQLSADELEATSSSVDGKTTTNRQQQHNRTGSSSIGLNYFNSLIASPVPGLQNVCVALVCSSKGELWQFYCSPTGIRRSKLYQDEVSSSFKGNDNGQFVGSKGYPRSLIWHSSLHSMDDSSRQFLLLTDHEIQCFSIAFRPDLNVSKLWSHEIVGTDGDSGIKKDLAGQKRIWPLDVHVDDQGKVITVLVATFCKDRVSGSSYTQYSLLTMQCKSRVNISSDMHEKVLEKKAPIQVIIPKARLEDEDFLFSMRLRVGGRPSGSAIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEESAEEERRNITFASNIAPRRASSDAWDAGGRQRAVITGIAHRSAGDEESEALLGQLFHDFLLTGQVDSSFQKLQNSGAFERDGETNVFARTSKSIVDTLAKHWTTTRGAEIVALTIVSSQLIEKQQKHERFLQFLALSKCHEELCTKQRQSLQIVLEHGEKLAGMIQLRELQNVISQNHSIAAGSPHSSSEAQISGALWDLIQLVGERARRSTVLLMDRDNAEVFYSKVSDIEEVFYCLDKHLEYVVSEEQPLEVQIRRACELSNAVVSVFHTAALYRNEHHIWYPPPEGLTPWCCKPVVRNGLWRVASFMLQLLNETTGLKDSIKSDLYSHLEVLAEVLLEAYAGAITAKLECGEEHKGLLKEYWNRRDSLLDCLYQKLKDFVEGAHQGLNIGTNEQNGEVLRKLSSTLLSIAKKHEGYNTMWSICCDLNDAVLLRNLMHESMGPKGGFSFFAFKQLYEKRQFSKLLRLGEEFQEELSIFLKHHQDLLWLHELFLHQFSSASEALHALAVSQDEHSFSEAEEGEDPEHTGMISTLADRKRLLNLSRIAAMAGKDAGSGAKVMRIDADLKILKLQEEIVKVLQANGEEIYDGRQLFRPDELIDLCLKSDNPELALLAFDVLAWTSSSFRRSHRNLLEECWKNAADQDDWGKLHQASLDEGWSDEETLQQLKDTVLFQAASRCYGPQAETIEEGFEEVLSLRKENSEGSPMKDLDFSVEAILMQHKDFPDAGKLMLTAIMLGSVQDDIKVEEGPSPME >Manes.12G061100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6309214:6320964:-1 gene:Manes.12G061100.v8.1 transcript:Manes.12G061100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETCLSWVICGNRLFIWSYLSSVASKDCIVLELPSNVSDGRDNGKSLYEGSNWMLCVVNWDKSCKGRKKVVPSYYSAGIVMCNQKTQVVIYWPDIYSEEGSIPVICQLSADELEATSSSVDGKTTTNRQQQHNRTGSSSIGLNYFNSLIASPVPGLQNVCVALVCSSKGELWQFYCSPTGIRRSKLYQDEVSSSFKGNDNGQFVGSKGYPRSLIWHSSLHSMDDSSRQFLLLTDHEIQCFSIAFRPDLNVSKLWSHEIVGTDGDSGIKKDLAGQKRIWPLDVHVDDQGKVITVLVATFCKDRVSGSSYTQYSLLTMQCKSRVNISSDMHEKVLEKKAPIQVIIPKARLEDEDFLFSMRLRVGGRPSGSAIILSGDGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEESAEEERRNITFASNIAPRRASSDAWDAGGRQRAVITGIAHRSAGDEESEALLGQLFHDFLLTGQVDSSFQKLQNSGAFERDGETNVFARTSKSIVDTLAKHWTTTRGAEIVALTIVSSQLIEKQQKHERFLQFLALSKCHEELCTKQRQSLQIVLEHGEKLAGMIQLRELQNVISQNHSIAAGSPHSSSEAQISGALWDLIQLVGERARRSTVLLMDRDNAEVFYSKVSDIEEVFYCLDKHLEYVVSEEQPLEVQIRRACELSNAVVSVFHTAALYRNEHHIWYPPPEGLTPWCCKPVVRNGLWRVASFMLQLLNETTGLKDSIKSDLYSHLEVLAEVLLEAYAGAITAKLECGEEHKGLLKEYWNRRDSLLDCLYQKLKDFVEGAHQGLNIGTNEQNGEVLRKLSSTLLSIAKKHEGYNTMWSICCDLNDAVLLRNLMHESMGPKGGFSFFAFKQLYEKRQFSKLLRLGEEFQEELSIFLKHHQDLLWLHELFLHQFSSASEALHALAVSQDEHSFSEAEEGEDPEHTGMISTLADRKRLLNLSRIAAMAGKDAGSGAKVMRIDADLKILKLQEEIVKVLQANGEEIYDGRQLFRPDELIDLCLKSDNPELALLAFDVLAWTSSSFRRSHRNLLEECWKNAADQDDWGKLHQASLDEGWSDEETLQQLKDTVLFQAASRCYGPQAETIEEGFEEVLSLRKENSEGSPMKDLDFSVEAILMQHKDFPDAGKLMLTAIMLGSVQDDIKVEEGPSPME >Manes.15G031200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2437194:2440375:1 gene:Manes.15G031200.v8.1 transcript:Manes.15G031200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMPGIEPIDVISSDESSSLDGDTKINDGADAQQLSLDSTLGQPIKEMTFEGGVIRRAEMYQEYMRQLPIPACRGSLIPFSSWVGLGQSIKQLYGQPLHYLTNVLLRQWDHLRMGGEDEQKPLDIVIHPSKAEATIWLVEEVHRRTSSPHHVAKLWLLDPMYRRFIDSIFPQL >Manes.15G031200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2437194:2440375:1 gene:Manes.15G031200.v8.1 transcript:Manes.15G031200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMPGIEPIDVISSDESSSLDGDTKINDGADAQQLSLDSTLGQPIKEMTFEGGVIRRAEMYQEYMRQLPIPACRGSLIPFSSWVGLGQSIKQLYGQPLHYLTNVLLRQWDHLRMGGEDEQKPLDIVIHPSKAEATIWLVEEVHRRTSSPHHVAKLWLLDPMYRRFIDSIFPQL >Manes.15G031200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2437194:2440375:1 gene:Manes.15G031200.v8.1 transcript:Manes.15G031200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTMPGIEPIDVISSDESSSLDGDTKINDGADAQQLSLDSTLGQPIKEMTFEGGVIRRAEMYQEYMRQLPIPACRGSLIPFSSWVGLGQSIKQLYGQPLHYLTNVLLRQWDHLRMGGEDEQKPLDIVIHPSKAEATIWLVEEVHRRTSSPHHVAKLWLLDPMYRRFIDSIFPQL >Manes.10G139200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30677268:30685762:-1 gene:Manes.10G139200.v8.1 transcript:Manes.10G139200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSRSSVIIIGAGVSGLSAAKVLAENGIEDMVILEASDRIGGRIRKENFGGVSVELGAGWIAGVGGKESNPVWELANQSGLRTCFSDYSNARYNIYDRSGKIFPSGLAADSYKKAVDSAIMKLRSLEANHVGEVIEPPCAPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEYLLYKMAEDFLFTSEGKILDTRLKLNKRWKTEAIENCDVMVYTKIFLKFPYKFWPSGPEKEFFIYAHERRGYYTFWQHMENAYPGSNVLVVTLTNGESKRVEAQSDEETLKEAMEVLRDMFGPNIPNATDILVPRWWNNRFQRGSYSNYPIISNNQVVHDIKAPVGRIFFTGEHTSERFNGYVHGGYLSGIDTSKSLLEVMREGKERESESKTFLLEPLLALTGSLTLTQTETVSNLHKCDIPTQLYLSGKLGIPEAIL >Manes.10G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30677268:30685762:-1 gene:Manes.10G139200.v8.1 transcript:Manes.10G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSRSSVIIIGAGVSGLSAAKVLAENGIEDMVILEASDRIGGRIRKENFGGVSVELGAGWIAGVGGKESNPVWELANQSGLRTCFSDYSNARYNIYDRSGKIFPSGLAADSYKKAVDSAIMKLRSLEANHVGEVIEPPCAPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEYLLYKMAEDFLFTSEGKILDTRLKLNKIVREIQHSRNGVFVKTEDGCVFEANYVILSASIGVLQSDLISFRPPLPRWKTEAIENCDVMVYTKIFLKFPYKFWPSGPEKEFFIYAHERRGYYTFWQHMENAYPGSNVLVVTLTNGESKRVEAQSDEETLKEAMEVLRDMFGPNIPNATDILVPRWWNNRFQRGSYSNYPIISNNQVVHDIKAPVGRIFFTGEHTSERFNGYVHGGYLSGIDTSKSLLEVMREGKERESESKTFLLEPLLALTGSLTLTQTETVSNLHKCDIPTQLYLSGKLGIPEAIL >Manes.12G048200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4349429:4351409:-1 gene:Manes.12G048200.v8.1 transcript:Manes.12G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSNFISILGVVALLLLYNQWRSRKYSSKGQPLPPQVPGALPIIGHLHQLGARKPFARILGDIADKHGPIFSIKLGIHRTVVVSNQHILKEFFTTNDKFLASRPSNRLAKYLAYNGAAFGFTPYNSYWRDVRKLAMVEVLSVQRLKLFKDVRTSEVSHLVNDLFKKFKENKSNPIKVNIGELLAHLVLNIMTRMVAGKRYFEGDNNGHDEKGRPIGQVMRDVMYAAGAFVPSDMIPFLGWTDFFGPVKTMKKVSKELDSILEVWVQEHELRRLNGGAQTNRDFIDVLLNSVKDDSTFGHSREIVIKATIQTLIFAGFDTTALTMTWMLANLLNNRRELELAQEEIDQKIGRERPVEESDIENLVYLKAITKETLRLYPTVPMGVPRESMEDCTLFGYHIPKGTRLLTNLWKLHRDPSIWTNPEEFKPDRFLTTHAGVDILGQNFELIPFGSGRRSCPGLNFAMQVILLGMAKLLQGFNFTTPNNEPVDMTESLNLTLSNVTPLEVMVSPRLAPEFYQY >Manes.06G170400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29494239:29496493:-1 gene:Manes.06G170400.v8.1 transcript:Manes.06G170400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKDRCNPSEDNTVAVSVQIAPSVSSNGNNDPSENIPQGHQCEPNANNENNRSSDAFAKGLSTMLASIIRDFDSKAQDTLKSQDYLNCAIDRLARELDQLLEDAPLPFIMQHAAKISGVRKRVSSLNSLLKSIQRRVDNIDLILSVGSLQADN >Manes.03G054100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5251308:5257380:-1 gene:Manes.03G054100.v8.1 transcript:Manes.03G054100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFPFPIYLGFSFFGCFNMPCSTFHDQGAGDSGKSTIFKQIKLLFQSGFDESELKSYIPVIHANVYQAIKILHDGSKELAQNETDPSKYVISSENKDIGEKFSEIGGRLNYPCLTRDLAQEIETLWKDAAIQETYARGNELQVPDCAHYFMENLQRLSDANYIPTKEDILYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMVETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYYQSTTPDRVDRVFKTYRTTALDQKLVKKTFKLVDETLRRRNLLEAGLL >Manes.03G054100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5251308:5257380:-1 gene:Manes.03G054100.v8.1 transcript:Manes.03G054100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIVIHNMGSLCSKQRRYKEADAEESAQAAEIERRIEQETKAEKHIQKLLLLGAGDSGKSTIFKQIKLLFQSGFDESELKSYIPVIHANVYQAIKILHDGSKELAQNETDPSKYVISSENKDIGEKFSEIGGRLNYPCLTRDLAQEIETLWKDAAIQETYARGNELQVPDCAHYFMENLQRLSDANYIPTKEDILYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMVETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYYQSTTPDRVDRVFKTYRTTALDQKLVKKTFKLVDETLRRRNLLEAGLL >Manes.03G054100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5251308:5257380:-1 gene:Manes.03G054100.v8.1 transcript:Manes.03G054100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFPFPIYLGFSFFGCFNMPCSTFHDQGAGDSGKSTIFKQIKLLFQSGFDESELKSYIPVIHANVYQAIKILHDGSKELAQNETDPSKYVISSENKDIGEKFSEIGGRLNYPCLTRDLAQEIETLWKDAAIQETYARGNELQVPDCAHYFMENLQRLSDANYIPTKEDILYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMVETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYYQSTTPDRVDRVFKTYRTTALDQKLVKKTFKLVDETLRRRNLLEAGLL >Manes.03G054100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5251308:5257380:-1 gene:Manes.03G054100.v8.1 transcript:Manes.03G054100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPFPFPIYLGFSFFGCFNMPCSTFHDQGAGDSGKSTIFKQIKLLFQSGFDESELKSYIPVIHANVYQAIKILHDGSKELAQNETDPSKYVISSENKDIGEKFSEIGGRLNYPCLTRDLAQEIETLWKDAAIQETYARGNELQVPDCAHYFMENLQRLSDANYIPTKEDILYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMVETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLKVPLSVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYYQSTTPDRVDRVFKTYRTTALDQKLVKKTFKLVDETLRRRNLLEAGLL >Manes.16G056300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:20863673:20867092:1 gene:Manes.16G056300.v8.1 transcript:Manes.16G056300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYFTKLPKNSEPLNSKPKEKVAFVEKESLASDDDIIGDPGLRKPIDSYPFEIIDSLRRRYLAKGPCQPVGHEFPFTLIHEKNRRFQVAWFKDYEWLEYSVSKDKVYCLYCYLFANNNRSGGNVFIEIGFNNWKDGRRAFVNHEGSPGSSHSGCRMKVEQYRNQRGNVNQLLARQTAAMEDDYRTRLSTVVSVARILLEEGLPFRGHDESAESLH >Manes.16G056300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20862635:20868432:1 gene:Manes.16G056300.v8.1 transcript:Manes.16G056300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPKFNATTPISKQSTNIKATTQPASASLPATCLPVSSPVPSESAAGQGLFNYNYYPFEIIDSLRRRYLAKGPCQPVGHEFPFTLIHEKNRRFQVAWFKDYEWLEYSVSKDKVYCLYCYLFANNNRSGGNVFIEIGFNNWKDGRRAFVNHEGSPDDYRTRLSTVVSVARILLEEGLPFRGHDESADWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIECCAMETRKIILNELGEKKFALLVDEARDCSVKEQMSLVLRFTSAKVLKNAIDTFFAKHDLSLAKLRGQGYDGAANMSGEFNGLKTYILKENKNAHYIHCFAHQLQLVVVIASHESESVVNTIGASCKRKDSLREIHNEEVLNQNQEISLARSGDTRWGSHYTTIVRLFDMWNSVERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFCEGHSIDVPNNMENFVHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKTDLRNRLGDDLLSDCLVCYFEKEIFRSIDYEVIMQSFQNLASRRNQLRPLKIRRPNPC >Manes.09G040600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7434537:7438260:1 gene:Manes.09G040600.v8.1 transcript:Manes.09G040600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTTNVELVPEKETIKEGKEGCPAFHCDLCDTEIVYKITRVFLPGLAAACVDNTTGGLFRSPASVAVDMRKEMIDYLTQRSESFVAETIILEGGTNTEVSDHPYDIISDFVDDFASSKRNFFSRVSGWLLSEKREDNIDDFIQEMEENRFWLTDRREVIAQTLLKNVDLKNTFHCDKKFHTTEELAEHVVNCGFRSLNCKNEGCTVVVCASKLETHDSACPFKIIPCEQKCSDSIMRREMDRHCITICPMKLVNCPFYSVGCQSTIARCRIQQHCSEDFHLHLVFALQNIHKGATEEDLKERVDQIVKSAPGQLEASRDVRSLTIKVRDIDAKLGPLECTPRKDSEDSIETANDIMDKSTEAINKALEESSNKVGTESIEAAIEESSEPGNSVTEKSTNTTNKVGGESPKSAMGGSPEAGDSVTEKWNKATN >Manes.09G040600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7433671:7438518:1 gene:Manes.09G040600.v8.1 transcript:Manes.09G040600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTTNVELVPEKETIKEGKEGCPAFHCDLCDTEIVYKITRVFLPGLAAACVDNTTGGLFRSPASVAVDMRKEMIDYLTQRSESFVAETIILEGGTNTEVSDHPYDIISDFVDDFASSKRNFFSRVSGWLLSEKREDNIDDFIQEMEENRFWLTDRREVIAQTLLKNVDLKNTFHCDKKFHTTEELAEHVVNCGFRSLNCKNEGCTVVVCASKLETHDSACPFKIIPCEQKCSDSIMRREMDRHCITICPMKLVNCPFYSVGCQSTIARCRIQQHCSEDFHLHLVFALQNIHKGATEEDLKERVDQIVKVCHSFNI >Manes.09G040600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7433673:7438520:1 gene:Manes.09G040600.v8.1 transcript:Manes.09G040600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTTNVELVPEKETIKEGKEGCPAFHCDLCDTEIVYKITRVFLPGLAAACVDNTTGGLFRSPASVAVDMRKEMIDYLTQRSESFVAETIILEGGTNTEVSDHPYDIISDFVDDFASSKRNFFSRVSGWLLSEKREDNIDDFIQEMEENRFWLTDRREVIAQTLLKNVDLKNTFHCDKKFHTTEELAEHVVNCGFRSLNCKNEGCTVVVCASKLETHDSACPFKIIPCEQKCSDSIMRREMDRHCITICPMKLVNCPFYSVGCQSTIARCRIQQHCSEDFHLHLVFALQNIHKGATEEDLKERVDQIVKSAPGQLEASRDVRSLTIKVRDIDAKLGPLECTPRKDSEDSIETANDIMDKSTEAINKALEESSNKVGTESIEAAIEESSEPGNSVTEKSTNTTNKVGGESPKSAMGGSPEAGDSVTEKWNKATN >Manes.09G040600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7433675:7438506:1 gene:Manes.09G040600.v8.1 transcript:Manes.09G040600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPTTNVELVPEKETIKEGKEGCPAFHCDLCDTEIVYKITRVFLPGLAAACVDNTTGGLFRSPASVAVDMRKEMIDYLTQRSESFVAETIILEGGTNTEVSDHPYDIISDFVDDFASSKRNFFSRVSGWLLSEKREDNIDDFIQEMEENRFWLTDRREVIAQTLLKNVDLKNTFHCDKKFHTTEELAEHVVNCGFRSLNCKNEGCTVVVCASKLETHDSACPFKIIPCEQKCSDSIMRREMDRHCITICPMKLVNCPFYSVGCQSTIARCRIQQHCSEDFHLHLVFALQNIHKGATEEDLKERVDQIVKSAPGQLEASRDVRSLTIKVRDIDAKLGPLECTPRKDSEDSIETANDIMDKSTEAINKALEESSNKVGTESIEAAIEESSEPGNSVTEKSTNTTNKVGGESPKSAMGGSPEAGDSVTEKWNKATN >Manes.09G122450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32503860:32507673:1 gene:Manes.09G122450.v8.1 transcript:Manes.09G122450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTLRESRRSSNVLPGSHSQQYHSRGHSLTGFSIQTYENLDLFSISSRNLSVASSDESSNVSMKLGRYDVRSSELSKREVDDSSSSIDKGKHVYDWETDRSTSASTRSSSLARSVPSTEASKLSASEFSADHCTRPSRRSSQFSAYSSDRLSSVPHTSSASVLAYFGQSSPLTRSLSTPRSWASAGRVLLHRRTPAPAHRPSSPVPRLWSPQQPVVPLNRPPKIRSLPDRFLPVAAVTPKRNPETTSPRNVPRRYSRHMDINRNGTGTGTPQGFRAASEAQSVGSMGAPECINNTSVLENGNYMSSAAEITSKSIDGRNMSSQLKGQSQPSEMKRKE >Manes.09G122450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32503860:32507673:1 gene:Manes.09G122450.v8.1 transcript:Manes.09G122450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTLRESRRSSNVLPGSHSQQYHSRGHSLTGFSIQTYENLDLFSISSRNLSVASSDESSNVSMKLGRYDVRSSELSKREVDDSSSSIDKGKHVYDWETDRSTSASTRSSSLARSVPSTEASKLSASEFSADHCTRPSRRSSQFSAYSSDRSWASAGRVLLHRRTPAPAHRPSSPVPRLWSPQQPVVPLNRPPKIRSLPDRFLPVAAVTPKRNPETTSPRNVPRRYSRHMDINRNGTGTGTPQGFRAASEAQSVGSMGAPECINNTSVLENGNYMSSAAEITSKSIDGRNMSSQLKGQSQPSEMKRKE >Manes.02G171850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13578662:13579658:1 gene:Manes.02G171850.v8.1 transcript:Manes.02G171850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSCMQKYLLFTLLAFFLLISSSEASRLPKGYWKQMLPKKLPTPSSSPSKGTNSVPASSSPMARANTVVPSSDGKV >Manes.04G004400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:651468:654376:-1 gene:Manes.04G004400.v8.1 transcript:Manes.04G004400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTTVVLMIGLLGMVYQATQLPPPQRDIPHLNSPRVRLSDGRYLAYREKGVPKNKSKYKIIIVHGFGSSKEMNFLAPQELIEELGIYFLLFDRAGYGESDPNPKRTVKSEALDIEELADQLQIGSKFYVIGVSMGSYPIWSCLKYIPHRLAGAALLVPVVNYRWPSLPQNLIREDYRRKLVQWALWFSNYAPGLLHWWVSQQWIPSTSVLEKNPLFFSTQDIEILKKIPGFPMLSKEKLQEKDVFDTLRRDFMVGFGDWDFDPIRLRNPFPQNESTVHIWQGYEDKVVPFQLQRYISGKLPWIRYHEVPHGGHLIVHYSGLCEAVLRALLLGEEPLKLEQVNP >Manes.04G004400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:651468:654376:-1 gene:Manes.04G004400.v8.1 transcript:Manes.04G004400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLAPQELIEELGIYFLLFDRAGYGESDPNPKRTVKSEALDIEELADQLQIGSKFYVIGVSMGSYPIWSCLKYIPHRLAGAALLVPVVNYRWPSLPQNLIREDYRRKLVQWALWFSNYAPGLLHWWVSQQWIPSTSVLEKNPLFFSTQDIEILKKIPGFPMLSKEKLQEKDVFDTLRRDFMVGFGDWDFDPIRLRNPFPQNESTVHIWQGYEDKVVPFQLQRYISGKLPWIRYHEVPHGGHLIVHYSGLCEAVLRALLLGEEPLKLEQVNP >Manes.04G004400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:651468:654376:-1 gene:Manes.04G004400.v8.1 transcript:Manes.04G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSKLKFLSKQQFYRLTVPRDQNCCCGCWLSAHISGSKGQNTSEKEIKHHINHWIPQTQYNIPKILSGMVSRTTVVLMIGLLGMVYQATQLPPPQRDIPHLNSPRVRLSDGRYLAYREKGVPKNKSKYKIIIVHGFGSSKEMNFLAPQELIEELGIYFLLFDRAGYGESDPNPKRTVKSEALDIEELADQLQIGSKFYVIGVSMGSYPIWSCLKYIPHRLAGAALLVPVVNYRWPSLPQNLIREDYRRKLVQWALWFSNYAPGLLHWWVSQQWIPSTSVLEKNPLFFSTQDIEILKKIPGFPMLSKEKLQEKDVFDTLRRDFMVGFGDWDFDPIRLRNPFPQNESTVHIWQGYEDKVVPFQLQRYISGKLPWIRYHEVPHGGHLIVHYSGLCEAVLRALLLGEEPLKLEQVNP >Manes.12G077600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8953815:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPLWNWQEGTITVHCYVTLIFPCDGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKHKGKDENVDVEGLEEEMVEVDIV >Manes.12G077600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8953815:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKLFKTKLCVLYQRGHCSRQNCSFAHGSVELRQFLGSYNGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKPKGSGLGLPAPSTSMAAHAIDELVEIEAEENIEVVETASGKIDKGASTCGVRRLPFLLPPPLPVPRNTYSQHKGKDENVDVEGLEEEMVEVDIV >Manes.12G077600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8955789:8965774:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPLWNWQEGTITVHCYVTLIFPCDGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKVFSLFSFHALFPYGISCFNIK >Manes.12G077600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8954627:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPLWNWQEGTITVHCYVTLIFPCDGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKPKGSGLGLPAPSTSMAAHAIDELVEIEAEENIEVVETASGKIDKGASTCGVRRLPFLLPPPLPVPRNTYSQHKGKDENVDVEGLEEEMVEVDIV >Manes.12G077600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8953815:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPLWNWQEGTITVHCYVTLIFPCDGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKPKGSGLGLPAPSTSMAAHAIDELVEIEAEENIEVVETASGKIDKGASTCGVRRLPFLLPPPLPVPRNTYSQHKGKDENVDVEGLEEEMVEVDIV >Manes.12G077600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8953815:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPLWNWQEGTITVHCYVTLIFPCDGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKHKGKDENVDVEGLEEEMVEVDIV >Manes.12G077600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8953815:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPLWNWQEGTITVHCYVTLIFPCDGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKPKGSGLGLPAPSTSMAAHAIDELVEIEAEENIEVVETASGKIDKGASTCGVRRLPFLLPPPLPVPRNTYSQHKGKDENVDVEGLEEEMVEVDIV >Manes.12G077600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8953815:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKLFKTKLCVLYQRGHCSRQNCSFAHGSVELRQFLGSYNGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKHKGKDENVDVEGLEEEMVEVDIV >Manes.12G077600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8953815:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPLWNWQEGTITVHCYVTLIFPCDGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKPKGSGLGLPAPSTSMAAHAIDELVEIEAEENIEVVETASGKIDKGASTCGVRRLPFLLPPPLPVPRNTYSQHKGKDENVDVEGLEEEMVEVDIV >Manes.12G077600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8954296:8965774:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKLFKTKLCVLYQRGHCSRQNCSFAHGSVELRQFLGSYNGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKVFSLFSFHALFPYGISCFNIK >Manes.12G077600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8953815:8970188:1 gene:Manes.12G077600.v8.1 transcript:Manes.12G077600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWPLWNWQEGTITVHCYVTLIFPCDGKRDRRGGDLRDKLDKRLSPHRRISPGRNTRSQHTFHGASSSRSLEKSRDRKRRKKQHFDGQSDLSGSLKSLDGAKDWDKIRKSTSTDSVIVLKKQLIEVQSEIDMLDQQKSHLKTLEEEKVEEAEILTSRILELDSQLSKEKEEYRRTISKIKKFVKTHKRYVRAQEDLKRSQIRLQRLGDHLGSDTATGGNEEDSSINIVSDGDAPGYHAVCPQNEVQNNYSPGKKVLFVKHDTAEELAKCGNLTNGGVYHTGPTRLRKRSQFNAHAMQSAINKEVEMLDSGDYGHQPTTNESKQKRGKSISASIQSADKHKGKDENVDVEGLEEEMVEVDIV >Manes.01G216600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38554230:38557608:1 gene:Manes.01G216600.v8.1 transcript:Manes.01G216600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDHKATIIDGKAIAQTVRSEIADEVRQLSEKYGKVPGLAVVIVGNRKDSQSYVNMKRKACAEVGIKSFDIDLPEQISEAELISKVHELNANPYIHGILVQLPLPKHINEEKVLSEIHLEKDVDGFHPLNIGKLAMKGREPLFVPCTPKGCLELLSRSGISIKGKNAVVVGRSNIVGLPVSLLLLKADATVTIVHSRSDDQERIIRGADIIIAAAGQAMMIKGSWIKPGAAVIDVGTNAIDDPSKKSGYRLVGDVDYKEACKVAGWITPVPGGVGPMTVAMLLKNTLDGAKRVFMQ >Manes.17G071700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27177026:27179855:-1 gene:Manes.17G071700.v8.1 transcript:Manes.17G071700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVMEYEEIARQKLPKMVFDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTSVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVQWLQTITSLPILVKGVLTAEDTRLAIQNGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGETGIRKVLQMLREEFELTMALSGCRSLREITRNHIVTDWDLPHPVARL >Manes.17G071700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27176815:27180662:-1 gene:Manes.17G071700.v8.1 transcript:Manes.17G071700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVMEYEEIARQKLPKMVFDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTSVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVQWLQTITSLPILVKGVLTAEDTRLAIQNGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGETGIRKVLQMLREEFELTMALSGCRSLREITRNHIVTDWDLPHPVARL >Manes.15G102700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8068363:8074239:-1 gene:Manes.15G102700.v8.1 transcript:Manes.15G102700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHKIVEALTLRYFADHCFPQRFNLLKMAVTAAASSLQLATTSTRPYFSSSCRVVKLGASVIVANSVSWTKLASVSRMSSISPFRQHNFMSCSVKFNRISTKAMSDSSESKRVSGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKIYPLDAVYDNPEDVPEDVKMNKRYAGSSNWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAAVSASSYSYVSLLKHFLPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDTMIEYSLANAPLQKELSADEVGNTAAFLASPLASAITGALIYVDNGLNAMGMGLDSPILKDLDIPRDKH >Manes.15G102700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8068363:8074239:-1 gene:Manes.15G102700.v8.1 transcript:Manes.15G102700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCFPQRFNLLKMAVTAAASSLQLATTSTRPYFSSSCRVVKLGASVIVANSVSWTKLASVSRMSSISPFRQHNFMSCSVKFNRISTKAMSDSSESKRVSGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKIYPLDAVYDNPEDVPEDVKMNKRYAGSSNWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAAVSASSYSYVSLLKHFLPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDTMIEYSLANAPLQKELSADEVGNTAAFLASPLASAITGALIYVDNGLNAMGMGLDSPILKDLDIPRDKH >Manes.15G102700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8068363:8074239:-1 gene:Manes.15G102700.v8.1 transcript:Manes.15G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAAASSLQLATTSTRPYFSSSCRVVKLGASVIVANSVSWTKLASVSRMSSISPFRQHNFMSCSVKFNRISTKAMSDSSESKRVSGLPIDLKGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKIYPLDAVYDNPEDVPEDVKMNKRYAGSSNWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAAVSASSYSYVSLLKHFLPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDTMIEYSLANAPLQKELSADEVGNTAAFLASPLASAITGALIYVDNGLNAMGMGLDSPILKDLDIPRDKH >Manes.01G053400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:20962127:20962390:1 gene:Manes.01G053400.v8.1 transcript:Manes.01G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNDASSDTNTTPNAIVSMENLNQVANWFGATVISAFFSSLERFSCVNVATNDPDDDDNEDEVNVRPLSISTDHHQSDDVANLPV >Manes.15G017700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1423708:1427786:1 gene:Manes.15G017700.v8.1 transcript:Manes.15G017700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISGASISMTSFSRSSKLTFLTPSNGISSLRSVSLPIRGRSIPSLRLQKGASHFRVSCVAKPETLDKVCEIVRNQLALSADAVVNADSKFAVLGADSLDTVEIIMGLEEQFGISVEEERAQSIRTVQDAADLIEDLLEKK >Manes.08G048700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4934536:4938079:1 gene:Manes.08G048700.v8.1 transcript:Manes.08G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQAPKRFIETSTTLSKLLQNILTPPKQDLKPLQNPLNQSAFVQNNKPTHNQLNQFSSKVKYRYICDLLLSQTHSRSLSNGLQLHAHIVKSGLRAIPLVSHHLINFYSKTGLPIFSLQVFEEAQQKSSTTWSSLLSSLAQNELPGLAIEYFRRMLVDNIRPDDRIFPSATKACAILGRCDIGKSLHGLVIKTAFDFDVFVGSSMVDMYAKCGEIRDARKVFDQMPERNVVSWSGMIYGYTQLGEDEEALRLFKEALLEDLDVNDFTYSSVIRVCGNSTLLELGRQIHGLCFKTSYDSSSFVGSSLISLYSRCGVIEAASRVFDEVPIKNLGMWNAMLISCAQHAHTEQVFDLFEKMKIARMKPNFITFLCLLYACSHGGLIDEGKFYFGLMKEYGIEPGAQHYASLVDLLGRAGKLQEALATIKEMPIEPTESVWGAFLTGCRLHGDSESAAFAADRIFELGPVSSGMVVLLSNAYAAAGRYGDAAKARKILRDHGVKKETGLSWIEEGNRVHTFAAGDRCHERTKEIYQKLEELEEDMERAGYVADTSYVLREVDSEEKRQTIRYHSERLAVAFGLIAFKANRPIRVMKNLRICGDCHTAIKFMSQCSGRVIIVRDNNRFHRFENGKCSCDDYW >Manes.18G092400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8530995:8540394:1 gene:Manes.18G092400.v8.1 transcript:Manes.18G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEARSLRKAVVPSTLIENPSPGNLQPTRLALHVNEDAFSCWVYMASGCHVYKLQVPMQDSFVSKGKESLLIPVQTQVMDSSVVNRCPHRSEIQSIVLCETESLGNLVLGSVDCYGHLIVSKLDSSGKDIDSLTYSVLPRDSGVGEGSWAGLCFSPSQWSMAAVARSFCKSIDVYDQDIHLRTLRTLWYPSSLNFLQNLNNGNGSSILAIAEGCQLTIWDLRMKENGGCLHRICGSLGDNFYAVCSSPTGYIAVGGADRTVTIYDPRRWSPLARWVHCSKYEITGLAFSSLDSDYIYIQGVDYEVCCGQWKESTKVFSFRGDSNWLGFNKCSNSSRDLLGGWCDSGSVFLADVGINGSSVETSKVKKETDIIPSGC >Manes.18G096100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8884148:8886374:-1 gene:Manes.18G096100.v8.1 transcript:Manes.18G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTARDYNIEGGSVLHLVLALRGGNI >Manes.01G201700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37467605:37469628:1 gene:Manes.01G201700.v8.1 transcript:Manes.01G201700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEGDLHLKATELRLGLPGSDEPQKQYTPNARSNKRASPEISEESRTRSNSSASIAENGDREIDAPPAKAQVVGWPPIRSYRKNCFQTEQNDAKGSCIYVKVSVDGAPYLRKIDLKVYKCYPELLKALENMFRLTIGEYSEREGYNGSNYSPTYEDKDGDWMLVGDVPWEMFICSCKRLRIMRGSEAKGLRN >Manes.03G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5584832:5586888:1 gene:Manes.03G057000.v8.1 transcript:Manes.03G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAGSISFKSYSKVDEHAEESFRRRTRKRFIIIAVSTFMLLIIIVGALVGTLAPMNNKKSRDKDLSSQTESIRAVCNVTRYPDSCYSSMSSALRPSSNVSDPRNPDLEIHKLFLLSLKIALNEIMSLSSLPSRIISSQRYSNETNDPLVLSALHACETLFEDAIYHIKECISSIAVHQEDKIMLDDIRTWLSTAVTDQETCIDGLKDTGKQLILTDEVRYAMINSTMFASNSLAIASKLLTVLDDLHIPIHRKLLRVLDEHSHVDDGFPTWIHVGDRRLLLEEKPKPNVTVAWDGSGDFKSISEAMESIPKKSKSRFVIYVKEGLYLGNVTISKDYWNVMIYGDGMNKTIVSASQNAVDGVSTFFSGTCIAAGRGFIAKDIGFQNTAGPQKEQAVALRSSSDQSIFYRCSFDAFQDTLYTHSNRQFYRDCQIRGTIDFIFGNAAVVFQNCSIQPRQPMPRQFNTITAQSKSDPNQNTGMSIQRCRMDPFDNLTAPTYLGRPWRDYATTVIMQSYIGGFLDPAGWAEWEANVSSVFYAEFENFGAGSLTNRRVRWPGVRLNITSEVAQEFTVEAFIHGSRWLPQAHVIYDGTL >Manes.18G014900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1569696:1571921:-1 gene:Manes.18G014900.v8.1 transcript:Manes.18G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQPSLKSRFRRVCVFCGSSPGKNPSYQLAAIQLGHQLVERNIDLVYGGGSIGLMGLVSQAVYDGGRHVLGVIPKTLMPREITGEPVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFITPSARHIIVSAQSAHELMCKLEEYEASHSGASKLSWEMEQQLGYAIKSEIAR >Manes.04G082200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28353958:28354368:-1 gene:Manes.04G082200.v8.1 transcript:Manes.04G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSNKIRHIVRIQQMLKRWRRKARLMASRAPSDVPSGHVAVCVGDSSKRFIVRATYLNHPIFKKLLLQAEEEYGFKNIGPLTIPCDESVFEEILRVVSRSDSVRFWNLDEFQRCRHVGIRNHLEFLGESRPLLHG >Manes.16G058900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22274411:22279015:1 gene:Manes.16G058900.v8.1 transcript:Manes.16G058900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIIHCGSNNPSKGKKMASSQVEIASSSPFGCVLKEHNRRDRNSRETNARAAASATHAAFQKNRKESVRDNLQACISVSSCSSSDKHSQNQFINSSNNIYNNGNHRHRQRLRCLTKNQDDNNKKVDESSTVSCKPARNQYRWAAKQAQETVSIIERQSQDAEFLISSQKSSSEKVSYNSQRSVAQPENLSGSSKIGASSLVQIWEARLSRPEPKMDRSNSLKNNQNSAAGASPTSSGSSYNEIPSSVAEEPPRQSDVINSDANEEPVADCDSNAQSSHSSVNFKSSDAGENERVRVADIIKRLTSESADHKQGNSVGDPPSRRHSYGSDQAEVKALSRVTSLPKIKGRQALNDLLFQMEKERQRELVSLAERQSVSRFSQRGRVQSMLRIRCLQRGMAIQDQQRSRSIPSTIASDENRSQRRSTIMNLRERFSAGVEQATSSSSYAATTRRNAEESKNNIDDNRELPTLNHVIEDCHHQGISTPKQQTASSAGNSSSHTSEIHEEDTHEESSSSSDVIWQGTSPEARPRSYWEDRRQAWYQEMLTTASENNEIRQLLERRAVLKCLSSDFRQRMDRLMVSHVQRQDEESSEEEVDHDTDEGGREGEEEAEEEEYVEGQILQEMDEEESTPSVNSPSPCSSWNYSHHHEVDDSEQVPTAPSQQLFPTQGGELFSSLSNTSMEMDLIHNLREHIEQLQLEMSELRRSMQTCMEVQAEFIKNLKKDAYPVQEVEMNTSNTATKRRKCCICYEMEVDSFLYRCGHMCTCLKCAHELKWNSGKCPICRAPVLDVVKAYMDS >Manes.16G058900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22274411:22279015:1 gene:Manes.16G058900.v8.1 transcript:Manes.16G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIIHCGSNNPSKGKKMASSQVEIASSSPFGCVLKEHNRRDRNSRETNARAAASATHAAFQKNRKESVRDNLQACISVSSCSSSDKHSQNQFINSSNNIYNNGNHRHRQRLRCLTKNQDDNNKKVDESSTVSCKPARNQYRWAAKQAQETVSIIERQSQDAEFLISSQKSSSEKVSYNSQRSVAQPENLSGSSKIGASSLVQIWEARLSRPEPKMDRSNSLKNNQNSAAGASPTSSGSSYNEIPSSVAEEPPRQSDVINSDANEEPVADCDSNAQSSHSSVNFKSSDAGENERVRVADIIKRLTSESADHKQGNSVGDPPSRRHSYGSDQAEVKALSRVTSLPKIKGRQALNDLLFQMEKERQRELVSLAERQSVSRFSQRGRVQSMLRIRCLQRGMAIQDQQRSRSIPSTIASDENRSQRRSTIMNLRERFSAGVEQATSSSSYAATTRRNAEESKNNIDDNRELPTLNHVIEDCHHQGISTPKQQTASSAGNSSSHTSEIHEEDTHEESSSSSDVIWQGTSPEGNNFDQGEVFESIPSLNSWDGNEMDDEETVGQQEFRETNYDWFADIARPRSYWEDRRQAWYQEMLTTASENNEIRQLLERRAVLKCLSSDFRQRMDRLMVSHVQRQDEESSEEEVDHDTDEGGREGEEEAEEEEYVEGQILQEMDEEESTPSVNSPSPCSSWNYSHHHEVDDSEQVPTAPSQQLFPTQGGELFSSLSNTSMEMDLIHNLREHIEQLQLEMSELRRSMQTCMEVQAEFIKNLKKDAYPVQEVEMNTSNTATKRRKCCICYEMEVDSFLYRCGHMCTCLKCAHELKWNSGKCPICRAPVLDVVKAYMDS >Manes.03G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24132993:24134401:-1 gene:Manes.03G115400.v8.1 transcript:Manes.03G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILISSSMLVILLALVSGFIQANAAVEYFWCNTPGSRCYGKYVKCPDECPNTSSENPKAKVCYVNCNSPHCKPECKRRKAYCNTPGSACYDPRFIGGDGVVFYFHGKSNEHFSLVSDSNLQINGRFIGHRPAGRTRDFTWIEALGILLNSHVFSLEAKKAATWDGEIDHLKFTYNGEELDIPEGSLSTWYSPEKDVKVERVSSKNRVIVTLKDTAEILVNVVPVTKEDDRVHNYQVPANDCFVHLEVQFRFLNLSPKVDGVLGRTYRPDFENPVKPGVAMPVLGDEKKYKTSSLLSADCQSCIFSQDDSDQETSSVIDSGILDCTHGASAGYGIVCRK >Manes.04G093900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29941639:29946789:-1 gene:Manes.04G093900.v8.1 transcript:Manes.04G093900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAWFLFIALASSVVSSTVEPYYVNDTAALLGLKKSISQDPSNLLGGWKPNGNYCRWYGVTCDEFSKRVIALNFTGGSLTSSLAGTLPDSIGDLTELKALVIPHNAFFGEIPVSLSKLQFLEVLELQGNNFSGGVPHQITTIQSLRVLNLSFNSFTGEIPASLIGYGKFQVIDLSNNLLSGVIKVDSSSKCLFLKHLKLSNNLLDKSIPKEIGRCKNLRTLLLDGNLLQGRIPAEIGQISELRVLDVSTNSFTEEIPKELGYCRKLSVLILTNSSNFVGNGNGDGDNGSGLDFNAFEGGIPYEVLMLPSLQILWAPRGNLCGRLPSKWIDSCSLRVLNLGFNYFNGTLPNGLGTCKNLTFLDLSSNYFVGYLPMHLQVPCMVYFNVSRNNMSGALPSFGEGTCDNSMISFGQDPNFLNVEDVQIAYSNIPVWGPQMRTSLGSKMEEDFVVIHDFSWNQFTGFLPLFSVGVATKNKPAYSLLLNNNMFNGSFPDELVSSCNDLLSFSLNLSANHISGVIHDSLYLNCGSMIMFEAAYNQISGSLPPSIGNLKKLQCFDLRGNRLSGTLPNQLGNLKLVNSILLGRNNVRGGIPSELGQLTSLRVLDLSHNVLTGSIPESLTNDKNLQILMLNSNELSGEIPSSFANLSNLTIFDVSFNHLSGHIPQFQHPIDCDLFRGNNFMEPCPFRSSNSTPDSNGDGKLHSHRNKKTFIVAVVISASVVLCISLMVVVVSMHGRKKLGRLSDLGGKVIVTFADAPPELSYDSVVRATGNFSIRNLIGTGGFGSTYKAELAPGYLLAVKRLSLGRFQGIQQFDAEIRTLGRIRHKNLVTLIGYYVGEAEMFLIYNYLSGGNLQTFIHESSSKNVKWSVIYKIALDIAHALAYLHYSCVPRILHRDIKPSNILLDEELNAYLSDFGLARLLEVSQTHATTDVAGTFGYVAPEYATTCRVSDKSDVYSFGVVMLEMMSGKKSLDPSFSEYGNGFNIVAWAKLLIKEGRYSELFFVDLWEAGPKEHLLGMLKLASTCTVESLSVRPSMKQVLERLKHLKS >Manes.04G093900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29941624:29946789:-1 gene:Manes.04G093900.v8.1 transcript:Manes.04G093900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAWFLFIALASSVVSSTVEPYYVNDTAALLGLKKSISQDPSNLLGGWKPNGNYCRWYGVTCDEFSKRVIALNFTGGSLTSSLAGTLPDSIGDLTELKALVIPHNAFFGEIPVSLSKLQFLEVLELQGNNFSGGVPHQITTIQSLRVLNLSFNSFTGEIPASLIGYGKFQVIDLSNNLLSGVIKVDSSSKCLFLKHLKLSNNLLDKSIPKEIGRCKNLRTLLLDGNLLQGRIPAEIGQISELRVLDVSTNSFTEEIPKELGYCRKLSVLILTNSSNFVGNGNGDGDNGSGLDFNAFEGGIPYEVLMLPSLQILWAPRGNLCGRLPSKWIDSCSLRVLNLGFNYFNGTLPNGLGTCKNLTFLDLSSNYFVGYLPMHLQVPCMVYFNVSRNNMSGALPSFGEGTCDNSMISFGQDPNFLNVEDVQIAYSNIPVWGPQMRTSLGSKMEEDFVVIHDFSWNQFTGFLPLFSVGVATKNKPAYSLLLNNNMFNGSFPDELVSSCNDLLSFSLNLSANHISGVIHDSLYLNCGSMIMFEAAYNQISGSLPPSIGNLKKLQCFDLRGNRLSGTLPNQLGNLKLVNSILLGRNNVRGGIPSELGQLTSLRVLDLSHNVLTGSIPESLTNDKNLQILMLNSNELSGEIPSSFANLSNLTIFDVSFNHLSGHIPQFQHPIDCDLFRGNNFMEPCPFRSSNSTPDSNGDGKLHSHRNKKTFIVAVVISASVVLCISLMVVVVSMHGRKKLGRLSDLGGKVIVTFADAPPELSYDSVVRATGNFSIRNLIGTGGFGSTYKAELAPGYLLAVKRLSLGRFQGIQQFDAEIRTLGRIRHKNLVTLIGYYVGEAEMFLIYNYLSGGNLQTFIHESSSKNVKWSVIYKIALDIAHALAYLHYSCVPRILHRDIKPSNILLDEELNAYLSDFGLARLLEVSQTHATTDVAGTFGYVAPEYATTCRVSDKSDVYSFGVVMLEMMSGKKSLDPSFSEYGNGFNIVAWAKLLIKEGRYSELFFVDLWEAGPKEHLLGMLKLASTCTVESLSVRPSMKQVLERLKHLKS >Manes.04G093900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29941639:29946789:-1 gene:Manes.04G093900.v8.1 transcript:Manes.04G093900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAWFLFIALASSVVSSTVEPYYVNDTAALLGLKKSISQDPSNLLGGWKPNGNYCRWYGVTCDEFSKRVIALNFTGGSLTSSLAGTLPDSIGDLTELKALVIPHNAFFGEIPVSLSKLQFLEVLELQGNNFSGGVPHQITTIQSLRVLNLSFNSFTGEIPASLIGYGKFQVIDLSNNLLSGVIKVDSSSKCLFLKHLKLSNNLLDKSIPKEIGRCKNLRTLLLDGNLLQGRIPAEIGQISELRVLDVSTNSFTEEIPKELGYCRKLSVLILTNSSNFVGNGNGDGDNGSGLDFNAFEGGIPYEVLMLPSLQILWAPRGNLCGRLPSKWIDSCSLRVLNLGFNYFNGTLPNGLGTCKNLTFLDLSSNYFVGYLPMHLQVPCMVYFNVSRNNMSGALPSFGEGTCDNSMISFGQDPNFLNVEDVQIAYSNIPVWGPQMRTSLGSKMEEDFVVIHDFSWNQFTGFLPLFSVGVATKNKPAYSLLLNNNMFNGSFPDELVSSCNDLLSFSLNLSANHISGVIHDSLYLNCGSMIMFEAAYNQISGSLPPSIGNLKKLQCFDLRGNRLSGTLPNQLGNLKLVNSILLGRNNVRGGIPSELGQLTSLRVLDLSHNVLTGSIPESLTNDKNLQILMLNSNELSGEIPSSFANLSNLTIFDVSFNHLSGHIPQFQHPIDCDLFRGNNFMEPCPFRSSNSTPDSNGDGKLHSHRNKKTFIVAVVISASVVLCISLMVVVVSMHGRKKLGRLSDLGGKVIVTFADAPPELSYDSVVRATGNFSIRNLIGTGGFGSTYKAELAPGYLLAVKRLSLGRFQGIQQFDAEIRTLGRIRHKNLVTLIGYYVGEAEMFLIYNYLSGGNLQTFIHESSSKNVKWSVIYKIALDIAHALAYLHYSCVPRILHRDIKPSNILLDEELNAYLSDFGLARLLEVSQTHATTDVAGTFGYVAPEYATTCRVSDKSDVYSFGVVMLEMMSGKKSLDPSFSEYGNGFNIVAWAKLLIKEGRYSELFFVDLWEAGPKEHLLGMLKLASTCTVESLSVRPSMKQVLERLKHLKS >Manes.08G042000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4107004:4107925:-1 gene:Manes.08G042000.v8.1 transcript:Manes.08G042000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTFTIFILSATLAAAASNSDEGPAFSRKLSPRSLGLKKEKLSHLHFFFHDIVKGHQPTAMGITKAAIANSSTGFGMMMIADEPLTLKPHRSSKLVGRAQGIYASASQSELDLLMVLNFAFMEGNYNGSSLSVLGRNPIFSGEREMPIVGGTGVFKFGRGYVKAKTYAYDNKTSNAVVEYDVYVLHR >Manes.14G063100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5251527:5251712:1 gene:Manes.14G063100.v8.1 transcript:Manes.14G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLHVCFLFLLFSQMYRSNSKPSIFLLGGVLYVLNECIPSGPPYTDVCNILKSSLEICSK >Manes.13G093344.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24476458:24478748:-1 gene:Manes.13G093344.v8.1 transcript:Manes.13G093344.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLAGNGTKGSDYKGGGKGTTQLLNSPWDVCYEPVNEKVYIAMAGQHQIWEHNTLDGVTIAFSGDGYERNLNGSSVTFFEICKSLWNVDCPSLFPEILKTLFEIVLFEDCGNQWSLNRPMLSLILISEQPVDQPQRLSLCFDKLMADVTRSLDAKNSDRFTQNLTVFRHEIRVK >Manes.09G073810.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11822102:11824056:1 gene:Manes.09G073810.v8.1 transcript:Manes.09G073810.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNITQRGTSTFLFFLLLPLLLQFYPGKSELQLNYYAQSCPRAEEIIKEQVINLYKKHGNTAVSWVRNLFHDCGVKSCDASLLLETANGIESEKASQRSFGMRNFKYVNTIKDAVEAECPLTVSCADIVALSARDGIVMLGGPRTEMKTGRRDSKESYAAVLENFLPNHNDSMSLVLSRFQSIGIDTEGAVALLGGHSVGRVHCVNLVQRLYPTVDPSLDPEYAEYLKGRCPTPDPDPEEVLYARNDRETPMILDNMYYKNILKHKGLLLVDQQLASDPITSPFVEKMAGDNGYFLDQFSRAVVLLSENNPLTDDQGEIRKDCRYVNTN >Manes.10G077800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18028699:18030099:1 gene:Manes.10G077800.v8.1 transcript:Manes.10G077800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNTNTKLILLHPSLHKSPSSHRLWLLFVVTFFTLAFTLTLFSSTIPSVTTTASSSASSSSSLPLSVATALLHYASATNTTTPHMSSAELSAIATALHHCSPCNFLVFGLTHETLLWKSLNFHGRTIFLDESEYYVSNFEKNHPEIEAYDIQYTTKVSDMAELLSATKEQVKGECRPVQNLLFSDCKLGINDMPNHIYEIAWDVILIDGPRGYFAAAPGRMSPIFTAAVMARSKRGGEKKTHVFVHEIDREVEKVFSEEFLCEENWVETVDSLGHFVVERNENGEFEFCENSNSSSSSPLSTAKIASLSSEDDDDDDDD >Manes.07G107800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31388624:31394051:-1 gene:Manes.07G107800.v8.1 transcript:Manes.07G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVVGGSFLSSFLNVLFDRMATREFVDLIKGRRVTDAQLNKLKAKMLAINGVLEDAEEKQITNSAVRDWLDQLKDALYEADDLLDEIAYKAFRLKMEAGSRTFGDQMRNFLASRNPFKKGMEEKLDEILDRLENLVKEKVALCLREGSGEKPSSTKVPSTSLVDESGVYGRDDDKEAMINLLLSDDANGNDLGVIPIVGMGGVGKTTLAQLVYNDIRVQEWFDVKAWVCVSEEFDVFKITRDVLMEVTSLSCDNKTSNQLQLELKERLKGKRFLLVLDDVWNDKYTDWEILQRPFKHGAQGSKIVITTRIDGVASIMQTVPPLHLKGLTDNDCWSLFAKIVFDDGNSSTYTDLEVIGRGIVSKCKGLPLAAKALGGLLRSKRDVEEWEKISKSCLWNSSNDDILPALRLSYHYLPSHLKRCFAYCAIFPKDHEFEKEELVHLWMAEGFLVHYATDKEMEEVGDEYFNDLVSRSFFQRSSGHHSYFIMHDLINDLAKYVSGEFCVRLDGDDSCKITKRTRHLSYVGTEYDSGMLFDGIYEAQFLRTFILMEWSCIDNEVMHDLLLKFKHLRVLSLSQYRSVTALPESIGYLKHLRYLNLSTASIKRLPEIVSTLYNLQTLILHECIYLAVLPDSIGNLKYLRFLDLSGTLIRRLPESLSGLNNLQTLILCRCKGLVELPTNMAGLINLRRLDIRGTKLQEMPPLMSELKNLHILTNFIVRRQGGGSNIKELGRLQRLREKLCIWNLENIGDAEDALEANLKGKKHLKELELRWNSDTDNSALERGVLEQLQPHANVEFLAIVGYGGGGFPDWVGDSSFSNIVSLKLSGCKYCVSLPPVGQLASLKDLSITEFGGIEVVAPEFYGNCTSMENPFRSLKLLKFERMPKWHKWIPYMHEDERRAFPVLQELYIRECPALTTALPSHLPSLTTLEIEGCLQLVASLPRAPAIIKMKLKDDFRDLLIKKLPSELHSLILDRFYSSDSILDLIGSFFSTLEEIEIRNYDSLKCFPLDLFPRLKSLRITRCPSLESLSMYKTPHENFTSLSSLEIRECPNLASFLKGRLPAPNLARILLLGFSNVESFPEKMLLPSSLISLKIWDFHNLKFLNYNGLQHLTYLRDLEICNCPKLQSLPEEGLPSSLSSLSIFLCPLLEQRCQKEQGEDWAKISHIPHVKVNFHKIN >Manes.07G107800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31388624:31394051:-1 gene:Manes.07G107800.v8.1 transcript:Manes.07G107800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVVGGSFLSSFLNVLFDRMATREFVDLIKGRRVTDAQLNKLKAKMLAINGVLEDAEEKQITNSAVRDWLDQLKDALYEADDLLDEIAYKAFRLKMEAGSRTFGDQMRNFLASRNPFKKGMEEKLDEILDRLENLVKEKVALCLREGSGEKPSSTKVPSTSLVDESGVYGRDDDKEAMINLLLSDDANGNDLGVIPIVGMGGVGKTTLAQLVYNDIRVQEWFDVKAWVCVSEEFDVFKITRDVLMEVTSLSCDNKTSNQLQLELKERLKGKRFLLVLDDVWNDKYTDWEILQRPFKHGAQGSKIVITTRIDGVASIMQTVPPLHLKGLTDNDCWSLFAKIVFDDGNSSTYTDLEVIGRGIVSKCKGLPLAAKALGGLLRSKRDVEEWEKISKSCLWNSSNDDILPALRLSYHYLPSHLKRCFAYCAIFPKDHEFEKEELVHLWMAEGFLVHYATDKEMEEVGDEYFNDLVSRSFFQRSSGHHSYFIMHDLINDLAKYVSGEFCVRLDGDDSCKITKRTRHLSYVGTEYDSGMLFDGIYEAQFLRTFILMEWSCIDNETLILHECIYLAVLPDSIGNLKYLRFLDLSGTLIRRLPESLSGLNNLQTLILCRCKGLVELPTNMAGLINLRRLDIRGTKLQEMPPLMSELKNLHILTNFIVRRQGGGSNIKELGRLQRLREKLCIWNLENIGDAEDALEANLKGKKHLKELELRWNSDTDNSALERGVLEQLQPHANVEFLAIVGYGGGGFPDWVGDSSFSNIVSLKLSGCKYCVSLPPVGQLASLKDLSITEFGGIEVVAPEFYGNCTSMENPFRSLKLLKFERMPKWHKWIPYMHEDERRAFPVLQELYIRECPALTTALPSHLPSLTTLEIEGCLQLVASLPRAPAIIKMKLKDDFRDLLIKKLPSELHSLILDRFYSSDSILDLIGSFFSTLEEIEIRNYDSLKCFPLDLFPRLKSLRITRCPSLESLSMYKTPHENFTSLSSLEIRECPNLASFLKGRLPAPNLARILLLGFSNVESFPEKMLLPSSLISLKIWDFHNLKFLNYNGLQHLTYLRDLEICNCPKLQSLPEEGLPSSLSSLSIFLCPLLEQRCQKEQGEDWAKISHIPHVKVNFHKIN >Manes.12G055400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5326995:5331744:-1 gene:Manes.12G055400.v8.1 transcript:Manes.12G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMESLSIILSSSPPIQNHLKTLKFSPSKTYSHQGYFPSAKNSSLQNHFLCLRNSLLLKTSVSFSAFHLITSLPSFASEALATPTENVSDKINLESVLVSIDDFFNRNPFFVAGCTFIWLVVIPVTKQYLRKYKFISAIDAFRKLREVKDSQLLDVRDKKSLVALGSPNLKMLNKSVVQVEFSEEDEDGFVKNVLEKFPDPANTILCILDNFDGNSMRVAELLFKNGFKEAYAIRGGVRGKKGWMAIQETLLPPSVHIYPKKKKSKSSQLGINGGVGQKSEENNGKPSDVALSTAESQQAENGHINQLMNSTPKLKIDSRSPYPNYPDLKPPSSPTPSKP >Manes.18G069900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6326116:6328862:1 gene:Manes.18G069900.v8.1 transcript:Manes.18G069900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQEKMAVFLMKRLVATSLLFALMVSLSHGRVNPSFKIKAVNLGGWLVTEGWIKPSLFEGIPNKDFLDGTGLQFKSVTVGKYLCAELGGGTIIVANRSSASGWETFRLWRINETHFNFRIFNKQFVGLDSSGNGTNVVAISNMPGRLETFEIVKNSNDSSRVRIRASNGFFLQVKTEELLTADYAGGSNWGDDDPSVFVMTISGRMQGEFQVTNGYGPELAPQVMREHWSTFIVEKDFKFISENGLNAVRIPIGWWIASDPSPPKPYVGGSLQALDSAFSWAEKYKVKVIIDLHAAPGSQNGFEHSSSRDGSQEWGLTDANIQQTAKVIDFLTARYATSPCLYAVELINEPLSPGATLDSVTKYYKAGYDAVRKHSSTAYVVMSNRLGPAEPRELFPLVNGLNGTIIDVHYYNLFSNVFDNMTVQQNIDFIHTNRSTQLNYVTTSNGPLTFVGEWVAEWQVSGATKEEYQRFGQAQLEVYGRATFGWAYWTLKNVNNHWSLEWMINNGYIKL >Manes.01G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28703059:28707019:1 gene:Manes.01G084600.v8.1 transcript:Manes.01G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEELKVGEVESGGEEKSCVEIANKSSGEGVVSWDAKRVFIGAGARALFYPTLLYNVVRNKFQAEFRWWDRVDEFILLGAVPFPTDVPCLKELGVHGVITLNESYETLVPTSLYHAYGINHLVIPTRDYCFAPSLSDICQAVDFIHENVLQGWTTYVHCKAGRGRSTTIVLCYLVQYKQMTPEVAYDYVRSIRPRVLLASAQWKAVQEYYNLKVRRCALKTTDLILKTPRPAQSRDIVAFDDGSVVIVTEADLDGYDHTHESDTVRREIWADLSVVYRVRVAGQAALARISCSYLWFRCHTNQKILRKQLSTENSCSIRANHLGGISVDIQVY >Manes.03G188600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30800378:30802080:-1 gene:Manes.03G188600.v8.1 transcript:Manes.03G188600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEILRPQDCLIERIRVSPCRRRNFHGNSYTNPKYYDYNTKFNRKSAVRSERTDQRKRQPENPSISKRSSSADDLKISRNHYHAYKVHNTDLLMEKVTILRRGESFDSKLQNIETAAASKKNQDQIGDMVVTGTDRLGPDTKMVPKQNRIADLRSPMTGKCDVYAGSAFAVSPAPSSLPLPSFSKKKQVPFDDSATRDLRRLLRLDV >Manes.07G038200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4605460:4608344:1 gene:Manes.07G038200.v8.1 transcript:Manes.07G038200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEEKVKAEAMQIIGMFQILPKLVVFDLDYTLWPFYCECRSKREMPSLYPHAKGILYALKDKGIDMAIASRSPTPDIAKTFLEKLSIKSMFVVQEIFSSWTHKTEHFQRIHSRTGVPFNSMLFFDDEDRNIQAVSKMGATSILVGNGVNLGALRQGLTKFSQNVITSEKNKQRWLKFSQKSDSSKKKKEQD >Manes.07G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4605567:4608344:1 gene:Manes.07G038200.v8.1 transcript:Manes.07G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEEKVKAEAMQIIGMFQILPKLVVFDLDYTLWPFYCECRSKREMPSLYPHAKGILYALKDKGIDMAIASRSPTPDIAKTFLEKLSIKSMFVVQEIFSSWTHKTEHFQRIHSRTGVPFNSMLFFDDEDRNIQAVSKMGATSILVGNGVNLGALRQGLTKFSQNVITSEKNKQRWLKFSQKSDSSKKKKEQD >Manes.02G100300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7804905:7811327:-1 gene:Manes.02G100300.v8.1 transcript:Manes.02G100300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMVDKSIAVLRETFSTGKTRSLAWRKTQLRALIKLVRDNEEEIFKALHQDLGKHPVEAYRDEVGVVLKSADYSLNRVQKWMAPRKGDIPLLFFPANGEVMPEPFGVVLIFVSWNFPISLALDPLIGAISAGNTVIVKPSEIAQNCSSFLANTIPKYLDTEAIKVIEGGVDVSEQLLQQKWDKIFFTGSQRVGRIVLTAAAKHLTPVTLELGGKCPAILDAKSISSDMKVVAKRIAGGKWGPCCGQACISIDYVLVEDNYSEYLIDSLRRIIRKFYGEKPEESISLSRIVNRNNFDRLSRLMSDPLVANSIVHGGSTNEEKLFIEPTLLLNPPLDSEIMTEEIFGPLLPIITLKNIQESIGFIKSRPKPLVIYAFTQNETFKKQILTETSSGGVVFNDTMIHFICDDLPFGGVGQSGLGRYHGKYSFETFSHEKAVLHRRFFPEIEPRLSNDEGRQHFFCWRK >Manes.02G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7807644:7811327:-1 gene:Manes.02G100300.v8.1 transcript:Manes.02G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMVDKSIAVLRETFSTGKTRSLAWRKTQLRALIKLVRDNEEEIFKALHQDLGKHPVEAYRDEVGVVLKSADYSLNRVQKWMAPRKGDIPLLFFPANGEVMPEPFGVVLIFVSWNFPISLALDPLIGAISAGNTVIVKPSEIAQNCSSFLANTIPKYLDTEAIKVIEGGVDVSEQLLQQKWDKIFFTGSQRVGRIVLTAAAKHLTPVTLELGGKCPAILDAKSISSDMKVVAKRIAGGKWGPCCGQACISIDYVLVEDNYSEYLIDSLRRIIRKFYGEKPEESISLSRIVNRNNFDRLSRLMSDPLVANSIVHGGSTNEEKLFIEPTLLLNPPLDSEIMTEEIFGPLLPIITLKNIQESIGFIKSRPKPLVIYAFTQNETFKKQILTETSSGGVVFNDTMIHFICDDLPFGGVGQSGLGRYHGKYSFETFSHEKAVLHRRFFPEIEPRYPPWNSFKLQFLKAAYSFNYFGILLMLLGLKKEN >Manes.02G100300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7804841:7811327:-1 gene:Manes.02G100300.v8.1 transcript:Manes.02G100300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMVDKSIAVLRETFSTGKTRSLAWRKTQLRALIKLVRDNEEEIFKALHQDLGKHPVEAYRDEVGVVLKSADYSLNRVQKWMAPRKGDIPLLFFPANGEVMPEPFGVVLIFVSWNFPISLALDPLIGAISAGNTVIVKPSEIAQNCSSFLANTIPKYLDTEAIKVIEGGVDVSEQLLQQKWDKIFFTGSQRVGRIVLTAAAKHLTPVTLELGGKCPAILDAKSISSDMKVVAKRIAGGKWGPCCGQACISIDYVLVEDNYSEYLIDSLRRIIRKFYGEKPEESISLSRIVNRNNFDRLSRLMSDPLVANSIVHGGSTNEEKLFIEPTLLLNPPLDSEIMTEEIFGPLLPIITLKNIQESIGFIKSRPKPLVIYAFTQNETFKKQILTETSSGGVVFNDTMIHFICDDLPFGGVGQSGLGRYHGKYSFETFSHEKAVLHRRFFPEIEPRNKLEPSF >Manes.02G137500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10453299:10459054:1 gene:Manes.02G137500.v8.1 transcript:Manes.02G137500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAINLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGELSLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKHDKKALEMIGRRLKKNSVALDIVDFGEDDDGKAEKLEALLSAVNNNDSSHIVHVPSGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEEASKQEKGGEQPTSSQDATMTESASITAPEADNKRNDLTDEENALLQQALAMSMDDPASSHELRDTDMSEAATDDPDLAIALQLSVQDSTKDSGSQTDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQSQSEPQEKKEEDKPKEEEK >Manes.15G144100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11826733:11842497:-1 gene:Manes.15G144100.v8.1 transcript:Manes.15G144100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDLKFLRRNSGKQSEEIENVPVNPRDSLASQTSADSSRPPLNTIQDPAPIFHPPNPKFEQEAAKSKSKIDRTPTKPKPKNSDSTLPLRTPDKHGGAAFHAKKRFGWAQRNELSSIPSEACDELRPDMNNYPVQPSRGSLPNMTPRSTRTMGRPTPSHSETNSTQSTPTKSVSKPPSSGCRSKFDGGVGGGRAGNFAALYRGVPVTGGSSSTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNSMEKSMHGYNRCLKQESAQSITWIGQPETRFTFDHVSCETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIDDLEVKPSQHRGMIPRIFEFLFARIQAEEESRRDERLKYSCKCSFLEIYNEQITDLLDPSSTNLLLREDVKQGVYVENLSEFEVQTVSDILKILTEGSVNRKVAATNMNRESSRSHSVFTCAIESRWEKDSTTNLRFARLNLVDLAGSERQKSSGAEGERLKEAANINKSLSTLGHVIMILVDVANGKPKHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCAAETLNTLKFAQRAKLIQNNAVVNEDSTGDVTALQHQIRLLKEELSLLKRQNVFRSLSFGSTIKDMTQEQDTACSKDLYETEQQHVDGSLAFESKGIIRMSTKQLKSLETTLAGALRREQMADTCIKKHEAEIEHLNRLVRQREEETRSTKMMVRFREDKIQRMESLLGGSFPQDTYLLEENKALHEEIQLLQAKVDKNPEVTRFALENIRLLDQLRRFQEFYEEGEREILLDEVSKLREQLLHYLDGKSTWQNHPQVDSQPQEPMYISNRDNSLHLELNNTLNELEECRRNLNSCLEENQKLSREINDLQFTLDNLNSTTQDKDGNIKSIKDFQDPSKALASEIEILKGVENGVESMHVLSVMKHAEEILDLQLELDILKIILKEERSIRGEVEERTVCLSRELELAEEKVLLYSKQCGDATHELKEAKSVVEALESEQILAINEMEDLKKCNNRYVELLHEKELEIMELKEQLSRRELRDHPSNQSEAKNSTLQLKLKRMQDSFEKAKRLNLWYQNNHAFKASNEEEMDEVCRQAEAETAEVIVCMQEELSILQQQVNNCHLKEMESDKSMMLLENELKELHGKLHLLTEDNNELHGKLLDKDGELRKLSAEWELLAGEIAEILAEGQEALTDASDQLDLISSTFPHKRIWISEQFGRLIRIISEKELLIEELGKCLEDANNKRSDVESMLKSLRGAALVINEAHQQECHEKEKENFLLKSLLKEKTTTIAELEDKVKLAHLHANRSSVCATAAFVIVNRLSELNVNNVDELKYKDLQLCESREINQRKCALLDDQAAAIKEAEEEIESLRMKQVQLKETCAQLEQRLLEEENRANLLEQKLEEEENEILKTREKLTELKTGVSSLMSCMSIPLQHDGSSDTNVACPPLDSSNGRTDGGVDLRAHLPECSVGKGSCYRKSEIFRTSKDVSGRDVTIILLKKEIETALESLQEVQVEMAKLHSEKEEMQISENRKQESLECFTTLILAIQADMSNFEKQFEIKMNAVNHKLQSFERIVQEAGTYWCQTKEFLEMEVGDAKVVAAQKAAEASCIVAKFEEAQDTMKEADIMINELIIANETMKLDMKRLKEIEIALTNDKDLLINEVNQLQSLNSLKDLQVQDLEKQFGLNLIETSDLVVKLEDIIAEFQTTFKENLNSLACDFHSIKALVLDSGKLVRSWLEDVWSEIIVKDCAVSVLHLCHMGILLETVTGLNTENGLLQHGLCESNTIIAGLREHNSKSNRELQMCRTLKGKLLADMKNSFDRILRKEAETGELSTKLTTFEKKIFDLQLQEEMMLQRSNYMGSQLSILMKDLDFSNRNIAESLFNQEVMLKEKEELLNSQAEIFMIELCSKDMESLVLASHVKEMFIEKDITERKHISCGSDLELLKKEMIFSMVDGNLKDQVLLAKDAEVSLLQNEVEEAQKEARYLLSRLNQSHLRAVEMDEAARALEGELDEVMETNVRLQSQVEKLEVECEKLLKDLKAKETELNSCSSHISILDQQNQKLQGDICLWETSMSTLQSELDNKDAELRRMNCLEEENESLKGEVWKLKIDNSLFVKDLEEKSSEIELSSSRISISDMENHRLHDRILSLETHIAGLETDLEIKSAKVNELLHSQSIVMEDLSSKGQDLQIFVKKVNTLKNDNILWKNELTSHKKAMLDALTSSSFNTVKCVDSLDNVDVTSHKLFNLLEKEYNTVVEKLFHEICEATESTFKFIKEIESLECHAKELVSDNTGLQAELLRKDDILKGLLFDLSLLQESASNTKDQKDKIEEMMASLEALDDELVLKSGDLVEAVAHSQMLESQLQEKMSIISALELDFAKEHESLKLCSRENLELRAQIEEALGAKNSLEEELTERKNLTESLEMELSKMGNALGQMNSTIESLRNNLDDLTNERDQLHTEMHNLKEQLEKAEAWAEENEAIALEAQQIAESKKVYAEEKEVEVKLLERSVEELECTINVLESKFEILNGETERQRLQREELEVELHAVNHQMQKIKSADTDIKRHLDEKAKGLEEALKQIQILERDIAEKDAEIAQCKAHISELNLHAEAQATEYKEKFKALEAMTEQVKPEGHFSHIANSSSNKFEKNAAKSRGSGSPFKCIGLGLVQQIKSERDEELAAARLHIEELESLAVSRQKEIFALNARLAAAESMTHDVIRDLLGVKLDMTNYVSLLDNQQLQKIAEKAQFNSLESQSKEQQEIMKLKQQLNEFIEERRGWLEEIDRRQAEMVAAQIALEKLRQRDQFLQAENEMLKMENVNNKKRVMQLEGEVKKLSGQQNLQQRIHHHAKIKEENNLLKRQSEDLSAKLQRTEIILSRVKEELAHYRASTGKSPHINFDKEQQLISKLKETEDDRVQIAQKLVGLCTSILKAAGITKPAANLSPAAAEEALEQMKSRLASLERECQDLTFKNKITNERARLSELMPQRSPINSLTDENCKTPGRGQTHFLSALDR >Manes.02G112250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8649326:8650518:-1 gene:Manes.02G112250.v8.1 transcript:Manes.02G112250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQLPGFRFHPTEEELLDFYLKKMVLGKQIQYSDIIAFLDIYHHEPWDLPGLAKIGEREWYFFVPRERKHGHGGRPNRTTANGYWKATGSDRPIRCLTDPKRLLGLRKTLVFYTGRAPRGSKTDWVMNEYRLPTTCSIPKEDIVLCKIYRKATSLKVLEERASIEELARASQLTSSLSLPEEDALSCYDQQMNFGHCIYLQDTVAQEVEHQKWHY >Manes.15G119601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9524151:9524608:1 gene:Manes.15G119601.v8.1 transcript:Manes.15G119601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEMKMVKDSNSRQKSHELATLCSPQISIVIFSPGGKPVSFGHPSVESFYTFCRPDPTLEKLNNQLSELQRQVQFEKKKEQMLQTVMEANGTRSPKFLDEMSVDKLLKFKKAMEQLREKI >Manes.13G048800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5612015:5618654:1 gene:Manes.13G048800.v8.1 transcript:Manes.13G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRSHVPKFGNWESEENVPYTAYFEKARKGRGGKMINPNDPEENPDLSSDDAAPAQTPPSGGRVMEEPTGQGAVRQAHERRRSKEDGEFRQFTESPARHDNMNMVVGSEPSPSRYGGRGVSYGEAHRQTGRPSAKSVGSENSMERSPLHHKARISGRGSGAPSPAWEGKGSSESSYGTPGRSRLKPKGSESPDKGAAVPKFGEWDENNPASADGYTHIFNKVREERQIEAGKVPGTPTRSSISNARKPTPNNSSKSCCFPWGRK >Manes.14G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6651463:6654132:1 gene:Manes.14G080300.v8.1 transcript:Manes.14G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTGIFDLETHFAFYGAYHSNPVNILIHTLFVWPIFFTSLMLFYFTPSIYDISRIGLGGDHGLCLNFGFFLSLIYALFYVSLDKKAGTLAAMLCFACWIGASFISARLGYSLAWKVVLAAQLFCWTGQFIGHGVFERRAPALLDNLAQAFLMAPFFVLLEVLQTVFRYEPYLGFHASVKAKIDAEIKEWKDSKQKKST >Manes.11G145300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30976215:30978206:-1 gene:Manes.11G145300.v8.1 transcript:Manes.11G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEVAENPGEFSAKDYHDPPPAPLIDIEELGQWSLYRALIAEFIATLLFLYITVLTVIGYKSQTDPAKNSDACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTLGLFLGRKVSLIRALLYMVAQCLGAICGCGLVKAFQKAYYNRYGGGANELSSGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNEDKAWDDHWIFWVGPFIGAAIAAFYHQYILRAAAIKALGSFRSNA >Manes.12G100600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26691978:26692595:1 gene:Manes.12G100600.v8.1 transcript:Manes.12G100600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNQSHVPPHPPPQHLFPRPALQPRKQLLAPSPLYKQHSWSPDIYRDEAWLRRKGKSKNRRSKSVTDEDLDELKACIELGFGFDSPEVDQRLSDTLPALGLYYAVNKHYCDTISKSVTATTSSSSTASDCDSPSPLGSPHTIYAPGDNPQTVKTRLRQWAQVVACSVRQCSR >Manes.04G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28724563:28727025:-1 gene:Manes.04G085600.v8.1 transcript:Manes.04G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFSFFVVFSLLFLSAVIAETLTSSDGEDPLIRQVVDGQDESSMSPHLLSEEHHFSLFKRKFKKSYSSQREHDYRFMVFKANLRRAARHQKLDPTASHGVTQFSDLTPAEFRRQFLGLRRLRLPKDANQAPILPTNDLPQDFDWREKGAVSPVKNQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHECDPEEAGACDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGTDRSACKFDKTKVAARVANFSVVSLNEDQIAANLVKNGPLAVAINAVFMQTYIGGVSCPYICSKRLDHGVLLVGYGSAGYAPIRMKEKPYWIIKNSWGENWGESGYYKICRGRNICGVDSMVSTVAAVQTSSQ >Manes.17G062400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26196425:26198242:-1 gene:Manes.17G062400.v8.1 transcript:Manes.17G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRINPPLFLFLFLFLTTTTSVAPSSFFRSYSQYKTVISLSHSLLLRVSNLRVARGDIVGANRAKLIADKLEKGLGPGIWGWAWSVGWDYAKNYAWRELDYRELHGVVSDLNELARFLGQLTQAESDVERASWIARNYSKVLRISKSLLKRLLQVFHRSGALKEVAEAVQSEVLDGGLLRDCLELGSNDLKGLVQIVKDLASQSYSTSDYNSEL >Manes.10G127900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29239201:29243216:1 gene:Manes.10G127900.v8.1 transcript:Manes.10G127900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTIARQVLNRIMDKFDGHYFVDNVREEMRKQTPAVLLDKIINQLLGEKDLHVSRTPLPPSTRRRLESQKVVIVFDDVDDPNHLKLLAGERDLYCNGSRIIVTSRDRQVLKNVCSEGYIYEVNQLTDDEALCLFSSYAFKQNHPKKGFVEISKKLITYAQGIPLALVILGSNLYDKGIEEWESELEKLKEIPDMNIQAVLRISYDGLERHQKSIFLDIACFLKGEPKDRVERIFEGCGFFPRRAISRLIDKALISVSNGKVGMHDLLQQMGKDVVCEESKQLGTRSRLWKYKDICHVLTRDKGTENIEGILLDMSGNGYLELSPTAFMNMCNLRFLKFFNVSISGRGRVLLPSGLEFLPKELRYLHWERYPLKSLPINFCPRNLVELHMPHSNLIQLWNQEKALESLKFLDLSFSFELTKVPDLSSAPNLEVLRLIGCRNLIEIPSSIGESKCLKEIDLGYCSKLHSIPRSICNLKSLTRIDISGCLNVKALPENMGDLELLKKLSITGSGIKTLPSSINQLRRLEELSCARCENLIEIPSSIGESKCLKKINLGHCLKLHSIPQSICNLKSLTHLYISGCLNVKALPENMGDLELLKRLSISGSGIQTLPSSINQLRRLEELRCARCEGLTLPPLTGLSCVREIDLSDCGILEIPQSLWFLVSLEELHLGGNNFETTPASIKHLIELKKLFLIGCKRLKCLPELPSCLEDLDASDCTSLESASTSFLFLKHDDEGEQKRLEFRNCINLDKNVHDKVMEDVLKTHLLKHKIVELYIPGVEVPETMRYKNKSGSSLSFRLDQANLTGFSLCAVFDPKNYSHDRIIGFSCVANFIDKTGHSSENIFTTLYFADDPFYSEHVFLWNTLSLYSEHLFPWNKLLDMEESFLEASFQFCFSRLSFKPPYYEYRDYDSVIMCGVHPIFREDCLP >Manes.17G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5657052:5658302:1 gene:Manes.17G013400.v8.1 transcript:Manes.17G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPESSSSMAEAEQEPTYTTHHLTIPSGLNQSELDELAQHISEFHTYRIGPGQCSSLLAQRINASNDVIWSIVRCFDKPQTYKHFIKSCTVEPGFKMRVGTTRDVNVISGLPAATSTERLDILDDERQVTGFTIIGGEHRLRNYRSVTTVHGFERDGRIWSVVLESYVVDVPDGNTEEDTRLFADTVVKLNLQKLASVAEGLARDGDGKSQAFDLDAT >Manes.17G013400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5657056:5697499:1 gene:Manes.17G013400.v8.1 transcript:Manes.17G013400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPESSSSMAEAEQEPTYTTHHLTIPSGLNQSELDELAQHISEFHTYRIGPGQCSSLLAQRINASNDVIWSIVRCFDKPQTYKHFIKSCTVEPGFKMRVGTTRDVNVISGLPAATSTERLDILDDERQVTGFTIIGGEHRLRNYRSVTTVHGFERDGRIWSVVLESYVVDVPDGNTEEDTRLFADTVVKLNLQKLASVAEGLARDGDGKSQDWTWETVEAYNELATSV >Manes.10G128112.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30192323:30193959:-1 gene:Manes.10G128112.v8.1 transcript:Manes.10G128112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQSLQQLEIGNCPRLELFPEMGLRNPKLVSFEVHRCKNLKSLPNQMQSLTSLQSINMSDCGAAIECSIECLPPNLTMLSMGACLNLKQPMLEWGLHGLTSLRKLGIGSMKSSGDIISFPDDDGFLLPTSLTHLFIAGFKNLKSISMGIQKLISLEKLEIWRCPKLQSFPAEGFPATLECLCIDNCPLLRDRCLKEKGGDYWPIISHIPRVVIRN >Manes.07G004601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:699687:699875:-1 gene:Manes.07G004601.v8.1 transcript:Manes.07G004601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKVACAVLFAAASMSAVMAEEAFSPAPAPAPTSSAISSMPVVGSWFGASLATFIALYLQ >Manes.14G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2246316:2249250:1 gene:Manes.14G023600.v8.1 transcript:Manes.14G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLDGSLTSGDGDYLPVRSFKEIKSMFWIETVKLWKIAAPIAFNTLCQYGINSVTNIFVGHIGNFELSAVAISLSVIGTFSFGFMLGMGSALETLCGQAFGAGQVQMLGIYMQRSWIILLVTCIFLLPIYIFASPILKLLGQEESVADYAGHFTLLVIPQLFSLAINFPASKFLQAQSKVTALAWIGFVALLLHIPLLWLLIFVFGWGTTGAAIAYDITSWGIAAGQVVYVIGWCKEGWTGLSMSAIKDIWAFVRLSLASAVMLCLEIWYMMSIIVLAGHLDNAVISVDSLSICMNFNGWEAMLFIGINAAISVRVSNELGLGRPRAAKYSIYVTVFQSFLIGILFMLIILVAKDYFAIIFTDSKDLQIAVSKLAILLAVTMVLNSVQPVIGGVAIGGGWQALVAYINIGCYYIFGLPLGFLLGYKANLGVKGLWGGMIAGTALQTLLLLIVLYRTNWNKEVEQTSERVRRWGGQEMDKETTVKGV >Manes.17G043000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23655117:23661423:-1 gene:Manes.17G043000.v8.1 transcript:Manes.17G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRNRGLTRTYSDLIDETVPENSFKDSFTLSSQENPSQDFHSLPFSSQESSSHWPSFDPDPYNFNSSQENAFSNSFVSRKSKKPKNGKFDRTAGKSSNSRSLVPVTSTLMEAQEFGEMMEHVDEVNFALDGLRKGQPVRIRRASLLSLLSICGTVQQRRLLRTQGFAKTILDAILGLSFDDSSSSLAAATLFYVLTGDGQDDHLLESPSCIHFLIKLLKPVISTAAKDKAVNIGNKLLGLRKDSDIFRDTTKLVDSSSADIVAKVQEVLVSCKDIKTCCDDNGMERPELSPKWIALLTMEKACLSKISFEDTSGMVRKTGGNFKEKLRELGGLDAVFEVTMKCHSLLETWTGQSSSTIEGTTDDSILQSLVLLLKCLKIMENATFLSNDNQSHLLGMKGKLDSHGYRLSFTALMISIIKILSSLYLCKSAANNSNDGKPCSLSDGRDHASDLALIADHKVNDNEIIYISSSTNCSGAERASSEKSFNATQESISQFNFYASSSETTSTFMNDSCQLRMRVHSSTSSSSSGILRRSTGGIPVDNNGLRTEFGLPDRTNCTTNTKYELLENNQDPFAFDEDEFQPSKWDLLSGKRKKSQSRNRSVNVVSKELEDECQYQLMGQEELINGENHKQKSRHVENNPSQENSHRNAAEEEHSSLLADCLLTAVKVLMNLTNDNPIGCEKIAVCGGLETMSSLIAAHFPSFSSSLPFFSKMKDDSSCVELESQNDDHLTDQELDFLVAILGLLVNLVEKDGHNRSRLAATTVALPSSEGVYEENHRDVIPLLCSIFLMNQGAGDASGEGNTVAWNDEAAVLQGEKEAEKMIVEAYAALLLAFLSTESKNIRDSIADCLPSHSLAVLVPVLERFVAFHLTLNMISPETHKAVSEVIESCRIH >Manes.01G175000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35610526:35618875:1 gene:Manes.01G175000.v8.1 transcript:Manes.01G175000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVSMEPEKAEWGFKALKQTVKLYYRLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINSIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWDEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIEKWNTQLRSLHQTISNRVY >Manes.06G083300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876776:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870333:21876774:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876781:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870657:21876775:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876776:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870314:21876778:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876781:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870657:21876775:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876774:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876914:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870657:21876781:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876781:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870333:21876774:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876781:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876781:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870314:21876778:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870657:21876781:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876774:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATAGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876914:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876781:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.06G083300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21870334:21876774:1 gene:Manes.06G083300.v8.1 transcript:Manes.06G083300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDNPDLQKQIGCMTGIFQLFDRHVVTGRRLNHRRLLPGDPHLKNSSTERESFDVYHRSTAAEMNLNKNLNDKQRISTESSGPSFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPKTPSRDAVLTQPTTSSHVGRQSLDVRGVVKDSMYREARGLSVKTSIKEEAVAHAVKHKDSPRPLHPSKYVDGSHGIVNKEKQNSPVDLKESLRVLAKLREAPCYYNETRERPRSLQELKDGASHTISKDAPRISYDGREMNRLSFDSRDTTKSNLKIKELPRLSLDSREISMRGSGCYSKSSFISKDLQSAVNSNEKVHNMQHSLGTQKRPPNLVAKLMGLEALPDSASTSYSRSNLIKSFPVDHSDSFSASLKVKDVNRPIQIPKSPRNISKEPISPRWKNPDLIMKPISRLPIEKAPWKQLEGSRTSPKPAKFSAKTSNPFPTVYSEVEGRLKDLEFNQSGKDLRALKQILEAMQAKGLLEARKEEKGTSFGTQREYESNCTSPGHKPRSLSQRNQQRNHVSASTIRGSDSSRTCESPIVIMKPAKLVDKSGIPASSVIPIDSLSGLHKIPSSGHAGGPNRSANNQTAKDQSPRISHRDHAFNSSDKKASVRHRSTQYSTRPQHLLKENTGSAKSSGSVSPRLQQKKLELEKRSRPPTPPSDLNKPRRQSNRISTESGSPGGKNRRKAHKLPQSDDQLSQISNESSQQGDDVSVQSDSTVVFDSKTDVEVTSSEQSTEVNGSQSPSMKTGNHLFFDLKQKKPSSRLEEDGTLAELAVDTPEHPSPISVLDTSLYRDDAMSPVNQIQNLATGDGAGDSKGRKSENQWNNSVGSGLTSEISRKKLQNVENLVQKLKQLNSTHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSEMTTFQLHSSGHPINPELFFVLEQTKASTLPSQEECRPRKSSRSMPNPERCHRKLIFDAVNEMIVKKLALAVPSPEPRLKSDKLAKKPLCAQKLLKELCSEIEQLQAKKSECCLEEDEDDLKGILWYDGIHWSGSWTNFHSEVSGLVLDVERLIFKDLVDEIVIREAAGSQSKLGRRRKLFAK >Manes.18G004800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:867269:873774:1 gene:Manes.18G004800.v8.1 transcript:Manes.18G004800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTLVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTEVDVEGIGLCCSSAFQSYWICVYDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVNVLPLPHNHMLLWKQVDSGEYEGQDNGEEELEDEQMDYEKWGIDNFLESWELSDMFFVVGGEERPVPAHKIILQASGNFPLSSSNEDDVQLKDVTYPILHALLQFIYTGHTQISESQLVSLWTLSLQFEVMPLVKQCGEIVERFKLNKKLFGPGSNVELSNPNSRPHCYTAFPFGLPIDVIKLKQLYSAGEYSDVNMYIEGHGLVAQPHRVILSLWSVPFAKMFTNGMSESSSSDVSLTDVSPEAFKIMLDFMYSGEISLEDSKDFGNLLLQLLLLADKFGVTLLYQECCKTLLECLSEESVCPILLAVSSFPSCKLIEETCKRKFATHFDYCTTASLDFILLDETTFCSIIQHQDLTVTSEERVLNAILRWCMGAKEWYGWEMVDKLLANSTPDLIFRERFQSLNELLPFVRFPLLPYHLLKKLGKSNLSKHIHVFDNLVREGISYVEFGLERAEGDRNIRFQYRRSSYKELQYICDGDSNGVLYFAGTSYGQHKWVNPVLSKRITITASSPTSRYTDPKSLVSRTYQGTCFAGPRMEHGNKYAWWMVDFGQDHQLMCNYYTLRQDGSRAHIRFWNFQGSLDGKAWTNLRVHEDDQTICKPGQFASWSITGPNSLLPFRFFRVVLTGPTTDASNPWNLCICYLELYGFFH >Manes.18G004800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:866544:873420:1 gene:Manes.18G004800.v8.1 transcript:Manes.18G004800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTLVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTEVDVEGIGLCCSSAFQSYWICVYDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVNVLPLPHNHMLLWKQVDSGEYEGQDNGEEELEDEQMDYEKWGIDNFLESWELSDMFFVVGGEERPVPAHKIILQASGNFPLSSSNEDDVQLKDVTYPILHALLQFIYTGHTQISESQLVSLWTLSLQFEVMPLVKQCGEIVERFKLNKKLFGPGSNVELSNPNSRPHCYTAFPFGLPIDVIKLKQLYSAGEYSDVNMYIEGHGLVAQPHRVILSLWSVPFAKMFTNGMSESSSSDVSLTDVSPEAFKIMLDFMYSGEISLEDSKDFGNLLLQLLLLADKFGVTLLYQECCKTLLECLSEESVCPILLAVSSFPSCKLIEETCKRKFATHFDYCTTASLDFILLDETTFCSIIQHQDLTVTSEERVLNAILRWCMGAKEWYGWEMVDKLLANSTPDLIFRERFQSLNELLPFVRFPLLPYHLLKKLGKSNLSKHIHVFDNLVREGISYVEFGLERAEGDRNIRFQYRRSSYKELQYICDGDSNGVLYFAGTSYGQHKWVNPVLSKRITITASSPTSRYTDPKSLVSRTYQGTCFAGPRMEHGNKYAWWMVDFGQDHQVYAYSRFVKLPFFWFIS >Manes.18G004800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:866544:873420:1 gene:Manes.18G004800.v8.1 transcript:Manes.18G004800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTLVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTEVDVEGIGLCCSSAFQSYWICVYDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVNVLPLPHNHMLLWKQVDSGEYEGQDNGEEELEDEQMDYEKWGIDNFLESWELSDMFFVVGGEERPVPAHKIILQASGNFPLSSSNEDDVQLKDVTYPILHALLQFIYTGHTQISESQLVSLWTLSLQFEVMPLVKQCGEIVERFKLNKKLFGPGSNVELSNPNSRPHCYTAFPFGLPIDVIKLKQLYSAGEYSDVNMYIEGHGLVAQPHRVILSLWSVPFAKMFTNGMSESSSSDVSLTDVSPEAFKIMLDFMYSGEISLEDSKDFGNLLLQLLLLADKFGVTLLYQECCKTLLECLSEESVCPILLAVSSFPSCKLIEETCKRKFATHFDYCTTASLDFILLDETTFCSIIQHQDLTVTSEERVLNAILRWCMGAKEWYGWEMVDKLLANSTPDLIFRERFQSLNELLPFVRFPLLPYHLLKKLGKSNLSKHIHVFDNLVREGISYVEFGLERAEGDRNIRFQYRRSSYKELQYICDGDSNGVLYFAGTSYGQHKWVNPVLSKRITITASSPTSRYTDPKSLVSRTYQGTCFAGPRMEHGNKYAWWMVDFGQDHQLMCNYYTLRQDGSRAHIRFWNFQGSLDGKAWTNLRVHEDDQTICKPGQFASWSITGPNSLLPFRFFRVVLTGPTTDASNPWNLCICYLELYGFFH >Manes.18G004800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:866544:873420:1 gene:Manes.18G004800.v8.1 transcript:Manes.18G004800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTLVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTEVDVEGIGLCCSSAFQSYWICVYDGLISIGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVNVLPLPHNHMLLWKQVDSGEYEGQDNGEEELEDEQMDYEKWGIDNFLESWELSDMFFVVGGEERPVPAHKIILQASGNFPLSSSNEDDVQLKDVTYPILHALLQFIYTGHTQISESQLVSLWTLSLQFEVMPLVKQCGEIVERFKLNKKLFGPGSNVELSNPNSRPHCYTAFPFGLPIDVIKLKQLYSAGEYSDVNMYIEGHGLVAQPHRVILSLWSVPFAKMFTNGMSESSSSDVSLTDVSPEAFKIMLDFMYSGEISLEDSKDFGNLLLQLLLLADKFGVTLLYQECCKTLLECLSEESVCPILLAVSSFPSCKLIEETCKRKFATHFDYCTTASLDFILLDETTFCSIIQHQDLTVTSEERVLNAILRWCMGAKEWYGWEMVDKLLANSTPDLIFRERFQSLNELLPFVRFPLLPYHLLKKLGKSNLSKHIHVFDNLVREGISYVEFGLERAEGDRNIRFQYRRSSYKELQYICDGDSNGVLYFAGTSYGQHKWVNPVLSKRITITASSPTSRYTDPKSLVSRTYQGTCFAGPRMEHGNKYAWWMVDFGQDHQLMCNYYTLRQDGSRAHIRFWNFQGSLDGKAWTNLRVHEDDQTICKPGQFASWSITGPNSLLPFRFFRVVLTGPTTDASNPWNLCICYLELYGFFH >Manes.12G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33864291:33866057:-1 gene:Manes.12G130900.v8.1 transcript:Manes.12G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSPLCVLSFLLFVFPSFISSQLLFQGFNWESCNKAEGWYNSLKNMIPDLANAGVTHVWLPPPSQSAAPQGYLPGRLYDLNASKYGTQDELVSLIDSFHQKGIKSLADIVINHRTAEKKDDRGIYCIFEGGTPDGTLDWGPSFICRDDTAYSDGQGNPDTGEDFKGAPDIDHLNPRVQIELSDWMNWLKTEIGFVGFRFDFVKGYAPSITKLYMEETLPEFAVGEKWDSLAYGQDGKPDPNQDGHRVALEDWIQAAGGAVTAFDFTTKGILQAAVQGELWRLKDSNGKPSGLIGLFPQNAVTFIDNHDTGSTQNLWPFPSDKVILGYAYILTHPGIPSIFYDHFFDWGLKEEISKLATIRNNYKIDSTSSVDILAADSDLYVAAINDNIIVKIGPKNDLGSLIPSNFQVVASGKDYAVWAKN >Manes.10G152900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31955330:31956749:1 gene:Manes.10G152900.v8.1 transcript:Manes.10G152900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFTKLALALTLIVALMSSMVGFSMAVSDKDREECAEQLVGLATCLPYVGGNAKSPTPDCCNGLKHVLKDKKKCLCVIIVDRNDPDLGLKINATLALGLPSVCHASANVSQCPGLLHLAPNSPDAQVFYEFGNRSNQSSSTPVPAPTPGDERGNSRGQIAQTRSRGCSISKRWIGFGFEMVSLGFSLWYFLYPHLFT >Manes.05G042800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3477065:3478072:-1 gene:Manes.05G042800.v8.1 transcript:Manes.05G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQNPFQRCYEYSKFDPYEHLNIRLNPDGTVTRLLKLPLANPDPDPNSGKAVASKDAILDPEKKTSLRIFLSSKLTLKNPKKSDTTTRLPIVFYFHGSSWIQCRANYFSLHLSNSYMACSIPAIMLLVDYRLAPENRLPAQYDDAMDALLWLKKQALDPDGERWVKDYGDFSRCFLYGCGCGGNIVFNVALRAMDVDLNPLKIDGLILNQPIFGGKQRTGSEIQHATDHILPLPAMDLMWELALPKGMDRDHRFCNPLLEGPHQMKLGALQRCLVIGFGLNPLIDRQQEFVKMLLRHGIQVEAQFDEIGFHRIELVDPRRAVALDNLVKDFINS >Manes.18G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9428852:9435385:-1 gene:Manes.18G101300.v8.1 transcript:Manes.18G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTESTTHGDSLDGSDQASDFPRDPRDLPSLMLSSSYPITLRFVDVCYWFKIEKTQKGGSSNIRRIFGHGANHDSNQTPMATIKERTILNGITGMVSPGEILAILGPSGSGKSTLLNALAGRLQGHGLTGTILANNKKLCKHTLKRTGFVTQDDVLYPHLTVRETLIFCSLLRLPKSLSKKEKTTVAESVISELGLTKCENTIIGNSFVRGVSGGERKRVSIAHEMLINPSLLILDEPTSGLDSTAAHRLIMTLVSLAQKGKTIITSIHQPSSRVYQMFNSVLVLSEGRCLYFGKGSESMAYFESVGFSPSFPMNPADFLLDLANGVCQLDGVSEKDKPNIKQSLISSYNSVLAPKVKAACMETTITSIKDTSLSGSHSSKGRRCCNTIGFATWFNQFSILLQRSLKERKHESFNTLRVFQVITAALLAGLMWWHSDFRDIQDRLGLLFFMSIFWGVFPSFNSVFVFPQERVIFMKEKASGMYTLSSYFMSRIVGDIPMELILPTIFLTMAYWMAGLKPELGSFLLTLLVLLGYVLVSQGLGLALGAFIMDAKQASTIVTVTMLAFVLTGGFYVHKVPSCMAWIKYISTTYYSYRLLINVQYGDGKELASLLGCSRHGISENASCKFLEEDVGGEISPGICVSVLLLMFVGYRLLAYLALRRIKA >Manes.05G066700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5557616:5558332:-1 gene:Manes.05G066700.v8.1 transcript:Manes.05G066700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTRLVAERPLVIFSKSTCDMCHSIKTLVRGFGANPTVYELDQIPNGQQIERALQQLGCQNLPAVFIGGDYVGGDRQVMSLLLKNQLGALLKRAGAIWVWNDS >Manes.04G108700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31273755:31287629:-1 gene:Manes.04G108700.v8.1 transcript:Manes.04G108700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRVQLDNEDNFPSGSSRRYSKSASSKWLATSFSRPACEQRGNQRCPSLLELCIQNIREDIDRYSTFSMLPRDISQQIFNELVYSQRLTDISFESFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIDLEDCTNLQSLNFNYCDQISDLGLDQISGFSNLTSLSFRRNNSITEQGMSALGSLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDADMKHLSGLTNLKELQISCSKVTDVGISYLKGLCKLNLLNLEGCPVTAACLETLSALSSLLYLNLNRCHLSDDGCEGFSKLGKLKVLNLGFNDITDACLVHLKGLTNLESLNLDSCRIDDEGLANITGLQCLKCLVLSDTEVGSSGLRHLSGLTNLESINLSFTMITDGGLRKLSGLSSLKSLNLDARQITDNGIAALSCLTGLTHLDLFGARITDTGTNYLRNFKSLQSLEICGGGLTDAGIRNIKDLSSLLLLNLSQNCNLTDKSLELISGLTGLVSLNVSNSRITRAGLQHLKPLKTLKSLTLESCKVTANDIKKLQSTDLPQLVSYRPE >Manes.04G108700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31273755:31288258:-1 gene:Manes.04G108700.v8.1 transcript:Manes.04G108700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRVQLDNEDNFPSGSSRRYSKSASSKWLATSFSRPACEQRGNQRCPSLLELCIQNIREDIDRYSTFSMLPRDISQQIFNELVYSQRLTDISFESFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIDLEDCTNLQSLNFNYCDQISDLGLDQISGFSNLTSLSFRRNNSITEQGMSALGSLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDADMKHLSGLTNLKELQISCSKVTDVGISYLKGLCKLNLLNLEGCPVTAACLETLSALSSLLYLNLNRCHLSDDGCEGFSKLGKLKVLNLGFNDITDACLVHLKGLTNLESLNLDSCRIDDEGLANITGLQCLKCLVLSDTEVGSSGLRHLSGLTNLESINLSFTMITDGGLRKLSGLSSLKSLNLDARQITDNGIAALSCLTGLTHLDLFGARITDTGTNYLRNFKSLQSLEICGGGLTDAGIRNIKDLSSLLLLNLSQNCNLTDKSLELISGLTGLVSLNVSNSRITRAGLQHLKPLKTLKSLTLESCKVTANDIKKLQSTDLPQLVSYRPE >Manes.04G108700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31273755:31288258:-1 gene:Manes.04G108700.v8.1 transcript:Manes.04G108700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRVQLDNEDNFPSGSSRRYSKSASSKWLATSFSRPACEQRGNQRCPSLLELCIQNIREDIDRYSTFSMLPRDISQQIFNELVYSQRLTDISFESFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIDLEDCTNLQSLNFNYCDQISDLGLDQISGFSNLTSLSFRRNNSITEQGMSALGSLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDADMKHLSGLTNLKELQISCSKVTDVGISYLKGLCKLNLLNLEGCPVTAACLETLSALSSLLYLNLNRCHLSDDGCEGFSKLGKLKVLNLGFNDITDACLVHLKGLTNLESLNLDSCRIDDEGLANITGLQCLKCLVLSDTEVGSSGLRHLSGLTNLESINLSFTMITDGGLRKLSGLSSLKSLNLDARQITDNGIAALSCLTGLTHLDLFGARITDTGTNYLRNFKSLQSLEICGGGLTDAGIRNIKDLSSLLLLNLSQNCNLTDKSLELISGLTGLVSLNVSNSRITRAGLQHLKPLKTLKSLTLESCKVTANDIKKLQSTDLPQLVSYRPE >Manes.04G108700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31273755:31288228:-1 gene:Manes.04G108700.v8.1 transcript:Manes.04G108700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRVQLDNEDNFPSGSSRRYSKSASSKWLATSFSRPACEQRGNQRCPSLLELCIQNIREDIDRYSTFSMLPRDISQQIFNELVYSQRLTDISFESFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIDLEDCTNLQSLNFNYCDQISDLGLDQISGFSNLTSLSFRRNNSITEQGMSALGSLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDADMKHLSGLTNLKELQISCSKVTDVGISYLKGLCKLNLLNLEGCPVTAACLETLSALSSLLYLNLNRCHLSDDGCEGFSKLGKLKVLNLGFNDITDACLVHLKGLTNLESLNLDSCRIDDEGLANITGLQCLKCLVLSDTEVGSSGLRHLSGLTNLESINLSFTMITDGGLRKLSGLSSLKSLNLDARQITDNGIAALSCLTGLTHLDLFGARITDTGTNYLRNFKSLQSLEICGGGLTDAGIRNIKDLSSLLLLNLSQNCNLTDKSLELISGLTGLVSLNVSNSRITRAGLQHLKPLKTLKSLTLESCKVTANDIKKLQSTDLPQLVSYRPE >Manes.04G108700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31273755:31288228:-1 gene:Manes.04G108700.v8.1 transcript:Manes.04G108700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRVQLDNEDNFPSGSSRRYSKSASSKWLATSFSRPACEQRGNQRCPSLLELCIQNIREDIDRYSTFSMLPRDISQQIFNELVYSQRLTDISFESFRDCALQDLHLGECPGVNDSWMDVISSQGISLLSVDLSGSDVTDSGLIDLEDCTNLQSLNFNYCDQISDLGLDQISGFSNLTSLSFRRNNSITEQGMSALGSLVNLVKLDLERCPGIGGGLVHLKGLTKLESLNIKWCNCITDADMKHLSGLTNLKELQISCSKVTDVGISYLKGLCKLNLLNLEGCPVTAACLETLSALSSLLYLNLNRCHLSDDGCEGFSKLGKLKVLNLGFNDITDACLVHLKGLTNLESLNLDSCRIDDEGLANITGLQCLKCLVLSDTEVGSSGLRHLSGLTNLESINLSFTMITDGGLRKLSGLSSLKSLNLDARQITDNGIAALSCLTGLTHLDLFGARITDTGTNYLRNFKSLQSLEICGGGLTDAGIRNIKDLSSLLLLNLSQNCNLTDKSLELISGLTGLVSLNVSNSRITRAGLQHLKPLKTLKSLTLESCKVTANDIKKLQSTDLPQLVSYRPE >Manes.16G049870.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:14191587:14192351:-1 gene:Manes.16G049870.v8.1 transcript:Manes.16G049870.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVHVIAGGPDKGKGKNKRVAEDVLAVEQEPWVKQEVRFSSTDKTIEFFQKDSLVIKILLNSYEVRQVLVDIGSSVNLLILNVFNKLGLNKNSLVRVFYPLVGLGDKIMVVLETINLPLVLGDEKYRRELYVEFAVVDIPFAYNVILSLPNLNYHSIILKLGAMCLKLPALGGIVMVRGNPRLAKDSHGHRAKSLGKSTMPIDLLEKSESHIKLKPVDPVEKVQLSKEQKVRFSTALTSETKTHLIELLKGK >Manes.05G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2772991:2776250:-1 gene:Manes.05G033000.v8.1 transcript:Manes.05G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTSMATLRNLFTKKSFASNELAMRRFFTPQAAAAEAPSFAQRVRDLPKNLPATKIRTEVSHLIGRTPLVFLNKVNEGCGAYIAVKQEMMQPTASIKDRPAFSMFNDAEKKNLISPGKTVLIEPTSGNMGISMAFMAAMKGYKMILTMPSYTSLERRVTMRAFGAELILTDPTKGMGGTVKKAYDLLETTPNAYMLQQFSNPANSKIHFETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGQYLKSQNPNVKIYGVEPAESNVLNGGKPGPHQITGNGVGFKPDILDMDVMEKVLEVSSEDAIKMARRLALEEGLMVGISSGANTVAALRLARMPENKGKLIVTVHPSFGERYLTSVLFEQLRNEAANMQPVPVD >Manes.S015369.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:122743:122865:1 gene:Manes.S015369.v8.1 transcript:Manes.S015369.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.13G012500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1581540:1583854:-1 gene:Manes.13G012500.v8.1 transcript:Manes.13G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASIIYLSFLIFCLATAQQTPWPITLLIFSFFAFSLNYWLVPGGFAWRNHHFRQKLSGPSGWPILGILPQMGSLAHRKLAAMASSLNATRLMAFSLGTTRVVISSHPETAKEILSGSSFSDRPIKESARLLMFERAIGFAPAGNYWRNLRRIAANYMFSPRRISTLEPLRQRLADEMAVEIRKEMEEKGIVVLRGILQKGSLSNVLESVFGISYSCSEKEELLSAMVKEGYELITKFNLEDYFPLKFLDFYGVKRRCHELAGKVRSVVGGIVRERKAAEDLNIGSDFLSALLSLPEEEQLSESDMVPVLWETIFRGTDTVAILLEWIMARIVMHQEIQERAQQELDTCIGFNRQLQDSDVPNLPYLQAIVKEVLRMHPPGPLLSWARLAVEDVHVDKIFIPAGTTAMVNMWAITHDPSIWKDPLEFNPSRFLEEDVPIMGSDLRLAPFGSGRRVCPGKALGLATVHLWLGRLLQRYKWLPAQPIDLSECLKLSLEMKKPLACYVVDRWSKTMP >Manes.15G179300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16585291:16589022:-1 gene:Manes.15G179300.v8.1 transcript:Manes.15G179300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETSVANKEEEEFCELEKEENQILDPYPNPKGNKNSRGKKGRRRNVKKMVVVSPLQKLYDTCKEVFEVSGRGIVPSPDKIEKMKAVLDDIKPEDVGLSQEMPYFQSPASGRTPAITYLHLHECDKFSMGIFCFPPSGVIPLHNHPGMTVLSKLLFGTMHIKSYDWVVDGPRNESAVANSSEVKQSDIQQPQVRLAKVKIDSNFIAPCDPTILYPADGGNMHCFTAVTACAVLDVLGPPYSDPEGRHCTYYFDFPFGNFSVDGVSVSEEERESHAWLQDRGKQPEEFAIVGKLYRGPKMVDN >Manes.15G179300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16585291:16589022:-1 gene:Manes.15G179300.v8.1 transcript:Manes.15G179300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETSVANKEEEEFCELEKEENQILDPYPNPKGNKNSRGKKGRRRNVKKMVVVSPLQKLYDTCKEVFEVSGRGIVPSPDKIEKMKAVLDDIKPEDVGLSQEMPYFQSPASGRTPAITYLHLHECDKFSMGIFCFPPSGVIPLHNHPGMTVLSKLLFGTMHIKSYDWVVDGPRNESAVANSSEGEVKQSDIQQPQVRLAKVKIDSNFIAPCDPTILYPADGGNMHCFTAVTACAVLDVLGPPYSDPEGRHCTYYFDFPFGNFSVDGVSVSEEERESHAWLQDRGKQPEEFAIVGKLYRGPKMVDN >Manes.05G176800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29316741:29318780:-1 gene:Manes.05G176800.v8.1 transcript:Manes.05G176800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMMGFSGDSFTTKMQETAVREAATAGIQNVEEVIKLLKRNQLQQQQQYYPELSSSSAVNNPPATDNIMAVTDAAVNSFKKVISLLGRTTRTGHARFRRAPVSPASATQERPKQPQPQPQQQQQQVQDPGPTVRPISSQTTEQGSAFRVYQPTPVHRLPPLPNTHGHQQQKTPLLVTKNGFSERNEIQPSINFSNSPSISAATSFISSLTGETDSVQRSMSSGFQFAQPSHVSSAGKPPLSSASLKRKCNSMDDAALKCGSSSGRCHCSKKRKSRVKRVIRVPAISNKLADIPSDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPAKKHVERALDDPMMLIITYEGNHNHSNSINDAPAVRVLESS >Manes.09G053800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8854782:8857664:1 gene:Manes.09G053800.v8.1 transcript:Manes.09G053800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGDEKNDIGMVVIRGNSVVTVEALEPVNRAM >Manes.18G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3277911:3280083:-1 gene:Manes.18G037200.v8.1 transcript:Manes.18G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLITSLSFYSLFLSIFPLLASSSLLLQGFNWASSNKEGGWYNSLKNYIPDFADLGVTHVWLPPPSQSAPDAPQGYKPGRLYDLTSKYGTVDELKSLIAAFNQKGIKCLADIVINHRSAERQDERGAWSIFEGGTADDRLDWDASFICSDDDTGYSNGKGNPDTGMDFPNVTDIDHLNPRVQKELSDWMNWLKSEIGFDGWRLDFVKGFSPNIAKIYMEQTKPNFAVAEYWDFFTYGQDGKPVTDLNAHRQTIRDWVQAVGNGVTAFDFTTKGALQAAVQGELWRLKDTSGKPPGFIGISPENAVTFIDNHDTWSQQVWPFPSDKVMLGYVYILTHPGTPSIFYDHFIEWGLKEPISKVASIRKAYGISETSSVKILAAESDLYMAMINDNVIMKIGPKMDLGDLLPSDFELATPGQDYAVWVKKLGNI >Manes.15G108300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8668825:8681157:1 gene:Manes.15G108300.v8.1 transcript:Manes.15G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTQQLQQAQLAAILGQDPAPFETLISSLMSSSNEQRSQAELAFNLCKQTDPDSLSLKLAHLLQFSPHAEARAMAAVLLRKLLTRDDAYLWPRLSPATQSSIKSILLTCIQHDQTKSIVKKLCDTVSELASGILPENGWPELLPFMFQCVSSDSPKLQESAFFIFAQLSQYIGETLVPFIKELHSVFLQCLGSSPNFDVKIAALNAVINFIQCLSSSSDRDRFQDLLPAMMSTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMRMLLDVEDDPAWHSAETEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCSKVMIKNLEQIVSMVLNSFHDPHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALASAMDDFPNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSMECISLVGMAVGKDKFREDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMTVVMPPLLQSAQLKPDVTITSADSDNDIDDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLSQGRNESYVKQLSDYIIPALVEALHKEPDTEICANMLDALNECLQISGPLVDEGQVRSIVAEIKQVITASSSRKRERAERTKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKVSFLPFFDELSTYLTPMWGKDKTPEERRIAICIFDDVAEQCRETALKYYDTYLPFLLEACNDDNPDVRQAAVYGLGVCAEFGRSVFKPLVGEALSRLNVVIGHPNAKQPENVMAYDNAVSALGKICQFHRESIDSTQVVPAWLNCLPITGDLIEAKVVHEQLCSMVERSDSELLGPNNQYLPKIVSVFAEVLCGKDLATEQTASRMVNLLRHLQQTLPPATLASTWSMLHPQQQMALQSILSS >Manes.02G144800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11110282:11115044:-1 gene:Manes.02G144800.v8.1 transcript:Manes.02G144800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFTDLSWWSWSGKHQEPKISNAPSLNARADSDLWESDTLKFPLAPGANGTSSYRRVKQKWHSREERKIDREYDAVLVPSDGGCVSGSESDSDYSIGWLEPHGPAFQSNDDEDNSFAVLVTCYGRAQEKAFENSKNNLFGAVVNIQDGYSDESIKYMEKWLSGLQNS >Manes.02G144800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11110672:11114654:-1 gene:Manes.02G144800.v8.1 transcript:Manes.02G144800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLLGRKNFFTMAVAFTDLSWWSWSGKHQEPKISNAPSLNARADSDLWESDTLKFPLAPGANGTSSYRRVKQKWHSREERKIDREYDAVLVPSDGGCVSGSESDSDYSIGWLEPHGPAFQSNDDEDNSFAVLVTCYGRAQEKAFENSKNNLFGAVVNIQDGYSDESIKYMEKWLSGLQNS >Manes.02G144800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11110282:11115044:-1 gene:Manes.02G144800.v8.1 transcript:Manes.02G144800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFTDLSWWSWSGKHQEPKISNAPSLNARADSDLWESDTLKFPLAPGANGTSSYRRVKQKWHSREERKIDREYDAVLVPSDGGCVSGSESDSDYSIGWLEPHGPAFQSNDDEDNSFAVLVTCYGRAQEKAFENSKNNLFGAVVNIQDGYSDESIKYMEKWLSGLQNS >Manes.09G158000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35337659:35342620:-1 gene:Manes.09G158000.v8.1 transcript:Manes.09G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISHELISLSLDNAIESLNSSPMESSLDFPGEMPLESDLLDLPPLPTNCTDVNVVPEHEKNNFELSISNLEGELAQLRQKEKSLNKKRKEALNKILDIKGCIRVFCRVRPFLLTERRRTPEAVSIRLEKVTVRSVGNKKEFGFDKVFHQAATQEDVFVEVEPILRSAVDGYNVCILAYGQTGTGKTFTMDGTNDQPGIIPRALEELYHQASSDSSSSISFSMSLLEVYMGNLRDLLAPKAKRCNLNIQTDPKGLVEIEGLTEVKIPDLAKAKWWYTKGRRARSTAWTNVNETSSRSHCLTRINISRHGDKMKVKAPVSKLWMVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRRKRGHVPYRNSKLTQILKDSLGDSSKVLMLVHVSPREDDVGETICSLSFANRARAIEINGELPEEIIKLRQKRILELEEDMREAEEECQNVRNQIQKAEFLLSENKKLLLTNYPFTEDKEKTPVSDKEDGRTVNRTPLITENGNRNSLPRFMTSTVASRQRQNAAEKEIVRKAGSLRPGTKSSVQFSGFHSLSFSDIRLKSILRDSNRKSGYGETKTILKENLKCNSSDLKIPFLPRSKMVTASDPNLRTTLSRHRRRMSDLN >Manes.18G040900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3629604:3630929:-1 gene:Manes.18G040900.v8.1 transcript:Manes.18G040900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMSTELLLSCLCIILISLIVNTIAISTSRETLEFVIGTRGRGVNKSCKNENHKNNKIPIPLECPKVAVPLPPTPSLPLKAEVLVFADQRLAVVFPVVQKFKSIITSDPFGITKTWVGSDICSYKGFFCDNPPDNKSAIAVASIDFNGFQLAAPTLNGFLDQLPDIALFHANSNFFSGTISPNIATLPYLYELDISNNLFSGSFPSAVLGMNSLTFLDIRFNFFSGSVPPQLFTQPFDALFLNDNNFMTSLPDNLGSTHILFLTLANNKFIGPLPRSIFKAFSSLTEVLLLNNRLTGCLPYEIGNLKEAIVFDAGNNQLTGPLPFSLACLEKVEQLNFAGNLLFGMVPELVCELENLVNFSLSDNYFTAVGPLCRILIEKGVLDVRNNCIPDLPFQRSVMECANFFAHPKFCPRMWSYRYIPCKHPFISGSMIPEMAPSP >Manes.01G241700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40288130:40290371:-1 gene:Manes.01G241700.v8.1 transcript:Manes.01G241700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELIISSSSSSSSSSSLVPSSQETPTTLHQRLQSMLQSQPDWWAYAIFWQTSNDETARTFLAWGDGHFQGTRDTSPTLTTINNRLQHRIPTFNFESKRSVKGIQALIGENLDIDVSMMDDNDVPDVEWFYVMSLTRSFLAGEGIPGKALSTGSLVWLTGAQELQFYNCERAKEARMHGLQTLVCIPTFNGVLELGSSDMIRENWGLVQQVKSLFGSDLADLMPKNPNPTTVPVQLLDMNISFADIGIIAGIKGDDDALEERFAQELEAKKESAKAGLPYVHSEHSDSDCPFLAPMTINKRAPKKRGRKPGQGRDTPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYIKELKAKIEELESQLKRENSKRVKLEGTDNTDNQSTTTTVDQAGPNTDGSEFQLEIDVKILGNDAMIRVQSENVNNPGARLLGALRDLELHVHHASMSTVNELMLQDVMVRLASGFTTEEELKSTLLQILEE >Manes.02G112550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8681674:8682148:1 gene:Manes.02G112550.v8.1 transcript:Manes.02G112550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSNRWTSPPPLCLCYVSLEYLLSPPFLVGCSGATDGKHHFFVVMRLLSEAVTTNEKSLKFPFKVHHFRPSNIHSSQHEAKLFFYDAATFCALPSDQKMDCFLIHEKSI >Manes.02G045000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3700480:3703025:1 gene:Manes.02G045000.v8.1 transcript:Manes.02G045000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQSKNPFLKDNSIKHSHELTPSTPPSTKTMSISMALFSPPPPTHLLRSLSSKPSVTHKPSLFFRNTNPILFSSPKASTENGAGISAAVEEPKAEQKVAEPAASMETEQSSLGSNGAVADAGVKLESKFVDPRWIGGTWDLKQFQKDGKTDWDAVIDAEARRRKWLEGNPESSSNEDLVVFDTSIIPWWAWIKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQMSNFFCKTLLFIAVAGVLLIRKNEDIETLKKLLDETTFYDKQWQATWQDETPSSSKTE >Manes.02G045000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3700485:3703025:1 gene:Manes.02G045000.v8.1 transcript:Manes.02G045000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQSKNPFLKDNSIKHSHELTPSTPPSTKTMSISMALFSPPPPTHLLRSLSSKPSVTHKPSLFFRNTNPILFSSPKASTENGAGISAAVEEPKAEQKVAEPAASMETEQSSLGSNGAVADAGVKLESKFVDPRWIGGTWDLKQFQKDGKTDWDAVIDAEARRRKWLEGNPESSSNEDLVVFDTSIIPWWAWIKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQMSNFFCKTLLFIAVAGVLLIRKNEDIETLKKLLDETTFYDKQWQATWQDETPSSSKTE >Manes.05G198700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32305716:32318927:1 gene:Manes.05G198700.v8.1 transcript:Manes.05G198700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMANTDPEGIDGVRMTWNVWPRTKVEASKCVIPLAASISPIRHHPDIPTLPYPPLRCKTCSAILNSFSRVDFTAKIWICPFCFLRNHFPPHYSMISETNLPAELYPQYTTVQYTLSNPNVNPNIPGNAAPAPSGSPVFLFVLDTCMIEEEFGFVKSALKRAIGLLPDNALVGFVSFGTQTQVHELGFSDMSKVYVFRGNKEISKDQIMEQLGLGVSGRRAPAGYPQKGMQNGFPILGVTRFLLPASECEYTLNSLLDELQTDQWPVAPGNRASRCTGVALSVASGLLGACLPGTGARIIALVGGPCTEGPGTIVSKDLSDPVRSHKDLDKDAAPYFRKAVKFYDNLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLSESFGHSVFKDSFKRIFEDGEQSLGLCFNGMLEINCSKDIKVQGIIGPCTSMEKKGPNVADTVLGEGNTTAWKTCGLDRSTCLTVLFDLSSSERSNSPGTVNPQLYIQFLTSYQNPEGHTLLRVTTVTRRWIDSAVSSEELVQGFDQETAAVVMARITSLKMETEEGFDATRWLDRNLIRLCSKFGDYRKDDPSSFTLNPCFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASIAADRILLLDSYFSLVIFHGMTIAQWRNMGYQNQPEHQAFAQLLQAPQDDAQMIIRDRFPIPRLVVCDQHGSQARFLLAKLNPSATYNNAHEMAAGSDVIFTDDVSLQVFFEHLQRLAVQS >Manes.11G055700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6841462:6842403:1 gene:Manes.11G055700.v8.1 transcript:Manes.11G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSLNSQSVILATAMVVSSTVLFLAFSKHKTSRNQESQSPVQNLRSCLCSEGKKRDSKKKKKKKRVKFAENVKDTKGNGEEYRREKKKENSITRSDRICRNEIPPNRIALYNGILRDRLHRMECSC >Manes.14G016100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:963990:964376:-1 gene:Manes.14G016100.v8.1 transcript:Manes.14G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINLILRKCKSLSRQLGRSSSYSSLRSKSTREDVWGTDKLHQDEQEEHETIFVGSTRKRYVINSKYLSHPLVNALIEKSKQKHGEEVLVVKCEVVLFDHLLWMLENADPTNLSLDSLEELADLYVF >Manes.18G047900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4161777:4167603:-1 gene:Manes.18G047900.v8.1 transcript:Manes.18G047900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKGVTLSDIEDEDDLDEEPGEVIESAPPLKVGQERELNNSGLKKKLLKRGLGWETPEFNDEVTVHYVGTLLDGTKFDSTRDRDEPATLKLGQVKYEVALADGTVVAKTPEEGFEFHVKDGHLCPALPKAIITMKRGEKVKLVVQPQYAFGEEGNVDGIHPVPPNSVLNIDLELVSFKPVVNVTGDSKVFKKIIKGGEDTFVANEGATVTISYTARLQNGTVFEKKGLDGVQPLQFITDEEQVIAGLDRAAATMKKGERAILTISPEYGFGNMEIKKDLATVPPFSVLVCEVEMLDFVKQRAPWEMNNQEKIETAHKEKEGGNLLFKSGKYQRAAKKYDKAADYVVEEGSFGDDEQKLVKSLRVSCWLNGAACSLKLDDFQGAINLCSKVLDIEFNNVKALYRRAQAYIQTADLISAELDIKKALEVDPQSREVKSLQTTLKQLQTESNKRDAELYSNMFARMTKQTSGGIKKLKVEKAENEKKDEEFVGMDMETVADSFR >Manes.18G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4161777:4167603:-1 gene:Manes.18G047900.v8.1 transcript:Manes.18G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEKGVTLSDIEDEDDLDEEPGEVIESAPPLKVGQERELNNSGLKKKLLKRGLGWETPEFNDEVTVHYVGTLLDGTKFDSTRDRDEPATLKLGQGQVVEGLDHGIITMKKGECALFTVPPELGYRFVGRDGVPPNTGVLFEVELIAWIPVVNVSKDGGIIKKIMEKGENIECPGDLDEVLVKYEVALADGTVVAKTPEEGFEFHVKDGHLCPALPKAIITMKRGEKVKLVVQPQYAFGEEGNVDGIHPVPPNSVLNIDLELVSFKPVVNVTGDSKVFKKIIKGGEDTFVANEGATVTISYTARLQNGTVFEKKGLDGVQPLQFITDEEQVIAGLDRAAATMKKGERAILTISPEYGFGNMEIKKDLATVPPFSVLVCEVEMLDFVKQRAPWEMNNQEKIETAHKEKEGGNLLFKSGKYQRAAKKYDKAADYVVEEGSFGDDEQKLVKSLRVSCWLNGAACSLKLDDFQGAINLCSKVLDIEFNNVKALYRRAQAYIQTADLISAELDIKKALEVDPQSREVKSLQTTLKQLQTESNKRDAELYSNMFARMTKQTSGGIKKLKVEKAENEKKDEEFVGMDMETVADSFR >Manes.05G159900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27247808:27254098:-1 gene:Manes.05G159900.v8.1 transcript:Manes.05G159900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRRGNSHQNQEEELLQHESKVNELRNGIGPITGCSLQYCTDACLRRYLEARNWNVDKARKMLDETLKWRSTYKPEEIRWHEVAVEGETGKLYRANFHDRQGRTVLILRPGKQNTKSIDNQLRHLVHLIENAILNLPEGQEQMAWLIDFTGWSISNSVPIKTARDTINILQNHYPERLAIAFLYNPPRIFEAFWKIVKYFLDPKTFQKVKFVYPKNVDSVELMRSYFDDENLPTEFGGKAILEYDHEEFSRLMAQDDIKTASFWGLDDKHQPAKNGHHGAEVAPEPMA >Manes.05G159900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27247808:27254098:-1 gene:Manes.05G159900.v8.1 transcript:Manes.05G159900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRRGNSHQNQEEELLQHESKVNELRNGIGPITGCSLQYCTDACLRRYLEARNWNVDKARKMLDETLKWRSTYKPEEIRWHEVAVEGETGKLYRANFHDRQGRTVLILRPGKQNTKSIDNQLRHLVHLIENAILNLPEGQEQMAWLIDFTGWSISNSVPIKTARDTINILQNHYPERLAIAFLYNPPRIFEAFWKIVKYFLDPKTFQKVKFVYPKNVDSVELMRSYFDDENLPTEFGGKAILEYDHEEFSRLMAQDDIKTASFWGLDDKHQPAKNGHHGAEVAPEPMA >Manes.13G029400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3841990:3842430:1 gene:Manes.13G029400.v8.1 transcript:Manes.13G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQPQQQPVVVYPDTVAGQPPPGSYAHSNGSFGTVFIVLAVISVISAVACCLGKLCNKSQGNKNSKPSKQSQQNPNFRPKERGRERERERERRREGDLEFGFEKGLPTGGRPSGNGDGRGHKPSENGHMKAETFTVDGGHLKPGP >Manes.09G180000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36911580:36916734:-1 gene:Manes.09G180000.v8.1 transcript:Manes.09G180000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGIAPTGMREAGGHNAGVDKLPEEMNDMKIRDDKEVEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVLLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYAYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRYLPPLFNFKSHELKGVSGETLAKLIPEHARKQCTFLGS >Manes.09G180000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36911285:36916745:-1 gene:Manes.09G180000.v8.1 transcript:Manes.09G180000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGIAPTGMREAGGHNAGVDKLPEEMNDMKIRDDKEVEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVLLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYAYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRYLPPLFNFKSHELKGVSGETLAKLIPEHARKQCTFLGS >Manes.09G180000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36911747:36916734:-1 gene:Manes.09G180000.v8.1 transcript:Manes.09G180000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGIAPTGMREAGGHNAGVDKLPEEMNDMKIRDDKEVEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVLLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYAYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRYLPPLFNFKSHELKGVSGETLAKLIPEHARKQCTFLGS >Manes.09G180000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36911285:36916745:-1 gene:Manes.09G180000.v8.1 transcript:Manes.09G180000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGIAPTGMREAGGHNAGVDKLPEEMNDMKIRDDKEVEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVLLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYAYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRYLPPLFNFKSHELKGVSGETLAKLIPEHARKQCTFLGS >Manes.18G107200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10569090:10571898:1 gene:Manes.18G107200.v8.1 transcript:Manes.18G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFFHNTFSFFFFFLLFFSSFTSVLPLSTVAISETSSHTLICALGITTSDQSYLNCSSFPSGIQFPVLNSSFSYSGIVAGDGFICAVISFYSSSSSSIMVCWRFSTNGNNLVYKRIYQGPLLREIQAGNSHICGLNTTNRLHCWQWPEFNSTAAQNLSFSSIAVGEEFVCGISGFGNITCWGNFSSVSNPPSGNFSMIAAGFRHACALTFSNQLHCWGAMEGEKPQDKFKLLALGENRSCGLRLNDTVVCWGQNNFSLQESLKDEFFINIEAKRNIFCGVLKQNYSLVCWGNEILDSNSMVFNEVIPGPCTSSCSDPHDMLPGSEKFCPQGLFICEYEPEIVIPPLPEPQLPPSLPPSPASEGSTSSGWSDKMIAFLVVGCVGFSILLLAIGFFLFRFCICRGYRVHDSGRLDETGAPLEQGTRQQQQQQHPQIQQAPLSPVLEKRLSQLASMGNAGHLEEFSLQLLLQATNNFSEDHKVGTGSFGSVYRATLEDGREVAIKRAETSSTSSYAVGTRRQEDKDDAFINELESLSRLHHKNLIRLLGFCEDSNERVLVYEYLSNGTLNDHLHKLQSSPLMSWPARIKVALDAARGIEYLHEYAVPSIIHRDIKSSNILLDSSWTAKVSDFGLSLMGPEGEESHLSLRAAGTVGYMDPEYYRLQQLTAKSDVYSFGIVLLELLSGIKAIHKNENGVPRNVVDFVVPYIVQDDIHRVLDSRVPPPTPYEIEAVAYVGYLAADCVTLEGRDRPSMTEIVNSLERALAACLVNPTSLSRSTTASST >Manes.01G138600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33069461:33071404:-1 gene:Manes.01G138600.v8.1 transcript:Manes.01G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEKHMKHMQVLQMNGGLGETSYAQNSFLQRKVISMTRPITEEVITNLYCGTFPKTLVIADLGCSSGSNTLFAMFELIKVVDKLCVKLGRQSPEYQIMLNDLPGNDFNTIFRSLTRFQEQMKKQLEAGNGPFYFNGVPGSFYGRLFPSNSLHFVHSSYSLHWLSQIPEGLEGNKGKFYIASSSPPSVSKAYYRQFQRDFSIFLNCRADELVAGGRMVLTFLGRRSPDPSSKECCYYIWELLAMVLNDMVLEGIIEEEKLDSFNVPTYTPSPFEVRSEIERVGPFSIDRLEVFEINWDGYHNECNLSDAFKDSGYNVATSIRAVAEPLLIGHFGFSEAIIEDIFCRFKEIVADHMAKEKSEYVNVTVALTKTG >Manes.03G212500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32879388:32886158:1 gene:Manes.03G212500.v8.1 transcript:Manes.03G212500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGLVGEVTTEQAKTSMSDPQVVELQEAIEKSKKKKESTNTLPFYKLFSFADSIDYLLMFVGIIAAAGNGVCMPLLTILFGDSVNAFGDNSVNTKGVVHEVSKVSLKYVYLALGSSVAGFLQVACWMVTGQRQAAKIRSLYLSTILKQEIGFFDKEIDTGEILGSMSGDMVLIQDAMGEKVGKFLQLILTFISGFVIAFIKGWKLTLVMLSSIPLLVLSGALMSIYISKLASRGQTTYSLAATVVEQTIGSIRTVASFNGEKQAIDKYNKSLTKAYKSGVQESMAAGLGFGVVTFIVFSNYALAVWFGAKLVINEGYKGGDIISIVFVVLTGSLSLGQASPCLTAFSAGQAAAYKMFDVIGRKPQIDAYDTNGLTLNEIHGDIEFRDIYFSYPARPEEQIFSGFSISIPSGSTAALVGESGSGKSTVISLIERFYDPQAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFSCSIKENIGYGKENATTEEIVAAAELANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRVAIARAILRDPRILLLDEATSALDAESERIVQEALDRIMINRTTVVVAHRLSTVRNADMIAVIHRGTIVERGSHLELTKDPDGAFSQLIRMQEMSPLPQNIALNDAERTEIIVDSERHSSQLFSILRSLSQGSSGIGNSSRHSFSVPFGVPTGINVPETALAEPSITLVSASSPPPPKVPLRRLAYLNKPEIPALLLGSLAAATNGVILPLFGVLVSSMIKTFFEPAEQLRKDSRFWAFMFLGLASMSLLVNPMRSYFFAVGGCKLIRRIRSMCFEKVIYMEVGWFDEPGHSSGAIGAKLSADAASVRSLVGDALGLLVQNIATAVAGLVIAFEANWQLAFIILIMLPLLGLNGYVQMKFIEGFSADAKKMYEEASQIANDAIRSIRTIASFCAEEKVMALYKKICEGPVRTGIREGLISGFGFGLSFFLLYSVYAASFYAGAQLVEAGNTTFVEVFRAFCALTMAAVGVSQSSSLAPDASKAKGAAASVFAILDQKSKVNSNDDSGIVIEDLKGQIEFQHVSFRYPIRPDIQIFKDLSLAIQAGKTVALVGESGSGKSTVISLLQRFYDPDSGHITVDGIEIQNLQVKWLRQQMGMVGQEPVLFNDSIRANIIYGKEGNATEAEILAASELANAHKFISSLRQGYETRVGERGVQLSGGQKQRVAIARAIVKAPKILLLDEATSALDAESERLVQDALDRVVENRTTVVVAHRLSTIKNADMIAVVKNGVIAEKGKHETLMNMKEGVYASIVALHTSASSQKAKLT >Manes.01G162500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34707670:34709569:-1 gene:Manes.01G162500.v8.1 transcript:Manes.01G162500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAELIFVPAPGVGHIVSTIEFAKTLILRDDQIQVTILVMKLPITPFIDAYTKSLTASQPNIRLIDLPQVDLPSSELLAKSVESYICAVIESYKPHVHKIVSDIVSSRSSSDSVPVAGIILDFFCVSMINIGDELGLPSFIFLTSGSAFLSLMLYLPSRHQQIGAEFTFSDSDVIIPGFANPVPPRVLPGALFNKHGGYAAYITVAQRFKDAKGIIVNTFTELESHSLESLHDGQNPKIYPVGPVLNLIGHPHPDMDHSQWDKIRNWLDEQPDSSVVFLCFGSGGSFGVSQVKEIALGLEQSGYRFLWSMRVPPGEDEASKLKNPEEMLPEGFLEGIEGRGMVCGWTPQVEVLAHEAIGGFVSHCGWNSILESFWYGVPIVTLPIYAEQQLNAFTLVKELGLAVELKLDYRSNGDVVKAEEVERAVRCVMESENEVRKKVKDLAGMARKAVMDGGSSSTSITELIKDLRKYV >Manes.01G162500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34707635:34709649:-1 gene:Manes.01G162500.v8.1 transcript:Manes.01G162500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAELIFVPAPGVGHIVSTIEFAKTLILRDDQIQVTILVMKLPITPFIDAYTKSLTASQPNIRLIDLPQVDLPSSELLAKSVESYICAVIESYKPHVHKIVSDIVSSRSSSDSVPVAGIILDFFCVSMINIGDELGLPSFIFLTSGSAFLSLMLYLPSRHQQIGAEFTFSDSDVIIPGFANPVPPRVLPGALFNKHGGYAAYITVAQRFKDAKGIIVNTFTELESHSLESLHDGQNPKIYPVGPVLNLIGHPHPDMDHSQWDKIRNWLDEQPDSSVVFLCFGSGGSFGVSQVKEIALGLEQSGYRFLWSMRVPPGEDEASKLKNPEEMLPEGFLEGIEGRGMVCGWTPQVEVLAHEAIGGFVSHCGWNSILESFWYGVPIVTLPIYAEQQLNAFTLVKELGLAVELKLDYRSNGDVVKAEEVERAVRCVMESENEVRKKVKDLAGMARKAVMDGGSSSTSITELIKDLRKYV >Manes.15G017725.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1431132:1431691:-1 gene:Manes.15G017725.v8.1 transcript:Manes.15G017725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWYSYLLKFATSACLIHEFDHLLLPPICSNYFANHCLTIEPPDSISICFNCISTQCVTTILTENIASALLIQKYCPPRIKIMDTLEKYFFNHCRYWQRPKSFHHALNYHESKETLNLKY >Manes.01G137501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32965867:32966281:1 gene:Manes.01G137501.v8.1 transcript:Manes.01G137501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEKNPNSSKKRMLNNLSQQRRNGKETVWWQPLHLPHIFPSDFHNAPDLPNNFHFSAIYYI >Manes.01G210300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38143022:38145500:-1 gene:Manes.01G210300.v8.1 transcript:Manes.01G210300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIDLLHDATRREARGG >Manes.04G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33136197:33140264:-1 gene:Manes.04G128300.v8.1 transcript:Manes.04G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGLFNRRKANRHPTTDQQQLTQQASTESGQPQLAPQATQEQSEPEHPKAPPSGLEKLESVPKFYTYEQLAKATADFTNNNLIGVGGCGQVYRGELPDGEVVAIKKLKYVAGQAEMEGAQLQFETEIETISRVRHRNLVKVIGYCSDKADKLFVCEFVPNKSLKYHLQRKGNQINNWSNRMKIALGSAKGLTYLHEGCTPTIIHRDIKSENILLDHNFEPKIADFGLAKEILDYQTHVSTKLKGTFGYLAPEYVKDKRLTDKSDVFSFGVVLLELITGKQAVEKEGKVPINLAIWALPLLKQALDTDDYKALVDPNLQEYDINKMTRMIYCAVACVYKPEKSRPKMSEIVEVLQERIGVERIWVSQEDSKFLYEGAPYSNAASLPNTMSSSA >Manes.04G128300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33136197:33140264:-1 gene:Manes.04G128300.v8.1 transcript:Manes.04G128300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGLFNRRKANRHPTTDQQQLTQQASTESGQPQLAPQATQEQSEPEHPKAPPSGLEKLESVPKFYTYEQLAKATADFTNNNLIGVGGCGQVYRGELPDGEVVAIKKLKYVAGQAEMEGAQLQFETEIETISRVRHRNLVKVIGYCSDKADKLFVCEFVPNKSLKYHLQRKGNQINNWSNRMKIALGSAKGLTYLHEGCTPTIIHRDIKSENILLDHNFEPKIADFGLAKEILDYQTHVSTKLKGTFGYLAPEYVKDKRLTDKSDVFSFGVVLLELITGKQAVEKEGKVPINLAIWALPLLKQALDTDDYKALVDPNLQEYDINKMTRMIYCAVACVYKPEKSRPKMSEVSSQEMHIYHALLFISYFFC >Manes.04G128300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33136197:33140264:-1 gene:Manes.04G128300.v8.1 transcript:Manes.04G128300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCGLFNRRKANRHPTTGGQPQLAPQATQEQSEPEHPKAPPSGLEKLESVPKFYTYEQLAKATADFTNNNLIGVGGCGQVYRGELPDGEVVAIKKLKYVAGQAEMEGAQLQFETEIETISRVRHRNLVKVIGYCSDKADKLFVCEFVPNKSLKYHLQRKGNQINNWSNRMKIALGSAKGLTYLHEGCTPTIIHRDIKSENILLDHNFEPKIADFGLAKEILDYQTHVSTKLKGTFGYLAPEYVKDKRLTDKSDVFSFGVVLLELITGKQAVEKEGKVPINLAIWALPLLKQALDTDDYKALVDPNLQEYDINKMTRMIYCAVACVYKPEKSRPKMSEIVEVLQERIGVERIWVSQEDSKFLYEGAPYSNAASLPNTMSSSA >Manes.13G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32053268:32054184:1 gene:Manes.13G114500.v8.1 transcript:Manes.13G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILQAHNVNVLGSGEQAIVLCHNFGTDQSVWKYLVPYLLEDYRVILYDNMGAGSTNPDYFDFERYSTLDGFAYDLLAILDELEVKSCIFIGHSVLSMAGAIASISRPDLFSKLILICGTPRPLNDKDYHGGFEQADLHQMLEGMRSNFKAWRSGLAPMVVGGDMDSTLVQEISRTLFNMRPDIALSLTQLKFQCDVRHVLPMVKVPCHIVQSAMDMVPAEVSEYMHQHLGGPSVIEIMPTTGHLPHLSSPDIVIPVILRHINLDITK >Manes.06G153600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28084719:28086973:1 gene:Manes.06G153600.v8.1 transcript:Manes.06G153600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMAEDWDLYAVVRSCTTSATNTAATANAEVSGQNANFENHLCCLASLTFEADEPFSFPDLEKPRNDGLQELQDSYKAFLPITTVQGNIPTSSISDFGGFIGQNQSQLAQQQQQLHHPPPHGNIPFGLRFNHRQPQPQHARQQPNQRQQLHQLGSQRPETSPSFLPLRATQSSASRSRKKKSHQKRQVMHVTAENLSNDVWAWRKYGQKPIKGSPYPRNYYRCSSSKGCAARKQVERSNTDPNMFIVSYTGEHTHPRPTHRNSLAGSTRNKFSAIQKPANKESEEPSAEKAPCSSPLSATSLSPTTPLSAPMDHETANENINTEAAKMDGADLESHGMDSDGEYYEDDDDILIPNMTFNEDLIKDLQELGTVGDGSFGIGSNSSGGLGQTPDFGDNFFSWSVGSSAGGGGD >Manes.04G129750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33212079:33219345:-1 gene:Manes.04G129750.v8.1 transcript:Manes.04G129750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLMTCPPIYPHLVEKLEKRFTLYKLHNAPDKTHFINSHKNSIRAVVGNAGFGADADLIDQLPKLEIVSSYSVGLDKVDLPKCKEKGIRVTNTPDVLTDDVADLAIGLMLAVLRRLCESDRYVRSGKWKKGDYKLTTKFTGKSVGIIGLGRIGMAIATRAEAFSCPISYYGRTEKPDVKYKFYPSVVELAANCHILVVACALTEETRHIVNREVINALGPKGILINIGRGPHVDEPELVSALLEGRLGGAGLDVFEDEPNVPEQLFGLENVVLLPHVGSGTVETRQAMADLVVGNLEAHFLNKPLLTPVV >Manes.15G141000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11429954:11435949:-1 gene:Manes.15G141000.v8.1 transcript:Manes.15G141000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEESQKVEEELKPENENEDEEPTVEEELKEKQSDSKRMKPWEQHAAVINLPRFDYNAPSALLQRSYSGFLVTCSIKREKSATKEVMSILDKYIGSYNYANTECLESSDASQSTKRRKTQIDEIGGISAEGMERKSTSEDTGNMDSIEEKGSVLSLVKLTRSGLLLLTFARENSPDPVAIVSNIFQCVESGSLRSPLWCHRIFPIQATCLLDEKELRTVVSKLVLQFVNDKQNKLAHPTKFAVGYNRRGIEETQTKHVKDSNMCSLLDRNKCFEIVASVVKEAVPDSSVDLKSPELSILVELLPLSRVPNGSLLAAVSVLPQNLVSVKPRLCIKPLVPDGKAKNEGVRR >Manes.15G141000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11431761:11435958:-1 gene:Manes.15G141000.v8.1 transcript:Manes.15G141000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEESQKVEEELKPENENEDEEPTVEEELKEKQSDSKRMKPWEQHAAVINLPRFDYNAPSALLQRSYSGFLVTCSIKREKSATKEVMSILDKYIGSYNYANTECLESSDASQSTKRRKTQIDEIGGISAEGMERKSTSEDTDGLSGNMDSIEEKGSVLSLVKLTRSGLLLLTFARENSPDPVAIVSNIFQCVESGSLRSPLWCHRIFPIQATCLLDEKELRTVVSKLVLQFVNDKQNKLAHPTKFAVGYNRRGIEETQTKHVKDSNMCSLLDRNKCFEIVASVVKEAVPDSSVDLKSPELSILVELLPLSRVPNGSLLAAVSVLPQNLVSVKPRLCIKPLVPDGKAKNEGVRR >Manes.15G141000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11431300:11436005:-1 gene:Manes.15G141000.v8.1 transcript:Manes.15G141000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEESQKVEEELKPENENEDEEPTVEEELKEKQSDSKRMKPWEQHAAVINLPRFDYNAPSALLQRSYSGFLVTCSIKREKSATKEVMSILDKYIGSYNYANTECLESSDASQSTKRRKTQIDEIGGISAEGMERKSTSEDTGNMDSIEEKGSVLSLVKLTRSGLLLLTFARENSPDPVAIVSNIFQCVESGSLRSPLWCHRIFPIQATCLLDEKELRTVVSKLVLQFVNDKQNKLAHPTKFAVGYNRRGIEETQTKHVKDSNMCSLLDRNKCFEIVASVVKEAVPDSSVDLKSPELSILVELLPLSRVPNGSLLAAVSVLPQNLVSVKPRLCIKPLVPDGKAKNEGVRR >Manes.15G141000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11431300:11436005:-1 gene:Manes.15G141000.v8.1 transcript:Manes.15G141000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEESQKVEEELKPENENEDEEPTVEEELKEKQSDSKRMKPWEQHAAVINLPRFDYNAPSALLQRSYSGFLVTCSIKREKSATKEVMSILDKYIGSYNYANTECLESSDASQSTKRRKTQIDEIGGISAEGMERKSTSEDTDGLSGNMDSIEEKGSVLSLVKLTRSGLLLLTFARENSPDPVAIVSNIFQCVESGSLRSPLWCHRIFPIQATCLLDEKELRTVVSKLVLQFVNDKQNKLAHPTKFAVGYNRRGIEETQTKHVKDSNMCSLLDRNKCFEIVASVVKEAVPDSSVDLKSPELSILVELLPLSRVPNGSLLAAVSVLPQNLVSVKPRLCIKPLVPDGKAKNEGVRR >Manes.15G141000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11431761:11435958:-1 gene:Manes.15G141000.v8.1 transcript:Manes.15G141000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEESQKVEEELKPENENEDEEPTVEEELKEKQSDSKRMKPWEQHAAVINLPRFDYNAPSALLQRSYSGFLVTCSIKREKSATKEVMSILDKYIGSYNYANTECLESSDASQSTKRRKTQIDEIGGISAEGMERKSTSEDTGNMDSIEEKGSVLSLVKLTRSGLLLLTFARENSPDPVAIVSNIFQCVESGSLRSPLWCHRIFPIQATCLLDEKELRTVVSKLVLQFVNDKQNKLAHPTKFAVGYNRRGIEETQTKHVKDSNMCSLLDRNKCFEIVASVVKEAVPDSSVDLKSPELSILVELLPLSRVPNGSLLAAVSVLPQNLVSVKPRLCIKPLVPDGKAKNEGVRR >Manes.15G141000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11429954:11435949:-1 gene:Manes.15G141000.v8.1 transcript:Manes.15G141000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKEESQKVEEELKPENENEDEEPTVEEELKEKQSDSKRMKPWEQHAAVINLPRFDYNAPSALLQRSYSGFLVTCSIKREKSATKEVMSILDKYIGSYNYANTECLESSDASQSTKRRKTQIDEIGGISAEGMERKSTSEDTDGLSGNMDSIEEKGSVLSLVKLTRSGLLLLTFARENSPDPVAIVSNIFQCVESGSLRSPLWCHRIFPIQATCLLDEKELRTVVSKLVLQFVNDKQNKLAHPTKFAVGYNRRGIEETQTKHVKDSNMCSLLDRNKCFEIVASVVKEAVPDSSVDLKSPELSILVELLPLSRVPNGSLLAAVSVLPQNLVSVKPRLCIKPLVPDGKAKNEGVRR >Manes.01G246100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40633200:40636762:1 gene:Manes.01G246100.v8.1 transcript:Manes.01G246100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSIEIVPSSDLTDEDEIHHHHVQFPSVPKPQSNNNIITNSNSVSSAIQSISVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHEALCNFRPYNCPYAGSECAVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYSYSLEVGGNGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDGGACIPNICS >Manes.07G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34211865:34212834:-1 gene:Manes.07G140700.v8.1 transcript:Manes.07G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAFSAISLLLFVLNLCFRGTFGDYGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGLSCGACYEMRCDNDPKWCLPGTITVTATNFCPPNYALSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPISFRRVPCMKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVSIKGSKTGWQAMSRNWGQNWQSNGILNGQSLSFQVTTSDGRTVTSYNIVPANWQFGQTFEGGQF >Manes.04G156100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35107602:35111470:-1 gene:Manes.04G156100.v8.1 transcript:Manes.04G156100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFRRAAVPLRPHGSWLGLSRVISTKSDIISFSVGSRAGTCTLEYFISGRSSSSKEFYHSLSISIGKRFFLESCGYCSQPDARDVIVNDKMEDGLPELETCAMADKIGASNAEEVDKEELLPELEFVEDAEKGVSSEKESATLELLNSFMGIPNVAVSDVLKKWAEDGNKLSKEQISRILVSLKKRQMYWKALQFHEWLGKSKQIDLTEQYYATCIDLISKAQTLEKAEKFVDNIPLSFKGELAYRTLLRSCVLALNMRKAEAVFNKMRELNLPIDVDVCNQMIILYKRLDKKKIADILLIMEKQDIKSSFLTYKLLIDTKGESNDIMTMERLVEAMKVDGFEPDINTLNVIAKHYISGGFKHKAETVFKEIKERKLERIVEARISLLLLYASLGRTDEVGKIWKDCESDPTMQECVAAIEAWGKLGKVEEAEAVFDLMLQKWERINSRQYASLLKVYTNNKLLAKGKDLVKQLVDTGCWIDPLTWDILVKFYIESENVEKADSILQKAAHTKRMRPRFTSFIAIMEQYAKRGDVHNTELLFKRMKEFGYSGRLKIFEILIQAYINAKKPAYGFRERMKAENIFPNRAFVKQLAQVDAFSREVVYRHERPFNIDTCISVQ >Manes.11G011001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1137434:1140228:-1 gene:Manes.11G011001.v8.1 transcript:Manes.11G011001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLPINTFILLLARPILNTALNKLRKKSSEASDHQKPEAMTTTVSLPPNFLIVNPNKGRKRDIFKYMVGNNTKSGMNFLDSSEETVKGGAAVDHRWILLVSIIIRRILALINTPLKYFGYLVDFFLNLISQNGGFCSICSNFLQGKLKIPRRGSENFISTIGQLDGRIDLYRTVFLSEKADDSINSDSHNVRSELGNRYLMDLCIMASKLVYENEKVVKNVVENHWKMHFEAFYNCWNENQKESNTQVFIIADKPKDANLIVISFRGTEPFNAQDWSTDFDFSWYEVPKVGKLHIGFLEALGLGSRGDATTFQNQLRRKHTSFLHVIGESEASVKEKAKKSAYYAVSLTLKNLLKEHRNAKFVVTGHSLGGALAILFPCVLVIQEETEMIQRLLNIYTFGQPRIGDAQLGTFMEAHLNYPNNRYYRVVYSNDMVPRVPFDDKIFAFKHFGVCLYYDSRYFGRFMDEEPNRNFFSLKHMIPMRVNALWEIIRSFVISHTHGPEYQESWFCTLFRIMGLVLPGISAHSPIDYVNSVRLGRERTFPLSTLKSFARKS >Manes.11G002000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:312551:316116:-1 gene:Manes.11G002000.v8.1 transcript:Manes.11G002000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSNGASMAKKSWFNVVRKLFIADTQSNQEKKDKRRKWVFFGKLNLKRRLASISAPSPPKERTTLSEAEKEHSKRALNVAFATAAAAEAAVAAADAAAEVGLLTGVPHSIQQCEKKTEAHSAIKIQAGALHSTNQYEKEIHEIAALKIQATFRGYLARKALQALRGIVKLQAIIRGRNVRRQAMNTLKCLQSIVNIQSQVCAKRIQMVEGTCTCDENKSFHKFSDKIIRMDTNRQKRGDGSIMTKQEANALFLSKKEAAIKRERINEYSFTHRNSADTERNKTNGRWRYWPEQWVEVQVSNKSKEQEDLDRVLTSTPKPRVEYRGRQLELRGLQRQYQNEGVHPPMAAPRRSFHRKQRSLGEDNSFSRSPVVPTYMAATESAKAKARSMSSPKLRPGSFDVYSDSYSPCTNKLSLISSTATEVPGW >Manes.14G015700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1006007:1009163:1 gene:Manes.14G015700.v8.1 transcript:Manes.14G015700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGDSVARNHVESLLCLLSLVEVPLSTYRDDDDRFRTWHFPENNFTVMVLWTRFLVMGEERIINGKNSDSFDLHLDKLDKNWTSKLPEMDYAIISDVHWFYRRHFLHDNENMIGCIYCSEPNIKSYTVEFALERVIRLVLNYINECKECKSLVTLLRTGSPAHFENGAWNTGGNCNRTSPFQESEISLEGIEWRLRNMQVDEIKRASKVKKKGKRFAILDVTKAMLMRPDGHPDSHWDDKWMKGYKDCVHWCMPGPIDAWNDFLMAVLKRYAPISIPRKISE >Manes.14G015700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1005984:1009150:1 gene:Manes.14G015700.v8.1 transcript:Manes.14G015700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGDSVARNHVESLLCLLSLVEVPLSTYRDDDDRFRTWHFPENNFTVMVLWTRFLVMGEERIINGKNSDSFDLHLDKLDKNWTSKLPEMDYAIISDVHWFYRRHFLHDNENMIGCIYCSEPNIKSYTVEFALERVIRLVLNYINECKECKSLVTLLRTGSPAHFENGAWNTGGNCNRTSPFQESEISLEGIEWRLRNMQVDEIKRASKVKKKGKRFAILDVTKAMLMRPDGHPDSHWDDKWMKGYKDCVHWCMPGPIDAWNDFLMAVLKRYAPISIPRKISE >Manes.14G015700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1006007:1009163:1 gene:Manes.14G015700.v8.1 transcript:Manes.14G015700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGDSVARNHVESLLCLLSLVEVPLSTYRDDDDRFRTWHFPENNFTVMVLWTRFLVMGEERIINGKNSDSFDLHLDKLDKNWTSKLPEMDYAIISDVHWFYRRHFLHDNENMIGCIYCSEPNIKSYTVEFALERVIRLVLNYINECKECKSLVTLLRTGSPAHFENGAWNTGGNCNRTSPFQESEISLEGIEWRLRNMQVDEIKRASKVKKKGKRFAILDVTKAMLMRPDGHPDSHWDDKWMKGYKDCVHWCMPGPIDAWNDFLMAVLKRYAPISIPRKISE >Manes.14G015700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1005984:1009150:1 gene:Manes.14G015700.v8.1 transcript:Manes.14G015700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIGDSVARNHVESLLCLLSLVEVPLSTYRDDDDRFRTWHFPENNFTVMVLWTRFLVMGEERIINGKNSDSFDLHLDKLDKNWTSKLPEMDYAIISDVHWFYRRHFLHDNENMIGCIYCSEPNIKSYTVEFALERVIRLVLNYINECKECKSLVTLLRTGSPAHFENGAWNTGGNCNRTSPFQESEISLEGIEWRLRNMQVDEIKRASKVKKKGKRFAILDVTKAMLMRPDGHPDSHWDDKWMKGYKDCVHWCMPGPIDAWNDFLMAVLKRYAPISIPRKISE >Manes.14G015700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1006007:1009150:1 gene:Manes.14G015700.v8.1 transcript:Manes.14G015700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYGGSNSQHILCFLILYSPWTSEPTNNLTFRQSFPLPKEDFCDLSSGHWVRDLRGSQYTNESCSSIPETKNCFKYGRKDTDFLKWRWKPDNCELPRFDPRIFFDIVKGKTMAFIGDSVARNHVESLLCLLSLVEVPLSTYRDDDDRFRTWHFPENNFTVMVLWTRFLVMGEERIINGKNSDSFDLHLDKLDKNWTSKLPEMDYAIISDVHWFYRRHFLHDNENMIGCIYCSEPNIKSYTVEFALERVIRLVLNYINECKECKSLVTLLRTGSPAHFENGAWNTGGNCNRTSPFQESEISLEGIEWRLRNMQVDEIKRASKVKKKGKRFAILDVTKAMLMRPDGHPDSHWDDKWMKGYKDCVHWCMPGPIDAWNDFLMAVLKRYAPISIPRKISE >Manes.14G015700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1006007:1009150:1 gene:Manes.14G015700.v8.1 transcript:Manes.14G015700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYYLLGLTFLFSFLILYSPWTSEPTNNLTFRQSFPLPKEDFCDLSSGHWVRDLRGSQYTNESCSSIPETKNCFKYGRKDTDFLKWRWKPDNCELPRFDPRIFFDIVKGKTMAFIGDSVARNHVESLLCLLSLVEVPLSTYRDDDDRFRTWHFPENNFTVMVLWTRFLVMGEERIINGKNSDSFDLHLDKLDKNWTSKLPEMDYAIISDVHWFYRRHFLHDNENMIGCIYCSEPNIKSYTVEFALERVIRLVLNYINECKECKSLVTLLRTGSPAHFENGAWNTGGNCNRTSPFQESEISLEGIEWRLRNMQVDEIKRASKVKKKGKRFAILDVTKAMLMRPDGHPDSHWDDKWMKGYKDCVHWCMPGPIDAWNDFLMAVLKRYAPISIPRKISE >Manes.12G124600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33067326:33072136:1 gene:Manes.12G124600.v8.1 transcript:Manes.12G124600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSNVIIHPGESSILLHLHLIRRPISSSSIAPLPVDPDPPDLSSQLLSVLSHPSWQKHPSLRNLVPSISPSHVSSLFSNNPNLDPQIALKFFQFIARKPGFKHSVQSYSLLLNILISNKFFGVSEKIRTSMIKSCISIDDIRFTLDFLREMNRDNNEHKFKLTLRTYNELLMMLSRFLMIDEMKRVYAEMLSDMIAPNIYTLNTMVNAYCKMGNVVEASLYVSKILQAGLRPDTFTYTSLILGHCRNKDVNSACNVFKMMPKKGCRRNEVSYTILIHGLGEARRVDEAISLFKKMKEDYCYPTIRTYTVIINALFENDRKLEAVNLFNEMRNNGCEPNVHTYTVMIDYMSKERKFDESRRMLNEMMEKGLVPSVATYNALIDGYCKEGMMESAQEILDLMHSNNCSPNERTYNELICGFCKRKNVHKAMSLLSKMLEHRLMPNLVTYNSLIHGQCTVGHLDIAYRLLELMKENGLNPDQWTYSVFIDYLCKNKRIEEAHVLFNSLKDKGIKANEVIYSTLIDGYCKAGRTDDADSLLERMLIEGCLPNSSTYNALIDGFCKERKVEKALSLLEKMVQEGVKATVSTYTILIVAMLKGGNIDHAHMLFAQMVSSGNQPDVYVYTAFIHSYCGTGNLKEAEDMVSMMIESGVMPDALTYTLLVDAYGRLGLLYQAFDVLKCMFDTGCDPSHHTYSFLIKHLSKEKLIEENKSAVLDLVPNISFIDVADVWKTMEFETALLLFEKMLEHGCSPNVNTYGKLIIGLCKVGRMAVAQRLFDHMNEQGICPSEDIYNSLLKSCCELGMYDDAVRLADATMERGHLPLLESLGSLICRLYDEGNKKKAKQVFCNLLHCGYNDDEVAWKILIDGLLKSGLADECSELLGIMEARGCQIHSQTYKMLIEGLDGT >Manes.02G210700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29089211:29105531:1 gene:Manes.02G210700.v8.1 transcript:Manes.02G210700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQRFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTNSIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGLLTNQNVFAFKYTPQVLLFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNIFGILIAVIGMLLYSYYCTVENQQKASEASTKLPEVKESESDPLVGVENGSGILADGIVQKATVWNSNKDLHA >Manes.02G210700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29089211:29105531:1 gene:Manes.02G210700.v8.1 transcript:Manes.02G210700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQRFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKRFSRNIQLSLAILLVGVGIATVTDLQLNVLGSVLSLLAVVTTCIAQIMTNSIQKKFKVSSTQLLYQSCPYQALTLFIIGPFLDGLLTNQNVFAFKYTPQVLLFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNIFGILIAVIGMLLYSYYCTVENQQKASEASTKLPEVKESESDPLVGVENGSGILADGIVQKATVWNSNKDLHA >Manes.08G145900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38747082:38749464:1 gene:Manes.08G145900.v8.1 transcript:Manes.08G145900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELISMESLSVSGNLRLNGKGFTFTVQLFRKKPEMEGKSEGGDVAEKIKKEEMHLKVKSKDKEPSDEKKEKIEVELDLKTKSVEKEKPKQKDKKDKKDKKDDDEDDKKKEKDKEKKKKTKTAKDGEGSLGEEPEVDNEAGETEKKEEKEDKKVKGKEEKKKKEKEHVEEAKEEDEKKKKDKKEKKDKDKVLEEEEKGTREIKDKSLGIKEQQDEKHVKEGKEKDEEKKKDKKVKKEKEKKVKDEEKTGEGSVEETEKKKDKEKEDDDGEEKKKKKEKKKEKKHKDETKEEEEEEGEEKEGKKKIKNKDKKKKDKKHEDGVEEEVTDEGGEEEKKKKKEKKEKKDKEHKDEINESRSGAEVLSREIHIESEVKGQEEENDKAKEGTEKKKDKDKKRKKGEKDKSKDLNCLKAKLEKIDARIEAMLEKKADILRQIKEAENMRSVVADTDKDTQIADAK >Manes.08G145900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38747075:38750232:1 gene:Manes.08G145900.v8.1 transcript:Manes.08G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSEGGDVAEKIKKEEMHLKVKSKDKEPSDEKKEKIEVELDLKTKSVEKEKPKQKDKKDKKDKKDDDEDDKKKEKDKEKKKKTKTAKDGEGSLGEEPEVDNEAGETEKKEEKEDKKVKGKEEKKKKEKEHVEEAKEEDEKKKKDKKEKKDKDKVLEEEEKGTREIKDKSLGIKEQQDEKHVKEGKEKDEEKKKDKKVKKEKEKKVKDEEKTGEGSVEETEKKKDKEKEDDDGEEKKKKKEKKKEKKHKDETKEEEEEEGEEKEGKKKIKNKDKKKKDKKHEDGVEEEVTDEGGEEEKKKKKEKKEKKDKEHKDEINESRSGAEVLSREIHIESEVKGQEEENDKAKEGTEKKKDKDKKRKKGEKDKSKDLNCLKAKLEKIDARIEAMLEKKADILRQIKEAENMRSVVADTDKDTQIADAK >Manes.08G145900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38747075:38750232:1 gene:Manes.08G145900.v8.1 transcript:Manes.08G145900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSEGGDVAEKIKKEEMHLKVKSKDKEPSDEKKEKIEVELDLKTKSVEKEKPKQKDKKDKKDKKDDDEDDKKKEKDKEKKKKTKTAKDGEGSLGEEPEVDNEAGETEKKEEKEDKKVKGKEEKKKKEKEHVEEAKEEDEKKKKDKKEKKDKDKVLEEEEKGTREIKDKSLGIKEQQDEKHVKEGKEKDEEKKKDKKVKKEKEKKVKDEEKTGEGSVEETEKKKDKEKEDDDGEEKKKKKEKKKEKKHKDETKEEEEEEGEEKEGKKKIKNKDKKKKDKKHEDGVEEEVTDEGGEEEKKKKKEKKEKKDKEHKDEINESRSGAEVLSREIHIESEVKGQEEENDKAKEGTEKKKDKDKKRKKGEKDKSKDLNCLKAKLEKIDARIEAMLEKKADILRQIKEAENMRSVVADTDKDTQIADAK >Manes.15G089501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6853339:6853683:1 gene:Manes.15G089501.v8.1 transcript:Manes.15G089501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDDLNDLEFGRFAYNYDLELHEEMLDEVSSNIEKEAESKFIDRLLPSVKYEEDEEIKLKYEDCAICLNDYRDGELCRIFPMCKHMFHSDCIDIWLEKSLTCPICRQYVFGSS >Manes.07G126800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32942662:32945098:-1 gene:Manes.07G126800.v8.1 transcript:Manes.07G126800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESLQSLQNFNLLAAHSSSYSLKLVCARSSSLSSFQSQCNLSFSYSFGRPCILFVSCGDLPSSGLKQSCRAHFKGSFDDEFEDFPRKFCVSDGKISKNSTCNGGDKSRERTDYSISFLPTFLEPNFLGIQRELSERDEIEKMSIVRKANSVEIPLSLRIIGRKQKCEGGSVDSEDFAHCSAKKAFSSMVFMIRELQNYAVSTRGELCREDLHGVLNKLHKEMNASYVWLFKQVFSRTPNLMVDVMRLSANFTVNSLVDNMAANRSRRLHHNLVTTQEMSRKQVSVERSLTSLPEISFPGYEEMIKDEEEMKLWKSMVEEASMLQEKSTYPVLDQETKKQLVSAISVELEAEDYLEFHTTDIVYQMSVSEDPNNSLLSNYAQFLYLVRHDYDRAEECFKRAIMTGQADPETFSRYADFLWVVRKDLWSAEEFYQQAIEAAPDSHYYASKYAHFLWSTGGEDTCFLSS >Manes.10G079900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19695173:19701373:1 gene:Manes.10G079900.v8.1 transcript:Manes.10G079900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLSQLSVPTPELASPSTGFPKSSFLFNTNLVFLTNTRKQKLVQQRCYKPPCASGKSFDHIPSQFRQENLKDGLMDNYKNVPQHLYGLTPSQLDMFMTEDNPVRQQSESVTEDSISSRKNYLDYGGMYSASGMMDRGPSKYSMSVSMYRGGGRGYGRPRSAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFLYLDYDDSAKPIYLYINSSGTQNEKMETVGSETEAYAIADAMAYCKSTVYTVNCGMAYGQAAMLLSIGAKGYRALQPNSSTKLYMPKVNKSSGAAIDMWIKAKELDANTDYYVELLAKGTGKTKEEINKDICRPKYFNAQEAIDYGLADKIVDSSDDAFEKRDYDALLAQSKAMRAAAAGPRAAPSGFR >Manes.07G073014.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15530616:15533071:-1 gene:Manes.07G073014.v8.1 transcript:Manes.07G073014.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMDSFQKLNSRMDNLEDNLRSSKGKSIHRDDDTHDPSYEEENEAQSAPFRARRFNDRVPYVDNSMNLM >Manes.12G057903.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5534500:5540012:1 gene:Manes.12G057903.v8.1 transcript:Manes.12G057903.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRSINIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGALIYCMEELEDNLDDWLAEELENYRDDDYLVFDCPGQIELFSHVPVLRNFVEHLKSKNFNVCAVYLIDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKKDIEDYLNPEPRALLSELNQRMAPQFLKLNKALIELVDEYSMVNFVPLDLRKESSIQYVLGQIDNCIQYGEDADVKVRDFDPPDED >Manes.15G093900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7314022:7315534:-1 gene:Manes.15G093900.v8.1 transcript:Manes.15G093900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQQLFHSLCLSFTIFSLIAQASVPPSATFKYVNEGEFGDYIVEYGANYRFLDPFAQPFQLCFYNTTPNAYTLALRMGTVRSESLMRWVWEANRGNPVGENATLTFGTDGNLVLANADGRIAWQTNTANKGVVGFELLSNGNMVLYDSKGTFIWQSFDYPTDTLLVGQSLKLGGATKLVSRVSEEKNANGPYSLVLEDKTMAMYYKGPNSPKPLLYFSFSELFTVSKAPLSQVTLGSGLSLELYGRNFTSAGTLILRRPKYNTTLSYLRLEIDGNLRIHTYEDNADWSAWQVTYTLFSRDSWETECQLPERCGNFGLCEDDQCVACPSPKGLQGWSKNCTPPKISSCGEEDFYYYKLEGVDHFTSKYTEGNGPIEQDACREKCTKDCKCLGYFYQTPSSRCWIAYDLKTLTKVGNSTHLAFIKAPNNKFLNALFSSE >Manes.13G119650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32619253:32623052:-1 gene:Manes.13G119650.v8.1 transcript:Manes.13G119650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNDQILQNSTSDSNQTSTDASTELTTSSKAKRKPVKPRSVVWDHFTRFVNSEGELKGKCNYCKKEFCCDPKKNGTTALRNHLNSCKKHPHFIETRQAQLSLQKTASDNDVNDLGTLTTWKYDENAIRKALVHMIIIDELPFRFVEGEGFISFMRAICPRFRIPSCWTISRDCYDLFIEERSKLRSFFKKNCQRVSLTTDTWTSLQRINYMCITAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTITVDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMAHIINLVVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKECVLHEKIESKSSLCLDVPTRWNSTYLMLNTAQKYERAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEISDLAFILNQWINSNDLDMKSMGERMRVKFDKYWGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGQEKGVELFNKVKSCLFDLFNEYKKMYQPDVEQINDNSSQQLSGSCTTTGSINPKPKFFLKHHYKKQKLEESGGFDSKTELEVYLSEAIQEEKEDFDVMKWWKINSERFPILGKMARDILAIPVSTVASESAFSTGGRVLDSFRSSLTPKIVEGLICVQDWIRPSNVQVNVEEDLEELEKLEEELPSIGITYGLGGSCSTPTS >Manes.01G162300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34702192:34704558:-1 gene:Manes.01G162300.v8.1 transcript:Manes.01G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTSLSTKIFARNDNKTPLPSTLTQLGRSDFAFSPSLSFKKLPFDQLVSFRFIAKSHVASDAAEVSTGLENAVAGFPKIQRSRTAHVKFQLQKECRFGDQFLLVGDDPIIGAWNPESAAPMNWSDGHIWSVELDVPIESTIQFKFILKQSNGDMIWQPGPDRIFKSWESEGTVVIAEDWEDPDAQKITEEQVMQQMEELMPNMNSGVMFSEDRVRVPMQVVSREAGSMMGDASFGVDAAME >Manes.12G012100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1229716:1230473:1 gene:Manes.12G012100.v8.1 transcript:Manes.12G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTEEVKRPQFPTGRVKRIVKLDKDINKVTSDALLMVSRSTELFLQFLAEKSAEVAMEKKRKIVKLDHIRIAVKRHQPTRDFLLDSLPVPTHLSGKPPVEKTRPTPAADKSLPPGTRRIDSFFAKSANEAPVQINEN >Manes.06G173132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29698977:29712658:1 gene:Manes.06G173132.v8.1 transcript:Manes.06G173132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRPVRQERDQSGCMWGLVSMFDFCHGRSTQTLLSDRRHGPRRAVAAVNAMNKSALLTNLDENHQGIIGGEESITVAVDDGKPSVKKLIEEEMFCDEDLKKQMDSAEPKQSNSEYGGNKRKNCKRTNRSRSKSCEIYIEDLDDSEKLEPEKPCLQNLEKQSTDILDVDDMVEEFCRQIHHISCVKHDEHDEHDEVHSQLNQKNPDLEEKLREAIKLFISQRLINAKHVSGDGEIHPSEELKDALRILCSNEELSLKLLHGQKSAMVKYLENLWRAHVEKDDVSKPLVRSNLSEHVIDDFKQSNEIIPGKRLNFFRRKAKSLEKNPSKEIMASQALDKIVILKPEPIGVEKTGTEKTLGSSPESQSIIRNKGTNGVGSCFFLTEIKRRLKQAIGKEQQEIAPYGASKRFANKYRARGDSDKKYRENNGRNSLGKDHFFNEKIARPPSAVRKEEKTDMLKECEIDLEQETAAYPKNRMANIYVEAKKHLSDMLTSGTGVQNFSSGQVPKSLGRILSFPEYNFSPTGSPGREWGQGLVTAHMRFSSNNEFQKHESNGGHRGRMTLNSETDLCVSNDPAYSQAVTSANPKTCQYLQ >Manes.17G084200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29100022:29110362:1 gene:Manes.17G084200.v8.1 transcript:Manes.17G084200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTVLGDHGGEGMSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKIEDGKSEYAEFLHAPKKRFTDFAAVRKEIADETDRITGKSKQISNIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVEDIENMVGSYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEREYFETSPEYGHLASKMGAEYLAKLLSKHLETVIRQRIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEASVDAVHFILKELVRKSIAETEELKRFPTLQSDIAAAANEALERFRDESRRTVLRLVDMESSYLTVEFFRKIHLEGDKSTNTNSNSNAPGPIADRFADYHFRKIGSNVNSYIGMVCDTLKNSIPKAVVYCQVREARRSLLNQFYAQVGRREKERLGAMLDEDPQLMEKRAAIAKRLELYKSARDEIDSVAWK >Manes.05G039600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3236767:3240872:-1 gene:Manes.05G039600.v8.1 transcript:Manes.05G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTSFLTSTTKKTNLHKLTLTTILCSVFYFIGFYQNFRGSIPPSSATIDSCALSIRNTTLDFLPHHSAPHTPVTVARVHHLHPCDLKFSEYTPCEDVKRSLKFDRDRMIYRERHCPEKKELLKCRVPAPFGYRLQFRWPVSREFVWYANVPHKELTVEKKNQNWVRFEGDRFRFPGGGTMFPRGADAYIDDIGKSINLTDGSIRTAIDTGCGVASFGAYLLSRNIVTMSFAPRDTHEGQVQFALERGVPALIGILASIRLPYPSRAFDMAHCSRCLIPWGQYDGEYLIEVDRVLRPGGYWVLSGPPVNWEKHWKGWGRSPKDLKAEESTIEKIAKSICWKKLVQKGDLSIWQKPTNHIHCIAKRKVYKQPLFCRSQDPDKAWYTKMENCLTPLPEVSDIIEIAGGQLAKWPERLTAIPPRISRGNLNGITAEIFTENTKIWKKRLAYYKEVHHQLGEHGRYRNLLDMNAYLGGFAAALVDDPVWVMNVVPVEADINTLGVIYERGLIGTYQNWCEAMSTYPRTYDLIHADSIFSLYQGRCEMEDILLEMDRILRPDGSVIIRDDVDILLKVKSIVDGMQWNATIADHERSAHEREKVLCAVKQYWTAPGP >Manes.14G110300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9281737:9285080:-1 gene:Manes.14G110300.v8.1 transcript:Manes.14G110300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKQKVAKHKRSGSKSDTDSDSDSNNGRKSRKRTHKKHRKHAHSDSGDNEKRREKKSKRKPKRRSSDSSEDSSDGFESDSEEERRRKKRNNRRHRHHNARSNSSASDSSNDDDVDEVIKRRSHAKHRRCHKQSQSSASDFSSDDDNGTMKRRRHSKHRKRHHRSESSDSDLWSDEDRTSHAKHHKRHRRSRSMDSDDHRDDNRCDRRSHSLGRSSDDDNEEIRKLSHRRSGSHHHNHNKHRGHHSSGGKIGASSDEEGNLPPHGLHEGYGNHTQKADKMVNEKTYTNVVGLK >Manes.14G110300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9281754:9285080:-1 gene:Manes.14G110300.v8.1 transcript:Manes.14G110300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKQKVAKHKRSGSKSDTDSDSDSNNGRKSRKRTHKKHRKHAHSDSGDNEKRREKKSKRKPKRRSSDSSEDSSDGFESDSEEERRRKKRNNRRHRHHNARSNSSASDSSNDDDVDEVIKRRSHAKHRRCHKQSQSSASDFSSDDDNGTMKRRRHSKHRKRHHRSESSDSDLWSDEDRTSHAKHHKRHRRSRSMDSDDHRDDNRCDRRSHSLGRSSDDDNEEIRKLSHRRSGSHHHNHNKHRGHHSSGGKIGASSDEEGNLPPHGLHEGYGNHTQKADKMVNEKTYTNVVGLK >Manes.14G110300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9281968:9284993:-1 gene:Manes.14G110300.v8.1 transcript:Manes.14G110300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKQKVAKHKRSGSKSDTDSDSDSNNGRKSRKRTHKKHRKHAHSDSGDNEKRREKKSKRKPKRRSSDSSEDSSDGFESDSEEERRRKKRNNRRHRHHNARSNSSASDSSNDDDVDEVIKRRSHAKHRRCHKQSQSSASDFSSDDDNGTMKRRRHSKHRKRHHRSESSDSDLWSDEDRTSHAKHHKRHRRSRSMDSDDHRDDNRCDRRSHSLGRSSDDDNEEIRKLSHRRSGSHHHNHNKHRGHHSSGGKIGASSDEEGNLPPHGLHEGYGNHTQKADKMVNEKTYTNVVGLK >Manes.14G110300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9281818:9285062:-1 gene:Manes.14G110300.v8.1 transcript:Manes.14G110300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKQKVAKHKRSGSKSDTDSDSDSNNGRKSRKRTHKKHRKHAHSDSGDNEKRREKKSKRKPKRRSSDSSEDSSDGFESDSEEERRRKKRNNRRHRHHNARSNSSASDSSNDDDVDEVIKRRSHAKHRRCHKQSQSSASDFSSDDDNGTMKRRRHSKHRKRHHRSESSDSDLWSDEDRTSHAKHHKRHRRSRSMDSDDHRDDNRCDRRSHSLGRSSDDDNEEIRKLSHRRSGSHHHNHNKHRGHHSSGGKIGASSDEEGNLPPHGLHEGYGNHTQKADKMVNEKTYTNVVGLK >Manes.14G110300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9280947:9284995:-1 gene:Manes.14G110300.v8.1 transcript:Manes.14G110300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKQKVAKHKRSGSKSDTDSDSDSNNGRKSRKRTHKKHRKHAHSDSGDNEKRREKKSKRKPKRRSSDSSEDSSDGFESDSEEERRRKKRNNRRHRHHNARSNSSASDSSNDDDVDEVIKRRSHAKHRRCHKQSQSSASDFSSDDDNGTMKRRRHSKHRKRHHRSESSDSDLWSDEDRTSHAKHHKRHRRSRSMDSDDHRDDNRCDRRSHSLGRSSDDDNEEIRKLSHRRSGSHHHNHNKHRGHHSSGGKIGASSDEEGNLPPHGLHEGYGNHTQKADKMVNEKTYTNVVGLK >Manes.14G110300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9281818:9285062:-1 gene:Manes.14G110300.v8.1 transcript:Manes.14G110300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKFIQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERKQKVAKHKRSGSKSDTDSDSDSNNGRKSRKRTHKKHRKHAHSDSGDNEKRREKKSKRKPKRRSSDSSEDSSDGFESDSEEERRRKKRNNRRHRHHNARSNSSASDSSNDDDVDEVIKRRSHAKHRRCHKQSQSSASDFSSDDDNGTMKRRRHSKHRKRHHRSESSDSDLWSDEDRTSHAKHHKRHRRSRSMDSDDHRDDNRCDRRSHSLGRSSDDDNEEIRKLSHRRSGSHHHNHNKHRGHHSSGGKIGASSDEEGNLPPHGLHEGYGNHTQKADKMVNEKTYTNVVGLK >Manes.18G095100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8789557:8791956:-1 gene:Manes.18G095100.v8.1 transcript:Manes.18G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRKTHHLYVWCLVSSVILNLFFMYKWYEDSQVSWTRRAAAEAEAAAAISCSGHGRAFLDGLILHRGQPPICECHSCYGGPDCSQFFPHCSVNANGGDPLFLEPFWVQHAASSAVVIAGWHRMSYLHADQTQISQVLESHIRKLHDTVGNAVTQGRYILFGVGSTQLLNAAVYALSPYNASSPARVVASIPFYPVYEAQTTLFRSMDFRFEGDASLWKNYSDTNTDIIEFVTSPNNPDGQLNEAVLQGRNVKAIYDRAYYWPHFTAIPAPADEEVMLFTLSKLTGHAGSRFGWAVLKDEATYQRMKSYLQLTVMGVSRETQLRALQLLKVVLQGGKDIFEFGHETMRKRWERLSKTMSMSKRFSVQKIPPQYCTFFHKVREASPAYAWVKCEREEDKDCYAVLQAGNITGRPGGLFFAGDRYVRLSLIRSQDDFDLLILKLNQLVHEEDDRQSM >Manes.06G045700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14478769:14489775:1 gene:Manes.06G045700.v8.1 transcript:Manes.06G045700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTMSTNSINGATDQESLTLPLLSSHRSLANSTSQVALVGANVCPIESLDYEIAENDFFKQDWRTRGKIQIFQYLFMKWSLCFLVGVIVSLVGFFNNIAVENIAGVKFVITSNMMLYNRYGMAFLVFLVSNFVLTLFASIITAFVAPAATGSGIPEVKAYLNGVDAPGILSLRTLVVKIIGSISAVSASLLIGKAGPMVHTGACVASFLGQGGSKKYRLTGKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVVAIVLRALIDLCLSGKCGLFGKGGLIMFDAYSASVTYHLIDVPPVLLLGVIGGILGSLYNFLLDNVLRIYNLINEKGIVYRIFLACTVSIFTSCLLFGLPFLASCQPCPADASEACPTIGRSGNYKKFQCQPGHYNDLASLIFNTNDDAIRNLFSRNTDTEFQYSSILIFFGTCFFLSIFSYGIVVPAGLFVPVIVTGASYGRFVGMLVGSKSTLNHGLYAVLGAASFLGGSMRTTVSLCVIILELTNNLLLLPLIMLVLLVSKTMADAFNCNIYDLIMRAKGFPYLETHAEPYMRQLTVGDVVTGPLHLFHGFEKVGNIVHVLQTTRHNGFPVIDEPPFSESPVLCGLILRAHLIELLKKKVFSSTSVPIHADAFNQFSATDFAKRGSGNGDNIEDIEITEEEMEMYLDLHPFTNASPYTVVETMSLAKARDLFRDVGLRHLLVIPKISSRSPVVGILTRHDFMPEHILGLHPLLVRSKWKRLRIRLPQILKIL >Manes.16G090300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29670503:29673646:1 gene:Manes.16G090300.v8.1 transcript:Manes.16G090300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKNQSFSVLAMARLSSCIGYFFLFVILRCFRASASSPSHKTAGAALFIFGDSTVDVGNNNYITTTPENRADVKPYGQNGFFEGPTGRFSDGRVIVDYIADYANLPLIPPFLQPSADYTYGANFASAGGGVLPDTNKGLVIDLPTQLKNFEEVEKALTEKLGEAQAKELISEAVYFISIGSNDYLGGYLGNPKMQETYIPEVYVGMVIGNLTNAIQALYEKGARKFAFLSLSPLGCLPVLRALNPKASESDCFEAGSSLALAHNNALRAILTSLQHILKDLKYCNSNFYNWLDDRIKNPSKFGFKDGVNACCGSGPYGGLLTCGGNKKATEFQLCNNADEHVWWDSFHPTERIHEQFAKALWNGPPFSVGPYNLETLFFNVEKLTIADIVDAPDEHHFQ >Manes.16G090300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29670084:29672440:1 gene:Manes.16G090300.v8.1 transcript:Manes.16G090300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKNQSFSVLAMARLSSCIGYFFLFVILRCFRASASSPSHKTAGAALFIFGDSTVDVGNNNYITTTPENRADVKPYGQNGFFEGPTGRFSDGRVIVDYIADYANLPLIPPFLQPSADYTYGANFASAGGGVLPDTNKGLVIDLPTQLKNFEEVEKALTEKLGEAQAKELISEAVYFISIGSNDYLGGYLGNPKMQETYIPEVYVGMVIGNLTNAIQALYEKGARKFAFLSLSPLGCLPVLRALNPKASESDCFEAGSSLALAHNNALRAILTSLQHILKDLKYCNSNFYNWLDDRIKNPSKFGFKDGVNACCGSGPYGGLLTCGGNKKATEFQLCNNADEHVWWDSFHPTERIHEQFAKALWNGPPFSVGPYNLETLFFNVEKLTIADIVDAPDEHHFQ >Manes.16G090300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29670248:29673646:1 gene:Manes.16G090300.v8.1 transcript:Manes.16G090300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKNQSFSVLAMARLSSCIGYFFLFVILRCFRASASSPSHKTAGAALFIFGDSTVDVGNNNYITTTPENRADVKPYGQNGFFEGPTGRFSDGRVIVDYIADYANLPLIPPFLQPSADYTYGANFASAGGGVLPDTNKGLVIDLPTQLKNFEEVEKALTEKLGEAQAKELISEAVYFISIGSNDYLGGYLGNPKMQETYIPEVYVGMVIGNLTNAIQALYEKGARKFAFLSLSPLGCLPVLRALNPKASESDCFEAGSSLALAHNNALRAILTSLQHILKDLKYCNSNFYNWLDDRIKNPSKFGFKDGVNACCGSGPYGGLLTCGGNKKATEFQLCNNADEHVWWDSFHPTERIHEQFAKALWNGPPFSVGPYNLETLFFNVEKLTIADIVDAPDEHHFQ >Manes.05G199200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32398648:32401174:1 gene:Manes.05G199200.v8.1 transcript:Manes.05G199200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFGNLNTDIHFDVLSRLPTKNLAELMLVSKGWHQLITDLSFIKVQSQRIQLLSGFFFQQRFQWCREDIETINYIPVKWEGGELYKKVFDFLPQDVVLLASCNGLFCCRSCFPFEQPTLFVCNPLNKEWIKLDWAEPGKEKNIALAFDPFHDFSDNSTNFKLVRVSQSENEQEELYFSFDIYSSKTKRWKLSKEICWCNSNLYKNKGVFIGGILHWLTDADQILTFNVDNELAWLISAPLPAVEFNSAPESCIGESQGSLHYIMVSEEGLHVWFLEDYFDFTWSLKHCKTLAEMEQEHSQFFYNLERRVKLRRTVDDSPWMDPLAFKDGVLLLRVSNTIYLYHIERRKMDQVCDISKFGTYSLFCPTVLPYSMSLVPLLSKA >Manes.02G025901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2223852:2225187:-1 gene:Manes.02G025901.v8.1 transcript:Manes.02G025901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLSFSCMVLTILFMIFSSLPCQAQLSSNFYDNTCPNALSTIRSVIDTAVSREQRMAASLIRLHFHDCFVQGCDGSILLEDTASFTGERTARNNDQSVRGFEVIDDAKAQVESICPGIVSCADILAVAARDSSVAVGGPCWTVNLGRRDSTTASRTLADRDLPAFTDSLPILISKFENKDLNERDLVALSGAHTIGQARCVTFRGRIYNNASDIDPDFASTRQSQCPTDSNGDGNLAPLDLETPNTFDNNYYSNLIARRGLLESDQVLFSGGSTDSIVNEYSTDSSSFNSDFAAAMVKMGNIDPLTGSQGEIRRVCTAVN >Manes.18G014400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1544838:1550821:1 gene:Manes.18G014400.v8.1 transcript:Manes.18G014400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSQHSISTPHSSQFHFQLLHSHSHFLNQHQSSPSFTPENPLFLSSYPRSAFAEVMLKQNNEKDNHEVQYSGQATFPPHSKLVADSTLDPHSSTGSKRNSGSKVPKDAKFETQRSNAESIHGLNPATGCRYDSSLGLLTKKFVQLIQDAKDGTLDLNRTADMLEVQKRRIYDITNVLEGIALIEKTSKNHIRWKGYGGCGPKELYNHVTKLKTEVESLRDEEIRLDESIREKQELLRALKEDENNKRHLFLMEEDITSLPCFQNGMLIAIKAPQASYLEVPDPDGDIGPPQYKMIARSNTGPIDLYLLRVESEDQQNDQNNVAELYSSAYSESSGIQKIIPSDYDIDDDYWFQSNPIVSISELWGTDNQ >Manes.10G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5400689:5401374:1 gene:Manes.10G049100.v8.1 transcript:Manes.10G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTEKTITLKVESNDTNDTVKAKIQDKKGIPQNQQKLIFAEKQLEDNCTLANYNIQKESTLHPVLRLRGGVQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPNQQRLIFAGKQLKDSQTLADHNIQKESTLHLALRLRGGMHLFVESSDTINNVKTKFQDKESIPPDQQRLIFAEKQLEDGMTLADYNIEKESTLHLVLRLHCEF >Manes.14G104300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8709298:8724249:-1 gene:Manes.14G104300.v8.1 transcript:Manes.14G104300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKEGSSGTPLELFLNIGLDERTARNTIANNKVTTNLTAVIHEAGISEGCNRTIGNLLYTVATKYPANALIHRPTLLEYIVSLKIKTPAQLEAAFSFLSKTASESFKLNEFEEACGVGVEVSVEDIERTVNEVFEQNKELILELRYRTNVGDLFAHVRKRLPWADPKIVKQLIDAKLFQLLGERTAADNEKPSKQKKEKPAKVEEKKVADENPVQPSEEDLNPFLIFPNPDENFKVHTEIFFSDNSVLRCCNTKEMLDKHLKATGGKVFTRFPPEPNGYLHIGHAKALFVSFGLAKERDGCCYLRYDDTNPEAEKKEYIDHIEEIVEWMGWKPFKITYTSDYFQDLYDLAVELIRRGHAYIDHQTPDEIKEYREKKMNSPWRDRPIAESLKLFDEMRRGMIEEGKATLRMKQDMQSDNYNMYDLIAYRIKFTPHPHSGDKWCIYPSYDYAHCIVDSLENVTHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNVTNTVMSKRKLNFLVTKKYVDGWDDPRLMTLAGLRRRGVTSTAINAFIRGIGITRSDGSLIRLDRLEYHIREELNKTASRAMVVLDPLKVVITNLESGSIVDLEAKKWPDAHTDDTDAFYKVPFSNVVYIEQSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTEVVLADDNESVIEIRAEYDPSKKTKPKGVLHWVAEPSPGVDPLKVEVRLFDKLFKSENPAELDDWLSDLNPQSKVVMSSAYAVPSLKNAATGERFQFERLGYFVVDKDSTPDKLVFNRTVTLRDSYGKAGK >Manes.14G104300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8709298:8724250:-1 gene:Manes.14G104300.v8.1 transcript:Manes.14G104300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKEGSSGTPLELFLNIGLDERTARNTIANNKVTTNLTAVIHEAGISEGCNRTIGNLLYTVATKYPANALIHRPTLLEYIVSLKIKTPAQLEAAFSFLSKTASESFKLNEFEEACGVGVEVSVEDIERTVNEVFEQNKELILELRYRTNVGDLFAHVRKRLPWADPKIVKQLIDAKLFQLLGERTAADNEKPSKQKKEKPAKVEEKKVADENPVQPSEEDLNPFLIFPNPDENFKVHTEIFFSDNSVLRCCNTKEMLDKHLKATGGKVFTRFPPEPNGYLHIGHAKALFVSFGLAKERDGCCYLRYDDTNPEAEKKEYIDHIEEIVEWMGWKPFKITYTSDYFQDLYDLAVELIRRGHAYIDHQTPDEIKEYREKKMNSPWRDRPIAESLKLFDEMRRGMIEEGKATLRMKQDMQSDNYNMYDLIAYRIKFTPHPHSGDKWCIYPSYDYAHCIVDSLENVTHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNVTNTVMSKRKLNFLVTKKYVDGWDDPRLMTLAGLRRRGVTSTAINAFIRGIGITRSDGSLIRLDRLEYHIREELNKTASRAMVVLDPLKVVITNLESGSIVDLEAKKWPDAHTDDTDAFYKVPFSNVVYIEQSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTEVVLADDNESVIEIRAEYDPSKKTKPKGVLHWVAEPSPGVDPLKVEVRLFDKLFKSENPAELDDWLSDLNPQSKVVMSSAYAVPSLKNAATGERFQFERLGYFVVDKDSTPDKLVFNRTVTLRDSYGKAGK >Manes.14G104300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8709298:8724249:-1 gene:Manes.14G104300.v8.1 transcript:Manes.14G104300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKEGSSGTPLELFLNIGLDERTARNTIANNKVTTNLTAVIHEAGISEGCNRTIGNLLYTVATKYPANALIHRPTLLEYIVSLKIKTPAQLEAAFSFLSKTASESFKLNEFEEACGVGVEVSVEDIERTVNEVFEQNKELILELRYRTNVGDLFAHVRKRLPWADPKIVKQLIDAKLFQLLGERTAADNEKPSKQKKEKPAKVEEKKVADENPVQPSEEDLNPFLIFPNPDENFKVHTEIFFSDNSVLRCCNTKEMLDKHLKATGGKVFTRFPPEPNGYLHIGHAKALFVSFGLAKERDGCCYLRYDDTNPEAEKKEYIDHIEEIVEWMGWKPFKITYTSDYFQDLYDLAVELIRRGHAYIDHQTPDEIKEYREKKMNSPWRDRPIAESLKLFDEMRRGMIEEGKATLRMKQDMQSDNYNMYDLIAYRIKFTPHPHSGDKWCIYPSYDYAHCIVDSLENVTHSLCTLEFETRRASYYWLLHALGIYQPYVWEYSRLNVTNTVMSKRKLNFLVTKKYVDGWDDPRLMTLAGLRRRGVTSTAINAFIRGIGITRSDGSLIRLDRLEYHIREELNKTASRAMVVLDPLKVVITNLESGSIVDLEAKKWPDAHTDDTDAFYKVPFSNVVYIEQSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTEVVLADDNESVIEIRAEYDPSKKTKPKGVLHWVAEPSPGVDPLKVEVRLFDKLFKSENPAELDDWLSDLNPQSKVVMSSAYAVPSLKNAATGERFQFERLGYFVVDKDSTPDKLVFNRTVTLRDSYGKAGK >Manes.04G117800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31980305:31981462:1 gene:Manes.04G117800.v8.1 transcript:Manes.04G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDYDLFMEILSFLPVQTLLRFRCLSKACCSCIDNPEFINLHLKHTIKTDLNRSLVIHGLESKGSFYAIDLDSSRHIPLKLYISESNQRHRSDLQFHGNVFGSCNGLLAMYNGESILLWNPATRKHKTLPKFWGHCYGDYEMLHGFGYDALNDDYKLIVMIEHYMENNVRVMVYSLKGKLLTSVKDLLGYTIFGSYHDTEPLGVFVGGSLHWVVQRKGNIRDGVILAFDLGDEKFFELPRPACMEDEYFFFHVQEIGGSLALYKSWAVWVDEVWIMKEYGVMESWSFIKKFEYLASKYHWFEYSEYHRPTPHDVRALCCLRKNTGDEVLLLLNRTGKYICFYDFERGAIRKVVIFGSSHGYYCTISANICVRSLVPVEFISGIA >Manes.06G146200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27255233:27255457:-1 gene:Manes.06G146200.v8.1 transcript:Manes.06G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYSPSVLLLVFTIILGAFRLCTCRHISYQESDQRTQHLSAIPYSLQSRYDLSSTVYGVSHKLVPGGPNPLHN >Manes.06G148902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27630450:27636154:-1 gene:Manes.06G148902.v8.1 transcript:Manes.06G148902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTILAILLNCGSFCICAPPQRLLVKMTMVTNAPALGAFCLDGSLPAYHLHRGFGAGASNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNDTKLNPDFYNWNRVKLRYCDGASFGGDAMFKDGSSVLYFRGQKIWEAIIQDLLPKGLGQARQALLSGCSAGGLSSFLHCDDLAKVLPNAGVKCLSDAGFFLDEKDVSLKYAMRSFYAKLVALQGVEKNLNKKCTNSFSKNPELCIFPQHALKFITPPFFILNAAYDVFQINHILVPPSADMRGLWKRCKNNTAQCNEKQIDTLQGFRQNMLAALGSFSKNFNQWGMYINSCFAHCQSESQDTWLAVDSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDNTCHNLITTAPVP >Manes.01G164700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34834015:34847884:1 gene:Manes.01G164700.v8.1 transcript:Manes.01G164700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLSMVIMVSMVPPFFLTMLALEPPDLIQRIGIATALEVRASGIHYTFAPCVAVCKDPRWGRCYESYSEDTEIVRKMTSTIAGLQGKPPEGHPNGYPFVAGRNNVIACAKHFVGDGGTYKGINEGNTVMSYEDLERVHMAPYLDCISQGVSTIMASYSSWNGHQLHAHRFLLTEVLKDKLGFQGFVISDWEGLNRLSNPHGSNYSNCISSAVNAGIDMVMVGLKHEQFVDDLMFLVESGEIPMARIDDAVERILRVKFVAGLFEYPFADRSLIDLVGCKMHRELAREAVRKSLVLLKNGKDPRKPFLPLDKNAKKILVVGTHADDLGYQCGGWTITWYGGSGKITIGTTILDAIKNAVGGETEVIHEKYPSPDTLARQDLSYAIVAVGEDPYAEFTGDNSELRIPFNGADIITSVADRIPTLAILISGRPLVLEACLLEKIDAFVAAWLPGTEGAGVIDVIYGDYGFKGKLPVTWFKKVEQLPMNNGDNSYDPLFPLGFGLTYNEEKSLD >Manes.01G164700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34834238:34849273:1 gene:Manes.01G164700.v8.1 transcript:Manes.01G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCVYKDPHAPVEARVKDLLSRMTLKEKIAQMTQIERSFASPYYLRDFAIGSILSVGGSAPFKNALSSDWADMVDGFQKLALDSRLGIPIIYGIDAVHGNNGVYGTTIFPHNVSLGATRDADLIQRIGIATALEVRASGIHYTFAPCVAVCKDPRWGRCYESYSEDTEIVRKMTSTIAGLQGKPPEGHPNGYPFVAGRNNVIACAKHFVGDGGTYKGINEGNTVMSYEDLERVHMAPYLDCISQGVSTIMASYSSWNGHQLHAHRFLLTEVLKDKLGFQGFVISDWEGLNRLSNPHGSNYSNCISSAVNAGIDMVMVGLKHEQFVDDLMFLVESGEIPMARIDDAVERILRVKFVAGLFEYPFADRSLIDLVGCKMHRELAREAVRKSLVLLKNGKDPRKPFLPLDKNAKKILVVGTHADDLGYQCGGWTITWYGGSGKITIGTTILDAIKNAVGGETEVIHEKYPSPDTLARQDLSYAIVAVGEDPYAEFTGDNSELRIPFNGADIITSVADRIPTLAILISGRPLVLEACLLEKIDAFVAAWLPGTEGAGVIDVIYGDYGFKGKLPVTWFKKVEQLPMNNGDNSYDPLFPLGFGLTYNEEKSLD >Manes.09G167100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35971857:35976070:1 gene:Manes.09G167100.v8.1 transcript:Manes.09G167100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPSCFSSYPCSLSLINTTSTTRFALPFSNPIFNGSNGILQSRSSSSSRICAKFEKFQGESPLQDNLEETTQLQAQPQEAKEEDDSCLPSDLEGAVWQSSQASALFVSSGGMRAIVELLIPQLQFLDDEGAQAELWELSRIFLDKLIEETGCQKIKAIFPDAGAAALLKYRWKDAGFGFASLSDRKPVESEDEIVVMVVPDYQMLRYVENIASNLSDDPPRPLIMWNPRLISEDVGVGINVRNLRRYFLSTFTTVYSMRPFPSGAVFRCYPGMWKVFYDDKDRPNRYLLAKEFIRRPDAEELEIIFGNVEEKSEKGPSIFNKAASIFSSLNRFMKAISK >Manes.15G160400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13336549:13338749:1 gene:Manes.15G160400.v8.1 transcript:Manes.15G160400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKRLTFSSKRYLCTGCASLSYPPKPALPQLSLLADKCTSMTQLKQIHAQMVVTSRISDHFAASRLLSFCALSDSGDINYAIKLFEITKDPNIFMWNTLIRALASSPNPNRAVFLYTKMRRQGVCPNNHTFPFLLKGCCNSCSLESCKQVHTHVLKFGLELDLHVVNGLVRAYSVSSHLSSARRLFDEFSERNLSIWTTMITGYAQNFCAIEALVLFDRMLADGFEPKGPTIASVLSACARSGCLELGERIHAFMLERGIETGLVLGTALVHMYAKNGAISTAKKLFDSMPERNLATWNAMLCGLASNGNAEEVLALFRKLEKEKLLPNDVTFVGVLSACCRAGLIDVGQKIFNSMKEVYGIEPKIEHYGCMVDLLGRGGKLVEAEELIKRMAMKADVVILGALLAACKNQGNTEIAERVVKDILALEPHNHMVYVVLSNMYAEAGRWQDVWRLRKVMKVGNLKKTPGWSLVDGDT >Manes.16G135200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33597840:33603630:-1 gene:Manes.16G135200.v8.1 transcript:Manes.16G135200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHTFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCAQDRAINYLLTHVDRVSEWGESLQMVVLELIRKVCRTNRGEKGKHIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRSAAGTYCQLLLSQSDNNVKLIVLDQLNELKSSHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVVTLKKEVIKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAHDASKKPPQANSITVSSRRPAVLADGTYATQSAASETAFSPPTIVQGTLASGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKIEVNKTSSQALLIMVSMLQLGQSSVLPHPIDNDSYDRILLCIRLLCDTGDDIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTSIQNEKEFLDHIIKSTNMRCLTALSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Manes.16G135200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33597840:33603630:-1 gene:Manes.16G135200.v8.1 transcript:Manes.16G135200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHTFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCAQDRAINYLLTHVDRVSEWGESLQMVVLELIRKVCRTNRGEKGKHIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRSAAGTYCQLLLSQSDNNVKLIVLDQLNELKSSHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVVTLKKEVIKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAHDASKKPPQANSITVSSRRPAVLADGTYATQSAASETAFSPPTIVQGTLASGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKIEVNKTSSQALLIMVSMLQLGQSSVLPHPIDNDSYDRILLCIRLLCDTGDDIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTSIQNEKEFLDHIIKSTNMRCLTALSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Manes.16G135200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33597840:33603630:-1 gene:Manes.16G135200.v8.1 transcript:Manes.16G135200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHTFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCAQDRAINYLLTHVDRVSEWGESLQMVVLELIRKVCRTNRGEKGKHIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRSAAGTYCQLLLSQSDNNVKLIVLDQLNELKSSHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVVTLKKEVIKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAHDASKKPPQANSITVSSRRPAVLADGTYATQSAASETAFSPPTIVQGTLASGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKIEVNKTSSQALLIMVSMLQLGQSSVLPHPIDNDSYDRILLCIRLLCDTGDDIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTSIQNEKEFLDHIIKSTNMRCLTALSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Manes.16G135200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33597840:33603630:-1 gene:Manes.16G135200.v8.1 transcript:Manes.16G135200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCTLLVHFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTIQKLLLLYLEIIDKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHTFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCAQDRAINYLLTHVDRVSEWGESLQMVVLELIRKVCRTNRGEKGKHIKIIISLLNAPSTAVIYECAGTLVSLSSAPTAIRSAAGTYCQLLLSQSDNNVKLIVLDQLNELKSSHRDIMVDLIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVVTLKKEVIKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAHDASKKPPQANSITVSSRRPAVLADGTYATQSAASETAFSPPTIVQGTLASGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKIEVNKTSSQALLIMVSMLQLGQSSVLPHPIDNDSYDRILLCIRLLCDTGDDIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTSIQNEKEFLDHIIKSTNMRCLTALSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Manes.16G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30891783:30897407:-1 gene:Manes.16G104100.v8.1 transcript:Manes.16G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNNSNNNQGSMGFTDAQQQGTKNRFHDEDEKLTTQSSNIVDSTVAGDKAIAMEDDSMCEADVSFVDDDDDDNKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNKFLFKNKIILDVGAGTGILSLFCAKAGAAHVYAVECSDMADMAKEIVESNGFSEVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLYENMLNTVLYARDKWLVNDGILLPDKASLYLTAIEDADYKEDKIEFWNNVYGFNMSCIKKQAMMEPLVDTVDQNQIVTNCQLLKTMDISKMVSGDASFTVPFKLVAERDDYIHALVAYFDVSFTNCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGEALTGHMTVAPNKKNPRDIDIMIKYALNGRRCVVSRVQYYKMR >Manes.16G104100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30891782:30897407:-1 gene:Manes.16G104100.v8.1 transcript:Manes.16G104100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLGTKNWLLIAIPFTIFKLQEMLKDVVRTKTYQNVIYQNKFLFKNKIILDVGAGTGILSLFCAKAGAAHVYAVECSDMADMAKEIVESNGFSEVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLYENMLNTVLYARDKWLVNDGILLPDKASLYLTAIEDADYKEDKIEFWNNVYGFNMSCIKKQAMMEPLVDTVDQNQIVTNCQLLKTMDISKMVSGDASFTVPFKLVAERDDYIHALVAYFDVSFTNCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGEALTGHMTVAPNKKNPRDIDIMIKYALNGRRCVVSRVQYYKMR >Manes.16G104100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30891783:30896027:-1 gene:Manes.16G104100.v8.1 transcript:Manes.16G104100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDVVRTKTYQNVIYQNKFLFKNKIILDVGAGTGILSLFCAKAGAAHVYAVECSDMADMAKEIVESNGFSEVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLYENMLNTVLYARDKWLVNDGILLPDKASLYLTAIEDADYKEDKIEFWNNVYGFNMSCIKKQAMMEPLVDTVDQNQIVTNCQLLKTMDISKMVSGDASFTVPFKLVAERDDYIHALVAYFDVSFTNCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGEALTGHMTVAPNKKNPRDIDIMIKYALNGRRCVVSRVQYYKMR >Manes.08G059000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6871749:6878889:1 gene:Manes.08G059000.v8.1 transcript:Manes.08G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYAMKGQKRKKREERYDKEEDEVEEQSLERAKKPLVAETKTETEMPTKTSGKEEEKDDEEEELEEQAHVMVGIPITPSDSKTKKPGVTFVLERASLEVAKVGKSYQILNSDDHANFLRKNNKNPADYRPDIVYQALLSILDSPVNKAGRLRAVYVKTDKGVLFEVKPHVRIPRTYKRFSGIMLQLLQKLSISAVGKREKLLRVIKNPVTQYLPVNSRKIGFSYSSEKLVKMNKYVAAVSDDVDLVFVVGAMAHGKIDCDYIDDFIAISGYPLSAAWCISRICEALADKWDVL >Manes.08G119500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35847635:35849810:-1 gene:Manes.08G119500.v8.1 transcript:Manes.08G119500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYYFHACKNASLHGIYMTQSSGERKENIQEAARPPAEQFAPLASTFRRRLLVGTGSASLVAVGANFGGITSSLLGLSPESGRYLKLDVLYPIEGYSRCIQTNEGFANWVGDQTLLYRAAEKKEFERSLDPPPLNNMRRKNVNEPVVAFGPPGSSGELNVSVIVSPVPLDFSIEAFGGPEKVGEAVVKTITSSSRQPDVKGTLIGSSLRKDSARNINYYELEFRVESPSFQRHNVAVCCARSGRLYTLNAQAPESAWPDVAADFHRIAGSFSLLS >Manes.08G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35847635:35849810:-1 gene:Manes.08G119500.v8.1 transcript:Manes.08G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYYFHACKNASLHGIYMTQSSGERKENIQEAARPPAEQFAPLASTFRRRLLVGTGSASLVAVGANFGGITSSLLGLSPESGRYLKLDVLYPIEGYSRCIQTNEGFEFIYPANWVGDQTLLYRAAEKKEFERSLDPPPLNNMRRKNVNEPVVAFGPPGSSGELNVSVIVSPVPLDFSIEAFGGPEKVGEAVVKTITSSSRQPDVKGTLIGSSLRKDSARNINYYELEFRVESPSFQRHNVAVCCARSGRLYTLNAQAPESAWPDVAADFHRIAGSFSLLS >Manes.13G045000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5308808:5318494:1 gene:Manes.13G045000.v8.1 transcript:Manes.13G045000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYASSTSCVKLHMRTSCLREKSYLIRNSYFRTPQRKYSHGSCRVLQNPPVNNTCSIGLSNFKANPGNRETSLRRSCLGALEDPDGAAASDLVSISDQLLLMASIALTHIAGVIPIVRPNLTSGRNITDHSSVLDSTMSSVKEKLLVSLHAIEHNINLGNRLLEIDEQRAKQPLSLYAISEGPKLRLLWASFKQLEDEVNNVFGDSEDFNLDDWLTIFPEIIKKSCNCMYIAWLVEELRLRNKKLDEELLSLMIQKLKGDETVLQTIRKSSKEDLYAELLYFLRFGSLRKSCCYDQSFFTLHGDVILEDLVITLADGIASVYLELISVDGNLSNEMNNLGMDMCDMSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCILQSTVIEEPRQNQTKNSSWWKNLTERNLTERKYGTVPSSFNYIFIGQLSMPVKRTNELRALTGWRYYFSLCLEFLDISMPLIRAIIDKVSNAVSFFLVSLIGRSLGLIYSGIRQSLRWK >Manes.13G045000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5308808:5318494:1 gene:Manes.13G045000.v8.1 transcript:Manes.13G045000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYASSTSCVKLHMRTSCLREKSYLIRNSYFRTPQRKYSHGSCRVLQNPPVNNTCSIGLSNFKANPGNRETSLRRSCLGALEDPDGAAASDLVSISDQLLLMASIALTHIAGVIPIVRPNLTSGRNITDHSSVLDSTMSSGSAKKEVIVNINNAWDAVKEKLLVSLHAIEHNINLGNRLLEIDEQRAKQPLSLYAISEGPKLRLLWASFKQLEDEVNNVFGDSEDFNLDDWLTIFPEIIKKSCNCMYIAWLVEELRLRNKKLDEELLSLMIQKLKGDETVLQTIRKSSKEDLYAELLYFLRFGSLRKSCCYDQSFFTLHGDVILEDLVITLADGIASVYLELISVDGNLSNEMNNLGMDMCDMSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCILQSTVIEEPRQNQTKNSSWWKNLTERNLTERKYGTVPSSFNYIFIGQLSMPVKRTNELRALTGWRYYFSLCLEFLDISMPLIRAIIDKVSNAVSFFLVSLIGRSLGLIYSGIRQSLRWK >Manes.13G045000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5308808:5317753:1 gene:Manes.13G045000.v8.1 transcript:Manes.13G045000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYASSTSCVKLHMRTSCLREKSYLIRNSYFRTPQRKYSHGSCRVLQNPPVNNTCSIGLSNFKANPGNRETSLRRSCLGALEDPDGAAASDLVSISDQLLLMASIALTHIAGVIPIVRPNLTSGRNITDHSSVLDSTMSSGSAKKEVIVNINNAWDAVKEKLLVSLHAIEHNINLGNRLLEIDEQRAKQPLSLYAISEGPKLRLLWASFKQLEDEVNNVFGDSEDFNLDDWLTIFPEIIKKSCNCMYIAWLVEELRLRNKKLDEELLSLMIQKLKGDETVLQTIRKSSKEDLYAELLYFLRFGSLRKSCCYDQSFFTLHGDVILEDLVITLADGIASVYLELISVDGNLSNEMNNLGMDMCDMSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCILQSTVIEEPRQNQTKNSSWWKNLTERNLTERKYGTVPSSFNYIFIGQLSMPVKRTNELRALTGWRYYFSLCLEFLDISMPLIRAIIDKVSNAVSFFLVSLIGRSLGLIYSGIRQSLRWK >Manes.13G045000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5309035:5317753:1 gene:Manes.13G045000.v8.1 transcript:Manes.13G045000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSAKKEVIVNINNAWDAVKEKLLVSLHAIEHNINLGNRLLEIDEQRAKQPLSLYAISEGPKLRLLWASFKQLEDEVNNVFGDSEDFNLDDWLTIFPEIIKKSCNCMYIAWLVEELRLRNKKLDEELLSLMIQKLKGDETVLQTIRKSSKEDLYAELLYFLRFGSLRKSCCYDQSFFTLHGDVILEDLVITLADGIASVYLELISVDGNLSNEMNNLGMDMCDMSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCILQSTVIEEPRQNQTKNSSWWKNLTERNLTERKYGTVPSSFNYIFIGQLSMPVKRTNELRALTGWRYYFSLCLEFLDISMPLIRAIIDKVSNAVSFFLVSLIGRSLGLIYSGIRQSLRWK >Manes.13G045000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5308808:5317753:1 gene:Manes.13G045000.v8.1 transcript:Manes.13G045000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYASSTSCVKLHMRTSCLREKSYLIRNSYFRTPQRKYSHGSCRVLQNPPVNNTCSIGLSNFKANPGNRETSLRRSCLGALEDPDGAAASDLVSISDQLLLMASIALTHIAGVIPIVRPNLTSGRNITDHSSVLDSTMSSGSAKKEVIVNINNAWDAVKEKLLVSLHAIEHNINLGNRLLEIDEQRAKQPLSLYAISEGPKLRLLWASFKQLEDEVNNVFGDSEDFNLDDWLTIFPEIIKKSCNCMYIAWLVEELRLRNKKLDEELLSLMIQKLKGDETVLQTIRKSSKEDLYAELLYFLRFGSLSRKSCCYDQSFFTLHGDVILEDLVITLADGIASVYLELISVDGNLSNEMNNLGMDMCDMSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCILQSTVIEEPRQNQTKNSSWWKNLTERNLTERKYGTVPSSFNYIFIGQLSMPVKRTNELRALTGWRYYFSLCLEFLDISMPLIRAIIDKVSNAVSFFLVSLIGRSLGLIYSGIRQSLRWK >Manes.16G019191.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2091801:2094276:-1 gene:Manes.16G019191.v8.1 transcript:Manes.16G019191.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLENPLTSLKEHQSDTIPDLFTSESDHMPSRNFFKCLKTSDFYSSFRQESISLIFQAQYSCNYEPILAYLAINYMDRFISRQEIPEGKPWVQRLLVISCLSLAAKMKNTHFSLSNLQMEESFNFDMQTINRMELLILDALDWRMRSITPFSFVHFFISSFELKDPPLTQALKDRATQIIFQAHNETKLLEFKPSIIAASALLVSSHELFPLQFPSFRRSISSCEYVNRDQLLKCSNELQKMVEMEWHESIMDTVSSSRTPLSVLDRHCIKSESETTNISATALLQKKEIKRRAKRLAVAASDNMVQLNFTDSSRADNSVSRGSLQLVNFSSAITEPTEG >Manes.12G054900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5401432:5406181:-1 gene:Manes.12G054900.v8.1 transcript:Manes.12G054900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEPRPWSAHALAFPYPAQGHINPILQFCKRLVSKGVKATLVTTRFLSKSIHVDPSSNIDLETISDGYDEGGQAQAESSEDYLATFKAVGSETLENLINKLNDSDHPVNALIYDGSFPWALDVAKQLGVLKVVFFTQPCSVCNVYYHVQRGLLPMPLSGPVVSIPGLPLLKVSETPSMIYDPSSYPAFYDLLLDQFINIDEADWVLDNSFHKMEEEVVDWMEKRWRLRTIGPTVPSMYLDKRIEGDRNYGINLFKPNSSACISWLQSKPIASVVYVSFGSVVEPRVEQMREVAWGLKRSNCYFLWVVREAELSKLPENFAEETGEKGLVVTWCSQLEVLAHEAIGCFLTHCGFNSVLEALSLGVPMVAVPQWSDQPTNAKYVEDVWKTGIRAKPDEEGIVRREVVELCLREVMEGEKGKEIRDNARKWKKLSKEAIDEGGTSDKNIDEFVAALIGQTIS >Manes.18G143101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:33397401:33397760:1 gene:Manes.18G143101.v8.1 transcript:Manes.18G143101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTLTEEAAWKFTKENGIDMVTINPGLVIGPLLQPTLNTSSESVLKLINGNFSSIFLSLLEMFYKFILPGFSKVSSLYNLYVWLIFNQMQIPVRISRPTMLLAQNPQWLNPNDLIEA >Manes.14G123200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9734164:9738535:1 gene:Manes.14G123200.v8.1 transcript:Manes.14G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAAVSQVSFAVPIGSDSTLRRSVLKPHSIRFSDKSWAPSLAVDLKPRNAQLQSRYIVCMSVQQARRSKVAVLPSDLEDAKEPPLNTYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASSRYGDNFDGKTATLCVRRALYYDPETGKEDPSKSGICSNFLCNSKPGDKVQITGPSGKIMLLPEDNPSATHIMIATGTGVAPFRGYLRRMFMENVSFKFSGLAWLFLGVANTDSLLYDDEFTKYLQDYPDQFLYDKALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEQKLSQLKKNKQWHVEVY >Manes.12G009100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:947065:948161:1 gene:Manes.12G009100.v8.1 transcript:Manes.12G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQKGRGGLFRGKLAKAKTFLRGNSKPYTPLPGQYSSQSDSGDFPSRPSHATPPSTRRVSSGQPSSLPLQYSSKVSPSPYTPCYSSLDFLSTQTVQKRSTAQQRSFHDYGGDENVDVKTASYISYVREGFKVEKVDSEAW >Manes.07G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33683072:33686395:-1 gene:Manes.07G135600.v8.1 transcript:Manes.07G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNTYFSSFCKYPFRSFADWCCISNKKKEKWKIQMELQVPFFIYTQFFLLFHLLVFVVQPVSCQDWDGVAVTQANFQALQAFKAELVDPKGFLKSWNDSGYGACSGGWTGIKCAQGEVIVIQLPWKGLGGKITDKIGQLQALRKLSLHDNLIGGSIPKTLGILPNLRGVQLFNNRLSGSIPPSLGSCFLLQTLDLSNNSLTGTIPESLANATKLFRLNLSYNSLSGSIPLSITRSSSIIFLDLQFNDLSGSIPAFLGKLSELQDVSLSHNRITGAIPVEIGGLSRLRTLDISNNAINGSLPTSFSNLSSLVLLNLENNNLDNQIPESFGRLRNLSVLNLKRNQFIGKIPTTLGNVSTITQLDLSENKLSGEIPDSLANLQRLVSFNVSYNNLSGSVPTTLLQKFNSSSFAGNVQLCGFSGAAPCPSQAPSQSVPSPPPEMPKKRHRKLSAKDIILIAAGALLILLLILCGILLCCLIRKRSASSKAKDGQATVRAAAAGGGKGASPVVGEVESGGEAGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKTTKGQREFESEVNVLGKIRHPNLLALRAYYLGPKGEKLLVFDYMSKGSLATFLHARGPDRTIDWPTRMRIAQGTTRGLSCLHNNENIIHGNLTSSNVLLDENAIAKIADYGLSRLMTAAANTHVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMHGVDLPQWVASIVKEEWTNEVFDLELMKDASIIGDELLNTLKLALHCVDPSPSARPEVQQVLQQLEEIRPDTAAATSSEHSGDVAGVPLNE >Manes.07G022400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2472140:2473792:1 gene:Manes.07G022400.v8.1 transcript:Manes.07G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITDMQSNKQNNTTQEPHQSHHQRHHHHHHHQVSFDGRSSGGGPSPGPFMGSISIQAGLSHAAHHVHQHHGLASSAPTTTGSAPSTSSSSLSPPSSTSTSTSSAAAPPQLVDASLAIATRSGPVLDSTKKNQQQNLSVVTTTSTATTTALTATPAKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLRQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHSFHGALALAHHPHYEEGFSHTTLLGFHHQQQQHLMTADQIAEALPGGSAGGGGGGSGGGAGEDSSENYMRKRFREDLFKDDSQQQQGESGSGGGGGGTSGGGGAGTGGGSPGKGFKSGLQLPKPQQHDTGSSGLLRPSNILPPTAMWAMAPAPSSGSTIWMVPVTAGGAGGGPAITTTTTGAGPSEPQPQMWPFSTATPASGNSLQAPLHFVPRFNLPSNLEFQGGRGNALQLGSMLMQQQPSQHIGLSMADSNLGMLAALNAYSRGGLNMNSQQNNPLNQHHQHQQPQDTNSGDEDPNSSQ >Manes.04G107400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31208595:31219827:1 gene:Manes.04G107400.v8.1 transcript:Manes.04G107400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNWRPTAQGGEPAIDTGDWRAQLPPDSRQRIVNKIMETLKRHLPFSGQEGLDELKKIAERFEEKIYTAATSQSDYLRKISLKMLTMESKSQKPQDHNQGQSLPLPLPVNQTRQQLLPQNIQNNITSIGVQSSPSLTSVLPQVSGLTQTSIPSVVGQTPNMQNISGVPQNTAGNSTGQGVPSNMFANSQRQMAGRQQVVSQQQQQQQQSQNPQQYLYQQQLQQQLMKQKIQQANRPHSLVQSHIQQQQQQQQNLLQPTQLQSSQQSSMQTSSVMQPTMMQSVISGLQQSQPPPVQQSTQSMLQQHPHSVLRQQQQLQQPASIHQQQTQMMQQQLLPPQHQQQQLMGQQPNGTNMQQNQLIGQPNNVGDIQQQQQQQQRLLSQQNNLQSLPQQQQHQQQQLMAQQNNLPSVHQQQLGSQSNVPGLQQQQQQQLLGTQNGNSSMQTNQLSMHMMQQPKVTMQQQTSTNMLTTQGQQSQTQVPQQQLMSQIQSQPTQLQQQMGLPQQSNPLQLDLQQRLQGSSQGPGSLLQQQNVVDQQKQLYQSQRPLQEASSTSVDSTAQTGHANGGDWQEEVYQKIKVMKELYLPELNEMYQKIAAKLQQHDSLPQQPKSEQLEKLKIFKAMLERILSFLQVSKNNILPGFKEKLGSYEKQITNFINTNRPRKPMPSLQQGQLPQSHIQQPQSQVPQIQSHENQMNPQMQSVNLQGSVPTMQQNNMSSLQHNSLPSLSGFSSSQQNTMNSLQPASNLDSGQGNALSSLQQPVVGSLQQNSGSAPQQASINNLSSQSGLNMLQPNISLQSNPNMLQHQHLKQQQDQQILQSQQLKHQLQQRQMQQQLMQKQHLLQQQQQQLHQQAKQQMPAQMQGQQMPAQMQGQQIQQAHQMNDVNEIKIRQGMGVKPGFQQHLPAGQRTAYSHQQMKSGSSFTMSSTQLLQAASPQLSQHSSPQVDQQNLQTSLTRTGTPLQSANSPFVIPSPSTPLAPSPMPGDSEKQITGISSLSNAGNVGQQQTTGAQATAPSLAFGTPGMSASPLLAEFTGSDGAHGNALTTTSGKSSVTERPLERLIKAVKSLSPNALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTNCRLQAINFITQDGMTGTRKMKRYTSAMPLNVVSSASSISDSFKQLNGPETSDLESTATSSVKRPRIEANHALLEEIREINQRLIDTVVDISEEDVGPTAAAPSAEGSEGTIVKCSFSAVALSPNLKSQYASAQMSPIQPLRLLVPTNYPNCSPILLDKLPVEISKEYEDLSVKAKSRFSISLRSLSQPMSLGEIARTWDVCARAVISDHAQQSGGGSFSSKYGTWENCFSAA >Manes.16G126700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32786446:32791342:-1 gene:Manes.16G126700.v8.1 transcript:Manes.16G126700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLSLASASPSASLSIHDTLKGKVRLGSGNVTSWSPKEKSRPFMNTKSSGRISMAVAVNVSRFEGITMAPPDPILGVSEAFKADTDEKKLNLGVGAYRTEELQPYVLNVVKKAENLMLERGEYKEYLPIEGLAAFNKVTAELLFGADNPVIKQQKIATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDADAASVRLFAARGMELLVAQSYSKNLGLYAERIGAINVICSSADAAARVKSQLKKLARPMYSNPPVHGARIVANVVGDPSLFNEWKAEMEMMAGRIKGVRQKLFDSLSAKDKSGKDWSFILKQIGMFSFTGLNKAQSENMTNKWHVYMTKDGRISLAGLNLAKCEYLADAIVDSYHNVS >Manes.16G126700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32786446:32791142:-1 gene:Manes.16G126700.v8.1 transcript:Manes.16G126700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLSLASASPSASLSIHDTLKGKVRLGSGNVTSWSPKEKSRPFMNTKSSGRISMAVAVNVSRFEGITMAPPDPILGVSEAFKADTDEKKLNLGVGAYRTEELQPYVLNVVKKAENLMLERGEYKEYLPIEGLAAFNKVTAELLFGADNPVIKQQKIATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIKAAPEGSFILLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDADAASVRLFAARGMELLVAQSYSKNLGLYAERIGAINVICSSADAAARVKSQLKKLARPMYSNPPVHGARIVANVVGDPSLFNEWKAEMEMMAGRIKGVRQKLFDSLSAKDKSGKDWSFILKQIGMFSFTGLNKAQSENMTNKWHVYMTKDGRISLAGLNLAKCEYLADAIVDSYHNVS >Manes.13G003400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:930114:934991:1 gene:Manes.13G003400.v8.1 transcript:Manes.13G003400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAFARLLTTLELLSLLFVSVQFSVAEIIFEEKFDDGWQSRWVKSDWKRSEGKAGSFKHTAGQWNGDPDDKGIQTSGDAKHFAISAKIPEFSNKNRTLVLQYSIRLEQDIECGGGYIKLLSGFVNQKKFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNYPLKKELECETDKLTHFYTFVLRPDASYSVLIDGRERDSGSMYTDWDILPPRKIRDVKAKKPKDWDDREYIDDPNAVKPEGYDKIPKEIRDASAKEPDDWDDEVNGIWKPPMIPNPAYKGPWRPKRIKNPNYKGKWKIPYIDNPEFEDDPDLYVLKPIKYLGIEVWQVKAGSVFDNVLICDDLEYAKEVVQEVFANREIEKEAFEEAEKIRKAREEEEAQRAREEGERRRKERGYDRRYRDRYRDKYRKHYMDDYHDEL >Manes.14G166800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26735118:26737578:-1 gene:Manes.14G166800.v8.1 transcript:Manes.14G166800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSLILFIFSIVSISAAYATHHAAAPAPSFDCSILVMKMAECLTYVSNGSTTTKPEGSCCSGLKTVLNTDAECLCEAFKSSAQFGVVLNVTKAIALPTACKLQASSVPNCGLSLAPICSPGASPSSSASPSPTTASGSNVQAPAPSPGASNSHGLSMSVGSLAIGFVIAVFSCF >Manes.14G166800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26735118:26737578:-1 gene:Manes.14G166800.v8.1 transcript:Manes.14G166800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSLILFIFSIVSISAAYATHHAAAPAPSFDCSILVMKMAECLTYVSNGSTTTKPEGSCCSGLKTVLNTDAECLCEAFKSSAQFGVVLNVTKAIALPTACKLQASSVPNCGCASPSSSASPSPTTASGSNVQAPAPSPGASNSHGLSMSVGSLAIGFVIAVFSCF >Manes.01G000186.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:447520:447696:1 gene:Manes.01G000186.v8.1 transcript:Manes.01G000186.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELMEEYTALLARVLEHMFNEAPFPRRVRFLILRSLPFASSLHPPLIRAPA >Manes.12G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7532495:7542385:-1 gene:Manes.12G070400.v8.1 transcript:Manes.12G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGSVLLASSSTAAEQIGGGGVGGMRKNPTMICAPIMADSVDKMIVYLDKAKATGADLAEIRLDSLKSFNPDEDLQILTTRSPLPTLFTYRPIWEGGQYEGDETSRLDALRLAMELGADYIDVELQVARQFNDSIRGRKPAKCKVIVSSHNYQSTASVEELGNLVAKIQAAGADIVKIATTASDIADVARVFQITVHSQVPIIAMVMGERGLISRVLCAKFGGYLTFGTLESGIVSAPGQPTIKDLLDLYNFRLIGPDTKVYGIIGKPVGHSKSPTLYNEAFKSVGFNGVYMHLLVDDIANFFQTFSSTDFAGFSCTIPHKEAALKCCDEVDPVAKSIGAVNCIIRRQSDGKLFGYNTDYVGAISAIEEGLQGSQNKIGVTVSPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYERAKELADIVGGDAISLADLDNFHPEDGMILANTTSIGMQPKVDETPVAKPALRYYSLVFDAVYTPKVTRLLREAEESGAITVSGLEMFIGQAYEQFERFTGLPAPKELFRKIMCK >Manes.07G038853.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4746195:4746578:-1 gene:Manes.07G038853.v8.1 transcript:Manes.07G038853.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHALSTSLVAFCLIREIAYSIRSASQVSVSGPSLCNPNSSSAMLNRSLMISLLRYSRGRRKRFLSVEYTTKWPFSAIDEVSILPMFCDDVILLRLTAAIFCHFLANFRSFLVLIILLVYKNGRL >Manes.18G009300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1176402:1177154:-1 gene:Manes.18G009300.v8.1 transcript:Manes.18G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPKSKNKILKFLPKAASAVYFQNPAFSPGRDKRSDNHFHRFKAHAGKGFSGPIVPMIPDEVRRKPKNGSFDTQEPTSPKVSCMGQIKHKKKMVSNCKAAKRASPPRETKPASSPREVKKHGSTIKRLFTSGKTTERRRRSDASVYDKQALSADRAPSLNQMKRFASGRDTFANFDWTAHQIAPLDSDQRDYCSDEEDRIHSDEEDEEVIIPFSAPIIGGDLQPRKEVNLWKRRTMNPPKPLQLNLTV >Manes.07G097007.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30138882:30139501:-1 gene:Manes.07G097007.v8.1 transcript:Manes.07G097007.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQLKGKYCVCKGLFIKNLDLKNGFIHIDGDIDIKKLIKELQKKFKSMQVEVVDSDEEIDSDKCESLFQPLENGGGYSASRLQWPDVGGQSRFGLRPYGGFNQLRYYRHRGFETTSTYGYGGQNYQIDNSNYYNQADDLTKIIRNENPSICSTM >Manes.06G034500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9805142:9810868:1 gene:Manes.06G034500.v8.1 transcript:Manes.06G034500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGAAYTLKWAFHNKLGLVFVAVYQRILHLLYVDELLAMVKHEFSEIYDPKRMNYNDFNETFRQLRKEAEVRAEELKKSKQLVKPVNDGKKLGQVKKGGSEGGNKKKSEANDGGDGDSGKSYKLENGHSNGNHVNIEGSRTGFTNDKENASCNFGAFNVSKLQKLRSKGGKKTDTVVNKGSKMDPKKKITKKNRVWDDSPQESKLDFTDPLEENGNENIEVVATDHGESMMDKEEIISSDSESEAEEVGKESKPDTKKKGWFSSIFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKISVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATHSGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPNPRLIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Manes.06G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9805158:9810868:1 gene:Manes.06G034500.v8.1 transcript:Manes.06G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGAAYTLKWAFHNKLGLVFVAVYQRILHLLYVDELLAMVKHEFSEIYDPKRMNYNDFNETFRQLRKEAEVRAEELKKSKQLVKPVNDGKKLGQVKKGGSEGGNKKKSEANDGGDGDSGKSYKLENGHSNGNHVNIEGSRTGFTNDKENASCNFGAFNVSKLQKLRSKGGKKTDTVVNKGSKMDPKKKITKKNRVWDDSPQESKLDFTDPLEENGNENIEVVATDHGESMMDKEEIISSDSESEAEEVGKESKPDTKKKGWFSSIFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKISVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATHSGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPNPRLIDGILLTKFDTIDDKVGAALSMVYVSGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Manes.11G156800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32312093:32313646:-1 gene:Manes.11G156800.v8.1 transcript:Manes.11G156800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPKSNRKGQDPEKSRKNRLTEKASSFHGRIPMEVPEPRIRRPNTLPDLLGGQRVAGVSTEARPKLTKLLLNVTIQGSVGAVQVVMTQESTVGDLIATAIRQYKKEGRRPIVSADPSKFDLHYSQFSLESLDREEKLMELGSRNFFLCSKKSVVESGNSSESSSSSSGVTKTASSSSCSKEVEKAAKSSSPWLKFMEFML >Manes.09G042800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7726999:7733905:-1 gene:Manes.09G042800.v8.1 transcript:Manes.09G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWRDDEPADEISEYWRGPDSSSGKVCSTRKVVKSQCNTEEVEPGKFLRKCEKTEEILRECLGEPVEVLKSNKEYTEDDVTDLVVKGSSSLGQFENLPFGLPGLRSDIEGIERHFLGGINRFFDAAEQFKSSLFDDFGDSYKENPSCPPSMRRGIPIEDYPQKREASPKQRGTDTGNVDLSGLARDV >Manes.01G266736.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40416725:40417505:-1 gene:Manes.01G266736.v8.1 transcript:Manes.01G266736.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVFKVVVIGDSAVGKTQLLSRFTKNEFCLDSKSTIGVEFQTRTVTIKGKVVKAQIWDTAGQERYRAVTSAYYRGALGAMIVYDITKRPTFDHVARWVEELRAHADNSIVITLIGNKADLMDQRAVSTEDAVEFEEDQGLFFFETSALSGENVDKAFLRLLEEIYGVISKKALEGGDKKSNGADAAVFKGSKIDIISGFNLLHFSL >Manes.12G019000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1693511:1697722:1 gene:Manes.12G019000.v8.1 transcript:Manes.12G019000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTSSIHYHNITDQPITAIVAAPVSTFQRSQRHCFGDSTPGEFPLAANPSVVLHVLTACNLDPQDLAKLEATCSFFRQPANFPPDHGLSISELAALDMCQKRAIFKPMTPEEREHLKQRCGGSWKLVLRFLVAGEACCRREKSQAIAGPGHSIAVTSKGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGVQGTNLVTTPQLVESLKSVFVVQAAIGNFFTAVLSREGRVYTFSWGSDGKLGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVESLSKVKAVHVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAAAADAQGNRHANVLSPELVTSLKEVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNNQTERGNPEPVEIDLS >Manes.12G019000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1693511:1697722:1 gene:Manes.12G019000.v8.1 transcript:Manes.12G019000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTSSIHYHNITDQPITAIVAAPVSTFQRSQRHCFGDSTPGEFPLAANPSVVLHVLTACNLDPQDLAKLEATCSFFRQPANFPPDHGLSISELAALDMCQKRAIFKPMTPEEREHLKQRCGGSWKLVLRFLVAGEACCRREKSQAIAGPGHSIAVTSKGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGVQGTNLVTTPQLVESLKSVFVVQAAIGNFFTAVLSREGRVYTFSWGSDGKLGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVESLSKVKAVHVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAAAADAQGNRHANVLSPELVTSLKEVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNNQTERGNPEPVEIDLS >Manes.12G019000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1693511:1697722:1 gene:Manes.12G019000.v8.1 transcript:Manes.12G019000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIFFACLMQATCSFFRQPANFPPDHGLSISELAALDMCQKRAIFKPMTPEEREHLKQRCGGSWKLVLRFLVAGEACCRREKSQAIAGPGHSIAVTSKGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGVQGTNLVTTPQLVESLKSVFVVQAAIGNFFTAVLSREGRVYTFSWGSDGKLGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVESLSKVKAVHVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAAAADAQGNRHANVLSPELVTSLKEVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNNQTERGNPEPVEIDLS >Manes.12G019000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1693511:1697722:1 gene:Manes.12G019000.v8.1 transcript:Manes.12G019000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTSSIHYHNITDQPITAIVAAPVSTFQRSQRHCFGDSTPGEFPLAANPSVVLHVLTACNLDPQDLAKLEATCSFFRQPANFPPDHGLSISELAALDMCQKRAIFKPMTPEEREHLKQRCGGSWKLVLRFLVAGEACCRREKSQAIAGPGHSIAVTSKGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGVQGTNLVTTPQLVESLKSVFVVQAAIGNFFTAVLSREGRVYTFSWGSDGKLGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPVVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVESLSKVKAVHVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAAAADAQGNRHANVLSPELVTSLKEVNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNNQTERGNPEPVEIDLS >Manes.17G048100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24507981:24514897:1 gene:Manes.17G048100.v8.1 transcript:Manes.17G048100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLLLLLQSWLLIFCFAFSTCLARKPPWVIRISCGSRVNVRTPPTNTLWYKDFAYTGGIPTNATLTSYVAPSLKTLRYFPLSEGPNNCYNIKKVPRGHYSVRVFFALVAHRDSDPNFDNEPLFDISVEGTQIYSSQPGWSSRDDQVFTEAQVFLTDGTASICFHSTGHGDPAILSIEIIETDDRAYYFGPEWGRGVILRTVTRLSCGNGKSKFDVDYSGDQWGGDRFWSRMTTFGQNSDKAISTANSIKKASNAPNFYPAALYQTALVSTDSQPDLAYMMDVDPNKNYSIWLHFAEIDVSITGVGKRVFDILINGNVVFEGVDVAKMSGDRYTALVLNTTVTVNGRTLTITLHPKEGNHAIINAIEVFEVIAAESKTILEEVRALQAVKGALGLPLRFGWNGDPCVPQQHPWSGADCQYDRTSNRWVIDGLGLDNQGLRGFLPSGISGLHHLQSINLSSNNIRGTIPSSIGMITTLEVLDLSYNFFNGSIPDSIGQLMSLRRLNLNGNSLSGRVPAPLGGRLLHGASFNFTDNSGLCGIPGLRTCGPHLSAGAKIGIAFGVSVAFLFMVICLMCWWKRRQNILRAQQIAARGAPYAKSRTQTTHDIQMSRHHNYGYARTAAENGPSLLS >Manes.17G048100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24507981:24514897:1 gene:Manes.17G048100.v8.1 transcript:Manes.17G048100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLLLLLQSWLLIFCFAFSTCLARKPPWVIRISCGSRVNVRTPPTNTLWYKDFAYTGGIPTNATLTSYVAPSLKTLRYFPLSEGPNNCYNIKKVPRGHYSVRVFFALVAHRDSDPNFDNEPLFDISVEGTQIYSSQPGWSSRDDQVFTEAQVFLTDGTASICFHSTGHGDPAILSIEIIETDDRAYYFGPEWGRGVILRTVTRLSCGNGKSKFDVDYSGDQWGGDRFWSRMTTFGQNSDKAISTANSIKKASNAPNFYPAALYQTALVSTDSQPDLAYMMDVDPNKNYSIWLHFAEIDVSITGVGKRVFDILINGNVVFEGVDVAKMSGDRYTALVLNTTVTVNGRTLTITLHPKEGNHAIINAIEVFEVIAAESKTILEEVRALQAVKGALGLPLRFGWNGDPCVPQQHPWSGADCQYDRTSNRWVIDGLGLDNQGLRGFLPSGISGLHHLQSMDLSYNFFNGSIPDSIGQLMSLRRLNLNGNSLSGRVPAPLGGRLLHGASFNFTDNSGLCGIPGLRTCGPHLSAGAKIGIAFGVSVAFLFMVICLMCWWKRRQNILRAQQIAARGAPYAKSRTQTTHDIQMSRHHNYGYARTAAENGPSLLS >Manes.08G069621.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:13355629:13356647:1 gene:Manes.08G069621.v8.1 transcript:Manes.08G069621.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSGSARYWNGRLKNVNCRCGKRAGIRISESAENPNRPYYFCRDNVCGSFRGWCEPVNQSSTPSSGSINVDEGWKMLEKVIEDIAKMKNDMKKMKEEQRATRNEIANIRGIMCQLRTIYIVLIFIVVGVAMKVI >Manes.03G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18728183:18733633:1 gene:Manes.03G085300.v8.1 transcript:Manes.03G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKALSSYASLNFHCRNRDGLHYNHKPSSTQKSIASFQALGAKWSDLRGCALSGRTRNVLQTRCKRAIQAVLSSDAKLETNEATKSKGLRGQLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGLKELEGLEEKAKASGACQLVVKDLKEEFVRDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDIKGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPNQPEFVEIGIESGLPISVNGKKLSPASLLSELNEIGGRHGIGRIDMVENRLVGMKSRGVYETPGGSILFTAARELESLTLDRETMQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITEKTTGSVTLKLYKGSVSVTSRTSPNSLYRQDISSFESGQIYDQADAAGFIRLYGLPMKVRAMLEKGI >Manes.09G054850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8896865:8897446:-1 gene:Manes.09G054850.v8.1 transcript:Manes.09G054850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSSSGVSNMQDLRCYSASYASSVYPTQSQAQTQVGNNDVRFKKGKSASGSVSKSWSFNDPELQRKKRVASYKVYSVEGKVKGSFRKSFRWLKDR >Manes.10G113500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26353307:26354423:1 gene:Manes.10G113500.v8.1 transcript:Manes.10G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLFRNWSSPYPLRVVWALKLKGIEYEEVFEDLSNKSSLLLKYNPVYKKIPVLVHNGKPICESLLILEYLEETFKQYPLLPQNPHQRATARFWANFADEKILQAMRFDVLSKQGREQEEAIVSIKEKLKYLEEELKGKKFFGGESIGLVDLALGWIAYYLNIIEEIIGVKLVDQEKFPLLMAWIQQFSNIPIIHENWPPRDKLLDRYSGFRKAALGEDTPK >Manes.13G093430.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27838968:27842214:1 gene:Manes.13G093430.v8.1 transcript:Manes.13G093430.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MSNMTSPSKMVEAKIEITNRLMAVKRRSCKSYTQIAAETGLTNVYVAQLLRRQAQLKPDTGPRLRAALPELTDDLLQEMTKPPLRSYDPNLIQEPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKERVVVTFDGKYLPYTEQLEHMVSRRRQNEVDQS >Manes.13G093430.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27838968:27842214:1 gene:Manes.13G093430.v8.1 transcript:Manes.13G093430.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MSNMTSPSKMVEAKIEITNRLMAVKRRSCKSYTQIAAETGLTNVYVAQLLRRQAQLKPDTGPRLRAALPELTDDLLQEMTKPPLRSYDPNLIQEPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKERVVVTFDGKYLPYTEQKLEHMVSRRRQNEVDQS >Manes.03G126400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25268933:25271993:-1 gene:Manes.03G126400.v8.1 transcript:Manes.03G126400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLKELNSVSHLVLFHLAPLFLLSGQVLAFAGAEHTMAARNMEAEALLTWKASLDNNSQTVLSSWTGGSPCNWFGIHCNEAGSVTKISLTDIGLKGTLQSLNFLSIPNLLELHLLNNSLYGTIPSHIANLSKLTYFRADFNDIYGSIPVEICSLTSLGALSMAHNHINGPIPQEIGMLRSLYYLDLSHNNLRGTIPALTGNLSYLVELHISESELSGSIPKEIGLLTSLRVLDLDVNNLSGAIPTSIGNLTNLAKIFLNYNELSGTLPVEMNNLTALKTLQMSYNRLDQNQLTGNLADDLGIYPKLKYLDLSDNKFYGQLPSKWEGFLGLSTLRISSNNISGKIPSNMGSASQLQSLDLSSNHHLVGGIPKELGKLKLLDLVLNDNKLSGGIPHEILVHDLEKLNLASNNLTGSIPEQLGECLSLLTLNLSNNNFKDTIPAKIGNLHFLVNLDLSNNLLMDSIPSRLGELQMLDTLNLSHNKLSGTIPASFNNLLSLITVNISYNELEGPIPNIKAFLDAPFDALRNNKDLCGNATGLKACVSLKTNGAAPKMGNQILKLIVVPVLGGLILVFVLLGGFFVCRRRNKSRKSKSEDEHRIDLFKSWGQDGRMLYEDIIQATEDFNSNYCIGEGGYGIVYKVVLPKGQVIAVKKLHRSQDGMTPDLKAFRSEISALSNVKHRNIVKLYGFCSHPKNSFLVYEFVERGSLKMILSKDEPAMELDWKKRLNIVKGIANALCYMHHDCCPPLIHRDISSNNVLLDLAYEAHVSDFGTARLLMPDSSNWTSLAGTFGYIAPELAYTMKVDKTCDVYSFGVVTLEIIMGEHPKSIISSLYSSIDRSTPLVDIIDQRLLPPVHEVAKGVVYITRLALACLSDDPQTRPTMQQISMKLIARWPHLTKPFSMVELGELLGHDSVN >Manes.06G148700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALDDRRFLSSISESDLDGCEDCLARKHKDNKSGINQPMHGLMVVGFALFLDRITFRDCYVSLIS >Manes.06G148700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27478092:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVVQLFTAVLKRPFLVISFSLFTTD >Manes.06G148700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477115:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALDDRRFLSSISESDLDGCEDCLARKHKDNKSGINQPMHGLMVVGFALFLDRITFRDCYVSLIS >Manes.06G148700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477275:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALVP >Manes.06G148700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALVP >Manes.06G148700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27478037:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALVCNLPHKFNNASNL >Manes.06G148700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALVP >Manes.06G148700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALDDRRFLSSISESDLDGCEDCLARKHKDNKSGINQPMHGLMVVGFALFLDRITFRDCYVSLIS >Manes.06G148700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALDDRRFLSSISESDLDGCEDCLARKHKDNKSGINQPMHGLMVVGFALFLDRITFRDCYVSLIS >Manes.06G148700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27478037:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALVCNLPHKFNNASNL >Manes.06G148700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALVP >Manes.06G148700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALDDRRFLSSISESDLDGCEDCLARKHKDNKSGINQPMHGLMVVGFALFLDRITFRDCYVSLIS >Manes.06G148700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27478037:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALVCNLPHKFNNASNL >Manes.06G148700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477114:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALDDRRFLSSISESDLDGCEDCLARKHKDNKSGINQPMHGLMVVGFALFLDRITFRDCYVSLIS >Manes.06G148700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27481747:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALDDRRFLSSISESDLDGCEDCLARKHKDNKSGINQPMHGLMVVGFALFLDRITFRDCYVSLIS >Manes.06G148700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27477450:27486093:-1 gene:Manes.06G148700.v8.1 transcript:Manes.06G148700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTVLVILLNCGSFCICVPPQQLLVNMTVVRNATALGAFCLDGSLPAYHLHRGFGAGVRNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNNASLNPDFYNWNRVKLRYCDGASFGGDAMFKNGTSILYFRGQKIWEAIIQDLLPKGLGHARQALLSGCSAGGLSSFLHCDGLAKMLPNATVKCLSDAGFFLDEKDVSLKYTMRSFYAKLVTLQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDVFQFNHILVPPSADLLGQWKGCKNNTAQCNEKQIDTLQGFRQNMLLALRSFSKNFSQWGMFINSCFAHCQSESQETWLAVNSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDTTCHNLIPTALDDRRFLSSISESDLDGCEDCLARKHKDNKSGINQPMHGLMVVGFALFLDRITFRDCYVSLIS >Manes.13G109101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31425259:31427210:1 gene:Manes.13G109101.v8.1 transcript:Manes.13G109101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGLSSLDGFLGSGSNIAASRASSMAANNHKLFNFPSSPAPQASFPSKVYGFQPSPSAYDQASSGAGGPFTASQWMELEYQVLIFKYLSASVPVPPELLTPLIKKPLCLYSCGSSGGFYRPDLLGRIGYRGSPVDSEPGRCRRTDGKKWRCSRDVVGDQKYCERHMNRGRYRNRKPVDGQTSVPATAQQTANSNGLPVVGSTSSAPVITSSEPVNVTTQQQTKDSEVAAAVNVKSDEEAAFTVPKPIDSFISSSKKRKYVNSEDCDEPFPSFNKQDTEEQYPLRQFIEDSPEDQCNRSAISWAEELKSDWNQLSLSAPVQEKIALSMNMGVIGDFSEPNQKKSKWVSISPAGTSISDPLGEILITKNTGNAGGSCQSSSTA >Manes.06G041000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:12697009:12699962:-1 gene:Manes.06G041000.v8.1 transcript:Manes.06G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFSHLKIWILALSMIFQSVYGFYLPGSYPHKYNVGESLSVKVNSITSIDTEMPFSYYSLPFCQPAEGIKDSAENLGELLMGDRIENSPYRFKMHVNESEIFLCRTDPLSADNFKLLKERIDEMYQVNLILDNLPAIRYTKKDAYLLRWTGYPIGIKVQDAYYVFNHLKFTVLVHKYEEANMARVMGTGDGAEVIPTIGNGGSDIPGYMVVGFEVVPCNFMHNVQSVKNSKMYDKYPSPIKCDPTTVSMPIKENEPIVFTYEVTFEESDIKWPSRWDAYLKMEGSKVHWFSIMNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNASLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLLFYMILGIAAGYVAVRLWRTIGCGDHKGWMSVSWRSACFFPGIAFFILTMLNFLLWGSHSTGAIPISLFIILILLWFCISVPLTLIGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVFILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLLMVLAIMFATGTVGFLSSFWFVHYLFSSVKLD >Manes.12G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7154025:7159354:-1 gene:Manes.12G068300.v8.1 transcript:Manes.12G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLPSPFLIVLSVLIFASSLCNALYGPSSPVVQLSPSNFKSKVLNSNGVVLVEFFAPWCGHCQKLTPTWEKAATVLKGVATVAALDADAHKSLAQEYGIQGFPTIKVFVPGKPPVDYQGQREVKPIAEFALQQIKTVLKERINGKSTGGSSEKSESASVQLNSRNFDELVLKSKELWIVEFFAPWCGHCKKLAPEWKKAANSLKGKVKLGHVDCDAEKSLMSRFNVQGFPTIFVFGADKDTPIPYEGARTASAIESFALQQLETNVAPPEVTELTGPDVMEEKCGSAAICFVAFLPDILDSKAEGRNKYLEQLLSVAEKFKSNPYSYVWTAAGKQPDLEKRVGVGGYGYPALIALNVKKGAYAPLKSAFELEHIIEFVKEAGRGGKGNLALGGTPEIVKTEPWDGKDGEIIEDDEFSLEELMGEDAGSKDEL >Manes.03G171900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29657509:29662808:-1 gene:Manes.03G171900.v8.1 transcript:Manes.03G171900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSGLREEDNTNTEAQEKSFQESAHNSDVKGENYVVPTTGNKDYNQKEAELASDDPKEAGEPPVHNQKFEGTEEDNTNTEAQGKSLQEPVHKNDVEGETESKDSNQKETGLASDVPKEAGEPPVHSQTYNGTGQAETEVNPPVESAEAEKQFNEDGGKDRHIQPAVSLLTELEDDKEDHKNPTPIEAEDIPSSTSVAITSEHDMDPEDSAVPNSDQHEYANVHADQQDGTDSLRGTTDVLGSSTAYNSKENGHQLDTCISENLEGITASDTNVDVERTRDELLVKEMASTEEEKPSKDELEVEVGNEDANIFSKTIMVATDHLRDIGEIYDGELASKVISNGTNFLESKLEANMVTDSLNSHLEVPLPEDKCIVLTQETELMGKESESEENKQQHPFSVPESKIDKGNASQTDSLTRITCKKIETSGCGSLITAHDAASNGNCEAEEVNVVEESNNQNEAFEENCNDSEGKIAHELGRFEARSSIANGKHDEEESGDCIPEAYAIHDTANNGNCQIEGAKVLVESDSQNECSEEHHNGTEGKHAMVSELGVIAAKLSIANGKNNEQESEDLRPEALTADDAALNGNCQVEEVKLPEESDNQKEPTGESSEGFERNIVMNHEFGIIAAKSPLANGKINEDEPEDHIADEAYEEKIIIFPELATVAADLPVTNNEEEAEDCKAKEHGPKEKKILEMEEKVEAPCAPAEQYVSWTPASLFQFEHHQQEIVNKSEDAKDSNKSTLELKPESNSEFISTASSPFHASNCPVDSLVSANEKPVEEILPHVTEAPEMATETISSASGNHSSEQHEKIETYVFARDGFEAQENAGRVNNEPIPENLIQADMEKSPSSTVESTVSGMQLRVEKPQESLQSTVLEAVTQAKPPASASQSSEQCKKVETSALATGGYEPQESLGRFSIESIPDNLNINAEMRKSPSFNLDLRIEAARSEESDQTPLLYQDKNAIENISNQADGKFQNSLTQAQQGQESFKYQATPMEEKVITLERSDSEKSRTPFLGFMKEDEEAQVQVVVQPKRKEDCVAAKKTTKDSWNSSSTKEISPTPPKNKAKHKRRSSLFTNCMCCTTVIN >Manes.03G171900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29657509:29662808:-1 gene:Manes.03G171900.v8.1 transcript:Manes.03G171900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSGLREEDNTNTEAQGKSLQEPVHKNDVEGETESKDSNQKETGLASDVPKEAGEPPVHSQTYNGTGQAETEVNPPVESAEAEKQFNEDGGKDRHIQPAVSLLTELEDDKEDHKNPTPIEAEDIPSSTSVAITSEHDMDPEDSAVPNSDQHEYANVHADQQDGTDSLRGTTDVLGSSTAYNSKENGHQLDTCISENLEGITASDTNVDVERTRDELLVKEMASTEEEKPSKDELEVEVGNEDANIFSKTIMVATDHLRDIGEIYDGELASKVISNGTNFLESKLEANMVTDSLNSHLEVPLPEDKCIVLTQETELMGKESESEENKQQHPFSVPESKIDKGNASQTDSLTRITCKKIETSGCGSLITAHDAASNGNCEAEEVNVVEESNNQNEAFEENCNDSEGKIAHELGRFEARSSIANGKHDEEESGDCIPEAYAIHDTANNGNCQIEGAKVLVESDSQNECSEEHHNGTEGKHAMVSELGVIAAKLSIANGKNNEQESEDLRPEALTADDAALNGNCQVEEVKLPEESDNQKEPTGESSEGFERNIVMNHEFGIIAAKSPLANGKINEDEPEDHIADEAYEEKIIIFPELATVAADLPVTNNEEEAEDCKAKEHGPKEKKILEMEEKVEAPCAPAEQYVSWTPASLFQFEHHQQEIVNKSEDAKDSNKSTLELKPESNSEFISTASSPFHASNCPVDSLVSANEKPVEEILPHVTEAPEMATETISSASGNHSSEQHEKIETYVFARDGFEAQENAGRVNNEPIPENLIQADMEKSPSSTVESTVSGMQLRVEKPQESLQSTVLEAVTQAKPPASASQSSEQCKKVETSALATGGYEPQESLGRFSIESIPDNLNINAEMRKSPSFNLDLRIEAARSEESDQTPLLYQDKNAIENISNQADGKFQNSLTQAQQGQESFKYQATPMEEKVITLERSDSEKSRTPFLGFMKEDEEAQVQVVVQPKRKEDCVAAKKTTKDSWNSSSTKEISPTPPKNKAKHKRRSSLFTNCMCCTTVIN >Manes.03G171900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29657508:29662808:-1 gene:Manes.03G171900.v8.1 transcript:Manes.03G171900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSGLREEDNTNTEAQEKSFQESAHNSDVKGENYVVPTTGNKDYNQKEAELASDDPKEAGEPPVHNQKFEGTEEDNTNTEAQGKSLQEPVHKNDVEGETESKDSNQKETGLASDVPKEAGEPPVHSQTYNGTGQAETEVNPPVESAEAEKQFNEDGGKDRHIQPAVSLLTELEDDKEDHKNPTPIGTILDISNNQIEKQASFKKEAEDIPSSTSVAITSEHDMDPEDSAVPNSDQHEYANVHADQQDGTDSLRGTTDVLGSSTAYNSKENGHQLDTCISENLEGITASDTNVDVERTRDELLVKEMASTEEEKPSKDELEVEVGNEDANIFSKTIMVATDHLRDIGEIYDGELASKVISNGTNFLESKLEANMVTDSLNSHLEVPLPEDKCIVLTQETELMGKESESEENKQQHPFSVPESKIDKGNASQTDSLTRITCKKIETSGCGSLITAHDAASNGNCEAEEVNVVEESNNQNEAFEENCNDSEGKIAHELGRFEARSSIANGKHDEEESGDCIPEAYAIHDTANNGNCQIEGAKVLVESDSQNECSEEHHNGTEGKHAMVSELGVIAAKLSIANGKNNEQESEDLRPEALTADDAALNGNCQVEEVKLPEESDNQKEPTGESSEGFERNIVMNHEFGIIAAKSPLANGKINEDEPEDHIADEAYEEKIIIFPELATVAADLPVTNNEEEAEDCKAKEHGPKEKKILEMEEKVEAPCAPAEQYVSWTPASLFQFEHHQQEIVNKSEDAKDSNKSTLELKPESNSEFISTASSPFHASNCPVDSLVSANEKPVEEILPHVTEAPEMATETISSASGNHSSEQHEKIETYVFARDGFEAQENAGRVNNEPIPENLIQADMEKSPSSTVESTVSGMQLRVEKPQESLQSTVLEAVTQAKPPASASQSSEQCKKVETSALATGGYEPQESLGRFSIESIPDNLNINAEMRKSPSFNLDLRIEAARSEESDQTPLLYQDKNAIENISNQADGKFQNSLTQAQQGQESFKYQATPMEEKVITLERSDSEKSRTPFLGFMKEDEEAQVQVVVQPKRKEDCVAAKKTTKDSWNSSSTKEISPTPPKNKAKHKRRSSLFTNCMCCTTVIN >Manes.03G171900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29657509:29662808:-1 gene:Manes.03G171900.v8.1 transcript:Manes.03G171900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNTSGLREEDNTNTEAQGKSLQEPVHKNDVEGETESKDSNQKETGLASDVPKEAGEPPVHSQTYNGTGQAETEVNPPVESAEAEKQFNEDGGKDRHIQPAVSLLTELEDDKEDHKNPTPIGTILDISNNQIEKQASFKKEAEDIPSSTSVAITSEHDMDPEDSAVPNSDQHEYANVHADQQDGTDSLRGTTDVLGSSTAYNSKENGHQLDTCISENLEGITASDTNVDVERTRDELLVKEMASTEEEKPSKDELEVEVGNEDANIFSKTIMVATDHLRDIGEIYDGELASKVISNGTNFLESKLEANMVTDSLNSHLEVPLPEDKCIVLTQETELMGKESESEENKQQHPFSVPESKIDKGNASQTDSLTRITCKKIETSGCGSLITAHDAASNGNCEAEEVNVVEESNNQNEAFEENCNDSEGKIAHELGRFEARSSIANGKHDEEESGDCIPEAYAIHDTANNGNCQIEGAKVLVESDSQNECSEEHHNGTEGKHAMVSELGVIAAKLSIANGKNNEQESEDLRPEALTADDAALNGNCQVEEVKLPEESDNQKEPTGESSEGFERNIVMNHEFGIIAAKSPLANGKINEDEPEDHIADEAYEEKIIIFPELATVAADLPVTNNEEEAEDCKAKEHGPKEKKILEMEEKVEAPCAPAEQYVSWTPASLFQFEHHQQEIVNKSEDAKDSNKSTLELKPESNSEFISTASSPFHASNCPVDSLVSANEKPVEEILPHVTEAPEMATETISSASGNHSSEQHEKIETYVFARDGFEAQENAGRVNNEPIPENLIQADMEKSPSSTVESTVSGMQLRVEKPQESLQSTVLEAVTQAKPPASASQSSEQCKKVETSALATGGYEPQESLGRFSIESIPDNLNINAEMRKSPSFNLDLRIEAARSEESDQTPLLYQDKNAIENISNQADGKFQNSLTQAQQGQESFKYQATPMEEKVITLERSDSEKSRTPFLGFMKEDEEAQVQVVVQPKRKEDCVAAKKTTKDSWNSSSTKEISPTPPKNKAKHKRRSSLFTNCMCCTTVIN >Manes.07G079477.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24578891:24580401:1 gene:Manes.07G079477.v8.1 transcript:Manes.07G079477.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGTASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.07G076100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23900981:23924915:1 gene:Manes.07G076100.v8.1 transcript:Manes.07G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHTDDVGSESQPDSGGSLGRAASFGLNNTRTPKFPWTPLPMLFSAISSKVPHIEMERSTTPYELFQGNKKDQSSYVPPPYIPLGQSDLEAEVVPQNEGRLSSNQTSGGLAQWSSGICACCDDMQSCCVGLFCPCYLFGKNAEFLGSGTLIGSCMTHFILWAIVNTICCCMTDGILLGLPGCFVAYYACGYRRALREKYNLQEAPCGDFTTHFFCHLCANCQEYREIRERTCISNSVDVNMPVVTAPPVQTMESNNISE >Manes.09G014001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3137142:3142182:1 gene:Manes.09G014001.v8.1 transcript:Manes.09G014001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMPWRRKSRSFYLQLQGTIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELVGISHDVYSINILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPDVRTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNALKIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADILGYNILINGYCKCKMIDDAEELFDEMSHKGLVPDVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLSLNNDLILSKLRNRSEASKGVQ >Manes.09G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6738277:6742775:-1 gene:Manes.09G034900.v8.1 transcript:Manes.09G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKRGRPEAGFNANGGFKKSKHEMDSLSTGVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYNAVAQMMNLGPAVTPVSRNMAAAPAVSNGSAPPAVKSRMCNKYNSAEGCKFGDKCHFAHGEWELGRPIAPSHEDPRAIGALPGRMGGRMEPPSPGLAAGFGASATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHETDPNLRNIEFEGSFEQIKQASAMVSDLIASVSAANAPAKSIGVAGGGHAGSNFKTKICENFSKGSCSFGQRCHFAHGAAELRKSGM >Manes.09G034900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6738277:6742775:-1 gene:Manes.09G034900.v8.1 transcript:Manes.09G034900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLGPAVTPVSRNMAAAPAVSNGSAPPAVKSRMCNKYNSAEGCKFGDKCHFAHGEWELGRPIAPSHEDPRAIGALPGRMGGRMEPPSPGLAAGFGASATAKISVEASLAGAIIGKGGVNSKQICRQTGAKLSIREHETDPNLRNIEFEGSFEQIKQASAMVSDLIASVSAANAPAKSIGVAGGGHAGSNFKTKICENFSKGSCSFGQRCHFAHGAAELRKSGM >Manes.02G202527.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19450516:19451046:1 gene:Manes.02G202527.v8.1 transcript:Manes.02G202527.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNSLSLKSNEFSVEILSKVSGIEPLNLLPCKFICCKEFPLKQEVGMKSDLEKDYRAS >Manes.01G115800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31277541:31278712:1 gene:Manes.01G115800.v8.1 transcript:Manes.01G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNFIQPIFLVVILLLSSPSKLLSQVTVPSQTTIYSSPPPPNNFACPYSCQPPPMPTAQCPPPPSPPQVPVPPSFYYPPPTGGGIPNIPPFWYAPPPPNPILPYFPWYYKYPPPAEFSVAIRLKGEKLITVPCFILVLFFFSLF >Manes.08G061750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8133104:8134944:-1 gene:Manes.08G061750.v8.1 transcript:Manes.08G061750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALFTTRTQQPTMGSYNSNSRGRRGGRGGRGGRNGGRFQNYQMSAVQSQYSSGPFLSSATCYNCNGSGHVARLCPSQRRTVLPSPQAYHTISAPVSSTPPWNSVSSSQGSQGFRPQQQPWIVDTGATHHLTGSLGNLTIDSEYTGPDEVTISNG >Manes.08G061750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8133822:8134944:-1 gene:Manes.08G061750.v8.1 transcript:Manes.08G061750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALFTTRTQQPTMGSYNSNSRGRRGGRGGRGGRNGGRFQNYQMSAVQSQYSSGPFLSSATCYNCNGSGHVARLCPSQRRTVLPSPQAYHTISAPVSSTPPWNSVSSSQGSQGFRPQQQPWIVDTGATHHLTGSLGNLTIDSEYTGPDEVTISNGYPHEEGPSQKSG >Manes.08G110400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931345:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931345:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGNFVFLSSYWVTKMRH >Manes.08G110400.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGNFVFLSSYWVTKMRH >Manes.08G110400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGNFVFLSSYWVTKMRH >Manes.08G110400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931082:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931065:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931345:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931345:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.08G110400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34928262:34931326:-1 gene:Manes.08G110400.v8.1 transcript:Manes.08G110400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVIRRSNSVGDLEIGETSSEDDEIKMLGSSGEIAYKHGRLRSESASTIGMNFRSGDGSSSSEGTFSSSENLEIIVGEKGESAKISAENGMVKEKRKKRSSKPPLPPRGPSLCMADMKLLREMSELTRLKRARVKRIKATKKKRAANESSSRINIFPILVTIVFFYVIIFQGFLGSRA >Manes.18G090416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8330868:8336248:1 gene:Manes.18G090416.v8.1 transcript:Manes.18G090416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAYVNGEKAGILAEEFDLSAANVMAVEPLPPVVTADDAVGSKDGKKQNGRKIVLGRNVHTECFEVTEPDANDDFTGDKEAYMGSVLARYRQILMERTMHQIGYPYNLDFDYGALAQFQHFSINNLGDPFIESGYGVHSRQFEIGVLDWFARLWEIEKNEYWGYVTNCGTEGNLHGILLGREAFPDGILYASRESHYSVYKAARMYRMECVKIDCLISGEIDCADFKVKLLANKDKPAIINVNLGTTVKGAVDDLDLVIRTLEESGFTHDRFYIHCDGASFGLCLPFVKRAPKITFKKPIGSVSVSGHKFVGSPMPCGVQITRMKHINALSRNVEYLASKDATIMGSRNGHASIFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDGLRDAGISAMLNELSCTVVFERPRDEEFVRRWQLICEGNIAHAVAMPNITVEKLDDFLNELIEKRSTWYLDGQFPCIAADVGNENCVCALHK >Manes.17G006200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2033608:2037626:-1 gene:Manes.17G006200.v8.1 transcript:Manes.17G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLTFIKPTFVYHPITRPLTFVTSSIEQPPQMHTQEPSSHSQTQQQASNQSLVKCVFSILSNSSFDSSKCRQLLPHLCPHEFDRCFFAIESNVNPKTALKFFQFASDTCQYRFTVRSYCLLIRLLVFSNLLSPARLLFIRLIDGKLPVLFANDYQRRHFEIAMAIAELNSGFELVISVKVVDLLFHVYSTQFKHLGFGVAVDVFSLLASKGLFPSLKTCNLLLSSLVKANELKKSYEVFDMICRGGVTPDVYLFSTMVNSFCMGGRMEDAIGLFIKMEKMGIAPNVVTYNNIIHGLCKNGRLDEAFQFKEKMVKEGVNSSLITYSILVNGLVKLERFDEANCILKEMTDRGFVSNIVLYNTLIDGYCRTGHIYEALKIIRDNMVSKGISPNSVTYNSIIQGFCKSNQMENAEYVLEEMLSRGLVINQGVFTSVIHGLCLKSRFDSALKCTRKMLLRNLKPNDGLLTTLVSGLCKNGKQSDAVEFWFELLEKGFAANLATSNALIHGLCEAGNLREAAKLLKEMVDRGLKLDKISYNTLILGCCREGKVEEGFKLKEVMAKQGIEPDLYTYNLLLHGLCNKGKIEEAGMLWDECKRNGHVPNVYTYGVMIDGYCRANRVEEGEELFKEMVTMKMLPNSVVYNTLISAYCKCGNMIEAFRLRDDMRSGGIPLTSATYSSLIHGLCNIGLVDNANNLLDEMRKEGLLPNIVCYTALIGGYCRLGQMDKVDGVLQEMSLHKIHPNKITYTIMIDGHYKLGNMKEAYKLLGEMAEKGIVPDAITYNALTNGFSKEVKVEEAFKVCDQVSSRGLPLDKVTYTTLADGLHKPSAITNQESLK >Manes.18G099950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9204503:9205025:1 gene:Manes.18G099950.v8.1 transcript:Manes.18G099950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLNNEYDPIRVQVLGRNPFPSLEEAHAHVQQEESRRHAMLHTAPVEKAGLTTSLSTPQPPTSEKDHLHCDYCGKPRHTKETCWKLHGRPTRGRGGK >Manes.06G103000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23576119:23582908:-1 gene:Manes.06G103000.v8.1 transcript:Manes.06G103000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSCINFLDLSSGDMLNFSRTPRSLPRVMTVEGIFSNTDSDGSNDGDTNVPSARCYKKKIIVANFLPLHAQKDLETSKWSFSFDEDSLLFQMKDGFSDNTEIVYVGSLKVDVDASEQEEVSQKLLEEYNCVPTFLPPELHKKFYHGFCKHQLWPLFHYMLPMCPDHSNRFDKLLWQAYVSANKIFADKVMEVINPEEDHVWVHDYHLMVLPTFLRKHFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRIVYIKILPAGIHLGRLESALNHPSSSIKVKEIQTQFEGKKIIVGVDDMDIFKGISLKLLAMEQLLQQNPELRGKVVMVQILNPARSSGKDVQEAERETYLTTKRINSIFGFPGYDPVILIDRHVPLYEKTAYYSLAECCIVNAVRDGMNLIPYEYIVCRQGTPKMDEALGVDPRSSHASTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWVRSFVLDLERACKDHYSKRCWGIGFGLNFRILSLSPNFRKLSSEHIVSAYKRTYRRVIFLDYDGTVVPQTSIVKTPSPEVISVLSNLCTDPKNTVFIVSGRAKDTLSDWFTQCENLGIAAEHGYFMRWSRMSNWETSNLVADFDWKKIALPVMKSYTEATDGSYIETKESALVWNHQYADPVFGSCQAKELLDHLETVLANEPVVVKRGQHIVEVKPQGVTKGLVAEKVLYAMVTSGKSPDFVMCIGDDRSDEDMFESISRKGSSWSFNSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLAAASSSKPRGSEEVQVSFDNVI >Manes.06G103000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23576119:23582908:-1 gene:Manes.06G103000.v8.1 transcript:Manes.06G103000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSCINFLDLSSGDMLNFSRTPRSLPRVMTVEGIFSNTDSDGSNDGDTNVPSARCYKKKIIVANFLPLHAQKDLETSKWSFSFDEDSLLFQMKDGFSDNTEIVYVGSLKVDVDASEQEEVSQKLLEEYNCVPTFLPPELHKKFYHGFCKHQLWPLFHYMLPMCPDHSNRFDKLLWQAYVSANKIFADKVMEVINPEEDHVWVHDYHLMVLPTFLRKHFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRIVYIKILPAGIHLGRLESALNHPSSSIKVKEIQTQFEGKKIIVGVDDMDIFKGISLKLLAMEQLLQQNPELRGKVVMVQILNPARSSGKDVQEAERETYLTTKRINSIFGFPGYDPVILIDRHVPLYEKTAYYSLAECCIVNAVRDGMNLIPYEYIVCRQGTPKMDEALGVDPRSSHASTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWVRSFVLDLERACKDHYSKRCWGIGFGLNFRILSLSPNFRKLSSEHIVSAYKRTYRRVIFLDYDGTVVPQTSIVKTPSPEVISVLSNLCTDPKNTVFIVSGRAKDTLSDWFTQCENLGIAAEHGYFMRWSRMSNWETSNLVADFDWKKIALPVMKSYTEATDGSYIETKESALVWNHQYADPVFGSCQAKELLDHLETVLANEPVVVKRGQHIVEVKPQGVTKGLVAEKVLYAMVTSGKSPDFVMCIGDDRSDEDMFESISRKGSSWSFNSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLAAASSSKPRGSEEVQVSFDNVI >Manes.06G103000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23576222:23579991:-1 gene:Manes.06G103000.v8.1 transcript:Manes.06G103000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSCINFLDLSSGDMLNFSRTPRSLPRVMTVEGIFSNTDSDGSNDGDTNVPSARCYKKKIIVANFLPLHAQKDLETSKWSFSFDEDSLLFQMKDGFSDNTEIVYVGSLKVDVDASEQEEVSQKLLEEYNCVPTFLPPELHKKFYHGFCKHQLWPLFHYMLPMCPDHSNRFDKLLWQAYVSANKIFADKVMEVINPEEDHVWVHDYHLMVLPTFLRKHFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRIVYIKILPAGIHLGRLESALNHPSSSIKVKEIQTQFEGKKIIVGVDDMDIFKGISLKLLAMEQLLQQNPELRGKVVMVQILNPARSSGKDVQEAERETYLTTKRINSIFGFPGYDPVILIDRHVPLYEKTAYYSLAECCIVNAVRDGMNLIPYEYIVCRQGTPKMDEALGVDPRSSHASTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWVRSFVLDLERACKDHYSKRCWGIGFGLNFRILSLSPNFRKLSSEHIVSAYKRTYRRVIFLDYDGTVVPQTSIVKTPSPEVISVLSNLCTDPKNTVFIVSGRAKDTLSDWFTQCENLGIAAEHGYFMRWSRMSNWETSNLVADFDWKKIALPVMKSYTEATDGSYIETKESALVWNHQYADPVFGSCQAKELLDHLETVLANEPVVVKRGQHIVEVKPQGVTKGLVAEKVLYAMVTSGKSPDFVMCIGDDRSDEDMFESISRKGSSWSFNSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLAAASSSKPRGSEEVQVSFDNVI >Manes.06G103000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23576234:23582908:-1 gene:Manes.06G103000.v8.1 transcript:Manes.06G103000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSCINFLDLSSGDMLNFSRTPRSLPRVMTVEGIFSNTDSDGSNDGDTNVPSARCYKKKIIVANFLPLHAQKDLETSKWSFSFDEDSLLFQMKDGFSDNTEIVYVGSLKVDVDASEQEEVSQKLLEEYNCVPTFLPPELHKKFYHGFCKHQLWPLFHYMLPMCPDHSNRFDKLLWQAYVSANKIFADKVMEVINPEEDHVWVHDYHLMVLPTFLRKHFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRIVYIKILPAGIHLGRLESALNHPSSSIKVKEIQTQFEGKKIIVGVDDMDIFKGISLKLLAMEQLLQQNPELRGKVVMVQILNPARSSGKDVQEAERETYLTTKRINSIFGFPGYDPVILIDRHVPLYEKTAYYSLAECCIVNAVRDGMNLIPYEYIVCRQGTPKMDEALGVDPRSSHASTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWVRSFVLDLERACKDHYSKRCWGIGFGLNFRILSLSPNFRKLSSEHIVSAYKRTYRRVIFLDYDGTVVPQTSIVKTPSPEVISVLSNLCTDPKNTVFIVSGRAKDTLSDWFTQCENLGIAAEHGYFMRWSRMSNWETSNLVADFDWKKIALPVMKSYTEATDGSYIETKESALVWNHQYADPVFGSCQAKELLDHLETVLANEPVVVKRGQHIVEVKPQGVTKGLVAEKVLYAMVTSGKSPDFVMCIGDDRSDEDMFESISRKGSSWSFNSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLAAASSSKPRGSEEVQVSFDNVI >Manes.06G103000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23576234:23582908:-1 gene:Manes.06G103000.v8.1 transcript:Manes.06G103000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSCINFLDLSSGDMLNFSRTPRSLPRVMTVEGIFSNTDSDGSNDGDTNVPSARCYKKKIIVANFLPLHAQKDLETSKWSFSFDEDSLLFQMKDGFSDNTEIVYVGSLKVDVDASEQEEVSQKLLEEYNCVPTFLPPELHKKFYHGFCKHQLWPLFHYMLPMCPDHSNRFDKLLWQAYVSANKIFADKVMEVINPEEDHVWVHDYHLMVLPTFLRKHFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRIVYIKILPAGIHLGRLESALNHPSSSIKVKEIQTQFEGKKIIVGVDDMDIFKGISLKLLAMEQLLQQNPELRGKVVMVQILNPARSSGKDVQEAERETYLTTKRINSIFGFPGYDPVILIDRHVPLYEKTAYYSLAECCIVNAVRDGMNLIPYEYIVCRQGTPKMDEALGVDPRSSHASTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWVRSFVLDLERACKDHYSKRCWGIGFGLNFRILSLSPNFRKLSSEHIVSAYKRTYRRVIFLDYDGTVVPQTSIVKTPSPEVISVLSNLCTDPKNTVFIVSGRAKDTLSDWFTQCENLGIAAEHGYFMRWSRMSNWETSNLVADFDWKKIALPVMKSYTEATDGSYIETKESALVWNHQYADPVFGSCQAKELLDHLETVLANEPVVVKRGQHIVEVKPQGVTKGLVAEKVLYAMVTSGKSPDFVMCIGDDRSDEDMFESISRKGSSWSFNSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLAAASSSKPRGSEEVQVSFDNVI >Manes.06G103000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23576234:23582909:-1 gene:Manes.06G103000.v8.1 transcript:Manes.06G103000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSCINFLDLSSGDMLNFSRTPRSLPRVMTVEGIFSNTDSDGSNDGDTNVPSARCYKKKIIVANFLPLHAQKDLETSKWSFSFDEDSLLFQMKDGFSDNTEIVYVGSLKVDVDASEQEEVSQKLLEEYNCVPTFLPPELHKKFYHGFCKHQLWPLFHYMLPMCPDHSNRFDKLLWQAYVSANKIFADKVMEVINPEEDHVWVHDYHLMVLPTFLRKHFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRIVYIKILPAGIHLGRLESALNHPSSSIKVKEIQTQFEGKKIIVGVDDMDIFKGISLKLLAMEQLLQQNPELRGKVVMVQILNPARSSGKDVQEAERETYLTTKRINSIFGFPGYDPVILIDRHVPLYEKTAYYSLAECCIVNAVRDGMNLIPYEYIVCRQGTPKMDEALGVDPRSSHASTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWVRSFVLDLERACKDHYSKRCWGIGFGLNFRILSLSPNFRKLSSEHIVSAYKRTYRRVIFLDYDGTVVPQTSIVKTPSPEVISVLSNLCTDPKNTVFIVSGRAKDTLSDWFTQCENLGIAAEHGYFMRWSRMSNWETSNLVADFDWKKIALPVMKSYTEATDGSYIETKESALVWNHQYADPVFGSCQAKELLDHLETVLANEPVVVKRGQHIVEVKPQGVTKGLVAEKVLYAMVTSGKSPDFVMCIGDDRSDEDMFESISRKGSSWSFNSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLAAASSSKPRGSEEVQVSFDNVI >Manes.06G103000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23576219:23582908:-1 gene:Manes.06G103000.v8.1 transcript:Manes.06G103000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSCINFLDLSSGDMLNFSRTPRSLPRVMTVEGIFSNTDSDGSNDGDTNVPSARCYKKKIIVANFLPLHAQKDLETSKWSFSFDEDSLLFQMKDGFSDNTEIVYVGSLKVDVDASEQEEVSQKLLEEYNCVPTFLPPELHKKFYHGFCKHQLWPLFHYMLPMCPDHSNRFDKLLWQAYVSANKIFADKVMEVINPEEDHVWVHDYHLMVLPTFLRKHFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRIVYIKILPAGIHLGRLESALNHPSSSIKVKEIQTQFEGKKIIVGVDDMDIFKGISLKLLAMEQLLQQNPELRGKVVMVQILNPARSSGKDVQEAERETYLTTKRINSIFGFPGYDPVILIDRHVPLYEKTAYYSLAECCIVNAVRDGMNLIPYEYIVCRQGTPKMDEALGVDPRSSHASTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWVRSFVLDLERACKDHYSKRCWGIGFGLNFRILSLSPNFRKLSSEHIVSAYKRTYRRVIFLDYDGTVVPQTSIVKTPSPEVISVLSNLCTDPKNTVFIVSGRAKDTLSDWFTQCENLGIAAEHGYFMRWSRMSNWETSNLVADFDWKKIALPVMKSYTEATDGSYIETKESALVWNHQYADPVFGSCQAKELLDHLETVLANEPVVVKRGQHIVEVKPQGVTKGLVAEKVLYAMVTSGKSPDFVMCIGDDRSDEDMFESISRKGSSWSFNSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLAAASSSKPRGSEEVQVSFDNVI >Manes.06G103000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23576234:23582908:-1 gene:Manes.06G103000.v8.1 transcript:Manes.06G103000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSCINFLDLSSGDMLNFSRTPRSLPRVMTVEGIFSNTDSDGSNDGDTNVPSARCYKKKIIVANFLPLHAQKDLETSKWSFSFDEDSLLFQMKDGFSDNTEIVYVGSLKVDVDASEQEEVSQKLLEEYNCVPTFLPPELHKKFYHGFCKHQLWPLFHYMLPMCPDHSNRFDKLLWQAYVSANKIFADKVMEVINPEEDHVWVHDYHLMVLPTFLRKHFYRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRIVYIKILPAGIHLGRLESALNHPSSSIKVKEIQTQFEGKKIIVGVDDMDIFKGISLKLLAMEQLLQQNPELRGKVVMVQILNPARSSGKDVQEAERETYLTTKRINSIFGFPGYDPVILIDRHVPLYEKTAYYSLAECCIVNAVRDGMNLIPYEYIVCRQGTPKMDEALGVDPRSSHASTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWVRSFVLDLERACKDHYSKRCWGIGFGLNFRILSLSPNFRKLSSEHIVSAYKRTYRRVIFLDYDGTVVPQTSIVKTPSPEVISVLSNLCTDPKNTVFIVSGRAKDTLSDWFTQCENLGIAAEHGYFMRWSRMSNWETSNLVADFDWKKIALPVMKSYTEATDGSYIETKESALVWNHQYADPVFGSCQAKELLDHLETVLANEPVVVKRGQHIVEVKPQGVTKGLVAEKVLYAMVTSGKSPDFVMCIGDDRSDEDMFESISRKGSSWSFNSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLAAASSSKPRGSEEVQVSFDNVI >Manes.18G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9202275:9211873:-1 gene:Manes.18G099900.v8.1 transcript:Manes.18G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQTTTFSSLPPQSHHPHHPFLSQSPPLAFLPVGSLKIRPYRHFSQVLFEHCSSPGLTTFRPGHGLNIRKFLNLQIHQMELATGSHNSARSENILMHLSNIKDNVHMKRLLIWVSTFSYGQISLLISAKVAHAQEIRNNALYDVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKVYPAATKFLLQAIEKWDGDDQDLAQVYNALGVSYVREGKLDKGIAQFEKAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDLVQMYKGVPVKTKDR >Manes.18G099900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9202275:9211873:-1 gene:Manes.18G099900.v8.1 transcript:Manes.18G099900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATGSHNSARSENILMHLSNIKDNVHMKRLLIWVSTFSYGQISLLISAKVAHAQEIRNNALYDVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKVYPAATKFLLQAIEKWDGDDQDLAQVYNALGVSYVREGKLDKGIAQFEKAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDLVQMYKGVPVKTKDR >Manes.11G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11338107:11349520:1 gene:Manes.11G076900.v8.1 transcript:Manes.11G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRLLMFSVILFLGISISWVESKYMVYNTSQGIVPDKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVRNVLDSLIPSLLTDKNRKFIYVEMAFFQRWWRDQSEAMQHVVKQLVSSGQLEFINGGMCMHDEAVTHYIDMIDQTTLGHRFIKKEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRAKRKNEKSLEVVWQGSDSLGSSSQIFAGAFPENYEPPPGGFYFEVNDPYPIVQDDMNLFDYNVPERVNDFVAAAISQANITRTNHIMWTMGTDFKYQYAETWFREMDKLIHYVNMDGRVNALYSTPSIYTDAKHAANESWPAKTDDFFPYADRVNAYWTGYFASRPALKRYVRMMSGYYLAARQLEFFKGRSNTGPNTDSLADAMAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKLVASSLACLAETTSHDGCQNPATKFQQCPLLNISYCPASEINQSHGKNLVVVVYNSLGWKRDDVIQIPVTDDEVTVHDSEGREIESQLIPLADVHIALRNTYVQAYLGKPPTDTPKYWLAFSVSLPPFGFSTYSISGSKSADTHSIKSSTYTFQTREQSTIEVGQGNLKLTFSEDESKKVNYINTRSSVEDSIEHTFSFYAGYNGTGNDTDPQNAGAYIFRPNGTFLIKPEKQNLLTVMRGPIVEEVHQQINQWIYQITRLYKGKEHVEVEFIVGPIPIDDGIGKEVATQITTTMGTNKTFYTDSNGRDFIKRIRDYRTDWNLEVNQPAAGNYYPINLGIYTKDDKKEFSVLVDRPLGGSSLVDGQIELMLHRRLLLDDSRGVAEALNETVCVLDQCKGLIIQGKYYFRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGDNWSNSHITTFSATGSSYGLPDNVALITLQELDDGKVLLRLAHLYQIGEDKDLSVMTSVELKKLFNGKKIHKVAEMSLSANQERTEMEKKKLVWRAEGFERQEAAARGRPVDPAKLVVELAPMEIRTFVIDFYHTSRRVFDV >Manes.13G091744.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25079711:25081906:1 gene:Manes.13G091744.v8.1 transcript:Manes.13G091744.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQPPITSSQTKVREATFKTKRKSMKPRSTVWDHFTKFVNNTGTQKGKCNYCDKEFFSDPKKNGTTSLRNHIFACIKNLHSMTTRQSQLSLQPTCSTQEGGGTQIGTLSSWHFDQNLPFMFVEGEGFREWVEYTQPRFRIPSHWTVSRDRYDFQRVCITTDTWTSLQRNNYMCVPAHFIDDNWTLQKKIINFCPITSHKGDDIGMAIESCLLSWGIKRVFTVTVDNASSNDVAISFLKRKINAWRFSILSCKYLHMRCIAHIINLVVIDGMKDGLTPIKKVRDAVRYIRQSPTRLQRFKACCEMEGIQSKSFLCLDVSTRCNYTYLILSSALKFENAFDRYATVDPYFKIDLQSCEGNGVADSLEWEYIGKNVEFLGHFYELTLRISGSRYIISNIFFDEISSVDCLLQEWKSSNNLELSWNPDKMNKIIYIAVVVDPRYQLEFMHFALLTVYGKEKGTELAKKVKLFVESIEEGTKKKPRMRLGHQFMQHKIEIGEAKSKSDLDSYLNEDILVLDEKEDFDKLKWWKMNANRFLILSHMVKDILAVPISTVVSESTFSTGCRVLDCFRSSLTPKIVEALICT >Manes.05G096000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8782959:8785924:1 gene:Manes.05G096000.v8.1 transcript:Manes.05G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSPFSLSFSFRNTHLRALPNRSNTGLYPSISHDKQVLLKSTPFLAFKALDLPGYCIFSSAPLALTRSSSHGFLDPTDDEEILPSFEERPVKFLFWVVFWASLSLALFAASGDANAAVDSIKASGFGLKIASALRGSGWPDEAVVFALATLPVLELRGAIPVGYWMQLKPLTLTVLSIIGNMVPVPFIILYLKRFASFLAGKNQSASRFLDMLFENAKQKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIVASILDMPFWPAVSANFFGVVLAGLLVNLLVNLGLKYAIVTGIILFLISTFMWSILGNIRKSFSSSNSN >Manes.13G145808.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35901759:35903137:1 gene:Manes.13G145808.v8.1 transcript:Manes.13G145808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEDEASTTQQPSSTYYLFLTIMSKRRTWVCLFVLVYAILLSTSWNFLKSVLSWYKEQSQVTTAASYGWPALYASVLLGAVFGFLSMVAALAVAVPATLVIWITVLVLLTFFGKPRRALVIEGRKITREIVGCVLKILLKEGNVVAAVCAVLGYFALVRRNYEGN >Manes.01G000155.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:225580:230443:1 gene:Manes.01G000155.v8.1 transcript:Manes.01G000155.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSMESLPLGFRFRPTDEELINHYLRLKINGRNSEVEVIPEVDVCKWEPWDLPGLSVIKTDDPEWFFFCPRDRKYPNGQRSNRATDAGYWKATGKDRTIRARKSGCNPTSIGMKKTLVFYRGRAPKGERTNWIMHEYRATLKDLDGSAPGQGAFVLCRLFRKPEEKIEIVKYDEVDQSGYSLTTSKSSPDDTSSDLVQETATSAVQPRKPSEGIGRWLIDETDNMTTPSALLPVDSCCNSLSDVEDHVAEMTTMVHPQLEENSCQFGATPGEIDCKVFSPMQPQIQEDIAHYMDSPYADFGNDHNGFHFLDGTSEPDVSLTELLGEVFNNNDDFSGEESTSQKNPAVGSETDLSGHRPPVNFRVMDSGICHGADTEMAQVQMQASFGSWGAQASSFDFDGQLGSRNAGGLANSVGQDTQFAISAVGSSFGVFNSMDESSRLMNPMNHDSAVGGTGIKIRARQPQVRPCTDGFAIQGTAPRRLRLQKEFSAVPHGNRLEKDKNHNEDEDEEEDEDEDEEEDEVQSAVAEARDAGYNPDSDKLLAESQLHNSDKGIEIARESSSNLRSRVKHTGKSGGGEIGSSAFLDSAPEDHGHSSSSVYILGVVLVLILFVLLLGIWKCL >Manes.09G115500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31774939:31775859:-1 gene:Manes.09G115500.v8.1 transcript:Manes.09G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFSGSSELPQKSFQIKQDDKFFSRLLSKESSMANSSFRVYYGGVSVAVPFMWESQPGTPKYSFGETTLPPLTPPPSYYSNSEQKPIKTRYSKFNLFSFRFSRINPKKTNNGAASFSTLSPTPSSASLSSLNSSSFLPSTPRERSRFSSLGSSFDSRAYDEVAFASPTSNSTCFGDSKRTRNGLKLKGCYAWW >Manes.S032916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:809157:825230:1 gene:Manes.S032916.v8.1 transcript:Manes.S032916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQSTGQKSHCVSIRRDHRNALF >Manes.14G025800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2393362:2396832:-1 gene:Manes.14G025800.v8.1 transcript:Manes.14G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENREQQAYSQYGSGIYNHFKETIRPYIKLPARLSIFVFLIFLLVCSFVSTRLLDSTAILSGSAQKPFLATETSAHVPLDCAAFNLTRKCPAYFPTSISENHGGPSVSACPEYFRWIHEDLRPWAGTGITREMVERAKTTANFRLVIVNGKVYLEKYRRAFQTRDVFTLWGILQLLQRYPGKVPDLELMLDCFDWPVVKLSEYSGERPNSTTPPPLFGYCGDDDTLDIVFPDWSFWGWPETHIKPWENLLNDLKEGNKKTRWIDREPYAYWKGNPKVSQTRQELMKCNVSEQQDWNARVYALDWDRESKQGYKQSNLASQCNHRYKIYIEGYTWSVSEKYILACDSVTLIVKPHYYDFFTRSLRPIDHYWPIKDNEKCRSIKFAVEWGNTHKQKAQAIGKAASEFIQEELKMDYVYDYMFHLLNEYAKLLTFKPIIPPKAKELCLEYMACRMGGLKKEFMMETMVKSPAETNPCTLPPPYDPQSLHAIFRRREISIKQVESWEKQYWDDHNN >Manes.08G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7202854:7208540:-1 gene:Manes.08G060600.v8.1 transcript:Manes.08G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKITRKHHKHLNNPFPSTPRSLPFIQGSLFFNSQTLPSHQIFPVGRDFQLLWSSKNGGHLSISHQSRPTRALWSTVPGQAFVSAALTETEIDESRGSFAIKDGNVLVVCDHQTIEDIRVIKQLDGNHFEEASDLDSSSGYLSFDQTKDLKDTQSPVLLITGKLFSKRKKKLPDSSIYKHIDFDTRGPRASARYWVLFDQKNLNQIGFQVRVGEPNFEFSPRSSPTRFGKYQKLRLKLRRIRKRRLGWFRFFTRPRGFVAVSSSEEVDTKVAEVTEFNRICLSYSSETNERFYGFGEQFSHMDFKGKRVPIFVQEQGIGRGDQPITFAANLISYRAGGDWSTTYAPSPFYMTSEMRSLYLDGYDYSVFDLTRQDRVQIQIHSNSAQGRILHGNSPAEIIEHFTETIGRPPELPKWIISGAVVGMQGGTEVVRRIWDELKAYKVPVSAFWLQDWVGQRKTLIGSQLWWNWEVDTTRYKGWKQLVQDLGAQHIKVMTYCNPCLAPTDEKPNRRRNLFEEAKKLDILVKDKHGEPYMVPNTAFDVGMLDLTHPDTASWFKQVLLEMVDDGVRGWMADFGEGLPVDADLYSGEDPISAHNRYPELWAKINREFVEEWKANRVGKEREDPEESLVFFMRAGFRDSPKWGMLFWEGDQMVSWQANDGIKSAVVGLLSSGLSGYAFNHSDVGGYCAVNLPFIKYNRSEELLIRWMELNAFTTVFRTHEGNKPSRNSQFYSNQKTLSYFARCAKMYKAWYFYRIQLVKEASQKGLPICRHLFLHYPNDKHVQSLSYHQFLIGTEILVVPVLDKGKQNVKAYFPEGETYSWKHVWSGKLFTEPGSEAWVEAPLGYPAVFIKDGTFVGETFLENLRKFDIL >Manes.15G075300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5691106:5692280:-1 gene:Manes.15G075300.v8.1 transcript:Manes.15G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETISRLNYQIKAFHVLISFFCLMLCFQKGNATQFTVGGDKGWTVSDNASAYNYNQWAERTRFQIGDSLLFVYKPDQDSVLQVTKEDYDNCTTTAALATFNDGHTVFTFNRSGPLYFISGNKENCLKNEKLVVIVLADRSNHSSNTNETIPASSPAPAAEAPPTGTVEINPTPSPAGQPPSAASSTFISFIGSTAALFASSLILVF >Manes.11G080600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12351647:12354783:-1 gene:Manes.11G080600.v8.1 transcript:Manes.11G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCASFGFSKRKKLKRKITDKEASSVKEPLYESRSGSSVSALASGSGSRSKVNADSSQEQPPDPGHGDKLANMAPTFTYEELAIATNNFHSDCFLGRGGFGAVYKGKLESTGQVVAVKQLDLSGMQGEKEFLVEVLMLNLLHHPNLVNLIGFCAEGDQRLLVYEYLRMGSLEDHLFEVTADMVPLDWNTRMQIAAGTARGLDFLHSATPPVIYRDLKASNILLDDGFQTKLSDFGLAKFGPVGDVSHVSTRVMGTYGYCAPEYASTGRLTMKTDIFSFGVVLLELLTGYRAVDNINGRRMHLIHWAVPLMKDHGTYLKLADPLLRGQFSVSVFNKAVEVASMCLNETANLRPSASELVSAMDYLASRKYDHRESKQKASVKWHEIDFPTSARAVAEKDSDRDRAVAEARMWGENCREKSAPSASTTSY >Manes.08G124100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36435384:36439741:-1 gene:Manes.08G124100.v8.1 transcript:Manes.08G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEKQLLLSRSEPNAHGVQHFLPSSDSLSTPAVVLSTMVAICGTLAVGCATGYSSPARTGIMEELGLSVAAYSIFGSVVTVGGMLGSLITGTVSDLIGRRYTMWVSDFFFIIGWLAIAFSQGAWLLDLGRLLVGIGIGITLFVVPVYIVEITPKNIRGGFTSAYQFMASCGLSLIYFIGTVVSWRTLALIGALPCALQTIGIFFIPESPRWLAKVGQEKELEVTLQHLRGKTADISQEAAEIISYTKTFQGHSQTRFLELFQWRYAHTLIVGVGMLLFQQFGGINAIAYYATSIFEKADFSSNVGLISMAIVQIPATAVSVLLTDKCGRRPLLMVSASGMCLSCFVIGMAFCLQDPRKEIGISPILVYISVLGYGVAASVGMLGLPWVIISEILPINIKGTAGGLATFLKWMCSWIVTYTFNFMIEWSAAGTFFILCGLCGAAVLFIAKVVPETKGRMLEELQASITHVSAVRKTTST >Manes.08G124100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36435384:36439741:-1 gene:Manes.08G124100.v8.1 transcript:Manes.08G124100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEKQLLLSRSEPNAHGVQHFLPSSDSLSTPAVVLSTMVAICGTLAVGCATGYSSPARTGIMEELGLSVAAYSIFGSVVTVGGMLGSLITGTVSDLIGRRYTMWVSDFFFIIGWLAIAFSQGAWLLDLGRLLVGIGIGITLFVVPVYIVEITPKNIRGGFTSAYQFMASCGLSLIYFIGTVVSWRTLALIGALPCALQTIGIFFIPESPRWLAKVGQEKELEVTLQHLRGKTADISQEAAEIISYTKTFQGHSQTRFLELFQWRYAHTLIVGVGMLLFQQFGGINAIAYYATSIFEKADFSSNVGLISMAIVQIPATAVSVLLTDKCGRRPLLMVSASGMCLSCFVIGMAFCLQDPRKEIGISPILVYISVLEHFSYSVDFAAQLFCSLQRWCQRPRGECWKNYKLQLLTFLQ >Manes.02G079166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6120003:6121366:1 gene:Manes.02G079166.v8.1 transcript:Manes.02G079166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRYGTVYWDGHIINIDIGYDYVGGQSRMFRIEKKMNYKELVNKIVRAIKLSTHEEMITRIIFRKPMLDNDIIKYGLAQLSDDEDVDMMFDYISTIRPVDSIVLYVDILSRHHRTEDGGIGTSTSCPIVMEGESEDNLRDDNCPVVGKQTCHELQHNCNDPKIGPLPALGSGST >Manes.17G019600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10452170:10475417:1 gene:Manes.17G019600.v8.1 transcript:Manes.17G019600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCLECILKLLNFLLTLVGLAMVGYGIYLFVEYKRADNDTSLAPVSGDQSLMQLGRPMLIAVSFSESILDKLPKAWFIYLFIGVGVVLFIISCFGCIGFVTRNGCCLICYSVLVIMLILVELGSAAFIFFDKSWKEELPTDKTGDFDMIYDFLKKNWNIVRWVALGVVILEALLFVLALIVRAANRPADYDSDDELIAPRQQTRQPLLNRPPGPATGVAAAGTLDQRPSRNDAWSARMREKYGLDTSEFSYNPSESHRFQQSSTESAEERSRCTIM >Manes.05G037200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3062220:3070849:-1 gene:Manes.05G037200.v8.1 transcript:Manes.05G037200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNRGRYPPGMGVGRGAVMNANPSFQSRVPQQQYVQRNLMQNHQQFQHQQQQQQHHQQQWLRRSQLPPVDSSVDEVEKTVQSEAVDSSSQDWKARLNIPPPDTRYKTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLVMDEADKLLSPEFQPSVEQLIRFVPQNRQILMFSATFPVTVKDFKDRYLKKPYIINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >Manes.12G145600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35229628:35238924:-1 gene:Manes.12G145600.v8.1 transcript:Manes.12G145600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVICNLKHGCKSLSHVKWVSASGFHISVAGVLGVTGLLLALIRDRNLGNLTRLPWLARRENRLEKLYFVPGLQNLGNNCFLNVILQALASCSYFQPFLQKAIEDLESSAIGEWGENLHLTVALAALLEELSAFGEQRVVLSPRKVMLAMSDYIQNFSLTSQQDAEEAFLHLLSSLREEFLDSYPPKESSLADAFASSNYRILAPRRGEILNEQERWQQHLFGPFDGILSSILTCQSCSSQISLNFQFFHSLPLLPMLESSSTIMAGCTLEECLKQFIVAEQVENYNCSHCWHIAAMKFLSLKGAKERDIEEIRRCSMQDSCTCHSLSHLENIPWSNNFSRTLKQLSIARCPKILCLHLQRVSVNHFGELVKLQGHVRFPLILNMLPFTLEKAQCQKARPHLNHFNVQSDTRMLNCTFGQSTYSKVVAVDGSGFNGHVETFLGESGLPKIKGCSKAICTDVSLQTNDKSSTTWK >Manes.12G145600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35227435:35238924:-1 gene:Manes.12G145600.v8.1 transcript:Manes.12G145600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSDYIQNFSLTSQQDAEEAFLHLLSSLREEFLDSYPPKESSLADAFASSNYRILAPRRGEILNEQERWQQHLFGPFDGILSSILTCQSCSSQISLNFQFFHSLPLLPMLESSSTIMAGCTLEECLKQFIVAEQVENYNCSHCWHIAAMKFLSLKGAKERDIEEIRRCSMQDSCTCHSLSHLENIPWSNNFSRTLKQLSIARCPKILCLHLQRVSVNHFGELVKLQGHVRFPLILNMLPFTLEKAQCQKARPHLNHFNVQSDTRMLNCTFGQSTYSKVVAVDGSGFNGHVETFLGESGLPKIKGCSKAICTDVSLQTNDKVGVACSVAPTAPFLYRLVSVVEHFGKAGGGHYTVYRSASSQTLKESTSENLEPAHLQWFCISDSDVSIVSEEDVLAAEASLLFYERIVDV >Manes.12G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35227435:35238924:-1 gene:Manes.12G145600.v8.1 transcript:Manes.12G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVICNLKHGCKSLSHVKWVSASGFHISVAGVLGVTGLLLALIRDRNLGNLTRLPWLARRENRLEKLYFVPGLQNLGNNCFLNVILQALASCSYFQPFLQKAIEDLESSAIGEWGENLHLTVALAALLEELSAFGEQRVVLSPRKVMLAMSDYIQNFSLTSQQDAEEAFLHLLSSLREEFLDSYPPKESSLADAFASSNYRILAPRRGEILNEQERWQQHLFGPFDGILSSILTCQSCSSQISLNFQFFHSLPLLPMLESSSTIMAGCTLEECLKQFIVAEQVENYNCSHCWHIAAMKFLSLKGAKERDIEEIRRCSMQDSCTCHSLSHLENIPWSNNFSRTLKQLSIARCPKILCLHLQRVSVNHFGELVKLQGHVRFPLILNMLPFTLEKAQCQKARPHLNHFNVQSDTRMLNCTFGQSTYSKVVAVDGSGFNGHVETFLGESGLPKIKGCSKAICTDVSLQTNDKVGVACSVAPTAPFLYRLVSVVEHFGKAGGGHYTVYRSASSQTLKESTSENLEPAHLQWFCISDSDVSIVSEEDVLAAEASLLFYERIVDV >Manes.15G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9716785:9726866:1 gene:Manes.15G122100.v8.1 transcript:Manes.15G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRSATATATPSSSSASATSNFPPMKKAKSQAVSACSPLDHSCNKNGLHHFSSGTTADNDVVFDPSSMTLDDDPKLQDFSPPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPANFEEDTWGRLQSAIKAIFLKQPDSCDLEKLYQAVNDLCLHKMGGNLYQRIERECEAHIHTVLQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSPEVEHKTVTGLLRMIEKERLGESVDRTLLNHLLKMFTALGIYAESFERPFLDCTSEFYAAEGMKYMQQSDVPDYLKHVEIRLQEENERCLLYLDASTRKPLIATAERQLLERHISAILDKGFMMLMDGHRIEDLKRMYSLFLRVNALESLRQALSSYIRRTGQGIVMDEEKDKDMVSCLLEFKASLDTIWEESFSKNEAFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDATGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDDDLFVFNEGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Manes.15G122100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9716785:9727116:1 gene:Manes.15G122100.v8.1 transcript:Manes.15G122100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRSATATATPSSSSASATSNFPPMKKAKSQAVSACSPLDHSCNKNGLHHFSSGTTADNDVVFDPSSMTLDDDPKLQDFSPPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPANFEEDTWGRLQSAIKAIFLKQPDSCDLEKLYQAVNDLCLHKMGGNLYQRIERECEAHIHTVLQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSPEVEHKTVTGLLRMIEKERLGESVDRTLLNHLLKMFTALGIYAESFERPFLDCTSEFYAAEGMKYMQQSDVPDYLKHVEIRLQEENERCLLYLDASTRKPLIATAERQLLERHISAILDKGFMMLMDGHRIEDLKRMYSLFLRVNALESLRQALSSYIRRTGQGIVMDEEKDKDMVSCLLEFKASLDTIWEESFSKNEAFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQVGFIVFYTFLFLGLLFFAPLISR >Manes.15G122100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9717404:9726866:1 gene:Manes.15G122100.v8.1 transcript:Manes.15G122100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLYQRIERECEAHIHTVLQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSPEVEHKTVTGLLRMIEKERLGESVDRTLLNHLLKMFTALGIYAESFERPFLDCTSEFYAAEGMKYMQQSDVPDYLKHVEIRLQEENERCLLYLDASTRKPLIATAERQLLERHISAILDKGFMMLMDGHRIEDLKRMYSLFLRVNALESLRQALSSYIRRTGQGIVMDEEKDKDMVSCLLEFKASLDTIWEESFSKNEAFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDATGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDDDLFVFNEGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Manes.15G122100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9716785:9726866:1 gene:Manes.15G122100.v8.1 transcript:Manes.15G122100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRSATATATPSSSSASATSNFPPMKKAKSQAVSACSPLDHSCNKNGLHHFSSGTTADNDVVFDPSSMTLDDDPKLQDFSPPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPANFEEDTWGRLQSAIKAIFLKQPDSCDLEKLYQAVNDLCLHKMGGNLYQRIERECEAHIHTVLQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSPEVEHKTVTGLLRMIEKERLGESVDRTLLNHLLKMFTALGIYAESFERPFLDCTSEFYAAEGMKYMQQSDVPDYLKHVEIRLQEENERCLLYLDASTRKPLIATAERQLLERHISAILDKGFMMLMDGHRIEDLKRMYSLFLRVNALESLRQALSSYIRRTGQGIVMDEEKDKDMVSCLLEFKASLDTIWEESFSKNEAFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDATGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDDDLFVFNEGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQVIIFHFYLSRINWVNVIPKPCNAKDSL >Manes.13G123900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33141413:33146839:1 gene:Manes.13G123900.v8.1 transcript:Manes.13G123900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLRNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTETTFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFASADTVDSLMADTHELGGSTVVVDRATPKEDDFRPVGRMAHGGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPPARGMGKKIFVGRLPQEATAEDLHQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMDAGPPFGGYGGPLRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.13G123900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33141488:33146828:1 gene:Manes.13G123900.v8.1 transcript:Manes.13G123900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLRNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTETTFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFASADTVDSLMADTHELGGSTVVVDRATPKEDDFRPVGRMAHGGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPPARGMGKKIFVGRLPQEATAEDLHQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMDAGPPFGGYGGPLRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.13G123900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33141488:33146218:1 gene:Manes.13G123900.v8.1 transcript:Manes.13G123900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLRNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTETTFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFASADTVDSLMADTHELGGSTVVVDRATPKEDDFRPVGRMAHGGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPPARGMGKKIFVGRLPQEATAEDLHQYFGRFGRILDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMDAGPPFGGYGGPLRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.17G109700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31607591:31610675:-1 gene:Manes.17G109700.v8.1 transcript:Manes.17G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIKQTPTSLQKLIKGDRLMFSSSDDTAMTNQIQATHSPDGREFDVKPLLQLVEDIFTRAAPTIDALAVPATHQARTADALDEKNYHGNFTVIIESLAPVIDRVASEIAYKCAGVVDAHATTMSILNMLSSYSWEAKLVITLAAFATNYGEFWLVAQNYTSNQLAKSVAILKQLPDILEHSSTLKPRFDAVKNLIKVMLDIARCIVEFKELPSQYISMDVTALSTAIAHIPITVYWTIRSVVACATQIIGLIGQGQEYIASTTEAWELSSLAHKLSNMHTHLATQLGICYKHIDEKKQLETYQNLLHLFEMTHIDNMRILKALIYAKDDLLPLVEGTTKRRVNIEVLRRKYVLLLISDLDIPQQEISILEQIYNESRLHPTKQESQYEIVWLPIVDPTSLRNDENMQRKFESLQAGMTWYSVHHPSLIDRAVAKFVKQEWHFGKKPILVVLDPQGRVACPNALHMMWIWGSLAFPFTTMREETLWKEESWRLELLVDGIDPIIHDWMTEGRYICLYGGEDMDWIRKFTSTARAVAQATGIPLGMVYVGNSNPKDRVRKNIATIIVEKLSHYWQDPTSIWYFWVRIESMWRSKNQLGKTPENDPIMKEIMTMLSFDSSHSGWALFTRGSDEMVKAKGAPFLTCLSNFSSWKDEIEKKGFMPTLRDQLKDLHTEHHCNRLVLPGAAGMIPERIICSDCGRTMERFIMYQCCDE >Manes.01G139500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33119626:33127513:1 gene:Manes.01G139500.v8.1 transcript:Manes.01G139500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGNMPQSVSTLFSAYASLAASVMLVRSMANEFIPYELRSYLSSAIHYLFTPLSTNLTLVIDEYSGITRNQVYDASETYLRTKISSSTDRLRVSKTPRQKNFSVAIEKGEEVNDVYENVKLKWRYVCTEPQNNNHHYSGGGEKRCFELSFNKKFKDRVMGSYLPFVMDRAHVIKEEEKVVKLYNRECPMGDEDGGGGMWGSINLEHPATFDKIAMDSELKKMIIDDLEMFVRRKEFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSIYSNSDLRRILLSTTNRSILVIEDIDCSVEMQDRQQNVDFEASCSSSRLTLSGILNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHVNMSYCTTQGFSLLATNYLGVQCKYHRLYGEIEGLMENTSVTPAEVAEELMKSEDVDIALDGLVKFLKRKSSEANETKDEPNGKVEDQETKRLKLNDDEKNLPINNKRRILRAVRTARGRDRQR >Manes.01G139500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33119626:33124812:1 gene:Manes.01G139500.v8.1 transcript:Manes.01G139500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGNMPQSVSTLFSAYASLAASVMLVRSMANEFIPYELRSYLSSAIHYLFTPLSTNLTLVIDEYSGITRNQVYDASETYLRTKISSSTDRLRVSKTPRQKNFSVAIEKGEEVNDVYENVKLKWRYVCTEPQNNNHHYSGGGEKRCFELSFNKKFKDRVMGSYLPFVMDRAHVIKEEEKVVKLYNRECPMGDEDGGGGMWGSINLEHPATFDKIAMDSELKKMIIDDLEMFVRRKEFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSIYSNSDLRRILLSTTNRSILVIEDIDCSVEMQDRQQNVDFEASCSSSRLTLSGILNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHVNMSYCTTQGFSLLATNYLGVQCKYHRLYGEIEGLMENTSVTPAEVAEELMKSEDVDIALDGLVKFLKRKSSEANETKDEPNGKVEDQETKRLKLNDDEKNLPINNKRRILRAVRTARGRDRQR >Manes.01G139500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33119626:33124812:1 gene:Manes.01G139500.v8.1 transcript:Manes.01G139500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGNMPQSVSTLFSAYASLAASVMLVRSMANEFIPYELRSYLSSAIHYLFTPLSTNLTLVIDEYSGITRNQVYDASETYLRTKISSSTDRLRVSKTPRQKNFSVAIEKGEEVNDVYENVKLKWRYVCTEPQNNNHHYSGGGEKRCFELSFNKKFKDRVMGSYLPFVMDRAHVIKEEEKVVKLYNRECPMGDEDGGGGMWGSINLEHPATFDKIAMDSELKKMIIDDLEMFVRRKEFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSIYSNSDLRRILLSTTNRSILVIEDIDCSVEMQDRQQNVDFEASCSSSRLTLSGILNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHVNMSYCTTQGFSLLATNYLGVQCKYHRLYGEIEGLMENTSVTPAEVAEELMKSEDVDIALDGLVKFLKRKSSEANETKDEPNGKVEDQETKRLKLNDDEKNLPINNKRRILRAVRTARGRDRQR >Manes.01G139500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33119626:33124812:1 gene:Manes.01G139500.v8.1 transcript:Manes.01G139500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGNMPQSVSTLFSAYASLAASVMLVRSMANEFIPYELRSYLSSAIHYLFTPLSTNLTLVIDEYSGITRNQVYDASETYLRTKISSSTDRLRVSKTPRQKNFSVAIEKGEEVNDVYENVKLKWRYVCTEPQNNNHHYSGGGEKRCFELSFNKKFKDRVMGSYLPFVMDRAHVIKEEEKVVKLYNRECPMGDEDGGGGMWGSINLEHPATFDKIAMDSELKKMIIDDLEMFVRRKEFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSIYSNSDLRRILLSTTNRSILVIEDIDCSVEMQDRQQNVDFEASCSSSRLTLSGILNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHVNMSYCTTQGFSLLATNYLGVQCKYHRLYGEIEGLMENTSVTPAEVAEELMKSEDVDIALDGLVKFLKRKSSEANETKDEPNGKVEDQETKRLKLNDDEKNLPINNKRRILRAVRTARGRDRQR >Manes.01G139500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33119626:33124812:1 gene:Manes.01G139500.v8.1 transcript:Manes.01G139500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGNMPQSVSTLFSAYASLAASVMLVRSMANEFIPYELRSYLSSAIHYLFTPLSTNLTLVIDEYSGITRNQVYDASETYLRTKISSSTDRLRVSKTPRQKNFSVAIEKGEEVNDVYENVKLKWRYVCTEPQNNNHHYSGGGEKRCFELSFNKKFKDRVMGSYLPFVMDRAHVIKEEEKVVKLYNRECPMGDEDGGGGMWGSINLEHPATFDKIAMDSELKKMIIDDLEMFVRRKEFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSIYSNSDLRRILLSTTNRSILVIEDIDCSVEMQDRQQNVDFEASCSSSRLTLSGILNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHVNMSYCTTQGFSLLATNYLGVQCKYHRLYGEIEGLMENTSVTPAEVAEELMKSEDVDIALDGLVKFLKRKSSEANETKDEPNGKVEDQETKRLKLNDDEKNLPINNKRRILRAVRTARGRDRQR >Manes.01G139500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33119626:33127512:1 gene:Manes.01G139500.v8.1 transcript:Manes.01G139500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGNMPQSVSTLFSAYASLAASVMLVRSMANEFIPYELRSYLSSAIHYLFTPLSTNLTLVIDEYSGITRNQVYDASETYLRTKISSSTDRLRVSKTPRQKNFSVAIEKGEEVNDVYENVKLKWRYVCTEPQNNNHHYSGGGEKRCFELSFNKKFKDRVMGSYLPFVMDRAHVIKEEEKVVKLYNRECPMGDEDGGGGMWGSINLEHPATFDKIAMDSELKKMIIDDLEMFVRRKEFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSIYSNSDLRRILLSTTNRSILVIEDIDCSVEMQDRQQNVDFEASCSSSRLTLSGILNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHVNMSYCTTQGFSLLATNYLGVQCKYHRLYGEIEGLMENTSVTPAEVAEELMKSEDVDIALDGLVKFLKRKSSEANETKDEPNGKVEDQETKRLKLNDDEKNLPINNKRRILRAVRTARGRDRQR >Manes.05G048400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4018231:4019446:-1 gene:Manes.05G048400.v8.1 transcript:Manes.05G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVASTALLLSLNLLFFSLVSSSHHHHDVPCPPPPSSPSPPAKATCPRDTLKLGVCANLLNDLLHLVVGTPPKKPCCPLIQGLADLEAAVCLCTALKANVLGINLNVPISLSLLLNYCGKGVPAGFQCA >Manes.11G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9906716:9909817:-1 gene:Manes.11G069800.v8.1 transcript:Manes.11G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLTLSSSSLIKPTSKFIFFRTKFVIRTQKTSIFAMAAAPGSTATAAKVAPAVIVGGGRVGRALQEMGNGQDLLVKRGESVPLDFEGPILVCTRNDDLEAVLEATPKSRWNDLVFFQNGMLEPWLESKGLGDADQVLAYFAVSKLGEPPIDGKTDTNPEGLTAAYGKWASAIAARLYWGGLSCKVLDKEAFQKQMLEKLIWIAAFMLVGARHPGATVGVVEKEYRSEVSSLIAELAAAAAAEKGIAFDEGIEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSLSQKAIAQGKPDPCPLHTAWLKELKVV >Manes.11G073250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10635355:10635669:-1 gene:Manes.11G073250.v8.1 transcript:Manes.11G073250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFSFSISFFFFIYFLSVIFFNFFIFLLLFFFNILFFPNHFLYLPSLFFYFLLFFLLFSLFLTFLHHFLNSFYFFSFCYFLLFYLITIYLFSSHFNYFPIIFYFT >Manes.15G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5346364:5349772:-1 gene:Manes.15G070200.v8.1 transcript:Manes.15G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTFLLSFFFFSILLTLSFNLSTAQLVPAVFVFGDSLVDVGNNNHLPVSIAKANFPHNGIDFPNKKATGRFSNGKNAADFIAEKVGLPTSPPYLSLSSKNASAFITGVSFASGGAGIFNAKDKVLGQSLPLTQQVGDYESVYGVLVQKLGSSAAQKLLSKSLFAIVVGSNDIFGYSNSSDHNKSTPQEYVDLMILTFKQLIKRIYAHGGRKFFVSGVGPIGCTPSRRVKIRSEACNEEINSIVVMYNQRLKSMLQELNSEINGVSYSYFDTYAVMENIIQKPATYGFTEVKAACCGIGKLKAKVPCLPIATYCSNRKEHVFWDLFHPTEAAARVLVDTIFDGPSQYTSPMNVRQLVTI >Manes.15G176600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18532138:18541380:-1 gene:Manes.15G176600.v8.1 transcript:Manes.15G176600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFNNISLGGRGGTNPGQLKLHSGGIQWKKQGGGKAVEVDKADITGVTWMKVPRTNQLGVRIKDGLYYKFTGFRDQDIANLTNSFQSSCGVTLEEKHLSVTGRNWGEVDLNGNMLTFLVGSKQAFEVSLADVSQTQLQGKNDCILEFHVDDTTGANEKDSLMEISFHIPGNNTQFVGDENRPPAQVFREKILSMADVDPGGEEAVVTFDGVAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVIVTLDPPIRKGQTLYPHIVLQFDTDNVVQNTLSINEDLLSTKYKDKLEPSYKGLIHDVFTTVLRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGLLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLFDFISGKGLKIMNLGDMQTTNGVAAVLQSDDDDAVDPHLERIKNEAGDESDEEDEDFVADKDDEGSPTDDSGDEESDASESGDEKKKPMKKESTKEPSSSKGVSKKKVKDGNEDGSKKKKQKKKKDPNAPKKAMSGFMFFSQMERENVKKSNPGIAFGDVGKILGDRWKQLSVEDKEPYEAKARADKKRYKDEVSGYKNPQPVNLGLGNESDSD >Manes.02G047200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3853871:3854479:1 gene:Manes.02G047200.v8.1 transcript:Manes.02G047200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDQPESSKDMHTISYNELFFTLLHILLCGLFLDKVSDLQKFFSSFWFSLRSKHSFGNSKVWEENKNQDSESSNQQRYFNKRDDRNLSRDEVEMAMGKLGLFCSGESEELQESMGSDELSQLFDEKEPSLEEVKEAFDVFDENKDGFIDAQELQSVLQKLGLREEFSQENCREMIRAYDENRDGRIDFNEFVKFMENIFS >Manes.13G151500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36643420:36647054:1 gene:Manes.13G151500.v8.1 transcript:Manes.13G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFTFYERILRAFHDHPTLSKLVVLSSVSGGGLIAFADNSQSQNLISDSAEGESKKKKVVVLGTGWAGTSFLKNLKSSSYDVHIVSPRNYFAFTPLLPSVTNGTVEARSVVEPIRNIVRKKTFKVDFKEAECYKIDPVNKKIHCRSGHAKNLGGIEEFTMDYDLLVVAMGACSNTFNTPGVLEYAYFLKEIEDAQKIRRSIIDCYERASLPTISDEEKKRIMHFVVVGGGPTGVEYAAELHDFAVEDLARLYPSLKDYLKITLIEAGDHILNMFDARITKFATEKFSRDGIDVKTGSMVIKVSEKEISTKDGKTGQTSSIPCGMVLWSTGVATRPVIREFMKQVAQGNRRVLATDEWLRVEGCDDIYALGDCATIVQRSILEDIAAIFSKADKNNSGVLDVHDFKEVVEHICERYPQVNIYLKRKKLKNFNDLLKGAQGNDKKKIDIEMFKKALSEVDSQMKNLPATAQVAAQQGEYLANCFNRMEQCEESPEGPIRFRGTGRHRFRPFRYKHFGQFAPLGGEQTAAQLPGDWVSIGQSTQWLWYSVYASKLVSWRTRILVITDWFRRFTFGRDSSKI >Manes.12G045500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4063465:4067906:-1 gene:Manes.12G045500.v8.1 transcript:Manes.12G045500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFSSSANPYPNPLQRHRPMTPKPVYRFPVPVSASIPEIEPISTPPAKNDAALEVTQSDQRRRAVDWEKVKKREAREKKEEANRKIASRKAISVILRRDATKAIIEKKRGPTNSKKLLPRTVLEALHERITALRWESALKVFELLQEQLWYRPNSGMYIKLIVMLGKCKQPERAHELFQAMISEGCVVNHESYTALLSAYGRSGLFDEAFSLLEEMKNNPDCQPDVHTYSILIKSCLLVFAFDKAQWLLSDMESLGVRPNTITYNTLIDAYGKAKMFAEMETTLVKMLSEKNCEPDVWTMNSTIRAFGSSGQIEMMEKCYEKFQSAGIEPSILTFNILLDSYGKAGDYKKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFHLMQSERIRPSCVTLCSLVRAYGEAGKPGKIGGVLRFMENSDITLDTVFFNCLADAYGRMGCFAEMKGVLELMEQKGCKPDKITYRTMIKAYSSKGMTKHVKELQDLARSVEGPQFQRKKPDFL >Manes.12G045500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4064443:4067932:-1 gene:Manes.12G045500.v8.1 transcript:Manes.12G045500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFSSSANPYPNPLQRHRPMTPKPVYRFPVPVSASIPEIEPISTPPAKNDAALEVTQSDQRRRAVDWEKVKKREAREKKEEANRKIASRKAISVILRRDATKAIIEKKRGPTNSKKLLPRTVLEALHERITALRWESALKVFELLQEQLWYRPNSGMYIKLIVMLGKCKQPERAHELFQAMISEGCVVNHESYTALLSAYGRSGLFDEAFSLLEEMKNNPDCQPDVHTYSILIKSCLLVFAFDKAQWLLSDMESLGVRPNTITYNTLIDAYGKAKMFAEMETTLVKMLSEKNCEPDVWTMNSTIRAFGSSGQIEMMEKCYEKFQSAGIEPSILTFNILLDSYGKAGDYKKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFHLMQSERIRPSCVTLCSLVRAYGEAGKPGKIGGVLRFMENSDITLDTVFFNCLADAYGRMGCFAEMKGVLELMEQKGCKPDKITYRTMIKAYSSKGMTKHVKELQDLARSVEGPQFQRKKPDFL >Manes.11G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3402768:3404317:1 gene:Manes.11G034900.v8.1 transcript:Manes.11G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPDFFIGGYFGSGAAADFFPDKRNSDQKPGDHFAVDDLLHFPNDDDAIMTDGFFDNITKNCTATIDSSTFNSNDSSNSSISGNHVGHQSFADSHFSSELCVPYDDLAELEWLSNFVEDSFSTEQNFQANLHIISGSKPPTPESSSSESHPESSARNLNNPMFQPETPLPGKARSKRSRAAPCDWSTRLLHLSQAPKPSSKKQGSHNSNPAVEAPVRKCLHCQAEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFLSTKHSNSHRKVMELRRQKELQRAQQEQFLHQSSIFGVSNGGDDFLIHHHSGPNFRHII >Manes.01G257100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41404158:41408795:-1 gene:Manes.01G257100.v8.1 transcript:Manes.01G257100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLLKTAHKLFDEIAKRNLHIRNSIYRLYFTSTLHEYTGYKDSVEFYHEKDNVFLWTSKISELVRKKQHERAIGLFKTMLLSDQRPNFVTLVSLIRALGAFSCQEVIRVAHAYVIKLGFELEAPVVTALLGLYSMFDIGIACKLFEHSLHKDVVLWSAMVSACVKNEKYKEAIHYFRRMLYYGMETNHVTVISVLPAGAHLGGLCFVNQIHGFSIKRVFYSLTNVQNSLVDVYAKSGELKASISLFNGMWQKDLVSWRTMICMCIENECPREGLNIFLKMQYSNIEPDEIIIRHAILASVKAKEIKFGLGFHACIEKNGFLAFISVGTSLLQMYAKYGKFGSARMVFDQLDNKDLIAWSAIISVHARGGQPHDALSAFKQMQSINEKPNKVTFVSLLQACTALGAQELGESIHGFVTKAGYSSNIYLKSCLIDLYCKLGRIKQCKALFDETSTKDVVCWSSMIKGYGWNGYGFEALETFSKMLNCGVKPNDTVFLSVLSACSQCGLEHEGRRWFYSMQEKHGITPKLAHYACMVDLLSRQGNIEEALKFVKEMPVEPDKRIWGALLAGCRSKCEGSTKVAEFVFQQLSALDPEDTSCYETL >Manes.01G257100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41404157:41408796:-1 gene:Manes.01G257100.v8.1 transcript:Manes.01G257100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLLKTAHKLFDEIAKRNLHIRNSIYRLYFTSTLHEYTGYKDSVEFYHEKDNVFLWTSKISELVRKKQHERAIGLFKTMLLSDQRPNFVTLVSLIRALGAFSCQEVIRVAHAYVIKLGFELEAPVVTALLGLYSMFDIGIACKLFEHSLHKDVVLWSAMVSACVKNEKYKEAIHYFRRMLYYGMETNHVTVISVLPAGAHLGGLCFVNQIHGFSIKRVFYSLTNVQNSLVDVYAKSGELKASISLFNGMWQKDLVSWRTMICMCIENECPREGLNIFLKMQYSNIEPDEIIIRHAILASVKAKEIKFGLGFHACIEKNGFLAFISVGTSLLQMYAKYGKFGSARMVFDQLDNKDLIAWSAIISVHARGGQPHDALSAFKQMQSINEKPNKVTFVSLLQACTALGAQELGESIHGFVTKAGYSSNIYLKSCLIDLYCKLGRIKQCKALFDETSTKDVVCWSSMIKGYGWNGYGFEALETFSKMLNCGVKPNDTVFLSVLSACSQCGLEHEGRRWFYSMQEKHGITPKLAHYACMVDLLSRQGNIEEALKFVKEMPVEPDKRIWGALLAGCRSKCEGSTKVAEFVFQQLSALDPEDTSCYETL >Manes.01G257100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41404158:41408796:-1 gene:Manes.01G257100.v8.1 transcript:Manes.01G257100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLLKTAHKLFDEIAKRNLHIRNSIYRLYFTSTLHEYTGYKDSVEFYHEKDNVFLWTSKISELVRKKQHERAIGLFKTMLLSDQRPNFVTLVSLIRALGAFSCQEVIRVAHAYVIKLGFELEAPVVTALLGLYSMFDIGIACKLFEHSLHKDVVLWSAMVSACVKNEKYKEAIHYFRRMLYYGMETNHVTVISVLPAGAHLGGLCFVNQIHGFSIKRVFYSLTNVQNSLVDVYAKSGELKASISLFNGMWQKDLVSWRTMICMCIENECPREGLNIFLKMQYSNIEPDEIIIRHAILASVKAKEIKFGLGFHACIEKNGFLAFISVGTSLLQMYAKYGKFGSARMVFDQLDNKDLIAWSAIISVHARGGQPHDALSAFKQMQSINEKPNKVTFVSLLQACTALGAQELGESIHGFVTKAGYSSNIYLKSCLIDLYCKLGRIKQCKALFDETSTKDVVCWSSMIKGYGWNGYGFEALETFSKMLNCGVKPNDTVFLSVLSACSQCGLEHEGRRWFYSMQEKHGITPKLAHYACMVDLLSRQGNIEEALKFVKEMPVEPDKRIWGALLAGCRSKCEGSTKVAEFVFQQLSALDPEDTSCYETL >Manes.01G257100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41404158:41408795:-1 gene:Manes.01G257100.v8.1 transcript:Manes.01G257100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLLKTAHKLFDEIAKRNLHIRNSIYRLYFTSTLHEYTGYKDSVEFYHEKDNVFLWTSKISELVRKKQHERAIGLFKTMLLSDQRPNFVTLVSLIRALGAFSCQEVIRVAHAYVIKLGFELEAPVVTALLGLYSMFDIGIACKLFEHSLHKDVVLWSAMVSACVKNEKYKEAIHYFRRMLYYGMETNHVTVISVLPAGAHLGGLCFVNQIHGFSIKRVFYSLTNVQNSLVDVYAKSGELKASISLFNGMWQKDLVSWRTMICMCIENECPREGLNIFLKMQYSNIEPDEIIIRHAILASVKAKEIKFGLGFHACIEKNGFLAFISVGTSLLQMYAKYGKFGSARMVFDQLDNKDLIAWSAIISVHARGGQPHDALSAFKQMQSINEKPNKVTFVSLLQACTALGAQELGESIHGFVTKAGYSSNIYLKSCLIDLYCKLGRIKQCKALFDETSTKDVVCWSSMIKGYGWNGYGFEALETFSKMLNCGVKPNDTVFLSVLSACSQCGLEHEGRRWFYSMQEKHGITPKLAHYACMVDLLSRQGNIEEALKFVKEMPVEPDKRIWGALLAGCRSKCEGSTKVAEFVFQQLSALDPEDTSCYETL >Manes.01G257100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41404158:41408795:-1 gene:Manes.01G257100.v8.1 transcript:Manes.01G257100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLLKTAHKLFDEIAKRNLHIRNSIYRLYFTSTLHEYTGYKDSVEFYHEKDNVFLWTSKISELVRKKQHERAIGLFKTMLLSDQRPNFVTLVSLIRALGAFSCQEVIRVAHAYVIKLGFELEAPVVTALLGLYSMFDIGIACKLFEHSLHKDVVLWSAMVSACVKNEKYKEAIHYFRRMLYYGMETNHVTVISVLPAGAHLGGLCFVNQIHGFSIKRVFYSLTNVQNSLVDVYAKSGELKASISLFNGMWQKDLVSWRTMICMCIENECPREGLNIFLKMQYSNIEPDEIIIRHAILASVKAKEIKFGLGFHACIEKNGFLAFISVGTSLLQMYAKYGKFGSARMVFDQLDNKDLIAWSAIISVHARGGQPHDALSAFKQMQSINEKPNKVTFVSLLQACTALGAQELGESIHGFVTKAGYSSNIYLKSCLIDLYCKLGRIKQCKALFDETSTKDVVCWSSMIKGYGWNGYGFEALETFSKMLNCGVKPNDTVFLSVLSACSQCGLEHEGRRWFYSMQEKHGITPKLAHYACMVDLLSRQGNIEEALKFVKEMPVEPDKRIWGALLAGCRSKCEGSTKVAEFVFQQLSALDPEDTSCYETL >Manes.01G257100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41404158:41408799:-1 gene:Manes.01G257100.v8.1 transcript:Manes.01G257100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLLKTAHKLFDEIAKRNLHIRNSIYRLYFTSTLHEYTGYKDSVEFYHEKDNVFLWTSKISELVRKKQHERAIGLFKTMLLSDQRPNFVTLVSLIRALGAFSCQEVIRVAHAYVIKLGFELEAPVVTALLGLYSMFDIGIACKLFEHSLHKDVVLWSAMVSACVKNEKYKEAIHYFRRMLYYGMETNHVTVISVLPAGAHLGGLCFVNQIHGFSIKRVFYSLTNVQNSLVDVYAKSGELKASISLFNGMWQKDLVSWRTMICMCIENECPREGLNIFLKMQYSNIEPDEIIIRHAILASVKAKEIKFGLGFHACIEKNGFLAFISVGTSLLQMYAKYGKFGSARMVFDQLDNKDLIAWSAIISVHARGGQPHDALSAFKQMQSINEKPNKVTFVSLLQACTALGAQELGESIHGFVTKAGYSSNIYLKSCLIDLYCKLGRIKQCKALFDETSTKDVVCWSSMIKGYGWNGYGFEALETFSKMLNCGVKPNDTVFLSVLSACSQCGLEHEGRRWFYSMQEKHGITPKLAHYACMVDLLSRQGNIEEALKFVKEMPVEPDKRIWGALLAGCRSKCEGSTKVAEFVFQQLSALDPEDTSCYETL >Manes.02G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7818335:7821643:-1 gene:Manes.02G100400.v8.1 transcript:Manes.02G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSNTGKFHEFISPTTTTKKVFDQYQETLGIDLWKTHYERMQEHLRKLREINNKLRRDIRQRMGEDLDDLSMDELFVLEQRMDSALELIRDRKYHVIKTQTETCKKKVKNLEERHGDLLLEYEAKYEDPQYGLVENEGDYESAIALANGASNLYAFRLHHGHPPTNFHHGGGFGSHDLRLA >Manes.01G059525.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:25070461:25070772:1 gene:Manes.01G059525.v8.1 transcript:Manes.01G059525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHINDFPLATLTSNRLIGAMGNCNMLAILSLIKLCVEPLSIKTHHSLFYELSIRKKHATKFRVVLQRRRLHHFPHNSRHMLPKRVAEVFCINVQERIFHHS >Manes.18G031800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2666689:2668492:1 gene:Manes.18G031800.v8.1 transcript:Manes.18G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVIPIDDSSSTDVSGLPLRDIPGDYGLPFIGPIKDRLDYFYHQGVDEFFRSRAQKYQSTVYRVNMPPGPFISSNPRVIVSLDGKSFPVLFDVSKVEKRDLFTGTYMPSTKLTGGYRVLSYLDPSETRHSQLKKLLFYLLMSRSSHMIPEFSSTYTKLFESLEKDLASKGKVTYNSPGEQAAFSFLGRCYFGVDPVDTQLGTHGPTIITIWVLFHLAPIITAGLPAFLEEPILHTFPLPPFLIKKKYKRLYDYISSSAGSVLDEAEKMGLSREDACHNILFATCFNTFGGIKIFFPSILKWIGGAGVELHNQLAKEIRTVIESNGGQVTMSALEKMPLMKSAVYEVFRIDPPVPFQYGKAKSDIIIESHDAAYKVKKGEMIFGYQPFATKDPKIFDDPEKYVADRFAGEGEKLLKHVLWSNGRETESPTLENKQCAGKDFVILISRLLVVELFRRYDSFEVQVKTTSLGHTVVITSLKKASF >Manes.10G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5246352:5252333:1 gene:Manes.10G047600.v8.1 transcript:Manes.10G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGILLGMGNPLLDISAVVDEDFLNKYEIKLNNAILAEDKHLPMYEEMASKFSVEYIAGGATQNSIKVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSRQAGVNVHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAAHNKVFSMNLSAPFICEFFKDAQEKVLPYMDYVFGNETEARTFAKVHDWKTDNVEEIAIKISQWHKASGTHKRITVITQGADPVVVAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVRAGCYAANVIIQRSGCTYPEKPDFS >Manes.01G217000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38592631:38592984:1 gene:Manes.01G217000.v8.1 transcript:Manes.01G217000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCSIFLLTFYLILSALIISSPTIDGTGDHQLSWIPTKARCQGSVADCMGDVEFHMYSEISRRILQTTTTQYISYGALQRDTVPCSRRGASYYNCQPGAEANPYSRGCSAITRCRS >Manes.01G203100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37569443:37573693:-1 gene:Manes.01G203100.v8.1 transcript:Manes.01G203100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVQEQKEQIEKHMGCMGGFLQIFDRNQILAGKRLYATKRLPPSTVVDSSPESEKAIGSPAISSVSEEQQTRSMPSPGHSKQQNSPVTELRTPVPEPQAKLPLPLPVFELKQGTKSSWKFCKEAPRLSLDSRATFDAKGSLKPKEIRTNAAILSVSSCEKNGDDTDDNEKQRRSPSVIARLMGLEKLPECENEQAKKPELRRSASESRASRDLLQYRFIDGVNFQLKNAQQSSAQSNVPSNMIRDDAVKNQKMNGRTVDQQEYNGVRNARVEPAGARATHRGIGQRKSFYDSADFFPEPKHPVSICGEIEKRLKMRGIDEPSKDHETLKQILEALQLKGLLHSKRPSNQNNQRILCDRRFSQDESPIVVMKPVRSTFPSPPVNRPARIGNDSSPSFRARSAIRRDSILSGETLPAVSPRRERPEIERNIRNQNRGRNSSSPPRSESSVKSPNRRPLTVETQRRVSNNSSERGRVSPVQSPKVSSRRTFPDPSTSRSPRIRKPTVEVYKEEKVFIAAEDESSSISESSISTSSQTETEAFLLRSSKMEDNKQGRSLLERCDKLIHSIAEITTSEQQPSPVSVLDSSFYKEESSPSPVMKRSVDFQDHLADVDDDLWNPAVQLKLEENSYDCDFIYISDILRASNYLPDDSDVFLLLEKQQYLKGNDTSKVSRLQRKLIFDTIAEILKKKRQLPPWKSISWTNAAGGQASLQQIWSEFQKIRESWDASDDLLNVICSLLKKDLAGDAINGWEDCPIEMSEAILDIERLIFKDLICESIRDLAAITGKYNQASLPRRKLVF >Manes.12G032300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2798013:2802490:1 gene:Manes.12G032300.v8.1 transcript:Manes.12G032300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLDNSQKPSLRHQRKWKSDPNYSKSWYDRGAKVYQAEKYRKGACENCGAMTHDAKSCMERPRKVGAKWTHKHIAPDEKIESFELDYDGKRDRWNGYDTSNYARVVERYEARDAARSKFLKEQQLKKLEEKNGKQNDEAVASDEENYEDELRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPNEKFYGGDNQYRNSGQAMEFKQLNIHSWEAFEKGQDIHMQAAPSQAELLYKNYKVIKEKLKTQTKDMIMEKYGNAASEEELPRELLLGQSERQVEYDRAGRIIKGQETVLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIKAAEAATDLMKANIARKETTEELPAPVEEKRLATWGTEVPDDLVLDEKLLVEALRKENERKKEEKDERKRKYNVTWNDEVTPEDMEAYRMTKIHHDDPMKNFLH >Manes.12G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2798011:2802490:1 gene:Manes.12G032300.v8.1 transcript:Manes.12G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLDNSQKPSLRHQRKWKSDPNYSKSWYDRGAKVYQAEKYRKGACENCGAMTHDAKSCMERPRKVGAKWTHKHIAPDEKIESFELDYDGKRDRWNGYDTSNYARVVERYEARDAARSKFLKEQQLKKLEEKNGKQNDEAVASDEENYEDELRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPNEKFYGGDNQYRNSGQAMEFKQLNIHSWEAFEKGQDIHMQAAPSQAELLYKNYKVIKEKLKTQTKDMIMEKYGNAASEEELPRELLLGQSERQVEYDRAGRIIKGQETVLPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIKAAEAATDLMKANIARKETTEELPAPVEEKRLATWGTEVPDDLVLDEKLLVEALRKENERKKEEKDERKRKYNVTWNDEVTPEDMEAYRMTKIHHDDPMKNFLH >Manes.10G088658.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23140174:23141067:-1 gene:Manes.10G088658.v8.1 transcript:Manes.10G088658.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.13G078900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13136337:13141401:1 gene:Manes.13G078900.v8.1 transcript:Manes.13G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGDSGKGKVRVLIEKATNSTAAEVDPRLLKAIKSVVRYSDSELRLAAQTLMDHMKRDHSQVRYLTLLIIDQLFMRSKLFRTLLVENLDQLLSLSVGFRRNLPLPAPPAVASVLRSKAIEFLEKWNDSFGIHYRQIRLGFDYLKHTLRFQFPNIQANAARIQQERREREMRSKEILQNKFATLKGNLSSIKEEVQSTLDEIGECLKIVHTEKETIPLGPLDDEDFEEFRSSELRQIRLDSLREGEKIHENSENKVVFDALRELYKLLVTKHLVSVQEWISVLIRVEVSDNRSRDSMLKEFIDMQNRLQTVKKKCIELGYALPDTTKREKNEEEDFWEEGKIESFEHESSNALNKLNDNTSVASTSGEVKNKSPESSKGKANCNQSQSCEHGGADSSSLRSKLLAEAPVINWGTFLNNWGSDQVFLANQRGLELESHWGRVDYDAVIPAEKIAELNIRRTIYEEEQVEIQPCRAPLHKGGLCQRRDLRACPFHGPIIPRDDEGNPINKSTSTSDTILDSDLLEQLAKQAVKNVRDRDTEDAKKRKLDKQSQKRAKLAKIREHNEAVLRDAALASTSNSAFVGEEVMATTGGRSALNKKESLASMLHKKESAKDRLAQRLLNTRTRDATIRQLTLGEDTNYREAFPNQW >Manes.04G088500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29374206:29376969:-1 gene:Manes.04G088500.v8.1 transcript:Manes.04G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSNSKNPHELPSFLTQPPQTLQQHQNQSQQQPQKASMGENKPAEIKDFQIVIADKEDQKKQLAPKRSSNKDRHTKVEGRGRRVRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAATGGSVSQQGTSVSAGLHQKIDESGGSSSRTIWPMLCGNLSRPHHVATTGLWPPLGGFGFQTSSTTGPPTTNLGNESSSYLQKIEFPGFELPGNNMGPVNFTSILAGSNQQLPGLELGLSQDGHIGVLNPQTLSQIYQQMGQARVHQQQQQHQHQQLHQQQNLAEDDSQGSEQ >Manes.18G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6836509:6838249:1 gene:Manes.18G074900.v8.1 transcript:Manes.18G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERVQILSHGGLEELPPQFVRPAHEQPQNTKAIEGVTVPVISLSQPHDALVDEVFRASTEWGFFLITDHGMPSSLIQRLQEVSQEFFALPQKDKEAYANDPANGKFEGYGTKMTKSHDEKLEWIDYFFHVMSPPSRVNYEIWPRNPPSYREVCEKYKEEILRLTDELLKLLSEGLGLEEKVLKSHLGSEEIELEMKINMYPPCPQPQLALGVEPHTDMSALTILVPNDVPGLEVWKNGNWVSVQYLPDALFVHLGDQLQVLSNGKYESVLHRSLVNKERMRMSWAVFIAPPHQALIGPLPELVDDEKNPAKFSTKTFAEYRYRKFNKLPQ >Manes.02G088100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6940099:6944334:1 gene:Manes.02G088100.v8.1 transcript:Manes.02G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALQRSPLPSSTTPSSTASPFQRLSTLKNPSSSSPLLLTATAASPLDSFAKDPILSPFLSPSFSSTSFSSAALSSGSPASTAEHLHHAIRLLESQLRTEVLSRHTELLNQLSSLKHAEHALSTVRSAVSSLQSSVRRVRSELSKPHTSILSKTIQLSNLHSTAEFLQHMIRAFRLSKKLRDLISASESGPEKLDLAKAAQLHCEILNMCNEYDLMGIDCIDEELNRVKEIGERLRDEAMKVLERGMEGLNQAEVGTGLQVFYNLGELKVTVEQLVNKYKGIGVKSVSVALDMKAISAGGGGGGYGPGGIRGSGTPQIGGGARAREELWQRMGTCMDKLHSVVVAVWHLQRVLSKKRDPFTYVLLLDEVIKEGDSMLTDRVWEALVKAFGSQLKSAFTASSFVKEIFTVGYPKLFSLIENLLERISRDTDVKGVLPAISLEGKEQMVQAIEIFQTSFLALCLSGLSDLVNTVFPVSSRGSVPSKEQISRIISRIQEEIEAVQLDGRLTLLVLRVIGKALLLLAERAEYQISAGHEARQIMDPATPVQVKNFALCQHLQEVHTRVSSMIVGLPTIAADVLSLSLGAIYGVARDSVTPLFKAMVDCLESCILQMHEQNFSCPGMDAAMDNNASPYMEELQKCILHFRSEFLSRLLPPSANSTAAGAETMCTQLVRSMASRVLVFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGPPYRALRAFRPLIFLETSQLEASPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQVENQIWKGIKATLDDYAVKVRSRGDKEFSPVYPLMLQLGSSLTENAPVSQKP >Manes.08G078700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27977942:27981370:-1 gene:Manes.08G078700.v8.1 transcript:Manes.08G078700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDLKTAARSVNYWSGVTTLMPLLGGFVADAYLGRFSTVFVSSFIYLLGLILLTTSQFVPSLKACNVDVCQEPRKAHEVTFFIAMYLISIGTGGHKPSLESFGADQFDEDHSEERKKKMSYFNWWNFGLCCGLLLGVTLIVYVQDHMGWGVADIILTGVMALSLVIFILGRSFYRYRLPSGSPLTPLLQVLVAAIKKRNLPNPSNPADLYEVPKSHKDQGRLLCHTQKLKFLDKAAILEDTENAAEKQSPWRLATVTKVEEMKLILNMIPIWLATLPFGICVAQAATFFIKQCTTMNREISNGFLIPPASIYALTAIGMIFSVTIYEKVLVPILRRVTGNERGIKILQRIGIGMLFSILTMVVSALVERKRLSVVEKNPMKGSLSMSVFWLAPQFLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFISSMLITIVDHVTEKYGKSWFGKDLNGSRLDNFYWLLAAITAANMVTYVLLARRYSYKNVNRSVAVADCSVDDWANSVA >Manes.08G078700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27977942:27982839:-1 gene:Manes.08G078700.v8.1 transcript:Manes.08G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKVAEARRMDEDKWVYDSSVDHKGRVPLRSSTGVWKGSLFIIAIEFSERLSYFGIATSLIIYLTKVMHDDLKTAARSVNYWSGVTTLMPLLGGFVADAYLGRFSTVFVSSFIYLLGLILLTTSQFVPSLKACNVDVCQEPRKAHEVTFFIAMYLISIGTGGHKPSLESFGADQFDEDHSEERKKKMSYFNWWNFGLCCGLLLGVTLIVYVQDHMGWGVADIILTGVMALSLVIFILGRSFYRYRLPSGSPLTPLLQVLVAAIKKRNLPNPSNPADLYEVPKSHKDQGRLLCHTQKLKFLDKAAILEDTENAAEKQSPWRLATVTKVEEMKLILNMIPIWLATLPFGICVAQAATFFIKQCTTMNREISNGFLIPPASIYALTAIGMIFSVTIYEKVLVPILRRVTGNERGIKILQRIGIGMLFSILTMVVSALVERKRLSVVEKNPMKGSLSMSVFWLAPQFLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFISSMLITIVDHVTEKYGKSWFGKDLNGSRLDNFYWLLAAITAANMVTYVLLARRYSYKNVNRSVAVADCSVDDWANSVA >Manes.02G031500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2583160:2586772:1 gene:Manes.02G031500.v8.1 transcript:Manes.02G031500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTRFFRSRTTESDEDTVPTTTRSGGGGTAMRIIVPLQGVVQGRGGLFFGSVIPCALFYFFQLYLKRNRNDQADSDDSNSQNQSAPSRSGSEGQLTELSGFSRSTSRNLISPKSPSGKAYVSSRAIGVVRCGDSPDYVGLRKVMEDPYEETGNPNGVIQLGLAENKLTLDLVEEWLVENARAAILGGGGEELNVSGIATYQPADGLPELKMAVAGFMSKILENAVSFNPSQIVLTAGVTPAIEMLIFCLADAGNAFLVPTPYYPGFDRDVKWRTGVEIIPVPCRSTDNFSLSITALDRAFNQAKKRGLKVRGMIISNPSNPVGNLLNRETLYSLLNFAREKNIHIVSNEIYARSTHGCEEFVSMAELIDLEDSDQDRVHIVYGLSKDLSLPGFRVGVIYSSNENVLAAAKKLTRFSSISAPTQRLLISMLSDMKFVQNFIQVNRERLQKMHVKFALGLKQLGIKCTKGNGGFYCWADMSGLISSYSEKGELELWEKLLNTARLNATPGSSCHCIEPGWFRFCFATLSERDIPVVMDRIQKIAETCKFGS >Manes.02G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:558624:562776:-1 gene:Manes.02G004500.v8.1 transcript:Manes.02G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVADKLAYFQAVTGLEDPDLCTEILQAHGWDLELAISSFTSINNNNNETDTNAATTTTGSTTDIGSSAVESSNSASRDLFDRSDSGVGAVAAAAPGLAWKLITLPFSVISGSLGLISGAVGLGLWAAGGILSYSLGMIGLGPSAVRNGESSSRLVSVSAAAREAMDFVSMFERDYGSRRPNFVTEGFMDALQRSRNAYKLLFVYLHSPDHPDTPVFCERTLCSEVFTTFVNENFVAWGGSIRASEGFKMSNSLKASGYPFCAVVMPATNQRIALLLQVEGPKSPEEMLMMLQRVLEESAPVLVAARLEAEERRNNMRLREEQDAAYRAALEADQARERQRKEEQEQLEREAAEAERKRREEEEAQERAAREAAEREAALARVREEKAISLGAEPERGPDVTQVLVRFPTGERKERRFQSTTTIQSLYDYVDSLGFLNVDTYSLVSNFPRVVYGSEKLSLSLKEAGLHPQASLFVELN >Manes.05G187000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30750809:30754285:1 gene:Manes.05G187000.v8.1 transcript:Manes.05G187000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGFATTLAGAKMETLLLISTSSSSSSSGSSSSLRAHLASPPQVRVCGRPARNNYGRILMQRGGVRCEVAASTDSVVETDSNIDPAKVSSLSALEQLKTSAADRYTKERASIVVIGLSIHTAPVEMREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVPEICEHRFLLYNKDATQHLFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAISVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHATARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEERVAAIRQDLKDVEIIYKPLDEMLTCAAEADVIFTSTASETPLFLKDDVKDLPSVGSEVGGLRLFIDISVPRNVGSCVNGVENARVYNVDDLKEVVAANKEDRLRKAMEAQAIITEESKQFEAWRDSLETVPTIKKLRAYAERIRAAELDKCLSKMGEDIPKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEVAVLEQKLRAKTNQK >Manes.11G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31271500:31273337:-1 gene:Manes.11G147900.v8.1 transcript:Manes.11G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELQTHCTVINYVFTLDFFYISRQASLILGRHKHSQLLLLCNFIHLGLKIFYFPLKDMGNLGIKLFCSVFLVALLLHVLPARSQEVEDEREFDYKKYSEKGPARWGEIHPEWGVCSNGSMQSPIDLLHERVHVVSHLGRLNRSYKPSNATLKNRGHDMMLKWETGAGTLEINGTEYVLKQCHWHSPSEHTINGKKFALELHMVHESQDGKAAVVGILYTIGRPDSFLSSLTDRLRLVAGTGENETIAGIVNPKEIKIGSRKYYRYMGSLTTPPCTENVIWTIVRKVRTVTKEQVRLLRVAVHDESNTNARPVQLTNGRPVQLYRPDEKEDD >Manes.15G179820.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:18130377:18131813:-1 gene:Manes.15G179820.v8.1 transcript:Manes.15G179820.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTTCTSRTTELGIKILVIERSCGCDPFSSTTSKTVSFTSSTSSITCSPCPSSFTTSSIISSLMEFSTTTILLSCITTSSSISISMEVEIGTLASSFSFSFSTFSP >Manes.04G161800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35582799:35586028:-1 gene:Manes.04G161800.v8.1 transcript:Manes.04G161800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQEARFQGAKSKFSRPIFPLFAPTYSSSSSSLTSPHPPPLYRLSNYTQHKTQMARKRVEAEPATATSMVRGKDGSAFARCEECKKDVPVALISMHCCSLEARIKMNLEAQVVEKPAEVKKKPAERKKATSREPKAKKAKKEKSNNPNKPKRPPTAFFIFMDEFRKAFKEANPDNRDVKKVSKEAGEKWKSMTDEEKKPYVDKAAELKAEYDKALESNNVENDDQDEGGSEKEGAEQEVQEVSDED >Manes.11G033651.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3221211:3229757:-1 gene:Manes.11G033651.v8.1 transcript:Manes.11G033651.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRQFPQQSAFPPPPPPPQQQQQQQQQQQQQRPNQYSQNFAQMAPAAAASSSTFPQNYTPIPAQQNFSQNYPPRAQHSAPPPPPQQQYPYPPPPPPESSYPPPPPPPAPAQQQPPSMYYPPSQYSQYGHQPMHPPAPPPPPSSPRSSSIPPPPPPSSPPPPPPKDSSIKRDKVVPEERRERRHLSHSDVANQKMQKPPVPPGGKKVNGPSGRVETEEERRLRKKREFEKQRQEEKHRQQLKEAQNTILQKTQMLPSQKGHGSIVGSRMGDRRATPLLSGERIENRLKKPTTFLCKLKFRNELPDPSGQPKLMTLKKDKDRFSKYTITSLEKMYKPQLIVEPDLGIPLDLLDLSVYNAPSVRPPLAPEDEELLRDDESVTPVKRDGIRRKERPTDKGVSWLVKTQYISSLSTDSAKQSLTEKQAKELREMKVGRNLLENLNNRESQIKEIEASFEASKLPPVHATNKKLKPIEVLPLLPDFDRYEDKFVSVTFDNAPTADSELYSKLDQSVRDACESRAVMKACVATGSDPAKLEKFLAYMAPNPNELSKDMYDENEDISYNWIREYNWDVRGDDANDPTTFLVSFDENEARYVPLPTKINLRKRRASEGRSADEVEHFPAPSRVTVRKRPTAAAIEIRDTVVHSNSRGNILSSRMGMSDDDDGLGRMHRIGRNRDIDHSSGAEDDLSE >Manes.11G033651.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3221838:3229771:-1 gene:Manes.11G033651.v8.1 transcript:Manes.11G033651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRQFPQQSAFPPPPPPPQQQQQQQQQQQQQRPNQYSQNFAQMAPAAAASSSTFPQNYTPIPAQQNFSQNYPPRAQHSAPPPPPQQQYPYPPPPPPESSYPPPPPPPAPAQQQPPSMYYPPSQYSQYGHQPMHPPAPPPPPSSPRSSSIPPPPPPSSPPPPPPKDSSIKRDKVVPEERRERRHLSHSDVANQKMQKPPVPPGGKKVNGPSGRVETEEERRLRKKREFEKQRQEEKHRQQLKEAQNTILQKTQMLPSQKGHGSIVGSRMGDRRATPLLSGERIENRLKKPTTFLCKLKFRNELPDPSGQPKLMTLKKDKDRFSKYTITSLEKMYKPQLIVEPDLGIPLDLLDLSVYNAPSVRPPLAPEDEELLRDDESVTPVKRDGIRRKERPTDKGVSWLVKTQYISSLSTDSAKQSLTEKQAKELREMKVGRNLLENLNNRESQIKEIEASFEASKLPPVHATNKKLKPIEVLPLLPDFDRYEDKFVSVTFDNAPTADSELYSKLDQSVRDACESRAVMKACVATGSDPAKLEKFLAYMAPNPNELSKDMYDENEDISYNWIREYNWDVRGDDANDPTTFLVSFDENEARYVPLPTKINLRKRRASEGRSADEVEHFPAPSRVTVRKRPTAAAIEIRDTVVHSNSRGNILSSRMGMSDDDDGLGRMHRIGRNRDIDHSSGAEDDLSE >Manes.11G033651.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3221838:3229757:-1 gene:Manes.11G033651.v8.1 transcript:Manes.11G033651.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRQFPQQSAFPPPPPPPQQQQQQQQQQQQQRPNQYSQNFAQMAPAAAASSSTFPQNYTPIPAQQNFSQNYPPRAQHSAPPPPPQQQYPYPPPPPPESSYPPPPPPPAPAQQQPPSMYYPPSQYSQYGHQPMHPPAPPPPPSSPRSSSIPPPPPPSSPPPPPPKDSSIKRDKVVPEERRERRHLSHSDVANQKMQKPPVPPGGKKVNGPSGRVETEEERRLRKKREFEKQRQEEKHRQQLKEAQNTILQKTQMLPSQKGHGSIVGSRMGDRRATPLLSGERIENRLKKPTTFLCKLKFRNELPDPSGQPKLMTLKKDKDRFSKYTITSLEKMYKPQLIVEPDLGIPLDLLDLSVYNAPSVRPPLAPEDEELLRDDESVTPVKRDGIRRKERPTDKGVSWLVKTQYISSLSTDSAKQSLTEKQAKELREMKVGRNLLENLNNRESQIKEIEASFEASKLPPVHATNKKLKPIEVLPLLPDFDRYEDKFVSVTFDNAPTADSELYSKLDQSVRDACESRAVMKACVATGSDPAKLEKFLAYMAPNPNELSKDMYDENEDISYNWIREYNWDVRGDDANDPTTFLVSFDENEARYVPLPTKINLRKRRASEGRSADEVEHFPAPSRVTVRKRPTAAAIEIRDTVVHSNSRGNILSSRMGMSDDDDGLGRMHRIGRNRDIDHSSGAEDDLSE >Manes.05G070500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5803968:5806984:1 gene:Manes.05G070500.v8.1 transcript:Manes.05G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKRVVPLNTWVLISNFKLAYNLLRRPDGTFNRELAEFLDRKVNANTIPVDGVFSFDYVDRSTGLLSRVYQPAPENEAQWGIVELEKPLSTTEIVPVIIFFHGGSFTHSSANSAIYDTFCRRLVSICKAVVVSVNYRRSPEYRYPCAYDDGWAALKWVKSRTWLQSGKDSKVHVYLAGDSSGGNIAHHVAVRAAEAEIEVLGNILLHPMFGGQERTESEKRLDGKYFVTIQDRDWYWRAYLPEGEDRDHPACNIFGPRGKNLAALKFPKSLVVVAGFDLVQDWQLAYVEGLQRAGHEVKLLYLEQATIGFYFLPNNDHFYCLMEEIKNFVNPNC >Manes.17G079268.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28257070:28257839:1 gene:Manes.17G079268.v8.1 transcript:Manes.17G079268.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEAQFGINAPTDQFHEVFSCRPHHISNMSPQNIQGCDLHEGEWGKEGAIVCWKYFHDGSAKVAKQVIETIDDVNLLTVFKMIDGDLLKEYKSFKFTVQVTPKGEGGVVRWTLEYEKLHENIRDPYSLLEFMVQFSKDVSAHLVKCQKK >Manes.03G138570.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26548275:26550785:1 gene:Manes.03G138570.v8.1 transcript:Manes.03G138570.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFHFLFTFLFVILLPVIFNIRQNLRNAKLPPGPWKLPLVGNLHQLVGSLPHHSLRNLAKEYGPVAHLQLGQVSAVVISSPDMAKEVMKTHDTIFAYRPNLLAGRIMSYDSTNIAFSPYGNYWRQLRKICMMELLSPGRVQSFRSIREDDVASLIKTISSSAGSPINLAEKVFSMIYSITARAAFGEKCKDQEQFMSLIMRSAALAGGFCLGDMYPSIKVLQVISGIRPKLEKLHQEMDKILDNILKEHREEKLAAKTGDEEASEDLVDILLRFQERDDLEFSITDNNIKAVILDIFGAGSETSAATIEWAMSEMLRNPRVMKEAQAEVRRAFDGKADMDEKRIQELKYLKLVIKETLRLHPPVPLLLPRECSETCEINGYKIPAKTRVVVNAWALGRDPSYWSEAETFFPERFLDISVDFKGTNFEYIPFGAGRRICPGISFAQPSIELPLAHLLYHFDWKLGNGLKHEDLDMTETFGLTARKKQNLVLIPIPYK >Manes.08G124700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36516281:36520140:-1 gene:Manes.08G124700.v8.1 transcript:Manes.08G124700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDAVIDRGTSLLCRIYRPTDGEPSQPNLAELEKPVSSEIVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTALNWVNSRTWLESKKDSKVHIYLAGDSSGGNIVHHVAIRAVESGIDILGNILLNPMFAGEERTESEKRLDGKYFVTLRDRDWYWRAFLPEGADRDHPACNPFGPKGKNLKGVKFPKSLVVVAGLDLVQDWQLAYVEGLKKDGQVVKLLYLEQATIGFYLLPNNNHFHTVMDEISEFVSSDC >Manes.08G124700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36516281:36520140:-1 gene:Manes.08G124700.v8.1 transcript:Manes.08G124700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDAVIDRGTSLLCRIYRPTDGEPSQPNLAELEKPVSSEIVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTALNWVNSRTWLESKKDSKVHIYLAGDSSGGNIVHHVAIRAVESGIDILGNILLNPMFAGEERTESEKRLDGKYFVTLRDRDWYWRAFLPEGADRDHPACNPFGPKGKNLKGVKFPKSLVVVAGLDLVQDWQLAYVEGLKKDGQVVKLLYLEQATIGFYLLPNNNHFHTVMDEISEFVSSDC >Manes.08G124700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36516281:36520140:-1 gene:Manes.08G124700.v8.1 transcript:Manes.08G124700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDAVIDRGTSLLCRIYRPTDGEPSQPNLAELEKPVSSEIVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTALNWVNSRTWLESKKDSKVHIYLAGDSSGGNIVHHVAIRAVESGIDILGNILLNPMFAGEERTESEKRLDGKYFVTLRDRDWYWRAFLPEGADRDHPACNPFGPKGKNLKGVKFPKSLVVVAGLDLVQDWQLAYVEGLKKDGQVVKLLYLEQATIGFYLLPNNNHFHTVMDEISEFVSSDC >Manes.08G124700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36516281:36520140:-1 gene:Manes.08G124700.v8.1 transcript:Manes.08G124700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDAVIDRGTSLLCRIYRPTDGEPSQPNLAELEKPVSSEIVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTALNWVNSRTWLESKKDSKVHIYLAGDSSGGNIVHHVAIRAVESGIDILGNILLNPMFAGEERTESEKRLDGKYFVTLRDRDWYWRAFLPEGADRDHPACNPFGPKGKNLKGVKFPKSLVVVAGLDLVQDWQLAYVEGLKKDGQVVKLLYLEQATIGFYLLPNNNHFHTVMDEISEFVSSDC >Manes.08G124700.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36516281:36520140:-1 gene:Manes.08G124700.v8.1 transcript:Manes.08G124700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDAVIDRGTSLLCRIYRPTDGEPSQPNLAELEKPVSSEIVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTALNWVNSRTWLESKKDSKVHIYLAGDSSGGNIVHHVAIRAVESGIDILGNILLNPMFAGEERTESEKRLDGKYFVTLRDRDWYWRAFLPEGADRDHPACNPFGPKGKNLKGVKFPKSLVVVAGLDLVQDWQLAYVEGLKKDGQVVKLLYLEQATIGFYLLPNNNHFHTVMDEISEFVSSDC >Manes.08G124700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36517133:36520140:-1 gene:Manes.08G124700.v8.1 transcript:Manes.08G124700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDAVIDRGTSLLCRIYRPTDGEPSQPNLAELEKPVSSEIVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTALNWVNSRTWLESKKDSKVHIYLAGDSSGGNIVHHVAIRAVESGIDILGNILLNPMFAGEERTESEKRLDGKYFVTLRDRDWYWRAFLPEGADRDHPACNPFGPKGKNLKGVKFPKSLVVVAGLDLVQDWQLAYVEGLKKDGQVVKLLYLEQATIGFYLLPNNNHFHTVMDEISEFVSSDC >Manes.08G124700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36516281:36520140:-1 gene:Manes.08G124700.v8.1 transcript:Manes.08G124700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANVNPVDGVFSFDAVIDRGTSLLCRIYRPTDGEPSQPNLAELEKPVSSEIVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYDDGWTALNWVNSRTWLESKKDSKVHIYLAGDSSGGNIVHHVAIRAVESGIDILGNILLNPMFAGEERTESEKRLDGKYFVTLRDRDWYWRAFLPEGADRDHPACNPFGPKGKNLKGVKFPKSLVVVAGLDLVQDWQLAYVEGLKKDGQVVKLLYLEQATIGFYLLPNNNHFHTVMDEISEFVSSDC >Manes.02G221201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22792133:22807447:-1 gene:Manes.02G221201.v8.1 transcript:Manes.02G221201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKRIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWEGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPEEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMKIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLNIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLERLTFYKIVSSKIVSVPKSSTLSTSAEVDHLDTTFCATVIPRKRKKQDNNFSKKVSLIKNEGDPSVSNIDESYAFPSKLIQQLQNVKDLRIKGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLRKLIVIDCYNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKENEEISMQKIVFPQLRSLTLEDLPNLNTFCNRIYALEFPFLETLEFLNWKRMETFSYGSLSMPKLEKVVINGRRHRLMGSDPNLNAKMSELLKMNQ >Manes.02G221201.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22792133:22807447:-1 gene:Manes.02G221201.v8.1 transcript:Manes.02G221201.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKRIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWEGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPEEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMKIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLNIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLERLTFYKIVSSKIVSVPKSSTLSTSAEVDHLDTTFCATVIPRKRKKQDNNFSKKVSLIKNEGDPSVSNIDESYAFPSKLIQQLQNVKDLRIKGSDSVEVIFSFEGLINGVLNSVEEIRKATINRD >Manes.02G221201.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22792133:22807447:-1 gene:Manes.02G221201.v8.1 transcript:Manes.02G221201.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKRIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWEGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPEEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMKIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLNIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLERLTFYKIVSSKIVSVPKSSTLSTSAEVDHLDTTFCATVIPRKRKKQDNNFSKKVSLIKNEGDPSVSNIDESYAFPSKLIQQLQNVKDLRIKGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLRKLIVIDCYNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKENEEISMQKIVFPQLRSLTLEDLPNLNTFCNRIYALEFPFLETLEFLNWKRMETFSYGSLSMPKLEKVVINGRRHRLMGSDPNLNAKMSELLKMNQ >Manes.02G221201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22792087:22807447:-1 gene:Manes.02G221201.v8.1 transcript:Manes.02G221201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKRIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWEGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPEEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMKIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLNIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLERLTFYKIVSSKIVSVPKSSTLSTSAEVDHLDTTFCATVIPRKRKKQDNNFSKKVSLIKNEGDPSVSNIDESYAFPSKLIQQLQNVKDLRIKGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLRKLIVIDCYNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKENEEISMQKIVFPQLRSLTLEDLPNLNTFCNRIYALEFPFLETLEFLNWKRMETFSYGSLSMPKLEKVVINGRRHRLMGSDPNLNAKMSELLKMNQ >Manes.02G221201.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22792133:22807447:-1 gene:Manes.02G221201.v8.1 transcript:Manes.02G221201.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKRIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWEGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPEEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMKIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLNIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLERLTFYKIVSSKIVSVPKSSTLSTSAEVDHLDTTFCATVIPRKRKKQDNNFSKKVSLIKNEGDPSVSNIDESYAFPSKLIQQLQNVKDLRIKGSDSVEVIFSFEGLINGVLNSVEEIRKATINRD >Manes.02G221201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22792133:22807447:-1 gene:Manes.02G221201.v8.1 transcript:Manes.02G221201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKRIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWEGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPEEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMKIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLNIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLERLTFYKIVSSKIVSVPKSSTLSTSAEVDHLDTTFCATVIPRKRKKQDNNFSKKVSLIKNEGDPSVSNIDESYAFPSKLIQQLQNVKDLRIKGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLRKLIVIDCYNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKENEEISMQKIVFPQLRSLTLEDLPNLNTFCNRIYALEFPFLETLEFLNWKRMETFSYGSLSMPKLEKVVINGRRHRLMGSDPNLNAKMSELLKMNQ >Manes.02G221201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22792133:22807447:-1 gene:Manes.02G221201.v8.1 transcript:Manes.02G221201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKRIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWEGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPEEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMKIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLNIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLERLTFYKIVSSKIVSVPKSSTLSTSAEVDHLDTTFCATVIPRKRKKQDNNFSKKVSLIKNEGDPSVSNIDESYAFPSKLIQQLQNVKDLRIKGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLRKLIVIDCYNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKENEEISMQKIVFPQLRSLTLEDLPNLNTFCNRIYALEFPFLETLEFLNWKRMETFSYGSLSMPKLEKVVINGRRHRLMGSDPNLNAKMSELLKMNQ >Manes.15G170100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14829701:14843533:-1 gene:Manes.15G170100.v8.1 transcript:Manes.15G170100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNHISPRLSTKDPRALSSSRNLSNSSLSDSTITRTRCFNQFQKRVCDVRSMAVTEQNPQKGSLASANGSLTTAADKLNSPVELLTRSQILGRENTNSNAQRKTKIVCTIGPSTSSREMIWKLAEAGMNVARLNMSHGDHASHQKTIDLVKEFNAQSDDKVISIMLDTKGPEVRSGDVPQPIILKEGQEFNFTIRRGVSTEDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTNDLVKCTVVDGGELKSRRHLNVRGKSANLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKDYLKRSNADIHVIVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEEIIRRCHSMQKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKYPLKAVKVMHSVALKTESSLPVNTTPPADGAYKGHMSQMFAFHATIMANTLNTPIIVFTRTGSMAILLSHYRPSSTIFAFTNEERIKQRLSMYRGVMPIYMQFSSDAEETFSRAITLLLNKGLLVEGEHVTLVQSGAQPIWRQESTHHIQVRRVQS >Manes.15G170100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14829701:14843533:-1 gene:Manes.15G170100.v8.1 transcript:Manes.15G170100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNHISPRLSTKDPRALSSSRNLSNSSLSDSTITRTRCFNQFQKRVCDVRSMAVTEQNPQKGSLASANGSLTTAADKLNSPVELLTRSQILGRENTNSNAQRKTKIVCTIGPSTSSREMIWKLAEAGMNVARLNMSHGDHASHQKTIDLVKEFNAQSDDKVISIMLDTKGPEVRSGDVPQPIILKEGQEFNFTIRRGVSTEDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTNDLVKCTVVDGGELKSRRHLNVRGKSANLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKDYLKRSNADIHVIVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEEIIRRCHSMQKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKYPLKAVKVMHSVALKTESSLPVNTTPPADGAYKGHMSQMFAFHATIMANTLNTPIIVFTRTGSMAILLSHYRPSSTIFAFTNEERIKQRLSMYRGVMPIYMQFSSDAEETFSRAITLLLNKGLLVEGEHVTLVQSGAQPIWRQESTHHIQVRRVQS >Manes.06G165500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29183806:29200726:1 gene:Manes.06G165500.v8.1 transcript:Manes.06G165500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESLARSHGSQCGFCTPGFIMSMYALLRSSQASPTEEQIEECLAGNLCRCTGYRPIIDAFRVFAKSNDALYTESSTLNNQGGEFVCPSTGKPCSCKSQTLTGPGNHKQSTGCGDGFEIISYSEVNGTTYSDKELIFPPELLLRKLNPLRLSGFGGLKWYRPLHLQHLLELKAKYPEAKLLIGNTEVGIEMRLKRIQYQVLISITHIPELNVLNVKDGGLEIGAAVRLTEFQQMLRKIVNERAAHETSSCKAFIEQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAAGAKFCIIDCRGNIRTTLAENFFLGYRKVDLASDEVLLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVFLEEKFEQWVVLDASIVYGGVAPLSLSAIRTKDFMTGKNWNQELLEGTLKLLETDVLLKEDAPGGMVDFRKSLTLSFFFKFFLWVSNQMDGKKCIRSIPLSHLSAVRSFHRPSLVGSQDYEIRKHGTAVGSPEVHVSSKLQVTGEAEYADDLPMASNGLHAALVLSKKPHARIVKIDDSEAKSSPGFAGIFLAKDVPGDNHIGPVIDDEELFASEFVTCVGQVIGVVVADTHENAKIAARKVCVVYEELPAILSIQEAIDADSYHPNSEKYMKKGDVENCFQSGQCDKIAEGEVQVGGQEHFYLEPHGSLVWTMDSGNEVHMISSTQAPQKHQKYVAHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAASVPSYLLNRPVKIILDRDTDMMITGQRHSFLGKYKVGFTKEGKVLVLDLKIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRILGRVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAAELNKSPEEIREINFQGDGSVTHYGQKLEYCTLTQLWDELKLSCNLLKAREDTNQFNLHNRWKKRGVAMVPTKFGISFTTKLMNQAGALVHVYTDGTVLVSHGGVEMGQGLHTKVAQVAASAFNIPLNSVFISETSTDKVPNASPTAASASSDMYGAAVLDACEQIKARMEPVASKHNFSSFAELASACYVQRIDLSAHGFHITPEIGFDWRTGKGSPFRYYTYGAAFAEVEIDTLTGDFHTREADMIMDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDSAHKWIPPGCLYTCGPGSYKIPSINDVPFKFSVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIKAARAEVGHHEWFPLDNPATPERIRMACLDEFTAPFVNSDYRPKLSV >Manes.06G165500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29183806:29200726:1 gene:Manes.06G165500.v8.1 transcript:Manes.06G165500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAVNACLAPLYSVEGMHVITVEGVGNRKSGLHPVQESLARSHGSQCGFCTPGFIMSMYALLRSSQASPTEEQIEECLAGNLCRCTGYRPIIDAFRVFAKSNDALYTESSTLNNQGGEFVCPSTGKPCSCKSQTLTGPGNHKQSTGCGDGFEIISYSEVNGTTYSDKELIFPPELLLRKLNPLRLSGFGGLKWYRPLHLQHLLELKAKYPEAKLLIGNTEVGIEMRLKRIQYQVLISITHIPELNVLNVKDGGLEIGAAVRLTEFQQMLRKIVNERAAHETSSCKAFIEQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAAGAKFCIIDCRGNIRTTLAENFFLGYRKVDLASDEVLLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVFLEEKFEQWVVLDASIVYGGVAPLSLSAIRTKDFMTGKNWNQELLEGTLKLLETDVLLKEDAPGGMVDFRKSLTLSFFFKFFLWVSNQMDGKKCIRSIPLSHLSAVRSFHRPSLVGSQDYEIRKHGTAVGSPEVHVSSKLQVTGEAEYADDLPMASNGLHAALVLSKKPHARIVKIDDSEAKSSPGFAGIFLAKDVPGDNHIGPVIDDEELFASEFVTCVGQVIGVVVADTHENAKIAARKVCVVYEELPAILSIQEAIDADSYHPNSEKYMKKGDVENCFQSGQCDKIAEGEVQVGGQEHFYLEPHGSLVWTMDSGNEVHMISSTQAPQKHQKYVAHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAASVPSYLLNRPVKIILDRDTDMMITGQRHSFLGKYKVGFTKEGKVLVLDLKIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRILGRVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAAELNKSPEEIREINFQGDGSVTHYGQKLEYCTLTQLWDELKLSCNLLKAREDTNQFNLHNRWKKRGVAMVPTKFGISFTTKLMNQVPNASPTAASASSDMYGAAVLDACEQIKARMEPVASKHNFSSFAELASACYVQRIDLSAHGFHITPEIGFDWRTGKGSPFRYYTYGAAFAEVEIDTLTGDFHTREADMIMDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDSAHKWIPPGCLYTCGPGSYKIPSINDVPFKFSVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIKAARAEVGHHEWFPLDNPATPERIRMACLDEFTAPFVNSDYRPKLSV >Manes.06G165500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29183806:29200726:1 gene:Manes.06G165500.v8.1 transcript:Manes.06G165500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKNEGIAMEQIDEESAKEAILYVNGVRRVLPDGLAHLTLLEYLRDSGLVGTKLGCGEGGCGACTVMVSHYDKSLKKCVHYAVNACLAPLYSVEGMHVITVEGVGNRKSGLHPVQESLARSHGSQCGFCTPGFIMSMYALLRSSQASPTEEQIEECLAGNLCRCTGYRPIIDAFRVFAKSNDALYTESSTLNNQGGEFVCPSTGKPCSCKSQTLTGPGNHKQSTGCGDGFEIISYSEVNGTTYSDKELIFPPELLLRKLNPLRLSGFGGLKWYRPLHLQHLLELKAKYPEAKLLIGNTEVGIEMRLKRIQYQVLISITHIPELNVLNVKDGGLEIGAAVRLTEFQQMLRKIVNERAAHETSSCKAFIEQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAAGAKFCIIDCRGNIRTTLAENFFLGYRKVDLASDEVLLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVFLEEKFEQWVVLDASIVYGGVAPLSLSAIRTKDFMTGKNWNQELLEGTLKLLETDVLLKEDAPGGMVDFRKSLTLSFFFKFFLWVSNQMDGKKCIRSIPLSHLSAVRSFHRPSLVGSQDYEIRKHGTAVGSPEVHVSSKLQVTGEAEYADDLPMASNGLHAALVLSKKPHARIVKIDDSEAKSSPGFAGIFLAKDVPGDNHIGPVIDDEELFASEFVTCVGQVIGVVVADTHENAKIAARKVCVVYEELPAILSIQEAIDADSYHPNSEKYMKKGDVENCFQSGQCDKIAEGEVQVGGQEHFYLEPHGSLVWTMDSGNEVHMISSTQAPQKHQKYVAHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAASVPSYLLNRPVKIILDRDTDMMITGQRHSFLGKYKVGFTKEGKVLVLDLKIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRILGRVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAAELNKSPEEIREINFQGDGSVTHYGQKLEYCTLTQLWDELKLSCNLLKAREDTNQFNLHNRWKKRGVAMVPTKFGISFTTKLMNQAGALVHVYTDGTVLVSHGGVEMGQGLHTKVAQVAASAFNIPLNSVFISETSTDKVPNASPTAASASSDMYGAAVLDACEQIKARMEPVASKHNFSSFAELASACYVQRIDLSAHGFHITPEIGFDWRTGKGSPFRYYTYGAAFAEVEIDTLTGDFHTREADMIMDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDSAHKWIPPGCLYTCGPGSYKIPSINDVPFKFSVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIKAARAEVGHHEWFPLDNPATPERIRMACLDEFTAPFVNSDYRPKLSV >Manes.06G165500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29183806:29200726:1 gene:Manes.06G165500.v8.1 transcript:Manes.06G165500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKNEGIAMEQIDEESAKEAILYVNGVRRVLPDGLAHLTLLEYLRDSGLVGTKLGCGEGGCGACTVMVSHYDKSLKKCVHYAVNACLAPLYSVEGMHVITVEGVGNRKSGLHPVQESLARSHGSQCGFCTPGFIMSMYALLRSSQASPTEEQIEECLAGNLCRCTGYRPIIDAFRVFAKSNDALYTESSTLNNQGGEFVCPSTGKPCSCKSQTLTGPGNHKQSTGCGDGFEIISYSEVNGTTYSDKELIFPPELLLRKLNPLRLSGFGGLKWYRPLHLQHLLELKAKYPEAKLLIGNTEVGIEMRLKRIQYQVLISITHIPELNVLNVKDGGLEIGAAVRLTEFQQMLRKIVNERAAHETSSCKAFIEQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAAGAKFCIIDCRGNIRTTLAENFFLGYRKVDLASDEVLLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVFLEEKFEQWVVLDASIVYGGVAPLSLSAIRTKDFMTGKNWNQELLEGTLKLLETDVLLKEDAPGGMVDFRKSLTLSFFFKFFLWVSNQMDGKKCIRSIPLSHLSAVRSFHRPSLVGSQDYEIRKHGTAVGSPEVHVSSKLQVTGEAEYADDLPMASNGLHAALVLSKKPHARIVKIDDSEAKSSPGFAGIFLAKDVPGDNHIGPVIDDEELFASEFVTCVGQVIGVVVADTHENAKIAARKVCVVYEELPAILSIQEAIDADSYHPNSEKYMKKGDVENCFQSGQCDKIAEGEVQVGGQEHFYLEPHGSLVWTMDSGNEVHMISSTQAPQKHQKYVAHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAASVPSYLLNRPVKIILDRDTDMMITGQRHSFLGKYKVGFTKEGKVLVLDLKIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRILGRVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAAELNKSPEEIREINFQGDGSVTHYGQKLEYCTLTQLWDELKLSCNLLKAREDTNQFNLHNRWKKRGVAMVPTKFGISFTTKLMNQVPNASPTAASASSDMYGAAVLDACEQIKARMEPVASKHNFSSFAELASACYVQRIDLSAHGFHITPEIGFDWRTGKGSPFRYYTYGAAFAEVEIDTLTGDFHTREADMIMDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDSAHKWIPPGCLYTCGPGSYKIPSINDVPFKFSVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIKAARAEVGHHEWFPLDNPATPERIRMACLDEFTAPFVNSDYRPKLSV >Manes.06G165500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29183806:29200726:1 gene:Manes.06G165500.v8.1 transcript:Manes.06G165500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAVNACLAPLYSVEGMHVITVEGVGNRKSGLHPVQESLARSHGSQCGFCTPGFIMSMYALLRSSQASPTEEQIEECLAGNLCRCTGYRPIIDAFRVFAKSNDALYTESSTLNNQGGEFVCPSTGKPCSCKSQTLTGPGNHKQSTGCGDGFEIISYSEVNGTTYSDKELIFPPELLLRKLNPLRLSGFGGLKWYRPLHLQHLLELKAKYPEAKLLIGNTEVGIEMRLKRIQYQVLISITHIPELNVLNVKDGGLEIGAAVRLTEFQQMLRKIVNERAAHETSSCKAFIEQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAAGAKFCIIDCRGNIRTTLAENFFLGYRKVDLASDEVLLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVFLEEKFEQWVVLDASIVYGGVAPLSLSAIRTKDFMTGKNWNQELLEGTLKLLETDVLLKEDAPGGMVDFRKSLTLSFFFKFFLWVSNQMDGKKCIRSIPLSHLSAVRSFHRPSLVGSQDYEIRKHGTAVGSPEVHVSSKLQVTGEAEYADDLPMASNGLHAALVLSKKPHARIVKIDDSEAKSSPGFAGIFLAKDVPGDNHIGPVIDDEELFASEFVTCVGQVIGVVVADTHENAKIAARKVCVVYEELPAILSIQEAIDADSYHPNSEKYMKKGDVENCFQSGQCDKIAEGEVQVGGQEHFYLEPHGSLVWTMDSGNEVHMISSTQAPQKHQKYVAHVLGLPMSKVVCKTKRIGGGFGGKETRSAFLAAAASVPSYLLNRPVKIILDRDTDMMITGQRHSFLGKYKVGFTKEGKVLVLDLKIYNNAGNSLDLSLAVLERAMFHSDNVYEIPNVRILGRVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAAELNKSPEEIREINFQGDGSVTHYGQKLEYCTLTQLWDELKLSCNLLKAREDTNQFNLHNRWKKRGVAMVPTKFGISFTTKLMNQAGALVHVYTDGTVLVSHGGVEMGQGLHTKVAQVAASAFNIPLNSVFISETSTDKVPNASPTAASASSDMYGAAVLDACEQIKARMEPVASKHNFSSFAELASACYVQRIDLSAHGFHITPEIGFDWRTGKGSPFRYYTYGAAFAEVEIDTLTGDFHTREADMIMDLGYSLNPAIDVGQIEGAFIQGLGWVALEELKWGDSAHKWIPPGCLYTCGPGSYKIPSINDVPFKFSVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIKAARAEVGHHEWFPLDNPATPERIRMACLDEFTAPFVNSDYRPKLSV >Manes.05G027000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2349897:2350334:-1 gene:Manes.05G027000.v8.1 transcript:Manes.05G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGSKRLCHIRGKMHKKVWIASGDIILVGLRDYQDDKADVILKYMPDEARLLKAYSELPDNIRLNEGIAGGIDDDDDGAADDYIEFEDEDIDKI >Manes.14G055566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4755625:4757772:-1 gene:Manes.14G055566.v8.1 transcript:Manes.14G055566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAREDDRDNMRCVKSVNNQSSTDNAVYLAKLQDLRRKFPNATISYLDYWSAYSTVIKNPESYGFKKPFKDSCVTGDPSNNFNVFATCGTPPARACSNPAQYINWDGVHLTEAMNKVLTGMFLNGTYSHPPFEYLLGRKQHQG >Manes.18G120051.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12656092:12657417:-1 gene:Manes.18G120051.v8.1 transcript:Manes.18G120051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREQGPGTSEGSRSSIVFWDLPRFFLFLVITFLFCFLFTSHVVCLCFLYFWGGITSISLIYPRQRLSTVFLFLFAIYFSFLLQVLLSIARIVLWIWVRASDFAFAGGKEFLC >Manes.03G038500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3381512:3382931:1 gene:Manes.03G038500.v8.1 transcript:Manes.03G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVSMAMPLTSASPKRVLQSSEAFFNPLSVRTSKAMVASKSKSSGRFQVKASLKEKAVTGLTAAVLTASMVIPEVAEAAESGVSPSLKNFLLSIVAGGVVLVAIVGAVIGVSNFDPVKRS >Manes.05G148301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25098722:25114489:1 gene:Manes.05G148301.v8.1 transcript:Manes.05G148301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNLQSDFTQNSRVTWEGCSVLLDINDGDRLVFARLTSGSTLKIGNKNFSLRPLIGCPFGSLFQTENGKEGPCLSRFVPSTEGNNAEERGDCEIINESRDNRAIIDNNKAQSLTGEDIDAMRRQGAKGDEIVEALIANSATFEKKTLFSQEKYRLKKQKKYAPRVLLRRPFTRSICEAYFKKYPARIGFLRVDALSLLLSMANATAHSDVLVVDMVGGLLTGAVAERLGGTGYVCNTYLGGTPHPMDIVRMFNFNNDTCKRIVRAPLNDLCLAQSETSKQIGLHEDAPAVESNGPTSSINMEEVSKSSENDVADLDRDTNVSPTSKMNKAPKAGEGAPQEALKLWRENGFSSLIIAAPDLDPWSLVKDLLPLLSYSAPFAIYHQYLQPLATCMHNLQLGKMAIGLQISEPWLREYQVLPSQYRFFLRELIHSCK >Manes.05G148301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25098722:25114256:1 gene:Manes.05G148301.v8.1 transcript:Manes.05G148301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNLQSDFTQNSRVTWEGCSVLLDINDGDRLVFARLTSGSTLKIGNKNFSLRPLIGCPFGSLFQTENGKEGPCLSRFVPSTEGNNAEERGDCEIINESRDNRAIIDNNKAQSLTGEDIDAMRRQGAKGDEIVEALIANSATFEKKTLFSQEKYRLKKQKKYAPRVLLRRPFTRSICEAYFKKYPARIGFLRVDALSLLLSMANATAHSDVLVVDMVGGLLTGAVAERLGGTGYVCNTYLGGTPHPMDIVRMFNFNNDTCKRIVRAPLNDLCLAQSETSKQIGLHEDAPAVESNGPTSSINMEEVSKSSENDVADLDRDTNVSPTSKMNKAPKAGEGAPQEALKLWRENGFSSLIIAAPDLDPWSLVKDLLPLLSYSAPFAIYHQYLQPLATCMHNLQLGKMAIGLQISEPWLREYQVLPSRTHPFMQMSAFGGYILSGTRICSS >Manes.05G148301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25098722:25114256:1 gene:Manes.05G148301.v8.1 transcript:Manes.05G148301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNNLQSDFTQNSRVTWEGCSVLLDINDGDRLVFARLTSGSTLKIGNKNFSLRPLIGCPFGSLFQTENGKEGPCLSRFVPSTEGNNAEERGDCEIINESRDNRAIIDNNKAQSLTGEDIDAMRRQGAKGDEIVEALIANSATFEKKTLFSQEKYRLKKQKKYAPRVLLRRPFTRSICEAYFKKYPARIGFLRVDALSLLLSMANATAHSDVLVVDMVGGLLTGAVAERLGGTGYVCNTYLGGTPHPMDIVRMFNFNNDTCKRIVRAPLNDLCLAQSETSKQIGLHEDAPAVESNGPTSSINMEEVSKSSENDVADLDRDTNVSPTSKMNKAPKAGEGAPQEALKLWRENGFSSLIIAAPDLDPWSLVKDLLPLLSYSAPFAIYHQYLQPLATCMHNLQLGKMAIGLQISEPWLREYQVLPSQYRFFLRELIHSCK >Manes.08G043100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4217511:4221560:-1 gene:Manes.08G043100.v8.1 transcript:Manes.08G043100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.08G043100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4217511:4221566:-1 gene:Manes.08G043100.v8.1 transcript:Manes.08G043100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLSYIALDYEQELETSKTSSSVEKSYELPDGQVITIGNERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.11G066900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9538669:9544022:-1 gene:Manes.11G066900.v8.1 transcript:Manes.11G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGYKSEYTGGQREKFVRLEDMDSTMALNTNTGGMKKRGFSFGGFSLNGVGKNYPSQNFKFGMKKGSEGLITIGRTIRTEVSRAVFPEDLRVSEQKIFDPQDRSLLLWNRLFVISCIVAVSVDPLFFYLPVFNYKMVCLGMDTNLAATITAARTLLDAFYLLRMALQFCTAYVAPSSRVFGRGELVIDPAQIAARYLRRYFVVDFLSVLPLPQIVVWKYLTNKRKGSEVLATKQALLILVFLQYIPRFLRFIPLTSDLKKTAGAFAESAWAGAAYYLLWYMLASHIAGSFWYLLAVERKDTCWQKACKESGKCDISFLYCGNKVLPGFHEWRRISDAVLSNYCNVDDNGDSPFNYGIYTQAMLSDIVASRIFIAKFFYCLWWGLQNLSTLGQGLETSTYPLEVLFSIAIAIFGLILFALLIGNMQTYLQSITVRLEEMRIKKRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEESLVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEKSYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRGFLKEGDFCGEELLTWALDPKAGTSLPSSTRTVKAITEVEAFALEAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAAILIQASWRRYARRKAAELRMQEEAAEYEEEEDDYDDGRSLGKQSSLSGLHATLFASRFAANALRARKLRNSESGSLLIPQKPPEPDFNADRVYTDE >Manes.15G138300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11179014:11182030:-1 gene:Manes.15G138300.v8.1 transcript:Manes.15G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMVLRLVLSALLGFSIFNPCAAELQRFQHAAKGNGSLSFLVVGDWGRRGAYNQSHVALQMGIIGEKLDIDFVISTGDNFYENGLMGVDDPAFVESFTKIYTAPSLQKQWYSVLGNHDYRGDVEAQLSPVLRQIDSKWLCLRSFMVDTEIADFFFVDTTPFVDKYFKEKDHKYDWSGIVPRKSYLLNLLKDLDKSLKESTARWKIVVGHHTIKSAGHHGNTQELNLQLLPILVENNVDLYINGHDHCLEHISCSESELQFLTSGGGSKAWRGDVDWWNPKEMKFYYDGQGFMSVEITETQVDVVFYGVSGHIMHKWSRNKHPYSIV >Manes.08G145400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38706729:38707562:-1 gene:Manes.08G145400.v8.1 transcript:Manes.08G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQFQQQKQHKKSGVLMNKTSKFKGRNKSNNNNNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDQAACLLRGSNTRTNFITHVSLDSPLASRIRNLLNNKKSGQEQPREEENLEISTPSTSILSRENLEVSTPSNSTLSSSSSASDTNTPSNEIINDTQFFDNVYKPDMSNCREEFELGSSPSDLSWSSGPGFDRFPFSQEVLDFPRHTLLPETITSHLEFTEFDRMKVERQISASLYAMNGVQEYMETVHDPVEAFWDLPPIY >Manes.06G138000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26555978:26560600:-1 gene:Manes.06G138000.v8.1 transcript:Manes.06G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEGISPGLAVKGVDARDSFGVAPRTENSVPNLNPNPNPVPNSNPNLNPNPTPNPNSSQFGGPLVTSLPASLGTEVKKKRGRPRKYGPDGTLATALSPMPISSSIPLTGELSAWKRGRARPLESAKKQYKYEYESTGGIAYFVGANFMPHVITVNAGEDVTMKIMSFSQQGVRAICILSANGTISNVTLRQPTSSGGTLTYEGRFEILSLSGSFMLTNNGGTKSRSGGMSVSLAGPDGRVVGGGLAGMLVAAGPVQVVVGSFLLGHQQEQKHKKQRSEIAPARASVSVLSAEGMKGAYGGVKPVLIAPSFHGDNSASLNPMQAFRNSSSDDKTSSPEDESKDPEQSKCEVSC >Manes.06G138000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26555978:26560600:-1 gene:Manes.06G138000.v8.1 transcript:Manes.06G138000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEGISPGLAVKGVDARDSFGVAPRTENSVPNLNPNPNPVPNSNPNLNPNPTPNPNSSQFGGPLVTSLPASLGTEVKKKRGRPRKYGPDGTLATALSPMPISSSIPLTGELSAWKRGRARPLESAKKQYKYEYESTGGIAYFVGANFMPHVITVNAGEDVTMKIMSFSQQGVRAICILSANGTISNVTLRQPTSSGGTLTYEGRFEILSLSGSFMLTNNGGTKSRSGGMSVSLAGPDGRVVGGGLAGMLVAAGPVQVVVGSFLLGHQQEQKHKKQRSEIAPARASVSVLSAEGL >Manes.02G073300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5622609:5627566:-1 gene:Manes.02G073300.v8.1 transcript:Manes.02G073300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIESRNRWQQATPSASQVNITEFSPQGMDLDFRSSSRKLVECRICHDEDEDSNMEVPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFHYGGVPMNFRGNWEITRRDLNNPGFIAMVTTDHEFIDSDFDEYSAPSPRSLMCCRIVAIIFMILLVLRHTLPIIISGAGDYSSTMFMLLILRTIGILLPIYVMVKAFTAIQHRRQQQHPRFSLAASDEENELPQLQPQSRFIHVQ >Manes.02G073300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5622633:5626293:-1 gene:Manes.02G073300.v8.1 transcript:Manes.02G073300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIESRNRWQQATPSASQVNITEFSPQGMDLDFRSSSRKLVECRICHDEDEDSNMEVPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFHYGGVPMNFRGNWEITRRDLNNPGFIAMVTTDHEFIDSDFDEYSAPSPRSLMCCRIVAIIFMILLVLRHTLPIIISGAGDYSSTMFMLLILRTIGILLPIYVMVKAFTAIQHRRQQQHPRFSLAASDEENELPQLQPQSRFIHVQ >Manes.02G073300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5622633:5626932:-1 gene:Manes.02G073300.v8.1 transcript:Manes.02G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIESRNRWQQATPSASQVNITEFSPQGMDLDFRSSSRKLVECRICHDEDEDSNMEVPCSCCGSLKYAHRKCVQRWCNEKGDTVCEICHQQFKPGYTAPPPLFHYGGVPMNFRGNWEITRRDLNNPGFIAMVTTDHEFIDSDFDEYSAPSPRSLMCCRIVAIIFMILLVLRHTLPIIISGAGDYSSTMFMLLILRTIGILLPIYVMVKAFTAIQHRRQQQHPRFSLAASDEENELPQLQPQSRFIHVQ >Manes.01G044600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8970244:8984634:-1 gene:Manes.01G044600.v8.1 transcript:Manes.01G044600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHLIILGASLLLLIFPDGIQGNYDRTYMQQILGSAQQDKDWLVSIRRQIHENPELRFEEHNTSALIRRELDRLGISYEYPLAKTGVVAQIGFGSRPVVALRADMDALPLQELVEWEHKSKIDGKMHGCGHDSHTTMLLGAAKLLNERKHKLKGTVRLLFQPAEEGGAGASHMIEEGALGDAEAIFAMHIGTELPTGSISSLSGPVLAAVSFFEAKIEGKGGHAAEPHTNVDPILAVSFATLALQQLISREVDPLHSEVLSVTYVKGGTAINVIPSYVEFGGTLRSLTTEGLHQLQRRVKEVIEGQAAVHRCTAYINMEN >Manes.01G044600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8970244:8984634:-1 gene:Manes.01G044600.v8.1 transcript:Manes.01G044600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHLIILGASLLLLIFPDGIQGNYDRTYMQQILGSAQQDKDWLVSIRRQIHENPELRFEEHNTSALIRRELDRLGISYEYPLAKTGVVAQIGFGSRPVVALRADMDALPLQELVEWEHKSKIDGKMHGCGHDSHTTMLLGAAKLLNERKHKLKGTVRLLFQPAEEGGAGASHMIEEGALGDAEAIFAMHIGTELPTGSISSLSGPVLAAVSFFEAKIEGKGGHAAEPHTNVDPILAVSFATLALQQLISREVDPLHSEVFHL >Manes.17G106600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31319201:31321834:1 gene:Manes.17G106600.v8.1 transcript:Manes.17G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEGWRVITCAADAQDKTIINRIMHRFRPIAPKPATDGWKQENKSALLTSVRTKRKYVRVKKSNEYYKRRKRKSSDLERAAGEKDDSNDKLLTLQLLPEKTDLAKDSTLDKGSSSGVDLRAKKDHTDPSVLLKSRKQVTGDPICLAFPDRTAAMADNRMIETWVTVESVTDTCMGVVEGLGCTDMEKMNNLKKDTCPGFISDGISRVVWVNEAYRKMVMFRPVEEITVRLAIKEKLLPYLHYQTFTCWVRLQYTWQKEKFSQMVPCDVWKMESGGFAWRLDTKAALSLGL >Manes.10G083411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20389116:20389934:-1 gene:Manes.10G083411.v8.1 transcript:Manes.10G083411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDLFYLQSSDNPSMILVSASLIRSRNFRSWNRAMLIALGVKQNLGFVDGTILMPNKDNEFNKEWRRCDYMIYELHRQISLISQENSLVSVYFIRLKRLWDKLGSIEILPPCSYGASKAIDDMNNSNRLIQFLMGLNENFDSVRDQVLVLDPFPSINSTYSMALKHESQKEILSKRNLNSTKTLVLFNQSQGQLQKGKQKKYDPKKGHYNHCNMNGHVRDTYFKLIGYPD >Manes.02G153020.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11749923:11755258:1 gene:Manes.02G153020.v8.1 transcript:Manes.02G153020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENLALHISLALFMLQHCSLTVAFDSTEEANALLKWAATLHNPKDSNISSWPLLPQNATNSIPRTSPCNWVGLSCNINGRVERLNLTNAGLNGTLHELYFSAFSDLAYIDLSVNMLSGNIPLGITKLSKLIYLDLAYNLLSGTIPPEIGLLTNLDTLHLSANQLSGPIPSTIGQLSSLTELALYTNYLDGPIPPSIGNLTKMARLLLYENQISDLIPPEMGNLTSLVELYMDTNNLSGPIPSTFGKLKNLTVLHMFRNQLSGSIPLEIGNMKSLTKLSLFENNLSGQVPATLGGLTNLELLHLYKNKLSGPIPDELGNLTSMFDLELSENQLNGSIPSSLSNLKMLEQLYLRDNQLSGTIPEQIASLPNLSVLQLDNNQLIGQLPQTICQNEKLQNFTVFNNRLDGPIPKSFKDCKSFVRVRLEGNQFTGNVSEDFGVYPQLQYIDLSSNNFYGEISSNWGECPNLTTLAMAGNNISGTIPPEIGNATQLKALNLSSNKLSGRIPMEFGKLDLLKVILNDNQLSGGIPSEFGLLADLEYLDLSANKLNQSIPENIGNLPKLIYLNLSRNEFSQKIPIRLGKLTHLSKLDLSRNLLKGEIPSALSSLESLEVLNLSHNNLSGSIPDSFGGMNGLLTIDVSYNELEGPIPSNKAFQNASIEAFQGNKGLCGDVPVLKPCKILTNKSTSKKSHKMLFLIIFLPICGVFSLLGFVGVFFFLRKRKEDADAQQGSQEDGESFFISSSEGGIMHDEIIKSTDCFNSVYCIGKGGHGSVYKANLQSGSTVAVKKIHQFQDGEKTRRKEFLNEIRALTKIRHRNIVKLFGFCSYSRYSFLVYEYLDGGNLATILGNDKEAKALDWSKRINIVKGVANALFYMHHNCSPPIVHRDITSKNILVDSVYEAHVLDFGTAKLLNVDSSHWTALAGTYGYIAPELAYTMKVSEKCDVYSFGVVALEMINGKHPGEIVFSVASPSAQKLVLEDFLDQRLPTPSAQVQDELRKIMKIAIACLHSNPQSRPTMHMISQVLAVQTRPFSSLG >Manes.08G002700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:574607:577066:1 gene:Manes.08G002700.v8.1 transcript:Manes.08G002700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWYPKVSDEYQKAVQKCKRKLRGHVAEKRCAPIVLRLTWHSAGTFDVKTRTGGPFGTIRHPEELAHQANNGLDIAVRLLEPIKEQFPILTYADFYQLAGVVAVEITGGPEIPFNPGRPDKSNPPPEGRLPDATKGADHLREVFGRMGLSDKDIVALSGGHTLGSCHKERSGFEGPWTTHPLIFDNSYFKELLSGEKEGLIQLPSDKALLQDPVFRALVEKYAADEDAFFEDYAEAHLKLSELGFGDAQ >Manes.15G172266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15434352:15436488:1 gene:Manes.15G172266.v8.1 transcript:Manes.15G172266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGVQSLRDLGGGVQNGSHGSAKCRPPEEKVKRSRRCEFIVTFWILNSISKGLVDSFVYTASTTNFCLEITERFGECNGPMIYQLYRKLSLITQDNIFMSVYFTKLKRLWDELGSTEILHPCTCGVSKVINEMNIRKKLMQFFMGLNDVYGSIRDQVVGIDPLSSINKVYSMVLKFESQKEVLGSMNENVESLDGHIREGCFKLIGYPD >Manes.14G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28521522:28531715:-1 gene:Manes.14G157300.v8.1 transcript:Manes.14G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTEVPFYAHLKPMSDLSPFPNSAIKPASFGFGFCTAFPSFGFSLRFSSSGSNFYGRNDQFFNPNFGFSNGKRRRSSWVIRATGADYYSTLNVGRNASLQEIKSSYRKLARKYHPDLNKGPGAEEKFKEISAAYEVLSDDEKRSLYDRFGESGLQGEYDGSGFGSQGMDPFDIYNAFFGDSDGFFGGRGEAGGINFNLRNMGNQDLEIRYDLYLSFEESIFGAKRDIEVSCFETCDNCGGTGAKSSSCIKNCMDCGGRGGVMKTQRTPFGMMSQVSTCSKCSGKGKTITDHCRRCDGTGKVSSKQSMKVVIPPGINDGATMQIEGEGNFDKKRGIAGDLIITVHVNGKHGIWRGGLNLYSKINVDYTQAILGTVLKVETVDGMKDLQIPSGIQPGDTVKLSQMGVPNIKKPSVRGDHLFIVNVLIPKEISDKERALVEELASLKSYSKHHIATSNSSGTSKSNVDKNFRDAKGSPGIKSVTSLWNSIRDFLGQRQSREGFTSLTLDTSGLFWKSKKPELSLTCSLVTLFIVTCILIVKRKIDEYTLLQRRNPSPRHLQNKEP >Manes.14G157300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28521522:28531715:-1 gene:Manes.14G157300.v8.1 transcript:Manes.14G157300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQDLEIRYDLYLSFEESIFGAKRDIEVSCFETCDNCGGTGAKSSSCIKNCMDCGGRGGVMKTQRTPFGMMSQVSTCSKCSGKGKTITDHCRRCDGTGKVSSKQSMKVVIPPGINDGATMQIEGEGNFDKKRGIAGDLIITVHVNGKHGIWRGGLNLYSKINVDYTQAILGTVLKVETVDGMKDLQIPSGIQPGDTVKLSQMGVPNIKKPSVRGDHLFIVNVLIPKEISDKERALVEELASLKSYSKHHIATSNSSGTSKSNVDKNFRDAKGSPGIKSVTSLWNSIRDFLGQRQSREGFTSLTLDTSGLFWKSKKPELSLTCSLVTLFIVTCILIVKRKIDEYTLLQRRNPSPRHLQNKEP >Manes.14G157300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28521522:28531715:-1 gene:Manes.14G157300.v8.1 transcript:Manes.14G157300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTEVPFYAHLKPMSDLSPFPNSAIKPASFGFGFCTAFPSFGFSLRFSSSGSNFYGRNDQFFNPNFGFSNGKRRRSSWVIRATGADYYSTLNVGRNASLQEIKSSYRKLARKYHPDLNKGPGAEEKFKEISAAYEVLSDDEKRSLYDRFGESGLQGEYDGSGFGSQGMDPFDIYNAFFGDSDGFFGGRGEAGGINFNLRNMGNQDLEIRYDLYLSFEESIFGAKRDIEVSCFETCDNCGGTGAKSSSCIKNCMDCGGRGGVMKTQRTPFGMMSQVSTCSKCSGKGKTITDHCRRCDGTGKVSSKQSMKVVIPPGINDGATMQIEGEGNFDKKRGIAGDLIITVHVNGKHGIWRGGLNLYSKINVDYTQAILGTVLKVETVDGMKDLQIPSGIQPGDTVKLSQMGVPNIKKPSVRGDHLFIVNVLIPKEIRDIQKQR >Manes.14G157300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28521522:28531715:-1 gene:Manes.14G157300.v8.1 transcript:Manes.14G157300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFDIYNAFFGDSDGFFGGRGEAGGINFNLRNMGNQDLEIRYDLYLSFEESIFGAKRDIEVSCFETCDNCGGTGAKSSSCIKNCMDCGGRGGVMKTQRTPFGMMSQVSTCSKCSGKGKTITDHCRRCDGTGKVSSKQSMKVVIPPGINDGATMQIEGEGNFDKKRGIAGDLIITVHVNGKHGIWRGGLNLYSKINVDYTQAILGTVLKVETVDGMKDLQIPSGIQPGDTVKLSQMGVPNIKKPSVRGDHLFIVNVLIPKEISDKERALVEELASLKSYSKHHIATSNSSGTSKSNVDKNFRDAKGSPGIKSVTSLWNSIRDFLGQRQSREGFTSLTLDTSGLFWKSKKPELSLTCSLVTLFIVTCILIVKRKIDEYTLLQRRNPSPRHLQNKEP >Manes.01G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27980398:27985607:-1 gene:Manes.01G076500.v8.1 transcript:Manes.01G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNPFLPVSQPLQPTYTSLPDGTHPSGSPPTHCIPSKKLLLGIFSGLVMVFLFVALIGNRNGSQLNIYPQQDENVVSLASPTETAKPETLRPISRGKSAGVSEKANLISGVSESSTDQYPWNNSMLSWQRTAFHFQPEKNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSRDLIHWLHLPLAMVADQWYDQNGVWTGSATILPDGKIVMLYTGSTNESVQVQNLAYPADPNDPLLLDWVKYSGNPVLVPPPGIGTKDFRDPTTAWYTSEGKWRISIGSKIGKTGIALIYDTEDFINYKLQPQALHGVPGTGMWECVDFYPVSRTSQNGVDTSATGPEVKHVVKASLDDDRHDYYALGTYNEVTSTWTPDNPEIDVGIGLRYDYGIFYASKTFYDQNKGRRVLWGWIGESDSEVADVKKGWASLQGIPRTVTLDTKTGSNLLQWPVEEVESLRLRSNEFNKVEVKPGSVVPLDLDAATQLDIVAEFELDKKALEKTAESNEEFSCTTSHGARHRNALGPFGLLVLADDSLVEQTPVYFYVQKSNGTLKTFFCTDQSRSSAANDVNKQIYGNFVPVLEGEKFTLRVLVDHSIIESFAQGGRTTISSRVYPTRAIYGSAKLFLFNNAIEADVTASLKIWQMNSAFIRPYPNTR >Manes.08G077415.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18599906:18628289:-1 gene:Manes.08G077415.v8.1 transcript:Manes.08G077415.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAVCLDISTEVVGIGKENASRTELKRDHHFLIHDTVPEAFPNKKQAKEASNEDIKSEVSNPVISPKDNASTCQDITSQPNELTAGKQVGAGEVTSTCSGHSSPLDTLSEGGKHRSQIDPSQCDLTLNGTSDSVTTTHVVLEIPKHARSSGIRKITLKFSKRKDDYDTQNFTSVANRLGNGIGQKHFCSKERGKNYSAWVDSGTEILASEDRYFCAPNMELKMFKKVAPSNYPTNVKKLLSTGILDGAMVKYFSPERELDGIICGGGYLCGCRLCNFSKVLSAYEFEQHAGGKTRHPNNHIYLENGKPICSIIQELKTAPLSVLDEVMKDVAGPSLNEEFFQAWKASLQQSNGITGPERTCYSMLPCSPSSLARPASLLQQKKTNGGGTKRRDNDLHRLLFMPNGLPDGAELAYFVKGQELLTGYKQGNGVVCSCCDNEISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIAISLANGQSITTGVSDDMCAKCGEGGDLIFCESCPQAFHLVCLDLQCVPEGVWRCSNCNTFAHGANFARPIVIRLTRVVKPPEYEVGGCVFCRRHDFSTNTFDDRTVILCDQCEREFHVGCLRESGLCDLKALPKNNWFCSNDCNRIYMALRSSVSSGIQMIPPSQLNIITKKHAEKGVSIHGPAYEDVQWRILMGKSRDREDLSLLSAAAAIFRECFDPIVAKTGRDLIPVMVYGRNISGQEFGGMYCVLLLVRDIVVSAGLLRIFGREVAELPLVATSREHQGKGYFQALFSCIERLLCSLNVVNLVLPAAEEAESIWTGRFGFRKLSEGQLSKYTRELQLTIFKGTSMLEKEVPKIIE >Manes.08G077415.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18600067:18628289:-1 gene:Manes.08G077415.v8.1 transcript:Manes.08G077415.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAVCLDISTEVVGIGKENASRTELKRDHHFLIHDTVPEAFPNKKQAKEASNEDIKSEVSNPVISPKDNASTCQDITSQPNELTAGKQVGAGEVTSTCSGHSSPLDTLSEGGKHRSQIDPSQCDLTLNGTSDSVTTTHVVLEIPKHARSSGIRKITLKFSKRKDDYDTQNFTSVANRLGNGIGQKHFCSKERGKNYSAWVDSGTEILASEDRYFCAPNMELKMFKKVAPSNYPTNVKKLLSTGILDGAMVKYFSPERELDGIICGGGYLCGCRLCNFSKVLSAYEFEQHAGGKTRHPNNHIYLENGKPICSIIQELKTAPLSVLDEVMKDVAGPSLNEEFFQAWKASLQQSNGITGPERTCYSMLPCSPSSLARLQSFYRPASLLQQKKTNGGGTKRRDNDLHRLLFMPNGLPDGAELAYFVKGQELLTGYKQGNGVVCSCCDNEISPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIAISLANGQSITTGVSDDMCAKCGEGGDLIFCESCPQAFHLVCLDLQCVPEGVWRCSNCNTFAHGANFARPIVIRLTRVVKPPEYEVGGCVFCRRHDFSTNTFDDRTVILCDQCEREFHVGCLRESGLCDLKALPKNNWFCSNDCNRIYMALRSSVSSGIQMIPPSQLNIITKKHAEKGVSIHGPAYEDVQWRILMGKSRDREDLSLLSAAAAIFRECFDPIVAKTGRDLIPVMVYGRNISGQEFGGMYCVLLLVRDIVVSAGLLRIFGREVAELPLVATSREHQGKGYFQALFSCIERLLCSLNVVNLVLPAAEEAESIWTGRFGFRKLSEGQLSKYTRELQLTIFKGTSMLEKEVPKIIE >Manes.14G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4419967:4426658:-1 gene:Manes.14G052100.v8.1 transcript:Manes.14G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDKAYVLDKRKHLARLNINEAGKVLLKRGEGKLEKQFRMNCMGCSLFVCYRAEEDLETASFIYVVDGALSTVAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLSAREVYEKLLEAVQP >Manes.16G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6710644:6718029:1 gene:Manes.16G042500.v8.1 transcript:Manes.16G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVRNRILKDANGHISDHLRNHIHLTNCIHLKNHMHKQSPILADRCLMRDLIVLQRSRSLRDPSASPSSWHSPSVVDMLPKKGNKDATIMEGRRSVGVDRRREGRRLSGSSPPFASVAPSKVVPGELSGGHDAIAAISDYSSKSGARDGRRVGREESSRKSNRVEILGGDEDPLRDQYVNDLVKDDISGNSESKSRKSKQKGRRSQDVHIKTLSEQLNEVPVDTDVASSNIHLARSRQEKTGEEPEASIHGYSGLNRVKRRKFRGARRTRATPSSRDVGHEMSVASNSLAQGSARPRYHMEEEDVEEYGDQNVTRAPRNGCGIPWNWSRIHHRGKTFLDMAGRSFSCGLSDSRLRKGGMASHERAGLNMPVVSDHSSSSSKSDAEVLPLLVEASGSLESTDNAGWVHDYSGELGIYADHLLKNDVDSDLASEARSGGQHKLGRKHTSRHQNLTQKYMPRNFRDLVGQNLVAQALSNAVMRRKVGLLYVFYGPHGTGKTSCARIFARALNCQSLEHPKPCGCCNSCIAHDMGTSRNIREVGPVSNFDFESIMDLLDNMIISHLPSQFRVFIFDDCDTLSPDCWSAISKVVDRAPRRVVFVLISSSLDVLPHTIISRCQKFFFPKLKDADIIYTLQWIASKEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGLKISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRAIMETGVEPLALMSQLATVITDILAGSYDFTKERHKRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYMLPSSSTETSFNHSPMTLNNANGRDVARKGSEQAEMPENQRSLSAHVKLENLPARTSADFQIYGSSNGVNVDRKRNVGARMVPQWASLSSDTVRVSGKQMSAKSRKGYEEIWLEVLGKIQYNSIREFLYHEGKLISVSFGAAPTVQLIFSSHRTKSKAEKFRAHILQAFESVLGSPVTIEIRYESNKDTGGGFHAPLILPVSRKASSQMAVEPETTTGSRMPRAGESLGAGRSEIVEIPDSPREIKGNVHVDNNAESSVRGLQRARVGDSAVSHMKSSISPKSEQRLGEPSQSKSLVRSKVSLARVIQQAEGCSQQSGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRLTRRKLSRLKIRTRRPHSLLKFVSCGKCLSSKSPR >Manes.16G042500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6710650:6717747:1 gene:Manes.16G042500.v8.1 transcript:Manes.16G042500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVRNRILKDANGHISDHLRNHIHLTNCIHLKNHMHKQSPILADRCLMRDLIVLQRSRSLRDPSASPSSWHSPSVVDMLPKKGNKDATIMEGRRSVGVDRRREGRRLSGSSPPFASVAPSKVVPGELSGGHDAIAAISDYSSKSGARDGRRVGREESSRKSNRVEILGGDEDPLRDQYVNDLVKDDISGNSESKSRKSKQKGRRSQDVHIKTLSEQLNEVPVDTDVASSNIHLARSRQEKTGEEPEASIHGYSGLNRVKRRKFRGARRTRATPSSRDVGHEMSVASNSLAQGSARPRYHMEEEDVEEYGDQNVTRAPRNGCGIPWNWSRIHHRGKTFLDMAGRSFSCGLSDSRLRKGGMASHERAGLNMPVVSDHSSSSSKSDAEVLPLLVEASGSLESTDNAGWVHDYSGELGIYADHLLKNDVDSDLASEARSGGQHKLGRKHTSRHQNLTQKYMPRNFRDLVGQNLVAQALSNAVMRRKVGLLYVFYGPHGTGKTSCARIFARALNCQSLEHPKPCGCCNSCIAHDMGTSRNIREVGPVSNFDFESIMDLLDNMIISHLPSQFRVFIFDDCDTLSPDCWSAISKVVDRAPRRVVFVLISSSLDVLPHTIISRCQKFFFPKLKDADIIYTLQWIASKEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGLKISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRAIMETGVEPLALMSQLATVITDILAGSYDFTKERHKRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYMLPSSSTETSFNHSPMTLNNANGRDVARKGSEQAEMPENQRSLSAHVKLENLPARTSADFQIYGSSNGVNVDRKRNVGARMVPQWASLSSDTVRVSGKQMSAKSRKGYEEIWLEVLGKIQYNSIREFLYHEGKLISVSFGAAPTVQLIFSSHRTKSKAEKFRAHILQAFESVLGSPVTIEIRYESNKDTGGGFHAPLILPVSRKASSQMAVEPETTTGSRMPRAGESLGAGRSEIVEIPDSPREIKGNVHVDNNAESSVRGLQRARVGDSAVSHMKSSISPKSEQRLGEPSQSKSLVRSKVSLARVIQQAEGCSQQSGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRLTRRKLSRLKIRTRRPHSLLKFVSCGKCLSSKSPR >Manes.16G042500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6710650:6717747:1 gene:Manes.16G042500.v8.1 transcript:Manes.16G042500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVRNRILKDANGHISDHLRNHIHLTNCIHLKNHMHKQSPILADRCLMRDLIVLQRSRSLRDPSASPSSWHSPSVVDMLPKKGNKDATIMEGRRSVGVDRRREGRRLSGSSPPFASVAPSKVVPGELSGGHDAIAAISDYSSKSGARDGRRVGREESSRKSNRVEILGGDEDPLRDQYVNDLVKDDISGNSESKSRKSKQKGRRSQDVHIKTLSEQLNEVPVDTDVASSNIHLARSRQEKTGEEPEASIHGYSGLNRVKRRKFRGARRTRATPSSRDVGHEMSVASNSLAQGSARPRYHMEEEDVEEYGDQNVTRAPRNGCGIPWNWSRIHHRGKTFLDMAGRSFSCGLSDSRLRKGGMASHERAGLNMPVVSDHSSSSSKSDAEVLPLLVEASGSLESTDNAGWVHDYSGELGIYADHLLKNDVDSDLASEARSGGQHKLGRKHTSRHQNLTQKYMPRNFRDLVGQNLVAQALSNAVMRRKVGLLYVFYGPHGTGKTSCARIFARALNCQSLEHPKPCGCCNSCIAHDMGTSRNIREVGPVSNFDFESIMDLLDNMIISHLPSQFRVFIFDDCDTLSPDCWSAISKVVDRAPRRVVFVLISSSLDVLPHTIISRCQKFFFPKLKDADIIYTLQWIASKEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGLKISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRAIMETGVEPLALMSQLATVITDILAGSYDFTKERHKRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYMLPSSSTETSFNHSPMTLNNANGRDVARKGSEQAEMPENQRSLSAHVKLENLPARTSADFQIYGSSNGVNVDRKRNVGARMVPQWASLSSDTVRVSGKQMSAKSRKGYEEIWLEVLGKIQYNSIREFLYHEGKLISVSFGAAPTVQLIFSSHRTKSKAEKFRAHILQAFESVLGSPVTIEIRYESNKDTGGGFHAPLILPVSRKASSQMAVEPETTTGSRMPRAGESLGAGRSEIVEIPDSPREIKGNVHVDNNAESSVRGLQRARVGDSAVSHMKSSISPKSEQRLGEPSQSKSLVRSKVSLARVIQQAEGCSQQSGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRLTRRKLSRLKIRTRRPHSLLKFVSCGKCLSSKSPR >Manes.16G042500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6710650:6717747:1 gene:Manes.16G042500.v8.1 transcript:Manes.16G042500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRSVGVDRRREGRRLSGSSPPFASVAPSKVVPGELSGGHDAIAAISDYSSKSGARDGRRVGREESSRKSNRVEILGGDEDPLRDQYVNDLVKDDISGNSESKSRKSKQKGRRSQDVHIKTLSEQLNEVPVDTDVASSNIHLARSRQEKTGEEPEASIHGYSGLNRVKRRKFRGARRTRATPSSRDVGHEMSVASNSLAQGSARPRYHMEEEDVEEYGDQNVTRAPRNGCGIPWNWSRIHHRGKTFLDMAGRSFSCGLSDSRLRKGGMASHERAGLNMPVVSDHSSSSSKSDAEVLPLLVEASGSLESTDNAGWVHDYSGELGIYADHLLKNDVDSDLASEARSGGQHKLGRKHTSRHQNLTQKYMPRNFRDLVGQNLVAQALSNAVMRRKVGLLYVFYGPHGTGKTSCARIFARALNCQSLEHPKPCGCCNSCIAHDMGTSRNIREVGPVSNFDFESIMDLLDNMIISHLPSQFRVFIFDDCDTLSPDCWSAISKVVDRAPRRVVFVLISSSLDVLPHTIISRCQKFFFPKLKDADIIYTLQWIASKEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGLKISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRAIMETGVEPLALMSQLATVITDILAGSYDFTKERHKRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYMLPSSSTETSFNHSPMTLNNANGRDVARKGSEQAEMPENQRSLSAHVKLENLPARTSADFQIYGSSNGVNVDRKRNVGARMVPQWASLSSDTVRVSGKQMSAKSRKGYEEIWLEVLGKIQYNSIREFLYHEGKLISVSFGAAPTVQLIFSSHRTKSKAEKFRAHILQAFESVLGSPVTIEIRYESNKDTGGGFHAPLILPVSRKASSQMAVEPETTTGSRMPRAGESLGAGRSEIVEIPDSPREIKGNVHVDNNAESSVRGLQRARVGDSAVSHMKSSISPKSEQRLGEPSQSKSLVRSKVSLARVIQQAEGCSQQSGWSKRKAVSIAEKLEQENLRLEPRSRSLLCWKASRLTRRKLSRLKIRTRRPHSLLKFVSCGKCLSSKSPR >Manes.13G000012.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29751:33368:1 gene:Manes.13G000012.v8.1 transcript:Manes.13G000012.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAVENKKVIFKGYIDRAPRETDMEMRTGTLELKTPKGSGAFLVKNLYLSCDPYMRGRMRDYHGSYIPPFVPGQPIQGFGVSKVVASDNPDFKPGDLVSGITGWEEYSLIQKPEQFRTVQHDDIPLSFNLGLLGMPGLTAYAGFYEVCCPKEGDNVFVSAASGAVGQLVGQLAKLHGCYVVGCAGTSQKVDLLKNKLGFHEAFNYKEEPNIDAALKRYFPEGIDIYFDNVGGDMLDAALRNMRISGRIAVCGMVSLHSLSDPCGIRNLFNLVSKRIRMQGFLQSDYLHLYPKFLKHVINNYRQGKIVYIEDMNEGLESAPAALAGLFSGKNVGKQVIRVAYE >Manes.08G171900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40538172:40540118:1 gene:Manes.08G171900.v8.1 transcript:Manes.08G171900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSHALNGVQQPTKHFTLQFFYINTNPCTLILTLKTFKSLLHTRNSGISTVLAMAALKMVSVLVLCMLVAAPMATQAITCGQVASSLAPCINYLKGQGAQAPPAACCNGVKAINNAAKTTPDRQTACNCLKSAARGVSGLNPSTAENLPSKCGVSIPYKISLSTNCATVK >Manes.09G002301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:713182:714868:-1 gene:Manes.09G002301.v8.1 transcript:Manes.09G002301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNGKELSFEKKRLRRRLPGSAAESEVRPPNMGRFWERFWPPKALFKRAKVRPPNLKFGRQTCMSLGGTLGCRRSLTRPPIKSPQIGNGRVFSPFSSSEFSSLDQVLGTWRPKELVSPISKLELAQTLDLQEVSVDLCFPLCFMKFLSSFKRF >Manes.09G002301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:712467:714252:-1 gene:Manes.09G002301.v8.1 transcript:Manes.09G002301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNGKELSFEKKRLRRRLPGSAAESEVRPPNMGRFWERFWPPKALFKRAKVRPPNLKFGRQTCMSLGGTLGCRRSLTRPPIKSPQIGNGRVFSPFSSSEFSSLDQVLGTWRPKELVSPISKLELAQTLDLQEDRTRGIEEAISVSCCGVSPASAKVLQVRGRPGRRQR >Manes.17G118642.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33301926:33304040:1 gene:Manes.17G118642.v8.1 transcript:Manes.17G118642.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALYRYYRHKLKKKYFDSKATYSLRLRNKPKDMDVKDWKYLVNLWTENAFQERSNKNKTNRCKRSMPPYTGTKSFARLRDHMEKFQQLKKQREEGQISLDDDAMFADVLGPEKNGYVRSYGPRKNVTEYFGARPTKIELLRQLDTSRREANERVQQIQKEASEQVNDVKKQMDEKLVEK >Manes.15G180000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:22541355:22543778:1 gene:Manes.15G180000.v8.1 transcript:Manes.15G180000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLYSSSYVEPTKLESIVKAFASKQEPLPLSLSAIGGLPIDNNVLFLAPTPSLSLLQFHSQLYDALKKEGIEISEEYRPDSWIPYCAVAQDVPKARTGEAFCVLRDLKLPVTGYAMDIGLVEFSPVRELFSFVLGNSVEG >Manes.15G180000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:22541354:22543778:1 gene:Manes.15G180000.v8.1 transcript:Manes.15G180000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLYSSSYVEPTKLESIVKAFASKQEPLPLSLSAIGGLPIDNNVLFLAPTPSLSLLQFHSQLYDALKKEGIEISEEYRPDSWIPYCAVAQDVPKARTGEAFCVLRDLKLPVTGYAMDIGLVEFSPVRELFSFVLGNSVEG >Manes.15G180000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:22541355:22543680:1 gene:Manes.15G180000.v8.1 transcript:Manes.15G180000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLYSSSYVEPTKLESIVKAFASKQEPLPLSLSAIGGLPIDNNVLFLAPTPSLSLLQFHSQLYDALKKEGIEISEEYRPDSWIPYCAVAQDVPKARTGEAFCVLRDLKLPVTGYAMDIGLVEFSPVRELFSFVLGNSVEG >Manes.14G031400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2818718:2819978:-1 gene:Manes.14G031400.v8.1 transcript:Manes.14G031400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADIPAIVVSFNYRLAPEHRLPAAYDDAVEVFQWIKNNSQLDWMRECADYNRCFIMGSSAGANIAYHAGFRVSKEVDRLEPLKIKGLILHHPFIGGTQRTESELRLVNDLHLPQSVSDLMWELALPIGVDRDHEYCNPMANGGSKLWDKMRLLGWRVLVTGCNGDPTIDRQMELVQMLRAKNVGITGHFSEGGYHVVELKEPSKFKAMLLVLKEENSWFVEQ >Manes.14G016300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:950335:953903:-1 gene:Manes.14G016300.v8.1 transcript:Manes.14G016300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLMMPLSFSISSRLLAFRLSFLSGNFDNFMAGSGLPLPSLGRVKLSDLIPPEGLPCDSYKLSVSTLSQSIAQYSAAIIQFSAIDGALLRSGLDSARLYFRKKSSYPSADMIHTNDSRKWCKTSGYYADPQLWQETYDYRPGLTPTDPNGAMEFPPGGLPDIFSLLGRAARDILDAISFYLNLRSSPFTEILDNVPLRSQETSSSVLSVCCYARPSFQGSQQHNLTAQEDGRMVMYPDHEHQVDKSLISLVKSDKAGLHVRDFHGRWVLVDGELGPQEVIVYPGLALYQATAGYINPALYRTEINNLQGNMYGRCSLAFKLMPKSMTSLSCSEMRAAGHGVEAQFQVPVPVDDFMQRPHPTDQLLNRHTIQSFNFSTSLDGSMKAMMRRKNSSHCKPLPPSKRLRLEAQRVLKEKVQEIADRKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPPGVPFVHPHDLPNKAKIGFLEAYESGWTPTHVMELSLTKPGQGSQHSDNCS >Manes.17G015000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7263079:7284361:-1 gene:Manes.17G015000.v8.1 transcript:Manes.17G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKSSSLMIRTWRTAFLTLRDETLTRSPKSESKSIGELLHNLIFSHSNSLISAAPDLPPHEVTSDLSFLLELAANSFEYGGQDSDSIFSHISHLMHEICHCQRVSLQINSSSWTLILNSSSTILQFFIRKTAVAPLFSSNAAIVKSAMECIETIRHLVNVHHQRFSLSDNIQLVKFLLCIVEHSHAQLINSCNISGKQRSSAATGKRLSKYSSLWEVQTVVFTMLGGAFVRVGSSFPADIWQSTIKVHRKVMDSLASKSSLVEDFVMSRFYASLLNSLHMVLMDPKDSLLDHVSSFVATLRMFFVYGLTSRTEFSFLANSHEEKEFSKMRLKLTLEESVRKDHAPYRPPHLRKKENISMKQPRIQDSVCIYDHESSATEFVSSDSDCSDNDGSVKDTESIQNSKVRVAAIVCIQDLCQADSKSLTTQWTVLLPTNDVLQPRKFEATLMTCLLFDPYLKARIASASTLAVMLDGPSSVFLQVAEYKESTRCGSFMALSSSLGLMLMQLHTGVLYLIQHETHNRMLGSLFKILMLLISSTPYSRMPGELLPTIITSLLSRTENGFPFKSDQTSLLATTINCLTAALSTSPPSPHVKEMLLEEISIGGVGGGGVESKKSSGVLSTLYRYSELLTNSSMCFEALQALRVVIHNYPSVAFACWEQISTLLPKVLRVAAPEVPARAWKGHMGENIGFTEEKVITAAIKVLDECLRAISGFKGTEDVLDDKLLDTSFISDCARTKKVSSAPSYGLESAEDTNEEPKAFESGSEQWSITLENHIPLILGHSSAMVRTASLTCFAGITSSAFIFLSKEKQEFVVSSLVNAAVDDEVPSVRSAACRAIGVISCFPQISRSAEILAKFVHAVEINTRDPLVSVRITASWAFANICDSLRHCIDDFPLDKSSDSNANSQLMEFLADCALRLTKDGDKIKSNAVRALGNLSRFVRCKSKSSSTDDLLLLERMVQAFLSCVITGNVKVQWNVCHALSNLFLNETLRLQDMDWAPSVFSILLVLLRDSSNFKIRIQAAAALAVPASANGYGKSFSDVVQGLEHIIENLASDQIDVPSSFKYRVALDKQITSTMLHVLILASTADHQPLKDFLVKKASFLEDWLKGLCTTLGETSRPEAERQKKQVISKAIHSLIEVYGSDNHQAIARKFEKLNNSIQ >Manes.17G015000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7263079:7284361:-1 gene:Manes.17G015000.v8.1 transcript:Manes.17G015000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKSSSLMIRTWRTAFLTLRDETLTRSPKSESKSIGELLHNLIFSHSNSLISAAPDLPPHEVTSDLSFLLELAANSFEYGGQDSDSIFSHISHLMHEICHCQRVSLQINSSSWTLILNSSSTILQFFIRKTAVAPLFSSNAAIVKSAMECIETIRHLVNVHHQRFSLSDNIQLVKFLLCIVEHSHAQLINSCNISGKQRSSAATGKRLSKYSSLWEVQTVVFTMLGGAFVRVGSSFPADIWQSTIKVSSFVATLRMFFVYGLTSRTEFSFLANSHEEKEFSKMRLKLTLEESVRKDHAPYRPPHLRKKENISMKQPRIQDSVCIYDHESSATEFVSSDSDCSDNDGSVKDTESIQNSKVRVAAIVCIQDLCQADSKSLTTQWTVLLPTNDVLQPRKFEATLMTCLLFDPYLKARIASASTLAVMLDGPSSVFLQVAEYKESTRCGSFMALSSSLGLMLMQLHTGVLYLIQHETHNRMLGSLFKILMLLISSTPYSRMPGELLPTIITSLLSRTENGFPFKSDQTSLLATTINCLTAALSTSPPSPHVKEMLLEEISIGGVGGGGVESKKSSGVLSTLYRYSELLTNSSMCFEALQALRVVIHNYPSVAFACWEQISTLLPKVLRVAAPEVPARAWKGHMGENIGFTEEKVITAAIKVLDECLRAISGFKGTEDVLDDKLLDTSFISDCARTKKVSSAPSYGLESAEDTNEEPKAFESGSEQWSITLENHIPLILGHSSAMVRTASLTCFAGITSSAFIFLSKEKQEFVVSSLVNAAVDDEVPSVRSAACRAIGVISCFPQISRSAEILAKFVHAVEINTRDPLVSVRITASWAFANICDSLRHCIDDFPLDKSSDSNANSQLMEFLADCALRLTKDGDKIKSNAVRALGNLSRFVRCKSKSSSTDDLLLLERMVQAFLSCVITGNVKVQWNVCHALSNLFLNETLRLQDMDWLW >Manes.17G015000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7263079:7284361:-1 gene:Manes.17G015000.v8.1 transcript:Manes.17G015000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKSSSLMIRTWRTAFLTLRDETLTRSPKSESKSIGELLHNLIFSHSNSLISAAPDLPPHEVTSDLSFLLELAANSFEYGGQDSDSIFSHISHLMHEICHCQRVSLQINSSSWTLILNSSSTILQFFIRKTAVAPLFSSNAAIVKSAMECIETIRHLVNVHHQRFSLSDNIQLVKFLLCIVEHSHAQLINSCNISGKQRSSAATGKRLSKYSSLWEVQTVVFTMLGGAFVRVGSSFPADIWQSTIKVHRKVMDSLASKSSLVEDFVMSRFYASLLNSLHMVLMDPKDSLLDHVSSFVATLRMFFVYGLTSRTEFSFLANSHEEKEFSKMRLKLTLEESVRKDHAPYRPPHLRKKENISMKQPRIQDSVCIYDHESSATEFVSSDSDCSDNDGSVKDTESIQNSKVRVAAIVCIQDLCQADSKSLTTQWTVLLPTNDVLQPRKFEATLMTCLLFDPYLKARIASASTLAVMLDGPSSVFLQVAEYKESTRCGSFMALSSSLGLMLMQLHTGVLYLIQHETHNRMLGSLFKILMLLISSTPYSRMPGELLPTIITSLLSRTENGFPFKSDQTSLLATTINCLTAALSTSPPSPHVKEMLLEEISIGGVGGGGVESKKSSGVLSTLYRYSELLTNSSMCFEALQALRVVIHNYPSVAFACWEQISTLLPKVLRVAAPEVPARAWKGHMGENIGFTEEKVITAAIKVLDECLRAISGFKGTEDVLDDKLLDTSFISDCARTKKVSSAPSYGLESAEDTNEEPKAFESGSEQWSITLENHIPLILGHSSAMVRTASLTCFAGITSSAFIFLSKEKQEFVVSSLVNAAVDDEVPSVRSAACRAIGVISCFPQISRSAEILAKFVHAVEINTRDPLVSVRITASWAFANICDSLRHCIDDFPLDKSSDSNANSQLMEFLADCALRLTKDGDKIKSNAVRALGNLSRFVRCKSKSSSTDDLLLLERMVQAFLSCVITGNVKVQWNVCHALSNLFLNETLRLQDMDWLW >Manes.17G015000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7263079:7284361:-1 gene:Manes.17G015000.v8.1 transcript:Manes.17G015000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKSSSLMIRTWRTAFLTLRDETLTRSPKSESKSIGELLHNLIFSHSNSLISAAPDLPPHEVTSDLSFLLELAANSFEYGGQDSDSIFSHISHLMHEICHCQRVSLQINSSSWTLILNSSSTILQFFIRKTAVAPLFSSNAAIVKSAMECIETIRHLVNVHHQRFSLSDNIQLVKFLLCIVEHSHAQLINSCNISGKQRSSAATGKRLSKYSSLWEVQTVVFTMLGGAFVRVGSSFPADIWQSTIKVSSFVATLRMFFVYGLTSRTEFSFLANSHEEKEFSKMRLKLTLEESVRKDHAPYRPPHLRKKENISMKQPRIQDSVCIYDHESSATEFVSSDSDCSDNDGSVKDTESIQNSKVRVAAIVCIQDLCQADSKSLTTQWTVLLPTNDVLQPRKFEATLMTCLLFDPYLKARIASASTLAVMLDGPSSVFLQVAEYKESTRCGSFMALSSSLGLMLMQLHTGVLYLIQHETHNRMLGSLFKILMLLISSTPYSRMPGELLPTIITSLLSRTENGFPFKSDQTSLLATTINCLTAALSTSPPSPHVKEMLLEEISIGGVGGGGVESKKSSGVLSTLYRYSELLTNSSMCFEALQALRVVIHNYPSVAFACWEQISTLLPKVLRVAAPEVPARAWKGHMGENIGFTEEKVITAAIKVLDECLRAISGFKGTEDVLDDKLLDTSFISDCARTKKVSSAPSYGLESAEDTNEEPKAFESGSEQWSITLENHIPLILGHSSAMVRTASLTCFAGITSSAFIFLSKEKQEFVVSSLVNAAVDDEVPSVRSAACRAIGVISCFPQISRSAEILAKFVHAVEINTRDPLVSVRITASWAFANICDSLRHCIDDFPLDKSSDSNANSQLMEFLADCALRLTKDGDKIKSNAVRALGNLSRFVRCKSKSSSTDDLLLLERMVQAFLSCVITGNVKVQWNVCHALSNLFLNETLRLQDMDWAPSVFSILLVLLRDSSNFKIRIQAAAALAVPASANGYGKSFSDVVQGLEHIIENLASDQIDVPSSFKYRVALDKQITSTMLHVLILASTADHQPLKDFLVKKASFLEDWLKGLCTTLGETSRPEAERQKKQVISKAIHSLIEVYGSDNHQAIARKFEKLNNSIQ >Manes.04G132700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33353330:33354906:-1 gene:Manes.04G132700.v8.1 transcript:Manes.04G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSDKNISGGDGLRPNSHASKVLASSSSPMAKVCCSIEMEPRTLREGQLTHAREVAADVVQKMELKEASIVFIEELKSVPAMKMEEMEENENKEKHKFLNVDCKEDGEAPKIESPCQCSVTEMEYSPEQTIKEPLSAPF >Manes.13G064300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8111965:8112643:1 gene:Manes.13G064300.v8.1 transcript:Manes.13G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVLDGATIVNFVEDEEAFTVSVRDRFDDLDTDQDGLLSYAEMLKELQSLRVFETHFGIDVKTDPEELARVYGSLFEQFDHDLSGRVDLEEFKEETKQMMLAMANGLGFLPVQMVLEEDSLLKKAVERESASASAAA >Manes.18G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8920699:8926139:-1 gene:Manes.18G096500.v8.1 transcript:Manes.18G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDEAMGTFAPIVVYWLYAGFYQLLPPLDKYRLHTRKEEEEKNLVPLPKVVKGVLLQQLVQAIVAHGLFWLTSTPDTSGIIIQPPIAIQTVQIIIAMFVMDTWQYFVHRYMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTARTGVIFFCFAMVKTVDDHCGLWLPGNIFHIFFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDKILGTHMPYDLVKRAEGGFEARLMKD >Manes.15G018600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1570669:1572476:1 gene:Manes.15G018600.v8.1 transcript:Manes.15G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGREGICVMLSLVVFGALTIRNADGLKQNFYHTSCPQVEPTVSTITRNRVQSNPALGAKLLRMHFHDCFVRGCDASILLDAVGSTKAEKDSIPDGSLSGYDVIDEIKSELEQICPGVVSCADILALAARDAVSFQFNNPLWEVLTGRRDGNLSLASDVDANLPSPFSDFNTLLQLYNDKGLDLDDLVILSGGHTIGVAHCATFSNRLYNFNGNNGSDPSLDPDYAEFLKTQCPNPFDPTTVEMDPQSSMVFDKSYFSILLQNKGLFQSDAALLQDERSARIVRQLNTSNDFFARFANSMKKMGAIEVLTGDAGQIRKNCHVANPQG >Manes.02G010900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1124850:1131333:1 gene:Manes.02G010900.v8.1 transcript:Manes.02G010900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVSLLSFFLGVSVFVLFSSHFAVAGVVTCSGIVPMRYRNDNISITDFGGVGDGMTLNTKAFREAIYRIQHLSRSGGTLLYIPPGVYLTGSFNLTSHMTLYLAKGAVIKATQDFSNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLHDVVITGENGTIDGQGDVWWEMWRQRTLQFTRPNLVEIVNSRSIIISNVIFKNSPFWNIHPVYCSNVVIQFVTILAPPDSPNTDGIDPDSSTNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVTGSSPFAGIAVGSETSGGVENVLAENINLYDMGVGIHVKTNIGRGGFIRNITISDVYMENVRKGIKIAGDVGDHPDDKFNPNALPVVKGITLKGVWGEKVQQPGSIQGLKDSPFTGICLSNINLHGVPGPRSTPWKCSDVSGAALEVSPWPCSELTTTHQAAACSDHI >Manes.02G010900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1127177:1131333:1 gene:Manes.02G010900.v8.1 transcript:Manes.02G010900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREHPCHSWCSWFMPIWRKNWKLMRIKFTDFSNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLHDVVITGENGTIDGQGDVWWEMWRQRTLQFTRPNLVEIVNSRSIIISNVIFKNSPFWNIHPVYCSNVVIQFVTILAPPDSPNTDGIDPDSSTNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVTGSSPFAGIAVGSETSGGVENVLAENINLYDMGVGIHVKTNIGRGGFIRNITISDVYMENVRKGIKIAGDVGDHPDDKFNPNALPVVKGITLKGVWGEKVQQPGSIQGLKDSPFTGICLSNINLHGVPGPRSTPWKCSDVSGAALEVSPWPCSELTTTHQAAACSDHI >Manes.02G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1124907:1131333:1 gene:Manes.02G010900.v8.1 transcript:Manes.02G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVSLLSFFLGVSVFVLFSSHFAVAGVVTCSGIVPMRYRNDNISITDFGGVGDGMTLNTKAFREAIYRIQHLSRSGGTLLYIPPGVYLTGSFNLTSHMTLYLAKGAVIKATQDFSNWPLIAPLPSYGRGRERPGGRYMSFIHGDGLHDVVITGENGTIDGQGDVWWEMWRQRTLQFTRPNLVEIVNSRSIIISNVIFKNSPFWNIHPVYCSNVVIQFVTILAPPDSPNTDGIDPDSSTNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSGITIRRVTGSSPFAGIAVGSETSGGVENVLAENINLYDMGVGIHVKTNIGRGGFIRNITISDVYMENVRKGIKIAGDVGDHPDDKFNPNALPVVKGITLKGVWGEKVQQPGSIQGLKDSPFTGICLSNINLHGVPGPRSTPWKCSDVSGAALEVSPWPCSELTTTHQAAACSDHI >Manes.11G121300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28203878:28207925:-1 gene:Manes.11G121300.v8.1 transcript:Manes.11G121300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYKLFLAYLQLVIFLSLNLQFMHVHSSSNETDQLALLKFKEGISNDPHQVFNSWNHSIHFCRWHGIACSRRHQRVTSIVLRGHDLMGSISPFIGNLSFLKILCLPNNIFKGQIPQEVGNLFRLQILDLQNNTMDGEIPVNLTRCSQLSVISLERNYLTGKIPAELGSLEMLELLLLCENNLNGKFPSSLGNLSSLAIISAGWMELEGNIPNEFGRLKSLEFFIAIGNNLSGTFPLSLFNISSVEEISIAGNKFMGSLPENIGITLPNLRRIAVGDNLFSGSIPNSFCNASQLEILDLSINNFKGQVPNCLGNLQNLIRLTVSYNYLGYNSTSDLDFLTSLKNCSNMRELGFDFNNFGGVLSNSVSNLSVQLSKLYFTGNQISGIIPKALENLINLILLAMEDNLFIGVIPSFVGKLEKLQQLFLDENKLSGQIPSSIGNLTQLSKLSISRNNLEGSIPKSIKNCKNLQYLDASGNNLNGSITKEVLHLSSLSQYLDLSHNSLTGELPADVGNLTSINALDVSKNMLSGEIPRAIGSCSSLEYLYMQGNSFHGSIPSSLASLKGLQLLDLSQNNLTGEIPKVLQSLHYVLYLNISFNDLVGEIPTEGVFSNASAISLMGNNKLCGGVTELHQPKCPSNALKKGKSISIRIAIVVPPVFFCVLLMLAFMLAYRKRVSKKGSSVASKEMDSLVKVSYKDLYAATSGFSIDNLIGSGSFGFVYKGFLNQLGVHVAIKVLKLGIKGASKSFMAECKVLSTLRHRNLVKLFTCCSSIDYKQNEFKALVYEFMGKGNLETWLHHDILNNNQSRNLNFLQRLNIAIDVASALHYLHDLCEIPVIHGDLKPGNVLLDDDMVAHLSDFGLAKFFLNTDDASQSQTSSIGIRGTIGYAPPEYGMGSIASKEGDVYSYGILVLEMFTGKRPTDEKFEGLLNLHSFVKDALPTRLSEITCPALLSTGMVVAEVEEQIVVRIEEGPRDNVELSGASISKEKECLLSVFNVGVACSAESPGDRTSMRDVVKELHLIRSIFLGVRIYD >Manes.05G086444.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7759625:7762466:1 gene:Manes.05G086444.v8.1 transcript:Manes.05G086444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMARICPAGKHAWPELVGKDGKYAAAIIEKENNVKAIVVKVGTYVPKDFVCYRVWVWVDENNVVIRTPMVA >Manes.10G064266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9061780:9104365:-1 gene:Manes.10G064266.v8.1 transcript:Manes.10G064266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRHWRESIKSFFGCHIDPEQGEQLKGTKTEIENKVKKILKLLKEEDLKEKDGLSGENSKKESLVQLIEDFHNQYQLLYEQYDHLTGELRNKFHGKRGADTSSSSSSESESDHSSKGKGNKNGKLKSKDQKIVDVLKHELETTNLEVVELKSKLIATNEEKEALNLEHQTALSKIKETEEIIRKLKFEVKRLGVEKGKLLVENGELKQNLDASGNVEAELNKRLEEMSKDKDDLIVEGEKIVEELKTIANHLQEEKVVLEQQQESFGAEVARMKQQLESAELQVSDLSQRLTHTEESNKSLASSVLEQNSRLDDMTLEKESLTAQVNTLLADLERLYTQKVELEEQMASKADETSIQVKGLMDQVNELQQQQESIGNEKAELEVKLEEKTREISEFLVLIENLKEETAHKTEDYRRILEERESLTGQMKHLELEVENLQNQKAYLEEQIRTEIKENGRLGEDMVGLQNKFLDFERTLKERGLEFLALQERHEKGENEAFAKIMALIMQANNLKLGLDSLPAKKNQLQLQLEKEKQQFRESLIGMENQKFELMSKIADQQKMLAEQEEAYRKLSEEYKQVEGWFQERKDVERKVEEMAAQFQKNAGSKDQIVAELEETVENLKRDLKVKGDELNTLVDHFQNIEVKLRLSNQKLRVTEQLLTEKEESFRKAEANFQRELKVLEERIATKSRTIAATKEACQRMVTDASEKVKSTLRGVEALSLKFEDDCKSYEHRIMEISNEIQIAKNKVIEMKNDKEQLGKEVGDLVVQLQVTKERESTSRAKIEQLEAKIRKDEGDLVVQLQVTKERESTSRAKIEQLEAKIRKDECEKNLTKAVSQLEKKVSELEKIMKEKDQGLLDLGEEKREAIRQLCLWIEYHRSH >Manes.13G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33112698:33115431:1 gene:Manes.13G123300.v8.1 transcript:Manes.13G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHLSKTDSEVSSLTQSSPARSSPPRRPVYYVQSPSRDSHDGEKTTNSFHSTPVLSPMGSPPHSHSNSSLGPHSRESSSTRFSGSLKPHRKNDGSNRKVSRKPWKEFDAIEEEGLLDGDISPHGLPRRCYFLAFVVGFCVLFSVFSLILWGASRPQKPTVTMKSIVFDQFVVQAGADFSGVATELVSMNCSVKLTFRNTATFFGVHVTATPLDLTYSQLTVATGTIRKFYQSRKSQRSLTVMVKGSSIPLYGGGANLGSLNGAPTQPVPLTLNFMVRSRAYVLGKLVKPKFYKRVKCSVVMDPKKMNVAISLKNRCNYQ >Manes.09G176300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36712314:36717527:-1 gene:Manes.09G176300.v8.1 transcript:Manes.09G176300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSEGLTQVLILVASLVGIAFALFQWFLVSKVRVSGESGNGYSDRLIEEEEEGVDNFDVSLKCAEIQNAISVGATSFLFTEYRYLSIFMGVFGAIIFLFLGSVKGFSTQSEPCTYSKGNLCKPALANATFSTIAFLLGALTSVLSGFLGMKIATYANARTTLQARKGVGKAFITAFRSGAVMGFLLSANGLLVLYVSINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISQFGIGHDYTAMSYPLIISAMGIIVCLITTLFATDMFEVKNVSEIEPSLKRQLVISTILMTAGIALVSFLALPSEFTLFDFGTDKLVKNWHLFFCVAIGLWAGLVIGYTTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFSIAIAIYVSFSMAAMYGIAMAALGMLSTISTGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIKAVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRAKPDYANCVKISTDASLREMIPPGALVMLTPLVAGTFFGVETLAGILAGSLVSGVQVAISASNTGGAWDNAKKYIEAGASEHARLLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLL >Manes.14G056000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4789076:4795175:-1 gene:Manes.14G056000.v8.1 transcript:Manes.14G056000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGSKGMPKNPGEVKDDTSSSREEKQILMRALSNPPFGNYRVWWSLADSKYAGTALLVKKYYQPVKVSFSLDRTASKYEPEGRVILAEFETFRLLNTYVPNNGWKEEENSFQRRRKWDKRMLEFVVQLSDKPLIWCGDLNVSHEEVDVSHPEFFSSAKQNGYVPPNKEDCGQPGFTLNERKRFGAILREGKLIDTYRYLHKEKDMERGFSWSGNPIGKYRGKRMRIDYFIVSEKFKDRIVSCEIHGKGIELQGFYGSDHCPVSLELSPAISDSNEG >Manes.14G056000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4789076:4795175:-1 gene:Manes.14G056000.v8.1 transcript:Manes.14G056000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFKPIEKDGSAKKPTLSAKHEDDEERETTASEAGSEKKKEPLKFVTWNANSLLLRVKNNWPEFSKFVSSFDPDVIAIQEVRMPAAGSKGMPKNPGEVKDDTSSSREEKQILMRALSNPPFGNYRVWWSLADSKYAGTALLVKKYYQPVKVSFSLDRTASKYEPEGRVILAEFETFRLLNTYVPNNGWKEEENSFQRRRKWDKRMLEFVVQLSDKPLIWCGDLNVSHEEVDVSHPEFFSSAKQNGYVPPNKEDCGQPGFTLNERKRFGAILREGKLIDTYRYLHKEKDMERGFSWSGNPIGKYRGKRMRIDYFIVSEKFKDRIVSCEIHGKGIELQGFYGSDHCPVSLELSPAISDSNEG >Manes.10G135500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30299027:30299917:-1 gene:Manes.10G135500.v8.1 transcript:Manes.10G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVERQQPKSLSASLQAFRYDVSLCMTHQLLFNSKAPGLETLTLEWIQKCFQVLPMINKAFTKLVVEIDYPVSKWKAKTMEEYLNYSLNSLDILNSFTSALSHFGHARLSLSLALSLVESSPSLAMKRLKMIEFKSFRKEFGVQENKEDDKGRSCSDKEKVVQQALMELRSTGFWVCSVVLAGLCGDDRAYLKMRRSAGALSNPALINLDSMIYGILMEKRCVLKEVIELKDSADCLEAAIAGKHSSDAAEEMQRKLEEFEKLLDGLGKEVNCLFSELLAARNELLNGIRTQKP >Manes.09G108125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30430307:30430651:-1 gene:Manes.09G108125.v8.1 transcript:Manes.09G108125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSYLLKSFTFHSFSLFFFLLFSFTLFHSLQFSIIFSHYSFFFLLFNYSLTFSFIFLYFFQFYLLFFFILSSSFFHFLYFLFLFIFLIFLQFSLFPYFIFIFLS >Manes.11G008100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:887327:892062:1 gene:Manes.11G008100.v8.1 transcript:Manes.11G008100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVQNPVANKEDSIPGEAKEILKSLASKWEDVLDANALQVIPLKGAMTNQVFQIKWPTKAERRSHKVLMRIYGDGVEVFFDRDDEIRTFEFMSKQGQGPRLLGRFANGRIEEFIHARTLSASDLRDPDISSLIAAKLKEFHDLPMPGPKNVSLWVRLRNWLKTAKSVCPSEEAKAIRLDAIEQEISLLEKVLSGDQRIGFCHNDLQYGNIMIDEETKAITIIDYEYASYNPIAFDIANHFCEMAADYHSDTPHVMDYSKYPGSEERQRFLHVYLSSSGGQAKDIEGKRLLDDVEKYTLASHLFWGLWGIISEHVNKIDFDYMAYARQRFEQYWLRKPQLLGYLIGKEGGTS >Manes.09G160400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35547616:35551142:1 gene:Manes.09G160400.v8.1 transcript:Manes.09G160400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLTSSEGVSGTIFFTQEGHGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPSGKDHGAPEDEIRHAGDLGNVTAGDDGTASFTIIDKHIPLSGQNSIIGRAVVVHADPDDLGRVWLVTS >Manes.09G160400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35547616:35551142:1 gene:Manes.09G160400.v8.1 transcript:Manes.09G160400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLTSSEGVSGTIFFTQEGHGPTTVTGNISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPSGKDHGAPEDEIRHAGDLGNVTAGDDGTASFTIIDKHIPLSGQNSIIGRAVVVHADPDDLGRGGHELSKTTGNAGGRVACGIIGLQG >Manes.14G168266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27121852:27123499:1 gene:Manes.14G168266.v8.1 transcript:Manes.14G168266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCINWLQKEKVLQHFLHAVQHQAAAVTAASCMLQQLAASCCCEARISHFINFKCEWFDTNRGVRVHPQHGLVEINVKLRLASSDPFILAQQAHQVCYIKYPKINKVRVDWCAVFKTKARSTYNIGLSMVNINSNEQNSSDVAYQDDDVSRPKEIVPTTELDDPTMLLDSSIIVEVDVNELQNEKDEEEEEDTEESDDDLEVDGVDSDDDVNLEDDSE >Manes.05G093700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8599270:8602362:-1 gene:Manes.05G093700.v8.1 transcript:Manes.05G093700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVLHAIPWNPKRKAPFHNFRCFVRASSNSQAPYRRPKPYRNLVADWVSNNDDTVRSSPIFVGGASLLAVLFNRAASGIAPVADASSSQSRADLLTLGLAVTNVLAGLVWLTIRPKSISAVNPQGVECRVISSSLPDFVVSELLWVWESLSAVTCCRSLVAVYDGICILQIGVAAESPNEGEALAVDAAKLMQGSLYQAVMKSGAQSYLANLSLYPGRSELPFLPKNTQAVILQPLGEKGIAIIGGDTIRGFTTSDQAWISFIGEKLDATLGKYVINIPLAVQDRV >Manes.05G093700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8599270:8602362:-1 gene:Manes.05G093700.v8.1 transcript:Manes.05G093700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVLHAIPWNPKRKAPFHNFRCFVRASSNSQAPYRRPKPYRNLVADWVSNNDDTVRSSPIFVGGASLLAVLFNRAASGIAPVADASSSQSRADLLTLGLAVTNVLAGLVWLTIRPKSISAVNPQGVECRVISSSLPDFVVSELLWVWESLSAVTCCRSLVAVYDGICILQIGVAAESPNEGEALAVDAAKLMQGSLYQAVMKSGAQSYLANLSLYPGRSELPFLPKNTQPLGEKGIAIIGGDTIRGFTTSDQAWISFIGEKLDATLGKYVINIPLAVQDRV >Manes.05G093700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8599270:8602362:-1 gene:Manes.05G093700.v8.1 transcript:Manes.05G093700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVLHAIPWNPKRKAPFHNFRCFVRASSNSQAPYRRPKPYRNLVADWVSNNDDTVRSSPIFVGGASLLAVLFNRAASGIAPVADASSSQSRADLLTLGLAVTNVLAGLVWLTIRPKSISAVNPQGVECRVISSSLPDFVVSELLWVWESLSAVTCCRSLVAVYDGICILQIGVAAESPNEGEALAVDAAKLMQGSLYQAVMKSGAQSYLANLSLYPGRSELPFLPKNTQPLGEKGIAIIGGDTIRGFTTSDQAWISFIGEKLDATLGKYVINIPLAVQDRV >Manes.05G093700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8599270:8602369:-1 gene:Manes.05G093700.v8.1 transcript:Manes.05G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVLHAIPWNPKRKAPFHNFRCFVRASSNSQAPYRRPKPYRNLVADWVSNNDDTVRSSPIFVGGASLLAVLFNRAASGIAPVADASSSQSRADLLTLGLAVTNVLAGLVWLTIRPKSISAVNPQGVECRVISSSLPDFVVSELLWVWESLSAVTCCRSLVAVYDGICILQIGVAAESPNEGEALAVDAAKLMQGSLYQAVMKSGAQSYLANLSLYPGRSELPFLPKNTQAVILQPLGEKGIAIIGGDTIRGFTTSDQAWISFIGEKLDATLGKYVINIPLAVQDRV >Manes.05G093700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8599270:8602369:-1 gene:Manes.05G093700.v8.1 transcript:Manes.05G093700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVLHAIPWNPKRKAPFHNFRCFVRASSNSQAPYRRPKPYRNLVADWVSNNDDTVRSSPIFVGGASLLAVLFNRAASGIAPVADASSSQSRADLLTLGLAVTNVLAGLVWLTIRPKSISAVNPQGVECRVISSSLPDFVVSELLWVWESLSAVTCCRSLVAVYDGICILQIGVAAESPNEGEALAVDAAKLMQGSLYQAVMKSGAQSYLANLSLYPGRSELPFLPKNTQ >Manes.05G093700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8599270:8602362:-1 gene:Manes.05G093700.v8.1 transcript:Manes.05G093700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVLHAIPWNPKRKAPFHNFRCFVRASSNSQAPYRRPKPYRNLVADWVSNNDDTVRSSPIFVGGASLLAVLFNRAASGIAPVADASSSQSRADLLTLGLAVTNVLAGLVWLTIRPKSISAVNPQGVECRVISSSLPDFVVSELLWVWESLSAVTCCRSLVAVYDGICILQIGVAAESPNEGEALAVDAAKLMQGSLYQAVMKSGAQSYLANLSLYPGRSELPFLPKNTQ >Manes.S029152.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2409101:2409268:-1 gene:Manes.S029152.v8.1 transcript:Manes.S029152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.15G061200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4677734:4680214:-1 gene:Manes.15G061200.v8.1 transcript:Manes.15G061200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGKQPISLFPGNFVFNMDHQFLCFPVLFTVFLFILTVLRMRKKSKGKNSSPNLPPGPWKLPLIGSMHHLVGSLPHQCLRDLAKKYGPLMHLQLGEVTNIVISSPETAKHVMKTHDVTFAQRPFLLAASIVAYEFSDIAFAPYGDYWRQMRKICTLELLTGKRVKSFRSIREEEMSKLIRSLSSSAGSPINFSKMFSSLTYSITSRAAFGKIWKGEETFKSAVKKLIQLAGGFTLADVYPSIKLLHVISTTRPKLERLRQIIDEIFDNIIYEHKARKAAAKSGTDSEEQDFVDVLLNFQDGADLEFPLTNDNIKGVILDTFIAGSETSSTTVEWAMSEMLKNPRVMEKAQAEVRMVFGIEGNIDEERLHELHYLKMVIKETLRLHPPIALLLPRECRENCVINGYDIPVKSKVTVNAWAIGRDPDYWIEAERFYPERFLNSSIDYKGNNFELIPFGAGRRMCPGILFGMANVESPLARLLYYFDWKLPAGLKPENLDMLEVFGAAVKRKNELQLIPIPYFPPPPPVE >Manes.15G061200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4674387:4680214:-1 gene:Manes.15G061200.v8.1 transcript:Manes.15G061200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGKQPISLFPGNFVFNMDHQFLCFPVLFTVFLFILTVLRMRKKSKGKNSSPNLPPGPWKLPLIGSMHHLVGSLPHQCLRDLAKKYGPLMHLQLGEVTNIVISSPETAKHVMKTHDVTFAQRPFLLAASIVAYEFSDIAFAPYGDYWRQMRKICTLELLTGKRVKSFRSIREEEMSKLIRSLSSSAGSPINFSKMFSSLTYSITSRAAFGKIWKGEETFKSAVKKLIQLAGGFTLADVYPSIKLLHVISTTRPKLERLRQIIDEIFDNIIYEHKARKAAAKSGTDSEEQDFVDVLLNFQDGADLEFPLTNDNIKGVILVSTSLSEYHLMYVIAVLRLQINTCSNYFRTPSLLVVRHHLQL >Manes.01G154600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34143038:34143595:-1 gene:Manes.01G154600.v8.1 transcript:Manes.01G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLLPYALAALLLVLSLEAASAAQHHPHKLKSLHFSLYQHETINKTGYIIVNGVAGANLSQTALPFGTLFVFEDPMTVTANRTSKVVGIAEGTSITSSLDGLTSISTAKITLSLKNHKGSISIVGGTHNVKPADHPVVGGTGDFLFVQGYVTSSPVDLVGITVVYKIEFHLYWPPYAEQVHPA >Manes.01G034700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6667033:6670456:1 gene:Manes.01G034700.v8.1 transcript:Manes.01G034700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTQFLLPPSFFSSPKLSKTLKCLPAVTADSGLLFHEKLQYLTGLKIDTHKALSQNPNLRSTPLSTLYSVEHCLSSMGLHRSAIGRILDMHPVLLTSDLHLYLYPVFEFLLNEVGIPFPDIAKSISRCPRLLVSSVSDQLCPALIFLRDLGFVGANAINCQTTVLLVYNVEFTLMAKIEYLMSLGFEYCEVKEMVVRSPGLLTFSVENNLMPKVEYFLMEMKGDLEELKRFPQYFSFSLERKIKPRHKMLAEYGLKLPLWKMLKISDGEFNARLVDMRLRIVGET >Manes.01G034700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6667033:6670587:1 gene:Manes.01G034700.v8.1 transcript:Manes.01G034700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTQFLLPPSFFSSPKLSKTLKCLPAVTADSGLLFHEKLQYLTGLKIDTHKALSQNPNLRSTPLSTLYSVEHCLSSMGLHRSAIGRILDMHPVLLTSDLHLYLYPVFEFLLNEVGIPFPDIAKSISRCPRLLVSSVSDQLCPALIFLRDLGFVGANAINCQTTVLLVYNVEFTLMAKIEYLMSLGFEYCEVKEMVVRSPGLLTFSVENNLMPKVEYFLMEMKGDLEELKRFPQYFSFSLERKIKPRHKMLAEYGLKLPLWKMLKISDGEFNARLVDMRLRIVGET >Manes.01G034700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6667033:6670456:1 gene:Manes.01G034700.v8.1 transcript:Manes.01G034700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTQFLLPPSFFSSPKLSKTLKCLPAVTADSGLLFHEKLQYLTGLKIDTHKALSQNPNLRSTPLSTLYSVEHCLSSMGLHRSAIGRILDMHPVLLTSDLHLYLYPVFEFLLNEVGIPFPDIAKSISRCPRLLVSSVSDQLCPALIFLRDLGFVGANAINCQTTVLLVYNVEFTLMAKIEYLMSLGFEYCEVKEMVVRSPGLLTFSVENNLMPKVEYFLMEMKGDLEELKRFPQYFSFSLERKIKPRHKMLAEYGLKLPLWKMLKISDGEFNARLVDMRLRIVGET >Manes.11G152180.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31859957:31860685:-1 gene:Manes.11G152180.v8.1 transcript:Manes.11G152180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPQEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPRRPSIFHRASPLHGHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEVIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNRRRMSI >Manes.02G203100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17346513:17349269:-1 gene:Manes.02G203100.v8.1 transcript:Manes.02G203100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEKVQQLGNFAAGASRLGLPAYEWWSEALHGVSNTGPGTFFDETVPGATSFPTVLLTTASFNQSLWKRIGQVVSSEARAMYNLGRAGLTYWSPNINVVRDPRWGRIQETPGEDPYIVGTYASTYVRGLQDIEGTENITDLNSRPLKVAACCKHYTAYDIEDWMGVDRFHFDARVTEQDMLETFQKPFEMCVKDGDASSVMCSFNRINGVPVCADKKLMQDTIRGDWDLHGYIVSDCDSIEVMVDGHKWLGDTQEDAVSQVLKAGLDLDCGDYYPKSLKKSVMQGQVSEAEVDKSLKYLYVVLMRLGYFDGSRFNSLGKKDICTHENFELAAEAAKQGIVLLKNDNETLPLNSSKYKKLAVIGPHGNATKAMIGNYAGVPCRYVSPIEGFSAFGEVKYEMGCGDVACKNDSLIFPAMEAAREADATILVVGLDLSVESEGRDRVDLLLPGYQNLLINQVSKASKGPVILVIMTAGGVDISFAKESTNIQSILWAGYPGQEGGRAIADIVFGKHNPGGRLPLTWYEAEYANLVPMTSMTLRPIANPVANLSYPGRTYKFFNGSTVYPFGYGLSYTNFNYKIAPSKTLIKIKLNKYQHCSNLNYEYNDDKPYCPAVLVDDCSCEQEFRVAITVKNVGKMDGSEVVIVYSKPPKGITETHAKQVIGFERVFVQAGGETKTKFRFNVCKSLAIVDKKGYKVLPSGLHTIMVGDANVSFFVSVQYYK >Manes.17G055700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25320638:25322090:-1 gene:Manes.17G055700.v8.1 transcript:Manes.17G055700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEEGIKLFGTTITLHARQAKEDKNKENPSPDKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKTKPPGRAGLGGFPEGCLYDGSGDVHQIELDSEMLVEEWHMAVHGGFRHVFPVKRQRSSSGPDQSDHHHHHQEAMIQFNQI >Manes.07G003300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:614055:616317:-1 gene:Manes.07G003300.v8.1 transcript:Manes.07G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIQDYTILFVVWLISTLLVRAIFLKTRAKPQLPPGPTALPIIGHLHLLRPIPHQALHRLSQRYGPLFHLYFGSVPCVVVSSPEMAKEFLKTHEMSFCNRPSTVAVRCLTYGASGFSFAPYGPHWKFMKQISMTQLLGGQTLDRFLDVRLEEIEIFLKLMLQKGYEGKEVNLGEELMTLSNNIISRMTMSKRCSTTDDEAGDVRKLIEEVGVITGKFNFQDYIWFCKNIDIQGFGRRIKKVQVRLDSMMESILKEHEEARMMKKKKKKDNKTENGESNDDFKQDLVDILLDIIENENSEMKLSRKSVKAFILEMFTTGTGTSAGVIQWAMAELINHPNIFKKAREEIDSVVGKNRNLKESDTPSLAYLQAIVKETLRLHPSGPLFTRESTQDCNIGGYHIPANTRLLVNVWAIGRDPNFWENPMEFQPERFMGSSLIDVRGQYYHFLPFGSGRRSCPGTSLALQVIQTTLGRMIQCFDWKVINGDYNTVDMSEAAGISLLMEKPLMCVPVARSQYENIMAGSN >Manes.15G000300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:454428:456455:1 gene:Manes.15G000300.v8.1 transcript:Manes.15G000300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADEGGIERVVDSKDLQQQSKALDKLTDRVEDRQLDSTRVQEAMASIAASAEADRNAMRMREKELAAVKINAADVDIIANELELDRKVAERTLREHKGDAVAAIQHLLR >Manes.07G102304.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30835462:30842298:1 gene:Manes.07G102304.v8.1 transcript:Manes.07G102304.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNYCDFSPFLFPSTIVSYDPSSSSSSSSYSSLPLSSPSSPSLNSHFQISSSLPLHPYSQSSSSSFHPPPPAAAAAGGPCAACKIHGRRCTDKCYVASYLPLSEAHKFIVFDGLFGATNVVKFLQNNNSSSFIPLLLLLRDTSQEGQPQVDPSLNIVPCGTNQLGAPKNSDHVLTKATTGLECDFLNNLSSGLMFANPKDPQQELPSSSSMVPKRQKLYKVPKDSSQEWELQADSNMNNVPYGTNIDGQIEAPMNSNHIFTKGTVGLGYDFLNNLSSGVMFANPKHPQQEPPPLMVSKNCGVPNVVSNSINSTVNLSSIFNRDTSESTRQLQQKQTFVKIYKSGSFGRTLDIAKFSSYRELVRELDHIFCLQGKLEDPVRSGWLVVFVDRENDVILLGNL >Manes.05G165900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27781388:27783738:-1 gene:Manes.05G165900.v8.1 transcript:Manes.05G165900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRLLRSSRPLPHVHPPGSTSSSSASLKCIAKNTAAGLGGDQKMVSSDGDKRKSTAAVVKASVAISESCITTDSRADEGILDLASLVATVGNALFKVLRPAVKRKPWKIQVQSLIEKAIIDCRFFTLFATAGALLSSVLCFVEGCFLILEAYFNYFSMLSHSSEGGQIVQLLIEAIDMFLVGTAMFMFGVGLYVIFVEPKNLKENGQLLPGSNLFGLYHLKSLPTWVQMETVAQAKSRIGHAVMMILQVGLLEKFKKIPMVTSLDLACFAGAVLVSSACIFILSKLSDGVVAEEDSR >Manes.01G022400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4984973:4986457:-1 gene:Manes.01G022400.v8.1 transcript:Manes.01G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVITSLPGQQPLAAGEIQQPSVPQAVSASSSARHSSESIGPFFGVISVLAVLAILSCVLGRICSRRAVAPPPANVKFRGYLGWMKRRIRWRRGGDVEVGANKVMAVGGDHQEKQAEHVEVDQQLPPTA >Manes.17G005500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2243068:2253806:-1 gene:Manes.17G005500.v8.1 transcript:Manes.17G005500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVVSQSVSLPLPPNSRTISGFATNAPIIHLPSKLSARHNHITIRSSASDHPLTFLRIAASSAVLFLGLTVGVSQAAASTSFLQPLPSKSQELAVTQVNAWCNFMNPDGDDAHGDSKTVERPEKEELNAAFETWKSKTYALSVPLTVVALRGSIPPSWVKDFMQSQGRRLRLRTKFLGSLDNIFSDLSMSFNKRKVGPASVAAADIVSIGDSWLSFAISKAIVEPIRGVEDQDWFKALSDKWKVYLRRNCEGIIDPSGEIWAAPYRWGCLVIAYKKSKFQKNKLAPIEDWADLWQPKLTGRISMVDSPREVVGAVLKYMGASYNTKNIELEVTGGRNSVQKNLALLEKQVRLFDSTHYLKAFAVGDVWVAVGWSSDVLPVAKRMSNVAVVVPKSGASLWADLWAIPAASRLETNKIGGRVRGPSPLIHQWIEFCLQAARALPFKQEVVAGATPSALESTPVDIPRGLNKGRPKLDTNLIAGVPPPEILTRCEFLEPLCDATLSEYKWLLAGMQKRGPSFIHKLYHYISSKIQFFGLNPHSKVT >Manes.17G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2242682:2253898:-1 gene:Manes.17G005500.v8.1 transcript:Manes.17G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVVSQSVSLPLPPNSRTISGFATNAPIIHLPSKLSARHNHITIRSSASDHPLTFLRIAASSAVLFLGLTVGVSQAAASTSFLQPLPSKSQELAVTQDGDDAHGDSKTVERPEKEELNAAFETWKSKTYALSVPLTVVALRGSIPPSWVKDFMQSQGRRLRLRTKFLGSLDNIFSDLSMSFNKRKVGPASVAAADIVSIGDSWLSFAISKAIVEPIRGVEDQDWFKALSDKWKVYLRRNCEGIIDPSGEIWAAPYRWGCLVIAYKKSKFQKNKLAPIEDWADLWQPKLTGRISMVDSPREVVGAVLKYMGASYNTKNIELEVTGGRNSVQKNLALLEKQVRLFDSTHYLKAFAVGDVWVAVGWSSDVLPVAKRMSNVAVVVPKSGASLWADLWAIPAASRLETNKIGGRVRGPSPLIHQWIEFCLQAARALPFKQEVVAGATPSALESTPVDIPRGLNKGRPKLDTNLIAGVPPPEILTRCEFLEPLCDATLSEYKWLLAGMQKRGPSFIHKLYHYISSKIQFFGLNPHSKVT >Manes.03G099300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22153950:22155059:-1 gene:Manes.03G099300.v8.1 transcript:Manes.03G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGSFIPKALLPSATSTRLSYYLRKAMIITYCDGTKGTSSGSQPQHNGNPSSGSGSTNNRVVRMHGRFDFKQEYLIFSGRRWNAVEDNNNEEAYHSKYIQTKFLKCLQNM >Manes.01G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28334495:28344773:-1 gene:Manes.01G080700.v8.1 transcript:Manes.01G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSLPESEQQRINPHFQEQAQAPQYPQYQIQDYYYQTQVQSYDHSYYSYYQQYPPQNQWQYDQQPQSYAYYQADYSVAYQQPPYYQHEPAPGVSAQQEATQIVDSGGTGVSLQAAQQLSVYPPPQSSVNVVVQPGMNPAAVAAIAALEQLTQFAGSMDAVERAMAGLPVKSPGFELVPMRNRDGRHQHRGGGRRGGGPFQGCGRGNDGHHQGSAPPFRGKGHGRGKARVRHFQPHGVISTSSNFEPSAAKEHSAHAAKKVVESASAPEKVAPNRRPVQIAWCELCRVDCTSLEILEQHKNGKRHKKNMLRIEELKNGTKPADCIQNHQEPINDLKPEEPQQPPIVEDGEEQKSAENLPSEARSDEYGMENNLHSNTGEKPKVPVVELSGKQGRKPRKILFDNRRRGIKRKMKGGHGGKRIKTHETQRTAAEPPKPKVVTPLLCDLCNVKCDTREVLDRHLSGKKHIAKLKRFQGHQAIYGPTGLQALYPPNPIAQTHSHPQGHQPFYNPQGSFLPQGGYFPSQEHQSASAAAGLNLQFSQNCMTQQSESTSTLEANPATAV >Manes.11G057300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6953806:6958070:1 gene:Manes.11G057300.v8.1 transcript:Manes.11G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASIFCCGKGLDRKERGKKQPTWRIFSLKELHAATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKADMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECHLDWKRRMNIVIGSAEGIAYLHHHATPHIIHRDIKASNVLLDSDFQAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPLEKTSGTMKRTITDWALPLACERKFSELADPKLNGKFEEEELKRVILVALKCADNRPEKRPTMLEVVELLKGESKEKLDELENDELFKAPQPADSNDGVSVAEDSSDFISEEKDPRQEVKEIGQ >Manes.02G222401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:38565489:38565647:-1 gene:Manes.02G222401.v8.1 transcript:Manes.02G222401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.11G111000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25702552:25716771:-1 gene:Manes.11G111000.v8.1 transcript:Manes.11G111000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRELFLCFLVSITVAAGGGGFFEKETGEEARREADRVRSLPGQPPVKFRHYSGYVNLRHNDQKALFYWFFEAEDNVSQKPLVLWLNGGPGCSSIAYGAAQELGPFLVRRNGSRLILNKYSWNKAANILFLEAPVGVGFSYTNNSKDLRKLGDRVTAEDSHAFLINWFKRFPSFKSHPFYLAGESYAGHYVPQLAELIHDRNKAATKDSYINLKGFMIGNAVINDETDLLGIVDYAWSHAIISDQLYHKVKECAVMQTEADASASNCAAHFKGFMDAYSGIDIYSIYTPVCLTSSQTSRKLVFGPRRLAIYDLWHSLPSGYDPCTEAYAEKYFNREDVQRDLHANVTKLSYPYTTCSRVIQRWNDAPDTILPIIRKLMNAGLRIWIYSGDTDGRVPVTSTRYSINKMGLKTKKEWRAWFHKSQVAGWVETYEGGLTLATVRGAGHQVPVIAPQQSLSLFSHFLSGNALPDLPF >Manes.08G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3964416:3970469:1 gene:Manes.08G040500.v8.1 transcript:Manes.08G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALWQGVTLTGILSWILISSYLKVTDKVRSLFQPWVTHHVIIGTPLIIKIQKYQHGFFDALFSGLSCVVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYTGNCIKDVVSAPRPKCPPVRRITATKDEEDNALEYGLPSSHTLNTVCLSGYLLHYVLSYTQNQDVYVEFAGFTIVCLLVGFIGLGRIYLGMHSLIDIIGGLSVGLAILAFWLAVHDYVDRFIVSEQNVVTFWAALSFLLLFAYPTPEFPTPSFEYHTAFDGVAFGIVAGIQQTYHQFHHEDVPRVFTHLSVLAFLGRILVGIPTILIVKFCSKALAKWVIPVISNALSIPIKSTSYIPMLNGSASGKKPDEIKQSSYIQKLLFFFTHQDSFDVDTGIRFLQYAGLAWSVVDLVPSLFSHLRL >Manes.11G034500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3378705:3379370:-1 gene:Manes.11G034500.v8.1 transcript:Manes.11G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFLYTKSQKMEQNLPVMAKRIWETVRVLFFMLRKGISKRKLLVDLNMMLKRGNKIATKALGNLMFNHHHHHNNNHHDHLPDISFSAPPHEYEFSCSNTPMYSLPFHVNKRRHHNNFFSCAFHTPPTLDDDVTTMNAVKLALDMLNNNNSEVMVEASPMLPGFGQSPMVRQLRITDSPFPLRDIDDDDNGIVDKKAEEFIARFYKELRHQQQKLSERDR >Manes.08G110600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34991166:34992297:1 gene:Manes.08G110600.v8.1 transcript:Manes.08G110600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVIAILFLLGLACLHLQVDGGRLGLEQIRSENIDNQLQSNAPVASTDINSNDEHGKSSSYGNIPVRVSAPVVEEDNDNSSSSRWDSDDETNSSYGNYGNPSGSSTETHHVYSSDCNPKKGC >Manes.08G110600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34991166:34992297:1 gene:Manes.08G110600.v8.1 transcript:Manes.08G110600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVIAILFLLGLACLHLQVDGGRLGLEQIRSENIDNQLQSNAPVASTDINSNDEHGKSSSYGNIPVRVSAPVVEEDNDNSSSSRWDSDDETNSSYGNYGNPSGSSTETHHVYSSDCNPKKGC >Manes.01G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26491118:26519602:-1 gene:Manes.01G065400.v8.1 transcript:Manes.01G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTALLHRYRRDRRKLLEFLLSSGLIKELRTPSGPTNSLSNIDFDTLSADYILLCLKSGGVIDVTEATKKYSDESAYPVTSHSHTRNSYFLVSDPDFSGSPPRRVPPPISVRQTTNAAQSSSQMESSDVENAAAFGDDHSPRYKNAPIRPSQNPEIPALGLPSLSTGLSEDDLRESAYELLLASIFLSGVQGNSVDDRKKEKSSKFLSSLKSKRDKLSQSQSLGRHSELMDTVRVQMQISEAMDACIRRNLMQVAARRIYGQIDLPHISLVLLNGIFKSDFRSEKSYIQWKNRQANILEEFICFPANVKKTEHLTIRSHAAKIRHEKEWDTVMSPSERVAVLASIRQVAVNLSSLPGRFGIQGETFYWTAGYHLNIRLYQKLLFAVFDVLDEGQFIEEADEVLSLIKLTWSTLGITQKMHNALYAWVLFRQFVETNEGMLLENAVTELQKVLTAEEADGKEEQYMNCVICSRQYDGHELKLNLVQAICVSISIWCDSTLLDYHLHFSQQKPSCFRTMMALVTAVGILTSDDCGEVKLTKFSASNDNVSSKLKYYVTRSTNAAYGRVANKVDLESKVQRMHPLAMLAEEVKLIAEREFNVFWPVLHQWCPESLMMSVVILHQSYGERLRPFLKQLSSLSEDVRSVLPSAEMLDHYLTELHGTALEANRLLLSSCQVLDHYQIGEVSTPLILDWVISQHTHILEWTGRAFDIEDWEPLSFHQRQAASIVEVFRIVEETVDQFFGFNLPMDITHLQALLSVIFHSLDTYLLKMLNRLVDKNHLYPSAPPLTRYTETVISVIKKRLLECAPMDDDVICKLNELTIPKLCIRLNTLQYIQKQIGILEDGIRKSWALVRPSHNPRWTRDEPLEESSLLTCSEAIDALFATTFSIIKDTAGDAINKICAFTGARVVFWDLRNTFLFHLYRGDVASSRLESFLPHVDTVLDLICGLIDDSLRDLLVLSIFRALLEGYVWVLLDGGPSRAFSDSDVSAIEDDFNMLKDFFIAGGEGLPRSLVEQEAKFSQQILGLFSLQTETVIKLLMNASEHISVGLDFNKRPHMHLEDAHTLIRVLCHKKDREASKFLKLQYQLPMSSEYDDTPARDSTTRSPLISDLLKRSYSTNWTKKGHSSFKSIKKKLQGATSEIRNVAR >Manes.08G139300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37517750:37518319:1 gene:Manes.08G139300.v8.1 transcript:Manes.08G139300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASSSANPNFSSPTMGGSITSSTTPTAAASSPSSTPSRYENQKRRDWNTFCQYLRNHRPPLSLPMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARAVRIYLREVRDFQAKARGVSYEKKRKRPKPKATSTPQAAPPPDSGAG >Manes.13G002728.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:799529:803525:-1 gene:Manes.13G002728.v8.1 transcript:Manes.13G002728.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAANLKGWELQHREESEFIEEIVKDIISKLEQFSSDIPKGLVGMESRIEKMRSYLDMGQSNKVKIIGVCGMGGIGKTTIASVVYKQMCSKFEGSSFLADVREASKRYDGLVSLQSKLLSAILNRDVKVHDVHKGTEDIRKKLRSKKVLVILDDVDEVKQLECLIGKRDENWFGEGSRIIITTRNRHLLDQHGVDDAYMLEELEVDEAFELFFLNAFKNDCPTLDHVMLSVQFLRYASGLPLALSVLGSYLYAKSIEEWKSALEKLKEIPNEEILKKLEISLDGLDETEKKIFLDIACFFNGADENYVTKVLESCGFYPKTGIRELINRSLLTISDKTVWMHDLLQEMGRDIVRRECTKCGQRSRIWLYEDLDHVLTNDTGSEKTQGIVLRLS >Manes.11G126588.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28925371:28928154:-1 gene:Manes.11G126588.v8.1 transcript:Manes.11G126588.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENDNHYLPLYKAALHGDWITAKRIFDSDSNALTAKILGLHETALHVSISAGHSIEFVKKLVDRMSADELGIKNKYGYTPLHYAGIAGNTAAAKLLVNKNPKLTQERNSDNDTPLHRAAAYAHEDTVQYLLLVTEDEEDPIRSSPFTDEDGVRLLNLLIIADFYSIALTVLKRYPGLARKTDYNGFSALDRLAEKPHAFLSGSRLGFFQRFLYHYFAVTSADKASVHQGKDVETPGGSFGEYKNESLHFQFLQQIQKTKVMHKQAMELLRFLISEALKGSVLEADNLLGPPTRIAAILGIQEFVTEMIKKIFNLLYQMGTHNIFAASFSDDLGNNMLHLAGKLEPSIKISGAALQMQRELQWFKEVEKVVQPSYKEMKNKDMQTPRMVFTAEHKDLVEKGEKWMKDTATSCATVAALVVTVVFAAAFTVPGGNNSDVGIPIYLNETSFMIFAVSDALGLFSSSTSLLMFLGILTSRYSEEDFLRALPMRLSIGLITLFFSIASMLTAFTAAFHLVLFHRVRWITIPIGLLACAPVTLFALLQFPLLVEIVSSTFGPSIFYKQSEEIIF >Manes.12G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2660279:2661877:-1 gene:Manes.12G030700.v8.1 transcript:Manes.12G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCVKGCVFAPYFCHEQGATHFAAIHKVFGASNASKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVMHLQAQLASLKEQAAQSMINTSAATANPNEKYYGKAASLPQDLQSWLQPDNSNMVPQFNPSLGNGNTTYAENGFLDPYSMGNYGNSSTSTSFDSFEEASHSISSLDMQTDNRRWTYQDSDDLRSVAFGYIQHS >Manes.12G030700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2659138:2661877:-1 gene:Manes.12G030700.v8.1 transcript:Manes.12G030700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCVKGCVFAPYFCHEQGATHFAAIHKVFGASNASKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVMHLQAQLASLKEQAAQSMINTSAATANPNEKYYGKAASLPQDLQSWLQPDNSNMVPQFNPSLGNGNTTYAENGFLDPYSMGNYGNSSTSTSFDSFEEASHSISSLDMQTDNRRWTYQDSDDLRSVAFGYIQHS >Manes.16G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3762808:3763771:-1 gene:Manes.16G031600.v8.1 transcript:Manes.16G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGLILLDLWVSPYAARVKIALAEKGIEYESKEEDLSNKSSLLLEMNPVYKKVPVLIHKGKPISESLIIVQYIDEVWSHKSPLLPSDPYERAHARFWADFVDQKFQAAIYRIIWATKSSEEKEAGEKEFIECCKMLESELGMKPYFGGETFGFVDIALIPTYSYYHAHERMGSLSLVEEFPKLTAWVKRCLERESVSKSVSDPHKIYEFVSQLRKHKGLE >Manes.16G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1154335:1154923:-1 gene:Manes.16G011400.v8.1 transcript:Manes.16G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGLARKEKGIHWHRELQVEKMEEQVETVGRAFVNHYYNLFDNDRSSLANLYQPDSMLSFEGQKIVGVDDISNKLNNLPFDHSKHVVSTIDSQPSSFAGGIVVFVSGSLYLPGEDHPLRFSQMFHLIPAPQGGLFVQNDMFRLNYG >Manes.10G063301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8957588:8959131:1 gene:Manes.10G063301.v8.1 transcript:Manes.10G063301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVKAVGWQFPLMDNLLLWFEKVFMSANLKKSVHIAMILWAVWTTRNDLIWKNKQRSQYAILNLGLRFLDSWRNAQSPQEAQTAACLNSQSWCRPGPSFLTLNSDASLSGNGAYVGLSCVIHDHNGAFVTAQVKRIQCNLGAKMAEALAISEALIKFKNL >Manes.01G000248.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:786264:792852:-1 gene:Manes.01G000248.v8.1 transcript:Manes.01G000248.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKKEDFDESPLKKSKIEDGFLDEGSAKEPKAEDKDNKEWIDYSDEYKRELLKKYKEDFAASEGFEHDYWPARMTCPSTWDWLTVGERIHLGDDIMCTEWVNDALDFAVRKENEKGANLEVVKAIIATTFQPFLYYITFEAKDLTTKETKEYQTRVVWDPFTRSDADADVEIFRLRKDKKEES >Manes.01G000248.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:788540:792853:-1 gene:Manes.01G000248.v8.1 transcript:Manes.01G000248.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKKEDFDESPLKKSKIEDGFLDEGSAKEPKAEDKDNKEWIDYSDEYKRELLKKYKEDFAASEGFEHDYWPARMTCPSTWDWLTVGERIHLGDDIMCTEWVNDALDFAVRKENEKGANLEVVKAIIATTFQPFLYYITFEAKDLTTKETKEYQTRVVWDPFTRSDADADVEIFRLRKDKKEES >Manes.01G000248.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:784846:792169:-1 gene:Manes.01G000248.v8.1 transcript:Manes.01G000248.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKKEDFDESPLKKSKIEDGFLDEGSAKEPKAEDKDNKEWIDYSDEYKRELLKKYKEDFAASEGFEHDYWPARMTCPSTWDWLTVGERIHLGDDIMCTEWVNDALDFAVRKENEKGANLEVVKAIIATTFQPFLYYITFEAKDLTTKETKEYQTRVVWDPFTRSDADADVEIFRLRKDKKEEP >Manes.01G000248.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:788540:792852:-1 gene:Manes.01G000248.v8.1 transcript:Manes.01G000248.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKKEDFDESPLKKSKIEDGFLDEGSAKEPKAEDKDNKEWIDYSDEYKRELLKKYKEDFAASEGFEHDYWPARMTCPSTWDWLTVGERIHLGDDIMCTEWVNDALDFAVRKENEKGANLEVVKAIIATTFQPFLYYITFEAKDLTTKETKEYQTRVVWDPFTRSDADADVEIFRLRKDKKEESLVP >Manes.01G000248.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:778192:792852:-1 gene:Manes.01G000248.v8.1 transcript:Manes.01G000248.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKKEDFDESPLKKSKIEDGFLDEGSAKEPKAEDKDNKEWIDYSDEYKRELLKKYKEDFAASEGFEHDYWPARMTCPSTWDWLTVGERIHLGDDIMCTEWVNDALDFAVRKENEKGANLEVVKAIIATTFQPFLYYITFEAKDLTTKETKEYQTRVVWDPFTRSDADADVEIFRLRKDKKEES >Manes.05G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2754104:2766228:-1 gene:Manes.05G032800.v8.1 transcript:Manes.05G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTGGGGGGPSRMGPAGRAASTSSAASPSSSSSAVSTPQLGFDSVQQQQQQQIGYRQALQQQLHRKPEGNETFLAYQAGAFQGVIGGGNFASSPGSVQMSQQSKKFFDLAQQHGSSQDGQNRNQAVEQQVMNPVHQAYLQFAIQQQKSAFAMQSQQAAKMGMFGPATSKDHDMRMARMQEFMSIQAVNQAQASSSKISSENSRGEKPVEQSQQQASELRNEQKPSIHPPVFGQQMPSNVVRQMQAPQPHQSIQNMANNQLAMAAQLQAMQAWAHERNIDLSLPANANLMAQLIPLMQSRMAAQQKANESSAGPQASPVPASMSKHQVASPPVASESSPHANSSSDASGQSGPPKVRQSVPSAPFGPSSNVGLVNSTNNLAGQQLAFQSRENQGPPRTGAVLGNGMPPMHPPQSSASISQGADQTLPAKNALNSPENLQMQHLKQLSRSPLQSAGSSDDGGSNNQFPSQGGPGVQMAQPHVGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGANQDRSGGKIADDQVRHLESIENSQEKPSVNGQNIAKEEAFAGDEKATISASHMQATTALIKEPATSVGAGKEEQQTATISVKSDQEVERSLQKTPVRSDVTADRGKAVAPQFPVSDSMQAKKPAQASTPPQTKDAGSARKYHGPLFDFPFFTRKHDSIGSSGMINTNNNLTLAYDVKDLLFEEGMEVLNKKRSENLKKINGLLAVNLERKRIRPDLVLRLQIEEKKLRLLDLQARIRDEVDQQQQEIMAMPDRPYRKFVRLCERQRMEQARQVQASQKAMRDKQLKSIFQWRKKLLEAHWGIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSIAGDAAERYAVLSSFLTQTEEYLHKLGGKITAAKNQQEVEEAASAAAAAARLQGLSEEEVRVAAACAGEEVMIRNRFMEMNAPRDSSSVSKYYHLAHAVNERVLRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIFYVGGKDQRSKLFSQEVAAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEGPSHDAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSAIYDWIKSTGTLRVDPEDEKLRVQKNPIYQPKVYKTLNNRCMELRKACNHPLLNYPYFNDFSKDFLIRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSTGSYCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSHQKEDELRSGGALDLEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQEVNRMIARSEDEVELFDQMDEELDWTEEMTSYGQVPNWLRASTRDVNAAIANLSKKPSKNILFTSGMGMGTNEMETERKRGRPKGKKSPNYKEVDDDNGEYSEASSDERNGYSAREEEGEIREFEDDESSGAVGVGAPPVNKDQSDDDGPACDNSYEYPRASESARNNRMVEEAGSSGSSSDNRRMTRMVSPVSSQKFGSLSALDARPGSVSKRLPDELEEGEIAVSGDSHMDHQQPGSWIHDRDEGEDEQVLQPKITRKRSIRVRPRHTLERPEEKSGIEPQRGDSCLMPFQVDHKYQAQLRTDAEMKTFREPDASRHDQSDSSKSRRNLPSRRIGNTSKLHASPKSSRLNIQSAPAEDAEISRENRDGKATNTSGNSLLGSKMSDVIQRRCKNVISKFQRRIDKEGQQIVPLLTDLWKKIENSSYTSGVGNNLLDLRKIELRVDKLEYNGVMELVFDVQFMLKGAMQFYGFSHEVRSEARKVHDLFFDILKIAFPDTDFREARNALSFSSPSSAPSPATRQVAIGQSKRRRSINEVEPDNNTTHKPIQRGSIPANEDTMVKVHLSKETRHGSGSGSSREQHQQDDSPLHPGELVICKKKRKDRDKSVVKSRAGSSGPVSPPSMGRNIMSPVPKELRMGQQNSHQQGWANQPQASNNGSGSGGGSVGWANPVKRLRTDAGKRRPSHL >Manes.15G125200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9986805:9994824:-1 gene:Manes.15G125200.v8.1 transcript:Manes.15G125200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSAGFNPQTQEGEKRVLNSELWHACAGPLVSLPAVGNRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDAYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPPFPLRLKRPWPPGLPTFHGIKDDDLGMNSPLMWLRGDGDRGIQSMNFQGIGVTPWLQPRVDASMLGLQTDMYQAMAAAALQEMRAIDPSKPSTASIVQFQQQPNFPSRTGSLMPPQMLQQSQPHTAFLQGVQENQHQPQSQSQTQSHLIQQQLHHQHTFNSQQQQQLPQQQLVEHQQMSNVVSAISQFASASQSQSPPLQAISSLCQQQSFSDSNGNPVTSSVVSPLHSLMGSFSQDESSHLLNLPRTNALVTSSGWPSKRAAVEPLISAGAPQCVLPQVEQFGAHQTNISQNAVSLPPFPGRDCSIDQDSGTDPQSHLLFGVNIEPSSLILQSGMSSLRGVGSDSDSTTVPFSSSNYMSTTGTDFSLNPAMTPSSCIDESGFLQSPENVGQVNSSTKTFVKVHKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPIQRLSNGSCDDYASRQDSRNLSSGITSVGSLDY >Manes.15G125200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9985699:9994824:-1 gene:Manes.15G125200.v8.1 transcript:Manes.15G125200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSAGFNPQTQEGEKRVLNSELWHACAGPLVSLPAVGNRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDAYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPPFPLRLKRPWPPGLPTFHGIKDDDLGMNSPLMWLRGDGDRGIQSMNFQGIGVTPWLQPRVDASMLGLQTDMYQAMAAAALQEMRAIDPSKPSTASIVQFQQQPNFPSRTGSLMPPQMLQQSQPHTAFLQGVQENQHQPQSQSQTQSHLIQQQLHHQHTFNSQQQQQLPQQQLVEHQQMSNVVSAISQFASASQSQSPPLQAISSLCQQQSFSDSNGNPVTSSVVSPLHSLMGSFSQDESSHLLNLPRTNALVTSSGWPSKRAAVEPLISAGAPQCVLPQVEQFGAHQTNISQNAVSLPPFPGRDCSIDQDSGTDPQSHLLFGVNIEPSSLILQSGMSSLRGVGSDSDSTTVPFSSSNYMSTTGTDFSLNPAMTPSSCIDESGFLQSPENVGQVNSSTKTFVKVHKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPIQRLSNGSCDDYASRQDSRNLSSGITSVGSLDY >Manes.15G125200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9985497:9994829:-1 gene:Manes.15G125200.v8.1 transcript:Manes.15G125200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSAGFNPQTQEGEKRVLNSELWHACAGPLVSLPAVGNRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDAYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPPFPLRLKRPWPPGLPTFHGIKDDDLGMNSPLMWLRGDGDRGIQSMNFQGIGVTPWLQPRVDASMLGLQTDMYQAMAAAALQEMRAIDPSKPSTASIVQFQQQPNFPSRTGSLMPPQMLQQSQPHTAFLQGVQENQHQPQSQSQTQSHLIQQQLHHQHTFNSQQQQQLPQQQLVEHQQMSNVVSAISQFASASQSQSPPLQAISSLCQQQSFSDSNGNPVTSSVVSPLHSLMGSFSQDESSHLLNLPRTNALVTSSGWPSKRAAVEPLISAGAPQCVLPQVEQFGAHQTNISQNAVSLPPFPGRDCSIDQDSGTDPQSHLLFGVNIEPSSLILQSGMSSLRGVGSDSDSTTVPFSSSNYMSTTGTDFSLNPAMTPSSCIDESGFLQSPENVGQVNSSTKTFVKVHKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPIQRLSNGSCDDYASRQDSRNLSSGITSVGSLDY >Manes.17G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30102745:30106209:1 gene:Manes.17G092600.v8.1 transcript:Manes.17G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPTPIPQTLPPIPPPLPPPQPQLQPPALLPANREYRKGNWTIQETLTLITAKKLDDERRSKPSIPSTSKPGELRWKWVENYCWAHGCFRSQNQCNDKWDNLLRDYKKVREYQSRSNASDSSPSYWTMDRQQRKFYNLPSNMSPDVFEALNEVVQRRYHNIPQSLPPSQQQHQHQQQEQQQQLSVAVAASPPPETTRESLPEPVMDLPAVSEGSESSATESSDKLDTGTKRRKVRNIGASIKHSASILAQTIRNCEEKKDKRHQEMMEIEQRRLQLEEARNEVNREGMANLAMAVTKLSTAIQSLISDQT >Manes.15G078832.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6063798:6065749:1 gene:Manes.15G078832.v8.1 transcript:Manes.15G078832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDEDITMSDTSLGESSRPQTSGQTTRAWSQDHHGSCVIQPRQRPSVVSGQRQSDEDALIEEHQKQMEEAKFQSIPPGNLKQLLIACAKALAENNINDFDKLIGKARIAVSIGGEPIQRLGAYLVEGLVARKELSGNNIYRALRCREPESNDLLSYMRILYEICPYLKFGYMTANGAIAEACKNDERIHIIDFQIAQGTQWITLLQALAAKPGGAPHVRITGIDDPVNKHARGDGLEAVHRRLAAISEKFNIPIEFHGVPVFASDVTPDMLDIRPGEALAVNFPLQLHHTPDESVDVSNPRDGLLRMVKSLNPKAVTLVEQESNTSTTPFLTRFIETLDYYLAMFESIDVTLPRDQKDRIGVEQHCLAKDIVNVISCEGKERVERHELFGKWKSRLIMAGFRQYPLSSYVNSVIRSLLRCYSEHYTLVEKDGAMLLGWKNRNLISASAWN >Manes.04G006900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:922967:929933:-1 gene:Manes.04G006900.v8.1 transcript:Manes.04G006900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NINV6 MAASEAALRVLSSGLPHLYSSSPYFNNWKPVFSLKSVNCRNNGGSLHQKSSRMLWKYTRANSCQGKNIACYVETERAKRLESIRCECQRADSVSRITANENIPSISLPVNAGDVKVNGNVDSAKTVRDTSHKTNECSVVEEAWDLLRESVVYYCGNPIGTIAANDPSDTSILNYDQVFIRDFIPSGIAFLLKGEFDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEDILDADFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDMRKINEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVDFMPNRGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATVDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAEKAVKLAERRISKDKWPEYYDTKKARFIGKQARLFQTWSIAGYLVAKLLLDNPSAAKILVNEEDTELQNTFSCIINANPRRKRGRSGYKQPFIV >Manes.04G006900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:923755:929596:-1 gene:Manes.04G006900.v8.1 transcript:Manes.04G006900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NINV6 MAASEAALRVLSSGLPHLYSSSPYFNNWKPVFSLKSVNCRNNGGSLHQKSSRMLWKYTRANSCQGKNIACYVETERAKRLESIRCECQRADSVSRITANENIPSISLPVNAGDVKVNGNVDSAKTVRDTSHKTNECSVVEEAWDLLRESVVYYCGNPIGTIAANDPSDTSILNYDQVFIRDFIPSGIAFLLKGEFDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEDILDADFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDMRKINEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVDFMPNRGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATVDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAEKAVKLAERRISKDKWPEYYDTKKARFIGKQARLFQTWSIAGYLVAKLLLDNPSAAKILVNEEDTELQNTFSCIINANPRRKRGRSGYKQPFIV >Manes.04G006900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:923755:929596:-1 gene:Manes.04G006900.v8.1 transcript:Manes.04G006900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NINV6 MAASEAALRVLSSGLPHLYSSSPYFNNWKPVFSLKSVNCRNNGGSLHQKSSRMLWKYTRANSCQGKNIACYVETERAKRLESIRCECQRADSVSRITANENIPSISLPVNAGDVKVNGNVDSAKTVRDTSHKTNECSVVEEAWDLLRESVVYYCGNPIGTIAANDPSDTSILNYDQVFIRDFIPSGIAFLLKGEFDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEDILDADFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDMRKINEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVDFMPNRGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATVDQSHAILDLIEAKWADLVAEMPFKICYPALEGQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAEKAVKLAERRISKDKWPEYYDTKKARFIGKQARLFQTWSIAGYLVAKLLLDNPSAAKILVNEEDTELQNTFSCIINANPRRKRGRSGYKQPFIV >Manes.09G019701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4101566:4105000:1 gene:Manes.09G019701.v8.1 transcript:Manes.09G019701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELLASIVADKVLEKLASNTYQEISIAWGVHGELRKLQDVLTTIKAVLLDAEEKQVENRELRVWLAKLKDAFYDAEDLLDEFECEQQRKQVLKLYGTTAKKVGRFLSPSNPLVFRFKMGHRIKEIRERLDEIASHKAKFHLERKEAMRVIPIERAMTHSFVEASNVIGRDEDKENIIRLLQKPNDSGETDVIAIVGIGGLGKTALAKLVYNDERVQNNFEHKMWVCVSEDFDVKILTEKIIQCITNGSENIRHLEMEQLQGILRERIGYKKYLLILDDVWNDDRKRWNALNELLCTGANGSKILVTTRSNEVASIMGSVSEYELKGLPHDECMALFTKCAFKAGEEKCYPNLVKVGEEIVRKCKGVPLAVTTIASLLFTQREGRYWKSIRDNGLWQMEQKENDILPALRLSYDHLPAYLKRCFAYCCFYPKNYEYIHLVLIHFWMAHGLLESTNENEELEDIGLRYIQELRSRSFFQDFEEDSECKLFSSCKMHDLVHDLALSLTQNEFSTITTSTKDISKGVRHLLFLSIPQNLPTLLQGLDHVRTAIFNTEEMSQSALNLCLLRFQSLRVLDFRDSKFEVWLEKIGSLKHLRYLCLPEACEVEKIPNSFCKLQSLQFLWLGEEIEDLPSNIRYLINLRFLIFPRKQKRLSKNGLGCLTSLRFFWILRNEHLEYLCEDMQGLKHLRTLFIFECYSLISLPQSIKYLTALETLHIEDCTNLNLTWEVDDQDLAQFSLQKLILVWLPKLVALPEWLLARSTNSLQLLKLGSCRNLKKLPACLHNMTSLQQLVINDCAEVRNRCEREVGEDWSKIAHIPKIVINEGCF >Manes.05G082300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6771098:6771786:-1 gene:Manes.05G082300.v8.1 transcript:Manes.05G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALTVDQIADFREAFCLIDKDSDGFITVEELATTIQSLDGHPTKEEVQQMTSEVEVNGNGTIDFQEFLNIMGRKMKENVAEELKEAFKVFDRNQDGYISANELRQVMINMGERLTEEEAEQMIREADFDGDGLVSYEEFARIMMTA >Manes.13G075600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25798632:25804497:-1 gene:Manes.13G075600.v8.1 transcript:Manes.13G075600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANAISSPQEIPGIVDGSCDLPVAGSCFQLVNPSFDEVSQQCTLDVFPLLFEKTSFTMEERCAFQTAHCQDVYSISMLEEEKVNPKCISQPSLLSFVELPDSPKKQQCLDPQMNCQNFIGFKMDNADAYSPCVVGIDVEMENLEKTKSNNEAVGSLKGEGLLTINHSMRESTIHQTTDGESISVQHHLIQEILFSSSQSCQVWGH >Manes.13G075600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25798632:25804497:-1 gene:Manes.13G075600.v8.1 transcript:Manes.13G075600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANAISSPQEIPGIVDGSCDLPVAGSCFQLVNPSFDEVSQQCTLDVFPLLFEKTSFTMEERCAFQTAHCQDVYSISMLEEEKVNPKCISQPSLLSFVELPDSPKKQQCLDPQMNCQNFIGFKMDNADAYSPCVVGIDVEMENLEKTKSNNEAVGSLKGEGLLTRQLSLKTCERLVQLFSDPSSALLKLISKDKSFNERINDTPNNRWRKYKRAASFDSRNIVLLFSILIKVG >Manes.13G075600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25798632:25801238:-1 gene:Manes.13G075600.v8.1 transcript:Manes.13G075600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQLMEIVCLYAFAFSPSPFLQCQDVYSISMLEEEKVNPKCISQPSLLSFVELPDSPKKQQCLDPQMNCQNFIGFKMDNADAYSPCVVGIDVEMENLEKTKSNNEAVGSLKGEGLLTRQLSLKTCERLVQLFSDPSSALLKLISKDKSFNERINDTPNNRWRKYKRAASFDSRNIVLLFSILSSLGTLILIFLTLRVRQTADGFVNL >Manes.13G075600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25798632:25804497:-1 gene:Manes.13G075600.v8.1 transcript:Manes.13G075600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANAISSPQEIPGIVDGSCDLPVAGSCFQLVNPSFDEVSQQCTLDVFPLLFEKTSFTMEERCAFQTAHCQDVYSISMLEEEKVNPKCISQPSLLSFVELPDSPKKQQCLDPQMNCQNFIGFKMDNADAYSPCVVGIDVEMENLEKTKSNNEAVGSLKGEGLLTRQLSLKTCERLVQLFSDPSSALLKLISKDKSFNERINDTPNNRWRKYKRAASFDSRNIVLLFSILSSLGTLILIFLTLRVRQTADGFVNL >Manes.13G075600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25798632:25804497:-1 gene:Manes.13G075600.v8.1 transcript:Manes.13G075600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERCAFQTAHCQDVYSISMLEEEKVNPKCISQPSLLSFVELPDSPKKQQCLDPQMNCQNFIGFKMDNADAYSPCVVGIDVEMENLEKTKSNNEAVGSLKGEGLLTRQLSLKTCERLVQLFSDPSSALLKLISKDKSFNERINDTPNNRWRKYKRAASFDSRNIVLLFSILSSLGTLILIFLTLRVRQTADGFVNL >Manes.13G075600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25798632:25804497:-1 gene:Manes.13G075600.v8.1 transcript:Manes.13G075600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERCAFQTAHCQDVYSISMLEEEKVNPKCISQPSLLSFVELPDSPKKQQCLDPQMNCQNFIGFKMDNADAYSPCVVGIDVEMENLEKTKSNNEAVGSLKGEGLLTRQLSLKTCERLVQLFSDPSSALLKLISKDKSFNERINDTPNNRWRKYKRAASFDSRNIVLLFSILSSLGTLILIFLTLRVRQTADGFVNL >Manes.16G056000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:16765096:16766310:-1 gene:Manes.16G056000.v8.1 transcript:Manes.16G056000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPANLSKPMLKPKLGSPLNPSKLSSSSRATVVCSAAQTPEKDSSKSPLQAFSAALALSSILLSAPLPAVADISGLTPCKESKQFAKREKQQIKKLESSLKLYAPDSAPALAIKATVEKTKRRFDNYGKQGLLCGADGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRGEKKPAMKEIIIDVPLATSLIFRGFSWPVSAYREFVNGELVVKDV >Manes.16G056000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:16765096:16766310:-1 gene:Manes.16G056000.v8.1 transcript:Manes.16G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPANLSKPMLKPKLGSPLNPSKLSSSSRATVVCSAAQTPEKDSSKSPLQAFSAALALSSILLSAPLPAVADISGLTPCKESKQFAKREKQQIKKLESSLKLYAPDSAPALAIKATVEKTKRRFDNYGKQGLLCGADGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAIRGEKKPAMKEIIIDVPLATSLIFRGFSWPVSAYREFVNGELVVKDV >Manes.09G003300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:948903:950302:-1 gene:Manes.09G003300.v8.1 transcript:Manes.09G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVTRKKKQMGFRRKLACLYLLLLIMSQLETPCSAVGYGKFSRFKGRSASASASDHHHHHLSLENSNGVFKGKNSNKDPDEIFGAEKRKIYTGPNPLHNR >Manes.17G050300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24750327:24756481:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYAQQRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQGWFHNAETCGEKRLSSHSERIIGRNDRWGSSSFGISHNHIQHCISPLVAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKGILQQHPENYHIY >Manes.17G050300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24750327:24756481:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEQIEQMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYAQQRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQGWFHNAETCGEKRLSSHSERIIGRNDRWGSSSFGISHNHIQHCISPLVAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKGILQQHPENYHIY >Manes.17G050300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24751085:24756465:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYAQQRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVCENGCFGISNGEQVTRSRNGWSAMMQGYNTHMRPSTQVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQGWFHNAETCGEKRLSSHSERIIGRNDRWGSSSFGISHNHIQHCISPLVAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKVSIYTETDMRFSYFFVCTSVDGHNILISEMDSLLGFQRPSRGVEIDYGR >Manes.17G050300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24751085:24756465:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEQIEQMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYAQQRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVCENGCFGISNGEQVTRSRNGWSAMMQGYNTHMRPSTQVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQGWFHNAETCGEKRLSSHSERIIGRNDRWGSSSFGISHNHIQHCISPLVAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKVSIYTETDMRFSYFFVCTSVDGHNILISEMDSLLGFQRPSRGVEIDYGR >Manes.17G050300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24750327:24756465:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEQIEQMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVCENGCFGISNGEQVTRSRNGWSAMMQGYNTHMRPSTQVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQGWFHNAETCGEKRLSSHSERIIGRNDRWGSSSFGISHNHIQHCISPLVAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKGILQQHPENYHIY >Manes.17G050300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24750327:24756465:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEQIEQMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYAQQRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVCENGCFGISNGEQVTRSRNGWSAMMQGYNTHMRPSTQVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQGWFHNAETCGEKRLSSHSERIIGRNDRWGSSSFGISHNHIQHCISPLVAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKGILQQHPENYHIY >Manes.17G050300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24750327:24756465:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEQIEQMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYAQQRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKGILQQHPENYHIY >Manes.17G050300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24750327:24756465:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYAQQRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKGILQQHPENYHIY >Manes.17G050300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24750327:24756465:-1 gene:Manes.17G050300.v8.1 transcript:Manes.17G050300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIIDLNTTEEDETTPSSGSLSSPSSSSASALSASNSSPPGSSSVCLELWHACAGPLISLPKKGSVVVYFPQGHLEQLPDLPLAAYDLPSHIFCRVVDVKLHAEASNDDVYAQVSLAPESEMLKKGKNEGDGEEEDMEAAVKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYAQQRPSQELVAKDLHGFQWRFRHIYRGQPRRHLLTTGWSAFVNKKKLLSGDAVLFLRGDDGELRLGIRRAAQVKCGATLPALCSQQLNHSTFTDIVNAMSTRSVFNIYYNPRSSSSEFIIPLHKFLKSLDHSFSIGMRFKMRFETEDAAERRYTGIITGISDIDPARWPSSKWRCLLVRWDDTETSRHSRVSPWEIEPSGPLSSSTSFMAPVLKRSRSGLPSLKPEFPVPDGIGVSDFGESSRFQKVLQGQEILSFNTLYDGVDQNRRPSETRRCFPSTVNAGLAKTRNGDRGPVVNSDVSRESIGFCESFRFHKVLQGQEIIPSSSFGRASTTATEVCENGCFGISNGEQVTRSRNGWSAMMQGYNTHMRPSTQVPSPSSVLMFQQASNHVSYQSPAYNFSEQKEQGASIQGWFHNAETCGEKRLSSHSERIIGRNDRWGSSSFGISHNHIQHCISPLVAQSTFKDGQDIVASCKSSCRLFGFQLTEDRHVANKDDDGPITMTSLNPGSSFLPRAAEQFHLKPPAITNAVGSNCTKGILQQHPENYHIY >Manes.01G274200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42753124:42757090:1 gene:Manes.01G274200.v8.1 transcript:Manes.01G274200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNPFDLLGDDDAEDPSQLIAAQQQKAAAAAAVAPKKSQPQPQPQPLSKQSAKLPSKPVPPAQAVREAKNEAGRGGGRGGGRGYGRGRGGYSRDTNNENSFSNTGGPAGQGALEDGDAAKLSERRGYGGPRGGYRGGGRRGGFTNGEVGVGERPHRQFERHSGTGRGNEIKREGSGRGNWGTQADEVSQVTEEAVNEGEKNLSDEKPIGEQETLNANKEGAASEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKAEERKVDTKVFESMQQLSSKKENNEIFIKLGSDKDKRKEFLEKDEKAKKSVSINEFLKPAEGERSYSPGGRGRGRGRGARGLISRDAMSNVMAPSIEDRGQFPTLGGK >Manes.01G274200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42752949:42757090:1 gene:Manes.01G274200.v8.1 transcript:Manes.01G274200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNPFDLLGDDDAEDPSQLIAAQQQKAAAAAAVAPKKSQPQPQPQPLSKQSAKLPSKPVPPAQAVREAKNEAGRGGGRGGGRGYGRGRGGYSRDTNNENSFSNTGGPAGQGALEDGDAAKLSERRGYGGPRGGYRGGGRRGGFTNGEVGVGERPHRQFERHSGTGRGNEIKREGSGRGNWGTQADEVSQVTEEAVNEGEKNLSDEKPIGEQETLNANKEGAASEPEEKEPEDKEMTLEEYEKVLEEKRKALQALKAEERKVDTKVFESMQQLSSKKENNEIFIKLGSDKDKRKEFLEKDEKAKKSVSINEFLKPAEGERSYSPGGRGRGRGRGARGLISRDAMSNVMAPSIEDRGQFPTLGGK >Manes.08G012100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1384120:1386506:1 gene:Manes.08G012100.v8.1 transcript:Manes.08G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADVGSGGGGWREMKSFLFRVIVGRWFMVFASLLIMSVAGATYMFSLYSNDIKTSLGYDQTTLNLLSFFKDLGGNVGVLSGLINEVTPPWVVLSMGVIMNFFGYFMIWLAVTGHIAKPKVWQMCLYICIGANSQAFANTGALVTCVKNFPESRGSVLGLLKGFVGLSGAILTQLFHAFYGDNSKSLILLIAWLPAAVSFIFLRTIRIIKIVRQSNELQVFYKFLYISIGLAGFLMVLIIIQNKFSFSRIEYIGSASIVLVLLFLPVAIVIKEEYDLWSSKKAALNDLSPVKVVTENPPALELSASTPAPGSPTSTEKQASCMDNIFTPPERGEDFTILQALFSVDMLIIFVATTCGVGGTLTAIDNLGQIGNSLGYPTRSITTFVSLVSIWNYLGRVVAGFASEFLLTKYKIPRPLLFTFVLLFSCVGHLLIAFGVPNSLYFASVNIGFCFGAQWPLMFAMISEIFGLKYYSTLYNFGSVASPVGSYVLNVMVAGHLYDKEALKQLEASGIKREAGQDLTCSGVQCYRMAFLIITATTLFGCLISFILVLRTRKFYQGDIYKKFREEAKVTGFSVMTSPGNGNLKEIRSEARTEEDGNSNTVTTAANTTAAASVKDKN >Manes.02G207900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23419398:23428262:1 gene:Manes.02G207900.v8.1 transcript:Manes.02G207900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESLVYSLDEALAAVGFGKFQILVLLYAGFGWFSEAIEIMILSFVGPAVKLKWALSASQESLLSTIVFAGMLTGAYSWGIISDNCGRRKAFLSMTVITSGAGFLSAFSPYYTSLVFLRGLVGFGLGGGHVFLSWFLEFIPTSHRGKWMVIFSTFWTLGTIFEASLAWIVMPRLNWRWLLALSAGPSFALLLFYRLVPESPRYLCLKGRAAHAHQILEKMALVNQKELPLGMLVSYETIKVDEENPSEHTSVISVAREKISSFRSSFSSFFMLFSSNLIGTTLLLWVLFFGNTFVYYGIVLLTSELSTVRRKCDYTSLQTENLGEDSLYTDVLITSFAELPGLFLSAITVDRFGRKLAMAIMFVLAWVFLLPLVFHQSAKVTTALLFGARMFSMGTFTVACIYAPELYPTSVRSTGAGVATAVGRIGGMVCPLVAVALISSCHLKEAFIIFEVVIAVTVICILLFPFDTSGRKLSDSVDSSDLTQSLLSGSSNCTPSSSAAEILPPKIKF >Manes.09G092200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28499921:28507953:1 gene:Manes.09G092200.v8.1 transcript:Manes.09G092200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVETSAPSQISGANSEQISPPFCTLLSVGQAFSGTQNVSSLQKDEAWRVNVRIQGCDLDHGYLCGTMEALNVPMADTPVVTFWEGEIVDGKNYTFFTGKWEATSEDDIRHWTKFPSFSPFLCQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCTDGSINGFYYDPNSSPFQKLELKSTNEGRSGFSFSSYELQ >Manes.09G092200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28499921:28507953:1 gene:Manes.09G092200.v8.1 transcript:Manes.09G092200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVETSAPSQISGANSEQISPPFCTLLSVGQAFSGTQNVSSLQKDEAWRVNVRIQGCDLDHGYLCGTMEALNVPMADTPVVTFWEGEIVDGKNYTFFTGKWEATSEDDIRHWTKFPSFSPFLCQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCTDGSINGFYYDPNSSPFQKLELKSTNEGRSGFSFSSYELQ >Manes.09G092200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28504761:28507953:1 gene:Manes.09G092200.v8.1 transcript:Manes.09G092200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVETSAPSQISGANSEQISPPFCTLLSVGQAFSGTQNVSSLQKDEAWRVNVRIQGCDLDHGYLCGTMEALNVPMADTPVVTFWEGEIVDGKNYTFFTGKWEATSEDDIRHWTKFPSFSPFLCQVEVDGGKSLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCTDGSINGFYYDPNSSPFQKLELKSTNEGRSGFSFSSYELQ >Manes.10G150400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31800803:31805069:-1 gene:Manes.10G150400.v8.1 transcript:Manes.10G150400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQQRKDQSSRNSIPKEQQKMLCEECKQNPSKYKCPGCSIRSCSLPCVKAHKQRTGCSGKRVQTQFVPLSQFNDNILLSDYNLLEEMKRVADSSQRMRTKLCAYSQYKLPAHLRSLKGAAAGRRTKLLFLPSGMSKRVKNQSHYNQRKKFISWTIEWRFHTTDVTLVDHGIREDTHLSSVIEKHLKPGPWNHQLRQFCEEHLDSLKFFVRKYPKGPRSAFCELDIKAPLRQQLANVVILEYPVIHVFLPSQSYDFEVIKDVKPVSHRPESKNPVHNDHPSPKGVAFREEEVEENNDSSNPQVYDFLKNVILSPLPEIPHLNTSEKTLYEQSDALAREALDNNSHYNSQTMEPGIFEDMDFDFDQGLMDAYSDLIGQVNPDDFLDLGGEFPKEEKLEERKDVLNSRGVSLMQEELEEGEIVE >Manes.13G036900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4480721:4481143:-1 gene:Manes.13G036900.v8.1 transcript:Manes.13G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTMRSPPRRVLKRKEIREGVDSLNLSPQAAAATKLPKPSIPQAGAEPVSFNQLMAGYLAHEYLTKGTIYGQQWDPAGAEEEWQKVDDDEPSEDDEEEAAPSKGNYKRYVEVSSLLKAEGAHLPGVVNPSQLSRFLHM >Manes.08G116611.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35631967:35635236:-1 gene:Manes.08G116611.v8.1 transcript:Manes.08G116611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVKWPPRVTKFLKPYVLKLHLTDKYVSAQVIHSPTATIACSASSQEKALRSSMENTRDIAAAVKIGKILGERLLLKDIPAISVFLKREQKYHGKVKAVIDSVKGAGVKLL >Manes.06G072400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20856232:20861331:1 gene:Manes.06G072400.v8.1 transcript:Manes.06G072400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITAIAASASAAKSSVLVAGATGLIGHFIADACLASGRPTYALIRSIPTCPVRAKVLRSLEEKGAKVLHGLINKKEMMEEILKECKIDIVISAVGGESILDQLPLVEAIKAVGTVKRFLPSEFGHDVNRADPVEPGLRMYIEKRKVRRLIQEYGIPYTYICCNSIASWPYFNNNHPSEILPPLDEFQIYGDGNMKDIGKFTMKTVDDVRTLNKSVHFRPSCNFYNMNELAALWENKIGRTLPRATVTEDDLLAAAAENRIPESVVASFTHDIFIKGCQINFSVDGPNDVEVSCLYPDEAFRSLDECFGEFALRLKEKHVSSRDENAPSTPMVESLIVTATCA >Manes.06G072400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20856232:20861331:1 gene:Manes.06G072400.v8.1 transcript:Manes.06G072400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITAIAASASAAKSSVLVAGATGLIGHFIADACLASGRPTYALIRSIPTCPVRAKVLRSLEEKGAKVLHGLINKKEMMEEILKECKIDIVISAVGGESILDQLPLVEAIKAVGTVKRFLPSEFGHDVNRADPVEPGLRMYIEKRKVRRLIQEYGIPYTYICCNSIASWPYFNNNHPSEILPPLDEFQIYGDGNMKAYFVAGTDIGKFTMKTVDDVRTLNKSVHFRPSCNFYNMNELAALWENKIGRTLPRATVTEDDLLAAAAENRIPESVVASFTHDIFIKGCQINFSVDGPNDVEVSCLYPDEAFRSLDECFGEFALRLKEKHVSSRDENAPSTPMVESLIVTATCA >Manes.02G053200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4265014:4268257:-1 gene:Manes.02G053200.v8.1 transcript:Manes.02G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDHEKKHVCKLCNKSFLSGRILGGHMRIHVARDSAKEEVKLENNNMGIEGYGLRENPKKSWKSSGLKHTDGVSVQESLECRVCGKQFEFPRSLHGHMRHHSAEERKGIRCKECGKGFRTLRSLTGHMRLHSEKCKVPTESITGSRPNLVVMTLSDTEPASLVRRKRSNRKRYKVTPNSSFSSLNESVSGFEVEEEVEEVALCLMMLSRGVCKWGEFKSIGEPLDNGSVPFEAKSLYHNNRIGWRSEDGDYVLEDNELFKKKRPREQKLDPCASDSKNQTSECSDCDSDIVCNVEKKIALEVPIENFYQVAESMGPKLEDESRLLLCDAEIKKGIHDATDSSATESESSQEFTAEIGLDLAGLEYVKCTPSKRTTFKACDAKVGKEFCTEMICSTSDFDLADDSKKKSQFQCRICNKMFPTYQALGGHQTFHRATKSSVASKIEQHQEDIETNLLPDKTDLSSKLAKAECVKDSGEEEEENGVTMTNYQSKKSKEHKCHICSKNFVSGQALGGHKRAHHAKAREELNLAMQQEDREMSDAPEINAPDMLDTEANPEYGLESWWAGVRNYKNESLVDLIAN >Manes.06G087300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22378675:22382568:1 gene:Manes.06G087300.v8.1 transcript:Manes.06G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRKTHLKTGKHTKVDTECPDPPRSNPFDSDDKLDNKQNVKTSRKTSSEPSMITPNISTSPFDDDEERGTSSSSLYSLSSAAKYKYKNDFRDSGGLENQSAQELENYAVYKAEENTKAVNGCLKIAEEIREDAAKTLITLHQQGEQIIRTHNVAVEMDHDLSRGEKLLGSLGGIFSRTWKPKKTRPITGPIITRDDFPKTRGSDLEQREKLGLNSAPKERSNTRKPLPEPTNAFQKVEMEKEKQDDALSDLSNLLGELKDMAVDMGTEIERQTKALDHFEDDADELNFRVRGANQRGRRLLGK >Manes.06G087300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22378697:22382473:1 gene:Manes.06G087300.v8.1 transcript:Manes.06G087300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRKTHLKTGKHTKVDTECPDPPRSNPFDSDDKLDNKQNVKTSRKTSSEPSMITPNISTSPFDDDEERGTSSSSLYSLSSAAKYKYKNDFRDSGGLENQSAQELENYAVYKAEENTKAVNGCLKIAEEIREDAAKTLITLHQQGEQIIRTHNVAVEMDHDLSRGEKLLGSLGGIFSRTWKPKKTRPITGPIITRDDFPKTRGSDLEQREKLGLNSAPKERSNTRKPLPEPTNAFQKVEMEKEKQDDALSDLSNLLGELKDMAVDMGTEIERQTKALDHFEDDADELNFRVRGANQRGRRLLGK >Manes.06G087300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22378697:22382552:1 gene:Manes.06G087300.v8.1 transcript:Manes.06G087300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRKTHLKTGKHTKVDTECPDPPRSNPFDSDDKLDNKQNVKTSRKTSSEPSMITPNISTSPFDDDEERGTSSSSLYSLSSAAKYKYKNDFRDSGGLENQSAQELENYAVYKAEENTKAVNGCLKIAEEIREDAAKTLITLHQQGEQIIRTHNVAVEMDHDLSRGEKLLGSLGGIFSRTWKPKKTRPITGPIITRDDFPKTRGSDLEQREKLGLNSAPKERSNTRKPLPEPTNAFQKVEMEKEKQDDALSDLSNLLGELKDMAVDMGTEIERQTKALDHFEDDADELNFRVRGANQRGRRLLGK >Manes.06G087300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22378694:22382610:1 gene:Manes.06G087300.v8.1 transcript:Manes.06G087300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRKTHLKTGKHTKVDTECPDPPRSNPFDSDDKLDNKQNVKTSRKTSSEPSMITPNISTSPFDDDEERGTSSSSLYSLSSAAKYKYKNDFRDSGGLENQSAQELENYAVYKAEENTKAVNGCLKIAEEIREDAAKTLITLHQQGEQIIRTHNVAVEMDHDLSRGEKLLGSLGGIFSRTWKPKKTRPITGPIITRDDFPKTRGSDLEQREKLGLNSAPKERSNTRKPLPEPTNAFQKVEMEKEKQDDALSDLSNLLGELKDMAVDMGTEIERQTKALDHFEDDADELNFRVRGANQRGRRLLGK >Manes.03G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24103417:24109609:-1 gene:Manes.03G115100.v8.1 transcript:Manes.03G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNKYAKELDVAVRVVHMACSLCQRVQEGLVTASRNQVKSKEDDSLVTVADWSVQATVSWVLSESFRDQSVSIVAEEDVKTLSKADSAGLLAMVTNTVNQILAEASKYGLECPKEALGGAQILDAIGRCNSKGGPVGRHWVLDPVDGTLGFVRGDQYAIALALIEEGEVVIGVLGCPNYPMKKELLNHRHQYHQSIPKLSSPTFDAREKGCVMYAQRGSGKAWMQPLIPVNKKFEWSNSAQLIRVSSIDDPELATFCEPVEKANSNHSFTAGVAHSMGVIKQPLRVHSMVKYAAIARGDAEIFMKFASCGYKEKIWDHAAGVVIVEEAGGVVTDAGGRCLNFSKGVYLEGLDRGIVACSGASLHDKLIGAVYASWDSSHL >Manes.03G115100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24103875:24109592:-1 gene:Manes.03G115100.v8.1 transcript:Manes.03G115100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNKYAKELDVAVRVVHMACSLCQRVQEGLVTASRNQVKSKEDDSLVTVADWSVQATVSWVLSESFRDQSVSIVAEEDVKTLSKADSAGLLAMVTNTVNQILAEASKYGLECPKEALGGAQILDAIGRCNSKGGPVGRHWVLDPVDGTLGFVRGDQYAIALALIEEGEVVIGVLGCPNYPMKKELLNHRHQYHQSIPKLSSPTFDAREKGCVMYAQRGSGKAWMQPLIPVNKKFEWSNSAQLIRVSSIDDPELATFCEPVEKANSNHSFTAGVAHSMGVIKQPLRVHSMVKYAAIARGDAEIFMKFASCGYKEKIWDHAAGVVIVEEAGGVVTDAGGRCLNFSKGVYLEGLDRGIVACSGASLHDKLIGAVYASWDSSHL >Manes.03G115100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24103437:24109592:-1 gene:Manes.03G115100.v8.1 transcript:Manes.03G115100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNKYAKELDVAVRVVHMACSLCQRVQEGLVTASRNQVKSKEDDSLVTVADWSVQATVSWVLSESFRDQSVSIVAEEDVKTLSKADSAGLLAMVTNTVNQILAEASKYGLECPKEALGGAQILDAIGRCNSKGGPVGRHWVLDPVDGTLGFVRGDQYAIALALIEEGEVVIGVLGCPNYPMKKELLNHRHQYHQSIPKLSSPTFDAREKGCVMYAQRGSGKAWMQPLIPVNKKFEWSNSAQLIRVSSIDDPELATFCEPVEKANSNHSFTAGVAHSMGVIKQPLRVHSMVKYAAIARGDAEIFMKFASCGYKEKIWDHAAGVVIVEEAGGVVTDAGGRCLNFSKGVYLEGLDRGIVACSGASLHDKLIGAVYASWDSSHL >Manes.15G088100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6758651:6768564:1 gene:Manes.15G088100.v8.1 transcript:Manes.15G088100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDKKHQQHPGEEEIKLLSNASSDGAGNEQEEEESRRKYWWKKVLDVKEAKKQILFSLPMILTNVFYYLIPLVSVMFAGHLGELELAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKLYRMLGIHLQASCIISFFLSIIISVIWFYTESILVLLHQDPQISATAALYMKNLIPGLFAYGFLQNMLRFLQTQSTVMPLVLFSFIPMCIHIGIAYALIYCTTLGFKGAPLAVSISLWLSVLMVAMYVIIAKKFEHTWHGFSFESFNYILTNIKLALPSAAMVCLEYWAFEILVFLAGTMPNSKITTSLIAICVNTESVAYMLTYGLSAAASTRVSNELGAGNPGRAKGAMAVTLKLSVFLALIVVLALAFGHNIWAAMFSDSHAIVEDFASMATLLAISITVDSIQGVLSGVARGCGWQHLAVYANLATFYIIGMPIACLLGFKLKLYVKGLWIGLICGLSCQAATLSLITIRAKWTRVADLSISRDEENPLVGLLLPVKEKNIYEQHWRPIIFML >Manes.15G088100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6758651:6768564:1 gene:Manes.15G088100.v8.1 transcript:Manes.15G088100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIHLQASCIISFFLSIIISVIWFYTESILVLLHQDPQISATAALYMKNLIPGLFAYGFLQNMLRFLQTQSTVMPLVLFSFIPMCIHIGIAYALIYCTTLGFKGAPLAVSISLWLSVLMVAMYVIIAKKFEHTWHGFSFESFNYILTNIKLALPSAAMVCLEYWAFEILVFLAGTMPNSKITTSLIAICVNTESVAYMLTYGLSAAASTRVSNELGAGNPGRAKGAMAVTLKLSVFLALIVVLALAFGHNIWAAMFSDSHAIVEDFASMATLLAISITVDSIQGVLSGVARGCGWQHLAVYANLATFYIIGMPIACLLGFKLKLYVKGLWIGLICGLSCQAATLSLITIRAKWTRVADLSISRDEENPLVGLLLPVKEKNIYEQHWRPIIFML >Manes.15G088100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6758657:6768279:1 gene:Manes.15G088100.v8.1 transcript:Manes.15G088100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIHLQASCIISFFLSIIISVIWFYTESILVLLHQDPQISATAALYMKNLIPGLFAYGFLQNMLRFLQTQSTVMPLVLFSFIPMCIHIGIAYALIYCTTLGFKGAPLAVSISLWLSVLMVAMYVIIAKKFEHTWHGFSFESFNYILTNIKLALPSAAMVCLEYWAFEILVFLAGTMPNSKITTSLIAICVNTESVAYMLTYGLSAAASTRVSNELGAGNPGRAKGAMAVTLKLSVFLALIVVLALAFGHNIWAAMFSDSHAIVEDFASMATLLAISITVDSIQGVLSGVARGCGWQHLAVYANLATFYIIGMPIACLLGFKLKLYVKGLWIGLICGLSCQAATLSLITIRAKWTRVADLSISRDEENPLVGLLLPVKEKNIYEQHWRPIIFML >Manes.15G088100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6758650:6768416:1 gene:Manes.15G088100.v8.1 transcript:Manes.15G088100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDKKHQQHPGEEEIKLLSNASSDGAGNEQEEEESRRKYWWKKVLDVKEAKKQILFSLPMILTNVFYYLIPLVSVMFAGHLGELELAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKLYRMLGIHLQASCIISFFLSIIISVIWFYTESILVLLHQDPQISATAALYMKNLIPGLFAYGFLQNMLRFLQTQSTVMPLVLFSFIPMCIHIGIAYALIYCTTLGFKGAPLAVSISLWLSVLMVAMYVIIAKKFEHTWHGFSFESFNYILTNIKLALPSAAMVCLEYWAFEILVFLAGTMPNSKITTSLIAICVNTESVAYMLTYGLSAAASTRVSNELGAGNPGRAKGAMAVTLKLSVFLALIVVLALAFGHNIWAAMFSDSHAIVEDFASMATLLAISITVDSIQGVLSGVARGCGWQHLAVYANLATFYIIGMPIACLLGFKLKLYVKGLWIGLICGLSCQAATLSLITIRAKWTRVADLSISRDEENPLVGLLLPVKEKNIYEQHWRPIIFML >Manes.15G088100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6758575:6764925:1 gene:Manes.15G088100.v8.1 transcript:Manes.15G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDKKHQQHPGEEEIKLLSNASSDGAGNEQEEEESRRKYWWKKVLDVKEAKKQILFSLPMILTNVFYYLIPLVSVMFAGHLGELELAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKLYRMLGIHLQASCIISFFLSIIISVIWFYTESILVLLHQDPQISATAALYMKNLIPGLFAYGFLQNMLRFLQTQSTVMPLVLFSFIPMCIHIGIAYALIYCTTLGFKGAPLAVSISLWLSVLMVAMYVIIAKKFEHTWHGFSFESFNYILTNIKLALPSAAMVCLEYWAFEILVFLAGTMPNSKITTSLIAICVNTESVAYMLTYGLSAAASTRVSNELGAGNPGRAKGAMAVTLKLSVFLALIVVLALAFGHNIWAAMFSDSHAIVEDFASMATLLAISITVDSIQGVLSGVARGCGWQHLAVYANLATFYIIGMPIACLLGFKLKLYVKGLWIGLICGLSCQAATLSLITIRAKWTRVADLSISRDEENPLVG >Manes.15G088100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6758650:6768416:1 gene:Manes.15G088100.v8.1 transcript:Manes.15G088100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIHLQASCIISFFLSIIISVIWFYTESILVLLHQDPQISATAALYMKNLIPGLFAYGFLQNMLRFLQTQSTVMPLVLFSFIPMCIHIGIAYALIYCTTLGFKGAPLAVSISLWLSVLMVAMYVIIAKKFEHTWHGFSFESFNYILTNIKLALPSAAMVCLEYWAFEILVFLAGTMPNSKITTSLIAICVNTESVAYMLTYGLSAAASTRVSNELGAGNPGRAKGAMAVTLKLSVFLALIVVLALAFGHNIWAAMFSDSHAIVEDFASMATLLAISITVDSIQGVLSGVARGCGWQHLAVYANLATFYIIGMPIACLLGFKLKLYVKGLWIGLICGLSCQAATLSLITIRAKWTRVADLSISRDEENPLVGLLLPVKEKNIYEQHWRPIIFML >Manes.15G088100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6758575:6764925:1 gene:Manes.15G088100.v8.1 transcript:Manes.15G088100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIHLQASCIISFFLSIIISVIWFYTESILVLLHQDPQISATAALYMKNLIPGLFAYGFLQNMLRFLQTQSTVMPLVLFSFIPMCIHIGIAYALIYCTTLGFKGAPLAVSISLWLSVLMVAMYVIIAKKFEHTWHGFSFESFNYILTNIKLALPSAAMVCLEYWAFEILVFLAGTMPNSKITTSLIAICVNTESVAYMLTYGLSAAASTRVSNELGAGNPGRAKGAMAVTLKLSVFLALIVVLALAFGHNIWAAMFSDSHAIVEDFASMATLLAISITVDSIQGVLSGVARGCGWQHLAVYANLATFYIIGMPIACLLGFKLKLYVKGLWIGLICGLSCQAATLSLITIRAKWTRVADLSISRDEENPLVG >Manes.15G088100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6758657:6768279:1 gene:Manes.15G088100.v8.1 transcript:Manes.15G088100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDKKHQQHPGEEEIKLLSNASSDGAGNEQEEEESRRKYWWKKVLDVKEAKKQILFSLPMILTNVFYYLIPLVSVMFAGHLGELELAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKLYRMLGIHLQASCIISFFLSIIISVIWFYTESILVLLHQDPQISATAALYMKNLIPGLFAYGFLQNMLRFLQTQSTVMPLVLFSFIPMCIHIGIAYALIYCTTLGFKGAPLAVSISLWLSVLMVAMYVIIAKKFEHTWHGFSFESFNYILTNIKLALPSAAMVCLEYWAFEILVFLAGTMPNSKITTSLIAICVNTESVAYMLTYGLSAAASTRVSNELGAGNPGRAKGAMAVTLKLSVFLALIVVLALAFGHNIWAAMFSDSHAIVEDFASMATLLAISITVDSIQGVLSGVARGCGWQHLAVYANLATFYIIGMPIACLLGFKLKLYVKGLWIGLICGLSCQAATLSLITIRAKWTRVADLSISRDEENPLVGLLLPVKEKNIYEQHWRPIIFML >Manes.01G182000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36038152:36040815:-1 gene:Manes.01G182000.v8.1 transcript:Manes.01G182000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEPQYQSLLPTLDSHTRITHLSSQAIEEFLQQRTVPLRWWPRLVAWESRLLWLLSWASIIVSLFNFMLSFVTQMFSGHLGAVELAGASIANVGIQGLAYGIMLGMASAVQTACGQAYGAKRYSAMGVICQRAIVLHLGAAVLLTFLYWFSGSVFVAMGQSTAIAEQGQIFARGLIPQIYAFAICCPLQRFLQAQNIVNPLACNAVGVFFVHVFLSWLVIYKLDYGLLGAALTLSLSWWLLVILNGLYIVLSPKCKETWTGLSISAFQDIWPYFKLTAASAVMLCLEIWYNQGMVLISGLLPNPTISLDSISVCMNYWNWDIEFMLGLAAATSVRVSNELGAGHPKVAKFSVIVVNGTAIIISTIFSVIVLCFRVELSKLFTSDSEVIEAISNLTPLLAISVFLNGVQPILSGVAIGSGWQAVVAYVNLVTYYIIGLPIGCVLGFKTNLGVAGIWWGIIIGVVFQTLTLIILTSRTNWDAEVEKAARRLNESAREGLESLPNP >Manes.06G176800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30026533:30029807:-1 gene:Manes.06G176800.v8.1 transcript:Manes.06G176800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAASHSGPILPNAAARAAYTTSGVASSGGVSGSASLKKSNSGPLNRHGDPVKRTSGPQSGGVTPSGRQNSGPLPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDSMGSMKIPGSAVVHNQAVTVLSQEDDFSFRKNFPKPILWSLILLFVMGFIAGGFILGAVHNAILLIVVVVLFGAVAGLFIWNTFFGRTAIMGFIASYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYASTSLYEYRGWDSKAANPTHRRFTWGLRSLEGLDILID >Manes.06G176800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30023921:30029807:-1 gene:Manes.06G176800.v8.1 transcript:Manes.06G176800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAASHSGPILPNAAARAAYTTSGVASSGGVSGSASLKKSNSGPLNRHGDPVKRTSGPQSGGVTPSGRQNSGPLPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLDSMGSMKIPGSAVVHNQAVTVLSQEDDFSFRKNFPKPILWSLILLFVMGFIAGGFILGAVHNAILLIVVVVLFGAVAGLFIWNTFFGRTAIMGFIASYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYASTSLYEYRGWDSKAANPTHRRFTWGLRSLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSLVIDVNPGTEELSPEFIRWLGERNLSRDDRIMQMKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPITTGCQWAKCIFPASLDGIVLRCEDTSKNDVIPV >Manes.07G095500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29947251:29957177:1 gene:Manes.07G095500.v8.1 transcript:Manes.07G095500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSNIDMPLSLDDHLQGPNGNLEDSLHSELELILQAHRNQHLINGEKGLNIFRSGSAPPTVEGSLSAVGSLLRNPNYSNISSINNSSSLTDDEIRSHPAYVSYYYSHNINPRLPPPLLSKEDWRVVQRFQAGGSLQGGIGESRKKLVDEGNGSSPFLTQPGLSVQKLENDLMEVRNANRNNFSVQNSAEWLDRGSCGSTGLQDVGLGGRRKSFADILQEGLDRPASLSGHLSRPASDNAFGDLLRTTGICDPHRPESCGRLESLEGLRSGSASRSLVGVQSHGTTVSFSSAIGSSLSRSTTPEPQLVVRPLNSGLPPVGNRVGPFEKKNVVGSTAPNGHSSGTTDLGETAATFSGLNLSKSRHAEQQDFIFNTSSGHNLLQQKFIEKSNAANLLFCTNYVDVARKNRVLPNHNVADFYSHREVMIPKRTCSFANLHSNMNSSGIGGSERSNGHHQNANVHSMDFVSHVPDAYPINYKRETTSLNHLDGALGGYGIGHSLNRAGNQAGPQLHAPVIDSCYIQNFQRTPDFATITNGPQVRHCSATSNGDLDVIQKAHLEALLAQQNQQYQPAIVKSGSLNQGYHRNSSYGVGMPYLGNAAVNYVLPAVGCGNFQDEQVAHFTSMVRNSMGGSIGSWHSHVGNNMERKYVSSLLDEFKNNKSRSFELSDIVDHVVEFSTDQYGSRFIQQKLETATVEEKSKIFPEIIRHAHTLMTDVFGNYVIQKLLEHGTESQRKELACQLTGHVLPLSLQMYGCRVIQKALEVIDVDKQTQMVEELDGSVMKCVRDQNGNHVIQKCIECVPEDQIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCENTNTQKIIMEEIMQSVCILAQDQYGNYVIQHVLEHGKPHERSVIIRKLAGQIVNMSCQKFASNVVETCLSFCGPDERQILVTEILGSTDENKPLQAMMKDPFGNYVVQKVLETCDERSLELILSRIKVHLNTLKTFTFGKHIVSRVEKLITTGERRIGLSSSTSFSS >Manes.07G095500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29947154:29960491:1 gene:Manes.07G095500.v8.1 transcript:Manes.07G095500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSNIDMPLSLDDHLQGPNGNLEDSLHSELELILQAHRNQHLINGEKGLNIFRSGSAPPTVEGSLSAVGSLLRNPNYSNISSINNSSSLTDDEIRSHPAYVSYYYSHNINPRLPPPLLSKEDWRVVQRFQAGGSLQGGIGESRKKLVDEGNGSSPFLTQPGLSVQKLENDLMEVRNANRNNFSVQNSAEWLDRGSCGSTGLQDVGLGGRRKSFADILQEGLDRPASLSGHLSRPASDNAFGDLLRTTGICDPHRPESCGRLESLEGLRSGSASRSLVGVQSHGTTVSFSSAIGSSLSRSTTPEPQLVVRPLNSGLPPVGNRVGPFEKKNVVGSTAPNGHSSGTTDLGETAATFSGLNLSKSRHAEQQDFIFNTSSGHNLLQQKFIEKSNAANLLFCTNYVDVARKNRVLPNHNVADFYSHREVMIPKRTCSFANLHSNMNSSGIGGSERSNGHHQNANVHSMDFVSHVPDAYPINYKRETTSLNHLDGALGGYGIGHSLNRAGNQAGPQLHAPVIDSCYIQNFQRTPDFATITNGPQVRHCSATSNGDLDVIQKAHLEALLAQQNQQYQPAIVKSGSLNQGYHRNSSYGVGMPYLGNAAVNYVLPAVGCGNFQDEQVAHFTSMVRNSMGGSIGSWHSHVGNNMERKYVSSLLDEFKNNKSRSFELSDIVDHVVEFSTDQYGSRFIQQKLETATVEEKSKIFPEIIRHAHTLMTDVFGNYVIQKLLEHGTESQRKELACQLTGHVLPLSLQMYGCRVIQKALEVIDVDKQTQMVEELDGSVMKCVRDQNGNHVIQKCIECVPEDQIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCENTNTQKIIMEEIMQSVCILAQDQYGNYVIQHVLEHGKPHERSVIIRKLAGQIVNMSCQKFASNVVETCLSFCGPDERQILVTEILGSTDENKPLQAMMKDPFGNYVVQKVLETCDERSLELILSRIKVHLNTLKTFTFGKHIVSRVEKLITTGERRIGLSSSTSFSS >Manes.07G095500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29947251:29957177:1 gene:Manes.07G095500.v8.1 transcript:Manes.07G095500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSNIDMPLSLDDHLQGPNGNLEDSLHSELELILQAHRNQHLINGEKGLNIFRSGSAPPTVEGSLSAVGSLLRNPNYSNISSINNSSSLTDDEIRSHPAYVSYYYSHNINPRLPPPLLSKEDWRVVQRFQAGGSLQGGIGESRKKLVDEGNGSSPFLTQPGLSVQKLENDLMEVRNANRNNFSVQNSAEWLDRGSCGSTGLQDVGLGGRRKSFADILQEGLDRPASLSGHLSRPASDNAFGDLLRTTGICDPHRPESCGRLESLEGLRSGSASRSLVGVQSHGTTVSFSSAIGSSLSRSTTPEPQLVVRPLNSGLPPVGNRVGPFEKKNVVGSTAPNGHSSGTTDLGETAATFSGLNLSKSRHAEQQDFIFNTSSGHNLLQQKFIEKSNAANLLFCTNYVDVARKNRVLPNHNVADFYSHREVMIPKRTCSFANLHSNMNSSGIGGSERSNGHHQNANVHSMDFVSHVPDAYPINYKRETTSLNHLDGALGGYGIGHSLNRAGNQAGPQLHAPVIDSCYIQNFQRTPDFATITNGPQVRHCSATSNGDLDVIQKAHLEALLAQQNQQYQPAIVKSGSLNQGYHRNSSYGVGMPYLGNAAVNYVLPAVGCGNFQDEQVAHFTSMVRNSMGGSIGSWHSHVGNNMERKYVSSLLDEFKNNKSRSFELSDIVDHVVEFSTDQYGSRFIQQKLETATVEEKSKIFPEIIRHAHTLMTDVFGNYVIQKLLEHGTESQRKELACQLTGHVLPLSLQMYGCRVIQKALEVIDVDKQTQMVEELDGSVMKCVRDQNGNHVIQKCIECVPEDQIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCENTNTQKIIMEEIMQSVCILAQDQYGNYVIQHVLEHGKPHERSVIIRKLAGQIVNMSCQKFASNVVETCLSFCGPDERQILVTEILGSTDENKPLQAMMKDPFGNYVVQKVLETCDERSLELILSRIKVHLNTLKTFTFGKHIVSRVEKLITTGGPIVTNLSFAERRIGLSSSTSFSS >Manes.07G095500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29947154:29960312:1 gene:Manes.07G095500.v8.1 transcript:Manes.07G095500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSNIDMPLSLDDHLQGPNGNLEDSLHSELELILQAHRNQHLINGEKGLNIFRSGSAPPTVEGSLSAVGSLLRNPNYSNISSINNSSSLTDDEIRSHPAYVSYYYSHNINPRLPPPLLSKEDWRVVQRFQAGGSLQGGIGESRKKLVDEGNGSSPFLTQPGLSVQKLENDLMEVRNANRNNFSVQNSAEWLDRGSCGSTGLQDVGLGGRRKSFADILQEGLDRPASLSGHLSRPASDNAFGDLLRTTGICDPHRPESCGRLESLEGLRSGSASRSLVGVQSHGTTVSFSSAIGSSLSRSTTPEPQLVVRPLNSGLPPVGNRVGPFEKKNVVGSTAPNGHSSGTTDLGETAATFSGLNLSKSRHAEQQDFIFNTSSGHNLLQQKFIEKSNAANLLFCTNYVDVARKNRVLPNHNVADFYSHREVMIPKRTCSFANLHSNMNSSGIGGSERSNGHHQNANVHSMDFVSHVPDAYPINYKRETTSLNHLDGALGGYGIGHSLNRAGNQAGPQLHAPVIDSCYIQNFQRTPDFATITNGPQVRHCSATSNGDLDVIQKAHLEALLAQQNQQYQPAIVKSGSLNQGYHRNSSYGVGMPYLGNAAVNYVLPAVGCGNFQDEQVAHFTSMVRNSMGGSIGSWHSHVGNNMERKYVSSLLDEFKNNKSRSFELSDIVDHVVEFSTDQYGSRFIQQKLETATVEEKSKIFPEIIRHAHTLMTDVFGNYVIQKLLEHGTESQRKELACQLTGHVLPLSLQMYGCRVIQKALEVIDVDKQTQMVEELDGSVMKCVRDQNGNHVIQKCIECVPEDQIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCENTNTQKIIMEEIMQSVCILAQDQYGNYVIQHVLEHGKPHERSVIIRKLAGQIVNMSCQKFASNVVETCLSFCGPDERQILVTEILGSTDENKPLQAMMKDPFGNYVVQKVLETCDERSLELILSRIKVHLNTLKTFTFGKHIVSRVEKLITTGERRIGLSSSTSFSS >Manes.07G095500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29947250:29957177:1 gene:Manes.07G095500.v8.1 transcript:Manes.07G095500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSNIDMPLSLDDHLQGPNGNLEDSLHSELELILQAHRNQHLINGEKGLNIFRSGSAPPTVEGSLSAVGSLLRNPNYSNISSINNSSSLTDDEIRSHPAYVSYYYSHNINPRLPPPLLSKEDWRVVQRFQAGGSLQGGIGESRKKLVDEGNGSSPFLTQPGLSVQKLENDLMEVRNANRNNFSVQNSAEWLDRGSCGSTGLQDVGLGGRRKSFADILQEGLDRPASLSGHLSRPASDNAFGDLLRTTGICDPHRPESCGRLESLEGLRSGSASRSLVGVQSHGTTVSFSSAIGSSLSRSTTPEPQLVVRPLNSGLPPVGNRVGPFEKKNVVGSTAPNGHSSGTTDLGETAATFSGLNLSKSRHAEQQDFIFNTSSGHNLLQQKFIEKSNAANLLFCTNYVDVARKNRVLPNHNVADFYSHREVMIPKRTCSFANLHSNMNSSGIGGSERSNGHHQNANVHSMDFVSHVPDAYPINYKRETTSLNHLDGALGGYGIGHSLNRAGNQAGPQLHAPVIDSCYIQNFQRTPDFATITNGPQVRHCSATSNGDLDVIQKAHLEALLAQQNQQYQPAIVKSGSLNQGYHRNSSYGVGMPYLGNAAVNYVLPAVGCGNFQDEQVAHFTSMVRNSMGGSIGSWHSHVGNNMERKYVSSLLDEFKNNKSRSFELSDIVDHVVEFSTDQYGSRFIQQKLETATVEEKSKIFPEIIRHAHTLMTDVFGNYVIQKLLEHGTESQRKELACQLTGHVLPLSLQMYGCRVIQKALEVIDVDKQTQMVEELDGSVMKCVRDQNGNHVIQKCIECVPEDQIQFIISAFYGQVVALSTHPYGCRVIQMPPFDANRGSWNTVRTRTHKKLLWRKSCNLFAFWHKINMGIMLFSMYLNMGNRMNDLLLLGSLRDKL >Manes.07G095500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29947251:29957177:1 gene:Manes.07G095500.v8.1 transcript:Manes.07G095500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSNIDMPLSLDDHLQGPNGNLEDSLHSELELILQAHRNQHLINGEKGLNIFRSGSAPPTVEGSLSAVGSLLRNPNYSNISSINNSSSLTDDEIRSHPAYVSYYYSHNINPRLPPPLLSKEDWRVVQRFQAGGSLQGGIGESRKKLVDEGNGSSPFLTQPGLSVQKLENDLMEVRNANRNNFSVQNSAEWLDRGSCGSTGLQDVGLGGRRKSFADILQEGLDRPASLSGHLSRPASDNAFGDLLRTTGICDPHRPESCGRLESLEGLRSGSASRSLVGVQSHGTTVSFSSAIGSSLSRSTTPEPQLVVRPLNSGLPPVGNRVGPFEKKNVVGSTAPNGHSSGTTDLGETAATFSGLNLSKSRHAEQQDFIFNTSSGHNLLQQKFIEKSNAANLLFCTNYVDVARKNRVLPNHNVADFYSHREVMIPKRTCSFANLHSNMNSSGIGGSERSNGHHQNANVHSMDFVSHVPDAYPINYKRETTSLNHLDGALGGYGIGHSLNRAGNQAGPQLHAPVIDSCYIQNFQRTPDFATITNGPQVRHCSATSNGDLDVIQKAHLEALLAQQNQQYQPAIVKSGSLNQGYHRNSSYGVGMPYLGNAAVNYVLPAVGCGNFQDEQVAHFTSMVRNSMGGSIGSWHSHVGNNMERKYVSSLLDEFKNNKSRSFELSDIVDHVVEFSTDQYGSRFIQQKLETATVEEKSKIFPEIIRHAHTLMTDVFGNYVIQKLLEHGTESQRKELACQLTGHVLPLSLQMYGCRVIQKALEVIDVDKQTQMVEELDGSVMKCVRDQNGNHVIQKCIECVPEDQIQFIISAFYGQVVALSTHPYGCRVIQMPPFDANRGSWNTVRTRTHKKLLWRKSCNLFAFWHKINMGIMLFSMYLNMGNRMNDLLLLGSLRDKL >Manes.07G095500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29947250:29960312:1 gene:Manes.07G095500.v8.1 transcript:Manes.07G095500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSNIDMPLSLDDHLQGPNGNLEDSLHSELELILQAHRNQHLINGEKGLNIFRSGSAPPTVEGSLSAVGSLLRNPNYSNISSINNSSSLTDDEIRSHPAYVSYYYSHNINPRLPPPLLSKEDWRVVQRFQAGGSLQGGIGESRKKLVDEGNGSSPFLTQPGLSVQKLENDLMEVRNANRNNFSVQNSAEWLDRGSCGSTGLQDVGLGGRRKSFADILQEGLDRPASLSGHLSRPASDNAFGDLLRTTGICDPHRPESCGRLESLEGLRSGSASRSLVGVQSHGTTVSFSSAIGSSLSRSTTPEPQLVVRPLNSGLPPVGNRVGPFEKKNVVGSTAPNGHSSGTTDLGETAATFSGLNLSKSRHAEQQDFIFNTSSGHNLLQQKFIEKSNAANLLFCTNYVDVARKNRVLPNHNVADFYSHREVMIPKRTCSFANLHSNMNSSGIGGSERSNGHHQNANVHSMDFVSHVPDAYPINYKRETTSLNHLDGALGGYGIGHSLNRAGNQAGPQLHAPVIDSCYIQNFQRTPDFATITNGPQVRHCSATSNGDLDVIQKAHLEALLAQQNQQYQPAIVKSGSLNQGYHRNSSYGVGMPYLGNAAVNYVLPAVGCGNFQDEQVAHFTSMVRNSMGGSIGSWHSHVGNNMERKYVSSLLDEFKNNKSRSFELSDIVDHVVEFSTDQYGSRFIQQKLETATVEEKSKIFPEIIRHAHTLMTDVFGNYVIQKLLEHGTESQRKELACQLTGHVLPLSLQMYGCRVIQKALEVIDVDKQTQMVEELDGSVMKCVRDQNGNHVIQKCIECVPEDQIQFIISAFYGQVVALSTHPYGCRVIQMPPFDANRGSWNTVRTRTHKKLLWRKSCNLFAFWHKINMGIMLFSMYLNMGNRMNDLLLLGSLRDKL >Manes.03G095600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16460632:16467508:1 gene:Manes.03G095600.v8.1 transcript:Manes.03G095600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIQDERERSEFRLLQEQAGLVPRQERLDFLYDSGLAVGKGSSSSAGGSGVAFKALEEAVPNNSKAIDSSSSTAKQSSAPGALFEDKPHSANDTWRKLHSDPLLLIRQREQEALARIKNNPVKMALIRKSVEANKGEKALHKKERGKKHSHKHLSSKEQSDSEDAHGETEKRRKTGKHKHSKHDDHYYKGQVVLDDKFSERESQRRKNSYKASNSRKQSPSSFSSSKIAQSDGQDAVNKSRDKLSGEHHSLKGQTEPDFDRKEREKRSFTYRNEREKRSFNEPRSHDSSMSIRHDSRHKRHNVASKLSEEERAAKLREMQMDAELHEEQRWKRLRKAEEDDVWEATHASMSSGKNFLDAAQRSVYGTEKGGSSTIEESVRRRKYYSQGRSEEGDGNSFRR >Manes.03G095600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16462268:16464171:1 gene:Manes.03G095600.v8.1 transcript:Manes.03G095600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIQDERERSEFRLLQEQAGLVPRQERLDFLYDSGLAVGKGSSSSAGGSGVAFKALEEAVPNNSKAIDSSSSTAKQSSAPGALFEDKPHSANDTWRKLHSDPLLLIRQREQEALARIKNNPVKMALIRKSVEANKGEKALHKKERGKKHSHKHLSSKEQSDSEDAHGETEKRRKTGKHKHSKHDDHYYKGQVVLDDKFSERESQRRKNSYKASNSRKQSPSSFSSSKIAQSDGQDAVNKSRDKLSGEHHSLKGQTEPDFDRKEREKRSFTYRNEREKRSFNEPRSHDSSMSIRHDSRHKRHNVASKLSEEERAAKLREMQMDAELHEEQRWKRLRKAEEDDVWEATHASMSSGKNFLDAAQRSVYGTEKGGSSTIEESVRRRKYYSQGRSEEGDGNSFRR >Manes.03G095600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16458644:16467508:1 gene:Manes.03G095600.v8.1 transcript:Manes.03G095600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIQDERERSEFRLLQEQAGLVPRQERLDFLYDSGLAVGKGSSSSAGGSGVAFKALEEAVPNNSKAIDSSSSTAKQSSAPGALFEDKPHSANDTWRKLHSDPLLLIRQREQEALARIKNNPVKMALIRKSVEANKGEKALHKKERGKKHSHKHLSSKEQSDSEDAHGETEKRRKTGKHKHSKHDDHYYKGQVVLDDKFSERESQRRKNSYKASNSRKQSPSSFSSSKIAQSDGQDAVNKSRDKLSGEHHSLKGQTEPDFDRKEREKRSFTYRNEREKRSFNEPRSHDSSMSIRHDSRHKRHNVASKLSEEERAAKLREMQMDAELHEEQRWKRLRKAEEDDVWEATHASMSSGKNFLDAAQRSVYGTEKGGSSTIEESVRRRKYYSQGRSEEGDGNSFRR >Manes.03G095600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16460633:16467508:1 gene:Manes.03G095600.v8.1 transcript:Manes.03G095600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELRKQIQDERERSEFRLLQEQAGLVPRQERLDFLYDSGLAVGKGSSSSAGGSGVAFKALEEAVPNNSKAIDSSSSTAKQSSAPGALFEDKPHSANDTWRKLHSDPLLLIRQREQEALARIKNNPVKMALIRKSVEANKGEKALHKKERGKKHSHKHLSSKEQSDSEDAHGETEKRRKTGKHKHSKHDDHYYKGQVVLDDKFSERESQRRKNSYKASNSRKQSPSSFSSSKIAQSDGQDAVNKSRDKLSGEHHSLKGQTEPDFDRKEREKRSFTYRNEREKRSFNEPRSHDSSMSIRHDSRHKRHNVASKLSEEERAAKLREMQMDAELHEEQRWKRLRKAEEDDVWEATHASMSSGKNFLDAAQRSVYGTEKGGSSTIEESVRRRKYYSQGRSEEGDGNSFRR >Manes.03G212700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32993596:32996047:1 gene:Manes.03G212700.v8.1 transcript:Manes.03G212700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGFAFHQLPFFPPGAGVGTCNSQSSSSSSRLSICGVSLKPRKACTMLDSSEFRDRGHLQYYHGGGNKKEKQSVKKKLKLVKGLSKDLSTFSQLVVDDGLVDQDRAKLVLEATQGLMEQLRQLRANEKEFKRKKKEEKAKLRSTRIDCESSTFESSESECGELIDMSRVRYEAVPVAQPMLADFQQEASSTLPNLPTQKPNIISTSPYLEKECCSGPTVCCDDRSSSAKRIEVCMGNKCKKSGGAALLEELARLVGVEGAVVGCKCMGKCRDGPNVRVLNSIESVRTPANPLCVGVGLEDVGVILSNFFGEDQLQGLAQAS >Manes.10G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3693156:3696769:-1 gene:Manes.10G037200.v8.1 transcript:Manes.10G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEICVKAAVGAPDVIGDCPFSQRALLTLEEKKLSYKLHLINLSDKPQWFLEISPEGKVPVVKFDDKWVPDSDVIVGTLEEKYPEPSLVTPPEYASVGSKIFPSFVKFLKSKDANDGSEQALLGELEALEEHLKAHGPFIAGEKITAVDLSLAPKLYHLEIALGHFKKWKVPENLPHVHKYMELLFSRESFQKTKAAKEHVILGWEPKVNA >Manes.01G222400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38950952:38952394:-1 gene:Manes.01G222400.v8.1 transcript:Manes.01G222400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRFFFSSSILLFSLLVIASAADYVPEPHNVYPSTPTSQNVKPQNEYIPQPESELIKPNDGYDPKPKYDATKSEYEYTFKVEYVSDVNEHDPKPKPQTSIKPNGNYSPKPKTDTKESEDGYSPEPNSDKSGYGYSLKPENPLQIGVEGLVLCKSGSNYVPIEGAVARITCSALDQNEYETTTFSCLTSATDAKGYFFKTLSLSGLYDNLMLKDCKVKLEKSPLETCNIPTDVNKGLTGALFSSYRILHDKKIKLYSVGPFFYTSEPKPTPAGY >Manes.02G003700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:511741:514969:1 gene:Manes.02G003700.v8.1 transcript:Manes.02G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGAMLAINRGFNHKLFSDFHFPKTLARSFLFPRMAASPTVSSPAYSTGSPYQDPPKRVGTHNGSFHCDEALGCFMIRLTNKFSNAEIVRSRDPQVLEGLDAVLDVGGVYDPRRDRYDHHQKGFEEEFGHGFTTKLSSAGLVYKHFGKEIIAKELQVDEGHRDVHRLFLAVYKSFMEAIDAIDNGINQYDTDQPPRYVNNTHLSSRVGRLNLDWIQPDQSPEKENQAFQQAMALAGSEFLDCVRYHARSWLPARTIVMECLAARFDIHPTGEIMVLATFCPWKLHLFELEEELKIDPSIKYVLYQDDRSKHWRVQAVAIAPDRFESRRPLPANWRGLRDDELSRESGIPGCVFVHMSGFIGGNQTYEGALTMARTALKL >Manes.01G024932.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5341136:5341366:-1 gene:Manes.01G024932.v8.1 transcript:Manes.01G024932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLFGKSGQLEEAYEVTKTLKFEPNFVIWGSFLSAFKEHKQLDMAERMIERSLRVIKPENDGGIYTLVCDLNGKG >Manes.09G146400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34433109:34434560:1 gene:Manes.09G146400.v8.1 transcript:Manes.09G146400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASFILFITVSCLFSLNCISHAETDTLKQGQMLRDWEQLVSASGVFRLGFFSPNRAQLVWVANRVDPVPDSSGALTIDGDGKLKILYQGGLPIVINPNMAVKASGANNITATLLDSGNLVIQQVDSSGNAGRVLWQSFDYPHNVLLPGMKIGMNLKTGQNWSLTSWLSEKVPAPGAFRLDLDPSGVNQLLVRRRDDVYWSSGVWKNGSFESAPELTKRNDIYEFSFVANDEEKYFSYSVKNKSVLSKWDLDTLGQITTLTLEMSVNSTTYTFESTSPCQYSSKNSTAVCLSEPPIKCRNGSEIFVPKRGYIDYNDYYWYYDSDMNTGLSDCHAKCWKNCTCVAYKSLSSDETGCRFWSKGAKFNPDDYSEFVYLLTRENSKGNLV >Manes.07G009600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1108175:1110359:-1 gene:Manes.07G009600.v8.1 transcript:Manes.07G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREGKVVCVTGGSGYIASWLVKFLLHHGYTVKATVLDPNDLKQTEHLFALDGAKERLFLFKANLLEDGSFDSAIDGCEGVFHTACPLFCTNDPQAELIDPAVKGTLNVLRSCTKVPSLKRVIITSSMASVMFDGKPLIPDVVIDETWFSDPTYCESIKHWYLYAKTIAEKAASKFAEESGIDIVTIHPGFVIDPFLQPTLNVTVEVILNYINGETFPNEIYRFVDVRDVASAHIQAFEQASANGRYCLVGRVVHFSEFLKIVHEQYPALQLPEKCEDEKPFALKYEVSKEKAKSLGINFIPLEVSVVDTIECLKDKGFLDV >Manes.16G058950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:22304349:22305893:-1 gene:Manes.16G058950.v8.1 transcript:Manes.16G058950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNYLDNKNPIDLLCKSIVDMMRRKALVEIREIFHIKNDFTLEKEKEIHEENAWPLTSDLHIVSSMTLILLFVVV >Manes.16G058950.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:22304424:22304654:-1 gene:Manes.16G058950.v8.1 transcript:Manes.16G058950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNYLDNKNPIDLLCKSIVDMMRRKALVEIREIFHIKNDFTLEKEKEIHEENAWPLTSDLHIVSSMTLILLFVVV >Manes.11G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:177148:198323:1 gene:Manes.11G000900.v8.1 transcript:Manes.11G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVPPGTAMLVQASAAASATKINEVGKKVDYMNLPCPIPYEELHREALMSLKPELFEGMRFDFTKGLNQKFSLSHSVFMGPMELPSQSAETIKIPTAHYEFGANFIDPKLMLFGRVLTDGRLNARVKCDLTDDLTLKANAQLTNEPHMSHAMFNFDYKGKDYRTQLQLGNGALFGASYIQSVTPHLSLGGEVFWAGQHRKSGLGYAARYETDKMVATGQVASTGMVALSYVQKVSEKVSLATDFMYNYMSRDVTASVGYDYVLRQARVRGKIDSNGCAAAFLEERLNMGLNFILSAELDHKKKDYKFGFGLTVG >Manes.15G143900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11804558:11808698:-1 gene:Manes.15G143900.v8.1 transcript:Manes.15G143900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHPSYLLSSSPYLQSSTKMALRVHSPPIHRLKASFQFLEARSKSFSVKPNGFFQNTRLNSSSAINMAAGQSGEPEKFNFDHFMSKARKLWDSSPRPVKTFPWKRALENFVQLILDLSIAVIKYLCVPLLAISSLSEMSYCAHQKKLLFVPLPLLIGIAVGGVLKETALELSPLLKDAEVPWHLIASAIFFTLIKLPGPYYPYWGRIFIPHIANGALWRTLWSVFLWYRRPRKGSGEVIHKNS >Manes.15G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11804558:11808698:-1 gene:Manes.15G143900.v8.1 transcript:Manes.15G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHPSYLLSSSPYLQSSTKMALRVHSPPIHRLKASFQFLEARSKSFSVKPNGFFQNTRLNSSSAINMAAGQSGEPEKFNFDHFMSKARKLWDSSPRPVKTFPWKRALENFVQLILDLSIAVIKYLCVPLLAISSLSEMSYCAHQKKLLFVPLPLLIGIAVGGVLKETALELSPLLKDAEVPWHLIASAIFFTLIKLPGPYYPYWGRIFIPHIANGALWRTLWSVFLWYRRPRKGSGEVIHKNSVNDGQSETNEL >Manes.15G164600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13801289:13802440:-1 gene:Manes.15G164600.v8.1 transcript:Manes.15G164600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLGVGFFLLLSVLSFCNLNYARNLPEDYWKSIMKDQKIPEAIRGMFVEDPASSSSSSSGGNVKSHFVKDFDTRTIAVIYRSYGDNKKMNSPHVEESRDDKIKGEKPLVDQHKNPETEVPVDSRNHKGKV >Manes.09G153900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35051540:35055396:-1 gene:Manes.09G153900.v8.1 transcript:Manes.09G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESASAILRSQVDLVDFIDWSGVECLNQNSSHSLPNALKQGYREDAGLNLESDADEQLLIYIPFTQVVKLYSVVVQGPEEEGPKTVKLFSNKEHMGFSNVNDFPPSDTAILSSDNLKGQPVVLKYVKFQNVRSLTIFIEDNQSGSDITKVQKVALFGTTVETTDMKGLKKIEDH >Manes.09G166800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35956524:35962641:1 gene:Manes.09G166800.v8.1 transcript:Manes.09G166800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFLGSVRRSIVFRPPPDNQEYGFNPLTGNLVDKLNSCIRKSRIFSKPSPPSLPMAPTIRYRKGELIGCGAFGHVYMGMNLDSGELLAVKQVLIAANGASRDRAQAQIRELEEEVKLLKNLSHPNIVRYLGTDREEEALNILLEFVPGGSISSLLGKFGPFPEAVIRTYSKQLLVGLEYLHNNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYIGSTKSHPEIPEHLSAEAKDFLLKCLQKEANMRPDASKLLQHPFVIGQREASDPVIRTPFMETSEIPLQPCSKELETIQMPSVSGTMDLCNLGSLSGSVDPKKLLESKDLWRHNSDDDMCQIDGDDFTTTASEVKFSPVLIADNFNKSHDSKHECSGDWRCKFDKSIEPEQAEARLDIDQPAQRDSNISFPSGVSLSEDDDELTESKITAFLDEKALELKKLQTPLYEEFYNSLNAALSPIFAESSHDETLPNYLKLPPKSRSPSRAPVGTPSAVTDSVNTGSPGSDTRRVPNVGNANDQSSEENSSPQNNDRKGLVVDDQPESSSPSMSFSERQKKWKEELDQELERKREMMRQAGVGSKTSSPKDRALNRQRERTRFASPSK >Manes.16G133500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33386144:33392387:1 gene:Manes.16G133500.v8.1 transcript:Manes.16G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASRLFSSRSSISRLYIVAASRNGRHLSTDSNKVDEPFKVEEAETVDVPPPPTEKLLVLGGNGFVGSHICREALDRGLSVASLSRSGRSSLHDSWANAVTWHQGDLLSPDSWKEAFNGVSAVISCVGGFGSNSYMYKINGTANINAIRAASEQGVKRFVYISAADFGLANYLLQGYYEGKRAAETELLTKFPYGGVILRPGFIYGTRSVGSMKIPLGVIGSPMEMVLQHAKPLNQIPVVGPLFTPPVNVSAVAKVATRAASDPVFPPGIIDVYGILRYTQQRSK >Manes.10G149300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31512892:31516251:-1 gene:Manes.10G149300.v8.1 transcript:Manes.10G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGMATRHCLMLGILLWLISSLALTTEPTMADDDIPSNFSRKYFPDDFIFGISTSAYQVEGEANKKDRGPSVWDIFTHESPDRILDGSNGDAADDFYNIFKADIKRMKQLGLDAFRFSISWSRIVPSGRVWEGVNEQGIEFYNKIINETIANGLEPFVTIFHWDTPQALEDKYGGFLSHNIVDEFRDYADFLFEKFGDRVKYWMTLNGPWTLIEFGYDKGVHAPGRCSPWVNDQCLSGNSSTEPYIVAHNLLLSHAAAVQVYREKYQKLQNGKIGISLFSYWYEPISDQATDKEAAKTALDFMFGLFMDPITYGRYPRIVQTLVGDRLPEFNDMEADLLRKSYDFIGLQYYSSYYTKANATVNPRYVSYKNDSGVIETAYDDDGQPIGPQAYSPWLYSYPKGIRNLLNYTKNTYNNPTIYITDNGIDDYNNQSQTLAEAVQDEFRIDYHKDHLWNVLGSIKEDNVTVKGYFAWSLLDNFEWNLGYTSRFGFYYVDHKNNMRRIPKNSLTWFCMFLEKILKECLVFPYSYI >Manes.16G089000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29546224:29549309:1 gene:Manes.16G089000.v8.1 transcript:Manes.16G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIFPPLSNPTNGVPSSKNKNKKRLFMGLFASILLVTAIVGIVAGVASNRKSSAINDHQEAHAILKSSCSSTLYPDLCFSAISTLPDASSKIKSTKDVIDLSLNLSRTSVEQTFRKIKQLSFRRSSFTKRETTAIGDCLEVLNGTLDKIKKAVQDLKSYPSLKKSIAEHADDLKILLSAAMTDQETCLDGFSHDKADEKVRKPFLSDERHVHRLCSNTLALIKNMTDTDMAAEKHLSSSSSSSGRKLEEENGIEWPQWMSAGDRRLLQAGGVTPNVVVAADGSGNYRTVGEAVAAAPGGSSSRYIIRIKAGVYRENVEVPSGKTNIMFVGDGRTTTIITGNRNVVDGSTTFRSATVAAVGDGFLARDITFQNSAGPSKHQAVALRVGSDKSAFYRCDMIAYQDTLYVHSLRQFYVSCIIIGSVDFIFGNAAAVFQNCDIHARRPNSGQKNMVTAQGREDPNQNTGIVIQKCRIGATQDLEAVIASFPTYLGRPWKLYSRTVIMQSEISDIINPAGWFEWDGDFALDTLFYREYQNTGAGANTANRVKWKGFKVMTSSSEAQPFTAANFISGNDWLPSTGFPYSLGL >Manes.13G038800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4828718:4856906:-1 gene:Manes.13G038800.v8.1 transcript:Manes.13G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEDAELLEDRSQEVQPLSPYVVLKNMSEEMVGEEPQTTRPMPPVHRRSQSEVINPGHMRSSGFQKLKTQMQKAWRWGSNSREQDYNFNPEVLTNQKRQWYQLHSKTLDHTKFKAPTSLFEHFVIVGLHPDANLEAVEDVFARRKKWELEVAKSDIISYKRLQSREPTFPSMEPQILFKYPPGKRLHMRMKDLSSFCFPEGVKTRLLERTPSLSELNELIYGQMHMGRDDLAFIFSLKVADNDTLYGVCLHVTEIVQRPPGILGTMSPLPQLSGRGCRFLVSAPRCYCVLTRVPFFELHYEMLNSIIAQERLSRITQFVSELSLSSVSIAYKQHDQMNMNVDYPDKECDVDWMASAIPVDSAVALTAAAAGIISDDENRTLSPKIWESHSPESGTTSEASDLSIAREIEKEGKRNFDDCTSESSETRSDALERIDGSYGSGQASPEIGAFNCSRNRGLESRGSFDTLFSPVRSMGLEDEDDELFASCEKDFDDDFIMEWARENKNDLLQIVCRYHSMPLPQQGGEIVFQPLEHLQAIEYKRPSVSDLGFSENYLAMVEANEVNAKLAAAEEALALSIWATATICRVLSLENVLTLVTGVLLEKQVVVVCPNLGVLSAIVLSLVPMIRPFQWQSLFLPILPGRMLDFLDAPVPFIVGIQQKPADLKMKTSNLVHVNVLKNQVKMCHLPALPRYKELVSEVAPFHAKLSFQSSIAKKHPVYRCNETQAEAATQFLTIMRRYLESICSDLRSHTITNVQSNNDRVCLLLKDSFIDSFPSKDRPFVKLFVDTQLFAVLSDHRLSSFEHESPLSP >Manes.08G028900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2759044:2778962:1 gene:Manes.08G028900.v8.1 transcript:Manes.08G028900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAVKLQHHNFISSRSLNPCLSQNSIGPHVSCKRMGHLDYILSTWGNSQKRCLMRLAFLGNDYHRFDHRFSVYRNTNVTYCKSRRAGHLFRFATADDGVTVNGSPSASTSSNVEELRVKLNQSLQSEDYGDRLVQSLHDAARVFELAIKGQGLLSKLSWFSTGWLGVDRNAWVKTLSYQASVYSLLQAACEISTRGEGRDRDVNMFVKKSLLRQSAPLESLMREKLSLKHPEAYEWFWSEQVPSVVASFVNYFEGDPRFTSATAVLSKGMSLDSGSGSDVALLLLALSCIAAITKLGATKVSCPQFFSMISDITGKLMDMLVDLVPIRQAYHYIRDIGLHREFLVHFGPRAAACRVKNDCSSEEVIFWVNLIEKQLQQAIDRERIWSRLTTSESIEVLEKDLAIFGFFIALGRTSRSFLSANGFDVIDDPIEGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGNFSNPKPVHGHGKKRQGPPNAEAIPHVLDVCSYWMQSFIKYSKWLENPSHVKAARFLSRGHNKLMECVEEMGIARKMTESNNNVADRTGSGIFSPIAKEMDSFDKALESVEESMIRLEKLLQELHVSSANSGKEQLKAACSDLEKIRKLKKEAEFLEASFRAKAASLQGDDESNSPSSVSEQQAYLKGKRRNIAKMRLDRTNSKSRGVWNFFVRFQTKKSDPDLTVTDGSGDEHIGQNTSNAGVTESESNDILRFELLRNELIELEKRVQRSTDQSENEEDSKETDDTANYHDDAGSAQLVQVQKKENVIAKSLDKLKETSTDVLQGTQLLAIDVAAAMGLLRRVLIGDEITEKEKKVLQRTLTDLASVVPIGILMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLELLRQLEKVREMETSEADANENEKELA >Manes.04G078700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28059027:28061577:-1 gene:Manes.04G078700.v8.1 transcript:Manes.04G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEETVQSGSSKKANPGKATILALGKAFPHQLVMQEFLVDGYFKNTNCDNPDLKQKLARLCKTTTVKTRYVVMSEEILQKYPELAAEGLPTVKQRLDICNDAVTQMAIEASQICIKNWGRSMSDITHLVYVSSSEARLPGGDLYLARGLGLNPETQRIMLYFMGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSIDRPYDLVGVALFGDGAGAMIIGTDPVSYSERPLFELHTAIQNFLPETEKIIDGKLTEEGISFKLARELPHIIEDNVEGFCHKLMRNAGVAEKEYNKLFWAVHPGGPAILNRIEKRLDLLPEKLNASRRALMDYGNASSNTIVYVLEYMIEESFKIKEKNENCEWGLILAFGPGITFEGILARNLTV >Manes.10G133671.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29935063:29948019:-1 gene:Manes.10G133671.v8.1 transcript:Manes.10G133671.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFAQHALGATSFDGHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPKVWEEVLSSGIWRSPDYRSNVLPALRVSYLHLPPHLKQCFAYCAILPKDHEFDRNELVLLWMAEGFLYDKKEMKGNEDLGHKYFDDLLSRSFFQQSNDNKSMYIMHDLIIDLARYVSGETCLHMVDKLESTKSYAKIRHSSFIAQYRNTFQRFQSFYEMKNLRTFLSMYHDNNWRCHLTGKVVHDLVPKLKCLRSLSLTYYAIEELPDSIGGLKHLRYLDLSYTRIKRLPESVDKLFNLQTLKLRGCDILTRLPSGLCNLLNLRHLDIVGTRKLKEMPPQIGNLTGLCLLTKFVIGGSNGRVTELKKLSGLQGQLHIESLGKVVDIQDADLANLKDKHISKLNLEWNRSCARIGPREFSYDLINSSDEEQVLNSLRPHQSLSSLSIVSFGGRKVPLWLGDPLFTSMVEVKLSYCDQITSLPPLGRLKSLKKLSIKCLDKVKEVGVEFYEDDSCFPCLETLEIISMVQLKLWTWSIDLVEDSVPKFPKLRKLRIVSCRDFVGRLPTFLPSLEELDIFGFEKLVDLPKMLPSLLTFSIDGSLGRDQCRGAFLRSVANSPSLTTLKISFVSGLVRLEEAVIKTLRSLKVFEISYCFDLRCLWNDGTNSDYLTSLKHLEIKDCPELVSLVDGEEGFLPGNLEILSIEGCLNLKVLPNGLSNLKSLNSLSISSCRSLVSFPVRGLPHSLVCLRIENCDSLESLPEGMMQDHYYISETSHLEKLYIRGCESLRQNPNCRFPDSLKTLEIHNCPSQTLNSLYYGLSHLTELRISDCPQLESFPEKELSIPSIVSLTISDCGRLRSLSNHMQNLRCLENLQIEDCYQLELFPEMGLPTPKLVSLTFARCKKLRSLPNQMQNLTCLRFLRVYGCDYIESLTEGCLPPNLSELSIIACDNLKQPMPEWGLHRLNSLTKLSISNTKSNRDMISFPDDDGLLLPGSLTYVKISYFENLRSISRGIQKLTSLKSLHICGEKLQSFPDVGFPATLEELSVYGCPLLQDRCLKDRGDYWPIISHIPIVCINNQNEVTKDYILRKW >Manes.09G084400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22284863:22291375:1 gene:Manes.09G084400.v8.1 transcript:Manes.09G084400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVRVVEARNLPAMDLNGSSDPYVRVQLGKQKFKTKVVKKNLNPSWGEEFSFRVEDLKEELVISVLDEDKYFNDDFVGLLKVPVSLVFDSESKSLGTAWYALQPRNKKSKNKDCGEILLGIGFSQNNAFVDLNHDGDHASQLRKNADAVTEAPSRSSGGPSNSSSPGRFEEVAPSKEEKCSAQKNFAARIVQIFNKNSDTASTAGSKGIENSEPPETIGPEVSKDEADDPSSPGDFGEIMKAMESKDMGNEIPSNLPGGVLVDQLYMIAPKDLNYLLFSPDSSFPRSLADLQGNTEQQFGTWKFENGGESLKRVVTYIKAATKLIKAVKATEEHTYVKADGKVFAILVSVSTPDIVYGSTFRTELQYLITPGPELPSGEQTSHLVISWRMNFLQSTMMKGMIENGAKQGLKDSFEQFVSLLSQNVKPVDLKDIGSTKEQVLASLQAEPQSDWKLAVQYFANFTVLTTVFIALYVLLHIWISPPSPIQGLEFVGLDLPDSIGELIVCGVLVLQCERVLELLSRFMQARIQKGSDHGVKAQGDGWLLTVALLEGSNLAAVDSSGFCDPYVVFTCNGKTRTSSIKFQKSAPLWNEIFEFDAMDDPPSVLDVEVYDFDGPFDEATSLGRAEINFVKSNISDLADVWVPLRGKLAQACQSKLHLRIFLNNTRGSNVVKEYLNKMEKEVGKKINLRSPQTNSAFQKLFGLPPEEFLINDFTCHLKRRMLLQGRLFLSARIIGFHANLFGQKTKFFFLWEDIEDIQVSPPTLSSMGSPTIVMTLWPGRGMDARHGAKTQDEEGRLKFHFQSFVSFNVAHRTIMALWKARSLSPEQKVQIAEEEESEAKNLQTEESGSFLGLEDVNLSEVYSSGVSVSTNFFMELFNGGELERKAMEKAGCLNYSHTPWELEKDDVYERQIHYRFDRRISRYGGEVTSTQQKHPLSDHKGWLVEEVMTLHGVPLGDYFNLHLRYQIEDSPSRPKSCHVHVFIGIAWQKDTRHQKRITKNIHSSLEDRLKVIFSVVEKEFLNR >Manes.04G104200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30915973:30922026:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30915973:30922026:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30917449:30922027:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30917101:30922028:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30917527:30919830:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30917536:30920635:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30917440:30922026:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30915973:30922026:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30915973:30922026:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.04G104200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30915973:30922026:-1 gene:Manes.04G104200.v8.1 transcript:Manes.04G104200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDWESSSAELSDTEANLKDTNDEDLYFTPGSLPKLQFRSDISKARWDDEIGMAEVVEKKGKFWTTTGIAHSGKIHCSIEEILFLAELGALVLMDDKDTCISLKDIYGKMGDEKNGCCWELFEVYRHLKSLGYIVQRHGVPWSMKGVRSNHTFDFFQGTLENNGVTIDPELEDSALIVENVSNLQVDELRPNFDIYLPNSKFRKSSPGDPAFLLCFIRGSPPSKAKIEALERRFGQAPLKFCHVDQGRVSFFSFKKVELPVLP >Manes.06G036650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:10920244:10920555:1 gene:Manes.06G036650.v8.1 transcript:Manes.06G036650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETALISSTSAALSSSSFSTMSILNVTQFLPIKLTSANYLLWNAQIMPLLHGYRLASHIDGTGSAPPELSSTGEINPAHADWFCQDQIVRSWINCSVTESIH >Manes.16G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27569532:27575769:-1 gene:Manes.16G073400.v8.1 transcript:Manes.16G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSHQVLALFHFKFRTQAEEEKKSETILQSMAQEFRQETLESGPVSFNVANGELDDDGKPRRTGTMWTASAHIITAIIGSGVLSLAWSIAQLGWIAGVGALLAFSCITYYTSGLLADCYRYPHPVNGGKRNYTYKAAVRAYLGEKKHKACGFVQFILLSGSAIGYTITASISMRAIRESNCYHKGGHGASCKYSSSWYILGLGITEIFVSQIPNFHKLSWLSIIAAIMSFAYAAIGLGLALVKVISGDGGRTTLSGIEVGMNLTTEDKIWRMFRAIGDMAFACAYSPILIEIADTLRSSPPETNVMKKANAIAVITSTTFYMMCGCLGYAAFGNNAPGNMLTGFGFYEPFWLIDLANLCIVVHLLGAYQVLSQPVFNTVELWAKMRWPESKFVSREYPLSIGDKKFNNFSINLLRVGWRTTFVVVVTVLAMALPFFNDILALLGALAYWPMAVYFPVEMHIAQNKIKRRSIRWLGLEILNFVCFLISIGVACSAIQGLNQGLHTYKPFKF >Manes.16G073400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27569532:27575769:-1 gene:Manes.16G073400.v8.1 transcript:Manes.16G073400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTASAHIITAIIGSGVLSLAWSIAQLGWIAGVGALLAFSCITYYTSGLLADCYRYPHPVNGGKRNYTYKAAVRAYLGEKKHKACGFVQFILLSGSAIGYTITASISMRAIRESNCYHKGGHGASCKYSSSWYILGLGITEIFVSQIPNFHKLSWLSIIAAIMSFAYAAIGLGLALVKVISGDGGRTTLSGIEVGMNLTTEDKIWRMFRAIGDMAFACAYSPILIEIADTLRSSPPETNVMKKANAIAVITSTTFYMMCGCLGYAAFGNNAPGNMLTGFGFYEPFWLIDLANLCIVVHLLGAYQVLSQPVFNTVELWAKMRWPESKFVSREYPLSIGDKKFNNFSINLLRVGWRTTFVVVVTVLAMALPFFNDILALLGALAYWPMAVYFPVEMHIAQNKIKRRSIRWLGLEILNFVCFLISIGVACSAIQGLNQGLHTYKPFKF >Manes.09G187900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37447310:37456175:1 gene:Manes.09G187900.v8.1 transcript:Manes.09G187900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETMSSPGLSSSSFFPGDSSFATERHTRFWKLDATPDLYSTGKSPPMSPLENLGVVGCQPAKSLELHQSFLMQDQKMNSSLMRHAVGTGTTLSQSSNLLRPVVHETRSSLNVHPASCFLEGSKFGMMATQYENSLFSSSLSDLFSSKLRLSSNNVPYGHSVDTVASHFEEEEPFESLEEIEAQTIHDLLPSDDDLFSGMTNKLDNLNQSRVKDDMEELDFFSSVGGLDLGDENPAAKHDIEFPGGFSNGQPGFSNGSIVGEHPFGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTSCKHRGFVMISYYDIRAARNAMKALQDRQLRRRKLDIHYSIPKDNPSEKDINQGTLAVFNLDSSISNDELHQIFGVYGEIKEIRETPQRSNHKFIEFYDVRAAEAALHASNKSGIAGKPIKLEPSHTGVSRRLLEHDEYGLHAQQSSPPNNSAAGIPGALPHGAIISNGMDKGTILGLASATKVSSVPNSLSSLVRVESAGNQTGLAEHGHQRGQLQFDFQGTPHFIPHSLPEYHDGLTSVLHCKSPGSMASPKPHERIDNTQAHRTLVNGHSIELNDGVFGSTVNGSPSLPGHHYAWGNSYHPQSPGMTWPNSPSFVNGISMAHPTMRHHGPPRAPPPVLTTVLPVNNHQVGSAPTVNSSLWERRRAYAGESPETSGFHPGSLGSLSISNKLHSMELLSPTMFPHAAGNYMDLPIPPKSAGHQPHHQRSPIFPGRSQMIPIHSFDSSSPNERSRSRRNEGSINQADKKQYELDIDRIMQGEDNRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMIDPSQIISFYQVFNGKKWEKFNSEKVASLAYARIQGKPALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNGRTRPGKPKTITHEENQQGGLPNSANREDYLNGDASSGSGKESD >Manes.09G187900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37448351:37455812:1 gene:Manes.09G187900.v8.1 transcript:Manes.09G187900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETMSSPGLSSSSFFPGDSSFATERHTRFWKLDATPDLYSTGKSPPMSPLENLGVVGCQPAKSLELHQSFLMQDQKMNSSLMRHAVGTGTTLSQSSNLLRPVVHETRSSLNVHPASCFLEGSKFGMMATQYENSLFSSSLSDLFSSKLRLSSNNVPYGHSVDTVASHFEEEEPFESLEEIEAQTIHDLLPSDDDLFSGMTNKLDNLNQSRVKDDMEELDFFSSVGGLDLGDENPAAKHDIEFPGGFSNGQPGFSNGSIVGEHPFGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTSCKHRGFVMISYYDIRAARNAMKALQDRQLRRRKLDIHYSIPKDNPSEKDINQGTLAVFNLDSSISNDELHQIFGVYGEIKEIRETPQRSNHKFIEFYDVRAAEAALHASNKSGIAGKPIKLEPSHTGVSRRLLEHDEYGLHAQQSSPPNNSAAGIPGALPHGAIISNGMDKGTILGLASATKVSSVPNSLSSLVRVESAGNQTGLAEHGHQRGQLQFDFQGTPHFIPHSLPEYHDGLTSVLHCKSPGSMASPKPHERIDNTQAHRTLVNGHSIELNDGVFGSTVNGSPSLPGHHYAWGNSYHPQSPGMTWPNSPSFVNGISMAHPTMRHHGPPRAPPPVLTTVLPVNNHQVGSAPTVNSSLWERRRAYAGESPETSGFHPGSLGSLSISNKLHSMELLSPTMFPHAAGNYMDLPIPPKSAGHQPHHQRSPIFPGRSQMIPIHSFDSSSPNERSRSRRNEGSINQADKKQYELDIDRIMQGEDNRTTLMIKNIPNKYTSKMLLAAIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMIDPSQIISFYQVFNGKKWEKFNSEKVASLAYARIQGKPALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNGRTRPGKPKTITHEENQQGGLPNSANREDYLNGDASSGSGKESD >Manes.16G120700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32358996:32359677:1 gene:Manes.16G120700.v8.1 transcript:Manes.16G120700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRIEVVQARLTKMRSYTTGLTLSLFLLFLCFQFPATLGDVGTAKSYDPPYLPTKCNGYDQDQFPEGGYFAAASDGLWDNGAACGRKYRMRCISGPRRACNGGSIVVQVVDFCRDSPCSATLMLSNKAFDAVSRIPTAKINVEYIQ >Manes.16G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32358996:32359777:1 gene:Manes.16G120700.v8.1 transcript:Manes.16G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRIEVVQARLTKMRSYTTGLTLSLFLLFLCFQFPATLGDVGTAKSYDPPYLPTKCNGYDQDQFPEGGYFAAASDGLWDNGAACGRKYRMRCISGPRRACNGGSIVVQVVDFCRDSPCSATLMLSNKAFDAVSRIPTAKINVEYIQI >Manes.16G120700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32358996:32359777:1 gene:Manes.16G120700.v8.1 transcript:Manes.16G120700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRIEVVQARLTKMRSYTTGLTLSLFLLFLCFQFPATLGDVGTAKSYDPPYLLSCLFYCTNEATKCNGYDQDQFPEGGYFAAASDGLWDNGAACGRKYRMRCISGPRRACNGGSIVVQVVDFCRDSPCSATLMLSNKAFDAVSRIPTAKINVEYIQI >Manes.12G130000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33770889:33784725:-1 gene:Manes.12G130000.v8.1 transcript:Manes.12G130000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDAGDFTVTERLKTSIRANLVFYLIVGSIGLFGLVLLILMKKIRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKSLWKNADWTTRQKVLTHKIAKMAVKLDDAHQELSNAIVVAQATSNQMSKRDPLRPYMNVIDNMLSQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREGYCRYKSEYMTFVLEALELEDTIKNFEHGSSTRWKYISSFRPARTGKLGAFFDRMEFFWRCILRKQFEKLLAIILGTMSAAILLAEATLLPSRVKLSLFSILIKSMGKQELFVQVWALIPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLISLDAKTIFETRMGKIDDVLEIFGSGFNKIYPLIMVLYTLLVASNFFDHVIGFFGSWKIFSFQPEVDDTNGFDPSGLIILQKERTWLEQGQSVGEHVIPLARNFNSVDMESGSNNADKTAVEMKATNSLVTDAAEGSTSTPSKGGGRRYSTTKEAFGNKYGYWREQSRHANIRPVESNIASAKVSLLDGGNSESNDTPGGPSGLAIKWESMKNGFQRFKANIGAKRFLPLRHIQETKLVSRNSSFEPLDEIFQRLKQPSNDHGSNSDDDVNGLISGPGR >Manes.12G130000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33770889:33784725:-1 gene:Manes.12G130000.v8.1 transcript:Manes.12G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFSLISLPITLGMVILTLRYFAGPEVPRYVLFTVGYTWFCSLSIIILVPADIYTTKFYLDNGGISFFWSLAYWSTFLLTWAVVPLIQGFEDAGDFTVTERLKTSIRANLVFYLIVGSIGLFGLVLLILMKKIRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKSLWKNADWTTRQKVLTHKIAKMAVKLDDAHQELSNAIVVAQATSNQMSKRDPLRPYMNVIDNMLSQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREGYCRYKSEYMTFVLEALELEDTIKNFEHGSSTRWKYISSFRPARTGKLGAFFDRMEFFWRCILRKQFEKLLAIILGTMSAAILLAEATLLPSRVKLSLFSILIKSMGKQELFVQVWALIPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLISLDAKTIFETRMGKIDDVLEIFGSGFNKIYPLIMVLYTLLVASNFFDHVIGFFGSWKIFSFQPEVDDTNGFDPSGLIILQKERTWLEQGQSVGEHVIPLARNFNSVDMESGSNNADKTAVEMKATNSLVTDAAEGSTSTPSKGGGRRYSTTKEAFGNKYGYWREQSRHANIRPVESNIASAKVSLLDGGNSESNDTPGGPSGLAIKWESMKNGFQRFKANIGAKRFLPLRHIQETKLVSRNSSFEPLDEIFQRLKQPSNDHGSNSDDDVNGLISGPGR >Manes.12G130000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33770889:33784726:-1 gene:Manes.12G130000.v8.1 transcript:Manes.12G130000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSSFTYIMLSLSRAVVPLIQGFEDAGDFTVTERLKTSIRANLVFYLIVGSIGLFGLVLLILMKKIRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKSLWKNADWTTRQKVLTHKIAKMAVKLDDAHQELSNAIVVAQATSNQMSKRDPLRPYMNVIDNMLSQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREGYCRYKSEYMTFVLEALELEDTIKNFEHGSSTRWKYISSFRPARTGKLGAFFDRMEFFWRCILRKQFEKLLAIILGTMSAAILLAEATLLPSRVKLSLFSILIKSMGKQELFVQTSSVSLLMICSMVARYAPPISYNFLNLISLDAKTIFETRMGKIDDVLEIFGSGFNKIYPLIMVLYTLLVASNFFDHVIGFFGSWKIFSFQPEVDDTNGFDPSGLIILQKERTWLEQGQSVGEHVIPLARNFNSVDMESGSNNADKTAVEMKATNSLVTDAAEGSTSTPSKGGGRRYSTTKEAFGNKYGYWREQSRHANIRPVESNIASAKVSLLDGGNSESNDTPGGPSGLAIKWESMKNGFQRFKANIGAKRFLPLRHIQETKLVSRNSSFEPLDEIFQRLKQPSNDHGSNSDDDVNGLISGPGR >Manes.12G130000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33770889:33784726:-1 gene:Manes.12G130000.v8.1 transcript:Manes.12G130000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSSFTYIMLSLSRAVVPLIQGFEDAGDFTVTERLKTSIRANLVFYLIVGSIGLFGLVLLILMKKIRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKSLWKNADWTTRQKVLTHKIAKMAVKLDDAHQELSNAIVVAQATSNQMSKRDPLRPYMNVIDNMLSQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREGYCRYKSEYMTFVLEALELEDTIKNFEHGSSTRWKYISSFRPARTGKLGAFFDRMEFFWRCILRKQFEKLLAIILGTMSAAILLAEATLLPSRVKLSLFSILIKSMGKQELFVQVWALIPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLISLDAKTIFETRMGKIDDVLEIFGSGFNKIYPLIMVLYTLLVASNFFDHVIGFFGSWKIFSFQPEVDDTNGFDPSGLIILQKERTWLEQGQSVGEHVIPLARNFNSVDMESGSNNADKTAVEMKATNSLVTDAAEGSTSTPSKGGGRRYSTTKEAFGNKYGYWREQSRHANIRPVESNIASAKVSLLDGGNSESNDTPGGPSGLAIKWESMKNGFQRFKANIGAKRFLPLRHIQETKLVSRNSSFEPLDEIFQRLKQPSNDHGSNSDDDVNGLISGPGR >Manes.12G130000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33770889:33784725:-1 gene:Manes.12G130000.v8.1 transcript:Manes.12G130000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDAGDFTVTERLKTSIRANLVFYLIVGSIGLFGLVLLILMKKIRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKSLWKNADWTTRQKVLTHKIAKMAVKLDDAHQELSNAIVVAQATSNQMSKRDPLRPYMNVIDNMLSQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREGYCRYKSEYMTFVLEALELEDTIKNFEHGSSTRWKYISSFRPARTGKLGAFFDRMEFFWRCILRKQFEKLLAIILGTMSAAILLAEATLLPSRVKLSLFSILIKSMGKQELFVQTSSVSLLMICSMVARYAPPISYNFLNLISLDAKTIFETRMGKIDDVLEIFGSGFNKIYPLIMVLYTLLVASNFFDHVIGFFGSWKIFSFQPEVDDTNGFDPSGLIILQKERTWLEQGQSVGEHVIPLARNFNSVDMESGSNNADKTAVEMKATNSLVTDAAEGSTSTPSKGGGRRYSTTKEAFGNKYGYWREQSRHANIRPVESNIASAKVSLLDGGNSESNDTPGGPSGLAIKWESMKNGFQRFKANIGAKRFLPLRHIQETKLVSRNSSFEPLDEIFQRLKQPSNDHGSNSDDDVNGLISGPGR >Manes.12G130000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33770889:33784725:-1 gene:Manes.12G130000.v8.1 transcript:Manes.12G130000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFSLISLPITLGMVILTLRYFAGPEVPRYVLFTVGYTWFCSLSIIILVPADIYTTKFYLDNGGISFFWSLAYWSTFLLTWAVVPLIQGFEDAGDFTVTERLKTSIRANLVFYLIVGSIGLFGLVLLILMKKIRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKSLWKNADWTTRQKVLTHKIAKMAVKLDDAHQELSNAIVVAQATSNQMSKRDPLRPYMNVIDNMLSQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRGAREGYCRYKSEYMTFVLEALELEDTIKNFEHGSSTRWKYISSFRPARTGKLGAFFDRMEFFWRCILRKQFEKLLAIILGTMSAAILLAEATLLPSRVKLSLFSILIKSMGKQELFVQTSSVSLLMICSMVARYAPPISYNFLNLISLDAKTIFETRMGKIDDVLEIFGSGFNKIYPLIMVLYTLLVASNFFDHVIGFFGSWKIFSFQPEVDDTNGFDPSGLIILQKERTWLEQGQSVGEHVIPLARNFNSVDMESGSNNADKTAVEMKATNSLVTDAAEGSTSTPSKGGGRRYSTTKEAFGNKYGYWREQSRHANIRPVESNIASAKVSLLDGGNSESNDTPGGPSGLAIKWESMKNGFQRFKANIGAKRFLPLRHIQETKLVSRNSSFEPLDEIFQRLKQPSNDHGSNSDDDVNGLISGPGR >Manes.02G012500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1238094:1242036:1 gene:Manes.02G012500.v8.1 transcript:Manes.02G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKTGDSAVSTIVNLAEEAKLAREGVKAPSYALQSICKSLIAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWKTEGFRGMFKGNGTNCARIIPNSAVKFFSYEEASKRILIFYRKQTGNDDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTEKSPRQYRGIFHALSTVLKEEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDFLLKSKPFGLVQDNELGVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKSKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYELVKDVLGVEVRISD >Manes.16G028100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3117773:3119762:1 gene:Manes.16G028100.v8.1 transcript:Manes.16G028100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGGDGKFRVTVTNKEVVAAEPPLQEHRLPLSNLDLLLPPVDVSVFFCYKGDNHMNFGSRVSVLKRAMAQVLRCYYAFAGEVVANFVGEPEILCNNRGVDLFEGFADIDLKNLNLYNPDQSVEGKLLPKKKDGVLAVQAMKLKCGSVVVACTFDHRVADFYSANMFLVSWAKMAQSGEFFLLPSFKRSVLNPRRPACFDPSLANMYVPISKLNLKEPQLDFHHLISRIYYIKADQLDKLQSLASSNGCKKTKLVSFSAFLWQLIAKSAKKNDSTGMVKISKMGIVVDGRTRLTDKATMAAYFGNVLSIPYGSKRVDELIENPLSWIADEVHDFVKSAAAKDHFLGLIDFVEVHRPEPVLAKMYSSGSDDGPAFVVSSGQRFPVSGIDFGWGSPAFGSYHFPWGGKAGYVMPMPSPVRNGDWIVYMHLLKEQMELIETEATDFFKPLTWDYLKLLSTD >Manes.16G028100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3105664:3120155:1 gene:Manes.16G028100.v8.1 transcript:Manes.16G028100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFKFWSSTTPSPVRLWRTLQESLRSYATIGAWTSLRVLQILILKISTCIILTTALKVNSCPRRRMVYLLSRVSVLKRAMAQVLRCYYAFAGEVVANFVGEPEILCNNRGVDLFEGFADIDLKNLNLYNPDQSVEGKLLPKKKDGVLAVQAMKLKCGSVVVACTFDHRVADFYSANMFLVSWAKMAQSGEFFLLPSFKRSVLNPRRPACFDPSLANMYVPISKLNLKEPQLDFHHLISRIYYIKADQLDKLQSLASSNGCKKTKLVSFSAFLWQLIAKSAKKNDSTGMVKISKMGIVVDGRTRLTDKATMAAYFGNVLSIPYGSKRVDELIENPLSWIADEVHDFVKSAAAKDHFLGLIDFVEVHRPEPVLAKMYSSGSDDGPAFVVSSGQRFPVSGIDFGWGSPAFGSYHFPWGGKAGYVMPMPSPVRNGDWIVYMHLLKEQMELIETEATDFFKPLTWDYLKLLSTD >Manes.16G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3105664:3120155:1 gene:Manes.16G028100.v8.1 transcript:Manes.16G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGGDGKFRVTVTNKEVVAAEPPLQEHRLPLSNLDLLLPPVDVSVFFCYKGDNHMNFGSRVSVLKRAMAQVLRCYYAFAGEVVANFVGEPEILCNNRGVDLFEGFADIDLKNLNLYNPDQSVEGKLLPKKKDGVLAVQAMKLKCGSVVVACTFDHRVADFYSANMFLVSWAKMAQSGEFFLLPSFKRSVLNPRRPACFDPSLANMYVPISKLNLKEPQLDFHHLISRIYYIKADQLDKLQSLASSNGCKKTKLVSFSAFLWQLIAKSAKKNDSTGMVKISKMGIVVDGRTRLTDKATMAAYFGNVLSIPYGSKRVDELIENPLSWIADEVHDFVKSAAAKDHFLGLIDFVEVHRPEPVLAKMYSSGSDDGPAFVVSSGQRFPVSGIDFGWGSPAFGSYHFPWGGKAGYVMPMPSPVRNGDWIVYMHLLKEQMELIETEATDFFKPLTWDYLKLLSTD >Manes.16G028100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3105664:3120155:1 gene:Manes.16G028100.v8.1 transcript:Manes.16G028100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEGEDSTFAVTITKKEVVAAAELPPQKHRLPLSNLDLLLPPTEVSVFFCYKGNNNHMSFGSMVSVLKNALVQVLVFYYAFAGEVVANFAGEPEILCNNRGVDFFEGFADIDLKDLNLYNPDHSIEGKLVPKKKDGVLAVQAMKLKCGSVVVACTFDHRVADFYSANMFLVSWAKMAQSGEFFLLPSFKRSVLNPRRPACFDPSLANMYVPISKLNLKEPQLDFHHLISRIYYIKADQLDKLQSLASSNGCKKTKLVSFSAFLWQLIAKSAKKNDSTGMVKISKMGIVVDGRTRLTDKATMAAYFGNVLSIPYGSKRVDELIENPLSWIADEVHDFVKSAAAKDHFLGLIDFVEVHRPEPVLAKMYSSGSDDGPAFVVSSGQRFPVSGIDFGWGSPAFGSYHFPWGGKAGYVMPMPSPVRNGDWIVYMHLLKEQMELIETEATDFFKPLTWDYLKLLSTD >Manes.16G028100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3105664:3120155:1 gene:Manes.16G028100.v8.1 transcript:Manes.16G028100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEGEDSTFAVTITKKEVVAAAELPPQKHRLPLSNLDLLLPPTEVVANFAGEPEILCNNRGVDFFEGFADIDLKDLNLYNPDHSIEGKLVPKKKDGVLAVQAMKLKCGSVVVACTFDHRVADFYSANMFLVSWAKMAQSGEFFLLPSFKRSVLNPRRPACFDPSLANMYVPISKLNLKEPQLDFHHLISRIYYIKADQLDKLQSLASSNGCKKTKLVSFSAFLWQLIAKSAKKNDSTGMVKISKMGIVVDGRTRLTDKATMAAYFGNVLSIPYGSKRVDELIENPLSWIADEVHDFVKSAAAKDHFLGLIDFVEVHRPEPVLAKMYSSGSDDGPAFVVSSGQRFPVSGIDFGWGSPAFGSYHFPWGGKAGYVMPMPSPVRNGDWIVYMHLLKEQMELIETEATDFFKPLTWDYLKLLSTD >Manes.16G028100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3105664:3120155:1 gene:Manes.16G028100.v8.1 transcript:Manes.16G028100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLLSRVSVLKRAMAQVLRCYYAFAGEVVANFVGEPEILCNNRGVDLFEGFADIDLKNLNLYNPDQSVEGKLLPKKKDGVLAVQAMKLKCGSVVVACTFDHRVADFYSANMFLVSWAKMAQSGEFFLLPSFKRSVLNPRRPACFDPSLANMYVPISKLNLKEPQLDFHHLISRIYYIKADQLDKLQSLASSNGCKKTKLVSFSAFLWQLIAKSAKKNDSTGMVKISKMGIVVDGRTRLTDKATMAAYFGNVLSIPYGSKRVDELIENPLSWIADEVHDFVKSAAAKDHFLGLIDFVEVHRPEPVLAKMYSSGSDDGPAFVVSSGQRFPVSGIDFGWGSPAFGSYHFPWGGKAGYVMPMPSPVRNGDWIVYMHLLKEQMELIETEATDFFKPLTWDYLKLLSTD >Manes.04G003000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:573802:576194:-1 gene:Manes.04G003000.v8.1 transcript:Manes.04G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSLHHIFENPLPETPALLESLSSWNQIKPVKSIDQSSFTEIFGELHFKENSHSSSSSPSFPVSSFSSPSSSFIDLIPHPPTSNLNKNGNLGNGHESKNSPSLDFFSTTSKNHQYTGGHKNGDIFSPRNYESLQLCTEGLGFESFDDVEDMKNDINGNWQHQEENVNITRHSTLENPSGEIRRPRLSGRAFPPPISCIGKSGKPWVSFKSYRQDGRFVLKQVRIPSQEFLHAQREDGRLKLHFVQPSDEIFEEDEADDDKEIEEEENCTEDEEQEELEETGNKSEEDDDG >Manes.04G003000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:574823:576010:-1 gene:Manes.04G003000.v8.1 transcript:Manes.04G003000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSLHHIFENPLPETPALLESLSSWNQIKPVKSIDQSSFTEIFDLIPHPPTSNLNKNGNLGNGHESKNSPSLDFFSTTSKNHQYTGGHKNGDIFSPRNYESLQLCTEGLGFESFDDVEDMKNDINGNWQHQEENVNITRHSTLENPSGEIRRPRLSGRAFPPPISCIGKSGKPWVSFKSYRQDGRFVLKQVRIPSQEFLHAQREDGRLKLHFVQPSDEIFEEDEADDDKEIEEEENCTEDEEQEELEETGNKSEEDDDG >Manes.02G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11648010:11650936:-1 gene:Manes.02G152000.v8.1 transcript:Manes.02G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCFSFSEAMNWYHRSTFVKLGLRSTITDLNDGTVMHCWVPDAPAATKPNLLLIHGLGSNALWQWGDVIAHFTPCFNVYVPDLVFFGESFTSRPERTESFQAQCVMRVMEAHSVEKFSLIGLSYGGFVGYSIAAQYRDAVERVVVCCSGICMEEKDIREGLFPLSDLEEAASILVPLKPDKLRKLVDYTFFRPPPVRLIPDCALIDFIDSMCRDYVEEKKELIRAIPKDRKLSDIPKITQPVLIIWGENDRIFPLELGHRLKRHLGNNACLAVIKNASHACNVEKPKEFVKVLKSFLMDRQLPPATTRLQIFGTCDLTQERDDKGRGP >Manes.02G152000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11648010:11650936:-1 gene:Manes.02G152000.v8.1 transcript:Manes.02G152000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCFSFSEAMNWYHRSTFVKLGLRSTITDLNDGTVMHCWVPDAPAATKPNLLLIHGLGSNALWQWGDVIAHFTPCFNVYVPDLVFFGESFTSRPERTESFQAQCVMRVMEAHSVEKFSLIGLSYGGFVGYSIAAQYRDAVERVVVCCSGICMEEKDIREGLFPLSDLEEAASILVPLKPDKLRKLVDYTFFRPPPVRLIPDCALIDFIDSMCRDYVEEKKELIRAIPKDRKLSDIPKITQVVPILLNFSIDSRGKVSSDELINCFHLSCSQC >Manes.02G152000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11648010:11650936:-1 gene:Manes.02G152000.v8.1 transcript:Manes.02G152000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCFSFSEAMNWYHRSTFVKLGLRSTITDLNDGTVMHCWVPDAPAATKPNLLLIHGLGSNALWQWGDVIAHFTPCFNVYVPDLVFFGESFTSRPERTESFQAQCVMRVMEAHSVEKFSLIGLSYGGFVGYSIAAQYRDAVERVVVCCSGICMEEKDIREGLFPLSDLEEAASILVPLKPDKLRKLVDYTFFRPPPVRLIPDCALIDFIDSMCRDYVEEKKELIRAIPKDRKLSDIPKITQPVLIIWGENDRIFPLELGHRLKSHQECIARL >Manes.09G077600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18686851:18688248:-1 gene:Manes.09G077600.v8.1 transcript:Manes.09G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSVFDITKFGAAPDGKADASQAIADAWKEACAAAGSSKILIPAEKFLAACRGDGLFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKNCKKHPKNIRFNFITKGLVRDITSLNSKYFNVNILGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPISGITIFDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKETFATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.06G028700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6849660:6871677:-1 gene:Manes.06G028700.v8.1 transcript:Manes.06G028700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTSTGASSARIVPARSSMIESIRGCGLSGVRIDKESLKRKLLIPHYLRHAIRESIMSKDPAGVVERYLNNGELKAREEAPEGPMVVFINSRSGGRHGPELKQRLQQLMGEEQVFDLSAVKPHEFVEYGLVCLEKLAALGDICARDTRERMRIMVAGGDGTVGWVLGCLSELNRQGREPVPPVGIIPLGTGNDLARSFGWGGSFPFAWKSAVKRSLQKAITGPVCRLDSWHLLVSMPSGEVVDTPHSLKHTEECSLDQGLEVEGMLPEKANCYEGVFYNYFSLGMDAQIAYGFHHLRNEKPYLAQGPISNKLIYSGYSCSQGWFLTPCISDPSLRGLKNILRMHVKKVNCSEWEQIPIPKSVRAIVALNLHNYGSGRNPWGKPRPEYLEKRGFVEAHVDDGLLEIFGLKQGWHASFVMVELISAKHIAQAAAIRLEIRGGEWKDAFMQMDGEPWKQRISREYSSFVEIKRVPNHSLMINGE >Manes.06G028700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6857206:6871683:-1 gene:Manes.06G028700.v8.1 transcript:Manes.06G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTSTGASSARIVPARSSMIESIRGCGLSGVRIDKESLKRKLLIPHYLRHAIRESIMSKDPAGVVERYLNNGELKAREEAPEGPMVVFINSRSGGRHGPELKQRLQQLMGEEQVFDLSAVKPHEFVEYGLVCLEKLAALGDICARDTRERMRIMVAGGDGTVGWVLGCLSELNRQGREPVPPVGIIPLGTGNDLARSFGWGGSFPFAWKSAVKRSLQKAITGPVCRLDSWHLLVSMPSGEVVDTPHSLKHTEECSLDQGLEVEGMLPEKANCYEGVFYNYFSLGMDAQIAYGFHHLRNEKPYLAQGPISNKLIYSGYSCSQGWFLTPCISDPSLRGLKNILRMHVKKVNCSEWEQIPIPKSVRAIVALNLHNYGSGRNPWGKPRPEYLEKRGFVEAHVDDGLLEIFGLKQGWHASFVMVELISAKHIAQAAAIRLEIRGGEWKDAFMQMDGEPWKQRISREYSSFVEIKRVPNHSLMINGE >Manes.08G098200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33069867:33076058:1 gene:Manes.08G098200.v8.1 transcript:Manes.08G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAIKERELDLRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENMPYLTRLGTLLINNNRITRINPNIGEFLPKLHTLVLTNNRLVNLVEIDPLASLPKLQFLSLLDNNITKKPNYRLYVIHKLKSLRVLDFKKVKSKERAEAANLFASKEVEEEAKKESAKTFTPAEVPNVVDVAEDQQNPKVVAPTPEQIIAIKAAIVNSQTLEEVARLEKALSSGQVPVDLKILGNDTESDLVKEKDDKMATDSHDETDAEPKSLEEQKDDESEPMDQV >Manes.13G145882.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36158805:36165787:-1 gene:Manes.13G145882.v8.1 transcript:Manes.13G145882.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKDSRTNSPKERQSRKGSLDKKTNGSNVLYDDQIEKKQIENQIERKNVENCEVAVISHPQIEINKTEKRDVSVCSHPGWGRVPKSLEAEQIAVGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPTSHKRVSTLKDSNFSGIYKIIHPFLISTSGILQGPNSTTRESAETRYTS >Manes.02G054500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4337515:4348566:-1 gene:Manes.02G054500.v8.1 transcript:Manes.02G054500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILPCCHLVPSASFDKNVSIFCLRKNPLIFPSACRRKRRFSYNSNWQFKPRTTFLSSTDDYNDTFTSGVNSGDGLSSKGDEENEVMAAKKALSEARAKQEAMEKERNELLEKLARYEVKQQENEATILHDKELAIAELEATKSHFHQKLQETVEENFTLESKLVLAKQDAVELAVQVEKLAEIAIKQATSRILEDAQMRVLAAETSAADAALQIEEQIRNATEGTIMSVVEQSKDAIQRALGMAENAGDYATKAVAIFSDGFSPVDEIASIKSENISLKGIVNDLESQLLIMRSEVDKFKVELEHVHAKANASELRAHDAEKALLEFQESNKKTIFQREEDISSFLEKIKKDAAEKKKAASKAFKVELESIKAAIEAAKETAHSRENVYMRRCESLQRSIRASEEALKTWRHRAEMAESLFLQDDKDEDAIFVVNGGRIDLLTDDDSLKWKLLSDGPRRELPQRMARRIRTIRPKFPPRKIDVSEALTSKLKHLDLPKLDEVWSIAQEKPKEGDTLIEHVIEKETIEKKRKALEQALKQKTIQWQRTPEHTKLEPGTGTGREIVFQAFNWESWRREWYVELAPKAADLSQCGVTSVWLPPPTESVAPQGYMPSDLYNLNSAYGSVEELKHCIEEMHAHNILALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPTFQGRGNPSSGDVFHAAPNIDHSQEFVRRDIKEWLKWLKSEIRFDGWRLDFVRGFSGTYVKEYIEASSPAFAIGEYWDSLGYEHGSLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNEYWRLIDPSGKPTGVMGWWPSRAVTFLENHDTGSTQGHWPFPRNKLGQGYAYILTHPGTPVIFYDHFYDFGIRDIISELVEARRQAGIHCRSSVKIYHANNEGYVARIGDTLVMKLGHFDWNPSKENNLDGSWQKFLDKGCDYQIWLRQ >Manes.09G051400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8602627:8604318:1 gene:Manes.09G051400.v8.1 transcript:Manes.09G051400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINLFSTDLPHTLRWTQHLRRHHQLSIMDPNTHPNSSWPSHRYSQYHHRHHLLFSPHSPSFNFSLNRDDNEEQDPEAKQPHHRQPELEKEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLGGDSADKGLFLSFEDESGKLWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDVVSFERHRTDDGERLFIGWRRRGDNSSSVVVAQGNNGGLWSRGSYSSSSGYQPYQHGHRVNVIAGGVPFQHAGSGVQNSKKVRLFGVNLECQVEESEPSTPDGSSLSSQGPTQQQLYSFYSSTSSSSNSSHSQMVSYM >Manes.09G046600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8137065:8140911:1 gene:Manes.09G046600.v8.1 transcript:Manes.09G046600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPEQNKMVTGYPAFTSHVATGYPAAATTTTSNAYAFRTRVPISSVYGPLEPTSYSHPVTSTLRHRVFFFFIITLLIMAFLFLTTYLVFKPRLPVFRVDSATVSQLNLTQSEITATWLFTLFVNNLNQKVGIHYDRLQASVFYGDELGIAMNQLAPFFQNGNNATTIKFQLNVVREYVGEDVVQEISNEMNRGSVDFVLRIFAWVRFRSGFWRMREHMLRVDCNPVRIGFLGINGTGNFMGQSKNCEVYLY >Manes.09G046600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8137065:8140990:1 gene:Manes.09G046600.v8.1 transcript:Manes.09G046600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPEQNKMVTGYPAFTSHVATGYPAAATTTTSNAYAFRTRVPISSVYGPLEPTSYSHPVTSTLRHRVFFFFIITLLIMAFLFLTTYLVFKPRLPVFRVDSATVSQLNLTQSEITATWLFTLFVNNLNQKVGIHYDRLQASVFYGDELGIAMNQLAPFFQNGNNATTIKFQLNVVREYVGEDVVQEISNEMNRGSVDFVLRIFAWVRFRSGFWRMREHMLRVDCNPVRIGFLGINGTGNFMGQSKNCEVYLY >Manes.09G046600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8137091:8140911:1 gene:Manes.09G046600.v8.1 transcript:Manes.09G046600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPEQNKMVTGYPAFTSHVATGYPAAATTTTSNAYAFRTRVPISSVYGPLEPTSYSHPVTSTLRHRVFFFFIITLLIMAFLFLTTYLVFKPRLPVFRVDSATVSQLNLTQSEITATWLFTLFVNNLNQKVGIHYDRLQASVFYGDELGIAMNQLAPFFQNGNNATTIKFQLNVVREYVGEDVVQEISNEMNRGSVDFVLRIFAWVRFRSGFWRMREHMLRVDCNPVRIGFLGINGTGNFMGQSKNCEVYLY >Manes.09G046600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8137064:8140911:1 gene:Manes.09G046600.v8.1 transcript:Manes.09G046600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPEQNKMVTGYPAFTSHVATGYPAAATTTTSNAYAFRTRVPISSVYGPLEPTSYSHPVTSTLRHRVFFFFIITLLIMAFLFLTTYLVFKPRLPVFRVDSATVSQLNLTQSEITATWLFTLFVNNLNQKVGIHYDRLQASVFYGDELGIAMNQLAPFFQNGNNATTIKFQLNVVREYVGEDVVQEISNEMNRGSVDFVLRIFAWVRFRSGFWRMREHMLRVDCNPVRIGFLGINGTGNFMGQSKNCEVYLY >Manes.09G046600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8137091:8140911:1 gene:Manes.09G046600.v8.1 transcript:Manes.09G046600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPEQNKMVTGYPAFTSHVATGYPAAATTTTSNAYAFRTRVPISSVYGPLEPTSYSHPVTSTLRHRVFFFFIITLLIMAFLFLTTYLVFKPRLPVFRVDSATVSQLNLTQSEITATWLFTLFVNNLNQKVGIHYDRLQASVFYGDELGIAMNQLAPFFQNGNNATTIKFQLNVVREYVGEDVVQEISNEMNRGSVDFVLRIFAWVRFRSGFWRMREHMLRVDCNPVRIGFLGINGTGNFMGQSKNCEVYLY >Manes.09G046600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8137064:8140911:1 gene:Manes.09G046600.v8.1 transcript:Manes.09G046600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPEQNKMVTGYPAFTSHVATGYPAAATTTTSNAYAFRTRVPISSVYGPLEPTSYSHPVTSTLRHRVFFFFIITLLIMAFLFLTTYLVFKPRLPVFRVDSATVSQLNLTQSEITATWLFTLFVNNLNQKVGIHYDRLQASVFYGDELGIAMNQLAPFFQNGNNATTIKFQLNVVREYVGEDVVQEISNEMNRGSVDFVLRIFAWVRFRSGFWRMREHMLRVDCNPVRIGFLGINGTGNFMGQSKNCEVYLY >Manes.09G046600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8137096:8140911:1 gene:Manes.09G046600.v8.1 transcript:Manes.09G046600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPEQNKMVTGYPAFTSHVATGYPAAATTTTSNAYAFRTRVPISSVYGPLEPTSYSHPVTSTLRHRVFFFFIITLLIMAFLFLTTYLVFKPRLPVFRVDSATVSQLNLTQSEITATWLFTLFVNNLNQKVGIHYDRLQASVFYGDELGIAMNQLAPFFQNGNNATTIKFQLNVVREYVGEDVVQEISNEMNRGSVDFVLRIFAWVRFRSGFWRMREHMLRVDCNPVRIGFLGINGTGNFMGQSKNCEVYLY >Manes.09G046600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8137096:8140911:1 gene:Manes.09G046600.v8.1 transcript:Manes.09G046600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEPEQNKMVTGYPAFTSHVATGYPAAATTTTSNAYAFRTRVPISSVYGPLEPTSYSHPVTSTLRHRVFFFFIITLLIMAFLFLTTYLVFKPRLPVFRVDSATVSQLNLTQSEITATWLFTLFVNNLNQKVGIHYDRLQASVFYGDELGIAMNQLAPFFQNGNNATTIKFQLNVVREYVGEDVVQEISNEMNRGSVDFVLRIFAWVRFRSGFWRMREHMLRVDCNPVRIGFLGINGTGNFMGQSKNCEVYLY >Manes.15G092900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7238728:7249193:1 gene:Manes.15G092900.v8.1 transcript:Manes.15G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKFFHSRLSSPSFASRRLLFLMLVCLFIGISGFIFGVTGPSGYRCSQSITDPRTVRVIWDTKSNANTRNDGSVLNSQNKRHKVMGFVGIQTGFASDGRRHSLRKTWMPSDRQGLQRLEESTGLAFRFVIGRTSDKSKMAKLKKEVAEYDDFLLLDIEEQYSKLPYKTIAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERAHSQTYLGCMKKGPVFTDPKLKWYEPLSTLLGKEYFLHAYGPIYALSADVVASLVALRNDSFRMFSNEDVTIGAWMLAMNVNHEDNRALCEPDCTPSSVAVWDIPKCSGLCNPEAKLLELHQKDSCSNSPTMESDD >Manes.06G164100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29085907:29089761:-1 gene:Manes.06G164100.v8.1 transcript:Manes.06G164100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRQRLVDQVPSFYGTSPGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRHDYYKAIANEVSDARGGSPRRNAGRTKSLDEGKDPAEYTGVLRLRGLPFSAGKDDVMEFFKDFVLSEDSIHITMNSEGRPTGEAFVDFASAEDSKAAMTKDRMTLGSRYIELFPSSVEELEEAVTRGR >Manes.06G164100.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29085907:29089761:-1 gene:Manes.06G164100.v8.1 transcript:Manes.06G164100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRQRLVDQVPSFYGTSPGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRHDYYKAIANEVSDARGGSPRRNAGRTKSLDEGKDPAEYTGVLRLRGLPFSAGKDDVMEFFKDFVLSEDSIHITMNSEGRPTGEAFVDFASAEDSKAAMTKDRMTLGSRYIELFPSSVEELEEAVTRGR >Manes.06G164100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29085907:29089761:-1 gene:Manes.06G164100.v8.1 transcript:Manes.06G164100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRQRLVDQVPSFYGTSPGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRHDYYKAIANEVSDARGGSPRRNAGRTKSLDEGKDPAEYTGVLRLRGLPFSAGKDDVMEFFKDFVLSEDSIHITMNSEGRPTGEAFVDFASAEDSKAAMTKDRMTLGSRYIELFPSSVEELEEAVTRGR >Manes.06G164100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29085907:29089761:-1 gene:Manes.06G164100.v8.1 transcript:Manes.06G164100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRQRLVDQVPSFYGTSPGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRHDYYKAIANEVSDARGGSPRRNAGRTKSLDEGKDPAEYTGVLRLRGLPFSAGKDDVMEFFKDFVLSEDSIHITMNSEGRPTGEAFVDFASAEDSKAAMTKDRMTLGSRYIELFPSSVEELEEAVTRGR >Manes.06G164100.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29085907:29089761:-1 gene:Manes.06G164100.v8.1 transcript:Manes.06G164100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRQRLVDQVPSFYGTSPGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRHDYYKAIANEVSDARGGSPRRNAGRTKSLDEGKDPAEYTGVLRLRGLPFSAGKDDVMEFFKDFVLSEDSIHITMNSEGRPTGEAFVDFASAEDSKAAMTKDRMTLGSRYIELFPSSVEELEEAVTRGR >Manes.06G164100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29085907:29089761:-1 gene:Manes.06G164100.v8.1 transcript:Manes.06G164100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGYPDGEDREMGAKRQRLVDQVPSFYGTSPGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRHDYYKAIANEVSDARGGSPRRNAGRTKSLDEGKDPAEYTGVLRLRGLPFSAGKDDVMEFFKDFVLSEDSIHITMNSEGRPTGEAFVDFASAEDSKAAMTKDRMTLGSRYIELFPSSVEELEEAVTRGR >Manes.06G164100.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29085907:29089761:-1 gene:Manes.06G164100.v8.1 transcript:Manes.06G164100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRQRLVDQVPSFYGTSPGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRHDYYKAIANEVSDARGGSPRRNAGRTKSLDEGKDPAEYTGVLRLRGLPFSAGKDDVMEFFKDFVLSEDSIHITMNSEGRPTGEAFVDFASAEDSKAAMTKDRMTLGSRYIELFPSSVEELEEAVTRGR >Manes.06G164100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29085907:29089761:-1 gene:Manes.06G164100.v8.1 transcript:Manes.06G164100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGRYPDGEDREMGAKRQRLVDQVPSFYGTSPGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRHDYYKAIANEVSDARGGSPRRNAGRTKSLDEGKDPAEYTGVLRLRGLPFSAGKDDVMEFFKDFVLSEDSIHITMNSEGRPTGEAFVDFASAEDSKAAMTKDRMTLGSRYIELFPSSVEELEEAVTRGR >Manes.06G157800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28345751:28346901:1 gene:Manes.06G157800.v8.1 transcript:Manes.06G157800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASARESRMYGPRLSRNRAEYINAGLYVFATIVLIGGFAAEFSMEPRSGLVLVFIALAIIMFVNLHDLVAHLAGIDYRLTLMGYDVQLALVEFAVPVVQAFGALLLFLGFFFLFLQEEKGYGYFKLERHALNMLIAGPALWVLGSIHNSCQIYERADGHVQILQGSVHIPFLMGSLLFFVGAMLNRQEQAGSDHHGVLLLGRTLVWMGIFGSVLLFTGGLTNVVKVFKMQQIDGLRLEKLRGGAQERLMQQREGQLPLIAEEQRRRQIVIEETKAAAVPVPTPYKDVLIGR >Manes.01G150600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33926621:33929174:-1 gene:Manes.01G150600.v8.1 transcript:Manes.01G150600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYPYPSRFYMPSLSSFGDFVEKVKDICNFAVSAIIGNFFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGIGCLLYLMGAVETSFEEVQNIFDTGGAKGLPDASVEKIPKIKITSNNNMDASGERVACSVCLQDFQIGEMVRSLPHCHHMFHLPCIDKWLLGHASCPLCRRDL >Manes.01G150600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33926621:33929174:-1 gene:Manes.01G150600.v8.1 transcript:Manes.01G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYPYPSRFYMPSLSSFGDFVEKVKDICNFAVSAIIGNFFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETSFEEVQNIFDTGGAKGLPDASVEKIPKIKITSNNNMDASGERVACSVCLQDFQIGEMVRSLPHCHHMFHLPCIDKWLLGHASCPLCRRDL >Manes.01G030400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6070123:6074755:1 gene:Manes.01G030400.v8.1 transcript:Manes.01G030400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLSLSTITVSRNCGVYGSIPSWRRHFNLTRKTSRAFGNDKQIHLHQFVPSLFVTGNHNVLRGRNLKVEAGWLFNKGGNQESDASCERSENANEDILIFFFQLDLATRVQYALNMEQYDIAQQLRNKLTEVEEEVIRQQEAKRGSSSKSEAQDKAISIIRLRADLQNAIENENYAAAAQLRDQISKLEAESLAASAKALAFESAQYAFRLGQKLTHKKFGYRAVVCGMDPTCSESSSWMETAQVEKLSQGSNQPFYQVLVDVHADPNLLVAYVAEENLVAPEKPDTIQQETLSQLSSFVKSTTGLVMKCQLIHQMRTAKASFKQ >Manes.01G030400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6070123:6074755:1 gene:Manes.01G030400.v8.1 transcript:Manes.01G030400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLSLSTITVSRNCGVYGSIPSWRRHFNLTRKTSRAFGNDKQIHLHQFVPSLFVTGNHNVLRGRNLKVEAGWLFNKGGNQESDASCERSENANEDILIFFFQLDLATRVQYALNMEQYDIAQQLRNKLTEVEEEVIRQQEAKRGSSSKSEAQDKAISIIRLRADLQNAIENENYAAAAQLRDQISKLEAESLAASAKALAFESAQYAFRLGQKLTHKKFGYRAVVCGMDPTCSESSSWMETAQVEKLSQGSNQPFYQVLVDVHADPNLLVAYVAEENLVAPEKPDTIQQETLSQLSSFVKSTTGLVMKCQLIHQMRTAKASFKQ >Manes.01G030400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6070123:6074755:1 gene:Manes.01G030400.v8.1 transcript:Manes.01G030400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLSLSTITVSRNCGVYGSIPSWRRHFNLTRKTSRAFGNDKQIHLHQFVPSLFVTGNHNVLRGRNLKVEAGWLFNKGGNQESDASCERSENANEDILIFFFQLDLATRVQYALNMEQYDIAQQLRNKLTEVEEEVIRQQEAKRGSSSKSEAQDKAISIIRLRADLQNAIENENYAAAAQLRDQISKLEAESLAASAKALAFESAQYAFRLGQKLTHKKFGYRAVVCGMDPTCSESSSWMETAQVEKLSQGSNQPFYQVLVDVHADPNLLVAYVAEENLVAPEKPDTGRFDHPYVSFLFYGADTAGDFIPIKQLREKYNRPRHEVPTDSPDEDS >Manes.01G030400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6070123:6074755:1 gene:Manes.01G030400.v8.1 transcript:Manes.01G030400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLSLSTITVSRNCGVYGSIPSWRRHFNLTRKTSRAFGNDKQIHLHQFVPSLFVTGNHNVLRGRNLKVEAGWLFNKGGNQESDASCERSENANEDILIFFFQLDLATRVQYALNMEQYDIAQQLRNKLTEVEEEVIRQQEAKRGSSSKSEAQDKAISIIRLRADLQNAIENENYAAAAQLRDQISKLEAESLAASAKALAFESAQYAFRLGQKLTHKKFGYRAVVCGMDPTCSESSSWMETAQVEKLSQGSNQPFYQVLVDVHADPNLLVAYVAEENLVAPEKPDTGRFDHPYVSFLFYGADTAGDFIPIKQLREKYNRPRHEVPTDSPDEDS >Manes.01G030400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6070123:6074755:1 gene:Manes.01G030400.v8.1 transcript:Manes.01G030400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLSLSTITVSRNCGVYGSIPSWRRHFNLTRKTSRAFGNDKQIHLHQFVPSLFVTGNHNVLRGRNLKVEAGWLFNKGGNQESDASCERSENANEDILIFFFQLDLATRVQYALNMEQYDIAQQLRNKLTEVEEEVIRQQEAKRGSSSKSEAQDKAISIIRLRADLQNAIENENYAAAAQLRDQISKLEAESLAASAKALAFESAQYAFRLGQKLTHKKFGYRAVVCGMDPTCSESSSWMETAQVEKLSQGSNQPFYQVLVDVHADPNLLVAYVAEENLVAPEKPDTGRFDHPYVSFLFYGADTAGDFIPIKQLREKYNRPRHEVPTDSPDEDS >Manes.01G030400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6070123:6074755:1 gene:Manes.01G030400.v8.1 transcript:Manes.01G030400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLSLSTITVSRNCGVYGSIPSWRRHFNLTRKTSRAFGNDKQIHLHQFVPSLFVTGNHNVLRGRNLKVEAGWLFNKGGNQESDASCERSENANEDILIFFFQLDLATRVQYALNMEQYDIAQQLRNKLTEVEEEVIRQQEAKRGSSSKSEAQDKAISIIRLRADLQNAIENENYAAAAQLRDQISKLEAESLAASAKALAFESAQYAFRLGQKLTHKKFGYRAVVCGMDPTCSESSSWMETAQVEKLSQGSNQPFYQVLVDVHADPNLLVAYVAEENLVAPEKPDTGRFDHPYVSFLFYGADTAGDFIPIKQLREKYNRPRHEVPTDSPDEDS >Manes.13G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1453725:1457042:1 gene:Manes.13G010800.v8.1 transcript:Manes.13G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVTFSAQEENIFRSRYPAVPVPENVTLPDFVLQDYELYSDRVAFVEAVTGKAYTYGELVRETRRFTKALRSIGIRKGHVVIVVLPNVAEYGIVALGIMATGGVYSGVNPAAHESEIKKQVLAANAKLIVTNDLSYEKVRSLELPVITLGETRIGSAMNWYELLDAADRATDRYVYEDVDQNDLCALPFSSGTTGMSKGVMLTHRNLVANLCSTLFSVAPQMVGQVTTLGLIPFFHIYGILGICFSTLRNKGKVVVMNRFELRTFLNALMTQEVTYAPIVPPIILALVKNPIVDEFDLSELKLRAIMTAAAPLAPELLRAFENKFPGVQVHEAYGLTEHSCITLTHGDPNLGHGISKKNSVGFILPNLEVKFIDPESGRSLPKNTPGEICVRSQCVMQGYYNNKEETARTIDGDGWLHTGDIGYIDDDGDIFIVDRIKELIKYKGFQVAPAEIEALLLTHPSVEDVAVVSLPDEEAGEIPAACVVIKQEARQSEEDIINYVSSNVAHYKKVRKVQFVETIPKSPSGKIMRRLLKEKMIEKMQANGQTTVSQLTPTPTNFD >Manes.16G072000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27383143:27396357:1 gene:Manes.16G072000.v8.1 transcript:Manes.16G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANFQRSLSDLRHFSPNLGFPASSPFSTVKPFHSPNQSSLTRWRSQKVGFRSLASRLLVSNSVTNQETEAVEGGRTESVASASPLYVPTPPNRELRTPHSGYHFDGTTRQFFEGWYFKVSIPERKESFCFMYSVENPAFRKKLSPLEVAQHGPRSTGVGAQILGAYDKYICQYSEESQNFWGSRHELMLGNTFVAEKGMQPPSKEVPPQEFNRTVSEGFQVTPFWHQGFIRDDGRSDYVQTVKTARWEYSTRPVYGWGNVGSKQQSTAGWLAAFPVFEPHWQICMAAGLSTGWIEWDGERFEFKDAPSYSEKNWGGGFPRKWFWVQCNAFESAKGEVALTAGGGLRQLPGLTETFENAALIGVHYDGIFYEFAPWKGVVTWEISPWGHWFITADNGMHLVELEATTNDPGTTLRAPTTEAGLAPACKDTCYGDLKLQIWERRYDGSKGKIILDVASDMAAVEVGGGPWFNTWKGKATTPELLSSALRVPIDLDGFFNFLPPFKPPGL >Manes.17G080600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28558045:28566159:1 gene:Manes.17G080600.v8.1 transcript:Manes.17G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQTWRKAYGALKDSTKVGLAHVNSDYAELDVAIVKATNHVECPPKERHLRKILVATSAIRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRLLREGDHTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPAEGQDKGYSRTRDLDSEELLEQLPALQQLLYRLVGCRPEGAAVSNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAVTALDVYKRAGQQAGSLSDFYEICKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRIVSIPSEPLLQLTYRPDEGPDEPEETIVPSDEPEPVPSDDVAVSNVEIAPPTPITPQSNMDTVDLLGLSYGAPDASAIEERNALALAIVPQDTAPTFNSGAGQAKDFDPTGWELALVTTPSSNISSVNERQLAGGLDSLTLNSLYDEAAYRAAQQPVYGSPALNPFEVQDPFTMSNGIAAPSSVQMAAMGQQPPNPFGPYQPTYPQTMQQQNLIMGATNPFGDTGLGTFPVNPVAHPHPNNPFGSTGLL >Manes.12G106600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29142368:29148794:1 gene:Manes.12G106600.v8.1 transcript:Manes.12G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSTTIMDAILPLPRLRAAAPLFTTATFAFAHQLPCLLSSRAGQSFLPLSVISLPRRSSGIAASGPLMANSVPPKSGVYLVGDFMTRKEDLHVVKPTTTVDEALQTLVERRITGFPVIDDDWKLVGLVSDYDLLALDSISGGGKTENSMFPEVDSTWKTFNEIQKLLSKTNGKLVGDLMTTAPVVVRETTNLEDAARLLLETKYRRLPVVDSEGKLVGIITRGNVVRAALQIKRDTEGKA >Manes.11G064251.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8925363:8925746:-1 gene:Manes.11G064251.v8.1 transcript:Manes.11G064251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKILTSSVISTLEDVSARLLHIFLSKSDTIGMESSVLAVQQGNQGQRENCKGQMKKFRCSYYDKKDRTWNACWALHGRSPRPNQTNNTGKLAAHLAQSNEESLFPQPTNKSQELDSITLTGEDYK >Manes.18G109000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10760297:10765328:-1 gene:Manes.18G109000.v8.1 transcript:Manes.18G109000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSESPDCCYTVSPSSIASTELKLYQAFIFSVPIFFTFVLLFLFYLFYLRRRRVDWASLRMRANLRDSNDIFRAELGLKKELREMLPIIVYKESFSVKDTQCPVCLGDYEAEDRLQQIPACGHTFHMECIDQWLANHVTCPLCRLSLIESAKVPSALPNNQAEIGQDSSAAGNTDETSVLSGPTESFGESQYFRAVHNSSEEEERRSECADVGRESGGASNEPEEHENIRRPFVESQA >Manes.18G109000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10760297:10765310:-1 gene:Manes.18G109000.v8.1 transcript:Manes.18G109000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSESPDCCYTVSPSSIASTELKLYQAFIFSVPIFFTFVLLFLFYLFYLRRRRVDWASLRMRANLRDSNDIFRAELGLKKELREMLPIIVYKESFSVKDTQCPVCLGDYEAEDRLQQIPACGHTFHMECIDQWLANHVTCPLCRLSLIESAKVPSALPNNQAEIGQDSSAAGNTDETSVLSGPTESFGESQYFRAVHNSSEEEERRSECADVGRESGGASNEPEEHENIRRPFVESQA >Manes.18G109000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10760258:10765325:-1 gene:Manes.18G109000.v8.1 transcript:Manes.18G109000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSESPDCCYTVSPSSIASTELKLYQAFIFSVPIFFTFVLLFLFYLFYLRRRRVDWASLRMRANLRDSNDIFRQAELGLKKELREMLPIIVYKESFSVKDTQCPVCLGDYEAEDRLQQIPACGHTFHMECIDQWLANHVTCPLCRLSLIESAKVPSALPNNQAEIGQDSSAAGNTDETSVLSGPTESFGESQYFRAVHNSSEEEERRSECADVGRESGGASNEPEEHENIRRPFVESQA >Manes.18G109000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10760297:10765328:-1 gene:Manes.18G109000.v8.1 transcript:Manes.18G109000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSESPDCCYTVSPSSIASTELKLYQAFIFSVPIFFTFVLLFLFYLFYLRRRRVDWASLRMRANLRDSNDIFRQAELGLKKELREMLPIIVYKESFSVKDTQCPVCLGDYEAEDRLQQIPACGHTFHMECIDQWLANHVTCPLCRLSLIESAKVPSALPNNQAEIGQDSSAAGNTDETSVLSGPTESFGESQYFRAVHNSSEEEERRSECADVGRESGGASNEPEEHENIRRPFVESQA >Manes.18G109000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10760297:10765310:-1 gene:Manes.18G109000.v8.1 transcript:Manes.18G109000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSESPDCCYTVSPSSIASTELKLYQAFIFSVPIFFTFVLLFLFYLFYLRRRRVDWASLRMRANLRDSNDIFRQAELGLKKELREMLPIIVYKESFSVKDTQCPVCLGDYEAEDRLQQIPACGHTFHMECIDQWLANHVTCPLCRLSLIESAKVPSALPNNQAEIGQDSSAAGNTDETSVLSGPTESFGESQYFRAVHNSSEEEERRSECADVGRESGGASNEPEEHENIRRPFVESQA >Manes.18G109000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10760258:10765325:-1 gene:Manes.18G109000.v8.1 transcript:Manes.18G109000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSESPDCCYTVSPSSIASTELKLYQAFIFSVPIFFTFVLLFLFYLFYLRRRRVDWASLRMRANLRDSNDIFRAELGLKKELREMLPIIVYKESFSVKDTQCPVCLGDYEAEDRLQQIPACGHTFHMECIDQWLANHVTCPLCRLSLIESAKVPSALPNNQAEIGQDSSAAGNTDETSVLSGPTESFGESQYFRAVHNSSEEEERRSECADVGRESGGASNEPEEHENIRRPFVESQA >Manes.17G102100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31004758:31005606:1 gene:Manes.17G102100.v8.1 transcript:Manes.17G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRKRRGFMKGKLMPFYRSPKSSSSNLQYTSKVIKPSQASPSAPSVGFYVHQDYIIAPPKQNKVSFIIAPPPDGNRERLAQFDKVYGLPGDESVDIKAASYISSVQERFKLERSNSERIKHEDFQ >Manes.01G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2127932:2134828:-1 gene:Manes.01G004100.v8.1 transcript:Manes.01G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLAGNLASKISLHLQCRVFSIPWVKRSPVILCLKGREHISSSVPVRYIPKSSSEVNELEKSLPANGSEKWLFDKSWDGYGRTSVSNEEAQNGNQMYKKGFSLGDPQQAFSGLEEEINHKPKVKMIECKLMEEPKEVIEETAIQHESATSKKNVQVGKTMLDAEKMAIELLAKRAYTAVELRKKLHVKRYPPDIIETLITDFQSRGLINDSLYAETFSRSRWSSSSWGPKRIKQALFRKGVSNADAEKAVKLVFEDGECTGQELKLGLSKLSMDHLFVQASKQWLRGQDVPEETRKSRIIRWLQYRGFNWGVISFILKKLESQYSS >Manes.01G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2127932:2134828:-1 gene:Manes.01G004100.v8.1 transcript:Manes.01G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLAGNLASKISLHLQCRVFSIPWVKRSPVILCLKGREHISSSVPVRYIPKSSSEVNELEKSLPANGSEKWLFDKSWDGYGRTSVSNEEAQNGNQMYKKGFSLGDPQQGLEEEINHKPKVKMIECKLMEEPKEVIEETAIQHESATSKKNVQVGKTMLDAEKMAIELLAKRAYTAVELRKKLHVKRYPPDIIETLITDFQSRGLINDSLYAETFSRSRWSSSSWGPKRIKQALFRKGVSNADAEKAVKLVFEDGECTGQELKLGLSKLSMDHLFVQASKQWLRGQDVPEETRKSRIIRWLQYRGFNWGVISFILKKLESQYSS >Manes.05G135800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23792974:23796528:-1 gene:Manes.05G135800.v8.1 transcript:Manes.05G135800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRVVNSRFKRVCVFCGSSTGKRDCYREAALELAQELVSRRLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMSKEITGETVGEVRPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNLLLTFIDKAVDDGFIQPSQRSIIVSAPNVKELVQKLEDYVPLHDGVVAKAKWEAEQLELNASLQTEVAR >Manes.05G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23792974:23796528:-1 gene:Manes.05G135800.v8.1 transcript:Manes.05G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRVVNSRFKRVCVFCGSSTGKRDCYREAALELAQELVSRRLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMSKEITGETVGEVRPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVKQLHVGLLNVDGYYNLLLTFIDKAVDDGFIQPSQRSIIVSAPNVKELVQKLEDYVPLHDGVVAKAKWEAEQLELNASLQTEVAR >Manes.10G131700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29727795:29728467:1 gene:Manes.10G131700.v8.1 transcript:Manes.10G131700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPWWPLLGAAIPALIAGQALRMNKKRAEEQRLRSAGGREKSADENFVCERVCTSKRMLKKVGALSKDPTVDSCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLRRCQNECLRLSNSSVTP >Manes.10G131700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29727210:29728511:1 gene:Manes.10G131700.v8.1 transcript:Manes.10G131700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLDPHHPSMVDPWWPLLGAAIPALIAGQALRMNKKRAEEQRLRSAGGREKSADENFVCERVCTSKRMLKKVGALSKDPTVDSCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLRRCQNECLRLSNSSVTP >Manes.16G002700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:439469:441196:1 gene:Manes.16G002700.v8.1 transcript:Manes.16G002700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLLSSPLIYISTHSSFFIACRVTSTFTPLILAQPTLKFPHLVSVLRKHFSLSLSLSAMDSRSQDSRILLSSPSRNGRRRRSDSNSPEFEFWMVRNPSFPQPHILSADELFVDGVLLPLHLLHLHNNRPDPPDSQPDPQPPNSQPEAGPRISRNTITAETTPLSASKRWKDIFKKTSTAKSQEEKNKDKEKEKEKEKEKKREKKSQSGASSSAELNINIWPFSRSRSAGNSGARPRMFPGGPGTRKVSSAPCSRSNSAGESKSRKWPSSPGRSAGVHLGRSSPVWQVRRGGGGGDTGAMNRSSEKMTRKTRKGDGKDVNLNVAMCNGYRQESSCRSRGSNVGSSGNLFNLRSLFTKKVY >Manes.05G106800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155699:10160094:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTASRKTRKNVRKSGKRRGKVSTSIPDVPIKRFSDSAIGDYISEYVHLEFEKGAATTCRRSEASNKTFHLTQLQWNHSQIDANGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.05G106800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155784:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTASRKTRKNVRKSGKRRGKVSTSIPDVPIKRFSDSAIGDYISEYVHLEFEKGAATTCRRSEASNKTFHLTQLQWNHSQIDANGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.05G106800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155679:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSLKRVLQLHAEDLKLQTRLSISLSCNGTIAKLMQMVCSFLLLHLSKHHHHQLEKHISLQFAGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSGAAERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.05G106800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155679:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSLKRVLQLHAEDLKLQTRLSISLSCNGTIAKLMQMVCSFLLLHLSKHHHHQLEKHISLQFAGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.05G106800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155784:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTASRKTRKNVRKSGKRRGKVSTSIPDVPIKRFSDSAIGDYISEYVHLEFEKGAATTCRRSEASNKTFHLTQLQWNHSQIDANGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSGAAERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQVMSWPLILFQFSVYSS >Manes.05G106800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155784:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTASRKTRKNVRKSGKRRGKVSTSIPDVPIKRFSDSAIGDYISEYVHLEFEKGAATTCRRSEASNKTFHLTQLQWNHSQIDANGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSGAAERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.05G106800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155784:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTASRKTRKNVRKSGKRRGKVSTSIPDVPIKRFSDSAIGDYISEYVHLEFEKGAATTCRRSEASNKTFHLTQLQWNHSQIDANGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQVMSWPLILFQFSVYSS >Manes.05G106800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155784:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSLKRVLQLHAEDLKLQTRLSISLSCNGTIAKLMQMVCSFLLLHLSKHHHHQLEKHISLQFAGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSGAAERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.05G106800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155698:10160095:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTASRKTRKNVRKSGKRRGKVSTSIPDVPIKRFSDSAIGDYISEYVHLEFEKGAATTCRRSEASNKTFHLTQLQWNHSQIDANGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRVKKRSSHL >Manes.05G106800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155784:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSLKRVLQLHAEDLKLQTRLSISLSCNGTIAKLMQMVCSFLLLHLSKHHHHQLEKHISLQFAGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.05G106800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10155784:10159871:1 gene:Manes.05G106800.v8.1 transcript:Manes.05G106800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTASRKTRKNVRKSGKRRGKVSTSIPDVPIKRFSDSAIGDYISEYVHLEFEKGAATTCRRSEASNKTFHLTQLQWNHSQIDANGICQEEVWFDSVSIIDSDSDDDFSSVYGDGFPSAGVAAGQKPSTQLVQYGAASRFLDTGSKYEGFYESYLKIDGGIPKSDDIAVKTKKAVDDPYGSFKSLKELTCDFGEKVQENRRKSTVIMVSVKRKSCDGEEKTQFSGAAERLLYRPRAGFQIPCSKGDKPTPGCWSEVSPSVFKLRGVNYFRDKQKSPAPNFTPYIPMGADLFICPRKIHHIAQHLELPFVQPHENFPSLLIINIQLPTYPVAMFQSDCDGEGMSLVLYFRISENFDKEISSHLQDSIKRLIEDDMEKVRGFAKESTVPFRERLKILVGLVNPEDLQLSSAERKLIQAYNDKPVLSRPQHQFFRGPNYFEIDLDIHRFSYISRKGLEAFRELMKDGIANMGLTIQAQKPEELPEQALCCVRLNKIDLVNRGQIPTIVTRDD >Manes.08G064100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8844369:8846950:1 gene:Manes.08G064100.v8.1 transcript:Manes.08G064100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNELQQERRGVLSVKMGREHSTSTPWIIQCDFNAVLNVQEAVEGNVTDELRSKDCFFTWTNNKDQESRISRKLDRCLVNLTWSEKYALLEFEALPPGLSDHSPLIVSMRKDMKIRNIVQRSWHFEVSGSAMFKLWSKLKDLKQNLRKPNKREFFDIFERVCKYIQMLERVQTRLQEDPLNQIVLDEERAIVNQFWRLLRQEEDFYKQHSRAYWIRISNSNTKYFHNFVKIRNVRTKIMSLKLHDGENFDQGKINAAIVEFYKTLIGSNEFSRQHACTEIIKSRVIVSEEEADELCSIVTNKEIKATIWCIRVWKILGADVIRAMKEFFETSRLLKQFNSTTLTVVPKT >Manes.07G142500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34477709:34479822:1 gene:Manes.07G142500.v8.1 transcript:Manes.07G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFISLILKGMKLAKDLEPTLQNLATHNYQHMLSNLDEIIRVFISARERLNAHQDLPQMLFRELQQQPQIDPRLQEYWLRTKMMELQHSQLEAERIGISSGMENKQAAGGTQMGSANLAMELGSRDVQAMASSSTQRQRRRKDDEEYRTIRAAAPRMGNTEIPPEDGYTWRKYGQKEILGSRFPRSYYRCTHLKLYQCPAKKQVQRLDDDPYTFEVTYRGDHTCHMSDTAPSVPPPVITQEVTQSMAAQPPPRLQFRLGGGGSGGSSGSGPSTVRYGKEVEYLVADMADVMFNSGSSSSNSMELIFTSMEK >Manes.02G011000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1131334:1134046:-1 gene:Manes.02G011000.v8.1 transcript:Manes.02G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLPFTVAVTALLFSFTLATARYPLDLPENDVTSHDDNLAYWSDSDPKVTATLILPSEKPESQPATAVEFESEETEHADSKLPEKVIGTSESIHERSETESDKTVPLTVVTFRPINRHFPRRPLIPFRRAHRCRGSHHHHNQFKPWGSSRFSGPQEVSYGNDMLLSGGEDKGFSPVFNHGGNRLSFIKDEKREEMITRRHHNHHHDHDHEEGEEREHEHEHEGGFVKGIRKFLKHF >Manes.12G048251.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3225697:3226998:1 gene:Manes.12G048251.v8.1 transcript:Manes.12G048251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGPTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGANETQEPSSPKVTCIGQVRVKRSKQAKTQPSKTKCFCKWVRNTLFFLHSNRATLRPNCTLLSWRKRVMFFKVGVRRESKIREDSSKVEPKFGNISEDAGQESEVEDEENKMYVSYSISPPKNALLLTRSRSAL >Manes.13G013861.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2602661:2603362:1 gene:Manes.13G013861.v8.1 transcript:Manes.13G013861.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYVLNAQEEQIASGPASASGPASASGPASASGPASAYGPTSAFGPASASSEKEKEKEVKKEAKKEKDDEKEAEKEAKKEKEDEKEGEKEAKKKEKKEKEAKKDAKKEAKDEKEAKKDAKKQDKDEKEEEKDSKKEIKDEKEVEKDAKKEAKDEKEAEKDAKKEAKDEKEAAKDAKKEAKADKDAKKEAKAKKDAKNKDKDEKEAKNEDKDEKEAKISAPAPAPSQK >Manes.14G153604.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19149469:19149828:1 gene:Manes.14G153604.v8.1 transcript:Manes.14G153604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLHRLHPHHRHRRLRLHHHHRRLRLHHRHRRLRLHHRHRRLRLHHRRPRRHPPTEPVALWRIVRPEPRRLRRPRPPHHRLRSLCRPHLRHRRRPRRHLSDSTMRVWRAQGRNRDSGE >Manes.12G096700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22605442:22611033:-1 gene:Manes.12G096700.v8.1 transcript:Manes.12G096700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGGGDSKMLGLIVTPRHKRSKSFPDKKRFEEDGVDSSFGASHHIKLDMKQLEDSVKAKKKQSPRTEVEISLKEEILQLEKRLQAQFQVRLALEKALGYRTSSHDIAPGVSIPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDQQISSVSPSSKHERSKSPVKTPRGKFLDVSRPDTMSKRETSAVQPGCLSHDNLWKEYKGIGGEEKLLDSGVHRCHSLLSQHSAFPSRTSPPNESLGRAVRACHSQPLSMIEYAQNATNIISLAEHLGTHISDHVPEPPNKISEDMIKCMSAIYCKLSDPPLMNNGLSSPNSSLSSASAFSPRDQCDMWSPGFRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVQWIYRDGQKLGDVEHLLQNFKSLICRLEEVDPRKLKHQEKLSFWINIHNALVMHAYLAYGIPQNNVKRHFLLLKAAYNVGGYTISADTIQNYILGCRMSRPRQWLRVLLSSRSKFKTGDRRQAYALENPEPLLHFALCSGSHSDPAVRVYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKIVESFAKDSGLCQAGVIEMIQQTLPESLRKCIKKNQLGKPRKSIEWIPHSFSFRYLISKELVR >Manes.12G096700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22605442:22611033:-1 gene:Manes.12G096700.v8.1 transcript:Manes.12G096700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKIIAKWTSSRRFPDKKRFEEDGVDSSFGASHHIKLDMKQLEDSVKAKKKQSPRTEVEISLKEEILQLEKRLQAQFQVRLALEKALGYRTSSHDIAPGVSIPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDQQISSVSPSSKHERSKSPVKTPRGKFLDVSRPDTMSKRETSAVQPGCLSHDNLWKEYKGIGGEEKLLDSGVHRCHSLLSQHSAFPSRTSPPNESLGRAVRACHSQPLSMIEYAQNATNIISLAEHLGTHISDHVPEPPNKISEDMIKCMSAIYCKLSDPPLMNNGLSSPNSSLSSASAFSPRDQCDMWSPGFRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVQWIYRDGQKLGDVEHLLQNFKSLICRLEEVDPRKLKHQEKLSFWINIHNALVMHAYLAYGIPQNNVKRHFLLLKAAYNVGGYTISADTIQNYILGCRMSRPRQWLRVLLSSRSKFKTGDRRQAYALENPEPLLHFALCSGSHSDPAVRVYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKIVESFAKDSGLCQAGVIEMIQQTLPESLRKCIKKNQLGKPRKSIEWIPHSFSFRYLISKELVR >Manes.12G096700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22605442:22611033:-1 gene:Manes.12G096700.v8.1 transcript:Manes.12G096700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLEDSVKAKKKQSPRTEVEISLKEEILQLEKRLQAQFQVRLALEKALGYRTSSHDIAPGVSIPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDQQISSVSPSSKHERSKSPVKTPRGKFLDVSRPDTMSKRETSAVQPGCLSHDNLWKEYKGIGGEEKLLDSGVHRCHSLLSQHSAFPSRTSPPNESLGRAVRACHSQPLSMIEYAQNATNIISLAEHLGTHISDHVPEPPNKISEDMIKCMSAIYCKLSDPPLMNNGLSSPNSSLSSASAFSPRDQCDMWSPGFRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVQWIYRDGQKLGDVEHLLQNFKSLICRLEEVDPRKLKHQEKLSFWINIHNALVMHAYLAYGIPQNNVKRHFLLLKAAYNVGGYTISADTIQNYILGCRMSRPRQWLRVLLSSRSKFKTGDRRQAYALENPEPLLHFALCSGSHSDPAVRVYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKIVESFAKDSGLCQAGVIEMIQQTLPESLRKCIKKNQLGKPRKSIEWIPHSFSFRYLISKELVR >Manes.12G096700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22605442:22611122:-1 gene:Manes.12G096700.v8.1 transcript:Manes.12G096700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGGGDSKMLGLIVTPRHKRSKSFPDKKRFEEDGVDSSFGASHHIKLDMKQLEDSVKAKKKQSPRTEVEISLKEEILQLEKRLQAQFQVRLALEKALGYRTSSHDIAPGVSIPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDQQISSVSPSSKHERSKSPVKTPRGKFLDVSRPDTMSKRETSAVQPGCLSHDNLWKEYKGIGGEEKLLDSGVHRCHSLLSQHSAFPSRTSPPNESLGRAVRACHSQPLSMIEYAQNATNIISLAEHLGTHISDHVPEPPNKISEDMIKCMSAIYCKLSDPPLMNNGLSSPNSSLSSASAFSPRDQCDMWSPGFRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVQWIYRDGQKLGDVEHLLQNFKSLICRLEEVDPRKLKHQEKLSFWINIHNALVMHAYLAYGIPQNNVKRHFLLLKAAYNVGGYTISADTIQNYILGCRMSRPRQWLRVLLSSRSKFKTGDRRQAYALENPEPLLHFALCSGSHSDPAVRVYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKIVESFAKDSGLCQAGVIEMIQQTLPESLRKCIKKNQLGKPRKSIEWIPHSFSFRYLISKELVR >Manes.12G096700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22605442:22611122:-1 gene:Manes.12G096700.v8.1 transcript:Manes.12G096700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKIIAKWTSSRRFPDKKRFEEDGVDSSFGASHHIKLDMKQLEDSVKAKKKQSPRTEVEISLKEEILQLEKRLQAQFQVRLALEKALGYRTSSHDIAPGVSIPKPATELIKEIAVLELEVVYLEQHLLSLYRKAFDQQISSVSPSSKHERSKSPVKTPRGKFLDVSRPDTMSKRETSAVQPGCLSHDNLWKEYKGIGGEEKLLDSGVHRCHSLLSQHSAFPSRTSPPNESLGRAVRACHSQPLSMIEYAQNATNIISLAEHLGTHISDHVPEPPNKISEDMIKCMSAIYCKLSDPPLMNNGLSSPNSSLSSASAFSPRDQCDMWSPGFRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVQWIYRDGQKLGDVEHLLQNFKSLICRLEEVDPRKLKHQEKLSFWINIHNALVMHAYLAYGIPQNNVKRHFLLLKAAYNVGGYTISADTIQNYILGCRMSRPRQWLRVLLSSRSKFKTGDRRQAYALENPEPLLHFALCSGSHSDPAVRVYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKIVESFAKDSGLCQAGVIEMIQQTLPESLRKCIKKNQLGKPRKSIEWIPHSFSFRYLISKELVR >Manes.12G142500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34839663:34846532:1 gene:Manes.12G142500.v8.1 transcript:Manes.12G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSSHFVLSSSSSSSSLFCSKKLDLSSYPPSSISLPLFNSQPKLHASKLIFRTDAVSLSSTIGVGEDLPADYDEWLPKLDPIHRRRAGILLHPTSFRGPYGIGDLGEEAFRFIDWLHDAGCSVWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEELVKDGLLMKDELPEPVISDRVNFDAVAKLKDPLVVKAAERLIRSGSELKNQLEDFCKDPQISGWLEDAAYFAAIDDTLNTLNWYAWPEPLKNRHLSALEEIYQSKKDFIDIFIAQQFLFQRQWQKVRNYAQEKGISIMGDMPIYVGYHSADVWANKKYFLLNRKGFPLLVSGVPPDAFSATGQLWGSPLYDWKSMEKDGYSWWVRRLQRAQDLYDEFRIDHFRGFAGFWAVPSDAKTAMMGNWKAGPGKSLFDAISRAVGKISIIAEDLGVITEDVVQLRKDIGAPGMAVLQFGFGGDADNPHLPHNHEANQVVYTGTHDNDTTRGWWDILKQEEKSNVLKYLSITEEDDMPWALIQAVCSSVAQTAVIPLQDILGLGNSARMNVPATQFGNWGWRVPSSLSFNQMEKEATRLRDLLSMYGRV >Manes.12G142500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34839663:34846532:1 gene:Manes.12G142500.v8.1 transcript:Manes.12G142500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSSHFVLSSSSSSSSLFCSKKLDLSSYPPSSISLPLFNSQPKLHASKLIFRTDAVSLSSTIGVGEDLPADYDEWLPKLDPIHRRRAGILLHPTSFRGPYGIGDLGEEAFRFIDWLHDAGCSVWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEELVKDGLLMKDELPEPVISDRVNFDAVAKLKDPLVVKAAERLIRSGSELKNQLEDFCKDPQISGWLEDAAYFAAIDDTLNTLNWYAWPEPLKNRHLSALEEIYQSKKDFIDIFIAQQFLFQRQWQKVRNYAQEKGISIMGDMPIYVGYHSADVWANKKYFLLNRKGFPLLVSGVPPDAFSATGQLWGSPLYDWKSMEKDGYSWWVRRLQRAQDLYDEFRIDHFRGFAGFWAVPSDAKTAMMGNWKAGPGKSLFDAISRAVGKISIIAEDLGVITEDVVQLRKDIGAPGMAVLQFGFGGDADNPHLPHNHEANQVVYTGTHDNDTTRGWWDILKQEEKSNVLKYLSITEEDDMPWALIQAVCSSVAQTAVIPLQDILGLGNSARMNVPATQIDMENLSLVPG >Manes.09G037200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062584:7067373:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062584:7067376:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062584:7067373:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062584:7067374:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062447:7067373:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVYKSSNLLKIYNSVKFSHPTRNTAQFGTQMAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062584:7067374:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062584:7067373:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVYKSSNLLKIYNSVKFSHPTRNTAQFGTQMAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062447:7067373:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062447:7067373:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.09G037200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7062583:7066078:-1 gene:Manes.09G037200.v8.1 transcript:Manes.09G037200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSTCKEVLESHPTVADYEIGTAKAENNGTTTKGTLGAGGKHGLYSNNGVHELLECPVCSNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQDLGCHDIFPYYSKLKHEQHCRFRPYNCPYAGSECSVTGDIPSLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRSLALYFSGGDRQELKLRVTGRIWKEE >Manes.03G048400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4395923:4398325:-1 gene:Manes.03G048400.v8.1 transcript:Manes.03G048400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNTPGGNQACAACKYQRRRCAPDCALAPHFPSNRASDFLNAHKLFGVRNIVRTLKKLPTFEEKKHAITSMIYQANARVSDPVGGCHRIITQLKTQIEFYELQLNLVRQQIAFHQRLTSHNLQDSTPLNVYDDVQLQQHEEPSIVHVDYQHPHNYNSFDDVVASHHLKDSPPLNIYDSAQLHPIGYVEQQQQQQQEEPAIVKIESEELSSSCLGENDSQLSPSSKQHFAVDESELDKIDSAVFEDSKEVVQCSDKMVFKEDHKPIQHGQEHNQKDASQFTFKNGKEVDDRKILK >Manes.11G072400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10525959:10528365:1 gene:Manes.11G072400.v8.1 transcript:Manes.11G072400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSVAKPSLQGNGKGFQEFSGLRNSAAFLPFSKKTSDDLLSVVAFQTSAVGSSNGGYRKIAAEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGIKQASHLLKYDSTLGIFDADVKPAENGISVDGKVIKVVSDRNPVNLPWKDLGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADAYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESADKELKGILSVCDEPLVSVDFRCTDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >Manes.08G055100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6021034:6022671:-1 gene:Manes.08G055100.v8.1 transcript:Manes.08G055100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLSETFQYFRKKLQLTDVRTLFTFPETNPGKGTGYQIDWNMNEFDLEVPTAVIMIEDEKIEDSMSSLETTENAEALRGKDTVEQKNRQSNFRFQNVVMKLDHEPPPPGSIGSSEFRNRSAHLLLLISTCAAAGSLLVAFQLPNLLPVKVSSNHSGGMFPLLLYFNSIIFFASMALITILMHKLPILPWLLISVSSKIGAYMCAVIANSPPDVVPVLFIGSSIFAAAFLHCVAPQRKSFNLLR >Manes.08G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6020949:6022671:-1 gene:Manes.08G055100.v8.1 transcript:Manes.08G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLSETFQYFRKKLQLTDVRTLFTFPETNPGKGTGYQVIMIEDEKIEDSMSSLETTENAEALRGKDTVEQKNRQSNFRFQNVVMKLDHEPPPPGSIGSSEFRNRSAHLLLLISTCAAAGSLLVAFQLPNLLPVKVSSNHSGGMFPLLLYFNSIIFFASMALITILMHKLPILPWLLISVSSKIGAYMCAVIANSPPDVVPVLFIGSSIFAAAFLHCVAPQRKSFNLLR >Manes.10G086900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22256531:22277259:-1 gene:Manes.10G086900.v8.1 transcript:Manes.10G086900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPPYSSIQPLQPPPVGSMDPPRNFVPPMPVQFRPVVPGQQSQQFIPMAAPHFQTVGRSVPVMNAGLPTQPPQPQFPQPVQQLPARPGQPSPGPPPSQVIALPNAQPNRHVAPGSSLPPPSIPTPINYAPGLGGPGAPLSTSYAFAPSSYGQPPVAFNAVTQYQPMAQMHGSNISAGGQPGLSSASQSTAAPVQHNGQQSSAISVNVLTTGIQPTKLTEETTTDWKEHVSATGRRYYYNKRTRQSSWEKPVELMTPIERADASTDWKEFTSPDGRKYYFNKVTRQSKWEIPEELKVAREQVEKASVMETQTETSSNSHTQAAGPPSVEKTPSSSDASAFPAQGAPSSPVPVTPVATVGNLQSESASESSALAVMSSSVTSNLDGIQTAENPVSAVPGSSEATATGTGVDATTAQINNSSNFSGQDNSGSANKAPTQDKEEAKNAFKALLESANVGSDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLGQKRKQEAEERRIKQKKAREDFRNMLEESKELSLTTRWSKAVTMFENDERFKAVERERDRRDLFDAFLQELEVKERAKIQEERKRNVMEYRQFLESCDFIKVSTQWRKVQDRLEADERCSRLDKIDRLEIFQDYLRDLEKEEEEQRKIQKEELRKTERKNRDEFRKLLEEHVAAGSLTAKTHWRDYYLKVKDLPAYLAVASNTSGSTPKDLFEDVAEELEKQYHEDKTQIKNVVKLKKVSLASTWTLEDFKAAIVEDVSPPPVSDVNLKIVFDELLERAKEKEEKEAKKRKRLADEFLNLLHSIKEITASSKWENSKELFESSREFSSINEESICMEIFEEYIDQLKDQAKENERKRKEEKAKKEKEREERDRRKSKHRSDKERGHEREKEHTKKEEADTEIDVTEDHFSNDNKRSGNDNNKKQRKRHNDSADNLNENEKDRSKSSHRHSSEHKKSRRHNSTPDSDGESRHKRHKRDHRNGSRRNGDNLDLEDGEFGDDGETR >Manes.10G086900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22256531:22277258:-1 gene:Manes.10G086900.v8.1 transcript:Manes.10G086900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPPYSSIQPLQPPPVGSMDPPRNFVPPMPVQFRPVVPGQQSQQFIPMAAPHFQTVGRSVPVMNAGLPTQPPQPQFPQPVQQLPARPGQPSPGPPPSQVIALPNAQPNRHVAPGSSLPPPSIPTPINYAPGLGGPGAPLSTSYAFAPSSYGQPPVAFNAVTQYQPMAQMHGSNISAGGQPGLSSASQSTAAPVQHNGQQSSAISVNVLTTGIQPTKLTEETTTDWKEHVSATGRRYYYNKRTRQSSWEKPVELMTPIERADASTDWKEFTSPDGRKYYFNKVTRQSKWEIPEELKVAREQVEKASVMETQTETSSNSHTQAAGPPSVEKTPSSSDASAFPAQGAPSSPVPVTPVATVGNLQSESASESSALAVMSSSVTSNLDGIQTAENPVSAVPGSSEATATGTGVDATTAQINNSSNFSGQDNSGSANKAPTQDKEEAAKDVVTREKVNNVLLEEKAVSQEPLTYADKLEAKNAFKALLESANVGSDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLGQKRKQEAEERRIKQKKAREDFRNMLEESKELSLTTRWSKAVTMFENDERFKAVERERDRRDLFDAFLQELEVKERAKIQEERKRNVMEYRQFLESCDFIKVSTQWRKVQDRLEADERCSRLDKIDRLEIFQDYLRDLEKEEEEQRKIQKEELRKTERKNRDEFRKLLEEHVAAGSLTAKTHWRDYYLKVKDLPAYLAVASNTSGSTPKDLFEDVAEELEKQYHEDKTQIKNVVKLKKVSLASTWTLEDFKAAIVEDVSPPPVSDVNLKIVFDELLERAKEKEEKEAKKRKRLADEFLNLLHSIKEITASSKWENSKELFESSREFSSINEESICMEIFEEYIDQLKDQAKENERKRKEEKAKKEKEREERDRRKSKHRSDKERGHEREKEHTKKEEADTEIDVTEDHFSNDNKRSGNDNNKKQRKRHNDSADNLNENEKDRSKSSHRHSSEHKKSRRHNSTPDSDGESRHKRHKRDHRNGSRRNGDNLDLEDGEFGDDGETR >Manes.10G086900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22256531:22277258:-1 gene:Manes.10G086900.v8.1 transcript:Manes.10G086900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPPYSSIQPLQPPPVGSMDPPRNFVPPMPVQFRPVVPGQQSQQFIPMAAPHFQTVGRSVPVMNAGLPTQPPQPQFPQPVQQLPARPGQPSPGPPPSQVIALPNAQPNRHVAPGSSLPPPSIPTPINYAPGLGGPGAPLSTSYAFAPSSYGQPPVAFNAVTQYQPMAQMHGSNISAGGQPGLSSASQSTAAPVQHNGQQSSAISVNVLTTGIQPTKLTEETTTDWKEHVSATGRRYYYNKRTRQSSWEKPVELMTPIERADASTDWKEFTSPDGRKYYFNKVTRQSKWEIPEELKVAREQVEKASVMETQTETSSNSHTQAAGPPSVEKTPSSSDASAFPAQGAPSSPVPVTPVATVGNLQSESASESSALAVMSSSVTSNLDGIQTAENPVSAVPGSSEATATGTGVDATTAQINNSSNFSGQDNSGSANKAPTQDKEEAAKDVVTREKVNNVLLEEKAVSQEPLTYADKLEAKNAFKALLESANVGSDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLGQKRKQEAEERRIKQKKAREDFRNMLEESKELSLTTRWSKAVTMFENDERFKAVERERDRRDLFDAFLQELEVKERAKIQEERKRNVMEYRQFLESCDFIKVSTQWRKVQDRLEADERCSRLDKIDRLEIFQDYLRDLEKEEEEQRKIQKEELRKTERKNRDEFRKLLEEHVAAGSLTAKTHWRDYYLKVKDLPAYLAVASNTSGSTPKDLFEDVAEELEKQYHEDKTQIKNVVKLKKVSLASTWTLEDFKAAIVEDVSPPPVSDVNLKIVFDELLERAKEKEEKEAKKRKRLADEFLNLLHSIKEITASSKWENSKELFESSREFSSINEESICMEIFEEYIDQLKDQAKENERKRKEEKAKKEKEREERDRRKSKHRSDKERGHEREKEHTKKEEADTEIDVTEDHFSNDNKRSGNDNNKKQRKRHNDSADNLNENEKDRSKSSHRHSSEHKKSRRHNSTPDSDGESRHKRHKRDHRNGSRRNGDNLDLEDGEFGDDGETR >Manes.10G086900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22256531:22277259:-1 gene:Manes.10G086900.v8.1 transcript:Manes.10G086900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPPYSSIQPLQPPPVGSMDPPRNFVPPMPVQFRPVVPGQQSQQFIPMAAPHFQTVGRSVPVMNAGLPTQPPQPQFPQPVQQLPARPGQPSPGPPPSQVIALPNAQPNRHVAPGSSLPPPSIPTPINYAPGLGGPGAPLSTSYAFAPSSYGQPPVAFNAVTQYQPMAQMHGSNISAGGQPGLSSASQSTAAPVQHNGQQSSAISVNVLTTGIQPTKLTEETTTDWKEHVSATGRRYYYNKRTRQSSWEKPVELMTPIERADASTDWKEFTSPDGRKYYFNKVTRQSKWEIPEELKVAREQVEKASVMETQTETSSNSHTQAAGPPSVEKTPSSSDASAFPAQGAPSSPVPVTPVATVGNLQSESASESSALAVMSSSVTSNLDGIQTAENPVSAVPGSSEATATGTGVDATTAQINNSSNFSGQDNSGSANKAPTQDKEEAKNAFKALLESANVGSDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLGQKRKQEAEERRIKQKKAREDFRNMLEESKELSLTTRWSKAVTMFENDERFKAVERERDRRDLFDAFLQELEVKERAKIQEERKRNVMEYRQFLESCDFIKVSTQWRKVQDRLEADERCSRLDKIDRLEIFQDYLRDLEKEEEEQRKIQKEELRKTERKNRDEFRKLLEEHVAAGSLTAKTHWRDYYLKVKDLPAYLAVASNTSGSTPKDLFEDVAEELEKQYHEDKTQIKNVVKLKKVSLASTWTLEDFKAAIVEDVSPPPVSDVNLKIVFDELLERAKEKEEKEAKKRKRLADEFLNLLHSIKEITASSKWENSKELFESSREFSSINEESICMEIFEEYIDQLKDQAKENERKRKEEKAKKEKEREERDRRKSKHRSDKERGHEREKEHTKKEEADTEIDVTEDHFSNDNKRSGNDNNKKQRKRHNDSADNLNENEKDRSKSSHRHSSEHKKSRRHNSTPDSDGESRHKRHKRDHRNGSRRNGDNLDLEDGEFGDDGETR >Manes.01G211700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38245319:38250809:-1 gene:Manes.01G211700.v8.1 transcript:Manes.01G211700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKRDIENGEHNRSTGELTEPLMAKNLADEEDGSGELNSSKQRNWMVYLSTLVAVCGSFEFGCCAGYSSPTEDAITGDLSLSLAEYSMFGSILTFGAMVGAITSGPIADFIGRKGAMRVSAAFCVAGWLSIYFAQGAVALDIGRVATGYGMGVFSYVVPVFIAEIAPKNLRGALTTLNQLMIGTGVSVFYIVGTVIKWRVLALMGLIPCAILLFGLFLIPESPRWLAKMGREKQFETALKKLRGKGTDISHEAEEIKDYIETLERLPKAKLLDLFQRRYLSSVIIGVGLMVFQQFGGINGVCFYVSHIFESAGFSASIGTIIYAIIQVVVTALNTIVIEKGGRKPLLLVSASGLVIACIITAISFYLKANELALQSVPVLAVTGILLYVGSFSAGMGAVPWVLMSEIFPINIKGVAGSLATLVNWFGAWTISYTYNFLMSWSSYGTFILYAVVNALGILFIAKVVPETKGRTLEQIQAAINA >Manes.08G144941.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:20328595:20331205:-1 gene:Manes.08G144941.v8.1 transcript:Manes.08G144941.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHPSTLLSMDSSASSHEELDLEMNRQIVLSRPPDINLPLSAERSPPPQSWNSDPCDILDVGLGQQVYETESLLNIPKVGRKCAKRVDSIWGAWFFFSFYFKPALNEKSKAKIIRDSNGFSGFDKSDLKLDVFMVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERLFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLMGLDEDEKKRWMELTGRDLNFTIPSEASDFSSWRNLPNTDFELERPPAPIKSASNSHSKKLLNGSGLNLSTQPSSHSNGDVMDLSPVSSKRRKDFFSHGRDDDCYLAVNPPSDRMAVIELNPTEPQWLQDFSGVMKNVYGPVTAAKTIYEDEEGYLIIISLPFVDLQRVKVTWRNTLTHGIIKVSGVSTSRMPFIKRNDRTFKLTDPASEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSALEILVPKLREGPEEHEVRVCFHPHLGGNDLMLT >Manes.08G144941.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:20328305:20331215:-1 gene:Manes.08G144941.v8.1 transcript:Manes.08G144941.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLLTALSMENHHPSTLLSMDSSASSHEELDLEMNRQIVLSRPPDINLPLSAERSPPPQSWNSDPCDILDVGLGQQVYETESLLNIPKVGRKCAKRVDSIWGAWFFFSFYFKPALNEKSKAKIIRDSNGFSGFDKSDLKLDVFMVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERLFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLMGLDEDEKKRWMELTGRDLNFTIPSEASDFSSWRNLPNTDFELERPPAPIKSASNSHSKKLLNGSGLNLSTQPSSHSNGDVMDLSPVSSKRRKDFFSHGRDDDCYLAVNPPSDRMAVIELNPTEPQWLQDFSGVMKNVYGPVTAAKTIYEDEEGYLIIISLPFVDLQRVKVTWRNTLTHGIIKVSGVSTSRMPFIKRNDRTFKLTDPASEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSALEILVPKLREGPEEHEVRVCFHPHLGGNDLMLT >Manes.08G144941.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:20328595:20331205:-1 gene:Manes.08G144941.v8.1 transcript:Manes.08G144941.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLLTALSMENHHPSTLLSMDSSASSHEELDLEMNRQIVLSRPPDINLPLSAERSPPPQSWNSDPCDILDVGLGQQVYETESLLNIPKVGRKCAKRVDSIWGAWFFFSFYFKPALNEKSKAKIIRDSNGFSGFDKSDLKLDVFMVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERLFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLMGLDEDEKKRWMELTGRDLNFTIPSEASDFSSWRNLPNTDFELERPPAPIKSASNSHSKKLLNGSGLNLSTQPSSHSNGDVMDLSPVSSKRRKDFFSHGRDDDCYLAVNPPSDRMAVIELNPTEPQWLQDFSGVMKNVYGPVTAAKTIYEDEEGYLIIISLPFVDLQRVKVTWRNTLTHGIIKVSGVSTSRMPFIKRNDRTFKLTDPASEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSALEILVPKLREGPEEHEVRVCFHPHLGGNDLMLT >Manes.08G144941.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:20328301:20331215:-1 gene:Manes.08G144941.v8.1 transcript:Manes.08G144941.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLLTALSMENHHPSTLLSMDSSASSHEELDLEMNRQIVLSRPPDINLPLSAERSPPPQSWNSDPCDILDVGLGQQVYETESLLNIPKVGRKCAKRVDSIWGAWFFFSFYFKPALNEKSKAKIIRDSNGFSGFDKSDLKLDVFMVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERLFPFSVDKGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLMGLDEDEKKRWMELTGRDLNFTIPSEASDFSSWRNLPNTDFELERPPAPIKSASNSHSKKLLNGSGLNLSTQPSSHSNGDVMDLSPVSSKRRKDFFSHGRDDDCYLAVNPPSDRMAVIELNPTEPQWLQDFSGVMKNVYGPVTAAKTIYEDEEGYLIIISLPFVDLQRVKVTWRNTLTHGIIKVSGVSTSRMPFIKRNDRTFKLTDPASEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSALEILVPKLREGPEEHEVRVCFHPHLGGNDLMLT >Manes.12G052901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4942962:4944024:1 gene:Manes.12G052901.v8.1 transcript:Manes.12G052901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKVDSWEPLTDFDRLWDNRSLKALLCILSQTNKKNIPLVCPRRKPPEEQILAQTPVTACEIALALVDTLIGIINHK >Manes.09G057300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9272651:9276156:1 gene:Manes.09G057300.v8.1 transcript:Manes.09G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQKLLGLCFSLCLAAALVHGQDDNNERKSYIVYMGDLPETKVSVAEEHHRLLVKAIGDESIARKSKIHSYGRSFNGFAAKLLPHEVKILLEEENVVSVFANTKNKLHTTRSWDFLGMKETISRRPKSESSIIVGMMDTGIYVKSPSFDDQGYGPAPHKWRGKCVIGSNFTGCNNKVIGAKYYNLDNMDPGMQEDQSPADDDGHGTHTASTVAGVAVRGASLFGIANGTARGGVPAARIAMYKVCWGGGCTDLDLLAAFDDAIADGVDLISVSIGGNPRNYFEDPIAIGSFHAMKKGILTSCSAGNEGPTPSTVQNVAPWIMTVGASSIDRQFKTQVKLGNGMKASGITINTFSPKKKMYPLTSGALASNLTGNYYGNASACDYGTLSMEKVKGRIVYCRGDSGQDYTIRKLKGAGVITSTSAETDIAFTTLIPATNVDLKEGHKIDRYINKTKNPRAVIHRTRTVKIAAPVLASFSSRGPQLLTLNILKPDLAAPGIDILAAYSRLVTVTGESTDKRFSNFNIISGTSMSCPHASAAAAYVKSFHPDWSPAAIKSALMTTATHFKIKSDELSELGSGSGQINPGEAVHPGLVYDNKMSSYLRFLCKEGYNSTTIGLLVGGKNKYNCSNFKPAKGIDGLNYPSMHAQVKTSSSPISAVFYRTVTQVGYGKSVYKATVISPKHLSIKVVPETLTFSRLHERKNFKVIVKGGPMPSGKEMMSAWLEWNDSEHSVKSPIVICKTFYF >Manes.01G156900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34302759:34303854:1 gene:Manes.01G156900.v8.1 transcript:Manes.01G156900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSRMLTGFNSSPAADPPVPANVESDFVVILAALLCALICAVGLISVARCAWLRRSRGSVSRSPSQAPDNKGLKKKILQSLPKFIYGDVSATGSCKFASTECAICLGEFGEKDEIRILPQCGHGFHVGCIDTWLGSQSSCPSCRQILGMARCQKCGRLPSSASMSGGDEAEADSKSRVDNCNVSNNNIDQRHSLGGGFLP >Manes.11G156500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32295875:32301084:1 gene:Manes.11G156500.v8.1 transcript:Manes.11G156500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNTFSNSSNPRWNHDVFLSFRGEDTRKNFTDHLYTALIQAGILTFRDDYISRGENISSELFKAIQESRISVPIFSKGYASSRWCLAELAEIIRCKNTIGQIVIPIFYNVDPSDVRKQIKEAFDKHEELEEVMDKLKMWREALTEAAELDGWNLQNVANGYESKFIQKIVEDVLRKLNFNYLNVAKHPVGIDLRVKDVICLLSPDSSDVNIVGIRGIGGIGKTTIAKAVFNQLCQGFEGGCSFLSNVREVSEQPNGLVQLQKQLLHDTLKVKNFKNITGIDSGIHLIKERLRYKRVLVVVDDLDQMKQADALVGDRNWFGPGSRIIITTRDAHLLDQLQVILRYEVRELNQEESFELFSWHAFNEMIPIQGYMEVSKEVVDYVGGLPLALEVLGSYLCKRSIPEWRSAMEKLRKIPHHQIQKKLRISYDTLDDDKIKDIFLDVAFFFTGMDKDYVMKILDGCGLFPEIGMSVLISRSLMTIDSQNKLAMHHLLRDMGREIVREMSPNHPGKRSRLCLYEDVLDVLNMHKGTDAVEGLMLDARASKDVVVSTKSFAKMRYLRLLQINAVHLTGAYENIFDELRWFCWHECPLKSMPHNLQLDNLIVLEMQFSNIRQFSKKVKVLKKLRILDLSHSVHLEKISNFSGLPSLEKLLLGSCTSLVNVHRSIGHLKRLVFLDLEGCKNLKNLPESICCLKSLETLNISGCKKLSRLPDHIGDMEALTELMAERTAIGQLPSSIGHLKKLTKLSLGGLKDGVQSRSWFQQFSSRLSNSKVLLPASFAGLTSLTILFLPDCGLSEDAFSIDLGCLSSLVDLDLKGNNFFNLPAGIGRLPMLQTLWLHDCKNLIAISELPSSLKQLLASNCTSLETLSLKSKQLLGLSLLNCPKLVEIQGLEGVENNPIVHMDQREEYHFSEENSSRSLWQALSKCIPLGVCYSGSVLPSPIHRLVNYEGIGSSFSFHVPESIRGMVFWIMYAITDVKNMYKPANIVIRNNRNRNACYSWKMVFVFNTGEDHSFARYVPIDMLPYAVEGGDELEFSVQAGAGTLIKKCGVHLINPEFANTFPTTVKRIMRAMEITNYKFSPFV >Manes.02G133900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10219809:10226425:1 gene:Manes.02G133900.v8.1 transcript:Manes.02G133900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMTNGGEDNKRSKNRRDSPSVEEASNGPLKKGPWTAAEDAILVEYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTPEEERRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPVYPPEVCQQVLNGSQESQNMGTLQNTDACGPDLIQSDHFEIPEVEFKNLELNRGLIYSPTVLDIPTSSMLKSGVCSSHGSSFMLPTMHPHKRLRESQTIFPSLDGGVGSGLSAFNQSTDYFSEKITESFDISSQYDSHTNTFGQPPLCVLPGSHALLNDNSSSSEPLCGAMKLELPSLQYPETQQDSWGTPNSPLPSLESVDTLILSPPAEQTQSDCLSPRSSGLLEAVLYESQTLKNSKKCSGHQTSDTSVATGDVEDCPFNTYNTEWEVHHDPNSPLGHSAASVFSACTPISGSSSDEPGFELKPETTNQVSTPYIEGKEAPKQIDFTRPDVLLGSGWFGLGSGFVNNQSVRTDEVGACLGDDIDSEC >Manes.02G133900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10219809:10226425:1 gene:Manes.02G133900.v8.1 transcript:Manes.02G133900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMTNGGEDNKRSKNRRDSPSVEEASNGPLKKGPWTAAEDAILVEYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTPEEERRIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPVYPPEVCQQVLNGSQESQNMGTLQNTDACGPDLIQSDHFEIPEVEFKNLELNRGLIYSPTVLDIPTSSMLKSGVCSSHGSSFMLPTMHPHKRLRESQTIFPSLDGGVGSGLSAFNQSTDYFSEKITESFDISSQYDSHTNTFGQPPLCVLPGSHALLNDNSSSSEPLCGAMKLELPSLQYPETQQDSWGTPNSPLPSLESVDTLILSPPAEQTQSDCLSPRSSGLLEAVLYESQTLKNSKKCSGHQTSDTSVATGDVEDCPFNTYNTEWEVHHDPNSPLGHSAASVFSACTPISGSSSDEPGFELKPETTNQVSTPYIEGKEAPKQIDFTRPDVLLGSGWFGLGSGFVNNQSVRTDEVGACLGDDIDSEC >Manes.11G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11090220:11094782:1 gene:Manes.11G075700.v8.1 transcript:Manes.11G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAILGGAAGVVALVGIIIFLIWFYLSHKKSVSRTSETGSSEPSQEGRPVGVELSIREARRFETEELSLATNNFSNKNLIGIGKFGEVYKGLLNDGMLVAIKKRVGAPSPEFVDEVRYLSPIQHRNLVTLLGYCQESNLQFLVYEYIPNGSVSSHLYGPGRVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLLNFLRQVDVAGPSSLVTADEIFLAPEVKEFRRFSDKSDVFSFGVFLLELLHGQQATESPSPDSSQNLVEWVQNTEDYSVFAGIVDQRLGTSFTAEGMEEFIQLIVRCLEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPIVTLGSQLFKASK >Manes.11G075700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11090220:11094782:1 gene:Manes.11G075700.v8.1 transcript:Manes.11G075700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAILGGAAGVVALVGIIIFLIWFYLSHKKSVSRTSETGSSEPSQEGRPVGVELSIREARRFETEELSLATNNFSNKNLIGIGKFGEVYKGLLNDGMLVAIKKRVGAPSPEFVDEVRYLSPIQHRNLVTLLGYCQESNLQFLVYEYIPNGSVSSHLYGPGRVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLLNFLRQVDVAGPSSLVTADEIFLAPEVKEFRRFSDKSDVFSFGVFLLELLHGQQATESPSPDSSQNLVEWVWIYHVIMKYSLWLHMHEHRWIVVCSYWRTF >Manes.08G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4353213:4356919:-1 gene:Manes.08G044000.v8.1 transcript:Manes.08G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAATKHLSFNGGIIVSDLKSLSLLLKTRKTLAFAYGFGFAFVAFTVFLAFVPSPNSSSPWFTNIFSSSSVTSSSDSYRSQFSSIFSYFLPNSSSPRLQVHDISTLPAQNITRSNTTLSQPSGRNGEVRNQPTVQNKTQNKADSVHSLVLKANQSDNASIVNELPSTVENHSQGKENSNKDQALKPNQTTISPPIRPPPANSPVSPVPETKVANSSINSVPAAPAIHNGNANASTASASSANKASNFTASLLKKESNGLKQKNGTNSEAPMKQGIESLLNCDLFDGEWVRDDSYPLYKPGSCSLIDEQFNCIHNGRPDKDYQKYKWKPKGCSLPRLNPANMLDMLRGKRLVFVGDSLNRNMWESLVCILKSSVKDQSKVFEANGRHHFRGEASYSFIFKDYNCTVEFFVSPFLVQEWEMPDKNGTKKETLRLDLVGRSSDLYKRADIIIFNTGHWWTHEKTSKGKDYYQEGSHVYDELNVLEAFRKALTTWARWVDANINPTKSVVFFRGYSASHFSGGQWNSGGACDSEVEPIKDATYLNPYPPKMLVLEKVLRGMKTHVTYLNVTQMTDYRKDGHPSIYRKQNLSPEERRSPLLYQDCSHWCLPGVPDVWNEILYAELLVRKYQKQQVQKRHR >Manes.14G087750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7266363:7269627:1 gene:Manes.14G087750.v8.1 transcript:Manes.14G087750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHRQMYSHLSSLTGSIFKLTSLTIFLACLLLCSTFTPTEAYDDIDPNGKVTIKWDVISWTPDGYVAAVTIYNFQQYRQLQGWTLGWKWGKQEVIWSMMGAQTTEQGNCSRFKGNMPHSCKKDPTVVDLLPGTPYNQQIANCCKGGVISSLAQDPANAVSSFQVRVGDAGTSNKTVRTPKRFNLKAAGTRYNCRAARIVRRTKFISADKWGITEALMTWNVSCGYSRFLNPKTPTCCVSLSSYNDTVVGCRTCACGCQNSTDATGSCVNSDAPHLASVVSSGKPETTPLVQCTGHMCPIRVHWHVKLDHKQYWQVEVTVTNFKYKMNYTQWNIVVQHPNSDNLTQLFSFKSLTPYDGLNDTAMLQGVEFYDDLLAQAGPSGNVQAELLFPKDKSAFTFVKGWAFPRRIYFNGDSCLMPTPDAYPLLPNGSFRPIISLLRLVMTFLVYVVFFFAYI >Manes.16G057281.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17237345:17237754:-1 gene:Manes.16G057281.v8.1 transcript:Manes.16G057281.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTDIPQINKPTEEITKRGQSAVSGETQQINRERGKEIDRERFVTLFSRSTGKRTLKEDKQSMVGANTQQIDGKEVYGRRLTIDVKEKEINGI >Manes.02G208861.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24189041:24192151:1 gene:Manes.02G208861.v8.1 transcript:Manes.02G208861.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKGANEDIDINEIEIEKQKQNEFDKSSVQLVAPAPSKKEEDDFSRKDGSACRASKPNGGAAVVPLEGGGEKNTMIVERPLNVRHRRCATTDLGSTGHHNQQMGRIVAMPHGSEGELNVAGWPQWLTSAAGEAIKGWLPRRADSFEKLDKIGQGTYSSVYKARDLDTGKVVAMKKVRFVNMDPESVRFMAREIHILRKLDHPNVMKLEGIVTSRISESLYLVFEYMEHDLAGLAATRGIKFTEPQIKCYMQQLLCGLEHCHSRGVLHRDIKGSNLLLDDNGVLKIGDFGLANFFQPDQNQALTSRVVTLWYRAPELLLGATQYGVGIDLWSAGCILAEKNRGMFVEQMHKILKLCGSPSEDYWQKSKLTHTTSFKLQSYKRCLSDTFKNFPPSALSLVDKLLALEPEARGSATSALRSEFFSTEPLPCDPSSIPKYPPSKELDAKVREEEARRRRAEARGRRHARDLKRDQSPEFIAQGQTTSTSYKYDPDEDAGSGFRIEPPRFAHKNNGLSQPSSMIHPVIRSSVHKNVGSSAHNTDVITQRPCIPQTAAEISNTTLKKDQRIPNKDSVGYVPRKNRINFSGPLMTSGGNIDDLLKEHERQIQQAVRKARLEKSGTKTNVDG >Manes.03G156200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28479449:28481163:-1 gene:Manes.03G156200.v8.1 transcript:Manes.03G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAGGGGGGSLVLLTKPGFIKFHQKPLFPFKSSRYISRRSYIAIVTPTTTSASYRPRDSNAETVGSKKFKFKFRDKNNKYRGIDEMEEQNEDLEGYRRKGKKRMWWSEGSPDMVEEEDAGILEEFVDSLWIFKVFKSYGWALPPIILSLLLANGPKAFLMTLALPLGQSILTFLFGKLWGRTQSKPKSKARKKREPFGSFSSNVEMDDEEQEERQKTMKGDEFQSWVVNGSVNKDSQGTPNFGGWDELDGMESMQQPPKRARQTPKTSSATGKLGRRRRERDAPLLLRLLIALFPFLGSWTKML >Manes.16G054001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15731705:15732434:-1 gene:Manes.16G054001.v8.1 transcript:Manes.16G054001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSGPKVKVYKVWPTHVQEGLIQNQQTKPNKIKSTKIYESTMIQIKRPKSKS >Manes.13G142800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35676193:35677826:-1 gene:Manes.13G142800.v8.1 transcript:Manes.13G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKRKPVFVKVEELKPGTTGHNLIVKVVNSNAVPVPKPRRAPMSISQRPIRPSRIAECLVGDDTGSIVFTARNEQVDLMNPGSTVILRNAKIDMFKGSMRLAVDKWGRIEVTEPANFVVQENNNLSLVEYELVTVQG >Manes.03G207300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32321625:32324612:1 gene:Manes.03G207300.v8.1 transcript:Manes.03G207300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGEIFEEEKEIRVFSAEKTDDDCETEEGVESKEEEEEEDGDEDDDGDEDDDDEDDEEDDEGGEDDEDDEVQVLQSSRGPPVQSADDDEDDEDEDDDEDDDGEGGDDDDDDDDDDEENDDEDEDGEEEDMGTEYLVQPVGRAEDEEDASDFEPEENGEDEDADEEDEDDDDEGAGKVEALLKRKRSDKDDSDDSDDDGDGGEDDERPSKR >Manes.17G005300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2275159:2281327:-1 gene:Manes.17G005300.v8.1 transcript:Manes.17G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGNLSDTDDSAVEELISQAQDLCVLDQLSKINCAAFSESLLPSDLETRFLKLKSKPITSNPNMDFDPNKSDSVGKTSSLKDEVDTFSNAKEKESPRKQIFSPKEENPNGEKSLEEKCKDESLFSPSDSSYSWIENFISTPSKHNSGKRMSSFKTPLGSSNSSRDSPSPPRKSGCFWCSPKKDSKKKKKENWNIIDWGSNNDEFLSDLNIFSSKEQEKILRKAMKEEEKISREAEKIVKWAKQASNRMSFHGIEDEGSDDDDDKSKI >Manes.03G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13444011:13461958:1 gene:Manes.03G076600.v8.1 transcript:Manes.03G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVKSLLHPSTVELWCVYFIFFSLLHTSSAQNATTDPAEVRALNSIFQQWDTRSVALWNISGEPCSGTAIDQSDLDAAGNNPAIKCDCTYDNGNTCHIIRLKVYDLNRQGVIPEEILTFKKLVFLKIDKNYFTGPLPAFIGNLTALRGLSIAHNAFSGTIPKELGNLKDLTLLSLGVNNFSGTLPPELGNLVKLEQIYINSCGLDGEIPSTFANLTRMRILWAFDNPFTGKIPDFIGNWTELTTLRLQGNSFEGPIPSSFSKLVSLTTLRLSDFHNVSSTLDFMKNMKNLTDLVLRNALITGTIPPDIGEYQTLKILDLSFNNLTGEIPGALFTLSSLEYLFLGNNSLSGTIPSQKSDSLQNIDLSYNHLTGSFPSWVNSNLQLNLIANNFVFDNSNISVIPGLNCLQRDFPCNKNAPRYANFSIKCGGPETPSGGILYEAENSSMGPASFQVATSQKWAVSIVGLFADRQNPSYIEDTLSQIAGTNTPELYLTSRVSPSSIRYYGLGLQNGIYNISLLFAETSLKHRSSRIWESNGRRVFDIYIQGVRQLEDFDISEEAGGVERATTKHYNVNVTENHLEIHLFWAGKGTCCTPLQGYYGPIISALSVVSAFRPTVSGIPPGIPKKKSRPGLIIGISVSAGVISFVLIFAFLYMKRQQSKRDEEVLSGIGHRPYTFSYAELRMATQDFCPSNQLGEGGYGPVYKGTLPDGREVAVKQLSLASNQGKNEFIAEIAIISAVQHRNLVKLHGCCIEGNRRLLVYEYLENKSVDKAIFGKTGLHLDWPTRFNICLGTARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKSHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVLALEILSGIPNFEHNLVENRIYLLGWAWTLYENNQSLALVDPILMGFDEDEALRVIGVALLCTQASPITRPTMSRVVAMLSGDIEVSPVTAKPSYLSDWDLKDINSETKTFAESEISSSKTQDTHYNKPNDNNQVVDPAHTPLNITEPRVSDLIGEGR >Manes.03G076600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13444011:13461958:1 gene:Manes.03G076600.v8.1 transcript:Manes.03G076600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVKSLLHPSTVELWCVYFIFFSLLHTSSAQNATTDPAEVRALNSIFQQWDTRSVALWNISGEPCSGTAIDQSDLDAAGNNPAIKCDCTYDNGNTCHIIRLKVYDLNRQGVIPEEILTFKKLVFLKIDKNYFTGPLPAFIGNLTALRGLSIAHNAFSGTIPKELGNLKDLTLLSLGVNNFSGTLPPELGNLVKLEQIYINSCGLDGEIPSTFANLTRMRILWAFDNPFTGKIPDFIGNWTELTTLRLQGNSFEGPIPSSFSKLVSLTTLRLSDFHNVSSTLDFMKNMKNLTDLVLRNALITGTIPPDIGEYQTLKILDLSFNNLTGEIPGALFTLSSLEYLFLGNNSLSGTIPSQKSDSLQNIVIPGLNCLQRDFPCNKNAPRYANFSIKCGGPETPSGGILYEAENSSMGPASFQVATSQKWAVSIVGLFADRQNPSYIEDTLSQIAGTNTPELYLTSRVSPSSIRYYGLGLQNGIYNISLLFAETSLKHRSSRIWESNGRRVFDIYIQGVRQLEDFDISEEAGGVERATTKHYNVNVTENHLEIHLFWAGKGTCCTPLQGYYGPIISALSVVSAFRPTVSGIPPGIPKKKSRPGLIIGISVSAGVISFVLIFAFLYMKRQQSKRDEEVLSGIGHRPYTFSYAELRMATQDFCPSNQLGEGGYGPVYKGTLPDGREVAVKQLSLASNQGKNEFIAEIAIISAVQHRNLVKLHGCCIEGNRRLLVYEYLENKSVDKAIFGKTGLHLDWPTRFNICLGTARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKSHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVLALEILSGIPNFEHNLVENRIYLLGWAWTLYENNQSLALVDPILMGFDEDEALRVIGVALLCTQASPITRPTMSRVVAMLSGDIEVSPVTAKPSYLSDWDLKDINSETKTFAESEISSSKTQDTHYNKPNDNNQVVDPAHTPLNITEPRVSDLIGEGR >Manes.03G076600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13444011:13461958:1 gene:Manes.03G076600.v8.1 transcript:Manes.03G076600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVKSLLHPSTVELWCVYFIFFSLLHTSSAQNATTDPAEVRALNSIFQQWDTRSVALWNISGEPCSGTAIDQSDLDAAGNNPAIKCDCTYDNGNTCHIIRLKVYDLNRQGVIPEEILTFKKLVFLKIDKNYFTGPLPAFIGNLTALRGLSIAHNAFSGTIPKELGNLKDLTLLSLGVNNFSGTLPPELGNLVKLEQIYINSCGLDGEIPSTFANLTRMRILWAFDNPFTGKIPDFIGNWTELTTLRLQGNSFEGPIPSSFSKLVSLTTLRLSDFHNVSSTLDFMKNMKNLTDLVLRNALITGTIPPDIGEYQTLKILFLGNNSLSGTIPSQKSDSLQNIDLSYNHLTGSFPSWVNSNLQLNLIANNFVFDNSNISVIPGLNCLQRDFPCNKNAPRYANFSIKCGGPETPSGGILYEAENSSMGPASFQVATSQKWAVSIVGLFADRQNPSYIEDTLSQIAGTNTPELYLTSRVSPSSIRYYGLGLQNGIYNISLLFAETSLKHRSSRIWESNGRRVFDIYIQGVRQLEDFDISEEAGGVERATTKHYNVNVTENHLEIHLFWAGKGTCCTPLQGYYGPIISALSVVSAFRPTVSGIPPGIPKKKSRPGLIIGISVSAGVISFVLIFAFLYMKRQQSKRDEEVLSGIGHRPYTFSYAELRMATQDFCPSNQLGEGGYGPVYKGTLPDGREVAVKQLSLASNQGKNEFIAEIAIISAVQHRNLVKLHGCCIEGNRRLLVYEYLENKSVDKAIFGKTGLHLDWPTRFNICLGTARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKSHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVLALEILSGIPNFEHNLVENRIYLLGWAWTLYENNQSLALVDPILMGFDEDEALRVIGVALLCTQASPITRPTMSRVVAMLSGDIEVSPVTAKPSYLSDWDLKDINSETKTFAESEISSSKTQDTHYNKPNDNNQVVDPAHTPLNITEPRVSDLIGEGR >Manes.03G076600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13444011:13461958:1 gene:Manes.03G076600.v8.1 transcript:Manes.03G076600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVKSLLHPSTVELWCVYFIFFSLLHTSSAQNATTDPAEVRALNSIFQQWDTRSVALWNISGEPCSGTAIDQSDLDAAGNNPAIKCDCTYDNGNTCHIIRLKVYDLNRQGVIPEEILTFKKLVFLKIDKNYFTGPLPAFIGNLTALRGLSIAHNAFSGTIPKELGNLKDLTLLSLGVNNFSGTLPPELGNLVKLEQIYINSCGLDGEIPSTFANLTRMRILWAFDNPFTGKIPDFIGNWTELTTLRLQGNSFEGPIPSSFSKLVSLTTLRLSDFHNVSSTLDFMKNMKNLTDLVLRNALITGTIPPDIGEYQTLKILFLGNNSLSGTIPSQKSDSLQNIVIPGLNCLQRDFPCNKNAPRYANFSIKCGGPETPSGGILYEAENSSMGPASFQVATSQKWAVSIVGLFADRQNPSYIEDTLSQIAGTNTPELYLTSRVSPSSIRYYGLGLQNGIYNISLLFAETSLKHRSSRIWESNGRRVFDIYIQGVRQLEDFDISEEAGGVERATTKHYNVNVTENHLEIHLFWAGKGTCCTPLQGYYGPIISALSVVSAFRPTVSGIPPGIPKKKSRPGLIIGISVSAGVISFVLIFAFLYMKRQQSKRDEEVLSGIGHRPYTFSYAELRMATQDFCPSNQLGEGGYGPVYKGTLPDGREVAVKQLSLASNQGKNEFIAEIAIISAVQHRNLVKLHGCCIEGNRRLLVYEYLENKSVDKAIFGKTGLHLDWPTRFNICLGTARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKSHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVLALEILSGIPNFEHNLVENRIYLLGWAWTLYENNQSLALVDPILMGFDEDEALRVIGVALLCTQASPITRPTMSRVVAMLSGDIEVSPVTAKPSYLSDWDLKDINSETKTFAESEISSSKTQDTHYNKPNDNNQVVDPAHTPLNITEPRVSDLIGEGR >Manes.03G076600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13444011:13461958:1 gene:Manes.03G076600.v8.1 transcript:Manes.03G076600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVKSLLHPSTVELWCVYFIFFSLLHTSSAQNATTDPAEVRALNSIFQQWDTRSVALWNISGEPCSGTAIDQSDLDAAGNNPAIKCDCTYDNGNTCHIIRLKVYDLNRQGVIPEEILTFKKLVFLKIDKNYFTGPLPAFIGNLTALRGLSIAHNAFSGTIPKELGNLKDLTLLSLGVNNFSGTLPPELGNLVKLEQIYINSCGLDGEIPSTFANLTRMRILWAFDNPFTGKIPDFIGNWTELTTLRLQGNSFEGPIPSSFSKLVSLTTLLSDFHNVSSTLDFMKNMKNLTDLVLRNALITGTIPPDIGEYQTLKILDLSFNNLTGEIPGALFTLSSLEYLFLGNNSLSGTIPSQKSDSLQNIDLSYNHLTGSFPSWVNSNLQLNLIANNFVFDNSNISVIPGLNCLQRDFPCNKNAPRYANFSIKCGGPETPSGGILYEAENSSMGPASFQVATSQKWAVSIVGLFADRQNPSYIEDTLSQIAGTNTPELYLTSRVSPSSIRYYGLGLQNGIYNISLLFAETSLKHRSSRIWESNGRRVFDIYIQGVRQLEDFDISEEAGGVERATTKHYNVNVTENHLEIHLFWAGKGTCCTPLQGYYGPIISALSVVSAFRPTVSGIPPGIPKKKSRPGLIIGISVSAGVISFVLIFAFLYMKRQQSKRDEEVLSGIGHRPYTFSYAELRMATQDFCPSNQLGEGGYGPVYKGTLPDGREVAVKQLSLASNQGKNEFIAEIAIISAVQHRNLVKLHGCCIEGNRRLLVYEYLENKSVDKAIFGKTGLHLDWPTRFNICLGTARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKSHISTRVAGTIGYLAPEYAMRGHLTEKADVFSFGVLALEILSGIPNFEHNLVENRIYLLGWAWTLYENNQSLALVDPILMGFDEDEALRVIGVALLCTQASPITRPTMSRVVAMLSGDIEVSPVTAKPSYLSDWDLKDINSETKTFAESEISSSKTQDTHYNKPNDNNQVVDPAHTPLNITEPRVSDLIGEGR >Manes.10G141400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30890930:30898928:-1 gene:Manes.10G141400.v8.1 transcript:Manes.10G141400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAMGGHVDPNSQMELVGHSIVLTSYPYSDQQYGGMITYAPQPMVPPQFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKVRKPYLHESRHQHAMRRARGCGGRFLSTKKCENNSTNPTADKDVNSGANPSRQSAIFSGSEFLSKHSSGDLNSSNGQLEGKGSVGQDMQAHLSTNGNGNSHGLLSMYHPSSGDMLTGGFLGQHRESTHRNGVTNGALPIN >Manes.10G141400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30890930:30900220:-1 gene:Manes.10G141400.v8.1 transcript:Manes.10G141400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPENDDRRLDQSAQNVLQSAIYSQPWWRGGGNGPTTFAESAPRSSSAEHLNGSPADGAIQSQAKSGLENGTNSNKVTQIAVASQSDGSNGQEHHLKQVPMAAPVAMGGHVDPNSQMELVGHSIVLTSYPYSDQQYGGMITYAPQPMVPPQFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKVRKPYLHESRHQHAMRRARGCGGRFLSTKKCENNSTNPTADKDVNSGANPSRQSAIFSGSEFLSKHSSGDLNSSNGQLEGKGSVGQDMQAHLSTNGNGNSHGLLSMYHPSSGDMLTGGFLGQHRESTHRNGVTNGALPIN >Manes.10G141400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30893373:30900410:-1 gene:Manes.10G141400.v8.1 transcript:Manes.10G141400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPENDDRRLDQSAQNVLQSAIYSQPWWRGGGNGPTTFAESAPRSSSAEHLNGSPADGAIQSQAKSGLENGTNSNKVTQIAVASQSDGSNGQEHHLKQVPMAAPVAMGGHVDPNSQMELVGHSIVLTSYPYSDQQYGGMITYAPQPMVPPQFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKVRKPYLHESRHQHAMRRARGCGGRFLSTKKCENNSTNPTADKDVNSGANPSRQSAIFSGSEFLSKHSSGDLNSSNGQLEGKGSVGQDMQAHLSTNGNGNSHGLLSMYHPSSGDMLTGGFLGQHRESTHRNGVTNGALPIN >Manes.10G141400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30890930:30900405:-1 gene:Manes.10G141400.v8.1 transcript:Manes.10G141400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPENDDRRLDQSAQNVLQSAIYSQPWWRGGGNGPTTFAESAPRSSSAEHLNGSPADGAIQSQAKSGLENGTNSNKVTQIAVASQSDGSNGQEHHLKQVPMAAPVAMGGHVDPNSQMELVGHSIVLTSYPYSDQQYGGMITYAPQPMVPPQFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKVRKPYLHESRHQHAMRRARGCGGRFLSTKKCENNSTNPTADKDVNSGANPSRQSAIFSGSEFLSKHSSGDLNSSNGQLEGKGSVGQDMQAHLSTNGNGNSHGLLSMYHPSSGDMLTGGFLGQHRESTHRNGVTNGALPIN >Manes.10G141400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30890930:30900405:-1 gene:Manes.10G141400.v8.1 transcript:Manes.10G141400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPENDDRRLDQSAQNVLQSAIYSQPWWRGGGNGPTTFAESAPRSSSAEHLNGSPADGAIQSQAKSGLENGTNSNKVTQIAVASQSDGSNGQEHHLKQVPMAAPVAMGGHVDPNSQMELVGHSIVLTSYPYSDQQYGGMITYAPQPMVPPQFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKVRKPYLHESRHQHAMRRARGCGGRFLSTKKCENNSTNPTADKDVNSGANPSRQSAIFSGSEFLSKHSSGDLNSSNGQLEGKGSVGQDMQAHLSTNGNGNSHGLLSMYHPSSGDMLTGGFLGQHRESTHRNGVTNGALPIN >Manes.10G141400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30890930:30900405:-1 gene:Manes.10G141400.v8.1 transcript:Manes.10G141400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPENDDRRLDQSAQNVLQSAIYSQPWWRGGGNGPTTFAESAPRSSSAEHLNGSPADGAIQSQAKSGLENGTNSNKVTQIAVASQSDGSNGQEHHLKQVPMAAPVAMGGHVDPNSQMELVGHSIVLTSYPYSDQQYGGMITYAPQPMVPPQFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKVRKPYLHESRHQHAMRRARGCGGRFLSTKKCENNSTNPTADKDVNSARRKRIRWSGHASTPFHQW >Manes.18G069200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6293884:6297041:1 gene:Manes.18G069200.v8.1 transcript:Manes.18G069200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLVILIVIVVTNITSQVHSVESVSPPFACDFSNPSTKLYPFCKTTLSISQRVQDLISRLTFDEKIAQLAYAAPPIPRLGIPSYHWWGEALHGIVYVGNATYGINFNGTIRSATSFPQVLLTTASFNPQIWFRIGQVIGEEARALYNAGQATGLTFWSPNINIFRDPRWGRGQETPGEDPLVAGKYAMLFVRGVQGDSFEGGKLGVGDHLQASACCKHFTAYDLDNWKGVSTLVFDARVTLQDLADTFQPPFHSCIVEGKASGIMCAYNRVNGVPNCADYNLLSKTARGKWGFQGYIVSDCYAVAQLHDNQGYAKSPEDAVADVLKAGMDVDCGGYVSNHTKSAIKQKKLGESEIDRALGNLFSVRMRLGLFNGNPLKLPYGNIGSDKVCSKEHQDLALEAAREGIVLLKNSVKFLPLKKSKTRSLAVVGHNADEPQTILGSYKGLPCNIVTPLQALQKYVKKVRHHRGCDTAGCTSASIDKAVRIARVVDHVVLIIGLDQTQEREGLDRLNLVLPGKQQELIRKVAKAAKKPVVLVILSGGPIDITFAKNDNKIGSILWAGYPGEAGGTALAEIIFGDYNPGGRLPVTWYPQDFTKVQMVDMRMRSEPSSGYPGRTYRFYGGKKVFEFGYGLSYTNYSYNFISVSRTNITFYKRKSNLNSYILVSDLGTELCENSKFWVTIGVENTGMMAGRHPVLVFAKQAKLGNGKPLKQLVGFQSVKLNAKAKAEIKYELNPCEHLTRANEDGSMVIDEGLYYFIVGSEEYPLTVIVA >Manes.18G069200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6293884:6297041:1 gene:Manes.18G069200.v8.1 transcript:Manes.18G069200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYNRVNGVPNCADYNLLSKTARGKWGFQGYIVSDCYAVAQLHDNQGYAKSPEDAVADVLKAGMDVDCGGYVSNHTKSAIKQKKLGESEIDRALGNLFSVRMRLGLFNGNPLKLPYGNIGSDKVCSKEHQDLALEAAREGIVLLKNSVKFLPLKKSKTRSLAVVGHNADEPQTILGSYKGLPCNIVTPLQALQKYVKKVRHHRGCDTAGCTSASIDKAVRIARVVDHVVLIIGLDQTQEREGLDRLNLVLPGKQQELIRKVAKAAKKPVVLVILSGGPIDITFAKNDNKIGSILWAGYPGEAGGTALAEIIFGDYNPGGRLPVTWYPQDFTKVQMVDMRMRSEPSSGYPGRTYRFYGGKKVFEFGYGLSYTNYSYNFISVSRTNITFYKRKSNLNSYILVSDLGTELCENSKFWVTIGVENTGMMAGRHPVLVFAKQAKLGNGKPLKQLVGFQSVKLNAKAKAEIKYELNPCEHLTRANEDGSMVIDEGLYYFIVGSEEYPLTVIVA >Manes.18G069200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6293884:6297041:1 gene:Manes.18G069200.v8.1 transcript:Manes.18G069200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIICVKVIGEEARALYNAGQATGLTFWSPNINIFRDPRWGRGQETPGEDPLVAGKYAMLFVRGVQGDSFEGGKLGVGDHLQASACCKHFTAYDLDNWKGVSTLVFDARVTLQDLADTFQPPFHSCIVEGKASGIMCAYNRVNGVPNCADYNLLSKTARGKWGFQGYIVSDCYAVAQLHDNQGYAKSPEDAVADVLKAGMDVDCGGYVSNHTKSAIKQKKLGESEIDRALGNLFSVRMRLGLFNGNPLKLPYGNIGSDKVCSKEHQDLALEAAREGIVLLKNSVKFLPLKKSKTRSLAVVGHNADEPQTILGSYKGLPCNIVTPLQALQKYVKKVRHHRGCDTAGCTSASIDKAVRIARVVDHVVLIIGLDQTQEREGLDRLNLVLPGKQQELIRKVAKAAKKPVVLVILSGGPIDITFAKNDNKIGSILWAGYPGEAGGTALAEIIFGDYNPGGRLPVTWYPQDFTKVQMVDMRMRSEPSSGYPGRTYRFYGGKKVFEFGYGLSYTNYSYNFISVSRTNITFYKRKSNLNSYILVSDLGTELCENSKFWVTIGVENTGMMAGRHPVLVFAKQAKLGNGKPLKQLVGFQSVKLNAKAKAEIKYELNPCEHLTRANEDGSMVIDEGLYYFIVGSEEYPLTVIVA >Manes.05G174001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28962618:28964769:1 gene:Manes.05G174001.v8.1 transcript:Manes.05G174001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRNFPLAFSLVIFFFMTPSFCVAQMMNPIDSCWRQNPNWRRSRQQLATCSVGFSGKMTNNIGKDTIRYKVSDPSDDPLNPKKGTLRYGATMITGKVWITFQKNMNIQLVKPLLISSFTTLDGRGVDIHITGNACLLVYKATDVIIHGLRIHHCKAVGPSSVRGPNGEMVPLGQMDGDAIRLVTASKVWIDHNTLYSCQDGLLDVTRGSTDVTISNNWFKDQDKVMLLGHDDGYLRDKNMRVTVVFNHFGPNCNQRMPRVRHGYAHVANNLYQGWEQYAIGGSMNPSIKSEANYFIAPKSGNKEVTWRNGINVKSKPWKFYSVGDVFENGASFIQTGLGGANPNYNDQQRFKVADAKFVKSITKSSGALKCFRTIMC >Manes.S050216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1684214:1684381:-1 gene:Manes.S050216.v8.1 transcript:Manes.S050216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.03G155000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28261380:28266673:-1 gene:Manes.03G155000.v8.1 transcript:Manes.03G155000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFASSLASKARIARNSTHQIGSRLNWSRNYAAKDIKFGVEARALMLKGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDKVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLDNELEVVEGMKLDRGYISPYFITNQKNQKCELEDPLILIHEKKISSIHAMVKVLELALKRQRPLLIVSEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKAGLQDLAVLTGGEVITEELGLNLEKVDLDMLGSCKKITVSKDDTIILDGAGDKKAIEERCEQIRSAIELSTSNYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVAGGGVALLYATKELAELQTDNFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVIGKLLEQDDHNLGYDAAKGEYVDMVKAGIVDPLKVIRTALIDAASVSSLMTTTEAIVSELPKDEKDAPGMAPGMGMDY >Manes.09G149500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34706608:34708383:1 gene:Manes.09G149500.v8.1 transcript:Manes.09G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGQVGLPGMDHTSSSSSSPMKKPDLGISMSNNNREAIESGRREEEQEEEREHSDEPKEGAIDIATRRPRGRPPGSKNKPKPPIFVTRDSPNALKSHVLEIANGSDVAESLACFARKRQRGVCVLSGSGMVTNVTLKQPSAPGAVMALHGRFEILSLTGAFLPGPAPPGATGLTIYLAGGQGQVVGGSVMGPLMASGPVMVIAATFSNATYERLPLEEEEEEGGGERGGQGQIGGGEDGGSGGMGDPAAASTAVYNNLPPNLVPNGGQLNLEGYGWAHGRPPF >Manes.14G150200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17011782:17018999:1 gene:Manes.14G150200.v8.1 transcript:Manes.14G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVPSARKLLSAFQYHENNCSVNLVKMQETLLVDANCTSSVCKPINTVDTYRYLCHNLLISRALSTEAAKLFKGDVNRAGPLVEYDRRIVAGELVDGDTCQVGTLRELQRLYDELVESADACKLDRYSSSDKSGRSRWLWSRFMPQSSHSPVKGLYLYGGVGTGKTMLMDLFFDQLPCNWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEISDEAILLCLDEFMVNDVADALILNRLFRHLFNNGVILVATSNRAPDNLYEGGLQRDLFLPFIATLKERCVVHEIGSLVDYRKMTSAEQGFYFVGKDLSGILKQKFQELIGDETAGPQEVEVVMGRTLQVPLCANGCAYFSFEELCDKPLGAADYFGLFKNFHSLALEGVPIFGLHNRTAAYRFVTLVDVMYENRARLLCTAEGSPLELFRRVVTVSDAQHIAPRTSTRSRRNDDLDLCVDNELGFAKDRTISRLTEMNSKEYLEHHAAMLVEKQLSTGR >Manes.03G056400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5505167:5509796:1 gene:Manes.03G056400.v8.1 transcript:Manes.03G056400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLRSILRPLCIFFTSAKSTSSCSLCHPFRSHFSSLVDPVSLPRSPSQSSSSSQCFSLLRTAVSTSNLPLGKCIHAGIITSGQTSDRFLVNNLISMYSKCGSLTSARQLFDRTLDRDLVTWNSILAAYAQSADSDLDHVREGFSLFRLLRGCFVSTSKMTLAPMLKLCLLSGYVCASEAVHGYAVKIGLEWDMFVSGALVNIYSKFGLVREARVIFERMQERDVVLWNVMLKAYVESGMEEEALSLFSEFHQSGLRPDYASVCCVINGISDICSHTGKKYMEQIQAYATKLLFYDDNNSNVVMWNKKLSEYLQAGEYWDAVSYFIDMIRSYVKYDNVTLVVVLAAAAGTDNLRLGQQIHGMVLRSGFDSVVSVANSVINMYSKLGFVSFAKKVFTGMNELDLISWNSMISCFAQNNLEQESVNLLIGLLRDGLLPNHFTLASVLRACSSIAEGLYLSKQIHVCAIKTCTIADTFVSTALIDVYSRSGLMAEAEFLFKNKNEFDLVTWNTMMSGYITSNDIHKALELFALMHKRGESCDEITLATAAKACGCLVWLEQGKQVHAHATKLGLDSELFVISGILDMYIKCGDMENAYLLFNDIPKPDDVAWTTMISGCVENGDEDRALSIYHQMRLSGVLPDEYTFATLIKASSCLTALEQGRQIHANVIKLDCASDTFVGTSLIDMYAKCGNIEDAYCLFKRMDVRNNVLWNAMLVGLAQHGHGREALHLFQVMKSHGIQPDRVTFIGVLSACSHCGLVSEAYGHFYSMQKDYGIHPEIEHYACLVDALGRGGQVMEAEKLILSMPFEASASMYRALLGACRVLGDMETGKRLATKLMALEPSDSSAYVLLSNIYAAANQWDGVTNARRTMQRKNVKKDPGFSWIDVQNKVHLFVVGDRSHPEGDSIYGKVEDMMKRIKAEGYVPDTDFVLLDVEEEEKESSLYYHSEKLAVAYGLLSTPPSSRIRVIKNLRVCGDCHNAIKLISKVYQKEIVLRDANRFHCFKNGSCSCGDYW >Manes.03G056400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5505167:5509796:1 gene:Manes.03G056400.v8.1 transcript:Manes.03G056400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLRSILRPLCIFFTSAKSTSSCSLCHPFRSHFSSLVDPVSLPRSPSQSSSSSQCFSLLRTAVSTSNLPLGKCIHAGIITSGQTSDRFLVNNLISMYSKCGSLTSARQLFDRTLDRDLVTWNSILAAYAQSADSDLDHVREGFSLFRLLRGCFVSTSKMTLAPMLKLCLLSGYVCASEAVHGYAVKIGLEWDMFVSGALVNIYSKFGLVREARVIFERMQERDVVLWNVMLKAYVESGMEEEALSLFSEFHQSGLRPDYASVCCVINGISDICSHTGKKYMEQIQAYATKLLFYDDNNSNVVMWNKKLSEYLQAGEYWDAVSYFIDMIRSYVKYDNVTLVVVLAAAAGTDNLRLGQQIHGMVLRSGFDSVVSVANSVINMYSKLGFVSFAKKVFTGMNELDLISWNSMISCFAQNNLEQESVNLLIGLLRDGLLPNHFTLASVLRACSSIAEGLYLSKQIHVCAIKTCTIADTFVSTALIDVYSRSGLMAEAEFLFKNKNEFDLVTWNTMMSGYITSNDIHKALELFALMHKRGESCDEITLATAAKACGCLVWLEQGKQVHAHATKLGLDSELFVISGILDMYIKCGDMENAYLLFNDIPKPDDVAWTTMISGCVENGDEDRALSIYHQMRLSGVLPDEYTFATLIKASSCLTALEQGRQIHANVIKLDCASDTFVGTSLIDMYAKCGNIEDAYCLFKRMDVRNNVLWNAMLVGLAQHGHGREALHLFQVMKSHGIQPDRVTFIGVLSACSHCGLVSEAYGHFYSMQKDYGIHPEIEHYACLVDALGRGGQVMEAEKLILSMPFEASASMYRALLGACRVLGDMETGKRLATKLMALEPSDSSAYVLLSNIYAAANQWDGVTNARRTMQRKNVKKDPGFSWIDVQNKVHLFVVGDRSHPEGDSIYGKVEDMMKRIKAEGYVPDTDFVLLDVEEEEKESSLYYHSEKLAVAYGLLSTPPSSRIRVIKNLRVCGDCHNAIKLISKVYQKEIVLRDANRFHCFKNGSCSCGDYW >Manes.03G056400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5505021:5509820:1 gene:Manes.03G056400.v8.1 transcript:Manes.03G056400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLRSILRPLCIFFTSAKSTSSCSLCHPFRSHFSSLVDPVSLPRSPSQSSSSSQCFSLLRTAVSTSNLPLGKCIHAGIITSGQTSDRFLVNNLISMYSKCGSLTSARQLFDRTLDRDLVTWNSILAAYAQSADSDLDHVREGFSLFRLLRGCFVSTSKMTLAPMLKLCLLSGYVCASEAVHGYAVKIGLEWDMFVSGALVNIYSKFGLVREARVIFERMQERDVVLWNVMLKAYVESGMEEEALSLFSEFHQSGLRPDYASVCCVINGISDICSHTGKKYMEQIQAYATKLLFYDDNNSNVVMWNKKLSEYLQAGEYWDAVSYFIDMIRSYVKYDNVTLVVVLAAAAGTDNLRLGQQIHGMVLRSGFDSVVSVANSVINMYSKLGFVSFAKKVFTGMNELDLISWNSMISCFAQNNLEQESVNLLIGLLRDGLLPNHFTLASVLRACSSIAEGLYLSKQIHVCAIKTCTIADTFVSTALIDVYSRSGLMAEAEFLFKNKNEFDLVTWNTMMSGYITSNDIHKALELFALMHKRGESCDEITLATAAKACGCLVWLEQGKQVHAHATKLGLDSELFVISGILDMYIKCGDMENAYLLFNDIPKPDDVAWTTMISGCVENGDEDRALSIYHQMRLSGVLPDEYTFATLIKASSCLTALEQGRQIHANVIKLDCASDTFVGTSLIDMYAKCGNIEDAYCLFKRMDVRNNVLWNAMLVGLAQHGHGREALHLFQVMKSHGIQPDRVTFIGVLSACSHCGLVSEAYGHFYSMQKDYGIHPEIEHYACLVDALGRGGQVMEAEKLILSMPFEASASMYRALLGACRVLGDMETGKRLATKLMALEPSDSSAYVLLSNIYAAANQWDGVTNARRTMQRKNVKKDPGFSWIDVQNKVHLFVVGDRSHPEGDSIYGKVEDMMKRIKAEGYVPDTDFVLLDVEEEEKESSLYYHSEKLAVAYGLLSTPPSSRIRVIKNLRVCGDCHNAIKLISKVYQKEIVLRDANRFHCFKNGSCSCGDYW >Manes.03G056400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5505021:5509820:1 gene:Manes.03G056400.v8.1 transcript:Manes.03G056400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLRSILRPLCIFFTSAKSTSSCSLCHPFRSHFSSLVDPVSLPRSPSQSSSSSQCFSLLRTAVSTSNLPLGKCIHAGIITSGQTSDRFLVNNLISMYSKCGSLTSARQLFDRTLDRDLVTWNSILAAYAQSADSDLDHVREGFSLFRLLRGCFVSTSKMTLAPMLKLCLLSGYVCASEAVHGYAVKIGLEWDMFVSGALVNIYSKFGLVREARVIFERMQERDVVLWNVMLKAYVESGMEEEALSLFSEFHQSGLRPDYASVCCVINGISDICSHTGKKYMEQIQAYATKLLFYDDNNSNVVMWNKKLSEYLQAGEYWDAVSYFIDMIRSYVKYDNVTLVVVLAAAAGTDNLRLGQQIHGMVLRSGFDSVVSVANSVINMYSKLGFVSFAKKVFTGMNELDLISWNSMISCFAQNNLEQESVNLLIGLLRDGLLPNHFTLASVLRACSSIAEGLYLSKQIHVCAIKTCTIADTFVSTALIDVYSRSGLMAEAEFLFKNKNEFDLVTWNTMMSGYITSNDIHKALELFALMHKRGESCDEITLATAAKACGCLVWLEQGKQVHAHATKLGLDSELFVISGILDMYIKCGDMENAYLLFNDIPKPDDVAWTTMISGCVENGDEDRALSIYHQMRLSGVLPDEYTFATLIKASSCLTALEQGRQIHANVIKLDCASDTFVGTSLIDMYAKCGNIEDAYCLFKRMDVRNNVLWNAMLVGLAQHGHGREALHLFQVMKSHGIQPDRVTFIGVLSACSHCGLVSEAYGHFYSMQKDYGIHPEIEHYACLVDALGRGGQVMEAEKLILSMPFEASASMYRALLGACRVLGDMETGKRLATKLMALEPSDSSAYVLLSNIYAAANQWDGVTNARRTMQRKNVKKDPGFSWIDVQNKVHLFVVGDRSHPEGDSIYGKVEDMMKRIKAEGYVPDTDFVLLDVEEEEKESSLYYHSEKLAVAYGLLSTPPSSRIRVIKNLRVCGDCHNAIKLISKVYQKEIVLRDANRFHCFKNGSCSCGDYW >Manes.03G056400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5505167:5509796:1 gene:Manes.03G056400.v8.1 transcript:Manes.03G056400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLRSILRPLCIFFTSAKSTSSCSLCHPFRSHFSSLVDPVSLPRSPSQSSSSSQCFSLLRTAVSTSNLPLGKCIHAGIITSGQTSDRFLVNNLISMYSKCGSLTSARQLFDRTLDRDLVTWNSILAAYAQSADSDLDHVREGFSLFRLLRGCFVSTSKMTLAPMLKLCLLSGYVCASEAVHGYAVKIGLEWDMFVSGALVNIYSKFGLVREARVIFERMQERDVVLWNVMLKAYVESGMEEEALSLFSEFHQSGLRPDYASVCCVINGISDICSHTGKKYMEQIQAYATKLLFYDDNNSNVVMWNKKLSEYLQAGEYWDAVSYFIDMIRSYVKYDNVTLVVVLAAAAGTDNLRLGQQIHGMVLRSGFDSVVSVANSVINMYSKLGFVSFAKKVFTGMNELDLISWNSMISCFAQNNLEQESVNLLIGLLRDGLLPNHFTLASVLRACSSIAEGLYLSKQIHVCAIKTCTIADTFVSTALIDVYSRSGLMAEAEFLFKNKNEFDLVTWNTMMSGYITSNDIHKALELFALMHKRGESCDEITLATAAKACGCLVWLEQGKQVHAHATKLGLDSELFVISGILDMYIKCGDMENAYLLFNDIPKPDDVAWTTMISGCVENGDEDRALSIYHQMRLSGVLPDEYTFATLIKASSCLTALEQGRQIHANVIKLDCASDTFVGTSLIDMYAKCGNIEDAYCLFKRMDVRNNVLWNAMLVGLAQHGHGREALHLFQVMKSHGIQPDRVTFIGVLSACSHCGLVSEAYGHFYSMQKDYGIHPEIEHYACLVDALGRGGQVMEAEKLILSMPFEASASMYRALLGACRVLGDMETGKRLATKLMALEPSDSSAYVLLSNIYAAANQWDGVTNARRTMQRKNVKKDPGFSWIDVQNKVHLFVVGDRSHPEGDSIYGKVEDMMKRIKAEGYVPDTDFVLLDVEEEEKESSLYYHSEKLAVAYGLLSTPPSSRIRVIKNLRVCGDCHNAIKLISKVYQKEIVLRDANRFHCFKNGSCSCGDYW >Manes.03G056400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5505167:5509796:1 gene:Manes.03G056400.v8.1 transcript:Manes.03G056400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLRSILRPLCIFFTSAKSTSSCSLCHPFRSHFSSLVDPVSLPRSPSQSSSSSQCFSLLRTAVSTSNLPLGKCIHAGIITSGQTSDRFLVNNLISMYSKCGSLTSARQLFDRTLDRDLVTWNSILAAYAQSADSDLDHVREGFSLFRLLRGCFVSTSKMTLAPMLKLCLLSGYVCASEAVHGYAVKIGLEWDMFVSGALVNIYSKFGLVREARVIFERMQERDVVLWNVMLKAYVESGMEEEALSLFSEFHQSGLRPDYASVCCVINGISDICSHTGKKYMEQIQAYATKLLFYDDNNSNVVMWNKKLSEYLQAGEYWDAVSYFIDMIRSYVKYDNVTLVVVLAAAAGTDNLRLGQQIHGMVLRSGFDSVVSVANSVINMYSKLGFVSFAKKVFTGMNELDLISWNSMISCFAQNNLEQESVNLLIGLLRDGLLPNHFTLASVLRACSSIAEGLYLSKQIHVCAIKTCTIADTFVSTALIDVYSRSGLMAEAEFLFKNKNEFDLVTWNTMMSGYITSNDIHKALELFALMHKRGESCDEITLATAAKACGCLVWLEQGKQVHAHATKLGLDSELFVISGILDMYIKCGDMENAYLLFNDIPKPDDVAWTTMISGCVENGDEDRALSIYHQMRLSGVLPDEYTFATLIKASSCLTALEQGRQIHANVIKLDCASDTFVGTSLIDMYAKCGNIEDAYCLFKRMDVRNNVLWNAMLVGLAQHGHGREALHLFQVMKSHGIQPDRVTFIGVLSACSHCGLVSEAYGHFYSMQKDYGIHPEIEHYACLVDALGRGGQVMEAEKLILSMPFEASASMYRALLGACRVLGDMETGKRLATKLMALEPSDSSAYVLLSNIYAAANQWDGVTNARRTMQRKNVKKDPGFSWIDVQNKVHLFVVGDRSHPEGDSIYGKVEDMMKRIKAEGYVPDTDFVLLDVEEEEKESSLYYHSEKLAVAYGLLSTPPSSRIRVIKNLRVCGDCHNAIKLISKVYQKEIVLRDANRFHCFKNGSCSCGDYW >Manes.06G054900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19038694:19043756:1 gene:Manes.06G054900.v8.1 transcript:Manes.06G054900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVHDLSDNSETSEQQKHSEPQVHSSSPATASMHPGMSNPNAQYATNLQLGSGHAMAAAAYPYPDPYYRSIFAPYDAQPYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKDENQQENDSVGDKSQSNINLNSDKNDIASSDSKS >Manes.06G054900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19038453:19045911:1 gene:Manes.06G054900.v8.1 transcript:Manes.06G054900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVHDLSDNSETSEQQKHSEPQVHSSSPATASMHPGMSNPNAQYATNLQLGSGHAMAAAAYPYPDPYYRSIFAPYDAQPYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKDENQQENDSVGDKSQSNINLNSDKNDIASSDSKS >Manes.06G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19035363:19045911:1 gene:Manes.06G054900.v8.1 transcript:Manes.06G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVHDLSDCCPDNSETSEQQKHSEPQVHSSSPATASMHPGMSNPNAQYATNLQLGSGHAMAAAAYPYPDPYYRSIFAPYDAQPYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKDENQQENDSVGDKSQSNINLNSDKNDIASSDSKS >Manes.06G054900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19040551:19043756:1 gene:Manes.06G054900.v8.1 transcript:Manes.06G054900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFCCNSVKMLFQFSLPHENWALSCTNFYAWLVELKAAAAYPYPDPYYRSIFAPYDAQPYPPQPYGGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIKSRKPYLHESRHLHALRRARGLGGRFLNSKKDENQQENDSVGDKSQSNINLNSDKNDIASSDSKS >Manes.13G030301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3906553:3907149:1 gene:Manes.13G030301.v8.1 transcript:Manes.13G030301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLLYFCHSVPKVRVYEADILDTNSKAKINHGVAICHLDTSSWSPAHEAFLTLGSGPGRIEVCHWIFENDMAWTTAENSITLTTAENDMTWTIVDE >Manes.15G180348.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22958112:22963258:1 gene:Manes.15G180348.v8.1 transcript:Manes.15G180348.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGVTISPSNYLLPQFSAAVNFAAPSLSSATPSLSFATNLIEKSNTLRTGRFDFSASKKRSKVCTYVLESNWASASATFRGVWEDPHDGSDSDYDEEDEEGEENDMHYESDWEEQTNTSRTSTVDNSTENNYEEDLLKEVEQLLGLEERAILQQNATYNLDKISTAKWKPLQTLALSGQIHSMDKLLEDGLGIDSIDKDGLTALHKAIIGKKESVISHLLRKGASPHIRDPDGASPLHYAVQIGAMQTVKLLIKYNVDVNVADNEGWTALHVAVQSRNRDIVKVLLVNGADKNRRNKDGMTPLDLCLCYGKDFKSYDLAKLVKIVPVNRDL >Manes.14G086500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7156171:7158522:1 gene:Manes.14G086500.v8.1 transcript:Manes.14G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSREKDFQAKQEVCAADDNKFTKAVPSTSSRQWSAFRNPRIVRVSRSFGGKDRHSKVCTVKGLRDRRIRLSVPTAVQLYDLQDRLGLSQPSKVIDWLIDATKDDIDKLPPLVMPQGFGQFVHPQHMLLSHEPNSSLVPNFFDANSASYKDGGFYSLGININSSLDENHRETVAKSKYWEAAEASARSGRSNEVERIVEKGKWIKTNEQENQGGFSNYNPPEQASAQMFFPLTSSSHSSLPGLPNNPLPFNPYYHWDASNLSLSHQFPTPGFQTQTETSLSNNVPLPSSSQSPFSSASQFFLCPQVTMPSLFPQYPPYATTHIENESREINHFQLLSSGSQHILPSSRATSLPMKNLSLTVNPGFAQSHQSKNVRQPDEEDNPDS >Manes.08G069932.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11595323:11598760:-1 gene:Manes.08G069932.v8.1 transcript:Manes.08G069932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKEEEKKEEVKEEEQKKKEEEKKEEEPPEIVLKVDMHCEACARKVARALKGFQGVEEVTTDIKASKVVVKGKAADPLKVSERLQKKSGRKVELISPLPKPPEENKQENPEPPKEEKKDEPPAVITVVLSVRMHCEACAQVLQKRVRKIQGVESVETDLVNSQVIVKGVVDPVKLVDDVYKKTKKQASIVKDEEKKEEEKKEEEKKEEGEKKDGEEEKEEDDKKSDIKRNEYWPSKSYLEYVYDPEIFSDENPNACFVM >Manes.02G083300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6540587:6543821:1 gene:Manes.02G083300.v8.1 transcript:Manes.02G083300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSRKKASPLNAAGPVSVAAMEAVGPGKLCETSSVKGEPDFQIKEKLVGEEKVKKQVAAEEEAGLVKKDVVMIKHRKSHDREKPSPPPQKDVVLADENMVAPAIPSCTSVNPSADVIEMGSNAVVRTSSCTKEELDAILIQCGRLSRSNSSGAGKAASSGRKYSGRKRSYDLDHNDQDQDLEVAPAANYDAKKRGSDSKCNDGDDDETSSERRQHRQRHRQRHSGRTSPSPSSQGRRRTPSREREQRSGSRERGSGSSSSGRRVSQSPGRRSETTPTANSTGARPGKMVSVPATVSSLTMDRSNICEESQTATAIKRISVKKNVGDAALAASRGAASPRSQSPARASAKGSNENNQQPSLSRSSSRKAEHSPYRRNPLSEIDPNSLVYAQATGNNKTACINNSNSGSRVQTRNKEIEVQAAAQMQKTSAETNNRSVAQATNCRGSTNGVKEAPVIVDEAKAQQPMSTLVAAGAELKPQTLTRSRSARRSRDLDFNPETLLNPNPSYTALLLEDIQSFHQKNTTTTTATPPFTVPPCVTKACSILEAVADLNSTTSSNLSCAFVEDRRSPTTMGANPVVKKQSEAKDPFVESEVQVSDDLMEPSFHKYVTVRRGGTRCVEDMDEQESSGSNSFVSGSQQQWGYSTSSWEPNSADSTDRWTSRSNTKEEDEKNPSELQKHAISESGRGMEEARRGFSGQRTGIGRGRFGTSKNIHSNLIVAATAST >Manes.06G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21688404:21694217:-1 gene:Manes.06G081500.v8.1 transcript:Manes.06G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRATDYRRPVRRRLSQWIWALLGMFLIAGLVLFVFHHHHHEDQLQLPIQENHERAEPVKHEGLNFTKEILSATSFARQLAEQMTLAKAYVILAKEHNNHHLAWELSNQIRSCQLLLSKAAMRGEPITQQEAEPIISSLSYLIFKAQDAHYDVATTIMTMKSHIQALEERANAATAQSTVFGQLVAESLPKNLHCLEVKLTTDWLKKLPLQDLAEDEKNSPRVMDNNLYHFCIFSDNVLATSVVVNSTISNADHPKQLIFHIVTNGISYGAMQAWFLSNDFKGATIEVQNLEEFSWLNASYAPVLRQRHEEGFQAYYFSGYEDMKGEPKLRNPKYLSMLNHLRFYIPEIYPLLEKVVFLDDDVVVQKDLTPLFLLDLHGNVNGAVETCLEAFHRYYKYLNFTHPIISSKFDPQACGWAFGMNVFDLIAWRKANVTARYHYWQEQNADRTLWKLGTLPPALLAFYGLTEPLDRRWHVLGLGHDVDIDNRLIESAAVIHFNGNMKPWLRLAISRYKPYWERYINQSHPYYKHCVTS >Manes.16G073800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27614609:27620358:1 gene:Manes.16G073800.v8.1 transcript:Manes.16G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQRVLEAERYQMEQIRELEFEELQVEEVEEGNSSDDDRDAIGAASSDEYAFNTFLAPLHTYLGEVEDTHRRLAFLDGGAILTLPLFYLEGVVLFPGAILPLRVVQPNFISAVERALTQVDAPYTIGVVHAYRDLDNRRLKFATVGTTAEIRQYRRLEDGSVNVVTRGQQRIRLRRHWIDVEGVPCGEVQVIQEDLPLRAPQDAFGRLAPLCINRHNVSHRMPSKVSLRGHGDGDNDSEANSEESFEIGLSPAEKRIHQSAVTSCCGYDVIDESTSSDEDKFESEIKRTKSHISDYGCSSTPGEQSLKGEGSRNRRKNAGLSHFSKVPRAFWPYWVYRMYDSYCLAERAADMWKQIVGTPSMDGLVRRPDLLSFYIASKIPVSESTRQELLEIDGISYRLQREIDLLKSFDLIRCKYCQIVTARRSDMLVMSSEGPLGAYVNPHGSVHEIMTFYKVNAIVLYGEAVTEYSWFPGYAWIVAHCAICESHMGWLFTATKKKLKPQSFWAIRSAQVADDKH >Manes.15G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12409376:12412734:1 gene:Manes.15G149600.v8.1 transcript:Manes.15G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPCNSLGNEVRKGPWTMEEDFILINHIANHGESVWNSLARAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNKWSKIAKHLPGRTDNEIKNYWRTRIQKYIKQEETFAGQSCEIKEHASTSLQVSGMIDTYSPQSYQETLETFPGATLAPQSTQACWSFEDVWSTHFA >Manes.17G066300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26633478:26640511:1 gene:Manes.17G066300.v8.1 transcript:Manes.17G066300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQGPVTPGQVSFLLGIIPVFVAWIYSEFLDYKKSSSPSKVHSDNNLLELEKETSREDNRAVLLEGGLTRTVSAKFHSSSVKLNLIRFITMDDSFLLENRPTLRAMSEFGMILIYFYICDRTNLLGDSTKKYDRDLFLFLYSLLIIVSGMTSLKKHNDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNESGSVMTVKILSCFLVVILVWEIPGVFDILWSPFLYLLGYTDPAKPDIPRMHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESETKKKLSIKTGIVSVSLVVGYLWYEYIYKLDKVAYNQYHPYTSWIPITVYICLRNFTQQLRSFSLTLFAWLGKITLETYISQFHIWLRSNVPNGQPKWLLSIIPEYPLLNFMLTSAIYVLVSLRLFELTNTLKAVFIPTKDNRRLFYNFVAGAAICLSLYFVSLIILQIPHSSA >Manes.09G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30670555:30681731:1 gene:Manes.09G105500.v8.1 transcript:Manes.09G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYRILQVDRNGKDDDLKKAYRKLAMKWHPDKNPKNKKEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQVPPPGASGFGHEGGSTTFRFNPRSADDIFSEIFGFSSPFGGMGDMGGPRATTSGFPRGMFGDDIFSSFRSAAGESSNMLRKGAAIERTLPCSLEDLYKGTTKKMKISRDVTDSTGRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHTVFKRDGNDLIVTQKVSLVEALTGYTAQVTTLDGRNLTIPINSIISPTYEEVVKGEGMPIPKEPSRKGNLRIKFNIKFPSKLTVEQKAGIKRLISS >Manes.13G016300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1835336:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHEWFREVPLPKSKDFMPTFPAQHAQDRRLRRMLKSPDPLEEQRRKELQQGELGSGGLFG >Manes.13G016300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKVIRLLIEEIGIKYTG >Manes.13G016300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKVIRLLIEEIGIKYTG >Manes.13G016300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKVIRLLIEEIGIKYTG >Manes.13G016300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1834887:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHEWFREVPLPKSKDFMPTFPAQHAQDRRLRRMLKSPDPLEEQRRKELQQGELGSGGLFG >Manes.13G016300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1835336:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQLPAG >Manes.13G016300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHEWFREVPLPKSKDFMPTFPAQHAQDRRLRRMLKSPDPLEEQRRKELQQGELGSGGLFG >Manes.13G016300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQ >Manes.13G016300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHEWFREVPLPKSKDFMPTFPAQHAQDRRLRRMLKSPDPLEEQRRKELQQGELGSGGLFG >Manes.13G016300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHEWFREVPLPKSKDFMPTFPAQHAQDRRLRRMLKSPDPLEEQRRKELQQGELGSGGLFG >Manes.13G016300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHEWFREVPLPKSKDFMPTFPAQHAQDRRLRRMLKSPDPLEEQRRKELQQGELGSGGLFG >Manes.13G016300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1831723:1839554:-1 gene:Manes.13G016300.v8.1 transcript:Manes.13G016300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNELRGRESDNEYSRRDFAYSKEEYDRIGNGNRENERGRVRDIRARGRVRQKDIKEREVVNGGYRSSSSRSDSGSDGGTRGSRRYEFTGRAMDREPGELSSESGSDDAVEFESQVNKDTEVSKAVENGIKPLMQKKRKFSPIVWDRDDREVSNSSKSRISPAVTTLPPPPPLPRAYSHSPNIIPEAGVEISPTKSSKNQNLKSSSPVEDPAVKGPVEYSASESPAGLAAVPLEEQRLGKDHEAELIEDDDYVPTRNISSSRWAAGNNSPVDEGEIVEDQEMPKRRKKMPLLESSDFRRRNSSLTPDLGDLKRDGSDGVRGRSTDSEERDTRARSLSGDDYPVNDTDKDDYMEIDDEHDENDGSGDHSNTDSENQNDSPETPEPVAPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKSGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKEPLFNGKTEFDQLDKIFRILGTPNETIWSGFSKLPGVKVNFVKHQ >Manes.10G128106.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30151456:30152725:-1 gene:Manes.10G128106.v8.1 transcript:Manes.10G128106.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVSRVTKTFLLQLGDGGDDKDLNVLQVKLKQKLSGNKFLVVLDDVWTQNYEEWTLFWGPFEAGAPQSRVIVTTRSQDVSLMMGTTQAYALKKLSHNECMSVFAQHALGANNFDAHLELKQMGEEIVKRCGGLPLAAKSLGGILKGKPNPDLWKEVLSSEMWELPDNRSNILPALKLSYLHLPPHLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDQKKMKDSEGLGHKYFDDLLSRSFFQQSNDNKSKYIMHDLIVDLACFVSREICLHMVGKLENAKSFAKIRHSSFIPHFMNTFQRFQSFCEMKNLRTFLSSKDCLNQWINSSTYRH >Manes.12G114500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30179454:30180499:-1 gene:Manes.12G114500.v8.1 transcript:Manes.12G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEKPPNPPPQPPEKPTFSFSLRVWPPSERTRDVVIKHLTDTLSSPSSFTNRYGMLPSEDAVAIAKIIEEESFLAATASSAGVEDDPCRGIEILQVYSKEISKRMLEMVKKKAWKRQGGAFSSEEMSTS >Manes.03G015500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1354600:1355928:1 gene:Manes.03G015500.v8.1 transcript:Manes.03G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSEAPGFLQVFSDGSVKRFSSETFPSSLQSNGYKFKDIIIDPSKPITARLFLPDESSVSRLPVLVYFHGGGFCIGSTTWLGYHHFLADFSVTAKCIVFSIDYRLAPENRLPIAYDDCYTSLQWLSHQVSVEPWLKLADLSRVFLSGDSAGGNIAHHVAIKAMRKKVSYVKIKGLLLIHPYFGSEKRTKKEMVDGAASDVEMNDMFWRLSIPEGSNRDYFGCNFEKQDLSETEWNVFPATVVHVAGVDFLNERGVLYAEFLAKHGVKEVELVEAEGESHVFHVFRPESEATRLLQQQMSKFINSH >Manes.18G087401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8041334:8044391:1 gene:Manes.18G087401.v8.1 transcript:Manes.18G087401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTAGQVIRCKAAVAWEAGKPLVMEEVEVAPPQANEVRLKILFTSLCHTDVYFWEAKGQAPLFPRIFGHEASGIVESVGPGVTDLQPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGHMLADGKSRFSINGKPIHHFLGTSTFSEYTVVHVGSVAKINPAAPLDKVCVLSCGISTGLGATLNVAKPKKGQSVAIFGLGAVGLAAAEGARISGASRIIGVDLNTKRFEEAKKFGVNEFVNPKDHDKPVQEVIAEMTDGGVDRSVECTGSVQAMISAFECVHDGWGVAVLVGVPSKDDAFKTHPVNLLNERTLKGTFFGNYKPRSDLPSVVEMYMKKELEVEKFITHHVSFSEINKAFDYMLKGESLRCIISMEG >Manes.07G035900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3867707:3869467:-1 gene:Manes.07G035900.v8.1 transcript:Manes.07G035900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVLLNFAPHTGLLPMLLITVGIAGRGPILKQFLAKHVTNTQEQNANNVVDKDQVVARTNVWWSVVWFLGAVTSVSLLVFRPSYTIAFANSTVIMGFAYVFFWCDFFCYDLHHEPTECLFSIVFRVFKVAVLKRFRSYPTNGCVHFEKDSNEVLLLPHNPIFRAFSCLDKAAIPETPFPDQERQQGKLCTIEEVNRVKKLLGLLPMWTTLLIYALVEATGSTFFIGQVYDSSNAIKFFGFTLPIHAFSALESLVSSTVPYLFNVLIPKQWNKNKEKRQLIALLRIGLAMMCSILCCVTASKVEVHRLNSIHNLTSMSKFWLFPQFILLGIMEGLVRDGLCGFFYSQVDESMKHYESSLNDCVLGIGKFLSVICVVTFKGYFGDSVDKSRLDKYYLTLAALSCGNLLFYVLVACIYSWKESTPQTVMDIEAGDAELAIVLTSTSSEKTNKMRYNLYKRCGSLEGDCDDMANKKLPQRSSTFPP >Manes.10G033800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3382827:3383583:1 gene:Manes.10G033800.v8.1 transcript:Manes.10G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAIFLLFLLHCFLFISGIAKQDSIAQSATIQNAKQVVEASFSETISTAQAANSFASKTSPADPGVKDCIEQVSSAVDEFNDSIKELGFLGGSDQQCNDDCHLSNIQTYVSAALTYSSDCTDGLDDELKKQKSSTLVTIRAKYGDLEDAVKNSLSLFCQQFGKCK >Manes.S055716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1956643:1956765:1 gene:Manes.S055716.v8.1 transcript:Manes.S055716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G088800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6786994:6801421:-1 gene:Manes.15G088800.v8.1 transcript:Manes.15G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTSASDDDLVLKEEEDSEMEGRKAINVVNKASHEAKLRELLHKINSFEIKLCSDASKEFIKLLKGNSGGELLRLYVQSTSNFSELMGVLKLREGKPGVSYILSLISVILSHPDGKYKPNDKGRIAISVVLDKFARSFIEEKLEIVYKELNSKEGKRQNAALLLMASIVRRGSGLASEVAKKFDFKLQAFSKLAEYKLRQNDKKRKHSTRKAFVGFAMSFLEAGKPGLLRWVLQQRQMFSGVLRGLENDDNETVFFVLSTLRDKILTEQSMIPPALRSVLFGSVVLEQLAGISGRRNGPSAELAHDVLLMVCTDPCNGLMPDFKRHPKPLRGNPKRLLELMKKLKATEIDYHRELILAIVRGRLSFGSAYMEEFPYNLEDYTSPSWFSTVSLAANLVSSMAVGDPFGFIDSQSNDLPCCDSVDVQNVMTIISSRSLSRSLITKGLLHLDFLVKNGTLRLLLEALKLLDSFLRAINLFCTRKQMIQKWASLKQKIWSEIQTLLPDPQVLLTLLSSLSSHARCDKSCLKRTADKGSSLRCSKRRKNLKTKVTNEDADIVIGGISSVPDIVLPEDDGNVVESQIPHASDGAMDFINLILELWGSDLCSVPVTTLKDAEIFFQSKLLDALKIYLLIMPSALDGSFDFFMNLLNNPSELPSNLLCSLMPLLVEYVRWYPGSEIAIRTPPLMYKHLQPFLNLIIFSPRGDIKAQAYNLTQAAMSSTGAFDRNLHEIVAWILFLPGYSVVKSSVETIGAELQNLSTAAISFLCDAISTIGNNLFRYWDAVRNHIYHSNEFKDISPKFSPLVICVLQKCTRLLSSESGTFSLLEKSMISVYVCNTLKYLLQTQVDARLLAALIRSVLFEGLQGRCSVEWQPLKSLLLFAESILHQRACCFFLIDEKDVPVDGSFVRALGELRKNLESGHGGERAGIMKAFFSAIMCTESESLLKNFPTVMAVSQHLLVPLPFLSSIFFLEQNFLAGVLKLWPQVFFPGLEMAVSLIDPQGIKNDVVAPQEILEVDFDDSESAAAVTFGLFLKQAPFHVLFPAIISCGSPGLSETSKINDVLMAKLSECTSDFVVSYLHLLLFWFYQIQLSWRIKPLAKLGEFAEVCFALLKHMLAQLLVLKPDSKDPPSTKMIQEVAEIIFCHPAVKACLTYPLRCDENLTDNNFAEGNFGDNLEAFLSFSQHRIHPIDHLVLDMLTTTFDYLLSPLTGQYCIPKDEDGVSKQLVKAFQILIQKIYLELKDKFDMCIQTEDFLPLLHPFYALHSLVQFISPFELFDLVLWIFDRFELNGLTTQKSCRTLAFSIGFCLAGDAFRTLSIYLQRSVTTRALFCTFWEMEKEFLDFNLIEKIYSKICKFATNFKVDFAYGCLLEAVGVIHKQKYLQCDLLNALSLTLSRVIMSTPVEILSHCIYGTSKTKAKLLFLLVDVSPLHLSVFGYSLLGILNEKLHLKDNMVEETCEASLSDEDFLLLLPSACSYLISVSMKFEKQYYKQFTAIPSFYSKVLLSGFQNWKSFVSGYVFQENYDEFLPSSIEELLNLVYASLLGKAMQMLQWHFSFSGDMKVKERLKMFSSIFTCSDAHDQLLDCDVNEMEFYSLSRSLNLINRVVAKISLCRMMLFPMVNHVQSPKEKADGSSNPISLYMVSNKESQSRMRFIKILVSTWQYVVKKFPSVSSGSRKEKGSCSLQLYRYLELFILKTILELTAEMRADLVQLQAIPFLEQLMRSSLLYRFEDPKTLDILRSILLLLSEGKFSSALYIQLLLAHSQFASTMRSLTELHSCQIGALFRPVPSILRSLVITHPNSENDVQTTKPHMKQLEIVKLLRTLIQLNPDLSGGSPGNEIGVNLKELHLLLLSSYGATLSEIDLEMYHLMQEIEYIDKSVSEDLAEMDYLWGSSAWKVRNERALDRVPSSNIINDTEALEEHRRSQFREILPIDPKLCSATVLHFPYERIASDGILSLNRFKPENLKTMHVIYSPTADRIQRYDPVFLLRFSIHILLTGYIEPMEFAGLGLLAIAFVSISSPDVGMRKLGYEFLGRYKNALEGCQKKKDIMRLHLLLTYMQNGIKEPWQKIPSIVALFAAESSLILLDPSNDYYTTLSKHLMHSPKVNMKCVPLFHNLFQSNSVNFRAERLWILRLACSGLNLNDDAEIFIKNSVLETLLSFYATPLADNESKELILQVVKKSVKLHRMTRHLVEYCGLFPWLSTIISFSNGLLDENNSFSTLQLVVAVEVVSDIILSRDVIQWLQDTALEQLMELSSNLYKLLVGGLKLIKDNVSLVNSILQIMTLTLKISQKRKIYQPHFILSMESLFTIHQVLCACDTSRSSPNAEFGLQVILMSTPPVDIFYMKKEKLSGFLMWALSTALKSDSLRIFRHKVSPVIISEETPSDESLISKLLRWLVAAVILGKLSWKLNDVNSKYSERSSLKSLQSFLEYVEVTSGGRNNRKFDCEEVLAETIIFLQQLLGSTSRVLSSAVSALCLLLFCSPFKCSDFVHDNGTAMVSLLSKVRCPTEANPEWRWSFYQPWRDRTLEMTDTEKMDEYVASQSLMVIISNILGKKPLDSEVLSFQVIGNSNVFEWERSFIEKANGY >Manes.14G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5689402:5691750:1 gene:Manes.14G068500.v8.1 transcript:Manes.14G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQSCLLRLLPFAAMVMLEFVDTGLNVISKAAMSKGMSHFVFVVYSNALATLIMFLSSFFFYRTKRPPLTYSLLCKFFLLSLVGITVMQNCVFTGVNYSSPTLASATVQLVPAFTFLLAVILRMEKLDLRSSISRIKIMGTVVSITGAMIIIFYKGPPIGTTTFPSNPDPVLTAKKSWVIGGFFLATASFSLSIFTTFQAAILEGYPSEMTIVSFYCLFGTIQCAIVSLIAEKNPNAWKLKPDIELVSVIYSAVFGNVVTYSVMTWCIHKKGPVFVAMFKPLGIAIAAFLSVIFLGDTLHVGSIIGAIIIVAGFYGALWAKSQEKEQSEIKQINEPQSTPLLGSHTDV >Manes.06G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25956588:25963402:1 gene:Manes.06G129800.v8.1 transcript:Manes.06G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSKSPPSPPSPRSLFHQDNCEAERRLEAEELLREAIVELQRRQRLAVLDCPCPPCDHPPDESCVAHAIGNLCQSFLLSYGVRVGVGILLRAFKLAKGQSYASLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCLLRKLRRKETPFNAILAGSVAGLSVLALDDSYQRRSLALYLLARAAQCAYNSAKSKNKFHLWGSHWRHGDSLLFALACAQIMYGFVMHPESLPKGYRDFIQKTGPVAKPVYEAVRYSCRGVPVDVASLSAYLSSIGKLKSVKLEVYPCMIPCSVIHPDLHSCLNHNAKAASATFRRTFPLYFSLTFVPYVFLHLQKFVDAPARTCWLAVRDAVRSTTFLSAFVGIFQGVICLHRKVATVDHKLVYWVAGGIAALSVLLEKKSRRAELALYVLPRAGDSLWYILVNHHLLPAVKNAEVALFCACMGGIMYYLEYEPGTMAPFLRGLIRRFLASRISNPSSPSNRSSSYSYLQTPDAKPPKLWEGRETEDSSSEKYNLESIPGLHLPEDHSRKDAQLYQNGC >Manes.06G056700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15384085:15385677:-1 gene:Manes.06G056700.v8.1 transcript:Manes.06G056700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLVISLAILTIAVASLVHSSIAETLVVGDGLGWLVPPGGDLAYAAWGAINIFTVGDVLLFNFTTGTQDIARVTKEAYLTCNSRNPISLKTTGPANFTLDTTGEYFFICTIYAHCTLGQRLAIYVAAPGPHHPHAAPSPVTPRAPVTYTVGDGLGWLVLPGGALAYMTWAYKNTFMIEDTLVFKFVDGLQDVALVTKEAYETCNTSRTIQVWSTSPAKILLNSTGDYFFTSTYPRNCILGLQLAIRVVSTSGNGSAPSSSIARPVKEGPVTASPFPANSAPSRAIAGVFITLLSIAMAVF >Manes.16G102700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30802114:30806521:-1 gene:Manes.16G102700.v8.1 transcript:Manes.16G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSMGEAHRRITDYLNRFSDAVFSQDGASLKQLLSLSANSPSLLSLADALNVFQDANRLIKQSEKYAQYGEIIAPLFRSLQSYRLGNLVDTYSAFEKAANAFIQEFRNWESAWALEALFVVAYEIRVLAERADKELASNGKSPEKLKGAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPKRDKVTYMYYTGRLEVFNENFPAADHKLSYALMHCNPNSEANIRMILKYLIPVKLSIGILPKDQLLEKYNLVEYKNVVQALKRGDLRLLRHALQEHEDRFLRSGVFLVLEKLELQVYQRLLKKIYIIQKQKDPSKAHQMKLETIIKALKWLEMDMDLDEVECIVAILIYKNLVKGYFAHKSKVVVLSKQDPFPKLNGRPVNS >Manes.09G135500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33584925:33593152:1 gene:Manes.09G135500.v8.1 transcript:Manes.09G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKEEERNEKIIRGLMKLPPNRRCINCNTLGPQYVCTNFWTFICVTCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREVYLRDWDQQMQRLPDNSNVDKVREFIKTVYVDKKYAGSKNHEKPPRDLQRIRSHEDETRRASSYHSYSQSPPYDFQYEDRLYGKQTAVLTRKPGSDRGLYVGKISSFICSPTRLNERMFEDRFANEGSVSRVSDYSASSGCDQISMESPNFQKDIGLNSPPIQTPRVNSGENTQHQRIDLFSEENLKRDAEGFPRQQRTASLGSIGSLDSNSSSLRSFNSGNQPDAVPEPEQATGEQVDRMPTFPQLSASESCGSLDHFKAPAVPESDASASSPIDLFQMPAASPASSINLFEEPLNSSPSLNAYQTAQTSLPSSIDLFGGIAQQQSVSSLDQNSLEPSVSKNEGWATFHTQRAESTAGIGNLMPSVIPSNAGSSAKLDQVSSLDTGMQWPPLQNSIAHGSVPGPWNDSLHNLQASSNTSSAQTWNAFGNSINSFPLEGANQGCQQQVAAHVPSSAADQYLGLKALEEPSHDGIQRATPYEGLLNLNGPVNIIQEPSYTPSENPLMEAPQSHAVNHKSTNPFDLPFDSDLEPGNTFLDMSSFHSALPDAHLPSAFLGSVTEPWFPQDPVTTFIPAAPQGGLAYMAGQAPRPQLANVQAQGPVASVGGNPFA >Manes.04G109900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31356487:31363015:-1 gene:Manes.04G109900.v8.1 transcript:Manes.04G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVLSELGTEILVPVCAVVGIVFSLVQWYLVSRVKLTAERHAPGSANKNGYNDYLIEEEEGLNDHSVVAKCAEIQSAISEGATSFLFTEYQYVGVFMVAFAILIFLFLGSVEGFSTKSQPCTYDKEKMCKPALATAIFSTVSFMLGAFTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGEDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTSMLYPLLISSVGILVCLITTLFATDFFEIKAVKEIEPALKKQLIISTILMTVGIAIVTWIGLPSSFTIYNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIGIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGIMEGHAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Manes.04G109900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31356487:31361971:-1 gene:Manes.04G109900.v8.1 transcript:Manes.04G109900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGATSFLFTEYQYVGVFMVAFAILIFLFLGSVEGFSTKSQPCTYDKEKMCKPALATAIFSTVSFMLGAFTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGEDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTSMLYPLLISSVGILVCLITTLFATDFFEIKAVKEIEPALKKQLIISTILMTVGIAIVTWIGLPSSFTIYNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIGIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGIMEGHAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Manes.07G008000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:960919:966413:1 gene:Manes.07G008000.v8.1 transcript:Manes.07G008000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSLSCTSLCWSYSISTPPTTHLTKFFKELSVHHGPPLLTIQSSKLSSDLVPVGIQRQPKKELSRILRTDAAIEAIERKANSKKYNKLWPRAVLEALDDAIKENRWESALKIFELLRNQHWYEPRCRTYTKLLMMLGKCRQPDEASLLFEIMQSEGLQPTVDAYTALVSAYGESGQLDKAFSIVDDMKSISNCKPDVYTYSALLNFCSKLHRFDLIGRILAEMSYLGVECSTVTFNTIINGYGKAKMFEEMENSLMDMIESDSSSPDIFTFNSVIGAYGNSGQIEKMEKWYNEFQLMGLSPDVKTFNILIRSYGKAGLYEKIKAVMKFMQKRFFSPTVVTYNIIIETFGRAGDIEKMDEYFKTMKHQGMKPNAVTYCSLVNAYSKAGLIMKVDSILRQVENSDVVIDTPFFNCIINAYGRAGDIEKMVQLFLAMKERECKPDSITFATMIQAYNSHGMTEAAQDLEKKIIKIKENSGNLGFLVHSNSFICWFCENFFLN >Manes.07G008000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:960919:966025:1 gene:Manes.07G008000.v8.1 transcript:Manes.07G008000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSLSCTSLCWSYSISTPPTTHLTKFFKELSVHHGPPLLTIQSSKLSSDLVPVGIQRQPKKELSRILRTDAAIEAIERKANSKKYNKLWPRAVLEALDDAIKENRWESALKIFELLRNQHWYEPRCRTYTKLLMMLGKCRQPDEASLLFEIMQSEGLQPTVDAYTALVSAYGESGQLDKAFSIVDDMKSISNCKPDVYTYSALLNFCSKLHRFDLIGRILAEMSYLGVECSTVTFNTIINGYGKAKMFEEMENSLMDMIESDSSSPDIFTFNSVIGAYGNSGQIEKMEKWYNEFQLMGLSPDVKTFNILIRSYGKAGLYEKIKAVMKFMQKRFFSPTVVTYNIIIETFGRAGDIEKMDEYFKTMKHQGMKPNAVTYCSLVNAYSKAGLIMKVDSILRQVENSDVVIDTPFFNCIINAYGRAGDIEKMVQLFLAMKERECKPDSITFATMIQAYNSHGMTEAAQDLEKKIIKIKENSGNLGFLVHSNSFICWFCENFFLN >Manes.07G008000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:960919:966025:1 gene:Manes.07G008000.v8.1 transcript:Manes.07G008000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSLSCTSLCWSYSISTPPTTHLTKFFKELSVHHGPPLLTIQSSKLSSDLVPVGIQRQPKKELSRILRTDAAIEAIERKANSKKYNKLWPRAVLEALDDAIKENRWESALKIFELLRNQHWYEPRCRTYTKLLMMLGKCRQPDEASLLFEIMQSEGLQPTVDAYTALVSAYGESGQLDKAFSIVDDMKSISNCKPDVYTYSALLNFCSKLHRFDLIGRILAEMSYLGVECSTVTFNTIINGYGKAKMFEEMENSLMDMIESDSSSPDIFTFNSVIGAYGNSGQIEKMEKWYNEFQLMGLSPDVKTFNILIRSYGKAGLYEKIKAVMKFMQKRFFSPTVVTYNIIIETFGRAGDIEKMDEYFKTMKHQGMKPNAVTYCSLVNAYSKAGLIMKVDSILRQVENSDVVIDTPFFNCIINAYGRAGDIEKMVQLFLAMKERECKPDSITFATMIQAYNSHGMTEAAQDLEKKIIKIKENSGTRMIEA >Manes.07G008000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:960890:966025:1 gene:Manes.07G008000.v8.1 transcript:Manes.07G008000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSLSCTSLCWSYSISTPPTTHLTKFFKELSVHHGPPLLTIQSSKLSSDLVPVGIQRQPKKELSRILRTDAAIEAIERKANSKKYNKLWPRAVLEALDDAIKENRWESALKIFELLRNQHWYEPRCRTYTKLLMMLGKCRQPDEASLLFEIMQSEGLQPTVDAYTALVSAYGESGQLDKAFSIVDDMKSISNCKPDVYTYSALLNFCSKLHRFDLIGRILAEMSYLGVECSTVTFNTIINGYGKAKMFEEMENSLMDMIESDSSSPDIFTFNSVIGAYGNSGQIEKMEKWYNEFQLMGLSPDVKTFNILIRSYGKAGLYEKIKAVMKFMQKRFFSPTVVTYNIIIETFGRAGDIEKMDEYFKTMKHQGMKPNAVTYCSLVNAYSKAGLIMKVDSILRQVENSDVVIDTPFFNCIINAYGRAGDIEKMVQLFLAMKERECKPDSITFATMIQAYNSHGMTEAAQDLEKKIIKIKENSGTRMIEA >Manes.07G008000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:960890:966810:1 gene:Manes.07G008000.v8.1 transcript:Manes.07G008000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSLSCTSLCWSYSISTPPTTHLTKFFKELSVHHGPPLLTIQSSKLSSDLVPVGIQRQPKKELSRILRTDAAIEAIERKANSKKYNKLWPRAVLEALDDAIKENRWESALKIFELLRNQHWYEPRCRTYTKLLMMLGKCRQPDEASLLFEIMQSEGLQPTVDAYTALVSAYGESGQLDKAFSIVDDMKSISNCKPDVYTYSALLNFCSKLHRFDLIGRILAEMSYLGVECSTVTFNTIINGYGKAKMFEEMENSLMDMIESDSSSPDIFTFNSVIGAYGNSGQIEKMEKWYNEFQLMGLSPDVKTFNILIRSYGKAGLYEKIKAVMKFMQKRFFSPTVVTYNIIIETFGRAGDIEKMDEYFKTMKHQGMKPNAVTYCSLVNAYSKAGLIMKVDSILRQVENSDVVIDTPFFNCIINAYGRAGDIEKMVQLFLAMKERECKPDSITFATMIQAYNSHGMTEAAQDLEKKIIKIKENSGTRMIEA >Manes.07G008000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:960919:966025:1 gene:Manes.07G008000.v8.1 transcript:Manes.07G008000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSLSCTSLCWSYSISTPPTTHLTKFFKELSVHHGPPLLTIQSSKLSSDLVPVGIQRQPKKELSRILRTDAAIEAIERKANSKKYNKLWPRAVLEALDDAIKENRWESALKIFELLRNQHWYEPRCRTYTKLLMMLGKCRQPDEASLLFEIMQSEGLQPTVDAYTALVSAYGESGQLDKAFSIVDDMKSISNCKPDVYTYSALLNFCSKLHRFDLIGRILAEMSYLGVECSTVTFNTIINGYGKAKMFEEMENSLMDMIESDSSSPDIFTFNSVIGAYGNSGQIEKMEKWYNEFQLMGLSPDVKTFNILIRSYGKAGLYEKIKAVMKFMQKRFFSPTVVTYNIIIETFGRAGDIEKMDEYFKTMKHQGMKPNAVTYCSLVNAYSKAGLIMKVDSILRQVENSDVVIDTPFFNCIINAYGRAGDIEKMVQLFLAMKERECKPDSITFATMIQAYNSHGMTEAAQDLEKKIIKIKENSGNLGFLVHSNSFICWFCENFFLN >Manes.03G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1800188:1803821:-1 gene:Manes.03G021300.v8.1 transcript:Manes.03G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTSLSILPSTRSFPSTSSTDSSSTSTSLTFIPFKYHSNSNLSFSKPPMVSALRFPHQSSKILAFSSNNTQSVENGSAEQFLGNNSIADFMRFKKGSDRSSGELQTALVSYRKRFPWSIFNPFLRVDLVSTIHIADKDYFTTLQKELEPYDCVLYEMVASRDSLENRRNPAATKRLKGSRSRGFNILGCIQRQMARILMLDFQLDCLDYKAENWYHADLDYETFKLLQLEKGESFFTFARDMTIRSTKAMVQPAIPEELGPWRSKLLWASRVLPMPLVGLFIIGSVCDVGSQTSEYPEIEALSRLDFGAAMKVFLAKRLTSEFTQVTADVEEGSVIIGERNKAALDALKRAMEDGHNKIAILYGGGHMPDLGRRLREEFDLIPSQVQWITAWSIKNRDLKSKSFPFLTRLAEALGWPLNRYQTLALLIFSSILALDLWFWELFFGTTVNWISQIASQLDQYAFNAQIM >Manes.15G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8968695:8971424:1 gene:Manes.15G112500.v8.1 transcript:Manes.15G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKPSRVSDVGAWAMNIISSIGIIMANKQLMSPTGFDFAFATTLTGLHFSVTALVGFVSNAAGYSASKQIPLWELVWFSIVANVSITGMNLSLMLNSVGFYQISKLSMIPVVCVMEWFLHGKHYSKEVKTAVMVVVAGVGVCTVTDVNVNAKGFLSACVAVLSSSLQQISIGSLQKKYSVGSFELLSKTAPIQALSLLLTGPFIDYYLSGKLISNYALSSGGFFFILVSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLILGWILFDSEMTLKNIIGMALAVAGMVVYSWAVEAEKQTRAKFIPALKGDVSEETMKFLTLEKEETPLIKDAELGQSKV >Manes.02G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11385857:11386721:1 gene:Manes.02G148100.v8.1 transcript:Manes.02G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKVTLLGFWGSPFALRVKWALKLKGVDYEYIEEDLSNKSPLLLLYNPVHKKVPVLVHNSNPVAESLVIIEYIDEVWKGNPLLPLDPHERAKARFWAKFADEKCMGVIIRTFLTEGEEKDKVVKEARENLKSLEGALEGKKFFGGETIGYLEIAAGWIRPWTQIVEEIAGVKVMDAETMPLLNTWFDNFLQVPAVKECIPPRDKLREHLKGLYVLWRGSST >Manes.15G171600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15394614:15402883:1 gene:Manes.15G171600.v8.1 transcript:Manes.15G171600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPALTTASSDAVHTSATTITATLSSHRTDGPPKTLRGLNKPKCIQCGNVARSRCPYQSCKSCCSKAQNPCHIHVLKANATFPEKAPASSSSLFDQQSTEVSPASSSLRAASLRQLSNNFSQFNNLHASRSRKPLTRKEAATINEWRFSKLKEYRERNIEVENEAFDRYMQNINLLEEVFSVKSILESSTKGSIEDTSPSSNPRDATAEVDTETMMAGQNLELRFSPKKSENARKRIQEIVDAGLNKLQKHESNDGAKLNGDNELEKGSEKAKSLRADRASALNDLIEKLNKARNEEDLTSCLEMKAQLYSCHDQTEARDVEISNEESAANKVAPAKELDYLSQKLFRTVEIDQEALNSIAAHFSSLQKVANL >Manes.14G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5479235:5481599:-1 gene:Manes.14G065600.v8.1 transcript:Manes.14G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSLQVCMDSTDWLQGTIHEESGMDSSSPSGDMLTCSRPLIERRLRPQLDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVAKKSNDQTINQNPGSSSSSSHNPTDLHLSFPEVQLAHLSNILATHQGALANPTFMETKYNIGMLENPRPVDFMESKLEAIVGSSRNYDFMGNGEMGMVSGLGDMSHQGLAPNFHGICSPFGISVDGNNGTFMETCQRLMLPYDHQGNNDEQNTIDVKPNTKLLALEWQDQACTDGGKDTFGYLNNLGSWTGMMNGYGSSTTTNPLV >Manes.02G212860.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:26430397:26431394:1 gene:Manes.02G212860.v8.1 transcript:Manes.02G212860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITTNVAHASNCSLVSINGTQFSLKLTVRNYPTWRAQVSPLLKGHNPMGYVLSTVQIPPTSVTQEEYAKELSLCSSLVSDVDLVVQVLEGVGPEFHNITTAIHVHDTVISFNELQDKLLAHELYLKQIDPSYEVTPITANHVQNSQTV >Manes.14G089400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7392868:7398970:1 gene:Manes.14G089400.v8.1 transcript:Manes.14G089400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWRKARTFAEDAAKRSQELTKEAAKRSQELTVGSSKFYDIVTETARRSKEIAAEASKRSQEITIGSSKLSDIVSETAKRSKEIASEASKRADQIKAEAIKRADQIKSLAEGITPSGALSRTVPAVDSQLEEKEKEKEKEKEKEMEKELERFGVTEELREFVKEITMSTFQDFPLQDDSEMSNVPMVSNVRQDLTQWQEKHAHLVLSTVKEISKLRYELCPRIMKERKFWRIYFLLVNNHVAPFEKRFMEEATQKPAEEVKDNEVEEKAVGTSKPEAKKSKQQSKSVAVSSSEQDLDVFLLGGGSGDSDEGPDDDEEPFNDDFDKMVNSSESPVSC >Manes.14G089400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7392792:7399719:1 gene:Manes.14G089400.v8.1 transcript:Manes.14G089400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWRKARTFAEDAAKRSQELTKEAAKRSQELTVGSSKFYDIVTETARRSKEIAAEASKRSQEITIGSSKLSDIVSETAKRSKEIASEASKRADQIKAEAIKRADQIKSLAEGITPSGALSRTVPAVDSQLEEKEKEKEKEKEKEMEKELERFGVTEELREFVKEITMSTFQDFPLQDDSEMSNVPMVSNVRQDLTQWQEKHAHLVLSTVKEISKLRYELCPRIMKERKFWRIYFLLVNNHVAPFEKRFMEEATQKPAEEVKDNEVEEKAVGTSKPEAKKSKQQSKSVAVSSSEQDLDVFLLGGGSGDSDEGPDDDEEPFNDDFDKMVNSSVSSTYFIFLLYLATVIN >Manes.14G089400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7392792:7399719:1 gene:Manes.14G089400.v8.1 transcript:Manes.14G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWRKARTFAEDAAKRSQELTKEAAKRSQELTVGSSKFYDIVTETARRSKEIAAEASKRSQEITIGSSKLSDIVSETAKRSKEIASEASKRADQIKAEAIKRADQIKSLAEGITPSGALSRTVPAVDSQLEEKEKEKEKEKEKEMEKELERFGVTEELREFVKEITMSTFQDFPLQDDSEMSNVPMVSNVRQDLTQWQEKHAHLVLSTVKEISKLRYELCPRIMKERKFWRIYFLLVNNHVAPFEKRFMEEATQKPAEEVKDNEVEEKAVGTSKPEAKKSKQQSKSVAVSSSEQDLDVFLLGGGSGDSDEGPDDDEEPFNDDFDKMVNSSDDEKEKL >Manes.14G089400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7392868:7398384:1 gene:Manes.14G089400.v8.1 transcript:Manes.14G089400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWRKARTFAEDAAKRSQELTKEAAKRSQELTVGSSKFYDIVTETARRSKEIAAEASKRSQEITIGSSKLSDIVSETAKRSKEIASEASKRADQIKAEAIKRADQIKSLAEGITPSGALSRTVPAVDSQLEEKEKEKEKEKEKEMEKELERFGVTEELREFVKEITMSTFQDFPLQDDSEMSNVPMVSNVRQDLTQWQEKHAHLVLSTVKEISKLRYELCPRIMKERKFWRIYFLLVNNHVAPFEKRFMEEATQKPAEEVKDNEVEEKAVGTSKPEAKKSKQQSKSVAVSSSEQDLDVFLLGGGSGDSDEGPDDDEEPFNDDFDKMVNSSGQFQVPCWFILFLPAMSL >Manes.02G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9158539:9163316:-1 gene:Manes.02G119900.v8.1 transcript:Manes.02G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTRRMAFPQVVIERDTDSERSSSDDEDDFDQEENGPQSESEAEGEVTENGSRGKNEEDMDSKEQRKAPITISLKKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKMPGHTTMTCPHRVATQYGVVPAPQRNTHNALEYVFQRQLRTRLPPIKPAYVIPDRVCCAVIRYHSRRVTCLEFHPTKNNILLSGDKKGQVGVWDFDKVHEKMVYTNIHTCIVNNMRFNPSNDGMVYAASSDGTISCTDMETGISSSLMNLNPDGWQGPSSWRMLYGMDVNSEKNVVLVADNFGFLYMVDMRSNNKSGEAVLIHKKGSKVVGLHCNPLHPELLLSCGNDHFARIWDMRQLKAGSSLGDLLHKRVVNCAYFSPLSGSKILTTSQDNRLRVWDCIFGNLDSPSREIVHSHDFNRHLTPFRAEWDPKDPSESLAVIGRYISENYNGAALHPIDFIDISTGQLVAEVMDPNITTISPVNKLHPRDDILATGSSRSLFIWKPKEKSEVVEQKDEGKIIICGSTEKKFKRKFGDGSDDSGGDDDGFKSKGKNFKLTKSRSKSAHCPRKVKR >Manes.14G027400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2513948:2514957:1 gene:Manes.14G027400.v8.1 transcript:Manes.14G027400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLVNNQTEPWTNEKHLHFLNSMEATFVRTMLENNGRHLRLDRYLPDSSESTLDLKSQTQRRKKHTTSDMVGPTPRTRGDGRSDKRTRRRLSSQSHDLSQDQVGTVPD >Manes.14G027400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2513948:2515119:1 gene:Manes.14G027400.v8.1 transcript:Manes.14G027400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLLVNNQTEPWTNEKHLHFLNSMEATFVRTMLENNGRHLRLDRYLPDSSESTLDLKSQTQRRKKHTTSDMVGPTPRTRGDGRSDKRTRRRLSSQSHDLSQDQVVPQIDNRAGDKDESDPTNVAVASAH >Manes.10G133653.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29858487:29903604:-1 gene:Manes.10G133653.v8.1 transcript:Manes.10G133653.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALAAAGSILSVCFQGLLDRLNSIDLMKYVGQGQVLAQLMKWEKILKRIYAVLEDAEEKQTANRLVQIWLSDLRDLAYDLEDIIDELATEVQQRKLEDEPVHPKNKVHKFFCVMCGGGNLNLNTIKFNVEMVAKIEETSARLDEIIKQKDELRLAEYTTRRVSHVTERPPATSLVNEAKVYGREEDKKAMLKLLNAETSDAQVSVISIVGMGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVSRVTKTFLLQLGDGGDDKDLNVLQVKLKQKLSGNKFLVVLDDVWTQNYEEWTLFWGPFEAGAPQSRVIVTTRSQDVSLMMGTTQAYALKKLSHNECMSVFAQHALGANNFDAHLELKQMGEEIVKRCGGLPLAAKALGGILRGKPNPDLWKEVLRNEIWELPDDKSNILPALRVSYLHLPPHLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDKKKMKDSEDLGRKYFDDLLSRSFFQQSIDDKSKYLMHDLIIELACYVSGETCLHMVDKLESTKPYAKIRHSSFIPHYTDTAQRFQSFYGMKNLRTFLSMRKDWIRCYITSKVVHELVPKLKCLRSLSLAGYYIEGLPNSIGDLKHLRYLNLSRTPIRRLPESVDKLFNLQTLILRDCDELIELPKGICNLLNLQHLDIIGTRKLKEMPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGQLHITSLENVEVEDIRDAGFANLKDNPGITELHLEWAEADEHFDDSRKSSHEEQVLDSIQPHQSLLRLSITSFGGRKFPSWIGERSFSGMVQVELWKCRQMTSLPPLGRLKSLKKLSIGDMSGMKEVGVEFYEDDSCFSCLEELEIRSMGEWELWAWSNGLVEDSVPKFPKLHHLQIRNCPKLVGKLPTSLPSLENLFIVDCPLLVELPKVLPSLTRLHFAKCKIRSVTNATSLTRLKYLEIKLCDALVSLVDGEPGLLPCNLEVLKIFECPNLKELPSGLKDLKSLKYLSIRECRSLVSFPTRGLPHNMISLLISSCESLESMSEGIVCPSNYSGETSHLEELYISGCESLRCSSNGKFPYSLKTLRIHNWTPQFLNSLYCGLSHLTELHIVECPQLESFPGKELPLPSLISLTIAHCEGLRSLSNHMQDFQSLQKLEIWNCHQLELFPKMGLPNPNLVSFQISWCKNLRSLPNQMQNLTSLQSIDISECEGMETLGEGCLPPNLTSLHIRQCLNMKQPMLEWGLHRLASLRSLALDDFILKNVKRQFSGV >Manes.03G129200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25604999:25609445:1 gene:Manes.03G129200.v8.1 transcript:Manes.03G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITGKPHSTPTFPGAYIASKARNPQPHPDLKTALKPRRRTRNPSLIRSKRTRTPVGRRSRPETPFLKWKIEDRERKLRLDDYDDELEEKLETGARKGRRKISRAVSARKLAAGLWRLQPPETMNAGVGERRRDRSGFQPGGGHMGISFIPHQSEEVNDSEIQDPLQSPSYVSGLKNRFLCKLEPSFQFSNSAMESATKWDPVCLETLDEARQIYSHMKHLDQQVSAVSVVSALEVELEQARRRIQELEAERQSSKKKLEHFLKKVTEEKAAWRSREHEKIRAFIGDIKADLNRERKNRQRLEIINSKLVNEMADVKVSVKRLMLDYEKERKSRELVEEVCDELAKEIGEDKAEVEELKRESLKLREEVDEERKMLQMAEVWREERVQMKLIDAKVAIEEKYSHMNKLVADLENFLRSRSAASDLKEMREAESLVHAAAAVSIQDIKDFKYVPPNPDDIFSVFEEVNSGEPNEREIEQCVAYSPASHASKIHTVSPEVNIMNKDGSHRHSDAYLDQNGDVEDDESGWETVSHLEDQGSSYSPEGSFPSVNKNCRDSNVSGSGTEWEEKAFDETPISEISELCSVPTRQLKKVSSIVKLWRSYPNNGDNYKIIAVDGMNSRLSNGRKSNGGIVSPDRGSGKGELSPDLVGQWSSPDSGNPHITRGMKGCIEWPRGMHKNSLKAKLMEARMESQKVQLRHVLKQKI >Manes.03G129200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25604999:25609446:1 gene:Manes.03G129200.v8.1 transcript:Manes.03G129200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITGKPHSTPTFPGAYIASKARNPQPHPDLKTALKPRRRTRNPSLIRSKRTRTPVGRRSRPETPFLKWKIEDRERKLRLDDYDDELEEKLETGARKGRRKISRAVSARKLAAGLWRLQPPETMNAGVGERRRDRSGFQLEPSFQFSNSAMESATKWDPVCLETLDEARQIYSHMKHLDQQVSAVSVVSALEVELEQARRRIQELEAERQSSKKKLEHFLKKVTEEKAAWRSREHEKIRAFIGDIKADLNRERKNRQRLEIINSKLVNEMADVKVSVKRLMLDYEKERKSRELVEEVCDELAKEIGEDKAEVEELKRESLKLREEVDEERKMLQMAEVWREERVQMKLIDAKVAIEEKYSHMNKLVADLENFLRSRSAASDLKEMREAESLVHAAAAVSIQDIKDFKYVPPNPDDIFSVFEEVNSGEPNEREIEQCVAYSPASHASKIHTVSPEVNIMNKDGSHRHSDAYLDQNGDVEDDESGWETVSHLEDQGSSYSPEGSFPSVNKNCRDSNVSGSGTEWEEKAFDETPISEISELCSVPTRQLKKVSSIVKLWRSYPNNGDNYKIIAVDGMNSRLSNGRKSNGGIVSPDRGSGKGELSPDLVGQWSSPDSGNPHITRGMKGCIEWPRGMHKNSLKAKLMEARMESQKVQLRHVLKQKI >Manes.12G119602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32369763:32370013:-1 gene:Manes.12G119602.v8.1 transcript:Manes.12G119602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSNRTVLWTIVRRRSIFCLHNSTLQDGRCSKSPIAKGSNFQPSY >Manes.12G108000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29765648:29784871:1 gene:Manes.12G108000.v8.1 transcript:Manes.12G108000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESAGPHCKVSNNDSPAKDSNTDSPAKTSNGDPSANTATRHDGKDSESPASGIQSQASSLKLPQPTAPTTDSQTGAFGISKFARLTSGLGLNFPSMTPGANERSQGSPASEQAGVLESFTKGLVDSSRSAVKAMQAKARQAVSQNKRRYQEGGFDLDMAYITENIIAMGFPAGDLSSGLFGFFEGFYRNHMEEVINFFETNHKGKYKVYNLCSERLYDASRFEGKVACFPFDDHNCPPLQLIALFCKSAYSWLKEDIENVVVVHCKAGMGRTGIMISSLLLFLKFFPTADEAIDYFNRKRCLDGKALVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLHKCPYWIRPSITISNHSGVLFSTRKHPKTKDLMPEDFWISSPKKAIVIFALPGEPGLTELVGDFKIHFHDRQGEFYCWLNTTMTENRKTLNASDFDGFEKRKLPSPGFQVDVVMIDYDGTSLPTRSKADSATRGSDNATSTDYSLKPSGGAATSSKRVSENEDDVFSDSEGEETDSSKSRQATSPGVGSTQSSHTSNITTEQMRSLTHGTEQMSLGSREPSLINASAGPTTDKNEKPSSGFETRDLDSVVVSDFRAIAADASVFSFGDEEDYESD >Manes.12G108000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29765648:29784871:1 gene:Manes.12G108000.v8.1 transcript:Manes.12G108000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESAGPHCKVSNNDSPAKDSNTDSPAKTSNGDPSANTATRHDGKDSESPASGIQSQASSLKLPQPTAPTTDSQTGAFGISKFARLTSGLGLNFPSMTPGANERSQGSPASEQAGVLESFTKGLVDSSRSAVKAMQAKARQAVSQNKRRYQEGGFDLDMAYITENIIAMGFPAGDLSSGLFGFFEGFYRNHMEEVINFFETNHKGKYKVYNLCSERLYDASRFEGKVACFPFDDHNCPPLQLIALFCKSAYSWLKEDIENVVVVHCKAGMGRTGIMISSLLLFLKFFPTADEAIDYFNRKRCLDGKALVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLHKCPYWIRPSITISNHSGVLFSTRKHPKTKDLMPEDFWISSPKKAIVIFALPGEPGLTELVGDFKIHFHDRQGEFYCWLNTTMTENRKTLNASDFDGFEKRKLPSPGFQVDVVMIDYDGTSLPTRSKADSATRGSDNATSTDYSLKPSGGAATSSKRVSENEDDVFSDSEGEETDSSKSRQATSPGVGSTQSSHTSNITTEQMRSLTHGTEQMSLGSREPSLINASAGPTTDKNEKPSSGFETRDLDSVVVSDFRAIAADASVFSFGDEEDYESD >Manes.06G036550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10809832:10810302:1 gene:Manes.06G036550.v8.1 transcript:Manes.06G036550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFLFFFIFIFFFLFLFFFYNFLSFQFFISKFFLSFFSIIFLLSLIFFFFSFFITHLLFSSFFSIIFFLFSPFSFLLFSFFFISIFFYYFSSFIFFPNHFSFFLISLITFLLYYYFSFFFIIFIFLSFYFFFSFF >Manes.05G200400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32494436:32496851:1 gene:Manes.05G200400.v8.1 transcript:Manes.05G200400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVGYEKPSKIDDEKQLQLHLKKPSKIPELEANLDATEKKNNSSLNTSPRLLTKASKEKWNCLCSPTTHAGSFRCRMHRTHGMVRGYSVGSSLSELAGG >Manes.12G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3687212:3691252:-1 gene:Manes.12G043700.v8.1 transcript:Manes.12G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGPSGNRQGLPGDRKSDGGDKKDKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >Manes.13G148100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36430208:36433300:1 gene:Manes.13G148100.v8.1 transcript:Manes.13G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKSSDNKTLSFHLNPNTTTLSALKYHIHTQFQIPISHQRFLLPSFNPLYNPSDTQNSDEVLLSQLGITPYSTLTLYIPFLGGTQTPAPPKPRLDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSATTIGGASAAGSGVGRGRGKGGDEEDEDDGDDKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDKRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLYTLSAQEWESIPDIGDYSLRNKKRRFESFVPVPDTLLEKARQEQEHVTALDPKTRAAGGTETPWSQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIAKGVKSIPNSVKLWLQAAKLEHDDVNKSRVLRKGLEHIPDSVRLWKSVVELSNEENARILLHRAVECCPLHVELWLALARLETYDNAKKVLNRAREKLPKEPAIWITAAKLEEANANTSMVGKIIERGIRALQREAVVIDREAWMKEAEAAERAGSVVTCQAIIKNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTEEERRLLDEGLKRFPSFFKLWLMLGQLEARLGQLEKAKEAYESGLKHCPSCIPLWLSLANLEEKMNGLSKARAVLTMARKKNPQNPELWLAAVRAESRHANKKEADILMAKALQECPNSGILWAASIEMVPRPQRKSKSMDALKKCDHDPHVISAVAKLFWHDRKVDKARTWLNRAVTLAPDIGDFWALYYKFELQHGTEENQKDVLKRCIAAEPKHGEKWQAISKAVENAHQQTEAILKKVVVALGKEENAENNRHN >Manes.03G010000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:833687:844661:-1 gene:Manes.03G010000.v8.1 transcript:Manes.03G010000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDKELEQQLMEAGTKLVNPPSSVDELLPLLNQVENCLAKVEQSPTKSMQSALAPSLTALVAEQLFRHSDVDVKVAVASCISEITRITAPDAPYDDDQMKDVFQLIVSSFENLADQSSRSYNRRTSILETVAKIRSCVVMLDLECDALIIEMFQHFLNAIRDDHPENIFSSMETIMTLVLEESEDISPELLSPLLASVKRGNEEVLPVARKLGEKVLENCATKVKPYLQHAVKSLGISLDEYNEIVFSICQEISGTVEQTDVHATDEVQNDAHAADENQVEESNPAGESLNEAAQVEEGNPAGESLDEAGQTDKELAAEAGSPKQADPVNENSPKSVVSNGVVQTGEDSLADLGSLKKQDDGNHADQLKSIDMSCNVETNILDTEKPANEESKPANEESKPERAIRKRGRKLNTSVKLTEPSESSHIGAEKEAEKILDDGTHSKNVPGSPCEEPSVEATVSSENKKEAGSSQPSSPKALEVESMTVASPSGSGGLLDESLPKKAAQSKKKESFTKDSEPSSDAVLKKASEGISDTEAKPNKRSARKAPAKVSNEEKSSIVTDASKKESGTVSESEAKPLKQSSKMVDATSNDGDESSLNQTEDKKHRSRGKSIPEKNVTRSSTKDDDKEKVSSPKLAAKLAKHEHQLEEMPKVDSKRKHASGNEKASGTREYDASLVGLRVKVWWPKDRTFYEGVISSYDAVKKKHEVAYDDGDTEILNLKREKWEIIEDESAPDEGESTDPQSPDVPSERPPPKKKLKTNPDQSTKQGKVDASPLRAGGGSSSKTKSAATKSGRRSKEVGKTDGKSTDDSKIVKKQEDDNVGKTKDNTNKSGSKSAEVTSKTASKSKNDDITTTKTGKFKDDGMRTPNTSKSKQEATKTGRSKQETPKVSSNAKGKSPRSGGKSSVNGTGKLKSGSSKVKNVEDKENSSDSEKAQESTKGKSVTASKGQGSEAKSGKKRRRV >Manes.03G010000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:833654:844661:-1 gene:Manes.03G010000.v8.1 transcript:Manes.03G010000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTMASSDKELEQQLMEAGTKLVNPPSSVDELLPLLNQVENCLAKVEQSPTKSMQSALAPSLTALVAEQLFRHSDVDVKVAVASCISEITRITAPDAPYDDDQMKDVFQLIVSSFENLADQSSRSYNRRTSILETVAKIRSCVVMLDLECDALIIEMFQHFLNAIRDDHPENIFSSMETIMTLVLEESEDISPELLSPLLASVKRGNEEVLPVARKLGEKVLENCATKVKPYLQHAVKSLGISLDEYNEIVFSICQEISGTVEQTDVHATDEVQNDAHAADENQVEESNPAGESLNEAAQVEEGNPAGESLDEAGQTDKELAAEAGSPKQADPVNENSPKSVVSNGVVQTGEDSLADLGSLKKQDDGNHADQLKSIDMSCNVETNILDTEKPANEESKPANEESKPERAIRKRGRKLNTSVKLTEPSESSHIGAEKEAEKILDDGTHSKNVPGSPCEEPSVEATVSSENKKEAGSSQPSSPKALEVESMTVASPSGSGGLLDESLPKKAAQSKKKESFTKDSEPSSDAVLKKASEGISDTEAKPNKRSARKAPAKVSNEEKSSIVTDASKKESGTVSESEAKPLKQSSKMVDATSNDGDESSLNQTEDKKHRSRGKSIPEKNVTRSSTKDDDKEKVSSPKLAAKLAKHEHQLEEMPKVDSKRKHASGNEKASGTREYDASLVGLRVKVWWPKDRTFYEGVISSYDAVKKKHEVAYDDGDTEILNLKREKWEIIEDESAPDEGESTDPQSPDVPSERPPPKKKLKTNPDQSTKQGKVDASPLRAGGGSSSKTKSAATKSGRRSKEVGKTDGKSTDDSKIVKKQEDDNVGKTKDNTNKSGSKSAEVTSKTASKSKNDDITTTKTGKFKDDGMRTPNTSKSKQEATKTGRSKQETPKVSSNAKGKSPRSGGKSSVNGTGKLKSGSSKVKNVEDKENSSDSEKAQESTKGKSVTASKGQGSEAKSGKKRRRV >Manes.13G146400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36225555:36242159:-1 gene:Manes.13G146400.v8.1 transcript:Manes.13G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLLGETSGDASFKERDQMSTFNRRSDAITRGSRLQKAAALVDLAEDGVGLPEQILDQSNFESVAKYYFIYTNFDIIWTLNYFALIVLNFLEKPLWCSNYPEHSCDNSRDYFFLGQLPYLTAAESLIYEGITLVILVGNIFFPITYEGSRIFWRNRLNLLKVVCLSILVADLLVYSLYLSPVAIYYLPVRIAPYIRVILFILSIRDLQKGIIILSGMLGTYLNVLALWLLFLLFSSWLAYVMFEDTQQGKTVFTSYGTTLYQMFILFTTSNNPDVWIPAYKDSRWYCLFFVLYVLLGVYFVTNLILAVVYDSFKGQLAKQVSATDSMRKRMLMKAFNLIDKHNVRYLDKEQCIHLFEELNKYRTLPKISREEFELIFDELDDSRDFKINLEEFFDLCNAIALRFQKEDVPSIFEYLPSIYRSPFSENLKAFVRSPKFGYVISFILLVNLIAVIIETTLDIENNSAQKVWQVVEFFFGWIYVLEMALKVYSFGFENYWRDGQNRFDFLITWIIVIGETITFASPHGLTFLSNGEWIRYLLLARMLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCVYCSLGVQIFGGIVNAGNPNLEDTDLADSDYILFNFNDYPNGMVTLFNLLVMGNWQIWMQSYRDLTGSSWSLAYFISFYLITVLLLLNLVVAFVLEAFFAEMELETSEEGEDKDERDTRRRRRYVGTKTRSQRVDILLHHMLSSELCENRPPDQP >Manes.13G146400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36225551:36242159:-1 gene:Manes.13G146400.v8.1 transcript:Manes.13G146400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTYLNVLALWLLFLLFSSWLAYVMFEDTQQGKTVFTSYGTTLYQMFILFTTSNNPDVWIPAYKDSRWYCLFFVLYVLLGVYFVTNLILAVVYDSFKGQLAKQVSATDSMRKRMLMKAFNLIDKHNVRYLDKEQCIHLFEELNKYRTLPKISREEFELIFDELDDSRDFKINLEEFFDLCNAIALRFQKEDVPSIFEYLPSIYRSPFSENLKAFVRSPKFGYVISFILLVNLIAVIIETTLDIENNSAQKVWQVVEFFFGWIYVLEMALKVYSFGFENYWRDGQNRFDFLITWIIVIGETITFASPHGLTFLSNGEWIRYLLLARMLRLIRLLMHVRSYRAFVATFLTLIPSLMPYLGTIFCVLCVYCSLGVQIFGGIVNAGNPNLEDTDLADSDYILFNFNDYPNGMVTLFNLLVMGNWQIWMQSYRDLTGSSWSLAYFISFYLITVLLLLNLVVAFVLEAFFAEMELETSEEGEDKDERDTRRRRRYVGTKTRSQRVDILLHHMLSSELCENRPPDQP >Manes.09G011612.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2266408:2270528:1 gene:Manes.09G011612.v8.1 transcript:Manes.09G011612.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFSFTNYCHSSTSTLEDARFLTNNFKSASLTHLDDAIASFNHVIHMNPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELVGISHDVYSINILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPDVRTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAQKIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADILGYNILINGYCKCKMIDDAEELFDEMSHKGLVPDVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSNLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFFADAATTELVVHLSLNNDLILSKLRNRSEASKGVQ >Manes.14G161900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22985881:22988828:1 gene:Manes.14G161900.v8.1 transcript:Manes.14G161900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTNDNSTTTVNATVSTTKDNHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETEKYQKAEISLCRVYKRAGVEDHPSLPRSLLSRGSQSDKKHPHQLSMERFQPYGGGIQSEQIEMEKMRERDGSSSSDVTTALGISNTTNTYHPVPPISTSLGLPTAMEEEIFSDQYRQACSLVPSNTNLFTGGPSSASSNQVDDLHRLVNYQQACRSNQQQQQRLQHYTQHHHQQPSPFSVMAPQSQTLPFNVLTNSLPTAFQERLWDWNQMPEENKEYNASSQFKVVMTRGEVCFSHEV >Manes.14G161900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22985723:22988828:1 gene:Manes.14G161900.v8.1 transcript:Manes.14G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTNDNSTTTVNATVSTTKDNHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETEKYQKAEISLCRVYKRAGVEDHPSLPRSLLSRGSQSDKKHPHQLSMERFQPYGGGIQSEQIEMEKMRERDGSSSSDVTTALGISNTTNTYHPVPPISTSLGLPTAMEEEIFSDQYRQACSLVPSNTNLFTGGPSSASSNQVDDLHRLVNYQQACRSNQQQQQRLQHYTQHHHQQPSPFSVMAPQSQTLPFNVLTNSLPTAFQERLWDWNQMPEENKEYNASSQFK >Manes.14G117100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11181617:11198392:1 gene:Manes.14G117100.v8.1 transcript:Manes.14G117100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIILLMMAVSALALLLLVQFPSAIASGFDIQSKVCGTDHIVYSNFHDQELFYINGSPVDKDSFCKLVQFHYANGCISESYDGSNYCGLDLSLAKSTLKQGRKLLQKVVGEQSKNHDVGHDLPPKEKKNDPTTFSTGKQVGLVATGIVVMSCGLMCPCFYRKRKPTAHSVLAKDPNSMDSVSSIDVNSATEKVPPSPLRVPPSPSRFSMSPKLSRLGSIHLNLNQVARATHNFSPTNRIGEGGFGTVYKAQLEDGQVVAIKRAKKEHYENLRTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQRGKILDFNQRLEISIDVAHALTYLHTYAEKQIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLLEVLTGRRPVELKRPADERITLRWAFRKYNEGTMVDTLDPLMEEKVDGEILMKMFALAIQCAAPIRADRPDMKTVGEQLWAIRADYLKSARRG >Manes.14G117100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11181617:11198392:1 gene:Manes.14G117100.v8.1 transcript:Manes.14G117100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGLMCPCFYRKRKPTAHSVLAKDPNSMDSVSSIDVNSATEKVPPSPLRVPPSPSRFSMSPKLSRLGSIHLNLNQVARATHNFSPTNRIGEGGFGTVYKAQLEDGQVVAIKRAKKEHYENLRTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQRGKILDFNQRLEISIDVAHALTYLHTYAEKQIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLLEVLTGRRPVELKRPADERITLRWAFRKYNEGTMVDTLDPLMEEKVDGEILMKMFALAIQCAAPIRADRPDMKTVGEQLWAIRADYLKSARRG >Manes.14G117100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11181686:11198381:1 gene:Manes.14G117100.v8.1 transcript:Manes.14G117100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIILLMMAVSALALLLLVQFPSAIASGFDIQSKVCGTDHIVYSNFHDQELFYINGSPVDKDSFCKLVQFHYANGCISESYDGSNYCGLDLSLAKSTLKQGRKLLQKVVGEQSKNHDVGHDLPPKEKKNDPTTFSTGKQVGLVATGIVVMSCGLMCPCFYRKRKPTAHSVLAKDPNSMDSVSSIDVNSATEKVPPSPLRVPPSPSRFSMSPKLSRLGSIHLNLNQVARATHNFSPTNRIGEGGFGTVYKAQLEDGQVVAIKRAKKEHYENLRTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQRGKILDFNQRLEISIDVAHALTYLHTYAEKQIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLLEVLTGRRPVELKRPADERITLRWAFRKYNEGTMVDTLDPLMEEKVDGEILMKMFALAIQCAAPIRADRPDMKTVGEQLWAIRADYLKSARRG >Manes.14G117100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11181686:11198381:1 gene:Manes.14G117100.v8.1 transcript:Manes.14G117100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIILLMMAVSALALLLLVQFPSAIASGFDIQSKVCGTDHIVYSNFHDQELFYINGSPVDKDSFCKLVQFHYANGCISESYDGSNYCGLDLSLAKSTLKQGRKLLQKVVGEQSKNHDVGHDLPPKEKKNDPTTFSTGKQVGLVATGIVVMSCGLMCPCFYRKRKPTAHSVLAKDPNSMDSVSSIDVNSATEKVPPSPLRVPPSPSRFSMSPKLSRLGSIHLNLNQVARATHNFSPTNRIGEGGFGTVYKAQLEDGQVVAIKRAKKEHYENLRTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQRGKILDFNQRLEISIDVAHALTYLHTYAEKQIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLLEVLTGRRPVELKRPADERITLRWAFRKYNEGTMVDTLDPLMEEKVDGEILMKMFALAIQCAAPIRADRPDMKTVGEQLWAIRADYLKSARRG >Manes.14G117100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11181549:11198977:1 gene:Manes.14G117100.v8.1 transcript:Manes.14G117100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIILLMMAVSALALLLLVQFPSAIASGFDIQSKVCGTDHIVYSNFHDQELFYINGSPVDKDSFCKLVQFHYANGCISESYDGSNYCGLDLSLAKSTLKQGRKLLQKVVGEQSKNHDVGHDLPPKEKKNDPTTFSTGKQVGLVATGIVVMSCGLMCPCFYRKRKPTAHSVLAKDPNSMDSVSSIDVNSATEKVPPSPLRVPPSPSRFSMSPKLSRLGSIHLNLNQVARATHNFSPTNRIGEGGFGTVYKAQLEDGQVVAIKRAKKEHYENLRTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDGQRGKILDFNQRLEISIDVAHALTYLHTYAEKQIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLLEVLTGRRPVELKRPADERITLRWAFRKYNEGTMVDTLDPLMEEKVDGEILMKMFALAIQCAAPIRADRPDMKTVGEQLWAIRADYLKSARRG >Manes.13G074100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10979133:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFGSNSA >Manes.13G074100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10979133:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFGSNSA >Manes.13G074100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10978466:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDQTRSKLLDWNKRVHIISGIARGLLYLHQDSRLKIIHRDLKLSNILLDSNMNPKISDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHAWTLWINGKPIELIDECLTESRNLSEAIRCINIGLLCVQKRPEDRPNMSAVVVMLSSENPLPEPKQPGFFMERAAAQADASSSIHQSFSANEVTVTLIEPR >Manes.13G074100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10978735:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDQTRSKLLDWNKRVHIISGIARGLLYLHQDSRLKIIHRDLKLSNILLDSNMNPKISDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHAWTLWINGKPIELIDECLTESRNLSEAIRCINIGLLCVQKRPEDRPNMSAVVVMLSSENPLPEPKQPGFFMERAAAQADASSSIHQSFSANEVTVTLIEPR >Manes.13G074100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10979029:10982567:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDQTRSKLLDWNKRVHIISGIARGLLYLHQDSRLKIIHRDLKLSNILLDSNMNPKISDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHNPAICLKQ >Manes.13G074100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10978466:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHNPAICLKQ >Manes.13G074100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10978466:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHAWTLWINGKPIELIDECLTESRNLSEAIRCINIGLLCVQKRPEDRPNMSAVVVMLSSENPLPEPKQPGFFMERAAAQADASSSIHQSFSANEVTVTLIEPR >Manes.13G074100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10978466:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFGSNSA >Manes.13G074100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10978735:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHAWTLWINGKPIELIDECLTESRNLSEAIRCINIGLLCVQKRPEDRPNMSAVVVMLSSENPLPEPKQPGFFMERAAAQADASSSIHQSFSANEVTVTLIEPR >Manes.13G074100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10979032:10982567:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDQTRSKLLDWNKRVHIISGIARGLLYLHQDSRLKIIHRDLKLSNILLDSNMNPKISDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHAWTLWINGKPIELIDECLTESRNLSEAIRCINIGLLCVQKRPEDRPNMSAVVVMLSSENPLPEPKQPGFFMERAAAQADASSSIHQSFSANEVTVTLIEPR >Manes.13G074100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10978466:10982562:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDQTRSKLLDWNKRVHIISGIARGLLYLHQDSRLKIIHRDLKLSNILLDSNMNPKISDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHNPAICLKQ >Manes.13G074100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10979092:10982567:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHAWTLWINGKPIELIDECLTESRNLSEAIRCINIGLLCVQKRPEDRPNMSAVVVMLSSENPLPEPKQPGFFMERAAAQADASSSIHQSFSANEVTVTLIEPR >Manes.13G074100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10979029:10982567:-1 gene:Manes.13G074100.v8.1 transcript:Manes.13G074100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVLLLYFLLFSISRISTALDSISPNQSLRDGDFLVSAGQIFELGFFNLSDSKSRYLGLWYKNISPRTIVWVANRDHPLSDSLGMLNVTSNGNLVLVNSTNDVVWSSNTTTTVKEPVGQILQSGNFVVRDGNDSNLANIFWQSFDHPGDTLLPGMKLGGNLVTGLNRFLSSWKGTEDPAPGLFSLQMQLNGYPQLLLKKGNKVEFRPGSWNGVSFSGNADVLKPNPSFTYEFVLNKEEIYYTIHTQNNLVISRFTIDPSGIPQLLTWDDQTHEWTIFNAAVLDQCENYALCGAYSNCNIKKSSVCECLDGFTPKSPKDWDSQVWSDGCVPKTPLKCKDKSGFLKIESSKLPDTSSCWFDEKTDLKECERLCLLNCSCTAYANLDIRDGGSGCLLWFDKLIDMREITEGMQDLYVRLAASELDKIEKKGMPQSLKSGTIAGSVILGLVLLLLFCMWMKNLRKKHGIANKRYEDRGDDYITEGGKQDVEFQMFNMVTISEATNSFSSSNKLGQGGFGPVYKGTLVEGQEIAVKRLSRSSGQGMNEFKNEVTLIAKLQHRNLVKLLGCCIHGDEKMLIYEYMPNKSLDYFIFDFGLARTFGADQTQANTGRIVGTYGYMSPEYAIDGLFSTKSDVFSFGVLLLEILTGKKNRGFCHPDHDLNLLGHNPAICLKQ >Manes.01G058200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24530001:24532371:-1 gene:Manes.01G058200.v8.1 transcript:Manes.01G058200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMILMNQLPLPSSYAAMSRGGSYGGRQSSLGYLFGSEEQPTPPPSRIANLPPYGIDTISEEKPPSNSSSEKQKVSNNYHRAQGQNSGNFITDRPSTKVKSVPGGDSSLGYLFGDK >Manes.01G238000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39959823:39966036:1 gene:Manes.01G238000.v8.1 transcript:Manes.01G238000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVESNANSNVGHLNSGGTESIVSNGTITETDNGFFSQTITEEPCISCTTFNILAPIYKRLDHQDQSIRESDNRTFWFTRNQIILDWLLFEKSCIICLQEFWVGNEELVHMYQERLGNAGYATFQLSRTNNRGDGLLTAVHEHYIRVLNYQELHFNDFGDRVAQLLHVQSALPLMQSQNGDAQQEFLIVNTHLLFPHDSSLSIVRLNQAYKILQYVETFQRENKLNLVPIILCGDWNGSKRGHVYKFLRSQGFASAYDILHQYTDSDADAHRWVSHRNHRGNICGVNFIWLRNPIKSRKLLKTSWAEAVFGIIKYQLLKASLNESDAFAFFKADEPGDYITYPAFCEGLRLINLIGLPYGLSFQQTEHLWILVDVDGNGVVDYEELKKIWNSACLEQEKDCISSTKEAESDLEEAVIGFSVKDAFLLPREAEKGMWPEDYSLSDHARLTVVFSPVRLHNHQFTFVNQTSTKSCVG >Manes.02G109100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8470075:8477021:-1 gene:Manes.02G109100.v8.1 transcript:Manes.02G109100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTANALSSSITSTPTNSSPRHPAPVAAVENHNSPQSRHGGGGGGSRVVSSPWTQIVRGESETITVAATHLPSTREPMVVSDQPVLVAVSSSSSMVAEEEILDNGNGSKNNAGKRPVWNKPSNGATEVGPVMGAVSWPALSESARASGKTTQDLSKGLSDVSSSVPVPQGTGSASSSHKQVINNATPNSTPNHTMQARQRPTKRNGANTSSNGGTPQSPGSQPHVGDVHLNNSSPRDHSQRNSQSRSANDHLQQQRNSFRRNGGPHSRGDGSHHHNYGGRREQDRANQDWNPHRNFNGRDTHMQSQRVVQRFLRHPPPPPPPPTTAPFIGPPVRAFGSPIGFPDPLRGMPFVAAPIPPPAMYFTAPDPQLHSKIVNQIDYYFSNENLIKDTFLRQNMDDQGWVPIKLIAGFNKVSHLTDNIQFILDAIRSSTVVEVQGDKVRRRNDWMRWIMPPSVQFPSISSPSSLGRSSHEILAANIQSISLEDNTASHSSGRSPADVHSETFLGRSSSGDLNSQSPVFATEGTGQVLSK >Manes.02G109100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8470075:8477021:-1 gene:Manes.02G109100.v8.1 transcript:Manes.02G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTANALSSSITSTPTNSSPRHPAPVAAVENHNSPQSRHGGGGGGSRVVSSPWTQIVRGESETITVAATHLPSTREPMVVSDQPVLVAVSSSSSMVAEEEILDNGNGSKNNAGKRPVWNKPSNGATEVGPVMGAVSWPALSESARASGKTTQDLSKGLSDVSSSVPVPQGTGSASSSHKQVINNATPNSTPNHTMQARQRPTKRNGANTSSNGGTPQSPGSQPHVGDVHLNNSSPRDHSQRNSQSRSANDHLQQQRNSFRRNGGPHSRGDGSHHHNYGGRREQDRANQDWNPHRNFNGRDTHMQSQRVVQRFLRHPPPPPPPPTTAPFIGPPVRAFGSPIGFPEFYYVAGPPPDPLRGMPFVAAPIPPPAMYFTAPDPQLHSKIVNQIDYYFSNENLIKDTFLRQNMDDQGWVPIKLIAGFNKVSHLTDNIQFILDAIRSSTVVEVQGDKVRRRNDWMRWIMPPSVQFPSISSPSSLGRSSHEILAANIQSISLEDNTASHSSGRSPADVHSETFLGRSSSGDLNSQSPVFATEGTGQVLSK >Manes.14G140114.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12293044:12294003:1 gene:Manes.14G140114.v8.1 transcript:Manes.14G140114.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDMLQWRKEFGTDTLMEDFEFQELDEVVKYYPQGYHGIDKEGRPVYIEKLGEVDANKLLQVTTLDRYVKYHVREFEKTFAYKLPTCSVAAKKHIDQKREEEEEEEGDRKREEEEEEGGGDRKREEEEEEEEGRRENGYFSVFK >Manes.14G140114.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12293044:12294003:1 gene:Manes.14G140114.v8.1 transcript:Manes.14G140114.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDMLQWRKEFGTDTLMEDFEFQELDEVVKYYPQGYHGIDKEGRPVYIEKLGEVDANKLLQVTTLDRYVKYHVREFEKTFAYKLPTCSVAAKKHIDQKREEEEEEEGDRKREEEEEEGGGDRKREEEEEEEEGRRENGYFSVFK >Manes.17G054800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25246738:25250017:-1 gene:Manes.17G054800.v8.1 transcript:Manes.17G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSSATLWFASYTKLQFFTRIRRFLQSRAAQKNKPRAKVLLMDNKEVEIEVAMDGDDEDGSLLLQRSVKKLHFGSWEEKEMAAMEIGRLAKGDVKTRKLMAELGVIPALVELVDSEVTARRQVAVKALIELANGTYTNKALMVEAGIFSKLPKKRDVSEDLMMHDFAELILSLSSLANTQFPLASSEVLPFLVGILESSSSVETKESCLGTLNNFSAVLENAGPLISNGVVQTLLSLISVKELSETALATLGHLVVTLMGKKAMEDNPMVPKSLIEILTWEEKPKCQELSAYIVMILAHHSSSQREKMAKSGIVPVLLEVALLGTPLAQKRALKLLQWFKDERQTRMGPHSGPQTGKLAMGSPVNPRETQEGKKMMKNLVKQSLHRNMEMITRRANASGESSKLKSLVISTSSKSLPY >Manes.03G121100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24756209:24762102:1 gene:Manes.03G121100.v8.1 transcript:Manes.03G121100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESCGLISGISIGLVIGVILAVLALFCFRCHRKRSQIGTRSSRRAATIPIRANGADSCTNLSDSTLAPESPVKSGCNCMFSCLDAFRKSYVVSVAGIPEYSYKDLQKATDNFTTLIGQGAFGPVYKAEMSSGQTFAVKMLATDSKQGEKEFQAEVMLLGRLHHRNLVNLVGFCAEKGQHMLIYVYMSKGSLASHLYSENHELLSWDLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDHSMRARVADFGLSREEMVDRNAANIRGTFGYLDPDYISTRNFTKKSDVYSYGMLLFELIACRNPQQGLMEYVELGAMNAEGKVGWEEIVDSRLEGKFDVRELNEIAALAYKCINSVPKKRPSMRDIVQVLSRILKLNQNRRHHDKKSLSATADEVSIDMDQSETKTPVSPSATADEVSIDMDQLEVQTPVSNCHWQEEFMDSVDTCEVSR >Manes.03G121100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24756209:24762102:1 gene:Manes.03G121100.v8.1 transcript:Manes.03G121100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESCGLISGISIGLVIGVILAVLALFCFRCHRKRSQIGTRSSRRAATIPIRANGADSCTNLSDSTLAPESPVKSGCNCMFSCLDAFRKSYVVSVAGIPEYSYKDLQKATDNFTTLIGQGAFGPVYKAEMSSGQTFAVKMLATDSKQGEKEFQAEVMLLGRLHHRNLVNLVGFCAEKGQHMLIYVYMSKGSLASHLYSENHELLSWDLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDHSMRARVADFGLSREEMVDRNAANIRGTFGYLDPDYISTRNFTKKSDVYSYGMLLFELIACRNPQQGLMEYVELGAMNAEGKVGWEEIVDSRLEGKFDVRELNEIAALAYKCINSVPKKRPSMRDIVQVLSRILKLNQNRRHHDKKSLSATADEVSIDMDQSETKTPVSPSATADEVSIDMDQLEVQTPVSNCHWQEEFMDSVDTCEVSR >Manes.03G121100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24755957:24762377:1 gene:Manes.03G121100.v8.1 transcript:Manes.03G121100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESCGLISGISIGLVIGVILAVLALFCFRCHRKRSQIGTRSSRRAATIPIRANGADSCTNLSDSTLAPESPVKSGCNCMFSCLDAFRKSYVVSVAGIPEYSYKDLQKATDNFTTLIGQGAFGPVYKAEMSSGQTFAVKMLATDSKQGEKEFQAEVMLLGRLHHRNLVNLVGFCAEKGQHMLIYVYMSKGSLASHLYSENHELLSWDLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDHSMRARVADFGLSREEMVDRNAANIRGTFGYLDPDYISTRNFTKKSDVYSYGMLLFELIACRNPQQGLMEYVELGAMNAEGKVGWEEIVDSRLEGKFDVRELNEIAALAYKCINSVPKKRPSMRDIVQVLSRILKLNQNRRHHDKKSLSATADEVSIDMDQSETKTPVSPSATADEVSIDMDQLEVQTPVSNCHWQEEFMDSVDTCEVSR >Manes.12G033200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2908195:2909948:1 gene:Manes.12G033200.v8.1 transcript:Manes.12G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPEMDLSNSENTSFDSIKTITATTATTNSAATSSSPSSSTTPSRYENQKRRDWKTFGQYLNNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPQQQIQALPPPPGAT >Manes.09G053200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8806871:8811888:1 gene:Manes.09G053200.v8.1 transcript:Manes.09G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAFSLCSHHRLLSPFPISSSKSKPHVFSNRLSLLSNRSSSLFRILCSSPINSSDSAPSGDRLSSNFCIIEGPETVQDFVQMQLQEIQDNIRSRRNKIFLLMEEVRRLRVQQRIKSVKVIDENVEEEEEEADEMPEMPSSIPFLPRVTPKTLKQLYLTSLSFISGIIAFGGLIAPTLELKLGLGGTSYEDFIRSMHLPMQLSQVDPIVASFSGGAVGVISALMLIEANNVEQQEKKRCKYCHGTGYLACARCSSSGVCLSIDSISLSSASDRPLEVPTTQRCPNCSGAGKVMCPTCLCTGMLMASEHDPRIEPFD >Manes.11G112500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26050768:26055231:1 gene:Manes.11G112500.v8.1 transcript:Manes.11G112500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKQKRHRRAVRFYTACFGFRQPFKILCDGTFVHNLIVNRVAPADKALSNILGGSVKLFTTRCILEELKRLGNSYSESLQAAHKLMIAKCDHEDKKSAEACIVEIIGENNPEHFFVATQDFDLRKKFREVPGVPVIFGLRNALFLEPPSAFQQEFVKSSEEQRLHATEPEYKILKNRTKSTSTNEESRHSSDENKGSEEMQPHKKKQGARMRMDVKDRPQFKRKKAKAPNPLSCKKKKKDINPKPSSEKESNAGDDAMRSGSRKRKRSRKGKSLAEMDNK >Manes.11G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26050784:26055025:1 gene:Manes.11G112500.v8.1 transcript:Manes.11G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKQKRHRRAVRFYTACFGFRQPFKILCDGTFVHNLIVNRVAPADKALSNILGGSVKLFTTRCILEELKRLGNSYSESLQAAHKLMIAKCDHEDKKSAEACIVEIIGENNPEHFFVATQDFDLRKKFREVPGVPVIFGLRNALFLEPPSAFQQEFVKSSEEQRLHATEPEYKILKNRTKSTSTNEESRHSSDENKGSEEMQPHKKKQGARMRMDVKDRPQFKRKKAKAPNPLSCKKKKKDINPKPSSEKESNAGDDAMRSGSRKRKRSRKGKSLAEMDNK >Manes.11G112500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26050784:26055232:1 gene:Manes.11G112500.v8.1 transcript:Manes.11G112500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKQKRHRRAVRFYTACFGFRQPFKILCDGTFVHNLIVNRVAPADKALSNILGGSVKLFTTRCILEELKRLGNSYSESLQAAHKLMIAKCDHEDKKSAEACIVEIIGENNPEHFFVATQDFDLRKKFREVPGVPVIFGLRNALFLEPPSAFQQEFVKSSEEQRLHATEPEYKILKNRTKSTSTNEESRHSSDENKGSEEMQPHKKKQGARMRMDVKDRPQFKRKKAKAPNPLSCKKKKKDINPKPSSEKVNITCCNFFTLLVGLLCRVLVSII >Manes.11G112500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26050784:26055025:1 gene:Manes.11G112500.v8.1 transcript:Manes.11G112500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRKQKRHRRAVRFYTACFGFRQPFKILCDGTFVHNLIVNRVAPADKALSNILGGSVKLFTTRCILEELKRLGNSYSESLQAAHKLMIAKCDHEDKKSAEACIVEIIGENNPEHFFVATQDFDLRKKFREVPGVPVIFGLRNALFLEPPSAFQQEFVKSSEEQRLHATEPEYKILKNRTKSTSTNEESRHSSDENKGSEEMQPHKKKQGARMRMDVKDRPQFKRKKAKAPNPLSCKKKKKDINPKPSSEKESNAGDDAMRSGSRKRKRSRKGKSLAEMDNK >Manes.05G067300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5583464:5587064:1 gene:Manes.05G067300.v8.1 transcript:Manes.05G067300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSFLSFVQNRRPIEEINGSRSLSELISVLGFGDLGEENNGSVGTGLTELGFRDIYPDLLTWESPAVVSTDYVLALSDFGSKFQALRVLICGSAQATVVLEKKYKTVGYL >Manes.05G067300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5583618:5587502:1 gene:Manes.05G067300.v8.1 transcript:Manes.05G067300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSFLSFVQNRRPIEEINGSRSLSELISVLGFGDLGEENNGSVGTGLTELGFRDIYPDLLTWESPAVVSTDYVLALSDFGSKFQALRVLICGSAQATVVLEKKYKTVGYL >Manes.05G067300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5583464:5587064:1 gene:Manes.05G067300.v8.1 transcript:Manes.05G067300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSFLSFVQNRRPIEEINGSRSLSELISVLGFGDLGEENNGSVGTGLTELGFRDIYPDLLTWESPAVVSTDYVLALSDFGSKFQALRVLICGSAQATVVLEKKYKTVGYL >Manes.05G067300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5583464:5587064:1 gene:Manes.05G067300.v8.1 transcript:Manes.05G067300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSFLSFVQNRRPIEEINGSRSLSELISVLGFGDLGEENNGSVGTGLTELGFRDIYPDLLTWESPAVVSTDYVLALSDFGSKFQALRVLICGSAQATVVLEKKYKTVGYL >Manes.05G067300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5583634:5587056:1 gene:Manes.05G067300.v8.1 transcript:Manes.05G067300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPADHETSPTYPQNDSAITVKTPPLSAIAECDREVYSTYQLFCKHNRRPIEEINGSRSLSELISVLGFGDLGEENNGSVGTGLTELGFRDIYPDLLTWESPAVVSTDYVLALSDFGSKFQALRVLICGSAQATVVLEKKYKTVGYL >Manes.07G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34561737:34573835:1 gene:Manes.07G141600.v8.1 transcript:Manes.07G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFHVPCLEDNFAYLIIDENTQEAAVVDPVEPDKIFEAANQHQVHLKFVLTTHHHWDHAGGNEKMKQLVPGLQVYGGSFDNVKGCTHQLDNGDKLQLGSHVNILALHTPCHTKGHISYYVTGKDGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCQTLGSLPKPTQVYCGHEYTVKNLHFALTVEPDNVKTQHKLSWAQQQREAGLPTIPSTIEDEWETNPFMRVDLPDLQARVGCKSPVETIQKMRQLKDNWRG >Manes.07G141600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34561737:34573835:1 gene:Manes.07G141600.v8.1 transcript:Manes.07G141600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFHVPCLEDNFAYLIIDENTQEAAVVDPVEPDKIFEAANQHQVHLKFVLTTHHHWDHAGGNEKMKQLVPGLQVYGGSFDNVKGCTHQLDNGDKLQLGSHVNILALHTPCHTKGHISYYVTGKDGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCQTLGSLPKPTQVYCGHEYTVKNLHFALTVEPDNVKTQHKLSWAQQQREAGLPTIPSTIEDEWETNPFMRVDLPDLQVCSSSSLCHLPGKDACSKLFFL >Manes.07G141600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34561972:34563694:1 gene:Manes.07G141600.v8.1 transcript:Manes.07G141600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFHVPCLEDNFAYLIIDENTQEAAVVDPVEPDKIFEAANQHQVHLKFVLTTHHHWDHAGGNEKMKQLVPGLQVYGGSFDNVKGCTHQLDNGDKLQLGSHVNILALHTPCHTKGHISYYVTGKDGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCQTLGSLPKPTQVYCGHEVTIF >Manes.S010137.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:74249:74746:1 gene:Manes.S010137.v8.1 transcript:Manes.S010137.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVHRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.03G110300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23291768:23293188:1 gene:Manes.03G110300.v8.1 transcript:Manes.03G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFFLICMLHSLIALTCGTLMIFYTNEVSVFGHGIEVASKLKGSTPHDQLLIQTSDSFSGLLLFAIGFLLFMVAFVKDREFQSFFAKGCVVIHVSVAFWRIYFEWKLEDLAHDLPRQVVGDIALALSWVFFLVYSWREKYD >Manes.06G063050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19766848:19767994:-1 gene:Manes.06G063050.v8.1 transcript:Manes.06G063050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYMKLGAPQFETGDDPFVYLERVKVITDEIGADDSRAIQMAGFTLKCKKAREWFKSYVNPRVDSMSWEEFANEFAGWAFPESSRELKMIEFEQLRQTDEMSVDEYTDKFMELLPFAGQNLDTDQKKSRRYIMKLHSSYSSLIQSADRESFHAIVDMARRMEASAIIEGKVKQSVAQPSGFKTPSGGKIDPSSLSSSSKKWSNTTRKSKKNKFWSKIKSGLGLGSGSSSGADNAVCTKCGRQHWGVCRFGTTACYRCGREGHMSRECPKTVHTAQPQQTASGSVAQPVAPTATQASGRGRGRGSASSSAGFRGEGPSAPARIFTMTQQEANTSNTVVQVISSLGVLMCMH >Manes.05G090800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8284890:8288748:-1 gene:Manes.05G090800.v8.1 transcript:Manes.05G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKALVPLNLFFSSDSRPPPLFNFASYSSSSSPSPPIINALVVGSGFSATTHLTIIQRTQSYLYPSISKKTRRKQICRAAEYKFPDPIPEFADAETEKFRTHLHKKLSKKDIYGDSLEEVVGICTEILHTFLHTEYGGPGTLLVIPFIDMADTINERGLPGGPQAAHAAVKWAQDHVDKDWKEWTGGDSN >Manes.10G100275.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24914833:24919922:-1 gene:Manes.10G100275.v8.1 transcript:Manes.10G100275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKCFIFAAFFVSLSFSSMDVGSAARHLQQLPPLPSVPNLPKPSLPPLPSVPTLPQPTLPTNPSLPKPTLPPLPSFPSVPKVALPPLPSIPSIPTIPSTIPSIPFLSPPPGN >Manes.01G057350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24433721:24435825:1 gene:Manes.01G057350.v8.1 transcript:Manes.01G057350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNDQMLQNSTSDSNQTNTDASTEATTSSKAKRKPVKPRSVVWDHFTKFINGEGELKGKCNYCKKEFCCDPKRNGTTALRNHLNACKKHQHSIETRQTQLSLQKNAGDNDVNDLGTLTTWKYDDNAIRKALVHMIIIDELPFRFVKGEGFRSFMRAICPSLFLKKNCQRVSLTTDIWTSLQRINYMCITAHFIDNDWKLHKRIINFCPISSHKSEEVGKAIETCLLEWGLDKVFIVTIDNASSNDVAISYLKKKLANWGVSVANSTYLHMRYVNDSMMKVQDAVRYIRSSPARLKRFKECVLHEKIKSKSSLCLDVPTRWNSTYLMAFERYESQDPMFTIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEVSDLAFILNQWISSNNLDMKSIGERMRVKFDKYWGDVNEMNKIIYFAVVLDPRDKFEFIEYSFSQMYGKEKGVELFIKVKSSLFDLFNEYKKIGSCTTTGSINPKPKFFLRHHYKKQKLEESGGFDSKTELEVYPSETIQEKKEDFDVMKLWKINSERFPILGKIARDILAIPVSTVASESAFSTGGRALDSFRSSLTPKIVEGLIYVQDWIRPLNIQLNVEEDLEELEKLEECMLYTLSYLC >Manes.08G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:975022:980402:-1 gene:Manes.08G006100.v8.1 transcript:Manes.08G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEEAITNNSKKADSSYQVNPPFQRVGDSAEITEEITEGRQRDLELEIPSRTLEDTREDFMVIQMPATPTLTPKRVIFSPNPSPSFIRMNDSPDPSTSKSRSTIRNLLPKLSFKYRSTTSDIEKAAILALGGSSAGIEKKPFLSRTLSFTKVFNPRANITSSLPVTPISHSNPESTHGGHAYNPSSYAKGFAQIPIHRSRSVPVFNKEESTRQMDSSGGIFRVIPTTPRAAEVTVTTASNISTRNDNDVNDDSGEDILEEEAVCRICLIELGEGADTLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVKNLPVTLLRVQNSRGNRARPAEAGPYRVWQDVPILVIVSMLAYFCFLEQLLVGKLGSGAIAISLPFSCIMGILASMTSTTMVRRRYVWVYATTQFGLVVLSAHLYYSLLHMQSVLSVLLATFTGFGVTMCGSSVIVEICRWRRRHAQANQQHGSQDETQLDQPPADAHQTVTNPNHQEIEIGRSEPTRSG >Manes.04G055532.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:16471883:16474407:1 gene:Manes.04G055532.v8.1 transcript:Manes.04G055532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKDPDETSKISLRQKTNETQRINVNLFSGQSSRPNVNASSSGLSPRQNTYLHKPMFTSSTSIINRPLSPMSSALITRPSSPQSSSQFTLLNKFSPLQPQKLITPSTFKQVVTGQSLSPTYSPTQSLQINQPEYSYKTIEDVILTIEPEYWSQNPNLNVYQLCESIFPKTHYYIPDNFAKNQSFYETILVQTNSILMYNNFDPHIKHKIRYCKVRIIRVLTISDWGQEPHKSKDISLSHGQVTKFNYYDYQTAWERTFLKQNDQLSISFFFYISDDFSYPIPYWFHQWWNKFGLDLTIIPEPIVSAQDQFFENSQLPENILLSPKWLIYSHLFHIPWIYMSEYQIKDYTLNNFQIPNLVRKHKIKWWPKTDLANCGPKAVDHFFNSQPQYAKKLSPMQVTKQETFFARKQQMMAQMAKCVSEEEYDKLIEEIKETRSSVSSPVDLSIDNDDFFTQAEP >Manes.16G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6599034:6604797:1 gene:Manes.16G042700.v8.1 transcript:Manes.16G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFPVMGQPREKKRLPRVMTVPGVISELDDDQANSVASDVPSSLVQDRIIIVANQLPVKAKRRPDNKGWGFSWDEDSLLLQLKDGLPEDMEVIYVGSLRVEVDLSEQDDVSQLLLDRFKCVPAFLPPDILSKFYHGFCKQHLWPLFHYMLPFSASHGGRFDRSLWEAYVAANKIFSQRVVEVLNPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGQIESVLRLADKEWRVGELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQMLKQHPKWQGRAVLVQIANPARGKGKDLEEIQAEIQASCKRINETFGQPGYEPVVFIDRPVSLSERAAYYTVAECVVVAAVRDGMNLTPYEYIVCRQGVSGSESSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMSESEKQLRHEKHYRYVSTHDVAYWSKSFFQDMERTCKDHFRRRCWGIGFSFGFRVVALDPNFRKLSTDAIVSAYLRSKNRAILLDYDGTVMPQTSINKTPSQEVISIINALCGDVKNTVFIVSGRGRESLGKWFSPCKKLGIAAEHGYFIRWSVDEQWETCGQNSDFGWIHIAEPVMKLYTESTDGSSIETKESALVWHHRDADPGFGASQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGISKGFVAERIFTSMVERGKQADFVLCIGDDRSDEDMFEIIGNATANGVLSSSTSVFACTVGQKPSKAKYYLDDTTEVINMLEALAEASDSSPSPGNSP >Manes.16G042700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6599034:6604797:1 gene:Manes.16G042700.v8.1 transcript:Manes.16G042700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFPVMGQPREKKRLPRVMTVPGVISELDDDQANSVASDVPSSLVQDRIIIVANQLPVKAKRRPDNKGWGFSWDEDSLLLQLKDGLPEDMEVIYVGSLRVEVDLSEQDDVSQLLLDRFKCVPAFLPPDILSKFYHGFCKQHLWPLFHYMLPFSASHGGRFDRSLWEAYVAANKIFSQRVVEVLNPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGQIESVLRLADKEWRVGELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQMLKQHPKWQGRAVLVQIANPARGKGKDLEEIQAEIQASCKRINETFGQPGYEPVVFIDRPVSLSERAAYYTVAECVVVAAVRDGMNLTPYEYIVCRQGVSGSESSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMSESEKQLRHEKHYRYVSTHDVAYWSKSFFQDMERTCKDHFRRRCWGIGFSFGFRVVALDPNFRKLSTDAIVSAYLRSKNRAILLDYDGTVMPQTSINKTPSQEVISIINALCGDVKNTVFIVSGRGRESLGKWFSPCKKLGIAAEHGYFIRESVKGLLQKGSLHQWLKGESRLILYCA >Manes.01G198900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37285112:37291406:-1 gene:Manes.01G198900.v8.1 transcript:Manes.01G198900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSCLNILDLASGNLLEIPHTPRSIPRVMTVPGIISDLDGYGSNDGDSENASSICRERIIIVANMLPLHAKKDPETAKWFFSWDEDSLYLQLKDGLSPETEVIYVGSLKADIKASEQEEVSQQLLENFNCVPTFLPQDLQKKFYLGFCKQQLWPLFHYMLPMCPDHGDRFERVVWQAYVSANKMFADKVMEIISPEEDYVWVHDYHLMLLPTFLRKAYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVMNLPSTSVKVKEIQEQLGGRKVILGIDDMDIFKGISLKLLAMEQLLQQHPELRGKVVLVQIVNPARGSGKDVQEAKRETYLTAKRINEVYGSPKYEPVILIDRPVPRYEKTAYYALAECCIVSAVRDGMNLVPYKYIVCRQGTLYMDKAMGTASDSPRKSMIVVSEFIGCSPSLSGAIRVNPWDIDAVADALNLAITMPESEKQLRHEKHYRYVSTHDVAYWARSFMQDLERACQDHYNKRCWGIGFGLGFRVVSLSPSFRRLGVEHIVSAYKRTNRRAIFLDYDGTVVPHTSIVKSPSPEVMSVLTTLCNDPNNTVFIVSGRARNSLSEWLDPCERLGIAAEHGYFMR >Manes.01G198900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37285112:37291406:-1 gene:Manes.01G198900.v8.1 transcript:Manes.01G198900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSCLNILDLASGNLLEIPHTPRSIPRVMTVPGIISDLDGYGSNDGDSENASSICRERIIIVANMLPLHAKKDPETAKWFFSWDEDSLYLQLKDGLSPETEVIYVGSLKADIKASEQEEVSQQLLENFNCVPTFLPQDLQKKFYLGFCKQQLWPLFHYMLPMCPDHGDRFERVVWQAYVSANKMFADKVMEIISPEEDYVWVHDYHLMLLPTFLRKAYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVMNLPSTSVKVKEIQEQLGGRKVILGIDDMDIFKGISLKLLAMEQLLQQHPELRGKVVLVQIVNPARGSGKDVQEAKRETYLTAKRINEVYGSPKYEPVILIDRPVPRYEKTAYYALAECCIVSAVRDGMNLVPYKYIVCRQGTLYMDKAMGTASDSPRKSMIVVSEFIGCSPSLSGAIRVNPWDIDAVADALNLAITMPESEKQLRHEKHYRYVSTHDVAYWARSFMQDLERACQDHYNKRCWGIGFGLGFRVVSLSPSFRRLGVEHIVSAYKRTNRRAIFLDYDGTVVPHTSIVKSPSPEVMSVLTTLCNDPNNTVFIVSGRARNSLSEWLDPCERLGIAAEHGYFMRWDKTCEWETKSVADDLDWKNIVEPIMGLYTETTDGSNIELKESALVWHHQDADPDFGSCQAKELLDHLENVLANEPAVVKRGQHIVEVKPQGISKGFVAEKVLLNMVNRGKPPDFVLCIGDDKSDEDMFESILSTVSGPTLTVAPEIFACTVGRKPSKAKYYLDDTVDVVKLLQGLSAASCPKPKCIENILVSFESAI >Manes.01G198900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37285112:37291406:-1 gene:Manes.01G198900.v8.1 transcript:Manes.01G198900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSCLNILDLASGNLLEIPHTPRSIPRVMTVPGIISDLDGYGSNDGDSENASSICRERIIIVANMLPLHAKKDPETAKWFFSWDEDSLYLQLKDGLSPETEVIYVGSLKADIKASEQEEVSQQLLENFNCVPTFLPQDLQKKFYLGFCKQQLWPLFHYMLPMCPDHGDRFERVVWQAYVSANKMFADKVMEIISPEEDYVWVHDYHLMLLPTFLRKAYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVMNLPSTSVKVKEIQEQLGGRKVILGIDDMDIFKGISLKLLAMEQLLQQHPELRGKVVLVQIVNPARGSGKDVQEAKRETYLTAKRINEVYGSPKYEPVILIDRPVPRYEKTAYYALAECCIVSAVRDGMNLVPYKYIVCRQGTLYMDKAMGTASDSPRKSMIVVSEFIGCSPSLSGAIRVNPWDIDAVADALNLAITMPESEKQLRHEKHYRYVSTHDVAYWARSFMQDLERACQDHYNKRCWGIGFGLGFRVVSLSPSFRRLGVEHIVSAYKRTNRRAIFLDYDGTVVPHTSIVKSPSPEVMSVLTTLCNDPNNTVFIVSGRARNSLSEWLDPCERLGIAAEHGYFMRWDKTCEWETKSVADDLDWKNIVEPIMGLYTETTDGSNIELKESALVWHHQDADPDFGSCQAKELLDHLENVLANEPAVVKRGQHIVEVKPQGISKGFVAEKVLLNMVNRGKPPDFVLCIGDDKSDEDMFESILSTVSGPTLTVAPEIFACTVGRKPSKAKYYLDDTVDVVKLLQGLSAASCPKPKCIENILVSFESAI >Manes.08G152500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39002033:39005056:-1 gene:Manes.08G152500.v8.1 transcript:Manes.08G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTCSRKLIVEVCNAKNLMPKDGQGTASAYAIVDFDGQRRRTKTKFRDLNPEWDEKLEFLVHDNDSMPAEILEINLYNDKKTGKRSTFLGKVKIAGSAFVKSGSETLIYYPLEKRSVFSQIKGEIALKVYYIDEDPPAAPEDQKPEAPAPAAVEEKPPEDGAKPEGEKKEEKAEEKKEEEKKEEPKAEPSTEAKKEEEKPNPPQEEKESKKPEEPPPAPPAAPAEVDNPPLAHTEEKASKQQQMDKPSATGKSSDFTINDLELRSLASDRSRGAYDLVDRMAFLYVRVVKAKRASSESNPAMYAKLAIGTHSIKTTTQNDKDWDQVFAFDKEGLNSTSLEVSVWAEEKKENEERTESCLGTVSFDLQEVPKRVPPDSPLAPQWYSLESEKSPGNDVMLAVWIGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLASVTEPKARNPELYVKAQLGAQVFKTGRTSAGSSSSNSANPTWNEDLVFVAAEPFEPFLVVTVEDVTNGQSVGHAKIHMASVERRTDDHTELKSRWFNLIGDENRPYTGRIHVRVCLEGGYHVLDEAAHVTSDVRAAAKQLAKAPIGLLEVGIRGATNLLPVKTKDGTRGTTDAYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKRDEPGKDIRIGKIRIRLSTLDTNRVYLNSYSLTVLLPGGAKKMGDIEIAVRFSCTSWLSLIQAYTTPMLPRMHYVRPLGPAQQDILRHTAMRIVTSRLVRSEPPLGQEVVQFMLDSDTHMWSMRRSKANWFRVVGCLTRAAALARWLDGIRTWVHPPTTILVHVLLVAVVLCPHLLLPTVFMYAFLILALRFRYRQRIPHNMDPRLSYVDAVGQDELDEEFDGFPTTRSADVVRIRYDRLRALSGRAQTLLGDFAAQGERLEALCNWRDPRATGIFVVFCLLASLVFYVVPFKVFVLGSGVYYLRHPRFRDDMPSVPLNFFRRLPSLSDQIL >Manes.11G060191.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7793886:7794606:-1 gene:Manes.11G060191.v8.1 transcript:Manes.11G060191.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRILSHYFTTLPEKLRVSFIMCIYRKKKICHHSTLVISQISSTILMASTKH >Manes.11G060191.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7793886:7794606:-1 gene:Manes.11G060191.v8.1 transcript:Manes.11G060191.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRILSHYFTTLPEKLRKKKICHHSTLVISQISSTILMASTKH >Manes.09G174950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36653327:36654111:-1 gene:Manes.09G174950.v8.1 transcript:Manes.09G174950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEGRMQQKKHFWGGCHGDDRGTAFSPQTLTTYCLLLRREERISTLYGSSFDEHSQPPTWIQSNPCQILSALNQMPYSFLGLCVHTFLFLFGPSMHYL >Manes.06G128600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25787645:25792411:1 gene:Manes.06G128600.v8.1 transcript:Manes.06G128600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCFVLDLCSLSPPLLRDLKQCLLQLANLCAISSPSSSRHQSYSLRDRIGLCYVLKNRVSSTCELKIAYSPRGNFSLRDFHHAVNSVPEGYFLPEIDDSGALRSGVHAMLSTVLSDQVLYSWGDKDLMRKVIVLSSCWHEKIDSAMKNTLMDAADKCVSVEFVLFEQSASHLSYSQENINCLARSLSDLDNCSFQTFLPDSRVFHSLVKRWLLGLKDDTEELLQAHFIFKSNLVGSLNQISTSLSISVSQIVDGFTACQTCRCHGIELDNATKYKVECPACPITGHNLGTSDVIENSVRVGDKTILFMPSFQSTMKLHQVSSPIEFNIIERTDLGSLSEGVIFGTSYLVAPSACNEMESSSDEMYQSELNAQQICSSLHSMDQGLVCSSYCNVETMRVTAFHCFYILQPSKNGPMLLKRLAGLEEVLPIPDINQFIASSVSKDIQNSIQASLLKIESRDYNPVQHERGFHQKLNLLVKESLQFGSILPKQNETTSELNSNQRDSSEVILQSNCLTDTVVVEDDSPLFNLTAKEDKSTSSVAEEWEQLVVNGAPEIFSSPCFSKPKTDILVLSSPVSSKELEIRTSRILERLEVPRKLKTKVTSPIVTGSILTTCLPTKRPLIPFQQPSQATDQSLTSSQLLRPNFQRIKRKIK >Manes.06G128600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25787645:25792415:1 gene:Manes.06G128600.v8.1 transcript:Manes.06G128600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCFVLDLCSLSPPLLRDLKQCLLQLANLCAISSPSSSRHQSYSLRDRIGLCYVLKNRVSSTCELKIAYSPRGNFSLRDFHHAVNSVPEGYFLPEIDDSGALRSGVHAMLSTVLSDQVLYSWGDKDLMRKVIVLSSCWHEKIDSAMKNTLMDAADKCVSVEFVLFEQSASHLSYSQENINCLARSLSDLDNCSFQTFLPDSRVFHSLVKRWLLGLKDDTEELLQAHFIFKSNLVGSLNQISTSLSISVSQIVDGFTACQTCRCHGIELDNATKYKVECPACPITGHNLGTSDVIENSVRVGDKTILFMPSFQSTMKLHQVSSPIEFNIIERTDLGSLSEGVIFGTSYLVAPSACNEMESSSDEMYQSELNAQLFQEICSSLHSMDQGLVCSSYCNVETMRVTAFHCFYILQPSKNGPMLLKRLAGLEEVLPIPDINQFIASSVSKDIQNSIQASLLKIESRDYNPVQHERGFHQKLNLLVKESLQFGSILPKQNETTSELNSNQRDSSEVILQSNCLTDTVVVEDDSPLFNLTAKEDKSTSSVAEEWEQLVVNGAPEIFSSPCFSKPKTDILVLSSPVSSKELEIRTSRILERLEVPRKLKTKVTSPIVTGSILTTCLPTKRPLIPFQQPSQATDQSLTSSQLLRPNFQRIKRKIK >Manes.09G092685.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28594686:28597348:-1 gene:Manes.09G092685.v8.1 transcript:Manes.09G092685.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGPNWEGLLKWSLAHSDGLGSNRNLSEEDRRWFMEAMQAQSVDVIKRMKEITLVMNTPEEVLETQGITPADIEDMLDELQEHVESIDMANDLHSIGGLVPLLAYLKNSHANIRAKAAEVVTTIVQNNPRSQQLVMEANGLEPLLSNFTSDPDVTVRTKSLGAISSLIRHNKLGITAFRLANGYAGLRDALGFDNSRFQRKALNLIHYLLHENSSDCSVVTELGFPRILLHLASSEDAEVREAALRGLLELARDKKGGNSGRVGEEDEKLKQLLEERIKGISLMSSEDLEAAREERQLVDLLWNAHYNEPSSLHEKGLLVLPGEDSLPPDVASKHFEPPLRAWAANQSTDNNSSTEKKQTPLLLGLGPPQEAENAQGTSSTVVNVDEQNNAPR >Manes.10G001100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:575556:579489:1 gene:Manes.10G001100.v8.1 transcript:Manes.10G001100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDTVCFRPGKINAQGAFSLICNPRCEAAVILVDSKRKRKKKKKKLDREAEEQEEQVPRMQDPPNPNKNINPKTNPTPETQNFPYGQAQPFYFRSYCHRRAHSEVHFRLPEDLDLVSDPFEGPSGSTFDELGSEDDIFCTYMDIEKLGSRPDEGPSGLKPDNAVNGSSGGGGGDEVDGEKNVRPRHRYSNSVDGSSIMESIEAKKAMAPDKLAELWTVDPKRAKRIIANRQSAARSKERKARYMSELEKKVQTLQTEATTLSAQLTLFQRDTTGLSTENTELKLRLHAMEQQAQLRDALNEALKKEVERLKIATGEMMTPTDHYNLGMHHVPYTQSSYFQPQSQTRPVNTQNMQMPPQFHPFQSNIPTPNQPMGAASHSHAFPEMLPQDPLGRMQGLDIGSRSTLLVKSEGPSISASESSSI >Manes.09G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29724852:29726092:1 gene:Manes.09G098900.v8.1 transcript:Manes.09G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKLLASWSSPFSRRVEMALKIKGIDYEYIEQDLSNKSPLLLQSNPVHKKVPVLIHNGKPIAESLVILEYIDETWETNPIFPKDPYEKAMARFWAKFIDEQCNHAVWQITCSKDKEKEKAVEESIGHLKTLENELKDKKFFGGETIGVVDIVANIIGFWLEALQEALRIELLTRERFPILSKWIDEYVSCNIVKENLPPRDKLVAAFRAILNAPA >Manes.03G064232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7682634:7683534:-1 gene:Manes.03G064232.v8.1 transcript:Manes.03G064232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQVETAMGNMLKMILCCWRKMQRYCTSKEENLAGREGKVLESCFHCIGYDQ >Manes.09G060800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9669839:9680683:-1 gene:Manes.09G060800.v8.1 transcript:Manes.09G060800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEYISLPEKQAPSAAYELIEGENHTVLPLPAKTHPPGEEQNDNSQESHAKEENQVTGTVQQKTTQIMLPADTERPSVDPDGSTCRTCTILPDEGKENGSKRKLFSSIQQPDSCSTNLSGIQYNSLPTYQRTLSSILKKKTEKGQNSAASGKSCMTFAKDIGRTVMTCPPKDARVDPSISTSNYLISAPCTANADAHGETGSLQSEKQNSGPNANQKKRSTKKRPRGPTQIQDLAQVTKMRGLMRQTYTVGLIPADYNVQQVEDSNRPHECIEALAEEMCGTLTTKRSKKNVSLINSTSSPTNWEQSHGKIILCNQSQFLAQSLGTPPEVIWGISHVDAIVEQLQHLNINRENGGIAYKEQSALVPYYIGNEQNALVLYRRDGTIIPFADSFVPIKKRRPRPKVHLDEETNKVWKLLMGNINGEGIDGTDEEKEKWWEEERKVFHGRANSFIARMHLVQGDRRFSQWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPLKSANNQCFEERTSSVIEKPIACMPDLEEAIKWNGTPNQSICDQSSMTLHESELDEEQEVVNSNASSTSSIGIISSISGLETYYESKVNRLTTDTTKTANNGYTREKRSEINDAFSSQNSVISSQNSVDSPTAQAAERKESFSGNSEAEDLIDRSKFNSWNSSSSFMELLIKAGSNKLHEVQSHGYDRVFPDQNSKDECNKTQNAGNDFHMHKKYNVDCPRSTLEASAIPSNNCQSHLISNLGGVGFEYYEMFTEETRCYEISKNHRRNSVKEPSLFTSECTSQTTDENNMTIAAQEASISPTPNNPSCSKFQGKHTTTQTQGKLVEDLPIFSKSLDQMQNNEMQENLYVSLSNPGESLDIVESSSGLNPQQKNSPKTTELDLIERGLAEIKETKEMNAATRKAKSRRVGKEIREHVDWDELRKQAEANEKKRQRTQNTMDSLDWEAVRCADVNEIANAIKERGMNNVLAERIKNFLNRLVREHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKHLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKGIVSATENRTVKQNPTVMSDQLPLPLPQATEPSEGNQQSESNRYLAVINCEPIVEEPSSPEPECPQVTEADMEDTFCEDPDEIPTIKLNIEEFTQNLQNYMQENMELQEGDMSKALVALTSEAASIPTPKLKHVSRLRTEHQVYELPDSHPLLQGLDRREPDDPCSYLLAIWTPGETANSIQPPESRCNSQEYGKLCDEKTCFSCNCKREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRTWIWNLPRRTVYFGTSIPTIFKGLTTEGIQHCFWRGYVCVRGFDQKTRAPRPLIARLHFPASKLNKAKGKNSNDSQMVP >Manes.09G060800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9669839:9682607:-1 gene:Manes.09G060800.v8.1 transcript:Manes.09G060800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGKENQKELQVEDSWIPKTPLKPNLPTPQVIYTTTENELGLKGLSPGFSQESRDGRLSACLDSAANGNWKAALEAQKGITVDSAETCRGFPINSTARCNFTSLLALQDRASATTTSAFRSNLPFINGNYSQESQCSTQTPPHGPAYDLNSPADGKATSCIFHLTPEKDSTLGCRQVTQVHYNYRDQRENQQRNVLQNETSITAVDTNSLQTGRELQKLAKDSLSTAVCTITKENHNPETPQQKPRRKKHRPKVVTEGRPRSRKPVTAKPASSTETPTLKRKYVRKKPLDNTPNLPLAESKINPTGKRRYVRKKPLNKASATPPVEAAGESINPKALDPANKSCRRSLNFDTQAKLGDDDSKCKPNANKDSQPQAQLRNQSKSTVDIGQGIEVIVKTTQACIACDLADSVNQMLKEYISLPEKQAPSAAYELIEGENHTVLPLPAKTHPPGEEQNDNSQESHAKEENQVTGTVQQKTTQIMLPADTERPSVDPDGSTCRTCTILPDEGKENGSKRKLFSSIQQPDSCSTNLSGIQYNSLPTYQRTLSSILKKKTEKGQNSAASGKSCMTFAKDIGRTVMTCPPKDARVDPSISTSNYLISAPCTANADAHGETGSLQSEKQNSGPNANQKKRSTKKRPRGPTQIQDLAQVTKMRGLMRQTYTVGLIPADYNVQQVEDSNRPHECIEALAEEMCGTLTTKRSKKNVSLINSTSSPTNWEQSHGKIILCNQSQFLAQSLGTPPEVIWGISHVDAIVEQLQHLNINRENGGIAYKEQSALVPYYIGNEQNALVLYRRDGTIIPFADSFVPIKKRRPRPKVHLDEETNKVWKLLMGNINGEGIDGTDEEKEKWWEEERKVFHGRANSFIARMHLVQGDRRFSQWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPLKSANNQCFEERTSSVIEKPIACMPDLEEAIKWNGTPNQSICDQSSMTLHESELDEEQEVVNSNASSTSSIGIISSISGLETYYESKVNRLTTDTTKTANNGYTREKRSEINDAFSSQNSVISSQNSVDSPTAQAAERKESFSGNSEAEDLIDRSKFNSWNSSSSFMELLIKAGSNKLHEVQSHGYDRVFPDQNSKDECNKTQNAGNDFHMHKKYNVDCPRSTLEASAIPSNNCQSHLISNLGGVGFEYYEMFTEETRCYEISKNHRRNSVKEPSLFTSECTSQTTDENNMTIAAQEASISPTPNNPSCSKFQGKHTTTQTQGKLVEDLPIFSKSLDQMQNNEMQENLYVSLSNPGESLDIVESSSGLNPQQKNSPKTTELDLIERGLAEIKETKEMNAATRKAKSRRVGKEIREHVDWDELRKQAEANEKKRQRTQNTMDSLDWEAVRCADVNEIANAIKERGMNNVLAERIKNFLNRLVREHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKHLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKGIVSATENRTVKQNPTVMSDQLPLPLPQATEPSEGNQQSESNRYLAVINCEPIVEEPSSPEPECPQVTEADMEDTFCEDPDEIPTIKLNIEEFTQNLQNYMQENMELQEGDMSKALVALTSEAASIPTPKLKHVSRLRTEHQVYELPDSHPLLQGLDRREPDDPCSYLLAIWTPGETANSIQPPESRCNSQEYGKLCDEKTCFSCNCKREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRTWIWNLPRRTVYFGTSIPTIFKGLTTEGIQHCFWRGYVCVRGFDQKTRAPRPLIARLHFPASKLNKAKGKNSNDSQMVP >Manes.09G060800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9669839:9682401:-1 gene:Manes.09G060800.v8.1 transcript:Manes.09G060800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGKENQKELQVEDSWIPKTPLKPNLPTPQVIYTTTENELGLKGLSPGFSQESRDGRLSACLDSAANGNWKAALEAQKGITVDSAETCRGFPINSTARCNFTSLLALQDRASATTTSAFRSNLPFINGNYSQESQCKLNPFYLSGSTQTPPHGPAYDLNSPADGKATSCIFHLTPEKDSTLGCRQVTQVHYNYRDQRENQQRNVLQNETSITAVDTNSLQTGRELQKLAKDSLSTAVCTITKENHNPETPQQKPRRKKHRPKVVTEGRPRSRKPVTAKPASSTETPTLKRKYVRKKPLDNTPNLPLAESKINPTGKRRYVRKKPLNKASATPPVEAAGESINPKALDPANKSCRRSLNFDTQAKLGDDDSKCKPNANKDSQPQAQLRNQSKSTVDIGQGIEVIVKTTQACIACDLADSVNQMLKEYISLPEKQAPSAAYELIEGENHTVLPLPAKTHPPGEEQNDNSQESHAKEENQVTGTVQQKTTQIMLPADTERPSVDPDGSTCRTCTILPDEGKENGSKRKLFSSIQQPDSCSTNLSGIQYNSLPTYQRTLSSILKKKTEKGQNSAASGKSCMTFAKDIGRTVMTCPPKDARVDPSISTSNYLISAPCTANADAHGETGSLQSEKQNSGPNANQKKRSTKKRPRGPTQIQDLAQVTKMRGLMRQTYTVGLIPADYNVQQVEDSNRPHECIEALAEEMCGTLTTKRSKKNVSLINSTSSPTNWEQSHGKIILCNQSQFLAQSLGTPPEVIWGISHVDAIVEQLQHLNINRENGGIAYKEQSALVPYYIGNEQNALVLYRRDGTIIPFADSFVPIKKRRPRPKVHLDEETNKVWKLLMGNINGEGIDGTDEEKEKWWEEERKVFHGRANSFIARMHLVQGDRRFSQWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPLKSANNQCFEERTSSVIEKPIACMPDLEEAIKWNGTPNQSICDQSSMTLHESELDEEQEVVNSNASSTSSIGIISSISGLETYYESKVNRLTTDTTKTANNGYTREKRSEINDAFSSQNSVISSQNSVDSPTAQAAERKESFSGNSEAEDLIDRSKFNSWNSSSSFMELLIKAGSNKLHEVQSHGYDRVFPDQNSKDECNKTQNAGNDFHMHKKYNVDCPRSTLEASAIPSNNCQSHLISNLGGVGFEYYEMFTEETRCYEISKNHRRNSVKEPSLFTSECTSQTTDENNMTIAAQEASISPTPNNPSCSKFQGKHTTTQTQGKLVEDLPIFSKSLDQMQNNEMQENLYVSLSNPGESLDIVESSSGLNPQQKNSPKTTELDLIERGLAEIKETKEMNAATRKAKSRRVGKEIREHVDWDELRKQAEANEKKRQRTQNTMDSLDWEAVRCADVNEIANAIKERGMNNVLAERIKNFLNRLVREHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKHLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKGIVSATENRTVKQNPTVMSDQLPLPLPQATEPSEGNQQSESNRYLAVINCEPIVEEPSSPEPECPQVTEADMEDTFCEDPDEIPTIKLNIEEFTQNLQNYMQENMELQEGDMSKALVALTSEAASIPTPKLKHVSRLRTEHQVYELPDSHPLLQGLDRREPDDPCSYLLAIWTPGETANSIQPPESRCNSQEYGKLCDEKTCFSCNCKREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRTWIWNLPRRTVYFGTSIPTIFKGLTTEGIQHCFWRGYVCVRGFDQKTRAPRPLIARLHFPASKLNKAKGKNSNDSQMVP >Manes.17G094566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30275047:30277973:-1 gene:Manes.17G094566.v8.1 transcript:Manes.17G094566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGDILHSSTNCDAILERTKRTTFTTPLVFGALISKILQKERKDEEEEENVAENVNQNEEDNQNDTVEGEGEGEEEEEEESEQLGTKIKRRNRRGGFAKLCSLSSQLQKLIGLPELAGTEVVKKLRAYIPENKLQDPKLWGFHYAPDNSIQKARRCKQGREEGENSYFSQKKGAPRLLARLSLSDALVNFFNTDEIHNLGLMS >Manes.03G041616.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3671741:3673527:-1 gene:Manes.03G041616.v8.1 transcript:Manes.03G041616.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKNQAEDEPSSLKWSWRPENIHNTVRLGKGHFQTHQLLDQKDAANDASDYLWYMTSVHLKKNDPIWSDNMTLRVNGSGYILHAYVNGEYLGSEWAKYGIFNYVFEKSVKLKPGRNLISLLSVTVGLPNYGAMYDLIEAGVVGPVELVGYKGDERIAKSLSANKWSYKIDLNGLDNQLYSLDSSHASKWQEGDLPTNRMMTWYKATFKAPLGKDPVVLDLDGMGKGFAWVNGHNIGRYWPSYLAEEDGCSTEACDYRGPYDNNKCVFNCGKPTQRWYHVPRSFIENDVNTLILFEEFGGNPARVNFQTVSVGSVSGSVIEGDTIGLSCHGKPISAIEFASFGDPQGTPGSFSKGSCEASKNAVSIIEKACVGKENCKIEVSKNVFGSTNCESSHVNTLIVEALC >Manes.03G041616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3673335:3675424:-1 gene:Manes.03G041616.v8.1 transcript:Manes.03G041616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLTLNIFSSATIVSHDGRAITIDGKRRVLLSGSIHYPRSTAEMWPDLIRKSKEGGLDAIETYVFWNAHEPTRRQYDFNGNLDLVRFIKTIQAEGLYAVLRIGPYVCAEWNYGGFPVWLHNMPGIELRTANDVFMNEMQNFTTLIVDMMKQEMLFASQGGPIIIAQIENEYGNVMGPYGAAGKAYLDWCANMADSLHIGVPWIMCQQSDAPQPMIDTCNGWYCDSFTPNNPNSPKMWTENWTGWFKSWGGKDPLRTAEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYNAPLDEYGNLNQPKWGHLKQLHDVLHSIEETLTHGNISTTDYNNSVTATIYATEKGSNCFLGNANATSDATVDLHGTNYTVPAWSVSILPDCKSVAYNTAKLRMNHHLLNGHGGQRTFTTLYAWGRGIFKLTNFLIRKMQLMTLAIISGT >Manes.13G053200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6170027:6173170:1 gene:Manes.13G053200.v8.1 transcript:Manes.13G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSSPFIFLLCFWVSLISSAFSQTCSTQKFTGNNLYAHCVDLPTLTSYLHFSYDSSNSTLSIAFLASPPASDGWVSWAINPTGTGMAGAQALVGYKDSKGSMTVKTYNISSYTLASVVQSTLAFEVWDQRAEEDNGVFRIFAKMKVPADLAATGSVNQVWQVGSSVDSKGVLTPHAMSSPNLNAKGTLDLKGGQSVATGGVDSKTKKRNIHGVLNAVSWGVLFPIGVIMARYLRPFQSADPAWFYLHVACQVSAYAIGVAGWATGIKLGSESKGIQWTAHRNIGITLFSLATLQIFALFLRPKKDHKYRFYWNIYHHGVGYAILVLGILNVFKGLNILHPEQKWKSTYITVIAVLGGIALLLEVITWIAVLRRKSNKSNKPCDGYNGQGRQQPFNA >Manes.08G053300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5729893:5736944:1 gene:Manes.08G053300.v8.1 transcript:Manes.08G053300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVKVLAKSCTFAKDPRQLQFEADINRLFLYTSYNRLGRKAEEADAEQIIEMATKASVADQQKQVQENIHCQMENFCTAMDEILHPDVNKKNEPGEALGQSETARRSGLSFAVGKSGPSTVCPAIQETRPLKSAEVSLRLKDLIGYTLSLKPSQIAHKEAGQGLFVNGEADVGTVIALYPGVIYSPAYYRYIPGYPRVDAHNTYLTARYDGTVINAQPWGAGGEAREVWNGLVVPEVRPNGQNSEKGTDRLWKMLSKPLEGIQVGRNSVVLERRNPLALAHFANHPAKGMAPNVMICPYDFPLTEKDMRTYIPNVPFGDPEEVNMRRFGSFWFRSRAKKGGADASVLKTLVLVATRALCDEEVLLNYRLSNSKRRPEWYTPVDEEEDRRRWS >Manes.08G053300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5729893:5735671:1 gene:Manes.08G053300.v8.1 transcript:Manes.08G053300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVKVLAKSCTFAKDPRQLQFEADINRLFLYTSYNRLGRKAEEADAEQIIEMATKASVADQQKQVQENIHCQMENFCTAMDEILHPDVNKKNEPGEALGQSETARRSGLSFAVGKSGPSTVCPAIQETRPLKSAEVSLRLKDLIGYTLSLKPSQIAHKEAGQGLFVNGEADVGTVIALYPGVIYSPAYYRYIPGYPRVDAHNTYLTARYDGTVINAQPWGAGGEAREVWNGLVVPEVRPNGQNSEKGTDRLWKMLSKPLEGIQVGRNSVVLERRNPLALAHFANHPAKGMAPNVMICPYDFPLTEKDMRTYIPNVPFGDPEEVNMRRFGSFWFRSRAKKGGADASVLKTLVLVATRALCDEEVLLNYRLSNSKRRPEWYTPVDEEEDRRRWS >Manes.08G053300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5729893:5735646:1 gene:Manes.08G053300.v8.1 transcript:Manes.08G053300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGFFVIGLHLNYNFFSYNRLGRKAEEADAEQIIEMATKASVADQQKQVQENIHCQMENFCTAMDEILHPDVNKKNEPGEALGQSETARRSGLSFAVGKSGPSTVCPAIQETRPLKSAEVSLRLKDLIGYTLSLKPSQIAHKEAGQGLFVNGEADVGTVIALYPGVIYSPAYYRYIPGYPRVDAHNTYLTARYDGTVINAQPWGAGGEAREVWNGLVVPEVRPNGQNSEKGTDRLWKMLSKPLEGIQVGRNSVVLERRNPLALAHFANHPAKGMAPNVMICPYDFPLTEKDMRTYIPNVPFGDPEEVNMRRFGSFWFRSRAKKGGADASVLKTLVLVATRALCDEEVLLNYRLSNSKRRPEWYTPVDEEEDRRRWS >Manes.08G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4670473:4672524:-1 gene:Manes.08G047000.v8.1 transcript:Manes.08G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYYPLFLVWFFTALVVHYLIKTFLKPPNQIYALPSPPALPLIGHLHLIGSVLPKSFQDLARRYGPLMKIRLGASTCVVVSNADVAKEIFKTQELNFSSRPEFGSSEYFIYRGSRFVTAQYGDYWRFMKKLCMTRLLAVPQLDKFTDIRDQEKVKLVESVMGCAREGRPCDLSSEFTTLTNNTICRMAMSTRCSGTDNDAKEIKELVKTCLQLAGKLSVGDILGPLKIFDFSSTGKKLVGALKKYDRLVERIIKEHEEKAMEGAVGERKDLMDILLEIYNDPTAEIRLSKNDIKSFLLDIFFAGTDTSSAAMQWAMGELINCPRAFNKLREEINTVVGQKRLIKESDVQNLPYLRAVIRETLRLHPSAPLIIRECAEDCKVNDSTIKAKTRVLVNVYAVMRDPEMWTNPDEFMPERFMESSEEKIGEHQMEYKGQNFRYLPFGSGRRGCPGASLAMLVMHAAVGALVQCFDWEVEDGDKVDLSPGSGFAAEMARPLVCFPVKHMNPF >Manes.09G181800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37010960:37016377:1 gene:Manes.09G181800.v8.1 transcript:Manes.09G181800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSELVYRLAKGKLDSSQEKNPTCSTDLSSEPETDFVELVWENGQIQSSKTRKIQFSSSFPSQTSKIRDKDVANGSNTKMGRFGAMDSVIGEVPMSVPSVEMGLNQDDDMVPWLNYPIEDSLQHDYCSEFLAELSGVTVNENSQTNYASMEKRNCGNQSVRDPCPASVRNSLSLEQGHISRVSSTGDVDASRPRTSSSQLYPSPSQQCQTSFPYFRSRVSAGNSESMSNLARHAASGDSIGVPPSGGGVPSAKMQKQVPVSSATNPSLMNFSHFSRPAALVKANLCNMGTKAGSGISNMEKMVSKDKGSIASRSNPAESILADTFSGLRKDISSNCHPAMVLPKVDAKSLDPTPAEESLPAKRPEALDQEDCKSDKNHCQLAESTTRGLVDGEKTAEHLVASSSVCSGNSVERVSDERTQDLKRKHRETEDSEGPSEDVEEESVGAKKAAPARGGPGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPSMMLPPGMPHMHAGHMVQFSPMGVGMGMGMGMGYGMGMPDMIGGSSGCSMIQAPPMHGAHFPGPPMSGPSALHGMGGSNLPIFGLSAQGHPMPYPCAPLMPVSGGPLLKTTMGLNAGGLAGPVDNLNSGPGSRSKDAIQNISQAMQNSGANSSMNQTSTQCQVTNERFEQHPSVQNSGQASEVTDRGAVKSANGNDNTPNQVTAGCD >Manes.11G078600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11706748:11710487:1 gene:Manes.11G078600.v8.1 transcript:Manes.11G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPDEVLEHVFDFVTSHKDRNALSQVCKSWYRIESSSRQKVFIGNCYAISPERVIERFPGLKSITLKGKPHFADFNLVPHDWGGFVYPWIEAFARNKVCLEELRLKRMVVSDESLELLSRSCANFKSLVLVSCEGFTTDGLAAIAANCRFLRELDLQENEVEDHRGHWLGCFPDNCTSLISLNFACLKGDINLGVLERLVARSPNLRSLRLNRAVPLDTLQKILMLSPQLLDLGVGSCVHDPESETYNKLVTAIQKCKSVRSLSGFLDVAPHCLPAFHLICPNLTSLNLSYAPGIQGSDLTKLIRHCRKLQRLWILDCIGDKGLEVVASTCKDLQELRVFPSDPYVGNAAVTEEGLVAISSGCPKLHSILYFCQQMTNAALIAVAKNCPNFIRFRLCILDPTKPDAVTMQPLDEGFGAIVHSCKGLRRLSLTGLLTDQVFLYIGMYAEQLEMLSIAFAGDSDQGMQYVLNGCKKLRKLEIRDCPFGNGALLMDVGKYETMRSLWMSSCEVTLGGCKTLAKKMRRLNVEIMNENEQADFGADDTHKVDKMYLYRTLVGQRKDEPDFVWTL >Manes.13G055400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6430761:6433739:1 gene:Manes.13G055400.v8.1 transcript:Manes.13G055400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRVLSSRGLVAFCKSHLLKNLLSPSIPFFPKSFPCRNRYLLLGQSVCTIKGPHLEGCLSIHTGSVLNNEDKNGEPPAHSGKITTADGSDGSKMKRKKLKGKRAVVRWLKFFRWKKKKEYERMTAEEKILYKLKKARMKEERLVQALKKIEPAESSEPTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSPEEVKEIAADLARLTGGIVLDIHEENTIIMYRGKNYSQPPTEIMSPRVTLGRKKALDKSKYRDGLRAIRRYIPRLEQDLELLKAQAKGKAESGAASVEETLKTEDDVRKSRSISSMQLEKPERLKEIIDRQEECPEDECATDLGMVSDSEDLSDIFETDSETETQDKAKGRLYLDEFERFPIEGNGDAEDLEEQLRQLCKDSKEAESSEKDVSPSLDEFDRMVLRAASLLKRQKR >Manes.02G078300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6027267:6030066:1 gene:Manes.02G078300.v8.1 transcript:Manes.02G078300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYQNTTENSQYCDPENFGAMVATSDQEIDSLLSSFDQIYEDFKSGITEIQLLKSNWSAEIKKREALEVNCLSLKHENERLTKLYTESLDNIADQLESRIKCQSLKEEVKRLGDENLRKEEEFRKRMELLNQDYTTKVGELEDHVRGLILEKAKSEATMNHLRQDLAAHKSHMQTLAIRLERVHFDVESKYNLEIQDLRDCLSVEQEEKNELNKKLQDLEKQLVISKTKLVEQQQDLASNRLVETLKAKIMKLRKENEILRRKLSHSEEG >Manes.S040616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1188396:1188557:-1 gene:Manes.S040616.v8.1 transcript:Manes.S040616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.05G135100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:23665212:23666154:-1 gene:Manes.05G135100.v8.1 transcript:Manes.05G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDREKQEREGTLNQPLQISPMKPVTEEAYGGGMYANEPGQTQKVSKKPASETQSADGETEKAASEPKHKPPPSTGDRDLDITGQSYIQ >Manes.11G040376.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4075500:4083103:1 gene:Manes.11G040376.v8.1 transcript:Manes.11G040376.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERKRNESRGTNSFTDLRGSRSSPELNRSTSIGPRQYSYQQLAKATNHFSSNNLLGEGGFGQVYMGSVGGQSLAIKKLKNHRDLQSQGKLQDEIILVSTVRHKNLVELVGYCVEGADKLLVLKYFPNKSLGSQLHESEENLDWETRMDIAKGSARGLEYLHEHCDPPIIHLDIKSDNILLDHDFKPKVADFGLARIFSEAATHISESAIMGTKAYIDPYAIKTGQYSVKSDIYSFGVMLLELITGRRPIEEDGFDVVEWAKFEIKSALRDEEFEEFVDSTLQMFDHGEMYRILFCIDVCINNRPKFRPSMKKILLALEGILPLDELCKEKGDNKLPRYPTLYKDPSPMKANNSTKMWRSTDEASSSERFQVRNRSTSNKMWRSADEALMRRSADEALMRRSADEALMRRSTEAINRLAEGVKRLDLSNDDEEEDDEEEVSDEEEEEELEENEDVNDNDLSHKSKMADGGEVIACHTVRAWTEQMEKAQKGKQLTVVDFSAAWCPPSRYMSSVLAEMAKKMPNVTFLVVDVDELTSVSEEWKIEAMPTFLFFKQGKVVDKIVGANTEELQSTIAKHAVDDTPTIFTYQQIEWATRGFSKFLGEGNLGSVFKGFLDGKDFAVRKLEDLSDEEEQEKLEQSIKTIGSVSHPNLVQQFGHCIQGSNIYLVLEFFPSNSLKSLLHGKTTLEWSKRMKIAIDSAKALEYLHDNCDIIHGNIMTNNILVDKNFQSKVANFGLIKHYRSERTDVYADPDDRKCSFEESDVYAFGVVLLELITGKNTKDIVDWANTLMKPALYGEYTVLVDSKLQGDYNKKEVQQMIHCAAACLYKSLKSCPKMKKIVGVLEGSIPLKDIWNVNDGKFLSEFMTYNHLPTMSNSAIIPNPANVIMPYPQVLTWKSTVQNPQMLPNYPMMVTNNGFPVNIPANPSTSNGMPTTTFTYRYYYTYK >Manes.10G131200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29694404:29695786:1 gene:Manes.10G131200.v8.1 transcript:Manes.10G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALDENFLRRPFHFPSYISSEGILEAFMAEFDIGPSARPLLDHENTTIYLDVDEEDNGSTDVDDSPLLLETEISVYVDAQEDVQNGVDPLNHQRDMVVERIFLIANFVVELPSAVFDQLSSVHKPQFALISMVMSLVAMLLSIIDLADKGRRERVEWMVRDHLPWFYSPQINYKPLGTFSDIVGLVCSIFQWVFATIAYVFLSHHSDNPNKFSVWPIIFAFGALCSRFPRNPRTVDAVHESTETHRSRPGSPSPSPLKDLRDLQLLELVHLPIPSRVECFHLREYICSDEVTTRRKKVGRNGRKNRRRRRSRVEREIIEMTECEHIRPFTQVLLPSR >Manes.15G184900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26181806:26198452:1 gene:Manes.15G184900.v8.1 transcript:Manes.15G184900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHHDPNPFDEEEVNPFSHGTTAPGSKTRIPPLGPESAGFGHNDATVDIPLDTINDPKKKQKELAAWEADLKRREKEIKRREDAVAKAGVPVDDKNWPPFFPIIHHDIANEIPIHAQRLQYLAFASWLGIVLCLVFNVIAVLVNWIRGGGVKIFLLASIYALSGIPMSYVLWYRPLYRAMRTDSALKFSWFFLFYLLHIGFCIFAAIAPPIVFHGKSLTFSTYWGLACFA >Manes.15G184900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26181806:26198493:1 gene:Manes.15G184900.v8.1 transcript:Manes.15G184900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHHDPNPFDEEEVNPFSHGTTAPGSKTRIPPLGPESAGFGHNDATVDIPLDTINDPKKKQKELAAWEADLKRREKEIKRREDAVAKAGVPVDDKNWPPFFPIIHHDIANEIPIHAQRLQYLAFASWLGIVLCLVFNVIAVLVNWIRGGGVKIFLLASIYALSGIPMSYVLWYRPLYRAMRTDSALKFSWFFLFYLLHIGFCIFAAIAPPIVFHGKSLTGILPAIDVISDHLLVGIFYLLGFGLFCLESLLSLWVLQVRY >Manes.15G184900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26181806:26200411:1 gene:Manes.15G184900.v8.1 transcript:Manes.15G184900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHHDPNPFDEEEVNPFSHGTTAPGSKTRIPPLGPESAGFGHNDATVDIPLDTINDPKKKQKELAAWEADLKRREKEIKRREDAVAKAGVPVDDKNWPPFFPIIHHDIANEIPIHAQRLQYLAFASWLGIVLCLVFNVIAVLVNWIRGGGVKIFLLASIYALSGIPMSYVLWYRPLYRAMRTDSALKFSWFFLFYLLHIGFCIFAAIAPPIVFHGKSLTGILPAIDVISDHLLVGIFYLLGFGLFCLESLLSLWVLQKIYMYFRGHK >Manes.05G079850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6520652:6522154:1 gene:Manes.05G079850.v8.1 transcript:Manes.05G079850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFTPSPARLAFSVPMDSIKLSKNFTLSRESIKETLAAFKVNKSTADVTKEVF >Manes.18G026700.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVSTISKDHQIQ >Manes.18G026700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2330289:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVSTISKDHQIQ >Manes.18G026700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2329622:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPL >Manes.18G026700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVSTISKDHQIQ >Manes.18G026700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPL >Manes.18G026700.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPLLDLVMVTLHFTDFKLVK >Manes.18G026700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPLLDLVMVTLHFTDFKLVK >Manes.18G026700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPL >Manes.18G026700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328754:2342436:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPL >Manes.18G026700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328754:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPL >Manes.18G026700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2329622:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPL >Manes.18G026700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342436:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVSTISKDHQIQ >Manes.18G026700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPLLDLVMVTLHFTDFKLVK >Manes.18G026700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328558:2342638:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVSTISKDHQIQ >Manes.18G026700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPLLDLVMVTLHFTDFKLVK >Manes.18G026700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2336265:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQTGMVYNE >Manes.18G026700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPLLDLVMVTLHFTDFKLVK >Manes.18G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328558:2342638:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPL >Manes.18G026700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPLLDLVMVTLHFTDFKLVK >Manes.18G026700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342435:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPL >Manes.18G026700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2328803:2342390:-1 gene:Manes.18G026700.v8.1 transcript:Manes.18G026700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESYKTIIGDKTSEFQHMAGSDGVQEPRIWSSPEGGRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYIPETFESLAYEGTIKKLVYDLGYPQELLGWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFQEMSKEEKVGTYGNTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDSNPGKIPERADYARMYKDVRAAVDSCHRDGTLKQTVTKDPRRYINEDTSIVPMLKMLRDSGHSTFLVTNSLWDYTNVVMDFLCGSHVLDSSRACNFDWLMYFDIVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGTPMPQVGNSSPRIVLKKSNAPCQIFQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVKLLWSLRNSRKLLRLMRNERDQIEDQIHHMKWFLKFEDLNVDQKQKTSDGIDELESRRDQVRTSHQQDQRECHQKFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPYKYYRPCEDFMPHEFDILPLLDLVMVTLHFTDFKLVK >Manes.15G012200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1077594:1082778:1 gene:Manes.15G012200.v8.1 transcript:Manes.15G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPRVSLSKTQGSPSFSNSLLLSPAGSFPIRAPITPDDDSSDFESISHTDHGYSDTSISIDNGSESDEYVSGEEYESFSEKLFAGDPDEESPDEESGRLDKNKLSRPFVPNPDKVTLGNSVTDEESAVTGQYSPFVETNSPYLQRNMPVAQLTMDDNDFEELTSDDGIVSGATEDSRFSAMISAPRVKVSDFEEDKEDEPWVQRDSSVINKIELLQGADSLGNFVGAEHGVLSSEDNVLGSVSVKLIKHDSHVFTMDAQVEPLMENKGNSQMKEDAKHVVDDPASVESVEDDGQVVPDSEFLVDSKEDVLGKYSQLGDDGKQLVEERLQLENLEEEQIKPNFTEGHNGKSMENDFMDNVSDQCIGSHVKEQISENGCGGAYESQLNQVENIAPDITELKVDGVVLQKEQTSEIVNSSNETFVTVINPVDESLQKIVAELQEMELEVLGVEAEKTTDFVNGYHSCVFNGSDALEEGNGSEKLKGKSGPETGMTFESLALEDDIRVESADGAFESNGTVDLLEDIALPEMSGVDRLEAASQGNTNSFGIGEEANHDSEKIGGHGGLLSDEDVEELIFGGSGSIEHNMSELEKSAAFSPFPAADDRQYRIDGQIILDSEEELETDKEHEEEKLFDSAALAALLKAATGVELDGGSVMATSASSEVFSAQQCAGSGSSFDIKQASQLSMVKDVVNDSAGEEEKMIIEKIQHIRVKFLRLVQRLGHSPEDSIVAQVLQRSVLAAGLHASHEFTLESSKRMAMQLEAEGKDDLDFGLNIFVIGKTGVGKSATINSLFGEKKVMINAFEPATTRVKEIVGTIDGVRIRILDTPGLRSPVKEEAINRKIFASIKRLIKKFPPDVVLYVDRLDTHARDLNDLPLLASLTNSLTASIWQNAIVTLTHAAAPPPDGLSGSPLSFEVFVAQRSHIVQQAISQAVGDLRLMYPSMMHPVSLVENHPSCPKNEKGESILPNGQSWRTHLLLLCYSLKILSEVSSLTKTPDLFDSKKLFGIRLRPLPLPHLVSSLLQSRPHPKLAAEQGGDDVDSDMELLDLSDSDEEDEYEQLPPFKPLKKSQVNELSKEQRKAYFSEYAYRVKLLQKKQWREEVKKLKELKKKSKDSKSKHDSTGEDVDQEDGGPPTVPFPIPDFVLPPSFDCDNPSYRYRMLEPASQLLVRPVLDSQGWDHDCGYDGVSLERNLAIADQFPGAIAVQITKDKKVFNLHLDSSICAKHGENGSIMAGFDIQTIGRQLGYILRSETKFRNYKINKTSAGISITMLNKNVATGLKIEDQIAIGNRLSLVGNAGAVRSGGDTAYGGNFEVRLKSKDFPVEQDQSTLGLSLVNWRGELGVMANLQSQFSVGHNSKIAIHIGMNNRQSGQITIKTSSSELQLALISIIPMAISILKSLYPGGAARKSNMLD >Manes.03G113800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23909470:23910120:1 gene:Manes.03G113800.v8.1 transcript:Manes.03G113800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRAIKPCDVFINHRGIDTKRTVVTLLYDHLSRLNLRPFLDNKNMKPGDKLFENINRAIKQCKIGVTVFSPRYCESYFCLHELALIMESKKKVIPIFCDIKPSQLRIANKGVCSEEEIQKFNWALEEAKYTVGLTFNSLKGNWSEVITSASDIVIKSLIEMENEKQNAEIRKIPMPAQNIIATPTY >Manes.01G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8271953:8273941:1 gene:Manes.01G041500.v8.1 transcript:Manes.01G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLRVRMASFFAGAATASLLGFYVLYKDYKVAHESISQQVKGLHDSLDTRISALETLKQTEASQPAQATE >Manes.16G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27402889:27408952:-1 gene:Manes.16G072300.v8.1 transcript:Manes.16G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVTEPLIAGKNHREGGFRALPFIVATSAFEKVSSYGLLPNMILYLRREYRLEAATGANILFFWSAATNLTPIIGAFLADSYFGRFPVIAFGSAISLLGTFLLWLTTVIPQARPPPCVQFSDGCPSATTLQLLFLYLSFGLISVGAGGVRSSALAFGADQLRKGENIKNAQILESFFSWYYAIASFAVVIAMTCVVYIQDVMGWKAGFGVPVVLMIMSPLLFLLASPFYVKSRPKSSLFTRFAQVVVAAYKNRSLPLSSQVTNEAYHNWKDTVILAPSDKLRFLNKACIIRNPLEDLTPDGRASDPWSLCTVDQVEELKAIVKIIPIWSAGIIMAVTVSQSSFLVLQASTMDRHMTSNFEVPAGSLNVFLVISLVVWISLYDRVIIPLASKLKGQPVRLSLKQRMGIGILLSSASMVALAVVECIRRETAIREGFIDDPNAVVHMSAMWLLPYNILGGLAEAFGAIGQNEFYYTELPKCMSSIAVTLVEMGFSAANLVASFIMTSIDYFTKRGGEGSWVSSNINRGHYDYYYWLLASLSLINFLYYLVCSKAYGPCNAERDNHPDESTSEGID >Manes.18G030200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2554114:2554800:1 gene:Manes.18G030200.v8.1 transcript:Manes.18G030200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGESTSRPPQNPSFSSNSNGDAGNFECNICFDLAQDPIVTLCGHLFCWPCLYKWLHFHSQSKECPVCKALVEEEKLVPLYGRGKTSTDPRSKSIPGVNIPNRPAGQRPETAPPPEPNHFAQHGFGFMGGLGGFAPMATARFGNFTLSAAFGGLIPSLFNLQLHGFPDPAMYGAAAGFPYGFSNSFHGGHAHGYPPHQGQGQQDYYLKRLLLFIGFCVLLALIWQ >Manes.05G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8695633:8700641:1 gene:Manes.05G095000.v8.1 transcript:Manes.05G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKVESFLPLTRITLIQALMALLLVYLLFMSLEIPLIFKTGYGYEYGSVSGDGSLGYALPRSLLVDNDGAARTVFDPHKVVHRSPERRMRETKRVKRLSGLLFNETIFDASDIADEFSVLHKAAKEAWLAGKKLWEELESGKVQANLINNSNTADNRTERCPVSIALSGAVFSARNRIIELPCGLTLGSHITVVGSPRWAHQEKDPKIASLKEGEEALMVSQFMMELQGLKTVDGEEPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGIPLRCEGWSSQADEETVDGLVKCEKWLRDDDANSEDSKATWWLNRLIRPKRKTQFTWPFPFAEGKLFVLTLSAGLEGYHINVDGRHITSFPYHTGFVLEDATGLYLNGDVDVHSVFAASLPSSHPSVAPQQHLEMFRKWQAPILLDGKVELFIGIISAGNHFAERMAVRKTWMQHNLIRYSKVVARFFVALSGRKEINAQLKKEADYFGDIVIVPYVDNYDLVVLKTVAICEYGVHTVAAKYIMKCDDDTFVRVEVMLQEAKKVPRDRSLYIGNINFYHRVFRSGKWAVTYEEWPEEEYPPYANGPGYIISSDIAQFIVAEFQSHKLRLFKMEDVSMGMWVENFNSSKPVEYVHSFMFCQYGCTEDYYTAHYQSPRQMICLWEKLQQGKPHCCNVR >Manes.01G055600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23770719:23784928:-1 gene:Manes.01G055600.v8.1 transcript:Manes.01G055600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSHRLQSHDLHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCSRYMKGDELFTCDKCKSKKNKDESEETEVAQLLVELPTKTIRLESSFAGNGSSCRPFRLWTDIPMEERVHVQGIPGGDPALFSGLPSVFTPELWKCTGYVPKKFNFQYKEFPCWEEECGDSRNEEHNENTVDKGAGVLFSLAKEGPLPTPTAAFIGMKERGEESSFDRQQYSREKRNWVNEDSEVRHPQVGVKKERSLLRPVVIHSSKSKKEDLGTSKEQSGKKKARAAYKEVDARKRGSHVSRTAFTSIGDATTLEFYEDRGPNSIKSDNWSMKNKKLRDTMIQEHESDFYASVDDGVEKSKNCVAVNECSSEAALSTGISTHNFPTGVELNKGKVSHEGVEAFKTSPELHDVAKSVPEEIDVRTIPAEQEGDNMPNGNRDDMMEGSAGNDVKPLTDEVAMTIPEVKDSQINDEHDMFLSSVQNNVKVDANGENSMGILTDRSSIGDVKHIDLDYDNITENSTTKNAALSISLSGKQNTQEVDKTREATIDCHADKQNGLTSEPSLIQQELEESEEGLFTLHKCASEPKLGSAFHEEGGVISKSLALPNQNKIVVCVRRSSSTSSAVMVSKSSDCDKFRSVDIIDTDTNPNAKQQIVSQCNSNAKKERAASDIVKVKDEDNQDLSRRKVNEHPKSSVNSASKPSNSSKSSHLSVIKRALMESKDSDHQSSVKISSAQNSCETTVPQNDCASQVQNKASASGLPLRSEKFNQSGSQSSSQANHAMSMNPPPSTNSSATLSDEELALLLHQELNSSPRVPRVPRVRHAGSLPQLASPTATSLLIKRTSSSGGRDYNLVSRRKKKDASKDGFSHSHAPDDETKKGDRVPSSPDQKRQDTGYKADDLSKGEDPIAVHSVKKSIPSASTSTVNSGPYSSTELNDLHLASTRNSPRNISDEETANVRDPVHHTLPGLINEIMGKGRRMTYEELCNAVLPHWHNLRKHNGERYAYSSHSQAVLDCLRNRHEWAQLVDRGPKTTSSRKRRKLDAEESEDNDYGKGRNVKEGESKSLESPQRDEFPKGKRKARKRRRLALQGRGINEIRKRRKADLLTDDDSEPLSNSSEESMFSEDEIRGGAGPVGSEVSASSDETGAM >Manes.01G055600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23771026:23784903:-1 gene:Manes.01G055600.v8.1 transcript:Manes.01G055600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSHRLQSHDLHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCSRYMKGDELFTCDKCKSKKNKDESEETEVAQLLVELPTKTIRLESSFAGNGSSCRPFRLWTDIPMEERVHVQGIPGGDPALFSGLPSVFTPELWKCTGYVPKKFNFQYKEFPCWEEECGDSRNEEHNENTVDKGAGVLFSLAKEGPLPTPTAAFIGMKERGEESSFDRQQYSREKRNWVNEDSEVRHPQVGVKKERSLLRPVVIHSSKSKKEDLGTSKEQSGKKKARAAYKEVDARKRGSHVSRTDRGPNSIKSDNWSMKNKKLRDTMIQEHESDFYASVDDGVEKSKNCVAVNECSSEAALSTGISTHNFPTGVELNKGKVSHEGVEAFKTSPELHDVAKSVPEEIDVRTIPAEQEGDNMPNGNRDDMMEGSAGNDVKPLTDEVAMTIPEVKDSQINDEHDMFLSSVQNNVKVDANGENSMGILTDRSSIGDVKHIDLDYDNITENSTTKNAALSISLSGKQNTQEVDKTREATIDCHADKQNGLTSEPSLIQQELEESEEGLFTLHKCASEPKLGSAFHEEGGVISKSLALPNQNKIVVCVRRSSSTSSAVMVSKSSDCDKFRSVDIIDTDTNPNAKQQIVSQCNSNAKKERAASDIVKVKDEDNQDLSRRKVNEHPKSSVNSASKPSNSSKSSHLSVIKRALMESKDSDHQSSVKISSAQNSCETTVPQNDCASQVQNKASASGLPLRSEKFNQSGSQSSSQANHAMSMNPPPSTNSSATLSDEELALLLHQELNSSPRVPRVPRVRHAGSLPQLASPTATSLLIKRTSSSGGRDYNLVSRRKKKDASKDGFSHSHAPDDETKKGDRVPSSPDQKRQDTGYKADDLSKGEDPIAVHSVKKSIPSASTSTVNSGPYSSTELNDLHLASTRNSPRNISDEETANVRDPVHHTLPGLINEIMGKGRRMTYEELCNAVLPHWHNLRKHNGERYAYSSHSQAVLDCLRNRHEWAQLVDRGPKTTSSRKRRKLDAEESEDNDYGKGRNVKEGESKSLESPQRDEFPKGKRKARKRRRLALQGRGINEIRKRRKADLLTDDDSEPLSNSSEESMFSEDEIRGGAGPVGSEVSASSDETGAM >Manes.01G055600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23772988:23784903:-1 gene:Manes.01G055600.v8.1 transcript:Manes.01G055600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSHRLQSHDLHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCSRYMKGDELFTCDKCKSKKNKDESEETEVAQLLVELPTKTIRLESSFAGNGSSCRPFRLWTDIPMEERVHVQGIPGGDPALFSGLPSVFTPELWKCTGYVPKKFNFQYKEFPCWEEECGDSRNEEHNENTVDKGAGVLFSLAKEGPLPTPTAAFIGMKERGEESSFDRQQYSREKRNWVNEDSEVRHPQVGVKKERSLLRPVVIHSSKSKKEDLGTSKEQSGKKKARAAYKEVDARKRGSHVSRTAFTSIGDATTLEFYEDRGPNSIKSDNWSMKNKKLRDTMIQEHESDFYASVDDGVEKSKNCVAVNECSSEAALSTGISTHNFPTGVELNKGKVSHEGVEAFKTSPELHDVAKSVPEEIDVRTIPAEQEGDNMPNGNRDDMMEGSAGNDVKPLTDEVAMTIPEVKDSQINDEHDMFLSSVQNNVKVDANGENSMGILTDRSSIGDVKHIDLDYDNITENSTTKNAALSISLSGKQNTQEVDKTREATIDCHADKQNGLTSEPSLIQQELEESEEGLFTLHKCASEPKLGSAFHEEGGVISKSLALPNQNKIVVCVRRSSSTSSAVMVSKSSDCDKFRSVDIIDTDTNPNAKQQIVSQCNSNAKKERAASDIVKVKDEDNQDLSRRKVNEHPKSSVNSASKPSNSSKSSHLSVIKRALMESKDSDHQSSVKISSAQNSCETTVPQNDCASQVQNKASASGLPLRSEKFNQSGSQSSSQANHAMSMNPPPSTNSSATLSDEELALLLHQELNSSPRVPRVPRVRHAGSLPQLASPTATSLLIKRTSSSGGRDYNLVSRRKKKDASKDGFSHSHAPDDETKKGDRVPSSPDQKRQDTGYKADDLSKGEDPIAVHSVKKSIPSASTSTVNSGPYSSTELNDLHLASTRNSPRNISDEETANVRDPVHHTLPGLINEIMGKGRRMTYEELCNAVLPHWHNLRKHNGERYAYSSHSQAVLDCLRNRHEWAQLVDRGPKEVLSLFPTTTYSQ >Manes.01G055600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23771026:23784903:-1 gene:Manes.01G055600.v8.1 transcript:Manes.01G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSHRLQSHDLHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCSRYMKGDELFTCDKCKSKKNKDESEETEVAQLLVELPTKTIRLESSFAGNGSSCRPFRLWTDIPMEERVHVQGIPGGDPALFSGLPSVFTPELWKCTGYVPKKFNFQYKEFPCWEEECGDSRNEEHNENTVDKGAGVLFSLAKEGPLPTPTAAFIGMKERGEESSFDRQQYSREKRNWVNEDSEVRHPQVGVKKERSLLRPVVIHSSKSKKEDLGTSKEQSGKKKARAAYKEVDARKRGSHVSRTAFTSIGDATTLEFYEDRGPNSIKSDNWSMKNKKLRDTMIQEHESDFYASVDDGVEKSKNCVAVNECSSEAALSTGISTHNFPTGVELNKGKVSHEGVEAFKTSPELHDVAKSVPEEIDVRTIPAEQEGDNMPNGNRDDMMEGSAGNDVKPLTDEVAMTIPEVKDSQINDEHDMFLSSVQNNVKVDANGENSMGILTDRSSIGDVKHIDLDYDNITENSTTKNAALSISLSGKQNTQEVDKTREATIDCHADKQNGLTSEPSLIQQELEESEEGLFTLHKCASEPKLGSAFHEEGGVISKSLALPNQNKIVVCVRRSSSTSSAVMVSKSSDCDKFRSVDIIDTDTNPNAKQQIVSQCNSNAKKERAASDIVKVKDEDNQDLSRRKVNEHPKSSVNSASKPSNSSKSSHLSVIKRALMESKDSDHQSSVKISSAQNSCETTVPQNDCASQVQNKASASGLPLRSEKFNQSGSQSSSQANHAMSMNPPPSTNSSATLSDEELALLLHQELNSSPRVPRVPRVRHAGSLPQLASPTATSLLIKRTSSSGGRDYNLVSRRKKKDASKDGFSHSHAPDDETKKGDRVPSSPDQKRQDTGYKADDLSKGEDPIAVHSVKKSIPSASTSTVNSGPYSSTELNDLHLASTRNSPRNISDEETANVRDPVHHTLPGLINEIMGKGRRMTYEELCNAVLPHWHNLRKHNGERYAYSSHSQAVLDCLRNRHEWAQLVDRGPKTTSSRKRRKLDAEESEDNDYGKGRNVKEGESKSLESPQRDEFPKGKRKARKRRRLALQGRGINEIRKRRKADLLTDDDSEPLSNSSEESMFSEDEIRGGAGPVGSEVSASSDETGAITHGTLASW >Manes.17G059100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25802595:25804188:1 gene:Manes.17G059100.v8.1 transcript:Manes.17G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYEQVSSSSSPSSSPQKIQLVSKSVSDKLLKKFFDVSEFDFDYEQSGLWSPPIKRSAFFISPDRIFTEDLMLEKLRNVNERRRRRHRRRVHKAFCNAVCCF >Manes.13G128250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33638356:33639584:1 gene:Manes.13G128250.v8.1 transcript:Manes.13G128250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLMEASKSDVFWKPSDNCTIGFFSKETKWENAQPAYSGMSSGDKLRECFWHENSYLSCDGPLSRWGVACLVIGDGSRNVGRR >Manes.18G021200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2090938:2092747:1 gene:Manes.18G021200.v8.1 transcript:Manes.18G021200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYDLNTITLGVISWATIFLLTRKFLQNYSFEFCNRLVSSIHAIVAVTLACLSVEDWRCPACPLASNPSPSQMRALGVSVSYLIYDLICCLFDKQFNLDNTIHHLVSIVGLGAGLLYHKSGSELVTALWITEMSSPFLHLRELLKDLGYRDTPLNLAADISFAVIFTCARMIGGPYLTYMTLRADNPLIVKVTDFLGKKEEKKKECGFINCEFVIR >Manes.18G021200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2090938:2092747:1 gene:Manes.18G021200.v8.1 transcript:Manes.18G021200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYDLNTITLGVISWATIFLLTRKFLQNYSFEFCNRLVSSIHAIVAVTLACLSVEDWRCPACPLASNPSPSQMRALGVSVSYLIYDLICCLFDKQFNLDNTIHHLSGSELVTALWITEMSSPFLHLRELLKDLGYRDTPLNLAADISFAVIFTCARMIGGPYLTYMTLRADNPLIVKVMAVGLQLVSGFWFYKIARMMKYKLAKATPKKPKKFN >Manes.18G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2090938:2092747:1 gene:Manes.18G021200.v8.1 transcript:Manes.18G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYDLNTITLGVISWATIFLLTRKFLQNYSFEFCNRLVSSIHAIVAVTLACLSVEDWRCPACPLASNPSPSQMRALGVSVSYLIYDLICCLFDKQFNLDNTIHHLVSIVGLGAGLLYHKSGSELVTALWITEMSSPFLHLRELLKDLGYRDTPLNLAADISFAVIFTCARMIGGPYLTYMTLRADNPLIVKVMAVGLQLVSGFWFYKIARMMKYKLAKATPKKPKKFN >Manes.18G021200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2090938:2092747:1 gene:Manes.18G021200.v8.1 transcript:Manes.18G021200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYDLNTITLGVISWATIFLLTRKFLQNYSFEFCNRLVSSIHAIVAVTLACLSVEDWRCPACPLASNPSPSQQFNLDNTIHHLVSIVGLGAGLLYHKSGSELVTALWITEMSSPFLHLRELLKDLGYRDTPLNLAADISFAVIFTCARMIGGPYLTYMTLRADNPLIVKVMAVGLQLVSGFWFYKIARMMKYKLAKATPKKPKKFN >Manes.07G080900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24318861:24326326:-1 gene:Manes.07G080900.v8.1 transcript:Manes.07G080900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVDSFWARVFSLVSGTECDPSVAESVCRFSSVTVEGRYLPLDFEVLDLTVWTSIEGWIGFCSWYYLGRLRQGSQILRIGWICETCLPISYNSGPRPFKDIPFLILFALCVLCTFAFGIFSVSHRNPDYTNLSSFTYEFNSTSCAKDSLSSSSVWFLETHVYYYLLSLWSSGFWEALIWNLVVTFILSAPICFLFLLLLKHYTKQIVYISLPFFIVLPIFFNIYWFVAYTVSSTCIDAFPLVYRILVLVFVFLVIGVIMWILVANWHRIDLTVMIIGVASDALSKNLALLVALPLLTFGLVFYYAPIVVFLVFARLNGKIVPKESSGEYTCVWKQDSWVPAYYALAILAMLWSLTTMVETQVYVINGTIAQWYFTKEDSSPKRGIRSSLR >Manes.09G057201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9269262:9270589:-1 gene:Manes.09G057201.v8.1 transcript:Manes.09G057201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLSRSRDRDPLFAVACSSSDGRVLVNLRTLMTASSDLLLFSWLPVKAKALPSLLTLRTWVLEQLENACFACMKMGCFLLYFMCLPFLPDKEVCQPIG >Manes.05G150702.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25998202:25998932:1 gene:Manes.05G150702.v8.1 transcript:Manes.05G150702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMLMTVDRALATVPFLFWTHVCHVMLMTVDRAHATAPFLFWTHVCHSSVSVLDSCHTKNIKQEN >Manes.09G089222.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26839766:26852054:1 gene:Manes.09G089222.v8.1 transcript:Manes.09G089222.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPNGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGDGGDGWFNFNHIDQFTLSGKGTLDGQGEVAWKGNIRFNFFTKGLVRDITFLNSKYFHVNVLGCEDFTFEGFKVSTPESSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSRITVSDCTFTGTTNDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLIYSSGIPCEKVELANIDLTYSGPKGPAKSECIDVKPTILGKIPEGCK >Manes.05G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24673463:24677519:-1 gene:Manes.05G144700.v8.1 transcript:Manes.05G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSWSPSSSSSSSSGFLVLVFVMTSIHVWTTTALVKLPPNTTVPAILVFGDSIVDAGNNNNFTTLVKCDFLPYGMDFKGGIPTGRFCNGKIPSDIIAEELGIKDTLPAYLDSTVASADLITGVTFASGGAGYDPLTSKLASVISLADQLEYFKEYIEKLKRLVGEEKTQFILSNSLYLVVAGSDDIANTYFILRARKLQYDVAGYTDLMADYASTFVQDLYELGARRIGVFSAPPIGCVPSQRTLAGGSERDCADDYNQAATLFNSKLSENIDSLATNLSNSKIVYIDVYNPLLDLIQNPNKYDFQVSNKGCCGTGNLEVSILCNKLMSGICSNVSDYVFWDSYHPTERAYRVLVSQLLSVYVNKFF >Manes.02G072600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5557205:5567917:-1 gene:Manes.02G072600.v8.1 transcript:Manes.02G072600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACKSTDKPPEALDSLRLYHNSLASLSLRIPPHDQPSSLPLPSSLPLSKLIPGTRFLVDAFRFSTSSSITYFLSHFHSDHYTGLSSNWSQGLIFCSPTTANLLIQVLKIPSQFVFPLPLLEPVIIDGSQVTLVDANHCPGAVQFLFKVPGKDQEGFEMYIHTGDFRYHSSMKEDGLISQFVGCDAIFLDTTYCNPKFLFPSQQESIDHIVSVIKRIRGDFMIQEKSVLFLVATYVVGKERILLEIAQRCKRKVHVDGRKMEVLRVLGHGESGVFTEDENESDVHVVGWNVLGETWPYFRPNFVRMKEIMVERGYSKVVGFVPTGWTYEVKRHKFSVRSKDSCEIHLVPYSEHSNYDELREYVKFLRPKRVIPTVGVDIEKFNSKHTIKIQKHFAGLVDEMANKKEFLMAFHHGSCENDEKAGLEDVVELNERMDPEEDGNLSEVKALEDKDPGVVLNSSSTLQEHGSQNLTILNDDETEKIIRELSDSLPSWVTRDQMLDLIQSSGGNFVDTVSNFYEHETEFHQQIFPCRTSMCSSQTDSLNESESLSNQVSIKNSQETSGTTPLSQNYKSSNLKPSSKSGMTLGKRKRSVGKKAKTNSKLESGGPRQSTITRFFNKLVPDVSQGSEVQFLPGQSMEENSLQNDDTNSYKEEIYQFIQIIGGNESSRGYAAVIMERTKGDINKALDVHYGNEGNFDQSVEKLVASDNLVQPQCNIHECSSAQDKTVSEEGKHMVDLSIQRSLKENVAATYVSLPPEKYNPIQHACWSIGEPAPYIHLAQTFDLVEAEKGKIKATSMLCNMFRSLLALSPEDLLPAVYLCTNKIAADHENIELNIGGGLVTSSLEEACGTNRSKLRDMYNSMGDLGDVAQMCRQKQTLLAPPSHLLIKDVFSVLQKISVQTGTGSTLRKKRLIVNLMRSCREKEMKFIVRTLVRNLRIGAMMRTILPALAQAVAMNSLVNFDEQKAESLKEKLQYLSSAVVEAYNILPDLGLIVPSLISKGIEFSSSTLSMVPGVPIKPMLAKITNGVSQAVKLFQDKAFTCEYKYDGQRAQIHKLPNDTFRVFSRNGDETTSRFPDLINIMKESCKSAAVTFIVDAEVVAIDRKNGCKLMSFQELSSRERGSKDSFITVNNIKVDICVFVFDIMFANGEQLLELPLRQRRKRLKDLFCDERMGYFEYAKEMTVETHDSCLTNDVTMAKINSFLEEAWHSSCEGIMVKSLDVHAEYSPSKRTDTWLKVKRDYVEGLNDSLDLVPIGAWHGNGRKAGWYSPFLMACYNPETEDFQSVCRVMSGFSDVFYTEMKAFFSEDRILLKKPSYYQTSEVPDLWFSPELVWAIRGADLTVSPVHHAAIGLVHPSRGISMRFPRFVRPVSDRNPEECSTAADIAEMFNSQTRKMNVTGTAAK >Manes.15G119900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533242:9535091:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKVCRILTDIVASVVTAADKVEIGNYDSFYEQGGVCSPEQQSMQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533242:9535091:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKIISGLQNFNRHSCFSCYCCRQVTHLQVCVSSVDPVAFVAAVEAGALIVEIGNYDSFYEQGGVCSPEQQSMQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533444:9535091:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKIISGLQNFNRHSCFSCYCCRQVTHLQVCVSSVDPVAFVAAVEAGALIVEIGNYDSFYEQGGVCSPEQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533444:9535091:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKVCRILTDIVASVVTAADKVEIGNYDSFYEQGGVCSPEQQSMQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533242:9535091:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKIISGLQNFNRHSCFSCYCCRQVTHLQVCVSSVDPVAFVAAVEAGALIVEIGNYDSFYEQGGVCSPEQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533572:9535997:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKIISGLQNFNRHSCFSCYCCRQVTHLQVCVSSVDPVAFVAAVEAGALIVEIGNYDSFYEQGGVCSPEQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533444:9535091:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKIISGLQNFNRHSCFSCYCCRQVTHLQVCVSSVDPVAFVAAVEAGALIVEIGNYDSFYEQGGVCSPEQQSMQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533572:9535997:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKVCRILTDIVASVVTAADKVEIGNYDSFYEQGGVCSPEQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533444:9535091:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKVCRILTDIVASVVTAADKVEIGNYDSFYEQGGVCSPEQTLNLTKETKRILPSVTLSVTKPP >Manes.15G119900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9533242:9535091:1 gene:Manes.15G119900.v8.1 transcript:Manes.15G119900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSISTPISSSSHSLLKPNNNPLLGNFLASKLCYLLKGRVSLTTRALLSATKESVLKGFHERRALKVCRILTDIVASVVTAADKVEIGNYDSFYEQGGVCSPEQTLNLTKETKRILPSVTLSVTKPP >Manes.S050916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1706210:1706344:1 gene:Manes.S050916.v8.1 transcript:Manes.S050916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.03G050300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4659303:4659842:-1 gene:Manes.03G050300.v8.1 transcript:Manes.03G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYANGVISLRGRWGVLLWKLAIMGMFLLARASSEADHIGEPEAGVLCISDCTTCPVICSPPPPPVESHNYYSPPSHHSPPPQSERYHSPSPSPPPPSLPPPSPSPPPPRSTSPPPLPPSWFPSWGPPSVQVPPKQGQYPYPYYYFYASKASSLSFHASFYFSIVFFHFLCCMLLLFYC >Manes.14G158366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:21879149:21879912:1 gene:Manes.14G158366.v8.1 transcript:Manes.14G158366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHAMLQPRLARPRRQPLSDESARQQRASTVHTDWVSPSGMAACCNSAMQQHASTEIDSIGKEWRFAPNRRTIDDDSAGSAEPSFVIIMKPPEASTTVDVALQSCSS >Manes.08G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3609658:3610765:-1 gene:Manes.08G036800.v8.1 transcript:Manes.08G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNNNSMGLGFMAAFAVSGSVVLIARHVHKHLVSDFMKKIEFELVGSKRCQAKKRVRFAEDVIEPSSNNKQYRDRHLARIAEGSQMMNRNYGPKFMEAMPVNRQILYKGILEYKTLKGCVASA >Manes.01G223000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38973971:38985357:-1 gene:Manes.01G223000.v8.1 transcript:Manes.01G223000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDKMEVHMENSCLEGDSNVLVLPAKKRSKRKSMNQKNEAVERKNNPKLSKSQERKLMKLEQEKEKAFLLAHSIETLEKYKIPEDAFSLLRSSRNISRVETVKEKRRMAVQFSKAGLEVSHSDQFFKTCFDGASYETEDESKSISEHRNDHVQPMVTETEVDASHSLVISQELGSCKQLNLKSGSVSGFSTEEAPGQDNYKHVREDSMDFSPASCPHDSTKASDLMGKSDESSIVGLGGSNVLRCYPQSHFTPPTVVHVVRPNEVEEKRKDLPIVMMEQEIMEAINGHSTVIICGETGCGKTTQVPQFLYEAGFGSNQSVARGGIIGVTQPRRVAVLATARRVAYELGLHLGKEVGFQVRHDKRIGDNCTIKFMTDGILLREAQNDFLLKRYSVIILDEAHERSVNTDILIGMLCRAIPLRQKIYEDQQQMLLSGQSINPENMVFPLKLVLMSATMRVEDFVSGRRLFHNPPVIEVPTRQYPVTIHFSKRTEIVDYIGQAYKKVLSIHKRLPQGGILVFVTGQREVEFLCQKLRKASKKLIANTAEGNIGCEASTTFEMNSTEEINMKDINEAFEIQGDSSNQQSERFSSNEKELPYSNEDESDVSYDSETESELEIVGDDGNSGDQSIAENDGNLLGVLGEEGSLTSLKAAFEALAGKTASDPNSEEKQIPFMPEELLEQSNHFVVKNNGGNKGVSLGALRVLPLYAMLPAAAQLQVFEGVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNPSNGMETYEIQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFNNIFPDFSCAEISKVPVDSIVLVLKSMSINKVANFPFPTPPDSTALIEAEKCLKTLEALDDNGRLTALGKAMAHFPMSPRHSRMLLTVIQIMRKVKIYARANLVLGYAVAAAAALSMTNPFLVEFGGSNDNSSGLEKDGTSDSLGNEKNLDKREKLRRKKLKEKAKLSRVKFSNPTSDALTIAYALQCFELCNNPVEFCSENALHLKTMEEMSKLRKQLLQLVFNQNVNHGYDQEFSWMHGTVGDVEQAWRVSFSKNPLLLNEEELLGQAICAGWADRVAKRVRAYSKSSEGDRKVNSVRYEASGVKENVFLHRWSSIANSAPEFLVYSELLNTKRPYIHGATSVKSEWLVKYARSLCSVSTVEDPKPFYDPQTDQTYCWTSPTFTPCLWQLPLYSVPVSNDVERVRVFAYALLEGHVLPCFRSVRKFMAARPSIILDREAVGGRRVGDLLFRLQNKSIDSCAMLSEVWKENPDELHAEILHWFKKSFHNNFGTLWSQMHVEVQLGPYERFPKKVKKDKGNKHFV >Manes.12G126200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33250154:33260966:-1 gene:Manes.12G126200.v8.1 transcript:Manes.12G126200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEEKVKKEALEIIGQFQELPRLVVFDLDYTLWPFYCEFYYEDDTPYLYPHAMGILKALKEKGIELAIASRSPTSQIAKVFLVKLGIDSMCVAQEIFSSWTNKTEHFQRIHRRTGLPFSSMLFFDDEDRNIEATSKMGVTSILVGNGVNLGALRQGLSEFSQKSGCCSRNSKD >Manes.03G138848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26592343:26594307:-1 gene:Manes.03G138848.v8.1 transcript:Manes.03G138848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSHFFSLPTLVPFLFFIFMVFRTWKKPRTKALVTTDLPPGPWKLPIIGNLHNLLRSLPHHRLQDLAKKYGPLVHLQLGEVTTIVISSPGIAKEVMATHDIIFAQRPFSLASNIISYDSTDIAFAPYGEYWRQIRKICTLELLSAKRVQSFGSIREEEVSNLVSRISSNAGSVVNLGRMLISFTYCVTSRAAFGRIREEQEAFVHLVKELMAVLGGFSIADLFPSIKVLQMVSGMGAKVKRLHQEADRILEDIVNGHKARKAVVKVADEGDDDLVDVLLKHHDPENLEFSLTIENIKSVILDIFVAGSETSSTVAEWAMSEMLRNPTVMEKAQAEVRQVFRGKGHVEEAGLGELNYLKMVIKETLRLHPPLALLLPRESREECEISGHRIPIKTKVIVNAWAIGRDPNSWIEADKFNPERFSDSTIYYKGANFELIPFGAGRRACPGIAFGMANVEILLANLLYYFDWKLPIGMKPEELDMAESFGAVVGRKKDLELLPTLCHPLPSA >Manes.18G025600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2874752:2878688:-1 gene:Manes.18G025600.v8.1 transcript:Manes.18G025600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLIWKPITHCASLIMDKKARRRDGSALGSRSGGLTMLRQLQENKLKEALEEASEDGSLAKSQDIDAESNQERTSIGRSRSLARLHAQKEFLRATALAAERTFCSQDSIPDLRDAFSKFLTMYPKFQSTEKIDQLRLEEYGNLSESISKVCLDYCGFGLFSHLQTQQHWESSSFTLHEITANLNNHALYGSAERGTAEHDIKCKIMDYLNVPESEYVLVFTVSRGSAFKLLAESYPFQSNKKLLTMFDHESQSVNWMAQRAKEKGAKISSAWFKWPTLKLCSKELRKQICNKKKRRKNFAVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFKPDFIITSFYRVFGSDPTGFGCLLIKKSVMASLQNESGRTGLGMVRILPVFPQYLDDSVDGIDVFTGIDVFTRMENVATNETEDAVSETHGGPQMPAFSGVLTTNQVTDVFETEMGHDSSSDRDGASTVFEETESVSVGDVMRSPIFSENESSDTSYWIDLGQSPLAFDNFGQLTKQRIGSTLLPSWFLRKKRHNQLSIKPTSKMYKNPIYYDDDDDDDHGFHNYPDLSVDETILSVSHELDLTKAIFEEQQLAETEPTLSSNRERYANLKHAGEIQVGAEIGNGPLLSLQQHNRESISASKINQEAKESAIRRETEGDFRLLGRRAGGRFFGLEEGDHVASTRHTISFSMEDNHRANSNRLEPKEASSTTFGDEESTTDGEYGDEQDWERSEPEIICGHLDHINLLGLNKTTLRLRYLVNWLVTSLLQLQFPSSEVPLVQIYGPKIKYERGAAVAFNVRQCSGGQLIHPEVVQKLADKNGISLGIGILNHVRIVDGPRQHCGGFDFEDTSLCKPMASAHHDGKDVVYRLEVVTASLGFLTNFEDVYKMWAFIAGFLNPSFVEDDKLSEVPEDSEA >Manes.06G090201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22589065:22591525:-1 gene:Manes.06G090201.v8.1 transcript:Manes.06G090201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDAGGKGKGKQAAGASDESASKSKGKAGKADGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKVNPLDCNLQILLYFKIYLVLD >Manes.15G096000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7486082:7490327:-1 gene:Manes.15G096000.v8.1 transcript:Manes.15G096000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMEEIRAQEVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPLMQEEMTAREQFIYTAKQMGRRSWSSCKAFAVMGLIFSAAECIVEKARAKHDVTNTVVAGCVTGGSMSAKGGPKAACVGCAGFAAFSVLIEKFLERHT >Manes.15G096000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7485712:7490328:-1 gene:Manes.15G096000.v8.1 transcript:Manes.15G096000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGIESNNGVSSSKEAEPETPQIPPFRMPTMEEIRAQEVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPLMQEEMTAREQFIYTAKQMGRRSWSSCKAFAVMGLIFSAAECIVEKARAKHDVTNTVVAGCVTGGSMSAKGGPKAACVGCAGFAAFSVLIEKFLERHT >Manes.15G096000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7486082:7489896:-1 gene:Manes.15G096000.v8.1 transcript:Manes.15G096000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGIESNNGVSSSKEAEPETPQIPPFRMPTMEEIRAQEVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPLMQEEMTAREQFIYTAKQMGRRSWSSCKAFAVMGLIFSAAECIVEKARAKHDVTNTVVAGCVTGGSMSAKGGPKAACVGCAGFAAFSVLIEKFLERHT >Manes.15G096000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7486082:7490327:-1 gene:Manes.15G096000.v8.1 transcript:Manes.15G096000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMEEIRAQEVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPLMQEEMTAREQFIYTAKQMGRRSWSSCKAFAVMGLIFSAAECIVEKARAKHDVTNTVVAGCVTGGSMSAKGGPKAACVGCAGFAAFSVLIEKFLERHT >Manes.01G048981.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:16591869:16592780:1 gene:Manes.01G048981.v8.1 transcript:Manes.01G048981.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSWNCQGAVSPNFRKVVNEYGRLYKIDMIALLETRVSGSHADKICKDLGFEHWLRVEAFGFSGGIWVCWNNNGFELEVLNTHPQFINCRVKPTWGSPWIASFVYGSPNTGLRRLLWEDLRLSCLNMDEQWVVLGDFNVVVSMEEQYGYRTYNASGSREFQDWLFDTALVDMGYEGVLFTWSRSDGGDGMARLDREVCTTAWRWRFAEARIVHPPKFHSDHCPIILSLGEQPLPNGNFFRCQAAWFAHPDFLWSNIEGLQQGLMKWNREEFGNIFAKKHQLLRRIEGV >Manes.10G030300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3105922:3106948:1 gene:Manes.10G030300.v8.1 transcript:Manes.10G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEKKQKQKQNKKQKHQHPNDQTSKPASDFSFKPSSEVKGLRFGGQFMVKSFPIRRARPLELLKILSYPPTNRNNNNSSSSSKIPFPSTTAFLPTNFTILAHQAWHTLTLGLGTKKSKVLVFVFESESMKLAVDRIWPPEIPLGEANKKLIRGLTGSEMARFKFRKGCITFYVYAVRKLGNMGFSCSDDLRTILESVVSLKDFLDHTAMLAMPHQRSINYQAPVAMAH >Manes.13G089800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20337311:20338493:1 gene:Manes.13G089800.v8.1 transcript:Manes.13G089800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQIFSDLKAQILAAQPVDQPQRLSLCFDKLMADVTRSLDAKNRDRFTQNLTVFRHEFRVK >Manes.08G033400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3167924:3172792:-1 gene:Manes.08G033400.v8.1 transcript:Manes.08G033400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRSATMTNSHIKLVLALVCLVSVVYSDTDPDDWRILKAFRDGLENPELLEWPATGDDPCGQSWKHVHCVGSRVSQIQVQNMGLKGPLPQNLNRLVMLQNLGLQRNQFTGPLPSFSGLSKLKYAFLDYNLFDSIPADFFEGLESLQVLALDNNPFNVTTGWTFPKALQGSPQLTNLSCMYCNLAGHLPDFLGSLFSLQNLKLSGNNLSGEIPPSFKGGMSLHNLWLNDQKGVGLSGTIDVVATMESVAVLWLHGNQFSGKIPENIGNLTLLKDLNLNGNRLVGLVPESLKNMPLKHLDLNNNQLMGPIPEFKAAKVSCSSNPFCQSTAGVPCAPEVMALLEFLDGLNYPPRLVSSWTGNDPCSSWVGVTCDSNKVYSIALPKYNLSGSLSPSVAKLDSLHQIKLGGNYISGTVPTNWTALTSLKTLDLSSNNISPPLPRFSTTVNLVIAGNPLLAAGKAAPSPHNNPSSGSSDSPDMQPDPSPRNNSSSGSSDTSNTQSSQTKGTSSSPRDSSGEPAKEKSKRSILVAIVVPVASIAVVSFLIIPLSIHCCKKRKDTIQPPSSLVIHPRDPSDSDNMVKIAVANNTNGSASTITGSDSASRNSSGIGESHVIEAGNLVISVQVLRNVTKNFCPENELGRGGFGVVYRGDLDDGTKIAVKRMESGVISNKALDEFQAEIGVLSKVRHRHLVSLLGYSIEGNERILVYEYMPQGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHNLAHRSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGRITTKADVFSFGVVLMELLTGLMALDEDRPEESQYLAAWFWHIKSDEQKLRAAIDPALDVKNETFESICTIAELAGHCTAREPSQRPDMSHAVNVLAPLVEQWKPSDNDAEDYCGIDYSLPLNQMVKGWQEAEGKDLSCVDLEDSKSSIPARPTGFAESFTSADGR >Manes.08G033400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3167924:3172792:-1 gene:Manes.08G033400.v8.1 transcript:Manes.08G033400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRSATMTNSHIKLVLALVCLVSVVYSDTDPDDWRILKAFRDGLENPELLEWPATGDDPCGQSWKHVHCVGSRVSQIQVQNMGLKGPLPQNLNRLVMLQNLGLQRNQFTGPLPSFSGLSKLKYAFLDYNLFDSIPADFFEGLESLQVLALDNNPFNVTTGWTFPKALQGSPQLTNLSCMYCNLAGHLPDFLGSLFSLQNLKLSGNNLSGEIPPSFKGGMSLHNLWLNDQKGVGLSGTIDVVATMESVAVLWLHGNQFSGKIPENIGNLTLLKDLNLNGNRLVGLVPESLKNMPLKHLDLNNNQLMGPIPEFKAAKVSCSSNPFCQSTAGVPCAPEVMALLEFLDGLNYPPRLVSSWTGNDPCSSWVGVTCDSNKVYSIALPKYNLSGSLSPSVAKLDSLHQIKLGGNYISGTVPTNWTALTSLKTLDLSSNNISPPLPRFSTTVNLVIAGNPLLAAGKAAPSPHNNPSSGSSDSPDMQPDPSPRNNSSSGSSDTSNTQSSQTKGTSSSPRDSSGEPAKEKSKRSILVAIVVPVASIAVVSFLIIPLSIHCCKKRKDTIQPPSSLVIHPRDPSDSDNMVKIAVANNTNGSASTITGSDSASRNSSGIGESHVIEAGNLVISVQVLRNVTKNFCPENELGRGGFGVVYRGDLDDGTKIAVKRMESGVISNKALDEFQAEIGVLSKVRHRHLVSLLGYSIEGNERILVYEYMPQGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHNLAHRSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGRITTKADVFSFGVVLMELLTGLMALDEDRPEESQYLAAWFWHIKSDEQKLRAAIDPALDVKNETFESICTIAELAGHCTAREPSQRPDMSHAVNVLAPLVEQWKPSDNDAEDYCGIDYSLPLNQMVKGWQEAEGKDLSCVDLEDSKSSIPARPTGFAESFTSADGR >Manes.03G013200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1104409:1109206:-1 gene:Manes.03G013200.v8.1 transcript:Manes.03G013200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFTEPKVTWLNLQLEKIWPYVDEAASEVIRSSVEPILEQYRPAILSSLKFSKLTLGTVAPQFTGISILEEGGEPGSVTMEMEMQWDGNPNIVLDINTRVGVALPIQVKNIAFTGVFRLIFKPLVEEFPGFGAISYSLRQKKKLDFTLKVIGGELSAIPGISDAIEETIRDAIEDSIMWPVRKIVAIVPGDYSDLEVKPVGTLEVKLVQAKELTNKDVIGKSDPFAVLFVRPLRDRMKTSKTIDNQLNPVWNEHFEFPVEDPLTQHLTIRIFDDEGVQAAELIGCAQVALRQLEPGKVKDVWLKLVKDLEIQRDTKNRGRVHLELLYCPYGTKSRSKSAFSPDIELDKGIKAGTNEANDNAPTISTSQKAVSTRGVLTVIVVAAENLPVVDLMGKADPFVVLKMKKSDKKVKTKVIYENLNPVWNQTFDFLIEDALHDMLIAEVWDHDTFGKEKIGSCLMTLTRVVSEGQVQESFPLDGAKSGKLVLHLKWKPQI >Manes.03G013200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1104409:1109206:-1 gene:Manes.03G013200.v8.1 transcript:Manes.03G013200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFTEPKVTWLNLQLEKIWPYVDEAASEVIRSSVEPILEQYRPAILSSLKFSKLTLGTVAPQFTGISILEEGGEPGSVTMEMEMQWDGNPNIVLDINTRVGVALPIQVKNIAFTGVFRLIFKPLVEEFPGFGAISYSLRQKKKLDFTLKVIGGELSAIPGISDAIEETIRDAIEDSIMWPVRKIVAIVPGDYSDLEVKPVGTLEVKLVQAKELTNKDVIGKSDPFAVLFVRPLRDRMKTSKTIDNQLNPVWNEHFEFPVEDPLTQHLTIRIFDDEGVQAAELIGCAQVALRQLEPGKVKDVWLKLVKDLEIQRDTKNRGRVHLELLYCPYGTKSRSKSAFSPDIELDKGIKAGTNEANDNAPTISTSQKAVSTRGVLTVIVVAAENLPVVDLMGKADPFVVLKMKKSDKKVKTKRMHCMTC >Manes.03G013200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1104409:1108407:-1 gene:Manes.03G013200.v8.1 transcript:Manes.03G013200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMQWDGNPNIVLDINTRVGVALPIQVKNIAFTGVFRLIFKPLVEEFPGFGAISYSLRQKKKLDFTLKVIGGELSAIPGISDAIEETIRDAIEDSIMWPVRKIVAIVPGDYSDLEVKPVGTLEVKLVQAKELTNKDVIGKSDPFAVLFVRPLRDRMKTSKTIDNQLNPVWNEHFEFPVEDPLTQHLTIRIFDDEGVQAAELIGCAQVALRQLEPGKVKDVWLKLVKDLEIQRDTKNRGRVHLELLYCPYGTKSRSKSAFSPDIELDKGIKAGTNEANDNAPTISTSQKAVSTRGVLTVIVVAAENLPVVDLMGKADPFVVLKMKKSDKKVKTKVIYENLNPVWNQTFDFLIEDALHDMLIAEVWDHDTFGKEKIGSCLMTLTRVVSEGQVQESFPLDGAKSGKLVLHLKWKPQI >Manes.03G013200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1104409:1109206:-1 gene:Manes.03G013200.v8.1 transcript:Manes.03G013200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFFTEPKVTWLNLQLEKIWPYVDEAASEVIRSSVEPILEQYRPAILSSLKFSKLTLGTVAPQFTGISILEEGGEPGSVTMEMEMQWDGNPNIVLDINTRVGVALPIQKKLDFTLKVIGGELSAIPGISDAIEETIRDAIEDSIMWPVRKIVAIVPGDYSDLEVKPVGTLEVKLVQAKELTNKDVIGKSDPFAVLFVRPLRDRMKTSKTIDNQLNPVWNEHFEFPVEDPLTQHLTIRIFDDEGVQAAELIGCAQVALRQLEPGKVKDVWLKLVKDLEIQRDTKNRGRVHLELLYCPYGTKSRSKSAFSPDIELDKGIKAGTNEANDNAPTISTSQKAVSTRGVLTVIVVAAENLPVVDLMGKADPFVVLKMKKSDKKVKTKVIYENLNPVWNQTFDFLIEDALHDMLIAEVWDHDTFGKEKIGSCLMTLTRVVSEGQVQESFPLDGAKSGKLVLHLKWKPQI >Manes.01G011800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3613379:3614735:-1 gene:Manes.01G011800.v8.1 transcript:Manes.01G011800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTGVAEFEESPPDDFDPSNPYKDPVAMFEMREHIVREKWIDIEKAKILREKLKWCYRIEGVNHLQKCRHLVQQYLDSTRGIGWGKDQRPPSLHGPKVEAAASE >Manes.01G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3609504:3614735:-1 gene:Manes.01G011800.v8.1 transcript:Manes.01G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTGVAEFEESPPDDFDPSNPYKDPVAMFEMREHIVREKWIDIEKAKILREKLKWCYRIEGVNHLQKCRHLVQQYLDSTRGIGWGKDQRPPSLHGPKVEAAASE >Manes.03G137300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26477527:26478877:-1 gene:Manes.03G137300.v8.1 transcript:Manes.03G137300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVTTCCDKCRSKALKTAAIADGVNSVALEGDDKDKLVVIGERVDAACLTRALRKKINYAAIESVEEVKPEEKKAEEKKQEGDNKKKDEDKTPTPHYCQQPPRCELVSVVYDTNPGTCTIM >Manes.07G107600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31372451:31377011:-1 gene:Manes.07G107600.v8.1 transcript:Manes.07G107600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVEEGRERDLEKGLVPPQQNQNPLAEPSPTPSPSSTSSAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQNGDLAAVKQILDDIDSQLVGTLSGEDFDAEVAEIRASVVNEENELGETALFTAADKGHLEVVKELLKYSSRECITRKNRSGFDPLHIAAMQGHHAIVQVLLDHDPSLSQTYGPSKATPLVSAATRGHTAVVVELLSKDGSLLEISRSNGKNALHLAARQGHVDVVKALLGKDSQLARRTDKKGQTALHMAVKGQSCEVVKLLLEADAAIVMLPDKFGNTALHVATRKKRVEIVNALLLLPDTNVNALTRDHKTALDIAEDLTLSEESSELKECLYKYGAVRANELNQPRDELRKTVTQIKKDVHTQLEQTRKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDADSGMAVVVSYASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFIASSYIVVGRKHEWAAILVTVVGGVIMAGVLGTMTYYVVKSKRIRSMRKKEKYARRSGSNSWQHSDFSNSEVDRIYAL >Manes.07G107600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31372451:31376592:-1 gene:Manes.07G107600.v8.1 transcript:Manes.07G107600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAGRERDLEKGLVPPQQNQNPLAEPSPTPSPSSTSSAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQNGDLAAVKQILDDIDSQLVGTLSGEDFDAEVAEIRASVVNEENELGETALFTAADKGHLEVVKELLKYSSRECITRKNRSGFDPLHIAAMQGHHAIVQVLLDHDPSLSQTYGPSKATPLVSAATRGHTAVVVELLSKDGSLLEISRSNGKNALHLAARQGHVDVVKALLGKDSQLARRTDKKGQTALHMAVKGQSCEVVKLLLEADAAIVMLPDKFGNTALHVATRKKRVEIVNALLLLPDTNVNALTRDHKTALDIAEDLTLSEESSELKECLYKYGAVRANELNQPRDELRKTVTQIKKDVHTQLEQTRKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDADSGMAVVVSYASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFIASSYIVVGRKHEWAAILVTVVGGVIMAGVLGTMTYYVVKSKRIRSMRKKEKYARRSGSNSWQHSDFSNSEVDRIYAL >Manes.08G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35404557:35406695:1 gene:Manes.08G115200.v8.1 transcript:Manes.08G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVNFLFILILLPSFLQALSNVTPSPSTQQTLNAQDLVMQACTGVENQNSCLWNMRFGLEKAGSSHANSVLNAALRTSINEARLAIDAITKFNALSISYREQVAIEDCKELLDFSVSELAWSLAEMKKIRAGDNDISYEGNLKAWLSAALSNQDTCLEGFEGTDRHLENFVKGSLKQVTQLIGNVLALYTQLHSMPFKASRNGTATNSIREFPEWLTEGDQELLKISSLGVHVDAIVSLDGSGHYRTITEAIDEAPSHSNRRHIIYVKQGVYRENIDMKKKKTNIMLVGDGIGKTVVTGNRNFMQGWTTFRTATVAVSGKGFIARDMTFRNTAGPQNHQAVALRVDSDQSAFFRCSMEGYQDTLYAHSLRQFYRECEIYGTIDYIFGNGAAVFQKCKIYTRVPLPLQKVTITAHGRKDPHQSTGFSIQDSFILATQPTYLGRPWKQFSRTVFINTYMGGLVQPRGWLEWYGNFALSTLWYGEYRNYGPGASLSGRIKWPGYHIIRDAATAKFFTAGHFIDGMSWLPSTGIKFTEGLSN >Manes.07G116900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32249277:32250888:1 gene:Manes.07G116900.v8.1 transcript:Manes.07G116900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLLEVQLLNAKGLRGTDFLGKIDPYVIVKYKNQERESSVAGGAGGNPVWDEKIAFKVEYPGQGADYKLILKIMDKDTFSTDDFLGQATIYVKDLLELGVEKGSAEIQPKKYSIVQADQCYCGEIQVGVTFTLKVEDGGNHEEFGGWKESYF >Manes.07G116900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32249277:32250888:1 gene:Manes.07G116900.v8.1 transcript:Manes.07G116900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLLEVQLLNAKGLRGTDFLGKIDPYVIVKYKNQERESSVAGGGNPVWDEKIAFKVEYPGQGADYKLILKIMDKDTFSTDDFLGQATIYVKDLLELGVEKGSAEIQPKKYSIVQADQCYCGEIQVGVTFTLKVEDGGNHEEFGGWKESYF >Manes.07G116900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32249322:32250888:1 gene:Manes.07G116900.v8.1 transcript:Manes.07G116900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLSYLYLLLLLLIQRCSIQSFRKIQESKKQTDMAIGLLEVQLLNAKGLRGTDFLGAGGNPVWDEKIAFKVEYPGQGADYKLILKIMDKDTFSTDDFLGQATIYVKDLLELGVEKGSAEIQPKKYSIVQADQCYCGEIQVGVTFTLKVEDGGNHEEFGGWKESYF >Manes.07G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32249322:32250888:1 gene:Manes.07G116900.v8.1 transcript:Manes.07G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLSYLYLLLLLLIQRCSIQSFRKIQESKKQTDMAIGLLEVQLLNAKGLRGTDFLGKIDPYVIVKYKNQERESSVAGGAGGNPVWDEKIAFKVEYPGQGADYKLILKIMDKDTFSTDDFLGQATIYVKDLLELGVEKGSAEIQPKKYSIVQADQCYCGEIQVGVTFTLKVEDGGNHEEFGGWKESYF >Manes.07G116900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32249284:32250888:1 gene:Manes.07G116900.v8.1 transcript:Manes.07G116900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLLEVQLLNAKGLRGTDFLGKIDPYVIVKYKNQERESSVAGGAGGNPVWDEKIAFKVEYPGQGADYKLILKIMDKDTFSTDDFLGQATIYVKDLLELGVEKGSAEIQPKKYSIVQADQCYCGEIQVGVTFTLKVEDGGNHEEFGGWKESYF >Manes.07G116900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32249322:32250888:1 gene:Manes.07G116900.v8.1 transcript:Manes.07G116900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLSYLYLLLLLLIQRCSIQSFRKIQESKKQTDMAIGLLEVQLLNAKGLRGTDFLGKIDPYVIVKYKNQERESSVAGGGNPVWDEKIAFKVEYPGQGADYKLILKIMDKDTFSTDDFLGQATIYVKDLLELGVEKGSAEIQPKKYSIVQADQCYCGEIQVGVTFTLKVEDGGNHEEFGGWKESYF >Manes.08G000400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:291009:291647:1 gene:Manes.08G000400.v8.1 transcript:Manes.08G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTNYMQELPVINCYSAHNFDSDSIYEECPPLLEAISYLCMVSDSHNPYEEDVLCSYSISPPYEKGEVYLAAGSHGRDQLPYNSWRNWDRAYDCCFNLSGYYDDNFSSYEDGNGPEKAECWPQSDEHEVGYDSHLDDAENHLSFYDTALSATESWFGLVGEEDSNYYGGQNLTYACSYNYNYKPDELGLFEGIFGYWPCLHQQHPQPHVE >Manes.02G223200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37089237:37095413:-1 gene:Manes.02G223200.v8.1 transcript:Manes.02G223200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHDINAKWDACLDLTVRRFVYSSLAGAFGGLLFFRTPATRWASAAFGAGVGIGSAYTECSRIFDGYPTKLASPKPSNAPAPES >Manes.07G121604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32597208:32598443:-1 gene:Manes.07G121604.v8.1 transcript:Manes.07G121604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEPMNEILKHHCSRKVLHFTQRRTHKWIQNESYEDYMYLVERCLKHEKEIVSPYLPATTQDKIIQVVEHELLQEKASELEGKK >Manes.18G017550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1809334:1809666:1 gene:Manes.18G017550.v8.1 transcript:Manes.18G017550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIDHGRNCKTYWTSPSSSNEKRKMLFRLETTEKSFFKDHDLVRTVLIVVALNGLAVISFPTKHFFCNILLISLSSLRDKKGSLQLRFSVRAINSFKCSTEVDIKTREG >Manes.12G043100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3724812:3728900:-1 gene:Manes.12G043100.v8.1 transcript:Manes.12G043100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQTPLFPRIFGHEAAGVVESVGEGISDLKVGDHVLPVFTGECGECKHCESEESNMCDLLRINTDRGVMLSDGKSRFSIDGVPINHFLGTSTFSEYTVVHRGCLAKINPLAPLDKVCILSCGISTGLGATLNVAKPKKGSSVAVFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEAKKFGVTDFVNPKEHDRPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPNKEAVFMTKPINVLNERTLKGTFFGNYKPRTDLPSVVDMYMKKKLELDKFITHRLSFSDINKAFELMVKGQGLRCIINMEE >Manes.12G043100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3724812:3728900:-1 gene:Manes.12G043100.v8.1 transcript:Manes.12G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMRHKLRGSTLTLLFNKLIKNFDTYSSSFKPAYWSLQPKGVRLIHSPTESAMSSTTGLVIPCKAAVAWEAGKPLVIEQVEVAPPQALEVRIKIKYTSLCHTDLYFWEAKGQTPLFPRIFGHEAAGVVESVGEGISDLKVGDHVLPVFTGECGECKHCESEESNMCDLLRINTDRGVMLSDGKSRFSIDGVPINHFLGTSTFSEYTVVHRGCLAKINPLAPLDKVCILSCGISTGLGATLNVAKPKKGSSVAVFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEAKKFGVTDFVNPKEHDRPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPNKEAVFMTKPINVLNERTLKGTFFGNYKPRTDLPSVVDMYMKKKLELDKFITHRLSFSDINKAFELMVKGQGLRCIINMEE >Manes.12G043100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3726118:3728987:-1 gene:Manes.12G043100.v8.1 transcript:Manes.12G043100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMRHKLRGSTLTLLFNKLIKNFDTYSSSFKPAYWSLQPKGVRLIHSPTESAMSSTTGLVIPCKAAVAWEAGKPLVIEQVEVAPPQALEVRIKIKYTSLCHTDLYFWEAKGQTPLFPRIFGHEAAGVVESVGEGISDLKVGDHVLPVFTGECGECKHCESEESNMCDLLRINTDRGVMLSDGKSRFSIDGVPINHFLGTSTFSEYTVVHRGCLAKINPLAPLDKVCILSCGISTGLGATLNVAKPKKGSSVAVFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEAKKFGVTDFVNPKEHDRPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPNKEAVFMTKPINVLNERTLKGTFFGNYKPRTDLPSVVDMYMKKVILQFLAMAGF >Manes.12G043100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3724812:3728900:-1 gene:Manes.12G043100.v8.1 transcript:Manes.12G043100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQTPLFPRIFGHEAAGVVESVGEGISDLKVGDHVLPVFTGECGECKHCESEESNMCDLLRINTDRGVMLSDGKSRFSIDGVPINHFLGTSTFSEYTVVHRGCLAKINPLAPLDKVCILSCGISTGLGATLNVAKPKKGSSVAVFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEAKKFGVTDFVNPKEHDRPVQEVIAEMTNGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPNKEAVFMTKPINVLNERTLKGTFFGNYKPRTDLPSVVDMYMKKKLELDKFITHRLSFSDINKAFELMVKGQGLRCIINMEE >Manes.10G027600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2735573:2738942:1 gene:Manes.10G027600.v8.1 transcript:Manes.10G027600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISTVGAVNRAPLALNGTGAASSVPTSAFLGSSLKKVSTRFPTFKVSSGSFKVSAEYDEQKQTEGDRWGGLGTDQSDDQQDIARGKGMVDSLFQAPMGTGTHHAIMNSYEYLSAGLRQYNLDNNMDGFYIAPAFMDKLVVHITKNYMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCTGIFRTDGVPPEDIVKLVDTFPGQSIDFFGAVRARVYDDEVRKWVSGVGVETIGKKLVNSREGPPTFEQPKMNLDKLLEYGQMLVQEQENVKRVQLADKYLSEAALGEANQDSIDRGTFYG >Manes.10G027600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2735573:2738942:1 gene:Manes.10G027600.v8.1 transcript:Manes.10G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISTVGAVNRAPLALNGTGAASSVPTSAFLGSSLKKVSTRFPTFKVSSGSFKVSAEYDEQKQTEGDRWGGLGTDQSDDQQDIARGKGMVDSLFQAPMGTGTHHAIMNSYEYLSAGLRQYNLDNNMDGFYIAPAFMDKLVVHITKNYMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCTGIFRTDGVPPEDIVKLVDTFPGQSIDFFGAVRARVYDDEVRKWVSGVGVETIGKKLVNSREGPPTFEQPKMNLDKLLEYGQMLVQEQENVKRVQLADKYLSEAALGEANQDSIDRGTFYGQAAQQVNIPVPEGCTDPGASNFDPTARSDDGSCTYKF >Manes.13G148200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36433836:36436495:-1 gene:Manes.13G148200.v8.1 transcript:Manes.13G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSASILPSSISIYREGKSNASLKEAGFFGVSVSLPSYLKADCNCYLIRSKELRRSELQLPIGTIKAQTATTTPAIDEAAPEAKKTRRKGNVIITGASSGLGLATAKALAETGQWNVIMACRNFLKAERAAKSAGITKENYNVMHLDLASFDSVRQFVENFRRLGMPLDVLVCNAAVYLPTAKEPSFTAEGFELSVGTNHLGHFLLARLLLDDLKLSDYSSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGINSSPMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIAETGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPTLAKSGVYWSWNKYSSSFENQLSEEASDTEKARKLWEMSEKLVGLA >Manes.02G212420.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:26799102:26799491:1 gene:Manes.02G212420.v8.1 transcript:Manes.02G212420.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSELEKSLMGELTFFLGLQIKKVADRIFINQFKYIIDMPKKFKMNKLKGIDTPMNSGIQLDKDEKSKDVDKKLYRGMINSLLYLTESRLDIYFSVCLCARFQSSPKKSHLIAVKRIFRYLINISSISF >Manes.16G056009.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:18502400:18505619:1 gene:Manes.16G056009.v8.1 transcript:Manes.16G056009.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKQNTLNTGSESFKPVVSIATIKLQGNNNYVSWAASVELWFVGQGYDDHLTKNVTDITVTDRPNWVKIDAQLCSLLWHSLDPKLLALFQSCKTCCKDWTEAKTLYTNDIQRIYKVVSDMVHLQQNHQDMASYLGQVETLKDEFNSLMPLTNDVDAQEGQRDKFFMVLALIGLQSDLCSVKDQILTGLVIPTLEDVSARLLHISLSKSDATDMESSVLAVQGNQGQGGNRKGKGNKFHCSYCDKKGHTRDACWALHGRPPLSNQSDNTGKPAAHLAQSNEKGLLPQPTNKSQDLNSITLIGEDYKEYLQFQAAKQHPPSTSIAHSGDSFACLIKSSPVGPWILDSGASDRISDNHNLLSTLVSPSTPSKVTLVNGSQTQVKGIGDV >Manes.04G040400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7087716:7092138:1 gene:Manes.04G040400.v8.1 transcript:Manes.04G040400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASKNHYHPSSSRPPRNPNLNTTTTTLANLQNSNPNPNPSTTPNSPIILSEQDQVLSYASHLTRQELLKRRSYKLKQLSKCYRDYYWALMEDLKIQYRDYYWKYGVSPFKEDHPILQQQKQEQGGAVERESGEREGGIVNTEVIGENNNGNDYVNNSLSSYKGDLDLKNHQRCLFVGCKLKAMALTSFCHLHILSDTKQKLYKPCGYVIKRIHFFPGDVFQV >Manes.04G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7087716:7092138:1 gene:Manes.04G040400.v8.1 transcript:Manes.04G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASKNHYHPSSSRPPRNPNLNTTTTTLANLQNSNPNPNPSTTPNSPIILSEQDQVLSYASHLTRQELLKRRSYKLKQLSKCYRDYYWALMEDLKIQYRDYYWKYGVSPFKEDHPILQQQKQEQGGAVERESGEREGGIVNTEVIGENNNGNDYVNNSLSSYKGDLDLKNHQRCLFVGCKLKAMALTSFCHLHILSDTKQKLYKPCGYVIKSAQAGPITCGKPILRSTAPSLCTVHFQKAQKHVTRALKKAGLNVSSSSKLAPKFHVIVAEYVRQIQAKRKAAKRGNRIKGLDKEVTS >Manes.01G208300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38013512:38015738:-1 gene:Manes.01G208300.v8.1 transcript:Manes.01G208300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIREVKVRIRRMEKLMNTISGLESALQLIISEIPSLESFILVLGASPIRPQNVYELCFSRGIPVPRDASDFAKTKAAEGLSRKAIRALIAKGAGSDSYPGPTKLFMFVKAPSSFNLPLHFLPKRDFRYSKKIMPVRLQLKCKSQNLEMDCPDCACQTSSSINLKDSASNEFIWFQCRHVVKGLAFATPTEE >Manes.01G208300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38013120:38015746:-1 gene:Manes.01G208300.v8.1 transcript:Manes.01G208300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTEIETNAVTLDNSVIFHVIKDVIGFVLYMHQQIPSVLQDIRLEFDSLKDEYRELAVDLAQAEAIASVRRKHMSRIREVKVRIRRMEKLMNTISGLESALQLIISEIPSLESFILVLGASPIRPQNVYELCFSRGIPVPRDASDFAKTKAAEGLSRKAIRALIAKGAGSDSYPGI >Manes.01G208300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38013820:38015738:-1 gene:Manes.01G208300.v8.1 transcript:Manes.01G208300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTEIETNAVTLDNSVIFHVIKDVIGFVLYMHQQIPSVLQDIRLEFDSLKDEYRELAVDLAQAEAIASVRRKHMSRIREVKVRIRRMEKLMNTISGLESALQLIISEIPSLESFILVLGASPIRPQNVYELCFSRGIPVPRDASDFAKTKAAEGLSRKAIRALIAKGAGSDSYPGPTKLFMFVKAPSSFNLPLHFLPKRDFRYSKKIMPVRLQLKCKSQNLEMDCPDCACQTSSSINLKDSASNEFIW >Manes.01G208300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38012744:38015765:-1 gene:Manes.01G208300.v8.1 transcript:Manes.01G208300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTEIETNAVTLDNSVIFHVIKDVIGFVLYMHQQIPSVLQDIRLEFDSLKDEYRELAVDLAQAEAIASVRRKHMSRIREVKVRIRRMEKLMNTISGLESALQLIISEIPSLESFILVLGASPIRPQNVYELCFSRGIPVPRDASDFAKTKAAEGLSRKAIRALIAKGAGSDSYPGPTKLFMFVKAPSSFNLPLHFLPKRDFRYSKKVSVSTCREGPCICNSNRRMRKFPCKHANFMGI >Manes.01G208300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38012877:38015738:-1 gene:Manes.01G208300.v8.1 transcript:Manes.01G208300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTEIETNAVTLDNSVIFHVIKDVIGFVLYMHQQIPSVLQDIRLEFDSLKDEYRELAVDLAQAEAIASVRRKHMSRIREVKVRIRRMEKLMNTISGLESALQLIISEIPSLESFILVLGASPIRPQNVYELCFSRGIPVPRDASDFAKTKAAEGLSRKAIRALIAKGAGSDSYPGPTKLFMFVKAPSSFNLPLHFLPKRDFRYSKKVSVSTCREGPCICNSNRRMRKFPFSTF >Manes.01G208300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38013504:38015765:-1 gene:Manes.01G208300.v8.1 transcript:Manes.01G208300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTEIETNAVTLDNSVIFHVIKDVIGFVLYMHQQIPSVLQDIRLEFDSLKDEYRELAVDLAQAEAIASVRRKHMSRIREVKVRIRRMEKLMNTISGLESALQLIISEIPSLESFILVLGASPIRPQNVYELCFSRGIPVPRDASDFAKTKAAEGLSRKAIRALIAKGAGSDSYPGPTKLFMFVKAPSSFNLPLHFLPKRDFRYSKKIMPVRLQLKCKSQNLEMDCPDCACQTSSSINLKDSASNEFIWFQCRHVVKGLAFATPTEE >Manes.01G208300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38012688:38015765:-1 gene:Manes.01G208300.v8.1 transcript:Manes.01G208300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTEIETNAVTLDNSVIFHVIKDVIGFVLYMHQQIPSVLQDIRLEFDSLKDEYRELAVDLAQAEAIASVRRKHMSRIREVKVRIRRMEKLMNTISGLESALQLIISEIPSLESFILVLGASPIRPQNVYELCFSRGIPVPRDASDFAKTKAAEGLSRKAIRALIAKGAGSDSYPGPTKLFMFVKAPSSFNLPLHFLPKRDFRYSKKVSVSTCREGPCICNSNRRMRKFPCQFHGHLDSELEQRVQVEEQGRKLQ >Manes.01G208300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38013120:38015738:-1 gene:Manes.01G208300.v8.1 transcript:Manes.01G208300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTEIETNAVTLDNSVIFHVIKDVIGFVLYMHQQIPSVLQDIRLEFDSLKDEYRELAVDLAQAEAIASVRRKHMSRIREVKVRIRRMEKLMNTISGLESALQLIISEIPSLESFILVLGASPIRPQNVYELCFSRGIPVPRDASDFAKTKAAEGLSRKAIRALIAKGAGSDSYPGPTKLFMFVKAPSSFNLPLHFLPKRDFRYSKKVSVSTCREGPCICNSNRRMRKFPCYGMVTISEYLVVIFMQLLVSREFECGNTHRLSHGSFLVPK >Manes.05G069600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5746046:5747645:1 gene:Manes.05G069600.v8.1 transcript:Manes.05G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPFLFVCVIALSVIGVCQGGALRKKFYKTSCPQAEDIVRRVTWSHVLSNPNLPAKLLRMHFHDCFVRGCDGSILLNSTATSTAEKDAIPNLTLSGFDTIDAIKSELENACPGVVSCADILALAARDSVSFQFQKPMWEVLTGRRDGNVSLASDVSSNLPSPFFDFSTLLKNFKDKGLNVHDLVVLSGGHTIGIGHCNLFSNRLYNFTGKGDQDPSLNSTYAAFLKTKCQSLSDTTTTVEMDPGSSLNFDSNYFVILRENKGLFQSDAALLTNKIARNIAGELVNSGAFFVEFAESMKRLGAVQVLTGTKGEIRNKCSVANT >Manes.16G087900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29440085:29442084:1 gene:Manes.16G087900.v8.1 transcript:Manes.16G087900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGPLFFGLKHIPKIQFSKASDISSPRSGCFRTAISCSAQSETLKIVQSTIAKQLSIEESTVAAETKFADLGADSLDTVEIMMALEEQFGVSIGEEGAQNIVTVQDAADLIEKVLASSA >Manes.16G087900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29440085:29442084:1 gene:Manes.16G087900.v8.1 transcript:Manes.16G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAAPACPMASLSFTPRASVPSGQQKSFSINGGPLFFGLKHIPKIQFSKASDISSPRSGCFRTAISCSAQSETLKIVQSTIAKQLSIEESTVAAETKFADLGADSLDTVEIMMALEEQFGVSIGEEGAQNIVTVQDAADLIEKVLASSA >Manes.05G203100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32797862:32802415:-1 gene:Manes.05G203100.v8.1 transcript:Manes.05G203100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETLRNLFLSPNIFSFTFFLSINSIFFHSCYSIDEQGLALLTWKKSLNSSTDVLNSWNPSDPTPCNWFGVRCNSNGMVTEISLKGVDLQGPLPSNFQSLNSLKTFILSSANLTSNIPRELGEYQELSFIDFSDNSLSGEIPGEICRLSKLQSLSLNTNFLEGEIPSDIGNLSSLMYLTLYDNQLSGKIPKSIGALSKLEIFRAGGNKNLKDELPQEIGNCTNLVVLGLAETSISGSLPSSIGKLKRIQTIAIYTTLLSGPIPEEIGNCSELQNLYLYQSSLSGLIPRGIGELSKLQSLLLWQNSLVGSIPDELGRCTELTVVDFSENLLTGSIPRSFGNLLKLQELQLSVNQLTGTIPVEITNCTALTHLEVDNNAISGEIPAIIGNLNSLTLFFAWQNNLTGNIPQSLSECQNLQALDLSYNNLFGSIPNQIFGLQNLTKLLLLSNDLSGFIPPDIGNCTNLYRLRLSGNRLAGTIPSEIGKLKSLNFMDLSNNRLVGGIPPSISGCKNLEFLDLHSNGIAGSLPDSLPKSLQFVDVSDNRLTGPLTHSIGSLTELTKLILAKNQLSGSIPAEILSCSKLQLLNLGDNGLSGEIPKELGQMPALEISLNLSSNQLSGVIPSEFSGLSKLGVLDLSHNMLKGNLDVLADLQNLVSLNVSFNDFSGELPDTPFFRKLPLSDLDSNQGLYIAGGVVTPTDSMGRAARNRSAMKLVMSILLSGSAVLVLLAIYMLVRARIANHGLMEDGNWEMTLYQKLDFSIDDIVRNLTSSNVIGTGSSGVVYKVTIPNGDSLAVKKMWSSEESGAFNSEIQTLGSIRHRNIIRLLGWGSNRHLKLLFYDYLPNGSLSSLLHGAGKGGAEWETRYGIVLGVAHALAYLHHDCLPPILHGDVKAMNVLLGPGYEAFLADFGLARVVADDDSAKPSQRPHLAGSYGYMAPEHASMQRITEKTDVYSYGVVLLEVLTGRHPLDPTLPGGAPLVQWVRDHLASKKDPVDILDVKLRGRADPTMHEILQTLAVSFLCISSRADDRPTMKDIVAMLKEIRHVDPVRPETDLSKGGLAEARSPSPRIVVSQGSSNCSFAFSDDSTYNG >Manes.03G168100.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343926:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343855:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343855:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343926:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343923:29356787:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.45.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343925:29356787:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.44.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343925:29356787:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.48.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343855:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.48.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343925:29356787:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29345187:29351566:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHWMRCCSSGSCELYTPCLLILLSINLFLYTEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29344147:29356782:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29344147:29356785:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.49.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343855:29356784:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.49.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.47.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343855:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.47.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.46.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343855:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.46.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343925:29356787:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343925:29356787:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQEITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343925:29356787:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343855:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29343855:29356786:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.03G168100.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29344147:29356782:-1 gene:Manes.03G168100.v8.1 transcript:Manes.03G168100.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHNLPFKVGQLAEARSFLVGYRGAWFRCKIKEIRQRKGVHLLEYYDFLDEKITWTKLYQKPGKCKGAKLVLRPQFPPVYRESEMPNISNISEVVVIRNDVWKVGDLVDWWSDSCYWSGRLTEVLEHGKFRIELFPPPAGEGLSYEASSADFRPSLDWSPEHGWTVPISGNEDRHSCARLVKPLSQGGFLDLTAYAVIEGGNKNNTEFSSQTAASYLVPPDRSEKVAERTAFLETQIVEDKKGSDAPDIGAGKTSCSDSTSVRDASAEIGRVTVEKDCHNGNGPSKKLRTDRSIPLNSMCSNTIEAAILDLEELVNRVKWIKHILEFGMPLPNSVQPSWKFLEHRSSTLPK >Manes.14G118800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11421999:11425093:-1 gene:Manes.14G118800.v8.1 transcript:Manes.14G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQIFLKGFCFLACIFSYSFVSLSSQAAAAGDGSVKGTVFIDGKTSIGKIDEDFICATLDWWPPEKCDYGTCSWDHASLINLDINSNIFLNAVKAFSPLKIRLGGTLQDKVIYDTEDNKEPCKQFVKNTTEMFGFTQGCLPMYRWDELNAFFKKSGAKIIFGLNALAGRSIQSDGSATGTWNYTNAESFISYTVKKNYSIHGWELGNELSGSGVGTRIAAKQYAADTISLYKIVQNIYSGVEPKPLVLAPGGFFDANWFKEFIDKTGNSLDVITHHIYNLGPGVDEHLVEKILNPSYLDGEANTFSGLQNSLKSSATSATAWVGEAGGAYNSGRNLVSNAFVYSFWYLDQLGMASAYDTKTYCRQSLIGGNYGLLNTTTFVPNPDYYSALLWHRLMGRNVLSTKFSGTKKIRAYAHCTKESKGITLLLINLDNSTNVEVKVAFNGTATLHHQQKHHRSHKYQRSHRTRNIKLPQGSESSTREEYHLTAKDGNLHSQTMLLNGNILTVNSSGDIPALEPVSVNLSKPISVAPFSVVFVHLPYVVPACS >Manes.17G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32747727:32752826:1 gene:Manes.17G122300.v8.1 transcript:Manes.17G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSENGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKKDGEEGEAAVQRQLNDTVTRQEWEICPSKLIIKGVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHSSAAEIASLIAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTENGHINMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVIQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMEEVVSMLAAINTSKGGGMIPVDEAQGCLCFRRHRGP >Manes.13G038100.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4901363:4904213:1 gene:Manes.13G038100.v8.1 transcript:Manes.13G038100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQHLNHCNHRLKSISSPIYLIRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQEAPVNYLQKKFKTLDLQGKALNWLKKYPCCFSVFLENDEYYCRLTKRMMALVEEEESVKEMQEPVYVERLAKLLMMSMNQRLNVVKLNELKRNLGFPDDYLIRILPKHPDMFRIVNHSGRKSSMEIELVSWCPEFAISAIEASAQKQGSKPCFACTLPSTWVKSWERFREFNSNPYISPYVDPRGLLGGSKEMEKRTIGLVHELLSLTLWKKVSIVKLGHFRREFSLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNGTELIEKDPLVVVKDKFGDLMQDGLHEYNQRHRLLNLEKMKKKGIGSVRSEKRKDNSIKVSEQDECDSGDLGGLFNPEERKRFYKALLDDDAP >Manes.13G038100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4900803:4904213:1 gene:Manes.13G038100.v8.1 transcript:Manes.13G038100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQHLNHCNHRLKSISSPIYLIRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQEAPVNYLQKKFKTLDLQGKALNWLKKYPCCFSVFLENDEYYCRLTKRMMALVEEEESVKEMQEPVYVERLAKLLMMSMNQRLNVVKLNELKRNLGFPDDYLIRILPKHPDMFRIVNHSGRKSSMEIELVSWCPEFAISAIEASAQKQGSKPCFACTLPSTWVKSWERFREFNSNPYISPYVDPRGLLGGSKEMEKRTIGLVHELLSLTLWKKVSIVKLGHFRREFSLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNGTELIEKDPLVVVKDKFGDLMQDGLHEYNQRHRLLNLEKMKKKGIGSVRSEKRKDNSIKVSEQDECDSGDLGGLFNPEERKRFYKALLDDDAP >Manes.13G038100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4900815:4904213:1 gene:Manes.13G038100.v8.1 transcript:Manes.13G038100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQHLNHCNHRLKSISSPIYLIRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQEAPVNYLQKKFKTLDLQGKALNWLKKYPCCFSVFLENDEYYCRLTKRMMALVEEEESVKEMQEPVYVERLAKLLMMSMNQRLNVVKLNELKRNLGFPDDYLIRILPKHPDMFRIVNHSGRKSSMEIELVSWCPEFAISAIEASAQKQGSKPCFACTLPSTWVKSWERFREFNSNPYISPYVDPRGLLGGSKEMEKRTIGLVHELLSLTLWKKVSIVKLGHFRREFSLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNGTELIEKDPLVVVKDKFGDLMQDGLHEYNQRHRLLNLEKMKKKGIGSVRSEKRKDNSIKVSEQDECDSGDLGGLFNPEERKRFYKALLDDDAP >Manes.13G038100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4901363:4904213:1 gene:Manes.13G038100.v8.1 transcript:Manes.13G038100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQHLNHCNHRLKSISSPIYLIRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQEAPVNYLQKKFKTLDLQGKALNWLKKYPCCFSVFLENDEYYCRLTKRMMALVEEEESVKEMQEPVYVERLAKLLMMSMNQRLNVVKLNELKRNLGFPDDYLIRILPKHPDMFRIVNHSGRKSSMEIELVSWCPEFAISAIEASAQKQGSKPCFACTLPSTWVKSWERFREFNSNPYISPYVDPRGLLGGSKEMEKRTIGLVHELLSLTLWKKVSIVKLGHFRREFSLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNGTELIEKDPLVVVKDKFGDLMQDGLHEYNQRHRLLNLEKMKKKGIGSVRSEKRKDNSIKVSEQDECDSGDLGGLFNPEERKRFYKALLDDDAP >Manes.13G038100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4900803:4904213:1 gene:Manes.13G038100.v8.1 transcript:Manes.13G038100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQHLNHCNHRLKSISSPIYLIRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQEAPVNYLQKKFKTLDLQGKALNWLKKYPCCFSVFLENDEYYCRLTKRMMALVEEEESVKEMQEPVYVERLAKLLMMSMNQRLNVVKLNELKRNLGFPDDYLIRILPKHPDMFRIVNHSGRKSSMEIELVSWCPEFAISAIEASAQKQGSKPCFACTLPSTWVKSWERFREFNSNPYISPYVDPRGLLGGSKEMEKRTIGLVHELLSLTLWKKVSIVKLGHFRREFSLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNGTELIEKDPLVVVKDKFGDLMQDGLHEYNQRHRLLNLEKMKKKGIGSVRSEKRKDNSIKVSEQDECDSGDLGGLFNPEERKRFYKALLDDDAP >Manes.13G038100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4900815:4904213:1 gene:Manes.13G038100.v8.1 transcript:Manes.13G038100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQHLNHCNHRLKSISSPIYLIRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQEAPVNYLQKKFKTLDLQGKALNWLKKYPCCFSVFLENDEYYCRLTKRMMALVEEEESVKEMQEPVYVERLAKLLMMSMNQRLNVVKLNELKRNLGFPDDYLIRILPKHPDMFRIVNHSGRKSSMEIELVSWCPEFAISAIEASAQKQGSKPCFACTLPSTWVKSWERFREFNSNPYISPYVDPRGLLGGSKEMEKRTIGLVHELLSLTLWKKVSIVKLGHFRREFSLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNGTELIEKDPLVVVKDKFGDLMQDGLHEYNQRHRLLNLEKMKKKGIGSVRSEKRKDNSIKVSEQDECDSGDLGGLFNPEERKRFYKALLDDDAP >Manes.13G038100.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4901363:4904213:1 gene:Manes.13G038100.v8.1 transcript:Manes.13G038100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQHLNHCNHRLKSISSPIYLIRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQEAPVNYLQKKFKTLDLQGKALNWLKKYPCCFSVFLENDEYYCRLTKRMMALVEEEESVKEMQEPVYVERLAKLLMMSMNQRLNVVKLNELKRNLGFPDDYLIRILPKHPDMFRIVNHSGRKSSMEIELVSWCPEFAISAIEASAQKQGSKPCFACTLPSTWVKSWERFREFNSNPYISPYVDPRGLLGGSKEMEKRTIGLVHELLSLTLWKKVSIVKLGHFRREFSLPEKLNVLLLKHPGIFYVSNKYQIYTVLLREGYNGTELIEKDPLVVVKDKFGDLMQDGLHEYNQRHRLLNLEKMKKKGIGSVRSEKRKDNSIKVSEQDECDSGDLGGLFNPEERKRFYKALLDDDAP >Manes.05G136800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:17220253:17239800:-1 gene:Manes.05G136800.v8.1 transcript:Manes.05G136800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLVKSSDSCGYTFSLLFLSASISCLLTVSMEENQIPPSQEMQSLSVNSSDPNSNPASSSSSSFQLTAEERFRIVRSVGEECIQEDELFNLLSKKPQPICYDGFEPSGRMHIAQGVMKTINVNQLTSAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYFIEIWKAVGMDLEGDKVEFLWSSEEINSRASEYWPLVMDIARRNKLPRIMRCVQIMGRSEQDELSAAQILYPCMQCADIFFLKVLAIIVMELARFAASQADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGHEKMSKSDPSSSIFMEDEEAEVNVKIKKAYCPPNIVEGNPCLDYIKYIIFPWFNEFKVERSAEHGGEKIFKSFEEVVTEYKSGELHPGDLKPAIARALNKILQPVRDHFNNDANAKDLLKRVKGYRVTR >Manes.05G178700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29529643:29532903:-1 gene:Manes.05G178700.v8.1 transcript:Manes.05G178700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLELLFSISPPKPRRKIFPKMEATPWLNLGVLVVVLCVLAAKFTFRRLGNRKNLPPTPPARPIIGHLHLLKQPVHQTLHELSLRYGDILFLRYGTRRVLVISSPSAVEECFTKNDVIFANRPLSLAGKHLNYNSSTMGFSSYGDHWRNLRRLTTIELFSSSRVAMFSGIRAEEVQLLIKQLFQDSRRESATVALTSKFLELTFNNVMRMIAGKRYYGKVVRDEEGELLQEIIKEMEALRGSSNLNDYFPVLQWVDYQGVEKKMMRLMKKMDRFLQDLIEEHRKARSDSSSSSSENLSDACKQKKDTTLIDVMLSLKETEPEFYTDQTIKGVIHSALTAGSQTSAATLEWAMSLLLTHPEALHKAFVEIEAVVGLDHLIDETDVSKLSYLQNIINETFRLFPPAPLLLPHESSADCTVCGFHVPRGTMLLVNIWSMNRNRKLWEDPTKFMPERFEGGEGEGYKLLPFGAGRRACPGAGLAKRVIGLTLGCLIQSFEWERFGKEEINMKEGTGLTMPRAVPLEAKCRPRKAMIGLLSSL >Manes.16G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14802043:14805289:-1 gene:Manes.16G048900.v8.1 transcript:Manes.16G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAVLIGINYPGTKAELKGCINDVRRMYRCLIDRYGFSPDDITVLIDTDESYIQPTGKNIRWVLNDLVRSAEPGDFLFVHYSGHGTRLPAETGEDDDTGFDECIVPCDMNLITDDDFRDFVDQVPHGCRITIVSDSCHSGGLIDEAKEQIGESTKRAEEKSECKSGFKNFLKQTIEDAFDSRGVHLPSGLHRHGDEEDFDDKGVEEGFGEYGYVKSKSLPLSTLIDILKQKTGKEDIEVGKLRPTLFDTFGEDSSPKVKKFMNVLLSKLKRGDGESGGGGFLGMVGSLAQEFLKHKLDENDENYAKPALETEVGSKQEVYAGQTKKCLPDGEILISGCQTDQTSADASPQGNAGEAYGALSNAIQIIIADTDGKVTNQELVLRARRMLKNQGFTQRPGLYCSDPHVESTFIC >Manes.10G097300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24569737:24581081:1 gene:Manes.10G097300.v8.1 transcript:Manes.10G097300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRLLEMLILMLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGIPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYNELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVQIALQQVESSRPVALAMRQKDGIEQDKASASERTQKSRAILARQVNGVVADQGVRPAADVEKVAPHGNASVASWGISSIFGGSDNSRMSTKESSTFKSHGEPVHNMDALEQSFSTIHLREPPTILRPLESHSEEENIEIAVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPEEIAMKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSMYSASSGSGDYSASPMNHKTRKSSHSGELQSHFYANAESNGSGRLYMPGLNPTVDF >Manes.10G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24569737:24581081:1 gene:Manes.10G097300.v8.1 transcript:Manes.10G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMAEEPASSSLAAQSQQQSQSAPLGSSVIPMVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGKDICTRRPLVLQLLQTKRKADATEEEWGEFLHLPGKRFYDFSEIRKEIQAETAKEAGSNKGVSDRQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLIIAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGIPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYNELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVQIALQQVESSRPVALAMRQKDGIEQDKASASERTQKSRAILARQVNGVVADQGVRPAADVEKVAPHGNASVASWGISSIFGGSDNSRMSTKESSTFKSHGEPVHNMDALEQSFSTIHLREPPTILRPLESHSEEENIEIAVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPEEIAMKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSMYSASSGSGDYSASPMNHKTRKSSHSGELQSHFYANAESNGSGRLYMPGLNPTVDF >Manes.10G097300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24569737:24581081:1 gene:Manes.10G097300.v8.1 transcript:Manes.10G097300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRLLEMLILMLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGIPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYNELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVQIALQQDGIEQDKASASERTQKSRAILARQVNGVVADQGVRPAADVEKVAPHGNASVASWGISSIFGGSDNSRMSTKESSTFKSHGEPVHNMDALEQSFSTIHLREPPTILRPLESHSEEENIEIAVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPEEIAMKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSMYSASSGSGDYSASPMNHKTRKSSHSGELQSHFYANAESNGSGRLYMPGLNPTVDF >Manes.10G097300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24569737:24581081:1 gene:Manes.10G097300.v8.1 transcript:Manes.10G097300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMAEEPASSSLAAQSQQQSQSAPLGSSVIPMVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGKDICTRRPLVLQLLQTKRKADATEEEWGEFLHLPGKRFYDFSEIRKEIQAETAKEAGSNKGVSDRQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLIIAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGIPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYNELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVQIALQQDGIEQDKASASERTQKSRAILARQVNGVVADQGVRPAADVEKVAPHGNASVASWGISSIFGGSDNSRMSTKESSTFKSHGEPVHNMDALEQSFSTIHLREPPTILRPLESHSEEENIEIAVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPEEIAMKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSMYSASSGSGDYSASPMNHKTRKSSHSGELQSHFYANAESNGSGRLYMPGLNPTVDF >Manes.13G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3809646:3814630:1 gene:Manes.13G028900.v8.1 transcript:Manes.13G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Manes.14G003300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1504899:1510287:1 gene:Manes.14G003300.v8.1 transcript:Manes.14G003300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPASSSENKNKKKAKGEDKQQNPFFGDDYVVKSGSARLDKLLVLKEPTGRDISAHYDLGGELGRGEFGITYLCSDVSNGEKFACKSISKKKLRTAVDIEDVRREVEIMKHLPSHPNIVSLRSTYEDDRAVHIVMELCEGGELFDRIVARGHYTERAAAAVMRTIVEVVQMCHKHGVMHRDLKPENFLFANKKENAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKLRLTAQQVLEHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVVAEHLSVEEVAGLKEAFDMMDTAKRGKINIEELRMGLQKLGQPIPDADLQILMDAADVDGDGSLNYGEFVAVSVHIKKLANDEHLHKAFAFFDRNQSGYIEIEDVRESLNDEIDTCSEDVISAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQVAS >Manes.14G003300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1504906:1510047:1 gene:Manes.14G003300.v8.1 transcript:Manes.14G003300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPASSSENKNKKKAKGEDKQQNPFFGDDYVVKSGSARLDKLLVLKEPTGRDISAHYDLGGELGRGEFGITYLCSDVSNGEKFACKSISKKKLRTAVDIEDVRREVEIMKHLPSHPNIVSLRSTYEDDRAVHIVMELCEGGELFDRIVARGHYTERAAAAVMRTIVEVVQMCHKHGVMHRDLKPENFLFANKKENAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKLRLTAQQVLEHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVVAEHLSVEEVAGLKEAFDMMDTAKRGKINIEELRMGLQKLGQPIPDADLQILMDAADVDGDGSLNYGEFVAVSVHIKKLANDEHLHKAFAFFDRNQSGYIEIEDVRESLNDEIDTCSEDVISAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQVAS >Manes.16G057400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:21157215:21157511:1 gene:Manes.16G057400.v8.1 transcript:Manes.16G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAESTSVPVECQRLHQALCECHRRVSPGLEREVSCRHLNRALAQCVVSVACPEELEVVRSLCASGGTALKRSQCQRAQLSLSVCLASHQHDSSPDS >Manes.14G108300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9079619:9082510:1 gene:Manes.14G108300.v8.1 transcript:Manes.14G108300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLFSARKDNTSYRAEMQNDAASTTANDDLIVATKSRDFLPNEVDFTSIFCPESPHTLTPYVDTRFVTQQQDLINRNALCITRLREAAMEVESLRQENAALRSVNRELNNHLSFLIQASVQKHCLSSDYNTTSFEILNGFRNLCITGGDGGEEMCEHESPTSVMEVQTVDATERISLPKSISVRSNGYLKTSQAGVSKTRGVTRPKTASPIKAVQKVYVRGGKTEEEPLELEVYNQGMFKTELCNKWQETGTCPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDICPYGHRCHFRHVLTEEERFMGQLKPRAIKLER >Manes.14G108300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9079310:9081919:1 gene:Manes.14G108300.v8.1 transcript:Manes.14G108300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRREVKILLEIVLVLKTVLDFRSSMSPGSGTKHASSISLQFFSLPIKSFGFLLSLPLLQNIREERRREEKRRILLGSLLTGSARKDNTSYRAEMQNDAASTTANDDLIVATKSRDFLPNEVDFTSIFCPESPHTLTPYVDTRFVTQQQDLINRNALCITRLREAAMEVESLRQENAALRSVNRELNNHLSFLIQASVQKHCLSSDYNTTSFEILNGFRNLCITGGDGGEEMCEHESPTSVMEVQTVDATERISLPKSISVRSNGYLKTSQAGVSKTRGVTRPKTASPIKAVQKVYVRGGKTEEEPLELEVYNQGMFKTELCNKWQETGTCPYGDHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDICPYGHRCHFRHVLTEEERFMGQLKPRAIKLER >Manes.14G108300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9079023:9080406:1 gene:Manes.14G108300.v8.1 transcript:Manes.14G108300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRREVKILLEIVLVLKTVLDFRSSMSPGSGTKHASSISLQFFSLPIKSFGFLLSLPLLQNIREERRREEKRRILLGSLLTGSARKDNTSYRAEMQNDAASTTANDDLIVATKSRDFLPNEVDFTSIFCPESPHTLTPYVDTRFVTQQQDLINRNALCITRLREAAMEVESLRQENAALRSVNRELNNHLSFLIQASVQKHCLSSDYNTTSFEILNGFRNLCITGGDGGEEMCEHESPTSVMEVQTVDATERISLPKSISVRSNGYLKTSQAGVSKTRGVTRPKTASPIKAVVNFSIFS >Manes.01G145700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33614354:33616238:-1 gene:Manes.01G145700.v8.1 transcript:Manes.01G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTKRVTRSQTLAALNNNNIPISRKIEDSEKGASTRRQQQDRSALIDITNDSPIVGLAMGSLETPSSAIVKQRSNRVKNTPGSGEALLRGQVKTLLQKVEEEAELSKISLEHRPVVHVQGFVSSPMGLLAPTPANTPQVHDLSEDDRSISSTPLPVVEENICQVVSDIFDGRKEESLESQKSLTRSLMLDFSEKSEITDSSSECSSVVTTCKVDNPSTTDDDSASNWSIQVNASTHDEDEEEEEIEEEAEEEEDYDPCDYEEGDDGGLLEALCEGIGKIRVSECNTAAAKFTGKHTRFRYNSDDEIVEEEVVEAAGSSSGVLHLKGLPTPKGKHLYFPEEEEV >Manes.09G113300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31546274:31546420:-1 gene:Manes.09G113300.v8.1 transcript:Manes.09G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIKDLRCWIEIAPALFISLNKTCNSPGLETIPEEQIEDGDDDSEF >Manes.06G109500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24128445:24134157:-1 gene:Manes.06G109500.v8.1 transcript:Manes.06G109500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPYDHRYSDPDSYRHRRSDLMGPPPVGPAMMGGPYGRGGPAPYGGPPPSFAGRGGPGAVGGYPHFEPPVGRFDIGRGGGTVSGGRGFSGNRLPNGHIGDRRPGAARFGGGRGRDGGRGGGRGGGRGVGGGRGFDGGRGGGRGGRHVGVATRGDLDNISLPKQDFGNLVPFEKNFYIESPSIQSMSENEALMYRARRDITVEGHDVPKPIRMFQEANFPDYCLEVIAKLGFVEPTPIQAQGWPMALKGRDLVGIAETGSGKTLAYLLPALVHVSAQPRLVQGEGPIVLVLAPTRELAVQIQEEAMKFGSHANIRSTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDMLEAQHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVETLARQFLRNPYKVIIGSPYLKANQSINQVVEVMTEVEKYNRLIKLLKEVMDGSRILIFMETKKGCDQVTRQLRMDGWPALSIHGDKNQAERDWVLSEFKSGRSPIMTATDVAARGLGRIIV >Manes.06G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24128445:24134157:-1 gene:Manes.06G109500.v8.1 transcript:Manes.06G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPYDHRYSDPDSYRHRRSDLMGPPPVGPAMMGGPYGRGGPAPYGGPPPSFAGRGGPGAVGGYPHFEPPVGRFDIGRGGGTVSGGRGFSGNRLPNGHIGDRRPGAARFGGGRGRDGGRGGGRGGGRGVGGGRGFDGGRGGGRGGRHVGVATRGDLDNISLPKQDFGNLVPFEKNFYIESPSIQSMSENEALMYRARRDITVEGHDVPKPIRMFQEANFPDYCLEVIAKLGFVEPTPIQAQGWPMALKGRDLVGIAETGSGKTLAYLLPALVHVSAQPRLVQGEGPIVLVLAPTRELAVQIQEEAMKFGSHANIRSTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDMLEAQHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVETLARQFLRNPYKVIIGSPYLKANQSINQVVEVMTEVEKYNRLIKLLKEVMDGSRILIFMETKKGCDQVTRQLRMDGWPALSIHGDKNQAERDWVLSEFKSGRSPIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGARGNAFTFFTHANAKFARDLIKILQEARQIISPALSALARSGGAPGGSGGNFRSRGRGGFGNRSLISGSNTIPLGSKRPW >Manes.08G166500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40110571:40112509:1 gene:Manes.08G166500.v8.1 transcript:Manes.08G166500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMAAAAAAACGSDNRWSMASLMSALPSPSSSSVTAAVRFPSLRSRALSLSRRQQPLFLRSFTGLSPLNPLLSFGFSDCTSFEHGFPSIDNGSRFFAMRHGRRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPDRYGERNGGYTRIIRTLPRRGDNAPMAYIELV >Manes.08G166500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40110882:40111686:1 gene:Manes.08G166500.v8.1 transcript:Manes.08G166500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMAAAAAAACGSDNRWSMASLMSALPSPSSSSVTAAVRFPSLRSRALSLSRRQQPLFLRSFTGLSPLNPLLSFGFSDCTSFEHGFPSIDNGSRFFAMRHGRRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPDRYGERNGGYTRIIRTLPRRGDNAPMAYIELV >Manes.01G231600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39571479:39575002:-1 gene:Manes.01G231600.v8.1 transcript:Manes.01G231600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRRVSLLSINSLTALNKVCYSSYLSNSIKTLALSKNLSTAAERSEFQNSVGYHLENSNEQFQNSSGSCGESQNFIELVQKPNGQDLNPTRGFRKTTENFVGNIQVAQNGNPDGSYGQNHGNFPHNLNGDCQNCNWTSWESIRNVNQNNPNERRGNFSGYYVNSGQFQHKRSEVAASNSKSSQDHLKRVNGGSGKVPNVHAYSQKGPGEVTQNPAALHLQVPSGSQEIWNSEYTQNVNQFQPGSSDHYMGNTGLYLHGPSNGQYQQNLNVGHNQRSWNVGEYDPNLNGVSNKMQASHLSSDPKFEGALTEPSETTPHRGALDDLDDLCKEMKVKEAVEVLHSLEKQRVPVDLPRFLQLMQACGDAKALQEAKAVHDHIVRSLLPLEVDTYNKILEMYAKCGSMDQAFDVFDKMPERDLNSWNTMITWLAKHGLGEDAIDLFSQFKQAGLEPNAQMYIGVFSSCGDVGDVIEGMLHFESMMKDYGIVPSMEHYVSVVDMLGSAGYLDEALEFIEKMPMKPSADVWITLMNLSRVHGNLELGDRCAELVELLDPYQLNEQSKAGLVAGKASDLEKVTEKKKLTSQNLLEVRSRVHEYRAGDTSHPENDRIYALLRGLKAQMKETGYIPETRFVLHDIDQEGKEEALLAHSERLATAYGLLTSPARSPIRIIKNLRVCVDCHNAVKIISKIVGRELIMRDAKRFHHFKDGVCSCRDYW >Manes.07G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2683803:2685414:1 gene:Manes.07G024500.v8.1 transcript:Manes.07G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSSERSKPWNVYTSSDPTPSQTGVFDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFKPNPSFSSPQDMINSSLESGPVDKLGNPETVAATSYASDFSVVMPGQNYPTFIAQPAPLPCTREGIYWPSHEHKKLVVP >Manes.14G103700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8688829:8690472:-1 gene:Manes.14G103700.v8.1 transcript:Manes.14G103700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKERGDRKVMVVIDEKDSSYHALMWVLDNLKSSIANSLLVIFCAQPTPMNHSAYAAQLGFSRIYFPFSPTGDMIRTVQERNKKVSLGLMEKAKNICASRGVKVETITEAGEPGELICNAVKNYGIDLLVLGNDESGALKSY >Manes.14G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8688829:8690472:-1 gene:Manes.14G103700.v8.1 transcript:Manes.14G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKERGDRKVMVVIDEKDSSYHALMWVLDNLKSSIANSLLVIFCAQPTPMNHSAYAAQLGFSRIYFPFSPTGDMIRTVQERNKKVSLGLMEKAKNICASRGVKVETITEAGEPGELICNAVKNYGIDLLVLGNDESGALKRAFVGSVSNYCLNNAKCPVLVVKKPE >Manes.14G138400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12136766:12138792:-1 gene:Manes.14G138400.v8.1 transcript:Manes.14G138400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVESARRRRFHHSSGDSPGGAAAFGSTRRSSFCLYTSNHEISHLSVSSLQRSTQAYEEKKVGGLARAARQAKERLDERLRTQRNSASKRHDTTRSLRGEESRAMAAGELQAEVFGSKKNNGSNRKFSWAKLSWKASEQDECTICLERFKSGETLVHLPCAHRFHCKCLVPWLQNNAHCPCCRMEIHVQFS >Manes.02G215015.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:35568391:35569467:-1 gene:Manes.02G215015.v8.1 transcript:Manes.02G215015.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKQRVEHDVKMARRNPLEQIEPDVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRHHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDTDVNLIGVYGMGGVGKTTLVKHIATLVSELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFKLGAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLPTYSCGNSKEMCRLAHFNYSSSDCVEK >Manes.16G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31128584:31129318:1 gene:Manes.16G105700.v8.1 transcript:Manes.16G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKRPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >Manes.08G165200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39989253:39996157:1 gene:Manes.08G165200.v8.1 transcript:Manes.08G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTGVLAFVSPPSKVCKTTTSLPCSLHHSPSTTCAASTNEQQLDISETTTNARQKFSYSRASPSVRWPNLKLSETYPSPNTLFNAPSPPPTHLVDEMPESKGEDGTRNVGSAESLEVDDETQERLGRRSRTRVKKMNKLALKRAKDWRERVKFCTDRILGLKPDQFVADVLDDRKVQMTPTDFCFVVKWVGQENWQRALEVYEWLNLRHWYSPNARMLATILAVLGKANQEALAVEIFARAEASVGNTVQVYNSMMGVYARTGRFNKVQELLDLMRERECEPDLVSFNTLINARLKAGAMMPNVAMELLNEVRRSGLRPDTITYNTLISACSRESNLKEAMKVFDAMEAQRCQPDLWTYNAMISVYGRCGLSGKAEQLFKELESKGFYPDAVTYNSLLYAFAREGNVDKVKEVCEEMVNMGFSKDEMTYNTIIHMYGKQGQHDLALQLYNDMKLSGRTPDAITYTVLIDSLGKANKMAEAASVMSGMLDTGVKPTLRTYSALICGYAKAGKRVEAEETFDCMVKSGIRPDQLAYSVMLDIFLRFNEEKKAMMLYKEMVRDGITPDPTVYGVMLQNLGRENKVEDIERVIRDMEEIKGMNPQSIASILVKGECYDSAAKMLRLAIGGNCEIDHENLLSILSSFSSSGRHSEALDLLKFFKEHAPRSNKLVTEASIVTLCKAKQLDAALKEYNSMREFGWFSGSCTIYESLIQSCEENELTAEASQIFCDMRFNGVKPSDSLYQRMVLLYCEMCFPETAHYLIDLAEIEGIPFDNISIHVAIIETYGNLKLWQKAESLVGNLRQRCMTVDRKVWNALIQAYAASGCYERARAVFNTMMRDGPSPTVDSINGLLQALIVDGRLEELYVVIQELQDLGFKISKSSILLMLDAFARAGNIFEVKKIYHGMKAAGYFPTMHLYRIMIGLLCRGKRVRDVEAMVSEMEEAGFRPDLSIWNSMLRLYTGIDDFRKTTQIYQRIKQDGLEPDEDTYNTLIIMYCRDHRPEEGLSLMHEMRRVGLEPKLDTYKSLIAAFGKQQLVVQAEELFEELRSKGSKLDRSFYHIMMKIFRNSGNHSKAEKLLSMMKDAGVEPTIATMHLLMVSYGSSGQPQEAEKVLTNLKESGLDLSTLPYSSVIDAYLKNGDYNVGIQKLMEMKKEGVEPDHRIWTCFVRAASLSQHTHEAIILLNALQDSGFDLPIRLLKERSESLVSEVDQCLEMLEDMEDNAAFNFVNALEDLLWAFELRATASWVFQLAVKRSIYSHDVFRVADQDWGADFRKLSGGAALVSLTLWLDHMQDASLQGYPASPKSVVLITGTAEYNMVSLDKTLKACLWEMGSPFLPCKTRSGLLIAKAHSLRMWLKDSPFCLDLELKDSPSLPESNSMQLIEGCFIRRGLVPAFKEITEKLGFVRPKKFAKLALLSDDRRGKAIEADIQGRKEKLEKVKNKVELWRKKKIRKLRKRKPIQRVVPSNPKEIKIDYQTVK >Manes.04G030425.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3783668:3784676:-1 gene:Manes.04G030425.v8.1 transcript:Manes.04G030425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVCALLVGFPYFAGPENSDLWCFHILSSMKGCRESYAGGVLQETLNSSEGANEMLKSPTTFTCYWRYGDYQIFAMDLALLSLLINFTFFILNKNLIKKLIELNFSKDSKQRNYDMMVKQQN >Manes.12G157300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36530415:36536989:-1 gene:Manes.12G157300.v8.1 transcript:Manes.12G157300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAFEPIYGEPKVEWAKTSDSDSVPLRRFLMQIFAPDYYNLKIQVTDYHSNTFASVKSIMQLEDMRDSIGIGGSWSDFVDYFVASVKSEDVKLVLEKHSHAYDPMHAKLIAQKSKGMPLISISLAKLVDSAANGAMENMSFELFKAFKLLQNLVLQEQGCTSQLTKVTAIEKDDSGTIHSQLEKRQKLQKTNSSDKTGAFAPSNNGSSNSPDKQSARDPVSKKVANRVVPAYRRYLCILFLL >Manes.12G157300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36530415:36536989:-1 gene:Manes.12G157300.v8.1 transcript:Manes.12G157300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAFEPIYGEPKVEWAKTSDSDSVPLRRFLMQIFAPDYYNLKIQVTDYHSNTFASVKSIMQLEDMRDSIGIGGSWSDFVDYFVASVKSEDVKLVLEKHSHAYEQGCTSQLTKVTAIEKDDSGTIHSQLEKRQKLQKTNSSDKTGAFAPSNNGSSNSPDKQSARDPVSKKVANRVVPAYRRYLCILFLL >Manes.12G157300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36530415:36536989:-1 gene:Manes.12G157300.v8.1 transcript:Manes.12G157300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAFEPIYGEPKVEWAKTSDSDSVPLRRFLMQIFAPDYYNLKIQVTDYHSNTFASVKSIMQLEDMRDSIGIGGSWSDFVDYFVASVKSEDVKLVLEKHSHAYEQGCTSQLTKVTAIEKDDSGTIHSQLEKRQKLQKTNSSDKTGAFAPSNNGSSNSPDKQSARDPVSKKVANRVVPAYRRAKVRGALLQDTEDDKDN >Manes.12G157300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36530415:36536989:-1 gene:Manes.12G157300.v8.1 transcript:Manes.12G157300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAFEPIYGEPKVEWAKTSDSDSVPLRRFLMQIFAPDYYNLKIQVTDYHSNTFASVKSIMQLEDMRDSIGIGGSWSDFVDYFVASVKSEDVKLVLEKHSHAYEQGCTSQLTKVTAIEKDDSGTIHSQLEKRQKLQKTNSSDKTGAFAPSNNGSSNSPEGGNYSFLASSSTDKQSARDPVSKKVANRVVPAYRRAKVRGALLQDTEDDKDN >Manes.12G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36530415:36536989:-1 gene:Manes.12G157300.v8.1 transcript:Manes.12G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAFEPIYGEPKVEWAKTSDSDSVPLRRFLMQIFAPDYYNLKIQVTDYHSNTFASVKSIMQLEDMRDSIGIGGSWSDFVDYFVASVKSEDVKLVLEKHSHAYDPMHAKLIAQKSKGMPLISISLAKLVDSAANGAMENMSFELFKAFKLLQNLVLQEQGCTSQLTKVTAIEKDDSGTIHSQLEKRQKLQKTNSSDKTGAFAPSNNGSSNSPEGGNYSFLASSSTDKQSARDPVSKKVANRVVPAYRRAKVRGALLQDTEDDKDN >Manes.12G157300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36530415:36536989:-1 gene:Manes.12G157300.v8.1 transcript:Manes.12G157300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAFEPIYGEPKVEWAKTSDSDSVPLRRFLMQIFAPDYYNLKIQVTDYHSNTFASVKSIMQLEDMRDSIGIGGSWSDFVDYFVASVKSEDVKLVLEKHSHAYDPMHAKLIAQKSKGMPLISISLAKLVDSAANGAMENMSFELFKAFKLLQNLVLQEQGCTSQLTKVTAIEKDDSGTIHSQLEKRQKLQKTNSSDKTGAFAPSNNGSSNSPDKQSARDPVSKKVANRVVPAYRRAKVRGALLQDTEDDKDN >Manes.12G157300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36530415:36536989:-1 gene:Manes.12G157300.v8.1 transcript:Manes.12G157300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEAFEPIYGEPKVEWAKTSDSDSVPLRRFLMQIFAPDYYNLKIQVTDYHSNTFASVKSIMQLEDMRDSIGIGGSWSDFVDYFVASVKSEDVKLVLEKHSHAYDPMHAKLIAQKSKGMPLISISLAKLVDSAANGAMENMSFELFKAFKLLQNLVLQEQGCTSQLTKVTAIEKDDSGTIHSQLEKRQKLQKTNSSDKTGAFAPSNNGSSNSPGVHPFWHLFDVHSISVMKYLLEIIK >Manes.S007194.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:49917:50729:-1 gene:Manes.S007194.v8.1 transcript:Manes.S007194.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1986995:1988734:1 gene:Manes.13G013400.v8.1 transcript:Manes.13G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQALSNLSLSLPLPSRSSISGSRNFYFLKTNESGFNSSLKAMAADSRENLDHLQRASKHQQQTQPKKRVAPVAPVGLWDRFPTARTVHQMLESMERMMEDPIAYSSGWPSAPQSPINGSGYGGGRTPWEIKEGESAYKMRFDMPGMTKEDVKVWVEEKMLIVKAEKVPKKKSNGGKNGEEEEEEEEEWSAKSYGKYSSRIALPEDIQFENFKAEVKDGVLYITIPKARTTGKILDIHVQ >Manes.03G114400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24020164:24021183:1 gene:Manes.03G114400.v8.1 transcript:Manes.03G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISHNQSFQLPPTPSLSGNSTAVANRVRRNYRHHNHRPACTCSNRPGSVRCSRHGYIVPGDKLKRRQANKEIIRRALTPPNRKLTLRWWNFRPTPSRLSNMSTA >Manes.12G051600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4856311:4858463:1 gene:Manes.12G051600.v8.1 transcript:Manes.12G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDVPQDQYNQECIVPMLDLNGLKVMSPLGRGAKGVVFLVKEDVFGELWALKVILRDLVEKKNKDVSDGSEYKRICFERQVLSQFKHPLLPRLRGVLATDKIVAYAIDFCPGRDLNQLRKQQSEKMFSIEMIRFYAAELVLALEHLHSLGIAYRDLKPENILIQENGHIMLVDFDLSAKLNPKSPQTSPISNSNNPKPHSSHKKRFSPFHSCCNSGVLPDDSSERDLSTLPLESDTTEKSNSFVGTEEYVSPEIIQGNGHDFAVDWWSLGVVLYEMLYGVTPFKGENRKDTFFKILTKSPDLVGEASPLRDLIGKLLMKDPKKRIRVEEIKRHEFFRGTNWDLIPQMSRPPYIPPASHEFWEREAMEGIMKIDLESFVQRIFGGDEVEKQEKVTNQEDENTENKAWVKGLDNYPQGTDDFIVF >Manes.12G054200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5000762:5011139:1 gene:Manes.12G054200.v8.1 transcript:Manes.12G054200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIRMLQIKLQFFPSSFPSSNVLSSNNLPILPSPFFTSSSFHCSQWPLKQPRRKKPLLVLCTNSEVSGGYLDGEFGVQNKRSRTHDEKWNDKLDSSQYEALLKGGEQVTSVLQEMITLLEDMNMDEASEKVAVELAAQGVIGKRVDEMESGFMMALDHMIQVAEKDQDDLRKSLLEVVKETVLSHLTKKCPPHVQVIGLLCRTPGKESRHELLRRVAAGGGAFESKNGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGLLDERNDRGFSTLPESEVNFLTKLVALKPGKTVREMIKNVMQGKDEGADNAATEEEDTRSGSVSIGIAGRPSVTGRKPLPVRPGMFLETVTKVLGGIYSGDVPGITAQHLEWVHQKTLEVLQEIAF >Manes.12G054200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5000762:5010123:1 gene:Manes.12G054200.v8.1 transcript:Manes.12G054200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIRMLQIKLQFFPSSFPSSNVLSSNNLPILPSPFFTSSSFHCSQWPLKQPRRKKPLLVLCTNSEVSGGYLDGEFGVQNKRSRTHDEKWNDKLDSSQYEALLKGGEQVTSVLQEMITLLEDMNMDEASEKVAVELAAQGVIGKRVDEMESGFMMALDHMIQVAEKDQDDLRKSLLEVVKETVLSHLTKKCPPHVQVIGLLCRTPGKESRHELLRRVAAGGGAFESKNGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGLLDERNDRGFSTLPESEVNFLTKLVALKPGKTVREMIKNVMQGKDEGADNAATEEEDTRSGSVSIGIAGRPSVTGRKPLPVRPGMFLETVTKVLGGIYSGDVPGITAQHLEWFVCLPRVQVHQKTLEVLQEIAF >Manes.12G054200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5000761:5011139:1 gene:Manes.12G054200.v8.1 transcript:Manes.12G054200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIRMLQIKLQFFPSSFPSSNVLSSNNLPILPSPFFTSSSFHCSQWPLKQPRRKKPLLVLCTNSEVSGGYLDGEFGVQNKRSRTHDEKWNDKLDSSQYEALLKGGEQVTSVLQEMITLLEDMNMDEASEKVAVELAAQGVIGKRVDEMESGFMMALDHMIQVAEKDQDDLRKSLLEVVKETVLSHLTKKCPPHVQVIGLLCRTPGKESRHELLRRVAAGGGAFESKNGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGLLDERNDRGFSTLPESEVNFLTKLVALKPGKTVREMIKNVMQGKDEGADNAATEEEDTRSGSVSIGIAGRPSVTGRKPLPVRPGMFLETVTKVLGGIYSGDVPGITAQHLEWVHQKTLEVLQEIAF >Manes.12G054200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5000762:5010123:1 gene:Manes.12G054200.v8.1 transcript:Manes.12G054200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIRMLQIKLQFFPSSFPSSNVLSSNNLPILPSPFFTSSSFHCSQWPLKQPRRKKPLLVLCTNSEVSGGYLDGEFGVQNKRSRTHDEKWNDKLDSSQYEALLKGGEQVTSVLQEMITLLEDMNMDEASEKVAVELAAQGVIGKRVDEMESGFMMALDHMIQVAEKDQDDLRKSLLEVVKETVLSHLTKKCPPHVQVIGLLCRTPGKESRHELLRRVAAGGGAFESKNGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGLLDERNDRGFSTLPESEVNFLTKLVALKPGKTVREMIKNVMQGKDEGADNAATEEEDTRSGSVSIGIAGRPSVTGRKPLPVRPGMFLETVTKVLGGIYSGDVPGITAQHLEWVHQKTLEVLQEIAF >Manes.12G054200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5000762:5010123:1 gene:Manes.12G054200.v8.1 transcript:Manes.12G054200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIRMLQIKLQFFPSSFPSSNVLSSNNLPILPSPFFTSSSFHCSQWPLKQPRRKKPLLVLCTNSEVSGGYLDGEFGVQNKRSRTHDEKWNDKLDSSQYEALLKGGEQVTSVLQEMITLLEDMNMDEASEKVAVELAAQGVIGKRVDEMESGFMMALDHMIQVAEKDQDDLRKSLLEVVKETVLSHLTKKCPPHVQVIGLLCRTPGKESRHELLRRVAAGGGAFESKNGTKVHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGLLDERNDRGFSTLPESEVNFLTKLVALKPGKTVREMIKNVMQGKDEGADNAATEEEDTRSGSVSIGIAGRPSVTGRKPLPVRPGMFLETVTKVLGGIYSGDVPGITAQHLEWFVCLPRVQVHQKTLEVLQEIAF >Manes.09G010800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2160833:2162288:-1 gene:Manes.09G010800.v8.1 transcript:Manes.09G010800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKLSAIIPDSVLKKRKRSEEWALLKNQEVKVKKEKNAENRKIIFKRAEQYGKEYREKERELIRLKREAKLKGGFYVEPEAKLLFIIRIRGINAMDPKTRKILQLLRLRQILNGVFLKVNKATMNMLHKVEPYVDITSLPLEGQVFDKMPSTAMNNLLSENKYMCLSFIVRELIYKRGYGKLNKQSIALTDNSIIEQALGNFGIICMEDLIHEIMTVGTHFKEANNFLWPFKLSAPSGGLEKKRNHYVEGGDAGNREDYMNELIKRMN >Manes.16G122000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32439486:32443343:-1 gene:Manes.16G122000.v8.1 transcript:Manes.16G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGLICFVGLVILILSESCIAGIQSIGKIYPGFQGSQMNWIDKSGLFLLSNNSNFALGFNPTPDVTLYLLVIIHTTSLDVIWTANRGSPVANSDLFSFGEDGTVSLKKGDRPVWAPDTGGKRVSAIELQDSGNLVLLGNDSRVIWQSFSHPTNTLISDQEFREGMKLVSDPSANNLTYVLEIKSGDMILSAGFRTPQPYWSMQNDNDKTINKDGGEVTLASLSANSWRFYDSNKVLLWQFKFADEKDANATWIAVVGNDGFISFYNLDDRGSASQTKIPSDPCSVPEPCDAYYVCSGNKVCQCPSALSTFPNCKPGIVSSCNSSTGSSALVSAGNGLNYFALGFVPPSSKTSLEGCKSSCSSNCSCLALFFQNSTGNCYLFDQIGSFQDSGTSSNFATYIKVLSDSNNGGSGSSKMGFPYVVIIVAATVLIIFGLLYVAFRYYRNKKRLLENPQESSEDDNFLENLSGMPIRYSYRDLQTATNNFSVKLGHGGFGSVYQGVLQDGTRLAVKKLEGIGQGKKEFRAEVSIIGSIHHHHLVRLKGFCAEGSHRMLAYEFMANGSLDKWIFKRNKEDFLLDWDTRFNIALGTAKGLAYLHEDCDVKIIHCDIKPENVLLDDHFNSKVSDFGLAKLMNREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNYDPSYSSEKSHFPSYAFRMMEEGKVREILDSELNLDMNDKRVSAAIKVALWCVQDDMHLRPPMPKVVQMLEGLCPVPQPPISSPLGYRLYSGLFKSISEEGTSSGPSDCNSDAYLSSVQLSGPR >Manes.09G161600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35624946:35629566:1 gene:Manes.09G161600.v8.1 transcript:Manes.09G161600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKRVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANPVDGVFSFDFVIDRGTSILSRIYRPSEGEQLQPNIADLEKPVSSELVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGICKAVVVSVNYRRAPEHRYPCAYDDGWTALNWVNSRTWLQSKKDSKVHIYLAGDSSGGNIVHHVAVRAVESGIDILGNILLNPMFSGQERTESEKRLDGKYFVTLQDRDWYWRAFLPEGADRDHSACNPFGPNGINLEGMKFPKSLVVVAGLDLIQDWQLAYVEGLKKAGQVVKLLYLEQATIGFYLLPNNNHFHTVMDEISKFVSSNF >Manes.10G039600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4068604:4070660:-1 gene:Manes.10G039600.v8.1 transcript:Manes.10G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSPPSLQLSTAPFPSPRLFFQPKFKPHLSFTIRAATDDDNQTETGPQPQPESDDEPFESRLSKVRLRYRSGTGKKAEVRKSKKGVSKSGSGSGMYLPPVPLKEASSGGVKVEFGFSQYSERVNGRIAILGLTALILVELATGKGVINYHTPAIVLIQVYFVAAVSALYIKYEKEKVSVWPESSPSKE >Manes.14G172900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:28669882:28671195:-1 gene:Manes.14G172900.v8.1 transcript:Manes.14G172900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGWRRKRTPSNNARKKQQQNSNIDLHIPNHFLCPISLDLMKDPVTLSSGFSYDRSNIEAWLQAGNFTCPVTNQILTTFDLIPNHNLRKMIQDWCVENRNFGIQRIPTPKIPVSPTQISDVLSSLGASTRSLDQYECLDLVQKIKKWGSESQRNRRCIVDNGASSVLASTFDAFASDSYEKNAKLLEEILSTFDWMFPLDVESQIYLGSQASLRCMLWFLECRDLSSKKNSIIALKELLSSDQRHSETLANIEGVNEVLFRFIKDPICPTITKASLMVIFHLLSSPSSSEKMKSAFVKMGLVSLLIEIIVDSERSTCERALGVFDKLCDCQEGREEAYNNSLTWPVLVKKLLRVSELATEYSVSAIWKLNKYGRKEEAMVEILQAGGFQKLVLLLQLGCGDETKEKTTELLKQMNPYRNGFECIESADFKNLKRSF >Manes.11G163900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32849936:32851672:1 gene:Manes.11G163900.v8.1 transcript:Manes.11G163900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKSGEATIAIQEPKTDPKGKGIAGAPAPSVATTKTIRQQPRGGWKKGIAIFDFVLRLCAIATGLAAASLMGTTEQTLPFFTQFFQFHAEYNDLPTFMFFVFANGIASGYLILSLPFSIVCIVRPHAVGPRLFLVIFDTVVMALTIAAASAAAAIVYLAHNGNSDANWNAICQQFTDFCQQSSTAVVASFITSAMFVLLIVLSAFALRT >Manes.02G226900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36569481:36577606:1 gene:Manes.02G226900.v8.1 transcript:Manes.02G226900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPANGAGVSSAATTSCVTTSNPSEGGEKKSINPELWQACAGPLVSLPAAGTLVVYFPQGHSEQVAASMKKDVDAHIPNYPSLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVPSYDKEALLRSDLSLKSNKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPANLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVCSNQVSLGMRFRMMFETEESGTRRYMGTITGISDLDPLRWKNSQWRNLQVGWDESTAGERRNRVSLWEIEPVTAPFFICPPPFFRSKRPRQPGMPDDDSSDLDNLLKKSMPWISDDFYMKDPQSVPGLSLVQCFNMQQNPSLANSLQPNYMQSFPGSVLQNLTGADLSRQLGLAVPQLPQPNNLQFNAQRLPLQSQPLDQLPKLPSSLNPLGSIMQSQQQLGDISQQSKQNLVTQTIPSSQVQAQILQPQTLVQNANILQQQPSFQSHQLPRNLPQTLQQNQQQHIVGQNQQQGVTQTQMTDQVNQQLQMSDNQIQLQLLQKLQQQQQSLLMQQSVLQQPNQFVQLQDPQKQLLEASQSFSRSMRTNQLLEMPQTAPTSLPQSNIIQQQMTKNGNQTNAQFSHMPQQLKFQQQQPGILPELPGQMGLPPSSGINHLPTVGSGTLTAAGAGISGITEELPSCSTSPSTNNCVNVVHRVHQTTAMGDDMAQSAATLMSPNALETMSCSANLVKDVQQKSDVKPSLNIAKNQKQGFFAPQTYQNGAMAQTDYLDTSSSTTSVCLSQNDVHLQQNNNSSSYNPHSMLLRDISQDGELQADPRNNVPYGANVDDQLGVPMNSEQVLTKGITGLGKDLSNNLSSGGMLSNCENSKDPQHELSSSMVSQSFGVPDMAFNSIDSTINDSSFLNRGPWAPPPQFQRMRTYTKVYKRGAVGRSIDITRYSGYAELKQDLARRFGIEGQLEDGQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLNGDFGNPVLPNQACSSSDNGNA >Manes.16G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28158157:28164362:1 gene:Manes.16G077200.v8.1 transcript:Manes.16G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKDDEKKIGPMFPRLHVNDTDKRGPRAPPRNKMALYEQLSIPSQRFNSGVLPLNPSNASNLFPAGSSSQGNGFERNLPVPVRASPTPSHLDGKLHSCQHDGGNSNASSAQLEQRKKVGDEDDFTVPVFVHSGMDQCLHKNRNGIDREMLSPFSQNYSGSRKIQNAGNTCKINNSFRDPNLRQDVKNDSEENLEVCVSSRDNSARPSMNLPTRERITRPGDASLDQQNQGHLRANFNKLSESDACLLQNGSTHSEDVPELTTEMEKGNIPRSRSDSHSKEVLNSPNEPEIDSESCGDKTCRSLQLGNGDKSDGVSETSMVDSISALDISPDDIVGIIGQKRFWKARRAIVNQQRLFAWQVFELHRLMKVQQLIAASPNLLLQDIAYLGKHSSKVSPAKKLPSEYVVAPAVHVAKRKGDSEKPNHKMECSAENAVGKTSLSSVKSGSQTSNYGSCTGNPLPVPVSTDPKVGPWCFHHSLGHQWLIPVMSPSEGLVYKPYTAPGFTDSACGGCAPLGPTPLNGSFMNPAYGISASHHHHQGTGVLPFVPPGVHGYFPPYGMPVVNPGISCSAVEQMNFFAGSGVYAQTGQLPGGGANLNLRHQSSCNVPTQKSTPQDTKFQACKDMELQGSTASSPSEKTQGVRTAQAAEGRNAVPPFPVAPAGPQGVSVPQVSDQPRRVIKVVPHNPRSATESAARIFQSIQEERKQHDST >Manes.16G077200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28158157:28164362:1 gene:Manes.16G077200.v8.1 transcript:Manes.16G077200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKDDEKKIGPMFPRLHVNDTDKRGPRAPPRNKMALYEQLSIPSQRFNSGVLPLNPSNASNLFPAGSSSQGNGFERNLPVPVRASPTPSHLDGKLHSCQHDGGNSNASSAQLEQRKKVGDEDDFTVPVFVHSGMDQCLHKNRNGIDREMLSPFSQNYSGSRKIQNAGNTCKINNSFRDPNLRQDVKNDSEENLEVCVSSRDNSARPSMNLPTRERITRPGDASLDQQNQGHLRANFNKLSESDACLLQNGSTHSEDVPELTTEMEKGNIPRSRSDSHSKEVLNSPNEPEIDSESCGDKTCRSLQLGNGDKSDGVSETSMVDSISALDISPDDIVGIIGQKRFWKARRAIVNQQRLFAWQVFELHRLMKVQQLIAASPNLLLQDIAYLGKHSSKVSPAKKLPSEYVVAPAVHVAKRKGDSEKPNHKMECSAENAVGKTSLSSVKSGSQTSNYGSCTGNPLPVPVSTDPKVGPWCFHHSLGHQWLIPVMSPSEGLVYKPYTAPGFTDSACGGCAPLGPTPLNGSFMNPAYGISASHHHHQGTGVLPFVPPGSGVYAQTGQLPGGGANLNLRHQSSCNVPTQKSTPQDTKFQACKDMELQGSTASSPSEKTQGVRTAQAAEGRNAVPPFPVAPAGPQGVSVPQVSDQPRRVIKVVPHNPRSATESAARIFQSIQEERKQHDST >Manes.08G079200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22680850:22694692:1 gene:Manes.08G079200.v8.1 transcript:Manes.08G079200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSDCKCGNGCGGCKMYPDISFSEKTNTETLVLGVAPVKPHFERDEMSSVSENGGCNCKCGENCTCDQCNCT >Manes.03G131650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25834065:25835254:-1 gene:Manes.03G131650.v8.1 transcript:Manes.03G131650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIQFQSSFPSLHLQPQQKPQLQKLKPYKWLCPSSQRMLSRTRAIINPLILADASQPKGGDISVLLQTGGLLLFVYGIANFVVPAFISKQYESDEKTEEDSMSDEDGSTGDDKDGMPKPKSLGKKRGFQGTRT >Manes.03G131650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25833439:25835177:-1 gene:Manes.03G131650.v8.1 transcript:Manes.03G131650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIQFQSSFPSLHLQPQQKPQLQKLKPYKWLCPSSQRMLSRTRAIINPLILADASQPKGGDISVLLQTGGLLLFVYGIANFVVPAFISKQYESDEKTEEDSMSDEDGSTGDDKDGMPKPKSLGKKRGFQGTRT >Manes.09G049118.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10321513:10326486:-1 gene:Manes.09G049118.v8.1 transcript:Manes.09G049118.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATEPTASADTESKTPASVVESTELENKPQTAEITSTSMVDAAEPTASVETESKPPASAAESTEPENKSQTVEIKRWADVVDDEEQPSGTASSSEDKIAEELKVDKLTIDDAQKINKFLDDPEDSRIQAVTSGDTPYTSASTFEDLNLSPELLKGLYVEMKFQKPSKIQAISLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNVKRPQALCICPTRELSIQNLEVLQKMGKYTGISSECAVPMESGNSDRSRSRPPIFAQVVIGTPGTIKRLMSQKKLSVIDMKVLVFDEADHMLAKDGFQDDSLRIMKDIERLNARCQILLFSATFDETVKNFVSRIVKKDHNQLFVKKEELSLDSVKQYKVYCPDEMVKILVIKDRILELGENLGQTIIFVNTKRSAGMLHKALVDLGYEVTTIHGALTHEDRDKIVKEFKDGLTQVLISTDLLARGFDQQQVNLVINYDLPVKYETPSEPHYEVYLHRIGRAGRFGRKGAVFNFVMSERDAMIMEKIDKYFGTQVKAVPSWNSEEDFKIALKEAGLL >Manes.13G013200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1993525:1998274:-1 gene:Manes.13G013200.v8.1 transcript:Manes.13G013200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDGETSQVMKGVQHGACDYLLKPIRMKELRNIWQHVLRKKIHEVRDIEILEGMESIQMTRNGLDQSSDGHLLCGEDLTSVKKRKEAENKHDDKDPGDSSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKENDTKTSVGGGKHSDSPSRDSAGSFGIQNSINIQRNDISNGSYGFSGNSLIVRNVEPRSQENERKGIVSKTAVEPKRALAVEVPDPCKPKSSEMEFGHSFTSPASEVNFAEFGSNFPTKFSWCAIPQSQLKQEQNPLHLDAGFSQRTRPGKQQHIQVDYPQPSPPIISGSSVTERNMDGSVKIKPIYDECRNNGSQVSSARSTMDSYQVQTKTYEVNHQAYEPISTNTSSLKNQAAFNLSSISDLESAQKSINWAMSPLATLDDDFQVRWVQGDCYAMNLGLQNIEFPEYFDGGLLADVPTHLYENLYDSTECSVIEQGLFIA >Manes.13G013200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1993609:1998274:-1 gene:Manes.13G013200.v8.1 transcript:Manes.13G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNGFSSPRHDPFPAGLRVLVVDDDLTWLKILEKMLKKCSYEVTTCGLAIDALHLLRERKDRYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSQVMKGVQHGACDYLLKPIRMKELRNIWQHVLRKKIHEVRDIEILEGMESIQMTRNGLDQSSDGHLLCGEDLTSVKKRKEAENKHDDKDPGDSSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKENDTKTSVGGGKHSDSPSRDSAGSFGIQNSINIQRNDISNGSYGFSGNSLIVRNVEPRSQENERKGIVSKTAVEPKRALAVEVPDPCKPKSSEMEFGHSFTSPASEVNFAEFGSNFPTKFSWCAIPQSQLKQEQNPLHLDAGFSQRTRPGKQQHIQVDYPQPSPPIISGSSVTERNMDGSVKIKPIYDECRNNGSQVSSARSTMDSYQVQTKTYEVNHQAYEPISTNTSSLKNQAAFNLSSISDLESAQKSINWAMSPLATLDDDFQVRWVQGDCYAMNLGLQNIEFPEYFDGGLLADVPTHLYENLYDSTECSVIEQGLFIA >Manes.06G171600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29579857:29584956:-1 gene:Manes.06G171600.v8.1 transcript:Manes.06G171600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTYAVGLISALAASASLSQTNSIAFADGPFNFSTLFPSTSGASSQSPPLSSSSGQSQPSNSAQSEVANAEASVPKAPRNDNPRTTSAGFDPEALERGAKALREIASSSQAKKAFEVIKTQEATKQAEMAAKASEFKAMQAQAETERQRVIYDEQKKLAQHQAQTKSQMARYEDELARKRMQADNEYQRARNQELVKLQEESSIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEGRAHEAKLAEDVNRRMLIDRANAEREKWVAAINTTFDHIGGGLRAILTDQNKLVVAVGGVTALAAGIYTTREGAKVIWSYVDRILGQPSLIRESSRGKYPWSGLFTRTMSTLSRSASKGSSKSGNGFGDVILHSSLQKRIEQLSNATANTKSHQAPFRNMLFYGPPGTGKTMAARELAHKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAQAGSRISGGWFQNLFKKQQQKIEIKGLTDDILKEAAAKTEGFSGREIAKLMASVQAAVYGSQNCVLDSSLFREVVDYKVAEHQQRSKLASKPGEKSA >Manes.01G234700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39764050:39765855:1 gene:Manes.01G234700.v8.1 transcript:Manes.01G234700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARIFSSPALRFADAGVHNRSRKFRQLGMVNYGVSSKSRDDMGILSRGFSDAGHMQYYASPSMGGGKKQKEKSDEIKTEKTKKMKLKLIKRLSKDLDFFDHMVHVEESGSILMDEVKRKKISESMEVLLAELRHLRSEQKEEKRKRKEEKAQRKATLVKAKAKIDSGSSSSSSSSSSESSDSDCEVVTDMSRFRSNALNQFIDRKTEQEQENNKEEEKLADSSLSNQEEHPIISLQNLPEDCSNDHESSCTASGRRIEICMGGKCKKMGALALLEEFERKVGKEGNVMGCKCMGKCKNGPNVRIQNESAKASVEALINPLSLCIGVGLEDVDAIVRNLLGKDRNDNCIMASS >Manes.08G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3180634:3182647:-1 gene:Manes.08G033600.v8.1 transcript:Manes.08G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIAKESLKPGDHIYSWRAAYIYAHHGIYIGDDKVIHFTRRGQEVGTGTVLDLLLVSSGPANPRVPCATCATQQEGHGVILSCLNCFLAGGILYRFEYDVNPALFLAKARGGTCTLAVSDPNDVVVHRAKFLLEHGFGCYNVFKNNCEDFAIYCKTGLLVVDQGTMGQSGQAASIIGGPLAAVLSTPLRLVTTNIYGMAATAVGVYCISRYAADIGMRRDVLKVSVEDLTRRLATGSLRVVENQILATPIQES >Manes.05G196100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31995169:32003671:1 gene:Manes.05G196100.v8.1 transcript:Manes.05G196100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSNSLVSKGMRSYSYLLNPLVSRTIATLSSSPLNRVQHLSSSNDNLHRPLSSPLFTTAVRFIRAGRDSNISYEITPPVNWGIRIVPEKKAYVIERFGKYVKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGRKSAVILASEAAKMDQVNRALGEAEAILAKAQATAKGLGLVSKALKETGGVEAASLKIAEQYIQAFGNIAKEGTTMLLPSATANPANIMAQALTMYKSLLGNVSSNTSHEPSSPELTEGIKDSGEAIGKSTTFSSTVDSSQLNEPRFSLQSPRKGD >Manes.05G196100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31995169:32003671:1 gene:Manes.05G196100.v8.1 transcript:Manes.05G196100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSNSLVSKGMRSYSYLLNPLVSRTIATLSSSPLNRVQHLSSSNDNLHRPLSSPLFTTAVRFIRAGRDSNISYEITPPVNWGIRIVPEKKAYVIERFGKYVKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIEAINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGRKSAVILASEAAKMDQVNRALGEAEAILAKAQATAKGLGLVSKALKETGGVEAASLKIAEQYIQAFGNIAKEGTTMLLPSATANPANIMAQALTMYKSLLGNVSSNTSHEPSSPELTEGIKDSGEAIGKSTTFSSTVDSSQLNEPRFSLQSPRKGD >Manes.10G093500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24020938:24023236:-1 gene:Manes.10G093500.v8.1 transcript:Manes.10G093500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFPRKWNWMPSLVSSIAPIFGAETRAHGFRSAAALEALTKAREEKIPNVVLYNYPSFSGAFSALFATLFHTRLNRSCLVLPFSLVEPLRVEDLTFEGLESCYLLDFVGPPGFASKLSRQSMCEVICFDHRKSALSRISSAEKCAEKLTFHVDIEKSSSSVVYSYFCNKLVAMMSHNSKGGLNRLLNAEDQDRVEMVLKYIEDVELRRWSLPDIRAFNIGLNEWRSKMNFIRNPFMFEELLEMSSAYLIAKGNSYISSRQSAASKLIDKVFKVRLGRGFYGECLGVRADGNSNLSDEIGRQLSAKSAAAGLRPIGAVVYMQRNNLKMCLRSTDSDTDTLEVAKAYGGGGSPSSSSFIIRMDEYNQWLSDSAS >Manes.08G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2232387:2233912:-1 gene:Manes.08G022200.v8.1 transcript:Manes.08G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMEQPQQQNQLMVQNSGSLSFSSQMSKEDEEMSRSALSTFRAKEEEIERKKLEVREKVQAQLGRVEEETKRLAMIREELEALADPMRKEVAVVRKKIDTVNKELKPLGHTVQKKEKEYKEALEAFNDKNKEKVQLITKLMELVSESERLRLKKLEELSKNVDSIH >Manes.01G103601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30344440:30344865:-1 gene:Manes.01G103601.v8.1 transcript:Manes.01G103601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDRELFKKLDTSIITKVKIGNGERITARGKGTMSIESLSGQKLISEVLFVPDIDRNLLSVGQLLEKDYEVFFRKKCCTIIDPEGREVFRIKMKGKSFVLDMLEQEQTFVAQRDNNTMLWHKRLGHFHHNVVLFMKKTQM >Manes.10G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6437137:6439935:1 gene:Manes.10G053700.v8.1 transcript:Manes.10G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQASLYCLPWLLLLFFFFIPSINSSSLTKSSISSLSSRRRIPRLTVHNNAVDPPRESGHLGSYEVFYYNQTLDHFNYQPQSYITFPQRYVMNSKYWRGGNASAPIFAYMGEEASMDSDLAAIGFLSENAAQFGALIVFIEHRFYGESVPFVSREEALTNATLRGYFNSAQALADYAEILLHIKKELSADTSPIIVIGGSYGGMLAAWFRLKYPHIALGALASSAPVLYLDGLTPQEAYFSAVTKDFREASESCYNTIKQSWAEIERVSNLENGLSILQKKFRLCKPLKEAWELRSYLETMYIVAAQYDRPPKYPVDVICKGIDSAPSSSYILDKIFLGFVAYYGKASCYSLGSSFSDETLQGWDWQVCSDIVIQMGIDRNDTMFALNPFDENLNDEFCMNKFGIIPRRHWITTYYGGQHIKEVLKKFGSNIIFSNGLRDPYSSGGILEDISDSIVAVKSNKGSHCLDIAPAREYDPEWLILQRKTEVEIISKWIIDYYEDLLEN >Manes.16G128200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32936072:32940484:-1 gene:Manes.16G128200.v8.1 transcript:Manes.16G128200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESECSRSICCPSPHRIVARWISGLRRTRVKRIPAEHVKKEKETESKMDEDEKGLGDRAVQARCSMNGLNGGNSVSTTGESRKDTSFNMGVGCCLLYLIAASKNELNKIVQMRLQMETLLQNTSEELINKSNISKLSKPNDMFAYSDTDSPQGPQFESQYIPESSTVSAVDQSLKCEPPEKEECSEEGMDQLEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCSKSQTTTSGEVIDLQPHDVDTDCGVPPDELEMETLLQNTSEELINKSNISKLSKPNDMFAYCDTDSPHGPQFESQYISESSTVSAVDQSLKCEPPEKEECSEEAMDQPEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCSKSQTTTSGEVIDLQPHDVDTDCGVPPNELEMETLLQNTSEELINKSNISKLSKPNDMFAYCDTDSPHGPQFESQYIPESSTVSAVDQSLKCEPPEKEECSEEAMDQLEAELQAELEHLQLHLDGEKLKHSELLRVEVTDEDTTCLKSQTTTSGEVIDLQPHDVDTDCGVPPDELERRLHELLEARQQEEIRELEAAIECLKHKLYEKEVEVSRWKDTAMRLHELLEARQQEEIRELEAAIECLKHKLYEKEVEVSRWKDTAMLISRHAMEPSPFTSRNDPKIITHR >Manes.16G128200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32936268:32940429:-1 gene:Manes.16G128200.v8.1 transcript:Manes.16G128200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSAVDQSLKCEPPEKEECSEEGMDQLEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCSKSQTTTSGEVIDLQPHDVDTDCGVPPDELEMETLLQNTSEELINKSNISKLSKPNDMFAYCDTDSPHGPQFESQYISESSTVSAVDQSLKCEPPEKEECSEEAMDQPEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCSKSQTTTSGEVIDLQPHDVDTDCGVPPNELEMETLLQNTSEELINKSNISKLSKPNDMFAYCDTDSPHGPQFESQYIPESSTVSAVDQSLKCEPPEKEECSEEAMDQLEAELQAELEHLQLHLDGEKLKHSELLRVEVTDEDTTCLKSQTTTSGEVIDLQPHDVDTDCGVPPDELERRLHELLEARQQEEIRELEAAIECLKHKLYEKEVEVSRWKDTAMRLHELLEARQQEEIRELEAAIECLKHKLYEKEVEVSRWKDTAMLISRHAMEPSPFTSRNDPKIITHR >Manes.16G128200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32936268:32940429:-1 gene:Manes.16G128200.v8.1 transcript:Manes.16G128200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESECSRSICCPSPHRIVARWISGLRRTRVKRIPAEHVKKEKETESKMDEDEKGLGDRAVQARCSMNGLNGGNSVSTTGESRKDTSFNMGVGCCLLYLIAASKNELNKIVQMRLQMETLLQNTSEELINKSNISKLSKPNDMFAYSDTDSPQGPQFESQYIPESSTVSAVDQSLKCEPPEKEECSEEGMDQLEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCLKSQTTTSGEVIDLQPHDVDTDCGVPPDELERRLHELLEARQQEEIRELEAAIECLKHKLYEKEVEVSRWKDTAMRLHELLEARQQEEIRELEAAIECLKHKLYEKEVEVSRWKDTAMLISRHAMEPSPFTSRNDPKIITHR >Manes.16G128200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32936268:32940429:-1 gene:Manes.16G128200.v8.1 transcript:Manes.16G128200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESECSRSICCPSPHRIVARWISGLRRTRVKRIPAEHVKKEKETESKMDEDEKGLGDRAVQARCSMNGLNGGNSVSTTGESRKDTSFNMGVGCCLLYLIAASKNELNKIVQMRLQMETLLQNTSEELINKSNISKLSKPNDMFAYSDTDSPQGPQFESQYIPESSTVSAVDQSLKCEPPEKEECSEEGMDQLEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCSKSQTTTSGEVIDLQPHDVDTDCGVPPDELEMETLLQNTSEELINKSNISKLSKPNDMFAYCDTDSPHGPQFESQYISESSTVSAVDQSLKCEPPEKEECSEEAMDQPEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCLKSQTTTSGEVIDLQPHDVDTDCGVPPDELERRLHELLEARQQEEIRELEAAIECLKHKLYEKEVEVSRWKDTAMRLHELLEARQQEEIRELEAAIECLKHKLYEKEVEVSRWKDTAMLISRHAMEPSPFTSRNDPKIITHR >Manes.07G051500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6090873:6092934:-1 gene:Manes.07G051500.v8.1 transcript:Manes.07G051500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRILVGNLALKFPAASRSFISSSSSCFCKIKLKNFPTQEATIPLLHLQQQEKIQNRDSNCISNSLAACFNLDKTQIEKISSSKKPKSLTIEIYTGGDGAVCGWTDRKLLGKVIVPLNLKRAESRPHVMHNGWIAIGQGSKKGSSMAEFYLSVRAEPDPRYVFQFGGEPECSPQVFQVQGNVKQPVFTCKFSLRNPGDRNLGSRQSTLEPTTSRNWLSSMGAEKDQSAKERKGWTITVHDLSGSPVAMASMVTPFVASPGSGQVSRSNPGDWLILRPSLGAWKSWGRLAAWREHDNVGYRFELIHDTVTATPTTTTLINSVVSTKNGGKFTIDTTNSVSTPVCSPQSSCDFGSGSGSGSWSGSELGAGIFSQFLYRGFVMSSTVKSYSKCSKPEVEIGVQHVNCTEDAAVFVALAAAMDLSMDACRLFSHKLRKELRQQMEQSQSFVV >Manes.12G142200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34832395:34833138:1 gene:Manes.12G142200.v8.1 transcript:Manes.12G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPMARSNPASPADSPESPKPPSSPPPKSQGTLHLIHPSEKKKKVKLFRVVRSVFRSFPIINPVCKIPVLQGSSPDNHHTYFSGNRVTGTLFGHRKGRVSLSIQESPMCYPSLVVELAVQTNALQKKLGSGMVRIALECEKRTDKEKVKLLEEPVWNMFCNGKKNGYGVKRDPTEDDLRVMELLRAVSMGAGVLPGNSEIEGPDGDLAYIRAHFDRVVGSKDSETLYMISPDGNSGPELSIFFIRV >Manes.13G037700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4584330:4586281:1 gene:Manes.13G037700.v8.1 transcript:Manes.13G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVLTSNKRKERECFDSLKPFPPPTKLAKPSIPQVGSEKLPDPVSSNQLLAGYLAHEYLTKGTLFGQPWDPAGAEAVAGEPKKVKPSQKAKEEEAEPNKENYQRYVEVSSLLKAEGAHLPGVVNPSQLSRFLQMRMC >Manes.03G017000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1427035:1427916:-1 gene:Manes.03G017000.v8.1 transcript:Manes.03G017000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIEAATQRIENSSDTLINIKKLETSMMGELNNLPAQSSKSKYCICRFPKRLRDCNERVYKPAMVSIGPLHHGKEALKAMEEHKRRYLQDFLQRTKVSLLDYIKLIKEKEERLRNSYVESIELSSDDFTEMILVDAAFIIEVFWRFLVNERQDDEGDHMFNKPQIIRDISLDIWLLENQLPFFILEDVFNLSGHDQTISFTRLTNEFLKKLWDLEEMPHSVEKNNQSSEISHLVDFLRSHLQPPELQAKEKPENLITPSLEDLQSAGILIKLRSGRNLFDIKFKDGVLKIPS >Manes.05G207000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33377815:33385017:-1 gene:Manes.05G207000.v8.1 transcript:Manes.05G207000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPKKIIIDTDPGIDDAMAIFLALRSPEVEVIGLTTIYGNVYTTLATRNALHLLEVAGRSDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFPPPKGKPIEQSAAAFLVEQANLHPGKVTVVALGPLTNIALAIELDPAFAKNIGEIVLLGGAFSVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHEVVLTDADRDKLAQSNGKFAQYLCKILDVYFSYHHEVYGTRGVYLHDPTAFLAAVNPALMTYVEGVVRVQTTGIMRGLTILYNRQKRFGEETEWSDKPTVKVAVTVDAPTVVKLVMERLMES >Manes.18G000500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:313190:317047:-1 gene:Manes.18G000500.v8.1 transcript:Manes.18G000500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNWRWRRWLLVLCTIIVSGGASKPEEPLVTRIAFGSCANQSAPQPIWNAIIDFDPQLFIWLGDNIYGDVRRPFKLFGKERTIGPWKNVPRFVPSSQQEMESRYHKAKTIPGYSRLPQTAKVIGTWDDHDYGLNDAGKEFSRKVNNQRLLLNFLDEPQDSPRRKQEGVYASYIFGPTNRQIKVILLDTRYHRDPLRSDGSVLGSSQWAWLEKELNGPKTTITIIGSSVQVISNLSATTGPLFYLESWGRFPKERNRLFKLILDSKREGIFFISGDVHFGEIYRYDCATGYPLYDVTSSGLSQAVEKAVPGSLSLIVRFVAWLTPTTMRVMNQNCRFRSCTYGQPNFRAIEIDWSTTPVTLKLEVRDVNGYPVTGVKFPLLELQSLSMNSVPSIKLGTHRRHCTLEVNLPWIVRYRLAILFYFSVTGTSYIPLLNY >Manes.18G000500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:311368:317046:-1 gene:Manes.18G000500.v8.1 transcript:Manes.18G000500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSQVIGTWDDHDYGLNDAGKEFSRKVNNQRLLLNFLDEPQDSPRRKQEGVYASYIFGPTNRQIKVILLDTRYHRDPLRSDGSVLGSSQWAWLEKELNGPKTTITIIGSSVQVISNLSATTGPLFYLESWGRFPKERNRLFKLILDSKREGIFFISGDVHFGEIYRYDCATGYPLYDVTSSGLSQAVEKAVPGSLSLIVRFVAWLTPTTMRVMNQNCRFRSCTYGQPNFRAIEIDWSTTPVTLKLEVRDVNGYPVTGVKFPLLELQSLSMNSVPSIKLGTHRRHCTLEVNLPWIVRYRLAILFYFSVTACRQCFPKCKFD >Manes.18G000500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:311368:317046:-1 gene:Manes.18G000500.v8.1 transcript:Manes.18G000500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNWRWRRWLLVLCTIIVSGGASKPEEPLVTRIAFGSCANQSAPQPIWNAIIDFDPQLFIWLGDNIYGDVRRPFKLFGKERTIGPWKNVPRFVPSSQQEMESRYHKAKTIPGYSRLPQTAKVIGTWDDHDYGLNDAGKEFSRKVNNQRLLLNFLDEPQDSPRRKQEGVYASYIFGPTNRQIKVILLDTRYHRDPLRSDGSVLGSSQWAWLEKELNGPKTTITIIGSSVQVISNLSATTGPLFYLESWGRFPKERNRLFKLILDSKREGIFFISGDVHFGEIYRYDCATGYPLYDVTSSGLSQAVEKAVPGSLSLIVRFVAWLTPTTMRVMNQNCRFRSCTYGQPNFRAIEIDWSTTPVTLKLEVRDVNGYPVTGVKFPLLELQSLSMNSVPSIKLGTHRRHCTLEVNLPWIVRYRLAILFYFSVTACRQCFPKCKFD >Manes.18G000500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:311368:317046:-1 gene:Manes.18G000500.v8.1 transcript:Manes.18G000500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECSQVIGTWDDHDYGLNDAGKEFSRKVNNQRLLLNFLDEPQDSPRRKQEGVYASYIFGPTNRQIKVILLDTRYHRDPLRSDGSVLGSSQWAWLEKELNGPKTTITIIGSSVQVISNLSATTGPLFYLESWGRFPKERNRLFKLILDSKREGIFFISGDVHFGEIYRYDCATGYPLYDVTSSGLSQAVEKAVPGSLSLIVRFVAWLTPTTMRVMNQNCRFRSCTYGQPNFRAIEIDWSTTPVTLKLEVRDVNGYPVTGVKFPLLELQSLSMNSVPSIKLGTHRRHCTLEVNLPWIVRYRLAILFYFSVTVLLLAVIGLMYAFVSACRQCFPKCKFD >Manes.18G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:311368:317046:-1 gene:Manes.18G000500.v8.1 transcript:Manes.18G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNWRWRRWLLVLCTIIVSGGASKPEEPLVTRIAFGSCANQSAPQPIWNAIIDFDPQLFIWLGDNIYGDVRRPFKLFGKERTIGPWKNVPRFVPSSQQEMESRYHKAKTIPGYSRLPQTAKVIGTWDDHDYGLNDAGKEFSRKVNNQRLLLNFLDEPQDSPRRKQEGVYASYIFGPTNRQIKVILLDTRYHRDPLRSDGSVLGSSQWAWLEKELNGPKTTITIIGSSVQVISNLSATTGPLFYLESWGRFPKERNRLFKLILDSKREGIFFISGDVHFGEIYRYDCATGYPLYDVTSSGLSQAVEKAVPGSLSLIVRFVAWLTPTTMRVMNQNCRFRSCTYGQPNFRAIEIDWSTTPVTLKLEVRDVNGYPVTGVKFPLLELQSLSMNSVPSIKLGTHRRHCTLEVNLPWIVRYRLAILFYFSVTVLLLAVIGLMYAFVSACRQCFPKCKFD >Manes.08G096200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32476048:32476686:1 gene:Manes.08G096200.v8.1 transcript:Manes.08G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNHPKSSVLLHRINTATSSTTTTAAACQKRWSPLSCATPVPETIARYHTRLVGPNQCCSTAVQQIGAPVSTVWSVVRRFDKPQAYKHFVKSCDVIDGDGDVGTLREVHVVSGLPAANSRERLEILDDERHIISFSVVGGEHRLENYKSVTTLHPSPAENGTVVVESYVVDIPPGNTEEDTCVFVNTIVRCNLKSLKQIAENLSGRNKSSS >Manes.12G151000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35914640:35915340:-1 gene:Manes.12G151000.v8.1 transcript:Manes.12G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMSLFITSFLVLMVFSGAFARHHKSPPPEPSPNNLPFSKSPSLHKKYLPPPHHHDESPPSVPDQIYHIPPFPLT >Manes.09G019801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4110708:4124332:1 gene:Manes.09G019801.v8.1 transcript:Manes.09G019801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESLASIFADKVLEKLASHTYQEISIAWGVHEELRKLEDVLTTIKAVLLDAEEKQVEKRELRVWLAKLKNALYDAEDLLDEFECEQQRKQVLKLYGTTAKKVGRFLSPSNPLVFRFKMGHRMKEIRERSDEIASHKAKFHLERKEAMRVIPIERTMTHSFVEASNVIGRDEDKENIIRLLQKPNDSGKTDVIAIVGIGGLGKTELAKLVYNDERVQNNFELKMWVCVSEDFNVKLLTEKIIRCIIEDRENTSNLDMEQLQRNMRETIGDKKYLLILDDVWNDDPMRWNLLNELLCTGANGSKILVTTRSNKVASVMGSVSEYELKGLPHDECMALFTKCAFKAGEEKCYPNLVKVGEEIVRKCKGVPLAVTTIASLLFTQREGRYWKSIRDNGLWQMEQKENDILPALRLSYDHLPAYLKRCFAYCCFYPKDYQYKHLRLIQFWMVHGLVESTNENEELEDIGLRYFQELRSRSFFQDFEEYDIFIRCKMHDLVHDLALSLTQNEFSTITSSTKHISKGVRHLLFLSIPQNLPTLLQGLDHVRTAIFNTEEMSQSALDLCLLRFQYLRMLDMSQVRLKVSLEKIGSLKHLRFLFSHEVSGIKKIPNSFCKLQNLQFLWLGEQFTWLRENIEELPSEIRYLINLRFLIFSTKQKCLAKNGLGCLTSLRFLWIQRSRYLEYLCEDMQGLKHLRTLIITSCKILISLPQSIKYLTALETLHIENCTNLNLTWEVDDQDLAQFSLQQLTLGRLPKLVELPEWLLRGSTNTLQLLKLGRCEKLKKLPAYLHNMISLQQLVIYYCDELSSRCEREVGEDWYKIAHIPKIILGGSEIGSTED >Manes.01G093733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29547830:29549940:1 gene:Manes.01G093733.v8.1 transcript:Manes.01G093733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVVGRDYGNGVLSSSKHGIKTDGFELRGQSWYVATDIPSDLLVQIGVVYFHLHKYPLLCQCEKMNRLIYESRDPDLSKIALDDLPGGVEGFELVAKFCYGIAVDLTAANISGLRCAAEYVEMTEDLDEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENL >Manes.01G093733.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29547830:29549943:1 gene:Manes.01G093733.v8.1 transcript:Manes.01G093733.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVVGRDYGNGVLSSSKHGIKTDGFELRGQSWYVATDIPSDLLVQIGVVYFHLHKYPLLCQCEKMNRLIYESRDPDLSKIALDDLPGGVEGFELVAKFCYGIAVDLTAANISGLRCAAEYVEMTEDLDEVLKSCEKLSPWAENL >Manes.08G010600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1257496:1259788:-1 gene:Manes.08G010600.v8.1 transcript:Manes.08G010600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINVCAYHTLHTSTTNQSIPFPLLIYTQHLPPFDRFKNDSSNQIHSNRFLRPLSNKMGAEQNEAPKGDAEKKPTADAGAAGEKKDDAKVISVYKMNMHCEGCAKKIRRAVKRLEGVEAVKTDCEGNKLTVTGKVDPEKVKARVEEKTKKKVEIVSPQPKKDGGDKKPEEKKPEEKKPEEKKPPKESTVVLKIRTHCDGCISKIKKIILKIKGVDNVAVDGAKDLVTVKGTMEVKEMVPYLKEKLKRNVEVVPPKAEEAKKEGGGEKKEEAKAEKKEEAKAEKKEAKAEKKEDAKAEKKEEAKAEKKEGDGGKKEEAAAAGGGGAKVEVNKMEYYPPPVPIQWFDGAFGQSYAVEPHHGYYAVNQAPGYPMMNHGYGYVQHGYVNQGYVMEPAYHHPMHAPQMFSDENPNACSVM >Manes.04G058040.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:14210156:14210603:1 gene:Manes.04G058040.v8.1 transcript:Manes.04G058040.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPSANDLIIQLTAQLDQAELQGRVLIGEGYDNTNVGQHGEWRFCVVGRLFSDRAVNFDAFQHTMAIAWRPDPGMFVKELDNNVFIF >Manes.08G056400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6182599:6189681:1 gene:Manes.08G056400.v8.1 transcript:Manes.08G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYALGSAFDSKSGQMIMLALLLMVGSFYLGTLFGNNAPIYVSQSFASNSSSAVSSPDLFTFTNKIALAYRKTPLLIPESGMNICPLKFNEYIPCHDILYVNSILPSLDLSRREELESHCPPPERRLFCLVPPPEDYKLPIKWSTSRDYVWRSNVNHTRLAKVKGGQNWVHEKDQLWWFPGGGTHFKHGAPEYIQRLGNMTTNETGDLRSAGVFQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISAIATKQLPYPSSSFDMVHCSRCRVDWHENDGILLKEVNRVLRYNGYFVYSAPPAYRKDKNFPQIWEKLVNLTSAMCWKLIAQKVQTAIWFKQENESCLMHNAEMELIHICDSADDSKPSWKTPLRNCIPRRAAQINAQELPPRPERLSVYSKNLIKIGITEEEFASDAIFWQNQVSNYWKLLNVGETDIRNIMDMNAFLGGFAVALNTLPVWVMNIVPVSMKNTLPAIYDRGLLGAFHDWCQPFSTYPRTYDLLHANHLFSHYRNRGEGCLFEDIMLEMDRVIRPQGYIIIRDEEAISSRIHDLAPKFLWEVKSHSLENKEKKLETVLICRKKFWAII >Manes.03G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22740758:22744715:1 gene:Manes.03G103700.v8.1 transcript:Manes.03G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMHTFQFFSFLALTLLWTPSAAAEGSTRAKDSNPVKGIIGAIIDESSRIGKEERVAMEIAKEDFYSYSNQSLALHIKDSQGDPVRAALAAKELIGTQEVKAILGLQTWEEVSVVADTSSQNQVPILSFADTIPKCAAEKWPFLLQASPNKYKQMKVIAAIVQSWNWHQVTVLYEESMAGGVIPHLYDALREVGAEIRQVIGLSPFAAASSLSEDLETLQREECRVFIVHTSLSLAARLFERANKLKMMETGYVWIITDPITSLVHSVNASIINSMQGIVGVKSYFPETGECFQDFYKRFRKRFSTQYPDEDNNEPGIFAVQAYDACRTMALAINETNLGDQELLATVLHTKYHGLSGNFQFSHKVAMVNTFQIINIMGKSYRELGFWSNDSGFSKTIGEGANYNSSMNELGQVFWPGAPQYTPRGWSLPTSTNPLRIGVPVMSGYTEYVNVQQNLLGNNLFFSGFAIDVFYATLERLPFYLPYNFIPFNRTYDELVEQIHLKNFDAVVGDVSIVSNRYKHAEFTQPYTETGLMLIVPTRSTSCNAWLFMKPFTNSMWALIAAITVYNGFAVWLIERNHCNDLRGSLINQIGVILCLSFTTLFSLHGEKLHSNLSRMSMVVWLFVALVITQTYTANLASVLTVQRLEPTEVDDNSMVGYCRGSFNQKYLVEVLGYDEKRLRPYSRIDEYAQALKNKEIAAAFLEAPLAKVFFGRYCKGFMQVGPTYKVGGFGFAFPRGSPLLASMNEALLKVSESGKLRELENGMLASNKCRDNDMELEDENPSLGPNCFRVLFITTGATSSLALVSYILVKGICMLEHKSIWKLMLAVMKHWRFQKKHFTGRVSNADLHGNSTPDALHPV >Manes.03G103700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22739920:22744715:1 gene:Manes.03G103700.v8.1 transcript:Manes.03G103700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKEDFYSYSNQSLALHIKDSQGDPVRAALAAKELIGTQEVKAILGLQTWEEVSVVADTSSQNQVPILSFADTIPKCAAEKWPFLLQASPNKYKQMKVIAAIVQSWNWHQVTVLYEESMAGGVIPHLYDALREVGAEIRQVIGLSPFAAASSLSEDLETLQREECRVFIVHTSLSLAARLFERANKLKMMETGYVWIITDPITSLVHSVNASIINSMQGIVGVKSYFPETGECFQDFYKRFRKRFSTQYPDEDNNEPGIFAVQAYDACRTMALAINETNLGDQELLATVLHTKYHGLSGNFQFSHKVAMVNTFQIINIMGKSYRELGFWSNDSGFSKTIGEGANYNSSMNELGQVFWPGAPQYTPRGWSLPTSTNPLRIGVPVMSGYTEYVNVQQNLLGNNLFFSGFAIDVFYATLERLPFYLPYNFIPFNRTYDELVEQIHLKNFDAVVGDVSIVSNRYKHAEFTQPYTETGLMLIVPTRSTSCNAWLFMKPFTNSMWALIAAITVYNGFAVWLIERNHCNDLRGSLINQIGVILCLSFTTLFSLHGEKLHSNLSRMSMVVWLFVALVITQTYTANLASVLTVQRLEPTEVDDNSMVGYCRGSFNQKYLVEVLGYDEKRLRPYSRIDEYAQALKNKEIAAAFLEAPLAKVFFGRYCKGFMQVGPTYKVGGFGFAFPRGSPLLASMNEALLKVSESGKLRELENGMLASNKCRDNDMELEDENPSLGPNCFRVLFITTGATSSLALVSYILVKGICMLEHKSIWKLMLAVMKHWRFQKKHFTGRVSNADLHGNSTPDALHPV >Manes.S054016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1847895:1848554:1 gene:Manes.S054016.v8.1 transcript:Manes.S054016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGRSPPRPRMFVNTFAGRAAEQVST >Manes.14G090400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7478740:7480336:1 gene:Manes.14G090400.v8.1 transcript:Manes.14G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAKKMELLLIFPALSICLSVLLTSCGGVQIQEQAMVSKVDEVQFDLPAAALPRKLRVVLDEKATLVNDHGGQGSTSSSNLQREDISGRAYKKEENAMKGSRGTRQEWVEGRDTWQYFTMDYSHVRRRRPIHNKAVPVGP >Manes.02G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2796856:2801919:-1 gene:Manes.02G033600.v8.1 transcript:Manes.02G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSSYSLVAVLFALATLQHICGNTELRALMELKSALDPTKKSLNSWTSDGDPCSGTFEGVACNEHQKVANISLQGKGLSGSLSPAVAELKCLSGLYLHYNSLSGVIPKELANLTELSDLYLNVNNLSGSIPPEVGGMASLQVLELCCNQLTGRIPKEMGSLKRLNVLALQYNRLTDQIPASLGNLGLMRRLDLGFNSLFGPIPPGLANAPQLQELDVRNNSLSGMVPSALQRLKGGFQFENNKDLCGVGFQKLRACTPFDNININQVVPQGQITSNTTDYTPASAVIDAPCNQSSCSSSSKFPQVAIAAGVITATVILAGGGFLITLFFRRKKQKIGNTSESSVGQLCSSDLATKFHRGGASSLVSLEYSSGWDPFGVCRNGIEVFADSLNNFRFNLEEIESATRCFSEVNLLGKSSFSSVYKGILRDGSLVAVRSINITNCKSEEDEFVKGLNLLTSLRHENLVRLRGFCCSRGRGECFLIYDFAPKEDLSKYLDIEDGSNHVLDWSTRASIINGIANGIKYLHSREANKPAIVHRRISAEKVLLDQQFTPMIADSGLPKLLADDIVFSALKISAAMGYLVPEYVTTGHFTEKSDIYAFGVIILQILSGKQMLTNPMLVAASSCRYEDFIDTNLKGNFSESEVAKLAKIALACTQELPEHRPSMEAVIQELNKSDDGS >Manes.06G006600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1133689:1135452:1 gene:Manes.06G006600.v8.1 transcript:Manes.06G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFGTPDIVAAFLSFLFLFLLLWPWWCSRITNSILIDWPVFGMIPELLYNLSRVHDFATFLLQQSRGTFFFKGPWFSGMDFLLVGDPMNVHHILSKNFANYPKGPEFKQIFEPLGDGIFNSDFDNWSIQRRIFHCLLLKNDKFELAVEITLKQKILQSLLPIMENVSQVDIQDVFQRFTFDNICQLVLGFDPNSLSIEFPQIPYQQAFDDMGETFIYRHAVPGSIWKMQKWLQIGKEKKFKQAWKIFDEFLEQCLTRKREQLSQNCRDQIEGEGFDLLTYFLAEDDDFEATAAKIGIQTKSNKFLRDMAFNLFLAGRDTIGASLIWLFWLVGTHPSVEKKILEEIKENLGEKTNEKRRVFSTEEVRKLVYLHAVICEVLRLYPSVPFEHKVSIEEDILPSGHNVPKNMRILFSFYSMGRMEEIWGKDCLEFKPERWISERGRIKHVPSYKFVAFNAGPRSCLGKELAFIQMKTIVSFVIWNYSLQVVENQSVSPSVSLLLYMKKGLKVRVFKRFGP >Manes.15G126800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10095205:10099264:1 gene:Manes.15G126800.v8.1 transcript:Manes.15G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHVPCLALLLMLTASWAEDSLISPSRLEMFVDELPDMPRIHGFDVVNGVPKPKRLRIGMFKKEWKFHRDLPPTPVFAYGVSKQNATVPGPTIEAMHNVDTYVTWKNHLPSKHILPWDPTIPTAIPTTKKGIPTVVHLHGSIGEPESDGHAESWFTNRFAEKGPTWSKKTYHYHNFQQPGNLWYHDHAMGLTRVNLLAGLVGAYIIRHPEVEAPLGLPFGDELDRILMVFDRSFRTDGSIYMNSTGNNPSIHPQWQPEYFGDAIIVNGKAWPRLTVRRRKYRFRIINASNARFFRFFFTNGLQFIHVAADSVYLEEPVATNETLLAPSEVADVVVDFSKSKSNTVVLANNANYPFPDGDPVNEANGKVMKFIIKQNQEVDTGRVPKKLIAYPSPDLSSVSQTRYIALYEYVSDTDEPIHLFINAKSYEEPVTETPKEGTTEIWNVINLTEDNHPLHIHLGLFVVMDQTKLINIEQFKACMMKLNDAIKCQIDKYARGKKLKVQPQEKGWKNVYKMTPGYLTKIVVRFAYIHTNASYAFDATAEPGYVYHCHILDHEDNVMMRPLKIIH >Manes.08G035100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3414263:3415327:1 gene:Manes.08G035100.v8.1 transcript:Manes.08G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLMWIVNDSARKASLKKRRIGLLKKVSELTTLCGVSAFVIIYSPEEKEPMLWPSRQVVQQMLMRYQSIPEIERSKKMMNQESYLKERIAKLQEQLRKHQKKNREIELTYFMDRLHHGNGMDDLEVNEVQEFIWLLEEKMKDVRKRVEYFQQAPALPAGFFPLASHGGPTIEAMGHQEGGSSGAGDIMRNSPIDGMLWDQWFIDMMNNNENIAGGGTRDDTCHPLGFNINETPGAGGVDLRFVHVHPGNFGGTGDGNMIEQGLPQGNMNMAVNPYDLGFFPHGNPIGGNPFGMELKLHDNVTGGVELPAPPSSTTGGRSDVGLPGFFTSGSDIGLPYDVTKPWPHNLSP >Manes.02G128700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9745142:9753147:1 gene:Manes.02G128700.v8.1 transcript:Manes.02G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTTSTVYVHVIEDVINKVRDEFINNGGPGESVLNELEGLWEMKMIQAGVICGPIDRSSTSKQASGAPITPVHDLNVPYEGTEEYETPTAEMLFPPTPLQTPIQTPLPGSAQTPLPGNVPTPLPGNVQTPLPGSVDNSSIYNIPTGPTSEYSTPASDTGGSTEAKAGRPSHYMQPPSPWMNQRPPLDVNIAYVEGRDEADKGASQQTLTQDFFMMSSGKRKREDFGTQYKNGEFIPQQDGAGDAYSEALQASQGNGSLGRHDIITNANISIISHVTRQTLKISQVDGPIPDPYDDVLSTPNIYNYQGVVNEDYNIANTPAPNDLQASTPAVAPQNDAGDDDEDEPLNEDDDDDDLDDVEQGEDINTHHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >Manes.03G090348.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:20122791:20125088:-1 gene:Manes.03G090348.v8.1 transcript:Manes.03G090348.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRMSAASDTSNNTSERRPESIKRVPATKPPFTLAQLKKAIPPHCFQRSLLRSFSYLLYDLSLSILLFSIANFFIHLLPSPLAYLAWPLYWACQGCILTGVWVIAHECGHHAFSDYQWVDDTVGLILHSTLLVPYFSWKISHRRHHSNTGSLERDEVFVPKPLSKIPWYSKYLNNPPGRCLTLAATLLIGWPLYLAFNVSGRQYDRFACHYDPYGPIYSDRERLQIFIADTGIFATTFVLYQIVMAKGLVWLICIYGVPLLIVNAFLVMITYLQHTHPALPHYDSSEWDWMKGALSTVDRDYGVLNKVFHNITDTHVVHHLFSTMPHYNAMEATKAIKHILGEYYQFDATPIYRALWREAKECLYVGPDDEAPNQGVFWYRNKF >Manes.03G090348.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:20122791:20125088:-1 gene:Manes.03G090348.v8.1 transcript:Manes.03G090348.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRMSAASDTSNNTSERRPESIKRVPATKPPFTLAQLKKAIPPHCFQRSLLRSFSYLLYDLSLSILLFSIANFFIHLLPSPLAYLAWPLYWACQGCILTGVWVIAHECGHHAFSDYQWVDDTVGLILHSTLLVPYFSWKISHRRHHSNTGSLERDEVFVPKPLSKIPWYSKYLNNPPGRCLTLAATLLIGWPLYLAFNVSGRQYDRFACHYDPYGPIYSDRERLQIFIADTGIFATTFVLYQIVMAKGLVWLICIYGVPLLIVNAFLVMITYLQHTHPALPHYDSSEWDWMKGALSTVDRDYGVLNKVFHNITDTHVVHHLFSTMPHYNAMEATKAIKHILGEYYQFDATPIYRALWREAKECLYVGPDDEAPNQGVFWYRNKF >Manes.15G039000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3065339:3066896:1 gene:Manes.15G039000.v8.1 transcript:Manes.15G039000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGNGKMEFDYDRRGELKAFDDTKLGVQGLVQAGVANIPRMFIRSPDELSEELHHSSTTHIGLPVIDLGSLSTDGRRKIVDQFRSASEEWGFFQVINHGIPSGVLNNMINAVRKFNELDIDVKKEFYSRDTSKRVRFNSNHDLFQSQRADWRDTFSVSLLRSDHLDPAELPAICRDEAVEFIDQIGKIADTLFELLSEALGLKPDHLKSIECNKGRSLVCHYYPACPEPELAMGVTQHTDNTFLTVLVEDETGGLQVLHDNHWIDVQPIAGGLVVNIGDLLQIVSNDKFKSNVHRVVPSRLPRISAIGFFAGRVAPPARLYGPIKELLSEENPPKYKEVLVSEYVARFFNKRLHEKPSLEDYRL >Manes.18G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9155058:9158787:1 gene:Manes.18G099400.v8.1 transcript:Manes.18G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMWQEESAHHVQYLQQKTYTSLDKPVPTLCKNSPIINPHAIYNPNHHFCFFFSVVFFSPPPPMEAADPRKEHKLTSDHSLPPPPPPPPPSSTSIRKIVAVASIAAGIQFGWALQLSLLTPYVQLLGIPHTWAAFIWLCGPISGMLVQPVVGYHSDRCTSRFGRRRPFIAAGAAFVAVAVFLIGFAADIGQLSGDPVAKSPKPRAIAVFVVGFWILDVANNMLQGPCRALLADLSGANQKKTRTANALFSFFMAVGNVLGYAAGSYTHLYKIFPFTKTKACDVYCANLKSCFFISIVLLLTLTVLALTYVREKQWSAEQGNTTAGDDEDEDGKSESSPMPFFGEIFAALKNLQRPMWILLLVTCLNWIAWFPFLLFDTDWMGREVYGGDSSGTAYQLKLYDRGVRAGALGLMLNSVVLGFTSLGVEALARGVGGVKRLWGIVNFVLAFCLCMTILITKLAESHRRFTTVAGGATIPLPPPGGIKAGALALFAVMGVPQAITYSIPFAMASIFCNTAGAGQGLSLGVLNLSIVIPQMVVSVASGPWDALFGGGNLPAFVVGAVAAAASGIFALTLLPSPQADIPSAKASMPAAFH >Manes.02G117100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8979871:8984139:1 gene:Manes.02G117100.v8.1 transcript:Manes.02G117100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSPPDPKSIPAQNSPDEAATTACCSSSSTITSSSPPVDIPLSWPSDAKLTLDWIRHFSSALDWSSRNLPPSDLPSVLPVSVFDSLVLTASKILHKEPNCVKIDDCLSLPDSAVLVVGDVHGQLHDLLFLLQDAGFPSHNRFFVFNGDYVDRGAWGLETFLLLLAWKVFLPQRVYLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASVIAGHVYTAHGGLFRSVAIPQSKRSKGKKNRRIILDPGAVPLTLGSFQELSTARRSVLDPPWEGLNLIPGDVLWSDPTMRPGLSPNKERGIGLLWGPDCTEEFLKKFHLKLIIRSHEGPDAREKRPGLAGMDEGYTIDHVVESGKLITVFSAPDYPQFQVCLNSP >Manes.02G117100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8979871:8985249:1 gene:Manes.02G117100.v8.1 transcript:Manes.02G117100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSPPDPKSIPAQNSPDEAATTACCSSSSTITSSSPPVDIPLSWPSDAKLTLDWIRHFSSALDWSSRNLPPSDLPSVLPVSVFDSLVLTASKILHKEPNCVKIDDCLSLPDSAVLVVGDVHGQLHDLLFLLQDAGFPSHNRFFVFNGDYVDRGAWGLETFLLLLAWKVFLPQRVYLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASVIAGHVYTAHGGLFRSVAIPQSKRSKGKKNRRIILDPGAVPLTLGSFQELSTARRSVLDPPWEGLNLIPGDVLWSDPTMRPGLSPNKERGIGLLWGPDCTEEFLKKFHLKVVPCLDFLLSCCSCFTIPINFGMLLDDFGYLFLFQF >Manes.02G117100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8979871:8984139:1 gene:Manes.02G117100.v8.1 transcript:Manes.02G117100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSPPDPKSIPAQNSPDEAATTACCSSSSTITSSSPPVDIPLSWPSDAKLTLDWIRHFSSALDWSSRNLPPSDLPSVLPVSVFDSLVLTASKILHKEPNCVKIDDCLSLPDSAVLVVGDVHGQLHDLLFLLQDAGFPSHNRFFVFNGDYVDRGAWGLETFLLLLAWKVFLPQRVYLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASVIAGHVYTAHGGLFRSVAIPQSKRSKGKKNRRIILDPGAVPLTLGSFQELSTARRSVLDPPWEGLNLIPGDVLWSDPTMRPGLSPNKERGIGLLWGPDCTEEFLKKFHLKVVPCLDFLLSCCSCFTIPINFGMLLDDFGYLFLFQF >Manes.02G038501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3165450:3174202:-1 gene:Manes.02G038501.v8.1 transcript:Manes.02G038501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSQIAILPLTRDVIHYLSDSVLFLWWCLSHGGYTSRKGCEKVHWKHVKAIHRKTWHLRPEGKSLLLSPNPRPKEIAI >Manes.02G201301.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19404967:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWLASLPNLKHLWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKRWRHSPMDH >Manes.02G201301.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19404967:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWLASLPNLKHLWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKSDGDILLWIIKHAKAREGYDK >Manes.02G201301.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19404967:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWKATINRD >Manes.02G201301.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19404967:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWKATINRD >Manes.02G201301.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:19413923:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKHHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKVSFYIFYN >Manes.02G201301.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19404967:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWKATINRD >Manes.02G201301.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19406049:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKHHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILS >Manes.02G201301.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19404967:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWLASLPNLKHLWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKSDGDILLWIIKHAKAREGYDK >Manes.02G201301.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19406280:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKHHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWLASLPNLKHLWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKRWRHSPMDH >Manes.02G201301.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19406280:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKHHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWLASLPNLKHLWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKSDGDILLWIIKHAKAREGYDK >Manes.02G201301.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19404967:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWLASLPNLKHLWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKRWRHSPMDH >Manes.02G201301.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19404967:19432420:-1 gene:Manes.02G201301.v8.1 transcript:Manes.02G201301.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQLLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSFSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMETEKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIVYDLLDTVNEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAMSLASRNKQWHTLQSQARINEWQYDHLKCPKLELLQLWHDCQLERLPTNVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNGRLNEMHTIGALVKLEILEIRSYRLGELPAEIGLLKNLRLLNLRRVENLRYIPPVVLSRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYAYLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTFRNCGLVKTLFHPSVAYQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLYVAQQLVQLKHLTILSCKKMEYIVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCVSIPESSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWKATINRD >Manes.17G038964.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20418288:20429093:-1 gene:Manes.17G038964.v8.1 transcript:Manes.17G038964.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDPLIMFGILSRVSFMVEAWSSYIITKAQVLFLMLMTSGFMGDIIIGMMDWSFVEKLVKSERKEGDWWYANVVVPDQVLVLDWVFADGPPQSAIVYDNNQRQDFHAVVAKPIPEGLFSFEEEHQKHRKPQEERQLREEDIRAKAARTTRMKAERKERTLKRFLLYQKHILYTDPLDVQAGTAVTIFYNPANTILNGKSEVWIRCSFNHWTHHNGPLPPQKMLPADNGSHVKSTVKVPLDAYMMDLVFSEREDGGIFDNGDGMDYHIPVFGGTVKEPPMHIVHVAVEMAPIAKVGGLGDVITGISRAVQDLNHSVDIILPKYDCLNLSHVKDFYHQKSYSWGGTEIKVWYGKVEGLSVYFLEPVNGMFSTGCIYGCRNDSERFGFFCHAALEFLLQNELHPDIIHCHDWSSAPVAWLFKDHYMDYGLSKARVVFTIHNLEFGAGHIAKAMAYSDKSTTVSPTYSKEVAGNPAVSPHLRKFHGILNGIDPDIWDPYNDEFIPVSYISENVVEGKRAAKEALQQRSRLKKADIPLVGIITRLTHQKGIHLIKHAIWQTLDRHGQVVLLGSAPDPRVQRDFENLASQLHSSHSDRARLFLTYDEPLSHLIYAGADFILVPSMFEPCGLAQLTAMRYGAIPVVRKTGGLYDTVFDVDHDKERSQAKGLEPNGFNFGGADAAGIDYALNRAISVWFEDREWFNSLCKTVMEQDWSWNKPALDYMELYHAARK >Manes.01G017600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4288892:4293029:1 gene:Manes.01G017600.v8.1 transcript:Manes.01G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTSILLLVSFIYTLAATTTSSSLHRHLLHQPFLPPASTVPPSQPPSLSPQPLLPHSKPKYPFSTTPNIPQNPFFPSFPSPPPPSVSTPTTFPANISSLFFPHPPSPTSHRHLIIAISISLSLLLVAILAALFAFFLYSRRQHHHYATTSDKTLRSDSLRLFPPNSIPSDGSPKPPKLLRRPEVPNTSSEFLYLGTLVNSRSGIDDHQNVANSSNAGIKIGLSSSPYQKLDSPELNPLPPLPRHSYTPTYRSGEVPFRPCKDDEAVTDTEEELFFSPRGSSGGKETIQESPVRVGSSSRRELQGRNFGSRSFNSRTASYPYSNSCSPTNSIPNSSSPLSNLSPISTKSKSPETIISFPAPIQSIKRSTLSSSLSSSPTFSGRDSGNTQNSPERNSVSFGQNNLSPTRIESTSQQFAPTKLTPPPPPPPPSRFWEIPVGVRPAQGVSSGGSGPPVLVTASRPVFVESAMPILANEQLSVERSEETMKPKLKPLHWDKVRASSDRAMVWDQISSSSFQLNEEMIETLFTVNNSNLNVKDNNARRQSLPLQNQENRVLDPKKSQNIAILLRALNVTSAEVCEALLEGNSDTLGTELLESLLKMAPTKEEERKLKEFKDESPFKLGPAEKFLKAVLDIPFAFKRIDAMLYIANFDSEVEYLKRSFETLEAACEELRNSKMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRSEGSRVSGINHNQMAEKTQQSTFQDDVQFQKLGLRVVSGLSGELTNVKKAAAMDSDVLSNEVAKLSIGITKVGEVLKLNEDISLKESTRKFPESMTRFLKKAEEEIVRVQAQEKLALSLVKEITEYFHGNSSKEEAHPFRIFMVVRDFLSILDHVCKEVGKINERTICSSVRPMPGNSNLPPVFPGFTGRQHYGTLDDETLSLSQY >Manes.11G002500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:350178:353398:1 gene:Manes.11G002500.v8.1 transcript:Manes.11G002500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDGASSPLQFFPWMSISPGCGSPYPWPRELKSEERGLCLIHLLNACANHVAAGNVENANICLEQISHLASADGDTMQRIAAYFTVALADKILKKGWPGLHRALTFTKKSLVSEEILVQRLFFELFPFVKIAYVITNQAIIEAMEGEKMVHIIDLNSFEPAQWINLLQTLRARPEGPPHLRITGIHEQKEVLEQMAIRLTEEAEKLDIPFQFHPIVSKLEDLDIESLRVKTGEALAVSSVLQLHTLLAADDEMLKRNSPSESKNPISYNFQRALQINKNQRTLGEWLQKDLVHVPGASPDSALSPLSLAPSPKMGSFLNALWGLSPKLMVISEQESNHNGSSLMERVTEALNFYAALFDCLESTVSRASTERQRIEKMLFGEEIKNIIACEGTDRKERHEKLEKWVLRLELAGFGRVPLSYHGMLQASRLMQSYGYDGYKIKEENGCLVICWHSRPLFSVSAWRFRRYD >Manes.11G002500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:350178:353397:1 gene:Manes.11G002500.v8.1 transcript:Manes.11G002500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEDGASSPLQFFPWMSISPGCGSPYPWPRELKSEERGLCLIHLLNACANHVAAGNVENANICLEQISHLASADGDTMQRIAAYFTVALADKILKKGWPGLHRALTFTKKSLVSEEILVQRLFFELFPFVKIAYVITNQAIIEAMEGEKMVHIIDLNSFEPAQWINLLQTLRARPEGPPHLRITGIHEQKEVLEQMAIRLTEEAEKLDIPFQFHPIVSKLEDLDIESLRVKTGEALAVSSVLQLHTLLAADDEMLKRNSPSESKNPISYNFQRALQINKNQRTLGEWLQKDLVHVPGASPDSALSPLSLAPSPKMGSFLNALWGLSPKLMVISEQESNHNGSSLMERVTEALNFYAALFDCLESTVSRASTERQRIEKMLFGEEIKNIIACEGTDRKERHEKLEKWVLRLELAGFGRVPLSYHGMLQASRLMQSYGYDGYKIKEENGCLVICWHSRPLFSVSAWRFRRYD >Manes.06G047550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:14978147:14978865:-1 gene:Manes.06G047550.v8.1 transcript:Manes.06G047550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDSFLLQITMNSLPTKYETFHINYNALKDRWNIDELSSKLIQEVARLKKQRVHSINLVEQGAGKKVKPKAKNFKKK >Manes.16G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30898679:30905746:-1 gene:Manes.16G104200.v8.1 transcript:Manes.16G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLSPPQVPKELYDINREKLLKSLRQHLTETSRPLHGFVFLQGGEEKSRYCTDHIELFRQESYFAYLFGVTEPGFYGAIDVATGNSILFVPRLPADYAVWLGEIKPLSYFQEKYRVSMVYYTDEIVGVLHKLRKEVCQPLLFLLHGLNTDSNNFSKPAEFEGIEKFETDLTTLHPILTECRVLKSDLELAVIQFACDISSEAHVEVMRKTQVGMKEYQLESIFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRTLEDGDVALFDMGAEYNFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNAVISAMRPGVSWLDMHKLAEKIILDSLKKGCIIVGNVDDMMTERLGAVFMPHGLGHLLGIDTHDPGGYLKGPNRSKEPGLRSLRTARGLEEGMVITVEPGCYFIDALLDPAMGDSNTSKFFNREVIGRFKGFGGVRIESDVHVTANGCKNMTNVPREIWEIEAVMAGAAWPLDKASACSRVNNVNNGSSC >Manes.15G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2922991:2923286:1 gene:Manes.15G037300.v8.1 transcript:Manes.15G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCEGKSSWPELVGFNGEAATVIIMRENPKVKANTVKEGSPVSGDFRCDRVRVFIDDNHIVTVEPKIG >Manes.15G049900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3843055:3848199:-1 gene:Manes.15G049900.v8.1 transcript:Manes.15G049900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYAQSVEARAVDVRPNNSEVPQEQLPGQLEEKSTDYSQGKKKSLRARFKYGIIFLIINLKAWFFRDYGQKFLAQFSYIKACGPEGRDCCHTLGVLRVSLGCFIFFSVMFVTTIKTRKLYEARSTWHSGCWALKLFLLIVSMAVPFFLPSNYIQIYGEVSRIGAGIFLVLQLISVIEFITWWNNYWMPDKEMKGSCSLGLFISKIFYVASVCGIVLMYSFYGRSLKCSLNIFFITWTAILLTVMMAISLHSKVNRGLLSSGIMASYLVFLCWSAIRSEPVNDKCNKQNQADGNSDWTTVLSFLIAICAIVMATFSTGIDSQSFQFRKDKVQQEDDIPYDYGFFHLVFALGAMYFAMLFISWNLNNLARKWSIDVGWTSTWVKIVNEWFAATIYLWKLISPVVREPKVMDLEGSNAAGG >Manes.06G171400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29571079:29577143:1 gene:Manes.06G171400.v8.1 transcript:Manes.06G171400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVACSNLFPALSLLPSKSPSSFLCTNPSLFAKSSQFIGVQGINKRFSSSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGTVAVPDPRLNVLSDLSKSQRTVPASIEFVDIAGLVKGASQGEGLGNKFLSNIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELIFSDLDQIEKRLEKLKKGKAKDSQSKLKEEAEKSALERIQGALMDGKPARYVALSDFEKDAVKHLCLLTIKPVIYVANVAELDLAVPENNPHVKEVRNLASELQSGLVTISAQVESELTELPSEERAEYLKSLGVSDGGLGNLIRATYNLLGLRTYFTSGEKETKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVAAGSLAAAREKGLLRSEGKDYVVQEGDVMLFRFNV >Manes.01G230100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39471097:39483555:-1 gene:Manes.01G230100.v8.1 transcript:Manes.01G230100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQREDHHHHPPPPPPPPPPPPPPPPHPHPHPHPHPHPHLHPHPHPHPHPHPHPYHHPHPHPHPHPPPHPHPHPHPLPHRHHRNNQPDHPEHFPQYYQQEHQHHHFNGHESLAEQNQDLQFNNHDKHYNYDTNYQMSFEPNESYGGGGGGGFCSNARKRGRYHSGRAGSPDHNDEAVNAKLYVAPVPRTTTEEYIRPLFEGHGNVVEVIFPKDKRTGQQQGYCFVKYATIEEADRAIRALNDQHTVPGEAAPIKVRYADGERERLGKVERGRLVKGEREHPGGGEFVDKLYVGSINKQASKQEIEEIFSPYGHVEDVYIARDVLKQSRGCAFIKFSHRDMAVAAIKALNGTFTMRGCDLPLVVRFADPKKRKTGELRGNYASGGQNFCPGYHEPMIRPAPNFGDSMGGCVLPNASYCVQEISSNSQPQTVSHAVELTHGAPHITEPSLPAVKQPPTQLCQMPLQQTQVPQQCLQSSQQAVSEMMKQSPNLEQHQVCWE >Manes.01G230100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39471097:39483555:-1 gene:Manes.01G230100.v8.1 transcript:Manes.01G230100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQREDHHHHPPPPPPPPPPPPPPPPHPHPHPHPHPHPHLHPHPHPHPHPHPHPYHHPHPHPHPHPPPHPHPHPHPLPHRHHRNNQPDHPEHFPQYYQQEHQHHHFNGHESLAEQNQDLQFNNHDKHYNYDTNYQMSFEPNESYGGGGGGGFCSNARKRGRYHSGRAGSPDHNDEAVNAKLYVAPVPRTTTEEYIRPLFEGHGNVVEVIFPKDKRTGQQQGYCFVKYATIEEADRAIRALNDQHTVPGEAAPIKVRYADGERERLGKVERGRLVKGEREHPGGGEFVDKLYVGSINKQASKQEIEEIFSPYGHVEDVYIARDVLKQSRGCAFIKFSHRDMAVAAIKALNGTFTMRGCDLPLVVRFADPKKRKTGELRGNYASGGQNFCPGYHEPMIRPAPNFGDSMGGCVLPNASYCVQEISSNSQPQTVSHAVELTHGAPHITEPSLPAVKQPPTQLCQMPLQQTQVPQQCLQSSQQAVSEMMKQSPNLEQHQGVQINPESAGNENNPPAVNVTSAALAVPPSTLTVDPLECDWSEHSCPDGYKYYYNCTTCESRWEKPDEFISYQLQLQKRQKPNNSSQQLHSLSTSLCGEEVGKMQKDLGHVQIKSDTSPVVDPTCV >Manes.06G031400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:8060285:8063355:1 gene:Manes.06G031400.v8.1 transcript:Manes.06G031400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSAAMVFETDVTALEEALYAQQQLLQKLNAELDVEREAAASAASEALSMILRLQGEKASLKMEASQYKRMAEEKMCHAQEALAIFEDLIYQREMEIASLEFQVHAYRYRLLSMGCNDLDVYEIKFPEDLLMQRHDNLLGEKGTNNNVKRITTVPQAMIKDPNHKRSAIERKKSVTPLPDPPSPVDETMNQEIDDSEKKLASCSTLDLNSYWEEIKKLDERMKEISDSKDHSGRNKSTLWKGGNWPSSLFSQVSIGNCIDIVREASANNSDEENSQAKKVTDHDPACSSSVQDIFEVPQATDYQSRKPCESWKITLGKPDSVTENTLDSPKKHEIERVKPILISTSTNHERKLLKPRNLLSLSRPLIQKVNLGNPPPDYKRLSWRIDRLERERNYARQEIIDGGEELNILKEILDQLKSIESEMKSWRAQKSLLPKEQFLASLQEVHAFSFSLSLSVCVYVELILCTKHVTPCHELVLICMDHDVQYKIDGELLI >Manes.06G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8060285:8063918:1 gene:Manes.06G031400.v8.1 transcript:Manes.06G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSAAMVFETDVTALEEALYAQQQLLQKLNAELDVEREAAASAASEALSMILRLQGEKASLKMEASQYKRMAEEKMCHAQEALAIFEDLIYQREMEIASLEFQVHAYRYRLLSMGCNDLDVYEIKFPEDLLMQRHDNLLGEKGTNNNVKRITTVPQAMIKDPNHKRSAIERKKSVTPLPDPPSPVDETMNQEIDDSEKKLASCSTLDLNSYWEEIKKLDERMKEISDSKDHSGRNKSTLWKGGNWPSSLFSQVSIGNCIDIVREASANNSDEENSQAKKVTDHDPACSSSVQDIFEVPQATDYQSRKPCESWKITLGKPDSVTENTLDSPKKHEIERVKPILISTSTNHERKLLKPRNLLSLSRPLIQKVNLGNPPPDYKRLSWRIDRLERERNYARQEIIDGGEELNILKEILDQLKSIESEMKSWRAQKSLLPKEQFLASLQEAMVCFWM >Manes.03G084616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18957307:18958295:-1 gene:Manes.03G084616.v8.1 transcript:Manes.03G084616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLKRFHNYKLSNLHSSVSSHSTILLQCTVSRWMDRKRRFKFENFLLSKPDIYTIIKDSWEGSKGRGISQRISMCTQNLRFWSKSFNSDFRKEASRIHKDMEAVRGHNDDMSIYIAIV >Manes.08G172700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41091593:41094288:1 gene:Manes.08G172700.v8.1 transcript:Manes.08G172700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKQKKAALYHKLQLLRDVTNSTSMNKTSIIVDASKYIGELKEKVERLNQEIGTSQNQFPMQVTVETLKKGFLINVLSEKNCPGLLVSILEAFEGLSLDVIDARVSCEDNFQLEAVGGDYQGDADSVDAQVVKQAVVQAICNWNESNNQD >Manes.01G213600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38362410:38365091:-1 gene:Manes.01G213600.v8.1 transcript:Manes.01G213600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQLSTPKFHRLIVPSTITHRSSSIVTLASHFPVLYNSKQIRPLRQTQFSTIVFSYQSPSNPNSSQEDDKDDPEAQVQDLQVPENWLLPSKARQESEWLRLTLHKWLDDEYCPEETNVEISKVAAQSYYDSLVEKRTDLGEILLKMVRELQSISYQESFHGAFSSANAAVNLIAQRIELQGPAMGEMLHSHTCASDN >Manes.01G213600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38362511:38365091:-1 gene:Manes.01G213600.v8.1 transcript:Manes.01G213600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQLSTPKFHRLIVPSTITHRSSSIVTLASHFPVLYNSKQIRPLRQTQFSTIVFSYQSPSNPNSSQEDDKDDPEAQVQDLQVPENWLLPSKARQESEWLRLTLHKWLDDEYCPEETNVEISKVAAQSYYDSLVEKRTDLGEILLKMVRELQSISYQESFHGAFSSANAAVNLIAQRIELQGKNL >Manes.01G213600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38361773:38365091:-1 gene:Manes.01G213600.v8.1 transcript:Manes.01G213600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQLSTPKFHRLIVPSTITHRSSSIVTLASHFPVLYNSKQIRPLRQTQFSTIVFSYQSPSNPNSSQEDDKDDPEAQVQDLQVPENWLLPSKARQESEWLRLTLHKWLDDEYCPEETNVEISKVAAQSYYDSLVEKRTDLGEILLKMVRELQSISYQESFHGAFSSANAAVNLIAQRIELQGPAMGEMLHSHTCASDN >Manes.01G213600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38364393:38365043:-1 gene:Manes.01G213600.v8.1 transcript:Manes.01G213600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSQLSTPKFHRLIVPSTITHRSSSIVTLASHFPVLYNSKQIRPLRQTQFSTIVFSYQSPSNPNSSQEDDKDDPEAQVQDLQVPENWLLPSKARQESEWLRLTLHKWLDDEYCPEETNVEISKVAAQSYYDSLVEKRTDLGEILLKMVRELQSISYQESFHGAFSSANAAVNLIAQRIELQGKNL >Manes.03G184000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30510429:30511888:-1 gene:Manes.03G184000.v8.1 transcript:Manes.03G184000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKPGCNNNTCAQFPDNTVTFSGTIGEVGQDVVSIQSTDGSTLGRVVSVPKLIFTCGSTLLLEGLASGVKGMAGLGRTRISLPSQFSAAFSFHRKFAICLSSSTNEKGVVFFGDGPYVLLPGIDVSKFLIYTPLIINPVSTSSSSFEGEPSAEYFIGVKSIEINGKAVPLNSSLLSINKQGFGGTKISTVVPYTVMETSIYNAVTNAFMKELARVPRVAPVAPFGACFKSTNIGSTRLGPAVPQIELIFQRGGGWTIFGANSVVQVKRDVMCLGFVDGGVNPRTSIVIGGRQLEDNILQFDLAASKLGFSSTLLFRRTNCANFNFTSLA >Manes.04G048200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5585286:5594953:1 gene:Manes.04G048200.v8.1 transcript:Manes.04G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSQNRSFYNSLIQFSQQKSLQKGQALHAQIIKDTSSSSCIYLANGLVNFYAKCGHLPKAKLIFQQIRDKDVISWNCLINGYSQRGHSGSSIVMDLFQRMRAENTFPNAHTFAGVFNAASNLSCTLGGQQAHALAIKMASFYDVYVGSSLLNMYCKAGLHLEARKVFDIMPERNAVTWATMISGYAIQRLAREALRLFEWMLKEDEDLNEFVFTSVLSALAIPEFIDSGKQIHCLAVKSGLLAFVSTLNALVTMYAKCGSLDDSLQVFETSGSKDSITWSAMITGYAQSGDSQKALKLFSKMHFCGIKPSEFTLVGVLNACSDIGADEEGKQVHSYLLKLGFEFQMYIMTALVDMYAKCGCTADARKGFDYLQEPDVVLWTSMIAGYVQNGENEDALSLYGRMQMEGILPNELTMASVLKACSSLAALDQGRQIHARTIKYGLSLEVPVGSALSTMYAKCGSLDEGNIVFKRMPERDTVSCNAMISGLSQNGYGKEALDLFEEMLLEGTKPDDVTFVNVLSACSHMGLVEEGWAYFKMMFHGFGIVPRVEHYACMVDVLSRAGKLKEAKEFIESATIDHGMCLWRILLSACRNYRNYELGAYAGEKLMALGSQESSAYVLLSSIYTALGRPEDVERVRSTMRVRGVSKEPGCSWIELKSRVHVFVVGDQIHSHIGEIRLELRRLRKHMKDEGYQPASTNFDLN >Manes.04G048200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5587007:5595060:1 gene:Manes.04G048200.v8.1 transcript:Manes.04G048200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSQNRSFYNSLIQFSQQKSLQKGQALHAQIIKDTSSSSCIYLANGLVNFYAKCGHLPKAKLIFQQIRDKDVISWNCLINGYSQRGHSGSSIVMDLFQRMRAENTFPNAHTFAGVFNAASNLSCTLGGQQAHALAIKMASFYDVYVGSSLLNMYCKAGLHLEARKVFDIMPERNAVTWATMISGYAIQRLAREALRLFEWMLKEDEDLNEFVFTSVLSALAIPEFIDSGKQIHCLAVKSGLLAFVSTLNALVTMYAKCGSLDDSLQVFETSGSKDSITWSAMITGYAQSGDSQKALKLFSKMHFCGIKPSEFTLVGVLNACSDIGADEEGKQVHSYLLKLGFEFQMYIMTALVDMYAKCGCTADARKGFDYLQEPDVVLWTSMIAGYVQNGENEDALSLYGRMQMEGILPNELTMASVLKACSSLAALDQGRQIHARTIKYGLSLEVPVGSALSTMYAKCGSLDEGNIVFKRMPERDTVSCNAMISGLSQNGYGKEALDLFEEMLLEGTKPDDVTFVNVLSACSHMGLVEEGWAYFKMMFHGFGIVPRVEHYACMVDVLSRAGKLKEAKEFIESATIDHGMCLWRILLSACRNYRNYELGAYAGEKLMALGSQESSAYVLLSSIYTALGRPEDVERVRSTMRVRGVSKEPGCSWIELKSRVHVFVVGDQIHSHIGEIRLELRRLRKHMKDEECGSNNTTRSLGISTRLLCIGQHCQVPEATEDSMKGALQGPHQAMSNDAFKCRN >Manes.12G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8548666:8554672:1 gene:Manes.12G075100.v8.1 transcript:Manes.12G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKSFFSFIFIGVILNGFVSCQRPKFVNIGAVFTFDSVIGRAARPAIEAAVSDINKDTRILKGTELRLFMEDAKCNIFLGSIGAFQVLEKHVVAIIGPQSSGIAHMISQIANGLQVPLISYAATDPTLSALQFPFFVRTTQSDSYQMAAMADLIDFYGWKEVIGIYVDDDSGRNGIAALDDELEKKMAKMYKLRLSVNFDETEIMNLLKQSKLLGPRVYVVFVNPDPKLRIFTIAQKLQMMDDNYVWLATDWLSTTLDSISHINQTSFSALQGVVGLRQHIPDSSQKKAFLSRWKLMQQNGSASSELNTYGLQAYDTVWAVAYAIDWFLNEFKNITFSSPDKLHDIKTSHLRLGELKVFSNGSSLLNKILQTNFTGLSGRMQFNNDRNIENGGFDVINIENMSIHTVGYWANISGFSLLAPETRQGDQTNYSRVDQKLQKIIWPGGTLERPRGWVIADNEKPLRIGVPHRVSFVDFVTEVNKSHKIEGYCIDVFLEARKLVPYDVPYRFEAFGDGRSNPNYNELIRMVEEDVFDAAVGDIAIITNRTKIVDFSQPYASTGLVIVAPVRNSKSSAWVFLKPFTVEMWCVIAASFVMIAVVIWILEHRVNDEFRGPPRRQIITMFMFSFSTLFKTNQETTVSPLGRMVMVVWLFLLLVITASYTASLTSILTVQQLSSPITDIDSLIATNWPIGYQEGSFVYGYLSESLYIPRSRLISLGSPGEYEKALRLGPNGGGVAAIIAELPYVEIFLTHQSEFGIIGRPFTRGGWGFAFQRESPLAVDISTAILKLSETGELQRIRNRWFCKQGCPEDKRQNSDPNQLHLTSFWGLYLLCGIFAIVALLAFLLRVVRQFVRYKRRQMQLASPSVISSNTRCSQVIFHFFDFIDEKEEAIKKMFTQHENPTSHTSLER >Manes.15G179716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:17100308:17102976:1 gene:Manes.15G179716.v8.1 transcript:Manes.15G179716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQANKYYFVVANAKFMLDEEEHFKELLFERHRNYGERNKEQDFWLVIEPKFLDKFPNISKRLKRPAVALVSTNGPWITYEDFLEYKAPAKYAKIHAAVSIWWW >Manes.18G085100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7880671:7885585:1 gene:Manes.18G085100.v8.1 transcript:Manes.18G085100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAKLRSEDLNQCFERLMMVGGGNSAIAGGISHGGVKLEGVVITEWKDIPMELLLRIVSLVDDRTIIMASGVCSGWRDAICLGLTHLCLSWCKNNMNNLLLSLAPKFTKLETLVLRQDKQQLEDNAVEAIASYCHDLQDLDLSKSSKLSDRSLYALAHGCPNLTKLNISGCTAFTDGALEYLTRFCRKLKSLNLCGCVNAATDRALQAIGQKCSELQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVYITDDSVIALAYRCLHLRSLGLYYCRNITDRAIYSLVHSRVKNKPPLWQTMKGRSDEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSGRHSLVMSGCLSLTSVHCACAVQAHRTMSYIPNQAH >Manes.18G085100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7880671:7885585:1 gene:Manes.18G085100.v8.1 transcript:Manes.18G085100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAKLRSEDLNQCFERLMMVGGGNSAIAGGISHGGVKLEGVVITEWKDIPMELLLRIVSLVDDRTIIMASGVCSGWRDAICLGLTHLCLSWCKNNMNNLLLSLAPKFTKLETLVLRQDKQQLEDNAVEAIASYCHDLQDLDLSKSSKLSDRSLYALAHGCPNLTKLNISGCTAFTDGALEYLTRFCRKLKSLNLCGCVNAATDRALQAIGQKCSELQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVYITDDSVIALAYRCLHLRSLGLYYCRNITDRAIYSLVHSRVKNKPPLWQTMKGRSDEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSGRHSLVMSGCLSLTSVHCACAVQAHRTMSYIPNQAH >Manes.18G085100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7880671:7885585:1 gene:Manes.18G085100.v8.1 transcript:Manes.18G085100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAKLRSEDLNQCFERLMMVGGGNSAIAGGISHGGVKLEGVVITEWKDIPMELLLRIVSLVDDRTIIMASGVCSGWRDAICLGLTHLCLSWCKNNMNNLLLSLAPKFTKLETLVLRQDKQQLEDNAVEAIASYCHDLQDLDLSKSSKLSDRSLYALAHGCPNLTKLNISGCTAFTDGALEYLTRFCRKLKSLNLCGCVNAATDRALQAIGQKCSELQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVYITDDSVIALAYRCLHLRSLGLYYCRNITDRAIYSLVHSRVKNKPPLWQTMKGRSDEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSGRHSLVMSGCLSLTSVHCACAVQAHRTMSYIPNQAH >Manes.01G238300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40036868:40044984:1 gene:Manes.01G238300.v8.1 transcript:Manes.01G238300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLDTHSVPDPIHEEIITPPKVGFRFHPTDEEIIGYYLKHKMNGKDSLVNHIIGEIDLCQCEPSDIPELCLISSNDKVWYFFNRPDYKYSNSKRANRMTRAGFWKITGKIRYVKAGDTGEEIGSKRSLVFYSKVHDSKPLRTNWVIHEYQSTNILAHQSHFVLCKLKHKADEIIDHSPPDEGEPIRPKDAEIENHAAQVAADAEQVRQSRICPAGMDPAGLKSQMHLKQGVSDEHSLRTNCCDNLHKGLDLHYTSDDDVDPIKLADSFLALDEYSTEKYADVSSNACRLPKPLSRSDLDTEDVGHTKLRQTVVTPSETQPFGQGMGDPYFVAVTGARAEGLSAATLDEVNHIGLAYKPTANRGVAQFASLVSMDEALEFKENCLSGQGQGPCYSPKQINCLELVREEFPKREQSLKCRNFTEDELKSSRVSRVRNLSCRYKKRKPDLNLRSSGSSDNNWEFRPHSSSVYISSSKHRPHSSSVYIVNAVVGLVLLIFIVREMQIRH >Manes.01G238300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40036868:40044983:1 gene:Manes.01G238300.v8.1 transcript:Manes.01G238300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLDTHSVPDPIHEEIITPPKVGFRFHPTDEEIIGYYLKHKMNGKDSLVNHIIGEIDLCQCEPSDIPELCLISSNDKVWYFFNRPDYKYSNSKRANRMTRAGFWKITGKIRYVKAGDTGEEIGSKRSLVFYSKVHDSKPLRTNWVIHEYQSTNILAHQSHFVLCKLKHKADEIIDHSPPDEGEPIRPKDAEIENHAAQVAADAEQVRQSRICPAGMDPAGLKSQMHLKQGVSDEHSLRTNCCDNLHKGLDLHYTSDDDVDPIKLADSFLALDEYSTEKYADVSSNACRLPKPLSRSDLDTEDVGHTKRVRKYQLRQTVVTPSETQPFGQGMGDPYFVAVTGARAEGLSAATLDEVNHIGLAYKPTANRGVAQFASLVSMDEALEFKENCLSGQGQGPCYSPKQINCLELVREEFPKREQSLKCRNFTEDELKSSRVSRVRNLSCRYKKRKPDLNLRSSGSSDNNWEFRPHSSSVYISSSKHRPHSSSVYIVNAVVGLVLLIFIVREMQIRH >Manes.16G071500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:27288037:27289839:1 gene:Manes.16G071500.v8.1 transcript:Manes.16G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQRPKLKTQPRPFFSCGFFRHCAQTALSPTAPHSPSLPLSSSAPPPPLPPSPPPPPASLTLVLPPQPQAQRPLLKASQPESSSSSSSSASQSFTQWRFPLAISPLHHHSPPESLTQPKTDPTPDSLPSVPQPLPPPIHSAKLQEIFHVAELQLSSGSESEKLDALHLLERSLVPNPPTEPVCPLEVMRGVVVNLKNKAGAKLATKILLALCLAEENRHVAVKAGTVGAVVEVAMELEGPAAERALAALELACTVEEGAAELRAHALAMPVMVAKMGEMEGRGREYAISALSVIYGGGASQEPVQHAPPEEVARAVVLALQGDCTARARRKGAQLLKALQDYGRLDSTLNGNEGL >Manes.05G081700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6711274:6714375:1 gene:Manes.05G081700.v8.1 transcript:Manes.05G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLHDIGPPPFLTKIFEMVDNPITNHVISWSAGGTSFVVWDPHSFSTDLLPRYFKHNNFSSFVRQLNTYGFKKIDPDRWEFANEGFLRGHKHLLRNIKRRKAPPSQPLPQQQALGSCVEVGRFGLDREIERLKREKQILIMELMKLKHQQQNTNAYIQAMEQRIQSTQIKQQQTMQFLARAVQNPAFLQQLAQLKDQREELEEAMTKKRRPIDQGGSSTAESSCGDGGRNPIKAEPLELGDYVFKVSELETLALEMQGNGAARKRQEEEEGGSPEIEDRELDEEFWDELLSGNNGGGEDINVSAERLGYLGYSPE >Manes.14G069400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5798251:5802007:-1 gene:Manes.14G069400.v8.1 transcript:Manes.14G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGGTTQKCKACEKTVYLVDQLTADNKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGTPKTVRVDRSADQVNSNSKVSSLFAGTQDKCVACKKTVYPLEKVAVDGSSYHKACFRCTHGGCVISPSNYVAHEHRLYCRHHHNQLFKEKGNFSQLDRQDQAKPVTETAAAE >Manes.14G069400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5797372:5802003:-1 gene:Manes.14G069400.v8.1 transcript:Manes.14G069400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGGTTQKCKACEKTVYLVDQLTADNKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGTPKTVRVDRSADQVNSNSKVSSLFAGTQDKCVACKKTVYPLEKVAVDGSSYHKACFRCTHGGCVISPSNYVAHEHRLYCRHHHNQLFKEKGNFSQLDRQDQAKPVTETAAAE >Manes.06G174200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29791961:29794905:-1 gene:Manes.06G174200.v8.1 transcript:Manes.06G174200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLNEIDTAHQMFRDGQYEQALGFYTQALSMAKTKAQKIALHSNRAACFLKLHDFKKAAEECTSVLELDHKHAGALMLRAQTLVTLKEYHSALFDVNRLMELNPSSEVYRNLEARLRTQLSLAPIPESDAELEEEDEKDEAELCRHEEEQGGEEDAATAIARNNQQTQPCKTTVNPEVDVPKIPKNSWSSEISREQKFEPRKTIAAEVIAQAQRKVEPRKTLAAEVIAQAQRKKESSDQHSKGWQAIPKPKGHSALDYARWDRVEDDSSEEDDEEDDEDSPPQYRFRVRTVGVRAVK >Manes.12G147400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36960092:36976824:1 gene:Manes.12G147400.v8.1 transcript:Manes.12G147400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISIFQPQKVSVKFHHKKHSFLSCPSSISVPKPSTSLPIFPICQSTRSQTGPVKKGSSSSSSASANQKKRKKRSKTGGSDNLRDVEIVKGEVDNDNDEDSYTYSNSSSNSRSLSYLPNTPLPKPPAGFVVDESGRVLMASDKRIVTIVDSSNNHPLECIIRRVFRSSQGDECMLLCPVDTPVQILKSKNIDGWSAVSDEEVEAILPAAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRTDDGQDVDGLPTEGVEIACFHLDGSHYMIYTPSDPLLFVAVKDQDGQLQIADDVSIIHLELMIKIPNL >Manes.12G147400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36960063:36976824:1 gene:Manes.12G147400.v8.1 transcript:Manes.12G147400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISIFQPQKVSVKFHHKKHSFLSCPSSISVPKPSTSLPIFPICQSTRSQTGPVKKGSSSSSSASANQKKRKKRSKTGGSDNLRDVEIVKGEVDNDNDEDSYTYSNSSSNSRSLSYLPNTPLPKPPAGFVVDESGRVLMASDKRIVTIVDSSNNHPLECIIRRVFRSSQGDECMLLCPVDTPVQILKSKNIDGWSAVSDEEVEAILPAAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRTDDGQDVDGLPTEGVEIACFHLDGSHYMIYTPSDPLLFVAVKDQDGQLQIADDDLLEDPAIISAIDEETEFNALVEEEAALLESLMGER >Manes.12G147400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36960063:36978024:1 gene:Manes.12G147400.v8.1 transcript:Manes.12G147400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISIFQPQKVSVKFHHKKHSFLSCPSSISVPKPSTSLPIFPICQSTRSQTGPVKKGSSSSSSASANQKKRKKRSKTGGSDNLRDVEIVKGEVDNDNDEDSYTYSNSSSNSRSLSYLPNTPLPKPPAGFVVDESGRVLMASDKRIVTIVDSSNNHPLECIIRRVFRSSQGDECMLLCPVDTPVQILKSKNIDGWSAVSDEEVEAILPAAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRTDDGQDVDGLPTEGVEIACFHLDGSHYMIYTPSDPLLFVAVKDQDGQLQIADDDLLEDPAIISAIDEETEFNALVEEEAALLESLMGER >Manes.12G147400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36960092:36976824:1 gene:Manes.12G147400.v8.1 transcript:Manes.12G147400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISIFQPQKVSVKFHHKKHSFLSCPSSISVPKPSTSLPIFPICQSTRSQTGPVKKGSSSSSSASANQKKRKKRSKTGGSDNLRDVEIVKGEVDNDNDEDSYTYSNSSSNSRSLSYLPNTPLPKPPAGFVVDESGRVLMASDKRIVTIVDSSNNHPLECIIRRVFRSSQGDECMLLCPVDTPVQILKSKNIDGWSAVSDEEVEAILPAAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRTDDGQDVDGLPTEGVEIACFHLDQDGQLQIADDDLLEDPAIISAIDEETEFNALVEEEAALLESLMGER >Manes.12G147400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36960092:36976824:1 gene:Manes.12G147400.v8.1 transcript:Manes.12G147400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISIFQPQKVSVKFHHKKHSFLSCPSSISVPKPSTSLPIFPICQSTRSQTGPVKKGSSSSSSASANQKKRKKRSKTGGSDNLRDVEIVKGEVDNDNDEDSYTYSNSSSNSRSLSYLPNTPLPKPPAGFVVDESGRVLMASDKRIVTIVDSSNNHPLECIIRRVFRSSQGDECMLLCPVDTPVQILKSKNIDGWSAVSDEEVEAILPAAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRTDDGQDVDGLPTEGVEIACFHLDGSHYMIYTPSDPLLFVAVKDQDGQLQIADDDLLEDPAIISAIDEETEFNALVEEEAALLESLMGER >Manes.12G147400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36960092:36976824:1 gene:Manes.12G147400.v8.1 transcript:Manes.12G147400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISIFQPQKVSVKFHHKKHSFLSCPSSISVPKPSTSLPIFPICQSTRSQTGPVKKGSSSSSSASANQKKRKKRSKTGGSDNLRDVEIVKGEVDNDNDEDSYTYSNSSSNSRSLSYLPNTPLPKPPAGFVVDESGRVLMASDKRIVTIVDSSNNHPLECIIRRVFRSSQGDECMLLCPVDTPVQILKSKNIDGWSAVSDEEVEAILPAAAYALAKIHMHLVHSGFCYTARGGFCYSEDDIFDFRTDDGQDVDGLPTEGVEIACFHLDQDGQLQIADDDLLEDPAIISAIDEETEFNALVEEEAALLESLMGER >Manes.01G117501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31451797:31452118:-1 gene:Manes.01G117501.v8.1 transcript:Manes.01G117501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLESSATVGLSKQHQNNIKTYSEKSLASDGYERETRAMAVRETRRMERRDSSFGEWVAGGWLWDWRLGGWVAMWMAGWVAGGWLWDW >Manes.12G112400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30992674:30994367:1 gene:Manes.12G112400.v8.1 transcript:Manes.12G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSSADEKWQLSGSHVEEEEEEEEEEEEEEEEEEALSLCDLPVNLIKEENQSSEEAVAVRETEASHEDFDFGPCCGSQFTSSEMCAADDIFFQGQILPFRLSVSSESGLNKSRQESLNPSRCISRSESMDHGSIGGFTSFSSRSSSSRSQSQFSSSSTSTRISEPRIQNQFHTHPSPKPQIRLHSSSKGNASSNRNRKSNIWDFFKLGLVHTPEIELQHLKNRTPVSRNSSSSSSNSNSSVRISSNNRVKISSSSSRRRQGENMEKQRKQRKQSFLEKKSGGLLSGCSCTVSAVKPVPINIIIIKNSNNEKSKEKELQELKIHKKKMKKMVEKQQQQQHKQQGKQAMSHHRTFEWIKELSTASFVVNHEKEKGLYS >Manes.05G128101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22426988:22427941:-1 gene:Manes.05G128101.v8.1 transcript:Manes.05G128101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWYRRVSRRWISVKGAALGSAEDGVEHMHAMATNPTTGSMTSICDLLQSVSFCMMEERRQTQFPAPNPAPAPPHITTDPDDPPIPDSVSRGSRDRNCGHGRGRRRDHAEAVERDSDVHPVPPPIQYHQSQAEYEHAPSSSNVPPRSSQVPSVYYPSQSQFMGWTPGSNTMPSFTPGAYGIPFMPIGSMFPAFPPEPAHDASTSRPFHMSGGTTENVFAGYNPGLGYGQAGVSHFGQSDPAGSVVQHPDVSAPTQDDLEHQQFLAPGPWQGRLRAPHERRHRGCGTGDHD >Manes.11G038200.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3667955:3671892:1 gene:Manes.11G038200.v8.1 transcript:Manes.11G038200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGHSLTFSITNFSIFTFLVTRSFCSKARANRYASLLQLCCLQSSISCSFDRAVHAHMIASGFRPRGHTLNRLINIYCKSSKLAYARHLFDEIPQPDIVARTTLISAYSAIGDLKLAKEIFNGTLLLMRDTVFYNAMITAYSHNNDGHAAIELFRDMRRNDFSPDNFTFTSVIGALALVAENERHCQQLHCAVVKSGTGFVTSVLNALISAYVKCASSPSVSSSSLMGAARRLFDDMVERDELSWTTIITGYVKNDDLDAAREFFDGGGMCEEMVVAWNAMISGYMHRGLYKEALEMFRKMYLSGMRLDEFTYTSIISVCANAGFFQLGKELHAYILKTEVNPSPDFSLPVNNALVTLYWRCGRVDEAREIFNYMPVKDLVSWNALLSGYVNAGRINEAQSFFKEMPEKNILTWTVMISGLAQNGFAEEGLKLFNQMKILGFEPCDYAFAGAITSCAVLGTLEHGRQLHAQLIRFGFDSSLSAGNALITMYARCGVVEAAESLFLTMHCVDSVSWNAMIAALGQHGHGIQAIELFEEMLAEGILPDRISFLTVLSACSHAGLVEKGHHYFNSMYSVYGITPGEDHYARVIDLLCRAGKFTEAKSVMESMPCEPGVSIWEALLAGSRIHGIMDIGIKAAERLFELKPEHDGTYVQLSNMYAVAGRWVDVAKVRKLMRDRGVKKEPGCSWLEVESMVHVFLVDDAVHPEVHAVYNYLDQLDQEMRKLGYVPDTKFVLHDMEFDQKEYALSTHSEKLAVAFGLMKLPRGATVRVFKNLRICGDCHNAIKYMSKVVGREIVVRDGKRFHHFRNGECSCGNYW >Manes.11G038200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3668265:3671892:1 gene:Manes.11G038200.v8.1 transcript:Manes.11G038200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGHSLTFSITNFSIFTFLVTRSFCSKARANRYASLLQLCCLQSSISCSFDRAVHAHMIASGFRPRGHTLNRLINIYCKSSKLAYARHLFDEIPQPDIVARTTLISAYSAIGDLKLAKEIFNGTLLLMRDTVFYNAMITAYSHNNDGHAAIELFRDMRRNDFSPDNFTFTSVIGALALVAENERHCQQLHCAVVKSGTGFVTSVLNALISAYVKCASSPSVSSSSLMGAARRLFDDMVERDELSWTTIITGYVKNDDLDAAREFFDGGGMCEEMVVAWNAMISGYMHRGLYKEALEMFRKMYLSGMRLDEFTYTSIISVCANAGFFQLGKELHAYILKTEVNPSPDFSLPVNNALVTLYWRCGRVDEAREIFNYMPVKDLVSWNALLSGYVNAGRINEAQSFFKEMPEKNILTWTVMISGLAQNGFAEEGLKLFNQMKILGFEPCDYAFAGAITSCAVLGTLEHGRQLHAQLIRFGFDSSLSAGNALITMYARCGVVEAAESLFLTMHCVDSVSWNAMIAALGQHGHGIQAIELFEEMLAEGILPDRISFLTVLSACSHAGLVEKGHHYFNSMYSVYGITPGEDHYARVIDLLCRAGKFTEAKSVMESMPCEPGVSIWEALLAGSRIHGIMDIGIKAAERLFELKPEHDGTYVQLSNMYAVAGRWVDVAKVRKLMRDRGVKKEPGCSWLEVESMVHVFLVDDAVHPEVHAVYNYLDQLDQEMRKLGYVPDTKFVLHDMEFDQKEYALSTHSEKLAVAFGLMKLPRGATVRVFKNLRICGDCHNAIKYMSKVVGREIVVRDGKRFHHFRNGECSCGNYW >Manes.02G041200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3376575:3381092:-1 gene:Manes.02G041200.v8.1 transcript:Manes.02G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQQDAVIPFQILGGEAQVVQIMLKPQEKVIAKPGSMCFMSGSVEMENIFIPENEVGMWQWLFGKTVTSIVLRNAGPSDGFVGIAAPSLARILPIDLAMFGGEILCQPDAFLCSVNDVKVSNTVDQRARNVMASAEGFLRQKLSGQGLAFILAGGSVIQKNLEVGEVLSVDVSCIVALNTTVNVQIKYNGPMRRAVFGGDNLVTATVTGPGIVFIQSLPFQRFSQRIARAVTSPNMRENPKFFVQIAIFFFLAYVVIVSSLILTDV >Manes.12G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36447961:36449723:1 gene:Manes.12G155900.v8.1 transcript:Manes.12G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRGSSSDSGKSCHRGHWRPAEDEKLRQLVNQYGPQNWNFIAEHLQGRSGKSCRLRWYNQLDPNINKKPFTEEEEERLLKAHQIQGNRWASIARLFPGRTDNAVKNHYHVVMARRKRERLSSVYGKRSFHLHPTESNKKITTPGSNFESYYRLHQPLDHHSKLGFQSNGYFTMCSSSSPSWTISASTITNESLNFDFLDGKGKGYMNSSSSSSHTKDGSHGFNGSMYGGYYQSLFGSSAQISNSTKVAANNNNPLANLLSYGGGNHASKSATLQKELEDGAINQKDVSFIDFLGVGIS >Manes.16G078700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28353660:28361884:-1 gene:Manes.16G078700.v8.1 transcript:Manes.16G078700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESQYSSGTDNTPIVSNKRKYDDQTPPSSTRRPTGFSSPDSTHAPPSYNTVPPPTDEIQMAKQKAQEIAARLLSGAGADVKRPRVENGASGFDSSDKGFSSAPNDMKSMSNSAPSAITVSYASYMGGSSKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSPTRTVELMGTPEQIAKAEQLINEVLEEADAGGSGTVSRRFTGQGGSEHFVMKIASNKVGLVIGKGGETIKNMQTRTGARIQVIPLHLPPGDTSTERNVHIEGTSEQIELAKQLVLEVTSENRARNPSMAGGYPQQGYQTRPPSNWGPPGANPVQQPGYGYMQPGAYPGPPPQYNMSQQPYAGYPPQPSSGGYPSNWDQSNVSANQQTGQGYDYYNQPASSQPPPTSGGPAAPADNTGYNYSQPPTSGFNQQGQSYDGYGGYTQSGYGQPPPYDQQQGYTSAPSYGNVGSATQEGHTSSYGTQGDSTQAPTHPPAMGQQGYTTGQQPSPNPSSYPPQGSTQPGYAQPGYGSQPPAQPGYGSNYGPPQAQKPPANPSVYGQTQQSPSTPGGYGQPASVQPGYPHSQPLPSGYSQPDSGPQRLPPSGFGASGAQPGYGPPYGSATAGQTGYGQGMQPYNTSYGGGYSQPAAYPADGNAGNNARSTYDSSAASQPVQQSGVAKTSPQT >Manes.16G078700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28353660:28361865:-1 gene:Manes.16G078700.v8.1 transcript:Manes.16G078700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESQYSSGTDNTPIVSNKRKYDDQTPPSSTRRPTGFSSPDSTHAPPSYNTVPPPTDEIQMAKQKAQEIAARLLSGAGADVKRPRVENGASGFDSSDKGFSSAPNDMKSMSNSAPSAITVSYASYMGGSSKKIDIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSPTRTVELMGTPEQIAKAEQLINEVLEEADAGGSGTVSRRFTGQGGSEHFVMKIASNKVGLVIGKGGETIKNMQTRTGARIQVIPLHLPPGDTSTERNVHIEGTSEQIELAKQLVLEVTSENRARNPSMAGGYPQQGYQTRPPSNWGPPGANPVQQPGYGYMQPGAYPGPPPQYNMSQQPYAGYPPQPSSGGYPSNWDQSNVSANQQTGQGYDYYNQPASSQPPPTSGGPAAPADNTGYNYSQPPTSGFNQQGQSYDGYGGYTQSGYGQPPPYDQQQGYTSAPSYGNVGSATQEGHTSSYGTQGDSTQAPTHPPAMGQQGYTTGQQPSPNPSSYPPQGSTQPGYAQPGYGSQPPAQPGYGSNYGPPQAQKPPANPSVYGQTQQSPSTPGGYGQPASVQPGYPHSQPLPSGYSQPDSGPQRLPPSGFGASGAQPGYGPPYGSATAGQTGYGQGMQPYNTSYGGGYSQPAAYPADGNAGNNARSTYDSSAASQPVQQSGVAKTSPQT >Manes.08G040900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4008067:4016530:1 gene:Manes.08G040900.v8.1 transcript:Manes.08G040900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSDIPIVGCELTPYVLLRRPDKTATTDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEQATLQCLGCVKSKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEELFGRFNSSGSGAINTSLSGSASSASLTNGSAPLYPAAVTQRSGGETWFEVGRCKTYTPSADDIGHVLKFECVVVDAETKLPVGHGNTILTSRVIPAPSPTPRRLISVSGVDVIGHLDSDGRISSSGNFTVLSYNILSDVYATSETYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYNGNTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEAVVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGADNLGKRQLLCVANTHVSVHHDLKDVKLWQVLTLLKGLEKIATSADIPMLVCGDFNSVPGSAPHSLLAMGKVDSLHPDLVADPLGILRPHSKLTHQLPLVSAYSSFARLGVGLGLEQQRRRMDPGTNEPLFTNCTRDFLGTLDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKSRQRR >Manes.03G124800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25498276:25502686:1 gene:Manes.03G124800.v8.1 transcript:Manes.03G124800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQTAAAARDQYRLLGENVAKLRTDLMKEQLATFRSQLEEFARKHKNDIRKNPSFRAQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVEICLATRPHNGGLINLQELCTLLRQKRKTGREAVSEDDCLRAISKLKILGSGFEVISVGKRKLVRSVPTELNKDHNEILELAQAQGYVTVDEVEKRLSWTSGRAIDALDTLLDEGLAMIDDGHKDGKRRYWFPCVSSVSSSVGADV >Manes.03G124800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25498276:25502686:1 gene:Manes.03G124800.v8.1 transcript:Manes.03G124800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQTAAAARDQYRLLGENVAKLRTDLMKEQLATFRSQLEEFARKHKNDIRKNPSFRAQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVEICLATRPHNGGLINLQELCTLLRQKRKTGREAVSEDDCLRAISKLKILGSGFEVISVGKRKLVRSVPTELNKDHNEILELAQAQGYVTVDEVEKRLSWTSGRAIDALDTLLDEGLAMIDDGHKDGKRRYWFPCVSSVSSSVGADV >Manes.16G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25666627:25670354:-1 gene:Manes.16G070100.v8.1 transcript:Manes.16G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQIPTRNKVNFAPPRSFGTTLKSHLKETFFPDDPLRQFRNEKSGVGIVKKALQYFVPIFEWLPNYNLRLFRFDVLSGITITFLAIPQGISYAKLGSIPPIIGLYSSFVPPLIYAVFGNSKHLAVGTVAACSLIIGHTIGEKVSAVDDPTLYLNLVFTTTFFTGIFQTLMGLLRLGILVDFLSHSTITGFMGGTATLICFQQLKGIFGLKHFTTKTDVVSVIRAVLHYRDEWKWQSAVVGIIFLIFLQFTRFLRKKRPNLFWVPAIAPMTVVIVGCLFAYFAHADKHGIQIVGHLHRGLNPISLKNLTFDPQYLPVTLKAALVTGLIALTEGIAIGRSFAIMNNEQVDGNKEIIAFGLMNIVGSFTSCYLTTGPFSKTAVNFNAGCKTAMSNVVMSICMMLTLLFLAPLFSYTPLVALSAIIASAMLGLINYEEIYHLFKVDKFDFLICMAAFFGVAFISMDYGLIMSIGLALLRALVYVARPATCRLGKIPDSNLFRDSEQYPGLTNVPGVLALQLGSPIYFANANYIRERIIRWVRDEEDISNAKDSVVEHVLLDLSGVTSIDTTGIETLIEIRKLLDAKNIKIAIINPRLEVMEKMIRSHFVDKIGKDSIFLSIEDAVESILFSTQKNYQVLV >Manes.16G070100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25666627:25670354:-1 gene:Manes.16G070100.v8.1 transcript:Manes.16G070100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQIPTRNKVNFAPPRSFGTTLKSHLKETFFPDDPLRQFRNEKSGVGIVKKALQYFVPIFEWLPNYNLRLFRFDVLSGITITFLAIPQGISYAKLGSIPPIIGLYSSFVPPLIYAVFGNSKHLAVGTVAACSLIIGHTIGEKVSAVDDPTLYLNLVFTTTFFTGIFQTLMGLLRLGILVDFLSHSTITGFMGGTATLICFQQLKGIFGLKHFTTKTDVVSVIRAVLHYRDEWKWQSAVVGIIFLIFLQFTRFLEGIAIGRSFAIMNNEQVDGNKEIIAFGLMNIVGSFTSCYLTTGPFSKTAVNFNAGCKTAMSNVVMSICMMLTLLFLAPLFSYTPLVALSAIIASAMLGLINYEEIYHLFKVDKFDFLICMAAFFGVAFISMDYGLIMSIGLALLRALVYVARPATCRLGKIPDSNLFRDSEQYPGLTNVPGVLALQLGSPIYFANANYIRERIIRWVRDEEDISNAKDSVVEHVLLDLSGVTSIDTTGIETLIEIRKLLDAKNIKIAIINPRLEVMEKMIRSHFVDKIGKDSIFLSIEDAVESILFSTQKNYQVLV >Manes.03G084016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20981674:20982117:-1 gene:Manes.03G084016.v8.1 transcript:Manes.03G084016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDRSRWVREMELHLLFLVCFDKSNWRTG >Manes.15G013100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1119370:1121231:-1 gene:Manes.15G013100.v8.1 transcript:Manes.15G013100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGAESLDGSRQGSGARRKVLVHTPTNEVITSYDVLENKLYSLGWERYYNDPDLLQFHKRSTVHLISLPKEFSKFKSMHMFDIVVKNRNMFEVRDM >Manes.15G013100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1120823:1121161:-1 gene:Manes.15G013100.v8.1 transcript:Manes.15G013100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENPGAESLDGSRQGSGARRKVLVHTPTNEVITSYDVLENKLYSLGWERYYNDPDLLQFHKRSTVHLISLPKEFSKFKSMHMFDIVVKNRNMFEVRDM >Manes.12G022500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1939333:1940117:-1 gene:Manes.12G022500.v8.1 transcript:Manes.12G022500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSVKQSHHLRTKGELQEERMESGQDNVFNKNNMKVEITSMADNISGGVTKLQMTPTSGSAMPQLTRQPSVTKNNCLCSPTSHAGSFRCRLHRAPSLQRTKSIDSASLKDSTIKVNATADDPPASKSNIDEA >Manes.05G118900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12413340:12417426:-1 gene:Manes.05G118900.v8.1 transcript:Manes.05G118900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPKPVKPYFFYGHRKPSQNRPVVRGGLFSNRQTIKSPPPLTSRFVPFDLQKWDPQNPSPPPQASKPPPLAHNHSLTRVSRLLSPISRFIIDAFRKNGNHWGPPVVTELRKLRRVTPDLVAEVLKVENNPHLASKFFHWAGKQKGYKHNFASYNAFAYCLNRSSLFRAADQLPELMDSQGKPPTEKQFEILIRMHSDAHRGLRVYYVYQKMKKFGVKPRAFLYNKIMDALIKTGHLDLALSVYEDFRSDGLVEDSLTYMMLVKGLCKDGRIEEAMELLGRMRMNLCKPDVFAYTAMIKVLVGEGNLDGSLQVWEEMKRDGVNPDVMAYVTLVAGLCKGGRVGKGYELFKEMKEKGILIDRAIYGTLVEAFVENGKVGSACDLLKDLVDSGYRADLRIYNSLIEGLCNVKRVDKAHTLFQVLQQEGLEPDFKTVNPMLVSYAEMKRMDGFCRLLVEMNKLGFSVIDDISKFFSFVVEKKERIMMALEVFEDLKVKGYSSVPVYNILMEALLKIGEVKKALSLFNEMKNLNFEPDSTTYSIAVICFVEDGNIQEACRCHNKIIEMSGVPSVAAYCSLTKGLCNIGEIDEAIMLVRDCLGNVTSGPMEFKYTLTILHVCKLGEAEKVIEVLNEMMQEGCPPNEVIYSAIISGMCKHGTLEEARKVFTKLKELKLLSEAKTIVYDEILIEHMKRKTADLVVSGLKFFGLESKLKAKGCTLLSS >Manes.05G118900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12413340:12417426:-1 gene:Manes.05G118900.v8.1 transcript:Manes.05G118900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPKPVKPYFFYGHRKPSQNRPVVRGGLFSNRQTIKSPPPLTSRFVPFDLQKWDPQNPSPPPQASKPPPLAHNHSLTRVSRLLSPISRFIIDAFRKNGNHWGPPVVTELRKLRRVTPDLVAEVLKVENNPHLASKFFHWAGKQKGYKHNFASYNAFAYCLNRSSLFRAADQLPELMDSQGKPPTEKQFEILIRMHSDAHRGLRVYYVYQKMKKFGVKPRAFLYNKIMDALIKTGHLDLALSVYEDFRSDGLVEDSLTYMMLVKGLCKDGRIEEAMELLGRMRMNLCKPDVFAYTAMIKVLVGEGNLDGSLQVWEEMKRDGVNPDVMAYVTLVAGLCKGGRVGKGYELFKEMKEKGILIDRAIYGTLVEAFVENGKVGSACDLLKDLVDSGYRADLRIYNSLIEGLCNVKRVDKAHTLFQVLQQEGLEPDFKTVNPMLVSYAEMKRMDGFCRLLVEMNKLGFSVIDDISKFFSFVVEKKERIMMALEVFEDLKVKGYSSVPVYNILMEALLKIGEVKKALSLFNEMKNLNFEPDSTTYSIAVICFVEDGNIQEACRCHNKIIEMSGVPSVAAYCSLTKGLCNIGEIDEAIMLVRDCLGNVTSGPMEFKYTLTILHVCKLGEAEKVIEVLNEMMQEGCPPNEVIYSAIISGMCKHGTLEEARKVFTKLKELKLLSEAKTIVYDEILIEHMKRKTADLVVSGLKFFGLESKLKAKGCTLLSS >Manes.05G118900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12413340:12417426:-1 gene:Manes.05G118900.v8.1 transcript:Manes.05G118900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPKPVKPYFFYGHRKPSQNRPVVRGGLFSNRQTIKSPPPLTSRFVPFDLQKWDPQNPSPPPQASKPPPLAHNHSLTRVSRLLSPISRFIIDAFRKNGNHWGPPVVTELRKLRRVTPDLVAEVLKVENNPHLASKFFHWAGKQKGYKHNFASYNAFAYCLNRSSLFRAADQLPELMDSQGKPPTEKQFEILIRMHSDAHRGLRVYYVYQKMKKFGVKPRAFLYNKIMDALIKTGHLDLALSVYEDFRSDGLVEDSLTYMMLVKGLCKDGRIEEAMELLGRMRMNLCKPDVFAYTAMIKVLVGEGNLDGSLQVWEEMKRDGVNPDVMAYVTLVAGLCKGGRVGKGYELFKEMKEKGILIDRAIYGTLVEAFVENGKVGSACDLLKDLVDSGYRADLRIYNSLIEGLCNVKRVDKAHTLFQVLQQEGLEPDFKTVNPMLVSYAEMKRMDGFCRLLVEMNKLGFSVIDDISKFFSFVVEKKERIMMALEVFEDLKVKGYSSVPVYNILMEALLKIGEVKKALSLFNEMKNLNFEPDSTTYSIAVICFVEDGNIQEACRCHNKIIEMSGVPSVAAYCSLTKGLCNIGEIDEAIMLVRDCLGNVTSGPMEFKYTLTILHVCKLGEAEKVIEVLNEMMQEGCPPNEVIYSAIISGMCKHGTLEEARKVFTKLKELKLLSEAKTIVYDEILIEHMKRKTADLVVSGLKFFGLESKLKAKGCTLLSS >Manes.05G118900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12413718:12418304:-1 gene:Manes.05G118900.v8.1 transcript:Manes.05G118900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRNERDQVTNEMPNPKPVKPYFFYGHRKPSQNRPVVRGGLFSNRQTIKSPPPLTSRFVPFDLQKWDPQNPSPPPQASKPPPLAHNHSLTRVSRLLSPISRFIIDAFRKNGNHWGPPVVTELRKLRRVTPDLVAEVLKVENNPHLASKFFHWAGKQKGYKHNFASYNAFAYCLNRSSLFRAADQLPELMDSQGKPPTEKQFEILIRMHSDAHRGLRVYYVYQKMKKFGVKPRAFLYNKIMDALIKTGHLDLALSVYEDFRSDGLVEDSLTYMMLVKGLCKDGRIEEAMELLGRMRMNLCKPDVFAYTAMIKVLVGEGNLDGSLQVWEEMKRDGVNPDVMAYVTLVAGLCKGGRVGKGYELFKEMKEKGILIDRAIYGTLVEAFVENGKVGSACDLLKDLVDSGYRADLRIYNSLIEGLCNVKRVDKAHTLFQVLQQEGLEPDFKTVNPMLVSYAEMKRMDGFCRLLVEMNKLGFSVIDDISKFFSFVVEKKERIMMALEVFEDLKVKGYSSVPVYNILMEALLKIGEVKKALSLFNEMKNLNFEPDSTTYSIAVICFVEDGNIQEACRCHNKIIEMSGVPSVAAYCSLTKGLCNIGEIDEAIMLVRDCLGNVTSGPMEFKYTLTILHVCKLGEAEKVIEVLNEMMQEGCPPNEVIYSAIISGMCKHGTLEEARKVFTKLKELKLLSEAKTIVYDEILIEHMKRKTADLVVSGLKFFGLESKLKAKGCTLLSS >Manes.05G118900.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12413396:12417399:-1 gene:Manes.05G118900.v8.1 transcript:Manes.05G118900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPKPVKPYFFYGHRKPSQNRPVVRGGLFSNRQTIKSPPPLTSRFVPFDLQKWDPQNPSPPPQASKPPPLAHNHSLTRVSRLLSPISRFIIDAFRKNGNHWGPPVVTELRKLRRVTPDLVAEVLKVENNPHLASKFFHWAGKQKGYKHNFASYNAFAYCLNRSSLFRAADQLPELMDSQGKPPTEKQFEILIRMHSDAHRGLRVYYVYQKMKKFGVKPRAFLYNKIMDALIKTGHLDLALSVYEDFRSDGLVEDSLTYMMLVKGLCKDGRIEEAMELLGRMRMNLCKPDVFAYTAMIKVLVGEGNLDGSLQVWEEMKRDGVNPDVMAYVTLVAGLCKGGRVGKGYELFKEMKEKGILIDRAIYGTLVEAFVENGKVGSACDLLKDLVDSGYRADLRIYNSLIEGLCNVKRVDKAHTLFQVLQQEGLEPDFKTVNPMLVSYAEMKRMDGFCRLLVEMNKLGFSVIDDISKFFSFVVEKKERIMMALEVFEDLKVKGYSSVPVYNILMEALLKIGEVKKALSLFNEMKNLNFEPDSTTYSIAVICFVEDGNIQEACRCHNKIIEMSGVPSVAAYCSLTKGLCNIGEIDEAIMLVRDCLGNVTSGPMEFKYTLTILHVCKLGEAEKVIEVLNEMMQEGCPPNEVIYSAIISGMCKHGTLEEARKVFTKLKELKLLSEAKTIVYDEILIEHMKRKTADLVVSGLKFFGLESKLKAKGCTLLSS >Manes.02G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5378215:5380842:1 gene:Manes.02G070400.v8.1 transcript:Manes.02G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELLSQAKAQQIPHHFPLSDDTLAETIIQPHFLSEAVFAGGSFWYLEAAFGRVYGVVKTATGYCGGTWKKPTYSEVCEGRTGHTEAVKVIFDNTRVSYRFLCDIFWDSHDPTNKDYLNFCLSTHYRSAIFYCNEEERKQAQQSKIRRQMKLNKRIVTKIVPLESIFYFAENQHQKYYLQKRYRVCESLSLRSTEQFVESNMACKLNGILGLDGEMIVDKLTAFLETNELPKQTKSACAEIVEDLSKN >Manes.04G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3381001:3386365:-1 gene:Manes.04G028600.v8.1 transcript:Manes.04G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDLNIPYETSQSSKTTRIKVVIKAMELGYTGIAYNRTIKGVMSDRDRCSITPLSLASLLNVAPSLASSVNLHRELLGIPRSSPFRQYTRLTVSVDTPAQCQALNSGNPILKTYDLVAVRPLNQSAFDYACEKSEVNIIAIDFSEKLPFRMKLPMVKAAMERGVCFEITYSDLILDVQVRRQMISNAKLLVEWTRGRNLIFSSAAPSVNELRGPCDVANLSSLLGLSIERAKAAISKNCRNLIANALRKKHFYKDAIRVELITPDEKSRAKEPFSMDWLKWDPISSGEGDLQLEDMAKSFAATIGVSNSVKAIDFDSLIDNMPPHSEKFLTAKAVDVPSAATGVSGKYGRRELLPETEGNALFNSPSKNQTLDYGSSQELCFPNDAGTNSTIFEEIGASTNTTEEEPKDLNGNDALVPFFVTQKHDLLSQKDIPDCELNSMVLSEDIMHQRSDAEAELTDTCVPAAIRIETLSENANFPAPQIKKCMNSQGSDATLDAEDVLMDKNIAQMGVIDQEETPVIENISGRQYCKESKNDTITCADHVRFPLVSSSMKTKEAPSVAKWETTEEVAMEEQEVMETQEEVGIKEETLEEVAMEEREVGELGHNNDLKAKYNSPGPQFMPSDKVAMEEQKLEEIDAETNNQVLFPSSSGKSRAKQRLLSQPLVFPLRRLLNPIPFKKKSKKFKHQMNA >Manes.05G031100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2661535:2663997:1 gene:Manes.05G031100.v8.1 transcript:Manes.05G031100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLHPKDKNDVLSLSWMKYAIESLCETHTDIKSLITDLELPVTDWDEKWIDVYLDISVKLLDICIAFSSELSRLNQGHLLLQCVLHNLESDTPKQFVRARSSLDSWRQQISSKNLRVLNCHSILDNLVESLDLPKVKNSAKGKVLMRAMYGVKVQTVFVCSIFAAAFSGSSKKLLDLEVPKAFLWAQAYSNLQTIVNGEIREIFSSGRFTVLKELEAVDSIVKRLYPMIQDGFEPVEVDAFQHSVSDLRTGAERLSQGLDFLAKEVDVFFKIVLSGRDALLCNLRASSSVGDTMLATNGGEQIVR >Manes.05G031100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2661628:2663949:1 gene:Manes.05G031100.v8.1 transcript:Manes.05G031100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLHPKDKNDVLSLSWMKYAIESLCETHTDIKSLITDLELPVTDWDEKWIDVYLDISVKLLDICIAFSSELSRLNQGHLLLQCVLHNLESDTPKQFVRARSSLDSWRQQISSKNLRVLNCHSILDNLVESLDLPKVKNSAKGKVLMRAMYGVKVQTVFVCSIFAAAFSGSSKKLLDLEVPKAFLWAQAYSNLQTIVNGEIREIFSSGRFTVLKELEAVDSIVKRLYPMIQDGFEPVEVDAFQHSVSDLRTGAERLSQGLDFLAKEVDVFFKIVLSGRDALLCNLRASSSVGDTMLATNGGEQIVR >Manes.01G080900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28357612:28369121:-1 gene:Manes.01G080900.v8.1 transcript:Manes.01G080900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFHAMEDQTDEDFFDKLVDDDFGPTNSDSVQKFTEGSDSDEAKAFANLSIEDASAEGGTEVKGENDSVHASTELSGVHAEESNTLDSSNSLRSNAIVESNNDGIESEVVPDPVLSKTVESTNSGVKEVGWSSFYADSLPNGKHGFGSYSDFFNDLGDTSGDFPGKVDEAANLENTDGGGLHNSISYGEYQDGTQHYGGSAEESVNGQDSNSSQYWENMYPGWKFDASTGQWYQVDSFDATANVQDSSNVNAVNEWASDGKVELNYLQQTSPSVVGTMAETSTSKSVSTWNQVSQGISNGYPEHMVFDPQYPGWYYDTIAQEWRSLESYTSSVQSTTIQNHDQQKQNEFAFADSHSQNTNDIYSGYQQADKYGSRGYNSQDKHGGWGETYGDYNQQGLNMWQPDTVAKADADSNFDGNQQWHTSYGSNVSMNNHVELHESFNSLGTVPSYDKMTQGRVDANGFIGSQSFIPSGNLDVQFNQGNVKQNEHMNISNGYYSSQKSVNYSENNQQFSYAPNTEHMNISNDYYSSQKSVNFSENNQQFSYAPNIGISSAGRPPHALVTFGFGGKLIVMKDGNSSSLGSTSFGSQAPVGGSISVLNLMEVISGNTNNDPSVRGSTCNYFRALCQQSFPGPLVGGNVGSRELNKWIDERITNCESTIDMDYKKGEVMKLLLSLLKIACQHYGKLRSPFGSDASLKESDAPESEVAKLFAFAKQNGSQFSAYGAFCHCLQSLPSEGQIQATASEVQNLLVSGRKKEALQCAQAGQLWGPALVLASQLGDQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPADVFTSGATDGGPGAMPQQPIQFEANGMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITGAHICYLVAETNFETYSDSARLCLIGADHWKHPRTYASPEAIQRTELYEYAKVLGNSQYILLPFQPYKLIYANMLAEVGKVSDSLKYCQAILKSLKTGRASEVETWKQLVLSLEERIRAHQQGGYTANLAPAKLVGKLLNFFDSTAHRVVGGLPPPVPSTSQGNEHHHQQMVPRVSSSQSTMAMSSLMPSASMEPISEWAADGNRMTMHNRSVSEPDIGRTPRQVESSKEETSSSAQSKTSSGTSSRFSRFGFGSQLLQKTVGLVLGPRSDRQAKLGEKNKFYYDEKLKRWVEEGAELPAEEAALPPPPTISAFQNGMSDYNLKSAIKSEGSPTNGSPTFKTPTPAEHSSGIPPIPTNSNQFSARGRMGVRARYVDTFNQGGGSSAKLFQSPSVPSVKPAVSANAKFFVPTPLPSSENSKETMADNVQESTGFAEDPSTSVDGSFQSPSSSSKMNMQRFPSMDNIARGGRAINGSGNASMSSLSRRPASWSGSFSESYSPPKMVETKPLVEALEMPPPSSFMPGDPSMTHMPMNGSNIGDDLHEVEL >Manes.01G080900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28357713:28369121:-1 gene:Manes.01G080900.v8.1 transcript:Manes.01G080900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFHAMEDQTDEDFFDKLVDDDFGPTNSDSVQKFTEGSDSDEAKAFANLSIEDASAEGGTEVKGENDSVHASTELSGVHAEESNTLDSSNSLRSNAIVESNNDGIESEVVPDPVLSKTVESTNSGVKEVGWSSFYADSLPNGKHGFGSYSDFFNDLGDTSGDFPGKVDEAANLENTDGGGLHNSISYGEYQDGTQHYGGSAEESVNGQDSNSSQYWENMYPGWKFDASTGQWYQVDSFDATANVQDSSNVNAVNEWASDGKVELNYLQQTSPSVVGTMAETSTSKSVSTWNQVSQGISNGYPEHMVFDPQYPGWYYDTIAQEWRSLESYTSSVQSTTIQNHDQQKQNEFAFADSHSQNTNDIYSGYQQADKYGSRGYNSQDKHGGWGETYGDYNQQGLNMWQPDTVAKADADSNFDGNQQWHTSYGSNVSMNNHVELHESFNSLGTVPSYDKMTQGRVDANGFIGSQSFIPSGNLDVQFNQGNVKQNEHMNISNGYYSSQKSVNYSENNQQFSYAPNTEHMNISNDYYSSQKSVNFSENNQQFSYAPNIGISSAGRPPHALVTFGFGGKLIVMKDGNSSSLGSTSFGSQAPVGGSISVLNLMEVISGNTNNDPSVRGSTCNYFRALCQQSFPGPLVGGNVGSRELNKWIDERITNCESTIDMDYKKGEVMKLLLSLLKIACQHYGKLRSPFGSDASLKESDAPESEVAKLFAFAKQNGSQFSAYGAFCHCLQSLPSEGQIQATASEVQNLLVSGRKKEALQCAQAGQLWGPALVLASQLGDQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPADVFTSGATDGGPGAMPQQPIQFEANGMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITGAHICYLVAETNFETYSDSARLCLIGADHWKHPRTYASPEAIQRTELYEYAKVLGNSQYILLPFQPYKLIYANMLAEVGKVSDSLKYCQAILKSLKTGRASEVETWKQLVLSLEERIRAHQQGGYTANLAPAKLVGKLLNFFDSTAHRVVGGLPPPVPSTSQG >Manes.01G080900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28357851:28369121:-1 gene:Manes.01G080900.v8.1 transcript:Manes.01G080900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFHAMEDQTDEDFFDKLVDDDFGPTNSDSVQKFTEGSDSDEAKAFANLSIEDASAEGGTEVKGENDSVHASTELSGVHAEESNTLDSSNSLRSNAIVESNNDGIESEVVPDPVLSKTVESTNSGVKEVGWSSFYADSLPNGKHGFGSYSDFFNDLGDTSGDFPGKVDEAANLENTDGGGLHNSISYGEYQDGTQHYGGSAEESVNGQDSNSSQYWENMYPGWKFDASTGQWYQVDSFDATANVQDSSNVNAVNEWASDGKVELNYLQQTSPSVVGTMAETSTSKSVSTWNQVSQGISNGYPEHMVFDPQYPGWYYDTIAQEWRSLESYTSSVQSTTIQNHDQQKQNEFAFADSHSQNTNDIYSGYQQADKYGSRGYNSQDKHGGWGETYGDYNQQGLNMWQPDTVAKADADSNFDGNQQWHTSYGSNVSMNNHVELHESFNSLGTVPSYDKMTQGRVDANGFIGSQSFIPSGNLDVQFNQGNVKQNEHMNISNGYYSSQKSVNYSENNQQFSYAPNTEHMNISNDYYSSQKSVNFSENNQQFSYAPNIGISSAGRPPHALVTFGFGGKLIVMKDGNSSSLGSTSFGSQAPVGGSISVLNLMEVISGNTNNDPSVRGSTCNYFRALCQQSFPGPLVGGNVGSRELNKWIDERITNCESTIDMDYKKGEVMKLLLSLLKIACQHYGKLRSPFGSDASLKESDAPESEVAKLFAFAKQNGSQFSAYGAFCHCLQSLPSEGQIQATASEVQNLLVSGRKKEALQCAQAGQLWGPALVLASQLGDQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPADVFTSGATDGGPGAMPQQPIQFEANGMLDDWEENLAVITANRTKDDELVIIHLGDCLWKERSEITGAHICYLVAETNFETYSDSARLCLIGADHWKHPRTYASPEAIQRTELYEYAKVLGNSQYILLPFQPYKLIYANMLAEVGKVSDSLKYCQAILKSLKTGRASEVETWKQLVLSLEERIRAHQQGGYTANLAPAKLVGKLLNFFDSTAHRVVGGLPPPVPSTSQGNEHHHQQMVPRVSSSQSTMAMSSLMPSASMEPISEWAADGNRMTMHNRSVSEPDIGRTPRQVESSKEETSSSAQSKTSSGTSSRFSRFGFGSQLLQKTVGLVLGPRSDRQAKLGEKNKFYYDEKLKRWVEEGAELPAEEAALPPPPTISAFQNGMSDYNLKSAIKSEGSPTNGSPTFKTPTPAEHSSGIPPIPTNSNQFSARGRMGVRARYVDTFNQGGGSSAKLFQSPSVPSVKPAVSANAKFFVPTPLPSSENSKETMADNVQESTGFAEDPSTSVDGSFQSPSSSSKMNMQRFPSMDNIARGGRAINGSGNASMSSLSRRPASWSGSFSESYSPPKMVETKPLVEALEMPPPSSFMPGDPSMTHMPMNGSNIGDDLHEVEL >Manes.15G155200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12847017:12849515:1 gene:Manes.15G155200.v8.1 transcript:Manes.15G155200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSFPLKITYEVFGWLAFVSWSISFYPQAILNFRRKSVVGLNFDFVVLNLTKHSSYLIYNASLYFSSAIQKQYFNKYGHKQMIPVAANDVAFSIHAVALTAITLFQIAIYERGHQKVSKIAIAIVCIVWVAAAVCFFVALHRHSWLWLISVFNTIQVCMTVIKYIPQAVMNFMRKSTDGFSIGNILLDFLGGMANYAQMTAQSVDQHSWVNFYGNIGKTLLSLVSIFFDLLFMFQHYILYSDKKKQHSSPEIKGEGEHPPNCSLQAENV >Manes.18G013100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1472480:1477676:-1 gene:Manes.18G013100.v8.1 transcript:Manes.18G013100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCSGGTKSKHVKVSHKNSGFSGKLNSVKSFTKHKENFHTHTDNVDDNFGKTTTPQRYNSGELFLSFSRELKPSTTAKGGAAKDGQKSSFIGKAGAVSLEKAVKVLDTLGSSMSNLNARSTFVSGMASRGNKISILAFEVANTVAKGANLFESLSEENVQFLKKEILHSEGVQELVSTDLKELLILAAADKREEFDVFAREVIRFGDLCKDPQWHSLDRYFTKLDSEHSGDGQTRADAKTTMQELTTLVQHTSELYHELHALDRFEQDYQQKLEEVESLHLPKKGESLTILQGELKQQRKLVRSLKKKSLWSKNLEEIMEKLVDIVTYLNQAILEAFGNNGVRSANEESDKNLQRLGAAGLALHYANVINQIDNIASRPTSLPPNTRDNLYHRLPSSVKIALRSRLQTVDTKEELTVAQVKAKMEKTLHWLVPIATNTNKAHQGFGWVGEWANAGHEFDKKSSTQNNLIRLQTLHHADKQKTDTYILELVTWLHRLISLVRHRVDGFKAMPVRSRTQKGLFLHTKMHRTLSLNNGITIYSSQISQEDTDLLDKVCRRRLVPGISKSQEFSIASKTGKFGGSSKSTGSSPVREINTRQKLHYPNNLDAVDGSHSTS >Manes.09G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33744590:33746314:1 gene:Manes.09G137400.v8.1 transcript:Manes.09G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQCDATSDTRRKGIGKRASAVPVESQSVAETGLPVPAVAPCGACKFLRRKCISGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLLHIPMNRRHEAVVTISYEAQARLSDPVYGCVSTILALQQQVASLQAELAMVQTQLINSRFAMANVLENSQQQQQQQQQLHHQHQQVSLLQPAYSNNSSASTNLINMSNFTSNFELVTDTAPSSHSLEPLHLSRPSHDEEDDEEESRIPGIFADVILHRR >Manes.03G090444.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:20248998:20252040:-1 gene:Manes.03G090444.v8.1 transcript:Manes.03G090444.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQKQSNPMSQPPVTSSNFEAASSTSNMKRKSMKPRSAVWDHFSKFLDDTGIQKGKCNYCEKEFYCDPKRNGTSALKHHMSACIKNPHSVTTRQSQLSLQPLSFSTQEGGGNYQLGMLSSWHFDQDVARRKLAKMTIIDELPFMFVEGEGFKEWVECIQPKFRIPSRWTVSRDCYDLYLEERKKLKSYFQNSSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKILNFCPIGSHKGDDIGMDVESCLLNWGIKRVFTVTVDNASSNDVAVTYLKKKINGWGFGILNCKYLHMRCIAHIINLVVVDGLKENIEAVKRVREAVRYVRQSPARLQKFKSCCEMEGIQSKCHLSLDVSTRWNSTYLMLRTAEKFENAFDRFATIDPCFKFDLVSGKECDGVPDSLDWDYIRKIVDFLGHFYDLTLKISGSRYVTSNIFFDEISSIDCLLQEWKMSDDLTLANMGQNMKVKFDKYWGDPDKMNKLIYIAVVMDPRYKMEFMGFALSAVYGNGKGLDLTHKIKSVVYELFDEYKRMFANENANINDGHVHSNAIENLDDEGSKKRSRMNLGSQFLKHKIEIGEAKNKSDLDCYLNESIQVVDENDEFDILLWWKLNSNRFPILSHMARDILSVPISTVASESAFSTGGRILDPFRSSLTPKVVEALICTQDWLRKSHCRKSIEEQIADMERLEEGM >Manes.03G090444.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20248998:20253890:-1 gene:Manes.03G090444.v8.1 transcript:Manes.03G090444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSFMAPRATSYALGVLMGNKMDQQKQSNPMSQPPVTSSNFEAASSTSNMKRKSMKPRSAVWDHFSKFLDDTGIQKGKCNYCEKEFYCDPKRNGTSALKHHMSACIKNPHSVTTRQSQLSLQPLSFSTQEGGGNYQLGMLSSWHFDQDVARRKLAKMTIIDELPFMFVEGEGFKEWVECIQPKFRIPSRWTVSRDCYDLYLEERKKLKSYFQNSSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKILNFCPIGSHKGDDIGMDVESCLLNWGIKRVFTVTVDNASSNDVAVTYLKKKINGWGFGILNCKYLHMRCIAHIINLVVVDGLKENIEAVKRVREAVRYVRQSPARLQKFKSCCEMEGIQSKCHLSLDVSTRWNSTYLMLRTAEKFENAFDRFATIDPCFKFDLVSGKECDGVPDSLDWDYIRKIVDFLGHFYDLTLKISGSRYVTSNIFFDEISSIDCLLQEWKMSDDLTLANMGQNMKVKFDKYWGDPDKMNKLIYIAVVMDPRYKMEFMGFALSAVYGNGKGLDLTHKIKSVVYELFDEYKRMFANENANINDGHVHSNAIENLDDEGSKKRSRMNLGSQFLKHKIEIGEAKNKSDLDCYLNESIQVVDENDEFDILLWWKLNSNRFPILSHMARDILSVPISTVASESAFSTGGRILDPFRSSLTPKVVEALICTQDWLRKSHCRKSIEEQIADMERLEEGM >Manes.01G139900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33153943:33159170:-1 gene:Manes.01G139900.v8.1 transcript:Manes.01G139900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVSWIPKGVSKSVPAVADPPSKEEIEQMIEAGALERCGDGGSEEDDEDMDVDTSKVTHALAVADALGRTQKNNNSATKFDDIADGLKELDMERYDDEDEGIELFSTGLGDLYYPSNDMDPYLKDQDDDDDSEELEDMTIKPQDAVIVCARNEDEFSHLEVWILEESDGCESNMYVHHDIVLSAFPLCTAWLDCPLKEEEKGNFIAVGSMDPQIEIWDLNIVDEVQPSVVLGGVVEKKKKKNKGNKTITNYKEGSHTDSVLGLAWNKQFRNIIASASADRQVKIWDVATGKCDITLEHHTDKVQAVAWNHHEPQVLLSGSFDHSVVMKDGRIPTHPGFKWSVTADVESLAWDPHSNHSFVVSLEDGTVQGFDIRAVKSDSSSDSKPSFILHAHDSAVCTVSYNPSVPGLLATGSTDKMVKLWDLSNNQPSCVASRNPKAGAVFSISFSEDSPFLLAVGGSKGKLEVWDTS >Manes.01G139900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33154209:33159170:-1 gene:Manes.01G139900.v8.1 transcript:Manes.01G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVSWIPKGVSKSVPAVADPPSKEEIEQMIEAGALERCGDGGSEEDDEDMDVDTSKVTHALAVADALGRTQKNNNSATKFDDIADGLKELDMERYDDEDEGIELFSTGLGDLYYPSNDMDPYLKDQDDDDDSEELEDMTIKPQDAVIVCARNEDEFSHLEVWILEESDGCESNMYVHHDIVLSAFPLCTAWLDCPLKEEEKGNFIAVGSMDPQIEIWDLNIVDEVQPSVVLGGVVEKKKKKNKGNKTITNYKEGSHTDSVLGLAWNKQFRNIIASASADRQVKIWDVATGKCDITLEHHTDKVQAVAWNHHEPQVLLSGSFDHSVVMKDGRIPTHPGFKWSVTADVESLAWDPHSNHSFVVSLEDGTVQGFDIRAVKSDSSSDSKPSFILHAHDSAVCTVSYNPSVPGLLATGSTDKMVKLWDLSNNQPSCVASRNPKAGAVFSISFSEDSPFLLAVGGSKGKLEVWDTS >Manes.11G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21928330:21931487:1 gene:Manes.11G100400.v8.1 transcript:Manes.11G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEGTSVDTSWPPRSPPRRPVYYVQSPSNHDVEKMSYGSSPIGSPAHHYYHCSPIHHSRESSTSRFSASLKNPKSLSAWKHVQINQDDDDDDDEMDGDDGGSARNVRLYLCGFLFFVLLFTIFCLILWGASKAYKPEILVKNIVFENFNVQAGSDQTGVPTDMLSLNSTVRIHYRNPATFFAVHVTSTPLELHYYQLKLASGQMKKFRESRKSQRRVITVVQASQIPLYGGVPVLAIAKDHIEKVAVPLNLTFVVRSRAYILGRLVKSKFYKRIRCPLTLHGNKLGKPINLTDSCVYY >Manes.05G161600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27425437:27433112:1 gene:Manes.05G161600.v8.1 transcript:Manes.05G161600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEFLPFSESEKQPSPVPERRLKRLRKATEIFPKDPISSPSDDAASIHDENTSLFGNLDREERNEKDLEFEELNMRSLSPFEGFKEGNDLDSGFDSLNVEEGGSGSKRALEFDFLAEEFGGEGGDPMVTEEEVEVEGEFGELGTEEGENKRQKLDEEKEKKKKKRVKSSTDGYDEPYSAASTKRRGDKERRDHLKELRTESQRLLRETRNASFKPVPLVQKSVSSVLEKIRKRKLELAKKSVITNSNSFVDGNENISREVLDFDFENSAVKDAEDHKAAEADHEEATTRPVDVESSLGDSAQGSVGTSSDIHKNVISQMASDEDLKQTFRPPVDDTQDITFDSQTTESKDELSDEMPSSLLADVMTPSLLAMNLKFDSAPLDDSSSDEEDSNKENIDPRLQGSADLPSSPRGDPVKAFVDEEAEEDDSDNELSLLQDNEEDEDGMDAEEINDIIATGYEEKPIDNEMRNQLHQKWLEQQDAAGTENLLQRLKCSSKQKEMNLIEEREDEESEEAEEEFLDDPAEYLVPRNAVRMNLKKAKEMIPQMFTDKDDIYVSSDDEEIEKSLVKQSFSHKAEEKASFLSPAEREGSKEIFGLIKKLNGVPDTRRKAKMTSYFHMLSIGGNKTMSSKSSFMSRGSRSSLPVFQKHGSSTVRSFVFERDDSNSKSAVSMSEDSSDLVQKENRPKKTATAKFSNSQVRSNAPNTQSTAEKNSGPSLHEILRCPSLQSSHYSRGSMVGQVEAIYAAFKLDHNVVKKEPGVSIRTA >Manes.05G161600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27427709:27433145:1 gene:Manes.05G161600.v8.1 transcript:Manes.05G161600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEFLPFSESEKQPSPVPERRLKRLRKATEIFPKDPISSPSDDAASIHDENTSLFGNLDREERNEKDLEFEELNMRSLSPFEGFKEGNDLDSGFDSLNVEEGGSGSKRALEFDFLAEEFGGEGGDPMVTEEEVEVEGEFGELGTEEGENKRQKLDEEKEKKKKKRVKSSTDGYDEPYSAASTKRRGDKERRDHLKELRTESQRLLRETRNASFKPVPLVQKSVSSVLEKIRKRKLELAKKSVITNSNSFVDGNENISREVLDFDFENSAVKDAEDHKAAEADHEEATTRPVDVESSLGDSAQGSVGTSSDIHKNVISQMASDEDLKQTFRPPVDDTQDITFDSQTTESKDELSDEMPSSLLADVMTPSLLAMNLKFDSAPLDDSSSDEEDSNKENIDPRLQGSADLPSSPRGDPVKAFVDEEAEEDDSDNELSLLQDNEEDEDGMDAEEINDIIATGYEEKPIDNEMRNQLHQKWLEQQDAAGTENLLQRLKCSSKQKEMNLIEEREDEESEEAEEEFLDDPAEYLVPRNAVRMNLKKAKEMIPQMFTDKDDIYVSSDDEEIEKSLVKQSFSHKAEEKASFLSPAEREGSKEIFGLIKKLNGVPDTRRKAKMTSYFHMLSIGGNKTMSSKSSFMSRGSRSSLPVFQKHGSSTVRSFVFERDDSNSKSAVSMSEDSSDLVQKENRPKKTATAKFSNSQVRSNAPNTQSTAEKNSGPSLHEILRCPSLQSSHYSRGSMVGQVEAIYAAFKLDHNVVKKEPGVSIRTA >Manes.05G161600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27425437:27433112:1 gene:Manes.05G161600.v8.1 transcript:Manes.05G161600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEFLPFSESEKQPSPVPERRLKRLRKATEIFPKDPISSPSDDAASIHDENTSLFGNLDREERNEKDLEFEELNMRSLSPFEGFKEGNDLDSGFDSLNVEEGGSGSKRALEFDFLAEEFGGEGGDPMVTEEEVEVEGEFGELGTEEGENKRQKLDEEKEKKKKKRVKSSTDGYDEPYSAASTKRRGDKERRDHLKELRTESQRLLRETRNASFKPVPLVQKSVSSVLEKIRKRKLELAKKSVITNSNSFVDGNENISREVLDFDFENSAVKDAEDHKAAEADHEEATTRPVDVESSLGDSAQGSVGTSSDIHKNVISQMASDEDLKQTFRPPVDDTQDITFDSQTTESKDELSDEMPSSLLADVMTPSLLAMNLKFDSAPLDDSSSDEEDSNKENIDPRLQGSADLPSSPRGDPVKAFVDEEAEEDDSDNELSLLQDNEEDEDGMDAEEINDIIATGYEEKPIDNEMRNQLHQKWLEQQDAAGTENLLQRLKCSSKQKEMNLIEEREDEESEEAEEEFLDDPAEYLVPRNAVRMNLKKAKEMIPQMFTDKDDIYVSSDDEEIEKSLVKQSFSHKAEEKASFLSPAEREGSKEIFGLIKKLNGVPDTRRKAKMTSYFHMLSIGGNKTMSSKSSFMSRGSRSSLPVFQKHGSSTVRSFVFERDDSNSKSAVSMSEDSSDLVQKENRPKKTATAKFSNSQVRSNAPNTQSTAEKNSGPSLHEILRCPSLQSSHYSRGSMVGQVEAIYAAFKLDHNVVKKEPGVSIRTA >Manes.17G093500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30154296:30156675:1 gene:Manes.17G093500.v8.1 transcript:Manes.17G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVVVPVPPVDFNFDSTCSSPYMTAPSSPQRFGNLFSAPTSPSRVSTFYRELNDASLATNSSSSVPFDWEEKPGTPKSRNGSRGGNYSSKNDHSLEDFDDDDGDDDFEFSFSGQLDRTSLSADELFDGGKIRPLKPPPGYDSSVSTVSSPRSPRSRTSQKKDFDPFQAAMEETRRKESKQQQHREKQKQQQRGRERSISSSSSAKSSNYKHKGSRSLSPLRVSDDLMLDQEENSQDEKVSAPTSSNPKSSFTTSYASSFLSALSFSSKGYKKWKLKDFLLFRSASEGRATGKDPLTKYSVLSKRDIAEDVKNCSFRSTDSSVGGSSRRRAPVSAHELHYTVNRAVSEEMKRKTFLPYKHGLLGCLGFNPGLHEITRGVGSLTRG >Manes.02G163800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12831562:12831891:-1 gene:Manes.02G163800.v8.1 transcript:Manes.02G163800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQQVRQVLKSGVGRCINCGSMADLVEYEKVLKLFFVPVWKWPGKEPAVYCNNCNLMFPRSFPLPPPKTHPSVVSESLRCHLCNRVVEPEFRFCPFCGSSL >Manes.01G029400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5910788:5914500:-1 gene:Manes.01G029400.v8.1 transcript:Manes.01G029400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP2 MKIPILLFLFSLLLPSSLAKVKISVTPTILSKSGDIVTVSWSNVDSPSKLDWLGLYSPPDSRHDHFIGYKFLSSSPTWESGSGSISIPIINLRSNYSFRIFRWIESEINPKRHDHDQNPLPGTVHLVAESEQVGFDAGHGPEQIHLAYTDSEDEMRVMFVVGDKEERKVKWGQVDGEWSRVTVARVVRYEREDLCDAPANGSIGWRDPGWIHDAVMSDLKNGVRYYYQVGSDSKGWSGTQSFVSRNGNSDETIAFLFGDMGAATPYTTFRRTQDESISTVKWILRDIEAIGDRHAFVSHIGDISYARGYSWLWDHFFTQIEPVASQVPYHVCIGNHEYDWPLQPWKPDWSYSIYGTDGGGECGVPYSLKFNMPGNSSELTGTRAPATRNLYYSFDTGAVHFVYISTETNFLPGSSQYNFIKHDLESVNRSKTPFVIVQGHRPMYTTSHENRDAPLRMKMLEHLEPLFVKNNVTLALWGHVHRYERFCPLNNYTCGSTWKGYPVHAVIGMAGQDWQPIWEPRPDHPDVPVFPQPEQSLYRAGEFGYTRLVATKEKLTLSYVGNHDGEVHDMVEILASGQVHSGSDGLSNVAGTMVEVVVEDSPFSKYVKGASILVLGAFVGYILGFISHARKKNASKGNWISVKTEEHETLS >Manes.13G087725.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11850000:11854698:-1 gene:Manes.13G087725.v8.1 transcript:Manes.13G087725.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRQLDQLDPDPPLPRLRVQPSSPPRVPITTPIPSSHRRIAIAVDLSDESAYAVKWAVKNYLRPDDSVILLHVRPTSVLCGADWGSIKLHINDNSDGAPLDESDQQKLEDDFNNFTTTKANSLAQPLVEAQFPFKIHIVEDHDMKERLCLEVERLGLSAVIMGSRGFGAARRNSKGRLGSVSDYCVHHCICPVVVVRCPDEKEGESDGDGGKEGSVKKGIIGKEVELHPVPEEDQEYHDASDEQKDF >Manes.13G087725.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11850000:11854698:-1 gene:Manes.13G087725.v8.1 transcript:Manes.13G087725.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRQLDQLDPDPPLPRLRVQPSSPPRVPITTPIPSSHRRIAIAVDLSDESAYAVKWAVKNYLRPDDSVILLHVRPTSVLCGADWGSIKLHINDNSDGAPLDESDQQKLEDDFNNFTTTKANSLAQPLVEAQFPFKIHIVEDHDMKERLCLEVERLGLSAVIMGSRGFGAARRNSKGRLGSVSDYCVHHCICPVVVVRCPDEKEGESDGDGGKEGSVKKGIIGKEVELHPVPEEDQEYHDASDEQKDF >Manes.01G150200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33904835:33906854:-1 gene:Manes.01G150200.v8.1 transcript:Manes.01G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISILLFSSILLFSASSTGRALSFNYYEKTCPDVELIVTNAVKNAMVRDKTVPAALLRMHFHDCFIRGCDASVLLTSKGNNKAEKDGPPNVSLHAFYVIDNAKKEVEALCPGVVSCADILALAARDAIVLSGGPTWDVPKGRKDGRTSKASETIQLPAPTFNISQLQQSFSQRGLSMDDLVALSGGHTLGFSHCSSFQNRIRNFNATHDIDPTMNPSFAASLRSICPKSNAKNAGSPMDPSSTTFDNTYFKSILQGKTLFSSDQALLTSTGTKDLVSKFASSQDAFSKAFVKSMIKMSSITGGQEVRKDCRVVN >Manes.11G143300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30825638:30826450:-1 gene:Manes.11G143300.v8.1 transcript:Manes.11G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQSLFSFSILLLFLHSTKTFAQSPAAAPVQAPPVAPVQPPPAPPAQSPSGVQVAPSPGPLDVVKILGKASHFTVFVRLLKATQVDTELFLQLNNTNNGATIFAPSDGAFSGLKVGTLNSLSDGDKIELVKFHIMPTFISTSQFQTVSNPVRTLAGAGNRFALNVTTAGNLVNITTGLTNTTISGTVYTDSRLAIYQVDKVLLPLDMFTPKSPPPAPSPAPAPENPKKAPIIESPVAPKDISGAVSLIVQNHLVLGFVAVGVISAMLSF >Manes.07G108900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31467065:31469856:-1 gene:Manes.07G108900.v8.1 transcript:Manes.07G108900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKSKTELLDQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKREMYFPMRKYAIKV >Manes.04G067900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26517927:26519519:1 gene:Manes.04G067900.v8.1 transcript:Manes.04G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFTFIISSLLLISFLLGTSAEQCGSQAGGAVCPGGLCCSKYGWCGSTTEYCCDGCQSQCRPNICGGGGGGGDLGRIISKATFEQMLKHRNDPACPARGFYTYEAFITAAKAFPGFGTTGDDATRKREIAAFLGQTSHETTGGWPTAPDGPYAWGYCFVRERTPSDYCSPSATYPCAPGKQYYGRGPIQLSWNYNYGQCGNAIGVGLLNNPDLVATDPVISFKTAIWFWMTPQSPKPSCHNVIIGKWSPTPADSAAGRVPGYGVITNIINGGIECGKGPNEQNKDRIGFYKRYCDIFGVSYGSNLDCYNQRPFGNGLLNLVNSM >Manes.07G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32647856:32651204:-1 gene:Manes.07G122800.v8.1 transcript:Manes.07G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVNRNGAAGGYEMRKNGSESVTESRDSSSSSPESSSTEGVKTVGCDSPSPLGWPIMKAGDCKDSSATGGNDDQEKTNFQGSKFKKLSSKISEIDMMKERFAKLLLGEDMSGSGKGVCTALALSNAITNLCVTVFGQLWRLEPLPSEKKSMWRREMEWLLCVGDHIVELIPSWQTFPDGSKLEVMNCQLRSDLSINLPALHKLDNMLLEILDSFANAEFWYVDQGIVASGADGSNSFCKAIQRQEEKWWLPLPRVPAGGLSEDSRKQLNYKRECTNQILKAAMAINNIALAEMDVPDSYLESLPKNGRACLGDHIYRYIASEQFSAESLLDCLELKTEHAALEIANRVESAVYAMRRRTSNPKATAKSSWEMVKDLMIDVDKRDLLAERAESLLLSLKQRFPSLSQTTLDTSKIQFNKDVGKSILESYSRVLESLSFNVIARIDDLLYVDDLIKHSDKISVPTVSVIAHKNVSIPYSVPVSGTPYKTYFSPAPRISPARGERTPFLHSITTSNNSHKPNRRGFGVKKVLTNYLGVDTKPKICGSSTETTCPNPNGTEGGGHESGITTACLNHSKQLS >Manes.04G021600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2586661:2587977:1 gene:Manes.04G021600.v8.1 transcript:Manes.04G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFFTYTLLAFFALQVALSATPPPESDSSPSPSPQLPAGSPLLSAASPSPHMDLSPSPTDSPMVSPPAPPPSDLLGPGASPTPAHSPEKSGVPAPAPVEPSDINHTGNVEASGDQSKGSSGMSGGKKAGIAIGVILGAGVVVVGGLLYKKRQQNIRRSQYGYAARGELL >Manes.03G127202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25332072:25333207:-1 gene:Manes.03G127202.v8.1 transcript:Manes.03G127202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTELQKKIQELEKYVLKLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.06G083400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21879615:21881680:-1 gene:Manes.06G083400.v8.1 transcript:Manes.06G083400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSREKIFQAKQEGDAAGDSSFSKAATSTSSRKWSAFRNPRIVRMPRSFGGKDRHSKVSTVRGLRDRRIRLSVHTALQLYDLQDRLGLSQPSKVIDWLLDATKNDIDKLPPLQMLQGFGQFHDQQMLLSHQSNFANFFDPNSDGGYHQDTVAAEASLRSGEVEISVAEKGKWIKTNEQENQEYGFCNYTTAGQVSAQKLFPLTSSNTHSSLPGLPNNSYFHWDTSNLSSSHQFQTHGFVHHTETSLKDTVSSPPSLPFSSASQFFFCPPVTMASLFQQYPPYATTPLESESKEINHFQLLNSGSQHILPNSRATNLPMRNLSLNVNPGFAHLHQSNNV >Manes.06G083400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21879539:21882040:-1 gene:Manes.06G083400.v8.1 transcript:Manes.06G083400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSREKIFQAKQEGDAAGDSSFSKAATSTSSRKWSAFRNPRIVRMPRSFGGKDRHSKVSTVRGLRDRRIRLSVHTALQLYDLQDRLGLSQPSKVIDWLLDATKNDIDKLPPLQMLQGFGQFHDQQMLLSHQSNFANFFDPNSDGGYHQDTVAAEASLRSGEVEISVAEKGKWIKTNEQENQEYGFCNYTTAGQVSAQKLFPLTSSNTHSSLPGLPNNSYFHWDTSNLSSSHQFQTHGFVHHTETSLKDTVSSPPSLPFSSASQFFFCPPVTMASLFQQYPPYATTPLESESKEINHFQLLNSGSQHILPNSRATNLPMRNLSLNVNPGFAHLHQSNNV >Manes.06G083400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21879570:21882040:-1 gene:Manes.06G083400.v8.1 transcript:Manes.06G083400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSREKIFQAKQEGDAAGDSSFSKAATSTSSRKWSAFRNPRIVRMPRSFGGKDRHSKVSTVRGLRDRRIRLSVHTALQLYDLQDRLGLSQPSKVIDWLLDATKNDIDKLPPLQMLQGFGQFHDQQMLLSHQSNFANFFDPNSDGGYHQDTVAAEASLRSGEVEISVAEKGKWIKTNEQENQEYGFCNYTTAGQVSAQKLFPLTSSNTHSSLPGLPNNSYFHWDTSNLSSSHQFQTHGFVHHTETSLKDTVSSPPSLPFSSASQFFFCPPVTMASLFQQYPPYATTPLESESKEINHFQLLNSGSQHILPNSRATNLPMRNLSLNVNPGFAHLHQSNNV >Manes.06G083400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21879615:21881680:-1 gene:Manes.06G083400.v8.1 transcript:Manes.06G083400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSREKIFQAKQEGDAAGDSSFSKAATSTSSRKWSAFRNPRIVRMPRSFGGKDRHSKVSTVRGLRDRRIRLSVHTALQLYDLQDRLGLSQPSKVIDWLLDATKNDIDKLPPLQMLQGFGQFHDQQMLLSHQSNFANFFDPNSDGGYHQDTVAAEASLRSGEVEISVAEKGKWIKTNEQENQEYGFCNYTTAGQVSAQKLFPLTSSNTHSSLPGLPNNSYFHWDTSNLSSSHQFQTHGFVHHTETSLKDTVSSPPSLPFSSASQFFFCPPVTMASLFQQYPPYATTPLESESKEINHFQLLNSGSQHILPNSRATNLPMRNLSLNVNPGFAHLHQSNNV >Manes.08G094810.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19377178:19382659:1 gene:Manes.08G094810.v8.1 transcript:Manes.08G094810.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILPFTDPITCCQIPFTFLHSVQTHRSYLQLPRDSLSFTPHYRQSENPIFNRYISPSLRNRDLQLEIPIAKSLKYRQPFVGSFQHHSTLTRVFVPTNPVTPSVQQINLIHFIGSDPRDRGGYQC >Manes.15G192500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:31699931:31702206:1 gene:Manes.15G192500.v8.1 transcript:Manes.15G192500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTSVLHQTHFLLPSESPQVNLRLKEQECLTLLRRCMNIEEFRQAHAQILRWGFFCSSFCASNLLATCALPDWGSMDYASSIFQQIEEPGTFEFNTMIKGYAKDFNMEKSLFVYCEMLEKGVQSDNFTFPSLLKACTWLNAIKEGMQIHGNIIKLGFESDLYVQNSLINMYGKCGEIKLSCAVFEQMGQKDAASWSAIMAAHTSSGMWSESLQLFEEMGHGRSCRPEESLYVSMLSACSHLGALDFGRFLHGVLLRNFSELNLTVKTSLTDMYINCGCVEKALCLFRRTTKKNKFSYSVMISGLAMHGRSKEALELFSEMLLEGLQPDDVIYVSVLTACSRDGLVDEGLQFWNRMKFEHGIEPTIQHYCCIVDLVGRAGMLDEALEFIRSMPIQPNDVVWRSLLSACKAHHNLKIGEIATKSLVRLNSRNPSDLLILSHMYARAKKWEDLAKVRTELACKHLHQTPGYSLVEVKRKVYRFVSQDKSHPESEDIYEMIHQMEWQLKFEGYSADTSEILLDVDEEEKKQRLKSHSQKLAISFALIHTAEGVPIRIARNLRMCNDCHTYTKSISKIYGREIIVRERNRFHHFKDGACSCRDYW >Manes.15G192500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31699930:31702206:1 gene:Manes.15G192500.v8.1 transcript:Manes.15G192500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSSDGDSFAALSVQAIYWLLVLSQTGAVWIMHLQFFNKLRNQACTWLNAIKEGMQIHGNIIKLGFESDLYVQNSLINMYGKCGEIKLSCAVFEQMGQKDAASWSAIMAAHTSSGMWSESLQLFEEMGHGRSCRPEESLYVSMLSACSHLGALDFGRFLHGVLLRNFSELNLTVKTSLTDMYINCGCVEKALCLFRRTTKKNKFSYSVMISGLAMHGRSKEALELFSEMLLEGLQPDDVIYVSVLTACSRDGLVDEGLQFWNRMKFEHGIEPTIQHYCCIVDLVGRAGMLDEALEFIRSMPIQPNDVVWRSLLSACKAHHNLKIGEIATKSLVRLNSRNPSDLLILSHMYARAKKWEDLAKVRTELACKHLHQTPGYSLVEVKRKVYRFVSQDKSHPESEDIYEMIHQMEWQLKFEGYSADTSEILLDVDEEEKKQRLKSHSQKLAISFALIHTAEGVPIRIARNLRMCNDCHTYTKSISKIYGREIIVRERNRFHHFKDGACSCRDYW >Manes.02G082332.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6451571:6453490:-1 gene:Manes.02G082332.v8.1 transcript:Manes.02G082332.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFPSGDVGDVPAANAQPGVDGSENVKGVPTSLTDLGFSSEFERQLQLENDPELKFISLHELDNDDVFLALHDIIVTRNTNMAYDHVHSEMTVMDSTPNMVRSHGFSSGENPPFNFGYDQFFSSDDKGKGVVVDETPSHNFVLDHVGPYKETEVVTPTLDMANVDYDFPFEEMGDDQSQELQPPSVLFTTDLKPRLRWTFELHQCFLKAVNDLGGPKKACPKDILNSMKVEGLTLYHVKSHLQKYRQNPDSVSQWCEMNQNGEFLVDNIRVREPSE >Manes.09G013700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3087238:3091695:1 gene:Manes.09G013700.v8.1 transcript:Manes.09G013700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVRMEWFQRLKIHSMQMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIHTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIADIFSYNVLINGYCKCKMIDDAKQIFDEMSHKGLVPDAVTYHTLIKGMFQAGRPQTAKELFKDMCSYGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPDLVTHCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCRQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNEGSAN >Manes.11G052300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6556896:6561575:1 gene:Manes.11G052300.v8.1 transcript:Manes.11G052300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQHSSTGSGSRTARRTFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLENLPLPNIKWICPTAPTRSVSLLGGFSCTAWFDVGEISENSPDDLEGLDASAAHIANLLSTEPTDVKVGIGGFSMGAATALYSATCAATGRYSNGNLYPIKLRAVVGLSGWLPGSRGLRNKIEGSHEAARRAASLPILVCHGTNDDVVSYNYGEQSANLLHSAGFQLITFKKYDGLGHYTVPTEMNEVHSWLKAKLNVE >Manes.18G137800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:17508647:17510304:1 gene:Manes.18G137800.v8.1 transcript:Manes.18G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHNNTPFLLERKDVVFVKPAKPTLFEVLSLSSIDNDPNLELLCQSIYVYQAKPKPIFSNGNARHHDDVASKVNSQEADSSDPTHVIKDAISKVLVHYYPLAGKLKRESDGRLQLTCNGDGVPFLEATANCQLSSLNYLDGVDVEIAKQFVFDLPSKSEYGYHPLVFQLTKFSCGGFTIGMGLSHSVCDGFGASQFFRAMAEFASGKSEPTVKPVWERERLVGKAIQEPLPPIVDNAGLAKSPYLPTTDILHACFNVTSESIRRLKVNLMKELCEENEGKRESFTTLEVLGAYIWRSRFRAFNLNPDGKTILFLTIGIRHLLNPSLPVGYYGNAISSSIVELMGGDLNEGPLSGAIKLIKESKKLACNSDHVWSRINIIETLIEKKIKIEGGRGAVMVLTDWRQLGLLEEVDFGWKAAVNIIPVPWKMFGYVDLCIFLPPFSLDPSMKGGVRVLVSLPKAVMPKFKEEMDALLKLGDEEAHP >Manes.11G070600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10162018:10162299:-1 gene:Manes.11G070600.v8.1 transcript:Manes.11G070600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNILSSPRLRSPSLRKQFSRDELGSWSTLLQRHRFLLTALVLLAFLCTIYLYFAITLGATGSCSGLTGKQQALCRVELAKASVKGKMKFF >Manes.11G070600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10161916:10164674:-1 gene:Manes.11G070600.v8.1 transcript:Manes.11G070600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNILSSPRLRSPSLRKQFSRDELGSWSTLLQRHRFLLTALVLLAFLCTIYLYFAITLGATGSCSGLTGKQQALCRVELAKASVKGKMKFF >Manes.11G070600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10161916:10164685:-1 gene:Manes.11G070600.v8.1 transcript:Manes.11G070600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNILSSPRLRSPSLRKQFSRDELGSWSTLLQRHRFLLTALVLLAFLCTIYLYFAITLGATGSCSGLTGKQQALCRVELAKASVKGKMKFF >Manes.05G179000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29544256:29547080:-1 gene:Manes.05G179000.v8.1 transcript:Manes.05G179000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQENCVRLTRTAKKRAAEMPIVEEQPVLKKRVVLGELPNLSNIVVPINKSAGDLPRKQKIKPKPKAKKAIILKEDEAPKEYIDGMSDDPQMCGPYASDIYEYLHKLEVDPKRRPLPDYIEKVQKDVSPNMRGILVDWLVEVAEEYKLVSDTLYLTITYIDRFLSLNVLNRQKLQLLGVSSMLIASKYEEINPPNVEDFCYITDNTYTKEEVVKTESDILNSLKFELGSPTIKTFLRRFTRVAQEDCKILSLQLEFLGYYLAELSLLDYNCVKFLPSLVAATVIFLARFIITPKMHPWTLTLQQYSGYKPSDLKECVLIIHDLYLSRRGGGLQAVREKYKQHKFKRVASMPSPPEVPVSFFEDLKE >Manes.05G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:907151:909820:1 gene:Manes.05G011100.v8.1 transcript:Manes.05G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLEGDEGIGYWFRWQVPVCGLIIVGSSLVAFYTTSKVRAEPLFLNELWKPCWRCINPLWLLFYRLSAFICLAPMLYEIVAIDGAFAFYFYTQWTFALVMVYFALASVISGYGCWMSPKQFPSENGETTGFLRTDVEGNGTTNTTTYREKKIKGTTKLHSHHAEEAIRLRAGFWGFLTQIIYQTCAGAVILTDIVFWCILVPFESNAHFGLNALMGCMHTLNAVFLLLDTALNSLPFPWFRLAYFVQWSCLYVVFQWVIHACGFTWWPYPFLGLDTPWAPLWYFALAAVHIPCYGIYALIVKAKNSNFPRWFPGAFVRSY >Manes.08G165700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40014864:40022685:1 gene:Manes.08G165700.v8.1 transcript:Manes.08G165700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGSYPGKRRWRCLVIGVLFLVVLSMLVPLVFLLGLYNGFHSYGYISDRRSSNSDSGSMEHSNIKEIIDHFAPTLPNLEGALRNLTNEAGNISSMTGVKHDGQQQQKGNPLPPHSLLPPPSVGNENDKAGTTEVTESTKNEVKDIEKLCELRFGSYCKWREEHREDMKDSIVKKLKDRLFVARAYFPSIAKLPAQNKLSQELKKNIQEFEHILSESTRDIDLPSQIENKLQRMEVAIAEARTCPVECHNVHKKLSQILDMTEDEANFYTRQSAFLHQLAVQTMPKSLHCLSMKLTVEYFNSSLHDMAPPPPERFSDPMMHHYVIFSNNILASSVVINSTVTHARDSGSLVFHVLTDEQNYFPMKLWFFKHSYREAAIQVLDIEHLDLDYHDKKALLSMSLPVEFHVSFLGVDGPSATPLKTEYLSVFSHAQYLLPYIFKNLKKVVILDDDVVVQRDLSDLWNLNMGGKVNGALQLCSVRLGQLSSYLGESSFDKNSCVWMSGLNVIDLARWRELDLTETYRKLGQQVIDKFYFCFFNGQLHLEYFVSFLIIYHN >Manes.08G165700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40014761:40023192:1 gene:Manes.08G165700.v8.1 transcript:Manes.08G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGSYPGKRRWRCLVIGVLFLVVLSMLVPLVFLLGLYNGFHSYGYISDRRSSNSDSGSNGARVDRTHLTNHKMEHSNIKEIIDHFAPTLPNLEGALRNLTNEAGNISSMTGVKHDGQQQQKGNPLPPHSLLPPPSVGNENDKAGTTEVTESTKNEVKDIEKLCELRFGSYCKWREEHREDMKDSIVKKLKDRLFVARAYFPSIAKLPAQNKLSQELKKNIQEFEHILSESTRDIDLPSQIENKLQRMEVAIAEARTCPVECHNVHKKLSQILDMTEDEANFYTRQSAFLHQLAVQTMPKSLHCLSMKLTVEYFNSSLHDMAPPPPERFSDPMMHHYVIFSNNILASSVVINSTVTHARDSGSLVFHVLTDEQNYFPMKLWFFKHSYREAAIQVLDIEHLDLDYHDKKALLSMSLPVEFHVSFLGVDGPSATPLKTEYLSVFSHAQYLLPYIFKNLKKVVILDDDVVVQRDLSDLWNLNMGGKVNGALQLCSVRLGQLSSYLGESSFDKNSCVWMSGLNVIDLARWRELDLTETYRKLGQQFSKLSKSIEASALRASLLTFQDQIYALGNVWALSGMGHDYGLDVKVIKNAAVLHYNGRMKPWLELGIPRYKRYWKSFLNRNDRFLAECNVNP >Manes.08G165700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40014805:40022685:1 gene:Manes.08G165700.v8.1 transcript:Manes.08G165700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGSYPGKRRWRCLVIGVLFLVVLSMLVPLVFLLGLYNGFHSYGYISDRRSSNSDSGSNGARVDRTHLTNHKMEHSNIKEIIDHFAPTLPNLEGALRNLTNEAGNISSMTGVKHDGQQQQKGNPLPPHSLLPPPSVGNENDKAGTTEVTESTKNEVKDIEKLCELRFGSYCKWREEHREDMKDSIVKKLKDRLFVARAYFPSIAKLPAQNKLSQELKKNIQEFEHILSESTRDIDLPSQIENKLQRMEVAIAEARTCPVECHNVHKKLSQILDMTEDEANFYTRQSAFLHQLAVQTMPKSLHCLSMKLTVEYFNSSLHDMAPPPPERFSDPMMHHYVIFSNNILASSVVINSTVTHARDSGSLVFHVLTDEQNYFPMKLWFFKHSYREAAIQVLDIEHLDLDYHDKKALLSMSLPVEFHVSFLGVDGPSATPLKTEYLSVFSHAQYLLPYIFKNLKKVVILDDDVVVQRDLSDLWNLNMGGKVNGALQLCSVRLGQLSSYLGESSFDKNSCVWMSGLNVIDLARWRELDLTETYRKLGQQVIDKFYFCFFNGQLHLEYFVSFLIIYHN >Manes.08G165700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40014864:40022662:1 gene:Manes.08G165700.v8.1 transcript:Manes.08G165700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGSYPGKRRWRCLVIGVLFLVVLSMLVPLVFLLGLYNGFHSYGYISDRRSSNSDSGSNGARVDRTHLTNHKMEHSNIKEIIDHFAPTLPNLEGALRNLTNEAGNISSMTGVKHDGQQQQKGNPLPPHSLLPPPSVGNENDKAGTTEVTESTKNEVKDIEKLCELRFGSYCKWREEHREDMKDSIVKKLKDRLFVARAYFPSIAKLPAQNKLSQELKKNIQEFEHILSESTRDIDLPSQVRSPARLIISACFIRIENKLQRMEVAIAEARTCPVECHNVHKKLSQILDMTEDEANFYTRQSAFLHQLAVQTMPKSLHCLSMKLTVEYFNSSLHDMAPPPPERFSDPMMHHYVIFSNNILASSVVINSTVTHARDSGSLVFHVLTDEQNYFPMKLWFFKHSYREAAIQVLDIEHLDLDYHDKKALLSMSLPVEFHVSFLGVDGPSATPLKTEYLSVFSHAQYLLPYIFKNLKKVVILDDDVVVQRDLSDLWNLNMGGKVNGALQLCSVRLGQLSSYLGESSFDKNSCVWMSGLNVIDLARWRELDLTETYRKLGQQFSKLSKSIEASALRASLLTFQDQIYALGNVWALSGMGHDYGLDVKVIKNAAVLHYNGRMKPWLELGIPRYKRYWKSFLNRNDRFLAECNVNP >Manes.13G151900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36655861:36656139:-1 gene:Manes.13G151900.v8.1 transcript:Manes.13G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNRVCMAASVAVVQGHPDQGPKWRSSLKSLQHGKSRLFSTGALSELRPLAGAVGSERDGVPGSPRLDEGVREKDESFRQVMYLNCWGQG >Manes.06G108700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24080753:24083270:-1 gene:Manes.06G108700.v8.1 transcript:Manes.06G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLIRYACNEIPTALPAPPGTSSIHHMPPRPRPGDHFNRRMGNEGGFYQPSAMPLPYNFPWNDNPSGDINEGGGEGNM >Manes.06G108700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24080816:24083185:-1 gene:Manes.06G108700.v8.1 transcript:Manes.06G108700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLIRYACNEIPTALPAPPGTSSIHHMPPRPRPGDHFNRRMGNEGGFYQPSAMPLPYNFPWNDNPSGDINEGGGEGNM >Manes.15G030100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2376797:2379930:-1 gene:Manes.15G030100.v8.1 transcript:Manes.15G030100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSTILSPTDKHRKSSSFPRLFTGLTFKNLSETAEAVMSPTSILDSKSFSGLMKNPFLPDLPTPKTPDSETKRTWDKLDSRGLGLVDALNDDKFDTNLPKSESRMIIFGSQLKIQVPHLPPPFHSSPAESPKSPADFGIKTRNSQLGSFSSGLSHSPAKKSACGSTNWGMDTPNSPRFFTGCLSTSEMELSEEYTCVISYGPNPRTTHIFDDCIVESCCGIVGSDLRPESTGLSADGSIHSPENFLSFCYACKKHLVQGKNIYMYSLMQR >Manes.15G030100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2376797:2379930:-1 gene:Manes.15G030100.v8.1 transcript:Manes.15G030100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSTILSPTDKHRKSSSFPRLFTGLTFKNLSETAEAVMSPTSILDSKSFSGLMKNPFLPDLPTPKTPDSETKRTWDKLDSRGLGLVDALNDDKFDTNLPKSESRMIIFGSQLKIQVPHLPPPFHSSPAESPKSPADFGIKTRNSQLGSFSSGLSHSPAKKSACGSTNWGMDTPNSPRFFTGCLSTSEMELSEEYTCVISYGPNPRTTHIFDDCIVESCCGIVGSDLRPESTGLSADGSIHSPENFLSFCYACKKHLVQGKNIYMYSLMQR >Manes.15G030100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2376619:2379950:-1 gene:Manes.15G030100.v8.1 transcript:Manes.15G030100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSTILSPTDKHRKSSSFPRLFTGLTFKNLSETAEAVMSPTSILDSKSFSGLMKNPFLPDLPTPKTPDSETKRTWDKLDSRGLGLVDALNDDKFDTNLPKSESRMIIFGSQLKIQVPHLPPPFHSSPAESPKSPADFGIKTRNSQLGSFSSGLSHSPAKKSACGSTNWGMDTPNSPRFFTGCLSTSEMELSEEYTCVISYGPNPRTTHIFDDCIVESCCGIVGSDLRPESTGLSADGSIHSPENFLSFCYACKKHLVQGKNIYMYRGEKAFCSRECRYHVMLLEEGIDKLDVCGTCS >Manes.15G030100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2376797:2379930:-1 gene:Manes.15G030100.v8.1 transcript:Manes.15G030100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSTILSPTDKHRKSSSFPRLFTGLTFKNLSETAEAVMSPTSILDSKSFSGLMKNPFLPDLPTPKTPDSETKRTWDKLDSRGLGLVDALNDDKFDTNLPKSESRMIIFGSQLKIQVPHLPPPFHSSPAESPKSPADFGIKTRNSQLGSFSSGLSHSPAKKSACGSTNWGMDTPNSPRFFTGCLSTSEMELSEEYTCVISYGPNPRTTHIFDDCIVESCCGIVGSDLRPESTGLSADGSIHSPENFLSFCYACKKHLVQGKNIYMYRGEKAFCSRECRYHVMLLEEGIDKLDVCGTCS >Manes.09G168900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36117465:36123551:1 gene:Manes.09G168900.v8.1 transcript:Manes.09G168900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPPNIDGNSIEESSFQQLTLPSSPEISGISGEPLVNPRVGDQYQAEIPPMISEYEHFQLLLNPFDSEVTVDSHSFLIGLPIPITWVHNKMNDNNERCRMSNPDDLVHATWSSKSRTTRKNNILKKKAPKQNAESLDLGLDDGNEPRPVTLEPKESGKANLSQLHKNKNYDPFPGSLTHPWSDDDVDSFILGLYIFGKNFVQIERFMENKEMGEILPYYYGEFYRSDGYRRWSDCQKTKRKKCIYGQKLFTGWRQQELLSRLHPHVPVSIAFSEGKFSLEDYVSNLRAIVGIQALVDAIRIGKGKEDLTSLAMESARSNPLFSVCPIGKACSSLTSSDIIKLLTGGFRLSKARCNDIFWEAVWPRLLARGWHSEQPSSQGYMGSDHHLVFLTPGVKKFSKRKLVKGNHYFDSVSDVLSKVASEPKLIELETEEARESSCNEEETSVAAGVLSGHNDGSIRQSFRYLKPRVSNYNLNLVRFTVIDSGLVDEGKLSRVREMRYAAEDLNVKSLLTKLSNNIEMMFLENSLNDNERDAVDISLDGKCCEKTFDGRGSNHTKFTIVDTSLIHASSKVRELRYPPVDIIVTSKMTKSLRKEDSFEDSMEEHMQGATKMLSHEEKNVKKSSHEKDVIDSSGSEQKALNREIRNKLVKSTQGNNDVSNKNQSTMTIKHKFCRRSKSGQSENLVSVVKRRRLTACSNTELSHVIENFSVSLGSKQEGSYFALKSSEGGNNTFQVNPPQKSSLNISLAEGSLEESTGVLMGSTCFSMETSHGENVELQTPSLIDLNLPQFSLDCENDEPASMNVESSQGAKADNTCFLSNSDKTDPGAPSASVDASPAVDQPSSKPRRQSTRKRPLTTRALEALECGLFGMKKQKSSQIHTKEISFPSSSHQVHSKVKVTSRCDTVGPGIVNEKDGNASEAFNEKRFCPKNS >Manes.09G168900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36117465:36123551:1 gene:Manes.09G168900.v8.1 transcript:Manes.09G168900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPPNIDGNSIEESSFQQLTLPSSPEISGISGEPLVNPRVGDQYQAEIPPMISEYEHFQLLLNPFDSEVTVDSHSFLIGLPIPITWVHNKMNDNNERCRMSNPDDLVHATWSSKSRTTRKNNILKKKAPKQNAESLDLGLDDGNEPRPVTLEPKESGKANLSQLHKNKNYDPFPGSLTHPWSDDDVDSFILGLYIFGKNFVQIERFMENKEMGEILPYYYGEFYRSDGYRRWSDCQKTKRKKCIYGQKLFTGWRQQELLSRLHPHVPVHSQTDFLEVSIAFSEGKFSLEDYVSNLRAIVGIQALVDAIRIGKGKEDLTSLAMESARSNPLFSVCPIGKACSSLTSSDIIKLLTGGFRLSKARCNDIFWEAVWPRLLARGWHSEQPSSQGYMGSDHHLVFLTPGVKKFSKRKLVKGNHYFDSVSDVLSKVASEPKLIELETEEARESSCNEEETSVAAGVLSGHNDGSIRQSFRYLKPRVSNYNLNLVRFTVIDSGLVDEGKLSRVREMRYAAEDLNVKSLLTKLSNNIEMMFLENSLNDNERDAVDISLDGKCCEKTFDGRGSNHTKFTIVDTSLIHASSKVRELRYPPVDIIVTSKMTKSLRKEDSFEDSMEEHMQGATKMLSHEEKNVKKSSHEKDVIDSSGSEQKALNREIRNKLVKSTQGNNDVSNKNQSTMTIKHKFCRRSKSGQSENLVSVVKRRRLTACSNTELSHVIENFSVSLGSKQEGSYFALKSSEGGNNTFQVNPPQKSSLNISLAEGSLEESTGVLMGSTCFSMETSHGENVELQTPSLIDLNLPQFSLDCENDEPASMNVESSQGAKADNTCFLSNSDKTDPGAPSASVDASPAVDQPSSKPRRQSTRKRPLTTRALEALECGLFGMKKQKSSQIHTKEISFPSSSHQVHSKVKVTSRCDTVGPGIVNEKDGNASEAFNEKRFCPKNS >Manes.04G150400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34660745:34661754:-1 gene:Manes.04G150400.v8.1 transcript:Manes.04G150400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPRAPVSSAPCYFTSKQETFTIWMKSLVLSGNGCTVFDSNGHVVYRVDNYNCKSGNQVLLMDSRGKVLFTILRKKLKLLGCWEGYRTFGDEFEERKLGFQVRKSFRLLRGGSPCRAVVWLDQNQTLQYKIQSWTRSSTCKIIDKSGELIAEVKRKESKSGVVLGEDVLTMVVEPCMDHCLIMGLVVVYNLIKCKI >Manes.17G019650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:10471435:10471875:1 gene:Manes.17G019650.v8.1 transcript:Manes.17G019650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQADKKLSKRVFTMGDCVFLKLQPYSQTSLALRRSLKLSAKYYGSFQIIAKVGSVAYKLQLPPTSTIHLIFHVFLLKKQPETSVIPLQELPVTSHDQFLVTPEQLLKQRTILRNGQRVLQGLIKWINLPIEDATWEDKAFISAQ >Manes.13G132300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34154360:34155181:1 gene:Manes.13G132300.v8.1 transcript:Manes.13G132300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQVDCKQCGKHSWGGCGKHLSAIYDGIDKGNHCMCRPWPGVTIPTEEKASGQQAPKTLAASSSTTSSGVEKS >Manes.13G132300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34154360:34154720:1 gene:Manes.13G132300.v8.1 transcript:Manes.13G132300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQVDCKQCGKHSWGGCGKHLSAIYDGIDKGNHCMCRPWPGVTIPTEEKASGQQAPKTLAASSSTTSSGSRKAVYVFS >Manes.13G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34154360:34154716:1 gene:Manes.13G132300.v8.1 transcript:Manes.13G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQVDCKQCGKHSWGGCGKHLSAIYDGIDKGNHCMCRPWPGVTIPTEEKASGQQAPKTLAASSSTTSSAFLIFFGDSRQQKGGVCF >Manes.02G198001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16429324:16433829:-1 gene:Manes.02G198001.v8.1 transcript:Manes.02G198001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTVDGSVDFKGRPVLRSSSGRWRSASFIIAVEMAERFAYYGISSNLITYLTGSLGQSTATAAENVNTWSGTATLLPLLGAFVADSFLGRYRTIIAASLIYVLGLGLLTISAALISIDISDFENTKNGTLYSPPWFQVILFYFSLYLVAVGQGGHKPCVQAFGADQFDGQDPKESIEKSSFFNWWTCCLSLGILISLVVVIYIQENINWVLGFGIPCIVMLAALVVFLLGSKTYRYSIKGSEINPFIRIGRVIFREVRYWRSNATGMARKDEVYSCDQSSEQFKLLNKALLEPNGLMDDEEVCNVRDVEEAKGLVRLIPIWATSLSYAIVYAQGSTLFTKQGVTMDRTIFPGFQIPSASLQIVIPFAIVLFTPIYDRIFVPLARSLTGNSSGISKLQRIGIGMFVSALSMVVAATVEKKRLETAREYGMVDLPNATIPMRFWWLVPQYLLFGVADVFILIGLQEFFYDQVPKDLRSMGLSLYLSGIGVGSFLSSFLVSVIDKATDGDGKESWFCNNLNRAHLDYFYWLLAAISTAGFILYLNFARSYIYR >Manes.02G198001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16430382:16433829:-1 gene:Manes.02G198001.v8.1 transcript:Manes.02G198001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTVDGSVDFKGRPVLRSSSGRWRSASFIIAVEMAERFAYYGISSNLITYLTGSLGQSTATAAENVNTWSGTATLLPLLGAFVADSFLGRYRTIIAASLIYVLGLGLLTISAALISIDISDFENTKNGTLYSPPWFQVILFYFSLYLVAVGQGGHKPCVQAFGADQFDGQDPKESIEKSSFFNWWTCCLSLGILISLVVVIYIQENINWVLGFGIPCIVMLAALVVFLLGSKTYRYSIKGSEINPFIRIGRVIFREVRYWRSNATGMARKDEVYSCDQSSEQFKLLNKALLEPNGLMDDEEVCNVRDVEEAKGLVRLIPIWATSLSYAIVYAQGSTLFTKQGVTMDRTIFPGFQIPSASLQIVIPFAIVLFTPIYDRIFVPLARSLTGNSSGISKLQRIGIGMFVSALSMVVAATVEKKRLETAREYGMVDLPNATIPMRFWWLVPQYLLFGVADVFILIGLQEFFYDQVPKDLRSMGLSLYLSGIGVGSFLSSFLVSVIDKATDGDGKESWFCNNLNRAHLDYFYWLLAAISTAGFILYLNFARSYIYR >Manes.03G122100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24855832:24859952:1 gene:Manes.03G122100.v8.1 transcript:Manes.03G122100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPENTNWLIEYGLIDDIPVPDANFSVPVTSFSWPVQNSNGSSIVGGEIDGPFGDCDKESSCKKRGRSESCSASSSKASREKLRRDRLNDKFVELGSILEPGRPPKTDKAAILIDAVRMVSQLRGEAQKLKESNSSLQERIKELKAEKNELRDEKQRLKAEKEKLEQQLKAVNAQPSFLPPPAAIPATFATQGQAPGNKLVPFIGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >Manes.12G021400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1873434:1879762:1 gene:Manes.12G021400.v8.1 transcript:Manes.12G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGSIGDWASDVEREEQEAAAEAAASATSGASAASQNFPSLREAVTAKPKKKKMSLNEFHTSSGGLGGGRVVEAKGLTPDEMIRLPTGPKERSAEEMQYGRLGGGFSNYGRTGPPPGRMRDRDDTDGSWGGGRRQYGGIDEERRGPPPRVSDYDQPSRADETDNWAMTKKSLPSVDSGRQNRYGSLGGGGGGGMGGGSRADEVDNWGTAKKPLPTRSSTFGSGFRDSGPDPDRWRRGGNREPEQERPRLILDPPKADVGANEIPKTNRSNPFGAARPREEVLAEKGLDWKKLEIEIEGKKTSSHSSRPTSSHSSRPSSAHSARSEGPGLQQGLENVISKPRPKVNPFGDAKPREVLLEERGQDWRKIDLELEHRSNSIDRPLTEEEKLLKEEIEHLKKELQKELTVKGNGEYQQEPADEDPNLQGILSQKEQELEQLTRGLDNKVRFGQKAIERPGSEAGRAATSSSERPSSRSGSFDESRSMEYADRPRSRGTQDTWTRPGDDRRAFQVGRERGFLHSRDFDRSRSKERW >Manes.03G140301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26821700:26822897:1 gene:Manes.03G140301.v8.1 transcript:Manes.03G140301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIGHQNLQTFSHTSRSQFLKKIIIQLFVSVSVFSLLFSNSSRFSLLHSFNFYFSTVPVQLFSRTIDKNCMFLLCNGLLVFVTTLSGLDSSFSKYNDHSSVNESVNNYEDDTATSLVLAHYSKEPLLEREDMMAKEKVDDEERRENKECIHREAGDRETQQFIVEDEAEESRESGFLITSGKENSDEVLVEEDDDHQREVVIELDENFLVSEEEDYEEEEEEEENEMLSTEELNKKFDEFIRKMKEEIRIEAQQQLVMVS >Manes.14G112328.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12906857:12911342:1 gene:Manes.14G112328.v8.1 transcript:Manes.14G112328.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDYKHELQMEEMQKMDKQLAEDIEAAADALRSPSSSAAELLPLLDKLEDLLMRVKQSPSKPVQRALNPVMKALTSNELFNHLDTDVKVSVASSICEIMKITAPDSPFGDEQLKEVFKLIVAAFDNISDISSRSYLKRVSILQNFVRIRLWVLLLDLGCGSLILEMFNHFLRNIRESHPEVVFMYMGFIMIMVLGEIEVIPSELLSSLLDSVRNGNKHVLPIARRLSERVIENCASKLELYMHQAVQHTGLPVDNYAKIVAHICDGKSEPVQQNSRYNNTGRHVENGRTSSAVQQNRKKVPGRGIIKCREDLNGNFQ >Manes.14G112328.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12906857:12915406:1 gene:Manes.14G112328.v8.1 transcript:Manes.14G112328.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKQSPSKPVQRALNPVMKALTSNELFNHLDTDVKVSVASSICEIMKITAPDSPFGDEQLKEVFKLIVAAFDNISDISSRSYLKRVSILQNFVRIRLWVLLLDLGCGSLILEMFNHFLRNIRESHPEVVFMYMGFIMIMVLGEIEVIPSELLSSLLDSVRNGNKHVLPIARRLSERVIENCASKLELYMHQAVQHTGLPVDNYAKIVAHICDGKSEPVQQNSRYNNTGRHVTCLAPDTMQFGEDLIGSRIRVLCSKEHIAYEGAIVPYDPKKNDMLTMMNVQGNDRDEKILLLENKESEFDYEDPAYMGKLTNVPILDTFCDKHQNKKPKLNSNSLTEEMMGEGTSTKSVSCHPKDGENIFNWKAKTSTDAENMRSESAVESNDGSYQSCCESKQSAADFARPCMKLKIKMRV >Manes.14G112328.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12906857:12915406:1 gene:Manes.14G112328.v8.1 transcript:Manes.14G112328.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKQSPSKPVQRALNPVMKALTSNELFNHLDTDVKVSVASSICEIMKITAPDSPFGDEQLKEVFKLIVAAFDNISDISSRSYLKRVSILQNFVRIRLWVLLLDLGCGSLILEMFNHFLRNIRESHPEVVFMYMGFIMIMVLGEIEVIPSELLSSLLDSVRNGNKHVLPIARRLSERVIENCASKLELYMHQAVQHTGLPVDNYAKIVAHICDGKSEPVQQNSRYNNTGRHVTCLAPDTMQFGEDLIGSRIRVLCSKEHIAYEGAIVPYDPKKNDMLTMMNVQGNDRDEKILLLENKESEFDYEDPAYMGKLTNVPILDTFCDKHQNKKPKLNSNSLTEEMMGEGTSTKSVSCHPKDGENIFNWKAKTSTDAENMRSESAVESNDGSYQSCCESKQSAADFARPCMKLKIKMRV >Manes.15G127600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10154914:10162035:-1 gene:Manes.15G127600.v8.1 transcript:Manes.15G127600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQTDSLHSGSISFGRFEREDLCWERRSSFSHNRYLEEVEKYSKPGSVIEKKAYFEAHFKKKGMQLPASFGGQNGIEYHGENAVFENVGHKEDDNANGSSNYTQSEEGAVDDVEYADFYDGYARSQSDHANDGSQYAHFDNSPEGSQYCGEYELMEGEREDPEIQMEASSANANVSVEGVFEDIKPQETQQTETGSANNDRQETGIKANLNDNAANADSSSTLFDQSPKGGTLWSDKTTAVHEQNPSTKLGPSMESKRSELRLKSQSKNSEVQKSDHNDALKTTVKKLNRRERESPQRRKSENHSPQAVIPTRRSLLKSPKGEDFEARKSRSNLANKRDKDPKIKGVVEAETSGSKKVEPRAHQSANRLKQTITSATPETRTSTVAFNFKSSERAERRKEFYMKLEEKMHAKETALNQIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAAASPGSNGNKATSSKTKTGKIQQSSTSPGAATRSQLLSKSGNNHAVSAVEHVKRSNLPESLGSTDCHAIAPSGAGEKETLATNNSSHPDAAMKNGCTGKESEKLKNTNMQRHKVSENGKVSKDQRVEGKKKMGNRRNSSEMVRKSIKGVEIGSNSGMGRVAVGVAS >Manes.15G127600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10154914:10162171:-1 gene:Manes.15G127600.v8.1 transcript:Manes.15G127600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQTDSLHSGSISFGRFEREDLCWERRSSFSHNRYLEEVEKYSKPGSVIEKKAYFEAHFKKKGMQLPASFGGQNGIEYHGENAVFENVGHKEDDNANGSSNYTQSEEGAVDDVEYADFYDGYARSQSDHANDGSQYAHFDNSPEGSQYCGEYELMEGEREDPEIQMEASSANANVSVEGVFEDIKPQETQQTETGSANNDRQETGIKANLNDNAANADSSSTLFDQSPKGGTLWSDKTTAVHEQNPSTKLGPSMESKRSELRLKSQSKNSEVQKSDHNDALKTTVKKLNRRERESPQRRKSENHSPQAVIPTRRSLLKSPKGEDFEARKSRSNLANKRDKDPKIKGVVEAETSGSKKVEPRAHQSANRLKQTITSATPETRTSTVAFNFKSSERAERRKEFYMKLEEKMHAKETALNQIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAAASPGSNGNKATSSKTKTGKIQQSSTSPGAATRSQLLSKSGNNHAVSAVEHVKRSNLPESLGSTDCHAIAPSGAGEKETLATNNSSHPDAAMKNGCTGKESEKLKNTNMQRHKVSENGKVSKDQRVEGKKKMGNRRNSSEMVRKSIKGVEIGSNSGMGRVAVGVAS >Manes.15G127600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10154914:10162171:-1 gene:Manes.15G127600.v8.1 transcript:Manes.15G127600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQTDSLHSGSISFGRFEREDLCWERRSSFSHNRYLEEVEKYSKPGSVIEKKAYFEAHFKKKGMQLPASFGGQNGIEYHGENAVFENVGHKEDDNANGSSNYTQSEEGAVDDVEYADFYDGYARSQSDHANDGSQYAHFDNSPEGSQYCGEYELMEGEREDPEIQMEASSANANVSVEGVFEDIKPQETQQTETGSANNDRQETGIKANLNDNAANADSSSTLFDQSPKGGTLWSDKTTAVHEQNPSTKLGPSMESKRSELRLKSQSKNSEVQKSDHNDALKTTVKKLNRRERESPQRRKSENHSPQAVIPTRRSLLKSPKGEDFEARKSRSNLANKRDKDPKIKGVVEAETSGSKKVEPRAHQSANRLKQTITSATPETRTSTVAFNFKSSERAERRKEFYMKLEEKMHAKETALNQIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAAASPGSNGNKATSSKTKTGKIQQSSTSPGAATRSQLLSKSGNNHAVSAVEHVKRSNLPESLGSTDCHAIAPSGAGEKETLATNNSSHPDAAMKNGCTGKESEKLKNTNMQRHKVSENGKVSKDQRVEGKKKMGNRRNSSEMVRKSIKGVEIGSNSGMGRVAVGVAS >Manes.15G127600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10155360:10161700:-1 gene:Manes.15G127600.v8.1 transcript:Manes.15G127600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQTDSLHSGSISFGRFEREDLCWERRSSFSHNRYLEEVEKYSKPGSVIEKKAYFEAHFKKKGMQLPASFGGQNGIEYHGENAVFENVGHKEDDNANGSSNYTQSEEGAVDDVEYADFYDGYARSQSDHANDGSQYAHFDNSPEGSQYCGEYELMEGEREDPEIQMEASSANANVSVEGVFEDIKPQETQQTETGSANNDRQETGIKANLNDNAANADSSSTLFDQSPKGGTLWSDKTTAVHEQNPSTKLGPSMESKRSELRLKSQSKNSEVQKSDHNDALKTTVKKLNRRERESPQRRKSENHSPQAVIPTRRSLLKSPKGEDFEARKSRSNLANKRDKDPKIKGVVEAETSGSKKVEPRAHQSANRLKQTITSATPETRTSTVAFNFKSSERAERRKEFYMKLEEKMHAKETALNQIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAAASPGSNGNKFLCLSQATSSKTKTGKIQQSSTSPGAATRSQLLSKSGNNHAVSAVEHVKRSNLPESLGSTDCHAIAPSGAGEKETLATNNSSHPDAAMKNGCTGKESEKLKNTNMQRHKVSENGKVSKDQRVEGKKKMGNRRNSSEMVRKSIKGVEIGSNSGMGRVAVGVAS >Manes.15G127600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10155360:10161700:-1 gene:Manes.15G127600.v8.1 transcript:Manes.15G127600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQQTDSLHSGSISFGRFEREDLCWERRSSFSHNRYLEEVEKYSKPGSVIEKKAYFEAHFKKKGMQLPASFGGQNGIEYHGENAVFENVGHKEDDNANGSSNYTQSEEGAVDDVEYADFYDGYARSQSDHANDGSQYAHFDNSPEGSQYCGEYELMEGEREDPEIQMEASSANANVSVEGVFEDIKPQETQQTETGSANNDRQETGIKANLNDNAANADSSSTLFDQSPKGGTLWSDKTTAVHEQNPSTKLGPSMESKRSELRLKSQSKNSEVQKSDHNDALKTTVKKLNRRERESPQRRKSENHSPQAVIPTRRSLLKSPKGEDFEARKSRSNLANKRDKDPKIKGVVEAETSGSKKVEPRAHQSANRLKQTITSATPETRTSTVAFNFKSSERAERRKEFYMKLEEKMHAKETALNQIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAAASPGSNGNKFLCLSQATSSKTKTGKIQQSSTSPGAATRSQLLSKSGNNHAVSAVEHVKRSNLPESLGSTDCHAIAPSGAGEKETLATNNSSHPDAAMKNGCTGKESEKLKNTNMQRHKVSENGKVSKDQRVEGKKKMGNRRNSSEMVRKSIKGVEIGSNSGMGRVAVGVAS >Manes.16G121300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32385124:32388377:-1 gene:Manes.16G121300.v8.1 transcript:Manes.16G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWKSLSILIEDTLPEWRDKFLSYKDLKKQLKLIYPKDGDKPPNKRPRLEAVMVASTDRKDGEYRREESGGEVMKEVIDFVRLLEDEMEKFNSFIVEKEEDFVIQWKELQDRVKKAKDSNEKLMEVGREIVNFHGEMVLLENYSALNYTGLVKILKKYDKRSGALVRLPFIQKVMQQPFFTTHVLNKLVKECETMLDRLFSRNELSISPDETEEVEGCDSKTSAESRGQSIEVPKELAEIENMENMYLKLTLSALRVLKEVRSGSSTVNMFSLPPLQSRALEEDWKKVPILEQAAK >Manes.01G106100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30552767:30553114:-1 gene:Manes.01G106100.v8.1 transcript:Manes.01G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHAGATSNSSSSACGVYGSGFEHWKSPAPYLFGGLAVILGLLGMALLILVCYCEISAPNSAGSDDVEARQKSHHLRMQPLEMEPKIVVIMAGDDNPTYLANPVACICRSHQQL >Manes.14G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3071914:3081084:-1 gene:Manes.14G034400.v8.1 transcript:Manes.14G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKFGVKKGPWTAEEDKKLVDFILTHGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNEAEEQLVMDLHARLGNRWSKIAARLPGRTDNEIKNHWHTHIKKKLIKMGIDPVTHQPLHKQDNKGAAASHDVIYYDHQPNFSGDQQQISKNNCEAHASSSCNTTPTESSEPSSNDDPLMSYIFSDSFLEDSKWNFPVEYCEFGMFSPEGNCPWFLEHKDIGDDCFGL >Manes.05G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4453215:4462862:-1 gene:Manes.05G053600.v8.1 transcript:Manes.05G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKSVGLDAIINEAIDLENIPLEEVFDHLKCTRKGLSADAVQERLDLFGYNKLEEKKENKVLKFLGFMWNPLSWVMEAAAVMAIALAHGGGKSPDYHDFVGIVILLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDRKWSEEDASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIALGMLIEIIVIYGIHKRGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRTMIEVFAKGVDKDMVVLMAARASRMENQDAIDAAIVSMLADPKEARAGITEVHFLPFNPTDKRTALTYVDAAGKMHRVSKGAPEQILNLAHNKSEIDKKVHAIIDKFAERGLRSLGVARQEVPAGSKESAGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKNEAAASLPIDELIEKADGFAGVFPEHKYEIVRILQTRKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFSTGIVLGGYLALMTVIFFWAAYESNFFPKHFGVRNFNQDHFNMDDEAIANKLKEQLASAVYLQVSTISQALIFVTRSRGWSFTERPGLLLVAAFIIAQLVATVISAQANWKFAGIRSIGWGWTGVIWLYNILTYFLLDPIKFAVRYALSGKAWGLVVEQRTAFTSKKDFGKEAREAAWAAEQRTLHGLQSAETKIFPDRTPFRDISIMAEEAKRRAEIARLRELHTLKGKVESFAKLRGLDIDAINQHYTV >Manes.05G053600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4453215:4460672:-1 gene:Manes.05G053600.v8.1 transcript:Manes.05G053600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAPKAKVLRDRKWSEEDASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIALGMLIEIIVIYGIHKRGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRTMIEVFAKGVDKDMVVLMAARASRMENQDAIDAAIVSMLADPKEARAGITEVHFLPFNPTDKRTALTYVDAAGKMHRVSKGAPEQILNLAHNKSEIDKKVHAIIDKFAERGLRSLGVARQEVPAGSKESAGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKNEAAASLPIDELIEKADGFAGVFPEHKYEIVRILQTRKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFSTGIVLGGYLALMTVIFFWAAYESNFFPKHFGVRNFNQDHFNMDDEAIANKLKEQLASAVYLQVSTISQALIFVTRSRGWSFTERPGLLLVAAFIIAQLVATVISAQANWKFAGIRSIGWGWTGVIWLYNILTYFLLDPIKFAVRYALSGKAWGLVVEQRTAFTSKKDFGKEAREAAWAAEQRTLHGLQSAETKIFPDRTPFRDISIMAEEAKRRAEIARLRELHTLKGKVESFAKLRGLDIDAINQHYTV >Manes.05G053600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4453215:4462862:-1 gene:Manes.05G053600.v8.1 transcript:Manes.05G053600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKSVGLDAIINEAIDLENIPLEEVFDHLKCTRKGLSADAVQERLDLFGYNKLEEKKENKVLKFLGFMWNPLSWVMEAAAVMAIALAHGGGKSPDYHDFVGIVILLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDRKWSEEDASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIALGMLIEIIVIYGIHKRGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRTMIEVFAKGVDKDMVVLMAARASRMENQDAIDAAIVSMLADPKEARAGITEVHFLPFNPTDKRTALTYVDAAGKMHRVSKGAPEQILNLAHNKSEIDKKVHAIIDKFAERGLRSLGVARQEVPAGSKESAGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKNEAAASLPIDELIEKADGFAGVFPEHKYEIVRILQTRKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFSTGIVLGGYLALMTVIFFWAAYESNFFPKHFGVRNFNQDHFNMDDEAIANKLKEQLASAVYLQVSTISQALIFVTRSRGWSFTERPGLLLVAAFIIAQLVATVISAQANWKFAGIRSIGWGWTGVIWLYNILTYFLLDPIKFAVRYALSGKAWGLVVEQRTAFTSKKDFGKEAREAAWAAEQRTLHGLQSAETKIFPDRTPFRDISIMAEEAKRRAEIARLRELHTLKGKVESFAKLRGLDIDAINQHYTV >Manes.05G053600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4453215:4462815:-1 gene:Manes.05G053600.v8.1 transcript:Manes.05G053600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKSVGLDAIINEAIDLENIPLEEVFDHLKCTRKGLSADAVQERLDLFGYNKLEEKKENKVLKFLGFMWNPLSWVMEAAAVMAIALAHGGGKSPDYHDFVGIVILLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDRKWSEEDASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIALGMLIEIIVIYGIHKRGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRTMIEVFAKGVDKDMVVLMAARASRMENQDAIDAAIVSMLADPKEARAGITEVHFLPFNPTDKRTALTYVDAAGKMHRVSKGAPEQILNLAHNKSEIDKKVHAIIDKFAERGLRSLGVARQEVPAGSKESAGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKNEAAASLPIDELIEKADGFAGVFPEHKYEIVRILQTRKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFSTGIVLGGYLALMTVIFFWAAYESNFFPKHFGVRNFNQDHFNMDDEAIANKLKEQLASAVYLQVSTISQALIFVTRSRGWSFTERPGLLLVAAFIIAQLVATVISAQANWKFAGIRSIGWGWTGVIWLYNILTYFLLDPIKFAVRYALSGKAWGLVVEQRTAFTSKKDFGKEAREAAWAAEQRTLHGLQSAETKIFPDRTPFRDISIMAEEAKRRAEIARLRELHTLKGKVESFAKLRGLDIDAINQHYTV >Manes.18G074400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6783417:6787872:-1 gene:Manes.18G074400.v8.1 transcript:Manes.18G074400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINTAIAMKAKAHNVNGNNGCLSEGSTESNSPSPPPSPRRHLQTGISQCRRRIRSKVQAHFRRENLTAGIFLRWNIRYLLLLPLLYISGLIMCVGPFSALLQPSLPGSVYRSHELFAKLWPDIAADNSTAIELSSVWKFKRRLKMQRPCPNSTARQHFAMNEESSGPNGYLIIEANGGLNQQRSAICNAVALAGLLNAILVIPHFEFNSVWRDPSEFRDIYDEDYFIATLEGHVKVVKELPDEVMERYDYNISSIPNIRVQAWAPANYYLGEVYPVLLEKGVIRIAPFANRLAMSVPPHIQLLRCMANYKALRFSSPISTLAHKLVDRMIEKSSRTGGKYVSIHLRFEEDMVAFSCCVYDGGDAEKLKMDSIREIGWKRKFKRKDRVIIPGLNRIEGKCPLTPLEGAKQPDATWRQKNGVMEWPMA >Manes.18G074400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6776072:6787871:-1 gene:Manes.18G074400.v8.1 transcript:Manes.18G074400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINTAIAMKAKAHNVNGNNGCLSEGSTESNSPSPPPSPRRHLQTGISQCRRRIRSKVQAHFRRENLTAGIFLRWNIRYLLLLPLLYISGLIMCVGPFSALLQPSLPGSVYRSHELFAKLWPDIAADNSTAIELSSVWKFKRRLKMQRPCPNSTARQHFAMNEESSGPNGYLIIEANGGLNQQRSAICNAVALAGLLNAILVIPHFEFNSVWRDPSEFRDIYDEDYFIATLEGHVKVVKELPDEVMERYDYNISSIPNIRVQAWAPANYYLGEVYPVLLEKGVIRIAPFANRLAMSVPPHIQLLRCMANYKALRFSSPISTLAHKLVDRMIEKSSRTGGKYVSIHLRFEEDMVAFSCCVYDGGDAEKLKMDSIREIGWKRKFKRKDRVIIPGLNRIEGKCPLTPLEHRLGWCYVVWDLITIHQFI >Manes.18G074400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6776072:6787871:-1 gene:Manes.18G074400.v8.1 transcript:Manes.18G074400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINTAIAMKAKAHNVNGNNGCLSEGSTESNSPSPPPSPRRHLQTGISQCRRRIRSKVQAHFRRENLTAGIFLRWNIRYLLLLPLLYISGLIMCVGPFSALLQPSLPGSVYRSHELFAKLWPDIAADNSTAIELSSVWKFKRRLKMQRPCPNSTARQHFAMNEESSGPNGYLIIEANGGLNQQRSAICNAVALAGLLNAILVIPHFEFNSVWRDPSEFRDIYDEDYFIATLEGHVKVVKELPDEVMERYDYNISSIPNIRVQAWAPANYYLGEVYPVLLEKGVIRIAPFANRLAMSVPPHIQLLRCMANYKALRFSSPISTLAHKLVDRMIEKSSRTGGKYVSIHLRFEEDMVAFSCCVYDGGDAEKLKMDSIREIGWKRKFKRKDRVIIPGLNRIEGKCPLTPLEVGMVLRGMGFDNNTSIYLASGKIYKAERHLAPLLQMFPLLYTKESLATPDELASFEGYSSRLAALDYTVCLFSEVFVTTQGGNFPHFLMGQRRYLFDGHAKTIKPDKRKLVALLQDMEISWEAFKDQMEMMLTESDRQGVMVPRVRKINRKTSIYTYPLPECGCLLSHNSSLKLTHTTSMPESTR >Manes.18G074400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6776072:6787871:-1 gene:Manes.18G074400.v8.1 transcript:Manes.18G074400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPCPNSTARQHFAMNEESSGPNGYLIIEANGGLNQQRSAICNAVALAGLLNAILVIPHFEFNSVWRDPSEFRDIYDEDYFIATLEGHVKVVKELPDEVMERYDYNISSIPNIRVQAWAPANYYLGEVYPVLLEKGVIRIAPFANRLAMSVPPHIQLLRCMANYKALRFSSPISTLAHKLVDRMIEKSSRTGGKYVSIHLRFEEDMVAFSCCVYDGGDAEKLKMDSIREIGWKRKFKRKDRVIIPGLNRIEGKCPLTPLEVGMVLRGMGFDNNTSIYLASGKIYKAERHLAPLLQMFPLLYTKESLATPDELASFEGYSSRLAALDYTVCLFSEVFVTTQGGNFPHFLMGQRRYLFDGHAKTIKPDKRKLVALLQDMEISWEAFKDQMEMMLTESDRQGVMVPRVRKINRKTSIYTYPLPECGCLLSHNSSLKLTHTTSMPESTR >Manes.15G048300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3761156:3765705:1 gene:Manes.15G048300.v8.1 transcript:Manes.15G048300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAHVISVHLPSSFFALFLFSSMAPTRPEEMNEPNDMEEVDDTTSSSPRTTAVHFGGGESMPEEMKGSNRKKKRKKRVQLDGYEVADNANGDCLQQDPLDVLGWDLMMKILSNLDARSVALSLLVSRRWHRLASSDRLWSSKCEELWLGKAHIPRLSQVRGISKLAAYSLSVIDGKRTRIMRDDLCDHVWEFHFTKVAPEYWRNLDPYWKGTGPPMRRYFHQDGSQTADPGDRVWGGHECCFSIVTSFIWDGTIREHYVRINRWPRMSVSRKQDWSWEMSNHLFCYSSIPDASKEGGTGPLFLVM >Manes.03G045500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3974676:3978375:-1 gene:Manes.03G045500.v8.1 transcript:Manes.03G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRHQKMVQQQQQQQQQQQQHEEVEEMQHGPFPVEQLQESGIAALDIKKLKDAGLCTVESVVYSPRKELLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRLEIIQITSGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRREERICKVISSPCLAEAEARFQISAEGVTDVKD >Manes.03G029200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2356357:2356581:-1 gene:Manes.03G029200.v8.1 transcript:Manes.03G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDCYVLHVPRCNCNIEADLRTPWSGRNSSRRFYGCRNYKR >Manes.05G062200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5220479:5221069:-1 gene:Manes.05G062200.v8.1 transcript:Manes.05G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAILFIVFLFLSWLNFRGWASSSRDTYVRDACSVTRYQNLCIHSLASFSRIARRSPSKWARAGVSVTIGEAKNASQYLNKLKKNRLVKGNRNRIALSDCIENFQDTIDNLHKSLGVLRKLDATSFDTQMGDIITWMSAALTDEDTCLDGFEEQNTRTQVIQVLQNRVTRVTYITSNALALVNKLASTGLGSSTN >Manes.10G093001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23902904:23904217:-1 gene:Manes.10G093001.v8.1 transcript:Manes.10G093001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTLLPILLLQTWEIIHSGNAHLVDCQESDRKALIDFKNGLKDPQNRLSSWHGGNCCQWMGIGCDNNTGAVITLDLRNPHPSDSSFPVRYELGGEIRPSLANLKSLRYLDLSFNGFNGTPIPTFLQSLQKLRYLNLAYAEFGGIVPPQLANISSLQYLDVSSYLLAVSNLEWLSNLGSLKYLALNGGDLSMIQKDLAGVLNMLPNLTELHLADGNMNGSVSFLNLANFSSLAVIDLSHNDLSSEFPNWLVNISSLVSLDVSNCRLHGSIPLGFSELPNLLSLYVANNDLTANTYELLQGSWNKVGFLNFQGNDLHGKLPSCIGNITSLSYLDLSDNNIRGEIPSSFAKLCHLQYLDLASNNITGSLLETVGGTENCLSERPFPSLEYLSLGNNKLSGKLPNWLGEVQTLVELSLENNMFYGSIPASLGKLGRLETLT >Manes.03G179700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30234687:30237619:1 gene:Manes.03G179700.v8.1 transcript:Manes.03G179700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSITLFFLLFSTLFFIPTHQRQHPHPLDPLTPEEITQVQAIVRDSYPNSTHVLTFQYVGLEQLPKSSVLSWIRNPSIETLHRRSFVIARIDQTTHEIIVDLSLHQVISDQVYDGYGYPLLTFEEQNAANQLPFQYSPFLESINKRGLKIEEVVCGSFTVGWFGQKKRSKRIVKVMCYYLDGTVNVYMRPIEGISLTVDLEDMKITHFKDRITVPIPKADGTDYRGSKQTPPFGPHLKGITVVQPDGPSFKIDGHRIRWANWDFHLSFDTRAGPVISVASIFDVDKQKFRQVLYKGFVSEVYVPYMDLTEEWYFRTFFDAGEYGYGLSAVPLEPITDCPENAYFIDAYFASQNGMPVKMPNIFCIFERLSGDIMWRHTETLIPGNMVREVRPDVSLVVRMVSTVGNYDYINDWEFKQSGSIKVTVGLTGLLEVRGSVYTHKDQIEEEVFGTLLAENTLGPHHDHFLTYHLDVDVDGDANSFVKSRMQVTPVTDDKLHRKSYWRVVSETAKTESDARIKLGLEQADLLVVNPNKRTSMGNFIGYRLIPGSSTSPISSQDDYPQIRGAFTNYNVWVTPYNKSEKWAGGVYADQSRGDNTLATWSLRNRIIENRDIVLWYTVGFHHVPCQEDFPVMPTISSGFELRPTNFFEKNPVLKVKPPQPIQWTNCSACR >Manes.15G166600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14143776:14148296:-1 gene:Manes.15G166600.v8.1 transcript:Manes.15G166600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWKILDKDLCYTCLSNAASSALSCLPSTEARVLNTGCFLRYADFSFANESTSEIREKIFSFITFVICVVTICLSAIGIGVCVGKHTYKRKNSKKKLKGMDDLLLDEGLPFLQFKYETIKKATENFEEVRRLGEGGFGEVYKGALGDGREIAIKRLYASKESQIREICNEVDIISRAQHKNVVRLLGCCFTSADSFLVYEYMANRSLDLMLFDPAKKNELTWKKRLLIITGTAEGLEYLHTDCQVRIIHRDIKASNILLDLKHKPKISDFGLARFYSCDHSLFNTAVAGTLGYMAPEYIAKGRLTEKFDVYSFGILVIEIVTGIENNKHQSEDAYETLIAHVWRCFQSNTISEIVDKNMEIEDMNEIERVIQIGLLCTQESPNSRPTMTKVVQMLREKDLELPQPSMPPFIDEHMELHCLGSEGYQQRRSFSDYLSN >Manes.15G166600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14143776:14148296:-1 gene:Manes.15G166600.v8.1 transcript:Manes.15G166600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLSSIILMAFSINLCMADPRTDFIARICGNIDVQNISNYFQSYSKVTDGMQYDMYRNKFAFKDVGKPPDRIYALSQCMDDLSPDECAICFTQITTIITGCIPHTGGRVYLDGCFFRAENYSFYREALSPQDTTKCSAALNPTPDFRKAVVKVLDEMLMTAPFAGPVGRGFAVKHEISRGVTAYGMASCWKILDKDLCYTCLSNAASSALSCLPSTEARVLNTGCFLRYADFSFANESTSEIREKIFSFITFVICVVTICLSAIGIGVCVGKHTYKRKNSKKKLKGMDDLLLDEGLPFLQFKYETIKKATENFEEVRRLGEGGFGEVYKGALGDGREIAIKRLYASKESQIREICNEVDIISRAQHKNVVRLLGCCFTSADSFLVYEYMANRSLDLMLFDPAKKNELTWKKRLLIITGTAEGLEYLHTDCQVRIIHRDIKASNILLDLKHKPKISDFGLARFYSCDHSLFNTAVAGTLGYMAPEYIAKGRLTEKFDVYSFGILVIEIVTGIENNKHQSEDAYETLIAHVWRCFQSNTISEIVDKNMEIEDMNEIERVIQIGLLCTQESPNSRPTMTKVVQMLREKDLELPQPSMPPFIDEHMELHCLGSEGYQQRRSFSDYLSN >Manes.15G166600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14143776:14148296:-1 gene:Manes.15G166600.v8.1 transcript:Manes.15G166600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLSSIILMAFSINLCMADPRTDFIARICGNIDVQNISNYFQSYSKVTDGMQYDMYRNKFAFKDVGKPPDRIYALSQCMDDLSPDECAICFTQITTIITGCIPHTGGRVYLDGCFFRAENYSFYREALSPQDTTKCSAALNPTPDFRKAVVKVLDEMLMTAPFAGPVGRGFAVKHEISRGVTAYGMASCWKILDKDLCYTCLSNAASSALSCLPSTEARVLNTGCFLRYADFSFANESTSEIRGMDDLLLDEGLPFLQFKYETIKKATENFEEVRRLGEGGFGEVYKGALGDGREIAIKRLYASKESQIREICNEVDIISRAQHKNVVRLLGCCFTSADSFLVYEYMANRSLDLMLFDPAKKNELTWKKRLLIITGTAEGLEYLHTDCQVRIIHRDIKASNILLDLKHKPKISDFGLARFYSCDHSLFNTAVAGTLGYMAPEYIAKGRLTEKFDVYSFGILVIEIVTGIENNKHQSEDAYETLIAHVWRCFQSNTISEIVDKNMEIEDMNEIERVIQIGLLCTQESPNSRPTMTKVVQMLREKDLELPQPSMPPFIDEHMELHCLGSEGYQQRRSFSDYLSN >Manes.15G166600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14143776:14148296:-1 gene:Manes.15G166600.v8.1 transcript:Manes.15G166600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLSSIILMAFSINLCMADPRTDFIARICGNIDVQNISNYFQSYSKVTDGMQYDMYRNKFAFKDVGKPPDRIYALSQCMDDLSPDECAICFTQITTIITGCIPHTGGRVYLDGCFFRAENYSFYREALSPQDTTKCSAALNPTPDFRKAVVKVLDEMLMTAPFAGPVGRGFAVKHEISRGVTAYGMASCWKILDKDLCYTCLSNAASSALSCLPSTEARVLNTGCFLRYADFSFANESTSEIREKIFSFITFVICVVTICLSAIGIGVCVGKHTYKRKNSKKKLKGMDDLLLDEGLPFLQFKYETIKKATENFEEVRRLGEGGFGEVYKGALGDGREIAIKRLYASKESQIREICNEVDIISRAQHKNVVRLLGCCFTSADSFLVYEYMANRSLDLMLFDPAKKNELTWKKRLLIITGTAEGLEYLHTDCQVRIIHRDIKASNILLDLKHKPKISDFGLARFYSCDHSLFNTAVAGTLGYMAPEYIAKGRLTEKFDVYSFGILVIEIVTGIENNKHQSEDAYETLIAHVTPATLPNV >Manes.15G166600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14143776:14148297:-1 gene:Manes.15G166600.v8.1 transcript:Manes.15G166600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTAPFAGPVGRGFAVKHEISRGVTAYGMASCWKILDKDLCYTCLSNAASSALSCLPSTEARVLNTGCFLRYADFSFANESTSEIREKIFSFITFVICVVTICLSAIGIGVCVGKHTYKRKNSKKKLKGMDDLLLDEGLPFLQFKYETIKKATENFEEVRRLGEGGFGEVYKGALGDGREIAIKRLYASKESQIREICNEVDIISRAQHKNVVRLLGCCFTSADSFLVYEYMANRSLDLMLFDPAKKNELTWKKRLLIITGTAEGLEYLHTDCQVRIIHRDIKASNILLDLKHKPKISDFGLARFYSCDHSLFNTAVAGTLGYMAPEYIAKGRLTEKFDVYSFGILVIEIVTGIENNKHQSEDAYETLIAHVWRCFQSNTISEIVDKNMEIEDMNEIERVIQIGLLCTQESPNSRPTMTKVVQMLREKDLELPQPSMPPFIDEHMELHCLGSEGYQQRRSFSDYLSN >Manes.15G192930.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32257019:32257962:1 gene:Manes.15G192930.v8.1 transcript:Manes.15G192930.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIPELHGMLKIAKGKPMENKGKPKQAKAKFPKEIVPKEGICFHCKESGHWKRNCKLYLDEYKKKKSSETKTTGVYVIDINLSISTSWVLDTGYGSHICINVQGLKRSRKLKKGKVDLRVGNGARVAALAVGTYELVLSNGLLLILNNCFYVPTLSRNIISVFILGDEGFSFLIKNKKWTLLLDKVKGLQTYWA >Manes.01G140500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33197419:33200455:1 gene:Manes.01G140500.v8.1 transcript:Manes.01G140500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTALRSIIRPLSRTLTFRTSCSASTSPTTSFLCPPLSPKLEFRFAFHQSPFFQISRHFSIFSEPNHFDRLTDSRLPKRRPQDEPRRKRAYLRPPGPYAWVKHVPGQPIQPSNPNEGSVKRRNEKKRIKQHRAFIKSEAKKRKAQLQEAKRKKIIKRVERKMATVARERAWAERLKELQQLEEEKKKSMA >Manes.01G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33197412:33201247:1 gene:Manes.01G140500.v8.1 transcript:Manes.01G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTALRSIIRPLSRTLTFRTSCSASTSPTTSFLCPPLSPKLEFRFAFHQSPFFQISRHFSIFSEPNHFDRLTDSRLPKRRPQDEPRRKRAYLRPPGPYAWVKHVPGQPIQPSNPNEGSVKRRNEKKRIKQHRAFIKSEAKKRKAQLQEAKRKKIIKRVERKMATVARERAWAERLKELQQLEEEKKKSMA >Manes.18G019100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1901814:1903565:-1 gene:Manes.18G019100.v8.1 transcript:Manes.18G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIMGPLGEKKQLRKPAQASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHEAAVAYDAAARKLYGPEAKLNLPELPLKNNQFSAPSGNTQVSQIQMGNESQVLHNSGATCSSSSSTLMKPSEMNHDSITPLSNENVASNSKVAENEGNLGQNQEGTDELWANLNVNLPLFDDSIWAEAAMSIDFPAMDDPGIFTGNLMDGTGWDAMQTPWCM >Manes.15G029900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2364655:2364990:-1 gene:Manes.15G029900.v8.1 transcript:Manes.15G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCALKEDCTQDYISEVKAKFDGKWLCGLCSEAVRDEVIRGKRQFGMEEAVRAHMSFCGKFNSNPAVGVADGMRQMLRRRSGDLSSSPSTSKKYTRSATTKLY >Manes.09G080719.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11991666:11992362:-1 gene:Manes.09G080719.v8.1 transcript:Manes.09G080719.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPASPGGGSHESGEHSPRSNSNSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEV >Manes.09G080719.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11988873:11992639:-1 gene:Manes.09G080719.v8.1 transcript:Manes.09G080719.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPASPGGGSHESGEHSPRSNSNSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSAKGGETSVKRDVQPSSNVQISHQGSFSQGVNYTNSQLQVQHMMVPMQGTE >Manes.09G080719.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11988873:11992639:-1 gene:Manes.09G080719.v8.1 transcript:Manes.09G080719.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPASPGGGSHESGEHSPRSNSNSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSAKGGETSVKRDVQPSSNVQISHQGSFSQGVNYTNSQVYSNLSRNSAA >Manes.09G080719.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11988368:11992839:-1 gene:Manes.09G080719.v8.1 transcript:Manes.09G080719.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPASPGGGSHESGEHSPRSNSNSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSAKGGETSVKRDVQPSSNVQISHQGSFSQGVNYTNSQVQHMMVPMQGTE >Manes.09G080719.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11988368:11992482:-1 gene:Manes.09G080719.v8.1 transcript:Manes.09G080719.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPASPGGGSHESGEHSPRSNSNSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSAKGGETSVKRDVQPSSNVQISHQGSFSQGVNYTNSQVQHMMVPMQGTE >Manes.09G080719.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11988873:11992670:-1 gene:Manes.09G080719.v8.1 transcript:Manes.09G080719.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPASPGGGSHESGEHSPRSNSNSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSAKGGETSVKRDVQPSSNVQVQHMMVPMQGTE >Manes.09G080719.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11991786:11992362:-1 gene:Manes.09G080719.v8.1 transcript:Manes.09G080719.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPASPGGGSHESGEHSPRSNSNSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREVYLCFSLSLLLSPVWMILAVLIVVLVSSDSFCNSFSSFYVFDF >Manes.09G080719.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11991153:11992639:-1 gene:Manes.09G080719.v8.1 transcript:Manes.09G080719.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPASPGGGSHESGEHSPRSNSNSNVREQDRYLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREMEGDTKGSAKGGETSVKRDVQPSSNVQISHQGSFSQGVNYTNSQVISLFLHSH >Manes.01G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:22546858:22551641:-1 gene:Manes.01G054400.v8.1 transcript:Manes.01G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARTSMEKTPELISNKRKRSSSAYDPFVGIFTRSKAHIYFHCNRSGLARSDSFRRSKHRANLQSSTVRFLSKTQFQSHNKKPRRKSERKLLQSSADADDLPGMMVKDLRTRRIFSLASMANNCGLCFDDKEEEGSEKRSGGLEKEGFSSASRNLEGMKCDSSIDKEDVGWNYFDNVKQGEDKDIVKSSVGSTNKEHTSANSTVSQGNVKSSEDVEISNEVGEMNEECMQATPPDAEILYRDKVSWNLSTENLQNREGFQNSMDKRNGNNSGPISVLNPWSRVKVFRAPGSFSYRRLLPYLMDMVQDNSCALRNGKSMDELKTDSPSMKIQAGDPLSRSVPIFADGLSNEKHQLCEGHSSRQPCSDTIQTESLEAKACDMSHACKSPEPNNTSSNVSNAEDNSCTAKKDGNDNDVLDKVDDTNGESIQETLPNAEIVGKPVAHQGVNNRIDFVSQDNGEVLMQPLNESSCRISSCSGSKRMDSISKSKLGLSPSSRLKLFKIRDSFGYRRLLPYLTDIAKNNYGDYGNGCCPKLEKSLEESQSSQLPIPELYETPAKNLNHRSYGMEHDTDSCTLEMATLPTANHVSGSNHLNLICSKQNSDSPMSNGSREEQESEVVDMISDHQDGQSNDVPTIVRLVSGSSSVTTPLCSSFVCPTSFSADDGNSVSHEISADAEEGCRKSAVKITNSAKPADTGCFSQNSSKVEVSDPLGIHAHDSRKGILKRVPRGCKGPCMCLKCASFRLHAERAFEFSKNQMQDAEEVTLDLIKELSHLRNMLEKAAFGSNDNHAICINEVKRACKEASEAEELAKSRLSQMNYDLTVHCRLLCEQRPRVRFSNNVEERIISQLNCEQSSN >Manes.06G024650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5199538:5200824:1 gene:Manes.06G024650.v8.1 transcript:Manes.06G024650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSEYEYDSYGGSDVEGYDSYASCSTSDDSSGISDVEGYDSYASCSTSDDSSGISDGGDDYYVSSDGEDDSYGSSDGEDDSYGSSDGEDDSYGGSASGYDAYDGTDGESDSYNGSDGESGSYKGSDGESDSYKESNVESDSYKGSNVGEIAFITSAMEKGLSFNGGNSKTWRGQQSDNYQWASRGSIVDNQTGSYRRATLKESLSTGDVFKERSTGRVGYKDEMRRTSTFRAGDKGGYSEYQREERFRKVDYSGSN >Manes.01G204800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37798404:37805347:-1 gene:Manes.01G204800.v8.1 transcript:Manes.01G204800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGSFGSALLVRHRHEKKKYVMKKIRLARQTERARRSAHQEMELVSKVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVHFLEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQDIRLGDFGLAKMLTSDDFASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIFEMAAHKPAFKAFDMQALINKINKSIVAPLPTMYSGAFRGLIKSMLRKNPEFRPSAAELLSHPHLQPHVLKIHLKLNSPRRNSFPVHWSESNFIKKTRFTEPEAVSIFPNREKRRSFSNDRTLNPSISETEDSPDSSQTAEQFPSYLTQQFKEISFSVVHEEIEINKEVATKFSMAAKTPRMTPSKVSATPKRQTIPSKMPQTGSKRDSLPVSCTPASKFSHLTRRASLPFPTRASNLATPYRANTGLLPIVDSPDVSVNAPRIDKIAEFPLASSDDPFIPIHGTSSTSAQCSSSSPDSADCSITKDKCTVQVLDRAVTKIHLTDTSHEVEQTGIEWLEHNPTTAVSSRSSSESRQRRFDTSSYQQRAEALEGLLEFSARLLQQKRFEELGVLLKPFGPEKVSPRETAIWLAKSFKETAV >Manes.04G090300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29546796:29560133:1 gene:Manes.04G090300.v8.1 transcript:Manes.04G090300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGFKLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLREMGSCLLEKTALHDEESGGVLLMLGKVQFELQKLVDRYRSHIVLTITHPSESLLNELRTVEDMKRQCDEKRNVYEHIVAQQKEKGKSKGGKGESFTLQQLQTAHDEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVDQHLKLVADQQHIDYQFCGLEDDSKEYGEGDENNGDPNGGRELSFDYRANKQGHDVVSASRNSMEVDDVDLSFPQASMTGSAELNPDKNQGGFPISLREHRPGSHSAPIFPERKPDPGEKIRQMHSSARKSYTYVLPTPIDAKGAISSRTSGSAAQTRPSDRGGRTRNLWHSSPLEQKQHEKDPIDSSLAEFTVLKPSSAHKHSNCNASIQLPPPLVEGTSLPQFDTCNSSDNKKIKRQSFSGPITSKSWSMKPALSASGPISSNELPQQASGVFPRVMIPQTTSPKVSPTASPPLASSPRISELHELPRPPVNLASKPAKSSALVGHSAPLVRNPEHSGASKVPSTTTNYASPLPIPPLVVPRSFSIPTSGQRATTVHVSKSMESLHVPEKAEEVDSPPLTPISLANMKQASTLSELLPHSGQIRGGS >Manes.04G090300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29546796:29560133:1 gene:Manes.04G090300.v8.1 transcript:Manes.04G090300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGFKLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLREMGSCLLEKTALHDEESGGVLLMLGKVQFELQKLVDRYRSHIVLTITHPSESLLNELRTVEDMKRQCDEKRNVYEHIVAQQKEKGKSKGGKGESFTLQQLQTAHDEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVDQHLKLVADQQHIDYQFCGLEDDSKEYGEGDENNGDPNGGRELSFDYRANKQGHDVVSASRNSMEVDDVDLSFPQASMTGSAELNPDKNQGGFPISLREHRPGSHSAPIFPERKPDPGEKIRQMHSSARKSYTYVLPTPIDAKGAISSRTSGSAAQTRPSDRGGRTRNLWHSSPLEQKQHEKDPIDSSLAEFTVLKPSSAHKHSNCNASIQLPPPLVEGTSLPQFDTCNSSDNKKIKRQSFSGPITSKSWSMKPALSASGPISSNELPQQASGVFPRVMIPQTTSPKVSPTASPPLASSPRISELHELPRPPVNLASKPAKSSALVGHSAPLVRNPEHSGASKVPSTTTNYASPLPIPPLVVPRSFSIPTSGQRATTVHVSKSMESLHVPEKAEEVDSPPLTPISLANMKQASTLSELLPHSGQIRGGS >Manes.04G090300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29546796:29560133:1 gene:Manes.04G090300.v8.1 transcript:Manes.04G090300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGFKLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYGGVLLMLGKVQFELQKLVDRYRSHIVLTITHPSESLLNELRTVEDMKRQCDEKRNVYEHIVAQQKEKGKSKGGKGESFTLQQLQTAHDEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVDQHLKLVADQQHIDYQFCGLEDDSKEYGEGDENNGDPNGGRELSFDYRANKQGHDVVSASRNSMEVDDVDLSFPQASMTGSAELNPDKNQGGFPISLREHRPGSHSAPIFPERKPDPGEKIRQMHSSARKSYTYVLPTPIDAKGAISSRTSGSAAQTRPSDRGGRTRNLWHSSPLEQKQHEKDPIDSSLAEFTVLKPSSAHKHSNCNASIQLPPPLVEGTSLPQFDTCNSSDNKKIKRQSFSGPITSKSWSMKPALSASGPISSNELPQQASGVFPRVMIPQTTSPKVSPTASPPLASSPRISELHELPRPPVNLASKPAKSSALVGHSAPLVRNPEHSGASKVPSTTTNYASPLPIPPLVVPRSFSIPTSGQRATTVHVSKSMESLHVPEKAEEVDSPPLTPISLANMKQASTLSELLPHSGQIRGGS >Manes.04G090300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29553711:29560133:1 gene:Manes.04G090300.v8.1 transcript:Manes.04G090300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQCDEKRNVYEHIVAQQKEKGKSKGGKGESFTLQQLQTAHDEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVDQHLKLVADQQHIDYQFCGLEDDSKEYGEGDENNGDPNGGRELSFDYRANKQGHDVVSASRNSMEVDDVDLSFPQASMTGSAELNPDKNQGGFPISLREHRPGSHSAPIFPERKPDPGEKIRQMHSSARKSYTYVLPTPIDAKGAISSRTSGSAAQTRPSDRGGRTRNLWHSSPLEQKQHEKDPIDSSLAEFTVLKPSSAHKHSNCNASIQLPPPLVEGTSLPQFDTCNSSDNKKIKRQSFSGPITSKSWSMKPALSASGPISSNELPQQASGVFPRVMIPQTTSPKVSPTASPPLASSPRISELHELPRPPVNLASKPAKSSALVGHSAPLVRNPEHSGASKVPSTTTNYASPLPIPPLVVPRSFSIPTSGQRATTVHVSKSMESLHVPEKAEEVDSPPLTPISLANMKQASTLSELLPHSGQIRGGS >Manes.04G090300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29546796:29560133:1 gene:Manes.04G090300.v8.1 transcript:Manes.04G090300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGFKLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLREMGSCLLEKTALHDEESGGVLLMLGKVQFELQKLVDRYRSHIVLTITHPSESLLNELRTVEDMKRQCDEKRNVYEHIVAQQKEKGKSKGGKGESFTLQQLQTAHDEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVDQHLKLVADQQHIDYQFCGLEDDSKEYGEGDENNGDPNGGRELSFDYRANKQGHDVVSASRNSMEVDDVDLSFPQASMTGSAELNPDKNQGGFPISLREHRPGSHSAPIFPERKPDPGEKIRQMHSSARKSYTYVLPTPIDAKGAISSRTSGSAAQTRPSDRGGRTRNLWHSSPLEQKQHEKDPIDSSLAEFTVLKPSSAHKHSNCNASIQLPPPLVEGTSLPQFDTCNSSDNKKIKRQSFSGPITSKSWSMKPALSASGPISSNELPQQASGVFPRVMIPQTTSPKVSPTASPPLASSPRISELHELPRPPVNLASKPAKSSALVGHSAPLVRNPEHSGASKVPSTTTNYASPLPIPPLVVPRSFSIPTSGQRATTVHVSKSMESLHVPEKAEEVDSPPLTPISLANMKQASTLSELLPHSGQIRGGS >Manes.04G090300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29546796:29560133:1 gene:Manes.04G090300.v8.1 transcript:Manes.04G090300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGFKLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLREMGSCLLEKTALHDEESGGVLLMLGKVQFELQKLVDRYRSHIVLTITHPSESLLNELRTVEDMKRQCDEKRNVYEHIVAQQKEKGKSKGGKGESFTLQQLQTAHDEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVDQHLKLVADQQHIDYQFCGLEDDSKEYGEGDENNGDPNGGRELSFDYRANKQGHDVVSASRNSMEVDDVDLSFPQASMTGSAELNPDKNQGGFPISLREHRPGSHSAPIFPERKPDPGEKIRQMHSSARKSYTYVLPTPIDAKGAISSRTSGSAAQTRPSDRGGRTRNLWHSSPLEQKQHEKDPIDSSLAEFTVLKPSSAHKHSNCNASIQLPPPLVEGTSLPQFDTCNSSDNKKIKRQSFSGPITSKSWSMKPALSASGPISSNELPQQASGVFPRVMIPQTTSPKVSPTASPPLASSPRISELHELPRPPVNLASKPAKSSALVGHSAPLVRNPEHSGASKVPSTTTNYASPLPIPPLVVPRSFSIPTSGQRATTVHVSKSMESLHVPEKAEEVDSPPLTPISLANMKQASTLSELLPHSGQIRGGS >Manes.04G090300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29546796:29560133:1 gene:Manes.04G090300.v8.1 transcript:Manes.04G090300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGFKLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYGGVLLMLGKVQFELQKLVDRYRSHIVLTITHPSESLLNELRTVEDMKRQCDEKRNVYEHIVAQQKEKGKSKGGKGESFTLQQLQTAHDEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVDQHLKLVADQQHIDYQFCGLEDDSKEYGEGDENNGDPNGGRELSFDYRANKQGHDVVSASRNSMELNPDKNQGGFPISLREHRPGSHSAPIFPERKPDPGEKIRQMHSSARKSYTYVLPTPIDAKGAISSRTSGSAAQTRPSDRGGRTRNLWHSSPLEQKQHEKDPIDSSLAEFTVLKPSSAHKHSNCNASIQLPPPLVEGTSLPQFDTCNSSDNKKIKRQSFSGPITSKSWSMKPALSASGPISSNELPQQASGVFPRVMIPQTTSPKVSPTASPPLASSPRISELHELPRPPVNLASKPAKSSALVGHSAPLVRNPEHSGASKVPSTTTNYASPLPIPPLVVPRSFSIPTSGQRATTVHVSKSMESLHVPEKAEEVDSPPLTPISLANMKQASTLSELLPHSGQIRGGS >Manes.04G090300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29546796:29560133:1 gene:Manes.04G090300.v8.1 transcript:Manes.04G090300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGFKLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLREMGSCLLEKTALHDEESGGVLLMLGKVQFELQKLVDRYRSHIVLTITHPSESLLNELRTVEDMKRQCDEKRNVYEHIVAQQKEKGKSKGGKGESFTLQQLQTAHDEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGLKSLEAVDQHLKLVADQQHIDYQFCGLEDDSKEYGEGDENNGDPNGGRELSFDYRANKQGHDVVSASRNSMELNPDKNQGGFPISLREHRPGSHSAPIFPERKPDPGEKIRQMHSSARKSYTYVLPTPIDAKGAISSRTSGSAAQTRPSDRGGRTRNLWHSSPLEQKQHEKDPIDSSLAEFTVLKPSSAHKHSNCNASIQLPPPLVEGTSLPQFDTCNSSDNKKIKRQSFSGPITSKSWSMKPALSASGPISSNELPQQASGVFPRVMIPQTTSPKVSPTASPPLASSPRISELHELPRPPVNLASKPAKSSALVGHSAPLVRNPEHSGASKVPSTTTNYASPLPIPPLVVPRSFSIPTSGQRATTVHVSKSMESLHVPEKAEEVDSPPLTPISLANMKQASTLSELLPHSGQIRGGS >Manes.10G074337.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:14996702:14996977:1 gene:Manes.10G074337.v8.1 transcript:Manes.10G074337.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLGQVETLKAKFNSLMLFTDDVDAQEEQRDKFFMVLTLIGLQFDLCSVKDQILTGSIIPTLEDVSARLLHISLSMSDAIDMESSVLAM >Manes.16G093425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29954201:29955615:1 gene:Manes.16G093425.v8.1 transcript:Manes.16G093425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTTWNCRGSISSKFSQAFFQYKKLYKSDIFYLLETKVSGDNTNQICRKLGYDNWIRVEAVGYNGGIWIMWTKNFFRLQLSTDWFTENVMALWEDLNVSSNERRWLIVGDFNSLLTAEEQCGYNSFNAAGSRDFQNSLSTSELVDLGYEGTTFTWCRGRGYNNLKMARLDRALCSTNWRMAFSEAKVIRPVRLHSDHIPVIIDMRPHKSTPKLGFRFQLAWIPHKGFSDEVKHNWQKGVSIIEAAKGIGGSLSEWNRNVFGNIFAEKKRLLKRLKGVHRLSCEKVLELDRSFTAEDIFKALSNMAPNKASGPDGFQAVFLSEDVGYSGAGCI >Manes.15G021800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1726164:1730322:1 gene:Manes.15G021800.v8.1 transcript:Manes.15G021800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGKENHKVHTHFGRALESGPYTLKYGGSMWGYKRFFKRTQLETLDYLKDDTLVIRCCVGLVKSQTERPKTYTIAVPHSDILQHFGKLLGSGKGTDVNFEVDGEVYAAHKLALAARSPVFKAQLFGPMKDQNTECIKVEDMEASVFKALLYFIYWDTLPDVEKLFGLNSKCASTLMAQHLLAAADRYALERLRLLCEAVLCENVAINTVAKTLALAEQHQCPQLKAVCLKYIALPENLEAVMRTDGFEYLRESCPSVITELLQSVAKIGEGSIITCGHGNESLDGDMNGRRVTQRSFLRELEV >Manes.18G094500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8736839:8741682:-1 gene:Manes.18G094500.v8.1 transcript:Manes.18G094500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSKGLTLLLLGQKHEDKPMRVSPWNQYQLVDNEPDPDLQLASLKNRFSSGCASFVCFGRASAGLDSPSPLKVGPAQQQDVLPDPLVTDEGKDHTTEIQGDNNVRRVTLRSSLKKSRNSIPVPVKDSNQHDALHEKCSNIPDLTGRRKVQWTDVCGSELVEIREFEPSETAGSDDEFENGNERSCSCVIM >Manes.05G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24997794:25001966:-1 gene:Manes.05G147900.v8.1 transcript:Manes.05G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >Manes.05G147900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25000520:25001966:-1 gene:Manes.05G147900.v8.1 transcript:Manes.05G147900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVCQQHDFSCLLT >Manes.14G154101.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18204312:18206680:-1 gene:Manes.14G154101.v8.1 transcript:Manes.14G154101.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRIHAFPLLSPLSAESIFLPLSPPPPPLRVPRLSQVAVARPVATHRRRRLAVPVTDLLSPPPPLPPAAAASDHRHSICLEEQKRRKRWDYYETLLWFNKTGGS >Manes.14G154101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18204290:18206680:-1 gene:Manes.14G154101.v8.1 transcript:Manes.14G154101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRIHAFPLLSPLSAESIFLPLSPPPPPLRVPRLSQVAVARPVATHRRRRLAVPVTDLLSPPPPLPPAAAASDHRHSICLEEQKRRKRWDYYETLLWFNKTGGS >Manes.14G154101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18204312:18206680:-1 gene:Manes.14G154101.v8.1 transcript:Manes.14G154101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRIHAFPLLSPLSAESIFLPLSPPPPPLRVPRLSQVAVARPVATHRRRRLAVPVTDLLSPPPPLPPAAAASDHRHSICLEEQKRRKRWDYYETLLWFNKTGGS >Manes.14G154101.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18204312:18206680:-1 gene:Manes.14G154101.v8.1 transcript:Manes.14G154101.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRIHAFPLLSPLSAESIFLPLSPPPPPLRVPRLSQVAVARPVATHRRRRLAVPVTDLLSPPPPLPPAAAASDHRHSICLEEQKRRKRWDYYETLLWFNKTGGS >Manes.14G154101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18204289:18206680:-1 gene:Manes.14G154101.v8.1 transcript:Manes.14G154101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRIHAFPLLSPLSAESIFLPLSPPPPPLRVPRLSQVAVARPVATHRRRRLAVPVTDLLSPPPPLPPAAAASDHRHSICLEEQKRRKRWDYYETLLWFNKTGGS >Manes.03G147500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27512127:27515071:1 gene:Manes.03G147500.v8.1 transcript:Manes.03G147500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQFPKMETFKHEDLPCWKSFHGMATGSKYFHDSIIWGASTDITTYPDNGTSGNRPTTKSLIESSNIPQELRFLNPSEQMNTTDSLGENQRFEPMCLFPNTSFCMHQLGQIQGQPSEERLKINPTKGFNDHWLGTTKTQLMKYTGRRLQNQHHQKSASSPGKSFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAVAYDTAAYMLRGDDARLNFPDLKHQTKANSLNGTTAALLEAKLHAISGQEKHSIHIGPPSPEKHAHDNNTKQLKGFGQNPSRKQWQFELESKVEFDCQVNAKENAQEVVAFDKDAVQLNRIPSLDMDLIWDAMLVSDS >Manes.03G147500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27512855:27515071:1 gene:Manes.03G147500.v8.1 transcript:Manes.03G147500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFKHEDLPCWKSFHGMATGSKYFHDSIIWGASTDITTYPDNGTSGNRPTTKSLIESSNIPVNFLETFPEINQSQVSEPLSLFLQQELRFLNPSEQMNTTDSLGENQRFEPMCLFPNTSFCMHQLGQIQGQPSEERLKINPTKGFNDHWLGTTKTQLMKYTGRRLQNQHHQKSASSPGKSFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAVAYDTAAYMLRGDDARLNFPDLKHQTKANSLNGTTAALLEAKLHAISGQEKHSIHIGPPSPEKHAHDNNTKQLKGFGQNPSRKQWQFELESKVEFDCQVNAKENAQEVVAFDKDAVQLNRIPSLDMDLIWDAMLVSDS >Manes.03G147500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27512855:27515071:1 gene:Manes.03G147500.v8.1 transcript:Manes.03G147500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFKHEDLPCWKSFHGMATGSKYFHDSIIWGASTDITTYPDNGTSGNRPTTKSLIESSNIPELRFLNPSEQMNTTDSLGENQRFEPMCLFPNTSFCMHQLGQIQGQPSEERLKINPTKGFNDHWLGTTKTQLMKYTGRRLQNQHHQKSASSPGKSFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAVAYDTAAYMLRGDDARLNFPDLKHQTKANSLNGTTAALLEAKLHAISGQEKHSIHIGPPSPEKHAHDNNTKQLKGFGQNPSRKQWQFELESKVEFDCQVNAKENAQEVVAFDKDAVQLNRIPSLDMDLIWDAMLVSDS >Manes.03G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27512855:27515071:1 gene:Manes.03G147500.v8.1 transcript:Manes.03G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFKHEDLPCWKSFHGMATGSKYFHDSIIWGASTDITTYPDNGTSGNRPTTKSLIESSNIPQELRFLNPSEQMNTTDSLGENQRFEPMCLFPNTSFCMHQLGQIQGQPSEERLKINPTKGFNDHWLGTTKTQLMKYTGRRLQNQHHQKSASSPGKSFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAVAYDTAAYMLRGDDARLNFPDLKHQTKANSLNGTTAALLEAKLHAISGQEKHSIHIGPPSPEKHAHDNNTKQLKGFGQNPSRKQWQFELESKVEFDCQVNAKENAQEVVAFDKDAVQLNRIPSLDMDLIWDAMLVSDS >Manes.16G089400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29601250:29604517:1 gene:Manes.16G089400.v8.1 transcript:Manes.16G089400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHLIVFWLLGSALEATVSCGAVNFQARIQSECSFTRFPSLCRNTLMELRDHSTVHVVSALVNKTISETRLPSSYFQTLSSQLETHDAHLAKSVTGYCENLMKMSLKQLDKSLLALKESPKKNKNDIQTWLSAALTFQQACKDSADSLGLPAGEVNSQISSKMDYLSQLVSNPLALVNRITSNSVKLKNNTRTRHLGEEVEDFPKWVSARDRKLLQSGTIKANAVVAKDGTGNYKTISEAIEAASGGRFVIYVKSGVYKEKIRTNKDGITLIGDAITGDGFIARDIGFHNTAGPQGQQALALHIASDHSVLYRCSIAGYQDTLYALALRQFYRECDIYGTIDFIFGNAAAVFQNCYLVLRRPKGGAYNVILANGRTDPGQNTGFSIQNCRITASSDFSPVKHNFNSYLGRPWQPYSRSVIMESNIDDAISSRGWIEWPDAKSYGKTLYFAEYLNTGPGAGTSNRVTWPGFHVVGAQEAVKFTVGNFIDGASWLPSTGVTFVSGLQ >Manes.16G089400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29601250:29604516:1 gene:Manes.16G089400.v8.1 transcript:Manes.16G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHLIVFWLLGSALEATVSCGAVNFQARIQSECSFTRFPSLCRNTLMELRDHSTVHVVSALVNKTISETRLPSSYFQTLSSQLETHDAHLAKSVTGYCENLMKMSLKQLDKSLLALKESPKKNKNDIQTWLSAALTFQQACKDSADSLGLPAGEVNSQISSKMDYLSQLVSNPLALVNRITSNSVKLKNNTRTRHLGEEVEDFPKWVSARDRKLLQSGTIKANAVVAKDGTGNYKTISEAIEAASGGRFVIYVKSGVYKEKIRTNKDGITLIGDGKYSTIITGDDSVTGGSSMPASATFTITGDGFIARDIGFHNTAGPQGQQALALHIASDHSVLYRCSIAGYQDTLYALALRQFYRECDIYGTIDFIFGNAAAVFQNCYLVLRRPKGGAYNVILANGRTDPGQNTGFSIQNCRITASSDFSPVKHNFNSYLGRPWQPYSRSVIMESNIDDAISSRGWIEWPDAKSYGKTLYFAEYLNTGPGAGTSNRVTWPGFHVVGAQEAVKFTVGNFIDGASWLPSTGVTFVSGLQ >Manes.15G176756.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18581132:18582172:1 gene:Manes.15G176756.v8.1 transcript:Manes.15G176756.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSTHNKMLENQIAQQINSSSKAQGKLPSQLKKSRECKAVHLRSEKLLGMRVRRKMRLKKEKTKIREKKYIEREKCERKDDSKEEKKDELSEKKERVSEKQEIEKEQEVEKEEKAKYMQFDKFLEILKKLYVNIPFIDALSQIPSYAKFLNDILFKKRRLEEYETMVLTEECNVLLQNKLPLKLNDSRRKALCDLGASISLLPVFIFEKLKINNLKPTTISLQLADKSIKYPIGILGNVPLKVNKFFIRVDFVVLKMEDVNIPIILRRPFLITTRAIIDVKNGRLKLKVGEEEVEFNFSEPKAPKTLATT >Manes.02G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6290230:6293966:1 gene:Manes.02G080700.v8.1 transcript:Manes.02G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVISTAMAVQDQGGEAQSNTALPAGNGISLNAGLHAVTGPQLKEQFTCGNDYSPKVRKPYTITKQRERWTEDEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVREASGNTTSSVEPIEIPPPRPKRKPMRPYPRKMVHPLNKDTSILEQPLQSSSPHVSVSEQENQSPKSVLSAVGSDALGSIDSNTPNSSSSPVSCAGCCNSAKFTFCEPNLTPEDHGCASPATVTATVPDEQSPKVQKLDLFPRENVFSEEDLVGETSSWSFKLFGRTVLVSECPGPSSPTIENSKLSPLATNDGKHVQPLPLNFMGTELQHENRECTWNHFSTHDLPGALCYLQFQKEKSNSIGAGSSAPVPLYAICGGVPFHFHKRETMKIHLDPEEIQDKEIHKEGSWTGSNSGSVNGGENVDKNIDGETQSRQLPYEGKESYPVLELKTSEKTATSSKCLKGFVPYKKRKAERDCQSSSITGEEREERRIRLCL >Manes.02G031100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2375794:2383492:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376609:2383520:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2375795:2383492:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376395:2383493:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2375795:2383492:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNISIYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2375645:2383512:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2375633:2383511:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2375645:2383512:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2375633:2383511:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376671:2383492:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376671:2383492:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376693:2383512:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376672:2383511:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2375795:2383492:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNISIYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376672:2383511:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376693:2383512:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2377209:2383512:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376395:2383493:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2377209:2383512:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.02G031100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2376609:2383520:1 gene:Manes.02G031100.v8.1 transcript:Manes.02G031100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQEYYISYKLMKKKVRQYAQQIEVGILDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQHEALEQEPDISQITQLREAYRATGQDLLKLLFFVEINAVGLRKILKKFDKRFRYRFTDYYVRTRANHPYSLLQQVLKHVGLGAVIGAISRNLHELQEHQGSYLSIYDEPALSFQDPVVDSLKAAVDRVTRSTNFLNFLAQHALIIQEELPTTTDERADDQRYHFMSLFLNLLNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLLVGNSMYALALDYKSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTKFKIYKFTFNQVTLPGWVMTVGWFIYLILLWITFREPSHETEEKSITQESNAVTGPAENDGLEKGLKKPLLSEGKQDDEHGDGECDGSEEAFEESRRPATSIISAYRLLTPSIKVQLLIYFMLKYAMEILLSESSVITSYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVFIGVLLSFKFVDAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLAGYLGQSRLLNATLLPSLFICVASIIATCFTYNSLY >Manes.11G009400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1014648:1017092:1 gene:Manes.11G009400.v8.1 transcript:Manes.11G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLLPFASTQLPPSPLHSKPLPSTLRSFSQRTHIPSHVYRHPSAILLELCTSIKELHQILPLVIKNGLYNEELFQTKLVSLFCNYGSLTEAGRVFEPIEDKLEALYHTMLKGYAKHSSLDASLSFFCRMRHDSVIPVVYNFTYLLKLCGDSSDLRRGKEIHAQLITSGFSWNLFAMTGVVNMYAKCRQMDDAYKMFDRMPERDLVCWNTIISGYAQNGLAKVALELVPRIFEEGHRPDSITIVSILPAVADMRSLRIGKAIHGYVLRAGFESLVNISTALVDMYSKCESVGTARLIFNAMSSRTVVTWNSMIDGYVQSGEHEEAMVLFQKMMQEGVQPTDVTLMEVLHACADLGNLEQGKFVHKLVDEMKVNSNVSVMNSLISMYSKCKKVDIAANLFENLQNKTIVSWNAMILGYAQNGLVNEALNSFCEMQSRNIKPDSFTMVSVIPALAELSIPRQAKWIHGFVIRRLLDKNVFVMTALVDMYAKCGAIHTARKLFDMMSERHVITWNAMIDAYGTHGLGEAAVELFEEMQRGLIKPNDITYLCVLSACSHSGLVEEGLKYFDSMKKDYGLEPTMDHYGAVVDLLGRAGKLNEAWDFIQKMPFEPGITVYGAMLGACKIHKNVELGEKAAHKLFELNPDEGGYHVLLANIYATASMWDKFAEVRTMMQKKGLQKTPGCSLVELRNEIHCFYSGSTGHPQSKRIYAFLETLVGKIKAAGYTPDTNSIHDVEDDVKEQLLNTHSEKLAIAFGLLNTSPGTSIHVRKNLRVCGDCHNATKYISLVTGREIIVRDMHRFHHFKNGTCSCGDYW >Manes.14G071400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5931794:5934907:1 gene:Manes.14G071400.v8.1 transcript:Manes.14G071400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPWPCRSLLLSLFFFSSVLSLSSVKQYPSQNSAISTETLSSIQNLATPVPPPPPPPLPPSPSNRKFVIAVVATATITLIIAAIIFFFCCKYCRCQQKNKIDTRFQRDDIVTSEDFREFGKKVKGLVVDENGREVVYVKDAGHRKLKSKFSKILFNPSYEEEEEEEMRGDATVKRTRKYKPPEVKDSHGKDEIESVPPKPPSPAIVPSIISSALPSPLAAVLPPPPPPPPPPPPLPQPPPPPPPPPLLPPPIKKHSIPPPPPPRVVGLVSSLRPPPAPRGTLNNKNAAQASTKGCSKATSTDQMKLKPLHWDKVVANADHSMVWNEIIDGSLRFDDEQIETLFGYTTSNRQTPRENEVSSKISSSSPAPTAQIFILEPRKSQNKAIVLKSLAISRKEILDALLEGHGLTTDILEKLARIAPTHEEELKILQFRGNPTKLADAESFLYYILEAVPSAFLRINSMLFRSNYDAEILHLKESLQTLELGCKALRTRGLFLKLLEAILKAGNRMNAGTARGNAQGFNLTALRKLSDIKSTDGKTTLLHFVVEQVVRSEGKRQVLNRNRSDLDTDTRAAAAEREKECVLLGLQAMEELRIEFSNVKKAATIEYNSFINTCSSLTSRVTEIRLLITRCSNGEDGGFVKEMKGFLEDCEEELQVVTEEQTRILELVKRTTEYYQAGDSKHDRIDLQLFVIVKDFLDMVDQVCVDISQKTQKKNLAANSGSASPPLLPVRTPLKFPDFRLHLISDSSRSPSSSESDDDF >Manes.04G106800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31176867:31178007:-1 gene:Manes.04G106800.v8.1 transcript:Manes.04G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKVDLKLNSTSLLLNPMTPPIFFLEIRFRFNYRKLLRNLEGDLIEIEARPVGPTSSFLFEIQSSDLFYEQPCKSHLYYLFSSFNLDESVRDILAYRIACFLVFRANQQPFLARHVVADTEITRDYLIHGDSVDLTMIIDDEPREVVPRGASTSSLNKLKKQSFFAKRSGDGDGLSDDCVICLEGLSGSREALTKMTCNHIFHERCIFGWLQVQNSCPICRRELED >Manes.15G111600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8915508:8917892:1 gene:Manes.15G111600.v8.1 transcript:Manes.15G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQEHPTSCCITKETGGALHRVLCMQGGDDDSSYAKNSEAPASAISLCRPLLLKAIQSMKVFFSNKDEAESLRIADLGCATGYNTLATIDMVVDALRQQYINHCGFEPEFEAFFSDLPSNDFNSLFRSLSNIADKKTKQYYAAGVPGSFYTRLFPRGKLHVAVSLSALHWLSQIPDAVLEKTSAAWNKGRVWIDGAKKEVVEAYAKQSEKDLEDFLSCRKEEMVQGGMLFLLMGGRPASQQPENQLGDPDSRAKHPFTTSLDQAWQDLINEGLIDEETRDGFNIPAYMRSMAEVERAIKRCGGFEIERMEYSRIVEHSLEKQKQWMRDPISYGRAKANLVRATLGPIAEAHLGPYLSHQLFIRFQNRVSSDIALLHKTCYYGVIVVCAIRT >Manes.15G079100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6079656:6081035:-1 gene:Manes.15G079100.v8.1 transcript:Manes.15G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLEFVWCCGASGSDNSETNASETNGRRSEEARALMAQRTLQTLTMRSRRKRGRVRSASASSGVSTEEWKPTLCSITEDNVVVVKEEGTERVVKRKGSGERGGGGSRQLAGIPTYNEDYRRNNQFSVIPTFSATPFMI >Manes.14G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4272469:4275249:1 gene:Manes.14G049300.v8.1 transcript:Manes.14G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPASHVSPPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDTSKTIRVPDGFDYELYNRNDINRVLGPKANSISYKDSACRCFGFLVSKKKYIFTIDDDCFVAKDPSGKEINALSQHVQNLLTPSTPFFFNTLYDPYREGADFVRGYPFSLRGGVPTAISHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEDIIPFFQSVVLPKECTTVETCYIELSKLVKEKLGPIDPYFHKLGDAMVTWIEAWEELNSPGQGATAQRNGTVKE >Manes.08G004107.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:702274:706457:1 gene:Manes.08G004107.v8.1 transcript:Manes.08G004107.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNGCGYDSNFQQPPDGIFRFSSSASASSPSGKKTRISRKKSGITGRRLNFGAENYKADKRSGGSEKSARRRLNFGAEDYKADKRSGGSEKSARRLAVCLKKKRTAKSVPSKCRSCSSKDSSLFGCGLGIGIMYMMSAEKAEISKLSNAMDEIAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRAGTGHNNDPKVIKVSGIPMIDDVECPSSGLIEEPEPQLLEMDQLEAELASELQKLPWSYPEASGHEGVEPNMDKNETFSGGLHKLEGQSNISFQCHGVLPSELDQKLSHLHIEQLENQIEELESKLHSAQSKLNEKEAELDCVKLLTEIFPSTVSDDEAVTFAEQEYVITNAGITYCKSKFQLKKCDYQKARDYLIKT >Manes.09G093637.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28032013:28032476:1 gene:Manes.09G093637.v8.1 transcript:Manes.09G093637.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASQAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTSPCKGAIEVEVQGTVQAPPELAGDGWFNFNHIDQFTLSGKGTLDGQGQGS >Manes.05G013600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:731067:732199:1 gene:Manes.05G013600.v8.1 transcript:Manes.05G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDSEELQPVLESQARRRWQMIRKQRVTRKKRSRRVLRNRRTRLEGSSSRAANGIHRRVRTLKKLVPNSESKGLEGLFRDTADYILSLQMRVKVMQIMVKVLTGSDE >Manes.17G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24918404:24930479:1 gene:Manes.17G052600.v8.1 transcript:Manes.17G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFWKPGAEKPRILDDEEGGVLFLASSLSSSSSGYGYGSIEKQRLRLPVYKYRTAILYLVETHATTIIVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQAVASRVAEEMGVKLGEEVGYTIRFEDLTNSGVTMIKFLTDGVLLREMMDDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEAKSMSAFFQTSKRHQGLEADDGPRKDPAILSVEGRGFNVQIHYVEEPVADYVRAAVSTVLSIHDQEPPGDILVFLTGQDDIDATVQLLTEEAQANGKNKGLIVLPLYSGLSRAEQDLVFSPTPRGKRKIVISTNIAETSLTLEGIVYVVDCGFSKQRFYNPISDVENLVVAPISKASARQRAGRAGRIRPGKCYRLYTEEYFVNEMPAQGIPEMQRSNLVSCVTQLKALGIDNILGFDWPASPAPEAMIRALEVLYSLGVLDDDAKLTSPVGFQVAEIPLDPMIAKMILSSSQLGCSEEIITIAAVLSIQSIWVSTKLQKELDEAKLRFAAVEGDHVTFLNVYQGFLRSGKSSQWCHRNFVNYHAMKKVIEIREQLRRIALRIGIVLKSCERDMLVVRKAVTAGFFANACNLEAYSHNGMYKTVRGSQEVYIHPSSVLFRVNPKWVIYHSLVSTDRQYIRNVMTMDPSWLTEAAPHFFQYQRPDPIGH >Manes.S041716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1214920:1215042:1 gene:Manes.S041716.v8.1 transcript:Manes.S041716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G178800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23600223:23603415:-1 gene:Manes.15G178800.v8.1 transcript:Manes.15G178800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKSPVSCFFLSMVLLISVGFQDSVQGRLLLIKKPDPDNAAATARWLVSQNSWGVLNTISMDLGGAPFGNVVSFSDGLPNESSGIPYFYLTTLDPTARNALKDQRSSLTISEYSIGTCGKKDPENPSCAKITLTGKLKLLEGDSKEIEFARNALFTKHPEMEGWPKGHNFQFFKLEIEDIFLIDWFGGPKPLTLDQYFHTELSNVAAILFVPL >Manes.15G178800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23600222:23603415:-1 gene:Manes.15G178800.v8.1 transcript:Manes.15G178800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKSPVSCFFLSMVLLISVGFQDSVQGRLLLIKKPDPDNAAATARWLVSQNSWGVLNTISMDLGGAPFGNVVSFSDGLPNESSGIPYFYLTTLDPTARNALKDQRSSLTISEYSIGTCGKKDPENPSCAKITLTGKLKLLEGDSKEIEFARNALFTKHPEMEGWPKGHNFQFFKLEIEDIFLIDWFGGPKPLTLDQYFHTEL >Manes.17G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20819123:20823167:1 gene:Manes.17G038300.v8.1 transcript:Manes.17G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSHCFEGVGSELEEILTDTHSSTVKRVGSATWVELKLLFKLAAPAVIVYLLNNVVSMSTQIFCGHLGNLQLAAVSLGNTGIQVFAYGVMLGMGSAVETLCGQAYGANRFEMLGIYLQRSIILLVATGIPLMLIYVFCKPILLLLGEPNNIASAAEIFVFGLIPQIFAYAVNFPIQKFLQAQSIIAPSAYISLAGSVLHIIFTWLAVYKFNWGLLGAALVLSFSWWFMVLAQFVYIVTSERCKQSWTGFSWEAISGLWSFFKLSAASALMLCLETWYYEVLVLIAGLLQNAEIALDSLSICMTVSLWVFMISVGFNAAASVRVSNELGAGHPKSAAFSVIIVTSSSFVISVIAAILVMIFREVISYGFTEGEAVAKAVSELSPFLAVTLILNGVQPVLSGVAVGCGWQAFVAYVNVGCYYIVGVPVGVLLGFVFQLGVKGIWSGMIGGTFLQTLILLWVTYRTDWNKEVEIARSRLNMWDENEPLLEK >Manes.06G154600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28159388:28162931:1 gene:Manes.06G154600.v8.1 transcript:Manes.06G154600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILELAKHEESIPTLIKYISSKEVSGFDSIDSSSSFNTHHSQPSGSDSHPITSSAVGLETIASENSSVKFQPINDLRTCSVSISMPTSPRGSSSEINEKARFEDNEETSFGNGISVSSAESMAARSQNKQIKFHSQPMPKGCAVELGVNVTNSHHNQKLKDKRYDSFKTWSGKLERQISHLRGKPQEPSPENSVEHRVDKDALPVDRYYDALEGPELENLRASEEIVLPDDKKWPFLLRYPISSFGICLGISSQAIMWKTLATSASIKFLHISQNVNLVLWCISLALVAIVASVYLLKLILYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPSLANNLNSVLWYILMTPILCLELKIYGQWMSGGQRRLSRVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFDYGSRIAYFIAVFLYFSLAVRINFFRGFK >Manes.06G154600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28159388:28162756:1 gene:Manes.06G154600.v8.1 transcript:Manes.06G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILELAKHEESIPTLIKYISSKEVSGFDSIDSSSSFNTHHSQPSGSDSHPITSSAVGLETIASENSSVKFQPINDLRTCSVSISMPTSPRGSSSEINEKARFEDNEETSFGNGISVSSAESMAARSQNKQIKFHSQPMPKGCAVELGVNVTNSHHNQKLKDKRYDSFKTWSGKLERQISHLRGKPQEPSPENSVEHRVDKDALPVDRYYDALEGPELENLRASEEIVLPDDKKWPFLLRYPISSFGICLGISSQAIMWKTLATSASIKFLHISQNVNLVLWCISLALVAIVASVYLLKLILYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPPSLANNLNSVLWYILMTPILCLELKIYGQWMSGGQRRLSRVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFDYGSRIAYFIAVFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIKYSNEVTNIVTQTLAVILCVISTFIVTALLVTTILHAFVLRDLFPNDIAIAISERRTKHHHHHHHHNKKWFHRRLGSLENKEIENYLKYATSDIEASINPPSSDGSK >Manes.03G045700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3995687:4011827:-1 gene:Manes.03G045700.v8.1 transcript:Manes.03G045700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKIFNSVLVFLAIMGTCGSTRLQFPGDNNSEDFISTSCSHTLYYQVCASTLRSDPRSKTADLQGLARIALNISKSYGVATVAHIGDLKSEATGNESLSSCLDECREEYSEAVENLEDVVEALNARSLENVKTLVSSAMTYSDTCEESFEEIQLASPLVDRNLYFSSDPRNRGPQQ >Manes.03G045700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4003741:4011883:-1 gene:Manes.03G045700.v8.1 transcript:Manes.03G045700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKIFNSVLVFLAIMGTCGSTRLQFPGDNNSEDFISTSCSHTLYYQVCASTLRSDPRSKTADLQGLARIALNISKSYGVATVAHIGDLKSEATGNESLSSCLDECREEYSEAVENLEDVVEALNARSLENVKTLVSSAMTYSDTCEESFEEIQLASPLVDRNLYFSSDPRNRGPQQ >Manes.01G240900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40257311:40263438:1 gene:Manes.01G240900.v8.1 transcript:Manes.01G240900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRTEVEERVDLDEDNYMEEMDDDVEDQLDDEDDGGDDEDNEDVNIEENAEEDYEDSKNGANQKDQSPEVDRSLVNREPLEDEEKPAASVNEEEKEKHAQLLALPPHGSEVFIGGLPKDALEDDLRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVAQKAIEELHSKEFKGKTLRCSLSETKNRLFIGNIPKSLTEDEFRKVIEDVGPGVEVIELIKDPQNPARNRGFAFILYYNNACADYSRQKMSNASFKLDGNTPTVSWADPKGTPDHSAAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTRVVTPPGKAGKRDFGFIHYAERSSALKAVKDSEKYEIDGQVLEVVLAKPQADKKPDGLYPYTAGIHPNQVTHSSYGGFAGSPYGSLSASAGSGFGVTASFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGAQMSQPRPRRVDRSNGPSGPGRTGSSGDDGHRSRRYRPY >Manes.01G240900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40257352:40262352:1 gene:Manes.01G240900.v8.1 transcript:Manes.01G240900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRTEVEERVDLDEDNYMEEMDDDVEDQLDDEDDGGDDEDNEDVNIEENAEEDYEDSKNGANQKDQSPEVDRSLVNREPLEDEEKPAASVNEEEKEKHAQLLALPPHGSEVFIGGLPKDALEDDLRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVAQKAIEELHSKEFKGKTLRCSLSETKNRLFIGNIPKSLTEDEFRKVIEDVGPGVEVIELIKDPQNPARNRGFAFILYYNNACADYSRQKMSNASFKLDGNTPTVSWADPKGTPDHSAAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTRVVTPPGKAGKRDFGFIHYAERSSALKAVKDSEKYEIDGQVLEVVLAKPQADKKPDGLYPYTAGIHPNQVTHSSYGGFAGSPYGSLSASAGSGFGVTASFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGAQMSQPRPRRVDRSNGPSGPGRTGSSGDDGHRSRRYRPY >Manes.01G240900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40258158:40262352:1 gene:Manes.01G240900.v8.1 transcript:Manes.01G240900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRTEVEERVDLDEDNYMEEMDDDVEDQLDDEDDGGDDEDNEDVNIEENAEEDYEDSKNGANQKDQSPEVDRSLVNREPLEDEEKPAASVNEEEKEKHAQLLALPPHGSEVFIGGLPKDALEDDLRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVAQKAIEELHSKEFKGKTLRCSLSETKNRLFIGNIPKSLTEDEFRKVIEDVGPGVEVIELIKDPQNPARNRGFAFILYYNNACADYSRQKMSNASFKLDGNTPTVSWADPKGTPDHSAAAAQVKALYVKNIPENTSTEKLKELFQRHGEVTRVVTPPGKAGKRDFGFIHYAERSSALKAVKDSEKYEIDGQVLEVVLAKPQADKKPDGLYPYTAGIHPNQVTHSSYGGFAGSPYGSLSASAGSGFGVTASFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGAQMSQPRPRRVDRSNGPSGPGRTGSSGDDGHRSRRYRPY >Manes.03G061300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6927067:6937540:1 gene:Manes.03G061300.v8.1 transcript:Manes.03G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGDALRQAFMPKHEYESLREEDKAWVKLQRPVLMVTLAVICLVIFTCTVVSLKIVFPGDTGKRPFCSDRRLQPLPMNAKGGDPDLFPGAFYLTDQETVDYYWMVVFVPSMIIFLVSVAYLAAGIIVAYSAPARHGCLKVVENNYCASRRGGARCLSILNIVFAVVFGLLALFLGASLLTLGSSCSLPLFWCYEIATWGLVILYAGTAFCLRRKASVILDESDFSGQNLGLEMLEANTLEVTPEVERRVSEGFKAWMGSSLLSSDDEDEPDNYLEPPHVNRTTTTNRQKV >Manes.02G201900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18920908:18929737:1 gene:Manes.02G201900.v8.1 transcript:Manes.02G201900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGEMKVITLGGKGSSLSSSSFYAVATGQAQLRNDSSALDRLLSDQNPTTPLKPFQFSFPKTFTSIEIRASLTILLNKFLLHSNPSNIRSLLPNFISKTLNLKFETGNFDSIDVTEEERLLIERSAAHVYGVCSILDHESAALASIVDAVAALSCEAAKADVAAFSSMDTGDGFVDKEGIGVAGDMKVLLNGSKFVGKFQSEAFSKVPKINGRLREVVKSLHSVTRVSLNSREEVYEGDAIPAVLALSAALKSLGKISLCRGKMNLEAVGNGSLKTDLISIFEKKCPNENVLRNAYKLVLDSDFEEDTGKFVHEVNALLGTVWSIVAWEGITAFFAIEGGELMSAKGVEEIGVNGGDEKVVKKAEKKKKKLALGKGTSVIVQLIKDRLQGKGGDSGDSLQVLEKWVQDLLLFLDPKEMDFDVLVLKVKEIVESNESRRLPKLPKGTRDFAKEQMAIRERAFSIITEVFKRHGATALDTPAFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNGITSFKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYEEMGPDFEILKILTELLDELDIGDFEVKLNHRKLLDGMLEICGVPPEKFRTICSSIDKLDKQSFEQVKKEMVEEKGLNVETADRIGTFVKERGQPKELLLKLKQEGSKFLENTSSKRALDDLEILFDALEKSKCIDKVVFDLSLARGLDYYTGVIFEAVFKGTTQVGSIAAGGRYDNLIGMFGTKQVPAVGVSLGIERVFTIMEQLQKDRNQTTRPTETQVLLSILGDKSKLSLAAELASELWSAKLKAEYVVSTRFSKHIDRAKESRIPWMILVGDKELEKGIVKVKNLETTVEEEVPRSTFVEELTKRLNL >Manes.04G014700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1893002:1896589:-1 gene:Manes.04G014700.v8.1 transcript:Manes.04G014700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKTIHNSTLNPKPISFHIHFNLFLLFLSLSLLPISFSALHKPPPLPILPIPSASQLQWQLSSMAIFFHFGPNTFTDSEWGTGRVDPSVFNPIRLNTTQWVQVAKDAGFNRVILTAKHHDGFCLWPSEYTDYSVKSSSWSNGTGDVVKELALSAKEAGLDLGLYLSPWDRHEASYGKTLEYNEFYMAQMTELLSRYGEIKEVWLDGAKGEGEKYMEYFFDSWFSLIRQQQPGAVIFSDAGPDTRWIGDEAGVAGSTCWSIFNRSSAKIGDTDPQYSQRGDPSGHDWVPAECDVSIRPGWFWHASEIPKSALRLLDIYYKSAGRNCLLLLNVPPNSSGLISAEDIQVLQEFAILRISIFSNNLAKNALLNASSTRGGGTDDSHFNPTNVLEDGIYTYWAPEESQSAWELHLDLQESVSFNVLQVQEPVHMGQRIIEFHLEIMKNGDWTKVINGTTVGYQRLLLFPTVESQQLKFVIDKARADPLISFLGIYMDKFSSVDNKFDDSSQARFNNSVELQQARSKHFLNSTELLRQITQNHTKTAAM >Manes.05G151900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26122245:26125643:-1 gene:Manes.05G151900.v8.1 transcript:Manes.05G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFLYSICYACFFMFIISLCDAVPLSEIQALTSFKLHVHDPLGALDGWDASTPSAPCDWRGIVCYDNRVRELRLPRLQLGGTISYQLANLRQLRKLSLHSNNFNGSIPPSLSQCSLLRAVYLQYNSLSGDLPSSIVSLTNLQVLNVAHNFLSGKLPGDISHSLRYFDISSNGFSGEIPANFSSESQLQLINLSYNNFSGQVPASFGQLQALEYLWLDSNQLYGTLPSAIANCSSLTHLSAEDNALRGLIPATIGSIPKLEVLSLSRNELSGSIPASIFCDVSGNFSSVRIVQLGFNAFTGIVKPPNEGGCASLLEVLDIHENHIQSMFPSWLTNMTALRVIDLSGNFFSGALPAGIGNLLRLEELRMANNSLTGEIPSQIVKCSSLQGLDLEGNAFFGNIPVFLSELRNLKFLSLGGNPFSGSIPPDLGDLLELETLKMNNNNLTGNIPEELLKLNNLSILNLGYNKFSGEIPYNIGDLKGLLVLNLSACGFSGRIPVSIGSLLKLTTLDLSKQNLSGELPIELFGLPSLQLVALEENKLSGDVPEGFSSLISLQYLNLTSNSFTGVIPATYGFLSSLAVLSLSQNQISGVIPAELGNCSGLEALELRSNHLKGSIPGDISHFSRLKKLDLGQNDLTGEILVDIYKCSSLVSVSLDANNLSGHIPESLSTLSNLTFLNLSSNSLNGTIPANLSQISSLRYLNLSSNNLEGEIPKLLGSRFNDPSVFFMNGKLCGKPLDRECADVRNRRRKRLFLFIGVAVAGAFILLLCCCGYIYSLLRWRKRIRQGVTGEKKRSPARASSGADRGRGSGENGGPKLVMFNNKITYAETLEATRNFAEENVLSRGRYGLVFKALYQDGMVLSIRRLPDGSIEEGTFRKEAESLGKVKHRNLTVLRGYYAGPPDVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSVTMVHGDVKPQNVLFDADFEAHLSEFGLDKLTIATPAEAASSSTAIGSLGYVSPETSLTRQSTKEGDVYSFGIVLLEILTGKKPVMFTQDEDIVKWVKRQLQRGQISELLEPGLLELDPESSEWEEFLLGVKVGLLCTAADPLDRPSMADIVFMLEGCRVGPDIPSSADPTTLPSPV >Manes.10G036900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3784993:3787215:1 gene:Manes.10G036900.v8.1 transcript:Manes.10G036900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTLMEFYELVNGLKPVVVMVVVQVAYGGVNVLYKLAISNGMSARIIAAYRYIFATVFMIPLALYFEKKSITKLTWTVLFQAFLCGLFGGSLSQNLYLESLVLISPTFATAIFNLVPAITFILAISFGLEIVGLKTPAGKAKVLGTVMGISGAMLLTFYKGPEINVWKTNINLLKHHQSHQTHLASSSSSHILGCLLASGNSIGYALWLIVQAKMSATYPYPYSSTALMSLMGSIQATVYAICIEKDWSAWKLGWNIRLLTTIYAGMFIAGLMTTLVIWCVRKKGPLFVSIFSPLMLVCTALAGSILLNENLHVGSMGGAALIVCGLYAVLWGKNKEMKKVSQLVPLRTFQETEKIEVVVSSLKNDNDNNHSNVEHCNKDMTTADDFLQKQDK >Manes.02G171500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13535227:13537995:1 gene:Manes.02G171500.v8.1 transcript:Manes.02G171500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIIHGLLLLTLAAASKAAASPPTIPPVYAKPGCPHSCGNLSIPYPFGTREGCFLDDTFFINCTVTKNNDFVPYLRHGNVKVLNISLDGKLRIFSLLARDCYSKSGERIFSNQPWNRLFTFTLSFSRNKFIAVGCDTYAWVEDPVEYSYATGCLSLCQTNDLMVNGSCSGRGCCESSIPKGIRDYSVTVRSYNNHTRVMNFNPCSYAFVVEDGVYNFSTLDLVNLQNNRKGFPVVIDWVISNKACKEARQNITAYACKENSVCRDSENLHGYYCDCLSGFEGNPYLPNSCKDIDECEDPSLNQCIYAKHCRNEVGSYECFCPKGYHGDGTKNGTGCTARDKTKVIIGACIAVSLAFAILAFVSWGLQRRKINKLKEKNFRNNGGLVLQQLLSKIEISAEKAKIFTEDELKKATYNFNESEIVGRGGFGIVYKGTLDHKSVAIKKSKVMDHDQIEQFVNEVVVLCQIKHPNVVKLIGCCLETSVPLLVYEFINNKTLHYHIHNEVVESPMPWKTRLRIAVETADALAHMHSDAPIHIIHRDVKSENILLDDNFQAKVSDFGVSRLVPLDQTQLPTLVQGTFGYIDPEYFHSGLLNEKSDVYSFGVVLLELLIGQKVISSDRPEKDKNLAAFFIDRMKEDRLFEILHERVRNEGNSEQLKGVAELARRCLRMKGVKRPTMKEVKMELEELMMGNCVHVEFATNDIEETEPLLDLLTNSNGTSVSMGPDSVKFQAALQLESGR >Manes.01G027700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5733936:5739923:1 gene:Manes.01G027700.v8.1 transcript:Manes.01G027700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASGEAKREIVIVEEWNGSSSTKLSKTATITASPSLSIQRSGGRFDHVWRRVLQAFVPEGFPSSVTADYVPFQIWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLAGVASGATRAALTQHFALENNAADISAKEGSQETVATMTGMALGMVLARITIGYPLAIWFSFLSLTMFHMYANYRAVRCLVLKSINTQRSSILLQHFMETGQVLSPEQVSRMEHILPKWTTFWSSNNVKLLHKQIHLGVRVSSLDQQEMKELLHSAGSHRKAKYLLVERKGIISILMHKNSTSSDVLQSFIHALVMAKLTEETTSVHSESQSWMDKHYKTFLQKLNSSGWKTERLLSHSIIWRANWRCEPSDDKVD >Manes.01G027700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5733936:5739923:1 gene:Manes.01G027700.v8.1 transcript:Manes.01G027700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLFFNQALKNCHHHRFSFSFHPKGFPSSVTADYVPFQIWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFVFVVCLGSLSRSFTGVASGATRAALTQHFALENNAADISAKEGSQETVATMTGMALGMVLARITIGYPLAIWFSFLSLTMFHMYANYRAVRCLVLKSINTQRSSILLQHFMETGQVLSPEQVSRMEHILPKWTTFWSSNNVKLLHKQIHLGVRVSSLDQQEMKELLHSAGSHRKAKYLLVERKGIISILMHKNSTSSDVLQSFIHALVMAKLTEETTSVHSESQSWMDKHYKTFLQKLNSSGWKTERLLSHSIIWRANWRCEPSDDKVD >Manes.01G027700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5733936:5739923:1 gene:Manes.01G027700.v8.1 transcript:Manes.01G027700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASGEAKREIVIVEEWNGSSSTKLSKTATITASPSLSIQRSGGRFDHVWRRVLQAFVPEGFPSSVTADYVPFQIWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFVFVVCLGSLSRSFTGVASGATRAALTQHFALENNAADISAKEGSQETVATMTGMALGMVLARITIGYPLAIWFSFLSLTMFHMYANYRAVRCLVLKSINTQRSSILLQHFMETGQVLSPEQVSRMEHILPKWTTFWSSNNVKLLHKQIHLGVRVSSLDQQEMKELLHSAGSHRKAKYLLVERKGIISILMHKNSTSSDVLQSFIHALVMAKLTEETTSVHSESQSWMDKHYKTFLQKLNSSGWKTERLLSHSIIWRANWRCEPSDDKVD >Manes.01G080500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28325078:28326161:1 gene:Manes.01G080500.v8.1 transcript:Manes.01G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVIGHELAELSSNPLVNTWYAGEDLTAPTEIGDLCKGLYGTGGGRGYIGQVMRDKQGRTFNMNGKSVRKFLV >Manes.12G046400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4177874:4183480:1 gene:Manes.12G046400.v8.1 transcript:Manes.12G046400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGREVSKQKPKPKRYKKKQEKREILREYENGECCAVSESKMAYNSGLLSCSSIILLHHLYLSSRFGFVSSIHSNPNPPNLLAMQSQQQETDELEVNKRLLQELEDMGFTIGRAARALHHSGNASLEAAINWIIDHENDPDIDQVPLIAVNIDIESPQPLTTEEIQNKVQELRDQVRKRNEEEEKKLEREKEKERIRAGKEILAAKRIAEENERKRYLALRKAEKEEEKRAREKVLQKLEADKVERRRMLGLPPSVSHEAINTSRHVVQEKKNFYLSATRAEQLRECLRSLRRNHKDDDATVKRAFQTLLIYVGNVAKNPDVEKFRKIRITNPLFQERVGRLKGGIEFLELCGFERIEGSNFLYLPYNKVDMALLNSAGTEIRSAITNPFYGLLSYG >Manes.03G096800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16174872:16178320:1 gene:Manes.03G096800.v8.1 transcript:Manes.03G096800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSILMDCPLPTSFSSTSHSFFLFVLVLLLNTCLHLPNPAVALAEDSSSSIISRFQQYLQIDTAQPSPRYQEAADFLISQAKSIGLESQSIEFVDGKPLVLLKWAGSNPTLPSILLYSHTDVVPVEQHKWAYPAFGAHLDSHGNIYARGSQDMKCVGMQYLEAVRRLKSSGYQPIRSIYLSFAPDEEIGGHDGAEKFSASDIFKTMNVGIVLDEGLASPTENYRPFYAERSPWWLVIKANGAPGHGAKLYDNSAMENLLKSIESVRRFRASQFDLVKSGLKEEGEVISVNMVFLKAGTPSPTGFVMNLQPSEAEAGFDIRIPPTADPEALERRIAEEWAPVSRNMTYQFKQKNTVYDNFGKPLLTKTDDSNPWWILLEEAVRKANGKLGKPEVFPAATDSRYFRLQGLPAIGFSPMANTPILLHDHNEFLNKAEYLKGIEIYESIIKVYASYVEHASNEVTKDEL >Manes.03G096800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16174872:16178320:1 gene:Manes.03G096800.v8.1 transcript:Manes.03G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSILMDCPLPTSFSSTSHSFFLFVLVLLLNTCLHLPNPAVALAEDSSSSIISRFQQYLQIDTAQPSPRYQEAADFLISQAKSIGLESQSIEFVDGKPLVLLKWAGSNPTLPSILLYSHTDVVPVEQHKWAYPAFGAHLDSHGNIYARGSQDMKCVGMQYLEAVRRLKSSGYQPIRSIYLSFAPDEEIGGHDGAEKFSASDIFKTMNVGIVLDEGLASPTENYRPFYAERSPWWLVIKANGAPGHGAKLYDNSAMENLLKSIESVRRFRASQFDLVKSGLKEEGEVISVNMVFLKAGTPSPTGFVMNLQPSEAEAGFDIRIPPTADPEALERRIAEEWAPVSRNMTYQLGQFKQKNTVYDNFGKPLLTKTDDSNPWWILLEEAVRKANGKLGKPEVFPAATDSRYFRLQGLPAIGFSPMANTPILLHDHNEFLNKAEYLKGIEIYESIIKVYASYVEHASNEVTKDEL >Manes.04G110470.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31444048:31447248:-1 gene:Manes.04G110470.v8.1 transcript:Manes.04G110470.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILLLSFNLEWWKQANASGNETDKIALLKFKEAISAGDPNQLLDSWNDSLPFCNWFGITCSRRHQRVKSLDLEGQNLFGTISPYIGNLSFLRVINLQNNSFHGEIPQEVGRLFRLEELFLNNNTLAGEIPINLTRCSKLMFLDLGWNYHVSGKIPAELGSLTKLQNLSLVANNLIGEIPASLGNLSSLTFFRVSYNRLLGNIPDDLGKLTSLTAFAVSANKLSGTIPLPLFNISSIRIFSVVQNQLHGNLPENLGITLPNLILFSVGNNSFSGTIPNSLFNASHLEIVDLGWSNFVGQVPMNLGNLKNLWRLRLHGNALGSNSTNDLAFLDSLTNCTKMKILDLGRNNFGGVLPNSVANLSTELGLFYIGENQITGTIPAGLENLIKLTGISLRNNLLSGVFPNYFGKFQKLQSLSLGGNRLSGEIPPSIGNLTHLLELYLPDKNFQGSIPSSIANCQNLYFLDISQNHLNGVIPPEILLVRSFTKLLNLSQNSLTGVLPFEVGKLSNIGALDFSENNLSGQIPATIGDCLSLEFLYLKGNSFQGTIPPSLASLRGLQYLDLSLNKLTGRIPKDLQDIPYLLFLNLSFNDLEGEVPTGGVFRNASAVSLIGNDKLCGGVSELNLPKCPNKRGGLFFYKLEIILPVMAVCTLAFLLVYWKRNPKQKSSSSSSMMKQFLKVSYGDIFCATNGFSPENLIGSGSFGSVYKGFLDQVERPVAVKVLKLEHKGASKSFISECIVLRNIRHRNLVKMLTCCSSMDYKLNDFKALILEFMGNGSLEKWLHPEIEGKNQSWNLNLLQRLNVAVDVASALQYLHEQCENPIIHCDLKPSNVLFDDDMVAHVSDFGLARLVSTSKSSSQSLSTTTGIKGTIGYAPPEYGMGCPASREGDVYSFGILVLEMFSGRRPTDEIFKDGLNLHSFVKTALPESLMQIIDPNLITATEEERELSNSNGNLSEMSAKARSCVVSVLEIGIGCSAESPKGRMSMEDVSRQLHLIRKTFL >Manes.12G119300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32237567:32238160:-1 gene:Manes.12G119300.v8.1 transcript:Manes.12G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSQGGNRKASFSKCRPGTFKKANELCSLCAFETALVVLSPDGKSFPFDHPCYEAIRKKLANPGDPNREFAQHLAEHEATICELQKQYAVSLQELDAAQKRGEKLKRMREALGKTAINPLWERPIDELSLEELTTLKTLMEQVKGKLVQRLGKLTIQAPNAFASSSRSSAEAIDPNITIPNGVGAFSHGISHGY >Manes.09G076472.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13419557:13424891:1 gene:Manes.09G076472.v8.1 transcript:Manes.09G076472.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIVSTLMGNKQYAEKMLLYPENHNQSISGGQSFAEIMFSEYENHSPESPASKESLFKGCALYKMIRMITFTIGGGAYLNFMGNEFGHPKRVEFPMPSNNFSYSLANRCWDLLENEDVHRNLFSFDKDLMKLDENQRVLSRGLPSIHHVNDTSMVISYIRGPLLFVFNFHPTNAYERYSVGVEEAGEYQIVLNTDEKKYGGHGLIKVDQYLQRTINKRVDGLRNSLEVFLPCRTAQVICI >Manes.09G076472.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13419557:13424891:1 gene:Manes.09G076472.v8.1 transcript:Manes.09G076472.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIVSTLMGNKQYAEKMLLYPENHNQSISGGQSFAEIMFSEYENHSPESPASKESLFKGCALYKMIRMITFTIGGGAYLNFMGNEFGHPKRVEFPMPSNNFSYSLANRCWDLLENEDVHRNLFSFDKDLMKLDENQRVLSRGLPSIHHVNDTSMVISYIRGPLLFVFNFHPTNAYERYSVGVEEAGEYQIVLNTDEKKYGGHGLIKVDQYLQRTINKRQYLSPYRNLFSTLYIC >Manes.09G076472.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13419557:13424891:1 gene:Manes.09G076472.v8.1 transcript:Manes.09G076472.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIVSTLMGNKQYAEKMLLYPENHNQSISGGQSFAEIMFSEYENHSPESPASKESLFKGCALYKMIRMITFTIGGGAYLNFMGNEFGHPKRVEFPMPSNNFSYSLANRCWDLLENEDVHRNLFSFDKDLMKLDENQRVLSRGLPSIHHVNDTSMVISYIRGPLLFVFNFHPTNAYERYSVGVEEAGEYQIVLNTDEKKYGGHGLIKVDQYLQRTINKRVDGLRNSLEVFLPCRTAQVSNYLNFAFFKLFTSREQ >Manes.16G088400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29472144:29473056:1 gene:Manes.16G088400.v8.1 transcript:Manes.16G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVEDSGVIGTHLLYKAALILAILRWALSWALKFRNIIHLPSSSADSLHQSPPLPSSRRIIEGLILTTFGDITERFSGACETCAVCLSQLKEHDEVRELRNCCHVFHKECIDRWVDHDHDHDHDDNHKTCPLCRAPLLTLSQSSAWIKSEPSWAVERILYLFGDDLLM >Manes.10G017915.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1832800:1833764:-1 gene:Manes.10G017915.v8.1 transcript:Manes.10G017915.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLYHLTSSLFQVPIPLPHTGSHLPTSLSLKPQSQLKHADGSESLLTFLVPSPFSSFSSVPQPRPPTHPVASPAATHPRTHPLAKASQSLSLHPSRLQSRSHGHPPTQWDIPFRTADFQKMNKPFTLSLTVVLSLVLLFGEAKAIDPYKLKLIESHLGFCSEI >Manes.10G017915.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1832820:1833966:-1 gene:Manes.10G017915.v8.1 transcript:Manes.10G017915.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLYHLTSSLFQVPIPLPHTGSHLPTSLSLKPQSQLKHADGSESLLTFLVPSPFSSFSSVPQPRPPTHPVASPAATHPRTHPLAKASQSLSLHPSRLQSRSHGHPPTQWDIPFRTADFQKMNKPFTLSLTVVLSLVLLFGEAKAIDPYKVNSIDSILLFF >Manes.12G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:20084604:20091795:-1 gene:Manes.12G095900.v8.1 transcript:Manes.12G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLFTSPSISLKSIPFSMKTTSDSRVGVANFNKPADASQRYLSCSKQLQINTGNKHFVLVHGACHGAWCWYKVSDLLKSAGHSVTALDMAACGVHSKQVHELRSYMDYFEPLMVFMASLPPEERVVLVGHSLGGIGVSVAMEKFPEKISAGVFAAAIMPGPDFDFKTIVNKYPKESLSRMDTKYIYGNGPNKPPTASILGPNFLASKYYRLSSSENLVLANLLMRPSPTFVDSSADYDELVVTKERYGSVHRVYIVCDQENDAVQRLMFENNPPDELKVISGSDHMVMFSKPHDLCSCLMEIGDNHH >Manes.15G072600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5478304:5487104:-1 gene:Manes.15G072600.v8.1 transcript:Manes.15G072600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNWAEAETESSLSEALLFAIMCIIGLPVDVHVRDGSVYSGIFYTASVDKDFGIILKEAKLIRNGKCDGNVGNGSVIETLVILSGDLIQVVAKGDLFPADGAVGNVASDNAERAMFNVPSEIIVSEAKEANNLAMDKNKINENSRISTKKGSSSAKAFMPKKAGNEYEGRKILPDHAATATEVEPGKRGRINISESGEDFNSTVNGRQIGDNGSQAEQDHNKQKSELQRERSDNEVQSSSSISGLCLLEAKAVVEQTTMKSLPNEGSCDPKLPLVKPDSQCFGSSASSGSSSVTAVCSSISTASNVLVDVAAESHSGLLAPSADVVSPQSSESNKSSKEFKLNPGAKIFCPSFVTPISATMAAPAVASMVYIPSNSPVVPIAAAQPEVGISPFVPRPSVPAKFAPYTNLMAVNGASGHQFSQPITGNRTQPLRYAAQYHPVQATPTYVPPNSQADLVQSTATMSSVSACPLLTSHQVQYPKHQGTAAGQALQLCAPPPFGAGGQQPFAMPSHIPLLQPPIPANRPVPVPGSNALFGAKFP >Manes.15G072600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5478260:5487201:-1 gene:Manes.15G072600.v8.1 transcript:Manes.15G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNWAEAETESSLSEALLFAIMCIIGLPVDVHVRDGSVYSGIFYTASVDKDFGIILKEAKLIRNGKCDGNVGNGSVIETLVILSGDLIQVVAKLFLQGDLFPADGAVGNVASDNAERAMFNVPSEIIVSEAKEANNLAMDKNKINENRISTKKGSSSAKAFMPKKAGNEYEGRKILPDHAATATEVEPGKRGRINISESGEDFNSTVNGRQIGDNGSQAEQDHNKQKSELQRERSDNEVQSSSSISGLCLLEAKAVVEQTTMKSLPNEGSCDPKLPLVKPDSQCFGSSASSGSSSVTAVCSSISTASNVLVDVAAESHSGLLAPSADVVSPQSSESNKSSKEFKLNPGAKIFCPSFVTPISATMAAPAVASMVYIPSNSPVVPIAAAQPEVGISPFVPRPSVPAKFAPYTNLMAVNGASGHQFSQPITGNRTQPLRYAAQYHPVQATPTYVPPNSQAAMIGRLGQLVYVQPVAHDLVQSTATMSSVSACPLLTSHQVQYPKHQGTAAGQALQLCAPPPFGAGGQQPFAMPSHIPLLQPPIPANRPVPVPGSNALFGAKFP >Manes.15G072600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5478304:5487102:-1 gene:Manes.15G072600.v8.1 transcript:Manes.15G072600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNWAEAETESSLSEALLFAIMCIIGLPVDVHVRDGSVYSGIFYTASVDKDFGIILKEAKLIRNGKCDGNVGNGSVIETLVILSGDLIQVVAKGDLFPADGAVGNVASDNAERAMFNVPSEIIVSEAKEANNLAMDKNKINENRQIGDNGSQAEQDHNKQKSELQRERSDNEVQSSSSISGLCLLEAKAVVEQTTMKSLPNEGSCDPKLPLVKPDSQCFGSSASSGSSSVTAVCSSISTASNVLVDVAAESHSGLLAPSADVVSPQSSESNKSSKEFKLNPGAKIFCPSFVTPISATMAAPAVASMVYIPSNSPVVPIAAAQPEVGISPFVPRPSVPAKFAPYTNLMAVNGASGHQFSQPITGNRTQPLRYAAQYHPVQATPTYVPPNSQAAMIGRLGQLVYVQPVAHDLVQSTATMSSVSACPLLTSHQVQYPKHQGTAAGQALQLCAPPPFGAGGQQPFAMPSHIPLLQPPIPANRPVPVPGSNALFGAKFP >Manes.15G072600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5478260:5487201:-1 gene:Manes.15G072600.v8.1 transcript:Manes.15G072600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNWAEAETESSLSEALLFAIMCIIGLPVDVHVRDGSVYSGIFYTASVDKDFGIILKEAKLIRNGKCDGNVGNGSVIETLVILSGDLIQVVAKGDLFPADGAVGNVASDNAERAMFNVPSEIIVSEAKEANNLAMDKNKINENSRISTKKGSSSAKAFMPKKAGNEYEGRKILPDHAATATEVEPGKRGRINISESGEDFNSTVNGRQIGDNGSQAEQDHNKQKSELQRERSDNEVQSSSSISGLCLLEAKAVVEQTTMKSLPNEGSCDPKLPLVKPDSQCFGSSASSGSSSVTAVCSSISTASNVLVDVAAESHSGLLAPSADVVSPQSSESNKSSKEFKLNPGAKIFCPSFVTPISATMAAPAVASMVYIPSNSPVVPIAAAQPEVGISPFVPRPSVPAKFAPYTNLMAVNGASGHQFSQPITGNRTQPLRYAAQYHPVQATPTYVPPNSQAAMIGRLGQLVYVQPVAHDLVQSTATMSSVSACPLLTSHQVQYPKHQGTAAGQALQLCAPPPFGAGGQQPFAMPSHIPLLQPPIPANRPVPVPGSNALFGAKFP >Manes.15G072600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5478354:5487102:-1 gene:Manes.15G072600.v8.1 transcript:Manes.15G072600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNWAEAETESSLSEALLFAIMCIIGLPVDVHVRDGSVYSGIFYTASVDKDFGIILKEAKLIRNGKCDGNVGNGSVIETLVILSGDLIQVVAKLFLQGDLFPADGAVGNVASDNAERAMFNVPSEIIVSEAKEANNLAMDKNKINENSRISTKKGSSSAKAFMPKKAGNEYEGRKILPDHAATATEVEPGKRGRINISESGEDFNSTVNGRQIGDNGSQAEQDHNKQKSELQRERSDNEVQSSSSISGLCLLEAKAVVEQTTMKSLPNEGSCDPKLPLVKPDSQCFGSSASSGSSSVTAVCSSISTASNVLVDVAAESHSGLLAPSADVVSPQSSESNKSSKEFKLNPGAKIFCPSFVTPISATMAAPAVASMVYIPSNSPVVPIAAAQPEVGISPFVPRPSVPAKFAPYTNLMAVNGASGHQFSQPITGNRTQPLRYAAQYHPVQATPTYVPPNSQAAMIGRLGQLVYVQPVAHDLVQSTATMSSVSACPLLTSHQVQYPKHQGTAAGQALQLCAPPPFGAGGQQPFAMPSHIPLLQPPIPANRPVPVPGSNALFGAKFP >Manes.15G072600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5478260:5487201:-1 gene:Manes.15G072600.v8.1 transcript:Manes.15G072600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNWAEAETESSLSEALLFAIMCIIGLPVDVHVRDGSVYSGIFYTASVDKDFGIILKEAKLIRNGKCDGNVGNGSVIETLVILSGDLIQVVAKGDLFPADGAVGNVASDNAERAMFNVPSEIIVSEAKEANNLAMDKNKINENRISTKKGSSSAKAFMPKKAGNEYEGRKILPDHAATATEVEPGKRGRINISESGEDFNSTVNGRQIGDNGSQAEQDHNKQKSELQRERSDNEVQSSSSISGLCLLEAKAVVEQTTMKSLPNEGSCDPKLPLVKPDSQCFGSSASSGSSSVTAVCSSISTASNVLVDVAAESHSGLLAPSADVVSPQSSESNKSSKEFKLNPGAKIFCPSFVTPISATMAAPAVASMVYIPSNSPVVPIAAAQPEVGISPFVPRPSVPAKFAPYTNLMAVNGASGHQFSQPITGNRTQPLRYAAQYHPVQATPTYVPPNSQAAMIGRLGQLVYVQPVAHDLVQSTATMSSVSACPLLTSHQVQYPKHQGTAAGQALQLCAPPPFGAGGQQPFAMPSHIPLLQPPIPANRPVPVPGSNALFGAKFP >Manes.15G072600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5478354:5487104:-1 gene:Manes.15G072600.v8.1 transcript:Manes.15G072600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNWAEAETESSLSEALLFAIMCIIGLPVDVHVRDGSVYSGIFYTASVDKDFGIILKEAKLIRNGKCDGNVGNGSVIETLVILSGDLIQVVAKGDLFPADGAVGNVASDNAERAMFNVPSEIIVSEAKEANNLAMDKNKINENRISTKKGSSSAKAFMPKKAGNEYEGRKILPDHAATATEVEPGKRGRINISESGEDFNSTVNGRQIGDNGSQAEQDHNKQKSELQRERSDNEVQSSSSISGLCLLEAKAVVEQTTMKSLPNEGSCDPKLPLVKPDSQCFGSSASSGSSSVTAVCSSISTASNVLVDVAAESHSGLLAPSADVVSPQSSESNKSSKEFKLNPGAKIFCPSFVTPISATMAAPAVASMVYIPSNSPVVPIAAAQPEVGISPFVPRPSVPAKFAPYTNLMAVNGASGHQFSQPITGNRTQPLRYAAQYHPVQATPTYVPPNSQADLVQSTATMSSVSACPLLTSHQVQYPKHQGTAAGQALQLCAPPPFGAGGQQPFAMPSHIPLLQPPIPANRPVPVPGSNALFGAKFP >Manes.08G010100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1227240:1228286:-1 gene:Manes.08G010100.v8.1 transcript:Manes.08G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMAMKNSEESVKSLMMLKLFVRKIQRGLMHSATKGSSLNASKLKKDQIEAAKMVPEDVKQGHFAVLAVKGGEPKRFVVELEHLTNPAFMKLLEDAEEEYGFQQKGVLAVPCQPEELQIILGERKNRRMSTEW >Manes.04G164600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35767880:35772906:-1 gene:Manes.04G164600.v8.1 transcript:Manes.04G164600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKVPTKLGIQDEHIKSENRLGNLKQTSCQNQDGKNRGPDMKKRMKRSKSIKLSDFESLNSSPLRKTLSQPGKPPPLSASTAAATPQKQQPIIRTGGSPNYMKATSSSEARKERSQVSSLNTPTASDCKHLRRRNSSSSKLSSASSNRATRTLTKSSSLKLVRTLTKSPSFKPVRNAARKRSKVALCADMDVRKATCSSTLKDLKFPAYLMLNPGGTEAEGTSVMKVCPYTYCSLNGHHRSPLPPLKCFLKARRRAMKVQKSAKLDVLSPCRAKVFGDGTEEIRNQLPIFSDDKAPHKEADSTNSAKIPMVKEVDMDFFVEIYAKNAAVGPEATEKHTGEDDVGTNSFTGEPNRCGGEEETAEHENMEQVDENLSNALPHLETDFKENYGSKSNSCMIGDFLAEQTADVNADYPAQGGRKTKKYDKENQTEGECHANMEEDDSITDMEWEEGRLPTSCFDIEAHYLDKSDKESCISDECLSDIKKFNLTEEPDITRSDDIISNCTEEILADEVLQELFEEETASSITHWSDSDSTSEGTLQTWEILETAQVAGDITYDNQLFSIEYAFEGPTTVEEKSEDMEKGSTVAVTASTSMESIVESTAVDENNQEDGPCETEHGIFENNPLLGDAEKDCNTNVTTEALKGHQEDKSLRAKDTTELLQGQNVSSQTLDEIGNAGTNEGQKSRETQTDQILVVTTSTIKEEEQVVNVKLSMGVQISDSSESFSEADQEDIEDNDTQNQITAEDSSSSEERLNQHIPAKDVQNENQSLLGEHEGGANKFKIGSSKDSEEQIDSSIHQISSERCHTGEVEKKEVELCNQSDTAETFFAATNGIGAGSKRKSLYKGSNSRQELASTFNNRKWMTKCKKPIMDLEEERKFNPREPNFLPVVPDPEAEKVDLRHQIMDDRKNAEEWMLDHALQQAVTKLAPARKRKVALLVEAFETVLPIPKYETHIRHASTAFSHTIRPIQACS >Manes.07G089500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28140873:28141882:1 gene:Manes.07G089500.v8.1 transcript:Manes.07G089500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGSSGRLSMEENEEEKEEESSKFDMATFEAREEEIERKKMEVKEKIEVQLSQAEEEAKRLTQIWDELEVMVDPMRKEVGFIRKKIDMVNRELKPLGLTCQKKEKEYKEALESFNEKNKEKAQLVTTLVELLTESEKLRTMKLEELTKNLEAKP >Manes.18G104500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10111145:10111624:-1 gene:Manes.18G104500.v8.1 transcript:Manes.18G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQMVKHELIPNEAARKVTFKKRKAGLLKKLDELTTLCGVIACAIIFSDYRTSPEVWPSHSQAVSVLEKFKNLPPKKQSKYMLDQESFLTRNISKLTEKLEKLKKKNERMELELIYAESIARSKVDSSKNSKDKNDSSNLLEEYLESIITKKNKSEDQ >Manes.08G033900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3230653:3234473:1 gene:Manes.08G033900.v8.1 transcript:Manes.08G033900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPPPPSNNLFFLNSAATNLSPSPHLSHAPPSAQQFVGIPLDPSSHDTSTLHGLIPRIHYNLYNPVDPSSAARETPRAQQGLSLSLSSQQQPGYRSQAQAVSGEDMRVSGGSVSSGSGVTNGVSGIQGMLLSSKYLKATQELLDEVVNVNNNGIKNELSKKGNGISSNNSNKMIGESSGGDGSAGGDGGGKHGGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKLEGSRLKFVDNHIRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKEHERNGSEDKTSKSEQNESSASKSVLHEKGSVNENQTKNFKSLDSSSNQNPPAISISTASTSPVSRNLRNHSGFSLIGSSELEGITQQASPKRHRSTELIQSPTSVPSINMDVKPGETNNEQISMKFGNERQSRDGYSFIGSQTNFIGGFGQYPIGELGRFDTEQFTPRFSGNAVSLTLGLPHCENLSLSGTHQNFLANQNIPLGRRVEIGELNDFGGINTSTPHSSSAYESINIQNPKRFAAQLLPDFVA >Manes.08G033900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3230902:3234473:1 gene:Manes.08G033900.v8.1 transcript:Manes.08G033900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPPPPSNNLFFLNSAATNLSPSPHLSHAPPSAQQFVGIPLDPSSHDTSTLHGLIPRIHYNLYNPVDPSSAARETPRAQQGLSLSLSSQQQPGYRSQAQAVSGEDMRVSGGSVSSGSGVTNGVSGIQGMLLSSKYLKATQELLDEVVNVNNNGIKNELSKKGNGISSNNSNKMIGESSGGDGSAGGDGGGKHGGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKLEGSRLKFVDNHIRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKEHERNGSEDKTSKSEQNESSASKSVLHEKGSVNENQTKNFKSLDSSSNQNPPAISISTASTSPVSRNLRNHSGFSLIGSSELEGITQQASPKRHRSTELIQSPTSVPSINMDVKPGETNNEQISMKFGNERQSRDGYSFIGSQTNFIGGFGQYPIGELGRFDTEQFTPRFSGNAVSLTLGLPHCENLSLSGTHQNFLANQNIPLGRRVEIGELNDFGGINTSTPHSSSAYESINIQNPKRFAAQLLPDFVA >Manes.08G033900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3230445:3234473:1 gene:Manes.08G033900.v8.1 transcript:Manes.08G033900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPPPPSNNLFFLNSAATNLSPSPHLSHAPPSAQQFVGIPLDPSSHDTSTLHGLIPRIHYNLYNPVDPSSAARETPRAQQGLSLSLSSQQQPGYRSQAQAVSGEDMRVSGGSVSSGSGVTNGVSGIQGMLLSSKYLKATQELLDEVVNVNNNGIKNELSKKGNGISSNNSNKMIGESSGGDGSAGGDGGGKHGGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKLEGSRLKFVDNHIRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKEHERNGSEDKTSKSEQNESSASKSVLHEKGSVNENQTKNFKSLDSSSNQNPPAISISTASTSPVSRNLRNHSGFSLIGSSELEGITQQASPKRHRSTELIQSPTSVPSINMDVKPGETNNEQISMKFGNERQSRDGYSFIGSQTNFIGGFGQYPIGELGRFDTEQFTPRFSGNAVSLTLGLPHCENLSLSGTHQNFLANQNIPLGRRVEIGELNDFGGINTSTPHSSSAYESINIQNPKRFAAQLLPDFVA >Manes.08G033900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3230673:3234473:1 gene:Manes.08G033900.v8.1 transcript:Manes.08G033900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPPPPSNNLFFLNSAATNLSPSPHLSHAPPSAQQFVGIPLDPSSHDTSTLHGLIPRIHYNLYNPVDPSSAARETPRAQQGLSLSLSSQQQPGYRSQAQAVSGEDMRVSGGSVSSGSGVTNGVSGIQGMLLSSKYLKATQELLDEVVNVNNNGIKNELSKKGNGISSNNSNKMIGESSGGDGSAGGDGGGKHGGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKLEGSRLKFVDNHIRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKEHERNGSEDKTSKSEQNESSASKSVLHEKGSVNENQTKNFKSLDSSSNQNPPAISISTASTSPVSRNLRNHSGFSLIGSSELEGITQQASPKRHRSTELIQSPTSVPSINMDVKPGETNNEQISMKFGNERQSRDGYSFIGSQTNFIGGFGQYPIGELGRFDTEQFTPRFSGNAVSLTLGLPHCENLSLSGTHQNFLANQNIPLGRRVEIGELNDFGGINTSTPHSSSAYESINIQNPKRFAAQLLPDFVA >Manes.08G033900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3230445:3234473:1 gene:Manes.08G033900.v8.1 transcript:Manes.08G033900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPPPPSNNLFFLNSAATNLSPSPHLSHAPPSAQQFVGIPLDPSSHDTSTLHGLIPRIHYNLYNPVDPSSAARETPRAQQGLSLSLSSQQQPGYRSQAQAVSGEDMRVSGGSVSSGSGVTNGVSGIQGMLLSSKYLKATQELLDEVVNVNNNGIKNELSKKGNGISSNNSNKMIGESSGGDGSAGGDGGGKHGGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKLEGSRLKFVDNHIRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKEHERNGSEDKTSKSEQNESSASKSVLHEKGSVNENQTKNFKSLDSSSNQNPPAISISTASTSPVSRNLRNHSGFSLIGSSELEGITQQASPKRHRSTELIQSPTSVPSINMDVKPGETNNEQISMKFGNERQSRDGYSFIGSQTNFIGGFGQYPIGELGRFDTEQFTPRFSGNAVSLTLGLPHCENLSLSGTHQNFLANQNIPLGRRVEIGELNDFGGINTSTPHSSSAYESINIQNPKRFAAQLLPDFVA >Manes.08G033900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3230902:3234473:1 gene:Manes.08G033900.v8.1 transcript:Manes.08G033900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPPPPSNNLFFLNSAATNLSPSPHLSHAPPSAQQFVGIPLDPSSHDTSTLHGLIPRIHYNLYNPVDPSSAARETPRAQQGLSLSLSSQQQPGYRSQAQAVSGEDMRVSGGSVSSGSGVTNGVSGIQGMLLSSKYLKATQELLDEVVNVNNNGIKNELSKKGNGISSNNSNKMIGESSGGDGSAGGDGGGKHGGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKLEGSRLKFVDNHIRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEMKEHERNGSEDKTSKSEQNESSASKSVLHEKGSVNENQTKNFKSLDSSSNQNPPAISISTASTSPVSRNLRNHSGFSLIGSSELEGITQQASPKRHRSTELIQSPTSVPSINMDVKPGETNNEQISMKFGNERQSRDGYSFIGSQTNFIGGFGQYPIGELGRFDTEQFTPRFSGNAVSLTLGLPHCENLSLSGTHQNFLANQNIPLGRRVEIGELNDFGGINTSTPHSSSAYESINIQNPKRFAAQLLPDFVA >Manes.08G036700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3597029:3598429:-1 gene:Manes.08G036700.v8.1 transcript:Manes.08G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKQSLIGGQIFIHRPQPLQSTSSSSTSLNNRRPLLQRHSNSASSNSSSCSGRFAELAGGTTAECAAVCCCCPCALVNLLYLTIYKVPTGLWRRALRRKRRKQLIKKGLLPPRTKRCQCGCDETVIEIHPSASAHELMALKSDSEESSSSEGEEEAAMVKLEKEMWETFYSTGFWRSPSQREAPGMSHLHRDSPKAKVKK >Manes.09G122600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32512484:32521941:1 gene:Manes.09G122600.v8.1 transcript:Manes.09G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSLGESLVGGRNAPPGSHSQHHSRGHSLNGFSRDTDENLDLFSKNRRNLSVATSDESSNVSAKLGRLSIVSAKLLKSGVDDLLSSIDGGKHDYDWLLTPPETPLFPSSEASESRPTSAAPRSSSLARSVSTTKASRLSTSQSENYHSTRPTRSSSATHSSISSSQFSTHSLNRSFSILNTSSASVSSYIRPSSPLTRSPSTARPSTPSARPTTSRPSTPSRVRPAPTSSSIDKTRQSQNSRPSTPSSRAQIPANLNSTATRSNSRPSTPTRRNPVPSVTPTSGPSTSAGRVLSNGRTPAPASRPSSPGPRLRSPQQPVVPPDFPLDTPPNLRTTFPDRPLSAGRSRPGPAIATNGNSETTGSRNVPRRHSSPIISRGRISDAPGKGCVHSNGHVADVSEPRKVSHTSDLGMRRSAKSSSTVTESTGFGRTLSKKSLDMALRHMDVRNRTGTGSTRVLSSTTLFPQSIRSASKAQSGRSTSDPESINIGDIWENGNHSPAEIRSESIDGRYSAKLSEVDIYESSRYDAILLKEDLQNTNWLHSIDDKSDQGPTFDNGFESLPEPFGPL >Manes.18G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23718735:23719137:-1 gene:Manes.18G141600.v8.1 transcript:Manes.18G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGAVDSQAKSNTRNTRKNLIYGQHRCGKGRNARGIITARHRGGRIVTIEYDPNQNAYICLIHYGDGEKRYILHPRGPIIGDTIISGTEVPIKMGFKAWSY >Manes.12G047141.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4236262:4239055:-1 gene:Manes.12G047141.v8.1 transcript:Manes.12G047141.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSESWCFCKGVGKTERMKAAIFSDKGPALATISCAGNGTSGTRFLIHQNLLLTAHVNIPSVAAAESSEIRLQNGVAANLVPHRLERFECEKYSQI >Manes.18G112000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11233112:11238061:-1 gene:Manes.18G112000.v8.1 transcript:Manes.18G112000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLQRRAFEKAIGGRTLLCKVSLSLFLVLWGLFFLFSLWISRGDGYRDESVVHTAGISTCNEANLECCKFSESLEKVFLNEICSVRSDESLCTDRAETRGSNNELLGSEGNTEDAFVMEQHEAISVSDVKFENSKINRLSNSVPLGLDEFKSRAFSSKSKSGTAQAGGVIHRVEPGGKEYNYASASKGAKVLAFNKEAKGPSNILGKDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDLELLGSLVYPTDSWVRLGNFTAANVKHAQRFALQEPQWVRYLKLNLLSHYGFEFYCTLSVVEVYGVDAVERMLEDLISAENKIVYEEGTGEQKLPSQSEFSYVDDFDQELNMEIESGTSVGNSNVRREVLKNKVPDPVEEIRHQQVGRMPGDTVLKILMQKVRSLDLSLSVLERYSEEVNYRYGNIFKEFDKDLGEKDSVLEKITSDIKNIFDSQEIIAKNVDDLLSWKSLVSTQMDILLSDNLALRSMVEGVQRKQISMENKGIAIFSICLSFGFLAFARLLVDIWLSLYKAFSVQRTEKSRKFCWMSSSWLLLLFSCSIILLILSL >Manes.18G112000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11233112:11238061:-1 gene:Manes.18G112000.v8.1 transcript:Manes.18G112000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLQRRAFEKAIGGRTLLCKVSLSLFLVLWGLFFLFSLWISRGDGYRDESLCTDRAETRGSNNELLGSEGNTEDAFVMEQHEAISVSDVKFENSKINRLSNSVPLGLDEFKSRAFSSKSKSGTAQAGGVIHRVEPGGKEYNYASASKGAKVLAFNKEAKGPSNILGKDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDLELLGSLVYPTDSWVRLGNFTAANVKHAQRFALQEPQWVRYLKLNLLSHYGFEFYCTLSVVEVYGVDAVERMLEDLISAENKIVYEEGTGEQKLPSQSEFSYVDDFDQELNMEIESGTSVGNSNVRREVLKNKVPDPVEEIRHQQVGRMPGDTVLKILMQKVRSLDLSLSVLERYSEEVNYRYGNIFKEFDKDLGEKDSVLEKITSDIKNIFDSQEIIAKNVDDLLSWKSLVSTQMDILLSDNLALRSMVEGVQRKQISMENKGIAIFSICLSFGFLAFARLLVDIWLSLYKAFSVQRTEKSRKFCWMSSSWLLLLFSCSIILLILSL >Manes.18G112000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11233112:11238062:-1 gene:Manes.18G112000.v8.1 transcript:Manes.18G112000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLQRRAFEKAIGGRTLLCKVSLSLFLVLWGLFFLFSLWISRGDGYRDESVVHTAGISTCNEANLECCKFSESLEKVFLNEICSVRSDESLCTDRAETRGSNNELLGSEGNTEDAFVMEQHEAISVSDVKFENSKINRLSNSVPLGLDEFKSRAFSSKSKSGTAQAGGVIHRVEPGGKEYNYASASKGAKVLAFNKEAKGPSNILGKDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDLELLGSLVYPTDSWVRLGNFTAANVKHAQRFALQEPQWVRYLKLNLLSHYGFEFYCTLSVVEVYGVDAVERMLEDLISAENKIVYEEGTGEQKLPSQSEFSYVDDFDQELNMEIESGTSVGNSNVRREVLKNKVPDPVEEIRHQQVGRMPGDTVLKILMQKVRSLDLSLSVLERYSEEVNYRYGNIFKEFDKDLGEKDSVLEKITSDIKNIFDSQEIIAKNVDDLLSWKSLVSTQMDILLSDNLALSNHSSLFDGLF >Manes.01G236000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39840428:39845439:-1 gene:Manes.01G236000.v8.1 transcript:Manes.01G236000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKREGRLVAYLYACSVIGLVFAGSLDVFEDFKTLSFLESDAGSPSSPVAQPLMVDLTLIQGADSKGAVCLDGTLPGYHLHRGSGSGQNSWVIQLEGGGWCDTIKNCVFRKTTRRGSSKFMEKQIPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSKNEAAQLYFRGQRIWSAAMEKLMAEGMQSATQALLSGCSAGGLASIIHCDEFKDLFPQSTNVKCLSDAGLFLDVIDVSGNRTLRNMYEGVVRMQEVQKDLPSTCTSHLDPTSCFFPQNLIANIRTPLFILNAAYDAWQLQASLAPKSADPHGSWDACKHNHAECNSTQIQILQAFRNQMLEAVYVFSKSTQNGLFINSCFAHCQSERQDTWFADDSPLLNNKRIAQSVGDWYFDRVDVKAIDCPYPCDNTCHNLVFK >Manes.01G236000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39839534:39845439:-1 gene:Manes.01G236000.v8.1 transcript:Manes.01G236000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKREGRLVAYLYACSVIGLVFAGSLDVFEDFKTLSFLESDAGSPSSPVAQPLMVDLTLIQGADSKGAVCLDGTLPGYHLHRGSGSGQNSWVIQLEGGGWCDTIKNCVFRKTTRRGSSKFMEKQIPFTGILSNKAEENPDFFNWNRVKLRYCDGASFSGDSKNEAAQLYFRGQRIWSAAMEKLMAEGMQSATQALLSGCSAGGLASIIHCDEFKDLFPQSTNVKCLSDAGLFLDVIDVSGNRTLRNMYEGVVRMQEVQKDLPSTCTSHLDPTSCFFPQNLIANIRTPLFILNAAYDAWQLQASLAPKSADPHGSWDACKHNHAECNSTQIQILQAFRNQMLEAVYVFSKSTQNGLFINSCFAHCQSERQDTWFADDSPLLNNKRIAQSVGDWYFDRVDVKAIDCPYPCDNTCHNLVFNDVASTISFSQSSRLTFTTLNLLSSIVMSLISSKSTMGFQFDQ >Manes.15G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1149224:1154915:-1 gene:Manes.15G013600.v8.1 transcript:Manes.15G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNVVQVNQVWNCIEDEPAEQSLYPEALGISDAFRDPELLPRIGDQYQVEIPPLMTESAYFLLTEMANDPIITCGTSHDFLVGLPISLMWIKEEVNNIKHEHQEIPGDLNGSSTTNNFIKHESIMGFQIFPGSELQIKSELMDATLNGVVEDRKPAKLDLQEEEKNYQQHGGKGYLMVPGSLGVTWNNIEEASFLLGLYIFGKNLLQVKKLVESKQMGDILTFYYGKFYRSEKYNRWSECRKIRSRRCIYGQRIFTGSSQQELLSRLFLHVSEECKHTLTEVCKTFGEGKMLLEEYVWTLKAAVGLNTLVEAVGIGKGKQDLTGMVMEPLKSNQVASGRPEIPVGKACSTLSPLEIVKFLTGGYRLSKARSNDLFWESVWPRLLARGWHSEQPNDHGIAATSRHSLVFLVPGIKKFSRRKLVKGVHYFDSISDVLNKVASDPALLELDVGTDKGYGDEINDKILDQQGFPDQQRHCYLKPRTPSRRAEVMKFTVVDTSLVNGEPSKVRELRSLPVDMMDISTIRSDSEESDEDSSEDSINESDSSGNLCFDHNKIGISKSAKINVDKGDSSSRENFENNISSQYSPVIRSGFTKLPVKIPKDQNASKCDDMQPSKYVKGIKRRKPADRNLLGPVKKRRPRLAVCDRAATSCCTVNVPVDPRLEEVGCTSGNPDLQENVRSHLDLHQAKLSSTSSSCRGSPNITEECTLSSNSSVAEHFNEKSESRSLIDLNIPIPQDAETESSMIEMTERQLDKTSGQTEDSGILETSTSVCGSTSEQPPGISSRRQSTRNRPLTTKALEALACGFLSIKQKRRSRDDFSLDDSISRPSRRARSKVRVTENFGTGVMDYKGDERANGACNSSSDMFNKFHM >Manes.05G108300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10509470:10510825:-1 gene:Manes.05G108300.v8.1 transcript:Manes.05G108300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADLLFQIFIIFVHGSLALHLNPLFYRFLPSLYPLCLPFHFPSTPLSPSSPPPSAVMSCNSRLLISTLLLLLLLLLVTSAARLPNPTSSSSSFQSSSRDHHFYRQPPSCASFPHKTTSRYLCIHFQRMNPQRLLAPPPPPTPPSSLGEEIDPRYGVEKRLVPSGPNPLHN >Manes.06G129100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25824249:25827905:-1 gene:Manes.06G129100.v8.1 transcript:Manes.06G129100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATATSLNCARFPRQGRLNQATRGFQWTKEIHCTTKARPAIITAKFELKPPPYPLNALEPHMSKDTLEFHWGKHHRAYVDNLNKQIVGTELDSKPLEDVVIATYNKGDVLPAFNNAAQAWNHEFFWGCMKPCGGGKPSGELLQLIERDFGSFEKFVEEFKSAAATQFGSGWAWLVYKTDKLDVENAVNPRPSEEDKKLAVLKSPNAVNPLVWDYSPLLTIDVWEHAYYLDFQNRRPDYISTFLEKLVSWEAVSCRLEAAKAQAAGNS >Manes.06G129100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25824249:25827905:-1 gene:Manes.06G129100.v8.1 transcript:Manes.06G129100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATATSLNCARFPRQAGRLNQATRGFQWTKEIHCTTKARPAIITAKFELKPPPYPLNALEPHMSKDTLEFHWGKHHRAYVDNLNKQIVGTELDSKPLEDVVIATYNKGDVLPAFNNAAQAWNHEFFWGCMKPCGGGKPSGELLQLIERDFGSFEKFVEEFKSAAATQFGSGWAWLVYKTDKLDVENAVNPRPSEEDKKLAVLKSPNAVNPLVWDYSPLLTIDVWEHAYYLDFQNRRPDYISTFLEKLVSWEAVSCRLEAAKAQAAGNS >Manes.14G027900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2541608:2548476:-1 gene:Manes.14G027900.v8.1 transcript:Manes.14G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVSLLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHARCIRLVVADFVPSAPFEAMHAQTEADTGDASSIRNKFYQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDIHANVSAVTFHYGTTMDLIGAGSTPLHYAACGGNLKCCQILLARGASRMTLNCNGWLPVDVARMWGRHWLELLLAPNSDSTIPRFPHSNYLSLPLLSVLNIAREYGMQCSTSSSDDPDICAVCLERACNVAAEGCGHELCVRCALYLCSTSNIPSEMVGPPGSIPCPLCRHGIVSFVKLPGSPAKEMKLPLTLGLCTPCMLHSRDTDEQSPACVPEIRRNRVASVSSDFICPVTCSPFPSVAIPLCTCNDGPCPSFEPRTVESRDESPRRSQTTPVDQDKIEGPRLEKTSCSGMMFWGRRSCSREHQCNSEINA >Manes.04G133600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33423922:33428757:-1 gene:Manes.04G133600.v8.1 transcript:Manes.04G133600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIYKEIGAEALDPDFCQRLATSFSFAPNRAGKPATTWEQVQSWFEDRQKESLLKVAPPSVALKLFVDLSDATLTDATFPNDASETFQKSKVKKVTDLSDLTFEAKSSRDYAWYDVSAFLSYRVLYTGELEARVRFAGFRNTDDEWVNVKKAVRERSIPLEPSECHRVKVGDLVLCFRERQELAVYCDAHVVGVQRRSHDARDCGCIFVVRYEYDGMEESVQWQRLCRRPAQ >Manes.04G133600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33423922:33428757:-1 gene:Manes.04G133600.v8.1 transcript:Manes.04G133600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAEFTLAEIVEMENIYKEIGAEALDPDFCQRLATSFSFAPNRAGKPATTWEQVQSWFEDRQKESLLKVAPPSVALKLFVDLSDATLTDATFPNDASETFQKSKVKKVTDLSDLTFEAKSSRDYAWYDVSAFLSYRVLYTGELEARVRFAGFRNTDDEWVNVKKAVRERSIPLEPSECHRVKVGDLVLCFRVPFYFSR >Manes.04G133600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33423922:33428757:-1 gene:Manes.04G133600.v8.1 transcript:Manes.04G133600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAEFTLAEVQSWFEDRQKESLLKVAPPSVALKLFVDLSDATLTDATFPNDASETFQKSKVKKVTDLSDLTFEAKSSRDYAWYDVSAFLSYRVLYTGELEARVRFAGFRNTDDEWVNVKKAVRERSIPLEPSECHRVKVGDLVLCFRERQELAVYCDAHVVGVQRRSHDARDCGCIFVVRYEYDGMEESVQWQRLCRRPAQ >Manes.04G133600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33423922:33428757:-1 gene:Manes.04G133600.v8.1 transcript:Manes.04G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAEFTLAEIVEMENIYKEIGAEALDPDFCQRLATSFSFAPNRAGKPATTWEQVQSWFEDRQKESLLKVAPPSVALKLFVDLSDATLTDATFPNDASETFQKSKVKKVTDLSDLTFEAKSSRDYAWYDVSAFLSYRVLYTGELEARVRFAGFRNTDDEWVNVKKAVRERSIPLEPSECHRVKVGDLVLCFRERQELAVYCDAHVVGVQRRSHDARDCGCIFVVRYEYDGMEESVQWQRLCRRPAQ >Manes.03G086100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16982687:16984329:1 gene:Manes.03G086100.v8.1 transcript:Manes.03G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGYTIAALVLTILRTVQGQGAEWINAHATFYGGADASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGLSCGACFEIKCVNDNQWCLPGSIFITATNFCPPNYALPNNAGGWCNPPQHHFDLSQPVFQHIAQYKAGIIPVQYRRVPCRKTGGVRFTINGHSYFNLVLITNVGGAGDVVSVSIKGSKTNWQAMSRNWGQNWQSNSYLNGQALSLKVTTSNGHTLICNNVAPSNWAFGQTFTGGQF >Manes.05G157000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27018753:27022361:1 gene:Manes.05G157000.v8.1 transcript:Manes.05G157000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGETVCVTGASGFIGSWLVMRLLERGYFVKATVRDPENVNKLKHLWELPKASTHLSLWRGELAEEGSFDDAIQGCSGVFHVATPMDFSPDRDLEAETIKPTVNGVLNIMRSCLKAKTIRRFIYTSTTGAVTVGPPPPPPEYDESFWTDIDFCYAEKMPGWAYFVAKTKAEKAAWEFAKENDLDLITIHPSVVVGPFLTPSKPFSIDLGMALITRNEALYSMLARVRAVHVDDVCSAHIFLFEHPQAKGRYICSSHTFTIVDLAKSLNQKYPQYNVPSKFEGIDESLKTIPCSSKKLMDLGFTFKYESSKYDSGDLYAEAFDFCKEKGFMPQP >Manes.15G065166.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4980960:4981832:-1 gene:Manes.15G065166.v8.1 transcript:Manes.15G065166.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKTLFLTGLVVAFIALLSFRVSSARELAEAVQSKESAQGKLTQVQNVKEDLRHKSWYSYDYWFSYKHKHEHDRDHKHDDERKHNRDHNHKYDYDYKHDHKRYYDHDHKHDRDYKYDRDYNRKHDNNHNDRRHKHDHKHDQDNHKHYRKVTIVGNLGRESQVETKLRK >Manes.01G271000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42453436:42456044:-1 gene:Manes.01G271000.v8.1 transcript:Manes.01G271000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNGQSRYVKLTKDHQTPVEDITPGELNQPVLVPQLIIHRCIECGQPLPESYEPPADEDWTTGIFGCADDTESCCTGLFCPCVLFGHNIEELRDDIPWSNACVCHAMCVEGGMALAAATLFVNGIDPQTTFLIFEGLLFTWWTCGIYTGLFRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKNHLSENWATQMTVVNPPPSQQMNSGGNQESASDYQSTRNGSHIHLEIEPVKH >Manes.01G167604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35063835:35066448:-1 gene:Manes.01G167604.v8.1 transcript:Manes.01G167604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAGMKGVVSVFPSRTFRVQTTRSWDFIGLPENISRNPTSDRLSDTIVGVIDTGIWPESASFSDEGFGPAPKKWKGKCAGGRNFTCNNKIIGARFYSSRGSADSARDDTGHGSHTASTAAGSKVKDANFYGLAKGIARGGVSSARIAAYKACNADGGCEGVDILAAFDDAIADGVDILTVSLGSDAPIDVSVDEIAIGSFHAMQNGILTVNSAGNSGPKVRSVSSVAPWMLSIAASSTDRKFIDKVVLGNGKTLLGSSINSFNLKRKKFPLVYGSQATSLCSEVGARSCSEFCINSTLVKGKIVLCDNFNGNSEVHRAGALGTILRASQFDNIAFVVPLPASALTSEDYSLVTSYLRSTKSPEASILKSEVITDSTAPVVASFSSRGPNAIAPDIMKPDVSAPGVDILAAYIPVVSPSSGDRRRVEYNILSGTSMSCPHAAAVAAYVKAFHPDWSPSAIKSAIMTTALPMNATNNPDAEFAYGSGHINPLKAVQPGLVYETLKDDYVKLLCSMGYDTGSVRGITGDNSSCPKGSDEASLKDFNYPSLTSRVSIGESFRVKFHRTVKNVGPAKSTYQAIIYPKSELNITVKPPVLSFQSKNEKQSFDVTVAGRSLQVGAMVSTSLVWSDGTRRVRSPIIVHAK >Manes.03G181200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30323495:30324211:1 gene:Manes.03G181200.v8.1 transcript:Manes.03G181200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLETVQATSRSIDQTSSPRISFSAEFLDENNFISISPNTLQPEEDHEMEREKARNAEFEFLSGNMSSHAILTADELFFEGKLLPFWQMQQSEKLHKISLKSKETMEVEEEEEVNKEEPRVSWFVDDDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSSSSTSSSSSSLADIVTTVEAKQGSGNRDKQGKRMKKGLERTRSATIRIRPMINVPICSPVKSSPLPPLFPLKKGRLER >Manes.12G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18858332:18861748:1 gene:Manes.12G094200.v8.1 transcript:Manes.12G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQHIGDVTQISMEIKYVKGRISQSEVVKQNSELKGIKEEFKTIEVANVLNVQKQLLIAVGDAKMKTEIYGSDLQGMESKLGSCIKKIEESNQVTYHVNEDLYNSWKQIIDGKQLVQMCASETSSCCCFKKPKYMNELQDLDNALERFWQQVVRPQEQESRKLRDTRAEAGQAEKPARVVHGRVEVKPDGDMRNSSEKKKETHVEGKSSGGTHDSNLTDRKINEVGTNSVPSVDDKERLFVPWQVVPTRREPEQPLVYPTGESGDSKLKQQWKEQTQKPIKAAVNDNNSVSSHSDGLAKQPKEKKKCKEAESSNPSEKIGLVLEDISSLWPSLPLKPPETKGLNDKFGSSGPTGSNYPLSNDDASSSRLLLSKPPAGREASKKVKMEVLKDGVSTDVSTASIVFEVNALAKEHFRNEVQDL >Manes.12G094200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18859070:18861550:1 gene:Manes.12G094200.v8.1 transcript:Manes.12G094200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQHIGDVTQISMEIKYVKGRISQSEVVKQNSELKGIKEEFKTIEVANVLNVQKQLLIAVGDAKMKTEIYGSDLQGMESKLGSCIKKIEESNQMCASETSSCCCFKKPKYMNELQDLDNALERFWQQVVRPQEQESRKLRDTRAEAGQAEKPARVVHGRVEVKPDGDMRNSSEKKKETHVEGKSSGGTHDSNLTDRKINEVGTNSVPSVDDKERLFVPWQVVPTRREPEQPLVYPTGESGDSKLKQQWKEQTQKPIKAAVNDNNSVSSHSDGLAKQPKEKKKCKEAESSNPSEKIGLVLEDISSLWPSLPLKPPETKGLNDKFGSSGPTGSNYPLSNDDASSSRLLLSKPPAGREASKKVKMEVLKDGVSTDVSTASIVFEVNALAKEHFRNEVQDL >Manes.12G094200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18859070:18861550:1 gene:Manes.12G094200.v8.1 transcript:Manes.12G094200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQHIGDVTQISMEIKYVKGRISQSEVVKQNSELKGIKEEFKTIEVANVLNVQKQLLIAVGDAKMKTEIYGSDLQGMESKLGSCIKKIEESNQDLDNALERFWQQVVRPQEQESRKLRDTRAEAGQAEKPARVVHGRVEVKPDGDMRNSSEKKKETHVEGKSSGGTHDSNLTDRKINEVGTNSVPSVDDKERLFVPWQVVPTRREPEQPLVYPTGESGDSKLKQQWKEQTQKPIKAAVNDNNSVSSHSDGLAKQPKEKKKCKEAESSNPSEKIGLVLEDISSLWPSLPLKPPETKGLNDKFGSSGPTGSNYPLSNDDASSSRLLLSKPPAGREASKKVKMEVLKDGVSTDVSTASIVFEVNALAKEHFRNEVQDL >Manes.03G043800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3821084:3824336:-1 gene:Manes.03G043800.v8.1 transcript:Manes.03G043800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIKRRLFSDHYMATADGVVEIDSLEKGLLTESRDGVSGEDYESNDDTVLYKASFEDMEEKFVKYKTAQWVMYSLLLILAWGVGLFMLLYLPVRRYILRKDIRSRNLYLTPHAIVYKVTNPVAFPCFGVLKKEKHVLLPSVADIIIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPGAFKKAVLTRLSYMGSEHGSRRVSTIEDIPSLRIGRPSSPLMSPSKSLRHDLLANTGDLMLLQKLEEVGNAVKKVQTFIEEQHSQS >Manes.03G043800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3821115:3824202:-1 gene:Manes.03G043800.v8.1 transcript:Manes.03G043800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIKRRLFSDHYMATADGVVEIDSLEKGLLTESRDGVSGEDYESNDDTVLYKASFEDMEEKFVKYKTAQWVMYSLLLILAWGVGLFMLLYLPVRRYILRKDIRSRNLYLTPHAIVYKVTNPVAFPCFGVLKKEKHVLLPSVADIIIEQGINKQGINREKLGGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPGAFKKAVLTRLSYMGSEHGSRRVSTIEDIPSLRIGRPSSPLMSPSKSLRHDLLANTGDLMLLQKLEEVGNAVKKVQTFIEEQHSQS >Manes.03G043800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3820768:3824269:-1 gene:Manes.03G043800.v8.1 transcript:Manes.03G043800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIKRRLFSDHYMATADGVVEIDSLEKGLLTESRDGVSGEDYESNDDTVLYKASFEDMEEKFVKYKTAQWVMYSLLLILAWGVGLFMLLYLPVRRYILRKDIRSRNLYLTPHAIVYKVTNPVAFPCFGVLKKEKHVLLPSVADIIIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPGAFKKAVLTRLSYMGSEHGSRRVSTIEDIPSLRIGRPSSPLMSPSKSLRHDLLANTGDLMLLQKLEEVGNAVKKVQTFIEEQHSQS >Manes.03G043800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3820973:3824089:-1 gene:Manes.03G043800.v8.1 transcript:Manes.03G043800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADGVVEIDSLEKGLLTESRDGVSGEDYESNDDTVLYKASFEDMEEKFVKYKTAQWVMYSLLLILAWGVGLFMLLYLPVRRYILRKDIRSRNLYLTPHAIVYKVTNPVAFPCFGVLKKEKHVLLPSVADIIIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPGAFKKAVLTRLSYMGSEHGSRRVSTIEDIPSLRIGRPSSPLMSPSKSLRHDLLANTGDLMLLQKLEEVGNAVKKVQTFIEEQHSQS >Manes.03G043800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3820768:3824299:-1 gene:Manes.03G043800.v8.1 transcript:Manes.03G043800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIKRRLFSDHYMATADGVVEIDSLEKGLLTESRDGVSGEDYESNDDTVLYKASFEDMEEKFVKYKTAQWVMYSLLLILAWGVGLFMLLYLPVRRYILRKDIRSRNLYLTPHAIVYKVTNPVAFPCFGVLKKEKHVLLPSVADIIIEQGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPGAFKKAVLTRLSYMGSEHGSRRVSTIEDIPSLRIGRPSSPLMSPSKSLRHDLLANTGDLMLLQKLEEVGNAVKKVQTFIEEQHSQS >Manes.03G043800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3820767:3824203:-1 gene:Manes.03G043800.v8.1 transcript:Manes.03G043800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPIKRRLFSDHYMATADGVVEIDSLEKGLLTESRDGVSGEDYESNDDTVLYKASFEDMEEKFVKYKTAQWVMYSLLLILAWGVGLFMLLYLPVRRYILRKDIRSRNLYLTPHAIVYKVTNPVAFPCFGVLKKEKHVLLPSVADIIIEQGINKQGINREKLGGYLQSLFGVYSLRIENVGVRRPPSDDVQIQGIANPGAFKKAVLTRLSYMGSEHGSRRVSTIEDIPSLRIGRPSSPLMSPSKSLRHDLLANTGDLMLLQKLEEVGNAVKKVQTFIEEQHSQS >Manes.09G165325.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35870906:35872045:1 gene:Manes.09G165325.v8.1 transcript:Manes.09G165325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVISVVVISSTSSSSVIVISSSSSSCSAPNNSKHDCKWCGLMKRRTAFKRKKNTPIVFSKEATTLEASHGVSWTRVSLLFLFNSSAVCFPIKKNPSG >Manes.14G140364.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12354620:12355425:-1 gene:Manes.14G140364.v8.1 transcript:Manes.14G140364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSWNCQGLGNPLTIRHLKGMCASYSPDLLFVMETK >Manes.15G104800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8305863:8308675:1 gene:Manes.15G104800.v8.1 transcript:Manes.15G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYSLLAKALMFACHRYIRPLNARAFSDISYAHINHLLDAFPHRELYSLNCQLASFSRNGNFLATWALFCHMHSVCPKFDAYTFTPILGACSALPGPERGRQVHALMLKTGTDLGTVTKTALIDMYSKYGYLGDSVKAFQEVKFQDVVSWNSLFSSFLRHGLAKEALGVFSKMRREVVEFSEFTLCSLLKACASIAAFQPGKQVHALVVVTGRDLVVLGTALIDFYSSIGHISEAMKVFSTLSWTKDDVMCNSFITRCVQNRRYKEAFSIISVMKPNVVALTSALSACCENSDLWIGMQIHCVATRFGFTSDTQLCNKLIDMYAKCGKILNARSLFNGIFHKDVVSWTSMIDAYGRHGHGHEALELFSKMEQEGNKVSPNSVTFLAVLSACGHSGLVEEGRELFNLVEKKCGLVPGVEHYSCLIDILGRAGRMEDVWCLFGDMEKNGIRPTAAVWAALMNACRINLDVARGELAAKKLLELEPNNPGNYIMLSNFYASLGMWDFVDSLRGHMKESRLIKEAGNSWVTVPHLVPTS >Manes.01G085600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28784725:28787579:1 gene:Manes.01G085600.v8.1 transcript:Manes.01G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGLPKLVFSFFLFFLQLISGLEKIDLNTTLLADDNSSPCVSPFGDFAFGFRKLNNTNLFFLAIWFDKIPDKTIVWSAKIDDPVQQGSKLQITANGLVLADPSGQQIWTSGINQTSAASYGAMLDTGNFVVVGTNSNYLWESFKNPTDTILPSQTLEPGTALFSRLLETNFSRGRFELYFSDGDLRLSPLAWPTEFRYDPYFKSGTSANVSQLVFNGSSSDIYLMQKNGMIVQLQWQSQNTAHSVSGNYYRATLDYNGVFTQYAHPRDSNGEQSWSIVQYIPENICSAISNDLGSGACGYNSYCSMSNGRPTCRCPLGYSLMDQNNPFGGCKPNFPMGCGVDDASENMEELYELQELKNVNWPQGDYERLQPYSEEQCRTSCLQDCMCDVAIVGYSMCWKKRIPLGNGRFDMNSRALIKVRKGVPLDYPGPACNIKKKDKSILLGSLSTSLALNAFLLIIVPLILLFKRKRKSNRATEVSTLLESNLHVFTYKELEEATNNFKEQVGKGSSAIVYKGILNFAENKAIAVKKLDKLSLEADKEFRNELKAIGKTCHKNLVRLLGFCEEGAHRLLVYEFMTNGTLANFLLGIPKPGWNIRAKIALEIARGLVYLHEECDVPIIHCDIKPENILLDELFTARISDFGLVKLLLSNQSRTMTNIRGTRGYVAPEWFRNVAITAKVDIYSFGVMLLEIICCRKNVPKLEQEEILIDWVYDCFVEGRIDDVVEFDKEAMADKDRVSRWVTIAIWCIQEDPSKRPSMRMALQMLEGLVEVPLPIFSSTYSDV >Manes.09G070900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12132916:12136353:-1 gene:Manes.09G070900.v8.1 transcript:Manes.09G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQSAAFSPKIPQLSFTSSLQTPLFLAASTTTSHRHRHLHTSIKLFPKRQGHIACSAISGSSGTKSSGLVSENTANLLDTAKVFDLDGNGIPISDLWKDRKAVVAFARHFGCVFCRKRADYLAAKKDIMDASGVALVLIGPGSVDQAKTFFEQTNFKGEVYADPDHSSYEALKFVSGVFSTFTPKAGLQIIQLYMEGYRQDWKLSFEKDTVSRGGWQQGGIIVAGPGKTNISYIHKDKEAGDDPDIEDIMKICCS >Manes.09G070900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12132916:12136353:-1 gene:Manes.09G070900.v8.1 transcript:Manes.09G070900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQSAAFSPKIPQLSFTSSLQTPLFLAASTTTSHRHRHLHTSIKLFPKRQGHIACSAISGSSGTKSSGLVSENTANLLDTAKVFDLDGNGIPISDLWKDRKAVVAFARHFGCVFCRKRADYLAAKKDIMDASGVALVLIGPGSVDQAKTFFEQTNFKGEVYADPDHSSYEALKFVSGVFSTFTPKVFR >Manes.09G070900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12132916:12136353:-1 gene:Manes.09G070900.v8.1 transcript:Manes.09G070900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQSAAFSPKIPQLSFTSSLQTPLFLAASTTTSHRHRHLHTSIKLFPKRQGHIACSAISGSSGTKSSGLVSENTANLLDTAKVFDLDGNGIPISDLWKDRKAVVAFARHFGCVFCRKRADYLAAKKDIMDASGVALVLIGPGSVDQAKTFFEQTNFKGEVYADPDHSSYEALKFVSGVFSTFTPKAARWNYSCRSW >Manes.01G244300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40498267:40498926:-1 gene:Manes.01G244300.v8.1 transcript:Manes.01G244300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEPKDPFKGVDWKAIGGELQKDPSAGAKPVIKKRLPKKIRQIPDYYFLPRRSLPSAFAFYGSCIAGGIGAGMLLETWINKKVKEDGGVIWEFDK >Manes.03G134712.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26268481:26269497:-1 gene:Manes.03G134712.v8.1 transcript:Manes.03G134712.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVARFIKAWIIAIICLCYCYYTTAKIPKGILRLLSLLPVVYIFIILPFNLTSVHLCSATTFPLVWLANFKLLLFSLDHGPLSPPPPKLLQFILLACLPIQLKTKNNSNPSPQISLFTRSILLVVKILLMAIILHSYYYIHLMHPYVVFALYCAHTYLELEFVLAIFGVLARALFGFELDRQFDEPYLSSSLQDFWSRRWNLAVTSILRSTVYFPTRYFFKRIIGSTGATLVAVMASFLVSGLMHEILICYVTHVSPTWEVTCFFVLHGFCLAIEVAVKKVVRDRWRMPVIVSMPFTMGFIATTSFWLFFPQILKNGIDDKIIRELLNTVDFIKGTN >Manes.14G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4617848:4622590:-1 gene:Manes.14G054500.v8.1 transcript:Manes.14G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFRSTTKRTPIAKSSASSTDDSSSSNRSSSAHRRSRSLSRFSRPLPPSGDDLSGDAPLPRARFVNNVRGSGFPDVTLDDLAVQFFGSADRGRSYSRIDDVSSGDKVSVSQRRGRSVSRHGSRVGEGKANGRNGYVGGRVNFDNNSRRRRSVSVVRYQISDSESDIDHSQNPKNHTTLKSHGGGSCQVVLSNKTANHKQGLRRSLSQKDLKYQDGYSSHSSVLTDDEWGDSDPNVTGIGRTIQALYTQKEAMRKPNTSLESDNCKQPKNFDVLQGVSTTRRNYATKMKLSERRKQGMLSEILLEEQHRRELSKAVKELLGDPNDYIVKKSLQAKKKSSDRSRMSNQLTEEAERYIEGFISNFEDTDVSSLDGERSDTSSSLGGMSKMLTFQSPVLSKSIPVEMDGIVLPWLQWETSNDASPLSSKTSESVATPTTNLWEATQDATLMRDLSNHSISSRGSWSSRVIDGHSSNIGEVTGNRFAEVGSCYNQFSSDGTRPQPDVDDYLQRRREEAFLFERWSQQQRIQSGGLLLCNQMFF >Manes.04G051100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:16503126:16525537:1 gene:Manes.04G051100.v8.1 transcript:Manes.04G051100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERPTGTNNLVFAVNGQRFELSSVDPSLTLLEFLRSHTRFKSVKLSCGEGGCGACVVLLSKYDPLHEHIEDFTICSCLTLLCSINGCSITTTEGLGNSKDGFHSIHQRFTGFHASQCGFCTPGMCISLFGALVNAEKTDRPEPSPGFSKLTVIEAEKAIAGNLCRCTGYRPIADACKSFASDVDMEDLGFNSFWKKKESQEVKISRLPFYNRKNEICTFPKLLKRELKSSLPLESKRFSWYKPASIEELQSLLETTDANNGVQMKIVVGNTGMGYYKELEHYDKYFDLTHIPELSHIRRDHSGIEIGAALAISKAIKGLKEEWKGEFFSGRKVVFKKIALHMEKIAAEFIRNTGSIGGNLVMAQRKHFPSDIVTILLAAGSLVEIINGTMHEKLTLEEFLARPPFDSKSILINVKIPNCESVENISPDRNSKLLFETYRASPRPLGNALSYLNAAFLAEVSPSKSSAGIMLNRCQLAFGGFGTKQAMRARKVEEFLAGKLLTIDILYEAIKLVKATVIPEDGTRNTAYRSSLAVGFLFDFLNPLINTICNGSVERSIGSLMLKDGKSRQNYDWPDHVKFPTLLSSARQVVQINKDYHPIGEPVTKSGAALQASGEAVYVDDVPSPRDCLHGAFIYSKKPFARVKGIKFNSKSPPDGVIALISFKDIPNGGENIGAKTIFGPDPLFADEFTRCSGDRLALVLADSQKHADVASNIAVVDYDLENLEPPILTVEEAIKRSSLFEVPSFIYPKQIGDISKGMAEADHKILSAEIKLGSQYYFYLENQTALAMPDEDNCLVVYSSTQCPEFAHAVIAKCLGIPEHNVRVITRRVGGGFGGKAIKAMPIATACALAAYKLQRPVRMYLNRKTDMIMAGGRHPMKIIYSVGFKCSGKIKALQLDILINAGIYPDISPIMPHNVMGSLKKYDWGALSFDIKVCKTNLPSRSAMRAPGEVQGSFIAEAIIEHVASSLSMDADTVRTINLHTYDSLNSFYDVNAGEPLEYTLPSLWDKLATTSSFTQRTEMIKEVNRSNLWTKRGISRIPAVHQVTLRPTPGRVSILSDGSVVVEVGGIELGQGLWTKVKQMAAFGLGSIKCVGAGDLLDKVRVIESNTLSLIQGGFTSGSTTSESSCEAIRMCCKALVDRLTPLKERLQEQMGSVKWEMLISQAYLEAVNLSASSFFVPDLASMNYLNYGAAVTEVEVDLLTGQTTILRSDIIYDCGQSLNPAVDLGQIEGAFVKGIGFFMLEEYTTNSDGLVVEEGTWTYKIPTIDTIPEQFNVEIANSGHHQKRVLSSKASGEPPLLLAASVHCAIRAAIRDARQQLSTWGACKDGSHSTFHVEVPANMPVVKELCGFDNVERYLMWKMNSN >Manes.13G078700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13124722:13129777:-1 gene:Manes.13G078700.v8.1 transcript:Manes.13G078700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGSCVIWDFETRGIAKELRDKDCVAAITSICWSKYGHRILVSAADKSLTLWDVVNGEKISRTILQQTPLLARLHPGSTAPSLCLACPLSSAPMIVDLNTGSTTMLPVAVPDMGSGLAPPPRSKSSDGTPPFTPTAACFNKCGDLVYVGNSKGEIFIIDHKVIQVRAMVSIPGGAVIKNIVFSRNGQYLLTNSNDRTIRIYENLLPLKDGLSALEEMDRTINEPDGVEKKKTIGSKCLALFREFQDSITKMHWKAPCFSGDGEWVIGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPIVVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLMPETEKVKESDVNDDEEVDIVTVEKDAFSDSDMSQEELCFLPAIPCPDVPEQQDKCVGSSSKLMDSNHSGSPLSEGAGQNGVAVNHASSPLEEDTGGTRIKRKRKPSEKGLELQAEKVRKPLKPLKSSGRLSKLKNKYVVDLDISNGGVYGDDVSDE >Manes.07G137800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34061998:34065389:-1 gene:Manes.07G137800.v8.1 transcript:Manes.07G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKGNVLMQRYDFGRLLGQGNFAKVYYARNLQTGQSVAIKVIDKEKILKVGLINQIKREISVMKLVKHPNVLQLYEVMASKSKIYFAIEYAKGGELFKKVAKGRLKEDVARNYFQQLISAVDFCHSRGVYHRDLKPENLLLDENGVLKVSDFGLSALVESKSQDGLLHTTCGTPAYVAPEVIKSKGYDGAKADIWSCGVILYVLLAGYLPFHDANLISLYRKITKAEYKCPNWFLPEVRKLVSKMLDPNPSTRISIEKIMENPWFRKGFNPKAAETKIDVKKLDPLVADTTLDPYENAFIFAEDKKELNRPISLNAFDIISLSNGLDLSGFFTKSQQEKETKFTSMHSASTIISKLEDTAKHLHLEVKKKDRGLLKLKGSEEGRKGALSIDAEIFEFTPSFHMVEVKKSAGDTLEYTQILEQGLRPALKDIVWVWQGEQQQKQQQAHSS >Manes.05G133700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23531427:23533858:-1 gene:Manes.05G133700.v8.1 transcript:Manes.05G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEASGHVVTVELKSGELYRGSMVECEDNWNCQLESITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKSASLGVGRGRSVAMRAKAQAAGRAAPGRGVVPPVRR >Manes.18G140797.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18805324:18805833:-1 gene:Manes.18G140797.v8.1 transcript:Manes.18G140797.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKLPLVSTLKLPSVPSPSINCCCCYSSKGYSCHCYSSKVRKYNSFTQLSL >Manes.16G088700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29511822:29514337:1 gene:Manes.16G088700.v8.1 transcript:Manes.16G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSHMLCLFLLCLIGGEDVVKGVKGLGCNWGTQSTHPLQPNIVVQLLKDNGFNKVKLFEADPGALRALGRSGIQVMVGIPNDLLAPLASDFQAAVNWVQQNVSDYVSRHAVDIRYVAVGNEPFLKTYKDTFLHTTFPALKNIQAALVRAGLAKKVKVTVPLNADVYQTDNGLPSGGNFRSDIHDLMISIIKFLSDNDSPLTINIYPFLSLNADPNFPKEFAFFNGSAAPVVDGSISYTNVFEANFDTLISALEKNGFSSMPVIIGEIGWPTDGDPSANAEYAQWFNQGLLNRIFQGQGTPKRKTPPDIYLFSLIDEDDKSTLPGNFERHWGIFYFDGTIKYQLNIGNGKSLVPAKGVRYLGRQWCVLSPTASITDPSLASSISYACTYADCTSLGYGSSCSRLDPRQNASYAFNMYYQTMDQRIGSCSFNNLSVITNLNPSQGTCRFEIMIDLGKHEIAPRRSLAGKIQTSTMGVILALILIIYGFF >Manes.03G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5292409:5294949:-1 gene:Manes.03G054500.v8.1 transcript:Manes.03G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCMLSFFFLCLIGGLGLVKEVKGLACNWGTQSTHPLQPKIVVQLLKDNGFDKVKLFEADPGALKALGHSGIQVMVGIPNDLLAPLASSVQAAINWVQQNVSDYVSRYGVDIRHVAVGNEPFLKTYKDTYLQTTFPALQNVQAALIKAGLAKQVKVTVPLNADVYQTDSGLPSGGNFRSDIHDLMISIIKFLSDNLSPLTINIYPFLSLNADPNFPKEYAFFNGSAAPVVDGSITYTNVFEANFDTLISALEKNGFSSMPVIIGEVGWPTDGDPSANAENAQRFNQGLLNRIFQGQGTPKRKTPLDIYLFSLIDEDNKSIQPGNFERHWGVFYFDGALKYQLDTGNGKSLVPAKGVKYLARQWCIMSPDASLADPNLANSISYACSYADCTSLGYGSSCSRLDARSNASYAYNMYYQTMDQRKGSCSFNNLSVVTNQDPSQDTCRFEIMIDLRKQETAPRRSLAGKIQNSTMGLILLLLLIINGAF >Manes.02G181900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKVSFHLFMMFPEAKPLFRRA >Manes.02G181900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYNVGNQAKDIG >Manes.02G181900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYNVGNQAKDIG >Manes.02G181900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPELNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYNVGNQAKDIG >Manes.02G181900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475980:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKKQNHYFEGPENRDVLSQNSCCG >Manes.02G181900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468217:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14473404:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYNVGNQAKDIG >Manes.02G181900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475980:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLSILCISFQCDFYSTKSLQNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKVSFHLFMMFPEAKPLFRRA >Manes.02G181900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPELNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475980:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLSILCISFQCDFYSTKSLQNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKVSFHLFMMFPEAKPLFRRA >Manes.02G181900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPELNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475980:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLSILCISFQCDFYSTKSLQNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475980:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLSILCISFQCDFYSTKSLQNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKGLKTGMYYLRTRAAVDAIKFTVDTSALNHENANRTNSDCNTDLAQVVCSLKNKEECMSCGS >Manes.02G181900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475980:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLSILCISFQCDFYSTKSLQNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKKQNHYFEGPENRDVLSQNSCCG >Manes.02G181900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475980:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLSILCISFQCDFYSTKSLQNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKKQNHYFEGPENRDVLSQNSCCG >Manes.02G181900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475980:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKVSFHLFMMFPEAKPLFRRA >Manes.02G181900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14468347:14475979:1 gene:Manes.02G181900.v8.1 transcript:Manes.02G181900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIKRDGRQEPVHFDKITARLNKLSYGLNSEHCDPVLVAQKVCAGIYKGVSTIELDQLAAETAASMTANHPDYALLAARIALSNLHKNTKKSFSETIKIMYNYVDKRSGQKASLIADDVYEIIMKNAALLDSEIIYDRDFDYDYFGFKTLERSYLLKVDGKIVERPQHMLMRVAVGIHKEDIDSAVKTYHLMSQRWFIHATPTLFNAGTPQPQLSSCFLACMKDDSIEGIFDTLKECAVISKVAGGIGLSVHNIRATGSYIRGTNGSSNGLVPMLRVFNDTARYVDQGGGRRKGAFAIYLEPWHADIFEFLDLKKNHGKEEHRARDLFYALWIPDLFMERVRGDGSWSLFCPNEVPGLADCWGEEFENLYTIYENEGKAKKVLSARKLWFEILNSQIETGTPYMLYKDSCNRKSNQKNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRHVRERGVPIEANSPKLVGSTDSKSRYFDFAKLAEVTATITANLNKIIDTNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNRDIFETIYYHALKSSSELAAKDGPYETYHGSPVSKGILQMDMWGVMPSDRWDWDALRELISKNGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEIGLWSHSLKNKIIYENGSVAKIPEIPELLKAIYKTVWEIKQKTLVDMAVSRSCYIDQSQSLNIHMEQPDLGKLTSLHFYAWSKKQNHYFEGPENRDVLSQNSCCG >Manes.06G129600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25933903:25936971:1 gene:Manes.06G129600.v8.1 transcript:Manes.06G129600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSKETDDAPDTPSSPKSIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIAYLKYLQYWQRPEYMKFIMYPHCLFFLELLQNANFRNAMAHPGNKELAHRQQFFFWKNYRNNRLKHILPRPLPEPAPTPPASAPPAPPLQPMPPVLQTTIAMPTASASALSPMPYGIPPGSALAKNDMRNSGVDRRKRKKEV >Manes.13G005300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1075173:1079429:1 gene:Manes.13G005300.v8.1 transcript:Manes.13G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTRLLNTPTSATKFSSSTLPSSSASHYLSSHFALPSLLRFPLNIKHSSSLFRVFSSSQPATTSTSTMGDAPDAGMDDVQRRLMFEDECILVDENDRAVGHASKYSCHLWENILKGNSLHRAFSVFLFNSNYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIDEDALGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVNVNPNPDEVADVKYVNRNELKELLKKVDVGEECLKLSPWFRLVVDNFLFKWWEHVEKGTLKEAADVKTIHKLT >Manes.16G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29224189:29227898:1 gene:Manes.16G085500.v8.1 transcript:Manes.16G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYLERFIHENDTESIQDDRLPPICQSLDLQGSINCVATGLAGKDFGGMYSFNPLAIIRPAGADDVARVVKAAYRSPNMTVAARGNGHSINGQAMADGGVVIDMRSTGDNYFKIVRMNGEIFVDVSGGALWEDVLIRCVSGFNLAPRSWTDYLGLTVGGTLSNAGVSGQAFRYGPQTSNARELDVVTGKGDILTCSETENSELFFGALGGLGQFGIITRARVKLQSAPDMVRWIRLVYSEFEDFTRDAEWLVTRPDGMSFDYVEGFVFVNNDDPVNGWSSVPLDPDWGFDQTAIPPTAGSVLYCLEVALHYQNSDHPSAVDTVVNSLLGRLRFIEDLKFQVDITYVEFILRVKQVEEHARANEIWDSPHPWLNLFVSKKDIVDFDRMVFKRLLKEGIGGPMLIYPLLRSKWDNRTSVKIPDEGEIFYLVALLRFTPPYSKGSYVEKLASQNQEIVQLCVKNGFDFKLYLPHYHSQDDWKRHFGNQWSRFVDRKASFDPMAILAPGQKIFKRVISHS >Manes.06G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12421725:12428431:-1 gene:Manes.06G040400.v8.1 transcript:Manes.06G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVYYSDQAQFPESGSDASAAAPSRHTILQKFKEFIRNFESKSNVFPYRESLVHNPRSLLVHLEDLLAFDAELPSLLRSSPADYLPLFETAAVEVLRSLRLKEQAESGEMKEPEIREVQILLSSKEDPVSMRFLGAQYISRLVKIAGITIAASRVKAKATYVSLVCKNCQSTKEVPCRPGLGGAIVPRSCDHVPQPGEEPCPIDPWMVVPDKSKYVDQQTLKLQENPEDVPTGELPRNILLSVDRHLVQRIVPGTRLTIIGIYSIFQGANSSTSHRGAVAVRQPYIRVVGIEEVNEGNSQGHTAFTQEEIEEFKRFASRPDAYEAVCSKIAPSIFGEENVKMAVACLLFGGSRKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDNSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQENIDLQTTILSRFDLIFIVKDRRDYSRDKIIASHIIKVHASADATSADTRVSKEENWLKRYIQYCRNECHPRLSESASNRLQNEYVRFRQDMRKQANETGEAAAVPITVRQLEAIIRLSEAIAKIKLSHVATEADVVEAVNLFKVSTIEAAQSGINQQVTLTPEIKQAETQIKRRIGIGMRISERKLIDELARMGMNESIVRRALIVMHQRDEIEYKHERRVIVRKL >Manes.06G040400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12424561:12428431:-1 gene:Manes.06G040400.v8.1 transcript:Manes.06G040400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWDEGAVYYSDQAQFPESGSDASAAAPSRHTILQKFKEFIRNFESKSNVFPYRESLVHNPRSLLVHLEDLLAFDAELPSLLRSSPADYLPLFETAAVEVLRSLRLKEQAESGEMKEPEIREVQILLSSKEDPVSMRFLGAQYISRLVKIAGITIAASRVKAKATYVSLVCKNCQSTKEVPCRPGLGGAIVPRSCDHVPQPGEEPCPIDPWMVVPDKSKYVDQQTLKLQENPEDVPTGELPRNILLSVDRHLVQRIVPGTRLTIIGIYSIFQGANSSTSHRGAVAVRQPYIRVVGIEEVNEGNSQGHTAFTQEEIEEFKRFASRPDAYEAVCSKIAPSIFGEENVKMAVACLLFGGSRKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDNSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQENIDLQTTILSRFDLIFIVKDRRDYSRDKIIASHIIKVHASADATSADTRVSKEENWLKRYIQYCRNECHPRLSESASNRLQNEYVRFRQVFLPVDALTDCLLVMFYPK >Manes.06G017766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2944931:2945390:1 gene:Manes.06G017766.v8.1 transcript:Manes.06G017766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHDFMVLMQKKLSFEKKRPRREEPRFSRRTWDSLGGTLGCRRWWSCGDSLGRRRWLTGHL >Manes.13G047200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5494718:5495662:-1 gene:Manes.13G047200.v8.1 transcript:Manes.13G047200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPSPSPSPPPPSEPLSQSPPSNPRPLSTKKPHPVPWTHQETIHLIQSYQEKWYSLKRGQLKASQWEEVAVTVAARCGYDYSHPAKTVIQCRHKMEKLRKRFREEKRRISITGVCSWQYFDLMESLERGPMPISARPLALVPPNDNVDEDDEDFEEEAEVEDAEEEEEEEDYGHRSKSRSINYILRKPTIVNRFAGSDSGFLRDTMNKRKREEMVEDENDDDGDDDDDNGEEEGERRKGVELGLAEEIRAFAQRIVGMERKKMEMMKETEKWRMEMENRRMEMILDSQRKIVSMISDAFDSQEDLQMEQEF >Manes.04G055344.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:11310892:11311218:1 gene:Manes.04G055344.v8.1 transcript:Manes.04G055344.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFSACLYWFFFSLLLSYFSFFNKFFSFLIILVCGISCTFIYTGENEILTVVESDGNNGIIITSAILLANECKPVLFRTLLALMVYVNTFLGFLYSICTANTNKRTN >Manes.01G142000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33311050:33316532:1 gene:Manes.01G142000.v8.1 transcript:Manes.01G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASVLSWIPEDDLLLKNAVEAGASLEALAKGAVRFSRKFTVGELRERWYSLLYDPVISAEASARMVEFELSVPNSGVSADVSAKRKYESVRRMYHAMKKKTCARPGNSPSIGFLAAQEVSYHDNMPFDGRAQGESCIFGDRNQNNFGFVGKECYNEFPLEVPSFDKDNVRDGLVDFPSGERVKEMALACPLPQSSASFHAEGLSSPLAIWETIEDISAPAMPIGVNIEDKGQEEALMHRDGVEVDGNKMSLSRMNVVEPGAILQDKHDVDVLNNSNTISECDYADLSESLLNFANEDELLLVDADGKDTADKFCYDGALLNSPIVIQNTASDFKESQTIVSDKSLGIPANACPAELEPIAEGTLSSDVEQHGHLCAEINLPSSRAAANTRSTENYDGEMECTLNSEDPDIPSNDDIFFSKEFTASVMRTKSKEACYSSFSYANIKDGKQEQSLMKKEANPAQSRFASQITRLHMLPATSSGNHLVGRGVKCESPDDGACGQASNASGDIYECRTAQATLISGSEASHACNPTGLPFFAKPCSPKQVTSVPETEPLTLNEEESESDDEIPSYSEIEAMILAMDLCPDDNTDSYNTEVSMYQNEDTRRKITRLEQCAQSSIQRAIASRGALAVLYGRHLKHYIRETEIILGRATDDMDVDIDLRREGPANKISRRQALVKMEADGSFLLKNIGKSLVFLNGREIASGQSTRLTSNSLIEIGGMAFVFEINSKSVRQHLANATKIHKQNSIKFERSEGIA >Manes.01G142000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33311050:33316532:1 gene:Manes.01G142000.v8.1 transcript:Manes.01G142000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAASVLSWIPEDDLLLKNAVEAGASLEALAKGAVRFSRKFTVGELRERWYSLLYDPVISAEASARMVEFELSVPNSGVSADVSAKRKYESVRRMYHAMKKKTCARPGNSPSIGFLAAQEVSYHDNMPFDGRAQGESCIFGDRNQNNFGFVGKECYNEFPLEVPSFDKDNVRDGLVDFPSGERVKEMALACPLPQSSASFHAEGLSSPLAIWETIEDISAPAMPIGVNIEDKGQEEALMHRDGVEVDGNKMSLSRMNVVEPGAILQDKHDVDVLNNSNTISECDYADLSESLLNFANEDELLLVDADGKDTADKFCYDGALLNSPIVIQNTASDFKESQTIVSDKSLGIPANACPAELEPIAEGTLSSDVEQHGHLCAEINLPSSRAAANTRSTENYDGEMECTLNSEDPDIPSNDDIFFSKEFTASVMRTKSKEACYSSFSYANIKDGKQEQSLMKKEANPAQSRFASQITRLHMLPATSSGNHLVGRGVKCESPDDGACGQASNASGDIYECRTAQATLISGSEASHACNPTGLPFFAKPCSPKQVTSVPETEPLTLNEEESESDDEIPSYSEIEAMILAMDLCPDDNTDSYNTEVSMYQNEDTRRKITRLEQCAQSSIQRAIASRGALAVLYGRHLKHYIRETEIILGRATDDMDVDIDLRREGPANKISRRQALVKMEADGSFLLKNIGKSLVFLNGREIASGQSTRLTSNSLIEVCIFPSSPCLISFRLY >Manes.14G132521.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10544954:10547865:-1 gene:Manes.14G132521.v8.1 transcript:Manes.14G132521.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLIEPNKGGDRPIKRPRLDARDSTDGAVGRDNKDGMTKEEIDFINLLEDELEKFNAFFVEKEEEYIIKLKELQDRVAKARDSNEEMIKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECENMLDRLFPTYESASLAEAVDGDEGCDPSTSAAANNDGLLRVPKELAEIELMESSYMKSTVSALRILKEIRSRSSTVSVFSLPPLQLTGLEDTWKKVPILEQVAK >Manes.05G112755.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13850535:13853447:1 gene:Manes.05G112755.v8.1 transcript:Manes.05G112755.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLPPGFRFHPTDEELITFYLTRKVSDVSFTSKAIVDVDLNKCEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRGAVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHPFKRTKEEWVVCRVFQKSSAAKKPQQTQSSQQSLGSPCDTNSIVNEFGDIELPNLNNNVNSSNEFNNISTQSNYSNQANVDMNINVQNWASPSLSWPSSLLSPNLAINSLLLKALQLRSYQQREAAAAAANAASSSDHYSFLTQGTSIPQFGTDLNSNVQGSSSSSRVLETVQQPVQQEQPFILDSIW >Manes.05G112755.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13851083:13853447:1 gene:Manes.05G112755.v8.1 transcript:Manes.05G112755.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLPPGFRFHPTDEELITFYLTRKVSDVSFTSKAIVDVDLNKCEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRGAVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHPFKRTKEEWVVCRVFQKSSAAKKPQQTQSSQQSLGSPCDTNSIVNEFGDIELPNLNNNVNSSNEFNNISTQSNYSNQANVDMNINVQNWASPSLSWPSSLLSPNLAINSLLLKALQLRSYQQREAAAAAANAASSSDHYSFLTQGTSIPQFGTDLNSNVQGSSSSSRVLETVQQPVQQEQPFILDSIW >Manes.05G112755.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13850654:13853447:1 gene:Manes.05G112755.v8.1 transcript:Manes.05G112755.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTSVNLGISQASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRGAVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHPFKRTKEEWVVCRVFQKSSAAKKPQQTQSSQQSLGSPCDTNSIVNEFGDIELPNLNNNVNSSNEFNNISTQSNYSNQANVDMNINVQNWASPSLSWPSSLLSPNLAINSLLLKALQLRSYQQREAAAAAANAASSSDHYSFLTQGTSIPQFGTDLNSNVQGSSSSSRVLETVQQPVQQEQPFILDSIW >Manes.05G112755.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13850654:13853447:1 gene:Manes.05G112755.v8.1 transcript:Manes.05G112755.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLPPGFRFHPTDEELITFYLTRKVSDVSFTSKAIVDVDLNKCEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRGAVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENKHPFKRTKEEWVVCRVFQKSSAAKKPQQTQSSQQSLGSPCDTNSIVNEFGDIELPNLNNNVNSSNEFNNISTQSNYSNQANVDMNINVQNWASPSLSWPSSLLSPNLAINSLLLKALQLRSYQQREAAAAAANAASSSDHYSFLTQGTSIPQFGTDLNSNVQGSSSSSRVLETVQQPVQQEQPFILDSIW >Manes.03G138852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26670692:26671608:1 gene:Manes.03G138852.v8.1 transcript:Manes.03G138852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSHTTPSSKLTQLTESLKLEHQFLRVPFEHCKKTIRANHRTIETEVSSVIAAISDAADSDMSKDDAFQHLISLVSRLQGLERKVSKGKPIGKNPNPTPYDPVISCF >Manes.01G022750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5021325:5040361:1 gene:Manes.01G022750.v8.1 transcript:Manes.01G022750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKVLDAISVSDIEALGISSPLAHKLCKDVADIVANYGAATPQTWTHISKHVLHPDLPFSFHQMMFNACYKDFGTDPPAWSPDLKSAALTNVGHLLERRGKEFLGSLYVDPISSFSAFQEFSVSNPELYWKTVLDEMNISFSVPAQCILLENSYGESPGGQWLPGAYVNPAKNCLSLNCKRTLDDTVIRWRDEGSDELPLSSMTLDELRTEVWLVAYALNRLGLDRGSAIAIDMPMNVKSVVIYLAIVLAGYVVVSIADSFAPLEIATRLKISEAKAIFTQDLIIRGDKSIPLYSRVVDAQSPMAVVIPAKGSSFSMKLRDGDISWHDFLERVENRRGDEFAAVEQPIEAFTNILFSSGTTGEPKAIPWTNATPFKAAADAWCHMDIHKGDVVAWPTNLGWMMGPWLVYASLLNGACIALYNGSPLGSGFAKFVQDAEVTMLGVIPSIVRTWKSANSTAGYDWSSIRCFGSTGEASNIDEYLWLMGRAHYKPVIEYCGGTEIGGGFVSGSLLQPQSLAAFSTPAMGCSLFILGDDGHPIPHNAPGMGELALGPLMFGASSSLLNADHYNVYFKGMPVWNGKILRRHGDVFERTSRGYYHAHGRADDTMNLGGIKVSSVEIERICNAVDNSILETAAIGVPPSQGGPERLVIAVVFKNPDNTTRDLEQLRKTFNSAVQKKLNPLFRVSHVVALPTLPRTATNKVMRRILRQQFVQQEQTAKL >Manes.S041016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1184292:1193884:-1 gene:Manes.S041016.v8.1 transcript:Manes.S041016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.11G020000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2159921:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSFVFKKTTKFYQRRASKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVDCHINNQYNTCFWALVKSGKSKSDAQNTLKFAWGGNQ >Manes.11G020000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2161069:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVDCHINNQYNTCFWALVKSGKSKSDAQNTLKGTQAREKNQMLKQFGIDYNALPAMLRQGSCVFRLKVFYLFTLDSPIQYFFIST >Manes.11G020000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2161104:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVD >Manes.11G020000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2159921:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSFVFKKTTKFYQRRASKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVDCHINNQYNTCFWALVKSGKSKSDAQNTLKGTQAREKNQMLKQFGIDYNALPAMLRQGSCVFRLKIRH >Manes.11G020000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2159921:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSFVFKKTTKFYQRRASKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVDCHINNQYNTCFWALVKSGKSKSDAQNTLKGTQAREKNQMLKQFGIDYNALPAMLRQGSCVFRLKEDVVIHDNGACVKKVIVDYCNIIEPSFWEAHPGIID >Manes.11G020000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2159921:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVDCHINNQYNTCFWALVKSGKSKSDAQNTLKGTQAREKNQMLKQFGIDYNALPAMLRQGSCVFRLKEDVVIHDNGACVKKVIVDYCNIIEPSFWEAHPGIID >Manes.11G020000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2161069:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSFVFKKTTKFYQRRASKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVDCHINNQYNTCFWALVKSGKSKSDAQNTLKGTQAREKNQMLKQFGIDYNALPAMLRQGSCVFRLKVFYLFTLDSPIQYFFIST >Manes.11G020000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2159921:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSFVFKKTTKFYQRRASKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVDCHINNQYNTCFWALVKSGKSKSDAQNTLKFAWGGNQ >Manes.11G020000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2159921:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSFVFKKTTKFYQRRASKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVD >Manes.11G020000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2161104:2167649:-1 gene:Manes.11G020000.v8.1 transcript:Manes.11G020000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFEVNDEVMSPNLIVVRINGCDFRRFSEVHEFERPNDETALNLMNACAISVLEEYPDIVFSYGFSDEYSFVFKKTTKFYQRRASKILSLIVSFFSSIYPTKWKEFFPQKEMRYPPTFHGRVICCATIEVLQEYLSWRQNECHTNNQYNTCLWKLVESGKTEKEAQEILKGTQKQEKNELLFQQFGVNYKKLPEMFRQGSCVFMKQEEDIAKYSENGTPVKRLRRKARIVHSENVAGRSFWNVHQNLLKDIGGFAEDNGKINPDYIRSFLFENKLMPSTWIVIRIDGCHFHRFCDVHAFEKPNDLQALNLMNSCAMAVLKEFQDVMFSYGVSDEYSFVLKKDSEFFQRRASGIVSAIVSFFSSMYVMNWKSFFPEKELKYAPSFDGRAICYPSSEILRDYLSWRQVD >Manes.18G066900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6012531:6017543:1 gene:Manes.18G066900.v8.1 transcript:Manes.18G066900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREQLVYLARLAEQAERYDEMVEAMKKVAKLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEAKGNEHNVKRIKEYRQRVEDELAKICNDILSVIDQHLLPSSTTGESTVFYYKMKGDYYRYLAEFKAGDDRKEAADQSLKAYEAATSTATSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKGDENHAEN >Manes.18G066900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6012531:6017543:1 gene:Manes.18G066900.v8.1 transcript:Manes.18G066900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREQLVYLARLAEQAERYDEMVEAMKKVAKLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEAKGNEHNVKRIKEYRQRVEDELAKICNDILSVIDQHLLPSSTTGESTVFYYKMKGDYYRYLAEFKAGDDRKEAADQSLKAYEAATSTATSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGAGEQSKGDENHAEN >Manes.04G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31603872:31605979:-1 gene:Manes.04G111400.v8.1 transcript:Manes.04G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNKLSVTSPSSTPHPSQNSWIHASSPALPAIRVAYMHASLKPALRLKYIYRISSLMLMASANTFTWNSIMSTLMKSGFLNEGALLFASMPEPDQCSWNSIIAAFAQHDRFKEALDFFVRMHKEGFVLNEYTFGSALSACSGLKDLKMGTQIHGLMLKSQFSLDVYMGSALVDIYSKCGFVDCAQRAFDGMRERNVVSWNSLITCYEQNGPAREAFEVFERMMESGFEPDEITLASVISACASLAAVKQGLEIHACVVKCDKLRDDLILSNALVDMYAKCGRINEARCVFDRMPFRNVVSETSMVSGYAKAASVKAARLVFANMTQKNVISWNALIAGYTQNGENEEALGLFCMLKREGVCPTHYTFGNLLNACSNLADLQLGRQAHTHILKHGFQFQSSEGSDVFVGNALIDMYMKCGSVEEGCRVFEKMAERDYVSWNAMIVGYAQNGYGMEALELFRNMLACGEKPDHVTMIGALCACSHAGLVEEGRHYFSAMTEEYGVVPLKDHYTCMVDLLGRAGCLNEAKNLIEAMPMQPDVVVWGSLLAACKVHHNITLGEYAAEKLLEIDSTNSGPYVLLSNMYAELGRWKDAVKVRKLMRHRRVIKQPGCSWIEIMGQVHVFMVKDKQHLERNEIYLLLEILTEHTKRAGYVPDVGDHEACEEQSDLEVSTCFQMEIPAEVAVL >Manes.03G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23647544:23655278:-1 gene:Manes.03G112100.v8.1 transcript:Manes.03G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLQFTSSVYGKAYVKMHAISSTALSDVNKKDLEGLLEVFGSAFALEDIASAYCRARRDSNLTAEILSGMHGTISTTVPAEKLAAEDATPLMWPSLLDSTKTLSSKWPSDNYVEKTSDGQKRKLKSKKCSASMGTVSSVIGKEYAKTRQLTNESVEAKKPLKLDSHEFPVSEIWSDKKPASVTRKDPSQVDIEEFLFKMLGEGFQLEMPVIHEVLDHCGYDIQKSIDELLGLSPSTLENCENVGCKADENSTEISLEEESFPLQEHMKLLNSAQSSGDGLMAGNLTSSPKKGKDRISLQEEVLQTLFDFSDRSEEAPKITRRVRLVKRSKAFGKPVVEFSNNATREREPSTAEPQVVTKDEDDDNSYEVLRTAVKEYWNTMREYYKAAIDAFVEGDHARAHKLLEQGQFFNKKAREADDKSCQKLTEASDEEVVSLKLHELEPKEALDLMRFHLTSLSGIPSIKYLRVTVESNSEDTANGKRKRLIMKQLEKESIKWNEEENGKTILIQVDVIDPKRLSFANKYGVEDQGKRRLKPANLLYPS >Manes.03G112100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23647544:23656715:-1 gene:Manes.03G112100.v8.1 transcript:Manes.03G112100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAYVKMHAISSTALSDVNKKDLEGLLEVFGSAFALEDIASAYCRARRDSNLTAEILSGMHGTISTTVPAEKLAAEDATPLMWPSLLDSTKTLSSKWPSDNYVEKTSDGQKRKLKSKKCSASMGTVSSVIGKEYAKTRQLTNESVEAKKPLKLDSHEFPVSEIWSDKKPASVTRKDPSQVDIEEFLFKMLGEGFQLEMPVIHEVLDHCGYDIQKSIDELLGLSPSTLENCENVGCKADENSTEISLEEESFPLQEHMKLLNSAQSSGDGLMAGNLTSSPKKGKDRISLQEEVLQTLFDFSDRSEEAPKITRRVRLVKRSKAFGKPVVEFSNNATREREPSTAEPQVVTKDEDDDNSYEVLRTAVKEYWNTMREYYKAAIDAFVEGDHARAHKLLEQGQFFNKKAREADDKSCQKLTEASDEEVVSLKLHELEPKEALDLMRFHLTSLSGIPSIKYLRVTVESNSEDTANGKRKRLIMKQLEKESIKWNEEENGKTILIQVDVIDPKRLSFANKYGVEDQGKRRLKPANLLYPS >Manes.03G112100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23647544:23655128:-1 gene:Manes.03G112100.v8.1 transcript:Manes.03G112100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAISSTALSDVNKKDLEGLLEVFGSAFALEDIASAYCRARRDSNLTAEILSGMHGTISTTVPAEKLAAEDATPLMWPSLLDSTKTLSSKWPSDNYVEKTSDGQKRKLKSKKCSASMGTVSSVIGKEYAKTRQLTNESVEAKKPLKLDSHEFPVSEIWSDKKPASVTRKDPSQVDIEEFLFKMLGEGFQLEMPVIHEVLDHCGYDIQKSIDELLGLSPSTLENCENVGCKADENSTEISLEEESFPLQEHMKLLNSAQSSGDGLMAGNLTSSPKKGKDRISLQEEVLQTLFDFSDRSEEAPKITRRVRLVKRSKAFGKPVVEFSNNATREREPSTAEPQVVTKDEDDDNSYEVLRTAVKEYWNTMREYYKAAIDAFVEGDHARAHKLLEQAREADDKSCQKLTEASDEEVVSLKLHELEPKEALDLMRFHLTSLSGIPSIKYLRVTVESNSEDTANGKRKRLIMKQLEKESIKWNEEENGKTILIQVDVIDPKRLSFANKYGVEDQGKRRLKPANLLYPS >Manes.03G112100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23647530:23655333:-1 gene:Manes.03G112100.v8.1 transcript:Manes.03G112100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAISSTALSDVNKKDLEGLLEVFGSAFALEDIASAYCRARRDSNLTAEILSGMHGTISTTVPAEKLAAEDATPLMWPSLLDSTKTLSSKWPSDNYVEKTSDGQKRKLKSKKCSASMGTVSSVIGKEYAKTRQLTNESVEAKKPLKLDSHEFPVSEIWSDKKPASVTRKDPSQVDIEEFLFKMLGEGFQLEMPVIHEVLDHCGYDIQKSIDELLGLSPSTLENCENVGCKADENSTEISLEEESFPLQEHMKLLNSAQSSGDGLMAGNLTSSPKKGKDRISLQEEVLQTLFDFSDRSEEAPKITRRVRLVKRSKAFGKPVVEFSNNATREREPSTAEPQVVTKDEDDDNSYEVLRTAVKEYWNTMREYYKAAIDAFVEGDHARAHKLLEQGQFFNKKAREADDKSCQKLTEASDEEVVSLKLHELEPKEALDLMRFHLTSLSGIPSIKYLRVTVESNSEDTANGKRKRLIMKQLEKESIKWNEEENGKTILIQVDVIDPKRLSFANKYGVEDQGKRRLKPANLLYPS >Manes.09G142400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34045276:34060303:1 gene:Manes.09G142400.v8.1 transcript:Manes.09G142400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFESEIEGLSVKKGKTRPPRLTHLEASIVRHKSHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFEEFSDVDELYSSLPLDKVESLEDLVTVGPPGLVKGAPIHGLKTNLVAPASASTSTSQMPAIATSAHQQATSVQEQADDTASQDSNSDIVARTPPAKSGIIGSSAASTPTMNHATPVSVGVSAPIFSSVSASSILPSSISVRGVLENAASAIPSSPATLGNSGKEEEIAGFPVHRPSPALADPGLARGIARGSLPSQPSSSIPLSSGAVPSNGALSAVTSASDIAKRSILSTDDRLVSSGMVQPLTSPLSNRMILSQTGKNNDATGMVDSSNVGEAAAIGGRVFSPSLVPGMQWRPGSSFQNQNEPGQFRARTEIAPDQREKFLQRLQQVQQQGHSTLLGMPPLAGGNHKQFSAQQNPLLQQQFNSQSSSISSQASLGLGVQAPGLSTVTSAAIQQQSIQQQNSIHQPSSPQVVMSSGVKDADVGHLKAEEQQQPQNLPDDSIPESAATSGLSKNLVNEDELKATYAMDTPTGTSASLAEPIQVPRDIDLSPGQPIQSSQPSTALGVIGRRSVSDLGAIGDNLSGSAVNSGSMHDQLYNLQMLESAYHKLPQPKDSERARSYTPKHPAATPPSYPQVQAPIVNNPGFWERLTMDSYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >Manes.09G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34045276:34060303:1 gene:Manes.09G142400.v8.1 transcript:Manes.09G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFESEIEGLSVKKGKTRPPRLTHLEASIVRHKSHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFEEFSDVDELYSSLPLDKVESLEDLVTVGPPGLVKGAPIHGLKTNLVAPASASTSTSQMPAIATSAHQQATSVQEQADDTASQDSNSDIVARTPPAKSGIIGSSAASTPTMNHATPVSVGVSAPIFSSVSASSILPSSISVRGVLENAASAIPSSPATLGNSGKEEEIAGFPVHRPSPALADPGLARGIARGSLPSQPSSSIPLSSGAVPSNGALSAVTSASDIAKRSILSTDDRLVSSGMVQPLTSPLSNRMILSQTGKNNDATGMVDSSNVGEAAAIGGRVFSPSLVPGMQWRPGSSFQNQNEPGQFRARTEIAPDQREKFLQRLQQVQQQGHSTLLGMPPLAGGNHKQFSAQQNPLLQQFNSQSSSISSQASLGLGVQAPGLSTVTSAAIQQQSIQQQNSIHQPSSPQVVMSSGVKDADVGHLKAEEQQQPQNLPDDSIPESAATSGLSKNLVNEDELKATYAMDTPTGTSASLAEPIQVPRDIDLSPGQPIQSSQPSTALGVIGRRSVSDLGAIGDNLSGSAVNSGSMHDQLYNLQMLESAYHKLPQPKDSERARSYTPKHPAATPPSYPQVQAPIVNNPGFWERLTMDSYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVATDEYEQGTYVYFDFHIANDDLQHGWCQRIKTEFTFEYNYLEDELIV >Manes.09G076605.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:14405985:14410352:-1 gene:Manes.09G076605.v8.1 transcript:Manes.09G076605.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQELAKGVETVLRQSDPNSVTSIDGVVQQLEAKLGLNLSHKAGFIRDQINLLLRAHPTTVTTTATATATAIAVTQQPPPPPPHPHPQPHTIHLSQKDHFALQHHPQFQQFPVHFALHPNHHPQVFPQDLNFRQPQGVPIAPPPQQHQQQQQQQRQQPLALPKPDVFSQNATPASSELSKESAPVGAKRRGGPGGLNKVCGVSPELQAIVGEPALPRTEIVKQLWAYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIPLEPTKEGGQAKRVKVDVDSTTENTEAGTSVMVISEALAKFLGTGGREMTQSEVSRRVWEYIKVNQLEDPLNSMVILCDARLRELLGCESISAVGVEEMLARHHLFKQS >Manes.14G107200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8988667:8997365:-1 gene:Manes.14G107200.v8.1 transcript:Manes.14G107200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFTIQSDFRSAFHIANLIIHPNFFPCRRVSPTRLPFSPRRLLSSNTTSFRLRAFSTDSADSAITVKLPEKPPVCTADELHYVSVANSHWHLALWRYRPPPQAPERNHPLLLLSGVGTNAIGYDLSPGCSFARYMSGQGFDTWILEVRGAGLSVQETSPKEIQQSALAVSEQMEAVAKTVTNGAFATNQLPTNVPSALSDSMDFALKEDPTGIATVWDESKLVSKLTETFMRLSERLSGFLNEGQSKIISAKLFDQIAKLLEDSQLSERFNEIRGKLLSLLESRQRTVIAGQVRDLSQRLVNIFEEGQRSVSPQLFDLQERLSTIIEDFQKQLDLIVQYNWDFDNYLEEDVPAAMEYIRAESKPKDGKLLAIGHSMGGILLYAMLSRRGCEGRDSGLAAIVTLASSLDYTTSNSRLKLLLPLADPAQALSVPVVPLGALLSAAYPLSCRPPYVLSWLNYLISAEDMMHPELLEKLILNNFCTIPAKLILQLTTAFRDGGLCDRSGKVLYKDHLHKSNVPVLALAGDQDLICPPEAVEETVRLIPKELVTYKVFGEPGGPHYAHYDLVGGRLAVEQVYPCITEFLSHHD >Manes.04G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2397442:2404486:1 gene:Manes.04G019500.v8.1 transcript:Manes.04G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHRSVLYRKTSDSVRFIITTIFGVAFGFFIGISFPSVSLTKFQLSSGLISSHDAKGHSRSISNSQTRIYVPTNPHGAELLPPNIVVSESDFYLRRLWGEPSEDLQKKPKYLVTFTVGYDQRNTIAACVKKFSDDFTILLFHYDGRASEWDQFEWSKRAIHVSVRRQTKWWYAKRFLHPDIIAAYEYIFIWDEDLGVEHFDAEKYIELVRKHGLEISQPALEPNNGLTWQMTKRRGDREVHKDTEEKPGWCSDPRLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVIDSQWIIHQIVPSLGNQGEPENGKAPWEGVRARCRNEWSMFQNRLAEADQAYASQTSKE >Manes.04G019500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2397442:2404486:1 gene:Manes.04G019500.v8.1 transcript:Manes.04G019500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHRSVLYRKTSDSVRFIITTIFGVAFGFFIGISFPSVSLTKFQLSSGLISSHDAKGHSRSISNSQTRIYVPTNPHGAELLPPNIVVSESDFYLRRLWGEPSEDLQKKPKYLVTFTVGYDQRNTIAACVKKFSDDFTILLFHYDGRASEWDQFEWSKRAIHVSVRRQTKWWYAKRFLHPDIIAAYEYIFIWDEDLGVEHFDAEKYIELVRKHGLEISQPALEPNNGLTWQMTKRRGDREVHKDTEEKPGWCSDPRLPPCAAFVEIMAPVFSREAWRCVWHMIQPAHEKIGVIDSQWIIHQIVPSLGNQGEPENGKAPWEGVRARCRNEWSMFQNRLAEADQAYASQTSKE >Manes.04G019500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2397442:2404486:1 gene:Manes.04G019500.v8.1 transcript:Manes.04G019500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHRSVLYRKTSDSVRFIITTIFGVAFGFFIGISFPSVSLTKFQLSSGLISSHDAKGHSRSISNSQTRIYVPTNPHGAELLPPNIVVSESDFYLRRLWGEPSEDLQKKPKYLVTFTVGYDQRNTIAACVKKVIWANLLLSYFIDSKNRCNNLYYHGYLLLSSCTCGSFLMISQFCFFTMMVGLVNGTNLSGQKEQSMSVWYAKRFLHPDIIAAYEYIFIWDEDLGVEHFDAEKYIELVRKHGLEISQPALEPNNGLTWQMTKRRGDREVHKDTEEKPGWCSDPRLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVIDSQWIIHQIVPSLGNQGEPENGKAPWEGVRARCRNEWSMFQNRLAEADQAYASQTSKE >Manes.02G193900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15758807:15761413:1 gene:Manes.02G193900.v8.1 transcript:Manes.02G193900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEKMKDVLEQPTKELMGKIEFIKLLCHLGVSYHFQNEIEDQLNHIFIHLFELLEDNNYDLNIIATAFQILREHGYKMSSDVFNKFKDSDGGFKKTITNDVKGLLSLYEATFFRGHGEDILDEALAFTRQHLEILAEQSSPHLANYIKKALVLPFHRNLERLEAKKYIAFYEEEESKDETLLRFAKLDYNRLQLLYRQELASLSRWWKDSDVAEKLDYSRDRIVEAYMWAIGPHFEPQYSVSRILVAKYIEILTLIDDTYDGYATIDEVQHFTAAVERWNIGAIDDLPEYMKILYKFTLNFFDEMEKDGYNTCYAKETFKEMVKAYYVEAQWFNNKYMPAFDEYLRNGLVTSGYRAIPAITFLGMENIVGAKEIQWVETNPKIIRAARLISRLRNDTVAREDEKKEERWSSKCRLLHE >Manes.06G161100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28853467:28863873:1 gene:Manes.06G161100.v8.1 transcript:Manes.06G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGKLVEKEFKGYGVFSGIVQSYDASSGFFEVVYEDGDSEELDFSEVASLLQRKEPDPADHKQRLGRRPKKRRRIEPRKREDRGSGGDLGNSVSYFQAIAETLGRQSGGVVEANGKFDMNQKVDLNDGFIGNLREDRGNGNLGHMSVDLNEALEKRSGIVETLRESGSDNGSLNGNCCMKEALDLNAGFNLNLNEGFDLNEESDVNSNGLGNLQKRECIDLNLDVNGNVDEDIGEAGLGVNQTGSPKKECGFDLNLGIDEEIRDTAAGDCGGETKESTSCQMVKEETQKMDDASGVLGRVYNEGATLQEVHVVNDSYVGLATGTWKDHISGEDFRVGDSVEIQDANIIKVESPEVVDNQGDTRSANNEGSGGCRRRGRRRKSIASGLNSAPETTVFTDATVIYANEGDVRSVYQEWNGCQRRLRRGNFVDTSSSTPDTMVIADADVKEDFTIVIDGERVVGSTYKEVHGNHRKKRKFSDHVSVTPQVTVLRRSTRRGSARNDISTATALSAVHDLSVSPAASALTEEKHVKSCHEWAEEMVLPPQVQLPPSSQNLDLDGIPVIDFFSVYACLRSFSTLLFLSPFELEEFLAAVRCNSPSSLFDSIHFSILQTLRSHLEHLSNEGSESASICLRSLNWGLLDLITWPVFMVEYLLLHGSDLRPWFNLSHLKLLKNDYYKQPVPVKVEILRCLCDEMIEVEAIRSELNRRSSGAESELDFDRNTGLESFKKRRTGMDIAASSCLTEEAVDDATDWNSDECCLCKMDGSLICCDGCPAAFHSKCVGVDNDSLPEGDWFCPECAINSRKPWMKPRKSLRGAELLGVDPYGRLYFSSCGYLLVSDSCETESSCNYYHRDALIAVIIVLRSSEMVYSSILEAIHKHWDIPVNLYGINSNFDLSYDMCMRPAVLASSETCTIKGEATDERKPEENLVEGCSGHINFEVSKSLSQTCVSSEGSAETTQTSLQTQNFQKEGPVSDRSADFLNESDIPGKFPSMGENSLPSSCLDVKSKSTIGSAANVTLSSAVDPTNGNTSQVQLGSGYMNFYSFGHIASSIAEELMRKSSDKTSEGSLKTDEEIISAQLKIISKRTAKFCWSSIPRLNVGVLKEKCGWCFTCRISSDELGCLFNMCLSPVQKGPVDDGDGLQLRRNKKGHLKDIINHILLIEDRLHGLLSGPWLNPHYSRLWRKSVLKSSDIVSVKHLLLILESNLHRLALSAEWLKHMDSATTMGSASHIVSASLRASSKNGIGRKRARYSEFDSNPSSNSASGLGMLWWRGGRISRRLFSWKVLPRSLVSKAARQVGCMKIPGMLYPENSEFAKRSKYVCWRAAVESSTTVEQLALQVRELDSNIRWDEIGNTNPLAMVDKEFKKSFRLFKKVIIRRKCIEGEGAKYLFDFGKRKVIPEIVLKNGSVVEESSSERKKYWLNESYVPLYLLKSFESKRIARRSNKMNTGKLSDPGVAVKKPSKKKGFSYLFAKAERSEYHQCGHCNKDVPIREAVCCQSCKGFFHKRHVRKSAGSITAECMYTCHRCLDGKNVKNESKTGKRDVKRGKNNKKSMQVQHESSKNASVSCRSVQPKNSKKALRSSWSLRSRKNKKVAAVVVPLRRSPRKAKYNSLQNKKGRGRKKGKQVKSRKATYKKQTKVTSWRKGRTEACHSFWINGLRLSRKPDDERVMHFKNRSFVAPSKSDILAQPKCHLCCEDGYTSTLNYISCDICGEWFHGDAFGLDMENINKIIGFRCHVCRKSTPPVCPPVPTTKRHVFQKNEVQNDVQFELSMEATTDVSHLCEMVQNDVQDELSEEATTVRSEMVQNDVQNEISKEAANAPHLMRRNSLQESLANEDHQGSLLRKQQSDTTDSDQNSAPGSRFEVGKGQVVDYVKEDTGSAQISSKNLNPEVISGNGSHLCKENTFESGDSMIVTASGQMQTSSCNVDVDVMKTELDPSGCESARDSLSLI >Manes.10G057848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13025554:13028558:-1 gene:Manes.10G057848.v8.1 transcript:Manes.10G057848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSILSFSLSFLFFFSPSLCSNSDGNALHALRSRLSDPNNVLQSWNPSLVNPCTWFHVTCDSNNHVVRLDLGNSNISGSLGPELAQLHHLQYLELYKNNIGGKIPKELGKLKNLVSMDLYDNKFEGEIPKSLAKLKSLRFLRLNNNKLTGPIPRELTKLKNLKIFDVSNNDLCGTIPVDGPFATFPMESFEHNRLNGPELKGLVPYDFGC >Manes.13G097800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:28907138:28908867:1 gene:Manes.13G097800.v8.1 transcript:Manes.13G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGITLNLSRLIIHHGIIWFHVLLLCSASEHNTTTGKQEDRYVLYSNFDPTMAIVIVVLICAFFFVGMFSIFIRQCSENTVVVSNLANATVSGSRSRRAGLDPAVIEKFPVFVYSEVKNIKIGRETLECAVCLSEFEDDETLRLLPKCNHVFHPECIDEWLASHVTCPVCRAKLTPESAEIPKNSPQQTTTTESNQHDSVPTVTEEVAVTVNEEENRDSHPQVIVTPTTSQAKNRPPRLGFSEKFPRSHSTGHSLVQAGENIERYTLRFPEEMRTQIMASAKLKRAVSFNVILATEGSSRKGYRSSGEGSSRGKQIGRWAFLISGGNSFKSSKIENDGDSFNGSRNMLASVKNPLNCLNVRVDGAEEPSTRVRPMV >Manes.12G104700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:28501421:28502272:1 gene:Manes.12G104700.v8.1 transcript:Manes.12G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHIRSLSLPSRSHPLIVSIKEKLRKLKASQSSSMSCKLNSLKSLFEFIDDLLQMPVAQQTLSHERQGQRVENVLNGSLALLDLCDSTRDLFSRMKDCVQELELSLHRRKGKDFGITAEVEAYMVSRKKLSKVICKYLKILKRKERNCTTEALDNTSDLTNMISMLKRVQEISLIEFKSILSFISQPKAKPKLSGWSIISKTLQSKRVTCEVEIEVNEVAKVDAELLILKSSKDISISQLQNLLKGLEALGSSIQEAEEELECIYRQLVKTRVSLFNILNH >Manes.13G036800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4468946:4473534:-1 gene:Manes.13G036800.v8.1 transcript:Manes.13G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVGGSFLSAFLQVLFDRIACPVIIDFFTGRNFDDQLLSKLKATLISVNGVLDDAEEKQISKPAVRKWVDELKDAVYEADDLLDEIAYEALQSHLEAGYRVRNFFSFCNPFILMKKGMKIKLEEIIVRLEHLVKQKDALGLREGIGENPFSQKTPTTCLVDESGVYGRDDDKKAIMNLLLSNDENSSNLGVIPILGMGGVGKTTLAQLVYNDKTVEKWFDLKAWVCVSEEFHISKVTKDILEEVGRHTCHDKTLNQLQLELKSSLNGKKFLLVLDDVWNDKFADWDILQKPLKFGAPGSKIIVTTRNETVASNMCTVPAHHLKGLTENDCWLLFAKHAFDDRNFNSHPSLELIGKEIMRKCQGLPLAVKSLGGLLRSKRDIGEWKRILKSNMWDLLNDNILPALQFSYHYLPSHLKQCFAYSAIFSKDYVFEKEELILLWMAEGFLVHSNEDRQMEEIGDEYFENLISRSFFQRSSLHPSCFVMHDLVNDLAKFVSGDFCFRLEGDNSCKIAKRTRHLSYVKTEYDASRKNENIYEAQLLRTFLLAEWSSIDNEDMHDLLPKLRRLRVLSLAQNRRITELPCSIGYLKHLRYLNLSATSIKKLPEIVSTLYNLQTLILHHCKDLVELPSKLRRLINLCHLDIRETILREMPSQMGKLTKLARLTDFFVKKHGGSGISELGKLQHLQGQLCIWNLQDVLDVQDAITTDLKGKKHLKELELRWNGDVGCSLHVKAILEQLQPHTSLECLSIVGYGYSKFPDWVGDSSFSNIVSLKLSGCKHCCSLPPFGQLASLTSLSITEFDGVKAIGPEFYGSCTSMARAFASLEILRFEMMPQWHEWISNVDGGAFPLLQQLYIRECPNLTTALPGDLPSLTTLEIEGCQQLVASIPKSPSILRMKLKDDSRLLRLVKLSHGLFRFVVDGFHCLNSLLEEMQQMGGLFTTLEEIEINNCDSLNCFPIGLFCKLKTLRISRCPHLTSLFAPEADHADLTSLSSLEVRECPNLVSLSIGELPIPNLTRLLLMGCSRTESFPGKMLLSSTLTSLKIWDFPNLAYLDYRGLQHLTFLKELEICKCPKLQSIPEEGLPSSLSSLSICLCPLLEQRCQQEQGEDWPKISHLPNLEINFQNVN >Manes.03G089500.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623007:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623005:19627783:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623008:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623005:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19622983:19627652:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19622983:19627652:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19622989:19627783:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623005:19627652:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623008:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQCPNGHTLCSYCKARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G089500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19623257:19627651:-1 gene:Manes.03G089500.v8.1 transcript:Manes.03G089500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGIISKEMIESRVAFADYETATSNTEFRALPSRKTATSFGGNLGTSSTSDVQNLLECPVCMNLMCPPIYQARIHNACPTCRGELGNIRCLALEKVAESLELPCKYQIVGCPDIFPYYSKLKHEKNCKYRPYNCPYAGAECSVTGDIPLLVMHLKNDHKVDMHDGCTFNHRYVKSNPQEIDNATWMLTVFNCFGKQFCLHFEAFQLGMAPVFMAFLRFMGSEDEARQFSYSLEVGGNGRKLTWQGIPRSIRDSHRKVRDSQDGLIIQRNMALFFSGGDQQELKLKVSGRIWKEQ >Manes.03G036200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3166407:3172401:-1 gene:Manes.03G036200.v8.1 transcript:Manes.03G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDATNWDENAYRESILKEREIQTLTVFRTAWAPSPNPSPDAVVVASSDGSIASYSISSCISKLPLGFSNAKAQQVEPTAFLQGHDGPAYDVKFYGEADDALLLSCGDDGRILGWRWREFTQLEAPVSLKGIHIRPVFDLMNPQHKGPWGALSPIPENNAIAVDTQGGCIFSAVGDSCAYCWDVETSKIKTVFKGHLDYLHCIVARNSTNQIITGSEDGTVRIWDCKSGKCIRVIDSEKDKKFKGSSFVSCVALDASESWLAFSNGQNLSVWNLPASECVTRASFRASIQDVIFDDNQILTVGAQPLLNRFDINGAILSQIPCAPQSSFSVSIHSSGVIAVGGYGGLVDVISQFGSHYCTFRCKCV >Manes.03G036200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3166407:3172401:-1 gene:Manes.03G036200.v8.1 transcript:Manes.03G036200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDATNWDENAYRESILKEREIQTLTVFRTAWAPSPNPSPDAVVVASSDGSIASYSISSCISKLPLGFSNAKAQQVEPTAFLQGHDGPAYDVKFYGEADDALLLSCGDDGRILGWRWREFTQLEAPVSLKGIHIRPVFDLMNPQHKGPWGALSPIPENNAIAVDTQGGCIFSAVGDSCAYCWDVETSKIKTVFKGHLDYLHCIVARNSTNQIITGSEDGTVRIWDCKSGKCIRVIDSEKDKKFKGSSFVSCVALDASESWLAFSNGQNLSVWNLPASECVTRASFRASIQDVIFDDNQVIAVGGYGGLVDVISQFGSHYCTFRCKCV >Manes.11G049600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6112948:6114330:-1 gene:Manes.11G049600.v8.1 transcript:Manes.11G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSATPLLQQSRWWRRHLRLKTTLSSELSGAVGDLGTFIPIVLTLTLVSHLDLSTTLIFTALYNISTGLLFGLPMPVQPMKSIAAVAVSELPHLTTSQIATAGATTAATLLILGATGLMSFFYRFIPLPVVRGVQLSQGLSFAFSAIKYIRYNQDFVTSKSTTPRSWLGLDGLILAISALLFLVLTTGSGGDNHTVDDNDNNSLTRSQRRVSKRLRVLSAIPSALTVFLFGLILCFIRDPSIIKDLKFGPSRIQLLKITWEDWKIGFLRGAIPQIPLSILNSVIAVCKLSTDLFPDHELSATKVSVSVGIMNLVGCWFGAMPVCHGAGGLAGQYRFGARSGTSVIFLGIGKLVIGLIFGNSFVRILNQFPIGILGVLLLFAGIELAMASKDMNTKEQSFVMLVCAAVSLTGSSAALGFGCGILLHLLLKLRTMECSCFDFSRYSSKASASDVESTPLD >Manes.17G014400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6887594:6892913:-1 gene:Manes.17G014400.v8.1 transcript:Manes.17G014400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSAGTEGEIREANNVSVNMGSGFPLSFWEVTVATTVVLGFVLGLLGVYLTMPPSDYSFLKLPRTLEDLQILRDHLESYTSDYTAQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKVIGRPLVFSLWPDKLSFFQEQVARRRECLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFLATIIGLIPAAYVTVKAGIALGELQSLGDLYDFNSIATLFLIGVVSITPTLMSKAKP >Manes.03G102600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22657971:22662503:-1 gene:Manes.03G102600.v8.1 transcript:Manes.03G102600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISSACSVVRIPSLYASLYRPICSASLSSSPSSLKPTTPLFLRPPRFSTSISDLHKWHHWAKNLASSVGASFVQLDNGPDSTSLRRELRWLLEDSLEDPSLIYQLGSQNYAMDVRLKAPLDELYVLWRQRIEERRPFQYIVGCEHWRDLVLSVQEGVLIPRPETELIVDLVKDVALASGESKEGLWADLGTGSGAIAIGIGRILGSKGKVIATDLSPVALAVATYNVLRYGLQDMIEVRKGSWFEPLKDVEGKLAGVVSNPPYIPSDDISGLQAEVGQHEPRLALDGGVSGMDDLLHLCNGVLSMLKPGGFFVFETNGEKQCKVLVDYMENNNPGKFFNVNIVSDFAGIQRFVTGFINNKSS >Manes.03G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22657971:22662503:-1 gene:Manes.03G102600.v8.1 transcript:Manes.03G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISSACSVVRIPSLYASLYRPICSASLSSSPSSLKPTTPLFLRPPRFSTSISDLHKWHHWAKNLASSVGASFVQLDNGPDSTSLRRELRWLLEDSLEDPSLIYQLGSQNYAMDVRLKAPLDELYVLWRQRIEERRPFQYIVGCEHWRDLVLSVQEGVLIPRPETELIVDLVKDVALASGESKEGLWADLGTGSGAIAIGIGRILGSKGKVIATDLSPVALAVATYNVLRYGLQDMIEVRKGSWFEPLKDVEGKLAGVVSNPPYIPSDDISGLQAEVGQHEPRLALDGGVSGMDDLLHLCNGVLSMLKPGGFFVFETNGEKQCKVLVDYMENNNPGKFFNVNIVSDFAGIQRFVTGFINNKSS >Manes.03G102600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22657971:22662503:-1 gene:Manes.03G102600.v8.1 transcript:Manes.03G102600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISSACSVVRIPSLYASLYRPICSASLSSSPSSLKPTTPLFLRPPRFSTSISDLHKWHHWAKNLASSVGASFVQLDNGPDSTSLRRELRWLLEDSLEDPSLIYQLGSQNYAMDVRLKAPLDELYVLWRQRIEERRPFQYIVGCEHWRDLVLSVQEGVLIPRPETELIVDLVKDVALASGESKEGLWADLGTGSGAIAIGIGRILGSKGKVIATDLSPVALAVATYNVLRYGLQDMIEVRKGSWFEPLKDVEGKLAGVVSNPPYIPSDDISGLQAEVGQHEPRLALDGGVSGMDDLLHLCNGVLSMLKPGGFFVFETNGEKQCKVLVDYMENNNPGKFFNVNIVSDFAGIQRFVTGFINNKSS >Manes.03G102600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22657971:22662503:-1 gene:Manes.03G102600.v8.1 transcript:Manes.03G102600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISSACSVVRIPSLYASLYRPICSASLSSSPSSLKPTTPLFLRPPRFSTSISDLHKWHHWAKNLASSVGASFVQLDNGPDSTSLRRELRWLLEDSLEDPSLIYQLGSQNYAMDVRLKAPLDELYVLWRQRIEERRPFQYIVGCEHWRDLVLSVQEGVLIPRPETELIVDLVKDVALASGESKEGLWADLGTGSGAIAIGIGRILGSKGKVIATDLSPVALAVATYNVLRYGLQDMIEVRKGSWFEPLKDVEGKLAGVVSNPPYIPSDDISGLQAEVGQHEPRLALDGGVSGMDDLLHLCNGVLSMLKPGGFFVFETNGEKQCKVLVDYMENNNPGKFFNVNIVSDFAGIQRFVTGFINNKSS >Manes.16G114100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31952479:31957699:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.16G114100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31952479:31957699:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.16G114100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31952483:31957699:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.16G114100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31952479:31957699:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.16G114100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31953756:31955233:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.16G114100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31952483:31957699:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.16G114100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31952479:31957699:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.16G114100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31952479:31957699:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.16G114100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31952479:31957280:1 gene:Manes.16G114100.v8.1 transcript:Manes.16G114100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGCFVPSLLHFVVSRGSTLLSVSLFLYTDKTTLNLSTVFKSEQEKKNQKIRRANCFFIFAFYTQLSTTSACRKPDSESENIMSFDKSLQELRDLRSQLHYAADYCESTFLNVEEKKLTVENTKEYIRRAVVSVVDHLGCISANLNHIISKNNEFSEAELRINTLKQRLLSCEQYAHKLALTRVRWKSNWPKFHRRYLSTPITNVEIDKSNGDERNLINCPDSPITKDKHGFKAEDLPLHLYKCALPVRDGLSVLSKGPIPTFHFQEISQKHGRYRLFRQSANSSGEISSLIRRIKRTT >Manes.05G112800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11501097:11502873:1 gene:Manes.05G112800.v8.1 transcript:Manes.05G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPFSRFLKCTGNPAPAPCFFRKCFNAWASQIKNASSPYKALDLYFQMHRRSVPFDSFSILFTLKSCTRLQSLVIIRHLHSHIIKLGFITHVYVATSLLHAYVVTSFSNARVLFDEMPERNTVTWNTMITAYSRSGNIKKARGIFEEMPLRNAASLSAMIRAYFDRGYWDQGLSLFREMISSGEIKPDEVTVGTVLSCCINLGSLALLVGESVHGFTVKNGWELNVEIGTILVDMYAKCGHMKNSFRLFDLMPERNVMSWTALICGASQNGFSQEALILFKMMQETNVRPNELTFTGILNACAHSGLIEEGRKYFKMIEEYGLEHRIQHYGCMVDLLGKAGLLEEAYEVIKKMKLDTNAFIWGSFLSACKEHKQFDMAEIVIEPILSAIKPENDGGIYTLICDLYALNEKWDDAERVRKLMLNQNVRKARGSSFIRN >Manes.05G112800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11501077:11502634:1 gene:Manes.05G112800.v8.1 transcript:Manes.05G112800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPFSRFLKCTGNPAPAPCFFRKCFNAWASQIKNASSPYKALDLYFQMHRRSVPFDSFSILFTLKSCTRLQSLVIIRHLHSHIIKLGFITHVYVATSLLHAYVVTSFSNARVLFDEMPERNTVTWNTMITAYSRSGNIKKARGIFEEMPLRNAASLSAMIRAYFDRGYWDQGLSLFREMISSGEIKPDEVTVGTVLSCCINLGSLALLVGESVHGFTVKNGWELNVEIGTILVDMYAKCGHMKNSFRLFDLMPERNVMSWTALICGASQNGFSQEALILFKMMQETNVRPNELTFTGILNACAHSGLIEEGRKYFKMIEEYGLEHRIQHYGCMVDLLGKAGLLEEAYEVIKKMKLDTNAFIWGSFLSACKEHKQFDMAEIVIEPILSAIKPENDGGIYTLICDLYALNEKWDDAERVRKLMLNQNVRKARGSSFIRN >Manes.05G008100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1093791:1101032:1 gene:Manes.05G008100.v8.1 transcript:Manes.05G008100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKRQNLQDDRMSDCRSLGSNYHPSSQSRKISIGIMIDSQVKKRLEVSKEDNIVASNLERKNSHKENSLGGKNKGKGIINANESKQTEAPETVTSPWITTRSFHQKTSTSQTLAFAKETSNIPATSKRRNKFSRAQDAPVTHSVQLFAQQTSVLRSGDSKQKKFDGLTYRRKGSGDGNSQRAEEFRFVTAQEVLVNKALTEDNREDRTQTLRMKLCEILGTVSSPRSQPSNSQPREAGANNLKQEKIYPQKGDAVVKPVQNSDTIETDSENPDHTTKRPVTCYMTRKRISTKVQAVKTKVGPSSSYRNKIQEKNIFSFGEGLFGKGDVAVSSGSTIPMRKNGRIKISGIEPRRINFNENNNKDEIQAAAHWSKIPSDAETEKASPLGDKTGNSHGCLPQGKEQHLEQKNINQERDSHQSPRKESRQSLGTNRVDLQGDYSSPAAQENGDEKGEFFIPSLKNIMETQVEFQSPTFKLNTPILSSSPISAPKTDKIERTIYSPAPAEGRFTLGNIRSFRTLQTSNADCHSPNAKTESSDDALELKDSPRHKPSPLTGRKEAEGLSESSSDDGDSKSLEEERDVLSPEVATAERSTFMLYRSKRLRNHEGNDVPEFGPTSASPKGTGDSDWNPEPSEQYQENELERVIKLFVLALENFKDKMKSVTRKKSCEILMSVSEDIQLQLQNIESQIQTDVGKLTSASKSKRKRLETRLQEQQEKLKLIHDKFKEDIYQLLHDCKSTVEELEMHHNELKGTVKKQKAMHQKLFVNMEEAVETQLSDAHRRITAMHKSARDKMLQLQHVIAKCLNEDWVDVIQSGLT >Manes.05G008100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1093791:1101032:1 gene:Manes.05G008100.v8.1 transcript:Manes.05G008100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKRQNLQDDRMSDCRSLGSNYHPSSQSRKISIGIMIDSQVKKRLEVSKEDNIVASNLERKNSHKENSLGGKNKGKGIINANESKQTEAPETVTSPWITTRSFHQKTSTSQTLAFAKETSNIPATSKRRNKFSRAQDAPVTHSVQLFAQQTSVLRSGDSKQKKFDGLTYRRKGSGDGNSQRAEEFRFVTAQEVLVNKALTEDNREDRTQTLRMKLCEILGTVSSPRSQPSNSQPREAGANNLKQEKIYPQKGDAVVKPVQNSDTIETDSENPDHTTKRPVTCYMTRKRISTKVQAVKTKVGPSSSYRNKIQEKNIFSFGEGLFGKGDVAVSSGSTIPMRKNGRIKISGIEPRRINFNENNNKDEIQAAAHWSKIPSDAETEKASPLGDKTGNSHGCLPQGKEQHLEQKNINQERDSHQSPRKESRQSLGTNRVDLQGDYSSPAAQENGDEKGEFFIPSLKNIMETQVEFQSPTFKLNTPILSSSPISAPKTDKIERTIYSPAPAEGRFTLGNIRSFRTLQTSNADCHSPNAKTESSDDALELKDSPRHKPSPLTGRKEAEGLSESSSDDGDSKSLEEERDVLSPEVATAERSTFMLYRSKRLRNHEGNDVPEFGPTSASPKGTTLTCTGDSDWNPEPSEQYQENELERVIKLFVLALENFKDKMKSVTRKKSCEILMSVSEDIQLQLQNIESQIQTDVGKLTSASKSKRKRLETRLQEQQEKLKLIHDKFKEDIYQLLHDCKSTVEELEMHHNELKGTVKKQKAMHQKLFVNMEEAVETQLSDAHRRITAMHKSARDKMLQLQHVIAKCLNEDWVDVIQSGLT >Manes.05G008100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1093832:1101032:1 gene:Manes.05G008100.v8.1 transcript:Manes.05G008100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKRQNLQDDRMSDCRSLGSNYHPSSQSRKISIGIMIDSQVKKRLEVSKEDNIVASNLERKNSHKENSLGGKNKGKGIINANESKQTEAPETVTSPWITTRSFHQKTSTSQTLAFAKETSNIPATSKRRNKFSRAQDAPVTHSVQLFAQQTSVLRSGDSKQKKFDGLTYRRKGSGDGNSQRAEEFRFVTAQEVLVNKALTEDNREDRTQTLRMKLCEILGTVSSPRSQPSNSQPREAGANNLKQEKIYPQKGDAVVKPVQNSDTIETDSENPDHTTKRPVTCYMTRKRISTKVQAVKTKVGPSSSYRNKIQEKNIFSFGEGLFGKGDVAVSSGSTIPMRKNGRIKISGIEPRRINFNENNNKDEIQAAAHWSKIPSDAETEKASPLGDKTGNSHGCLPQGKEQHLEQKNINQERDSHQSPRKESRQSLGTNRVDLQGDYSSPAAQENGDEKGEFFIPSLKNIMETQVEFQSPTFKLNTPILSSSPISAPKTDKIERTIYSPAPAEGRFTLGNIRSFRTLQTSNADCHSPNAKTESSDDALELKDSPRHKPSPLTGRKEAEGLSESSSDDGDSKSLEEERDVLSPEVATAERSTFMLYRSKRLRNHEGNDVPEFGPTSASPKGTTLTCTGDSDWNPEPSEQYQENELERVIKLFVLALENFKDKMKSVTRKKSCEILMSVSEDIQLQLQNIESQIQTDVGKLTSASKSKRKRLETRLQEQQEKLKLIHDKFKEDIYQLLHDCKSTVEELEMHHNELKGTVKKQKAMHQKLFVNMEEAVETQLSDAHRRITAMHKSARDKMLQLQHVIAKCLNEDWVDVIQSGLT >Manes.05G008100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1093832:1101032:1 gene:Manes.05G008100.v8.1 transcript:Manes.05G008100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKRQNLQDDRMSDCRSLGSNYHPSSQSRKISIGIMIDSQVKKRLEVSKEDNIVASNLERKNSHKENSLGGKNKGKGIINANESKQTEAPETVTSPWITTRSFHQKTSTSQTLAFAKETSNIPATSKRRNKFSRAQDAPVTHSVQLFAQQTSVLRSGDSKQKKFDGLTYRRKGSGDGNSQRAEEFRFVTAQEVLVNKALTEDNREDRTQTLRMKLCEILGTVSSPRSQPSNSQPREAGANNLKQEKIYPQKGDAVVKPVQNSDTIETDSENPDHTTKRPVTCYMTRKRISTKVQAVKTKVGPSSSYRNKIQEKNIFSFGEGLFGKGDVAVSSGSTIPMRKNGRIKISGIEPRRINFNENNNKDEIQAAAHWSKIPSDAETEKASPLGDKTGNSHGCLPQGKEQHLEQKNINQERDSHQSPRKESRQSLGTNRVDLQGDYSSPAAQENGDEKGEFFIPSLKNIMETQVEFQSPTFKLNTPILSSSPISAPKTDKIERTIYSPAPAEGRFTLGNIRSFRTLQTSNADCHSPNAKTESSDDALELKDSPRHKPSPLTGRKEAEGLSESSSDDGDSKSLEEDHTERDVLSPEVATAERSTFMLYRSKRLRNHEGNDVPEFGPTSASPKGTTLTCTGDSDWNPEPSEQYQENELERVIKLFVLALENFKDKMKSVTRKKSCEILMSVSEDIQLQLQNIESQIQTDVGKLTSASKSKRKRLETRLQEQQEKLKLIHDKFKEDIYQLLHDCKSTVEELEMHHNELKGTVKKQKAMHQKLFVNMEEAVETQLSDAHRRITAMHKSARDKMLQLQHVIAKCLNEDWVDVIQSGLT >Manes.05G008100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1093832:1101032:1 gene:Manes.05G008100.v8.1 transcript:Manes.05G008100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKRQNLQDDRMSDCRSLGSNYHPSSQSRKISIGIMIDSQVKKRLEVSKEDNIVASNLERKNSHKENSLGGKNKGKGIINANESKQTEAPETVTSPWITTRSFHQKTSTSQTLAFAKETSNIPATSKRRNKFSRAQDAPVTHSVQLFAQQTSVLRSGDSKQKKFDGLTYRRKGSGDGNSQRAEEFRFVTAQEVLVNKALTEDNREDRTQTLRMKLCEILGTVSSPRSQPSNSQPREAGANNLKQEKIYPQKGDAVVKPVQNSDTIETDSENPDHTTKRPVTCYMTRKRISTKVQAVKTKVGPSSSYRNKIQEKNIFSFGEGLFGKGDVAVSSGSTIPMRKNGRIKISGIEPRRINFNENNNKDEIQAAAHWSKIPSDAETEKASPLGDKTGNSHGCLPQGKEQHLEQKNINQERDSHQSPRKESRQSLGTNRVDLQGDYSSPAAQENGDEKGEFFIPSLKNIMETQVEFQSPTFKLNTPILSSSPISAPKTDKIERTIYSPAPAEGRFTLGNIRSFRTLQTSNADCHSPNAKTESSDDALELKDSPRHKPSPLTGRKEAEGLSESSSDDGDSKSLEEERDVLSPEVATAERSTFMLYRSKRLRNHEGNDVPEFGPTSASPKGTGDSDWNPEPSEQYQENELERVIKLFVLALENFKDKMKSVTRKKSCEILMSVSEDIQLQLQNIESQIQTDVGKLTSASKSKRKRLETRLQEQQEKLKLIHDKFKEDIYQLLHDCKSTVEELEMHHNELKGTVKKQKAMHQKLFVNMEEAVETQLSDAHRRITAMHKSARDKMLQLQHVIAKCLNEDWVDVIQSGLT >Manes.05G008100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1093791:1101032:1 gene:Manes.05G008100.v8.1 transcript:Manes.05G008100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKRQNLQDDRMSDCRSLGSNYHPSSQSRKISIGIMIDSQVKKRLEVSKEDNIVASNLERKNSHKENSLGGKNKGKGIINANESKQTEAPETVTSPWITTRSFHQKTSTSQTLAFAKETSNIPATSKRRNKFSRAQDAPVTHSVQLFAQQTSVLRSGDSKQKKFDGLTYRRKGSGDGNSQRAEEFRFVTAQEVLVNKALTEDNREDRTQTLRMKLCEILGTVSSPRSQPSNSQPREAGANNLKQEKIYPQKGDAVVKPVQNSDTIETDSENPDHTTKRPVTCYMTRKRISTKVQAVKTKVGPSSSYRNKIQEKNIFSFGEGLFGKGDVAVSSGSTIPMRKNGRIKISGIEPRRINFNENNNKDEIQAAAHWSKIPSDAETEKASPLGDKTGNSHGCLPQGKEQHLEQKNINQERDSHQSPRKESRQSLGTNRVDLQGDYSSPAAQENGDEKGEFFIPSLKNIMETQVEFQSPTFKLNTPILSSSPISAPKTDKIERTIYSPAPAEGRFTLGNIRSFRTLQTSNADCHSPNAKTESSDDALELKDSPRHKPSPLTGRKEAEGLSESSSDDGDSKSLEEERDVLSPEVATAERSTFMLYRSKRLRNHEGNDVPEFGPTSASPKGTTLTCTGDSDWNPEPSEQYQENELERVIKLFVLALENFKDKMKSVTRKKSCEILMSVSEDIQLQLQNIESQIQTDVGKLTSASKSKRKRLETRLQEQQEKLKLIHDKFKEDIYQLLHDCKSTVEELEMHHNELKGTVKKQKAMHQKLFVNMEEAVETQLSDAHRRITAMHKSARDKMLQLQHVIAKCLNEDWVDVIQSGLT >Manes.05G008100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1093791:1101032:1 gene:Manes.05G008100.v8.1 transcript:Manes.05G008100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKRQNLQDDRMSDCRSLGSNYHPSSQSRKISIGIMIDSQVKKRLEVSKEDNIVASNLERKNSHKENSLGGKNKGKGIINANESKQTEAPETVTSPWITTRSFHQKTSTSQTLAFAKETSNIPATSKRRNKFSRAQDAPVTHSVQLFAQQTSVLRSGDSKQKKFDGLTYRRKGSGDGNSQRAEEFRFVTAQEVLVNKALTEDNREDRTQTLRMKLCEILGTVSSPRSQPSNSQPREAGANNLKQEKIYPQKGDAVVKPVQNSDTIETDSENPDHTTKRPVTCYMTRKRISTKVQAVKTKVGPSSSYRNKIQEKNIFSFGEGLFGKGDVAVSSGSTIPMRKNGRIKISGIEPRRINFNENNNKDEIQAAAHWSKIPSDAETEKASPLGDKTGNSHGCLPQGKEQHLEQKNINQERDSHQSPRKESRQSLGTNRVDLQGDYSSPAAQENGDEKGEFFIPSLKNIMETQVEFQSPTFKLNTPILSSSPISAPKTDKIERTIYSPAPAEGRFTLGNIRSFRTLQTSNADCHSPNAKTESSDDALELKDSPRHKPSPLTGRKEAEGLSESSSDDGDSKSLEEERDVLSPEVATAERSTFMLYRSKRLRNHEGNDVPEFGPTSASPKGTGDSDWNPEPSEQYQENELERVIKLFVLALENFKDKMKSVTRKKSCEILMSVSEDIQLQLQNIESQIQTDVGKLTSASKSKRKRLETRLQEQQEKLKLIHDKFKEDIYQLLHDCKSTVEELEMHHNELKGTVKKQKAMHQKLFVNMEEAVETQLSDAHRRITAMHKSARDKMLQLQHVIAKCLNEDWVDVIQSGLT >Manes.08G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5592139:5599452:1 gene:Manes.08G052500.v8.1 transcript:Manes.08G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSPTSIPSTMSSSSSSKKQALFISSLIVLWYSSNIGVLLLNKYLLSNYGFRFPIFLTMCHMSACAILSYLSIVFFKMVPLQPVKSRHQLFKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTFKREAWVTYAALVPVVAGVVIASGGEPGFHLYGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLLPAAIIMEPNVLEVTLSLGRQHKYMWLLLILNSTMAYSANLSNFLVTKHTSALTLQVLGNAKGAVAVVISIFIFRNPVTFVGIAGYSMTVAGVVAYGEAKRRFR >Manes.12G091200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17098111:17101318:1 gene:Manes.12G091200.v8.1 transcript:Manes.12G091200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTIVGEGGGNDGAREKQRYTESKVYTRKAFKGPKNNIKNLTTTPAATNTNTNNNNTTNTTTTTITTTATTTANDNHNDKKISSNDNNDTNNENNSVQVTDLQTPALEDTNSAQQQVISRLDAHSDDSSSLNRQQGTAAVVPSDRDLTTGNGVVKQSLDDKVKINLASKSKQEMRELRRKLESELDIVRSLVKRIEAKEVQLGVSGYGNTRASLNEVDNGLKRVNSEVVGVPRDISTFIPTPTPRQSRPLNQLSVSVLENSQGPGETLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLTGKKQGGGDMGLGFGTGSKIFKNCSALLEKLMKHKHGWVFNTPVDVKTLGLHDYFTIIKHPMDLGTVKTRLNKNWYKSPEEFAEDVRLTFHNALRYNPKGQDVHIMAEVLLKMFEDRWAVIKSDYDREMRFASSYELGIPAPLSRKAPLLPPPPLDMRRILDRSESMTYPPVDPRPKPFITTPSGRTPALKKPKAKDPHKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSSLCQHDDEIEVDIDSVDAETLWELDRYVTNYKKSLSKNKRKAELAIQARAEAEQKARENISAPVVAEAPQETKTDERNVSTSSPVQVEKQGDNGTRSSSSSSSSSDSGSSSSDTDSDSSSASGSDIGH >Manes.12G091200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17098507:17101318:1 gene:Manes.12G091200.v8.1 transcript:Manes.12G091200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTIVGEGGGNDGAREKQRYTESKVYTRKAFKGPKNNIKNLTTTPAATNTNTNNNNTTNTTTTTITTTATTTANDNHNDKKISSNDNNDTNNENNSVQVTDLQTPALEDTNSAQQQVISRLDAHSDDSSSLNRQQGTAAVVPSDRDLTTGNGVVKQSLDDKVKINLASKSKQEMRELRRKLESELDIVRSLVKRIEAKEVQLGVSGYGNTRASLNEVDNGLKRVNSEVVGVPRDISTFIPTPTPRQSRPLNQLSVSVLENSQGPGETLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLTGKKQGGGDMGLGFGTGSKIFKNCSALLEKLMKHKHGWVFNTPVDVKTLGLHDYFTIIKHPMDLGTVKTRLNKNWYKSPEEFAEDVRLTFHNALRYNPKGQDVHIMAEVLLKMFEDRWAVIKSDYDREMRFASSYELGIPAPLSRKAPLLPPPPLDMRRILDRSESMTYPPVDPRPKPFITTPSGRTPALKKPKAKDPHKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSSLCQHDDEIEVDIDSVDAETLWELDRYVTNYKKSLSKNKRKAELAIQARAEAEQKARENISAPVVAEAPQETKTADERNVSTSSPVQVEKQGDNGTRSSSSSSSSSDSGSSSSDTDSDSSSASGSDIGH >Manes.12G068700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7218176:7220208:-1 gene:Manes.12G068700.v8.1 transcript:Manes.12G068700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLTTPILVVILLSLSGITAAARTKLHLITRQDVSLLSPNNTDSLCNSMVETQGYICQEHKVTSEDGYILSLQRMPAGRSGKLADNPPVLLQHGLSSDGATWLSNSPDESLAFILADNGYDVWIANTRGSRFSRGHTSLTPYDPAYWDWTWDELAAHDLPAMFQYVHQQTGQKLHYVGHSLGTLTALAVLSQEKLPNMLRSAALLSPIAYLNQITSLLSKAAADAFLVEEIYWLGLREFDPQGQATSKLLEYICSEPGVDCTNLWTAVTGANCCLNSSNILDNLSQPTATKNMIHLSQMIRTGNIAMYDYGNEEDNMEHYNESTPPVYNMESIPKDFPLFLSYGVKDSLSDPADVGVLLQNLKDHDADKLTVLSVEDYAHLDFVFGVNANNVVYHPVMAFFNRN >Manes.12G084100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12395007:12425219:1 gene:Manes.12G084100.v8.1 transcript:Manes.12G084100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKTEADFPNGGKRFEDEPFLDTNKSGMFGNFSIWHPLKLVTYCLVLLSFLSTGYPSSGPDLEGEALVELLKALNDSNNQIKDWNYYLVSPCFSWSHVTCTNGRVTSLSLASNGFSGTLSPAITKLKFLVSLELQNNSLSGPLPNYFSNLVHLENLNLAKNKFNGSIPVSWGQLFNLKHLDLSANDLIGPVPVQFFSIPEFNFTMTGLNCDSSPKQPCVSSSAFPVSTSKSRLRMIVIAASCVAFIFLLLGFAYRSHQLHRLKSDVFVDVAGEDDCKISFGQLKSFSWREIQIATDNFSEHNIIGQGGFGKVYKGVLSDNTKVAIKRLSDYYSPGGEAAFQREVQLISVAVHRNLLRLIGFCTTSTERILVYPFMKNLSVAHQLRDLKPGEKGLDWQTRKHVAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEAVLGDFGLAKLVDTKLTHVTTQIRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDLSRLEEAEDVLLVDHIKKLLREDRLDDIVDRNLKSYDLEEVKTIVQVALLSTQSLPEDRPTMAQVVKLLQGVGLAERWTKWEEQARNQELLLMSRQYAWAEDSSVDQEAIQLSKAR >Manes.01G043000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8504677:8508032:-1 gene:Manes.01G043000.v8.1 transcript:Manes.01G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFLSLLCVAFLVGLVIGWAWKPKWVTIRGDKLTCLVLESLDLTLPSSTPKVSASASCSNSFMVCSQTDEPWLAHKPAAKKSISLSISEYQNCSTSQQNEEQSNVANLVMEKDLKHLCQLIEMKDGGPTWIQMMDHSIPGMRYQAWRRHPKTGPPQYHARTVFEDATPEMVRDFFWDDEFRGTWDAMLAYSAIIDECLITGTMIVQWIRKFPFFCSDREYIIGRRIWELDGSYYCVTKGVPCSSVSRRTKPRRVDLYYSSWCVRAVESRMGDGLSACEVLLFHHEEMGIPWEIAKLGARHGMWGTVKKFEPGLRAYQKARASGVPLSRPALMAQITTKINPGFPRSLGVDDNSSETEAPPNSTNRSSGSKIPKLLIFGGMFMLACSIERGILTMAFISGVARKFANLRQSL >Manes.01G043000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8504677:8508032:-1 gene:Manes.01G043000.v8.1 transcript:Manes.01G043000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLIPHMLPVSIIWELELGWLNTLEETDEPWLAHKPAAKKSISLSISEYQNCSTSQQNEEQSNVANLVMEKDLKHLCQLIEMKDGGPTWIQMMDHSIPGMRYQAWRRHPKTGPPQYHARTVFEDATPEMVRDFFWDDEFRGTWDAMLAYSAIIDECLITGTMIVQWIRKFPFFCSDREYIIGRRIWELDGSYYCVTKGVPCSSVSRRTKPRRVDLYYSSWCVRAVESRMGDGLSACEVLLFHHEEMGIPWEIAKLGARHGMWGTVKKFEPGLRAYQKARASGVPLSRPALMAQITTKINPGFPRSLGVDDNSSETEAPPNSTNRSSGSKIPKLLIFGGMFMLACSIERGILTMAFISGVARKFANLRQSL >Manes.16G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1105378:1107591:-1 gene:Manes.16G011000.v8.1 transcript:Manes.16G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSIQTCFFNRQVSDLCPAIKLMKSMRRARTAHVVSAQLSTKETTPKSTKVDINIPVIGTKQVPSYVPTEISGKFGRFGGRFVPETLIAFLKELEAEFNWALHDSLFQHELETALRDYVGRETPLYFAERLTNHYKNEDGQGPEIYLKREDLNHCGAHKMNNAIAQAMIAKRMGLKSVVAATGAGQHGVATAAACAKLSLECIVFMGTSDMEKQSSNVLLMKLLGAEVKGVEGNFKDASSQAIREWVGNLQTTYNLTGTVVGPHPCPSMVREFQSVIGKETRRQAMEKWGGKPDVVIACVGSGSNALGIFHEFIGDEDVRLIGVEAAGFGLESGKHSATLARGEVGVYHGAMSYLLQDDEGQITEPHSIGVGLKCPGVGPELSFLKDTERAEFYSATDKEAVEACKRLSRLEGIIPSLEASHAFAFLEKLCPTLPNGFKVIVNCSGRGDKDAETVLNY >Manes.16G011000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1105378:1107134:-1 gene:Manes.16G011000.v8.1 transcript:Manes.16G011000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHLREICMLRMQHELETALRDYVGRETPLYFAERLTNHYKNEDGQGPEIYLKREDLNHCGAHKMNNAIAQAMIAKRMGLKSVVAATGAGQHGVATAAACAKLSLECIVFMGTSDMEKQSSNVLLMKLLGAEVKGVEGNFKDASSQAIREWVGNLQTTYNLTGTVVGPHPCPSMVREFQSVIGKETRRQAMEKWGGKPDVVIACVGSGSNALGIFHEFIGDEDVRLIGVEAAGFGLESGKHSATLARGEVGVYHGAMSYLLQDDEGQITEPHSIGVGLKCPGVGPELSFLKDTERAEFYSATDKEAVEACKRLSRLEGIIPSLEASHAFAFLEKLCPTLPNGFKVIVNCSGRGDKDAETVLNY >Manes.13G145700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35892155:35898237:-1 gene:Manes.13G145700.v8.1 transcript:Manes.13G145700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILFLQIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYMEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHNHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYAGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTNLSTISGLVAARTSLPDGRQGRPSTSQPEAVKSVGGFQGSSNGLEPTSKQNQKLQVRKAADSPQADGEKSREASLYGRGPRGSKIYLSGPLLVPSNNVEQMLKDHDRRIQEHARRRLDKTKQSKMTIQGK >Manes.13G145700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35892155:35898237:-1 gene:Manes.13G145700.v8.1 transcript:Manes.13G145700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKDSRTNSPKERQSRKGSLDRRVAHVNSSRRDDGIRSKSKSDSGEVKVMLIDKKTNGSNVLYDDQIEKKQIENQIERKNVENCEVAVISHPQIEINKTEKRDVSVCSHPGWGRVPKSLEAEQIAVGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYMEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHNHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYAGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTNLSTISGLVAARTSLPDGRQGRPSTSQPEAVKSVGGFQGSSNGLEPTSKQNQKLQVRKAADSPQADGEKSREASLYGRGPRGSKIYLSGPLLVPSNNVEQMLKDHDRRIQEHARRRLDKTKQSKMTIQGK >Manes.13G145700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35892155:35898237:-1 gene:Manes.13G145700.v8.1 transcript:Manes.13G145700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKDSRTNSPKERQSRKGSLDRRVAHVNSSRRDDGIRSKSKSDSGEVKVMLIDKKTNGSNVLYDDQIEKKQIENQIERKNVENCEVAVISHPQIEINKTEKRDVSVCSHPGWGRVPKSLEAEQIAVGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYMEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHNHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYAGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGKA >Manes.11G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28238884:28242792:1 gene:Manes.11G121400.v8.1 transcript:Manes.11G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCELFLVYLQLVIFLTLNLQFMHACSSSNETDQLALLKFKEGISSDPHQVFNSWNHSLPFCQWHGITCSGRHYRVTSLVLKGYNLIGSISPYIGNLSFLRVLNLQNNSFNGEIPSEVGNLFRLYQISLNNNTVKGEIPINLTRCFNLRIIELSWNNLIGKIPVELGSMIKLEVLQISANNLQGKIPPSLGNLSSLTFLSAGMMKLEGNIPNELGQLTGLRFLGFAANNLKGILPSSIFNISSLNTLSLGKNKLNGSLPTNIGITLPNLQRISIGDSYFSGSIPNSFCNASQLMVLELSGNNFMGQIPNCLGNLQSLWRLNVQANNLGYNSTSDFAFLTSLKNCSNLKFLALTSNNFGGALPSSVANLSIQLDKLNFGGNQITGIIPGALENLINLILLNLCNNLFTGVIPPSIGKLTKLQRLYLGGNRLSGQIPLSIGNLTHLSLLSISQNNLEGNIPISIRNCQSLQYLDISKNNLIGSIPKEVFHLPSLSQYLSLSHNSLTGELHADVGKLTNINALDFSANMLSGGIPRTIGSCLVLESLYMQGNFFQGIIPSSMASLKGLQKLDFSQNNLTGEIPKDLQNLQFLMYLNLSFNDLMGEIPIEGVFKNASAISLMGNNKLCGGIPELHQRTCSTNTMKKGKSSAIKLAIIIPCLILSVLLMLAFVLAYRRRESNKKAFPPANEMDRLVKISYKDLYDATSGFSSDNLLGSGSFGFVYRGFLNQMEKVVAIKVLNLGTKGASKSFVAECKVLRTMRHRNLVRLFTYCSSIDYKQNEFKALVYEFMGKGSLEKWLYQDVHDNYPSRNLDLLQRLNIAIDVASALHYVHDLCDIPVIHCDLKPSNVLLDDDMVAHLSDFGLAKLLLNTNDASQTHTSSIGIRGTIGYMPPEYGMGGIPSKEGDVYGYGILVLEIFSGKKPTDKIFEDQLNLHNFVKDALPERLMQITNPIILSREMKETPASNTEIDEQIEIHVEAESSIAKEKDCLLSIFKVGVACSMESPNERMKMRDVVKELHLIRSNFLGVRIYG >Manes.01G167608.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35069667:35071689:-1 gene:Manes.01G167608.v8.1 transcript:Manes.01G167608.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDTVKLISAEGFEFVIDKEAAMVSQTIRNMLTSPGSFAETQHGEVTFPEISTTILEKICQYFYWSLQYAKGKESEFHIEPELTLELMMAANYLHT >Manes.07G048800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5723547:5724866:1 gene:Manes.07G048800.v8.1 transcript:Manes.07G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRSPSSASATIFEFPSNGSKRGSSFLEDSFTSKPDWSQLHSELLELILSKLSLVQIIRFKAVCSSWRSIAESYVSSSCYAPFPQTPWLLLPPNQEDDTDSRCFFSLEDKKVYQIKNMGNQFGCDVWRVGSSHGWLLVLDDEANPFLFNPFSQVRIRLPTLEIFMFEVNRSYFIQELRKFFITKAVLLSDPSRDKNYGVLVIFGYRSRLAFCAKTGSCWTVLNGASQGYSDIICSNDIVYALTLDNSIEVWDFHACLPRKRREIHPLIPKNMVEATESFRGSHSSQSYLVESSGDLLLVMRYVGNFVNQEGEPVDETYLLSDEDTQPLVCPYQTLMFHVYKLDYNEQKWVEVDNLKNEALFLGGNHSMSLSAQEFSGFERNCIYFTDDNWNLMNGDYLYGGHDFGKFSLEDKTVKPFYACDLGRIDPPPFWIIPNPW >Manes.15G177500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:20716825:20718288:1 gene:Manes.15G177500.v8.1 transcript:Manes.15G177500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLFAISWKIHTMDHTKEMANGSEHEDDYVNKILFGAGATLAMACLKRLLVMVFVEQWRSRVFLILNLVLLAIFFTSVHSSSSENRESSCDERKIQEKKRKKQCVWSEEAETQGECEQIGTRDEDEDEDKDKDKNELEHVKVDSEDQQLSKEELNERVEAFIAMFRQHLVSDARKSRSKPFPLKA >Manes.12G061500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6263142:6268217:-1 gene:Manes.12G061500.v8.1 transcript:Manes.12G061500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGSNIHHQPSAKMLPPRQQPRPGLQTSLSLVSSDPRLSPDTQEPRSNSDNIRESPTESASSRETWPTADAVMAKKMENGKPKNDCHEQSVVHRVSSADKISLRDIARERVDIISEKMHSLPDEFLEELKNGLRVILEGNGGSQHREEFLFLQKLVQSRPDLTAKTLIRAQRVQLEILVAINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICTNRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQISMGPSVKSGSGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDRESLMRELDFVSRIFRGSEDTRGRKLFWKCEELIDKMKGGLAESTACRVILMFFSELEVDSPKSLENGEDGRLIAPQEACNRIAEVVQEAIRKMEMVTDEKMRMFKKARMALEACDRELEEKAKEVTELKLDRQKKKIQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRAAQSSGGGDPSQVLTYSTIHDLINGYNGPPKSELQPNDRHHFRTNP >Manes.12G061500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6263142:6268166:-1 gene:Manes.12G061500.v8.1 transcript:Manes.12G061500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGSNIHHQPSAKMLPPRQQPRPGLQTSLSLVSSDPRLSPDTQEPRSNSDNIRESPTESASSRETWPTADAVMAKKMENGKPKNDCHEQSVVHRVSSADKISLRDIARERVDIISEKMHSLPDEFLEELKNGLRVILEGNGGSQHREEFLFLQKLVQSRPDLTAKTLIRAQRVQLEILVAINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICTNRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQISMGPSVKSGSGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDRESLMRELDFVSRIFRGSEDTRGRKLFWKCEELIDKMKGGLAESTACRVILMFFSELEVDSPKSLENGEDGRLIAPQEACNRIAEVVQEAIRKMEMVTDEKMRMFKKARMALEACDRELEEKAKEVTELKLDRQKKKIQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRAAQSSGGGDPSQVLTYSTIHDLINGYNGPPKSELQPNDRHHFRTNP >Manes.12G061500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6262627:6268217:-1 gene:Manes.12G061500.v8.1 transcript:Manes.12G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGSNIHHQPSAKMLPPRQQPRPGLQTSLSLVSSDPRLSPDTQEPRSNSDNIRESPTESASSRETWPTADAVMAKKMENGKPKNDCHEQSVVHRVSSADKISLRDIARERVDIISEKMHSLPDEFLEELKNGLRVILEGNGGSQHREEFLFLQKLVQSRPDLTAKTLIRAQRVQLEILVAINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICTNRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQISMGPSVKSGSGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDRESLMRELDFVSRIFRGSEDTRGRKLFWKCEELIDKMKGGLAESTACRVILMFFSELEVDSPKSLENGEDGRLIAPQEACNRIAEVVQEAIRKMEMVTDEKMRMFKKARMALEACDRELEEKAKEVTELKLDRQKKKIQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRAAQSSGGGDPSQVLTYSTIHDLINGYNGPPKSELQPNDRHHFRTNP >Manes.12G061500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6263142:6268217:-1 gene:Manes.12G061500.v8.1 transcript:Manes.12G061500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGSNIHHQPSAKMLPPRQQPRPGLQTSLSLVSSDPRLSPDTQEPRSNSDNIRESPTESASSRETWPTADAVMAKKMENGKPKNDCHEQSVVHRVSSADKISLRDIARERVDIISEKMHSLPDEFLEELKNGLRVILEGNGGSQHREEFLFLQKLVQSRPDLTAKTLIRAQRVQLEILVAINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICTNRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQISMGPSVKSGSGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDRESLMRELDFVSRIFRGSEDTRGRKLFWKCEELIDKMKGGLAESTACRVILMFFSELEVDSPKSLENGEDGRLIAPQEACNRIAEVVQEAIRKMEMVTDEKMRMFKKARMALEACDRELEEKAKEVTELKLDRQKKKIQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRAAQSSGGGDPSQVLTYSTIHDLINGYNGPPKSELQPNDRHHFRTNP >Manes.04G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:577106:580925:-1 gene:Manes.04G003100.v8.1 transcript:Manes.04G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMAVRYVSRRFSSSGKILSEEEKAAENVFIKKTEQEKLEKLARKGPKPEEKTTSGSGGSPTDVKPNASASSTSGASAEKVSTDKYRNYAVVAGTVTIFGALGWYLNSRGKKTEEVQD >Manes.04G037400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5057696:5059653:-1 gene:Manes.04G037400.v8.1 transcript:Manes.04G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSMMFLLWSFLVICLCYVAWWKHESYKTNKLIREQGIKGPPPSLLLGNIPEMERMVSQNSETPQIDGPLIVLPYLKHWAKNYGKLFKFALGGIQLLYVNNLSIVREINVFTSFELGKPAYLQNDRGVLLGKGLNTANGDVWYHQRKTIAPQLYINKVKNMVNLMGESGSMLVKAWEKIIDTESEGGIIDIVVGEHVRIFTTYIASKIMFGSDHHKGIKVFPECHELLKAMGETTTLGIPLLRFLPIERNRKTWRLAKEIHGMIMDIAKERSGSTSHQDLLQAIIEGSKNGELGKLTEDEFIIDNCKNMIFGGYQSPALAAIWGLMLLASHPEWQDRARFEVLEICKGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAMQNVKLGELKVPKGMDTWIWLPALHRNPEYWGADADVFNPERFTNGVAGACKSSQAYIPFGLGARVCPGQNLALIELKVFFAVILSNFKLTISPKYRHSPTYGLLLEPEHGVNLLIQKI >Manes.05G075850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6195023:6195420:1 gene:Manes.05G075850.v8.1 transcript:Manes.05G075850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVECKGFKLSRRLGQMERGLANYLDMSSSRVLFVFLWYRGRMNLFILSPMFKTPYYSISRLVASNSAEELFALLFVGTTIFLGFMFYGQIILRIVYGVFCMVVASCLQPCIFH >Manes.17G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22887789:22896358:1 gene:Manes.17G033900.v8.1 transcript:Manes.17G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEPLPEHLRCNRKDGRQWRCNRRVMDDKKLCEIHYLQGRHRQHKRKVPESLKLQRKYRKKSTANADSLPDNVEIRARKEEKLSRMVKLGKPIKRKKSIGESEALDQVVKKKKKRLKRGDLLLELARIVLRREVENRKKKKKKKKKKIKKVVVDEIGSDNDNDIDSSNSEGELTRDLPNGLMAISPAKHFGNVDAAGASSSMPCDIKIGAADFSAVSRRCFRSKNIEPMPIGTLQVVPFKKDMLRLRRGKRKKCHLCRRSGLKTLIRCSNCRKQFYCMDCIKDQYSDRQEEVKVACPVCLGTCGCKACSAIQCRDIECKDFSEDKSKVNKVLHFHYLICMLLPVLKQLNQDQSIELEIEAKIKGQKPPDVQIQQASVCCNKKCCCNNCKSAIVDFHRSCPSCSYNLCLSCCQDFFQGSLLGSVATHLCKCPDRRNTCVSGKQLSGTKSACISKWNCGNKILDSSMLLPSWKVPDGNSIPCPPTEVGGCGDSILELSCVFPSSWTKELEMSAEEIVGCYELPEAVDIFSRCSLCLGMDCEVNGIMQLQEAAKRENSNDNFLYYPTVMDVHSDNLEHFQKHWSKGQPVIVRNVLSSTSDLSWDPIVMFCTYLKNNSAKSENEQAADCLDWFEVEIGIKQLFMGSFKGPAHANLWREKLKLKGWLSSHLFQEHFPAHYAEILHALPLPEYMDPVSGILNIAAALPEEIMKPDLGPCVYISYCSGENLVQADSVTKLRYDSYDMVNILAHATDVPVSTEQLNYIRKLMTRHEEQNEVSGAATNDGQNVEEVGLHDMITEEMCLHKKVARVSWFSAASRKEQTSLKNTDILLDEEHDSDSDTDTDTEVSKFFFGPVKNFRTAENLKFCGKNIDGSDHSGKQKSESCGAQWDVFRRHDVPKLVEYLRMHSHEFTQTYGLQKLVAHPILDQNFFLDTTHKMRLKEEFEIEPWTFEQHVGEAVIIPAGCPYQIRNLKSCVSVVLDFVSPENVTECNRLIDEVRLLPENHKAKLDSLEVKKMTLHSINRAIKEIRELTCAETSSDLSDRQ >Manes.05G051500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4287726:4296738:-1 gene:Manes.05G051500.v8.1 transcript:Manes.05G051500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSFSSSSQSSSSSPFSFGSSTSPFGSTTTGSGLSFGSSPFASANPSSSSSSSSTPLSFSLNTSSNSNPSSASSVSPFGFGFSTSSSSSASSNPSFSFSFGSAPAATSSTPSLFVSASGSTSSPFAQAASSASSGSNLFGAVSSATSSASTLFGTVSSAPSSASPLFGAASAASSTGTSLFGGNTSSPLFATSASPLFGTTPSVASSAPPQFGTTSSAASSSSPLFGTTSSAASSPSPLFGTSSSLFGTTSSAASSGPSPFGTSSSAASSGSFLFGTSSSATSSGPSLFGSSGASIGTTTSNLFASSTTASTPAFSSNLFSSTSSLNSSSASPFSTAATGFSLSKSTPSLTSTVAPLTQSSSASTSGFSVGTPSSASSVSASSFSFGTPSSASSQSSFSFSNAASSSAPAVSTTLPAKPTSPSPLFSTVTTTNALTPAAGTAVASSSATASTLTLHASGASASSSATSATTAASSGTTSSFTGFGVSTAPASSGSTSSYTGFSLSTKPSVPASTSQAQSTIAAPLFSVSTSTSAATTTSSSSATTSQMTLPLAVASSSGTTSSVTAAISSTPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQAAAIAEWDKRILHNRDVLLRLEIEVAKVVETQASLERQLELIETHQQEVDKALQSMEEEAERIYKDERGLLLDDEAASTRDAMYEQAELIERELEQMTEQIKSVIDTLNSSQGGDLDTIDKMTPLDVVVRILNNQLSSLMWIDEKAEEFSSRIQKLATQGSAADRELMGPKYWMS >Manes.S045516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1364781:1364939:-1 gene:Manes.S045516.v8.1 transcript:Manes.S045516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.08G004118.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:847360:851348:1 gene:Manes.08G004118.v8.1 transcript:Manes.08G004118.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGSGCGYDSNFQQPPNGIFRFSSSASASSPSGKKTRISRKKSGITGRRLNFGAENYKADKRSGGSEKSARRRLNFGAENYKADKRSGGSEKSARRLAVCLKKKRTAKSVPSKCRSCSSKDSSLFGCGLGIGIMYMMSAGKAEISKLSNAMDETAKTVKLRTELYKRKSAKVAAISKDLSSNNELEFYKAGTGHNNDSKVIKASGIPMIDDVECPSSGLTEEPEPQLPEMDQLEAELASELQKPPWSYPEASGHGGVEPNMDKKHIVQNETFSGGLHKLEGQSNISCQGDGVLPSELDRKLSHLLIEQLENQIEELESELHSAQSKLHEKEAELDCVKCLTEFSLSTESDDEAVTFAEQEYVITNAGITYCKSKFQLTECDYQKARDYLIKT >Manes.14G127200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10098118:10100000:-1 gene:Manes.14G127200.v8.1 transcript:Manes.14G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIFLFLCLASVVLILAVGLFAFKRRSNDDAENLPPGSLGWPVVGETLEFLFGNPEKFVFHRMKKYSPDIFKTKILGEKTVVICGRNGHKFLFSNEQKLFTAFRPHSMQKLFRSYQATAAAPIQISREAESKILRSPGFLKPEALVRYLGEMDSITQQQMKTYWEGRDEVKVFPLAKTLTLSLACRFFLGTNDPERIARLVSNFDDITLGMHSIPVNFPGTIFYRASRAADAIRKELKTIIAEKKAAIATGTPTQDILSYMIVVTDPSGKHMPEAEIADKIMGLLVAGYSTVATAMTFFMKYVGERPDIYAKVLAEQKEVSSAKEEGKLLDWDDIQKMKYSWNVLYEVMRLTPPLQGTFREALTDFTYAGYTIPRGWKVYWTVSTTNKNSEYFKDAERFEPSRYEDEKAFPPFTFMPFGGGPRMCPGKEYARLAVLTFVHNVVKRFKWELVSSNEKIIGDMMPTPEKGFPIRLLPH >Manes.01G171100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35306685:35311654:-1 gene:Manes.01G171100.v8.1 transcript:Manes.01G171100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSFMLHSRAIPFSYARPNTLSNYSTKRVSLRHFNKHLQLHRRDVNFQCHQSHTHSKTSLNPSFAFSLYQSPSPLKLTRTHLISSVKCSYSTSASTDSSQDHPWLQRLKNISLDEVKSTFLQLTPIDIIKWSGVISIAISAAKWTVNLLLNPFFWMYFSWTWLFWPWLVAISVAVYGLYCFYRHYLGEASIFEQLALVTSVFTWLTLVPAAHFNGYLEGWPFVFFFVYHYFFFFNVSVRKRLYGDLFARPHDPKWDLSPPKWYGLFFCVGVMVGHWLAAFEGPELHLIPGGWSNAGMWILILITLLMQYNSTLYLAKYSEKVVVPTAVVQFGPYRWVRHPIYSSTMLLFATYFLALRAPLSLLFVVAVCLIYYGQRAKVEEALMIETFGERYLDYMNKVRYKLIPLVY >Manes.01G171100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35309876:35311654:-1 gene:Manes.01G171100.v8.1 transcript:Manes.01G171100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSFMLHSRAIPFSYARPNTLSNYSTKRVSLRHFNKHLQLHRRDVNFQCHQSHTHSKTSLNPSFAFSLYQSPSPLKLTRTHLISSVKCSYSTSASTDSSQDHPWLQRLKNISLDEVKSTFLQLTPIDIIKWSGVISIAISAAKWTVNLLLNPFFWMYFSWTWLFWPWLVAISVAVYGLYCFYRHYLGEASIFEQLALVTSVFTWLTLVPAAHFNGYLEGWPFVFFFVYHYFFFFNVSVRKRLYGDLFARPHDPKWDLSPPKWYGLFFCVGVMVGHWLAAFEGPELHLIPGGWSNAGMWILILITLLMQYNSTLYLAKYSEKVVVPTAVVQFGPYRWVRHPIYSSTMLLFATYFLALRAPLSLLFVVAVCLIYYGQRAKVEEALMIETFGERYLDYMNKVRYKLIPLVY >Manes.12G027700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2432222:2434623:-1 gene:Manes.12G027700.v8.1 transcript:Manes.12G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANELVGDVSHDLFSLLSTEDRDFLIRNNGDQVKISRLVGKIVGLYFSGSWCGPCRHFTPNLVEVYEKLSSKGDFEVVFISSDRDESSFNDYFSKMPWLAIPFSDDGIRKQLKELFKVRGIPNLVVLDTDGKVSCDQGVKIVRDYGADGYPFTAERVDYFRQEEENAKKNQTLSSILVSSSRDYLISKDGTKVAVSELEGKMVGLYFSVSSHRLCLEFTPKLVEIYKNLKEKGENFEVVLISIDYDEKEFKQILETIPWLAIPFEDKSREKLARYFELRALPTLVIIGQDGKTLNQNVTELIQDHGIEAYPFTPEKLVELAEIEKARLESQTLESVLVHRDKDFVIEKSGIKVAVSELVGKNVLLYFSAKWCPPCRAFLPKLIEAYHEIKAKDNAFEVIFISSDRDQSSFDEFYAEMPWLALPFGDERKSILQRKFKIKGIPAAIAISPTGQTITREAREHIAAYGADAYPFTEDHLKQLEEKLEEKLEETAKRWPEKVKHELHPEHELVRTNRSGFVCNGCRKMGYGLSFYCRACDFDLHPKCALNKEENSKAGKEKEGWICDGDVCRKA >Manes.02G002400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:263482:265368:-1 gene:Manes.02G002400.v8.1 transcript:Manes.02G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIMSPSSASSLLSFCQESSPPLHQRLHVILQSLPAWWLYAIFWQASNDSTGRIVLSWGDGNFRGSKEFSTEPSNKLNQHKFSFNLERKMSKEFQVLFTDDMDMDRLADADGTNYGWFYTASASRSFDVGEGIVGRTFGSGGFIWLIGDHRLQAYQCERVKEARMYGIRTLACVSTSCGVVELGSSHMIHEDWSTVQLCKSLFGADVACLISKNPSHEPQLQIPDRGACSLDFGMSSGGQIETSLDKQNEGYLKKDASGSGQGRSSSDSGPSDSEGNFAAGNNDGFRKRGRKPSGKEMPLNHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLADAVTYIQGLKGKVDELEAKLQAVSQKSKITSTVVYENPSTNCMVRPCSSYRDKAMEVDVNIVGSEAMIRVHSPDVNYPAARLMNTLRELEIQVHHASVSSINEMVLQDVVIKVPQGFTSEEAIISAIYQRMQN >Manes.03G153500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28142932:28143330:1 gene:Manes.03G153500.v8.1 transcript:Manes.03G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKIMLLMLVMSSAVGATDLLFDKIKTVNITNNLGANIELNVHCKSKDNDLGQQLLPYKGFWYFKFHPNFWATTLFYCSMNWEQISHSFDIYVDARDNLKCDVCQWSIQATGPCRLNGTSQKYDICFPWNS >Manes.01G087700.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28928396:28936376:1 gene:Manes.01G087700.v8.1 transcript:Manes.01G087700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFADTLQIESTSVVSLSNCSHSEGKSGRLLMENGHSDSRAGLSKYKRRRVSAVRDFPPGCGRFDLRISPRPNTEAICIGLAENSVDQGRSGDASGDGTRLESQSPIVLENLDLPVMPRQTNHEESKPEAPVVSSDPRGGLNSVNIKPDKMPSPGAPDTLNDAGNIETLKSLEHAKVDVQKDMLKVDVSGPVESLVPPNYPPRRRISAVRDFPPFCGRNSPRLIKESGNIIFASIEKKNIGKEKSCVEEELTNCAVKTDVKKVGENVQNGGAEKHRLARDVSSFGSDSTQVKSEGPTAIDMTNQDEYGASCEMKKIQKDSLEESIKSPRGNIQNQCDLKSEAVPETGKRSIQGLEDNLEMDFGFKMEKKISGRELLSLSGCTNTRLKEDIEGLEFPLQRVVVQGLMASGNDPWRQGKMAHKPKNFVGDTNEGKGKKNDCTVLQISGSAVRTKDSVDNFGVEHMKKNKISSPSGKAYQGIDQMVVWETKDEPDDFQSVGSSHNFDVTLPPSCPSSLSGKGNGNDVFVTRNKVRETLRLFQVVYRKLVKEDESKMKNIKRPDLVAATVLKNNGKFVNTNKKIIGSVPGVEVGDEFQYRVELNVIGLHRQIQGGIDYVKEGKTVLATSIVASGGYDDDMDDSDVLIYTGSGGIAKGGDKEPEDQKLERGNLALKNSMVAKNPVRVIRGQTRVSESSSARTRTYVYDGLYLVKKCWQEMGPHGKLVFKFRLDRIPGQPELAWKVVKKSKKFKVREGLCVDDISNGKELIPICAVNTTDNEKPPLFEYITHVIYRNWCSPIPPRGCDCTNGCSETGKCSCVAKNGGEIPYNHNGAIVEAKPLVYECGPSCKCPPSCYNRVSQNGIKFQLEIFKTESRGWGVRSLNSIPSGSFICEYAGEILEEKEAEQRTGNDEYLFDIGNNSGDSSLWDGLSNLLSGTRPSSCEVMEESSFTIDAAKYGNVGRFINHSCSPNLYAQNVLYDHEDKRFPHIMLFAAENIPPLQELTYHYNYTIDQVLDSDGNIKKKSCYCGSSECTGRMY >Manes.14G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14131788:14135811:-1 gene:Manes.14G113600.v8.1 transcript:Manes.14G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMLSFNLRLCLLHFPPTARLSQVSAQLSPLNKLSNSLRLSSSNSISGSLSFLSRKPSTITPLTQRLQSLTVTAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKIHPVSRSDYDNVIGALPYLKVNRQAT >Manes.14G113600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14135051:14135646:-1 gene:Manes.14G113600.v8.1 transcript:Manes.14G113600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMLSFNLRLCLLHFPPTARLSQVSAQLSPLNKLSNSLRLSSSNSISGSLSFLSRKPSTITPLTQRLQSLTVTAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKIVGSLHTVSSILLT >Manes.14G113600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14132931:14135811:-1 gene:Manes.14G113600.v8.1 transcript:Manes.14G113600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTMLSFNLRLCLLHFPPTARLSQVSAQLSPLNKLSNSLRLSSSNSISGSLSFLSRKPSTITPLTQRLQSLTVTAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKIEF >Manes.02G150900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11570028:11572157:-1 gene:Manes.02G150900.v8.1 transcript:Manes.02G150900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVVPHFHLTLLLLVSLVHFSSAARRLAESDQTQQPLLFQYHNGPLLSGKISINLIWYGNFKPAQRAIVSDFITSLSSTQHSTSQPSVATWWKSTEKYYHLLKSKKAAPLALSLGTQIFDESYSLGKSLSSKHIVQLAQKGGQINAINVVLTSSDATVEGFCSSRCGTHGSSMSAQKINGKISKFAYIWVGNSETQCPGQCAWPFHQPIYGPQSPPLVAPNNDVGLDGMVINLASLLAGTVTNPFGNGYFQGPKEAPLEAASACTGIYGKGAYPGYAGDLLVDSTTGASYNAHGVDARKYLLPALFDPSSAACSTLV >Manes.01G151000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33947050:33955987:1 gene:Manes.01G151000.v8.1 transcript:Manes.01G151000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRFPYSPAEVAKVRMVQFGIIGPDEIRQMSVVQIEHSETTERGKPKPGGLSDPKLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIRNPKNRLKKILDASKNKAKCEGGDEIDVQGQDTEEPVKKSRGGCGAQQPKLTIEGMKMIAEYKAQRKKNDDQEQLPEPVERKQTLTAEKVLSVLKRISDEDCQLLGFNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMVIRHNENLKKQERGGAPAHIISEFAQLLQFHVATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKIPAPAILKPRPLWTGKQVFNLIIPKQINLIRTAAWHTDTERGIITPGDTLVRIEKGELISGTLCKKALGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMEKINETISLAKNEVKELITKAQNKELEAEPGRTMMESFENKVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKSEFNRVFRYEIDDENWNPSYMMSDHIEDLKNIRELRDVFDAEVQKLEADRYQLGTEIATTGDNSWPLPVNLMRLIWNAQKTFKVDTRRPSDIHPMEAVEAVDKLQERLKVVPGEDPLSVEAQKNATLFFGILLRSTLASKRVLEEYRLTREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVFLVPECNKTKEKAKSVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDVDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLNMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELSDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKVSKFDENDGFKTMEEWMLDTEGVNLLAVMCNENVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVFAETDHLRGVTENIMLGQLAPIGTGGCSLYLNDEMLKNAIELQLPSYMDGLDFGMTPARSPVSGTPYHEGMMSPSYLLSPSLRLSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSAKDDRNNKGDRNGRDNKG >Manes.01G151000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33947050:33955987:1 gene:Manes.01G151000.v8.1 transcript:Manes.01G151000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRFPYSPAEVAKVRMVQFGIIGPDEIRQMSVVQIEHSETTERGKPKPGGLSDPKLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIRNPKNRLKKILDASKNKAKCEGGDEIDVQGQDTEEPVKKSRGGCGAQQPKLTIEGMKMIAEYKAQRKKNDDQEQLPEPVERKQTLTAEKVLSVLKRISDEDCQLLGFNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMVIRHNENLKKQERGGAPAHIISEFAQLLQFHVATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKIPAPAILKPRPLWTGKQVFNLIIPKQINLIRTAAWHTDTERGIITPGDTLVRIEKGELISGTLCKKALGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMEKINETISLAKNEVKELITKAQNKELEAEPGRTMMESFENKVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFVDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKSEFNRVFRYEIDDENWNPSYMMSDHIEDLKNIRELRDVFDAEVQKLEADRYQLGTEIATTGDNSWPLPVNLMRLIWNAQKTFKVDTRRPSDIHPMEAVEAVDKLQERLKVVPGEDPLSVEAQKNATLFFGILLRSTLASKRVLEEYRLTREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVFLVPECNKTKEKAKSVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDVDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLNMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELSDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHGKVSKFDENDGFKTMEEWMLDTEGVNLLAVMCNENVDARRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVFAETDHLRGVTENIMLGQLAPIGTGGCSLYLNDEMLKNAIELQLPSYMDGLDFGMTPARSPVSGTPYHEGMMSPSYLLSPSLRLSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSAKDDRNNKGDRNGRDNKG >Manes.08G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34073009:34078651:1 gene:Manes.08G102500.v8.1 transcript:Manes.08G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIQPPEGPIATISTDAATTTTAVIAPAEPENVAPPPQAPKRQRRPSVRLGEIGDQSTTFSYDPHVRRTKHPWRLPKDSSKSVKARSLTNLVNGNDSYEIQEPEGTNQNGEVNLDFGHRRRVKRATTKRVRSNWISKVEEGGAERDSKEDVDEGFRDFDQDSDSLLKEQSPVHSMDNVALDMWHGHRRTGTGRPRVSETRENDAIEMDNFPESDSRDRNCSTGEGVRTWLIELGLSRYAPVFEVHEVDDEVLPLLTLEDLKDMGINAVGSRRKLYAAILKLRKGFPKLQVHGIAYGSGRE >Manes.08G102500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34073009:34078651:1 gene:Manes.08G102500.v8.1 transcript:Manes.08G102500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIQPPEGPIATISTDAATTTTAVIAPAEPENVAPPPQAPKRQRRPSVRLGEIGDQSTTFSYDPHVRRTKHPWRLPKDSSKSVKARSLTNLVNGNDSYEIQEPEGTNQNGEVNLDFGHRRRVKRATTKRVRSNWISKVEEGGAERDSKEDVDEGFRDFDQDSDSLLKEQSPVHSMDNVALDMWHGHRRTGTGRPRVSETRENDAIEMDNFPESDSRDRNCSTGEGVRTWLIELGLSRYAPVFEVHEVDDEVLPLLTLEDLKDMGINAVGSRRKLYAAILKLRKGFPVLFSSGFQN >Manes.09G013150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2980156:2984736:1 gene:Manes.09G013150.v8.1 transcript:Manes.09G013150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNCCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVFHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISYDVCSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPNVYTYNVIVNGMCKFGKTNVAVGLLKGMADRGCEADVVTYSAIIDALCKDELVGEALELFSQMRNKGISADVITYNGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGNLPLSLIVH >Manes.16G026300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2760966:2766567:1 gene:Manes.16G026300.v8.1 transcript:Manes.16G026300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFLWRILPLLALLSSFLYFYFQNSSKFSHSDCSLLPYNHYWITSKSIVTPRGVISGAVEVKEGNIVSIVKEEDWQGNSKRGHIIDYGEAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITMLIDMPLNNFPSTVSVETLRLKLEAAEKNIYVDVGFWGGLVPENAFNASSLEALLSAGVLGLKSFMCPSGINDFPMTNASHIKEGLSVLAKFRRPLLVHAEIQQDSENYLEDDANDPRHYSTYLKTRPPSWEEAAIRELLTLSKDTGIGGSAEGAHLHIVHLSDSGSSLQLLKQAKRSGDSVTVETCPHYLAFSAEEIEDGDTRFKCAPPIRNAANREALWKALLEGDIDMLSSDHSPTMPELKLLNEGNFLKAWGGISSLQFVLPVTWSYGQKYGVTLEQLALWWSERPAKLVGKDSKGAIEVGKHADIFVWEPNTDFDLNDDLPIYIKHPSISAYMGTKLSGKVLTTFVRGNLVYKEGKHASAACGSLILAK >Manes.16G026300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2760969:2769369:1 gene:Manes.16G026300.v8.1 transcript:Manes.16G026300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFLWRILPLLALLSSFLYFYFQNSSKLLQFSHSDCSLLPYNHYWITSKSIVTPRGVISGAVEVKEGNIVSIVKEEDWQGNSKRGHIIDYGEAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITMLIDMPLNNFPSTVSVETLRLKLEAAEKNIYVDVGFWGGLVPENAFNASSLEALLSAGVLGLKSFMCPSGINDFPMTNASHIKEGLSVLAKFRRPLLVHAEIQQDSENYLEDDANDPRHYSTYLKTRPPSWEEAAIRELLTLSKDTGIGGSAEGAHLHIVHLSDSGSSLQLLKQAKRSGDSVTVETCPHYLAFSAEEIEDGDTRFKCAPPIRNAANREALWKALLEGDIDMLSSDHSPTMPELKLLNEGNFLKAWGGISSLQFVLPVTWSYGQKYGVTLEQLALWWSERPAKLVGKDSKGAIEVGKHADIFVWEPNTDFDLNDDLPIYIKHPSISAYMGTKLSGKVLTTFVRGNLVYKEGKHASAACGSLILAK >Manes.16G026300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2760959:2769408:1 gene:Manes.16G026300.v8.1 transcript:Manes.16G026300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFLWRILPLLALLSSFLYFYFQNSSKLLQFSHSDCSLLPYNHYWITSKSIVTPRGVISGAVEVKEGNIVSIVKEEDWQGNSKRGHIIDYGEAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITMLIDMPLNNFPSTVSVETLRLKLEAAEKNIYVDVGFWGGLVPENAFNASSLEALLSAGVLGLKSFMCPSGINDFPMTNASHIKEGLSVLAKFRRPLLVHAEIQQDSENYLEDDANDPRHYSTYLKTRPPSWEEAAIRELLTLSKDTGIGGSAEGAHLHIVHLSDSGSSLQLLKQAKRSGDSVTVETCPHYLAFSAEEIEDGDTRFKCAPPIRNAANREALWKALLEGDIDMLSSDHSPTMPELKLLNEGNFLKAWGGISSLQFVLPVTWSYGQKYGVTLEQLALWWSERPAKLVGKDSKGAIEVGKHADIFVWEPNTDFDLNDDLPIYIKHPSISAYMGTKLSGKVLTTFVRGNLVYKEGKHASAACGSLILAK >Manes.16G026300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2760959:2769408:1 gene:Manes.16G026300.v8.1 transcript:Manes.16G026300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFLWRILPLLALLSSFLYFYFQNSSKFSHSDCSLLPYNHYWITSKSIVTPRGVISGAVEVKEGNIVSIVKEEDWQGNSKRGHIIDYGEAVVMPGLIDVHAHLDDPGRAEWEGFPSGTKAAAAGGITMLIDMPLNNFPSTVSVETLRLKLEAAEKNIYVDVGFWGGLVPENAFNASSLEALLSAGVLGLKSFMCPSGINDFPMTNASHIKEGLSVLAKFRRPLLVHAEIQQDSENYLEDDANDPRHYSTYLKTRPPSWEEAAIRELLTLSKDTGIGGSAEGAHLHIVHLSDSGSSLQLLKQAKRSGDSVTVETCPHYLAFSAEEIEDGDTRFKCAPPIRNAANREALWKALLEGDIDMLSSDHSPTMPELKLLNEGNFLKAWGGISSLQFVLPVTWSYGQKYGVTLEQLALWWSERPAKLVGKDSKGAIEVGKHADIFVWEPNTDFDLNDDLPIYIKHPSISAYMGTKLSGKVLTTFVRGNLVYKEGKHASAACGSLILAK >Manes.03G192700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31097342:31103918:-1 gene:Manes.03G192700.v8.1 transcript:Manes.03G192700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPGMDSAREAAGGVAGTVLMRFVWPHGGRSVFLSGSFDRWTRLVPMSPMEGCPTVFQAICSITPGFHQYKFLVDGEWKHDEHQPCSTTDYGVVNTVLLPGEANYNPIVGPEMALGSGMELDNETFRRVVHVSDGTATDVVPRISEADLQVSRHRISVFLSTHTAYELLPKSGKVLALDVDLPVKQAFHILHEQGIPMAPLWDFSKGQFVGMLSALDFILILRELGNHGSNLTEEELDTHTISAWKEGKAYLNRQMDGHGRAFSRCLIHAGPYDNLKEVALRILQNEVATIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPILQLPICTIPVGTWVPKIGESNRRPLAMLRPSASLGSALNLLIQAQVSSIPIVDDNDSLVDIYCRSDITALAKDRVYTHININEMTIHQALQLGQDSYTPYERLNQRCQMCLRSDTLNKVMERLANPGVRRLIIVEAGSKRVEGIVTLSDIFKFLLG >Manes.06G140400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26808103:26812606:1 gene:Manes.06G140400.v8.1 transcript:Manes.06G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPTPPPLPESPPLFLPLVTPPPVATPSSTQFPHHHRPLSSRLLPPLSAATAAFSCLLVFVICFRKISRKRTVPSDSKPPHRFSYSTLRRATDSFNPSLRLGQGGFGSVFRGTLPNGKLVAIKVMDSGSLQGEREFQNELLFASKLESPYIVTALGFSSDRKHRTMLLIYELMPNGNLQDALLHRKCSELMQWKKRFSIVVDIAKGIEYLHCLDPPVIHGDIKPSNILLDQYFSAKIADFGLAWLKTDNQNQNQCEIKIEESDAGKMNGGSDLKKAELESNNGAPIEDYGSVVETESVTTGFEEFNLGVEQSPESYLRGPVSISATSPETGEAVSASPEAGGLALPSEGNFDGASIESGNELINGGKMMDRRMKSASGGDWWWKQENSGVMENGTVKDYVMEWIGTEIKKERPKSDWIGSASSSNQTTGKTDKKKNRKRLDWWVSLDEEKQEKVLKKEKRRPPREWWKEEYCEELERKKKKKKKKRNTGLTSNNNGGGEEWWPRDEELHVERKKNKRSRSRSSRGSIDWFSGELFRGTFNSHDSLSGEIAKSGGISSTPSMRGTVCYVAPEYGGGGNLSDKSDVYSFGVLLLVLIAGRRPLQVTNSPMSEFQRANLIHWARHLARAGKLLDLVDQSVQSLDRDQALLCITVALLCLQKCPVRRPSMKEVVGMLTGQSEAPQLPVEFSPSPSSRFPFKSRSNKRIR >Manes.12G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5943571:5948841:1 gene:Manes.12G060700.v8.1 transcript:Manes.12G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPQRPSGWTLDADLDEIDLDPSDFTSSLPLKKVPNGDVFEASRAGDVDRLSYLLESGVNVNARDQWDSVALYYACLAGHLDAARMLLESGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQAALRETFLGCAANKAYLEQAALGGFHVSGVSSSEMSNSNCLSPDVVFFVQARPIEAHRVILSARSPFFKRKFETDWRDRSEVRFAREKLSYAALYSLIHFFYSDRLDIAVDDMEDLVRICKVCKCESLQRILEKELIHQKYAEYKALRDVDNSQKRFILQGSSLPEEDRLPTALHHILHTSLAKSTLEWNLDGSVDSLIYSTGAIQMSDSDDLADVCIRVDKKIFRCHQVLLASRSEYFRARLSRMKDFHEGKDELLVDSLPCLEEHDLNVETLEKMLEYMYTDSLKDIDPDQAEEMFDAASRYLLFPLKRAVADVLLPHLETVSPAELCHWLILSDMYGVLKIREYCLDTVACNFETFADTREFRAILLTLPPPSGDSSLRTTVPSAPGAILNTDQGNLLDDLREKWLEAEAAELDKRDESALLFDKRLEMLMLVAEKEKSNESTDAIEDCSG >Manes.12G060700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5943571:5948841:1 gene:Manes.12G060700.v8.1 transcript:Manes.12G060700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPQRPSGWTLDADLDEIDLDPSDFTSSLPLKKVPNGDVFEASRAGDVDRLSYLLESGVNVNARDQWDSVALYYACLAGHLDAARMLLESGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQAALRETFLGCAANKAYLEQAALGGFHVSGVSSSEMSNSNCLSPDVVFFVQARPIEAHRVILSARSPFFKRKFETDWRDRSEVRFAREKLSYAALYSLIHFFYSDRLDIAVDDMEDLVRICKVCKCESLQRILEKELIHQKYAEYKALRDVDNSQKRFILQGSSLPEEDRLPTALHHILHTSLAKSTLEWNLDGSVDSLIYSTGAIQMSDSDDLADVCIRVDKKIFRCHQVLLASRSEYFRARLSRMKDFHEGKDELLVDSLPCLEEHDLNVETLEKMLEYMRRKCLMLLRDIFYFLLSVL >Manes.12G060700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5943571:5948841:1 gene:Manes.12G060700.v8.1 transcript:Manes.12G060700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNCLSPDVVFFVQARPIEAHRVILSARSPFFKRKFETDWRDRSEVRFAREKLSYAALYSLIHFFYSDRLDIAVDDMEDLVRICKVCKCESLQRILEKELIHQKYAEYKALRDVDNSQKRFILQGSSLPEEDRLPTALHHILHTSLAKSTLEWNLDGSVDSLIYSTGAIQMSDSDDLADVCIRVDKKIFRCHQVLLASRSEYFRARLSRMKDFHEGKDELLVDSLPCLEEHDLNVETLEKMLEYMYTDSLKDIDPDQAEEMFDAASRYLLFPLKRAVADVLLPHLETVSPAELCHWLILSDMYGVLKIREYCLDTVACNFETFADTREFRAILLTLPPPSGDSSLRTTVPSAPGAILNTDQGNLLDDLREKWLEAEAAELDKRDESALLFDKRLEMLMLVAEKEKSNESTDAIEDCSG >Manes.12G063605.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6723375:6724292:-1 gene:Manes.12G063605.v8.1 transcript:Manes.12G063605.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQTLFSQGTSSAKGLCFKCKQPYSPLHQCPNKSFRMIIASEDESPPGELDLLKGDRAEPLTVAIIDSHFTQMELSFFSVGGISQSKTMKLFGQIGSTRVVLMVDSGASHCFVSKKLAQCLALPLCSIDPFHVKLGDGRCLQTSGLCKDLSINLGPLTISLDCYVFPLGGVDVILGISWLETLGNVKMNWQALTMRFSHQGQKVTLRGSHSLFHSPLSLHSFFKLTDVGYKVIIWPCEAVLSEHTLDLTLSPDKSTQLSSVLDTHSSIFSESHSLRPIRASDHAIALQSGVTTISVKSYRYSHH >Manes.14G122400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9662997:9667535:1 gene:Manes.14G122400.v8.1 transcript:Manes.14G122400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFETEKPLRKISEAFKELAATVNSQSAIVEVASFSRACSLVSPLFGCLGIAFKFAEMDYVAKVRDLADASKLIVTLDALLDRDMEQNTVRKAGSHSRNLLRVKRGLDMVKVLFEQIIVTEGNSLRDPASKAYAQVFAPHHGWAIRKAVAAGMYALPTKAQLLNKLNEDGECLHQ >Manes.14G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9662997:9667535:1 gene:Manes.14G122400.v8.1 transcript:Manes.14G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFETEKPLRKISEAFKELAATVNSQSAIVEVASFSRACSLVSPLFGCLGIAFKFAEMDYVAKVRDLADASKLIVTLDALLDRDMEQNTVRKAGSHSRNLLRVKRGLDMVKVLFEQIIVTEGNSLRDPASKAYAQVFAPHHGWAIRKAVAAGMYALPTKAQLLNKLNEDETSARIQMENYIAASAQVILYIDKLFLSRELGIDW >Manes.14G122400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9662997:9667535:1 gene:Manes.14G122400.v8.1 transcript:Manes.14G122400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFETEKPLRKISEAFKELAATVNSQSAIVEVASFSRACSLVSPLFGCLGIAFKFAEMDYVAKVRDLADASKLIVTLDALLDRDMEQNTVRKAGSHSRNLLRGKFFEGPSFQGLCTSICSSPWVGY >Manes.08G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4317410:4319282:1 gene:Manes.08G043700.v8.1 transcript:Manes.08G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSKTTSASSMVLPIVLAALLLVHKSNAQLSSTFYATTCSNVSAIVTSVVQQALQSDPRIGASLIRLHFHDCFVNGCDASLLLDNSSTILSEKFAAPNVNSARGFDVVDNIKTAVENSCPGVVSCADILALAAEASVSLSGGQSWSVLLGRRDSLTANQAGANTSIPSPFEGLNNITSKFSAVGLNTNDLVALSGAHTFGRAQCRTFSNRLFNFSGTGSPDPTLNATYLTTLQQLCPQGGSGATLANLDPTTPDNFDNNYFTNLQNNQGLLQSDQELFSTAGAATVSIVNSFSSSQTAFFQSFAQSMINMGNISPLTGTNGEIRADCKKVNGS >Manes.01G230500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39506764:39511856:1 gene:Manes.01G230500.v8.1 transcript:Manes.01G230500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSISAKISKMNGAGGAAMNNNGNNNNLINRWTGKPYSQRYYEILEKRRTLPVWHQREEFLTALKKNQVLVLVGETGSGKTTQIPQFVLEAVDIETPDKRRKMMIGCTQPRRVAAMSVSRRVAEEMDVNIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLRNRNDLKLVVMSATLEAEKFQGYFNDAPLMRVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMYETPGDILVFLTGEEEIEDACRKITKEVGNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPLPLTEGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNQDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEMLNYLGALDDDGNLTMLGEIMSEFPLDPQMGKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDSSWCYENFVNHRALKAADNVRQQLVRIMARFNLKLCSTDFNSRDYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYSEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLANFPNCEAKRVLEKLYRKREREKEENKNRK >Manes.03G020101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1717333:1718178:-1 gene:Manes.03G020101.v8.1 transcript:Manes.03G020101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLIPVMFRPLVRLLTSPTIEPVAAISTVLFYSELLPQNIILERLVGHYLLSNENNLFHFLINLLRCFW >Manes.03G020101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1717333:1718178:-1 gene:Manes.03G020101.v8.1 transcript:Manes.03G020101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIPVMFRPLVRLLTSPTIEPVAAISTVLFYSELLPQNIILERLVGHYLLSNENNLFHFLINLLRCFW >Manes.04G164900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35805040:35808724:-1 gene:Manes.04G164900.v8.1 transcript:Manes.04G164900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCESRSFSEEEVASLANNSESDKEGFTEFALLLDKFTPILIELKENGKVMDRPPVRAAVDSLENELRRAKELIKSTGNSKSPIKQMEYMTQDLGRSLGLVLFASIDVSREIKEKVSALHKELMSAKFITSLSTSPCPSPRPSQEYGSVSEMDSEREIEEETVTLSTEDVVLQLKYGNDEEFKLALWGLRDIINDQSIDKEWINNEGVIPILFNRLGSSKPRSRLTIIQMLRILASVNTENKEKMADVGSLSLLVKSLTRDVDERREAVGLLLELTEISAVQRRIGRIQGCIVMLVSMLNGDDPTACHDAGKLLVALSNNTQNALHMAEAGYFKPLVRYLKEGSDMGKILMATAISRIELTDQSRASLGEEGAIEPLVKMFKTGKLEAKLSALNALQNLSMLTENIQRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSESILVNKDVAQQMLSLLNLSSPVIQFHLLQALNSIASHSRASKVRKKMEESGAVQLLLPFLTESNLKNRTAALNLLYTLSKDSPEELMEQLGEYQLNNIVNIICSSTSEGEKAAAIGILSNFPISKKKATDVLKKSNLLPILVSIMSSSESTSMPRTNWLMECIAGLFIRFTVASDKKLQLLSAELGVIPLLVKLLSSGSVVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPHSAEAFCEVHDGYCIVKNTFCLVKAGAVSPLIKILEREEREADEAVLDALATLLQDEIWENGSNYIARMSVFQAIIKVLESGNVKAQEKALWILERIFRIEEHRTQYGESAQVVLIDLAQNGDPRLKSLVAKVLAQLELLQPQSSYF >Manes.04G164900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35805077:35808631:-1 gene:Manes.04G164900.v8.1 transcript:Manes.04G164900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCESRSFSEEEVASLANNSESDKEGFTEFALLLDKFTPILIELKENGKVMDRPPVRAAVDSLENELRRAKELIKSTGNSKSPIKQMEYMTQDLGRSLGLVLFASIDVSREIKEKVSALHKELMSAKFITSLSTSPCPSPRPSQEYGSVSEMDSEREIEEETVTLSTEDVVLQLKYGNDEEFKLALWGLRDIINDQSIDKEWINNEGVIPILFNRLGSSKPRSRLTIIQMLRILASVNTENKEKMADVGSLSLLVKSLTRDVDERREAVGLLLELTEISAVQRRIGRIQGCIVMLVSMLNGDDPTACHDAGKLLVALSNNTQNALHMAEAGYFKPLVRYLKEGSDMGKILMATAISRIELTDQSRASLGEEGAIEPLVKMFKTGKLEAKLSALNALQNLSMLTENIQRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSESILVNKDVAQQMLSLLNLSSPVIQFHLLQALNSIASHSRASKVRKKMEESGAVQLLLPFLTESNLKNRTAALNLLYTLSKDSPEELMEQLGEYQLNNIVNIICSSTSEGEKAAAIGILSNFPISKKKATDVLKKSNLLPILVSIMSSSESTSMPRTNWLMECIAGLFIRFTVASDKKLQLLSAELGVIPLLVKLLSSGSVVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPHSAEAFCEVHDGYCIVKNTFCLVKAGAVSPLIKILEREEREADEAVLDALATLLQDEIWENGSNYIARMSVFQAIIKVLESGNVKAQEKALWILERIFRIEEHRTQYGESAQVVLIDLAQNGDPRLKSLVAKVLAQLELLQPQSSYF >Manes.04G164900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35805040:35808724:-1 gene:Manes.04G164900.v8.1 transcript:Manes.04G164900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSLSLLVKSLTRDVDERREAVGLLLELTEISAVQRRIGRIQGCIVMLVSMLNGDDPTACHDAGKLLVALSNNTQNALHMAEAGYFKPLVRYLKEGSDMGKILMATAISRIELTDQSRASLGEEGAIEPLVKMFKTGKLEAKLSALNALQNLSMLTENIQRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSESILVNKDVAQQMLSLLNLSSPVIQFHLLQALNSIASHSRASKVRKKMEESGAVQLLLPFLTESNLKNRTAALNLLYTLSKDSPEELMEQLGEYQLNNIVNIICSSTSEGEKAAAIGILSNFPISKKKATDVLKKSNLLPILVSIMSSSESTSMPRTNWLMECIAGLFIRFTVASDKKLQLLSAELGVIPLLVKLLSSGSVVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPHSAEAFCEVHDGYCIVKNTFCLVKAGAVSPLIKILEREEREADEAVLDALATLLQDEIWENGSNYIARMSVFQAIIKVLESGNVKAQEKALWILERIFRIEEHRTQYGESAQVVLIDLAQNGDPRLKSLVAKVLAQLELLQPQSSYF >Manes.04G164900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35805077:35808631:-1 gene:Manes.04G164900.v8.1 transcript:Manes.04G164900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCESRSFSEEEVASLANNSESDKEGFTEFALLLDKFTPILIELKENGKVMDRPPVRAAVDSLENELRRAKELIKSTGNSKSPIKQMEYMTQDLGRSLGLVLFASIDVSREIKEKVSALHKELMSAKFITSLSTSPCPSPRPSQEYGSVSEMDSEREIEEETVTLSTEDVVLQLKYGNDEEFKLALWGLRDIINDQSIDKEWINNEGVIPILFNRLGSSKPRSRLTIIQMLRILASVNTENKEKMADVGSLSLLVKSLTRDVDERREAVGLLLELTEISAVQRRIGRIQGCIVMLVSMLNGDDPTACHDAGKLLVALSNNTQNALHMAEAGYFKPLVRYLKEGSDMGKILMATAISRIELTDQSRASLGEEGAIEPLVKMFKTGKLEAKLSALNALQNLSMLTENIQRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSESILVNKDVAQQMLSLLNLSSPVIQFHLLQALNSIASHSRASKVRKKMEESGAVQLLLPFLTESNLKNRTAALNLLYTLSKDSPEELMEQLGEYQLNNIVNIICSSTSEGEKAAAIGILSNFPISKKKATDVLKKSNLLPILVSIMSSSESTSMPRTNWLMECIAGLFIRFTVASDKKLQLLSAELGVIPLLVKLLSSGSVVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPHSAEAFCEVHDGYCIVKNTFCLVKAGAVSPLIKILEREEREADEAVLDALATLLQDEIWENGSNYIARMSVFQAIIKVLESGNVKAQEKALWILERIFRIEEHRTQYGESAQVVLIDLAQNGDPRLKSLVAKVLAQLELLQPQSSYF >Manes.04G164900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35805077:35808631:-1 gene:Manes.04G164900.v8.1 transcript:Manes.04G164900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCESRSFSEEEVASLANNSESDKEGFTEFALLLDKFTPILIELKENGKVMDRPPVRAAVDSLENELRRAKELIKSTGNSKSPIKQMEYMTQDLGRSLGLVLFASIDVSREIKEKVSALHKELMSAKFITSLSTSPCPSPRPSQEYGSVSEMDSEREIEEETVTLSTEDVVLQLKYGNDEEFKLALWGLRDIINDQSIDKEWINNEGVIPILFNRLGSSKPRSRLTIIQMLRILASVNTENKEKMADVGSLSLLVKSLTRDVDERREAVGLLLELTEISAVQRRIGRIQGCIVMLVSMLNGDDPTACHDAGKLLVALSNNTQNALHMAEAGYFKPLVRYLKEGSDMGKILMATAISRIELTDQSRASLGEEGAIEPLVKMFKTGKLEAKLSALNALQNLSMLTENIQRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSESILVNKDVAQQMLSLLNLSSPVIQFHLLQALNSIASHSRASKVRKKMEESGAVQLLLPFLTESNLKNRTAALNLLYTLSKDSPEELMEQLGEYQLNNIVNIICSSTSEGEKAAAIGILSNFPISKKKATDVLKKSNLLPILVSIMSSSESTSMPRTNWLMECIAGLFIRFTVASDKKLQLLSAELGVIPLLVKLLSSGSVVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPHSAEAFCEVHDGYCIVKNTFCLVKAGAVSPLIKILEREEREADEAVLDALATLLQDEIWENGSNYIARMSVFQAIIKVLESGNVKAQEKALWILERIFRIEEHRTQYGESAQVVLIDLAQNGDPRLKSLVAKVLAQLELLQPQSSYF >Manes.04G164900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35805077:35808631:-1 gene:Manes.04G164900.v8.1 transcript:Manes.04G164900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCESRSFSEEEVASLANNSESDKEGFTEFALLLDKFTPILIELKENGKVMDRPPVRAAVDSLENELRRAKELIKSTGNSKSPIKQMEYMTQDLGRSLGLVLFASIDVSREIKEKVSALHKELMSAKFITSLSTSPCPSPRPSQEYGSVSEMDSEREIEEETVTLSTEDVVLQLKYGNDEEFKLALWGLRDIINDQSIDKEWINNEGVIPILFNRLGSSKPRSRLTIIQMLRILASVNTENKEKMADVGSLSLLVKSLTRDVDERREAVGLLLELTEISAVQRRIGRIQGCIVMLVSMLNGDDPTACHDAGKLLVALSNNTQNALHMAEAGYFKPLVRYLKEGSDMGKILMATAISRIELTDQSRASLGEEGAIEPLVKMFKTGKLEAKLSALNALQNLSMLTENIQRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSESILVNKDVAQQMLSLLNLSSPVIQFHLLQALNSIASHSRASKVRKKMEESGAVQLLLPFLTESNLKNRTAALNLLYTLSKDSPEELMEQLGEYQLNNIVNIICSSTSEGEKAAAIGILSNFPISKKKATDVLKKSNLLPILVSIMSSSESTSMPRTNWLMECIAGLFIRFTVASDKKLQLLSAELGVIPLLVKLLSSGSVVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPHSAEAFCEVHDGYCIVKNTFCLVKAGAVSPLIKILEREEREADEAVLDALATLLQDEIWENGSNYIARMSVFQAIIKVLESGNVKAQEKALWILERIFRIEEHRTQYGESAQVVLIDLAQNGDPRLKSLVAKVLAQLELLQPQSSYF >Manes.04G164900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35805077:35808631:-1 gene:Manes.04G164900.v8.1 transcript:Manes.04G164900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSLSLLVKSLTRDVDERREAVGLLLELTEISAVQRRIGRIQGCIVMLVSMLNGDDPTACHDAGKLLVALSNNTQNALHMAEAGYFKPLVRYLKEGSDMGKILMATAISRIELTDQSRASLGEEGAIEPLVKMFKTGKLEAKLSALNALQNLSMLTENIQRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSESILVNKDVAQQMLSLLNLSSPVIQFHLLQALNSIASHSRASKVRKKMEESGAVQLLLPFLTESNLKNRTAALNLLYTLSKDSPEELMEQLGEYQLNNIVNIICSSTSEGEKAAAIGILSNFPISKKKATDVLKKSNLLPILVSIMSSSESTSMPRTNWLMECIAGLFIRFTVASDKKLQLLSAELGVIPLLVKLLSSGSVVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPHSAEAFCEVHDGYCIVKNTFCLVKAGAVSPLIKILEREEREADEAVLDALATLLQDEIWENGSNYIARMSVFQAIIKVLESGNVKAQEKALWILERIFRIEEHRTQYGESAQVVLIDLAQNGDPRLKSLVAKVLAQLELLQPQSSYF >Manes.04G164900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35805077:35808631:-1 gene:Manes.04G164900.v8.1 transcript:Manes.04G164900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSLSLLVKSLTRDVDERREAVGLLLELTEISAVQRRIGRIQGCIVMLVSMLNGDDPTACHDAGKLLVALSNNTQNALHMAEAGYFKPLVRYLKEGSDMGKILMATAISRIELTDQSRASLGEEGAIEPLVKMFKTGKLEAKLSALNALQNLSMLTENIQRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSESILVNKDVAQQMLSLLNLSSPVIQFHLLQALNSIASHSRASKVRKKMEESGAVQLLLPFLTESNLKNRTAALNLLYTLSKDSPEELMEQLGEYQLNNIVNIICSSTSEGEKAAAIGILSNFPISKKKATDVLKKSNLLPILVSIMSSSESTSMPRTNWLMECIAGLFIRFTVASDKKLQLLSAELGVIPLLVKLLSSGSVVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPHSAEAFCEVHDGYCIVKNTFCLVKAGAVSPLIKILEREEREADEAVLDALATLLQDEIWENGSNYIARMSVFQAIIKVLESGNVKAQEKALWILERIFRIEEHRTQYGESAQVVLIDLAQNGDPRLKSLVAKVLAQLELLQPQSSYF >Manes.05G151100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26024932:26032079:-1 gene:Manes.05G151100.v8.1 transcript:Manes.05G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLLLLALPFLLSFLLRKLKTKRNLHLPPGPKGLPFIGNLHQFDSLNPHSYLWQLSQKHGPLMSLRLGFLPILVVSSAKMAEAVMKTHDLIFCSRPALVGPQKLSYNGLDLAFSPYNAYWREIRKICMVYLFNSNRVQSFRPIREFELSHMLEKISKSAVASKPVNLSEAMMSLTSTIICRVAFGKRYEEDGVGREENKGRSRFQELLKEAQALFMCFFVADYFPFLGFIDKFTGLLHRLEKNFREFDVFYEQIIQEHLDPSRSKPAEEDILDILLQLWKDRSFKVDLTFDHIKAVLMNVFVGGTDTGAATVVWAMTLLMKNPIAMKKAQEEIRKLVGKKGFVEEDDVQQLPYLKAVIKETMRLQPTVPLLVPKESTEDCVLDGYDIAAKTVVYVNAWAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFGAGRRICPGIFMGLATVEVSLANLLHKFDWEMPVGMKKEDLDMDVQPGITMHKKNALCLMARKYA >Manes.09G011401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2225253:2228553:1 gene:Manes.09G011401.v8.1 transcript:Manes.09G011401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWGRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPVPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHNIYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPDVVTFTTLINGLSIESKMDKALEFFNDMLAGGYHPNVYTFNVIVNGLCKFGKTNVAIELLKEMADRGCEPDVVTYNAIIDTLCKDELVGEALELFSQMRNKGISPNVITYTGLIHGFCKLGQKNQALALMNEMVEQNVLPNDYTFNVLIDALCKDGMVSEAQNTFNVMIQRGGEPNVITYNSLIDGLCISDQLKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVVTYSSLLDGYCLGNQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKELFDEMSHKGLVPDVVTYSTLIKGMFQAGRPQNVKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEKSQLKPNLVIYSSLINGMCKVGKINDAKELFSSLFEISLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNYCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVHLSRNNDLILSKLRNRSESSKAVQ >Manes.02G108900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8455324:8456997:-1 gene:Manes.02G108900.v8.1 transcript:Manes.02G108900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISTRDLFRIRLINNTFSNHHPPVRVLLKQNCLSSAPYSSSFPYIKDRPNSIYHPSVELLKLCQSTRHLFQVQTQLITCGLFPFWSARLLKHYSDFGNIEYTILVFRYIDSPGTFCVNNVIKAYSFSSIPEQAVIFYFEMLQIGFSPNSYTFVSLFGSCAKTGCAQSGQKCHGQALMNGVDCILQVQNSLLHFYGCCGDVESARKVFDKMLERDLVSWNSIINLYASIGELGIAHEIFDVMPERNVISWNTLINGYLKGNNPGCALMVFRKMVNSGLRGNDTTMVGVLSTCGKSARLKEGRSVHGFLIRTSLRLSIILDTSLIDMYSKCQKVELARRLFDSMSYRNLICWNAMILGHCIHGNPEDGLNLFAEMKNWSGDGEAIPDEVTYIGLLCACARSGLLTEGKRFFSQMMHTYSLKPNFAHHWCMANLYAGAGLIQEAENILRDMPEDDENASPESLVWANLLSLCRFQANANFGERIAKSLIDMEPWNFSHYRLLINVYAVAGKWDDVARVKEMVKGRRMRRMPGCNLMDLKEIVHKYRVGHFLQAGILMK >Manes.14G020400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2035973:2042414:1 gene:Manes.14G020400.v8.1 transcript:Manes.14G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHFLLSALSVGVGVGVGLGLASGQGMSRWAGGNGSSDDVSAEQIEQELIRQVLDGRDSKVTFDEFPYYLSDVTRISLTSAAYIHLKHSDVSKHTRNLSPASRAILLSGPAELYQQMLAKALAHYFESKLLLLDVPDFSLKIQSKYGCTKKESSFKTSISEAAMERMSSLFGSFSILSPKEVKGTLCGQSSNLDTKSRSMEGMNNLGKLRRNASAASDISSIASQSASTNSASLKRVSSWCFDEKLFLQLLYKVLTSMSQRNSVILYLRDVEQVFLQSERMYNLFSKFLKKLSGSILILGSRMVDQEDDCREVDERLAMLFPYNIEIKPPEDETHLVSWKAQLEEDMKMIKFQDNKNHIVEVLAANDIECEDLGSICHADTMVLGNYIEEIVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLSIFQEGKIGGKGTLKLETNGEVGKETEGEEAVVAKTESKSETSPENKGEIEKSGPGVKKDGENQTPAKTPEFPPDNEFEKRIRPEVIPANEIGVTFADIGALDETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKHGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREMILRTLLAKEKTENLDFKELATMTEGYSGSDLKNLCVTAAYRPVRELIQQERLKDKEIKQKAEEGKSSEDASSTKEDKEEKVIALRPLNMEDMRQAKNQVAASFASEGSIMGELKQWNDLYGEGGTRKKQQLTYFL >Manes.01G104801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30436458:30460474:1 gene:Manes.01G104801.v8.1 transcript:Manes.01G104801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLKWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELYHALCNMLSNILAPLADGGKSQWPPLGVENALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAASQAPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPHVGLEIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLLDDKMEVGADNTKRQGQANEGFKKSSFHQADMIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQADYNLRLEPEPIFVIDVLEEHGDDIVQSCYWDSGRPFDLRRESDVIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPNSVQEAKVEVVQRLAHITPIELGGKAHQSQDVDNKLDQWLMYAMFACSCPPDSREAGGLVATKDLYHLIFPSLKSGSETNIQGATMALGHSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKSRREELRIHIANIYRTVAEKIWPGMLSRKPVFRLHYLRFIDETTRQIFTATNENFQEMQPLRYALASVLRSLAPEFVESKSEKFDPRTRKRLFDLLLSWSDETGSAWGQDGVNDYRRDVERYKASQHNRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGFSPSTPSYSKYTGEGGRGATGRDRHRGGQHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAEDGIESSGSYGAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDNIEPVVPSATKGDANGNFVLEFSQGPAAAQIASVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNVVPVTTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVSLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDNGGDIGDFQRIESLASSGGNLPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDTTVAPASPLHQQWQKACSVANNIALWCRAKSLNELATVFAGYSRGEVKSVENLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDASQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHEPGSYENGADDKMLAPQTSFKARSGPLQYALGSGFGFASTPGTLSGIESGIPPREVALQNTRLILGRVLDKCALGRRRDYRRLVPFVTSIGNP >Manes.01G104801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30436458:30460474:1 gene:Manes.01G104801.v8.1 transcript:Manes.01G104801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLKWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELYHALCNMLSNILAPLADGGKSQWPPLGVENALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAASQAPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPHVGLEIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLLDDKMEVGADNTKRQGQANEGFKKSSFHQADMIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQADYNLRLEPEPIFVIDVLEEHGDDIVQSCYWDSGRPFDLRRESDVIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPNSVQEAKVEVVQRLAHITPIELGGKAHQSQDVDNKLDQWLMYAMFACSCPPDSREAGGLVATKDLYHLIFPSLKSGSETNIQGATMALGHSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKSRREELRIHIANIYRTVAEKIWPGMLSRKPVFRLHYLRFIDETTRQIFTATNENFQEMQPLRYALASVLRSLAPEFVESKSEKFDPRTRKRLFDLLLSWSDETGSAWGQDGVNDYRRDVERYKASQHNRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGFSPSTPSYSKYTGEGGRGATGRDRHRGGQHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAEDGIESSGSYGAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDNIEPVVPSATKGDANGNFVLEFSQGPAAAQIASVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNVVPVTTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVSLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDNGGDIGDFQRIESLASSGGNLPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDTTVAPASPLHQQWQKACSVANNIALWCRAKSLNELATVFAGYSRGEVKSVENLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDASQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHEPGSYENGADDKMLAPQTSFKARSGPLQYALGSGFGFASTPGTLSGIESGIPPREVALQNTRLILGRVLDKCALGRRRDYRRLVPFVTSIGNP >Manes.01G104801.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30436458:30460474:1 gene:Manes.01G104801.v8.1 transcript:Manes.01G104801.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELYHALCNMLSNILAPLADGGKSQWPPLGVENALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAASQAPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPHVGLEIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLLDDKMEVGADNTKRQGQANEGFKKSSFHQADMIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQADYNLRLEPEPIFVIDVLEEHGDDIVQSCYWDSGRPFDLRRESDVIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPNSVQEAKVEVVQRLAHITPIELGGKAHQSQDVDNKLDQWLMYAMFACSCPPDSREAGGLVATKDLYHLIFPSLKSGSETNIGATMALGHSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKSRREELRIHIANIYRTVAEKIWPGMLSRKPVFRLHYLRFIDETTRQIFTATNENFQEMQPLRYALASVLRSLAPEFVESKSEKFDPRTRKRLFDLLLSWSDETGSAWGQDGVNDYRRDVERYKASQHNRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGFSPSTPSYSKYTGEGGRGATGRDRHRGGQHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAEDGIESSGSYGAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDNIEPVVPSATKGDANGNFVLEFSQGPAAAQIASVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNVVPVTTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVSLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDNGGDIGDFQRIESLASSGGNLPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDTTVAPASPLHQQWQKACSVANNIALWCRAKSLNELATVFAGYSRGEVKSVENLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDASQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHEPGSYENGADDKMLAPQTSFKARSGPLQYALGSGFGFASTPGTLSGIESGIPPREVALQNTRLILGRVLDKCALGRRRDYRRLVPFVTSIGNP >Manes.01G104801.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30436458:30460474:1 gene:Manes.01G104801.v8.1 transcript:Manes.01G104801.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARHTPVPLLEALLKWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELYHALCNMLSNILAPLADGGKSQWPPLGVENALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAASQAPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPHVGLEIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLLDDKMEVGADNTKRQGQANEGFKKSSFHQADMIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQADYNLRLEPEPIFVIDVLEEHGDDIVQSCYWDSGRPFDLRRESDVIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPNSVQEAKVEVVQRLAHITPIELGGKAHQSQDVDNKLDQWLMYAMFACSCPPDSREAGGLVATKDLYHLIFPSLKSGSETNIQGATMALGHSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKSRREELRIHIANIYRTVAEKIWPGMLSRKPVFRLHYLRFIDETTRQIFTATNENFQEMQPLRYALASVLRSLAPEFVESKSEKFDPRTRKRLFDLLLSWSDETGSAWGQDGVNDYRRDVERYKASQHNRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGFSPSTPSYSKYTGEGGRGATGRDRHRGGQHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAEDGIESSGSYGAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDNIEPVVPSATKGDANGNFVLEFSQGPAAAQIASVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNVVPVTTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVSLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDNGGDIGDFQRIESLASSGGNLPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDTTVAPASPLHQQWQKACSVANNIALWCRAKSLNELATVFAGYSRGEVKSVENLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDASQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHEPGSYENGADDKMLAPQTSFKARSGPLQYALGSGFGFASTPGTLSGIESGIPPREVALQNTRLILGRVLDKCALGRRRDYRRLVPFVTSIGNP >Manes.01G104801.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30436458:30460474:1 gene:Manes.01G104801.v8.1 transcript:Manes.01G104801.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELYHALCNMLSNILAPLADGGKSQWPPLGVENALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAASQAPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPHVGLEIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLLDDKMEVGADNTKRQGQANEGFKKSSFHQADMIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQADYNLRLEPEPIFVIDVLEEHGDDIVQSCYWDSGRPFDLRRESDVIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPNSVQEAKVEVVQRLAHITPIELGGKAHQSQDVDNKLDQWLMYAMFACSCPPDSREAGGLVATKDLYHLIFPSLKSGSETNIQGATMALGHSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKSRREELRIHIANIYRTVAEKIWPGMLSRKPVFRLHYLRFIDETTRQIFTATNENFQEMQPLRYALASVLRSLAPEFVESKSEKFDPRTRKRLFDLLLSWSDETGSAWGQDGVNDYRRDVERYKASQHNRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGFSPSTPSYSKYTGEGGRGATGRDRHRGGQHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAEDGIESSGSYGAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDNIEPVVPSATKGDANGNFVLEFSQGPAAAQIASVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNVVPVTTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVSLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDNGGDIGDFQRIESLASSGGNLPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDTTVAPASPLHQQWQKACSVANNIALWCRAKSLNELATVFAGYSRGEVKSVENLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDASQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHEPGSYENGADDKMLAPQTSFKARSGPLQYALGSGFGFASTPGTLSGIESGIPPREVALQNTRLILGRVLDKCALGRRRDYRRLVPFVTSIGNP >Manes.01G104801.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30436458:30460474:1 gene:Manes.01G104801.v8.1 transcript:Manes.01G104801.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELYHALCNMLSNILAPLADGGKSQWPPLGVENALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAASQAPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPHVGLEIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLLDDKMEVGADNTKRQGQANEGFKKSSFHQADMIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQADYNLRLEPEPIFVIDVLEEHGDDIVQSCYWDSGRPFDLRRESDVIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPNSVQEAKVEVVQRLAHITPIELGGKAHQSQDVDNKLDQWLMYAMFACSCPPDSREAGGLVATKDLYHLIFPSLKSGSETNIQGATMALGHSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKSRREELRIHIANIYRTVAEKIWPGMLSRKPVFRLHYLRFIDETTRQIFTATNENFQEMQPLRYALASVLRSLAPEFVESKSEKFDPRTRKRLFDLLLSWSDETGSAWGQDGVNDYRRDVERYKASQHNRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGFSPSTPSYSKYTGEGGRGATGRDRHRGGQHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAEDGIESSGSYGAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDNIEPVVPSATKGDANGNFVLEFSQGPAAAQIASVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNVVPVTTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVSLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDNGGDIGDFQRIESLASSGGNLPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDTTVAPASPLHQQWQKACSVANNIALWCRAKSLNELATVFAGYSRGEVKSVENLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDASQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHEPGSYENGADDKMLAPQTSFKARSGPLQYALGSGFGFASTPGTLSGIESGIPPREVALQNTRLILGRVLDKCALGRRRDYRRLVPFVTSIGNP >Manes.01G104801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30436458:30460474:1 gene:Manes.01G104801.v8.1 transcript:Manes.01G104801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLKWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQIEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELYHALCNMLSNILAPLADGGKSQWPPLGVENALTLWYEAVGRIRVQLMHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHNNLSPHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAASQAPNRIWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPHVGLEIFKGHDIGHYIPKVKAAIESILRSCHRTYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLLDDKMEVGADNTKRQGQANEGFKKSSFHQADMIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQADYNLRLEPEPIFVIDVLEEHGDDIVQSCYWDSGRPFDLRRESDVIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPNSVQEAKVEVVQRLAHITPIELGGKAHQSQDVDNKLDQWLMYAMFACSCPPDSREAGGLVATKDLYHLIFPSLKSGSETNIGATMALGHSHLEACEIMFSELSSFIDEVSSETEGKPKWKSQKSRREELRIHIANIYRTVAEKIWPGMLSRKPVFRLHYLRFIDETTRQIFTATNENFQEMQPLRYALASVLRSLAPEFVESKSEKFDPRTRKRLFDLLLSWSDETGSAWGQDGVNDYRRDVERYKASQHNRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGFSPSTPSYSKYTGEGGRGATGRDRHRGGQHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAEDGIESSGSYGAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDNIEPVVPSATKGDANGNFVLEFSQGPAAAQIASVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNVVPVTTGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVSLLRCLHRCLGNPVPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRVIDRLSFRDRTTENVLLSSMPRDELDNGGDIGDFQRIESLASSGGNLPAFEGVQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDAETRLLMHITGLLPWLCLQLSKDTTVAPASPLHQQWQKACSVANNIALWCRAKSLNELATVFAGYSRGEVKSVENLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDASQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHEPGSYENGADDKMLAPQTSFKARSGPLQYALGSGFGFASTPGTLSGIESGIPPREVALQNTRLILGRVLDKCALGRRRDYRRLVPFVTSIGNP >Manes.08G128230.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:19845046:19845159:1 gene:Manes.08G128230.v8.1 transcript:Manes.08G128230.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >Manes.16G067800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26082835:26091170:-1 gene:Manes.16G067800.v8.1 transcript:Manes.16G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSKAYNANTSLSISAGVSLLNTTNTQHHHHQVKAMFHDFLGMKPATTDSPVVLAPKNTDASPSASVSVGAASSGGARGPFSTTSDLASERQAGNHLEGIPFYGPRSDISGPEISTRLAGSKRSISDSAFTGYTRGGNPQMGGHDSLEGLHLMKMLKNGGGGEQSRRSNDDEVSYSMHPTRPTSASLILQTSAGSRLDAHVSKWERAIPMGAAIQYPPRVGQFVPFMQQVPANKFRDVNAGPSIISQSAADEGSRTGIKGPGILSSINAGSAISEKNSSRVQPSGSKPKSGTHISEPESSAPSGRQGLTSASRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGGSWSTAYLPKPTVRPGGESSMTTGENEAGIAINTALHGRLSGTVNAAHGVGSSERIPTPTGQHGSIVMREEIRKQGQAGEPSTEEKREV >Manes.15G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11517858:11519569:-1 gene:Manes.15G142200.v8.1 transcript:Manes.15G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIRKISGAVMFMVMFLVLNTSCEAKLNSKYYEKSCPKALSTIRSTIRTAIARERRMAASLIRLHFHDCFVQGCDGSILLDETSSIKSEKTALPNLNSARGFEVIEKAKSQVEKICPGVVSCADIIAVAARDATEYVAGPSYTVLLGRRDSTTASRDRANKELPSFRDGLDKLISRFQKIGLNARDLVALSGSHTLGQAQCATFRDRIYSNGSDIDAGFATTRRRRCPAVGGDGNLAPLDLVTPNSWDSNYFKNLIQKKGLLESDQVLFSGGSTDSIVREYSKNRAAFNADFASAMIKMGNYKPLTGSKGQIRRICSAVN >Manes.04G080603.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29169141:29172539:-1 gene:Manes.04G080603.v8.1 transcript:Manes.04G080603.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGTADVGAGQTPQLRLPRINEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFVFCWVYIRRIMSSEHTSIWKAMIKTPASIVLIGYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCSTIPLSKNNFRAKVPREPALPTRPIGGGFMSPNMGKAVDDIEMGRKTVWGDMGAMADNVEGQVTNNDHLNIKDGNLSELSPEVRTAVQEGDHGGIHPRRSSWGRKSGSWDMSPEVLALAARVREPKQKNKRTYLLNLPINPSFTQTIKLKL >Manes.18G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5474524:5478612:1 gene:Manes.18G062300.v8.1 transcript:Manes.18G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCLRTLNPPKPLLNNTNLSMPNSTLPSFSSFEPKLKRYPRISGLSAQNQTQKQKLSLDALINSSRKEELLGAIKGSLSNCLSETNLHLTVPGLKSKTRGKVRDIYDGGDYLILVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTQHITQNAVVSAPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYKSGVRNYCGNALPDGLVKNQKLTVNILTPTTKAADHDVPVTPSEIIEQGLMTQADYDEASRKALSLFGYGQRVALEHGLILVDTKYEFGRSSDGSILLIDEVHTPDSSRYWIAHSYEERFRNGLEPENVDKEFLRLWFKDHCDPYKDEVLPDAPEELVCELSWRYIFLYETITKSKFEVPLLEVNFYAKISYYIMISCNVIWYLHACEMEFHAGPYT >Manes.18G062300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5474524:5478612:1 gene:Manes.18G062300.v8.1 transcript:Manes.18G062300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCLRTLNPPKPLLNNTNLSMPNSTLPSFSSFEPKLKRYPRISGLSAQNQTQKQKLSLDALINSSRKEELLGAIKGSLSNCLSETNLHLTVPGLKSKTRGKVRDIYDGGDYLILVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTQHITQNAVVSAPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYKSGVRNYCGNALPDGLVKNQKLTVNILTPTTKAADHDVPVTPSEIIEQGLMTQADYDEASRKALSLFGYGQRVALEHGLILVDTKYEFGRSSDGSILLIDEVHTPDSSRYWIAHSYEERFRNGLEPENVDKEFLRLWFKDHCDPYKDEVLPDAPEELVCELSWRYIFLYETITKSKFEVPLLEDPIHDRISRNVELALSSLK >Manes.03G197200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31478981:31481576:-1 gene:Manes.03G197200.v8.1 transcript:Manes.03G197200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGIIKGGVDGGGGNVGVGEYVGDGMQCSEHPYRNNPGGICAFCLQEKLGKLVSSSLPLPIRASFSSSSSSSSFRSDIGGGGGGASSLSLAARPISSKGRNDGGNNSQYQEYYTRRAGIPFLLAKKKKKIMVESSSSDRDIVFKRSKSTTTPGRKHFWLDASTDDGEDFSPGRRGGFWSFLYHSSSKSSTTKKTDKVSSLTVTTTTTTTTTNGSMVMPKKKFLVSSLSSNGGSVEVENDDCRNSQATASASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKLKIPAAATSNMKERAKCGGIFEEINGKQTPVFAAGPPANGSSRNWGLAFASPKRSLAKPPSKDGKRDIIREASNKNNAPNLSAIPSLLSVRG >Manes.03G197200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31478981:31481618:-1 gene:Manes.03G197200.v8.1 transcript:Manes.03G197200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGIIKGGVDGGGGNVGVGEYVGDGMQCSEHPYRNNPGGICAFCLQEKLGKLVSSSLPLPIRASFSSSSSSSSFRSDIGGGGGGASSLSLAARPISSKGRNDGGNNSQYQEYYTRRAGIPFLLAKKKKKIMVESSSSDRDIVFKRSKSTTTPGRKHFWLDASTDDGEDFSPGRRGGFWSFLYHSSSKSSTTKKTDKVSSLTVTTTTTTTTTNGSMVMPKKKFLVSSLSSNGGSVEVENDDCRNSQATASASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKLKIPAAATSNMKERAKCGGIFGGFMITPSSSYSSSSSSHWVSSSAEEINGKQTPVFAAGPPANGSSRNWGLAFASPKRSLAKPPSKDGKRDIIREASNKNNAPNLSAIPSLLSVRG >Manes.03G197200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31479019:31481368:-1 gene:Manes.03G197200.v8.1 transcript:Manes.03G197200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGIIKGGVDGGGGNVGVGEYVGDGMQCSEHPYRNNPGGICAFCLQEKLGKLVSSSLPLPIRASFSSSSSSSSFRSDIGGGGGGASSLSLAARPISSKGRNDGGNNSQYQEYYTRRAGIPFLLAKKKKKIMVESSSSDRDIVFKRSKSTTTPGRKHFWLDASTDDGEDFSPGRRGGFWSFLYHSSSKSSTTKKTDKVSSLTVTTTTTTTTTNGSMVMPKKKFLVSSLSSNGGSVEVENDDCRNSQATASASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKLKIPAAATSNMKERAKCGGIFAEEINGKQTPVFAAGPPANGSSRNWGLAFASPKRSLAKPPSKDGKRDIIREASNKNNAPNLSAIPSLLSVRG >Manes.03G197200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31478983:31480945:-1 gene:Manes.03G197200.v8.1 transcript:Manes.03G197200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGIIKGGVDGGGGNVGVGEYVGDGMQCSEHPYRNNPGGICAFCLQEKLGKLVSSSLPLPIRASFSSSSSSSSFRSDIGGGGGGASSLSLAARPISSKGRNDGGNNSQYQEYYTRRAGIPFLLAKKKKKIMVESSSSDRDIVFKRSKSTTTPGRKHFWLDASTDDGEDFSPGRRGGFWSFLYHSSSKSSTTKKTDKVSSLTVTTTTTTTTTNGSMVMPKKKFLVSSLSSNGGSVEVENDDCRNSQATASASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKLKIPAAATSNMKERAKCGGIFEEINGKQTPVFAAGPPANGSSRNWGLAFASPKRSLAKPPSKDGKRDIIREASNKNNAPNLSAIPSLLSVRG >Manes.03G197200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31478789:31480944:-1 gene:Manes.03G197200.v8.1 transcript:Manes.03G197200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGIIKGGVDGGGGNVGVGEYVGDGMQCSEHPYRNNPGGICAFCLQEKLGKLVSSSLPLPIRASFSSSSSSSSFRSDIGGGGGGASSLSLAARPISSKGRNDGGNNSQYQEYYTRRAGIPFLLAKKKKKIMVESSSSDRDIVFKRSKSTTTPGRKHFWLDASTDDGEDFSPGRRGGFWSFLYHSSSKSSTTKKTDKVSSLTVTTTTTTTTTNGSMVMPKKKFLVSSLSSNGGSVEVENDDCRNSQATASASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKLKIPAAATSNMKERAKCGGIFAEEINGKQTPVFAAGPPANGSSRNWGLAFASPKRSLAKPPSKDGKRDIIREASNKNNAPNLSAIPSLLSVRG >Manes.03G197200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31478790:31481619:-1 gene:Manes.03G197200.v8.1 transcript:Manes.03G197200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGIIKGGVDGGGGNVGVGEYVGDGMQCSEHPYRNNPGGICAFCLQEKLGKLVSSSLPLPIRASFSSSSSSSSFRSDIGGGGGGASSLSLAARPISSKGRNDGGNNSQYQEYYTRRAGIPFLLAKKKKKIMVESSSSDRDIVFKRSKSTTTPGRKHFWLDASTDDGEDFSPGRRGGFWSFLYHSSSKSSTTKKTDKVSSLTVTTTTTTTTTNGSMVMPKKKFLVSSLSSNGGSVEVENDDCRNSQATASASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKLKIPAAATSNMKERAKCGGIFAEEINGKQTPVFAAGPPANGSSRNWGLAFASPKRSLAKPPSKDGKRDIIREASNKNNAPNLSAIPSLLSVRG >Manes.09G165350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35872688:35873722:1 gene:Manes.09G165350.v8.1 transcript:Manes.09G165350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVISVVVISSTDSSSVIVKSSSSSSCSAPKNSKHDCKWYGLMKGRTAFKKKKKTPIVLFKGATTLEASHGVSWTRVGLLGKGGFGSVFYAKTRTIINQNTHLPSEMAVKSAFMDHSSSLKHEKRVLCDLGASPYVVRCYGDEVTHMANGVKIYNLLLEYCSGLSLQRQIRLSGSGLADSDVKNYSRDILRGLKYIHCHGYVHCDIKPDNILLVPGFGERKGTFVAKIGDLGLATAVGEECNYPRGTYRYMSPELVRAKKIDYAADIWAFGCSVLEMLTAKPAWPYTEVEDLKWMIGYTDEVPQIPSNLSDGAKDFLRRCFVRNAAYRWSADMLLQHSFLFVN >Manes.15G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1912723:1915901:1 gene:Manes.15G024100.v8.1 transcript:Manes.15G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSAIISPPDELVAAGCRTPSPKITADALVKRFLDTNPSAVSLQIGDNAQLAYTHHSESLLQPRSFAVKDDIFCLFEGALDNLGSLRQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLSGSFAFIVFDNSTSTLFVASDQFGKVPLYWGITADGYVAFADNIELLKGACGKSLASFPQGCFYSTAVGELRSFENPKNKITAVPAKEEEIWGATFKVEGPAVVAARE >Manes.01G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2123756:2127788:1 gene:Manes.01G004000.v8.1 transcript:Manes.01G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLTNLFLLSITSIFFHSCSSIDDQGQALLTWKKTLNSSVDELSSWNPSDPTPCNWFGVHCNSYGKVTEISLKELDLQGPLPSNFQPLKSLKTLILSSTNLTGNIPRELGEYQELSFIDFSDNSLSGEIPVEICRLQNLQSLSLNTNFLEGEIPSEIGNLSSLVYLTLYDNQLSSEIPKSIGELSKLQIFRAGGNKNLKGELPQEIGNCTNLVVLGLAETSISGILPSSIGNLNRLQTLAIYTTLLSGPIPEEIGNCSELQNLYLYQSSLSGSIPRQIGELSKLQSLLLWQNSLLGSIPDELGRCKELTVIDLSENLLTGNVPRSFGNLLKLQELQLSVNQLTGTIPVEMTKCKALNHIEIDNNAISGEIPADFGNLKSLTLFYAWQNNLTGNIPESLSKCQNLQAIDLSYNYLFGSIPKNIFGLQNLTKLLLLNNDLSGFIPPDIGNCTNLYRLRLSGNSLAGTIPLEIGKLKNLNFIDLSNNHLIGVIPSSISGCKNLEFLDLHSNGIAGSLPDALPKSLQFVDVSDNRLTGSLTHSIGSLNELTKLILAKNQLSGRIPAEILYCSKLQSLDLGDNGLSGEIPKELGQIPALEISLNLSCNQFSGVIPSEFSGLSKLGVLDISHNMLKGNLDVLADLQNLVSLNVSFNDFSGRLPNTSFFRKLPLSDLASNRGLFIAGGVVTATDSMGRAASNRSAMKLVMSILLSASAVLVLLAIYMLVHTRIANNMLMEEGTWEMTLYQKLDFSIDDIVRNLTSSNVIGTGSSGVVYKVTIPNGDFLAVKKVWSSEESGAFNSEIQTLGSIRHRNIVRLLGWCSNWNMKLLLYDYLPKGSLSSLLHGAGKGGAEWETRYDIVLGVAHALAYLHHDCLPAILHGDVKAMNVLLGPGYEPYLADFGLARVERSNPDDDLAKPSQRPHLAGSYGYMAPEHASMQRITEKSDVYSFGVVVLEVLTGRHPLDPTLPGGAPLVQWVRDHLANKKDPVDILDQKLRGRADPTMHEMLQTLAVSFLCISTRPDDRPTMRDIVAMLKEIRHVDPVRPDPDISKGGLTAARSPDPARIPVSRGSSNCSFAFSDDSTFDG >Manes.10G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25535594:25537389:-1 gene:Manes.10G105700.v8.1 transcript:Manes.10G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFSFTASRDWFYRYSFGNAGLRSISSDFGDGTTMHCWVPRTYKISKPNLVLVHGFGANAMWQYGEHLRHFIDRFNVYVPDLLFFGESYTSRPERHESFQAQCLMRLMESQGVHRMSLVGVSYGGFVSYSLAAQFPDAVERIVLCCAGVCLEEKDMEDGLFKVPNLDEAANILLPQTPEKLRELMRFSFVKPARGVPSCFLADYIDVMCTDYVEEKRELIHSILVGRKLSNLPKITQPALIIWGAEDQIFPVELGYRLQRHVGESAKLVIIKNAGHAVNLEKAKEFAKHLKSFLVGSFQSPSPSSTHYHLFHQDHVD >Manes.06G069500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20579779:20582175:1 gene:Manes.06G069500.v8.1 transcript:Manes.06G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNMQAKRSRRGQKGGIITMPFILVNEICDKLAVVGFGTNMLNYLTKQLHLPLTRAANTLTNFNGTASLTPLLGAFIADAYAGRFWTITFASTIYLLGMITLTISATLPQLRPPPCQPNQVCQEANGVQLGILYLSLLLKSLGSGGIRPCVAAFGADQFSGEDPKQKMTTWSFFNWYYFVMGGSILLASTVLVYVQDYVGWSWGLGIPTISMFLSIVIFVVGYPLYRILDPAGSPYTRLLQVTVAALRKRKVSMVSDPNFLYKNEELDASISADGMLNHTEQFKFFDKAAVVTEEEKLKPLERPNLWRLNTIHRVEELKSVIKMLPIMAAGILFFTASAQQHTFSLQQANTMNKHLTNSFQIPAASMSVFTSTSMLATIALYDRIFVPFLRKFTGLDRGINFLQRMAIGFAISILATLVAGFVEVKRKHMALASGLTNSNSTTFPVSVFWLVPQYSLHGISEAFMAVGHLEFFYDQAPESMRSTATALFWTANSAGNYASTLLVSLVHKFSARPDGSNWLPDDNLNMGKLENFYWLITCLQVVNFVYYLVCIKYYTFKSLQGPSIEIGEPKEEIELESQA >Manes.02G196200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16209075:16228858:1 gene:Manes.02G196200.v8.1 transcript:Manes.02G196200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNHTTTTPTSTSNGSNTAHFNHHQDLGSYFLKLARQSSPALGTPCASTMMDEEDREDEPTELNTINSSGGFLVMSTDKLSVKYTNVNLHGHDVGAVQANKPAPVKRLVYYFEIYVKNAGAKGQIAIGFTNESFKMRRQPGWEANSCGYHGDDGYLYRGQGKGEPFGPTFTAKDTVGAGINYVSQELFFTKNGAVVGAVGNEMKGSLFPTVAVHSQNEEIEVNFGQKQFAFDLKEYEAQERSKQQIAIEKISLPPNVSYGLVRSYLLHYGYEETLNSFDLASKSTVPPIYIAQENGFDEQDIMYALNQRKTLRQLIRNGDIDAAISKLRDWYPQIIQDERSATCFLLHCQKFIELVRVGSLEEAVKYGRNELAKFFELPGFDDLVQDCVALLAYEQPQVSSVGYLLEKSQREIVADTVNAMILSTNPNLKDLQGCLHSYLERLLRQLTVCCLVRRSLNGDQGESFHLHRVLNSGKKTKC >Manes.09G182000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37024968:37027215:1 gene:Manes.09G182000.v8.1 transcript:Manes.09G182000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLSEVENDYKEKPPTNNKNNDKAFTVSNVNELNLIEPANSKPSTVSSSSKLTTNNDHSSSNLTPTPPTQEFFLDRFASSHDSSTTSCRPSDLVGYFSFQKLSYKPNICFNSNSSSSEMISEFNSCMTPTILPPISSSMFQTSITVKPSISLPSDNPNSIGSCEVNGIQTWETSGFNNSGSSCSNGSSSSIELQNNTTFFDTNTFSWGLPDCGKPGEEAHLRSLENEQDIKWSEYLSTPFLIGTTAIQNQTSQPMYSEVKPETHFITEGSSTSWQQNHQHHQYHHHSQTSQPSENYAKNLQRLAVAFGQTF >Manes.13G063500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7657802:7662501:-1 gene:Manes.13G063500.v8.1 transcript:Manes.13G063500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSREGTDEFASDKLQKTQSSDSGSHTTQQIDDNDGNAGIHISQLEKEGSISSTMPGKVSQIPGTGSSSLQSGQASKTPIVREKVSEDGYHWRKYGQKLVKGNEFIRSYYKCTHPNCSVKKQLEHSQDGQIADIIYFGQHDHPKSEQNLPLAVGFVLSVKEMADEPSSTGTEEDHASHLLKSTSTSQISAVTKSENKKGELSESNKIKDEVENDDDPRSKRQKKGNRIVEPVAVDKPTSEPRLVIQTLSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPAKKHVERASHDTKMVITSYEGQHYHDMPPSRTITHNATSLSACTSNINIGESSIKSGPSDGVAQNSGDSKSSELWNEKPSVTEGSGAVVVNSSSISECRSIEQRDGESTTESKVNCAIYSAPAIASGSETHPNEQHIPNAEPVQS >Manes.13G063500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7657802:7662501:-1 gene:Manes.13G063500.v8.1 transcript:Manes.13G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSREGTDEFASDKLQKTQSSDSGSHTTQQIDDNVIHTAQDEEVTTVTPDKTLQDGNAGIHISQLEKEGSISSTMPGKVSQIPGTGSSSLQSGQASKTPIVREKVSEDGYHWRKYGQKLVKGNEFIRSYYKCTHPNCSVKKQLEHSQDGQIADIIYFGQHDHPKSEQNLPLAVGFVLSVKEMADEPSSTGTEEDHASHLLKSTSTSQISAVTKSENKKGELSESNKIKDEVENDDDPRSKRQKKGNRIVEPVAVDKPTSEPRLVIQTLSEVDIVNDGYRWRKYGQKLVKGNPNPRSYYRCSNPGCPAKKHVERASHDTKMVITSYEGQHYHDMPPSRTITHNATSLSACTSNINIGESSIKSGPSDGVAQNSSDPSGNSKEQVNSKSRSKYKGSELKGSDMVIHSRSGGDSKSSELWNEKPSVTEGSGAVVVNSSSISECRSIEQRDGESTTESKVNCAIYSAPAIASGSETHPNEQHIPNAEPVQS >Manes.05G136400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:21734794:21735724:-1 gene:Manes.05G136400.v8.1 transcript:Manes.05G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMIDLGNQRGPLPIMDAPTSVDCGREVRFRRSFRSLVECMVPCCGFQPSDSLSTDTDSTHPSTTVTGTFFGYRKGRVSFCLQDDTRSSPLLLLEFAVPTAYLAKEMQYGLLRIALECDRQKERSSSCSLFNVPVWSMYCNGRKVGFAIRRQKTVNDLGVLKMMQSVSVGAGVLPVAPKSEDGDLMYLRASFERVIGSADSESFHMINPVGSSGQELSIFFLRS >Manes.07G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7628636:7633089:-1 gene:Manes.07G053100.v8.1 transcript:Manes.07G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTNLRALQLRSLYIYYNRIRLSPLIHPTLTQALLYKWELTLHMASSSSKCSHLFLLLLLLSHTAFSGNIVTNLPGFSGDLPFKLETGYTSVGDVEFFYYFVHSESNPAADPLLLYLNGGPGCSGLNGFFYQIGPLKFDINNYTGGLPTLLYEPTAWSKTVNILFLDSPVGTGFSYATTTEAWNTTDTKSAEQAYDFLRNWLTNHSEFETNPVYIGSDSYAGIIVPILAANIFEGNAAGLKPIVNLKGLSLGCPHTDTIVETNAKIPFSHRLALISDAMYESAKDSCNQTYANVDSTHTECVEALGSITECIELINRQNVLDPNCALLSPKEKENVVSRSLRAIRGKFIQPLPRFGDLYCQNFQYLLSDIWTNYRSVQDALHVRQGMIPEFYRCNISLAYTVNVNSVLSYHQNLTTKGLQVLIFSGDHDMIIPHNGIEEWINSLDLTIDIDWRPWFTDGQVAGERVTHRRSTKERNVLTCFIDGFVTIHSRFYV >Manes.07G053100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7628636:7633089:-1 gene:Manes.07G053100.v8.1 transcript:Manes.07G053100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTNLRALQLRSLYIYYNRIRLSPLIHPTLTQALLYKWELTLHMASSSSKCSHLFLLLLLLSHTAFSGNIVTNLPGFSGDLPFKLETGYTSVGDVEFFYYFVHSESNPAADPLLLYLNGGPGCSGLNGFFYQIGPLKFDINNYTGGLPTLLYEPTAWSKTVNILFLDSPVGTGFSYATTTEAWNTTDTKSAEQAYDFLRNWLTNHSEFETNPVYIGSDSYAGIIVPILAANIFEAGLKPIVNLKGLSLGCPHTDTIVETNAKIPFSHRLALISDAMYESAKDSCNQTYANVDSTHTECVEALGSITECIELINRQNVLDPNCALLSPKEKENVVSRSLRAIRGKFIQPLPRFGDLYCQNFQYLLSDIWTNYRSVQDALHVRQGMIPEFYRCNISLAYTVNVNSVLSYHQNLTTKGLQVLIFSGDHDMIIPHNGIEEWINSLDLTIDIDWRPWFTDGQVAGYTRKYTNNGYRLTYATVKGAGHSPQEYKRKECFDMFHRWIRYYPL >Manes.07G053100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7628636:7633089:-1 gene:Manes.07G053100.v8.1 transcript:Manes.07G053100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTNLRALQLRSLYIYYNRIRLSPLIHPTLTQALLYKWELTLHMASSSSKCSHLFLLLLLLSHTAFSGNIVTNLPGFSGDLPFKLETGYTSVGDVEFFYYFVHSESNPAADPLLLYLNGGPGCSGLNGFFYQIGPLKFDINNYTGGLPTLLYEPTAWSKTVNILFLDSPVGTGFSYATTTEAWNTTDTKSAEQAYDFLRNWLTNHSEFETNPVYIGSDSYAGIIVPILAANIFEGNAAGLKPIVNLKGLSLGCPHTDTIVETNAKIPFSHRLALISDAMYESAKDSCNQTYANVDSTHTECVEALGSITECIELINRQNVLDPNCALLSPKEKENVVSRSLRAIRGKFIQPLPRFGDLYCQNFQYLLSDIWTNYRSVQDALHVRQGMIPEFYRCNISLAYTVNVNSVLSYHQNLTTKGLQVLIFSGDHDMIIPHNGIEEWINSLDLTIDIDWRPWFTDGQVAGYTRKYTNNGYRLTYATVKGAGHSPQEYKRKECFDMFHRWIRYYPL >Manes.02G055900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4417660:4421945:1 gene:Manes.02G055900.v8.1 transcript:Manes.02G055900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITTSKEVDSPRKKEGDASDGKITKASSSSTPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPMPPVNFGLNQQAIPTSSHEVGASQSNKEGFKTNNIINWKDPDELTRPNFWSSDVLLKAKSKEVARDSIHEKENWIKRNDQEDGKESNEGPSSAHQILSSSFFPKTSHSFLPGLTNNATPYGSLFQSEPPNFPLSHLGSRGFAAQTDDLHTLNAVPLPSTLSLSSGSQILVCPPGTSQPCFPSRVAAASAEIDPRQMNHFQMLNSSTQNLFPNSLTPSPYLVNQSARPFHLSVTPRLFHSHTSGSHQPE >Manes.02G055900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4419205:4423605:1 gene:Manes.02G055900.v8.1 transcript:Manes.02G055900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITTSKEVDSPRKKEGDASDGKITKASSSSTPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPMPPVNFGLNQQAIPTSSHEVGASQSNKEGFKTNNIINWKDPDELTRPNFWSSDVLLKAKSKEVARDSIHEKENWIKRNDQEDGKESNEGPSSAHQILSSSFFPKTSHSFLPGLTNNATPYGSLFQSEPPNFPLSHLGSRGFAAQTDDLHTLNAVPLPSTLSLSSGSQILVCPPGTSQPCFPSRVAAASAEIDPRQMNHFQMLNSSTQNLFPNSLTPSPYLVNQSARPFHLSVTPRLFHSHTSGSHQPE >Manes.15G018200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1555033:1556892:-1 gene:Manes.15G018200.v8.1 transcript:Manes.15G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLFQITSKIAALARSGCFVQARKLFDGMSYRDTVAWNSMLAGYSQLGLHQEALSLFHQMRISNAKPDPFTFTAALSACADSGSLLNGTKVHALVIVSGYQSSLAVNNSLIDMYGKCLNAFSAGEVFQAMDDTNEVTWCSLLFAYANSGQFNAAREIFNLMPRKVDIAWNTMIAGLGRCGEIELCLDMFKKMLQSLCEPDQWTYSALISACTESSELLCGCVLHSVIIKSGWSSAVEAKNSILSLYAKLGSLSDAMKIFESTGILTQVSWNAIIDAHMKGGNLHEAFHMFQCLPEKNTVSWTSMISGYAKNGYGEEALSFFAGMVSSHLLPDDFTFGSVLHACSNLAILGYGRMIHGSAFRRGFSTYVYVGNGLVNMYAKCGDLDGSILAFSDIYEKDLVSFNAMLFAFGLHGKAKQALQVYEDMIASGTKPDKMTFIGLLMTCSHSGLIEDGHVFFESMRSAHGLSYEADHVACMVDMLGRGGYLTEARELANKYSKTGYIEANSCEALLGACSAHSEVETGTFLGEELKVLEPDKEMSYVLQSNLYCARGLWKEAAMVRKAMIDEGLKKMPGCSWIEVRDKVTSFVAGNHFHPHMEELCKIIYFLDFEMRNPCFL >Manes.16G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1075564:1080137:1 gene:Manes.16G010400.v8.1 transcript:Manes.16G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLASIFAGGCRSFGAPVTALRTLASTHTYLFNKHQNNNSLAWFSLSSRKGTFSYASSGAEVTCTTTSSSANGYLDYDRLLPCPSHNRPPRVEHLVVLEEGPVLDYICKALNLPHLFVADLIHFGAVHYALVCPQPPPTATPEQVSLFKKFTAPSVLKKRASIKGKTVREAQKTFRITHVDQFLEAGSYLRVHVHPKRFPRCYEIDWKSRIIAVTESYVVLDKPAGTSVGGTTDNIEESCATFATRALGLPTPLKTTHQIDNCTEGCVVLARTKEYCSVFHGKIREKKVKKLYLALAASPVPVGVITHYMRPVNIAPRIVSEEFIKGWNLCQLEVLECKKVPWPDAITEETYSVEDCGWPSKDYAYECKINLLTGRTHQIRAQLAACGAPLVGDSMYMPAAIAEIASPGVNPFGKFQKQCTSEEDQSLATAEWVLQHGKEPSVAIGLQACQISWDDGKHIYNAGSPWWRRLT >Manes.11G004600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:548081:552239:-1 gene:Manes.11G004600.v8.1 transcript:Manes.11G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALIGGSFLSAFLQLLFDRMASPEVVDFFKGQKLDDRLLKKLNITLNSIVGVLDDAEEKQITKPAVKNWLTDLKDAAYEADDLLDEIASGALQSKHEVVSPRKKVRNFFSSRNPFKKGVVTQLEEILERLEYLVKQKDAFGLREGIGEKPSYKIPTTSLVDESGTYGRDDDKEAIVKLLLSDDGNGNSTGVIPIVGMGGLGKTTLAQLVYSDERVAECFQLKSWVCVSEEFDVSKVTKDILEGVTRKKCDTHILNQLQLELEEVLMNKKFLLILDDVWNDNYADWDILRMPLKSGAKGSKIIVTTRNESVALVMRTVPTHHLKELNADHCWSLFAKHAFDDGNPNALHDLEAIGREIVRKCKGLPLAAKTMGGLLRSKTDVEEWEKVLNSKLWNLTSDNIFPALRLSYHYLPSHLKQCFAYCAMFPKDYNFKKQELVFLWMAEGLLVQSQGNKELEEVGEEYFNILVSRTLFQLSTGNQSGFVMHDLIHDLAILVSGEFSFRLEADNSYKIARIVRHLSYTRTKHDAADKFEAIYEAKFLRTLFPVELSRLPPHKCIDNEVMQNLLLTFGRLRVLSLSQYDNIIELPNSIGNLRHLRYLDLSSTSIERLPEVVSSLYNLQTLILHECKYLAVLPDSIGNLSNVRYLNLFRTSIRRLPESMVGLCNLRTLILQGCRNLVQLPTNMGRLICLNHLDIGETKLQEMPLKMGRLTKLQTLTDFVLGKQSGSNIKELGELQDLQGQMCIRNLQNIVDIQDALEANLKAKRHLKVLTFRWNGETENPEHDREVLEQLHPQINLECLYIFGYGGTEFPSWVGFSSFSNIVCIELNGCRNCTSIPPLGQLASLKQLSIIDFPRLVVIGPEFYGSCLSMQKPFGSLEILSFERMLQWHELVSFKGGAFPLLQELYVRECPNLRKVVLSDHLPSLTTLKVVGCQKLLVASLPSAPRINEMRLYDDSRDWLVEKLSSGMHRLTIGTFQSLDTLYSILEVITEMEIEIRIGWMMKAGSLQLKRLPSGLHRLTVRGFQSIDALNLLMEQVVTLSVTVDEIEIEECDALRFLRLNSSYQWTSKIRYPYLT >Manes.01G235300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39802924:39806297:-1 gene:Manes.01G235300.v8.1 transcript:Manes.01G235300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGWQRPLHPLQIVGMAVYSFLVVSFYTFLGLFLGNRIAEITVTAIYSFVALSVMFLFIRCTAIDPTDKTSFKKKKKAKSNGPPNFNYGFIMSQLVVRFFRRLERKILRTFIRRKYLDPLKTSAQMEPLLPFPLVLKDDSIAPDPKDDDISYCSLCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFILLMLFVLLMLIIEGGTAIAIFIRCFADKKGIERELQRKLHLEFPRGVLATISVLLVLMTAYSSAAMGQLFLFHVVLIRKGMRTYDYILAMKENHQSMELDPFDDSDLSSDSDFDSPEKSTFVSRFICRGHRGNQNPTKLSIRIDKDPELSNLNKKQGFYVSINPWKLIKLSKEKALVAAEKARERLMKQKPMVQQDSLKPLPLETKCGPLTNPDMNITNVGSGSGSGSTPLISKGRTPGSPGRFSSPRRRFSGSPAMFSSVVPSPKQKYRSTFDLKLTEVSKELETYISRQVLCSVIKKDACEASPR >Manes.01G228300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39338406:39348010:-1 gene:Manes.01G228300.v8.1 transcript:Manes.01G228300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVIYMTRAHPVSVPYHLFLPCLPLCRSSLIAKQSTVAMGSKSSDSASKLKKSHGKQSNEGIGNLPYKLNQLKKQIQAERIVSIKEKVEKNRRKLESDVSQLILAAASRGNELFMGQNGVAKINFSRIGSPLCKYSGFPHGSGDKDYINSHEVIPPISTKFPFLEKIPPYTAWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDIVEPEEEKHDFSEGEDRMLWMVFQEHGLDEEVLNIVSQFIGVSTSEIQERCSMLKEKFDEEQIDKDSGDSASVRGISLEKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLIHSSEKQPYWSEYEDDRKPCSDQCCLRLRVVKDLPEGSVNNPLHQMKPVNLEGKKETAGDVNAEAPRGANGDRELARDDSHSSEKEISVTSGPICHPELASGASNSDTPAVVMENPEHTKKRKVSEDINDENDESTQVPDVHDFANKKQKKPGALDVVTNDVEDNPSLDDVAGTESTSAKSEVQLPTNSTTNDPSKHASKDLICLGTKEKIRDEANNITGVPELNQSSSTERQLEGVLSGCEWKPIEKELYLKGVEIFGKNSCLIARNLLSGLKTCIEVSNYMCDGGATTSHRSVAPSSILEDNGKPDTDYMEQEMPTRSRLLRRRGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQSMCGKQCPCLHNETCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIDATEELFYDYRYGPDQAPAWARKPEGSKRDDSTVSQGRAKKHQSH >Manes.04G097500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30245713:30247617:1 gene:Manes.04G097500.v8.1 transcript:Manes.04G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENVSDLDFADDFCISALFVLDSEEHQEGVVSFPDDIFAEALQLQEALMKSVINSQMKMTNPSSVLMIEAPPEQNLQESGQSSSSFCEICAETKESNQMFATERCAHSYCYDCITKHVATKIQDSITKFTCPGLNCKAVLELETCRVKLSKGVIDRWEEALCEELISASQRFYCPFKDCSAMMVADSEGESITEAECPFCHRLFCARCHVPWHSGVECEVFQKLNEDERGREDLMVMEIAKEKKWSRCPNCKFYVERTEGCPHITCRCSFQFCYGCESEWTETHGGCQRE >Manes.11G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3358775:3369752:-1 gene:Manes.11G034400.v8.1 transcript:Manes.11G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSGARRGRRAAAKQQAKPTVSGDAIATRTRRRQAEAAAAGAAPENNNINNDNDKNQQQQPVDENVVAVAAVVAAAAATVAVNHGVNRGVEGGAAVAGIGAIGGGEEKKEEVGEKLMDDYGSGGKSNDKANAGEDEGSTAPLPEKVQVGGSPLYKLEKRLGKGGFGQVYVGRRLSPVASNDRTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYSFLGGSHGIPRVHYKGRQGDYFIMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATRWRDTSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPECLCCFCPQPFKQFVEYVVNLKFDEEPNYAKCVSLFDGIVGPNPDIRPINTEGAQKLIYQVGHKRGRLSVEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADARLAQHIEKGNEDGLFISSVASCQNLWALIMDAGTGYTAQIYELSPHFLHKDWIMEHWEKNYYISAIAGAANGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWKEGFHVTAMATSGSRWGVVMSRGAGFAHQVVELDFLYPSEGIHRRWDHGYRITATAATCDQAAFVLSVPRRKPPDETQETLRTSAFPSTHVKEKWAKNLYIASMCYGRTVS >Manes.11G034400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3358775:3369753:-1 gene:Manes.11G034400.v8.1 transcript:Manes.11G034400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSGARRGRRAAAKQQAKPTVSGDAIATRTRRRQAEAAAAGAAPENNNINNDNDKNQQQQPVDENVVAVAAVVAAAAATVAVNHGVNRGVEGGAAVAGIGAIGGGEEKKEEVGEKLMDDYGSGGKSNDKANAGEDEGSTAPLPEKVQVGGSPLYKLEKRLGKGGFGQVYVGRRLSPVASNDRTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYSFLGGSHGIPRVHYKGRQGDYFIMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATRWRDTSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPECLCCFCPQPFKQFVEYVVNLKFDEEPNYAKCVSLFDGIVGPNPDIRPINTEGAQKVGHKRGRLSVEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADARLAQHIEKGNEDGLFISSVASCQNLWALIMDAGTGYTAQIYELSPHFLHKDWIMEHWEKNYYISAIAGAANGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWKEGFHVTAMATSGSRWGVVMSRGAGFAHQVVELDFLYPSEGIHRRWDHGYRITATAATCDQAAFVLSVPRRKPPDETQETLRTSAFPSTHVKEKWAKNLYIASMCYGRTVS >Manes.10G072000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11718591:11723539:-1 gene:Manes.10G072000.v8.1 transcript:Manes.10G072000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDQKETSIVSRDDVQNGEFLQSQSIIYCSKKLQDDLHLLGLKIKQHENNVKFLKNEKNKLEDSILDLQVVLGKYHSATQPNIENDHHPSNQSEEKTVEQILRHEKSAAGIICQLRLRHGTHASHLSLTKDVLGIVATLGRVDDVNLSRILSEYLGVETMLAIVCKTYEGVRALETYEEEGHINNGSGLHGLGASIGRALGGRYLVICLENLRPFSGEFVADDPQRRLDLLKPKLPNGECPPGFVGFAVNMINVDCTNLFYVTSSGHGLRETLFYKLFSRLQVYKSREDMFHALPCISDGAISLDGGMIKATGLFSLGNWNDVDVRFPKPSVASNIPDNYSETEKQLTEMKWKKDKMLDDIKREQALLNAAKYNFERKKEEFVKFLAESSAYATQHQMQA >Manes.10G072000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11718591:11723539:-1 gene:Manes.10G072000.v8.1 transcript:Manes.10G072000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDQKETSIVSRDDVQNGEFLQSQSIIYCSKKLQDDLHLLGLKIKQHENNVKFLKNEKNKLEDSILDLQVVLGKYHSATQPNIENDHHPSNQSEEKTVEQILRHEKSAAGIICQLRLRHGTHASHLSLTKDVLGIVATLGRVDDVNLSRILSEYLGVETMLAIVCKTYEGVRALETYEEEGHINNGSGLHGLGASIGRALGGRYLVICLENLRPFSGEFVADDPQRRLDLLKPKLPNGECPPGFVGFAVNMINVDCTNLFYVTSSGHGLRETLFYKLFSRLQVYKSREDMFHALPCISDGAISLDGGMIKATGLFSLGNWNDVDVRFPKPSVASNIPDNYSETEKQLTEMKWKKDKMLDDIKREQALLNAAKYNFERKKEEFVKFLAESSAYATQHQMQA >Manes.14G021700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2121119:2121658:1 gene:Manes.14G021700.v8.1 transcript:Manes.14G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVCSHRSSPPYLPKPSPCPWNSKAPTLLPTSLQSNPSRTRKLQAHAKGFTSRKPPRMEERTTHNDIKQNTNSDDDDEIPEEVVYRVIKRILVSVGAPMALGLALLKFFGTVKEQGIWDVPLWLPFTTTFLTFGTSALGIAYGALSTSLDPNEKGSLLGIEEVQQNWVEMWKEEDES >Manes.01G124400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31983510:31985975:1 gene:Manes.01G124400.v8.1 transcript:Manes.01G124400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPKCNANYVPLTPLTFLKRAADVYANRTSVIYEGTRFTWRQTYHRCRRLAASLHSLNIVKNDVVSVLAPNIPAMYEMHFAVPMAGAVLNAINTRLDAKTIATILRHSEAKVFFVDYQFVPLAREALRLLMGDHSQDFVSFESSFPLVIVIDDIDSPTGVRLGELEYEQLVHKGNPNYVVEDIQDEWDPIALNYTSGTTSEPKGVVYSHRGAYLSTLSLILGWEMGTEPVYLWTLPMFHCNGWTFTWGIAARGGTNVCLRNITSYDMYRNISLHKVTHMCCAPIVFNILLEAKPHERSQITPPVQVLTGGAPPPASLLEKIEPLGFHVTHAYGLTEATGPALVCEWQAKWNQLPRGAQAKLKARQGISILTLADVDVKDLKTMNSVSRDGKSIGEIVLRGSSIMKGYFKDPNATSKALKNGWFATGDVGVVHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPRVLEAAVVAMPHPLWGESPCAFVAIKKNADGKTDDVKESDIIAYCRKNLPRFMVPKKVEFLPELPKTATGKIQKFQLRTLAKTFEVSKSRVVNQNLPSKKNGQSNQSNTEIPRCTAQPHEHVLAMSRL >Manes.12G062634.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6039811:6041017:-1 gene:Manes.12G062634.v8.1 transcript:Manes.12G062634.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMESLPREIMLNILSKLPTPSLLNAKLVSRSWKNLAEDPILIDLHFTHMASNNTNPCLILHGDHPIQNQLYALYLYPHNNNVGLVKSISSPPVPDFNVAASCNGWLCLSNSSKNTFHLYNPFNSDFMELPKSAHDQSSDFCTVLGFGFQAETKEYKILKLSRVSGNVRGHRICGYGPPPNAEILTLGSLTWRSLGQINYDPVQSASQVMVNGRLHWVNWPLRHHHNHRLISFDLSEEKFRLVPCPNSAAGFEGHGYHRIKLVTREGCLSVVSNINYGSFEIWVMKEYGVRQSWRKEFNISSEIPRELEEEVDPAFKISRLYRRSFTRVVCSMKNGEILLQYKCRTLVAYDPRHGTFTNIKIPGMPNMFEAVAHAGNLNGIDRLLTGL >Manes.09G051200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8588993:8599246:1 gene:Manes.09G051200.v8.1 transcript:Manes.09G051200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTKDGRQIHLHQTKTNQETNDSPHPLQVNLNFGIYALCTRNFQVFVISSAHFVPIRFPTPITQFQAEKKVSVSSPTGLYKEKFFTQLLDHFNFNPQSYQTFQQRYLINDTFWGGPEKNAPIFLYTGNEGDIEWFAQNTGFMFDNAPRFKALLVFIEHRFYGESMPFGGDKDVAYSNASTLGYLSSTQALADYATLVTDLKNNLSATDSPVVAFGGSYGGMLAAWFRLKYPHVAIGALASSAPILGFVNLTSPYSFTRIITKDFRSESENCYQVIKASWQQIEDMANQKGGLEKLRKSFKICKNYISGGALLSWLSTAWVYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPVTGNDTLAKLSGAASVYYNYTGTATCFDLNDTSDPHGLGGWSWQACTEMILPTGGSDEESIFPASNWNYSQRPSSCLGVEPRPDWIPTEFGGHNIKRVLKRFGSNIIFFNGLRDPWSGGGVVSDISKSIVTIVAEKGAHHVDLRFATSEDPTWLRQVRQREVTIIAQWLSEY >Manes.09G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8588993:8599246:1 gene:Manes.09G051200.v8.1 transcript:Manes.09G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSMSLWFLLLFSLQVFVISSAHFVPIRFPTPITQFQAEKKVSVSSPTGLYKEKFFTQLLDHFNFNPQSYQTFQQRYLINDTFWGGPEKNAPIFLYTGNEGDIEWFAQNTGFMFDNAPRFKALLVFIEHRFYGESMPFGGDKDVAYSNASTLGYLSSTQALADYATLVTDLKNNLSATDSPVVAFGGSYGGMLAAWFRLKYPHVAIGALASSAPILGFVNLTSPYSFTRIITKDFRSESENCYQVIKASWQQIEDMANQKGGLEKLRKSFKICKNYISGGALLSWLSTAWVYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPVTGNDTLAKLSGAASVYYNYTGTATCFDLNDTSDPHGLGGWSWQACTEMILPTGGSDEESIFPASNWNYSQRPSSCLGVEPRPDWIPTEFGGHNIKRVLKRFGSNIIFFNGLRDPWSGGGVVSDISKSIVTIVAEKGAHHVDLRFATSEDPTWLRQVRQREVTIIAQWLSEY >Manes.09G051200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8588993:8599246:1 gene:Manes.09G051200.v8.1 transcript:Manes.09G051200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTKDGRQIHLHQTKTNQETNDSPHPLQVNLNFGIYALCTRNFQFQAEKKVSVSSPTGLYKEKFFTQLLDHFNFNPQSYQTFQQRYLINDTFWGGPEKNAPIFLYTGNEGDIEWFAQNTGFMFDNAPRFKALLVFIEHRFYGESMPFGGDKDVAYSNASTLGYLSSTQALADYATLVTDLKNNLSATDSPVVAFGGSYGGMLAAWFRLKYPHVAIGALASSAPILGFVNLTSPYSFTRIITKDFRSESENCYQVIKASWQQIEDMANQKGGLEKLRKSFKICKNYISGGALLSWLSTAWVYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPVTGNDTLAKLSGAASVYYNYTGTATCFDLNDTSDPHGLGGWSWQACTEMILPTGGSDEESIFPASNWNYSQRPSSCLGVEPRPDWIPTEFGGHNIKRVLKRFGSNIIFFNGLRDPWSGGGVVSDISKSIVTIVAEKGAHHVDLRFATSEDPTWLRQVRQREVTIIAQWLSEY >Manes.S028916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:582589:583401:-1 gene:Manes.S028916.v8.1 transcript:Manes.S028916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.02G087300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6900859:6904083:-1 gene:Manes.02G087300.v8.1 transcript:Manes.02G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETLPPNSPGLAVLPTESIRSFLLSASKEPRLSHQLRDLASNLISQNHIHYGSLRSIWIASDPSTRPQLISLFSGSEFIFTSPKPREKSEELKARLRKLQELAEKNAYLELVKDITPRKVENEPFSSYKDQLGFGLHVALTMFTGYLVGYAAFRALFGHSPAMSAAGGILGIVCGMLVETLLFIIRTSNRDLKSSTATSTLKKNQ >Manes.02G087300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6900859:6904083:-1 gene:Manes.02G087300.v8.1 transcript:Manes.02G087300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETLPPNSPGLAVLPTESIRSFLLSASKEPRLSHQLRDLASNLISQNHIHYGSLRSIWIASDPSTRPQLISLFSGSEFIFTSPKPREKSEELKARLRKLQELAEKNAYLELVKDITPRKVENEPFSSYKDQLGFGLHVALTMFTGYLVGYAAFRALFGHSPAMVRGRRICA >Manes.05G006821.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:463875:464618:1 gene:Manes.05G006821.v8.1 transcript:Manes.05G006821.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPPEPPSPRRPTIFHRASPLHRHHRNCSKTFIISPPPNQDDHKIILYFTSLGIVRKTFEDCRTVRSILRGFHVPIDERDLSMDARYLDEIQMIAASKKVRLPAIFLGGKYVGGAEEIKDMNESGELSKLIGGLPFVGNNIKIKFNSLCDVCGGLRYVLCAQCNGSHKIYSEKHGFRTCTSCNVNGLIKCGLCYPVQYKRSD >Manes.04G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27772496:27776528:1 gene:Manes.04G073000.v8.1 transcript:Manes.04G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQKSSTFFAATKWLGFVTAVWVQAISGNNYTFSNYSDALKTLMNLTQLQLNGLSVAKDVGKAFGLLAGLASDRLPTPVILLIGSIEGLIGYGAQWFVVSKRIQPLAYWQMCIFLCLGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCSALFSDNPASFLLMLAIIPFFICLTAILFLREIPPAATAEEEKEEFKYFSVFNVVAIVVAVYLLVYDFISNPSRSFSLVFSIILLFLLASPLAVPVYSFVKSWNLKRLKNKPADVEEHIDEPLLSEEIEEDIDIQQKPSEEAALAVVAAQPPADEVAEMRKKPVIGEDHTIFEAMTKLDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYADVSIFVSLTSIWGFFGRIGSGSISEFFIKKAGTPRPLWNAASQILMAVGYILMASAMPGSLYIGSIVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGFLYDAEATPTAGGGNTCTGAHCYRLVFIIMAIACFIGFGLDVLLAIRTKKLYSKIQANKRSKKFSATSNN >Manes.01G029600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5937934:5940550:-1 gene:Manes.01G029600.v8.1 transcript:Manes.01G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKLLLLSSLLIFFLSRFNSVAAEDVQLTVKGLTSIAKTEDTFICATLDWWPSSKCDYGQCPWGRAGILNLNLKNKILVNAIKAFGNLRIRVGGSLEDQVVYKVGNAIKKFPHFKKSDKGMFGFSKGTLTMKRWDELNQLFNQTGVKLTFGLNALIGKLKSNDSNLWLRDWNPRNAHDLMKYTISKGYKIDSYELGNELSASGVSARLDPNQYAKDIIVLKKLIDKLYPDPETRPGVLGPAGFYEEKWFNKFFQATGPNIVEGVTHHIYNLGPGVDSTLIYKVQDPYYLDQIAETYKDLSSNIKEFAPWAGAWVGEAGGAYNSGGKDVSHTFANGFWYLDQLGMTSSFNHKVFCRQALIGGNYGLLNTTSFIPNPDYYGALLWHRLMGKEVLGASHNTTPYLRAYSHCSKNKPGIALLLINMSNQTSFSVSVLNDDSPYSDNYKLMNYKYGGIDKREEYHLTPKDGNIQSDVVLLNGTPLKLTTSLEIPEMKPVIVDPSSPITVVPDSIVYVTIKDFKAPACA >Manes.S038716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1079612:1079770:-1 gene:Manes.S038716.v8.1 transcript:Manes.S038716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.10G082900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19924688:19938279:1 gene:Manes.10G082900.v8.1 transcript:Manes.10G082900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASKWLRFSAGELILRNCSYKKPLLLTTCFCSPPAHECLLLQRSISSSSSGICGSYSTYLPWKNPRSRTSSAGTLNVKNFLSDSGFFSAPSKPPSAMLNGRVLFSSSAPRKPNGNQDHGGKSSITTAKSEEKQVADMKILRTLVSYLWMKDNYEFRLRVITAFAFLVGAKVLNVQVPFLFKLVVDWLTTATGNAPALASFTTANSTLIALFATPASVLIGYGIARCGASAFNELRTAVFSKVALRTIRQVSRKVFPHLHDLDLRFHLSRETGAPNRIIDRGSRGINFILSSMVLNVVPTILEISMVSGILAYKFGAPFAWITSLSVAAYVAFTLFVTQKRKKIEIWRKFAEKKLKNRFGRSDLAKSLTES >Manes.15G158400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13084042:13090189:-1 gene:Manes.15G158400.v8.1 transcript:Manes.15G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSVKQVVPVDCEAEVSQRLLEASLSGDLKSALECIADSFVDINFVGAVSLKCRKSVVVLRDESPCEVCVDYEEFKTDVTALFIAVHVGNVALVKKLLSTGADVNQKLFRGFATTAAVREGRLEILEILLKAGASQPACEEALLEASCHGQARLVELLMCSDLIRPHVAVHALVTACCRGFADIVDTLIKHGVDVNATDRLLLLSSKPSLHTNVDCTALVAAVVSRQVAVVHMLLKNGARTDVKVKLGAWSWDTTTGEEFRVGAGLAEPYAIAWCAVEYFETTGAILHMLLQHLSPNITLNGRTLLHHAILCGNAGAVNVLLSSGANVEFSVKSRKTEFRPIHMAARLGLPTILKCLINSGCDINSRTDAGDTALMTSAKYRQEESLRVLAVAGADFGLLNVAGRSVCSVAEKNRWFLGFQKTVLDVIRAGMIPTSSNTSMFSPLTFVTQAGDIEALKVLLNCGEIDLDYQDNDGFSAVMFAALKGHVEAFRLLVYAGADVKLCNKAGETALTLSKMNQNHDLFEKVMLEFALEKGNRNACGFYALHCAARRGDLDAVKLLTSRGYDVNLPDGDGYTPLMLAAKEGHGSTCELLISYGAECEFMNFRGETALSLARKYGGMTNGAVNAILDELARELVLGGSHVQKHRKRGKGAPHGKELIMIGSTGVLRWGMSNRRNVICREVELGPSPVFQKNRKNKGDANAPGIFRVLTTKNKELHFECNGGLEMAELWVRGIKLVTRTAI >Manes.S051616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1761083:1761457:-1 gene:Manes.S051616.v8.1 transcript:Manes.S051616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.18G041700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3689771:3691885:1 gene:Manes.18G041700.v8.1 transcript:Manes.18G041700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAIAVHGGAGVDPNLPQQRQEEAKQLLTRCLNLGISALRSNLPAIDVVELVVRELESDPLFNSGRGSALTEKGTVEMEASIMDGPNRRCGAVSGLTTVKNPISLARLVMEKSPHSYLAFSGAEEFARKQGVELEQNDYFITEDNVGMLKLAKEANSILFDYRIPLKTCSAGAAAAMESPLQMNGLPISVYAPETVGCVAVDMEGRCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDLCGVSCTGEGEAIIRATLARDVAAVMEYKGLGLQEAVNFVINERLDGGKAGLIAVSRNGEVACRFNTNGMFRGCATEDGFMEVGIWD >Manes.03G044500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3895969:3902719:1 gene:Manes.03G044500.v8.1 transcript:Manes.03G044500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPATPASKIQRTPSSTPGGSRVREEKILVTVRIRPLSRREQALYDLIAWDCPDDHTIVFKNPNHEKPSTPYTFDKVFDPTCSSQRVYEEGAKNVALSALMGINATIFAYGQTSSGKTYTMRGITESAVKDIYEHIKNTQEREFIMKISALEIYNETVIDLLNRESGTLRLLDDPEKGTIVEKLVEEIVKDSQHLRHLIGICEAQRQVGETALNDKSSRSHQIIRLTIESTLRENSGRLKSFLASLNLVDLAGSERVSQTNADGTRLKEGSHINRSLLTLTTVIRKLSGGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTMSPALSHVEQTRNTLSFATNAKEVTNNAQVNMVVSDKNLVKHLQKEVARLEAELRSPEPSAACLKTLLMEKNLKIEQMEREMKELKRQRDIAQSQLELERKTHKEQKVQSNQCGPSSQVVRCLSFPVQDEPCKGEHTPETRQRNIAGRQAMIRQSITSTDPSMLVHEIRKLEQLQRKLGEEANRALEVLHKEVSSHRLGSQATTETIAKMLSEIKDMQVVNSVPEEIVIGDKANLKEEITRLNSQENTIASLERKLDNVQKSIDMLVSSFPSNEETPDFKSQLKKKKSFPFALSNSSNMQNIIRSPCSPLTSSRGVVNNEIENRVPESSNILSTGNTLPRPSEVTPLKSDKSGSCTSSREGTPTLRSNSVNVKKMQRMFKNAAEENIQSIRNYVTELKERVAKLQYQKQLLVCQVLELEANEAATVEADATEQSPMAWHLLFEDQRKQIVMLWHLCHVSIIHRTQFFLLFKGDPSDQIYMEVELRRLSWLEQHLSELGNASPALLGDEPAGSVSSSIRALKQEREYLAKRVSSKLTAEEREMLYAKWEIPPVGKQRRLQLVNKLWTDPLNMKHIEESAEIVAKLVGFCETSEHFSKEMFELNFVNPCDKRTWMGWNLISNFLHL >Manes.03G044500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3895969:3902719:1 gene:Manes.03G044500.v8.1 transcript:Manes.03G044500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTPATPASKIQRTPSSTPGGSRVREEKILVTVRIRPLSRREQALYDLIAWDCPDDHTIVFKNPNHEKPSTPYTFATIFAYGQTSSGKTYTMRGITESAVKDIYEHIKNTQEREFIMKISALEIYNETVIDLLNRESGTLRLLDDPEKGTIVEKLVEEIVKDSQHLRHLIGICEAQRQVGETALNDKSSRSHQIIRLTIESTLRENSGRLKSFLASLNLVDLAGSERVSQTNADGTRLKEGSHINRSLLTLTTVIRKLSGGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTMSPALSHVEQTRNTLSFATNAKEVTNNAQVNMVVSDKNLVKHLQKEVARLEAELRSPEPSAACLKTLLMEKNLKIEQMEREMKELKRQRDIAQSQLELERKTHKEQKVQSNQCGPSSQVVRCLSFPVQDEPCKGEHTPETRQRNIAGRQAMIRQSITSTDPSMLVHEIRKLEQLQRKLGEEANRALEVLHKEVSSHRLGSQATTETIAKMLSEIKDMQVVNSVPEEIVIGDKANLKEEITRLNSQENTIASLERKLDNVQKSIDMLVSSFPSNEETPDFKSQLKKKKSFPFALSNSSNMQNIIRSPCSPLTSSRGVVNNEIENRVPESSNILSTGNTLPRPSEVTPLKSDKSGSCTSSREGTPTLRSNSVNVKKMQRMFKNAAEENIQSIRNYVTELKERVAKLQYQKQLLVCQVLELEANEAATVEADATEQSPMAWHLLFEDQRKQIVMLWHLCHVSIIHRTQFFLLFKGDPSDQIYMEVELRRLSWLEQHLSELGNASPALLGDEPAGSVSSSIRALKQEREYLAKRVSSKLTAEEREMLYAKWEIPPVGKQRRLQLVNKLWTDPLNMKHIEESAEIVAKLVGFCETSEHFSKEMFELNFVNPCDKRTWMGWNLISNFLHL >Manes.10G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7202097:7215059:-1 gene:Manes.10G055800.v8.1 transcript:Manes.10G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVDETAKEVSNLSMDVEPSASEGETISKNARKKELKNKQREEERRRKEEEKAKQAAERASSKVQKSAAADDEDMDPTQYYENRLRYLASQKAEGGNPYPHKFHVSMSVVEYIEKYGSLSNGEHIEDVTESLAGRIMKKRSSSSKLFFYDLHGGDVKVQVMADASKSGMDEAEFSRFHSSVKRGDIVGVIGFPGKTKRGELSIFPRSFTVLSHCLHMMPREKPGHNANRTDIWAPGLARNPEAYILKDQETRYRQRYLDLMLNAEVRQLFKARSKIISYLRGFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTERLLSGMVKELTGGYKIKYHANGLDNDPIEVDFTPPFRRIDMIEELEKMANLNIPKDLSSDEANKYLVDVCGRFEVKCPPPQTTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRLKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFTEQLKDRQSGDDEAMAYDETFCTALEFGLPPTGGWGMGIDRLAMLLTDSQNIKEVLLFPSMKPQDESPAKESALLMHQKAP >Manes.06G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1017049:1023275:1 gene:Manes.06G005800.v8.1 transcript:Manes.06G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLTSYRLALSLLILSLLPLSLLARPFVLVLSQDDIRDGSTPGDSDADSTSESPPEWDEFGDSDSKPEHELDPGSWRPIFEPDSSAPDTTEDSMLAEYYSVVQKMMWAVSGGEVRMMEEAAAEIEAAAVVGNPHAQSVLGFLSGLGQMKERNKAKAFLYHHFAAEGGNMQSKMALAYTYSRQDMYDKAVKLYAELAEVAVNSFLISKDSPVIEPVRIHNGAEENKEALRKSRGEEDEDFQILEYQAQKGNAGAMYKIGLFYYFGLRGLRRDHAKALSWFSKAVKKGEPRSMELLGEIYARGAGVERNYTKALEWLTLASKQQLYSAYNGMGYLYVKGYGVEKKNYTKAKEYFEKAADNEEAGGHYNLGVMYLKGIGVKRDVKLACKYFIVAANAGQPKAFYQLAKMFHTGVGLKKDLVMATALYKLVAERGPWSTLSRWALESYLKGDVGKALLLYSRMAELGYEIAQSNAAWILDKFGERSMCMGESGFCTDAERHQRAHSFWWQASEQGNEHAALLIGDAYYYGRGTERDYERAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALEIDPAAKLPVTLALSSLWIRRNYADSFLVDLIDSLPGVYPKVEEWVENVLMEEGNATILTLFACLLTVLYLRERQRRHVAGVGGDVGVPPQQQFEHGAAVPR >Manes.03G043600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3798825:3799697:1 gene:Manes.03G043600.v8.1 transcript:Manes.03G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFFSLFLLLSLISYPPSNAAANFRQNAHQDLVRSSCRHASYPNLCIHTLSSYSGPANTPRDLAQAAVKISLARAHRVSKYLRNVSGLKSKRERGAVRDCVEQISDSVDELRSTLSELKHLRGETFGWQISNAETWVSAALTNEDTCLDGFEGVESKVKNDVKRKMRNMARVTSNALYMINQLDESRRRPKSDDP >Manes.03G201100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31705985:31710866:-1 gene:Manes.03G201100.v8.1 transcript:Manes.03G201100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSDLLFFLLFTVLPSAISQSNTAAPAPAASDCNGIFLSYLYEGGTQLKPTDPTHQPYRFESTLSIQNNGLDELKSWKVFVGFKNDEFLVSASNAILADGTSLPANVGNGTVFAGYPMTDLKTPIATAGDSTQTSVQIKLLGTQFGVPLKDVPWPSNITLANDGFVCPKTTTEGSMMYVCCTKDPKFKSNITVVEEFLPRQSGDLTIMYDVIRTYDSNYWAQVSIENHNPLGRLDNWKLSWDWMMDEFIYTMKGAYPYVVDSSDCIFGPQGTFYKELDFANVLNCERRPTIIDLPPTKYNDTTLGLKPFCCRNGTILPPSMDPSKSISVFQLQVFKMPPVLNRSDLTPPQNWKINGTLNPDYQCGPPVRVSPSQFPDPSGLPSNSTAFASWQVVCNITHPKGVSPRCCVSFSAYYNDSVVPCNTCACGCPRNTARTCSTTAPAVLLPPEALLIPFDNRTAMSRAWAGLKHRMLPNPMPCGDNCGVSINWHVYTDYTRGWSARITVFNWDEIAFPDWFAAVQLDKAATGFEAMYSFNGSMVEGVNNTIFMQGLPGLNYLVAETDGADPQRNPRVPGKQQSVISFTKKSTPGLNVAAGDGFPTKVFFNGEECSLPKIYPTSNSNRKGPAMILWILLATLVFI >Manes.08G108700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34808963:34811164:1 gene:Manes.08G108700.v8.1 transcript:Manes.08G108700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCGKEFQDTPVARKRHLQSSSHHRAKSLWYNSFKSTDPNQAYIDGGAGFAKGVCNRFVKSGFCPYGDSCKYLHPTSSNNLQTNGSLSQGDMLRDSMGMPWGNLPPSLQPPPEGGYPPFPFVDWG >Manes.08G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34808963:34811164:1 gene:Manes.08G108700.v8.1 transcript:Manes.08G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCGKEFQDTPVARKRHLQSSSHHRAKSLWYNSFKSTDPNQAYIDGGAGFAKGVCNRFVKSGFCPYGDSCKYLHPTSSNNLQTNGSLSQATGLMNNVQSPVILGNQLVGGISLPGDMLRDSMGMPWGNLPPSLQPPPEGGYPPFPFVDWG >Manes.08G108700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34808963:34811164:1 gene:Manes.08G108700.v8.1 transcript:Manes.08G108700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCGKEFQDTPVARKRHLQSSSHHRAKSLWYNSFKSTDPNQAYIDGGAGFAKGVCNRFVKSGFCPYGDSCKYLHPTSSNNLQTNGSLSQGLMNNVQSPVILGNQLVGGISLPGDMLRDSMGMPWGNLPPSLQPPPEGGYPPFPFVDWG >Manes.11G122832.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28414779:28418356:-1 gene:Manes.11G122832.v8.1 transcript:Manes.11G122832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIILSVLGKISNLLIQESDSLLGVEDQIQCIETQLRKDADISDHFSGKTAFIETVYDLEDVIDQLIIKSAQRRIRYACIRSVMAFVHLPMSLFYILALVDLLDCYRLREKLEQIKITNSKGHGFILQSGYWHKSFGIYEVGIGYSVISPVMGLFEALATQQELRPDVRRQARRLRDEFRYLQDFLKDVEQSKELSEAGMAWMEELCDVCRSAENVVGFFMQQMKNGRRGPFQNLVWAPRHVISQHKLFRQMARINDKIRDLSGRRHKAIAMLRSDNFKSLYQKRKPHPLDADQLDIVSFHEDVDAVVAQLLKDDPRCINISIVGVRGVGKTSLAKLIYESQTIVDHFPHRIWVSRATGVDIIHRILGIEQSIFYGYPWPEKYVYELRQAVNDFFLDEKHLIVVDDLCLKEACNPIEFLRNMGRVFNDISNGTRLLFTVSNFRQAPPVTETSLTYRLHLRSHDESWALFRHTLKVNIFPEMENLKGHIIRKCGGLPWVIVKLSELLSQKDATLKEWSKVLDRLNQDQEPWLEILDEINKHLPLYLRRCLFYFGLFPAGFKIPARRLIALWVAEGLGRQQSNEQSPEYVAEPCLIELMNYNMVQVTEKKLNGKVKKCCLPEALLVHWFSKAKEANFLQGHSDVSNTNIGVIRRLADHLQQIHGYSNASLYSRYRDVVSFLSFDTQEESRPEEDIGNFLDRSISSNCFRFLWVLDLENVYKPKLPKAVGQLTCLRYFGLRSTYLEMLTVSINKLLNLQTLDLKRTCINTLPSSIWKMQKLRHLFLDESFHNAFVPRQEDSSLVDLQTLWGAFVDEDSPVRNGLDTSLNITKLGMKCKISVPSQNAAMSLQLDNVANWVVKLKHFNT >Manes.11G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10832398:10835791:1 gene:Manes.11G075000.v8.1 transcript:Manes.11G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLHCVQSLGFSSRGRAFFPVGDCSRDNARKSKNCLVFASGKKSDRDLCLESRVETLLDSVKWDDKGLAVAIAQNIDTGAILMQGFVNRDALATTISSRKATFYSRSRSSLWTKGETSKNFINIHDIFLDCDCDSIIYLGKPDGPTCHTGSETCYYTSVNDVLEQKQTEGSRLALTTLYSLESTISQRKAELAAPEHGKPSWTKRLLLDNNLLCSKIREEADELCRTIEENEHSTRTASEMGDVLYHAMVLLALKDVKMEDVLDVLRCRFTQSGIEEKKSRGQQN >Manes.04G065500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26122127:26129125:1 gene:Manes.04G065500.v8.1 transcript:Manes.04G065500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDEAINQRYALAEAHNAYIYSLRMIGASLHHFIEQDCAASGFVPLSPQLNLPPQRKGDPVALTDEGTSSPKKANIAHHSHSHSNSGSHLHFHSDSDDDDDDASHLHHSDRSSPLHGGGSGGGGHIEYMPSEYIGNEHDSYSGGGFLHMNYMKNKASTPSVVYEQRPMTSETVYFGESSSSSSYFYPNNSLPQYYGYPNYGAADGAMTGYYSYPPPYGSSSIPQPAAAPSSSKPPPPPPPPPPPPTASTWDFLNVFGSHDNYYPPYTPSRDSKELREEEGIPDLEDEDHQQEVVKEVRGREKYVDGGGGDGGGGGGSAKANNHSKSVMMDDGDAMAGGNAEASLYQTRPSASMENDGPEYEVHVVEKKVDDERSEEHRNAGFKRGGGLRDVSQVAFEIKIQFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVVPSLTVVSQQPSTSGSAEASSSTNNADPAYLEIDQDLVIRSKKLSATLQKLHLWEKKLYNEVKAEEKMRVVHERNCRKLKRLDERGAEVHKVDATQKLVRTLSTKIRIAIQVVDKISVTINRIRDEELWPQLNELIQGLTRMWKSMLECHQCQCEAIKEARGLGSVGSSKKLGDDHLQATLRLERDLLNWTFSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQSMDAISEKEVINAMRIFASSVLKLWEQDKLEMRQRMMTNNDLERKVRNLDREDQRIHKEIQALEEKIVVAGDSYSLSVTGNVVYQSDTSNSSLQGSLQRIFEAMEKFMAELTKAYEELIKRSEEERLAHEHERVS >Manes.04G065500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26122127:26129125:1 gene:Manes.04G065500.v8.1 transcript:Manes.04G065500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDEAINQRYALAEAHNAYIYSLRMIGASLHHFIEQDCAASGFVPLSPQLNLPPQRKGDPVALTDEGTSSPKKANIAHHSHSHSNSGSHLHFHSDSDDDDDDASHLHHSDRSSPLHGGGSGGGGHIEYMPSEYIGNEHDSYSGGGFLHMNYMKNKASTPSVVYEQRPMTSETVYFGESSSSSSYFYPNNSLPQYYGYPNYGAADGAMTGYYSYPPPYGSSSIPQPAAAPSSSKPPPPPPPPPPPPTASTWDFLNVFGSHDNYYPPYTPSRDSKELREEEGIPDLEDEDHQQEVVKEVRGREKYVDGGGGDGGGGGGSAKANNHSKSVMMDDGDAMAGGNAEASLYQTRPSASMENDGPEYEVHVVEKKVDDERSEEHRNAGFKRGGGLRDVSQVAFEIKIQFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVVPSLTVVSQQPSTSGSAEASSSTNNADPAYLEIDQDLVIRSKKLSATLQKLHLWEKKLYNEVKAEEKMRVVHERNCRKLKRLDERGAEVHKVDATQKLVRTLSTKIRIAIQVVDKISVTINRIRDEELWPQLNELIQGLTRMWKSMLECHQCQCEAIKEARGLGSVGSSKKLGDDHLQATLRLERDLLNWTFSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQSMDAISEKEVINAMRIFASSVLKLWEQDKLEMRQRMMTNNDLERKVRNLDREDQRIHKEIQALEEKIVVAGDSYSLSVTGNVVYQSDTSNSSLQGSLQRIFEAMEKFMAELTKAYEELIKRSEEERLAHEHERVS >Manes.04G065500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26122127:26129125:1 gene:Manes.04G065500.v8.1 transcript:Manes.04G065500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDEAINQRYALAEAHNAYIYSLRMIGASLHHFIEQDCAASGFVPLSPQLNLPPQRKGDPVALTDEGTSSPKKANIAHHSHSHSNSGSHLHFHSDSDDDDDDASHLHHSDRSSPLHGGGSGGGGHIEYMPSEYIGNEHDSYSGGGFLHMNYMKNKASTPSVVYEQRPMTSETVYFGESSSSSSYFYPNNSLPQYYGYPNYGAADGAMTGYYSYPPPYGSSSIPQPAAAPSSSKPPPPPPPPPPPPTASTWDFLNVFGSHDNYYPPYTPSRDSKELREEEGIPDLEDEDHQQEVVKEVRGREKYVDGGGGDGGGGGGSAKANNHSKSVMMDDGDAMAGGNAEASLYQTRPSASMENDGPEYEVHVVEKKVDDERSEEHRNAGFKRGGGLRDVSQVAFEIKIQFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVVPSLTVVSQQPSTSGSAEASSSTNNADPAYLEIDQDLVIRSKKLSATLQKLHLWEKKLYNEVKAEEKMRVVHERNCRKLKRLDERGAEVHKVDATQKLVRTLSTKIRIAIQVVDKISVTINRIRDEELWPQLNELIQGLTRMWKSMLECHQCQCEAIKEARGLGSVGSSKKLGDDHLQATLRLERDLLNWTFSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQSMDAISEKEVINAMRIFASSVLKLWEQDKLEMRQRMMTNNDLERKVRNLDREDQRIHKEIQALEEKIVVAGDSYSLSVTGNVVYQSDTSNSSLQGSLQRIFEAMEKFMAELTKAYEELIKRSEEERLAHEHERVS >Manes.04G065500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26122127:26129125:1 gene:Manes.04G065500.v8.1 transcript:Manes.04G065500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDEAINQRYALAEAHNAYIYSLRMIGASLHHFIEQDCAASGFVPLSPQLNLPPQRKGDPVALTDEGTSSPKKANIAHHSHSHSNSGSHLHFHSDSDDDDDDASHLHHSDRSSPLHGGGSGGGGHIEYMPSEYIGNEHDSYSGGGFLHMNYMKNKASTPSVVYEQRPMTSETVYFGESSSSSSYFYPNNSLPQYYGYPNYGAADGAMTGYYSYPPPYGSSSIPQPAAAPSSSKPPPPPPPPPPPPTASTWDFLNVFGSHDNYYPPYTPSRDSKELREEEGIPDLEDEDHQQEVVKEVRGREKYVDGGGGDGGGGGGSAKANNHSKSVMMDDGDAMAGGNAEASLYQTRPSASMENDGPEYEVHVVEKKVDDERSEEHRNAGFKRGGGLRDVSQVAFEIKIQFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVVPSLTVVSQQPSTSGSAEASSSTNNADPAYLEIDQDLVIRSKKLSATLQKLHLWEKKLYNEVKAEEKMRVVHERNCRKLKRLDERGAEVHKVDATQKLVRTLSTKIRIAIQVVDKISVTINRIRDEELWPQLNELIQGLTRMWKSMLECHQCQCEAIKEARGLGSVGSSKKLGDDHLQATLRLERDLLNWTFSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQSMDAISEKEVINAMRIFASSVLKLWEQDKLEMRQRMMTNNDLERKVRNLDREDQRIHKEIQALEEKIVVAGDSYSLSVTGNVVYQSDTSNSSLQGSLQRIFEAMEKFMAELTKAYEELIKRSEEERLAHEHERVS >Manes.04G065500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26122127:26129125:1 gene:Manes.04G065500.v8.1 transcript:Manes.04G065500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDEAINQRYALAEAHNAYIYSLRMIGASLHHFIEQDCAASGFVPLSPQLNLPPQRKGDPVALTDEGTSSPKKANIAHHSHSHSNSGSHLHFHSDSDDDDDDASHLHHSDRSSPLHGGGSGGGGHIEYMPSEYIGNEHDSYSGGGFLHMNYMKNKASTPSVVYEQRPMTSETVYFGESSSSSSYFYPNNSLPQYYGYPNYGAADGAMTGYYSYPPPYGSSSIPQPAAAPSSSKPPPPPPPPPPPPTASTWDFLNVFGSHDNYYPPYTPSRDSKELREEEGIPDLEDEDHQQEVVKEVRGREKYVDGGGGDGGGGGGSAKANNHSKSVMMDDGDAMAGGNAEASLYQTRPSASMENDGPEYEVHVVEKKVDDERSEEHRNAGFKRGGGLRDVSQVAFEIKIQFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVVPSLTVVSQQPSTSGSAEASSSTNNADPAYLEIDQDLVIRSKKLSATLQKLHLWEKKLYNEVKAEEKMRVVHERNCRKLKRLDERGAEVHKVDATQKLVRTLSTKIRIAIQVVDKISVTINRIRDEELWPQLNELIQGLTRMWKSMLECHQCQCEAIKEARGLGSVGSSKKLGDDHLQATLRLERDLLNWTFSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQSMDAISEKEVINAMRIFASSVLKLWEQDKLEMRQRMMTNNDLERKVRNLDREDQRIHKEIQALEEKIVVAGDSYSLSVTGNVVYQSDTSNSSLQGSLQRIFEAMEKFMAELTKAYEELIKRSEEERLAHEHERVS >Manes.04G065500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26122127:26129125:1 gene:Manes.04G065500.v8.1 transcript:Manes.04G065500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDEAINQRYALAEAHNAYIYSLRMIGASLHHFIEQDCAASGFVPLSPQLNLPPQRKGDPVALTDEGTSSPKKANIAHHSHSHSNSGSHLHFHSDSDDDDDDASHLHHSDRSSPLHGGGSGGGGHIEYMPSEYIGNEHDSYSGGGFLHMNYMKNKASTPSVVYEQRPMTSETVYFGESSSSSSYFYPNNSLPQYYGYPNYGAADGAMTGYYSYPPPYGSSSIPQPAAAPSSSKPPPPPPPPPPPPTASTWDFLNVFGSHDNYYPPYTPSRDSKELREEEGIPDLEDEDHQQEVVKEVRGREKYVDGGGGDGGGGGGSAKANNHSKSVMMDDGDAMAGGNAEASLYQTRPSASMENDGPEYEVHVVEKKVDDERSEEHRNAGFKRGGGLRDVSQVAFEIKIQFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVVPSLTVVSQQPSTSGSAEASSSTNNADPAYLEIDQDLVIRSKKLSATLQKLHLWEKKLYNEVKAEEKMRVVHERNCRKLKRLDERGAEVHKVDATQKLVRTLSTKIRIAIQVVDKISVTINRIRDEELWPQLNELIQGLTRMWKSMLECHQCQCEAIKEARGLGSVGSSKKLGDDHLQATLRLERDLLNWTFSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQSMDAISEKEVINAMRIFASSVLKLWEQDKLEMRQRMMTNNDLERKVRNLDREDQRIHKEIQALEEKIVVAGDSYSLSVTGNVVYQSDTSNSSLQGSLQRIFEAMEKFMAELTKAYEELIKRSEEERLAHEHERVS >Manes.04G065500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26122127:26129125:1 gene:Manes.04G065500.v8.1 transcript:Manes.04G065500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDEAINQRYALAEAHNAYIYSLRMIGASLHHFIEQDCAASGFVPLSPQLNLPPQRKGDPVALTDEGTSSPKKANIAHHSHSHSNSGSHLHFHSDSDDDDDDASHLHHSDRSSPLHGGGSGGGGHIEYMPSEYIGNEHDSYSGGGFLHMNYMKNKASTPSVVYEQRPMTSETVYFGESSSSSSYFYPNNSLPQYYGYPNYGAADGAMTGYYSYPPPYGSSSIPQPAAAPSSSKPPPPPPPPPPPPTASTWDFLNVFGSHDNYYPPYTPSRDSKELREEEGIPDLEDEDHQQEVVKEVRGREKYVDGGGGDGGGGGGSAKANNHSKSVMMDDGDAMAGGNAEASLYQTRPSASMENDGPEYEVHVVEKKVDDERSEEHRNAGFKRGGGLRDVSQVAFEIKIQFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVVPSLTVVSQQPSTSGSAEASSSTNNADPAYLEIDQDLVIRSKKLSATLQKLHLWEKKLYNEVKAEEKMRVVHERNCRKLKRLDERGAEVHKVDATQKLVRTLSTKIRIAIQVVDKISVTINRIRDEELWPQLNELIQGLTRMWKSMLECHQCQCEAIKEARGLGSVGSSKKLGDDHLQATLRLERDLLNWTFSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQSMDAISEKEVINAMRIFASSVLKLWEQDKLEMRQRMMTNNDLERKVRNLDREDQRIHKEIQALEEKIVVAGDSYSLSVTGNVVYQSDTSNSSLQGSLQRIFEAMEKFMAELTKAYEELIKRSEEERLAHEHERVS >Manes.04G065500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26122127:26129125:1 gene:Manes.04G065500.v8.1 transcript:Manes.04G065500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKLDDLPAVALCRDRCAFLDEAINQRYALAEAHNAYIYSLRMIGASLHHFIEQDCAASGFVPLSPQLNLPPQRKGDPVALTDEGTSSPKKANIAHHSHSHSNSGSHLHFHSDSDDDDDDASHLHHSDRSSPLHGGGSGGGGHIEYMPSEYIGNEHDSYSGGGFLHMNYMKNKASTPSVVYEQRPMTSETVYFGESSSSSSYFYPNNSLPQYYGYPNYGAADGAMTGYYSYPPPYGSSSIPQPAAAPSSSKPPPPPPPPPPPPTASTWDFLNVFGSHDNYYPPYTPSRDSKELREEEGIPDLEDEDHQQEVVKEVRGREKYVDGGGGDGGGGGGSAKANNHSKSVMMDDGDAMAGGNAEASLYQTRPSASMENDGPEYEVHVVEKKVDDERSEEHRNAGFKRGGGLRDVSQVAFEIKIQFERASDSGKEIAQMLEVGRLPYQRKHVSKMLHVVVPSLTVVSQQPSTSGSAEASSSTNNADPAYLEIDQDLVIRSKKLSATLQKLHLWEKKLYNEVKAEEKMRVVHERNCRKLKRLDERGAEVHKVDATQKLVRTLSTKIRIAIQVVDKISVTINRIRDEELWPQLNELIQGLTRMWKSMLECHQCQCEAIKEARGLGSVGSSKKLGDDHLQATLRLERDLLNWTFSFSSWIGAQKGYVRALNSWLGKCLLYEPEETPDGIAPFSPGRMGAPPVFVICNQWAQSMDAISEKEVINAMRIFASSVLKLWEQDKLEMRQRMMTNNDLERKVRNLDREDQRIHKEIQALEEKIVVAGDSYSLSVTGNVVYQSDTSNSSLQGSLQRIFEAMEKFMAELTKAYEELIKRSEEERLAHEHERVS >Manes.02G061500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4747784:4754492:1 gene:Manes.02G061500.v8.1 transcript:Manes.02G061500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAIAEVRVSLDDVRYADTEAESSKIDLVVALSSNGESSSELVIVEGIITRMELDMACASEKLVNLSVLMMHLESKETEFETTFSLEGVTSIDPEEALEFDFLSRILDSEVTELVKFMTSTQKNFVESREMISSYKHLGETFMAMEEKLLDSEKSLEQSLVQVSEIRKHYARFRRTLSCLNGEENWNGNEGSNFSECNHFVDDNLNIKMQTAEQQRHVLRMLEKSLAREMDLEKKLIESIQIEEELKHRILFTEQEVFFVEQEAIDVCERWFTAENAAEVLMGISQELLSRLKIFHFNLNGLVKREAELRTKLEKSMGQLEAKENALQKFNSSSELSNFVLARTDSLKASLVEAEDKLLLANSEVLALREKVNSLEKHLEECGSQLPNANVSMEGREKQHNALQSEITNMENTIVNLKEELTKVESRAASAEVKCMLSAETNLELKKEVGHLKYASEKVDSLEKQLRESDIRLQHAVASADASQEKQNMLYATIRDMGNLIEDLKLKIQKAEGRADSTEDKCVVLSESNAELNEELRFLRGRLECLEISLNQAEEAKNFAAKNIGLQTKAIKDLVMQLAIERKHLHKQMTFLAHENKSLVLKLQQTRKDPFVFTNCNIGNGEKPPFPENEVTKVSESGSKCSELDKNQKDVFVGETKVTAGDSFLEPENVRRMDAGVLNYKHVIMAALILLISAAVYSFQRQGLHL >Manes.02G061500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4749448:4754492:1 gene:Manes.02G061500.v8.1 transcript:Manes.02G061500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAIAEVRVSLDDVRYADTEAESSKIDLVVALSSNGESSSELVIVEGIITRMELDMACASEKLVNLSVLMMHLESKETEFETTFSLEGVTSIDPEEALEFDFLSRILDSEVTELVKFMTSTQKNFVESREMISSYKHLGETFMAMEEKLLDSEKSLEQSLVQVSEIRKHYARFRRTLSCLNGEENWNGNEGSNFSECNHFVDDNLNIKMQTAEQQRHVLRMLEKSLAREMDLEKKLIESIQIEEELKHRILFTEQEVFFVEQEAIDVCERWFTAENAAEVLMGISQELLSRLKIFHFNLNGLVKREAELRTKLEKSMGQLEAKENALQKFNSSSELSNFVLARTDSLKASLVEAEDKLLLANSEVLALREKVNSLEKHLEECGSQLPNANVSMEGREKQHNALQSEITNMENTIVNLKEELTKVESRAASAEVKCMLSAETNLELKKEVGHLKYASEKVDSLEKQLRESDIRLQHAVASADASQEKQNMLYATIRDMGNLIEDLKLKIQKAEGRADSTEDKCVVLSESNAELNEELRFLRGRLECLEISLNQAEEAKNFAAKNIGLQTKAIKDLVMQLAIERKHLHKQMTFLAHENKSLVLKLQQTRKDPFVFTNCNIGNGEKPPFPENEVTKVSESGSKCSELDKNQKDVFVGETKVTAGDSFLEPENVRRMDAGVLNYKHVIMAALILLISAAVYSFQRQGLHL >Manes.01G176400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35697285:35700136:1 gene:Manes.01G176400.v8.1 transcript:Manes.01G176400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASAHFLCNNHVFHHSSNSFSKSNQTSFRPSGSVLFHKKQRTPFVNASKKQLEIVYDPDERLNKWADEVDKNAPLSRLTLFSPCKINIFLRITDKREDGYHDLASLFHVICLGDTIKFSLSPSKSKDRLSTNVPGVPLDERNLIIKALNLYRKKTGIDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGGLATEKELLDWSSEIGSDISFFFSHGAAYCTGRGEVVQDIPSPVPLDLPMVLIKPQEACSTAEVYKRFRLDKTSQVDPLTLLEKISRDGISQDVCINDLGNNL >Manes.01G176400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35697285:35703876:1 gene:Manes.01G176400.v8.1 transcript:Manes.01G176400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASAHFLCNNHVFHHSSNSFSKSNQTSFRPSGSVLFHKKQRTPFVNASKKQLEIVYDPDERLNKWADEVDKNAPLSRLTLFSPCKINIFLRITDKREDGYHDLASLFHVICLGDTIKFSLSPSKSKDRLSTNVPGVPLDERNLIIKALNLYRKKTGIDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGGLATEKELLDWSSEIGSDISFFFSHGAAYCTGRGEVVQDIPSPVPLDLPMVLIKPQEACSTAEVYKRFRLDKTSQVDPLTLLEKISRDGISQDVCINDLEPPAFEVLPSLKRLKQRIIAAGRGQYDAVFMSGSGSTIVGIGSPDPPQFIYDDDDYKDVFVSEANFLTREANQWYKEPASTATCSTPSDLSQSVE >Manes.16G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:16105718:16116392:-1 gene:Manes.16G055100.v8.1 transcript:Manes.16G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRRHPQTRRSSLKKQKHNRGVGSGRELNSFYGEEGQGKIKMKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGDYLGKTVQVVPHITDATQEWIERVAKVPVDGKEGPADVCVIELGGTIGDIESMPFIEALRQFSYRVGPGNFCLIHVSLVPVINVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELDENVKLKLSQFCHVPVENIVTLYDVPNIWHIPLLLRDQKTHESILKELNLQGIAREPDLHEWTVRTTVCDMLHDPVRIAMVGKYTGLSDSYLSVLKALLHASVACHRKLIVEWVAAGDLEDATAKKAPDVYKAAWDLLKGADGILVPGGFGDRGVQGKILAAKYARENRVPFLGICLGMQIAVIEFARSVLGLYDANSTEFDPDTSNPCVIFMPEGSKTQMGGTMRLGSRRTFYKVPDCKSAKLYGNVSFVDERHRHRYEVNPDMISRFEKAGLSFVGRDETGWRMEIVELLGHPYFVGVQFHPEFKSRPGKPSALFLGLIAAACGQLEVVLQKYGHASKLLPNGMSNGKSTVKVYQNGNGFKSCNGSINGVYSNGNGVHF >Manes.S036316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:951005:951166:-1 gene:Manes.S036316.v8.1 transcript:Manes.S036316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.16G048770.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:14910772:14912092:1 gene:Manes.16G048770.v8.1 transcript:Manes.16G048770.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLHRLHPSPWPPPPTHPEVADERNSQTRNPDETSSPSSSFTIVIVVSAFTIVIVVSAFTIVIVVSAFTIVVESPGEESRFGRIGVGGIGRLENVENGMEGFGERYRGGWALGCWPAGLGWVGLGWVGFGFSV >Manes.13G145880.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35777016:35795010:-1 gene:Manes.13G145880.v8.1 transcript:Manes.13G145880.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLSDNPDIDEEDAPSSPVQNGHQYPKQSRLDPPPLDDTELHQQQELDEEEEEEDDEAPHKPEEDAEGQEDEEQEEQAEEKQPAQDSEESQSSSSEDEKPEFVFVELPEVRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEVEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANGTSNRGYMVQV >Manes.13G145880.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35777358:35795010:-1 gene:Manes.13G145880.v8.1 transcript:Manes.13G145880.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLSDNPDIDEEDAPSSPVQNGHQYPKQSRLDPPPLDDTELHQQQELDEEEEEEDDEAPHKPEEDAEGQEDEEQEEQAEEKQPAQDSEESQSSSSEDEKPEFVFVELPEVRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEVEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANGTSNRGYMILAYRQKGTT >Manes.10G119902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28487592:28491198:1 gene:Manes.10G119902.v8.1 transcript:Manes.10G119902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVLNPTYCRAQQISDSPSSSRPSKNPSFFGTQVSLKRGRYRLRRIPCRSMRIRSPTLTRRLVVKAVAPPDSALELPLTAENVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVVTMKMGIERRLMEKIPEIVSVEPIADEETGLELNEENIEKVLEEIRPYLVGAAGGSLELVTIEEPIVKIQITGPAAGVMTVRVAVTQKLREKIPAIAAVQLLS >Manes.10G119902.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28487592:28491198:1 gene:Manes.10G119902.v8.1 transcript:Manes.10G119902.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVLNPTYCRAQQISDSPSSSRPSKVSLKRGRYRLRRIPCRSMRIRSPTLTRRLVVKAVAPPDSALELPLTAENVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVVTMKMGIERRLMEKIPEIVSVEPIADEETGLELNEENIEKVLEEIRPYLVGAAGGSLELVTIEEPIVKIQITGPAAGVMTVRVAVTQKLREKIPAIAAVQLLS >Manes.S053216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1828733:1829107:-1 gene:Manes.S053216.v8.1 transcript:Manes.S053216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.06G091600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22701116:22703730:-1 gene:Manes.06G091600.v8.1 transcript:Manes.06G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDATTEPAIKLFGKKITLNTDGQPPLISADDLPSLERVEVVEEDDEKAEKDIPARIVPDTAEENNVPLLGAEESADPETNANPKTPSINEESAKSKSSETEKEQNYASTPQEKILKKPDKILPCPRCKSMDTKFCYFNNYNVNQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHMTISEVLQAARIEAPNGTHNPALKTNGRVLSFGLDAPICDSMVSVLNLADKRVLNGFHNLEEQRIPVPFKGRENGDDCSSGSSVTVSNSMEEGGATCSREPLMQSINGFASPLPCLPGVPWNSALLPPPFCPPGFPMSFYPHFWNCGIWNTPLLSPQSSSSSSNQRAPSGNNSTLGKHSRDRYTLKLDDFEKEEQPTKLKNGCILVPKILRIDDPTEAARSSIWATLGIKNESFTGEGLFKAFQPKTDERKHVAETSPILLANPAAASRSINFNENS >Manes.15G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6412328:6413636:1 gene:Manes.15G083200.v8.1 transcript:Manes.15G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKKKDGAVSMDITEPTVSDIPQAMDTSGSVARKPASGFPYRNVRGRQMKRSKNVRKKKAIAKAISKNEQSVEKVLKNENKTARTQSAKLLYE >Manes.07G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3654033:3657211:-1 gene:Manes.07G033400.v8.1 transcript:Manes.07G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAIARDERPHAVCVPYPAQGHVNPMLKLAKILHSNGFHITFVNSEYNHRRLLRSRGPDSLDGLPDFHFDSIPDGLPPSDANATQDIPSLCDSTSKHCLLPFRHLLSRLNSSNTVPPVTCVISDACMSFTLDAAHEFGIPDVLFWTPSSCGVLAYAHYRHLIERGLTPLKDESYLTNGYLDTTIDWIPGMKNIRLRDLPSFFRTTERNDIMINFIAREVDRTSRASAIILNTFEAFEKHVLDVLFTMLPPIYTIGPLQLLLDQIPDSKLRNIGSNLWKEQPECIDWLDSKEPNSVVYVNFGSITVVTPQQMVEFAWGLANSKKPFLWIIRPDLVVGEAAMLPPEFVSETKDRGKLASWSPQEQILKHPAVGGFLSHMGWNSTLESICGGVPVVCWPFFAEQQTNCWFACNEWGIGMEIDNDVKREEVEKLVRELMDGKKGKEMKRQAMEWKIKAEESTTPGGSSHRNLVELLRFLQRK >Manes.13G124400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33208978:33210648:1 gene:Manes.13G124400.v8.1 transcript:Manes.13G124400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQPHAPPLPPPQHPLLPPAAQPKQLLKPSLPLYKQHSWSPDIYRDEAWLRRKGNCKNRRSKSVTDEDLDELKACIELGFGFDSPEVDQRLSDTLPALGLYYAVNKQYYDTVSKSVTANTTSSSSTASDCETPSPLGSPHTFFSPGDNPQTVKTRLRQWAQVVACSVRQCSSSS >Manes.01G179100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35854153:35865617:1 gene:Manes.01G179100.v8.1 transcript:Manes.01G179100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHFLLFRKQYHRIFNTHKRLYSIIYHVNCIPQSGLEASQNPPQQPISSTPVTDLFLVEKILLNLKQGNVNSLLNYHFRLNPLVVVEVLKRCRDNLQLAQRFIDHVVLRGKNVKHSSMSLSAMIHVLVRSRRLSDAQALVLRMIRRSGASRVEIVESLISVSSTWQLDNLVFDLLIRTYVQARKLREGTDAFTILSSKGFLVSINACNGLLGGLVKVGWIDMAWEVYREIVRSGIALNVYTQNIMVNALCKDHKIDDVKTFLFDMEQKGIFADIVTYNTLVNAYCREGLLDEAFEVMNSMISKGMKPGLFTYNAIINGLCRKGRYARAKEVFDEMLSIGLSPDTTTYNTLLVESCRKDNPLEAEKIFGEMLHRGIVPDLVSFSSLIRVLSRNGHLDQALVYFRDMKKSGLVPDNVIYTVLIDGYCRNRMISEALMIRDEMLERGCVMDVVTYNTILNGLCKEKMLTDANALFDEMLERGVYPDFYTFTTLIHGHCKDGNMNKALSLFGTMRQRNIKPDIVTYNTLIDGFCKVGEMEKANELWGDMISREIFPNDISYGILINGYCNLGFISEAFRLWDEMIEKGIKPTLITCNTVVKGYCRSGDASKADEFLCKMISKGVLPDSITYNTLINGFVKEGNMDKAFFLVNKMEKDGLLPDVITYNVILNGFCKQGKLQEAELILRKMIEKGLTPDRSTYITLINGHVSHDNLKEAFRFHDEMLQRGFVPDDNF >Manes.04G131500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33285832:33288583:1 gene:Manes.04G131500.v8.1 transcript:Manes.04G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDSPNSPPNQSFAIRETTHKFLRNLSSREPPSFSHFPFSPPHSATPNRTSSPCSSPPPPPYTPPNLVSNSKTTYTCISSILKKDGQILSMVMSNGLIYTGSNTNLIRIWKLPEFMECGQLKTKASMVVALEVSHDRVYAAYGDGKIRVWRRTWDGAFKHVRLATIPKIGGYVRSYIAGKDKMMKHLGPITSLAINISDDTLYSASLDKTVKVWRLSDFKCIETVQAHPEPINAIVVADDGILYTASDDATVRVWRRNFCVGDWPHSLITTLPAKFSPVKTLTLTLDNRILYGGCTDGYIHYWLRGGFSGQLQYGGALQGHTHAVMGISNVGSYVISGSADSTSRVWLREPDGQHTFLAVLVGHRGPIRCISAFSGRLGEENEEECTICTGSLDGVIKLWRVTSANKDSGPLSPSGYSYFELQQ >Manes.14G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10285228:10288947:1 gene:Manes.14G129000.v8.1 transcript:Manes.14G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFFFYWLKLLAISFLVLVFVLKIVVLLWWRPRRIEEHFSKQGIRGPPYRFFIGNVKELVEMMLQASSQPMPFSHNILPRVLSFYHHWKKIYGATFLVWFGPTVRLTVSDPDLIREIFSTKSEFYEKIEAHPLVKQLEGDGLLSLKGEKWAHHRKIITPTFHMENLKMLVPVVSKSVMDMLEQWSTLMSNSEEVEIEVSEWFQTLTEDVITRTAFGSSYEDGKAIFRLQAQQMVLAAEAFQKVFIPGYRFFPTKRNMNSWKLDKETKKSLMKLIDRRRENSNNTMQEVKNPKDLLGLMIEASYSSPNVTVNDIVEECKSFFFAGKQTTSNLLTWTTVLLAMHPQWQVQAREEVLRVCGSRDIPTKDDVVKLKTLSMILNESLRLYPPTIATIRRSRTDVELGGYKIPRGTELLIPILAVHHDQSIWGNDANEFNPGRFSEGVAKAAKHSVAFIPFGLGVRTCIGQNLAILQAKLTLAIILQRFSFRLAPSYQHAPTVLMLLYPQYGAPISFKRLPEPSDP >Manes.14G062100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5170404:5172599:1 gene:Manes.14G062100.v8.1 transcript:Manes.14G062100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLIRYACNEIPTALPAPPGTSSFQHIGHRQRPGDQFNRRLGNEGSFYQSSGMALPYNFPWIDNPSEDINEGGEEGNM >Manes.14G062100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5170262:5172791:1 gene:Manes.14G062100.v8.1 transcript:Manes.14G062100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLIRYACNEIPTALPAPPGTSSFQHIGHRQRPGDQFNRRLGNEGSFYQSSGMALPYNFPWIDNPSEDINEGGEEGNM >Manes.05G144300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24618069:24624478:1 gene:Manes.05G144300.v8.1 transcript:Manes.05G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARQKSMRRGGGGRGGSSSGGGHSQSSFTHPPLPPQFPIFAISPDGHGNLVPVMPYQSPGEPPYRGNNWEARPVGGFGPQPPVVNYHRHSLRRGNYGPLGDGPYRNNSGGRRDQDRGHYGNIGDAHVHPQRSPRGFVRPPTPNAASFVPPQPVRPFANPMGFSDFVYTPMLPLETFRMPFITHARAPAMIVPVHEPPLPAMLVHQIEYYFSDANLIRDEFLKSNMDNQGWVPITLIAGFNRVKSMTKDIQLILDSLRTSTLLEVQNDKVRRLNDWMKWTRISSRIPTDSGIQSSIATSPDVLTKSFQKMPLEGITPNQTIMMVKPSPNPQGVLSRSSSDLPAQSQIFQQEVIEGGSSS >Manes.17G015164.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7978655:7984684:1 gene:Manes.17G015164.v8.1 transcript:Manes.17G015164.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSKIMIGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.04G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4460153:4464611:-1 gene:Manes.04G034600.v8.1 transcript:Manes.04G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGKLTVCFTGAGEARRKQDISLLISDPLDEGLGHSFCYVRPDPTRLSSSKVHSEETTTFRSISGASVSANTSTPLSTAFIDPYVYNTIDRAAAFESSTSFASIPLQPIPRNLIGPINSGPLTGNPGLISGSGPLERGFMSGPIERGFMSGPLDRGLFSGPLEKGSSDQFQRSFSHGGFAFRTRPAKRSLIRVLQRALSKTLSRGKNSIVAPIKGVIKEPDWILNSEKQYNENLTVSSINLSSDGSLEDDDSLESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDATDYLSSNLYSAVHKELKGLLWDDKFESAEISAPTSSPVRSEGANSRLGTVLQCTESDRNCENDECSQCLDTSFDSNSKKKRSSRGKYRGAAKKWEENQLRWKCEWDRERVELDKKLKEQLTRSGSDNGAINHADVLKALSQALKKTEEAYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKSRQDLERINEETLHDLETYECERTNSIPTLTAFQLSVDHSTNVEEEVQRIKSEHPDDACAVVNERVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYIGNSPYINCLPYLCHHRLGPKDRFLILSSDGLYQYFTNEEAIDEVELFIALQPEGDPAQHLVEEVLFRAAKKAGMDFHELLEIPQGDRRRYHDDISIIVISLEGRIWRSCV >Manes.02G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2314636:2318851:1 gene:Manes.02G027500.v8.1 transcript:Manes.02G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMESMSAAIGVSIPVLRFLLCFVGTIPVSFIHRLVPCKLGKHLFAALSGAFLSYLSFGFHSNLHFLVPMLLGYASMLLFRPYCGILTFILGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCSINYNDGLLKEEELRESQKKNRLIRLPSLIEYFGYCLCCGSHFAGPVYEVKDYIEWTERKGLWACTEGVPSPSPYGATIRALIQAAFCMALYLYLVPYFPLSQFTNPVYQEWGFWKRLSYQYMSGFTARWKYYFIWSISEASIIISGLGFSGWTDTSPPKPRWDRAKNVDILGVELAKSSVELPLVWNIQVSTWLRHYVYERLIQKGKKPGFFQLLATQTTSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAIPLNLIKNMLVFVNFAYTILVLNYSCVGFLVLSLHETLAAYGSVYFIGTIVPIILILLGNIIKPAKPGRPKARKDQ >Manes.09G080801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11958304:11960490:1 gene:Manes.09G080801.v8.1 transcript:Manes.09G080801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGSARKLKSHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Manes.17G012402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5222282:5222947:1 gene:Manes.17G012402.v8.1 transcript:Manes.17G012402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRFMNYGQQTIRAARYIGQGFMITLSHTNRLLVTVQYPYEKLITSERLRGRIHFEFDKCIACEKRLLNYKICIFCGNCIEYCPTNYLSMTKKYELSTYDLHELNYNQIALGRLLMSIDDDYTIRTILNSTLKKGKPL >Manes.03G009600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:805828:807174:-1 gene:Manes.03G009600.v8.1 transcript:Manes.03G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHVLFDKYEMGRLLGMGTFAKVYYGKNLATGESVAIKVINKDQVKKEGMMEQIKREISVMRLVRHPNIVELKEVLATKSKIFFVMEYVRGGELFAKVAKGKLKEDVARKYFQQLTSAVDFCHSRGVCHRDLKPENLLLDENGDLKISDFGLSALPEHLRIDGLLHTQCGTPAYVAPEVLRKKGYDGSRADIWSCGVILYVLLAGFLPFRDENVMKMYRQIFKAEFECPPWISTEAKRLISRLLVSDPERRITIPAITRVPWFRKGFTRPLAFSFQAISNPEKTEEEEDEALSAVMAKVSSPKFFNAFEFISSMSSGFDLSNLFENKKKTGSMFTSKFSASAIMNKIEAVAKGLNFKVSKVKDFKMRLQCPLEGRKGRLSITAEVFEVALDVAVVEFSKSAGDTLEYAKFCDEDVRPALKDIVWTWQGDTVCSRNDSGENENQTSL >Manes.11G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32132233:32135018:1 gene:Manes.11G154300.v8.1 transcript:Manes.11G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFHLWLTLLLLGSVCASAVHPRKLKRFGGVRRFTATSEPSSDLPPEYEIHYYTQTLDHFNYYPDSYATFQHRYILNYKYWGGANTSSPIFVYTGEEVDVTYDVETFIIDLAARFKGLLLYIEHRYYGESMPFGSEEQAFQNASTLGYLSSEQALADYAQVIVDVKKNLSAENCPAIAVGASYGGMLASWFRLKYPHIVIGALASSSPILYFDDITPQNGYHVVVSKDFRDTSESCYNTIKQSWSEIDRIAAEPNGLTTLSNKFNVCSPLNTTQELKDYLEIMYISAAQYDNPPNYPVESTCSGIDGAPEGTDILGRIAAGLANRFRGFVSCNNVFTFEISNKSAWDWQTCTQIVMPIGYGYNETMFQSEPFDIKNYTKDCLDVFGIVPRPHWMTTEFGGHDIKTVLGKFASNIIFSNGLRDPYSIGGVLEDISDSVVAVYTEHGAHCLDIYPPAPDDPVWLIAQRVKEIKIISAWIAEYYAKLATK >Manes.08G097100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32683741:32685194:-1 gene:Manes.08G097100.v8.1 transcript:Manes.08G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYISTNVNLAGVDTDPIFTDATKAVATIIGKPEHFVMVILKGSVAISFNENKEPAAYAEVVSMGGINKQVKRDLIATLGTILENRLSIPRTRFFLKVFDTTAGRSPSSKL >Manes.01G228400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39354332:39355177:-1 gene:Manes.01G228400.v8.1 transcript:Manes.01G228400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLQINRQQKAKELSAIFAEPFQVLTITILSILLPLSFLLLARLSCYSYLLSIAADPAHPPSSFIFSLFLYSAPIILYFLVSFVSISTLLHGLTGRLTVLSESPGELYRSRLFTAWILLCALQACVGLGIEGSIAAEIDGYSFKNERSLFSRVIFFLGLHETMLYWCRTVVKPVVDDTIFGVVREERWVQMVAMAVSFGTLWWWRLRDEVESLVIVAESRREMSVDIGVADFLGWWLYYLTVTIGVVRIVKGVMWVTMVLLCRRIKRNSHQDPCGNEEKV >Manes.07G021500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2378752:2380045:-1 gene:Manes.07G021500.v8.1 transcript:Manes.07G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEMLFRKKLTDTDVKYRMVVPMDNYRDAFQIPEGDFSEEIDVIDMDDDSVKKFNCSKRRKGHPKPVFCKGWISFVKEKHLVAGDEVTFYKEEDETGRIRIKVQSQKIQCLLFGVDLRDAVRNATYFRQQTK >Manes.06G131900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26060775:26063734:-1 gene:Manes.06G131900.v8.1 transcript:Manes.06G131900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSLLKLILGLTSATIFISLMVVIFLYFKNRARNDSIDVESPELKHGDEKEMEDLLTFQGGQDLTISDILDAPGEVIGKSNYGTLYKALLHRSNCVRLLRFLRPVCIARAKDFEEAIQLLGSIRHPNLVPLVGFYAGPRGEKLLVHPFFRRGSMAQFIRDGNADSHKWTIIYRISLGIAKGLDHLHTGLQKPVIHGNLKSKNILLDRNYQPYISDFGLHLLLNPTAGQEMLEASAADGYKAPELIKMKDASELTDIYSLGIILLELLSGKEPISENPTPNDDFHLPNFMRNAVLDRRITDLYHPDILLSNHNDNEGPVTEECVLKFFQLAMACCSPSPSLRPTIRQVLWKLEEIGR >Manes.06G131900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26060775:26064678:-1 gene:Manes.06G131900.v8.1 transcript:Manes.06G131900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLTFQGGQDLTISDILDAPGEVIGKSNYGTLYKALLHRSNCVRLLRFLRPVCIARAKDFEEAIQLLGSIRHPNLVPLVGFYAGPRGEKLLVHPFFRRGSMAQFIRDGNADSHKWTIIYRISLGIAKGLDHLHTGLQKPVIHGNLKSKNILLDRNYQPYISDFGLHLLLNPTAGQEMLEASAADGYKAPELIKMKDASELTDIYSLGIILLELLSGKEPISENPTPNDDFHLPNFMRNAVLDRRITDLYHPDILLSNHNDNEGPVTEECVLKFFQLAMACCSPSPSLRPTIRQVLWKLEEIGR >Manes.06G131900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26060775:26064478:-1 gene:Manes.06G131900.v8.1 transcript:Manes.06G131900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLTFQGGQDLTISDILDAPGEVIGKSNYGTLYKALLHRSNCVRLLRFLRPVCIARAKDFEEAIQLLGSIRHPNLVPLVGFYAGPRGEKLLVHPFFRRGSMAQFIRDGNADSHKWTIIYRISLGIAKGLDHLHTGLQKPVIHGNLKSKNILLDRNYQPYISDFGLHLLLNPTAGQEMLEASAADGYKAPELIKMKDASELTDIYSLGIILLELLSGKEPISENPTPNDDFHLPNFMRNAVLDRRITDLYHPDILLSNHNDNEGPVTEECVLKFFQLAMACCSPSPSLRPTIRQVLWKLEEIGR >Manes.06G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26060775:26063599:-1 gene:Manes.06G131900.v8.1 transcript:Manes.06G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSLLKLILGLTSATIFISLMVVIFLYFKNRARNDSIDVESPELKHGDEKEMEDLLTFQGGQDLTISDILDAPGEVIGKSNYGTLYKALLHRSNCVRLLRFLRPVCIARAKDFEEAIQLLGSIRHPNLVPLVGFYAGPRGEKLLVHPFFRRGSMAQFIRDGNADSHKWTIIYRISLGIAKGLDHLHTGLQKPVIHGNLKSKNILLDRNYQPYISDFGLHLLLNPTAGQEMLEASAADGYKAPELIKMKDASELTDIYSLGIILLELLSGKEPISENPTPNDDFHLPNFMRNAVLDRRITDLYHPDILLSNHNDNEGPVTEECVLKFFQLAMACCSPSPSLRPTIRQVLWKLEEIGR >Manes.06G131900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26060775:26063599:-1 gene:Manes.06G131900.v8.1 transcript:Manes.06G131900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSLLKLILGLTSATIFISLMVVIFLYFKNRARNDSIDVESPELKHGDEKEMEDLLTFQGGQDLTISDILDAPGEVIGKSNYGTLYKALLHRSNCVRLLRFLRPVCIARAKDFEEAIQLLGSIRHPNLVPLVGFYAGPRGEKLLVHPFFRRGSMAQFIRDGNADSHKWTIIYRISLGIAKGLDHLHTGLQKPVIHGNLKSKNILLDRNYQPYISDFGLHLLLNPTAGQEMLEASAADGYKAPELIKMKDASELTDIYSLGIILLELLSGKEPISENPTPNDDFHLPNFMRNAVLDRRITDLYHPDILLSNHNDNEGPVTEECVLKFFQLAMACCSPSPSLRPTIRQVLWKLEEIGR >Manes.02G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9433103:9437081:1 gene:Manes.02G123700.v8.1 transcript:Manes.02G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLVNKTSITNQTQRFFRLSFNFLKSFSTSSPSPNPSSTASASNKPKRKKKKNLFEVAQFLPNWGIGYHMAKSHWQNVSYEITKINLYKDGRHGKAWGIAYKDGIPADAPKKISGVHKRCWRYIPSLTKSLERKPPSPKSTETASEIEAQAA >Manes.11G108901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25279793:25292957:1 gene:Manes.11G108901.v8.1 transcript:Manes.11G108901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLENLKDMYDFALRPRMLYTLLKEDVPDEKKPLGTPLKLSRVVSNVQTFKLLAESFTSSMDAKLIERWKSAVDDWLNRLLFLVSSSTMPDKCWAGICLLGVTSQECSSERFLASYAVWFDKLLLHIQSPADSQFVKVASCTSMSDLLTRLAGFPNAKKDGTSHAGKLIQPVLRLLQEDSSENVWEGALHLLCIAITSFPASLHRHYDTVESVIASKILSGKCSLNMLKKLAYCLALLPKSKGDDDSWVSMMRKILLLVNSYLTEIFHGLEEETKWDEAVRLLVPPGEIAPTSLWGHSLLEETSEKARKRSKISSIKALMHCCCTMLATSYSVQVTVPIRSLLALIERVLMVDGSLPQVMSSFVIASDQEFVCSELPVLHSYSMELLISVIKGMRSQLLPHAAYVVRLVREYFSRCQLSELRIKIYSIIKMLLISMGVGIAIYLSQEVVNNALLDLNPNGDDTSSSVNQMDPSEALLQPCHKRRKHGPTGSLEQKYYRNSLEVEVPKSHAATLMSVKIAALETLEALLTMGGAFRSESWRSNVDNLLINMAVDSFKGGWANEESNCFFPNGPTSTHADLQLAILRALLASLLSPSRVRPPHLAQSLEVYRRGRQKTGTKLSELCSYALLALEVLIHPRFLPLADFPSAKSSHEVNHGFPEMLFSGGQKPSIPFSSGIQEIRHGSSDSDDDLESWLGGSKETDTPVDGQGKNINITKPSEYVGVQAGSLATSPGRSKEELKIAHADVEMGNFGDEIVDESQQVQEHMMQLRGQTSLKGATTSEVRDDLKVPELELTRVASETGGLVSTDKEIAPVQAGITDGGNETAPTSSTALKESVFGFESDGDSSAETFPDIVDADPDSD >Manes.11G108901.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25279801:25292957:1 gene:Manes.11G108901.v8.1 transcript:Manes.11G108901.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLENLKDMYDFALRPRMLYTLLKEDVPDEKKPLGTPLKLSRVVSNVQTFKLLAESFTSSMDAKLIERWKSAVDDWLNRLLFLVSSSTMPDKCWAGICLLGVTSQECSSERFLASYAVWFDKLLLHIQSPADSQFVKVASCTSMSDLLTRLAGFPNAKKDGTSHAGKLIQPVLRLLQEDSSENVWEGALHLLCIAITSFPASLHRHYDTVESVIASKILSGKCSLNMLKKLAYCLALLPKSKGDDDSWVSMMRKILLLVNSYLTEIFHGLEEETKWDEAVRLLVPPGEIAPTSLWGHSLLEETSEKARKRSKISSIKALMHCCCTMLATSYSVQVTVPIRSLLALIERVLMVDGSLPQVMSSFVIASDQEFVCSELPVLHSYSMELLISVIKGMRSQLLPHAAYVVRLVREYFSRCQLSELRIKIYSIIKMLLISMGVGIAIYLSQEVVNNALLDLNPNGDDTSSSVNQMDPSEALLQPCHKRRKHGPTGSLEQKYYRNSLEVEVPKSHAATLMSVKIAALETLEALLTMGGAFRSESWRSNVDNLLINMAVDSFKGGWANEESNCFFPNGPTSTHADLQLAILRALLASLLSPSRVRPPHLAQSLEVYRRGRQKTGTKLSELCSYALLALEVLIHPRFLPLADFPSAKSSHEVNHGFPEMLFSGGQKPSIPFSSGIQEIRHGSSDSDDDLESWLGGSKETDTPVDGQGKNINITKPSEYVGVQAGSLATSPGRSKEELKIAHADVEMGNFGDEIVDESQQVQEHMMQLRGQTSLKGATTSEVRDDLKVPELELTRVASETGGLVSTDKEIAPVQAGITDGGNETAPTSSTALKESVFGFESDGDSSAETFPDIVDADPDSD >Manes.11G108901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25279793:25292957:1 gene:Manes.11G108901.v8.1 transcript:Manes.11G108901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLENLKDMYDFALRPRMLYTLLKEDVPDEKKPLGTPLKLSRVVSNVQTFKLLAESFTSSMDAKLIERWKSAVDDWLNRLLFLVSSSTMPDKCWAGICLLGVTSQECSSERFLASYAVWFDKLLLHIQSPADSQFVKVASCTSMSDLLTRLAGFPNAKKDGTSHAGKLIQPVLRLLQEDSSENVWEGALHLLCIAITSFPASLHRHYDTVESVIASKILSGKCSLNMLKKLAYCLALLPKSKGDDDSWVSMMRKILLLVNSYLTEIFHGLEEETKWDEAVRLLVPPGEIAPTSLWGHSLLEETSEKARKRSKISSIKALMHCCCTMLATSYSVQVTVPIRSLLALIERVLMVDGSLPQVMSSFVIASDQEFVCSELPVLHSYSMELLISVIKGMRSQLLPHAAYVVRLVREYFSRCQLSELRIKIYSIIKMLLISMGVGIAIYLSQEVVNNALLDLNPNGDDTSSSVNQMDPSEALLQPCHKRRKHGPTGSLEQKYYRNSLEVEVPKSHAATLMSVKIAALETLEALLTMGGAFRSESWRSNVDNLLINMAVDSFKGGWANEESNCFFPNGPTSTHADLQLAILRALLASLLSPSRVRPPHLAQSLEVYRRGRQKTGTKLSELCSYALLALEVLIHPRFLPLADFPSAKSSHEVNHGFPEMLFSGGQKPSIPFSSGIQEIRHGSSDSDDDLESWLGGSKETDTPVDGQGKNINITKPSEYVGVQAGSLATSPGRSKEELKIAHADVEMGNFGDEIVDESQQVQEHMMQLRGQTSLKGATTSEVRDDLKVPELELTRVASETGGLVSTDKEIAPVQAGITDGGNETAPTSSTALKESVFGFESDGDSSAETFPDIVDADPDSD >Manes.14G159294.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21646852:21647486:-1 gene:Manes.14G159294.v8.1 transcript:Manes.14G159294.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLECVWHLIRVYLCRIRPEGPRRPSVLAVAESVQRLPECCRYEVDREVSRVKVLLVIA >Manes.08G051000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5331022:5353206:-1 gene:Manes.08G051000.v8.1 transcript:Manes.08G051000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGALDLASGVGGKIGKKEVKSAVQKYEKYHFGDDEERKANYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGLKKGHKVLDVGCGIGGPLREIAKFSEASVTGVNNNEYQITRGEELNRIAGVDKTCNYVKWLFLIMQTDFMQMPFPDNTFDAIFGIEATCHAPDVRACYKEIYRVLKPGQCFAAYEWCITDCFDPANLEHQRIKGEVELGNGLPDIRSMEECLEALKLAGFEVMWEKDVAVDSPLPWYLPLDKSQISLSNFRVTAVGRFFTRNMVKTLEFLGLAPEGSQRVQAFLEQAADALVEGGKKGIFTPMYFFLARKPLL >Manes.14G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1479733:1482975:1 gene:Manes.14G002900.v8.1 transcript:Manes.14G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGRYPDGGDGREMGAKRQRLVDQGPSFYGTSTGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHRGGKFSGEAFCVFGYPLQVDFALQKNRQNMGRRYVEVFRSKRQDYYKAIANEVSDAHGGSPRRNAPRAKSYDEGKDSAEHTGVLRLRGLPFSAGKDDIMDFFKDFMLSEDSIHITMNSEGRPTGEAFVEFASAEDSKAAMAKDRMTLGSRYIELFPSSMEEMEEAVTRGR >Manes.14G002900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1479733:1482975:1 gene:Manes.14G002900.v8.1 transcript:Manes.14G002900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGYPDGGDGREMGAKRQRLVDQGPSFYGTSTGSSFMYNPPPYGYVAQPPPFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHRGGKFSGEAFCVFGYPLQVDFALQKNRQNMGRRYVEVFRSKRQDYYKAIANEVSDAHGGSPRRNAPRAKSYDEGKDSAEHTGVLRLRGLPFSAGKDDIMDFFKDFMLSEDSIHITMNSEGRPTGEAFVEFASAEDSKAAMAKDRMTLGSRYIELFPSSMEEMEEAVTRGR >Manes.13G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1880915:1884004:1 gene:Manes.13G015500.v8.1 transcript:Manes.13G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAGSNVVAFISANFGSSGDRRFGQFRQWSPITTGVRQLQPGIDYSIRTQVANAEAASAEATHKVEAPVGVVTGASRGIAKAVALFLGKAGCKVLVNYARSSKEAEEVSKELLYCHKKGKNLHFLYMLLTSLIYIFGLWLGSHFGGDVSKEADVESMMKTAIDAWGTVDVLVNNAGITQDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINISSVVGLVGNVGQANYSAAKAGVIGLTKNVAKEYASRNINVNAVAPGFIASDMTAKLGADIEKKILESVPLGRYGQPGEDAGLVEFLAISPAASYITGQV >Manes.13G047600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5521259:5526642:1 gene:Manes.13G047600.v8.1 transcript:Manes.13G047600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSILTRCSSLKKVPKPSLPNSFHRRPLKHLSSLNVPCRLLPLHTTNNLLSRLFRPSLLRIRSAQGEDAENFEVTAESLNGQDTDANEKIRNQKNNLSAEGNSFLLILAIAVGVAAIITITSIGLKRPSVGSFFGVQFLAEGSTSSALASSPVGFTFKAFGYRIILPEYAPGWIYFWLLMAAGCGLFISEEALNVWVGITLSRLLSVDGTWQSFVESFSRNAPYIMSTVFWVYWGVCISDMIPFYLGKFFTESGATDDVCSKLGIGEEKVSSITRTVQRYGNLAGIGYISRVFLRWSLLWWLDNPSSTARDWISTEGTPCGCPCHRRHSSGDLDHISICSGCFDSTVPLYWTPQLYLAFCWSTQCSEV >Manes.13G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5521259:5526642:1 gene:Manes.13G047600.v8.1 transcript:Manes.13G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSILTRCSSLKKVPKPSLPNSFHRRPLKHLSSLNVPCRLLPLHTTNNLLSRLFRPSLLRIRSAQGEDAENFEVTAESLNGQDTDANEKIRNQKNNLSAEGNSFLLILAIAVGVAAIITITSIGLKRPSVGSFFGVQFLAEGSTSSALASSPVGFTFKAFGYRIILPEYAPGWIYFWLLMAAGCGLFISEEALNVWVGITLSRLLSVDGTWQSFVESFSRNAPYIMSTVFWVYWGVCISDMIPFYLGKFFTESGATDDVCSKLGIGEEKVSSITRTVQRYGNLAGIVERFSLGVRNPTAFLAGTLGISPEFFFAGVCCGGLITLPLQLGIGFLLRERPVVALATVATVVGIWTIFPYALAVLTALFLYIGRRNST >Manes.13G047600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5521255:5527113:1 gene:Manes.13G047600.v8.1 transcript:Manes.13G047600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSILTRCSSLKKVPKPSLPNSFHRRPLKHLSSLNVPCRLLPLHTTNNLLSRLFRPSLLRIRSAQGEDAENFEVTAESLNGQDTDANEKIRNQKNNLSAEGNSFLLILAIAVGVAAIITITSIGLKRPSVGSFFGVQFLAEGSTSSALASSPVGFTFKAFGYRIILPEYAPGWIYFWLLMAAGCGLFISEEALNVWVGITLSRLLSVDGTWQSFVESFSRNAPYIMSTVFWVYWGVCISDMIPFYLGKFFTESGATDDVCSKLGIGEEKVSSITRTVQRYGNLAGIGYISRVFLRWSLLWWLDNPSSTARDWISTEGTPCGCPCHRRHSSGDLDHISICSGCFDSTVPLYWTPQLYLAFCWSTQCSEVW >Manes.13G047600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5521255:5527113:1 gene:Manes.13G047600.v8.1 transcript:Manes.13G047600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSILTRCSSLKKVPKPSLPNSFHRRPLKHLSSLNVPCRLLPLHTTNNLLSRLFRPSLLRIRSAQGEDAENFEVTAESLNGQDTDANEKIRNQKNNLSAEGNSFLLILAIAVGVAAIITITSIGLKRPSVGSFFGVQFLAEGSTSSALASSPVGFTFKAFGYRIILPEYAPGWIYFWLLMAAGCGLFISEEALNVWVGITLSRLLSVDGTWQSFVESFSRNAPYIMSTVFWVYWGVCISDMIPFYLGKFFTESGATDDVCSKLGIGEEKVSSITRTVQRYGNLAGIVERFSLGVRNPTAFLAGTLGISPEFFFAGVCCGGLITLPLQLGIGFLLRERPVVALATVATVVGIWTIFPYALAVLTALFLYIGRRNST >Manes.13G047600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5521259:5526642:1 gene:Manes.13G047600.v8.1 transcript:Manes.13G047600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSILTRCSSLKKVPKPSLPNSFHRRPLKHLSSLNVPCRLLPLHTTNNLLSRLFRPSLLRIRSAQGEDAENFEVTAESLNGQDTDANEKIRNQKNNLSAEGNSFLLILAIAVGVAAIITITSIGLKRPSVGSFFGVQFLAEGSTSSALASSPVGFTFKAFGYRIILPEYAPGWIYFWLLMAAGCGLFISEEALNVWVGITLSRLLSVDGTWQSFVESFSRNAPYIMSTVFWVYWGVCISDMIPFYLGKFFTESGATDDVCSKALVKRRYRASHVLCNDMEIWQALGISPEFFFAGVCCGGLITLPLQLGIGFLLRERPVVALATVATVVGIWTIFPYALAVLTALFLYIGRRNST >Manes.13G047600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5521256:5526642:1 gene:Manes.13G047600.v8.1 transcript:Manes.13G047600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSILTRCSSLKKVPKPSLPNSFHRRPLKHLSSLNVPCRLLPLHTTNNLLSRLFRPSLLRIRSAQGEDAENFEVTAESLNGQDTDANEKIRNQKNNLSAEGNSFLLILAIAVGVAAIITITSIGLKRPSVGSFFGVQFLAEGSTSSALASSPVGFTFKAFGYRIILPEYAPGWIYFWLLMAAGCGLFISEEALNVWVGITLSRLLSVDGTWQSFVESFSRNAPYIMSTVFWVYWGVCISDMIPFYLGKFFTESGATDDVCSKLGIGEEKVSSITRTVQRYGNLAGIGEIGWRVSLGAMMRLILSVQKVIGSSCGNSLCK >Manes.03G118051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24404593:24408179:1 gene:Manes.03G118051.v8.1 transcript:Manes.03G118051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFAEVFVCLCLLFFRRTSTAVDTISPGQSIRDGDTIVSSGQIYELGFFTPGSSSGRYVGIWFKKISTGTVVWVANRETPILDRSGVLNFTDQGILLLLNRTNGIVWSSNKTRTARNPIAQLLDSGNFAVKDENDVNPENYLWQSFDYPCDTHLPEMKLGRNLVTGLDWSLSSWKSLDDPARGDNTAGIDPRGYPQLLYKKGNTITFRPGSWNGIRFTGATRLRPNPVFTYEFVLNEKEVSYKIHLRNRSVISRLVVNATGVTERLTWIDQTHSWARFFAVGEDQCDTYNFCGANAKCNINKASLCDCLKGFEPKSERDWSFQGWSSGCARKTALACSAGEGFVKHPGIKMPDTSSSWFNRSISLKECEDLCLKNCSCVAYANTDITTRSGCLLWFSDLIDIRDFTDTGQDLYVRMAASYLGEIKKKEESRRRKKRAVIIICTTIFGASVLVLAFILYTRKGRIKLQEKMRSVIGRGYYDQSRNEDLELPIVDLMTIMKATDNFSSENKLGEGGFGPVYKGTLLDGQEIAVKRLSMISGQGLEEFKNEVLLIAKLQHRNLVKLLGCCIDGDERMLIYEYMPNKSLDFFIFDQSRSKLLEWNKRINIIDGIARGLLYLHQDSRLRIIHRDLKASNVLLDKGMNPKISDFGMARIFGGDQTEANTNRVVGTFGYMAPEYAVDGLFSLKSDIFSFGVLVLEIVSGRKNRGFHSHDHLHNLVGHAWRLWMEDKPLELIDNMLEESAAFSEIIRCIHVGLLCVQQRPEDRPNMSTVVLMLGGESSLPQPKQPGFFTERFMPEAKSSSSNYRSSTSTNEITITTLDPR >Manes.16G013900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1369851:1372662:1 gene:Manes.16G013900.v8.1 transcript:Manes.16G013900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFKLSISLSLLLSLAITAKAQPKYIHHFCSNTTIFSTNSTYQANLYVLFTFLSSNASRPLGFHYTSAGQNPDDVYGSFLCRGDYSPDVCQDCVSFATQVDLARRCPVEEEAMIWYDQCFVRYSNSSFFSTMEQEPMIYNRNVNNVTDVGLLRYLLNTTMSVAAAEAASIPSEAKKFAVKEVDFEESQKLYYLVQCTPDLSGSDCNSCLQIAISLLLEYCDRSKGGRMWCPSCGVRYEMYIFFNTTVLGAPPLPPVDVLPPAPPPTTEIGTRPPGKRGISTVTIVAIVAAIFVSIVLSVLGFCLARKKCNGVEEDNGGEEISSLQFDLSTLTIATKNFSDDNKLGEGGFGQVYKGTLPDGQEIAVKRLSRSSVQGAREFKNEVLLLAKLQHRNLVKLRGFCLEVQEKILVYEFVPNKSLDDFLFDPEKRGQLDWRRRYKIIEGIAKGCLYLHEDSRPRVIHRDLKASNILLDKDMNPKISDFGMARIFGVDQTHADTSRIARTLGYMPPEYAMHGLFSVKSDT >Manes.16G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28568603:28570343:-1 gene:Manes.16G080400.v8.1 transcript:Manes.16G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFYLNPPTSSPFPVVEIREDQQHLQLFLSPHQAAASLSSAPAFFDTTGHDQDQRGAQLGGSRQHHQEDDKYILQSRWSDDHHKPLSSSSFQAPAISESNSNEVQKFFSCKREDGDYEGSVGEGSAAKWMPSKMRLMHKMMNSSSNFSATDEPVKFTVKFQDQQCHTNNNIRVCADCNTTSTPLWRSGPQGPKSLCNACGIRQRKARRAMAAAAAMANGTVVAMETSPMKTKMHNKEKKLRTGHIAQGKKLRKPLDLAQGQKKLCSFKNLAISLSKNSALQRVFPQDVEEAAILLMELSCGFIS >Manes.05G167200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27879031:27881262:-1 gene:Manes.05G167200.v8.1 transcript:Manes.05G167200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFLLFPAAIEKFLFFPTNATAISTLVILICSLIFWISRRISRTRGKKKAAPEAEGGWPLIGHLHHLGGQQPPHIVLGNMADKYGPIFTIKMGMYRALVVSNWEIAKECLTINDKAFANRPKTLATDLLTYDGAMIGFVSYGNYWRQMRKIATIELLSNHRLEKLKHVRESEVRTALKELYRLWEKKKNNSDMVLVEMKRWFADITSNVILRIIFGKSVGYETTNESEENEKLKVALRDFFDLSGRFVVADAVPFLRWLDIGGHERAMKKTAKHLDLFAERWLKEHKEKKASGFKKGEEDFMDLMLEILDDNAEAVLGRDSDTLNKAMTLSLTLAASDTTLVTFTWVLSLLINNPDVLKKAQHELDENVGKERLVHESDMSNLVYLQAIIKETLRLYPAGPLSLPHESMEGCVVAGYNIPAGTRLLVNLSKIHRDPSVWSNPTEFQPERFLTTHKDYDVRGQHFEFMPFGSGRRMCPGVSFALQVLQLTLATLLQGFDFANPSTEPLDMSESVGLTNLKSTPLECLLHPRLQSHFYQ >Manes.14G013400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1218380:1223637:-1 gene:Manes.14G013400.v8.1 transcript:Manes.14G013400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADHHFDFSSGSLHLASTHPPPLVSLSPFSPIPSPSSRRLSTHFTPSCSVTSARRLARVSLQGRILNAEEASSAKAIGGDLSREQIVAWELFSPIQRFLIVAVIRVAVAKSKKNKLISQLKKSVALRDQVLSSMQQKLDDLCEQVSSIKNNAVSEANASLNNNVESPSVDAFGCDTIKFIDCSCWHCDRHQNLFAGLMGNYAMKLCKEHEVLQYKIPSINEVEQEERRMSDLSDWASSVTSTADIQMNRCTIDQDIFNLKRECDEKDATIKELVTTLQSTENARSKKTAALEDSIRRKNTMIMKLKKDMIVLEQKVVHLTRLHRTSSAPPNLSSKEVPLLLDNIVYDMDSSTSPSSSDSDSSPLNWAQASLTKNQDMPLKSKDLAPTRKQTSASAKNMNSLARLNERQTKSRPGSPLKEIPTNQKPSKLSSLRQKELSASGEFKKIRRQTQTSSTDAAPKKRWV >Manes.14G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1218380:1223637:-1 gene:Manes.14G013400.v8.1 transcript:Manes.14G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADHHFDFSSGSLHLASTHPPPLVSLSPFSPIPSPSSRRLSTHFTPSCSVTSARRLARVSLQGRILNAEEASSAKAIGGDLSREQIVAWELFSPIQRFLIVAVIRVAVAKSKKNKLISQLKKSVALRDQVLSSMQQKLDDLCEQVSSIKNNAVSEANASLNNNVESPSVDAFGCDTIKFIDCSCWHCDRHQNLFAGLMGNYAMKLCKEHEVLQYKIPSINEVEQEERRMSDLSDWASSVTSTADIQMNRCTIDQDIFNLKRECDEKDATIKELVTTLQSTENARSKKTAALEDSIRRKNTMIMKLKKDMIVLEQKVVHLTRLHRTSSAPPNLSSKEVPLLLDNIVYDMDSSTSPSSSDSDSSPLNWAQASLTKNQDMPLKSKDLAPTRKQTSASAKNMNSLARLNERQTKSRPGSPLKEIPTNQKPSKLSSLRQKELSASGEFKKIRRQTQTSSTDAAPKKRWV >Manes.15G154000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12775368:12779468:-1 gene:Manes.15G154000.v8.1 transcript:Manes.15G154000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESSRIPGVGGRTKEECQDMIQRSLRTPMVKFLKEHLEKAGCGVGDNFIKAVNCDKEISGGYVRGEGIMVCSNHMNIQDEVNQVVIHELIHAYDDCRAANLDWANCAHHACSEIRAAHLSGDCHYKRELLRGYMKIRGHEQECVRRRVMKSVILNPYCSEAAAKDAMEAVWDVCYNDTTPFDRAP >Manes.09G081676.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:20699318:20701055:1 gene:Manes.09G081676.v8.1 transcript:Manes.09G081676.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHVQDFVEFALILKMMVKPSPYQKLPYVVPLISLFHNLGFDIENEKHKTNLIAIRELQSDDDRRKNKLEKGKGKIDTSETQVHLSRGKRNMKELKGIKEISYSNVNSVEVFMETQKAFMVKLEGKIDLVFSKLEYF >Manes.16G114500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31973235:31975582:1 gene:Manes.16G114500.v8.1 transcript:Manes.16G114500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSAIVMATRDRERDRELLIPVADSVHDDVAPKPSSSSSSSHHSGRETFCKVVRSWASKKFMTGCVILFPIAVTFYVTWWFIHFVDGFFSPIYAQLGINIFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSTVILQV >Manes.16G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31972812:31979026:1 gene:Manes.16G114500.v8.1 transcript:Manes.16G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSAIVMATRDRERDRELLIPVADSVHDDVAPKPSSSSSSSHHSGRETFCKVVRSWASKKFMTGCVILFPIAVTFYVTWWFIHFVDGFFSPIYAQLGINIFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSTVILQNYSGEEELCCVYVPTNHLYIGDIFLVNAKDVIRPSLSVREGIEIVVSGGMSMPQVLSTLDSSIPLDRSISDRS >Manes.16G114500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31972812:31979026:1 gene:Manes.16G114500.v8.1 transcript:Manes.16G114500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSAIVMATRDRERDRELLIPVADSVHDDVAPKPSSSSSSSHHSGRETFCKVVRSWASKKFMTGCVILFPIAVTFYVTWWFIHFVDGFFSPIYAQLGINIFGLGFITSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSTVILQNYSGEEELCCVYVPTNHLYIGDIFLVNAKDVIRPSLSVREGIEIVVSGGMSMPQVLSTLDSSIPLDRSISDRS >Manes.18G063000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5561657:5563781:1 gene:Manes.18G063000.v8.1 transcript:Manes.18G063000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYPQDPFSYGYKDCFSFPFGGAWGYDFDLQEEDKVFLGIPAEHSSNNIEQRHGLRSNLDSSSPSMIQHAKDHWDPNSSPETCAVDQSFPPPPPGVFHPLVPPPTTAGRRKRRRTKSTKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQHLQTMEAHKKTKQQPAADDVSGFSSSPFADFFTFPQYSSTRTLPAGEESVADQSQWSVADIEVTMVESHANLKILSKKRPKQLLKMVAGLQALRFSVLHLNVSTVDQLVLYSVSVKVEEGSHLNTVDEIAAAVNQMLQRIHEEDAGFS >Manes.02G209100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25142523:25144459:-1 gene:Manes.02G209100.v8.1 transcript:Manes.02G209100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEFDTKILLSFVFIVFFGVVMRLYNVLVLQPKRLRKVFVFSLGNTQILNLNQPDVVKEITTCVSLDLGKPSYQQKDRGPLLGQGILTSNGAFWSRQRKILAPELYMEKVKGMVNLITESTLILINSWKRVIEKDGTSADVISRACFGSNYSRGEKIFLKLRHLQEAMSKKSLATGIPEMRYLPTKSNREAWALEKEIRNLILQVVKERQEGTYEKDLLQMILEGAKNSNLSREAMDRFMVDNSKNIYLAGYETSAVSATWCLMLLAANPEWQDRVRAQVLEICGGGMPDSDMVRKMKLLNMVIHEALRLYPPVPVISREAFKDMKFGNIKVPREVNIWTMVLPLHTDPEIWGSDSYKFNPERYANGISGACKNPFLYMPFGVGPRVCLGQNLAMVELKIVIGLVLSNYCFSISPKYIHSPTLRLVIEPQHGVNLLALV >Manes.08G160100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39597495:39599331:-1 gene:Manes.08G160100.v8.1 transcript:Manes.08G160100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEVILLSAWFSPYALRVQWALKLKGIPFQIVEEDLRKKSHLLLKHNPVNQKIPVLLHQGKAIVDSLSIIEYIDETWNHSPLLMPQDPYDKAMARFWADFIEQKLHKAIRRAKVFKGEKKEREVKQAKEALKILDEMVKGKEFFGGDSVGFLDIALGWITLTLGVFEELACVTVFDSEKYTHLHKWMIKFVELQLIKETLPPKDKLLSVLQSYGHTSLAPLSTKSFNLIKLLIE >Manes.06G012400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1950501:1964666:1 gene:Manes.06G012400.v8.1 transcript:Manes.06G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMIPSRSSRKRRFLEDEDDDADKPPKQKKVRFPKGKKVKPGEEAVLSVAKVEEGPGDSKDPRLAAQERAKHRNLITAELFSEELNDASAAEVTYEDNENFVEDGIQIEPFNLEKEREEGYFDVEGNFVEYVNEKEHKDAWLDSVEVDPRFAGKSSMVTTIEDDNKNDSSELSSDDIAIIKRRIANNLEPGETVLQALRRLKGGSNKRKEKMSAETQLLFDQLTEDANKLLDQGEYNVYHDKQEVFEREAEGYEKLALARGKGTHPSAGQISEYSMGKDLSSDVIDHGAGSSILSNADVGASSTNVSAGETSHNDADAYDMFADDDDNAIAGPSSDTNNLVSGPNSNGLHQPPSSQNSGSETGGVQDDYVYDEVSGYYYSSSTGYYYDPSTGLYCYAASGKWYSFNEETGTYDEIQEASAIAN >Manes.16G036200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4613544:4616938:1 gene:Manes.16G036200.v8.1 transcript:Manes.16G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTARLPLIRPSSFSSVHNPFSHGSPHQIFPQNEDHNSIHCNATTLSSVLQRYINSDNPFYGQKIHAHILKSGFIPNTNISIKLLILNLKCGCLKYARQVFDELPRRTLSAYNYMVAGYLNQGFVAESINLVHRLILDGERPDGYTYSMILKASNCGGSVRLPPNLAGVVHAQIVKSYVEPDDVLSTALVDAYVKSRRIGLARRVFDMMIEKNVICSTSMISGYMNQGSLENAEEIFKKTVEKDIVVFNAMIEGYSKSLETALKALDVYVEMQRLGFRPNLSTFASVVGACSVMAGFEIGQQVQAQLLKSNFINDIKMGSAIIDMYSKCGRIEDARRVFDHMPEKNVFSWTSMIDGYGKIGMPNEALELFHIMQQCCVEPNHVTFLGALSACAHAGLVAKGREIFESMERDYSLKPRMEHYACIVDLLGRAGNLLLAWEFVAGMPEKPNSDVWAALLSSCNLHGNVEMASIAAKELFKLNAEGRPGAYVALSNTLAAAGKWDSVSELREIMKSRGILKGTGSSWIGTESCSARPKRLAKEVNQHFK >Manes.13G116000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32277042:32279986:-1 gene:Manes.13G116000.v8.1 transcript:Manes.13G116000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSGLSSPLGPPACEKDAKALQFIEEMTRNVDSVQERNLAEILRRNSETEYLKRFQLQGATDRDNFKAKIPVVTYEDIQPEIQRIANGDRSAIFSAHPVSEFLTSSGTSAGERKLMPTIQEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKAETKTPGGLLARPVLTSYYKSEHFKNRPYDPYNVYTSPNETILCADSFQSMYTQMLCGLIMREEVLRVGAVFASGLLRAIRFLQINWKQLVGDISSGTLNPKITDPSVRECMTKILKPNPELAEFITNQCSEENWEGIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSAGLPMACTMYASSECYFGLNLKPMSKPSEVSYTIMPNMAYFEFLPHEPSAPAPSRDCPPRLVDLADVEVGKEYELVITTYSGLYRYRVGDILRVTGFYNKAPQFRFVRRKNVLLSIDSDKTDEAELQKGIENASILLREFNTSVVEYTSYADTKRIPGHYVIYWELLVKDPANSPTEEVLNQCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPSLPHWTPERRR >Manes.13G116000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32277042:32279986:-1 gene:Manes.13G116000.v8.1 transcript:Manes.13G116000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSGLSSPLGPPACEKDAKALQFIEEMTRNVDSVQERNLAEILRRNSETEYLKRFQLQGATDRDNFKAKIPVVTYEDIQPEIQRIANGDRSAIFSAHPVSEFLTSSGTSAGERKLMPTIQEELDRRQLLYVPGLDKGKGLYFLFVKAETKTPGGLLARPVLTSYYKSEHFKNRPYDPYNVYTSPNETILCADSFQSMYTQMLCGLIMREEVLRVGAVFASGLLRAIRFLQINWKQLVGDISSGTLNPKITDPSVRECMTKILKPNPELAEFITNQCSEENWEGIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSAGLPMACTMYASSECYFGLNLKPMSKPSEVSYTIMPNMAYFEFLPHEPSAPAPSRDCPPRLVDLADVEVGKEYELVITTYSGLYRYRVGDILRVTGFYNKAPQFRFVRRKNVLLSIDSDKTDEAELQKGIENASILLREFNTSVVEYTSYADTKRIPGHYVIYWELLVKDPANSPTEEVLNQCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPSLPHWTPERRR >Manes.06G169500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29426735:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQAMDSSGTDDDLPPPHRNRFQSGVRPAGNGRSAAVGSASLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSSMQYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESDGEHPYSHG >Manes.06G169500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29427503:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSSMQYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESGNN >Manes.06G169500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29426735:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSSMQYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESDGEHPYSHG >Manes.06G169500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29427503:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESDGEHPYSHG >Manes.06G169500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29427503:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSSMQYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESDGEHPYSHG >Manes.06G169500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29426735:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQAMDSSGTDDDLPPPHRNRFQSGVRPAGNGRSAAVGSASLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESDGEHPYSHG >Manes.06G169500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29427503:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESGNN >Manes.06G169500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29426735:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQAMDSSGTDDDLPPPHRNRFQSGVRPAGNGRSAAVGSASLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESGNN >Manes.06G169500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29426735:29432506:1 gene:Manes.06G169500.v8.1 transcript:Manes.06G169500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQAMDSSGTDDDLPPPHRNRFQSGVRPAGNGRSAAVGSASLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDIIRRIREWRKTNGLQPGMPSTAQPSLDPAPSPTVSASRKKQKTSQSVASLSIGAPSPALPSMQPSSSALRRGPPPGPRSKKPKSSMQYPSTGLTGRAQAGIRSSSGAFATNEAAEATSYDPLIGRKVWTRWPEDNQYYEAVITDYNPVEGRHALVYDINSADETWEWVNLKEISPEDIRWEGEDPGIFHRGGWPGPGRGNKKSMAAHGGALAGAGRGRGTMKGQSRKDFPLSQNGIGKKALGDIEILHTDTLIKEVEKVFAASHADPMEIDKARKVLKEHEQALVDAIAKLEDASDGESGNN >Manes.16G054300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18051220:18054508:-1 gene:Manes.16G054300.v8.1 transcript:Manes.16G054300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLPSRSSANYRRTIARATNVQPIAPGARLQDPGLAPAPNVRPLFQFLAFGLILILGSLQFLLPVTHFRNPSDPFRNWVPFKTSSSPLTKIEASRNGDSGGDNGMVHVVSWMDCLDLRLLAVLANSTLSSSRYPDLVHFHFFIPGGNEDKVSFYKLKVLFPHSNLQIHGQDEVKELHATAVRGVQYNETSLEEIVPFLIPIVHHFLNKFIFVSANVIMKGKVEDLIGVDLTNYAVAAAEDCNEKLSTYVNSDVLDAIQRSASKPWVPERPYVKDSCIPDLRVLLFDANKLEKDYMEAILWWSKVLNLRERFCILHFAFHISLFSFADLVVSFFSFC >Manes.16G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18049517:18054508:-1 gene:Manes.16G054300.v8.1 transcript:Manes.16G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLPSRSSANYRRTIARATNVQPIAPGARLQDPGLAPAPNVRPLFQFLAFGLILILGSLQFLLPVTHFRNPSDPFRNWVPFKTSSSPLTKIEASRNGDSGGDNGMVHVVSWMDCLDLRLLAVLANSTLSSSRYPDLVHFHFFIPGGNEDKVSFYKLKVLFPHSNLQIHGQDEVKELHATAVRGVQYNETSLEEIVPFLIPIVHHFLNKFIFVSANVIMKGKVEDLIGVDLTNYAVAAAEDCNEKLSTYVNSDVLDAIQRSASKPWVPERPYVKDSCIPDLRVLLFDANKLEKDYMEAILWWSKVLNLRESTSPKGLAVSLALYNRHLRLSSSWLVKHSTSTDVNNSMVVLYDGPSVCYESGSGTIEGNAWTQFLPSISNQILGS >Manes.15G172400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15241387:15248123:1 gene:Manes.15G172400.v8.1 transcript:Manes.15G172400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGHLFNNISLGGRGGTNPGQLKLHAGGIQWKKQGGGKAVEVDKADVTGVTWMKVPRTNQLGVRIKDGLYYKFTGFRDQDIANLTNFFQSSCGVTLEEKHLSVTGRNWGEVDLNGNMLTFLVGSKQAFEVSLADVSQTQLQGKNDCILEFHVDDTTGANEKDSLMEISFHIPGNNTQFVGDENRPPAQVFREKILSMADVDPGGEEAVVTFDGVAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVIVTLDPPIRKGQTLYPHIVLQFDTDNVIQNTLSINEDLLSTKYKDKLEPSYKGLIHDVFTTVLRGLSGSKVTKPGKFRSCQDGYAVKSSLKAEDGLLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKSEQEHLFRNIQRNEYHNLFDFISGKGLKIMNLGDMQTANGVAAVLQNDDDDAVDPHLERIKNEAGDESDEEDEDFVADKDDEGSPTDDSGDEESDASESGDVKKKPVKKESTKEPSSSKGVSKKRVKDGNEDASKKKKQKKKKDPNAPKKAMSGFMFFSQMERENVKKSNPGIAFGDVGKILGDRWKQLSAEDKEPYEAKARADKKRYKDEVSGYKNPKPVNLESANESDSA >Manes.05G107200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10189178:10190986:-1 gene:Manes.05G107200.v8.1 transcript:Manes.05G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCIMLICLFVALLATLVQGQRTRVGFYSRTCPTAESIVKSTVDTHFKSNPAVAAGLLRMHFHDCFVQGCDASILIDGSNTEKTALPNLGLKGFEVIDDAKTQLEAACPGIVSCADILALAARDSVVLTGGRSWRVPTGRRDGRVSLVSDTANLPGFTDSIDKQKQKFAEKGLNAQDLVALVGGHTIGTTACQFFNYRLYNASGNGSDPTINASFLPQLQALCPQNGDGTKRVALDTGSVNKFDVSFFSNLRNGRGILESDQKLWTDASTRTLVQRFMGVRGLAALKFNVEFGKSMVKMSNIGVKTGSDGEIRKKCSVIN >Manes.01G169000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35163178:35163737:1 gene:Manes.01G169000.v8.1 transcript:Manes.01G169000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAAKYWEEEASVWPPRFYFCNFCGREFRSAQALGGHMNVHRRDRASLKQSPSAGVSTPMTMAIGDTSVIPGGKKKENVSRKRQRSDEAFINESSSMKKDNLQADVNKRVCQDATQDLDLELRLGFSHV >Manes.03G150800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27863467:27867118:1 gene:Manes.03G150800.v8.1 transcript:Manes.03G150800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQGTRLRLGLCSFKHPFLWNISPSIASTTTTTAGAIQTCLCLHSNALNPLPQRSPAFHGVRYMQRQLPFQRLFCSEATGDKEKMKKKPMANEDSITIRINEKLTDKLTNKFPVFPDHCIFKVPKQLRSVNEEAYEPQLIAIGPYHHGKDHLLAMEDHKIRYLQSFLQRSAQKDVSRYVQTIRNLEERARKSYAEHLSFEHDEFVEMMLIDGCFIIEFIHKMVEFDVQDPIMGSGHMYVRLMLDLLLLENQLPFFILRELLWTSNVISNPEINFTRLILKAYKHYLSGPGCDMSRVYTSVEMMQIKSILGLVHDNGQPSPERTEVYKKMRETKKPSSTRCATELKEAGIKFKSVEGRNLFDIKFEKGKIEIPKIEITDVTECVLRNLIAYEQLTSFTSPKYFTDYMIFMDSLINSKKDVELLCRKGIIDNWKGDDETIAIIFNKLGEHVFCESALYADIVNNVNEHCKKRWNLWMAKLKHHYFQCPWSSMSVVAAIILLLLTLIETGYSVLSYYK >Manes.07G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2698477:2702719:1 gene:Manes.07G024700.v8.1 transcript:Manes.07G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAQIHVLGGIGFSSSSSRKPSFSFSPKTVFFGQNLRSQTAAFLRHSNTTRRRYSTGPLRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGNFKNDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPSDEVERMVNEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVEAKLKELKDAIADGSTQGMKDAMAALNQEVMQLGQSLYNQPGAGGPGPAPGGEAGPSDSSNKGPDGDVIDADFTDSK >Manes.03G130500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25689453:25696299:1 gene:Manes.03G130500.v8.1 transcript:Manes.03G130500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKSRGVDTSAKSVVSKKWSLLLCIGCFCAGMLFSDRMWTVPEVKDISRTTRVEDEKLKLVSEGCAPETKDVRHESKDIMGEVSKTHHAIQTLDKTISNLEMELAAARAAQESILNGSPVSDNLKITQSSGKRKYLMVIGINTAFSSRKRRDSVRATWMPQGDKRKKLEEEKGIIIRFVIGHSATAGGILDRAIEAEDKKHGDFLRLEHVEGYLELSAKTKTYFATAVALWDADFYVKVDDDVHVNIATLGATLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQLYAISNDLATYISINQHVLHKYANEDVSLGSWFLGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICKSVERIKEVHRRCGEGENALWSAEF >Manes.13G016200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1840147:1843889:-1 gene:Manes.13G016200.v8.1 transcript:Manes.13G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIEDKGCFNHGPIQEISTSNGISFEFHKGNGANRTSHHRTALGKPAPSKWDDAQKWLVGLSRGGDKNQSKPRNSNADDRRLITPVPQQEQDYPSDEDEVEGQETNGFPDSAANPYEVETKKVDCDESIWRINKPAQNSNSSAPRSICVRDMGTEMTPIASQEPSRTATPIRAGTPVARSPISSGSSTPVRHQHGQQGTDQGYPGAETSSAARGHHGEEPNGSKMSENKESHEARNLNPLETRAIAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMEMKKMEVKAERIKARAQEKLASKLAATKRIAEEKRANAEAKMNEKAVRTAERADYIRRTGHLPSSFSFKLPSLCW >Manes.01G102466.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30191011:30229140:1 gene:Manes.01G102466.v8.1 transcript:Manes.01G102466.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYAFINGIEMASVPTNLYHTAGDNPGLKFVGQNKPVGLENNAVSEVMYRINVGGAFISPLDDSVLFRSWLSDEDYLTIAEPSAFLLNSTIQLRYTIFTRFAAPDLLYKTGRSMGMDRETNENYNITWEFQVDSGSTYFIRLHFCEFQPPITQKGDRVFQIYIANQLAESEADIIDWSGGNGFPTFRDYAVTMGAGGSKKLENLSIALHPAVYTVYSDAILNGIEIFKWLKSEIQATSIPTSAKPLINRTIVFAIVVGVVLGFIIVIPLLLFFIARRRVKDKDSEDRVSWLPQFVSLKKSKRQGF >Manes.15G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:206785:208602:-1 gene:Manes.15G003200.v8.1 transcript:Manes.15G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GolS2 MSRNTIVDPKTRAYVTFLAGNGDYVKGVVGLAKGLRKAKTAYPLVVAVLPDVPEEHRHILESQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYEKMIYLDGDIQVFENIDHLFDSPNGYFYAVMDCFCEYNWRFSPQYKIGYCQQCPERVQWPKEMGSPPPPYFNAGMFVFEPELLTYSHLLDTLKDTPPTSFAEQDFLNVFFKDVYKPIPPVYNLVLAMLWRHPENVEFDKVKVVHYCADGAKPWRYTGKEVNMEREDIKLLVKKWWDIYEDESLDYKNAVPADHGKLGSIIAAMTEDEVVHQRNAPSAA >Manes.01G112100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31032936:31038931:-1 gene:Manes.01G112100.v8.1 transcript:Manes.01G112100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPNPPSYTVVEDESTPGRLVIPEVPRRDEVDILKLRTRRGNEIVAVHIKHPKASTTLLYSHGNAADLGQMFELFVELSKRLRINLMGYDYSGYGQSSGKPTECNTYADIEAAYNCLREQYGVKDEQLILYGQSVGSGPTVDLASQLTNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGMVNCPVLIIHV >Manes.01G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31030654:31038931:-1 gene:Manes.01G112100.v8.1 transcript:Manes.01G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPNPPSYTVVEDESTPGRLVIPEVPRRDEVDILKLRTRRGNEIVAVHIKHPKASTTLLYSHGNAADLGQMFELFVELSKRLRINLMGYDYSGYGQSSGKPTECNTYADIEAAYNCLREQYGVKDEQLILYGQSVGSGPTVDLASQLTNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGMVNCPVLIIHGTADEVVDCSHGKQLWELCKEKYEPLWISGGGHCNLELYPEFIKHLKKFVLTIGKSKTAPNGSKKTTVELENENKPSESGTSDTFELVPDLPEVSRNSLDSRLEKSKKPNKPEKSRMSTDRVDRFRRSKGMVW >Manes.01G112100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31030654:31036754:-1 gene:Manes.01G112100.v8.1 transcript:Manes.01G112100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLFFLISLWNFYYMFGFGLISSLVCMVLPRYDYSGYGQSSGKPTECNTYADIEAAYNCLREQYGVKDEQLILYGQSVGSGPTVDLASQLTNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGMVNCPVLIIHGTADEVVDCSHGKQLWELCKEKYEPLWISGGGHCNLELYPEFIKHLKKFVLTIGKSKTAPNGSKKTTVELENENKPSESGTSDTFELVPDLPEVSRNSLDSRLEKSKKPNKPEKSRMSTDRVDRFRRSKGMVW >Manes.09G132900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33379326:33381711:1 gene:Manes.09G132900.v8.1 transcript:Manes.09G132900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELHSYEKEVEREAAKTTEMKEKGSDPYDLKQQENVLAESRMMIPDCHKRLEAAIADLKATLVELEESDHKDGPEIEDARSTLAEVTQLFQATE >Manes.09G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33379119:33381711:1 gene:Manes.09G132900.v8.1 transcript:Manes.09G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRIVKELHSYEKEVEREAAKTTEMKEKGSDPYDLKQQENVLAESRMMIPDCHKRLEAAIADLKATLVELEESDHKDGPEIEDARSTLAEVTQLFQATE >Manes.01G051600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19640687:19645756:1 gene:Manes.01G051600.v8.1 transcript:Manes.01G051600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWKKQEQPRGFTVISLLILSLMRFSALGRSSINVGGAGKWIVFAEASTGIGGRGRGASFVGSGAGGGFRGVAATSSNVGIAIAVTVMAGLALAASVVYSHRGGHKSPWSFRRRKHALLPKQWKGFFTSDGRLYDGGIKFLKKARSGGVDPSIRAEVWPFLLGVYDVNSSKEERDHTRAQKRKEYENLRKQCQRNIKRREKGLKLKETALISSNEESGEFNQVMDTPEFEDDVSATRSSFTEGCSLAAEGLDNCDKILQKSDSILEEDCDRSMLTCEDGLAGNTDSTVSDSSEESENMELFFLTEVIEENDLSMPALDSSPSDAESGFKKEETFATWQRIIRVDAVRANGEWIMYSPTQAAIPALKARQLAESVGLKDYDHLEPCRIFHATRLVSILEAYALYDPEIGYCQGMSDLLSPIIAVIEEDYEAFWCFVGFMKKARHNFRLDEVGIRRQLGIIAKIIKCKDIHLYKHLENLQAADCFFVYRMVVVLFRRELNLEQTLCLWEVMWADQAAICAGIAKSAWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSVDDIMRDCNNMAGQLDVWKLLDDAHDLIVTLHDKI >Manes.01G051600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19640686:19645983:1 gene:Manes.01G051600.v8.1 transcript:Manes.01G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSERKPLMRALRRSHTSASSTLNSSSPSSSSSWIHLRSVFLVVAFSSSSSASSSSSPLSTDRGGHKSPWSFRRRKHALLPKQWKGFFTSDGRLYDGGIKFLKKARSGGVDPSIRAEVWPFLLGVYDVNSSKEERDHTRAQKRKEYENLRKQCQRNIKRREKGLKLKETALISSNEESGEFNQVMDTPEFEDDVSATRSSFTEGCSLAAEGLDNCDKILQKSDSILEEDCDRSMLTCEDGLAGNTDSTVSDSSEESENMELFFLTEVIEENDLSMPALDSSPSDAESGFKKEETFATWQRIIRVDAVRANGEWIMYSPTQAAIPALKARQLAESVGLKDYDHLEPCRIFHATRLVSILEAYALYDPEIGYCQGMSDLLSPIIAVIEEDYEAFWCFVGFMKKARHNFRLDEVGIRRQLGIIAKIIKCKDIHLYKHLENLQAADCFFVYRMVVVLFRRELNLEQTLCLWEVMWADQAAICAGIAKSAWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSVDDIMRDCNNMAGQLDVWKLLDDAHDLIVTLHDKI >Manes.02G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5035084:5038632:1 gene:Manes.02G066100.v8.1 transcript:Manes.02G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKTIAHEIGGIQNDALRFGLHGVKSDLVGAHPLESAYQSAKKTQEQMKRKVLSNTFGSAFPLKMDLDSQILSRFQRPPGPIPSSMLGLEAHTGRLDDFGFEDYLNDPRESETLRTPDMHHAMEVRLGLSKGPVCPSFL >Manes.08G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36642497:36654623:-1 gene:Manes.08G126700.v8.1 transcript:Manes.08G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKKLHIGSNHEPHRSNETPSSPPYTSDHRTAPANLPASPPSTSSSPASTVTGATISSPANNSNSRTDYFSSEEEFQVQLALAISASNSEFRDDPEKDQIRAATLLSLGGNNHHNHHRIDVGREKGEAAAEALSRQYWEYNVLDYEEKVMDGFYDVLSISPAVRGKMPSLTDLETNPASSGFEAVIVNQVIDPALEELVQVAQCIALDCPATDVGILVQRLAELVTGHMGGPVKDANIMLARWMERSTELRTSLHTSVLPIGSINIGLSRHRALLFKVLADNTRLPCRLVKGSHYTGIEDDAINIIKLEDEREFLVDLMADPGTLIPADVLSVKDTTFKSYNPNISKIPGIHSSNAPAIVSAGSKPSLGEGSSQNSSVDGSLPLDRRLTAESMESLASFSGANSDVGVGSSGVSSRASTTQHDNISSSVIGSSLYKGSRGAHGIGDAVRVNVNVVPYNQNGSEDSKFLFSDLNPFQIKGTGKSFIHNKPAENKVEDFQGQKNNPFPGRPPVPLMWKNRHAYNEVPRKKEYDYMEGLFPRINREPNNYNQSSLASTSSTMSDKVYSQGLKSSGNLNTASRDGNDTNASSGTSSALAPGMNQCYNSSLVEEVNSSFKEENSRDAKNFAKDAERMVKDDENSEICSHDRRKSSHDRFLGTNLKLKEPESPSSSIDSSTNRVDQIFDDVDVGECEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRILHRPHCQIDEKRRIKMALDVARGMNCLHASIPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLEIPKDVDPLVARIIIECWQTEPNLRPSFAQLAVALKPLQRLVIPSHLDQPSSPLQQEISVNSTP >Manes.16G135300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33604956:33609648:1 gene:Manes.16G135300.v8.1 transcript:Manes.16G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLAHPLPFCSSSHVSHSSPTNFSIRFKLQASSSQQLSSNPKSPSSQSLSQRKTGVIVIGAGLAGLAAANRLNSENIPFLLLEASDGVGGRVRTDIVDGFLLDRGFQIFITAYPEAQKLLDYQALDLQRFYSGARIYFNGQFHTVADPIRHFWDSIKSLTNPIGSIIDKLLIGSTRIQVLTKSDDELLSSNEVTTIDLLRNIGFSDSIICRFFRPFFGGIFFDRELQTTSRLFNFIFKCLALGDNTLPAKGIVAIPNQLVAKLPPDSVLLNTRVASIEFHEPSSGEITTPRVRLENGEILESEIGVILAVEEPEVDKLLAGRKMEPIQRKPPRSTVCVYFSADRDSIPVQDPVLFLNGSGEGIINNMFFATNVAPSYGPPGKALMSVSLIGLLEDVSDEDLTSQIVQELSGWFGGSIVKPWKYLRTYRIGFAQPNQCPPTDLKKNYRVEPGLYLCGDYMTNATFDGALVSGRKAVEALLRDRVLNKV >Manes.02G212400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:26611710:26614942:-1 gene:Manes.02G212400.v8.1 transcript:Manes.02G212400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNVSRASRLSGANWESLVLPVIEFSSVFHPGIFKTMREIKVKVKGSSGLCTLASDGDSVSVDEQKTCSSSSSVQSTPEIEKKYVHRVYDAIAPHFSSTRYAKWPKVATFLNSLPAGSVILDAGCGNGKYLGLNPECFYMGCDISAPLIKICADRGHEVLVGDAVNLPYRTGFGDAAISIAVLHHLSTENRRKKAIEELVRVVKKGGLVLITVWAVEQEDGSLVAKWTPLTQKYVEEWIGPGSPRVRSPSCITLESIPENEENNNSKEHVKDTKGNGDGELSNAMDLASRTENDFIVSEDERTAKNQQEYFVPWHLPYHRAEVSGASACALANGLAKKDDKKGAVVYNRYYHVFSEGELERLATGMKNAVVVDRFFDKSNWCIILEKTS >Manes.18G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:778555:781838:-1 gene:Manes.18G004100.v8.1 transcript:Manes.18G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKSPSLHLLCVGRGTLPTFKFSFTLFETTPRRILLFAFFSLFLCVSFSLFSSHNSIYANHLSVNYSSLPPIHPTRLASSSFQDFIFIHTQSVLLPDWQVLVIVSPETDSQLLSCGNFICVYPNNATAPATFSGILPSTNQTTFKCLLPRSTRRRLPFAAPILSDKESPVPWLWTYLVYESFSTEDDVVLFVKGLNNRQGLNRSPREFNGTVKTTVTSSIQEVFRCGHPDLTAFGSIDEHDHDHNPIKLKLSVEETTATGAKKVVPSVAYYTPRRKRAKSQPKSLLCASTMVYNVGKFLREWIMYHSKIGVEKFILYDNDSDDDLISIVKNLNEEGYNVETLLWLWPKTQEAGFSHAALYAKDSCKWMIYIDIDEFIFAPSWDNSSQPSDQMLKSLLPPSSTSRRHMIGEVWIISHPAEGVIQGYTCRRRLENRHKSIVLLEAIDDSLLNVIHHFSLNKFAVVNHYKYQAWAEFKAKFRRRVSAYVDRTPGLGYEAVEPRGLKMLTRRWFGKQMGSRGTYRMAWQS >Manes.04G040225.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7104775:7113471:1 gene:Manes.04G040225.v8.1 transcript:Manes.04G040225.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTAGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQRDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSISNMPFAYTVFIGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSQKEISPAPSDVTMNISAGHFEVAASVFHVIYTSEKSGIYEIYVFCGNILLSGVQSFRKEVKAGKVDVSLSKIVKFSPKVPKLMENEIWVQLMDSFSNHVLSQQSLLKLEIASVNTSGFSTEMFVDNNDGSYTCQYMAKDVRTYEMCVSFDGVNLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIIEFSKDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIYVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPFWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKSLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYADCTDNISMPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNFQKESSSADLSEKTTDLTGGCSRYLSIYHRTSSFRQR >Manes.04G040225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7104782:7113471:1 gene:Manes.04G040225.v8.1 transcript:Manes.04G040225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTAGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPGKIYPSVCIASWMGFLNEFEAGERATIFIVPRDAFGNDVSSTAEELNSYNFTVSVLYANGSLANVPNITHVGWNELGIISIEFIAEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQRDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSISNMPFAYTVFIGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSQKEISPAPSDVTMNISAGHFEVAASVFHVIYTSEKSGIYEIYVFCGNILLSGVQSFRKEVKAGKVDVSLSKIVKFSPKVPKLMENEIWVQLMDSFSNHVLSQQSLLKLEIASVNTSGFSTEMFVDNNDGSYTCQYMAKDVRTYEMCVSFDGVNLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIIEFSKPNCGSLLQDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIYVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPFWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKSLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYADCTDNISMPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNFQKESSSADLSEKTTDLTGGCSRYLSIYHRTSSFRQRSSRHFEIAESEQDIHSPSQSTRGHHLQGLPDFMPLAIEKGS >Manes.04G040225.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7104775:7113137:1 gene:Manes.04G040225.v8.1 transcript:Manes.04G040225.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTAGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQRDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSISNMPFAYTVFIGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSQKEISPAPSDVTMNISAGHFEVAASVFHVIYTSEKSGIYEIYVFCGNILLSGVQSFRKEVKAGKVDVSLSKIVKFSPKVPKLMENEIWVQLMDSFSNHVLSQQSLLKLEIASVNTSGFSTEMFVDNNDGSYTCQYMAKDVRTYEMCVSFDGVNLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIIEFSKPNCGSLLQDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIYVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPFWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKSLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYADCTDNISMPLHVKATVNLIRKRPMSSLAVHILLFFTCKCAILLVNERSSFKFQNSKQSTLRNFQKESSSADLSEKTTDLTGGCSRYLSIYHRTSSFRQR >Manes.04G040225.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7104775:7113502:1 gene:Manes.04G040225.v8.1 transcript:Manes.04G040225.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTAGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQRDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSISNMPFAYTVFIGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSQKEISPAPSDVTMNISAGHFEVAASVFHVIYTSEKSGIYEIYVFCGNILLSGVQSFRKEVKAGKVDVSLSKIVKFSPKVPKLMENEIWVQLMDSFSNHVLSQQSLLKLEIASVNTSGFSTEMFVDNNDGSYTCQYMAKDVRTYEMCVSFDGVNLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIIEFSKPNCGSLLQDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIYVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPFWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKSLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYADCTDNISMPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNFQKESSSADLSEKTTDLTGGCSRYLSIYHRTSSFRQR >Manes.04G040225.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7104775:7113137:1 gene:Manes.04G040225.v8.1 transcript:Manes.04G040225.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTAGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQRDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSISNMPFAYTVFIGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSQKEISPAPSDVTMNISAGHFEVAASVFHVIYTSEKSGIYEIYVFCGNILLSGVQSFRKEVKAGKVDVSLSKIVKFSPKVPKLMENEIWVQLMDSFSNHVLSQQSLLKLEIASVNTSGFSTEMFVDNNDGSYTCQYMAKDVRTYEMCVSFDGVNLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIIEFSKDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIYVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPFWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKSLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYADCTDNISMPLHVKATVNLIRKRPMSSLAVHILLFFTCKCAILLVNERSSFKFQNSKQSTLRNFQKESSSADLSEKTTDLTGGCSRYLSIYHRTSSFRQR >Manes.07G082184.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25603985:25683349:-1 gene:Manes.07G082184.v8.1 transcript:Manes.07G082184.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAQKPGVIINLGSASGLYPMINDPIYSASKGGVVMFTRSLVPYKHQGIRINVLCPEFVETEMGLSVDAKFVDRVGGFVPMQMVVKGAFELITNDNKAGACLWITNRRGMEYWPTPTEEAKYLVRSSASRKRMSFKALVNVQLPQSFEKIVVHTLSHNFRNATCIIRAPLRLPIEANHVLVKVIYAGVNASDVNFSSGRYFTGNNKDIGSRLPCDAGFEAVGIIAAMGDSVRDLKVGTPAAIMTFGSYAEFTVVPAKHILPVPRPDPEVVAMLTSGLTASIALEKAGQMESGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKAVLLKELGVDRVIDYKVENIKTVLKKEFPNGIDIIYESVGGDMFDLCLNALAVHGRLIVIGMISQYQGEYGWMPLNYTGLCEKILAKSQTVAGFFLIQYDHLWQRHLDRLFDLFSMGKLKILIDPKRFLGVQSVADAVEYLHSGKSVGKVVVCIDPTFSEQMAKL >Manes.12G074300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8183084:8187812:-1 gene:Manes.12G074300.v8.1 transcript:Manes.12G074300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSRGELNSYKSVVSQKWTLFLCLCCFCAGMFFTNRIWIVPESKGITRTTATEAEKLKLVSEGCGIRILQQKEVRRDSKDLIGEVYKTHSAIQTLDKTISNLEMELAAARAAQESMLSGSPLSEDVKKTGSSGKKRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAAAVWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWSATF >Manes.12G074300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8183084:8187701:-1 gene:Manes.12G074300.v8.1 transcript:Manes.12G074300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSRGELNSYKSVVSQKWTLFLCLCCFCAGMFFTNRIWIVPESKGITRTTATEAEKLKLVSEGCGIRIKEVRRDSKDLIGEVYKTHSAIQTLDKTISNLEMELAAARAAQESMLSGSPLSEDVKKTGSSGKKRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAAAVWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWSATF >Manes.12G074300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8183084:8187701:-1 gene:Manes.12G074300.v8.1 transcript:Manes.12G074300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSRGELNSYKSVVSQKWTLFLCLCCFCAGMFFTNRIWIVPESKGITRTTATEAEKLKLVSEGCGIRILQQKEVRRDSKDLIGEVYKTHSAIQTLDKTISNLEMELAAARAAQESMLSGSPLSEDVKKTGSSGKKRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAAAVWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWSATF >Manes.12G074300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8183084:8187812:-1 gene:Manes.12G074300.v8.1 transcript:Manes.12G074300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSRGELNSYKSVVSQKWTLFLCLCCFCAGMFFTNRIWIVPESKGITRTTATEAEKLKLVSEGCGIRIKEVRRDSKDLIGEVYKTHSAIQTLDKTISNLEMELAAARAAQESMLSGSPLSEDVKKTGSSGKKRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHSATSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAAAVWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWSATF >Manes.07G133000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33459423:33460157:-1 gene:Manes.07G133000.v8.1 transcript:Manes.07G133000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPPEPPSPRYFSCLSFKDINAILEEENGSKSQIPRRPSIFHRASPLHRHHRNRSKTFIISPPPNQDDHKIILYFTSLGVVRKTFEDCRTVRSILRGFHVPIDERDLSMDAGYLDEIQIITASKKVRLPAVFLGGNYVGGAEEIKKMNESGELSKLLGGLPFVGNNIKIKFNSVCDVCGGLRYVLCAQCNGSHKIYSEKHGFRTCASCNVNGLIKCGLCYPVQCKQSD >Manes.15G171500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15426817:15429432:1 gene:Manes.15G171500.v8.1 transcript:Manes.15G171500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLLLNRNGQEGHDYSLQPQPGRFLDQSHMLFSNGGTNNPRKRGREAAAAATAATITTTTIGAITTTPTTIHPFSIQSQPPQLIDLSQLHNHHHPSQPNVVSTGLRLSFDDQQQQIQQNHHYQNQQQQSFVSQSSPFLSLLSEDFATEIKRQRDEIDQFLQAQGEQLRRTLADKRQRHYHALLSTVEESIAKRLKEKEAEVEKATRRNAELEARATQLNVEAQVWQAKARAQEATAASLQAQLQHAIMTGGVPAYDNRRGEDGGLGCSGGAEGQAEDAESAYVDPDRVMVTAGPSCKGCRRRAATVVVLPCRHLCLCTECDQVAQACPLCLQVRNSSVEVFLY >Manes.10G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9556699:9563638:-1 gene:Manes.10G066100.v8.1 transcript:Manes.10G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGGGASGGGGGGGGGGSVYWGRKGHEFKGIVVIFAWISLPDNHLKSYVDLYSSLGWNSLVSHADFLSGFFPEKALSLAYILLNELVKVIRIRPCPITFAAFSGGSKACMYKVFQIIQETCDGHGNLGESRLLRDCVSGHIYDSSPVDFTSDLGAQFALPPAIQKMPGPSKLVSWFAKGITSGLDGLYLTRFESQRVEYWQTLYSSVDFGAPYLILCSENDHLAPYKCIHRFAKRLQDLGGDVKLVKWNVSPHIGHYKHNPIQYRAVVTDFLEKAASVYSRRIQQLREGVGLDSMHDEISELVCNLQKAAVNSNQSLRSVAVGPGDHFFVPTSAEYQSNRESEPSQDGRKETSVYLRPSPSINANSILGQMLFDVCVPKNVEGWDIRFSGSLNGQPIASARRQSLLHGIKLPRRSKL >Manes.10G066100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9556699:9563638:-1 gene:Manes.10G066100.v8.1 transcript:Manes.10G066100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVFQIIQETCDGHGNLGESRLLRDCVSGHIYDSSPVDFTSDLGAQFALPPAIQKMPGPSKLVSWFAKGITSGLDGLYLTRFESQRVEYWQTLYSSVDFGAPYLILCSENDHLAPYKCIHRFAKRLQDLGGDVKLVKWNVSPHIGHYKHNPIQYRAVVTDFLEKAASVYSRRIQQLREGVGLDSMHDEISELVCNLQKAAVNSNQSLRSVAVGPGDHFFVPTSAEYQSNRESEPSQDGRKETSVYLRPSPSINANSILGQMLFDVCVPKNVEGWDIRFSGSLNGQPIASARRQSLLHGIKLPRRSKL >Manes.12G062101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6194765:6196732:-1 gene:Manes.12G062101.v8.1 transcript:Manes.12G062101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPGHSPRHLSPNPQNALKISNASSISTPANPRKCPAVLDEDMYVAAIEKIIERDFFPDLAKLRDRLDWLEAVKTGDPIQIRDAQLKIIERRIERVNNSTADGNTQTRSQKQTPCSTFMRNFTPFDEFDNKTASAANMEFSGNEESGEHEVAVDDSMSLDDFFRRYTSEDNDSFSKILEKENRKRKERYGHLLEGEKLDEKLSGDAKRNRITDGYGTSDQPPSTLEGWNYTAKNLLMYGPSERSEAPLTEEERAVRLKGLTKEINRSNTRFHGKMLDSRPDDDGTLEVLYTPIVGATPLPVLDRDEDKAKKYDLEDLRKTPNMFYVESGQKAENGYSFVRTPSPAPGVDESPFITWGEIEGTPLRLEPEDTPIDVGGSSDGPHFKIPNLPARDMKAHSLSREVARKLRERSKMFQKPPLPSPASGGSASPSVRILSPAAQKFMRDAISKCSSSIDLSLRASYRRGSPGIGTPKSGRSVSRFGRDGSMSFGSPSVREDFNPL >Manes.14G119000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11433558:11436268:1 gene:Manes.14G119000.v8.1 transcript:Manes.14G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDEPNYTRLNSLLHSFHCKTLHQQNLFFDSHAATLSSQWAVLRMRFFDNDSRCVLSLKAKPVLINGVSRVEEDEEEIDPLIGRECVADPSKLSSIESRILKRCKDEFGVLGEMGFVCLGGFENVREVYEWRGLKLEVDETKYSFGVSYEVECETPDPEEAKRHLEEFLKENGIDYKYSEMSKFAVFRSGKLP >Manes.03G024550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2002554:2003528:-1 gene:Manes.03G024550.v8.1 transcript:Manes.03G024550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDNSRKVQALYDLVCSYHPVNLFLCETLVNKTKLVALCSLLHFDSCFSVDCVGRSGGLDLLWKNSVDVAISGYSNNCIDAMISCGGVPWQFTGIYGVPERSRRQDSWNLLKGLSRWHNVGRNRYPRFLLNGFREAIAECELCDIPSKGYKFSWFLKRFGRIITREKLDRAMANGLWSQLFQYASATTLVSPTSDHDLLLISTEAQVVCKKERMFRFDNAWLHDEELFSVVRNSWQAFVGVDLMARKQSYIDELRWWGAKKNSRLWKRKNNLRRAIDHAREADPFDNCSYLNREWNNILVQEDARIR >Manes.08G130800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38033809:38034492:1 gene:Manes.08G130800.v8.1 transcript:Manes.08G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNAVFVNGKFCKNPNLTVADDFSFSGLNIPGNTENRVGSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHIHPRATEILVVVEGTLYVGFVTSNPNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPSINSDVLVKAFQLDKNVVNYLQKLF >Manes.03G006400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:555203:556123:1 gene:Manes.03G006400.v8.1 transcript:Manes.03G006400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTKHKHLNYAAESTASRSNSDVSTTTISFTPSAWISEAINGGSLRRVNLDDGINGWASPPGDLFFLRAKNFFAKRQKCPAGDYLLYPAGMDWLKSTTKLENVLARPDNRVALALRKVQSQGESLKSFIFAVNIQVPGKEQYSAVFYFVTEDPISPGSLLYRFINGDDSFRNQRFKIVNRIVKGPWIVKKTVGSYSACLLGKALTCNYHRGANYLEIDVDVGSSKIASAILHLALGYVTSVSVDMGFVVEAQAEDELPEKLIGAIRVCQMEISSATVIEVPNTTVARGIGLAKVKHHESGEDDDD >Manes.S030452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2473343:2474155:-1 gene:Manes.S030452.v8.1 transcript:Manes.S030452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.04G121700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32340200:32342471:-1 gene:Manes.04G121700.v8.1 transcript:Manes.04G121700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRELKVFIQALTILSVACACSGFIPVDNYRVDCGSSKDTRVGNRVFSADNSTTYSLSTPKIIFAKTSESVTSSDDSFLYQTARILDGTSKYSFSIRQTGRHWIRLYFYPFVHDKYNMKSAKFDVLGQDHVLLSNFSVKYHVVKEFSVNVTSNTLEITFRIPSKNSFAFLNALEVVSVPDGLITEDASTFNPPGEFKGLFSQALETLYRVNMGGPIIFPENDTPGRTWVSDQIFLVNPNVATRISDISAVKYTEGATPDIAPPAVYGTATIMKPSAGDVNVTWEFTIDPGFQYLVRFHFCDILSNAPDQLFFHVYIDSWVLVYNFAPSSFSSNTLVSALYIDFVTAFTVINKLRVSVGPSFLGVEPTAILNGLEIMKMNNSLGSLSGKRKKFACQGHSKTECKNSSTRYRIPFVAVVEATNNFDDNWVIGIGGFGKVYNGVLKDGLKVAVKRSNPFSRQGLAEFQTEVEMLSQFRHCNLVSLIGYCDEKNEMILIYEYMENGTLKDHLYGSGRPSLIWKKRLETLEQLEDFITFTLAIQKQSFIAM >Manes.06G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27793734:27795978:1 gene:Manes.06G149500.v8.1 transcript:Manes.06G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVYLTVFAVLTAAGPFSYCFAESRKELRGKEVNQETIALLGSSIGTNRVNLLQVVQLSWRPRVFLYKGFLTDEECDHVISLAQGTKEPSVGKGDDSAKIVENGLQESREFLSNMDDNILAMIEERLSAWTFLPRENGKPLRVMHYGVEETKQKLDYFGNKTLISNEPLMATLVLYLSNVGQGGEILFPKSEGKIWSDCKKGSNLLKPVKGNAILFFNVHLNASPDTSSSHARCPVLEGEMWCATKYFIVRASDRGKVLSESDGSDCNDEDDSCPRWAALGECQRNPVYMTGSPDYYGTCRKSCNAC >Manes.06G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26133557:26138072:-1 gene:Manes.06G133000.v8.1 transcript:Manes.06G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRGSMLKVVGRAVARAGATNLQETISSSSSSSACATATSPTAISRSTHWLNSSQNNLSLSSASGSPSTPTCNLPVSANAGEPNASYWPSFAPPSGSCCDEYEWVSLDGSEDDIAIAVSDDFVLGPVPSMDEVHGAVSALTQVFDADGKESSTHLCNSSMLCPYGPDRVYDAFHLLQTEPSIQRMVISLSSDKAVWDAVLNNEVVRELCETYRAEEKITSPAIESSDESGIDSNPALGAAKWIFENIKAKFMEAVEKITKLMNGLFRASNNEKKTTGAADPFEEKLRTSFLLSVVVLLVVVVTRAHRA >Manes.S025616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:369425:369547:1 gene:Manes.S025616.v8.1 transcript:Manes.S025616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.01G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30148673:30156291:1 gene:Manes.01G100900.v8.1 transcript:Manes.01G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTHRSSSSVDVFLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQARLPRYLAVPPPDTTQQAKKIDEEILQEVVKMGFDRNQLIESLRNRIQNDATVAYYLLLDNRFRVANGYLGAEFQETMDCGFNHMHPNDPTSPAAGHRFPGYMDYQGTGLKAQFPVDRKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWIPGIPGQHEGMVNDPVHNNHFFGDESTIIENDGVIKAPNVVKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >Manes.01G100900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30148673:30154498:1 gene:Manes.01G100900.v8.1 transcript:Manes.01G100900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTHRSSSSVDVFLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQARLPRYLAVPPPDTTQQAKKIDEEILQEVVKMGFDRNQLIESLRNRIQNDATVAYYLLLDNRFRVANGYLGAEFQETMDCGFNHMHPNDPTSPAAGHRFPGYMDYQGTGLKAQFPVDRKWALGLQVKFLCF >Manes.09G071284.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11552208:11552810:-1 gene:Manes.09G071284.v8.1 transcript:Manes.09G071284.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVISWNCQGAASPTFRRAFLEYKRLFKPDIFCIMEPRVSGTHAYAIDNLIRVESLGFSGGIWIFWTENNFSIQIIESHPQFVACKVLPVSGVSWNLCFIYASPYSPCRRILLTDLKLDSVDLSDEWMALGDFNCVPFQYELQGYSTFNISRAKLFSDWIFDNGLLDMGFEGSTSTWSRGLSSHSLQRDRLDRALYSPNW >Manes.09G126000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32864283:32866109:-1 gene:Manes.09G126000.v8.1 transcript:Manes.09G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSRKTWGFELSVVILGLLALTQQSMQQSSKHPMHELIQRIHNQGPFFGLVLGSDADERALLANNAFKNIGEIIELAGRKFNAGTINGSSVIYVKAGDGPSINAAITAQLLVIFFAPKGIIHIGRAGTVNDSLSIGDVVVPKEVGFLGNWKWLRGKRGQLVFGEYNTPAPGGNLLGSISFQPTTLYATGKNKTTIFWLPLTSDWLEAASQLENLELEQCISKDKCLPKAPVILNGLRASSSDIYIQNKAYREFIYKQFKASTVDTQSAAEILVALSSDVPIIVFTGISNTAGGSSSHTSFSDLASVNAVKAAVAFIAAVGNSNSSLEVADN >Manes.10G135200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30280406:30286437:1 gene:Manes.10G135200.v8.1 transcript:Manes.10G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDVSSCSTFNYGDALYWDARYVKEAASFDWYQRYSSLRPFVRRYVPTSSRVLMIGCGNALMSEDMVKDGYEDIMNIDISSVAIAMMKKKYEYIPQLKYMQMDVRDMSFFPEESFDSIIDKGTLDSLMCGNDAQISAAQMLREVSRLLKPGGTYMLITYGDPTARMVHLSQPVYNWKIVLYVIPRPGFERRAGSSSAHSFLEPVPVTEKGLLPADFVLEDPDCHFIYVCTKMVKPQGSIPYQPTN >Manes.05G172000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28651252:28657570:-1 gene:Manes.05G172000.v8.1 transcript:Manes.05G172000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVKWGCSYKRTTLIVCSINIVVALYVLRSLYGSLYIYSNNDLKNVVNYTPDQINRMEESIQIRRANEPLELVKLVKQLNEELKTDEKAVELPMEVRRKITDEILQRLRSLNANANITEQREAVERWRKEKLLEVKQLIRGIGLLNSTILQEQTRMLIKALDSDWAVLSENIGLWMPTEIINQEHDDKPEGEEDDEEILPGRPVPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMKCNIWVYCPSETGCYSPDIYQHKNQECWLKYAEKPKLNFKDRYSESYRNSHPNAPLIVPWVSGVVST >Manes.05G172000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28651252:28657570:-1 gene:Manes.05G172000.v8.1 transcript:Manes.05G172000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVKWGCSYKRTTLIVCSINIVVALYVLRSLYGSLYIYSNNDLKNVVNYTPDQINRMEESIQIRRANEPLELVKLVKQLNEELKTDEKAVELPMEVRRKITDEILQRLRSLNANANITEQREAVERWRKEKLLEVKQLIRGIGLLNSTILQEQTRMLIKALDSDWAVLSENIGLWMPTEIINQEHDDKPEGEEDDEEILPGRPVPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMKCNIWVYCPSETGCYSPDIYQHKNQECWLKYAEKPKLNFKDRYSESYRNSHPNAPLIVPWVSGVVST >Manes.13G129200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33749918:33754493:1 gene:Manes.13G129200.v8.1 transcript:Manes.13G129200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDIDLELFEITYVQCGDFEVEKKIDEKIEQFVSWVEKHPNKKSQICLSFYEVKSKQPSWFTNKIERLYWEQWYVNLNVTQHPKPHSGKSHHSKMVADPGESAYEERSVRRASLEASLHEVLFQIIKFVNEKKDHVPSISEGVIYFPYEITIPRKFL >Manes.13G129200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33749918:33754493:1 gene:Manes.13G129200.v8.1 transcript:Manes.13G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDIDLELFEITYVQCGDFEVEKKIDEKIEQFVSWVEKHPNKKSQICLSFYEVKSKQPSWFTNKIERLYWEQWYVNLNVTQHPKPHSGKSHHSKMVADPGESAYEERSVRRASLEASLHEVLFQIIKFVNEKKDHVPSISEGVIYFPYEITIPSSSDSAFGMDMIKRMLQTGHPTMLS >Manes.17G107400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31451012:31458186:1 gene:Manes.17G107400.v8.1 transcript:Manes.17G107400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRFPRKPSKSSENREGGGTSTSASNASTSPRNNDLSGNRYANTATPLAGFNSNPNLGSNYGNKLSQAINPKLNGSQPAPYEALPSFRDVPNSEKQNLFIRKLNLCCIVFDFTDPTKNFKEKDIKRQTLVELVDYVSSANGKFSETTMQEMVKMVSTNLFRILSSPPRENKVLEAFDLEEDEPSMDTAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHAFVLKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKQINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGMLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQISRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFPALERNTRQHWNQAVQSLTLNVRKIFSDIDLDLFEECLLKFQEDETREKEMKVKREVTWKRLEEIAAVKATTNEPVLVSPKVMARALSG >Manes.17G107400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31446994:31458186:1 gene:Manes.17G107400.v8.1 transcript:Manes.17G107400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILNRFPRKPSKSSENREGGGTSTSASNASTSPRNNDLSGNRYANTATPLAGFNSNPNLGSNYGNKLSQAINPKLNGSQPAPYEALPSFRDVPNSEKQNLFIRKLNLCCIVFDFTDPTKNFKEKDIKRQTLVELVDYVSSANGKFSETTMQEMVKMVSTNLFRILSSPPRENKVLEAFDLEEDEPSMDTAWPHLQVVYEFLLRFVASPETDAKLAKRYIDHAFVLKLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKQINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGMLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQISRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFPALERNTRQHWNQAVQSLTLNVRKIFSDIDLDLFEECLLKFQEDETREKEMKVKREVTWKRLEEIAAVKATTNEPVLVSPKVMARALSG >Manes.07G097002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30130673:30131278:-1 gene:Manes.07G097002.v8.1 transcript:Manes.07G097002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRLKGNFCVCKGHEKRITKVLSKNKGLWIKNLDLENGLIHIEGDVEIEKLVNELQKKFKSMQVEIVGDTDSDEETDSDKYESVTQPILTLENGVGHSVPRLEWPDVVGQSGMGLRPHGGLTQSEYDGYGGFGTTSTYSYGGQNYQISNYPYFNIRDENPNACSTM >Manes.13G017850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1709234:1713563:-1 gene:Manes.13G017850.v8.1 transcript:Manes.13G017850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLGICTCTFVKMHFPAILEQRTGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >Manes.13G017850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1709234:1713563:-1 gene:Manes.13G017850.v8.1 transcript:Manes.13G017850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLGICTCTFVKMHFPAILEQRTGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >Manes.14G054800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4694064:4695337:-1 gene:Manes.14G054800.v8.1 transcript:Manes.14G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIISKQIIKPSSSTPQRLRTYTLSLLYQLVPPIYVPMIFFYSATSENSSIKSHHLKTSLSKTLTHFYHLAGRIKDSFSIDCNDEGALYIEAHVDGDMSMILQEPDIHMLEKLLPCNTHEVSSDISSQVILAIQVTDFDCGGIAVTVCIKHAVADSSAVGSFLSSWAAVARGTNGDIDGVIFDRPSLFPPQDVESLALHNMVNVEALSKSSTKRFLFHSSKIAVLREEVGNGPCLDRPTRVEALAALIWGALLTATEEAYELVIPIDLRRRMDPPLPKKSIGNMSQCVIVDSENVLGLDYNGLAGKIHESIRTMNNEYLRKLHADVSPGEPVNDVVVLIDTKDGEGIEAWIGLCNEDMAKFEKNPSICAYASFNPSIL >Manes.10G133704.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30074792:30075706:-1 gene:Manes.10G133704.v8.1 transcript:Manes.10G133704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKLISLEKLEIWRCPKLQSFPAEGFPATLECLCIDNCPLLRDRCLKEKGGDYWPIISHIPRVVIRN >Manes.08G048600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4931106:4931306:1 gene:Manes.08G048600.v8.1 transcript:Manes.08G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFAVAFSAVPLTLYIPPIRSFNLFVEIVEDLLRQSALYSFRLYPRLRLVFSRIFSNLFHSSR >Manes.06G019200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3341085:3342551:-1 gene:Manes.06G019200.v8.1 transcript:Manes.06G019200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIPVVFDITKFGAAPDGKADASQAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQGTVQAPPELTGDGEVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPENSLNTDGIHIGRSKGVTISNAKIGTGDDCISIDYGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPALFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATSLTVQLICSSGIPCEKVELANIDLTYSGPEGPAKYECIDVKPTIVGKIPEGCK >Manes.15G112400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8961623:8968694:1 gene:Manes.15G112400.v8.1 transcript:Manes.15G112400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNKDVPSDEKTGSTESKIPKSEVSSGESQSGQGRAPPTPGPGFVPNVFDFSAMSGLLNDPSIKELAEQIAKDPSFNQMADQLHKTLQGVGADDGIPQFDSQQYYSTMQQVMQNPQFMTMAERLGNALMQDPSMSQMLESLTNPSQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKEVLQKLGEAMGLAVSGEAAASVENPGQDEAEEAGNEEDESIVHHCASVGDVEGLKNALASGADKDEEDSEGRTALHFACGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGKKECVALLLENGAAVTLQNMDGKTPIDVAKLNSQHEVLKLLEKDAFL >Manes.03G134600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26293688:26303629:1 gene:Manes.03G134600.v8.1 transcript:Manes.03G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRRASPAAMTSPWAFTSLTAVFLLLNSLVTLVSAQGSTSRWQTLSGKPPLVVARGGFSGLFPDSSAFAYQLALQTSVPDVILWCDVQLTKDGVGICAPDLRLENSTDIAQVFKNKDKTYLVNGVPYQGWFTVDFTFNDLVSSVIYTQGVYSRTPRFDGNLFPIQTVENVTALKPPGMWLNVQHDAFFMQHNLSMRSYVLALSRKVVVNYISSPELGFLRSIAARVNPKITKLVFRFLGPDDFEPSTNQTYSSLLKNLTFIKTFASGILIPKGYIWPVDTNLYLLPHTSVVLDAHKAGLEVFASEFYNDVPFSFNYSYNPVTEYLYFINNGDFSVDGVLSDFPITPSAAIDCFSHIGKNASERAKVLVISKNGASGDYPGCTDKAYQKAISDGADFIDCPVQMSKDGVPFCLGSINLIDSTTVVQSNYSNLVETIPELNKGSGIFTFSLTWSQIQGLTSAIANPYTKYVMFRNPKFRNDGKILSLSDFLALAKNTSSLSGVLISIENAPYLIEKQQLPVIDAVLDALSKSGYEKQEVMIQSTNSSVLMKFKDKNNYKLVYKVDENIRDALDATIEDIKKFAHSVVISKSSVFPENSLFLTGVTDVVPKLNSAGLPVYVETFSNEFVSQAWDFFSDANVEVNSFVMGANISGVITDFPLTSARYRKNHCLNLGENTPPYMRPAKPGALLELITPGDLPPAEAPDPVLTESDVAEPPLPPVGARVPPPSPDDGTKGALAPTPPNRQPRIAACLFLTNLAILVTGFMLL >Manes.15G148400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12233016:12233808:1 gene:Manes.15G148400.v8.1 transcript:Manes.15G148400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYAKKLAFAALVVVLALVSAKPMVANGESLCNMTDDGLKSCKPSVSGSNPAAPSDLCCSALAKADFQCLCFYKNNYPWLLTTYKIDPDLAMQLPAKCNLVGQSFHC >Manes.10G113800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27574804:27577977:1 gene:Manes.10G113800.v8.1 transcript:Manes.10G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNRSLHFCRWYGVTCGRRHQRVTILDLNSFQLSGSISSHVGNLSFLRELDLSNNSFTHEIPPQIGHLRRLQVLSLYNNSFDGQIPPTISNCSNLAFFYLDNNNLAGEIPVELGSLVKLKSIYLQRNNFIGTLPPSFGNLSSLDILGAYQNQLNGNLPESLGQLKSLRILSIFENEFSGTIAPSIFNLSSIEGLDLSSNHFQGNLPMDIGNSLPKLQHFSVAVNQFTGIIPTSISNASNLELLDLLVNNLTGRVPSLDKLHRLSEFMISVNNLGSGKADDLTFLSTLMNATALQLLGIGNNNFGGELPEQIANFSKELNIFVIQNNQISGNIPVGIEVLVNLEVLYADGNKLSGNIPSGIGQLQNLKLLSLGNNNLSGYIPSSLGNLTNLLEIFLYNNSLQGTIPSSLGKWKKLLKLDFSTNNLSGPIPPELFGLSSLSKLLSLSVNHLSGSIPEEVQNLKNLGILDLQDNKLSGEIPSGLGSCTDLELLFMGSNLFQGSIPSSFASLRGIRELNISHNNLSGKIPEFLKGFNSLQLLDLSYNDFDGMVPVEGVFKNFSATFVGGNKNLCGGIPNFGLPECKFEQSKRRPTTKLKIIISAICVVTSITFFLIALLLWHLSKRRKGEATSLFDGNSLLKLSYQSLLKSTNGFSSDNLIGVGSFGSVYKGILDQEGMIIAVKVLNLMRRGASKTFIAECEALRNIRHRNLVKVITACSGVDYQGNDFKALVYNFMVNGSLEDWLHPILGLEEVPRSLNIVQRLSIAIDIACALEYLHNQCGTPIVHCDLKPSNILLDEEMVGHVSDFGLVKFLSSGMLDNSTNHSSSLGIRGTVGYCPPEYGVGSEVSTHGDVFSFGTLLLEMFTGKKPIDDIFQNNLSLHSFVKRGLSEQVKEIVDSKLFHMQLNGDATSNHNHNFRNGRNNILIECLTSTLEIGMCCSIESPQERMNISDVVAQLTSIRNKLVGTRLPRGRETSV >Manes.06G107300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23963796:23975403:-1 gene:Manes.06G107300.v8.1 transcript:Manes.06G107300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLNGERRWASGRRSGMTVLGKVAVPKPINLPSQRLENHGVDPNVEIVPKGTHSWGSRSSSSASNAWGSCSLSLNDDGGTGSPSHLSGRPSSGGSSTRPSTASSEKAREPIVSAWGTTSRPSSSSGALPSNQTSHAALRPRSAETRPGSSQLSRFAEHLSDNSVAWHAMGTADKLGVTSSKNDGFSLTSGDFPSLGSEKDNSGKNLDSQDHDSFGRPGSSSAGVASRKEGTEDSAGDVSAHANVKSGAEGSWRRENVYGEDGARPSVEKWNADFQPYPNSSIPPQHYDVWRGPPVNNHPGAVWGRGPPGCPPFRSPVAPGGFPMEPFPYYHPQIPPPALANPQAVPPPGAGPRGPHPKNGDLYRPHMHDAYMHPNMPLRPSFYPGPVPYEGYYGPPIPYCNPNERDVPFMGMAMGPATYNRYHGQSIPDPGNSHGRTSGYGPSSKAMILDQVEPVHPQDSQQPYKVLLKQQDCWEGKDEEQKFDDAMKTNASYPLKGEHTRKSSSGENGWRADSKKDHEFDTRRMAFAEESSSGAVDNQRFVPTKVKSSESGLKMNTSDVSSVKKFEHAPSNFPQELAAPKGSSLIQKIEGLNAKTRASNGRQDTKPFTNREEQNSKLQAGNAVAGHFTNETGIESNEMGIDSLSHEETCVSGIINSAPHEDCFSAGDRNLESTIVSGTTIPRRCTHGMYSRADHRSKGRFSPQEDDVWRKKSQVADPQCLVSTAHYEISSVHGQDHSSAEAPQNSVLHPSLKDEGESMPPASEPSDSQRAKMRELAKRIKQREKEEEERTREQRAKALAKLEELNRRTQAGEVGTQKLENVPACAIQNRQEESLNLSQPTMDASKSGAPSSSFGSKTKTVAQSKQKLETIPSSVVQNRHEKATTAVVSCKSIPSRSALGSNLNMVVNSEINMNAVEKSVSITTNVPVETPKVVNNQSIVVHEQLNPFQPDSNGADATYCSGTPQVHDSSAKQKRTGYRQKQNSPLERNSNEKLGSSSGTEASKSHADIAANATISPQDVADEIDSICESNLPTVAAESSVHHRRKNKNGKNKQSVEEALPAAALPLVVAKDATTSEPKSSNSLLDPSSGHFSTDSKDANQSSEPHLWLTNEETNIRVNNQWRSQHPRRMMKNPQGNKSIEKSHSGDAVVWAPVRSQHRTEVSDTANQNSLVESVLSIKNVQQVQNNPRNKRAEMERYIPKHVAKELSQQGSSHQAAILSCNQITSDETAERHKSGSLGVESSLISGTATVMFSPAMASRNGDVRHNKSGKRHGAWHQHGAAESTTSYPRSSSQNSVEDDQCHKADVSSVNEQPRDSDDWNASDGWNMPENSDTACTIPCLKDQGVTARVKQQPHKGHKGTGYHHNPDKMYIQSVAPDRHQRESLLASKENNSAGERSTAHWQPKSQSISAASHRGRQTNSSMNEGSDDGRAIKESTLHGGPLLPQPDRDTAAVGPQSNSYHDQSPSKKNLEEAPTIGQEPKGERKMTAQRGRPGSPFESSSPNLDVRYEKYMSSGFHKNGNQYSRFSRNHKSPGDKSGSRKDSKQHNVPAAREGQKHNSHYEYKPVGPHNNNKANNLEPSGTGYRERGQGHSRRDAGNFYGRQTGNVQVDAGHD >Manes.06G107300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23963796:23975403:-1 gene:Manes.06G107300.v8.1 transcript:Manes.06G107300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLNGERRWASGRRSGMTVLGKVAVPKPINLPSQRLENHGVDPNVEIVPKGTHSWGSRSSSSASNAWGSCSLSLNDDGGTGSPSHLSGRPSSGGSSTRPSTASSEKAREPIVSAWGTTSRPSSSSGALPSNQTSHAALRPRSAETRPGSSQLSRFAEHLSDNSVAWHAMGTADKLGVTSSKNDGFSLTSGDFPSLGSEKDNSGKNLDSQGSSSAGVASRKEGTEDSAGDVSAHANVKSGAEGSWRRENVYGEDGARPSVEKWNADFQPYPNSSIPPQHYDVWRGPPVNNHPGAVWGRGPPGCPPFRSPVAPGGFPMEPFPYYHPQIPPPALANPQAVPPPGAGPRGPHPKNGDLYRPHMHDAYMHPNMPLRPSFYPGPVPYEGYYGPPIPYCNPNERDVPFMGMAMGPATYNRYHGQSIPDPGNSHGRTSGYGPSSKAMILDQVEPVHPQDSQQPYKVLLKQQDCWEGKDEEQKFDDAMKTNASYPLKGEHTRKSSSGENGWRADSKKDHEFDTRRMAFAEESSSGAVDNQRFVPTKVKSSESGLKMNTSDVSSVKKFEHAPSNFPQELAAPKGSSLIQKIEGLNAKTRASNGRQDTKPFTNREEQNSKLQAGNAVAGHFTNETGIESNEMGIDSLSHEETCVSGIINSAPHEDCFSAGDRNLESTIVSGTTIPRRCTHGMYSRADHRSKGRFSPQEDDVWRKKSQVADPQCLVSTAHYEISSVHGQDHSSAEAPQNSVLHPSLKDEGESMPPASEPSDSQRAKMRELAKRIKQREKEEEERTREQRAKALAKLEELNRRTQAGEVGTQKLENVPACAIQNRQEESLNLSQPTMDASKSGAPSSSFGSKTKTVAQSKQKLETIPSSVVQNRHEKATTAVVSCKSIPSRSALGSNLNMVVNSEINMNAVEKSVSITTNVPVETPKVVNNQSIVVHEQLNPFQPDSNGADATYCSGTPQVHDSSAKQKRTGYRQKQNSPLERNSNEKLGSSSGTEASKSHADIAANATISPQDVADEIDSICESNLPTVAAESSVHHRRKNKNGKNKQSVEEALPAAALPLVVAKDATTSEPKSSNSLLDPSSGHFSTDSKDANQSSEPHLWLTNEETNIRVNNQWRSQHPRRMMKNPQGNKSIEKSHSGDAVVWAPVRSQHRTEVSDTANQNSLVESVLSIKNVQQVQNNPRNKRAEMERYIPKHVAKELSQQGSSHQAAILSCNQITSDETAERHKSGSLGVESSLISGTATVMFSPAMASRNGDVRHNKSGKRHGAWHQHGAAESTTSYPRSSSQNSVEDDQCHKADVSSVNEQPRDSDDWNASDGWNMPENSDTACTIPCLKDQGVTARVKQQPHKGHKGTGYHHNPDKMYIQSVAPDRHQRESLLASKENNSAGERSTAHWQPKSQSISAASHRGRQTNSSMNEGSDDGRAIKESTLHGGPLLPQPDRDTAAVGPQSNSYHDQSPSKKNLEEAPTIGQEPKGERKMTAQRGRPGSPFESSSPNLDVRYEKYMSSGFHKNGNQYSRFSRNHKSPGDKSGSRKDSKQHNVPAAREGQKHNSHYEYKPVGPHNNNKANNLEPSGTGYRERGQGHSRRDAGNFYGRQTGNVQVDAGHD >Manes.01G197800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37204464:37207740:-1 gene:Manes.01G197800.v8.1 transcript:Manes.01G197800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSAVMLTVEFLDVAMNTVNKAAMSKGMSHFILVLYSNMLAVFLLLSSSFMFYRTRIAPPLTWSIVGRIFVLSLLCCAGQVFTYIGLGYSSPTLASAMTDLTPAFTFILGIVSRMERLDLRSKSSLAKAIGTLVLITGGLVVTLYKGLPITGSPSSGDDKLQIEMLLLPSSNWAIGGFFLAAHSFILALIFVFQTWIIRDYPSEILITLITCGFVTILSASISLIAEEDKDAWRIRPNIELVAIGYSAVFAVSLRSIVHTWACHKKGPVYTSMFKPIGMVIAVFMGVSFLGDTLYLGSVIGAVVIALGFYAVVWGKIQEKRSVEEKERCSFESCSSKVPLL >Manes.01G017800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4298983:4300818:-1 gene:Manes.01G017800.v8.1 transcript:Manes.01G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCYKGHVLLLPYPGQGHINPMLHFSRRLISKGLKVTLLNSIFISNTMHFGSSIGSVHLDVISDGFDIGGFAESASIDDYLSRLKAAGSRTLSDLIKKYRESSDPVDCVIYEPFLPWALDVAKEHGLFAAAFFTQPCAVDFIYYNIHHKLLKLPVSSTPVSISGLPLLELRDLPSFLNVPASYPAYFEMVLNQFSNTEKADYILINTFYKLEKEVVDAMSKVCPVLTIGPTVPSKYLDKRIQNDDEYGLDLYTLDASISLNWLTTKPPRSVIYVAFGSMADLSNKQMEELAWGLQTSNFNFLWVVRVSEQPKLPKSFLQYLGDKGLIVNWSPQVKLLQDEAIGCFFSHCGWNSTIEALSLGVPMVGMPQWTDQPPNAKLVEDVWKVGVRVKVNEEGIVSREEIKNCIREVMEGERSREIRGNCEKWKELAIEAISEGGTSDKNIDEFVSKLISSKCI >Manes.01G111600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30997036:30998155:-1 gene:Manes.01G111600.v8.1 transcript:Manes.01G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAFSSILIILEIFTTNYISFPPFSLFPFCLIFLNQNLPLLLEPFTLYKALTNRSLLKLTAGFHLSIIGLIIAPISFSVMADIDHYYAKPNAITNSTNLKLFGFNILQNNVPVDSSNSLSGSSESENEGRKYECQYCFREFSNSQALGGHQNAHKKERQLLKRAQIHATRNLASSYVPTSMFSTFTPHPPHLLPPAMVPMAVQHHSPSWFYTSHLSRPLLYGPERCLEDDSRASDEGSGLHDRTSSAVAFARSGFAGEDDRAHQDKGLGLNLHLSL >Manes.11G003800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:490251:493769:1 gene:Manes.11G003800.v8.1 transcript:Manes.11G003800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann5 MAHPQQLEALTKAFSSGLGVDEKSLISILGKSHPQHRTTLRKSSPHLFIEDERSFERWDDHRINLLRHEFARFENAVVLWAMHPWERDARFVYEALRLGPQSYGVIVEIACTRSSEQLLGARKAYHSLYDHSIEEDVATHITGSERKLLVALMSAYRYEGPKVREDAAKSEAKLIANAIENGEKKNPIEDDELIRILTTRSKPHLKAIYKHYKEVSGRSINEDLDAADLILKETVECLCTPHPYFSKVVDEAMRKESDHNTKKALTRVIVSRADVDMKEIKEEYNSLYGVPLTQKIEDNANGNYKDFLLSLITRDEL >Manes.11G003800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:491036:493473:1 gene:Manes.11G003800.v8.1 transcript:Manes.11G003800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann5 MAHPQQLEALTKAFSSGLGVDEKSLISILGKSHPQHRTTLRKSSPHLFIEDERSFERWDDHRINLLRHEFARFENAVVLWAMHPWERDARFVYEALRLGPQSYGVIVEIACTRSSEQLLGARKAYHSLYDHSIEEDVATHITGSERKLLVALMSAYRYEGPKVREDAAKSEAKLIANAIENGEKKNPIEDDELIRILTTRSKPHLKAIYKHYKEVSGRSINEDLDAADLILKETVECLCTPHPYFSKVVDEAMRKESDHNTKKALTRVIVSRADVDMKEIKEEYNSLYGVPLTQKIEDNANGNYKDFLLSLITRDEL >Manes.11G003800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:490272:493592:1 gene:Manes.11G003800.v8.1 transcript:Manes.11G003800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann5 MAHPQQLEALTKAFSSGLGVDEKSLISILGKSHPQHRTTLRKSSPHLFIEDERSFERWDDHRINLLRHEFARFENAVVLWAMHPWERDARFVYEALRLGPQSYGVIVEIACTRSSEQLLGARKAYHSLYDHSIEEDVATHITGSERKLLVALMSAYRYEGPKVREDAAKSEAKLIANAIENGEKKNPIEDDELIRILTTRSKPHLKAIYKHYKEVSGRSINEDLDAADLILKETVECLCTPHPYFSKVVDEAMRKESDHNTKKALTRVIVSRADVDMKEIKEEYNSLYGVPLTQKIEDNANGNYKDFLLSLITRDEL >Manes.11G003800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:491036:493473:1 gene:Manes.11G003800.v8.1 transcript:Manes.11G003800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann5 MAHPQQLEALTKAFSSGLGVDEKSLISILGKSHPQHRTTLRKSSPHLFIEDERSFERWDDHRINLLRHEFARFENAVVLWAMHPWERDARFVYEALRLGPQSYGVIVEIACTRSSEQLLGARKAYHSLYDHSIEEDVATHITGSERKLLVALMSAYRYEGPKVREDAAKSEAKLIANAIENGEKKNPIEDDELIRILTTRSKPHLKAIYKHYKEVSGRSINEDLDAADLILKETVECLCTPHPYFSKVVDEAMRKESDHNTKKALTRVIVSRADVDMKEIKEEYNSLYGVPLTQKIEDNANGNYKDFLLSLITRDEL >Manes.06G010600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1592197:1610369:-1 gene:Manes.06G010600.v8.1 transcript:Manes.06G010600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRMYEFEDNVWDAFRESDDHIVPHPAKECGDQFRVCSDIHKKPWHKVVGTVSDASDAAGYTQRKEKTSLLTLTKKDRMLDKGSWSHALDGVFPACNSGSVKEVASIASEETRASNGILKVGNTDSVGSELCSDDPALDEKSAADDTDTYLFPLGQISETDNDLNFFDNDHEDKDDSNLLYYGWPDDIGNFEDVDRMFRSCDSTFGLESLSNEDDLCWFSLSHATEGSQDALKSGSKFSSSEASALNYTSDHHDASRLNNVDSSVNDSNKETLLTGDKISSNTAGATDISAFGQLQFPNRSVANSTSKDETMLHEQINSNRSKVRHQNHSEGKRKERDLDNGGSFHHNGNLKQFADAKCSLGNVPHQVLSPLGVQQHKQNTVSDSLNHMQTHLSYMHVDYGRPSNQTLVCPNQSRIKSESNGILSPPPKETSFESNQVLFMESSHGPSSEAPAVTTEKRERLYCNQDLEVPYARNLKNPNIASVKSFYDSVQNQARQSGCDIEGHSEIGGVSIAIAGELDSSNAQESSCMSSVSDEISLEATSFCQLQQVMEQLDIRTKLCIRDSLYRLARSAEQRHNCVNADVGTRDDRDTSCPLMDQETNKIISSSGLCHANWRLPQCPRRP >Manes.06G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1590666:1610369:-1 gene:Manes.06G010600.v8.1 transcript:Manes.06G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRMYEFEDNVWDAFRESDDHIVPHPAKECGDQFRVCSDIHKKPWHKVVGTVSDASDAAGYTQRKEKTSLLTLTKKDRMLDKGSWSHALDGVFPACNSGSVKEVASIASEETRASNGILKVGNTDSVGSELCSDDPALDEKSAADDTDTYLFPLGQISETDNDLNFFDNDHEDKDDSNLLYYGWPDDIGNFEDVDRMFRSCDSTFGLESLSNEDDLCWFSLSHATEGSQDALKSGSKFSSSEASALNYTSDHHDASRLNNVDSSVNDSNKETLLTGDKISSNTAGATDISAFGQLQFPNRSVANSTSKDETMLHEQINSNRSKVRHQNHSEGKRKERDLDNGGSFHHNGNLKQFADAKCSLGNVPHQVLSPLGVQQHKQNTVSDSLNHMQTHLSYMHVDYGRPSNQTLVCPNQSRIKSESNGILSPPPKETSFESNQVLFMESSHGPSSEAPAVTTEKRERLYCNQDLEVPYARNLKNPNIASVKSFYDSVQNQARQSGCDIEGHSEIGGVSIAIAGELDSSNAQESSCMSSVSDEISLEATSFCQLQQVMEQLDIRTKLCIRDSLYRLARSAEQRHNCVNADVGTRDDRDTSCPLMDQETNKSNGFLDVETDTNPIDRSIAHLLFHRPSDPSAMPVNDGLSLKSHAMVQGSVTSTPMMAKEQVCQDETASATDKSLLMSGEKQ >Manes.07G009914.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1153209:1154080:-1 gene:Manes.07G009914.v8.1 transcript:Manes.07G009914.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREGKVVCVTGGSGYIASWLVKFLLHHGYTVKATVLDLNDPKQTEHLFALDGAKERLFLFKANLLEDGSFDSAIDGCEGVFHTACPLFCTNDPQAELIDPAVKGTLNVLRSCTKVPSLKRVIITSSMASVMFDGKPLIPDVVIDETWFSDPTY >Manes.14G103400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8652991:8654485:1 gene:Manes.14G103400.v8.1 transcript:Manes.14G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKFNHQQNIINGLRPSPLKINKESHLIHKLSSSSSSSTTSNSSPPSASVIAPAGAAAGVKQGRNQPVIIYTHSPKVIHTQARDFMALVQKLTGLSSSKNDETTKATQQGKESGGFINNKGLTCVGSDDKESSPISTDGNYGGGGAGDVSPILNPPKNPCFADIPLFTPNSVDFFCSPRPVYRYSDVAYASPSIGNSISPSVMEFMKGLPEY >Manes.18G032300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3098620:3101343:1 gene:Manes.18G032300.v8.1 transcript:Manes.18G032300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVTGASGYLGGRLCRGLLEKGHSVRALVRRTSDLSALPSSSTDGDFELAYGDVTDYRSLLDAFSGCSIIFHAAALVEPWLPDPSKFFLVNVGGLKNVLQAAREQTIQKIIYTSSFFALGPTDGHVADESQAHPEKVFCSEYERSKAMADKIALQAAADGVPIVVVYPGVIYGPGKLSTGNIVAQLLIERFQGRLPGYIGNGNDRFSFSHVDDVVQGHIAAMDKGQLGERFLLTGENASFMHVFNIAAIITETSKPRFNIPLWVIKAYGWLLVFFCRLTGKLPLISPPTVYVLSRQWAYSCEKAKAELDYNPRSLQEGLKEVLPWLKSLGVIKY >Manes.11G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24067000:24085120:1 gene:Manes.11G104300.v8.1 transcript:Manes.11G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDMMIHFSSNSSNQSDQSLPTKIAKLEARMVGKASSVASTPVQPQQLQQQPTWSSISSTVKFGPAEDLSEQLSSSDSDDDNGGEFLILANTQKRQRIQEDNNSSAFEHLEMTQEVADVRQKTVEAVETKTGVDANRRKQGRGRGHSVSGRGRGSRGNDQMRSQISSPMTLPSNEQLENSYHKEDVTSLRAKVAVLEEELRKSRQEASDNHNLCCQLEKELKELKDYEQQMKPKRTKMISDLLISVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQVLKDLNNHLRHLLETKEAIERQRKSLKKRQSDKGDGTDAELGGQEEDFFIQDEIFKSRLASIKREEEIILRERDRYELEKGRLIREMKRIRDEDGSHFNNFQILNHRYALLNLLGRGGFSEVYKAYDLIEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHNHIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEREARIIIVQIFQGLVYLNKRVQKIIHYDLKPGNVLFDEFGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELNKTPLISSKVDVWSAGVLLYQMLFGRRPFGHDQTQERILREDTIIKARRVEFPSKPSVSNEAKDFIRRCLTYNQTERPDVLTIAQDPYLTYLKK >Manes.11G104300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24067000:24085120:1 gene:Manes.11G104300.v8.1 transcript:Manes.11G104300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDMMIHFSSNSSNQSDQSLPTKIAKLEARMVGKASSVASTPVQPQQLQQQPTWSSISSTVKFGPAEDLSEQLSSSDSDDDNGGEFLILANTQKRQRIQEDNNSSAFEHLEEVADVRQKTVEAVETKTGVDANRRKQGRGRGHSVSGRGRGSRGNDQMRSQISSPMTLPSNEQLENSYHKEDVTSLRAKVAVLEEELRKSRQEASDNHNLCCQLEKELKELKDYEQQMKPKRTKMISDLLISVSKAERQEARMKVRQDSLRLGNVGVIRAGTVISETWEDGQVLKDLNNHLRHLLETKEAIERQRKSLKKRQSDKGDGTDAELGGQEEDFFIQDEIFKSRLASIKREEEIILRERDRYELEKGRLIREMKRIRDEDGSHFNNFQILNHRYALLNLLGRGGFSEVYKAYDLIEHRYVACKLHGLNAQWSEDKKQSYIRHAIREYNIHKTLVHNHIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEREARIIIVQIFQGLVYLNKRVQKIIHYDLKPGNVLFDEFGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELNKTPLISSKVDVWSAGVLLYQMLFGRRPFGHDQTQERILREDTIIKARRVEFPSKPSVSNEAKDFIRRCLTYNQTERPDVLTIAQDPYLTYLKK >Manes.11G136700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30162538:30168155:-1 gene:Manes.11G136700.v8.1 transcript:Manes.11G136700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRLPNKKLTYPCNAIVQQSVKPRRLAVSGRCRKLRLSEENRQRMASSGEEVSNKQLIFRDYVTGFPQESDMYVTTGTVKLKPLTGFGVSKVLDSRNPEFKEGDLVWGITGWEEYSLITNPQVLFKILHTDVPLSYYTGILGMPGLTAYAGFYEVSSPKKGENVFISAASGAVGQLVGQFAKPMGCYVVGSAGSKDKVDLLKNKLGFDEAFNYKEEHDLDAALKRCFPEGIDIYFENVGGKMLDAVLLNMRPHGRIAVCGMISQYNLDQPEGVHNLMSIIYKRVRLEGFGAPDYFPQHPKFLDIVLPYIREGKIKYIEDIVEGLEKGPGAFIGLFKGQNVGKQVVVVARE >Manes.11G136700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30162538:30168198:-1 gene:Manes.11G136700.v8.1 transcript:Manes.11G136700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRLPNKKLTYPCNAIVQQSVKPRRLAVSGRCRKLRLSEENRQRMASSGEEVSNKQLIFRDYVTGFPQESDMYVTTGTVKLKVSEDSNGVLLKNLYLSCDPYMRILMKKQEGVGGFSSYTPGSPLTGFGVSKVLDSRNPEFKEGDLVWGITGWEEYSLITNPQVLFKILHTDVPLSYYTGILGMPGLTAYAGFYEVSSPKKGENVFISAASGAVGQLVGQFAKPMGCYVVGSAGSKDKVDLLKNKLGFDEAFNYKEEHDLDAALKRCFPEGIDIYFENVGGKMLDAVLLNMRPHGRIAVCGMISQYNLDQPEGVHNLMSIIYKRVRLEGFGAPDYFPQHPKFLDIVLPYIREGKIKYIEDIVEGLEKGPGAFIGLFKGQNVGKQVVVVARE >Manes.11G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30162538:30170402:-1 gene:Manes.11G136700.v8.1 transcript:Manes.11G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVSGGHGAVERINRFLRRIITGRYPHQQQQENNHPKQNSKRFEQENTKTQQQKTNKTIQADDRRTPHPKSVKPRRLAVSGRCRKLRLSEENRQRMASSGEEVSNKQLIFRDYVTGFPQESDMYVTTGTVKLKVSEDSNGVLLKNLYLSCDPYMRILMKKQEGVGGFSSYTPGSPLTGFGVSKVLDSRNPEFKEGDLVWGITGWEEYSLITNPQVLFKILHTDVPLSYYTGILGMPGLTAYAGFYEVSSPKKGENVFISAASGAVGQLVGQFAKPMGCYVVGSAGSKDKVDLLKNKLGFDEAFNYKEEHDLDAALKRCFPEGIDIYFENVGGKMLDAVLLNMRPHGRIAVCGMISQYNLDQPEGVHNLMSIIYKRVRLEGFGAPDYFPQHPKFLDIVLPYIREGKIKYIEDIVEGLEKGPGAFIGLFKGQNVGKQVVVVARE >Manes.02G016200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1539340:1548046:-1 gene:Manes.02G016200.v8.1 transcript:Manes.02G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSPKHERRWASDTVPGKPTVSTGTSPGTDSNPAEEYVEVTLDLQDDNTIVLRSVEPATFINIEDGTGAETPVSVSASETRSPTIRRSSSNKILHFSQELKAEAVAKARQFSQELKAELRRFSWSHGHAAKVLSASPCNDGGGGGFESALAARALRKQRAQLDRTRSSAQKALRGLRFISNSETNGVDAWNEVQSNYEKLAKDGYLYRADFAQCIGMRDSKEFALELFDALGRRRRLKVNKISRDELYEFWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIEIWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKRGPIRRLSTRLIYFVQENWRRIWVLALWALIMIGLFTWKFLQYKQKNAFKVMGYCLPTAKGAAETLKLNMALILLPMCRNTITWLRSTRLGYSVPFDDNVNFHKTIAAAIVIGVILHAGNHLACDFPRLINSSDEVYRKYLRDDFGGQKPTYAELARGAEGVTGIVMVVLMAIAFTLATRWFRRSLVKFPKPFDRLTGFNAFWYSHHLFVLVYILLIIHGVFLYLVHKWYLKTTWMYLSVPVLLYAGERALRYFRSRSYTVQLRKVAIYPGNVLTLQMSKPSQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACERPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKTEQEDLESDISRTSNFSAGSNDASTHNRTSPKLKKILRTTNAYFYWVTREQGSFDWFKGVMNEVADLDQMGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKLCSKHCNARIGVFYCGAPVLAKELSKLCYEFNQKGSTKFEFHKEHF >Manes.02G016200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1539340:1548046:-1 gene:Manes.02G016200.v8.1 transcript:Manes.02G016200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSPKHERRWASDTVPGKPTVSTGTSPGTDSNPAEEYVEVTLDLQDDNTIVLRSVEPATFINIEDGTGAETPVSVSASETRSPTIRRSSSNKILHFSQELKAEAVAKARQFSQELKAELRRFSWSHGHAAKVLSASPCNDGGGGGFESALAARALRKQRAQLDRTRSSAQKALRGLRFISNSETNGVDAWNEVQSNYEKLAKDGYLYRADFAQCIGMRDSKEFALELFDALGRRRRLKVNKISRDELYEFWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEEPLHTPTRSSPTPKPPSTSYFNNYLSELFSYVLLVGLIWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKRGPIRRLSTRLIYFVQENWRRIWVLALWALIMIGLFTWKFLQYKQKNAFKVMGYCLPTAKGAAETLKLNMALILLPMCRNTITWLRSTRLGYSVPFDDNVNFHKTIAAAIVIGVILHAGNHLACDFPRLINSSDEVYRKYLRDDFGGQKPTYAELARGAEGVTGIVMVVLMAIAFTLATRWFRRSLVKFPKPFDRLTGFNAFWYSHHLFVLVYILLIIHGVFLYLVHKWYLKTTWMYLSVPVLLYAGERALRYFRSRSYTVQLRKVAIYPGNVLTLQMSKPSQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELKRVFSEACERPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKTEQEVRFGIRHQTSPKLKKILRTTNAYFYWVTREQGSFDWFKGVMNEVADLDQMGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKLCSKHCNARIGVFYCGAPVLAKELSKLCYEFNQKGSTKFEFHKEHF >Manes.02G130400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905078:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFKDYNPIATVQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYTIEHKGYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKQARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEQKFAKSD >Manes.02G130400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905078:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFKDYNPIATVQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYTIEHKGYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTITYRWQAIEDEDGIQYGPFPVPKRGLPIRVAPRPKQKFAKSD >Manes.02G130400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905078:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFKDYNPIATVQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEQKFAKSD >Manes.02G130400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905297:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYTIEHKGYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTITYRWQAIEDEDGIQYGPFPVPKRGLPIRVAPRPKQKFAKSD >Manes.02G130400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905242:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLINSFICLSSPTFQSQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTITYRWQAIEDEDGIQYGPFPVPKRGLPIRVAPRPKQKFAKSD >Manes.02G130400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905078:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFKDYNPIATVQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYTIEHKGYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEQKFAKSD >Manes.02G130400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905042:9909638:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFKDYNPIATVQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTITYRWQAIEDEDGIQYGPFPVPKRGLPIRVAPRPKQKFAKSD >Manes.02G130400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905078:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFKDYNPIATVQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYTIEHKGYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKQARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTITYRWQAIEDEDGIQYGPFPVPKRGLPIRVAPRPKQKFAKSD >Manes.02G130400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905078:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFKDYNPIATVQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKQARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTITYRWQAIEDEDGIQYGPFPVPKRGLPIRVAPRPKQKFAKSD >Manes.02G130400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9905078:9909613:1 gene:Manes.02G130400.v8.1 transcript:Manes.02G130400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFKDYNPIATVQELGFPVMTMVIPVLLLCSCLILLLLPLFLQWHHSKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRYGDIFKTHILGCPCVMISSPEAARVVLVTGAHLFKPTYPTSKEKMIGPEALFFQQGAYHSRLKKLVQASFLPSAIRGSISEIEQIVLEFLPTWKYATINTLQEMKKYTFDVAMISVIGEKQQLEMEGIKHLYSCLEKGYNSMALDLPGTPFHKAMKQARKQLNETLRRLIEKRRNSGKQGGGLLGVLLGAKDEKLNDSQIADNIIGVIFAAHDTTASILTWVLKYLHDNQDILEAVTSEQEGIRQEIIEANRRLTWDDTRRMPLTTRVIQETLRTASILSFTFREAVEEVEFEGYLIPKGWKVLPLFRSIHHCADLFPQPHKFDPSRFEQKFAKSD >Manes.13G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32447415:32458824:-1 gene:Manes.13G117900.v8.1 transcript:Manes.13G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKDDSGSDGEASSGDAPPSNSGLFSEGERVLAYHGPRIYEAKVQRAELRKKEWRYFVHYLGWNKNWDEWIGTDRLLKHTEENVLKQQALEKKQGVDKSSKLGRSAQTKPKVSTDAKVDKEEQKNNVTKGKKRKSGSDIEKDNFSVEKLVKIQIPSTLKKQLLDDWEYVTQQDKLVKLPRSPNVDDILTKYLEYRSKKDGMMTDSVGEILKGIRCYFDKALPVMLLYKKERQQYQDAVKNDTSPSTIYGAEHLLRLFVKLPELLAYVNIEEETLTRMQQKLLDFLKFLQKNQSTFFLSAYDGSKVSEGKGKGKDD >Manes.07G073922.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21960736:21962376:-1 gene:Manes.07G073922.v8.1 transcript:Manes.07G073922.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDILHQNGNQKSANQFSLDLAQPPSDIKLNDSNYVVWAKMMEIIVRGWLIQTVEQKLRPNLLQHKISKGLWDALKIRFNAGSNKLIIYELQSKAYKLTQQGSTLEDLYNDLQAIWAEIDERQPTKIEGDNNILVRNQEIQEERLYLFLARVQSDLDPIRREILNEEPLPTLDNAYSRLRGEKLHRAIHLPPPPPAIVGSNLVGAGLLAKNRLDTDKSSLWDDKSSLKCTHCGGSRHTRDGCFKIIGYPEWWEENKIHKKKGR >Manes.02G009000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1013037:1014438:1 gene:Manes.02G009000.v8.1 transcript:Manes.02G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHRAPSGRTNLASCIVATIFLIFLVIIILIVFFTVFKPKDPKISVNAVQLPSFSVSNNTVNFTFSQYVSVKNPNRVSFSHYDSTLHLLYSGSQVGFMFIPAGKIDAGQTQYMAATFAVQSFPLSTSPDAAVNVGPTFSDGGFGGGPGVNNGFRVGPTMEIESRVQMAGRVRVLHIFTHHVEAKAGCRVVIAVSDGSVLGFHC >Manes.11G114000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:26767552:26768010:-1 gene:Manes.11G114000.v8.1 transcript:Manes.11G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHYNSTTRTKFARRFLISLSRIRRATTLGPPSDEEIRRRTHRIKLAAYSSMARAVGSRRAWSRALLLKIRNRALVHGILRNRFLASKKKRVIKRNKVSTEMNKVDMLRKLVPGGETMDVCELLEETAHFMASLATQVKVMKSIVDHCSE >Manes.09G077457.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18308632:18311720:1 gene:Manes.09G077457.v8.1 transcript:Manes.09G077457.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPLDLIAEILRRLPVKKLLCCRCVSKPWRALIDGQNFIDLHLQHSLETSSNTNIILKNSELYFVAFDLLDNLWQLDHPLMCYNHSIRVLGSCNGLLCICNVVDDIALWNPSIRKYHVLPFLPMELKRYPGTCSCRVCVFGLGYDPINDDYKVVRIAQFGGVNGKSFESEVKVYSLRRNSWRRIGDMPYCILYPGVNGVFVCGALHWLVSQNAESNVANMIVALDLGVEDCREVPQPELMDENFNMDIGVLGGCLCLLANFRGRRVDVWVMKDYGIKESWTKLFSVVQQDVIGVLRSLKPLAYSKSGSEVLMEQDNIHLFWYDLRRKEVRDVRIQDMPITFETEIYVGSLVPVNPNRLPKGRNRHEHEVAKNRKNRDDFLSEGFKLVL >Manes.09G077457.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18308631:18311720:1 gene:Manes.09G077457.v8.1 transcript:Manes.09G077457.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYNHSIRVLGSCNGLLCICNVVDDIALWNPSIRKYHVLPFLPMELKRYPGTCSCRVCVFGLGYDPINDDYKVVRIAQFGGVNGKSFESEVKVYSLRRNSWRRIGDMPYCILYPGVNGVFVCGALHWLVSQNAESNVANMIVALDLGVEDCREVPQPELMDENFNMDIGVLGGCLCLLANFRGRRVDVWVMKDYGIKESWTKLFSVVQQDVIGVLRSLKPLAYSKSGSEVLMEQDNIHLFWYDLRRKEVRDVRIQDMPITFETEIYVGSLVPVNPNRLPKGRNRHEHEVAKNRKNRDDFLSEGFKLVL >Manes.09G077457.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18308632:18311720:1 gene:Manes.09G077457.v8.1 transcript:Manes.09G077457.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPLDLIAEILRRLPVKKLLCCRCVSKPWRALIDGQNFIDLHLQHSLETSSNTNIILKNSELYFVAFDLLDNLWQLDHPLMCYNHSIRVLGSCNGLLCICNVVDDIALWNPSIRKYHVLPFLPMELKRYPGTCSCRVCVFGLGYDPINDDYKVVRIAQFGGVNGKSFESEVKVYSLRRNSWRRIGDMPYCILYPGVNGVFVCGALHWLVSQNAESNVANMIVALDLGVEDCREVPQPELMDENFNMDIGVLGGCLCLLANFRGRRVDVWVMKDYGIKESWTKLFSVVQQDVIGVLRSLKPLAYSKSGSEVLMEQDNIHLFWYDLRRKEVRDVRIQDMPITFETEIYVGSLVPVNPNRLPKGRNRHEHEVAKNRKNRDDFLSEGFKLVL >Manes.09G077457.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18308631:18311720:1 gene:Manes.09G077457.v8.1 transcript:Manes.09G077457.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYNHSIRVLGSCNGLLCICNVVDDIALWNPSIRKYHVLPFLPMELKRYPGTCSCRVCVFGLGYDPINDDYKVVRIAQFGGVNGKSFESEVKVYSLRRNSWRRIGDMPYCILYPGVNGVFVCGALHWLVSQNAESNVANMIVALDLGVEDCREVPQPELMDENFNMDIGVLGGCLCLLANFRGRRVDVWVMKDYGIKESWTKLFSVVQQDVIGVLRSLKPLAYSKSGSEVLMEQDNIHLFWYDLRRKEVRDVRIQDMPITFETEIYVGSLVPVNPNRLPKGRNRHEHEVAKNRKNRDDFLSEGFKLVL >Manes.09G077457.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18308632:18311720:1 gene:Manes.09G077457.v8.1 transcript:Manes.09G077457.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYNHSIRVLGSCNGLLCICNVVDDIALWNPSIRKYHVLPFLPMELKRYPGTCSCRVCVFGLGYDPINDDYKVVRIAQFGGVNGKSFESEVKVYSLRRNSWRRIGDMPYCILYPGVNGVFVCGALHWLVSQNAESNVANMIVALDLGVEDCREVPQPELMDENFNMDIGVLGGCLCLLANFRGRRVDVWVMKDYGIKESWTKLFSVVQQDVIGVLRSLKPLAYSKSGSEVLMEQDNIHLFWYDLRRKEVRDVRIQDMPITFETEIYVGSLVPVNPNRLPKGRNRHEHEVAKNRKNRDDFLSEGFKLVL >Manes.09G077457.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18308632:18311720:1 gene:Manes.09G077457.v8.1 transcript:Manes.09G077457.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPLDLIAEILRRLPVKKLLCCRCVSKPWRALIDGQNFIDLHLQHSLETSSNTNIILKNSELYFVAFDLLDNLWQLDHPLMCYNHSIRVLGSCNGLLCICNVVDDIALWNPSIRKYHVLPFLPMELKRYPGTCSCRVCVFGLGYDPINDDYKVVRIAQFGGVNGKSFESEVKVYSLRRNSWRRIGDMPYCILYPGVNGVFVCGALHWLVSQNAESNVANMIVALDLGVEDCREVPQPELMDENFNMDIGVLGGCLCLLANFRGRRVDVWVMKDYGIKESWTKLFSVVQQDVIGVLRSLKPLAYSKSGSEVLMEQDNIHLFWYDLRRKEVRDVRIQDMPITFETEIYVGSLVPVNPNRLPKGRNRHEHEVAKNRKNRDDFLSEGFKLVL >Manes.05G179800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29695336:29696277:-1 gene:Manes.05G179800.v8.1 transcript:Manes.05G179800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFAVLLLVCLVLTSSFFELTMAGSEFCDSKCEARCAKAGVKDRCLKYCGICCEKCNCVPSGTYGNKDECPCYRDMKNSKGKPKCP >Manes.03G147600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27515270:27521464:-1 gene:Manes.03G147600.v8.1 transcript:Manes.03G147600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCNKQSPVPVKEQVMNYLQYPAPLARYEDVIASPKIFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGLEKVIRERRWKEVTSIFNFPSTATNASFVLRKYYGSLLHHYEQLYFFKAQGWTPGPSSPVPLHSPFASRVPAQVTVQPSPEYQAATAQQQKTNIAEFCGESSGSSQVTGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQNQSYPMSQHYNVSANNTGNVHAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKMIGDLWNKLKESEKAVYQEKAIEDKERYRIEMEDYRERLKTGRVISDAVPLQQWLPERDIDMVEANMKADEAEGGDSPHNLDNDSSCGESDSEDEDKTAEKDLDRAASLGMQFNVHSGHVGVEASAEAAAFEPSTREGNIGVQRMVGNENLENVIVETDTEVPSKEQ >Manes.03G147600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27515270:27520393:-1 gene:Manes.03G147600.v8.1 transcript:Manes.03G147600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCNKQSPVPVKEQVMNYLQYPAPLARYEDVIASPKIFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGLEKVIRERRWKEVTSIFNFPSTATNASFVLRKYYGSLLHHYEQLYFFKAQGWTPGPSSPVPLHSPFASRVPAQVTVQPSPEYQAATAQQQKTNIAEFCGESSGSSQVTGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQNQSYPMSQHYNVSANNTGNVHAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKMIGDLWNKLKESEKAVYQEKAIEDKERYRIEMEDYRERLKTGRVISDAVPLQQWLPERDIDMVEANMKADEAEGGDSPHNLDNDSSCGESDSEDEDKTAEKDLDRAASLGMQFNVHSGHVGVEASAEAAAFEPSTREGNIGVQRMVGNENLENVIVETDTEVPSKEQ >Manes.03G147600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27515270:27521657:-1 gene:Manes.03G147600.v8.1 transcript:Manes.03G147600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCNKQSPVPVKEQVMNYLQYPAPLARYEDVIASPKIFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGLEKVIRERRWKEVTSIFNFPSTATNASFVLRKYYGSLLHHYEQLYFFKAQGWTPGPSSPVPLHSPFASRVPAQVTVQPSPEYQAATAQQQKTNIAEFCGESSGSSQVTGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQNQSYPMSQHYNVSANNTGNVHAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKMIGDLWNKLKESEKAVYQEKAIEDKERYRIEMEDYRERLKTGRVISDAVPLQQWLPERDIDMVEANMKADEAEGGDSPHNLDNDSSCGESDSEDEDKTAEKDLDRAASLGMQFNVHSGHVGVEASAEAAAFEPSTREGNIGVQRMVGNENLENVIVETDTEVPSKEQ >Manes.03G147600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27515270:27521487:-1 gene:Manes.03G147600.v8.1 transcript:Manes.03G147600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCNKQSPVPVKEQVMNYLQYPAPLARYEDVIASPKIFMATLEKLHASMGTKFMELDLHRLFVEVTSRGGLEKVIRERRWKEVTSIFNFPSTATNASFVLRKYYGSLLHHYEQLYFFKAQGWTPGPSSPVPLHSPFASRVPAQVTVQPSPEYQAATAQQQKTNIAEFCGESSGSSQVTGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQNQSYPMSQHYNVSANNTGNVHAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKMIGDLWNKLKESEKAVYQEKAIEDKERYRIEMEDYRERLKTGRVISDAVPLQQWLPERDIDMVEANMKADEAEGGDSPHNLDNDSSCGESDSEDEDKTAEKDLDRAASLGMQFNVHSGHVGVEASAEAAAFEPSTREGNIGVQRMVGNENLENVIVETDTEVPSKEQ >Manes.03G147600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27515270:27521657:-1 gene:Manes.03G147600.v8.1 transcript:Manes.03G147600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCNKQSPVPVKEQVMNYLQYPAPLARYEDVIASPKIFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGLEKVIRERRWKEVTSIFNFPSTATNASFVLRKYYGSLLHHYEQLYFFKAQGWTPGPSSPVPLHSPFASRVPAQVTVQPSPEYQAATAQQQKTNIAEFCGESSGSSQVTGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQNQSYPMSQHYNVSANNTGNVHAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKMIGDLWNKLKESEKAVYQEKAIEDKERYRIEMEDYRERLKTGRVISDAVPLQQWLPERDIDMVEANMKADEAEGGDSPHNLDNDSSCGESDSEDEDKTAEKDLDRAASLGMQFNVHSGHVGVEASAEAAAFEPSTREGNIGVQRMVGNENLENVIVETDTEVPSKEQ >Manes.03G147600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27515270:27521464:-1 gene:Manes.03G147600.v8.1 transcript:Manes.03G147600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCNKQSPVPVKEQVMNYLQYPAPLARYEDVIASPKIFMATLEKLHASMGTKFMELDLHRLFVEVTSRGGLEKVIRERRWKEVTSIFNFPSTATNASFVLRKYYGSLLHHYEQLYFFKAQGWTPGPSSPVPLHSPFASRVPAQVTVQPSPEYQAATAQQQKTNIAEFCGESSGSSQVTGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQNQSYPMSQHYNVSANNTGNVHAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKMIGDLWNKLKESEKAVYQEKAIEDKERYRIEMEDYRERLKTGRVISDAVPLQQWLPERDIDMVEANMKADEAEGGDSPHNLDNDSSCGESDSEDEDKTAEKDLDRAASLGMQFNVHSGHVGVEASAEAAAFEPSTREGNIGVQRMVGNENLENVIVETDTEVPSKEQ >Manes.03G147600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27515270:27521464:-1 gene:Manes.03G147600.v8.1 transcript:Manes.03G147600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCNKQSPVPVKEQVMNYLQYPAPLARYEDVIASPKIFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGLEKVIRERRWKEVTSIFNFPSTATNASFVLRKYYGSLLHHYEQLYFFKAQGWTPGPSSPVPLHSPFASRVPAQVTVQPSPEYQAATAQQQKTNIAEFCGESSGSSQVTGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQNQSYPMSQHYNVSANNTGNVHAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISKMIGDLWNKLKESEKAVYQEKAIEDKERYRIEMEDYRERLKTGRVISDAVPLQQWLPERDIDMVEANMKADEAEGGDSPHNLDNDSSCGESDSEDEDKTAEKDLDRAASLGMQFNVHSGHVGVEASAEAAAFEPSTREGNIGVQRMVGNENLENVIVETDTEVPSKEQ >Manes.01G039132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7681003:7683969:-1 gene:Manes.01G039132.v8.1 transcript:Manes.01G039132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDDCCRWDGVTCDNITGHVVNLVLSWTSIRGNISLHLGNLSNLQYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELELSNCELSIIGDVSHVNFTSLEVLNLGWNNFHSTIPSWLYNITNLRHLVLRYNAFRGSISTDISNLNSLASLAADFNSLEGNIPNTLNRLCNLIELDLGYNKFGGEISGTFGNSSGCIKNSLENLYLLYNSFSGSIPDNLGQFKRLKVLYLSKNSFWGSIPVSIGQLYNLERLDFSENSLHGKVSELHLLNLRSLFELSMDGNSLVFDIDPEWIPPFQLSWIHLSSCEVGPFPQWLRTQKSIRFLDMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNTPYTNDYRVILLKSNQFDGFLTCSDFDATILDISNNLLHGQIPQNISDMMPSLRHLSLSNNYLNGTVPATLWRIESLQILDLSNNQLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTMQGNIPMSLRNLESLETLDLSMNSFDGFIPWWIGESLSSLKVLSVHSNKFEGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNYLSGRIPTGNQLQTLDDKSIYIGNDGLCGPSLNNCSNDADELPKGHEKGSTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNESWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.10G148200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31425961:31429203:1 gene:Manes.10G148200.v8.1 transcript:Manes.10G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTTIASLPSSIPTTSKLTLLTNPSKPTVQILKQTNPFHNFPKFTHLHIVLKPRVLKRSFAVAEETAPVAPLDPSSEAARRLYIGNIPRTLDNEELKKIVEEHGAVEKAEVMYDKYSGRSRRFAFVTMKTVEDANAAIEKLNGTEIGGREIKVNITEKPLLSIDLSLLQVEESQFIDSPHKVYVGNLAKTVTTEMLKNFFSEKGKVLSAKVSRVPGTSKSSGYGFVTFSSDEDVEAAISSLNNSLLEGQQIRVNKT >Manes.14G125221.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9935062:9944033:-1 gene:Manes.14G125221.v8.1 transcript:Manes.14G125221.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITPLSTFLVLLLFNLSSSFPSFSLAAPAPDVSVYESFLQCLDYNTNPQDQISDLVYSQAYNTSFLRAYIRNARWNTSTTPKPLIIITPKQIPHVQAAVVCTKKVGYQLKVRSGGHDYEGISYVSDVPFFLLDMFNLRSINVDVKTESAWVQTGATLGEVYYRIWEKSKVHGFPAGFCPTVGVGGHISGGGYGNMLKKYVLASDNVLDAQIIVTVFRVERTLDQNATTDVVYKWQLVAPKTDNAIFMRMLPITSNTNKNQKTIRATMKEISFLQTIVALYLGKADTLVSLLGKEFPELGLKKENCNETSWIQSVLWSANHDIGTSPDVLLDRDLDSASFLKRKSDYVQTPIPKDKLNLIFQKMIEVGKTGMVFNPYGGRMSEIPATKTPFPHRDGNLFKIQYSLKEARDLYSYMTPFVSKDPRRAFLNYRDLDIGVMKAGENSYKEGRVYGNKYFNQNFDRLVKVKTAIDPENFFRNEQSIPTLPAKL >Manes.03G162300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28916393:28920109:1 gene:Manes.03G162300.v8.1 transcript:Manes.03G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSSSSKLNQTRSIKTPQSKHRLNFTSARTPNPHPSPNPNSTLKETPQGDHPIEVIGRIRDYPDRKEKFNSILPANPDTLRVRADIGYRDFTLDGISFSEEEDLDAFYKKFVESRINGVKLGNKCTIMTYGPTGSGKSHTMFGCSKQPGIVYRSLKHILGEGEEASEGCDGERLGMGTFVQVTVLEIYNEEIYDLLSSNGGSGFGFGWPKGGNGSKVKLEVMGKKAKNATYISGTEAGKISKEIQKVEKRRIVKSTLCNDQSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQNTLEAKMQTAKINQGNNALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTICTLEYGAKAKCIIRGPHTPIKDKVGTDDSSGVILGSKIAAMDQFIYKLQMENKQREKERNEAHKQLKKKEEEVAALRALIEGKGSAASEEEINLKVNERTKLLKLELEKKLEECQRMAEECVELERRRMEERILQQQQEIEMLRRRLEEIESELCHPREESSSFARRLLRVFADEDPGMVKSMDLDMGDQEAFAPDVKCVDMAVQTSIQRVDQDAFVPHYGGKICLSTVFEEEEIEEEEDKEKVEDEEVEKGVIEVKRIVDRSGSGMDFDAGSSPQKFEASNGSERCVNSGHQNEFVNVDSASSRRLRIQNIFTLCGNHRELSQQIGTPAPAKELFEESKENYNPSKENNDAQIDVHVKWQATKGNNGKFITMFKVVRDATLADLRKLIEIHLGADNQAFSFLVLGDPTGAPVPKEKESAFEAIKLPICNNKSQGYLACLQPLNHLPLSPHPVTPLENKLPLSPLENKLPLTCDGLSPKLAQHLSSTPFITLRKY >Manes.14G048600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4229098:4237248:-1 gene:Manes.14G048600.v8.1 transcript:Manes.14G048600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETLEKKSDEEAPLEDKEAPVEDKEEPKHEEQKDQDQSPIDAEKKEEEGNSEEVSEGNEEPPEELVEEKEKEEEKEEVAEDHEEVSTKAKRGGRRGSAKKEQNESGKQTEKNSEKKHSKESTEKKDREPVTPSSERPTRERKTVERYSAPEPGRSASKPLSIEKGRGTQLKDIPNVAFKLSKRKPDDNLQMLHTILFGKKAKAHNLKKNIGQFSGYVWAENEQEKQKAKVREKLDKCVKEKLVDFCDVLNIPINKAVVKKEELTVKLLEFLESPHATTDVLLADKEQKGKKRKVSTGRNATPGEASATPSKKQRRTSQSGEKRKQSSKGDEDEDEDKVESPDAKDTKNDSQDDDDDEENETVAKEESDHEESKSEEEEDEPKEQTPTQKASKKNVKESSSGAKSKDKVTSVKKSAQAKSVKTPAKSAKKSSGSLSKQVATETDGTSGSHSKSKGSTSKKQKVEKESPDRSAPSKEKGSSKKQLSKLPSKASTKDQSKVKSGRKTKVEPSRENMYAVVVDILKEVDFNTATLSDILRQLGTHFGVDLMHRKAEVKDIITEVINNMSDEEEGEEADDNAEAGGDADKDGDGDDDA >Manes.06G094000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22884956:22890014:1 gene:Manes.06G094000.v8.1 transcript:Manes.06G094000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSRLYDKMERDLDENGPAFLKHGGTTQSLSLSDIFTLKDGSVKPVHKAANPPVRANVLYLSPEYSVPISESAKQVFHPYFGKAIWFQNSSLYHFSMFHASHHIVPVPATEDEIEAEATAVGAVAEGLCPLKIVLDRVVLTSTGVLLGCWQVISGPDPVTIRAKLRSALPRAPEKQLYDDAILHTSFARLLGHPKVSSTEPSKELHVSHELVARLNSIIRGAEVVISELWYVEEYDVLALALDGRMKERRFRLGCKRT >Manes.06G094000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22884956:22890014:1 gene:Manes.06G094000.v8.1 transcript:Manes.06G094000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKVVRNWWSHSKTVVLIWSICIAIYFSLLRLALNNSSSLGYTSTIDSPVSNMEQRSRLYDKMERDLDENGPAFLKHGGTTQSLSLSDIFTLKDGSVKPVHKAANPPVRANVLYLSPEYSVPISESAKQVFHPYFGKAIWFQNSSLYHFSMFHASHHIVPVPATEDEIEAEATAVGAVAEGLCPLKIVLDRVVLTSTGVLLGCWQYDDAILHTSFARLLGHPKVSSTEPSKELHVSHELVARLNSIIRGAEVVISELWYVEEYDVLALALDGRMKERRFRLGCKRT >Manes.06G094000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22884956:22890014:1 gene:Manes.06G094000.v8.1 transcript:Manes.06G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKVVRNWWSHSKTVVLIWSICIAIYFSLLRLALNNSSSLGYTSTIDSPVSNMEQRSRLYDKMERDLDENGPAFLKHGGTTQSLSLSDIFTLKDGSVKPVHKAANPPVRANVLYLSPEYSVPISESAKQVFHPYFGKAIWFQNSSLYHFSMFHASHHIVPVPATEDEIEAEATAVGAVAEGLCPLKIVLDRVVLTSTGVLLGCWQVISGPDPVTIRAKLRSALPRAPEKQLYDDAILHTSFARLLGHPKVSSTEPSKELHVSHELVARLNSIIRGAEVVISELWYVEEYDVLALALDGRMKERRFRLGCKRT >Manes.06G094000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22884956:22890014:1 gene:Manes.06G094000.v8.1 transcript:Manes.06G094000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKVVRNWWSHSKTVVLIWSICIAIYFSLLRLALNNSSSLGYTSTIDSPVSNMEQRSRLYDKMERDLDENGPAFLKHGGTTQSLSLSDIFTLKDGSVKPVHKAANPPVRANVLYLSPEYSVPISESAKQVFHPYFGKAIWFQNSSLYHFSMFHASHHIVPVPATEDEIEAEATAVGAVAEGLCPLKIVLDRVVLTSTGVLLGCWQVISGPDPVTIRAKLRSALPRAPEKQLYDDAILHTSFARLLGHPKVSSTEPSKELHVSHELVARLNSIIRGAELFLNCGTWRNMTYWLSHWMEE >Manes.14G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3285132:3290885:-1 gene:Manes.14G037900.v8.1 transcript:Manes.14G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVKSWNHPSIFIPKQLFRPSHSLRRLPSSLHSPSLPTHRKPFARALATAAPPKMVKAIRVHQLGGPEVLKWEDVEIGEPGEGEIRVKNKAIGLNFIDVYFRKGVYKAPSMPFTPGMEAVGEVVAVGPGLTGREVGDIVAYAGNPMGSYAEEQILPANKVVPVPSSISPVIAASVMLKGMTAQFLVRRCFKVEPGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSSREKAAQAKEDGCHHVINYKEEDFVLHVKDITSGTGVDVVYDSVGKDTFQGSLACLKTRGYMVSFGQSSGTVDPVPLSALAPKALFLTRPSLMLYTETRDELLETAGEVFANIASGVLRVRVNHTYHLSDAAQAHADLESRKTSGSVVLIP >Manes.02G058000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4537299:4542194:-1 gene:Manes.02G058000.v8.1 transcript:Manes.02G058000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNARVHSFSQTEINWDKLDKTKFYVVGAGIFTGITVALYPVSVVKTRLQVATKDTVERNAFSVVRGILKTDGIPGLYRGFGTVITGAIPARIIFLTALETTKVAAFKMVEPFKLSEPVQAAAANGIAGMTASLFSQAVFVPIDVVSQKLMVQGYSGHAKYNGGLDVARKIIKSDGVRGLYRGFGLSVMTYSPSSAVWWASYGSSQRVIWSLLGHGTDRKGTTPSQLTIMLVQGTGGIIAGATASCVTTPLDTIKTRLQVMGQERKSSARQVVKNLIKDDGWGGLYRGFGPRFFSMSAWGTSMILAYEYLKRLCAKDA >Manes.02G058000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4537299:4542194:-1 gene:Manes.02G058000.v8.1 transcript:Manes.02G058000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNARVHSFSQTEINWDKLDKTKFYVVGAGIFTGITVALYPVSVVKTRLQVATKDTVERNAFSVVRGILKTDGIPGLYRGFGTVITGAIPARIIFLTALETTKVAAFKMVEPFKLSEPVQAAAANGIAGMTASLFSQAVFVPIDVVSQKLMVQGYSGHAKYNGGLDVARKIIKSDGVRGLYRGFGLSVMTYSPSSAVWWASYGSSQRVIWSLLGHGTDRKGTTPSQLTIMLVQGTGGIIAGATASCVTTPLDTIKTRLQVLFKLFNIKLSSPFDFYFCFFLVH >Manes.10G117250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28243872:28244974:-1 gene:Manes.10G117250.v8.1 transcript:Manes.10G117250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding HISKDFIEQLPKSKDKDTILVVVCRFTKYGHFIPLAHPFTAATVVKPTAWAEWISLAEWWYNSFYHSAIKTTSFKTLYGYYPPLVPVIFPHKQQLDEILNSNLEVARNRIKQQADRKRSEREFHVGDWVYLKLQSYRQTSLALQKTLKLAAKFYGPFKVLERIESVAYKLDLPPASAIHPVFHVSLPKKKLGDHVVPMTELPSREDDEIVVALQAMLQTREITRGGQQVSQVLIKWKNLSPEDATWEDQPFVLAQFPEFAHSLEQECTKGRGIVTYKRTRYKKK >Manes.11G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29733040:29738244:1 gene:Manes.11G133000.v8.1 transcript:Manes.11G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMEKSSSTPPSSSYLNALTLEIEKKLQRAKASASQRRNLLQELFADIALEVDDRARDIILSGKEDTNSPAEDGAGGQLCFYNVLADYYVRVPESGKRILDLIVQLWSQSFASNIFSLLFHKWLFDAHLDSNEVLLRYSSALVQGATNIFWIDIQTNTRHFQTLFSYLLEEVALEPKRLNKIPVQAQRDLFLLLSRFLLFYNLGNKLEIFLKRFPVFPNAFLVGGPVDFFVIELADQLQKLKVEPVLLHYLSQIKVLQGMELRMTTSTRLRACLYSFTSPGGPMYPTRAVRHAAWEALDLLFPVGQYPRHLISLFFRLLYPWCWPSSCCNFIVACIKTIFCSLVRLLFSSWEKLRDN >Manes.11G133000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29733100:29738244:1 gene:Manes.11G133000.v8.1 transcript:Manes.11G133000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMEKSSSTPPSSSYLNALTLEIEKKLQRAKASASQRRNLLQELFADIALEVDDRARDIILSGKEDTNSPAEDGAGGQLCFYNVLADYYVRVPESGKRILDLIVQLWSQSFASNIFSLLFHKWLFDAHLDSNEVLLRYSSALVQGATNIFWIDIQTNTRHFQTLFSYLLEEVALEPKRLNKIPVQAQRDLFLLLSRFLLFYNLGNKLEIFLKRFPVFPNAFLVGGPVDFFVIELADQLQKLKVEPVLLHYLSQIKVLQGMELRMTTSTRLRACLYSFTSPGGPMYPTRAVRHAAWEALDLLFPVGQYPRHLISLFFRLLYPWCWPSSCCNFIVACIKTIFCSLVRLLFSSWEKLRDN >Manes.17G041700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23325588:23327352:-1 gene:Manes.17G041700.v8.1 transcript:Manes.17G041700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQENLLTETEIHYWGKTPEEEYYKQQGIRGSHSLYTSPRGLCLFTRSWLPISAAPPRGVICMVHGYGNDISWTFQSTAIFLAQKGFACYAIDIEAHGRSQGLKAYVPNVDLVIQDCVSFFNFIKQDPEFHGLPSFLYGESMGGAICLLIHLSNPRGFDGAILVAPMCKISENMKPRWPIPEILSFVAKFFPTLPIVPTADVLSKSIKVEEKKKIGLMNPMRYSGKPRLGTVVELVRVTDYLSKRLSDISIPFIVLHGSADVVTDPNVSRALYEEAKSKDKTIKIYDGMMHSLLFGETDENIEIVRGDILSWLNDRCQGN >Manes.12G083800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12265460:12270425:-1 gene:Manes.12G083800.v8.1 transcript:Manes.12G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLVGVFQPTSATAKEEKQIELFVPPPCHLVVNRTRSQPSCRRVTPTRCEITAIVTERVLPNGDIYTGTLSGSVPHGKGKYLWYDGCMYEGEWKRGRACGNGKFSWPSGATYEGHFKDGRMDGHGTFIGVDGDSYKGQWLLDRKHGYGEKRYANGDVYQGRWRSNLQGGEGKYRWSNGNEYSGEWKNGVIHGKGVLICANGNIYEGLWENGVPKGKGVFTFSNGNNRYEGNFKDARKRSSVDSNVPRICIWELDGEAGDITCDIVDNVEASIMSYDDKDGDSNGNGKDSDNGRAIEARKCQRSPCSCSSADDDVKKPGQTISKGHKNYDLMLNLQLGIRYSVGKHDSMMRELRQSDFDSKEKYWTRFPPEGSKSTPPHQSVDFKWKDYCPMVFRHLRELFAIDPADYMVAICGNDALREFSSPGKSGSFFYLTQDERFMIKTVKKSEVKVLIRMLPNYYQHVCQYKNSLVTKFFGVHCVKPVGGQKIRFIVMGNLFCSEYRIHKRFDLKGSSYGRATDKADGQIDETTTLKDLDLNFNFHLERSWYQELIRQIYRDCEFLEAEGIMDYSLLIGLHFRDEVKMPPNELYYEKGNMCHDKTSMRGYHLLPDMDWVMEGRGPFIRLGANMPARAVCVPRIELDQNAGGGKINSTHSEGGVEVLDVLLYFGIIDILQDYDISKKLEHAYKSLQVDATSISAVDPKLYSKRFRDFIHRIFVEDK >Manes.12G083800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12265563:12270411:-1 gene:Manes.12G083800.v8.1 transcript:Manes.12G083800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLVGVFQPTSATAKEEKQIELFVPPPCHLVVNRTRSQPSCRRVTPTRCEITAIVTERVLPNGDIYTGTLSGSVPHGKGKYLWYDGCMYEGEWKRGRACGNGKFSWPSGATYEGHFKDGRMDGHGTFIGVDGDSYKGQWLLDRKHGYGEKRYANGDVYQGRWRSNLQGGEGKYRWSNGNEYSGEWKNGVIHGKGVLICANGNIYEGLWENGVPKGKGVFTFSNGNNRYEGNFKDARKRSSVDSNVPRICIWELDGEAGDITCDIVDNVEASIMSYDDKDGDSNGNGKDSDNGRAIEARKCQRSPCSCSSADDDVKKPGQTISKGHKNYDLMLNLQLGIRYSVGKHDSMMRELRQSDFDSKEKYWTRFPPEGSKSTPPHQSVDFKWKDYCPMVFRHLRELFAIDPADYMVAICGNDALREFSSPGKSGSFFYLTQDERFMIKTVKKSEVKVLIRMLPNYYQHVCQYKNSLVTKFFGVHCVKPVGGQKIRFIVMGNLFCSEYRIHKRFDLKGSSYGRATDKADGQIDETTTLKDLDLNFNFHLERSWYQELIRQIYRDCEFLEAEGIMDYSLLIGLHFRDEVKMPPNELYYEKGNMCHDKTSMRGYHLLPDMDWVMEGRGPFIRLGANMPARAVCVPRIELDQNAGGGKINSTHSEGGVEVLDVLLYFGIIDILQDYDISKKLEHAYKSLQVDATSISAVDPKLYSKRFRDFIHRIFVEDK >Manes.06G144500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27148821:27151265:1 gene:Manes.06G144500.v8.1 transcript:Manes.06G144500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTPSPKEFSFLSLPIFLLIPVFFIIIKHISSLSSNRRPLPPGPRPWPIVGNIFHLDKKLHISMTRFAKLHGPLISLRLGTQVVVVGSSPAAAAEILKNNDRLLSARWTVKVIPRKIHELERLAVIWNPTCNDQWKSLRTLFRTELFSAKAIESQANLREKKLSEMVELLTTQQGTGINIGEIVFATVFNTISNLIFSKDLIALEDKGVASGLKSLFWRMMELAAAPNIAEFYPILEGLDPQGLRRKMSECLEQMFGVWEIYIKERREKHVNDAPKTDFLDVFLSSGFDDDLINWLIAELMSAGVETTTTTVEWAMAEILKNKRVMEKVGEELQRVINSGTVHESQVSQLTFVNAVLRETLRLHPPAPFLLPHRAPETCEVMNYTIPKDSQIFVNVWAIGRDPSVWEEPLSFKPERFLESSLDLKGHDFELIPFGSGRRICPGLTMATRQIPMILASLIHYFEWSLENGEDLATIDMNDKFGVTLQKEKPLRLIPRRKL >Manes.06G144500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27148821:27151265:1 gene:Manes.06G144500.v8.1 transcript:Manes.06G144500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKDTDQKLHLSGFSFKKKKKKELRLSSGICPISKHISSLSSNRRPLPPGPRPWPIVGNIFHLDKKLHISMTRFAKLHGPLISLRLGTQVVVVGSSPAAAAEILKNNDRLLSARWTVKVIPRKIHELERLAVIWNPTCNDQWKSLRTLFRTELFSAKAIESQANLREKKLSEMVELLTTQQGTGINIGEIVFATVFNTISNLIFSKDLIALEDKGVASGLKSLFWRMMELAAAPNIAEFYPILEGLDPQGLRRKMSECLEQMFGVWEIYIKERREKHVNDAPKTDFLDVFLSSGFDDDLINWLIAELMSAGVETTTTTVEWAMAEILKNKRVMEKVGEELQRVINSGTVHESQVSQLTFVNAVLRETLRLHPPAPFLLPHRAPETCEVMNYTIPKDSQIFVNVWAIGRDPSVWEEPLSFKPERFLESSLDLKGHDFELIPFGSGRRICPGLTMATRQIPMILASLIHYFEWSLENGEDLATIDMNDKFGVTLQKEKPLRLIPRRKL >Manes.15G177512.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20882910:20884135:1 gene:Manes.15G177512.v8.1 transcript:Manes.15G177512.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKTKAIISPHGTVSLSQTVDSKDLSNPLKAVGLLKIQFTQGYNISFHKWIIKNVSDFEIVVENGYNDVNPWGVIKKYYPENWYFTPKDFSKSQEYYHSILEDTESVKLKHNFDKNDKNIIAYSSIQIKRVIHPKDWPTPNWYTDLTFKTLKKHFTSYNYFDYIDAWKNVFNIQNSNLTHSWLIYFDQSKIKETTRFSNWFLKWWQYRGISEEILSSEVSQVYQYFKSNYKPNLNESYIPPLKYFCINFFIPWIYQWFFDFQYMVGTSIPAIEWILKKAQFPTVSYAGKLILQQGEPSFGAQKAQCQALLAAAKTPEEFKIICQQMFNQLTLEEKEKVNQSFDKESSKESSSKQKKASSRRKIKKESSLESESTASSQTSSSSKN >Manes.09G014401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3227251:3266555:1 gene:Manes.09G014401.v8.1 transcript:Manes.09G014401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDHFKEALGLLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVTYSSLMDGYCLGSQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKQIFYEMSHKGLVPDAVTYHTLIKAMFQAGRPQTAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVICSSLINGMCKVGKINDARELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNDMRDKQTKVVIS >Manes.05G086832.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7861390:7861789:-1 gene:Manes.05G086832.v8.1 transcript:Manes.05G086832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLNLLLLATILVFLMSMQAHEAIRIPFPHKEGGPVVEKAVGLLESLRTPVPPSGPSGCTHIPSSGGICVNEMNYAGRTMAPLLPQSSVGILANNE >Manes.14G019414.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1960098:1963044:1 gene:Manes.14G019414.v8.1 transcript:Manes.14G019414.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRNRAASPYDQIPIYRTSSSSSAPSSHPMYGQSLYPRIGQQGHAAVPPSGRHSSYHQTSAPSPSSSGLGIRVAVKPEYRITPPPQLSPQVGDIPRSSFQFDFEFERKILAEAEKESQNWSKLGLENLPSKTTESTSSLGSTVDPVVSKYIRSGLKPEAVPFAVANYGDNPTKVQEFVNGYSLLREMGFASNSVAEALLMYDNDTDKALAHFLNNSS >Manes.14G019414.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1960098:1963767:1 gene:Manes.14G019414.v8.1 transcript:Manes.14G019414.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRNRAASPYDQIPIYRTSSSSSAPSSHPMYGQSLYPRIGQQGHAAVPPSGRHSSYHQTSAPSPSSSGLGIRVAVKPEYRITPPPQLSPQVGDIPRSSFQFDFEFERKILAEAEKESQNWSKLGLENLPSKTTESTSSLGSTVDPVVSKYIRSGLKPEAVPFAVANYGDNPTKPFKRNGICIEQRS >Manes.14G019414.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1960098:1963767:1 gene:Manes.14G019414.v8.1 transcript:Manes.14G019414.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDFRNRAASPYDQIPIYRTSSSSSAPSSHPMYGQSLYPRIGQQGHAAVPPSGRHSSYHQTSAPSPSSSGLGIRVAVKPEYRITPPPQLSPQVGDIPRSSFQFDFEFERKILAEAEKESQNWSKLGLENLPSKTTESTSSLGSTVDPVVSKYIRSGLKPEAVPFAVANYGDNPTKVSSLSFSASCFYFNMSVVGS >Manes.07G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1391765:1393009:-1 gene:Manes.07G010100.v8.1 transcript:Manes.07G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRMFSSFPVKLLLKCRCVCKAWDSLITDPSFIDKHLKKTAARKSELLLFRYYIDVEAFKGNEHYFLYKTFPENPVEELHCPLKGFARFINIVGSCNGVICLSDDFNGTYTDRAALWNPSVRKIVSIPCPNVSFKSHGPFFHSLGFGFDSTTEDYKLVRVAYIGDDDFDFIDIPPLVEIYSLRERHWKRVDNNLNYVISCHWVGSTPETGGGVGQVIVTFSLEDELFREMEIPECLVKEYSFMDIAAFDGSILLVPFMKKNGKEDWFSVWIMREYGVARSWTKLFSFSKAERVERLVAFRQNGEVLLAKTGGKLVSYDPNTKKITATGIVGYANLVLIGESNEFTEIMEEDASSCVSGSVSSSLMVIDKSSEESTEEE >Manes.13G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32380339:32382141:1 gene:Manes.13G117200.v8.1 transcript:Manes.13G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIIMEDSVPYFFLSLLFFLVLKIFRSRIRYRNLPPSPPVLPVIGHLSLVMRKPPMHRILYGLSQKYGPIISLRFGRRLVVVVSSSSAVEECFTKNDIILANRPQFLVGKYMAYNNTTMAQSSYGDHWRSLRRIGAIEIFSNNRLNMFLSIRKDEINRLITKLLHRSLQDFAKVELTSMFKELTFNIMVRMIAGKRYYGEDVTDEEEARQFREIMDEISYLGGATNLGDFLPIWNWIDGGRFENKLKRIAKRTDALLQRLVDEHRSKKENLESMNTMIDHLLSSQESEPDYYTDEIIKGLILNLLFAGIDASSVTLEWAISSLLNNPSKLRKICDEIDDHVGQESFMDELHLSKLPCLQNVISETLRLYPAAPLLVPHLSSEDCNIGGYNVPRDTILLVNAWAIHRDHTLWDDSSSFKPERFDNEKGASFKLIPFGIGRRSCPGAELALRLLGLALGSLIQCFEWKRISDKEIDMTEEKGLTMHKAEPLEALCKARPIMISQLVSYR >Manes.11G146300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31064022:31072864:1 gene:Manes.11G146300.v8.1 transcript:Manes.11G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLTALSASSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYIIEEPAEDAESKRAFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMVRKTVPLMKYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLADSNLLEMIVDKLSPSSPPEVHANAAETLCAITRNAPSALATKLSSPSFVSRIFGHALEDSLSKSGLVHSLSVCISLLDPKRSAISSPLLHSFRSQHIYESPIPVNPETIDAMLPKLGDLLMLLNVLSDDKILPTTYGELKPPLGKHRLKIVEFIAVLLRMGNEAAEKELVSSGTIKRVLDLFFEYPYNNALHHHVESIVLSCLETKNDAMIDHLLQECDFIGKILQTDKNPIISDDTNQPTVPSAGKRAPRAGNLGHITRIANKLLQLGNTNSRIQTYLQENSEWNEWQASILLERNAVENVYRWACGRPTALQDRTRDSDEDDIHDRDYDVAALANNLSQAFRYKMYGNEDNEEDHGGLDRDDEDVYFDDESAEVVISSLRLGDDQGSNLFTNSNWFAFQDDRVGNAPASTSPMEIMDEINLSGAANGGNSSSDDEVVVGEDDELTESKDNVNSTSTSNTNFANGFSGNVPIHQSENATTPQDIGFFRFDTPGNEDFFGDRPFPEWVGWGESLDLQVGGSSLNPFEDHDISDVNLSTQALVATNDVNSPSSGESILPNGSSPSKDSNDGSVGSDTSRESATVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNTIPKAPEKENPDDGGAGIKEFNDANYWRVDQEVAVLE >Manes.15G176912.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:18667768:18671924:-1 gene:Manes.15G176912.v8.1 transcript:Manes.15G176912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTITTALLLLLLLTSTTSAPIPGLDTFLSQQSHSDPKSINDSFHSLPSSLKRSLSLSSHPHIPSLISSLLSLSLPLSLHIRLVGSSFPPDSSSLLTSFLSTTHTSDHFHVITTDPLPHQHSHRLAVKHSPHLDISHSSPALSSRLLEALQSAISATPSSLRSPLLSISYDTIDQIIKQEFDKEKPIQGIYIYLLSLGSQSKNYAYSYTPGDSSPGFTKCLGTIWTGKERYLWIDLSAGPVDYGPALSGDGVLPRGEFHPLAAMHGRPKSQKALLSDLASLIWSAYQVLLVPSLRIPVHFESSLIVEFIHVYGSEAEKDSGGLDWNAIERTFRDEVDEGGLLLGDQSLVFRNYRIKYADCPICSFAISRSINSYTSRFLFDNYTLIVSEYVDSKRLHQILSDSAEEFRRMAGVPEEDFGRVLPVYVFDLDYNTLLLLDRYHQSVAFRDMVIAVRTKTTQTVSDYSCNGRHVFTHTREIERPIVGSILQSMWGVSPTHLLWSSRHNNTLVDYTWSVGQTPFGPFSETSSLSFVQKDAARRNLLLTSLNYSITSAIDVLESIAAHGGDRNLLKQNQHVEFIQRWNLFKYKLDKAVSAMSHLDFEMALYYIRSSDHDLYAIHSLVYHASQELEASLLCFKDPPFPWGSVSMSAIGFFALFYVYAKRDKLFRNKRKQF >Manes.05G120100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:16343931:16349672:-1 gene:Manes.05G120100.v8.1 transcript:Manes.05G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGMTLYARIQNPQFFTLRNSAQAPYLQPPASLKAAKTKPKTCTADELHYVPVSSSDWKLALWRYLPSARAQRRNHPLLLLSGVGTNAIGYDLAPESSFARFMSRQGFDTWILELRGAGLSSLDVDGYGEDSEITLSEGERTDTVYKSNKSISKLSEKFIHLFERLSDVLDEGKNSAIAIQIKDFTRKIVSFIEDGQLPAKPQFYNFQERFSSSLEDFLKQLDLIVKYDWDFDHYLEEDLPAAMEYIRIQCGPKDGKLLAVGHSMGGILLYAMLSKCCFEGRDSGLASVTTLASSLDYTPSKSSLKLLLPVADPAKALNVPVIPIGALLAAAHPFASRPPYILSWLNPQISAPGMMHPQLFEKLVMNNFCTVPSKLLLQLTTVFQEGGLRNRDGSFFYKAHLGKSKVPILAIAGDKDLICPPEAVYETVKIIPEHFGTYKVFGEPWGPHYAHYDLVGSRLAARQVYPCIIDFLSRHDA >Manes.03G172950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29739747:29740497:-1 gene:Manes.03G172950.v8.1 transcript:Manes.03G172950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYIGGIWALVRFLAVWCLVLAVDACRWVKLFQWRSSWAASVAISAIQNRKARRGRREFKAVEIQAKKEDFSRKENFNKPSVILLVLRKVIDILK >Manes.10G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:348614:350420:1 gene:Manes.10G003800.v8.1 transcript:Manes.10G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSHPHFSNHLLRKGCSAMADGTKAIFSALDNAKTQLYHFKAIVIAGMGFFTDAYDLFCITAVTKLLGRLYYYDPTLAKAAPGKLPDKVNNAITGVALCGTLLGQLFFGWLGDKLGRKKVYGITLVTMVGCALASGFSFGSTADGVVATLCFFRFWLGFGIGGDYPLSAVIMSEYANQKTRGAFIAAVFAMQGMGILFAGAVATIISKIFKDTFKAPPFSENHILSTQPEADFVWRIVLMIGAIPAALTFYWRMKMPETARYTALVEGNHKKAAADMATVLESDIYIEETGSKPPANPKSTSYGLFSSEFLARHGIHLLGTTSTWFLLDIAFYSLQLTQKDIYPASGLVYKAPVMNALEEMYYISRAMTIIALVATVPGYWFTVLLIDKIGRYIIQLGGFLLMSICMAILGFQYGNVRGEKSKCGANSKHDYCDGNPILFVVLYGLTLFFANFGPNSTTFIVPAELFPARFRSTCHGMSAAAGKAGAILGAFVVQTYTLGGDSKQIKKAIIALAVVNLLGFFFSFLVPETKGRSLEEISGEDRELDGCANENGREHNASSEMT >Manes.17G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22879677:22881816:-1 gene:Manes.17G034100.v8.1 transcript:Manes.17G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHASELDSAATDSVASSPRSDHYGSHDPRVRFMCSFGGKILPRPHDNQLRYVGGETRIVVVHRSTSYSALLTKLSKLSGIPNLSVKYQLPNEDLDALISVTTDEDIDNMMEEYDRILLNQNPRSARLRLFLFSNGEDSRASSITSLLDGSTNREHWFFDALNSGPGLERGRSEASSIVSEVPDYLFGLDNSDDPQPRENKVKSRLVMHDNVSASDPGSPAPVVSSPYCSTSSAVPAVPSIPDLPPVKTKPENPDPVIQLKQNTVDSSSKAIEPMMIQPTGHPSNPVMHYIPDSPYPGHHVQPIPVYYMPATIPPGNIPIQPVQIQPPFLQQYPVSTGQIPVGYHQAVASMGQVYGGAATRPMTALDPYEGGRAAVPDGVNQQVYYGAATRPMTALDPYEGGRAAVPDGVNQQVYYGVRNASPGLVPGYPGVVMQAGEDLQKPASDTKLGQVSQPTS >Manes.02G005300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:614672:617624:-1 gene:Manes.02G005300.v8.1 transcript:Manes.02G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTVKYLIGSAGPTGFGSKSTADQVTAGCDLRSITAIITGATSGIGAETARVLAKQGARLVLPARNMKAAEDTKARIVSEFPDSQIIVMALDLSSLNSVRSFVREFESLNLPLNLLINNAGKFPHEHAISEDGIEMTFATNYLGHFLLTKLLLRKMIGTVKESGIQGRIVNVSSAIHGWFSGHIIQYLQEISRNKSQYDATRAYALSKLANVLHTKELAQRLKQMEANITVNCIHPGIVRTRLTREREGLLTDIVFFMASKLLKTIPQAAATTCYVATHPRLLNVSGKYFADCNEASPSKFGSSSTEAERLWSASDIMVSRDPKAVFDPLNTT >Manes.08G136600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37339523:37341511:1 gene:Manes.08G136600.v8.1 transcript:Manes.08G136600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVAPAPLPTPATAPTGSPTEMSVAAQAQQKKNRIQVSNTKKPLFFYVNLAKRYIQQHDEVELSALGMEVLTSTVGMKDENKGRLVQKAKIEIVLGKSEKFDSLMDAANTAPEEDSKEKEQQC >Manes.08G136600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37339523:37341511:1 gene:Manes.08G136600.v8.1 transcript:Manes.08G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVAPAPLPTPATAPTGSPTEMSVAAQAQQKKNRIQVSNTKKPLFFYVNLAKRYIQQHDEVELSALGMAITTVVTIAEILKNNGLATEKKVLTSTVGMKDENKGRLVQKAKIEIVLGKSEKFDSLMDAANTAPEEDSKEKEQQC >Manes.18G027700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2384372:2390870:1 gene:Manes.18G027700.v8.1 transcript:Manes.18G027700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNRPVSIGEGSEQIVPFGRGKSSEHIGDLSMALAFALENGGSLRRMDSSGNSSVLGFLNQISRRSVDMGKMERSSIDRHSSSTSRFPNLPHLHLKEISKGAQKLNQILRACSNGVNFDRYSIEIGRELLKGAMDLEESLRMLVNLQEASEYMIKPQSKTRITLLDDDEDDNDITMKTDENKQLARPIFSFDKPSKNSQYIQEAARTDLKQRLMALTYKSKTTNFSYDNHNLSTSHSTSQRQSASYNPNIKTFTAFPEQKYHSGPLIPKPENGRIPNVIAKLMGLEELPENDDSKDMAKKENSSKGKTDRTVAKKTAEVTHERKMKDPGNLASTIRKHKKMQHNQIQLTQDTTNSTKAERNLANQHASFERTIQDRKLQQKDVEGIKPMRSSNKANMKIGKHLSNIDQSSQSIKSRKDIQEKERKKANPKLRGHKGKEKGETKELILNHQLQQMTHGMPNGSEAAIAFQGQAECNLIMLKTEIRDARVLLSNVQPKSANNLAFQQHQMLQGFESLDIKHRAVENEQQSAKQMIQVRRQIRSESRSSPKKYSHTSQATTNNGSFIEPTGTMQSMGFRNKGDHGDLVQDNNSPNFNVSVQDSMNNSNLNSSPRNVNSEVMREKNITTIPPLIEEKPVHLPAVQKVKVARVQKADVPQKIDELVARRSGNPHNLGRPLKHQTSMLPEAKQRRHNTVGQSKEEEQVRSNRSNEAEAGIPKSKKSVSSTQQPRLLKELQSQPEQASNLCIPPMNDECQSIKGPEILPPDENSSSVINDQQCYEPDFGIDKHTSHSIVLDPLNRNREGKIDISYTPQLENQKVYGLETLEPLTESENHLKQILIKSQLFLNTAEALFKLNIPLDILHAGDRDCHDEQRKLILDCGYEVMKRKGKRQELSVHPFMRISITSFKVRSLYDLIKQLQKDIGKLKHKAECTVEDYLPKMLEIDVYNRDLDVNCMWDFGWHEMMFAFLEKDDVIRDVERHLLNALLDEVTGDLMLVF >Manes.18G027700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2384372:2390870:1 gene:Manes.18G027700.v8.1 transcript:Manes.18G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSDFAQKLLDDLRVRKERMSASQSSKSSKAIAADAYSYSKRAYRGSTEMKTHQTIVLKNGNTRPKSMGGNRPVSIGEGSEQIVPFGRGKSSEHIGDLSMALAFALENGGSLRRMDSSGNSSVLGFLNQISRRSVDMGKMERSSIDRHSSSTSRFPNLPHLHLKEISKGAQKLNQILRACSNGVNFDRYSIEIGRELLKGAMDLEESLRMLVNLQEASEYMIKPQSKTRITLLDDDEDDNDITMKTDENKQLARPIFSFDKPSKNSQYIQEAARTDLKQRLMALTYKSKTTNFSYDNHNLSTSHSTSQRQSASYNPNIKTFTAFPEQKYHSGPLIPKPENGRIPNVIAKLMGLEELPENDDSKDMAKKENSSKGKTDRTVAKKTAEVTHERKMKDPGNLASTIRKHKKMQHNQIQLTQDTTNSTKAERNLANQHASFERTIQDRKLQQKDVEGIKPMRSSNKANMKIGKHLSNIDQSSQSIKSRKDIQEKERKKANPKLRGHKGKEKGETKELILNHQLQQMTHGMPNGSEAAIAFQGQAECNLIMLKTEIRDARVLLSNVQPKSANNLAFQQHQMLQGFESLDIKHRAVENEQQSAKQMIQVRRQIRSESRSSPKKYSHTSQATTNNGSFIEPTGTMQSMGFRNKGDHGDLVQDNNSPNFNVSVQDSMNNSNLNSSPRNVNSEVMREKNITTIPPLIEEKPVHLPAVQKVKVARVQKADVPQKIDELVARRSGNPHNLGRPLKHQTSMLPEAKQRRHNTVGQSKEEEQVRSNRSNEAEAGIPKSKKSVSSTQQPRLLKELQSQPEQASNLCIPPMNDECQSIKGPEILPPDENSSSVINDQQCYEPDFGIDKHTSHSIVLDPLNRNREGKIDISYTPQLENQKVYGLETLEPLTESENHLKQILIKSQLFLNTAEALFKLNIPLDILHAGDRDCHDEQRKLILDCGYEVMKRKGKRQELSVHPFMRISITSFKVRSLYDLIKQLQKDIGKLKHKAECTVEDYLPKMLEIDVYNRDLDVNCMWDFGWHEMMFAFLEKDDVIRDVERHLLNALLDEVTGDLMLVF >Manes.08G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35815438:35837909:-1 gene:Manes.08G119300.v8.1 transcript:Manes.08G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARASSGLAYPERFYAAASYAGFDGSPNSVNTVSSKFQNDTALLLYALHQQATVGPCNTPKPSTWNAVEQSKWKSWYGLANMPPTEAMRLFVKILEEEDPGWYSRASNFVSEPVVDIQTNHNPKVDPVVENGNSFLETKTISSESESVIEPQDKDIVSEGFGSVVYDQWICPPISGQRPKARYEHGAAVIQDKMYIYGGNHNGRYLNDLHVLDLRSWTWSRVDAKATAELDESKSLAAVTSCAGHSLIAWENKLLTIAGHTKDPSESIQVKAFDPQTHTWSTLKTYGKAPVSRGGQSVTLVETSLVIFGGQDAKRSLLNDLHILDLETMTWDEIDAVGVPPSPRSDHAAAVHAECYLLIFGGGSHATCFNDLHVLDLQSMEWTRPTQQGEIPSPRAGHAGVTVGENWFIVGGGDNKSGVSETVVLNMSTLVWSTLTSVEGRVPLASEGLSLAVSSHNGEDFLVSFGGYYGRYSNEVNVLKPSHKSTLQSKIIETPVPDGVSAVHNATNATRDLESDLEAGQEGKIREIVMDNPEPMKSKDEESSEHLVATLKAEKEELEPSLSKEKLQTIQLKQELVEAETRNTELYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQAALNAKHKQGSGGMWGWVAGTPGGQRDDEA >Manes.05G143000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24375535:24387985:-1 gene:Manes.05G143000.v8.1 transcript:Manes.05G143000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQALWQPKEEGLREICGLLQQNISPTSDKTRIWQQLQHFTQFPDFNNYLAFIFARSQGTSVEIRQAAGLLLKNNLRSAYKSMDPAYQQYIKSELLPCLGAADKHIRTTVGTIISAIVQQGRILGWPELLQALMQCLDSNDINHMEGAMDTLSKICEDIPQELDSDVPGLAGRPIDVFLPRLFQFFQSPHASLRKLSLGSINQFIMLMPTALYLSMDQFLRGLFVLANDPAADIRKLVCSAFVQLIEVNPSFLEQPNMKSIIEFMLQASKDNDDEVALEACEFWSAYGEAQLNPELLREFLQRLIPILLSNMVYANDDESLIDAEEDDSQPDRDQDIRPRFHSSRFHGSDDMNDDDDDSLNVWNLRKCSAAGLDIISNIYRDGILPTLMPLVEAKLSTSDDETWKEREAAVLALGAVAEGCIEGLYPHLAEIVAYLIPVLDDKFPLLRSITCWTLSRFSKFIVQGMVNQKGTEQFNKVLMGLLRRILDTNKRVQEAACSAFATLEEEAAEEMVPYLETILQHLMCAFGRYQRRNLRIVYDAISTLADAVAVELNQPRYLEILMPPLIAKWQQLADSDKDLFPLLECFTSIAQALGTGFSQFAGPVFQRCLNIIQLQQLAKVDPTSAGIQYDKEFVVCSLDLISGLAEGLGGGIESLVSQSNLRDVLLQCCVDDAPDVRQSALALLGDLARVCPVYLRPSLSNFLNIAAKQLGSPELQESISVANNACWAIGELAIKVREEVSPVLMTVISCLVPILHHAEGLNRSLVENSAITLGRLAWVCPELVSPHMEHFMQPWCAALSMIRDDVEKEDAFRGLCAIVRLNPSGALNSLVYMCRAIASWQVIRSEDLHNEVCQVLHAYKQMLRDGSWERCLSALEPPVKDKLSKYEVR >Manes.05G143000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24375535:24387985:-1 gene:Manes.05G143000.v8.1 transcript:Manes.05G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQALWQPKEEGLREICGLLQQNISPTSDKTRIWQQLQHFTQFPDFNNYLAFIFARSQGTSVEIRQAAGLLLKNNLRSAYKSMDPAYQQYIKSELLPCLGAADKHIRTTVGTIISAIVQQGRILGWPELLQALMQCLDSNDINHMEGAMDTLSKICEDIPQELDSDVPGLAGRPIDVFLPRLFQFFQSPHASLRKLSLGSINQFIMLMPTALYLSMDQFLRGLFVLANDPAADIRKLVCSAFVQLIEVNPSFLEPNMKSIIEFMLQASKDNDDEVALEACEFWSAYGEAQLNPELLREFLQRLIPILLSNMVYANDDESLIDAEEDDSQPDRDQDIRPRFHSSRFHGSDDMNDDDDDSLNVWNLRKCSAAGLDIISNIYRDGILPTLMPLVEAKLSTSDDETWKEREAAVLALGAVAEGCIEGLYPHLAEIVAYLIPVLDDKFPLLRSITCWTLSRFSKFIVQGMVNQKGTEQFNKVLMGLLRRILDTNKRVQEAACSAFATLEEEAAEEMVPYLETILQHLMCAFGRYQRRNLRIVYDAISTLADAVAVELNQPRYLEILMPPLIAKWQQLADSDKDLFPLLECFTSIAQALGTGFSQFAGPVFQRCLNIIQLQQLAKVDPTSAGIQYDKEFVVCSLDLISGLAEGLGGGIESLVSQSNLRDVLLQCCVDDAPDVRQSALALLGDLARVCPVYLRPSLSNFLNIAAKQLGSPELQESISVANNACWAIGELAIKVREEVSPVLMTVISCLVPILHHAEGLNRSLVENSAITLGRLAWVCPELVSPHMEHFMQPWCAALSMIRDDVEKEDAFRGLCAIVRLNPSGALNSLVYMCRAIASWQVIRSEDLHNEVCQVLHAYKQMLRDGSWERCLSALEPPVKDKLSKYEVR >Manes.13G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34469177:34472650:-1 gene:Manes.13G136700.v8.1 transcript:Manes.13G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKNKRPAVADVPQRSTHDAPIPMEENAENNAAVAIDSTSQLKIFIVFYSMYGHVEGLARRMKKGVDGVEGVQAVLYRVPETLPADVLEHMKAPPKDPEIPEITAAELTDANGVLFGFPTRYGCMAAQMKSFFDSTGQLWKEQKLVGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPVGYTFGAGMFKMDSIRGGSPYGAGVYAGDGSREPSESELALAEHQGKYMATVVKKLAQA >Manes.03G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23934080:23935886:1 gene:Manes.03G114200.v8.1 transcript:Manes.03G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQETDPLSQLSLPPGFRFYPTDEELLVQYLCKKVAGHQFSLQIIGEIDLYKFDPWVLPSKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLLESSRKMGSSRLDDWVLCRIYKKNSGAQKPISSFSSKEYSNNGSSSSSSSHLDDVLDSLPEIDDRFFALPATNSLKTTQPLEEKLNFNGLGSGNFDWASLAGLNSGPELVPSGQTHTQTQGMVNYPSNSNDMYVPSLPQQTGLSGSKLSINSIEEEVHSGVRTQRVDNVGVFQQNSGLSTHNFSNPLDPYGFRYSAQSGNGFGFRQN >Manes.11G151900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31583206:31586396:1 gene:Manes.11G151900.v8.1 transcript:Manes.11G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQRSSILSWAYFCQGKNMEELKNSLLFTTLELEQTRVAAQEELRKRDDQLSHLKDLLNKITSERDEARERCQRLLLEKLLLQQQQQQQNAPLSGISSIEDEPRRAIDSNNGFSSSDCEESIVSSPVIDPISQPPQLPPVLAPQSLPQPTIEVVPQKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPLPPQPQPPQLLHQDSLISINVCNRKRVLCDGSDSPTENKHQRILLH >Manes.01G000031.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19589:28327:-1 gene:Manes.01G000031.v8.1 transcript:Manes.01G000031.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTTAFGQSSSSPFGSQTVFGQTSNANNNPFAPKPFGSTTPFGSQTGGSIFGGTSTGMFGTPQTSSPFSSTPFGASSSPAFGSTMPTFGGSSSTSAFGNSSTSFGGSSVFGQKPAFGGFGSTTQTSPFATTSQQSQPTFGSSLFGSTTPFGASSQPAFGSTGSPFGSSSTPSFGTSSTPGFGASTPSFGTTSAFGSTASPAFGTGTGFGASSTPVFGSGGSFATSSTPAFGASGAPGFATSSTPAFGASTTPAFGASTTPVFGASTTPAFGSTPAFGTSSTPSFSFASSPGFGQSVSAFGSSPFGTTTSPFSSQSSPFGSQATTATFGNPGFGQSAFAGNRGGSRAVAYSATAETDSSGGQPGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPLPPGQASAGNNFGVSSAPANPFAPSNAFTQSSSSPFSSTISSNPFSTKPFGTSSTPSFSPSPFTATPSSNPFQSTSAFPSTSSTAFPGSAVPSLFGTSSSSAFGSSPSLFNTSTGQTSSSLFGNFANTQPSLSFPSTAPSIGQTGSAFGQATSAFAPSTTPSFTQSSIFNTPSGFAFSSTSSLMSSTNQGVFGQASATSLPGFGNFGQSQAAGTSGFAGSSSIFGQSTFGQLSNIQSSAAVQPAPVTNPFGTLPAMPQMSIGRAGTAPSVQYGISSMPVVDKPAPVRISSLLTARHLSQRRIRLPTRKYNQKHDGPKVPFFSDEEETTSTPKADALFIPRENPRALVIHPTDQWPMRANAEKASPLRDASTPVYENGKHSETVDVPSSSGAGGKDKNVVDGFGKEPVYFKLNQKPNGVHEDKEAQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYTDDTKKPPVGQGLNKPAEVTLLNIKCFDKKTGRQYTEGPKIEKYKEMLKRKAEDQGAEFVSYDPIKGEWKFRVNHFSKYSLGDEEEEEEEEEEEEEEEDWVVVHSARGFC >Manes.01G000031.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19589:28327:-1 gene:Manes.01G000031.v8.1 transcript:Manes.01G000031.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTTAFGQSSSSPFGSQTVFGQTSNANNNPFAPKPFGSTTPFGSQTGGSIFGGTSTGMFGTPQTSSPFSSTPFGASSSPAFGSTMPTFGGSSSTSAFGNSSTSFGGSSVFGQKPAFGGFGSTTQTSPFATTSQQSQPTFGSSLFGSTTPFGASSQPAFGSTGSPFGSSSTPSFGTSSTPGFGASTPSFGTTSAFGSTASPAFGTGTGFGASSTPVFGSGGSFATSSTPAFGASGAPGFATSSTPAFGASTTPAFGASTTPVFGASTTPAFGSTPAFGTSSTPSFSFASSPGFGQSVSAFGSSPFGTTTSPFSSQSSPFGSQATTATFGNPGFGQSAFAGNRGGSRAVAYSATAETDSSGGQPGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPLPPGQASAGNNFGVSSAPANPFAPSNAFTQSSSSPFSSTISSNPFSTKPFGTSSTPSFSPSPFTATPSSNPFQSTSAFPSTSSTAFPGSAVPSLFGTSSSSAFGSSPSLFNTSTGQTSSSLFGNFANTQPSLSFPSTAPSIGQTGSAFGQATSAFAPSTTPSFTQSSIFNTPSGFAFSSTSSLMSSTNQGVFGQASLSQSTPFQLSQPSQATSLPGFGNFGQSQAAGTSGFAGSSSIFGQSTFGQLSNIQSSAAVQPAPVTNPFGTLPAMPQMSIGRAGTAPSVQYGISSMPVVDKPAPVRISSLLTARHLSQRRIRLPTRKYNQKHDGPKVPFFSDEEETTSTPKADALFIPRENPRALVIHPTDQWPMRANAEKASPLRDASTPVYENENVVDGFGKEPVYFKLNQKPNGVHEDKEAQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYTDDTKKPPVGQGLNKPAEVTLLNIKCFDKKTGRQYTEGPKIEKYKEMLKRKAEDQGAEFVSYDPIKGEWKFRVNHFSKYSLGDEEEEEEEEEEEEEEEDWVVVHSARGFC >Manes.01G000031.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19589:28327:-1 gene:Manes.01G000031.v8.1 transcript:Manes.01G000031.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTTAFGQSSSSPFGSQTVFGQTSNANNNPFAPKPFGSTTPFGSQTGGSIFGGTSTGMFGTPQTSSPFSSTPFGASSSPAFGSTMPTFGGSSSTSAFGNSSTSFGGSSVFGQKPAFGGFGSTTQTSPFATTSQQSQPTFGSSLFGSTTPFGASSQPAFGSTGSPFGSSSTPSFGTSSTPGFGASTPSFGTTSAFGSTASPAFGTGTGFGASSTPVFGSGGSFATSSTPAFGASGAPGFATSSTPAFGASTTPAFGASTTPVFGASTTPAFGSTPAFGTSSTPSFSFASSPGFGQSVSAFGSSPFGTTTSPFSSQSSPFGSQATTATFGNPGFGQSAFAGNRGGSRAVAYSATAETDSSGGQPGKLESISAMPVYKDKSHEELRWEDYQLGDKGGPLPPGQASAGNNFGVSSAPANPFAPSNAFTQSSSSPFSSTISSNPFSTKPFGTSSTPSFSPSPFTATPSSNPFQSTSAFPSTSSTAFPGSAVPSLFGTSSSSAFGSSPSLFNTSTGQTSSSLFGNFANTQPSLSFPSTAPSIGQTGSAFGQATSAFAPSTTPSFTQSSIFNTPSGFAFSSTSSLMSSTNQGVFGQASLSQSTPFQLSQPSQATSLPGFGNFGQSQAAGTSGFAGSSSIFGQSTFGQLSNIQSSAAVQPAPVTNPFGTLPAMPQMSIGRAGTAPSVQYGISSMPVVDKPAPVRISSLLTARHLSQRRIRLPTRKYNQKHDGPKVPFFSDEEETTSTPKADALFIPRENPRALVIHPTDQWPMRANAEKASPLRDASTPVYENGKHSETVDVPSSSGAGGKDKNVVDGFGKEPVYFKLNQKPNGVHEDKEAQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYYTEPRIQELAAKERAEPGFCRHVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYTDDTKKPPVGQGLNKPAEVTLLNIKCFDKKTGRQYTEGPKIEKYKEMLKRKAEDQGAEFVSYDPIKGEWKFRVNHFSKYSLGDEEEEEEEEEEEEEEEDWVVVHSARGFC >Manes.17G038800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20640627:20642619:1 gene:Manes.17G038800.v8.1 transcript:Manes.17G038800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKAFFLFALLSLSAVSLRTAFAEDEKDPGLIMNFYKDTCPQTEDIIREQVKLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDSTRRTLSEKETDRSFGLRNFRYLDAIKEAVERECPGVVSCADILVLSARDGIVSLGGPYIPLKTGRRDGRNSRADVVEQYLPDHNESISVVLERFAAMGIDTPGVVSLLGAHSVGRTHCVKLVHRLYPEVDPVLNPDHVEHMLYKCPDSIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLTVDHQLATDKRTKPYVKKMAKSQDYFFKEFARAITILSENNPLTGTKGEIRKQCKSSTFLLSLCEEEE >Manes.15G071500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5397523:5398790:1 gene:Manes.15G071500.v8.1 transcript:Manes.15G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEVQIPTAFDPFTEAKDSGAPGVKEYVHIRIQQRNGKKKLTTVQGLPKEFSYEKILKAVKKEFCCNGNVVHDKELGEVIQLQGDQRKNVQSFLVNADLVKKEYIKIHGF >Manes.06G050400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17446036:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAVHSAGCFYYLIAARYPNPEKTWIGASLGDNFLQQSVWIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGADLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G050400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17446035:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAVHSAGCFYYLIAARYPNPEKTWIGASLGDNFLQQSVWIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G050400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17445155:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRNRGVFRVSVCGQEELEQLSRDGSHYSLSTAVLPSLGARSNRRVKLRRFIVSPYDRRYRIWETFLVVLVIYTAWVSPFEFGFLKKPEGPLSIADNVVNGFFAIDIVLTFFVAYLDKTTYLLVDDPKKIAWKYTRSWFVFDFISTIPSELARKISPKPFQSYGLFNMLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAVHSAGCFYYLIAARYPNPEKTWIGASLGDNFLQQSVWIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G050400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17446036:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G050400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17446035:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAVHSAGCFYYLIAARYPNPEKTWIGASLGDNFLQQSVWIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGADLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G050400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17446035:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G050400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17445155:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRNRGVFRVSVCGQEELEQLSRDGSHYSLSTAVLPSLGARSNRRVKLRRFIVSPYDRRYRIWETFLVVLVIYTAWVSPFEFGFLKKPEGPLSIADNVVNGFFAIDIVLTFFVAYLDKTTYLLVDDPKKIAWKYTRSWFVFDFISTIPSELARKISPKPFQSYGLFNMLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAVHSAGCFYYLIAARYPNPEKTWIGASLGDNFLQQSVWIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGADLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G050400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17445155:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G050400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17446036:17451647:1 gene:Manes.06G050400.v8.1 transcript:Manes.06G050400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAVHSAGCFYYLIAARYPNPEKTWIGASLGDNFLQQSVWIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYRSGGEQVVGQATSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.16G075600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27869506:27877857:1 gene:Manes.16G075600.v8.1 transcript:Manes.16G075600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRAVLQRFSTVVCFNCPTISFAPQRNALLARSLLSSFSSYSCSSGITLFCEEKRCHCQVNVRRLWTSTPLCMGRRSSKIAGRKGAQDAKKAKLYSRIGKEVVSAVKKGGPNPISNTALAAVLGKVKELDVPKEILERNIKRASEKGQEAYIEKIYEVYGYGGVSMVVEVLTDKINRSVAAVREVVKDYGGKMADPGSVMFKFRRVRVVNIKVTDADKDQLLAIALDAGAEDVIEPPIYDYDTDEDSSASYYKIVSSSENYAEILSKLREGGINFETDNGSELLPITTVEVDDEAMDLNKELMSKLLELDDVDAVYTDQK >Manes.02G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7755864:7758324:1 gene:Manes.02G099700.v8.1 transcript:Manes.02G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCFLLLLLSIARSCHGGITSPYVRKTEASIDMPVEAFPPPEGDNAPEQVHITQGDLIGRSVIISWVTPKDRFPNTVTYWPTGKKHHHKRQARAVTTFYRYYNYTSGYIHHATLNKLKYNTRYIYELGRHGSTRRFSFATPPQVGPDVPYTFGIMGDLGQTYDSNVTLTHYVSNKKAQTMLFVGDLSYADDHPLHDNVKWDTWGRFVEKSTAYQPWIWAAGNHEIDYAPEIDEHTPFKPYMHRYHVPYRASHSTSPLWYSVKRASAYIIVLSSYSAYGKYTPQYDWLKQEFPKVNRSETPWLIVLVHSPWYNSNNYHYMEGETMRVMFESWVVENKVDLVLAGHVHSYERTYRISNVRYNITNGMSTPIKDPSAPIYITIGDGGNIEGPAYRFTEPQPSYSAFREASFGHASLEIKNRTHAYYTWHRNHDNEPVAADSLWIYNRYWYPEEEHSSASYMV >Manes.16G012302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1192608:1193013:1 gene:Manes.16G012302.v8.1 transcript:Manes.16G012302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVCRARRAYNKWPAMIPSVKYQEYGVVSFRPLYVKRFQSIKNHIYLLSFSVKVPLI >Manes.17G009200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3250358:3255327:-1 gene:Manes.17G009200.v8.1 transcript:Manes.17G009200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVLGGNYPYENGVVMSRDPKPRLRWTADLHHRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQQAKKQTAKEQTKESGSSYVNFSNHSSAVSTSSSAIEIQQGPGELPVTEPLKSQMEAHKRLEEQLEVQKKLQMRIEAQGKYLQEILEKAQKSLSLDMKCNGKSLGFLYQQTRRERRNQ >Manes.17G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3250358:3255327:-1 gene:Manes.17G009200.v8.1 transcript:Manes.17G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVLGGNYPYENGVVMSRDPKPRLRWTADLHHRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQQAKKQTAKEQTKESGSSYVNFSNHSSAVSTSSSAIEIQQGPGELPVTEPLKSQMEAHKRLEEQLEVQKKLQMRIEAQGKYLQEILEKAQKSLSLDMKCNGNNLDLALSNLIQSMNEEGRKDNITDLKDIYNKENPWAFYISKQGEREEINDLEHKVEAHPIHLDLNTKGTYDSLSVNGSTIGTQHAFI >Manes.16G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3743747:3746945:-1 gene:Manes.16G031300.v8.1 transcript:Manes.16G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEENETSNGWPLGLQIMAMRLRVMESVQAASAEPYSSAHLRSSSFSSFSSSNLDTESTASFFQDNSKSLGRLIGIQPRKGNGDLYLPTRIQLDERERKSVRKASSEVSRGHRPEVSEGLCIPLLVATMEKMSRSKSKTRP >Manes.10G083100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19960208:19963087:-1 gene:Manes.10G083100.v8.1 transcript:Manes.10G083100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMQEAKRVDLGLKMKMGPAPKHSSFCLKWPWDVEQHPKNPNTCTFEVPWLFKSFHTLGSIAFNSFFSIAKSSNSWIHTFSPIKLDTGTSQKNGLKSLKKHLTLEEQGEAEQRAFALALARGKEATVLEFYSPKCRLCNSLLKFVLEVEGRNSSWLNIVMADAENEKWLPELLHYDIKYVPCFVLLDKKGRALAKTGVPSSRLHVIAGLSHLLKLKRPHGNNG >Manes.10G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19960208:19963122:-1 gene:Manes.10G083100.v8.1 transcript:Manes.10G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGPAPKHSSFCLKWPWDVEQHPKNPNTCTFEVPWLFKSFHTLGSIAFNSFFSIAKSSNSWIHTFSPIKLDTGTSQKNGLKSLKKHLTLEEQGEAEQRAFALALARGKEATVLEFYSPKCRLCNSLLKFVLEVEGRNSSWLNIVMADAENEKWLPELLHYDIKYVPCFVLLDKKGRALAKTGVPSSRLHVIAGLSHLLKLKRPHGNNG >Manes.13G035000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4287541:4293855:1 gene:Manes.13G035000.v8.1 transcript:Manes.13G035000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELQRLKQETITEHIEEVLRSKRDAVVPCTDHLMKIIEALNLTSDGELLKESVAVEKERMNIQVNKARGDLDQINQIAALLSEIRNCLLKVEFLEPKSGVPVPSYFRCPLSLELMLDPVIVASGQTYERSSIQKWLDHGLNICPKTYQTLAHTILIPNYTVKAMITNWCEENHVRVPCNSKQNDHTSAPTPSDHALPCSEDSHCSQKCSNSTSISSLEVGNGFEKRRTDISYKLSGEEPNGYQSRRTESFDHPSHELSYIHSRSESASSAISSIEYVPPASDEISTISDKHEKVSGLSGEITSECPNKESGFSPRLSGNQFPTPKAKADRESNATHDPRTHSVSFLDLGSDDRATTSHVKNLVEDLKSQSNEFQTTAAAELRLLAKNKMENRIIIGQCGAIAPLLSLLYSEVKLTQEHAVTALLNLSISEEIKTMIAEAGAIEPLIHVLKSGNDGAKENSAATLFSLSVLEEYKEKIGRSGAVKALVDLLASGTLRGKKDAATALFNLSILHENKARIVQARAVKYLVKLMDPATGMADKAVALLANLSTIGEGRLAIVRAGGIPPLVEIVESGSLRGKENAASALLQLCLNSPKFCSIVLQEGAVPPLVALSQSGTTRAKEKAQQLLSHFRSQREVSMGKGKS >Manes.13G035000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4287541:4293855:1 gene:Manes.13G035000.v8.1 transcript:Manes.13G035000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELQRLKQETITEHIEEVLRSKRDAVVPCTDHLMKIIEALNLTSDGELLKESVAVEKERMNIQVNKARGDLDQINQIAALLSEIRNCLLKVEFLEPKSGVPVPSYFRCPLSLELMLDPVIVASGQTYERSSIQKWLDHGLNICPKTYQTLAHTILIPNYTVKAMITNWCEENHVRVPCNSKQNDHTSAPTPSDHALPCSEDSHCSQKCSNSTSISSLEVGNGFEKRRTDISYKLSGEEPNGYQSRRTESFDHPSHELSYIHSRSESASSAISSIEYVPPASDEISTISDKHEKVSGLSGEITSECPNKESGFSPRLSGNQFPTPKAKADRESNATHDPRTHSVSFLDLGSDDRATTSHVKNLVEDLKSQSNEFQTTAAAELRLLAKNKMENRIIIGQCGAIAPLLSLLYSEVKLTQEHAVTALLNLSISEEIKTMIAEAGAIEPLIHVLKSGNDGAKENSAATLFSLSVLEEYKEKIGRSGAVKALVDLLASGTLRGKKDAATALFNLSILHENKARIVQARAVKYLVKLMDPATGMADKAVALLANLSTIGEGRLAIVRAGGIPPLVEIVESGSLRGKENAASALLQLCLNSPKFCSIVLQEGAVPPLVALSQSGTTRAKEKAQQLLSHFRSQREVSMGKGKS >Manes.13G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4287531:4294290:1 gene:Manes.13G035000.v8.1 transcript:Manes.13G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPVRCLVNSISRFILLVSCQTRAPMPMQKDYRSMVMVLKHLKPVLDEIVDLRISSDVYKECEVLDVTVNEAREFMENWYPRMSKIWCVQKIEALFVRIRASSLEICHLLARLLQSSSSTSTIASIEHCMQELQRLKQETITEHIEEVLRSKRDAVVPCTDHLMKIIEALNLTSDGELLKESVAVEKERMNIQVNKARGDLDQINQIAALLSEIRNCLLKVEFLEPKSGVPVPSYFRCPLSLELMLDPVIVASGQTYERSSIQKWLDHGLNICPKTYQTLAHTILIPNYTVKAMITNWCEENHVRVPCNSKQNDHTSAPTPSDHALPCSEDSHCSQKCSNSTSISSLEVGNGFEKRRTDISYKLSGEEPNGYQSRRTESFDHPSHELSYIHSRSESASSAISSIEYVPPASDEISTISDKHEKVSGLSGEITSECPNKESGFSPRLSGNQFPTPKAKADRESNATHDPRTHSVSFLDLGSDDRATTSHVKNLVEDLKSQSNEFQTTAAAELRLLAKNKMENRIIIGQCGAIAPLLSLLYSEVKLTQEHAVTALLNLSISEEIKTMIAEAGAIEPLIHVLKSGNDGAKENSAATLFSLSVLEEYKEKIGRSGAVKALVDLLASGTLRGKKDAATALFNLSILHENKARIVQARAVKYLVKLMDPATGMADKAVALLANLSTIGEGRLAIVRAGGIPPLVEIVESGSLRGKENAASALLQLCLNSPKFCSIVLQEGAVPPLVALSQSGTTRAKEKAQQLLSHFRSQREVSMGKGKS >Manes.13G035000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4288338:4293855:1 gene:Manes.13G035000.v8.1 transcript:Manes.13G035000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSLNMLLFVLAYCAGLLLELVKIGLMDRSPVRCLVNSISRFILLVSCQTRAPMPMQKDYRSMVMVLKHLKPVLDEIVDLRISSDVYKECEVLDVTVNEAREFMENWYPRMSKIWCVQKIEALFVRIRASSLEICHLLARLLQSSSSTSTIASIEHCMQELQRLKQETITEHIEEVLRSKRDAVVPCTDHLMKIIEALNLTSDGELLKESVAVEKERMNIQVNKARGDLDQINQIAALLSEIRNCLLKVEFLEPKSGVPVPSYFRCPLSLELMLDPVIVASGQTYERSSIQKWLDHGLNICPKTYQTLAHTILIPNYTVKAMITNWCEENHVRVPCNSKQNDHTSAPTPSDHALPCSEDSHCSQKCSNSTSISSLEVGNGFEKRRTDISYKLSGEEPNGYQSRRTESFDHPSHELSYIHSRSESASSAISSIEYVPPASDEISTISDKHEKVSGLSGEITSECPNKESGFSPRLSGNQFPTPKAKADRESNATHDPRTHSVSFLDLGSDDRATTSHVKNLVEDLKSQSNEFQTTAAAELRLLAKNKMENRIIIGQCGAIAPLLSLLYSEVKLTQEHAVTALLNLSISEEIKTMIAEAGAIEPLIHVLKSGNDGAKENSAATLFSLSVLEEYKEKIGRSGAVKALVDLLASGTLRGKKDAATALFNLSILHENKARIVQARAVKYLVKLMDPATGMADKAVALLANLSTIGEGRLAIVRAGGIPPLVEIVESGSLRGKENAASALLQLCLNSPKFCSIVLQEGAVPPLVALSQSGTTRAKEKAQQLLSHFRSQREVSMGKGKS >Manes.13G037800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4592233:4596164:-1 gene:Manes.13G037800.v8.1 transcript:Manes.13G037800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGIAWDTTEETLQDHFTHYGDVSQVVIMRDKTTGRPRGFGFVVFSDPSILDRVLQDKHTIDGRTVEAKRALSRDEQQTSSRSGNVNAGRGSSGGGSFKTKKIFVGGLPSTLTEDGFRQYFENYGHVTDVVIMFDQHTQRPRGFGFITFDTEDSVDRVLHKVFHELNGKLVEVKRALPKDANPGGGGHGGGYQGYGASAANANAVDGRMDGNRYMQTQTSAAGYTPYPGYGAPSYGYGATNSGVGYGGYGGYSVGGYGAGNAGFGGPTGAYGMHGALKNSWGGQVPSNYGGSGYGANAGYGATAPWNASGGGNSVSAPMGQSPGGTSGYGGYGYGYGGSDGPYSGGYGAAVGRSGNAPNNSSGAGGGEQQGNGDGYPGSGYGDTNGNSGYGTEAWRSDPSQVSGGYDGGHSRQAPQQ >Manes.13G037800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4592233:4596164:-1 gene:Manes.13G037800.v8.1 transcript:Manes.13G037800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGIAWDTTEETLQDHFTHYGDVSQVVIMRDKTTGRPRGFGFVVFSDPSILDRVLQDKHTIDGRTVEAKRALSRDEQQTSSRSGNVNAGRGSSGGGSFKTKKIFVGGLPSTLTEDGFRQYFENYGHVTDVVIMFDQHTQRPRGFGFITFDTEDSVDRVLHKVFHELNGKLVEVKRALPKDANPGGGGHGGGYQGYGASAANANAVDGRMDGNRYMQTQTSAAGYTPYPGYGAPSYGYGATNSGVGYGGYGGYSVGGYGAGNAGFGGPTGAYGMHGALKNSWGGQVPSNYGGSGYGANAGYGATAPWNASGGGNSVSAPMGQSPGGTSGYGGYGYGYGGSDGPYSGGYGAAVGRSGNAPNNSSGAGGGEQQGNGDGYPGSGYGDTNGNSGYGTEAWRSDPSQVSGGYDGGHSRQAPQQ >Manes.13G037800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4591470:4596184:-1 gene:Manes.13G037800.v8.1 transcript:Manes.13G037800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGIAWDTTEETLQDHFTHYGDVSQVVIMRDKTTGRPRGFGFVVFSDPSILDRVLQDKHTIDGRTVEAKRALSRDEQQTSSRSGNVNAGRGSSGGGSFKTKKIFVGGLPSTLTEDGFRQYFENYGHVTDVVIMFDQHTQRPRGFGFITFDTEDSVDRVLHKVFHELNGKLVEVKRALPKDANPGGGGHGGGYQGYGASAANANAVDGRMDGNRYMQTQTSAAGYTPYPGYGAPSYGYGATNSGVGYGGYGGYSVGGYGAGNAGFGGPTGAYGMHGALKNSWGGQVPSNYGGSGYGANAGYGATAPWNASGGGNSVSAPMGQSPGGTSGYGGYGYGYGGSDGPYSGGYGAAVGRSGNAPNNSSGAGGGEQQGNGDGYPGSGYGDTNGNSGYGTEAWRSDPSQVSGGYDGGHSRQAPQQ >Manes.13G037800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4591534:4596175:-1 gene:Manes.13G037800.v8.1 transcript:Manes.13G037800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGIAWDTTEETLQDHFTHYGDVSQVVIMRDKTTGRPRGFGFVVFSDPSILDRVLQDKHTIDGRTVEAKRALSRDEQQTSSRSGNVNAGRGSSGGGSFKTKKIFVGGLPSTLTEDGFRQYFENYGHVTDVVIMFDQHTQRPRGFGFITFDTEDSVDRVLHKVFHELNGKLVEVKRALPKDANPGGGGHGGGYQGYGASAANANAVDGRMDGNRYMQTQTSAAGYTPYPGYGAPSYGYGATNSGVGYGGYGGYSVGGYGAGNAGFGGPTGAYGMHGALKNSWGGQVPSNYGGSGYGANAGYGATAPWNASGGGNSVSAPMGQSPGGTSGYGGYGYGYGGSDGPYSGGYGAAVGRSGNAPNNSSGAGGGEQQGNGDGYPGSGYGDTNGNSGYGTEAWRSDPSQVSGGYDGGHSRQAPQQ >Manes.13G037800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4591534:4596175:-1 gene:Manes.13G037800.v8.1 transcript:Manes.13G037800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGIAWDTTEETLQDHFTHYGDVSQVVIMRDKTTGRPRGFGFVVFSDPSILDRVLQDKHTIDGRTVEAKRALSRDEQQTSSRSGNVNAGRGSSGGGSFKTKKIFVGGLPSTLTEDGFRQYFENYGHVTDVVIMFDQHTQRPRGFGFITFDTEDSVDRVLHKVFHELNGKLVEVKRALPKDANPGGGGHGGGYQGYGASAANANAVDGRMDGNRYMQTQTSAAGYTPYPGYGAPSYGYGATNSGVGYGGYGGYSVGGYGAGNAGFGGPTGAYGMHGALKNSWGGQVPSNYGGSGYGANAGYGATAPWNASGGGNSVSAPMGQSPGGTSGYGGYGYGYGGSDGPYSGGYGAAVGRSGNAPNNSSGAGGGEQQGNGDGYPGSGYGDTNGNSGYGTEAWRSDPSQVSGGYDGGHSRQAPQQ >Manes.13G037800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4591470:4596184:-1 gene:Manes.13G037800.v8.1 transcript:Manes.13G037800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFIGGIAWDTTEETLQDHFTHYGDVSQVVIMRDKTTGRPRGFGFVVFSDPSILDRVLQDKHTIDGRTVEAKRALSRDEQQTSSRSGNVNAGRGSSGGGSFKTKKIFVGGLPSTLTEDGFRQYFENYGHVTDVVIMFDQHTQRPRGFGFITFDTEDSVDRVLHKVFHELNGKLVEVKRALPKDANPGGGGHGGGYQGYGASAANANAVDGRMDGNRYMQTQTSAAGYTPYPGYGAPSYGYGATNSGVGYGGYGGYSVGGYGAGNAGFGGPTGAYGMHGALKNSWGGQVPSNYGGSGYGANAGYGATAPWNASGGGNSVSAPMGQSPGGTSGYGGYGYGYGGSDGPYSGGYGAAVGRSGNAPNNSSGAGGGEQQGNGDGYPGSGYGDTNGNSGYGTEAWRSDPSQVSGGYDGGHSRQAPQQ >Manes.08G007401.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5436:11352:-1 gene:Manes.08G007401.v8.1 transcript:Manes.08G007401.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYQGDEMDYMADDFEMAEVDDDVYFRGRFMGDSESDDDDDEYDHLDNKITDTSAADARRGKDIQGIPWERLSISREKYRQTRLDQYKNYENIPQSGEGSEKECKPTKKGEMYYEFWRNTRSVTSTILHFQLRNLVWSTTKHDVYLMSHFSIVHWSSLSCKKTEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTLAVRDKLLIAGGFQGELICKHLERPGVIFCYRTTYEDNAITNAVEIYDCASGAVHFMASNNDCGVRDFDMEKFQLTKHFSFPWPVNHTSLSPDGKLVTIVGDNPEGLLVDSQTGKTITPLCGHLDFSFASAWHPDGRIFATGNQDKTCRIWDARNLSKSVAVLKGNLGAIRSIRFTADGQFMAMAEPADFVHVYDVKNGFDKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQYNRCRNYAYLDSFL >Manes.08G007401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5436:11352:-1 gene:Manes.08G007401.v8.1 transcript:Manes.08G007401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYQGDEMDYMADDFEMAEVDDDVYFRGRFMGDSESDDDDDEYDHLDNKITDTSAADARRGKDIQGIPWERLSISREKYRQTRLDQYKNYENIPQSGEGSEKECKPTKKGEMYYEFWRNTRSVTSTILHFQVRDMLRNLVWSTTKHDVYLMSHFSIVHWSSLSCKKTEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTLAVRDKLLIAGGFQGELICKHLERPGVIFCYRTTYEDNAITNAVEIYDCASGAVHFMASNNDCGVRDFDMEKFQLTKHFSFPWPVNHTSLSPDGKLVTIVGDNPEGLLVDSQTGKTITPLCGHLDFSFASAWHPDGRIFATGNQDKTCRIWDARNLSKSVAVLKGNLGAIRSIRFTADGQFMAMAEPADFVHVYDVKNGFDKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQYNRCRNYAYLDSFL >Manes.08G007401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5436:10499:-1 gene:Manes.08G007401.v8.1 transcript:Manes.08G007401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCWLRFICNTNMSQYQGDEMDYMADDFEMAEVDDDVYFRGRFMGDSESDDDDDEYDHLDNKITDTSAADARRGKDIQGIPWERLSISREKYRQTRLDQYKNYENIPQSGEGSEKECKPTKKGEMYYEFWRNTRSVTSTILHFQLRNLVWSTTKHDVYLMSHFSIVHWSSLSCKKTEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTLAVRDKLLIAGGFQGELICKHLERPGVIFCYRTTYEDNAITNAVEIYDCASGAVHFMASNNDCGVRDFDMEKFQLTKHFSFPWPVNHTSLSPDGKLVTIVGDNPEGLLVDSQTGKTITPLCGHLDFSFASAWHPDGRIFATGNQDKTCRIWDARNLSKSVAVLKGNLGAIRSIRFTADGQFMAMAEPADFVHVYDVKNGFDKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQYNRCRNYAYLDSFL >Manes.03G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1390378:1401751:1 gene:Manes.03G016300.v8.1 transcript:Manes.03G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVQSPSFWLMGKQAIQVFDDKKDGFFSISDLGYQWSFQDGQYLPGGCLFASVNQGFPNSSNNSSIKSLYSDLYVKYLSFVGVQEEEGAFKKKGGLKLKLKVKNPMLIRLISGGIAGAVSRTAVAPLETIRTNLMVGSSGHTTAEVFHNIMNTDGWRGLFRGNLVNVIRVAPSKAIELFAYDTVNKNLSPKPGEQPKLPIPASLVAGACAGVSSTLVTYPLELVKTRLTIQRGIYNGILDAFLKILREEGPAELYRGLAPSLIGVIPYAATNYCAYDTLRKAYRKVFKQEKIGNIETLLIGSAAGALSSSATFPLEVARKHMQVGALSGRQVYKNVIHTLASIVEQEGIKGLYKGLGPSCMKLVPAAGIAFMCYEASKRILVEDDEEQ >Manes.17G057900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25682244:25687271:1 gene:Manes.17G057900.v8.1 transcript:Manes.17G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFCSLLFLVDVTLASDGLVLSPKGVNYEVAALMAVKREMVDEFLVMDGWDINSVDPCTWNMVGCSAEGFVISLEMGSKRLSGTLSPSFGNLSHLRTMLLQNNQLTGPIPAEIGKLLALQTLDLSGNQFAGDIPSSLGFLTHLSSLRLSRNKLSGQIPKLVANLSGLLFLDLSFNNLSGPTPKILAKGYSITGNSFLCSSSPAQICMGVPKPGNDTVSSQKASNHHRWVLSVAIGVSCAFVIFVIVLVCWVHWHRSRLIFTSHVQQDYEVHIGHLKRFSFRELQLATGNFSSKNILGQGGFGVVYKGCLPNKTMVAVKRLKDPNYTGEVQFQTEVEMIGLALHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRIHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDAGNGQIQKGMILDWVRTLHEEKRLEVLVDRDLKGCFDAEELEKAVELAMQCTQSHPNLRPKMSEVLKILEGLVEQSGTEESQGGTNVYEARVCSFSRHNSDIHEESSFIIEAMELSGPR >Manes.15G151600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12620116:12624910:1 gene:Manes.15G151600.v8.1 transcript:Manes.15G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAARNVHRHCFKTLSSLLFSSSCRNAMLVKDLGPKLTCIDVIPPNSTCEYWFPLISPLNHPFNIGGCRGMSTPRGRSMRGRVERRMQKESGKTVREIRRAKKLKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYELPELPPPVHDPELLTPEQLQAYKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGVVINVHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKIEQQLRRMGVNPEDPVAMASIQRVASTFFNAIDKKEGTPYVFQGEQQPILHLSDNLEHQEPVADSDQEDLDKFIAEIEDAADREWAAEEAAEQEELGKIRYWNKEDFGGRIRRSEMHRNEVTDGEDRGARCWKTAHEKKRSADRDDDSDMSEKNDQWDSDDAGDDLESDADDFNAAHRVHSRTRDVHRKQDGFGRAYNFKGSRRNAGAKFEEKVVEEDSEPESMLSDLDNAMHESDSEEEHELRESRAEASRSFQSKRYKSEDMLSDLDNAMWESNYEHDNESRASSMEASHNFQSSSDEEEDIYPMNRNEIGVNDNLRRKTVLEDSGSKDAFGDSEFATWESDTGEDFGAGTEGKHSSVTRDENEDFRRKHGGNHKKTPKEVDENWDSD >Manes.15G151600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12620116:12624910:1 gene:Manes.15G151600.v8.1 transcript:Manes.15G151600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFGSLNCRNAMLVKDLGPKLTCIDVIPPNSTCEYWFPLISPLNHPFNIGGCRGMSTPRGRSMRGRVERRMQKESGKTVREIRRAKKLKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYELPELPPPVHDPELLTPEQLQAYKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGVVINVHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALESQKLNIKKIEQQLRRMGVNPEDPVAMASIQRVASTFFNAIDKKEGTPYVFQGEQQPILHLSDNLEHQEPVADSDQEDLDKFIAEIEDAADREWAAEEAAEQEELGKIRYWNKEDFGGRIRRSEMHRNEVTDGEDRGARCWKTAHEKKRSADRDDDSDMSEKNDQWDSDDAGDDLESDADDFNAAHRVHSRTRDVHRKQDGFGRAYNFKGSRRNAGAKFEEKVVEEDSEPESMLSDLDNAMHESDSEEEHELRESRAEASRSFQSKRYKSEDMLSDLDNAMWESNYEHDNESRASSMEASHNFQSSSDEEEDIYPMNRNEIGVNDNLRRKTVLEDSGSKDAFGDSEFATWESDTGEDFGAGTEGKHSSVTRDENEDFRRKHGGNHKKTPKEVDENWDSD >Manes.18G102125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9698029:9701556:1 gene:Manes.18G102125.v8.1 transcript:Manes.18G102125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARKEGDVFRPLANFPPSVWGYSFAKFSFLDPEYELQTKEVELLKQEVNILMQYAKKLTDKIEFINLLYRLGVSYHFENEIEEQLNHIFSMLPDLLVENDYDLHTLALLFQVLRQYGYKMPCDVFEKFKDSDGAFKKTICSDVKGLLSLYEATFLSVHGEDILDEALVFTRQNLETLVAQSSPPLAERIRNALVRPFHQGIERLEARQYISFYEGDESRNETLLKFAKLDFNRLQLLYKQELAILSSWWKDLNLVEKLPYIRDRIVEVYLWAIGAHFEPEYALARLMITKYGKMVSVVDDTYDAYGTIDELQRFTDSFQRCNADAIDEVPEYMKVIYKSLLKLFDETESTDDEEMSYRTSYAKERFKELTRGYLLEAKWFNNGYVPSMRDYIENGLITSTYSVLPSASFIGIEKVVGTKEYHWLETNPKIVVASKLICRLMDDVTTREDEQRRGHCASSVECYMKEHGVSEKEAIGEIQKMCENAWKDMNEACMKPTAVPRALLKYYVNLARVIDFVYKYMDSYTYASSLKQDISSLFLGQLPM >Manes.09G024900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5378433:5383553:1 gene:Manes.09G024900.v8.1 transcript:Manes.09G024900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGWERLKLSPSPIAHLISFEESRQTNIDMNQLTVETEDTFASLLELAANNDAEGFKRSIERDPLCVDEVGIWYSRQKGSKQMVNQHRTPLMVAATYGAVDVIRMILSLSDADVNRSCGLDKSTALHCAVSGGAVNAVDAVKLLLAAGADPNLVDANGHRPIDVIAVPPKLQDVKYTLEELLAADGSAFERNPRVSGATSNSNSPPLSPSVENGSSPSSLESPVKSKQNDAPVYSTSEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTVDELRPLYVSTGSAVPSPRSSTSSATAMDFGAAMSLLPGSPSSVSIMSPSPFTPPMSPSGTGMSHSSVAWPQPNVPALHLPGGNLQSSRLRSSFNARDIPAEEYSRMPDFDVQQQQLLNELSSLTQPSLSNNSLSHSGRLKTLTPSNLDDLFSGESSSPRYADQALSAAVFSPTHKSAVLNQFQQQSMLSPINTNFSPINVDHPLLQASFASGRMSPRNVEPISPMSPRLSMLAQREKQQQQLRSLSFREIGSNAAATVGSPVSSWSKWGSSDGKPDWTVSADELGKLRRSNSFELGNGEEPDLSWVQSLVKESPTEMKEKLAMPISTSVAASASSSEDLNMNSQIESVDHVVGAWIEQLHIDQLVAQQN >Manes.09G024900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5378403:5383553:1 gene:Manes.09G024900.v8.1 transcript:Manes.09G024900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGWERLKLSPSPIAHLISFEESRQTNIDMNQLTVETEDTFASLLELAANNDAEGFKRSIERDPLCVDEVGIWYSRQKGSKQMVNQHRTPLMVAATYGAVDVIRMILSLSDADVNRSCGLDKSTALHCAVSGGAVNAVDAVKLLLAAGADPNLVDANGHRPIDVIAVPPKLQDVKYTLEELLAADGSAFERNPRVSGATSNSNSPPLSPSVENGSSPSSLESPVKSKQNDAPVYSTSEKKEYPIDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTVDELRPLYVSTGSAVPSPRSSTSSATAMDFGAAMSLLPGSPSSVSIMSPSPFTPPMSPSGTGMSHSSVAWPQPNVPALHLPGGNLQSSRLRSSFNARDIPAEEYSRMPDFDVQQQQLLNELSSLTQPSLSNNSLSHSGRLKTLTPSNLDDLFSGESSSPRYADQALSAAVFSPTHKSAVLNQFQQQSMLSPINTNFSPINVDHPLLQASFASGRMSPRNVEPISPMSPRLSMLAQREKQQQQLRSLSFREIGSNAAATVGSPVSSWSKWGSSDGKPDWTVSADELGKLRRSNSFELGNGEEPDLSWVQSLVKESPTEMKEKLAMPISTSVAASASSSEDLNMNSQIESVDHVVGAWIEQLHIDQLVAQQN >Manes.18G037900.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3346471:3352040:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQVKFC >Manes.18G037900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3346263:3352050:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQVMEMMNQQQGVKKRCLRRTQTGPW >Manes.18G037900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3346471:3352037:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQVMEMMNQQQGVKKRCLRRTQTGPW >Manes.18G037900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3346263:3352132:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQVMEMMNQQQGVKKRCLRRTQTGPW >Manes.18G037900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3346263:3352132:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQAAMMEMQKNQVMEMMNQQQGVKKRCLRRTQTGPW >Manes.18G037900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3346262:3352133:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQVLLL >Manes.18G037900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3346263:3352132:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQAAMMEMQKNQVWFFIFWVFFVPDKCILPA >Manes.18G037900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3346263:3352050:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQAAMMEMQKNQVMEMMNQQQGVKKRCLRRTQTGPW >Manes.18G037900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3346471:3352037:1 gene:Manes.18G037900.v8.1 transcript:Manes.18G037900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINFKNFTNDPSADAGGGAGSATRPPGNFPLARQTSIYSLTFDEFQNTMGGIGKDFGSMNMDELLKNIWSAEETQSMVASSGAAQENLQRQGSLTLPRTLSQKTVDEVWKDISKDYGNGGGTAAANLPQRQQTLGEMTLEEFLVRAGVVREDVQLAAKVNANGGFLADLSKTGTYSALGMGFQQNRSLGLNNNNTNQISMHSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNLGYATQMPLQSSPGMRGGIMGIGDQGISGGLMQGGALGMVGLGGAVTGSPANQLSSDGITKSGDTSSVSPVPYVFNGGLRGRRASGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENQELLKKQAAMMEMQKNQVMEMMNQQQGVKKRCLRRTQTGPW >Manes.02G203400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:17457559:17460309:1 gene:Manes.02G203400.v8.1 transcript:Manes.02G203400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSLVLVVPLILSSLFLSSAYDTLSKDSSLSVENANDVLISPGGTFIAGFFPVGENAYCFAIWFSEAFCNNNCTVVWMANRDQPVNGKSSELSLLKSGNLVLTDAGSVTVWAADTVSESPVHLYLQDSGNLVLQNLEGGVLWQSFDFPTNTLLPLQMLAKSRRLVSSRSESNYSSGFFKLYFDNDNVLRLLYDGPDTSSIYWPDPELLSWEAGRSTYNSSRTASFDSLGNFSSSDDFNFMSADYGVKIQRRLTIDFDGNLRLYSRKDWNDTWTVSWQAMSEPCRIHGICGPNSICKYVPISGRKCSCLQGFKMKDASDWSLGCEPEFNLSCSANETTFVRQTHVEFYGYDFAFFSNYTLDMCENLCSQRCDCKGFQFKFIKHDYPSNIPYCFTKTLLLNGRLSPNFEGDLYLKVPKTSPVSPPVEESGLSCSGEVAKLLDREYAEHHENGSLKFVLWFAWTIGAIEFFCIFLVWCFLIRTHQNTGAVKLGYLQIATGFRKFTYAELKKATRGFREEIGRGAGGVVYKGILSDHRVAAIKRLINEANQGEEEFQAEVNVIGKLNHMNLIEMWGYCAEGKHRLLVYSYMENGSLAENLSSNNLDWQKRFNIAFGTAKALAYLHEECLEWVLHCDVKPQNILLDCDYQPKVSDFGLSHPLRDSHEISKLSRIRGTRGYIAPEWVLNLPITSKIDVYSYGMVLLEIVTGKSPMEDIEDRRLVSWVKEKIKGANAMDLRLEKIVDTKLDGKYDKTQMKILVDVALSCVNEDKDVRPTMKQVVEMLLQSTMQY >Manes.11G040585.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4371372:4377062:1 gene:Manes.11G040585.v8.1 transcript:Manes.11G040585.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIGGSGVEGRYGKGYVPYRRPENALKGDEDQNESGSSPEKTIGPKSYSYGELASVTGHFSLNNIIGLGGFGHVFKASLDGEIRAIKRLNFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWEKRMKMATGEPKIIHLNIKPDNILLDEDFEPKITDFGLAHFFTETTTHISKSSVMGTQVYYSDKSDIYSFGITLLELITGRKPLDNGTDIVTWANLRIKKALNGKYANFVDSKLRSFDDEQMHRMVSCINSCLNQPPNSRPTMEKIRLILEGKSPLEELYGHEGLQLSMIYKGGLGQIFIGSLNGEIRAINKLKNLPDLQFEGDFERQIRVFHSIRHKNLIELLGYCIDGPNRFLILEYFPNGSLKYKLHESQNVLDWKKRMKIAIGSARGLEYLHEQCNPKIIHLDIKPNNIILDHNFEPKISDFGLSTFFTDDDSDISESYIRGTQVYMDPAAFQSEKYSDKSDVYSFGITLLELITGRKPIHKGVTIVTWANPLIEQVLDGKYMDFVDSRLQSFDYEEMHRMIFCANSCINQSPKSRPSMKKIFLAFEGLLPLEVLWDEKNNYLLERATNEGPFLVNHGNIYFKVVFIFFIDKL >Manes.04G098600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30292480:30305821:-1 gene:Manes.04G098600.v8.1 transcript:Manes.04G098600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGREFYVLYEEVGQGVSASVHRALCIPFDEIVAIKILDFERENCDLSTISREVQTMILVDHPNVLKSNCSFVNDHNLWVVMPFMAGGSCLHILKATHPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMTASCLVKDPSKRPSAKKLLKHSFFKQARSNDYILRTLLEGLPALGDRIKALKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEDPIADNNLGGSSSSLPTLNAIEKKLESQHSSLGQIAEVDDNDPIQSRPPLLRSVNSSVNIAKVKSEKSDDDSSIASPCEQYAPHFSSPHDDIVENDACKKSIFEINGKSMEVTASQQKLGGSLCGSTLPEILVPPNKAESDKFPNQPQTNSSPEGEVTLSKAAKLPVAPNSDEFDEKAKPPVVQQRGRFKVTSESVGIEKAVPLPILQKSHSMQVLHQHPMVSIPSASDAAPSTVSGHSLFPMLNSVLQTNILQRDTILNLMKQVSGSDNSANRAIDGGSAERSLLEAAHDREKELLHEITELQWRLICAQEELQKHRTENAQY >Manes.04G098600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30292536:30305821:-1 gene:Manes.04G098600.v8.1 transcript:Manes.04G098600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGREFYVLYEEVGQGVSASVHRALCIPFDEIVAIKILDFERENCDLSTISREVQTMILVDHPNVLKSNCSFVNDHNLWVVMPFMAGGSCLHILKATHPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMTASCLVKDPSKRPSAKKLLKHSFFKQARSNDYILRTLLEGLPALGDRIKALKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEDPIADNNLGGSSSSLPTLNAIEKKLESQHSSLGQIAEVDDNDPIQSRPPLLRSVNSSVNIANDKFPNQPQTNSSPEGEVTLSKAAKLPVAPNSDEFDEKAKPPVVQQRGRFKVTSESVGIEKAVPLPILQKSHSMQVLHQHPMVSIPSASDAAPSTVSGHSLFPMLNSVLQTNILQRDTILNLMKQVSGSDNSANRAIDGGSAERSLLEAAHDREKELLHEITELQWRLICAQEELQKHRTENAQI >Manes.04G098600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30292536:30305821:-1 gene:Manes.04G098600.v8.1 transcript:Manes.04G098600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGREFYVLYEEVGQGVSASVHRALCIPFDEIVAIKILDFERENCDLSTISREVQTMILVDHPNVLKSNCSFVNDHNLWVVMPFMAGGSCLHILKATHPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMTASCLVKDPSKRPSAKKLLKHSFFKQARSNDYILRTLLEGLPALGDRIKALKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEDPIADNNLGGSSSSLPTLNAIEKKLESQHSSLGQIAEVDDNDPIQSRPPLLRSVNSSVNIAKVKSEKSDDDSSIASPCEQYAPHFSSPHDDIVENDACKKSIFEINGKSMEVTASQQKLGGSLCGSTLPEILVPPNKAESDKFPNQPQTNSSPEGEVTLSKAAKLPVAPNSDEFDEKAKPPVVQQRGRFKVTSESVGIEKAVPLPILQKSHSMQVRSFEVLHQHPMVSIPSASDAAPSTVSGHSLFPMLNSVLQTNILQRDTILNLMKQVSGSDNSANRAIDGGSAERSLLEAAHDREKELLHEITELQWRLICAQEELQKHRTENAQY >Manes.04G098600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30295766:30305821:-1 gene:Manes.04G098600.v8.1 transcript:Manes.04G098600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGREFYVLYEEVGQGVSASVHRALCIPFDEIVAIKILDFERENCDLSTISREVQTMILVDHPNVLKSNCSFVNDHNLWVVMPFMAGGSCLHILKATHPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMTASCLVKDPSKRPSAKKLLKHSFFKQARSNDYILRTLLEGLPALGDRIKALKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEDPIADNNLGGSSSSLPTLNAIEKKLESQHSSLGQIAEVDDNDPIQSRPPLLRSVNSSVNIAKVKSEKSDDDSSIASPCEQYAPHFSSPHDDIVENDACKKSIFEINGKSMEVTASQQKLGGSLCGSTLPEILVPPNKAESDKFPNQPQTNSSPEGEVTLSKAAKLPVAPNSDEFDEKAKPPVVQQRGRFKVTSESVGIEKAVPLPILQKSHSMQVRSFEVSTFVPSCLLDVSVNYKF >Manes.04G098600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30292536:30305821:-1 gene:Manes.04G098600.v8.1 transcript:Manes.04G098600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGREFYVLYEEVGQGVSASVHRALCIPFDEIVAIKILDFERENCDLSTISREVQTMILVDHPNVLKSNCSFVNDHNLWVVMPFMAGGSCLHILKATHPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMTASCLVKDPSKRPSAKKLLKHSFFKQARSNDYILRTLLEGLPALGDRIKALKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEDPIADNNLGGSSSSLPTLNAIEKKLESQHSSLGQIAEVDDNDPIQSRPPLLRSVNSSVNIANDKFPNQPQTNSSPEGEVTLSKAAKLPVAPNSDEFDEKAKPPVVQQRGRFKVTSESVGIEKAVPLPILQKSHSMQVRSFEVLHQHPMVSIPSASDAAPSTVSGHSLFPMLNSVLQTNILQRDTILNLMKQVSGSDNSANRAIDGGSAERSLLEAAHDREKELLHEITELQWRLICAQEELQKHRTENAQI >Manes.04G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30292536:30305821:-1 gene:Manes.04G098600.v8.1 transcript:Manes.04G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGREFYVLYEEVGQGVSASVHRALCIPFDEIVAIKILDFERENCDLSTISREVQTMILVDHPNVLKSNCSFVNDHNLWVVMPFMAGGSCLHILKATHPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMTASCLVKDPSKRPSAKKLLKHSFFKQARSNDYILRTLLEGLPALGDRIKALKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEDPIADNNLGGSSSSLPTLNAIEKKLESQHSSLGQIAEVDDNDPIQSRPPLLRSVNSSVNIAKVKSEKSDDDSSIASPCEQYAPHFSSPHDDIVENDACKKSIFEINGKSMEVTASQQKLGGSLCGSTLPEILVPPNKAESDKFPNQPQTNSSPEGEVTLSKAAKLPVAPNSDEFDEKAKPPVVQQRGRFKVTSESVGIEKAVPLPILQKSHSMQVRSFEVLHQHPMVSIPSASDAAPSTVSGHSLFPMLNSVLQTNILQRDTILNLMKQVSGSDNSANRAIDGGSAERSLLEAAHDREKELLHEITELQWRLICAQEELQKHRTENAQI >Manes.04G098600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30292480:30305821:-1 gene:Manes.04G098600.v8.1 transcript:Manes.04G098600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGREFYVLYEEVGQGVSASVHRALCIPFDEIVAIKILDFERENCDLSTISREVQTMILVDHPNVLKSNCSFVNDHNLWVVMPFMAGGSCLHILKATHPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMTASCLVKDPSKRPSAKKLLKHSFFKQARSNDYILRTLLEGLPALGDRIKALKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEDPIADNNLGGSSSSLPTLNAIEKKLESQHSSLGQIAEVDDNDPIQSRPPLLRSVNSSVNIAKVKSEKSDDDSSIASPCEQYAPHFSSPHDDIVENDACKKSIFEINGKSMEVTASQQKLGGSLCGSTLPEILVPPNKAESDKFPNQPQTNSSPEGEVTLSKAAKLPVAPNSDEFDEKAKPPVVQQRGRFKVTSESVGIEKAVPLPILQKSHSMQVLHQHPMVSIPSASDAAPSTVSGHSLFPMLNSVLQTNILQRDTILNLMKQVSGSDNSANRAIDGGSAERSLLEAAHDREKELLHEITELQWRLICAQEELQKHRTENAQI >Manes.04G098600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30292481:30305821:-1 gene:Manes.04G098600.v8.1 transcript:Manes.04G098600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGREFYVLYEEVGQGVSASVHRALCIPFDEIVAIKILDFERENCDLSTISREVQTMILVDHPNVLKSNCSFVNDHNLWVVMPFMAGGSCLHILKATHPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAIKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMTASCLVKDPSKRPSAKKLLKHSFFKQARSNDYILRTLLEGLPALGDRIKALKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEDPIADNNLGGSSSSLPTLNAIEKKLESQHSSLGQIAEVDDNDPIQSRPPLLRSVNSSVNIAKVKSEKSDDDSSIASPCEQYAPHFSSPHDDIVENDACKKSIFEINGKSMEVTASQQKLGGSLCGSTLPEILVPPNKAESDKFPNQPQTNSSPEGEVTLSKAAKLPVAPNSDEFDEKAKPPVVQQRGRFKVTSESVGIEKAVPLPILQKSHSMQVLHQHPMVSIPSASDAAPSTVSGHSLFPMLNSVLQTNILQRDTILNLMKQVSGSDNSANRAIDGGSAERSLLEAAHDREKELLHEITELQWRLICAQEELQKHRTENAQVNFL >Manes.16G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29040874:29047107:1 gene:Manes.16G084300.v8.1 transcript:Manes.16G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTGRGRKGGEITMTEKRTTDNGEVLVAISGDEIANANITPKGSTVAHRQSWPNSPMKESNAGGFAKSVSMSYPSPETSRFSPSPNKPPKVPVNNENLTRRRSLTRSVYSKPKSRFGEQPYPVDSDVFEEDSLTLQEQMAKNSPYSNSLNRGSPNNKSASTIRTNPITPKTPLMASPGGPADDEEDEVDEVIHKVELSKKKHRKVRAKLAVQWVAFVCITGLLVASLTVEKLEKTMIWGLEFWKWCVLVMVIFSGMLVTNWFMHFIVFMIERNFLLRKKVLYFVYGLKKSVQVFIWIGLVLLAWAFLFNRGVERSETATKVLKYVTWTLISLLIGSFLWLLKTLSLKILASNFHVNKFFDRIQESVFHQYVLQTLSGPPVIEEAERVGKSASTGQLSFRSTKKGKGTKEKKVIDMGAVHKMKQEKVSAWTMKVLVDAVTNSGLSTISNTLDESIVGVGEQADTEITSEMEATAAAYRIFRNVAKPGWKYIDEEDLLRFMIKEEVDLVFPLFEVSENRQIDRKALTDWVVKVYKGRKALAHALGDTKTAVKQLNKLVTVILVIVTIIIWLLLMGIATTKVLVLLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVPLLVEEMNILTTVFLKLDNEKIYYPNSVLATKPISNYYRSPNMGDSVEFSIDFATPVEKIALLKEKIKKYLEKTPQLWHPNHSVVVKEIENVNKLKMALFCNHTMNFQEFGEKNKRRTELVIEIKKIFEELNIKYYLLPQQVHLSHIGSEARNLQF >Manes.16G084300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29041344:29047107:1 gene:Manes.16G084300.v8.1 transcript:Manes.16G084300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTGRGRKGGEITMTEKRTTDNGEVLVAISGDEIANANITPKGSTVAHRQSWPNSPMKESNAGGFAKSVSMSYPSPETSRFSPSPNKPPKVPVNNENLTRRRSLTRSVYSKPKSRFGEQPYPVDSDVFEEDSLTLQEQMAKNSPYSNSLNRGSPNNKSASTIRTNPITPKTPLMASPGGPADDEEDEVDEVIHKVELSKKKHRKVRAKLAVQWVAFVCITGLLVASLTVEKLEKTMIWGLEFWKWCVLVMVIFSGMLVTNWFMHFIVFMIERNFLLRKKVLYFVYGLKKSVQVFIWIGLVLLAWAFLFNRGVERSETATKVLKYVTWTLISLLIGSFLWLLKTLSLKILASNFHVNKFFDRIQESVFHQYVLQTLSGPPVIEEAERVGKSASTGQLSFRSTKKGKGTKEKKVIDMGAVHKMKQEKVSAWTMKVLVDAVTNSGLSTISNTLDESIVGVGEQADTEITSEMEATAAAYRIFRNVAKPGWKYIDEEDLLRFMIKEEVDLVFPLFEVSENRQIDRKALTDWVVKVYKGRKALAHALGDTKTAVKQLNKLVTVILVIVTIIIWLLLMGIATTKVLVLLSSQLVVAAFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVPLLVEEMNILTTVFLKLDNEKIYYPNSVLATKPISNYYRSPNMGDSVEFSIDFATPVEKIALLKEKIKKYLEKTPQLWHPNHSVVVKEIENVNKLKMALFCNHTMNFQEFGEKNKRRTELVIEIKKIFEELNIKYYLLPQQVHLSHIGSEARNLQF >Manes.01G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5278693:5282189:1 gene:Manes.01G024700.v8.1 transcript:Manes.01G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTSFSHDIDDEYEKLFRRLNPPRVVIDNESCKNATVVRVDSANKHGTLLEVVQVLTDLNLTITKAYISSDGGWFMDVFNVRDQDGNKITDEAILDYIQKSLGPESSFISSMRSVGVKPSMDYTAIELTGSDRPGLLSELSAVLTHLKCNVVNAEVWTHNTRAAAVMQVTDDETGFAITDPERLSRIKELLCNVLKGSNKSKRAKTVVSLGATHTDRRLHQMMFADRDYERAVDEVVDQNKRPNVSVVNLHDKDYSVVTIRSKDRPKLLFDTVCTLTDMDYVVFHANIDAEGPEAYQEYYIRHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRIGLLSDVTRIFRENSLTVTRAEVTTRDGKAVNTFYVSDASGSPVDAKTIDSIRQVIGQTILKVKGNPEELKQASQESPTRFLFGGLFKSRSFVNFGLVRSYS >Manes.07G009925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1199957:1200652:-1 gene:Manes.07G009925.v8.1 transcript:Manes.07G009925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREGKVVCVTGGSGYIASWLVKFLLHHGYTVKATVLDLNDLKQTEHLFALDGAKERLFLFKANLLEDGSFDSAIDGCEGVFHTACPLFCTNDPQAELIDPAVKGTLNVHVQKSLLSRE >Manes.02G195900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24439363:24443080:-1 gene:Manes.02G195900.v8.1 transcript:Manes.02G195900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKELLSKAPWRGDDDGEADKFKDAKLKVTNQPGSTPTMHVPRKKNNKRGSDGADSDSEDPLELDPELRYSFQRNFQFLQRVFSIDTVVKPLPPAMAYNVSRNLSFFTRIFTQFFDPKGIENAQKSLGLGQEEKARRVR >Manes.10G097600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24600866:24606745:-1 gene:Manes.10G097600.v8.1 transcript:Manes.10G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVLPIYPTSLVPPKSHSFHAKVHLFLPNYPVFAGSCCNCRPRMASLGGFTVKSKMGASASGDNGFCTLVEYMGKGGIDVGDDLVVLFSHLQYASKRIAALVASPFNSSLSKQGNVAGDGSSSDRDAPKPLDIVSNEIILASLRNSGKVAVMASEEDDAPIWINDNGPFVVVTDPLDGSRNIDASIPTGTIFGVYKRLVELDHLPQEEKAMLNSLQSGTRLVAAGYVLYSSATIFCASFGSGTHAFTLDHSTGDFILTHPGIKIPPRGQIYSVNDARYFDWPEGLRQYIDTVRQGKGKYPKKYSARYICSLVADFHRTLMYGGVAMNPRDHLRLVYEANPLSFLVEQAGGRGSDGKVRILSLQPVKLHQRLSLFLGSLEDMEELESYGDVQQKVNPGYEV >Manes.08G173500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40832621:40835722:-1 gene:Manes.08G173500.v8.1 transcript:Manes.08G173500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSPQIFPPRKRWPSAGAFLAPSFSHHKLLHSLLILSQEISSLNPISFLLKPNSLSVIRKTSLLAIFFDELLRNQIPFPSSTFLCFEEMYILLQRVKNLMEDCANGSRMWLLIQAESVVSSFHELTLQLSTLLDIFPLEHVDLSDDIQELFTLIRKQCFQAKCSLDPSDYNLRHKVLTMLDRIKKEIVPDHSKLAEIFDKLGLQNSSSCKEEMESLEDEMQNQIDHKSKSEVVALIGLVRYAKCVLYGASTPTSDHGREKVVSEAIVPPDFRCPISLELMRDPVVLATGQTYNRESITLWIESGHNTCPKTGQTLSHTNLISNLALKNLIGMWCREQKIPFESMENNQKLDGVMRNKAAIAATKMMVSFFVNELSISQSLEAANGVVYELRTLAKTNSDGRAYMAEVGVIPLLVRYLGSDVGSELPNLQVNAVTAILNLSILEANKTRIMEIDGVLNGVIEVLRSGATWEAKANAAATIFSVSGVHSYRKQLGRKTRVIKGLMDLAKSGNMCSKKDALMAILSLAWDRETIGRLVEAGVVETVMEVVNVLPEEAVTILEMVVKKGGILATAAAYNAIKKLGMLLREGSDAARESAMATLVTICRKGGSEMVAELASICEIERIIWELMGSGTIRSRRKAATLLRIIRRWAASLDGEFVDMNTTATAIITSSSRPAFAR >Manes.17G008800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3112546:3118478:-1 gene:Manes.17G008800.v8.1 transcript:Manes.17G008800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKSTIPSSFPLAIPSVPNSKNIERIASLHGHSKIPKFITHSISRNGTSSLESDVPFPRDYDELLQQAKTATELALGDNKQLMEIEFPTAGLDSVPGDGEGGIEMTGSMQLIREFCDCLLSPEKVTRTRVFFPEANEVKFARKSAFEGSSLKLDYLTKPSFFEDFGFVEKVKMADRVKREDELFLVAYPYFNVNEMLVVEELYREAVTNTTRKLIIFNGELDRIRSGYYPPFFYPKLASLSKTLFPMMETVYYIHNFKGRNGGALFRCYPGPWKVLRKMGNACICIHQQEVMPSLKEVALDILPSA >Manes.17G008800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3112546:3118467:-1 gene:Manes.17G008800.v8.1 transcript:Manes.17G008800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKSTIPSSFPLAIPSVPNSKNIERIASLHGHSKIPKFITHSISRNGTSSLESDVPFPRDYDELLQQAKTATELALGDNKQLMEIEFPTAGLDSVPGDGEGGIEMTGSMQLIREFCDCLLSPEKVTRTRVFFPEANEVKFARKSAFEGSSLKLDYLTKPSFFEDFGFVEKVKMADRVKREDELFLVAYPYFNVNEMLVVEELYREAVTNTTRKLIIFNGELDRIRSGYYPPFFYPKLASLSKTLFPMMETVYYIHNFKGRNGGALFRCYPGPWKVLRKMGNACICIHQQEVMPSLKEVALDILPSA >Manes.05G166400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27847335:27851356:-1 gene:Manes.05G166400.v8.1 transcript:Manes.05G166400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLKADAFLFSSIFILLIFTAHASFSCNNTCGSNHLPYPFGFSSGCQIQLNCSRNGEILVNNEFRVQYVTQDKIRINVQPQCNRSVQVFRNLFTTNFAPLSSNAILLHNCSSDAVRSCSIPAISVETHFESLSCSNSSGLSCLFDLTTDGFFYNSIQLRQCRSLLSSISSNYSSTSGVTSLDVQAMELGWWLPGKCHCSDHASCNELKSPAGPGYRCQCKDGFIGDGYPDPAAAGCRKASCSLPKYLSGQCGGSTRIGVLIGGIAAGVAIMVTLGLLCCCIRRRRSTTKHKGFTKLCLAETTGINIPIIPYKEIEKATNNFSEKQRLGTGAYGTVYAGKLHNDFWVAIKRIKQRDTDSTEQVMNEIKLISSVNHPNLVRLLGCSIENGEQILVYEFMPNGTLCQHLQRERGDGLAWLVRLTIAAETAQAIAHLHSAIHPPIYHRDIKSSNILLDYNFRSKVADFGLSRLGMTEISHISTAPQGTPGYLDPQYHQNFHLSDKSDVYSFGVVLVEIITALKVVDFSRPQNEVNLAALATDRIGKGRLDEIMDPFIDINSDDWTLSSVHKVAELAFRCLSFDRDMRPSMVEVAEELEQLRLSRWEPCEEINRTASSSVETSCSSSSYISEKPHSFSENSSGK >Manes.05G166400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27847335:27851356:-1 gene:Manes.05G166400.v8.1 transcript:Manes.05G166400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLKADAFLFSSIFILLIFTAHASFSCNNTCGSNHLPYPFGFSSGCQIQLNCSRNGEILVNNEFRVQYVTQDKIRINVQPQCNRSVQVFRNLFTTNFAPLSSNAILLHNCSSDAVRSCSIPAISVETHFESLSCSNSSGLSCLFDLTTDGFFYNSIQLRQCRSLLSSISSNYSSTSGVTSLDVQAMELGWWLPGKCHCSDHASCNELKSPAGPGYRCQCKDGFIGDGYPDPAAAGCRKASCSLPKYLSGQCGGSTRIGVLIGGIAAGVAIMVTLGLLCCCIRRRRSTTKHKGFTKLCLAETTGINIPIIPYKEIEKATNNFSEKQRLGTGAYGTVYAGKLHNDFWVAIKRIKQRDTDSTEQVMNEIKLISSVNHPNLVRLLGCSIENGEQILVYEFMPNGTLCQHLQRERGDGLAWLVRLTIAAETAQAIAHLHSAIHPPIYHRDIKSSNILLDYNFRSKVADFGLSRLGMTEISHISTAPQGTPGIGKGRLDEIMDPFIDINSDDWTLSSVHKVAELAFRCLSFDRDMRPSMVEVAEELEQLRLSRWEPCEEINRTASSSVETSCSSSSYISEKPHSFSENSSGK >Manes.12G023000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1966043:1966984:1 gene:Manes.12G023000.v8.1 transcript:Manes.12G023000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPPEPPSPRYFSSSSFKDINAILLEEENRSKSQPQTPRRPSIFHRASPLYRHHRNRSKTFIISPPPNQDDHKIILYFTSLGIVRRTLEDCRTVRSILRGFHVPIDERDLSMDAEYLDEIQMISASKKVRLPAVFLGGKYLGGAEEINEMNESGELSKLIGGLPFVENNIKIKFNSVCDVCGGLRYVLCAQCNGSHKIYSEKYGFRTCTSCSVNGKWGPSYLGSFI >Manes.08G164200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39924750:39928086:-1 gene:Manes.08G164200.v8.1 transcript:Manes.08G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLARKELQALCKKNKIPANMTNIAMADALKALEKVEGLDELNNDPRSDPQESPEKTINAAPRTACRTSTRRKPSTVEPESSQPKTRTRYATRKMVAEETEQENENVNLLETPAMPTTRRTAPAASATRNMEAQLMEIGEDEKLLGQEKSDVPETPAMRTSRRKAPAVSTRKKIEDQKDEKSVQRVYSTRQSVRLLEKSMAVLSLKEKRRVEAVKIEGLCERTKEVEQKNDVPECDSQLLSFQNIDETSESESGKKHGIQEDNNSNNQEGEGLEVLSWKNSERPLGNESEMKHEFEEHKTVHEMDNSAVSFQIVSLENASENELNVHNDIADHEVDSEVLGQNIDQLLKSESEANLQGGEKIDHEVEMQVGYEACTNLDNDSGLEKDDEDNSESSDASFFLQVETSGKPVDMNCESTDEKGPDVMAENSETLNAAMNPDIEKELNSNQDSLIVEVYDDSSVLGMETIVPDEVSFEVSLTPEVSEAMGKSSEMNLIDDEQHGINDLHSDAATEEGTDANAIKEVSDSRGSDKVQENDNAENIEEKAPLLPQVDYEKSESINESESPWFTLQHEKCKEASDNFANEYDIDDVNVSDAKEPDMIIAHDQKSPFCCLLVSDSEVAGIIPLADDHEQELAAAAYKYIQTLNKSPTSLKQTSRYQPVVSSDHVTAGMVISSPSATNRIQDQSHLSTESTPRKYSTKKQTSQKIMYPDFNKENIDNSARKIEPNKDKVKKKVVDEEKKFEEVSLRQLSKMLKEKLQIANKNNEGTRPALQVLTENCKASGELENKN >Manes.14G088900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7354573:7356647:1 gene:Manes.14G088900.v8.1 transcript:Manes.14G088900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALLAVVLLLLILLPFFWILCVALRRPKDHAIADPKLPPGPRALPIIGNLHLLGIRPHQSLYNLAKEYGHIMFLRLGKVETVVVSSAQAAELFLKTHDAVFANRPQILASHYLSYGTRGMIFDDYGPYWRNVRKVCTLHLLSGSKIESFAPTRKEELNLLVETIKKSAKVQEVVDVSEEVAKMSETLICRMIFGKRSNDKFDLRSLIKESVDLVGAVNIADYVPFLGALDLQGLMRRMKKNRKDMDKVLEKIIDDHDQDAHWQTNEQKDFIDVLLSLINQQPTNSHGDDPAYVIDRNSIKAIIQDIIVGGVDTSTSSIQWIFSNLLKHSRVMKCLQQELESVIGLDRMVEETDLPKLTYLDMVVKESLRLYPTLPLIPRKCLQDITVNGYRIPKNSRILINAWAIGRDQNEWSNNALEFYPERFKNTNIDLRGRHFQFIPFGSGRRGCPGIHLGLTNVRFVVAQLVHCFDWELPCDLDMTEKYGLTMPRATHIFAVPTYRLSVKHV >Manes.14G088900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7354573:7356565:1 gene:Manes.14G088900.v8.1 transcript:Manes.14G088900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALLAVVLLLLILLPFFWILCVALRRPKDHAIADPKLPPGPRALPIIGNLHLLGIRPHQSLYNLAKEYGHIMFLRLGKVETVVVSSAQAAELFLKTHDAVFANRPQILASHYLSYGTRGMIFDDYGPYWRNVRKVCTLHLLSGSKIESFAPTRKEELNLLVETIKKSAKVQEVVDVSEEVAKMSETLICRMIFGKRSNDKFDLRSLIKESVDLVGAVNIADYVPFLGALDLQGLMRRMKKNRKDMDKVLEKIIDDHDQDAHWQTNEQKDFIDVLLSLINQQPTNSHGDDPAYVIDRNSIKAIIQDIIVGGVDTSTSSIQWIFSNLLKHSRVMKCLQQELESVIGLDRMVEETDLPKLTYLDMVVKESLRLYPTLPLIPRKCLQDITVNGYRIPKNSRILINAWAIGRDQNEWSNNALEFYPERFKNTNIDLRGRHFQFIPFGSGRRGCPGIHLGLTNVRFVVAQLVHCFDWELPCDLDMTEKYGLTMPRATHIFAVPTYRLSVKHV >Manes.13G154200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36798166:36803173:-1 gene:Manes.13G154200.v8.1 transcript:Manes.13G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTETFFLSHGSPLMSIDESILARNFFQSWRRDTYKDRPKAILMISGHWDTREPAVNVVDLNDTIYDFYGFPDAMYKLKYTPPGAPELAKRVKQVLMASGFKRVDEDKKRGLDHGAWVPLMFMYPEADIPVCQLSIQSDKDGTYHYNMGRALAPLREEGVLIIGSGSTTHNLRAIQPNGTPPPPWALRFDSWLKDALLQGRYEDVNHFDVKAPQAKMAHPWPDHFYPLHVAMGAAGENAKAKLVHHSWSNGTLSYASYQFTEAK >Manes.06G129700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25938990:25942564:1 gene:Manes.06G129700.v8.1 transcript:Manes.06G129700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRHAARILGLDSKVEKSLLIPFREIKVECTIPKDDGTLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRELSLSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYAAEALLAEYGKSIEGLTFAIQGFGNVGSWAARLIHERGGKVIAVSDINGAIKNPKGLDIPELLRHKEATGSLTNFHGGDSMDPGELLVHECDVLIPCALGGVLNRENAADVKAKFIVEAANHPTDPEADEILSKKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWEEEQVNKELRRYMTRAFHNIKNMCKTHDCNLRMGAFTLGVNRVARATLLRGWEA >Manes.06G129700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25939757:25942564:1 gene:Manes.06G129700.v8.1 transcript:Manes.06G129700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKTAVADIPYGGAKGGIGCSPRELSLSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYAAEALLAEYGKSIEGLTFAIQGFGNVGSWAARLIHERGGKVIAVSDINGAIKNPKGLDIPELLRHKEATGSLTNFHGGDSMDPGELLVHECDVLIPCALGGVLNRENAADVKAKFIVEAANHPTDPEADEILSKKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWEEEQVNKELRRYMTRAFHNIKNMCKTHDCNLRMGAFTLGVNRVARATLLRGWEA >Manes.03G131901.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25849760:25850512:1 gene:Manes.03G131901.v8.1 transcript:Manes.03G131901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLILVVVLGALVCTGSVARKIVDEKGGSFQDEKNLFRRPRLGGGIGGGGGGGFGGGGGLGGGAGGGAGAGFGGGAGSGAGLGGGAGGGGGFGGGGGGGVGGGSGFGGGAGGGFGSGAGGGGGFGGGGGGGLGGGAGGGFGAGSGAGGGLGGGLP >Manes.01G229800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39453364:39457137:1 gene:Manes.01G229800.v8.1 transcript:Manes.01G229800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDPANPLFKVLRSESLEKNFDLSGGKFRVKSIPLLSDVPSNVTFSPFSSVCDHSESDAPLPLLQRVHSLSYRGGFLGFHKDAPSDRLMNSLGKFTDMDFLSIFRFKTWWSTMWVGSSGSDLQMETQWVLFNVPKIKSYVLIIPIVEGSFRSALHPGIDGHLMICAESGSTQVKASTFDAIAYVHVCDNPYNIMKEAYSALRVHLNTFRLLEEKAPPSLINKFGWCTWDAFYLTVDPTGIWHGVQDFVEGGAPPRFLIIDDGWQSINLDGEKPEEDAKNLVLGGTQMTARLHRLDECEKFRKYKGGSMLGPNPPTFDPKRPKMLISKAIELEHAEKDRDKAIQSGETDLSAFESKIEQLKKELDAMFGGEAKSSCGNCSCKAENYGMHAFTRDLRTKFKGLDDIYVWHALCGAWGGVRPRSTHLNSKITPCKLSPGLDGTMNDLAVVKIVEGGIGLVHPEQAGDFFDSMHSYLANVGITGVKVDVIHTLEYVSEEYGGRVELAKAYYKGLSDSLSKNFRGSGLISSMQQCNDFFLLGTRQISMGRVGDDFWFQDPNGDPMGAYWLQGVHMIHCAYNSMWMGQIIQPDWDMFQSDHLCAKFHAGSRAICGGPVYVSDSVGCHDFQLLKKLVYPDGTIPKCQHFALPTRDCLFKNPLFDNKTILKIWNLNKYGGVIGAFNCQGAGWDPKEQRIKGHSECYKPISGSVHVTEIEWDQKPEAAQMGKAEDYIVYLNQAAELILKTPTSDAIELTIQPSSFELFSFVPITKLGSEFKFAPIGLTNMFNSGGTIQEYGHVVSAAETSVNVKVKGGGNFLAFSNVSPKKCFLNGAEVACEWISDGKLTLALPWNEEAGGVSDVAFMF >Manes.08G037000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3625360:3631527:-1 gene:Manes.08G037000.v8.1 transcript:Manes.08G037000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKAPARLMIVSDLDHTMVDHHDPENTSILRFNALWEALYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNKMVPDDGWVEFLNKKWDRKIVTEETSKFPELTLQSETEQRPHKVSFYVDKDKAQTVTKALSEILGKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKFKTEGKLPINTLVCGDSGNDAELFSIPDVYGVMVSNAQEELLKWHAENAKSNPKILHATERCAAGIIQAIGHFKLGPNTSPRDSTDFSNHEMENVTPSNVLVKFFLFLERWRRAEVENCEMYLASLNADCDPSGILVHPSGTELSLHDAINGIRSHYGDKQGKLFRIWVDQILSTKIGSDTWLVKFNQWELSGEEQQGCVNTAVIKISADSSVASATYLHMHQTWLEGSGAKDQSTWLF >Manes.08G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3625755:3631363:-1 gene:Manes.08G037000.v8.1 transcript:Manes.08G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKAPARLMIVSDLDHTMVDHHDPENTSILRFNALWEALYRHDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGNKMVPDDGWVEFLNKKWDRKIVTEETSKFPELTLQSETEQRPHKVSFYVDKDKAQTVTKALSEILGKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKFKTEGKLPINTLVCGDSGNDAELFSIPDVYGVMVSNAQEELLKWHAENAKSNPKILHATERCAAGIIQAIGHFKLGPNTSPRDSTDFSNHEMENVTPSNVLVKFFLFLERWRRAEVENCEMYLASLNADCDPSGILVHPSGTELSLHDAINGIRSHYGDKQGKLFRIWVDQILSTKIGSDTWLVKFNQWELSGEEQQGCVNTAVIKISADSSVASATYLHMHQTWLEGSGAKDQSTWLF >Manes.S038616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1078162:1078974:1 gene:Manes.S038616.v8.1 transcript:Manes.S038616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGGRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G073800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5561238:5562497:-1 gene:Manes.15G073800.v8.1 transcript:Manes.15G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTPVDIGTQGTIGSLIMQELRHFSQLQLRCPESSQKPNSHTTGTASTSRGQSKPTLGSVVTTPKKKKKGRSSSSSSRLLPSICSMVEVSNNHRPTGISDFSYRNLKSDAKNLQV >Manes.11G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1530737:1532392:1 gene:Manes.11G014100.v8.1 transcript:Manes.11G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTSRSYFQLWVIAAFLLFQNTSTSHLPSPENHAPLFIFGDSLFDTGNNNNPTSSACYWPYGETFFKHPTGRASDGRLIPDFIAEYAKLPFPLPYRQTRNRQLRYGVNFASGGAEVLGVNPDKIIIHLKGQLSNFKNVKKRLRHKLGDSETEALLSKAIYLFSFGTNDYAKVTDDFSVLHYYSSEEYVGMVVGNFTTGLKEIYKNGGRKFAFVSLGAVGCLPNMRAKNSSGGCMEQVTVLVKLHNKEFSYVLMKLQKQLQGFKYSNFDFYNSLSRRIKHPSKYGFKEAKTACCGTGPFRGITSCGGVGTPIKEFEVCDDPSEYLFFDSHPSEKANHQFSKLMWRGSVDVTRPYNLKQLFQL >Manes.18G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5803990:5806825:1 gene:Manes.18G063800.v8.1 transcript:Manes.18G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSSSDSFAALVSISSSKEEKTQKSTQEYTREFQAMLDSLEEEGHSEEAIHATEKKRRLTLEQVKALEKSFEVENKLEPEKKLKLAEELGLQQRQVAIWFQNRRARWKTKQLEREYVALKANYEALKLNYDNLERQNESLTLQIKELKAKLREENAESSHSVKEETPVSESENNVSVHSQSHEFSDNNNSSPTIKDQSDCLPSHALMNWIQLTESRTILGNGFQVYQPHVVKIEEQNLFNTEESCNFFSVDQAPTLYWHFPEQ >Manes.06G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27381822:27385723:1 gene:Manes.06G147900.v8.1 transcript:Manes.06G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDNDLQLERVNVYYNEASCGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYDYEDEEEVQEEV >Manes.16G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33359917:33362088:-1 gene:Manes.16G133200.v8.1 transcript:Manes.16G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLCDSCKSATATLFCRADSAFLCVNCDSKVHAANKLASRHGRVWVCEVCEQAPAHVTCKADAAALCITCDRDIHSANPLARRHERVPVTPFYESVGVTHAAKTNAVNFLDDRYFSDVDRDADVSREEAEAASWLLPNPPNPKVMESPDLNTGQYVFSDVDPYLDPGYGPAAVDPKLEAQEQNSSGTDGVVPVQSKSVQASLVNDHCFELDFTGSKPFAYGYNTQSLSNSLSSSSLDVGVVPDGGNMTDISNQYSRTVSNGMESTNPTVQPSAVDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRIDMEVEADGGSMYGFGVVPSF >Manes.13G032700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4121257:4123221:-1 gene:Manes.13G032700.v8.1 transcript:Manes.13G032700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRELLQFRTPQHPSQGTNSWGGASPLLARNIPKESLDQRYSRLNTVRIRDEIFPYQADELYFSPQVHASPYQFPPNPSRSSRRGLLFRLKFPRKERTVSLGSNSKKRWFPRWDPKNRWPQGWC >Manes.13G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4121257:4123221:-1 gene:Manes.13G032700.v8.1 transcript:Manes.13G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRELLQFRTPQHPSQGTNSWGGASPLLARNIPKESLDQRYSRLNTVRIRDEIFPYQADELYFSPQVHASPYQFPPNPSRSSRRGLLFRLKFPRKERTVSLGSNSKKRWFPRWDPKNRWPQVLYDACIS >Manes.02G099900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7761316:7778875:1 gene:Manes.02G099900.v8.1 transcript:Manes.02G099900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQPPNRGQQPINVVEIDSSDDEEEGATVVAPSKRSSSSDTKPKPKAQPQQNQNRSPEAIGLTQPTPNLQTLECRSFWKAGACVVGPTARTAPPPGELEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEIHNGATFVKVDKIDIMKDNSPALLFQDDGGGMDPDSIRKCMSLGYSSKKSNKTIGQYGNGFKTSTMRLGADVIVFSRASRASKATQSVGLLSYTFLRKTAQDDVIVPMIDFDISGHWAEPIIYSSHDDWSANLTTILEWSPFTSKDRLLEQFEDIGRHGTKIIIYNLWLNDEGIYELSFDDDDEDIRLRDEANRGGQTKLQKKIVELQSHISYRIRYSLRAYASILYLRKFTNFSILLRGKPLQQFNIADELKYLKTVTYRPQMGIMASKEVAAVETTIGFIKESPALGVCGFNVYHKNRLIRPFWKVTAEGSSKGNGVVGVLEANFIEPAHDKQDFERSSLFIRLEAKLKQILVDYWNHHCHLVGYQPPGFRAQNTEKKDSAKSQKQMPEEEVHLDQHVNDPHTCLPHTNNGLLGNGGRFNLPVEIPTTGFADPFLFVGT >Manes.02G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7761316:7778875:1 gene:Manes.02G099900.v8.1 transcript:Manes.02G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQPPNRGQQPINVVEIDSSDDEEEGATVVAPSKRSSSSDTKPKPKAQPQQNQNRSPEAIGLTQPTPNLQTLECRSFWKAGACVVGPTARTAPPPGELEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEIHNGATFVKVDKIDIMKDNSPALLFQDDGGGMDPDSIRKCMSLGYSSKKSNKTIGQYGNGFKTSTMRLGADVIVFSRASRASKATQSVGLLSYTFLRKTAQDDVIVPMIDFDISGHWAEPIIYSSHDDWSANLTTILEWSPFTSKDRLLEQFEDIGRHGTKIIIYNLWLNDEGIYELSFDDDDEDIRLRDEANRGGQTKLQKKIVELQSHISYRIRYSLRAYASILYLRKFTNFSILLRGKPLQQFNIADELKYLKTVTYRPQMGIMASKEVAAVETTIGFIKESPALGVCGFNVYHKNRLIRPFWKVTAEGSSKGNGVVGVLEANFIEPAHDKQDFERSSLFIRLEAKLKQILVDYWNHHCHLVGYQPPGFRAQNTEKKDSAKSQKQMPEEEVHLDQHVNDPHTCLPHTNNGLLGNGGRFNLPVEIPTTGFADAHNEVVNEDGQEAISVDQICEENIQLFMRCEEFRQKEMELKQMVTELERELEQEKRKRAQLAAHLETKRKQKMTKRQTEKVS >Manes.09G155801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35148918:35152397:1 gene:Manes.09G155801.v8.1 transcript:Manes.09G155801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADKNLLVKDHTHTFVNKGGEIGELDFRFPIGAPLHGIRPFLSTNQLKTYDEARNAVALALSPVVKALVSPDGAMKDIRNLDSISFSDWLLSKGGTPLGLLPVIKSVLVVCSLYSHCLPPRQRLPYYACSRVLQMFA >Manes.18G115900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11918947:11921583:1 gene:Manes.18G115900.v8.1 transcript:Manes.18G115900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVRTEVNLDNHVRVPKLDPCMESPDNFVEDYASNLSTTIISKSMPLWDVHLLNVQTSEAQSTCIIRVHHSLGDGISLMSLLLSCTRKASDAEALPSIPTIKRSNTSNNSGGFSQFLLKLWCLALLYWNTIVDIVMSLGTIFFLEDTKTPLKATLPLGTPRKRLVHKTISLDDVKLVKNAMGATINDVMVAITQVGLSSYINRKFGDNKKDNQGAKGNASNNLPNNIRLRAALFVNLRSSAGIQAFDDMTRRDSKARWGNHIGYVLFPFTMAIRDDLLDHVREAKLTGDRKKATFEAKFNYCMARFFPKWFTNKMSSFPSRTTLWFSNTPGPAEEISYFGHPVSFIALSVYGQPNALMIHVVSYEKKMKIILSADENVISDPHQLCDDLQNSLELIKNAVIARKREDPQLYKHH >Manes.07G004400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:684710:686971:-1 gene:Manes.07G004400.v8.1 transcript:Manes.07G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTEKEETEFKVPETLTLCVNNCGVTGNPATNNMCQKCFNATTAASTSNSTAAAPNTSTIAPASGVSSCSEILTKSPRSSKSPSPVRNPLPESSRKVETSSDRGKSDESLAGSGVNRCSGCRRKVGLTGFRCRCGELFCWEHRYSDRHDCSYDYKAAGREAIARENPVVKAAKIVRV >Manes.13G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1049591:1051965:1 gene:Manes.13G004900.v8.1 transcript:Manes.13G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLMRTFSLALAFRSFRSPLVMGAIHSPTLLFASSSSSSSTSGSTCTHKAASLKSKFYSASFRDLDDALASFNHIILLHPLPSIDKFGRFLSALVRIKQYHTVVSLFRKIELLRISHNVYSLNILINCYCRLHHVDFAFSILGKFLKLGVKPDIVTFNTLIDGLCKEGKINRAVDFFNHVVARGYEPDVQTYNVIINHLCKFGETNLAIGLLRGMVERGCEPDAVTYSTIIDKLCKDGLVAEALELFSQMRNKGISPNVVTYTSLIHGLCNLGKLNQVLALLDEMVVQNISPDVYTFSILIDNLFKEGMVSKVQNIFNMMVKWGIKPNVVTYCSLMNGYCLHSQMDEARKVFDLMVIRGFANVFSYNILINGYWHVASRDVPGCIGAFQEHVQSWSAAG >Manes.13G004900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1049589:1051965:1 gene:Manes.13G004900.v8.1 transcript:Manes.13G004900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLMRTFSLALAFRSFRSPLVMGAIHSPTLLFASSSSSSSTSGSTCTHKAASLKSKFYSASFRDLDDALASFNHIILLHPLPSIDKFGRFLSALVRIKQYHTVVSLFRKIELLRISHNVYSLNILINCYCRLHHVDFAFSILGKFLKLGVKPDIVTFNTLIDGLCKEGKINRAVDFFNHVVARGYEPDVQTYNVIINHLCKFGETNLAIGLLRGMVERGCEPDAVTYSTIIDKLCKDGLVAEALELFSQMRNKGISPNVVTYTSLIHGLCNLGKLNQVLALLDEMVVQNISPDVYTFSILIDNLFKEGMVSKVQNIFNMMVKWGIKPNVVTYCSLMNGYCLHSQMDEARKVFDLMVIRGFANVFSYNILINGYCKCKRIDEAMEIFGKMPHKGLVPDSVTYNTLIKGMWQAGMSQAALELFKNMCSHGQQPDRITFKILLDGLYKQGSLDEALNNIQRELFTL >Manes.04G023200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2739809:2740854:1 gene:Manes.04G023200.v8.1 transcript:Manes.04G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQALFSFSLLLLFLHSRTFAQSPAAAPAQPPPVAPVKAPPAPPAQSPSGVQVAPSPGPLDVVKILGKASHFSVFVRLLKATQVDTELFLQLNNTNNGATIFAPSDGAFSGLRVGTLNSISDGDKIELVKFHIVPLFISTSQFDTVSNPIRTLAGAGNRFALNVTTGGNLVNITTGLTNTTISGTVYTDSRLAIYQVDRVLLPLDMFTPKSPSPAPSPAPAPEMPKKAPVVETPVAPKKDISSAVSLMVYDYVLLEFFAVGIVTAMVSF >Manes.14G127621.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10189471:10189982:-1 gene:Manes.14G127621.v8.1 transcript:Manes.14G127621.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHDTKNDQVDRSYMSPGPKETCLISFRLVALFGFRFRNVTILYENQTQSKNLVEISTLPPQVQFCPNASHGC >Manes.16G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1694536:1695925:-1 gene:Manes.16G015700.v8.1 transcript:Manes.16G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPKSFLFLFLSLLLLLLFPLSATSSSIQKLLQNHGLPGGLFPENVKSFDLDQNGHLEVHLDGPCMAKYETRVHFDSVVRANLSYGGLVGLQGLSQEELFLWFPVKGIIVSDPSSGLILFDIGLAHKQLSRSLFEDPPVCKPQGAQLEDFGRKMGFAVLR >Manes.16G135700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624244:33630011:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFLTQTRIWFLLLSLKRPLNLSLSFCAQTQSKDLRDCTSLVMDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEMLQALERVEQDEELTYEQLLVLETNMFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624244:33630011:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEMLQALERVEQDEELTYEQLLVLETNMFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624244:33630011:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFLTQTRIWFLLLSLKRPLNLSLSFCAQTQSKDLRDCTSLVMDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEALERVEQDEELTYEQLLVLETNMFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624244:33630011:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFLTQTRIWFLLLSLKRPLNLSLSFCAQTQSKDLRDCTSLVMDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEALERVEQDEELTYEQLLVLETNMFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624244:33630011:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEMLQALERVEQDEELTYEQLLVLETNMFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624217:33630011:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEMLQALERVEQDEELTYEQLLVLETNMFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624244:33628586:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFLTQTRIWFLLLSLKRPLNLSLSFCAQTQSKDLRDCTSLVMDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEMLQALERVEQDEELTYEHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624218:33630011:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEMLQALERVEQDEELTYEQLLVLETNMFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624244:33630011:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFLTQTRIWFLLLSLKRPLNLSLSFCAQTQSKDLRDCTSLVMDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEMLQALERVEQDEELTYEQLLVLETNMFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.16G135700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33624244:33628586:1 gene:Manes.16G135700.v8.1 transcript:Manes.16G135700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECSGKRAGDGLVVSRKGSTLDLNDSANDKDQNAQFCNRIGCTSGRLNSAKCTQISYSEKAQSSRQSLRSLSNGKEIIGSSSRTFSATSNLGKSFAGPRKRRTSQPERDPCEAVSFQDDPELPKFVTPPRKIQQGLGSESDDAGPSESTSMEVGSSSTPSTRSHRNLYQKLGIGKPDVSVRSPVLLISKTSSQGTRASPHRYGMRNVRCSSVLHAFPTGSSTSDSNLIRRKETTNKRITGGESSFSARGKKISESSLEGRHSSSSYGISISDSRRTRNAPSNQDDGPASVRTRRSVGYTWTKVANQESGNNLSPNEPHVIPQMSQPILSIDLNSPTSSHQLSTESSQNLSRSYGLPGSSHESFWGIRSSNPAEVVNIQSSVENFQHFNMDGIAEMLQALERVEQDEELTYEHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLEISIYQSASPVDATLDFCGENAHAKCSICQEEYAAGDEVGRLKCEHRYHVACIHQWLRLKNWCPVCKLSAVPSSSPASPPAVNQ >Manes.15G111000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8880471:8881601:-1 gene:Manes.15G111000.v8.1 transcript:Manes.15G111000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARSNAFKSPLSPLFSRSTNPMKDLSLFFFKNSVASKMRKGIRNICHGDGSTSTLNQNTSPNNNNFYTHTSGTSAATPPPPSLEEMILQLELEEEISRNAKLDHDLVAMRGGRMSCVSSSDILRSARNAALSQYPRFSLDGRDAMYRSSFRRSSPSPSSRVKSQLPPILAGETVVWCKPGVVAKLMGLEAIPVPVIRERNRKETLSSIIKRQNLRRKAQRHEMERRLSSADHIRMHICDHRGRGRGRMASCLNTTEPPIDGGGWPTRRFRCKNSNLMMP >Manes.13G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4740594:4741224:1 gene:Manes.13G039500.v8.1 transcript:Manes.13G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQSLPFASPVSHHLQQQPRSFPGSVSWAVHRTAKSSFNGQSLRLSHPQLALIRQKTSTFVTMMVKPTIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFDQPSVFDSSGELGDITGFYMIDEEGVLQSVDVSAKFVNGKPSRIEAKYVMRSPQDWDRFMRFMERYANANGLQFVKS >Manes.09G139900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33899722:33902769:-1 gene:Manes.09G139900.v8.1 transcript:Manes.09G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSSSSSPSARKTTATPCCSKVGIKRGPWTPEEDELLSNYIKKEGAERWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNPNPNPSQRAQDPNQNSGLKSVHLEETGRTYRTIATKVSQNFNMTNPDGYRNPIVDEGGNNNWLNFNGLVMGLQSDQGHNNAEYNYIANENEDPFSSFLDALIDENENLFTINQQNHHQQQQHLNNMAAPSVQVQPFVSSAQTFNNTSIWEAEVAPSMAVLGEEDVGLT >Manes.02G199300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16771741:16776549:1 gene:Manes.02G199300.v8.1 transcript:Manes.02G199300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNCFLSLQFLPFLSFLFFSDFPLSFGLNVETQALLEFKKQLKDPLNVLDSWKKSESPCNFSGISCDPVSGEVTDISLDNKSLSGKISPSISALRSLTTLWLPSNFISGKLPLEIINCSNLRVLNLTGNQMVGVLHDLSSLRNLEILDLAANHFSGRFPSWVGNLTALVKLSIGKNNYDEGEIPESIGNLKNLTFLFLAASHLRGEIPESIFGLWKLQTLDISRNKISGKFPKSISKLKNLNKIELYVNNLTGELPPELANLILLQEIDISSNQMYGKLPEEIGNLKYLKVFQLFDNKFSGELPAGFGEMQHLDGFSIYRNNFSGEFPENFGQFSPLTSVDISENRFSGSFPKFLCESRILQYLLALGNNFSGEFPDSYVECKSLQRLRINKNKLSGEIPDGVWALPLVTIIDFSDNEFSGRISPKIRLSSNLNQLILQNNRFSGQLPSELGKLTNLGRLALQNNSFSGEIPSEIGALKLLSSLHLEENSFTGSIPSELSDCNRLVDLNLASNSLTGHIPHAFSLMSSLNSLNLSQNKLTGLVPEELEKLRLSSIDLSGNQLSGRISLVLLTMGGDKAFLENEGLCIDENSKNITNSKMNVCHEKHGQEQTFGDKQVMFFIFISALVVAFAGLLLVSYKNFKQGQVDRGNDSDPKWKLALYDQLDIEADEICNLEEENLIGKGGTGKVYRLYLKRSGCTVAVKQLWKGDSLKLSASEMEILGKIRHRNILKLYASLLDEGSCFLVLEYMSKGNLFNALHGNIKDEEPQLDWCQRYKIAVGAAKGIAYLHHDCSPPIIHRDIKSSNILLDGDYEPKIADFGVAKLAEMSCNGCDSSSFAGTHGYIAPEMAYTSKVSEKNDVYSFGIVLLELVTGRRPIDEAYGEGKDIVYWLWKHLNYRQNVFKVLDNKVASESVRDDMIKVLKVAILCTAKLPNLRPNMREVVKMLVVADPCILRSPDSNSDKNVKFFP >Manes.08G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36840286:36851267:1 gene:Manes.08G127400.v8.1 transcript:Manes.08G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPLAIVDVIEEEPKEDSNGVFNPVDVSKRNPNGVEFDNLYLDMNGIIHPCFHPEGKPAPPTYDDVFKSIFDYIDHLFSLVRPRKLLYMAIDGVAPRAKMNQQRSRRFRVAKDAAEAEAEEERLRKEFEAEGKLLSPKEKPETSDSNVITPGTQFMAVLSVALQYYIQTRLNHNPGWRRVKVILSDANVPGEGEHKIMSYIRLQRNIPGFNPNTRHCLYGLDADLIMLSLATHEIHFSILREMVTLPGQQEKCFLCRQAGHLAAECRGKPGDNALDWNVVDDTPIHKKKYQFLNIWVLREYLQYELDIPNAPFEINFERIVDDFVFLCFFVGNDFLPHMPTLEIREGSINLLMHVYRKEFAVMNGYLTDAGEVNLDRVEHFIQSVAVYEEQIFQKRTRIQQAFENNEEMRLKARKEYSEESQALSVDKVKLGEPGYKERYYAEKFGLSNPDEIEELRKDVVLKYVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKDLADLEITFFLGEPFKPFDQLMGTLPAASSNALPEEYRKLMTDASSPIHKFFPPDFEIDMNGKRFAWQGIAKLPFIDERKLLAQTKKLESTLTEEERVRNSVMLDLLYVCHAHPMAAQIISYCEVAISLPPYRRVCCSIDTNASAGMNGYLWLTERNVWKSTVISPVNGLPDIKHNQVLNITYLNPINHRHVPEPPIGVVMPEKILKPIDIKPFPTLWHEDNGRRQQGRERPQVPRGIAGTLLGEAAHRLVKNTLNIRPNGSSSRYFEQTPYRNISGNPTVNRPRPAGPSGHERGYNEDPNYYYGHYNNQQATSNPGFPPISNGMPANRHNFKTQDRVQYPEQYHDIRTGVSALTVEENVRTRPPVVMLQRMPNTGNNSNMRHQVEQNIGPLPSPPTNWILKAAGDTEMYFRQERGAVEKQMKQVYQVKSRAAQETSNPVAQEIADLNLQ >Manes.14G113400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:14122085:14122597:1 gene:Manes.14G113400.v8.1 transcript:Manes.14G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCELQRTIKKKRISKDKDYDIDLLVSIHDADGLDNPTRYPSIKARIYRVVFWVHPNDAYATDVVAGLPNPAWNIMKHIIKLDQSKNFRFLFVEVLRAGPPGFAPDPGTSTGMTLVGRVRIPLPKVSEQKGGRYGLVRLEGDGYRAEGHISVTLEMIKKEKKKMKEDHYV >Manes.16G058850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:22268962:22269661:1 gene:Manes.16G058850.v8.1 transcript:Manes.16G058850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEWVVLGDFNVVVSMEEQYGYRTYNASGSREFQDWLFDIALVDMGYEGVPFTWSRSDGRDGIKMARLDRGVCTTAWRWRFAKASIVHPPKFHSDHCPIILSLGE >Manes.14G035800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3138996:3147229:-1 gene:Manes.14G035800.v8.1 transcript:Manes.14G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGYLAISAVSTALSFVGLQCWTELSVDKLKSDGLIISENFISLDNANRALELLLDSYATTGMLANFLFNAFILLNLCLKTIFFVELYPAEARKLMERLINYVVYKGTFLPLVIPATIFQVGLWSIWLIVLCSLKMFQALARDRLERLNASPSAMPWTYFRVYSALLLVLSVDFFWIRLCLVIYKTLNSSIFLLLFFEPFSIAFETMQAMLVHGFQLLDIWLYHSTGNSTNCQRLKFFDAVAAGSLSEWKSILIRNLGFSLDMATLLMALGHYMHIWWLHGVALHLVDAVLFLNIRALLSAIIKRVRGYAKLRTALGALHAALPDATSEELRAYDDECAICREPMAKAKKLYCRHLFHLACLRSWLDQGLNELYSCPTCRKPLFIGRPDNEANHHGGDILTDEQLARQLSERLDQQTPPILPAGVFPNQTRASIEGSPWRTAGLDSSWLHAWPGQGVDGAGPSTAVRSVGLGRVQMMMRHLASVGETYAQTALEDAAWTLWPMDPAQAVASGSSVTPTTVGRSGGGSGGLHIRTATRSASDNIVNMLAMVETVREVLPHIPDEVILQDLQRTNSVTVTVNNLLQM >Manes.14G035800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3138996:3147229:-1 gene:Manes.14G035800.v8.1 transcript:Manes.14G035800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIFFVELYPAEARKLMERLINYVVYKGTFLPLVIPATIFQVGLWSIWLIVLCSLKMFQALARDRLERLNASPSAMPWTYFRVYSALLLVLSVDFFWIRLCLVIYKTLNSSIFLLLFFEPFSIAFETMQAMLVHGFQLLDIWLYHSTGNSTNCQRLKFFDAVAAGSLSEWKSILIRNLGFSLDMATLLMALGHYMHIWWLHGVALHLVDAVLFLNIRALLSAIIKRVRGYAKLRTALGALHAALPDATSEELRAYDDECAICREPMAKAKKLYCRHLFHLACLRSWLDQGLNELYSCPTCRKPLFIGRPDNEANHHGGDILTDEQLARQLSERLDQQTPPILPAGVFPNQTRASIEGSPWRTAGLDSSWLHAWPGQGVDGAGPSTAVRSVGLGRVQMMMRHLASVGETYAQTALEDAAWTLWPMDPAQAVASGSSVTPTTVGRSGGGSGGLHIRTATRSASDNIVNMLAMVETVREVLPHIPDEVILQDLQRTNSVTVTVNNLLQM >Manes.04G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34485532:34487209:1 gene:Manes.04G147900.v8.1 transcript:Manes.04G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVQKSGKAASPEKSNFAQTCNRFSQYLKERGSFGDLSLGINGNLEAKAPEASRPPATTLNLLSNIENSAEVSSQKAMPFPNIKPTDYFSQSVGFASTNPIQDSIAKSAGLRKSSRAADPGTAQLTIFYAGQVIAYDDFPADKAKEIMALASKASANTQNGFSTAASTSAIDNIKSTLQLQPQAIGSGLPIVTRVSLHRFFEKRKERVASKAPYQLNNPSSSPPARPRRDEQIDLEDQSWQQLELKL >Manes.02G155501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12142434:12145254:1 gene:Manes.02G155501.v8.1 transcript:Manes.02G155501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPPHIGGTLPILSPSDPTERPVEYFPENSCDPRAAICGATDSSGKWLGGIFDRNSFVETLEGWARTVVTGRAKLGGIPVGIIAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGRAWVVVDSQINSDRIEMYADRTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLITLKAKLQEAQHSGTYGMVESIQQQIKSREKQLLPVYTQIATRFAELHDSSLRMAAKGVIREVVDWGRSRVYFYKRLRRRIAEDSLMKTLKDAAGDNLSHKPAMDLIKKWFLDSDIARGREDAWGDDEAFFAWKDDPRNYEENLQELRVQKVLLQLASIGESLSDLKALPQGLAALLRKVDPSSRGQLINELRKVLN >Manes.02G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9354436:9359196:-1 gene:Manes.02G122900.v8.1 transcript:Manes.02G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQPKSEISFAEVFLCSAFAACFAEFCTIPLDTAKVRLQLQRKASTGDGVLPKYRGLLGTVATIAREEGLAALWKGITAGLHRQFIYGGLRIGLYEPVKTILVGSDFVGDIPLYQKILAAFITGATAIAVANPTDLVKVRLQAEGKSSSGLPRRYAGALDAYFTIVRQEGLGALWTGLGPNVARNAIINAAELASYDQVKQTILQIPGFMDNVFTHLLAGLGAGFFAVCIGSPIDVVKSRMMGDSSYKSTLDCFIKTLKNEGFSAFYKGFLPNFGRLGSWNVIMFLTLEQVKRIFTRVVYYD >Manes.05G006900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1160377:1163979:-1 gene:Manes.05G006900.v8.1 transcript:Manes.05G006900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSVQAVHSPRLVVKGSFGLDVANLRPCVISTATLSLSRKALTSRSHYASSFPITQLFKAPAVWTRRMACVNSMTNDVELQAKVTTKCFFDVDIGGEPAGRIVMGLFGDVVPKTVENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFTEGNGTGGISIYGPSFADESFDLKHVGPGVLSMANAGPNTNGSQFFICTVKTPWLDNRHVVFGHVIDGMDVVRKLESVETSRTDTPRAPCRIIDCGELAVDG >Manes.11G088101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16332062:16332903:-1 gene:Manes.11G088101.v8.1 transcript:Manes.11G088101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWEKEGSLVFKNFISTVGLLDLGFYGPKFTWNNRRFGNANICKHLDRSLASYNWIYLHLHANISHLDDLGSDHRPLLLNLYPSTSKAKRLFKFNSRWTSKSEASVIIYEAWNANEKKEIGKLEKK >Manes.11G088101.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16332432:16332903:-1 gene:Manes.11G088101.v8.1 transcript:Manes.11G088101.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWEKEGSLVFKNFISTVGLLDLGFYGPKFTWNNRRFGNANICKHLDRSLASYNWIYLHLHANISHLDDLGSDHRPLLLNLYPSTSKAKRLFKFNSRWTSKSEASVIIYEAWNANESGLSNSKI >Manes.11G088101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16306798:16334533:-1 gene:Manes.11G088101.v8.1 transcript:Manes.11G088101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWEKEGSLVFKNFISTVGLLDLGFYGPKFTWNNRRFGNANICKHLDRSLASYNWIYLHLHANISHLDDLGSDHRPLLLNLYPSTSKAKRLFKFNSRWTSKSEASVIIYEAWNANESGLSNSKI >Manes.11G088101.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16332487:16332903:-1 gene:Manes.11G088101.v8.1 transcript:Manes.11G088101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWEKEGSLVFKNFISTVGLLDLGFYGPKFTWNNRRFGNANICKHLDRSLASYNWIYLHLHANISHLDDLGSDHRPLLLNLYPSTSKAKRLFKFNSRWTSKSEASVIIYEAWNANGTASSLFNVFSKLKACRHALVS >Manes.07G093500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29073408:29075076:1 gene:Manes.07G093500.v8.1 transcript:Manes.07G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLQLRQDLPRIQVPPIKIQTLGSCSATMEDQKDVIQQENSDECRTPTSQEHKIPTILSCPPAPQKPRRRMFSCKRKLSEFEFFEIVNRQEVESFFRSSFQLVESAKKRCPC >Manes.06G022400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4202748:4217253:-1 gene:Manes.06G022400.v8.1 transcript:Manes.06G022400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMESLPLRLFPKSSSLASGGNCGRSNIFKVGHSPTSVRVSRCRTWNVLERHYFAKSQHHQMKHHLRRIVERSIIYQKSDAHFLVHATSGQPLESEPDAYSPKSTSNSILNALDAFYRFSRPHTVIGTALSILSVSLLAVEKLSDLSPLFFTGVLEAVVAALLMNIYIVGLNQLTDIEIDKVNKPYLPLASGEYSVGIGVMIIASFSMMSFWLGWVVGSWPLFWALFVSFVLGTAYSINLPLLRWKRFAFVAAMCILAVRAVIVQLAFYLHMQTHVYGRPAVFSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIRSFTVRLGQERVFWTCISLLEIAYGVAILVGAASSHTWSKCITVLGHAILASILWNRAKSVDLKSKAAITSCYMFIWKLFYAEYLLIPLVR >Manes.04G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30519354:30522927:1 gene:Manes.04G100600.v8.1 transcript:Manes.04G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFKKPTVPSKSSYNCLYLDPLTDPKHHHSCSEGDLAAVFTDNKENNPIFGSGKENAVPKSSNANGSLPLMPKQFSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSKLWDPVESEKSAASLNIWDYSDSEAAPASSWSTLPNRSLLCRPLPLDIGRCTCVILKEALPQGLNGGTVYALYTNEGQGRQDRKLAIAHHKRHNGKSVFTIAQNLKGLLSTSDDGFVGNVTANFMGSKYHVWDQGGRLGSPSKRNPLLAVITFMSTIVTCTGSYRSMRVYIPKHQSMRLKNTAQMQHINGLPKDWEAKMDKVHKLHSKTPKYNNISKQYELDYRDRGRAGLGIQRSVKNFQLKLEEHGKQTILQLGRVGKSKFVMDFRYPLTGYQAFCICLASIDSKLCCTV >Manes.15G180800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24060641:24069502:-1 gene:Manes.15G180800.v8.1 transcript:Manes.15G180800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLAASPSLLFSCSHTPVNLFQQGFRYKLYFSSLFLPFKSSVFSATTTSNPKQTSIDRERDQNSRARSGNWVCRVDVSLPLSVSFSLCKPIFRLPDMSSHTTSYASPIYANKDIHEGAFFKRRCCFWVPFLCPEPSIGSAFWQRINPLDNNLAAGAAASASNSAVREDPWWKGGWRRMREWSEITAGPKWKMLIRKISRKRARQGYGKFHYDPWDYALNFDDGPGQDGHYDEDLIGRGFSSRYSLPPSCKCSMDFEKEEVVFTWNSREI >Manes.14G107800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9039177:9044460:1 gene:Manes.14G107800.v8.1 transcript:Manes.14G107800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPVLKRSETIAETMPDALRQSRYYMKICFSSFIATGKKLLKRQHIMDEVEKSIQDKVERKRVMEGLLGYILSSTQEAAVVPPYVSFAVRPNPGFWEYVKVHADDLSVDGISASEYLRFKEMIFDESWARDENALEIDFGAMDFSTPRLTLSSSIGNGMDFISKCMSSKLSGSSGNAKPLLDYLLALDYQGEKLMINEKLDTVAKLQVALLGAEDVLSGFPKEAPCQDFQQRLKELGFEKGWGNTAERVKETMIMLSESLQAPDPARLELFFGRLPNMFNIVIFSPHGYFGQADVLGLPDTGGQVVYILDQVRAFEEELLLRIKQQGLNIKPQILVITRLIPEARGTKCNQEVEPIIGTKHSNILRVPFKTEKGILPQWVSRFDVYPYLEKFAQDAADKVLDHMECKPDLIIGNYSDGNLVASLMASRLGITLGTIAHALEKTKYEDSDAKWKQLDPKYHFSCQFTADMIAMNTADFIITSTYQEIAGSKDRTGQYESHGAFTMPGLCRVVSGVNVFDPKFNIAAPGADQSVYFPYTEKRRRLTSFHPAIEELIYSKQDNDEHIGFLADRKKPIIFSMARLDTVKNITGLTEWYGKNKRLRNLVNLVVVAGFFDPSKSKDREEIAEINKMHALIEKYQLKGQIRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHVDPNNGDESSNKIADFFEKCKTDPQYWNKISTTGLQRIYECYTWKIYANKVLNMGSIYGFWSKLNKEQKLAKQRYIGTFYNLQFRNLVKDVPIASVEPRTQPSSSSSAATREPQERAPSATIKPQKSKPTQKAKAKEEAPMEIPKPQTTPRQEETEKKQLVPTQSNRVWISWSWWFLMSTSLFAVWYVLMKLYGLFRQ >Manes.14G042200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3725772:3727974:-1 gene:Manes.14G042200.v8.1 transcript:Manes.14G042200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRVEGQVQSEWYSPRRLRDSPTQFDFPGDRFIPNRSLMNLDQAHSLLTNRIKELPNPNFNEMYRQKLIESLTLDTEGRPFRMLVFRGSPKSSGRWIRLIDKMRNDDAEALRNSNKQYQSPRQLPVKEAKILDAPNIKNDYYVNIIDWGKNNVLAVALGGALYLWNSENKSILKLLEVQGDSDYPTSIAWSEDTRSLAVGYMHSTLQLWDTETAKCVRCLEGHKARVSTLAWNGHTLTSGSRDKSIINHDVRVRYNPTSYMRAHTEEVCGLKWSREGNLLASGGNENNIYIWEASKMNSSNFLHQFKEHRAAVKALAWCPFQFNVLASGGGTKDGCIKIWNTQKGTCIHSIDTNTQAS >Manes.14G042200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3725344:3727973:-1 gene:Manes.14G042200.v8.1 transcript:Manes.14G042200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRVEGQVQSEWYSPRRLRDSPTQFDFPGDRFIPNRSLMNLDQAHSLLTNRIKELPNPNFNEMYRQKLIESLTLDTEGRPFRMLVFRGSPKSSGRWIRLIDKMRNDDAEALRNSNKQYQSPRQLPVKEAKILDAPNIKNDYYVNIIDWGKNNVLAVALGGALYLWNSENKSILKLLEVQGDSDYPTSIAWSEDTRSLAVGYMHSTLQLWDTETAKCVRCLEGHKARVSTLAWNGHTLTSGSRDKSIINHDVRVRYNPTSYMRAHTEEVCGLKWSREGNLLASGGNENNIYIWEASKMNSSNFLHQFKEHRAAVKALAWCPFQFNVLASGGGTKDGCIKIWNTQKGTCIHSIDTNTQISALEWNRHHKEILSGHGYGVGELQNHLCLWKYPSLAKMGEIKGHSSRVLGLSQV >Manes.14G042200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3725344:3727897:-1 gene:Manes.14G042200.v8.1 transcript:Manes.14G042200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRVEGQVQSEWYSPRRLRDSPTQFDFPGDRFIPNRSLMNLDQAHSLLTNRIKELPNPNFNEMYRQKLIESLTLDTEGRPFRMLVFRGSPKSSGRWIRLIDKMRNDDAEALRNSNKQYQSPRQLPVKEAKILDAPNIKNDYYVNIIDWGKNNVLAVALGGALYLWNSENKSILKLLEVQGDSDYPTSIAWSEDTRSLAVGYMHSTLQLWDTETAKCVRCLEGHKARVSTLAWNGHTLTSGSRDKSIINHDVRVRYNPTSYMRAHTEEVCGLKWSREGNLLASGGNENNIYIWEASKMNSSNFLHQFKEHRAAVKALAWCPFQFNVLASGGGTKDGCIKIWNTQKGTCIHSIDTNTQISALEWNRHHKEILSGHGYGVGELQNHLCLWKYPSLAKMGEIKGHSSRVLGLSQLEEMRHFASGRYLDHLVSITLGSRGLAAFCL >Manes.11G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5930006:5930975:1 gene:Manes.11G050900.v8.1 transcript:Manes.11G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPQPNQIQEFPNGKLHYLFRKTLTKTDITQGLALAGNAVQFLRNTNRNPQTQQPILEGFQITLFTPHCNQVRLNHVYDLDIYQISRSEWTEIADRDGLVEGLQLDCWAVMSGQDHMTLLIQVVDAETDEEDHVVRYVNAERNEDD >Manes.07G005350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:739434:740063:1 gene:Manes.07G005350.v8.1 transcript:Manes.07G005350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGSLFTSVRDARRDGEQAFKARPYRLDKMEEDDFNCEGWMSDAQSRPDGEVPEVVNKMKLTSQSMRVGCGSQHGDWEVKIFNCRRKMRFTRLGVLVFTSRLEIRARLS >Manes.09G092852.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27844517:27845982:1 gene:Manes.09G092852.v8.1 transcript:Manes.09G092852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVLAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.07G080433.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24456655:24468278:1 gene:Manes.07G080433.v8.1 transcript:Manes.07G080433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVFTVYLLLLFAFTSKALPNTFDVMKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERSYCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKSLSFQRFSVKASGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIG >Manes.11G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13509831:13518665:1 gene:Manes.11G082500.v8.1 transcript:Manes.11G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALIVNKTPGESYSKGHKRKKLSNDGDGSGSSSKVVDYSDPFAISSFIDKLDSGRYGSVTKDIQALISRRLQLLSPHLKKHPSLSSVLLDGKEVPSEDNSRAQECVVDLEDDSVTNDPPTAPRPVVILDSDDEDDGDSRSIYPFQKVVLPSSAYPFQEVVLPSSAGQLMVDAAIHTHADRENNEKAICLAGETNERNKGVFVGVEEYDYNSQSKTEDDGLGDIWKEMSVALECTKDVAEYPSSDAHTQEDEEYCDHSFVLKDDLGYVCRICGVIERGIETIIEVQFNKKKSTRTHASESQHAKGRDSNEIVGIELCEQDLMVTDISAHPRHMKKMKPHQVEGFNFLRSNLVSDKPGGCILAHAPGSGKTFMIISFMQSFLAKYPQARPLVVLPKGILATWKKEFQTWQVEDFPLYDFYSVKADGRTQQLVVLKKWVEQRSILFLGYKQFSSIICDNINNKVAAACQEILLKRPSILILDEGHTPRNENTDVLQSLAKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLDTSRAIAKRIMSKVHIQGVKKHLKPGEAVFYDLVEHTIQNDEDFRRKVAVIQDLREMTSKVLHYYKGDFLDELPGLVDFTLVLNLSPRQKKEVEKLKKKWAMKFKRSSVGSAIYLHPNLYNVSENSNLNDGKMDEFLEEMNVRDGVKAKFFITMLNLCEAAKEKLLVFSQYLTPLKFLERLAAKVKGWVLGKEMFVISGDSSSDQREWSTEQFNHSIDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKNKVYAYRLIAADSPEEEDHRTCFRKETISKMWFEWNEYCGYQDFEVEAVDLKECGDPFLESPLLGEDIRKLYKR >Manes.11G082500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13509831:13518665:1 gene:Manes.11G082500.v8.1 transcript:Manes.11G082500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAIHTHADRENNEKAICLAGETNERNKGVFVGVEEYDYNSQSKTEDDGLGDIWKEMSVALECTKDVAEYPSSDAHTQEDEEYCDHSFVLKDDLGYVCRICGVIERGIETIIEVQFNKKKSTRTHASESQHAKGRDSNEIVGIELCEQDLMVTDISAHPRHMKKMKPHQVEGFNFLRSNLVSDKPGGCILAHAPGSGKTFMIISFMQSFLAKYPQARPLVVLPKGILATWKKEFQTWQVEDFPLYDFYSVKADGRTQQLVVLKKWVEQRSILFLGYKQFSSIICDNINNKVAAACQEILLKRPSILILDEGHTPRNENTDVLQSLAKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLDTSRAIAKRIMSKVHIQGVKKHLKPGEAVFYDLVEHTIQNDEDFRRKVAVIQDLREMTSKVLHYYKGDFLDELPGLVDFTLVLNLSPRQKKEVEKLKKKWAMKFKRSSVGSAIYLHPNLYNVSENSNLNDGKMDEFLEEMNVRDGVKAKFFITMLNLCEAAKEKLLVFSQYLTPLKFLERLAAKVKGWVLGKEMFVISGDSSSDQREWSTEQFNHSIDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKNKVYAYRLIAADSPEEEDHRTCFRKETISKMWFEWNEYCGYQDFEVEAVDLKECGDPFLESPLLGEDIRKLYKR >Manes.01G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34426473:34435679:-1 gene:Manes.01G159000.v8.1 transcript:Manes.01G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLDLEAQDGVRMSWNVLPGTKQEASNCVVPVSAIYTPIKPFPNTPVLPYAPLRCRTCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDDNLPAELFPQYTTIEYESSGDKSSSSSVFMFVVDTCIIEEEMAFLKSALSQAIGLLPDNSLVGLITFGTLVHVHELGFGQTPKTYVFKGAKDVSKDQLLDQMGFFLKKPKPPTGVIAGARDGLSSESISRFLLPASECEFTINSVLEELQKDPWTVPSDQRATRCTSTALSVAASLLGACVPGSGARIMAFIGGPSTEGPGAIVSKNLSEPIRSHKDLDKDTAPYYHKAVKFYEGLAKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLKHVFQSGDYDLGLSSNGIFEINCSKDIKVQGIIGPCASLEKKGPLCSDNVIGQGNTSAWKMCGLDKATTLCIIFEIVKKDSPDSSVQPTSNQYYFQFLTYYQHSSGQMRLRVTTLSRRWVAGSGSIQDLIAGFDQEAAAVAMARLVSFKMEIEAEFDPIRWLDKSLIHMCSRFGDYQKDNPSSFSLSPRFSIFPQFMFNLRRSQFVQVFNNSPDETAYFRIILNRENVANSVVMIQPSLISYSFHSGPEPALLDVAAIAADRILLLDSYFTVVIFHGATIAQWRKAGYHNQPEHQAFAQLLQAPRDDADVIIKERFPVPRLVICDQHGSQARFLLAKLNPSATYNTDSPLPGGDVLFTDDVSFEVFLDHLQRLAVQ >Manes.16G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3339206:3341733:-1 gene:Manes.16G029300.v8.1 transcript:Manes.16G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVTASTLSSVSSLSIHTKHRLSLSKPIRSFSLYSKSTQFISLSSRSTAITRAQSSDIDTTFFDTVNPEDDIVFDPPSPPEDFVPPPYFDEGPMETEEEIAAAYEELYGPAYSGESYLGSDVYVMDSKVKKTTGFGSKGKKEKIRDGFEERVVQVRRVTKVVKGGKQLHFRAIVVVGDKQGQVGVGVGKAKEVIAAVQKSAVNARRNIVTVPMTKYLTFPHRSEGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLRSKNALNNARATVVAVQKMKQFQDVARERGIPMEELWK >Manes.13G083916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14524919:14525205:-1 gene:Manes.13G083916.v8.1 transcript:Manes.13G083916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRREDLRREDGEWEDGERRLKSPSFCVCRSAGCACITRLHVRNVFGTI >Manes.15G188100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31367302:31377469:-1 gene:Manes.15G188100.v8.1 transcript:Manes.15G188100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMFSQLAAKFAFFPPSPPTYQIKKRDDGTLTVVSSSSSMPLPLADDTSFDVLLIDTKRGNKIVAFYLRNPYARLTLLYSHGNAADLGQLYDLFVQLKINLRVNIMGYDYSGYGASTGKPSESDTYADIEAVYRCLQTEYGVSQEDIILYGQSVGSGPTLHLAAKLPRLRGVVLHSAILSGLRVLCHVKFTFCFDIYKNINKIRKVKCPVLVIHGTEDDVVNWLHGNGLWKLAREPYEPLWIKGGGHCNLELYPDYIRHLCMFIQEMENITTEIRLKKIRHNLRLKPRSNACASNKCCRFKLWRPRCPACFRSRCIKCLCRSKCLECWGLRCVKCCLQPKCPKCWIPSCCCSIECLHAQCCAGTHSGMNGKRDSR >Manes.15G188100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31367302:31376153:-1 gene:Manes.15G188100.v8.1 transcript:Manes.15G188100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILGMELPLPSESDTYADIEAVYRCLQTEYGVSQEDIILYGQSVGSGPTLHLAAKLPRLRGVVLHSAILSGLRVLCHVKFTFCFDIYKNINKIRKVKCPVLVIHGTEDDVVNWLHGNGLWKLAREPYEPLWIKGGGHCNLELYPDYIRHLCMFIQEMENITTEIRLKKIRHNLRLKPRSNACASNKCCRFKLWRPRCPACFRSRCIKCLCRSKCLECWGLRCVKCCLQPKCPKCWIPSCCCSIECLHAQCCAGTHSGMNGKRDSR >Manes.15G188100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31367302:31377469:-1 gene:Manes.15G188100.v8.1 transcript:Manes.15G188100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSLFSTPMEMLLTLASSMTSLFSSRLILELISWDMIILGMELPLPSESDTYADIEAVYRCLQTEYGVSQEDIILYGQSVGSGPTLHLAAKLPRLRGVVLHSAILSGLRVLCHVKFTFCFDIYKNINKIRKVKCPVLVIHGTEDDVVNWLHGNGLWKLAREPYEPLWIKGGGHCNLELYPDYIRHLCMFIQEMENITTEIRLKKIRHNLRLKPRSNACASNKCCRFKLWRPRCPACFRSRCIKCLCRSKCLECWGLRCVKCCLQPKCPKCWIPSCCCSIECLHAQCCAGTHSGMNGKRDSR >Manes.15G188100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31367302:31376153:-1 gene:Manes.15G188100.v8.1 transcript:Manes.15G188100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILGMELPLPSESDTYADIEAVYRCLQTEYGVSQEDIILYGQSVGSGPTLHLAAKLPRLRGVVLHSAILSGLRVLCHVKFTFCFDIYKNINKIRKVKCPVLVIHGTEDDVVNWLHGNGLWKLAREPYEPLWIKGGGHCNLELYPDYIRHLCMFIQEMENITTEIRLKKIRHNLRLKPRSNACASNKCCRFKLWRPRCPACFRSRCIKCLCRSKCLECWGLRCVKCCLQPKCPKCWIPSCCCSIECLHAQCCAGTHSGMNGKRDSR >Manes.12G107900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29666432:29670023:1 gene:Manes.12G107900.v8.1 transcript:Manes.12G107900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVQPGGALAGDGLSSLSSSPATIFSRWTFAVSQRYQHLLDKTVPYILYRWIACLFVALIYALRVYLVQGFHIITYGLGIYMLNLLIGFLSPQIDPEINDGPSLPTRGTDEFRPFVRRLPEFKFWYSVTKAFCIAFLMTFFAVFDVPVFWPILLFYWLLLFILTMRRQIMHMVKYRYVPFSFGKQVQP >Manes.12G107900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29666347:29670023:1 gene:Manes.12G107900.v8.1 transcript:Manes.12G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVQPGGALAGDGLSSLSSSPATIFSRWTFAVSQRYQHLLDKTVPYILYRWIACLFVALIYALRVYLVQGFHIITYGLGIYMLNLLIGFLSPQIDPEINDGPSLPTRGTDEFRPFVRRLPEFKFWYSVTKAFCIAFLMTFFAVFDVPVFWPILLFYWLLLFILTMRRQIMHMVKYRYVPFSFGKQRYDGKKAPSTDSISLPRD >Manes.12G107900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29666433:29670023:1 gene:Manes.12G107900.v8.1 transcript:Manes.12G107900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVQPGGALAGDGLSSLSSSPATIFSRWTFAVSQRYQHLLDKTVPYILYRWIACLFVALIYALRVYLVQGFHIITYGLGIYMLNLLIGFLSPQIDPEINDGPSLPTRGTDEFRPFVRRLPEFKFWYSVTKAFCIAFLMTFFAVFDVPVFWPILLFYWLLLFILTMRRQIMHMVKYRYVPFSFGKQVCANTQCLLTYSTYCFFFYKCE >Manes.03G191700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31033374:31034674:1 gene:Manes.03G191700.v8.1 transcript:Manes.03G191700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAFAFLFPNRINERHTGKNLSSLDKKASEFSALSMQSFSFSSFAEMGCRESKHAVATGNTVTLKKSDAGSSRKIKDIKTVNETTQKEESQNVNKCSGDVAEVKDITDEDKELKEEDGFGFEGQEPGRLISKESPNRFFSSRKHFSPSLVPEKESLFSDIIESNNEETQNGENAIAKEEKKQNTDEEKLAVLSNKDLKKT >Manes.12G047500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4255553:4263379:-1 gene:Manes.12G047500.v8.1 transcript:Manes.12G047500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKLLGICAGRNSFLTPLPRFFDNGTASLSATQNARSVSVHPLPSDNVKQPEEKTVSKVGAGSSNASASSSSKEQQSQPYPGQPVKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAITRSAINSKKHKRRNGAMLQKRSEPALQNGDWDDKPRVNSLSASEEMEPDSSTS >Manes.12G047500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4255553:4263379:-1 gene:Manes.12G047500.v8.1 transcript:Manes.12G047500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKLLGICAGRNSFLTPLPRFFDNGTASLSATQNARSVSVHPLPSDNVKQPEEKTVSKVGAGSSNASASSSSKEQQSQPYPGQPVKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAAHLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAITRSAINSKKHKRRNGAMLQKRSEPALQNGDWDDKPRVNSLSASEEMEPDSSTS >Manes.06G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4028382:4040950:1 gene:Manes.06G021500.v8.1 transcript:Manes.06G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSDRVPVELCKGINGLDKVVLRESRGSSAEVYLYGGHVTSWKNDHGEELLFVSSKAIFKPPKAIRGGIPICFPQFGSHGGLEQHGFARNRFWSIDTDPPPFPTVSSNKAFIDLILKPTEEDLKIWPHSFEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERFTEQGDAITFESEIDKIYLSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKAMVDFGDDEYKHMLCVEAAAVEKSITLKPGEEWRGRLELSAVPSSYCSGQLDPQKVLLSS >Manes.12G078800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9836259:9839210:1 gene:Manes.12G078800.v8.1 transcript:Manes.12G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNLSLPGNVFPARAFKFLRVSSLLGIHSNTCFDSDHFFCSFNPVTFYASLIDNSVHKSHLNQIHAQLLASGLQYSGFLITKLVNCSLNLAGIHYARKLFDFFPEPDVFLWNAIIRCYSRHSFFNDALEMYSRMQMAWVSHDGFTFPLVLKACSALLALDVGRGIHGQVFRCGFEGDVFVQNGLVSFYAKCGQIQQANVVFDRLSNKTIVSWTSLISGYAQNGEPVEALRIFSQMRKMNVNPDWIALVSVLKAYTDIEDLEHGKSIHGCVIKMGLESEIDLLISLTAMYAKCGQVKFARTFFDQVDVPNLILWNAMISGYAKNGYAEEALELFRQMITQNFRPDSITVTSGILACAQMGSLELARWMSDYVSRTEFSKDVFVNSALIDMFAKCGSIHLARIIFDRALDKDVVLWSAMIMGYGLHGHGKESIDLFGAMKQAGVCPNDVTFVGLLTACKNSGLVEEGWELFYHMRDYGIEPRHQHYACVVDLLGRKGYLDQAYSFIRNMPTQPGISVWGALLSACKIHRNVTLGEYAAEQLFSLDPYNTGHYVQLSNLYASARLWDRVAKVRVLMREKGLNKDTGQSVIEINGKLQAFQFGDKSHPRSSEIYEELENLERKLKEAGFSPHKESVLHDLNDEEIEETLCNHSERLAIAYGLISTSPGTTLMITKNLRACVNCHAATKLISKLMNREIVVRDTNRFHHFKDGLCSCGDYW >Manes.17G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25195983:25197308:-1 gene:Manes.17G054400.v8.1 transcript:Manes.17G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILENKLIFVAILLVGIWTSQASSRSLKDATMTEKHEMWMAKYGRVYKDSAEMERRFNIFSENVKFIESFNNAANKPYKLDINEFADLTNKEFRASRNRYKRSSVLKSLTTSSFRYENVTAVPTSIDWRNKGAVTPIKDQGQCGCCWAFSAVAAMEGITKLSTGKLISLSEQELVDCDTNGEDQGCEGGLMDDAFEFIIQNGGLTTEANYPYKGEDGTCNTGKASNHAAKIAGYEDVPANSEEALKKAVANHPVSVAIDASGSAFQFYSNGVFTGDCGTELDHGVTAVGYGTSDDGKKYWLVKNSWGSSWGEDGYIRMERDIDAKEGLCGIAMEPSYPTA >Manes.16G077800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28261569:28267945:-1 gene:Manes.16G077800.v8.1 transcript:Manes.16G077800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAAGVILLLFALCGISAGRRDLVEDVIRLPSEMASFFHPDGVHDAKDEDSTGTKWAILLAGSSGYWNYRHQADICHAYQLLRKGGLREENIVVFMYDDIAYNEENPRPGVIINNPHGEDVYKGVPKDYTGEDVTVNNFFAAILGNKTALTGGSGKVVDSGPNDHIFIYYSDHGGPGVLGMPTNPYLYADDLIDVLKKKHASGSYKSLVFYLEACESGSIFEGLLPEGLNIYATTASNAVESSWGTYCPGEYPSPPPEYDTCLGDLYSVAWMEDSDMHNLRTETLHQQYQLVKRRTASDNSVYGSHVMQYGDIGLSKNDLFRYMGTNPANDNYTFVEENTLRLPSKAVNQRDADLIHFWQKYRKAPDGSARKIEAQKQFVEAMSHRMHIDHSIKLVGKLLFGIEKASEVLDTVRPAGQPLVDDWACLRTLVRTFERRCGSLSQYGMKHMRSIANLCNAGIGEEQMAEASGQACLSFPSGPWSSLDKGFAA >Manes.08G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37011906:37015401:1 gene:Manes.08G133000.v8.1 transcript:Manes.08G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESASAIQRSQVDLVDFVDWSGVECLNQNSSHSLPNALKQGYREDDGLNLESDADEQLLIYIPFTQVVKLYSVVVKGPEEGPKTVKLFSNKEHMGFSNVNDFPPSDTAILSPDDLKGKPVVLKYVKFQNVRSLTLFIEDNQSGSDITKVQKIALFGTMVETTDMKGLKKIEDH >Manes.08G133000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37012221:37013620:1 gene:Manes.08G133000.v8.1 transcript:Manes.08G133000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESASAIQRSQVDLVDFVDWSGVECLNQNSSHSLPNALKQGYREDDGLNLESDADEQLLIYIPFTQVVKLYSVVVKGPEEGPKTVKLFSNKEHMGFSNVNDFPPSDTAILSPDDLKGKPVVLKYVKFQNVRSLTLFIEDNQSGSDITKVQKIALFGTM >Manes.11G134300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29931437:29934755:1 gene:Manes.11G134300.v8.1 transcript:Manes.11G134300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVQNEIRKGPWTEQEDILLINFVHLFGDRRWDSIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEEKLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKRTMSPSLSSFNSSSTSNITTQNSSPFPETAEASFYDTGGPESSSSGGQISEAEQEGEKGYSMDDIWKDIENSIEPAYDGFSEEGCNFSSFSIASPSWEYCSDTLWRMDEEESKMFLPYECGTVFLTG >Manes.11G134300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29933664:29934442:1 gene:Manes.11G134300.v8.1 transcript:Manes.11G134300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEEKLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKRTMSPSLSSFNSSSTSNITTQNSSPFPETAEASFYDTGGPESSSSGGQISEAEQEGEKGYSMDDIWKDIENSIEPAYDGFSEEGCNFSSFSIASPSWEYCSDTLWRMDEEESKMFLPYECGTVFLTG >Manes.11G131901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29644524:29651188:1 gene:Manes.11G131901.v8.1 transcript:Manes.11G131901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIISPRVKFSLHVIPPITQLDSSIDSRAESLLYRFTDAAAAVDAASVGAASSSIDAALYKNGGWFGFISESMEFVLKVLKNGFSAVHVPYAYGFAIVFLAVIVKIATLPLTKQQVESTLAMQNLTKDKSHSTKICRQSGYFPTLVTITVWIGLYQALSNVANEGFFGFLFLGGPTTIAARQSGSGISWLFPFVCEEVLEEAYVSSASKQVPNISRLKRSKQSKRKHAV >Manes.01G029300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5896759:5902402:-1 gene:Manes.01G029300.v8.1 transcript:Manes.01G029300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAHNPDPGGNFATDSNWNLGSDSDTVNYLFTSDRESSILGEFGWNLHSARTSSESDRFGGLDRIDSENVGFASESSRFQGSVSCGGEACTVGSGSGGGAGVGDVSTSNPSVSSSSSEDPPEKATSSGGKLAEIPSKARKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGIIGHESTFVNHLTSPVSQFYYPGILLSREGPLNITQSQQVPVQTVESSTLREPTPQIPVDEGLLGDIVPPGMRNR >Manes.01G029300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5896548:5902499:-1 gene:Manes.01G029300.v8.1 transcript:Manes.01G029300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAHNPDPGGNFATDSNWNLGSDSDTVNYLFTSDRESSILGEFGWNLHSARTSSESDRFGGLDRIDSENVGFASESSRFQGSVSCGGEACTVGSGSGGGAGVGDVSTSNPSVSSSSSEDPPEKATSSGGKLAEIPSKARKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGIIGHESTFVNHLTSPVSQFYYPGILLSREGPLNITQSQQVPVQTVESSTLREPTPQIPVDEGLLGDIVPPGMRNR >Manes.05G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3130418:3132139:-1 gene:Manes.05G037700.v8.1 transcript:Manes.05G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTRRYAVVTGANKGLGWGIVKLLASNGIMVVLTARDENRGLEAVEKLKGFGLSNHVVFHQLDVTDPASIASLAEFIKTRFGKLDILVNNAGVGGTTIDSKSLETQNVSSATEEDILKVWSKALIQNYELAEECLNINYYGAKSTAEALISLLQLSDSPRIVNVSSTMGTLKFIPNEWAKGLLSNADELSEERIHEVLSTFLRDFKEDSLETKGWPTFLSAYTVSKAALNAHTRILAKNYPNFSINCVCPGYVKTDMTCNRGMFSIEEGAAYPVRLALLPHGGPSGLFLLLDQPHPF >Manes.03G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1780479:1782440:-1 gene:Manes.03G021000.v8.1 transcript:Manes.03G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATEVESQEPSRPENVLEPRKPRLNKYALACALLASTTSILLGYDIGVMSGAVIFIRENLKIKSTQVEILVGILNVCSLIGSLASGKTSDYLGRRYTIVLAAATFLIGALLMGLAPSFPFLMAGRIIAGIGVGYSLMIAPVYTAEVSPAMTRGLLTSLPEVFIVFGILLGYIINYALSGLPQHINWRLMLGIAAIPSLVIGGGVMAMPESPRWLVMKGRLDEAKSVLIKVSDSAEEAEFRLVEIKKAASFGSGHGDSSTTRWHGQGVWKELLLRPSPAVRRMLIAAIGINFFMQASGNDAVIYYCPEVFRAAGIHSKKLLFGVNVIMGFAKTFFVLVSALYLDRFGRRPLLLLGSSGMAVSLVVLGLGSKFLEHSNSKPVWAIVICIVAVCGFVSFFSIGLGPITWVYSSEIFPLRLRAQGSGLAISVNRLVSGVLSMTFLSFAEKITFGGVFFVLAGIMVVGTVFFYVFMPETKGKTLEEIEALFE >Manes.16G107300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31273813:31277908:1 gene:Manes.16G107300.v8.1 transcript:Manes.16G107300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEYYHSLPPISKAYGTMCLLFTAAYQLGIFDVFHIALVYKLVFSHFQVWRLFTNFFFLGKFSINFGIRLLMIARYGVQLEKGPFERHTADFLWMMLFGAFCMLVLAAIPIFWAPFLGISLVFMLVYVWSREFPNAQINIYGLVTLKAFYLPWAMLGLDVIFGSPLMPDLMGIIAGHLYYFLTVLHPLATGKTLLKTPRLVYP >Manes.16G107300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31273813:31277907:1 gene:Manes.16G107300.v8.1 transcript:Manes.16G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEYYHSLPPISKAYGTMCLLFTAAYQLGIFDVFHIALVYKLVFSHFQVWRLFTNFFFLGKFSINFGIRLLMIARYGVQLEKGPFERHTADFLWMMLFGAFCMLVLAAIPIFWAPFLGISLVFMLVYVWSREFPNAQINIYGLVTLKAFYLPWAMLGLDVIFGSPLMPDLMGIIAGHLYYFLTVLHPLATGKTLLKTPRLVHKLVARWRIGAPAPGAPTNNRVEPERNTGVAFRGRSYRLSD >Manes.16G107300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31273813:31277907:1 gene:Manes.16G107300.v8.1 transcript:Manes.16G107300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEYYHSLPPISKAYGTMCLLFTAAYQLGIFDVFHIALVYKLVFSHFQVWRLFTNFFFLGKFSINFGIRLLMIARYGVQLEKGPFERHTADFLWMMLFGAFCMLVLAAIPIFWAPFLGISLVFMLVYVWSREFPNAQINIYGLVTLKAFYLPWAMLGLDVIFGSPLMPDLMGIIAGHLYYFLTVLHPLATGKTLLKTPRLVYP >Manes.08G082100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28284782:28285874:1 gene:Manes.08G082100.v8.1 transcript:Manes.08G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSSLLMLLLLLAISLATKPRITDARTLSFLAKQNHSEILTNLGVVCKCCDGGQGECTATWKKGSCHKLQCLPWKIG >Manes.12G017400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1594890:1599520:1 gene:Manes.12G017400.v8.1 transcript:Manes.12G017400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPACLRRSFSHPSNASREAKEGDPLRALTESISFGRFMSESLAWEKWSIFSHNRYLEEVEQFSKPGSVAQKKAYFEAHYKKRAAMKAAALLEQANAAVTNAPQVEIAANSIPEVGTSEENHCDSPVDSVLAEATSDAVINTQHEKDVLDPPHSADANAFCPGGEKENLQNEMVERAEEVIEEKAEVENTIQVENSKQLDNSEDHDKIEATPEKKIPNKEPAEKLNLALPNNKRQINSLSKSSQSRASKIPKSSSKQASSTRMQGGTNVNANGYESVGDLIDKRRSTPKSVHMSINLAPRFGETNKSSVRMLKESSTTTQNPTRASVYGISKLFPSVNRQSDDRRSRSLFNKSVSGGKIAGGILQALSGDRLKSSSASGGSKARSPIISSPFSFRSEERAAKRKEFFQKLEEKNNAKEMEKTQSQVKSKIPPARPQSPKLGRKPCSNVVQKTNIQLHRRPSVNGESSKHIIPKGNQSTTRSVTMLRKKKEHENVSPNIQC >Manes.10G016100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1693382:1696591:1 gene:Manes.10G016100.v8.1 transcript:Manes.10G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPSKADKKIAYDAKLCQFLDEYSQILIVAADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSIRVHADKTGNKAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLAKLGIRPFSYGLIVLSVYDNGSVFSPEVLDLTEDDLVEKFATGVSMVTALALAISYPTLAAAPHMFINAYKNVLAVAVATDYTFPQAEKVKEYLKDPSKFAVAVAPVAAAAAAAPAAAAKEEEKKEEPAEESDDDMGFSLFD >Manes.03G013900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1226567:1227433:-1 gene:Manes.03G013900.v8.1 transcript:Manes.03G013900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEPRRIHARMHVRTVLPQDFCVHSKPQQFVWRRNEIASSPTSTHSLSFIIHSVFSIILTKSPFSNSPAMATAIMASSKPLISTTSTTTTRPKLHIPQISLPIKLPKINKTQLHSLSSSTLKSLSLIAATSFTFAPPSLAEEIEKAALFDFDLTLPIMMVQFLILMVTLDKLYFSPLGKFMDERDAAIKEKLGSVKDTSAEVKQLEEQAAAVMRAARAEISAALNKMKKETQAELEEKLAAEKKKIEAELQEALGNLEKQKEETIKSLDSQIAALSDEIVKKVLPAQ >Manes.09G011808.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2678631:2680921:1 gene:Manes.09G011808.v8.1 transcript:Manes.09G011808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFGLEPTTVTFTTLINGLCMESKIDNAVEFFDDMVARGYQPDVYTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVMTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDHFKEALALLKEMVGRNISPIVFTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPSVVTYNSLMYGYCLGSQIDKARKLFDLMVTNEIADIFSYNILINGYCKYKMIDDAKQIFDEMSHKGLIPDAVTYHTLIKGMFQAGRPQNAKELFKDMCSYGKQSNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKASKINDAKELFSSLFENGLQPNVYIYSAIMKGLCREGLIDEAYKIFRDMEKGGCLQNNCCYNIIIQGFLRHEDLPKGTNQRNG >Manes.03G141400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26957017:26969185:1 gene:Manes.03G141400.v8.1 transcript:Manes.03G141400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTHFINGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSITHMALVALEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMEACPSCGAEYLRDFEVETIGLKETSRRCTDVKCGAKLRDTVLDWEDALPPKEMLPAEKHCRMADLLLCLGTSLQITPACNLPLKCLRGGGKIVIVNLQKTPKDKNACLVIHGFVDKVIAGVMDFLSMQIPPYVRIDLLQIIITRSLSADKKFVNWTLRVASVHALKAPLPFIKSIEVSFSDEHKYKAAVLHEQPFNLKRRTVTTEAFEILLKLNFSDGCGCLCTQINIPFGFKGLNDCSKHDKDSAIQDLREKAIQDSCCGQNVVIERKTISVPKTEFTVHAVVTNIKSFESDSLNNDVKIAKGSMNGSETSRKRSKGRKRKSRF >Manes.03G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26957017:26969185:1 gene:Manes.03G141400.v8.1 transcript:Manes.03G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSFIEDVGKVGMTEFFDSSYVLQEKIERLAKMIQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSITHMALVALEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMEACPSCGAEYLRDFEVETIGLKETSRRCTDVKCGAKLRDTVLDWEDALPPKEMLPAEKHCRMADLLLCLGTSLQITPACNLPLKCLRGGGKIVIVNLQKTPKDKNACLVIHGFVDKVIAGVMDFLSMQIPPYVRIDLLQIIITRSLSADKKFVNWTLRVASVHALKAPLPFIKSIEVSFSDEHKYKAAVLHEQPFNLKRRTVTTEAFEILLKLNFSDGCGCLCTQINIPFGFKGLNDCSKHDKDSAIQDLREKAIQDSCCGQNVVIERKTISVPKTEFTVHAVVTNIKSFESDSLNNDVKIAKGSMNGSETSRKRSKGRKRKSRF >Manes.09G107400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30898325:30900902:1 gene:Manes.09G107400.v8.1 transcript:Manes.09G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALSPSASMKPPRGKLPLSVITVICCSAFLALLFANTSRSFFKLNSCPRRLVAKKSKDKAAVDNLKSFEMDDRFEFDPEECSVNTGKWVFNKSLKPFYTDSSCPYLDRQVSCVKNGRRDSDYQRWQWLPDDCTLPRFNPEVALKKLRGKRLMFVGDSLQRGQWQSFVCMVEWIIPEDKKSMKRGLFLSVFRAKEYDATIEFYWAPYLIESNTDQPIIGDPKKRILKVDSIEKHSKNWGGVDILVFNTYVWWMSGLTLKTLWGSFGNGAEGYEELDTPVAYKIGLKTWANWVDSNINPNKTRVFFTTMSPTHTRNEDWNNTKGIKCFNETKPVMKKRYWGSGSDKRMMSVVASIVGKMKAPVTILNITQLSEYRIEAHTSVYTENGGKVLTEKEKADPLRHADCIHWCLPGVPDTWNQIFLAYL >Manes.09G107400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30898801:30900902:1 gene:Manes.09G107400.v8.1 transcript:Manes.09G107400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKLKRFLCFYCECHCPIEDKAAVDNLKSFEMDDRFEFDPEECSVNTGKWVFNKSLKPFYTDSSCPYLDRQVSCVKNGRRDSDYQRWQWLPDDCTLPRFNPEVALKKLRGKRLMFVGDSLQRGQWQSFVCMVEWIIPEDKKSMKRGLFLSVFRAKEYDATIEFYWAPYLIESNTDQPIIGDPKKRILKVDSIEKHSKNWGGVDILVFNTYVWWMSGLTLKTLWGSFGNGAEGYEELDTPVAYKIGLKTWANWVDSNINPNKTRVFFTTMSPTHTRNEDWNNTKGIKCFNETKPVMKKRYWGSGSDKRMMSVVASIVGKMKAPVTILNITQLSEYRIEAHTSVYTENGGKVLTEKEKADPLRHADCIHWCLPGVPDTWNQIFLAYL >Manes.16G075200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:27828316:27829538:1 gene:Manes.16G075200.v8.1 transcript:Manes.16G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVASSSPDHRPQFHFRPTQPLADRIFRALHHHLRLLHRSESNFFILGATGNVYTVTLTTTPSCTCPDRRTPCKHILFVLIRVLGVSLDDACLRRKTLRPCRLSRLLSKPSLCDSLASASVRQRFHQLFFQGRQGGLRPHVEIEEGTTCPICLDEMEKEERVVACGTCKNVVHENCLIKWRRSRGRRGGSCVICRARWRDLSDQERYLNLAAYVSEDDTAQSGSLCAG >Manes.03G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18864888:18867908:-1 gene:Manes.03G084600.v8.1 transcript:Manes.03G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESVSLSRCMFAVNRNLLHKRRKHPPFTTPIRRSSSFLISRFPVIKNYKQYNFQDFQDYAKPSRLLEAAEPKVCTETLQGKDFTSFSVVRSESLFKVKLQTSNAYGSSMSDPNAGILLCLIDTNGDSILQRFPVIIKSDSAEPMDNECSILHFQQGSVDEFTFKGPKLERIEALWISIESGQWRLDDVSLMVISACEPSLEQGDGKNIQFTGFYYEFEVDDVLLGDSSDISVIELRPSSISELSGIDLFNLLSERPSNSASVSGGRISNEETMREYSDLKLSLLSYDAALIFGGSVIANFSIGGNEAFAFFLGGTVGFLYLLLLQRSVDGIPASPPVSTSMGVIDKVFRWFKGPVSGLGLALALGFTFLAVKYSSGDLPMALTPKELLLGMMGFLACKVAVVLAAFKPMSMELKDNE >Manes.08G043000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4210350:4212730:1 gene:Manes.08G043000.v8.1 transcript:Manes.08G043000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDLNRITDDFGTKALIGEGSYGRVFYAKLDDGVEAAIKKLDTSTSQEPDSDFAAQLSVVSRLKHDHFVEMMGYCLEQNNRILVYQFATMGSLHDVLHGRKGVQGAEPGPALNWNQRVKIAFGAAKGLEYLHEKVQPPIVHRDVRSSNVLLFDDFMSKMADFNLSTGSSDSAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGP >Manes.07G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:826928:832900:1 gene:Manes.07G006500.v8.1 transcript:Manes.07G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGSLKSAIYDREERKQQYQAHIRGLNAYDRHKKFLNDYVSFYGKERSSNVKLPVKTDRDTLREGYRFIRTEEDDMDTSWEQRLVKRYYDKLFKEYCIADMSHYKSGKIGLRWRTEKEVISGKGQFICGNKHCDEKNGLASYEVNFSYFEAGENKQALVKLVTCERCAEKLNYKNRKEKEQLEKREREEHKRKRERTRIHDDDSDSEGSKEKRKRGKKASISGDNDKASDDENFDEFLEGMFP >Manes.18G025666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2308278:2309249:-1 gene:Manes.18G025666.v8.1 transcript:Manes.18G025666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSWTPKQNKLFENALAIYDKDTPDRWHNLARAVGGKTVEEVKRHYELLVEDVRQIEAGQVPLPNYRKTGETYNNYADEERRLKGLKL >Manes.14G014300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1175747:1179615:1 gene:Manes.14G014300.v8.1 transcript:Manes.14G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPQAVDFALKETSPNIGAGAVATDKLACTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEIKLGNYKGMTKHFEKKTNPLWDEVFAFSKERIQASVLEVSVKDKDVVLDDLIGRVLFELNDIPRRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPYAWHSDAATVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPGDKNRFPEAFVKVTLGNQALRTRISQSRSIHPMWNEDLVFVAADPFEEPLILTVEDRVGPNKDEILGKCMISLQFVQRRLDHKPVNTRWYNLEKHVVGDGEQKKEIKFASRIHLRASLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAVGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDSLTPKWNEQYTWEVFDPCTVISIGVFDNGHVLGGGGGGKDSRIGKVRIRLSTLESDRVYTHSYPLIVLQQSGVKKTGEVQLAVRFTCSSLINMLHLYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSLRLSRAEPPLRKEVVAYMLDVDSHMWSMRRSKANFFRIMGVLRGLIAVGKWFDQICNWKNTLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNFRRRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSKPSDIVRMRYDRLRSIGGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVIFCLIAAVVLYVTPFQVVALLIGIYVLRHPRFRHKLPSAPLNFFRRLPARSDTMI >Manes.02G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11322188:11322840:1 gene:Manes.02G147100.v8.1 transcript:Manes.02G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPKGAIASAHPSPECCMCGDCGLSYELFQCKICQFRSQHRYCSNLYPKAESYQVCNWCLSHETKEKSQTSSNSSSSNKNTSEDDSIKNTQDKNKAGLKSQRGSLQLQINSPIKKQRSPERSPVTRRRLISNAKLEEKLIRRTKSEEISNNIGITKQVFRNKVRRYKLLDEVSS >Manes.12G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:712739:715205:1 gene:Manes.12G006700.v8.1 transcript:Manes.12G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDTHHPLAFTFGILGNIISVMVYLAPMPTFLRIYRKKSTEGFQSLPYVVALFSSMLWLHYAMLKGDAFLLITINTFGCVIETIYIILYITYATKENRISACRLLLSLNMGLFSFIVIITHFAVKYSVRAQVLGWICVAVSVSVFAAPLSIVAQVIRTRSVEFMPFNLSFFLTISAIMWLAYGLSTKDLCVALPNVLGFILGILQMLLYAVYRKAKKVVMEEKLQEHLKTIVILNTLGNSEVHPMDVQPTELKEDAKEHEQTQEDNNEKSLETSNEDDLHPNENAV >Manes.12G141000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34718612:34721743:-1 gene:Manes.12G141000.v8.1 transcript:Manes.12G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGDADFKVTVVRKEVVAAVLPLQEHWLPLSNLDLLLPPLDVGVFVCYKLRNQTVSFGSMVGVLKKAMAQILVSYYAFAGQVVANPLGEPELLCNNRGVDFFEAYADIELKELNLYNPDESVEGKLVPKKKDGVLSVQATELKCGGVVVACTFDHRIADAYSTNMFLVSWAEAAQSKPLSIVPSFRRSLLNPRRSAGCLDPFLDELFIPISSFSPPKDQPDDHLVSRIYYVKAHELTRLQSLASSNGYKRTKLESFGAFLWQLIAKCAIKNSTKISKMGIVVDGRCRLSDKTTAGKAIPTATYFGNVLSLPYVSKRVEELVENPMSWVANTVHNCLEKTATKEHFWGLIDWVEAHRPESAVSKIYTSSRDDGPAFVISSGQRFPVSKVDFGWGSPVFGSYHFPWGGTTGYVMPMPSPEENGDWVLYMHLFGEQLEFLETEAAHFFKPLNCDDYIN >Manes.01G148200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33774270:33778320:-1 gene:Manes.01G148200.v8.1 transcript:Manes.01G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDVVNSMKEAAGKDLLNVSRDNHVYKKLLNDLIVQSLLRLKEPSVLLRCRKEDLQLVESVLASAKQEYAEKVKVHAPEVIIDKNVYLPPAPSHHNAHGPYCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRKRLFGQVAA >Manes.01G085100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28739037:28739819:-1 gene:Manes.01G085100.v8.1 transcript:Manes.01G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVASALELIKQHLFDDLFDDPFSPVRSSSSLATSPTNLELSSSRCCSDCTQTSTSHSAISFSDCLRDDCIGVHFFEFEAKPQIINFTTPNYSDSTTSFGILSSNDFLNFESKSQTSPLNSSFQFQASRKPSSNVSLPSKTDWIQFDKPNHKTVHGYSSVAAVEVKRHYRGVRQRPWGKYAAEIRDPNRKGTRVWLGSFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGKLNTRAHEVNDTDVTDDASERKRTKTN >Manes.17G102333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31023507:31026826:-1 gene:Manes.17G102333.v8.1 transcript:Manes.17G102333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDPYPTQPHQPRIALLAYCESSSCLRQRRNRSEKNGFSNYNSQSYTQ >Manes.07G111300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31676045:31691615:-1 gene:Manes.07G111300.v8.1 transcript:Manes.07G111300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWQIALITLATGPFIVAAGGVSNIFLHRLAESIQDAYAEAASVAEQAISYIRTLCAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHKKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLYEMISRSSSTVNQDGNTLVSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSLIGLVTQEPALLSLSIKENIAYGRDATFDQIEEAAKIAHAHTFISSLERGYETQVGTTGLSLTEEQKIKISVARAVLLNPTVLLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLKCEEAAKLPRRMPAKNYTETAAFQIEKDSSASHSVQEPASPKLMKSPSLQRVLGVQHLDGTFNSQESPKALSPPPEKMMENGLPLDGADKEPSIKRQDSFEMRLPELPKIDVQSANQQTSNGSDPESPISPLLTSDPKNERSHSQTFSRPQSHSGDIPTEFKDAKDKKHRQAPSFWRLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPEHPHLQQDVNKWCLIIACMGVVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSTAVVVAVIIGMLLQWRLALVALATLPILMVSAIAQKLWLAGFSRGIQEMHRKAALVLEDAVRNIYTVVAFCAGNKVMELYRLQLRKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAYSVKNQYMDLPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLLSVFEIIDRVPKIDPDDNSALKPPNVYGSIELKNVDFCYPNRPEVLVLSNFSLKVNSGQTVAVVGVSGSGKSTIISLIERFYDPAAGQVLLDGRDLRTYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLMAKNGLYVRLMQPHFAKGLRQHRLV >Manes.07G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31676045:31691615:-1 gene:Manes.07G111300.v8.1 transcript:Manes.07G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTSAEAAAAAAAAAQAEAEEEMEEPEEMEPPPAAVPFSRLFAYADRLDWGLMIVGSLAAAAHGTALVVYLHYFAKIVEVMRIGHDRPEEQFERFKDLSLTIIYIAGGVFAAGWIEVSCWILTGERQTAVIRSNYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWQIALITLATGPFIVAAGGVSNIFLHRLAESIQDAYAEAASVAEQAISYIRTLCAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHKKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLYEMISRSSSTVNQDGNTLVSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSLIGLVTQEPALLSLSIKENIAYGRDATFDQIEEAAKIAHAHTFISSLERGYETQVGTTGLSLTEEQKIKISVARAVLLNPTVLLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLKCEEAAKLPRRMPAKNYTETAAFQIEKDSSASHSVQEPASPKLMKSPSLQRVLGVQHLDGTFNSQESPKALSPPPEKMMENGLPLDGADKEPSIKRQDSFEMRLPELPKIDVQSANQQTSNGSDPESPISPLLTSDPKNERSHSQTFSRPQSHSGDIPTEFKDAKDKKHRQAPSFWRLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPEHPHLQQDVNKWCLIIACMGVVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSTAVVVAVIIGMLLQWRLALVALATLPILMVSAIAQKLWLAGFSRGIQEMHRKAALVLEDAVRNIYTVVAFCAGNKVMELYRLQLRKIFKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAYSVKNQYMDLPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLLSVFEIIDRVPKIDPDDNSALKPPNVYGSIELKNVDFCYPNRPEVLVLSNFSLKVNSGQTVAVVGVSGSGKSTIISLIERFYDPAAGQVLLDGRDLRTYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDSLMAKNGLYVRLMQPHFAKGLRQHRLV >Manes.10G045102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4852492:4855924:-1 gene:Manes.10G045102.v8.1 transcript:Manes.10G045102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALTSACIYIYIYIVYAWLPVCHLQSPPENRWPPWMAYEEPCIFSYVSYPWCICRIFAFFSLLLSCKEYGNIIEAKDKILSSLVSKPSERYESCSYVATLVLTSYRSKEKGTIAACPVVYNSQALSGQLRVEAMAHKHTSSQGLKKERKKERKGNGFWGK >Manes.06G087000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22351298:22357072:1 gene:Manes.06G087000.v8.1 transcript:Manes.06G087000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKLLNMQRLVLADNHIEQLPVNLGKLQSLKVMILDGNQITSLPDELGQLVRLERLSISGNMLTSLPDTIGSLRNLSLLNVSNNKLKTLPESIGSCFSLEELQANDNLIEELPGSVCNLVHLKSLSLNNNNVSQIPSNLLKDCKALQNLSLHENPISMDQFQQMEGFLDFEARRKRKFDKQIDSNVMISSKGLDEGVDL >Manes.06G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22351298:22357071:1 gene:Manes.06G087000.v8.1 transcript:Manes.06G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCPSQDADSKASRTTRWRSTGIVALRDAKLKTFPNEVLDLDKSVRTLDLTHNKLVDIPMEISKLLNMQRLVLADNHIEQLPVNLGKLQSLKVMILDGNQITSLPDELGQLVRLERLSISGNMLTSLPDTIGSLRNLSLLNVSNNKLKTLPESIGSCFSLEELQANDNLIEELPGSVCNLVHLKSLSLNNNNVSQIPSNLLKDCKALQNLSLHENPISMDQFQQMEGFLDFEARRKRKFDKQIDSNVMISSKGLDEGVDL >Manes.02G032000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2613003:2615327:1 gene:Manes.02G032000.v8.1 transcript:Manes.02G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNEGTSFPPSEPILCANGCGFFGTAANMNLCSKCYRDVRVKEDQAASAKAAMEKTLSMKSKKVDLIADTRDVAAAPNLSDHVAVNVSSSSLSEPPVAGGDQAHPKAANRCFSCNKKVGLTAFKCKCGSTFCGSHRYPENHDCSFDFKGAGRNAIAKANPVVKADKVERI >Manes.01G181400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35966097:35970662:-1 gene:Manes.01G181400.v8.1 transcript:Manes.01G181400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAHCDHLLHTPICLSPPTTPHRQNLRIGFSISQAQHNRNRRTRRLVHFSCGAIRAVDGPQLSPWDEMPYEILPSGKKAYLDEQDVVTFLDPPKELIPLDSGSYNPAAYLWKKIEDIPEERHHRLLTLLNPRLISRAWQIAGTRYEDPKLAKKCASNLLCSEEKEPSVEFYSCQSSGGQWPIAWMKSFKKAVFYCDDGKTYGRLIGGSLLAQITNTCSPLYFMVVPHGEVMSTEQPCDLAYELGDGHLNLQSYPQGFPRPAKHPYPFNDQVVIYVRHVGPGVSVGQAWQEGKELQQVPRKLCGEILMVKDCAASAVVR >Manes.01G181400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35966097:35970662:-1 gene:Manes.01G181400.v8.1 transcript:Manes.01G181400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAHCDHLLHTPICLSPPTTPHRQNLRIGFSISQAAQHNRNRRTRRLVHFSCGAIRAVDGPQLSPWDEMPYEILPSGKKAYLDEQDVVTFLDPPKELIPLDSGSYNPAAYLWKKIEDIPEERHHRLLTLLNPRLISRAWQIAGTRYEDPKLAKKCASNLLCSEEKEPSVEFYSCQSSGGQWPIAWMKSFKKAVFYCDDGKTYGRLIGGSLLAQITNTCSPLYFMVVPHGEVMSTEQPCDLAYELGDGHLNLQSYPQGFPRPAKHPYPFNDQVVIYVRHVGPGVSVGQAWQEGKELQQVPRKLCGEILMVKDCAASAVVR >Manes.05G155266.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26714727:26715574:-1 gene:Manes.05G155266.v8.1 transcript:Manes.05G155266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGNSSGSSQLHNSGSEEDIQMLMDQRKRKRMQSNRESARRSRQKKQQHLDKLMSQVTELRKDNNQILTSINITTQHYLNIEAENSILRAQRMELSQRLESLNEILNYINTNNIGIFENEDLQTSSAAETFMNPLNLMYLNQPIMASPEFFQY >Manes.06G000300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:204958:206364:-1 gene:Manes.06G000300.v8.1 transcript:Manes.06G000300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHEDEYCNTGLGLKLGMTHYVPKGPKRRNNDKSRVCLDLSFTLCPKEQEGKGKDEDEEEEEEKSISIRGSSFKSVHHQDDEEHANDKGNDFISINKNSSRKKLKLTKEQSTLLEDSFKLHTTLNPIQKLELAEQLNLTARQVEVWFQNRRARIKLKQTEVDCEFLKRCCESLRDENTRLKKELQQLRSLELTRGSPLCLHLPMCPSCEKAAAFGRGPHRQQ >Manes.07G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32209248:32212165:-1 gene:Manes.07G116400.v8.1 transcript:Manes.07G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDRNLSNLTPGCSSLDEEELPINSNNLSSSKRLNIASGSNSVRSPETPYGFSLLIFLKILSFRWLQLLPGRRRLWRPELPPPPESSIESSSFQSLLELPPSAQSSDVYPSNNLAPGTDCSSGEDTHFNVESPSTETNFYSCNSNNSDRRSKKHKVLDWVFAIANFILELTLIVFDQISSQHSSLFLPICAGMSFLSVLICICELFYNVRKEGGVWRWNTIPWFYYPSRSSLPVGSFADIVGLVCAFGQFILATVSYCLFLKTGKNPIKISISAAILVFCQLCSKFFKELIIKQDVHESYEQDL >Manes.02G122500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9312619:9322194:1 gene:Manes.02G122500.v8.1 transcript:Manes.02G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEATNGTSATVSAVFSKIPAAANPLAEDPLKIASNISYHAQYSPHFSPSKFEPEQAFYATAESVRDRLIQQWNETYLHYHKVDPKQTYYLSMEYLQGRALTNAIGNLDIQGAYADALNNLGHKLEEIIEQEKDAALGNGGLGRLASCFLDSMATLDLPAWGYGLRYRYGLFKQRITKEGQEEIAEDWLEKFSPWEVVRHDVVFPVRFFGHVQVNPDGSRKWVGGEIVQALAYDVPIPGYKTKNTINLRLWEARASAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDIIMRFKERRTGKGSWKWSEFPSKVAVQLNDTHPTLAIPELMRILMDDEGLGWDEAWDVITRTIAYTNHTVLPEALEKWSQTVMRKLLPRHMEIIEEIDKRFIAMIRGSRTDLEGKLPSMCILDNNPQKPVVRMANLCVVSSHTVNGVAQLHSDILKSELFADYVSIWPKKFQNKTNGITPRRWLRFCSPELSNIITKWLKTDQWITNLDLLAGLQKFADNAEFQTAWGSAKMANKQRLAQYILRVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGAVYRYKKLKEMSAEERKNTTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNSDPEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGATADQVPRLRKERENGLFKPDHRFEEAKQFIRSGVFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPSYLDAQKRVDEAYKDSKRWLKMSILSAAGSGKFSSDRTIAQYAKEIWNIEGCRVP >Manes.02G122500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9312622:9322157:1 gene:Manes.02G122500.v8.1 transcript:Manes.02G122500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEATNGTSATVSAVFSKIPAAANPLAEDPLKIASNISYHAQYSPHFSPSKFEPEQAFYATAESVRDRLIQQWNETYLHYHKVDPKQTYYLSMEYLQGRALTNAIGNLDIQGAYADALNNLGHKLEEIIEQEKDAALGNGGLGRLASCFLDSMATLDLPAWGYGLRYRYGLFKQRITKEGQEEIAEDWLEKFSPWEVVRHDVVFPVRFFGHVQVNPDGSRKWVGGEIVQALAYDVPIPGYKTKNTINLRLWEARASAEDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDIIMRFKERRTGKGSWKWSEFPSKVAVQLNDTHPTLAIPELMRILMDDEGLGWDEAWDVITRTIAYTNHTVLPEALEKWSQTVMRKLLPRHMEIIEEIDKRFIAMIRGSRTDLEGKLPSMCILDNNPQKPVVRMANLCVVSSHTVNGVAQLHSDILKSELFADYVSIWPKKFQNKTNGITPRRWLRFCSPELSNIITKWLKTDQWITNLDLLAGLQKFADNAEFQTAWGSAKMANKQRLAQYILRVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGAVYRYKKLKEMSAEERKNTTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNSDPEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGATADQVPRLRKERENGLFKPDHRFEEAKQFIRSGVFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPSYLDAQKRVDEAYKDSKRWLKMSILSAAGSGKFSSDRTIAQYAKEIWNIEGCRVP >Manes.05G178101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29498319:29498717:-1 gene:Manes.05G178101.v8.1 transcript:Manes.05G178101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVSLFLLLLLISSFLISEARPLNVAESHAFGDKETEVLFDGFYIKSGGPSSGGKGHQFPSAQSLGGIKNSGPSTPGQGHFYTTGSSRTLGGIKHSGPSTPGQGHYYASSAPRTLGGIKHSGPSPGQGN >Manes.10G048500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5320013:5321209:-1 gene:Manes.10G048500.v8.1 transcript:Manes.10G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLVLFFLSVILTLLLGSDAGGIAIYWGQNGNEGTLAETCATGNYQFVNLAFLPTFGNGQTPMLNLAGHCDPYSNGCTGLSSDIKSCQAKDIKVMLSIGGGAGSYYLASTEDARQVATYLWNNFLGGHSSSRPLGPAVLDGIDFDIEGGTNQHWDDLARFLSAYGKKGKKVYLTAAPQCPFPDAWIGNALKTRLFDYVWVQFYNNPPCQYSPGNITNLEDAWKQWTSDIPANKIFLGLPAAPDAAGSGFIPVAYLTSKVLPPMKGSAKYGGVMLWSKYYDDQTGYSKAIKGSI >Manes.03G129700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25628739:25631987:1 gene:Manes.03G129700.v8.1 transcript:Manes.03G129700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAISRRRKLLNDDVIVSLADSSWEILDLSGSEVTDSGLMKVAETCKFLRAVDTSQCNKITAVGVSELFQQCKSLQTLRCGGCPRSDYTARNCLCILKPKLKDMEGDSWEELDTAEIGHGAESLRWLVWPKIDKDSLETLANECPRIIVNPKPSLFGFRGINVPTEALADIPLDYSVVKDIDPKTWAMCGFTPKPRAASLLSPTELSVAEKFRLAFVERDTRLAPKRAKNARQHQRRADRQWMMMNTDAKALALASKASKSLHNRS >Manes.03G129700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25628437:25631987:1 gene:Manes.03G129700.v8.1 transcript:Manes.03G129700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKAVEDITNSLKKLDLNPKFNSKSSYVSPTVQSIKLSREKAESPSLVSLCLGVVGKHLEDIIADLNEISIAFPADIKMAIAAISRRRKLLNDDVIVSLADSSWEILDLSGSEVTDSGLMKVAETCKFLRAVDTSQCNKITAVGVSELFQQCKSLQTLRCGGCPRSDYTARNCLCILKPKLKDMEGDSWEELDTAEIGHGAESLRWLVWPKIDKDSLETLANECPRIIVNPKPSLFGFRGINVPTEALADIPLDYSVVKDIDPKTWAMCGFTPKPRAASLLSPTELSVAEKFRLAFVERDTRLAPKRAKNARQHQRRADRQWMMMNTDAKALALASKASKSLHNRS >Manes.07G069500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16147521:16153823:-1 gene:Manes.07G069500.v8.1 transcript:Manes.07G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFKIYSHNMVIWLVLLLLGSNLLCNSIASASLSSSTDTTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHEAAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFNQLHSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLSSLFTSYNPNTLMSTAYYRTDLYQRRLEQVPVTNFFGSVMETIHTDSAYRIHQRKDTDKGPMEKLVHHGERTLIPSNKEDQISDSKTQVHVCSFTQMWSTINSKVERIEDVDSLVNYCLIVMLPILILSVWLSTLIMKN >Manes.06G161400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28876542:28883539:-1 gene:Manes.06G161400.v8.1 transcript:Manes.06G161400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTLGLAVGVAVVACAVAGVVVGRRVRSRRKWGRVVGVLRELEESCETTVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDHLPTGSEVGTYYALDLGGTNFRVLRVQLGGRLSSILSKDVELQPIPQHLMRSTSEDLFDFIASTLKQFIEKEENGSKFSSLRTRELGFTFSFPVKQMSLCSGILLKWTKGFAIEDMVGKEVVECLRAGLTRNGLDMQVAVLVVNMEWGNFWSSHLPRTPYDIDLDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSQESDIFGPVSSSLLEPFILHTPFVAKMHEDDSSDLQEVARILKEKLEISEVPLKVRKLVVRICDVVTRRAARLAAAGIVGILKKIGRDGTGGISSVRGRSDIKMRRTVVAIEGALYTGYTMFREYLHEALNDILGEEIAQHVILRATEDGSGIGAALLAASHSSYSVDSVQLI >Manes.06G161400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28876543:28883539:-1 gene:Manes.06G161400.v8.1 transcript:Manes.06G161400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTLGLAVGVAVVACAVAGVVVGRRVRSRRKWGRVVGVLRELEESCETTVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDHLPTGSEVGTYYALDLGGTNFRVLRVQLGGRLSSILSKDVELQPIPQHLMRSTSEDLFDFIASTLKQFIEKEENGSKFSSLRTRELGFTFSFPVKQMSLCSGILLKWTKGFAIEDMVGKEVVECLRAGLTRNGLDMQVAVLVNDTVGTLALGHYHDADTVAAVIIGTGTNASYLERADAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTPYDIDLDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSQESDIFGPVSSSLLEPFILHTPFVAKMHEDDSSDLQEVARILKEKLEISEVPLKVRKLVVRICDVVTRRAARLAAAGIVGILKKIGRDGTGGISSVRGRSDIKMRRTVVAIEGALYTGYTMFREYLHEALNDILGEEIAQHVILRATEDGSGIGAALLAASHSSYSVDSVQLI >Manes.06G161400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28876543:28883539:-1 gene:Manes.06G161400.v8.1 transcript:Manes.06G161400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVIFIDCCFYIGRVVGVLRELEESCETTVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDHLPTGSEVGTYYALDLGGTNFRVLRVQLGGRLSSILSKDVELQPIPQHLMRSTSEDLFDFIASTLKQFIEKEENGSKFSSLRTRELGFTFSFPVKQMSLCSGILLKWTKGFAIEDMVGKEVVECLRAGLTRNGLDMQVAVLVNDTVGTLALGHYHDADTVAAVIIGTGTNASYLERADAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTPYDIDLDAESPNPNDQGFEKMISGMYLGDIVRRVILRMSQESDIFGPVSSSLLEPFILHTPFVAKMHEDDSSDLQEVARILKEKLEISEVPLKVRKLVVRICDVVTRRAARLAAAGIVGILKKIGRDGTGGISSVRGRSDIKMRRTVVAIEGALYTGYTMFREYLHEALNDILGEEIAQHVILRATEDGSGIGAALLAASHSSYSVDSVQLI >Manes.14G167300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26859699:26861653:1 gene:Manes.14G167300.v8.1 transcript:Manes.14G167300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >Manes.14G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2309955:2317073:-1 gene:Manes.14G024700.v8.1 transcript:Manes.14G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAKLKAANNTDVMKTEEGNDSLDTFIRQAIGKEPFLSFSRAGDSPVQWIQLLHALDQQDLPGWPLLTPLKVQMQKCEKCSREFFSSINYRRHIRVHHRLKKLDKGSSKNRDLLGTFWDKLSEDEAKEILSFKDVTLEKVAGSSIIKAMMGLIRKPGFSSLPQYCLRAGSALLDIIQARPSRFPLSSEELFSTLDDSSEKTFLCGTAVSMQKYIFDGEAEKIGLEMKNLVACTGFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAQRRQAELLEKKRQKKLRQKEQKGKEQRQEEQADFNEQIDDAVEAVSSAVEQFPLTASDSGMDGLEALSSHVPSSFEPFQPPSMDEDIDLEIPTGSGSDPGSSHNVERRTVQRNNRRHLVLTRWQLSPKSQWNYVTNGFHASKNSQAQKSSSMQKHGNHGDSKPVPSINGNKMWSRKPKPDYSGDGLKIRVQKEAVSLPDHSKKHEVLIGSISVALENCNQDEANHFDGARDDFLSEYQLPKKSNIQDKQNRPESNHFSANRSKIKLWRPVSRNGTKDPISVENRATEFQVDGVAGKGDDHMSSEESFLSSCSVDDNNGVTGNSLPLLQETLRRGSLHFSCQAAKAFLAERWKEAIAAEHVKLVLSPDLKSSEYMEILNDCLVDVAESSDIKKCSLLGNMENQLVDAGVHESSTTGASKAKFRTKPEKGAKLKYIPKQKAVS >Manes.14G024700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2310202:2317052:-1 gene:Manes.14G024700.v8.1 transcript:Manes.14G024700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAKLKAANNTDVMKTEEGNDSLDTFIRQAIGKEPFLSFSRAGDSPVQWIQLLHALDQQDLPGWPLLTPLKVQMQKCEKCSREFFSSINYRRHIRVHHRLKKLDKGSSKNRDLLGTFWDKLSEDEAKEILSFKDVTLEKVAGSSIIKAMMGLIRKPGFSSLPQYCLRAGSALLDIIQARPSRFPLSSEELFSTLDDSSEKTFLCGTAVSMQKYIFDGEAEKIGLEMKNLVACTGFLVEQKLVKAWLADKDAEALRCQKLLVEEEEAAQRRQAELLEKKRQKKLRQKEQKGKEQRQEEQADFNEQIDDAVEAVSSAVEQFPLTASDSGMDGLEALSSHVPSSFEPFQPPSMDEDIDLEIPTGSGSDPGSSHNVERRTVQRNNRRHLVLTRWQLSPKSQWNYVTNGFHASKNSQAQKSSSMQKHGNHGDSKPVPSINGNKMWSRKPKPDYSGDGLKIRVQKEAVSLPDHSKKHEVLIGSISVALENCNQDEANHFDGARDDFLSEYQLPKKSNIQDKQNRPESNHFSANRSKIKLWRPVSRNGTKDPISVENRATEFQVDGVAGKGDDHMSSEESFLSSCSVDDNNGVTGNSLPLLQETLRRGSLHFSCQAAKAFLAERWKEAIAAEHVKLVLSPDLKSSEYMEILNDCLVDVAESSDIKKCSLLGNMENQLVDAGVHESSTTGASKAKFRTKPEKGAKLKYIPKQKAVS >Manes.03G076500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13410706:13413583:-1 gene:Manes.03G076500.v8.1 transcript:Manes.03G076500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNGQLAVPPGFRFHPTDEELLYYYLKKKVSYEAIDLDVIRELDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQEDGWVVCRVFKKKNQSRGFLPDVAQDDHFSHMKVSSSSASMEHKQNHMQALYDCSFDGSMHLPQLFSPESAVAPSFITPLPLNTMDINECSQNLLRLTSSGCGLVQPERVNSDWSFLDKLLASHQSLDQQSQSKRDPSFHLVDHMGASSHQKFTTFPYLGCENDILKFPK >Manes.03G076500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13410706:13413583:-1 gene:Manes.03G076500.v8.1 transcript:Manes.03G076500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNGQLAVPPGFRFHPTDEELLYYYLKKKVSYEAIDLDVIRELDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQEDGWVVCRVFKKKNQSRGFLPDVAQDDHFSHMKVSSSSASMEHKQNHMQALYDCSFDGSMHLPQLFSPESAVAPSFITPLPLNTMDINECSQNLLRLTSSGCGLVQPERVNSDWSFLDKLLASHQSLDQQSQSKRDPSFHLVDHMGASSHQKFTTFPYLGCENDILKFPK >Manes.03G076500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13410706:13412185:-1 gene:Manes.03G076500.v8.1 transcript:Manes.03G076500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNGQLAVPPGFRFHPTDEELLYYYLKKKVSYEAIDLDVIRELDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQEDGWVVCRVFKKKNQSRGFLPDVAQDDHFSHMKVSSSSASMEHKQNHMQALYDCSFDGSMHLPQLFSPESAVAPSFITPLPLNTMDINECSQNLLRLTSSGCGLVQPERVNSDWSFLDKLLASHQSLDQQSQSKRDPSFHLVDHMGASSHQKFTTFPYLGCENDILKFPK >Manes.14G148000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16023855:16027816:1 gene:Manes.14G148000.v8.1 transcript:Manes.14G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVLPAVCVLDASTYVGFWILKGLLSRGYTVHAATQKNEETEIGKKIRDMEKEEEKLIVFDVDILDYHSILIALKGCSALFCCLDSPDGYDDEIVDLEVRGAINAVEACAQTDSLEKIVFTSSLTAAIWKEDVCSQEDVDEKCWSNQEFCRKLKLWYALAKTQSEQAAWALAMDRMLDMVSINAGLVLGPSVAQQNPRSTISYLKGAAQMYENGVMAIVDVEFLVDVHIRAFEDRSTCGRYFCFNQIVNTEQEALKLANSLTPLISLPTRYEYQGNEVQAERLRNKKLNKLVEGTAY >Manes.15G101500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7952029:7953956:1 gene:Manes.15G101500.v8.1 transcript:Manes.15G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSNPGIEQLSSLRNCKPTTLFSGIPIIDPSKSDSKHLLVKACEDFGFFKVVNHGIPMESISRLESEALSFFSLPLSEKQKAGPPNPFGYGNKIIGPNGDVGWVEYLLFTINQESISQRFISEDFRSALNDYISGVKKMACEILEMMADGLRIQPRNVFSKLLMDEQSDSVFRLNHYPPCTGPGIHTLNSNNMIGFGEHTDPQIISVLRSNNTSGLQISLGDSKWISVPPDQNSFFINVGDSLQVMTNGRFKSVRHRVLANSMKSRVSMIYFGGPPLREKIAPLAPLMKGEEESLYKEFTWFEYKTSAYNSRLADNRLLLFQKIAAS >Manes.15G101500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7952029:7953956:1 gene:Manes.15G101500.v8.1 transcript:Manes.15G101500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSNPGIEQLSSLRNCKPTTLFSGIPIIDPSKSDSKHLLVKACEDFGFFKVVNHGIPMESISRLESEALSFFSLPLSEKQKAGPPNPFGYGNKIIGPNGDVGWVEYLLFTINQESISQRSALNDYISGVKKMACEILEMMADGLRIQPRNVFSKLLMDEQSDSVFRLNHYPPCTGPGIHTLNSNNMIGFGEHTDPQIISVLRSNNTSGLQISLGDSKWISVPPDQNSFFINVGDSLQVMTNGRFKSVRHRVLANSMKSRVSMIYFGGPPLREKIAPLAPLMKGEEESLYKEFTWFEYKTSAYNSRLADNRLLLFQKIAAS >Manes.05G113201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:21690771:21692563:-1 gene:Manes.05G113201.v8.1 transcript:Manes.05G113201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLYLLLLILQIQGEVVGAEAGTLEDVEEATTGTIIPPLVVTPPQHLLLVSTVMGLVMYLGSVLHRKTLLMHMRQQLMLIYLMLGWWIQGQIII >Manes.09G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31560052:31569328:-1 gene:Manes.09G113600.v8.1 transcript:Manes.09G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRYQLRNEYGLADPELYRAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEVFHDLHEEVMATASRGHGLMARVQQLEAEFPSIERAFLSQTDHSPFFTNAGVDWHPNLRMEQNLITRGDLPRFVRDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFVKVEATSFGVPALDVQREKKIRKVKKKGSRWRNGETPEVPTSHAKLHQLFLEERVENGHSDPARLVKLKRRQLDGSPFDLKSGKSYMEKFLGTPSPEHKVVHEVPVNPLPLQLILDNSDDSGLEIVEIITVSPVKKSSEGKESTCLSPTSPQVAVKPHGHELNGEAINREIVKVPDPVAGGEADESPYVIHKVATESELAVDAEERTEASLDGDHSDDLISEVDNYMDALTTMESELETDNEYKLKTEQVFLKVGEHRADSDAIEEHLDVQANSSDSQSLGNYSMSDDGRDSFKKGQSSFSYSDSVSNFAENIPSDIEGVVNIFPSSVQAGILDSPFDPPSVDMETLGNQSSELVMANEKCIEEDTIPSAGEAARSLCPSDSDNLLLLSVPVANSVVVDTKSDEISSYIKISPKSPEIDDNVSSLLDSSILISDVPPHGAHDHLLTVSSEGCLVEDSDHEGPNIVLHTSDMADMEKKESDVSVKEVLQTDCADGIDGVILVEGKIDSPHAVIFPSTEQIPCSILPEVDVDLGVTLASESSGMVNPVRIDSEVVDVVAGANSENLRGIAETPEVDSIVDHQCSDIAIDVSRIEYDLNEVGITYSKEMSLEETSGADDGEEIGEPASKLDVMRGDSVPFEHPANYSDKQIPDEHVNLKDDVGASPGSVTVTIGANDDVNEANNLSSDLVVSSSSNLPHIQESQTGNEGAHQKGLDFSEGAHQKGFDINVGAFPEYCPESEEQREVAPTVLNSSPYELVSDDHSNVDVLEHVHESAIANQTQHCSYISDDTVPSPEVRKLDLESTEQANLRHSIDTSEDAVCSTTSHQSEVETSLEHSMELPADQILVGSVCGVVDEANFESLDPQSTSPCHLPGSGLPSEPLLELQSDQLDKGYLQADEASPKTSDLQSEQMQTVSNIDKKRWPIASPEQDATSSLELQIPPAGQELNDTMSRRNPFDSVFPSFCALPENLEEVPPLPPLPPMQWRLGKVQPAPLASHREWIDHGVDTLLPTQSSAADGKIRFDSLSSGTEIMQPPNTFSNNICADIQNPRHMSTEPVENSLMPTPLSLEMPAVVCNANCLAFSLERQQSLNPFLASPEIINDRPEDGSLASAGRPIESSSNLSSPVVDVEYILNEHDPLPSRGLEIKPSNRLTSELILEAKEPEHSLQNSEEEERDSHDKSVSPPIMLKDQQHDSVTLHGETTWAPSTLAFPPIYEVGKPNGSKLPRPRNPLIDAVAAHDKSKLRKASERVRPQIAPKVDERDLLLEQIRTKSFNLKPTAAMRPSIQGIQNPKTNLNVAAILEKANAIRQALAGSDEDDDTDSWSDS >Manes.12G118807.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32132069:32132355:1 gene:Manes.12G118807.v8.1 transcript:Manes.12G118807.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSIHYFIVLTLSVSLLSVDRIASTQGLIGTCQRAFALTLTGKLILVEPLWSESNHYFPSIEPAQIC >Manes.12G118807.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32131718:32132858:1 gene:Manes.12G118807.v8.1 transcript:Manes.12G118807.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSIHYFIVLTLSVSLLSVDRIASTQGLIGTCQRAFALTLTGKLILVEPLWSESNHYFPSIEPAQIC >Manes.09G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34529988:34537008:-1 gene:Manes.09G147800.v8.1 transcript:Manes.09G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIIGSKFKMGRKIGGGSFGELFLGVNVQNGEEVGIKLEPARTKHPQLHYESKIYMLLQGGTGIPQLKWYGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRIEYMHSKGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKGGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCQSLRFEDKPDYSYLKRLFRDLFVREGYHFDYIFDWTILKYPQIGSSSRQRPASGKPGTKPQQSVEQTEKAPALGQDTRDRSSGGPQTFSRRLAPGAGQQSDRFKHRTSEDASTSKDARTHSERGRTSRNGNVSKKAVASSSRIGSIVAGSESRSSWLFTNNSRPSTTHRIHCGAEAKVSHGTATKDTNEDQLRSFDHLNIGTDKSKQ >Manes.09G147800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34529982:34537078:-1 gene:Manes.09G147800.v8.1 transcript:Manes.09G147800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIIGSKFKMGRKIGGGSFGELFLGVNVQNGEEVGIKLEPARTKHPQLHYESKIYMLLQGGTGIPQLKWYGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRIEYMHSKGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKGGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCQSLRFEDKPDYSYLKRLFRDLFVREGYHFDYIFDWTILKYPQIGSSSRQRPASGKPGTKPQQSVEQTEKAPGQDTRDRSSGGPQTFSRRLAPGAGQQSDRFKHRTSEDASTSKDARTHSERGRTSRNGNVSKKAVASSSRIGSIVAGSESRSSWLFTNNSRPSTTHRIHCGAEAKVSHGTATKDTNEDQLRSFDHLNIGTDKSKQ >Manes.10G076037.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14329040:14346768:-1 gene:Manes.10G076037.v8.1 transcript:Manes.10G076037.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALRVYGEVLRLVRRLPVDTRPYYAKYVRENFVNYREVDVNDTTSLDELFRRAYNHSLWVLNKYLVDQSAANKLKEICCG >Manes.08G142700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37888488:37893408:1 gene:Manes.08G142700.v8.1 transcript:Manes.08G142700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISFGSITVFALVVFLMVRQSSGLNAEGQLLLDIKSKLVDHYNHLSNWNPSGSNPCGWNGVNCTFTDYNSVVSSLDLSYMNLSGSLSPSIGGLSRLVYLDLSFNGLSLNIPSEIGNCSNLKVLRLNNNQFEGQIPVEVVKLSSLSIFNISNNRISGPFPEKIGDLVSLTQLIAYSNNITGSLPASFGNLKNLTTFRAGQNLISGSLPPDIGRCEQLQILGLAQNQLTGEIPKEIGMLNYLSDIVLWGNQLSGSIPKELSNCTNLLTIALYDNNLVGPIPKELGDLEFLENLYLYRNHLNGTIPREIGNLSYAVQIDFSENMLTGEIPVELAKITGLHLLYLFENGLTGVIPNELTTLVNLTRLDLSINNLTGTIPVGFQYLKQLIMLQLFDNSFVGSIPQGLGVYGKLWVVDLSNNYLKGRIPRHLCRNGNLFLLNLGSNNLTGYIPTGIVKCKTLAQLYLAGNELTGGFPTDLCKLENLSSIELGQNQFNGPIPPEIGNCHVLQRLHLSDNYFIGELPREIGKLSQLVTFNISSNLLGGTIPPEIFSCKMLQRIDLSRNNFVGALPREIGGLSQLELLKLSDNQISGVVPPEVGNLSHLTELQMGGNLFSGAIPAELGGLSSLQIALNLSYNNLSGSIPEELGNLELLEFLLLNNNHLSGEIPGSLGNLSSLLGYNFSYNDLTGPLPSLPIFLNTGNSSFLGNAGLCGGPLGNCSESPLSYLPSDTEGKSARFGKIIAIVAAVVGGVSLILIVVIIYFMRRPVEIVAPLQDKSFSSPPSDIYFSPKEGFTFQDLVAATDNFNDRFVIGRGACGTVYRAVLPCGRTIAVKKLASNREGSNIDNSFRAEISTLGKIRHRNIVKLYGFCYHLGSNLLLYEYMANGSLGELLHGSSCNLDWWTRFKIALGAAQGLAYLHHDCKPRIFHRDIKSNNILLDDKYEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVNWVRNYIHVHTLSPGVLDARLDLDDENTVSHMITVMKIALICTSMSPFDRPTMREAVLMLIESNKRVGHFESSPSYHANSSEGQFDSSPSHHASSSDELIVHNE >Manes.08G142700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37888488:37893408:1 gene:Manes.08G142700.v8.1 transcript:Manes.08G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISFGSITVFALVVFLMVRQSSGLNAEGQLLLDIKSKLVDHYNHLSNWNPSGSNPCGWNGVNCTFTDYNSVVSSLDLSYMNLSGSLSPSIGGLSRLVYLDLSFNGLSLNIPSEIGNCSNLKVLRLNNNQFEGQIPVEVVKLSSLSIFNISNNRISGPFPEKIGDLVSLTQLIAYSNNITGSLPASFGNLKNLTTFRAGQNLISGSLPPDIGRCEQLQILGLAQNQLTGEIPKEIGMLNYLSDIVLWGNQLSGSIPKELSNCTNLLTIALYDNNLVGPIPKELGDLEFLENLYLYRNHLNGTIPREIGNLSYAVQIDFSENMLTGEIPVELAKITGLHLLYLFENGLTGVIPNELTTLVNLTRLDLSINNLTGTIPVGFQYLKQLIMLQLFDNSFVGSIPQGLGVYGKLWVVDLSNNYLKGRIPRHLCRNGNLFLLNLGSNNLTGYIPTGIVKCKTLAQLYLAGNELTGGFPTDLCKLENLSSIELGQNQFNGPIPPEIGNCHVLQRLHLSDNYFIGELPREIGKLSQLVTFNISSNLLGGTIPPEIFSCKMLQRIDLSRNNFVGALPREIGGLSQLELLKLSDNQISGVVPPEVGNLSHLTELQMGGNLFSGAIPAELGGLSSLQIALNLSYNNLSGSIPEELGNLELLEFLLLNNNHLSGEIPGSLGNLSSLLGYNFSYNDLTGPLPSLPIFLNTGNSSFLGNAGLCGGPLGNCSESPLSYLPSDTEGKSARFGKIIAIVAAVVGGVSLILIVVIIYFMRRPVEIVAPLQDKSFSSPPSDIYFSPKEGFTFQDLVAATDNFNDRFVIGRGACGTVYRAVLPCGRTIAVKKLASNREGSNIDNSFRAEISTLGKIRHRNIVKLYGFCYHLGSNLLLYEYMANGSLGELLHGSSCNLDWWTRFKIALGAAQGLAYLHHDCKPRIFHRDIKSNNILLDDKYEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVNWVRNYIHVHTLSPGVLDARLDLDDENTVSHMITVMKIALICTSMSPFDRPTMREAVLMLIESNKRVGHFESSPSYHANSSEGQFDSSPSHHASSSDELIVHNE >Manes.01G161900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34679563:34683013:1 gene:Manes.01G161900.v8.1 transcript:Manes.01G161900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSLHEVSDLENGHVLPNPPKETLNGSRSLQNVSSSIMRKKSDAVLVSSVRFQILRHFLANLQLVILGTKLAVLFPAIPLAIAADFYKFGRPWLFAFSFVGLAPLAERVSFLTEQIACFTGPTVGGLLNATCGNATELIIALFALYQNKIHVLKYSLLGSILSNLLLVLGTSLICGGLANLKKEQRYDREQADVNSLLLLMGLLCHMLPLMFRYATGEGPDTFYHTLELSRASSIIMLIAYAAYIFFQLKTHRQSFEPQEEGNEEEEEKAVIGFWSAFSWLVGMTMIITLLSEYVVGTIEAASDSSGVSVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSATQISMFVIPLSVLVGWIMHVRMDLDFSLLETGSLGFAIIITAFTLQDGTSHYMKGVVLFLCYIVIAACFWVHKIPQNQITVGKPFTGVFAS >Manes.01G161900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34679507:34683483:1 gene:Manes.01G161900.v8.1 transcript:Manes.01G161900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSLHEVSDLENGHVLPNPPKETLNGSRSLQNVSSSIMRKKSDAVLVSSVRFQILRHFLANLQLVILGTKLAVLFPAIPLAIAADFYKFGRPWLFAFSFVGLAPLAERVSFLTEQIACFTGPTVGGLLNATCGNATELIIALFALYQNKIHVLKYSLLGSILSNLLLVLGTSLICGGLANLKKEQRYDREQADVNSLLLLMGLLCHMLPLMFRYATGEGPDTFYHTLELSRASSIIMLIAYAAYIFFQLKTHRQSFEPQEEGNEEEEEKAVIGFWSAFSWLVGMTMIITLLSEYVVGTIEAASDSSGVSVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSATQISMFVIPLSVLVGWIMHVRMDLDFSLLETGSLGFAIIITAFTLQDGTSHYMKGVVLFLCYIVIAACFWVHKIPQNQITVGKPFTGVFAS >Manes.01G161900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34679538:34683483:1 gene:Manes.01G161900.v8.1 transcript:Manes.01G161900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSLHEVSDLENGHVLPNPPKETLNGSRSLQNVSSSIMPIPLAIAADFYKFGRPWLFAFSFVGLAPLAERVSFLTEQIACFTGPTVGGLLNATCGNATELIIALFALYQNKIHVLKYSLLGSILSNLLLVLGTSLICGGLANLKKEQRYDREQADVNSLLLLMGLLCHMLPLMFRYATGEGPDTFYHTLELSRASSIIMLIAYAAYIFFQLKTHRQSFEPQEEGNEEEEEKAVIGFWSAFSWLVGMTMIITLLSEYVVGTIEAASDSSGVSVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSATQISMFVIPLSVLVGWIMHVRMDLDFSLLETGSLGFAIIITAFTLQDGTSHYMKGVVLFLCYIVIAACFWVHKIPQNQITVGKPFTGVFAS >Manes.01G161900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34679563:34683483:1 gene:Manes.01G161900.v8.1 transcript:Manes.01G161900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLANLQLVILGTKLAVLFPAIPLAIAADFYKFGRPWLFAFSFVGLAPLAERVSFLTEQIACFTGPTVGGLLNATCGNATELIIALFALYQNKIHVLKYSLLGSILSNLLLVLGTSLICGGLANLKKEQRYDREQADVNSLLLLMGLLCHMLPLMFRYATGEGPDTFYHTLELSRASSIIMLIAYAAYIFFQLKTHRQSFEPQEEGNEEEEEKAVIGFWSAFSWLVGMTMIITLLSEYVVGTIEAASDSSGVSVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSATQISMFVIPLSVLVGWIMHVRMDLDFSLLETGSLGFAIIITAFTLQDGTSHYMKGVVLFLCYIVIAACFWVHKIPQNQITVGKPFTGVFAS >Manes.05G113200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:21685854:21688406:1 gene:Manes.05G113200.v8.1 transcript:Manes.05G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKLSPSSSPKSALTKLRRKLSPRRSEKRSLSLSPSSSRSSSASSANATISTELQSVFNYFDENGDGKISPHELQSCVRSLGGDLSTEDAEAAVSLSDMDGDGLLGFEDFQRLMESSTSEEGKKEELKHAFGMYETEPGSGFINPTSLKRMLSRLGESRSLDDCKAMIRTFDLNGDGVLSFHEFAVMMA >Manes.14G015672.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1073413:1074189:1 gene:Manes.14G015672.v8.1 transcript:Manes.14G015672.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIILQAMLGGGATGMLVVVTGASHVLYGSRGTRLPARISKKMQKKNQIVILLGLERQLIRRKGEVPIADFLWYSAAKPCSRNCFDRAEIARIMSAAGGR >Manes.15G183700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29367569:29373004:1 gene:Manes.15G183700.v8.1 transcript:Manes.15G183700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAIAHPDFLSINSFCSSISNTKTSPLSFISHKTLSKTQISHSLKSPQFRLNHVFSSLDSAHFIVEDDLQAFLNILPSDLRHVLLNDPKRSQLLEVILDLGRLPEARYLGEFGGQYLRSTEVSMEELEYAQNAIGEFGDDNRAGIEGTLHRISAIRSRKGVIVGLTCRVGRAVGGHVDMVHDLLHYGKSILFVGRPGVGKTTVMREIARVLSDEFGKRVVIVDTSNEIGGDGNIPHSAVGAARRMQVPEPPLQHKVMIEAVENHMPEVIIVDEIGTEAEALACRSIAERGIMLIGTAHGERLENIIKNPTLCNLIGGIETVTLGDEEARARRSKKSILERKGPPTFCFLIEMRERHYWVAHRTEKSVDTLLRGENPLVEVRKRDNQLRVVIERWKTYDGSGI >Manes.01G065600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26549368:26551403:1 gene:Manes.01G065600.v8.1 transcript:Manes.01G065600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRYVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKKRRTTKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELMAKTQKTQAKGNAPKGAAPKGPKLGGGGGKR >Manes.01G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26549368:26551496:1 gene:Manes.01G065600.v8.1 transcript:Manes.01G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRYVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKKRRTTKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELMAKTQKTQAKGNAPKGAAPKGPKLGGGGGKR >Manes.12G099600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167823:26172809:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167832:26173491:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167832:26173490:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRRSLNMMMADKFFHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167832:26173743:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRRSLNMMMADKFFHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167824:26172798:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167832:26173491:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167823:26173742:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167826:26173491:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167832:26173491:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRSHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.12G099600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26167832:26172799:1 gene:Manes.12G099600.v8.1 transcript:Manes.12G099600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEVDTEGLREYMSKFGELEDCIVMKERSSGRSRGFGYVTFASAEDAKNALSSEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVTEAAFRRSLNMMMADKFFHFEKYGDIIDLYMPKDHSSKTHRGIGFITFSSADSVDSLMVDTHELGGSTVVVDRATPKEDDFRPVGRMAHVGYGAYNAYISAATRYAALGAPTLYDHPGPMYGREPARGMGKKIFVGRLPQEATAEDLRLYFGRFGHILDVYVPKDPKRSGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMNAAPSFGGYGGPMRNFGRMYGSLDFDDWGYGMGSARPSRADWRYRPY >Manes.03G070740.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10853924:10855458:-1 gene:Manes.03G070740.v8.1 transcript:Manes.03G070740.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSQASEEACHNQPQYTEEATEIKLSETKSKTEENAAEPQPQTKSEEKARNVMISDTNSKRVLEIKLPYNYESLLREVDSPVDRSSTEKLYHQLCTGVFLNQKKRKYWIERMSNSNCFFLFARDLSITWAEDSRFWHWPYIHETSDISIDVAELLNVCWLEVHGKFDTTELSPGVLYEVAFVIMLKDPAYGWEVPVYFRLTLPNGIKQERKEILMTKPRVQWIEIPVGEFIPSQENCGEMEISMFEYSGVKWKRGLVVKGVIIRPKHC >Manes.03G027600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2234924:2241187:1 gene:Manes.03G027600.v8.1 transcript:Manes.03G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSPSTKKNEMMMRREDSKSIAIIFRYADWVDMLLMLLGTIGAIGDGMSTNCLLVFASRIMNSLGYGSTLKNQGNFLSEVEKCSLYFVYLGLAVLVVAFLGGYCWSKTSERQVLKIRYKYLEAILRQEVGFFDSQEATTSEIINSISKDTSLIQEVLSEKVPIFFMHASVFISGLAFSTYFSWRLSLVAFPALLLLIIPGMIYGKYLVYLSKKAQKEYGKANAIVEQALSSIKTVYSFTAEKRIVDRYSAILDITSKLGIKQGIAKGLAVGSSGLSFAIWAFLAWYGSRLVMYKGESGGRIYAGGISFILAGLSLGMALPDLKYFTEASVAATRIFHRIDRVPEIDGEDTKGLVLDKIRGEIEFRHVRFTYQSRPDSSVLKDFSLKVEAGKTVALVGASGCGKSTAIALVQRFYDPDCGFVKIDGVDIRTLNLKWIRGNMGLVSQEHALFGTSIKENIMFGKLDATMDEVTAAATAANAHNFIRQLPEGYDTKVGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVNNGCIIEMGSHNDLINIKNDHYANLAKLQRQFGCDDQEQNPDQASLSSATRSSAASPAIFASPLPVFDSPKPVSHPPPSFSRLLALNAPEWKQGLMGSLSAVLFGAVQPLYALTIGGMIAAFFAPSHEEVHARIRTYSLIFCSLSLISIAVNLVQHYNFAFMGERLTKRIRLRMLEKILTFETAWFDEDQNSTGALCSRLSNEASMVKSLVADRASLLIQTTSAVTIAMIMGLVVAWKLALVMIAVQPLTILCFYTRKVLLSSITANFVKAQNQSTQIATEAVYNHRIITSFASVEKVLQMFDEAQEEPRKEARKKAWLAGVGMGSAQCLTFMSWALDFWFGGTLVEKRQISAGDVFKTFFILVSTGKVIAEAGSMTSDLAKGSTAVASVFQILDRQSLIPGSSHAGDGANAGTKLEKITGWVEMKKVDFAYPRRLETLVLHQFSLEVKPGTSIGLVGKSGCGKSTVIGLIQRFYDVEKGSIKVDGVDIRKLDIHWYRKHTALVSQEPVIYSGSIRDNIVFGKLDASENEVVEAARAANAHEFISSLKEGYETECGERGVQLSGGQKQRIAIARAIIRNPTILLLDEATSALDVQSEQVVQEALDRIMMGRSTMVVAHRLNTIKKVDSIAFVEDGKVVEQGTYGQLKNKRGAFFNLATLQT >Manes.03G027600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2234924:2241187:1 gene:Manes.03G027600.v8.1 transcript:Manes.03G027600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVFISGLAFSTYFSWRLSLVAFPALLLLIIPGMIYGKYLVYLSKKAQKEYGKANAIVEQALSSIKTVYSFTAEKRIVDRYSAILDITSKLGIKQGIAKGLAVGSSGLSFAIWAFLAWYGSRLVMYKGESGGRIYAGGISFILAGLSLGMALPDLKYFTEASVAATRIFHRIDRVPEIDGEDTKGLVLDKIRGEIEFRHVRFTYQSRPDSSVLKDFSLKVEAGKTVALVGASGCGKSTAIALVQRFYDPDCGFVKIDGVDIRTLNLKWIRGNMGLVSQEHALFGTSIKENIMFGKLDATMDEVTAAATAANAHNFIRQLPEGYDTKVGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTIRNADLIAVVNNGCIIEMGSHNDLINIKNDHYANLAKLQRQFGCDDQEQNPDQASLSSATRSSAASPAIFASPLPVFDSPKPVSHPPPSFSRLLALNAPEWKQGLMGSLSAVLFGAVQPLYALTIGGMIAAFFAPSHEEVHARIRTYSLIFCSLSLISIAVNLVQHYNFAFMGERLTKRIRLRMLEKILTFETAWFDEDQNSTGALCSRLSNEASMVKSLVADRASLLIQTTSAVTIAMIMGLVVAWKLALVMIAVQPLTILCFYTRKVLLSSITANFVKAQNQSTQIATEAVYNHRIITSFASVEKVLQMFDEAQEEPRKEARKKAWLAGVGMGSAQCLTFMSWALDFWFGGTLVEKRQISAGDVFKTFFILVSTGKVIAEAGSMTSDLAKGSTAVASVFQILDRQSLIPGSSHAGDGANAGTKLEKITGWVEMKKVDFAYPRRLETLVLHQFSLEVKPGTSIGLVGKSGCGKSTVIGLIQRFYDVEKGSIKVDGVDIRKLDIHWYRKHTALVSQEPVIYSGSIRDNIVFGKLDASENEVVEAARAANAHEFISSLKEGYETECGERGVQLSGGQKQRIAIARAIIRNPTILLLDEATSALDVQSEQVVQEALDRIMMGRSTMVVAHRLNTIKKVDSIAFVEDGKVVEQGTYGQLKNKRGAFFNLATLQT >Manes.15G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1746113:1755565:1 gene:Manes.15G022100.v8.1 transcript:Manes.15G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFESGVWDCVIEITKVAQQKGSDPLLWALQISSNLSSYGVILPSPEFADVLVSYICWDNNVSILWKFLEKALVFKIVPPLMVLALLSERVIPCRRSQPVAYRLFMELLKRYAFSLKAQINTPNYAKVMKSIDATLHLSQNFGLQSSNPGILMVEFIYSIVWQLLDASLDDEGLLELTPEKNSGWATKLQEMEIDVHENYDEKRAEHLEKLQNLNTEMAIEIIGLFLKNKLTSRILYLAQQNLPTHWVRFVQRLQLLGANSSALRNLKTLTAEDLLQLTSGLVLTQESKKGSLQKLHAVMALGSLVSSAGVCHGATRSALWLPLDLALEDAMDGYQVNATSAIEIITGLTKTLQAINSTAWHETFLGLWIAALRLVQRERDPIEGPIPHLDTRLSILLSIIPLVVADIIEEEENVPIDESEWSSTNQWKEKRVPGKRRNDLVSSLQLLGDYQGLLSPPQSVVSAANQAAAKAMLFVSGINVGSAYFECINMNDMPINCSGNMRHLIVEACIARNLLDTSSYFWPGYVNGSISQIPHSVASQVPGWSSFMKGALLAPAMISALVSSPASSLAELEKVYELAAKGSDDEKISAATILCGASLLRGWNIQEHTVQFITRLLSPPVPDDYSGVDSHLISYAPILNVLVVGLASVDCVQIFSLHGLVPQLACSLMPICEVFGTCVPDVSWTLPSGEEISAHAVFSNAFALLLKLWRFNHPPLEHGVGDVPTVGSQLTPEYLLSVRNSYLVSSGNVHKDRNKRRLSAVATSSSPQPIFVDSFPKLKVWYRQHQKCIASTLSGLVHGSPVHQTVNVLLNMMFRKINRGSQSLTTITSGSSCSSGSGNEDSSLRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGVWKPVYMNGTDWPSPAANLSNVEEKIKKILAATGVDIPSLAAGGSSPATLPLPLAAFVSLTITYKIDKASERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAVFQLLKSCFAATLGLGTTAISSNGGVGALLGHGFGSHFRGGISPVAPGILYLRVYRSIREIVFITEEIISLIMHSVREIACNGLPREKIEKLKRPKNGLRCGQVSLTAAMTRVKLAASLGASLVWLSGGVGLVQSLFKETLPSWFIAVHRSDQEEGPQGMVAMLRGYALAYFAVLCGAFAWGVDLSSSASKRRPKVLGSHMEFLASALDGKISLGCDWATWRSYVSGFVSLMVGCTPSWVLEVDADVLERLSKGLRQWDEETLALALLGVGGVETMGAAAEQIIEEQ >Manes.04G110000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31368608:31372505:-1 gene:Manes.04G110000.v8.1 transcript:Manes.04G110000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILQQNSIYESPFPIQELSKLKNLEYLDVSENYIKSPLPIQDFKNISKLSKLKYMDLSWNAFNTDLFSFSNIFSSVEILDLYGNSLRGPLLYRDIVRLKNLTMLNLGRSGLNGTQPIQSLCSLTRLQELDLSYNFFGDNLLPCFQNLTSLRFLDLSYNQFTGQIPSSWLASLQSLKYIDLSYNLFEGQFSFNVFANNSNIEVVKFASDNNKFEVVSKYPGWIPSFQLKVLVLQNCLDNMPEFLFHQFKLKLIDLSNNKINGSFPTWLLQNNAELDRLILKNNSFKGQIHLPTYSSFNTTMLDVSDNQFFGQLQDIGQIFPNMKFLDLSRNGFQGDFLFSTGTDCKLQILDLSSNNFSGHVPEKLISGCTSLQILRLSNNNFHGQIFTSRFNLTELAILQLNDNQFDGSLSSLVIKILFLRELDLSNNYFHGEIPRWVTNITYFYLMDLSQNYFEGQLSCEIFSVAYVDISHNSLSGALPSCFNVRHSWDSRGPQHMNLQGNRLNGSLPEAFLNSSNMLTLNLRENELSGSLPNKFGAFPNLRVLLLGGNRLNGHIPSGLCQLNNVSLLDLSRNYFSGSIPHCLYNLSFGRKELYGQFDVSYSLDYTGDEVYRESVIGLEKYSSSYYIFTSKVEEVEFVTKHMNYAFKGDILNYLFGLDLSDNNLEGQIPDQLGKLSQLRALNLSHNYLTGSIPASLSNLTQLESFDLSHNNLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTS >Manes.04G110000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31368609:31372505:-1 gene:Manes.04G110000.v8.1 transcript:Manes.04G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPFKELRSLNLSYNKIGGFIDEEGFERLSGLKKVEVLDLRYNHLNNSILLSLSPFTSLKMLILQQNSIYESPFPIQELSKLKNLEYLDVSENYIKSPLPIQDFKNISKLSKLKYMDLSWNAFNTDLFSFSNIFSSVEILDLYGNSLRGPLLYRDIVRLKNLTMLNLGRSGLNGTQPIQSLCSLTRLQELDLSYNFFGDNLLPCFQNLTSLRFLDLSYNQFTGQIPSSWLASLQSLKYIDLSYNLFEGQFSFNVFANNSNIEVVKFASDNNKFEVVSKYPGWIPSFQLKVLVLQNCLDNMPEFLFHQFKLKLIDLSNNKINGSFPTWLLQNNAELDRLILKNNSFKGQIHLPTYSSFNTTMLDVSDNQFFGQLQDIGQIFPNMKFLDLSRNGFQGDFLFSTGTDCKLQILDLSSNNFSGHVPEKLISGCTSLQILRLSNNNFHGQIFTSRFNLTELAILQLNDNQFDGSLSSLVIKILFLRELDLSNNYFHGEIPRWVTNITYFYLMDLSQNYFEGQLSCEIFSVAYVDISHNSLSGALPSCFNVRHSWDSRGPQHMNLQGNRLNGSLPEAFLNSSNMLTLNLRENELSGSLPNKFGAFPNLRVLLLGGNRLNGHIPSGLCQLNNVSLLDLSRNYFSGSIPHCLYNLSFGRKELYGQFDVSYSLDYTGDEVYRESVIGLEKYSSSYYIFTSKVEEVEFVTKHMNYAFKGDILNYLFGLDLSDNNLEGQIPDQLGKLSQLRALNLSHNYLTGSIPASLSNLTQLESFDLSHNNLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTS >Manes.11G056800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6903393:6906312:1 gene:Manes.11G056800.v8.1 transcript:Manes.11G056800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGATLFKLPTQPFSATRSFPTTKANPSVSSSLASTFVGASLPMSSTNKNRTVKMSSKVTAAAAVATTPMQEITEYVLPSWAMFELGRAPVYWKTMNGLPPTSGQKLKLFYNPAATKLNPNEEFGIAFNGGFNQPIMCGGEPRAMLRKERGKADPPIYTIRICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKALQNRPIEFFNEGLAQELSKEGACEKAIFPDANIVISRCAMIGNLSIEGGDRCNLDLVPGCMDRGSHLYNPLANVDDGSCPIDSDVEN >Manes.11G056800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6903393:6906312:1 gene:Manes.11G056800.v8.1 transcript:Manes.11G056800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFMFISRTVIMASTTGATLFKLPTQPFSATRSFPTTKANPSVSSSLASTFVGASLPMSSTNKNRTVKMSSKVTAAAAVATTPMQEITEYVLPSWAMFELGRAPVYWKTMNGLPPTSGQKLKLFYNPAATKLNPNEEFGIAFNGGFNQPIMCGGEPRAMLRKERGKADPPIYTIRICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKALQNRPIEFFNEGLAQELSKEGACEKAIFPDANIVISRCAMIGNLSIEGGDRCNLDLVPGCMDRGSHLYNPLANVDDGSCPIDSDVEN >Manes.11G056800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6903240:6906691:1 gene:Manes.11G056800.v8.1 transcript:Manes.11G056800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGATLFKLPTQPFSATRSFPTTKANPSVSSSLASTFVGASLPMSSTNKNRTVKMSSKVTAAAAVATTPMQEITEYVLPSWAMFELGRAPVYWKTMNGLPPTSGQKLKLFYNPAATKLNPNEEFGIAFNGGFNQPIMCGGEPRAMLRKERGKADPPIYTIRICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKALQNRPIEFFNEGLAQELSKEGACEKAIFPDANIVISRCAMIGNLSIEGGDRCNLDLVPGCMDRGSHLYNPLANVDDGSCPIDSDVEN >Manes.11G056800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6903393:6906485:1 gene:Manes.11G056800.v8.1 transcript:Manes.11G056800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTGATLFKLPTQPFSATRSFPTTKANPSVSSSLASTFVGASLPMSSTNKNRTVKMSSKVTAAAAVATTPMQEITEYVLPSWAMFELGRAPVYWKTMNGLPPTSGQKLKLFYNPAATKLNPNEEFGIAFNGGFNQPIMCGGEPRAMLRKERGKADPPIYTIRICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKALQNRPIEFFNEGLAQELSKEGACEKAIFPDANIVISRCAMIGNLSIEGGDRCNLDLVPGCMDRGSHLYNPLANVDDGSCPIDSDVEN >Manes.09G068872.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11412063:11412423:1 gene:Manes.09G068872.v8.1 transcript:Manes.09G068872.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIPSFHSWNEVAPPPIIFPHKPSNSPALETITEEEDDDND >Manes.05G079600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6505822:6511292:1 gene:Manes.05G079600.v8.1 transcript:Manes.05G079600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELIEGLPDAIAIRCIARVPFYLHPKLELVSHSWRAAIFSPELFKARQEVGSAEDLLCVCAFDPENLWQLYDPLRDLWITLPVLPSKIRHLSHFGVVSTAGKLYVLGGGSDAVDPLTGDQDGSFATNEVWSYDLVLRLWTPRASMHVPRAMFACCVLKGKIIVAGGFTSCRKSISQAEMYDPEKDVWIPILDLNRSHNSACSGVVIGGKMHVLHKGLSTVQVLDNVESGWTVEDYGWLQGPMAVVHGALYVMSHGLICKQEGKMRKVVVSASEFRRRIGFAMTGLGDDIYVIGGVIGPDRWNWDIKPMSDVDVLTVGGERPTWRQAAPMTRCRGAILGCTQLRI >Manes.05G079600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6505803:6511294:1 gene:Manes.05G079600.v8.1 transcript:Manes.05G079600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELIEGLPDAIAIRCIARVPFYLHPKLELVSHSWRAAIFSPELFKARQEVGSAEDLLCVCAFDPENLWQLYDPLRDLWITLPVLPSKIRHLSHFGVVSTAGKLYVLGGGSDAVDPLTGDQDGSFATNEVWSYDLVLRLWTPRASMHVPRAMFACCVLKGKIIVAGGFTSCRKSISQAEMYDPEKDVWIPILDLNRSHNSACSGVVIGGKMHVLHKGLSTVQVLDNVESGWTVEDYGWLQGPMAVVHGALYVMSHGLICKQEGKMRKVVVSASEFRRRIGFAMTGLGDDIYVIGGVIGPDRWNWDIKPMSDVDVLTVGGERPTWRQAAPMTRCRGAILGCTQLRI >Manes.09G010000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKCRIWWPKYLASSELSSSHFLFGWFISCSSASIDIVVAFTCNDVSFFHWQSGIKEILCDTNENMPILLQEKSMFSLLGQGAAYLGGDGKLLNVGLEEDNWRKPSKNSILSTANRQDVFIENYGGRSCTCHKIDELVEQSCEASAEGSCWINLVYDSGEQYGRDICWLPKLHHIHWNGQVVPQFNVHVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIDIYHRTSSLASLQEDFLWGFWFRHHISLWSSYR >Manes.09G010000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIGQVVLPHYKKIFSGVSGFVTTSAYGALTGKKCFQAVNTLACLFDCLLNQPKFSCSQNRIREPFFRSCLFCHPFQ >Manes.09G010000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKCRIWWPKYLASSELSSSHFLFGWFISCSSASIDIVVAFTCNDVSFFHWQSGIKEILCDTNENMPILLQEKSMFSLLGQGAAYLGGDGKLLNVGLEEDNWRKPSKNSILSTANRQDVFIENYGGRSCTCHKIDELVEQSCEASAEGSCWINLVYDSGEQYGRDICWLPKLHHIHWNGQVVPQFNVHVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIGQVVLPHYKKIFSGVSGFVTTSAYGALTGKKTASTLGTGLPSAMPWMVIPSREYWCLCYNAILACMSQPDSHPLI >Manes.09G010000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIGQVVLPHYKKIFSGVSGFVTTSAYGALTGKKTASTLGTGLPSAMPWMVIPSREYWCLCYNAILACMSQPDSHPLI >Manes.09G010000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKCRIWWPKYLASSELSSSHFLFGWFISCSSASIDIVVAFTCNDVSFFHWQSGIKEILCDTNENMPILLQEKSMFSLLGQGAAYLGGDGKLLNVGLEEDNWRKPSKNSILSTANRQDVFIENYGGRSCTCHKIDELVEQSCEASAEGSCWINLVYDSGEQYGRDICWLPKLHHIHWNGQVVPQFNVHVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIGQVVLPHYKKIFSGVSGFVTTSAYGALTGKK >Manes.09G010000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKCRIWWPKYLASSELSSSHFLFGWFISCSSASIDIVVAFTCNDVSFFHWQSGIKEILCDTNENMPILLQEKSMFSLLGQGAAYLGGDGKLLNVGLEEDNWRKPSKNSILSTANRQDVFIENYGGRSCTCHKIDELVEQSCEASAEGSCWINLVYDSGEQYGRDICWLPKLHHIHWNGQVVPQFNVHVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIGQVVLPHYKKIFSGVSGFVTTSAYGALTGKKTASTLGTGLPSAMPWMVIPSREYWCLCYNAILACMSQPDSHPLI >Manes.09G010000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIGQVVLPHYKKIFSGVSGFVTTSAYGALTGKKTASTLGTGLPSAMPWMVIPSREYWCLCYNAILACMSQPDSHPLI >Manes.09G010000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKCRIWWPKYLASSELSSSHFLFGWFISCSSASIDIVVAFTCNDVSFFHWQSGIKEILCDTNENMPILLQEKSMFSLLGQGAAYLGGDGKLLNVGLEEDNWRKPSKNSILSTANRQDVFIENYGGRSCTCHKIDELVEQSCEASAEGSCWINLVYDSGEQYGRDICWLPKLHHIHWNGQVVPQFNVHVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHVIRSFHVFMESVHLLRIHSKRMQAKRDLVFWSQFSIATS >Manes.09G010000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKCRIWWPKYLASSELSSSHFLFGWFISCSSASIDIVVAFTCNDVSFFHWQSGIKEILCDTNENMPILLQEKSMFSLLGQGAAYLGGDGKLLNVGLEEDNWRKPSKNSILSTANRQDVFIENYGGRSCTCHKIDELVEQSCEASAEGSCWINLVYDSGEQYGRDICWLPKLHHIHWNGQVVPQFNVHVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIGQVVLPHYKKIFSGVSGFVTTSAYGALTGKKCFQAVNTLACLFDCLLNQPKFSCSQNRIREPFFRSCLFCHPFQ >Manes.09G010000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHGTPYIKILLRLLRQRRFPSGIWFEIVSCHGSYQEFSCLHGVGSSSENSQQKDAGKERSGFLVSILHSNFLNIGQVVLPHYKKIFSGVSGFVTTSAYGALTGKK >Manes.09G010000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2048051:2055160:-1 gene:Manes.09G010000.v8.1 transcript:Manes.09G010000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKCRIWWPKYLASSELSSSHFLFGWFISCSSASIDIVVAFTCNDVSFFHWQSGIKEILCDTNENMPILLQEKSMFSLLGQGAAYLGGDGKLLNVGLEEDNWRKPSKNSILSTANRQDVFIENYGGRSCTCHKIDELVEQSCEASAEGSCWINLVYDSGEQYGRDICWLPKLHHIHWNGQVVPQFNVHVIVYETPVYGMHHFSLSSWISSEQVKGPLKKPEWVDELDRSQPLFDLDTIILAINSAAAAKAVIEKRMNSKRSYAGFSIIHVCLAFMWHVLAISVASISTLFYITIQLLYRFSSFGSKTQVFISLAGIFSTTWTNSRIRFCQILYWPIFLQDNGLRYRSCVEYAEKAALLRHSMWSSFAVDLLFGNLLGLLLLYNADSACLWVSTFASDITNELLRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFVGFLFIYFIKGLALLGILFGATILAALIIDMVALATLHVSSLHFAMSLLYSQQIQALAALWRLFRGRKWNPLRQRLDSYNYTVKQHVVGSLLFTPLLLLLPTTSVFYIFFTILNTAITFICVLIEITISMIHVIRSFHVFMESVHLLRIHSKRMQAKRDLVFWSQFSIATS >Manes.06G056433.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19271289:19272429:-1 gene:Manes.06G056433.v8.1 transcript:Manes.06G056433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLEETLKIEGGTVETHLGRQWERKSSPHVRTPAFKKTNTVLQVEQSSTWMTPYLRYLEEGKLPEDKDEARKIAARAANYQAIRGTLYRKGKSSPWLRCVSPEEAAKVMEEIHRGLCGAHEGAGTLANKIFRHGLSEGATYVRDLPTPSEPPPLLKRAYPVHGLSHNGESTSWDLSPRLRGKGNL >Manes.16G069500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26566706:26575580:1 gene:Manes.16G069500.v8.1 transcript:Manes.16G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGRNQKKVKRNSKRSSHEHFHPKDMEDEIDAFHKQRDIIPLDVNGDDGESTDDDEEPVFDDEDIDNDDGDDEEEDDDAYDTKFASKIRKQQKYFKEKFGAMGNEIHDDEDENDEDKKEVWGGKKPQYYGGHDYEGDSSDDEALKEEEEEILRMQREKAKNLSMEDFGLENVGEDENNRELTLEELSVKGKSKTRDSLIKEVEDNVDTFEEVKKDLNALSREEQMDVVYSSAPELVGLLSELNDALEQLESRVNPLLSTVKMGGTRLEGAVRYLEVEQLLLLAYCQAITFYLLLKSEGQPVRDHPVIARLVEIKGLLDKVKELDGNLPSEIEEFLKKNSEAEKKKNKVSKSDALAFASESVRKDCSSSLALAEPDAQEPAEPCSTRELVKMETSEDHVIKKKKHKRKIDEVGFQSLEMLKVRAALEEKLKQNGVFSSFAPKPNKAKKHAKSVNGQLQTYDDFNDDALDVEKGKHGLSNGHTSFMSSNKISQLVSARPNKPKVISGDDDLPKRDDIGERRRKHELKVLAGAGIKSEDDAVNEPGTLETDEGSDMEEDSDPGVSEDEFYRETKQIRDAKLAAKAKIYARNPSIPSLPETVDGKRQITYQIEKNKGLTRPRKKDLKNPRKKYRTKHDKQVKRRKGQVQQVKKPIGPYGGETSGINAGISRSIRF >Manes.12G044501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3277643:3281616:1 gene:Manes.12G044501.v8.1 transcript:Manes.12G044501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRLISLFRSSSASQLSSNAKPVDEEKSRAFGRKAVSFLLITVTGGVALSALDDLAIYQGCSSKAMEKASKNQAIKDAIGEPIAKGPWYNASLAVAHKRHSVSCTFPVSGPRGNGVFQLKAVRNGEDDWFSFFLPRDWEILVMEALLHVPDSEQKQQTVRISLSEKYPPPDCKACTSCPQGSQNPENK >Manes.15G083000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6386153:6388867:-1 gene:Manes.15G083000.v8.1 transcript:Manes.15G083000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMLRFHQCSSQQLKALFTHSGEGSMSSDIDLKGPSSPKLPSSVSRSRGKHYASNKCGGNGRNLSLNKQHPVKVLNGNSSRVNSGSGKEIKPKISFINSKRISRSKRSFVLEKDLTQNVKENDLKGAANHGTSECSPNSVVDKAGESTSSTITSESGLKPQQRNLEVSSQAFGYSSGLLSAIRISLRKSCVTRQASRGDFNNNNGRQSRGHSTGSSSNPHFDFKSSTVALVQHREWTPDSRNAAGMTRATKNKDHNVSKDRINNVRREKSFSKIAHQEVEKSKVQNKSLGGKALQQRVNGQGSLAGAAKARQKVMVGRHDRLMGGGNENAIGRVPLSPKFSANGVIARGQKEAKQNVPLKTDRTRLVGPKEKNSSLNRGKHPTNATQSQRVYLR >Manes.15G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6386153:6390228:-1 gene:Manes.15G083000.v8.1 transcript:Manes.15G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYTKDHWAFLEEIEAPMWVDLTLEAKSNSQDIDDGWFYTSHVFHQCSSQQLKALFTHSGEGSMSSDIDLKGPSSPKLPSSVSRSRGKHYASNKCGGNGRNLSLNKQHPVKVLNGNSSRVNSGSGKEIKPKISFINSKRISRSKRSFVLEKDLTQNVKENDLKGAANHGTSECSPNSVVDKAGESTSSTITSESGLKPQQRNLEVSSQAFGYSSGLLSAIRISLRKSCVTRQASRGDFNNNNGRQSRGHSTGSSSNPHFDFKSSTVALVQHREWTPDSRNAAGMTRATKNKDHNVSKDRINNVRREKSFSKIAHQEVEKSKVQNKSLGGKALQQRVNGQGSLAGAAKARQKVMVGRHDRLMGGGNENAIGRVPLSPKFSANGVIARGQKEAKQNVPLKTDRTRLVGPKEKNSSLNRGKHPTNATQSQRVYLR >Manes.16G117100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32148051:32148380:1 gene:Manes.16G117100.v8.1 transcript:Manes.16G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGDWCNSRSRAFRLGQYHDEIGSTSSQSLAGLNKLRWKVLWMKLKKEKMKLFESSSGLLQQVPPYYDEHSYRQNFDQGSGQLEPENLSRSFSVRFADPCRHPLRKGE >Manes.10G083832.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:20972045:20972599:-1 gene:Manes.10G083832.v8.1 transcript:Manes.10G083832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNIDGPYSGCNPKAFQLSKEWRQNVELARAQLEKAKSHMKKWADENRRLQEFIVGDLVIVKLLPEQLRFLRNRDKRLVRKYEGPVPVVAKIGPTSYRIEPPKWMTVHPVFHVSKLNPYNADESDASRNITSRPAVTGAPPVNQGVEEILAERVVKSTKRPPHKEYLIKWNGLGVEETSWEKE >Manes.05G087300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7890881:7893421:1 gene:Manes.05G087300.v8.1 transcript:Manes.05G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLHVDSKSPSEEETDRSRQANDDMGTGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKPRPSSVIPSISSKVDDDYYASLRGSPSIQSYPSYYSPAHHHHEVLINYQTLLPAASTWGFRSSQSDDLYMQSPQLLNPFEEDWRRSLSLQIGPSHVDDNKEKTEDGSEADELDLELRLGHDP >Manes.02G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5820661:5823323:1 gene:Manes.02G076600.v8.1 transcript:Manes.02G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVDKPPSLPPYPEMILAALEALNEKNGSNKTSISKHIESKYGELPAAHTTLLAHHLNKMKDTGELVFWKNNYMKADPNAPPRRGRGRPPKPKVPLPLGSLLAPARPRGRPPKDPNASPTPKSVKPKVAATGSGKPRGRPRKMARPTGGMSGTTATTTVVMASGTGRPRGRPPKVKPGSVTEVSVQI >Manes.01G180100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35913996:35926229:1 gene:Manes.01G180100.v8.1 transcript:Manes.01G180100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMSRTDRMASDLSRTGPVERDIEQAITALKKGACLLKYGRRGKPKFCPFRLSNDESVLVWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISLSHHRKWKTESRIDGIPSEANSPRTYTRRSSPLNSPFGSNDSLQKDGDHLRLHSPYESPPKNGLDKAFSDVILYAVPPRGIFPSDSASGSLHSLSSGGSDSIHGHMKAMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGILGGGTHGVGSGSGVKMDSLLPKALESTVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGVDYDVLHPKLIDALSNVNAEFVACGEYHTCVVTLSGDLYTWGDGTHNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVSSAGQLFTFGDGTFGVLGHGDRRSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVETNFCRVASGHSLTVALTTSGHVYTMGSPVYGQLGNPHVDGKLPTRVEGKLSKSFVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDIDDRNVPTLVEALKDKQVKSIACGTNFTAAICLHNWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSIKKSLKASMAPNPNKPYRVCGNCFNKLRKAIETDASSQSYVSRRGNVNHGHNEFIDKDEKLDSRSRAQLASFSSMESLKQAENRSKRNKKLEFNSSRVSPVPNRGSQWGALNISKSFNPMFGSSKKFFSASVPGSRIVSRATSPISRRTSPPRSTTPTPTLGGLTSPKIVVDDAKRANESLSQEVIKLRVQVENLTHKAQLQEAELERTTKQLKEAIAITGEETAKCKAAKEVIKSLTAQLKGMAERLPVGAARNIKSPSFTSLALPTPSIDVPNASLDHLNGRITSEGSVTIGLNSQILSNSSSANTIRTSGHNKQGHLETTARNLSRTKEGESNHEAEWVEQDEPGVYITLTSLPGGIKDLKRVRFSRKRFSEKQAEQWWAENRGRVYEHYNVRMIDKSSVGVGSDDLVR >Manes.06G103400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23636005:23637342:1 gene:Manes.06G103400.v8.1 transcript:Manes.06G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQKAATEDVKMDLFEDDDEFEEFEINEEWEDKEEGKEATQQWEDDWDDDDVNDDFSLQLRRELEKNTEKN >Manes.08G047800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4830770:4835999:1 gene:Manes.08G047800.v8.1 transcript:Manes.08G047800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNSEVKSKMEDYEVIEQIGRGAFGSAFLVLNRTENKKYVLKKIRLAKQTEKFKRTAHQEMDLIANLNNPYIVEYKNSWVEKGNCICIVTAYCEGGDMAEIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLSTEGLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEMAAHQPAFRAPDMAALINKINRSSISPLPIMYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYLLRCRNASSVFLPIKPINSTKEKTRRKSLSGKPSGGSDKANKDRDAGPVHQPESGNPFERNGVAQQSNQPHINIPTSTSSAEDSLETKRVDPTSYTAEVSDSMTGPKDSSTDSETSICIEDKQADSSSPAQKDGTDIEFTLKSTLNAQHEDETTSEHFQQLQEVNVNHVPAKDQPTFCKQKVVEEAETEGEGATTGESRELALTSLSCIEKDAPADDKSSPSPITKPYVEPELCLKKPESPDVYTEETQMEYLSSESNDVLPCKDEIQAKPDENNCSLQMEKDDAYGMRVPTPSEISLLNTIAAMHGDETKNDWENPGQQRADALESLLELCARLLKQDKIDELASVLKPFGEEVVSSRETAIWLTKSLMSQHKFNGGT >Manes.08G047800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4830126:4835999:1 gene:Manes.08G047800.v8.1 transcript:Manes.08G047800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNSEVKSKMEDYEVIEQIGRGAFGSAFLVLNRTENKKYVLKKIRLAKQTEKFKRTAHQEMDLIANLNNPYIVEYKNSWVEKGNCICIVTAYCEGGDMAEIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLSTEGLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEMAAHQPAFRAPDMAALINKINRSSISPLPIMYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPYLLRCRNASSVFLPIKPINSTKEKTRRKSLSGKPSGGSDKANKDRDAGPVHQPESGNPFERNGVAQQSNQPHINIPTSTSSAEDSLETKRVDPTSYTAEVSDSMTGPKDSSTDSETSICIEDKQADSSSPAQKDGTDIEFTLKSTLNAQHEDETTSEHFQQLQEVNVNHVPAKDQPTFCKQKVVEEAETEGEGATTGESRELALTSLSCIEKDAPADDKSSPSPITKPYVEPELCLKKPESPDVYTEETQMEYLSSESNDVLPCKDEIQAKPDENNCSLQMEKDDAYGMRVPTPSEISLLNTIAAMHGDETKNDWENPGQQRADALESLLELCARLLKQDKIDELASVLKPFGEEVVSSRETAIWLTKSLMSQHKFNGGT >Manes.15G026000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2044402:2059366:1 gene:Manes.15G026000.v8.1 transcript:Manes.15G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQLDHPPHQHLQEPQLSSDYLEKTKSLICALNFVSRDLPLPPDLFNTVYSIYSGPQNVDNGTLDGVTHDEDESQDDPGNPMEGDLITELEDAIVKQRPNCMSGFVLGESREKRYQGRILHRLHELEELPSTRGEDLQTKCLLELYGLKLAELQRKVRTEVSSEYWLRLNCASPDKQLFDWGMMRLRRPLYGIGDAFATEADDQFRKKRDVERLSRLEEEERNHIETRKRKFFAEILNAVREFQLQVQASFKRRKQRNDGVQAWHGRQRQRATRAEKLRFQALKADDQEAYMKLVKESKNERLTMLLEETNKLLVNLGAAVQRQKDGKHADGIEPLKDSESDSPELDPSRNESQGDTPPEEDANIIDSDRNDDSSDLLEGQRQYNSAIHSIQEKVMEQPSMLQGGQLRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKEKKGVCGPYLIVAPKAVLPNWINEFSTWVPEDEIKAVLYDGRLDERKALREQLSRDGNFDVLITHYDLIMRDKAFLKKIYWVYMIVDEGHRLKNHECALARTLLSGYQIQRRLLLTGTPIQNSLQELWSLLNFLLPSIFNSVQNFEEWFNAPFADRGDVTLTDEEQLLIIRRLHHVIRPFILRRKKDEVEKYLPGKSQVILKCDMSAWQKVYYQQVTEMGRVGLHTGSGKSKSLQNLSMQLRKCCNHPYLFVGEYNIWRREEIMRASGKFELLDRLLPKLRATDHRVLLFSQMTRLMDILEVYLQLRDYKYLRLDGSTKTEERGTLLKQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILDRAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEEIMRRGTSSLGTDVPSEREINRLAARSPEEFRIFERMDKERRQKENYRARLMEEHEVPEWAYSKPDKEDKAKGFEQNNIAVLGKRRRKDVTYADTLSDLQWVKAVESGQDISKLSSKGRRREYPSSEGNESAINSAGTEKRALESRNEFMPTASEGTSEDTIGSTPKRFKPDGGIHENPEYQGVENQGVRGNNWSGHVFTWNAHKKKRSSYIIQSSFSDSRRQNSNGRGNSWA >Manes.08G095500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32281174:32282739:1 gene:Manes.08G095500.v8.1 transcript:Manes.08G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHVFQPSWPFCSIISSNLDQVECFDDFMDIDAYVDACEFSSKFSTPDDNSSDISSFPCFSTIFPTQFAQSPMTSGDDQSQATLSVDDFLQDPNFCGDMEFVFSENEGSFPSQEVASEGSGWSPSHSVKTNEAPPSLTLPGEESELDKQLSVLHLLKAYGEAMENRQSDLADVIMRCVSDKVSLNDGPLLRLAFNLSQDVENHGDYLEKESSKNFDSAFRVFYQIFPYGRFAHFTANSVILEALPADTETIHIVDFDMGEAVQWPPMLEALARLKKGVKLTAIKWENENCHRAPSIWSFEEAKKRLVDHARQFGLKLKVAEMEIEELASEIKRMKKRGGRREWLAFNCTVQLPHMGRMRSRKAVEEFLTVAKESMAISANRGIITLADGDSWENLKDCSGFGSFFEGNIAHYQALLDSIESNFPVHLAEARMALECLFVSPFISSKVWLEKWMEIKASCNVEIGSSLEGWRISRESMEEAAEMVKGNQNLYRLRTGGQWNNEMILEWKGHPLVRVCAWRN >Manes.18G042300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3722255:3725268:-1 gene:Manes.18G042300.v8.1 transcript:Manes.18G042300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMEGDVLWQSFGSPTDTLLPLQPLTKHTQLVSSRSHTNFSSGFYRLIFDDKNLLRLLYEGPDEVSSVYWPYPWLKDWEGGRFPYNSSRIASLDSLGKFTSSDNFAFISSDYGVSLQRRLTLDFDGNARLYSREEGSATWVVTWQAKSQVCEIHGICGPNSTCSYNPLSGSKCSCLPGYKMKEIGDWSYGCEPEFDLSCSNHAEIDFIQLRHVEFYGNDGNFYPNVSLEMCKKLCLESCDCEGFQYRYIGDTIVPYCYPKMLLLNGQYTPSFGGDFYLKVPKTSLLSGNQVASGSGLNICSSEHSKTWALNRVYARSPENGTLKFMLLFTYGLGGVEIVAILLVWCFLNKSQKDSNEATQNYHPAATGFKRFTYSELKKAAQNFSLEIGRGAGGIVYKGTLSDNRIAAIKRLNVANQGEAEFLAEISTIGKLNHMNLIEIWGYCAEGKHRLLVYQYMEHGSLSKNLSADTLDWQKRFEIALGTARGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVSDFGLSKLLSRGDLNHSSFSKIRGTRGYMAPEWLFNMPITSKVDVYSYGVVVLEMVTGKSAAAAGSDGIETNGAIDEHKSLVKLARDEMIIANNGVTSWIKEIIDPKLKGNYDQAKMEALVSVALQCVEEDKDARPTMSTVVEKLLLV >Manes.18G042300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3722262:3725212:-1 gene:Manes.18G042300.v8.1 transcript:Manes.18G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNMHTYFFFLVFALIISPLFSAAYSVLRGGSYLSVENQGDVLISPRGGFSAGFYPVGDNAYCFAIWFSIPSCSNNCTAVWMANRDFPVNGKRSELLLLKTGNLVLTDAGKTTVWSTDALPNPVELRLRDTGNLVLQNMEGDVLWQSFGSPTDTLLPLQPLTKHTQLVSSRSHTNFSSGFYRLIFDDKNLLRLLYEGPDEVSSVYWPYPWLKDWEGGRFPYNSSRIASLDSLGKFTSSDNFAFISSDYGVSLQRRLTLDFDGNARLYSREEGSATWVVTWQAKSQVCEIHGICGPNSTCSYNPLSGSKCSCLPGYKMKEIGDWSYGCEPEFDLSCSNHAEIDFIQLRHVEFYGNDGNFYPNVSLEMCKKLCLESCDCEGFQYRYIGDTIVPYCYPKMLLLNGQYTPSFGGDFYLKVPKTSLLSGNQVASGSGLNICSSEHSKTWALNRVYARSPENGTLKFMLLFTYGLGGVEIVAILLVWCFLNKSQKDSNEATQNYHPAATGFKRFTYSELKKAAQNFSLEIGRGAGGIVYKGTLSDNRIAAIKRLNVANQGEAEFLAEISTIGKLNHMNLIEIWGYCAEGKHRLLVYQYMEHGSLSKNLSADTLDWQKRFEIALGTARGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVSDFGLSKLLSRGDLNHSSFSKIRGTRGYMAPEWLFNMPITSKVDVYSYGVVVLEMVTGKSAAAAGSDGIETNGAIDEHKSLVKLARDEMIIANNGVTSWIKEIIDPKLKGNYDQAKMEALVSVALQCVEEDKDARPTMSTVVEKLLLV >Manes.18G042300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3722265:3725232:-1 gene:Manes.18G042300.v8.1 transcript:Manes.18G042300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMEGDVLWQSFGSPTDTLLPLQPLTKHTQLVSSRSHTNFSSGFYRLIFDDKNLLRLLYEGPDEVSSVYWPYPWLKDWEGGRFPYNSSRIASLDSLGKFTSSDNFAFISSDYGVSLQRRLTLDFDGNARLYSREEGSATWVVTWQAKSQVCEIHGICGPNSTCSYNPLSGSKCSCLPGYKMKEIGDWSYGCEPEFDLSCSNHAEIDFIQLRHVEFYGNDGNFYPNVSLEMCKKLCLESCDCEGFQYRYIGDTIVPYCYPKMLLLNGQYTPSFGGDFYLKVPKTSLLSGNQVASGSGLNICSSEHSKTWALNRVYARSPENGTLKFMLLFTYGLGGVEIVAILLVWCFLNKSQKDSNEATQNYHPAATGFKRFTYSELKKAAQNFSLEIGRGAGGIVYKGTLSDNRIAAIKRLNVANQGEAEFLAEISTIGKLNHMNLIEIWGYCAEGKHRLLVYQYMEHGSLSKNLSADTLDWQKRFEIALGTARGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVSDFGLSKLLSRGDLNHSSFSKIRGTRGYMAPEWLFNMPITSKVDVYSYGVVVLEMVTGKSAAAAGSDGIETNGAIDEHKSLVKLARDEMIIANNGVTSWIKEIIDPKLKGNYDQAKMEALVSVALQCVEEDKDARPTMSTVVEKLLLV >Manes.18G145405.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:28299134:28300031:-1 gene:Manes.18G145405.v8.1 transcript:Manes.18G145405.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSIPSLTKDLKRNKSFRKRQTRTEREMGNSLRCCLACVLPCGALDLIRIVHLNGHVEEITRPITAGEILKANPNHVLSKPSSQGVVRRILIISPESELKRGSIYFLIPASSLPLEKKKTGRKFTRKKYSSSRKSKKSNPDDLTSDCDRYLTGIISSNNKSLRRDHRTCRTGIWQPRLERITED >Manes.03G108966.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23117243:23118898:1 gene:Manes.03G108966.v8.1 transcript:Manes.03G108966.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFIGGWPGHLRKPKIRPSCGNQPCQVSEFPLAKPAEHPTTGCFCLVGLLAHQPVNRPCSKYATFIPVLDKFNFVIKYWGIPPSITELFRLLGTKLNFSTSFHLQTNGQTKRFKRRQPLTRHTVATSYGGRSPSTEITKEYLSKASKKMKKWADILRRHVKFKKGDLVMVKLLPHLQRDYGKVHKDLLRRYEGPFLIEKRIGKVTYRVNLPDHIESHLVFHVSMLKPFHKNEGKPSRGVCQRAPAAIGRSYANQVKEIVSHRVVPMRGNHPSYKEYLVHWKRLSDAEATWETTQSNELNN >Manes.06G079900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21555694:21559778:-1 gene:Manes.06G079900.v8.1 transcript:Manes.06G079900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISYLHTRLQHDPADDDSHHRHHHHRRPRHPRNLTVDPYFPLFSSSDSHSPHATLHDPYSLSDHTNFNIRTLDDDAVSDPESVILGGPDILDRQNQVSFVMDLFQQRVEQSQVMGRSSHLVSDSLNESDFGVIEENCELGMDNLELELGLGFGLDGHENGGFQNIGHNHSHSDNNNDYSRNIIIDDDDDDFFVERRLSGIQSCEAESTVSVRTSAMRVVGFGSDSDSEDNDNMLAIDLNSGDEYGLDRLNIENDNYDNVGDDDEEDASVTIPLCWDSLQLEDHRENNEDFEWEEVDGRVDEREVLSMFVDDDEASVSLSISPIIAPEDMVSVERVGGLGSLEWEVLLNANNMDSNLDREQDHNAEPYFGDHDDYIYTAEYEMLFGQFADSENALMGRPPAAKFVVEKLPSVVLTKEDVNNSAFCAVCKDELNVGESAKQLPCTHRYHGECIVPWLGIRNTCPVCRYELPTDDADYERRKAAQRAASASRRL >Manes.06G079900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21555694:21559778:-1 gene:Manes.06G079900.v8.1 transcript:Manes.06G079900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISYLHTRLQHDPADDDSHHRHHHHRRPRHPRNLTVDPYFPLFSSSDSHSPHATLHDPYSLSDHTNFNIRTLDDDAVSDPESVILGGPDILDRQNQVSFVMDLFQQRVEQSQVMGRSSHLVSDSLNESDFGVIEENCELGMDNLELELGLGFGLDGHENGGFQNIGHNHSHSDNNNDYSRNIIIDDDDDDFFVERRLSGIQSCEAESTVSVRTSAMRVVGFGSDSDSEDNDNMLAIDLNSGDEYGLDRLNIENDNYDNVGDDDEEDASVTIPLCWDSLQLEDHRENNEDFEWEEVDGRVDEREVLSMFVDDDEASVSLSISPIIAPEDMVSVERVGGLGSLEWEVLLNANNMDSNLDREQDHNAEPYFGDHDDYIYTAEYEMLFGQFADSENALMGRPPAAKFVVEKLPSVVLTKEDVNNSAFCAVCKDELNVGESAKQLPCTHRYHGECIVPWLGIRNTCPVCRYELPTDDADYERRKAAQRAASASRRL >Manes.06G079900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21555930:21559775:-1 gene:Manes.06G079900.v8.1 transcript:Manes.06G079900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISYLHTRLQHDPADDDSHHRHHHHRRPRHPRNLTVDPYFPLFSSSDSHSPHATLHDPYSLSDHTNFNIRTLDDDAVSDPESVILGGPDILDRQNQVSFVMDLFQQRVEQSQVMGRSSHLVSDSLNESDFGVIEENCELGMDNLELELGLGFGLDGHENGGFQNIGHNHSHSDNNNDYSRNIIIDDDDDDFFVERRLSGIQSCEAESTVSVRTSAMRVVGFGSDSDSEDNDNMLAIDLNSGDEYGLDRLNIENDNYDNVGDDDEEDASVTIPLCWDSLQLEDHRENNEDFEWEEVDGRVDEREVLSMFVDDDEASVSLSISPIIAPEDMVSVERVGGLGSLEWEVLLNANNMDSNLDREQDHNAEPYFGDHDDYIYTAEYEMLFGQFADSENALMGRPPAAKFVVEKLPSVVLTKEDVNNSAFCAVCKDELNVGESAKQLPCTHRYHGECIVPWLGIRNTCPVCRYELPTDDADYERRKAAQRAASASRRL >Manes.06G079900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21555197:21559828:-1 gene:Manes.06G079900.v8.1 transcript:Manes.06G079900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISYLHTRLQHDPADDDSHHRHHHHRRPRHPRNLTVDPYFPLFSSSDSHSPHATLHDPYSLSDHTNFNIRTLDDDAVSDPESVILGGPDILDRQNQVSFVMDLFQQRVEQSQVMGRSSHLVSDSLNESDFGVIEENCELGMDNLELELGLGFGLDGHENGGFQNIGHNHSHSDNNNDYSRNIIIDDDDDDFFVERRLSGIQSCEAESTVSVRTSAMRVVGFGSDSDSEDNDNMLAIDLNSGDEYGLDRLNIENDNYDNVGDDDEEDASVTIPLCWDSLQLEDHRENNEDFEWEEVDGRVDEREVLSMFVDDDEASVSLSISPIIAPEDMVSVERVGGLGSLEWEVLLNANNMDSNLDREQDHNAEPYFGDHDDYIYTAEYEMLFGQFADSENALMGRPPAAKFVVEKLPSVVLTKEDVNNSAFCAVCKDELNVGESAKQLPCTHRYHGECIVPWLGIRNTCPVCRYELPTDDADYERRKAAQRAASASRRL >Manes.16G038752.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6931514:6933710:1 gene:Manes.16G038752.v8.1 transcript:Manes.16G038752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAQQGVSSNPPLILSNQPPITSSQTEDHFTKVVDNTGTQKGKCNYCDKEFFSDPKKNGTTSLRNHIFVCIKNPHSMTTRQSQLSLQPTCSTQEGGGGTHIGTLSSWHFDQNVSRQKLAKMIIVDVLPFMFVEGEGFREWVEYTQPRFRIPSRWTVSRDCYDLYLEERKKLKIFFQKTSQRVCITTDTWTSLQRINYMCVTAHFIDDNWTLQKKIINFCPITSHKVFTITVDNAGSNDVAISYLKKKINAWGFSILNCKYLHMRCIAHIINLVVVDGMNDGLTPIKKVRDAVRYIRQSPARLQRFKACCEMEGIQSKSSLYLDVSTRWNSTYLMLSSALKFENAFDRYATVDPYFKIDLQSCEGDGVPDSLEWEYIGKIVEFLGHFYELTLRISGSRYVTYNIFFDEGDPDKMNKIIYIAVVVDPRYKLEFMHFALSTRIFQSENANEHIGNVSESIEEGTKKKPRMRLGHQFMQHKIEIGEAKSKSDLDSYLNEDILILDEKEDFDILKWWKMNANRFPILSHMQ >Manes.18G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:879931:882343:-1 gene:Manes.18G005000.v8.1 transcript:Manes.18G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPEFQEDDILLKLDFDDLGRLDWDNLFTGLPEASPPSLQASSSPANFCNSSPDSVSSWIGELENILMKDDDDSVAVEPTQQLSNDFLADILVDSPPAVSAEVVDAAINRDSSASDNGIGSASETEKDRKKEVADGAEVRSDGQDPEDPSSKKRRRQLRNKDAAFRSRERKKMHVRDLEIKSRYLEGECRRLGRLLQCVIAENQALHLSLQKGNAFGVTSAKQESAVLLLESLLLGSLLWFLGIMCLFTQLRLPHSTPVPVSLENREKEVPEGGEGSKMFTPLLILSFVSSRRCKASRTRMKPRFIFIGDLTY >Manes.05G054000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4478431:4478769:-1 gene:Manes.05G054000.v8.1 transcript:Manes.05G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLVILALVVVALVEAMPGEAVDCRLVDASLAFCIPFLARGDGFPSPTCCLGVRNLQVLTLTTADRRAACECIKAVGARIPFINEDAASSLPQKCGVDLNIPISRTADCQR >Manes.01G200450.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37390090:37398971:-1 gene:Manes.01G200450.v8.1 transcript:Manes.01G200450.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDLQKLDGGEAPEDLQLKHEDVDVPEKLQPTQIQESAHDEGNRWPGWPGENVFRVLIPLHKVGSFIGRKGESIKKIIEETKARIKVLDGPPGFLERAVMVSAKEEPNVPISPAMDGLLRVHKQIFGSDDAASPATLGIKARLLVPGAQAASLIGKQGSTIKSIQATSGCIIRVLGAEHLPPFALEDDNIVEIQGEPAGVHKGVELVASHLRKFLVDRSIIGVLEKQMQMPSVQSNQNMPPQQPWGPPQGFLNSAGGVNGYGSNPQHLLSQHQFDNYHPHSDIPSFDKQPHQGPSLYGKDISISHSSNTQPQPVVGKVTHHMQIPLSYADAVIGVSGAKISYIRRASGAAIAVQETRDVPGEMTVEISGSASQMQTAQQLIQISRESVVS >Manes.01G200450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37390090:37398971:-1 gene:Manes.01G200450.v8.1 transcript:Manes.01G200450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGSWKEQFSSNLKPQINDESGIKLEEPKIKIAGVMVSAKEEPNVPISPAMDGLLRVHKQIFGSDDAASPATLGIKARLLVPGAQAASLIGKQGSTIKSIQATSGCIIRVLGAEHLPPFALEDDNIVEIQGEPAGVHKGVELVASHLRKFLVDRSIIGVLEKQMQMPSVQSNQNMPPQQPWGPPQGFLNSAGGVNGYGSNPQHLLSQHQFDNYHPHSDIPSFDKQPHQGPSLYGKDISISHSSNTQPQPVVGKVTHHMQIPLSYADAVIGVSGAKISYIRRASGAAIAVQETRDVPGEMTVEISGSASQMQTAQQLIQNCIAEAKSNLQNRIGESMGQGFNAYPAFSASGSVSQEYTPYAAEDPMYASELAPHVSHPPIEDYASVFGTNFGY >Manes.01G200450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37390090:37398971:-1 gene:Manes.01G200450.v8.1 transcript:Manes.01G200450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDLQKLDGGEAPEDLQLKHEDVDVPEKLQPTQIQESAHDEGNRWPGWPGENVFRVLIPLHKVGSFIGRKGESIKKIIEETKARIKVLDGPPGFLERAVMVSAKEEPNVPISPAMDGLLRVHKQIFGSDDAASPATLGIKARLLVPGAQAASLIGKQGSTIKSIQATSGCIIRVLGAEHLPPFALEDDNIVEIQGEPAGVHKGVELVASHLRKFLVDRSIIGVLEKQMQMPSVQSNQNMPPQQPWGPPQGFLNSAGGVNGYGSNPQHLLSQHQFDNYHPHSDIPSFDKQPHQGPSLYGKDISISHSSNTQPQPVVGKVTHHMQIPLSYADAVIGVSGAKISYIRRASGAAIAVQETRDVPGEMTVEISGSASQMQTAQQLIQNCIAEAKSNLQNRIGESMGQGFNAYPAFSASGSVSQEYTPYAAEDPMYASELAPHVSHPPIEDYASVFGTNFGY >Manes.09G188400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37475538:37477430:-1 gene:Manes.09G188400.v8.1 transcript:Manes.09G188400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNNAERANGEETNQIEQNKVRIMRAHVEREDPSVKDVDDLMIRRFLRARELDIEKASALLLKYLSWRRSSLPNGFISPSEIPNELAQNKLFMQGVDKKNHPIVVVFGAKHKPYKGHMEEFKRFVAFTLERICERMPPGQEKFVAIADIEGWGYTNSDIRGYLAALSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSKTRKKV >Manes.09G188400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37474665:37477430:-1 gene:Manes.09G188400.v8.1 transcript:Manes.09G188400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNNAERANGEETNQIEQNKVRIMRAHVEREDPSVKDVDDLMIRRFLRARELDIEKASALLLKYLSWRRSSLPNGFISPSEIPNELAQNKLFMQGVDKKNHPIVVVFGAKHKPYKGHMEEFKRFVAFTLERICERMPPGQEKFVAIADIEGWGYTNSDIRGYLAALSILQDCYPERLAKLFIVHVPYIFMTAWKVVYPFIDSKTRKKINFVESKKLKSTLLEDIDESQLPDIYGGRLPLVPIQDC >Manes.12G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1774983:1778788:-1 gene:Manes.12G020200.v8.1 transcript:Manes.12G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFGNDPFSLHSSSTSLAEDILIKKILLTHDPDGRRLDSELLLCAMENIMCYTATSEVLFNLQVSRFNIDAIAENDMSNIEVTGAEESLGQIIHNISNEMLFKNAGEGDLHERTMILFDLLGNYRWDAKLALILAAFATSYGEFWLIMQLYPHNPLAVSVAMLKRLPNDVSILKPRFKAIGKLVKTMVDLTKCIIKFEALPLRYVKLDDEANSIAKSHIYVAAYWITRSTLACSSQITDLMAMKPEQVSSNQIALALWQLSSLVHRLSSIYSHLNQQMDLCHQQIETRLHQKLLKILPEAHADNQEVLGLLLALQDDLPLKKSSTREKLGVSELKDKVVVLLVSKPELLPLERLLLLVHQTNVHLPHKNLDESYEIVWIPISFSDKWSEAEAERFNLLSDSLPWYSLRRPWLLNSAVVNYIKQVWNFKSDPLMVVLDSQGMVTNSNAIDMILIWGAKAYPFSSSREKQLWEEEHWTLKLLVDEIDPLLTRWVEEGRNICIYGSENVDWIRQFNSKIMEIRSDGIQIETVYVGSRHLSENVTHILATINEEMHRSFLSFTELHFFWFRLESMRRSIFRAGQSIRSHHIQEEVSTLLESTDEGWAIIGRGSTKDIMKLQGRRAMDCLNKFSEWGEKVMKLGFLGALRNVVEGSTLTGAGPCGHSNIVPYAEGLVEEVAVCNKCKRLMESYVVYE >Manes.07G125200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32816478:32821388:-1 gene:Manes.07G125200.v8.1 transcript:Manes.07G125200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNQNSKSNRMNCSSSMSDVVLGCVMPYIDDPRDRDAVSLICRRWYELDALTRKHITIALCYTTSPDRLRRRFKHLESLKLKGKPRAAMFNLIPEDWGGFVTPWVNEIAESFNCLKSLHFRRMIVTDSDLEVLSKSRGRVLLVLKLDKCCGFSTDGLLHVGRLCRQLRTLLLEESSILEKDGDWLHEIALNNTVLETLNFYMTDLNKVRFEDLELIAKNCHNLVSVKISDCEILDLGGFFHAAAALEEFCGGSFNDIPDKYSAVTFPRKLCRLGLTYMGKNEMPIVFPFASQLKKLDLLYALLDTEDHCLLIQKCFNLEVLETRNVIGDRGLEVLASSCKRLKRLRIERGADEQGMEDEEGVVSQRGLIALAQGCLELEYMAVYVSDITNAALEQIGARLRNLNDFRLVLLDREERITDLPLDNGVRSLLRQCEKLRRFALYLRPGGLTDVGLAYVGQYSTNVRWMLLGYVGESDEGLLEFSKGCPSLQKLEMRGCCFTESALARAVMQLTSLRYLWVQGYRASSSMPGRGLLAMIRPFWNIELIPSRNVVMVNQVGEDVLVEQPAHILAYYSLAGPRTDCPNSVVPLDAVGLVAT >Manes.03G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24201382:24203523:1 gene:Manes.03G116400.v8.1 transcript:Manes.03G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTSGPGNVVLSLKLALISTVVLSVAVMLKLSVPVVTDFAVTELPVVYSSVISWLRPPYLYLVINGIIISIVASSKLQKPEEPSTQPVIIHQPTPAVMATKASADISSDYIEGVVVSGSLSGYQDLNVADKVVPVDDRTVNKIDEGRVVEQERPVMGGGDEAAVSYKSVQHPQKSDSVEDLFEKEKKKPLVSARFGSKKSVKANPEAGKATVLGVSKPKRHDTLESTWRMITDGRPMPLTRHLKKSDTWDTHVHRDDTTTPQPLPTKMKKSETFSENKSKLRRDSDQGSGKLKKEPSLSQDELNRRVEAFIKKFNEEMRLQRLESLNQYREMLNRGAY >Manes.08G016500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1663102:1667043:-1 gene:Manes.08G016500.v8.1 transcript:Manes.08G016500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRTFSFWLFAFAIALISIIISISAILPSSLTTAPIKVPDSFQLLILRRVFKETGLEDTISRILSRHHHHHHHHHHHHHRKKPKCDRNSWKSRIMYRYGRVIYHYKVSSVLTVDLKGCANFSSVQKAVDVAPDFSPFPTLIIIDSGTYREKVTVHNSKTNLIFQGQSYQNTAIEWNDTANSTGGTVYSASVAIFALNFRAYNISFKNTAPSPSPGEVGGQAVALRIAGDQAAFYGCGFYGAQDTLHDDRGRHYFRECFIQGSIDFIFGNARSLFEHMTMQLSSQGCIINSIAKPPVAGVSGSVTAQARQSMSEQTGFSFVKCIVVGSGKVWLGRAWGAYATVVFSKSYMSNVVSPDGWNDWRDPSRDQTVFFGEYECYGDGANYAYRVSYGKQLTEYEASPYMNISYIDGDQWLHLQNPISIFSHEDDYDDGLPEFIHTF >Manes.01G107900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30703606:30704179:-1 gene:Manes.01G107900.v8.1 transcript:Manes.01G107900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNRATMKGSKKKMSCSNRSLGGYLREQKGRLYIIRRCIVMLLCWHD >Manes.04G025400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2917344:2925139:-1 gene:Manes.04G025400.v8.1 transcript:Manes.04G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHALNHCETLSSSAIFNNKSLSRSLFSLSKRHSFELFSSKNRNFSSLNVQTWPPRLTRLSKRYHLVSSVRCSAEMTTKAVKEPPKVGKRTDLKKIMILGAGPIVIGQACEFDYSGTQACKALKDEGYEVVLINSNPATIMTDPELADRTYITPMTPELVEQVIEKERPDALLPTMGGQTALNLAVALAERGALEKYNVELIGAKLDAIKKAEDRELFKQAMKIIGLKTPPSGVGNTLDECIEIANEIGEFPLIIRPAFTLGGTGGGIAYNKEEFESICKAGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIKIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSIGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPVLTTQMKSVGEAMSIGRTFQESLQKAVRSLECGYSGWGCAPIKELGWDWDQLKYNLRVPNPDRLHAVYAAMKKGMKVDDIYELTFIDKWFLTQLKELVDVEQYLMTRSLSDLTKDDFYEVKKRGFSDKQIAFATKSTEKDVRSKRISFGVAPAYKRVDTCAAEFEANTPYMYSSYDLECESSPTKTKKVLILGGGPNRIGQGIEFDYCCCHTSFALQSAGFETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDLERPDGIIVQFGGQTPLKLALPIQQYLEEHKPISASGAGHVRIWGTSPDSIDAAEDRERFNAILKELKIEQPKGGIAKSEADALAIAADIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLENAVEVDPDRPVLIDKYLSDAVEIDIDALADSYGNVIIGGIMEHIEQAGVHSGDSACILPTQTVSSSCLATIKSWTKKLAKSLNVCGLMNCQYAITASGEVFLLEANPRASRTVPFVSKAIGHPLAKYAALVMSGMSLNEVGFTKEVIPSHVAVKEVVIPFGRFPGCDVLLGPEMRSTGEGMGIDFVFSMAFAKSQLATGQNLPLSGTVFISLNDLTKPHLEKLAKAFLELGFKIISTSGTAHFLELKGIPVDRVLKMHEGRPHAGDMLANGQIQLMVITSSGDSLDQIDGRQLRRMALAYSVPIITTVAGALATAEAIKTLKSSSINMLALQDFFNVEVREDSNRNLQSASSSL >Manes.05G079200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6483998:6488550:-1 gene:Manes.05G079200.v8.1 transcript:Manes.05G079200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKGGEGHEGGIFTVEAPIHASNVQVVDPVTGRPCKVGIKYLEDGTKVRVSRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMDLVLERTYDAKTGKGMPDL >Manes.13G032600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4113032:4113136:-1 gene:Manes.13G032600.v8.1 transcript:Manes.13G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRLLLATVGPPIKARAGLRRKQAGRGSYRGS >Manes.06G067500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20398808:20399286:1 gene:Manes.06G067500.v8.1 transcript:Manes.06G067500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAYESKQRSHSVTGVRKGFEGCQTLMSHPKLRVLPELCQSYGEIYWHLIIFSFKKKTTVQKLSFSNGKQIGLGCEMTAIVRNKEYEPHIFFLAVDTLSKLDAV >Manes.14G111801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12892304:12892684:1 gene:Manes.14G111801.v8.1 transcript:Manes.14G111801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFCSCWFYCFACGFLAENRVIALVTNSSLSTQLGWMDTHFNSTCHDSKACTEKH >Manes.15G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:804556:805765:1 gene:Manes.15G008600.v8.1 transcript:Manes.15G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTYSDEFTSPISPARLFKALILDSNNLIPKLMPQVIRSIEFVEGDGGVGSIRQINFQEGYQVKYVKNRIDSIDPEKFLYTYSLVDGDGLLDKLESVLYEVEFLAGPDGGSINKMKSTYHTKGEIVLSEEEIKAGKEKAVGMYKVVEGYLLQNPDAYA >Manes.16G086000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29280964:29290307:1 gene:Manes.16G086000.v8.1 transcript:Manes.16G086000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWVEFLLLALPLCLGFGSVLSDDGAALLEIKKSFRDVDNVLYDWTDSPSSSSDYCVWRGVTCDNTTFNVIALNLSGLNLDGEISPSIGNLKDLVSIDLRGNRLSGQIPDEIGDCSSLKSLDLSFNEIYGDIPFSISKLKQLEFLILKNNQLVGPIPSTLSQIPNLKVLDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLVGTLSPDMCQLTGLWYFDVRNNSLTGSIPQVIGNCTSFQVLDLSYNKLTGEIPFNIGFLQVATLSLQGNQLGGTIPSVIGLMQALAVLDLSCNMLTGPIPPILGNLTYTEKLYLHGNKLTGSIPPELGNMTRLHYLELNDNQLTGRIPAELGKLTDLFDLNVANNHLEGPIPDNLSSCTNLNSLNVHGNKLNGSIPRAFQRLESMTYLNLSSNNIGGIVPIELSRIGNLDTLDISNNKISGSIPSSLGDLEHLLKLNLSRNQLSGVIPAEFGNLRSVMEIDLSNNHLSGVIPEELSQLQNIFSLRLENNNLSGDVMSLINCLSLTVLNVSYNNLVGVIPTSNNFSRFSPNSFIGNPGLCGYWLNSPCSESHPIERVTISKAAILGIALGALVILLMILVAACRPHNPMPFPDGSLDKPVTYTTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRLYSHYPQCLKEFETELETVGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDLLHGPTKKKKLDWDTRLQIALGAAQGLSYLHHDCNPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCVSKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGKKAVDNECNLHHLILSKTANNAVMETVDPEITATCKDLGAVKKVFQLALLCTKRQPSDRPTMHEVTRVLGSLVPSTLPPKQCSPAPPAPVPSAKVSCYMDEYANLKTPHMVNCPSMSTSDAQLFLKFGEVISQTSERNVQVSS >Manes.05G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:702543:707072:1 gene:Manes.05G014100.v8.1 transcript:Manes.05G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPHCSITATKPYQNQPYPQNHLNNHRQSHHQNPHRRWTNQKVALAKPPLSPSPRNAPKPSASTAATPHTQNPTFPSLSTLQSQKSEHAADFSGRRSTRFVSKLHFGRPKATLSTRHTLVAEEALQHVVLYGKDDKALENVLLNFETRFCGSDDYTFLLRELGNRGESSKAIQCFEFAVRREKRKNEQGKLASAMISTLGRLGEVELAKAVFETGLREGYGNTVYAFSALISAYGRSGYCNEAIKVFYSMKDYGLKPNLVTYNAVIDACGKGGWEFKKVVEIFDEMLKNGVQPDRITFNSLLAVCSRGGLWEAARSLFGEMVNRGIDQDVFTYNTLLDVLCKCGQMEVAFEIMSEMPASNVLPNVVTYSTMIDGYAKAGRLDDALNLFNEMKFLGIGLDRVLYNTLLSVYAKLGRFEEALDVCKQMENSGIRKDVVTYNALLGGYGKQRKYDEMRRVFKEMKGACISPNLLTYSTLIDVYSKGGLYKEAMEIFREFKQAGLKSDVVLYTALIDALCKNGLVESAATVLDEMTKEGIRPNVVTYNSIIDAFGRSATAQCVVDDAGEASELQVKSSSSIIVQEVQESIQSKVTDRDDSRIIKIFGQLAAEKESQENNCGRQEIMCILGVFRKMHDLEIKPNVVTFSAILNACSRCDSFEEASILLEELRLFDNQVYGVAHGFLMGYRENIWVQAQSLFDEVRLMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENVWTDSCLDLHLMSSGAARAMVHAWLLNIRSVVFEGHELPKLLSILTGWGKHSKVVGDSALRRAVEALLIGIGAPFRLSKCNLGRFTSTGSVVAAWLRESGTLEVLVLHDDRTHPENVRFGRISNLQMLPL >Manes.13G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4145920:4147668:1 gene:Manes.13G033000.v8.1 transcript:Manes.13G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDTNKGGDVRYRGVRRRPWGKFAAEIRDSLRQGARIWLGTFDTAEEAARAYDRAAYAMRGHLAILNFPDEHPSVKNVGRSSGTEHGKEVIEFECLDDKLLEDLLDFDDNEKTSKD >Manes.13G033000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4146886:4147344:1 gene:Manes.13G033000.v8.1 transcript:Manes.13G033000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDTNKGGDVRYRGVRRRPWGKFAAEIRDSLRQGARIWLGTFDTAEEAARAYDRAAYAMRGHLAILNFPDEHPSVKVDSSSSSSSSSSSSSSLLSSSSSCSSSSSSSSILQNVGRSSGTEHGKEVIEFECLDDKLLEDLLDFDDNEKTSKD >Manes.04G137200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33689714:33692730:1 gene:Manes.04G137200.v8.1 transcript:Manes.04G137200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVDQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVFDVTDEESFRNVKAWLTEIDKFATDNVNKLLVGNKCDLNSKRVVSSETARAFADEIGIPFLETSAKNATNVEDAFMTMAGEIKKRMACQPAANAVRPTTVPLRGKPVNQSNNNCCSS >Manes.06G087901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22427523:22428139:1 gene:Manes.06G087901.v8.1 transcript:Manes.06G087901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLQRKSGSQMKQDQDSIYTGFTTAVAAAVYAIHSLEQAETLKNKNGNKKEDATAKLRFSFKKRKMEILIQLNHATDETSMKRKQNHEALERTYSNRKPSPSPSHKPKIHEDNPGKADRIQNDKLKTLLAWAKAKKMKVKHKMEKKK >Manes.07G127600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32991030:32993964:1 gene:Manes.07G127600.v8.1 transcript:Manes.07G127600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTWQLTVLGSSPLELTTGDPSSPDCKLQNPLNTQIVNYFSEDKYASKNRNCSKGVMLQLLFLLLLFCLIIMFPFLAFSLSFSFTVNFPSVSGENFTFLQVGLKRIDAAVDALRSMGFPEALVRRTVRKLLKAYGGDDGWTFIEECSYKLLIDSILEEQEKSGRENSEPKPLESSDPTLLVENQVSEDGIVQDNSGAQVHSPQGYSKQVQSPSIESPSPKKLALHLSSKSHSSSSTAAEAVPSFFKNEQCHGKLGRELPSFQISAGVCSPQLFSPPPVKSPQAQSSKPCYGWLSEDDDDDE >Manes.08G008000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1076097:1079364:-1 gene:Manes.08G008000.v8.1 transcript:Manes.08G008000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAASSLVLPSLHHKTLSFCKPNKPISLFFVSPASSSLRLHAKGFIATPTLFHSVGALRQSFSSRFVPNVAVSSEFGQEEEVTSDGDEPSFSPDLKLFVGNLPFSVDSAQLAGLFESAGNVEMVEVIYDKVTGRSRGFGFVTMSTIEEAEAAAQQFNGYELEGRALRVNSGPPPQRETSFSRGPRGGEAFDSANRLYVGNLSWGVDNLALENLFSEQGRVVEAKVVYDRDSGRSRGFGFVTYSSAEEVETAIESLNGVELDGRAIRVSIAEARPRRQF >Manes.08G008000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1076097:1079364:-1 gene:Manes.08G008000.v8.1 transcript:Manes.08G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAASSLVLPSLHHKTLSFCKPNKPISLFFVSPASSSLRLHAKGFIATPTLFHSVGALRQSFSSRFVPNVAVSSEFGQEEEVTSDGDEPSFSPDLKLFVGNLPFSVDSAQLAGLFESAGNVEMVEVIYDKVTGRSRGFGFVTMSTIEEAEAAAQQFNGYELEGRALRVNSGPPPQRETSFSRGPRGGEAFDSANRLYVGNLSWGVDNLALENLFSEQGRVVEAKVVYDRDSGRSRGFGFVTYSSAEEVETAIESLNGVELDGRAIRVSIAEARPRRQF >Manes.09G097601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29495856:29496254:-1 gene:Manes.09G097601.v8.1 transcript:Manes.09G097601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDTGSQHAKEMHLSFSIGVKLNKDKGKVLPNPNQYRRLVGRLLYLRLTRLDITYSMQQLSQYMQVPQKPHLDATLHVIRYLKGNSRKGLFFPTNNSFQVSTYCDSNWAAYPSFRKSLTRFCIFLGSSLIS >Manes.05G059100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4903728:4906011:-1 gene:Manes.05G059100.v8.1 transcript:Manes.05G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKHHPCDLSSTVGVCASCLRERLRVLIAAQAQVQVQQTNHLPQLARLHSRAAVDDSRKSDAQPPPLIFPRSVSPYVARRKSDDTSWSHHLRFYSTPQVGPTYNSSSSAAGFTTSATCKPKPARFSRLSNLFRSRSEKFSPDPSGSGRGLPHRSPSEASSSSPSWFSAIFSGRRKKQYAQQYSGVVCHKPRQRMDRGMSPVRVENSDDDCDDCNRSPSGSGSSSESAQWWKRTPVAAHAAMRRGKPGNGKNVSSGLAFCLSPLLRASPNHHWNHKSGLPPDMGFSGETRVPMKPHLSAAASFQANRSRKLCDFGRVNHNR >Manes.11G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22967307:22975337:1 gene:Manes.11G102000.v8.1 transcript:Manes.11G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVNLGSGEAKNSREQEQEQEQVLNLDSANDTGDQEEPQPPRHSSRRTPFTNLSQVDADLALARTLQEQERAYMMLRLNNDGSDYGSWEAGSYLHDDEDDFGDPDVETEGGDEDEDEDEDEDGTDDGMDAFDAHAHDDAGVDNIPGIHLDPSMFSSDEAYARALQDAEEREMAARLLALAGINDREVEDSEDHGGNSQDAWEEVDPDELSYEELLALGEVVGTESRGLSADTIASLPSVNYKAGSSQNGSNDSCVICRLDYDDGETLTVLSCKHSYHSECINDWLKINKKFHDARGKMDAPCCCSIHPQP >Manes.11G102000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22967307:22975337:1 gene:Manes.11G102000.v8.1 transcript:Manes.11G102000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVNLGSGEAKNSREQEQEQEQVLNLDSANDTGDQEEPQPPRHSSRRTPFTNLSQVDADLALARTLQEQERAYMMLRLNNDGSDYGSWEAGSYLHDDEDDFGDPDVETEGGDEDEDEDEDEDGTDDGMDAFDAHAHDDAGVDNIPGIHLDPSMFSSDEAYARALQDAEEREMAARLLALAGINDREVEDSEDHGGNSQDAWEEVDPDELSYEELLALGEVVGTESRGLSADTIASLPSVNYKAGSSQNGSNDSCVICRLDYDDGETLTVLSCKHSYHSECINDWLKINKVCPVCSTEVSTSGHS >Manes.14G051800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4404623:4406382:1 gene:Manes.14G051800.v8.1 transcript:Manes.14G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFLIVFVSLGFFLFSLFFSLFQAFHNTGMKLVSAHGPPSYPIIGCLLAFYKNRYRLLEWYTNLLSVSPTQTIVVQRLGARRTVVTANPANVEYMLKTNFSNFPKGKPFTEILGDLLGCGIFNVDGELWCTQRKLAVHKFTTKSLREFVVKTLQEEVENRLIPILEEAADYEKVLDLQDMLRRFAFDIVGRVSLGTDPCCLDLSRPSPPLVKAFDTASEISAMRGAAPVYAVWKTKRLMNMGVERKLKQAIKLVHSSVLEIVQSKKRVLENDQERKLDDDLLSRLLLDGHDEEVIRDMLISFIMAGRDTTSAAMTWLFWLLSKHQSSEKMIVDEVETLLNNGERTIDYELLREMNFLKATLCESMRLYPPVAWDSKHALLDDVLPDGTFIRKGDRVTYFPYGMGRMDSLWGKDRFEFKPERWFEQPVGSGRGGAMLKTVSPYKFPVFQAGPRVCLGKEMAFIQMKYVVASVLRRFELRPVREEQPVFVPLLTAHMAGGLKVTVKRRT >Manes.14G033601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3010913:3013391:1 gene:Manes.14G033601.v8.1 transcript:Manes.14G033601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISPQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Manes.18G023900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2290168:2296616:1 gene:Manes.18G023900.v8.1 transcript:Manes.18G023900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLVISFARDASFLYMGRLLEGFGVGIISYTVPIYIAEIAPQNLRGALGSVNQLSVTVGIMLAYLLGLFVQWRILAVLGILPCTVLIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDMDISLEVNEIKRAVASTSKRTTIRFAELKRRRYWLPLMIGIGLLVLQQTSGINGVLFYSSTIFGTAGISNSNAATFGLGAIQVIATGVTTWLVDKAGRRLLLIVSSTGMTLSLLLVAASFFVQDFVSDESSLYKILGILSVVGVVGMVVTFSLGMGPIPWIIMSEILPINIKGLAGSVATLSNWLLSFVVTMTANLLLNWSSGGTFTIYMIICALTIAFVIIWVPETKGRTLEEIQSSFR >Manes.18G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2290168:2296616:1 gene:Manes.18G023900.v8.1 transcript:Manes.18G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTEDAMGDLRKPFLHTGSWYRMGSGQSSMMDSSQVIRDSSISVVACVLIVALGPIQFGFTNGYSSPTQTAITDDLGLSVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLVISFARDASFLYMGRLLEGFGVGIISYTVPIYIAEIAPQNLRGALGSVNQLSVTVGIMLAYLLGLFVQWRILAVLGILPCTVLIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDMDISLEVNEIKRAVASTSKRTTIRFAELKRRRYWLPLMIGIGLLVLQQTSGINGVLFYSSTIFGTAGISNSNAATFGLGAIQVIATGVTTWLVDKAGRRLLLIVSSTGMTLSLLLVAASFFVQDFVSDESSLYKILGILSVVGVVGMVVTFSLGMGPIPWIIMSEILPINIKGLAGSVATLSNWLLSFVVTMTANLLLNWSSGGTFTIYMIICALTIAFVIIWVPETKGRTLEEIQSSFR >Manes.01G267500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42177031:42181449:1 gene:Manes.01G267500.v8.1 transcript:Manes.01G267500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGLKDNQLRESNSQKVHPQPMEESTNQNPEPLEALISKIFTNISSLKSSYIQLQSAHTPYDPEKIQAADKLVISELKNLSELKHFYREKYPKPVCVSPEDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDTEILQLQQQIEKANQKRVKLEKNLKLRGLSTKESEGSGDENRIFPVELTPELFISAVEAAFKVIHAFSKPLINMMKAAGWDLDAAANSIEPDIVYAKRAHKQYAFESHICQRMFSGFQQESFSIKSDNVTFTKESFFHQFLALREMDPLDVLGQNPDSVFGKFCRSKYLAVVHPKMEASLFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPNVKVFEVRRGSEFSEVYMESVLKNLVLDENQKPKVELMVMPGFWIGGGVIQSRVYLSGVKVAE >Manes.01G268500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42234231:42238260:1 gene:Manes.01G268500.v8.1 transcript:Manes.01G268500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDLLDIQPTELKFPFELKKQSSCSMQLTNKSDKYVAFKVKTTNPKKYSVRPNTGVILPGTTCNVSVTMQAQKEAPHDMQCRDKFLLQSVAAPEGATTKDITADMFNKEDGKVVEESKLGVVYIPANPPSPVLEESEEGSSPRASVLENDDQDSSLFEDVSRSCVESKEKSSEVLSMISKLTEEKASALRENQKLRQEVEKMRKQISKNRAGGYSSLFVLLVGSLGILVGYLMKRT >Manes.01G268500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42234231:42238260:1 gene:Manes.01G268500.v8.1 transcript:Manes.01G268500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLRLKRPIPRNTLFVPTLASFCLGPLAMFQLVIFAYDIILHVNLVTMQAQKEAPHDMQCRDKFLLQSVAAPEGATTKDITADMFNKEDGKVVEESKLGVVYIPANPPSPVLEESEEGSSPRASVLENDDQDSSLFEDVSRSCVESKEKSSEVLSMISKLTEEKASALRENQKLRQEVEKMRKQISKNRAGGYSSLFVLLVGSLGILVGYLMKRT >Manes.01G268500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42234231:42238260:1 gene:Manes.01G268500.v8.1 transcript:Manes.01G268500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDLLDIQPTELKFPFELKKQSSCSMQLTNKSDKYVAFKVKTTNPKKYSVRPNTGVILPGTTCNVSVTMQAQKEAPHDMQCRDKFLLQSVAAPEGATTKDITADMFNKEDGKVVEESKLGVVYIPANPPSPVLEESEEGSSPRASVLENDDQDSSLFEDVSRSCVESKEKSSEVLSMISKLTEEKASALRENQKLRQEVEKMRKQISKNRAGGYSSLFVLLVGSLGILVGYLMKRT >Manes.13G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4210497:4211265:1 gene:Manes.13G034200.v8.1 transcript:Manes.13G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTFFFYLALMLSFLLIASIAESRSLLAIGITGEEATPDCDSVYGVITGDTCFSVTQMFNLTTPFFDSINPNLNCDKLFVGQWLCVDGSAS >Manes.13G034200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4210497:4211265:1 gene:Manes.13G034200.v8.1 transcript:Manes.13G034200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTFFFYLALMLSFLLIASIAESRSLLARITGEEATPDCDSVYGVITGDTCFSVTQMFNLTTPFFDSINPNLNCDKLFVGQWLCVDGSAS >Manes.01G063100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26157959:26164015:-1 gene:Manes.01G063100.v8.1 transcript:Manes.01G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRILAEKMRSNTSLQRLFVVLLILFVTCESFPRDEVRALTAFKEAIYEDPLLVLSNWNTLDADPCEWNGVFCSFARDHVVRINITNSSIRGFLPPELGRITYLRELILHGNKLIGSIPKELGMLKSLKVLDLGVNQLTGPIPPEIASINGVTRINLQSNGLTGHLPPELGNLKYLEELRLDRNRLQGTIPAGGKSDFPSNVHGIHASSTNPTGLCQASQLKVADLSYNFFVGNIPKCLKYLPSTSFQGNCLRSKDPKQRSAKKCGGSPPAKTHQIFHPNHRPAKDVSDQHQEASKPAWLLALEIITGTMAGGLFLVAVLTAFQRWNSKSSIIIPWKKSASQNDYEAVYIDSELLKDVVRFSRQELEVACEDFSNIIGSSPDSLVYKGTMKGGSEIAVISLCVKEEYWTCYLELYFQKEVAELARLNHENTGKLLGYCRERSPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMKIIIGIARGLKYLHAEHDPPFTISELNSSAVYLTEDFSPKLVEFESWKSILARSKKNSGSIGSQGAICILPNSLERRHLNVQGNVYAFGVLLLEVLSGRLPYCKDRGCLVEWAKEFLELPEVTCCLVDPELKHFANEDLQIICEVISLCIHPEPTNRPSMQEVCSMLESKIDTSVSLEFKSSSLAWAALALSS >Manes.13G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31913809:31919449:1 gene:Manes.13G113600.v8.1 transcript:Manes.13G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEFVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRMRTQ >Manes.14G173800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28783645:28786738:-1 gene:Manes.14G173800.v8.1 transcript:Manes.14G173800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANNSSSSLPSSSSSEPFSCLEPATNNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNMGRLRPEMPSLQPACLSRTASSPSPSADTNFSTAPWPPLVIPRPKTDAIFLSPTVDKKHYNLELQLSSTTNPLEVSVSPKTDDNHSTQLQLSIGSSDFSEKNDSPNITCNTKDAGKLSPRESNNDNTGKPELPASKVKEAAWEQLKLAMEEKAYAEEARQRAKRQVELAEQEFANAKRIRQQAQVELDKAQALREHATKQINSTILQITCHACKQQFRGRKSPDDNSLVLSYMASAITGDEVENHNLARTACNLPIN >Manes.06G046100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14524823:14526549:1 gene:Manes.06G046100.v8.1 transcript:Manes.06G046100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFNNSISISYFLLPALLLLPFLIFLLPYNETYLTTPILNSFKLAEATATTPSPPHQLPLHGFASMYESSKVKATTVPKKKIRSSLEKIEEGLAKARAAIAEAIRSRNCTSSENNNDTFIPRGSVYLNPLAFHQSHIEMVKRFKVWTYKEGERPLAHEGPLNGVYSIEGHFISEIESDKSPFKAQVPGEACVFFLPLSVTSIGQYIYLPIRTMADYSRDRLRRVVTDYTGVIANKYPYWNRSKGADHFMVSCHDWAPDASLANPEIFKNFIRILCNANISEGFKLKRDIPIPEIFTTLEGLNPTNYGQGPKNRTILAFFEGRAHGFIREMLFKHWKNKDSEVVVRENFPEGLGYRKSMGRSKYCLCPSGYEVASPRVVDAIYQGCVPSILKGISNEKYLMMYRRVKQVERHFVLNRPAKPFDVTYMLLHSLWLRRLNFKA >Manes.08G157900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39428253:39431389:1 gene:Manes.08G157900.v8.1 transcript:Manes.08G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAETSTAAPREYPIKTVVVLVQENHSFDNILGWLKTLNPEIDGVTGSESNPISTSDPNSPLVCFGDNAAYIDPDPGHSLEATYEQVFGVEWTEAATSSENTLIPKMNGFAQNAERTKPGMAEIVMNGFKPEALPVYKELAMNFAICDRWFSSIPSLTQPNRLYVHSATSHGATSHDTAVLAEGFPQKTIFESLEESGFTFGIYYQHPPSTLFFRNLRKLKYLKKFHQFDLHFKKHCEEGRLPNYVVIEQRYFDLLKFPANDDHPSHDVSEGQRFVKGVYEALRASPQWNEMLFIIIYDEHGGFYDHVPTPVTGVPSPDDIVAPAPYFFKFDRLGVRVPAFLISPWIEPGIVLHEPSGPESTSQYEHSSIPATVKKLFNLKEFLTKRDAWAGTLEGVLTRTSPRTDCPVTLPEPVRLREFNAREEANLSDFQEGLVHLAAVLNGEHKEEIYPRKLVEGMMVSQAARYVEDAFQKFVEECEKARESGVDESEVFVFPKLPTNSTSKNFIEKLFSCLICDH >Manes.16G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1318802:1322203:1 gene:Manes.16G013400.v8.1 transcript:Manes.16G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQRAAKIFPLLLLHFWVANLVDFAHADPPYPLCSNILNQNSSFQSNLNILLDSLRSNASVSKLYDSSNGNDPDKIYAQYMCLNYVANEDCRTCVTLATDHVRQSCTNNTEAIVWEEYCQLRYSNRRFLGQLDVSGNLGLDNKRNVSNPEHFRPVVNQTVSNLIKKAVFDESANMYATGEASIKDSDRLHALVQCTTDLSSADCNTCLQVALANLSSCCSFSRGARLLSRSCYLRYELYAFYKGETDESSASEQNLGTGKSKKRKILMILIFTIISVLLVVAVLSFFYFCLSKKKGNKKWKNEISIQEVQVHSIGDPSSTMFRHQDFQGIDGLNVGESGFMDLASIQAATNNFSELNKLGQGGFGPVYKGILADGKEVAIKRLSSFSEQGTEEFTNEVLLIMKLQHKNLVRLLGFCIDGEEKLLVYEFMPNSSLDVFLFDPSKRAQLDWRRRINIINGIAKGMLYLHEDSRLRIIHRDLKASNILLNNDMNPKISDFGMARIFASTEGEASTARIVGTYGYMAPEYAMEGLYSTKSDVFSFGVLLLEIITGRRNIGFQKSKNVPNLTTHAWHLWNKGNGKELMDPLLSDSCPLDEFSRCMHIGLLCVQEDAIDRPTMSSVVLMLKSETVTLPQPERPAFSRGRFTGHDSAIPSDGSADGVAISAASPR >Manes.07G095828.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30091846:30093058:-1 gene:Manes.07G095828.v8.1 transcript:Manes.07G095828.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYSIFMIYCFLQSDKLERLRERMIKVRELFRDTDSTEFVIVTIPTVMAVSESSRLRASLQKENVPVKRLIVNQILPPSASDCKFCAMKRRDQMRAFEMIQNDPELSSLTLIQAPLVDVEIRGVPALKFLGDIIWK >Manes.05G063250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5303442:5304094:1 gene:Manes.05G063250.v8.1 transcript:Manes.05G063250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQPLKHISSFSQSKPFINWFSSFAGNIIKCKTFHQLWPLCVNPSRVQSGLLLLLSKSHTLNPSDPTLLPTIGFAYFMWCSECCDDEELEERKVASDILQPREATTQDSINLSQSFLLLGLSRAYAAGY >Manes.13G030900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3972431:3988053:-1 gene:Manes.13G030900.v8.1 transcript:Manes.13G030900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNGASSKRWRKKGHSSSKKLPFQCNINLSHNEDKHVTYNSDRMGGASSTSLFCNNEESFSKKKDTCQIVSSNGSRTRKGQTVVLVDEDETQLAETIESENKLAECMKDATIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPSNKATCDYHFFNTFFYKKLKQAVSYKGSDKESFFLKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIICVPDKADELGPIILHLDSLGLHSSKSVFGDIRSYLREEWSYMNQEVAPADLPIADKIWKHLPRRIDEKKIEVPQQKNEYDCGLFVLFFMERFIEEAPARLKKKDLAMFGKRWFRPEQASGLRAKIQKLLSNEFHKANEDRQCLEFSPSLPGDASS >Manes.13G030900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3972431:3988051:-1 gene:Manes.13G030900.v8.1 transcript:Manes.13G030900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSDRMGGASSTSLFCNNEESFSKKKDTCQIVSSNGSRTRKGQTVVLVDEDETQLAETIESENKLAECMKDATIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPSNKATCDYHFFNTFFYKKLKQAVSYKGSDKESFFLKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIICVPDKADELGPIILHLDSLGLHSSKSVFGDIRSYLREEWSYMNQEVAPADLPIADKIWKHLPRRIDEKKIEVPQQKNEYDCGLFVLFFMERFIEEAPARLKKKDLAMFGKRWFRPEQASGLRAKIQKLLSNEFHKANEDRQCLEFSPSLPGDASS >Manes.13G030900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3972431:3988051:-1 gene:Manes.13G030900.v8.1 transcript:Manes.13G030900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNGASSKRWRKKGHSSSKKLPFQCNINLSHNEDKHVTYNSDRMGGASSTSLFCNNEESFSKKKDTCQIVSSNGSRTRKLLIRSMMALFKQGQTVVLVDEDETQLAETIESENKLAECMKDATIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPSNKATCDYHFFNTFFYKKLKQAVSYKGSDKESFFLKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIICVPDKADELGPIILHLDSLGLHSSKSVFGDIRSYLREEWSYMNQEVAPADLPIADKIWKHLPRRIDEKKIEVPQQKNEYDCGLFVLFFMERFIEEAPARLKKKDLAMFGKRWFRPEQASGLRAKIQKLLSNEFHKANEDRQCLEFSPSLPGDASS >Manes.13G030900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3972431:3983993:-1 gene:Manes.13G030900.v8.1 transcript:Manes.13G030900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNGASSKRWRKKGHSSSKKLPFQCNINLSHNEDKHVTYNSDRMGGASSTSLFCNNEESFSKKKDTCQIVSSNGSRTRKGQTVVLVDEDETQLAETIESENKLAECMKDATIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPSNKATCDYHFFNTFFYKKLKQAVSYKGSDKESFFLKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIICVPDKADELGPIILHLDSLGLHSSKSVFGDIRSYLREEWSYMNQEVAPADLPIADKIWKHLPRRIDEKKIEVPQQKNEYDCGLFVLFFMERFIEEAPARLKKKDLAMFGKRWFRPEQASGLRAKIQKLLSNEFHKANEDRQCLEFSPSLPGDASS >Manes.13G030900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3972431:3988051:-1 gene:Manes.13G030900.v8.1 transcript:Manes.13G030900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSDRMGGASSTSLFCNNEESFSKKKDTCQIVSSNGSRTRKGQTVVLVDEDETQLAETIESENKLAECMKDATIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPSNKATCDYHFFNTFFYKKLKQAVSYKGSDKESFFLKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIICVPDKADELGPIILHLDSLGLHSSKSVFGDIRSYLREEWSYMNQEVAPADLPIADKIWKHLPRRIDEKKIEVPQQKNEYDCGLFVLFFMERFIEEAPARLKKKDLAMFGKRWFRPEQASGLRAKIQKLLSNEFHKANEDRQCLEFSPSLPGDASS >Manes.12G113800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433791:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSQCNSICIFKVNLVSLCGSNLNQLGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIAMLFADAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKIVLKQKEDNCVTSCNMAETVISYLEERGYLRA >Manes.12G113800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433741:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESASFLTKDCSAISGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIAMLFADAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKIVLKQKEDNCVTSCNMAETVISYLEERGYLRA >Manes.12G113800.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433791:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSQCNSICIFKVNLVSLCGSNLNQLGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIAMLFADAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKGLPGLMIHKSHH >Manes.12G113800.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31434208:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESASFLTKDCSAISGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIAMLFADAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKVYSRTLNFFFTLQFPSLNAEKFLEFIFIFLIIFFIACKR >Manes.12G113800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31434208:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSQCNSICIFKVNLVSLCGSNLNQLGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIAMLFADAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKVYSRTLNFFFTLQFPSLNAEKFLEFIFIFLIIFFIACKR >Manes.12G113800.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433770:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSQCNSICIFKVNLVSLCGSNLNQLGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIDAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKGLPGLMIHKSHH >Manes.12G113800.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433770:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSQCNSICIFKVNLVSLCGSNLNQLGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIDAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKIVLKQKEDNCVTSCNMAETVISYLEERGYLRA >Manes.12G113800.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433832:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESASFLTKDCSAISGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIDAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKVYSRTLNFFFTLQFPSLNAEKFLEFIFIFLIIFFIACKR >Manes.12G113800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433741:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESASFLTKDCSAISGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIAMLFADAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKGLPGLMIHKSHH >Manes.12G113800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433832:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSQCNSICIFKVNLVSLCGSNLNQLGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIDAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKVYSRTLNFFFTLQFPSLNAEKFLEFIFIFLIIFFIACKR >Manes.12G113800.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433741:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNSQCNSICIFKVNLVSLCGSNLNQLGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIAMLFADAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKGLPGLMIHKSHH >Manes.12G113800.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433770:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESASFLTKDCSAISGKDLQLMLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIDAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKIVLKQKEDNCVTSCNMAETVISYLEERGYLRA >Manes.12G113800.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31429588:31433741:1 gene:Manes.12G113800.v8.1 transcript:Manes.12G113800.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNGSSTHIMWHKSSVDKFDREELLQQKGCVIWITGLSGSGKSTLACALSLGLHSRGKLTYILDGDNVRHGLNRDLGFKAEDRAENSRRIAMLFADAGVICTASLISPYRKDRDGCRALLPPGDFIEECKYGSHCGNMKYDGCIVNFTEFIPGERCMKFASDRYSWMCHSNCVRQGTQRVFTDLLELERSKIVLKQKEDNCVTSCNMAETVISYLEERGYLRA >Manes.03G100000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22300689:22303701:-1 gene:Manes.03G100000.v8.1 transcript:Manes.03G100000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKPSSMTPKKGRLARKVAKVLHRRAATGIAPVDGVHKVKSQEQFKDDNKIGNKSTISLGQSFDISNDDDSQKRLAMEAFLAKLFASISSVKAAYAQLQYAQSPYDVDGIQAADQLVVSELKNLSELKRCYTKKQFDDHSTETTLLMAEVQEQKSFSKTYEIMGKKLESELRLKDSEIIYLGEKLEESNRLNRLLEKRLNQSGQLSMPVNLHRSGLSPSHFLAVVRFTVKSIRSFVKLMIDQMKAADWNLDAAADSIVQDVFYWRDDDKCFAFESFVCREMFSSFHLPNFSVPSQSLTWGKNQQQHFFRRFIELKSVKAKEYLAENPKSTFARFCMAKYLQLIHPHMETSFFGNLSQRNLVKSGEFPDTSFFAAFAEMAKRVWLLHCLAFSFEPEASIFQVRRGCRFSEIYMECVSEDALLLSENAPEADPPVAFTVVPGFRIGKTIIQCQVYLSQIQHKVNR >Manes.03G100000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22300689:22307304:-1 gene:Manes.03G100000.v8.1 transcript:Manes.03G100000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKPSSMTPKKGRLARKVAKVLHRRAATGIAPVDGVHKVKSQEQFKDDNKIGNKSTISLGQSFDISNDDDSQKRLAMEAFLAKLFASISSVKAAYAQLQYAQSPYDVDGIQAADQLVVSELKNLSELKRCYTKKQFDDHSTETTLLMAEVQEQKSFSKTYEIMGKKLESELRLKDSEIIYLGEKLEESNRLNRLLEKRLNQSGQLSMPVNLHRSGLSPSHFLAVVRFTVKSIRSFVKLMIDQMKAADWNLDAAADSIVQDVFYWRDDDKCFAFESFVCREMFSSFHLPNFSVPSQSLTWGKNQQQHFFRRFIELKSVKAKEYLAENPKSTFARFCMAKYLQLIHPHMETSFFGNLSQRNLVKSGEFPDTSFFAAFAEMAKRVWLLHCLAFSFEPEASIFQVRRGCRFSEIYMECVSEDALLLSENAPEADPPVAFTVVPGFRIGKTIIQCQVYLSQIQHKVNR >Manes.13G058600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6729454:6731208:1 gene:Manes.13G058600.v8.1 transcript:Manes.13G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQALVSSSLTSSVETARQILGARPSQSSFGSSRKSSFVVRASSTPPVKQGADRQLWFASKQSLSYLDGSLPGDYGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRYAMLGAVGAIAPEILGKAGLIPAETALPWFKTGVIPPAGTYDYWADPYTLFVLEMALMGFAEHRRFQDWANPGSMGKQYFLGLEKYLGGSGDPAYPGGPLFNPLGFGKDEKSMKELKLKEVKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH >Manes.04G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30570470:30573405:1 gene:Manes.04G101100.v8.1 transcript:Manes.04G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARPVLPLKQALLVLLLTVAANGVQCFPEKKVLSFQEWQWKQEGYTSSCLSQKSKWEKGATILEMKHRDSCSGKITDWNKKLQESLILDKFRVQSLQSRIKKIVYDNVIDSVDSQVPLVSGVRLQTLNYIVTVGLGGRNMTVIVDTGSDLTWVQCQPCNLCYNQQGPLFNPSMSSSYQKVLCNSSTCQSLEFATGNSGVCGSNPPTCNYVVNYGDGSYTRGELGTEQLNLGTTLVNNFIFGCGRNNKGLFGGASGLMGLGRSDLSLISQSSAIFGGVFSYCLPNTAAEDSGSLILGGNFSVYKNTTPISYTKMIPNPQLPTFYFLNLTGVSVGGVALQSPSFGQSGILIDSGTVITRLPPSVYRILKAEFLKQFSGFPSAPPFSILDACFNLSGYQEVDIPTVKLQFEGNAELNVDVTGIFYFVKTDASQVCLALASLSFEDEIPILGNYQQRNQRVIYNTKEFKLGFAAEICSFN >Manes.04G101100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30570566:30573152:1 gene:Manes.04G101100.v8.1 transcript:Manes.04G101100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARPVLPLKQALLVLLLTVAANGVQCFPEKKVLSFQEWQWKQEGYTSSCLSQKSRSVTPFMLYGKQPSLVSLSIPLTLLEWEKGATILEMKHRDSCSGKITDWNKKLQESLILDKFRVQSLQSRIKKIVYDNVIDSVDSQVPLVSGVRLQTLNYIVTVGLGGRNMTVIVDTGSDLTWVQCQPCNLCYNQQGPLFNPSMSSSYQKVLCNSSTCQSLEFATGNSGVCGSNPPTCNYVVNYGDGSYTRGELGTEQLNLGTTLVNNFIFGCGRNNKGLFGGASGLMGLGRSDLSLISQSSAIFGGVFSYCLPNTAAEDSGSLILGGNFSVYKNTTPISYTKMIPNPQLPTFYFLNLTGVSVGGVALQSPSFGQSGILIDSGTVITRLPPSVYRILKAEFLKQFSGFPSAPPFSILDACFNLSGYQEVDIPTVKLQFEGNAELNVDVTGIFYFVKTDASQVCLALASLSFEDEIPILGNYQQRNQRVIYNTKEFKLGFAAEICSFN >Manes.04G101100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30570470:30573405:1 gene:Manes.04G101100.v8.1 transcript:Manes.04G101100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSREESPQLSGMAMETRGLYFKLSLPEIKICNSFHALWETTIIEWEKGATILEMKHRDSCSGKITDWNKKLQESLILDKFRVQSLQSRIKKIVYDNVIDSVDSQVPLVSGVRLQTLNYIVTVGLGGRNMTVIVDTGSDLTWVQCQPCNLCYNQQGPLFNPSMSSSYQKVLCNSSTCQSLEFATGNSGVCGSNPPTCNYVVNYGDGSYTRGELGTEQLNLGTTLVNNFIFGCGRNNKGLFGGASGLMGLGRSDLSLISQSSAIFGGVFSYCLPNTAAEDSGSLILGGNFSVYKNTTPISYTKMIPNPQLPTFYFLNLTGVSVGGVALQSPSFGQSGILIDSGTVITRLPPSVYRILKAEFLKQFSGFPSAPPFSILDACFNLSGYQEVDIPTVKLQFEGNAELNVDVTGIFYFVKTDASQVCLALASLSFEDEIPILGNYQQRNQRVIYNTKEFKLGFAAEICSFN >Manes.01G186300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36342538:36346013:1 gene:Manes.01G186300.v8.1 transcript:Manes.01G186300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATLTSVASLTNSRGNLHDVSFPSFLSNSDDTFVRKLAESNRNISAQDVEEHHYLGNKKEDGEIGIFGAEKYFNGCMDEDSPRLTSIIPKHLQPKKDEQLNDHTVPMKPNIHPETPSINSESTWNSQSALLQSVQRKNSEAKTNKAHGKIGKNFLAVLGCKCSCSDKDFIDVDDDDEHIGEISFKRSSNAPMLQGKAISEEFTKGSLDLDDKLRSGSPVEEEDINCQNLEKLGIGMKKETCFSFPTSNSEAGNLSNKFIFRQEEVKQRKSLEVFGSPVHDKRSKSFRIGRKLSMFSWEEAPRMEEIDYSATSGGVYNDNESDASSDLFEIESHTGKFTQLLARQGSNATSDCPSPTTGYAPSEASIEWSVVTASAADFSVISDYEELRPPTTLPSPIKTFLTTVNAKPETSKETPRRRSSISFGCNSHKAVRVAGDAYKTNDKVFDPLVRRLSDSYMPLTRLHSESKLMDSDPRQRKHALSSHLLPGSHPSQSFRPSHIQQS >Manes.01G186300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36342649:36346013:1 gene:Manes.01G186300.v8.1 transcript:Manes.01G186300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATLTSVASLTNSRGNLHDVSFPSFLSNSDDTFVRKLAESNRNISAQDVEEHHYLGNKKEDGEIGIFGAEKYFNGCMDEDSPRLTSIIPKHLQPKKDEQLNDHTVPMKPNIHPETPSINSESTWNSQSALLQSVQRKNSEAKTNKAHGKIGKNFLAVLGCKCSCSDKDFIDVDDDDEHIGEISFKRSSNAPMLQGKAISEEFTKGSLDLDDKLRSGSPVEEEDINCQNLEKLGIGMKKETCFSFPTSNSEAGNLSNKFIFRQEEVKQRKSLEVFGSPVHDKRSKSFRIGRKLSMFSWEEAPRMEEIDYSATSGGVYNDNESDASSDLFEIESHTGKFTQLLARQGSNATSDCPSPTTGYAPSEASIEWSVVTASAADFSVISDYEELRPPTTLPSPIKTFLTTVNAKPETSKETPRRRSSISFGCNSHKAVRVAGDAYKTNDKVFDPLVRRLSDSYMPLTRLHSESKLMDSDPRQRKHALSSHLLPGSHPSQSFRPSHIQQS >Manes.07G059900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8421490:8427082:1 gene:Manes.07G059900.v8.1 transcript:Manes.07G059900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGASALNSTVWTFSSTTMDLDVMDKPLSQGYCLENNDRANSLEPEPFTSHVLYDHSEYSSFSEDLYHQIYRKEMKPLFENIPSAYHGIEGAETAKSFVQSESFTLEGSNSGSRWLIDPSYSVKQRLMLAIKYLKEYVEDSDVLIQIWVPTQIGGQNVLTTIDQPYSLNPSCKSLASYRNVSKTFQLTAEENLKELAGLPGRVFLGKLPEWTPDVRFFRSDECPRKSYAKMYNISGCLALPVFEQDNGVCLAVVEIVTTTQKISYSLELEIVGRALEAVDLKSSQDFFPLGIKACNESYQISILEISDILQFVCKTHGLPLAMTWALRDRQGEVEHWQFSKKYDYCLSTVDSACYVADSDLLGFHEACSEHYLFPNQGIVGKAFTTKKQWFATDITSFSKANYPLSHHARMFNLRAALAVPLCNIYTGLIEFVLELFFPWDCKDIEEQKHFWDMLSIVMQQACKSFHVIVDKEIDEEVSEQMVVASDGRYNREGIQELVASPSNESSQEESSSTPHEMDSQNKDKFSFISWECPKEELEDEFKVITHWENDEMGLYQEPVFSDFQKATQSSMPKPGVDIAENFSNGRHCSSSSEKASDKRQTKIEKTITLQILRQYFAGSLKDAAKSIGGEKLCYHYSIHMNYKFKIMISY >Manes.07G059900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8421490:8427092:1 gene:Manes.07G059900.v8.1 transcript:Manes.07G059900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGASALNSTVWTFSSTTMDLDVMDKPLSQGYCLENNDRANSLEPEPFTSHVLYDHSEYSSFSEDLYHQIYRKEMKPLFENIPSAYHGIEGAETAKSFVQSESFTLEGSNSGSRWLIDPSYSVKQRLMLAIKYLKEYVEDSDVLIQIWVPTQIGGQNVLTTIDQPYSLNPSCKSLASYRNVSKTFQLTAEENLKELAGLPGRVFLGKLPEWTPDVRFFRSDECPRKSYAKMYNISGCLALPVFEQDNGVCLAVVEIVTTTQKISYSLELEIVGRALEAVDLKSSQDFFPLGIKACNESYQISILEISDILQFVCKTHGLPLAMTWALRDRQGEVEHWQFSKKYDYCLSTVDSACYVADSDLLGFHEACSEHYLFPNQGIVGKAFTTKKQWFATDITSFSKANYPLSHHARMFNLRAALAVPLCNIYTGLIEFVLELFFPWDCKDIEEQKHFWDMLSIVMQQACKSFHVIVDKEIDEEVSEQMVVASDGRYNREGIQELVASPSNESSQEESSSTPHEMDSQNKDKFSFISWECPKEELEDEFKKIFLMVGIALVAAKKPVIKDRPR >Manes.07G059900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8421553:8427045:1 gene:Manes.07G059900.v8.1 transcript:Manes.07G059900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGASALNSTVWTFSSTTMDLDVMDKPLSQGYCLENNDRANSLEPEPFTSHVLYDHSEYSSFSEDLYHQIYRKEMKPLFENIPSAYHGIEGAETAKSFVQSESFTLEGSNSGSRWLIDPSYSVKQRLMLAIKYLKEYVEDSDVLIQIWVPTQIGGQNVLTTIDQPYSLNPSCKSLASYRNVSKTFQLTAEENLKELAGLPGRVFLGKLPEWTPDVRFFRSDECPRKSYAKMYNISGCLALPVFEQDNGVCLAVVEIVTTTQKISYSLELEIVGRALEAVDLKSSQDFFPLGIKACNESYQISILEISDILQFVCKTHGLPLAMTWALRDRQGEVEHWQFSKKYDYCLSTVDSACYVADSDLLGFHEACSEHYLFPNQGIVGKAFTTKKQWFATDITSFSKANYPLSHHARMFNLRAALAVPLCNIYTGLIEFVLELFFPWDCKDIEEQKHFWDMLSIVMQQACKSFHVIVDKEIDEEVSEQMVVASDGRYNREGIQELVASPSNESSQEESSSTPHEMDSQNKDKFSFISWECPKEELEDEFKVITHWENDEMGLYQEPVFSDFQKATQSSMPKPGVDIAENFSNGRHCSSSSEKASDKRQTKIEKTITLQILRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQLIVNSIHGAEGLIQIDSFYKSFPELSSSKFSGNDPFSSSRMSENSCILKPQPRSRLFSPKGKVPKSRSSSCSQNSGSTDEEKRLTTINGLNTGCSLAIDDPVLMLKKAYSKPELHNLNQEEKEPKVLKSSLSHKTLHGNTTGHSLQDGMVFRVKATFGEENIRFSFLPNWDFRDLQQEIAKRFKIDDFSRIDLKYLDIDQEPVLLTCDADLEECIDLLRLSQCNTIKISLHQASKSNLGSSFRIKGLF >Manes.07G059900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8422962:8427092:1 gene:Manes.07G059900.v8.1 transcript:Manes.07G059900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGASALNSTVWTFSSTTMDLDVMDKPLSQGYCLENNDRANSLEPEPFTSHVLYDHSEYSSFSEDLYHQIYRKEMKPLFENIPSAYHGIEGAETAKSFVQSESFTLEGSNSGSRWLIDPSYSVKQRLMLAIKYLKEYVEDSDVLIQIWVPTQIGGQNVLTTIDQPYSLNPSCKSLASYRNVSKTFQLTAEENLKELAGLPGRVFLGKLPEWTPDVRFFRSDECPRKSYAKMYNISGCLALPVFEQDNGVCLAVVEIVTTTQKISYSLELEIVGRALEAVDLKSSQDFFPLGIKACNESYQISILEISDILQFVCKTHGLPLAMTWALRDRQGEVEHWQFSKKYDYCLSTVDSACYVADSDLLGFHEACSEHYLFPNQGIVGKAFTTKKQWFATDITSFSKANYPLSHHARMFNLRAALAVPLCNIYTGLIEFVLELFFPWDCKDIEEQKHFWDMLSIVMQQACKSFHVIVDKEIDEEVSEQMVVASDGRYNREGIQELVASPSNESSQEESSSTPHEMDSQNKDKFSFISWECPKEELEDEFKKIFLMVGIALVAAKKPVIKDRPR >Manes.07G059900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8421493:8427136:1 gene:Manes.07G059900.v8.1 transcript:Manes.07G059900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGASALNSTVWTFSSTTMDLDVMDKPLSQGYCLENNDRANSLEPEPFTSHVLYDHSEYSSFSEDLYHQIYRKEMKPLFENIPSAYHGIEGAETAKSFVQSESFTLEGSNSGSRWLIDPSYSVKQRLMLAIKYLKEYVEDSDVLIQIWVPTQIGGQNVLTTIDQPYSLNPSCKSLASYRNVSKTFQLTAEENLKELAGLPGRVFLGKLPEWTPDVRFFRSDECPRKSYAKMYNISGCLALPVFEQDNGVCLAVVEIVTTTQKISYSLELEIVGRALEAVDLKSSQDFFPLGIKACNESYQISILEISDILQFVCKTHGLPLAMTWALRDRQGEVEHWQFSKKYDYCLSTVDSACYVADSDLLGFHEACSEHYLFPNQGIVGKAFTTKKQWFATDITSFSKANYPLSHHARMFNLRAALAVPLCNIYTGLIEFVLELFFPWDCKDIEEQKHFWDMLSIVMQQACKSFHVIVDKEIDEEVSEQMVVASDGRYNREGIQELVASPSNESSQEESSSTPHEMDSQNKDKFSFISWECPKEELEDEFKVITHWENDEMGLYQEPVFSDFQKATQSSMPKPGVDIAENFSNGRHCSSSSEKASDKRQTKIEKTITLQILRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQLIVNSIHGAEGLIQIDSFYKSFPELSSSKFSGNDPFSSSRMSENSCILKPQPRSRLFSPKGKVPKSRSSSCSQNSGSTDEEKRLTTINGLNTGCSLAIDDPVLMLKKAYSKPELHNLNQEEKEPKVLKSSLSHKTLHGNTTGHSLQDGMVFRVKATFGEENIRFSFLPNWDFRDLQQEIAKRFKIDDFSRIDLKYLDIDQEPVLLTCDADLEECIDLLRLSQCNTIKISLHQASKSNLGSSFRIKGLF >Manes.07G059900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8422962:8427092:1 gene:Manes.07G059900.v8.1 transcript:Manes.07G059900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGASALNSTVWTFSSTTMDLDVMDKPLSQGYCLENNDRANSLEPEPFTSHVLYDHSEYSSFSEDLYHQIYRKEMKPLFENIPSAYHGIEGAETAKSFVQSESFTLEGSNSGSRWLIDPSYSVKQRLMLAIKYLKEYVEDSDVLIQIWVPTQIGGQNVLTTIDQPYSLNPSCKSLASYRNVSKTFQLTAEENLKELAGLPGRVFLGKLPEWTPDVRFFRSDECPRKSYAKMYNISGCLALPVFEQDNGVCLAVVEIVTTTQKISYSLELEIVGRALEAVDLKSSQDFFPLGIKACNESYQISILEISDILQFVCKTHGLPLAMTWALRDRQGEVEHWQFSKKYDYCLSTVDSACYVADSDLLGFHEACSEHYLFPNQGIVGKAFTTKKQWFATDITSFSKANYPLSHHARMFNLRAALAVPLCNIYTGLIEFVLELFFPWDCKDIEEQKHFWDMLSIVMQQACKSFHVIVDKEIDEEVSEQMVVASDGRYNREGIQELVASPSNESSQEESSSTPHEMDSQNKDKFSFISWECPKEELEDEFKVITHWENDEMGLYQEPVFSDFQKATQSSMPKPGVDIAENFSNGRHCSSSSEKASDKRQTKIEKTITLQILRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQLIVNSIHGAEGLIQIDSFYKSFPELSSSKFSGNDPFSSSRMSENSCILKPQPRSRLFSPKGKVPKSRSSSCSQNSGSTDEEKRLTTINGLNTGCSLAIDDPVLMLKKAYSKPELHNLNQEEKEPKVLKSSLSHKTLHGNTTGHSLQDGMVFRVKATFGEENIRFSFLPNWDFRDLQQEIAKRFKIDDFSRIDLKYLDIDQEPVLLTCDADLEECIDLLRLSQCNTIKISLHQASKSNLGSSFRIKGLF >Manes.03G031300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2609122:2611625:1 gene:Manes.03G031300.v8.1 transcript:Manes.03G031300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFLLYENMLNTVLYARDKWLVSDGILLPDKAFLYLTAIEDADYKEDKIEFWNNVYGFNMSCTKKQAIMEPLVDTVDQKQIVTDCQLLKIMDISQMVSGDASFTVPFKLVAERDDYIHALVAYFDVSFTKCRKLMGFSTGPRSRATHWKQTILYLEDVLTICEGEVLSGNMTVAPDQKNPRDIDIMIKYALNGQRCVVSRTQYYKMR >Manes.01G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31337930:31341183:-1 gene:Manes.01G116100.v8.1 transcript:Manes.01G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKNRLQEYTQRSSLQLPIYSTINEGYQHAPKFRSTVLVDGVQYTSPNTFLHRKEAEQDVARLAFSCIVKKIKDEGCPLVREDTVFCKSILNEFASKMQLQKPTYNTWQPQGLLPVFLSSLIFNGLTYTGEIGRTKKEAEQLAARAVILSLMGSSELGTIISEIVKSKGKLYAALHKLKDSNHTQGGIVPILSEGKEDGAVTVSNNVKSVIPQAVSVMHPPHHEFVIPKLEPVPVPTCEPNELPVAFVRPVIGQSLDAGSSSGKKRKKNKKKANKKVRTESKSAIAAMPLSQASPHPVAS >Manes.01G086100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28822379:28824521:1 gene:Manes.01G086100.v8.1 transcript:Manes.01G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISPSLPAQHLHLVQVRRSSFRCQQSPLNPLTKPSSAATQSLKSVTSTEFTKKHLSNLEKLLQKQSVPETNLAEPLQPVHKVSDGNNNHNNNRSILAIKGKALFESLNLARMWPEMKAGEEMSPRHLNRLQRLLSKTAEYSPRNNLGSRWREYHGSNDWAGLLDPLDENLRREVVRYGELVQAAYHAFHSNPAMSTEEAPLPRHVTLPDRSYKVTKSLYATSSVGLPKWVDDVAPDLSWMTQRSSWVGYVAVCDDKREIQRMGRRDIVIALRGTATCLEWAENMRANLVDMPTNHDATHGQAKVECGFLSLYKTRGAHVPSLAESVVQEVKRLVERYKGETLSITVTGHSLGAALALLVADDLSTIQASEIPPIAVFSFGGPRVGNRGFANQIKGNNVKVLRIVNDQDVITKVPGLPVVEELNDNLPMAYSHVGTELRVDTKMSPYLKPNADVACCHDLEAYLHLVDGFLASNCPFRSNAKRSLVKLLNDQGSNVKKLYISKAHALSLNLDRSGFSPSGCLPSPSQ >Manes.12G011300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1125611:1128265:1 gene:Manes.12G011300.v8.1 transcript:Manes.12G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGLCYPRADVSFDKRLVKRRKGGDLTVTATTTATATAAGEQMFSGKRRRLSPDIAGETDLFDSLPDDLVMSILCKLSSSASCPSDFINVLITCKRLNGLGLHSLVLSKASQKSFAIKAKDWSDSAHRFLKLCADAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAISSHAPALYSLAVMQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNVAEGRRFLVQANARELAAVLSNPNSGLNNRAWLTWNPHAHPNHRHASGPGCPLLSDFGCNVPAPEAHPASRFMAEWFAARGGTPGPGLRLCSHVGCGRPETRKHEFRRCSVCGAVNYCSRACQALDWKLRHKEECAPVVRWVDEDDEVGNGEAGGEGNQNVVAGS >Manes.04G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27308982:27316935:1 gene:Manes.04G076300.v8.1 transcript:Manes.04G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVSIEVWNPNGKYRVVSTKPMPGTRWINLLIAQDCRVEICTEKKTILSVEDIIALIGDRCDGVIGQLTEDWGETLFAALSRAGGKAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADGFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQATRLEKFVTAYGAFLKANGEQPVTWKRAGSMDEVLREADVISLHPILDKTTYHLINKERLATMKKEAILVNCSRGPVVDEVALVEHLKHNPMFRVGLDVFEDEPYMKPGLAEMKNAIVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNQVEPFLNENASPPAASPSLVNAKALGLPVSKL >Manes.10G062500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8775496:8781441:1 gene:Manes.10G062500.v8.1 transcript:Manes.10G062500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFAVSRLCITRSRSIESTWRATRCFSDDRGRILSEEERAAENVYIQKMERERLAKLKQKAEKEKAEKEKQSSDKKTDGSL >Manes.10G062500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8775496:8776952:1 gene:Manes.10G062500.v8.1 transcript:Manes.10G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFAVSRLCITRSRSIESTWRATRCFSDDRGRILSEEERAAENVYIQKMERERLAKLKQKAEKEKAEKEKQSSDKLFSQQFDGKI >Manes.01G108300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30729156:30733395:1 gene:Manes.01G108300.v8.1 transcript:Manes.01G108300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTMEQSPYGSRRREEADFNLREWASRAQISRENTKSRRFSGSHIRSFREDARSFRSSITISSTASSPGYTLKEEIDPSTYSFTAALKALQARAVYNSWECLSPDGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNSANRITMSAPLVYSTTHSNKVHTKPYVATPDDCVPFPLQEKKMEGTTRDVGTQSTPPELSSSSPSPASTPPILERSLKRCEAGEDSPKCNGKLRAEGKVRIYVFPLLFFSTITYYNY >Manes.01G108300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30729156:30733395:1 gene:Manes.01G108300.v8.1 transcript:Manes.01G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTMEQSPYGSRRREEADFNLREWASRAQISRENTKSRRFSGSHIRSFREDARSFRSSITISSTASSPGYTLKEEIDPSTYSFTAALKALQARAVYNSWECLSPDGFALNSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNSANRITMSAPLVYSTTHSNKVHTKPYVATPDDCVPFPLQEKKMEGTTRDVGTQSTPPELSSSSPSPASTPPILERSLKRCEAGEDSPKCNGKLRAEGKVEEEKETRREKEEETAKGESEKEERKKKEHEQMWRCSSSMQGGCLSWMRKRQREKQKPRKRNIFLINLEGC >Manes.S028216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:522940:523752:-1 gene:Manes.S028216.v8.1 transcript:Manes.S028216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:779824:783112:1 gene:Manes.07G005800.v8.1 transcript:Manes.07G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDLMGKERVNNNKAKLRKGLWSPEEDEKLIKYMLTNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSALKKRLKIIGNNNNNNPSTTSPNESDSSEPRDHVVGNGMSMHHDHDLMTMCIDSSSSSSASIQAMVAGNANGNQFDPFSILNNNRFEGTAAAGLFDMPTCLTQVGMGGDGFYGDYGILESHHNKVGLERDLCLPPLESSRSLEEENNNNNNTNTNNVVTNHSIISMKSNINHNNNINNHLINNNSCFNNTDHHQLQSLKVEDMFGFENHWQGENLRMGEWDLEGLMENISSFPFLDFQVE >Manes.01G113301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31127348:31129131:1 gene:Manes.01G113301.v8.1 transcript:Manes.01G113301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWGKARTRFPPINTDVGEFNFFTNKPSKLSYTTISPHSSLITIAFCSILKAGLLCCIPRAWRLLSGVLSKGKYLKMDHQSS >Manes.S029616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:647920:673317:-1 gene:Manes.S029616.v8.1 transcript:Manes.S029616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G181900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24793516:24798306:-1 gene:Manes.15G181900.v8.1 transcript:Manes.15G181900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAISAIRSFTSSSRISGSPAISRDLHASAGSKKIVGVFYKANEYAAMNPNFLGCAEGALGIRDWLESQGHRYIVTDDKEGPHSELEKHIPDLHVLVSTPFHPAYVTAERIKKAKNLQLLLTAGVGSDHIDLKAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYRQVINGEWNVAGIAYRAYDIEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLRMDPELENQTGAKFEEDLDAMLPKCDIIVINTPLTEKTRGLFNKDRIAKMKKGVLIVNNARGAIMDTQAVVDACSIGHIGGYSGDVWHPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEEFPPQNYIVKDGKIASQYQ >Manes.09G065000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10743003:10749679:-1 gene:Manes.09G065000.v8.1 transcript:Manes.09G065000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPYESDEENDAAFVYPGFEFEEETENHLESEEEEDDEEYIPVVNSPRVVGNVSLNPGRVSVEEERSKRRRAEHGGEGGGETCSLIADENAESSQGREWNRTQIDGLFCPICMDAWTSEGDHHISCLPCGHIFGLSCISRWLKQSRSSAKCPQCNRKCTLKDVRKLFAPRIAVIDEESQKTIRSLEVKCASLEKKSADWCRKESEWQKREAELQLKVNQLTERTTYLEHLVEDMQSRSNVPFTAGRNSQGHKIPGIINSKLCCRGSSSIFVLERELQVDGARLFDIDAFGQILLLVRRLPKIGGSHVLTKMNLLPPHESEDILLPSSMKIIKDLHISPFNGSHALCASLGEKLSVLSMESNSVILSYDLLGPAWSCCWDLHSSYYMYAGLQNGLLLAFDMRQTRGPVESSPGLTNNPIHTLYSVQSNSSSGVRTLLSASSIGICQWNFGSTEERIEFFHIIFGKFYS >Manes.09G065000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10743003:10749679:-1 gene:Manes.09G065000.v8.1 transcript:Manes.09G065000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPYESDEENDAAFVYPGFEFEEETENHLESEEEEDDEEYIPVVNSPRVVGNVSLNPGRVSVEEERSKRRRAEHGGEGGGETCSLIADENAESSQGREWNRTQIDGLFCPICMDAWTSEGDHHISCLPCGHIFGLSCISRWLKQSRSSAKCPQCNRKCTLKDVRKLFAPRIAVIDEESQKTIRSLEVKCASLEKKSADWCRKESEWQKREAELQLKVNQLTERTTYLEHLVEDMQSRSNVPFTAGRNSQGHKIPGIINSKLCCRGSSSIFVLERELQVDGARLFDIDAFGQILLLVRRLPKIGGSHVLTKMNLLPPHESEDILLPSSMKIIKDLHISPFNGSHALCASLGEKLSVLSMESNSVILSYDLLGPAWSCCWDLHSSYYMYAGLQNGLLLAFDMRQTRGPVESSPGLTNNPIHTLYSVQSNSSSGVRTLLSASSIGICQWNFGSTEERPSLVPQTANQGVCISLAHCLSGDDVVVTFRPKVEMTNEMAYSQSSLTPCSISGRGVLGSHLHLKRVGDKYEQLGISCATVSNIQLPRSVIVDRENEKPLFAAGDEETLGLILQELPTFKFDQSLKSHKHHICDVKYTSTLTQGLLGCLSEDRLQLYSSKMR >Manes.05G198500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32282769:32283137:1 gene:Manes.05G198500.v8.1 transcript:Manes.05G198500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVMLPVLLLLSAFLLVSTIPGGISPVQDVKNNKEVQDLGRFCVEEFNRQLLQHSNGGGERLVFSEVVDALEQVVAGVKYYLKIAAWSMENREMAIYDAQVVSAPWKYETGLLLSFQPSVA >Manes.09G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6989680:6993375:1 gene:Manes.09G036400.v8.1 transcript:Manes.09G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFSAQMVPEWQEAYMDYNFLKTLLKEIQIFKLRNKPPVTPSGALKRKLTLYRAFSGLTQRNNHTSSSSEIESQAILVNSVNRDGSQSYETTLLMPSDEAGEYELAYFRKLDDEFNKVDKFYKAKVEEVMKEACMLNTQMDALIAFRIKVDKPLGLSDRSADMTRLASDVAASAAILAASAPSGARSTRPMSLHDGTRLAPLEILNHVKFNNTLETPRSTIKGLLPVSQETQLKFTRENLRRVEEQLKRAFVEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITSRDASKAYMKMVDHSYLGSSDEVIKVMERVEATFIKHFSNSNRSKGMRILRPKAKRERHRTTFLMGLFSGCTAALVIALILIIHARNIMNKPGRETYMKTMFPLYSLFGFIVLHMLMYAANIYLWRRYRVNYSFIFGFKQGTEMDHRQVLLVSFGIAVLALISVILNLDMEMDPKTNDYKQLTELLPLNLLILLLVLLFLPFNVLYRSARFFLLTCLFHCLAAPLYKVALPDFFLADQLTSQVQAIRNLEFYICYYGWGDYKRRENTCNTSVYNTFYFIVAGIPYWYRLLQCLRRLFEDKDPMHGYNGLKYFMTVVAVSLRTAYILNKGIGWRIIAWIFSVIAAIYGTYWDLVIDWGLLQRNSKNRWLRNKLLIPHKIVYYLAMVLNALLRFAWLQTVLNFNFFSLHRETSIAIVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNCDEDDDEGEWL >Manes.13G008900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1249303:1252388:1 gene:Manes.13G008900.v8.1 transcript:Manes.13G008900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKNKGKVYPSPSSSSSSSFPVAAIRDRDGDVFSVLKLLPAAILTLASVLSLEDREVLAYMITRSMKTTTNSNPNNPSSLSQDSKKKSSKKLSNASSSSSSASITTNHKSPVFDCDCFDCYTSYWFRWDSSPNRELIHQVIEAFEEHLTSGEQSRRSSRGKRRDKAGRQVGEKLVIDVMSRSQVPELETPPLDTDESSIVSPDDDASPVATPARAADGEEGKKGAKKNEELTGLVPAESKEMAVVARSSPADVSNHKGLARKVLPDVLGLLNSRLWSLWSPNV >Manes.05G028600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2445022:2445684:-1 gene:Manes.05G028600.v8.1 transcript:Manes.05G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHEQVRPLAPSTDRTSSDEEESALQSKKLRRRRCIKCCGCITAVLLTAAIVIVILIFTIFRIKNPWIKLNGVTITQLELINNTNIPKPGVNISLIADVSVKNPNIASFRYNNTTSAIYYHGEVVGEARGPPGRAKARRTMRMNVTVDIMTGKLVSSPNLSTEAGTGLLTMDSYSKIPGRVKLLNIIKKHITVTMNCSITVNISSQAIQAQKCRKKVDL >Manes.16G087600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29418819:29421913:1 gene:Manes.16G087600.v8.1 transcript:Manes.16G087600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERDLLSTEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHAIYLATIPVLVLVFSAEVGSLSREELWKKLWEDARYDLVSVLSFVAVLVFTVSAYFMSRPRSIYLLDFACYCPHDDLKMSKDEFMELARKTGKYDEATLEFQKKILQSSGLGDETYIPKAVMRQENCATMKEGRLEASTVIFGALDELFEKTRVRPKDVGVLVVNCSIFNPTPSLSAMVINHYKMRGNILSYNLGGMGCSAGIIAIDLARDMLQANPNNYAVVVSTEMVGYNWYSGQERSMIIPNCFYRMGCSAVLLSNRRLDYPRAKYRLEHIVRTHKGADDRSFRSVYQEEDDQKFKGIKVSKQLMEIGGEALKTNITTLGPLVLPFSEQLLFFGTLVWRHLFPKNDGSSATQPSKPYIPDYKLAFEHFCVHAASKIVLDEIQRNLGLSDKNIEASRMALHRFGNTSSSSIWYELAYLEAKERVKRGDRVWQIAFGSGFKCNSLVWKSMKRVKKPSMNPWLDCIDRYPVTSRN >Manes.16G087600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29417980:29421913:1 gene:Manes.16G087600.v8.1 transcript:Manes.16G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERDLLSTEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHAIYLATIPVLVLVFSAEVGSLSREELWKKLWEDARYDLVSVLSFVAVLVFTVSAYFMSRPRSIYLLDFACYCPHDDLKMSKDEFMELARKTGKYDEATLEFQKKILQSSGLGDETYIPKAVMRQENCATMKEGRLEASTVIFGALDELFEKTRVRPKDVGVLVVNCSIFNPTPSLSAMVINHYKMRGNILSYNLGGMGCSAGIIAIDLARDMLQANPNNYAVVVSTEMVGYNWYSGQERSMIIPNCFYRMGCSAVLLSNRRLDYPRAKYRLEHIVRTHKGADDRSFRSVYQEEDDQKFKGIKVSKQLMEIGGEALKTNITTLGPLVLPFSEQLLFFGTLVWRHLFPKNDGSSATQPSKPYIPDYKLAFEHFCVHAASKIVLDEIQRNLGLSDKNIEASRMALHRFGNTSSSSIWYELAYLEAKERVKRGDRVWQIAFGSGFKCNSLVWKSMKRVKKPSMNPWLDCIDRYPVTSRN >Manes.12G151825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35980917:35996146:-1 gene:Manes.12G151825.v8.1 transcript:Manes.12G151825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREEEKNKYSIIIPTYNKRLNIALIVYLIFKHLMDVEFEIIVVDDGSPDGTQEIVKQLSSIYGEDCILLRARLKKLGLGTAYIHGLKHASENFIVIMDAHLSHHPKYLRSFIKKQLETGASIVTGTRYVQGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIISSVVSN >Manes.10G148750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31719263:31722443:-1 gene:Manes.10G148750.v8.1 transcript:Manes.10G148750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGSSGIGLLLLCNLLALTGLVSASFNRSSFPPGFLFGTSAASYQYEGAASEDGKGPSIWDFFTHEYPERIAGRANADVAIDFYHRYQEDVEIMKNMSLDAFRFSISWSRVLPRGKLSGGVNKKGIEFYNDLINKLLSEGIQPFVTLFHWDLPQALEEEYGGFLSSHIVDDFKDYAELCFREFGDRVKHWITLNEPWSYSTTGYNTGQFAPGRCSKFMNPACQAGDSATEPYLVAHNMLLSHAAAVKLYKRKYQGSQKGKIGITLISHWMVPFSNSKLDKEAASRALDFMYGWYMDPLTCGEYPQAMLNLVGNRLPKLTKDQSEMVKGSFDFIGLNYYSAFYAAALPANSNPINISYSTDSFTNLTSERNGIPIGPSDGSIWIHSYPKGLGNIVKYTKERYNNPIIYITENGIDQFDDGTLTLEELRNDTYRIDYYKHHLVYLNKAIQEGVNIKGYFAWSLLDNFEWAAGFTMRYGINLVDYKNGLKRSPKDSAIWFTNFLQR >Manes.13G035400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4360529:4362154:-1 gene:Manes.13G035400.v8.1 transcript:Manes.13G035400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPLGLATQSAHPARFSPDDKFSRQRVLLKKRFGLLPTQQPPQKY >Manes.07G085000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:26688878:26690758:1 gene:Manes.07G085000.v8.1 transcript:Manes.07G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHHNDHPQPNQPHSSTKKSRPFSFYISSMLILFLAISFSLTKTNYIKIQHLRSILSSHPSSFQKILGFLNGFQKPIKTLTTNPNSFPSHCVLWMAPFLSGGGYSSEAWSYILALNEHVKESRFRLKIEQHGDLESLEFWEGLSNDVKRLAFKLHKTDCRMNETVVLCHSEPGAWYPPLFETFPCPPTGYKNFMHVIGRTMFETDRVNIEHVRRCNRMYSVWVPTEFHVSTFIQSGVDPSKVVKVVQPIDVEFFDPLKYKPLDLASIANLVLGSRNMDSNSKKEFVFLSVFKWEYRKGWDVLLKAYLKEFSGIDGVSLYLLTNPYHSERDFGNKILEFVEDSGLEKPDNGWAAIYVIDTHIAQIDLPRMYKAANAFALPSRGEGWGRPIVEAMSMSLPVIVTNWSGPTEYLTDENSYPLTVDGMSEVMEGPFMGHLWAEPSVDKLQHLMRHVMTNVDEAKTRGRNAREDMIRRFSPDVVAGIVNNQLQNILDKIV >Manes.17G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31418031:31421523:-1 gene:Manes.17G107100.v8.1 transcript:Manes.17G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHDIKVKDEKTLLFGDKAVTVFGVRNPEEIPWGQTGAEYVVESTGVFTDQDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKDYKPDLNIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYDEIKAAIKQESEGKMKGILGYTEDDVVSSDFVGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLICHIASAQ >Manes.08G064200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8854438:8862194:-1 gene:Manes.08G064200.v8.1 transcript:Manes.08G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQQIPVVSLSMDQAGLTTEQVLKRDIPWEAYMTTKLISGTDLQLLRRYDNRSESYRAQLLDDDGPAYVRVFVSILRDIFKEETVEYVLALIDELLTANPKRARLFHDKSLTNEDTYEPFLRLLWKGNWYIQERSCKILALIVSARPKTQDCITANGQASNSKRKVTSIDDVLKGLVEWLCAQLKKPSHPTWGVPTAISCIAMLLKEPVVRSSFVQADGVKLLIPLISPASTQQSIQLLYETCLCVWLLSYYEPAIEYLATSRALPRLVEVVKSSTKEKVVRVIVLAFRNLLSKGTFGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNQLEEGLRDNIKKLSSFDEYKQEVLLGHLDWSPMHKDPIFWRENINNFEENDFQILRVLITILETSSDARALAVASFDLSQFIQYHPAGRIIVTDLKAKDRVMKLMNHENAEVTKNALLCTQRLFLGSKYASFLQA >Manes.18G027800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2392755:2401894:1 gene:Manes.18G027800.v8.1 transcript:Manes.18G027800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLICDSQPWKDLKAHVEDIKKTHLRDLLSDTERCKSMTVEFDGIVLDYSRQQATVHTVDKLYNLAEAALLKEKIHRMFNGERINSTEDRSVLHVALRAARNAVINSDGKNIVPYVWNVLDKIKEFSERVRNGSWVGATGKALTNVIAIGIGGSFLGPLFVHTALQTDSEASQCAKGRQLRFLANVDPIDVARNIAGLNPETTLVVVVSKTFTTAETMLNARTLRAWISKELGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGASSIDQHFTSASFEKNIPVLLGLLSVWNVSFLEYPARAILPYSQGLEKLAPHIQQVSMESNGKGVSIDGVPLPFEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLEGEVVNNHDELMSNFFAQADALAYGKTAEQLQKENVQQHLIPHKTFSGNRPSLSILLSSLDAYKVGQLLAIYEHRVAVQGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGGPIEGFNFSTTTMLARYLKESSDVPANPQTLLPRI >Manes.16G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:739053:744311:-1 gene:Manes.16G007400.v8.1 transcript:Manes.16G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELQSCASLVNASALCSIEQEVKGEATVNIIAEISAELQREREKNAELMERISVLESQIQEREKESFISHPQGSCLNAEERSIKKFRRHKIGAINNETEEKNISNGETDSQIENDIKCNPPKDANVEDRLVTWMSMDETQFLLTDKLKDDDLAADCDDTDDSGEEDDCEEVDTHIDQKNGATYEISNQTDAHQHQNGADERVNVPCPGSFFGRQCEPPFLPVNQETNADRKTYSTLHDNERVNKKICLQKQDEKGTENVGVHSTLADDPVSKQDSFNNGHGNMSSIRKPPKMAFCPKEVKRMLESEVLQLKNAQSHTIRKIIVFASLGIRHGCDDMYELDFNHFSILRKGEPYVSPKDPGEHVLYENPGIRRKIFFPNRQNPTLCPVQILEEEKAMRPSDPSCPSYLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPIMCRMAMLVHIRSGSFFFKALGITFLFMAGFPDDLVRRETKYRNLDLLQKYYRTDEDAEGEELFLTRPMTCDSQASPGSQLLIGKTNSTKMKGRKQAKSINKPQNLPRSSVHQSVASSSAPPTQFGLMGYPKIQTQSPPDVLKIPNQAMNRTATNISYNNQTPYHMFPTQPANPFLPMMYWSAPSAFPPAPYASSYGYQAFPSTANYISIHPQAYYSHPSCIPMIPKMAERNWKNDATLVRADSDSDSSSSSSTEPKEALPSCK >Manes.17G021625.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12222687:12222935:1 gene:Manes.17G021625.v8.1 transcript:Manes.17G021625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLPLVYRFQPTDDKLIFFYLRRKIDNEPLPIKKCNLYSDKNSWELFDENSTGFFDELSSGHFYHFAKLKKKSKAKIESVD >Manes.15G021900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1730662:1736812:-1 gene:Manes.15G021900.v8.1 transcript:Manes.15G021900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNVCSFISSFLVGELGCDGGGGLRFMKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAVARVRNEKARRYLSSMRKKKPIPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGATVAPPERQHASLPRPCVLYSDNTVQNSVEVTNDLSKCSIKDIEKPHVDRSGGIPMTRLPLQVPESIQGAARPGKVIGSVMRYNNCGVAAKAEALEQRRMVRNPAISTQYAAANCSYPRRNPVCKNERGEDDGVEGSTGLQPKPQYTARKVAAAQGGTGNHWY >Manes.15G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1730480:1736819:-1 gene:Manes.15G021900.v8.1 transcript:Manes.15G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAVARVRNEKARRYLSSMRKKKPIPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGATVAPPERQHASLPRPCVLYSDNTVQNSVEVTNDLSKCSIKDIEKPHVDRSGGIPMTRLPLQVPESIQGAARPGKVIGSVMRYNNCGVAAKAEALEQRRMVRNPAISTQYAAANCSYPRRNPVCKNERGEDDGVEGSTGLQPKPQYTARKVAAAQGGTGNHWY >Manes.15G021900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1730484:1736812:-1 gene:Manes.15G021900.v8.1 transcript:Manes.15G021900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKVRNEKARRYLSSMRKKKPIPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGATVAPPERQHASLPRPCVLYSDNTVQNSVEVTNDLSKCSIKDIEKPHVDRSGGIPMTRLPLQVPESIQGAARPGKVIGSVMRYNNCGVAAKAEALEQRRMVRNPAISTQYAAANCSYPRRNPVCKNERGEDDGVEGSTGLQPKPQYTARKVAAAQGGTGNHWY >Manes.02G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6974238:6980279:1 gene:Manes.02G088600.v8.1 transcript:Manes.02G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDVLKIQNPLMKTASRSLPKTNNTTPWSWNWKRRSSSGFGRLRVATDDSPPVADDYYAVLGLLPDATPQQIKKAYYNCMRECHPDLSGNDPETTNFCKFINEVYAVLSDPVQRMIYDEIHGYALTAINPFLDDSSPKDHAFVDEFSCIGCKNCVNVAPDVFGIEEDFGRARVYGQCGNPELVQQAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVALMLSGMGSASIDVFRMANSRWEKRQAKVLEQAKIRMMRQKSSDKTDSYWSDLWGKPKDYQKSEEEVKERAKRAAAAARKWREYSRRGVDKPPTFKLPETMSNNEK >Manes.16G059100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:22306741:22307952:1 gene:Manes.16G059100.v8.1 transcript:Manes.16G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPKQKKPQTHSPIAADSSNDFAFQLTKIAVSQICQSLGFKSTQLSALENLTQVTTLYLKTLAKAATSYSNASNRTQSNVFDIVNALHDIYSIRGFTGGSTIDKSNSLLSSCVSNDLSVFVHSTKEIPFFKAILREGNTISPRRSWNLKGTHIPMWLPEFPDEKSYVKCKENNGDERMGLWENSELERTSASGGTGNKEKKKIDDGGDLPAERGRVRFRIGQVAKRNWVSRSRVDGSVFIEF >Manes.05G193900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31729528:31730895:1 gene:Manes.05G193900.v8.1 transcript:Manes.05G193900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRCIAAAHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFKTMVKPLVSRKGTLDPALEAAEAKSRTITLSKDGRGEFKTLTDAIKSISADNKQRVIIKISPGVYTEKIQIERNKPFITLLGDPKAMPTLAFGGTAHQYGTLYSATIAVESEYFMAVNIIFKNTAPGPITKNPGAQAVALRVSGDKAAFYNCKMLGFQDTLCDDNGRHFFKNCYIEGTVDFIFGKGRSLYLESQINVIDNKGVTFITAHSKEKKSDVVGYSFVQCKITGSASGAYLGRAWRAMPEVVFSYTEMGRVVNPLGWSNNNKPERERTVFFAEYKNSGPGSNPKGRVKFDKQLTDKEAKNFLTLGYIQGSKWLLPPPM >Manes.12G158700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36622681:36627690:-1 gene:Manes.12G158700.v8.1 transcript:Manes.12G158700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRERTVGAAVAMAGDGEEGGEVLVVGVKFDAESRELLTWALMKEAKPGDHVIAVHVLESITDFVGGTTSLLSLVKTFDSLLAVYEGFCNLKQVDLKLKVCRGSSAKKILVREAKSSGAAKIVVGTSKTHHKISSSTSVAKYCARNLSKSFSVYAVSNGKIIFRREATPHLQDKLKQESQSRSQRSNNLPDASGTDLLDESHNDGDVDNSLALVPVQTRKGVSNFDSTLESKQGWSFLRWIFLPKHRHTEKSRVKKNSVVKSVLKLPSWNSSSVVYPDKKQTVLSITEDHSSNLEGENGAIVPMVGHKVAWSPVSPCHGSNGLPEELKGLHEKYSSSCRLFSYEELCLATSNFLPENMVGKGGSSHVYKGCLPDGKELAVKILKPSEDALKEFVAEIEIITQLHHKNIISLFGFCFEHNNLLLVYDFLSRGSLEENLHGNKKGRNAFGWQERYKVAVGVAEALDYLHNLYDQPVIHRDVKSSNILLSDDFEPQLSDFGLASWVSTSCTDVAGTFGYLAPEYIMHGKMSDKVDVFAFGVVLLELLTGRMPIDDENPKGQGSLVMWARPILEGGKVSQLLDPNIRTEYDDDQIARMVLAATLCISCSPIFRPQISLVLKLLQGDEEVTDWARQQVSASEEVDTEDGEAFPTNIQTHLNLALLDLDDDSLSMSSTEQGVSIEDYLQGRWSRTSSFD >Manes.12G158700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36622681:36627690:-1 gene:Manes.12G158700.v8.1 transcript:Manes.12G158700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRERTVGAAVAMAGDGEEGGEVLVVGVKFDAESRELLTWALMKEAKPGDHVIAVHVLESITDFVGGTTSLLSLVKTFDSLLAVYEGFCNLKQVDLKLKVCRGSSAKKILVREAKSSGAAKIVVGTSKTHHKISSSTSVAKYCARNLSKSFSVYAVSNGKIIFRREATPHLQDKLKQESQSRSQRSNNLPDASGTDLLDESHNDGDVDNSLALVPVQTRKGVSNFDSTLESKQGWSFLRWIFLPKHRHTEKSRVKKNSVVKSVLKLPSWNSSSVVYPDKKQTVLSITEDHSSNLEGENGAIVPMVGHKVAWSPVSPCHGSNGLPEELKGLHEKYSSSCRLFSYEELCLATSNFLPENMVGKGGSSHVYKGCLPDGKELAVKILKPSEDALKEFVAEIEIITQLHHKNIISLFGFCFEHNNLLLVYDFLSRGSLEENLHGNKKGRNAFGWQERYKVAVGVAEALDYLHNLYDQPVIHRDVKSSNILLSDDFEPQLSDFGLASWVSTSCTDVAGTFGYLAPEYIMHGKMSDKVDVFAFGVVLLELLTGRMPIDDENPKGQGSLVMWARPILEGGKVSQLLDPNIRTEYDDDQIARMVLAATLCISCSPIFRPQISLVLKLLQGDEEVTDWARQQVSASEEVDTEDGEAFPTNIQTHLNLALLDLDDDSLSMSSTEQGVSIEDYLQGRWSRTSSFD >Manes.12G158700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36622681:36627690:-1 gene:Manes.12G158700.v8.1 transcript:Manes.12G158700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRERTVGAAVAMAGDGEEGGEVLVVGVKFDAESRELLTWALMKEAKPGDHVIAVHVLESITDFVGGTTSLLSLVKTFDSLLAVYEGFCNLKQVDLKLKVCRGSSAKKILVREAKSSGAAKIVVGTSKTHHKISSSTSVAKYCARNLSKSFSVYAVSNGKIIFRREATPHLQDKLKQESQSRSQRSNNLPDASGTDLLDESHNDGDVDNSLALVPVQTRKGVSNFDSTLESKQGWSFLRWIFLPKHRHTEKSRVKKNSVVKSVLKLPSWNSSSVVYPDKKQTVLSITEDHSSNLEGENGAIVPMVGHKVAWSPVSPCHGSNGLPEELKGLHEKYSSSCRLFSYEELCLATSNFLPENMVGKGGSSHVYKGCLPDGKELAVKILKPSEDALKEFVAEIEIITQLHHKNIISLFGFCFEHNNLLLVYDFLSRGSLEENLHGNKKGRNAFGWQERYKVAVGVAEALDYLHNLYDQPVIHRDVKSSNILLSDDFEPQLSDFGLASWVSTSCTDVAGTFGYLAPEYIMHGKMSDKVDVFAFGVVLLELLTGRMPIDDENPKGQGSLVMWVIFSTSTFQFLLSELWHDVQILSRMVCFI >Manes.08G151100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39194164:39195870:-1 gene:Manes.08G151100.v8.1 transcript:Manes.08G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGIKKGPWTPEEDIILVSYIQENGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKSQSALDHNPMASQDSTTSTTHRFVSKGLFSERSRSLNLSPNSSSDLRLNQLTSSTYASSTENISRLLEGWMRSSPRPDNHGINDPWNKAEGSIENSVAATSLQCYRPKDELETGGGGGLISHEEFESILSFDQNLNNVAWDKSTCDSNTVSTVKVCRKNSENEEKEHEIITVAEKKQKSESNPPFSFLENWLWDETATAQVEELSPIF >Manes.08G151100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39194164:39195870:-1 gene:Manes.08G151100.v8.1 transcript:Manes.08G151100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGIKKGPWTPEEDIILVSYIQENGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNNSFLWILYRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKSQSALDHNPMASQDSTTSTTHRFVSKGLFSERSRSLNLSPNSSSDLRLNQLTSSTYASSTENISRLLEGWMRSSPRPDNHGINDPWNKAEGSIENSVAATSLQCYRPKDELETGGGGGLISHEEFESILSFDQNLNNVAWDKSTCDSNTVSTVKVCRKNSENEEKEHEIITVAEKKQKSESNPPFSFLENWLWDETATAQVEELSPIF >Manes.08G151100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39194164:39195870:-1 gene:Manes.08G151100.v8.1 transcript:Manes.08G151100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKSQSALDHNPMASQDSTTSTTHRFVSKGLFSERSRSLNLSPNSSSDLRLNQLTSSTYASSTENISRLLEGWMRSSPRPDNHGINDPWNKAEGSIENSVAATSLQCYRPKDELETGGGGGLISHEEFESILSFDQNLNNVAWDKSTCDSNTVSTVKVCRKNSENEEKEHEIITVAEKKQKSESNPPFSFLENWLWDETATAQVEELSPIF >Manes.09G031866.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6496285:6500255:1 gene:Manes.09G031866.v8.1 transcript:Manes.09G031866.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGMDSLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEISLQYVDRRLDHRPVNTRWINLVKYVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQNIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNSHLHGGDKAGATKDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYLHPLTVSQLDSLRHQATLIVSTRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRITGVLSGLIDVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031866.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6496325:6500048:1 gene:Manes.09G031866.v8.1 transcript:Manes.09G031866.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGMDSLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEISLQYVDRRLDHRPVNTRWINLVKYVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQNIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNSHLHGGDKAGATKDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYLHPLTVSQLDSLRHQATLIVSTRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRITGVLSGLIDVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031866.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6496274:6501103:1 gene:Manes.09G031866.v8.1 transcript:Manes.09G031866.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGMDSLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEISLQYVDRRLDHRPVNTRWINLVKYVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQNIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNSHLHGGDKAGATKDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYLHPLTVSQLDSLRHQATLIVSTRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRITGVLSGLIDVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031866.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6496354:6501080:1 gene:Manes.09G031866.v8.1 transcript:Manes.09G031866.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGMDSLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEISLQYVDRRLDHRPVNTRWINLVKYVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQNIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNSHLHGGDKAGATKDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYLHPLTVSQLDSLRHQATLIVSTRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRITGVLSGLIDVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031866.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6496325:6501087:1 gene:Manes.09G031866.v8.1 transcript:Manes.09G031866.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGMDSLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEISLQYVDRRLDHRPVNTRWINLVKYVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQNIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNSHLHGGDKAGATKDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYLHPLTVSQLDSLRHQATLIVSTRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRITGVLSGLIDVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031866.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6496342:6501087:1 gene:Manes.09G031866.v8.1 transcript:Manes.09G031866.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETKPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVRLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGMDSLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCEISLQYVDRRLDHRPVNTRWINLVKYVVVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKQNIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNSHLHGGDKAGATKDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYLHPLTVSQLDSLRHQATLIVSTRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRITGVLSGLIDVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.S048216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1530863:1531594:1 gene:Manes.S048216.v8.1 transcript:Manes.S048216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSILTTKQSLQPNGITLRTTTLPRLLARRIQHGTRCHAFPKHSRCLNKTVETEIIPSTLATQTAWRERVGHRESPITATKETRRTILQHMPRQLMRRFGETGGMKRHALT >Manes.04G091801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29724520:29726452:1 gene:Manes.04G091801.v8.1 transcript:Manes.04G091801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQAAVHEKPEARAWVAGGDGVAAEGDKVRGGSDRSWVGHGGDLVSMVGFDVKRKKRMPRQRRQSSSINYLFSFPNTVTVSSSCCSSSAATTSHVPSSSPLHHLPSFPTRVIDQRRLSFLFQKELKNSDVSSLKRMILPKKAAEAHLPILESKEGIFISMDDLDGLHVWSFKYRYWPNNNSRMYVLENTGDFVNTHGLQLGDFIMVYKDDQNQNYVIQAKKASDEDVYGNISTTAVSDMSLNDYDQVNKCSSFYMNYPMVDNTGLSFIYDTTTNFSDDSPLDFWGGSLTNYSRMGHMENSFGSVESFSLDEFN >Manes.15G116600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9308534:9310558:-1 gene:Manes.15G116600.v8.1 transcript:Manes.15G116600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKEQQSNNSSSVDNSSPRGRNDDPKSQDESDGGEVGSPASQEHHSEQNPFNEENEESDKKDSSFASGCTPVEGVTKDAEGSQKVGVEDNTAVTIERELNPEQYMESKDISVEHVESAKDSHDGDDKSSSNSSDGESRVFDIKWKEEANSASSNVEKAFPEEVTQIFEIGQTLEEAAGNLVAKTVPTGLVTPVLPMYEVAKHVMEGAEVENSEVLDVVELGLKENEDKFLLQSSDVVSALVPEKNKDNFFPVLDKNVGPSTDVICSTANGNEYKTLTLSGAYSAETSLYAENVNDSGNSNGTVIANEPTTKMSKSSGNYTSETSNDADKAKDTEISRYTESQPLVPPAPQVSQRTSWMSCCGLFEVFTVSNR >Manes.10G048600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5328738:5330485:1 gene:Manes.10G048600.v8.1 transcript:Manes.10G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRKRTDVTHSIIPKSRPSKSSPENLPASSLSSSSCNYPRPNYPPSSSINYYSSALYNGYKDTSKSSSSSSSSISAKRSLKSLKEASLPDNPNIYDFAEICRATNNFLSEPFSSSPSCTSWRCQIRQKDVILFQRKFRRVDPIRLSELQQLLSTICRSHHNSLIKLLGVATSGNCIFLVYEYVRGASLATCLRNPQNPSYTVLSNWLSRMHIAADIAHGIDYIHRCAASNSGFVHNHIKSSSIIVAEDSLHAKICHFGVAELCGEMEEREGYMSPAHPLGMKIEGTRGYMSPEYLASGIVTQKSDVYAFGIVVLELVSGEEALRYVFDKGSGEFIRVSVINTARKAVGSGGSGVRNWVDRRLKDSYPVDVAEKMVVVGLQCVEEDPEKRPDMEEVAVRVSKLYLESKNWAERICMPNDFSVSTAPR >Manes.01G273100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42596786:42604250:-1 gene:Manes.01G273100.v8.1 transcript:Manes.01G273100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLVNCSNCRTPLQLPPGARSLRCAICQAVTHIADPRSAPPSSASPTPPSTHAPPPPSPYNHAPPGPPPNAHGRKKAVICGISYRYSRHELKGCINDAKCMRYLLINKFQFPEDSIIMLTEEETDPYRIPTKHNMRMALYWLVQGCQPGDSLLFHYSGHGSRQRNYSGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVKLHAIIDACHSGTVLDLPFLCRMSRSGQYIWEDHRPRSGMWKGTSGGEVISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILNSMRSAIRNTGNDLGGGAVTSLLSMLLTGGSIGGGLRQEPQLTACQPFDVYTRPFSL >Manes.07G008900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1000064:1009415:1 gene:Manes.07G008900.v8.1 transcript:Manes.07G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGDIITKLGSRALHEIGLWWGVKGELKKLEATVSSIRNVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEERIAWRDQTESSLPEVVIGREGDKKAITELVLSSNGEECVSVLSIVGMGGLGKTTLAQIIFNDELIKNSFERRIWVCVSDPFDVKMVVRKILESATEKKPEDLELEALKSQLGRIIDGKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKKVADISSTMAPHVLEGLSRDESWSLFLHVALEGQEPKHANVREIGEEILKKCCGVPLAIKTIASLLYEKNPETEWPLFLRNELSRISQDDNDIVPTLKLSYDQLPSHLKHCFAYCALCPKDYEIDVKTLIHLWVAQGFVEAPITSDRLEDIGLEYFMKLWWRSFFQEVKRDRFGNIESCKMHDLMHDLATTVGEIRIRLVNSDAPNIDEKIRHVALKLDVAPQEVLNIAKKSRSFLLLEKHDYNKLFIHKNLWCIRAYDMNDCGIEKVDSCIKMLKHLRYFGVSGNVKLRTLPNSITDLLNLRVLNVSNCRRLKELPKDIKKLVNLRHLYCEGCKSLTHMPRGLGQLTSLQTLSQFVVAKGHISSKDVGKINELNKLNNLRGSLEITNLGFVDNEIVNVNLKEKPLLQSLVLHWKESWEDSNVDRDEMAFQNLLPHPNLKELNVVRYGGRRFPSWFSSLTNLVQLHIWNGNECQHLPPMDQIPSLQHLEILGFDDLEYMEIEGQQTSFFPSLKTLNLHGCPKLKGWQKKRDDSTVLELLKFPCLSYFSCNNCPSLTSIPQFPSLDESLNLQKASPQLVHQIFTPSISSSSSIIPPLSKLKHLSIVYIEELESLPRDGLRNLTCLQRLTIGFCPALKCLPQEMRSLTSLRELNIEICPQLKERCGNKKGADWEFISHIQNIKVDRQTIQKEGRYLLDDEASDQ >Manes.14G170600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:28056589:28057290:-1 gene:Manes.14G170600.v8.1 transcript:Manes.14G170600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTQDHRFLTFYRISLKTRHPTPRYSYSYSPHASNHVPGFIASSLLSLNPNHSFLTGPTTMASKPTSSSTLYFQDMDELHKVFNQFDSNGDGKISVAELGNVLKSMGSSYTTVELERVMDEVDTDKDGFINLQEFAQLCRSSSGAAADSELKEAFDLYDQNKNGQISSAELHQVLNRLGMQCSKEDCERMIGSVDSDGDGCVNFEEFQKMMATTINNETATARAADGVQNDA >Manes.06G130800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006219:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006261:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006163:26014073:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVDVCISGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQVSIALVI >Manes.06G130800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006268:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVDVCISGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006163:26014073:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQVSIALVI >Manes.06G130800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006262:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006163:26014073:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQVSIALVI >Manes.06G130800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006268:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVDVCISGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006261:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006268:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVDVCISGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006268:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVDVCISGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006219:26018162:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQAMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALIWCWRLFMIKLWNHGLLDGRTMNRCNITLEQHQKQVLDPVKSQER >Manes.06G130800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26006163:26014073:1 gene:Manes.06G130800.v8.1 transcript:Manes.06G130800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETSTYTRSTDQMCREDGRLHLSAEEEMAAEESFSIYCKPVELYNILQRRAIRNPSFLQRCLNYKIQAKHKRRIQVTISPIVTVNGSSVSCSLFPLYILLARAFSDIGISEYSAVYRFRRACVLTNFSGVEGSTQAHANFILPEINKLALEAKSGSLAILFVSFAGAQSPVHGVEITKGHLENVGGCCLLGLIPLESLYMSLEKSPNLSLGQRVEVTSQVDMKSCFLKLSCFNDDKCLSIQIPHGSETVNTSQHIQVNISAEEVGAKEKSPYHSYTCGDISSSLSHIIGLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVRCASFKGLRYHLPSSHDLFNFEFWVTEEVQAVNISVKTDIWRSEIVADGVDPKQPTFFFCSKKPKHKRRKNFVQNVKHVHPFILESNLRIGASCILDEPVDVCISGRKDLPTASIGAVAVIENVPSSFGCAAQAYPDPECVQSASANNFAPPAMLQFAKTRKLSIERSELRNRTLLHKRQFFHSHRAQVSIALVI >Manes.08G054800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5957300:5959478:-1 gene:Manes.08G054800.v8.1 transcript:Manes.08G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPSSFLKGKAIRLLGFFMILFLCFTPDKSDHCFPSNKSSSNIPWLETLNVDGYFSFDDTEHAAKDFGNRYHFQPSAVLHPKSVFDISSTIKYIFDMGSSSEITVAARGHGHSVQGQAQAHRGIVINMESLKGQEMRIHTGETPYVDVSGGELWINVLHETVKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNVYQMEVITGKGEIVTCSEEQNADLFYGVLGGLGQFGIITRAIISLERSPNMVKWIRVLYSEFSKLSKDQEYLISTENSFDYIEGFVIINRTGLLNSWRTSFSPNDPLKANQFISDGRVLYCLEIAKYFNLDEYDSMNQKTEDLLSELSYIPSTLFTTEVSYVEFLDRVHVSEIKLRAKGLWEVPHPWMNLLIPKSKISEFAHEVFGNILSESSNGPVLIYPVNKSKWNNRTSLITPAEDIFYLVAFLSSAAPSSTGKDGLQYILTQNQKILDFCNKANLEVKQYLPHYGTQEEWQAHFGPQWEIFVQRKSTYDPFAILAPGQSIFKKGSSLPTTVSTQVSHKINEVL >Manes.09G036700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7022673:7029793:1 gene:Manes.09G036700.v8.1 transcript:Manes.09G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSHSVTGPAASPSSLGAAQNSNMDFWYDIYNNHLNDSVIGPSRLDYEWGYVFGNSSSKTRKRKKKDVGSTSTAYSNSDLSEALSAISAHLSLSSSGSSSLHSSREGDQYQDTEKDVAGFMKNHVGIFQDETLDNSTENCASFDIHSLSSESEEDDALSSSSNEDEIQSLSTFNASKGTQEDNFQVPELGMVFSSDGEVYEFYKKYSKRIGFTVRKGKVHRSSNGDIRERFFFCSREGFRSKKQANKLTKFKRKETRTGCRARIRCTVENGKWVISQFCQEHNHQLDGFSKTFEPYLVLPTRNEAGATKYFEFGKMGCSTYVHEAKINSLQAEDAQSLINYFRHMQVEDSSFFYAVQVDANGCMTNFFWTDGRSKIDYEYFGDVLILDTAFKMDKFGMICAPFLGLNHHQQYVLFGCAFLLDKSNDSFCWLFETFMEAMRRRQPKTIFTDEGQPMVDAVKMVMPEANHQLGLWYVFRNVSKHLPTYYGQPDFASLFNKCISGCDTQEEFESRWSSLLEQFDLHKNACLNTLYMYRKRWAHVFNKRTFGAGIHCLENIKNIFQNFTSETMSLPKFVQQYFLAAEQQRREELYEDFHCNGSAPEMTLHYGLVEKQAASMYIHLHSVLCVPGGVEKTFISGVKGNF >Manes.09G036700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7021808:7029794:1 gene:Manes.09G036700.v8.1 transcript:Manes.09G036700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHVGIFQDETLDNSTENCASFDIHSLSSESEEDDALSSSSNEDEIQSLSTFNASKGTQEDNFQVPELGMVFSSDGEVYEFYKKYSKRIGFTVRKGKVHRSSNGDIRERFFFCSREGFRSKKQANKLTKFKRKETRTGCRARIRCTVENGKWVISQFCQEHNHQLDGFSKTFEPYLVLPTRNEAGATKYFEFGKMGCSTYVHEAKINSLQAEDAQSLINYFRHMQVEDSSFFYAVQVDANGCMTNFFWTDGRSKIDYEYFGDVLILDTAFKMDKFGMICAPFLGLNHHQQYVLFGCAFLLDKSNDSFCWLFETFMEAMRRRQPKTIFTDEGQPMVDAVKMVMPEANHQLGLWYVFRNVSKHLPTYYGQPDFASLFNKCISGCDTQEEFESRWSSLLEQFDLHKNACLNTLYMYRKRWAHVFNKRTFGAGIHCLENIKNIFQNFTSETMSLPKFVQQYFLAAEQQRREELYEDFHCNGSAPEMTLHYGLVEKQAASMYIHLHSVLCVPGGVEKTFISGVKGNF >Manes.09G036700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7021808:7029794:1 gene:Manes.09G036700.v8.1 transcript:Manes.09G036700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHVGIFQDETLDNSTENCASFDIHSLSSESEEDDALSSSSNEDEIQSLSTFNASKGTQEDNFQVPELGMVFSSDGEVYEFYKKYSKRIGFTVRKGKVHRSSNGDIRERFFFCSREGFRSKKQANKLTKFKRKETRTGCRARIRCTVENGKWVISQFCQEHNHQLDGFSKTFEPYLVLPTRNEAGATKYFEFGKMGCSTYVHEAKINSLQAEDAQSLINYFRHMQVEDSSFFYAVQVDANGCMTNFFWTDGRSKIDYEYFGDVLILDTAFKMDKFGMICAPFLGLNHHQQYVLFGCAFLLDKSNDSFCWLFETFMEAMRRRQPKTIFTDEGQPMVDAVKMVMPEANHQLGLWYVFRNVSKHLPTYYGQPDFASLFNKCISGCDTQEEFESRWSSLLEQFDLHKNACLNTLYMYRKRWAHVFNKRTFGAGIHCLENIKNIFQNFTSETMSLPKFVQQYFLAAEQQRREELYEDFHCNGSAPEMTLHYGLVEKQAASMYIHLHSVLCVPGGVEKTFISGVKGNF >Manes.09G166400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35919651:35925652:-1 gene:Manes.09G166400.v8.1 transcript:Manes.09G166400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNYTSIDNQKVSGSVPSVPDPGHISAKFSDSNLQNFPPPSAHGKISSGSRLPRDADDTFSKPVSGTDEPQQSGWMRTFTIAAYKPYFDVDTIDVLERIKDSLFPFRGTFTEKTAGNPDLYGPFWICTTLIFVAASIGTFVTYLAHKLKNKEWDYDINVVTWSAGVFYGYVTIVPLGLYVVLKYFSVPSGLVQLFCLYGYSLFIFIPALCLSIIPLEIFRWVIAGVAGFMSASFVALNLRAHIMSAGERWVFIVASIFLLQLALSVVLKIYLFTVTF >Manes.08G019501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1969083:1971533:1 gene:Manes.08G019501.v8.1 transcript:Manes.08G019501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLAWFTLFFLVALVLLLKQRRSRLLGAKKRPPGPPAWPVVGNIFNLGSVPHRNLYRLRLKYGPVIWLRLGCTNGLVIQSAKAAEELFKNHDVSFSDRKVPEAFTAHDYQKGSISLGRYGFKWRLVRRVVTVELMTHKRINDTALVRRKCIDNMIQNIEEDVAAAVARGETGELAVAHYVFVMAFNLIGNLVISQDLLNSHSKEGPEFFEAMDKVMEWSGKPNVADFLPFLKGLDPQRIKKKMERNLGRTLKVVDGFVKKRIEDRKLMKRREGRDFLDALLEYEGDGKNGPDEISAHRRLIIILEIFLLGQKLQAAPLNGHWRSCSATQNQ >Manes.13G113500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31907226:31912085:1 gene:Manes.13G113500.v8.1 transcript:Manes.13G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSFTHLPKLSDISFSFSFSPIPIQIHLKTPKISKNFRVLAVAVDPQELPQNSPQRLLKELAERKKITSPKKKIPPKRFILRPPLDDKKLAQRFLNSPQLSLKQFPLLSSCLPSSRLNNADNTWIDEYLLEAKQALGYPLEPSDSYGDDNPAKQFDTLLYLAFQHPSCERTNSRHVKAGHSRLWFLGQYVLELAFCEYFLQRYPRESPAPLRERVFALIGKRNLPKWIKAASLQNLVFPYDDMDKLVRKDREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEAEDCQPKLRRQLEDVDYVSVEFEGNKLSWQDVAAYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYDSRPQVMRTLGYPLAMSDRIPDITEARNIELGLGLQLCFLHPSKHKFEHPRFCYDRLEYVGQKIQDLVLAERLLMKHLDAPGKWLQERHRRLLMNKFCGRYLRDKHLHHFISYSEQVQDAFEHNRRLRNPATTAVQQAIHGLSYTVYGKPDVRRLMFEVFDFEQIQPKAV >Manes.17G015180.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8067277:8068738:1 gene:Manes.17G015180.v8.1 transcript:Manes.17G015180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHACAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.13G097900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28912103:28914743:-1 gene:Manes.13G097900.v8.1 transcript:Manes.13G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEVAVEESKVPLLGDHFTPKPVEDDQDQRLTKRVWIESKKLWQIVGPAIFSRLTSYSMLVITQAFAGHLGDLELAAISIANNVIVGLDFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFLCCVLLLPMYIFASPVLKLLGQPKDVAELSGVVSVCMIPFHFSFAFQFPLQRFLQSQLKNTVIAWVSFVALLVHVMVSWLFVYKLQLGVVGTAMTQLFLVAFSGLWEFTKLSAASGVMLCLGNWYYKILILMTGNMKNAEIAVDALSICMTINGWEMMIPLAFFAATGVRVANELGAGNGKGAKFATLVSVTTSIIIGLIFLVLIMIFHNQLALIFTSSAPVLEAVSHLSLLLAFTVLLNSVQPILSGVAVGSGWQKYVAYINLGCYYLIGIPMGFLMGWLFHLGVLGIWAGMIFGGTAIQTLILAIITIRCDWEKEAEKAALHLKKWSEVK >Manes.13G129700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33787333:33789035:1 gene:Manes.13G129700.v8.1 transcript:Manes.13G129700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKHFVLVHGICHGAWNWYKIIALLKAAGHRVTALDLGGCGVNSISISDYLQPLTDFLASLPEEENVILVGHSYGGIAISLAMESFPNKISVAVYVTAFMPNCASPPATLPLEFLKRTPVESLLDFQFFFDDGPEKPPTSAMFGPDSMAANLYQHCQSEDLELAKTLVKPCRLLLKELSEETLLTEEKFGLVDRVFIVCESDKLLKKDLQEWFIENMPTKDVKYIAGADHMVMLSKPIELCHVLLEVAYKVGRT >Manes.15G150500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12582875:12586036:1 gene:Manes.15G150500.v8.1 transcript:Manes.15G150500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKSRKRKRSKHGKPQNQHKPLPSNNAGQFHRQEEPEPKNPSSSKKPKSSSFLDKMRARLSGGHFRMLNEKLYTCTGEEALKCFKEDPSLFDMYHAGYQEQMSHWPEQPVNIIMNWLKDRSSSLVVADFGCGDARLAKNVKNKVFSFDLVSNNPSVIACDMANTPLDSSSVDVAVFCLSLMGTNFPSYLEEAHRVLKPNGWLLIAEVKSRFDPNTGGADPNKFSKAITDLGFSSKLKDFSNKMFILLYFQKKEKQSSQTKEIEWPELKPCLYKRR >Manes.15G150500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12582875:12586036:1 gene:Manes.15G150500.v8.1 transcript:Manes.15G150500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHRISTNLFHLTMPANFIDKRNLNRRILLLQRSQSHPLSSTSGEEALKCFKEDPSLFDMYHAGYQEQMSHWPEQPVNIIMNWLKDRSSSLVVADFGCGDARLAKNVKNKVFSFDLVSNNPSVIACDMANTPLDSSSVDVAVFCLSLMGTNFPSYLEEAHRVLKPNGWLLIAEVKSRFDPNTGGADPNKFSKAITDLGFSSKLKDFSNKMFILLYFQKKEKQSSQTKEIEWPELKPCLYKRR >Manes.15G150500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12582875:12586036:1 gene:Manes.15G150500.v8.1 transcript:Manes.15G150500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKSRKRKRSKHGKPQNQHKPLPSNNAGQFHRQEEPEPKNPSSSKKPKSSSFLDKMRARLSGGHFRMLNEKLYTCTGEEALKCFKEDPSLFDMYHAGYQEQMSHWPEQPVNIIMNWLKDRSSSLVVADFGCGDARLAKNVKNKVFSFDLVSNNPSVIACDMANTPLDSSSVDVAVFCLSLMGTNFPSYLEEAHRVLKPNGWLLIAEVKSRFDPNTGGADPNKFSKAITDLGFSSKLKDFSNKMFILLYFQKKVSCF >Manes.15G150500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12582875:12586036:1 gene:Manes.15G150500.v8.1 transcript:Manes.15G150500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKSRKRKRSKHGKPQNQHKPLPSNNAGQFHRQEEPEPKNPSSSKKPKSSSFLDKMRARLSGGHFRMLNEKLYTCTGEEALKCFKEDPSLFDMYHAGYQEQMSHWPEQPVNIIMNWLKDRSSSLVVADFGCGDARLAKNVKNKVFSFDLVSNNPSVIACDMANTPLDSSSVDVAVFCLSLMGTNFPSYLEEAHRVLKPNGWLLIAEVKSRFDPNTGGADPNKFSKAITDLGFSSKLKVYK >Manes.15G150500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12582875:12586036:1 gene:Manes.15G150500.v8.1 transcript:Manes.15G150500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKSRKRKRSKHGKPQNQHKPLPSNNAGQFHRQEEPEPKNPSSSKKPKSSSFLDKMRARLSGGHFRMLNEKLYTCTGEEALKCFKEDPSLFDMYHAGYQEQMSHWPEQPVNIIMNWLKDRSSSLVVADFGCGDARLAKNVKNKVFSFDLVSNNPSVIACDMANTPLDSSSVDVAVFCLSLMGTNFPSYLEEAHRVLKPNGWLLIAEVKSRFDPNTGGADPNKFSKAITDLGFSSKLKEKQSSQTKEIEWPELKPCLYKRR >Manes.01G230400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39504156:39506227:1 gene:Manes.01G230400.v8.1 transcript:Manes.01G230400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIASFFKTLFFFFFFFHIRFRKFVNTKVLHRSFSNLYSSAQSKYQRYPSLAHRSDLSSKTFIFNLEGTLLKSSSLFPYFMLVAFEAGSILRALVLFLLYPFICFVGDEMGLKIMVMVGFFGIKKESFRAGRAVLPRFFLEDVGLEVFEVLKRGGRTVAVSDFPQVMIENFLRDYLEIDYVVGRELKSVCGYFVGLMEEKKKDMLALQKIFGEDEEAIINEEVIGISCSNRSPDHHLFSHCKEIYVVRKSDKSNWQILPRDKYPKPLIFHDGRLVLRPTPLATLALFMWIPFGFIIGLLRAVVALTLPYRISIPMLALCGFRCTISKPRSSGNSPPILNQENKSKKGLLYVCNHRTLLDPLYLSFSLKKDFTAVTYSLSRMSEILSPIKTVRLTRCRDEDAKMMEKLLSQGDLVVCPEGTTCREPYLLRFSPLFSEMSDEIIPVALDTHVSMFYGTTAGGLKCLDPLFFIMNPLPSYTIQLLEGVSGLSKCNNEEKSRFDVANYVQSEIGNALGFECTKLTRRDKYLILAGNEGLVSSSCPSSKS >Manes.10G141566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30920211:30948624:1 gene:Manes.10G141566.v8.1 transcript:Manes.10G141566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKMVPQFPCLSKLTIANCPNLTLMPMFPSLDMELHLSYAHIRPLHYTLQMSATASAVPSTSSSVTSPFSKLKTLWLQGIENLASLPGEWMQNLSFLEKLFLSYCMEISDEDERGIFKWRYLVSLRDLSLYNLSNLVSLPRELQYVTTLQRLSIHECSNLRALPNWIGNLTALENLNIHYCSKLKSLPRGMRQITTLHKLSVTRCPHLSERCAHDMAADWPNISHIPNIHINGNDIQKEGRYLL >Manes.04G022400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2680490:2682546:1 gene:Manes.04G022400.v8.1 transcript:Manes.04G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIRSYVLVVLFVVLIAVEATVARADANGNGNGNKGNSNGSGDKGNNNGNDNEGNNNGNENTGNNNGNGNKGNGNGNKNGGDNNGNGKNDDFDDVEPLPTGQERVSCKAKGGCHLKTLVCPAQCPEKKPKNNKRKKGCHVDCSSKCEVTCKWRKPNCNGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDNNLQINAHFIGTRPQGRTRDFTWVQALSVMFDTHNLVIAAKKVSQWNSNIDSLILSWDGEEINISNDEAAEWRANKEEREVIVERTDETNNVRVRVSGLVEMDIKVRPVGKRESMIHNYQLPDDDAFAHLETQFKFRNLSDLVEGILGKTYRPGYVSPVKIGVAMPMMGGEDKYQTPSLLSPLCNACRFQGKSAAGIATI >Manes.18G042600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3738881:3739351:-1 gene:Manes.18G042600.v8.1 transcript:Manes.18G042600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSDNTSATTPPPPENKSASAESQQQQEEPKKLSSSGISLSIWPPTQRTRDAVIARLIETLSTPSVLSKRYGTIPHDEADAAARRIEDEAFSVANQATSAEDDGLEILQLYSKEISKRMLETVKGRPRSDSAVNTDVASVEAPSEEISSSIGTAA >Manes.11G096300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:20003333:20044056:-1 gene:Manes.11G096300.v8.1 transcript:Manes.11G096300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLSKLDSDRSLSVSVDYPPSSLTLTLLNKTQLISIPLKTNSYPARSSTTKPDLTFDIHGNKPKISLILKAAVYTSSRRPLCSIDLCLVSGFEHDACGTSQSSFFVAPNKRQTTVHPDDCTQTSFAPI >Manes.09G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:930509:940710:1 gene:Manes.09G003100.v8.1 transcript:Manes.09G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKKSLQKLYRCCTIFPSMINSGDSWLLKGHTSFQASCSETANDARERIFWSGQRRNVSRVGSLTPTCAVFRGNSLDIDNLCHDWHLSNRCYTSLSNYKSTRGSSDKLLGSIPKYVKMVEVGPRDGLQNEKDVVPTHVKVELIRMLVSSGLPVVEATSFVSPKWVPQLADATDVMAAIRDLEGARFPVLTPNLKGFEAAVATGAKEVAIFASASESFSKSNINCSIEDSLIRYGDVALAASKLSIPVRGYISCVVGCPVEGMISPSKVAYVAKRLCDMGCFEISLGDTIGVGTPGTVIPMLEAVLDVVPVDKLAVHFHDTYGQALSNILASLLMGINTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVETNVDLQKVILAGNFICKHLGRPSGSKAAIALSKVTAHASKL >Manes.09G003100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:930485:940710:1 gene:Manes.09G003100.v8.1 transcript:Manes.09G003100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKKSLQKLYRCCTIFPSMINSGDSWLLKGHTSFQASCSETANDARERIFWSGQRRNVSRVGSLTPTCAVFRGNSLDIDNLCHDWHLSNRCYTSLSNYKSTRGSSDKLLGSIPKYVKMVEVGPRDGLQNEKDVVPTHVKVELIRMLVSSGLPVVEATSFVSPKWVPQLADATDVMAAIRDLEGARFPVLTPNLKGFEAAVATGAKEVAIFASASESFSKSNINCSIEDSLIRYGDVALAASKLSIPVRGYISCVVGCPVEGMISPSKVAYVAKRLCDMGCFEISLGDTIGVGTPGTVIPMLEAVLDVVPVDKLAVHFHDTYGQALSNILASLLMGINTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVETNVDLQKVILAGNFICKHLGRPSGSKAAIALSKVTAHASKL >Manes.09G003100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:930509:940710:1 gene:Manes.09G003100.v8.1 transcript:Manes.09G003100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKKSLQKLYRCCTIFPSMINSGDSWLLKGHTSFQASCSETANDARERIFWSGQRRNVSRVGSLTPTCAVFRGNSLDIDNLCHDWHLSNRCYTSLSNYKSTRGSSDKLLGSIPKYVKMVEVGPRDGLQNEKDVVPTHVKVELIRMLVSSGLPVVEATSFVSPKWVPQLADATDVMAAIRDLEGARFPVLTPNLKGFEAAVATGAKEVAIFASASESFSKSNINCSIEDSLIRYGDVALAASKLSIPVRGYISCVVGCPVEGMISPSKVAYVAKRLCDMGCFEISLGDTIGVGTPGTVIPMLEAVLDVVPVDKLAVHFHDTYGQALSNILASLLMGINTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVETNVDLQKVILAGNFICKHLGRPSGSKAAIALSKVTAHASKL >Manes.15G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1104364:1107694:-1 gene:Manes.15G012900.v8.1 transcript:Manes.15G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDSSASLPAPAPLAPALKKENITPIGSKIAELNESRTELLSRIQCLKQDLQNWRSKLDTQVKIYRDELSELKKSLNVEVEQLRSEFRELRSTLQQQQEDVTASLRNLGLEDSPGNAKEVQDPKAEQEDEEAHASTEEDTDKKAEN >Manes.03G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1631526:1638727:-1 gene:Manes.03G019300.v8.1 transcript:Manes.03G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELAINTDRTQKFIDDLEAQRTILSSCTQLFTALTNNFTSLQNSLSEKSQFLDSKFQSLESNSQQNLESLSQRETSIPERESAAAAKVEEQKGNALAEFENPKKFDKLSDWLKSICRKMDSSGLLKFIISKRKESVSLRAEISPAIMEAVDPARLVLDAVDEFVSNKIEKVGVTDKRWACGMLLQALFPESSYFGGKHKGPEFAGTVVERAGKILERWKEEQVDGKEGGGSGSGGGGVVGPAEAVMFLQMVLGFGLKSRFDEEFLRKLVMENAARRDMAKLAVAIGFGEKMEEMIDELVKNGKEIEAAYFASESGLTEKFPPVSLLKSHIKNSKKNTANILKNGNFSPAAMEESNAAELNSIKAVIKCVEDHKLELDFPLDSLRKRATRLEKTKAERKKSSAAAAAKSQSKRGHGAISGPSGRGNRPPAFHPSKAPKFSNAYSPFGRRNPAPLSQHSPVARYSGPYDYSSQGGYESHSGAGAPYAATYGGSHSQSPAAIPQQHYPLPVENAGGAAFRASGSYGGQANYGSYDYGTAAPPPTYQTSSYTQ >Manes.13G108000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31099062:31101307:-1 gene:Manes.13G108000.v8.1 transcript:Manes.13G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSRKATCNTHGQDSSYFLGWQEYEKNPYDEIKNPTGIIQMGLAENQLSFDLLESWLANNPDAAGFKKDGQSIFRELALFQDYHGLPDFKKALVDFMAEIRGNRVTFDQNKIVLTAGATSANETLMFCLAEPGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNGFQITAPALEEAYLEAQKRNLRVKGVLVTNPSNPLGTTMSRSELNLLVNFITAKGIHLISDEIYSGTVFSSPGFVSIMEVLKDKKCENTDVWRRVHIVYSLSKDLGLPGFRVGAIYSNDDLVVSAATKMSSFGLISSQTQYLLSALLSDKKFTKHYISENQRRLKQRQNLLVKGLEKAGISCLKSNAGLFCWVNMKHLLSSNTFEAEMELWKKIVYDVNLNISPGSSCHCTEPGWFRVCFANMSEETLKLAMERLKSFVDSINMSSHQRLKNSRKKSLTKWVFRLSFHDREPEER >Manes.13G077900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:21689904:21700305:-1 gene:Manes.13G077900.v8.1 transcript:Manes.13G077900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNKPRRSKTQHSHRGQSSRTIQIEREDESLPSAQVPEEDTNVPVIQLAMWDFGQCDAKRCTGRKLARFGLLKESICTTFDFSFMVQLIGFSLCLNWSLSYADQELRVSSGFGGIVLSPVGSQCVSREDHFLIKRRGLAVVDCSWARLGDVPFVKLRCAAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEETANLLLGKFKWGHAFLSLNRELLKSYAECENSAAIISVQNDWLSLQNKVPKAVPDVQGGETSKDEDASSDSEDGLPPLERNMNHLNFEESDDESE >Manes.15G140200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11391430:11394333:-1 gene:Manes.15G140200.v8.1 transcript:Manes.15G140200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFASSYFHGTSSLGACWDLQNLGILHADTMSLVMDGASPFFSYLESDFSTGYLEDALVEFNASSKRRRLPSYTDDRTKLSEDHHHSYWNENCEWDSENFSCTSHTTSTIHGVSDEPISKSMSNMSEEEATVITEMKTPEKKISEAPETLDSSSSYYTGSAKTKSIFDKDDLHHPGEGNRKKRVITRVVYPFALVKPGGVEGDFTISDINERISMPPTRPVRHPVGDFACRPCVSAEGTGLSGKAVVALTRIHTQGRGTITIIRTKG >Manes.S054216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1866515:1867327:1 gene:Manes.S054216.v8.1 transcript:Manes.S054216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.06G119066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24986268:24988081:-1 gene:Manes.06G119066.v8.1 transcript:Manes.06G119066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRRFGWPPIKAPQTENGRDFSPFSSSGVFMSSFGHFHVFSSSPSYFYEFHGCFEEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.04G014800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1921058:1922786:1 gene:Manes.04G014800.v8.1 transcript:Manes.04G014800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDSKLKDYIEKFGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICTLFASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMAMASHQSQRKSVPFSSPPNHQSPPLSYNSLSSLYKDSSADFSICFDPISPIPSNLLATNSSFLFQTQEPALLNPLQYYHPLKDNNNNCSSSSSSGNNNLLVFGSEASCSSNSDGSCSKISYGGSKEIIKQEEMGFQGFISNGYEENQKFMLSGDLNQWTEKVTGYFGETLALDYCLEDVKQLISSSSSSNNSSNNYYFLTDENKTREKFMYYYY >Manes.04G077000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27842970:27844459:-1 gene:Manes.04G077000.v8.1 transcript:Manes.04G077000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLYEVLGIPVNASGHEIKAAYRKLARALHPDVVSVKQKDMSANEFMKIHAAYSTLSDPDKRANYDRDLHRFRQRPTGLSSLSSATIAAASGYAYCGRNWETDQCW >Manes.12G097820.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21908944:21913011:1 gene:Manes.12G097820.v8.1 transcript:Manes.12G097820.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPTKVGAPARTSLQLRSSQSVSKAFGLEPTSARITCSLQSDLKDLAQKCVDVTKIAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYLEVKGTGTANQCPTIEGGVDKFAFKPGKYTAKKFCLEPTSFTVKAEGVSKNSPPEFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVATGKPENFGGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENNKNVSSSTGKITLSVTGSKPETGEIIGVFESIQPSDTDLGAKTPKDVKIQGIWYAHLES >Manes.01G212550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38321228:38321915:1 gene:Manes.01G212550.v8.1 transcript:Manes.01G212550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPPLYYGPLSDDGTITDRLYVNVYVRSGRV >Manes.07G018500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2117877:2119482:-1 gene:Manes.07G018500.v8.1 transcript:Manes.07G018500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQLVFIPWPRMGHLVSAVEFAKLLLTHDHRLSITVLVLNLSSGNPSQFHSYIESLQASSSTIPNRLQFIVLPKDELELLDFVSFFERQKPHVKEAVLKITQSELAADSPAPRLAGAAFLGFMLYVQKIHDEEKFDPVEFKNSDAELRVPSLVNSFTGRVMPSAMLIRELLPTLFDSIRRFQEAKGIVVNTFLELESYAIESLIMPPIYPVGPILDVSSDGRNTHPEIMKWLDEQPPSSVVFICFGSNGSLEKDQVKELAYALEHSGYRFLWSLRHPPPSAAEASLSDYENPQEVLPEGFLDRTARIGKVIGWAPQVAVLAHPAVGGFVSHCGWNSLLESIWFGVAVAAWPIYAEQQFNAFEMVIELGLSVEIKMDYRNDSGEIVKCDEIERGIKCLMEDDSEKKKKVKEMSEKSRMALRNGGSSYLSLGKFLKDVVDNLNKM >Manes.05G100502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9135281:9136728:1 gene:Manes.05G100502.v8.1 transcript:Manes.05G100502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFLSTNKERKPKQALIMIMILIYMKILI >Manes.04G036850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4890440:4890778:1 gene:Manes.04G036850.v8.1 transcript:Manes.04G036850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVRSILLVMDKRMTILLKTPEKKQSEGFVGEVQITIDSAKVHKTATSGSHGDEFGLSIQVGKPSEFEQRLEVSECFVDIVVAGKILEDSQSKVQPSNPGGRGIILDLDY >Manes.13G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5688111:5691352:1 gene:Manes.13G049900.v8.1 transcript:Manes.13G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRSSRIGLIRSFSLSFRLLASAITVAVFLFFAVSFLFTSHSHSSSDLHHFGFSSVHYGLGSGRRSVLALKSDPLKPRLDQIRKQADDHRSLALAYASYARKLKLENSKLVRVFADLSRNYTDLLNKPAYRVLFESDSLSIEESTLRQFEKEVKERIKVTRQVIAEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLSMRLMEERIAHPEKYTDEGKPQPPELEDPKLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLKQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEQYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMTQFKPLWTKHVDYDLEFVQACNFGL >Manes.13G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1153673:1155728:1 gene:Manes.13G007100.v8.1 transcript:Manes.13G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFAANEPADPMEEEPAPELSLSQVLDDVDRFLETLSETKYNFNPPEVPNSVESFLTIVEKNLAKCDSKNQEKDLSFYECLIRISRLTSLFSGFKTHPLIATPLNRSSSALHHSMSLLDSEFRTILESGIHNQNQNNSSDPKTPKASKQPPFGTHQHENSDRGGVQEEEFPAYSLVSISKMNRIATAMISLGYEKECCMAYNMIRNDVFNHELDKLGLTHTSIEDVQRMQWENLEGEITAWIDILSRCYSVLFSREMKLCNSIFSEYPSVSKRLFSDVAFAVTTRFLNFAEAVALTKQSAEKLFKFLDMYETLTEMIPVIDTTNHPRDLKGDICAAKSLLGEAAVSIFSDLEYSIRRDHTRTPVPSGAVHPLTRYTMNYLKYACEYKDTLEQVFLDHQKMEEGNAGAGKCNQPDGEITEDANEDGKPKTSPFSMQLNIIIDLLDENLEMKSKFYRDPALRYVFLMNNGRYILQKIKGSTETNHTIGANWCKKRTTDLRQYHKGYTRESWSILLQCLSHAGLLVHGKVVKHVLKERFKMFNSMLDEIHKTQSTWIVTDKQLQSELRVSISAVVIPAYRSFLGRFQQCLSGGRQTEKYVKYQPEDIEKLIDELSRY >Manes.13G015300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1902845:1906488:-1 gene:Manes.13G015300.v8.1 transcript:Manes.13G015300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGNCLRTTRVPLDPSPSCALYLAYCNNCSRALSSLFVSSLDKTESPHFAKRKLDCREQCLDSTTQADIGILVAKVRVGNSLDEILQSLAHDQLCNSIQLSPVLVNKLLFQFKDDWKSALGVFRWAESRGYKHTSEAYDTLVDSLGKMKQMDEMTALLEEMQKGQLVTLKTLGKAMRRFSGAGQWENAVRLFDKLGTFGLEKNTESMNLLLDTLCKERKVEQAREIFLELKSHISPNANTFNIFIHGWCKINRVEEAYWTIQEMRGHGFLPCVISYSTIVQFYCRRCDFSKVYELLDEMEALGSTPNVVTYTTVMSALAKSEKYEEALQIAHRMKSVGCKPDTLFYNSLIHSLGRAGRQQEAVHVFEEEMPSTGVSPNASTYNSMIAMLCHHAQELKALKLLKEMETSRICKPDVQTYYPLLKSCFRTGKVDSLLRQLLDDMIKKHNLSLDVSAYTLLIHGLCRANQCEWAYRLFEEMIGKDIMPRYQTCQLLLENVKLKHLYDAAKKIEIVMQKL >Manes.13G015300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1902378:1906658:-1 gene:Manes.13G015300.v8.1 transcript:Manes.13G015300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALSVISNPSGLSCPFSMAALLGNCLRTTRVPLDPSPSCALYLAYCNNCSRALSSLFVSSLDKTESPHFAKRKLDCREQCLDSTTQADIGILVAKVRVGNSLDEILQSLAHDQLCNSIQLSPVLVNKLLFQFKDDWKSALGVFRWAESRGYKHTSEAYDTLVDSLGKMKQMDEMTALLEEMQKGQLVTLKTLGKAMRRFSGAGQWENAVRLFDKLGTFGLEKNTESMNLLLDTLCKERKVEQAREIFLELKSHISPNANTFNIFIHGWCKINRVEEAYWTIQEMRGHGFLPCVISYSTIVQFYCRRCDFSKVYELLDEMEALGSTPNVVTYTTVMSALAKSEKYEEALQIAHRMKSVGCKPDTLFYNSLIHSLGRAGRQQEAVHVFEEEMPSTGVSPNASTYNSMIAMLCHHAQELKALKLLKEMETSRICKPDVQTYYPLLKSCFRTGKVDSLLRQLLDDMIKKHNLSLDVSAYTLLIHGLCRANQCEWAYRLFEEMIGKDIMPRYQTCQLLLENVKLKHLYDAAKKIEIVMQKL >Manes.13G015300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1902845:1906550:-1 gene:Manes.13G015300.v8.1 transcript:Manes.13G015300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALSVISNPSGLSCPFSMAALLGNCLRTTRVPLDPSPSCALYLAYCNNCSRALSSLFVSSLDKTESPHFAKRKLDCREQCLDSTTQADIGILVAKVRVGNSLDEILQSLAHDQLCNSIQLSPVLVNKLLFQFKDDWKSALGVFRWAESRGYKHTSEAYDTLVDSLGKMKQMDEMTALLEEMQKGQLVTLKTLGKAMRRFSGAGQWENAVRLFDKLGTFGLEKNTESMNLLLDTLCKERKVEQAREIFLELKSHISPNANTFNIFIHGWCKINRVEEAYWTIQEMRGHGFLPCVISYSTIVQFYCRRCDFSKVYELLDEMEALGSTPNVVTYTTVMSALAKSEKYEEALQIAHRMKSVGCKPDTLFYNSLIHSLGRAGRQQEAVHVFEEEMPSTGVSPNASTYNSMIAMLCHHAQELKALKLLKEMETSRICKPDVQTYYPLLKSCFRTGKVDSLLRQLLDDMIKKHNLSLDVSAYTLLIHGLCRANQCEWAYRLFEEMIGKDIMPRYQTCQLLLENVKLKHLYDAAKKIEIVMQKL >Manes.13G015300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1902845:1906550:-1 gene:Manes.13G015300.v8.1 transcript:Manes.13G015300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALSVISNPSGLSCPFSMAALLGNCLRTTRVPLDPSPSCALYLAYCNNCSRALSSLFVSSLDKTESPHFAKRKLDCREQCLDSTTQADIGILVAKVRVGNSLDEILQSLAHDQLCNSIQLSPVLVNKLLFQFKDDWKSALGVFRWAESRGYKHTSEAYDTLVDSLGKMKQMDEMTALLEEMQKGQLVTLKTLGKAMRRFSGAGQWENAVRLFDKLGTFGLEKNTESMNLLLDTLCKERKVEQAREIFLELKSHISPNANTFNIFIHGWCKINRVEEAYWTIQEMRGHGFLPCVISYSTIVQFYCRRCDFSKVYELLDEMEALGSTPNVVTYTTVMSALAKSEKYEEALQIAHRMKSVGCKPDTLFYNSLIHSLGRAGRQQEAVHVFEEEMPSTGVSPNASTYNSMIAMLCHHAQELKALKLLKEMETSRICKPDVQTYYPLLKSCFRTGKVDSLLRQLLDDMIKKHNLSLDVSAYTLLIHGLCRANQCEWAYRLFEEMIGKDIMPRYQTCQLLLENVKLKHLYDAAKKIEIVMQKL >Manes.13G015300.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1902845:1906488:-1 gene:Manes.13G015300.v8.1 transcript:Manes.13G015300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGNCLRTTRVPLDPSPSCALYLAYCNNCSRALSSLFVSSLDKTESPHFAKRKLDCREQCLDSTTQADIGILVAKVRVGNSLDEILQSLAHDQLCNSIQLSPVLVNKLLFQFKDDWKSALGVFRWAESRGYKHTSEAYDTLVDSLGKMKQMDEMTALLEEMQKGQLVTLKTLGKAMRRFSGAGQWENAVRLFDKLGTFGLEKNTESMNLLLDTLCKERKVEQAREIFLELKSHISPNANTFNIFIHGWCKINRVEEAYWTIQEMRGHGFLPCVISYSTIVQFYCRRCDFSKVYELLDEMEALGSTPNVVTYTTVMSALAKSEKYEEALQIAHRMKSVGCKPDTLFYNSLIHSLGRAGRQQEAVHVFEEEMPSTGVSPNASTYNSMIAMLCHHAQELKALKLLKEMETSRICKPDVQTYYPLLKSCFRTGKVDSLLRQLLDDMIKKHNLSLDVSAYTLLIHGLCRANQCEWAYRLFEEMIGKDIMPRYQTCQLLLENVKLKHLYDAAKKIEIVMQKL >Manes.13G015300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1902236:1906658:-1 gene:Manes.13G015300.v8.1 transcript:Manes.13G015300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALSVISNPSGLSCPFSMAALLGNCLRTTRVPLDPSPSCALYLAYCNNCSRALSSLFVSSLDKTESPHFAKRKLDCREQCLDSTTQADIGILVAKVRVGNSLDEILQSLAHDQLCNSIQLSPVLVNKLLFQFKDDWKSALGVFRWAESRGYKHTSEAYDTLVDSLGKMKQMDEMTALLEEMQKGQLVTLKTLGKAMRRFSGAGQWENAVRLFDKLGTFGLEKNTESMNLLLDTLCKERKVEQAREIFLELKSHISPNANTFNIFIHGWCKINRVEEAYWTIQEMRGHGFLPCVISYSTIVQFYCRRCDFSKVYELLDEMEALGSTPNVVTYTTVMSALAKSEKYEEALQIAHRMKSVGCKPDTLFYNSLIHSLGRAGRQQEAVHVFEEEMPSTGVSPNASTYNSMIAMLCHHAQELKALKLLKEMETSRICKPDVQTYYPLLKSCFRTGKVDSLLRQLLDDMIKKHNLSLDVSAYTLLIHGLCRANQCEWAYRLFEEMIGKDIMPRYQTCQLLLENVKLKHLYDAAKKIEIVMQKL >Manes.13G015300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1902845:1906543:-1 gene:Manes.13G015300.v8.1 transcript:Manes.13G015300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALSVISNPSGLSCPFSMAALLGNCLRTTRVPLDPSPSCALYLAYCNNCSRALSSLFVSSLDKTESPHFAKRKLDCREQCLDSTTQADIGILVAKVRVGNSLDEILQSLAHDQLCNSIQLSPVLVNKLLFQFKDDWKSALGVFRWAESRGYKHTSEAYDTLVDSLGKMKQMDEMTALLEEMQKGQLVTLKTLGKAMRRFSGAGQWENAVRLFDKLGTFGLEKNTESMNLLLDTLCKERKVEQAREIFLELKSHISPNANTFNIFIHGWCKINRVEEAYWTIQEMRGHGFLPCVISYSTIVQFYCRRCDFSKVYELLDEMEALGSTPNVVTYTTVMSALAKSEKYEEALQIAHRMKSVGCKPDTLFYNSLIHSLGRAGRQQEAVHVFEEEMPSTGVSPNASTYNSMIAMLCHHAQELKALKLLKEMETSRICKPDVQTYYPLLKSCFRTGKVDSLLRQLLDDMIKKHNLSLDVSAYTLLIHGLCRANQCEWAYRLFEEMIGKDIMPRYQTCQLLLENVKLKHLYDAAKKIEIVMQKL >Manes.13G015300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1902845:1906544:-1 gene:Manes.13G015300.v8.1 transcript:Manes.13G015300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALSVISNPSGLSCPFSMAALLGNCLRTTRVPLDPSPSCALYLAYCNNCSRALSSLFVSSLDKTESPHFAKRKLDCREQCLDSTTQADIGILVAKVRVGNSLDEILQSLAHDQLCNSIQLSPVLVNKLLFQFKDDWKSALGVFRWAESRGYKHTSEAYDTLVDSLGKMKQMDEMTALLEEMQKGQLVTLKTLGKAMRRFSGAGQWENAVRLFDKLGTFGLEKNTESMNLLLDTLCKERKVEQAREIFLELKSHISPNANTFNIFIHGWCKINRVEEAYWTIQEMRGHGFLPCVISYSTIVQFYCRRCDFSKVYELLDEMEALGSTPNVVTYTTVMSALAKSEKYEEALQIAHRMKSVGCKPDTLFYNSLIHSLGRAGRQQEAVHVFEEEMPSTGVSPNASTYNSMIAMLCHHAQELKALKLLKEMETSRICKPDVQTYYPLLKSCFRTGKSKPM >Manes.11G071100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10296138:10296911:1 gene:Manes.11G071100.v8.1 transcript:Manes.11G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISSASHFALFFSFFLLFSTASAFNITRILSNYSDFGSFNDMLSRNHLAEDINNRRTITILAVDNVSPLDSLSSAAQKRVLSLHVVLDYYDIAKLKKLSKKSAVLTTLYQSSGQARGRQGFLNVTSKGGDQIVFGSAIAGSSLNSNLVKSVAAQPYNISVLQVSSLIMPDSVVRSKSTQRPAKAPAPASPAPAPSPEDADSPSPTADASASSPIADGPVADSPEADSHGVASASGGSLAMVGMVLFFVWGLPKMI >Manes.05G148900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25175046:25177156:-1 gene:Manes.05G148900.v8.1 transcript:Manes.05G148900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHQPSYNSRFRRVCVFCGSSPGKNPSYQLAAIQLGQQLVERNIDLVYGGGSIGLMGLVSQAVYDGGRHVLGVIPKTLMPREITGETVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFIAPAARHIIVSAQSAHELMCKLEEYEARHNGVASKLSWEMEQQLGYKLKSDIAS >Manes.12G142800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863880:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPASVMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.12G142800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863916:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPASVMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.12G142800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863880:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPASVMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.12G142800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863916:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPASVMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIENMACYLYIFVLCDLRWKVVFCDVESASFVYCEITLHLYHMPTNRKGCINHSLYKFTSNQVELSRILWVFVGLSIQPLHV >Manes.12G142800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863842:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPVMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.12G142800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863842:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPGGLMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.12G142800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863880:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPVMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.12G142800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863842:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPASVMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIENMACYLYIFVLCDLRWKVVFCDVESASFVYCEITLHLYHMPTNRKGCINHSLYKFTSNQVELSRILWVFVGLSIQPLHV >Manes.12G142800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863842:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPGGLMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.12G142800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863842:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPASVMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.12G142800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34860357:34863842:-1 gene:Manes.12G142800.v8.1 transcript:Manes.12G142800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQRLQISTAWTDSEKFVREHPTSSLRFAWRLKGKQKFPAFVSAYFLPARNKNRHRSVSLEVLCSYKNSPGGLMESGSSHASCDEYLILKNKSQEVEPYINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDTLVEEEVDGTPVAEIFKLYGEGFFRNKETEALQKLSMMHRLVVSTGGGAVVRPINWKYMQNGVSVWLDVPLEALAQRIAAVGTNSRPLLHNDSGNEYTKVFRRLSTLLEERGECYANANVRISLENIAMKQGYRDVSSITPTAIVIEALEQIEDFLARGGH >Manes.08G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38054104:38055179:1 gene:Manes.08G131000.v8.1 transcript:Manes.08G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFHFLGLLAFALALSFASAFDPSPLQDFCVATPEPENAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNYTVEKLQKLFANA >Manes.03G048700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4424141:4430651:1 gene:Manes.03G048700.v8.1 transcript:Manes.03G048700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCYLKLSCSSSRRSYSPTLNGCPTTFSSFFTLQTSKSSFRRVIFREERLKGSFVVRATAVPVSQVAPTQSSSNSRQNSDESPKPRRVMVIGGDGYCGWATALHLSNKGYEVAIVDNLIRRLFDHQLGLDSLTPISSIHNRIRCWKSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRSRALFTQHNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHQELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPARPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIKYKDRVDTKQIMPNVSWKKIGAKPKTVAA >Manes.03G048700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4424207:4427377:1 gene:Manes.03G048700.v8.1 transcript:Manes.03G048700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCYLKLSCSSSRRSYSPTLNGCPTTFSSFFTLQTSKSSFRRVIFREERLKGSFVVRATAVPVSQVAPTQSSSNSRQNSDESPKPRRVMVIGGDGYCGWATALHLSNKGYEVAIVDNLIRRLFDHQLGLDSLTPISSIHNRIRCWKSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRSRALFTQHNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHQELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPARPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIKYKDRVDTKQIMPNVSWKKIGAKPKTVAA >Manes.03G048700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4424152:4430651:1 gene:Manes.03G048700.v8.1 transcript:Manes.03G048700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCYLKLSCSSSRRSYSPTLNGCPTTFSSFFTLQTSKSSFRRVIFREERLKGSFVVRATAVPVSQVAPTQSSSNSRQNSDESPKPRRVMVIGGDGYCGWATALHLSNKGYEVAIVDNLIRRLFDHQLGLDSLTPISSIHNRIRCWKSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRSRALFTQHNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHQELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPARPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIKYKDRVDTKQIMPNVSWKKIGAKPKTVAA >Manes.03G048700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4424152:4430651:1 gene:Manes.03G048700.v8.1 transcript:Manes.03G048700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCYLKLSCSSSRRSYSPTLNGCPTTFSSFFTLQTSKSSFRRVIFREERLKGSFVVRATAVPVSQVAPTQSSSNSRQNSDESPKPRRVMVIGGDGYCGWATALHLSNKGYEVAIVDNLIRRLFDHQLGLDSLTPISSIHNRIRCWKSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRSRALFTQHNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHQELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPARPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIKYKDRVDTKQIMPNVSWKKIGAKPKTVAA >Manes.03G048700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4424162:4430651:1 gene:Manes.03G048700.v8.1 transcript:Manes.03G048700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCYLKLSCSSSRRSYSPTLNGCPTTFSSFFTLQTSKSSFRRVIFREERLKGSFVVRATAVPVSQVAPTQSSSNSRQNSDESPKPRRVMVIGGDGYCGWATALHLSNKGYEVAIVDNLIRRLFDHQLGLDSLTPISSIHNRIRCWKSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRSRALFTQHNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHQELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPARPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIKYKDRVDTKQIMPNVSWKKIGAKPKTVAA >Manes.03G048700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4424207:4427377:1 gene:Manes.03G048700.v8.1 transcript:Manes.03G048700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCYLKLSCSSSRRSYSPTLNGCPTTFSSFFTLQTSKSSFRRVIFREERLKGSFVVRATAVPVSQVAPTQSSSNSRQNSDESPKPRRVMVIGGDGYCGWATALHLSNKGYEVAIVDNLIRRLFDHQLGLDSLTPISSIHNRIRCWKSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRSRALFTQHNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHQELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPARPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIKYKDRVDTKQIMPNVSWKKIGAKPKTVAA >Manes.03G048700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4424161:4427377:1 gene:Manes.03G048700.v8.1 transcript:Manes.03G048700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLSTSCYLKLSCSSSRRSYSPTLNGCPTTFSSFFTLQTSKSSFRRVIFREERLKGSFVVRATAVPVSQVAPTQSSSNSRQNSDESPKPRRVMVIGGDGYCGWATALHLSNKGYEVAIVDNLIRRLFDHQLGLDSLTPISSIHNRIRCWKSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRSRALFTQHNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHQELCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPARPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFAIKYKDRVDTKQIMPNVSWKKIGAKPKTVAA >Manes.08G015100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:145267:149738:-1 gene:Manes.08G015100.v8.1 transcript:Manes.08G015100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGASSKLKRVARKMVVAACASFSSRKPSALVDPLSVDSSVNGSDSTALSPSKPKNSSEEAESTTINNDHAVASKNLCAICLEALTYSSGNSPGQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNPPCSLSCNQNDPIFRILDDSIATFRVHRRSFLRSARYNDDDPIEPDDTSNHTRLNFSLVPIQPTLFHHPCTQATGCGSHYHNAHHLTGFSPSLFVYPPTSYTCSSSNRRPAVYLSVKSTNQKAIDLVLVASPNGPHLRLVKQSMALVVFSLRPVDRLAVVTYSSSAARVFPLRRMTSYGKRTALQVIDRLFFTGQADPTEGLKKGIKILEDRAHKNPSSCILHLSDSPTRSYHAINMQFPIPIHRFHVGFGFGTSNGFVMHEFEEFLMRLLGGVIRDVQLRIGEEGTIIRLGELRANEERRILLDSGDSGHVCVGYSYIESGVDECTRTGETVVRLRDKREVQDPNVDAAVAGRDSNIIGGRTSSVESWDYHDPYMARRWAKHLHGYRL >Manes.08G015100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:145267:149738:-1 gene:Manes.08G015100.v8.1 transcript:Manes.08G015100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGASSKLKRVARKMVVAACASFSSRKPSALVDPLSVDSSVNGSDSTALSPSKPKNSSEEAESTTINNDHAVASKQNLCAICLEALTYSSGNSPGQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNPPCSLSCNQNDPIFRILDDSIATFRVHRRSFLRSARYNDDDPIEPDDTSNHTRLNFSLVPIQPTLFHHPCTQATGCGSHYHNAHHLTGFSPSLFVYPPTSYTCSSSNRRPAVYLSVKSTNQKAIDLVLVASPNGPHLRLVKQSMALVVFSLRPVDRLAVVTYSSSAARVFPLRRMTSYGKRTALQVIDRLFFTGQADPTEGLKKGIKILEDRAHKNPSSCILHLSDSPTRSYHAINMQFPIPIHRFHVGFGFGTSNGFVMHEFEEFLMRLLGGVIRDVQLRIGEEGTIIRLGELRANEERRILLDSGDSGHVCVGYSYIESGVDECTRTGETVVRLRDKREVQDPNVDAAVAGRDSNIIGGRTSSVESWDYHDPYMARRWAKHLHGYRL >Manes.08G146100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38765402:38771097:-1 gene:Manes.08G146100.v8.1 transcript:Manes.08G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRTVQVKHVSDLASEREIHEFFSFSGEIEHIEIIRENGQSKTAFVTFKDPKALEIALLLSGATIVDQIVSITPAENYVPNQETLEVRRADTSVSAVPAESSPSNVEAKTSPPSNGNIYISRAQEVVSTVLAKGSAFRQDAVNKAKAFDEKHRLTASASAKVVSFDQRVGLTEKLTVGISAVNEKVKSVDQRLHVSDKTMAAIFAAERKINDTGSAVKSSRYVIAGAAWLNGAFSKVARVGQVAGTKTREKFNLAVSNLTAKESPIAV >Manes.17G071600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27173782:27174240:1 gene:Manes.17G071600.v8.1 transcript:Manes.17G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNLELRLFPTSDEDHSHPQTESYSNDQEPPQQQQQQQKPQKLTIFYNGTVCVCDATELQAKAILMLASREMEDNKIRKFSSGPSSSSSSSGKPVSPIRTSPPPVYSSKNNTALSMKRSLQRFLQKRNNRMQATYPYNMNRRPQACRLNLH >Manes.18G109700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10915169:10917599:1 gene:Manes.18G109700.v8.1 transcript:Manes.18G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKPRAANSSPLTPLTFLERAATVYGDCPSIIYNNTTYTWSHTHRRCLQLASSLSSNGFTRGNVVSVIAPNIPAMYELQFAVPMSGAVLNNINTRLDARTISVLLRHSESKLVFVDCLSRDLVLEAISLFPHNCPRPTLVLITDDDEAPESSSPSSLTVDFCCTYESMVEKGDPEFKWVQPLSEWDPMILNYTSGTTSSPKGVIHCHRGIFIITIESLIDWAVPKQPVYLWTLPIFHANGWSYPWGMAAVGGTNICLRKFDAATIYGMIKRHGVTHMCGAPVVLNMLTNSPNNEPLKNPVEILTAGAPPPAAVLFRTEALGFVVSHGYGLTETAGLVVSCAWKRKWNQLPANERARLKARQGVKIAGFTEIDVVDSKTGASVKRDGLSLGEIVLRGGCLMLGYLKDPVGTSKCLKDDGWFYTGDVGVMHPDGYLEIKDRSKDVIISGGENISSVEVEAVLYTNPAVNEAAVVARPDEFWGETPCAFVSLKEGLSQKPSEKDIIDFCREKMPHYMVPKTVVFKDELPKTSTGKIQKFVLREIAKSMGSSRVSRM >Manes.04G065900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26244775:26246255:1 gene:Manes.04G065900.v8.1 transcript:Manes.04G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYAFIVCSFLLISFLLSISAEQCGYQAGGALCPEGQCCSQWGWCGSTADFCCEGCQSQCNPDNICFGSGGVGGGGGSGGGNLSRIISKTSFEEMLIRRNDAACPALKFYTYEAFITAAQAFPTFGTTGDDATRKREIAAFLGETSHETIGGWPTAPDGPYASGYCFLKELSPSDYCSPSARYPCALGKQYYGRGPIQLTWNYNYGQCGNALGIDLLNNPDLLEKDPVISFKTAIWFWMTPQSPKPSCHDVITGKWSPSPADSAAGRVPGYGLITNIINGGFECGKGPDWRVEDRIGFYKRYCDILGVDYGDNLDCNSQRPFGNGLVDSM >Manes.10G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4328494:4331601:1 gene:Manes.10G041600.v8.1 transcript:Manes.10G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGNIGPFGDTTLTKVFVGGLAWETPKEAMKEHFDKYGEILEAVIISDKVTGRSKGYGFVTFKDAEAAKKACEDPTPIINGRRANCNLASLGARRPRSAAPAATQQGSKVGPRSASSALANHAQWYYPAGSPASPFHHQQHQAIPFYGYTPTYVPTDISYNHKLSYTGGSYMNGHFSQVYPGQPIMGANAMMPVYPLYHFHQSQTMGLPAHIFPVPTNTSVATVPTIMSKPPSLAPSPVCMAVE >Manes.10G041600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4328494:4331601:1 gene:Manes.10G041600.v8.1 transcript:Manes.10G041600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGNIGPFGDTTLTKVFVGGLAWETPKEAMKEHFDKYGEILEAVIISDKVTGRSKGYGFVTFKDAEAAKKACEDPTPIINGRRANCNLASLGARRPRSAAPAATQQGSKVGPRSASSALANHAQWYYPAGSPASPFHHQQHQAIPFYGYTPTYVPTDISYNHKLSYTGGSYMNGHFSQVYPGQPIMGANAMMPVYPLYHFHQSQTMGLPAHIFPVPTNTSVATVPTIMSKPPSLAPSPETVCMAVE >Manes.10G041600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4328494:4331601:1 gene:Manes.10G041600.v8.1 transcript:Manes.10G041600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGNIGPFGDTTLTKVFVGGLAWETPKEAMKEHFDKYGEILEAVIISDKVTGRSKGYGFVTFKDAEAAKKACEDPTPIINGRRANCNLASLGARRPRSAAPAATQQGSKVGPRSASSALANHAQWYYPAGSPASPFHHQQHQAIPFYGYTPTYVPTDISYNHKLSYTGGSYMNGHFSQVYPGQPIMGANAMMPVYPLYHFHQSQTMGLPAHIFPVPTNTSVATVPTIMSKPPSLAPSPGEVGKGESFKKIG >Manes.05G110700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10884909:10887243:1 gene:Manes.05G110700.v8.1 transcript:Manes.05G110700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMYLALLLALAGHPSAASYCLCKDGLSDAALQKSLDYACGAGADCTPIIQNGACFQPNTVKDHCNYAVNSYYQRKGQAVGSCDFSGTATVSANPPSSVASGCVYPASPSTTGIGTTTPTTGTGTGTPTTGTGTGTPTTGTGIGTGTGTGTGTSTGTGTGTGIAGVTPSPFVGLGPSGSGTNIDNSGVAPIEATNMLLASLSLWFSGLVLLF >Manes.05G015400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1473516:1476950:-1 gene:Manes.05G015400.v8.1 transcript:Manes.05G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLVLTYFLLFSLLYQSPISHSLQQSQELQHPFLVSNQCDEKCGNLHIPFPFHLNSSCASVSTAFHLYCSNSTTLYLNIGSQSYKVLEFFSDGILVDFPDSSTCRHYNDLNSFGFSGNGHFGISVDNVIGLYDCEDSSLCKAECETIDLPACDDNSNDSPACCYPLSDHSAWDIGDGFSVFSKFGCRGFSSWAISRGSNTGKRGVKLEWAIPDGNSSKKACAINANTVNATTVEGGVRCKCQDGFVGDGFASGVGCLKSCIKNGVEANGTECYTKKHSEKTVSILAGVVGPVFIIASLIALFCLLKRPGKSGAYDLDQAHFHSTISFRKACRTRLFTYQELEEATKGFEDSQKLVHGRNGTIYAGVLGDGSQVAVHKVQCEDQRDLMQVLSRIELLSAISHRNVARLLGCCIDSGYTPMVVYEYPANGTLEEHLHQSRGLEKIGLDWYKRMNIAAEIACVLVFLQYEIFPPIFHHNIKSGCIFLDEDQSVKIAGFRLLEPSSLANECYSNNIGDVSDIGVVLLELITGSKKKDLPAVALQQIRSGKLEEIVDPSLYYHEQPPIGREQIEIVADIATRCLLFGGDGKIGMMEVARELVRISKESIDGNGSKRGPALEETFSNSSLLQMISMSPDSIYVP >Manes.10G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4902836:4911123:1 gene:Manes.10G045200.v8.1 transcript:Manes.10G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASKSFFGAYRLDGSSSLSDVRDLSVSSVQISFRPRAPKKLQIHAAGSTYGTYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQGDLDRRRPGQSRITTPRKETDTCKIYSGVSEGVTTGTPIHVFVPNTDQRGHDYSEMSVAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVAPGAIAKKILKQFSGTEILAYVSQVHKVVLPEDRIDYESLTLDQIESNIVRCPDPEYAEKMIAAIDTVRVRGDSVGGVVTCIVRNAPRGLGSPVFDKLEAELAKAAMSLPATKGFEFGSGFAGTYMTGSEHNDEFYVDGHGKIRTRTNRSGGIQGGISNGETINMRIAFKPTSTIGKKQHTVTRDKKDTELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQHAQCHLFPINPELQESIELPSVEAFNMSI >Manes.04G044300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6252936:6256441:-1 gene:Manes.04G044300.v8.1 transcript:Manes.04G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLFYEIVEKPATSCIIGICSAIWFYIQKKNIGYSHVGLSYETAIEGHHWRIITSAFSHISVLHLVFNMSALWSLGVVEQLGHMGLGVAYYLQYTLVLVVLSGVLVLGIYHILIQRFKVDYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIAWGLIHGMNNFWAISMLGWIVVIFVFSLKRSGAYDFNFLEIESVTDPSLPSVRFLGNGRTLQMSALPLEGVEVV >Manes.02G110900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8580421:8585499:1 gene:Manes.02G110900.v8.1 transcript:Manes.02G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAQFRVRTMDGFRVLRDERNARGLIPNLGGCFSIHFGFKRSLRLQCRGGRKSILIYCKSSRGNSSNGGGQSTNAYDDHDHDFLQASVLISETLLHYRMLRQGFQEDMRWRLPGRWNPFDVLSQESRPDMSFIGYEFLRRFQSPTIFLKVSCDGDFLLPIIVGASIGEFAIEKLIDNFREGDHNGDCPDQFQLVSNLVEKLGYEVKMVRITERVVNTYFARVYFSKLGENEILSIDMRPSDAINVANKCKAPIHVSKQIVFTDAIRISYGMGRVHDRKTTYDVSLDSPADGPDSLSEELDIVKNMNLAIQEERYGDAAMWRDKLMELRQSSHEQ >Manes.02G110900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8581371:8583346:1 gene:Manes.02G110900.v8.1 transcript:Manes.02G110900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQGFQEDMRWRLPGRWNPFDVLSQESRPDMSFIGYEFLRRFQSPTIFLKVSCDGDFLLPIIVGEFAIEKLIDNFREGDHNGDCPDQFQLVSNLVEKLGYEVKMVRITERVVNTYFARVYFSKLGENEILSIDMRPSDAINVANKCKAPIHVSKQIVFTDAIRISYGMGRVHDRKTTYDVSLDSPADGPDSLSEELDIVKNMNLAIQEERYGDAAMWRDKLMELRQSSHEQ >Manes.02G110900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8580421:8585499:1 gene:Manes.02G110900.v8.1 transcript:Manes.02G110900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAQFRVRTMDGFRVLRDERNARGLIPNLGGCFSIHFGFKRSLRLQCRGGRKSILIYCKSSRGNSSNGGGQSTNAYDDHDHDFLQASVLISETLLHYRMLRQGFQEDMRWRLPGRWNPFDVLSQESRPDMSFIGYEFLRRFQSPTIFLKVSCDGDFLLPIIVGASIGEFAIEKLIDNFREGDHNGDCPDQFQLVSNLVEKLGYEVKMVRITERVVNTYFARVYFSKLGENEILSIDMRPSDAINVANKCKAPIHVSKQIVFTDAIRISYGMGRVHDRKTTYDVSLDSPADGPDSLSEELDIVKNMNLAIQEERYGDAVL >Manes.02G110900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8580421:8585499:1 gene:Manes.02G110900.v8.1 transcript:Manes.02G110900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAQFRVRTMDGFRVLRDERNARGLIPNLGGCFSIHFGFKRSLRLQCRGGRKSILIYCKSSRGNSSNGGGQSTNAYDDHDHDFLQASVLISETLLHYRMLRQGFQEDMRWRLPGRWNPFDVLSQESRPDMSFIGYEFLRRFQSPTIFLKVSCDGDFLLPIIVGEFAIEKLIDNFREGDHNGDCPDQFQLVSNLVEKLGYEVKMVRITERVVNTYFARVYFSKLGENEILSIDMRPSDAINVANKCKAPIHVSKQIVFTDAIRISYGMGRVHDRKTTYDVSLDSPADGPDSLSEELDIVKNMNLAIQEERYGDAAMWRDKLMELRQSSHEQ >Manes.02G110900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8580421:8585499:1 gene:Manes.02G110900.v8.1 transcript:Manes.02G110900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAQFRVRTMDGFRVLRDERNARGLIPNLGGCFSIHFGFKRSLRLQCRGGRKSILIYCKSSRGNSSNGGGQSTNAYDDHDHDFLQASVLISETLLHYRMLRQGFQEDMRWRLPGRWNPFDVLSQESRPDMSFIGYEFLRRFQSPTIFLKVSCDGDFLLPIIVGEFAIEKLIDNFREGDHNGDCPDQFQLVSNLVEKLGYEVKMVRITERVVNTYFARVYFSKLGENEILSIDMRPSDAINVANKCKAPIHVSKQIVFTDAIRISYGMGRVHDRKTTYDVSLDSPADGPDSLSEELDIVKNMNLAIQEERYGDAVL >Manes.06G121200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25228670:25229733:-1 gene:Manes.06G121200.v8.1 transcript:Manes.06G121200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLYMWIADDIVPFTAHLLPTYTACDTNQPLSKHQTSENGSLLPFSTTFFLLIIAYVKLASLSVSFLFNQMESHEIFLPAGSSLGPSTYSSSLQMQMANPGTQFSGKDGSWISSEKSTSHHQSGSSSSTSEKFEGDNENKEIIRHRYAFQTRSQIDILDDGYRWRKYGQKTVKNSKFPSYYKCTHSGCNVKKQVQRNSNNEEIVVTTYEGMHTHPTEICSDNFEEIILRQMQTYKLLSDTHAGITNRE >Manes.06G121200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25228670:25229703:-1 gene:Manes.06G121200.v8.1 transcript:Manes.06G121200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLYMWIADDIVPFTAHLLPTYTACDTNQPLSKHQTSENGSLLPFSTTFFLLIIAYVKLASLSVSFLFNQMESHEIFLPAGSSLGPSTYSSSLQMQMANPGTQFSGKDGSWISSEKSTSHHQSGSSSSTSEKFEGDNENKEIIRHRYAFQTRSQIDILDDGYRWRKYGQKTVKNSKFPRSYYKCTHSGCNVKKQVQRNSNNEEIVVTTYEGMHTHPTEICSDNFEEIILRQMQTYKLLSDTHAGITNRE >Manes.06G145700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27235702:27241026:1 gene:Manes.06G145700.v8.1 transcript:Manes.06G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAFKHLFLCLFVLAQPFISLSNSISEQEEQNPDRESLVSFKEVLKDPPILSSWNQSAHHCNWVGVACQQGRVTSLILTAMLLKGPIPPSLFSIASLTILDLSSNLFFGDIPLQISALKRLKQLCLGDNQLSGEIPSQISELTQLQTLKLGPNSLVGNIPPELGKLKQLDTLDLSANAFVGTVPSQLGELTHLRFLDLGNNLFSGSLPATLFNNLTSLVSLDISNNSFSGEIPPEIGNLKNLTDLYIGINSFSGQLPLEIGNLSMLENFFSPSCSITGPLPEEISNLNSLSKLDLSYNPLKCPIPKSIGKLQNLSILNLVYSELNGSMPAELGNCKNLKTLMLSFNSLSGSLPEELSKLPLLTFSAEKNQFSGPLPSWLGRWNQMESLLLSSNGFRGKIPPEIGNCSALKHISLSNNLLTGEIPRDLCNAASLLEIDLDGNFLSGSIKNAFLKCTNLTQLVLFDNQINGSIPEYLAGLPLMVLDLDSNNFTGAIPVSLWKSSSLMEFSAANNLLEGSLPTEIGSAFHLERLVLSGNHLKGTIPKEIGNLSALSVLNLNSNLLEGNIPIELGDCIALTTLDLGNNWLNGSIPEKLADLVQLQCLVLSHNNLSGSIPSKPSLYFREANIPDLSFIQHHGVFDLSHNMLSGLIPGELGNLVVVVDLLLNNNQLSGEIPGSLSRLTNLTTLDLSGNLLSGSIPPEFCRSSKLQGLYLGNNQLSGTIPGTLGRLGSLVKLNLTGNKLYGSVPLSFGNLKELTHLDLSNNKLGGQLPSSLSQMLNLVGLYVHQNRLSGPIDELFSNSISWRIETMNLSNNLFDGHLPRSLGNLSYLTCLDLHRNKFSGEIPSELGNMMQLEYFDISGNRLSGRIPDKICTLANLFYLNLAENNLEGPVPRTGVCLSLSRISLVGNKNLCGRIMGSDCRIGNFDRSSLLSAWGLVGVAVGCMIIIFTIAFAIRRLITRASKQGDPEEIEESKLNSFIDQNLYFLNSSRSKEPLSINVAMFEQPLLKITLVDILEATNNFCKTNIIGDGGFGTVYRATLPDGKTVAVKKLSEAKTQGNREFIAEMETLGKVKHQNLVPLLGYCSFGEEKLLVYEYMVNGSLDLWLRNRTGTLEILDWPKRFKIAIGAARGLAFLHHGFIPHIIHRDVKASNILLNEDFEPKVADFGLARLISACETHVSTEIAGTFGYIPPEYGQSGRSTTRGDVYSFGVILLELVTGKEPTGPDFKEVEGGNLVGWVFQKIKKGQAADVLDPTILRADSKQMMLRVLKIASSCLFDNPAERPAMLEVLKLLKRIKDEQI >Manes.18G144844.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21529468:21530959:1 gene:Manes.18G144844.v8.1 transcript:Manes.18G144844.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.10G061500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8619698:8622093:-1 gene:Manes.10G061500.v8.1 transcript:Manes.10G061500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLRLPSSCFIRSSPPSKATSPSRSCALVKSSCPLGSVKNVSKSFGLKASSFRVSAMANVYKVKLIGPNGEENEIEAPDDTYILDAAENAGVELPYSCRAGACSTCAGQLVTGSVDQSDGSFLNEEQMEKGYVLTCVSYPTSDCVIHTHKEGDLY >Manes.02G059800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4639829:4643032:1 gene:Manes.02G059800.v8.1 transcript:Manes.02G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGVKAPVLAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLLLKYRPEDKAAKKERILKRAQAEAEGKTIESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTAAALCLTSVKNEDKLEFSKILEAVKANFNDKFDEHRKRWGGGIMGSKSQAKTKAKERLLAKEAAQRMS >Manes.03G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2149458:2155823:1 gene:Manes.03G026700.v8.1 transcript:Manes.03G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGAAMVCAAAVCAAAALVVRQRIRKSGRWAKAMAILREFEDKCGTLTGKLRQVADAMTVEMRAGLASEGGSKLKMLISYVDNLPTGDEKGLFYALDLGGTNFRVLRVLWGGKEDRVVKQEFEEVSIPPHLMIGSSDALFGYVAEALAKFVATEGEGLHPSPGQQRELSFTFSFPVQQTSIASGTLIKWTKGFSIEDTVGQDVVGELTKAMERVGLDMRVVALVNDTIGTLAGGRYYNQDVIAAVILGTGTNAAYVERAQAIPMWHGLLPKSGEMVINMERGNFRSSHLRLTEYDLALDVESLNPGEQIFEKVISGMYLGEIVCRVLLKMAQEAAFFGGDVPLKLEIPFILRTPHMSAMHHDTSSDLREVGSKLKDIPEISTTSLKMRKAIVELCDIVAARGARLSAAGIVGILKKLGRDTMKDGEMQKSEIALDGGLFEHYTKFRTSMESTLKELLGEEVSECIAIEHSNDGSGIGAALLAASHSQYLGVAEA >Manes.03G194500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31221618:31224560:1 gene:Manes.03G194500.v8.1 transcript:Manes.03G194500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDSSATLAAPLAPKKENITPIGSKIAELNESRTELLSRIQSLKQDMQNWRSKLDTQVKIYRDEFSELKKSLNVEVDQLRSEFQELRNTLQQQQEDVTASLKNLGLQDSQGDSKDVQDPKVDKKDEDKHALTEEDTVKKAEN >Manes.09G058622.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9330580:9332711:1 gene:Manes.09G058622.v8.1 transcript:Manes.09G058622.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAVLGGNTSPSAEHIKDILSIVGAEVDDDRIEFLLSEVKGKDITELIAAGREQLASVPSGGGVAVAAVAPGGGGAAAAAASAAAEAKKEEKVEEKEESDEDMGFSLFD >Manes.01G071200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27226148:27250255:1 gene:Manes.01G071200.v8.1 transcript:Manes.01G071200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTSPMFGNVVFFHSSLEIAPSSLQMVEEKNFSVLNILKQSNSLLPHAVLASTLLALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPAAILAGYVGQFAVKPILGYLVGIISVSVFGLPTPIGAGIMLVSCVSGAQLSSYATFLTDPPLAPLSIVMTSLSTATAVFVTPLLSLLLIGKRLPVDVKGMVASIMQIVVTPIAAGLLLNRFFPWIANAIRPFLPPLSVLVTACCVGAPLAINVKSVVSPFGATILSLIISFHLLAFVIGYVLTGLIFPKAPDLKALQRTMSFETGMQSSLLALALANRFFQDPLVAVPPAISTVIMSLMGFFLVMVWAKKKEV >Manes.01G071200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27226148:27250255:1 gene:Manes.01G071200.v8.1 transcript:Manes.01G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMTVNGQQWQIQRPFIPQFHAQNIPHVRKCSLFPLFTRNCSLKSSELCVGFSVQSNPHSKLVGSPRILVGRCVSEKFSDHFEQDAGQNFITEQKMVEEKNFSVLNILKQSNSLLPHAVLASTLLALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPAAILAGYVGQFAVKPILGYLVGIISVSVFGLPTPIGAGIMLVSCVSGAQLSSYATFLTDPPLAPLSIVMTSLSTATAVFVTPLLSLLLIGKRLPVDVKGMVASIMQIVVTPIAAGLLLNRFFPWIANAIRPFLPPLSVLVTACCVGAPLAINVKSVVSPFGATILSLIISFHLLAFVIGYVLTGLIFPKAPDLKALQRTMSFETGMQSSLLALALANRFFQDPLVAVPPAISTVIMSLMGFFLVMVWAKKKEV >Manes.01G071200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27226148:27250255:1 gene:Manes.01G071200.v8.1 transcript:Manes.01G071200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMTVNGQQWQIQRPFIPQFHAQNIPHVRKCSLFPLFTRNCSLKSSVGSPRILVGRCVSEKFSDHFEQDAGQNFITEQKMVEEKNFSVLNILKQSNSLLPHAVLASTLLALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPAAILAGYVGQFAVKPILGYLVGIISVSVFGLPTPIGAGIMLVSCVSGAQLSSYATFLTDPPLAPLSIVMTSLSTATAVFVTPLLSLLLIGKRLPVDVKGMVASIMQIVVTPIAAGLLLNRFFPWIANAIRPFLPPLSVLVTACCVGAPLAINVKSVVSPFGATILSLIISFHLLAFVIGYVLTGLIFPKAPDLKALQRTMSFETGMQSSLLALALANRFFQDPLVAVPPAISTVIMSLMGFFLVMVWAKKKEV >Manes.01G071200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27226148:27250255:1 gene:Manes.01G071200.v8.1 transcript:Manes.01G071200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYICFLELCVGFSVQSNPHSKLVGSPRILVGRCVSEKFSDHFEQDAGQNFITEQKMVEEKNFSVLNILKQSNSLLPHAVLASTLLALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPAAILAGYVGQFAVKPILGYLVGIISVSVFGLPTPIGAGIMLVSCVSGAQLSSYATFLTDPPLAPLSIVMTSLSTATAVFVTPLLSLLLIGKRLPVDVKGMVASIMQIVVTPIAAGLLLNRFFPWIANAIRPFLPPLSVLVTACCVGAPLAINVKSVVSPFGATILSLIISFHLLAFVIGYVLTGLIFPKAPDLKALQRTMSFETGMQSSLLALALANRFFQDPLVAVPPAISTVIMSLMGFFLVMVWAKKKEV >Manes.01G071200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27226148:27250255:1 gene:Manes.01G071200.v8.1 transcript:Manes.01G071200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKNFSVLNILKQSNSLLPHAVLASTLLALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPAAILAGYVGQFAVKPILGYLVGIISVSVFGLPTPIGAGIMLVSCVSGAQLSSYATFLTDPPLAPLSIVMTSLSTATAVFVTPLLSLLLIGKRLPVDVKGMVASIMQIVVTPIAAGLLLNRFFPWIANAIRPFLPPLSVLVTACCVGAPLAINVKSVVSPFGATILSLIISFHLLAFVIGYVLTGLIFPKAPDLKALQRTMSFETGMQSSLLALALANRFFQDPLVAVPPAISTVIMSLMGFFLVMVWAKKKEV >Manes.01G071200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27226148:27250255:1 gene:Manes.01G071200.v8.1 transcript:Manes.01G071200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMTVNGQQWQIQRPFIPQFHAQNIPHVRKCSLFPLFTRNCSLKSSVVGSPRILVGRCVSEKFSDHFEQDAGQNFITEQKMVEEKNFSVLNILKQSNSLLPHAVLASTLLALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPAAILAGYVGQFAVKPILGYLVGIISVSVFGLPTPIGAGIMLVSCVSGAQLSSYATFLTDPPLAPLSIVMTSLSTATAVFVTPLLSLLLIGKRLPVDVKGMVASIMQIVVTPIAAGLLLNRFFPWIANAIRPFLPPLSVLVTACCVGAPLAINVKSVVSPFGATILSLIISFHLLAFVIGYVLTGLIFPKAPDLKALQRTMSFETGMQSSLLALALANRFFQDPLVAVPPAISTVIMSLMGFFLVMVWAKKKEV >Manes.04G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17247372:17250443:-1 gene:Manes.04G066200.v8.1 transcript:Manes.04G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLAIIDYPYKSRPSTLLNSLFMSTMNVAAKTLVSVASNLKLEHSEKWRLRDHMRFMVMLMTWVTVWVLRVLMDYFPSVMTFSPNYLLLGRNSTFGSSTLALPAPSSSSTALSTSASSLDLLLQDDFDGPSVQALGRALTHILALLNDIPATSRKYQFAMAMADNIMDGNFRDGHHELMQVNRTALSSAFERTLSLLLRSMQQLHGSDDSSSWTFRLLRALPMGSYISSYVKGLNSCLRTVIQTVRRGTLALQLDKRRQLNSSCVNEGADDVVAEKLAQELLWITNKLRAYDAVDEAMVQWSFASSLASFAFSANPRIQGYIVKISALLIGDLAGSKVEVSTQVKFRLLVLWIPLFCFANNGLSYPFLTSFDKIEVERAIDEAISTLPAMDQEVILINWLQDFTLCASDWPNLKVSYDRWCRCTRQLAQ >Manes.05G033601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2831274:2832186:-1 gene:Manes.05G033601.v8.1 transcript:Manes.05G033601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSAMAIDQAACDGDRPRRARSRSTRVAGDELTGDVSDRQERCERQAMDRPAKVASDDEVQAMDQPTIGALPDVSSGSC >Manes.16G072600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27448291:27451733:1 gene:Manes.16G072600.v8.1 transcript:Manes.16G072600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSTTSLLQKLENTDENDPALWQPAYDAPETSVESMEFLARSGFSAQAAKETLFQQLPSGRSGCPPISPKDSEEMKLYKSIVKGRTMGRWLKDQKERKKQEIRIHNAQLHAAVSVAGVAAAVAALAASNVMSEEIASSCHKIHSKKPAAMASAAALVASYCIEIAEEIGADREQIIMVVNSALNARTNADIITLTAGAATALLGASTLRARLQKGHGSTAFALVEEKGEEGKESNILAALNFVAGGGELLKLTRKGDLHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKSIL >Manes.16G072600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27448300:27451733:1 gene:Manes.16G072600.v8.1 transcript:Manes.16G072600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSTTSLLQKLENTDENDPALWQPAYDAPETSVESMEFLARSGFSAQAAKETLFQQLPSGRSGCPPISPKDSEEMKLYKSIVKGRTMGRWLKDQKERKKQEIRIHNAQLHAAVSVAGVAAAVAALAASNVMSEEIASSCHKIHSKKPAAMASAAALVASYCIEIAEEIGADREQIIMVVNSALNARTNADIITLTAGAATALLGASTLRARLQKGHGSTAFALVEEKGEEGKESNILAALNFVAGGGELLKLTRKGDLHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKSIL >Manes.16G072600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27448291:27451733:1 gene:Manes.16G072600.v8.1 transcript:Manes.16G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSTTSLLQKLENTDENDPALWQPAYDAPETSVESMEFLARSGFSAQAAKETLFQQLPSGRSGCPPISPKDSEEMKLYKSIVKGRTMGRWLKDQKERKKQEIRIHNAQLHAAVSVAGVAAAVAALAASNVMSEEIASSCHKIHSKKPAAMASAAALVASYCIEIAEEIGADREQIIMVVNSALNARTNADIITLTAGAATALLGASTLRARLQKGHGSTAFALVEEKGEEGKESNILAALNFVAGGGELLKLTRKGDLHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKCVVSGVYRDIPAWPRRDKEDCNEERVYFGIKTAERLVEFECRSRNEKQMWTEGIRHLLNCCTNIKSEATFNYCKTSL >Manes.16G072600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27448300:27451669:1 gene:Manes.16G072600.v8.1 transcript:Manes.16G072600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSTTSLLQKLENTDENDPALWQPAYDAPETSVESMEFLARSGFSAQAAKETLFQQLPSGRSGCPPISPKDSEEMKLYKSIVKGRTMGRWLKDQKERKKQEIRIHNAQLHAAVSVAGVAAAVAALAASNVMSEEIASSCHKIHSKKPAAMASAAALVASYCIEIAEEIGADREQIIMVVNSALNARTNADIITLTAGAATALLGASTLRARLQKGHGSTAFALVEEKGEEGKESNILAALNFVAGGGELLKLTRKGDLHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKCVVSGVYRDIPAWPRRDKEDCNEERVYFGIKTAERLVEFECRSRNEKQMWTEGIRHLLNCCTNIKSEATFNYCKTSL >Manes.16G072600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27448291:27451733:1 gene:Manes.16G072600.v8.1 transcript:Manes.16G072600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSSTTSLLQKLENTDENDPALWQPAYDAPETSVESMEFLARSGFSAQAAKETLFQQLPSGRSGCPPISPKDSEEMKLYKSIVKGRTMGRWLKDQKERKKQEIRIHNAQLHAAVSVAGVAAAVAALAASNVMSEEIASSCHKIHSKKPAAMASAAALVASYCIEIAEEIGADREQIIMVVNSALNARTNADIITLTAGAATALLGASTLRARLQKGHGSTAFALVEEKGEEGKESNILAALNFVAGGGELLKLTRKGDLHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKCKRTLKSMANTSFSTE >Manes.09G162900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35726476:35732023:-1 gene:Manes.09G162900.v8.1 transcript:Manes.09G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHSSSLCLSSPTTTKITHCCPFHHIPKPSSNSSSIPIIQFHLQKPTSNFISQHHYSPCSPCASSKIVPLRCARVGLMETQEGSGSKPVIDIQGIDEELVEKIIYDALLWSSLHGLVVGDKSVQRSGKVPGVGMVHAPFALLPVAFPENHWKLACEVAPIFNELIDRVSLDGNFLQDSLSRTKKVDVFTSRLLDIHSKMLEMNKIEEIRLGLHRSDYMLDEQTKLLLQIELNTISASFPGLSCLVSELHRSLLKHYGERLGLDFKRIPSNTTVDRFAEALAKAWTEYNNPRAAVMVVVQPEEHNMYDQHWLFAPLKEKHDVTAIRKTLAEIDKEGELLPDGTLLVNGQAVAVVYFRSGYAPTDYPSEAEWRARLLMEKSSAIKCPSISYHLAGTKKIQQELAKPNVLERFLENKDDIAKLQKCFAGLWSLDDSDIVKKAIERPELFVMKPQREGGGNNIYGDDVKENLRRLQRERTEENAAYILMQRIFPIVSPVFLVRNGICHKDHAVSELGVYGAYLRNKDKVIVNEQSGYLMRTKVSSSNEGGVAAGFAVLDSMYLI >Manes.06G179801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30605392:30610760:-1 gene:Manes.06G179801.v8.1 transcript:Manes.06G179801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKVKKFRKAHKPDPERDLEDNPVTQPEGPKNDNAAGGGDIVSNKSANADALAESEDDDDDFITNEVKRRLKELRRNSFMVLIPEEEPCPEEEEDEEEGEGETNSTEWRDVEAEGRKWWSGFDAVYDQYCERMLFFDRMIVQQLNENGCHTPLTPSPRSASKKLTSPFRCLSLKKIEEPEDEMEHPQQPQKDPYEDLETAYVAQVCLTWEALHCQYSQLSQKILCQPENPMCYNHSAEQFQQFQVLLQRFIENEPFELGHRAEIYARARNLLPKLLQVPNGKGSDRKEAGEMESDLVVMAPDLIKIMESSILTFHLFLKMDKKKAGTVLNLFGNQNQISTPLQLIQSSLDKKRIRLKELCKKSKGWKKKSWPQMYEDVELLFGLIDVKILCRVLRMVKISKEQLIWCEEKMKKLGLSDGKLERDPSPILFPC >Manes.06G179801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30605392:30610760:-1 gene:Manes.06G179801.v8.1 transcript:Manes.06G179801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKVKKFRKAHKPDPERDLEDNPVTQPEGPKNDNAAGGGDIVSNKSANADALAESEDDDDDFITNEVKRRLKELRRNSFMVLIPEEEPCPEEEEDEEEGEGETNSTEWRDVEAEGRKWWSGFDAVYDQYCERMLFFDRMIVQQLNENGCHTPLTPSPRSASKKLTSPFRCLSLKKIEEPEDEMEHPQQPQKDPYEDLETAYVAQVCLTWEALHCQYSQLSQKILCQPENPMCYNHSAEQFQQFQVLLQRFIENEPFELGHRAEIYARARNLLPKLLQVPNGKGSDRKEAGEMESDLVVMAPDLIKIMESSILTFHLFLKMDKKKAGTVLNLFGNQNQISTPLQLIQSSLDKKRIRLKELCKKSKGWKKKSWPQMYEDVELLFGLIDVKILCRVLRMVKISKEQLIWCEEKMKKLGLSDGKLERDPSPILFPC >Manes.10G040000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4115148:4120324:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4120323:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114680:4120415:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4121762:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114680:4120415:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114680:4120415:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4121762:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4121762:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4120338:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114680:4121762:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4120323:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4115148:4120324:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4115148:4120325:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4120323:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4121762:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.10G040000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4119332:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVANCWKPSNEGDNSSRGGDDNGRADGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNVGFPFFFPRIFVMHSDFGYAYNQSWKF >Manes.10G040000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4114735:4121762:1 gene:Manes.10G040000.v8.1 transcript:Manes.10G040000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIQANNLLEDCSQIESGPMSLLESGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETQGMSADVINKAFLATEEAFLSLVQQQWEIKPQIASVGSCCLVGIVCGGHLYIANAGDSRVVLGRSDKSEKEVKAVQLSYEHNASVESVREELHSLHPNDPQIVVLKHQVWRVKGLIQISRSIGDAYLKRAEFNRAPLLAKFRLPEPFDKPILKAEPTISVQKLYPEDQFLIFASDGLWEHLSNQEAVDIVNNYPRNGIARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITIIILFLDSQLISSSISQGPLLSIRGGGGTPGNFNT >Manes.18G047300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4113720:4119481:1 gene:Manes.18G047300.v8.1 transcript:Manes.18G047300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTRPSNTPSNYVTLQQLQERWIKEQQRKLEKKEEEQSHTENLELQNEEKDPNPQVHPLKDAQENRRNSKRYNRHRNRNLTDTGFGGCPKENHLVSAVVEGHEGESTAKATKSNDLGDKKKKKWNKKLQKKGREKQEGAEEEEKEKTIKDREARSQGEYQEKVVREPRAQLVKSEENTLVMRYEKRRDARLDYRQKNTSVVEKSGGIVKNVVEVENAVEENQETPKIERKLEDLSIKNESKKEKEKTIKDREARSQGEYQEKVVREPRAQHVKSEENTLVMRYEKRRDARLDYRRKNTSVVETSGGIVKNVVEVENAVEENQETPKIERKLEDLSIKNESNSSETQNRVVNRRNNEHRGYTRIDNWTANRRYFGYRGYNGGVNRRNGQHGGSYGRFNHYAEQKGRSGGMVWVKKEEVADAGEGSEIKASATQ >Manes.18G047300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4113689:4119481:1 gene:Manes.18G047300.v8.1 transcript:Manes.18G047300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTRPSNTPSNYVTLQQLQERWIKEQQRKLEKKEEEQSHTENLELQNEEKDPNPQVHPLKDAQENRRNSKRYNRHRNRNLTDTGFGGCPKENHLVSAVVEGHEGESTAKATKSNDLGDKKKKKWNKKLQKKGREKQEGAEEEEKEKTIKDREARSQGEYQEKVVREPRAQLVKSEENTLVMRYEKRRDARLDYRQKNTSVVEKSGGIVKNVVEVENAVEENQETPKIERKLEDLSIKNESKKEKEKTIKDREARSQGEYQEKVVREPRAQHVKSEENTLVMRYEKRRDARLDYRRKNTSVVETSGGIVKNVVEVENAVEENQETPKIERKLEDLSIKNESNSSETQNRVVNRRNNEHRGYTRIDNWTANRRYFGYRGYNGGVNRRNGQHGGSYGRFNHYAEQKGRSGGMVWVKKEEVADAGEGSEIKASATQ >Manes.08G105400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34546040:34547727:1 gene:Manes.08G105400.v8.1 transcript:Manes.08G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDFKNDKVLKLVHPGGFVEIHDSPMTADEVMKKNPRHCVARPNMFRFPWIVVRPESLLKPGGVFYIVPVHNIHSLPQKNRYQNQDPLLLQLVSLDSFLELTNCHQLRKQHNHTISPTRTKIDCKIEHWPEDTIFPKCLVRDTQHHDPYYSEESLVKSRLEPQLFYDSSKISFSVDSWDATFGFKVHQEPKQQPQVESFIEPRPLFSVDIASSDATQMVAFPKVESGELNSSEELKALKSCLKKDTHFKSRGLKVKFAFSDKDNEKIKEEVIIFQPIESP >Manes.18G016700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1735138:1737455:-1 gene:Manes.18G016700.v8.1 transcript:Manes.18G016700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSLFIFFLLLISCHWIGTEAAGGRWQLLQKSIGITAMHMQLLNNDRVVIYDRTDFGPSNLSLPDGKCRNDSYDLALQNDCTAHSAEYDVLTNTFRPLMVLTDVWCSSGAVMSDGRLIQTGGFNDGERRIRIFTPCSDCDWVEVGNELVARRWYATNHILPDDKQIIIGGRRQFNYEFYPKNGASNVYSLPFLVQTNDRGVENNLYPFVFLNIDGNLFIFANNRAILFHYAGGKVVKTYPAIPGGDPRSYPSTGSAVLLPLKNLQGTALEAEVLVCGGAPKGSYIQATKGIFVKALDTCGRMKITDPNPQWTMETMPQARVMGDMTLLPNGNVLIINGAGAGTAGWEYGRDPVLTPVIYGPELGIGSRFESQNPNTIPRMYHSTAILLRDGRVLVGGSNPHINYNFTTPLFPTELSLEAFSPPYLDIDNNSLRPKIVSPASEAAIGYAQKLVIRFQVGRSPVDAKLVSVTMVSPSFTTHSFSMNQRLLHLGNEKVTLVGTATYDVQVTTPPSKNLAPSGYYLLFVVHQDIPSEGIWIQIK >Manes.09G158900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35452794:35457356:1 gene:Manes.09G158900.v8.1 transcript:Manes.09G158900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLAKVEDLWKEFSTADTARKVVKLKAFSKFENTSEALEAATKIIEGTASKGLRKFLRAHCENETLAVADSKLGNAIKEKLKIECVHNNAVMELMRGVRSQLTELIAGLGAQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELSKIVQDNILYAKVAKLMGSRENAAKLDFSEILPEEVETELKEASLISMGTEVSEVDLMNIRELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIFHASLVGQAAPKTKGKISRSLAAKAALAIRYDALGDGQDNSLGLENRAKLEARLRNLEGRELSRSGGSAKGKPKIEAYDKDRKKGAGGLIAPAKTYNPSADAVLGQTPNSAAGIEEDVIPKKRKKEAEPSLIGEVEEEAPVTAEQKKEKKKKKKKTDEEGTAVSNEGNDATEQEGEGKAKKDKKKKKHKTEGNEVQNQSENADAGEKKKKKRKHAEQDEEPEIPSKKKEKKKRKSED >Manes.05G176500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29294317:29297011:-1 gene:Manes.05G176500.v8.1 transcript:Manes.05G176500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKDTEMTETEPSTAVSQQDPNDVVEELLTFARQLINEGKPSQALQAVVMAIRSKGGDEAVFQSLHRARELYRNRLQENTAADQLAALFAECAIAEVQPSKVEPSPLNGGGPLVDAHANSILADTGRMQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCCQL >Manes.05G176500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29295096:29296001:-1 gene:Manes.05G176500.v8.1 transcript:Manes.05G176500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMAIRSKGGDEAVFQSLHRARELYRNRLQENTAADQLAALFAECAIAEVQPSKVEPSPLNGGGPLVDAHANSILADTGRMQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCCQL >Manes.05G176500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29294317:29297011:-1 gene:Manes.05G176500.v8.1 transcript:Manes.05G176500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMAIRSKGGDEAVFQSLHRARELYRNRLQENTAADQLAALFAECAIAEVQPSKVEPSPLNGGGPLVDAHANSILADTGRMQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCCQL >Manes.03G183200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30450548:30452660:-1 gene:Manes.03G183200.v8.1 transcript:Manes.03G183200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGHGQASAPGEVRSTAPVPYGISYQPNQMMGAAATGSLPSAAQPAGVAASQAQHQLAYQHIHQQQQEQLQQQLQTFWTNQYQEIEQVNDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTEIFDFLVDIVPREDLKDEVLASVPRGSLPIGGPAEAIPYYYMSPQFAPQVAPPGMGVGQPVVDQNLYGQHSRPYAAQPIWPQQQPQPPADS >Manes.03G183200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30450407:30452926:-1 gene:Manes.03G183200.v8.1 transcript:Manes.03G183200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGHGQASAPGEVRSTAPVPYGISYQPNQMMGAAATGSLPSAAQPAGVAASQAQHQLAYQHIHQQQQEQLQQQLQTFWTNQYQEIEQVNDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTEIFDFLVDIVPREDLKDEVLASVPRGSLPIGGPAEAIPYYYMSPQFAPQVAPPGMGVGQPVVDQNLYGQHSRPYAAQPIWPQQQPQPPADS >Manes.03G183200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30450544:30452966:-1 gene:Manes.03G183200.v8.1 transcript:Manes.03G183200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGHGQASAPGEVRSTAPVPYGISYQPNQMMGAAATGSLPSAAQPAGVAASQAQHQLAYQHIHQQQQEQLQQQLQTFWTNQYQEIEQVNDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTEIFDFLVDIVPREDLKDEVLASVPRGSLPIGGPAEAIPYYYMSPQFAPQVAPPGMGVGQPVVDQNLYGQHSRPYAAQPIWPQQQPQPPADS >Manes.03G183200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30450539:30452660:-1 gene:Manes.03G183200.v8.1 transcript:Manes.03G183200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGHGQASAPGEVRSTAPVPYGISYQPNQMMGAAATGSLPSAAQPAGVAASQAQHQLAYQHIHQQQQEQLQQQLQTFWTNQYQEIEQVNDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTEIFDFLVDIVPREDLKDEVLASVPRGSLPIGGPAEAIPYYYMSPQFAPQVAPPGMGVGQPVVDQNLYGQHSRPYAAQPIWPQQQPQPPADS >Manes.09G019650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4097706:4098368:1 gene:Manes.09G019650.v8.1 transcript:Manes.09G019650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKTTVTDVIPMMTKITEHKLNGSNFLDWSKTIRIYLRSIEIDDHLTKNPPTDETCRIRNFIHSEVINLINYCEFVKELIDYLEFLHSDKRNIFRIYNVCMVFYRVEKNDRTLTFYFMDFKRVYEELNVLMPFSTDVKTQQVQREQIVVISFLAGLSPKFETAKSQILYDFEILSLHDVFTKVLRTEFPITSHPTSALVSRNDSGR >Manes.07G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30750977:30753350:-1 gene:Manes.07G101800.v8.1 transcript:Manes.07G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAARKLKSHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Manes.02G191100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15340054:15341127:1 gene:Manes.02G191100.v8.1 transcript:Manes.02G191100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIHLHQISILLNTHLLIYPPPPMATEDFSFPTFTDTTSSAIDSPPLWRLSPAASPDSSHTKAEEEDSDQEDDYQFCFPTHKPTQRKSFSWVEHGGRAANLNDEEDKEEKMDMLWEDFNEELSIKRSRSSSRFDSNHHHLHRTVNMGRVHQLQSLRSSNKTSSAMVSPRKNTPATSTAGFVVFMKVLKKLFLLHNSHQPHISVHQNQRSRIRRVRNRSW >Manes.09G117801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32061542:32062321:-1 gene:Manes.09G117801.v8.1 transcript:Manes.09G117801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMETHVSGSQADNICQKLKFDHWIRIETFDFSGGNWICWNDNGFVMDILATHPQIVTCKVTSFEGIPWIISFVYGSPNNALRCYLWKDLKIIDMDAREEWVVLGDFNVVISEEEQAGYRSFNATGSRDFQNWFFDSTLIDIGFEGIPFTWSRGNEASDYKMARLDRGICSSNWRMRFSDTRIIHPPKFHLDYCPLVLEVTKQMSVRSDFFRCQATWFAHPRFLTSIWSLWSQSNGLWQNLDLLQKGFKKWNKEDFW >Manes.04G073050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27798684:27799163:1 gene:Manes.04G073050.v8.1 transcript:Manes.04G073050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYRLIYGKACHLPVELEHKAYWAVKSCNLDEKEAGVHRKLQIQELEEIRRDAYEASWDYKARTKAFHDKNISRKHFQVGDKVLLFDSRFKLFPGKLRSRWIGPFLVEHVFPHGAVDIRSPQTSKVFKVNGHRLKRFYEGFTVHVVEEVPLDPPSQDC >Manes.01G004880.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2201691:2211625:1 gene:Manes.01G004880.v8.1 transcript:Manes.01G004880.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVVDCTTPNFNGIISVMDPSRSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEDERVQYIPPKRV >Manes.10G048200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5300234:5301947:1 gene:Manes.10G048200.v8.1 transcript:Manes.10G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPPTPAPDAPTGLPDSDCTPVGHPLFLRIRLATPADVSHIHKMIHQMAVFERLTHQFVATESSLSATLFNNPPFQSFTIFLLEVSPNPLPNIVSPNFMPIERIIHFDLPVIDPEAENFKSGGNDVVVAGFVLFFPNYSTFLAKPGLYVEDLFVRECYRRKGMGKMLLSAVAAQAVKMGYGRVEWTVLDWNVNAIKFYEEMGAKILTEWRICRLTGESLEAYRDAI >Manes.02G074700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5674732:5675112:1 gene:Manes.02G074700.v8.1 transcript:Manes.02G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQMLLMALLLFFILFAVPSCAIVTNNLDQALVPQEPDTGIKCESCPCVNPCALLPPPPPPPPKSSYCPPLPPPPPRFIYVTGVPGNFYVTDSYDNWNFYSAATQNAVAKLLLLAGFGALLFVII >Manes.11G077500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11476142:11480742:1 gene:Manes.11G077500.v8.1 transcript:Manes.11G077500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAQSVQVPEDEEEEDGSEEEDEEEEVDDDNGVGTIREIDGSNLVKKVLEQEPEMLPCYASASPLSPQLSSLGTPRLGPSIKVWDPYNVLAPPPPLPPPPPFFSRSLSSSSSCGIVDDDRAVMEVFLINQGECDLNLRPDLVGGRCHAAALTPNGKRQARALAVFLNSQGVRFNAVYSSPLDRARSMAVSVCQEIHFAEEQIQSSDALLEMSLGHWEGCPCSEIYTPEVLSLIESFQPDFCAPSGESLRQVEFRMVQFLNRTILGLPEKLRSDFTLNHQIKSQGFSHDRDPSGPLPQQWDMLHRHRPGLSRKKSGRSRLQYVTATGNHEGDNEISPREANDQSAVHNPSARSSTSISSCIGIFTHSIPIKCLLTGILGCSPVMLNKICIEDSSVTVLLHSWRTGWQIKRLNDTAHLRLL >Manes.02G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1017699:1024528:-1 gene:Manes.02G009200.v8.1 transcript:Manes.02G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVEILEGKAYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFANSPEYKHLAHRMGSEHLAKVLSKHLETVIKSRIPGIQSLINKTIAELESELSRLGKPIAADAGGKLYTIMEICRLFDQIYKEHLDGVRAGGEKIYNVFDNQLPAALKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESSLVTIRGPTEAAVDAVHAILKELVHKAISETPELKQYPALRVEVGNAAIESLDRMKEESRKATLKLVDMECSYLTVDFFRKLPQDVDKGGNPTHSIFDRYNDSYLRRIGTTVLSYVNMVCASLRNSIPKSIVYCQVREAKRSLLDHFFTELGKMEQKYLSSLLNEDPAVMERRAALAKRLELYRSAQAEIDTVAWSK >Manes.06G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9613238:9620474:1 gene:Manes.06G035400.v8.1 transcript:Manes.06G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKFGAVDGKPPTYFSSSSTIGCFSDQALRANYPNNDTRQSPELMRNQTLLREIEKERIRQEIIAEEIARRRVLEAEVRRELMMERELPMRLGIGDGGSSFDGRLTMRLEPGPWFPFPSRFYDRWVEERSAFHGRGVVDQGLQRPRLSEALVSPDVKSASKDDENKLIVLAKPNPNLCGAKRKAETPPEGGSGGLPDADLKKIPKEEWSCALCQVSATSERGLNEHLHGKRHKARLARLRADKMVKNSSPVQLPKKTTTGAELEVKAEGPLLQVEKGNNNTNKQTGDKQDSGNANDELQLQKNVHESTLKERNVAAEERTAEFRKKKKFRFWCEVCQVGAYSATVMENHKKGKKHRFRQQELNQNREAVPTIIKTVSSKPGEKAKDKEAETEKANGKITENANYNEKTTETVAGNEKIIGNVVAKAEGFDQSSIAS >Manes.06G035400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9613238:9620474:1 gene:Manes.06G035400.v8.1 transcript:Manes.06G035400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQTLLREIEKERIRQEIIAEEIARRRVLEAEVRRELMMERELPMRLGIGDGGSSFDGRLTMRLEPGPWFPFPSRFYDRWVEERSAFHGRGVVDQGLQRPRLSEALVSPDVKSASKDDENKLIVLAKPNPNLCGAKRKAETPPEGGSGGLPDADLKKIPKEEWSCALCQVSATSERGLNEHLHGKRHKARLARLRADKMVKNSSPVQLPKKTTTGAELEVKAEGPLLQVEKGNNNTNKQTGDKQDSGNANDELQLQKNVHESTLKERNVAAEERTAEFRKKKKFRFWCEVCQVGAYSATVMENHKKGKKHRFRQQELNQNREAVPTIIKTVSSKPGEKAKDKEAETEKANGKITENANYNEKTTETVAGNEKIIGNVVAKAEGFDQSSIAS >Manes.11G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:405672:411282:-1 gene:Manes.11G002900.v8.1 transcript:Manes.11G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHQFQHSCLSSLIQKPTTLFPSKPSPFTVSFSLNPSNAKLTSQNPLETTPQPQPQPQSQSQPEPEPGAVDPVKLAFQKAKAYKKSIEDGKKTKLDQRPVEGSGTTSIGKDGEDPVSVKVAMEKAKEYKKRKEVGGGGGVKGASENETNSGSKGENGENLGSGLVDKGNIKEKKLSISSMDFIGLNFDDKKKGRGLPAGLAPVVNPFLEGDLPEVEIIVGDTSKFKDSTTPMPQPSQEDNLDLYKPKVSTWGVFPRPGDISKTFGGGRTIRPGDVLETAEERAAKDERTKQLLAAYRKKVGLNVDPKLKSECEKALKDGDSLMDSGKLNEALSYYQKVMDKLPFQSELHGLAALQWSICLDSLNRPNEARAMYEKLQSHPNAEVSKKARQFMFSFQAMDMMKVSGSNFLPKSTGYQNYFEAFIEDKSNYPLGEAGSEEDAVSQALPYLFLLVSPIFIVLLVAAQGANTN >Manes.08G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1446782:1451167:-1 gene:Manes.08G013300.v8.1 transcript:Manes.08G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSHLVLILDYGSQYTHLITRRIRSLNIFSLCLSGTSSLDTIISLNPKVIILSGGPHSVHSPKSPTFPSGFVEWAQSNGCFVLGICYGLQLIVQRLGGEVRVGEKQEYGRMEIEIGSNLGIFGNKKIGDRQVVWMSHGDEVAQLPEGFEVVARSQQGAVAALENRLKRFYGLQYHPEVTHSPEGMDTLRYFLSDVCGVVANWNMENVVDEEIKVIKGTVGPEDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRFKERERVMETFERDLHLPVTCVDASYQFLSKLKGVVDPEMKRKIIGKEFISIFDDFAHGLEQKLGKKPAYLVQGTLYPDVIESCPPPGSGRNHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILNVPEAFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGLYDSIWQAFAVFLPVRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVARKICNSVRGVNRVVQDITSKPPSTIEWE >Manes.08G013300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1443142:1451167:-1 gene:Manes.08G013300.v8.1 transcript:Manes.08G013300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSHLVLILDYGSQYTHLITRRIRSLNIFSLCLSGTSSLDTIISLNPKVIILSGGPHSVHSPKSPTFPSGFVEWAQSNGCFVLGICYGLQLIVQRLGGEVRVGEKQEYGRMEIEIGSNLGIFGNKKIGDRQVVWMSHGDEVAQLPEGFEVVARSQQGAVAALENRLKRFYGLQYHPEVTHSPEGMDTLRYFLSDVCGVVANWNMENVVDEEIKVIKGTVGPEDHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRFKERERVMETFERDLHLPVTCVDASYQFLSKLKGVVDPEMKRKIIGKEFISIFDDFAHGLEQKLGKKPAYLVQGTLYPDVIESCPPPGSGRNHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILNVPEAFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGLYDSIWQAFAVFLPVRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVARKICNSVRGVNRVVQDITSKPPSTIEWE >Manes.14G060800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5071002:5073562:-1 gene:Manes.14G060800.v8.1 transcript:Manes.14G060800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETFCGQAYGARQFHMLGVHLQRAMLVLVLTSIPISFIWVFTGQIFIGLNQDPQISIHSGIYARWLIPAIVPYGLLQCQSRFLQTQNIVLPLVLSTGITSLVHVLICWTFIFRFGFGNKAAALSIAISYCINVFILAIYIKFSPTCKHTWTGFSRAGTKDLLSFLKLGIPSALMVCLEFWSYEFLVIISGLLPNPKLQLSMMSISLNTSSVVFRIPFGLGSAVSTRVSNELGAGRPDAACLAVRIVIFLAVAESLSLGLALVAARNVWGFLYTNEKEVVRYLASVLPVLALSNFMDGMQAVLSGTARGCGWQKLGACINLGAYYLVGLPSALVLTFLFHFGGMGLWMGITCGSSVQALLLLAITMHTDWDQEAKKARVTVYGSRIPGDVPAEVV >Manes.14G060800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5071002:5073562:-1 gene:Manes.14G060800.v8.1 transcript:Manes.14G060800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAFKSSLESPLISNSENGIEPAKKCYEKAEIISELKKQMNLAGPLVLVSFLQYSLQMISVMFVGHLGELSLSSASMATSFAGVTGFALMLGMGSALETFCGQAYGARQFHMLGVHLQRAMLVLVLTSIPISFIWVFTGQIFIGLNQDPQISIHSGIYARWLIPAIVPYGLLQCQSRFLQTQNIVLPLVLSTGITSLVHVLICWTFIFRFGFGNKAAALSIAISYCINVFILAIYIKFSPTCKHTWTGFSRAGTKDLLSFLKLGIPSALMVCLNTSSVVFRIPFGLGSAVSTRVSNELGAGRPDAACLAVRIVIFLAVAESLSLGLALVAARNVWGFLYTNEKEVVRYLASVLPVLALSNFMDGMQAVLSGTARGCGWQKLGACINLGAYYLVGLPSALVLTFLFHFGGMGLWMGITCGSSVQALLLLAITMHTDWDQEAKKARVTVYGSRIPGDVPAEVV >Manes.14G060800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5071002:5073562:-1 gene:Manes.14G060800.v8.1 transcript:Manes.14G060800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAFKSSLESPLISNSENGIEPAKKCYEKAEIISELKKQMNLAGPLVLVSFLQYSLQMISVMFVGHLGELSLSSASMATSFAGVTGFALMLGMGSALETFCGQAYGARQFHMLGVHLQRAMLVLVLTSIPISFIWVFTGQIFIGLNQDPQISIHSGIYARWLIPAIVPYGLLQCQSRFLQTQNIVLPLVLSTGITSLVHVLICWTFIFRFGFGNKAAALSIAISYCINVFILAIYIKFSPTCKHTWTGFSRAGTKDLLSFLKLGIPSALMVCLEFWSYEFLVIISGLLPNPKLQLSMMSISLNTSSVVFRIPFGLGSAVSTRVSNELGAGRPDAACLAVRIVIFLAVAESLSLGLALVAARNVWGFLYTNEKEVVRYLASVLPVLALSNFMDGMQAVLSGTARGCGWQKLGACINLGAYYLVGLPSALVLTFLFHFGGMGLWMGITCGSSVQALLLLAITMHTDWDQEAKKARVTVYGSRIPGDVPAEVV >Manes.07G088500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:27952107:27952412:-1 gene:Manes.07G088500.v8.1 transcript:Manes.07G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPEVAAKAVEVAERRISMDKWPEYYDTKSARFIGKQAHLFQTWSIAGYLVAKLLLSDPSAAKMLITEEDPELVNAFSFMISTNPRRNRGRKNSKQTYIV >Manes.09G084700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22328030:22331214:1 gene:Manes.09G084700.v8.1 transcript:Manes.09G084700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRAGLERFKKAQSSDPFSVTVNSAPKTTTQPAPKPVIHPSVQYLQSPTQAQYQYQPHVPQKPAGLEAGPLVGQTQQLTQVGGGQSTWQPPDWAIEPRAGVYYLEVLKDGEVLDRINLDRRRHIFGRQSHTCDFVLDHQSVSRQHAAVIPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPRPPPPSEINLPPPPDPSDEEAVVAYNTLLNRYGLCSPDLLPKSSKSASSLTGRDDSQQSGRASKRMKKTRVAFRDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQSTVIPKGKDQLPAKEKNASQTGVTNKLQEVLNKVKTVPKSGVHDDLYGEAFSGKLGSSWAYPSATSSDKLDSPTEDAKQKAVAAPSGKLEKVSNLQNDDNDDDLFGD >Manes.09G084700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22327997:22331213:1 gene:Manes.09G084700.v8.1 transcript:Manes.09G084700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRAGLERFKKAQSSDPFSVTVNSAPKTTTQPAPKPVIHPSVQYLQSPTQAQYQYQPHVPQKPAGLEAGPLVGQTQQLTQVGGGQSTWQPPDWAIEPRAGVYYLEVLKDGEVLDRINLDRRRHIFGRQSHTCDFVLDHQSVSRQHAAVIPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPRPPPPSEINLPPPPDPSDEEAVVAYNTLLNRYGLCSPDLLPKSSKSASSLTGRDDSQQSGRASKRMKKTRVAFRDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQSTVIPKGKDQLPAKEKNASQTGVTNKLQEVLNKVKTVPKSGVHDDLYGEAFSGKLGSSWAYPSATSSDKLDSPTEDAKQKAVAAPSGKLEKVSNLQNDDNDDDLFGD >Manes.09G084700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22328030:22330996:1 gene:Manes.09G084700.v8.1 transcript:Manes.09G084700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRAGLERFKKAQSSDPFSVTVNSAPKTTTQPAPKPVIHPSVQYLQSPTQAQYQYQPHVPQKPAGLEAGPLVGQTQQLTQVGGGQSTWQPPDWAIEPRAGVYYLEVLKDGEVLDRINLDRRRHIFGRQSHTCDFVLDHQSVSRQHAAVIPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPRPPPPSEINLPPPPDPSDEEAVVAYNTLLNRYGLCSPDLLPKSSKSASSLTGRDDSQQSGRASKRMKKTRVAFRDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQSTVIPKGKDQLPAKEKNASQTGVTNKLQEVLNKVKTVPKSGVHDDLYGEAFSGKLGSSWAYPSATSSDKLDSPTEDAKQKAVAAPSGKLEKVSNLQNDDNDDDLFGD >Manes.09G084700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22328030:22330961:1 gene:Manes.09G084700.v8.1 transcript:Manes.09G084700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRENPSGALLDNKEQRMYGRAGLERFKKAQSSDPFSVTVNSAPKTTTQPAPKPVIHPSVQYLQSPTQAQYQYQPHVPQKPAGLEAGPLVGQTQQLTQVGGGQSTWQPPDWAIEPRAGVYYLEVLKDGEVLDRINLDRRRHIFGRQSHTCDFVLDHQSVSRQHAAVIPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPRPPPPSEINLPPPPDPSDEEAVVAYNTLLNRYGLCSPDLLPKSSKSASSLTGRDDSQQSGRASKRMKKTRVAFRDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQSTVIPKGKDQLPAKEKNASQTGVTNKLQEVLNKVKTVPKSGVHDDLYGEAFSGKLGSSWAYPSATSSDKLDSPTEDAKQKAVAAPSGKLEKVSNLQNDDNDDDLFGD >Manes.15G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9005232:9007032:1 gene:Manes.15G113300.v8.1 transcript:Manes.15G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSNISLFTFLASLFICSVVAHDFSIVGYSPEHLTSMEKLSELFESWMSKHLKIYRSIEEKLYRFEVFKDNLKHIDSRNRDLTTYWLGLNEFADLTHEEFKSKYLGLNRYFPRKGTSEDFSYRDIVDLPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCDTAFNNGCNGGLMDYAFEYIVNNGGLHKEEDYPYLMEEGTCDEKREEMAVVTINGYHDVPENDESSFLKALAHQPLSVAIEASGRDFQFYSGGVFSGPCGTELDHGVAAVGYGSSKGLDYVTVKNSWGPKWGEKGYIRMKRNTGKPEGLCGINKMASYPTKNK >Manes.17G103400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31101667:31107840:1 gene:Manes.17G103400.v8.1 transcript:Manes.17G103400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSDYSQEPPRHPSLRINYKQPFNAEPPRSALVSSYITPVEFFFKRNHGPIPVVDDIDSYSVSMSGLIDNPIELFMKDIWKLPKYVVTATLQCAGNRRTAMSNVKEVKGVGWDVSAIGNAVWGGAKLADVLELVGIAKWTSTTKSGGKHVEFVSIDKCKEENGGPYKASIPLSHATSPEADVLLAYEMNGEPLKRDHGYPLRVVVPGVIGARSVKWLDSINIIAEECQCAICSLEDVTAVKPGKVKVSGYAASGGGRGIERIDVSVDGGKTWVEASRHQKTGVPCIAEDTSSDKWAWVLFEVTVDVPHSTEIVAKAVDSAANVQPENVLDIWNLRGILNTSWHRVKVQVGYSNM >Manes.17G103400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31101667:31107840:1 gene:Manes.17G103400.v8.1 transcript:Manes.17G103400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSDYSQEPPRHPSLRINYKQPFNAEPPRSALVSSYITPVEFFFKRNHGPIPVVDDIDSYSVSMSGLIDNPIELFMKDIWKLPKYVVTATLQCAGNRRTAMSNVKEVKGVGWDVSAIGNAVWGGAKLADVLELVGIAKWTSTTKSGGKHVEFVSIDKCKEENGGPYKASIPLSHATSPEADVLLAYEMNGEPLKRDHGYPLRVVVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVNWDNINWSTRRPQMDFPVQCAICSLEDVTAVKPGKVKVSGYAASGGGRGIERIDVSVDGGKTWVEASRHQKTGVPCIAEDTSSDKWAWVLFEVTVDVPHSTEIVAKAVDSAANVQPENVLDIWNLRGILNTSWHRVKVQVGYSNM >Manes.17G103400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31101667:31104929:1 gene:Manes.17G103400.v8.1 transcript:Manes.17G103400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSDYSQEPPRHPSLRINYKQPFNAEPPRSALVSSYITPVEFFFKRNHGPIPVVDDIDSYSVSMSGLIDNPIELFMKDIWKLPKYVVTATLQCAGNRRTAMSNVKEVKGVGWDVSAIGNAVWGGAKLADVLELVGIAKWTSTTKSGGKHVEFVSIDKCKEENGGPYKASIPLSHATSPEADVLLAYEMNGEPLKRDHGYPLRVVVPGVIGARSVKWLDSINIIAEECQGFFMQKDYKMFPPSVNWDNINWSTRRPQMDFPVQVYA >Manes.01G234500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39750919:39758045:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEENGNPNIQEANSSNSQVVVEEKDSSPSRSKENGKEKPKTVPFLKLFSFADSIDILLMITGTVGAFGNGASMPLMSLLMGQMVDSFGKNQADKDILHIVSKVSLKFVYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.01G234500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39751108:39757998:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.01G234500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39751108:39757997:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEENGNPNIQEANSSNSQVVVEEKDSSPSRSKENGKEKPKTVPFLKLFSFADSIDILLMITGTVGAFGNGASMPLMSLLMGQMVDSFGKNQADKDILHIVSKVSLKFVYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.01G234500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39750920:39758044:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEENGNPNIQEANSSNSQVVVEEKDSSPSRSKENGKEKPKTVPFLKLFSFADSIDILLMITGTVGAFGNGASMPLMSLLMGQMVDSFGKNQADKDILHIVSKVSLKFVYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.01G234500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39750920:39757997:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEENGNPNIQEANSSNSQVVVEEKDSSPSRSKENGKEKPKTVPFLKLFSFADSIDILLMITGTVGAFGNGASMPLMSLLMGQMVDSFGKNQADKDILHIVSKVSLKFVYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.01G234500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39751108:39757995:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEENGNPNIQEANSSNSQVVVEEKDSSPSRSKENGKEKPKTVPFLKLFSFADSIDILLMITGTVGAFGNGASMPLMSLLMGQMVDSFGKNQADKDILHIVSKVSLKFVYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.01G234500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39751077:39757995:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEENGNPNIQEANSSNSQVVVEEKDSSPSRSKENGKEKPKTVPFLKLFSFADSIDILLMITGTVGAFGNGASMPLMSLLMGQMVDSFGKNQADKDILHIVSKVSLKFVYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.01G234500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39751108:39758041:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEENGNPNIQEANSSNSQVVVEEKDSSPSRSKENGKEKPKTVPFLKLFSFADSIDILLMITGTVGAFGNGASMPLMSLLMGQMVDSFGKNQADKDILHIVSKVSLKFVYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.01G234500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39750920:39757997:1 gene:Manes.01G234500.v8.1 transcript:Manes.01G234500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEENGNPNIQEANSSNSQVVVEEKDSSPSRSKENGKEKPKTVPFLKLFSFADSIDILLMITGTVGAFGNGASMPLMSLLMGQMVDSFGKNQADKDILHIVSKVSLKFVYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFVIAFAKGWMLALVMLAAIPLLVLAGATVSILVSRMATRGQNAYAEAATVVEQTIGSIRTVASYTGEKRAISAYNKYLQIAYKSGAHEGFASGVGIGVVMLVVFSSYGMAVWFGAKMILEKGYSGGQVINVIVAVLTGSMSLGQTSPCMSAFASGQAAAYKMFETINRKPEIDAYDMSGKVLDDVRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPKSGEVLIDGINIKEFQLKWIREKIGLVSQEPVLFSSSIKDNIAYGKEGATIEEIRSAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDRIMLDRTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDTDGAYSQLIRLQEVNKESEQAPNDCSRSEISVESFRQSSQRRSLRRSISRGSSRNSSHHSLSLSFGLPTGLNGPENDLEDIEDLPSKEKYPEVPIRRLAYLNKPELPVLIVGTIAASINGTILPIYGILISKAIKTFFEPPHELRKDSKFWALMFTTLGVASFLVYPFRTYFFSVAGSKLIQRIRSMCFEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAQLVQNIATAVAAMVIAFTASWQLALIILALIPLIGVNGVVQVKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCAEEKVMQLYEKKCEGPMWTGVRLGLVSGVGFGLSSFFLFCFYATSFYAGARLVEGGHITFADVFQVFFALTMAAVGISQSSSMGTDSTKAKAAAASVFAIIDRQSKIDPSDESGTTIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIRSGKTVALVGESGSGKSTVIALLQRFYDPNSGHITLDGVEIQKLQLRWLRQQMGLVSQEPVLFNDTIRANIAYGKEGDATEAEIIAAAELANAHNFISSSQQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGRHETLINIKDGFYASLVALHTSAQTA >Manes.08G122500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36227934:36232286:-1 gene:Manes.08G122500.v8.1 transcript:Manes.08G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSIFAPACLLNSIAALSLTFLSAFFFSKSPFYSTSSEIPRRSLLSQSHNQTLLPLSCSSLPSTNGLIDYLSIHFCLLEGNLFLSIPSLSLFILLHFYILIKTAQSHFSIVTTKLTNHLNLSPSMGGVTLLALGNGAPDVFASLAAVRSGQYRTGFGAILSAGTFVSAFVVGFVAIYAAPFNVDPGSFVRDVAFYLVGALFLFYVYLSGEITFWQAVGFVGFYIFFVGFVFWMDLGTGVVHKKDGGEMDCEKGGAVVVSNLEDEKHGSGPFEMAYGKISKVWECPISFLLKLTIPQTSPSEWSRFYVSANIVLCPLALLYTCNSFMPLEHPVVFLLSNAHFPLWFIVLMASSSLALLHFILEKEPPKTEQMPIVLVAFIMSVFWISTVAGELLNCLAALGLLLEVHPSILGLTVLAWGNSVGDLVADVAVARAGQPAMAMAGCFAGPMFNMLVGLGSALVVQTADVYPKAYELDLHTGIVIAFVFLLLSLMGSLLVITWSRFRVPRFWGFCLVGLYVFFMVVSLVIAKYSG >Manes.14G023550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2236560:2236937:1 gene:Manes.14G023550.v8.1 transcript:Manes.14G023550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTNYTKKLTTITRILQSRREKKTTYPTHGSRMKSFERTFGNCTVSLKIARAGSLIRISGSCFVLMDNSWLMHGEFTYTSRSLTFWSNAGISKPEKFPTLARPQPLKILTSDTPEEKKYIYFYI >Manes.07G041771.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4838250:4838861:-1 gene:Manes.07G041771.v8.1 transcript:Manes.07G041771.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCHGAASSTFRNAFQEYKRLYHPNIFCLVEPRISGAAADEVCGLLGYENWICIEAVGFSGGIWLLWSEDGFRIELVVIDPQFITVAINFSTGEKWLFSVVYASPDIYLRRKLWQSLSGENSLSISKWIVAGDFNSVVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVGSGFTWQRSGENVPYQAARLDRCFVSTDWRLD >Manes.06G097100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23124188:23130597:-1 gene:Manes.06G097100.v8.1 transcript:Manes.06G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRNASDDDLKKAYKRLAMIWHPDKNPSAKRVEAEAKFKQISEAFDVLSDPQKRQIYDMYGEEALKSGLFPPPPTSYSSSASSSKHYYQRQHPNPNFRFKPRDAEDIYEELFGSESGGGGGGGGAGGGGNNHGRGYFRNNTHYFGNGAEAKKAAAIENALPCSLEDLYKGAKKKMRISRTVYDASGKIQTLEEILTIDIKPGWKKGTKITFPEKGNQEPGIIPADIIFVVDEKPHPIYKRDGNDLVVDEEITLLEALTGKTLDLTTLDGRNLMIPLTDIVRPGAEVVVPNEGMPISREPGKKGNLRIQIDVRYPSRLTSEQKSELRRVLGGVS >Manes.06G097100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23124188:23130597:-1 gene:Manes.06G097100.v8.1 transcript:Manes.06G097100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRNASDDDLKKAYKRLAMIWHPDKNPSAKRVEAEAKFKQISEAFDVLSDPQKRQIYDMYGEEALKSGLFPPPPTSYSSSASSSKHYYQRQHPNPNFRFKPRDAEDIYEELFGSESGGGGGGGGAGGGGNNHGRGYFRNNTHYFGNGAEAKKAAAIENALPCSLEDLYKGAKKKMRISRTVYDASGKIQTLEEILTIDIKPGWKKGTKITFPEKGNQEPGIIPADIIFVVDEKPHPIYKRDGNDLVVDEEITLLEALTDIVRPGAEVVVPNEGMPISREPGKKGNLRIQIDVRYPSRLTSEQKSELRRVLGGVS >Manes.11G050100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6025107:6033057:-1 gene:Manes.11G050100.v8.1 transcript:Manes.11G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADLYRAGSSFRRGSSLTRRNNGLEIFAQSFREDDDEESLKWAALEKLPTYDRLRKGIITTMTGGASEIDVHNIGLQERKNLLERLVRVADEDNEKFLLKLKNRIDRVGIDIPTIEVRFEHLTVEAEAYEGSRALPTFFNYFINMLEGILNYFHILSSRKKHLHILKDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPALKVSGWVTYNGHHLHEFIPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRYDLLAELSRREIAANIKPDSDIDVFMKAAATEGQEANVITDYILKVLGLEVCADTMVGDEMLRGISGGQRKRVTTGEMLVGPALALFMDEISTGLDSSTTYQIVNSLRQNVQILKGTALISLLQPAPETYDLFDDIVLLSDGLIVYQGPREQVLRFFEFMGFQCPIRKGVADFLQEVTSRKDQMQYWARKDEPYRFITAKEFSEAYESFQVGRRLVEELATPFEKANSHPAALTTKKYGVNKKELFKACFSRELLLMKRNSFFYVFKFSQLTILALITMALFFRTEMHRDSVIDGGIYMGALFFIMLMVLFNGMAEIPMTIAKLPVFYKQRDLRFYPAWAYALPSWILKIPITFIEVGISVFLTYYVIGFDPNVGRLFRQYLLLLLVNQMASGLFRSIAAVARNMIVANTFGSFILLLLFVLGGFILSRDHIKKWWLWAYWTSPTMYGQNAIVVNEFLGHSWSHVLPNSTEPLGVQVLKSRGFFTEAYWYWLGLGALCGFTILFNFLYTIALTYLNEFSKPQAVTSKEPQDNGTARMEDGVHLSYLGSSSNQQTNTAVIGDEIIRGKSPKSSQNNHRKGMVLPFEPYSITFDEIVYSVDMPREMKNEGVCEDKLVLLNGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKISGYPKKQETFARISGYCEQNDIHSPHITVYESLVFSAWLRLSHEVDNETREMFVEEVMELVELNTLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGHEIYVGPLGRYSCHLIKYFEGIEGVNKIKDGYNPATWMLEVTTAAQEMALGVDFADIYRNSELYGRNKALIKDLSKSAPGSKDLHFPNKYSESFFGQFSACLWKQHLSYWRNPPYTAIRFLFTAFIGLVFGTMFWDLGPKLTKQQDLFNAMGSMYAAVQFLGVQNASSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVIELPYIFMQAAVYGVTVYAMIGFEWNASKFFWYLYFTYFTLLYFTFYGMMAVGVSPNHHISSIISSAFYGIWNLFSGFIIPRTRMPAWCSWYYWLNPVSWTLYGLVASQFGDIKEKLETGETVELFTRDYFGFRHDFLGLVAAVVFGFVILFAFIFAVSIKMFNFQRR >Manes.04G017300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2167201:2169167:-1 gene:Manes.04G017300.v8.1 transcript:Manes.04G017300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHMITRSKKQMVTRSKKITKNPTPSKKSIPKATPRKGRKKSKIPSRNQPLLPTDIISEILSWLPVRCLIKCQRVCKEWFELMQEGNFIVKNMVRNREYNIHNRESYRENGEAFKLIYTFDGLIMEGNRDFNKFRIRNLALRRVFDLPTPPHKSEILIPLIPSLVHHGYYKVISAYSCKNETNQHGGFEILTLGRVEKPSWKALDTNFFRNFNRENDILWSMGIQGIAYFVRTSKDGSENYEVVSFEMENESFTSCDLARSSFADNSKACVLRWEDQLALAEISNNELQVLVLKDYKKGKWAEKKTVIPLKFLKKEPYMIMEDLEPYRAIDGVVWFRGSNYLAYNIADEEIVCHVSKRQARIFRQSLVTFKGMRPG >Manes.04G017300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2167200:2169167:-1 gene:Manes.04G017300.v8.1 transcript:Manes.04G017300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHMITRSKKQMVTRSKKITKNPTPSKKSIPKATPRKGRKKSKIPSRNQPLLPTDIISEILSWLPVRCLIKCQRVCKEWFELMQEGNFIVKNMVRNREYNIHNRESYRENGEAFKLIYTFDGLIMEGNRDFNKFRIRNLALRRVFDLPTPPHKSEILIPLIPSLVHHGYYKVISAYSCKNETNQHGGFEILTLGRVEKPSWKALDTNFFRNFNRENDILWSMGIQGIAYFVRTSKDGSENYEVVSFEMENESFTSCDLARSSFADNSKACVLRWEDQLALAEISNNELQVLVLKDYKKGKWAEKKTVIPLKFLKKEPYMIMEDLEPYRAIDGVVWFRGSNYLAYNIADEEIVCHVSKRQARIFRQSLVTFKGMRPG >Manes.04G017300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2167056:2169167:-1 gene:Manes.04G017300.v8.1 transcript:Manes.04G017300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHMITRSKKQMVTRSKKITKNPTPSKKSIPKATPRKGRKKSKIPSRNQPLLPTDIISEILSWLPVRCLIKCQRVCKEWFELMQEGNFIVKNMVRNREYNIHNRESYRENGEAFKLIYTFDGLIMEGNRDFNKFRIRNLALRRVFDLPTPPHKSEILIPLIPSLVHHGYYKVISAYSCKNETNQHGGFEILTLGRVEKPSWKALDTNFFRNFNRENDILWSMGIQGIAYFVRTSKDGSENYEVVSFEMENESFTSCDLARSSFADNSKACVLRWEDQLALAEISNNELQVLVLKDYKKGKWAEKKTVIPLKFLKKEPYMIMEDLEPYRAIDGVVWFRGSNYLAYNIADEEIVCHVSKRQARIFRQSLVTFKGMRPG >Manes.17G022547.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13690378:13692402:-1 gene:Manes.17G022547.v8.1 transcript:Manes.17G022547.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSTTRIDALERRVENIVALLSDYATQEGMVLEFDSAYKRIEALEKENERRLAKRAKSPRGEGEPIELEATQNPFQAKDTWWGDLESKMTEWEAVASQVGGIMESLKSISDAHTSLVGIVEEIRDGAKETIDTINDNVKEMMNTFQGKLEELDARVNTIMKVTGSNDMKTCGAERTKVLEPKAFGGARDAKEVDNFLFDMELFFRVTKRESEEDKLLILPLYLVDDAKLWWRNKIVRAGLGANQVTSWDMFAKELRAQFCPENQTGSVRDYVRDFSRLMLNIENMLEEDRFYNFMKGLKPWAKNELRRQKVTDVDTAIAAAEGLEDYSNSSAKRKLNSTMGQDPHPNKWVKAQSGGANRLNHTTGGVERRNWSGNVNSKRYFQGRELSSTGSQGSRPQGSSSFSLLRLKVKWFLCLGPHRMVDCPHKGALNSLRTLQEEENGNEEEEHKEVDNDQSMVGALRFLGAMEKQRASKSSERGLMYVDLTINGKLARALVDTGATNNFIADSLFSRVAQSVACAMGPWRGEVNFTVTPLDDFDVVIEMKFLKTARAVPIPSADCLLLMRDSPCVVPTTFSLICEKKLISALQFKKGVRRKEPTYVVVAIMKEEEEVSTHPLEIKDVMLSVTTRKSDRYRR >Manes.11G065500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9127716:9131631:1 gene:Manes.11G065500.v8.1 transcript:Manes.11G065500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADTSSLTGHGQTVCVTGAGGFIASWIVKLLLERGYTVKGTVRNPDDPKNGHLRELEGAKERLTLCKADLLDYESLREAIMGCDGVFHTASPVTDDPEQMVEPAVNGTKNVIIAAAEAKVRRVVFTSSIGAVYMDPNRDPDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWEVAQEKGVDLVAVNPVLVLGPLLQSTVNASIIHILKYLTGSAKTYANSVQAYVHVKDVALAHILVYETPSASGRYLCAESVLHRGELAGEGSTSNPKTARRLH >Manes.11G065500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9127716:9131631:1 gene:Manes.11G065500.v8.1 transcript:Manes.11G065500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADTSSLTGHGQTVCVTGAGGFIASWIVKLLLERGYTVKGTVRNPDDPKNGHLRELEGAKERLTLCKADLLDYESLREAIMGCDGVFHTASPVTDDPEQMVEPAVNGTKNVIIAAAEAKVRRVVFTSSIGAVYMDPNRDPDVVVDESCWSDLEFCKNTKNWYCYGKAVAEQAAWEVAQEKGVDLVAVNPVLVLGPLLQSTVNASIIHILKYLTGSAKTYANSVQAYVHVKDVALAHILVYETPSASGRYLCAESVLHRGEVVEILAKFFPEYPIPTRCSDEKNPRAKPYKFSNQKLKELGLQFTSVKQCLYETVKSLQERGQLPIPKQPEDSIRIQS >Manes.18G005600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:917299:919668:-1 gene:Manes.18G005600.v8.1 transcript:Manes.18G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIISRESVKPSSPTPCHLRTYMLSLLDQLMPSAHVPIIFFYRPIDQREISATLQRLKQSLSDALTFFYPFAGKVKEELYIDCNDDGVYYTETKVSCCLSEIIGQPKSEKILKLLPEDFYLESSGNPGIGIPVAMIQVSVLKCGGIVIGTKTSHKIIDGPTSTAFLKAWAAIARGSGEAVVRPSFTAPSIFPQNLALPKDKMLAIWPSLIKFGKGVMKRFVFDASAVATLKARAASSTCVQHPTRVEAVSAFIWQCTMLASKARIGSQRPSVLSHIVNLRGKKSTHLPEYSVGNLLWMSIAKCSEETETELHLLLGLLRESILQIDGNFVQSLSGEEGFSKVCECLQEFSEVYSNAGTDYLTCSSLCKVGIYETDFGWGKPIWVSPGGINGPVFQNLVFLNETSAGDGIEAWVTLDEQDMVILERETQILKFASLDPSPLLLCD >Manes.07G045800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5191302:5194913:-1 gene:Manes.07G045800.v8.1 transcript:Manes.07G045800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIQILLNLCIVIFSFPITLNASTSSLNFNFTSFSSSDPQIFTERDANVTEGEIELTLIYPSKETSARYGRATYAEPLHLWDKASGNLTNFTTQFSFLIDSRGSHKYGDGITFFMAPNGSRMPANVKGSGGIGLARSNSDAVNPKVNKFVAVEFDTYQNDWDPPYDHIGFNVNSMVSLVNRTWRSGARTGSKTDVRIRYDSNKKSLRVNFSFLDRDNETIKYGYKSADIDMAEYLPEWVTFGFSCSTGNPNQSNRIISWDFTSSSEIVENGNREVPSDPVVRKERKEKTALVIGLISGACAFVVIVGFITLCLRMKKKKVKKPDDFLISMSFGDDFRNGTSPRSFAYQELANATSNFSETMKLGAGGFGAVYRGFLKDLNSFIAVKRVSKISEQGIKEYRSEVKVISRLRHKNLVKLIGWCHEKELLLVYEFMPNGSLESHLFKVNKSLLTWDLRFKIAQGLASALLYLHQEGDQCVLHRDIKSSNVLLDSSFNSKLGDFGLARLVDHRKGSQTTIPAGTAGYMAPECLTTGKVSKESDVYSFGVVALEIACGRRAVELKLEQGQIKIVEFVWKLYGMGKLLEAADPKLCRDFDEQQMERLMKIGLWCAHPDPILRPSTWEVANVLLNFEAVLPTLPSEMPPLAYHAFPNLSSAYSYTSSDGSRISNGQQSA >Manes.07G045800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5189829:5194913:-1 gene:Manes.07G045800.v8.1 transcript:Manes.07G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIQILLNLCIVIFSFPITLNASTSSLNFNFTSFSSSDPQIFTERDANVTEGEIELTLIYPSKETSARYGRATYAEPLHLWDKASGNLTNFTTQFSFLIDSRGSHKYGDGITFFMAPNGSRMPANVKGSGGIGLARSNSDAVNPKVNKFVAVEFDTYQNDWDPPYDHIGFNVNSMVSLVNRTWRSGARTGSKTDVRIRYDSNKKSLRVNFSFLDRDNETIKYGYKSADIDMAEYLPEWVTFGFSCSTGNPNQSNRIISWDFTSSSEIVENGNREVPSDPVVRKERKEKTALVIGLISGACAFVVIVGFITLCLRMKKKKVKKPDDFLISMSFGDDFRNGTSPRSFAYQELANATSNFSETMKLGAGGFGAVYRGFLKDLNSFIAVKRVSKISEQGIKEYRSEVKVISRLRHKNLVKLIGWCHEKELLLVYEFMPNGSLESHLFKVNKSLLTWDLRFKIAQGLASALLYLHQEGDQCVLHRDIKSSNVLLDSSFNSKLGDFGLARLVDHRKGSQTTIPAGTAGYMAPECLTTGKVSKESDVYSFGVVALEIACGRRAVELKLEQGQIKIVEFVWKLYGMGKLLEAADPKLCRDFDEQQMERLMKIGLWCAHPDPILRPSTWEVANVLLNFEAVLPTLPSEMPPLAYHAFPNLSSAYSYTSSDGSRISNGQQSA >Manes.07G045800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5191302:5194913:-1 gene:Manes.07G045800.v8.1 transcript:Manes.07G045800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIQILLNLCIVIFSFPITLNASTSSLNFNFTSFSSSDPQIFTERDANVTEGEIELTLIYPSKETSARYGRATYAEPLHLWDKASGNLTNFTTQFSFLIDSRGSHKYGDGITFFMAPNGSRMPANVKGSGGIGLARSNSDAVNPKVNKFVAVEFDTYQNDWDPPYDHIGFNVNSMVSLVNRTWRSGARTGSKTDVRIRYDSNKKSLRVNFSFLDRDNETIKYGYKSADIDMAEYLPEWVTFGFSCSTGNPNQSNRIISWDFTSSSEIVENGNREVPSDPVVRKERKEKTALVIGLISGACAFVVIVGFITLCLRMKKKKVKKPDDFLISMSFGDDFRNGTSPRSFAYQELANATSNFSETMKLGAGGFGAVYRGFLKDLNSFIAVKRVSKISEQGIKEYRSEVKVISRLRHKNLVKLIGWCHEKELLLVYEFMPNGSLESHLFKVNKSLLTWDLRFKIAQGLASALLYLHQEGDQCVLHRDIKSSNVLLDSSFNSKLGDFGLARLVDHRKGSQTTIPAGTAGYMAPECLTTGKVSKESDVYSFGVVALEIACGRRAVELKLEQGQIKIVEFVWKLYGMGKLLEAADPKLCRDFDEQQMERLMKIGLWCAHPDPILRPSTWEVANVLLNFEAVLPTLPSEMPPLAYHAFPNLSSAYSYTSSDGSRISNGQQSA >Manes.07G045800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5191302:5194913:-1 gene:Manes.07G045800.v8.1 transcript:Manes.07G045800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIQILLNLCIVIFSFPITLNASTSSLNFNFTSFSSSDPQIFTERDANVTEGEIELTLIYPSKETSARYGRATYAEPLHLWDKASGNLTNFTTQFSFLIDSRGSHKYGDGITFFMAPNGSRMPANVKGSGGIGLARSNSDAVNPKVNKFVAVEFDTYQNDWDPPYDHIGFNVNSMVSLVNRTWRSGARTGSKTDVRIRYDSNKKSLRVNFSFLDRDNETIKYGYKSADIDMAEYLPEWVTFGFSCSTGNPNQSNRIISWDFTSSSEIVENGNREVPSDPVVRKERKEKTALVIGLISGACAFVVIVGFITLCLRMKKKKVKKPDDFLISMSFGDDFRNGTSPRSFAYQELANATSNFSETMKLGAGGFGAVYRGFLKDLNSFIAVKRVSKISEQGIKEYRSEVKVISRLRHKNLVKLIGWCHEKELLLVYEFMPNGSLESHLFKVNKSLLTWDLRFKIAQGLASALLYLHQEGDQCVLHRDIKSSNVLLDSSFNSKLGDFGLARLVDHRKGSQTTIPAGTAGYMAPECLTTGKVSKESDVYSFGVVALEIACGRRAVELKLEQGQIKIVEFVWKLYGMGKLLEAADPKLCRDFDEQQMERLMKIGLWCAHPDPILRPSTWEVANVLLNFEAVLPTLPSEMPPLAYHAFPNLSSAYSYTSSDGSRISNGQQSA >Manes.13G146061.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36112563:36113066:1 gene:Manes.13G146061.v8.1 transcript:Manes.13G146061.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEDEASTTQQPSSTYYLFLTIMSKRRTWVCLFVLVYAILLSTSWNFLKSVLSWCKEQSQVTTAASCGWPALYASVLLGAVFGFLSMVAALAVAVPATLVIWITVLVLLTFFGKPRRALVIEGRKITREIFGCVLKILLKEGNVVAAVCAVLGYFALVRRNYEGN >Manes.06G032600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8644806:8646440:-1 gene:Manes.06G032600.v8.1 transcript:Manes.06G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTAEKRYGLLLAARDSDYVKKVYGGYFNVFVAAFGEEGERWDLFRVVEGEFPDMNELHKYDGFVVSGSPYDAYGNDFWILKLCFLLQTLDAMEIKVLGICFGHQVLCRALGGKVGKAYTGWDIGVRKVKIVKDLSPSSYFSKISEIPASLSIIECHQDEVWEVPVGAEVIAFSDKTGVEMFTIGDHVLGIQGHPEYTKDILYNLIDRLLNNNCIQVEFAENAKFGLEKAEPDRKCWERICRNFLKSR >Manes.02G036200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3008568:3015131:-1 gene:Manes.02G036200.v8.1 transcript:Manes.02G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASGLFTPSAPSLRRRRIRPSIGIIGSGFFSSDGISKRVFCSATIEGEDKLSSSQSRIPRLISKGCKLVGSGSAVPTLQVSNDDLAKLVDTSDEWISVRTGIRNRRVLAGKDSLISLATEASRKALEMAKVEPDDVDLVLMCSSTPEDLFGSAPQIQKALGCKRNPLAYDITAACSGFILGLVSAACHIRGGGFQNVLVIGADSLSRYVDWTDRGTCILFGDAAGAVLVQACDSEDDGLFSFDLHSDGDGQRHLNAAIKENEVDHALGSNGSVLGFPPRRSSYSCIQMNGKEVFRFAVRCVPQSIESALEKAGLTGSSIDWLLLHQANQRILDAVATRLQVPPERVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIASAGFGAGLTWGSAIIRWG >Manes.18G096700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8936831:8956097:-1 gene:Manes.18G096700.v8.1 transcript:Manes.18G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPPPSLQHFPANFSSNFSSKSPKQPPFRSCPQPLRAVNRRPSDDVDSFTKKSGYIFELASSEADSLIEYDIKRIAAICRRKPLILLRRLFQIGTTFGRWFGARYIDSLAEKSDQMFEIRAAELRKLLLELGPAYVKIAQAVSSRADLIPPSYLDELSLLQDRIAPFSSEVALNTIEQELGLPVDELFSEISPEPTAAASLGQVYQARLRHSGQLVAIKVQRPGVQAAIALDILILRFIAGIVKRAGKFNSDLQAVVDEWASSLFREMDYVKEANNGLKFRKLYGGIQDVLVPEMHMKLTTQKVLVMEWVEGQKLSEVKDIYLVEVGVYCSFNQLLEYGFYHADPHPGNLLRTSDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDSLAKDFVTLGFLPPTADKEAVTKALTGVLQSAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSPKLKSSLQALLYKEGVFRIDRLESLLSESLRARTERALVKQQIAQTSSKVAIKQVLSFTLTEKGAFVREILLQEVAKGMDALGLATLDSLTSMAITSIPFVAPSSSSSLTEEDMTNLRTLRRLMLLLSGPQQNSSSTMEVNGNNAYKNQDKYLEDILSVFYQFSSVQEILPVLSVIPELPPEMQQQLLLLPADLAGRLISRAAARTIRRMFL >Manes.18G096700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8938882:8956098:-1 gene:Manes.18G096700.v8.1 transcript:Manes.18G096700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPPPSLQHFPANFSSNFSSKSPKQPPFRSCPQPLRAVNRRPSDDVDSFTKKSGYIFELASSEADSLIEYDIKRIAAICRRKPLILLRRLFQIGTTFGRWFGARYIDSLAEKSDQMFEIRAAELRKLLLELGPAYVKIAQAVSSRADLIPPSYLDELSLLQDRIAPFSSEVALNTIEQELGLPVDELFSEISPEPTAAASLGQVYQARLRHSGQLVAIKVQRPGVQAAIALDILILRFIAGIVKRAGKFNSDLQAVVDEWASSLFREMDYVKEANNGLKFRKLYGGIQDVLVPEMHMKLTTQKVLVMEWVEGQKLSEVKDIYLVEVGVYCSFNQLLEYGFYHADPHPGNLLRTSDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDSLAKDFVTLGFLPPTADKEAVTKALTGVLQSAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSPKLKSSLQALLYKEGVFRIDRLESLLSESLRARTERALVKQQIAQTSSKVAIKQVLSFTLTEKGAFVREILLQEVAKKI >Manes.18G096700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8936831:8956097:-1 gene:Manes.18G096700.v8.1 transcript:Manes.18G096700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIRAAELRKLLLELGPAYVKIAQAVSSRADLIPPSYLDELSLLQDRIAPFSSEVALNTIEQELGLPVDELFSEISPEPTAAASLGQVYQARLRHSGQLVAIKVQRPGVQAAIALDILILRFIAGIVKRAGKFNSDLQAVVDEWASSLFREMDYVKEANNGLKFRKLYGGIQDVLVPEMHMKLTTQKVLVMEWVEGQKLSEVKDIYLVEVGVYCSFNQLLEYGFYHADPHPGNLLRTSDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDSLAKDFVTLGFLPPTADKEAVTKALTGVLQSAVAKGVRNISFGDLLGNLGTTMYKFKFQIPSYFSLVIRSLAVLEGIAISFDPNYKVLGSTYPWIARKVLTDSSPKLKSSLQALLYKEGVFRIDRLESLLSESLRARTERALVKQQIAQTSSKVAIKQVLSFTLTEKGAFVREILLQEVAKGMDALGLATLDSLTSMAITSIPFVAPSSSSSLTEEDMTNLRTLRRLMLLLSGPQQNSSSTMEVNGNNAYKNQDKYLEDILSVFYQFSSVQEILPVLSVIPELPPEMQQQLLLLPADLAGRLISRAAARTIRRMFL >Manes.04G074231.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29042654:29044387:-1 gene:Manes.04G074231.v8.1 transcript:Manes.04G074231.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQVLHMNGGVGEKSYASNSNLQKTVISMVKPILEESLSELYCNKFPDCLIMADLGCSSGRNAFLVLSQIIDVMIKAAESHKSKQQPPPSLQAFLNDLPGNDFNTLFRSWPSFYSKLRDEKRSKFGGCFVAGAPGSFYSRLFPDNSLHFVHSSYAIMWLSEAPKLLNEGNICMAKTSNPAVYKSYLEQFRGDFRVFLKFRSEELIPGGRMVLTTMGSIKSDDPLTIWEFVGLKLNDMALEGLIEKEKLDKFNLPYYAATAEEIKRVIEEEESLRLERLEAFKMDWDAYIKKADPELDKKARAAIFASSIRAVGEPILASHFGEAMMDDLFRRFEEDVLHYRDIHNCQYINIVISLSKKV >Manes.02G061850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4767273:4767788:1 gene:Manes.02G061850.v8.1 transcript:Manes.02G061850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAKLTVKHAVKAITRQEKKDRVSICRMILFTVQSTQPAPTLSEETEEQIGLVRFLVLERKLFFH >Manes.01G197200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37114652:37121246:-1 gene:Manes.01G197200.v8.1 transcript:Manes.01G197200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAAPPPKQEELQPHPAKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGGNEEKAKMIQTLQFVAGLNTLFQTMFGTCLPAVIGGSFTYLPTTISIVLAGRYSDILSPQEKFEKIMRGIQGSLIVASTLQIVVGFSGLWRNVARFLSPLAAVPLVALSGFGLYEFGFPLLAKCVEIGLPQIIFLVIFSQYLPHLIPGERAVFDRFAVIFSVIIVWIYAHLLTVGGAYKNSGPKTQISCRTDRAGIISGAPWIRVPYPFQWGAPTFDAGEAFAMMTASFVSLVESTGVFIAVSRYASATPLPPSILSRGVGWQGVGILFSGIFGTGSGSAVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDMINVPFSSEPFVAGILAFFLDITLHQKDNATKKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Manes.01G197200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37114652:37121246:-1 gene:Manes.01G197200.v8.1 transcript:Manes.01G197200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAAPPPKQEELQPHPAKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGGNEEKAKMIQTLQFVAGLNTLFQTMFGTCLPAVIGGSFTYLPTTISIVLAGRYSDILSPQEKFEKIMRGIQGSLIVASTLQIVVGFSGLWRNVARFLSPLAAVPLVALSGFGLYEFGFPLLAKCVEIGLPQIIFLVIFSQYLPHLIPGERAVFDRFAVIFSVIIVWIYAHLLTVGGAYKNSGPKTQISCRTDRAGIISGAPWIRVPYPFQWGAPTFDAGEAFAMMTASFVSLVESTGVFIAVSRYASATPLPPSILSRGVGWQGVGILFSGIFGTGSGSAVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDMINVPFSSEPFVAGILAFFLDITLHQKDNATKKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Manes.01G197200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37114389:37121246:-1 gene:Manes.01G197200.v8.1 transcript:Manes.01G197200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAAPPPKQEELQPHPAKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGGNEEKAKMIQTLQFVAGLNTLFQTMFGTCLPAVIGGSFTYLPTTISIVLAGRYSDILSPQEKFEKIMRGIQGSLIVASTLQIVVGFSGLWRNVARFLSPLAAVPLVALSGFGLYEFGFPLLAKCVEIGLPQIIFLVIFSQYLPHLIPGERAVFDRFAVIFSVIIVWIYAHLLTVGGAYKNSGPKTQISCRTDRAGIISGAPWIRVPYPFQWGAPTFDAGEAFAMMTASFVSLVESTGVFIAVSRYASATPLPPSILSRGVGWQGVGILFSGIFGTGSGSAVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDMINVPFSSEPFVAGILAFFLDITLHQKDNATKKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Manes.01G197200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37114389:37121246:-1 gene:Manes.01G197200.v8.1 transcript:Manes.01G197200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGAAPPPKQEELQPHPAKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGGNEEKAKMIQTLQFVAGLNTLFQTMFGTCLPAVIGGSFTYLPTTISIVLAGRYSDILSPQEKFEKIMRGIQGSLIVASTLQIVVGFSGLWRNVARFLSPLAAVPLVALSGFGLYEFGFPLLAKCVEIGLPQIIFLVIFSQYLPHLIPGERAVFDRFAVIFSVIIVWIYAHLLTVGGAYKNSGPKTQISCRTDRAGIISGAPWIRVPYPFQWGAPTFDAGEAFAMMTASFVSLVESTGVFIAVSRYASATPLPPSILSRGVGWQGVGILFSGIFGTGSGSAVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDMINVPFSSEPFVAGILAFFLDITLHQKDNATKKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Manes.12G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2014851:2017981:-1 gene:Manes.12G023600.v8.1 transcript:Manes.12G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTKPLLSPSEDQNQNHSHHHEDLDSLLSLPRSTTSTISFVPDADDISPINGVSDFFREFYVESKKLWYLAGPAIFTSICQYSLGAITQVFSGQVGTLALAAVSVENSVIAGFSFGAMLGMGSALETLCGQAFGAGQLDMLGIYLQRSWIILCTTASLLSLIYIFAAQLLKLIGQTEAISKTAGVFAIWMIPQLFAYAMNFPMAKFLQAQSKIMVMALISAAAFVLHTLFSWLLMLKLGWGLVGAAVVLNASWWFIDLAQFFYIISGTCGRTWNGFSWKAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKNAEVAVDALSICMNILGWTVMVALGMNAAISVRVSNELGAAHPRTAKFSLVVAVISSFIIGLILSLILILTRNIYPSLFSNDSQVQELVDELTPLLALCIVINNVQPVLSGVAIGAGWQAAVAYVNIACYYVVGIPLGLILGYKLGMGVRGIWYGMMSGTALQTLALFFMIYRTNWNKEASIAGDRIKRWGGHVDSEEKNSGKLSLSSNRREMDSDSLVEL >Manes.06G150100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27830373:27835341:1 gene:Manes.06G150100.v8.1 transcript:Manes.06G150100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSERRNRLALGNADWTPLELSAVYLSPSSKSNAIAKDKLHLLSSSVVYCDLSERMWLFSRKGPSGFSSSSTAEEVTRGIDASGLTAIVTGASSGIGTETSRVLALRGVHVIMAVRNMAAGREVKEAIVKEIPSAKVDAMELDLSSMTSVRKFAKDFNASGFPLNILINNAGVMATPFMLSKDNIELQFATNHLGHFLLTNLLLDKMKKTASESKREGRIVNVSSEAHRFPYPEGIRFDKINDQSGYSSFRAYGQSKLANVLHANELARHLKEDAINITANSLHPGAILTNLFRHMRVVNGVVDVLGKLVLKNVQQGAATTCYVALHPQVKGTSGKYFSDSNLAKASDLGKDVGLAKKLWDFSMKFVD >Manes.04G080900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28223168:28223449:-1 gene:Manes.04G080900.v8.1 transcript:Manes.04G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPRIVSAKQIVRRILLSQGSSADVPKGHFAVYVGESQKKRFTVPVSYLKHPSFQNLLSQAEEEFGFNHPMGGLTIPCSEQVFTDVIFSL >Manes.17G123200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32864157:32870861:1 gene:Manes.17G123200.v8.1 transcript:Manes.17G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGVLHDSPIKRDSWKTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLVKYVFIVLRADDNGEGGTFALYSLLCRHARVSSLPNCQLADEELSEYKKDGSVTVSSDKRVHGSSLKSTLEKCRVLQRVLLVLALIGTCMVFGDGVLTPAISVFSAVSGLELSMSKEQHQYVELPVVCAILVFLFALQHYGTHRVGFLFAPVVITWLLCISAIGVYNIWQWNPHVYQALSPYYMYKFLKKTQKGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFAVYPSLILAYMGQAAYLSKHHTIESDYRIGFYVSVPEKIRWPVLAIAILAAVVGSQAIITGTFSIIKQCSALGCFPKVKIVHTSSKIHGQIYIPEVNWILMLLCLAVTIGFRNTKHMANAAGLAVITVMLVTTCLMSLVMVLCWHKNVFLAICFIFFFGSIEALYFSASLIKFLEGAWVTVALSFIFLVVMYVWHYGTLKKYEADLQNKVSINWLLSVGPTLGIVRVPGIGLIRTELVTGIPAIFFHFVTNLPAFHQVVVFLCIKSVPVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDVHKDDVEFEKDLVCSIAEFIRSEKAEYNIGIEDFEEDGKLTVVGTLSSNFQGVKLCEDEAYSSEMIGSSEMREIESPKRRRKRVRFVVPESPQIDMDVQEELQELMEARETGMAFILGHSYVRTKRGSSWMKKIVINYGYDFLRKNSRGPTYALSMPHASTLEVGMVYFV >Manes.10G059800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8243095:8253216:-1 gene:Manes.10G059800.v8.1 transcript:Manes.10G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSAAMWRSRSPEDCISQANEESVRETTSKEPESPLPVQNSPPEQIVMPKPEKPEKPEQPSKPKKAPQMKRVSSAGLKVGSVLLTKTGNFKEFYSLGRKLGQGQFGTTFLCVDKATGKEYACKSIAKRKLLTDEDVEDARREIQIMHHMAGHPNVISIKEAYEDAMAVHVVMELCAGGELFDRIVQRGHYSERQAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVNQQEDSLLKTIDFGLSIFFKPGEKFSDVVGSPYYVAPEVLKKQYGPEADVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFSSEPWPSISDGAKDLVRRMLVRDPRRRITAHEVLCHPWVQEDGVAPDKPLDSAVLSRMKQFSAMNKLKKMALRIIAECLSEEEIAGLKEMFKMIDTDDSGTISFEELKAGLKRVGANLNESEIYDLMQAADVDNSGTIDYGEFLAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQQACEEFGLEDVRLEEMIREVDQDNDGLIDYNEFVAMMQKGNVGGANRKGLENSFSIGFRDALKL >Manes.17G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20871072:20876858:1 gene:Manes.17G038200.v8.1 transcript:Manes.17G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDELQEPISQSPSFDPPAHSEIDSRLENVLNDDKLPYFSRLRLASWIELKQLFHLAAPAVFVYMINNLMSLSTRAFAGHLGNLELAAASLGNSGVQLFAYGLMLGMGSAVETLCGQAYGAQKYAMLGTYLQRATVVLTLTGIPMTVIYLLSKPILLLLGEPPKMASAAAVFVYGLIPQIFAYAVNFPIQKFLQAQSIVNPSAIISAATLVLHLLLSWIAVFQLGMGLLGASLILSLSWWVIVAAQFIYIVKTSRCKQTWNGFTLQAFSGLWEFVKLSIASAVMLCLETWYFQILVLIAGLLDDPEIALDSLAVCMSVSALLFMVSVGFNAAASVRVSNELGAGNPKSAAFSVLMVNLVSLVISLVEAVIVLALRNVISYAFTSGETVAAAVSDLCPLLAITLVLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPVGCLLGFKFDLGAKGIWAGMMGGTMMQTIILLWVTFRTDWNKEVEKARLRLDEWEDKKEPLLRTSK >Manes.09G127500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33032159:33038485:1 gene:Manes.09G127500.v8.1 transcript:Manes.09G127500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPKSSHRPTICYRPIRPSDLEILERLHANVFPIRYESEFFQNVVNARDIVSWAAVDRSRPNDQSDELIGFVTARIVPAKESEIGDLLRYDHSKPDQTLVYILTLGVVEHYRNLGIATALIREVIKYSSSIPTCRAVYLHVISYNNPAIHLYKKMAFKCVRRLQGFYLINGQHYDSYLFVYYVNGGCSPCSPLELVTAVVSYMRSGLKTVAARLRKNEEKPKWPKCKETHSLISIQNKRNLTAECTSYECV >Manes.09G127500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33032154:33041400:1 gene:Manes.09G127500.v8.1 transcript:Manes.09G127500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPKSSHRPTICYRPIRPSDLEILERLHANVFPIRYESEFFQNVVNARDIVSWAAVDRSRPNDQSDELIGFVTARIVPAKESEIGDLLRYDHSKPDQTLVYILTLGVVEHYRNLGIATALIREVIKYSSSIPTCRAVYLHVISYNNPAIHLYKKMAFKCVRRLQGFYLINGQHYDSYLFVYYVNGGCSPCSPLELVTAVVSYMRSGLKTVAARLRKNEEKPKWPKCKETHSLISIQNKRNLTAECTSYECANLQPQVSEWWKVVGDYANKGPINVKETKQVTDTNYSTGKNVTRDRLKPLVEKATADDKEAIPVIFTAKDVNVQGLSAGKCSEHGVLENKPYLIVGNPENECPGACAWPFHKSDKGPIGVILNPPNGNVGADTMIIAFAEGLVDVPIEYRVFPRARRRSD >Manes.03G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5189471:5193385:-1 gene:Manes.03G053700.v8.1 transcript:Manes.03G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESSRGVSEIQPSGYVRGGIACVPTPAIFGSPAVRRMVDKEEEDKGRPEINQCSSSASSTTSSIGKNSDLSGRDSSDGENSEENEVQSAYKGTLDSMDALEEALPMRRGISVFYNGKSKSFTSLADASYSSCIKDIAKPDNAYSRRRRNLLALSHVLDKNRSLPHRSNGGGISKRPISSSRSTLALAVAMSSSESTSSNSEDSTSSSNSKSPHLPPLHPRPRTSHNNLASLTSLQRKCPPWRSFSVADLEHCASNSTTTFDKTDH >Manes.18G119040.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12512201:12516294:-1 gene:Manes.18G119040.v8.1 transcript:Manes.18G119040.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPHFVLKGLSLSQFQKFIPEKWKQASKEVILQNNQLVLGKCRTGNEPMIDNLINSLREFACQGHLLKAFKLFSLIQQQASCTTTNDTILHSISSLLLSCVNLKALPQGKQLHALVISLGFEQHPILVPKLVNFYSKFDLLIDAHIITENSNILHPFPWNLLISSYVRNGLYDEALSAYKKMISKGIRPDNFTYPSVLKACGEKLDLAYGREVHESIKVSCHIWSLFVHNSLVSMYAKTGELEIARCLFDKMPERDAVSWNAMISGYASKGMWKEAFELFEQMQIAGVELNIVIWNTIAGGCLHSGNFRGALELLSQMRSCGICLDSVALIIGLGACSHIGAIRLGREIHGYAIRSFCGGADNVRNALITMYSRCKDLRHAYILFQSVKTKSLITWNSMLSGYAHMDQFEEASLLFRELFLSGIKPNYVSIASILPLCARAASLQHGKEFHCYILRHAEFKDYLLLWNALVDMYARCGKVLEAKRLFDSMNRRDEVTYTSLIAGFGIQGEGRAALKFFDEMNRNNIKPDHVTMVAVLSACSHSGLVTEGKMLFQKMSSEYGIVPCLQHFSCMVDLYGRAGLLHKAKEMITKMPYRPTSAMWATLLGACRIHGNTDIGEWAAEKLLEMRPENSGYYVLIANMYAAAGRWNKLAKVRTYMRDLGVRKAPGCTWVDVGSELSPFLVGDTSKPNADEFYPLLEGLTNLTKDVDYDARESFGSVDVLEEIG >Manes.18G119040.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12512067:12516282:-1 gene:Manes.18G119040.v8.1 transcript:Manes.18G119040.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPHFVLKGLSLSQFQKFIPEKWKQASKEVILQNNQLVLGKCRTGNEPMIDNLINSLREFACQGHLLKAFKLFSLIQQQASCTTTNDTILHSISSLLLSCVNLKALPQGKQLHALVISLGFEQHPILVPKLVNFYSKFDLLIDAHIITENSNILHPFPWNLLISSYVRNGLYDEALSAYKKMISKGIRPDNFTYPSVLKACGEKLDLAYGREVHESIKVSCHIWSLFVHNSLVSMYAKTGELEIARCLFDKMPERDAVSWNAMISGYASKGMWKEAFELFEQMQIAGVELNIVIWNTIAGGCLHSGNFRGALELLSQMRSCGICLDSVALIIGLGACSHIGAIRLGREIHGYAIRSFCGGADNVRNALITMYSRCKDLRHAYILFQSVKTKSLITWNSMLSGYAHMDQFEEASLLFRELFLSGIKPNYVSIASILPLCARAASLQHGKEFHCYILRHAEFKDYLLLWNALVDMYARCGKVLEAKRLFDSMNRRDEVTYTSLIAGFGIQGEGRAALKFFDEMNRNNIKPDHVTMVAVLSACSHSGLVTEGKMLFQKMSSEYGIVPCLQHFSCMVDLYGRAGLLHKAKEMITKMPYRPTSAMWATLLGACRIHGNTDIGEWAAEKLLEMRPENSGYYVLIANMYAAAGRWNKLAKVRTYMRDLGVRKAPGCTWVDVGSELSPFLVGDTSKPNADEFYPLLEGLTNLTKDVDYDARESFGSVDVLEEIG >Manes.18G119040.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12512201:12516261:-1 gene:Manes.18G119040.v8.1 transcript:Manes.18G119040.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPHFVLKGLSLSQFQKFIPEKWKQASKEVILQNNQLVLGKCRTGNEPMIDNLINSLREFACQGHLLKAFKLFSLIQQQASCTTTNDTILHSISSLLLSCVNLKALPQGKQLHALVISLGFEQHPILVPKLVNFYSKFDLLIDAHIITENSNILHPFPWNLLISSYVRNGLYDEALSAYKKMISKGIRPDNFTYPSVLKACGEKLDLAYGREVHESIKVSCHIWSLFVHNSLVSMYAKTGELEIARCLFDKMPERDAVSWNAMISGYASKGMWKEAFELFEQMQIAGVELNIVIWNTIAGGCLHSGNFRGALELLSQMRSCGICLDSVALIIGLGACSHIGAIRLGREIHGYAIRSFCGGADNVRNALITMYSRCKDLRHAYILFQSVKTKSLITWNSMLSGYAHMDQFEEASLLFRELFLSGIKPNYVSIASILPLCARAASLQHGKEFHCYILRHAEFKDYLLLWNALVDMYARCGKVLEAKRLFDSMNRRDEVTYTSLIAGFGIQGEGRAALKFFDEMNRNNIKPDHVTMVAVLSACSHSGLVTEGKMLFQKMSSEYGIVPCLQHFSCMVDLYGRAGLLHKAKEMITKMPYRPTSAMWATLLGACRIHGNTDIGEWAAEKLLEMRPENSGYYVLIANMYAAAGRWNKLAKVRTYMRDLGVRKAPGCTWVDVGSELSPFLVGDTSKPNADEFYPLLEGLTNLTKDVDYDARESFGSVDVLEEIG >Manes.18G119040.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12512201:12516279:-1 gene:Manes.18G119040.v8.1 transcript:Manes.18G119040.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPHFVLKGLSLSQFQKFIPEKWKQASKEVILQNNQLVLGKCRTGNEPMIDNLINSLREFACQGHLLKAFKLFSLIQQQASCTTTNDTILHSISSLLLSCVNLKALPQGKQLHALVISLGFEQHPILVPKLVNFYSKFDLLIDAHIITENSNILHPFPWNLLISSYVRNGLYDEALSAYKKMISKGIRPDNFTYPSVLKACGEKLDLAYGREVHESIKVSCHIWSLFVHNSLVSMYAKTGELEIARCLFDKMPERDAVSWNAMISGYASKGMWKEAFELFEQMQIAGVELNIVIWNTIAGGCLHSGNFRGALELLSQMRSCGICLDSVALIIGLGACSHIGAIRLGREIHGYAIRSFCGGADNVRNALITMYSRCKDLRHAYILFQSVKTKSLITWNSMLSGYAHMDQFEEASLLFRELFLSGIKPNYVSIASILPLCARAASLQHGKEFHCYILRHAEFKDYLLLWNALVDMYARCGKVLEAKRLFDSMNRRDEVTYTSLIAGFGIQGEGRAALKFFDEMNRNNIKPDHVTMVAVLSACSHSGLVTEGKMLFQKMSSEYGIVPCLQHFSCMVDLYGRAGLLHKAKEMITKMPYRPTSAMWATLLGACRIHGNTDIGEWAAEKLLEMRPENSGYYVLIANMYAAAGRWNKLAKVRTYMRDLGVRKAPGCTWVDVGSELSPFLVGDTSKPNADEFYPLLEGLTNLTKDVDYDARESFGSVDVLEEIG >Manes.18G119040.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12512201:12516275:-1 gene:Manes.18G119040.v8.1 transcript:Manes.18G119040.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPHFVLKGLSLSQFQKFIPEKWKQASKEVILQNNQLVLGKCRTGNEPMIDNLINSLREFACQGHLLKAFKLFSLIQQQASCTTTNDTILHSISSLLLSCVNLKALPQGKQLHALVISLGFEQHPILVPKLVNFYSKFDLLIDAHIITENSNILHPFPWNLLISSYVRNGLYDEALSAYKKMISKGIRPDNFTYPSVLKACGEKLDLAYGREVHESIKVSCHIWSLFVHNSLVSMYAKTGELEIARCLFDKMPERDAVSWNAMISGYASKGMWKEAFELFEQMQIAGVELNIVIWNTIAGGCLHSGNFRGALELLSQMRSCGICLDSVALIIGLGACSHIGAIRLGREIHGYAIRSFCGGADNVRNALITMYSRCKDLRHAYILFQSVKTKSLITWNSMLSGYAHMDQFEEASLLFRELFLSGIKPNYVSIASILPLCARAASLQHGKEFHCYILRHAEFKDYLLLWNALVDMYARCGKVLEAKRLFDSMNRRDEVTYTSLIAGFGIQGEGRAALKFFDEMNRNNIKPDHVTMVAVLSACSHSGLVTEGKMLFQKMSSEYGIVPCLQHFSCMVDLYGRAGLLHKAKEMITKMPYRPTSAMWATLLGACRIHGNTDIGEWAAEKLLEMRPENSGYYVLIANMYAAAGRWNKLAKVRTYMRDLGVRKAPGCTWVDVGSELSPFLVGDTSKPNADEFYPLLEGLTNLTKDVDYDARESFGSVDVLEEIG >Manes.01G011042.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3709191:3711996:-1 gene:Manes.01G011042.v8.1 transcript:Manes.01G011042.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTADLQGFRPSFPFLDINPTVESMNQFTDSFTSNLDPIQTFNTYMPFSTDYSFTHQALAAAEFPGNLEGKFPSIFRQNKQNIVFPVSHGQQYKGEFLESRKRKAMDVSESSSLNSSSLQVSQIGKGKTSSRRGRRDKAKKEEKKLKEVVHVRARRGEATDSHSLAERVRRGKINEKIRCLQEIVPGCSKTMGMAIMLDEIINYVRSLQNQIEFLSMKLTAASAFYDFNAEADDIEIMQKAKAQEAKEMVRMTRDGYGGLSDDHHQTWSL >Manes.01G011042.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3709191:3711996:-1 gene:Manes.01G011042.v8.1 transcript:Manes.01G011042.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTADLQGFRPSFPFLDINPTVESMNQFTDSFTSNLDPIQTFNTYMPFSTDYSFTHQALAAAEFPGNLEGKFPSIFRQNKQNIVFPVSHGQQYKGEFLESRKRKAMDVSESSSLNSSSLQVSQIGKGKTSSRRGRRDKAKKEEKKLKEVVHVRARRGEATDSHSLAERTMGMAIMLDEIINYVRSLQNQIEFLSMKLTAASAFYDFNAEADDIEIMQKAKAQEAKEMVRMTRDGYGGLSDDHHQTWSL >Manes.13G091500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:23600617:23602086:-1 gene:Manes.13G091500.v8.1 transcript:Manes.13G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFHGEEDVDLPISFQEGGSEDEDEISYDDLKKRMWKDSMRMQKLKEKLKTDELHESLAKQEASRRKKMSRAQDSILKYMVKVMEVCNAQGFVYGILPEKGKPITGSSDSLRQWWKEDVRFDQNAPLAITEFFPLLEKHEVDPVSCMHLLHDMQDTTLGSLLSALMQRCIPPQRRFPLERGLAPPWWPTGGEAWWGEQGASQEHGAPPYKKPHDLKKAWKLSALAAVIKHMSPNFDSMRRLLTQSKCLQAKMTAKESATWSKVVNQEETLSKLMQTCLRIDAGEEDHDESVTHHFGSYDLQVNEKRKCTFEREASVDKVYACQNLECPQSEEGLGFLDKNSRTDHQVTCAYRLKEIDSSSSQENSDGNFSDSSTSLLPFYDQPLISPGSITAAMDNNRDLLSVIDWANTDIDPKGDQSVAEIGEESAGFKMVEDYLNFGGTGIEDYPNLWDTGTEQRQTENMGMNKKTVPENPDDQGIITSIWDLGFE >Manes.17G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27208682:27222248:1 gene:Manes.17G072300.v8.1 transcript:Manes.17G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKRPLQCLLSRVCRETRRSYGTLPEGTSPSPSQKLISLEYEFSAHNYHPVPIVFSQAKGSTIWDPEGNKYLDFLSAYSAVNQGHCHPKIIKALKEQVERLTLSSRAFYNDRFPIFAERLTSMFGYDMVLPMNTGAEGVETALKLARKWGYKKKKIPKDEVIIVSCCGCFHGRTLAAISMSCDNEATRGFGPLLPGHVKVDFGDEVSLEKIFKERGDKIAGFLFEPIQGEAGVIIPPDGYLKAVRDICSKYNVLMIADEIQTGLARTGKMLACDWEQVRPDVVILGKALGGGVIPVSAVLADKDVMLCIQPGEHGSTFGGNPLASAVAIASLDVIKDERLAERSANLGEELRHQLLKIHQQFPDYIKEVRGRGLFNAVEFNSKALSPISAYDICLKLKERGVLAKPTHDTIVRLTPPLSMSLNELQEGSKALYEVLELDLPKMQKTKPEKASPAGSTTCDRCGRNLCASSD >Manes.04G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9146530:9154198:1 gene:Manes.04G054500.v8.1 transcript:Manes.04G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHWSKPDKPTAVYPPSSSPQNLTVPTGLTRYGSAPGSLLTRAVDSVIGSSREFSALGSSSSSLVSHGHYFPGDSSSLTTEPNCKVNSSSDPRPPPKSSTSGGLQRSYGLSDINGCLLRQKSSPAGFLSNLSTENAGFSITPGSGGYNSQNGATGGHTVSRLKSQLSFTRQDSLSQISEVNESVAEGMNSNNGRQNSSHSYAAASFSMEPWDNTNSIVFSGPPSKRAKNIDGDIFNCLNGLETQFSLPQTSLEIATVEKLLSIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGRLKKLQELVPNMDKQTSYADMLDLAVQHIKVLQNEVQKLHNELENCTCGCRPNS >Manes.04G054500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9146530:9154198:1 gene:Manes.04G054500.v8.1 transcript:Manes.04G054500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHWSKPDKPTAVYPPSSSPQNLTVPTGLTRYGSAPGSLLTRAVDSVIGSSREFSALGSSSSSLVSHGHYFPGDSSSLTTEPNCKVNSSSDPRPPPKSSTSGGLQRSYGLSDINGCLLRQKSSPAGFLSNLSTENGFSITPGSGGYNSQNGATGGHTVSRLKSQLSFTRQDSLSQISEVNESVAEGMNSNNGRQNSSHSYAAASFSMEPWDNTNSIVFSGPPSKRAKNIDGDIFNCLNGLETQFSLPQTSLEIATVEKLLSIPEDSVPCKIRAKRGCATHPRSIAERVSKFHFWHIYNLIFHEAFLRTLLCMDDG >Manes.04G054500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9146505:9154198:1 gene:Manes.04G054500.v8.1 transcript:Manes.04G054500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHWSKPDKPTAVYPPSSSPQNLTVPTGLTRYGSAPGSLLTRAVDSVIGSSREFSALGSSSSSLVSHGHYFPGDSSSLTTEPNCKVNSSSDPRPPPKSSTSGGLQRSYGLSDINGCLLRQKSSPAGFLSNLSTENGFSITPGSGGYNSQNGATGGHTVSRLKSQLSFTRQDSLSQISEVNESVAEGMNSNNGRQNSSHSYAAASFSMEPWDNTNSIVFSGPPSKRAKNIDGDIFNCLNGLETQFSLPQTSLEIATVEKLLSIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGRLKKLQELVPNMDKQTSYADMLDLAVQHIKVLQNEVQKLHNELENCTCGCRPNS >Manes.13G068022.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:9119254:9120949:1 gene:Manes.13G068022.v8.1 transcript:Manes.13G068022.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTRGHTIGRGSTATVSLAVSLHSGDVLAVKSAELDQSKFLQREQKILSSLASPHVVSYKGYDITRENNKVMYNLFLEYISGGTLTDEVQAHGGKVEESVIRNYTYGIVQGLDYLHSNGWVHCDIKGRNILIGKSGVKIADFGCAKRVDAVEAAAPIGGTPMFMAPEVARGEEQGFASDIWGLGCTIIEMASGGSPWRNASDPVSVMYRIGFSDHLPEFPSSLSAQARDFLDKCLRRDPKQRWTTNQLLRHPFLGKSNSHAKQIEESKSSSNSPTSILDKGFWNSLDESEAEAENLVQRSDESSGKERIRRLSLISGGPSWDWDETWIPVRGNSTEAKDDVISYSSEYQESFGGSEQSLEDLLDRNINDRISEEFCKYGKDSFLISSNLEFNRHVDILLNPYIPNLFLQA >Manes.06G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14365481:14372262:1 gene:Manes.06G045200.v8.1 transcript:Manes.06G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRTTTNHNASYLPSNSDNMPCSSGAELLSREKSSHLLDSIELRPLSTGMEATDSTMKLLNVNSSLAHQHYNVGRPIFLKRSRHHYGHQYSRRNSGNNAHASSSHGKIAPLRDERLAFRLSGSEFGHHTATREKAFGRADRIRLSSLVMDASDAVKIICGICQKPLRRKPYFLGEALSSGECSIVAVLVCGHVYHADCLEQRTSDENRCDPPCPSCLGLLSQEDPSRG >Manes.06G045200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14368471:14372262:1 gene:Manes.06G045200.v8.1 transcript:Manes.06G045200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSGAELLSREKSSHLLDSIELRPLSTGMEATDSTMKLLNVNSSLAHQHYNVGRPIFLKRSRHHYGHQYSRRNSGNNAHASSSHGKIAPLRDERLAFRLSGSEFGHHTATREKAFGRADRIRLSSLVMDASDAVKIICGICQKPLRRKPYFLGEALSSGECSIVAVLVCGHVYHADCLEQRTSDENRCDPPCPSCLGLLSQEDPSRG >Manes.13G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30481315:30482598:-1 gene:Manes.13G104600.v8.1 transcript:Manes.13G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDFSPSHVDASRPSLGFPLGTALLLIIIFTLSGIFSCCYHWDKFRSLRRSSSGNADPEDHFEPSPAKSKPLHTDSKQNESPSLPVLMPGDQIPKFIALPSPCKPPRAEEVAVRVHRLPPPKLPRVPVPLF >Manes.10G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29038710:29062834:-1 gene:Manes.10G121900.v8.1 transcript:Manes.10G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMETRFRSGFCVFIILLCVSFSGIVSVCGESRRPKNVQVAVRAKWEGTPVLLEAGELISKEWKDLYWEFIEVWLRAEENESDSHTARGCLTRIVKHGRTLLSDPLASLFEFSLILRSASPRLVLYRQLAEESLSSFPLSDDGISNNDSGGIAESNEENESKRSDHLLVGINPKSPGGKCCWVDTGGALFFDVADLLLWLHNPAKLAGDSFQQPELFDFDHIHFDSHTTSPVAILYGALGSDCFRQFHVTLVEAARQGRIKYVVRPVLPSGCEEKVGNCGAIGAKDSLNLGGYGVELALKNMEYKAMDDSAIKKGVTLEDPRTEDLSQEVRGFIFSKILERKPEFTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIIHASDPLQSMQEINQNFPSVVSSLSRTKLSDSVKDEITANQRMIPPGKALMALNGALINIEDIDLYQLVDMVQQELLLADQFSKLKVPHSAIRKLLSTMSPQESNMFRVDFRSAYVHYLNNLEEDAMYKRWRSNINEILMPVFPGQLRYIRKNLFHAVYVLDPATSYGLECVDMIISLYENNFPMRFGLILYSSKFIKKVEVGGGDVHLSAVENESQKEDISSLTIRLFIYIKENYGIKTAFQFLGNINRLRMESAESADDSPEMQHVEGAFVETVLPKVTSPPQDILLKLEKEKTYNELSEESSMSVFKLGLYKLKTCLLLNGLVFDSSEDALMNAMNDELPRIQEQVYYGHINSHTDILDKFLSESSISRYNPQIVAEGKAKPRFISLSSSILEEESVINDISYLHSPDTIDDLKPVTQLLVVDITTVRGIKLLREGILYLIRGSKVGRLGVIFSADHDADLPALLFMKAFEITVSSYSHKKSVLNFLDHLCLFYEQNYILAPSVAAESIAFTDKVYELAVANELSLKAYKSDLPEFSVDIMRKRLNKVVQFLYRQLGLEAGVNAVITNGRVTVLNNEETFLTHDLNLLESVEFKQRIKHIAEIIEEVQWQDIDPDVLTSKFVSDIVMSVSSSMALRDRSSESARFEILSAEHSAVIVENENSTVHVDAVVDPLSPIGQKVAALLRVLRQYIQPSMRIVLNPMSSLVDLPLKNFYRYVIPTMDDFSSIDYTVNGPKAFFANMPLSKTLTMNLDVPDPWLVEPTIAVHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDQDPPRGLQLILGTKSMPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSDLYVLKEDGGGSLDKILSKRITINDLRGKVVHLEVAKKKGMEHEKLLVSSDDDNHSHRKKRGTHNHWNSNLLKWAAGFIGGSGQAKKDENTLTERRKGGRQGKPINIFSIASGHLYERFLKIMILSVLKNTHRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPSWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQVVRADMGELYDMDIKGRPLAYTPFCDNNRDMDGYRFWRQGFWKEHLRGKPYHISALYVVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHNVPIFSLPQEWLWCESWCGNATKSKAKTIDLCNNPMTKEPKLQGAKRIVSEWVDLDSEARQFTAKILGDEVDPQEPASPNASQTSVTDDLSTEDKESRAEL >Manes.01G175900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667180:35685053:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGFTPIH >Manes.01G175900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667180:35685052:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGFTPIH >Manes.01G175900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667180:35685053:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGNLQVSPQYIEDKEAPNQIEFMRPDVLLGSGWFGFGGGCVDDAVGASFGDAVNREH >Manes.01G175900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667180:35684874:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGENILRCTASRSSLLVMFDVGGDFILYCKNFLVLVFHIGFDLKQETGNLQVSPQYIEDKEAPNQIEFMRPDVLLGSGWFGFGGGCVDDAVGASFGDAVNREH >Manes.01G175900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667496:35684712:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGFDLKQETGNLQVSPQYIEDKEAPNQIEFMRPDVLLGSGWFGFGGGCVDDAVGASFGDAVNREH >Manes.01G175900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667180:35685052:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGFTPIH >Manes.01G175900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667180:35685052:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGNLQVSPQYIEDKEAPNQIEFMRPDVLLGSGWFGFGGGCVDDAVGASFGDAVNREH >Manes.01G175900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667180:35685052:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGNLQVSPQYIEDKEAPNQIEFMRPDVLLGSGWFGFGGGCVDDAVGASFGDAVNREH >Manes.01G175900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35667423:35684712:1 gene:Manes.01G175900.v8.1 transcript:Manes.01G175900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMTNGVEDNKRSKGHQDSPAIEEASNGPLKKGPWTSTEDAILVDYVTKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGAFTIEEERRIIELHASMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPREVCQQVLNGSQESQNTGTLQTTDTHGSNLIQMDHFKIPEVEFEKLEINQVLLSYSPTVLDIPASNMLKQGLTNVGETNVGVTQAFCLTQADILVSTSYTPVHMVVDSSHNNNFMFPTIHPHKRLRELQTVFPSLDGSVDSDLSSFIQSTDYYSEKITGSFHISSEYDSLMNTYGQPPLGVVRGSHALLNDSNSSSSEPLCGLMKLELPSLQYSDPQQGSWGTPTSPLPSVESVDTLIQSPAAEQTQLDCLSPRSSGLLEAVLYESGTWKNSKKCSNHQTSFACSDLEDCSLNPYETEWKIHGDPNSPLGHSAASVLSACTRISGSSSDEPGFDLKQETGNLQVSPQYIEDKEAPNQIEFMRPDVLLGSGWFGFGGGCVDDAVGASFGDAVNREH >Manes.14G008800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:488704:496129:-1 gene:Manes.14G008800.v8.1 transcript:Manes.14G008800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTHDDVEGGNLGHYQDRPRTFPNMRSKPYTPVLVRALLGINVRVLFILLLLGLGAIFYIGASTSPIIVFVFTICIISFLFSIYLTKWVLSKDEGPPEMAQISDAIRDGAEGYFRTQYGTISKMAILLALVILCIYLFRTTTPQQESSGLGRSTSAYITVAAFLLGSLCSGIAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSAIVVVGMAVIGVAILYAAFYVWLEVDSPGSMNVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVIHSFDLVISSVGILSIKSTRDSSVKSPIEDPMAILQKGYSITIVLAVITFCASTRWMLYTEQAPSAWFNFALCGLVGIVTAYVFVWITKYYTDYKHEPVRTLALASSTGHGTNIIAGVSLGLESTALPVLVISMSIVSAFWLGHTSGLVDEAGKPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVATFAHEPFKQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYVEKPDYGRCVAIVASASLREMIKPGALAIISPVVVGFLFRILGYYTGHPLLGAKVVASMLMFGTVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDCHKAAITGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >Manes.07G017800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2075742:2077715:1 gene:Manes.07G017800.v8.1 transcript:Manes.07G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLDFLLLLFLSIFAIFSLTHEAAITSLPLAQNNLSSQCPMDLNYVFKIPWNKTYCQNYQPSKNNTASLQNDVTNEDPCCRNLLHLFGIGLAQHLKETSLFELPSLPTSVSCLQDYQTKLSSLSLPDDIVSHCFDPMEFVITPNLCAHVQTTQDWVAKLGKSTVLDSACRPNLEDLSSCSACVDAGLKIQSDLISIDGNSTHGTDCLYFTILYAAGIVNEFGPESEGAITCIFVIDLESDVGSSNKGHLALVFGLIGASVAILLISSLLGLYFCCHKKWRKKRNSSFPFNLDEQVSRPRLKPNTGSIWFKLPDLEKATENFSQKNFIGRGGFGLVYKGILSDGTMIAVKKIIESDILGDAEFCNEVEIHSNLKHRNLVPLRGCCVVNEDENYPEEGSQRYLVYDYMPNGNLDDHLFPSFDDQEVKKPLTWPQRKSIILDVAKGLAYLHCGVKPAIYHRDIKATNILLDADMRARVADFGLAKQSRESQSHLSTRVAGTRGYLAPEYALYGQVTEKSDVYSFGIVVLEIMCGRKAIDLSSSESPQAFLISDWVWSLVKAGKIEDALDVCLVRDGESSNTSNGKAIMESFLLVGVLCAHVILASRPTILDALKMLEGDIEVPTIPNRPMPLSHPSTFVDFTISSAPSFPQVETCSCKP >Manes.15G054000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4153536:4154025:-1 gene:Manes.15G054000.v8.1 transcript:Manes.15G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQRERDRERAQARTGKGPKSKDDGLTPEQRRERDAKALQEKAAKKSAQAAAGGETSGGKGKNVKK >Manes.08G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35331596:35342322:-1 gene:Manes.08G114500.v8.1 transcript:Manes.08G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKGVEILVNDLKVFSTFNEELYKEITQLLTLGNFRENEQLSKYGDTKTARSIMWIELKKLIEANPLFRDKFAFPSLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFADHACTPPNGPLAPAPVNLPVAAPAKPLAYTTLGVHGPFPPTAAAANAGALAGWMTNASAPSPVQVAVVTASSIPVPQNQVSILKRPRTPPTAPGMVDYQSPDEQLMKRLRPAQSVEEVTYPASRQQASWSLDDLPRTVALNLHQGSAVTSMDFHPSHHTLLLVGSANGEVTLWELGLRERLVSKPFKIWEMTAYPLQFQASLVKDAPISVNRVTWSPDGNLVGVAFNKHLIHLYAYTGSNDLRQQLEIDAHVGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLGGQKLFNFEGHEAPVYSICPHHKENIQFIFSTAIDGKIKAWLYDNLGSRVDYDAPGRWCTTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGAIKRHYAGFRKKSTMGVVQFDTTQNHFLAAGEDNQIKFWDMDNPNVLISTDADGGLPSLPRLRFNKEGYLLAVTTADNGFKILANATGLRSLRPVETPAFEALKSPIESAAIKVSGTSGVTNVIPVNCKVERSSPVRPSPILNGVDNLNRSMDKPRTVDDVIDKSKPWQLAEIVDPGDCRLVTLPDSTNTSSKVVRLLYTNSGVGILALGSKGIQKLWKWTRNDQNVTGKATASVVPQLWQPNSGLLMANDVSGVNLEEAVPCIALSKNDSYVMSAAGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPHDNNIIAIGMEDSAIHIYNVRVDEVKSKLKGHQKRITGLAFSTNLNILVSSGADAQLCVWSIDTWEKRKSLTIQIPAGNAPNGDTRVQFHSDQTRLLVVHETQLAIYDASKMEPVRQWVPQDALSAPLSYAAYSCNSQLIYASFRDGNIGVFDADSLRLRCRIASSAYLSQATMNGSQSVYPLVVAAHPQEANQLAIGLTDGSVKVMEPTESEGKWGSTPPADNGTLNGRTTSSSTTSNHTPDQLQR >Manes.16G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2954505:2962301:-1 gene:Manes.16G027500.v8.1 transcript:Manes.16G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMFSSDSDWESFSDSGSEPDFLYGGQAHSILSSLGESIGRIDDFLSFERAFIRGDIVCPVADPCGQMGRVVNFKKFVDLENVHGKVIENVDSKNLVKIRTLSVGDYVVHGAWIGRVDKVVDNVIVIFDDGTKCEVTAEDEEQLLPISPNILEDSTYPFYPGQRVKVRLSAVSKSTRWLCGAWKENQDVGTVSSVKAGQVYVSWLACAIGGCDLSLAAPQCLQDAKNLTLLPCFSHENWQLGDWCMLRVAGGNGVKERMVFGASDLEIIKEQDKIGKGFKRQDLCSHFEEVFVIVKTKTVVDVLWQDGGCSLGLDSQSLIPVNIVNVHEFWPGQFVLEKGACEDPHVSGNKKWGVVSAVDAKERTVRVKWNQANDVCANQVEETVSAYELVEHPDFSYCYGDIVFKNVEQADKHHLDKDISMGKEVGLEGKDCKRCQIDCPYYGYLSCIGCVTGLKDGAVEVIWASGLETKVAPNDIFRIDKCESSTANSAIAEQTDGEMNMNQEMIDLDRQSHSLKEKDLLTSNGNDECKRYLWKSSPFSLPQSTIGFFMSIAESIFGSIGSTSLSGPLSSGCFPKEGNQSEALEEKGLVDLEEKGLVDNCDLCTEMQPLVPSEMKTSEKASLKPEVELNQENKELQCLPTNKSVEKFMQFEMVGDCSDHHFTEEAGRGSALSQVKRSWLKRVQEEWSNLEKNLPESVYVRIFEERMDLIRAAIVGAPGTPYHDGLFFFDIYLPPEYPLEPPLVHYRSGGLRVNPNLYESGRVCLSLLNTWTGTGTEVWNPESSSILQVLLSLQALVLNEKPYFNEAGYDKQIGRAEGEKNSVSYNENAFLMTWKSMLYILRQPPKHFEALVEEHLTRRSQDILMACKAYMEGAPVANPFGCGNIEHENQKGGSTGFKIMLAKLFPKLVEAFAAKGIDCSQFTELEQ >Manes.16G027500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2954482:2962301:-1 gene:Manes.16G027500.v8.1 transcript:Manes.16G027500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMFSSDSDWESFSDSGSEPDFLYGGQAHSILSSLGESIGRIDDFLSFERAFIRGDIVCPVADPCGQMGRVVNFKKFVDLENVHGKVIENVDSKNLVKIRTLSVGDYVVHGAWIGRVDKVVDNVIVIFDDGTKCEVTAEDEEQLLPISPNILEDSTYPFYPGQRVKVRLSAVSKSTRWLCGAWKENQDVGTVSSVKAGQVYVSWLACAIGGCDLSLAAPQCLQDAKNLTLLPCFSHENWQLGDWCMLRVAGGNGVKERMVFGASDLEIIKEQDKIGKGFKRQDLCSHFEEVFVIVKTKTVVDVLWQDGGCSLGLDSQSLIPVNIVNVHEFWPGQFVLEKGACEDPHVSGNKKWGVVSAVDAKERTVRVKWNQANDVCANQVEETVSAYELVEHPDFSYCYGDIVFKNVEQADKHHLDKDISMGKEVGLEGKDCKRCQIDCPYYGYLSCIGCVTGLKDGAVEVIWASGLETKVAPNDIFRIDKCESSTANSAIAEQTDGEMNMNQEMIDLDRQSHSLKEKDLLTSNGNDECKRYLWKSSPFSLPQSTIGFFMSIAESIFGSIGSTSLSGPLSSGCFPKEGNQSEALEEKGLVDLEEKGLVDNCDLCTEMQPLVPSEMKTSEKASLKPEVELNQENKELQCLPTNKSVEKFMQFEMVGDCSDHHFTEEAGRGSALSQVKRSWLKRVQEEWSNLEKNLPESVYVRIFEERMDLIRAAIVGAPGTPYHDGLFFFDIYLPPEYPLEPPHFEALVEEHLTRRSQDILMACKAYMEGAPVANPFGCGNIEHENQKGGSTGFKIMLAKLFPKLVEAFAAKGIDCSQFTELEQ >Manes.16G027500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2954505:2962301:-1 gene:Manes.16G027500.v8.1 transcript:Manes.16G027500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMFSSDSDWESFSDSGSEPDFLYGGQAHSILSSLGESIGRIDDFLSFERAFIRGDIVCPVADPCGQMGRVVNFKKFVDLENVHGKVIENVDSKNLVKIRTLSVGDYVVHGAWIGRVDKVVDNVIVIFDDGTKCEVTAEDEEQLLPISPNILEDSTYPFYPGQRVKVRLSAVSKSTRWLCGAWKENQDVGTVSSVKAGQVYVSWLACAIGGCDLSLAAPQCLQDAKNLTLLPCFSHENWQLGDWCMLRVAGGNGVKERMVFGASDLEIIKEQDKIGKGFKRQDLCSHFEEVFVIVKTKTVVDVLWQDGGCSLGLDSQSLIPVNIVNVHEFWPGQFVLEKGACEDPHVSGNKKWGVVSAVDAKERTVRVKWNQANDVCANQVEETVSAYELVEHPDFSYCYGDIVFKNVEQADKHHLDKDISMGKEVGLEGKDCKRCQIDCPYYGYLSCIGCVTGLKDGAVEVIWASGLETKVAPNDIFRIDKCESSTANSAIAEQTDGEMNMNQEMIDLDRQSHSLKEKDLLTSNGNDECKRYLWKSSPFSLPQSTIGFFMSIAESIFGSIGSTSLSGPLSSGCFPKEGNQSEALEEKGLVDLEEKGLVDNCDLCTEMQPLVPSEMKTSEKASLKPEVELNQENKELQCLPTNKSVEKFMQFEMVGDCSDHHFTEEAGRGSALSQVKRSWLKRVQEEWSNLEKNLPESVYVRIFEERMDLIRAAIVGAPGTPYHDGLFFFDIYLPPEYPLEPPLVHYRSGGLRVNPNLYESGRVCLSLLNTWTGTGTEVWNPESSSILQVLLSLQALVLNEKPYFNEAGYDKQIGRAEGEKNSVSYNENAFLMTWKSMLYILRQPPKHFEALVEEHLTRRSQDILMACKAYMEGAPVANPFGCGNIEHENQKGGSTGFKIMLAKLFPKLVEAFAAKGIDCSQFTELEQ >Manes.16G027500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2954505:2962301:-1 gene:Manes.16G027500.v8.1 transcript:Manes.16G027500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMFSSDSDWESFSDSGSEPDFLYGGQAHSILSSLGESIGRIDDFLSFERAFIRGDIVCPVADPCGQMGRVVNFKKFVDLENVHGKVIENVDSKNLVKIRTLSVGDYVVHGAWIGRVDKVVDNVIVIFDDGTKCEVTAEDEEQLLPISPNILEDSTYPFYPGQRVKVRLSAVSKSTRWLCGAWKENQDVGTVSSVKAGQVYVSWLACAIGGCDLSLAAPQCLQDAKNLTLLPCFSHENWQLGDWCMLRVAGGNGVKERMVFGASDLEIIKEQDKIGKGFKRQDLCSHFEEVFVIVKTKTVVDVLWQDGGCSLGLDSQSLIPVNIVNVHEFWPGQFVLEKGACEDPHVSGNKKWGVVSAVDAKERTVRVKWNQANDVCANQVEETVSAYELVEHPDFSYCYGDIVFKNVEQADKHHLDKDISMGKEVGLEGKDCKRCQIDCPYYGYLSCIGCVTGLKDGAVEVIWASGLETKVAPNDIFRIDKCESSTANSAIAEQTDGEMNMNQEMIDLDRQSHSLKEKDLLTSNGNDECKRYLWKSSPFSLPQSTIGFFMSIAESIFGSIGSTSLSGPLSSGCFPKEGNQSEALEEKGLVDLEEKGLVDNCDLCTEMQPLVPSEMKTSEKASLKPEVELNQENKELQCLPTNKSVEKFMQFEMVGDCSDHHFTEEAGRGSALSQVKRSWLKRVQEEWSNLEKNLPESVYVRIFEERMDLIRAAIVGAPGTPYHDGLFFFDIYLPPEYPLEPPLVHYRSGGLRVNPNLYESGRVCLSLLNTWTGTGTEVWNPESSSILQVLLSLQALVLNEKPYFNEAGYDKQIGRAEGEKNSVSYNENAFLMTWKSMLYILRQPPKHFEALVEEHLTRRSQDILMACKAYMEGAPVANPFGCGNIEHENQKGGSTGFKIMLAKLFPKLVEAFAAKGIDCSQFTELEQ >Manes.12G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3083685:3089594:1 gene:Manes.12G035000.v8.1 transcript:Manes.12G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFKVKRRGILKQNLEKIMNCLCSGEQPRPVDEMVPSSESLATKDYSLGGYSSRNGEADQRPDTSNIEEAELTLRERGSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIGSIIPKMKISLSRKGAHRRRHSQNFATPPMSVHAVSLLLESVFLKAKSLQHLGRFNEAAQSCKVILDIVESSLPEGLPENFAADCKLQETLNKTVELLPELWKLADCPREAILSYRRALLHQWNLDAETTARIQKEFAIFLLYSGGEACPPNLRSQMDSSFVPRNNIEEAILLLMILLRKVSLKRIEWDESILNHLSFALSVSGDLKALANQVEELHPGIIGRRETFYMLALCYHGAGEDLVSLNLLRKLLHVREDPKCVPALLLASKVCGNIPKLAEEGLNYSRRSLENLESGCEKLESVANFLLGVLLSAYSRSAIADSERIARQSEALQVLESAGKMTRMKDSCILYHLSLENAEQRKLDTALHYASRLLKLETGSNIKGWLLLARILSAQKRYVDAEAVISAALDQTGKWDQGELLRTQAKLQIAQGHLKGAVKTYGHLLAILQVQTKSFSSGKKSRKGNGNPTGSLELEVWHDLASVYISLSQWNDAEVCLSKSKAISYYSASRCYTTGMLYESKGLHREALKAFTGALEIDPTHVPSLVSTAVVLRRLGNQTNEIVRSFLMDALRLDRMNSSAWYNLGLHYKAEGSASSFQEAAECFEAAAFLEESAPVEPFR >Manes.01G000465.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1194940:1198421:-1 gene:Manes.01G000465.v8.1 transcript:Manes.01G000465.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSACCHLSSSFSKINSNDGSSNVNVKLFSSLLASPISLSSNPKLSLQFFHNKYSPLLSLTPRRLSVIAMAPPKPAGKAKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMAFCKDYNAKTADKAGYVIPVEITVYDDRSFTFILKTPPASVLLLKAAGVEKGSKDPKIEKVGKITIEQCRAIAAEKLPDLNCTTIESAMRIIAGTAANMGIDVDPPVLEPKKKEVL >Manes.12G013900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1375769:1379977:1 gene:Manes.12G013900.v8.1 transcript:Manes.12G013900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLGIPGDGRCLFRSVVHGACLRSGKPSPSESLEKELADELRAKVADEFIKRRRDTEWFLEDDFDTYVGQMRQPHVWGGEPELLMSSHVLKMPITVYMRDRNSSSLKIIAEYGQEYGKENPIRVLYHGYGHYDALQTQIASSQSNQCKKR >Manes.12G013900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1375427:1379761:1 gene:Manes.12G013900.v8.1 transcript:Manes.12G013900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSDSTALGNDSVQFQKIWIPGDGRCLFRSVVHGACLRSGKPSPSESLEKELADELRAKVADEFIKRRRDTEWFLEDDFDTYVGQMRQPHVWGGEPELLMSSHVLKMPITVYMRDRNSSSLKIIAEYGQEYGKENPIRVLYHGYGHYDALQTQIASSQSNQCKKR >Manes.12G013900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1375813:1379145:1 gene:Manes.12G013900.v8.1 transcript:Manes.12G013900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLGIPGDGRCLFRSVVHGACLRSGKPSPSESLEKELADELRAKVADEFIKRRRDTEWFLEDDFDTYVGQMRQPHVWGGEPELLMSSHVLKMPITVYMRDRNSSSLKIIAEYGQEYGKENPIRVLYHGYGHYDALQTQIASSQSNQCKKR >Manes.12G013900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1374848:1379792:1 gene:Manes.12G013900.v8.1 transcript:Manes.12G013900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSDSTALGIPGDGRCLFRSVVHGACLRSGKPSPSESLEKELADELRAKVADEFIKRRRDTEWFLEDDFDTYVGQMRQPHVWGGEPELLMSSHVLKMPITVYMRDRNSSSLKIIAEYGQEYGKENPIRVLYHGYGHYDALQTQIASSQSNQCKKR >Manes.10G108000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26070499:26073710:1 gene:Manes.10G108000.v8.1 transcript:Manes.10G108000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVLTVEGLQHLGFLIQFGDKGSERVSLSQAAPFFANSDPDMLAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGSLNLHM >Manes.10G108000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26070499:26073710:1 gene:Manes.10G108000.v8.1 transcript:Manes.10G108000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVLTVEGLQHLGFLIQFGDKGSERVSLSQAAPFFANSDPDMLAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGSLNLHM >Manes.10G108000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26070482:26073715:1 gene:Manes.10G108000.v8.1 transcript:Manes.10G108000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVLTVEGLQHLGFLIQFGDKGSERVSLSQAAPFFANSDPDMLAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGSLNLHM >Manes.08G135300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37231783:37232999:1 gene:Manes.08G135300.v8.1 transcript:Manes.08G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLGVMLLLCLVASAAAQAQCGRQAGNRKCPGRMCCSRWGYCGTTAEYCGAGCQSNCRPSSDDLSESISASNVKATSRSYNSEQNAWNLNAANAFCSTWDSDKPLEWRSKHAWTAFGGPVGPQGKDACGQCLKVTNAETGAQATVRIVDKCSNGGLDMDAAAFKQLDTNGKGNAQGFLMVNYEFVNCTAH >Manes.16G058225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21804345:21805968:1 gene:Manes.16G058225.v8.1 transcript:Manes.16G058225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGHFHIFSSSPSYFYEFHGCFEEFSSLDQGFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.04G129600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33210513:33212078:-1 gene:Manes.04G129600.v8.1 transcript:Manes.04G129600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIGVLMTCPMYSYLEQELEARFNLFKLWQQPSKADFLKTNQHKIKAIVGNTKIGADAELIDALSKLEFVASYSVGLDKIDLKKCEEKGIRVTNTPDVLTDDVADLAIGLILGVLRRICACDRHVRSGKWREADFKLTTKILSKRDQIQEFISAAHHLVSSCIRDKGTCTTRFVTSY >Manes.03G056100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5479565:5480629:1 gene:Manes.03G056100.v8.1 transcript:Manes.03G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGTSSEQPSSDKNHEPKYKGVRKRKWGKWVSEIRLPNSRERIWLGSFDTAEKAALAFDAALYCLRGREAKFNFPDNPPNIAGGQSLSPQEIQVVAARFANENSRMIRSTTTSVGESSSSQVMDQCLSSSSDGAAQVDSDTIDWSSILNELDSTEGAPEYGMYPGLGNQYYPSQPYVFDDYHINNGNNDDNQNGDEAYSHQSFLWNF >Manes.12G072800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7914500:7917542:1 gene:Manes.12G072800.v8.1 transcript:Manes.12G072800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGMSSGLSIDSDLITSTFFFHNPTVLNSFSQENFKNPNNCNNLTLMDASASASASRSPPPTIQFPVNLNSTTLHNHDDDDDDVSLPSDDNKRMVIDEMDFFAEKQHDDDVKNSNSLSHDFKDPKSPTRLEFDVNTGLNLLTTNTSSDQSMVDDGISSNMEDKRAKSELAVLQAELERIKVENLRLRDMLNQVTINYNALQMHLVTIMQNQKPRENNEEHEQDGLDRKLEENKKHSGNGAVVPRQFMDLGLAAAAPPGGGGGGDTDELSLSSSEGRSGDRSRSPGNNEDNRNNNEDGMVFDQEKKGNVGREDSPDQGSQGWGPNKVARFNSNKSSVDQTEATIRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDQTILITTYEGNHNHPLPPAAVAMASTTSSAARMLLSGSMSSADGIMNPNFLTRTLLPCSSSMATISASAPFPTVTLDLTQNPNTNPLQFQRQPSQFQVPFPNPSQNFANSPAAALLPQIFGQALYNQSKFSGLQMSQDLEGNNKLGHQSQAPAAIHQQQGQQNSLADTVTAATAAIAADPNFTAALAAAITTIIGGGGAQPSNITSSSAASNTNLLNISNSNGTISTTITNGNGNNKINHSSFQGN >Manes.15G119950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9534490:9538977:-1 gene:Manes.15G119950.v8.1 transcript:Manes.15G119950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTPNSRKPRSSPASSASSKICDDGDKEKRPKSAIKPKRMPKKKSVKLDAKRPKKPPTAFFFFLEDFRKDFQEQNPDVKSMRDIGKACGEKWKTMTYEEKVKYYDIATEKRAEFDKATADYIRRKENGEYEDIEDDSEFDD >Manes.15G119950.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9535950:9538425:-1 gene:Manes.15G119950.v8.1 transcript:Manes.15G119950.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKSVKLDAKRPKKPPTAFFFFLEDFRKDFQEQNPDVKSMRDIGKACGEKWKTMTYEEKVKYYDIATEKRAEFDKATADYIRRKENGEYEDIEDDSEFDD >Manes.05G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6988612:6991772:1 gene:Manes.05G084600.v8.1 transcript:Manes.05G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPSNTLLPFRKPDIFRSDKCLPLQLRRSNSVDFAAKISALPRALSKTGQSLSSIITELEIEQELDDYTTGIKQQERKLADVWREIHGQDDWVGLLDPMDPFLRSELIRYGEMAQACYDAFDYDPYSKYCGSCRFMRRKFFESLGMIHHGYEVTRYLYATTNINLPNFFKQSRWPKVWSSKANWIGYVAVSNDETSKRLGRRDITIAWRGTVTRLEWIADLMDFLKPINGNKIPCPDPTVKVESGFLDLYTDKDENCRFCKFSAREQILTEVKRLTEMYINEEVSITITGHSLGSALAILSAYDIVETGLHVMQDCRALPVSVFSFSGPRVGNTRFKERMESLGAKVLRVVNAHDVVPKSPGFLFNEQVPSVLMKLAGGLPWCYSHVGVELLLDHNNSPFLKETGDPVCAHNLEAILHLLDGYHGKGHRFVLASGRDPALVNKASDFLKDHYLVPPFWRQDENKGMIRNNDGRWVQPERPKLDDHPSDMHHHLHKLGLNSLPHNPLLG >Manes.01G048966.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:16282010:16283272:1 gene:Manes.01G048966.v8.1 transcript:Manes.01G048966.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRALEAKPRWSPRHGDNFSCKSGSILEYSEDCIVGFIDDLPLIFCGNSESRLTLREVLRGSVAVMGESRLGMTEKVVLLEGKIYAVKRFRRVSVGRREFRKRVEKLAEVSQKCQYIVPIAAYLYSKRIKFVVCGYYPMGSLADLLAGGREFGHTALGWKQRLRIALDIAQAIAFIHVECPPYEKNIQMNVHGNIKASNVMINSNFSACLSEYGLAQLAEYEEVSDTWQQKPPPQQEPESIYCDKFCQQSDIYNFGIILLDMLGGSKATSLRHCIEEKNEEIRRGGIEFFEISLERKARQQALKVLDIALACTNKLPEARLSIEQVLKYFGDFIIKK >Manes.02G147500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11359625:11367242:-1 gene:Manes.02G147500.v8.1 transcript:Manes.02G147500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALFHGHKCFFHFHASRSHYSLSKNAWGSTCPISLPAIRSFASISFVKATRVMQSMTSPESISYLTQRDAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLFTGLVTQLESLAVPFLTVGDLPSELSKDFDVLVDAMFGFSFHGSPRPPFDDLIQKLVNLHKYNQTRQKSSVIVSVDIPSGWHVEEGDVAGEGIKPHMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVEKYKLHLPQYPGASMCVQIGKPPQIDISALRENYISPEFLEDQVEANPIDQFLKWFDDAVAAGLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYESRKAQQLLENPRASLLFYWDGLHRQVRVEGSVQKVSDEESEQYFHSRPRGSQIGAIVSKQRFDPKT >Manes.02G147500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11358503:11367277:-1 gene:Manes.02G147500.v8.1 transcript:Manes.02G147500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMTSPESISYLTQRDAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLFTGLVTQLESLAVPFLTVGDLPSELSKDFDVLVDAMFGFSFHGSPRPPFDDLIQKLVNLHKYNQTRQKSSVIVSVDIPSGWHVEEGDVAGEGIKPHMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVEKYKLHLPQYPGASMCVQIGKPPQIDISALRENYISPEFLEDQVEANPIDQFLKWFDDAVAAGLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYESRKAQQLLENPRASLLFYWDGLHRQVRVEGSVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVIHQQFKELEEKFSDVDLIPKPKAWGGYKLKPDLFEFWQGQKSRLHDRLQYTPQEINGKQVWGIVRLAP >Manes.02G147500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11359604:11367274:-1 gene:Manes.02G147500.v8.1 transcript:Manes.02G147500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALFHGHKCFFHFHASRSHYSLSKNAWGSTCPISLPAIRSFASISFVKATRVMQSMTSPESISYLTQRDAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLFTGLVTQLESLAVPFLTVGDLPSELSKDFDVLVDAMFGFSFHGSPRPPFDDLIQKLVNLHKYNQTRQKSSVIVSVDIPSGWHVEEGDVAGEGIKPHMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVEKYKLHLPQYPGASMCVQIGKPPQIDISALRENYISPEFLEDQVEANPIDQFLKWFDDAVAAGLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYESRKAQQLLENPRASLLFYWDGLHRQVRVEGSVQKVSDEESEQYFHSRPRGSQIGAIVSKVL >Manes.02G147500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11359625:11367242:-1 gene:Manes.02G147500.v8.1 transcript:Manes.02G147500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMTSPESISYLTQRDAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLFTGLVTQLESLAVPFLTVGDLPSELSKDFDVLVDAMFGFSFHGSPRPPFDDLIQKLVNLHKYNQTRQKSSVIVSVDIPSGWHVEEGDVAGEGIKPHMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVEKYKLHLPQYPGASMCVQIGKPPQIDISALRENYISPEFLEDQVEANPIDQFLKWFDDAVAAGLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYESRKAQQLLENPRASLLFYWDGLHRQVRVEGSVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVIHQQFKELEEKFSDVDLIPKPKAWGGYKLKPDLFEFWQGQKSRLHDRLQYTPQEINGKQVWGIVRLAP >Manes.02G147500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11359625:11367242:-1 gene:Manes.02G147500.v8.1 transcript:Manes.02G147500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALFHGHKCFFHFHASRSHYSLSKNAWGSTCPISLPAIRSFASISFVKATRVMQSMTSPESISYLTQRDAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLFTGLVTQLESLAVPFLTVGDLPSELSKDFDVLVDAMFGFSFHGSPRPPFDDLIQKLVNLHKYNQTRQKSSVIVSVDIPSGWHVEEGDVAGEGIKPHMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVEKYKLHLPQYPGASMCVQIGKPPQIDISALRENYISPEFLEDQVEANPIDQFLKWFDDAVAAGLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYESRKAQQLLENPRASLLFYWDGLHRQVRVEGSVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVIHQQFKELEEKFSDVDLIPKPKAWGGYKLKPDLFEFWQGQKSRLHDRLQYTPQEINGKQVWGIVRLAP >Manes.02G147500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11358503:11367368:-1 gene:Manes.02G147500.v8.1 transcript:Manes.02G147500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALFHGHKCFFHFHASRSHYSLSKNAWGSTCPISLPAIRSFASISFVKATRVMQSMTSPESISYLTQRDAAEIDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLFTGLVTQLESLAVPFLTVGDLPSELSKDFDVLVDAMFGFSFHGSPRPPFDDLIQKLVNLHKYNQTRQKSSVIVSVDIPSGWHVEEGDVAGEGIKPHMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIVEKYKLHLPQYPGASMCVQIGKPPQIDISALRENYISPEFLEDQVEANPIDQFLKWFDDAVAAGLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYESRKAQQLLENPRASLLFYWDGLHRQVRVEGSVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVIHQQFKELEEKFSDVDLIPKPKAWGGYKLKPDLFEFWQGQKSRLHDRLQYTPQEINGKQVWGIVRLAP >Manes.16G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31296677:31301594:-1 gene:Manes.16G107700.v8.1 transcript:Manes.16G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFKLIFAMSLLLTLLVLCRGSVVGVCYGRNADDLPTPDKVVQLVQQHNIKYLRIYDSNIQVLKAFANTGVELMVGIPNSDLLALSQFQSNADSWLKNSILPYYPATKITYITVGAEVTESPNNVSAQVVPAMHNVLTALKKAGLHKKIKVSSTHSLGILSRSFPPSAGAFNSSYAFFLKPMLEFLAENQSPFMINIYPYYAYRDSPNNVSLDYALFESSSEVIDPNTGLLYTNMFDAQVDALYFALMALNFRTIDVMVTETGWPSKGSPKEKAATPDNAQTYNTNLIRHVIDNSGTPARPGEELDVYIFSLFNENRKPGLESERNWGLFYPDQTSVYNLDFTGRGVVDVTKNTTFAGLNGTAWCIASSNASQLDLQSALDWACGPGNVDCSAIQPSQPCFEPDTLLSHASYAFNSYYQQNGASDVACSFGGVGVKVDKDPSYDNCLYMTTGSNNKTGASNTTAMASIHSSSSSHNEVFVWASSFLLMAYLALLNIA >Manes.02G072800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5570262:5570417:1 gene:Manes.02G072800.v8.1 transcript:Manes.02G072800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGVLFLLGLAWLHSFWQRGSHSFHAVLHHCTIILVSQIPWSFCHTHIA >Manes.16G039600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5069806:5071049:-1 gene:Manes.16G039600.v8.1 transcript:Manes.16G039600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEVGIKLFGTTITLHARQIKEDQNNQENPSPEKRPEKIISCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKNKPPCRGGLGGFPEGCLYDGSGDVHQIELDSGLLLKEWLLVADDGSRHVYPMKRRRRSSGCQTS >Manes.16G039600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5069806:5071049:-1 gene:Manes.16G039600.v8.1 transcript:Manes.16G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEVGIKLFGTTITLHARQIKEDQNNQENPSPEKRPEKIISCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKNKPPCRGGLGGFPEGCLYDGSGDVHQIELDSGLLLKEWLLVADDGSRHVYPMKRRRRSSDQHEAQ >Manes.17G086100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29353893:29380482:1 gene:Manes.17G086100.v8.1 transcript:Manes.17G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPMNCMYVTEEYMKEWKSGNQNFRVPNPVPILRFLYELCWTMVRGELPFQKCKVALDSVEFSDRVSGEELASNFADIITQMAQDLTMPGEYRVRLIKLAKWLVESTLVPLRLFQERCEEEFLWEAEMIKIKAQDLKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRVYDDTTENASAATIGIIKSLIGHFDLDPNRVFDIVLECFELQPDNTIFLELIPIFPKSHASQILGFKFQYYQRMEVNSPVPSGLYKLTALLVKEDFIDLDSIYAHLLPRDDEAFEHYNAVSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTIDLFAAFDMENEAVAERSSELESSQTLGLLTGFLLVDDWYHAHILFDRLAPLNPVAHVQICNALFRLIEKSISAAYDIIRQSHLQNFGTPSGAGIDSMDTSSSLGHRSFIDLPKELFQMLAITGPYLYRDTILLQKICRVLRGYYLSALELVSSSDGATNGELVITGNPRPHLREARLRVEETLGTCLLPSLQLIPANPAVGQEIWEVMNLLPYEARYRLYGEWEKDDERNPMVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELIQQMANVQYTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSVVVINAEAPYIKMVSEQFDRCHGTLLQYVEFLCSAVIPANAYAQLIPSLDDLVHLYHLDPEVAFLVYRPVMRLFKCEGSSDVFWPLDDNEVVNSTTTNMESEQAEYSGKVILDLGSPQKPIMWSELLETVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEEISDNSSSAITKRKKDKERIQESLDRLTSELQKHEENVASVRRRLSREKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGKFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRISRLLIQCLESTEYMEIRNALILLTKISGVFPVTKRSGINLEKRVARIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLDIKPPAASKSLPSNLAAAQNSSAVNVSQGESTGGRTVAAVTQHGESGNSAREHISRGKPADGRLDRSDSVSHLKSDPGHQKVKGGSLVNGSDVQLSVSSAGIGGTSRSAENQKQMDESANKIMDESTGRAASKNSMESEVKASAKRSVPATSIKTPKQDLAKDDSKSGKAVGRTLGTSSGDKDIPSHLSEGRLGHVTNVSSAATSNGNSVSASARGSTSSARTSDSHGGELKVDSGAAKSAVKDDATEVTDGHKPTSRLVHSPRHDSSFVSSKSSDKLPKRTSPAEDPDRLSKRRKGDIELRDSEGEVRISDKERSIDARLVDLDKIGTDEQNMHRSTDKLMDRSKDKGNERYDRDYRERSERPDKSRGDDVLVEKSRDRSMERYGREHSVERGQERGADRSFDRPSDKAKDERSKDDRGKLRYSDTSMEKSHIDDRFYGQNLPPPPPLPPHVVPQSVNSSRRDEDADRRFGTTRHTQRLSPRHEEKERRRSEENSLVSQDDAKRRREDDFRERKREEREGLSLKVEEREREREREREREKVTLLKEEMDVGAASKRRKLKREHLSSGEAGEYSPAAPPPPPLPIGMSQSYDGRDRGDRKGAMIQRAGYLEEPPMRIHGKEAANKMTRRDADPMYDREWDEDKRQRAEQKRRHRK >Manes.13G016600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1812236:1815786:1 gene:Manes.13G016600.v8.1 transcript:Manes.13G016600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVPLEDVPSVDIMTELLRRFKCSSKPDKRLVLIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVSAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCEKGFILDGFPRTVVQAQKLDEMLQKQGTKIDKVLNFAIDDSILEERITGRWIHPSSGRTYHTKFAPPKVQGVDDVTGEPLIQRKDDTPEVLKSRLEAFHKQTEPVIDYYAKKGVVAELHAEKPQKDVTAEVQKVLTS >Manes.13G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33951012:33955284:1 gene:Manes.13G131100.v8.1 transcript:Manes.13G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVGFLNYFCYFIFFLPVFVVLFILGMIKGAIFSPFVFLVIAFGDTGIVIGLWPLHLVWSICCLLKTKKFGPYMKCLLILLVPIPIALWTAIGVAGSAIMGAGYGFVWPVMETFKAISKEGPILMKLIRCLTDGTWTCVWGAWTIVRDFADFSFHSYFSVMDGLVGSKDEEPIELKVAQIPGCILVAILGILVDVPVITLIVLYKAPILLFKGWHRLIQDLIGRAGPFLETVCVPFAGFWILLWPILVLLSILAGMISSFGFGCYAAVVAYQENSTKKGLLYVIASASVFDEYTNDLLYLQEGSCFPRPKYRERVSSSCSLHPLPAKRLQEQFGGARAGESFKRTSVEKANTLMTPVIWDSFFKAFEDIGKELLRDEAIGISDLDAWRNSKSNIINMGIPAYAFLECFLCSIKTSSSGFILRDNVEITSMNRPEGRVFDWLYEPMCIMREQIRSLNLNKNEELYFYKHCLYGGEPVRIEAWKNGGIPPEDKIRRAQLEGMSRRLQGFCLTLSRLPTSRRRFFEVVKAIEQCSKDLNSLAGGNNDIETAR >Manes.17G080050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:28513607:28513747:1 gene:Manes.17G080050.v8.1 transcript:Manes.17G080050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEILSSSNYMLSLCQSRASVVSAASAFAFGSCKEGWYYRCLGVDP >Manes.14G130600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10419006:10422129:-1 gene:Manes.14G130600.v8.1 transcript:Manes.14G130600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQISLSGTAPKRYQNPNSLLKQANTVGIIGGASVLSTLIFLEKLVWWSSRDGEECIPFVVCSDPSITRVVPSHSFSSRNAEIESNVGLLIENLQCKMKFLEQSGARCLVMPCHVSHAWYDEISEGCMLPFFHVGDCVASELKEAKLKPLEAGSDVRIGMLASNSTLTAGFYQEKLQNQGFEVVLPDKATMDHILIPAIEAVSRRDMEGARNLLRVAIQILLMRAVNKVILASDELQGLLPYDEPLLKKCIDPMDALARSAVRWAKN >Manes.14G130600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10419006:10422129:-1 gene:Manes.14G130600.v8.1 transcript:Manes.14G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLHHSRSWRKETGLGLRTFDGGMAFSFHKLNYSPFLVGNIHKNRSHYRARTDLSVSVHFFSVFVQIDESRSSSKSKKISLSGTAPKRYQNPNSLLKQANTVGIIGGASVLSTLIFLEKLVWWSSRDGEECIPFVVCSDPSITRVVPSHSFSSRNAEIESNVGLLIENLQCKMKFLEQSGARCLVMPCHVSHAWYDEISEGCMLPFFHVGDCVASELKEAKLKPLEAGSDVRIGMLASNSTLTAGFYQEKLQNQGFEVVLPDKATMDHILIPAIEAVSRRDMEGARNLLRVAIQILLMRAVNKVILASDELQGLLPYDEPLLKKCIDPMDALARSAVRWAKN >Manes.05G100700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9389148:9391756:-1 gene:Manes.05G100700.v8.1 transcript:Manes.05G100700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATLTSVASLTHALSLDSSRRNLRDVSFSSFLNNSEEIVVRKLAESNQNVSSQEDEEIGVFGAEKYFNGGIDEDSPRISRTVIPRKYHLPPKKDAQLNDHMVPIKPSVHPATPSVHSESSSNSQSALLRSVHRRTLQTKTNKVRGKIGKNIFAALGCKCSCSDKDSIDVDDEHVGEISFKKSTNAAMLQGKAITEEECIKAGLDLDHKPRSRSQLEGLEKLGTGMNKENSFRYPTSNSEAGNLPKKLQLQQESIKPRKSLEVFGSPVHGRRSQSFRIERRLSMLSWDAAAPRMEEIDYSATSGGVYNDNDSDASSDLFEIESLTGKVTPFLTRQGSDDVTSGCLTPTTCYAPSEASIEWSVVTASAADFSVMSDLEELKPPTTASSSPIKAFLNSANAKSGIGKDSPRRRRSSILLGCNSHQAVSVAGDAYKTNDNASFDSRTRRTSGFYEPVTRFQAETKPMGFHPRQRQHVLSTHLQSFHSSYTQ >Manes.06G160800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28775193:28777915:-1 gene:Manes.06G160800.v8.1 transcript:Manes.06G160800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEFHRLPIFVLFSQLVLAAMAVGRSDILLPDKIALVTTKAERSDLSVPAEIYWHSKLPNTPIPQDLLNLIQQADTRPSYGRPYEQPFAEDTTDSQAWPYEQPFAEDTTDRRHKYGSHYEQPFAEDTTDRRHKYGSHYEQPFAEDTTDRRHKYGSHYEQPFAEDTTDSQAWPYEQPFAEDTTDRRHKYGSHYEQPFAEDTTDSQAWPYEQPFAEDTTDRRHKYGSHYEQPFAEDTTDRRHKYGSHYEQPFAEDTTNRRHKYGSHYGRRYELKFNKHALSNSTVFFLPNDLHAGKKMRVHITKSANKARILPRQVADSLPFSTNKLAEIMKRFSVNPESRQGKILKQTVEDCESPGIKGEDRFCPTSLESLVDFSVKHVGNKAQVLMNEIDKPKREQEYTIKEVKFIGENHVVCHKLQYPYAVYYCHALKGTKVYTAQVVGADGTKAKAVAVCHTDTSAWNPGHLAFLVLKMKPGEGTVCHFIRSDTFVMVSN >Manes.12G054600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5480676:5482516:-1 gene:Manes.12G054600.v8.1 transcript:Manes.12G054600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAQHEEIVIVGGGICGLATALAFHRKGIRSRVLEKSETLRTTGVGIIIQSNGWRALDHLGVASMLRNTALCIHSGIHTSLGNCSKTKELPMGGEIRCLKRIDLVKALASDLPLDTIQFGKHVISIQEDPITSYPVLHLQDGSVVRPKIVIGCDGVNSIISTILGPYSTKLSPTSVVRGFSYVRNGHDYGSTYHVFSNVKHVKIGIFPVTSELIYWFVIRRWTSEDSKISRDRMLIKESTVELLKNFPQEIVELIEYSDLESLHLTDLRYRSPWEVLTTNFRKGTMTVAGDAMHAMCPFLAQAGSASLEDAVVLARCLGEKLQSKAMADTRVKEMVEEGIDEYLNKRKMRVFWLCLQTDLVGRVIQPSSLPMKLLSAFFLTILFSDPNQHTRYDCCES >Manes.09G066828.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11004802:11012067:1 gene:Manes.09G066828.v8.1 transcript:Manes.09G066828.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNKADIFLLENLSDFKEEVANCLNFAEVLSSGVDIFVNDSFSLSHKILASTVAVARFCSAHVVGFHSEESLDQLRKAADANRKPYIAIVGGGNLYDKAAALHFLASKCDGLVFIGMMSFQILHALGYSVPSSLIEPKAHKAAEDIIHFAHDRNIPILYPKDFWCVNDHRPNQMEVLPAHGIMHGWSPVDLGPRSLDEINSLLIKCKKIIWIGPLKFKLSSTCVDGVSKLAQILGELSKQTCDVTVVGNMACKAIMMESSSLLDCNMIESASVVWEFFKGRKLPGIMALDRAYPFEIDWSSVYNDPAQPLVVDIGSGNGLFLLGMARRRKDLNFLGLEINKKLVRRCLDSVHQSSIWNGHFISTNATTTFRSIVSSYPGELVLVSIQCPNPDFNNPEHRWRMLQRSLVEAVTDLLAQDGKVFLQSDVEEVAVRMKELFLKYGKGRFTLSGDRSNTKIDKEAWLKENPFRVRSDWEQHVLDRGAPMYRLMLSKSPNAE >Manes.09G066828.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11004802:11012067:1 gene:Manes.09G066828.v8.1 transcript:Manes.09G066828.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPLNPIEETVLSEKLRLYAPPMLIPKLLPPKFCWYSGKTTKFKKYPSVRSSSKDCLQAAYQSKRSPEYKADYCNEGESEALPYVQTLREIPKEELAAKVVMVRFDGAILLKEEINRSSQSVSNAIFTIKYICEAGGKVILVSNWRKKFNSKLLDTESAADTLSSLLQHKVVVLQCISSTVPLKMEVLNKADIFLLENLSDFKEEVANCLNFAEVLSSGVDIFVNDSFSLSHKILASTVAVARFCSAHVVGFHSEESLDQLRKAADANRKPYIAIVGGGNLYDKAAALHFLASKCDGLVFIGMMSFQILHALGYSVPSSLIEPKAHKAAEDIIHFAHDRNIPILYPKDFWCVNDHRPNQMEVLPAHGIMHGWSPVDLGPRSLDEINSLLIKCKKIIWIGPLKFKLSSTCVDGVSKLAQILGELSKQTCDVTVVGNMACKAIMMESSSLLDCNMIESASVVWEFFKGRKLPGIMALDRAYPFEIDWSSVYNDPAQPLVVDIGSGNGLFLLGMARRRKDLNFLGLEINKKLVRRCLDSVHQSSIWNGHFISTNATTTFRSIVSSYPGELVLVSIQCPNPDFNNPEHRWRMLQRSLVEAVTDLLAQDGKVFLQSDVEEVAVRMKELFLKYGKGRFTLSGDRSNTKIDKEAWLKENPFRVRSDWEQHVLDRGAPMYRLMLSKSPNAE >Manes.09G066828.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11004802:11012067:1 gene:Manes.09G066828.v8.1 transcript:Manes.09G066828.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPLNPIEETVLSEKLRLYAPPMLIPKLLPPKFCWYSGKTTKFKKYPSVRSSSKADCLQAAYQSKRSPEYKADYCNEGESEALPYVQTLREIPKEELAAKVVMVRFDGAILLKEEINRSSQSVSNAIFTIKYICEAGGKVILVSNWRKKFNSKLLDTESAADTLSSLLQHKVVVLQCISSTVPLKMEVLNKADIFLLENLSDFKEEVANCLNFAEVLSSGVDIFVNDSFSLSHKILASTVAVARFCSAHVVGFHSEESLDQLRKAADANRKPYIAIVGGGNLYDKAAALHFLASKCDGLVFIGMMSFQILHALGYSVPSSLIEPKAHKAAEDIIHFAHDRNIPILYPKDFWCVNDHRPNQMEVLPAHGIMHGWSPVDLGPRSLDEINSLLIKCKKIIWIGPLKFKLSSTCVDGVSKLAQILGELSKQTCDVTVVGNMACKAIMMESSSLLDCNMIESASVVWEFFKGRKLPGIMALDRAYPFEIDWSSVYNDPAQPLVVDIGSGNGLFLLGMARRRKDLNFLGLEINKKLVRRCLDSVHQSSIWNGHFISTNATTTFRSIVSSYPGELVLVSIQCPNPDFNNPEHRWRMLQRSLVEAVTDLLAQDGKVFLQSDVEEVAVRMKELFLKYGKGRFTLSGDRSNTKIDKEAWLKENPFRVRSDWEQHVLDRGAPMYRLMLSKSPNAE >Manes.03G011900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1010685:1011886:-1 gene:Manes.03G011900.v8.1 transcript:Manes.03G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQEKPAAPINESSPTAETHYNEDNEEEFTSSGCCCFCWKRNKKGSQRYLLSRQEEIKDVWLVEKARKIKEISEVLAGPRWKNFIRRFSVPGFNKKRKRMQYQYDPQSYALNFDDGFDKETDVAYPDFSARFATPVLGG >Manes.11G037400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3613105:3620296:-1 gene:Manes.11G037400.v8.1 transcript:Manes.11G037400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKAVGKQGNAAANSIAIRADQMSYTYVQLISSAWNISNLLCNAGLKTVDDVRGNGHLGGARIGIVAKPSAEFVAGVLATWFSGGVAVPLALSYPETELLHVMHDSDISMVLSTEDYKELMQNIAAKSAAKFSLIPSVPSISSQRSEQYPSQMGAMEAHRGEDPALIVYTSGTTGKPKGVVHTHKSITAQVQILAEAWEYTSADQFLHCLPLHHVHGLFNALFAPIYAGSMVEFMPKFSVRGIWQRLRESYPINGKKADDAITVFTGVPTMYTRLIQGYEAMDSELQAASAFAARQLRLMMCGSSALPLPVMEQWENITGHRLLERYGMTEFVMAISNPLRGVRKAGTVGKPFPGVQVKIVEDETENDPTGVGELCVKSPSLFKEYWKLPKVTSESFTDDGFFKTGDAGKIDEDGYYVILGRTSADIMKVGGYKLSALEIESALLEYPGIAECCVLGLPDKDYGDAVCAIIVQDEAEKRKIEEQLKPALSLEELREWAKGKLAPYKLPTRLFLWDSLPRNAMGKVNKKALKTVLAAEQQNLEFGQ >Manes.11G037400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3613105:3620296:-1 gene:Manes.11G037400.v8.1 transcript:Manes.11G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSFKAFNKHLRSTYLSLHYYYTSTCRPCCYHYPYLSFVRLKAPSILSSSSLSALASRFSTYRFYSSLHSGTFMEVVKAVGKQGNAAANSIAIRADQMSYTYVQLISSAWNISNLLCNAGLKTVDDVRGNGHLGGARIGIVAKPSAEFVAGVLATWFSGGVAVPLALSYPETELLHVMHDSDISMVLSTEDYKELMQNIAAKSAAKFSLIPSVPSISSQRSEQYPSQMGAMEAHRGEDPALIVYTSGTTGKPKGVVHTHKSITAQVQILAEAWEYTSADQFLHCLPLHHVHGLFNALFAPIYAGSMVEFMPKFSVRGIWQRLRESYPINGKKADDAITVFTGVPTMYTRLIQGYEAMDSELQAASAFAARQLRLMMCGSSALPLPVMEQWENITGHRLLERYGMTEFVMAISNPLRGVRKAGTVGKPFPGVQVKIVEDETENDPTGVGELCVKSPSLFKEYWKLPKVTSESFTDDGFFKTGDAGKIDEDGYYVILGRTSADIMKVGGYKLSALEIESALLEYPGIAECCVLGLPDKDYGDAVCAIIVQDEAEKRKIEEQLKPALSLEELREWAKGKLAPYKLPTRLFLWDSLPRNAMGKVNKKALKTVLAAEQQNLEFGQ >Manes.11G037400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3613105:3620296:-1 gene:Manes.11G037400.v8.1 transcript:Manes.11G037400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKAVGKQGNAAANSIAIRADQMSYTYVQLISSAWNISNLLCNAGLKTVDDVRGNGHLGGARIGIVAKPSAEFVAGVLATWFSGGVAVPLALSYPETELLHVMHDSDISMVLSTEDYKELMQNIAAKSAAKFSLIPSVPSISSQRSEQYPSQMGAMEAHRGEDPALIVYTSGTTGKPKGVVHTHKSITAQVQILAEAWEYTSADQFLHCLPLHHVHGLFNALFAPIYAGSMVEFMPKFSVRGIWQRLRESYPINGKKADDAITVFTGVPTMYTRLIQGYEAMDSELQAASAFAARQLRLMMCGSSALPLPVMEQWENITGHRLLERYGMTEFVMAISNPLRGVRKAGTVGKPFPGVQVKIVEDETENDPTGVGELCVKSPSLFKEYWKLPKVTSESFTDDGFFKTGDAGKIDEDGYYVILGRTSADIMKVGGYKLSALEIESALLEYPGIAECCVLGLPDKDYGDAVCAIIVQDEAEKRKIEEQLKPALSLEELREWAKGKLAPYKLPTRLFLWDSLPRNAMGKVNKKALKTVLAAEQQNLEFGQ >Manes.11G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27629595:27632602:-1 gene:Manes.11G118400.v8.1 transcript:Manes.11G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCISAPGKAGCLISRRLHAHRPKPLPEPKATRIHVGNVLKNPTEKNIRKKYDIENELGRGEFGVTYKCFEKETGIAYACKTISKEKLRTETDIEDVRREVEIMMHLPKHPNIVSFKEAFEDKEAVYLVMELCEGGELFDRIVAKGHYTERAAAMVTKTILEIVKVCHDHGVIHRDLKPENFLFADAGEKSQLKSIDFGLSIFFESGQRFGEIVGSPYYMAPEVLRRNYGSQIDVWSTGVILFILLCGVPPFWAETEEGIARAIIGGKIDFTRDPWPRVSEQAKDLVKCMLDQNPYTRLTVEEALEHPWIQNARDVPNVNLGENVRTRIKQFSLMNKFKKKVLRVVADNLPPEQVDEIKQMFYVMDTDETGDLSFEELKNGFHNIGHTLPDPDVQMLMDAADIDGNGTLSIEEFVAMSIHLIKIGSDEHLFQAFRFFDKDLNGYIEFDELKDAMVNDNLGPNNEQIIKDIMSDVDLDKDGRISYEEFKTMMKSGMDWKMASRQYSRAMLNAFSTRLLKDKSMQLQLN >Manes.13G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4214506:4220878:-1 gene:Manes.13G034400.v8.1 transcript:Manes.13G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLRHLFLHRQPFFLHLTSQQVSSTRLRFPSTNGCSGYRRFGVFNQFSKQVKGEANRNQEFQQSVKELKEKAEELKGVKDVLKVRIKKTTEQLYKHVDGVWTEAEATAKKVSANVKDNISAAKEEVRETFKVGREESSESAGTSDTNGTDVEDDRKASSGEEMDKKSGTREDEETLFGKFKSSIPSSKVSLAFQRLKVAKVTNFVKNGYDVLKDELYSNPNRRKHLEYTPPPSFKGEMSTRTDIIVLPAKQSRWSKKWETFREKMQGHPLFKRFAGFSEPVVTKSQEIAQDMQERWETSDNPIVHKIQDVSDTIFQETDAAASFKEICRRDPSFSLMDFVAEIQEAVRPVLNAYMKRDLENLKKYCCPEVIARCEAEHKAFQSHGISFDNKILHVSEVEVRETKMMGTSPIIIVAFQTQQVHCVCDRNGAVTEGGKDTIHTVYYAWAMQQLDPEELGEGAIYPIWKLREMQQVGLQALI >Manes.12G004101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:564409:564991:1 gene:Manes.12G004101.v8.1 transcript:Manes.12G004101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTATATATPFLHYHQWRQYLFSRRQQVPLNVSLNVLRCNFAQEKLTSTSSRHAILVFIVPILVNFIDVKFQGKFISPFDTHPLSTMVAILSLLAYCLAYGVEQTFSSSTSAHIFRGLMTFSGSLSLASLASILLPDCFRLILYTFYISVSIGEFRP >Manes.14G171300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28150680:28153826:1 gene:Manes.14G171300.v8.1 transcript:Manes.14G171300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPWEEALDLDDSDLPSLRPLKRRNHQTTASAAAEPTVSQPFLQRCSISQSSQTLSSQNLQNLVSQFHLPPSSSPRLIPGPAGNVQSAMLRRRKNQNGENLAADFCEEPIPTQEYIRKVMEDGVAEDDDDFTGDPWLCAVDFIRRQGLADEDGAIGIPLSVIKSRIKSIDKVAQVVAIVKSCTPNGLGDAMVTLKDPTGTIDGTIHSRVLNDGAFGKDMSIGAAIILQKVAVFAPSRSAYYLNITLSNMVKVISKDCKLSVTQDCSAPVIKHAGPVFEHNEKSWMPQMPFSLSQGRTDGIMNSLRQNANKGGSSHNDQQSECKEGTWGRCSDDGNKENQNVDAGTELFLVNQNVANEAIEVANEMKDKEIKHSTRAGRTNKLEGAQCGSTAEGLIDAFNKQETGNVNRTNRRKPPTWRNSPPQWTDEQLDQLFEMD >Manes.14G171300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28150680:28153827:1 gene:Manes.14G171300.v8.1 transcript:Manes.14G171300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPWEEALDLDDSDLPSLRPLKRRNHQTTASAAAEPTVSQPFLQRCSISQSSQTLSSQNLQNLVSQFHLPPSSSPRLIPGPAGNVQSAMLRRRKNQNGENLAADFCEEPIPTQEYIRKVMEDGVAEDDDDFTGDPWLCAVDFIRRQGLADEDGAIGIPLSVIKSRIKSIDKVAQDPTGTIDGTIHSRVLNDGAFGKDMSIGAAIILQKVAVFAPSRSAYYLNITLSNMVKVISKDCKLSVTQDCSAPVIKHAGPVFEHNEKSWMPQMPFSLSQGRTDGIMNSLRQNANKGGSSHNDQQSECKEGTWGRCSDDGNKENQNVDAGTELFLVNQNVANEAIEVANEMKDKEIKHSTRAGRTNKLEGAQCGSTAEGLIDAFNKQETGNVNRTNRRKPPTWRNSPPQWTDEQLDQLFEMD >Manes.01G060200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25480841:25484021:-1 gene:Manes.01G060200.v8.1 transcript:Manes.01G060200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCCSSNGAELNTAPAYYYYPRASEEHLPVSSRHGAVSVLSTGLLVDTNLDTSVPDAYRPPPPPIPFDMVLGHAETPRGAQATSNDKDDGAVQTTNSDSVQATTGINTREISAKCEDKELDCKAQIDLELDSAKELELDLPKSIEPLISGTEEEDVCPTCLEEYDAENPKITTKCEHHFHLACILEWMERSDTCPVCDKEMIIEPPIH >Manes.01G060200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25480666:25484021:-1 gene:Manes.01G060200.v8.1 transcript:Manes.01G060200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCCSSNGAELNTAPAYYYYPRASEEHLPVSSRHGAVSVLSTGLLVDTNLDTSVPDAYRPPPPPIPFDMVLGHAETPRGAQATSNDKDDGAVQTTNSDSVQATTGINTREISAKCEDKELDCKAQIDLELDSAKELELDLPKSIEPLISGTEEEDVCPTCLEEYDAENPKITTKCEHHFHLACILEWMERSDTCPVCDKEMIIEPPIH >Manes.08G001500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:423791:428356:-1 gene:Manes.08G001500.v8.1 transcript:Manes.08G001500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEAERVVIAKPVASRPTCSNFRSFSELLAGTLNVSPPKACSESIVAAIRPRTVRFKPMVNRAPASLVSSKGNFNAGHQQQTLPLVVAQIQHPSEDKHNFTSQIISNVHQNIPSQAQTDQTTEPSRLASENQEEDQKTLSAAYNRDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLGIVSDVNDQDISTPLWNNHLNERNEGSEGRVEDQNETGLPVHSTYQGKAPPSHDLAGTGSINAGVVTPENSCGLSGECDEGSKMEGDDDEPKIKRRKNDNQSNEAGISGEGAQAQEPCPVVQSSTETEIVGDGFRWRKYGQKVVKGNPYPRSYYRCTGLKCNVRKYVERVSDDPGAFITTYEGKHNHEMPARGTNSSSEPNLQAPSSRSRA >Manes.08G001500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:423791:428356:-1 gene:Manes.08G001500.v8.1 transcript:Manes.08G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEAERVVIAKPVASRPTCSNFRSFSELLAGTLNVSPPKACSESIVAAIRPRTVRFKPMVNRAPASLVSSKADLSGKAPCNSYDTISESDSKPTVIYKPQAKLVSKATVSLLANMGNFNAGHQQQTLPLVVAQIQHPSEDKHNFTSQIISNVHQNIPSQAQTDQTTEPSRLASENQEEDQKTLSAAYNRDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLGIVSDVNDQDISTPLWNNHLNERNEGSEGRVEDQNETGLPVHSTYQGKAPPSHDLAGTGSINAGVVTPENSCGLSGECDEGSKMEGDDDEPKIKRRKNDNQSNEAGISGEGAQAQEPCPVVQSSTETEIVGDGFRWRKYGQKVVKGNPYPRSYYRCTGLKCNVRKYVERVSDDPGAFITTYEGKHNHEMPARGTNSSSEPNLQAPSSRSRA >Manes.01G078301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28123087:28123809:-1 gene:Manes.01G078301.v8.1 transcript:Manes.01G078301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVAAMFFIFIVFMGISLPPIYACVPCTQPHPPPTHHPTPPKVPHPKPPSTKYPPHHGGNPPHHGGYNPPMPPVVLPPIIVNPPPVISPPVTNPPVIITPPVTPPPSSGYPPYTGGPPYGGGGGGGGGGGGGGGGGGGGGGGGGNTPPTTQPTCPINALKLGACVDVLGGLVHVGLGNPVENVCCPVLKGLLELEAAVCLCTTLHLKLLNLNIFIPLALQVLITCGKTPPPGFVCPPL >Manes.15G015900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1303763:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1304039:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1304039:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRSVSVTSILDHLIYYGIDLRGRASICGIVMDPRVKEYGRTDVEGNFVLSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1303763:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRSVSVTSILDHLIYYGIDLRGRASICGIVMDPRVKEYGRTDVEGNFVLSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1303763:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1303763:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYYLIMFNNMGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRSVSVTSILDHLIYYGIDLRGRASICGIVMDPRVKEYGRTDVEGNFVLSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1304039:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRSVSVTSILDHLIYYGIDLRGRASICGIVMDPRVKEYGRTDVEGNFVLSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1304039:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1303763:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRSVSVTSILDHLIYYGIDLRGRASICGIVMDPRVKEYGRTDVEGNFVLSRDPASPILKLKTDMYAGGNHV >Manes.15G015900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1303730:1307947:1 gene:Manes.15G015900.v8.1 transcript:Manes.15G015900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRLILAIFVVFLFVLSSGRELQVKHKHIDHLPVYNHTLATILVEYASAVYLSDMTELFTWTCSRCNDLTEGFEIIDLIVDIQHCLQAFVGVAKNLNAVVVAFRGTQGLSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYSAYHNTTLRPAILNAIKRANDYYGDLDIMVTGHSMGGAMAAFCGLDLTVNHKAKSVMVMTFGQPRIGNAAFVSYYSRFVPNTIRVTHDRDIVPHLPPYYSYFPQKTYHHFPREVWLYNVGLGSLIYRVEKVCDDTGEDPTCSRSVSVTSILDHLIYYGIDLRGRASICGIVMDPRVKEYGRTDVEGNFVLSRDPASPILKLKTDMYAGGNHV >Manes.12G104550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25347289:25365216:-1 gene:Manes.12G104550.v8.1 transcript:Manes.12G104550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFHGRVGPTSLREVIDKMKHRLSGWKASALSKAGRVTMISSTTVLSVSVCKEMEKICRDFLWHGNSSDKKLHLVNWVTVTKPKHMGGLGIHCMRHMNQALMGKLAWRALHSCDELWAKFFGASMNWRAVCFGLELLRKRISHNVVSGNEILFWTDSWLSIGPLIEFALVYASHLSLSNKIRNYWIEGVGWNWDVLRKVLPQNILSFLQPLTLSNGVEDADGVIWSKNSSGRYSVKSGYEARGTQQVRMFMWEIAHGKIMCNIERKKKGFTNFDGCGLCSSAPKMIFHVLRDCPLAMRLWNQLGAEQIENNFFRTNDVFRWLASNL >Manes.18G080250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7402917:7403705:-1 gene:Manes.18G080250.v8.1 transcript:Manes.18G080250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKGKKTEVGGSQGAGPLIIIVSIIVISWILGLDIIGINAYYLSTSFVGWLLNNNSISFGQMLPYCLPALGFIVMGRRPLLFIIF >Manes.14G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13261310:13262905:1 gene:Manes.14G143700.v8.1 transcript:Manes.14G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCKLLFPFLLCCLLFFALPVASNAHLLKACEFEAIYNLGDSISDTGNLILEDPSSVFGRLPYGLNFYSNATGRCSNGLLMIDFIAKSAGVPLLNAYLNASSSKTHGVNFAVAGSTALPVEFLAQNRVIAPVTNSSLSIQLNWMATHFNSTCHNSKDCIEKHKKSLFMVGEIGGNDYNYALFQGKTIDELKSMIPNVVNAIKDAVKRVIGFGATRVVIPGNFPIGCMPIYLTGFRTNDSNAYDELHCLKGLNNFSIYHNELLQQAIKELQEEHPHVTLAYGDYYNAYKWVLQKAAAGLLGFDSKSLQKACCGSGGDYEFSIERFCGAPNVPVCPKPEERMSWDGVHSTQKAYFFMARWLIRDIFQKLRCIA >Manes.02G050801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4070309:4075938:-1 gene:Manes.02G050801.v8.1 transcript:Manes.02G050801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLAKEGLGKAETSNLQHAQHSFINYLTTSIYLRSLVSKHRRRMLVAGYDLDMSYITDRVLAMSFPAERMRAMYRNPLWQVKSVLDMRHPEHYKVYNLCIEESYDPAHFHGRVEAYPFDDNHVPPLEMMKQFCESVNTWLSLDPKNIAVVHCMAGKGRTGLMVCAYLVYSGMTADEALQLYANKRTTNNEGVSIPSQRRYVGYWASVLSFPKRIGDGPPKANIPQSCSRELRRIRLYDVINTVSIFFVVTELEEVPGQLYRPAGEVARGYCRPITKGYQRNASPRYYISFIEGDEEQKKSEVEEPRIVVQMDTENPIIDQKSCLDFYFNKPVEVTGDVRVIFYQKMIGGRLFYVCFNTAFIKNSLLQFSVRDLDKLGNKGKSICGSSFCLELLFGPARANTNPACIPPSSDENEDRLTDDCF >Manes.03G161900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28883256:28884296:1 gene:Manes.03G161900.v8.1 transcript:Manes.03G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHHRILTPGASRKRKEREAFYPTKSLPSAQLSPKPALKANEESVSSNGLLAGYLAYEFLTKGTLLGQRFDPARAEAVPLAGGSIQSKRGKAGLKKENNKSYAEVASILRTNGAHIPGIVNPTQLARWIQQ >Manes.03G161900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28883256:28886081:1 gene:Manes.03G161900.v8.1 transcript:Manes.03G161900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHHRILTPGASRKRKEREAFYPTKSLPSAQLSPKPALKANEESVSSNGLLAGYLAYEFLTKGTLLGQRFDPARAEAVPLAGGSIQSKRGKAGLKKENNKSYAEVASILRTNGAHIPGIVNPTQLARWIQQ >Manes.02G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10349113:10353935:1 gene:Manes.02G136200.v8.1 transcript:Manes.02G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEELDYEDEEEGVADM >Manes.07G097800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210738:30212011:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210738:30215238:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210634:30215238:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210634:30215238:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210634:30215238:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210735:30215238:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210634:30215238:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210634:30215238:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210738:30215238:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.07G097800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30210861:30211662:-1 gene:Manes.07G097800.v8.1 transcript:Manes.07G097800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSHSSVHSDAEEVGPGDEVAGSYGSQPVSLTRNDPMGRQEIQLREGRLVLIDQNGGVVDAFGPSIDTGGSIGHAETSDRLDVGQEMTQSTESINDNQIYIDVVGSNKKRRIHGHGSQVAQPSGASLSSEAQNAALLQRIKKLEEQIEVDRQERRELRKEFDEFKASIMELIAKLVSSLPTLSPSIPPHN >Manes.04G045353.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7757989:7761728:-1 gene:Manes.04G045353.v8.1 transcript:Manes.04G045353.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFIKCPSPVHSPSYLDYSACINGGSALKMHTYAMIIDESNWISDLMDLCSLETISLIPHELGLENKNNRSCVEIHRRLAFGFQLYWQSNSSVIFLDVDNRISAEYSTIFTDIGIFWFVRALLGLPCVIVFLIFNWRRKHMSEYNTIEEFLQSHNNLMPIRYSYSQIKKITGGFKEKLGEGGFGSVYKGKLHSGQFSAIKILDKSKANIQDFINEVATLGKIHHVNVVQLIGFYAETSKQALVYEFTSKGSLRKYIDLEGSILISWEKLYEISLGVAYGIEYLHRGCDMQILHFDIKPDNILLDENFIPKISNFGLAKFYPTKGSIASLTVKGGTEGYMAPELFYKNMGGVSYKADVYSFGQLVLQIADRGKKENNKVIESLSEVYSPYRLHDQLSSGNLPIEDITEEEKIKARKMIIIGL >Manes.10G030200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3097574:3100070:1 gene:Manes.10G030200.v8.1 transcript:Manes.10G030200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAHHLFLLISTILRLSASTFAIGVNYGTLGNDLPPPSQVANFLKTQTIIDSIKIFDSNPDIIRAFANTGITVTISAGNGEIPALANLNNARKWVAVNVSPFHPRTKIKRICVGNEIMASANKAWISNLVPAMWSVHNALLLAGIKDIQVTTPHSLGILSISEPPSAAKFRRGYDRVIFVPMLRFLRQTRSPFMVNPYPYFGYSPQMANYALFKRNQGVRDRFTGITYRNMYDAMLDAVHSAMKKLGYGDVDIVVGETGWPSVCDPGQPACSFQNAAWFNGNLVRRERQRRGTPLMPNRRFETYIFALFNENLKPGPTAEKNFGLFRPDFRPVYDIGIMRNRQLPASGRRRSVRPRVGGSVAPKPTGKRWCVPKSGASAQALQANIDYACSQGVDCRPIQTGGLCFDPNNVWSHASFVMNSFYRTHGARDFACDFSKTGLLTPFDPSRGTCKYI >Manes.16G019117.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2024665:2029023:-1 gene:Manes.16G019117.v8.1 transcript:Manes.16G019117.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREFMVKSGFLCFFLFNLFSFAYCSVIYNITTTRAVSPEQTLNSPSQIFELGFFTPNNSSRNQYVGIWFTEVSPQTVIWVANRENPITSSSASLTIASDGNLKLLDGQRNTIWWTNISSQSNSSIAVLSDDGNFILRNSVTGDDLWESSHHPTDSLLPGTWLAYNETTGMRITATSWKSNNDPSIGDFTAGVPPLTPPQACVWKGSKPHWRSGPWGKTKFIGIPEMDADYKSGLTLIEGLQPGIAYLTVSVFKNCSYSMFVVSPAGVVRLLCWLKERGWFARWEAPVTPCEVYGACGPFGVCQRYAPNLTCRCLKGFVPKSDDEWRKGNWTGGCIRERTELSCGGNTSSVNAQGGKPDGFLKVGGLKLPDWHVYLKVFDKKECHQRCLSNCSCSGYSYEDGIGCLVWTTNLLDMHELPFGGQDLNLRLALTELGESDQKTHIKIIVSVITVSSVILIGSMICCFMRWRAKKRSKPKTNAPSDTSRENEDSIELPLFDFNNIMLATNNFDIENKLGQGGYGPVYRGTLDGKDVAVKRLSSSSSQGIGEFKTEMKLISKLQHRNLVRLLGCCIEREEKILVYEYMPNKSLDTYLFDTTRKAELDWTKRFNIIMGVARGLLYLHRDSCLRVIHRDLKVSNILLDEKMNPKISDFGLARIFEGTQDLGSTHKVVGTIGYMAPEYLLGGIFSEKSDVFGFGILILEIVSGRKASSFQYDEQNMSLLSYAWQSWSESKGVRMIDEALMDSFSSTEVSRCVNIGLLCAQDHAADRPSMAAVVSMLSGEKTKLPEPNQPTFTFKSISTSNFQSQSNSTWSVNKVTESIIEPR >Manes.14G007900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:411435:418520:-1 gene:Manes.14G007900.v8.1 transcript:Manes.14G007900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFISNDSHKEDTLESEPILCQSTTSERTEESSSSCEIISISRDCHGDDLESVHIDETCHLVNSDQPQCRICLDTGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFVLRANVPPDRWWLRLKFQFLVARDHAFIFIVVQLIVALLGMLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREASKIVPELDASHVTELRMLGLY >Manes.14G007900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:411435:418520:-1 gene:Manes.14G007900.v8.1 transcript:Manes.14G007900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFISNDSHKEDTLESEPILCQSTTSERTEESSSSCEIISISRDCHGDDLESVHIDETCHLVNSDQPQCRICLDTGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKIVALLGMLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREASKIVPELDASHVTELRMLGLY >Manes.14G007900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:411436:418520:-1 gene:Manes.14G007900.v8.1 transcript:Manes.14G007900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFISNDSHKEDTLESEPILCQSTTSERTEESSSSCEIISISRDCHGDDLESVHIDETCHLVNSDQPQCRICLDTGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFVLRANVPPDRWWLRLKFQFLVARDHAFIFIVVQLIVALLGMLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREASKIVPELDASHVTELRMLGLY >Manes.14G007900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:411436:418520:-1 gene:Manes.14G007900.v8.1 transcript:Manes.14G007900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFISNDSHKEDTLESEPILCQSTTSERTEESSSSCEIISISRDCHGDDLESVHIDETCHLVNSDQPQCRICLDTGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKIVALLGMLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREASKIVPELDASHVTELRMLGLY >Manes.14G007900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:411436:418520:-1 gene:Manes.14G007900.v8.1 transcript:Manes.14G007900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFISNDSHKEDTLESEPILCQSTTSERTEESSSSCEIISISRDCHGDDLESVHIDETCHLVNSDQPQCRICLDTGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKYLQEGFAFAHCTECRALFVLRANVPPDRWWLRLKFQFLVARDHAFIFIVVQLIVALLGMLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREASKIVPELDASHVTELRMLGLY >Manes.14G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:411435:418520:-1 gene:Manes.14G007900.v8.1 transcript:Manes.14G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFISNDSHKEDTLESEPILCQSTTSERTEESSSSCEIISISRDCHGDDLESVHIDETCHLVNSDQPQCRICLDTGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKYLQEGFAFAHCTECRALFVLRANVPPDRWWLRLKFQFLVARDHAFIFIVVQLIVALLGMLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREASKIVPELDASHVTELRMLGLY >Manes.04G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30101370:30106691:1 gene:Manes.04G095300.v8.1 transcript:Manes.04G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLINQQGEIIFRSKLPDIYIPKHLTLHSYIFENISSHSSRPCLINGATGDIYTYAEVELTGRRVASGLNKLGVKQGDVIMLLLHNSPQFVLSFLGASFRGAIATAANPFFTPAEIAKQAKASNTKLIITQAAYAEKVKDLARDHGIKVLCIDSAPDGCLHFSELSEADERDMPDVNISSEDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSEDVILCVLPMFHIYALNSIMLCGLRVGAAILIMPKFDIGALLQLIQKYKITVAPIVPPIVLSIAKSPDTDKYDLSSIRMLKSGAAPLGKELEDTVRAKFPQATLGQGYGMTEAGPVLAMCLAFAKEPFSIKPGACGTVVRNAEMKIVDPETGESLPRNQPGEICIRGDQIMKGYLNDPEATARTIDKQGWLHTGDIGYIDDDDELFIVDRLKEIIKYKGFQVAPAEIEALLLAHLEISDAAVVGMKDENAGEVPVAFVVRSDKSQITEDEIKQYIYKQVVFYKRIGKVFFVESIPKAPSGKILRKILRENLTSDFQK >Manes.05G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1223647:1228471:1 gene:Manes.05G005400.v8.1 transcript:Manes.05G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVESNANSNAGQLNSAGNETNVLNGTISRTSNGLFSPTMTEEPSISCTTFNILAPIYKRLDHQNPSIRESDFRAFWFTRNQRILDWLLFERSSIICLQEFWVGNEELVHMYQERLGDAGYITFQLARTNNRGDGLLTAVHKDYFRVLNYKELLFNDFGDRVAQLLHVQSAVPLAQNQNGDAQQEFLIVNTHLLFPHDSSLSIVRLLQVYKILKYVETYQRENKLSHMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDMAHQYTDSDADAHKWVSHRNHRGNICGVDFIWLRNPIKSKKLLETSWAEAVLGIIKGQLLRASLNENDAFAIFKADEPGDCITYSTFCEGLRQVNLIGLPCGLSFQQTKDLWILVDVDGNGIVDYEEFMQRIWNSSCLVQEEDCTSNTEDTALGVEEEAVGFTVKNAHLSPREAEKGMWPENYSLSDHARLTVVFSAVRMHSSPKIL >Manes.09G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22240526:22245315:1 gene:Manes.09G084500.v8.1 transcript:Manes.09G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FPS1 MADLKSTFLRVYSVLKQELLEDPAFEWTPDSRQWVERMLDYNVPGGKLNRGLSVVDSYKLLKDGRELTEEEIFLASALGWCIEWLQAYFLVLDDIMDSSHTRRGQPCWFRVPKVGLIATNDGILLRNHIPRILKKHFRGKAYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHIAVKDILVQMGIYFQVQDDYLDCFGDPKTIGKIGTDIEDFKCSWLVVKALEHCDEEQKKVLYEHYGKADPASVAKVKVLYNELNLQGVFMEYENESYEILVTSIEAHPSKSVQAVLKSFLAKIYKRQK >Manes.09G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22240526:22245315:1 gene:Manes.09G084500.v8.1 transcript:Manes.09G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FPS1 MDSSHTRRGQPCWFRVPKVGLIATNDGILLRNHIPRILKKHFRGKAYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHIAVKDILVQMGIYFQVQDDYLDCFGDPKTIGKIGTDIEDFKCSWLVVKALEHCDEEQKKVLYEHYGKADPASVAKVKVLYNELNLQGVFMEYENESYEILVTSIEAHPSKSVQAVLKSFLAKIYKRQK >Manes.09G116300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31903693:31906958:1 gene:Manes.09G116300.v8.1 transcript:Manes.09G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLQIFPPRKRRPPVEAFIAPNFSDLKLVHSLLILSQDICSLDPIPFLLKRNYLSIIRKAKLLSILFDEIFRNPIRFVSPIHLCFEEMYIVLLRVKALIEDCSNGSRMWLLIQTESVATSFYELILELSTLLDIFPVEKVDLSLDIQEIVVLIRKQCYQSKAFLDPSDYNLRHQVLTMLDRIKKQIVPDHSKLAEIFYKLGLRNLSACKEEIERLEDEVQNQIDEKSKSEVVALMGLVRYAKCVLYGASTPTSDHQRRRKVSEANIPSDFRCPISLDLMRDPVVVATGHTYDRESINPWIELGHKTCPKTGQTLPHTNLIPNLALKNLIGMWCWKHKIPFETTENNQKDNAVIQNKAAIEATKMTVSFLVSKLSHSESLETANGVVYELRALAKANPDSRACMAEAGAIPLLVRYLGSDVGSKIPNLQVNAVTTILNLSILEENKIRIMETDGASNGVIEVLRSGATWEAKGNAAATIFSLSGVHSYRKRLGRKSRVITGLIDLARSGNTCSKKDALIAILNLAGDRETVGRLVEAGVVDMVKEVVNELPEEAVSILEVVVKRGGMVAIAAAYSVIRKLGRLLREGSDASRESAVAALVTICRKGGAEMVAELASITGIERTIWELMGSGTTRSRRKAASLLRILRRWAAGLDCGYVDMSSSSTAALAS >Manes.16G105800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31131984:31137296:1 gene:Manes.16G105800.v8.1 transcript:Manes.16G105800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKQETSALATLDKLNETLEMLEKKEKVLVKKAAAEVEKAREFTRTRNKKAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVAQKRTAEEDELAALQAEMAL >Manes.16G105800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31132561:31137296:1 gene:Manes.16G105800.v8.1 transcript:Manes.16G105800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKQETSALATLDKLNETLEMLEKKEKVLVKKAAAEVEKAREFTRTRNKKAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVAQKRTAEEDELAALQAEMAL >Manes.16G105800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31132283:31136185:1 gene:Manes.16G105800.v8.1 transcript:Manes.16G105800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKQETSALATLDKLNETLEMLEKKEKVLVKKAAAEVEKAREFTRTRNKKAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGRQPARPVAQKRTAEEDELAALQAEMAL >Manes.10G117901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28308809:28309182:1 gene:Manes.10G117901.v8.1 transcript:Manes.10G117901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMEVVYRIITSSSSPEDLKQSINSSGIFLDNNLIDKVLKRFRFGHGKPLQALELFKFTANRKGFYHTPNSLDTMLYIWAKSSI >Manes.09G169800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36174686:36176283:-1 gene:Manes.09G169800.v8.1 transcript:Manes.09G169800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDANGHYTGQFSTFALCGFVRAQGDADSALDRLWQKKKAELRQ >Manes.09G169800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36174686:36176283:-1 gene:Manes.09G169800.v8.1 transcript:Manes.09G169800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDANGHYTGQFSTFALCGFVRAQGDADSALDRLWQKKKAELRQ >Manes.09G169800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36174686:36176283:-1 gene:Manes.09G169800.v8.1 transcript:Manes.09G169800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDANGHYTGQFSTFALCGFVRAQGDADSALDRLWQKKKAELRQ >Manes.09G169800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36174923:36176042:-1 gene:Manes.09G169800.v8.1 transcript:Manes.09G169800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDANGHYTGQFSTFALCGFVRAQGDADSALDRLWQKKKAELRQ >Manes.05G113988.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14214426:14216461:-1 gene:Manes.05G113988.v8.1 transcript:Manes.05G113988.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTANLQGFKPSFPFLDTDPNLEPINQFTVVNQTIQQTSNLNSIHNFNMLLSGDTFFNHQATSAEFPGNLADNFPGIFHQNNQNNIMPEVSRGHSFSTAGNESEVLESKKRKAMDVSETSSLKSSPQVSESGKAKNSSRRGKKAKSKEEEEKPKEVVHVRARRGQATDSHSLAERVRRGKINDKLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASTFYDFNAETNEIETMQRAKAEEAKEIERVMIEGYGGLINHFHQTWSL >Manes.13G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35820158:35824230:1 gene:Manes.13G144600.v8.1 transcript:Manes.13G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSWHPSVHQKISGQSSLISKLSPSLHTQNYALTGAYRNGLQNHLHPALQGTGLALLSAPSPVFIQAPSEKGASGFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFARTIKDEGVLALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTIKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGGLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQIIQKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGGG >Manes.04G131400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33282025:33285533:-1 gene:Manes.04G131400.v8.1 transcript:Manes.04G131400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVLSSRRVSPHPDDAVSDSDTSTVYGDESKTRKLVLSLLAANYLSRLSHVCPCPTPCLILCLLVLLVYIVSLLYHSRNFVCVSPFDPKNRVGFFGLDGLESDFGFLGVPWCRSKHGKTVEWTSKDLLKGLEEFVPIYETRPIKNNMYGGMGFDHSFGLWFIARWLKPELTIESGAFKGHSTWKYLKKGPAYVDGNCTYFAGKDFLDFESVEWKSVMKRHGFNDFSRVLIFFDDHQNELKRVKQALKAGVEHLVFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFRDGDESRIRSKWKKFWEKAVDIDELCGPNEAWWGIRSWMLDNFNHSNKLISYEEHFQNSQFIDSILDVYWELPPVAGPSLTHQTRYDPARTIPPIVEDGRYGLFQWLGLGRLETSVFNGYTQMVYLQISEQES >Manes.11G097401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20755376:20756897:1 gene:Manes.11G097401.v8.1 transcript:Manes.11G097401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSWLQYEVPSQSQRELKKLRDEELQPQMIELLKGLTHTWKIMLESHETQNQILYEVKSFACATYGKFCNASHRLPTFQLEAEIHNWRTCFTEYVAAQKAYVEALHGWLNKFVVPEVEFYSRGRSSAATYRAAGPPLLTICHNFLSSMENLPGKLESYALKSFSKDVRALWTQQG >Manes.01G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5468644:5470851:-1 gene:Manes.01G026400.v8.1 transcript:Manes.01G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTNFDLLTASTLFCFIATAFACTTGQCKQLQETCSSDADCAAGLTCLSCSLVFEGSRCVRSNITDQFKLMNNSLPFNKYAFLTTHNSFAIEGEPSHTGVPRVTFTNQEDNVTQQLNHGVRALMLDTYDFDGDVWLCHSFEGKCHDFTAFEPAIDTFKEIEAFLSGNPSEIVTLILEDYVSTPNGLTNVFNNSGLMKYWFPVSNMPQNGNDWPLVKDMVDNNQRLIVFTSKKSKQETEGIAYQWNYMVENQYGDDGLSKNCTNRAESSSLSDKTKSLVLVNHFGSVPVKEIACNENSASLIDTLHTCYAASGNRWANFVAVDYYKRSNGGGAFQAVDTLNGELLCDCNDVHACVAGSSATCTQ >Manes.12G140200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34640728:34650449:-1 gene:Manes.12G140200.v8.1 transcript:Manes.12G140200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLCPNPLPGSMELMPFLCFLVIISGCKCLASLPYQGKIGKARRLFDEIPDRDSVAWTTMIVGYNQMGLFESAIKMFVEMVKDKVTPTQFTITNVLSSCAATGALGIGKKVHSFIVKLGLNGYIPVGNSLLNMYAKAGDLVMAQIIFDRMRLRSISSWNIMICLYMRSGNVALALAQFKQMSERDIVSWNSMIAGYNQHGLDMEALELFYSLLRDSSLKPDRFTLASILSACANVENLNLGKQIHSYIIRIQFDLSGVVQNALVSMYAKSGGLEIAQRVVEHSGISDLDVIAFTALLDGYVKLGDIAPARQIFDSLRDRDVIAWTAMIVGYLQNGLNDDAMELFRKMANEGPQPNSFTLAAILSVISNVASLNHGKQIHASAIRSGEHLSVSVGNALITMYAKAGSINGARKVFNLICWNKDTVSWTSMIIALAQHGLGEEAIKLFEKMLALNIEPDHITYVGVLSACTHVGLVEQGRSYFNLMVSVHKIEPTLSHYACMIDMLGRAGLLQEAFNFIEEMPVEPDVIAWGSLLSSCKVYKNIDLAKVAAERLLLIQPDNGGAYSALANVYSACGKWEDAAKIRKLMKDRGVKKEQGFSWVQIQNKVHVFGAEDGRHPQKDEIYKMMDKIWKDIKKMGFVPDTESVLHDLEEEVKDKLLRYHSEKLAIAFGLISTPENTTLRIMKNLRVCNDCHTAIKFVSKLVGREIIVRDVTRFHHFKDGFCSCRDYW >Manes.12G140200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34640579:34645258:-1 gene:Manes.12G140200.v8.1 transcript:Manes.12G140200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPSSPSSSSDFYAFLLQTSLKSKEQLMGKSIHAQMIKLGLNFSVYLMNNLMNLYAKSGYISDAHNLFDEMPVKSTFSWNTILSCYAKQGKIGKARRLFDEIPDRDSVAWTTMIVGYNQMGLFESAIKMFVEMVKDKVTPTQFTITNVLSSCAATGALGIGKKVHSFIVKLGLNGYIPVGNSLLNMYAKAGDLVMAQIIFDRMRLRSISSWNIMICLYMRSGNVALALAQFKQMSERDIVSWNSMIAGYNQHGLDMEALELFYSLLRDSSLKPDRFTLASILSACANVENLNLGKQIHSYIIRIQFDLSGVVQNALVSMYAKSGGLEIAQRVVEHSGISDLDVIAFTALLDGYVKLGDIAPARQIFDSLRDRDVIAWTAMIVGYLQNGLNDDAMELFRKMANEGPQPNSFTLAAILSVISNVASLNHGKQIHASAIRSGEHLSVSVGNALITMYAKAGSINGARKVFNLICWNKDTVSWTSMIIALAQHGLGEEAIKLFEKMLALNIEPDHITYVGVLSACTHVGLVEQGRSYFNLMVSVHKIEPTLSHYACMIDMLGRAGLLQEAFNFIEEMPVEPDVIAWGSLLSSCKVYKNIDLAKVAAERLLLIQPDNGGAYSALANVYSACGKWEDAAKIRKLMKDRGVKKEQGFSWVQIQNKVHVFGAEDGRHPQKDEIYKMMDKIWKDIKKMGFVPDTESVLHDLEEEVKDKLLRYHSEKLAIAFGLISTPENTTLRIMKNLRVCNDCHTAIKFVSKLVGREIIVRDVTRFHHFKDGFCSCRDYW >Manes.07G009980.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1366517:1369525:-1 gene:Manes.07G009980.v8.1 transcript:Manes.07G009980.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASKLSPQLIRMLIFFLISLLALTKPAMADDVDDIPKNFNRSYFPDDFIFGTATSAYQIEGAANISGKGPSVWDTFTHEYPERIRDKSNGDIAVDFYHRYQEDIQNVKNMGFNAFRFSISWSRVIPSGRRREGINEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSRNIVRDYREYADLLFERFGDRVKHWMTFNEPWALSGFAYDDGVFAPGRCSSWVNNQCRAGNSATEPYIVAHNLLLSHSAAVNIYRKNYQKIQNGKIGITLFSFWFEPLSNRISDIEASRTAMDFMFGLWMDPLTYGRYPRTVQDLVGDKLISFSDEETQLLRGSYDFIGLQYYTSYYVKPNASIDSDRIRYKTDSNLTETPYDYDGNLIGPQAYSPWFYIYPNGIRHLLNYTKDRYNNPVIYITENGVDNLNDETQSIEEALKDEFRIDYYRKHIWNTLGSLKDYNVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKDSAIWFTKFLNPSN >Manes.18G012500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1440257:1446088:1 gene:Manes.18G012500.v8.1 transcript:Manes.18G012500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWTFRSALVLLCILSLISEQGQHIHAKAESDSQNPVNPPKVEEKLGAVPNGLSTDSDVAKREAESISKRSLRSDAQKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDAIDKIRFLALTDKEVLGEGDNTKLDIQIKLDKQNKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKDLVKKYSEFINFPIYLWASKEVDVEVPADGDESSDEEEKSAESSSGEEEESEKGEDEDTEDKPKTKKVKETTYEWELLNDVKAIWLRNPKEVTDEEYTKFYHSLTKDFSDDKPLAWSHFTAEGDVEFKAVLFVPPKAPDDLYESYHDAYKSNLKLYVRRVFISDEFNELLPKYLNFLRGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIADEDPDEAKDKDKKDVENSSDDEKKGQYAKFWNQFGKSIKLGIIEDATNRNRLAKLLRFESTKSEGKLTSLDQYISRMKSGQKDIFYITGVNKELLEKSPFLERLKKKGYEVIFFTNPIDEYLMQYLMEYEDKKFQNVSKEGLKLGKDSKDKELKESFKELTKWWKGVLASENVEDVKISNRLDDTPCVVVTSKYGWSANMERIMQSQSLSDASKQAYMRAKRVLEINARHPIIKELRERVVKDPEDESVKQTAQLIYQTALLDSGFILQDPKDFASRIYSSVKSSLNISPDANVEEEVDVEETESKTETKETADTSKNDADTGTSAVKDEL >Manes.18G012500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1440257:1446088:1 gene:Manes.18G012500.v8.1 transcript:Manes.18G012500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWTFRSALVLLCILSLISEQGQHIHAKAESDSQNPVNPPKVEEKLGAVPNGLSTDSDVAKREAESISKRSLRSDAQKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDAIDKIRFLALTDKEVLGEGDNTKLDIQIKLDKQNKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKDLVKKYSEFINFPIYLWASKEVDVEVPADGDESSDEEEKSESSSGEEEESEKGEDEDTEDKPKTKKVKETTYEWELLNDVKAIWLRNPKEVTDEEYTKFYHSLTKDFSDDKPLAWSHFTAEGDVEFKAVLFVPPKAPDDLYESYHDAYKSNLKLYVRRVFISDEFNELLPKYLNFLRGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIADEDPDEAKDKDKKDVENSSDDEKKGQYAKFWNQFGKSIKLGIIEDATNRNRLAKLLRFESTKSEGKLTSLDQYISRMKSGQKDIFYITGVNKELLEKSPFLERLKKKGYEVIFFTNPIDEYLMQYLMEYEDKKFQNVSKEGLKLGKDSKDKELKESFKELTKWWKGVLASENVEDVKISNRLDDTPCVVVTSKYGWSANMERIMQSQSLSDASKQAYMRAKRVLEINARHPIIKELRERVVKDPEDESVKQTAQLIYQTALLDSGFILQDPKDFASRIYSSVKSSLNISPDANVEEEVDVEETESKTETKETADTSKNDADTGTSAVKDEL >Manes.03G187900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30756836:30771122:-1 gene:Manes.03G187900.v8.1 transcript:Manes.03G187900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGKHSGPSGRPSPQPRFGDFPRLPSPSPYPPKSPPFFHSSPVPASRSPVATERVLSPPLSYEGSSLAANASQSAGIPRRPEALGRVRSPPLSFKSTHPVANPSFGAHRSTLSPSQWVNGQSSLSKDDDQTNLTPSAVASFVASRNAGTSVTAKISRFPEIKRTRSPPSQALDEDISRNPRQTFLQRPALYQSEWDNQHKLMNNYPNLLAHQDHSPVSPFEGSHGSARSFVNDDADVHVPKQARSPPVSPADGVLQKETRRSSTSPPRLGARSNAFSSTSGSQISQKISPSANTTAIEAAPTRNTNYSVAKRTRSPPFPASDKAFQGNSYSDQDGAEREIQAKAKRLARFKEELGEKFENRTDIAEKKVSASGRERYIIEKQKFAGNYSMESGGDFSNGDISSDCDGLGSSGIIIGLCPDMCPESEREERERKGDLDQYERLDGDRNQTTKFLAVKKYTRTAEREASLIRPMPILQKTIDYLLNLLDQPYDDRFLGTYNFLWDRMRAIRMDLRMQHIFNLEAITMLEEMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEQEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSPEIRQMPEVLFARDVARACRTGNFVAFFRLARKARYLQACLMHAHFAKLRTQALASLHCGLQNNQGLPVAHVANWLAMEEEDIESLLEHHGFSIKEFEEPYMVKEGPFLNGDQDYPTKRSKLVHQKISRRIVDDVSPTSQVASLPAQASREIQLPTVCKHDKKPVASTFMERKGSTHAVDEEMPDFQVVSSPKVGTKLQPVIDTSKVGQQSQEDRRVEGSYFSPWGFSLVHSPLVNLPAKFNEVEKLNDNILSSISPEKKMLSGMEDVPLQVVSRASLQEISPSAKYGYVMENKVPVVVCNDTKDEPPDNHEMENDEVLENYDDEVAQAKLKLIIRLWRRRASKQRELREQRQIVANAALSSLSLGPPIRKAKDQLSTTTEFDIEHVMRERYEKHKQSWSSLNVSDVIVDILGKRNPTVGCLCWKIVLCSQQNNQGDKVVAAGPWLLSKIMPSKKDDNLLISSSGMSIWKKWVPSQSGNDLTCCLSVVRDVMFDDLNETIDGASAILFVVSESIPLNTQKAQLHNLLMSVPSDSCLPLLILCGSCNIKVSDPSSTIVSELGLHDIEKSRISSFLIVFLTGDTEKEYLDGFFSDERLREGLRWLASESLVQPDICCIKTRELILTHLNPLLDVLDKKSVHALDPNHCISAFNEALDWSLGEIAAAAKSKPIGWPCPEIALLQESCDEHMVVRWYLPSIGWSSAARIEPLVSALRECKLPTFPDPISLSGKGAKSGEEIENLKSQLENCLIHYMTQSSGMMTPNLAIKEAQVMLQKCARLELHDSSYYIIPKWISVFRRIFNWRLTSLSSGAVSSAYVLRHHHVDPTPLILDEFGLEGNESLPYINQPSLDEIIVGCTPLVPTRDEPQLEAFQPQQRRVSNGDVCGAGRTNDLMEDESASAQFDRDNHVNAGGVEATVAGQTTKEADKLTKLLEQCSILQNSIEEKLYIYF >Manes.03G187900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30756836:30770998:-1 gene:Manes.03G187900.v8.1 transcript:Manes.03G187900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGKHSGPSGRPSPQPRFGDFPRLPSPSPYPPKSPPFFHSSPVPASSPVATERVLSPPLSYEGSSLAANASQSAGIPRRPEALGRVRSPPLSFKSTHPVANPSFGAHRSTLSPSQWVNGQSSLSKDDDQTNLTPSAVASFVASRNAGTSVTAKISRFPEIKRTRSPPSQALDEDISRNPRQTFLQRPALYQSEWDNQHKLMNNYPNLLAHQDHSPVSPFEGSHGSARSFVNDDADVHVPKQARSPPVSPADGVLQKETRRSSTSPPRLGARSNAFSSTSGSQISQKISPSANTTAIEAAPTRNTNYSVAKRTRSPPFPASDKAFQGNSYSDQDGAEREIQAKAKRLARFKEELGEKFENRTDIAEKKVSASGRERYIIEKQKFAGNYSMESGGDFSNGDISSDCDGLGSSGIIIGLCPDMCPESEREERERKGDLDQYERLDGDRNQTTKFLAVKKYTRTAEREASLIRPMPILQKTIDYLLNLLDQPYDDRFLGTYNFLWDRMRAIRMDLRMQHIFNLEAITMLEEMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEQEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSPEIRQMPEVLFARDVARACRTGNFVAFFRLARKARYLQACLMHAHFAKLRTQALASLHCGLQNNQGLPVAHVANWLAMEEEDIESLLEHHGFSIKEFEEPYMVKEGPFLNGDQDYPTKRSKLVHQKISRRIVDDVSPTSQVASLPAQASREIQLPTVCKHDKKPVASTFMERKGSTHAVDEEMPDFQVVSSPKVGTKLQPVIDTSKVGQQSQEDRRVEGSYFSPWGFSLVHSPLVNLPAKFNEVEKLNDNILSSISPEKKMLSGMEDVPLQVVSRASLQEISPSAKYGYVMENKVPVVVCNDTKDEPPDNHEMENDEVLENYDDEVAQAKLKLIIRLWRRRASKQRELREQRQIVANAALSSLSLGPPIRKAKDQLSTTTEFDIEHVMRERYEKHKQSWSSLNVSDVIVDILGKRNPTVGCLCWKIVLCSQQNNQGDKVVAAGPWLLSKIMPSKKDDNLLISSSGMSIWKKWVPSQSGNDLTCCLSVVRDVMFDDLNETIDGASAILFVVSESIPLNTQKAQLHNLLMSVPSDSCLPLLILCGSCNIKVSDPSSTIVSELGLHDIEKSRISSFLIVFLTGDTEKEYLDGFFSDERLREGLRWLASESLVQPDICCIKTRELILTHLNPLLDVLDKKSVHALDPNHCISAFNEALDWSLGEIAAAAKSKPIGWPCPEIALLQESCDEHMVVRWYLPSIGWSSAARIEPLVSALRECKLPTFPDPISLSGKGAKSGEEIENLKSQLENCLIHYMTQSSGMMTPNLAIKEAQVMLQKCARLELHDSSYYIIPKWISVFRRIFNWRLTSLSSGAVSSAYVLRHHHVDPTPLILDEFGLEGNESLPYINQPSLDEIIVGCTPLVPTRDEPQLEAFQPQQRRVSNGDVCGAGRTNDLMEDESASAQFDRDNHVNAGGVEATVAGQTTKEADKLTKLLEQCSILQNSIEEKLYIYF >Manes.03G187900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30756836:30769884:-1 gene:Manes.03G187900.v8.1 transcript:Manes.03G187900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYPNLLAHQDHSPVSPFEGSHGSARSFVNDDADVHVPKQARSPPVSPADGVLQKETRRSSTSPPRLGARSNAFSSTSGSQISQKISPSANTTAIEAAPTRNTNYSVAKRTRSPPFPASDKAFQGNSYSDQDGAEREIQAKAKRLARFKEELGEKFENRTDIAEKKVSASGRERYIIEKQKFAGNYSMESGGDFSNGDISSDCDGLGSSGIIIGLCPDMCPESEREERERKGDLDQYERLDGDRNQTTKFLAVKKYTRTAEREASLIRPMPILQKTIDYLLNLLDQPYDDRFLGTYNFLWDRMRAIRMDLRMQHIFNLEAITMLEEMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEQEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSPEIRQMPEVLFARDVARACRTGNFVAFFRLARKARYLQACLMHAHFAKLRTQALASLHCGLQNNQGLPVAHVANWLAMEEEDIESLLEHHGFSIKEFEEPYMVKEGPFLNGDQDYPTKRSKLVHQKISRRIVDDVSPTSQVASLPAQASREIQLPTVCKHDKKPVASTFMERKGSTHAVDEEMPDFQVVSSPKVGTKLQPVIDTSKVGQQSQEDRRVEGSYFSPWGFSLVHSPLVNLPAKFNEVEKLNDNILSSISPEKKMLSGMEDVPLQVVSRASLQEISPSAKYGYVMENKVPVVVCNDTKDEPPDNHEMENDEVLENYDDEVAQAKLKLIIRLWRRRASKQRELREQRQIVANAALSSLSLGPPIRKAKDQLSTTTEFDIEHVMRERYEKHKQSWSSLNVSDVIVDILGKRNPTVGCLCWKIVLCSQQNNQGDKVVAAGPWLLSKIMPSKKDDNLLISSSGMSIWKKWVPSQSGNDLTCCLSVVRDVMFDDLNETIDGASAILFVVSESIPLNTQKAQLHNLLMSVPSDSCLPLLILCGSCNIKVSDPSSTIVSELGLHDIEKSRISSFLIVFLTGDTEKEYLDGFFSDERLREGLRWLASESLVQPDICCIKTRELILTHLNPLLDVLDKKSVHALDPNHCISAFNEALDWSLGEIAAAAKSKPIGWPCPEIALLQESCDEHMVVRWYLPSIGWSSAARIEPLVSALRECKLPTFPDPISLSGKGAKSGEEIENLKSQLENCLIHYMTQSSGMMTPNLAIKEAQVMLQKCARLELHDSSYYIIPKWISVFRRIFNWRLTSLSSGAVSSAYVLRHHHVDPTPLILDEFGLEGNESLPYINQPSLDEIIVGCTPLVPTRDEPQLEAFQPQQRRVSNGDVCGAGRTNDLMEDESASAQFDRDNHVNAGGVEATVAGQTTKEADKLTKLLEQCSILQNSIEEKLYIYF >Manes.03G187900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30756836:30770998:-1 gene:Manes.03G187900.v8.1 transcript:Manes.03G187900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGKHSGPSGRPSPQPRFGDFPRLPSPSPYPPKSPPFFHSSPVPASRSPVATERVLSPPLSYEGSSLAANASQSAGIPRTGGFSCDSRRPEALGRVRSPPLSFKSTHPVANPSFGAHRSTLSPSQWVNGQSSLSKDDDQTNLTPSAVASFVASRNAGTSVTAKISRFPEIKRTRSPPSQALDEDISRNPRQTFLQRPALYQSEWDNQHKLMNNYPNLLAHQDHSPVSPFEGSHGSARSFVNDDADVHVPKQARSPPVSPADGVLQKETRRSSTSPPRLGARSNAFSSTSGSQISQKISPSANTTAIEAAPTRNTNYSVAKRTRSPPFPASDKAFQGNSYSDQDGAEREIQAKAKRLARFKEELGEKFENRTDIAEKKVSASGRERYIIEKQKFAGNYSMESGGDFSNGDISSDCDGLGSSGIIIGLCPDMCPESEREERERKGDLDQYERLDGDRNQTTKFLAVKKYTRTAEREASLIRPMPILQKTIDYLLNLLDQPYDDRFLGTYNFLWDRMRAIRMDLRMQHIFNLEAITMLEEMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEQEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSPEIRQMPEVLFARDVARACRTGNFVAFFRLARKARYLQACLMHAHFAKLRTQALASLHCGLQNNQGLPVAHVANWLAMEEEDIESLLEHHGFSIKEFEEPYMVKEGPFLNGDQDYPTKRSKLVHQKISRRIVDDVSPTSQVASLPAQASREIQLPTVCKHDKKPVASTFMERKGSTHAVDEEMPDFQVVSSPKVGTKLQPVIDTSKVGQQSQEDRRVEGSYFSPWGFSLVHSPLVNLPAKFNEVEKLNDNILSSISPEKKMLSGMEDVPLQVVSRASLQEISPSAKYGYVMENKVPVVVCNDTKDEPPDNHEMENDEVLENYDDEVAQAKLKLIIRLWRRRASKQRELREQRQIVANAALSSLSLGPPIRKAKDQLSTTTEFDIEHVMRERYEKHKQSWSSLNVSDVIVDILGKRNPTVGCLCWKIVLCSQQNNQGDKVVAAGPWLLSKIMPSKKDDNLLISSSGMSIWKKWVPSQSGNDLTCCLSVVRDVMFDDLNETIDGASAILFVVSESIPLNTQKAQLHNLLMSVPSDSCLPLLILCGSCNIKVSDPSSTIVSELGLHDIEKSRISSFLIVFLTGDTEKEYLDGFFSDERLREGLRWLASESLVQPDICCIKTRELILTHLNPLLDVLDKKSVHALDPNHCISAFNEALDWSLGEIAAAAKSKPIGWPCPEIALLQESCDEHMVVRWYLPSIGWSSAARIEPLVSALRECKLPTFPDPISLSGKGAKSGEEIENLKSQLENCLIHYMTQSSGMMTPNLAIKEAQVMLQKCARLELHDSSYYIIPKWISVFRRIFNWRLTSLSSGAVSSAYVLRHHHVDPTPLILDEFGLEGNESLPYINQPSLDEIIVGCTPLVPTRDEPQLEAFQPQQRRVSNGDVCGAGRTNDLMEDESASAQFDRDNHVNAGGVEATVAGQTTKEADKLTKLLEQCSILQNSIEEKLYIYF >Manes.03G187900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30756836:30769066:-1 gene:Manes.03G187900.v8.1 transcript:Manes.03G187900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYPNLLAHQDHSPVSPFEGSHGSARSFVNDDADVHVPKQARSPPVSPADGVLQKETRRSSTSPPRLGARSNAFSSTSGSQISQKISPSANTTAIEAAPTRNTNYSVAKRTRSPPFPASDKAFQGNSYSDQDGAEREIQAKAKRLARFKEELGEKFENRTDIAEKKVSASGRERYIIEKQKFAGNYSMESGGDFSNGDISSDCDGLGSSGIIIGLCPDMCPESEREERERKGDLDQYERLDGDRNQTTKFLAVKKYTRTAEREASLIRPMPILQKTIDYLLNLLDQPYDDRFLGTYNFLWDRMRAIRMDLRMQHIFNLEAITMLEEMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEQEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSPEIRQMPEVLFARDVARACRTGNFVAFFRLARKARYLQACLMHAHFAKLRTQALASLHCGLQNNQGLPVAHVANWLAMEEEDIESLLEHHGFSIKEFEEPYMVKEGPFLNGDQDYPTKRSKLVHQKISRRIVDDVSPTSQVASLPAQASREIQLPTVCKHDKKPVASTFMERKGSTHAVDEEMPDFQVVSSPKVGTKLQPVIDTSKVGQQSQEDRRVEGSYFSPWGFSLVHSPLVNLPAKFNEVEKLNDNILSSISPEKKMLSGMEDVPLQVVSRASLQEISPSAKYGYVMENKVPVVVCNDTKDEPPDNHEMENDEVLENYDDEVAQAKLKLIIRLWRRRASKQRELREQRQIVANAALSSLSLGPPIRKAKDQLSTTTEFDIEHVMRERYEKHKQSWSSLNVSDVIVDILGKRNPTVGCLCWKIVLCSQQNNQGDKVVAAGPWLLSKIMPSKKDDNLLISSSGMSIWKKWVPSQSGNDLTCCLSVVRDVMFDDLNETIDGASAILFVVSESIPLNTQKAQLHNLLMSVPSDSCLPLLILCGSCNIKVSDPSSTIVSELGLHDIEKSRISSFLIVFLTGDTEKEYLDGFFSDERLREGLRWLASESLVQPDICCIKTRELILTHLNPLLDVLDKKSVHALDPNHCISAFNEALDWSLGEIAAAAKSKPIGWPCPEIALLQESCDEHMVVRWYLPSIGWSSAARIEPLVSALRECKLPTFPDPISLSGKGAKSGEEIENLKSQLENCLIHYMTQSSGMMTPNLAIKEAQVMLQKCARLELHDSSYYIIPKWISVFRRIFNWRLTSLSSGAVSSAYVLRHHHVDPTPLILDEFGLEGNESLPYINQPSLDEIIVGCTPLVPTRDEPQLEAFQPQQRRVSNGDVCGAGRTNDLMEDESASAQFDRDNHVNAGGVEATVAGQTTKEADKLTKLLEQCSILQNSIEEKLYIYF >Manes.03G187900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30756836:30771122:-1 gene:Manes.03G187900.v8.1 transcript:Manes.03G187900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGKHSGPSGRPSPQPRFGDFPRLPSPSPYPPKSPPFFHSSPVPASRSPVATERVLSPPLSYEGSSLAANASQSAGIPSRRPEALGRVRSPPLSFKSTHPVANPSFGAHRSTLSPSQWVNGQSSLSKDDDQTNLTPSAVASFVASRNAGTSVTAKISRFPEIKRTRSPPSQALDEDISRNPRQTFLQRPALYQSEWDNQHKLMNNYPNLLAHQDHSPVSPFEGSHGSARSFVNDDADVHVPKQARSPPVSPADGVLQKETRRSSTSPPRLGARSNAFSSTSGSQISQKISPSANTTAIEAAPTRNTNYSVAKRTRSPPFPASDKAFQGNSYSDQDGAEREIQAKAKRLARFKEELGEKFENRTDIAEKKVSASGRERYIIEKQKFAGNYSMESGGDFSNGDISSDCDGLGSSGIIIGLCPDMCPESEREERERKGDLDQYERLDGDRNQTTKFLAVKKYTRTAEREASLIRPMPILQKTIDYLLNLLDQPYDDRFLGTYNFLWDRMRAIRMDLRMQHIFNLEAITMLEEMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINVPTEQEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSPEIRQMPEVLFARDVARACRTGNFVAFFRLARKARYLQACLMHAHFAKLRTQALASLHCGLQNNQGLPVAHVANWLAMEEEDIESLLEHHGFSIKEFEEPYMVKEGPFLNGDQDYPTKRSKLVHQKISRRIVDDVSPTSQVASLPAQASREIQLPTVCKHDKKPVASTFMERKGSTHAVDEEMPDFQVVSSPKVGTKLQPVIDTSKVGQQSQEDRRVEGSYFSPWGFSLVHSPLVNLPAKFNEVEKLNDNILSSISPEKKMLSGMEDVPLQVVSRASLQEISPSAKYGYVMENKVPVVVCNDTKDEPPDNHEMENDEVLENYDDEVAQAKLKLIIRLWRRRASKQRELREQRQIVANAALSSLSLGPPIRKAKDQLSTTTEFDIEHVMRERYEKHKQSWSSLNVSDVIVDILGKRNPTVGCLCWKIVLCSQQNNQGDKVVAAGPWLLSKIMPSKKDDNLLISSSGMSIWKKWVPSQSGNDLTCCLSVVRDVMFDDLNETIDGASAILFVVSESIPLNTQKAQLHNLLMSVPSDSCLPLLILCGSCNIKVSDPSSTIVSELGLHDIEKSRISSFLIVFLTGDTEKEYLDGFFSDERLREGLRWLASESLVQPDICCIKTRELILTHLNPLLDVLDKKSVHALDPNHCISAFNEALDWSLGEIAAAAKSKPIGWPCPEIALLQESCDEHMVVRWYLPSIGWSSAARIEPLVSALRECKLPTFPDPISLSGKGAKSGEEIENLKSQLENCLIHYMTQSSGMMTPNLAIKEAQVMLQKCARLELHDSSYYIIPKWISVFRRIFNWRLTSLSSGAVSSAYVLRHHHVDPTPLILDEFGLEGNESLPYINQPSLDEIIVGCTPLVPTRDEPQLEAFQPQQRRVSNGDVCGAGRTNDLMEDESASAQFDRDNHVNAGGVEATVAGQTTKEADKLTKLLEQCSILQNSIEEKLYIYF >Manes.08G150000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38536047:38539583:1 gene:Manes.08G150000.v8.1 transcript:Manes.08G150000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQTRQQTNYCLGLALQGSRRGNGTYNNSNNEEDDAVERMRKDIEANQDNALSLCTEKVLLARQAYDLIDSHIKRLDEDLNNFAEDLKHEGKISADEPAILPPQPLLVPKNEKRRSFYGTPQSKRIDFREREWDRERDRDFELMPPPGIKKDFNVPLDVDQPIDPNEPTYCVCHQVSFGDMIACDNENCEGGEWFHYACVGLTPETRFRGKWYCPTCRLLPQCQ >Manes.08G150000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38535326:38539583:1 gene:Manes.08G150000.v8.1 transcript:Manes.08G150000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYASTLPAELQRLLNTIRELDERSHSMINQTRQQTNYCLGLALQGSRRGNGTYNNSNNEEDDAVERMRKDIEANQDNALSLCTEKVLLARQAYDLIDSHIKRLDEDLNNFAEDLKHEGKISADEPAILPPQPLLVPKNEKRRSFYGTPQSKRIDFREREWDRERDRDFELMPPPGIKKDFNVPLDVDQPIDPNEPTYCVCHQVSFGDMIACDNENCEGGEWFHYACVGLTPETRFRGKWYCPTCRLLPQCQ >Manes.08G150000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38535904:38539583:1 gene:Manes.08G150000.v8.1 transcript:Manes.08G150000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQTRQQTNYCLGLALQGSRRGNGTYNNSNNEEDDAVERMRKDIEANQDNALSLCTEKVLLARQAYDLIDSHIKRLDEDLNNFAEDLKHEGKISADEPAILPPQPLLVPKNEKRRSFYGTPQSKRIDFREREWDRERDRDFELMPPPGIKKDFNVPLDVDQPIDPNEPTYCVCHQVSFGDMIACDNENCEGGEWFHYACVGLTPETRFRGKWYCPTCRLLPQCQ >Manes.01G080400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28322883:28323664:1 gene:Manes.01G080400.v8.1 transcript:Manes.01G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPVAALAKLKLGLSFSSSHAVVSPFLPSLICPFVIKLACRLGMVRRAYSDIIYASRLFIFQLGRIAFEDSNQDQAFGNRLGRALRLVSQRVIRTRRSPATTQSDEDSFHALSMFSL >Manes.14G020500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2043669:2046618:-1 gene:Manes.14G020500.v8.1 transcript:Manes.14G020500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAMTVGEDEFSRDVPQTPATFLVFRYFHIKKVRSPCLKHRLVNLSTAHISSRIAPIPPSKPHTRKPEFPLHCLANLFISHGDTNFSCFFFSRRRSMAEHSVVESLMDKITEKIHGHDSSSSDSDDDKPSKVDAVKSKIFRLFGREKPIHKVLGGGKPADVLLWRNNRISASILGAATTVWVLFELLEYHLITLICHISILSLAILFLWSNASTFINKSPPEIPEIVIPEKRVLEVASALRLETNRALAVLHVIASGKDVKKFLTVIAGLWVLSIVGSWFNFLTLIYIAFVLLHSLPVFYEKYEDHVDSFSEKAWIEIKKQYAELDAKYLSKIPKGPLKEKKKD >Manes.14G038000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3293808:3296524:1 gene:Manes.14G038000.v8.1 transcript:Manes.14G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTHLLKLILGLTSATVFISLILAILLYLKRKARNGSNDVERPEIKHGDDIETEDLLTFQGGEDLIIGDILDAPGEVIGKSKYGTLYKALLPRSNSVRLLRFLRPTCTTRAKDFGEAIQLLASIRHPNLVPLLGFYGGPRGEKLLVHPFFRRGSLALFIRDGNAESRKWTIIYRISVGIAKGLDHLHTGLQKTVIHGNLKLKNILLDRNYQPYISDFGLHLLLNPTATQEMLEASAADGYKAPELVKMKDASEQTDIYSLGIMLLQLLSGKEPMNENPNSGDDFHLPTFMRNAVLDRRITDLYHPDVLVSNNSDSESPVTEERVLKFFQLAMSCCSPSPSLRPNTRQVLCKLEEIGR >Manes.09G152100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34916483:34917505:1 gene:Manes.09G152100.v8.1 transcript:Manes.09G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTTSKLPTCFAPASFNKTARIHSTPILGLPVMTRRGCRIKCSIVEEREEAKSSEGRNISMVLSMMAAAAGMAGPAVALVDERMSTEGTGLPFGLSNNLLGWILLGVFGLIWSLYTVYTSTLEEDDDSALSL >Manes.05G158400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27105160:27106410:1 gene:Manes.05G158400.v8.1 transcript:Manes.05G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVAYVLVLALVLFVSANSVHGDESPTIYEQLDEAKEEAQDTVKKTADSTTENEPQVEEQSKIAETTPSTEESKDSGETPSTEESKTPENTTSTEETKTSGSTAPAEDSKTPSSSWGQWVVNKLEHIGVISEKSKPGSSATATTEETPAPGPSGDSPTPV >Manes.01G206500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37904024:37906576:1 gene:Manes.01G206500.v8.1 transcript:Manes.01G206500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLGRNLHLCFTKIKSPLEPQSPPTLLSPHHHSHPLILKNYNSLFDSYTFDSASKSPTHSSSSSSSSSCSSSEPDFATVFASQRFFFSSPGRSNSIIESTPSSTATPTDSSDSLVGSMNDKSDGRSSLESRNSAATVKDSVAVPTYSPDPYLDFRRSMQEMVEARDLVDVKANCDYLHELLSCYLELNPKSTHKFIVRAFADLLVSLLASQPKVSAEGG >Manes.16G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30657588:30662109:1 gene:Manes.16G101100.v8.1 transcript:Manes.16G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIFSKFHSVVINSLISQKVPSPIFPGRQLRVISPFSPSRTSISLTLRMAHSTQNPVPQQQKVILPNKHGEKLVGLLHDTGSKEIVVLCHGFRSTKENETMVNLAVALENEGISAFRFDFAGNGESEGSFAYGNYWREADDLRAVVEHFSGANRVVSVILGHSKGGDVVLLYASKYCNIPAVVNVSGRYDLNKGIEERFGKDFMEKIKQEGFIDVKNKTGSLDYRVTLESLMDRLNTDMHKACTLIDKDCRVFTVHGSADEIIPVEDALEFAKIIPNHKLQIIEGANHSYTSHQAELVSAVLNFIKETLQQDKGTPS >Manes.17G014100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6748196:6760656:-1 gene:Manes.17G014100.v8.1 transcript:Manes.17G014100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVREKHIRANRRARSAKPEFDPCCDASSVSKSILETGLNPLAYHHVLQDPSHSNAIRNSSGNVDDNGWDYFTEQQLEEILLKHLELLYKEAISKLLALGYDEDSSLKAILRNGHCCGGMDVETNILYNTLAFLNSNSGGGSGTSNNSNGNLDENETVFNDLRQLEEFSLAGMICLLQQVRPHLRKGDAMWCLLMSNLHVGRASAIEIPMANSPGNGNNTVQSSVESVRSNGVDNGVGVVAPALCRFHGGWGFGSRGGSDFAVNGVFSYSAEMNVRKDIECPKRFNLSPSMKSLLKRNVAMFAAGFRANSKQMQTQSYFQSESCSGVFSGGDVAPVATGSEVLVEKGEESQDLKNQDGASPTWNKFKDGLFSELSKFNDLNLDENLVLAGEDQKDEMIVTLLRQIKDLERQVKERKEWAHQKAMQAAKKLSSDLTELKMLRMEREETQLLKKGKQTLEDSTMKRLSEMESALRKASGQVDRANAAVRRLETENAEVRAEMEACKLSASESVTTCLEVAKREKKCLKKLLAWEKQKTKLQDEIAGEKENIKELQRCLTMVEQAQKEAEEKWRQEVKVKEQILAQVEEERRAKEAAEANNKRKLETLRLKIEIDFQRHKDDLQRLEQDFSRLKLSSESTNLNNQLNTLPIRKPEKTKPQGYVCQLQRQLWEEG >Manes.17G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6750040:6760665:-1 gene:Manes.17G014100.v8.1 transcript:Manes.17G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVREKHIRANRRARSAKPEFDPCCDASSVSKSILETGLNPLAYHHVLQDPSHSNAIRNSSGNVDDNGWDYFTEQQLEEILLKHLELLYKEAISKLLALGYDEDSSLKAILRNGHCCGGMDVETNILYNTLAFLNSNSGGGSGTSNNSNGNLDENETVFNDLRQLEEFSLAGMICLLQQVRPHLRKGDAMWCLLMSNLHVGRASAIEIPMANSPGNGNNTVQSSVESVRSNGVDNGVGVVAPALCRFHGGWGFGSRGGSDFAVNGVFSYSAEMNVRKDIECPKRFNLSPSMKSLLKRNVAMFAAGFRANSKQMQTQSYFQSESCSGVFSGGDVAPVATGSEVLVEKGEESQDLKNQDGASPTWNKFKDGLFSELSKFNDLNLDENLVLAGEDQKDEMIVTLLRQIKDLERQVKERKEWAHQKAMQAAKKLSSDLTELKMLRMEREETQLLKKGKQTLEDSTMKRLSEMESALRKASGQVDRANAAVRRLETENAEVRAEMEACKLSASESVTTCLEVAKREKKCLKKLLAWEKQKTKLQDEIAGEKENIKELQRCLTMVEQAQKEAEEKWRQEVKVKEQILAQVEEERRAKEAAEANNKRKLETLRLKIEIDFQRHKDDLQRLEQDFSRLKLSSESTNLNNQLNTLPIRKPEKTKPQGEKIARLLHELDELEDSPNKGSNCDRECIICMKDEVSIVFLPCAHQVMCVSCSDNYGKKGKATCPCCRVPIEQRIHVFGASS >Manes.17G014100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6748196:6760656:-1 gene:Manes.17G014100.v8.1 transcript:Manes.17G014100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVREKHIRANRRARSAKPEFDPCCDASSVSKSILETGLNPLAYHHVLQDPSHSNAIRNSSGNVDDNGWDYFTEQQLEEILLKHLELLYKEAISKLLALGYDEDSSLKAILRNGHCCGGMDVETNILYNTLAFLNSNSGGGSGTSNNSNGNLDENETVFNDLRQLEEFSLAGMICLLQQVRPHLRKGDAMWCLLMSNLHVGRASAIEIPMANSPGNGNNTVQSSVESVRSNGVDNGVGVVAPALCRFHGGWGFGSRGGSDFAVNGVFSYSAEMNVRKDIECPKRFNLSPSMKSLLKRNVAMFAAGFRANSKQMQTQSYFQSESCSGVFSGGDVAPVATGSEVLVEKGEESQDLKNQDGASPTWNKFKDGLFSELSKFNDLNLDENLVLAGEDQKDEMIVTLLRQIKDLERQVKERKEWAHQKAMQAAKKLSSDLTELKMLRMEREETQLLKKGKQTLEDSTMKRLSEMESALRKASGQVDRANAAVRRLETENAEVRAEMEACKLSASESVTTCLEVAKREKKCLKKLLAWEKQKTKLQDEIAGEKENIKELQRCLTMVEQAQKEAEEKWRQEVKVKEQILAQVEEERRAKEAAEANNKRKLETLRLKIEIDFQRHKDDLQRLEQDFSRLKLSSESTNLNNQLNTLPIRKPEKTKPQGEKIARLLHELDELEDSPNKGSNCDRECIICMKDEVSIVFLPCAHQVMCVSCSDNYGKKGKATCPCCRVPIEQRIHVFGASS >Manes.08G152100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39043808:39047651:1 gene:Manes.08G152100.v8.1 transcript:Manes.08G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDMPLSKDPAFKLFGRKIPVPNTQIPAKPPSQNSCNELKKGGTDGPNDDNSGEPNKSNASGTAKEESQTPMLVDETKGTSKSNVDQVENNSVDQEKVFKKPDKILPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLAYQYRQILVSSEGVPITRMENSDSANHQVVSSVDSAITLSPSTTGNGMVLKFGPEAPLCESMETVLDLRDQQKYAEMSSVNCQDNTEEPSSCGSSMTATGCQGNELPETVMQKELVGLPGSRNDLGVSNPMHCYPVTQWVFPWNPSWNNATSTAAAQHSAGQPCIPNSNNSSQVQWCPTPILAVPGFVPPNIPLQFVPASYWGCMPVWAARTGNKSLNGSNGCSSPSSSTSTSCCFGNGSPNLGKHSRDSNLMNEDKAENCILVPKTLRIDDPNEASKSPLWATLGLKLYEKNPVPKGTIFKTCEIKADGKGHASEATHILEANPAALSRSHTFQESS >Manes.08G152100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39043811:39047289:1 gene:Manes.08G152100.v8.1 transcript:Manes.08G152100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDETKGTSKSNVDQVENNSVDQEKVFKKPDKILPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLAYQYRQILVSSEGVPITRMENSDSANHQVVSSVDSAITLSPSTTGNGMVLKFGPEAPLCESMETVLDLRDQQKYAEMSSVNCQDNTEEPSSCGSSMTATGCQGNELPETVMQKELVGLPGSRNDLGVSNPMHCYPVTQWVFPWNPSWNNATSTAAAQHSAGQPCIPNSNNSSQVQWCPTPILAVPGFVPPNIPLQFVPASYWGCMPVWAARTGNKSLNGSNGCSSPSSSTSTSCCFGNGSPNLGKHSRDSNLMNEDKAENCILVPKTLRIDDPNEASKSPLWATLGLKLYEKNPVPKGTIFKTCEIKADGKGHASEATHILEANPAALSRSHTFQESS >Manes.08G152100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39043811:39047289:1 gene:Manes.08G152100.v8.1 transcript:Manes.08G152100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSKDPAFKLFGRKIPVPNTQIPAKPPSQNSCNELKKGGTDGPNDDNSGEPNKSNASGTAKEESQTPMLVDETKGTSKSNVDQVENNSVDQEKVFKKPDKILPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLAYQYRQILVSSEGVPITRMENSDSANHQVVSSVDSAITLSPSTTGNGMVLKFGPEAPLCESMETVLDLRDQQKYAEMSSVNCQDNTEEPSSCGSSMTATGCQGNELPETVMQKELVGLPGSRNDLGVSNPMHCYPVTQWVFPWNPSWNNATSTAAAQHSAGQPCIPNSNNSSQVQWCPTPILAVPGFVPPNIPLQFVPASYWGCMPVWAARTGNKSLNGSNGCSSPSSSTSTSCCFGNGSPNLGKHSRDSNLMNEDKAENCILVPKTLRIDDPNEASKSPLWATLGLKLYEKNPVPKGTIFKTCEIKADGKGHASEATHILEANPAALSRSHTFQESS >Manes.09G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7008882:7011066:1 gene:Manes.09G036500.v8.1 transcript:Manes.09G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGEDTSKPFKFLDQNPKLSTKDLGFCMGLGTGFTATPRSHDKVVDSTLQAEAAAAAADIRVSSDHLPVQLDLLPFSPVPRRQPPPQIPFPWLSDNLASERGSTDGSGRGFDVNRLSAEEAEEGAALSSPNSAMSSFQMDFGIRSSVGGRCNKRDMEAIEAERASSRASDDEENGLTRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATSSATTNDNKKNPVATVAATVTIPLTTTTSNKNSTPLSLANKPRLYPFAQAQAHQAAS >Manes.06G157600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28341020:28341430:-1 gene:Manes.06G157600.v8.1 transcript:Manes.06G157600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGDNQCRTVSSFFKVASWLYRLEILWASLLSGDHRWRITVRHKILIWLGWFAEGGGGWPNGSSSMVISLCCCHCFLVPSVRSTVILVGGTILECFVLLRCGERWL >Manes.06G157600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28340763:28342067:-1 gene:Manes.06G157600.v8.1 transcript:Manes.06G157600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLCFDCVWIGLFIPDFVEVRRNMVEAGDNQCRTVSSFFKVASWLYRLEILWASLLSGDHRWRITVRHKILIWLGWFAEGGGGWPNGSSSMVISLCCCHCFLVPSVRSTVILVGGTILECFVLLRCGERWL >Manes.06G157600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28340026:28342067:-1 gene:Manes.06G157600.v8.1 transcript:Manes.06G157600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGDNQCRTVSSFFKVASWLYRLEILWASLLSGDHRWRITVRHKILIWVCMVIFGILFFIDLSFCRSSVYLFAAYQLGWFAEGGGGWPNGSSSMVISLCCCHCFLVPSVRSTVILVGGTILECFVLLRCGERWL >Manes.11G017400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1813928:1816165:1 gene:Manes.11G017400.v8.1 transcript:Manes.11G017400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIISDPMVVSTPETQPAAAAATSAKLIAGQTEVEFAKCDCCGLTEECTPGYIERVRERYHGKWICGLCAEAVKDEIVRATERLISTEEAMARHMNFCKKFVSSCPPPDPTMHLISAMRQILRKSLDSPRGLRSTPSSPTNSNEQIRAAGLTRSESCFPTLSG >Manes.08G109100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34824425:34827543:-1 gene:Manes.08G109100.v8.1 transcript:Manes.08G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFISRRTYRATSSASSSNSQISHFARIGQIRRARRIFDELPHKNIVSWNAIIAGYFQNRQPREAQNLFDIMSERNTVSWNGLISGYIKNGMVVDARKVFDKMPERNVVSWTAMVRGYVQEGMTKEAESLFWEMPEKNVVSWTVMLGGLIEDGRVDEARKLFDMMPMKDVVARTNMIGGLCMEGRLSEAREIFDEMPKRNVVAWTAMISGYSMNNKVDVARKLFEVMPGKNEVTWTAMLMGYTRSARIKEAAELFEVMPVKPVAACNEMIIGFGQNGEVGKAKWVFDQTREKDDGTWSAMIKVYERKGFELEALHLFSLMQREGIRPNFPSMISILSVCGSLASLDHGRQVHAQLVRSQFDSDVYISSVLITMYIKCGDLLKARSVFDRFSTKDTIMWNSIITGYAQHGLGNESIQVFNEMISSGIAPDEITFIGVLTACSYSGKVKEGLDIFESMKSRYLVDPRIEHYACVVDLLGRAGRLNDAMSLIGNMPVKADAVVWGALLGACRTHKKLDLAEVAARKLSELEPENSGPRILLSNIYASQGRWENVAELRKSMRDRNASKPPGCSWIEVEKKVHMFTGGNTTGHPENAAILEMLEKLGGLLREIGYCPDGSFVLHDVDEEEKFHNLRYHSEKLAVAYGLLKVPEGMPIRVMKNLRVCGDCHSAIKLIAKVTRREIILRDANRFHHFKDGLCSCGDYW >Manes.08G109100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34823474:34827508:-1 gene:Manes.08G109100.v8.1 transcript:Manes.08G109100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFISRRTYRATSSASSSNSQISHFARIGQIRRARRIFDELPHKNIVSWNAIIAGYFQNRQPREAQNLFDIMSERNTVSWNGLISGYIKNGMVVDARKVFDKMPERNVVSWTAMVRGYVQEGMTKEAESLFWEMPEKNVVSWTVMLGGLIEDGRVDEARKLFDMMPMKDVVARTNMIGGLCMEGRLSEAREIFDEMPKRNVVAWTAMISGYSMNNKVDVARKLFEVMPGKNEVTWTAMLMGYTRSARIKEAAELFEVMPVKPVAACNEMIIGFGQNGEVGKAKWVFDQTREKDDGTWSAMIKVYERKGFELEALHLFSLMQREGIRPNFPSMISILSVCGSLASLDHGRQVHAQLVRSQFDSDVYISSVLITMYIKCGDLLKARSVFDRFSTKDTIMWNSIITGYAQHGLGNESIQVFNEMISSGIAPDEITFIGVLTACSYSGKVKEGLDIFESMKSRYLVDPRIEHYACVVDLLGRAGRLNDAMSLIGNMPVKADAVVWGALLGACRTHKKLDLAEVAARKLSELEPENSGPRILLSNIYASQGRWENVAELRKSMRDRNASKPPGCSWIEVEKKVHMFTGGNTTGHPENAAILEMLEKLGGLLREIGYCPDGSFVLHDVDEEEKFHNLRYHSEKLAVAYGLLKVPEGMPIRVMKNLRVCGDCHSAIKLIAKVTRREIILRDANRFHHFKDGLCSCGDYW >Manes.02G080900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6307120:6316479:1 gene:Manes.02G080900.v8.1 transcript:Manes.02G080900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKVLVSRQFVDMSRMRIEGLLAAFPKLIGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYSLSLDEEGICKTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFMSLQSMGSGRIESKFSDMSISSSDGGFGSGSGFGLTTDVDSFSSKSKGRQPSSATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILDEVQTKPGQSRSAVAPPTDPITLTVEEKINVTLRRDGGMNNFDVQGQLSLQIVNQEDGLIQVQIETGGNPGILFKTHPNMNKELFANENILGLKDPNRPFPTGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETFVSIEYEASSLFDLRNVVISVPLPALREAPSVRQIDGEWRYDSRNSVLDWSILLIDHSNRSGSMEFVVPPADSSAFFPISVQFSAPSTYSDLKVANVLPLRGGPPPKFSQRTQLVAENYQVV >Manes.02G080900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6307120:6316479:1 gene:Manes.02G080900.v8.1 transcript:Manes.02G080900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKVLVSRQFVDMSRMRIEGLLAAFPKLIGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYSLSLDEEGICKTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIEKNRGDKGGFMSLQSMGSGRIESKFSDMSISSSDGGFGSGSGFGLTTDVDSFSSKSKGRQPSSATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILDEVQTKPGQSRSAVAPPTDPITLTVEEKINVTLRRDGGMNNFDVQGQLSLQIVNQEDGLIQVQIETGGNPGILFKTHPNMNKELFANENILGLKDPNRPFPTGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETFVSIEYEASSLFDLRNVVISVPLPALREAPSVRQIDGEWRYDSRNSVLDWSILLIDHSNRSGSMEFVVPPADSSAFFPISVQFSAPSTYSDLKVANVLPLRGGPPPKFSQRTQLVAENYQVV >Manes.14G041550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3525926:3527788:-1 gene:Manes.14G041550.v8.1 transcript:Manes.14G041550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKFPIFLHLRNKQHLPKIYQREMDKKQLNFISFSQYIVFVIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFAGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.12G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:371547:373532:-1 gene:Manes.12G001600.v8.1 transcript:Manes.12G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDRDPLAVGRVIGDVLDPFTRSISLNITYNNRDHVTNGCELKPSQVVNQPRVDVGGDDLRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGTTGASFGQEVVCYESPRPSVGIHRFVFILFRQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVAAVYFNCQRESGSGGRRR >Manes.17G045000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24150772:24154474:-1 gene:Manes.17G045000.v8.1 transcript:Manes.17G045000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWDLSFGLFVVPSFSLLKQHNGLELYAILWSQRKSVPKMSFRGRSTDFEQYFAREWKSVAGSESSSGCFDCNICFDFAHEPVVTLCGHLYCWPCIYKWLHVQSASLASDEHPQCPVCKSDISHTTMVPLYGRGQSAAEAEIEGKAPNPDIVIPPRPSAYGAQALISNIPPTGQQAPSRNPYHNHNYNPDPYSRFEEASSSPPILNLGGTTVTGFHHPFVGMFGEMVYARVFGNSQRLYAYPNSYHLMGSSSPRLRRQEMQADKSLNRISIFLFCCFLLCLIVF >Manes.11G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30212392:30218856:1 gene:Manes.11G137000.v8.1 transcript:Manes.11G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEDYGKRENIGVESQDKDYYPAWSKDIKECEEHYQVNHEFGLSAAEVDKRRQIYGYNELEKHEGASIFKLILEQFNDTLVRILLAAAVISFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGIWQESNAEKALEALKEIQSEHATVIRDGKKISNLPAKELVPGDIVELRVGDKVPADMRLLSLISSTVRVEQGSLTGESEAVSKTVKTVAENTDIQGKKCMVFGGTTVVNGNCICLVTQTGMNTEIGKVHSQIHEASQNEEDTPLKKKLNEFGELLTVIIGVICALVWLINVKYFLSWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRIGTLRSFNVEGTTYDPFDGKIEDWPVGQMDSNLQTIAKISAVCNDAGVEQSGQHYVASGMPTEAALKVLVEKMGFPGGLNESSSGHGGVLRCCQLWNKMDQRIATLEFDRDRKSMGVITNSSTGKKSLLVKGAVENILERSSYVQLLDGSVVELDQYSRELILQSLHDMSTSALRCLGFAYKVDLPTFETYNGDEDHPAHELLLNPSNYSSIESELIFVGLVGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICREIGVFGPYDDISSRSLTGKEFMDHPDQRNHLRQDGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDTDIMKKPPRKSDDSLITPWILFRYLVIGSYVGLATVGVFVIWYTHHTFMFIDLSGDGHSLVTYSQLANWDQCSSWEGFSVSPFKAGSQVFNFDDNPCEYFRSGKIKASTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPFLLLAMLVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVVAVAFPVILIDEVLKLVGRCTSGMRYSKSSRRWKQKAE >Manes.12G087300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12990093:12991647:-1 gene:Manes.12G087300.v8.1 transcript:Manes.12G087300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFEQQVKERAKELKIFFKKGVKIVGESCKKGWNKVKHMKRRRGGESLIQINKARALAYGRN >Manes.01G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31767904:31775450:1 gene:Manes.01G121700.v8.1 transcript:Manes.01G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEVFVESSSNPLPDLSLHISPPNTSPSSVSYTVNSINKSDTSFNLLMSRQVGIHKSNNISSMSTSDSHAYSTELSLAHPASALDEETRNRRNFTGAGTEEPPHNPYQQSHHHHHHHLHHSSANLSNINHGVSRLDVSDGLRPIKGIPVYHNRSFPFLPSEQSRENKDPKMCFYQMPYPSSSLCSPSVSPHTSSPYYIGGAGGLDPMSMLNSSGPNQSASAYNRLAPITRFNGLSMDSFKSHQLHHQVHHHNQYGVGSGEASHGLLRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEEDISPMGNGSGDRGLRQFSDQRGPTDGAAVQQEMDYPSAATTLWSNSSSNREIWPLTNSNDMDGYRESTLQSQSLDGYREAALQSQQRSGHTIEECNSTRLKGYLGMNLDCKNPSLEFTLGRPDWQGNEHN >Manes.01G121700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31767904:31775450:1 gene:Manes.01G121700.v8.1 transcript:Manes.01G121700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEVFVESSSNPLPDLSLHISPPNTSPSSVSYTVNSINKSDTSFNLLMSRQVGIHKSNNISSMSTSDSHAYSTELSLAHPASALDEETRNRRNFTGAGTEEPPHNPYQQSHHHHHHHLHHSSANLSNINHGVSRLDVSDGLRPIKGIPVYHNRSFPFLPSEQSRENKDPKMCFYQMPYPSSSLCSPSVSPHTSSPYYIGGAGGLDPMSMLNSSGPNQSASAYNRLAPITRFNGLSMDSFKSHQLHHQVHHHNQYGVGSGEASHGLLRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSGQSDGSGEEDISPMGNGSGDRGLRQFSDQRGPTDGAAVQQEMDYPSAATTLWSNSSRESTLQSQSLDGYREAALQSQQRSGHTIEECNSTRLKGYLGMNLDCKNPSLEFTLGRPDWQGNEHN >Manes.03G168300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29389034:29390556:1 gene:Manes.03G168300.v8.1 transcript:Manes.03G168300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFLINIALAAILLLSLHVHSSKATRTLSQDFNSKELVLQSLQKAPVPPSGASGCTNIPGTGGPPCPNTINEMNFAGNALSRAATAFPRLMLDFGVATNKK >Manes.02G167100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13104405:13107808:1 gene:Manes.02G167100.v8.1 transcript:Manes.02G167100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGENAATKNHFPPQVFSVAVDMPSQGGSKWFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAVAQLGWIAGPAVMFLFSFVTYYTSTLLCACYRTGDPVNGKRNYTYMDAVRSNLGGGMVKICGYVQYLNLFGVAIGYTIASSISMMAVKRSNCFHKTGGKDPCHMNANPYMIAFGIAEIIFSQIPDFEKLWWLSIVAAVMSFAYSTIGLGLGIAKVAEAGKFQGSVTGIGIGTVTETQKIWRSFQALGDIAFAYSYSLILIEIQDTIRSPPSEAKTMKKSSLISVSVTTLFYMLCGCFGYAAFGDMSPGNLLTGFGFYNPYWLLDIANVAIVIHLVGAYQVYCQPLFAFIEKTAAQRFPDSEFITKDVKLPLPGSRSYNLNLFRMVFRTIFVILTTVISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISQKKIPKWSTRWLCLQILSAACLIITIAAAAGSIAGVVGDLKTVKPFKTVY >Manes.02G167100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13104405:13107809:1 gene:Manes.02G167100.v8.1 transcript:Manes.02G167100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAATKNHFPPQVFSVAVDMPSQGGSKWFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAVAQLGWIAGPAVMFLFSFVTYYTSTLLCACYRTGDPVNGKRNYTYMDAVRSNLGGGMVKICGYVQYLNLFGVAIGYTIASSISMMAVKRSNCFHKTGGKDPCHMNANPYMIAFGIAEIIFSQIPDFEKLWWLSIVAAVMSFAYSTIGLGLGIAKVAEAGKFQGSVTGIGIGTVTETQKIWRSFQALGDIAFAYSYSLILIEIQDTIRSPPSEAKTMKKSSLISVSVTTLFYMLCGCFGYAAFGDMSPGNLLTGFGFYNPYWLLDIANVAIVIHLVGAYQVYCQPLFAFIEKTAAQRFPDSEFITKDVKLPLPGSRSYNLNLFRMVFRTIFVILTTVISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISQKKIPKWSTRWLCLQILSAACLIITIAAAAGSIAGVVGDLKTVKPFKTVY >Manes.03G194400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31215156:31218700:-1 gene:Manes.03G194400.v8.1 transcript:Manes.03G194400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESFIYSFVARGTMILAEYTEFTGNFPAIAAQCLQRLPSSNDKFTYNCDHHTFNFLVEDGYAYCVVAKESVSKQISIAFLERMKADFKKRYGGGKADTAIAKSLNKEFGPIMKEHMKYIIDHAEEIEKLLKVKAQVSEVKSIMLGNIDKVIDRGETITTLADKTENLRDQAQAYKKQGTQIRRKMWYQNMKIKLVVLGILLLLVLIIWLSICHGFDCTN >Manes.06G108866.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24093888:24095798:-1 gene:Manes.06G108866.v8.1 transcript:Manes.06G108866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQIPSLLQELAKSHQTLSITKQLHASITRAHLAHDSFYATKILRFYALNNDLRSACNLFDKTPQRSVFLWNSFIRAFARAHKFNEALLLYTKMLGTPIKPDNFTYACLIRACHENFDLDGLRLVHGGLIVSGLGLDSVSCSALVTAYSKMGLVSEARQVFSRISEPDLVLWNSLISGYVYCEFWAEGLRLFNGMRENGKQQPDGYTFVGIISGLMDFSLLGVGLGIHGLCLKSGFDCNAYVGSALVSMYSRFKCMNLAYGVFISLCQPDLVAWSALITGYSQSGDHKKALLFYRNLSVEGKKADTILVASVLAAAGHLADGRCGSEIHGYVLRHGFESNIMVSSALIDMYLKCGYVGFGIRVFDGSPNRNIVSYNSVISGLGLHGLAAQAFKLFEEMIEKGMKPDESTFSSLLCACCHSGLVKDGWEIFRIMVDEFYFPPKTEHYVHIVKLLGMAGQLKEAYNFILSLTQPVDSGIWGALLSCCDNPGSSELAEIVSQHLFDNEPRKGSYRVMLSNIYASDGRWDDVKKMRDDIDNSGVRKIPGVSFIGGSCS >Manes.16G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31078539:31081765:-1 gene:Manes.16G105200.v8.1 transcript:Manes.16G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILQPCSSSLCPQPTLSYQLSCHRFSFPPVSIVAFPKQNHYVRTGVSLVGAKLNRAQRRSNQSVNTEIEEEQENGEDYYDDDGDGENELSSHSRFTGRKEERDYDRDPEFAEILGSCLDDPQKAQTKMEERLKKKRNKVLHTKTGSAIPMKVTFNKFGFSNSYIWFEFYNAPLEKDVSLICDAIRSWHIIGRLGGCNSMNMQLSQSTFSKRPNYDAIQGANVTPTTFYNIGDLEIQDNLARIWVDIGTTEPLLLDVLINALTQISSDYVGIKQIMFGGSEFESWKENWASEDAGCSIHKI >Manes.18G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7933770:7934518:1 gene:Manes.18G086200.v8.1 transcript:Manes.18G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNCFQVSTTVCTSGRAGVVFAPVEKLHLPTSCKGFNLSNLSSSSSSSLFAHSLTLRGNTQKSRIICKAREALDEVQAVTDSSWDNLVIASETPALVEFWAPWCGPCRMIAPVIDELAKEYAGKIACFKVNTDECPNIANKYGIRSIPTVLFFNKGEKKESVIGAVPKTTLSSTLEKYIDA >Manes.17G081000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28589484:28598149:1 gene:Manes.17G081000.v8.1 transcript:Manes.17G081000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFKVSRVETTPFDGQKPGTSGLRKKVKVFIQPNYLENFVQSTFNALTAEKVRGATLVVSGDGRYYSKTAIQIIIKMAAANGVRRVWVGQNGLLSTPAVSAVIRERVGIDGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEGITDKIYENTTSIKEYLIADLPDVDIAAIGVTNFGGPEGQFDVEVFDSASDYVKLMKSIFDFESIRKLLSSPKFTFCYDALHGVAGAYAKRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLSKSNSQVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVEAIPYFSAGLKGVARSMPTSAALDVVAKHLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKENLNGGKLVTVEDIVRNHWATYGRHYYTRYDYENVDASAAKELMANLVKLQPSLGEVNEIVKGIRSDVSKVVHADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVDVALKLSKMQEFTGRSAPTVIT >Manes.07G110100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31603223:31605038:-1 gene:Manes.07G110100.v8.1 transcript:Manes.07G110100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHQQLDPQRSLSPVAYKVIVFSCVLDSIMADSSASYIHMHLIEKCLIFHMTKEDCMEALSKHANIEPVITSTVWNELEKENKEFFEAYAKSKGKDDRMSEEETSQMIQKMISQSSNDSDD >Manes.07G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31603223:31605038:-1 gene:Manes.07G110100.v8.1 transcript:Manes.07G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHQQLDPQRSLSPVAYKVIVFSCVLDSIMADSSASYIHMVQHLIEKCLIFHMTKEDCMEALSKHANIEPVITSTVWNELEKENKEFFEAYAKSKGKDDRMSEEETSQMIQKMISQSSNDSDD >Manes.07G088800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27932187:27938168:1 gene:Manes.07G088800.v8.1 transcript:Manes.07G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNIVPEHLETHNHENWRIWMKNYLLAHDLWDVVEATAETPNPEQAEFKDWQKKNAAALHAIHVSCSLDVFLKIKEIDSAGLCWNALADIKVECMQEPRPMLQSGTEGGISGEEKENVYLQFRPLCLAIENGDCKAVKEFLEECPEAVREKLTIFGDTALHLAATNGNVKLVEELVALMKEEDLEILDKNNDTALNIAAGSGILRLAECMINKNKKLACVTGTTHIPVIVACRTGQRDMTYYLYSVTPFDFLRSEVGAFGSLLLLEAIGNQFFDIALDLVQNCPFMAIRRNHRGITPLIEFSKLTHLFPESSRLSFWQRWIYSCVNVQQRASSKDVRIYIPQYDPNEEGNFLMRVLNQLRSLGSKFLELSGVQQIYDLKLIHTQALQLLNCICNGAISTLDDEKVEEYGIYEAYFTAIRNGIIEIVVEIIKAHPPLLIVQASDNNTLLTAVKFRQEKVFSLVYGLDSRKNILLVGCDKNDNNLLHLAAKLAPPHRLARISGAALQMQRELQWYKEVESIVKPSYKEDVNKCGVKPTQMFSDTHKFLMEEGEKWMKDTATSCTVVGALIITIMFTAAFTVPGGNIQDTGYPMFLHEKAFMVFIIADAISLFSSSTSVLMFLGILTSRYAADDFLKSLPTKLIIGLSTLFISIATMMVSFCATLILMLEGELNLIIPLVLLASIPVTLFIFLQFPLLVEIFISTYGPGIFDRKSKYLHK >Manes.18G125000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14238369:14242809:1 gene:Manes.18G125000.v8.1 transcript:Manes.18G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYAQNFSPSRTLSPHIRTTPDVDSGQYLAELLEEHQKLGPFTRVLPICSRLLNQEILRVSGMIPNLGLSDFDRLQRGSLSPMASSDLLPNNRSTGIIGWNGLPHERLGMQGMNVDWQAAPASPSSYVVKKILRLDIPVDSYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDLEKEESLRGRPGYEHLSDSLHIIIEAELAVNIIDMQMRQAQEIIEELLKPVDESQDIYKRQQLRELAMLNSNYREESPVPSGGVSPFNSSGMKRAKTGQ >Manes.18G125000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14238369:14242809:1 gene:Manes.18G125000.v8.1 transcript:Manes.18G125000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEILRVSGMIPNLGLSDFDRLQRGSLSPMASSDLLPNNRSTGIIGWNGLPHERLGMQGMNVDWQAAPASPSSYVVKKILRLDIPVDSYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDLEKEESLRGRPGYEHLSDSLHIIIEAELAVNIIDMQMRQAQEIIEELLKPVDESQDIYKRQQLRELAMLNSNYREESPVPSGGVSPFNSSGMKRAKTGQ >Manes.18G125000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14238876:14242809:1 gene:Manes.18G125000.v8.1 transcript:Manes.18G125000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEILRVSGMIPNLGLSDFDRLQRGSLSPMASSDLLPNNRSTGIIGWNGLPHERLGMQGMNVDWQAAPASPSSYVVKKILRLDIPVDSYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDLEKEESLRGRPGYEHLSDSLHIIIEAELAVNIIDMQMRQAQEIIEELLKPVDESQDIYKRQQLRELAMLNSNYREESPVPSGGVSPFNSSGMKRAKTGQ >Manes.15G156900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12934888:12939564:1 gene:Manes.15G156900.v8.1 transcript:Manes.15G156900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYFPLRWESTGDQWWYASPIDWAAANGHFDLVRELLRIDNNHLIKLTSLRRIRRLETVWDDEEQFDDVAKCRSQVARKLFHECESKKGKNSLIQAGYGGWLMYTAASAGYLSFVQELLEKNPLLVFGEGEYGVTDIFYAAARSKNCEVFRIIYDFAVSPRFLTAKGGEFEEHIGDIPSLYKWEMINRAVHAAARGGNSTILRELLSNCSDVLAYRDKEGATILHAAAARGQVEVVKDLIASFDIINSTDHLGNTALHIAAYRGQLSVVEALIVASPSLISSTNNAGETFLHMAVSGFQTSAFKRLDRQIELMKQLICGKFFNVEDIINAKSNDGRTALHTAIIGNVHSDLVQLLTSARSINVNIPDADGMTPLDLLKQRPHSASSDVLIRQLISAGGIFGCQDYTARRAIASRLKMQAYGVSPGTSFRISDAEIFLYTGSEIVSDASADPGSEERISASTEHLDSTNENQSSTTSRKLSSVSNAAQQLKRVLHWPSLKGKKPERFKNSMDQVSVESCKQCNCAEETPAPLRQRFTKAPSLPNNKRTLSVRSNQSSPLAKKKFAVGTMHGVMQAMPQLTVQGRSRSSSFSKSSPSSPSSLDKQKGVFIDNDVAGPSSSNQSFDDRTPNVTGKEGSTTNKKLRNQYFCFGTSGLSVKTPVSRPRQTHSSNASVVSVA >Manes.15G156900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12934888:12939564:1 gene:Manes.15G156900.v8.1 transcript:Manes.15G156900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAASAGYLSFVQELLEKNPLLVFGEGEYGVTDIFYAAARSKNCEVFRIIYDFAVSPRFLTAKGGEFEEHIGDIPSLYKWEMINRAVHAAARGGNSTILRELLSNCSDVLAYRDKEGATILHAAAARGQVEVVKDLIASFDIINSTDHLGNTALHIAAYRGQLSVVEALIVASPSLISSTNNAGETFLHMAVSGFQTSAFKRLDRQIELMKQLICGKFFNVEDIINAKSNDGRTALHTAIIGNVHSDLVQLLTSARSINVNIPDADGMTPLDLLKQRPHSASSDVLIRQLISAGGIFGCQDYTARRAIASRLKMQAYGVSPGTSFRISDAEIFLYTGSEIVSDASADPGSEERISASTEHLDSTNENQSSTTSRKLSSVSNAAQQLKRVLHWPSLKGKKPERFKNSMDQVSVESCKQCNCAEETPAPLRQRFTKAPSLPNNKRTLSVRSNQSSPLAKKKFAVGTMHGVMQAMPQLTVQGRSRSSSFSKSSPSSPSSLDKQKGVFIDNDVAGPSSSNQSFDDRTPNVTGKEGSTTNKKLRNQYFCFGTSGLSVKTPVSRPRQTHSSNASVVSVA >Manes.11G120950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28139083:28142145:-1 gene:Manes.11G120950.v8.1 transcript:Manes.11G120950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFNIGKHELLFHHCRTTCIVFCCYGRLCYVLTLVVSFLITCFRFMPQQFLICCVIYCFFISLIVSSRNAGYTTGIGKFGIIESTTLLHMRFYFCILRRAVAVRKPTYKAFTRYSTRC >Manes.12G140100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34627156:34632925:1 gene:Manes.12G140100.v8.1 transcript:Manes.12G140100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSTQFVSPGRMGMYEPIHQIGMWGENFKSNGISNASPSMFIPGNPNSSQSILIPAETKLDNQSEDTSHGTLGPSSSKYDQEASKPIDKVQRRLAQNREAARKSRLRKKAYVQQLESSRLKLFQLEQELERARHQGLYVGGLETSQMGFAGPINPGIANFEMEYGHWLEEQNKNIHDLRNALNAHISDNELRILVDTGINHYSELFRMKATAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVSNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMDTAMEKLEVGRNQLPLT >Manes.12G140100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34627156:34639797:1 gene:Manes.12G140100.v8.1 transcript:Manes.12G140100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSTQFVSPGRMGMYEPIHQIGMWGENFKSNGISNASPSMFIPGNPNSSQSILIPAETKLDNQSEDTSHGTLGPSSSKYDQEASKPIDKCLQVQRRLAQNREAARKSRLRKKAYVQQLESSRLKLFQLEQELERARHQGLYVGGLETSQMGFAGPINPGIANFEMEYGHWLEEQNKNIHDLRNALNAHISDNELRILVDTGINHYSELFRMKATAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVSNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMDTAMEKLEGLVRFVQQADHLRQITLQQMSHILTKRQAARGLLALGEYFQRLRALNTLWVTRPREPA >Manes.12G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34627156:34639797:1 gene:Manes.12G140100.v8.1 transcript:Manes.12G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSTQFVSPGRMGMYEPIHQIGMWGENFKSNGISNASPSMFIPGNPNSSQSILIPAETKLDNQSEDTSHGTLGPSSSKYDQEASKPIDKVQRRLAQNREAARKSRLRKKAYVQQLESSRLKLFQLEQELERARHQGLYVGGLETSQMGFAGPINPGIANFEMEYGHWLEEQNKNIHDLRNALNAHISDNELRILVDTGINHYSELFRMKATAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVSNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMDTAMEKLEGLVRFVQQADHLRQITLQQMSHILTKRQAARGLLALGEYFQRLRALNTLWVTRPREPA >Manes.12G140100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34627156:34639797:1 gene:Manes.12G140100.v8.1 transcript:Manes.12G140100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSTQFVSPGRMGMYEPIHQIGMWGENFKSNGISNASPSMFIPGNPNSSQSILIPAETKLDNQSEDTSHGTLGPSSSKYDQEASKPIDKVQRRLAQNREAARKSRLRKKAYVQQLESSRLKLFQLEQELERARHQGLYVGGLETSQMGFAGPINPGIANFEMEYGHWLEEQNKNIHDLRNALNAHISDNELRILVDTGINHYSELFRMKATAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVSNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMDTAMEKLEGLVRFVQQDMRFPPIIIQYPEVDRLSEGESDQSTSE >Manes.04G077700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27915592:27918814:-1 gene:Manes.04G077700.v8.1 transcript:Manes.04G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSYVKLLLLSSLVLVVLTVTSHGFSIKEATIYDLQLAFKQNQLTSRQLVEYYIGEIRRLNSVLNGLIEINPDALYQADKADYERRVKAQGSLVGLHGIPILLKDNIGTKDKLNTTAGSFALFRSVVPQDAGVVMKLRKAGAIILGKASMTEWAAFRSLTLPNGFSARGGQGKNPYVLSADPCGSSSGPAISVAANLVAVSLGTETDGSILCPSNANSVVGIKPTVGLTSRAGVVPVSFRQDTIGPICRTVSDAVYVLDAIAGVDYNDGATKGALQFIPYGGYKQFLKPYGLKGKRLGVVRNPFLNFASKAESQAFEYHLQTLRQRGAVVIDHLEIANINTILNPNASGEAVALLAEFKISLNAYLGNLVASPVRSLADVIAFNQKFAEVEKIQEFGQDIFLAAQATNGIGNAERAALANLAKLTRDGFQKLMWDNKLDALVTPGAGVAPVLAIGGFPGINVPAGYDDKGVPFGINFGGLKGTEPKLIQIAYGFEQATKIRKPPVFKP >Manes.16G032500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3954807:3957984:1 gene:Manes.16G032500.v8.1 transcript:Manes.16G032500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIQLITANPKENTVIDTKIDELRTLTCPSCGHNIQLQDQGGGIIHDLPALPAGVRFDPSDQEILEHLEAKVLSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSSDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFIGGRVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSTIKDSLDQNLKNRNTHENTSISRNPALVDYYNPAAFISYGHETHNRETPAQLIPNMVVQGDGSSFIRFAADTSKGKLQRR >Manes.16G032500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3954807:3957984:1 gene:Manes.16G032500.v8.1 transcript:Manes.16G032500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIQLITANPKENTVIDTKIDELRTLTCPSCGHNIQLQDQGGGIIHDLPALPAGVRFDPSDQEILEHLEAKVLSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSSDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFIGGRVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSTIKDSLDQNLKNRNTHENTSISRNPALVDYYNPAAFISYGHETHNRETPAQLIPNMVVQGDGSSFIRFAADTSKGKLQRR >Manes.16G032500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3954488:3958025:1 gene:Manes.16G032500.v8.1 transcript:Manes.16G032500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIQLITANPKENTVIDTKIDELRTLTCPSCGHNIQLQDQGGGIIHDLPALPAGVRFDPSDQEILEHLEAKVLSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSSDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFIGGRVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSTIKDSLDQNLKNRNTHENTSISRNPALVDYYNPAAFISYGHETHNRETPAQLIPNMVVQGDGSSFIRFAADTSKGKLQRR >Manes.16G032500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3954488:3958398:1 gene:Manes.16G032500.v8.1 transcript:Manes.16G032500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIQLITANPKENTVIDTKIDELRTLTCPSCGHNIQLQDQGGGIIHDLPALPAGVRFDPSDQEILEHLEAKVLSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSSDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFIGGRVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSTIKDSLDQNLKNRNTHENTSISRNPALVDYYNPAAFISYGHETHNRETPAQLIPNMVVQGDGSSFIRFAADTSKGKLQRR >Manes.16G032500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3954488:3958025:1 gene:Manes.16G032500.v8.1 transcript:Manes.16G032500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIQLITANPKENTVIDTKIDELRTLTCPSCGHNIQLQDQGGGIIHDLPALPAGVRFDPSDQEILEHLEAKVLSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSSDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFIGGRVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSTIKDSLDQNLKNRNTHENTSISRNPALVDYYNPAAFISYGHETHNRETPAQLIPNMVVQGDGSSFIRFAADTSKGKLQRR >Manes.16G032500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3954488:3958398:1 gene:Manes.16G032500.v8.1 transcript:Manes.16G032500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNNNSVDERAIQLITANPKENTVIDTKIDELRTLTCPSCGHNIQLQDQGGGIIHDLPALPAGVRFDPSDQEILEHLEAKVLSDMRKLHPLIDEFIPTIEGENGICYTHPEKLPGVSSDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRPVFIGGRVKGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSTIKDSLDQNLKNRNTHENTSISRNPALVDYYNPAAFISYGHETHNRETPAQLIPNMVVQGDGSSFIRFAADTSKGKLQRR >Manes.01G027100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5642671:5647389:1 gene:Manes.01G027100.v8.1 transcript:Manes.01G027100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGEESTPAPQVVGNAFVEQYYNILSKSPEVVHKFYQNSSVITRPDFDGLVSSATTLDGIDKMILSLDYKNCVVEILTTDAQESFGDGVIVLVTGFFTGKDDIRRKFTQLFFLAPQDSRAYFVLNDIFRYVDEEAVVPIKINDADETAPAAPVTPDPEPTLVSDHSVVDHEAPHFEEDTVQAEESSLPLDNGKISTDDEVISDPSVGKIQNDVPPPTGSTVQSDSLSVPEATVSNVQEDLPKKSYASVANALNYKKQPFQQRILPVKPVEHLRATVVPEVSPPPVNNKPVEKNNTDSVKGYSIFVANLPMNATVEQLIETFEKFGPIKPNGVQVRSYKQEKNCFGFVEFESVNSMQSALEVSSIKIGDRLAHIEEKKANNEGGKFPPRKGGFRSDGFRNRGNFGGGRGYGRNESDNQGGSSGQYRGTGRQNAEGNPKVYQNGGGRVARQAQSQAQAQAQAQSPGGKN >Manes.01G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5642671:5647389:1 gene:Manes.01G027100.v8.1 transcript:Manes.01G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGEESTPAPQVVGNAFVEQYYNILSKSPEVVHKFYQNSSVITRPDFDGLVSSATTLDGIDKMILSLDYKNCVVEILTTDAQESFGDGVIVLVTGFFTGKDDIRRKFTQLFFLAPQDSRAYFVLNDIFRYVDEEAVVPIKINDADETAPAAPVTPDPEPTLVSDHSVVDHEAPHFEEDTVQAEESSLPLDNGKISTDDEVISDPSVGKIQNDVPPPTGSTVQSDSLSVPEATVSNVQEDLPKKSYASVANALNYKKQPFQQRILPVKPVEHLRATVVPEVSPPPVNNKPVEKNNTDSVKGYSIFVANLPMNATVEQLIETFEKFGPIKPNGVQVRSYKQEKNCFGFVEFESVNSMQSALEVSSIKIGDRLAHIEEKKANNEGGKFPPRKGGFRSDGFRNRGNFGGGRGYGRNESDNQGGSSGQYRGTGRQNAEGNPKVYQNGGGRVARQAQSQAQAQAQAQSPGGKN >Manes.08G076205.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17051252:17054460:1 gene:Manes.08G076205.v8.1 transcript:Manes.08G076205.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEHRDTLPFDPEIERTLRRLRKQATEASSEATEFCQQAAPMAEPNPQLVANNGHAVHDQIIQENPAIRPQEQRERTMRELATPIGDYAPLCIAYPPMTVPFELKSGLIHHLPKFRGLQNENPHKHLKEFNIVCSSMTPHGVSEDHVKLRAFPFSLDDYAKDWLFYLPPRSITSWTDMVQTFLDKYFPPSKSIGIIREITSIKQKPTEDLYDYWERFERLCTGCPQHDMSDRALIQFFYGGLIPSERKLINVACGGSIADKTPSEMKGLIFTLAASSKQYDEEEQTQRGIHEVSTPFVESQFSKLTSLMEKIVLNQAQQMQAIPPPRPCGICASVGHPIDQCPTIQEDHQQANAIGRYNNQPRYDPYSNTYNPSWRDHPNFSYGKGNADQNHQGYQRSQVQPAPPVDNNTMNEVVKTLQMIQQQIGQIATSINRLETQGKLPSQTEANPKQNVSAITLRSGKELQNAKFEEEKQVEPKHTSSETPSAQHPRQSEASSSHSAPPHAQRADPKVSFHIPPPFPKRFERTQKEKEEKEILDTFRKVQINIPLLDAVKQIPRYAKFLKELCTNRRKLAEREKVSVGEVVTAVIKRELPTKCKDKGMFAISCKIGNVGIRKAMCDLGASINVMPLSIYKSLNACALKETRVVIQLADRSVVYPIGVLEDVLVQVNELVFPADFYVIDTKEDSCNTSSDILLGRPFLSTARTKIDVHDGTLTMEFEGEVIKYNVYDSMKYPHDMSPVYGLDIVDCLSQEIFDKNQDDILNSDFCRDTNQVQIESQKEPKLKKTVCSIQQIVCSQAQIGENSVAPLQNCVQTLPAQKETLGSSSAPTPPQSSLAQKEISETTSAQTPLQHSSDLKPLPGHLKYIYLGADKTLPVIVSNELNQHEEASLLKVLQKHKGAIGWTIDDIKGISPSTCMHRIHMEDECKPVRDAQRRLNPPMMEVVKKEIVKLLDAGIIYPISDSKWVSPVHVVPKKTGITIVPNAEGELVPTRVQNGWRVCMDYRKLNATTRFLSDSSCPRGPRENHLHLSLWHFCL >Manes.01G210600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38186110:38190933:-1 gene:Manes.01G210600.v8.1 transcript:Manes.01G210600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALRHPSSKRLFPCSSQIYWCCRGSESTHFSISNSLYANDTTSVSAGSWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLNFYKFPGDEIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVTTGRVEQGTIKVGEEVEILGLMQGAPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDVQRGQVIAKPGTVKTYRKFEAEIYVLTKDEGGRHTAFFSNYRPQFYMRTADITGKVELPENVKMVMPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVSKVIS >Manes.01G210600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38186042:38190984:-1 gene:Manes.01G210600.v8.1 transcript:Manes.01G210600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALRHPSSKRLFPCSSQIYWCCRGSESTHFSISNSLYANDTTSVSAGSWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLNFYKFPGDEIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVTTGRVEQGTIKVGEEVEILGLMQGAPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDVQRGQVIAKPGTVKTYRKFEAEIYVLTKDEGGRHTAFFSNYRPQFYMRTADITGKVELPENVKMVMPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVSKVIS >Manes.07G133302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29324725:29327123:1 gene:Manes.07G133302.v8.1 transcript:Manes.07G133302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGYLRRLAVFIKLLVLLVARANGADIFVEWSVSLDTIETISVDPDGVSKTNCPIQPGTNWTCVFQTKDQIGSFFYFPSINFHKAAGGFGPFGPIRVNNRNVVAIPFPKPEADIREMMNKLAETYETSADTILMNGKGPYGHQLTKAYDSFTATKDNRKLIQNHRMVLVETEGSYTDQITMDSLDVHVGQSYSVLVTMDQSESDYYIVATPLLLNTTASSNLVGVGVLHYNSLEQANGPLPVGPDPFDLVWWNMTAGAVRPNPQGTFNVTNVNISQTFILEGSAVNNVSYYTVNTPLKLADNFVNGSNVYQPTDWFPVDYVNVMAIYGVSVVNGNHKGWLELVFVNSLDVMDSWHLDGFGFYDVGFGYGSWRPDARNTCNLFDPVVRSTVQVYPGGWTTIQVHDNDLNPAKERPPPDNLLLCG >Manes.09G182800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37069952:37071629:-1 gene:Manes.09G182800.v8.1 transcript:Manes.09G182800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNRNYAHMGPTLILRSDSLLGYTEQSSLMMEKRQLFLRSYQFCRKKSLTEKIKRYLVRVKKVMWLKLRSACKLRRLVWSRLRYSFYCRRRRNFIRFRSPNHHYCSYPSSSSSSSSCFR >Manes.10G075200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13996126:13999905:-1 gene:Manes.10G075200.v8.1 transcript:Manes.10G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGGSLSRALISASRAPSRLSSPPLPRIRPPPTASPRLQSRRPSFATSRNMGELGCMQSFLPLHSMVSTNHLTSRLNANLRAFCELSHGRNGKDG >Manes.10G075200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13996126:13999905:-1 gene:Manes.10G075200.v8.1 transcript:Manes.10G075200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGGSLSRALISASRAPSRLSSPPLPRIRPPPTASPRLQSRRPSFATSRNMGELGCMQSFLPLHSMVSTNHLTSRLNANLRAFCELSHGT >Manes.10G075200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13996126:13999905:-1 gene:Manes.10G075200.v8.1 transcript:Manes.10G075200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGGSLSRALISASRAPSRLSSPPLPRIRPPPTASPRLQSRRPSFATSRNMGELGCMQSFLPLHSMVSTNHLTSRLNANLRAFCELSHGTFYRTCQDR >Manes.13G071800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:14847751:14849893:-1 gene:Manes.13G071800.v8.1 transcript:Manes.13G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDDLYITVPSFFRCPISLDVMKSPVSLCTGVTYDRTSIQRWLDNGNNTCPATMQVLQSKELVPNRTLQRLIRIWSDSVQNHCSHRVDSATNSVPSQDEIKCIVKDIETKKEPDHCCFDALSKILCFAEESLENREFLAKMAGFVPMLVDLLADNKSIDFIEQVISVLDLIVITIEDYKQLMTLPLKNKHVDFLSSILLVFQRGRRVHSRIGSVRILELIAMDAESNLSIAEKDELLSELVKSIGPENDPTLIDASLSCLIAISKAKRVKVKLVHLKTIPELKNILTAEPNTGISNLITKKALKLLETVSSCKEGRVEMCNDTACIEAVVQKVFKVSAEATEHAVTILWSMCYLFRDGKAREAVTNSNGLTKILLLMQSNCSPAVRQMAGDLLKIFRVNSKSCLSSYDTKTTHIMPF >Manes.01G106700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30587565:30587814:-1 gene:Manes.01G106700.v8.1 transcript:Manes.01G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSKSLLYEAPLGYSIEDVRPHGDIKKFRSAAYSNCVRKPS >Manes.15G135850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10993346:10993943:1 gene:Manes.15G135850.v8.1 transcript:Manes.15G135850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLTWMVLSRVRNYSTKYPLELTKTQYKVVGEIPKRQPSINKRREKETPTVFLLTCLLALILQKTFVHFGENSHIFFMYGGNWVSIPTLGATVLCIGATALHQEYN >Manes.10G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3690444:3691543:1 gene:Manes.10G037300.v8.1 transcript:Manes.10G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSASYIHMVQHLIEKCLIFRMTKEECMEALSKHASIEPVITSTVWNELEKENKEFFKAYAQYKSKDDRMSEEETSQIIQKMMSESSKATDD >Manes.10G037300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3689557:3691543:1 gene:Manes.10G037300.v8.1 transcript:Manes.10G037300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMVQHLIEKCLIFRMTKEECMEALSKHASIEPVITSTVWNELEKENKEFFKAYAQYKSKDDRMSEEETSQIIQKMMSESSKATDD >Manes.10G037300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3689557:3691543:1 gene:Manes.10G037300.v8.1 transcript:Manes.10G037300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMHLIEKCLIFRMTKEECMEALSKHASIEPVITSTVWNELEKENKEFFKAYAQYKSKDDRMSEEETSQIIQKMMSESSKATDD >Manes.10G037300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3690444:3691543:1 gene:Manes.10G037300.v8.1 transcript:Manes.10G037300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSASYIHMHLIEKCLIFRMTKEECMEALSKHASIEPVITSTVWNELEKENKEFFKAYAQYKSKDDRMSEEETSQIIQKMMSESSKATDD >Manes.12G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1977992:1978795:-1 gene:Manes.12G023100.v8.1 transcript:Manes.12G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSLDTTKRHLKPPSSSSTTSTIKFLCSYGGKIVPRYPDGKLRYHGGETRVLSVDRSISFSALLLKLGELCGKEVSLRCQLPNEDVDALVSITSDEDLVNLIEEYDRAATPKIRAFLSMPKKISPPLSSSSSSSSSSSSSSSPSNSYVATVGSTASTSTPRCYHQISKPVAYPVKKILPHPHYVYHVPGNTSHAYMVHNGNHWQ >Manes.12G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:20780595:20783396:-1 gene:Manes.12G095000.v8.1 transcript:Manes.12G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNKKAAFRFLSGERSVVSGHGHGRGQISRNFDGDSSNASMNGTSAPDYAFFNFGSASSSLIDFPSSPIRKSPWSSQVDIDFEDSKDKDNCNNDQNKTPNNVLIRSLVREEGHIYSLAASGDLLYTGSDSKNVRVWKNLKAFSGFKSKSGLVKAIVITNDRVFTGHQDGKIRIWKLSSKNTGIHKRVGTLPKYKDYLKHSLKRSSYIEVRRHRNVVWLKHFDAISCLSLNEDKTLLYSTSWDKTFKVWRISDSKCLESVTAHDDAVNSIVAGSDGLVFTGSADGSIKVWKREIQGNWTKHFFSQTLLKQECAVTTLAVNPEANIIYSGSSDGLVNFWEQRSNLSHGGVLKGHRLAVLCLVTAGSLVFSGSADMGICVWRRLGSYHICLSLLNAHTAPIKCLAAEKDEKSNSQETSWLLYSGSLDKSVKVWRVSENAPPVAWDACANFIPISLPPAPSSNRA >Manes.13G145132.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35874158:35877572:1 gene:Manes.13G145132.v8.1 transcript:Manes.13G145132.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQEVAMSEPVSPAGQYLSSSILSLSIIAVLESEVPIDDSQTMSLLKDVFLPINPRFSSVMVVNKKGEKRWRKVEVKLKDHIKTPRFPDGMSREFYDNCLDDYLSKIAMEELPQSQPLWEIHIIKYPTSEAEGNAIFKLHHSLGDGFSLMGALLSCLQRADNPAIPLTFPSVQLHNNNNLKGKRIGICRSLVRFFSSVVNTASDLCADIGRSSLVEDDKSPIRSAHPGVEFLPVSVVTLSFSLHHIKQIRAKLGATINDVITGTIFLATRLYMESEKQGTGKTRTAALVLLNTRMFGGYKSVQEMVKPNAELPWGNHFAFLSVSVPKLSGSEIEDPLQFVWKARKIIQRKRSSFSVFLTAKYLQLVRKFRGTQRVSKYLHGTLKNTSMGITNVMGPMEQMALANHPVKGLYFVVTGAPQSLMTGVVSYMGNVRVAALVEKDFIDPQKFKSHMQIAFDMIYKATFGAPPPPPSK >Manes.01G134200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32740372:32744362:1 gene:Manes.01G134200.v8.1 transcript:Manes.01G134200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPASPAASPDSGSSSNSSSSSSRPPPPPNSKSPEKSPPATESPPPRSSEHSPPPPSHHKSNSSASPTPQRHKSLSPSPSHKSSSSKDSSSSEDSGISHDQLKIVVGVSVGIGLLIALLIICAAALCRKKKKKDEHVQYYGEYQGGSKKYYQSSVHQNWHNGPPPPVGSQHNNFVAQSSPGSGWNGPPPPPQMMTSGDMSSNFSGPYRPPLPPPSPDIALGFNKSTFTYDELAAATGGFAQANLLGQGGFGYVHKGMLPNGKEIAVKSLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGGQRMLVYEFVQNNNLEHHLYGRGLPVMDWSTRLRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDSNFEAMVADFGLAKLSSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPTDALEDSLVDWARPLLSRSLEDGNYTELVDLRLNNNFNPDEMRGMVACAAASIRHSAKKRPKMSQIVRALEGDVSLEDLNEGARPGRSSALGVTNVTAESDGDSYNADMKKFRHMASSSQEFTSGELGNSSSDSREMSPRGRTNY >Manes.01G134200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32740642:32744156:1 gene:Manes.01G134200.v8.1 transcript:Manes.01G134200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPASPAASPDSGSSSNSSSSSSRPPPPPNSKSPEKSPPATESPPPRSSEHSPPPPSHHKSNSSASPTPQRHKSLSPSPSHKSSSSKDSSSSEDSGISHDQLKIVVGVSVGIGLLIALLIICAAALCRKKKKKDEHVQYYGEYQGGSKKYYQSSVHQNWHNGPPPPVGSQHNNFVAQSSPGSGWNGPPPPPQMMTSGDMSSNFSGPYRPPLPPPSPDIALGFNKSTFTYDELAAATGGFAQANLLGQGGFGYVHKGMLPNGKEIAVKSLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGGQRMLVYEFVQNNNLEHHLYGRGLPVMDWSTRLRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDSNFEAMVADFGLAKLSSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPTDALEDSLVDWARPLLSRSLEDGNYTELVDLRLNNNFNPDEMRGMVACAAASIRHSAKKRPKMSQIVRALEGDVSLEDLNEGARPGRSSALGVTNVTAESDGDSYNADMKKFRHMASSSQEFTSGELGNSSSDSREMSPRGRTNY >Manes.01G134200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32740158:32744250:1 gene:Manes.01G134200.v8.1 transcript:Manes.01G134200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPASPAASPDSGSSSNSSSSSSRPPPPPNSKSPEKSPPATESPPPRSSEHSPPPPSHHKSNSSASPTPQRHKSLSPSPSHKSSSSKDSSSSEDSGISHDQLKIVVGVSVGIGLLIALLIICAAALCRKKKKKDEHVQYYGEYQGGSKKYYQSSVHQNWHNGPPPPVGSQHNNFVAQSSPGSGWNGPPPPPQMMTSGDMSSNFSGPYRPPLPPPSPDIALGFNKSTFTYDELAAATGGFAQANLLGQGGFGYVHKGMLPNGKEIAVKSLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGGQRMLVYEFVQNNNLEHHLYGRGLPVMDWSTRLRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDSNFEAMVADFGLAKLSSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPTDALEDSLVDWARPLLSRSLEDGNYTELVDLRLNNNFNPDEMRGMVACAAASIRHSAKKRPKMSQIVRALEGDVSLEDLNEGARPGRSSALGVTNVTAESDGDSYNADMKKFRHMASSSQEFTSGELGNSSSDSREMSPRGRTNY >Manes.01G134200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32740642:32744156:1 gene:Manes.01G134200.v8.1 transcript:Manes.01G134200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPASPAASPDSGSSSNSSSSSSRPPPPPNSKSPEKSPPATESPPPRSSEHSPPPPSHHKSNSSASPTPQRHKSLSPSPSHKSSSSKDSSSSEDSGISHDQLKIVVGVSVGIGLLIALLIICAAALCRKKKKKDEHVQYYGEYQGGSKKYYQSSVHQNWHNGPPPPVGSQHNNFVAQSSPGSGWNGPPPPPQMMTSGDMSSNFSGPYRPPLPPPSPDIALGFNKSTFTYDELAAATGGFAQANLLGQGGFGYVHKGMLPNGKEIAVKSLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGGQRMLVYEFVQNNNLEHHLYGRGLPVMDWSTRLRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDSNFEAMVADFGLAKLSSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGKRPVDPTDALEDSLVDWARPLLSRSLEDGNYTELVDLRLNNNFNPDEMRGMVACAAASIRHSAKKRPKMSQIVRALEGDVSLEDLNEGARPGRSSALGVTNVTAESDGDSYNADMKKFRHMASSSQEFTSGELGNSSSDSREMSPRGRTNY >Manes.13G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29345440:29347952:1 gene:Manes.13G100300.v8.1 transcript:Manes.13G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLTRFSWWLWSGKENEPVSNGSSLNSSTDWGSGLRELESVKFPAKNLASPRKVKRKWHSREDRKLDKQYGDGVLVPSDGGVCLSGSESDGPDWSIGWVEPHGPDFRSDDETDDGFAVLVPCYRPGCKELVEGSTNQFLSAIKNLPNEFSSEGKNYMEWLSSFRISEV >Manes.05G009900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:976590:981652:-1 gene:Manes.05G009900.v8.1 transcript:Manes.05G009900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRQQNDHLGVNKMGKNIRKSPLHQPNFANNANRQQPQPQVYNISKNDFRNIVQQLTGSPSHEPLPRPPQNPPKAQSMRLQKIRPPPLTPINRPHIPPPIPAPPVASPPVPFNNGFVRPGQFGQPAPTMMPSMAPGESAWSNTAESPISAYMRYLQNSIMDPSPRANLAQPPLQQPQAQGPGQFQHQPPSSGLLPNPHVPALPSPRLNGPVPPMPSVPSPQMYGAAVLPSPTSQFLLPSPTGYMNLLSPRSPYPLFSPGIQFPSPLTPNFALPTQSGILGPGPQPPPSPGLVFPLSPTGFFPLSSPRWRDQ >Manes.05G009900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:978233:979180:-1 gene:Manes.05G009900.v8.1 transcript:Manes.05G009900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRQQNDHLGVNKMGKNIRKSPLHQPNFANNANRQQPQPQVYNISKNDFRNIVQQLTGSPSHEPLPRPPQNPPKAQSMRLQKIRPPPLTPINRPHIPPPIPAPPVASPPVPFNNGFVRPGQFGQPAPTMMPSMAPGESAWSNTAESPISAYMRYLQNSIMDPSPRANLAQPPLQQPQAQGPGQFQHQPPSSGLLPNPHVPALPSPRLNGPVPPMPSVPSPQMYGAAVLPSPTSQFLLPSPTGYMNLLSPRSPYPLFSPGIQFPSPLTPNFALPTQSGILGPGPQPPPSPGLVFPLSPTGFFPLSSPRWRDQ >Manes.05G009900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:976590:981652:-1 gene:Manes.05G009900.v8.1 transcript:Manes.05G009900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRQQNDHLGVNKMGKNIRKSPLHQPNFANNANRQQPQPQVYNISKNDFRNIVQQLTGSPSHEPLPRPPQNPPKAQSMRLQKIRPPPLTPINRPHIPPPIPAPPVASPPVPFNNGFVRPGQFGQPAPTMMPSMAPGESAWSNTAESPISAYMRYLQNSIMDPSPRANLAQPPLQQPQAQGPGQFQHQPPSSGLLPNPHVPALPSPRLNGPVPPMPSVPSPQMYGAAVLPSPTSQFLLPSPTGYMNLLSPRSPYPLFSPGIQFPSPLTPNFALPTQSGILGPGPQPPPSPGLVFPLSPTGFFPLSSPRWRDQ >Manes.15G189700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30318714:30320273:-1 gene:Manes.15G189700.v8.1 transcript:Manes.15G189700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK5 MTISAANNSPLIVAFGEMLIDFVPDVAGVSLAESGGFLKAPGGAPANVACAITKHGGASAFIGKVGDDEFGHMLVDILKKNGVKTEGVCFDQQARTALAFVTLKKNGEREFMFYRNPSADMLLKESELNMGLINQAKIFHYGSISLISEPCRSAHIAAIKAAKAAGIFLSYDPNLRLPLWPSPEAAREGIKSIWKEADFIKVSDDEVEFLTQGDASKEEVVLSLWHDGLKLLVVTDGEKGCRYFTKNFKGKIGGFSVNTVDTTGAGDSFVGALLFSMAKDTSIFQDEGKLKEALVFANACGAICTTQKGAIPALPSTNDALALIKSNTN >Manes.05G121400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20429975:20432664:-1 gene:Manes.05G121400.v8.1 transcript:Manes.05G121400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDHPSLSEQLLKPRKPKSRGVSSRFLSPNTTSQDNAIPSPPPSQASSPLRQKSSDARKHRSHEDSGFIRGLWPSSTTSSSPLHSTSNRKNVDTLANHLGNERLRDLLERKQDKKSGNKSSMFSLARQRSCSEYSRFEEREKESMKENHRPIVGGSMRYTGKLDFTGKLSSSSSSSSSSMKNLSNNSDFVPGRLSVDENAIYRNSKNADASRRKSDSFIDNVDAESECSANGSATDCSSPASGKNSRKSGIEVSSKYLQDLQTRSRRSTSDSNIQHPASLDSSPKMKKSILKYAIKRANSLTGYGSATSQWALSPGRSGSPPMSVESKDRLISFSSLKPPSSPSRSKGVEKFLSLGLDLLKGKRSSSSSSLMGSGNVENIHQLRLLHNRLLQWQHANARADSGNENINKQAKNNLLSAWESLENLQHTVLLKKLQLQKEKHEMKLDYILNSQIKQLEAWADMERQHLTAIVKTKECLHSVVCRIPLIEGAKVDSQLTSIALRHASDLTASIKSSLVSFPQLRRVLH >Manes.05G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20429975:20432664:-1 gene:Manes.05G121400.v8.1 transcript:Manes.05G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDHPSLSEQLLKPRKPKSRGVSSRFLSPNTTSQDNAIPSPPPSQASSPLRQKSSDARKHRSHEDSGFIRGLWPSSTTSSSPLHSTSNRKNVDTLANHLGNERLRDLLERKQDKKSGNKSSMFSLARQRSCSEYSRFEEREKESMKENHRPIVGGSMRYTGKLDFTGKLSSSSSSSSSSMKNLSNNSDFVPGRLSVDENAIYRNSKNADASRRKSDSFIDNVDAESECSANGSATDCSSPASGKNSRKSGIEVSSKYLQDLQTRSRRSTSDSNIQHPASLDSSPKMKKSILKYAIKRANSLTGYGSATSQWALSPGRSGSPPMSVESKDRLISFSSLKPPSSPSRSKGVEKFLSLGLDLLKGKRSSSSSSLMGSGNVENIHQLRLLHNRLLQWQHANARADSGNENINKQAKNNLLSAWESLENLQHTVLLKKLQLQKEKHEMKLDYILNSQIKQLEAWADMERQHLTAIVKTKECLHSVVCRIPLIEGAKVDSQLTSIALRHASDLTASIKSSLVSFPQLTEKSAALISQLAEVVSQEKLLLQECLELFQTISMLEIQERSLKCYIMQLNSWQEHQQLHS >Manes.18G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3859542:3862986:1 gene:Manes.18G043600.v8.1 transcript:Manes.18G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGNGYYSSSSPFESSVSHTRNASFRHLNQRHLLPQTSSQNPNEDNIEYLFSQLSVSQHNGEPSYPAAYGDSSVGSHLYRPHVGGQTFSVQEMGQNQQNNGVNSCLSMGLQDYLSYPDMLGSNIDFRNSFISNVNELPWADSSGFSNGSMTDCWLSKIRNSHSSALYNERPHWLQEPSNYLPLGDLRGMILRLAKDQNGCRLLQSVLGRGAKVGIGIVFFEVIDYVGELMVDPFGNYVIQKLVQVCSEEQRSQILLRVTRSEFQLVRICLDTHGTRAVQKLLETITSQQQISVFMSAISPSAVVLAKNINGHHVIKHCLRYFSIENNKYLLNVVADNCFEIAIDKSGCCVLQLCVDNSRGEARDRLISEITANALLLAEDPYGNYVVQHILALKFPRITANLLKQLQGSFIVLACNKYGSNVVEKCLIESNKEQFAQIIWELLRSSNASMLLVDSFGNYVVQSAISKSEGPAFVALLELIQMNVPRMRNNIYGRKILLKLRERGIHF >Manes.03G164300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29109413:29113414:-1 gene:Manes.03G164300.v8.1 transcript:Manes.03G164300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEGPKSGTSPAAMLASLMGKRENLREELRNIEKQVYELETSYLQDSGHFGNALKGFEGFLSSSKSTTNLKRSRKFQPEDRLFSLSSVTSPAAEELGFGRDDGRSDLGLGRSKGGSLATNGQCKTQINENSRNRGRKAKLRIG >Manes.03G164300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29110121:29113407:-1 gene:Manes.03G164300.v8.1 transcript:Manes.03G164300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEGPKSGTSPAAMLASLMGKRENLREELRNIEKQVYELETSYLQDSGHFGNALKGFEGFLSSSKSTTNLKRSRKFQPEDRLFSLSSVTSPAAEELGFGRDDGRSDLGLGRSKGGSLATNGQGKPKKGRTSIGPRDVKKIRSSNEAEFDEEDDPDMGLR >Manes.03G164300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29109413:29113407:-1 gene:Manes.03G164300.v8.1 transcript:Manes.03G164300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEGPKSGTSPAAMLASLMGKRENLREELRNIEKQVYELETSYLQDSGHFGNALKGFEGFLSSSKSTTNLKRSRKFQPEDRLFSLSSVTSPAAEELGFGRDDGRSDLGLGRSKGGSLATNGQGKPKKGRTSIGPRDVKKIRSSNEAEFDEEDDPDMGLR >Manes.01G007400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2637886:2645508:-1 gene:Manes.01G007400.v8.1 transcript:Manes.01G007400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHRSPGNGYRSSSMGMGASRISPDSSARGHGFYNSEYRSFNNRGFGRGQGQPKSFQQPPQPPPRKGDILMEAGRLAAEYLVSKGLLPENALSGKWQNGSLRKQAGDYQDFRVQEDFAQEGRTSARSRLGSVVSDAGAGRRRYSDDFNSRNHVKGRRRGEYYHRNYSSDWGREYGRSGSWSDRNQMSLDTEGDDDSISGHYEEHQVGEDVDGKFGQSGSAPESEEATEIESGAEFKDDMGSKATSFSIGKDETDGEPSKVSDDLANLNSGNEEMDYNHSHETEKQIISEDSPIHSCAVEGDLSSKHGSDLLTFCKFVKVPTKTRSALTYRVPKVDQVPNKEEQKSSDVGPPKGSEVSVPDATLDFSTGDSLPNTTQDSKCDLEMSKVVPAHSNEYIGEIGPPFVSGQGKCMRSQSFPDRAFMRDSKQELSQGMASFGRSTSVKEVGEKRTAEDDDMNEATKKPRECFPSLVSKANDQLHLSNYSQNQDSSQAGKPSPDHPVSVAVTQDNSIHSHQFQKSVGEPSAGYTQEKQLFPSSFKICDLNLMEAADINDYHCNDPVLVYPSIPASNKEDAQVEIDLSVSNANNGKHIEVIDLENDSNSGDKAFYNSQREETTFTGTEGIPNNAQNAGDITEVPDSYDSLTISEFLTTFSNCTSVTEDINPLQNEMSLHNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQGYGKPF >Manes.11G002100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:317765:319406:-1 gene:Manes.11G002100.v8.1 transcript:Manes.11G002100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLIPNSPALTLPISSTPIIASFKPMHLPTSPAISLVRDRRTMRGSTLVTRAGPSTTSYVFAFVFPLSLLIGTIFTSIRIADKLDRDYLEEQLAINQAIREADEEDDGDDVDIPLEDEAQQPALQGSRTRNRPKREA >Manes.11G002100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:317765:319406:-1 gene:Manes.11G002100.v8.1 transcript:Manes.11G002100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLIPNSPALTLPISSTPIIASFKPMHLPTSPAISLVRDRRTMRGSTLVTRAGPSTTSYVFAFVFPLSLLIGTIFTSIRIADKLDRDYLEELAINQAIREADEEDDGDDVDIPLEDEAQQPALQGSRTRNRPKREA >Manes.16G057589.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:17579039:17579470:1 gene:Manes.16G057589.v8.1 transcript:Manes.16G057589.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALASIFRAISTMAWKLSLSADWIKEEYLEWSFMIYLLDFFWSESRFCPANGNSSKNLSVNSSTLISSVCLNCSNSIIFSSLELSGKAHPANSFANSSQDMLSTLGFT >Manes.06G028000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:6424391:6424978:-1 gene:Manes.06G028000.v8.1 transcript:Manes.06G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIRFLFTVLISSLFILSVSSTFLHHHIPKELYPVLQLKRALLARKFLMAHNIVRGAYHLQPLTWNRTLAKYARRWAYQRINDCELIHSPNSPYGESMFWSKKGHWGPAEVVKCWADERAYYDEKTNECINGEICGHFTQLIWKTTEQVGCGRIQCNYHKGFIYVCSYDPPGNYYFEGPLGGTFSKSIVYPHHK >Manes.16G002500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:426145:429896:1 gene:Manes.16G002500.v8.1 transcript:Manes.16G002500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAANEARDVTIAGMSVPYQAFIDGDWERLKSFVEQNTETVVYPLTVNKDTALHIAVYSGSTSLLSSIVAIAKRVAENSEKISPFLIKNEYGNTALHEAAAAGDVAAAKLLLNCERTLLDIKNKLGETPLYRAAAFGKTEMVKFIAAEIIRSREEILQTHRQRAPSFMSIHGKRDDSTSILHIAVQAEHFETALYLQRTDEELGELKDEQGRTCLQLLARMPSAYRSNQTMGKLKGFLYKCLPDDVDEQDEDPQGPVSLSEKDDLETGRTSTDSLPIPSTYSRLRKVINKYTPNTGISKTNHTIWMFLAQGWPVLDRIWREKKRHECVIKLTKLLVKLDTSWETSHAEPDTGTISFGRSRTTTGDRGKSSGGGDREGTNGKSEEKTTTEPLLTPLLIAASTGIIEIVDEILQAYPQAVEHVSDMGKNILHVAIKHRQREIFRRVKRMKIPMAILARKIDNNGYTLLHHAADMKSYSGGYKPSTVLQLQGELRWFERVKKIIPPHYIMHHNKEDETAWELFDKTHSELHKEAKEWLKRTSESCSVIAVLIATVGFTAVYTVPGGTDDKTGLPILLHYPFFVVFTILDIISLASSLTSVVMFLSILTSPFRIEDFRISLPRKLTLGFTFLFISVAATMLAFAATIVLEIHLKAQWSRSLIYTVAFLPVTAFAIMQFPLYLAFAGIIKSSLRAFRKTLPWTFILGLYRSAKLLVRKKAY >Manes.16G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:426145:429896:1 gene:Manes.16G002500.v8.1 transcript:Manes.16G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIAANEARDVTIAGMSVPYQAFIDGDWERLKSFVEQNTETVVYPLTVNKDTALHIAVYSGSTSLLSSIVAIAKRVAENSEKISPFLIKNEYGNTALHEAAAAGDVAAAKLLLNCERTLLDIKNKLGETPLYRAAAFGKTEMVKFIAAEIIRSREEILQTHRQRAPSFMSIHGKRDDSTSILHIAVQAEHFETALYLQRTDEELGELKDEQGRTCLQLLARMPSAYRSNQTMGKLKGFLYKCLPDDVDEQDEDPQGPVSLSEKDDLETGRTSTDSLPIPSTYSRLRKGISKTNHTIWMFLAQGWPVLDRIWREKKRHECVIKLTKLLVKLDTSWETSHAEPDTGTISFGRSRTTTGDRGKSSGGGDREGTNGKSEEKTTTEPLLTPLLIAASTGIIEIVDEILQAYPQAVEHVSDMGKNILHVAIKHRQREIFRRVKRMKIPMAILARKIDNNGYTLLHHAADMKSYSGGYKPSTVLQLQGELRWFERVKKIIPPHYIMHHNKEDETAWELFDKTHSELHKEAKEWLKRTSESCSVIAVLIATVGFTAVYTVPGGTDDKTGLPILLHYPFFVVFTILDIISLASSLTSVVMFLSILTSPFRIEDFRISLPRKLTLGFTFLFISVAATMLAFAATIVLEIHLKAQWSRSLIYTVAFLPVTAFAIMQFPLYLAFAGIIKSSLRAFRKTLPWTFILGLYRSAKLLVRKKAY >Manes.13G089875.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20175549:20189788:1 gene:Manes.13G089875.v8.1 transcript:Manes.13G089875.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDITMSEEKEREDAMQDLMTRVMETLILEDELAFDKSDEKYAQMAFEDLIEITKESPHLMLPHINELFQFVYQILGKSEFERKTRFLAQELMGLLVMHYRDEEPVLIQTGIFISGIVSMLENIDDDPSWDGNDNFEDDSRVFVEGVEKLARFAPAIGGQFILEKYSLLFEWHFFSEEWQNRHAAVVSHSIIARNCPKESINKLDLLVERPIEAINDTHYRVCWAAINAIEVFSKNLNPEFQFQYYEKVLPALTKALNFSSHPSIQVQAASTLFHFGKYCTSDLLTPYMDEIVSKLLRCLQRGKQQLKEEALTAIASLALSLEDLFQPHYKTVMPHLKVIMMKAATGSNGMLLLKSIECITTVGLAAGKKDFSDDIPMVVQTLISLHESKIEREDPLRSQVLLAWGRLCKCLGQEFQPYLCVIVPRSIQSAQLQCHVTSPQYSESKKSLQSLGDERTDIKGEVLKEKAKGCKLLCTSATELVEHFHLWIDEVAQTLVPLINFDLHEEVRKVSVMAMPKILRSSKAAIEKGYVQGYQELPFENLCSYIISALTEALDKEQLMEIQLTTLESLEECMEMSEPTLKKEQIKRFLYIILKILISSSTTSRSGVENEQIEKIHSKAGDCLITFTEIYKASLSQFFDQILSCMPYMWENDRKPKERRTAFRIFSDVVEKCQEEALKYCEGSLRFLIDACYEMNPEIQKIVAQCIGVSASFGGAIFKSHMKEALGGLNSIMQNPETLHPDYLPAHAAAVSALGKICLYHHEELNEEFGIWISHLPIMNDLYQARVVHNQLCLMVNKFSEELIHNENTHLSKIISVFAEILWAGDTLASTETVNRVIEQLKHLQRNLPPNTWVSILSSLVHSRAKLLQLKLSA >Manes.13G089875.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20175581:20189651:1 gene:Manes.13G089875.v8.1 transcript:Manes.13G089875.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDITMSEEKEREDAMQDLMTRVMETLILEDELAFDKSDEKYAQMAFEDLIEITKESPHLMLPHINELFQFVYQILGKSEFERKTRFLAQELMGLLVMHYRDEEPVLIQTGIFISGIVSMLENIDDDPSWDGNDNFEDDSRVFVEGVEKLARFAPAIGGQFILEKYSLLFEWHFFSEEWQNRHAAVVSHSIIARNCPKESINKLDLLVERPIEAINDTHYRVCWAAINAIEVFSKNLNPEFQFQYYEKVLPALTKALNFSSHPSIQVQAASTLFHFGKYCTSDLLTPYMDEIVSKLLRCLQRGKQQLKEEALTAIASLALSLEDLFQPHYKTVMPHLKVIMMKAATGSNGMLLLKSIECITTVGLAAGKKDFSDDIPMVVQTLISLHESKIEREDPLRSQVLLAWGRLCKCLGQEFQPYLCVIVPRSIQSAQLQCHVTSPQYSESKKSLQSLGDERTDIKGEVLKEKAKGCKLLCTSATELVEHFHLWIDEVAQTLVPLINFDLHEEVRKVSVMAMPKILRSSKAAIEKGYVQGYQELPFENLCSYIISALTEALDKEQLMEIQLTTLESLEECMEMSEPTLKKEQIKRFLYIILKILISSSTTSRSGVENEQIEKIHSKAGDCLITFTEIYKASLSQFFDQILSCMPYMWENDRKPKERRTAFRIFSDVVEKCQEEALKYCEGSLRFLIDACYEMNPEIQKIVAQCIGVSASFGGAIFKSHMKEALGGLNSIMQNPETLHPDYLPAHAAAVSALGKICLYHHEELNEEFGIWISHLPIMNDLYQARVVHNQLCLMVNNLRRDIMGW >Manes.13G089875.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20176312:20189789:1 gene:Manes.13G089875.v8.1 transcript:Manes.13G089875.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIVSKLLRCLQRGKQQLKEEALTAIASLALSLEDLFQPHYKTVMPHLKVIMMKAATGSNGMLLLKSIECITTVGLAAGKKDFSDDIPMVVQTLISLHESKIEREDPLRSQVLLAWGRLCKCLGQEFQPYLCVIVPRSIQSAQLQCHVTSPQYSESKKSLQSLGDERTDIKGEVLKEKAKGCKLLCTSATELVEHFHLWIDEVAQTLVPLINFDLHEEVRKVSVMAMPKILRSSKAAIEKGYVQGYQELPFENLCSYIISALTEALDKEQLMEIQLTTLESLEECMEMSEPTLKKEQIKRFLYIILKILISSSTTSRSGVENEQIEKIHSKAGDCLITFTEIYKASLSQFFDQILSCMPYMWENDRKPKERRTAFRIFSDVVEKCQEEALKYCEGSLRFLIDACYEMNPEIQKIVAQCIGVSASFGGAIFKSHMKEALGGLNSIMQNPETLHPDYLPAHAAAVSALGKICLYHHEELNEEFGIWISHLPIMNDLYQARVVHNQLCLMVNKFSEELIHNENTHLSKIISVFAEILWAGDTLASTETVNRVIEQLKHLQRNLPPNTWVSILSSLVHSRAKLLQLKLSA >Manes.13G089875.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20175582:20189651:1 gene:Manes.13G089875.v8.1 transcript:Manes.13G089875.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDITMSEEKEREDAMQDLMTRVMETLILEDELAFDKSDEKYAQMAFEDLIEITKESPHLMLPHINELFQFVYQILGKSEFERKTRFLAQELMGLLVMHYRDEEPVLIQTGIFISGIVSMLENIDDDPSWDGNDNFEDDSRVFVEGVEKLARFAPAIGGQFILEKYSLLFEWHFFSEEWQNRHAAVVSHSIIARNCPKESINKLDLLVERPIEAINDTHYRVCWAAINAIEVFSKNLNPEFQFQYYEKVLPALTKALNFSSHPSIQVQAASTLFHFGKYCTSDLLTPYMDEIVSKLLRCLQRGKQQLKEEALTAIASLALSLEFFQDLFQPHYKTVMPHLKVIMMKAATGSNGMLLLKSIECITTVGLAAGKKDFSDDIPMAWGRLCKCLGQEFQPYLCVIVPRSIQSAQLQCHVTSPQYSESKKSLQSLGDERTDIKGEVLKEKAKGCKLLCTSATELVEHFHLWIDEVAQTLVPLINFDLHEEVRKVSVMAMPKILRSSKAAIEKGYVQGYQELPFENLCSYIISALTEALDKEQLMEIQLTTLESLEECMEMSEPTLKKEQIKRFLYIILKILISSSTTSRSGVENEQIEKIHSKAGDCLITFTEIYKASLSQFFDQILSCMPYMWENDRKPKERRTAFRIFSDVVEKCQEEALKYCEGSLRFLIDACYEMNPEIQKIVAQCIGVSASFGGAIFKSHMKEALGGLNSIMQNPETLHPDYLPAHAAAVSALGKICLYHHEELNEEFGIWISHLPIMNDLYQARVVHNQLCLMVNKFSEELIHNENTHLSKIISVFAEILWAGDTLASTETVNRVIEQLKHLQRNLPPNTWVSILSSLVHSRAKLLQLKLSA >Manes.13G089875.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20175581:20189651:1 gene:Manes.13G089875.v8.1 transcript:Manes.13G089875.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDITMSEEKEREDAMQDLMTRVMETLILEDELAFDKSDEKYAQMAFEDLIEITKESPHLMLPHINELFQFVYQILGKSEFERKTRFLAQELMGLLVMHYRDEEPVLIQTGIFISGIVSMLENIDDDPSWDGNDNFEDDSRVFVEGVEKLARFAPAIGGQFILEKYSLLFEWHFFSEEWQNRHAAVVSHSIIARNCPKESINKLDLLVERPIEAINDTHYRVCWAAINAIEVFSKNLNPEFQFQYYEKVLPALTKALNFSSHPSIQVQAASTLFHFGKYCTSDLLTPYMDEIVSKLLRCLQRGKQQLKEEALTAIASLALSLEFFQDLFQPHYKTVMPHLKVIMMKAATGSNGMLLLKSIECITTVGLAAGKKDFSDDIPMVVQTLISLHESKIEREDPLRSQVLLAWGRLCKCLGQEFQPYLCVIVPRSIQSAQLQCHVTSPQYSESKKSLQSLGDERTDIKGEVLKEKAKGCKLLCTSATELVEHFHLWIDEVAQTLVPLINFDLHEEVRKVSVMAMPKILRSSKAAIEKGYVQGYQELPFENLCSYIISALTEALDKEQLMEIQLTTLESLEECMEMSEPTLKKEQIKRFLYIILKILISSSTTSRSGVENEQIEKIHSKAGDCLITFTEIYKASLSQFFDQILSCMPYMWENDRKPKERRTAFRIFSDVVEKCQEEALKYCEGSLRFLIDACYEMNPEIQKIVAQCIGVSASFGGAIFKSHMKEALGGLNSIMQNPETLHPDYLPAHAAAVSALGKICLYHHEELNEEFGIWISHLPIMNDLYQARVVHNQLCLMVNNLRRDIMGW >Manes.13G089875.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20175582:20189651:1 gene:Manes.13G089875.v8.1 transcript:Manes.13G089875.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDITMSEEKEREDAMQDLMTRVMETLILEDELAFDKSDEKYAQMAFEDLIEITKESPHLMLPHINELFQFVYQILGKSEFERKTRFLAQELMGLLVMHYRDEEPVLIQTGIFISGIVSMLENIDDDPSWDGNDNFEDDSRVFVEGVEKLARFAPAIGGQFILEKYSLLFEWHFFSEEWQNRHAAVVSHSIIARNCPKESINKLDLLVERPIEAINDTHYRVCWAAINAIEVFSKNLNPEFQFQYYEKVLPALTKALNFSSHPSIQVQAASTLFHFGKYCTSDLLTPYMDEIVSKLLRCLQRGKQQLKEEALTAIASLALSLEFFQDLFQPHYKTVMPHLKVIMMKAATGSNGMLLLKSIECITTVGLAAGKKDFSDDIPMVVQTLISLHESKIEREDPLRSQVLLAWGRLCKCLGQEFQPYLCVIVPRSIQSAQLQCHVTSPQYSESKKSLQSLGDERTDIKGEVLKEKAKGCKLLCTSATELVEHFHLWIDEVAQTLVPLINFDLHEEVRKVSVMAMPKILRSSKAAIEKGYVQGYQELPFENLCSYIISALTEALDKEQLMEIQLTTLESLEECMEMSEPTLKKEQIKRFLYIILKILISSSTTSRSGVENEQIEKIHSKAGDCLITFTEIYKASLSQFFDQILSCMPYMWENDRKPKERRTAFRIFSDVVEKCQEEALKYCEGSLRFLIDACYEMNPEIQKIVAQCIGVSASFGGAIFKSHMKEALGGLNSIMQNPETLHPDYLPAHAAAVSALGKICLYHHEELNEEFGIWISHLPIMNDLYQARVVHNQLCLMVNKFSEELIHNENTHLSKIISVFAEILWAGDTLASTETVNRVIEQLKHLQRNLPPNTWVSILSSLVHSRAKLLQLKLSA >Manes.13G089875.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20175549:20189788:1 gene:Manes.13G089875.v8.1 transcript:Manes.13G089875.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDITMSEEKEREDAMQDLMTRVMETLILEDELAFDKSDEKYAQMAFEDLIEITKESPHLMLPHINELFQFVYQILGKSEFERKTRFLAQELMGLLVMHYRDEEPVLIQTGIFISGIVSMLENIDDDPSWDGNDNFEDDSRVFVEGVEKLARFAPAIGGQFILEKYSLLFEWHFFSEEWQNRHAAVVSHSIIARNCPKESINKLDLLVERPIEAINDTHYRVCWAAINAIEVFSKNLNPEFQFQYYEKVLPALTKALNFSSHPSIQVQAASTLFHFGKYCTSDLLTPYMDEIVSKLLRCLQRGKQQLKEEALTAIASLALSLEDLFQPHYKTVMPHLKVIMMKAATGSNGMLLLKSIECITTVGLAAGKKDFSDDIPMAWGRLCKCLGQEFQPYLCVIVPRSIQSAQLQCHVTSPQYSESKKSLQSLGDERTDIKGEVLKEKAKGCKLLCTSATELVEHFHLWIDEVAQTLVPLINFDLHEEVRKVSVMAMPKILRSSKAAIEKGYVQGYQELPFENLCSYIISALTEALDKEQLMEIQLTTLESLEECMEMSEPTLKKEQIKRFLYIILKILISSSTTSRSGVENEQIEKIHSKAGDCLITFTEIYKASLSQFFDQILSCMPYMWENDRKPKERRTAFRIFSDVVEKCQEEALKYCEGSLRFLIDACYEMNPEIQKIVAQCIGVSASFGGAIFKSHMKEALGGLNSIMQNPETLHPDYLPAHAAAVSALGKICLYHHEELNEEFGIWISHLPIMNDLYQARVVHNQLCLMVNKFSEELIHNENTHLSKIISVFAEILWAGDTLASTETVNRVIEQLKHLQRNLPPNTWVSILSSLVHSRAKLLQLKLSA >Manes.14G006600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1725405:1733766:-1 gene:Manes.14G006600.v8.1 transcript:Manes.14G006600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPDLRIRRKRDGFSDGDKHQDDIGDTKDRRLSSREDVAKDGRPKDEKHKDERYRDKYCEDLDREIRHRDDKQRDERAAKDRMNSRSDDKNLKDDKDTIDFKRKKYKLQDGDRDHEREHDRDYDHDIDVESNHHDRDRDRDRDRDRDQDRERDRDWDRDHDRDWDRDRDRERDRERGRDRDRNLDYDDRIAARYKESRRRKISPDDHEDYSDTKSRGVKTLCVDMEKKSSSNSRVESDADRGRSQSLQGHPDNNTSSNRRRVSPNTSSHGVVDDYRQIKQEELKHRDGLIEQRSKSISSREVINLAGASDRASKYRSAEKSTKIDDGHIGELSLERSSSSKASPMGMMDRSPSSMSLERRYMNRSGVRRSLDIEESGKRSSGSMGARDLPYADERSSRDILLEKSLADELTSVDSSFYGRNSQSNSALVPPPSAFRGGVGSPSFLSSLEEDSRTNSGARYKRSADPNKGRGQGNPWRGTPNWSSPMPNGYIPFQHGPPHGGFQAMMPQFPSPPLFGVRPSMEINHSGIPYHIPDADRFSNHLRPLGWQNMMDGSGPSHFHGWDRNNGVFRDESHIYGGTEWDKNRHPMNGRGWENSADIWKGQNGDVNMDLPSTSLKEHYPLQAPVEDVPAGQEGQRSQNEGDYHGVLAKIVETKTAVSPSAKGSSKPSPKITHEKKPDHPKLPSDDNGSHVYRAYLSKLDISTELTDPELHNQFMSLFNIEQSATADEDAAMLVNLKDGARAVPKSSYTLLSSSHFPSASDAVFLKAMDIYKRQKVGLSLSMANGGTIDAIPTSKMGELAPSNDVDIAEEPVLDHIAEMSDVQMLNLDGEQVEVHASVANEEENSEVAGSAEVDVMAHSPNLKLESVSQALSNDIPEKQPMIIFSDGKVDGMSGLVNAEDKQGDIVSAPDDVPNADLVLATTDGQNKDENGEIEGSDTFNCGEGGQGVRDGICGRVLYSDGSPKAYGALMPGSNESESVILSRIHHSPESTH >Manes.14G006600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1725405:1733766:-1 gene:Manes.14G006600.v8.1 transcript:Manes.14G006600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHKSSKHISRDARDYSDSEKDSSSKDRKSKEESAVRHSKESGSGEKRKLESKDNKDSFGSGNGEYLEEYSSSKRVKERLEDSVNDRWNGGDDDRGEGTKKLKEKPGESRSKRRDESAGAYGEIEEVVKKSSGKSDGKHRESSSRKEGREGGTERERDREREREKERERKSKEGKSDKLVDVEDLRSVKQVSEKTELNAHDLLQNSEMDSLPDLRIRRKRDGFSDGDKHQDDIGDTKDRRLSSREDVAKDGRPKDEKHKDERYRDKYCEDLDREIRHRDDKQRDERAAKDRMNSRSDDKNLKDDKDTIDFKRKKYKLQDGDRDHEREHDRDYDHDIDVESNHHDRDRDRDRDRDRDQDRERDRDWDRDHDRDWDRDRDRERDRERGRDRDRNLDYDDRIAARYKESRRRKISPDDHEDYSDTKSRGVKTLCVDMEKKSSSNSRVESDADRGRSQSLQGHPDNNTSSNRRRVSPNTSSHGVVDDYRQIKQEELKHRDGLIEQRSKSISSREVINLAGASDRASKYRSAEKSTKIDDGHIGELSLERSSSSKASPMGMMDRSPSSMSLERRYMNRSGVRRSLDIEESGKRSSGSMGARDLPYADERSSRDILLEKSLADELTSVDSSFYGRNSQSNSALVPPPSAFRGGVGSPSFLSSLEEDSRTNSGARYKRSADPNKGRGQGNPWRGTPNWSSPMPNGYIPFQHGPPHGGFQAMMPQFPSPPLFGVRPSMEINHSGIPYHIPDADRFSNHLRPLGWQNMMDGSGPSHFHGWDRNNGVFRDESHIYGGTEWDKNRHPMNGRGWENSADIWKGQNGDVNMDLPSTSLKEHYPLQAPVEDVPAGQEGQRSQNEGDYHGVLAKIVETKTAVSPSAKGSSKPSPKITHEKKPDHPKLPSDDNGSHVYRAYLSKLDISTELTDPELHNQFMSLFNIEQSATADEDAAMLVNLKDGARAVPKSSYTLLSSSHFPSASDAVFLKAMDIYKRQKVGLSLSMANGGTIDAIPTSKMGELAPSNDVDIAEEPVLDHIAEMSDVQMLNLDGEQVEVHASVANEEENSEVAGSAEVDVMAHSPNLKLESVSQALSNDIPEKQPMIIFSDGKVDGMSGLVNAEDKQGDIVSAPDDVPNADLVLATTDGQNKDENGEIEGSDTFNCGEGGQGVRDGICGRVLYSDGSPKAYGALMPGSNESESVILSRIHHSPESTH >Manes.06G146500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27283209:27284937:1 gene:Manes.06G146500.v8.1 transcript:Manes.06G146500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFHWSKRRKRYNINISTRYGEWAMKNPSDQFHPATRVEALIQEDQETQTIDHHGEEKARCEWDFSLETVVSSSSRGAGSDALGVIQFDQSETILATGGIARKIRIYNVNSLLPHEGSQNGSDVALLDHSKACEYYICTPAKLSSLRWKPGSNSRVIVSGDYDGVVLEYDLERKVPIFERDEHGGRRVWSVDYSHCDPMLGASGSDDGTMQMWDPRCEGDGGCVATVQPSVTRRAVCSVEFNPFGGSMIAVGCADRRAYGYDIRMMKDPVFVLDGHKKTVTYVRFVNELTLVTAGIDGCLRMWNLHDSQMIRTYKGHVNNRNFVGLSVWRNGGLLGCGSEDNTVFVYDKRWGEPIWMHGLEPVGDHGFVSSVCWRQVREDECTLVAGGSDGVLQLFKGKRKTDAATGSR >Manes.02G024900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2144562:2156465:1 gene:Manes.02G024900.v8.1 transcript:Manes.02G024900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKDSSEELPDGWTVQFNVLKTGRKIKYYTNTGNGKKFYSKDDFISHIKAQSTRLDQPQPTKSSIGGPTNNNLMFMEHTNEHPEWLPHGWIVELRTRQSGYASGKIYKCYVDSSTGHKFYSKPEVLRYLESMKQKSCTLEQQETPMSVLPENKFEKSSVEDLPTGWIKSTKITRNANGVRKDPYYTDPVTGRVFRSKRDVQRYLETGEISRRAVFPKKGPIDEKILPSLSEAKRQKLKHDATGQQLYTGKGTSDVGSTSSTVTTVCVVTTVDVPSQESPRDNVEGNGAECKENFNANWLAQGNVEVSERNQDKNVSPAGRHGLLFPEYDNKQEQNLPDSETGSYKQKTQNSLSNFNNQKSLHLQRRFSKRLAGIEPELMGISSSIVQAIPEAGNKKEQEQNPPESGIKVDEIYGGKTLNSLSESNNKKGLNLPHQSSSCRDVIETKPGVNLVSIVQALPKTIKAQKGEAIIHMDLTSDVVTDKRSDQMNAEPITELPPWATTNANNPLLQELSNKSQKSDTTQSVLPKARVPNRNQCIVSADSGPILTSETTVQQEQNSLRGGMKRKGQEKTHNTLSKPSDKKLRKGLNVPCRSSKRIAGLEPEVEANTGSNAQALQNAKRSYKTEAILAVSLTSDKASRQLGAESGTEFPNHALTDVKNQVSFQDTAVSRNQLPVQETDKTNNENAEPQLIPPFGEFWSDPCLEFAFKTLTGEIPIEITAKSEMVSTPAADIIDQRNALMKTIDNSSKGKTQINPGRHKKSKSLLLPHQSPEQVPELGSELTGSSISNAHAFKMTGRTARKTSSRVEPVLDLGSPDNFVAGASQQLKAGPEVAHARYSTTQIEPSNKRVERLDDCTPTEKRSQKLQIEKNGNKPELQPNFSFGDYWSDPCFEFAFKTLTGAIPVDDNLPVQSYFQQQVDTSQIQREGYFQQQVDTSQTLREGYFQQPVDTSQTLREGYFQQPVDTSQTLRDGSLALPDCGLPSFFQTDISVHFDAPEKQAASQTRVPLNNPSFLPSGSVSLPSCSNIGSQQPTHLKENKGMQGKVNS >Manes.02G024900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2144587:2156365:1 gene:Manes.02G024900.v8.1 transcript:Manes.02G024900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKDSSEELPDGWTVQFNVLKTGRKIKYYTNTGNGKKFYSKDDFISHIKAQSTRLDQPQPTKSSIGGPTNNNLMQFMEHTNEHPEWLPHGWIVELRTRQSGYASGKIYKCYVDSSTGHKFYSKPEVLRYLESMKQKSCTLEQQETPMSVLPENKVQFEKSSVEDLPTGWIKSTKITRNANGVRKDPYYTDPVTGRVFRSKRDVQRYLETGEISRRAVFPKKGPIDEKILPSLSEAKRQKLKHDATGQQLYTGKGTSDVGSTSSTVTTVCVVTTVDVPSQESPRDNVEGNGAECKENFNANWLAQGNVEVSERNQDKNVSPAGRHGLLFPEYDNKQEQNLPDSETGSYKQKTQNSLSNFNNQKSLHLQRRFSKRLAGIEPELMGISSSIVQAIPEAGNKKEQEQNPPESGIKVDEIYGGKTLNSLSESNNKKGLNLPHQSSSCRDVIETKPGVNLVSIVQALPKTIKAQKGEAIIHMDLTSDVVTDKRSDQMNAEPITELPPWATTNANNPLLQELSNKSQKSDTTQSVLPKARVPNRNQCIVSADSGPILTSETTVQQEQNSLRGGMKRKGQEKTHNTLSKPSDKKLRKGLNVPCRSSKRIAGLEPEVEANTGSNAQALQNAKRSYKTEAILAVSLTSDKASRQLGAESGTEFPNHALTDVKNQVSFQDTAVSRNQLPVQETDKTNNENAEPQLIPPFGEFWSDPCLEFAFKTLTGEIPIEITAKSEMVSTPAADIIDQRNALMKTIDNSSKGKTQINPGRHKKSKSLLLPHQSPEQVPELGSELTGSSISNAHAFKMTGRTARKTSSRVEPVLDLGSPDNFVAGASQQLKAGPEVAHARYSTTQIEPSNKRVERLDDCTPTEKRSQKLQIEKNGNKPELQPNFSFGDYWSDPCFEFAFKTLTGAIPVDDNLPVQSYFQQQVDTSQIQREGYFQQQVDTSQTLREGYFQQPVDTSQTLREGYFQQPVDTSQTLRDGSLALPDCGLPSFFQTDISVHFDAPEKQAASQTRVPLNNPSFLPSGSVSLPSCSNIGSQQPTHLKENKGMQGKVNS >Manes.02G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2144414:2156470:1 gene:Manes.02G024900.v8.1 transcript:Manes.02G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKDSSEELPDGWTVQFNVLKTGRKIKYYTNTGNGKKFYSKDDFISHIKAQSTRLDQPQPTKSSIGGPTNNNLMFMEHTNEHPEWLPHGWIVELRTRQSGYASGKIYKCYVDSSTGHKFYSKPEVLRYLESMKQKSCTLEQQETPMSVLPENKVQFEKSSVEDLPTGWIKSTKITRNANGVRKDPYYTDPVTGRVFRSKRDVQRYLETGEISRRAVFPKKGPIDEKILPSLSEAKRQKLKHDATGQQLYTGKGTSDVGSTSSTVTTVCVVTTVDVPSQESPRDNVEGNGAECKENFNANWLAQGNVEVSERNQDKNVSPAGRHGLLFPEYDNKQEQNLPDSETGSYKQKTQNSLSNFNNQKSLHLQRRFSKRLAGIEPELMGISSSIVQAIPEAGNKKEQEQNPPESGIKVDEIYGGKTLNSLSESNNKKGLNLPHQSSSCRDVIETKPGVNLVSIVQALPKTIKAQKGEAIIHMDLTSDVVTDKRSDQMNAEPITELPPWATTNANNPLLQELSNKSQKSDTTQSVLPKARVPNRNQCIVSADSGPILTSETTVQQEQNSLRGGMKRKGQEKTHNTLSKPSDKKLRKGLNVPCRSSKRIAGLEPEVEANTGSNAQALQNAKRSYKTEAILAVSLTSDKASRQLGAESGTEFPNHALTDVKNQVSFQDTAVSRNQLPVQETDKTNNENAEPQLIPPFGEFWSDPCLEFAFKTLTGEIPIEITAKSEMVSTPAADIIDQRNALMKTIDNSSKGKTQINPGRHKKSKSLLLPHQSPEQVPELGSELTGSSISNAHAFKMTGRTARKTSSRVEPVLDLGSPDNFVAGASQQLKAGPEVAHARYSTTQIEPSNKRVERLDDCTPTEKRSQKLQIEKNGNKPELQPNFSFGDYWSDPCFEFAFKTLTGAIPVDDNLPVQSYFQQQVDTSQIQREGYFQQQVDTSQTLREGYFQQPVDTSQTLREGYFQQPVDTSQTLRDGSLALPDCGLPSFFQTDISVHFDAPEKQAASQTRVPLNNPSFLPSGSVSLPSCSNIGSQQPTHLKENKGMQGKVNS >Manes.01G056300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:23990577:23992283:1 gene:Manes.01G056300.v8.1 transcript:Manes.01G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPITRNCCNHQLWLVILISFVLCFVLFGFDYSALIGIQERVTVPFANYENSISTHKSKSPQLPEILNQTSIAPIKADDLREDNVQQKLKADDPREDNVQQKLKADDLREENVQKKPVKADDLREDNVQQKPVKDFCLGRYVYIHHIPSRFNQDLLENCGSITAGTEHNMCPYLVNSALGHEVENSQAILSNKSWHSTNQFLLEVIFHFRMKKYKCLTNDSSLASAIYVPFYAGIDISRYLWHFNISVRDQSAFELVRWLVEKPEWKKMFGRDHFFVAGRIAWDFRRQTDNESDWGSKLMFLPESNNMSMLAIESSSWKNDYAIPYPTCFHPSKESEVFQWQDKMRRQTRPFLFSFAGAPRPDLQDSIRSKIIEECLASKDLCKLLVCDYGVNGAINCDNPVNVMKLFQNSVFCLQPPGDSYTRRSIFDSILAGCIPVFFNPGTAYAQYKWHLPKNYSKYSVYIPVEDVEDWRAGINQTLLRIPENRVLAMREEVIKIIPRIIYADPRSRMETIEDAFDLAVKGILERIERVRKVLREGKDPSIGFAEEDHYRFTYSGYVGETLNRI >Manes.16G099550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30552467:30553047:-1 gene:Manes.16G099550.v8.1 transcript:Manes.16G099550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVNSSFLLTQAGKRKCIGSLEVSRMRSESDWASFDSWCGMVQELPLCLIMSGALSCSIEILCFG >Manes.16G099550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30552295:30554219:-1 gene:Manes.16G099550.v8.1 transcript:Manes.16G099550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVNSSFLLTQAGKRKCIGSLEVSRMRSESDWASFDSWCGMVQELPLCLIMSGALSCSIEILCFG >Manes.06G043401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13454153:13455037:1 gene:Manes.06G043401.v8.1 transcript:Manes.06G043401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGCSLHATLEQTNLPREARLIIREDRIDCSSAAWLKGYKRIGDVASQGARWVLHKKKKRFSIFPFLDLLYLPAIVVMPVVLPNCLEDRDMAPVKTELLQQCGAVRRLWEARGCTMGLSRGREYFSHLF >Manes.14G030400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2739749:2743626:-1 gene:Manes.14G030400.v8.1 transcript:Manes.14G030400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRPYLAKSVLLECISRCNNLKYFKTIHAQLVTSGIVRNDLVVNRVVDFFGKSGDFVHYACGFLKQFDWRISSFPFNSLISCYANSSRPQIAILGYRQIVRNGFLPDVYTFPAVLKSCSKFLGIGEGRQIHGVVSKMGLVNDIYVQNSLLHLHGVCGDCDGAGRVFDEMLVRDVVSWTSIISGYVRAGLFDEAVTMFLRMEVEPNVATFVSVLVACGRNRNLSVGKGIHGLTFKRALDGCLEASNALIDMYVKCECLNEAKQIFDELPQKDIISWTSIISGLVQYKRSKDSLELFYDMQNSGVQPDRIILTSVLSACAAIGVLDYGRWVHEYMERRAIKWDIHIGTAMVDMYAKCGCIDIAVQTFNGMASKNIFTWNALLNGLAMHGRGYEAQKLFEEMVRMGMTPNEVTFLAILTACSHSGLVDEGRKYFCQMTNCQYNLSPRLEHYGCMIDLLCRAGLLDEALHLVKSMPMPPDVLIVGALLSACKAKGISDISRRILDHLIELESQDSGVYVLLSNIYASNKRWTDVTKVRRLMNKKGIKKFPGMSIVEVDGKAHEFLAGDISHPRNEEAWILLKFLTNQIFLEGHLQDYY >Manes.14G030400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2741428:2743626:-1 gene:Manes.14G030400.v8.1 transcript:Manes.14G030400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRPYLAKSVLLECISRCNNLKYFKTIHAQLVTSGIVRNDLVVNRVVDFFGKSGDFVHYACGFLKQFDWRISSFPFNSLISCYANSSRPQIAILGYRQIVRNGFLPDVYTFPAVLKSCSKFLGIGEGRQIHGVVSKMGLVNDIYVQNSLLHLHGVCGDCDGAGRVFDEMLVRDVVSWTSIISGYVRAGLFDEAVTMFLRMEVEPNVATFVSVLVACGRNRNLSVGKGIHGLTFKRALDGCLEASNALIDMYVKCECLNEAKQIFDELPQKDIISWTSIISGLVQYKRSKDSLELFYDMQNSGVQPDRIILTSVLSACAAIGVLDYGRWVHEYMERRAIKWDIHIGTAMVDMYAKCGCIDIAVQTFNGMASKNIFTWNALLNGLAMHGRGYEAQKLFEEMVRMGMTPNEVTFLAILTACSHSGLVDEGRKYFCQMTNCQYNLSPRLEHYGCMIDLLCRAGLLDEALHLVKSMPMPPDVLIVGALLSACKAKGISDISRRILDHLIELESQDSGVYVLLSNIYASNKRWTDVTKVRRLMNKKGIKKFPGMSIVEVDGKAHEFLAGDISHPRNEEAWILLKFLTNQIFLEGHLQDYY >Manes.14G030400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2739749:2743626:-1 gene:Manes.14G030400.v8.1 transcript:Manes.14G030400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRPYLAKSVLLECISRCNNLKYFKTIHAQLVTSGIVRNDLVVNRVVDFFGKSGDFVHYACGFLKQFDWRISSFPFNSLISCYANSSRPQIAILGYRQIVRNGFLPDVYTFPAVLKSCSKFLGIGEGRQIHGVVSKMGLVNDIYVQNSLLHLHGVCGDCDGAGRVFDEMLVRDVVSWTSIISGYVRAGLFDEAVTMFLRMEVEPNVATFVSVLVACGRNRNLSVGKGIHGLTFKRALDGCLEASNALIDMYVKCECLNEAKQIFDELPQKDIISWTSIISGLVQYKRSKDSLELFYDMQNSGVQPDRIILTSVLSACAAIGVLDYGRWVHEYMERRAIKWDIHIGTAMVDMYAKCGCIDIAVQTFNGMASKNIFTWNALLNGLAMHGRGYEAQKLFEEMVRMGMTPNEVTFLAILTACSHSGLVDEGRKYFCQMTNCQYNLSPRLEHYGCMIDLLCRAGLLDEALHLVKSMPMPPDVLIVGALLSACKAKGISDISRRILDHLIELESQDSGVYVLLSNIYASNKRWTDVTKVRRLMNKKGIKKFPGMSIVEVDGKAHEFLAGDISHPRNEEAWILLKFLTNQIFLEGHLQDYY >Manes.02G101900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7964513:7973491:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDEELVQGSRDGEEKILVSVRLRPLNDKETARNDVSDWECINDNTVMYRNNLSVSERSMYPTVYTFDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7964897:7973523:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDEELVQGSRDGEEKILVSVRLRPLNDKETARNDVSDWECINDNTVMYRNNLSVSERSMYPTVYTFDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7967043:7973440:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7965528:7973523:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDEELVQGSRDGEEKILVSVRLRPLNDKETARNDVSDWECINDNTVMYRNNLSVSERSMYPTVYTFDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7964513:7973440:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDEELVQGSRDGEEKILVSVRLRPLNDKETARNDVSDWECINDNTVMYRNNLSVSERSMYPTVYTFDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7964513:7973440:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDEELVQGSRDGEEKILVSVRLRPLNDKETARNDVSDWECINDNTVMYRNNLSVSERSMYPTVYTFDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7964513:7973440:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQRVILALCLTSGVFTISFSYFGFSFSCSLVNLLVVDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7964513:7973440:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQRVILALCLTSGVFTISFSYFGFSFSCSLVNLLVVDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7964513:7973440:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQRVILALCLTSGVFTISFSYFGFSFSCSLVNLLVVDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.02G101900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7964897:7973523:1 gene:Manes.02G101900.v8.1 transcript:Manes.02G101900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADDEELVQGSRDGEEKILVSVRLRPLNDKETARNDVSDWECINDNTVMYRNNLSVSERSMYPTVYTFDRVFRPDSSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMSGITEYTVADIYDYVKKHKEREFILKFSAMEIYNESVRDLLSLDTTPLRLLDDPERGTVVERLIEETLRDWNHFKELLSICEAQRQIGETSMNETSSRSHQILRLMIESSAREYLGNGKSSALAAGVNFVDLAGSERASQSLSGGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHVPFRDSKLTRILQSSLGGNARTAIVCTISPARTHVEQSRNTLLFASCAKEVTTNARVNLVVSDKALVKQLQKELARLECQLRCTGSNSLTSDSTELLREKDLQIEKLMSEVAKLNQQLELANSQVENLLRAAEDDGSSTISAYQDHHYPRLRVRNSFKSENSISYSPASEDPHFLDIGVRSFNASQCSAGDYSTNSEENFIQLVEFEDSCVRTNSFPKLSTCTSNFVGDVIHVKDSEELSCENPSNPCKEVQCITVEESSEDKYANSRLSENSPHRYVESNASSPNTNTYTSELTEVEKEDKENQELGSPQLKEEKELNCLHFNEINTSSPNMDRCALELTEVEKENKENQVLGSPQLKEEKELNCLHFDESNASSPNVNTCTSGLTEVEREDKEDQALGSPHLKEEKELNCLHFDESNASPPNISTCTSELTEDEKEDKENQELGSPQRKEEKELNCLHFDFIIPSPERPSPRSLDEEACRSRSLKMIRSRSCRARLTSMPTYLFEKVEKKENTPFIGFEKDFTRRNDGSHRKFSAQKNSYDVELSRNDSSTSVGSATVDDYQLHSIETSIDWKSTSISKSDAGTKYLIDQSEQETKSEAIDSIKSVKSVGLDPIQDDLESAVKWASEFKRLQQEIIELWHACCVSLVHRTYFFLLFKGDPKDSFYMEVELRKLSFLKETFSRGHETLVDGRSLSLASSKRALNQERQMLCSQMQKRLSKEERENIFLKWGISLSSSNRRMQVVHRLWTETTDMDHITQSATLVAKLVGIEGQEQTLKEMFGLLNFTPQHLSRRKFSIWMRSVLSIL >Manes.01G047400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:17132002:17133738:1 gene:Manes.01G047400.v8.1 transcript:Manes.01G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLHLEAPSHVVMVPTPGMGHLIPLVELAKRLVQQHDFLVTFLVPNDGSPMKPQRRLLQALPNTISSIFLPPVSFDDLPQTVKVETRVVLSLSRSLSALRGSFKALTEASRVVALVVDLFGLDAIEIAREFDVLLYVFFPTTAMALSSVFHLPKLDETYSCEYRDLPEPVKFPGCVPVHGRDLMDPVQNRKDDAYRWVIHISKLYPLAAGILVNSFIDLEAGAFKALMEDRNGTPPIYPVGPLTRTASTSEIASESESLRWLDRQPNGSVLFVSFGSGGTLSHDQFIELALGLEMSGQRFLWVVRSPHDRSANANYFDVQKVTDPLDFLPEGFLDRTKGLGLVVPHWAPQMQVLSHGSTGAFLSHCGWNSSLESIVNGVPIIAWPLYAEQKMNSVLLADDLKVALRVRVNENGLVVKEDIANYASTILKGEEGQLLRKRMKELKNTAVKVLSQDGSSTKSLAYVANSWKNQRK >Manes.04G162000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35589029:35604483:1 gene:Manes.04G162000.v8.1 transcript:Manes.04G162000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPINISSDSDDLFEDDDFDTSPIRRSTESRVLPSWATTAGYDGQSQASHRREHRSNGSSSSLSDHSFVKPSRQSTQADDSLYLLGNGNLGQPRTVNSRISNVSGTDYEKLSSQQALKRTLPASLHRSPTSSKPTNSKSTNLIDSGSSSQTRDFYGSTYHLPGPSLTSSKGYMRDHYGRGNYEDIVMYEKNGSRMLPPSLTHGKSISSAQFGTNDPPYRPMVGEESVAGNDERLIYQAALEDLNQPKTEASLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTVSMIALIQMQKSLESKSKSEDQSNHKAEALNLDDDDDSGRPGLDEVKQTGESDDVKSIPEVSTSSRPFKRKRPAAGTLVVCPASILRQWARELVDKVADEAKLTFLVYHGGSRTKDPVELSKYDVILTTYSIVTNEVPKQPVVDEDEVDDKDGEKYGLSSEFSINNNKKKMPNVTKKRKKGRKGLDSSSIDYDCGPLARVVWTRVILDEAQSIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKSFYTTIKVPISRNSLNGYKKLQAILRAIMLRRTKGTLIDGEPIVKLPPKSICLTKVDFSVEERAFYTRLEADSRSKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFNSDLFGKVSTEMAKRLPSDMVIDLLKCLATSSAICNACNDPPEDPVVAMCGHVFCYQCVSDYLTGDENTCPAPRCKEQLGSDVVFSEAILKSCLSDNHDYGAKRPEFDEKSMVLQHEYCSSKIRAVLEILQSHCQVKSPSPELNRSSKCNGTSTAYLSSSTEGPIKSIVFSQWTSMLDLVEMSLNQYCIQYRRLDGTMTLTARDRAVKDFSSDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRKMVASAFGEDPSGGSASRLTVEDLKYLFMGRGAPGV >Manes.04G162000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35589029:35604483:1 gene:Manes.04G162000.v8.1 transcript:Manes.04G162000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPINISSDSDDLFEDDDFDTSPIRRSTESRVLPSWATTAGYDGQSQASHRREHRSNGSSSSLSDHSFVKPSRQSTQADDSLYLLGNGNLGQPRTVNSRISNVSGTDYEKLSSQQALKRTLPASLHRSPTSSKPTNSKSTNLIDSGSSSQTRDFYGSTYHLPGPSLTSSKGYMRDHYGRGNYEDIVMYEKNGSRMLPPSLTHGKSISSAQFGTNDPPYRPMVGEESVAGNDERLIYQAALEDLNQPKTEASLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTVSMIALIQMQKSLESKSKSEDQSNHKAEALNLDDDDDSGRPGLDEVKQTGESDDVKSIPEVSTSSRPFKRKRPAAGTLVVCPASILRQWARELVDKVADEAKLTFLVYHGGSRTKDPVELSKYDVILTTYSIVTNEVPKQPVVDEDEVDDKDGEKYGLSSEFSINNNKKKMPNVTKKRKKGRKGLDSSSIDYDCGPLARVVWTRVILDEAQSIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKSFYTTIKVPISRNSLNGYKKLQAILRAIMLRRTKGTLIDGEPIVKLPPKSICLTKVDFSVEERAFYTRLEADSRSKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFNSDLFGKVSTEMAKRLPSDMVIDLLKCLATSSAICNACNDPPEDPVVAMCGHVFCYQCVSDYLTGDENTCPAPRCKEQLGSDVVFSEAILKSCLSDNHDYGAKRPEFDEKSMVLQHEYCSSKIRAVLEILQSHCQVKSPSPELNRSSKCNGTSTAYLSSSTEGPIKSIVFSQWTSMLDLVEMSLNQYCIQYRRLDGTMTLTARDRAVKDFSSDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRKMVASAFGEDPSGGSASRLTVEDLKYLFMGRGAPGV >Manes.04G162000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35589029:35604483:1 gene:Manes.04G162000.v8.1 transcript:Manes.04G162000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPINISSDSDDLFEDDDFDTSPIRRSTESRVLPSWATTAGYDGQSQASHRREHRSNGSSSSLSDHSFVKPSRQSTQADDSLYLLGNGNLGQPRTVNSRISNVSGTDYEKLSSQQALKRTLPASLHRSPTSSKPTNSKSTNLIDSGSSSQTRDFYGSTYHLPGPSLTSSKGYMRDHYGRGNYEDIVMYEKNGSRMLPPSLTHGKSISSAQFGTNDPPYRPMVGEESVAGNDERLIYQAALEDLNQPKTEASLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTVSMIALIQMQKSLESKSKSEDQSNHKAEALNLDDDDDSGRPGLDEVKQTGESDDVKSIPEVSTSSRPFKRKRPAAGTLVVCPASILRQWARELVDKVADEAKLTFLVYHGGSRTKDPVELSKYDVILTTYSIVTNEVPKQPVVDEDEVDDKDGEKYGLSSEFSINNNKKKMPNVTKKRKKGRKGLDSSSIDYDCGPLARVVWTRVILDEAQSIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKSFYTTIKVPISRNSLNGYKKLQAILRAIMLRRTKGTLIDGEPIVKLPPKSICLTKVDFSVEERAFYTRLEADSRSKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFNSDLFGKVSTEMAKRLPSDMVIDLLKCLATSSAICNACNDPPEDPVVAMCGHVFCYQCVSDYLTGDENTCPAPRCKEQLGSDVVFSEAILKSCLSDNHDYGAKRPEFDEKSMVLQHEYCSSKIRAVLEILQSHCQVKSPSPELNRSSKCNGTSTAYLSSSTEGPIKSIVFSQWTSMLDLVEMSLNQYCIQYRRLDGTMTLTARDRAVKDFSSDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRKMVASAFGEDPSGGSASRLTVEDLKYLFMGRGAPGV >Manes.04G162000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35589029:35604483:1 gene:Manes.04G162000.v8.1 transcript:Manes.04G162000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPINISSDSDDLFEDDDFDTSPIRRSTESRVLPSWATTAGYDGQSQASHRREHRSNGSSSSLSDHSFVKPSRQSTQADDSLYLLGNGNLGQPRTVNSRISNVSGTDYEKLSSQQALKRTLPASLHRSPTSSKPTNSKSTNLIDSGSSSQTRDFYGSTYHLPGPSLTSSKGYMRDHYGRGNYEDIVMYEKNGSRMLPPSLTHGKSISSAQFGTNDPPYRPMVGEESVAGNDERLIYQAALEDLNQPKTEASLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTVSMIALIQMQKSLESKSKSEDQSNHKAEALNLDDDDDSGRPGLDEVKQTGESDDVKSIPEVSTSSRPFKRKRPAAGTLVVCPASILRQWARELVDKVADEAKLTFLVYHGGSRTKDPVELSKYDVILTTYSIVTNEVPKQPVVDEDEVDDKDGEKYGLSSEFSINNNKKKMPNVTKKRKKGRKGLDSSSIDYDCGPLARVVWTRVILDEAQSIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKSFYTTIKVPISRNSLNGYKKLQAILRAIMLRRTKGTLIDGEPIVKLPPKSICLTKVDFSVEERAFYTRLEADSRSKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFNSDLFGKVSTEMAKRLPSDMVIDLLKCLATSSAICNACNDPPEDPVVAMCGHVFCYQCVSDYLTGDENTCPAPRCKEQLGSDVVFSEAILKSCLSDNHDYGAKRPEFDEKSMVLQHEYCSSKIRAVLEILQSHCQVKSPSPELNRSSKCNGTSTAYLSSSTEGPIKSIVFSQWTSMLDLVEMSLNQYCIQYRRLDGTMTLTARDRAVKDFSSDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRKMVASAFGEDPSGGSASRLTVEDLKYLFMGRGAPGV >Manes.04G162000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35589029:35604483:1 gene:Manes.04G162000.v8.1 transcript:Manes.04G162000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDPINISSDSDDLFEDDDFDTSPIRRSTESRVLPSWATTAGYDGQSQASHRREHRSNGSSSSLSDHSFVKPSRQSTQADDSLYLLGNGNLGQPRTVNSRISNVSGTDYEKLSSQQALKRTLPASLHRSPTSSKPTNSKSTNLIDSGSSSQTRDFYGSTYHLPGPSLTSSKGYMRDHYGRGNYEDIVMYEKNGSRMLPPSLTHGKSISSAQFGTNDPPYRPMVGEESVAGNDERLIYQAALEDLNQPKTEASLPDGLLSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTVSMIALIQMQKSLESKSKSEDQSNHKAEALNLDDDDDSGRPGLDEVKQTGESDDVKSIPEVSTSSRPFKRKRPAAGTLVVCPASILRQWARELVDKVADEAKLTFLVYHGGSRTKDPVELSKYDVILTTYSIVTNEVPKQPVVDEDEVDDKDGEKYGLSSEFSINNNKKKMPNVTKKRKKGRKGLDSSSIDYDCGPLARVVWTRVILDEAQSIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAAYKSFYTTIKVPISRNSLNGYKKLQAILRAIMLRRTKGTLIDGEPIVKLPPKSICLTKVDFSVEERAFYTRLEADSRSKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFNSDLFGKVSTEMAKRLPSDMVIDLLKCLATSSAICNACNDPPEDPVVAMCGHVFCYQCVSDYLTGDENTCPAPRCKEQLGSDVVFSEAILKSCLSDNHDYGAKRPEFDEKSMVLQHEYCSSKIRAVLEILQSHCQVKSPSPELNRSSKCNGTSTAYLSSSTEGPIKSIVFSQWTSMLDLVEMSLNQYCIQYRRLDGTMTLTARDRAVKDFSSDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRKMVASAFGEDPSGGSASRLTVEDLKYLFMGRGAPGV >Manes.01G193800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36836528:36840027:-1 gene:Manes.01G193800.v8.1 transcript:Manes.01G193800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVQLNFVEFLRGLIKNRKEKQRNAGKMREMKKWNSRAILPFLICLLALLLLLSAPSVHAFDHTANGVVGRRILLGFKEKPGGSNLTFDCSPSGACVPCIYSEKSNEKYRCSETGYRIPLKCVEIKDSTKNGNENKSQNSRSSIELSNENANAQESSHGTVSNKPRGLLDDSSTLEDGSQVYITYRSCITPVNEEKLSVVGFEGAVLGLLLISSSIAYIRRKQTVTMSGVGGGRIQMNSRF >Manes.01G193800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36836933:36840027:-1 gene:Manes.01G193800.v8.1 transcript:Manes.01G193800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVQLNFVEFLRGLIKNRKEKQRNAGKMREMKKWNSRAILPFLICLLALLLLLSAPSVHAFDHTANGVVGRRILLGFKEKPGGSNLTFDCSPSGACVPCIYSEKSNEKYRCSETGYRIPLKCVEIKDSTKNGNENKSQNSRSSIELSNENANAQESSHGTVSNKPRGLLDDSSTLEDGSQVYITYRSCITPVNEEKLSVVGFEVCFGK >Manes.12G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21899393:21904241:1 gene:Manes.12G097300.v8.1 transcript:Manes.12G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIEYLKMSTDQVKADLIQSDNDERNVAAKRLINDAARLGGLGFGTSFLKWVASFAAIYLLILDRTNWRTNILTALLVPYIFFSLPSVIFNFFRGEVGKWIAFIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPSFFAHTLKDSWVGVLICLIIGCYLLQEHIRASGGFRNSFTQPNGISNTIGIILLLVYPVWALVLHFI >Manes.14G009400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:533883:534428:1 gene:Manes.14G009400.v8.1 transcript:Manes.14G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPANPEPTVSTPIAAPNPAPAKKITLKTADGNYFEVEEQVAMEFATVKTFFDDNTEATFGTVIPLPNVSAEPLSHIIQYCKRNLMFRAESAPEEARKVYDAEFVKELSNEQLRELILAVNYLDIKNLLDVLNQAVADRIKNKSVEYVRQFFGIENDFTPEDEARLREENAWAFEGVDED >Manes.14G006836.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1788485:1800668:1 gene:Manes.14G006836.v8.1 transcript:Manes.14G006836.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSNSLLEPGANACSLSTVSKPSFSPKLNVIAPLSRRNDNRTARCSVAYKSTVLDKKFFGTKLRALGTERLHLWQSDGPGKSPKLRVVVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAQLSGESSRKTVTDALEMLIRMSHRGACGCETNTGDGAGILVALPHHFYKEVAKDMGFELPPPGEYAVGMFFLPTSDSRREESKNVFAKVAESLGHTVLGWRQVPTDNSGLGKSALQTEPVVEQVFLTPSPRSKADLEQQMYILRRVSMVAIRAALNLQHGGVRDFYICSLSSRTVVYKGQLKPIQLKEYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGSFDGVLELLVRAGRSLPEAIMMMIPEAWQNDKNMDPHRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTRSGRVIMASEVGVVDIPPDDVLRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLNRQKIELNDIVGSVPVADLAIPPIAGAVTTSNDDDNMENMGINGLLAPLKAFGYTVEALEMLLLPMAKDGAEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPMREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLAVEEMEAIKKMNYRGWRSKVLDITYSKDHGRKGLEETLERICAEARDAISKGYTLLVLSDRAFSSNRVAVSSLLAVGAVHQHLVKKLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKATGEFHSKDEVVKKYFKASNYGMMKVLAKMGISTLASYRGAQIFEALGLSSEVIEKCFAGTPSRVEGANFEMLARDALHLHELAFPTRVYPPGSAESVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARANSVAAYKEYSRHIQELNKACNLRGLLKFKEAEVKVPLDEVEPASEIVKRFCTGAMSYGSISLEAHSTLAIAMNKIGGKSNTGEGGEQPSRMEPLPNGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGKPEHVINFFFMLAEEVREIMSDLGFCTVNEMVGRSDMLEVDKEVTKNNEKLENIDLSLLLRPAADIRPEAAQYCVQKQDHGLDMALDNKLIELSRAALEKSLPVYIETPICNVNRAVGTMLSHEVTKRYHLNALPADTIHVKLNGSAGQSLGAFLCSGIFLELEGDSNDYVGKGLSGGKIVVYPPSGSLFDPKDNIVIGNVALYGATDGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVEGKFHSRCNPELVDLDKVEEEEDITTLRMMIQQHQRHTNSQLAREVLADFESLLPKFIKVFPKDYKRVLANMKEAAALKEVAVEENEDLNEAELMEKDAFEELKKLAAASLNKKSSQKVEAAEAVERPIVVNDAVKHRGFIAYERAGVQYRDPSIRMNDWKEVMQESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFQEGWMVPQPPLRRTGKRVAIVGSGPAGLAAADQLNRMGHLVTVYERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMAEEGINFVVNANVGIDHLYSLDRLREENDAIVLAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKKVVVIGGGDTGTDCVGTSIRHGCSRIVNLELLPEPPRTRAPGNPWPQWPRIFRVDYGHQEASTKFGKDPRSFEVLTKRFIGDEHGRVKGLELVRVHWEKDASGKFQFKEVEGTEETIEADLVLLAMGFLGPEPNVADKLGLERDNRSNFKAEYGRFSTSVEGIFAAGDCRRGQSLVVWAISEGRQAASQVDKYLMREDEAAISADNQGDLVESDIAKRQQDSKHTIMT >Manes.14G006836.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1788485:1800668:1 gene:Manes.14G006836.v8.1 transcript:Manes.14G006836.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSNSLLEPGANACSLSTVSKPSFSPKLNVIAPLSRRNDNRTARCSVAYKSTVLDKKFFGTKLRALGTERLHLWQSDGPGKSPKLRVVVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAQLSGESSRKTVTDALEMLIRMSHRGACGCETNTGDGAGILVALPHHFYKEVAKDMGFELPPPGEYAVGMFFLPTSDSRREESKNVFAKVAESLGHTVLGWRQVPTDNSGLGKSALQTEPVVEQVFLTPSPRSKADLEQQIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGSFDGVLELLVRAGRSLPEAIMMMIPEAWQNDKNMDPHRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTRSGRVIMASEVGVVDIPPDDVLRKGRLNPGMMLLVDFENHIVVDDEALKQQYSLARPYGEWLNRQKIELNDIVGSVPVADLAIPPIAGAVTTSNDDDNMENMGINGLLAPLKAFGYTVEALEMLLLPMAKDGAEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPMREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLAVEEMEAIKKMNYRGWRSKVLDITYSKDHGRKGLEETLERICAEARDAISKGYTLLVLSDRAFSSNRVAVSSLLAVGAVHQHLVKKLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAIEAIWRLQVDGKIPPKATGEFHSKDEVVKKYFKASNYGMMKVLAKMGISTLASYRGAQIFEALGLSSEVIEKCFAGTPSRVEGANFEMLARDALHLHELAFPTRVYPPGSAESVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARANSVAAYKEYSRHIQELNKACNLRGLLKFKEAEVKVPLDEVEPASEIVKRFCTGAMSYGSISLEAHSTLAIAMNKIGGKSNTGEGGEQPSRMEPLPNGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGKPEHVINFFFMLAEEVREIMSDLGFCTVNEMVGRSDMLEVDKEVTKNNEKLENIDLSLLLRPAADIRPEAAQYCVQKQDHGLDMALDNKLIELSRAALEKSLPVYIETPICNVNRAVGTMLSHEVTKRYHLNALPADTIHVKLNGSAGQSLGAFLCSGIFLELEGDSNDYVGKGLSGGKIVVYPPSGSLFDPKDNIVIGNVALYGATDGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVEGKFHSRCNPELVDLDKVEEEEDITTLRMMIQQHQRHTNSQLAREVLADFESLLPKFIKVFPKDYKRVLANMKEAAALKEVAVEENEDLNEAELMEKDAFEELKKLAAASLNKKSSQKVEAAEAVERPIVVNDAVKHRGFIAYERAGVQYRDPSIRMNDWKEVMQESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFQEGWMVPQPPLRRTGKRVAIVGSGPAGLAAADQLNRMGHLVTVYERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMAEEGINFVVNANVGIDHLYSLDRLREENDAIVLAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKKVVVIGGGDTGTDCVGTSIRHGCSRIVNLELLPEPPRTRAPGNPWPQWPRIFRVDYGHQEASTKFGKDPRSFEVLTKRFIGDEHGRVKGLELVRVHWEKDASGKFQFKEVEGTEETIEADLVLLAMGFLGPEPNVADKLGLERDNRSNFKAEYGRFSTSVEGIFAAGDCRRGQSLVVWAISEGRQAASQVDKYLMREDEAAISADNQGDLVESDIAKRQQDSKHTIMT >Manes.18G002800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:712267:712857:-1 gene:Manes.18G002800.v8.1 transcript:Manes.18G002800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCFRFLRAVNSSAITGAATSDSPEQPATLDSDFVVILAALLCALICVLGLIAVARCAWLRRLSSPTNSRASASARSQPPLHSAANKGLKKKILHSLPKQTFSANSAAKFTDCAICLTEFTNGDEIRVLPQCGHGFHVNCIDMWLGSHSSCPSCRQILVVTTCQKCGGFPASTSGGAGTEARFKERADEANRFLP >Manes.08G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3735563:3739824:-1 gene:Manes.08G037900.v8.1 transcript:Manes.08G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPHIIEDCMGVLQLFSDGSIFRSKNIDFKMPIVNDTSILFRDYLFDKTHNLHLRLYKPTSMLPSNKKLPILFFIHGGGFCVGSRLWPNCHNCCLRLASGLNALVVAPDYRLAPEHRLPAAMEDGISAMQWLQAQAMRSENGSDAWLSSDEINFEQVFVMGDSSGGNIAHHLAVRFGAGSSGLAPVRVRGYILLAPFFGGVARTKSEEGPSEHLLNLEILDRFWRLSMPVGESRDHPLANPFGPASLNLEAVALDPMLVLAGSNELLKDRVEDYAKRLKQMGKNIKYIEFEGKQHGFFNNDPYSESADEVVELINKFMLENFA >Manes.08G037900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3738202:3739824:-1 gene:Manes.08G037900.v8.1 transcript:Manes.08G037900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPHIIEDCMGVLQLFSDGSIFRSKNIDFKMPIVNDTSILFRDYLFDKTHNLHLRLYKPTSMLPSNKKLPILFFIHGGGFCVGSRLWPNCHNCCLRLASGLNALVVAPDYRLAPEHRLPAAMEDGISAMQWLQAQAMRSENGSDAWLSSDEINFEQVFVMGDSSGGNIAHHLAVRFGAGSSGLAPVRVRGYILLAPFFGGVARTKSEEGPSEHLLNLEILDRIGWCVQLVTWLHSP >Manes.09G186900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37375187:37382111:-1 gene:Manes.09G186900.v8.1 transcript:Manes.09G186900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLQTRLKGQSKAEHITMASKGKGRGKGAFIDRKTHFLSVDAQVEVSSDEEGFTGAWYVATILKSPCSSRKNPAKGKSKVFVMYHTLLSDNDENEPLTEFVDASYIRPLPPPPDIDQSYEPNDVVDAFHRDGWWKAVVTKVEVLEEKSNSKRYTVIFENPPEQFDFCPAELRFHWDWINGRWVRPPKQKRMEGLNFRKGTAVEVNLDKENLHDAWFPATVIEEVGFNSFLVEFGSSRNNYENGLTTGIVDSFHIRSPPPNLEVKKFQILESVDAFCNSSWRDGFITKILTDGRYNIFLKHADEERQFSQSEIRPRQYLKNGTWISYCRDTSIDIQNKEHPTHADNNAESPGATFHIESSTAEKDHSEEKSSCKNSSRNSLELSTPCKVKSASMAVKKRAPEIEYKLSCASKKFKMEKSGNPLSPVVHPAKLIPIKTTNQEVQVTDSAPTKCSTQQLVDNAGSESPFRGNNIEPNQQEAGLLKWKLLPNAQVRSQWIRAAGGEDKTRGDSYEVTTEVEHVEKDAESSGALTEGRRGLPPENSYQLPDEEVNLRKESDAIGQRKAGDQHDAPGDASEMNFKHCADKEVELSILIGSEPEVSSVDNKAEVNVAMVRASSNDDQSLSICHEGNGERQVNKSTQSTATIVMVGSEPVKIQTTPFVKSSPIWKNVESLEAFKRLPQKPHFSPLIDCKEAIREGSAIGHMLTFATLVDKTSKLKVDDPRELFTSYLETLADLEMLGFDVKAVAGRLNKLLWIKDRRDQLQDQSKNVHIQIAECNREKTKLEEDIHAIDKQMRELEEQRAMRTAMKVMKDSAIIALQVNSNAISEDIVHSSQDFDSLAAAPW >Manes.08G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38036887:38038116:-1 gene:Manes.08G130900.v8.1 transcript:Manes.08G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFHFLVLLAFALALSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVADDFFAWGLNIPGDTDQNRVRSNVTLLNVDRIPGLNTLGISLARLDFAANGGLNPPHIHPRGTEILVVVEGTLYVGFVTSNPNRLIAKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIADAIFGPDPPINPDVLAKAFQLDKNDVEKLQKLFED >Manes.03G160500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28746819:28748979:-1 gene:Manes.03G160500.v8.1 transcript:Manes.03G160500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFIGCFGFSSKRKRRKPANRVQPGDHGFGSYEPLNSTSTVLDITGEPINSDSVLSKKHKEPLNCKIRKKVSFNLNVQSYEPISKEESTDYFWESEEEEKKVGISKETAKEGQSPSRSDGDSIATIMVSYPSNYRYRNCVDCYDEEDEIAYEESDLDDDDDDDSDEDEDDGSGGDIDDVRISQEELSEKFMSLSVSSNKRDSLTEFAEEKSENMNLNEGGLKSLGMNRNARARSQYVYSVLNPVENLSQWKAVKAKGMPPVKRQRKENIAALDQQARKPTPEIAVDASLSNWLFSADSCQSRATSITKSNNILVDAISSKKNSIEDMPILDNINLEASQQINCSNPLYNRLINLG >Manes.04G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33692137:33695369:-1 gene:Manes.04G137300.v8.1 transcript:Manes.04G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGQLIWEIVKKNNSFLVKQFGRGTAGLQFSKESNNLYNLNSYKHSGLANKKTVTIQPGKDQSVVLATTKTKKQSKPVALLHKSVMKKEFRRMAKAVENQVGDNYYRPDLKKAALARLSVVHRSLKVAKSGVKKRNRQAQKINGRK >Manes.15G134900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10914133:10918113:-1 gene:Manes.15G134900.v8.1 transcript:Manes.15G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHEKEEDDDEFQGSGKRLKCTKAEEEDDDDEEELEEEGQSEIPLDAGFFFYPTTPTSIVVSDALEPDFPIIYVNKVFEIFTGYRADEALGRNCRFLQYRDPRARRRHPLVDPVVVSEVRRCLEEGIEFQGELLNFRKDGTPLVNRLRLAPIHDDDGTVTHVIGIQVFSEAKIDLNRVSYPVFKETCNQQCDQAAKFSHLSGQSPFNHHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGREVTGALELMTKKLGWGRLARELTTLEAVCWRKLTVGGAVEPSRCNFSACAAGNRLVLFGGEGVDMQPMDDTFVLNLDAANPEWERVSVKSSPPGRWGHTLSCVNGSWLVVFGGCGREGLLNDVFVLDLDAKQPTWKEVSGGTPPLPRSWHSSCTVEGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPTWREIPTSWAPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYTIDLEDEEPQWRQLDCNAFTGVGSQSSVVPPPRLDHVAVTMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWVLNELHELCLASRQD >Manes.15G134900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10914133:10918113:-1 gene:Manes.15G134900.v8.1 transcript:Manes.15G134900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHEKEEDDDEFQGSGKRLKCTKAEEEDDDDEEELEEEGQSEIPLDAGFFFYPTTPTSIVVSDALEPDFPIIYVNKVFEIFTGYRADEALGRNCRFLQYRDPRARRRHPLVDPVVVSEVRRCLEEGIEFQGELLNFRKDGTPLVNRLRLAPIHDDDGTVTHVIGIQVFSEAKIDLNRVSYPVFKETCNQQCDQAAKFSHLSGQSPFNHHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGREVTGALELMTKKLGWGRLARELTTLEAVCWRKLTVGGAVEPSRCNFSACAAGNRLVLFGGEGVDMQPMDDTFVLNLDAANPEWERVSVKSSPPGRWGHTLSCVNGSWLVVFGGCGREGLLNDVFVLDLDAKQPTWKEVSGGTPPLPRSWHSSCTVEGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPTWREIPTSWAPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYTIDLEDEEPQWRQLDCNAFTGVGSQSSVVPPPRLDHVAVTMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWVLNELHELCLASRQD >Manes.14G046400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4042332:4048028:1 gene:Manes.14G046400.v8.1 transcript:Manes.14G046400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEMHQFHILENKDPKTGELEGLSFEFNLCEAVATWEQVRNSTTVLTREFIDALPNGWEDYAWRRINKGILLNHCQNKTLCVEKLSLVLPETPPYVPRQFDRCAVIGNSGDLLKTRFGKEIDGYDAVVRENGAPTQNYTDYVGKKSTFRLLNRGSAKALDKVVELDETRTETLIIKTTIHDIMNKMIQEVPINNPVYLMLGASFGSAAKGTGLKALEFALSICESVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPDRVVKWVPSSTTIRAARVASEKLLRRVGAGSEDPLAACSIRKKQVRRSTVISSLRKAAVEHRKFVKGTTLYPLEHSLGHGMVCTLPTD >Manes.14G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4042332:4048028:1 gene:Manes.14G046400.v8.1 transcript:Manes.14G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLQFGFLVALASGLSAILIYIAGVSNLNAIHQLSHEDVEALESLQSSFRKCVNANGLGLQAVTGNDYCQVIINFPSDTVPKWKDPKTGELEGLSFEFNLCEAVATWEQVRNSTTVLTREFIDALPNGWEDYAWRRINKGILLNHCQNKTLCVEKLSLVLPETPPYVPRQFDRCAVIGNSGDLLKTRFGKEIDGYDAVVRENGAPTQNYTDYVGKKSTFRLLNRGSAKALDKVVELDETRTETLIIKTTIHDIMNKMIQEVPINNPVYLMLGASFGSAAKGTGLKALEFALSICESVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPDRVVKWVPSSTTIRAARVASEKLLRRVGAGSEDPLAACSIRKKQVRRSTVISSLRKAAVEHRKFVKGTTLYPLEHSLGHGMVCTLPTD >Manes.14G156150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20020062:20022729:-1 gene:Manes.14G156150.v8.1 transcript:Manes.14G156150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLLPLGLFAKRTGISMQNMDDAKQHTASQAIDYLKIVYGFELEDNN >Manes.09G162600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35692391:35693436:1 gene:Manes.09G162600.v8.1 transcript:Manes.09G162600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGTKTAATAAANLANLLPTGTVLIFQALVPSFSNNGDCVVANKYLTLPLIACCSLVCFFSSFTDSFIGHHDSKLYYGIATFEGIYLFNDCDCNDGNGEEELDHNQRAKYRITVIDFVHAFASLTVFLVFALCSSYVQDCFFPKAGANEKQLIINLPLGVGILSSFLFMIFPTKRRGIGYGDIRCF >Manes.01G056600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:24070879:24071787:1 gene:Manes.01G056600.v8.1 transcript:Manes.01G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFIALKEQEKKKPKERRPYLASECRDLAEADKWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYAKHAPKMTDLEGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLEKVEVPAEERMRAAAVEEWMRMDEIRREARKGAKEVVSVGAVATEVLFEEEEDVVEEERKREREKEGREKEEKEREFVVHVPLPDEKEIERMVLEKKKMELLSKYTSNTLLEEQSEAKAMLNIQR >Manes.01G206200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37887758:37890297:1 gene:Manes.01G206200.v8.1 transcript:Manes.01G206200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKIETGHQDTVHDVAMDYYGKRLATASSDHSIKIIGVSNNTSQQLAQLTGHQGPVWQVAWAHPKFGSLLASCSYDGRVIIWKEGNQNEWTQAHVFDDHKSSVNSIAWAPHEVGLSLACGSSDGNISVFTARADGGWDTSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLYNGTWKMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVGKEGDQWEGKILHDFRTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVDT >Manes.01G206200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37887757:37890587:1 gene:Manes.01G206200.v8.1 transcript:Manes.01G206200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKIETGHQDTVHDVAMDYYGKRLATASSDHSIKIIGVSNNTSQQLAQLTGHQGPVWQVAWAHPKFGSLLASCSYDGRVIIWKEGNQNEWTQAHVFDDHKSSVNSIAWAPHEVGLSLACGSSDGNISVFTARADGGWDTSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLYNGTWKMDCFPALQMHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVGKEGDQWEGKILHDFRTPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVDT >Manes.13G018500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1670870:1671085:1 gene:Manes.13G018500.v8.1 transcript:Manes.13G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKPAEYLMQTILLVLSVVAFSAGYVMGSFQTVIQIYSGEVVLAPSVTVPNLPWFSHPLQWLDPMEA >Manes.08G004103.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:691028:695516:1 gene:Manes.08G004103.v8.1 transcript:Manes.08G004103.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNECGYDSNFQQPPDGTASSSSVKKTRISRNKSGITGRRLNFGAENYKADKRSGGSEKSARRFAVCLKKRRTAKSVPSKCGSRSSKDSSFFGCGLGNGIMYMMSAGKAEISKLSNAMDDKRRLAKVAASSKELSSNNELEFYRAGTGHNNDPKAIKVSGIPMIDDVECPSSVLTEEPEPQLLEMDQLEAELASELQKLLWSYPEASGNEGVGPNMDKNETFSGGLHKLEGQSTISCQCHRVLTSELDRKLSHLLIEQQKNQIEELESELHSAQSKLHEKEAELQALKDCIKRLTEFSLSTVSDDEAVTYAEQECTSEWDNESNMGSELRKSTVGMKRSIGTA >Manes.06G178600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30315691:30318251:1 gene:Manes.06G178600.v8.1 transcript:Manes.06G178600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHAPTLARKTTSTLLLLLSFAIVAFGAIDHTPLLKFREALGNNTALRNWNSSVEPCDWNRSNWIGVICLNGTIWGLKLEGMGLNGMIDIDSLVHLTHFRTLSLMNNQFVGALPRINKLGKLKALYLSNNRFSGQIPDDAFQGMGSLKRVFIANNEITGHIPRSLSALPRLRELRLEGNQLQGHIPNFPQKRLKTVNLASNLLVGPIPETLSKMSPESFSGNKDLCGAPLLSCSSPITIFRRKSNTSKIIIIVILLLIILALMAVALVVFQRKRRSPQLGMKPSLSTNSNKIAPSYVAHQVQGEVPAQGAAHSRRSDMLSFVRDDIQKFDLNDLLRASAEVLGSGTFGSSYKAAVGGGLALVVKRYRHMNNVGREEFHEHMRRLGRLRHPNLLRLTAYYYRREEKLLVYEFVENGSLASHIHGNHSLEKQGLDWPTRLRIIKGVAKGLSYVYSELPILVPHGHLKSSNVLLGPSMEPMLTDYTLRPVINPQQAHNLMIAYKSPEYAETGRTSNKTDIWSFGILILEILTGKFPENYLTPGYDSSADIATWVNKMVKEKRTSEVFDKEMKGTKDSKGEMINLLKIGLSCCERDVESRLDIKEVVEKIEQLREGDGDEDLNVTVRGNGDGISYTVDR >Manes.04G095100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEVTLSFHMQFLEQL >Manes.04G095100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEVTLSFHMQFLEQL >Manes.04G095100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEMQFLEQL >Manes.04G095100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEVTLSFHMQFLEQL >Manes.04G095100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEVTLSFHMQFLEQL >Manes.04G095100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEVTLSFHVYLSSKQKG >Manes.04G095100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEVTLSFHVYLSSKQKG >Manes.04G095100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEVTLSFHMQFLEQL >Manes.04G095100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30083590:30087774:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEMQFLEQL >Manes.04G095100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEMQFLEQL >Manes.04G095100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEMQFLEQL >Manes.04G095100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEVTLSFHMQFLEQL >Manes.04G095100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30082178:30087905:1 gene:Manes.04G095100.v8.1 transcript:Manes.04G095100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPSLHAEERGESSLDFCEICRERKENEQLIKNENCGHPFCLECISKRVEENIELGLKTVECPGLNCECVLQLEAFKHLISKDVLSLREKSPSLEVVPNSTCRGQEKVADDVEKLGTAFSDDADDDSDSDAWPDTDAYDSEASPRSPKSCKKSKWFKKFLKGFDYMSTEEINDPARQWHCSACQGAAGAIKRYLSLKTLVKHAKSKGSRRVRLHRELAQLLEEKLHGGQASSAALGGEACGNWKGLKEEKKYQEIVWPPMVVITNTIHKKDENNKWTGMTTQQLPDLFSSYNTIVKAQHFYNSDGQCRMSILSFESSARGCLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGEHQLYGYMAVKEDVDTFNQHYLKGKRKLKYEMRSYKEMMVDGIRQMSRENLQLPWLQNRIAEQQSHAKDLEKSNGMLKEKLNKATKDLEILRLKAKQQHEQDLEEMQFLEQL >Manes.02G133250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10136603:10138056:1 gene:Manes.02G133250.v8.1 transcript:Manes.02G133250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPFNPKKDCWPLPVDAGGRSSSEEEWHCKVSFIGSFSFRLRLLVLRLYIVFLLLNLKALSAMGHILFIDQYIHTFRLKTKNTTHNNP >Manes.02G133250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10136603:10138056:1 gene:Manes.02G133250.v8.1 transcript:Manes.02G133250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPFNPKNCWPLPVDAGGRSSSEEEWHCKVSFIGSFSFRLRLLVLRLYIVFLLLNLKALSAMGHILFIDQYIHTFRLKTKNTTHNNP >Manes.02G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11280832:11283660:1 gene:Manes.02G146600.v8.1 transcript:Manes.02G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVPDNLTRDQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPSGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKVESELSEVCASILSLLDSNLIPSATASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >Manes.04G008500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1085786:1087203:1 gene:Manes.04G008500.v8.1 transcript:Manes.04G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRILSNSTRKGLLLVSSFSVSGSMASYQVRDSSRSIDIRRGFSKSQMCLHKILRIIASYPPQETSRSSSLNREIHEFDENKGLGIDLNVEICPWPETEASECLSSCSVVDKVFSNCTEMEFGGVFVAEKSSSEGECDLKEVRDQLGTDSLTIEAIAKESRSFEVSEAINLYVKQKSLDGDEGVKTAPSFQQKEEERKENGCFSLLIEAAEMASRPSDSSKDKGDFEKESEADKGRNGESSSSSSKRSDECFGVENKSGDFEDTSPVVRSKRGRSQVLPLRYRDSILLLSPSKRVASSQRPAAAPMVSTKRGRLNNNKKKNK >Manes.11G164600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32910842:32914996:1 gene:Manes.11G164600.v8.1 transcript:Manes.11G164600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSREHCKIRKRGNSSSSSSSLIQKYRFKRAILVGKRGGSTTPVPTWKKSPTLSMPNAESTNCPPSQSGAKAKEPSVSARKLAATLWEINNMPSPRVRKDLETVTDNIKELRSREKVAKFPHLSDPSYTPISEKMDRSRRNGHRRRASVVRKKVEVTDYNAGGLDSVSNASLMEIETHSKGIIGIKTRLKDVSNGLITSKELVKVLKRILGLDEQHSSGMSLVSALRVELDRARLQVDQLIKEQRCNRNEIDFLLKQFEEEKAAWKSKERDRIRNAIACIAEELEVEKKLRRQTERLNKKLGKELAATKASLSQALKELDSEKRAKEILEQVCDELARGIGEDRAEVEELKRESAKVREEIEKEREMLQLVDVLREERVQMKLSEAKYHFEEKNAAVERLRNELESYLKEKVLGKENGHDSPNYDRIKELEAYLKEIQNGLPQRTEKVESEGVIENGEVHDGDDSADSDLHSIELNMDNNSKSYKWSYACDSDAFDNLKRVSVDRDFMGRKSISENIQWGSICLQRRNSNSIDVPDWDFITKNQEKSDVFDGERLSEVDIHSQTQETEDEIMRYRSLKSLGDHILSSSKRQPIQNFASPARQWEPLQDVGSAVSDGSPVLQGDSLKPRVTGARGGRRTLTSSRH >Manes.16G018032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1563557:1566527:1 gene:Manes.16G018032.v8.1 transcript:Manes.16G018032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRLLFFFLYVLIGLVAFNTAQPEFFSHSCSNTGNYSSNSVYQSNLNSLLSSLSSNNNITYGFYNQSFGRDPDRVYAIALCRGDVLLDDCRRCVNDSASRILQDCPNQKEAIGWYDNCMIRYSNASIFGVTRVRPMLALLNINNVTSIDQFNQALRTLMDKLRSEASSGSSLRKFATGEAAGPDFLTIYALAQCTPDLSSLQCNDCLDQAARFIPGCCNGKIGGRVVTPSCNLRYEIARFYNSTVPLPSPPPQSTPPPFSPPPKGKDSNTARTVVIVVVPIVCVVIFIICLCIFLRRRRIRQTPKPEPMDEINSADSLQFDFGTIRAATDNFSDANKLGQGGFGSVYKGRLSNGQDIAVKRLSSGSGQGDLEFKNEVLLVAKLQHRNLVRLQGFCLEGIERLLIYEFVPNRSLDHFLFDPNKRSHLDWERRYKIIGGIARGLVYLHEDSRLRIIHRDLKASNILLDAEMNPKISDFGMARLFVLDQTQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVYSFGVLLLEILSGQRNNSFRIGENVEDLLTYAWRNWREGTCLNLIDPNLRDGSRNEMMRCIHIGLLCVQENVADRPTMASVVLMLNSYSLTLPVPSPPAFFMSSSSGSENLFSQSYYSERTESTNEKSEIIPLGYVKLNIIHTFRLAFLSSTIRSVAKANIFCHFYLDGVHVDR >Manes.10G087100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22293258:22293833:1 gene:Manes.10G087100.v8.1 transcript:Manes.10G087100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTVTLSSPISFSQTHHHRHRLRTHWFQSLPKSTIRFLSLKSPPPFLLLPQASRRNSSNNTNLVDDPRNWSRSINNELLDDEDEEEEDDEDEEEDRSLDLLVRFVENVFKKVSKRARKAVRSVLPASISTKLVGFSVNGVLILACLWVLKAFLEVICSTIYLQL >Manes.10G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22293154:22296589:1 gene:Manes.10G087100.v8.1 transcript:Manes.10G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTVTLSSPISFSQTHHHRHRLRTHWFQSLPKSTIRFLSLKSPPPFLLLPQASRRNSSNNTNLVDDPRNWSRSINNELLDDEDEEEEDDEDEEEDRSLDLLVRFVENVFKKVSKRARKAVRSVLPASISTKLVGFSVNGVLILACLWVLKAFLEVVCTLGSVVFASILLIRGIWAGITYLQENRYLNMNEHDDDHAWTGTQPAN >Manes.08G062900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:8502019:8506278:1 gene:Manes.08G062900.v8.1 transcript:Manes.08G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPKRRTVVENGDSAEDLVLATLIGNGDDVGPIVRHAFEMGRPEALLLQLKTVVRKKEAEIEDLCKSHYEEFILAVDELRGVLVDAEELKSELASDNFRLQEVGSALLIKLEELLESYSVKKNVTEAIKLSKICLQVLELCAKCNNHMSEGQFYPALKTVDLIEKNYLLNIPMKTLRTTIGKTIPVIKSHIEKKVTSQFNEWLVHVRSSAKNIGQTAIGRTASSRQRDEEMLEHQRKSEEQSVSGLGDFAYTLDVEELDEDSILKFDLTPFYRAYHIHTCLGIQEQFREYYYRNRLLQLNSDLQISYSQPFIESYQTFLAQIAGYFIVEDRLLRSGGGVLLSDQVETMWETAVTKITSVLEEHFSRTDSATHLLLVKDYVTLLVATLREYGYEVGPILEVLDNNRDKYHELLLGECREQIVNVIGSETYEQMVMKKDADYENNVLAFHLQTSDIMPAFPFIAPFSSMVPDICRIVRSFIKGSADFLSYGMHANFYNLVKKYLDKLLNDVLNEIILNTIHSGSVGVSQAMQIAANISVLERACDFFLRHAAQLCGIPVRSVERPQASLTAKVVLKTSRDAAYLALLNLVNTKLDEFMSLTENVNWTSEETVQSENEYMNEVVIYLDTILSTAQQILPLDALYKVGSGALEHISNSIVSAFLSDSIKRFNANAVLTISYDLKELENFADERFHSTGLSEIYKDGGFRSFLIEARQLINLLSSSQPENFMNPVIRMKNYNTLDYKKVAIICEKFKDSADGIFGSLANRNAKQSAKKKSMDVLKKRLKDFN >Manes.03G166700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29248329:29251385:-1 gene:Manes.03G166700.v8.1 transcript:Manes.03G166700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSFVGRVLFASLFILSAWHEFNEFGDDGGLVARSLAPKFKIFSRHVSSHAGFQVPPVEMKHAVATAIAMKGIGSLLFIFGSSLGAYLLLLHQAIVTPILYDFYNYDADKEEFNQLFTKFTQNLALFGALLFFIGMKNSVSRRQLGKKPLKSKTL >Manes.03G166700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29248329:29251328:-1 gene:Manes.03G166700.v8.1 transcript:Manes.03G166700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSFVGRVLFASLFILSAWHEFNEFGDDGGLVARSLAPKFKIFSRHVSSHAGFQVPPVEMKHAVATAIAMKGIGSLLFIFGSSLGAYLLLLHQAIVTPILYDFYNYDADKEEFNQLFTKFTQNLALFGALLFFIGMKNSVSRRQLGKKPLKSKTL >Manes.18G058066.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5141525:5145047:1 gene:Manes.18G058066.v8.1 transcript:Manes.18G058066.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKRRERLKAMRTIAAQAEASSYVETPAVSGFLANPLLESPAPLPPQESRATPRFDFYTDPMAAFSANKKRSQAGNQAQQGYVTPPSDRNSPMARFSSPHPGIRNTEMTPSPAHQMQSNYSPSQRMYQAQGSYDSPAHFRSPRASPFPMHQENVAGYYYGNPPNTHIRSPYPNCGGNPSFQPVGSPGFYYGERGPPQHGNSPIPGSGRGGGSPFSGRGQGQWHGSRANQVSSWSDRRGRGSRFHGTARDEKLGPEPFYDKSMVEDPWQNLDPVVWRGVDGALNNLHTPGSSNSVSMKKQRVSESSNKSSSQPNLAEYLAASFNETVKDAAGV >Manes.18G058066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5141525:5145047:1 gene:Manes.18G058066.v8.1 transcript:Manes.18G058066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKRRERLKAMRTIAAQAEASSYVETPAVSGFLANPLLESPAPLPPQESRATPRFDFYTDPMAAFSANKKRSQAGNQAQQGYVTPPSDRNSPMARFSSPHPGIRNTEMTPSPAHQMQSNYSPSQRMYQAQGSYDSPAHFRSPRASPFPMHQENVAGYYYGNPPNTHIRSPYPNCGGNPSFQPVGSPGFYYGERGPPQHGNSPIPGSGRGGGSPFSGRGQGQWHGSRANQVSSWSDRRGRGSRFHGTARDEKLGPEPFYDKSMVEDPWQNLDPVVWRGVDGALNNLHTPGSSNSVSMKKQRVSESSNKSSSQPNLAEYLAASFNETVKDAAGV >Manes.02G093600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7332909:7335331:-1 gene:Manes.02G093600.v8.1 transcript:Manes.02G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLINANPVVHAKKERIARTEDLHCDDAVDPLEIYDFVRDIRDPEHPYSLEQLSVLSEESITVDDKLGRILITFTPTIQHCSMATVIGLCLRVKLQECFPPHYKVDIKVSPGSHADEESVNKQLNDKERVAAALENPNLRQLVDECLYSNEL >Manes.16G067951.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27073146:27073603:1 gene:Manes.16G067951.v8.1 transcript:Manes.16G067951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKHGFGIFCWRRNLDSWELDSANRLLEEWNSLCFGNFQRKFWSTLFFAIFWSPLEVIVCPILKSRRPLK >Manes.05G118701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12372223:12374756:1 gene:Manes.05G118701.v8.1 transcript:Manes.05G118701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGETCMASKSKAIVPAYGTASLSQTVDSKDLSNLLKAVGLPKIQSSHGYNTGFYKWYLKLAFEFEIEVEHGFNNINPWEVIRKYYPENWYFTPKNILKPQDYYQSILEETGSVKVKHNFDKNHKEIIAYSSIQIKSVMHPKDWPAPSLYTEIAFKKLKRYSTSYNYFDYVDAWTNIFSIQNPTTTHSWLIYFDQQAIKTFTKFPNWFFIWWQSRGTTEDILSQELLHIYQYFKTNYRPPQNEKYIPPLMYFCINFFLPWVYQWYFDFQYVTDLNIPVIVKKHKIKWWGSFRNPTTEETVKQWIIKKAQFPETSYAGKLTMQGEPTFGAQKAQCQAMLAAAKTPEEYKLICQKMFNQLSSGASVKPEDKQSSSRESSVKSSSKSKIKKKVGRRKTKKQSSSEAESTASSSTSSSDKNSTSSHYDSNEDDCYGILPPVKIRSKTGKGKGKKTAKVKKEKEEKLKHKSKKKDTSSSSSDSE >Manes.10G044800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4791239:4797955:1 gene:Manes.10G044800.v8.1 transcript:Manes.10G044800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRKNLKRATEEYNLTLEDGQSITQVVSLRGSNLIEVMDAKGEKSLALFPAKFQKSMWIKRGSFVVVDERGKEKALESGSKVACIVSQVLFYEQVRALQRSPEWPEIFKSTTLDDSNGSCKNTSQQEDDELDASDDDGLPPLEANMNRIKPPELQSDAESDSGSETDS >Manes.17G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32321479:32335638:-1 gene:Manes.17G116100.v8.1 transcript:Manes.17G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSEKRYPVSAKDYRLYEEIGEGVSATVYRALCIPFNERVAIKVLDLERCNSDLDGVRREVQTMSLIYHPNVLQAHCSFTTGHSLWVVMPYMARGSCLHIMKSAYPEGFEEPVIATLLRETLKALVYLHAHGQIHRDVKAGNILIDSDGAVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTAEKLLKHNFFKQARLNEYVARTILDGLAPLGERFRELKAKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQEFDGIPNAEDPDGVVKQRHRHNNVELAAERLSFGRVNHTTAAPSHEDGFSDLNDLESSLPAFPIKPLQALKGCFDVGDDGMDGTSPSWEVTTDSEQQVLTKLSANVVNQESEANEGDDPGRSCSIPHNVVMEHKQFLSGPLMPDNTPKIIVGDENRDLVQPKYQSERNHSGPLFYRQKRDTNNLSSAEDLSEGAAVQRKGRFKVTSADLSPKSPTNCYFSPTCGGSSNPSTSNPTAASVLPSLHCILQKNTIQREEIVKLIKNVEQSSGITSSVGKQMQSAEAAINDLLQTSPASARERELQAQLINLQQSVGNLVEELQTQKTKNAQLERQLNALVYK >Manes.17G116100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32321479:32335638:-1 gene:Manes.17G116100.v8.1 transcript:Manes.17G116100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVSEKRYPVSAKDYRLYEEIGEGVSATVYRALCIPFNERVAIKVLDLERCNSDLDGVRREVQTMSLIYHPNVLQAHCSFTTGHSLWVVMPYMARGSCLHIMKSAYPEGFEEPVIATLLRETLKALVYLHAHGQIHRDVKAGNILIDSDGAVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTAEKLLKHNFFKQARLNEYVARTILDGLAPLGERFRELKAKEADLLVQNKALYEDKEQLSQQEYIKGISAWNFNLEDLKSQAALIQEFDGIPNAEDPDGVVKQRHRHNNVELAAERLSFGRVNHTTAAPSHEDGFSDLNDLESSLPAFPIKPLQALKGCFDVGDDGMDGTSPSWEVTTDSEQQVLTKLSANVVNQESEANEGDDPGRSCSIPHNVVMEHKQFLSGPLMPDNTPKIIVGDENRDLVQPKYQSERNHSGPLFYRQKRDTNNLSSEDLSEGAAVQRKGRFKVTSADLSPKSPTNCYFSPTCGGSSNPSTSNPTAASVLPSLHCILQKNTIQREEIVKLIKNVEQSSGITSSVGKQMQSAEAAINDLLQTSPASARERELQAQLINLQQSVGNLVEELQTQKTKNAQLERQLNALVYK >Manes.11G039000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3719672:3724870:-1 gene:Manes.11G039000.v8.1 transcript:Manes.11G039000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPETSPAVPNSPPVVPASPPVTSSTLSPPPPSQTNLTALPPPPDTNSTASTPPGTNSTSVQPPPSSDSTNGSTPSVSIEPPKSKGLPPGALAGLIVGIALGAIIVLIAMCIFFICYRRKKRKEALSSKGDHNTGAPQAQHWQQNYPPPTDNKVTLLANPAPAPAISAKLYQPSVLGPVSHEPPSTSSSLGLGSEKPFVSPSPGTLGLPQGTFSYEELAMATNGFSNTNLVGQGGFGYVHKGVLLDGKVVAIKQLKPGSGQGEREFQAEIEIISRIHHRHLVSLIGYCITGAQRMLVYEFVPNNTLEFHLHGKEGPTMNWSTRMKVAVGSAKGLAYLHEDCQPKIIHRDIKAANILLDDNFEPKVADFGLAKHSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGHRPVDRTQSFLDDSIVDWARPLLKQALEEGIYDALVDPKLQGDYDSSEMTRMISCAAACIRHSARLRPRMSQIVPALEGKMSLDDLSDGILPGHSTVYGSYGSSDYSSSQYKEDLKKFRKMALESQEHGSSEYSGVTSEYGPQPSSSSTEGQQTTQEMEAMRKETITKHSHESS >Manes.11G039000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3718236:3724870:-1 gene:Manes.11G039000.v8.1 transcript:Manes.11G039000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPETSPAVPNSPPVVPASPPVTSSTLSPPPPSQTNLTALPPPPDTNSTASTPPGTNSTSVQPPPSSDSTNGSTPSVSIEPPKSKGLPPGALAGLIVGIALGAIIVLIAMCIFFICYRRKKRKEALSSKGDHNTGAPQAQHWQQNYPPPTDNKVTLLANPAPAPAISAKLYQPSVLGPVSHEPPSTSSSLGLGSEKPFVSPSPGTLGLPQGTFSYEELAMATNGFSNTNLVGQGGFGYVHKGVLLDGKVVAIKQLKPGSGQGEREFQAEIEIISRIHHRHLVSLIGYCITGAQRMLVYEFVPNNTLEFHLHGKEGPTMNWSTRMKVAVGSAKGLAYLHEDCQPKIIHRDIKAANILLDDNFEPKVADFGLAKHSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGHRPVDRTQSFLDDSIVDWARPLLKQALEEGIYDALVDPKLQGDYDSSEMTRMISCAAACIRHSARLRPRMSQIVPALEGKMSLDDLSDGILPGHSTVYGSYGSSDYSSSQYKEDLKKFRKMALESQEHGSSEYSGVTSEYGPQPSSSSTEGQQTTQEMEAMRKETITKHSHESS >Manes.11G039000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3718940:3724870:-1 gene:Manes.11G039000.v8.1 transcript:Manes.11G039000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPETSPAVPNSPPVVPASPPVTSSTLSPPPPSQTNLTALPPPPDTNSTASTPPGTNSTSVQPPPSSDSTNGSTPSVSIEPPKSKGLPPGALAGLIVGIALGAIIVLIAMCIFFICYRRKKRKEALSSKGDHNTGAPQAQHWQQNYPPPTDNKVTLLANPAPAPAISAKLYQPSVLGPVSHEPPSTSSSLGLGSEKPFVSPSPGTLGLPQGTFSYEELAMATNGFSNTNLVGQGGFGYVHKGVLLDGKVVAIKQLKPGSGQGEREFQAEIEIISRIHHRHLVSLIGYCITGAQRMLVYEFVPNNTLEFHLHGKEGPTMNWSTRMKVAVGSAKGLAYLHEDCQPKIIHRDIKAANILLDDNFEPKVADFGLAKHSLDTDTHVSTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGHRPVDRTQSFLDDSIVDWARPLLKQALEEGIYDALVDPKLQGDYDSSEMTRMISCAAACIRHSARLRPRMSQIVPALEGKMSLDDLSDGILPGHSTVYGSYGSSDYSSSQYKEDLKKFRKMALESQEHGSSEYSGVTSEYGPQPSSSSTEGQQTTQEMEAMRKETITKHSHESS >Manes.15G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3309301:3314207:1 gene:Manes.15G043200.v8.1 transcript:Manes.15G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSTRRLRDRNGAGAKTAAVQKYTKTLTPISTSELNPSSALKKSLSVKENLRLNSRAQKPTVGSVPRVDKAAAVVVPGTDGSEGRARWSTSSVARGRSSSPSEFIRVFRDSRISKGDSDSRVVLSARKKTGTRGFIDSKENRGFGVEFEKSGFCERNDAKGEENEYNSSGCRSLSGNYKKRANLSSSVIKGSEVDDVSGSNVESKFPKGVKIDKLCVHKSRSDKFDSEKESNERNGSKARVLENSKEKDLIEESTGSKPGVKYPSKLHEKLAFLEGKVKRIASDIKRTKEMLDMNNPDASKVILSDIQSKISGIEKAIEHVGGDSSKNVGNEGGENEVPGNSKNEKADQAKGSVKGLSNEELEARLFPHHKVLRNRALMKESSGSSEISKGSNFPDSICESEIKEKLLSPIEENPIAVEFLSSLNKEDTKVTLRDTKAGIESCDVKETSGTGASGKQDSLNSSHAKCSEELDLTTDETIDEFDDQENRKAVVIGEETEDNSVYQVNQISLKSSTGGWFVSEGESVLLAHDDGSCSFYDIANCEEKSVYKPPDGVSPNMWRDCWIIRAPGADGCSGRYVVAASAGNTLDSGFCSWDFYTKHVRASYIEHGETNTSRTILGQIPSNTTYRRNALSCISLPETRQWWYRPCGPLIISTASTQKVVKIFDIRDGEQIMKWEVQKPALAMESSSPLQWRNRGKVVVAETESISLWDVNSLNQQSLLSISLSGQKISALHVINTDAELGGGVRQRVTSAEAEGNDGVFCTSDAVNVLDFRHPSGIGLKIPTVGVSVQSIFTRRDSIYIGCTNMKSAGRKEACSQVQQFSLRKQKIVSTYSMPESNAHSHYSGITQVWGNSELVMCVCGLGLFVFDALKDDIMQSCAVDYGSTEKVKEVIGPDDMYSPSFDYLASRVLLISRDRPALWRHLP >Manes.18G013700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1506611:1507557:1 gene:Manes.18G013700.v8.1 transcript:Manes.18G013700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLKTLLLVIVPLAFICYLVQSDQMPYLDFASAMFQVIEEVVDASLPQQIDCDGACAARCQLSSRPHLCKRACGTCCARCNCVPPGTSGNYDACPCYANMTTHGGRHKCP >Manes.18G013700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1506611:1507557:1 gene:Manes.18G013700.v8.1 transcript:Manes.18G013700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLKTLLLVIVPLAFICYLVQSDQMVIEEVVDASLPQQIDCDGACAARCQLSSRPHLCKRACGTCCARCNCVPPGTSGNYDACPCYANMTTHGGRHKCP >Manes.06G158700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421215:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAILLLFLGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEVANNVGCYVGMKHR >Manes.06G158700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421051:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAILLLFLGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421344:28427670:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEVANNVGCYVGMKHR >Manes.06G158700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421051:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAILLLFLGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421215:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEVANNVGCYVGMKHR >Manes.06G158700.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421051:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAILLLFLGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421510:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAILLLFLGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421513:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421513:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421344:28427670:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAILLLFLGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEVANNVGCYVGMKHR >Manes.06G158700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421051:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421215:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEVANNVGCYVGMKHR >Manes.06G158700.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421215:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAILLLFLGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEVANNVGCYVGMKHR >Manes.06G158700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421051:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421344:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEVANNVGCYVGMKHR >Manes.06G158700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421344:28427567:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421217:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAGRGFEYQKIMDDKVNNGNGSERAIPSCCLKARASAPELDVKCHSTVVSGWFSESHFSSGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEIHSAAFALPTFLKREVRLLRHSPKLARGIHIP >Manes.06G158700.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28421344:28428654:-1 gene:Manes.06G158700.v8.1 transcript:Manes.06G158700.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAILLLFLGKARKRVYFNNPMWPGEAHSLEVKNILYKGKSDYQEILVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHGSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLQLAPEGKYDAVIVDSSDPVGPAQELVEKPFFQTIAKALRPGGVLCNMTESMWLHTHLIDDMISICREIFKGSVHYAWASVPTYPSGVIGFLICSTEGPLVDFLNPVNPIEKLKGAVKNKRELRFYNSEVANNVGCYVGMKHR >Manes.01G236700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39871541:39876496:-1 gene:Manes.01G236700.v8.1 transcript:Manes.01G236700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLQGFMSMSYPMQSTRHNMFLSSPFVGQKGGIGYPYSSDSGIQIGKINFPRKMHSTTRRFLTTSVLADVTKDFTNFQAPILEKPEADPKTVASIILGGGAGTRLFPLTNRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNSGNGVNFGEGFVEVLAATQTPGESGKRWFQGTADAVRQFIWLFENAKHRNIENILILSGDHLYRMDYMDFLQKHIDSGADISVSCLPVDDSRASDFGLVKIDEKGRITQFIEKPKGENLKSMRVDTTLLGLSVADARKFPYIASMGIYLFKTDVLLKLLRWHYPAANDFGSEIIPMAARDYNVRAYLFNDYWEDIGTIKSFFDANLALTDQPPKFHFYDPLKPIFTCPQFLPPSKIEKCRVKDSIISHGCFLRECSVEHSIVGIRSRLEYGVELKDTMMMGADYYQTEAERAASLAEGKVPIGVGQDTKIMNCIIDKNARIGKKVIIANKDHVEEAERSSEGFYIRSGITVILKNFIIKDGTII >Manes.01G236700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39871541:39876496:-1 gene:Manes.01G236700.v8.1 transcript:Manes.01G236700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLQGFMSMSYPMQSTRHNMFLSSPFVGQKGGIGYPYSSDSGIQIGKINFPRKMHSTTRRFLTTSVLADVTKDFTNFQAPILEKPEADPKTVASIILGGGAGTRLFPLTNRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNSGNGVNFGEGFVEVLAATQTPGESGKRWFQGTADAVRQFIWLFENAKHRNIENILILSGDHLYRMDYMDFLQKHIDSGADISVSCLPVDDSRASDFGLVKIDEKGRITQFIEKPKGENLKSMRVDTTLLGLSVADARKFPYIASMGIYLFKTDVLLKLLRWHYPAANDFGSEIIPMAARDYNVRAYLFNDYWEDIGTIKSFFDANLALTDQPPKFHFYDPLKPIFTCPQFLPPSKIEKCRVKDSIISHGCFLRECSVEHSIVGIRSRLEYGVELKDTMMMGADYYQTEAERAASLAEGKVPIGVGQDTKIMNCIIDKNARIGKKVIIANKDHVEEAERSSEGFYIRSGITVILKNFIIKDGTII >Manes.01G236700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39871292:39877146:-1 gene:Manes.01G236700.v8.1 transcript:Manes.01G236700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLQGFMSMSYPMQSTRHNMFLSSPFVGQKGGIGYPYSSDSGIQIGKINFPRKMHSTTRRFLTTSVLADVTKDFTNFQAPILEKPEADPKTVASIILGGGAGTRLFPLTNRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNSGNGVNFGEGFVEVLAATQTPGESGKRWFQGTADAVRQFIWLFENAKHRNIENILILSGDHLYRMDYMDFLQKHIDSGADISVSCLPVDDSRASDFGLVKIDEKGRITQFIEKPKGENLKSMRVDTTLLGLSVADARKFPYIASMGIYLFKTDVLLKLLRWHYPAANDFGSEIIPMAARDYNVRAYLFNDYWEDIGTIKSFFDANLALTDQPPKFHFYDPLKPIFTCPQFLPPSKIEKCRVKDSIISHGCFLRECSVEHSIVGIRSRLEYGVELKDTMMMGADYYQTEAERAASLAEGKVPIGVGQDTKIMNCIIDKNARIGKKVIIANKDHVEEAERSSEGFYIRSGITVILKNFIIKDGTII >Manes.01G236700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39871404:39877043:-1 gene:Manes.01G236700.v8.1 transcript:Manes.01G236700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLQGFMSMSYPMQSTRHNMFLSSPFVGQKGGIGYPYSSDSGIQIGKINFPRKMHSTTRRFLTTSVLADVTKDFTNFQAPILEKPEADPKTVASIILGGGAGTRLFPLTNRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNSGNGVNFGEGFVEVLAATQTPGESGKRWFQGTADAVRQFIWLFENAKHRNIENILILSGDHLYRMDYMDFLQKHIDSGADISVSCLPVDDSRASDFGLVKIDEKGRITQFIEKPKGENLKSMRVDTTLLGLSVADARKFPYIASMGIYLFKTDVLLKLLRWHYPAANDFGSEIIPMAARDYNVRAYLFNDYWEDIGTIKSFFDANLALTDQPPKFHFYDPLKPIFTCPQFLPPSKIEKCRVKDSIISHGCFLRECSVEHSIVGIRSRLEYGVELKDTMMMGADYYQTEAERAASLAEGKVPIGVGQDTKIMNCIIDKNARIGKKVIIANKDHVEEAERSSEGFYIRSGITVILKNFIIKDGTII >Manes.01G236700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39871292:39877158:-1 gene:Manes.01G236700.v8.1 transcript:Manes.01G236700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLQGFMSMSYPMQSTRHNMFLSSPFVGQKGGIGYPYSSDSGIQIGKINFPRKMHSTTRRFLTTSVLADVTKDFTNFQAPILEKPEADPKTVASIILGGGAGTRLFPLTNRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNSGNGVNFGEGFVEVLAATQTPGESGKRWFQGTADAVRQFIWLFENAKHRNIENILILSGDHLYRMDYMDFLQKHIDSGADISVSCLPVDDSRASDFGLVKIDEKGRITQFIEKPKGENLKSMRVDTTLLGLSVADARKFPYIASMGIYLFKTDVLLKLLRWHYPAANDFGSEIIPMAARDYNVRAYLFNDYWEDIGTIKSFFDANLALTDQPPKFHFYDPLKPIFTCPQFLPPSKIEKCRVKDSIISHGCFLRECSVEHSIVGIRSRLEYGVELKDTMMMGADYYQTEAERAASLAEGKVPIGVGQDTKIMNCIIDKNARIGKKVIIANKDHVEEAERSSEGFYIRSGITVILKNFIIKDGTII >Manes.01G236700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39871292:39876778:-1 gene:Manes.01G236700.v8.1 transcript:Manes.01G236700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADLQGFMSMSYPMQSTRHNMFLSSPFVGQKGGIGYPYSSDSGIQIGKINFPRKMHSTTRRFLTTSVLADVTKDFTNFQAPILEKPEADPKTVASIILGGGAGTRLFPLTNRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNSGNGVNFGEGFVEVLAATQTPGESGKRWFQGTADAVRQFIWLFENAKHRNIENILILSGDHLYRMDYMDFLQKHIDSGADISVSCLPVDDSRASDFGLVKIDEKGRITQFIEKPKGENLKSMRVDTTLLGLSVADARKFPYIASMGIYLFKTDVLLKLLRWHYPAANDFGSEIIPMAARDYNVRAYLFNDYWEDIGTIKSFFDANLALTDQPPKFHFYDPLKPIFTCPQFLPPSKIEKCRVKDSIISHGCFLRECSVEHSIVGIRSRLEYGVELKDTMMMGADYYQTEAERAASLAEGKVPIGVGQDTKIMNCIIDKNARIGKKVIIANKDHVEEAERSSEGFYIRSGITVILKNFIIKDGTII >Manes.16G045900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:8048703:8052527:-1 gene:Manes.16G045900.v8.1 transcript:Manes.16G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIQPQTGTGTGTVTAAGTGTVTANRNKSRRRSDLTLPLPQRDPKLAVPLPLPPTSSSAPSTSHQQTQQLSFSDLDRINRIGSGAGGTVYKVIHRPTGRLYALKVIYGNHEDSVRRQMCREIEILRGVNHPNVVKCHEFYEHNGEIQVLLEFVDGGSLEGTHIGLEAQLSDVARQILSGIAYLHRRKIVHRDIKPSNLLIDSRKNVKIADFGVSRILEQTMDPCNSSVGTIAYMSPERINTDLNHGQYDGFAGDIWSFGVSILEFFLGRFPFAVGRQGDWASLMCAICMSQPPEAPPTASRDFREFIACCLQREPARRWTPNQLLHHPFITRNNGGQREVNQNLHQLLPPPRPLSS >Manes.01G099800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30081127:30084172:-1 gene:Manes.01G099800.v8.1 transcript:Manes.01G099800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLKSNKGSSRPNNQTVSSHRPACNGQAWLPLVQMIHSHVEKCGFFGDLFVPNSLIDSYSKCGSLGVKSAMKLFVAMDEKDIVSWNSMIGGLVKAGDLSSARKLFDEMPVRDMVSWNTILDGYAKAGEMNEAFELFHKMPGRNVISWSTMVSGYSKAGDMEMAKLLFDKMPVKNIVPWTIIISGFAEKGLVKEAAKLYDQMEVTGLRPDDGTLISILAACAESGSLGLGKRVHASIKRARFKCTINVSNALVDMYAKCGSIDNALSVFNGMYKRDLVTWNCMLQGLAMHGHGKQALELFSRMQQEGFKPDKVTLIGVLCACTHAGFVDEGLCYFNTMERDYGIVPHIEHYGCMIDLLGRGGRLKEAFRLVQNMPMQPNAVIWGTLLGACRLHNAVALAELVQDRLVTHEPSEPGNYSMLSNIFAAAGDWNSVANMRLQMKSTGVQKASGASSIELDNEVHEFTVFDKSHPNSDTIYQMIDKLGHDLKQVDYVLQIDY >Manes.01G099800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30081105:30084151:-1 gene:Manes.01G099800.v8.1 transcript:Manes.01G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPQMSAPIRAPTWVSTRRLFEQSLQDLHKCTNLNQIKEVHAQIIKRYLHIDLYVAPKLISAFSLCHHMTLAVNVFNQIQDPNVHLYNTLIRAHVQNSQPSMAFAAFFDMQKNGVFADNFTYPFLLKACNGQAWLPLVQMIHSHVEKCGFFGDLFVPNSLIDSYSKCGSLGVKSAMKLFVAMDEKDIVSWNSMIGGLVKAGDLSSARKLFDEMPVRDMVSWNTILDGYAKAGEMNEAFELFHKMPGRNVISWSTMVSGYSKAGDMEMAKLLFDKMPVKNIVPWTIIISGFAEKGLVKEAAKLYDQMEVTGLRPDDGTLISILAACAESGSLGLGKRVHASIKRARFKCTINVSNALVDMYAKCGSIDNALSVFNGMYKRDLVTWNCMLQGLAMHGHGKQALELFSRMQQEGFKPDKVTLIGVLCACTHAGFVDEGLCYFNTMERDYGIVPHIEHYGCMIDLLGRGGRLKEAFRLVQNMPMQPNAVIWGTLLGACRLHNAVALAELVQDRLVTHEPSEPGNYSMLSNIFAAAGDWNSVANMRLQMKSTGVQKASGASSIELDNEVHEFTVFDKSHPNSDTIYQMIDKLGHDLKQVDYVLQIDY >Manes.02G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2091288:2096991:-1 gene:Manes.02G023900.v8.1 transcript:Manes.02G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSARWRSEKNKIKAVFKLHFHATQVSQLNVDALVISVVPGDVGKPTARLEKGIIREGGCRWEYPVYETVKFTEDARTGKINEKTYHFIVSTGSSKNSLVGEVSIDLANYAENTKVSNVSLPLKNSKSNGVLHVSIQRLQGNIDQRDEEETEDTNIKSQNRALNTLLSNNEAKGNKNNSNEVGPLHEAIHNGDSRTSSGSDITMSSSESSSGLNTPRALGLRNNEILRDSTSFLSSQSPASVPHKPIANASAKIYEEHQQSQWEWSADSDHGISTDDSIGSSVDNFTRERSEHTSDIEIEKLKDEIVAFARQVELSELELQTLRKQIVKESKRGQDLSREVTGLKEERDFLKAECEKLRAFQKCREGTRGINKLQFERGDARVLLEEIRQELNYEKDLNANLRLQLQKTQESNAELILAVKDLEEMLELEQKNRETPDPSNKSRSSENAASRSDTDDDEEQKALEKLVKEHRDAKETCLLEQRIIDLSSEMEMCRRDKDELEIQMEQLALDYEILKQEKHEMSYKLKQSELQDQLKLQYECSSFANINELEDQVESLENELKKQSEEYSESMVTINELKTYIKILEDELEKRTEGFEADLEAITNAKVEQEQRAIRAEEALRKTRWNNANTAESLQEEFKKLSMQMAATFDANEKVAVKSLAEANNLRLQKSQLEEMLQKANGDLQSLRDDYETKLHYLCNQLNLKKDQIEQMLVEIEDKRKQLEHQKFHEEELVGSFSQEIIWLKNEIEKFTIENNILSEQAEQKENMRVELEQLKTSIKHTEELVQKGNMERDELVSTLALMEEEGEKLMEELHRMKSLKDEREKAVKILQAEVESLTAQCNDLKSSLFEDELEKEKLRKQVFQLKSDIKKKDDAVTSMEKKLKESNKRTVVSDSTRMNLRNNKSVPVSHGTKEAANLREKIKLLEGQVKLKETALETSANSFLEKERDLLNKIEELEHRVEELNQKSVILSDNPCQKLPEDTIGNTSNGGLADDIRSTNENLSDTAWMSRENGNAKSLVKSNSAIISEKEVKTCDNSNGDCNTNELLSELESLKERNKLMENELKEMQERYSDISLKFAEVEGERQQLMMTLRHLKNSKKR >Manes.14G171100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28116624:28120508:1 gene:Manes.14G171100.v8.1 transcript:Manes.14G171100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISSNDPYAMNFRFIAADTLQKVIVLGVLALWTNLSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEYSGSLMVQIVVLQCIIWYTLMLFMFEYRGAKMLIAEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMMAAGRNSNFGSSDVYGLSASRGPTPRPSNYEEDGGGNKPRFHYHGSGGATHYPAPNPGMFSPTGSKGGVAANNAAGPKKPNGQAHMKAEDGGGRDLHMFVWSSSASPVSDVFGSHDYGAHDQKEVRLAVSPGKVEGHRENHQHHEDYMEREDFSFGNRGIEREMNNNNHQGEKIIGDEGKSKAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLISFRWHVEMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSIAAFAMAVRFLTGPAVMAAASIVVGLRGTLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYVLLGL >Manes.07G048300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5610517:5615282:-1 gene:Manes.07G048300.v8.1 transcript:Manes.07G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVMAVTAATNVATSCLLLKRTFSLNQRLHFTGFCFNGERQLAFSSSKRSLFTCTALHKPDVQIKEAGQPETLDYRVFFLDNSGKKVSPWHDIPLHLGDGVFNFVVEIPKDTSAKMEVATDEQFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANHEVEGAFGDNDPVDVVEIGERRGKIGEILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDADDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDYALKVITETNESWAKLVKRSIPAGELSLL >Manes.09G187700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37427234:37431579:-1 gene:Manes.09G187700.v8.1 transcript:Manes.09G187700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEQQLNLGQNTIDGHPTNGVDIRPLSIDGGDDGSKAPRLPRWTRQEILVLIQGKKVAENRVRRGRTVGMAFGSVQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDYKKIKEWESHIRDETESFWAMRNDLRREKKLPGFFDREVYDILDGVGDVSAVVPGLALALAPSREPAEDVEAVFDSGRSAAAEDGLFSDFEQEEAGGSPEKEPTAAVSAAKEVPPIKAAAAAAGIAAPIPLSEKQYHPALEADEAQGTTNEKQPTSNPEGGSASHESRKRKRFTTDGDEEAINVQNQLIDILEKNGKLLTAQLEVQNTNFQLEREQRKEHADGLVAVLNKLADALGKIADKL >Manes.09G187700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37427234:37431579:-1 gene:Manes.09G187700.v8.1 transcript:Manes.09G187700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEQQLNLGQNTIDGHPTNGVDIRPLSIDGGDDGSKAPRLPRWTRQEILVLIQGKKVAENRVRRGRTVGMAFGSVQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDYKKIKEWESHIRDETESFWAMRNDLRREKKLPGFFDREVYDILDGVGDVSAVVPGLALALAPSREPAEDVEAVFDSGRSAAAEDGLFSDFEQEEAGGSPEKEPTAAVSAAKEVPPIKAAAAAAGIAAPIPLSEKQYHPALEADEAQGIVVSYSFHSFSLIGLTVLFFSPLPLHIASCF >Manes.09G187700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37427234:37431579:-1 gene:Manes.09G187700.v8.1 transcript:Manes.09G187700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEQQLNLGQNTIDGHPTNGVDIRPLSIDGGDDGSKAPRLPRWTRQEILVLIQGKKVAENRVRRGRTVGMAFGSVQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDYKKIKEWESHIRDETESFWAMRNDLRREKKLPGFFDREVYDILDGVGDVSAVVPGLALALAPSREPAEDVEAVFDSGRSAAAEDGLFSDFEQEEAGGSPEKEPTAAVSAAKEVPPIKAAAAAAGIAAPIPLSGNWSRRLSEYQFIVLGLLGLFFVLFFALKEAEFIRGLCNNCGWSQFLIGFIIQFVFPLSLTMDFRYIFLSEMNDFIKLVVGL >Manes.16G077500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28237019:28241594:1 gene:Manes.16G077500.v8.1 transcript:Manes.16G077500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVVPLEEGKESMLPMKPSQPKAFPLRLLRLFLLFLALCIAFSIISIYTIKRFGINNAVPTVKTSLQPCLEEHHALNSWIRPPANLLHKMNDEELLWRASFVTRIKKYPFKRVPKIAFMFLAKGPLPLAPLWERFLKGHDGLYSIYIHSLPTFDAKFPPSSVFHGRHIPSQISEWGKMSMCDAERRLLANALLDISNEWFILLSESCIPLYNFSVIYHYIMKSKYSFIGSFDDHGPYGRGRYNESMAPEVNITQWRKGSQWFEINRRLAITIVEDTTYYKKFEEFCKPHCYVDEHYFPTMLTIQASHLLANRSLTWVDWSRGGAHPATFGRSDISDDFFRRIYEGQNCTYNNQPSSMCYLFGRKFAPSALDPLLHISPKVLGF >Manes.16G077500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28237066:28241280:1 gene:Manes.16G077500.v8.1 transcript:Manes.16G077500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVVPLEEGKESMLPMKPSQPKAFPLRLLRLFLLFLALCIAFSIISIYTIKRFGINNAVPTVKTSLQPCLEEHHALNSWIRPPANLLHKMNDEELLWRASFVTRIKKYPFKRVPKIAFMFLAKGPLPLAPLWERFLKGHDGLYSIYIHSLPTFDAKFPPSSVFHGRHIPSQISEWGKMSMCDAERRLLANALLDISNEWFILLSESCIPLYNFSVIYHYIMKSKYSFIGSFDDHGPYGRGRYNESMAPEVNITQWRKGSQWFEINRRLAITIVEDTTYYKKFEEFCKPHCYVDEHYFPTMLTIQASHLLANRSLTWVDWSRGGAHPATFGRSDISDDFFRRIYEGQNCTYNNQPSSMCYLFGRKFAPSALDPLLHISPKVLGF >Manes.S042016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1219465:1271532:-1 gene:Manes.S042016.v8.1 transcript:Manes.S042016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGPNSTEFVVGVEVLTAELDGNISTTKSQSPVHHLETRTSARAQHTNAMPTPCTSVHLTRPSIGINHRIISLNCHQWPWNGPHRARDGPHRVHHAREFFSMLNREVEVERGLTSLFACYTDAHIWPSACPGPGLAGPPRGVTTHTPLKSLRNKLSCARRKHHNV >Manes.04G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27148019:27152335:-1 gene:Manes.04G071800.v8.1 transcript:Manes.04G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVIGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVEERVNNPKKSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSKTFAERVSNIVKSFGLSSAS >Manes.09G038250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7175108:7175779:1 gene:Manes.09G038250.v8.1 transcript:Manes.09G038250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVTLALGAAIVSILRLSFLFDGVTLLVKFGISFHFCAGFPFTSSSLPSPLAIDSSNSLVLYSNL >Manes.01G147000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33705357:33710217:-1 gene:Manes.01G147000.v8.1 transcript:Manes.01G147000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEGIANAKAASLRWGILRQALRRRSPARNSGSQRMDGRADLSVVTILTTLGLSLVYISINRFLIWCQWPSEEVLAYFCLSHADMSKRVIELGSGYGLAGLVIAATTEALEHNIDANSGAFGGTKVRTMALHWNQEEASSISNSFDIIVASDCTFFKEFHKGLASIFISPKRGDSLDKFLQEIEENGLHYCLTENYDTEVWMRHQGP >Manes.05G153600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26496174:26498746:1 gene:Manes.05G153600.v8.1 transcript:Manes.05G153600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP10 MTSLASWSEGINLWALLEICSLILKSISTSKFGKIIHCDDRNKLTTYKTLSTWNLDCKAEKKMRWDLVVISVVGLILSFAEISSGGLTSSYVRNDEASEDMPLDSDVFAVPPGYNAPQQVHITQGDYEGNSVIISWVTPDEPGSDEVLYWPDNSGLRIIAKSFHVRYKYYNYTSGYIHHCPIKNLEFDTKYNYVVGIGNTTRQFWFTTPPKSGPDVPYTFGLIGDLGQTYDSNRTLTHYERNPAKGQAVLYVGDLSYADHYPFHNNIRWDTWGRFTERNVAYQPWIWSAGNHEIDWAPEIGEPKPFKPFTHRYHTPYEASNSTSPLWYSIKRASAYVIVVSTYSALGTYTPQYFWIERELPKVDRNETPWLIVIMHGPMYNSYESHYMEGENMRVQYEKLFVQHNVDVVFSGHVHAYERSEPVSNIEYNITNKKCSPKIDQTAPVYITIGDGGNIEGLSINMTEPQPNYSAFREASFGHGILEIKNRTHAHWSWYRNQDGYFVNADSTWLSNRYHVSSEELSVSESCDEKASS >Manes.05G153600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26496174:26498746:1 gene:Manes.05G153600.v8.1 transcript:Manes.05G153600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP10 MTSLASWSEGINLWALLEICSLILKSISTSKFGKIIHCDDRNKLTTYKTLSTWNLDCKAEKKMRWDLVVISVVGLILSFAEISSGGLTSSYVRNDEASEDMPLDSDVFAVPPGYNAPQQVHITQGDYEGNSVIISWVTPDEPGSDEVLYWPDNSGLRIIAKSFHVRYKYYNYTSGYIHHCPIKNLEFDTKYNYVVGIGNTTRQFWFTTPPKSGPDVPYTFGLIGDLGQTYDSNRTLTHYERNPAKGQAVLYVGDLSYADHYPFHNNIRWDTWGRFTERNVAYQPWIWSAGNHEIDWAPEIGEPKPFKPFTHRYHTPYEASNSTSPLWYSIKRASAYVIVVSTYSALGTYTPQYFWIERELPKVDRNETPWLIVIMHGPMYNSYESHYMEGENMRVQYEKLFVQHNVDVVFSGHVHAYERSVRTCVEYRIQYHKQEMQS >Manes.02G132900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10087852:10090801:-1 gene:Manes.02G132900.v8.1 transcript:Manes.02G132900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQANHQALLLIPKMVKKPPLKALSLFNSSIEQGVHPTHQSIAFILHHLLSSNMLSHAQSFLLQLISNRISSPFFTVSSLLHHLTQPISHPSPITGALLYESIINAYVLSRSTERALFYFNQMVDKGLVPRPNTFNNLLDYLVKSSCFEKSWLFFHESKGKIKLDVYSYGIMIKGCCEAGDLNKGFELLVQSEEMGISPNVVIYTTLIDGCCKNKDVQRAKLLFYKMGELGLAANQYTYTVLINGFFKKGLKKDGFELYEKMQLDGVLPNLYTYNCVINEYCNEGKINKAYELFDEMCKRGVTSNAVTYNTLIGGLCREKRVWEAENLVGQMKGAGINPNLVTYNTLIHGFCSIGKLDKALCLFDRLKSNGLSPSLVTYNVLIGGYSNAGNWVRVTDIVREMEERGISPSKVTYTILINAHVRLEDMDKAFHFYSSMQKVGLVPDVHTYGVLLHGLCMKGKMNEASKLFRSIAEIKLEPSDVMYNTMINGYCKEGSSFRALRLLEEMEGKGLVPNVASCSSTIGVLCKDGKWQEAEVLLNKMMELGLKPPIYIYNIISEAKYGLELRT >Manes.01G030500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6074899:6077805:1 gene:Manes.01G030500.v8.1 transcript:Manes.01G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYMPLFRTYANVRQLTQLHAHLFVTGLHHDPQASTKLIESYAQMGSLHSSRLAFQTFHNPDSFMWGVLIKCHIWSHFFGEAISLYNEMLYNEIRMNEFIFSSVLRACAGFGDLRIGEKVHGKVIKCGFDIDAIVETSLLGMYGDLGCTGDARKVFDNMSVRDLVSWSSIISSYVDNGEANEGLEMFRLLILKGFELDSVTMLSVAEACGELGFLRLARSLHGYTVRRRIGSPGPLDGSLVVMYSKCGDLASAERIFVCMFNQSVASWTAMISCYNRGGRFKEALEVFADMLESKVEPNAVTIMAVLGSCAGLSLFKEGKSIHCYVAKHMDLDDDSLGPALIELYAKCGQFRHCENVLQTTGKRNIVSWNMLISVYASHGLFLEALALIVQMQIQGLIPDSFTLSSSISACANVGFLQLGHQLHGYAIKRHILDEFVLNSLIDMYSKCGLMDLAYLLFYSIQFRSVVAWNTMICGFCQNGYSSEAINLFDQMYLNCLDMNEVTFLAAIQACSQMGHLEKGKWLHHRLITYGIKKDLYIDTALIDMYAKCGDLRTARGVFNSMSERNVVSWSVMIAGYGMHGNADASISLFTQMVQLGIKPNNITFMNILSACSHAGYVEEGKFYFNLMKDYGVQPSSEHFACLVDLLSRVGNLSEAYRMIKSMPFPADASIWGALVNGCRIHQSIDMIRRIERDLLDTKTDDTGYYTLLSNIYAEEGNWKESRKVRSVMKRIGLKKVPGYSTIELEKKVYRFGAGDVSHWQVKEIYSFLENFQSLALEQACNLSSWSNNEIQAECITNL >Manes.01G012500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3517923:3520987:-1 gene:Manes.01G012500.v8.1 transcript:Manes.01G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVVTGNGGGGRIICNYPTRNHSYNHRLLHLPQILFPFPPRRALHIVAAKKLSSRTGRFDSKNRRSSTTTRDQEDEGEGEGVAEIERTAGSEDFSAREVQNVGTSTVDVEDDGYFLPKLPGDDPDFWEGPQWDALGFFVQYLWAFGIGFALIACGIAVATYNEGATDFKETPAYKESIQSRELLEGPEGSNSDVFESNPTEVAPSLE >Manes.17G074400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27507095:27511817:1 gene:Manes.17G074400.v8.1 transcript:Manes.17G074400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFLTSLGTSFVIFVILMLLFTWLSRKPGNTVVYYPNRILKGLDPWEGGSRTRNPFAWVREAVSSSEEDVINMSGVDTAVYFVFLSTVLSILVLYGIILLPVLLPLAATEKRVYVTNSTSEGTFNELDKLSMGHVKEKSPRLWAFLIATYWVSFVTYFLLWKAYKHVSGLRAKALMSPEAKAEQFAVLVRDIPPPPEGQTIKEQVDSYFKSIYPETFYRSMVVTNNKEVNKIYEELEGYKKKLARAEAIYTKSKELGKPEGSRPSMRTGFLGLIGKEVDSIEYFNEKIKELVPKLEAAQKVTLREKQLRSALIFFNSRVAAASASQSLHAQMVDKWTVTEAPEPRQLLWSNLKVKFFERVIRQYVIYIIVALTILFYMIPIGLISALTTLDNLKKFLPFLKPIINIDAIKIVLEAYLPQIALIVFLALLPKLLLFLSKAEGIPSVSHAVRATSGKYFYFTVLNVFLGVTIGGTLFRTFKKIQKDPNSLVDLLGNGLPGNATFFLTFVALKFFVGYGLELSRLVPLIIYHLKRKYLCKTEAELKEAWAPGDFGYATRVPGDMLIITIVLCYSVIAPLIIPFGVVYFGLGWLVLRNQALKVFVPSFESYGKMWPHMHTRILASLLLFQITMLGFFGLKKFYYTPFLIPLPIISLIFVYVCWKKFYRSFHNTPLEVACHELKEVPNMEQIFRSFIPPSLNSEKADDEQFEDALSQVSRMGSFA >Manes.09G105200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30632632:30636592:1 gene:Manes.09G105200.v8.1 transcript:Manes.09G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVVAVYGNGAITETKKSPFSVKVGLAQMLRGGVIMDVVNPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQSVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPDMLAEVSCGLGEAMVGINLNDKKVERFANRSE >Manes.08G132600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36989672:36996907:-1 gene:Manes.08G132600.v8.1 transcript:Manes.08G132600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFPEQKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQDIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRALVKSMLRKNPELRPSAAELLRHPYLEPYVHKVHLKINSPRHNELPVQWPESNYIKKTRFAEPEDLPLKSHSKKRHSFSNDRALNPNISVGEQDLMYSTKGIHRRLSELSIGSSNEGTVICKPIIASKPSNIAKTSKLATGKASATTTRQTEPVKKRESLPITRTPTKKSVSTNRRASFPLPARSTNIGILRDIKSPDVSVNAPRIDRIAEFPLASYEETFFPIRRASSISAQGSCGTPHHGDRSITKDKCTVQVHRISSKPSFNDAWEGIERGMFQVNEDEGSNSSNQNATAGASSHTSSDTRRHRFDTSSFQQRAEALEGLLEFSARLLQEERYEELCVLLKPFGPGKASPRETAIWLTKSFKENTLKPEDL >Manes.08G132600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36989672:36996862:-1 gene:Manes.08G132600.v8.1 transcript:Manes.08G132600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFPEQKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQDIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRALVKSMLRKNPELRPSAAELLRHPYLEPYVHKVHLKINSPRHNELPVQWPESNYIKKTRFAEPEDLPLKSHSKKRHSFSNDRALNPNISVGEQDLMYSTKGIHRRLSELSIGSSNEGTVICKPIIASKPSNIAKTSKLATGKASATTTRQTEPVKKRESLPITRTPTKKSVSTNRRASFPLPARSTNIGILRDIKSPDVSVNAPRIDRIAEFPLASYEETFFPIRRASSISAQGSCGTPHHGDRSITKDKCTVQVHRISSKPSFNDAWEGIERGMFQVNEDEGSNSSNQNATAGASSHTSSDTRRHRFDTSSFQQRAEALEGLLEFSARLLQEERYEELCVLLKPFGPGKASPRETAIWLTKSFKENTLKPEDL >Manes.08G132600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36989672:36996835:-1 gene:Manes.08G132600.v8.1 transcript:Manes.08G132600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFPEQKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQDIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRALVKSMLRKNPELRPSAAELLRHPYLEPYVHKVHLKINSPRHNELPVQWPESNYIKKTRFAEPEDLPLKSHSKKRHSFSNDRALNPNISVGEQDLMYSTKGIHRRLSELSIGSSNEGTVICKPIIASKPSNIAKTSKLATGKASATTTRQTEPVKKRESLPITRTPTKKSVSTNRRASFPLPARSTNIGILRDIKSPDVSVNAPRIDRIAEFPLASYEETFFPIRRASSISAQGSCGTPHHGDRSITKDKCTVQVHRISSKPSFNDAWEGIERGMFQVNEDEGSNSSNQNATAGASSHTSSDTRRHRFDTSSFQQRAEALEGLLEFSARLLQEERYEELCVLLKPFGPGKASPRETAIWLTKSFKENTLKPEDL >Manes.08G132600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36989672:36996835:-1 gene:Manes.08G132600.v8.1 transcript:Manes.08G132600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFPEQKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQDIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRALVKSMLRKNPELRPSAAELLRHPYLEPYVHKVHLKINSPRHNELPVQWPESNYIKKTRFAEPEDLPLKSHSKKRHSFSNDRALNPNISVGEQDLMYSTKGIHRRLSELSIGSSNEGTVICKPIIASKPSNIAKTSKLATGKASATTTRQTEPVKKRESLPITRTPTKKSVSTNRRASFPLPARSTNIGILRDIKSPDVSVNAPRIDRIAEFPLASYEETFFPIRRASSISAQGSCGTPHHGDRSITKDKCTVQVHRISSKPSFNDAWEGIERGMFQVNEDEGSNSSNQNATAGASSHTSSDTRRHRFDTSSFQQRAEALEGLLEFSARLLQEERYEELCVLLKPFGPGKASPRETAIWLTKSFKENTLKPEDL >Manes.08G132600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36989672:36996875:-1 gene:Manes.08G132600.v8.1 transcript:Manes.08G132600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFPEQKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQDIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFAAELLRHPYLEPYVHKVHLKINSPRHNELPVQWPESNYIKKTRFAEPEDLPLKSHSKKRHSFSNDRALNPNISVGEQDLMYSTKGIHRRLSELSIGSSNEGTVICKPIIASKPSNIAKTSKLATGKASATTTRQTEPVKKRESLPITRTPTKKSVSTNRRASFPLPARSTNIGILRDIKSPDVSVNAPRIDRIAEFPLASYEETFFPIRRASSISAQGSCGTPHHGDRSITKDKCTVQVHRISSKPSFNDAWEGIERGMFQVNEDEGSNSSNQNATAGASSHTSSDTRRHRFDTSSFQQRAEALEGLLEFSARLLQEERYEELCVLLKPFGPGKASPRETAIWLTKSFKENTLKPEDL >Manes.03G162800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28937012:28938693:-1 gene:Manes.03G162800.v8.1 transcript:Manes.03G162800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGEGEDVAEEKVMDISLKELAKKLEEFAKARDWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVDKGLPNWEESDKEHLGEELSDVLLYLIRLADICGIDLGDAATKKIVKNSIKYPPKIC >Manes.03G162800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28936983:28938276:-1 gene:Manes.03G162800.v8.1 transcript:Manes.03G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGEGEDVAEEKVMDISLKELAKKLEEFAKARDWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVDKGLPNWEESDKEHLGEELSDVLLYLIRLADICGIDLGDAATKKIVKNSIKYPPKIC >Manes.09G029400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6218069:6221542:1 gene:Manes.09G029400.v8.1 transcript:Manes.09G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYSNFFTGWFKFNNNNPLHHQSPSLNPTPSTPPPQLSYTHHNLYTNPFTLNQNQTPSPHYQNPPPSPPLREALPLLRLSPPRDHDKEQEQGDDEDQEQMSCTDKDRGMSLFSSSVNNEDDDETVTVALHIGLPSPSAAEMASVLSSSSEITDKDGDGDESVFPMSRLNKGQYWIPTPTQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYRRKHGIKPFMCRKCGKSFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGIDGFEEEDEPASEVEQDNESMQ >Manes.06G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20304102:20306346:-1 gene:Manes.06G066800.v8.1 transcript:Manes.06G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSFKVEMLLTVFSLALLLPVIHGWGSDGHFIICKIAQSRMSEAAADAVEQLLAESAENDLASLCSWADNVRFRYRWSAPLHYINTPDLCNYQYSRDCKDEDGETGRCVAGAINNYTSQLLTYINASSLQTEYNLTEALLFLSHFMGDIHQPLHVGFASDKGGNTIDVHWYTRKQVLHHVWDSSIIETDEERSYNSNVDDMIDAIQQNITTEWSDLVQRWETCSRNKPTCPDIYASEGIKAACDWAYKGAPEGSVLEDDYFLSRRSIVTLRLAQGGVRLAATLNRIFK >Manes.06G066800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20304102:20306346:-1 gene:Manes.06G066800.v8.1 transcript:Manes.06G066800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSFKVEMLLTVFSLALLLPVIHGWGSDGHFIICKIAQSRMSEAAADAVEQLLAESAENDLASLCSWADNVRFRYRWSAPLHYINTPDLCNYQYSRDCKDEDGETGRCVAGAINNYTSQLLTYINASSLQTEYNLTEALLFLSHFMGDIHQPLHVGFASDKGGNTIDVHWYTRKQVLHHVWDSSIIETDEERSYNSNVDDMIDAIQQNITTEWSDLVQRWETCSRNKPTCPDIYASEGIKAACDWAYKGAPEGSVLEDDYFLSRRSIVTLRLAQDSCMCNSEKQQQK >Manes.17G080400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28544525:28549510:1 gene:Manes.17G080400.v8.1 transcript:Manes.17G080400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSFHLCLSLCTCHRKRVKEREIFFFNSKIRTKMESFESNLIKDAHQIDNPHITNNADHGWQKVTYAKRQRKQKQVDSASSAANAVNVNGTVVPKDKSNVFRSLEQQSEERRRRIIESQRAAIAAVEAPVRSKHRSDDEDEDEEDSDDAALPKGNEKVEEKKVKQKKPKKPQVTLAEAAAKIDSADLAAFLADISASYEGQQDILLMRFADYFGRAFSAVSSAQFPWVKLFRENTVSKMIDVAIFVVLAMVLRRKPDALLNVLPTLRESSKYKGQDKLPVVAWMIAQVSQGDLTVGLYSWAHNLLPIVSGKSSNPQSRDIILQLAEKILSYPKARTILVSGAVRKGERLVPPFALEMLLRVTFPLPSATVKATERILAVYPTLREVALAGSTGSKAMKQVALQLLSFAIKAAGESNPELSKEAAGICIWCLTQNNECYKLWDKVYQENLEASIAILKKMSEEWKEVSVKLAPLDTLSVTVKNFRQKNEKALANGEDAARQSFYRDADKYCKLILGKLSRGHYCTKSMAFAVIAVAVGAAFLSPDMESLDWKKLVVVVNSQFSS >Manes.17G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28544525:28549510:1 gene:Manes.17G080400.v8.1 transcript:Manes.17G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSFHLCLSLCTCHRKRVKEREIFFFNSKIRTKMESFESNLIKDAHQIDNPHITNNADHGWQKVTYAKRQRKQKQVDSASSAANAVNVNGTVVPKDKSNVFRSLEQQSEERRRRIIESQRAAIAAVEAPVRSKHRSDDEDEDEEDSDDAALPKGNEKVEEKKVKQKKPKKPQVTLAEAAAKIDSADLAAFLADISASYEGQQDILLMRFADYFGRAFSAVSSAQFPWVKLFRENTVSKMIDIPLSHISDAVYKTSVDWINQRSIEALGSFVLWSLDSILADLASQQVGTKAAKKGVQHVSSKSQVAIFVVLAMVLRRKPDALLNVLPTLRESSKYKGQDKLPVVAWMIAQVSQGDLTVGLYSWAHNLLPIVSGKSSNPQSRDIILQLAEKILSYPKARTILVSGAVRKGERLVPPFALEMLLRVTFPLPSATVKATERILAVYPTLREVALAGSTGSKAMKQVALQLLSFAIKAAGESNPELSKEAAGICIWCLTQNNECYKLWDKVYQENLEASIAILKKMSEEWKEVSVKLAPLDTLSVTVKNFRQKNEKALANGEDAARQSFYRDADKYCKLILGKLSRGHYCTKSMAFAVIAVAVGAAFLSPDMESLDWKKLVVVVNSQFSS >Manes.04G064632.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25764337:25772799:1 gene:Manes.04G064632.v8.1 transcript:Manes.04G064632.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIAIIGAGLSGLLACRYTFSKGFHPIVFEATSSIGGVWTKTVETTKLQTPKPFYQFSDFPWPDSVTDDFPNQHQVLDYIESYAQRFDLLKHIEFNTKVVGINYEGPSQEEMESWSLWGGTGEPFSSRGKWKVQVQDTQTLSTEEYLVDFVILCIGRFSDVPNVPEFSPGKGPEAFHGEVIHAVDYFNMDYQSARKFLKGKRVTVVGFQKSALDIASECAEANGVEHPCRVLYRTEHWTVPDYLPWGVSLAYFYLNRFAELLVHKPGEGFLLSLLPTILSPLRWAFAKFMETHCKNKLPLAKFGMVPKHSFLQEINSCTLSTVPDKFYDKVEEGSILLKKAPSFSFCKEGIKVDGEDQLLETDLVILATGFRGDEKLRDIFLSKFFQECIVGSPTSAIPLYRECIHPQIPQLAVLGFSESISNLFTSEMRSRWVAELLDGTFKMPSIKEMEEDVKKWDQYKKRYSRSYYRRSCIGALHIWYNDQLCKDMGWNPKRKKGFFAELFEPYGPMDYVSPS >Manes.04G064632.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25764337:25772364:1 gene:Manes.04G064632.v8.1 transcript:Manes.04G064632.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIAIIGAGLSGLLACRYTFSKGFHPIVFEATSSIGGVWTKTVETTKLQTPKPFYQFSDFPWPDSVTDDFPNQHQVLDYIESYAQRFDLLKHIEFNTKVVGINYEGPSQEEMESWSLWGGTGEPFSSRGKWKVQVQDTQTLSTEEYLVDFVILCIGRFSDVPNVPEFSPGKGPEAFHGEVIHAVDYFNMDYQSARKFLKGKRVTVVGFQKSALDIASECAEANGVEHPCRVLYRTEHWTVPDYLPWGVSLAYFYLNRFAELLVHKPGEGFLLSLLPTILSPLRWAFAKFMETHCKNKLPLAKFGMVPKHSFLQEINSCTLSTVPDKFYDKVEEGSILLKKAPSFSFCKEGIKVDGEDQLLETDLVILATGFRGDEKLRDIFLSKFFQECIVGSPTSAIPLYRECIHPQIPQLAVLGFSESISNLFTSEMRSRWVAELLDGTFKMPSIKEMEEDVKKWDQYKKRYSRSYYRRSCIGALHIWYNDQLCKDMGWNPKRKKGFFAELFEPYGPMDYVSPS >Manes.04G064632.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25764752:25770520:1 gene:Manes.04G064632.v8.1 transcript:Manes.04G064632.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIAIIGAGLSGLLACRYTFSKGFHPIVFEATSSIGGVWTKTVETTKLQTPKPFYQFSDFPWPDSVTDDFPNQHQVLDYIESYAQRFDLLKHIEFNTKVVGINYEGPSQEEMESWSLWGGTGEPFSSRGKWKVQVQDTQTLSTEEYLVDFVILCIGRFSDVPNVPEFSPGKGPEAFHGEVIHAVDYFNMDYQSARKFLKGKRVTVVGFQKSALDIASECAEANGVEHPCRVLYRTEHWTVPDYLPWGVSLAYFYLNRFAELLVHKPGEGFLLSLLPTILSPLRWAFAKFMETHCKNKLPLAKFGMVPKHSFLQEINSCTLSTVPDKFYDKVEEGSILLKKAPSFSFCKEGIKVDGEDQLLETDLVILATGFRGDEKLRDIFLSKFFQECIVGSPTSAIPLYRECIHPQIPQLAVLGFSESISNLFTSEMRSRWVAELLDGTFKMPSIKEMEEDVKKWDQYKKRYSRSYYRRSCIGALHIWYNDQLCKDMGWNPKRKKGFFAELFEPYGPMDYVSPS >Manes.04G064632.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25764659:25770541:1 gene:Manes.04G064632.v8.1 transcript:Manes.04G064632.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIAIIGAGLSGLLACRYTFSKGFHPIVFEATSSIGGVWTKTVETTKLQTPKPFYQFSDFPWPDSVTDDFPNQHQVLDYIESYAQRFDLLKHIEFNTKVVGINYEGPSQEEMESWSLWGGTGEPFSSRGKWKVQVQDTQTLSTEEYLVDFVILCIGRFSDVPNVPEFSPGKGPEAFHGEVIHAVDYFNMDYQSARKFLKGKRVTVVGFQKSALDIASECAEANGVEHPCRVLYRTEHWTVPDYLPWGVSLAYFYLNRFAELLVHKPGEGFLLSLLPTILSPLRWAFAKFMETHCKNKLPLAKFGMVPKHSFLQEINSCTLSTVPDKFYDKVEEGSILLKKAPSFSFCKEGIKVDGEDQLLETDLVILATGFRGDEKLRDIFLSKFFQECIVGSPTSAIPLYRECIHPQIPQLAVLGFSESISNLFTSEMRSRWVAELLDGTFKMPSIKEMEEDVKKWDQYKKRYSRSYYRRSCIGALHIWYNDQLCKDMGWNPKRKKGFFAELFEPYGPMDYVSPS >Manes.04G064632.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25764337:25772379:1 gene:Manes.04G064632.v8.1 transcript:Manes.04G064632.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQIAIIGAGLSGLLACRYTFSKGFHPIVFEATSSIGGVWTKTVETTKLQTPKPFYQFSDFPWPDSVTDDFPNQHQVLDYIESYAQRFDLLKHIEFNTKVVGINYEGPSQEEMESWSLWGGTGEPFSSRGKWKVQVQDTQTLSTEEYLVDFVILCIGRFSDVPNVPEFSPGKGPEAFHGEVIHAVDYFNMDYQSARKFLKGKRVTVVGFQKSALDIASECAEANGVEHPCRVLYRTEHWTVPDYLPWGVSLAYFYLNRFAELLVHKPGEGFLLSLLPTILSPLRWAFAKFMETHCKNKLPLAKFGMVPKHSFLQEINSCTLSTVPDKFYDKVEEGSILLKKAPSFSFCKEGIKVDGEDQLLETDLVILATGFRGDEKLRDIFLSKFFQECIVGSPTSAIPLYRECIHPQIPQLAVLGFSESISNLFTSEMRSRWVAELLDGTFKMPSIKEMEEDVKKWDQYKKRYSRSYYRRSCIGALHIWYNDQLCKDMGWNPKRKKGFFAELFEPYGPMDYVSPS >Manes.15G119800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9529676:9532969:-1 gene:Manes.15G119800.v8.1 transcript:Manes.15G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINSPLNFYHVPENTPFHCYIVNITVEHSFWQSENPLTQALPLLAWQLSVVIIINRVLFYLFKPLGTPRIVTDILAGIMIGPSCLSKTRFFAVMFPLRSIFIVETVAYWALACHLFLAGLEMDVASIFRLGNKSIRLAFVATLLPFIIGIALYFISVDARGDSHSNIGCIFWGAALTVTSYPVVGRVLADQKLLHTDIGRLAMSVSTVSELFTWVLLAVLIPARVNALNAVLSLVATAGFAILCFAVVRPALANIICKTSKGNKYSEYYLCFILVSVSFFSLISDMLGTTSIVGAFIFGLIMPNRELASALLETFEDFVTDYLMPLFFSSLGIRLEIWKISHWTLAFLLIILCCGAKIVSIFLASYYYKMPSQDGFALGVLMNTKGILALIILYLGFDKGLLEKEEYVIMVLAILLMTGVVPSITSSIYCPNKRLSKYKQRMIQKARPDAEFKILACFQSNRNVSGIINILDCSNASEESPLNVFALHLVELTGRASAVLIVHKPKDKNSYSEQIINSLETYANLNEQLVTIHPLTALSPLTTMHEDICSLAEDKEVNFMILPFHKLPTPDGELEEEGSTSFRGVNLNVLADAPCTVGIFVDRGFGVNEESNLAMRQIAMLFIGGPDDREALSYARRMSMGHGVCLTVVRFIPGEGMDVEAAQAPARDDSGRSLAVLTYMDKQRRLDDEVVNEFRLKSAGQQFMGYEEKWVNDDEELIAALRAMHHIYDLYVVGRGQGMTSTLTAGLMDWCEYPELGALGDLLVTSSFAQGSVIVMQQYTGYGYEFEDEDEDEDDNEDGSGTVNMADSSRNGRVQFSDWGAGSEESDHVHGGLDEVEPLSLRK >Manes.18G080566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7415896:7416435:-1 gene:Manes.18G080566.v8.1 transcript:Manes.18G080566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKDIIRENVLRYLPAKSLLRCSCVCQDWKNYISSPFFAHSQSNYFHQASGFFCQSPSSLLPSFISLDPMAYGVPDPSLRFLPEPVEVRCSSNGLLCCQGQGTGYKPYYICNPVTQKWKKLPKPYANHGSDPALVLVFEPPLEKFVVEYRLICALQSDTVGYRFSADRRWWKSFVCRR >Manes.09G069301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11437947:11445618:-1 gene:Manes.09G069301.v8.1 transcript:Manes.09G069301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTTNGMLSTSSASGNSQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYAHGKTVTQVTLANLKDKPALSTPTAAPSSFSASSGVRSAAARLLGASNGSRALSIVGGNGGSKTVSSSSGSRIGSLGSSSNSMINPNFDGKGTYLVFNVGDTIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQEAKDGHDLLIGLSSGDVYSVSLRQQLQDVGKKLVGAHHYNKDGSVNSSRCTSIAWVPGGDGAFVVSHVDGNLYVYEKSKDGAGDSSFPIIKDLTQFSVAHARYSKCNPIARWHICQGSINSITFSTDGACLATVGRDGYLRVFDYSREQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVTFDSYWSSPTSDGTGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTFSTGSQSSHWESAVPLGTLQPAPSMRDVPKLSPVVAHRVHTEPLSGLMFTQESVLTVCREGQIKVWMRPGATETQSSNSESILSSSLKDKPSFSIKVGSSSHKQ >Manes.18G108100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10685075:10686310:-1 gene:Manes.18G108100.v8.1 transcript:Manes.18G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRILDYTLVPLGLAIMVAYHIWLFYRIMNHPSKTVIGVNTINRRFWVQAMMEDSAKNGVLAVQTLRNNIMASTLLASTAIMLSSLIAVLMTGVTRADGRSWIFEYGDTSELGLSIKFFSILVCFLVAFLLNVQSIRYYSHASILINVPFKHMLPDRNHHHLTAEYVARSVNRGCYFWSLGLRAFYFSFPLFLWIFGPIPMFMCCVVLVFMLYFLDVTFECSWAVYDHRDQEIETQNQI >Manes.02G051300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4107893:4112067:1 gene:Manes.02G051300.v8.1 transcript:Manes.02G051300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCTCKWFDDLAKRVLWKEFCRARAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSKGGLFNSIHIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGIFKSFSMSKVRKMLIKKGAQLHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGSYEDCVEYYVCLNGHVLGICTLLPLSDSEEASELE >Manes.02G051300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4108425:4112036:1 gene:Manes.02G051300.v8.1 transcript:Manes.02G051300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCTCKWFDDLAKRVLWKEFCRARAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSKGGLFNSIHIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGIFKSFSMSKVRKMLIKKGAQLHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGSYEDCVEYYVCLNGHVLGICTLLPLSDSEEASELE >Manes.02G051300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4108425:4112036:1 gene:Manes.02G051300.v8.1 transcript:Manes.02G051300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCTCKWFDDLAKRVLWKEFCRARAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSKGGLFNSIHIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGIFKSFSMSKVRKMLIKKGAQLHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGSYEDCVEYYVCLNGHVLGICTLLPLSDSEEASELE >Manes.02G051300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4107893:4112067:1 gene:Manes.02G051300.v8.1 transcript:Manes.02G051300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCVHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCTCKWFDDLAKRVLWKEFCRARAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSKGGLFNSIHIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGIFKSFSMSKVRKMLIKKGAQLHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGSYEDCVEYYVCLNGHVLGICTLLPLSDSEEASELE >Manes.02G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3754525:3756799:-1 gene:Manes.02G045900.v8.1 transcript:Manes.02G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASRS >Manes.09G100800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29891929:29896889:-1 gene:Manes.09G100800.v8.1 transcript:Manes.09G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSSGGPSAASSANASPKSHCGHTTRRRVDAADKSSVFSDYYDEEDTLNGSSFGPHHHHNHHHPLIRYLLVRRKLFFFLPDAWLLAFEDACHWIVNTAQSWRSGRNMGRKILAALTLIVVISVFFKLSFVDHHVEKHRENGPLILQTFKEDTAKVQHVVAENTASMPKRVLEKFPTPEIWMKPNSDDYYQCIARPRSQIKARKTNGYLLVHANGGLNQMRIGICDMVAVAKMMNATLVLPSLDHDSFWTDPSTFMDIFDWRHFIEALKDDVDIIEYLPPKYAAKKPILKAPISWSKASYYRREMATLLKKHKVIKFTHTDSRLANNGLASSIQRLRCRANYEALRYSKEIEDLGKILVDRLRNNSEPYVALHLRYEKDMLSFTGCSHNLTAEEAKELRNMRYKVQHWKEKEIDSRERRLQGGCPMSPREAALFLKAMGYPSSTTIYIVAGEIYGSNSLAAFRAEFPNVFTHSTLATTDELEPFKPYQNRLAALDYILALESDVFVYTYDGNMAKAVQGHRMFGGFRKTINPDRQNFVTLIDQLDEGSISWEEFSSKVKTLHSNRIGSPYPRQAGESPRLEENFYANPFPGCLCNKSHDNDLLSILEYKKRVKPSLGAALRR >Manes.01G031200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6160859:6165466:-1 gene:Manes.01G031200.v8.1 transcript:Manes.01G031200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAENLCNVVPPISRSTNYIALRYCSFPTEITALGVKDCICSQYMVVFQYGSAVLFNIEDHEVESYLEIVRRHASGLLPEMRKDDYAIKEKPLLVEDMQGGADYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFADINRAMEKTGTFTMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVWG >Manes.01G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6160859:6165466:-1 gene:Manes.01G031200.v8.1 transcript:Manes.01G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRATAALLLNRSATTKTINSLLPIPNLISCLPKALHFSYPLSHRLFSAIPSRVSDYSNDIEYGPHDLAPNYILGRKEDEEFGKIPVKAYFLCTSIDLKRMQAENLCNVVPPISRSTNYIALRYCSFPTEITALGVKDCICSQYMVVFQYGSAVLFNIEDHEVESYLEIVRRHASGLLPEMRKDDYAIKEKPLLVEDMQGGADYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFADINRAMEKTGTFTMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVWG >Manes.01G031200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6160859:6164766:-1 gene:Manes.01G031200.v8.1 transcript:Manes.01G031200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIIPPLPLFVLFLLLLFFSRNGFSQIHGFGSIDLKRMQAENLCNVVPPISRSTNYIALRYCSFPTEITALGVKDCICSQYMVVFQYGSAVLFNIEDHEVESYLEIVRRHASGLLPEMRKDDYAIKEKPLLVEDMQGGADYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFADINRAMEKTGTFTMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVWG >Manes.12G095701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:20328016:20331441:-1 gene:Manes.12G095701.v8.1 transcript:Manes.12G095701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQRHFVLVHGACYGAWCWYKLITLLKSAGHKVTALDLAASGVHPKQVHELRSISDYCEPLTKFMMSLPAEERVILVGHSFGGLGISIAMEKFPEKISAGVFAAAIMPGPDFTYTTVKEEYARRMDRNVDTQYTYDDGPNNPPTSSLFGPIGMSTMVYQLSPPEDLMLGMMLIRPHRLLSDAAVQIEAELTKERHGTVPRIYIVCGQDKTLKLDLQRWMIQQNPPDEVKLISDSDHMVMFSKPQELCCCLQEIANKYF >Manes.01G119600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31597525:31617011:1 gene:Manes.01G119600.v8.1 transcript:Manes.01G119600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRQVAANLLRRIRFSKQSIDSSYYGIPANMLPTSLSSSRKCSYKYGYYGSGANHKYTYLWTIIAGQAAIIHGIYANPVLADASNGSSTETELEGANITGLRKIEDGSVISNIHTSKWRIFTDNGREYFLQGKLDEAEKFFLSALEEAKKGFGDRDPHVASACNNLAELYRVKKSFDKAEPLYMEAVKILEESFGPEDIRVGAAFHNLGQFYLVQRKLDESRKSYEHALKIKGRVLGHGHVDYADTLYHLGTVLHLQGKEKDAEAVIQDSIRILEEAGQGESIMCIRRLRHLAQIYLKSNRLAEAENVQRKILHIIELSQGWNSMDTVIAAERLALTLQSAGSLKEAKQLLERCLESRKTILCEDHIQIGANMLHIARVAMLDANQLRKIHISEAIEELDRARDLLYDSTSCDHLILLGFWRSQNKSCWNQWKSVLIHLHWKLRMHFSNAFLLTKSLNLTS >Manes.01G119600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31597524:31617012:1 gene:Manes.01G119600.v8.1 transcript:Manes.01G119600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRQVAANLLRRIRFSKQSIDSSYYGIPANMLPTSLSTIIHGIYANPVLADASNGSSTETELEGANITGLRKIEDGSVISNIHTSKWRIFTDNGREYFLQGKLDEAEKFFLSALEEAKKGFGDRDPHVASACNNLAELYRVKKSFDKAEPLYMEAVKILEESFGPEDIRVGAAFHNLGQFYLVQRKLDESRKSYEHALKIKGRVLGHGHVDYADTLYHLGTVLHLQGKEKDAEAVIQDSIRILEEAGQGESIMCIRRLRHLAQIYLKSNRLAEAENVQRKILHIIELSQGWNSMDTVIAAERLALTLQSAGSLKEAKQLLERCLESRKTILCEDHIQIGANMLHIARVAMLDANQLRKIHISEAIEELDRARDLLYDSTRIARRVLNKLRNQKGSRQKSRAPEETRREGCAALIILLRSLDSLGLLEITKQELLESMEECLDPPTLETENAFFQCVSAYKEFESDKLISDSPGVKVEYLSCLKRLSSLIRDGEFKERKVSGKATLQELNDEIKRVEGEISHLRKHKF >Manes.01G119600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31597525:31617011:1 gene:Manes.01G119600.v8.1 transcript:Manes.01G119600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPTCCPLRFPFITGSRKCSYKYGYYGSGANHKYTYLWTIIAGQAAIIHGIYANPVLADASNGSSTETELEGANITGLRKIEDGSVISNIHTSKWRIFTDNGREYFLQGKLDEAEKFFLSALEEAKKGFGDRDPHVASACNNLAELYRVKKSFDKAEPLYMEAVKILEESFGPEDIRVGAAFHNLGQFYLVQRKLDESRKSYEHALKIKGRVLGHGHVDYADTLYHLGTVLHLQGKEKDAEAVIQDSIRILEEAGQGESIMCIRRLRHLAQIYLKSNRLAEAENVQRKILHIIELSQGWNSMDTVIAAERLALTLQSAGSLKEAKQLLERCLESRKTILCEDHIQIGANMLHIARVAMLDANQLRKIHISEAIEELDRARDLLYDSTRIARRVLNKLRNQKGSRQKSRAPEETRREGCAALIILLRSLDSLGLLEITKQELLESMEECLDPPTLETENAFFQCVSAYKEFESDKLISDSPGVKVEYLSCLKRLSSLIRDGEFKERKVSGKATLQELNDEIKRVEGEISHLRKHKF >Manes.01G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31597525:31617011:1 gene:Manes.01G119600.v8.1 transcript:Manes.01G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRQVAANLLRRIRFSKQSIDSSYYGIPANMLPTSLSSSRKCSYKYGYYGSGANHKYTYLWTIIAGQAAIIHGIYANPVLADASNGSSTETELEGANITGLRKIEDGSVISNIHTSKWRIFTDNGREYFLQGKLDEAEKFFLSALEEAKKGFGDRDPHVASACNNLAELYRVKKSFDKAEPLYMEAVKILEESFGPEDIRVGAAFHNLGQFYLVQRKLDESRKSYEHALKIKGRVLGHGHVDYADTLYHLGTVLHLQGKEKDAEAVIQDSIRILEEAGQGESIMCIRRLRHLAQIYLKSNRLAEAENVQRKILHIIELSQGWNSMDTVIAAERLALTLQSAGSLKEAKQLLERCLESRKTILCEDHIQIGANMLHIARVAMLDANQLRKIHISEAIEELDRARDLLYDSTRIARRVLNKLRNQKGSRQKSRAPEETRREGCAALIILLRSLDSLGLLEITKQELLESMEECLDPPTLETENAFFQCVSAYKEFESDKLISDSPGVKVEYLSCLKRLSSLIRDGEFKERKVSGKATLQELNDEIKRVEGEISHLRKHKF >Manes.11G040569.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4348469:4359646:1 gene:Manes.11G040569.v8.1 transcript:Manes.11G040569.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRDPNESGSSSSASENYDGIGPKSYSYDELAKVTGHFSHNNLIGRGGFGHVFRASLDGETRAIKRLDYPGVESEGDLEREIMVVKSISHKNLVELIGYCIDGANRLLILKYFPNGSLRSKLDGKENVLDWKKRMNIAIGSARGLEYLHEHCKPKIIHLDIKPDNILLDEHFEPKITDFGLAHFFTGAATHISKSSVMGTQVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRKPLDDGIDIVTWANLHIREALDGKYANFVDSKIQSFDDEEMHRMVSCINSCLNRLPKFRPTMETIRLVLEGKSPLEELYDLKLQCDENTIGPKSYSYGELARVTDHFSLNNQIGRGSFGHVFKASLDGEIRAIKRLDFPDVQFEEGLEREIMVFKSVRHKNLVELVGYCIHGSNRLLISKYFPNGSLRSKLHGSGDVLDWKKRMKIAIGSAKGLEYLHEHCNPKIIHLIMKPDNIFLDEDFEPKITDFGLAQFFTDGATHISKSSVMRTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRKPIDNGIDIVTWANRLIKNDLVGRYTKFVDFNLQSFDREQMYRMISCVNSCLNQPPNSRPTMEKIRLVLEEKLPSEELYDHELQWRSRRAQT >Manes.11G034300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3353762:3355964:1 gene:Manes.11G034300.v8.1 transcript:Manes.11G034300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPHIMPENPIDFRAPPPSPIASGRRSSVANDDVLTEFLEHSLRVPDLVLPDKIFPRQKIVETPPSIDFQSLHSPGSDSIPRILDSLSRMGCFQLVNYGIPSDFTRMVLTMAAGIFKVPPKQRLTVTRSPEKPYGFEEVHGEEESELSEEFVWYRDEKLKLDMETIMEARYSNFSEKMEALVSDMENVAEKIMQIVRENSERKTMYGGDILQGQDLVGSVCYVCKHRNNRRANYLGYDVIKMLIRGTDYSHALCLHICDGSSEFHVYSKKGWVSFSPDKDALVVTAGDQIQLMTAGLSMS >Manes.11G034300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3353762:3355964:1 gene:Manes.11G034300.v8.1 transcript:Manes.11G034300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPHIMPENPIDFRAPPPSPIASGRRSSVANDDVLTEFLEHSLRVPDLVLPDKIFPRQKIVETPPSIDFQSLHSPGSDSIPRILDSLSRMGCFQLVNYGIPSDFTRMVLTMAAGIFKVPPKQRLTVTRSPEKPYGFEEVHGEEESELSEEFVWYRDEKLKLDMETIMEARYSNFSEKMEALVSDMENVAEKIMQIVRENSERKTMYGGDILQGQDLVGSVCYVCKHRNNRRANYLGYDVIKMLIRGTDYSHALCLHICDGSSEFHVYSKKGWVSFSPDKDALVVTAGDQIQLLENLRMMLDFTTVRNSNDV >Manes.11G034300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3353762:3355964:1 gene:Manes.11G034300.v8.1 transcript:Manes.11G034300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPHIMPENPIDFRAPPPSPIASGRRSSVANDDVLTEFLEHSLRVPDLVLPDKIFPRQKIVETPPSIDFQSLHSPGSDSIPRILDSLSRMGCFQLVNYGIPSDFTRMVLTMAAGIFKVPPKQRLTVTRSPEKPYGFEEVHGEEESELSEEFVWYRDEKLKLDMETIMEARYSNFSEKMEALVSDMENVAEKIMQIVRENSERKTMYGGDILQGQDLVGSVCYVCKHRNNRRANYLGYDVIKMLIRGTDYSHALCLHICDGSSEFHVYSKKGWVSFSPDKDALVVTAGDQIQ >Manes.11G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3353762:3355964:1 gene:Manes.11G034300.v8.1 transcript:Manes.11G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPHIMPENPIDFRAPPPSPIASGRRSSVANDDVLTEFLEHSLRVPDLVLPDKIFPRQKIVETPPSIDFQSLHSPGSDSIPRILDSLSRMGCFQLVNYGIPSDFTRMVLTMAAGIFKVPPKQRLTVTRSPEKPYGFEEVHGEEESELSEEFVWYRDEKLKLDMETIMEARYSNFSEKMEALVSDMENVAEKIMQIVRENSERKTMYGGDILQGQDLVGSVCYVCKHRNNRRANYLGYDVIKMLIRGTDYSHALCLHICDGSSEFHVYSKKGWVSFSPDKDALVVTAGDQIQSLSGGQYKHVLGRPIFKGEQEDTISMAFLYSPPSFTSKSEMGKTISLGQQVIVAIVLSVLYHFFVYFFKEI >Manes.11G034300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3353762:3355964:1 gene:Manes.11G034300.v8.1 transcript:Manes.11G034300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPHIMPENPIDFRAPPPSPIASGRRSSVANDDVLTEFLEHSLRVPDLVLPDKIFPRQKIVETPPSIDFQSLHSPGSDSIPRILDSLSRMGCFQLVNYGIPSDFTRMVLTMAAGIFKVPPKQRLTVTRSPEKPYGFEEVHGEEESELSEEFVWYRDEKLKLDMETIMEARYSNFSEKMEALVSDMENVAEKIMQIVRENSERKTMYGGDILQGQDLVGSVCYVCKHRNNRRANYLGYDVIKMLIRGTDYSHALCLHICDGSSEFHVYSKKGWVSFSPDKDALVVTAGDQIQ >Manes.05G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24528389:24530274:-1 gene:Manes.05G144000.v8.1 transcript:Manes.05G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLAWPKFKSPFSRAGRARDECSRALSNKLNVNEEYKEAFRTQSYEELWSKIHDQLRRKSVDGVDKLPSSPSVPSYIHLADYLFEPEKQQTLTEMTQSMKFHRFLIDYFEASLDACNLCDLLLRSIHQTRVNYRRIERVIKLSKRVKDSADYTDKFYGKLLGELSAYAMLRNPLSFVAPLQFRDVHDNNLVLLHRMTSELRKIRRKAKFNRIYKKVGGYCLVISHTAILIAMLVIAVHGIVGIVAAPGLVACLLCLFRKKMKLFQGGMKTSLLEKLCAQLDVAAKGTFIMINDFDTVGRLVRSLYEELEHKKALADMCVVSGNTELVKEVVKEFHLHDSNYQEQMDELEEHIYLCFHTINRSRRLVIQEIMVAQK >Manes.09G185700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37276559:37280422:-1 gene:Manes.09G185700.v8.1 transcript:Manes.09G185700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKSDTKRSVPCDFCSDQVAVLYCRADSAKLCLFCDQHVHSANLLSRKHLRSQICDNCSSEPVSVRCETDNLVLCRECDWDAHGSCSVSASHYRIPIEGFSGCPSALELASIWGFDLEEKNLDKEPSAPLIQSWNDGGVQDMVMQIEPWLSRSTGGVSYLDLMVPNGKAIVYGNVSGEEMVTTSKRQRSPSCGRYKQVVHKQLMGLFKRNLMAGDGGGGENLMPETPSRSGWQGDVEAADFGNGNDEVTATTVAGSTVPQQPLQEQAPFTSLLMLASPMDLKPSGRIVGENLMWGGSASAQGTQIWDFNLGQLRTHEESDQLEVEYGSSDAVFMIKNIGELMKETSLSNTKMLGGLYQLDCPMAHDDISLFNNKSNNPTASQGPATSESNNLPTARTSSGSTHGKLKNSSGGEDIQFMEQKILVRGDSVRTMPPTKVDIELLAKNRGTAMQRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKAITASPDGRTVL >Manes.04G073700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29034851:29041286:1 gene:Manes.04G073700.v8.1 transcript:Manes.04G073700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDSKASIPDGNANIQHAPERSYQVVVAATGDMGIGKDGKLPWKLPSDLKFFKDITLTTFDSGKKNAVIMGRKTWESIPPEYRPLCGRLNVVLTRSRSFDIATAENVLMCGSLSSALELLAASPYCLSIEKVFVIGGGQILREALNAPGCDAIHITEIETKINCDTFIPKIDSSLFQPWYSSFPMVENNIRYCFATYVRVRSFAVEPHSQNNGLNSDSGSENSKFKVKEFSFLPKKIFERHEEFLYLRLVQDIISSGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKSIHIWDGNASREYLDSVGLKDREEADLGPIYGFQWRHFGARYIDMHADYTGQGFDQLLDVIDKIKNNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYIAHGELSCQMYQRSADMGLGVPFNVASYALLTCMIAHVCSMYSL >Manes.04G073700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29034851:29041286:1 gene:Manes.04G073700.v8.1 transcript:Manes.04G073700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDSKASIPDGNANIQHAPERSYQVVVAATGDMGIGKDGKLPWKLPSDLKFFKDITLTTFDSGKKNAVIMGRKTWESIPPEYRPLCGRLNVVLTRSRSFDIATAENVLMCGSLSSALELLAASPYCLSIEKVFVIGGGQILREALNAPGCDAIHITEIETKINCDTFIPKIDSSLFQPWYSSFPMVENNIRYCFATYVRVRSFAVEPHSQNNGLNSDSGSENSKFKVKEFSFLPKKIFERHEEFLYLRLVQDIISSGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKSIHIWDGNASREYLDSVGLKDREEADLGPIYGFQWRHFGARYIDMHADYTGQGFDQLLDVIDKIKNNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYIAHGELSCQMYQRSADMGLGVPFNVASYALLTCMIAHVCNFED >Manes.04G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29034851:29041286:1 gene:Manes.04G073700.v8.1 transcript:Manes.04G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDSKASIPDGNANIQHAPERSYQVVVAATGDMGIGKDGKLPWKLPSDLKFFKDITLTTFDSGKKNAVIMGRKTWESIPPEYRPLCGRLNVVLTRSRSFDIATAENVLMCGSLSSALELLAASPYCLSIEKVFVIGGGQILREALNAPGCDAIHITEIETKINCDTFIPKIDSSLFQPWYSSFPMVENNIRYCFATYVRVRSFAVEPHSQNNGLNSDSGSENSKFKVKEFSFLPKKIFERHEEFLYLRLVQDIISSGNLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKSIHIWDGNASREYLDSVGLKDREEADLGPIYGFQWRHFGARYIDMHADYTGQGFDQLLDVIDKIKNNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYIAHGELSCQMYQRSADMGLGVPFNVASYALLTCMIAHVCNLVPGDFVHVFGDAHVYCTHIRPLQEQLQKLPKPFPILKINPEKKNIDSFVAADFTLIGYDPHRKIEMKMAV >Manes.05G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2473192:2475954:1 gene:Manes.05G029300.v8.1 transcript:Manes.05G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFTKLYRPSSIVRSQHLLQHLRCMSNVPENTVYGGPKPQNPNQRVTLTHLKQKHKKGEPITVVTAYDYPSAVHLDTAGIDICLVGDSAAMVVHGYDTTLPISLEEMLVHCRAVARGAKRPLLVGDLPFGTYESSTRQAVDTAVRILKEGGMDAIKLEGGSPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGRNVSSAIRVVETAMALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYACVGDVVNKALLEYKEDVTNGSFPGPAYSPYKISAADVNGFLNELQKLGLDRAASSAAAAAEQLKATESESK >Manes.15G079200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6082304:6087100:-1 gene:Manes.15G079200.v8.1 transcript:Manes.15G079200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRARASLAAAPSGFLRLFSTTSTSSFIPSPTTAETSAQEKAEPNTNLFVCGLSKRTTSEGLREAFSKFARVVTDRVSGYSKGFGFVGYAGLDDAAKGIESMDGKFLDGWVIFTEYARPRSSPTPPGNNVGPGYGSNTGPAYGSSTGRAFGNATGPAYGSSTGREYGARPAYQSNMGPEYGNARSIAYGNTTYTAHVSNVGPNGNSSGPA >Manes.15G079200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6082304:6087104:-1 gene:Manes.15G079200.v8.1 transcript:Manes.15G079200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRARASLAAAPSGFLRLFSTTSTSSFIPSPTTAETSAQEKAEPNTNLFVCARVVTDRVSGYSKGFGFVGYAGLDDAAKGIESMDGKFLDGWVIFTEYARPRSSPTPPGNNVGPGYGSNTGPAYGSSTGRAFGNATGPAYGSSTGREYGARPAYQSNMGPEYGNARSIAYGNTTYTAHVSNVGPNGNSSGPA >Manes.S026316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:377899:378066:1 gene:Manes.S026316.v8.1 transcript:Manes.S026316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.13G020300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2941941:2947647:1 gene:Manes.13G020300.v8.1 transcript:Manes.13G020300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGSLFHYADRIDKFLMFIGILGSIGDGLLTPLTMYTLSGVINEYATSESGTGISLSMEVVDKYSLRLLYVAIFVGTSAFLEGICWTRTAERQASRIRMEYLKSVLRQEVGFFDKQATSNGTFQVISAISTDAHSIQDTIAEKIPNCLAHLSSFIFTFVVAFTLSWRLALATLPFTIMFIIPGVAFGKLLMHIGTKGKEAYAVAGGIAEQAISSIRTVYSYVGEHQTMDRFASALQKSMELGIKQGFAKGLLIGSMGMIFAAWGFLTWVGSVLVTERGEKGGAVFVSGTCVILGGVSIMSALPNLSFLSEATIAATKIQEMVDKIPVIDSEDKKGKALSHLRGEIEFKEVDFSYPSRPNNPILQGFNLKVKAGKTVGLVGGSGSGKSTIISLLERFYDPIRGNILLDGCKIKRLQLRWLRSQMGLVNQEPVLFATSIKENILFGKEEASMELVERAAKAANAHDFILKLPDGYETQVGQFGVQLSGGQKQRIAIARALIRDPKILLLDEATSALDAESERIGQEALDKASVGRTTIIVAHRLSTIREADLIVVLQSGRVIESGSHHELMQMNNGEGSAGAYYKMVQLQQAAAQEEASYSPYHSTEHTSNRRMQSPKTPLHTSVRSSYQSSPAYAFSPVFSISVTSMVQIHSYDDQNDESLKKSLRPPPSQWRLLRMNAPEWKRAFLGCLGAAGFGAVQPGHAYCLGSIVSVYFLPDNSKIKSESRTYCFIFLGLAILSFITNLLQHYNFAIMGECLTKRVREKMLDKVFSFEVGWFDDDENTSAAICARLATEANLVRSLIADRMSLLVQVFFSASIAFVLGLLVSWRVAIVMIAIQPLLIGSFYSRTILMKSLSERAQKAQNEGSQLASEAIINHRTITAFSSQKRIMGFFRKSMKGPKKETAKQSWISGFGLFSSQFLTTASVALTFWYGGRLMAQGKIESKHLFRVFFLLMSTGKSIADAGSMSSDLAKGNNAIRSVFAILDRKTEIYPDDPNGIEIKRSIKGCIELKNIFFSYPARPNQMIFKDLSLTIEAGKTIALVGHSGSGKSTIIGLIERFYDPQSGLVLIDNRDIKSYNLRKLRSHIALVSQEPTLFAGTIHQNIACGKEDATEAEIRKAAMLANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAILKNPEILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQKADSIAVIKNGKVVERGSHSELLAIGRHGSYYSLIKLQSGILPFSFRKC >Manes.13G020300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2941713:2947648:1 gene:Manes.13G020300.v8.1 transcript:Manes.13G020300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGSLFHYADRIDKFLMFIGILGSIGDGLLTPLTMYTLSGVINEYATSESGTGISLSMEVVDKYSLRLLYVAIFVGTSAFLEGICWTRTAERQASRIRMEYLKSVLRQEVGFFDKQATSNGTFQVISAISTDAHSIQDTIAEKIPNCLAHLSSFIFTFVVAFTLSWRLALATLPFTIMFIIPGVAFGKLLMHIGTKGKEAYAVAGGIAEQAISSIRTVYSYVGEHQTMDRFASALQKSMELGIKQGFAKGLLIGSMGMIFAAWGFLTWVGSVLVTERGEKGGAVFVSGTCVILGGVSIMSALPNLSFLSEATIAATKIQEMVDKIPVIDSEDKKGKALSHLRGEIEFKEVDFSYPSRPNNPILQGFNLKVKAGKTVGLVGGSGSGKSTIISLLERFYDPIRGNILLDGCKIKRLQLRWLRSQMGLVNQEPVLFATSIKENILFGKEEASMELVERAAKAANAHDFILKLPDGYETQLSGGQKQRIAIARALIRDPKILLLDEATSALDAESERIGQEALDKASVGRTTIIVAHRLSTIREADLIVVLQSGRVIESGSHHELMQMNNGEGSAGAYYKMVQLQQAAAQEEASYSPYHSTEHTSNRRMQSPKTPLHTSVRSSYQSSPAYAFSPVFSISVTSMVQIHSYDDQNDESLKKSLRPPPSQWRLLRMNAPEWKRAFLGCLGAAGFGAVQPGHAYCLGSIVSVYFLPDNSKIKSESRTYCFIFLGLAILSFITNLLQHYNFAIMGECLTKRVREKMLDKVFSFEVGWFDDDENTSAAICARLATEANLVRSLIADRMSLLVQVFFSASIAFVLGLLVSWRVAIVMIAIQPLLIGSFYSRTILMKSLSERAQKAQNEGSQLASEAIINHRTITAFSSQKRIMGFFRKSMKGPKKETAKQSWISGFGLFSSQFLTTASVALTFWYGGRLMAQGKIESKHLFRVFFLLMSTGKSIADAGSMSSDLAKGNNAIRSVFAILDRKTEIYPDDPNGIEIKRSIKGCIELKNIFFSYPARPNQMIFKDLSLTIEAGKTIALVGHSGSGKSTIIGLIERFYDPQSGLVLIDNRDIKSYNLRKLRSHIALVSQEPTLFAGTIHQNIACGKEDATEAEIRKAAMLANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAILKNPEILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQKADSIAVIKNGKVVERGSHSELLAIGRHGSYYSLIKLQSGILPFSFRKC >Manes.13G020300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2941713:2947647:1 gene:Manes.13G020300.v8.1 transcript:Manes.13G020300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGSLFHYADRIDKFLMFIGILGSIGDGLLTPLTMYTLSGVINEYATSESGTGISLSMEVVDKYSLRLLYVAIFVGTSAFLEGICWTRTAERQASRIRMEYLKSVLRQEVGFFDKQATSNGTFQVISAISTDAHSIQDTIAEKIPNCLAHLSSFIFTFVVAFTLSWRLALATLPFTIMFIIPGVAFGKLLMHIGTKGKEAYAVAGGIAEQAISSIRTVYSYVGEHQTMDRFASALQKSMELGIKQGFAKGLLIGSMGMIFAAWGFLTWVGSVLVTERGEKGGAVFVSGTCVILGGVSIMSALPNLSFLSEATIAATKIQEMVDKIPVIDSEDKKGKALSHLRGEIEFKEVDFSYPSRPNNPILQGFNLKVKAGKTVGLVGGSGSGKSTIISLLERFYDPIRGNILLDGCKIKRLQLRWLRSQMGLVNQEPVLFATSIKENILFGKEEASMELVERAAKAANAHDFILKLPDGYETQVGQFGVQLSGGQKQRIAIARALIRDPKILLLDEATSALDAESERIGQEALDKASVGRTTIIVAHRLSTIREADLIVVLQSGRVIESGSHHELMQMNNGEGSAGAYYKMVQLQQAAAQEEASYSPYHSTEHTSNRRMQSPKTPLHTSVRSSYQSSPAYAFSPVFSISVTSMVQIHSYDDQNDESLKKSLRPPPSQWRLLRMNAPEWKRAFLGCLGAAGFGAVQPGHAYCLGSIVSVYFLPDNSKIKSESRTYCFIFLGLAILSFITNLLQHYNFAIMGECLTKRVREKMLDKVFSFEVGWFDDDENTSAAICARLATEANLVRSLIADRMSLLVQVFFSASIAFVLGLLVSWRVAIVMIAIQPLLIGSFYSRTILMKSLSERAQKAQNEGSQLASEAIINHRTITAFSSQKRIMGFFRKSMKGPKKETAKQSWISGFGLFSSQFLTTASVALTFWYGGRLMAQGKIESKHLFRVFFLLMSTGKSIADAGSMSSDLAKGNNAIRSVFAILDRKTEIYPDDPNGIEIKRSIKGCIELKNIFFSYPARPNQMIFKDLSLTIEAGKTIALVGHSGSGKSTIIGLIERFYDPQSGLVLIDNRDIKSYNLRKLRSHIALVSQEPTLFAGTIHQNIACGKEDATEAEIRKAAMLANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAILKNPEILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQKADSIAVIKNGKVVERGSHSELLAIGRHGSYYSLIKLQSGILPFSFRKC >Manes.13G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2941169:2947647:1 gene:Manes.13G020300.v8.1 transcript:Manes.13G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGSLFHYADRIDKFLMFIGILGSIGDGLLTPLTMYTLSGVINEYATSESGTGISLSMEVVDKYSLRLLYVAIFVGTSAFLEGICWTRTAERQASRIRMEYLKSVLRQEVGFFDKQATSNGTFQVISAISTDAHSIQDTIAEKIPNCLAHLSSFIFTFVVAFTLSWRLALATLPFTIMFIIPGVAFGKLLMHIGTKGKEAYAVAGGIAEQAISSIRTVYSYVGEHQTMDRFASALQKSMELGIKQGFAKGLLIGSMGMIFAAWGFLTWVGSVLVTERGEKGGAVFVSGTCVILGGVSIMSALPNLSFLSEATIAATKIQEMVDKIPVIDSEDKKGKALSHLRGEIEFKEVDFSYPSRPNNPILQGFNLKVKAGKTVGLVGGSGSGKSTIISLLERFYDPIRGNILLDGCKIKRLQLRWLRSQMGLVNQEPVLFATSIKENILFGKEEASMELVERAAKAANAHDFILKLPDGYETQVGQFGVQLSGGQKQRIAIARALIRDPKILLLDEATSALDAESERIGQEALDKASVGRTTIIVAHRLSTIREADLIVVLQSGRVIESGSHHELMQMNNGEGSAGAYYKMVQLQQAAAQEEASYSPYHSTEHTSNRRMQSPKTPLHTSVRSSYQSSPAYAFSPVFSISVTSMVQIHSYDDQNDESLKKSLRPPPSQWRLLRMNAPEWKRAFLGCLGAAGFGAVQPGHAYCLGSIVSVYFLPDNSKIKSESRTYCFIFLGLAILSFITNLLQHYNFAIMGECLTKRVREKMLDKVFSFEVGWFDDDENTSAAICARLATEANLVRSLIADRMSLLVQVFFSASIAFVLGLLVSWRVAIVMIAIQPLLIGSFYSRTILMKSLSERAQKAQNEGSQLASEAIINHRTITAFSSQKRIMGFFRKSMKGPKKETAKQSWISGFGLFSSQFLTTASVALTFWYGGRLMAQGKIESKHLFRVFFLLMSTGKSIADAGSMSSDLAKGNNAIRSVFAILDRKTEIYPDDPNGIEIKRSIKGCIELKNIFFSYPARPNQMIFKDLSLTIEAGKTIALVGHSGSGKSTIIGLIERFYDPQSGLVLIDNRDIKSYNLRKLRSHIALVSQEPTLFAGTIHQNIACGKEDATEAEIRKAAMLANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAILKNPEILLLDEATSALDSVSENLVQEALEKMMVGRTCVVIAHRLSTIQKADSIAVIKNGKVVERGSHSELLAIGRHGSYYSLIKLQSGILPFSFRKC >Manes.08G106300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34579898:34585596:1 gene:Manes.08G106300.v8.1 transcript:Manes.08G106300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQLSFAADNPPLSVLSAAKVAAVVLPAPTTVTDSSSPPTVIFSDGMKLQGTHVLLRYIGRIASLPNFYGKDAFQSSQIDEWLEYSSILSSGSEFENACTYINSYLEKRTFLVGYDLSIADIAIWSGLAATGQRWESLRKSKKYPNLVRWFNSISTEYSNELNEVTTTYVGKRGLGKPVTAKSKEQQVVNGDSSEKGKVSSKTSEVDLPSAEIGKVCLRFAPEPSGFLHIGHAKAALLNQHFAQRYQGELIVRFDDTNPAKESSEFVENLLKDIETLGIKYVKVTHTSDYFDKLMKKAEELIRQGKAYVDDTPREQMQKERMDGIESKCRNNTMEENLKLWKEMAKGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYNIYPTYDFACPYVDAIEGISHALRSSEYHDRNAQYHRIQEDLGVRKVHIYEFSRLNMVYTLLSKRNLRWFVEHGKVDGWDDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERRVLLSLTNGPENPFVRILPRHKKYEAAGEKATTYTKRILIDYDDANSILENEEVTLMDWGNAIVKEIVKDESGKITQLIGVLHLEGSVKTTKLKLTWLPEITELVNLVLVEFDYLITKKKLEEGENFLDVLNPCTKKETAALGDSNMRNLKRGEILQLERKGYFRCDVPFVRPSKPVVLFAIPDGRQATSSK >Manes.08G106300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34580056:34585596:1 gene:Manes.08G106300.v8.1 transcript:Manes.08G106300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQLSFAADNPPLSVLSAAKVAAVVLPAPTTVTDSSSPPTVIFSDGMKLQGTHVLLRYIGRIASLPNFYGKDAFQSSQIDEWLEYSSILSSGSEFENACTYINSYLEKRTFLVGYDLSIADIAIWSGLAATGQRWESLRKSKKYPNLVRWFNSISTEYSNELNEVTTTYVGKRGLGKPVTAKSKEQQVVNGDSSEKGKVSSKTSEVDLPSAEIGKVCLRFAPEPSGFLHIGHAKAALLNQHFAQRYQGELIVRFDDTNPAKESSEFVENLLKDIETLGIKYVKVTHTSDYFDKLMKKAEELIRQGKAYVDDTPREQMQKERMDGIESKCRNNTMEENLKLWKEMAKGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYNIYPTYDFACPYVDAIEGISHALRSSEYHDRNAQYHRIQEDLGVRKVHIYEFSRLNMVYTLLSKRNLRWFVEHGKVDGWDDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERRVLLSLTNGPENPFVRILPRHKKYEAAGEKATTYTKRILIDYDDANSILENEEVTLMDWGNAIVKEIVKDESGKITQLIGVLHLEGSVKTTKLKLTWLPEITELVNLVLVEFDYLITKKKLEEGENFLDVLNPCTKKETAALGDSNMRNLKRGEILQLERKGYFRCDVPFVRPSKPVVLFAIPDGRQATSSK >Manes.08G106300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34579898:34585596:1 gene:Manes.08G106300.v8.1 transcript:Manes.08G106300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQLSFAADNPPLSVLSAAKVAAVVLPAPTTVTDSSSPPTVIFSDGMKLQGTHVLLRYIGRIASLPNFYGKDAFQSSQIDEWLEYSSILSSGSEFENACTYINSYLEKRTFLVGYDLSIADIAIWSGLAATGQRWESLRKSKKYPNLVRWFNSISTEYSNELNEVTTTYVGKRGLGKPVTAKSKEQQVVNGDSSEKGKVSSKTSEVDLPSAEIGKVCLRFAPEPSGFLHIGHAKAALLNQHFAQRYQGELIVRFDDTNPAKESSEFVENLLKDIETLGIKYVKVTHTSDYFDKLMKKAEELIRQGKAYVDDTPREQMQKERMDGIESKCRNNTMEENLKLWKEMAKGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYNIYPTYDFACPYVDAIEGISHALRSSEYHDRNAQYHRIQEDLGVRKVHIYEFSRLNMVYTLLSKRNLRWFVEHGKVDGWDDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERRVLLSLTNGPENPFVRILPRHKKYEAAGEKATTYTKRILIDYDDANSILENEEVTLMDWGNAIVKEIVKDESGKITQLIGVLHLEGSVKTTKLKLTWLPEITELVNLVLVEFDYLITKKKLEEGENFLDVLNPCTKKETAALGDSNMRNLKRGEILQLERKGYFRCDVPFVRPSKPVVLFAIPDGRQATSSK >Manes.10G127950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29246432:29248930:1 gene:Manes.10G127950.v8.1 transcript:Manes.10G127950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSTPSCKYDVFISFRGKDIRGGFLSHLFDALQRKQINPFMDENLRKGEEISPALLETIQDSYVSIVVFSQNYADSPWCLDELVKILECKEILGQLVLSIFYHVDPTDVQDLIGNFGEAFAVAKHGEEVKGCLDKVDKWRRALMEISNLSGWDSRNIKSESKLVEEIANDVWKKLSLISSSDSYNDNLVGMESRLKKVESLLCIESINDRRVIGIWGMGGIGKTTIAGEVFNRIMDKFDGHCFVVNVREEMRKQTPVVLLDKIINQLLGEKNLHVSTPRLPPFIRRRLQSKKVVIVFDDVDDPNHLKLLAGECALYHNGSRIIVTSRDRQVLKNVCSEGYIYEVEKLTDDEALCLFSLYTFKQNHPKKGYVEISKKLITYAQGIPLALVILGSNLYDKVIEEWEGELEKLKEIPDMNIQAVLRISYDGLERHEKSIFLDIACFLKGEPKDRVERIFEGCGFFPRRAISRLIDKSLITVSNGKLGMHDLLQQMGKDVVCEESKQLGTRSRLWKYKDICHVLTRDKGTENIEGILLDMSGNGYLELSPTAFMNMCNLRFLKFFNVSTSRPGRVLLPSGLEFLPKELRYHHWEGYPLKSLPINFCPRNLVELHMPRSNLIQLWNQEKALESLKFLDLSYSFELTKVPDLSSAPNLEVLCLRGCSNLIEIPSSVG >Manes.11G017601.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1898814:1902854:1 gene:Manes.11G017601.v8.1 transcript:Manes.11G017601.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.11G017601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1898814:1901686:1 gene:Manes.11G017601.v8.1 transcript:Manes.11G017601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.11G017601.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1898814:1902854:1 gene:Manes.11G017601.v8.1 transcript:Manes.11G017601.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.11G017601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1898814:1902854:1 gene:Manes.11G017601.v8.1 transcript:Manes.11G017601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.11G017601.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1898814:1901692:1 gene:Manes.11G017601.v8.1 transcript:Manes.11G017601.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.11G017601.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1898814:1902854:1 gene:Manes.11G017601.v8.1 transcript:Manes.11G017601.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.11G017601.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1898814:1902838:1 gene:Manes.11G017601.v8.1 transcript:Manes.11G017601.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.11G017601.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1898814:1901692:1 gene:Manes.11G017601.v8.1 transcript:Manes.11G017601.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.04G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31818176:31819084:-1 gene:Manes.04G115800.v8.1 transcript:Manes.04G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKLIYHLERLAFKNKKRKRSNMVKNNSTLPSKYHNFKSFESKEDAHSFKKERDPVVAEKKPAEKLESKPSMDINESAEAFIKKFRQQLLIQRLESMENFEKMLERVRSLEIGP >Manes.05G130200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22045755:22047556:1 gene:Manes.05G130200.v8.1 transcript:Manes.05G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSALKKTSSFPVKKSPPSMQFPRSIPYLPGTASLIEFSTSPDRLALGQEVYHFGHPQHGFYKVDLPDRFTCSGCRDYGSGKRFTCRQCDFQLHEFCGKVPEQLKAHPLHMHHQLFFSSKPVKGGLYKAKCDVCGKSAKGYSFRCNACSYQMHPCCAMLSNEFTISVHPHPLRILPPAAAAMSVPNGDSTAPGFVCGECNRAKRSGRVYRCTVCDYHLHAACAKNMVNGLPANGIKKSGKLGTVARLASQVVLQFIGRLIEGIGESVGEALIQSDDRGRRP >Manes.05G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6993227:7000429:-1 gene:Manes.05G084700.v8.1 transcript:Manes.05G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSLTGTAPKLTSPTLQSLYRNFPIAIPLYSSFLSLPKTLSSLPPRRLSLSPSHLHRRHFSVRSDSDNGAEPARHYDFDLFTIGAGSGGVRASRFASNFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLLVYSSKYSHEFDESNGFGWKYETEPKHDWSTLMANKNAELQRLTGIYKNILKNANVTLIEGRGKILDPHTIDVDGKLYSARHILISVGGRPFIPEIPGSEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEIRDFVAEQMSLRGIEFHTEEPPQAIIKAADGSLSLKTNKGTVEGFSHIMFATGRRPNTKNLGLDTVGVKMNKNGAIEVDEYSRTSVPSIWAVGDVTDRVNLTPVALMEGGALAKSLFLNEPTKPDYRAIPSAVFSQPPIGQVGLTEEQAIKEYGDINIFTANFRPLKATLSGLPDRVFMKLIVCAKTDTVLGLHMCGEDAPEIVQGFAVAIKAGLKKADFDATVGIHPTAAEEFVTMRTPTRKIRAAPPSEGTTDREVEAAAGV >Manes.15G142800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11688438:11691742:-1 gene:Manes.15G142800.v8.1 transcript:Manes.15G142800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKGSRHLAAESRLKEGESLRKYEINRRLALADSPVGFAHSTSLNKKVRLAGVSKPLIERTSKAASEVLCNKDPKQNSGNQNCDVKLSRGQVTNVATISCPLVEASGELLIQHQLDFRKHRERELKFIEAGWKRDCHGKWFKDENVDFDSDEEDPNVCLG >Manes.15G142800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11688781:11691742:-1 gene:Manes.15G142800.v8.1 transcript:Manes.15G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWAREAQYRKRRVDDLVVQGLDGSSYMKLSNGKYACLVCPHNPVLDSSLMLSMHSKGSRHLAAESRLKEGESLRKYEINRRLALADSPVGFAHSTSLNKKVRLAGVSKPLIERTSKAASEVLCNKDPKQNSGNQNCDVKLSRGQVTNVATISCPLVEASGELLIQHQLDFRKHRERELKFIEAGWKRDCHGKWFKDENVDFDSDEEDPNVCLG >Manes.15G142800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11688781:11691322:-1 gene:Manes.15G142800.v8.1 transcript:Manes.15G142800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKGSRHLAAESRLKEGESLRKYEINRRLALADSPVGFAHSTSLNKKVRLAGVSKPLIERTSKAASEVLCNKDPKQNSGNQNCDVKLSRGQVTNVATISCPLVEASGELLIQHQLDFRKHRERELKFIEAGWKRDCHGKWFKDENVDFDSDEEDPNVCLG >Manes.13G063564.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7535129:7547920:-1 gene:Manes.13G063564.v8.1 transcript:Manes.13G063564.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LALLQFKNSFNISSSCSYSKLESWKLNQGVRSGECCSWDGVECDEKTNHVVSLELNESCIYGSNNSNNTLFRLVHLQRLNLGSNDFIHSQIPSEIGQLSRLTHLDLSFSSFSGEIPVEISNLSSLVSLDLSRNLDFISYDGLLKLRKTSFRGLVQNMTNLKELDLEYVDISSTVPKVLANLSSLESLHLCGCELHGEFPASIENQLTGPIPPQINNLTSLSSLYLSSNKLQGSIPINFSRLNQLEFLDLHSNTLAGSLDLSSFFQLNQLTELILSFNSLSMHSTMATNGYLPTNSMDFFNLSHNLLTGFEQNPVIFQWAQIRTLDLRSNQLQGSLPLPPPSTISYLISHNQLTGELSPLICNLYSLEILDLSFNNLSRQLPHCLSNFSDLSVLDLRRNNFHGIIPTAWRDDCKLRMISISYNQLQGQIPKSLANCSSLQLVDFGNNQITDTFPSWLGNLSELRILILRSNHFYGVIDQKPKTKGFPSLQIIDLSGNGFVGKLPSVYLDMWEAMKTIQANHMTYMGENIRPNFTDVDTYYGEYDYSMTMFNKGVKLEYDKIQDIFLAIDFSNNRFEGKILEIIGNLKGLNLLNLSNNLLKGHISPSLASLSSLEGLDLSKNKLSGKIPPELAQLTFLAFFNVSYNELEWPIPQGKQFDTFQSNQYEGNLGLCGAPLTNKCEDALIISIMPRLGMATDRVFSGTRSDRILIEQIWVVIIGFETGSNFKNNTRCGFGSDSGFMYRVPTTRTRLYK >Manes.04G115600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31807244:31810182:-1 gene:Manes.04G115600.v8.1 transcript:Manes.04G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCPFQIQCGDSFINRCWDCTSGQAEYICQLEHNLEALQIAREELRELKDDVIRRLGIEEGGNMKRREQVQGWLLRVEAKITEVDELIGDGPQEIQKLCLGGCCSKNLKSSYTFGRRVVRGLQDVAALKSKGDFEVFVERSAREPVIERPIEPTAGLEGMLDRVWNCLIEEAIGLVGIYGMGGVGKTTLLTRINNKFLDTPHDFDVVIWVVVSKDLRLEKVQEEIAKKIGLSNDGQWQHKSFSEKAAEIFQVLRKKKFVLLLDDIWKRVELKDVGVPIPKTQNRSKIVFTTRSRAVCSYMEAEQKIKVEPLAWEKAWELFQEKVGVDTLDADPDIPNIAEEVARECAGLPLALITVGRAMACKKTPQEWRYAVEVLRVSASNMQVMGDEVFPILKFSYDSLPNYKIKSCFLYCTLYPEDYKISKDELIEHWMCENFWDEGHNQVDAFNEGYNIIGMLVHACLLEHEGSSVKMHDVIRDMALWITCEVEKEEHKYLVQAGAQSSKAPEIERWRGKKRVSLMENNIEQLTEVPRCPDLVTLFLCGNGCFRSIPDDFFQFMNALTVLDVSETALKFLPNGISGLVALQYLNLSRTKIKQLPPELMALRKLKYLNLEHNYDLHSIPSKMMSGFPLLQVLRMFSCGVVCHVQDEGAIYVETLLLLKHLKVLSFTIRHAYVLHRFLTSHKLLSCTQALSLQDLRDPEFSSSTDMQPKNAAAQALGGLRNPARLRKRNFDRLRHVDVTRCLLLQDLTWLILAPNLTELYVSKCENLEEIISSAKLGAILDKDENLNPFPRLKVLKLYQLLRLKSIYWNALPFPFLQEITVIDCPLLQKLPLNNESARGQVVIIEAEKHWWDSVQWENEASKTAFQFCFQDLGRRS >Manes.04G115600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31807245:31810178:-1 gene:Manes.04G115600.v8.1 transcript:Manes.04G115600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCPFQIQCGDSFINRCWDCTSGQAEYICQLEHNLEALQIAREELRELKDDVIRRLGIEEGGNMKRREQVQGWLLRVEAKITEVDELIGDGPQEIQKLCLGGCCSKNLKSSYTFGRRVVRGLQDVAALKSKGDFEVFVERSAREPVIERPIEPTAGLEGMLDRVWNCLIEEAIGLVGIYGMGGVGKTTLLTRINNKFLDTPHDFDVVIWVVVSKDLRLEKVQEEIAKKIGLSNDGQWQHKSFSEKAAEIFQVLRKKKFVLLLDDIWKRVELKDVGVPIPKTQNRSKIVFTTRSRAVCSYMEAEQKIKVEPLAWEKAWELFQEKVGVDTLDADPDIPNIAEEVARECAGLPLALITVGRAMACKKTPQEWRYAVEVLRVSASNMQVMGDEVFPILKFSYDSLPNYKIKSCFLYCTLYPEDYKISKDELIEHWMCENFWDEGHNQVDAFNEGYNIIGMLVHACLLEHEGSSVKMHDVIRDMALWITCEVEKEEHKYLVQAGAQSSKAPEIERWRGKKRVSLMENNIEQLTEVPRCPDLVTLFLCGNGCFRSIPDDFFQFMNALTVLDVSETALKFLPNGISGLVALQYLNLSRTKIKQLPPELMALRKLKYLNLEHNYDLHSIPTTNS >Manes.06G175000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29867589:29869411:-1 gene:Manes.06G175000.v8.1 transcript:Manes.06G175000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSYLLRALTGYKDDRTVANNPVAGKSTAMVTRDLLGGGASSRDDSQELDLDLQVPSGWEKRLDLKSGKVYLQRCSSSPSPSPSRSPSSSSDQRQKTNQTLAKLQDLNIPSSPSKITLNLFDESNLELKLVSSSSSSSSLSTTKYQSVCTLDKVKSALERAEKEPIRKRSSLWKSPLSPSYSSSSSSVREIPEEENEEKSESATATASVAAGCPGCLSYVLIMKSNPICPRCNSVVPVPTMKKPRIDLNISI >Manes.11G135900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30084738:30087560:1 gene:Manes.11G135900.v8.1 transcript:Manes.11G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGMRTVFFKQSPSSPPIRSPPHRHTFSDKLMDENIDNAHILISKWDSDSPTSSNYCSISSLFRDNRQEAKQYLNSIKQLQSAMQYYISENSASEKLVRAQNLMQIAMKRLEKEFYQTLKSNRDFLDSESLSNHSSRASRSSFSDFEDESEDDSSRYGDTVSEVERVSIVAMADLKAIADCMIGSGYGKECVKIYKIIRKSIVDETLYNLGVENLNFSQIQKMDWEVLELKIKSWLNAVKVAVKTLFYGEKVLCDYVFSASVSIKESCFADITRDGALTLFGFPESVAKCKKTPEKMFRTLDLYEAIADLWQDIESIFSFESTSTVRSQAVNSLIRLGEAARTMLTDFESAISKDNSKTPVPGGGVHPLTRYVMNYIAFLADYSGILSDIVADWPLTTSSPLPESYFGSPEHEDGSSSAISVRLAWLILVLLCKLDGKAEFYKEVSLSYLFLANNLQYVVNKVRKSSLKLLIGDEWITKHEAKVKQYALNYERMGWNKVFSSLPENSASGMTIHEAAECFKRFNSAFEEACKKQRSWVVPDGKLRDEIKVSLAKKLVPAYREFYEKYRVAVRREIGNTVTVKFSPDDLGNYLSDLFFGITGGSGSISSASSTSSFSSSSTHSASGKSR >Manes.18G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3252268:3256570:1 gene:Manes.18G036600.v8.1 transcript:Manes.18G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSHKSNSSSGSFPISFSSTMEPAKPSNPLLSLSTFIHQQCLRLGAELTTRLGDTTRALTANLPPGPTIRRLRPQPLFASLSQPKHAAAASTLSSDHVAKTLAGTAVYTVSNTNNEFVLISDPDGAKSISLLCFRQEDAETFLAQVRLRRRELRSKAKVVPITLDQVYMLKVEGIAFRFLPDPVQIKNALELKAAGVNSGFDGVPVFQSDLLVVKKKNKRYCPIYFQKEDIEKELSKVSRASRGLGLAQHIMVGSLEDVLRKMEMSENNSGWEDLIFIPPGKSCSQHIQEVAKL >Manes.09G039200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7256093:7257817:1 gene:Manes.09G039200.v8.1 transcript:Manes.09G039200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDLFARPFRKKGYIPLSVYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQVGNRIIKKRIHVRVEHLQQSRCTEEFRLRKKKNDALKAEAKARGEVISTKRQPEGPKPGFMVEGTLLETVTPIPYDVVNDLKGGY >Manes.03G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2434139:2436010:-1 gene:Manes.03G030700.v8.1 transcript:Manes.03G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLQQLQSKACQASKFVCKHGTAYYKQLLEQNKQYIQEPPTVEKCTLLSKQLFYTRLASIPNRYEAFWKELDYVKNLWKNRQELKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYP >Manes.17G070701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27072447:27081378:1 gene:Manes.17G070701.v8.1 transcript:Manes.17G070701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTKRSATSTATTSSTSTSATANFPPMKKAKSQAVSACSPIDPSSNKNGLHHVLSGTTADNDVVFDPSSMTLDDDPKLDDRSPPPAANLSRKKATPPQPAKKLVIKLLKAKPTLPANFEEDTWATLQSAIKAIFLKQPDSCDLEKLYQAVNDLCLHKMGGNLYQRIEKECEAHIHAALQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLALSPEVEHKIVTGLLRMIERERLGESVDRTLLNHLLKMFTALGIYAESFERPFLDCTSEFYAAEGMKYMQQSDIPDYLKHVEMRLHEEHERCLLYLDASTRKPLIATAERQLLERHISAILDKGFMMLMDGHRIEDLKRMYSLFLRVNALESLRQALSSYIRRTGQGIVMDEEKDKDMVSSLLEFKASLDTIWEESFSKNEVFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDRVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQVDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFQDIKDATAIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDDDSFVFNEGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Manes.17G070701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27072447:27081378:1 gene:Manes.17G070701.v8.1 transcript:Manes.17G070701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTKRSATSTATTSSTSTSATANFPPMKKAKSQAVSACSPIDPSSNKNGLHHVLSGTTADNDVVFDPSSMTLDDDPKLDDRSPPPAANLSRKKATPPQPAKKLVIKLLKAKPTLPANFEEDTWATLQSAIKAIFLKQPDSCDLEKLYQAVNDLCLHKMGGNLYQRIEKECEAHIHAALQSLVGQSPDLVVFLSLVERCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLALSPEVEHKIVTGLLRMIERERLGESVDRTLLNHLLKMFTALGIYAESFERPFLDCTSEFYAAEGMKYMQQSDIPDYLKHVEMRLHEEHERCLLYLDASTRKPLIATAERQLLERHISAILDKGFMMLMDGHRIEDLKRMYSLFLRVNALESLRQALSSYIRRTGQGIVMDEEKDKDMVSSLLEFKASLDTIWEESFSKNEVFCNTIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDRVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFQDIKDATAIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDDDSFVFNEGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Manes.08G135500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37239560:37240611:-1 gene:Manes.08G135500.v8.1 transcript:Manes.08G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITASKLPTVLAPASFKKTTRIHSIPILGLPVMARECKVRCSLEEKKETRSTGKNTSMVLSLMATAASTAGPAMALVDERMSTEGTGLPFGLSNNLLGWILLGVFGLIWSFYTVYTSSLEEDDDSALSL >Manes.09G172601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37799643:37802038:1 gene:Manes.09G172601.v8.1 transcript:Manes.09G172601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTNWVIEVNEKLEKMIDADNSMEEERWKKRAAYKIPAFVTDLNKKAYRPQAVSFGPYHHGEDHLKPMEEHKQRALLHFLKRAKKPLQVFFESLTGLVQLLKESYDPLDISWQDNTRFLQLMILDGCFMLEILRVATRTLDDYARNDPIFSNHGNLYVMPYIMRDMLMLENQLPLLVLDKLVAVESGKPMDEEFINNLILKFCFPDTPLSCLGNCLHPLDVYRKNLLQNHVGGEKPHRSRSRGKRRKGGDNIIRSATELNEAGIRFKKSKTRSLKDISFRGGVLRLPVIVVDDATESIFLNLMAFERFHVGAGNEVTSYIFFMDNIIDSERDVALLHSRGIIQNAIGSDKAVAKLFNSLSKDISLDPNSSLNFVHQKINVYCKKACNEWRANLIHTYFRNPWAILSLIAAVFLFALTIAQTVYTIYPYYQNNESPPSPSTSMLPPTPSPLPYSKPPSSHPRH >Manes.08G149300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38496054:38498234:1 gene:Manes.08G149300.v8.1 transcript:Manes.08G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYQNVTVSGEYHQQEQLLPSPSHCSKLGAAITLTSSLDDLFSAQNSEDVSLEWLSVFVEDCLSSSGNCLPAAPTASVQNINTTPNLSKPLKQKPQQNPQSSLERFVIPGKARSKRKRVTSLNTRNPLSSWSYGHQILHFPSSDPPLLQQAYWLADSELIMPKKETTTTNTATTTTCNIKRRDDEVQEEETREEEEDIDEELEEVAVNNNGKGSLAILENNSGQQPRRCTHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMAGSAHFNS >Manes.03G017200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1450656:1457327:-1 gene:Manes.03G017200.v8.1 transcript:Manes.03G017200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATTNFGSSSSTMKTFEFGRTYVVRPRGQHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVALFGGFPCTAWFDVGDISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCRVLGHYGNGNLYPVNLNAVVGLSGWLPCARTLRSRMEGSHEAARHAASLPILLCHGLCDDVVAYKHGEKSAQTLNSARFRNLTFRTYNGLGHYTIPEETDEVCNWLTTKLGLEGP >Manes.03G017200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1450656:1457504:-1 gene:Manes.03G017200.v8.1 transcript:Manes.03G017200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATTNFGSSSSTMKTFEFGRTYVVRPRGQHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVALFGGFPCTAWFDVGDISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCRVLGHYGNGNLYPVNLNAVVGLSGWLPCARTLRSRMEGSHEAARHAASLPILLCHGLCDDVVAYKHGEKSAQTLNSARFRNLTFRTYNGLGHYTIPEETDEVCNWLTTKLGLEGP >Manes.03G017200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1450656:1457504:-1 gene:Manes.03G017200.v8.1 transcript:Manes.03G017200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATTNFGSSSSTMKTFEFGRTYVVRPRGQHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVALFGGFPCTAWFDVGDISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCRVLGHYGNGNLYPVNLNAVVGLSGWLPCARTLRSRMEGSHEAARHAASLPILLCHGLCDDVVAYKHGEKSAQTLNSARFRNLTFRTYNGLGHYTIPEETDEVCNWLTTKLGLEGP >Manes.03G017200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1450656:1457327:-1 gene:Manes.03G017200.v8.1 transcript:Manes.03G017200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATTNFGSSSSTMKTFEFGRTYVVRPRGQHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVALFGGFPCTACKNSLVYLSSGSKIITIKKSLVRCKPYYLSCNSLMSQPAKFFISGFDVGDISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCRVLGHYGNGNLYPVNLNAVVGLSGWLPCARTLRSRMEGSHEAARHAASLPILLCHGLCDDVVAYKHGEKSAQTLNSARFRNLTFRTYNGLGHYTIPEETDEVCNWLTTKLGLEGP >Manes.02G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3080439:3084588:-1 gene:Manes.02G037300.v8.1 transcript:Manes.02G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPQTLGKTLPDAWDYKGRPAERSKTGGWTSAAMILGGEACERLTTLGIVVNLVTYLTGTMHLGNATSANAVTNFLGTSFILCLLGGFIADTFLGRYLTVAIFATVQAMGITVLTISTALPSLRPPKCVNDTGCIPANSKQLTVLYLALYMYALGTGGLKSSVSGFGSDQFDETDPTERKQMASFFHWFFFLINIGSLGAVTVLVYIQDNVGRKWGYGICGCAIVLGLILFLSGTRRYRFKKLAGSPLTQIATVFVGAWRKRHLEMPSDPSFLYDLDVIEEGGKKNKQKLPHSRQFRFLDRAAIKDPETNVIDKWNLSTLTDVEEVKMVIRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGKSFEIPPASLTVFFVGSILLTVPVYDKLVVPIVKKVLKKPNGLTPLQRIAVGLIFSIIAMIAAALCERKRLRAATLHGLVNDPTAQIPLSVFWLIPQFFFVGSGEALTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSSLLVSIVHKVTGNKPWLADNLNQGRLYDFYWLLAILSALNFVIFFACAKWYVYKDKRLAEVGIELEELDEPAMH >Manes.18G062000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5448492:5458853:-1 gene:Manes.18G062000.v8.1 transcript:Manes.18G062000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMRRSRAMTCLFLNQLVFHLAPSHSHNASSTCKSSRSFYYSLAKNAWGSTCPISHPAVRSFASNSSTRAMQSMANPESITYLTQRDAAEIDEILMGPLGFSVDQLMELAGSSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLYTGLVTQLESLAVPFLTVEDLPSDLSKDFDILVDAMFGFSFHGVPRPPFDDLIHKLVYLHKCNQTRQKSSVIVSIDIPSGWHVEEGDVGGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPSSVVEKYKLHLPPYPGTSMCVRIGKSPQVDISALRENYISPEFLEEEVEANPIDQFLKWFDDAVAARLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYQSRKAHQLSENPQASLLFYWDGLNRQVRVEGYVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQQFKELEEKYSGV >Manes.18G062000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5448492:5458883:-1 gene:Manes.18G062000.v8.1 transcript:Manes.18G062000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMRRSRAMTCLFLNQLVFHLAPSHSHNASSTCKSSRSFYYSLAKNAWGSTCPISHPAVRSFASNSSTRAMQSMANPESITYLTQRDAAEIDEILMGPLGFSVDQLMELAGSSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLYTGLVTQLESLAVPFLTVEDLPSDLSKDFDILVDAMFGFSFHGVPRPPFDDLIHKLVYLHKCNQTRQKSSVIVSIDIPSGWHVEEGDVGGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPSSVVEKYKLHLPPYPGTSMCVRIGKSPQVDISALRENYISPEFLEEEVEANPIDQFLKWFDDAVAARLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYQSRKAHQLSENPQASLLFYWDGLNRQVRVEGYVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQQFKELEEKYSGVNLIPKPKHWGGYRLKPELFEFWQGQQSRLHDRLQYVPQEINGKQVWKIVRLAP >Manes.18G062000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5448590:5458853:-1 gene:Manes.18G062000.v8.1 transcript:Manes.18G062000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMRRSRAMTCLFLNQLVFHLAPSHSHNASSTCKSSRSFYYSLAKNAWGSTCPISHPAVRSFASNSSTRAMQSMANPESITYLTQRDAAEIDEILMGPLGFSVDQLMELAGSSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLHHFGYKPFVCYPKRTAKPLYTGLVTQLESLAVPFLTVEDLPSDLSKDFDILVDAMFGFSFHGVPRPPFDDLIHKLVYLHKCNQTRQKSSVIVSIDIPSGWHVEEGDVGGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPSSVVEKYKLHLPPYPGTSMCVRIGKSPQVDISALRENYISPEFLEEEVEANPIDQFLKWFDDAVAARLKEPNAMCLSTVGKDGKPSSRMVLLKGVDKDGFVWYTNYQSRKAHQLSENPQASLLFYWDGLNRQVRVEGYVQKVSDEESEQYFHSRPRGSQIGAIVSKQSTIVPGRHVLHQQFKELEEKYSGVNLIPKPKHWGGYRLKPELFEFWQGQQSRLHDRLQYVPQEINGKQVWKIVRLAP >Manes.10G104500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25223402:25225944:-1 gene:Manes.10G104500.v8.1 transcript:Manes.10G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPREDNVYMAKLAEQAERYEEMVQFMEKVVFSTPESEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNADHVAAIKEYRAKIESELSEICGGILKLLDEKLVPAAASGDSKVFYLKMKGDYHRYLAEFKTGNERKEAAENTLNAYKASQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKDASKHEEEQK >Manes.04G121800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32343564:32351437:-1 gene:Manes.04G121800.v8.1 transcript:Manes.04G121800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGEEDVEYESDPEEKRMLGMRRREAASEDEEGEGEDKPRMDRSVIHSEELEGQGGAEYESDPEEEKRLLGMRRREAASDDEEGEGEEKPQMDRRAHHSEESDGQGGAAEYDDDVEELEGEDEEEEVYEDEEEEAYEDEQEEDEIDGFEEGKERNEEGVGGDGGVQIKVKEVDGRKVEELVDPKAVENHMEEDEDEEGKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTYGGRKLWESKDDKKWGHDKFEEMNLQERHYEQGRRGSKGNFRGHGGKNRAPDRGYARRNKSNTFSNGNNQSQAPKGVRGRGPRKYEPTWKTSSQAPPAQNKQPEKSFDRASHTDSGRVFTPTPNTESDQVPPARKHSSLSSASPPFYPSGSSNKDIPLTQKRNVQAGSSSRNIRTSVVNESFSMQQASALVRGKNIADSAGIDKLYVDDSVPSAAGKSLSTVQMSPGSSLVNSMQSSQSRTQGRGVAISSQMTYQSAPVQSQVNRVSSATQPNSVQQSPVQNRVPPSVLVPGQQLGQRSASGSQASSPPKSALSINSHDSGDTETTSESSKSRGGLVGKGKEGIQGSGRGSFLYGGAQVMGASGNMGVGHGDPNFPATPAFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYIAVDGNYHTRPSGQTSSVGSSSKENDRPSQRPELVNDEFGQRQKPRRYSEMDFKQPSTST >Manes.04G121800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32343564:32351437:-1 gene:Manes.04G121800.v8.1 transcript:Manes.04G121800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGEEDVEYESDPEEKRMLGMRRREAASEDEEGEGEDKPRMDRSVIHSEELEGQGGAEYESDPEEEKRLLGMRRREAASDDEEGEGEEKPQMDRRAHHSEESDGQGGAAEYDDDVEELEGEDEEEEVYEDEEEEAYEDEQEEDEIDGFEEGKERNEEGVGGDGGVQIKVKEVDGRKVEELVDPKAVENHMEEDEDEEGKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTYGGRKLWESKDDKKWGHDKFEEMNLQERHYEQGRRGSKGNFRGHGGKNRAPDRGYARRNKSNTFSNGNNQSQAPKGVRGRGPRKYEPTWKTSSQAPPAQNKQPEKSFDRASHTDSGRVFTPTPNTESDQVPPARKHSSLSSASPPFYPSGSSNKDIPLTQKRNVQAGSSSRNIRTSVVNESFSMQQASALVRGKNIADSAGIDKLYVDDSVPSAAGKSLSTVQMSPGSSLVNSMQSSQSRTQGRGVAISSQMTYQSAPVQSQVNRVSSATQPNSVQQSPVQNRVPPSVLVPGQQLGQRSASGSQASSPPKSALSINSHDSGDTETTSESSKSRGGLVGKGKEGIQGSGRGSFLYGGAQVMGASGNMGVGHGDPNFPATPAFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYIAVDGNYHTRPSGQTSSVGSSSKENDRPSQRPELVNDEFGQRQKPRRYSEMDFKQPSTST >Manes.04G121800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32343564:32351437:-1 gene:Manes.04G121800.v8.1 transcript:Manes.04G121800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGEEDVEYESDPEEKRMLGMRRREAASEDEEGEGEDKPRMDRSVIHSEELEGQGGAEYESDPEEEKRLLGMRRREAASDDEEGEGEEKPQMDRRAHHSEESDGQGGAAEYDDDVEELEGEDEEEEVYEDEEEEAYEDEQEEDEIDGFEEGKERNEEGVGGDGGVQIKVKEVDGRKVEELVDPKAVENHMEEDEDEEGKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTYGGRKLWESKDDKKWGHDKFEEMNLQERHYEQGRRGSKGNFRGHGGKNRAPDRGYARRNKSNTFSNGNNQSQAPKGVRGRGPRKYEPTWKTSSQAPPAQNKQPEKSFDRASHTDSGRVFTPTPNTESDQVPPARKHSSLSSASPPFYPSGSSNKDIPLTQKRNVQAGSSSRNIRTSVVNESFSMQQASALVRGKNIADSAGIDKLYVDDSVPSAAGKSLSTVQMSPGSSLVNSMQSSQSRTQGRGVAISSQMTYQSAPVQSQVNRVSSATQPNSVQQSPVQNRVPPSVLVPGQQLGQRSASGSQASSPPKSALSINSHDSGDTETTSESSKSRGGLVGKGKEGIQGSGRGSFLYGGAQVMGASGNMGVGHGDPNFPATPAFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYIAVDGNYHTRPSGQTSSVGSSSKENDRPSQRPELVNDEFGQRQKPRRYSEMDFKQPSTST >Manes.09G164400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35820546:35824164:-1 gene:Manes.09G164400.v8.1 transcript:Manes.09G164400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANLSATKTKTTPYKIQKKGRKKYTKHFHYSREREREMEFFSHKGKYNLLGQKPPLSHDTNLHTHLSLSLYLSISFSSLLLLFVLMETSTAGVDAAAPAGTPGNHSLKHQHSHSHLPSQHHASLSSSAIRIIIISGISLIIVLLLILLIVVMLRRLKSAQNRTSGFKDNNGCINNTSCKFIAHTTITFTSSPDVKGGCLYGSNLGHKSPRKYEGVQVFTYKELEVATDRFSEANVIGNGGSGVVYRGILADGTVAAVKMLRREGRQGERAFRIEVDLLSRLHSPYLVELLGYCADQHHRLLIFEFMSNGTLQNHLHHRQYQPLDWGTRLRIALDCARALEFLHEHTVPPVIHRDFKCSNILLDQNFRAKVSDFGLAKMGSEKINGQTSSTSVLGTTGYLAPESASTGKLTTKSDVYSYGVVLLQILTGRVPVDTKRPPGEHVLVSWALPRLTNREKVVEMVDPALRGLYSKKDLIQVAAIAAMCVQPEADYRPLMTDVVQSLIPLVKNLSSISSSASSRFQN >Manes.11G135700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30061718:30064289:1 gene:Manes.11G135700.v8.1 transcript:Manes.11G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSKQKTIPIRSYFNLSHYQHYRTWDLKKPRTQTSSHQKPQNFTRRRRRSIPFVISVKEVQDPDKALSLFHEYHQMGFKHDYPSYSALLYKLARSRNFEAVEKVLGYLQDNNVRCREALFIALFQHYGKVQLVDKAIELFNRMTDFNCIRSSQSFNALLNVLVDNDRLVDAKEFFDRSAKMGFRLNSVPFNIMIKGWLEKGDWGEACKVFEEMLERKVEPTLVTYNSLIGYSCRNRDLDKAKNLFENIIQNGKHPNAVTYALMMEGLCSMGEYKEAKKMLFDMEYRGCKPKPVNFGVLLNDLGKRGKIEEAKSLVLEMKKRRFKPDVVTYNILINYLCKEGRVVEAYKVLFEMQIGGCEANAATYRMLVDGFCQVGDFEGGLKVLNAMLTSRHFPRVETFNSLVVGLVESGNIAGACFVLEEMVKKKMILDSEGWEALIKDSCGRDGGAGELVNKLLISAA >Manes.15G177016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18714112:18714651:1 gene:Manes.15G177016.v8.1 transcript:Manes.15G177016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRNPFSFTSSTSLITCSPCPSSFTTSSISSLMEFSTTTTLDSCITTSSSISISMEVEIGTLASSFSFSFSTFPP >Manes.04G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2602668:2607864:-1 gene:Manes.04G021800.v8.1 transcript:Manes.04G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMETPLKEVHDLGSSQETVASPVHKCEGLTGIVEEDNHEHQQKLSNLFLEIPSSTLEDSLQDSVEIKIPPSPSPTPRKVNFLLTPNSSDVKACGSPGPSRGKSSLRNLLPKLSFKSRISTLDFEKAANLPPDSSYNMPREKPSISRSLSLTKIFTPRMKRTSSLPVSSIETSNSEPTHGGSTGDAPNSSGKGAQQQISRSLSVPVNNKERSLRRMDSFFRVIPSTSQVKEGDVITNASPRSDTETDDTDGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKTCDVCKQEVQNLPVTLLRIQSVRTRTTGASRAHQADANGYRVWHEVPVLVIISMLAYFCFLEQLLVGNMGTSAIAISLPFSCVLGLLSSMISSTMVKRRFVWVYASIQFALVVLFAHIFYSLVNLQAVLSILLATFAGFGVAMSGSSILVEIMRWKRRRNFQSELLHDSYMITGLGQFPRTVRSSQRVSRDRH >Manes.16G112300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31787895:31789901:-1 gene:Manes.16G112300.v8.1 transcript:Manes.16G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIKSLFILPLFFVSGFAEKFDQPNAYQVLNKEHILGPHELFGNPFGNPDDYKKVKVPDDFGTQIQGDDVAAPVSNKPQNGPPDSPNLATLGFKGAWELVSKNSGVSAMHAILLPKINQVLMYDATIWKISNIRLPNGECRILNKTTGEKDCWCHSVLYDINNAKLTPLELHTDTWCSSGGLDVNGNLVSTGGFQGGANTVRYLGTCKGCNWREYPTALADRRWYSTQATLADGGFIVVGGRDAFSYEYIPAEGKCNAKPFLFEFLRQTTDPEENNLYPFVFLSTDGNVFIFANSRSVLLSPKSNKIVREFPVLPGGHRNYPASGMAALLPLKLKAEGQTMVNTEVLICGGSAHKDSYSKAEKNIFYTALQDCARMKITGNDSVWRRELMPTPRVMGDMMILPTGEALILNGAKRGASGWGFAREPNFAPVLYNPRAKKGERFTELAPSNIPRMYHSVSAVLPDGKVLVGGSNTNNGYIYDAMYPTELRIEKFSPPYLNPALAKKRPVIQGMPSAITYGGNVAVQIKLEGSQVQQQDLKVAMYCPAFTTHGVSMNQRLIDLGLKEVKSNVGTHTIVAVAPPSNMIAPPGFYLFSVVYQGVPSVAKWVQIK >Manes.08G055200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6023600:6034696:1 gene:Manes.08G055200.v8.1 transcript:Manes.08G055200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSSETKDTILKVLGISNASSLTLVSLLPSSSSAEAHQFHAGEILSGHLPLNFYILTFATNNIIVCTGAIAVLMWSIPCRPILVYTLISVVALYGLLMLKIMPKFVVGVLGIEFSSYALVWSLALTFISAAFVGYHFVKYACLGLSKLGTWVVAKLKLDTAWIYVCSTQQTLAADMHSFLLAVSRWVHDG >Manes.08G055200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6023600:6034696:1 gene:Manes.08G055200.v8.1 transcript:Manes.08G055200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSSETKDTILKVLGISNASSLTLVSLLPSSSSAEAHQFHAGEILSGHLPLNFYILTFATNNIIVCTGAIAVLMWSIPCRPILVYTLISVVALYGLLMLKIMPKFVVGVLGIEFSSYALVWSLALTFISAAFVGYHFVKYACLGLSKLGTWVVAKLKLDTAWIYVCSTQQTLAADMHSFLLAVSRWVHDG >Manes.16G055700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19631714:19633529:-1 gene:Manes.16G055700.v8.1 transcript:Manes.16G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYSALMDTIETYTGLSPAAFFTIAALMVVVYKIVCSMFVDSEEFNKPSSNSSTNHHHHHLATPKVSEPVHLGDVTEQELRAYDGSDPNKPLLMAIKGQIYDVSSSRMFYGPGGPYAKFAGRDASRALALLSFDPQDLTGNLEGLSENELEVLQDWEYKFMEKYKKVGQLVLEKTRTKEPDGGEEVEETANMK >Manes.09G080900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11951549:11957396:1 gene:Manes.09G080900.v8.1 transcript:Manes.09G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSSELPQRKSPATPRTARKLKIPGPDSDSPSVNPTSKTPKDKTPKVRERRSPRSPASEKKCPNRLPELELQLLQLQEDLKKAKDQLNTSETWKRRAQQDAEDTKKQLLAMSAKLEESQQQLMELSTSEDVRVQELQKISYDRDKAWQSELEAVQKQHSIDSTALASAMNEIQKLKGQLEMVVESEAVQTKHAESAYAELEGLRMELTETLSIAKKLKTELSDCRESEAQALELGGKTQMQLETANATVEMLQSDGIKSMEAYTSLSLELEQSRAQVKSLEEFVLKLQSDLVYSGKKLLSLTGDVEASGERGENEEKKQLEAELNSLKFEMGQLRSALEASETRYHEEYIQSTLQIRSAYEQVEQVKLESGKREAELEAELKKAKSNMEELRSNLMDKETKLQSISEENEGLNLKIGKNQQPSDGESELTMEIKNLEHDIAELKACLLDKETQLQSITEQNEMLKMEVKKGVMERSQVHDEAAALAETAKAAEQEALVKLSHLTEEVDKSSKRAARLTEQLDAAQAANTEMEAELRKLKVQSDQWRKAAEAAAAILSAGKSGKIMERTGSLENNCNTIGGIMGSPYLEDMDDDSPKKKNGNMLKKIGVLWKKGQK >Manes.09G080900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11951748:11957396:1 gene:Manes.09G080900.v8.1 transcript:Manes.09G080900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSSELPQRKSPATPRTARKLKIPGPDSDSPSVNPTSKTPKDKTPKVRERRSPRSPASEKKCPNRLPELELQLLQLQEDLKKAKDQLNTSETWKRRAQQDAEDTKKQLLAMSAKLEESQQQLMELSTSEDVRVQELQKISYDRDKAWQSELEAVQKQHSIDSTALASAMNEIQKLKGQLEMVVESEAVQTKHAESAYAELEGLRMELTETLSIAKKLKTELSDCRESEAQALELGGKTQMQLETANATVEMLQSDGIKSMEAYTSLSLELEQSRAQVKSLEEFVLKLQSDLVYSGKKLLSLTGDVEASGERGENEEKKQLEAELNSLKFEMGQLRSALEASETRYHEEYIQSTLQIRSAYEQVEQVKLESGKREAELEAELKKAKSNMEELRSNLMDKETKLQSISEENEGLNLKIGKNQQPSDGESELTMEIKNLEHDIAELKACLLDKETQLQSITEQNEMLKMEVKKGVMERSQVHDEAAALAETAKAAEQEALVKLSHLTEEVDKSSKRAARLTEQLDAAQAANTEMEAELRKLKVQSDQWRKAAEAAAAILSAGKSGKIMERTGSLENNCNTIGGIMGSPYLEDMDDDSPKKKNGNMLKKIGVLWKKGF >Manes.09G080900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11951748:11957396:1 gene:Manes.09G080900.v8.1 transcript:Manes.09G080900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSSELPQRKSPATPRTARKLKIPGPDSDSPSVNPTSKTPKDKTPKVRERRSPRSPASEKKCPNRLPELELQLLQLQEDLKKAKDQLNTSETWKRRAQQDAEDTKKQLLAMSAKLEESQQQLMELSTSEDVRVQELQKISYDRDKAWQSELEAVQKQHSIDSTALASAMNEIQKLKGQLEMVVESEAVQTKHAESAYAELEGLRMELTETLSIAKKLKTELSDCRESEAQALELGGKTQMQLETANATVEMLQSDGIKSMEAYTSLSLELEQSRAQVKSLEEFVLKLQSDLVYSGKKLLSLTGDVEASGERGENEEKKQLEAELNSLKFEMGQLRSALEASETRYHEEYIQSTLQIRSAYEQVEQVKLESGKREAELEAELKKAKSNMEELRSNLMDKETKLQSISEENEGLNLKIGKNQQPSDGESELTMEIKNLEHDIAELKACLLDKETQLQSITEQNEMLKMEVKKGVMERSQVHDEAAALAETAKAAEQEALVKLSHLTEEVDKSSKRAARLTEQLDAAQAANTEMEAELRKLKVQSDQWRKAAEAAAAILSAGKSGKIMERTGSLENNCNTIGGIMGSPYLEDMDDDSPKKKNGNMLKKIGVLWKKGQK >Manes.09G080900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11951748:11957396:1 gene:Manes.09G080900.v8.1 transcript:Manes.09G080900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSSELPQRKSPATPRTARKLKIPGPDSDSPSVNPTSKTPKDKTPKVRERRSPRSPASEKKCPNRLPELELQLLQLQEDLKKAKDQLNTSETWKRRAQQDAEDTKKQLLAMSAKLEESQQQLMELSTSEDVRVQELQKISYDRDKAWQSELEAVQKQHSIDSTALASAMNEIQKLKGQLEMVVESEAVQTKHAESAYAELEGLRMELTETLSIAKKLKTELSDCRESEAQALELGGKTQMQLETANATVEMLQSDGIKSMEAYTSLSLELEQSRAQVKSLEEFVLKLQSDLVYSGKKLLSLTGDVEASGERGENEEKKQLEAELNSLKFEMGQLRSALEASETRYHEEYIQSTLQIRSAYEQVEQVKLESGKREAELEAELKKAKSNMEELRSNLMDKETKLQSISEENEGLNLKIGKNQQPSDGESELTMEIKNLEHDIAELKACLLDKETQLQSITEQNEMLKMEVKKGVMERSQVHDEAAALAETAKAAEQEALVKLSHLTEEVDKSSKRAARLTEQLDAAQAANTEMEAELRKLKVQSDQWRKAAEAAAAILSAGKSGKIMERTGSLENNCNTIGGIMGSPYLEDMDDDSPKKKNGNMLKKIGVLWKKGF >Manes.09G080900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11951549:11957504:1 gene:Manes.09G080900.v8.1 transcript:Manes.09G080900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSSELPQRKSPATPRTARKLKIPGPDSDSPSVNPTSKTPKDKTPKVRERRSPRSPASEKKCPNRLPELELQLLQLQEDLKKAKDQLNTSETWKRRAQQDAEDTKKQLLAMSAKLEESQQQLMELSTSEDVRVQELQKISYDRDKAWQSELEAVQKQHSIDSTALASAMNEIQKLKGQLEMVVESEAVQTKHAESAYAELEGLRMELTETLSIAKKLKTELSDCRESEAQALELGGKTQMQLETANATVEMLQSDGIKSMEAYTSLSLELEQSRAQVKSLEEFVLKLQSDLVYSGKKLLSLTGDVEASGERGENEEKKQLEAELNSLKFEMGQLRSALEASETRYHEEYIQSTLQIRSAYEQVEQVKLESGKREAELEAELKKAKSNMEELRSNLMDKETKLQSISEENEGLNLKIGKNQQPSDGESELTMEIKNLEHDIAELKACLLDKETQLQSITEQNEMLKMEVKKGVMERSQVHDEAAALAETAKAAEQEALVKLSHLTEEVDKSSKRAARLTEQLDAAQAANTEMEAELRKLKVQSDQWRKAAEAAAAILSAGKSGKIMERTGSLENNCNTIGGIMGSPYLEDMDDDSPKKKNGNMLKKIGVLWKKGQK >Manes.09G080900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11951549:11957445:1 gene:Manes.09G080900.v8.1 transcript:Manes.09G080900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSSELPQRKSPATPRTARKLKIPGPDSDSPSVNPTSKTPKDKTPKVRERRSPRSPASEKKCPNRLPELELQLLQLQEDLKKAKDQLNTSETWKRRAQQDAEDTKKQLLAMSAKLEESQQQLMELSTSEDVRVQELQKISYDRDKAWQSELEAVQKQHSIDSTALASAMNEIQKLKGQLEMVVESEAVQTKHAESAYAELEGLRMELTETLSIAKKLKTELSDCRESEAQALELGGKTQMQLETANATVEMLQSDGIKSMEAYTSLSLELEQSRAQVKSLEEFVLKLQSDLVYSGKKLLSLTGDVEASGERGENEEKKQLEAELNSLKFEMGQLRSALEASETRYHEEYIQSTLQIRSAYEQVEQVKLESGKREAELEAELKKAKSNMEELRSNLMDKETKLQSISEENEGLNLKIGKNQQPSDGESELTMEIKNLEHDIAELKACLLDKETQLQSITEQNEMLKMEVKKGVMERSQVHDEAAALAETAKAAEQEALVKLSHLTEEVDKSSKRAARLTEQLDAAQAANTEMEAELRKLKVQSDQWRKAAEAAAAILSAGKSGKIMERTGSLENNCNTIGGIMGSPYLEDMDDDSPKKKNGNMLKKIGVLWKKGQK >Manes.09G080900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11952351:11957396:1 gene:Manes.09G080900.v8.1 transcript:Manes.09G080900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSSELPQRKSPATPRTARKLKIPGPDSDSPSVNPTSKTPKDKTPKVRERRSPRSPASEKKCPNRLPELELQLLQLQEDLKKAKDQLNTSETWKRRAQQDAEDTKKQLLAMSAKLEESQQQLMELSTSEDVRVQELQKISYDRDKAWQSELEAVQKQHSIDSTALASAMNEIQKLKGQLEMVVESEAVQTKHAESAYAELEGLRMELTETLSIAKKLKTELSDCRESEAQALELGGKTQMQLETANATVEMLQSDGIKSMEAYTSLSLELEQSRAQVKSLEEFVLKLQSDLVYSGKKLLSLTGDVEASGERGENEEKKQLEAELNSLKFEMGQLRSALEASETRYHEEYIQSTLQIRSAYEQVEQVKLESGKREAELEAELKKAKSNMEELRSNLMDKETKLQSISEENEGLNLKIGKNQQPSDGESELTMEIKNLEHDIAELKACLLDKETQLQSITEQNEMLKMEVKKGVMERSQVHDEAAALAETAKAAEQEALVKLSHLTEEVDKSSKRAARLTEQLDAAQAANTEMEAELRKLKVQSDQWRKAAEAAAAILSAGKSGKIMERTGSLENNCNTIGGIMGSPYLEDMDDDSPKKKNGNMLKKIGVLWKKGF >Manes.09G080900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11951549:11957434:1 gene:Manes.09G080900.v8.1 transcript:Manes.09G080900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLEESQQQLMELSTSEDVRVQELQKISYDRDKAWQSELEAVQKQHSIDSTALASAMNEIQKLKGQLEMVVESEAVQTKHAESAYAELEGLRMELTETLSIAKKLKTELSDCRESEAQALELGGKTQMQLETANATVEMLQSDGIKSMEAYTSLSLELEQSRAQVKSLEEFVLKLQSDLVYSGKKLLSLTGDVEASGERGENEEKKQLEAELNSLKFEMGQLRSALEASETRYHEEYIQSTLQIRSAYEQVEQVKLESGKREAELEAELKKAKSNMEELRSNLMDKETKLQSISEENEGLNLKIGKNQQPSDGESELTMEIKNLEHDIAELKACLLDKETQLQSITEQNEMLKMEVKKGVMERSQVHDEAAALAETAKAAEQEALVKLSHLTEEVDKSSKRAARLTEQLDAAQAANTEMEAELRKLKVQSDQWRKAAEAAAAILSAGKSGKIMERTGSLENNCNTIGGIMGSPYLEDMDDDSPKKKNGNMLKKIGVLWKKGQK >Manes.15G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10422544:10426795:-1 gene:Manes.15G130500.v8.1 transcript:Manes.15G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLGLLIIGVRRGINLAVRDIGSSDPYVIITSGRQKLKTRVVKRNCNPQWNEDLTLTITDIHAPIKLEVYDKDTFTGDDKMGDAEIDIRPYIASLKMGFQKLPNGCALKRVPPTMSNCLADESSIVWNNGEITQDMLIRLRNVESGEVELRLKWVHIPGCKGLENEDSGSPQYIKGETFTIGKKHS >Manes.15G130500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10423188:10426795:-1 gene:Manes.15G130500.v8.1 transcript:Manes.15G130500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLGLLIIGVRRGINLAVRDIGSSDPYVIITSGRQKLKTRVVKRNCNPQWNEDLTLTITDIHAPIKLEVYDKDTFTGDDKMGDAEIDIRPYIASLKMGFQKLPNGCALKRVPPTMSNCLADESSIVWNNGEITQDMLIRLRNVESGEVELRLKWVHIPGCKGLENEGTSRKH >Manes.15G138900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11238583:11241342:-1 gene:Manes.15G138900.v8.1 transcript:Manes.15G138900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHHHHHAVEFDVEFWPVEHPMEPQDEDRPVRCPIPTSSVVNDGSMREEREGESLRKRAEVPAMVNKEGVVIVAAEPPLTAVRKRHHTLSHGNHMVTSLTRMPPLPTLPTQNVTIFQMLQQFDEFES >Manes.15G138900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11238583:11241342:-1 gene:Manes.15G138900.v8.1 transcript:Manes.15G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCGDGEHDFDETESEVPVVDESSRQRRGGEMDIHHHHHHAVEFDVEFWPVEHPMEPQDEDRPVRCPIPTSSVVNDGSMREEREGESLRKRAEVPAMVNKEGVVIVAAEPPLTAVRKRHHTLSHGNHMVTSLTRMPPLPTLPTQNVTIFQMLQQFDEFES >Manes.15G138900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11238583:11241342:-1 gene:Manes.15G138900.v8.1 transcript:Manes.15G138900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHHHHHAVEFDVEFWPVEHPMEPQDEDRPVRCPIPTSSVVNDGSMREEREGESLRKRAEVPAMVNKEGVVIVAAEPPLTAVRKRHHTLSHGNHMVTSLTRMPPLPTLPTQNVTIFQMLQQFDEFES >Manes.08G114000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35293911:35298517:1 gene:Manes.08G114000.v8.1 transcript:Manes.08G114000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAEVSRMTSPLVPLGTLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLIKPDCQRIPGDSSTTFSVFAIFDGHNGISAAIFTKEKLLDNVLSAIPQEVSREEWLQALPRALVAGFVKTDIEFQQRRETSGTTVTFVVIDGWTVTVASVGDSRCILDIQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSAGGRLIIASDGIWDALSSDMAAKCCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDVPVLPSIPRKKQNVLSSLLFGKKSLNSVSKATNKLSAVGVVEELFEEGSAMLAERLGKDFPSNTNSGLYRCAVCQVDQNPTDGLSVNSGSFFSPGSKPWEGPFLCPNCQKKKDAMEGKIPSRPTVIA >Manes.08G114000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35293911:35298517:1 gene:Manes.08G114000.v8.1 transcript:Manes.08G114000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLRPLHVSTAEVSRMTSPLVPLGTLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLIKPDCQRIPGDSSTTFSVFAIFDGHNGISAAIFTKEKLLDNVLSAIPQEVSREEWLQALPRALVAGFVKTDIEFQQRRETSGTTVTFVVIDGWTVTVASVGDSRCILDIQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSAGGRLIIASDGIWDALSSDMAAKCCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDVPVLPSIPRKKQNVLSSLLFGKKSLNSVSKATNKLSAVGVVEELFEEGSAMLAERLGKDFPSNTNSGLYRCAVCQVDQNPTDGLSVNSGSFFSPGSKPWEGPFLCPNCQKKKDAMEGKIPSRPTVIA >Manes.08G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35293911:35298517:1 gene:Manes.08G114000.v8.1 transcript:Manes.08G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLRPLHVSTAEVSRMTSPLVPLGTLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLIKPDCQRIPGDSSTTFSVFAIFDGHNGISAAIFTKEKLLDNVLSAIPQEVSREEWLQALPRALVAGFVKTDIEFQQRRETSGTTVTFVVIDGWTVTVASVGDSRCILDIQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSAGGRLIIASDGIWDALSSDMAAKCCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDVPVLPSIPRKKQNVLSSLLFGKKSLNSVSKATNKLSAVGVVEELFEEGSAMLAERLGKDFPSNTNSGLYRCAVCQVDQNPTDGLSVNSGSFFSPGSKPWEGPFLCPNCQKKKDAMEGKIPSRPTVIA >Manes.08G114000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35293911:35298517:1 gene:Manes.08G114000.v8.1 transcript:Manes.08G114000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAEVSRMTSPLVPLGTLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLIKPDCQRIPGDSSTTFSVFAIFDGHNGISAAIFTKEKLLDNVLSAIPQEVSREEWLQALPRALVAGFVKTDIEFQQRRETSGTTVTFVVIDGWTVTVASVGDSRCILDIQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSAGGRLIIASDGIWDALSSDMAAKCCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDVPVLPSIPRKKQNVLSSLLFGKKSLNSVSKATNKLSAVGVVEELFEEGSAMLAERLGKDFPSNTNSGLYRCAVCQVDQNPTDGLSVNSGSFFSPGSKPWEGPFLCPNCQKKKDAMEGKIPSRPTVIA >Manes.08G114000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35293911:35298517:1 gene:Manes.08G114000.v8.1 transcript:Manes.08G114000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLRPLHVSTIFDGHNGISAAIFTKEKLLDNVLSAIPQEVSREEWLQALPRALVAGFVKTDIEFQQRRETSGTTVTFVVIDGWTVTVASVGDSRCILDIQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSSAGGRLIIASDGIWDALSSDMAAKCCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDVPVLPSIPRKKQNVLSSLLFGKKSLNSVSKATNKLSAVGVVEELFEEGSAMLAERLGKDFPSNTNSGLYRCAVCQVDQNPTDGLSVNSGSFFSPGSKPWEGPFLCPNCQKKKDAMEGKIPSRPTVIA >Manes.01G155000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34161611:34165293:-1 gene:Manes.01G155000.v8.1 transcript:Manes.01G155000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSPSQDANPSSSFTTTTTNPPTPGSSNYTTTMDFSATSSSAGKSQFSAAVSEANEEANPNGQILEAPHLKEFTFADLKSATKNFRPDTLLGEGGFGKVFKGWIDEKTFAPSRSAIGMVVAVKKLNSESVQGFQEWQSEVNFLGRLSHPNLVKLLGYCWEDKELLLVYEFMQKGSLENHLFRRNPAIEPLSWDLRLKIAIGAARGLAFLHTSDKKVIYRDFKASNILLDGNYNAKISDFGLAKLGPSAGDSHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEIMTGLRALDTKRPNGEQNLVEWLRPVLSLKRKLKNIMDIRIEGQYSSKAMLQAAQLTLKCLESDPKSRPSMKEVLEELEQIEAIKDKEKPKGTKSASGSSRSSTSHRSAHHRSPLHFRQRGK >Manes.04G019700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413199:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVVLLVLLLFVNARRKTSKVKI >Manes.04G019700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413202:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSLNPIQGFGYWWFLHHFCCYRNYVDGSYNEAHYDFDAAWIGASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVVLLVLLLFVNARRKTSKVKI >Manes.04G019700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413199:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDPTMRLTMILMLLGLASASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVVLLVLLLFVNARRKTSKVKI >Manes.04G019700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413202:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSLNPIQGFGYWWFLHHFCCYRNYVDGSYNEAHYDFDAAWIGASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVLQGSKVA >Manes.04G019700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413200:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDPTMRLTMILMLLGLASASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTV >Manes.04G019700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413199:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVLQGSKVA >Manes.04G019700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413199:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVGSKVA >Manes.04G019700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413200:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDPTMRLTMILMLLGLASASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTV >Manes.04G019700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413200:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDPTMRLTMILMLLGLASASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVVLLVLLLFVNARRKTSKVKI >Manes.04G019700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413199:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDPTMRLTMILMLLGLASASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVGSKVA >Manes.04G019700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413200:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDPTMRLTMILMLLGLASASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVGSKVA >Manes.04G019700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413202:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSLNPIQGFGYWWFLHHFCCYRNYVDGSYNEAHYDFDAAWIGASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVGSKVA >Manes.04G019700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413200:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDPTMRLTMILMLLGLASASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVLQGSKVA >Manes.04G019700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2413199:2421317:1 gene:Manes.04G019700.v8.1 transcript:Manes.04G019700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDPTMRLTMILMLLGLASASPMKNDFSHCEKIVKKWAFASLEEEATKGKHTLRDLLFFLHVPRTGGRTYFHCFLRKLYHNSQECPRSYDKLRFDPSKQKCKLLVTHDDYSMMSKLPKEKTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATRMAGRLRPRNGGVSTLDIWPWKYLVPWMREDLFARRDTRKFRGSNHVKSKDPYNMEEIVMPLHEYIMDPVAYDIVHNGATFQVAGLTNNSYYVESHEVRHCVQKYKILGEHVLQVAKKRLDEMLYVGLTEDHRESATMFANVVGAQVISQALALNSSEETAADSKSEQSSSISDSEPENDDHQVSDSDQKASEIASTENLEGKKERMTVKKLMTTYEDCISSLRKTQARRRTSSLKRIAPANFSKEDRLHVSEVVIEQIRSLNNLDLELYKYAQDIFATQHKYSMQKLASTEVLEDLFNNSGGITLWKAVSSVMGIVLQGSKVA >Manes.10G133683.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29985979:29990502:-1 gene:Manes.10G133683.v8.1 transcript:Manes.10G133683.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVAAAGSILSGWFQGLLDRLNSIDLKKYVGQGQVLAQLMKWEKILKRIYAVLEDAEEKQTANRLVEIWLCDLRDLAYDLEDIIDELATEVQQRKLEEEPVHPEKKHKVHEFISVMLRGGNLNLNTIKFNVEMVAKIEETSARLDEIIKQKDELRLAEYTTRRVSHVTERPPATSLVNEAKVYGREEDKKAMLKLLNAETSDAQVSVISIVGMGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVSRVTKTFLLQLGDGGDDKDLNVLQVKLKQKLSGNKFLVVLDDVWTQNYEEWTLFWGPFEAGAPQSRVIVTTRSQDVSLMMGTTQAYALKKLSHNECMSVFAQHALGANNFDAHLELQQMGGEIVKRCGGLPLAAKALGGILRGKPNPDLWKEVLRNEIWELPDDKSNILPALRVSYLHLPPHLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDQKKMKDSEGLGHKYFDDLLSRSFFQQSNDNKSKYIMHDLIVDLACFVSREICLHMVGKLENAKSFAKIRHSSFIPHVMNTFQRFQSFCEMKNLRTLLSWSRSVGRCYLTSKVVHELVPKLKCLRSLSLAGYKIEELPNSIGDLKHLRYLNLSDNPIQGLPESVDKLLNLQTLKLRDCDELIKLPKGICNLLNLQHLDIIGTRKLKEMPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGQLHITSLENVEVADIRDAGFVNLKDKHGITELHLEWAEADERFDDLRNSCHEEQVLDSIQPHQSLSRLSITSFGGRKFPSWLGEPSFSGMVQVELWNCRQMTSLPPLGRLKSLKKLSIGDMSGVKEVGVEFYEDDSCFSCLEELEIRSMGEWELWAWSKGLGEDSVPKFPKLHDLQLYKCPKLVGELPNFLPSLEYLVIDDCPLLVELPKVLPSLTRLHFARCQEAILRSVTNATCLTSLKYLEIALCDELVSLVDGEPGLLPCNLEDLKIYECPNLKELPSGLKDLKSLKYLTIRRCRSLVSFPTGGLPHNMIRLRITSCESLESMPEGIVCSSNYSGETSHLEKLYISVCESLRCSSNGKFPYSLKTLRIHNWTPQFLNSLYCGLSHLTELHIVTCPQLESFPGKELPLPSLISLRIADCEGLRSLSNHMQNFQSLQKLEIFGCLRLELFPEIGLPNPKLVSFEISWCKNLRSLPNQMQNLTSLQSICISNCEGIESLGEGYLPPYLTALHIWECLNLKQPMPEWGLHRLASLRILAPNVESTGDFISFPDDDGFLLPTSLTYLCITGFENLKSISRGIQKLTSLEKLSISGCPKLQSFPAEGLPATLEFLAIDGCPLLRDRCLKDKGGDYWPIISDIPYVYIEN >Manes.08G173300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40843550:40846121:-1 gene:Manes.08G173300.v8.1 transcript:Manes.08G173300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGNVLMHKYEMGRLLGQGTFAKVHYARDIRTGTSVAIKIIDKEKIMKVGLMDQIKREISVMKLVRHPNIIQLYEVIATKTKIYYVLEYVKGGELFNKVAKSKLKEDVARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDEDGNLKVSDFGLSALAECTRQDGLLHTTCGSPAYVAPEVIKRKGYDGPKADIWSCGVILYVLLAGYLPFYDSNLMEMYRRIAKADFKSPDWFAPEACRLLSKILDPNPRTRISIAEIIESPWYWYHRDLESQSPMVETDTKGPAYLDCDAVNESSCAVTESKQELCYLNAFDIISYSAGFDLSGLFEEKEKKKEMRFTAKKTASIIISKLEDTAKRLRLKIKKKDAGLLKFEGSNEGRKGALGIDAQIFEITPYFHLVEMKKSSGDTIEYQALLKQEIRPALKDVIWTWQGEQQQQLLKQEEQQEL >Manes.08G173300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40843550:40846113:-1 gene:Manes.08G173300.v8.1 transcript:Manes.08G173300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGNVLMHKYEMGRLLGQGTFAKVHYARDIRTGTSVAIKIIDKEKIMKVGLMDQIKREISVMKLVRHPNIIQLYEVIATKTKIYYVLEYVKGGELFNKVAKSKLKEDVARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDEDGNLKVSDFGLSALAECTRQDGLLHTTCGSPAYVAPEVIKRKGYDGPKADIWSCGVILYVLLAGYLPFYDSNLMEMYRRIAKADFKSPDWFAPEACRLLSKILDPNPRTRISIAEIIESPWYWYHRDLESQSPMVETDTKGPAYLDCDAVNESSCAVTESKQELCYLNAFDIISYSAGFDLSGLFEEKEKKKEMRFTAKKTASIIISKLEDTAKRLRLKIKKKDAGLLKFEGSNEGRKGALGIDAQIFEITPYFHLVEMKKSSGDTIEYQALLKQEIRPALKDVIWTWQGEQQQQLLKQEEQQEL >Manes.18G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6958438:6963405:-1 gene:Manes.18G076500.v8.1 transcript:Manes.18G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVLFQWTVFRSLLAIIQWWGFNVTVIIMNKWIFQRLDFKFPLSVSCIHFICSSIGAYLVIKVLKLKPLIVVDPEDRWRRIFPMSFVFCINIVLGNISLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSVTELSFNIFGFCAALLGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPAILLEGNGIVDWFYTQQSAWSSLVIIFTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVFVSWLIFQNPISALNAVGCSITLVGCTFYGFVRHLLSQQPPPPGTPRTPRNRMELLPLVNDKLDDKV >Manes.01G194800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36924703:36925542:-1 gene:Manes.01G194800.v8.1 transcript:Manes.01G194800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSFLLYTFLTLPLLLGLAKSDPESLQDYCVADPKPSFYLNGVPCINPKLVTTAHFTTSALAKPGNTRANPFGFNVTLTTVANLPGLNTLGLSLARVDIAANGVVPPHSHPRASEVTICLKGSILVGFVDTSNGLYTQQLRVGESFVFPKGLIHFLFNLESAPALAVSGLSSQNPGAQIASLAAFTSNPYIPDDVVKKAFQITTQDVMKIRKNLGG >Manes.14G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10009550:10010745:1 gene:Manes.14G126100.v8.1 transcript:Manes.14G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFFSVLFLLSFLFCLANFSEASVPCSTVDAKAAACVGFATGKDPKPSPACCSGLQQLVQTVKTVDDKKAICRCLKTSSKSLGIKDEFLSKIPGDCNINVGFPVSTSTNCETIH >Manes.10G124500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28838260:28838987:1 gene:Manes.10G124500.v8.1 transcript:Manes.10G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSKILTSSDILRRLSMPENTIKAFPPAQKGHEIILHVKDDSGNVWSFRCRIPAIGFSKPVVFGNWFKFVRSKDLKPSDTIVLYKEMDESSGAQYKIEVKKRDQVIRKDTVTCKNTLVINHHYHYL >Manes.18G091300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8386660:8398379:1 gene:Manes.18G091300.v8.1 transcript:Manes.18G091300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADAHRTDLMTITRYVLNEQSKHPDSRGDFSILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVEPDKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKHGHEPTLDDVLQPGKNMVAAGYCMYGSSCMFVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNTKNWDDPTTKYVENCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPGDKKSPSGKLRVLYEVFPMSFLMEQAGGQSFTGKQRALDLVPEKIHQRSPIFLGSYDDVEEIKQLYTAA >Manes.13G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5171849:5174881:1 gene:Manes.13G043700.v8.1 transcript:Manes.13G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLKLHSLCILCIFLALPFTAALTESLSSSSASASSSTDVGLLLGKIKASLQGNSENLLLSSWNSSVPLCQWRGLKWVFSNGSPLSCSDLSAPEWTNLSLYKDPSLHLLSLLLPSANLTGSLPRELGEFSMLQSLYLNINSLTGTIPLELGYSSSLSDIDLSDNLFTGVLAPSIWNLCDRLVSLKLHGDSISGSLPEPALPNSTCKNMQFLDLGSNKFSGNFPEFLTRFQGLKELDLSNNMFSGSIPQSLSALSLEKLNLSHNNFSGMLPVFGESKFGVEVFEGNDPSLCGLPLRSCSGGSRLTSGAIAGMVIGLMTGVVVLVSLLIGYMQNKKRKGREESEDELEEGEDEENGGNGGEGKLILFQGGEHLTLDDVLNATGQVTEKTTYGTVYKAKLADGGTIALRLLREGSCKDRSSCLPEIKQLGKIRHENLIPLRAFYQGKRGEKLLIYDYLPNKNLYDLLHETKAGKPVLNWARRHKIALGIARGLAYLHTGLETPITHGNVRSKNVLVDEFFVARLTEFGLDKLMVPAVADEIVALAKTDGYKAPELQRMKKCNSRTDVFAFGILLLEILIGKKPGKNGRNGDFVDLPSMVKVAVLEETTMEVFDVELLKGIRSPMEEGLVQALKLAMGCCAPVPSVRPTMEEVVKQLEENRPRNRSALYSPTETRSEIGTPF >Manes.01G149800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33882256:33884930:-1 gene:Manes.01G149800.v8.1 transcript:Manes.01G149800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFPPEIVIEILIRAEVQTLLRCRSVSKKWLAIIDAPDFIKHQINHSIKTSTNKTLFVKEEYGRFYDYDFDCSDSCGTMEFRELPNSSRTRSVSLVGSCNGLICLRNESNDDILIVNPSTRKHHWFPGFLPLNFHSCVNLTWDGTWFRGSGYGFGYDRVSDDYKIVRIAQVHNTAKSWFLKSEMLICKVKTKIVRAVKIPFVVPTSHRMGVLANEALHWIAFRYDNPSSSEVILVYDLVTDEFREDPLPEFHESGMDIGLLGTWLCLTVNHEGVGVVVWVMKEYGVKESWIKLFSISHTDLKYGSLRPLGFSKRWLEVLLELDGDRLVWYDIEKKKAEDILLHGSQMRYFEAIIFLRSLARVPADAN >Manes.13G069350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8950149:8954243:-1 gene:Manes.13G069350.v8.1 transcript:Manes.13G069350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESECREEELVPAVDMFVTTADPVLEPPIITMNTVLSLLAVDYPAHKLACYVSDDGCSPLTFYSLVETSKFAKLWVPFCNKYNVQVRAPFMYFSSDESMLLSDKSPEFHPEFQAMKDEYEGLSRKIQEAAKKGIPSCDLISDLDVFSNIERRNHPTIIKIIWENKECDSHGMPHLIYVSREKRLKHPHHYKAGAMNILTRVSGLMTNAPFMLNVDCDMFANNPKIVGHAMCLLLGSRKEVEAGFVQSPQIFYDGLKDDPFGNQLVVMQKYMGRGFDGIQGPLYGGTGCFHRRKVIYGLCPDELAGQAKTLTSVTANLGDKEMLKIFGNSTEFIKSAAQALQGNTNAPKTISNLVAAAYQVAGCGYEYGTSWGTEVGWQYGSTTEDVLTGLTIHSRGWKSVYCNPEPPPFLGCAPSAGLATLTQQKRWATGLLEILISRKSPIVATFTAKLQFRQCLAYVMILVWALRSIPELCYVLLPAFCIITNSNFLPKVDEPATYGYVGVFLIYNIYTILEYLETGLSLRAWWNRQRMSIITSTSAWLFATLSVILKILGISETVFEITQKDESSSIDDSDAGRFTFDGSPIFVPGTTIVLLQILALVMALLSAGDRHCESRFGEVLCSFLVVMYFWPFLKGLFGRGKYGIPLSTICKSAVLSFSFVELCKRAY >Manes.13G069350.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8950149:8954875:-1 gene:Manes.13G069350.v8.1 transcript:Manes.13G069350.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVHLRLASHCQLKVESTGSQNLPRTPSSKKMIESECREEELVPAVDMFVTTADPVLEPPIITMNTVLSLLAVDYPAHKLACYVSDDGCSPLTFYSLVETSKFAKLWVPFCNKYNVQVRAPFMYFSSDESMLLSDKSPEFHPEFQAMKDEYEGLSRKIQEAAKKGIPSCDLISDLDVFSNIERRNHPTIIKIIWENKECDSHGMPHLIYVSREKRLKHPHHYKAGAMNILTRVSGLMTNAPFMLNVDCDMFANNPKIVGHAMCLLLGSRKEVEAGFVQSPQIFYDGLKDDPFGNQLVVMQKYMGRGFDGIQGPLYGGTGCFHRRKVIYGLCPDELAGQAKTLTSVTANLGDKEMLKIFGNSTEFIKSAAQALQGNTNAPKTISNLVAAAYQVAGCGYEYGTSWGTEVGWQYGSTTEDVLTGLTIHSRGWKSVYCNPEPPPFLGCAPSAGLATLTQQKRWATGLLEILISRKSPIVATFTAKLQFRQCLAYVMILVWALRSIPELCYVLLPAFCIITNSNFLPKVDEPATYGYVGVFLIYNIYTILEYLETGLSLRAWWNRQRMSIITSTSAWLFATLSVILKILGISETVFEITQKDESSSIDDSDAGRFTFDGSPIFVPGTTIVLLQILALVMALLSAGDRHCESRFGEVLCSFLVVMYFWPFLKGLFGRGKYGIPLSTICKSAVLSFSFVELCKRAY >Manes.13G069350.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8950149:8954875:-1 gene:Manes.13G069350.v8.1 transcript:Manes.13G069350.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVHLRLASHCQLKVESTGSQNLPRTPSSKKLFEQKMIESECREEELVPAVDMFVTTADPVLEPPIITMNTVLSLLAVDYPAHKLACYVSDDGCSPLTFYSLVETSKFAKLWVPFCNKYNVQVRAPFMYFSSDESMLLSDKSPEFHPEFQAMKDEYEGLSRKIQEAAKKGIPSCDLISDLDVFSNIERRNHPTIIKIIWENKECDSHGMPHLIYVSREKRLKHPHHYKAGAMNILTRVSGLMTNAPFMLNVDCDMFANNPKIVGHAMCLLLGSRKEVEAGFVQSPQIFYDGLKDDPFGNQLVVMQKYMGRGFDGIQGPLYGGTGCFHRRKVIYGLCPDELAGQAKTLTSVTANLGDKEMLKIFGNSTEFIKSAAQALQGNTNAPKTISNLVAAAYQVAGCGYEYGTSWGTEVGWQYGSTTEDVLTGLTIHSRGWKSVYCNPEPPPFLGCAPSAGLATLTQQKRWATGLLEILISRKSPIVATFTAKLQFRQCLAYVMILVWALRSIPELCYVLLPAFCIITNSNFLPKVDEPATYGYVGVFLIYNIYTILEYLETGLSLRAWWNRQRMSIITSTSAWLFATLSVILKILGISETVFEITQKDESSSIDDSDAGRFTFDGSPIFVPGTTIVLLQILALVMALLSAGDRHCESRFGEVLCSFLVVMYFWPFLKGLFGRGKYGIPLSTICKSAVLSFSFVELCKRAY >Manes.13G069350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8950149:8954875:-1 gene:Manes.13G069350.v8.1 transcript:Manes.13G069350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVSPPPPYERIPCNNHIFRVLDIAVLFLLTSLLVYRLLTLKDHGFAWLLALLCESWFTFVWLLTVNLKWNPLVHKTYPERLPQREEELVPAVDMFVTTADPVLEPPIITMNTVLSLLAVDYPAHKLACYVSDDGCSPLTFYSLVETSKFAKLWVPFCNKYNVQVRAPFMYFSSDESMLLSDKSPEFHPEFQAMKDEYEGLSRKIQEAAKKGIPSCDLISDLDVFSNIERRNHPTIIKIIWENKECDSHGMPHLIYVSREKRLKHPHHYKAGAMNILTRVSGLMTNAPFMLNVDCDMFANNPKIVGHAMCLLLGSRKEVEAGFVQSPQIFYDGLKDDPFGNQLVVMQKYMGRGFDGIQGPLYGGTGCFHRRKVIYGLCPDELAGQAKTLTSVTANLGDKEMLKIFGNSTEFIKSAAQALQGNTNAPKTISNLVAAAYQVAGCGYEYGTSWGTEVGWQYGSTTEDVLTGLTIHSRGWKSVYCNPEPPPFLGCAPSAGLATLTQQKRWATGLLEILISRKSPIVATFTAKLQFRQCLAYVMILVWALRSIPELCYVLLPAFCIITNSNFLPKVDEPATYGYVGVFLIYNIYTILEYLETGLSLRAWWNRQRMSIITSTSAWLFATLSVILKILGISETVFEITQKDESSSIDDSDAGRFTFDGSPIFVPGTTIVLLQILALVMALLSAGDRHCESRFGEVLCSFLVVMYFWPFLKGLFGRGKYGIPLSTICKSAVLSFSFVELCKRAY >Manes.11G083900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12460921:12464596:1 gene:Manes.11G083900.v8.1 transcript:Manes.11G083900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFYYFGMQIGIWEDRKVFGSHGQILKEELVGKHSDSSNRNGKNIGFKLKQPAGSTLDKIISGYQVVHGGQVDEDVTINKLRNAISSLEKGQFHDASFVEELHGQQNILRDSIEKLTALESSRTSLVSHLRDALREQESRLEQVRNQLQAAQSQSEHASNICQQLLRSNNVQLVAEQSLKEVNTSIASQSFIPGDREQSAPAMYARQVSFPEKTGQIEEDPRRSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNPVKESSGGYHSEKRAKLENNQPYIPTQNSSQQPLAPFQRPESFQVANTAQQLTSNDPPPPPSSPPPPPPLPPMAPYSIPQYMQTAGSINAVPYTYSMSQQPPPSLPGYPGVGTQMTGIAPFSMPPTNSYPSYQSADGNLYSQPSKMPISRQ >Manes.11G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12454623:12464868:1 gene:Manes.11G083900.v8.1 transcript:Manes.11G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIRNGDEAGRNAALRLIGIWEDRKVFGSHGQILKEELVGKHSDSSNRNGKNIGFKLKQPAGSTLDKIISGYQVVHGGQVDEDVTINKLRNAISSLEKGQFHDASFVEELHGQQNILRDSIEKLTALESSRTSLVSHLRDALREQESRLEQVRNQLQAAQSQSEHASNICQQLLRSNNVQLVAEQSLKEVNTSIASQSFIPGDREQSAPAMYARQVSFPEKTGQIEEDPRRSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNPVKESSGGYHSEKRAKLENNQPYIPTQNSSQQPLAPFQRPESFQVANTAQQLTSNDPPPPPSSPPPPPPLPPMAPYSIPQYMQTAGSINAVPYTYSMSQQPPPSLPGYPGVGTQMTGIAPFSMPPTNSYPSYQSADGNLYSQPSKMPISRQ >Manes.11G083900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12455418:12464864:1 gene:Manes.11G083900.v8.1 transcript:Manes.11G083900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFNPQILVEKLAKLNNSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIRNGDEAGRNAALRLIGIWEDRKVFGSHGQILKEELVGKHSDSSNRNGKNIGFKLKQPAGSTLDKIISGYQVVHGGQVDEDVTINKLRNAISSLEKGQFHDASFVEELHGQQNILRDSIEKLTALESSRTSLVSHLRDALREQESRLEQVRNQLQAAQSQSEHASNICQQLLRSNNVQLVAEQSLKEVNTSIASQSFIPGDREQSAPAMYARQVSFPEKTGQIEEDPRRSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNPVKESSGGYHSEKRAKLENNQPYIPTQNSSQQPLAPFQRPESFQVANTAQQLTSNDPPPPPSSPPPPPPLPPMAPYSIPQYMQTAGSINAVPYTYSMSQQPPPSLPGYPGVGTQMTGIAPFSMPPTNSYPSYQSADGNLYSQPSKMPISRQ >Manes.01G004300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2144074:2144832:1 gene:Manes.01G004300.v8.1 transcript:Manes.01G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKMKVLVILMVVLMAFSAMQMAAAATDAPAPSPTSDSSAYIPALFASLAALAFGFFF >Manes.03G199800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31631487:31633730:-1 gene:Manes.03G199800.v8.1 transcript:Manes.03G199800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MPLKWVLHWQPNAGTTVNTQILNEVSQCVESINGVKEGRWKATLTSYKPMVREQSLTAEFPRDFLGISLPEQPDKYYFMIRGQRLVVEADSTIQTIMDKLQSYKSRVALCFEGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVEYLPISSMEKARQIIEEFVDIWQEAISKRSLPGHFMHIEPNFAEYGLLDHYTPQHTAVQYATVVAQMIATQTVQAARN >Manes.03G199800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31631487:31633519:-1 gene:Manes.03G199800.v8.1 transcript:Manes.03G199800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MVREQSLTAEFPRDFLGISLPEQPDKYYFMIRGQRLVVEADSTIQTIMDKLQSYKSRVALCFEGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVEYLPISSMEKARQIIEEFVDIWQEAISKRSLPGHFMHIEPNFAEYGLLDHYTPQHTAVQYATVVAQMIATQTVQAARN >Manes.03G147050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27486516:27488294:1 gene:Manes.03G147050.v8.1 transcript:Manes.03G147050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFPLIQLCRSKYVWMEYINSFNNHSYYRLIKCVDKNPHPEIVTLLALSTIPFISVPISRRITAWLKQKYGEGN >Manes.02G194500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15880403:15883533:-1 gene:Manes.02G194500.v8.1 transcript:Manes.02G194500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLRTTGLQGKIPEEISRLRNLRFLTVGENNLIGEVPSGIFNISSMHTLAVDFNQLQGSVPSDIGLTLPKLNSLLLADNRNLGMLQRLRMIDFSDNQIQDDLSFIDSLTNCSSLTVLDLASNLFQATLPNSIGNLSRGMIYIALSNNQLYDAIPLGIDNLLNLRFLLFDSNYLTGNVLIDFQKLKRLEMFDLSRNKFTGIIPSSISNLSMLSYFYMGFNDFNGSIPPGLGTCNNLIELDLSHNSLSGSIPRQVIGLASLSISLNLAGNALTGSIPSEVGLLKNLVKLDLSDNRLFGTIPNTIGNCLRLEELHLEGNSFHGEIPQALSALQGLQGLDISKNKFSGRIPDTLAKLDGLKHLNLSFNQLQGEVPKDGVFLNASAVSLKGNNGLCGGITELNLPSCVFPKSKKKRNLSFALKVTIPVAVAAIFLALLMCFFIFWNRKRTGRRKNISIPSFDSQFLRVSYAELHKATNGFSSDNIIGIGSYGIVYKGVLEQSGTEVAVKVLNLQQRGASNSFLSECLALGSIRHRNLLKLLSVCSSMDFEGNDFKALIYEFMPNGSLEKWLHGEDEQETEPRNLKLIHRLNIAIDIASAIEYLHYGCPSAIIHGDLKPSNVLLDKEMVAHIGDFGLAEIVSTISGEAVQYPSNSLAIKGSIGYVAPECGMGVKASIERDVYSYGILLLEMFTGKGPTDNSFKDDVNLHSCVLKLLPDRVMETVDPRILYEEESGSIKETCLISVLKIGVGCSMELPAERLKMGKVTSELQKIKAIYDQGRMQQHQRSSFIT >Manes.09G016611.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3415102:3418947:-1 gene:Manes.09G016611.v8.1 transcript:Manes.09G016611.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTKNFKSASFTRLHDAIASFNHVIHMHPLPSRSQFSRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTLINGLCMEGKIDKAVEFFDDMVARGYQPDVRTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILMDTLCKKGLVSNAQNIIKIMIQRGVEPSVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYNILINGYCKCKMIDDAKQIFDEMSHKGLVSDGVTYSTLIEGMFRAGRPQTAQELFKNMCSHGQQPNVVTFSIMINGLCRQGNLDEALTLLKEMEERQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCREGLIDEAYKIFRDMEKGGCLPNNFSYNIIIQGFLRHEDLPKASELINEMVDKGFSADTTTTKLVVRLLCNDDLILRLLKVRNEGSAN >Manes.04G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7285082:7287724:1 gene:Manes.04G046800.v8.1 transcript:Manes.04G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPYSIALQAEVVGLMAKSPASAVHNIKSVRFLQVTLLMTWASSCTKIRDISPFPYDYFYSKESIIGLRWVNPKCTPCEVKGKYCRLNTNITWSETQCYGRLKPRKGQSTKFIETGGILGSLLVGASILLYGRYRFNEREREYQSKIENFLDDYKSFKPTRYSYDDIKRMTNQFKDELGQGAYGTVYRGKLSEEILVAVKVLNSSTGNGEEFVNEVGTIGKIHHVNVVRLVGFCADGFRRALVYEYLPNDTLQKFISSADTKNHFLGWKRLKDIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDHDFNPKVSDFGLAKLCAKDQSAVSMTTARGTIGYIAPEVFSRNFGNVSCKSDVYSFGMLVLEMVGGRKIVDVTEENDEQIYFPEWIYNLLEEGEDLRFEIEEEGDDKIAKKLAIVGLWCIQWNPTDRPSMKVAVHMLEREGENLPIPPNPFSSAVPTRMNARRTRRQLHQELEAISEAE >Manes.13G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1197100:1201341:-1 gene:Manes.13G008200.v8.1 transcript:Manes.13G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLLFLVQLRSLQNLWSRATEPPPPQQTHSRKLKSESKGARKCREREQTHLSTDTSGLRSYENFYPSFVEMDCWSPSLTMDDEFEKLVIRMNPPRVTVDNASRRKATLIKVDSANRRGSLLEVVQVLTDLDLIIRRAYISSDGEWFMDVFHVTDQHGNKLSEDDVAEQIKQSLGPRALSFQSLRQSVGVQAATQNTIIELTGRDRPGLLSEVFAVLTDLKCNVVAAEVWTHNSRMASVVYITDEATGLPIDHPDRLTEIKQLLLYVLKGDRDKWSANTAVSVGSTHKERRLHQMMYADRDYDMDDADCESASERSKPLVTVENCADKGYTVVNLRCPDHPKLLFDAVCTLTDMQYVVYHATVIAEGPEAYQEYYIRHMDGSPVSSEAERQRLIHCLEAAIKRRIPEGIRLELCSEDRVGLLSEVTRIFRENGLSVTQAEVTTRGSQAVNVFYVTDVSGHPVKSETIEVVRKEIGLTILRVNEDAQSTPLQEHRRFSFGNLFRSRSERFLYNLGLIKSYS >Manes.01G042900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8504097:8504417:1 gene:Manes.01G042900.v8.1 transcript:Manes.01G042900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSVAVPTVVAAAGIYFLEKSHSRAQEVGNGIQAAAIANMVRKVKEQVKTNTELPKLAPQFDGLFCFETFIGH >Manes.14G104700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8760836:8761774:1 gene:Manes.14G104700.v8.1 transcript:Manes.14G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQKLPRKRVPIFHKVSNLLRISIPFSKMRKPVIPNLIFLKKPRNLKRFMLLEQYNSYGFLKDYEFSPSSTPLIRCYKKPFKNGSYRDNVYSMFLCKCLGGLKADGGEVVDYALPLPVAVSHAEYLQPLDSGDDQEESVDQRAERFIEWFRQEMRMQRQESI >Manes.02G048560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3954839:3958662:1 gene:Manes.02G048560.v8.1 transcript:Manes.02G048560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEITVAQDGSANHTTIQQAIDAVPLDNTARTIIRVAPGVYKQPLFVAKSKNFIALVGSIPENTIITWNNTASKIEHHLDAETVGKGTFGCGTVIVEAEDFIAENITFENNAPEGSHQAVAIRVSADRCAFYNCRFLGFQDTVYLHRGKQYLKDCYVEGSVDFIFGNSTALFEHCHIHCKADGYVTAQSRKTAEDSTAYVFLRCVITGNGGNSYAYLGRPWGPFGRVLFAYTYMDQCINHAGWHNWDKTENEGTACFYEYRCYGPGYDKSKRVAWCKELPDEQAEEFLRHAFIDPNPESPWLAQKLAHKTPVSA >Manes.10G084800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21785148:21791926:-1 gene:Manes.10G084800.v8.1 transcript:Manes.10G084800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYPPPLPKPTYPQPDDDTDPLFRPATASVLPTVRKKGTGVRAWLLLDNTGQAQVVEAGKHAIMRRTGLPARDLRILDPQLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFVEELQRRLLCHYNATKAQEGYGADSNWKNLCDPEESQSRENQKSFTGSISHSPDLDEGGKVDGKPGLENRSGSNVLPFEFVALETCLEAACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKFIQHLENSSTTSLNEGGDMDDEYIPVDLDDGIPAEVSLEAHGASTSREGDIHNADNPQGHLFSASHTLSRGSHGTRTSTTQSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVISCLVAVAGVFGMNINIELFNEETEMGMRKFLWTVGGGCTGSVFLYVIAIAWCKHKRLLE >Manes.15G075400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5692832:5701377:1 gene:Manes.15G075400.v8.1 transcript:Manes.15G075400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTGEPADSYYAVRPECTDVPKSRFKIRAGKTLSERKWQSAFTPEGHLDISKTLGRIQRGGIHPSIRGEVWEFLLGCYDPKSTFDEREEIRKRRRSQYAMWKEVCHELFPVVGSGRFITAPVVTEDGQPIQDPLVVLETNQDNDSALPSEAGNSANSANSSDADVIASTSAIVEELTSRGPLDKKVIQWLLTLHQIGLDVVRTDRTLVFYENHENLSKLWDILAVYSWIDTDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTESSVGVETQLNSLASITQVIDPKLHQHLDALGGGDYLFAFRMLMVLFRREFSFCDSLYLWEVQFRNLVIK >Manes.15G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5692832:5701377:1 gene:Manes.15G075400.v8.1 transcript:Manes.15G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTGEPADSYYAVRPECTDVPKSRFKIRAGKTLSERKWQSAFTPEGHLDISKTLGRIQRGGIHPSIRGEVWEFLLGCYDPKSTFDEREEIRKRRRSQYAMWKEVCHELFPVVGSGRFITAPVVTEDGQPIQDPLVVLETNQDNDSALPSEAGNSANSANSSDADVIASTSAIVEELTSRGPLDKKVIQWLLTLHQIGLDVVRTDRTLVFYENHENLSKLWDILAVYSWIDTDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTESSVGVETQLNSLASITQVIDPKLHQHLDALGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDFFDIYEESDSASDKAESFKERAKSIRQYGKFERENMKSGADAPLPISVFLVASVLKDKSATLLSEARGLDDVVKILNDNSGNLDAKKACTGAMKLHKKYLKKAKKT >Manes.05G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27025560:27033382:-1 gene:Manes.05G157300.v8.1 transcript:Manes.05G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHQAFSATAFSSKLANGGGLAGKHVYDGAFGGLVKPGSRIEDYRDIFGGSGACSIPILDFPELDRKTSVDARNPTTPEYSKIFCGFAEMEFAVSYEELFSKSKKVKNSSQEARVAARARFCPAGPKFYNVSEQQQVPSPEVAFQQLDGGKQFNMSYDKSNLESKTVTNGKTNDAHFHTVPGYNCSIDEITLSQMTEADKPVHTVLNDAHLNFIVAEGIKQSKPLRKVLSGPQPRDSAKNNSRDHANFQTKSIRHRSFSNDVSFDAFEIGLGTHPSTVATPLGSLPNRYGMRSMNSKFGDFSNDVSEGAAGSYSPPLFDEEIDANSAAAASVAAVKKAIEAAQMKIKIAKELMERKQGFQNHVRPRFRDGLKGEKREVKAAEKANRSIEEEAREMLQKVYTPKQVFTSLVEHSSTKVSQVTSEFRNVKKSSPTRNAVGKTNSTGSKLAQVDNSVEAESRKATEPADTSDHRAITSEVDQANNAKKMIPTANENKCREKMTGEENIEKSVEYDDEKPKSFEEAPKQEKVERELNSEEAAFEWDVYGNNSKPAEVLYHHIENEEKIRVSYKQKEAGQVPNHCEQEECETIAKRLHEREENTELEMKELKEAQNWVNIEKKQRDAHNCEKMEERSSEIPAVHEYERRLDETHSHEENGKGEKEYLEGIASEKEQQEGSYLENEKKLSDAKPEDAGKFVQVHEQEAIKVKFNVFWDKEESQEVLREDCGTKGNENLEEAKQKEEMLKEDYHINETESERTCRVLETERIQTQIHPVAEDERFQENNLEATNDVDELEKNENAGNADEAHGDKRRFKHVEVTADVPAFEENGKMREVSEDSFPKESGKESEAVEEANDLVEDEKFGTDVPEQGLARLDGIDKQAADLYLGETDVNLDCKLNDFHVEYKSICEQEKHNEEVTFQLDKNDKDDSESEVCPSDEENESNFLPSHEGGWSGNGIESKTLCDSVKHVEEEDCELGENNQDVKNSEVPTNHDEEIYFESSSEKEEMNNRFDMQAGQQPHIFEEGKTKMGISQEERINQNIDKKDKYDESPTEEKREAEDYLKKEVLLEKDHGRKEEEKMREMEKEKEKERKAVERAIREARERAFAEARERAERIAAARASAAAHQKAMADAQERLEKACTEAIAKSAAEKASMEAKLKAERAAVERATAEARERALEKALSEKAKARNHAEKFSGPSRDAGIKSDDQQYKEKFSGANGESAERCKATLARNQRTAERAAKALAEKNMRDLFAQKEQAERNRLAETLDADVKRWSSGKEKNLRALLSTLQYILGPDSGWQPISLTDLISTAAVKKAYRKATLYVHPDKLQQRGASIQQKYTCEKVFDLLKDAWNKFSAEER >Manes.05G157300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27025561:27033382:-1 gene:Manes.05G157300.v8.1 transcript:Manes.05G157300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHQAFSATAFSSKLANGGGLAGKHVYDGAFGGLVKPGSRIEDYRDIFGGSGACSIPILDFPELDRKTSVDARNPTTPEYSKIFCGFAEMEFAVSYEELFSKSKKVKNSSQEARVAARARFCPAGPKFYNVSEQQQVPSPEVAFQQLDGGKQFNMSYDKSNLESKTVTNGKTNDAHFHTVPGYNCSIDEITLSQMTEADKPVHTVLNDAHLNFIVAEGIKQSKPLRKVLSGPQPRDSAKNNSRDHANFQTKSIRHRSFSNDVSFDAFEIGLGTHPSTVATPLGSLPNRYGMRSMNSKFGDFSNDVSEGAAGSYSPPLFDEEIDANSAAAASVAAVKKAIEAAQMKIKIAKELMERKQGFQNHVRPRFRDGLKGEKREVKAAEKANRSIEEEAREMLQKVYTPKQVFTSLVEHSSTKVSQVTSEFRNVKKSSPTRNAVGKTNSTGSKLAQVDNSVEAESRKATEPADTSDHRAITSEVDQANNAKKMIPTANENKCREKMTGEENIEKSVEYDDEKPKSFEEAPKQEKVERELNSEEAAFEWDVYGNNSKPAEVLYHHIENEEKIRVSYKQKEAGQVPNHCEQEECETIAKRLHEREENTELEMKELKEAQNWVNIEKKQRDAHNCEKMEERSSEIPAVHEYERRLDETHSHEENGKGEKEYLEGIASEKEQQEGSYLENEKKLSDAKPEDAGKFVQVHEQEAIKVKFNVFWDKEESQEVLREDCGTKGNENLEEAKQKEEMLKEDYHINETESERTCRVLETERIQTQIHPVAEDERFQENNLEATNDVDELEKNENAGNADEAHGDKRRFKHVEVTADVPAFEENGKMREVSEDSFPKESGKESEAVEEANDLVEDEKFGTDVPEQGLARLDGIDKQAADLYLGETDVNLDCKLNDFHVEYKSICEQEKHNEEVTFQLDKNDKDDSESEVCPSDEENESNFLPSHEGGWSGNGIESKTLCDSVKHVEEEDCELGENNQDVKNSEVPTNHDEEIYFESSSEKEEMNNRFDMQAGQQPHIFEEGKTKMGISQEERINQNIDKKDKYDESPTEEKREAEDYLKKEVLLEKDHGRKEEEKMREMEKEKEKERKAVERAIREARERAFAEARERAERIAAARASAAAHQKAMADAQERLEKACTEAIAKSAAEKASMEAKLKAERAAVERATAEARERALEKALSEKAKARNHAEKFSGPSRDAGIKSDDQQYKGAGPSNSFKYSSTSCHDEKFSGANGESAERCKATLARNQRTAERAAKALAEKNMRDLFAQKEQAERNRLAETLDADVKRWSSGKEKNLRALLSTLQYILGPDSGWQPISLTDLISTAAVKKAYRKATLYVHPDKLQQRGASIQQKYTCEKVFDLLKDAWNKFSAEER >Manes.02G142101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10926853:10927266:1 gene:Manes.02G142101.v8.1 transcript:Manes.02G142101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLILLFADIAYKRSSHSKPHHHLDCNFVDTFVMFSSLLTLISASIHYNYLSNGKQQPICFSMIPLTFSVCVVCVFCSCIFRISADKLVFTMRCRHLGPMNLEIEMGGKLYDKLGPTQFGGSICQMGQMHPQGV >Manes.03G123318.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25081824:25082614:-1 gene:Manes.03G123318.v8.1 transcript:Manes.03G123318.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKLILISALLLIIAYVSAHRTIITTVEIDEPGVGAASQSCTQEVERKDLSSCEQYIGQSRQQPVLALRGIENQEADVPRQCCNQVKQLRDDCQCEGIRSVMKKQLEEGEVGREEYRQAVRRANNIASSCGLRQPCQIEEASY >Manes.16G006800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:691530:694166:-1 gene:Manes.16G006800.v8.1 transcript:Manes.16G006800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWHGIMGKGSAGGYSRRSISSEDFEEEDIWSVVKEREDSSPNMRKSKEYYSSASSSSAWRLHSAPRMIPRANLANPPTAAAAVTPHETKLVKQSSAPVNIPDWSKIYQKNTRMGSPNDDNIVYDNGYHNDDVNDNDDDEGDEMVPPHEWLAKKFARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFLE >Manes.16G006800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:691962:692546:-1 gene:Manes.16G006800.v8.1 transcript:Manes.16G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWHGIMGKGSAGGYSRRSISSEDFEEEDIWSVVKEREDSSPNMRKSKEYYSSASSSSAWRLHSAPRMIPRANLANPPTAAAAVTPHETKLVKQSSAPVNIPDWSKIYQKNTRMGSPNDDNIVYDNGYHNDDVNDNDDDEGDEMVPPHEWLAKKFARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFLE >Manes.16G006800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:691530:694166:-1 gene:Manes.16G006800.v8.1 transcript:Manes.16G006800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWHGIMGKGSAGGYSRRSISSEDFEEEDIWSVVKEREDSSPNMRKSKEYYSSASSSSAWRLHSAPRMIPRANLANPPTAAAAVTPHETKLVKQSSAPVNIPDWSKIYQKNTRMGSPNDDNIVYDNGYHNDDVNDNDDDEGDEMVPPHEWLAKKFARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFLE >Manes.01G267300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42164810:42170697:-1 gene:Manes.01G267300.v8.1 transcript:Manes.01G267300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGRRGVHYLQKLKAANIPAELIEKGQNRVIDASLTLIRERAKLKGELVRALGGVKASSTLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELNDPRILTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEQDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYHAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQFEMRTFSRDRQLLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Manes.01G267300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42164810:42170697:-1 gene:Manes.01G267300.v8.1 transcript:Manes.01G267300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGRRGVHYLQKLKAANIPAELIEKGQNRVIDASLTLIRERAKLKGELVRALGGVKASSTLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELNDPRILTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEQDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYHAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQFEMRTFSRDRQLLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Manes.01G040000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7013703:7016642:-1 gene:Manes.01G040000.v8.1 transcript:Manes.01G040000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLASLCASLVTTVLLLLEATPIQGVEGTIGVNYGTVANNLPPPAEVANFLVESTIINRVRLFDTNTEILEAFAHTGIAVTVTVPNDQILHLTKLINAQQWLKSNIQPYVPATNIIRILVGNEVLSTANKFIISSLVPAMKTLHTALVEASLDSKIEVSTPHSLGILSSSSPPSTGKFRRGYDVHVLKPLLIFLRETNSPFMINPYPFFGCSSEETLDYALFKPNSGVEDENTKLVYTNMLDGQLDAVFSAMKLLGFADIDIVIAETGWPSNGDSSQIGVDAESAAQYNGNLMKHVTSGSGTPLMPNRTFETYIFALFNENLKPGPTCERNFGLFQPDMTPVYNIGVLRSTASSSFPRNPSPEPPVVAPVSPEPTGAPQHEEKRWCLPKTGADVEALQRNIDYVCGMGVDCGPIEDSGECFLPNTVRAHAAFAMNAYYQAMGRNDYDCDFQQTGAISSLDPSYGKCKY >Manes.01G040000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7013978:7016367:-1 gene:Manes.01G040000.v8.1 transcript:Manes.01G040000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLASLCASLVTTVLLLLEATPIQGVEGTIGVNYGTVANNLPPPAEVANFLVESTIINRVRLFDTNTEILEAFAHTGIAVTVTVPNDQILHLTKLINAQQWLKSNIQPYVPATNIIRILVGNEVLSTANKFIISSLVPAMKTLHTALVEASLDSKIEVSTPHSLGILSSSSPPSTGKFRRGYDVHVLKPLLIFLRETNSPFMINPYPFFGCSSEETLDYALFKPNSGVEDENTKLVYTNMLDGQLDAVFSAMKLLGFADIDIVIAETGWPSNGDSSQIGVDAESAAQYNGNLMKHVTSGSGTPLMPNRTFETYIFALFNENLKPGPTCERNFGLFQPDMTPVYNIGVLRSTASSSFPRNPSPEPPVVAPVSPEPTGAPQHEEKRWCLPKTGADVEALQRNIDYVCGMGVDCGPIEDSGECFLPNTVRAHAAFAMNAYYQAMGRNDYDCDFQQTGAISSLDPSYGKCKY >Manes.01G040000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7001918:7016639:-1 gene:Manes.01G040000.v8.1 transcript:Manes.01G040000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLASLCASLVTTVLLLLEATPIQGVEGTIGVNYGTVANNLPPPAEVANFLVESTIINRVRLFDTNTEILEAFAHTGIAVTVTVPNDQILHLTKLINAQQWLKSNIQPYVPATNIIRILVGNEVLSTANKFIISSLVPAMKTLHTALVEASLDSKIEVSTPHSLGILSSSSPPSTGKFRRGYDVHVLKPLLIFLRETNSPFMINPYPFFGCSSEETLDYALFKPNSGVEDENTKLVYTNMLDGQLDAVFSAMKLLGFADIDIVIAETGWPSNGDSSQIGVDAESAAQYNGNLMKHVTSGSGTPLMPNRTFETYIFALFNENLKPGPTCERNFGLFQPDMTPVYNIGVLRSTASSSFPRNPSPEPPVVAPVSPEPTGAPQHEEKRWCLPKTGADVEALQRNIDYVCGMGVDCGPIEDSGECFLPNTVRAHAAFAMNAYYQAMGRNDYDCDFQQTGAISSLDPSYGKCKY >Manes.09G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6542352:6550200:1 gene:Manes.09G032200.v8.1 transcript:Manes.09G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPEDIRNLPIDITFSRLGEWLVDRKRIPADWRKRISAVRARISKEFASLPKDIDTYFQTLDPEGIGYLEAKKIYDILVKTTPESRNIFGRLSGAAGAWEAIVRSFEKDYIYLGEAAQVIIQNVNYEIPYQKKQVQKIQQQLAELERKEADIKRSASLSAAKYIEACQELGLQGNNVRSELLDTAKLLPSTFSRILEVINSDSMLRTIEYYSTFIRDVHTEKDKSAQAVLLNLKKIHEYPPSLNVSAVPEILDSENIGLSFDESSNVRRNGDFTADNIDWDISVDSSQIDWDIGAVEETDDGGNGLGPYEIVNASDIIENSSQNEAIGSDQTPLNKREGNLHPEISVSEISWDISVETPRVDVIDDVNLPNISLENQTHVADPPSQNPGVKEGRSQLLETEYRNNLLDDLYEIKAFLSQRLAELRNGDTLSLQHQVQSVAPLVLQQYTPDAIETLLSDVSLAISLLTNRKTRDLIMILNSKRFLDRLVGTLEEKKHHEQKLKEGLKDLAAKRMELQNSLSSSWPKQEAALAKTRELKRLCESTLSSMFDRRPVNLIGEINTLLNSGISA >Manes.11G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:577326:579412:1 gene:Manes.11G005000.v8.1 transcript:Manes.11G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEARDPEGMLRGQATILQCIFGFMDSVALKCVVELDIPDIINSHGCPLSLSSIAKSINHPSLDIDRLSRIMALLAHRGIFTSSHPEGKHNTTTLYGLTNSSKFLLRNSKTSLAPLLMLQYHEGTVPNWHHLSNIVKEGGNGFARSNGLELWDFASSNPEFNTLFNKAMDGISSITMEAMKTSYKDGFKEIGSLVDVGGGSGAMVGEIVKAHPHIKGINFDLPHVVATAPEYEGVTHVVGDMFESIPAADAILMKWILHTWDDENCIKVLKKCRQALPEKTGKLIIMEAVLNPQGFDLFNHTRLIFDLVMMVHVEGKERSEVEWKRLLEEGGFGSYKIIKIPAMISIIEAYP >Manes.17G079212.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28236803:28237551:1 gene:Manes.17G079212.v8.1 transcript:Manes.17G079212.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQWGKLEIHFWIDAPADQFHDVFSSRPYLIPNMSPHKILGVDLLEGEWGKEGAIICWKYFYGGSVQVAKEVIEIIDNVNLLTVYKVIEGDVLKEYKSFKLTVQATPKGESSVVHWTLEYEKIHENIRDPYSLLEFIVQFSKDVSAHLVKCPKK >Manes.06G056500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15319394:15320807:-1 gene:Manes.06G056500.v8.1 transcript:Manes.06G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSSIASLAAILIAALIQSSVAQTTHVVGNNSGWTIPNGGAAFYSTWAAGQVFSVNDILVFNFAANQHDVSKVTKADYDACTTANPILVETTSPARITINETGVHYFICNFTGHCSAGQKLMINVSAASSAPAPQPSSSSPTPVSAPSPSPVSTSPPSPAPSATGVNYTVGDSQGWNLLSNAAAFYQNWASGKDFMAGDILVFNYNNGAHDVAEVTKENYESCNTANPISRSFTPPTTITLTAGEHFYVCTIPGHCNAGQKLAVNVSSSGTGTPPSSTTPTNPSSPSPTTAPPPPDSSARSLSVAGLSATFLSIVVAFLY >Manes.04G052000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:10345098:10348927:1 gene:Manes.04G052000.v8.1 transcript:Manes.04G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMYDEIGFCGDMDFFCAPIGEDVAASQPEPEPTVDDDYSDEEIDVDELERRMWKDKMRLKRLKEQNKSKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNSIPGKNEGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGNEEWWPQLGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPNLCPPLSSAGGSGSLVIHDCSEYDVEGVEDETNFDVQECKPENINCSNLGMERMRERLPIRQPYPIKGETITNVDFIRKRKPSSDINIMVDQKIYTCEFVQCPYSQLRLGFHDRTSRDNHQLTCPYRSSSLEFAGSNFHVNEVKPVIFPQSCAQPKPAAPMVNAAPPAFDLSGVPEDGQKMISELMSIYDTSIQGNKNTNSGNSGITESHNLFQPKIHHQPDNYFRGQCNVIEGNIFDESNIHNNHQMFSQDSGQFDRFKALNSAFESNQQHSNNNCNNSFNLMFGSPYDLSSFDYKEDLQGLVMDSLPKQQDASIWFQ >Manes.05G027500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2377715:2381571:-1 gene:Manes.05G027500.v8.1 transcript:Manes.05G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETSWINDCFDDVARDIGEFDSFSELSDESSKEISAVSVDLILPDDLLERILAYLPIASIFRAGSVCKRWRDIVSSRRFLWNFSHVLPQKPWYFMFTSSDEPVGYAYDPVLRKWYGIDLPCIETSSWFIASSHGLVCFMDNDSRSDLYVCNPITKCCKKLEEPPGLKIADYSALAISVNRKPHGYTISIVKSKQVPGNFFQWDLSICTYDSETRMWVASWSEVLTGWRSGDESVICGGVLYILIYSTGGGTPENRHALITYNLSCRSSRGLLIRSFIPVPCPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGKEWQEISRMPHKFFQGFGEFDDVFASSGTDDLIYVQSYGAPALLVFDMNQKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIEP >Manes.05G027500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2378126:2381571:-1 gene:Manes.05G027500.v8.1 transcript:Manes.05G027500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETSWINDCFDDVARDIGEFDSFSELSDESSKEISAVSVDLILPDDLLERILAYLPIASIFRAGSVCKRWRDIVSSRRFLWNFSHVLPQKPWYFMFTSSDEPVGYAYDPVLRKWYGIDLPCIETSSWFIASSHGLVCFMDNDSRSDLYVCNPITKCCKKLEEPPGLKIADYSALAISVNRKPHGYTISIVKSKQVPGNFFQWDLSICTYDSETRMWVASWSEVLTGWRSGDESVICGGVLYILIYSTGGGTPENRHALITYNLSCRSSRGLLIRSFIPVPCPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGKEWQEISRMPHKFFQGFGEFDDVFASSGTDDLIYVQSYGAPALLVFDMNQKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIEP >Manes.05G027500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2378146:2381571:-1 gene:Manes.05G027500.v8.1 transcript:Manes.05G027500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETSWINDCFDDVARDIGEFDSFSELSDESSKEISAVSVDLILPDDLLERILAYLPIASIFRAGSVCKRWRDIVSSRRFLWNFSHVLPQKPWYFMFTSSDEPVGYAYDPVLRKWYGIDLPCIETSSWFIASSHGLVCFMDNDSRSDLYVCNPITKCCKKLEEPPGLKIADYSALAISVNRKPHGYTISIVKSKQVPGNFFQWDLSICTYDSETRMWVASWSEVLTGWRSGDESVICGGVLYILIYSTGGGTPENRHALITYNLSCRSSRGLLIRSFIPVPCPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGKEWQEISRMPHKFFQGFGEFDDVFASSGTDDLIYVQSYGAPALLVFDMNQKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIEP >Manes.05G027500.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2378146:2381571:-1 gene:Manes.05G027500.v8.1 transcript:Manes.05G027500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETSWINDCFDDVARDIGEFDSFSELSDESSKEISAVSVDLILPDDLLERILAYLPIASIFRAGSVCKRWRDIVSSRRFLWNFSHVLPQKPWYFMFTSSDEPVGYAYDPVLRKWYGIDLPCIETSSWFIASSHGLVCFMDNDSRSDLYVCNPITKCCKKLEEPPGLKIADYSALAISVNRKPHGYTISIVKSKQVPGNFFQWDLSICTYDSETRMWVASWSEVLTGWRSGDESVICGGVLYILIYSTGGGTPENRHALITYNLSCRSSRGLLIRSFIPVPCPLTCGRLMNLKEKLVMVGGIGKQDRPDIIKGIGIWVLNGKEWQEISRMPHKFFQGFGEFDDVFASSGTDDLIYVQSYGAPALLVFDMNQKQWKWSQKCPVTKRFPLQLFTGFCFEPRLEIEP >Manes.12G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2075273:2081191:1 gene:Manes.12G024500.v8.1 transcript:Manes.12G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSAVQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTASRQNCNAAGLLSFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMETNQRPPMAVTNAVSWRSEGILYKKNEVFLDVVESVNILVNSNGQVIRSDVVGALKMRTYLSGMPECKLGLNDRLLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVERHSRSRVEMMVKARSQFKERSTATNVEINLPVPTDASNPNVRTSMGSASYAPEHDALMWKIKSFPGGKEYMLRAEFNLPSITAEEGAPDRKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Manes.12G024500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2075273:2081191:1 gene:Manes.12G024500.v8.1 transcript:Manes.12G024500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSAVQAERFFTKLIEKEGDPQSQDPVVYDNGVTYMFIQHSNVYLMTASRQNCNAAGLLSFLHRVVDVFKHYFEELEEESLRDNFVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMETNQRPPMAVTNAVSWRSEGILYKKNEVFLDVVESVNILVNSNGQVIRSDVVGALKMRTYLSGMPECKLGLNDRLLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVERHSRSRVEMMVKARSQFKERSTATNVEINLPVPTDASNPNVRTSMGSASYAPEHDALMWKIKSFPGGKEYMLRAEFNLPSITAEEGAPDRKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Manes.13G082200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17011329:17018488:1 gene:Manes.13G082200.v8.1 transcript:Manes.13G082200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKPYLAVIFVQAIYGGMFLISKAAFNGGMNSYIFVFYRQAAATLFLAPFALLFEWKNRAPISFVTLCKTFLLSLFGITLSLDINGIALVYTCATLAAAITNCLPVITFFLALLLRMEVLKMRTASGIAKLTGIVACMAGAATLAFYKGPHFKPFCHHQIFGSHELVGHVSSGKTWIKGCFLMFLSTTFWGLWLVLQTGILRSYPSKLLFTTLQCFLSSIQSFAIAIAFERDPHQWKLGWNIRLLAVVYCVRTKKLSAICLILFLLGPTSSKGKFMTWTYSVNR >Manes.13G082200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17011329:17018488:1 gene:Manes.13G082200.v8.1 transcript:Manes.13G082200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKPYLAVIFVQAIYGGMFLISKAAFNGGMNSYIFVFYRQAAATLFLAPFALLFEWKNRAPISFVTLCKTFLLSLFGITLSLDINGIALVYTCATLAAAITNCLPVITFFLALLLRMEVLKMRTASGIAKLTGIVACMAGAATLAFYKGPHFKPFCHHQIFGSHELVGHVSSGKTWIKGCFLMFLSTTFWGLWLVLQTGILRSYPSKLLFTTLQCFLSSIQSFAIAIAFERDPHQWKLGWNIRLLAVVYCHYGWGAISWSPLQCLMGEKQRRENE >Manes.13G082200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17011329:17018488:1 gene:Manes.13G082200.v8.1 transcript:Manes.13G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKPYLAVIFVQAIYGGMFLISKAAFNGGMNSYIFVFYRQAAATLFLAPFALLFEWKNRAPISFVTLCKTFLLSLFGITLSLDINGIALVYTCATLAAAITNCLPVITFFLALLLRMEVLKMRTASGIAKLTGIVACMAGAATLAFYKGPHFKPFCHHQIFGSHELVGHVSSGKTWIKGCFLMFLSTTFWGLWLVLQTGILRSYPSKLLFTTLQCFLSSIQSFAIAIAFERDPHQWKLGWNIRLLAVVYCGVMVTGVTFYVQAWIIEKKGPVFLAMSTPLNLIFTMLCSAIILCEIITLGSIMGGVLLVGALYSVLWGKSKEEKMNDKNHIIAEAEEKESSESAAKGQLPL >Manes.02G064001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4895414:4898539:-1 gene:Manes.02G064001.v8.1 transcript:Manes.02G064001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTECIIRMLNLNQPVNATGTANEEVYKILIYDRFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPSQINIQRIISDASRSVYDSFHLNFSSSIPRPLLEDLSSGTLNSDSIQRISKVHDQYLEFVTLEDNLFSLAQKSSYVQLNDPSAGDREIEGIVEKIVSGLFCVLATLAVVPLIRCPRGGPAEMVASALDQRLRDHLLSKNNLFSEGGGFMSSFQRPILCIFDRNFELAVGIQHDFRYRPLVHDVLGLKLNRLSVQGEKGGMKSYELDSSDPFWVANGSLEFPEVAVEIETQLNKYKKDVDEVNRRTGGTDGTEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGGIDRNELLGVLKGKGSKMDKLRFAIIYLISSDSLNQSEVESVEAALRESEVDTCAFQYVKKIKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRIVEALMEGKPNPEIDSYLVFDPRSPKSGAGSSHLKGPFKEAMVFMIGGGNYMEYGGLQELAQRQQPVKHIIYGTTEILTGTEFVEQLTLLGQKMGLGSTAATASTH >Manes.02G064001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4877756:4898537:-1 gene:Manes.02G064001.v8.1 transcript:Manes.02G064001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTECIIRMLNLNQPVNATGTANEEVYKILIYDRFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPSQINIQRIISDASRSVYDSFHLNFSSSIPRPLLEDLSSGTLNSDSIQRISKVHDQYLEFVTLEDNLFSLAQKSSYVQLNDPSAGDREIEGIVEKIVSGLFCVLATLAVVPLIRCPRGGPAEMVASALDQRLRDHLLSKNNLFSEGGGFMSSFQRPILCIFDRNFELAVGIQHDFRYRPLVHDVLGLKLNRLSVQGEKGGMKSYELDSSDPFWVANGSLEFPEVAVEIETQLNKYKKDVDEVNRRTGGTDGTEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGGIDRNELLGVLKGKGSKMDKLRFAIIYLISSDSLNQSEVESVEAALRESEVDTCAFQYVKKIKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRIVEALMEGKPNPEIDSYLVFDPRSPKSGAGSSHLKGPFKEAMVFMIGGGNYMEYGGLQELAQRQQPVKHIIYGTTEILTGTEFVEQLTLLGQKMGLGSTAATASTH >Manes.18G059900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5319174:5326566:-1 gene:Manes.18G059900.v8.1 transcript:Manes.18G059900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTRDLGFSSLVLLLCVVFPVIAFIIRRKWLLSVARQEEIKRLLILASEEAARAELEATVVYGTVSVSRNGYQCAVCYSPTTTRCARCKAVRYCSAKCQIIHWRQGHKEECSPPSATYHINVDFGNSSQKAEKQGQYDIYDDKHGNSPINTISVGRVLSNISSTGAVPHVNGDDIKVGSVANAEEISISESVEPSFSGFSTTPIGGESSDGVSVSESISSNESEESDGHISSGTSPDVLEPGLNKVDQTKPLSPKFARLIDSVDSNKFSKLNKSISHTNRGESCYASTITSGQSNIAMHEGSITEPGTVSSGFWDRTLDSVVSSNVVQADPDLSRSRKEANSKLSDSESFIRFKFDLSGRNVPPSNAQSSEVKGIISDDAHQAVLGIGSPVDGAAFSENTHDGPPKVRRSISVSCEKSSHIDNEYSDSLNVSKITEIKSVPSSSSYASQIEAAPCAVDSNVSKSIDLKSSSLCAPQSNAIVDDTVSTSHVSKSRVSSSASKAHLAYTGNGHSVINIKSGKLDNVEADAVTTFSSISGLKSSMRKVVDQLKGPKSGKYSDKGLFSYDMFVKLYTLNKVELRPCGLINCGNSCYANAVLQCLSFTPPLTAYFVQGLHSKECANKDWCFTCEFERLVLKAKEGISPLSPIGMLSQLQRLGSQLGSGREEDAHEFLRYAIDTMQSVCLKEAGVNAVGSFDEETTLIGLTFGGYLRSKIKCMKCHYKSERHERMMDLTVEIEGDIGKLEDALKRFTSTEILDGDNKYQCSRCKSYEKAKKKLTILEAPNVLTIALKRFQSGKFGKLNKSIRFPEILDLAPYMSGTSDKSPIYRLYGVVVHLDIMNASFSGHYVCYVKNVQNKWFKIDDSTVCCCCCYYY >Manes.18G059900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5316002:5326566:-1 gene:Manes.18G059900.v8.1 transcript:Manes.18G059900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTRDLGFSSLVLLLCVVFPVIAFIIRRKWLLSVARQEEIKRLLILASEEAARAELEATVVYGTVSVSRNGYQCAVCYSPTTTRCARCKAVRYCSAKCQIIHWRQGHKEECSPPSATYHINVDFGNSSQKAEKQGQYDIYDDKHGNSPINTISVGRVLSNISSTGAVPHVNGDDIKVGSVANAEEISISESVEPSFSGFSTTPIGGESSDGVSVSESISSNESEESDGHISSGTSPDVLEPGLNKVDQTKPLSPKFARLIDSVDSNKFSKLNKSISHTNRGESCYASTITSGQSNIAMHEGSITEPGTVSSGFWDRTLDSVVSSNVVQADPDLSRSRKEANSKLSDSESFIRFKFDLSGRNVPPSNAQSSEVKGIISDDAHQAVLGIGSPVDGAAFSENTHDGPPKVRRSISVSCEKSSHIDNEYSDSLNVSKITEIKSVPSSSSYASQIEAAPCAVDSNVSKSIDLKSSSLCAPQSNAIVDDTVSTSHVSKSRVSSSASKAHLAYTGNGHSVINIKSGKLDNVEADAVTTFSSISGLKSSMRKVVDQLKGPKSGKYSDKGLFSYDMFVKLYTLNKVELRPCGLINCGNSCYANAVLQCLSFTPPLTAYFVQGLHSKECANKDWCFTCEFERLVLKAKEGISPLSPIGMLSQLQRLGSQLGSGREEDAHEFLRYAIDTMQSVCLKEAGVNAVGSFDEETTLIGLTFGGYLRSKIKCMKCHYKSERHERMMDLTVEIEGDIGKLEDALKRFTSTEILDGDNKYQCSRCKSYEKAKKKLTILEAPNVLTIALKRFQSGKFGKLNKSIRFPEILDLAPYMSGTSDKSPIYRLYGVVVHLDIMNASFSGHYVCYVKNVQNKWFKIDDSTVTPVDLERVLTKGAYMLLYARCSPRAPGLIRSRIVSPDHPKMKGSPSRINAKNIALNSSSTSSHSNVVRLHSSSIPTDSLASDESFYLKFHRLQKILEEDSSSDSYSFTSSNSDEGSCSTESTRDSTSTDDLSDYIFGGWSNTWRNTSDSDTCSSSSSSPLYSRHLHETSRSQIHDADSAVNGDDWDSLEVKGGNPFLHSDRRKQCRKFSSSSCRETDSPKLGWVNHVKSGVSFRRSMSERTD >Manes.18G059900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5316002:5326566:-1 gene:Manes.18G059900.v8.1 transcript:Manes.18G059900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTRDLGFSSLVLLLCVVFPVIAFIIRRKWLLSVARQEEIKRLLILASEEAARAELEATVVYGTVSVSRNGYQCAVCYSPTTTRCARCKAVRYCSAKCQIIHWRQGHKEECSPPSATYHINVDFGNSSQKAEKQGQYDIYDDKHGNSPINTISVGRVLSNISSTGAVPHVNGDDIKVGSVANAEEISISESVEPSFSGFSTTPIGGESSDGVSVSESISSNESEESDGHISSGTSPDVLEPGLNKVDQTKPLSPKFARLIDSVDSNKFSKLNKSISHTNRGESCYASTITSGQSNIAMHEGSITEPGTVSSGFWDRTLDSVVSSNVVQADPDLSRSRKEANSKLSDSESFIRFKFDLSGRNVPPSNAQSSEVKGIISDDAHQAVLGIGSPVDGAAFSENTHDGPPKVRRSISVSCEKSSHIDNEYSDSLNVSKITEIKSVPSSSSYASQIEAAPCAVDSNVSKSIDLKSSSLCAPQSNAIVDDTVSTSHVSKSRVSSSASKAHLAYTGNGHSVINIKSGKLDNVEADAVTTFSSISGLKSSMRKVVDQLKGPKSGKYSDKGLFSYDMFVKLYTLNKVELRPCGLINCGNSCYANAVLQCLSFTPPLTAYFVQGLHSKECANKDWCFTCEFERLVLKAKEGISPLSPIGMLSQLQRLGSQLGSGREEDAHEFLRYAIDTMQSVCLKEAGVNAVGSFDEETTLIGLTFGGYLRSKIKCMKCHYKSERHERMMDLTVEIEGDIGKLEDALKRFTSTEILDGDNKYQCSRCKSYEKAKKKLTILEAPNVLTIALKRFQSGKFGKLNKSIRFPEILDLAPYMSGTSDKSPIYRLYGVVVHLDIMNASFSGHYVCYVKNVQNKWFKIDDSTVTPVDLERVLTKGAYMLLYARCSPRAPGLIRSRIVSPDHPKMKGSPSRINAKNIALNSSSTSSHSNVVRLHSSSIPTDSLASDESFYLKFHRLQKILEEDSSSDSYSFTSSNSDEGSCSTESTRDSTSTDDLSDYIFGGWSNTWRNTSDSDTCSSSSSSPLYSRHLHETSRSQIHDADSAVNGDDWDSLEVKGGNPFLHSDRRKQCRKFSSSSCRETDSPKLGWVNHVKSGVSFRRSMSERTD >Manes.11G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30782069:30787281:1 gene:Manes.11G142400.v8.1 transcript:Manes.11G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGGGGRSLEETPTWAVAIVCFVLVLISIIIEYIIHLIGKWLKKKHKRALYEALEKIKSELMLLGFISLLLTVGQGLISNICIPEKVGSTWHPCSKKEEEKLNNSPSTDDDDETRRKLLSISDSGGSFRRVLAGSATTDKCGEGKVPFVSSDGIHQLHIFIFVLAVFHVLYSFLTMALGRAKMRRWKSWEKETRTIEYQFSHDPERFRFARETSFGRRHLSFWTKTPVLIWVVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHMKFNFQKYINRSLEEDFKVVVGISPPIWFFAVLFLLFNTHGWYSYLWLPFIPLIIILLVGTKLQVIITKMAIRIQERGEVVKGVPVVQPGDHLFWFNRPSLLLYLINFVLFQNAFQLAFFAWSWKEFGIKSCFHEHLEDIIIRISMGVLIQILCSYVTLPLYALVTQMGSSMKPTIFNERVAAALRNWHQTAKKQIKRNKGSITPMSSKPTTPSHHISPVHLLRYYQNDIHNLQDSPRRSNFGWETDSPSPSHPNYGEGSSSSHYLNRGDDSISHHYSFQQQQIELNNIESDRNVNEPSSSQVASISEHEISVVPKEFSFDRRTSV >Manes.11G142400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30782069:30787281:1 gene:Manes.11G142400.v8.1 transcript:Manes.11G142400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGGGGRSLEETPTWAVAIVCFVLVLISIIIEYIIHLIGKWLKKKHKRALYEALEKIKSEKVGSTWHPCSKKEEEKLNNSPSTDDDDETRRKLLSISDSGGSFRRVLAGSATTDKCGEGKVPFVSSDGIHQLHIFIFVLAVFHVLYSFLTMALGRAKMRRWKSWEKETRTIEYQFSHDPERFRFARETSFGRRHLSFWTKTPVLIWVVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHMKFNFQKYINRSLEEDFKVVVGISPPIWFFAVLFLLFNTHGWYSYLWLPFIPLIIILLVGTKLQVIITKMAIRIQERGEVVKGVPVVQPGDHLFWFNRPSLLLYLINFVLFQNAFQLAFFAWSWKEFGIKSCFHEHLEDIIIRISMGVLIQILCSYVTLPLYALVTQMGSSMKPTIFNERVAAALRNWHQTAKKQIKRNKGSITPMSSKPTTPSHHISPVHLLRYYQNDIHNLQDSPRRSNFGWETDSPSPSHPNYGEGSSSSHYLNRGDDSISHHYSFQQQQIELNNIESDRNVNEPSSSQVASISEHEISVVPKEFSFDRRTSV >Manes.17G012850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:5244830:5245624:-1 gene:Manes.17G012850.v8.1 transcript:Manes.17G012850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNDVQLQVSYFSSNFIDCTIGTGSTQWHFTGFYGCPEPTRRRTSWNLLCELSSHNDLPWLCCGDYNDIAAPEEKSGGPLRASHLISGFRGALLDSGLSDIQHNGSFLSYTYREGTPFCSKERLDRACSYTAWSGIFPDALSSILVAPVSDHNPLLVETIAPTCHIGSRRFRFDNSWLEDPELGEVMQWGRNRNKLNRAQKDMIRKRLEEDLDSVDTREVRRLKEQWNQILAEEEIRIRQQPKLFWFRNGDKNTKYFHNNIKA >Manes.12G155700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36430052:36438262:1 gene:Manes.12G155700.v8.1 transcript:Manes.12G155700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVIQKNHDTSTIETQINHNNKKKDVDPELFSCLLQPVNADADPEYIGIRRLLLYRKAESGDLRRLDWRCNGKGYASYRNYIRRPRNWESQQMASLQSTPGDSGRWLQCPSPLSHLFDAESWSSSRNLTGNLPSTHRTSFSSSASDIDHPRHRGVEPAYSFVGMHCIFDQCKSAVTVLKFGHMSSDLLAYGAADGTLTVCTVSEPPSVLKQLKGHSRDVTDFDFSSNNQYIASSSMDKTVRVWKLSQGLCIRVIYGVSSQLCIRFHPVNNNFLSAGNENKEITVFNFSTGRIIRKSVFYSKVTSMEHDHTGQLIFCGDAQGCIYCVRMDSHTGALSRSHRHRNGKSKCPVTTVQYRSFSLLAGGPVLLTCTQDGNLSFFSVALEIQGYLTLRCSLKLAPRLHNIRASFCPLLSLEKGEYIVVGSDDSNVYFYDLTRPRHACVNKLQGHRFPVIGVAWNHGENLLASSDLYGIVIVWKRAKLQRNIHGDKPQTP >Manes.01G190700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36623532:36626280:-1 gene:Manes.01G190700.v8.1 transcript:Manes.01G190700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQENEEQLARWDGYVDWRNRPALRGRHGGMLAASFVLVVEILDNLAFLANASNLVMYLSEYMHLSPSVSANNVTNFMGTSFLLALLGGFLSDAFFTTYTIYLISADIEFLGLVILTVQARSPSLKPPACDPANPNVPCQEVTGAKAAMLFLGLYIVALGVGGIKGSLAAHGAEQFDESTPQGRKQRSTFFNYFIFCLACGGLIAVTFVVWLEDNKGWVWGFGVSTIVIFLSIPIFLAGSPTYRNKIPSGSPLTTIFKVLIVAAITTFISSTPSNAIANLNASPISPTKASEESKENSKEMAPGTETLTKSFSFLNRALVKRQVHSSLECTVQQVEEVKVVIKILPIFSCTIMLSCCLAQLSTFSVQQAATMNTELGSLKVPPASLPFFPVIFIMILAPIYDHFIIPFARKVTKSEMGITHLQRIGIGLVLSVIAMGVAALVEIKRKRVATNSGLLDSNDPLPITFFWIAFQYLFLGSADLFTLAGLMEFFFTEAPTSMRSLATSLSWASLAMGYYLSSVIVSIVNNITGSSTRRPWLSGDNINYYQLERFYWLMCVLSAVNFLHYLLWANWYKYRSTRSGYQSQFTHST >Manes.10G133698.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30034173:30035924:-1 gene:Manes.10G133698.v8.1 transcript:Manes.10G133698.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVAAAGSILSVCFQGLLDRLNSIDLMKYVGQGQVLAQLKKWEKMLPRIYAVLEDAEEKQTANRSVKIWLCDLRDLAYDLEDIIDELATEVQQRNLEEEHVHPKNKVHEFFCGMCGGGNINLNTIKFNLEMDAKIEETSARLDEIIKQKDELRLAEYTTRRVSHVTERPPATSLVNEAKVYGREEDKKAMLKLLNAETSDAQVSVISIVGMGGLGKTTLAQLVYNDPMLQFDLKAWVSVGEDFDVSRVTKTFLLQLGDGGDDKDLHVLQVKLKQNLSWKKFLVVLDDVWTQNYEQWTLFWGPFEAGAPQSRVIVTTRSQHVSSRIGATQAYSLKKLSHNECMSVFAQHALGANNFDDHSELKEIGDEIVERCGGLPLAAKALGGILRGEPNPDSWKEVSRSKIWELPENESNILPFLRLSYLHLPPHLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDQKKMKDSEDLGRKYFDDLLSRSFFQQSIDDKSKYLMHDLIIELACYVSGETCLHMVDKLESTKPYAKIRHSSFISHYRDTAQRFQSFYGMKNLRTFLPMRGGIALDATLLVRWCMSWCQN >Manes.07G074600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22132278:22138102:1 gene:Manes.07G074600.v8.1 transcript:Manes.07G074600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCFLEKLPMETMDLSDSPNPNRALTETRFSDLKPPLSEPVLEALTLTGFEYCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRASASHKPHQVMGIILSPTRELSSQIYNVAQPFIATLSNVKSILLVGGGDVKADVKKIEEEGANILIGTPGRLYDIMERVDFLDFRNLEVLILDEADRLLDMGFQKQINSIMAHLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLTDSASSHSKTPSGLQLEYLECEEDNKPSQLVDLLIKNKSKKIIVYFMTCACVDYWGVVLPRLTALKGFSLIPLHGKMKQTAREKALASFTSLTNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGSAIVFLLPKEEAYVEFLHIRRVPLQKKEKSDHAPDVVPQIRSAAKKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRWKELEIGKLGMGFGLLQLPSMPEVKHHSLSTVGFTPVEDIKLEDIKYKDKSREKQRKKNLQAKKEAQQQEAKPQKPKNNPNAAAPTVMRKKTAKQRRAAQTVEDEDELAREYRLLKKLKKGTIDESEYAKLTGTEELL >Manes.07G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22132277:22138102:1 gene:Manes.07G074600.v8.1 transcript:Manes.07G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDSPNPNRALTETRFSDLKPPLSEPVLEALTLTGFEYCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRASASHKPHQVMGIILSPTRELSSQIYNVAQPFIATLSNVKSILLVGGGDVKADVKKIEEEGANILIGTPGRLYDIMERVDFLDFRNLEVLILDEADRLLDMGFQKQINSIMAHLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLTDSASSHSKTPSGLQLEYLECEEDNKPSQLVDLLIKNKSKKIIVYFMTCACVDYWGVVLPRLTALKGFSLIPLHGKMKQTAREKALASFTSLTNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGSAIVFLLPKEEAYVEFLHIRRVPLQKKEKSDHAPDVVPQIRSAAKKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRWKELEIGKLGMGFGLLQLPSMPEVKHHSLSTVGFTPVEDIKLEDIKYKDKSREKQRKKNLQAKKEAQQQEAKPQKPKNNPNAAAPTVMRKKTAKQRRAAQTVEDEDELAREYRLLKKLKKGTIDESEYAKLTGTEELL >Manes.07G074600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22132278:22138102:1 gene:Manes.07G074600.v8.1 transcript:Manes.07G074600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCFLEKLPMETMDLSDSPNPNRALTETRFSDLKPPLSEPVLEALTLTGFEYCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRASASHKPHQVMGIILSPTRELSSQIYNVAQPFIATLSNVKSILLVGGGDVKADVKKIEEEGANILIGTPGRLYDIMERVDFLDFRNLEVLILDEADRLLDMGFQKQINSIMAHLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLTDSASSHSKTPSGLQLEYLECEEDNKPSQLVDLLIKNKSKKIIVYFMTCACVDYWGVVLPRLTALKGFSLIPLHGKMKQTAREKALASFTSLTNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGSAIVFLLPKEEAYVEFLHIRRVPLQKKEKSDHAPDVVPQIRSAAKKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRVGTVSSIPS >Manes.07G074600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22132278:22138102:1 gene:Manes.07G074600.v8.1 transcript:Manes.07G074600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDSPNPNRALTETRFSDLKPPLSEPVLEALTLTGFEYCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRASASHKPHQVMGIILSPTRELSSQIYNVAQPFIATLSNVKSILLVGGGDVKADVKKIEEEGANILIGTPGRLYDIMERVDFLDFRNLEVLILDEADRLLDMGFQKQINSIMAHLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLTDSASSHSKTPSGLQLEYLECEEDNKPSQLVDLLIKNKSKKIIVYFMTCACVDYWGVVLPRLTALKGFSLIPLHGKMKQTAREKALASFTSLTNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGSAIVFLLPKEEAYVEFLHIRRVPLQKKEKSDHAPDVVPQIRSAAKKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRVGTVSSIPS >Manes.07G074600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22133334:22138102:1 gene:Manes.07G074600.v8.1 transcript:Manes.07G074600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDSPNPNRALTETRFSDLKPPLSEPVLEALTLTGFEYCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRASASHKPHQVMGIILSPTRELSSQIYNVAQPFIATLSNVKSILLVGGGDVKADVKKIEEEGANILIGTPGRLYDIMERVDFLDFRNLEVLILDEADRLLDMGFQKQINSIMAHLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLTDSASSHSKTPSGLQLEYLECEEDNKPSQLVDLLIKNKSKKIIVYFMTCACVDYWGVVLPRLTALKGFSLIPLHGKMKQTAREKALASFTSLTNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGSAIVFLLPKEEAYVEFLHIRRVPLQKKEKSDHAPDVVPQIRSAAKKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRVGTVSSIPS >Manes.14G057700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4864953:4869630:-1 gene:Manes.14G057700.v8.1 transcript:Manes.14G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITAAPSVQNGCLMVRGKVLLTQVPENVNISAATCDSAFVGAVSSTPSSRHVFSLGVLEGYRFLCLFRVKIWWMTPRVGKSGSEIPMETQMLMLEATQDSALHDEHSSETSTGNTFYILLLPVLDEQFRTSLQGTSANELQFCIESGDANVQTSQAVEAVFINSGDNPFELIKNSIKILEKHKGTFSHIENKKLPAHLDWLGWCTWDAFYSKVNPRGIEDGLQRFMEGGCSPKFLIIDDGWQDTVNEFSKEGEPPIEGTQFATRLVGIKENNKFSSGSDDICTNLREFVDKIKEKYGLKFVYVWHALAGYWGGVMPSSEKMKKYNPKLVYPIQSPGNIGNQRDIAMDSLEKYGVGVIDPTKIFDFYNDLHSYLASSNVDGVKVDVQNLIETLGSGYGGRVTLTRQYQQALEQSVARNFKDINIICCMSHNSDSIYSSRKSAVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFHSKHETAEFHGAARALGGCAVYVSDKPGNHDFNLLKKLVLPDGSILRARHAGRPTRDCLFVDPVMDRKSLLKIWNLNKLSGVIGIFNCQGAGSWPMKQAAEEMKNVPSTPSTLSGNVRPSDVEFLGEVAGEGWNGDCAVYAFNSGSLSVVPKNGSLEVSLATLKCEIYTISPVRIFGEDIHFAPIGLLDMYNSGGAVEAVNCRITDSSECRIKIKGRGSGRFGAYSSTKPKYCMVENKEEDFTYSAVDGLLAIKLQGECNLREIEFVF >Manes.09G142100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34022682:34026045:-1 gene:Manes.09G142100.v8.1 transcript:Manes.09G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMGRNLSPVLRRELANLDKDADSRRSAMRALKSYVKGLDSKAIPVFLAQVSETKETGSLSGEYTISLYEVLARVHGVNIVPQIDSIMTTIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTMGEDKKRHIIHSLCKPLSQALLGSQESLTSGAALCLKALVDSDNWRFAADEMVNRVCQNAAVALEDKCTQTNSHMGLVMALAKHNALTVEAYARLLIQSGLRILNAGVVENNSQKRFSAIQMVNFLMKSLDPRNIFSEVDLIIKEMENCQSDQMAYVSGAAFEALQTAKKISTEKGSQYDRSPGSVTGSNFGRREHKGRTVSSSGTHSPASISPESQTLDSFIEYDSLAESPISTMEISHNMEFDCHSVNRKLWRHENEGIDVSLRDGFFSDLVHRSPIHGAFSGHCELSENTEDFAGFLPRTPRNGLRSNTPSPQRSCSRIDVDNINIFATPRKLIRSLQDPNDVDSDLSEKKFGSPRSTKFDYSPNMKFNENGFQHNGGYEVEDSRNSYVGEEQFQCTTESVSSTDDVLVDSDMKESSELVNAYKDNTPMFPNRKANQKKSCTLIFGLLFALAVFTSLMWIDGKREGQYLVPT >Manes.13G154966.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36851823:36877491:-1 gene:Manes.13G154966.v8.1 transcript:Manes.13G154966.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLHIVNSTLEWVTLALDAPSARAVVFGIHIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTKKFHACNYPKANVVLCFYVLVNCHLFASGPHTVINGKEVVNFSSSNYLGLIAHEKLLSCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDIIVVDEGVHWGIQNDLYLSRSTIVYFKHNDMESGTGFRAVTESLENTLDKITAGNKRAKKLRRYIIVEAIYQNSGQIVPLNEIIRLKEKYHFRVLLDESNSFGVLGGSGKGLTEYYGVPVEKIDIITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLESNPALVAKLKENIAILWKGLC >Manes.13G154966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36843125:36877491:-1 gene:Manes.13G154966.v8.1 transcript:Manes.13G154966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLHIVNSTLEWVTLALDAPSARAVVFGIHIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTKKFHACNYPKANVVLCFYVLVNCHLFASGPHTVINGKEVVNFSSSNYLGLIAHEKLLSCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDIIVVDEGVHWGIQNDLYLSRSTIVYFKHNDMESGTGFRAVTESLENTLDKITAGNKRAKKLRRYIIVEAIYQNSGQIVPLNEIIRLKEKYHFRVLLDESNSFGVLGGSGKGLTEYYGVPVEKIDIITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLESNPALVAKLKENIAILWKGLSNIQGLSIASHPESPIVFLKLEKSIGSVKDDLKLLENIADRALKEDSVFVVVSKRSTLDKCRLPIGIKLLVSVAHSESDMFKACESLKRVAEVVLNNYN >Manes.13G154966.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36843125:36877491:-1 gene:Manes.13G154966.v8.1 transcript:Manes.13G154966.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLHIVNSTLEWVTLALDAPSARAVVFGIHIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTKKFHACNYPKANVVLCFYVLVNCHLFASGPHTVINGKEVVNFSSSNYLGLIAHEKLLSCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDIIVVDEGVHWGIQNDLYLSRSTIVYFKHNDMESGTGFRAVTESLENTLDKITAGNKRAKKLRRYIIVEAIYQRLSSSGYVFSASLPPYLASAAITAIDVLESNPALVAKLKENIAILWKGLSNIQGLSIASHPESPIVFLKLEKSIGSVKDDLKLLENIADRALKEDSVFVVVSKRSTLDKCRLPIGIKLLVSVAHSESDMFKACESLKRVAEVVLNNYN >Manes.13G154966.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36847119:36877491:-1 gene:Manes.13G154966.v8.1 transcript:Manes.13G154966.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLHIVNSTLEWVTLALDAPSARAVVFGIHIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTKKFHACNYPKANVVLCFYVLVNCHLFASGPHTVINGKEVVNFSSSNYLGLIAHEKLLSCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDIIVVDEGVHWGIQNDLYLSRSTIVYFKHNDMESGTGFRAVTESLENTLDKITAGNKRAKKLRRYIIVEAIYQNSGQIVPLNEIIRLKEKYHFRVLLDESNSFGVLGGSGKGLTEYYGVPVEKIDIITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLESNPALVAKLKENIAILWKESFQAYMTQHGILHQSSCVDTPTQNGVAEMKNRHFLETARALLFQMQVPKQFWTDAVSTACFLINRMPSAVLNGNTPYNVLFPKKLLFPLET >Manes.09G176400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36717528:36720179:-1 gene:Manes.09G176400.v8.1 transcript:Manes.09G176400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPAALNPIFGFLSPISHYPNPKTKLSIAIFPCYSLHHLSSNPMSSSSGPINLAPQNAPLTVQNYPTPLSPPLPPISKQIELARAMTASSISSLFALSRSDILYEDQWLLAVNKPQGIYCEAVLESVPRFLADLSEEAKGTLPELHLANRLDRDTSGVTVITKSHKVASKLVKAFTDHKVKKTYIALCVGSAPKWEKITIKSGHGRSKFGAWRVYAASDVGRTLPGGSVVRDMETSFELLSVNGQGNFKELREFEKDENVIVVEEKAVKDADGKKDEILIRAFPQSGRTHQIRLHCQYLGISIRGDVKYEGVYEWKEKIYDGHELHAESLSFEHPITGLPVMFCAPLPSWAKEAVQPL >Manes.08G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33391588:33398061:1 gene:Manes.08G100200.v8.1 transcript:Manes.08G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPELQARPFRPYIASSASAPAFSSLNNGRAYSPDSNPSPNSHFRSPSSSSSAPSRSRFLPSSFAHNTRIAIALVPCAAFLLDLGGAPVVATLTLGLMVAYILDSLNLKSGAFFGVWFSLIAAQIAFFFSSSLITTFYSVPLGFLAALLCAFANFLIGVWASLQFKWIQLENPTIVLALERLLFACVPFIASAMFTWATISAVGMNNASYYLMAFNCIFYWLFAIPRVSSFKSKQEAKYHGGEVPDDNFILSPLESCFHTLNLLFFPLMFHIASHYSVIFSSAASVCDLFLLFFIPFLFQLYASTRGALWWVTKHAHQMHSIRVVNGAIALVVVVLCLEVRVVFHSFGRYIQVPPPLNYVLVTLTMLGGAAGAGAYALGMISDAFSSVAFTALTVMVSAAGAIVVGFPMLFLPLPSVAGFYLARFFTKKSLASYFAFVALGSLMVIWFVLHNFWDLNIWLAGMSLKSFCKLIVASVILAMAIPGLAVLPSKLHFLVEVGLVSHALLLCHIENRFFNYSGIYFYGLEDDVMYPSYMVIMTTFVGLALARRLSVDHRIGPKAVWILTCLYSSKLAMLFISSKSVVWVSAVLLLAVTPPLLLYKDKSRTVSRMKPWQGCVHAGVVAVSVWFCRETIFEALQWWNGRPPSDGLLLGFCIVLTGLACVPIVVLHFSHVLSAKRCLVLVVATGALFILMQPPIPLAWTYHSDIIKAARQSSDDISIYGFMASKPTWPSWLLITAILLTLAALTSIIPIKYVVELRAFYSIAVGIALGIYISAEYFLQAAVLHALIVVTMVCTCVFVVFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKSILEDGRVADMVEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEIKFELASLMREKSLERGGIRHSQSSQSSSASFAPRMRFMQQRRASTVPTFTIKRMAAEGAWMPAVGNVATIMCFAICLILNVNLTGGSNQAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVAVAISAYLVLTALYSIWEDVWHGNTGWGLEIGGPDWFFAVKNLALLILTFPSHILFNRFVWSYTKQTDWTPLITVPLNLPSIIISDVIKIKILGILGIIYTVAQTIISRQQYISGMKYI >Manes.06G127300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25720869:25722491:1 gene:Manes.06G127300.v8.1 transcript:Manes.06G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHAPTRIQAANPTSPPSILAPLGSNAGLRPPSDRFALKSSSFSPSLHLLLTSNQQKPLASAAPRFSMRVASKQTYICRDCGYIYNERTPFEKLPDKYFCPVCGAPKRRFKPYAPAVTKNANETDVRKARKAEIQRDEAIGRALPIAIVVGVAALAGLYFYLNNSFQG >Manes.S024816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:336349:336774:1 gene:Manes.S024816.v8.1 transcript:Manes.S024816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.18G087233.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8029455:8030840:1 gene:Manes.18G087233.v8.1 transcript:Manes.18G087233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGNKLSGNVPTWIGESLTSLQYLSLRSNQFYGRMPSQVCQLKHVQILDLSINNITGTIPNCLKNLGAMADGNWTKTIDHKYYWKGYERYSYIDEALVLWKGQNYLCDKNLGQFRIIDLSSNKIEGEIPREISSLSQIKQSNLSNNKLIGAIPEEIGCLKEMESLDLSHNHLSGRLPATMANLNFLNTLNLSYNSLSGRIPSSTQLQSFNASSFSNNLALCGLPLTQRCIEDGIPDPQSNHDGRYNEEDKDEFWKWYYAGMGLGFSAGFWGVSSTLLLKRSCRHAFFQLLNKFGDWVYVKKAVYNRRLQQKLHGKDSFLLMKWLPK >Manes.14G157383.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20264161:20268488:1 gene:Manes.14G157383.v8.1 transcript:Manes.14G157383.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINKDPSTPPPIIGKIGPYTVFMTPPCTPKPAEPPVFDSPKKMVSPPPVQPPPQQIDKSVSAQTLSDGSVSGFFRNALNKVQNVNSRLDEHLARWFGLNQSKYQWALDDYYESKELGKDDARSKEILSKVQSV >Manes.14G157383.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20264156:20268534:1 gene:Manes.14G157383.v8.1 transcript:Manes.14G157383.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINKDPSTPPPIIGKIGPYTVFMTPPCTPKPAEPPVFDSPKKMVSPPPVQPPPQQIDKSVSAQTLSDGSVSGFFRNALNKVQNVNSRLDEHLARWFGLNQSKYQWALDDYYESKELGKDDARSKEILSKVQSV >Manes.14G157383.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20264156:20268528:1 gene:Manes.14G157383.v8.1 transcript:Manes.14G157383.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINKDPSTPPPIIGKIGPYTVFMTPPCTPKPAEPPVFDSPKKMVSPPPVQPPPQQIDKSVSAQTLSDGSVSGFFRNALNKVQNVNSRLDEHLARWFGLNQSKYQWALDDYYESKELGKDDARSKEILSKVQSV >Manes.07G015100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1802988:1809455:-1 gene:Manes.07G015100.v8.1 transcript:Manes.07G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFVFHTAQIPTTTTTSAAKNLPLKPNLPPILQLCPRQQLPAAQQVTCKLRRTLVIKCSSPSIIESGDFVAALERCFLAPDAAPVDLGSASSSRGEFGPVMKGKYGAFGAVTLEKGKLDLSQKESKVSPEVALGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDGDEGDEGGLFRRRKFLEELFDRKFVDAVLNEWQKTMMDLPSGFRQAYEMGLVSSAQMVKFLAINARPTTTRMISRALPQAISRAFIGRMLADPAFLYRLLLEQAATFGCSVWWEVKNRKDRIKQEWDLALVNVLTATACNAIVVWTLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFELQKRVHSFFYKAAELCVVGLGAGAIQGQLSNFLASKRKDRLSVMVPPVSNYARGYGAFLGLYANLRYQLLCGFDRAMVNHFDVIGVALFFSTALRILNVQVGETSRLAWIGAEADPLVHSDNLLKAYNRPSEEVATASSSKWFISKQTLVSGLGLLGIKQGNVESVDGEASSAPKTRRKRVVRKKVSASSA >Manes.07G015100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1802988:1809455:-1 gene:Manes.07G015100.v8.1 transcript:Manes.07G015100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFVFHTAQIPTTTTTSAAKNLPLKPNLPPILQLCPRQQLPAAQQVTSLERCFLAPDAAPVDLGSASSSRGEFGPVMKGKYGAFGAVTLEKGKLDLSQKESKVSPEVALGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDGDEGDEGGLFRRRKFLEELFDRKFVDAVLNEWQKTMMDLPSGFRQAYEMGLVSSAQMVKFLAINARPTTTRMISRALPQAISRAFIGRMLADPAFLYRLLLEQAATFGCSVWWEVKNRKDRIKQEWDLALVNVLTATACNAIVVWTLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFELQKRVHSFFYKAAELCVVGLGAGAIQGQLSNFLASKRKDRLSVMVPPVSNYARGYGAFLGLYANLRYQLLCGFDRAMVNHFDVIGVALFFSTALRILNVQVGETSRLAWIGAEADPLVHSDNLLKAYNRPSEEVATASSSKWFISKQTLVSGLGLLGIKQGNVESVDGEASSAPKTRRKRVVRKKVSASSA >Manes.14G121912.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11838003:11841151:1 gene:Manes.14G121912.v8.1 transcript:Manes.14G121912.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRALYLVTMKGSSMLLQSLGAFTNLEILYMSGSDLKGTRFAQYSNLINLRELYLDGSSVGRNFLQSLEVLPSLKILSMRNCGFSGIIPVNQDSNLTNLRELYLDYSSVDENLLQYLKALSSLETLSMQGCGFSGIITMNQGICKLKHLQILDISYNDLSGNLPLCLANLTSLQQLHLSFNHFIGNISSSPLEGLTNLEYLSVSGNLFQIPISLSPFFNHSKLKYMESRGNKIFAETDGQYLNSRFQLERLVLSGGGYCGAFPKFLYHQHNLQFVDLSHNQMREGFPSWLLQNNTKLEQLYLINNSLSGPLKLPIHSHMNLSLLDISDNFFQGYITPEIGTYLPKLSHLNMLGNGFSGSIPSSFGNMSLLRYLDLSNNRLSGTIPEDLTIGCVSLRELILSNNSLQGQIFSEISNCHNLRIMDISYNHLSGKIPNWIRNMSSLQILDLSQNRISGNLPSNFCPIDLTEVHLSKNMLQGLLKDSFYNCPSLVVLDLSHNNLIGRIPKWIGEIPLGYILLSHNHFEGEIPIQLCKLDKLSLIDLSYNNLSGHIPHCLRCSSNYWYRQQEDIQPEQPVEFTTKNSSYFYQPSILHYFSGIDLSCNNLAGEIPPELGNLNMIKVLNLSHNKLIGAIPPTFSNLRQIESLDLSYNNLEGKIPPQLTQLYSLAVFNVAHNNLSSKTPERVAQFATFDQSSYEGNPLLCGLPLPKSCNNTSPSPPVTPTEEKEDNGFMDMGVFYVSFVVSYIMVLLAIAAVLYINPYWRRRWFYFIETSLTNCYYFLVDNIPLLSKFGLS >Manes.13G093532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26084438:26085349:-1 gene:Manes.13G093532.v8.1 transcript:Manes.13G093532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADVLGPEKNGYVRAYGPGKNVTKYFGARPTKIELLRQLDTSRREANERVQQIQKEASEQVNDVKKQMDEKLAEMNRIWEQKFKMLLEKNNNIASPMEDSQDDEIGG >Manes.17G122700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32823822:32825582:1 gene:Manes.17G122700.v8.1 transcript:Manes.17G122700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKQQYHVVIFPFMSKGHTIPLLHLAHLLLRRGIAVTVFTTPANHPFTAKFLSNTTASIIDIPFPENVPEIPSGIESTDKLPSISVFHQFALATERMQPDFERALESFPVVNFMVFDGFLWWVADSAAKFGIQRLFFLGMSNYASCVSRAVAESKVLFGPQLDDELINVSKFPWINVTKNDFESPFRDPEPKGPPFELLLKSMIAASISNGFISNSFYELEPVFVDYLNNRSKQKTWCVGPLCLASTPRVETELLNEPSWIQWLDQKLKQGSSVLYVAFGSQAEISAEQLKEITIALEESRVNFLWVIRKQESELGEGFEERVKERGIVVREWVDQMEILMHPSIQGFLSHCGWNSVLESICAGVPILAWPMMAEQHINARMVREEIKIGLRVETCNGSVRGFVKWEGLEKMVKELMEGEMGKKVRNKVSEFAEMAKKAMKEGTGSSWRTLDMLLEEFCRAKMT >Manes.14G152266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17654457:17656121:-1 gene:Manes.14G152266.v8.1 transcript:Manes.14G152266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQQMREEFAVTNPNNTNYDLNPMYPSSSSFSHAANYVNTADASLDPVINQSNQILTVCDDDLLPEDTDSDDEKNAYFDSFPAGYRFKPYDEELVVYYLQRKILNKPLPPNRIKEVKLYKFDPQTLAAHYKPNGEREWYFFTPRDRKYPNGERPNRAAGTGYWKATGADKPIIFKGTKVGFRKALVFYKGKPPKGDKTDWIMHEFRVNAPSKRKREGDMKLDDFVLCRIYQKLDRSFKGPRPRDQAMKEYHQPVQYDHQPAENVMIQPEPSQITMPVMAAGNYNPGMDMSYLNSNAGFAPRYLMNGAANVVAETFNTTPMGGLLYYQNSVQPLMDPSSSKFPFMQSHYSNEQPANEYLNLSEDISTVQRLENLVLSPSLDNFGSLPYEDHDHDPKRFQPDRLS >Manes.13G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35507309:35510137:1 gene:Manes.13G141500.v8.1 transcript:Manes.13G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENMDEEAISSNGSFVQNPVAGSNLPSVKRKRNLPGNPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARLNAEANINGLAAVSANFNYHHLMGTSPVAPNMAQHFPSIFKPISSNDQAMDQTPRGLSLWVSQEPQVHHEAIGSNNGMQEIHQLGSMVSSGTIFCEPLVSSSNPSPSDHYQLNWPFLGNKFSSSNAHEELTSTNSLPLNNVKDAAAAAQLVSVPSLYSTQQQSHQTSSAANMSATALLQKAAQIGATSTDPSFLGSFGLKCNSNSIQEAGNKYCGLYGSNSINTSTNLASDVENSAGNDISSLNHQLQMYPTAKRQKIQSDQENTGGQTRDFLGVGVQQAICHPSTMNGWI >Manes.07G009801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1122902:1125917:1 gene:Manes.07G009801.v8.1 transcript:Manes.07G009801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGDIITKLGSRALHEIGLWWGVKGELKKLEATVSSIRNVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVSLFFSSSNQLVYGFKMGHKIKAIRERLADIQGDRKFKLEVRTDQERIAWRDQTESTLPEVVIGREGDQKAITELVLSSNSEESVSVLSIVGIGGLGKTTLAQIIFNDELIKNSFKRRIWVCVSDPFDVKMVVRKILESATEKKPEDLELEALKSQLGRIIDGKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKKVADISSTVAPHVLEGLSPDESWSLFLHVALEGQEPKHANVREIGEEILKKCCGVPLAIKTIASLLYEKNPETEWPLFLRNELSRISYDHLPSHLKHCFAYCALCPKDYEIDVKTLIHLWVAQGFVEAPITSDRLEDIGLEYFMKLWWRSFFQEVKRDRFGNIESCKMHDLMHDLATTVGGTRIQLVNSDTPNIDEKTQHVALNLDVAPQEILNNAKRPRSFLLLEKHDYNKLFIHKNLRWMRAYDMNDCGIEKVDGCIKMLKHLRTLPNSITDLLNLRVLNVSNCCKLKELPRDIKKLVNLRHLYCEGCKSLTHMPRGLGQLSSLQTLSQFVVANGHISSKDVGKINELNKLNNLRGSLEITNLGFVDNKIVNVNLKEKPLLQSLVLHWKESWEDSNVDRDEMAFQNLQPHPNLKELNVVRYGGRRFPSWFSSLTNLVQLHICNGNGCQHLPPMDQIPSLQNLQIWTLDNLEYMEIEGQQTSFFPSLKTLNLHGCPKLKGWQKKRDDSTALELLKFPCLSYFSCNNCPSLTSIPQFPSLDESLNLQKASPQLVHQIFTPSISSSSSIIPPLSKLKHLSIVYIEELESLPRDGLRNLTCLQRLTIGFCPALKCLPQEMRSLTSLRELNIEICPQLKKRCGNKKGADWEFISHIQNIKVDRQTIQKEGRYLLDDEAS >Manes.11G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16676841:16678945:-1 gene:Manes.11G089300.v8.1 transcript:Manes.11G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSRVFEDEENGYGGRKVQPFASTPQPDLGKTEGKKQYPTTFSSILGLQDLSSLRVWRASLAEVLGTAALVFAMDTIVISSYETETKTPNLIMSALIAITVTVILNATSPISGGHINPVITLSAAFTGLISLSRAAIYILAQCLGAILGALALKAVVNSTIEQTFSLGGCTLSIVAPGPHGPIVVGLGTAQAFWLEIICTFVFLFSSIWVAFDKRQAKPLGRVMVCSIIGVVVGLLVFISTTVTATKGYAGVGMNPARCLGPALIRGGHLWNGHWVFWVGPVIASVAFAVYTKIIPSAEVHA >Manes.S095221.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251211.1:16836:21876:-1 gene:Manes.S095221.v8.1 transcript:Manes.S095221.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIESLWDEVRELSLGTSSTTIDHLPSPPSPLHFLRNYVSPNKPCIISNAITHWPALFLWPNVSYLSHSLCHSSVSLHLTPHGRADSLVPSTPTHDSLCFASANVQRVPFPRALDCVLNSQPGKFVAYLQQQNDCFRTEYSAALGSDCDAHIGWATEALGCLPEAVNLWIGNHFSETSFHKDHYENLYAVVSGKKHFLLLPPTDVHRLYIRNYPAAQYSYSEEDGEFKLEMEDPVRYVPWCSVNPYPSPEAKESEMAKFPLYFDGPKPFECTVNAGEVLYLPSMWFHHVRQSSDDEGCTIAINYWYDMQFDIKYAYFNFLQSIHYRSTLTQPKREKYSGLMVFLVVLSDFGQWD >Manes.S095221.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251211.1:17464:21880:-1 gene:Manes.S095221.v8.1 transcript:Manes.S095221.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIESLWDEVRELSLGTSSTTIDHLPSPPSPLHFLRNYVSPNKPCIISNAITHWPALFLWPNVSYLSHSLCHSSVSLHLTPHGRADSLVPSTPTHDSLCFASANVQRVPFPRALDCVLNSQPGKFVAYLQQQNDCFRTEYSAALGSDCDAHIGWATEALGCLPEAVNLWIGNHFSETSFHKDHYENLYAVVSGKKHFLLLPPTDVHRLYIRNYPAAQYSYSEEDGEFKLEMEDPVRYVPWCSVNPYPSPEAKESEMAKFPLYFDGPKPFECTVNAGEVLYLPSMWFHHVRQSSDDEGCTIAINYCKFVTFSLFYNHFDSVSF >Manes.S095221.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251211.1:17486:29417:-1 gene:Manes.S095221.v8.1 transcript:Manes.S095221.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIESLWDEVRELSLGTSSTTIDHLPSPPSPLHFLRNYVSPNKPCIISNAITHWPALFLWPNVSYLSHSLCHSSVSLHLTPHGRADSLVPSTPTHDSLCFASANVQRVPFPRALDCVLNSQPGKFVAYLQQQNDCFRTEYSAALGSDCDAHIGWATEALGCLPEAVNLWIGNHFSETSFHKDHYENLYAVVSGKKHFLLLPPTDVHRLYIRNYPAAQYSYSEEDGEFKLEMEDPVRYVPWCSVNPYPSPEAKESEMAKFPLYFDGPKPFECTVNAGEVLYLPSMWFHHVRQSSDDEGCTIAINYWYDMQFDIKYAYFNFLQSIHYRSTLTQPKREKYSGSHVSNCNLIDKSCTDSSAVDVVDSNQDEDK >Manes.S095221.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251211.1:17486:21846:-1 gene:Manes.S095221.v8.1 transcript:Manes.S095221.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIESLWDEVRELSLGTSSTTIDHLPSPPSPLHFLRNYVSPNKPCIISNAITHWPALFLWPNVSYLSHSLCHSSVSLHLTPHGRADSLVPSTPTHDSLCFASANVQRVPFPRALDCVLNSQPGKFVAYLQQQNDCFRTEYSAALGSDCDAHIGWATEALGCLPEAVNLWIGNHFSETSFHKDHYENLYAVVSGKKHFLLLPPTDVHRLYIRNYPAAQYSYSEEDGEFKLEMEDPVRYVPWCSVNPYPSPEAKESEMAKFPLYFDGPKPFECTVNAGEVLYLPSMWFHHVRQSSDDEGCTIAINYWYDMQFDIKYAYFNFLQSIHYRSTLTQPKREKYSGSHVSNCNLIDKSCTDSSAVDVVDSNQDEDK >Manes.S095221.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251211.1:17486:21846:-1 gene:Manes.S095221.v8.1 transcript:Manes.S095221.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIESLWDEVRELSLGTSSTTIDHLPSPPSPLHFLRNYVSPNKPCIISNAITHWPALFLWPNVSYLSHSLCHSSVSLHLTPHGRADSLVPSTPTHDSLCFASANVQRVPFPRALDCVLNSQPGKFVAYLQQQNDCFRTEYSAALGSDCDAHIGWATEALGCLPEAVNLWIGNHFSETSFHKDHYENLYAVVSGKKHFLLLPPTDVHRLYIRNYPAAQYSYSEEDGEFKLEMEDPVRYVPWCSVNPYPSPEAKESEMAKFPLYFDGPKPFECTVNAGEVLYLPSMWFHHVRQSSDDEGCTIAINYWYDMQFDIKYAYFNFLQSIHYRSTLTQPKREKYSGCCWSLLFFLIL >Manes.S095221.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251211.1:17335:21879:-1 gene:Manes.S095221.v8.1 transcript:Manes.S095221.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIESLWDEVRELSLGTSSTTIDHLPSPPSPLHFLRNYVSPNKPCIISNAITHWPALFLWPNVSYLSHSLCHSSVSLHLTPHGRADSLVPSTPTHDSLCFASANVQRVPFPRALDCVLNSQPGKFVAYLQQQNDCFRTEYSAALGSDCDAHIGWATEALGCLPEAVNLWIGNHFSETSFHKDHYENLYAVVSGKKHFLLLPPTDVHRLYIRNYPAAQYSYSEEDGEFKLEMEDPVRYVPWCSVNPYPSPEAKESEMAKFPLYFDGPKPFECTVNAGEVLYLPSMWFHHVRQSSDDEGCTIAINY >Manes.S095221.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251211.1:20769:21742:-1 gene:Manes.S095221.v8.1 transcript:Manes.S095221.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIESLWDEVRELSLGTSSTTIDHLPSPPSPLHFLRNYVSPNKPCIISNAITHWPALFLWPNVSYLSHSLCHSSVSLHLTPHGRADSLVPSTPTHDSLCFASANVQRVPFPRALDCVLNSQPGKFVAYLQQQNDCFRTEYSAALGSDCDAHIGWATEALGCLPEAVNLWIGNHFSETSFHKDHYENLYAVVSGKKHFLLLPPTDVHRLYIRNYPAAQYSYSEEDGEFKLEMEDPVRYVPWCSVNPYPSPEAKESEMAKFPLYFDGPKPFECTVNAGEVLYL >Manes.10G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3498341:3498636:-1 gene:Manes.10G036000.v8.1 transcript:Manes.10G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKQLLKDKKFWFASFLVAWAAALQGHMIWLQKQDSFKQQLNFIVYMN >Manes.18G141009.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25627493:25628116:-1 gene:Manes.18G141009.v8.1 transcript:Manes.18G141009.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLSLHSILDTNKLIGPNFLDWYHNLRIILKQENRLYVLNQGIPDVPDENASDDVKDKYDRHIDDDMQATCVMLASITLELQKQHENMDACTIIFHFKELFRPQRRTERCKTSKELFSWKMTKDSLVHAYGFVIDHELSVDLVLQSLPSSLAQFIMNFKMHKLDTELPELVSMLVNAEKSLKKEKVSVLLI >Manes.10G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4457784:4460828:-1 gene:Manes.10G043200.v8.1 transcript:Manes.10G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLAGLAVAAAALAGRYGIQAWQAFKARSPKPKIRKFYDGGFQPKMTRREAALILGIRENATADKVKEAHRKVMVANHPDAGGSHYLASKINEAKDVMLGKTKDGGSAF >Manes.10G043200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4457792:4460817:-1 gene:Manes.10G043200.v8.1 transcript:Manes.10G043200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSKYCLTNFNSNKNVAAAALAGRYGIQAWQAFKARSPKPKIRKFYDGGFQPKMTRREAALILGIRENATADKVKEAHRKVMVANHPDAGGSHYLASKINEAKDVMLGKTKDGGSAF >Manes.06G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25970537:25974187:-1 gene:Manes.06G130100.v8.1 transcript:Manes.06G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQLLCFSCLYYPPSPDSDKQLQIFLRLRRNKKFFVSILLLQQYETETHRLGVSIMGSLSRVLAVSYPHKPLCLSGPHSLHHSNYRFVDVNRKSSSKWRSMASELESSSFSPSIDSDSTDKTAAGFCIIEGPETVQDLSKMELQEIRDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGILKETQENELPNFPSFIPFLPPLSAENLKLYYATCFSLIAGIIIFGGLLAPTLELKLGLGGTSYEDFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGAVVLIEPVSTVSGGAQPLSAPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >Manes.03G192500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31082197:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPVSAKLAI >Manes.03G192500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31082399:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPVSAKLAI >Manes.03G192500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31082818:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPGAPTCTHYTQRGQCKFGPACKFDHPMGTLSYSPSASSLADMPVAPYPVGSAIGTLAPSSSSSELRPELISGSSKDSSSTRMSSSSSTSSGLVGSTFSKSGPVPHSGVQQSSHSSGPSTGSITEAHTSS >Manes.03G192500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31082440:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPGAPTCTHYTQRGQCKFGPACKFDHPMGTLSYSPSASSLADMPVAPYPVGSAIGTLAPSSSSSELRPELISGSSKDSSSTRMSSSSSTSSGLVGSTFSKSGPVPHSGVQQSSHSSGPSTGSITEAHTSS >Manes.03G192500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31081692:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPGAPTCTHYTQRGQCKFGPACKFDHPMGTLSYSPSASSLADMPVAPYPVGSAIGTLAPSSSSSELRPELISGSSKDSSSTRMSSSSSTSSGLVGSTFSKSGPVPHSGVQQSSHSSGPSTGSITEAHTSS >Manes.03G192500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31082180:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPIKS >Manes.03G192500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31086473:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPVRIPLNQASHIYC >Manes.03G192500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31082907:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPGAPTCTHYTQRGQCKFGPACKFDHPMGTLSYSPSASSLADMPVAPYPVGSAIGTLAPSSSSSELRPELISGSSKDSSSTRMSSSSSTSSGLVGSTFSKSGPVPHSGVQQSSHSSGPSTGSITEAHTSS >Manes.03G192500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31081692:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPIKS >Manes.03G192500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31082197:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPGAPTCTHYTQRGQCKFGPACKFDHPMGTLSYSPSASSLADMPVAPYPVGSAIGTLAPSSSSSELRPELISGSSKDSSSTRMSSSSSTSSGLVGSTFSKSGPVPHSGVQQSSHSSGPSTGSITEAHTSS >Manes.03G192500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31081692:31091533:-1 gene:Manes.03G192500.v8.1 transcript:Manes.03G192500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRTQEGSQSDPSPEWTAPRPETGIEEGVWQLGLGEGESGYPERPDEADCIYYLRTGFCGYGARCRFNHPRDRGAVIGAARAGAGQFPERVGQPVCQYYMRTGTCKFGASCKYHHPRQGGGSVSPVSLNYYGYPLRQGERECTYYVKTGQCKFGATCKFHHPQPANLQIPAQSLAPQVAPVPAPVAAPGLYPTMQSPSVPSTQQYGIVVARPPLLPSSYVQGPYGPMLFSPGVVSYPSWSSYPAPVSPVASPSTQAGVGSGSVYGITQLSPSAPAYTGIYQPMSTSIGPSSSSQKEHSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPELIAPKTTVLLSPIGLPMRPVSAKLAI >Manes.13G136000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34422081:34426323:-1 gene:Manes.13G136000.v8.1 transcript:Manes.13G136000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFSSINRFIIKSIYSELPLNYFSSFTKCIHSLPQSKPRKPLKQFTENSRKASKSMCKNGVEDPKVYMRNTISNIYRILKYSTWETAKEHISKLGIKWDSYTVNQVLKTHPPMEKAWLFFNWASGLKGFKHDQFTYTTMLDIFGEAGRISSMNYVFKQMQEKGIMIDAVTYTSLMHWVSRSGDVDGAVKIWNEMKENGCYPTVVSYTAYMKVLFDNKRVKEATDVYREMLESGIPPNCHTYTVLMEYLVLAGKYQEALEIFSKMQEAGVQPDKALCNVLVERCCKAGETNVMTQILQYMKNNHLSLRYPIFMEALRTLRIAGESDTLLRLVNPHCAPESINNDDSPAIIANADDDSLEGGLLLILLKKQNLVAIDHLLAGIIDKSILLDSWIVATIIEVNGRQCRPDSALLAFEYSMRMGIDLERTAYLALIGMLIRSNTFVRIVDIIKGMTRAGHSLGLYLGSLLIYRLGSARRPTCAAKIFNLLPDEQKCTATYTAMIGVYFSAGSIDKALKTYQTMKKKGIKSSLGTYNVLLAGLEGSDRICETDTYRKEKKSLMADGYCSNSVPMEEKICDLLFAGGLAY >Manes.13G136000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34422075:34426375:-1 gene:Manes.13G136000.v8.1 transcript:Manes.13G136000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFSSINRFIIKSIYSELPLNYFSSFTKCIHSLPQSKPRKPLKQFTENSRKASKSMCKNGVEDPKVYMRNTISNIYRILKYSTWETAKEHISKLGIKWDSYTVNQVLKTHPPMEKAWLFFNWASGLKGFKHDQFTYTTMLDIFGEAGRISSMNYVFKQMQEKGIMIDAVTYTSLMHWVSRSGDVDGAVKIWNEMKENGCYPTVVSYTAYMKVLFDNKRVKEATDVYREMLESGIPPNCHTYTVLMEYLVLAGKYQEALEIFSKMQEAGVQPDKALCNVLVERCCKAGETNVMTQILQYMKNNHLSLRYPIFMEALRTLRIAGESDTLLRLVNPHCAPESINNDDSPAIIANADDDSLEGGLLLILLKKQNLVAIDHLLAGIIDKSILLDSWIVATIIEVNGRQCRPDSALLAFEYSMRMGIDLERTAYLALIGMLIRSNTFVRIVDIIKGMTRAGHSLGLYLGSLLIYRLGSARRPTCAAKIFNLLPDEQKCTATYTAMIGVYFSAGSIDKALKTYQTMKKKGIKSSLGTYNVLLAGLEGSDRICETDTYRKEKKSLMADGYCSNSVPMEEKICDLLFAGGLAY >Manes.13G136000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34422180:34426323:-1 gene:Manes.13G136000.v8.1 transcript:Manes.13G136000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFSSINRFIIKSIYSELPLNYFSSFTKCIHSLPQSKPRKPLKQFTENSRKASKSMCKNGVEDPKVYMRNTISNIYRILKYSTWETAKEHISKLGIKWDSYTVNQVLKTHPPMEKAWLFFNWASGLKGFKHDQFTYTTMLDIFGEAGRISSMNYVFKQMQEKGIMIDAVTYTSLMHWVSRSGDVDGAVKIWNEMKENGCYPTVVSYTAYMKVLFDNKRVKEATDVYREMLESGIPPNCHTYTVLMEYLVLAGKYQEALEIFSKMQEAGVQPDKALCNVLVERCCKAGETNVMTQILQYMKNNHLSLRYPIFMEALRTLRIAGESDTLLRLVNPHCAPESINNDDSPAIIANADDDSLEGGLLLILLKKQNLVAIDHLLAGIIDKSILLDSWIVATIIEVNGRQCRPDSALLAFEYSMRMGIDLERTAYLALIGMLIRSNTFVRIVDIIKGMTRAGHSLGLYLGSLLIYRLGSARRPTCAAKIFNLLPDEQKCTATYTAMIGVYFSAGSIDKALKTYQTMKKKGIKSSLGTYNVLLAGLEGSDRICETDTYRKEKKSLMADGYCSNSVPMEEKICDLLFAGGLAY >Manes.09G068860.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11408842:11410768:1 gene:Manes.09G068860.v8.1 transcript:Manes.09G068860.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIAKDLSGSVKEILGTCVSVGCTVDGKDPKDLQQEITDGEVEIPLD >Manes.09G160900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35579735:35584211:1 gene:Manes.09G160900.v8.1 transcript:Manes.09G160900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLFYILPCQYAQSQQSTTLYIYICLVACYTVHQRHFLLCLHFYLLMGPAGVLSSSPMVCAPLMAQSVEQMIADMHSAKKQGADVAEVRLDHINNFQPRQDLETILRNKPLPVLIVCRPKWEGGLYEGDEHKRLDALRLAYELGADYIDYDLKVASGVIEELKKTHQTGSKVIVSCYLNGPTPSRENLSRLIGVMQATGADIIKLVSSANDITELERIFHLFVHFQVPIIAYSVGERGLISQILAPKFGGFLVYGSMGGSSVSGLPSLDSLRETYKVESINSDTKVFGLVSKPVGHSKGPILHNPTFRHVNYNGIYVPMFVDDLKEFFSVYLSPDYAGFSVGFPYKEAVVEFCDEVHPLAKSIGAVNTIIRRPGDGKLIGYNTDCEASITAVEDALKEQGHINCRESLGCSLTGRQFVVVGAGGAGRALAFGAKSRGARIIIFDINFERAKSLAHGVSGEARLFESLVQFQPENGAILANATPLGMHPNTDRIPVAEETLGIYQLVFDAVYTPRKTRLLKEAEAAGAITVSGVEMFLRQAIGQFNLFTGKEAPKDFMRAIVLDKF >Manes.18G108900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10753434:10756656:-1 gene:Manes.18G108900.v8.1 transcript:Manes.18G108900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQNTVHYCCVYKGNRTMYVYSGGDHEIENLAALSLKRIPEYHKWYFETIGKRTFGFLIEDGYVYFTIVDEGLGNPAVLQFLEHVRDEFKQVARKGSRGSFSGFSSINIQEQLVPVVRRLITSLEHVSQHDWNAETSSSDNMGLSPSPSDANAQIEILTSTKAPLLAKSNKQEKKKAKDHVIVMRDIELEEHRKSTDRGVKIDSISLDSNTQGGVASPISLQKDLGSIRIRSSSQSIRKKWWRQVRIVLAIDAAVCLILFIIWVSICGGFGCTR >Manes.02G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1668582:1673183:-1 gene:Manes.02G018100.v8.1 transcript:Manes.02G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRIPRRFQVILMDACFVIGLLLFLCTNGVDSRKHPKLECYEYPSISCRSHEASLADFGGVGDGETSNTKVFQAAIDHLSQFSSNGGSQLYVPPGRWLTGSFNLTSHFTLYLDEDAVLLASQDESEWPVIEPLPSYGRGRDAEGGRYSSLIFGTNLTDVVITGANATIDGQGDLWWKKFHNGELNYTRPYLIEIMYSSNIQISNLTLMNSPSWNVHPVYSSNVIAQGLTILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAFGMPTKQLMIRRLTCISPFSAAIALGSEMSGGIQDVRAEDITAIDTESGVRIKTSVGRGGFVKDIYVRRMTMKTMKWVFWMTGNYGSHPDNNYDPNAIPEIKNINYRDMVAENVTMAARLEGIAGDPFTGICISNVTIGLTKKPKKLQWNCTEIAGISSDVTPKPCDLLPNQGQGEIASCNFPEDSLPIENIEVKMCSSSRKNW >Manes.02G137900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10504871:10511760:1 gene:Manes.02G137900.v8.1 transcript:Manes.02G137900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMSTIDMIQRFSSGACRPSADDIGMGSCWNDGRSCSSSNSCEEDYEEATGEAFPWKRHTRGLSQVDSFGRRTMSLGRNHMVCGTVWDSSYFPDCQYNFKSNKKDMQDLTNKFWKGIPNFVKIVEVGPRDGLQNEKNIVPTDVKIELIRRLVSSGLPVVEATSFVSPKWVPQLADARDVMEAVKSLEGSRLPVLTPNLKGFEAAVSAGAKEVAVFASASESFSKSNINCSIEESLARYRAVTHAAKKLGIPVRGYVSCVVGCPVEGAISPSKVAYVASQLYDMGCFEISLGDTIGVGTPGSVIPMLEAVMAVVPIEKLAVHFHDTYGQSLPNILVSLQMGISTVDSSIAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLPKLLSAGDFICKHLGRPSGSKTAVALSRVTADASKI >Manes.02G137900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10503478:10511760:1 gene:Manes.02G137900.v8.1 transcript:Manes.02G137900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSMSTIDMIQRFSSGACRPSADDIGMGSCWNDGRSCSSSNSCEEDYEEATGEAFPWKRHTRGLSQVDSFGRRTMSLGRNHMVCGTVWDSSYFPDCQYNFKSNKKDMQDLTNKFWKGIPNFVKIVEVGPRDGLQNEKNIVPTDVKIELIRRLVSSGLPVVEATSFVSPKWVPQLADARDVMEAVKSLEGSRLPVLTPNLKGFEAAVSAGAKEVAVFASASESFSKSNINCSIEESLARYRAVTHAAKKLGIPVRGYVSCVVGCPVEGAISPSKVAYVASQLYDMGCFEISLGDTIGVGTPGSVIPMLEAVMAVVPIEKLAVHFHDTYGQSLPNILVSLQMGISTVDSSIAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLPKLLSAGDFICKHLGRPSGSKTAVALSRVTADASKI >Manes.11G001000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:201104:201827:1 gene:Manes.11G001000.v8.1 transcript:Manes.11G001000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRDGDQVEQLLQAAQDELILKLSVDSHMSHVSPDRLPPDLDRRFQALKSRPSSIGTANQSSISTNRQLPPDADDLLARFAALKASSSSTKDSDVAGIGRECGGDSDVEEDEVGKIIQWAKDAARLDPSPPSDDDDVIDDDDDSTDGEGEDKNRVDKTKRYQP >Manes.07G021900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2438386:2438957:1 gene:Manes.07G021900.v8.1 transcript:Manes.07G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSKKLTSIDIDKGLQVPDYSLAALPPSGSGNKVEFLVADIEGKAWNFACTTKTGRNTLMKPVFSKDWFAFARQWGLRSGATSAFYMEIDQATGAQYKIKVRQV >Manes.03G150102.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27738419:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27727707:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEVDGIDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYKYIKNVQF >Manes.03G150102.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27727871:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27738420:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27738420:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEVDGIDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27727871:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27738419:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27727871:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEVDGIDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27727871:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEVDGIDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27738006:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYKYIKNVQF >Manes.03G150102.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27738420:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.03G150102.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27738420:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYKYIKNVQF >Manes.03G150102.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27727707:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYKYIKNVQF >Manes.03G150102.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27720172:27738420:1 gene:Manes.03G150102.v8.1 transcript:Manes.03G150102.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSTNAVLHLIAIARSVGLELTVEDFQKVSDKVPFLADLKPSGKYVMEDLHKIGGTPVILRYILELGFLDGDCLTVTGKTLAENVQNCPPLDEGEDIIRPLENPIKETGHIQILRGNLAPEGSVAKITGKEGLYFSGPTLIFEGEEAMIAAISENPMNFKDCAMLTDGRFSGGSHGFVVGHVCPEAQDGDPIGLVRNGDIIRIDVRNRRIDVEVAEQEMEERRKNWTPLPFKATSGVLYK >Manes.15G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:767865:769231:1 gene:Manes.15G007800.v8.1 transcript:Manes.15G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSYDLEVASPVPATRLFKAFVLDNDNLIQKVIPQAISNVEVLEGDGGPGTIRKVSFGEGSQFKYVKHRIEEIDKENFIYRYTVIEGDALMNVLEKISNEIKFEASADGGSICKNKSTYFTIGDFELKQEQIKAGKEKSLEIFKAVEAYLLENPDTYN >Manes.14G088500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7318227:7333632:1 gene:Manes.14G088500.v8.1 transcript:Manes.14G088500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIIFSTKGKLFEYSTDSCSMERILERYERYSYAERQLVATDTETNGSWTLEYAKLKARMEVLQRNQRHFMGADLDNLSLKELQSLEQQIDSALKHIRSRKNQMMHESISELQKKDKALQDQNNQLAKKVKEKEKEMAQQTQKEQQNLGADSSTVLLPPQSFILRGNGGEDETTPIQDRGNAVLPAWMLSHLNE >Manes.14G088500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7318227:7333632:1 gene:Manes.14G088500.v8.1 transcript:Manes.14G088500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIIFSTKGKLFEYSTDSCMERILERYERYSYAERQLVATDTETNGSWTLEYAKLKARMEVLQRNQRHFMGADLDNLSLKELQSLEQQIDSALKHIRSRKNQMMHESISELQKKDKALQDQNNQLAKKVKEKEKEMAQQTQKEQQNLGADSSTVLLPPQSFILRGNGGEDETTPIQDRGNAVLPAWMLSHLNE >Manes.02G057500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4521193:4524247:1 gene:Manes.02G057500.v8.1 transcript:Manes.02G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGDHPWIYLFVLLHLIPSITGFRPYNPQDLILLDCGASSSTISLDGRSWDGDDHSKFHASSPATSSAFTASQQNPSVTQVPYMTARIFHSNFTYTFPVLPGLKFVRLYFYPAAYSNLDISKSFFSLIANNYTLLQNFSASLTISAVKPSIAYFVKEYIVPVRENRINLTFSPSPSSFAFINGIEIVSMPNDLYAKSNDKPLFYVGIDDNPFYWDNTTALEKFYRLNVGGQYISGVNDTGMYRTWLQDTNYIFAALSASTVVTPPDDIQIKYSAETPAYTAPAEVYSTMRLMGRDQRVNQNYNLTWYFPVDAGFNYLVRLHFCQFLREVTISNQVIFSIFINNHTAEAVADVILWAGGNSIPVYKDYVVWIPDDGSQSKQYLWLALHPYMEQNPEHADAFLNGLEIFKLNNSGGSLAGSNPEPVSASPEQHPMPKIMKHKRPSQVMVVLGVVFGGIFAVSSILCFVHQRKRKLRECGTSKHESSWFSLSYASSSATNTIALSLPTDLCRKFTIAEIRASTRNFDNENAIGYGGFGTVYKGYIETGSIPVAIKRLDSSSRQGILEFQAEIEMLSRLRHVHLVSLIGFCDDQGDMILVYEFMENGNLRDHLYKTKNPPLQWKQRLQICIGAARGLHYLHTGGKHIIIHRDVKSSNILLDGNWKAKVSDFGMSRTGPTSESQTHVSTIVKGTFGYLDPEYYRRQQLTEKSDVYSFGVVLLEVLCARAPIISSLPKEQVNLVQWARDCYLAGTLDQIIDPQLKSDVAPASLNKFGEIAESCVRDKAIERPTMGDVVWSLEFALQLQETAEKNVHSGNILSDSQKSSFVEEVITKDDDDEAFTSSSAQISVLSSTVDTGERSSTNYRWI >Manes.06G031201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8003437:8033339:1 gene:Manes.06G031201.v8.1 transcript:Manes.06G031201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVSMCSSTGGGELTPEEERVLIRDIAISAEANSKEGDTFYLITQRWWQHWIEYVNQDQPNITIDGSSLLEHGDLVGSSKRPASINNSDLIYDATSEDLHVGIEIHDSLLEGRDYVLLPQEVWNQLHSWYGGGPTLARKVISSGLSQTELAVEVYPLRLQLLVTPKGDHSTIRISKKETIGELHRRACEIFYLNSEQVCIWDYYGHRKHALMHDMDKTLDDANIQMDQDILVEVLNNGNGTALSDYMCPTLENGSSQKGASSILLEPSKSSLSIAGGLSASKGASRSCSAELSQGQNLTSPAGEVDNTYGVSGVSTRGSSSGLTGLHNLGNTCFMNSAIQCLVHTPEFAKYFREDYHQEINLQNPLGMVGELAIAFGELLRKLWAPGRTAVPPRQFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSRDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQSTTTRSITVTVFSCDGSTTPFACTVTVPKQGRCRDLIHALSNSCSLKNNEELKLAEVQNHVFQRFLEDPLISLSTIKDDDHLAAYKIPKSVKKTLVLRLIHRRQERETNDSQTASRWKPYGIPLLSSISCDDVITRGDIQTVVHKMLSPLLRAESLRQADIADSNTLVVASDACHDSSPGEACSDPISDSIRNSSSKGSSSSKALASLKLPLQLVDENNACIDLSVGEEKAIRLSSSATSIIVYVDWSQELLEKYDTHYLENLPEVLKYGPVNKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPQCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDLDLTSYVANKNSTRRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDSHISPISEDEVKTAAAYVLFYRRVKSDGAISNGGKPSEGHHSSQI >Manes.04G079010.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29157004:29157475:-1 gene:Manes.04G079010.v8.1 transcript:Manes.04G079010.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLVSDPLFRVVYYCQADQLLVTSTK >Manes.13G151000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36616680:36619616:1 gene:Manes.13G151000.v8.1 transcript:Manes.13G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGSHKGNTGGNRGKPYGLMLLLAFGAALLGVMVIHKLRERRIFNLLVKEKDRELLSLQLLLQKEREYNKEMKRKTEEMKAKMYSLSAQAMESNRRIVEMQSTIDSLKDEQKIMESALEEKQKEIKLQRETNIDPEKENQQMIALMESLKQKETEIEDLKRRYENPTKILSVSTDDPSNPQTNLNVTPNYSNGENSLTGNRSDDTSTTLVNSENTARLENRIESKAVIVDRREESIEQLTTVENSAEGLRNEGAVDVSHGNSKGNSQDNGVSVAGQENNIANATEGIASLVGKVSQVENTDNDMKLADEEKHKVARDEQLGLKSSQQEEDRDQGTFKGGVKLELTDNTRSSSSRAKGKHAKGKRWRMLARNRKLENNRNYERNEDQSQTTRRFSHHDQGGQLDTQATASNEGRTDSERVMSRNNLLEVIKADDLSNAKLLEPRKFEESENLNVKPVIDDPNDQLEKVHGMWKRPHVTQGGQLLTNESFHKNARDIRSKDKKERLDEVQQYEGQEISGIEESRNNRNMSMQNGAETINAALSHERTGEMVTPEIDRQPGAGTGDFYKESDSDFDEDKREYKEETDESEF >Manes.02G071900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5496428:5498512:-1 gene:Manes.02G071900.v8.1 transcript:Manes.02G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSKHGNTEDSTLIWNWKANDFTNKKKNRGKQFPVTEFLQDNYRKMEETVLGPGVGTGIGCGVGAGFGLVGGIGYGGWPWNHLKLVFGVGMGCGIGIGFGYGRGLGYGRSWDSLRSQLDKRKSASGNKGTWRGFQ >Manes.12G143000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34874802:34878507:-1 gene:Manes.12G143000.v8.1 transcript:Manes.12G143000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKEKTAPWLSVPQFGDWDQKGELPDYSMDFSKIREMRKQNKRDVSRASLGNEEDFINPTATNAKAARSEDRHNDHYHQSHHHSPNTRRSIFSYFNCCVKA >Manes.03G159200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28670760:28673233:-1 gene:Manes.03G159200.v8.1 transcript:Manes.03G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSKPFYFLLYLSLLLFANPAQSATDYSTLVYKGCSKQSFQDPTGVYSQALSALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSIGDCYKCVSGLPVLTDKLCGKAIAARVQLYGCYILYEVSGFTQVSGMEMLFKTCGATNIAGSGFEERRDTAFSVMENGVVSGHGFYTTSYQSVYILGQCEGDVGDSDCGVCVKSAAQRAQVECGNAISGQIYLHKCFISYSYYPNGVPRRSSSSSSSSSSGTGQSTGKTVAIILGGAAGVGFLVICLLFARGLMKKHDDS >Manes.05G116300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11941799:11945398:1 gene:Manes.05G116300.v8.1 transcript:Manes.05G116300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKRHGLLHIFFFPFMAEGHSIPLIDTAKLFASRGLKVSIITTPVNAPLLSKSIERNRLLGHKIDVLVIQFPCVEAGLPEGCERLDLTTSPEMGLNFFMATTLLAHPLEDLLKEYRPNCLIADSFFPWSSQVASKFGIPRIVFAATCFFSLCAAQCLRLYQPYKRVSSDSDPFIIPNFPGEIKLTRNQLPDFVKEEIVFSNFYREVKEAELKSFGVLVNSFYELEPTYADYYRNVLGAKTWHIGPISLWNTNIEDKAKRGKESSMDKNKCLQWLDSKEPNSVVYICFGSLANFPASQLLEIAMALEDSGQQFIWAVRENKNNEVWLPEGFEERMKGKGLIIRGWAPQVLILEHEAVGGFVTHCGWNSTLEAISAGVPMVTWPLYAEQFYNEKLVTQVLRIGVAVGVQQWARIVGDSVKKEAIKKAMTHLMASEETKEMRCRAKSLAEMASKATEEGGGLEKNRVMHFKGTLRVDAAAEIQERRNTAPKISRKNQSPSLQWLFFLLISCTAHKSHGSYGRKTN >Manes.05G116300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11941799:11945398:1 gene:Manes.05G116300.v8.1 transcript:Manes.05G116300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKRHGLLHIFFFPFMAEGHSIPLIDTAKLFASRGLKVSIITTPVNAPLLSKSIERNRLLGHKIDVLVIQFPCVEAGLPEGCERLDLTTSPEMGLNFFMATTLLAHPLEDLLKEYRPNCLIADSFFPWSSQVASKFGIPRIVFAATCFFSLCAAQCLRLYQPYKRVSSDSDPFIIPNFPGEIKLTRNQLPDFVKEEIVFSNFYREVKEAELKSFGVLVNSFYELEPTYADYYRNVLGAKTWHIGPISLWNTNIEDKAKRGKESSMDKNKCLQWLDSKEPNSVVYICFGSLANFPASQLLEIAMALEDSGQQFIWAVRENKNNEVWLPEGFEERMKGKGLIIRGWAPQVLILEHEAVGGFVTHCGWNSTLEAISAGVPMVTWPLYAEQFYNEKLVTQVLRIGVAVGVQQWARIVGDSVKKEAIKKAMTHLMASEETKEMRCRAKSLAEMASKATEEGGGLEKNRVMHFKGTLRVDAAAEIQERRNTAPKWLFFLLISCTAHKSHGSYGRKTN >Manes.05G116300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11941727:11945399:1 gene:Manes.05G116300.v8.1 transcript:Manes.05G116300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKRHGLLHIFFFPFMAEGHSIPLIDTAKLFASRGLKVSIITTPVNAPLLSKSIERNRLLGHKIDVLVIQFPCVEAGLPEGCERLDLTTSPEMGLNFFMATTLLAHPLEDLLKEYRPNCLIADSFFPWSSQVASKFGIPRIVFAATCFFSLCAAQCLRLYQPYKRVSSDSDPFIIPNFPGEIKLTRNQLPDFVKEEIVFSNFYREVKEAELKSFGVLVNSFYELEPTYADYYRNVLGAKTWHIGPISLWNTNIEDKAKRGKESSMDKNKCLQWLDSKEPNSVVYICFGSLANFPASQLLEIAMALEDSGQQFIWAVRENKNNEVWLPEGFEERMKGKGLIIRGWAPQVLILEHEAVGGFVTHCGWNSTLEAISAGVPMVTWPLYAEQFYNEKLVTQVLRIGVAVGVQQWARIVGDSVKKEAIKKAMTHLMASEETKEMRCRAKSLAEMASKATEEGGSSLSDFNSLIEQLRCKGM >Manes.05G116300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11941727:11945399:1 gene:Manes.05G116300.v8.1 transcript:Manes.05G116300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKRHGLLHIFFFPFMAEGHSIPLIDTAKLFASRGLKVSIITTPVNAPLLSKSIERNRLLGHKIDVLVIQFPCVEAGLPEGCERLDLTTSPEMGLNFFMATTLLAHPLEDLLKEYRPNCLIADSFFPWSSQVASKFGIPRIVFAATCFFSLCAAQCLRLYQPYKRVSSDSDPFIIPNFPGEIKLTRNQLPDFVKEEIVFSNFYREVKEAELKSFGVLVNSFYELEPTYADYYRNVLGAKTWHIGPISLWNTNIEDKAKRGKESSMDKNKCLQWLDSKEPNSVVYICFGSLANFPASQLLEIAMALEDSGQQFIWAVRENKNNEVWLPEGFEERMKGKGLIIRGWAPQVLILEHEAVGGFVTHCGWNSTLEAISAGVPMVTWPLYAEQFYNEKLVTQVLRIGVAVGVQQWARIVGDSVKKEAIKKAMTHLMASEETKEMRCRAKSLAEMASKATEEGGSSLSDFNSLIEQLRCKGM >Manes.05G116300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11941727:11945399:1 gene:Manes.05G116300.v8.1 transcript:Manes.05G116300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKRHGLLHIFFFPFMAEGHSIPLIDTAKLFASRGLKVSIITTPVNAPLLSKSIERNRLLGHKIDVLVIQFPCVEAGLPEGCERLDLTTSPEMGLNFFMATTLLAHPLEDLLKEYRPNCLIADSFFPWSSQVASKFGIPRIVFAATCFFSLCAAQCLRLYQPYKRVSSDSDPFIIPNFPGEIKLTRNQLPDFVKEEIVFSNFYREVKEAELKSFGVLVNSFYELEPTYADYYRNVLGAKTWHIGPISLWNTNIEDKAKRGKESSMDKNKCLQWLDSKEPNSVVYICFGSLANFPASQLLEIAMALEDSGQQFIWAVRENKNNEVWLPEGFEERMKGKGLIIRGWAPQVLILEHEAVGGFVTHCGWNSTLEAISAGVPMVTWPLYAEQFYNEKLVTQVLRIGVAVGVQQWARIVGDSVKKEAIKKAMTHLMASEETKEMRCRAKSLAEMASKATEEGGSSLSDFNSLIEQLRCKGM >Manes.01G187000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36386676:36389528:1 gene:Manes.01G187000.v8.1 transcript:Manes.01G187000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPENGIRSSRPNFPLQLLEKKQHQHPQPPPPPEHQPSSSSSNPTKKPPPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSISASHHLRNTYFNPNFAAHQQLRTRNEWEKNLVFEGASTASQQHRRILFPLEDSLSFSSISSTNNAGGTLNTSVVLQGSPANKQEVRGGGDTSCLDVAVGETSLGRKRRPEHELGHQMGNYLLQSTSAGAIPATHSSIPSTFWMVSNPSNSQVINGDPMATFPSFNASPNMYRGSMSGGLHFMNFPAPMALLHGQQLGSTMSGGGNSSGNNFVTESHLGMLAALNTYRPIMGSGSASESLASRSNPHQGEEDGQDTTS >Manes.02G220721.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:35504516:35505001:-1 gene:Manes.02G220721.v8.1 transcript:Manes.02G220721.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSWILNSISKDLVESFLYATTAREPWIELGEWYGKSNGPMTYQIKRRIATISQENLSVTTCYTKLKQLRDELANIVSIAPCSCGSEKLTTEIHNVDHLMQFFMGLNDAFDQVRSQVLLLNPLPTVNKAFSMVLQVESQKEVQTNLKEHTEVTARSQSNR >Manes.16G136500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33722792:33723423:1 gene:Manes.16G136500.v8.1 transcript:Manes.16G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFNPNGVITTQNTSKRKVLVHLPTDQIISSYSSLEQILKELGWERYYGGDPDLFQFHKQSSIDLISLPRDFSKFTSVYMYDIVIKNPNIFHVRNM >Manes.15G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1871275:1874620:1 gene:Manes.15G023400.v8.1 transcript:Manes.15G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFLKIQTCVLKVNIHCDGCKQKVKKILQKIDGVFTTKIDSEQGKVTVSGNVDPAVLIKKLGKSGKHAELWGAQKANNNQNHLPNQLKNMQIDNGKGGNNKDQKGNNGQKGNNNNNNQPKGGQQNQNPQLQLNQQQMQQLLQQQQNMKALQDLSRLPQFKDLKLPPNNNQNQKAAKFAPPEDEDFSDDDYDEFDDDDFDEDDEFDDEMDDPRHPINKMKTVMPNGNMMMNGWPPQLLNAQKGAANDGGNGKKGGGGIGGNGNGAVPMQVNIGGGNGNGAKKGGGGGGGGNNNGGTQNQGGKNGGKPQDGKNGNNGGGGNNKNGNNGNAGAGGNGNNMQMNGGKKGNNGGGGGGGGKIDGLPSMGGPHGNMGQMGNLNLPMSQMGSVSMGQMGNIPAVQGLPAAAAMSGGGGGPNGYFQGAGPDLMPGNPYHQQQQQQQQYVQALMNQQRAMGNERFQPMMYARPPPAVNYMPPYPYPYPYPPPHPGSDPYVNFFSDENTSSCSVM >Manes.01G074250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27700265:27700617:-1 gene:Manes.01G074250.v8.1 transcript:Manes.01G074250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLMHVRRPNLRFGGRTLVHSNKAFGGQRHSRNLSMFGGRTSLSAAEPGKCLLGLFFSKLKSFSFKTMKSVKTF >Manes.10G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31617837:31621203:-1 gene:Manes.10G147100.v8.1 transcript:Manes.10G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKHSLLLLGILIFFKSLSALTKPAMADDIPADFSRRYFGDNFIFGTATSAYQIEGEANKSGRGPSVWDIFAHESPDRILDGSNGDVAVDFYHRFREDILNVKNMGFKAFRMSISWPRVIPSGRRREGINEKGIQFYSNVIDTIIANGLEPFVTIFHWDTPQALEDKYGGFLNRSIVDDYRDYADLLFERFGGRVKFWMTFNEPWALSGFAYDDGVFAPGRCSAWVNRKCDVGNSSTEPYIVAHHLLLAHAAAVQIYREKYQTGDRKIGITLFTFWFEPLSNRSIDIEASRTALDFMFGLWMEPLTRGQYPASVQRLVGDRLLSFNATESALLTKSYDFIGLQYYTAYYANPNIVIDPNFIRYKTDSHVNVTPYDYDGNLIGPQAYSPWFYIFPKGIRSLLKYTKDTYDDPEIYVTENGVDNGNNETQPIEEAVQDGFRIDYYRNHTWNVLKAIEEDRVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYTNNLTRTAKDSAIWFCKFLNYTNSEPCRNLRPENINQVTLNKIIEVASRKLRKLGKFYI >Manes.10G147100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31616580:31621200:-1 gene:Manes.10G147100.v8.1 transcript:Manes.10G147100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKHSLLLLGILIFFKSLSALTKPAMADDIPADFSRRYFGDNFIFGTATSAYQIEGEANKSGRGPSVWDIFAHESPDRILDGSNGDVAVDFYHRFREDILNVKNMGFKAFRMSISWPRVIPSGRRREGINEKGIQFYSNVIDTIIANGLEPFVTIFHWDTPQALEDKYGGFLNRSIVDDYRDYADLLFERFGGRVKFWMTFNEPWALSGFAYDDGVFAPGRCSAWVNRKCDVGNSSTEPYIVAHHLLLAHAAAVQIYREKYQTGDRKIGITLFTFWFEPLSNRSIDIEASRTALDFMFGLWMEPLTRGQYPASVQRLVGDRLLSFNATESALLTKSYDFIGLQYYTAYYANPNIVIDPNFIRYKTDSHVNVTPYDYDGNLIGPQAYSPWFYIFPKGIRSLLKYTKDTYDDPEIYVTENGVDNGNNETQPIEEAVQDGFRIDYYRNHTWNVLKAIEEDRVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYTNNLTRTAKDSAIWFCKFLNYTNSEPCRNLRPENINQVTLNKIIEVASRKLRKLGKFYI >Manes.10G014000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1443666:1448947:1 gene:Manes.10G014000.v8.1 transcript:Manes.10G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAQDSQMQMAAAVVKQRQQLRASMNKEKDEELGLFLEMKKREKGQHNLLLNNTEEFDAPLGSKPGTSPIFNISSNATPARKAGGADDFLNSDSDKNDYDWLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPDPAARGNLVSKQSASSPGLNSSGAGMRRPSSSGGPGSRPGTPTGRPTLTTASKPSRSSTPTSRTTLLSSKSVASATKPTTVPAAKPTASAVKPTIPTRSSTPSRSTARSSTPSRSTARSSTPSRSTARSSTPTARPSIPPSKSTSRASTPTRRPSTPSSVPTKSAPVKSTASVTKTMPSTATISAPPVKSIPSVTKATPTIARNPVPSHGNSPTTKSRPWKPSEMPGFSLDTPPNLRTSLPERPLSATRGRPGAPSSRSSSVEPTPNGRPRRQSCSPARGRAPNGFMHASGSSVPAINRGNSKVKDNVSPALVGTKMVERVINMRRLAPPKQDDKLSPLGNLSGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGSTRSRTVSVLDSPLATSSNASSEVSVNNNGFCLDGIELEDDVGSERGGRSPLRGR >Manes.10G014000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1445065:1448947:1 gene:Manes.10G014000.v8.1 transcript:Manes.10G014000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFQSTERGEGKDNERKGLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPDPAARGNLVSKQSASSPGLNSSGAGMRRPSSSGGPGSRPGTPTGRPTLTTASKPSRSSTPTSRTTLLSSKSVASATKPTTVPAAKPTASAVKPTIPTRSSTPSRSTARSSTPSRSTARSSTPSRSTARSSTPTARPSIPPSKSTSRASTPTRRPSTPSSVPTKSAPVKSTASVTKTMPSTATISAPPVKSIPSVTKATPTIARNPVPSHGNSPTTKSRPWKPSEMPGFSLDTPPNLRTSLPERPLSATRGRPGAPSSRSSSVEPTPNGRPRRQSCSPARGRAPNGFMHASGSSVPAINRGNSKVKDNVSPALVGTKMVERVINMRRLAPPKQDDKLSPLGNLSGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGSTRSRTVSVLDSPLATSSNASSEVSVNNNGFCLDGIELEDDVGSERGGRSPLRGR >Manes.15G006900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:712879:713820:-1 gene:Manes.15G006900.v8.1 transcript:Manes.15G006900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKIMVVDLDACTTHKQVTEADNKPNTKGAGNSNYEKALAQRALFGSHNHRVKARKFTKNGARLSPSRLSKVSLAEE >Manes.12G089338.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13998624:13999136:1 gene:Manes.12G089338.v8.1 transcript:Manes.12G089338.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGILYSRFSTVLEGYSDTNWISDSDEIKSTSGYAFALGGGAIIWKSNKQNIIAKSTMKSEFITLELAGTEAEWLRNFLANILLGIKPTSSISMRCDCQAAIAITKNKTFNGKNRHIRLRHNVIKQLLKDETISIDYVKSEVNLADPMTKPLGRKLIDETSRGMRLEPI >Manes.02G065000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4945242:4947352:1 gene:Manes.02G065000.v8.1 transcript:Manes.02G065000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQATELSSGKSSHKRLFLLAFSLIFLATIPLCLLKNSRSPLPSRGANVSELRSRVRVKECDIFTGKWVPYLKGPYYTNATCNLMIDQQNCMKFGRPDTEFMRWRWRPDECELPFFNAVQFLELVRGKSMAFVGDSVGRNQMQSLLCLLASVVQPEDVSHKYTSDTTYFRFWFYSDYTFTLATLWSPFLVKACDADPSGHSLNSLMNLYLDQADEAWATQIENFDYVIISAGQWFFRPLIYYMNGHIVGCHDCNLENITAVSKYYGYRMAFRTAFRTLLRQKGFKGITFLRTFSPSHFENGAWNAGGSCPRTKPYTSKEMKPDDYNFEFYLTQVEELKKAEKEGRKRGLKFVVLATTEAMLLRPDGHPNHYGPLLHRNVTIADCVHWCLPGPIDTWNEFLLYVMKREAQRSFLGELQKIV >Manes.12G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9447215:9449442:1 gene:Manes.12G076800.v8.1 transcript:Manes.12G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVGLLVGLVLVGSVSSAKFDELFQPGWALDHFIYDGELLSLKLDNYSGAGFQSKSKYMFGKVTVQIKLVEGDSAGTVTAFYMSSDGPNHNEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRLNLWFDPTKDFHSYSILWNQHQVVFLVDETPIRLHTNMENKGVPFPKDQPMGVYSSIWNADDWATQGGRVKTDWSHAPFIASYKGFEINGCECPVSVAAADVAKRCSSSGEKRYWWDEPTLAELNLHQSHQLLWVKANHMIYDYCNDSARFPVTPVECLHHRH >Manes.07G069666.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12943351:12984152:1 gene:Manes.07G069666.v8.1 transcript:Manes.07G069666.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRESSRSANSGQRSKRSFNNSSYSNNSNSSNKKTKTTNQKTLGMAWGANSLSSSRSSFRTSPFSDFGSYMVEKNRKLQNQFNAEASSSSHGGSSSEKPIFDGVSIFVDGFTIPSSQALRGYMLKYGGRFENYFSRHRVTHIICCNLPNSKIKNLRSFSGGLPVVKPAWILDSVAASKLLSWVPYQLEQLANNQPKVSAFFAAQNRLVAEDALNIMDPSLKGGTLAGVPVKDGEQINRQFEDRDCQIEEPSSSSGKSSEVRMAEPINTEDGSSISNELPSSPSQFSVSVKDNQNESKSPAPSIAGPSNPHHSTIEDPNFVENYFKSSRLHFIGTWRNRYRKRFCHLPNEFSCRSVSTANASDNSQKTTIIHVDMDCFFVSVVIRNDPELHDKPVAVCHSDNPKGTAEISSANYPAREYGVKAGIFVRDAKAICPHLIIFPYNFQAYEEVADQFYNVLHKHCNKVQAVSCDEAFVDITDLGEKDPEVLASTIRKEIFETTGCKASAGIAGNMLMARLATRTAKPDGQCYVPPERVDEYLQELPIKALPGIGYVLEEKLKKKNISTCGQLRLISKDSLQKDFGKKTGEMLWNYSRGIDNRLVGVIQENKSVGAEVNWGVRFKDLQDSQNFLLKLCEEVSLRLRGCGVQGRTFTLKIKKRRKDALEPIKYMGCGDCENLSRSITVPVSTDDVDVLQRITKQLFGSFHLDVKDIRGVGLQVSKLENVDISKQGLERKSLRSWLTSASATTGGQDSISNISNETSNIVPPPLYDLDMEVIECLPPELFSELNQIYGGKLVEFIAQSKGKSENSRNSPCIPYHEVKATTGCGERPLASTFIPNMVQVENMAKQHISEEAPSAPPFSGAGSNNGAIRSSGLLNIDLMPSSLSQVDTSVLQQLPEELRADILELLPAHGRRESISASSFVPLMENAQEPLVVAENQSVSVNSVVDCDLWSGNPPQWVDKFKVSNCLILSTLADTYYKLGSTGNLSSMLQHTISDYLYKMDESDDSWDDEATHNLCEILKQYIALKIEFDIEEIYVCFRLLKRFTKKSTFCLQVYNIVFPCLQASVGEHYGGSLHISHE >Manes.04G051200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:16525791:16528478:-1 gene:Manes.04G051200.v8.1 transcript:Manes.04G051200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRCFLYPKRLLCRFSSSAASIAAPSVSPALLKQCKSVFQANLIHQQALIQGLFTHLSINLISTYLALNAPSHALSLLQRLTPSSSSVFWWNALIRRAVRLGLLHHSVSLFCRMLSLGWSPDHYTFPFVFKACGELPSFRHGSSLHAVVCSNGFESNVFVCNAVVTMYGRCGALNHARQMFDEMCMSEIYDLVSWNSIITAYMQSGDSNSVLGLFHRMCEVGDKDIRPDPVSLVTVLPVCASMGAWLCGKQVHGYALRSGLFDDVFVGNSLVDMYAKCGMMHEACKVFELMREKDVISWNAMVTGYSQIGRFEDALTLFEEMREQNIELDVVSWSAVIAGYAQRGLGYEAMEVFRQMQICGSKPNEVTLVSLLSGCASVGALLHGKEIHCYAIKCILNFDNSDPRDEHSAINAIIDMYTKCKSIDVGRAIFDSILPKDRNVVTWTTMIGGYSQHGEANDALELFSQMLKEDRSVKPNAFTISCALMACARLAALRFGRQIHAYVLRNKYDVLFVANCLIDMYSKSGDIDIARSVFDNMKQRNAVSWTSLITGYGMHGQGAEALKVFYDMRKEGLVPDGITFLVVLYACSHSGMIDEGNKYFNGMIKNFGVIPGEEHYACMVDLLGRAGRLDEAMKLIDEMPMKPGPIVWVALLSGCRKHANVELGEYASNRLLEMESENDGSYTLLSNIYANARRWKDVSRIRSLMKHTGIKKRPGCSWVQGKKGHATFFVGDRTHPQSKQIYAILTELIRRIKVIGYVPETSFALHDVDDEEKGSPRICVSVVIVILPLLTFL >Manes.04G051200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:16525791:16528419:-1 gene:Manes.04G051200.v8.1 transcript:Manes.04G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRCFLYPKRLLCRFSSSAASIAAPSVSPALLKQCKSVFQANLIHQQALIQGLFTHLSINLISTYLALNAPSHALSLLQRLTPSSSSVFWWNALIRRAVRLGLLHHSVSLFCRMLSLGWSPDHYTFPFVFKACGELPSFRHGSSLHAVVCSNGFESNVFVCNAVVTMYGRCGALNHARQMFDEMCMSEIYDLVSWNSIITAYMQSGDSNSVLGLFHRMCEVGDKDIRPDPVSLVTVLPVCASMGAWLCGKQVHGYALRSGLFDDVFVGNSLVDMYAKCGMMHEACKVFELMREKDVISWNAMVTGYSQIGRFEDALTLFEEMREQNIELDVVSWSAVIAGYAQRGLGYEAMEVFRQMQICGSKPNEVTLVSLLSGCASVGALLHGKEIHCYAIKCILNFDNSDPRDEHSAINAIIDMYTKCKSIDVGRAIFDSILPKDRNVVTWTTMIGGYSQHGEANDALELFSQMLKEDRSVKPNAFTISCALMACARLAALRFGRQIHAYVLRNKYDVLFVANCLIDMYSKSGDIDIARSVFDNMKQRNAVSWTSLITGYGMHGQGAEALKVFYDMRKEGLVPDGITFLVVLYACSHSGMIDEGNKYFNGMIKNFGVIPGEEHYACMVDLLGRAGRLDEAMKLIDEMPMKPGPIVWVALLSGCRKHANVELGEYASNRLLEMESENDGSYTLLSNIYANARRWKDVSRIRSLMKHTGIKKRPGCSWVQGKKGHATFFVGDRTHPQSKQIYAILTELIRRIKVIGYVPETSFALHDVDDEEKGDLLSEHSEKLALAYGILTSAPGAPIRITKNLRVCGDCHTAITYISMIIDHEIILRDSTRFHHFNKGSCSCRGYW >Manes.17G119742.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34646901:34648181:-1 gene:Manes.17G119742.v8.1 transcript:Manes.17G119742.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYTKKAITLKRKLPMAPKFTHLLSTFLMLLFSMHLLLAQSRTLKPEGHHVGNTLKFLQSLKQVQKGQNVIGLSEVKKQLNKFGYYPSGDANNLTDDFDESLESALKTYQNFYQLEVTGNLDSATIKKMMIPRCGVPDIINHTSSSKPTSSSHKSKMFHMVSHYDFPRGMPRWPSSKYELTYTFRSGVQNPNEQDMRSACSQAFQRWESVSQFKFQEAPAGSQADIVIGFYRGDHGDEDPFDGPGNILAHSFSPQDGRFHYDADEDWSSNPNMNQMDLESVAVHEIGHLLGLAHSQDSNAIMYATIPPGTIKRELTQDDIDGIHALYDN >Manes.07G021100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2361335:2362107:1 gene:Manes.07G021100.v8.1 transcript:Manes.07G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEIINKVLSQTDVDYRLAFPTASLWGVQIPEDQNGMEFEATSAGNIHEHWNFRLCLRNSNNGERYRKPELTGDWLQFVRSKSLCKGNKIILTMELDEATGERSYTIRAETKLMGSWVPIA >Manes.09G006700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1659445:1668055:-1 gene:Manes.09G006700.v8.1 transcript:Manes.09G006700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNLTVAALAALVAILSILITSPINPFAPPPLPSSHNHLQSAKVVPVAGAVGPESLVFDRNGEGPYTGVADGRILKWQGDGRGWVDFATTTSNRKECTRPFAPELEHVCGRPLGLRFDKKTGDLYIADAYLGLQVVGPNGGVAKPVVSEVQGHPLRFTNDVDIDEEEDVIYFTDTSKIFQRRQFISAILNKDTTGRLLKYDKSSSEVKILLEGLAFANGVALSKDRSFVLVAETTTCQISRYWLRGPNAGKVEVFVKLPGFPDNIRRNSKGEFWVALHSKKGLIAKLALSSSWIGKGLLKLPISLKQLHSLLVGGKPHATAIKLTEDGKVLEVLEDCDGKTLKFISEVEEKDGKLWIGSVLVPFLGVYDL >Manes.12G083000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11026011:11028073:-1 gene:Manes.12G083000.v8.1 transcript:Manes.12G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHYPDPINAPELQVWNNEAFDNSESEDSVGIKSTWWTQSIESSCSKENLSPVFEKSPVSFTSLVSSIPIKPLNSNGVIANAGENPLKFVSMPGTVSNNLILKENKNRDEGIVDKEIEEIEKEIRRLSLRLEALKLEKAERSLKIEKRGRIVPAKFMEPKQTVTIEESLLSSSKTKINRRGVSMGPSEIFSGAKSRLLSKQEITPVSTQNRRKSCFWNLEEIDELKATKERGKSLSPRSRKTTSKIQAPKLAATTVGSKKSVKKEDGFLALIQPKTLFRDGQKSVSNKKPVKPGRVVPSRYNQFETHQSNGNLTSTEARKRSLPDSDKEDGNKRRVSRGSGVNQRIESSTKKKWEIPNEVVIYKSDAVVEETWPSIEAMGDVLPKIKTTQCVNETPRDSGAAKRVSDLVGRKSFFCTDEDEIAGDPVCQTLSFEVEEEENVKEV >Manes.13G076300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11430082:11434879:-1 gene:Manes.13G076300.v8.1 transcript:Manes.13G076300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAFFPCALLFCSLLLQCFAGDTITKNCSISDRRGETLVSSGEKFELGFFTPNGSSGRRYIGIWYYMSNPLTVVWVANRDNPLLDNDGVFSIAEDGNLKILDGRGRSYWSTNLKIDSSVDRKTKLMDTGNLVFHDDDGENHLERILWQSFDYPTDTFLPGMKMDEDIALVSWKSYDDPASGNFTFQLDQDAEQYVIWKRSIPYWRSGVSGKVGSSSDMPSSISYFLSNFTSSVAHNDSVPYITSSLYIDTRMVMSFSGQIQYLKWDSQKIWTLFWAVPRTRCSLYNACGNFGSCNSNNELVCKCLPGFQPISPEYWNSGDYSGGCTRKSPLCSGNAASDSFLNLKMMKVGNPDSQFKANSELDCKTECLNNCQCQAFSYEEAEITQQRESGSANCWIWLEDLTDLQEEYDGGRNLNVRISVSDIDLTSRNCGTCGANIIPYPLSTGTNCGDPTYFNFYCNISSGQLNFQAPGGTYRVTKINPQMRKFVIQTNDADSCRAINSDSKFLKLNQSSPFHVIRWCFADLGNYTSAASFKGGGELEIGWEPPPEPTCSSPTDCRDWPNSICKASGDGKKKCLCDMSSRWDGLKLNCTQEDHRKKTNKTSIGKIPSSIVVAVAFASVIGLIVVSSTIIFMYLQRRRLAMVPGNRGSLQRHLGLHLYSSERLVKDIIDSGRFNEEETKAMDVPFFDLESILAATNKFSNSNKLGQGGFGPVYKATFPGGEEIAVKRLSSSSGQGIEEFKNEIILIAKLQHRNLVRLLGYCVEGDEKMLLYEYMPNKSLDSFIFDRKLRVLLDWGMRYSIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFGGKETAANTSRIVGTYGYIAPEYALDGLFSFKSDVFSFGVVVLEIISGKRNTAFYQPEQSLSLLGYAWNLWKEEKALDLLDPILRKTCNANELFKCLNVGLLCVQEDPFDRPTMSSVLLMLGSENTSIPGPKQPAFVVRRCPSSRASSSGKPETCSNNQLTVTLEDGR >Manes.06G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20618009:20618504:1 gene:Manes.06G070100.v8.1 transcript:Manes.06G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSFIPAKICCMVVRINLDCNSCCKKARKIILNMKEIETHMIAKQESRIVICGRFTPADVAIKLRKKMKRRVEILEIQEMGEQLQA >Manes.03G128900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25582339:25590736:1 gene:Manes.03G128900.v8.1 transcript:Manes.03G128900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIAAVKERGIDVLLNDESARETPSVVCFGEKQRFIGAAGAASAMMNPKSTISQVKRLIGRSFTDPDVQNELKMLPFETSEGQDGGILIKLKYLGETHTFTPVQIMAMLFSHLKGITEKNLEMPVLDCVIGIPSYFTDLQRRAYLNAAAIAGLKPLRLMHDCTATALSYGIYKTDFSNSGPTYVAFVDIGHCDMQVSIVSFESGHMRVLSHAFDSNLGGRDFDEVLFSYFAEQFKENYKIDVYSNVRACIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVKGFIKREEFERLASGLLERISVPCKKALADAGIPVGKIHSVELVGSGSRMPASTKLLASLFGREPSRTLNASECVARGCALQCAMLSPIFRVREYEVQDSFPFSIGLSSDKGPISAGSNGILFPKGQPIPSIKVLTFQRSNSFHLEAFYANPNELPPGASSKISSSTIGPFPGSYSEKARIKLKVQLNLHGIFTIESAMLMEDHIDDCVRRDNAYPERDKMEADSASFGSSTKLANGDDDDVTMAARSLDDSVNGATKNNAGHRLEIPVTEDIYGGMTDAELSEAKEKEFQLAQQDKLVEQAKDQKNALESYVYETRNKLLNTYRSFASAQEKEGISRSLQETEEWLYEDGDDETENAYTSKMQDLQKLVDPIENRHKDVEARAQAKRDLLNCIVEYRMTVNSLLPEDKESVRF >Manes.03G128900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25582339:25590736:1 gene:Manes.03G128900.v8.1 transcript:Manes.03G128900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIAAVKERGIDVLLNDESARETPSVVCFGEKQRFIGAAGAASAMMNPKSTISQVKRLIGRSFTDPDVQNELKMLPFETSEGQDGGILIKLKYLGETHTFTPVQIMAMLFSHLKGITEKNLEMPVLDCVIGIPSYFTDLQRRAYLNAAAIAGLKPLRLMHDCTATALSYGIYKTDFSNSGPTYVAFVDIGHCDMQVSIVSFESGHMRVLSHAFDSNLGGRDFDEVLFSYFAEQFKENYKIDVYSNVRACIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVKGFIKREEFERLASGLLERISVPCKKALADAGIPVGKIHSVELVGSGSRMPASTKLLASLFGREPSRTLNASECVARGCALQCAMLSPIFRVREYEVQDSFPFSIGLSSDKGPISAGSNGILFPKGQPIPSIKVLTFQRSNSFHLEAFYANPNELPPGASSKISSSTIGPFPGSYSEKARIKLKVQLNLHGIFTIESAMLMEDHIDDCVRRDNAYPERDKMEADSASFGSSTKLANGDDDDVTMAARSLDDSVNGATKNNAGHRLEIPVTEDIYGGMTDAELSEAKEKEFQLAQQDKLVEQAKDQKNALESYVYETRNKLLNTYRSFASAQEKEGISRSLQETEEWLYEDGDDETENAYTSKMQDLQKLVDPIENRHKDVEARAQAKRDLLNCIVEYRMTVNSLLPEDKESIINECNKAEQWLRERTQQQDSLPNNANPVLWSSEIKSRTQDLHSTCKRILERKGSP >Manes.06G111100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24294785:24299672:-1 gene:Manes.06G111100.v8.1 transcript:Manes.06G111100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESQSEQDPDDSDAEFVEVDPTGRYGRYKEILGRGAFKKVYRAFDELEGIEVAWNQVKVADLLRNAVDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLSYLHSHDPPVIHRDLKCDNIFVNGNQGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFDYPYVECANAAQIYKKVTSGIKPASLAKVTDHSVKAFIEKCIANVTYRLPAKELLMDPFLRSDEERSLQFNLHHSDTGDSSDSAERSKDFTVQGQRKNVNTIFLKLSMADSTGKIRNIHFPFDIEVDTAIAVASEMVEELDLTDQDVSTIAAMIDSEIQSHIPNWGTSKFSADNISEEVAESPSESRDYPTINNESTPSPSSLAFERLKTPSSSLALERLKTSSSSLALERLPSGRKYWSDSPRVVGGSSPSRLGHSNSPFHQTSLNNHHDADSQNAASSVVPLESEIGTNVESSDINSVPLNVKVLAEKLEELLVKQQKELDDLKSQHEVAISDLLNEVPPEISQQALNLCKLKMADYKVL >Manes.06G111100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24294785:24299672:-1 gene:Manes.06G111100.v8.1 transcript:Manes.06G111100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESQSEQDPDDSDAEFVEVDPTGRYGRYKEILGRGAFKKVYRAFDELEGIEVAWNQVKVADLLRNAVDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLSYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFDYPYVECANAAQIYKKVTSGIKPASLAKVTDHSVKAFIEKCIANVTYRLPAKELLMDPFLRSDEERSLQFNLHHSGDSSDSAERSKDFTVQGQRKNVNTIFLKLSMADSTGKIRNIHFPFDIEVDTAIAVASEMVEELDLTDQDVSTIAAMIDSEIQSHIPNWGTSKFSADNISEEVAESPSESRDYPTINNESTPSPSSLAFERLKTPSSSLALERLKTSSSSLALERLPSGRKYWSDSPRVVGGSSPSRLGHSNSPFHQTSLNNHHDADSQNAASSVVPLESEIGTNVESSDINSVPLNVKVLAEKLEELLVKQQKELDDLKSQHEVAISDLLNEVPPEISQQALNLCKLKMADYKVL >Manes.06G111100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24294785:24299672:-1 gene:Manes.06G111100.v8.1 transcript:Manes.06G111100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESQSEQDPDDSDAEFVEVDPTGRYGRYKEILGRGAFKKVYRAFDELEGIEVAWNQVKVADLLRNAVDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLSYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFDYPYVECANAAQIYKKVTSGIKPASLAKVTDHSVKAFIEKCIANVTYRLPAKELLMDPFLRSDEERSLQFNLHHSDTGDSSDSAERSKDFTVQGQRKNVNTIFLKLSMADSTGKIRNIHFPFDIEVDTAIAVASEMVEELDLTDQDVSTIAAMIDSEIQSHIPNWGTSKFSADNISEEVAESPSESRDYPTINNESTPSPSSLAFERLKTPSSSLALERLKTSSSSLALERLPSGRKYWSDSPRVVGGSSPSRLGHSNSPFHQTSLNNHHDADSQNAASSVVPLESEIGTNVESSDINSVPLNVKVLAEKLEELLVKQQKELDDLKSQHEVAISDLLNEVPPEISQQALNLCKLKMADYKVL >Manes.05G108600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10658011:10664107:1 gene:Manes.05G108600.v8.1 transcript:Manes.05G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAADNPDSGDHFAIGSNWNLHPHSDNVDYFFASHRESSILNEFGWNLHPYHTSTESSRFDDFDRIDALTVNHGFAPETCSSVLQGSVSCGDQARTVGSGIGGGDVSTSNPSVSSSSSDDPPDKSTSSGGKPPEIPSKVRKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSRCTVKKRVERSSEDPTIVITTYEGQHCHHAVGFHRGGIISHEATFVSQLTPSVSQFYYPGIQLPEIPPTITESNQLSVQRIEPTTLLVPSPRIPADEGLLGDIVPPGMRT >Manes.05G108600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10658124:10664107:1 gene:Manes.05G108600.v8.1 transcript:Manes.05G108600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAADNPDSGDHFAIGSNWNLHPHSDNVDYFFASHRESSILNEFGWNLHPYHTSTESSRFDDFDRIDALTVNHGFAPETCSSVLQGSVSCGDQARTVGSGIGGGDVSTSNPSVSSSSSDDPPDKSTSSGGKPPEIPSKVRKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSRCTVKKRVERSSEDPTIVITTYEGQHCHHAVGFHRGGIISHEATFVSQLTPSVSQFYYPGIQLPEIPPTITESNQLSVQRIEPTTLLVPSPRIPADEGLLGDIVPPGMRT >Manes.05G108600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10658066:10661942:1 gene:Manes.05G108600.v8.1 transcript:Manes.05G108600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAADNPDSGDHFAIGSNWNLHPHSDNVDYFFASHRESSILNEFGWNLHPYHTSTESSRFDDFDRIDALTVNHGFAPETCSSVLQGSVSCGDQARTVGSGIGGGDVSTSNPSVSSSSSDDPPDKSTSSGGKPPEIPSKVRKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSRCTVKKRVERSSEDPTIVITTYEGQHCHHAVGFHRGGIISHEATFVSQLTPSVSQFYYPGIQLPEIPPTITESNQLSVQRIEPTTLLVPSPRIPADEGLLGDIVPPGMRT >Manes.11G007450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:847986:849913:-1 gene:Manes.11G007450.v8.1 transcript:Manes.11G007450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFGCGLACPPMDEVGILGIDLQIHFDLSPEKFFECVQEVNEPPRPLPSRPAQPQPKPQRIYGKGKEMKILKSVYGVDTVTDNKKDTKNSTSRFDQSLDDLRFRWVHDEAYLETKLKESGRKIHARNQEEEKNQRKIQIIDIVDLPKETKKKLGVSKQKLHRLATSRAAGQPNSLKMNRRRRMEEGAWIWSSFLFSFITWTWS >Manes.13G139500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34742567:34746862:-1 gene:Manes.13G139500.v8.1 transcript:Manes.13G139500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNMQPTWPDFSHQKTMPPSINKAASPTAATGQNPSWVDEFLDFSLARRGTHRRSVSDSIAFLEPPLLEECRGTSGAVVAPQHQHRHSAGHNNSSSCDFDKFDDEQFMSMFNDDISNAVAAPSCSNPSTPSDHNSINDEKDTTAPSSDQNTKQNKIRNEPDEVQSQCQQENQTPPSATDTNSSDRIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDVDFETNPAHQEALKREIERLRQVYHQQNLKKMENSATDPTTAPEKEQLLLQV >Manes.07G096800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30113049:30115166:1 gene:Manes.07G096800.v8.1 transcript:Manes.07G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNILQMRRVVSFLGHNSNQRYISPTNREPTWQLLPSASSIFARDDFFRRFHTQINPASHPNEDSENHETGSLAPSHDSATAPSQTNGGNNSAVEYSTISNLKTSPRHDLAMIFTCKVCETRSVKTVCRESYEKGVVVARCGGCNNLHLIADRLGWFGEIGSIEDFLAARGEEVKKGSVDTLNLTLDDLAGSKILKE >Manes.10G103400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25109674:25111535:-1 gene:Manes.10G103400.v8.1 transcript:Manes.10G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQFRKLLSDYYNESSPPISGTFWSLCQEACDSSDDEDYCQTQICFDYCNNNCPFKLPPTPSIRHAKPRKFLITGLVLASVFFLVFCCAVYFKFYYGSRRRRSESEEQRNEIHQDFLDEDQGPVVDHPIWYINTVGLQPSVINSIAVCKYKRGDGLVEGTDCSVCLSEFQEDETLRLLPKCSHAFHIPCIDTWLRSHTNCPLCRAPVITTPARASSSEGNGESSSAGEEAQMEVSENIEGEIIENGDGELSIETEEEEEEEEEEEFQDENRRKRVEELNGEEEGIQPMRRSVSLDSLSAFKISQALANVGEIESDRISGNLAKESESNMGIVSKRDCSNQGLLKFMGSSSIGRSLQIGPSSLKRSLSCGGKLFLLRYSKNRNSVLPL >Manes.09G142000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34020517:34022681:1 gene:Manes.09G142000.v8.1 transcript:Manes.09G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFSLNFTSIPYASPPTKHPFCFHSHLPSRSLQYSNKKREFPLTSVASIPYQPINVDYLEEEFSGHGVNFEEIGDSCIAKMRLENGSAATLMLPSGLITSYKAHMWHGGTIELLQTTVSEGEDGNPLIQGGVSLAFNFESDCGTSWSPSTWTVHSIRGSPQESIQVELISTDAENMVEIRHIMNLAVDTLSSKLIVSNSKSWSIQLMGSLLSHLTVSSPEATYAYGLEGSNIFDRPMFLSNLSIVPPDSDQESADSFGKLRDIMRLKGSSWGARNQKDFDKRNSSQIESEEEIECEETDNYKHLTGKMSRIYTSAPRDFTIIDRGRRNSVIIARNGFDELYMCSPGSSHESFGRYSFICVGQSAMLKPIVLHPGDVWTGEQHLHNPNL >Manes.17G115900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32310393:32315130:-1 gene:Manes.17G115900.v8.1 transcript:Manes.17G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIESEERRFTEMEELKRLLVACAGLGRRKDQEDFQGSRFPSEDFDVEDDGAQKLVCVTSGVSFLGLAIVNRLLRRGYSVRIIVHTEEEMEKVREVEGTNSNNSIKAVMAKLTQIESLLEAFEGCRGVFHTASFTDPAGLSGYSKSMAEIEEKGSENVIMACSRTPSVRNCVLTSSLLACVWRDASLQELSSVINHDSWSDESLCMDNKLWYALGKLRAERAAWRIAKETGLKLVTICPALITGPHFIHSNPTATIAYLKGAEEMFRDGVLATADVMKLAEAHACLMEAMDKTAFGRYIYFDNVIKTQDEAEELATMIGMPASKISGNVSMKSPAPFELSNKKILTLMSTALHSCYKKIRN >Manes.14G114000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14203656:14205065:-1 gene:Manes.14G114000.v8.1 transcript:Manes.14G114000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQLAEVSSAFERMLRRRDLSLFLPLILGVTGTNPDQERIILINPFTQGMVMIEGAGDLGSLLRELATKNGQPPASKAFIEALPSVEISEIGDHDCECVICLKEWELGGLAKEMLCNHRFHAHCIKKWLGIHGSCPVCRYKMPVDEVDLGKQREEEEEEEEEKEGRERRRFQREIWVGFSFNNNRRKS >Manes.06G052200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18270038:18271900:1 gene:Manes.06G052200.v8.1 transcript:Manes.06G052200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSFSMNSHIHNEIISEIIMSSFCSRFPSMFPFLFVFLLSFSWATSAHNHEDFLECLRVQSEDSASITKLIYTPINSSYLSVLQFSIQNRRFNTTATPKPLVIVTPSNVSDIQAAVSCSRKHGMHIRVRSGGHDYEGLSYVSVLPFVIVDLINLQSVTVDATNNTAWVEAGATIGKLYYSIAQKSRTLGFPAGVCPTVGVGGHISGGGYGLLLRKYGLAADQVIDAQLIDVNGRILDRASMGEDLFWAIRGGGGNTFGIVVSWKINLVPVPATVTVFTVQKTLEQNATQLVNRWQYVADKLHEDLFIRVILESVNSTTQQGKTTIRASFNSLFLGGADRLLSLMEESFPELGLAREDCIEMSWIESILYIAGFSRNTPLEILLNRTQPSVRFFKAKSDYVKEPMPEVALEGIWERLFQLEVGSGQLIFSPYGGRMSEISESSIPFPHRAGNLYKIQHLAYWDEEGIKESKRHISWIRGLYRFLAPYVSKNPRLAYINYRDLDIGMNNLGNTSYKQASIWGIKYFKINFDRLVHVKTKVDPANFFRNEQSIPPLSA >Manes.05G129200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242612:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.05G129200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242614:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.05G129200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242611:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.05G129200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242597:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.05G129200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242614:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.05G129200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242614:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEIHLQVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.05G129200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242614:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.05G129200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242596:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.05G129200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22242614:22256686:1 gene:Manes.05G129200.v8.1 transcript:Manes.05G129200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMPSQDQDLAGGSDVNLVDASVQEEVSTDPLEHQKTNENGKVSSEDKIDTLPSDVPMSENEVVPDNKTTLDANINDEVGNEVPEKIMDGDATHVHTQLETVTPPPHRKYSTPRPKHESVKTKSVWTDVEMGEADESGTPEERAAFMRELETFYRDNALEFKPPKFYGEPLNCLKLWRAVIRLGGYEVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKTQIGELQLPGSPLHQPSVVEKEIHLQVSGYQAPGSGRARRDAAARAMQGWHAQRLLGYGEVSEPIIKDKNLNSAPRREKPLKSIGMHKQKTNLEHSEKIGNIETDKELEMEIVDVGPPADWVKINVRESKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITPFKKVISLPTRIDPLQTSAVVSLHGRLYVRVPFERGSA >Manes.11G044300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4807522:4815784:1 gene:Manes.11G044300.v8.1 transcript:Manes.11G044300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYILFYLRVTSSSVWVNLPAAASLIILLRYFSLDYEMRRKAIVYNNKHHSSNSFSQNIIPEHTRVLGKSDWRRKVNSPVVEDAIDHFTRHLVSEWVTDLWYSRLTPDREGPEELVQIMNSVLGEFSNRMRNINLIDLLTRDLINLICAHLELFRASQAKNEKLLSGLVSIEQRDKELRLVLADENRLHPALFSAEAEHKVLQHLMDGLISFTFNPEDLKCSFFRYIVRELLACAVMRPVLNLASPRFINERIENLVISKTNKGVAAVQEVSQSKPNGSSKIPSDQFSRFLDPTGTGVELVQLKTDHSKRGTGEPELDNVNGTRISKDPLLSVDTRSSRAWSSLPLNSQIKDEGGLQRHHSGGEWGDVLDRFSRRKTAALAPENFENMWTKGRNYQKKEGVKVIEQVPQNSSVNKPATADRSKMPKLKEKHGVAKLDSPPADNGQTHSNQSTVENPYHQMDQNLSNHSLYTSYHEDDEQCFMHLNENESGSVSPYTSEEEDPSSITGLDDPGTKVWDRKTNRNLAVSPIHHPLENPGHHATRKTSRGQALYERLSGTESGRKRSRSSTQKLHVWQEIERTSFLSGDGQDILSSKGHSKADESSDDSEVEGLDRANGGATACSSTPSASISENHSSAVHSLKNSLMVDSFFKLRCEVLGANIVKSASRTFAVYSISVADVNNNSWSIKRRFRHFEELHRRLKEYAEYNLHLPPKHFLSTGLDMPVIQERCKLLDIYLKKLLQLPTISGSIEVWDFLSVDSQTYFFSNSFSIIETLSVDLDDKPSEMSRKASHPTGPVSNSISTKRDQLGTDHKDSSLQTKHNFVADGVKMSPKYTSHSPVKRPGKECGKSLEDSGSDSDTQKNVSVRNLGKIVEGRQTDGLEQTSELIHDTASDPTLPTEWVPPNITAPILGLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDALDDWLIEKIQLLRTGSVVASGIKRVEQILWPDGIFITKHPKRRPPSAPNSSHSSPHGQQPMDASSPKLSSPLGHQPTEISSPRPSDDQLKYEADRRAKFVYELMIDNAPSAIVGLVGRKEYEQCAKDLYFFLQSSVCLKQLAFDLLELLLLSAFPELDHVFRQLHEEKHRFGDFNPNK >Manes.11G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4806704:4815783:1 gene:Manes.11G044300.v8.1 transcript:Manes.11G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQRQVTVRDLVEEAKKRIVFLVICVVGLSYLMSLTSSSVWVNLPAAASLIILLRYFSLDYEMRRKAIVYNNKHHSSNSFSQNIIPEHTRVLGKSDWRRKVNSPVVEDAIDHFTRHLVSEWVTDLWYSRLTPDREGPEELVQIMNSVLGEFSNRMRNINLIDLLTRDLINLICAHLELFRASQAKNEKLLSGLVSIEQRDKELRLVLADENRLHPALFSAEAEHKVLQHLMDGLISFTFNPEDLKCSFFRYIVRELLACAVMRPVLNLASPRFINERIENLVISKTNKGVAAVQEVSQSKPNGSSKIPSDQFSRFLDPTGTGVELVQLKTDHSKRGTGEPELDNVNGTRISKDPLLSVDTRSSRAWSSLPLNSQIKDEGGLQRHHSGGEWGDVLDRFSRRKTAALAPENFENMWTKGRNYQKKEGVKVIEQVPQNSSVNKPATADRSKMPKLKEKHGVAKLDSPPADNGQTHSNQSTVENPYHQMDQNLSNHSLYTSYHEDDEQCFMHLNENESGSVSPYTSEEEDPSSITGLDDPGTKVWDRKTNRNLAVSPIHHPLENPGHHATRKTSRGQALYERLSGTESGRKRSRSSTQKLHVWQEIERTSFLSGDGQDILSSKGHSKADESSDDSEVEGLDRANGGATACSSTPSASISENHSSAVHSLKNSLMVDSFFKLRCEVLGANIVKSASRTFAVYSISVADVNNNSWSIKRRFRHFEELHRRLKEYAEYNLHLPPKHFLSTGLDMPVIQERCKLLDIYLKKLLQLPTISGSIEVWDFLSVDSQTYFFSNSFSIIETLSVDLDDKPSEMSRKASHPTGPVSNSISTKRDQLGTDHKDSSLQTKHNFVADGVKMSPKYTSHSPVKRPGKECGKSLEDSGSDSDTQKNVSVRNLGKIVEGRQTDGLEQTSELIHDTASDPTLPTEWVPPNITAPILGLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDALDDWLIEKIQLLRTGSVVASGIKRVEQILWPDGIFITKHPKRRPPSAPNSSHSSPHGQQPMDASSPKLSSPLGHQPTEISSPRPSDDQLKYEADRRAKFVYELMIDNAPSAIVGLVGRKEYEQCAKDLYFFLQSSVCLKQLAFDLLELLLLSAFPELDHVFRQLHEEKHRFGDFNPNK >Manes.03G171700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29653686:29654120:-1 gene:Manes.03G171700.v8.1 transcript:Manes.03G171700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSSRQVALLLVLALLGSKPWPCKGTTNSFLPKYHVHIVNNLSDNILYVHCKSKDDDLGSHALPVNSEFQFSFRINLLGTTLFWCNFNWGNGRGGSYKVFWYGTGLTRKCNYKNCIWSARDDALYLLNLFENKDEKYYDWGH >Manes.04G090330.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29576919:29577380:1 gene:Manes.04G090330.v8.1 transcript:Manes.04G090330.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSIYNFLNHKGPLPSRPPRKNPTNPPPPSSSSRLFQCLYCPRKFYTSQALGGHQNAHKRERAAAHRNISVEPTSHHFPSDSYVDPGSPFLDQYWPDTTQTHQFAPPAQSSVSLTQNGFCGGSTTPTDNEPVSPVADLNNDPVNLDLTLRL >Manes.14G060900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5081810:5086787:-1 gene:Manes.14G060900.v8.1 transcript:Manes.14G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKSFPILSYVMARLPSLSGKSPSTTCDVEQPPPRAPSDPSSSQTPIVSQLPHLTDPKLLASMTRAIADVAQTRSVLRTLGPRPDHETVDAARLKLCEIESDLSKQLEEIVLSPRPSDVDRLDWRSQLADKEQQCRRAAEKEKILYKTILQLEEMHQSYEKLLRAAEQRLVKIYEKAEMGEAEEEEVKKDKEEVDEQLNEEIVGILQEASGKELERVDLSNRRLLFLPEAFGKIHGLRVLNLSNNQLEVIPDSISGLENLEELNLASNILDALPDSIGLLHNLKILDASSNKLESLPDSISNCRSLVELDVSFNRLTYLPTNIGYELVNLKKLSIQLNRLRSFPTSIGEMRSLQHLDAHFNELQGLPLSIGRLTNLEILNLSNNFTDLKELPETLGDLTNLKELDLSNNQIQTLPDTFGRLDNLTKLNLEQNPLVIPPSEVVKEGIEAVKMFMAKRWLDILVEAERKSMVVVQEQGQTGWLTRSTSLLKKYVENVSENVSGLLSPRSPKDAYLDQQL >Manes.14G060900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5083329:5086787:-1 gene:Manes.14G060900.v8.1 transcript:Manes.14G060900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPKSFPILSYVMARLPSLSGKSPSTTCDVEQPPPRAPSDPSSSQTPIVSQLPHLTDPKLLASMTRAIADVAQTRSVLRTLGPRPDHETVDAARLKLCEIESDLSKQLEEIVLSPRPSDVDRLDWRSQLADKEQQCRRAAEKEKILYKTILQLEEMHQSYEKLLRAAEQRLVKIYEKAEMGEAEEEEVKKDKEEVDEQLNEEIVGILQEASGKELERVDLSNRRLLFLPEAFGKIHGLRVLNLSNNQLEVIPDSISGLENLEELNLASNILDALPDSIGLLHNLKILDASSNKLESLPDSISNCRSLVELDVSFNRLTYLPTNIGYELVNLKKLSIQLNRLRSFPTSIGEMRSLQHLDAHFNELQGLPLSIGRLTNLEILNLSNNFTDLKELPETLGDLTNLKELDLSNNQIQTLPDTFGRLDNLTKLNLEQNPLVIPPSEVVKEGIEAVKMFMAKRWLDILVEAERKSMVVVQEQGQTGWLTRSTSLLKKYVENVSENVSGLLSPRSPKDAYLDQQL >Manes.12G095300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:20683887:20685565:-1 gene:Manes.12G095300.v8.1 transcript:Manes.12G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSSTETRRLTKTQNPGAPPPEQEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGTRKNAKRSRTAATATMVGPITSTTSDNLPLPATPVLEPLLTNQGTSVQFGCGGGGGDGKGGGSSTMGGSTMCGSFTSLLNTQGPGFLALGGFGVGLGTGFEDMGFGLGRGVWPFPSVGDGGSVVGGHGGAGGGIGNTWQFESGDNGFVGGDCFSWPDLAISTPGNGLK >Manes.11G123500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28510117:28528792:1 gene:Manes.11G123500.v8.1 transcript:Manes.11G123500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFIFLRSKFVSSKSSVSSISLCNHLSNSTNSPDYNSRSSPSKSPAKYYGSTLQRQNLNGSPYFRCFTQNLTFLTSKIGCNWFLSSGYSPNCVSSDGNRSFIRSFSVEPERESIEYDVVIVGAGPAGLSAAIRLKQLCREKGADLSVCVVEKGAEVGAHIISGNVFEPRALDELLPQWKQEQAPISVPVSSDKFWFLTKDRAYSLPSPSDNKGNYVISLSQLVRWMGMKAEEFGVEIYPGFAASEILYGANNSVIGIGTNDMGIAKDCSKKENFQHGVELKGRVTLLAEGCRGSLSEKIIKKYQLREKVNAQHQTYALGIKEVWEIDESKHNPGAVLHTLGWPLDKKTYGGSFLYHMKDRQVSIGLVVALNYRNPYLSPYDEFQKLKHHPAVKPLLEGGTVIQYGARTLNEGGIQSIPYPVFPGGAIIGCSAGFINVPKIKGTHTAMKSGMLAAEAAFGALHEGSSLELYWETLRNSWIWEELYKARNYRPAFEHGLIPGMALSALEHYILKGKSPFTLKHGKPDHEATDIAQLHSPIEYPKPDGVFSFDVPTSLHRSNTNHEHDQPAHLRLRDPNIPELVNLPEYAGPESRYCPARVYEYLPNEESQLKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYSVM >Manes.15G178200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:22265572:22266498:-1 gene:Manes.15G178200.v8.1 transcript:Manes.15G178200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFTIFSFAAIVFISLLSHAALAKFNDKVSPPFDFLKNLQGCHKGDKFKGIHELKTYLEHFGYLHYKNQSHANGDDFDDLLESALKTYQLNYHLNVTGSLDSQTVSKMIMPRCGVPDIVNGTTRMDSSKKNHHHSSTILHTVSHYTFFRGNPKWPASKYSLTYGFLPRTPARAMDPVAKAFQTWAANTHFKFSRVQDYTTADIKIGFHRGNHGDRNSFDGRGGILAHAFAPQDGRFHYDADERWAVGAIQGAYDLETVALHEIGHLLGLGHSSVEGAIMFPSISSGTIKGLHNDDIQGIRTLYNV >Manes.16G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1163639:1165265:1 gene:Manes.16G011700.v8.1 transcript:Manes.16G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVSTYLLLIAAAMAASCLQSESAISKGSFEDNFSIMWSDEHFKTSEDGQIWYLSLDKETGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYMCTENGAGPTRDELDFEFLGNRTGQPYLIQTNVYKNGTGGREMRHMLWFDPTEEFHTYSILWNNHQILFFVDRVPIRVYKNNGEENNFFPNEKPMYLFSSIWNADEWATRGGLEKTDWKKAPFVSSYKDFSLDGCRWEDPYPACVSTTTKNWWDQYEAWHLSDSQKMDYAWVQRNLVIYDYCKDTERYPTLPVECSLSPWD >Manes.17G090000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29814539:29816121:-1 gene:Manes.17G090000.v8.1 transcript:Manes.17G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWMRAAGALKDQRSILLVSLSPRSAYRNPDLEAAIIKATNHNDSSIDYRNAQRVFAWIRASPVSMKPLIRALCCRMEKTQSWVVALKGLMLMHGIFCCKTPAVRRIGRLPFDLSHFNDGHSKTSKTWGFNAFIRSYYTFLDQRSTLLYFQRKQTEDTMVQEIVKLQKLQTLLDMLLRISPQATNMRESLILEAMDCVILEIFDVYSRICNSITKVLLGIYSAGKLEARMALEVLQKAVIQGEDLARHFEFCREFGVFNAMEVPTITQIPEEDITDLERIINGVPDKTQVNYDYVDDSKAIMVRENSSAIVEDKTPNRLKTIITDKWEVFYEERSEIYDYRTGNPPNSSLLPFLPVYKRELPDLISF >Manes.05G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1917472:1919868:1 gene:Manes.05G021500.v8.1 transcript:Manes.05G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMEKLKIFVVQEPVVAASCLIAGVGLFLPAVVRPILDSFETSKNVHQPTISDVVAGVRKKQA >Manes.01G235500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39813602:39817449:-1 gene:Manes.01G235500.v8.1 transcript:Manes.01G235500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSTSSSSSLESSNYPAFSSGSSSLYQQNRDLSTDLRLGLSISTSQQGNPSIPSEPDWPPIKPLLKKALAAEEDECKSATFFVKVYMEGIPIGRKLDLLAHDGYHDLIRTLDHMFNTSILWAEMDGDERSEQYHVLTYKDKEGDWLIVGDVPWEMFLSSVRRLKITSADI >Manes.01G235500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39813602:39817449:-1 gene:Manes.01G235500.v8.1 transcript:Manes.01G235500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSTSSSSSLESSNYPAFSSGSSSLYQQNRDLSTDLRLGLSISTSQQGNPSIPSEPDWPPIKPLLKKALAAEEDECKSATFFVKVYMEGIPIGRKLDLLAHDGYHDLIRTLDHMFNTSILWAEMDGDERSEQYHVLTYKDKEGDWLIVGDVPWEMFLSSVRRLKITSADI >Manes.11G056400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6886105:6887502:1 gene:Manes.11G056400.v8.1 transcript:Manes.11G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLGVAFGFLAVVLLQCAAAQTVHVVGDNIGWTIPTGGAQAYTNWANSKNFVVGDILTFQFATDSHDVLQVQKASFDACTTSNSIGDIITTGPVNITLDAAGDHFYICTFSQHCQLGQKLAITVSSSGGTPGVSPPSTTPRLSPPTTPSPTNTPAICPPDAPAGAPTSPLTPPGAMGPNVNTPPSESSSSKVLAGISASTLAVLMGLFF >Manes.14G019528.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1962120:1967172:-1 gene:Manes.14G019528.v8.1 transcript:Manes.14G019528.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSRVSQGSNSRAYGSRISTLLFSMFSTFASIYVAGRLWQDSENRVYLIKELDRITGQGQSAISVDDTLKIIACREQQKKLSALEMELATAKQEGFTSNFLTQNHGTNSKKRHLVVIGILTRFDRMNNRDAIRKAWMGTGVTLKKLEKEKGIVSRFVIGRSANRGDNLERAIENENKHTNDFIILDNHVEETEGLPNKAKLFFSYAVNKWDAEFYAKVNDNIYVNIDALGTTLAAQLDKPRVYIGCMKSGEVFSEPSHKWYEPDWWKFGDKKSYFRHASGEMYVISRALAKFISINRSLLRTYAHDDVSAGSWFIGLDVKHVDEGKFCCSSWLSGAICAGV >Manes.14G019528.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1962687:1967172:-1 gene:Manes.14G019528.v8.1 transcript:Manes.14G019528.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSRVSQGSNSRAYGSRISTLLFSMFSTFASIYVAGRLWQDSENRVYLIKELDRITGQGQSAISVDDTLKIIACREQQKKLSALEMELATAKQEGFTSNFLTQNHGTNSKKRHLVVIGILTRFDRMNNRDAIRKAWMGTGVTLKKLEKEKGIVSRFVIGRSANRGDNLERAIENENKHTNDFIILDNHVEETEGLPNKAKLFFSYAVNKWDAEFYAKVNDNIYVNIDALGTTLAAQLDKPRVYIGCMKSGEVFSEPSHKWYEPDWWKFGDKKSYFRHASGEMYVISRALAKFISINRSLLRTYAHDDVSAGSWFIGLDVKHVDEGKFCCSSWLSGAICAGV >Manes.13G143001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35683455:35684524:-1 gene:Manes.13G143001.v8.1 transcript:Manes.13G143001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKCLLPIRLLLVLSLVLSSIAVPATRSLKSAHEENPPSVHFLTQDAMGGEELIDLGEVYYINGRMELEHTDYQGAGANTHHDPKAPGRA >Manes.08G078911.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22488937:22489756:1 gene:Manes.08G078911.v8.1 transcript:Manes.08G078911.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADILGPEKNGYVRTYGPGKNVTEYFGARPTKIELLRQLDTSRREANERVQQIQKEASEQVNDVKKQMDEKLAEMNRIWEQKFKMLLEKNNNIASPMEDSQNDEIGG >Manes.17G000400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658532:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNITGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658533:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658533:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1659471:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658533:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKG >Manes.17G000400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1659496:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658532:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNITGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658533:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658533:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1656052:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658533:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKGEVLGINRFGIQKMEKSVLMLASFEKTADHLFHAAVNGRDDKIEGVSECIIMGIPMQLGTGILKVQQRVNPLPMLNYRFDPIIS >Manes.17G000400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1658533:1684845:-1 gene:Manes.17G000400.v8.1 transcript:Manes.17G000400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTRQKTQEILYTKQPYIENVGPRRIKSMQFCMMSGVEIIKAAECQVHLGVYYDSNRKPVQAGLLDTHMGPANKNSTCQTCGAGFHECPGHFGYLNLVLPVFNVGYISNILDILKCICKSCSHILLGDKLYKEQLRKMRNPKIDPLRKSDLMKMMVKKCSTMVSNKAVKCTRCGEMNGMVKKAGSALSIIHDRGKIIDGYVDECKSAISHTKEYRAPISPATYILNPARVLSLFERISEEDCEVLGLADRPEKLIITNVAVPPIPIRPSVIMDGSQSNENDLTERLKQIIQANASLRMELLEGRRSMNKYLDSWDGLQAAVALYVNSDVRVPNNVEVGKPLSGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSHHNIEKLKQCVSNGPHKYPGARMVRHPDGSSNVLTGTYRKRIAEELKVGCIVDRHLEDGDIVLFNRQPSLHRMSIMCHRARVMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDIVDLPTPAILKPIELWTGKQLFSVLLRPSANVRVFLNLIVKEKIYSKPKKGDTREKETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYKAHAAATCMNRLAKLSARFIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYQQCDKLIEEYNEGKLSLKPGCDAIQTLEAEITEKLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPIKSKTPGAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTADTGYMSRKLIKGLEDLSIQYDNTVRNASGCIVQFLYGDDGLDPAKMEGKGGFPLNLDRLFIKVKATSPAVEGDYLSPSDISIMVENLIVKYDTALGSICTEAFKKSLRSFLGDHVKKLESMMKLVGGVEEESSEDIEVGAVRGDTKNIEKVAHKIFGISKRQLEVFLRTCIDRYLWKRVEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKKISTPIITAELENNTNVNAARIVKSRIQKTTLGQVAKSIKIVMTSRSASVVVSLDMQTIQEAQLSLDANIVKESILRTPRIKIKPQNVKVLDIRKLEVIPPGDREKVHFELHALKNLLPRIVVKGIGTVERVVIAQKKHDGKATDRELPTYNMLVEGTGLQDVMGTEGVDGRKTTCNHVMEVHKYLGIEASRKCIIDEIKNVMEGHGMSIDIRHMMLLADLMTFKG >Manes.11G041450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4562609:4566143:1 gene:Manes.11G041450.v8.1 transcript:Manes.11G041450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGEDHRIETRDQNESGSSPEKTIGPKSYSYGELARVTGHFSLNNLIGRGGFGHVFKAFLDGEIRAIKRLDFPDVQSEGGLEREIMVVKSISHKNLVELVGYCIDGANRLLILNYFPNGSLRSKLHGSGDVLDWKKRMKIAIASAK >Manes.08G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34410656:34411154:1 gene:Manes.08G104200.v8.1 transcript:Manes.08G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYGVTLPLILLSLLLANVICHSEASVAQANGSATTNDMVPLMEPKKVVEMRLMVNESRRRLGSFQICALCTCCGGAKGICLPSPCCYAINCNIPNRPYGFCSFTPKTCNCFGCHI >Manes.08G004125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:786657:790478:1 gene:Manes.08G004125.v8.1 transcript:Manes.08G004125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNGYGYDSNFQQPPDGIFRFSSSASASSPSGKKTRISRKKSGITGRRLNFGAEDYKADKRSGGSEKSARRLAVCLKKKRTAKSVTSKCRSCSSKDSSLFGCGLGIGIMYMMSAEKAKISQLSNAMDEIAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRAGTGHNNDPKVIKVSGIPMIDDVECPSSGLIEEPEPQLLEMDQPEAELASELQKLPWSYPEASGHEGVEPNMDKNETFSGGLHKLEGQSNISFQCHGVLPSELDQKLSHLHIEQLENQIEELESKLHSAQSKLNEKEAELDCVKLLTEIFPSTVSDDEAVTFAEQE >Manes.02G030300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2443476:2453125:-1 gene:Manes.02G030300.v8.1 transcript:Manes.02G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASTSSVSEEQRQEQNQQVTVKEKECVHRTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLRNNLNLSPDISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLTTGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGAKSYNALMGQLVALETRKMECESKNNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPRSVPDHQILRKGDLEEEAELLRVLKLSEYEFPTSMGDALVADVSEGVLPTGSDEHTCAKGALPGNSVDMSEGHVGIDNGHGILSNDQNDLIYPRILPGEMACAAPKIDQRYPSETLPRELLCSSSKADQINPADQSTNKESRGPGSSNALIENCSVETSVQISGAPSLSGGKNIVSIDEECMDISRRGEVVENQSASITDVHEPADVSDGHDRAEVSGLSTPNLDSDSSSGRIQNVDVPEAFTSSIDGSEPIYEGEECILDAGTAVFEDREPMYEGEVILAEQADKTVSSNDEITPQEGELIRNFLKSNASQLTFYGLFCLQDGLKERELCVFFRNNHFGTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVESHANDTWDEHNAVASTADYIASIDTAQAGLENSDLQLAIALQQQEFEQQQQQQQPQRHNVQQHSVDGSSRLITGPQVPRTSGKYPSSSSKPEAKSKDKCIVM >Manes.02G030300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2445881:2453125:-1 gene:Manes.02G030300.v8.1 transcript:Manes.02G030300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASTSSVSEEQRQEQNQQVTVKEKECVHRTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLRNNLNLSPDISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLTTGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGAKSYNALMGQLVALETRKMECESKNNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPRSVPDHQILRKGDLEEEAELLRVLKLSEYEFPTSMGDALVADVSEGVLPTGSDEHTCAKGALPGNSVDMSEGHVGIDNGHGILSNDQNDLIYPRILPGEMACAAPKIDQRYPSETLPRELLCSSSKADQINPADQSTNKESRGPGSSNALIENCSVETSVQISGAPSLSGGKNIVSIDEECMDISRRGEVVENQSASITDVHEPADVSDGHDRAEVSGLSTPNLDSDSSSGRIQNVDVPEAFTSSIDGSEPIYEGEECILDAGTAVFEDREPMYEGEVILAEQADKTVSSNDEITPQEGELIRNFLKSNASQLTFYGLFCLQDGLKERELCVFFRNNHFGTMFKYDGELYLLATDQGYLNQPDLVWEKLNED >Manes.02G030300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2443529:2453125:-1 gene:Manes.02G030300.v8.1 transcript:Manes.02G030300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASTSSVSEEQRQEQNQQVTVKEKECVHRTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLRNNLNLSPDISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLTTGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGAKSYNALMGQLVALETRKMECESKNNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPRSVPDHQILRKGDLEEEAELLRVLKLSEYEFPTSMGDALVADVSEGVLPTGSDEHTCAKGALPGNSVDMSEGHVGIDNGHGILSNDQNDLIYPRILPGEMACAAPKIDQRYPSETLPRELLCSSSKADQINPADQSTNKESRGPGSSNALIENCSVETSVQISGAPSLSGGKNIVSIDEECMDISRRGEVVENQSASITDVHEPADVSDGHDRAEVSGLSTPNLDSDSSSGRIQNVDVPEAFTSSIDGSEPIYEGEECILDAGTAVFEDREPMYEGEVILAEQADKTVSSNDEITPQEGELIRNFLKSNASQLTFYGLFCLQDGLKERELCVFFRNNHFGTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVESHANDTWDEHNAVASTADYIASIDTAQAGLENSDLQLAIALQQQEFEQQQQQQQPQRHNVQQHSVDGSSRLITGPQVPRTSGKYPSSSSKPEAKSKDKCIVM >Manes.02G030300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2444883:2453125:-1 gene:Manes.02G030300.v8.1 transcript:Manes.02G030300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASTSSVSEEQRQEQNQQVTVKEKECVHRTKTIQFLGRTTPIVLQNDNGPCPLLAICNVLLLRNNLNLSPDISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLTTGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDPQDYDTANAIGAKSYNALMGQLVALETRKMECESKNNPEEDSVDFAAATTATLGVPSPCLSKARSFDDSPRSVPDHQILRKGDLEEEAELLRVLKLSEYEFPTSMGDALVADVSEGVLPTGSDEHTCAKGALPGNSVDMSEGHVGIDNGHGILSNDQNDLIYPRILPGEMACAAPKIDQRYPSETLPRELLCSSSKADQINPADQSTNKESRGPGSSNALIENCSVETSVQISGAPSLSGGKNIVSIDEECMDISRRGEVVENQSASITDVHEPADVSDGHDRAEVSGLSTPNLDSDSSSGRIQNVDVPEAFTSSIDGSEPIYEGEECILDAGTAVFEDREPMYEGEVILAEQADKTVSSNDEITPQEGELIRNFLKSNASQLTFYGLFCLQDGLKERELCVFFRNNHFGTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVESHANDTWDEHNAVASTADYIASIDTAQAGLENSDLQLAIALQQQEFEQQQQQQQPQRHNVQQHSVDGSSRLITGPQVGC >Manes.11G000700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:167547:168965:-1 gene:Manes.11G000700.v8.1 transcript:Manes.11G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLPPNPLVSLSLLLLVTSITAKTISYPGFQFLNVEEGITETKSKPFKTSQYQEPFKNQNDSLSDGKWKLQLVHRDKMSFNVSDLFHGRLHRDMRRVASLIRRLAGTASYTVDEFGAEVVSGMDQGSGEYFVRIGVGSPPRDQYVVIDSGSDIVWVQCQPCTQCYNQTDPVFDPADSASFTGVSCSSAVCDRVDNSGCHAGRCRYEVMYGDGSYTKGTLALETLTFGRTVVRNVAIGCGHVNRGMFVGAAGLLGLGGGSMSLVGQLGGQTGGAFSYCLVSRGTDSSGALEFGREAMPVGAAWIPLIRNPRASSFYYIGLSGLGVGGMKVAISEEIFQLNETGNGGVVMDTGTAVTRLPTVAYVAFRDAFVAQTGNLPRASGVSIFDTCYNLFGFVSVRVPTVSLYFSGGPILTLPARNFLIPVDDVGTFCFAFAASPSGLSIIGNIQQEGIQISFDGANGFVGFGPNVC >Manes.09G016800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3757896:3761596:-1 gene:Manes.09G016800.v8.1 transcript:Manes.09G016800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMSWRRKSWSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCIESKIDKAMEFFDDMVARGYQPDVRTFNVIINGMCKFGKTNMAIGLLKGMADRGCEPDVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDAITYTSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAQNIIKIMNQRGVEPNVVTYSSLMHGYCLGSQIDKARKLFDLMVTNEIADVFSYNILINGYCKCKMIDDAKQIFDEMSHKGLVPDAVTYHTLIKAMFQAGRPQTAKVLFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKDLFYSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATKELVVHLSRNNDLILRLLKVRNEGSAN >Manes.16G035200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4334856:4337193:-1 gene:Manes.16G035200.v8.1 transcript:Manes.16G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNTSLSLSGGGFFDKSGGSCGGCNGGYGVESIFSKKPKRQRVPKRGPGVAELEKILREQEKRPDFDEAKNKGFSLVSSLACSYQPKSPVLPPPNSLPKSVSFSPNPNHFALPTTMFYSNNSNSNPSPLGVGSGSGVALPAHALLPTTWNSCERPTVEVWDPRSASAVQSSTHLSNGSKNQLFPSPSLMQRSQQSSPSSITNLFPHPIVSSSTTSSSTAPSLGREPPSNQTSHHQWTALWPEEDKIVGAKRSRPFSIEMIPPVPTFRYQVPTFSPQVNRPEPSLACGSRSINNLEPCERTSTSREMKPRSSLEPNTKRSSRTDNEAEVGSFLLLGSPATPSSIQTQRESPTFSSFPFQESNVDSQLRPAQGGCFKKKPLYSFLLPRKQMSMVETSSASNNERLETRGDELDLSLRL >Manes.05G152901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26398637:26400112:-1 gene:Manes.05G152901.v8.1 transcript:Manes.05G152901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSNNTLRGTLPSSLSSLTRLEVLDLSVNQFVGEIPSGFGKLSSLNRLILNKNSLFRAIPSSLGHCLSLQLLDLSSNALSGMIPVELFDIEGLDIALNLSCNALTGTIPPQISALNKLSILDLSHNDSCFIYAGRDRTLCFLEFLVMRLEGLWRVLVRV >Manes.15G016900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1341538:1345625:-1 gene:Manes.15G016900.v8.1 transcript:Manes.15G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISWCRPSLSVGLLTLKIADHYPKLPCPSRSISFPSVSKRLVTGVTLPSSTSSSSSRANPFQVSASSTIGAPNEELEAASSGLIGESDLLIVGPGVLGRLVAEKWRQQEYPGSQVYGQTVTTDHHDDLIKLGINPSLKGTKLNHQFPYVIFCAPPSRTSDYPGDVREATLSWNGEGSFLFTSSSAPYDCNDNGPCNEDSPVVPSGRSPRTDVLLKAEKVVLESSGCVLRLAGLYKEDRGAHVYWLKKGTVDVRPDHILNLIHYEDAAALSVAILKKKLRGRIFLGCDNHPLSRQEVMDLVAKSGKFSKKFEGFTGTGDPLGKKLNNMKTREEVGWEPKYPSFADFLGVSD >Manes.11G120100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27933746:27936333:-1 gene:Manes.11G120100.v8.1 transcript:Manes.11G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETVSKIPQVNLSSESLKPGTSSWNSACKDIRQALEEYGCLEVVYSEPSVEFHNRILAALEELFQLPQEVKMKNVNPKPAHGYMGKISTFPIHEGLGIEYATSKDECQKFTTLMWPEGNDHFCETVHTYAKMVAGLQQLLVKMLCESYGIEKHSESHIKSTTYLLRLLRYRRSQAETNLGFKGHTDKSFVSILHQNQVKGLEIRTKDGEWIPYEPSSHSSFAVVAGDVCMAWSNDRIKSCYHRVIVEGEEVRYAVGLFSFLTGLIKAPEELVDDEHPLQYKPFEHQGLLDFYLSNNSSNKGDSNMVKAYAGV >Manes.03G060100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6368762:6375807:1 gene:Manes.03G060100.v8.1 transcript:Manes.03G060100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAILSPFLLLSNSPPRLSSSLRSLAGFRREYLRSSRLIRRNARCFTGFRCHCSVSLSEPAAPESSSSSVKKRIVSGVQPTGSIHLGNYLGAIKNWIALQNTYETLFFIVDLHAITLPYETQQLLRSTRETAAIYLACGVDTSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELTREIAERINYLYGGRRWKKLGGRGGAIFKVPEPLIPPAGARVMSLTDGLAKMSKSAPSDQSRINLLDSKDVIANKIKRCKTDSFSGLEFDNPERPECNNLLSVYQLISGKTKEEVKQECQDMNWGMFKPLLTDALIDHLHPIQVRYGEIISDSAYLDKVLEEGATKAAEIADATLNNAYQAMGFLRRR >Manes.03G060100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6368762:6375807:1 gene:Manes.03G060100.v8.1 transcript:Manes.03G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAILSPFLLLSNSPPRLSSSLSLAGFRREYLRSSRLIRRNARCFTGFRCHCSVSLSEPAAPESSSSSVKKRIVSGVQPTGSIHLGNYLGAIKNWIALQNTYETLFFIVDLHAITLPYETQQLLRSTRETAAIYLACGVDTSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELTREIAERINYLYGGRRWKKLGGRGGAIFKVPEPLIPPAGARVMSLTDGLAKMSKSAPSDQSRINLLDSKDVIANKIKRCKTDSFSGLEFDNPERPECNNLLSVYQLISGKTKEEVKQECQDMNWGMFKPLLTDALIDHLHPIQVRYGEIISDSAYLDKVLEEGATKAAEIADATLNNAYQAMGFLRRR >Manes.01G042600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8442790:8450546:-1 gene:Manes.01G042600.v8.1 transcript:Manes.01G042600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENAGAKNGASGQNFDSTVVSSDSKGGPNDLERSKPRNESLVNPKESNFQAQVYDQTVDGEREHRESATAAATLSSGKTNGIQMQNGFDISQQQMVAESAGYETIQIQRAKSNGLNDMSDLVEILSKLNPMAEEFVPPSLVSNHGYLGLGNGFGYTNNFAVQAAPGNANGPTTKRKKNNYNQGRRRVNSRTGMAQRDEVIRRTVYVSDIDQQVTEEQLAGLFVHCGQVVDCRICGDPNSVLRFAFIEFTDEDGARAALNLSGTVLGFYPLRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVRLFFESFCGEVHRLRLLGDYHHSTRIAFVEFTVAESAISALNCSGAVLGSLPIRVSPSKTPVRPRIPRAPLH >Manes.01G042600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8442790:8450546:-1 gene:Manes.01G042600.v8.1 transcript:Manes.01G042600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENAGAKNGASGQNFDSTVVSSDSKGGPNDLERSKPRNESLVNPKESNFQAQVYDQTVDGEREHRESATAAATLSSGKTNGIQMQNGFDISQQQMVAESAGYETIQIQRAKSNGLNDMSDLVEILSKLNPMAEEFVPPSLVSNHGYLGLGNGFGYTNNFAVQAAPGNANGPTTKRKKNNYNQGRRRVNSRTGMAQRDEVIRRTVYVSDIDQQVTEEQLAGLFVHCGQVVDCRICGDPNSVLRFAFIEFTDEDGARAALNLSGTVLGFYPLRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVRLFFESFCGEVHRLRLLGDYHHSTRIAFVEFTVAESAISALNCSGAVLGSLPIRVSPSKTPVRPRIPRAPLH >Manes.14G157966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:22116733:22117163:1 gene:Manes.14G157966.v8.1 transcript:Manes.14G157966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLDRHFRRHLRRPKVSSRDETRVGSAAPSAAEPSLQRRKSGTFGGRTLPSAAESLLSSHNSTFGGKVRRPNHASTGTFGGRNHLRRPNLSSSELSLCTSASKTFQNTPNLTYSLPSMHTHSHKQRKQGN >Manes.01G079500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28250230:28253548:-1 gene:Manes.01G079500.v8.1 transcript:Manes.01G079500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGCSADGNLNEAKFSEPLPWIGIYIAVASLACAIVMAADLIQGFRSRKLWFPSKYYCLNATSLTIIAVAIKFSVDLNTPMPRRIDQLAKLSSSTLICTLMVPTTKKYLEFKYKKKYQMAVEECTTECDRPVDKKLREDLMKYWMMAHTCSPQFVIGRSVTCTAAGALCLLSAMTLAEAMLRSYLMPWSFNFCNGESDYKWSTFLVLIIQTIATGVGTIAPAIRWFTAVNFRCPTIGKKSSRKEFKVEKYWTQFLVEMKECPFTIRIKNRQCRKLAHGAKDQILDLCIGMQVGIVLASKVIRFISVFFMSRILLFYIFCRRLMKCNSNNRSIDLASESQPSSKPDLSRFVLHLEGENELVELMMKNNRDATEHWIKKGKKKQPKHLIELLEKSSSGLHGVKDFDTDLVPSLDNEEPQNCWALPVVTLTAIALAIPNTNSFLRKQLMRSVHEGLMYVKLVEDNLDAESEMTHMRKGAYSVWLGVDLYHKWLDVDLNKMSFQAESTKEVLEGLADAAKNSSIEVQEERVRWAVFLLGKTEKILKLLDQKGLPSLGPDEMACINEWRAFQKTKDQFPFPPSSTENDTASSSSWDLHLTIE >Manes.01G079500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28250230:28253548:-1 gene:Manes.01G079500.v8.1 transcript:Manes.01G079500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGCSADGNLNEAKFSEPLPWIGIYIAVASLACAIVMAADLIQGFRSRKLWFPSKYYCLNATSLTIIAVAIKFSVDLNTPMPRRIDQLAKLSSSTLICTLMGNSMPSLGTMENKEIWMNIIALGILVITVIANICIQLGTGVIYLYWKEHALIMFLMIVLLVILSSSTLTVPTTKKYLEFKYKKKYQMAVEECTTECDRPVDKKLREDLMKYWMMAHTCSPQFVIGRSVTCTAAGALCLLSAMTLAEAMLRSYLMPWSFNFCNGESDYKWSTFLVLIIQTIATGVGTIAPAIRWFTAVNFRCPTIGKKSSRKEFKVEKYWTQFLVEMKECPFTIRIKNRQCRKLAHGAKDQILDLCIGMQVGIVLASKVIRFISVFFMSRILLFYIFCRRLMKCNSNNRSIDLASESQPSSKPDLSRFVLHLEGENELVELMMKNNRDATEHWIKKGKKKQPKHLIELLEKSSSGLHGVKDFDTDLVPSLDNEEPQNCWALPVVTLTAIALAIPNTNSFLRKQLMRSVHEGLMYVKLVEDNLDAESEMTHMRKGAYSVWLGVDLYHKWLDVDLNKMSFQAESTKEVLEGLADAAKNRYVGFKKTYVNQCLLKESPSKWPITVLAANSMYRISQTILQNDERSNMHSSEKLFEALTIMISDIIAASLTNLRRVIYLVCFSSSIEVQEERVRWAVFLLGKTEKILKLLDQKGLPSLGPDEMACINEWRAFQKTKDQFPFPPSSTENDTASSSSWDLHLTIE >Manes.01G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28250230:28253548:-1 gene:Manes.01G079500.v8.1 transcript:Manes.01G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGCSADGNLNEAKFSEPLPWIGIYIAVASLACAIVMAADLIQGFRSRKLWFPSKYYCLNATSLTIIAVAIKFSVDLNTPMPRRIDQLAKLSSSTLICTLMGNSMPSLGTMENKEIWMNIIALGILVITVIANICIQLGTGVIYLYWKEHALIMFLMIVLLVILSSSTLTVPTTKKYLEFKYKKKYQMAVEECTTECDRPVDKKLREDLMKYWMMAHTCSPQFVIGRSVTCTAAGALCLLSAMTLAEAMLRSYLMPWSFNFCNGESDYKWSTFLVLIIQTIATGVGTIAPAIRWFTAVNFRCPTIGKKSSRKEFKVEKYWTQFLVEMKECPFTIRIKNRQCRKLAHGAKDQILDLCIGMQVGIVLASKVIRFISVFFMSRILLFYIFCRRLMKCNSNNRSIDLASESQPSSKPDLSRFVLHLEGENELVELMMKNNRDATEHWIKKGKKKQPKHLIELLEKSSSGLHGVKDFDTDLVPSLDNEEPQNCWALPVVTLTAIALAIPNTNSFLRKQLMRSVHEGLMYVKLVEDNLDAESEMTHMRKGAYSVWLGVDLYHKWLDVDLNKMSFQAESTKEVLEGLADAAKNSSIEVQEERVRWAVFLLGKTEKILKLLDQKGLPSLGPDEMACINEWRAFQKTKDQFPFPPSSTENDTASSSSWDLHLTIE >Manes.10G088300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22548502:22550631:-1 gene:Manes.10G088300.v8.1 transcript:Manes.10G088300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSAFSPSTTPNFLIIPQHKLYSSCRRILAKPEGAETGVTEQELASSSSSSSSGSLSSTRLQLDLLDQLTSASPSSADTAGYESDGGFRKLTIREQLVQLVGERDEDEDFSIPLGKNLKKVSPKFLTISQKRNIRRQAYLDEVSQRNDSVFFATIGAFVILPPIIILGIAILTGYVQLFP >Manes.18G096600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8930618:8934877:1 gene:Manes.18G096600.v8.1 transcript:Manes.18G096600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTADSSLAPDGGEDKVWPPGFRFHPTDEELVLYYLKRKICKRRLRLDIISVVDVYKWDPEELPGQSLLKTGDRQWFFFSPRDRKYPNGARSNRATIQGYWKATGKDRNITYNSRNVGVKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKSCLNVQDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWADDKYEDVNNCDTPVKQPVTVMPPVDTVKAIAQLDQPLNALENFINQIAEEIPIHHPQINDYDYTNGLLQFAGEDETQSTLLDPSPREVILPEPISLSSTSVQQASLDFNQSATPAFQSLEIPQGKSGPHNTDEGPKLHEGDFLEVDDLSEINDLVNINDLVSQEPTLLHTEKSGETLGLDHFVGLSDFDVYQDAAMFLREMVPVGQDTVLHANTVGFQLQSRSLMNQVDYQLQPHSAVNNVDSLQPQAFGADQLWVDDQRSNIHTPTEPIHGTLSQPTPGVAYESTNNTGGDNGNQSGDATGWFSSSLWNFVDSIPTSPASACETPLVNKAFDQMSSFSRMKLNVKNNNTNTENLVGRNRAANSTRAGESSRGFLLLAIFGALCAILWILARTSLLKGAIFS >Manes.18G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8930688:8935767:1 gene:Manes.18G096600.v8.1 transcript:Manes.18G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTADSSLAPDGGEDKVWPPGFRFHPTDEELVLYYLKRKICKRRLRLDIISVVDVYKWDPEELPGQSLLKTGDRQWFFFSPRDRKYPNGARSNRATIQGYWKATGKDRNITYNSRNVGVKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKSCLNVQDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWADDKYEDVNNCDTPVKQPVTVMPPVDTVKAIAQLDQPLNALENFINQIAEEIPIHHPQINDYDYTNGLLQFAGEDETQSTLLDPSPREVILPEPISLSSTSVQQASLDFNQSATPAFQSLEIPQGKSGPHNTDEGPKLHEGDFLEVDDLSEINDLVNINDLVSQEPTLLHTEKSGETLGLDHFVGLSDFDVYQDAAMFLREMVPVGQDTVLHANTVGFQLQSRSLMNQVDYQLQPHSAVNNVDSLQPQAFGADQLWVDDQRSNIHTPTEPIHGTLSQPTPGVAYESTNNTGGDNGNQSGDATGWFSSSLWNFVDSIPTSPASACETPLVNKAFDQMSSFSRMKLNVKNNNTNTENLVGRNRAANSTRAGESSRGFLLLAIFGALCAILWILARTSLLKGAIFS >Manes.17G070600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27068431:27070020:-1 gene:Manes.17G070600.v8.1 transcript:Manes.17G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSRKAIKVVIIDTQYVVTDPLAFKSVVQSLTGKDSGISWTEDQSSFAGEKRKREAAIGPIGGVAADGKLAKGLSFKDLDRMILEMPPAEEWHQFWAQNNLL >Manes.05G205000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33164295:33168425:1 gene:Manes.05G205000.v8.1 transcript:Manes.05G205000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFFLLLVVLFAPSSASTSISDSVFQSHPSTSRNLLQAKKACPVNFEFMNYTVITSQCKAPKYPPDSCCKAFKDFACPYADILNDLTSDCADNMFTYIGLNGSYPSGLFASECREGKDGLACPATPPSQSANTSESQIICGPSLLLMLTSIFLVLLLTLVLKAPNVDSSLMFL >Manes.07G018400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2113368:2115080:-1 gene:Manes.07G018400.v8.1 transcript:Manes.07G018400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQLVFVPAPAMGHLVSAVETAKLLLSRCHSLSITVLIFNNSVVTSKVHNYVDSQIASSSNRLRFIYLPRDETGISSFSSLIEKQKPHVKESVMKITESGSSVESPRLVGFIVDMFCTAMIDVANEFGVPSYIFYTSGAAFLNFMLHVQKIHDEENFNPTEFNASDGELQVPGLVNSFPSKAMPTAILSKQWFPPLLENTRRYGEAKGVIINTFFELESHAIESFKDPPIYPVGPILDVRSNGRNTNQEIMQWLDDQPPSSVVFLCFGSNGSFSKDQVKEIACALENSGHRFLWSLRRPPAPGFLESPSDYEDLQEVLPEGFLERTSGIGKVIGWAPQVAVLAHPATGGFVSHSGWNSILESIWFGVPVATWPMYAEQQFNAFQMVIELGLAVEIKMDYRNDSGEIVKCDQIERGIRCLMKHDSDRRKKVKEMSEKSRGALMEGGSSYCWLDNLIKDMIK >Manes.02G168800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13296551:13301727:1 gene:Manes.02G168800.v8.1 transcript:Manes.02G168800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCFKKKALWLKSVVQMVSEDDRRNGLLNVIHRSISLSKNSKVLNESSTENNQILEQSLVKARPNSLGNIMKQGCSVCGTVAKSLDNSCKQSAEESSDDNGGDDKSLALVPVPKVEAPSCSVSSLIRQVPELKPGWPLLRRAFLPDRQSSDRSSVRQISVVQWAMRLPSRQFSSYISNLDHKHNGCDQAENQSGLDGESGAIVPIGTEKLTVPASPSHPKSLPKELEGLHEKYSATCRLFNYQELLAATSNFLAENLVGKGGSSQVYKGCLPDDKELAVKILKPSEDVLKEFVLEIEIITTLNHQNIISLLGFCFEEYNFLLVYDFLSRGSLEENLHGNRKDPLAFGWSERYKVAVGVAEALDYLHTKSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWAPTSSSHIICTDVAGTFGYLAPEYFMYGKVNNKIDVYAYGVVLLELLSGRKPISNDHPKGQESLVMWAKPILDDGKFSQLLDPGLGDDYDPDQMERMVLAATLCIKRSPRARPPMSLVVKLLQGEDEVTKWARLQVNTAEEPDMLDDEACPRSNLKSHLNLALLDVEDDSLSMSSIEQTISLEDYLQGRSSRSSSFD >Manes.02G168800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13296551:13301727:1 gene:Manes.02G168800.v8.1 transcript:Manes.02G168800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGQEEMAVSGGRTVMVGVKLDPQSRELLTWAMVKVAQPGDTVIALHVLGNNEIVDREGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSIRKVLVREAKSYSAAKVIVGAARSHHTIRSPTSVAKYCAKKLPKDCSVLAANNGKVMFQKEGSLAKIGGSNGAEDDRRNGLLNVIHRSISLSKNSKVLNESSTENNQILEQSLVKARPNSLGNIMKQGCSVCGTVAKSLDNSCKQSAEESSDDNGGDDKSLALVPVPKVEAPSCSVSSLIRQVPELKPGWPLLRRAFLPDRQSSDRSSVRQISVVQWAMRLPSRQFSSYISNLDHKHNGCDQAENQSGLDGESGAIVPIGTEKLTVPASPSHPKSLPKELEGLHEKYSATCRLFNYQELLAATSNFLAENLVGKGGSSQVYKGCLPDDKELAVKILKPSEDVLKEFVLEIEIITTLNHQNIISLLGFCFEEYNFLLVYDFLSRGSLEENLHGNRKDPLAFGWSERYKVAVGVAEALDYLHTKSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWAPTSSSHIICTDVAGTFGYLAPEYFMYGKVNNKIDVYAYGVVLLELLSGRKPISNDHPKGQESLVMWAKPILDDGKFSQLLDPGLGDDYDPDQMERMVLAATLCIKRSPRARPPMSLVVKLLQGEDEVTKWARLQVNTAEEPDMLDDEACPRSNLKSHLNLALLDVEDDSLSMSSIEQTISLEDYLQGRSSRSSSFD >Manes.02G168800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13296551:13301727:1 gene:Manes.02G168800.v8.1 transcript:Manes.02G168800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLGQEEMAVSGGRTVMVGVKLDPQSRELLTWAMVKVAQPGDTVIALHVLGNNEIVDREGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSIRKVLVREAKSYSAAKVIVGAARSHHTIRSPTSVAKYCAKKLPKDCSVLAANNGKVMFQKEGSLAKIGAEDDRRNGLLNVIHRSISLSKNSKVLNESSTENNQILEQSLVKARPNSLGNIMKQGCSVCGTVAKSLDNSCKQSAEESSDDNGGDDKSLALVPVPKVEAPSCSVSSLIRQVPELKPGWPLLRRAFLPDRQSSDRSSVRQISVVQWAMRLPSRQFSSYISNLDHKHNGCDQAENQSGLDGESGAIVPIGTEKLTVPASPSHPKSLPKELEGLHEKYSATCRLFNYQELLAATSNFLAENLVGKGGSSQVYKGCLPDDKELAVKILKPSEDVLKEFVLEIEIITTLNHQNIISLLGFCFEEYNFLLVYDFLSRGSLEENLHGNRKDPLAFGWSERYKVAVGVAEALDYLHTKSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWAPTSSSHIICTDVAGTFGYLAPEYFMYGKVNNKIDVYAYGVVLLELLSGRKPISNDHPKGQESLVMWAKPILDDGKFSQLLDPGLGDDYDPDQMERMVLAATLCIKRSPRARPPMSLVVKLLQGEDEVTKWARLQVNTAEEPDMLDDEACPRSNLKSHLNLALLDVEDDSLSMSSIEQTISLEDYLQGRSSRSSSFD >Manes.01G024600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5218169:5223291:1 gene:Manes.01G024600.v8.1 transcript:Manes.01G024600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQTAPVSPLPFYRANLGARRRQSLQRTLKPNSSLHFSTQIHQNHSSSLIDPTHQLSFLPEISNLSKTGSLSEALNLIRSNLHNDTSNSSQTKEAMGILLQACAHHNDIETGRKIHEIVSNSTQYSNDYVLNTRLITMYAACGSVLNSRLLFDNLQRKNLFQWNALVSGYTRNELYDDSINMFVELISDTEFEPDNFTFPCVIKACAGLLDARLGQVIHGMVIKMALVFDVFVGNALVAMYGKCGLVHEAVKVFDYMPVRNLVSWNSMICVFSENGFSQNSLNMLTEILMGEQGLVPDVATVVTLLPVCAREEELDLGMEIHGLAMKLGLSEDVKVNNALVDMYSKCGYLPEALLLFDKNNNKNVVSWNTMIGGFSMEGYIFESFNLLRKMQMHGEIEPNEVTVLNILPVCLEKSHLPSLKEIHGYSIRHEFQYDELVANAFVTAYAKCGMLSSAERVFYSMETKTVSSWNALMGGYAQNGDPKQALNLYIQMTYSGLEPDWFSIGSILLACAHLKSLRCGKEVHGFVLRNGLETDSFICVSLLSLYIHCGKSSSARVIFDGMEDKNLVSWNAMISGYSQNGLPDEALTLFRKLLSNGIQPCDIAILSVLGACAKLSALRLGKETHCYALKSLLMEDVFVSCSTIDMYAKCGCIEESRSVFDGLRDKDVASWNAIIAAYGVHGKGKEAIKLFERMKKVGQMPDSFTFIGILTACCHAGLVEEGLKYFREKQNSHGIEPKLEHYACVIDMLGRARRLDDALRLVDEMPEQPDSGIWSSLLSSCRNFGDLEMGEKIAEKLLELEPNKVENYVLLSNLYAGSGRWGDVRRVRQMIKDIGIQKDAGCSWTELEGKVYSFLVGDNFLPESEEIRLTWRSLEKQISKIGYKPNTDCVLHEVGDEEKMEILRGHSEKLAVSFGLLKTTKGTTLRIFKNLRICVDCHNATKLISKIVEREIIIRDNKRFHHFRDGLCSCGDHW >Manes.01G024600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5218168:5223291:1 gene:Manes.01G024600.v8.1 transcript:Manes.01G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQTAPVSPLPFYRANLGARRRQSLQRTLKPNSSLHFSTQIHQNHSSSLIDPTHQLSFLPEISNLSKTGSLSEALNLIRSNLHNDTSNSSQTKEAMGILLQACAHHNDIETGRKIHEIVSNSTQYSNDYVLNTRLITMYAACGSVLNSRLLFDNLQRKNLFQWNALVSGYTRNELYDDSINMFVELISDTEFEPDNFTFPCVIKACAGLLDARLGQVIHGMVIKMALVFDVFVGNALVAMYGKCGLVHEAVKVFDYMPVRNLVSWNSMICVFSENGFSQNSLNMLTEILMGEQGLVPDVATVVTLLPVCAREEELDLGMEIHGLAMKLGLSEDVKVNNALVDMYSKCGYLPEALLLFDKNNNKNVVSWNTMIGGFSMEGYIFESFNLLRKMQMHGEIEPNEVTVLNILPVCLEKSHLPSLKEIHGYSIRHEFQYDELVANAFVTAYAKCGMLSSAERVFYSMETKTVSSWNALMGGYAQNGDPKQALNLYIQMTYSGLEPDWFSIGSILLACAHLKSLRCGKEVHGFVLRNGLETDSFICVSLLSLYIHCGKSSSARVIFDGMEDKNLVSWNAMISGYSQNGLPDEALTLFRKLLSNGIQPCDIAILSVLGACAKLSALRLGKETHCYALKSLLMEDVFVSCSTIDMYAKCGCIEESRSVFDGLRDKDVASWNAIIAAYGVHGKGKEAIKLFERMKKVGQMPDSFTFIGILTACCHAGLVEEGLKYFREKQNSHGIEPKLEHYACVIDMLGRARRLDDALRLVDEMPEQPDSGIWSSLLSSCRNFGDLEMGEKIAEKLLELEPNKVENYVLLSNLYAGSGRWGDVRRVRQMIKDIGIQKDAGCSWTELEGKVYSFLVGDNFLPESEEIRLTWRSLEKQISKIGYKPNTDCVLHEVGDEEKMEILRGHSEKLAVSFGLLKTTKGTTLRIFKNLRICVDCHNATKLISKIVEREIIIRDNKRFHHFRDGLCSCGDHW >Manes.01G024600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5218191:5223291:1 gene:Manes.01G024600.v8.1 transcript:Manes.01G024600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSISVLQPFLPPFSNLKSVITSSHFREEISGNLHRLRPRHQVSLIFRFNSCHVVPSPEKKQIYRWFPAACWFSSLPATAILSSPSLLLLLPPPPCFSPQGPQHSATRLCPAACAGLLDARLGQVIHGMVIKMALVFDVFVGNALVAMYGKCGLVHEAVKVFDYMPVRNLVSWNSMICVFSENGFSQNSLNMLTEILMGEQGLVPDVATVVTLLPVCAREEELDLGMEIHGLAMKLGLSEDVKVNNALVDMYSKCGYLPEALLLFDKNNNKNVVSWNTMIGGFSMEGYIFESFNLLRKMQMHGEIEPNEVTVLNILPVCLEKSHLPSLKEIHGYSIRHEFQYDELVANAFVTAYAKCGMLSSAERVFYSMETKTVSSWNALMGGYAQNGDPKQALNLYIQMTYSGLEPDWFSIGSILLACAHLKSLRCGKEVHGFVLRNGLETDSFICVSLLSLYIHCGKSSSARVIFDGMEDKNLVSWNAMISGYSQNGLPDEALTLFRKLLSNGIQPCDIAILSVLGACAKLSALRLGKETHCYALKSLLMEDVFVSCSTIDMYAKCGCIEESRSVFDGLRDKDVASWNAIIAAYGVHGKGKEAIKLFERMKKVGQMPDSFTFIGILTACCHAGLVEEGLKYFREKQNSHGIEPKLEHYACVIDMLGRARRLDDALRLVDEMPEQPDSGIWSSLLSSCRNFGDLEMGEKIAEKLLELEPNKVENYVLLSNLYAGSGRWGDVRRVRQMIKDIGIQKDAGCSWTELEGKVYSFLVGDNFLPESEEIRLTWRSLEKQISKIGYKPNTDCVLHEVGDEEKMEILRGHSEKLAVSFGLLKTTKGTTLRIFKNLRICVDCHNATKLISKIVEREIIIRDNKRFHHFRDGLCSCGDHW >Manes.18G054500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4625293:4648639:-1 gene:Manes.18G054500.v8.1 transcript:Manes.18G054500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDQALSLLAAANTHSDLAVKLSSLKQAKDILLSVEPSSAAELFPYLAELQFSPESLVRKMLVEIIEEVGLKAMEHCSVLIPVLLAFMKDPDPLIARQSVVSLTRFFCGVLEEMALQFRRRGKVEQCLEELWLWMIKFKDAAFAIAMEPGSVGTRLLSLKFLETCVLLFTDDTNDSDKVVAEGNRRLFNVSWLVGGHPVLDPGALMSDADRTLGILLDFLVSPSHLPGSLTIAVVNCLAAIARKRTLHYGTVLSALLDFSPNFEALKVCHTASIQYSLRTAFLGFLRCTHPVIFESRDKLLRALRAMNAGDAADQVIRQVDKMIKNNERASRESRFSRDDQLSNQLPVSGDQLRKRSVSFDTEELANGHEISSKRIRYGPNISSTMPLQINDSEEEALSANGLSSNAPLLDSDLTPAEQMIAMIGALLAEGERGAESLGILISNIHPDLLADIVITNMKHLPKNPPPLSRPGNFPVVRQIGSISSPAPVVAPSAPTNSFSAIPTAHIPPSAINGLSLSDTSTVNNFPADAKRDPRRDPRRLDPRRTASSVGVPSIPVADDAGAMEPELDGSISLSKPFPLPVVSSVESPSPLPMPNSETDDKTLENPLVPESDQVSLKEEIFSKAEEVIPSSEIKTSSDHALPPLHTVDEDSVAPNLADVEVIYGAHTSSFMELDQHSPAVSSTSTPEETCQDLPQLPLYIELTEEQQQNVRKLAVERIVLSYKHLPGTDYSQTRMALLARLVAQIDADDDIVVMLQNHIVVDYQLQKGHELVLYVLYHLHSLMVLDSAGISSYASAVYEKFLLLVAKSLLDTFPASDKSFSRLLGEVPVLPESALKLLDDLCYGDVLDSRGKEVRDGERVTQGLGAVWGLILGRPNNRQACLDIALKCAVHSQDEIRAKAIRLVANKLYQLGYIADSIEQFATKMMMSAVDHHAADGEVSQSGSSDQREGEVGSQETSVSGSQVSDTGNGETNTTKSAQLVVQSVSTMSLSEAQRLISLFFALCTQKHALLQLVFDIYGRAPKTVKQAVHRNIPILIRAMGSSYSELLRIISDPPEGCENLLMLVLQKLTQEMMPSADLIATVKHLYETKLKDATILIPILSSLSKDEVLPIFPRLVGLPIEKFQMALAHILQGSAHTGPALTPAEVLVAIHDISPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMELLSKLVSRQVWKMPKLWVGFLKCVSQTRPHSFNVLLQLPPPLLESALNKHANLRSPLATYASQPSIKTSLPRSTQAVLGLLNDSQSQQPHITSLRSSDTSSSVQGANLT >Manes.18G054500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4625293:4648634:-1 gene:Manes.18G054500.v8.1 transcript:Manes.18G054500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDQALSLLAAANTHSDLAVKLSSLKQAKDILLSVEPSSAAELFPYLAELQFSPESLVRKMLVEIIEEVGLKAMEHCSVLIPVLLAFMKDPDPLIARQSVVSLTRFFCGVLEEMALQFRRRGKVEQCLEELWLWMIKFKDAAFAIAMEPGSVGTRLLSLKFLETCVLLFTDDTNDSDKVVAEGNRRLFNVSWLVGGHPVLDPGALMSDADRTLGILLDFLVSPSHLPGSLTIAVVNCLAAIARKRTLHYGTVLSALLDFSPNFEALKVCHTASIQYSLRTAFLGFLRCTHPVIFESRDKLLRALRAMNAGDAADQVIRQVDKMIKNNERASRESRFSRDDQLSNQLPVSGDQLRKRSVSFDTEELANGHEISSKRIRYGPNISSTMPLQINDSEEEALSANGLSSNAPLLDSDLTPAEQMIAMIGALLAEGERGAESLGILISNIHPDLLADIVITNMKHLPKNPPPLSRPGNFPVVRQIGSISSPAPVVAPSAPTNSFSAIPTAHIPPSAINGLSLSDTSTVNNFPADAKRDPRRDPRRLDPRRTASSVGVPSIPVADDAGAMEPELDGSISLSKPFPLPVVSSVESPSPLPMPNSETDDKTLENPLVPESDQVSLKEEIFSKAEEVIPSSEIKTSSDHALPPLHTVDEDSVAPNLADVEVIYGAHTSSFMELDQHSPAVSSTSTPEETCQDLPQLPLYIELTEEQQQNVRKLAVERIVLSYKHLPGTDYSQTRMALLARLVAQIDADDDIVVMLQNHIVVDYQLQKGHELVLYVLYHLHSLMVLDSAGISSYASAVYEKFLLLVAKSLLDTFPASDKSFSRLLGEVPVLPESALKLLDDLCYGDVLDSRGKEVRDGERVTQGLGAVWGLILGRPNNRQACLDIALKCAVHSQDEIRAKAIRLVANKLYQLGYIADSIEQFATKMMMSAVDHHAADGEVSQSGSSDQREGEVGSQETSVSGSQVSDTGNGETNTTKSAQLVVQSVSTMSLSEAQRLISLFFALCTQKHALLQLVFDIYGRAPKTVKQAVHRNIPILIRAMGSSYSELLRIISDPPEGCENLLMLVLQKLTQEMMPSADLIATVKHLYETKLKDATILIPILSSLSKDEVLPIFPRLVGLPIEKFQMALAHILQGSAHTGPALTPAEVLVAIHDISPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMELLSKLVSRQVWKMPKLWVGFLKCVSQTRPHSFNVLLQLPPPLLESALNKHANLRSPLATYASQPSIKTSLPRSTQAVLGLLNDSQSQQPHITSLRSSDTSSSVQGANLT >Manes.18G054500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4625293:4648634:-1 gene:Manes.18G054500.v8.1 transcript:Manes.18G054500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDQALSLLAAANTHSDLAVKLSSLKQAKDILLSVEPSSAAELFPYLAELQFSPESLVRKMLVEIIEEVGLKAMEHCSVLIPVLLAFMKDPDPLIARQSVVSLTRFFCGVLEEMALQFRRRGKVEQCLEELWLWMIKFKDAAFAIAMEPGSVGTRLLSLKFLETCVLLFTDDTNDSDKVVAEGNRRLFNVSWLVGGHPVLDPGALMSDADRTLGILLDFLVSPSHLPGSLTIAVVNCLAAIARKRTLHYGTVLSALLDFSPNFEALKVCHTASIQYSLRTAFLGFLRCTHPVIFESRDKLLRALRAMNAGDAADQVIRQVDKMIKNNERASRESRFSRDDQLSNQLPVSGDQLRKRSVSFDTEELANGHEISSKRIRYGPNISSTMPLQINDSEEEALSANGLSSNAPLLDSDLTPAEQMIAMIGALLAEGERGAESLGILISNIHPDLLADIVITNMKHLPKNPPPLSRPGNFPVVRQIGSISSPAPVVAPSAPTNSFSAIPTAHIPPSAINGLSLSDTSTVNNFPADAKRDPRRDPRRLDPRRTASSVGVPSIPVADDAGAMEPELDGSISLSKPFPLPVVSSVESPSPLPMPNSETDDKTLENPLVPESDQVSLKEEIFSKAEEVIPSSEIKTSSDHALPPLHTVDEDSVAPNLADVEVIYGAHTSSFMELDQHSPAVSSTSTPEETCQDLPQLPLYIELTEEQQQNVRKLAVERIVLSYKHLPGTDYSQTRMALLARLVAQIDADDDIVVMLQNHIVVDYQLQKGHELVLYVLYHLHSLMVLDSAGISSYASAVYEKFLLLVAKSLLDTFPASDKSFSRLLGEVPVLPESALKLLDDLCYGDVLDSRGKEVRDGERVTQGLGAVWGLILGRPNNRQACLDIALKCAVHSQDEIRAKAIRLVANKLYQLGYIADSIEQFATKMMMSAVDHHAADGEVSQSGSSDQREGEVGSQETSVSGSQVSDTGNGETNTTKSAQLVVQSVSTMSLSEAQRLISLFFALCTQKHALLQLVFDIYGRAPKTVKQAVHRNIPILIRAMGSSYSELLRIISDPPEGCENLLMLVLQKLTQEMMPSADLIATVKHLYETKLKDATILIPILSSLSKDEVLPIFPRLVGLPIEKFQMALAHILQGSAHTGPALTPAEVLVAIHDISPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMELLSKLVSRQVWKMPKLWVGFLKCVSQTRPHSFNVLLQLPPPLLESALNKHANLRSPLATYASQPSIKTSLPRSTQAVLGLLNDSQSQQPHITSLRSSDTSSSVQGANLT >Manes.18G054500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4625293:4648634:-1 gene:Manes.18G054500.v8.1 transcript:Manes.18G054500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDQALSLLAAANTHSDLAVKLSSLKQAKDILLSVEPSSAAELFPYLAELQFSPESLVRKMLVEIIEEVGLKAMEHCSVLIPVLLAFMKDPDPLIARQSVVSLTRFFCGVLEEMALQFRRRGKVEQCLEELWLWMIKFKDAAFAIAMEPGSVGTRLLSLKFLETCVLLFTDDTNDSDKVVAEGNRRLFNVSWLVGGHPVLDPGALMSDADRTLGILLDFLVSPSHLPGSLTIAVVNCLAAIARKRTLHYGTVLSALLDFSPNFEALKVCHTASIQYSLRTAFLGFLRCTHPVIFESRDKLLRALRAMNAGDAADQVIRQVDKMIKNNERASRESRFSRDDQLSNQLPVSGDQLRKRSVSFDTEELANGHEISSKRIRYGPNISSTMPLQINDSEEEALSANGLSSNAPLLDSDLTPAEQMIAMIGALLAEGERGAESLGILISNIHPDLLADIVITNMKHLPKNPPPLSRPGNFPVVRQIGSISSPAPVVAPSAPTNSFSAIPTAHIPPSAINGLSLSDTSTVNNFPADAKRDPRRDPRRLDPRRTASSVGVPSIPVADDAGAMEPELDGSISLSKPFPLPVVSSVESPSPLPMPNSETDDKTLENPLVPESDQVSLKEEIFSKAEEVIPSSEIKTSSDHALPPLHTVDEDSVAPNLADVEVIYGAHTSSFMELDQHSPAVSSTSTPEETCQDLPQLPLYIELTEEQQQNVRKLAVERIVLSYKHLPGTDYSQTRMALLARLVAQIDADDDIVVMLQNHIVVDYQLQKGHELVLYVLYHLHSLMVLDSAGISSYASAVYEKFLLLVAKSLLDTFPASDKSFSRLLGEVPVLPESALKLLDDLCYGDVLDSRGKEVRDGERVTQGLGAVWGLILGRPNNRQACLDIALKCAVHSQDEIRAKAIRLVANKLYQLGYIADSIEQFATKMMMSAVDHHAADGEVSQSGSSDQREGEVGSQETSVSGSQVSDTGNGETNTTKSAQLVVQSVSTMSLSEAQRLISLFFALCTQKHALLQLVFDIYGRAPKTVKQAVHRNIPILIRAMGSSYSELLRIISDPPEGCENLLMLVLQKLTQEMMPSADLIATVKHLYETKLKDATILIPILSSLSKDEVLPIFPRLVGLPIEKFQMALAHILQGSAHTGPALTPAEVLVAIHDISPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMELLSKLVSRQVWKMPKLWVGFLKCVSQTRPHSFNVLLQLPPPLLESALNKHANLRSPLATYASQPSIKTSLPRSTQAVLGLLNDSQSQQPHITSLRSSDTSSSVQGANLT >Manes.18G054500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4625293:4638920:-1 gene:Manes.18G054500.v8.1 transcript:Manes.18G054500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDAADQVIRQVDKMIKNNERASRESRFSRDDQLSNQLPVSGDQLRKRSVSFDTEELANGHEISSKRIRYGPNISSTMPLQINDSEEEALSANGLSSNAPLLDSDLTPAEQMIAMIGALLAEGERGAESLGILISNIHPDLLADIVITNMKHLPKNPPPLSRPGNFPVVRQIGSISSPAPVVAPSAPTNSFSAIPTAHIPPSAINGLSLSDTSTVNNFPADAKRDPRRDPRRLDPRRTASSVGVPSIPVADDAGAMEPELDGSISLSKPFPLPVVSSVESPSPLPMPNSETDDKTLENPLVPESDQVSLKEEIFSKAEEVIPSSEIKTSSDHALPPLHTVDEDSVAPNLADVEVIYGAHTSSFMELDQHSPAVSSTSTPEETCQDLPQLPLYIELTEEQQQNVRKLAVERIVLSYKHLPGTDYSQTRMALLARLVAQIDADDDIVVMLQNHIVVDYQLQKGHELVLYVLYHLHSLMVLDSAGISSYASAVYEKFLLLVAKSLLDTFPASDKSFSRLLGEVPVLPESALKLLDDLCYGDVLDSRGKEVRDGERVTQGLGAVWGLILGRPNNRQACLDIALKCAVHSQDEIRAKAIRLVANKLYQLGYIADSIEQFATKMMMSAVDHHAADGEVSQSGSSDQREGEVGSQETSVSGSQVSDTGNGETNTTKSAQLVVQSVSTMSLSEAQRLISLFFALCTQKHALLQLVFDIYGRAPKTVKQAVHRNIPILIRAMGSSYSELLRIISDPPEGCENLLMLVLQKLTQEMMPSADLIATVKHLYETKLKDATILIPILSSLSKDEVLPIFPRLVGLPIEKFQMALAHILQGSAHTGPALTPAEVLVAIHDISPEKDGLALKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMELLSKLVSRQVWKMPKLWVGFLKCVSQTRPHSFNVLLQLPPPLLESALNKHANLRSPLATYASQPSIKTSLPRSTQAVLGLLNDSQSQQPHITSLRSSDTSSSVQGANLT >Manes.05G087900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7939751:7946104:1 gene:Manes.05G087900.v8.1 transcript:Manes.05G087900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSCMNFLDLASGNLLEIPHTPRSIPRVMTVPGIISDLDGYGPYGSNDEDSEIASSICRERKIIVANMLPLQAKKDPGTAKWCFSWDEDSLFLQLKDGFSPETEVIYVGSLKADIDATDQEEVSQKLLEDFNCVPTFLPQDLQRKFYLGFCKQQLWPLFHYMLPMCPDHGDRFDRNLWQAYVSANKIFADKVMEIISPDEDYVWIHDYHLMLLPTFLRKGYNRVKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFQTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHVCRLESVMNLPYTSAKVKEIQEQFSGKKVILGIDDMDIFKGISLKLLAMEQLLQQHPDLQGKVVLVQIVNPARGSGKDVQEAKRETYLIAKRINDVYGSHEYEPVILIDRPVPRYEKTAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGTPYMDKAMGITSDSPRTSMLVVSEFVGCSPSLSGAIRVNPWDIDAVADALNLAITMRESEKQLRHEKHYRYVSTHDVAYWARSFMQDLERACQDHYNKRCWGIGFGLGFRVVSLSPSFRKLCIEHIVSAYKRTNRRAIFLDYDGTVVPQTSIVKSPSAEVISVLKTLCNDQNNTVFIVSGRGKNSLSEWLDPCERLGIAAEHGYFMRYNKTSDWETNPVTDNDLDWKNIVEPIMRSYTEATDGSSIEVKESALVWHHQDADPDFGSCQAKELLDHLENVLANEPAVVKRGQHIVEVKPQGISKGSVAEKVLLDMVNHGKPPDFVLCIGDDKSDEDMFGSILSTVSDPTLPVAPEIFACTVGQKPSKAKYYLDDAVDVVKLLQGLATASCPKPKHTEQVLVSFESAI >Manes.06G057400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15610331:15613393:1 gene:Manes.06G057400.v8.1 transcript:Manes.06G057400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSTMEKMEGEKGLGDQPERRDSYKIAYIIHFLLGAGNLLPWNAFITAVDYFGKLYPTKHVEKVFSVAYMTSSVVVLFLVMSRGGWCKKLTYRFRMNLGFSMFFLSSMVPPTIDWLGRPNGAYSVTVTSVVVCGLADGLIGGSLIGSAGKLPKEYMQAVFAGTASSGVLVSLLRIITKASLPQTPQGLRTSAHFYFIVSTIILMCCTLSCNFLYKLPVMEQHLSLLPNDDSLNSRPTFWAVARKIRWPAFGILIIYVVTLSIFPGFIAESLASKLLGDWYPVLLITVYNVSDFVGKSLTAIYVLKSIKKATWACILRLVFYPLFAACLDGPKWLRTEIPVMLLTFLLGASNGYLTSVIMILAPKSVPLLEAELSAIVLVVFLGLGLVAGSVLGWFWIV >Manes.06G057400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15610375:15613255:1 gene:Manes.06G057400.v8.1 transcript:Manes.06G057400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSTMEKMEGEKGLGDQPERRDSYKIAYIIHFLLGAGNLLPWNAFITAVDYFGKLYPTKHVEKVFSVAYMTSSVVVLFLVMSRGGWCKKLTYRFRMNLGFSMFFLSSMVPPTIDWLGRPNGAYSVTVTSVVVCGLADGLIGGSLIGSAGKLPKEYMQAVFAGTASSGVLVSLLRIITKASLPQTPQGLRTSAHFYFIVSTIILMCCTLSCNFLYKLPVMEQHLSLLPNDDSLNSRPTFWAVARKIRWPAFGILIIYVVTLSIFPGFIAESLASKLLGDWYPVLLITVYNVSDFVGKSLTAIYVLKSIKKATWACILRLVFYPLFAACLDGPKWLRTEIPVMLLTFLLGASNGYLTSVIMILAPKSVPLLEAELSAIVLVVFLGLGLVAGSVLGWFWIV >Manes.04G037300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5047467:5049589:-1 gene:Manes.04G037300.v8.1 transcript:Manes.04G037300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSYTTGKLFKFALGGIQLLYVNNLSIVREINLFTSFELGKPAYLQNDRGVLLGKGLNTANGDVWHHQRKTIAPQLYMHKVKDMVNLMVESGSMLVKAWAKIIDREGEGGMVDIVVDEHARIFTTYIASKIIFGSDHHNGIKVFSKCHELLKAMGETATLGIPLLRFLPIERNRKTWRLAKEIHGMIMDIAKERSGSTSHQDLLQAIIEGSKNGELGKLTEDEFIVDNCKNMIFGGYQSPAVAAIWGLMLLASHPEWQDRARFEVLEICKGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAMQNVKLGELKVPKGMDIWIWLPALHRNPEYWGADADVFNPERFTNGVTGACKSSQAYIPFGLGSRVCPGQNLALIELKVFFAVILSNFKLTISPKYRHSPTYGLLLEPEHGVNLLIQKI >Manes.06G020700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3668367:3676920:-1 gene:Manes.06G020700.v8.1 transcript:Manes.06G020700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAQTWHLDMGDMQILPGARHRPPLKRPIWIIVLVSMVSLFLVCAYVYPPQSSAACYVSSSGCKVLTHWLPPAPAKDYTDGEIASQIVVREILNAAYVPSKNAKIAFMFLSPGSLPFEKLWEKFFHGHEGRFSVYVHASKDKPVHVSRYFVNREIHSRQVVWGKISMVDAERRLLANALKDPENQHFVLLSDSCVPLHNFDYVYNYLMYTNISFVDCFYDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMKRQHAVIVVADSTYYYKFRQFCKPGLEGKNCIADEHYLPTFLHLADPLGIANWSVTHVDWSERKWHPKSYRAQDITYELLKNITSIDLSVHVTSDEKREVQIQPCLWNGIQRPCYLFARKFYPETIDNLLQLFSNYTTI >Manes.06G020700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3667702:3676954:-1 gene:Manes.06G020700.v8.1 transcript:Manes.06G020700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAQTWHLDMGDMQILPGARHRPPLKRPIWIIVLVSMVSLFLVCAYVYPPQSSAACYVSSSGCKVLTHWLPPAPAKDYTDGEIASQIVVREILNAAYVPSKNAKIAFMFLSPGSLPFEKLWEKFFHGHEGRFSVYVHASKDKPVHVSRYFVNREIHSRQVVWGKISMVDAERRLLANALKDPENQHFVLLSDSCVPLHNFDYVYNYLMYTNISFVDCFYDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMKRQHAVIVVADSTYYYKFRQFCKPGLEGKNCIADEHYLPTFLHLADPLGIANWSVTHVDWSERKWHPKSYRAQDITYELLKNITSIDLSVHVTSDEKREVQIQPCLWNGIQRPCYLFARKFYPETIDNLLQLFSNYTTI >Manes.06G020700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3667701:3676954:-1 gene:Manes.06G020700.v8.1 transcript:Manes.06G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAQTWHLDMGDMQILPGARHRPPLKRPIWIIVLVSMVSLFLVCAYVYPPQSSAACYVSSSGCKVLTHWLPPAPAKDYTDGEIASQIVVREILNAAYVPSKNAKIAFMFLSPGSLPFEKLWEKFFHGHEGRFSVYVHASKDKPVHVSRYFVNREIHSRQVVWGKISMVDAERRLLANALKDPENQHFVLLSDSCVPLHNFDYVYNYLMYTNISFVDCFYDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMKRQHAVIVVADSTYYYKFRQFCKPGLEGKNCIADEHYLPTFLHLADPLGIANWSVTHVDWSERKWHPKSYRAQDITYELLKNITSIDLSVHVTSDEKREVQIQPCLWNGIQRPCYLFARKFYPETIDNLLQLFSNYTTI >Manes.18G136500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22569190:22572898:1 gene:Manes.18G136500.v8.1 transcript:Manes.18G136500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEPDHDHREDDDAPAAEDEDTGAHVAPIVKLEEVAVNTGEENEDPILDLKSKLYRFDKDGNQWKERGAGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPSMSVQEHAGNDKSCVWHAADFADGELKDELFCIRFASVENCKTFMETFQEVAESQKPKEENKDATAAADALGKLSVEEKKTKETAGEKVPAAAEEAKKTDAEDKVKEPPSST >Manes.14G145200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13448814:13452275:1 gene:Manes.14G145200.v8.1 transcript:Manes.14G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDDNSGGGDSEIQTRAGSGVSTDWSDLTHECLINILSRLTLEHRWRGPMLVCKSWLSACKDPSLHCTFDLESQFDSSCESSRWWTPEFERKIDSMLLCVVGWSDGNLTEIRVRHCSDRSLNFVAERCPNLQVLSLKSSQNATDASMVQISINCTKLRELDISYCYEISHEFLMMIGRNCPNLKVLKRNLMNWLDPSQHVGIVPNEYLNACPQDGDSEATAIAHFMPHLEHLELRFSKLSAKGLASICEGCFNLEYLDLSGCANLTSRDIVNKTSGLKYLKEIKKPNFYIPRSVFHTERYGHWRLYDERFQTDVFRI >Manes.07G036600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4075739:4110549:-1 gene:Manes.07G036600.v8.1 transcript:Manes.07G036600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYASGSTSLDGRDEDDEEEYEEVGRGNGLLGFMFGNVDNSGDLDVDYLDEDAKEHLGALADKLGQSLTDINLSIKSSQSSADAAEQDYDEKAEDAVDYEDFDEQYEGPEIQAASEEDYLLPKKEYFSSQVPLATLEPETSVFDDENYDEEEETEKEHVVLDKDLEVEAQQDVLVIPGEERSPHDGTQLDSMDTENLDADLEEEKSDALTGPPDVQTSTPLPVLCVEDGMVILRFSEIFGIHEPLKKGEKRDHRYSIFKEKYKCMNVSDIVEEDEEAFLKGSSKVFQLQSHVKQYDMSVFNDGVSESGNFGIMQGSIQNEEHQSSCISAEPMNKDLSVNLFTGKQSPLCSNFYPLDQQDWEDKILWDSSPAVSDNSVESCDLSGPDLGDPFLKETERVSKPQNFHPELAVQPDEKDHKFFLHSSPVLLESFGYGLSSGPSDIPLSEGRCHPQLLRLETQLEGEKCHDVDGREESNTMEIFQSDSVRRFSKLTLQNRDMMEGSWLDDIIWEPNKTSVKPKLILDLQDEQMLFEVLDNKDSKHLQLHAGAMIITRPQKPRVSSELSGHGYESGWQFNIANDKFYMNRKISQQLPSNSSKRSAYGTRIHHSAPAIKLQMMKLKLSNKDLAHFHRPKALWYPHDNEVAVKEQKKLPTQGPMKIILKSLGGKGSKLHVDAEETVSSVKAKASKKLDFKPSEMVKIFYLGKELEDHKSLAAQNVQPNSLLHLVRTKIHLLPRAQRIPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNFGMGANLCTYYQKSSPSDQTGIMLRSGNSSLGNVKILEPTDKSPFVGDIKAGCSQSSLETNMYKAPVFPHKVATTDFLLVRSAKGKLSLRRIDRIAVVGQQEPLMEVLSPASKNLQAYIINRLLVYVYREFRAAEKRGTVPWIRADELSSQFPSLSETILRKKLKECAVLRKDANGHLFWSKKRDFIIPSEEELKKLVLPENVCAYESMQAGLYRLKHLGITRLILPTSISSAMSQLPDEAIALAAASHIERELQITPWSLSSNFVACTSQDRENIERLEITGVGDPSGRGLGFSYVRAAPKAPVSNAMVKKKAAAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPEEQIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAVDGDENESDSEANSDLDSFAGDLENLLDAEECEGDVGNYESKHDKADGVKGLKMRRRPSQVQAEEEIEDEAAEAAELCRLLMDDEEAEQKKKKKAKTAGIEAGLAFGSKSNFVDNAERIKKIKKVHPNGSYIPKESSNRDLKEMDTLFGKRKMFDKVKATKKNGTPDSGMPRLKAKVKILGEGLKIFKEKKSSRESFVCGACGQLGHMRTNKNCPRYGEEPDTQVEITEIEKASAKSSSLDPSSKSQQKLPKKKSIPETTTKTEATEGEKSSSKSKLLPVKFKCGSTEKFSDKPADGAAQSSDRPITSDARPDSSDVETASKPISKVNKIIISNKAKPDDIQVESHKPSIVIRPPLDTDRGQIEPHKPSIVIRPPISTERTQVEAHKPSIVIRPPAAKDRDQSHKKIVIKQPKEIIDVDQGSQDGSTGFEYRKIKKIAELSGIENPRKPRTSTLPGESAKKKAREGKRWWEEEEKRRITERFREERHRRIYGEEIRVEEQGLAELRRYEEAVRREREEEELQKAKKKKKKKKKPEFSDDYLEDFRASRRMPERDRGAKRRPAIELGRYGAEHAPATKRRRGGEVGLANILERVVDTLKDRLDVSYLFLKPVSKKEAPDYLDIIKRPMDLSTIREKVRKMEYRHREEFRHDVWQITYNAHLYNDGRNPGIPPLADQLLELCDYLLKEHNYSLSEAEAGIESREH >Manes.07G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4075999:4110524:-1 gene:Manes.07G036600.v8.1 transcript:Manes.07G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYASGSTSLDGRDEDDEEEYEEVGRGNGLLGFMFGNVDNSGDLDVDYLDEDAKEHLGALADKLGQSLTDINLSIKSSQSSADAAEQDYDEKAEDAVDYEDFDEQYEGPEIQAASEEDYLLPKKEYFSSQVPLATLEPETSVFDDENYDEEEETEKEHVVLDKDLEVEAQQDVLVIPGEERSPHDGTQLDSMDTENLDADLEEEKSDALTGPPDVQTSTPLPVLCVEDGMVILRFSEIFGIHEPLKKGEKRDHRYSIFKEKYKCMNVSDIVEEDEEAFLKGSSKVFQLQSHVKQYDMSVFNDGVSESGNFGIMQGSIQNEEHQSSCISAEPMNKDLSVNLFTGKQSPLCSNFYPLDQQDWEDKILWDSSPAVSDNSVESCDLSGPDLGDPFLKETERVSKPQNFHPELAVQPDEKDHKFFLHSSPVLLESFGYGLSSGPSDIPLSEGRCHPQLLRLETQLEGEKCHDVDGREESNTMEIFQSDSVRRFSKLTLQNRDMMEGSWLDDIIWEPNKTSVKPKLILDLQDEQMLFEVLDNKDSKHLQLHAGAMIITRPQKPRVSSELSGHGYESGWQFNIANDKFYMNRKISQQLPSNSSKRSAYGTRIHHSAPAIKLQMMKLKLSNKDLAHFHRPKALWYPHDNEVAVKEQKKLPTQGPMKIILKSLGGKGSKLHVDAEETVSSVKAKASKKLGDFKPSEMVKIFYLGKELEDHKSLAAQNVQPNSLLHLVRTKIHLLPRAQRIPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLLLSNFGMGANLCTYYQKSSPSDQTGIMLRSGNSSLGNVKILEPTDKSPFVGDIKAGCSQSSLETNMYKAPVFPHKVATTDFLLVRSAKGKLSLRRIDRIAVVGQQEPLMEVLSPASKNLQAYIINRLLVYVYREFRAAEKRGTVPWIRADELSSQFPSLSETILRKKLKECAVLRKDANGHLFWSKKRDFIIPSEEELKKLVLPENVCAYESMQAGLYRLKHLGITRLILPTSISSAMSQLPDEAIALAAASHIERELQITPWSLSSNFVACTSQDRENIERLEITGVGDPSGRGLGFSYVRAAPKAPVSNAMVKKKAAAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPEEQIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQSLSAVDGDENESDSEANSDLDSFAGDLENLLDAEECEGDVGNYESKHDKADGVKGLKMRRRPSQVQAEEEIEDEAAEAAELCRLLMDDEEAEQKKKKKAKTAGIEAGLAFGSKSNFVDNAERIKKIKKVHPNGSYIPKESSNRDLKEMDTLFGKRKMFDKVKATKKNGTPDSGMPRLKAKVKILGEGLKIFKEKKSSRESFVCGACGQLGHMRTNKNCPRYGEEPDTQVEITEIEKASAKSSSLDPSSKSQQKLPKKKSIPETTTKTEATEGEKSSSKSKLLPVKFKCGSTEKFSDKPADGAAQSSDRPITSDARPDSSDVETASKPISKVNKIIISNKAKPDDIQVESHKPSIVIRPPLDTDRGQIEPHKPSIVIRPPISTERTQVEAHKPSIVIRPPAAKDRDQSHKKIVIKQPKEIIDVDQGSQDGSTGFEYRKIKKIAELSGIENPRKPRTSTLPGESAKKKAREGKRWWEEEEKRRITERFREERHRRIYGEEIRVEEQGLAELRRYEEAVRREREEEELQKAKKKKKKKKKPEFSDDYLEDFRASRRMPERDRGAKRRPAIELGRYGAEHAPATKRRRGGEVGLANILERVVDTLKDRLDVSYLFLKPVSKKEAPDYLDIIKRPMDLSTIREKVRKMEYRHREEFRHDVWQITYNAHLYNDGRNPGIPPLADQLLELCDYLLKEHNYSLSEAEAGIESREH >Manes.04G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34601300:34610334:-1 gene:Manes.04G149500.v8.1 transcript:Manes.04G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMETYCSVGKPDPNSSYLQKFRLYETRSNFYMIGRDKNRTFWRVLKIDRLEPSELYILEDSTIYSESECCDLLRRIHEGNKSSGGLRFVTTCYGIVGFIKFLGPYYMLLITKRRKIGSICSHAVYAITKSEMIPIPNSSVLSSMTNSKNENRYKKLLCTVDLTRDFFFSYSYNVMHCLQKNLYNEIGKAHYETMFVWNDFLTQGIRNNLKNTLWTVALVYGFFKQVKLSASGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGYPVQISSVVQHRGSIPLFWSQETSRLNIKPDIRLLKKDQNYEATRLHFENLVKRYGNPIIILNLIKTHERKPRESILRSEFANAIRYLNKGLPEDKRLKFLHWDLHRNFRKATNVLSLLGKVAAYALNLTGIFYCQVTPNLSPMGLYSSYSQKNDDECPLKNTSNENELGRDLDLGIGNVDCQANENQSIKAPMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGRQLHALGFIESPIIDLDNPLAEDLMGIYETMGDTLAQQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQEGKPALWELDSDQHHKVGGRGPDLVDEDARSFIKRSLSDGNLLCESDSLVAVSKVGHNKPFSKEQGVNKGLSDSTPEISTCDSDISYSRYIPSLPPGQLFKDVGEDEYMESDHICYEEHGDACSCSNFLDLDWLSSSGNSCEEDLFDRSTAGLSSEYLMNELKSETSVSESGSSVKGRNQTANELSSEELMGGFSERFQHWVTHGETLFV >Manes.04G149500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34601300:34607784:-1 gene:Manes.04G149500.v8.1 transcript:Manes.04G149500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLQKNLYNEIGKAHYETMFVWNDFLTQGIRNNLKNTLWTVALVYGFFKQVKLSASGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGYPVQISSVVQHRGSIPLFWSQETSRLNIKPDIRLLKKDQNYEATRLHFENLVKRYGNPIIILNLIKTHERKPRESILRSEFANAIRYLNKGLPEDKRLKFLHWDLHRNFRKATNVLSLLGKVAAYALNLTGIFYCQVTPNLSPMGLYSSYSQKNDDECPLKNTSNENELGRDLDLGIGNVDCQANENQSIKAPMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGRQLHALGFIESPIIDLDNPLAEDLMGIYETMGDTLAQQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQEGKPALWELDSDQHHKVGGRGPDLVDEDARSFIKRSLSDGNLLCESDSLVAVSKVGHNKPFSKEQGVNKGLSDSTPEISTCDSDISYSRYIPSLPPGQLFKDVGEDEYMESDHICYEEHGDACSCSNFLDLDWLSSSGNSCEEDLFDRSTAGLSSEYLMNELKSETSVSESGSSVKGRNQTANELSSEELMGGFSERFQHWVTHGETLFV >Manes.16G090000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29654406:29659424:-1 gene:Manes.16G090000.v8.1 transcript:Manes.16G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEYIDHYFSSTSWADGDVKESSCWGYVETGQPNLLLPASIRLYENDKGNPPLSMISSKPSVEKLPITLGVESNYAVDKCLISGNTLLQNVSQSCRDKASPTMNCSLQYDTALPTLASFNLTYPNQLPVVDELTSSPSLAKAGIVHNGIDSERLEYHRSFKEMQNLSMARLRPSASNEGVLSMSALMGQDSLQQLGLQEGNLDDETDFMRKRYVSMDRILQLDKLSATSTIEDKVDLQSYPFSPFTDRPNIKITTDEVLFPSQTTSASPSNGCNRTLKPRVRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSSKIDKASMLDEIIEYVKFLQLQVKVLSMSRVGAAAGAIIPLIADVQAEGSNRHSLGPSVGLGIDISPSPDQIAFEQEVLKLMESNLTTAIQYLQSKGLCLMPIHLATAISSGKKAELSCNGEEEQNFGYANNLVHSNSSSHSSNCSFSGTETHQMSYEDNIMSEQHSSKGNIANEYNGMIDKQEKAKMNNLYQ >Manes.07G093100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28931790:28935709:-1 gene:Manes.07G093100.v8.1 transcript:Manes.07G093100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARMTPLSLTPTFSLLLHRHRRLVHRSLIDLPFSYSSPHCFSPKPTSVLHFHFLYRPPHATTTRSSSPSFTPVAAAGGALLQDAGATAAVLAGAYCLVLAFETLTEREVIKQNLSRKLVHISSGLLFAISWPIFRELLRGPLYYVLILIFCSLVFWRESPVGVITLAMMCAGDGVADIMGRRFGSVKLPYNQQKSWVGSISMFIFGFLISIGMLYYYSALGYFQLDWMSAIQRVAFVALLSTVVESLPTTETVDDNISVPLTSMVAAYLSFGF >Manes.07G093100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28931790:28935709:-1 gene:Manes.07G093100.v8.1 transcript:Manes.07G093100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARMTPLSLTPTFSLLLHRHRRLVHSSSPSFTPVAAAGGALLQDAGATAAVLAGAYCLVLAFETLTEREVIKQNLSRKLVHISSGLLFAISWPIFSTSKEARYFASLVPLVNCLRLVIYGLSLAPDDGLIKSVTREGNPEELLRGPLYYVLILIFCSLVFWRESPVGVITLAMMCAGDGVADIMGRRFGSVKLPYNQQKSWDAVLLLSFGIFSVGLDVSNSKGCFCCFTLDSSGVPSNY >Manes.07G093100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28933944:28935709:-1 gene:Manes.07G093100.v8.1 transcript:Manes.07G093100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARMTPLSLTPTFSLLLHRHRRLVHSSSPSFTPVAAAGGALLQDAGATAAVLAGAYCLVLAFETLTEREVIKQNLSRKLVHISSGLLFAISWPIFSTSKEARYFASLVPLVNCLRLVIYGLSLAPDDGLIKSVTREGNPEELLRGPLYYVLILIFCSLVFWRESPVGVITLAMMCAGDGVADIMGRRFGSVKLPYNQQKSWVGSISMFIFGFLISIGYV >Manes.07G093100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28931790:28935709:-1 gene:Manes.07G093100.v8.1 transcript:Manes.07G093100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARMTPLSLTPTFSLLLHRHRRLVHRSLIDLPFSYSSPHCFSPKPTSVLHFHFLYRPPHATTTRSSSPSFTPVAAAGGALLQDAGATAAVLAGAYCLVLAFETLTEREVIKQNLSRKLVHISSGLLFAISWPIFSTSKEARYFASLVPLVNCLRLVIYGLSLAPDDGLIKSVTREGNPEELLRGPLYYVLILIFCSLVFWRESPVGVITLAMMCAGDGVADIMGRRFGSVKLPYNQQKSWDAVLLLSFGIFSVGLDVSNSKGCFCCFTLDSSGVPSNY >Manes.07G093100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28931790:28935709:-1 gene:Manes.07G093100.v8.1 transcript:Manes.07G093100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARMTPLSLTPTFSLLLHRHRRLVHSSSPSFTPVAAAGGALLQDAGATAAVLAGAYCLVLAFETLTEREVIKQNLSRKLVHISSGLLFAISWPIFSTSKEARYFASLVPLVNCLRLVIYGLSLAPDDGLIKSVTREGNPEELLRGPLYYVLILIFCSLVFWRESPVGVITLAMMCAGDGVADIMGRRFGSVKLPYNQQKSWVGSISMFIFGFLISIGMLYYYSALGYFQLDWMSAIQRVAFVALLSTVVESLPTTETVDDNISVPLTSMVAAYLSFGF >Manes.07G093100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28933937:28935709:-1 gene:Manes.07G093100.v8.1 transcript:Manes.07G093100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARMTPLSLTPTFSLLLHRHRRLVHRSLIDLPFSYSSPHCFSPKPTSVLHFHFLYRPPHATTTRSSSPSFTPVAAAGGALLQDAGATAAVLAGAYCLVLAFETLTEREVIKQNLSRKLVHISSGLLFAISWPIFSTSKEARYFASLVPLVNCLRLVIYGLSLAPDDGLIKSVTREGNPEELLRGPLYYVLILIFCSLVFWRESPVGVITLAMMCAGDGVADIMGRRFGSVKLPYNQQKSWVGSISMFIFGFLISIGYV >Manes.07G093100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28931790:28935709:-1 gene:Manes.07G093100.v8.1 transcript:Manes.07G093100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARMTPLSLTPTFSLLLHRHRRLVHRSLIDLPFSYSSPHCFSPKPTSVLHFHFLYRPPHATTTRSSSPSFTPVAAAGGALLQDAGATAAVLAGAYCLVLAFETLTEREVIKQNLSRKLVHISSGLLFAISWPIFSTSKEARYFASLVPLVNCLRLVIYGLSLAPDDGLIKSVTREGNPEELLRGPLYYVLILIFCSLVFWRESPVGVITLAMMCAGDGVADIMGRRFGSVKLPYNQQKSWVGSISMFIFGFLISIGMLYYYSALGYFQLDWMSAIQRVAFVALLSTVVESLPTTETVDDNISVPLTSMVAAYLSFGF >Manes.09G118475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32139628:32139840:-1 gene:Manes.09G118475.v8.1 transcript:Manes.09G118475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHHHHHHHGDSPYDDPFLACCCCPCFLVCSIFRGIGGCLYAACYPFLRCFGLDEIGHHHHHHHHHCH >Manes.04G044100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6304203:6305228:-1 gene:Manes.04G044100.v8.1 transcript:Manes.04G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAIQRPSAATRSDSPLDSSNPRASTAGTNWSNFKRAEFKLVCPFNIPSSPEAAALRIIKNLSHFALYYTHFVWIILFISLIPKRKLSLILLVIMTYIGSLFLLLLRAVPSSNIVHKILDKRVVLPLIFVATVVQLVVTDAGLHLLVTLAGSLPFVLVHAVLWVREDFSVEEEGYVGGGRGSGGDGASGELLPLVQESSSMV >Manes.03G046300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4087306:4088702:1 gene:Manes.03G046300.v8.1 transcript:Manes.03G046300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTLSSLDAIPSHSKISHVCFSILNFQKIPNQTFKESMAILSKIFLIAMLALLLSALSTYAAAPEAAPAPAPAPASSAGVISPSFGFACVSAAAVLLFGYILKI >Manes.05G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8665397:8668772:1 gene:Manes.05G094600.v8.1 transcript:Manes.05G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIASSPCASLAFRPTKLIHSSLSQAPKLSCPLQCFRFLHLTAPYKDPTITVCRGKLDGSAGEDPDEIGGEVYFDDNDLIEDESDDDETESSIDLFIRFFQSMFKKISKRAKKASRSILPSVISPQLVSFAVDGVLLLASLSIVKAFLEVVCTLGSTVFAVILLLRVIWAAISYFQSNGTTFNRGGSSFGGTQPVA >Manes.10G102850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25083983:25087150:-1 gene:Manes.10G102850.v8.1 transcript:Manes.10G102850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDISNNQESGEEEKMSKSLKKFLLILNGAMLAIGNCGGPLVLRLYFLKGGKSVWTSSFLQTAGWPFIIFPLIISYSYRRKKKGSRTELFYISPHLFLACAVIGVLTGLDDFLSAYGVSLLPVSTSALIIATQLGFTAGFAYILVKQKFTHFTVNAIFLLSIGAVILVLHASSDRPAHETNKQYFIGVFMTLGASALYGFVLPLIELTYKKTKQTITYTLVMEMQMVLSFFATAFCTIGMLLHKDFVAIPREAREFELGRAKYYLVLVFTAVFWQCSFMGAIGVVFCHSSLLSGIIIATLLPVTETLAVLFYHEKFRVEKGISLGLSLWGFISYFYGELQQNKRLRIRLQN >Manes.05G181200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29805556:29817017:1 gene:Manes.05G181200.v8.1 transcript:Manes.05G181200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQNTAVINRGEDSNGIAFETAKACIFGLADICHTASLVAPTSSVIRGICSAVFQNVLSFFMSSFEGKGAFQIVDKEILKMLGSAEVFSELKQKFSDEDISSTVKLFKFRVLSMFWIFFSCSKNLLAACFDLLKSAGSDHIREAQCFLSQVTSSLDDNFVPPLSDKAHDRPASSKSCKKTSVTGNEVSDEDLLSDGNRVSADSHSVQRNCLLQLVLGNSVSLRSWMFSKYKKQCNMPSFVAVSEIRSTLEEIFESYTELSKLEDTQMDSDEDNLDASQFINRQYVVSRISNQHQISGELSSKDGTSHDNGGSRSMDFEMSDPADASHGRSSLPRDLQNQQMLSPVTRTIIDSRNNSFEGGNHNVHIDKNTTSNVDFSSPIARSASGAIDNAFASPKHHLGTPYGSMTQTVWFCDGDPAAMDIFSASRQLWLGSVGPEASEAHLRFQLERFGPIEQFFFFPAKGFALVEYRSIIDAIRAREYMRCHFPWQIKFMDIGLGTRGAMNGVAVGSSCHVYVGNISSQWARDEILHESRKVLYKGPYMFTDLSNEGALLMEFETPEEATAVMAHLRQHRKEKSSHLPPFNAGSSNVTMSQLDGGRSMPAPIHADIRTSNSGSMCKIESPHTQTAVGSPADSCRTRMSHLSSLLASLRTKYSISVHPNYFENYTSGCSNAAMVRDVDQVPSSTLWICIPNVSSPLITDDELMSICNLASANVGSIVRLMRANMQMGCGWFVDCSNVEAANTLLKNLRSCPGMFFQIDFSQPGKNYTASFPMKSDGSSMELVSPRIKSENHGPTVQAARSFGGVDPSQGGGRAVSAASEQMWMYKKNEMELHPAPASIPSVPIGAQGRPILPPQQFQPSQFVRPVYHPPNSAWDPRVLNHHAPLNPMSPVVMPNIFQGSTVAPPFIPASVTPLAQIQRPPVQHFDQMFSLPVVPPPLSSVPPQPEIPPPPPLPPPPFVPPPLASIPPQPEILPPLPPSPPPAPPPPRSPPPPPPFAESTDAETSGKSKQYQWQGTLCKSGVHYCTIFSYKVDSDICKYSSLISEPVEWPSKLDMTKRTDFRHVKSTFTSTPPHKREVCLLIPSSASDQKGFQDFISYLKQRDCAGVIKIPAVKSMWARLLFILPYSHETCSMLSIAPDASNCLIALVLPKETNFEWV >Manes.05G181200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29805556:29817017:1 gene:Manes.05G181200.v8.1 transcript:Manes.05G181200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEQPLKKRKLYETRPETPPPAQPAETLAEPRSTVVSPSTPPPLSQEEILARRRNRDEIKNVYDTYKRLKFCVSQKEGRHMPDLEQTYLALITASRGCTSVQRIVADLIPRYASYCPTALEAATKVVINMHNQNTAVINRGEDSNGIAFETAKACIFGLADICHTASLVAPTSSVIRGICSAVFQNVLSFFMSSFEGKGAFQIVDKEILKMLGSAEVFSELKQKFSDEDISSTVKLFKFRVLSMFWIFFSCSKNLLAACFDLLKSAGSDHIREAQCFLSQVTSSLDDNFVPPLSDKAHDRPASSKSCKKTSVTGNEVSDEDLLSDGNRVSADSHSVQRNCLLQLVLGNSVSLRSWMFSKYKKQCNMPSFVAVSEIRSTLEEIFESYTELSKLEDTQMDSDEDNLDASQFINRQYVVSRISNQHQISGELSSKDGTSHDNGGSRSMDFEMSDPADASHGRSSLPRDLQNQQMLSPVTRTIIDSRNNSFEGGNHNVHIDKNTTSNVDFSSPIARSASGAIDNAFASPKHHLGTPYGSMTQTVWFCDGDPAAMDIFSASRQLWLGSVGPEASEAHLRFQLERFGPIEQFFFFPAKGFALVEYRSIIDAIRAREYMRCHFPWQIKFMDIGLGTRGAMNGVAVGSSCHVYVGNISSQWARDEILHESRKVLYKGPYMFTDLSNEGALLMEFETPEEATAVMAHLRQHRKEKSSHLPPFNAGSSNVTMSQLDGGRSMPAPIHADIRTSNSGSMCKIESPHTQTAVGSPADSCRTRMSHLSSLLASLRTKYSISVHPNYFENYTSGCSNAAMVRDVDQVPSSTLWICIPNVSSPLITDDELMSICNLASANVGSIVRLMRANMQMGCGWFVDCSNVEAANTLLKNLRSCPGMFFQIDFSQPGKNYTASFPMKSDGSSMELVSPRIKSENHGPTVQAARSFGGVDPSQGGGRAVSAASEQMWMYKKNEMELHPAPASIPSVPIGAQGRPILPPQQFQPSQFVRPVYHPPNSAWDPRVLNHHAPLNPMSPVVMPNIFQGSTVAPPFIPASVTPLAQIQRPPVQHFDQMFSLPVVPPPLSSVPPQPEIPPPPPLPPPPFVPPPLASIPPQPEILPPLPPSPPPAPPPPRSPPPPPPFAESTDAETSGKSKQYQWQGTLCKSGVHYCTIFSYKVDSDICKYSSLISEPVEWPSKLDMTKRTDFRHVKSTFTSTPPHKREVCLLIPSSASDQKGFQDFISYLKQRDCAGVIKIPAVKSMWARLLFILPYSHETCSMLSIAPDASNCLIALVLPKETNFEWV >Manes.04G077948.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29123486:29126037:-1 gene:Manes.04G077948.v8.1 transcript:Manes.04G077948.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRLRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYLAAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFGDLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPSESFSLEIVEFGFDSTTCDYKVLRIFQYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSLELPSYKFENGDSEYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDTFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVACPEYLEMSLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.04G077948.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29123419:29126037:-1 gene:Manes.04G077948.v8.1 transcript:Manes.04G077948.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRLRFEYLAAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFGDLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPSESFSLEIVEFGFDSTTCDYKVLRIFQYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSLELPSYKFENGDSEYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDTFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVACPEYLEMSLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.05G057700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4838875:4845444:1 gene:Manes.05G057700.v8.1 transcript:Manes.05G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKFQMHETSTNSQLLFWFFGIAACIKLLLVPSYHSTDFEVHRHWLALTHSLPLTQWYFDETSPWTLDYPPFFAYYEYILSFFAHLIDPQIVDIHRGLNYKSNTVVYFQRISVIVSDLCLLYGVYRLTKNLESRKRTLMWVLVVWSPGLIMVDSMHFQYNGFLLGLLMLSISYLQEGRDLMGGFFFAVLLCFKHLFAVAAPVYFVYLLRHYCWKGFLRGFGRLTSMGVVVMVVFAAAYGPFLYHGQIQQVISRMFPFGRGLCHAYWAPNFWVFYITLDKGLSIFLKKLGLDIQAPAASFTGGLVGDSPSFAVLPKITPIATFIMVLLALFPCLFKAWKNPQPQLVARWIAYAYTCGFLFGWHVHEKASLHFVIPLALVAVHSLEDARHYFLLAIVSCYSLFPLLYEVQEYPIKVLLLLLHSILMWHSFSAQTTKDPAAKMAVSAKREKRSSSLGALDATVEKERILIGWIGKCYLFGLLGIEIWGQFLHPYLLGDKLPFVPLLLVSLYCAFGMIYSWIWQLRCIMIST >Manes.17G015153.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7846425:7848277:1 gene:Manes.17G015153.v8.1 transcript:Manes.17G015153.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVFGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.03G065632.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7965687:7977561:-1 gene:Manes.03G065632.v8.1 transcript:Manes.03G065632.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLVKRDLKASAQAFQTEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQAQPPQHQQQQQQQQQQQLQMQQLILQRHAQQQQQQQQQQQQQQQQQQQQHQQQQQQQQQPQQQPPQQQQQQRRDGAHLLNGTTNGLVGNDPLLRQNPGTANALATKMYEERLKLPIQRDSLDDAAMKQRFGDNVGQLLDPNHASILKSAATGQPTGQVLHGAAGGMSSQVQARSQQLPGSTPDIKPEINPVINPRAAGPEASLLGIPGSNQGGNNLTLKGWPLTGLDQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDETRRLRMLWNNRNVGLGKDGLSNPVGDVIPNVGSPLQAGGSLLPRGDTDMLIKLKMAQMQQQQQQQQHQNANPQQQQQLQQHSLSNQQSQSSNHNLHQQDKMGGAGSVTVDGSMSNSFRGNDQVSKNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPSLPHNSSSSKPLVMFGTDGTGTLTSPANQLADMDRFVEDGSLEDNVDSFLSHDDTDPRDTVPRMDVSKGFTFTEVTSVRASTSKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEFLASVSEDSVKVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLPAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.03G065632.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7965687:7977561:-1 gene:Manes.03G065632.v8.1 transcript:Manes.03G065632.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLVKRDLKASAQAFQTEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQAQPPQHQQQQQQQQQQQLQMQQLILQRHAQQQQQQQQQQQQQQQQQQQQHQQQQQQQQQPQQQPPQQQQQQRRDGAHLLNGTTNGLVGNDPLLRQNPGTANALATKMYEERLKLPIQRDSLDDAAMKQRFGDNVGQLLDPNHASILKSAATGQPTGQVLHGAAGGMSSQVQARSQQLPGSTPDIKPEINPVINPRAAGPEASLLGIPGSNQGGNNLTLKGWPLTGLDQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDETRRLRMLWNNRNVGLGKDGLSNPVGDVIPNVGSPLQAGGSLLPRGDTDMLIKLKMAQMQQQQQQQQHQNANPQQQQQLQQHSLSNQQSQSSNHNLHQQDKMGGAGSVTVDGSMSNSFRGNDQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPSLPHNSSSSKPLVMFGTDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDTDPRDTVPRMDVSKGFTFTEVTSVRASTSKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEFLASVSEDSVKVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLPAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.03G065632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7965687:7977561:-1 gene:Manes.03G065632.v8.1 transcript:Manes.03G065632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLVKRDLKASAQAFQTEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQAQPPQHQQQQQQQQQQQLQMQQLILQRHAQQQQQQQQQQQQQQQQQQQQHQQQQQQQQQPQQQPPQQQQQQRRDGAHLLNGTTNGLVGNDPLLRQNPGTANALATKMYEERLKLPIQRDSLDDAAMKQRFGDNVGQLLDPNHASILKSAATGQPTGQVLHGAAGGMSSQVQARSQQLPGSTPDIKPEINPVINPRAAGPEASLLGIPGSNQGGNNLTLKGWPLTGLDQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDETRRLRMLWNNRNVGLGKDGLSNPVGDVIPNVGSPLQAGGSLLPRGDTDMLIKLKMAQMQQQQQQQQHQNANPQQQQQLQQHSLSNQQSQSSNHNLHQQDKMGGAGSVTVDGSMSNSFRGNDQVSKNQTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPSLPHNSSSSKPLVMFGTDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDTDPRDTVPRMDVSKGFTFTEVTSVRASTSKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEFLASVSEDSVKVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLPAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.03G169300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29464048:29466398:-1 gene:Manes.03G169300.v8.1 transcript:Manes.03G169300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMAYMDAELYKSAAEGNTDALKTYAESLDLSLTPKKNTILHIHLTSPSKRCTHFVKVALQMCPKLLWQINLNGDTPLQIAARYGHFEIVKLLIEHAKLPNEDLESGVGAVRRMLRMLNVKKDTALHEAARNHHLKVMKLLTHLDPHFEYPANDCDETPLYLAARKGHLYMVIEMLKTCQSLSYLGPKGKTALHAAVLSGNRGIVWEILEKERELAFQVDENGWTPLHYAAYGKDDLGAFVIVQRLLEYGRSAAYVVDNVSKRTALHIAACRGNARIMRQIISKCTDCCELIDDRGWNVLHYAVISKSDEALQEVLRVSSLIELINEKDSKGNTPLHLLAVSRPYLPSFICDGEGDLNAFYKQHVRSRDNLIAELQHPKERILEWMEDLGDGPMGQICINKKRSWEIQDLGSGPKEAKVLAEFEKSKDSFLVVSGLVATVTFAAAFTLPGGYKSDEKDPDQGTAILSKNAAFEVFVISDSIAMVLSTCSVFIHFIVMLLGYQEKYWWLIRSALLFIMFAMGAMVVAFATGTYAVLSPSLGLAIATCFIGLSFFIYVFYMLKRLYIKHLEKAYLEAVTSISRMWIKIRL >Manes.01G093000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29421984:29423961:-1 gene:Manes.01G093000.v8.1 transcript:Manes.01G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRTNDRSSNRQQRSSLWQNCTNLRSLKQIHASLITKGFNSCSSALRELIFASAMSISGTIDYAHQLFAQISEPDIFMWNTMMRGSAQSHHPLKAFSLYSQMEGTGIKPDKFTFSFLLKACTRLEWRKTGSCIHGKIVKYGFEDNKFVRNTLIYHHANCGDLGIARAIFYDSGERDIVAWSALTAGYARRGQLRMARQLFDEMPVKDLVAWNVMITAYAKKGEMKLARRLFDEVPKKDVVTWNVMIAGYVLSGENKQALEMFEEMRGVGEQPDEVTMLSLLSACTDLGDLDVGMKVHRSILEMSLGDMSVLLGNALIYMYAKCGSIERALEVFRGMREKDVSTWNSVILGLAFHGHAEESINLFAEIQRLKNIRPNEITFVGVLVACSHAGKVEMGRQYFNVMKDVYGLEPNERHYGCMVDLLGRAGLLNDAFEFIESMNIEPNAVIWRTLLGACRIHGNVELGRRANEKLLTLRRDESGDYVLLSNLYASVGEWDGAQKVRNLMDESGVNKEPGCSVIEAVEEKALMRFLFDSKNKLNSRNQIS >Manes.01G106300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30564699:30568430:-1 gene:Manes.01G106300.v8.1 transcript:Manes.01G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSREIPLCFLAFLWFLNAANGLLSPKGVNFEVQALMGIKASLHDPHGVLDNWDGDAVDPCSWTMVTCSPESLVIGLGTPSQNLSGTLSPSIGNLTNLQIVLLQNNNITGPIPGELWKLSKLHTLDLSNNFFTGVIPSSLGHLRSLQYMRLNNNSLSGAFPMSLANMTHLVFLDLSYNNLSGPVARFPAKTFNIVGNPLICPTGSEPECFGTTLMPMSMNLNSTQTALPSGRSRNHKVALAFGSSVGSVSLLILVLGLLLWWRQRQDKSTFFDVKDQHHEEISLGNLRRFQFRELQAATNNFSNKNILGKGGFGNVYKGIIQDGTVVAVKRLKDGNAVGGDIQFQTEVEMISLAVHRNLLRLYGFCITPTERLLVYPYMSNGSVASRLKGKPVLDWSTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLEMLVDKDLKGNYDRIELEEIVQVALLCTQFLPSHRPKMSEVVRMLEGDGLAERWEASQRVEATKSKAHEFSSSDRYSDLTDDSSLLVQAMELSGPR >Manes.12G022600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1946924:1948168:-1 gene:Manes.12G022600.v8.1 transcript:Manes.12G022600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALRRLNGMTHVQESIPLEQLITDNNKKSTNGAPAAASATTTNKRSLKESGGGSGGTLRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDNAARAMRGLKARTNFVYPTTDPHSTTDPFLPPFSFSSKQSQASIMDLTSRHFNTNSSNWPSFGNPHVPDFSGSATQRSSSASSNMLLRRDFLNPSSGSSFYNHPQALYDQFPYINGSSTSASSTFSSGSLVNPSNGSNVSYNLTVSSSSSVPVNEDSRSYNFSGGPDRANSQADYMEFFPQEPSDSGLLQEIIQGFFPKPSDKINFSKSSINCTSESTVASAPQMPTCPMLDGFRREIKSEHLVKNENLAIYLDHYHGGPVQLENFNGVNSHVVPCGHGSQENLYMGADSILDDIFQYPDLMSGFAARVQNA >Manes.02G102300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7998323:8002515:1 gene:Manes.02G102300.v8.1 transcript:Manes.02G102300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTRNNEGWDSSYFYDESSVVSSVIDPTDYISRQPQNFMPQNFFCKQETETDNLSFMQSDDFVQLPQLESSTLPLIKRPSLISLIPQNNNSNNNNNKDDEEEEQARGCNINNLNNNKKKITDWRAFDKFVASQLSQEDRYNCENAVSSFGEENSSDMSLLLLQSGRDDENKFNGFLSSCSDCDIGICIFDK >Manes.02G102300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7999531:8002515:1 gene:Manes.02G102300.v8.1 transcript:Manes.02G102300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTRNNEGWDSSYFYDESSVVSSVIDPTDYISRQPQNFMPQNFFCKQETETDNLSFMQSDDFVQLPQLESSTLPLIKRPSLISLIPQNNNSNNNNNKDDEEEEQARGCNINNLNNNKKKITDWRAFDKFVASQLSQEDRYNCENAVSSFGEENSSDMSLLLLQSGRDDENKFNGFLSSCSDCDIGICIFDK >Manes.02G102300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7998300:8002515:1 gene:Manes.02G102300.v8.1 transcript:Manes.02G102300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTRNNEGWDSSYFYDESSVVSSVIDPTDYISRQPQNFMPQNFFCKQETETDNLSFMQSDDFVQLPQLESSTLPLIKRPSLISLIPQNNNSNNNNNKDDEEEEQARGCNINNLNNNKKKITDWRAFDKFVASQLSQEDRYNCENAVSSFGEENSSDMSLLLLQSGRDDENKFNGFLSSCSDCDIGICIFDK >Manes.02G102300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7998300:8002515:1 gene:Manes.02G102300.v8.1 transcript:Manes.02G102300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTRNNEGWDSSYFYDESSVVSSVIDPTDYISRQPQNFMPQNFFCKQETETDNLSFMQSDDFVQLPQLESSTLPLIKRPSLISLIPQNNNSNNNNNKDDEEEEQARGCNINNLNNNKKKITDWRAFDKFVASQLSQEDRYNCENAVSSFGEENSSDMSLLLLQSGRDDENKFNGFLSSCSDCDIGICIFDK >Manes.02G102300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7999459:8002515:1 gene:Manes.02G102300.v8.1 transcript:Manes.02G102300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNVLLCADRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTRNNEGWDSSYFYDESSVVSSVIDPTDYISRQPQNFMPQNFFCKQETETDNLSFMQSDDFVQLPQLESSTLPLIKRPSLISLIPQNNNSNNNNNKDDEEEEQARGCNINNLNNNKKKITDWRAFDKFVASQLSQEDRYNCENAVSSFGEENSSDMSLLLLQSGRDDENKFNGFLSSCSDCDIGICIFDK >Manes.02G102300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7998123:8002526:1 gene:Manes.02G102300.v8.1 transcript:Manes.02G102300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTRNNEGWDSSYFYDESSVVSSVIDPTDYISRQPQNFMPQNFFCKQETETDNLSFMQSDDFVQLPQLESSTLPLIKRPSLISLIPQNNNSNNNNNKDDEEEEQARGCNINNLNNNKKKITDWRAFDKFVASQLSQEDRYNCENAVSSFGEENSSDMSLLLLQSGRDDENKFNGFLSSCSDCDIGICIFDK >Manes.02G102300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7998078:8002515:1 gene:Manes.02G102300.v8.1 transcript:Manes.02G102300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQDRCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIYDKAKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTRNNEGWDSSYFYDESSVVSSVIDPTDYISRQPQNFMPQNFFCKQETETDNLSFMQSDDFVQLPQLESSTLPLIKRPSLISLIPQNNNSNNNNNKDDEEEEQARGCNINNLNNNKKKITDWRAFDKFVASQLSQEDRYNCENAVSSFGEENSSDMSLLLLQSGRDDENKFNGFLSSCSDCDIGICIFDK >Manes.12G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36186482:36188184:1 gene:Manes.12G154300.v8.1 transcript:Manes.12G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFINFLLFLVFHASLLSQRFTADGKVMMEYIGATGIRVTFDSVPVEDKIDFHFILSFAIDANSSGNAQNGIFSPYWSHTLTPQSVLAMKKNHSNAKALASLSGWSLRDKILSWYNPQDPNIWISNAFTSLKSLAHEFHLDGIDIDYERFPKNSNATFAYCIGELISLLKNQSVISVATIAPFHATVLPYIELFNGYGDVIDYVNYQFYTDKVRTPEAYLRAFKLRTMQFNKDKLLPSYEVNGRGIQGDAFFDALALLENNGFDVNGVMIFSADASSSNDYYYERKSQAFLLNSTKV >Manes.17G002900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1272256:1276643:-1 gene:Manes.17G002900.v8.1 transcript:Manes.17G002900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAHQDGAVVAYPMQANPQSVLQLCIYPITLKFEEVVYKVKLEQKGLCWGGTWGTREKTILNGISGLICPGEILAMLGPSGSGKTTLLTALGGRLNGKLSGKITYNGQPFSGIIKRRTGFVAQDDVLYPHLTVKETLLFTSLLRLPKTLTQEEKLQHVERVITELGLTRCQSSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRIITTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGSPIYYGPASSALEYFSSIGFSTSMTINPADLLLDLANGIAPDSKHVNEQGENMEQEQKLIKEALLSGYEKNISTRLKAEICSLDVNSYNYTKDASTRMDTMSQQWCTSWWHQLKVLFQRGLRERRYESFNRLRIFQVISVAVLGGLLWWQTPSSHIQDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLIKERASGMYHLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKPDPITFILSLLVVLYNVLVSQSLGLAIGAILMDIKQATTLASVTTLVFLIAGGYYVQQIPSFIVWLKYLSYSYYCYKLLLGVQYSEDDYYECSKGVLCRVGDFPAIKSMGLNQLWVDVCIMGLMLVGYRLVAYLALHRVQLR >Manes.17G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1273042:1277002:-1 gene:Manes.17G002900.v8.1 transcript:Manes.17G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAHQDGAVVAYPMQANPQSVLQLCIYPITLKFEEVVYKVKLEQKGLCWGGTWGTREKTILNGISGLICPGEILAMLGPSGSGKTTLLTALGGRLNGKLSGKITYNGQPFSGIIKRRTGFVAQDDVLYPHLTVKETLLFTSLLRLPKTLTQEEKLQHVERVITELGLTRCQSSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRIITTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGSPIYYGPASSALEYFSSIGFSTSMTINPADLLLDLANGIAPDSKHVNEQGENMEQEQKLIKEALLSGYEKNISTRLKAEICSLDVNSYNYTKDASTRMDTMSQQWCTSWWHQLKVLFQRGLRERRYESFNRLRIFQVISVAVLGGLLWWQTPSSHIQDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLIKERASGMYHLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKPDPITFILSLLVVLYNVLVSQSLGLAIGAILMDIKQATTLASVTTLVFLIAGGYYVQQIPSFIVWLKYLSYSYYCYKLLLGVQYSEDDYYECSKGVLCRVGDFPAIKSMGLNQLWVDVCIMGLMLVGYRLVAYLALHRVQLR >Manes.08G138400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37469899:37470679:-1 gene:Manes.08G138400.v8.1 transcript:Manes.08G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVVQMRSNKEQEISRPLANFPPTVWGCDFASIPSFNSRKDVNELRKISKEKAMEELRKMCDNAWKDVNEESMGPTVVPLPLITSIINLARGMHVVYQYDDAYTIATSLKDNVILMFVEPLPED >Manes.07G116700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32228221:32232107:1 gene:Manes.07G116700.v8.1 transcript:Manes.07G116700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTTEEVKKIVSTLNEGQVPSPDVVEVVISPPFVYLPLVKSLLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLGIPWVILGHSERRLILNESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMEVVAAQTKAIAERVKDWTDVVLAYEPVWAIGTGKVATPAQAQEVHSELRKWLKANTSPEIAATTRIIYGGIFVYQSYI >Manes.07G116700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32228221:32234093:1 gene:Manes.07G116700.v8.1 transcript:Manes.07G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTTEEVKKIVSTLNEGQVPSPDVVEVVISPPFVYLPLVKSLLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLGIPWVILGHSERRLILNESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMEVVAAQTKAIAERVKDWTDVVLAYEPVWAIGTGKVATPAQAQEVHSELRKWLKANTSPEIAATTRIIYGGSVSAANCKELAAQPDVDGFLVGGASLKPEFIEIIKSAEVKKNA >Manes.07G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31253499:31261478:1 gene:Manes.07G106200.v8.1 transcript:Manes.07G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSLINGNAAVSAPPPTTALINLTGTTPSSKLTQLTESLKLEHQFLRVPFEHYKKTIRANNRAVEKEVSSVFSGVIDAAGTDMSKDDAVEHLTSLVSRLQGLKRKLEEGNRTEHLQAQRCRARLDHLESVDAENISEWGNTRLKRILVDYMLRMSYYDTGMKLADSSNMLDLVDIDVFQEARRVINALQNREVAPALAWCAENKSRLKKSKSKLEFQLRLQEFIELVRAENSMRAITYAQKYLAPWGATHIKELQRVMATLAFKSHTECATYKVLFELKQWDNLVDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPYCYEDDCTKEDPLSQESFRKLALPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALEEMANKNNGKITCPRTGFICNYSEMLKAYIS >Manes.07G106200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31253499:31261478:1 gene:Manes.07G106200.v8.1 transcript:Manes.07G106200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSLINGNAAVSAPPPTTALINLTGTTPSSKLTQLTESLKLEHQFLRVPFEHYKKTIRANNRAVEKEVSSVFSGVIDAAGTDMSKDDAVEHLTSLVSRLQGLKRKLEEGNRTEHLQAQRCRARLDHLESVDAENISEWGNTRLKRILVDYMLRMSYYDTGMKLADSSNMLDLVDIDVFQEARRVINALQNREVAPALAWCAENKSRLKKSKSKLEFQLRLQEFIELVRAENSMRAITYAQKYLAPWGATHIKELQRVMATLAFKSHTECATYKVLFELKQWDNLVDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPYLVFIA >Manes.02G156350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12226063:12227883:-1 gene:Manes.02G156350.v8.1 transcript:Manes.02G156350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSDPPYQQNYSILARPSVDSRLPQLGHHNRKSDQGLDISPYCNSMPSRSRFQQPIHPANLFELQVQSYNSWKNRESLTLSGAHVGGHEGLANQNAGRINNPHILQQSQLFNISETLQNGFLGGHLGKRPSEIGESSPSNQKFSRVESGITQENQGAAWRHQDKLPIQLNLRPARNALYDQGYESVGLPVDPHLRLFALN >Manes.02G227400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36725396:36726326:-1 gene:Manes.02G227400.v8.1 transcript:Manes.02G227400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPSGTVGGLCFTWKSIMNDTLVKYSSFFIHANIFFYQSKQVSWLMERIAQLDFLLKYKQHLSVAILFKSGDNAISSSQGFKLRNLINTGGLVVLRFRGLVFTWNNRRDSHQNIQKKIDRSLASYHWLSLYPSASVEHLEDRGLDHHPVLISMVLSHPKAKRQLHFDERWISILETSLTIEGAWNTTSRLENAKNTPSWDGEVVRSVERELSNEIKEEEQYWEQKARMNWLKSRD >Manes.06G165634.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29205006:29206769:-1 gene:Manes.06G165634.v8.1 transcript:Manes.06G165634.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQALLFCLLAAAVVAAPPYHYTSPPPLKKSPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYNSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPPPYHYTSPPPPKNSPPPPYYYTSPPPPSPSPPPPYHYTSPPPPKNSPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYYYMSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSLSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPSYHYNSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPSPSYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPKHY >Manes.07G042966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5413147:5416445:1 gene:Manes.07G042966.v8.1 transcript:Manes.07G042966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNSSMETSSLLHTFVTVICLMTGWLADYGNAQLVNCKASDRETLIDFKSGLVDPKNLLSSWEGSNCCQWWGVGCNNWTGDVIVVDIHNPDKVPESCGLRGEIRSSLANLSSLEYLDLSFNAFIHNQIPIFFQSFKKLQYLNLAYAGFDGKIPPNLGNISTLQHVDISSLAFSTNLAADDIRWVTGLTALKYLAMDRVDLSMVGSNWVEAFNMLPYLSDLHLSACNLPSPPSFLNFTNFSSLAVLDLSHNIFHSFPQWLVNVSSLMYIDLSFNSIWDSIPVGFTKLPNLRFLNLWGNGLTASTYQLFEESWSKIEVIQLGFNFVQGKLPPSIGNMTSLTHLALPGNSIEGNIPNSIFKLCSLEYLDLSYNNLTGSLPEHLEGTKYYLYESPLPHLQNLVLTRTRLVGILPNWLGQLQNLVQLNLDSNFFHGSVPAAFGTLRHLAVLILKQNELNGTLPNNLGQISNLSILDVSSNRLTGTLPDSLGKLSELSFLDVSSNCLTGIVSESHFAKLTKIKVLSFSWNSFIFKISPNWDPPFQVESLLLSSCRLGSFPGWLKSQRHLLDLDLSNANMSGPIPSWFWDISGNLSLLNFSSNSLWGQLPNKFKVHFNAFTDLSFNLLEGPIPLPTNQIIILNLSHNNFSGPIPENIGDLSFLSFFSLANNQISGEIPTSIGELQVASVIDLSRNTLTGSIPKSIGNCLYLEVLDLQNNNLSGKIPRSLGQLSDLQTLHLRDNIITGKLPSSFKGLWSLETLDLGYNRLIGKIPQWPGDAFPHLKVLSLRSNAFSGKIPSALLNLTSLKILDLAENQLSGSIPPGMSNLNAMTQEQNIKQDLIYGWVAGVYYEENVIVNTKGQSLEYTRTLSFLTCIDLSGNYLHGEFPHEVTKLAGLVVLNLSRNQISGQIPQSISELHQLASLDLSCNMFSGPIPSSIISMSFLEFLNVSYNNFSGRIPYAGQMSTFEASSFSGNPGLCGAPLAVECSDSGPSIPGLNGEIDYGDGYIVDKWLYLAIGLGFAAGVLIPYLLMAFKRTWSYMYFDSVDKVADALLYLGCKTSACFRNHCYHRR >Manes.03G148000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27541904:27544408:1 gene:Manes.03G148000.v8.1 transcript:Manes.03G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRLCIILPADLDEIAQYGQPRLPPPPAKAEAKKHHHHHHHHGCGSQVVAFFGDSLRRLYDSKWVGCCQPDKPRKQPSGAFYDLDGIQMSEKVGGDNPRIFSYAELFIGSNGFSEDEILGSGGFGKVYRAVLPSDGTVVAVKCLAEKGEQFEKTFEAELVAVANLRHRNLVKLRGWCVHEDQLLLVYDYMPNRSLDRVLFRRPENFTSPTLNWERRRKIIGGLAAALHYLHEQLETQIIHRDVKASNVMLDSHFNARLGDFGLARWLEHELKYQTKLPSMRNRQFRLAESTRIGGTIGYLPPESFQKRSVATAKSDVFSFGIVVLEVVSGRRAVDLTCPEDQIILLDWIRRLSDEGKLLQAGDNRLPDGSYALSEMERLIHLGLLCTLNNPQLRPNMKWIVEILSGDIPGKLPPLPSFQSHPRYISLSSPSNTSTSNTSTTRSTSTPSSNTTITSASSNFVTAIGETIYATAEFGNHDFSSSNNRSHRRSTHFMVETPREISYKEIISATNNFAGSHRVAEVDFGTAYHGILEDGHRVFVKRLGMTKCPAIKTRFSSELQNLAKLRHRNLIQLRGWCTEQGEMLVVYDYSANRLLSHLLFHHDNRVGHSILKWRHRYNIVKSLASAILYLHEEWDEQVIHRNITSSSVILDPDMNPRLGNFALAEFLSRNDHAHKAATKGNKSVRGIFGYMSPEYMESGEATPMADVYSFGVVVLEVVTGHMAVDFRRPEVLVVNRVHEFQAQKRPLEELVDIRLNFEYDHKELMRLLNLGIACTRSNPESRPSMRQIVSILDGNDKFFTAAEQRKESREGWKENNASSLSLIKRIQALGIQ >Manes.15G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2695467:2702060:1 gene:Manes.15G034400.v8.1 transcript:Manes.15G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLNFFTPATRSPNLTFPKSPLTALNCLFPITFSPKSISIKSSASTDPNSAPSSQVLVSSNGTGSSLLAPEYNYSAAADVSSIEVDAVTEAELKENGFRSTRRTKLVCTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHKSVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRAVDSPRPERTINLSYDGFAEDVQVGDELLVDGGMVRFEVIEKIGPDVKCQCTDPGLLLPRANLTFWREGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVINHLKSYIAARTRDTDIAVIAKIESIDSLTNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQRIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSVRIEKWWREAKRHEAMQLPDVGSALSDCISEEICNSAAKMANNLEVDALFVYTKNGHMASLLSRCRPDCPIFAFTTTASVRRRLNLQWGLIPFRLSFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >Manes.08G134100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37100755:37103601:-1 gene:Manes.08G134100.v8.1 transcript:Manes.08G134100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLSEEQIFEFQEAFCLLDKDGDGRITFDELATAIKSLELNPTEEELQRMINEVDVNGNGTIEFGEFLNLMARKMKESDAEEELKEAFKVFDKDRDGYISPNELRHVMINLGEKLTDEELEQMIKEADLDGDGQINYDEFVRIMLASS >Manes.08G134100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37100875:37103601:-1 gene:Manes.08G134100.v8.1 transcript:Manes.08G134100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLSEEQIFEFQEAFCLLDKDGDGRITFDELATAIKSLELNPTEEELQRMINEVDVNGNGTIEFGEFLNLMARKMKESDAEEELKEAFKVFDKDRDGYISPNELRHVMINLGEKLTDEELEQMIKEADLDGDGQINYDEFVRIMLASS >Manes.08G134100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37100755:37103619:-1 gene:Manes.08G134100.v8.1 transcript:Manes.08G134100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLSEEQIFEFQEAFCLLDKDGDGRITFDELATAIKSLELNPTEEELQRMINEVDVNGNGTIEFGEFLNLMARKMKESDAEEELKEAFKVFDKDRDGYISPNELRHVMINLGEKLTDEELEQMIKEADLDGDGQINYDEFVRIMLASS >Manes.17G019400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10227209:10233976:1 gene:Manes.17G019400.v8.1 transcript:Manes.17G019400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHKPSGSRTRSPLSIFIVFCLCCFFYVLGAWQRSGFGKGDSIALAVTKKADCKFFPDLNFEAHHNPVEVVKPSKSKAKAFKPCNVKYTDYTPCQEQDRAMKFPRENMIYRERHCPPEEEKLHCLIPAPKGYKTPFPWPKGRDYVHYANVPYKSLTVEKAVQNWVQFQGDVFKFPGGGTMFPQGADKYIDELATVIPIADGSVRTALDTGCGVASWGAYLMKRNVLSVSFAPRDNHEAQIQFALERGVPAVIGVLGSIRLPYPSRAFDMAQCSRCLIPWTSNDGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRTKQDLQAEQRKIEEIAESLCWEKKYEKGDLAIWRKLENDKNCPRKSTNICKSDNADDVWYKEMETCLTPFPKVTSAKEVAGGGLKKFPERLFAVPPRIAKGLVEGVTAESYQEDNKLWKKHVNEYKRINRLIGTTRYRNVMDMNAGLGGFAAALESPKSWVMNVVPTIARNTLGVIYERGLVGIYHDWCEGFSTYPRTYDFIHASGVFSLYRKKCKTEDILLEMDRVLRPEGTVMFRDEVDVLNEVMKIIGGMRWDAKMVDHEDGPLVPEKILVAVKQYWVAGSGNTTSN >Manes.17G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10226586:10233976:1 gene:Manes.17G019400.v8.1 transcript:Manes.17G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHKPSGSRTRSPLSIFIVFCLCCFFYVLGAWQRSGFGKGDSIALAVTKKADCKFFPDLNFEAHHNPVEVVKPSKSKAKAFKPCNVKYTDYTPCQEQDRAMKFPRENMIYRERHCPPEEEKLHCLIPAPKGYKTPFPWPKGRDYVHYANVPYKSLTVEKAVQNWVQFQGDVFKFPGGGTMFPQGADKYIDELATVIPIADGSVRTALDTGCGVASWGAYLMKRNVLSVSFAPRDNHEAQIQFALERGVPAVIGVLGSIRLPYPSRAFDMAQCSRCLIPWTSNDGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRTKQDLQAEQRKIEEIAESLCWEKKYEKGDLAIWRKLENDKNCPRKSTNICKSDNADDVWYKEMETCLTPFPKVTSAKEVAGGGLKKFPERLFAVPPRIAKGLVEGVTAESYQEDNKLWKKHVNEYKRINRLIGTTRYRNVMDMNAGLGGFAAALESPKSWVMNVVPTIARNTLGVIYERGLVGIYHDWCEGFSTYPRTYDFIHASGVFSLYRKKCKTEDILLEMDRVLRPEGTVMFRDEVDVLNEVMKIIGGMRWDAKMVDHEDGPLVPEKILVAVKQYWVAGSGNTTSN >Manes.01G008200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2837140:2852539:1 gene:Manes.01G008200.v8.1 transcript:Manes.01G008200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGLTFKLHPLVIVNISDHYTRVKSQINPPVTSTANTVSSSTNGAGNGVSTAVSAATRPTRVYGCVIGVQRGRTVEIFNSFELLYDPITHSLHRGFLEKKQELYKKVFPHFYVLGWYSTGSDAEESDMHIHKALMDINENPVYVLLNPIINPAQKDLPVTIYESELHVIDGIPQLIFVHSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGMHSAIKMLNSRIRVLHHYLVAMQKGEIPCENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLITYLAMFTNCSSTMNEVVDKFNTAYDRHSRRGGRTAFI >Manes.02G225300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37785110:37793989:-1 gene:Manes.02G225300.v8.1 transcript:Manes.02G225300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSVAITSISLLCVVSTVSGIGANWGTQASHPLAPETVVRLLRENGIQKVKLFDADYDTLRALGKSNIEVMVGIPNDMLLSMATSMKAAEKWVSKNVSTHISTNNVNIRYVAVGNEPFLQTYNGSFLKTTFPALQNVQSALVKAGLSNQVKVTVPLNADVYESSTGVPSGGDFRADIHDLMLTIVKFLSDSGSPFTVNIYPFISLYSDPNFPVEYAFFDGNATPVNDGGTSYYNMFDANYDTLAYSLQKNGFGNLPIIVGEIGWPTDGDRNANVEYAQRFNQGFMSHISGGKGTPMRPGPIDAYLFSLIDEDSKSIDPGNFERHWGIFTFDGRAKYSLNLGTMNSGVLVEGKNVRYQERKWCVMKSSAKIDDPNVAPSVSYACGLADCTSLGYGTSCGGMDARGNISYALNSYYQRQNQLDSACKFENISTITKTDPSTGTCRFEIMIEPYYGGTEHMVCRRS >Manes.02G225300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37785110:37793989:-1 gene:Manes.02G225300.v8.1 transcript:Manes.02G225300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSVAITSISLLCVVSTVSGIGANWGTQASHPLAPETVVRLLRENGIQKVKLFDADYDTLRALGKSNIEVMVGIPNDMLLSMATSMKAAEKWVSKNVSTHISTNNVNIRYVAVGNEPFLQTYNGSFLKTTFPALQNVQSALVKAGLSNQVKVTVPLNADVYESSTGVPSGGDFRADIHDLMLTIVKFLSDSGSPFTVNIYPFISLYSDPNFPVEYAFFDGNATPVNDGGTSYYNMFDANYDTLAYSLQKNGFGNLPIIVGEIGWPTDGDRNANVEYAQRFNQGFMSHISGGKGTPMRPGPIDAYLFSLIDEDSKSIDPGNFERHWGIFTFDGRAKYSLNLGTMNSGVLVEGKNVRYQERKWCVMKSSAKIDDPNVAPSVSYACGLADCTSLGYGTSCGGMDARGNISYALNSYYQRQNQLDSACKFENISTITKTDPSTGTCRFEIMIEPYYGGTEHMIPESMDFSQVCRRS >Manes.02G225300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37785271:37793989:-1 gene:Manes.02G225300.v8.1 transcript:Manes.02G225300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSVAITSISLLCVVSTVSGIGANWGTQASHPLAPETVVRLLRENGIQKVKLFDADYDTLRALGKSNIEVMVGIPNDMLLSMATSMKAAEKWVSKNVSTHISTNNVNIRYVAVGNEPFLQTYNGSFLKTTFPALQNVQSALVKAGLSNQVKVTVPLNADVYESSTGVPSGGDFRADIHDLMLTIVKFLSDSGSPFTVNIYPFISLYSDPNFPVEYAFFDGNATPVNDGGTSYYNMFDANYDTLAYSLQKNGFGNLPIIVGEIGWPTDGDRNANVEYAQRFNQGFMSHISGGKGTPMRPGPIDAYLFSLIDEDSKSIDPGNFERHWGIFTFDGRAKYSLNLGTMNSGVLVEGKNVRYQERKWCVMKSSAKIDDPNVAPSVSYACGLADCTSLGYGTSCGGMDARGNISYALNSYYQRQNQLDSACKFENISTITKTDPSTGTCRFEIMIEPYYGGTEHMVGCPQRLLGLAAGFILFWLTIL >Manes.02G215605.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35909651:35911245:-1 gene:Manes.02G215605.v8.1 transcript:Manes.02G215605.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLATTIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEDVDLSQCPKMKVFSQGSISTPRLKRVNFTEKGDKWRWVGDLNSTIKRFGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLRELKLINLPINLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPQLNSIILESLPRLINFSSGSGTVRCPSLKEIAVVDCPTAFTCSFFREADAAIDKFVERKVFFPNLKDLKLSSIDVEMMWHAQHLKMSSYTENLTSLTVDGCGSLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQ >Manes.01G186800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36374997:36381316:1 gene:Manes.01G186800.v8.1 transcript:Manes.01G186800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDFYSERPLFGGAIVSTFPLRFQDVSNVRQVPDHQEVFVDPARDESLIFELLDFKHDVGDNGSATWFLQDLATEQDAEGCTLIEHSGVVEAPGLHYRNIPSVVTTAVGQMAISKGRQGREAQNVVKVYLANLRLKAVATDVLITAYEPVLINPLSESASTVGAGLAVPAAQSGFLPMAEVFKLVVSTFKVNDWNLFGSSA >Manes.10G108100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26102820:26109712:1 gene:Manes.10G108100.v8.1 transcript:Manes.10G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSTNHTPVGHPHAGGCNDALYKELWHACAGPLVNLPRDGERVYYFPQGHMEQLEASMHQGLEQHMPSFNLPSKILCKVVNVQRRAEPETDEVYAQITLLPEPDQSEVTSPDPPLPEPERCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVASDLHGNSWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQISMPSSVISCQSMHLGVLATASHAINTGTLFSVFYKPRTSRSEFIVSVNKYLEAQSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNMSSGWADSEWRSLKVQWDEPSSILRPDRVSPWELEPLVASAPSNSQPVQRNKRARPSVLPSPTPNLSALDVWKPPTESPAFSYGDSQRGRDLYPSHNFSTTAKANSLGFSGNSLLSSVSPNSMYWPNRVESVTDSFVPVINKDSGERKQSTGNGYRLFGIQLVDNSNVEETSPLAVASGMGDDCPIVSLDAESDQNSEPSNINRSEIPSISCEPEKSCLRSPQESQSRQIRSCTKVHMQGIAVGRAVDLTRFECYEDLLMKLEDMFDIEGELSGSTKKWQVVYTDDEDDMMMVGDDPWHEFCSMVRKIFIYTSEEVKRLSPKIKLPVNEEIKATKADGDPDAAVNMEDQSSVVGPGC >Manes.01G162100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34696329:34698830:1 gene:Manes.01G162100.v8.1 transcript:Manes.01G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHLPFPVPFYQTPTPQKRKLFLSPDVRIQTRPIHRLLGAKTDVAVKQEDTTVVSTAESTEPEPLPEGLRRDLMPRHVAVIMDGNGRWAKQRGGAASMGHEAGARSLMEIVRLSGYWGIKVLTVFAFSCDNWTRPKVEVDFLLSLFERTLKAEMDKFVREGVRISVIGDSSRLPESLQKLINEVEETTKNYSKLHLLVAVSYSGKYDVVKACKNIARRVKDGVIEPEDINESLIEQELETNCSEFPSPDLLIRTSGELRISNFLLWQLAYTELFFAEELWPDFGKDGLVEAITSYQQRQRRYGRRVL >Manes.01G162100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34696727:34699078:1 gene:Manes.01G162100.v8.1 transcript:Manes.01G162100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLHLPFPVPFYQTPTPQKRKLFLSPDVRIQTRPIHRLLGAKTDVAVKQEDTTVVSTAESTEPEPLPEGLRRDLMPRHVAVIMDGNGRWAKQRGGAASMGHEAGARSLMEIVRLSGYWGIKVLTVFAFSCDNWTRPKVEVDFLLSLFERTLKAEMDKFVREGVRISVIGDSSRLPESLQKLINEVEETTKNYSKLHLLVAVSYSGKYDVVKACKNIARRVKDGVIEPEDINESLIEQELETNCSEFPSPDLLIRTSGELRISNFLLWQLAYTELFFAEELWPDFGKDGLVEAITSYQQRQRRYDVD >Manes.03G023800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1955463:1958515:1 gene:Manes.03G023800.v8.1 transcript:Manes.03G023800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLDHDYIGLSSEVPSMENSDAKFSGGSLYLKATELRLGLPGSESQERESGNSQLSIGVHSNNSSSCCNNTNKSFVSGAKRGFSVAIHGGSVNWVLSGSAGPEPDFSPLASNAGKVITGLDSVPTNIDGLPQSPELVAQEKKNQFAGVNGYGVASASKAQVVGWPPIRSFRKNTMASHHPKNDGDSEAKLGTGCLYIKVSMDGAPYLRKIDLKTNGSYTELSSALEKMFSCFTIGQCGSHGIPSRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWKMFIDSCRRLRIMKSSEAIGLAPRAMEKCRSCN >Manes.03G023800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1955495:1958331:1 gene:Manes.03G023800.v8.1 transcript:Manes.03G023800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLDHDYIGLSSEVPSMENSDAKFSGGSLYLKATELRLGLPGSESQERESGNSQLSIGVHSNNSSSCCNNTNKSFVSGAKRGFSVAIHGGSVNWVLSGSAGPEPDFSPLASNAGKVITGLDSVPTNIDGLPQSPELVAQEKKNQFAGVNGYGVASASKAQVVGWPPIRSFRKNTMASHHPKNDGDSEAKLGTGCLYIKVSMDGAPYLRKIDLKTNGSYTELSSALEKMFSCFTIGQCGSHGIPSRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWKMFIDSCRRLRIMKSSEAIGLVEYWAFQYLLFFAAPRAMEKCRSCN >Manes.09G016766.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3663988:3664953:-1 gene:Manes.09G016766.v8.1 transcript:Manes.09G016766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSTGRNISLDVFTFNILIDTLCKKGLVSIAQNIIKIMIQRGVEPNVVTYNSLMDGYCLCKQIDKAGKVFDLMVTSEIADIFSYNILINGYCKCKMIDDAKELFDEMSHKCLVPDVVTYSTLIEGMFQAGRPQTAQELLKNMSSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDFYVYNAIMKGLCQQGLMDEVYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKWFSADAATTELVVHLLRNNDLILRLLKVRNEGSAN >Manes.17G079128.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28127548:28136817:1 gene:Manes.17G079128.v8.1 transcript:Manes.17G079128.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLDVHFWIDAHADQFHDVFSCRPHHISNMSPHKIQGCDLDEDGSAKVAKDLVETIDDVNLLTVFKVIEVDLLKEYKSYKLTLQATPKGEGSVVRLTLEYEKIYENIRYPYSLLEFIVQFNGSAKIAKDLIESIDDVNLLIVFKVIEGDILKEYKSFKLTFHENIRDPYSLLEFIVQFSKDVSALLVKCQKK >Manes.14G162500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22707900:22711875:-1 gene:Manes.14G162500.v8.1 transcript:Manes.14G162500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIELRQEQRNCVRSMKEQGILDDHFDHVLDLRSTDNPRFVVEVISMFLNDAENCVAELNRCLNQPVVNYARVINYAHQLKGCSSSIGARQVNLTCRELRNASDAMDQDGCFEAFNKLNNAFQVLKNNLNAITQIERHIMANESRRRRRLLRP >Manes.15G079300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6096622:6100867:1 gene:Manes.15G079300.v8.1 transcript:Manes.15G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLILFLITRLFVQSEAVSRADFPSGFTFGTAASAYQFEGAVNEGNKGASIWDTFTRQPGRILDFSNADTAVDQYHRFKGDIDLMKDLGMDAYRFSISWPRIFPNGTGKPNPEGIDYYNSLIDALLEKGIQPYVTLYHWDLPQILEDKYGGWLSKQIVKDFEHYAFTCFEAFGDRVKHWITFNEPHGFSIQGYDTGIQAPGRCSILGHLLCKEGNSSAEPYIVAHNILLSHAATYRCYQSHFKAKQGGQIGIALDSKWYEPISDADEDKDAAHRAMVFAIGWFLDPLFFGKYPLSMEKLVGERLPEITPEASKALAGSLDFVGINHYTSLYVRNDRTRIQKLILQDASTDAAVITTAYRHGIAIGERAASRWLHIVPWGIRKLVNYVKDKYKNPPVIITENGMDDANTPFISLNKALQDDKRIEYHRDYLSNLSAAIREDKCNVRGYFVWSVLDNWEWNSGYTVRFGLYFVDYKNNLTRIPKASAQWFKSILRLNSDLQSQL >Manes.15G079300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6096622:6100867:1 gene:Manes.15G079300.v8.1 transcript:Manes.15G079300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLILFLITRLFVQSEAVSRADFPSGFTFGTAASAYQFEGAVNEGNKGASIWDTFTRQPGRILDFSNADTAVDQYHRFKGDIDLMKDLGMDAYRFSISWPRIFPNGTGKPNPEGIDYYNSLIDALLEKGIQPYVTLYHWDLPQILEDKYGGWLSKQIVKDFEHYAFTCFEAFGDRVKHWITFNEPHGFSIQGYDTGIQAPGRCSILGHLLCKEGNSSAEPYIVAHNILLSHAATYRCYQSHFKAKQGGQIGIALDSKWYEPISDADEDKDAAHRAMVFAIGWFLDPLFFGKYPLSMEKLVGERLPEITPEASKALAGSLDFVGINHYTSLYVRNDRTRIQKLILQDASTDAAVITTAYRHGIAIGERAASRWLHIVPWGIRKLVNYVKDKYKNPPVIITENELQVWTMQIHHSYL >Manes.09G042400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7697355:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRYRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7697355:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRYRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7698355:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRYRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7698355:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7698355:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7697354:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7698355:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7698355:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7698355:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRYRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.09G042400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7693319:7697354:1 gene:Manes.09G042400.v8.1 transcript:Manes.09G042400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKKPEIHMTSVAAFVEGGIQDTCEDACSICLEEFCESEPSTVTNCRHEYHLQCILEWCQRSSECPMCLQALSLKDPTSQELLEGVEQERKFRVASSRNPTIFRHPTLGGFELRHLPVGASDSDLEERIIQHLAAAAAMGRSHHFSRREGQRNRQSSHGRPHYMVFSTHPGAPSSDHVSSSMTRVGGENEPATVAVASRSTRIEFHGDEMPQETVQFPPAVTGQSSSVSRSTIISTNRRGMSFNNRAASHSSSPNEDRGGPSELQSGSFKSRLNAVSIRESISRSTRGWKERLFSRSSSVSDLGSELRREVNAGIASVSRMMECIETRDNRRANRASIPTHSTEYLVAERSNQNSSHIRRQSTRNESKTPASYGASSASS >Manes.07G015250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1814356:1816660:1 gene:Manes.07G015250.v8.1 transcript:Manes.07G015250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQEESKCTIGQMSLNTLVKASSSAIVAPTIDAESFQIDPGFIHLVMQNQFSGLPSEDPNQHIATFLEICDVVAVNGAFSDAIRLRLFPFSIRDKAKDWLRGLPGGSITTWYKLVYVFFKRFFPRSRISASWKQITSFKKYDNETLCEAWDRYNLMLRKCPCEQPPDMIVYTFYNCLEPNLRTSVDVASGFSFLMKTLTQARDLLETIATTESQWHSESIIQKEAEAIYDTESALAALEAKVDSLAEQLSRSSINMVQTKALACDFSAGQHRNIDCKTGNEDEQANKPSVVDRIDKLEDAITKFMNETTKIFWKQSNLINDLETQVGQFANMMAEGN >Manes.18G000825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:458545:460160:1 gene:Manes.18G000825.v8.1 transcript:Manes.18G000825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRPLTKITSFPLKKSPMQFPNPPPQPQPQAHLPKSPCTLELPTSPHLVLGEEVFLFLHHQHGLYKVDLPDLFTCAGCKEYGSGKRFTCQQCDFQLHEFCGLVPQQVKAHPLHLHHQLLFSSKPVKGGILKSKCDVCGKATKGYTFRCSACSYQMHPCCAMLSSEINISVHPHPLRILPSAISLPNGEPGLLCGECNRAKRSGRVYRCTVCEYHLHAVCAKNMVNGLQANGIKGVEKPSMLGTAARLASQVIIEFIGGLIEGLGEGVGEAFIQSVARGRRPRRPPIE >Manes.11G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5860318:5862408:1 gene:Manes.11G051700.v8.1 transcript:Manes.11G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARGFLLVNKHFQVGSFQNKEINQMKKAVKDEMRMASASSRRKIISQEYGVRKKHFGCVNVATGEERQKLDSWKSNISGGSGRLVQDGLVYRQNFLVRSFEICFDGKLSLAALTNYLQDTALEQCRVIGISADGFGSTPEMNRQDLIWVTSTLQIVVDSYPSWHDCVEVDTWFYPSGQNSVGRDWVVRDGKTGSTLAQATSLWVLMNKKTRKLSKLKEEIRDELAPHMRNCDPIIVKDSRKLLRLDVDTADFAREGVKPEWDQLDLNQHVNHVQYINWILEVVPRSLVEHHKLSAITLEYRKECTTDSVLQSLARIVKDGVRHNSNDKVIELEHLLLLENGSEIARGSTSWTPRDFPAEITTNHKVLITEPAK >Manes.06G022200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4170842:4173905:1 gene:Manes.06G022200.v8.1 transcript:Manes.06G022200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLECAFNLLPPSPKSKSFSVHCVDELDYLQTKALNISFQITGLDKHHSSLKDRMSEKNNIDFIRKTMQMHEDTFKQQVRELHRLYNVQKMLMNELSKEIKQNKKYWSPMTRSSDINQHNPTTQTTYMYSFKLEDSSPRERSGSCPGETIRMITGRFDLERPAAEEAIDDPWAAGKSSFIPFMSNNGSDQESEVELTLSIGGSSTSSKKMIMSTNQELGLSEQMQKSIKELDSPASIMSGKGENCSDPTTPMSSSSTTFDQERKQPHWLLQGLNINRTT >Manes.05G182600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30062937:30068668:-1 gene:Manes.05G182600.v8.1 transcript:Manes.05G182600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFIRESGRPKSMENLWILAVLLFHFGLGLAASHSTVNYLPGFRGPLPFELQTGYVGVDKSEDVQLFYYFIKSEGNPKEDPLLLWLTGGPGCSGLSCLLFEIGPVAVEVVEYNGSLPTLTLNTHSWTQVASIIFIDMPVGTGFSYARTQLASHSSDLMQVRQAIEFLRKWLRDHPEFISNPIYISGDSYSGKTIPAITQQISEENEKGMEPLVNLKGYIIGNGVTDSSFDSNSKIPYAHGMSLISEELYESLKRSCGEEYVDIDPSNTECLKHMQEFNEDLSGIFPNHILEPICAFASPKPFELFEKTRSRSFGDNSKDILQIDPFPTIGCRSYGYLLSYIWVNDKSVREALHIREGTVKHWLRCNYGISYTNDMPSSIKYHLYLSKKGYRSLIYSGDHDMIVPFLGTQGWIRSLNYSIVNDWRPWLVEGQIAGYTRTYSNRMTFATVKNGGHTAPEYKPAECIAMLKRWISEKPL >Manes.10G139900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30718822:30737730:-1 gene:Manes.10G139900.v8.1 transcript:Manes.10G139900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTLLQPEPFIAFPTNQKHKMAQGSLYHITGEIIRKLRFLDVHDIGVCCGVEDELQKLKAEFSVIQDVLLVAEKMRNPDVQVKDWLGKFQEVVYDADDLVDDLATEALRRHVMTGNRMAKEVSLCFSSSNRLVYGFKMGHKIKAIRQSLADIEANRNLNLEGRSLIAESGYTTRKRDQADSFAFEVVIGREREKKEIVDHLLDSNSEENVSVLSIVGIGGVGKTTLAKLIFNDEKVREHFDLKLWVSVSDNFDVKIIVKKILESAKCGMLEDLVLETLIRKLREIMNGKKYLLVLDDVWNENIEKWLDLKQVLMCGSRGSRILLTTRSIRIAQIVGTQPHHYLEGLTMEESWFLFKKIVFKGQEPKSSEVVEIGKQVIKKCVGVPLAINAIASILLFKDPEREWLPFLEGNLLNLADDDIFDVLKLSYDELPSHLKCCFAYCALFQKDHVIDVKTLIHLWVAQGFIQSSNSKCVEDIGIEYFKELWCRSFFQEVERDEFGNIESCKMHDLMHDFAIFVAGEGIRHIPFDSDSWSWNHFPIELVNMKKLRTLLLPSGNQNRWIDEELSHYKALFSNCRSLRVLEVHYLDIQKVLPSVKRLKHLRYIDFSDNHELNELPDSITKLLNLQVLNVSGCDSLKELPKDTRKLVNLRHLYCERCWSLTHMPRGLGQLASLQTLSCFVVDSSLSKPVARLSELNRLNDLRGRLVIRNLGCLKDGMREFEAADLKAKQYLQSLILSWDQDDYDDDCEHMALENVQVPPNLKELKLFNYSGSRLPSLISSNANLVNISIAYCRRLQHLPVLHKLPCLKKLKIDGLCDLEYIDYEEDDCLSGGETIFFPSLKYLRLWNCPNLRGWRKKGDDSTLELLQFPCLSTFICSRCPNLTWIHQLSSLDELLDLDDASNQLLHHIFTTSISSSSSSVIPPLSNLKKLWIENMADLDSLPENALWSLASLQELRILNCPRLRFLPPELRFLTSLRQLEISKCPLLEERYGDLDQMDADWTSISHIPNIQIGDKRIQQEASFLLERKNPAQSDNKL >Manes.10G139900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30719781:30737730:-1 gene:Manes.10G139900.v8.1 transcript:Manes.10G139900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTLLQPEPFIAFPTNQKHKMAQGSLYHITGEIIRKLRFLDVHDIGVCCGVEDELQKLKAEFSVIQDVLLVAEKMRNPDVQVKDWLGKFQEVVYDADDLVDDLATEALRRHVMTGNRMAKEVSLCFSSSNRLVYGFKMGHKIKAIRQSLADIEANRNLNLEGRSLIAESGYTTRKRDQADSFAFEVVIGREREKKEIVDHLLDSNSEENVSVLSIVGIGGVGKTTLAKLIFNDEKVREHFDLKLWVSVSDNFDVKIIVKKILESAKCGMLEDLVLETLIRKLREIMNGKKYLLVLDDVWNENIEKWLDLKQVLMCGSRGSRILLTTRSIRIAQIVGTQPHHYLEGLTMEESWFLFKKIVFKGQEPKSSEVVEIGKQVIKKCVGVPLAINAIASILLFKDPEREWLPFLEGNLLNLADDDIFDVLKLSYDELPSHLKCCFAYCALFQKDHVIDVKTLIHLWVAQGFIQSSNSKCVEDIGIEYFKELWCRSFFQEVERDEFGNIESCKMHDLMHDFAIFVAGEGIRHIPFDSDSWSWNHFPIELVNMKKLRTLLLPSGNQNRWIDEELSHYKALFSNCRSLRVLEVHYLDIQKVLPSVKRLKHLRYIDFSDNHELNELPDSITKLLNLQVLNVSGCDSLKELPKDTRKLVNLRHLYCERCWSLTHMPRGLGQLASLQTLSCFVVDSSLSKPVARLSELNRLNDLRGRLVIRNLGCLKDGMREFEAADLKAKQYLQSLILSWDQDDYDDDCEHMALENVQVPPNLKELKLFNYSGSRLPSLISSNANLVNISIAYCRRLQHLPVLHKLPCLKKLKIDGLCDLEYIDYEEDDCLSGGETIFFPSLKYLRLWNCPNLRGWRKKGDDSTLELLQFPCLSTFICSRCPNLTWIHQLSSLDELLDLDDASNQLLHHIFTTSISSSSSSVIPPLSNLKKLWIENMADLDSLPENALWSLASLQELRILNCPRLRFLPPELRFLTSLRQLEISKCPLLEERYGDLDQMDADWTSISHIPNIQIGDKRIQQEASFLLERKNPAQSDNKL >Manes.10G139900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30734143:30738951:-1 gene:Manes.10G139900.v8.1 transcript:Manes.10G139900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGRSALLAVCTQTNQTKDVLLVAEKMRNPDVQVKDWLGKFQEVVYDADDLVDDLATEALRRHVMTGNRMAKEVSLCFSSSNRLVYGFKMGHKIKAIRQSLADIEANRNLNLEGRSLIAESGYTTRKRDQADSFAFEVVIGREREKKEIVDHLLDSNSEENVSVLSIVGIGGVGKTTLAKLIFNDEKVREHFDLKLWVSVSDNFDVKIIVKKILESAKCGMLEDLVLETLIRKLREIMNGKKYLLVLDDVWNENIEKWLDLKQVLMCGSRGSRILLTTRSIRIAQIVGTQPHHYLEGLTMEESWFLFKKIVFKGQEPKSSEVVEIGKQVIKKCVGVPLAINAIASILLFKDPEREWLPFLEGNLLNLADDDIFDVLKLSYDELPSHLKCCFAYCALFQKDHVIDVKTLIHLWVAQGFIQSSNSKCVEDIGIEYFKELWCRSFFQEVERDEFGNIESCKMHDLMHDFAIFVAGEGIRHIPFDSDSWSWNHFPIELVNMKKLRTLLLPSGNQNRWIDEELSHYKALFSNCRSLRVLEVHYLDIQKVLPSVKRLKHLRYIDFSDNHELNELPDSITKLLNLQVLNVSGCDSLKELPKDTRKLVNLRHLYCERCWSLTHMPRGLGQLASLQTLSCFVVDSSLSKPVARLSELNRLNDLRGRLVIRNLGCLKDGMREFEAADLKAKQYLQSLILSWDQDDYDDDCEHMALENVQVPPNLKELKLFNYSGSRLPSLISSNANLVNISIAYCRRLQHLPVLHKLPCLKKLKIDGLCDLEYIDYEEDDCLSGGETIFFPSLKYLRLWNCPNLRGWRKKGDDSTLELLQFPCLSTFICSRCPNLTWIHQLSSLDELLDLDDASNQLLHHIFTTSISSSSSSVIPPLSNLKKLWIENMADLDSLPENALWSLASLQELRILNCPRLRFLPPELRFLTSLRQLEISKCPLLEERYGDLDQMDADWTSISHIPNIQIGDKRIQQEASFLLERKNPAQSDNKL >Manes.10G139900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30734144:30737996:-1 gene:Manes.10G139900.v8.1 transcript:Manes.10G139900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTLLQPEPFIAFPTNQKHKMAQGSLYHITGEIIRKLRFLDVHDIGVCCGVEDELQKLKAEFSVIQDVLLVAEKMRNPDVQVKDWLGKFQEVVYDADDLVDDLATEALRRHVMTGNRMAKEVSLCFSSSNRLVYGFKMGHKIKAIRQSLADIEANRNLNLEGRSLIAESGYTTRKRDQADSFAFEVVIGREREKKEIVDHLLDSNSEENVSVLSIVGIGGVGKTTLAKLIFNDEKVREHFDLKLWVSVSDNFDVKIIVKKILESAKCGMLEDLVLETLIRKLREIMNGKKYLLVLDDVWNENIEKWLDLKQVLMCGSRGSRILLTTRSIRIAQIVGTQPHHYLEGLTMEESWFLFKKIVFKGQEPKSSEVVEIGKQVIKKCVGVPLAINAIASILLFKDPEREWLPFLEGNLLNLADDDIFDVLKLSYDELPSHLKCCFAYCALFQKDHVIDVKTLIHLWVAQGFIQSSNSKCVEDIGIEYFKELWCRSFFQEVERDEFGNIESCKMHDLMHDFAIFVAGEGIRHIPFDSDSWSWNHFPIELVNMKKLRTLLLPSGNQNRWIDEELSHYKALFSNCRSLRVLEVHYLDIQKVLPSVKRLKHLRYIDFSDNHELNELPDSITKLLNLQVLNVSGCDSLKELPKDTRKLVNLRHLYCERCWSLTHMPRGLGQLASLQTLSCFVVDSSLSKPVARLSELNRLNDLRGRLVIRNLGCLKDGMREFEAADLKAKQYLQSLILSWDQDDYDDDCEHMALENVQVPPNLKELKLFNYSGSRLPSLISSNANLVNISIAYCRRLQHLPVLHKLPCLKKLKIDGLCDLEYIDYEEDDCLSGGETIFFPSLKYLRLWNCPNLRGWRKKGDDSTLELLQFPCLSTFICSRCPNLTWIHQLSSLDELLDLDDASNQLLHHIFTTSISSSSSSVIPPLSNLKKLWIENMADLDSLPENALWSLASLQELRILNCPRLRFLPPELRFLTSLRQLEISKCPLLEERYGDLDQMDADWTSISHIPNIQIGDKRIQQEASFLLERKNPAQSDNKL >Manes.18G143300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32948698:32950001:-1 gene:Manes.18G143300.v8.1 transcript:Manes.18G143300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKREEPFFHHFLSSGIGLFSEYGYNLESGVCNHGFFMMAPNRWCPQTKTLQRPLRLSDGTTSVLASITHTPDKPYVSINVYGVEHLSDEDAALIESKVNRMLRLTEILEEFHKKHPEAKKKAFGRLFRSPTLFEDAVKCILLCNCTWKRTLSMAEALCKLQPQLASVLEYETSKARKQGKRFEPHAGPVLKGKTRFKVNNKRAKLEPNPSLEGMANFPSSKELALVDVDYLNMRCNLGHRAKTIIDLAVSVESGKLKLKEHESSVLTIGGVDDSHVDISNQLKKIKGLGPFTCANILMCIGFYHQIPTDTETIRLV >Manes.18G001300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:596892:601933:-1 gene:Manes.18G001300.v8.1 transcript:Manes.18G001300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFIVSSIPVLKVLLITSLGTFLALDRVDILREDARKHVNNVVFYVFNPALVASKLAETVSYDSIVKLWFMPLNILITFIVGSILGWILVQLTRPPPHLRGLIVGCCAAGNLGNMLLIMIPAVCKEKGSPFGAPDVCQSYGLAYVSLSMAIGAIYLWSYVYNIVRASAAGTTKDYIDKCSRESSAIEQGICTEPLLPSKDSLASERRIHQDALPGSRQFEMEDSGNQQLLRKLLGNINWKTIFAPSTIGAIVGFTIGLIPYSRKIMIGGDAPLHVIQDTASLLGEAAIPTLTLIVGGNLLKGLGGSGMQKSIILGIIVARYIALPLIGIFIVKGALRLGFIPADPLYQFVLLLQFAVPPAMNIGVITQLFGAGETECSVIMLWAYALASISLTLWSTLFMWLVA >Manes.18G001300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:596896:601493:-1 gene:Manes.18G001300.v8.1 transcript:Manes.18G001300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFIVSSIPVLKVLLITSLGTFLALDRVDILREDARKHVNNVVFYVFNPALVASKLAETVSYDSIVKLWFMPLNILITFIVGSILGWILVQLTRPPPHLRGLIVGCCAAGNLGNMLLIMIPAVCKEKGSPFGAPDVCQSYGLAYVSLSMAIGAIYLWSYVYNIVRASAAGTTKDYIDKCSRESSAIEQGICTEPLLPSKDSLASERRIHQDALPGSRQFEMEDSGNQQLLRKLLGNINWKTIFAPSTIGAIVGFTIGLIPYSRKIMIGGDAPLHVIQDTASLLGEAAIPTLTLIVGGNLLKGLGGSGMQKSIILGIIVARYIALPLIGIFIVKGALRLGFIPADPLYQFVLLLQFAVPPAMNIGVITQLFGAGETECSVIMLWAYALASISLTLWSTLFMWLVA >Manes.18G001300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:596895:601700:-1 gene:Manes.18G001300.v8.1 transcript:Manes.18G001300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFIVSSIPVLKVLLITSLGTFLALDRVDILREDARKHVNNVVFYVFNPALVASKLAETVSYDSIVKLWFMPLNILITFIVGSILGWILVQLTRPPPHLRGLIVGCCAAGNLGNMLLIMIPAVCKEKGSPFGAPDVCQSYGLAYVSLSMAIGAIYLWSYVYNIVRASAAGTTKDYIDKCSRESSAIEQGICTEPLLPSKDSLASERRIHQDALPGSRQFEMEDSGNQQLLRKLLGNINWKTIFAPSTIGAIVGFTIGLIPYSRKIMIGGDAPLHVIQDTASLLGEAAIPTLTLIVGGNLLKGLGGSGMQKSIILGIIVARYIALPLIGIFIVKGALRLGFIPADPLYQFVLLLQFAVPPAMNIGISLNQFRGMVSVFLIYLLAYSICMPLKKRHPSV >Manes.18G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:596895:601493:-1 gene:Manes.18G001300.v8.1 transcript:Manes.18G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFIVSSIPVLKVLLITSLGTFLALDRVDILREDARKHVNNVVFYVFNPALVASKLAETVSYDSIVKLWFMPLNILITFIVGSILGWILVQLTRPPPHLRGLIVGCCAAGNLGNMLLIMIPAVCKEKGSPFGAPDVCQSYGLAYVSLSMAIGAIYLWSYVYNIVRASAAGTTKDYIDKCSRESSAIEQGICTEPLLPSKDSLASERRIHQDALPGSRQFEMEDSGNQQLLRKLLGNINWKTIFAPSTIGAIVGFTIGLIPYSRKIMIGGDAPLHVIQDTASLLGEAAIPTLTLIVGGNLLKGLGGSGMQKSIILGIIVARYIALPLIGIFIVKGALRLGFIPADPLYQFVLLLQFAVPPAMNIGISLNQFRGMVSVFLIYLLAYSICMPLKKRHPSV >Manes.18G001300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:596892:601493:-1 gene:Manes.18G001300.v8.1 transcript:Manes.18G001300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFIVSSIPVLKVLLITSLGTFLALDRVDILREDARKHVNNVVFYVFNPALVASKLAETVSYDSIVKLWFMPLNILITFIVGSILGWILVQLTRPPPHLRGLIVGCCAAGNLGNMLLIMIPAVCKEKGSPFGAPDVCQSYGLAYVSLSMAIGAIYLWSYVYNIVRASAAGTTKDYIDKCSRESSAIEQGICTEPLLPSKDSLASERRIHQDALPGSRQFEMEDSGNQQLLRKLLGNINWKTIFAPSTIGAIVGFTIGLIPYSRKIMIGGDAPLHVIQDTASLLGEAAIPTLTLIVGGNLLKGLGGSGMQKSIILGIIVARYIALPLIGIFIVKGALRLGFIPADPLYQFVLLLQFAVPPAMNIGVITQLFGAGETECSVIMLWAYALASISLTLWSTLFMWLVA >Manes.18G001300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:596892:601933:-1 gene:Manes.18G001300.v8.1 transcript:Manes.18G001300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNILITFIVGSILGWILVQLTRPPPHLRGLIVGCCAAGNLGNMLLIMIPAVCKEKGSPFGAPDVCQSYGLAYVSLSMAIGAIYLWSYVYNIVRASAAGTTKDYIDKCSRESSAIEQGICTEPLLPSKDSLASERRIHQDALPGSRQFEMEDSGNQQLLRKLLGNINWKTIFAPSTIGAIVGFTIGLIPYSRKIMIGGDAPLHVIQDTASLLGEAAIPTLTLIVGGNLLKGLGGSGMQKSIILGIIVARYIALPLIGIFIVKGALRLGFIPADPLYQFVLLLQFAVPPAMNIGVITQLFGAGETECSVIMLWAYALASISLTLWSTLFMWLVA >Manes.18G001300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:596892:601493:-1 gene:Manes.18G001300.v8.1 transcript:Manes.18G001300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNILITFIVGSILGWILVQLTRPPPHLRGLIVGCCAAGNLGNMLLIMIPAVCKEKGSPFGAPDVCQSYGLAYVSLSMAIGAIYLWSYVYNIVRASAAGTTKDYIDKCSRESSAIEQGICTEPLLPSKDSLASERRIHQDALPGSRQFEMEDSGNQQLLRKLLGNINWKTIFAPSTIGAIVGFTIGLIPYSRKIMIGGDAPLHVIQDTASLLGEAAIPTLTLIVGGNLLKGLGGSGMQKSIILGIIVARYIALPLIGIFIVKGALRLGFIPADPLYQFVLLLQFAVPPAMNIGVITQLFGAGETECSVIMLWAYALASISLTLWSTLFMWLVA >Manes.18G001300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:596896:601493:-1 gene:Manes.18G001300.v8.1 transcript:Manes.18G001300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNILITFIVGSILGWILVQLTRPPPHLRGLIVGCCAAGNLGNMLLIMIPAVCKEKGSPFGAPDVCQSYGLAYVSLSMAIGAIYLWSYVYNIVRASAAGTTKDYIDKCSRESSAIEQGICTEPLLPSKDSLASERRIHQDALPGSRQFEMEDSGNQQLLRKLLGNINWKTIFAPSTIGAIVGFTIGLIPYSRKIMIGGDAPLHVIQDTASLLGEAAIPTLTLIVGGNLLKGLGGSGMQKSIILGIIVARYIALPLIGIFIVKGALRLGFIPADPLYQFVLLLQFAVPPAMNIGVITQLFGAGETECSVIMLWAYALASISLTLWSTLFMWLVA >Manes.05G185900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30542131:30549128:-1 gene:Manes.05G185900.v8.1 transcript:Manes.05G185900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEDEIVPFHRSGKRGEEEYVGGEEEEEVSSIDAGFFPPPPHNALPVQRNVVVGYALTSKKVKSFLQPKLEGLARKKGILFVAIDQNRPLSEQGPFDIVLHKLTGKEWLQILEDYRRTYPEVTILDPPDAIQHLHNRQSMLQCVADMNLSNSYGKVDIPRQLVIKKDAACIPDAVTNAGLMLPIVAKPLVADGSAKSHELSLAYDQNSLQKLEPPLVLQEFVNHELPPQPLLEKLSKELRRRLGLRLFNLDIIREHGTRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGHSEYKKRSF >Manes.05G185900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30542131:30549127:-1 gene:Manes.05G185900.v8.1 transcript:Manes.05G185900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEDEIVPFHRSGKRGEEEYVGGEEEEEVSSIDAGFFPPPPHNALPVQRNVVVGYALTSKKVKSFLQPKLEGLARKKGILFVAIDQNRPLSEQGPFDIVLHKLTGKEWLQILEDYRRTYPEVTILDPPDAIQHLHNRQSMLQCVADMNLSNSYGKVDIPRQLVIKKDAACIPDAVTNAGLMLPIVAKPLVADGSAKSHELSLAYDQNSLQKLEPPLVLQEFVNHGGVLFKVYIVGETIKVVRRFSLPDVCKRELCNSAGVFRFPRVSCAAASADGADLDPGVAELPPQPLLEKLSKELRRRLGLRLFNLDIIREHGTRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGHSEYKKRSF >Manes.05G185900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30542131:30549090:-1 gene:Manes.05G185900.v8.1 transcript:Manes.05G185900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEDEIVPFHRSGKRGEEEYVGGEEEEEVSSIDAGFFPPPPHNALPVQRNVVVGYALTSKKVKSFLQPKLEGLARKKGILFVAIDQNRPLSEQGPFDIVLHKLTGKEWLQILEDYRRTYPEVTILDPPDAIQHLHNRQSMLQCVADMNLSNSYGNFSCSSCKVDIPRQLVIKKDAACIPDAVTNAGLMLPIVAKPLVADGSAKSHELSLAYDQNSLQKLEPPLVLQEFVNHGGVLFKVYIVGETIKVVRRFSLPDVCKRELCNSAGVFRFPRVSCAAASADGADLDPGVAELPPQPLLEKLSKELRRRLGLRLFNLDIIREHGTRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGHSEYKKRSF >Manes.05G185900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30543386:30545207:-1 gene:Manes.05G185900.v8.1 transcript:Manes.05G185900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCVADMNLSNSYGKVDIPRQLVIKKDAACIPDAVTNAGLMLPIVAKPLVADGSAKSHELSLAYDQNSLQKLEPPLVLQEFVNHGGVLFKVYIVGETIKVVRRFSLPDVCKRELCNSAGVFRFPRVSCAAASADGADLDPGVAELPPQPLLEKLSKELRRRLGLRLFNLDIIREHGTRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGHSEYKKRSF >Manes.05G185900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30542131:30549090:-1 gene:Manes.05G185900.v8.1 transcript:Manes.05G185900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEDEIVPFHRSGKRGEEEYVGGEEEEEVSSIDAGFFPPPPHNALPVQRNVVVGYALTSKKVKSFLQPKLEGLARKKGILFVAIDQNRPLSEQGPFDIVLHKLTGKEWLQILEDYRRTYPEVTILDPPDAIQHLHNRQSMLQCVADMNLSNSYGKVDIPRQLVIKKDAACIPDAVTNAGLMLPIVAKPLVADGSAKSHELSLAYDQNSLQKLEPPLVLQEFVNHGGVLFKVYIVGETIKVVRRFSLPDVCKRELCNSAGVFRFPRVSCAAASADGADLDPGVAELPPQPLLEKLSKELRRRLGLRLFNLDIIREHGTRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGHSEYKKRSF >Manes.14G116800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11090491:11093171:-1 gene:Manes.14G116800.v8.1 transcript:Manes.14G116800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPFTIFILLVTFLFVFFFFFFSPLNQNRIITTVSSLSPKTNSLQSLPPPSASPSLSPPPENRTFGIGPKQNGSLGRMEDCLARSRAAIREAVRTQKCSSCKEKTFVPRGAIYRNSYAFHQSYIEMEKRFRVWVYKEGEPPLVHIGPVIDIYGIEGQFIDEMESGKSHFLARNPDEANVFFIPISITNIISYVYNPLVTFSRAQLQNIVTDYIGVISDKYPFWNRSNGADHFLISCHDWAPDVSKANPELYKNFIHVLCNANYSEMYQPQRDISMPEIKIPPGKLLGTSPRDGQPPSKRTILAFFAGGSHGYIRKVLLNHWKDKDDEIQVHEYLDKKKNYFKLMGRSKFCLCPSGYEVASPRVVTAIQLGCVPVIMSENYTLPFSDVLDWSKFTVRIPLEKIAEIKTILKRISQRRYLQLQRRVMLVRKHFVVNRPAQPYDMIDMVLHSLWLRRLNLRLPY >Manes.13G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2938343:2938842:-1 gene:Manes.13G020200.v8.1 transcript:Manes.13G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMTLGAFVFWQSMEKIHVWIALHQDEKKERLEKEAEIRRVREELLRQAKEREDALA >Manes.10G059900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8257386:8264381:-1 gene:Manes.10G059900.v8.1 transcript:Manes.10G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNDLDGFKLDQRHGKARVRVTRVWRTNHGGNHFMVEWNVSISLISDCLNAYVSDDNSNIVATDTMKNTVYVMAKQCSERLSVENFAILLAKHFTSYYKQVNAAIIKIVEKPWERVSIDGQLHTHGFKLGSEKHTTEVIVKKSGALQLSSGIEGMSVVKTTKSGFEGFVRDKYTALPETRERILATEVTASWKYSYESVASIPQIPLYFTEKYMDVKRVLAKTFFGPPNEGVYSASVQSTLYQMAKAVINSFPDISAVHLEMPNIHFLPANISSKDNTIVKFNDDVYVPTDEPHGTIEATLSRTWSKM >Manes.08G151900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39073365:39075733:1 gene:Manes.08G151900.v8.1 transcript:Manes.08G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNQGQFLNSPGHHGTERSEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQMQASLAEAQRNQQAQASGGVIQYEGGSGGSSGGSSSLMGFANSSSFAVSPYHVGSSSSCGIFGHEDGVANLFPMPNQMGFQEIEHGSSISSILSPSETSNFGGFITVFINGVPTEVPRGPLDMKAMFGQDVMLVHSSGVILPSNEYGFLMESLQHGESYFLVSRPT >Manes.04G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4496597:4501810:-1 gene:Manes.04G034900.v8.1 transcript:Manes.04G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNPFLSQSQKLPSFALPPMASLRSPKFYMASTLKTGSKEVENLKKPFTPPREVHVQVTHSMPPQKIEIFKSLDDWAEKNILIHLKPVEKCWQPQDFLPDPASDGFDEQVKELRERAKEIPDDYLVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKEHGDIKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTVLAFADMMRKKISMPAHLMYDGRDDTLFDHFSAVAQRLGVYTAKDYADILEFLVGRWKVDKLTGLSSEGQEAQDYVCRLPPRIRRLEERAQGRAKEAATIPFSWIFDREVKL >Manes.08G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33081964:33084392:1 gene:Manes.08G098600.v8.1 transcript:Manes.08G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGQLSRNIKELRILLCQSSPASSSARSFVEKNYKDLKTLNPKLPILIRESTGIKPQLWARYDMGVERCIQLEGLSEPQISKALEDLAKVGAALKA >Manes.11G152400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31983532:31985737:1 gene:Manes.11G152400.v8.1 transcript:Manes.11G152400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEAQEEFMGSNDLSQIVKSKRTKRLRSSSAVTSSSSSGFGGSGGETGLVGEEHGSISSPTSCSEIYESTEEEEDMANCLILLAQGDGPRKQQQQVSDENNGRMEKEKFSARKFSDPSATTNYKPDFFVYECKTCNRTFSSFQALGGHRASHKKPKSIVEEKKILLVSPLMDDLEDCQLNKSSSTFSSDHLQPQPPPSLQTSNKGCGFLGNNKAKIHECSICGSEFTSGQALGGHMRRHRANTANNVNSNDQMKPRNILSLDLNLPAPEEEHHHRESKFQFAATTQQALVFSSPALVDCHY >Manes.15G183600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:29427962:29434884:1 gene:Manes.15G183600.v8.1 transcript:Manes.15G183600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSQLHSSEKGFQFSYSSKKINKINPPNPPTNLKLPLRRPDPVTKSPILSQPIKQFAATNNYSRRKQSSSSDIVRLMDSLNRPIPPDIYSSLIEECTLSSDSDEALYLHSRLINQSGLKLTSHLTYRLLLMLVSCGHLDTARNMFDQMTRRKGFLSWAIIIVGYMDNCRYEEVIDLFSKMMLHFNVYTSMLEFPTWVIVIVCFLKACVCSRNMILGKQVHGLLLKLGVTYDFSVNVALMDLYGKFGFLESATSVFNQLCHPNTTIWTVKIVNNCREGRFYEVMKDFREMGRVGIRRNRFTLSTVLKACARMDDGGRCGRQVHAFAIKLALESDAFVQCGLIDMYGKCQMIRDAKQMFEIVVDKTNVACWNTLLMAYVRSGLFTEAVKVLYQMKAAHLQVNESLINHVRIACITPTLKSRIGTTR >Manes.01G253200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41128900:41131553:1 gene:Manes.01G253200.v8.1 transcript:Manes.01G253200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLLQSAAASYRGQFPIISPPLSARIPYGNPRNGNSVVSVRATGGIVLVEKSEAEKTNRLKTTYLEKIVPLLMEEFSYTNILQVPKIEKVVVNCGIGDAAQNAKGLDAAMNDLAVITGQRPIKTRARNSIATFKIREGQPLGIAVTLRGSVMFSFLDRLINLGLPRTRDFQGVTPNSFDGHGNYSIGIRDQSVFPEIQYDALGKPKGMDVCITTTAKTDQEGQRLLALMGMPFRETSGTGVLPRKKKLKKHHFDSKSRGRR >Manes.18G049600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4254038:4258448:-1 gene:Manes.18G049600.v8.1 transcript:Manes.18G049600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGIVGLEGLVGSETTSSGFASLASSDPETKHKWYGSGFLKQERSGTTEDDWRSSKLSKTESMLLPAQRNTLLKSNTSTTAAATTTSTLFTDGQQQQQMLSFSCPKSEALSAERIPQNATLPYFHLTSSAYTKNTGYNYGSFSSANMHGVLNEARGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIRKALDSAGFSSFSGGLLRPNTLSWGNFHLGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAAASTTTAKSMPTAASSSSMSATVGGLHGGSGGGSSNSLAITQQQQLKHLQPDSSSNLSTATPLGRMFLDKEKLSERERLQDSLGLSMLSSNIDLKSKENSFFISKESSQIEFGLVNSDSLINPSQNSSSLINCRNFGSSQDLIDQGTVSQHSVRQFMNDWPKCQSDRSTVSWPQLDVQSDRTQLSISIPMSHTDYMSTTSSPNNEKVTLSPLRLSRDMDPIQMGLGVGNVLNEQNQRQANWIPISWENSMGGPLGEVLHNTNSSASECKSSSALNLMTEGWDSSLQIGSSPTGVLQKTTFASLSNSSAGSSPRAENNKTNEGQKTSSYSAVSSSHFQTRPPSEQLSMRKR >Manes.18G049600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4254038:4258448:-1 gene:Manes.18G049600.v8.1 transcript:Manes.18G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGIVGLEGLVGSETTSSGFASLASSDPETKHKWYGSGFLKQERSGTTEDDWRSSKLSKTESMLLPAQRNTLLKSNTSTTAAATTTSTLFTDGQQQQQMLSFSCPKSEALSAERIPQNATLPYFHLTSSAYTKNTGYNYGSFSSANMHGVLNEARGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIRKALDSAGFSSFSGGLLRPNTLSWGNFHLGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAAASTTTAKSMPTAASSSSMSATVGGLHGGSGGGSSNSLAITQQQQLKHLQPDSSSNLSTATPLGRMFLDKEKLSERERLQDSLGLSMLSSNIDLKSKENSFFISKESSQIEFGLVNSDSLINPSQNSSSLINCRNFGSSQDLIDQGTVSQHSVRQFMNDWPKCQSDRSTVSWPQLDVQSDRTQLSISIPMSHTDYMSTTSSPNNEKVTLSPLRLSRDMDPIQMGLGVGNVLNEQNQRQANWIPISWENSMGGPLGEVLHNTNSSASECKSSSALNLMTEGWDSSLQIGSSPTGVLQKTTFASLSNSSAGSSPRAENNKTNEGKTSSYSAVSSSHFQTRPPSEQLSMRKR >Manes.18G049600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4254038:4258448:-1 gene:Manes.18G049600.v8.1 transcript:Manes.18G049600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGYNYGSFSSANMHGVLNEARGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIRKALDSAGFSSFSGGLLRPNTLSWGNFHLGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAAASTTTAKSMPTAASSSSMSATVGGLHGGSGGGSSNSLAITQQQQLKHLQPDSSSNLSTATPLGRMFLDKEKLSERERLQDSLGLSMLSSNIDLKSKENSFFISKESSQIEFGLVNSDSLINPSQNSSSLINCRNFGSSQDLIDQGTVSQHSVRQFMNDWPKCQSDRSTVSWPQLDVQSDRTQLSISIPMSHTDYMSTTSSPNNEKVTLSPLRLSRDMDPIQMGLGVGNVLNEQNQRQANWIPISWENSMGGPLGEVLHNTNSSASECKSSSALNLMTEGWDSSLQIGSSPTGVLQKTTFASLSNSSAGSSPRAENNKTNEGKTSSYSAVSSSHFQTRPPSEQLSMRKR >Manes.18G049600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4254038:4258455:-1 gene:Manes.18G049600.v8.1 transcript:Manes.18G049600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGIVGLEGLVGSETTSSGFASLASSDPETKHKWYGSGFLKQERSGTTEDDWRSSKLSKTESMLLPAQRNTLLKSNTSTTAAATTTSTLFTDGQQQQQMLSFSCPKSEALSAERIPQNATLPYFHLTSSAYTKNTGYNYGSFSSANMHGVLNEARGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIRKALDSAGFSSFSGGLLRPNTLSWGNFHLGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAAASTTTAKSMPTAASSSSMSATVGGLHGGSGGGSSNSLAITQQQQLKHLQPDSSSNLSTATPLGRMFLDKEKLSERERLQDSLGLSMLSSNIDLKSKENSFFISKESSQIEFGLVNSDSLINPSQNSSSLINCRNFGSSQDLIDQGTVSQHSVRQFMNDWPKCQSDRSTVSWPQLDVQSDRTQLSISIPMSHTDYMSTTSSPNNEKVTLSPLRLSRDMDPIQMGLGVGNVLNEQNQRQANWIPISWENSMGGPLGEVLHNTNSSASECKSSSALNLMTEGWDSSLQIGSSPTGVLQKTTFASLSNSSAGSSPRAENNKTNEGASLCNDLLSSTLVQSSSLP >Manes.18G049600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4254038:4258448:-1 gene:Manes.18G049600.v8.1 transcript:Manes.18G049600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLNEARGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIRKALDSAGFSSFSGGLLRPNTLSWGNFHLGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAAASTTTAKSMPTAASSSSMSATVGGLHGGSGGGSSNSLAITQQQQLKHLQPDSSSNLSTATPLGRMFLDKEKLSERERLQDSLGLSMLSSNIDLKSKENSFFISKESSQIEFGLVNSDSLINPSQNSSSLINCRNFGSSQDLIDQGTVSQHSVRQFMNDWPKCQSDRSTVSWPQLDVQSDRTQLSISIPMSHTDYMSTTSSPNNEKVTLSPLRLSRDMDPIQMGLGVGNVLNEQNQRQANWIPISWENSMGGPLGEVLHNTNSSASECKSSSALNLMTEGWDSSLQIGSSPTGVLQKTTFASLSNSSAGSSPRAENNKTNEGKTSSYSAVSSSHFQTRPPSEQLSMRKR >Manes.11G165033.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32934992:32935786:1 gene:Manes.11G165033.v8.1 transcript:Manes.11G165033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSRGLCLNKPGSAAEPQVRPPNMGVFCMHVRPPKEVWLATYKSPSDQKWARFLPILELRVFKLRSKFWELGDPRSSFLPSPS >Manes.11G165033.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32934992:32937834:1 gene:Manes.11G165033.v8.1 transcript:Manes.11G165033.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSRGLCLNKPGSAAEPQVRPPNMGVFCMHVRPPKEVWLATYKSPSDQKWARFLPILELRVFKLRSKFWELGDPRSSFLPSPS >Manes.11G063200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8763129:8767300:1 gene:Manes.11G063200.v8.1 transcript:Manes.11G063200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANYPKSPESSFKVCMEKAKRQKAGSFAHIPVDVLRDILLRLPLSSLLRFKAVNKCWHSLISSHGFAIGRLKSQANNAGPSSYKYGVIRVLNIPQRPPQLSLYMHRKAEEEDSDALIVQTVGLPNPLKLLEDFKDTNGSQVFGSCNGLLLLGRGKKPEQFILWNPSTGQDKELPLNHFCECPITFMAGLGYDSFSDNYKVVAAVNDGGSQLMYIALYNLRTNSWSMWEKTVFPYKFSSSCSQPGITLANGAPHWLLNRHGTGGVANVIIYFDPVEENFKELSLPDSLVGDIFLGVCKGFLCVGSCNQIWIMKEYGVKESWTVLMDFPRYSAGYSIQNFEHLMLWQFPKMSTVLLILDGNSAALFKSNGTKYKAFSFSGYQEGLIITAYMESLVSPNNYALCTRD >Manes.11G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8763129:8767300:1 gene:Manes.11G063200.v8.1 transcript:Manes.11G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANYPKSMSYYFLLGASMKPESSFKVCMEKAKRQKAGSFAHIPVDVLRDILLRLPLSSLLRFKAVNKCWHSLISSHGFAIGRLKSQANNAGPSSYKYGVIRVLNIPQRPPQLSLYMHRKAEEEDSDALIVQTVGLPNPLKLLEDFKDTNGSQVFGSCNGLLLLGRGKKPEQFILWNPSTGQDKELPLNHFCECPITFMAGLGYDSFSDNYKVVAAVNDGGSQLMYIALYNLRTNSWSMWEKTVFPYKFSSSCSQPGITLANGAPHWLLNRHGTGGVANVIIYFDPVEENFKELSLPDSLVGDIFLGVCKGFLCVGSCNQIWIMKEYGVKESWTVLMDFPRYSAGYSIQNFEHLMLWQFPKMSTVLLILDGNSAALFKSNGTKYKAFSFSGYQEGLIITAYMESLVSPNNYALCTRD >Manes.18G101950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9586621:9588521:-1 gene:Manes.18G101950.v8.1 transcript:Manes.18G101950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTMHMEQLMNFKLLLLQLKENNGELENFGYRTSYAKEKLKEMVKGYFVEAQWFNDGYVPPFYEFMHNGLYTSGHGAIPAISFIRLENIVGNKEYEWVESNPKIVKSVKLLSRLINDITKRKDEEKKGDGPSGVDCYVNEYGVSREKEIEEIKKMCENAWKNMNEEYMEASGVSRALLKYYLYLGCTLEFIYNKRIDYFTDASSMKQYVTSLVLEQLALD >Manes.18G101950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9586763:9587391:-1 gene:Manes.18G101950.v8.1 transcript:Manes.18G101950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGYFVEAQWFNDGYVPPFYEFMHNGLYTSGHGAIPAISFIRLENIVGNKEYEWVESNPKIVKSVKLLSRLINDITKRKDEEKKGDGPSGVDCYVNEYGVSREKEIEEIKKMCENAWKNMNEEYMEASGVSRALLKYYLYLGCTLEFIYNKRIDYFTDASSMKQYVTSLVLEQLALD >Manes.07G070900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14199419:14205935:1 gene:Manes.07G070900.v8.1 transcript:Manes.07G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTILAIISLLIFTTSGEFTTDEANLRRRSAGETLCSKLIEPAGYPCTEHTIQTKDGYLLALQRVSSPNGNIRLQRGPPVLLQHGLFMAGDAWFLDSPEQSLGYILARQGFDVWVGNVRGTFWSYGHVSLSEKDKNFWDWSWQELALCDLSAMMHHIYSTTNSKILVVGHSQGTIMSLAALTQPNIAEMVEAAALLCPISYLEHISAPLVLRMVGLHLDQMVLAMGIHQLNFRSEVLINLLDSMCDGHVDCDDLLTSMTGQNCCLNNSRVDNFLEYEPHPSSVKNLRHLFQMIRQGTFSQYDYGIFKNLKLYGQVKPPAFDLSQIPKSLPLWMGYGGYDALADVADVEHTLKELQTKPELLYLENYGHLDFLMSVHGKEDVFDHMIRFFRSLGKSSA >Manes.07G070900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14199583:14201812:1 gene:Manes.07G070900.v8.1 transcript:Manes.07G070900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTILAIISLLIFTTSGEFTTDEANLRRRSAGETLCSKLIEPAGYPCTEHTIQTKDGYLLALQRVSSPNGNIRLQRGPPVLLQHGLFMAGDAWFLDSPEQSLGYILARQGFDVWVGNVRGTFWSYGHVSLSEKDKNFWDWSWQELALCDLSAMMHHIYSTTNSKILVVGHSQGTIMSLAALTQPNIAEMVEAAALLCPISYLEHISAPLVLRMVGLHLDQMVLAMGIHQLNFRSEVLINLLDSMCDGHVDCDDLLTSMTGHHSISLTNTMFIFLCCW >Manes.07G070900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14199419:14205979:1 gene:Manes.07G070900.v8.1 transcript:Manes.07G070900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAWFLDSPEQSLGYILARQGFDVWVGNVRGTFWSYGHVSLSEKDKNFWDWSWQELALCDLSAMMHHIYSTTNSKILVVGHSQGTIMSLAALTQPNIAEMVEAAALLCPISYLEHISAPLVLRMVGLHLDQMVLAMGIHQLNFRSEVLINLLDSMCDGHVDCDDLLTSMTGQNCCLNNSRVDNFLEYEPHPSSVKNLRHLFQMIRQGTFSQYDYGIFKNLKLYGQVKPPAFDLSQIPKSLPLWMGYGGYDALADVADVEHTLKELQTKPELLYLENYGHLDFLMSVHGKEDVFDHMIRFFRSLGKSSA >Manes.07G070900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14199419:14205935:1 gene:Manes.07G070900.v8.1 transcript:Manes.07G070900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTILAIISLLIFTTSGEFTTDEANLRRRSAGETLCSKLIEPAGYPCTEHTIQTKDGYLLALQRVSSPNGNIRLQRGPPVLLQHGLFMNFWDWSWQELALCDLSAMMHHIYSTTNSKILVVGHSQGTIMSLAALTQPNIAEMVEAAALLCPISYLEHISAPLVLRMVGLHLDQMVLAMGIHQLNFRSEVLINLLDSMCDGHVDCDDLLTSMTGQNCCLNNSRVDNFLEYEPHPSSVKNLRHLFQMIRQGTFSQYDYGIFKNLKLYGQVKPPAFDLSQIPKSLPLWMGYGGYDALADVADVEHTLKELQTKPELLYLENYGHLDFLMSVHGKEDVFDHMIRFFRSLGKSSA >Manes.10G017400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1780199:1789989:1 gene:Manes.10G017400.v8.1 transcript:Manes.10G017400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTDRDPKKVKRKLGAIEQMCQVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRDKAEAVALEHKRKGFGDGSVGMFSSLVVAALSGCVNVLLTNPIWVVVTRMQTHTKVSKKSQPNNSLLVAADETVLDANEPPSYGTNRVILEVYNEAGVRGFWNGVIPTLIMVSNPSMQFMLYETMLKKLKKQRASSKKGDNVITALEIFLLGASAKLGATVITYPLLVVKSRLQAKQLKTGDIRHHYEGTLDAILKMIRYEGFYGFYKGMSTKIVQSVLAAAVLFMVKEELVRGARLLLTKGGVNTVRSKPP >Manes.10G017400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1780181:1789989:1 gene:Manes.10G017400.v8.1 transcript:Manes.10G017400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTDRDPKKVKRKLGAIEQMCQVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRDKAEAVALEHKRKGFGDGSVGMFSSLVVAALSGCVNVLLTNPIWVVVTRMQTHTKVSKKSQPNNSLLVAADETVLDANEPPSYGTNRVILEVYNEAGVRGFWNGVIPTLIMVSNPSMQFMLYETMLKKLKKQRASSKKGDNVITALEIFLLGASAKLGATVITYPLLVVKSRLQAKQLKTGDIRHHYEGTLDAILKMIRYEGFYGFYKGMSTKIVQSVLAAAVLFMVKEELVRGARLLLTKGGVNTVRSKPP >Manes.10G017400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1782488:1789989:1 gene:Manes.10G017400.v8.1 transcript:Manes.10G017400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTDRDPKKVKRKLGAIEQMCQVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRDKAEAVALEHKRKGFGDGSVGMFSSLVVAALSGCVNVLLTNPIWVVVTRMQTHTKVSKKSQPNNSLLVAADETVLDANEPPSYGTNRVILEVYNEAGVRGFWNGVIPTLIMVSNPSMQFMLYETMLKKLKKQRASSKKGDNVITALEIFLLGASAKLGATVITYPLLVVKSRLQAKQLKTGDIRHHYEGTLDAILKMIRYEGFYGFYKGMSTKIVQSVLAAAVLFMVKEELVRGARLLLTKGGVNTVRSKPP >Manes.04G117000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31897290:31901934:1 gene:Manes.04G117000.v8.1 transcript:Manes.04G117000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSNILLKGIAGLPAVRVRSTSTVFRSFNCNGMRYSTTVPNDPDTHEDFQPNNKLESSGMSLKDIVEQDVKDNPVMIYMKGVPDFPQCGFSSLAVRVLKHYNIPLSARNILEDPELKSAVKSFSNWPTFPQIFIKGEFIGGSDIILNMHQSGELKEKLQDVATSQKSE >Manes.04G117000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31897290:31901934:1 gene:Manes.04G117000.v8.1 transcript:Manes.04G117000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSNILLKGIAGLPAVRVRSTSTVFRSFNCNGMRYSTTVPNDPDTHEDFQPNNKLESSGMSLKDIVEQDVKDNPVMIYMKGVPDFPQCGFSSLAVRVLKHYNIPLSARNILEDPELKSAVKSFSNWPTFPQIFIKGEFIGGSDIILNMHQSGELKEKLQDVATSQKSE >Manes.01G195775.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36993284:36995255:1 gene:Manes.01G195775.v8.1 transcript:Manes.01G195775.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILTCPFPVTASSHSDTPLPSKSSTFFSSSLFSQTTLPSVHSLTPPSSRQHDHQTSVLHHQCLATLRGHSSYVFSLALAGNLLYSGSSNREIRAWSRDPSAHPHSTNNIDVAISNIGAVKSLVIMGDKLFSAHQDCKIRVWKIDNDNNVHQKYNCIATLPTMNDRFLRFFSGKNYVQVRRHKKCTWVHHVDNISALAISRDGSLLFSSSWDRTFKVWRTSDFRCLESVSNAHDDAINAIISSNDGFVYTGSADKKIKVWKKQAREKKHSLVTTMERHKSAVNALAISTDGCVLYSGACDRSVLVWERDTSNISGGTGGHTVVADVLRGHTEAILCLAVVLDLVCSGSADSTVRVWRREEKNNYSCLSVLEGHRRPVKCLTAAIDNGDNNGGGASDSIIDLKKKKETE >Manes.10G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2418761:2420039:1 gene:Manes.10G024500.v8.1 transcript:Manes.10G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHVGYAYAFNLFSSLQWIERLFLLFFGTEGLFFSFAATFSEKVTRTVRKFSPHLAAKMRPPHMPVIRGRPSSKKSVYICGQPRGVFVFSFSAASFILWFTSGTLLFASWAFGIGLLVTVLHASVRTPNLKARLNTFREEFRAVWRNYSEL >Manes.10G093200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23974602:23978406:1 gene:Manes.10G093200.v8.1 transcript:Manes.10G093200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLANRNEQNWTQPQPRGGAKFMGKVPYSNPNPKLSKKRQFQAAQPVINFNGPPALTQPAASDDASSINRRPASDFNGVGYVTLNIASYSKKELVELKRRLIEELEQIRQLKNRIDSSEFQVRSTSNFHNKKPIATTNSNKKLLGNKRPFPAANFGFGAKDMKRSIHPEDGQLMKKCAQILTKLMKHKHAYIFNVPVDVEGMKLHDYFDIIKNPMDLGTVKSKLGKVLYDSPMDFAADVRLTFNNAMKYNPKGHEVYNLAEQLLSRFEEWYRPIREKIGEDDQDQVDEHEQVQEVQASSWDHVPNRCEIDRVKKDQENITHAPKSDPIGKSMPPIGSNAQSTSQLPVRTPSPMRAPPVKPVKLPKPKAKDPNKREMSLEEKHKLGIGLQSLPQEKMEQVVQIIRKRNGHLRQEGDEIELDIEAVDTETLWELDRFVTNYKKMVSKIKRQALMGINNVSAAASEGNKGALGNERMDVATEAKKPKKGDAGDEDVDIGDEMPMSSFPPVEIEKDNGHASSSSSSSSSSSDESSSSSDSDSGSSSGSDSEDAHS >Manes.10G093200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23974602:23978406:1 gene:Manes.10G093200.v8.1 transcript:Manes.10G093200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLANRNEQNWTQPQPRGGAKFMGKVPYSNPNPKLSKKRQFQAAQPVINFNGPPALTQPAASDDASSINRRPASDFNGVGYVTLNIASYSKKELVELKRRLIEELEQIRQLKNRIDSSEFQVRSTSNFHNKKPIATTNSNKKLLGNKRPFPAANFGFGAKDMKRSIHPEDGQLMKKCAQILTKLMKHKHAYIFNVPVDVEGMKLHDYFDIIKNPMDLGTVKSKLGKVLYDSPMDFAADVRLTFNNAMKYNPKGHEVYNLAEQLLSRFEEWYRPIREKIGEDDQDQVDEHEQVQEVQASSWDHVPNRCEIDRVKKDQENITHAPKSDPIGKSMPPIGSNAQSTSQLPVRTPSPMRAPPVKPVKLPKPKAKDPNKREMSLEEKHKLGIGLQSLPQEKMEQVVQIIRKRNGHLRQEGDEIELDIEAVDTETLWELDRFVTNYKKMVSKIKRQALMGINNVSAAASEGNKGALGNERMDVATEAKKPKKGDAGDEDVDIGDEMPMSSFPPVEIEKDNGHASSSSSSSSSSSDESSSSSDSDSGSSSGSDSEDAHS >Manes.03G065664.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8155003:8159402:-1 gene:Manes.03G065664.v8.1 transcript:Manes.03G065664.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCKQQEQEQEKMMKQVWVHGPIIVGAGPSGLATAACLSQHGVPSLILEKSDCIASLWQHKTYDRLKLHLAKNFCQLPLLGFPSNFPKYPTKHQFISYLESYALHFSIQPKFKQAVQKAEFDTITGIWRVQTQDQEYNSRWIIVATGENAEPVIPEIAGMEKFNGPIIHTSVYKSGSEFKNQNVLVVGCGNSGMEVSLDLCRHSAIPHMVVRNTVHVLPREMFGMSTFGIAMALLKWFPLKLVDKFLLLVASFTLGNTDQLGLRRPKTGPIELKNVTGKTPVLDVGTLSQIKLGKIKVTEGVKEVTRKRVKFMDGQEKEFESIILATGYKSNVPTWLKGCDFFTKDGMPKTPFPNGWKGENGLYTVGFTGRGLLGTASDAVKIAKHIAEQWMTNKDCNKSSPFRNSTDNYVDPSTHWLSKIRGWLLKNEFQ >Manes.10G046900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5143183:5150716:-1 gene:Manes.10G046900.v8.1 transcript:Manes.10G046900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPNNGRMEGWLYTIRSNRFGLQFSRKRYFILEENYLKFYKTIPTSQNEEPVRSAIIDSCIRITDNGRESINRKVFFIFTLYSTLNYNDQLKLGASSSEDAAGWIRSLQNAVLKECPNPGKDFVASSNRKWPPLRLSVSKRVDCKGLVDFYSSMHTEATTSDVIAPSPWKIFGCQNGLRLFKEAKDWDSQERHWDEHPAIMAVGVVDGTSEAIFRTLMSLGPLRSEWDFCSYRGSTVEHLDGHTDIIHKQLYRDLLPRGMKRRDLLLRRYWRREDDGTYVILYHSVIHKKCPPQKGYVRACLKSGGYVITPISQGRRSIVKHMLAVNWRSWKLYILPSCEKSITIRMLERVAALRELFQAKEGNYTALSPRELTGQVVLPQTEKADVEVKAKGEGKIEEEPNLVENEAETLSASLTALNSASDEFYDVPDTNEMMDFDLENEWSSEMSPETHTASMLPPRLSSAAGKVKKKLHDFAVQKKGYMDLQESTKEDGVMCSYGATLQKDPNYTLPCSWAAADPSTFLIRGKNYLKDNEKVKAKGTLMQIVGADWLRSNRPESDLGSRPDSIVQSYAVQDRPEFFFIVNIQGETEEELPEILLGTCRLNHLDATKSVLV >Manes.10G046900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5143184:5150716:-1 gene:Manes.10G046900.v8.1 transcript:Manes.10G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPNNGRMEGWLYTIRSNRFGLQFSRKRYFILEENYLKFYKTIPTSQNEEPVRSAIIDSCIRITDNGRESINRKVFFIFTLYSTLNYNDQLKLGASSSEDAAGWIRSLQNAVLKECPNPGKDFVASSNRKWPPLRLSVSKRVDCKGLVDFYSSMHTEATTSDVIAPSPWKIFGCQNGLRLFKEAKDWDSQERHWDEHPAIMAVGVVDGTSEAIFRTLMSLGPLRSEWDFCSYRGSTVEHLDGHTDIIHKQLYRDLLPRGMKRRDLLLRRYWRREDDGTYVILYHSVIHKKCPPQKGYVRACLKSGGYVITPISQGRRSIVKHMLAVNWRSWKLYILPSCEKSITIRMLERVAALRELFQAKEGNYTALSPRELTGQVVLPQTEKADVEVKAKGEGKIEEEPNLVENEAETLSASLTALNSASDEFYDVPDTNEMMDFDLENEWSSEMSPETHTASMLPPRLSSAAGKVKKKLHDFAVQKKGYMDLQESTKEDGVMCSYGATLQKDPNYTLPCSWAAADPSTFLIRGKNYLKDNEKVKAKGTLMQIVGADWLRSNRPESDLGSRPDSIVQSYAVQDRPEFFFIVNIQVPGSTMYTLALYYMLKTPLEETPLLQKFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEVHYFRGKNYLELEVDVGSSTVARGVVSLVLGYLTNLVIEMAFLIQGETEEELPEILLGTCRLNHLDATKSVLV >Manes.09G152900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34968977:34971869:-1 gene:Manes.09G152900.v8.1 transcript:Manes.09G152900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYWRGIRFLSTTTRSKRNNWDPTVSLELNHPSLVLLEKSNTRDHFKQILGQMMRSNLIGQTFPMSRLIFFSAISHPENLDMALLLFNHFTPNPNLYIYNTMISALSFATNQSFVVYNSMLSSGIDPDKHTLLNLLHAAKHVLEVKQIHCHAIALGLTTYRYMQNSLIKMYLENGLFWLANQLFEQMLVPDVVSFNIMIDGYAKKGYGLEAVQLLHEMIALGLKSDEFTMLGLLVSCGQLKEARFGKAIHAWIERRKSITSSNLILGNALVDMYIKCQELVLAQRAFSALTEKDIVSWNTIIAGCAKAGKLELARRFFNQMPSRDLVSWNSLIAGYACQEIGALDLGRWAHGWVTRVRIKIDAFLGSALIDMYCKCGSVEKAFLVFREIIEKDVMVWTTMITGFAFHGYGRKALELFSEMQEDVTPNEVTFVSVLAACSHSGLIDQGLKIFNCMKEYGIEPRIEHYGCLVDLLGRSGRLAEAIDVIEMMPVKPSRSIWGSILNACRVQGDMEMGERALSELLKLEPEEEGGYMLLSNIYAANGRWSYSDKIRETMESRGVKKTAGCSSVVIDGVIHDFVAADKWHPRWMEETC >Manes.09G152900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34968977:34971800:-1 gene:Manes.09G152900.v8.1 transcript:Manes.09G152900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYWRGIRFLSTTTRSKRNNWDPTVSLELNHPSLVLLEKSNTRDHFKQILGQMMRSNLIGQTFPMSRLIFFSAISHPENLDMALLLFNHFTPNPNLYIYNTMISALSFATNQSFVVYNSMLSSGIDPDKHTLLNLLHAAKHVLEVKQIHCHAIALGLTTYRYMQNSLIKMYLENGLFWLANQLFEQMLVPDVVSFNIMIDGYAKKGYGLEAVQLLHEMIALGLKSDEFTMLGLLVSCGQLKEARFGKAIHAWIERRKSITSSNLILGNALVDMYIKCQELVLAQRAFSALTEKDIVSWNTIIAGCAKAGKLELARRFFNQMPSRDLVSWNSLIAGYACQGDFTMIRNLFNEMRVENVSPDNVTMISLISAAAEIGALDLGRWAHGWVTRVRIKIDAFLGSALIDMYCKCGSVEKAFLVFREIIEKDVMVWTTMITGFAFHGYGRKALELFSEMQEDVTPNEVTFVSVLAACSHSGLIDQGLKIFNCMKEYGIEPRIEHYGCLVDLLGRSGRLAEAIDVIEMMPVKPSRSIWGSILNACRVQGDMEMGERALSELLKLEPEEEGGYMLLSNIYAANGRWSYSDKIRETMESRGVKKTAGCSSVVIDGVIHDFVAADKWHPRWMEETC >Manes.09G152900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34968943:34971802:-1 gene:Manes.09G152900.v8.1 transcript:Manes.09G152900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYWRGIRFLSTTTRSKRNNWDPTVSLELNHPSLVLLEKSNTRDHFKQILGQMMRSNLIGQTFPMSRLIFFSAISHPENLDMALLLFNHFTPNPNLYIYNTMISALSFATNQSFVVYNSMLSSGIDPDKHTLLNLLHAAKHVLEVKQIHCHAIALGLTTYRYMQNSLIKMYLENGLFWLANQLFEQMLVPDVVSFNIMIDGYAKKGYGLEAVQLLHEMIALGLKSDEFTMLGLLVSCGQLKEARFGKAIHAWIERRKSITSSNLILGNALVDMYIKCQELVLAQRAFSALTEKDIVSWNTIIAGCAKAGKLELARRFFNQMPSRDLVSWNSLIAGYACQEIGALDLGRWAHGWVTRVRIKIDAFLGSALIDMYCKCGSVEKAFLVFREIIEKDVMVWTTMITGFAFHGYGRKALELFSEMQEDVTPNEVTFVSVLAACSHSGLIDQGLKIFNCMKEYGIEPRIEHYGCLVDLLGRSGRLAEAIDVIEMMPVKPSRSIWGSILNACRVQGDMEMGERALSELLKLEPEEEGGYMLLSNIYAANGRWSYSDKIRETMESRGVKKTAGCSSVVIDGVIHDFVAADKWHPRWMEVKFILNCLSSELKLGADVLVESMHPLQETC >Manes.09G152900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34968977:34971800:-1 gene:Manes.09G152900.v8.1 transcript:Manes.09G152900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCYWRGIRFLSTTTRSKRNNWDPTVSLELNHPSLVLLEKSNTRDHFKQILGQMMRSNLIGQTFPMSRLIFFSAISHPENLDMALLLFNHFTPNPNLYIYNTMISALSFATNQSFVVYNSMLSSGIDPDKHTLLNLLHAAKHVLEVKQIHCHAIALGLTTYRYMQNSLIKMYLENGLFWLANQLFEQMLVPDVVSFNIMIDGYAKKGYGLEAVQLLHEMIALGLKSDEFTMLGLLVSCGQLKEARFGKAIHAWIERRKSITSSNLILGNALVDMYIKCQELVLAQRAFSALTEKDIVSWNTIIAGCAKAGKLELARRFFNQMPSRDLVSWNSLIAGYACQEIGALDLGREIIEKDVMVWTTMITGFAFHGYGRKALELFSEMQEDVTPNEVTFVSVLAACSHSGLIDQGLKIFNCMKEYGIEPRIEHYGCLVDLLGRSGRLAEAIDVIEMMPVKPSRSIWGSILNACRVQGDMEMGERALSELLKLEPEEEGGYMLLSNIYAANGRWSYSDKIRETMESRGVKKTAGCSSVVIDGVIHDFVAADKWHPRWMEETC >Manes.06G041601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:12863328:12863980:1 gene:Manes.06G041601.v8.1 transcript:Manes.06G041601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPPPPDPHHHHDHHPPPQHAPPPGPPHHEPPPLHTHAPPPGPQAPPPGPPEPHKPGPPHHHRP >Manes.17G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30278190:30281560:1 gene:Manes.17G094600.v8.1 transcript:Manes.17G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGRTQEFSVPVLTVLHDFNNSLLNPNPRVALTFPMRTSLFSSLSFLRSVTISLCHHHRHLLSCHPLFLRPFPTSSLARYNRLRFRSIKCLSSSTEQLTCESYSPLTSEEKWDFSSYPENEDDILKFDGDPGYPGSGGDMKHMDSPALEVKELEELPEQWRRARLAWLCKQLPAHKAGTLVRILNAQKKWMRQQDATYIAVHCIRIRENDAGFRVYKWMMQQHWYRFDFALATKLADYMGKERKFAKCREIFDSIINQGLVPSESTFHILVIAYLSAPVQGCLEEACSIYNRMIQLGGYKPRLSLHNSLFRALVSKPGGSSKHYLKQAEFIFHNLLTSGLEIQKTIYVGLIWLHSYQDNIDKGRIASLREEMKQAGIQEDKEVLVSILRACSRDGDVEEAERTWLKLLSIDGGLPTQAFVYRMEVFAKVGEHLKSLEIFREMQESLGSASVAAYHKILEVLCQAQEIELAELLMQEFIKSGMKPLMPAFVDLMNMFLNLNLHDKLKSAFSACLETCRPNRTIYNIYLDSLVTSGDLQKAEETFNHMCSDEAIGVNTRSCNIILKGYLSSGDYMKAEKIYDLMCQKKYDIESSLMEKLDYVLSLSRKEVKKPVSLKLSKDQREILVGLLLGGLEIDSDEERKKHKIRFEFNETSRAHSVLRRHLYDQYHEWLHPSCKLSDGSDDVSYRFSTISHSYFGFYADQFWPKGQAMIPKLIHRWLSPKVLAYWYMYGGHRTSSGDILLKLKGSTEGVQKVVETLQAKSLNCRVKRKGRVFWIGFLGSDSTWFWKLVEPYILQDLSDLLRPGDRTWENDAVENQNTNFDSGSDFDEGASEYSDNENS >Manes.17G094600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30278189:30281560:1 gene:Manes.17G094600.v8.1 transcript:Manes.17G094600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGRTQEFSVPVLTVLHDFNNSLLNPNPRVALTFPMRTSLFSSLSFLRSVTISLCHHHRHLLSCHPLFLRPFPTSSLARYNRLRFRSIKCLSSSTEQLTCESYSPLTSEEKWDFSSYPENEDDILKFDGDPGYPGSGGDMKHMDSPALEVKELEELPEQWRRARLAWLCKQLPAHKAGTLVRILNAQKKWMRQQDATYIAVHCIRIRENDAGFRVYKWMMQQHWYRFDFALATKLADYMGKERKFAKCREIFDSIINQGLVPSESTFHILVIAYLSAPVQGCLEEACSIYNRMIQLGGYKPRLSLHNSLFRALVSKPGGSSKHYLKQAEFIFHNLLTSGLEIQKTIYVGLIWLHSYQDNIDKGRIASLREEMKQAGIQEDKEVLVSILRACSRDGDVEEAERTWLKLLSIDGGLPTQAFVYRMEVFAKVGEHLKSLEIFREMQESLGSASVAAYHKILEVLCQAQEIELAELLMQEFIKSGMKPLMPAFVDLMNMFLNLNLHDKLKSAFSACLETCRPNRTIYNIYLDSLVTSGDLQKAEETFNHMCSDEAIGVNTRSCNIILKGYLSSGDYMKAEKIYDLMCQKKYDIESSLMEKLDYVLSLSRKEVKKPVSLKLSKDQREILVGLLLGGLEIDSDEERKKHKIRFEFNETSRAHSVLRRHLYDQYHEWLHPSCKLSDGSDDVSYRFSTISHSYFGFYADQFWPKGQAMIPKLIHRWLSPKVLAYWYMYGGHRTSSGDILLKLKGSTEGVQKVVETLQAKSLNCRVKRKGRVFWIGFLGSDSTWFWKLVEPYILQDLSDLLRPGDRTWENDAVENQNTNFDSGSDFDEGASEYSDNENS >Manes.06G101900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23516129:23519923:1 gene:Manes.06G101900.v8.1 transcript:Manes.06G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAGTTQKCKACEKTVYLVDQLTADNKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGTPKTVRVDRSADQVHSNNKVSSMFAGTQDKCVACNKTVYPLEKVAVDGTPYHKACFRCAHGGCVISPSNYVAHEHRLYCRHHHNQLFKEKGNFSQLDKHDEVKPVAETAAAE >Manes.16G115700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32042714:32048821:1 gene:Manes.16G115700.v8.1 transcript:Manes.16G115700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGSDKGGCYHPLPDSESSSPSEAYPKNERRNKVGCKEAAEGGSQEHIALQGVSAHRKRLINKYAFGGAILASTNSVLLGYDIGVMSGAVLYIKDNLKISSTQVEILVGCLNVCSLIGSLASGRTSDYIGRRYTIILAAVTFLIGALLMGFAPSFIFLMAGRVVAGIGVGYSLMIAPVYTAELSPAMTRGFLSSLPEVFINIGILLGYVSNYALSSLPDNMNWRLMLGLAALPAIIVALGVLAMPESPHWLVMKGRFGDAKQVLIKTSESKDEAALRLAEMIKSAKDLTESAASSNWSGKGAWKELLCRPSRPIRRILIAAIGVNFFMQASGNDAVVYYSPEVFKDAGIQSRQQIVGVTVIMGLAKTSFVFVSALFLDRFGRRPLLLLGSTGMAVSLASLGVGSKYLEQSDSKPLWAIVLCIAAVCAVVSFFSIGLGPVTWVYSSEIFPMRLRAQGSSLAISVNRLVSGIVAMTFLSTSKLISFGGTFFALAGIMVVGTVFFYLFLPETKGKTLEEIGVLFEDKIPDDQRHFVA >Manes.16G115700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32042714:32048821:1 gene:Manes.16G115700.v8.1 transcript:Manes.16G115700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGSDKGGCYHPLPDSESSSPSEAYPKNERRNKVGCKEAAEGGSQEHIALQGVSAHRKRLINKYAFGGAILASTNSVLLGYDIGVMSGAVLYIKDNLKISSTQVEILVGCLNVCSLIGSLASGRTSDYIGRRYTIILAAVTFLIGALLMGFAPSFIFLMAGRVVAGIGVGYSLMIAPVYTAELSPAMTRGFLSSLPEVFINIGILLGYVSNYALSSLPDNMNWRLMLGLAALPAIIVALGVLAMPESPHWLVMKGRFGDAKQVLIKTSESKDEAALRLAEMIKSAKDLTESAASSNWSGKGAWKELLCRPSRPIRRILIAAIGVNFFMQASGNDAVVYYSPEVFKDAGIQSRQQIVGVTVIMGLAKTSFVFVSALFLDRFGRRPLLLLGSTGMAVSLASLGVGSKYLEQSDSKPLWAIVLCIAAVCAVVSFFSIGLGPVTWVYSSEIFPMRLRAQGSSLAISVNRLVSGIVAMTFLSTSKLISFGGTFFALAGIMVVGTVFFYLFLPETKGKTLEEIGVLFEDKIPDDQRHFVA >Manes.16G047700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8794317:8796147:1 gene:Manes.16G047700.v8.1 transcript:Manes.16G047700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPFYFSRVSLAILLLLLAIAAINCAEARKSMHLLRGQMHTRSSIIQHIEKFKVTKHFDLASLLLQKETMNPSSTSPYVSSPFTLPPYDSLPPIPLPENAPPNCIYPPNTPLPPSTTIPTPNLSPPSSPFSYIPPFLPIPSPPPSPIGIVPSPPETTPFPNPPEFVPSPPTLIPGPPEIVPSPITYIPSPPYPEFSPPSYIPSPPTFVPSPTGYIPSPFLPPVVYPPPTVPPPPRTVPQQALWCVAKPSVPDPIIQEAMNYACASGADCGSIQPNGPCFQPNTLFAHASYAFNSYWQRTKVAGGTCSFGGTAMLVTVDPSFDGCHFVYS >Manes.16G047700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8794317:8796147:1 gene:Manes.16G047700.v8.1 transcript:Manes.16G047700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTPFYFSRVSLAILLLLLAIAAINCEARKSMHLLRGQMHTRSSIIQHIEKFKVTKHFDLASLLLQKETMNPSSTSPYVSSPFTLPPYDSLPPIPLPENAPPNCIYPPNTPLPPSTTIPTPNLSPPSSPFSYIPPFLPIPSPPPSPIGIVPSPPETTPFPNPPEFVPSPPTLIPGPPEIVPSPITYIPSPPYPEFSPPSYIPSPPTFVPSPTGYIPSPFLPPVVYPPPTVPPPPRTVPQQALWCVAKPSVPDPIIQEAMNYACASGADCGSIQPNGPCFQPNTLFAHASYAFNSYWQRTKVAGGTCSFGGTAMLVTVDPSFDGCHFVYS >Manes.07G000900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:340129:342513:-1 gene:Manes.07G000900.v8.1 transcript:Manes.07G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYLHLLPFFLILHVALSFLFAAIFADTCTVDLLSLSTDQNSSWLSPSGEFTFGFFKLQNENLFLLAIWFTNIPDTVVWSANDDNMAPPGSTVKLTGDGKLALYDPNGESLWERPEIGAQAKPAICGAMSDNGNFMLLDENNEPIWQTFKEPTDTILPGQILEMPSNLTSRRSQDNYSDDRFQLKLQLDGNLVLYYVAYKTEVNSMAYWATMSVKENASSKLVFDEKGYIYVQAGTKRIYNLTTTDVGSPKDFYHMARIDSYGVFTQFHYPKSDVCEKKWSAVQKIPENICNSFNTLLGGGICGYNGYCTEINGETKCLCLDDYSYLNRYSPNDGCRPNFELPSCQPDGWDADSRLVDFREYRNLDWPLSDYEYQSGSVVDLETCKQLCLDDCFCIVAIHNGNDCWKKRYPLSNGRKNTSVNRTALVKVPKMNSELYTKKTCDTKNQSTLVLVISILLGSSVFVNMLLILVISLAIFLYNKKLLNFSSASSASAANVRSYTYKELEEATSGFKQILGRGASGIVYKGVLASDPKRFVAVKKLDKVEQEGEREFKTEVNVIGQTHHKNLVRLLGYCDEGEHRLLIYEYMSNGSLANFLFGITRPDWNQRVQIALGIARGLMYLHEECVVQIIHCDIKPQNILLDEFSTPRISDFGLVKLLLAEQSRVTRTNKRGTIGYFAPEWFRKGSITVKIDVYSYGVVLLELICCKSSVAFGMGDQEEALMDWIYECYCKKKLGELVENDEEARNDMKRLERLVMVAIWCIQEDPSLRPTMKKVTQMLEGVTHVSVPPRP >Manes.11G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12569474:12573300:-1 gene:Manes.11G087100.v8.1 transcript:Manes.11G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEISEPLLLPDSSKIQAVDSERIPDWKEQITIRGLVVSALLGILFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWVGFLSSLGFTIAPFTKQENTVIQTCVVACYGLAFSGGFGSYLIAMDEKTYQLIGPDYPGNRAEDVKNPGLAWMIGFMFVVSFLGLFSLVPLRKVMVMDYKLTYPSGTATAMLINSFHTNTGAELAGKQVSCLGKYLSISLAWSCFKWFFSGVGDSCGFDNFPSFGLTLFKNTFYFDFSPTYVGCGLICPHIVNSSVLLGAIISWGFLWPFISQHAGDWYPADLGSNDFKGLYGYKVFIAISLILGDGLYNLIKIIAITIREICNESTKENHLPIVREVQDGETSKLLLEQRKRDEVFLKDRIPTWFAASGYVGLAAISTATIPIIFPPLKWYLVLSSYIIAPALAFCNSYGTGLTDWSLASTYGKIGLFIIASMVGSNGGVVAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCVIAPLTFWMFWTAFDIGAPDGPYKAPYAVIFREMAILGIQGFSELPKHCLAMCCGFFVAALVINLLRDVTPKKISQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFIWERINRKDAEDYAGAVASGLICGDGIWTIPSAVLSIFRINPPICMYFGPASGR >Manes.13G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33925346:33934169:1 gene:Manes.13G130900.v8.1 transcript:Manes.13G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPHFFKLILDASIQEGKLEIPKKFIRKHGKDLPSPVILKVANGSIWKIELSKCHGEVWLEKGWQEFAKHHSLDHGYFLVFKYEGHGHFCVFILDKSASEIQYPCEGICTADQKPEPVVENNEDGFSVEIMDDPSQGRKAGEMSPLSPHQQSCKRTRTDPTAKTLDSMFCSSTEYLSNKATPSTCIKVESSICSKGFGGMLKQLTGSKKDACRKRTRSDSKALANANKFVSSNPFFKSVVWLDKRKNSIVCVPVSFSRRNIKDCVANLTLQFGDRLWPVKLIRYSKWNVVRFCSGWSVFARENSLEMGDVCIFELIKIDVLNVYIFKCDK >Manes.03G053600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5182003:5190433:1 gene:Manes.03G053600.v8.1 transcript:Manes.03G053600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHIEFALLALLLWLGFGSADSDDGETLLEIKKSFGDVDSVLYDWKESPSSSSDYCVWRGVACDNVTFNVIALNLSGLNLDGEISPAIGNLKDLVSIDLRGNRLSGQIPDEIGDCFSLKSLDLSFNEIHGDMPFSISRLKHLEFLILKNNQLTGPIPSTLSQIPNLKVLDLAQNKLSGEIPQLIYWNEVLQYLGLRGNNLVGTLSPEMCQLTGLWYFDVRNNSLTGYIPQNIGNCTSLQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNQLEGQIPSVIGLMQALAVLDLSCNKLTGPIPSILGNLTYTEKLYLHVNKLTGSIPPELGNMARLHYLELNDNQLTGLIPPELGKLTDLFDLNVANNHLEGPIPDNLSSCKNLNSLNVHGNKLNGSIPHAFQRLESMTYLNLSSNNITGTVPVELSRIGNLDTLDISNNRISGSIPSSLGDLEHLLKLNLSRNQLSGVIPAEFGNLRSVMEMDISNNHLSGVIPKELSQLQYIFSLRLENNNLSGDMMSLINCFSLTILNVSYNNLAGVIPTSNNFSRFSPSSFIGNPGLCSYWLSSPCSISHPAEQVTISKAAILGISLGALVILLMILVAACRPHKPMLFPDGSLHKPVTYSTPKLVILHMNMTLHMYEDIMRITENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRLHSHYPHCLKEFETELETVGSIKHRNLVSLQAYSLSSSGNLLFYDYMENGSLWDLLHGSTKKKLDWDTRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCVSKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGKKAVDDECNLHHLILSKTANNAVMETVDPEITSTCKDLGAVKKVFQLALLCTKRQPSDRPTMQEVTQVIRSLVPPSLPPKQCSSAPPAKVSSYVDEYANLKTPHMVNCPSMSTSDGQLFVKFDEVISQNSERNIQAAT >Manes.03G053600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5182030:5190320:1 gene:Manes.03G053600.v8.1 transcript:Manes.03G053600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHIEFALLALLLWLGFGSADSDDGETLLEIKKSFGDVDSVLYDWKESPSSSSDYCVWRGVACDNVTFNVIALNLSGLNLDGEISPAIGNLKDLVSIDLRGNRLSGQIPDEIGDCFSLKSLDLSFNEIHGDMPFSISRLKHLEFLILKNNQLTGPIPSTLSQIPNLKVLDLAQNKLSGEIPQLIYWNEVLQYLGLRGNNLVGTLSPEMCQLTGLWYFDVRNNSLTGYIPQNIGNCTSLQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNQLEGQIPSVIGLMQALAVLDLSCNKLTGPIPSILGNLTYTEKLYLHVNKLTGSIPPELGNMARLHYLELNDNQLTGLIPPELGKLTDLFDLNVANNHLEGPIPDNLSSCKNLNSLNVHGNKLNGSIPHAFQRLESMTYLNLSSNNITGTVPVELSRIGNLDTLDISNNRISGSIPSSLGDLEHLLKLNLSRNQLSGVIPAEFGNLRSVMEMDISNNHLSGVIPKELSQLQYIFSLRLENNNLSGDMMSLINCFSLTILNVSYNNLAGVIPTSNNFSRFSPSSFIGNPGLCSYWLSSPCSISHPAEQVTISKAAILGISLGALVILLMILVAACRPHKPMLFPDGSLHKPVTYSTPKLVILHMNMTLHMYEDIMRITENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRLHSHYPHCLKEFETELETVGSIKHRNLVSLQAYSLSSSGNLLFYDYMENGSLWDLLHGSTKKKLDWDTRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCVSKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGKKAVDDECNLHHLILSKTANNAVMETVDPEITSTCKDLGAVKKVFQLALLCTKRQPSDRPTMQEVTQVIRSLVPPSLPPKQCSSAPPAKVSSYVDEYANLKTPHMVNCPSMSTSDGQLFVKFDEVISQNSERNIQAAT >Manes.03G053600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5181953:5190381:1 gene:Manes.03G053600.v8.1 transcript:Manes.03G053600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHIEFALLALLLWLGFGSADSDDGETLLEIKKSFGDVDSVLYDWKESPSSSSDYCVWRGVACDNVTFNVIALNLSGLNLDGEISPAIGNLKDLVSIDLRGNRLSGQIPDEIGDCFSLKSLDLSFNEIHGDMPFSISRLKHLEFLILKNNQLTGPIPSTLSQIPNLKVLDLAQNKLSGEIPQLIYWNEVLQYLGLRGNNLVGTLSPEMCQLTGLWYFDVRNNSLTGYIPQNIGNCTSLQVLDLSYNQLTGEIPFNIGFLQVATLSLQGNQLEGQIPSVIGLMQALAVLDLSCNKLTGPIPSILGNLTYTEKLYLHVNKLTGSIPPELGNMARLHYLELNDNQLTGLIPPELGKLTDLFDLNVANNHLEGPIPDNLSSCKNLNSLNVHGNKLNGSIPHAFQRLESMTYLNLSSNNITGTVPVELSRIGNLDTLDISNNRISGSIPSSLGDLEHLLKLNLSRNQLSGVIPAEFGNLRSVMEMDISNNHLSGVIPKELSQLQYIFSLRLENNNLSGDMMSLINCFSLTILNVSYNNLAGVIPTSNNFSRFSPSSFIGNPGLCSYWLSSPCSISHPAEQVTISKAAILGISLGALVILLMILVAACRPHKPMLFPDGSLHKPVTYSTPKLVILHMNMTLHMYEDIMRITENLSEKYIIGYGASSTVYKCVLKNCKPVAIKRLHSHYPHCLKEFETELETVGSIKHRNLVSLQAYSLSSSGNLLFYDYMENGSLWDLLHGSTKKKLDWDTRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCVSKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGKKAVDDECNLHHLILSKTANNAVMETVDPEITSTCKDLGAVKKVFQLALLCTKRQPSDRPTMQEVTQVIRSLVPPSLPPKQCSSAPPAKVSSYVDEYANLKTPHMVNCPSMSTSDGQLFVKFDEVISQNSERNIQAAT >Manes.09G047800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10163139:10168344:1 gene:Manes.09G047800.v8.1 transcript:Manes.09G047800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFPCHQQNPNLIHKPLLSIASARRKLSRSSSVITCRCSLSSSDDITKPASLSWHNEGRRALIATLLTAIGIHTCNVSEAASTSRRALRASKIPESEFTTLPNGLKYYDLKVGGGVKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGQSERGAVLKGLDLGVEGMRVGGQRLLIVPPELAYGNKGVQEIPPNATIELDVELLAIKQSPFGSPVKVIEG >Manes.09G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10163139:10168344:1 gene:Manes.09G047800.v8.1 transcript:Manes.09G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLFPCHQQNPNLIHKPLLSIASARRKLSRSSSVITCRCSLSSSDDITKPASLSWHNEGRRALIATLLTATVGIHTCNVSEAASTSRRALRASKIPESEFTTLPNGLKYYDLKVGGGVKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGQSERGAVLKGLDLGVEGMRVGGQRLLIVPPELAYGNKGVQEIPPNATIELDVELLAIKQSPFGSPVKVIEG >Manes.13G025000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3377911:3379524:1 gene:Manes.13G025000.v8.1 transcript:Manes.13G025000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGISKPDIVKETANSLSENIVWSRNEETSPQGPTLQIFPKLYFELANPCKVQLKLMICSQKKKGLRKPSLSGWTFTFILQIGLFIDCKFFLLC >Manes.13G025000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3377906:3379524:1 gene:Manes.13G025000.v8.1 transcript:Manes.13G025000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKCMLMFQAPGLATKVRQQKQMMGISKPDIVKETANSLSENIVWSRNEETSPQGPTLQIFPKLYFELANPCKVQLKLMICSQKKKGLRKPSLSGWTFTFILQIGLFIDCKFFLLC >Manes.13G025000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3377907:3379524:1 gene:Manes.13G025000.v8.1 transcript:Manes.13G025000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGISKPDIVKETANSLSENIVWSRNEETSPQGPTLQIFPKLYFELANPCKVQLKLMICSQKKKGLRKPSLSGWTFTFILQIGLFIDCKFFLLC >Manes.13G025000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3377906:3379524:1 gene:Manes.13G025000.v8.1 transcript:Manes.13G025000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKCMLMFQAPGLATKVRQKQMMGISKPDIVKETANSLSENIVWSRNEETSPQGPTLQIFPKLYFELANPCKVQLKLMICSQKKKGLRKPSLSGWTFTFILQIGLFIDCKFFLLC >Manes.13G025000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3377916:3379524:1 gene:Manes.13G025000.v8.1 transcript:Manes.13G025000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKCMLMFQAPGLATKVRQQKQMMGISKPVDWSDIVKETANSLSENIVWSRNEETSPQGPTLQIFPKLYFELANPCKVQLKLMICSQKKKGLRKPSLSGWTFTFILQIGLFIDCKFFLLC >Manes.02G061100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4727932:4732493:1 gene:Manes.02G061100.v8.1 transcript:Manes.02G061100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSSTMAAKFAFFPPSPPSYQLAMDGATGKLMMVELGTRENVDVLKLDTKRGNRVVAVYIKNPAASLTVLYSHGNAADLGQMFDLFNELSLHLRVNLMGYDYSGYGQSSGKPTEQNTYADIEAAYRCLEERYGVKEEDIILYGQSVGSGPTLDLAARLIKLRAVVLHSPIASGLRVMYPVKRTYWFDIYKNVDKIPMVNCPVLVIHGTADDVVDWSHGKQLWDLCKEKYEPLWVKGGNHCDLELYPQYIKHLKKFISAIERSSHLRKGSVPVTDQAENPRKSTDCREASRANMDQREKFRHNIEQREKPRISTDCREKSRTSTDRRQKSRKSVDQPEKENHVLDQPEKARNSIDRFGYMIRSVRLCNIDCFKPTSTAL >Manes.02G061100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4727931:4732494:1 gene:Manes.02G061100.v8.1 transcript:Manes.02G061100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSSTMAAKFAFFPPSPPSYQLAMDGATGKLMMVELGTRENVDVLKLDTKRGNRVVAVYIKNPAASLTVLYSHGNAADLGQMFDLFNELSLHLRVNLMGYDYSGYGQSSGKPTEQNTYADIEAAYRCLEERYGVKEEDIILYGQSVGSGPTLDLAARLIKLRAVVLHSPIASGLRVMYPVKRTYWFDIYKNVDKIPMVNCPVLVIHGTADDVVDWSHGKQLWDLCKEKYEPLWVKGGNHCDLELYPQYIKHLKKFISAIERSSHLRKGSVPVTDQAENPRKSTDCREASRANMDQREKFRHNIEQREKPRISTDCREKSRTSTDRRQKSRKSVDQPEKENHVLDQPEKARNSIDRFGYMIRSVRLCNIDCFKPTSTAL >Manes.03G209300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32449187:32454395:-1 gene:Manes.03G209300.v8.1 transcript:Manes.03G209300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKIPLALPRMKKWSGGMMIVALAVILVFSYSLLKTQRSQPQKKQTAYDFFRNHPINDSHVKDTSYARSPQVEVDKVAKSSKKIHFVNVEGLNDLYAPNNFSKEESKALLVWSQMRLLLSRSDALPETAKGIKEASIAWKDLLSMIEEDKATKSSIIDKTENKNCPYSVNAINIMASSNGPTFDIPCGLVEDSSITIVGIPNEHNGSFQLELEGSQLLGEQNPPIILHYRVSLPGDNITEEPFIVQNTWTNEHGWGKEEKCPAHGSNIPKPKVDGLVLCNEQIVRSTVEETLNASLPSRDILANVSQGSAHASANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWAVSGVKVDGGLDILSVLAKGLPVSEDHDLVIDAELLRAPVTKKKRLALLVGVFSTGNNFERRMALRRSWMQYEAVHSGDVAVRFFIGLHKNRQVNFELWKEAQAYGDVQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLTSLKGKASDGLLYGLMSFDSSPHREKDSKWYISNEEWPHSSYPPWAHGPGYIVSRNIAKFIAQGHQERDFKLFKLEDVAMGIWIEELKKRGQEVHYVSDERFHNAGCESNYILAHYQSPRLVLCLWEKLQKEHQPNCCE >Manes.03G209300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32449187:32454423:-1 gene:Manes.03G209300.v8.1 transcript:Manes.03G209300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKIPLALPRMKKWSGGMMIVALAVILVFSYSLLKTQRSQPQKKQTAYDFFRNHPINDSHVKDTSYARSPQVEVDKVAKSSKKIHFVNVEGLNDLYAPNNFSKEESKALLVWSQMRLLLSRSDALPETAKGIKEASIAWKDLLSMIEEDKATKSSIIDKTENKNCPYSVNAINIMASSNGPTFDIPCGLVEDSSITIVGIPNEHNGSFQLELEGSQLLGEQNPPIILHYRVSLPGDNITEEPFIVQNTWTNEHGWGKEEKCPAHGSNIPKPKVDGLVLCNEQIVRSTVEETLNASLPSRDILANVSQGSAHASANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWAVSGVKVDGGLDILSVLAKGLPVSEDHDLVIDAELLRAPVTKKKRLALLVGVFSTGNNFERRMALRRSWMQYEAVHSGDVAVRFFIGLHKNRQVNFELWKEAQAYGDVQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLTSLKGKASDGLLYGLMSFDSSPHREKDSKWYISNEEWPHSSYPPWAHGPGYIVSRNIAKFIAQGHQERDFKLFKLEDVAMGIWIEELKKRGQEVHYVSDERFHNAGCESNYILAHYQSPRLVLCLWEKLQKEHQPNCCE >Manes.03G209300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32449187:32454391:-1 gene:Manes.03G209300.v8.1 transcript:Manes.03G209300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKIPLALPRMKKWSGGMMIVALAVILVFSYSLLKTQRSQPQKKQTAYDFFRNHPINDSHVKDTSYARSPQVEVDKVAKSSKKIHFVNVEGLNDLYAPNNFSKEESKALLVWSQMRLLLSRSDALPETAKGIKEASIAWKDLLSMIEEDKATKSSIIDKTENKNCPYSVNAINIMASSNGPTFDIPCGLVEDSSITIVGIPNEHNGSFQLELEGSQLLGEQNPPIILHYRVSLPGDNITEEPFIVQNTWTNEHGWGKEEKCPAHGSNIPKPKVDGLVLCNEQIVRSTVEETLNASLPSRDILANVSQGSAHASANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWAVSGVKVDGGLDILSVLAKGLPVSEDHDLVIDAELLRAPVTKKKRLALLVGVFSTGNNFERRMALRRSWMQYEAVHSGDVAVRFFIGLHKNRQVNFELWKEAQAYGDVQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLTSLKGKASDGLLYGLMSFDSSPHREKDSKWYISNEEWPHSSYPPWAHGPGYIVSRNIAKFIAQGHQERDFKLFKLEDVAMGIWIEELKKRGQEVHYVSDERFHNAGCESNYILAHYQSPRLVLCLWEKLQKEHQPNCCE >Manes.03G209300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32449187:32454394:-1 gene:Manes.03G209300.v8.1 transcript:Manes.03G209300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKIPLALPRMKKWSGGMMIVALAVILVFSYSLLKTQRSQPQKKQTAYDFFRNHPINDSHVKDTSYARSPQVEVDKVAKSSKKIHFVNVEGLNDLYAPNNFSKEESKALLVWSQMRLLLSRSDALPETAKGIKEASIAWKDLLSMIEEDKATKSSIIDKTENKNCPYSVNAINIMASSNGPTFDIPCGLVEDSSITIVGIPNEHNGSFQLELEGSQLLGEQNPPIILHYRVSLPGDNITEEPFIVQNTWTNEHGWGKEEKCPAHGSNIPKPKVDGLVLCNEQIVRSTVEETLNASLPSRDILANVSQGSAHASANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWAVSGVKVDGGLDILSVLAKGLPVSEDHDLVIDAELLRAPVTKKKRLALLVGVFSTGNNFERRMALRRSWMQYEAVHSGDVAVRFFIGLHKNRQVNFELWKEAQAYGDVQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLTSLKGKASDGLLYGLMSFDSSPHREKDSKWYISNEEWPHSSYPPWAHGPGYIVSRNIAKFIAQGHQERDFKLFKLEDVAMGIWIEELKKRGQEVHYVSDERFHNAGCESNYILAHYQSPRLVLCLWEKLQKEHQPNCCE >Manes.03G209300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32449187:32454403:-1 gene:Manes.03G209300.v8.1 transcript:Manes.03G209300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKIPLALPRMKKWSGGMMIVALAVILVFSYSLLKTQRSQPQKKQTAYDFFRNHPINDSHVKDTSYARSPQVEVDKVAKSSKKIHFVNVEGLNDLYAPNNFSKEESKALLVWSQMRLLLSRSDALPETAKGIKEASIAWKDLLSMIEEDKATKSSIIDKTENKNCPYSVNAINIMASSNGPTFDIPCGLVEDSSITIVGIPNEHNGSFQLELEGSQLLGEQNPPIILHYRVSLPGDNITEEPFIVQNTWTNEHGWGKEEKCPAHGSNIPKPKVDGLVLCNEQIVRSTVEETLNASLPSRDILANVSQGSAHASANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWAVSGVKVDGGLDILSVLAKGLPVSEDHDLVIDAELLRAPVTKKKRLALLVGVFSTGNNFERRMALRRSWMQYEAVHSGDVAVRFFIGLHKNRQVNFELWKEAQAYGDVQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLTSLKGKASDGLLYGLMSFDSSPHREKDSKWYISNEEWPHSSYPPWAHGPGYIVSRNIAKFIAQGHQERDFKLFKLEDVAMGIWIEELKKRGQEVHYVSDERFHNAGCESNYILAHYQSPRLVLCLWEKLQKEHQPNCCE >Manes.03G209300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32449083:32454423:-1 gene:Manes.03G209300.v8.1 transcript:Manes.03G209300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKIPLALPRMKKWSGGMMIVALAVILVFSYSLLKTQRSQPQKKQTAYDFFRNHPINDSHVKDTSYARSPQVEVDKVAKSSKKIHFVNVEGLNDLYAPNNFSKEESKALLVWSQMRLLLSRSDALPETAKGIKEASIAWKDLLSMIEEDKATKSSIIDKTENKNCPYSVNAINIMASSNGPTFDIPCGLVEDSSITIVGIPNEHNGSFQLELEGSQLLGEQNPPIILHYRVSLPGDNITEEPFIVQNTWTNEHGWGKEEKCPAHGSNIPKPKVDGLVLCNEQIVRSTVEETLNASLPSRDILANVSQGSAHASANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWAVSGVKVDGGLDILSVLAKGLPVSEDHDLVIDAELLRAPVTKKKRLALLVGVFSTGNNFERRMALRRSWMQYEAVHSGDVAVRFFIGLHKNRQVNFELWKEAQAYGDVQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLTSLKGKASDGLLYGLMSFDSSPHREKDSKWYISNEEWPHSSYPPWAHGPGYIVSRNIAKFIAQGHQERDFKLFKLEDVAMGIWIEELKKRGQEVHYVSDERFHNAGCESNYILAHYQSPRLVLCLWEKLQKEHQPNCCE >Manes.03G209300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32449187:32454391:-1 gene:Manes.03G209300.v8.1 transcript:Manes.03G209300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKIPLALPRMKKWSGGMMIVALAVILVFSYSLLKTQRSQPQKKQTAYDFFRNHPINDSHVKDTSYARSPQVEVDKVAKSSKKIHFVNVEGLNDLYAPNNFSKEESKALLVWSQMRLLLSRSDALPETAKGIKEASIAWKDLLSMIEEDKATKSSIIDKTENKNCPYSVNAINIMASSNGPTFDIPCGLVEDSSITIVGIPNEHNGSFQLELEGSQLLGEQNPPIILHYRVSLPGDNITEEPFIVQNTWTNEHGWGKEEKCPAHGSNIPKPKVDGLVLCNEQIVRSTVEETLNASLPSRDILANVSQGSAHASANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWAVSGVKVDGGLDILSVLAKGLPVSEDHDLVIDAELLRAPVTKKKRLALLVGVFSTGNNFERRMALRRSWMQYEAVHSGDVAVRFFIGLHKNRQVNFELWKEAQAYGDVQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLTSLKGKASDGLLYGLMSFDSSPHREKDSKWYISNEEWPHSSYPPWAHGPGYIVSRNIAKFIAQGHQERDFKLFKLEDVAMGIWIEELKKRGQEVHYVSDERFHNAGCESNYILAHYQSPRLVLCLWEKLQKEHQPNCCE >Manes.03G209300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32449187:32454393:-1 gene:Manes.03G209300.v8.1 transcript:Manes.03G209300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKIPLALPRMKKWSGGMMIVALAVILVFSYSLLKTQRSQPQKKQTAYDFFRNHPINDSHVKDTSYARSPQVEVDKVAKSSKKIHFVNVEGLNDLYAPNNFSKEESKALLVWSQMRLLLSRSDALPETAKGIKEASIAWKDLLSMIEEDKATKSSIIDKTENKNCPYSVNAINIMASSNGPTFDIPCGLVEDSSITIVGIPNEHNGSFQLELEGSQLLGEQNPPIILHYRVSLPGDNITEEPFIVQNTWTNEHGWGKEEKCPAHGSNIPKPKVDGLVLCNEQIVRSTVEETLNASLPSRDILANVSQGSAHASANFPFSEANPFTATLWVGSEGFHMTVNGRHETSFAYREKLEPWAVSGVKVDGGLDILSVLAKGLPVSEDHDLVIDAELLRAPVTKKKRLALLVGVFSTGNNFERRMALRRSWMQYEAVHSGDVAVRFFIGLHKNRQVNFELWKEAQAYGDVQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVLTSLKGKASDGLLYGLMSFDSSPHREKDSKWYISNEEWPHSSYPPWAHGPGYIVSRNIAKFIAQGHQERDFKLFKLEDVAMGIWIEELKKRGQEVHYVSDERFHNAGCESNYILAHYQSPRLVLCLWEKLQKEHQPNCCE >Manes.14G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:776514:779247:1 gene:Manes.14G011700.v8.1 transcript:Manes.14G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPLNKIDTAHQMYRDGKYEQALGFYADALSMAKTKLQKIALHSNRAACFLKLHDFKNAAEECTSVLELDHDHAGALMLRAQTLVTLNEYHSALFDVNRLLELNPSAEIYRNLEARLRTQLSLAPIPESEAELEEEEEKAEAELYRHEEELQDGEDAAMAIARTNQQKDPFIATVTTDVVVPKIPTINRSSETRKDLIFEPRKTIAAEVIAQAQRKVKPRKTLAAEVIARAQRKKELSDQLSKGWQAIPKPKGHSALNYDRWDKVEDDSSEDEDEESQPQYRFRLRTVGVQSAK >Manes.12G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34994510:34999338:1 gene:Manes.12G143700.v8.1 transcript:Manes.12G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSRLLSRSKQLYGSRVILQQERVIPVRYFAKKADPPALKGDEMLKNIFLDVKKKFETAIGILRKEKITIDPDDSAAVNQYAKVMKTIREKADLYSESQRIKYTIESRTKDIPDARTYLLTLKEIRIKRGLTDELGAEAMMMDALEKVEKEIKKPLMRSDKKGMALLMTEFDKVNKKLGIRKEDLPKYEEELELKIAKAQLEELKKDALEAMETQKKREEFKDEQMVDVKSLDIRNFL >Manes.02G004800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:569606:576352:-1 gene:Manes.02G004800.v8.1 transcript:Manes.02G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLKDTLKSLCCSNGWSYGAFWRFDQRNSMLLTMEDAYYEEEMEAVINNMLLQVHIMGEGFVGQAALSGKYQWIFSDDNNRGRIPAGSKDKYEDDSEVTCQFFTGLKTIVVIPVESQGVIQFGSTQKILEIPEFIIQVKRLFAEVGNINGLASPDNPTSSLNYENCDLNEWFTSFCNGNITPMHGGSSNELMEVAYTASTNLTQSSGPISDFQQEKTNLLCLDTSHLISQLETADAEAQMILSSNPDTMLHWLSSQSAISVENSAAKTPCISTWSSESSILTSLESQLPSEMGILDSQNVSSKKENIPVFCGYSKQDFQGESTVTSLHSTEGLVNVEKAIQQNSARSLSNCHYASSYNAEKCGSQEKETSLQKFTEEFKLDDFATDLSNCFVVDNLCEWFLSPSEHGITMTESLLQSAGVTSMSSSLVGDALHEIPFTQPANSVQSSITDAFICDGQQKPVIMNDAGTNLFDGLGLDYGCGQARDCQENGTKPVVSSGHVASCTGVSECISELDCNPGAGRRKGLFSELGLEELINGGNHSNYITNSSIDDQLSTAKRRRLETSSCSHVQLGSISCSGGSMTMQPSYCKGTGSDPLSKKEVFPKSQAGLWIDDSYSINNGGVVLTKSKKPEEPTKATRKRARPGESTRPRPKDRQQIQDRMKELKGIIPDGGKCSIDALLDRTIKYLLFLQGVTKYADKLKQADEKKLIGEENKTGLKDNSTSSGGATWALEVGDQSMVCPIIVEDLSPPGLMLIEMLCEDRGFFLEIADVIRGFGLNILKGVMESREDKIWAHFIVEAKTPITRIDILWSLVQLLHLTSTSGMDSTNQTSNIVNGRVPLLNNCQQPAMPCPISLAETQK >Manes.15G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12608153:12612681:1 gene:Manes.15G151400.v8.1 transcript:Manes.15G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNAFYSGEFNLDAKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQNVAIKVVHRGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLEMHVAIGFALDIARAMECIHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLAMIVTSCWQEDPNARPNFSQIIQMLLRYLSTVSPPEPVIPARIFTSENAVLPPESPGTSSLMAVRDDSGETPKAQMEDKPRGFFFCFNQCY >Manes.15G151400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12608153:12612681:1 gene:Manes.15G151400.v8.1 transcript:Manes.15G151400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVTELLLGGTLRKYLLNMRPRCLEMHVAIGFALDIARAMECIHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLAMIVTSCWQEDPNARPNFSQIIQMLLRYLSTVSPPEPVIPARIFTSENAVLPPESPGTSSLMAVRDDSGETPKAQMEDKPRGFFFCFNQCY >Manes.18G025320.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5704309:5704580:-1 gene:Manes.18G025320.v8.1 transcript:Manes.18G025320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVITLLVYLLVFADSCFCRQKEVNPNYLVKMVGIFYSCYGKSVKFDVMCFSEI >Manes.08G138300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37451249:37453122:-1 gene:Manes.08G138300.v8.1 transcript:Manes.08G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTLKNNYHICEEIGRGRFGTISRCFSPVKNEFFACKIIDKNLLTDTTDRECLQNEAKIMTILSPHPNIVQIHDIYETDDSLTMVMELCEQYTLYDRIINSNGGLCEGESASIMKQLLNAIAHCHRFNIVHRDIKPDNILFDSRNRVKLADFGSADWLGEVGTISGVVGTPYYVAPEVVMGREYNEKSDVWSAGVVLYVMLAGIPPFYGETVEEIFEAVIRGNLRFPPKLFRTVSSSAKDLLRKMICRDVSRRFSAEQALRHPWIVNGGETISVD >Manes.15G032700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2550211:2550660:1 gene:Manes.15G032700.v8.1 transcript:Manes.15G032700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRHRHLSTLLTAFTFLLFLSSSVTTFSLHGNGFSERNDGERRRALRVFDRVLSQKRLSGPGSSPPTCRSKCGKCSPCKPVHVPIQPGFSIPLEYYPEAWRCKCGNKLFMP >Manes.15G032700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2550111:2551894:1 gene:Manes.15G032700.v8.1 transcript:Manes.15G032700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRHRHLSTLLTAFTFLLFLSSSVTTFSLHGNGFSERNDGERRRALRVFDRVLSQKRLSGPGSSPPTCRSKCGKCSPCKPVHVPIQPGFSIPLEYYPEAWRCKCGNKLFMP >Manes.16G035150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4318853:4320247:1 gene:Manes.16G035150.v8.1 transcript:Manes.16G035150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKGKEVEKISLRSKTNISKTNSTKLQLFTSGHSSIQSLTSGQNITGQLAAPRPLYTNTTSIINRPIGHISSALITQPNYPRPRSPRPYFTSLNKFSPLQAEPIPPSTFKQIVTRPASPSPIASASSPYLSQVVQTQYTYKDAEDFVITIEPEYWAQNPNLNIYQLCESIFPKTHYYLPDNFQKSQSYYEALLVHTNSILIQNNYDPKNHTKLRYCKVRLLKVWTLIEWGQEPHRTKDFTLTNGQNAKYNYYDYQIAWERTFFKQNDQLSISFFFYISDTFTYPIPYWFHQWWNKFGIIETIIPDHIKLAQTQFFENNKLPDPIICSPKWLIYSHYFHIPWILMIEYQIKDQTFDNFQVPVLVRKYKIKWWAKTDQEACGPKAVDQFFTNYSQYCKIPNPSAITKQETFLARKKQIMVQMAACTSEQEYEKLLEELQETRSSSVSPSPADLADDNDDFFTQEM >Manes.01G225700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39118358:39126304:-1 gene:Manes.01G225700.v8.1 transcript:Manes.01G225700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNLSFDFTAIAFYCFTILYFRIFLADPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIFQSVIEKERRGDYLGKTVQVVPHITDAIKNWIESVAVIPVDGEEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGQDHFCIIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTAHLLACRSAQPLLENTKEKVSQFCHVPAANILSIHDVPNIWHIPLLLRNQNAHHAILKQLNLLGHLGIATPPDLEDWTKMAETFDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDESANMTPEAHATAWETLRNAACVLVPGGFGDRGVRGMILAAKYARENNIPYLGICLGMQISVIEFARSVLGMERANSEEFDALTSDRVVIFMPEGSTTHMGSTMRLGSRKTLLQTPDCITAKMYGNPQYVDERHRHRYEVNPDVIVVLEERGLKFVGKDETGRRMEILELPSHPFYVGVQFHPEFKSRPRRPSPLFLGFILAATGKLEAYLRSR >Manes.01G225700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39118358:39126304:-1 gene:Manes.01G225700.v8.1 transcript:Manes.01G225700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNLSFDFTAIAFYCFTILYFRIFLADPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIFQSVIEKERRGDYLGKTVQVVPHITDAIKNWIESVAVIPVDGEEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGQDHFCIIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTAHLLACRSAQPLLENTKEKVSQFCHVPAANILSIHDVPNIWHIPLLLRNQNAHHAILKQLNLLGIATPPDLEDWTKMAETFDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDESANMTPEAHATAWETLRNAACVLVPGGFGDRGVRGMILAAKYARENNIPYLGICLGMQISVIEFARSVLGMERANSEEFDALTSDRVVIFMPEGSTTHMGSTMRLGSRKTLLQTPDCITAKMYGNPQYVDERHRHRYEVNPDVIVVLEERGLKFVGKDETGRRMEILELPSHPFYVGVQFHPEFKSRPRRPSPLFLGFILAATGKLEAYLRSR >Manes.01G225700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39118215:39126389:-1 gene:Manes.01G225700.v8.1 transcript:Manes.01G225700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKCLLTKSLSAVRSPLLGLLGSEIKFTRSKLCNCIFPARTLIQTLCLHTETQGNRSSSQSITDRAMKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIFQSVIEKERRGDYLGKTVQVVPHITDAIKNWIESVAVIPVDGEEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGQDHFCIIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTAHLLACRSAQPLLENTKEKVSQFCHVPAANILSIHDVPNIWHIPLLLRNQNAHHAILKQLNLLGIATPPDLEDWTKMAETFDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDESANMTPEAHATAWETLRNAACVLVPGGFGDRGVRGMILAAKYARENNIPYLGICLGMQISVIEFARSVLGMERANSEEFDALTSDRVVIFMPEGSTTHMGSTMRLGSRKTLLQTPDCITAKMYGNPQYVDERHRHRYEVNPDVIVVLEERGLKFVGKDETGRRMEILELPSHPFYVGVQFHPEFKSRPRRPSPLFLGFILAATGKLEAYLRSR >Manes.01G225700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39118215:39126389:-1 gene:Manes.01G225700.v8.1 transcript:Manes.01G225700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKCLLTKSLSAVRSPLLGLLGSEIKFTRSKLCNCIFPARTLIQTLCLHTETQGNRSSSQSITDRAMKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIFQSVIEKERRGDYLGKTVQVVPHITDAIKNWIESVAVIPVDGEEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGQDHFCIIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTAHLLACRSAQPLLENTKEKVSQFCHVPAANILSIHDVPNIWHIPLLLRNQNAHHAILKQLNLLGHLGIATPPDLEDWTKMAETFDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDESANMTPEAHATAWETLRNAACVLVPGGFGDRGVRGMILAAKYARENNIPYLGICLGMQISVIEFARSVLGMERANSEEFDALTSDRVVIFMPEGSTTHMGSTMRLGSRKTLLQTPDCITAKMYGNPQYVDERHRHRYEVNPDVIVVLEERGLKFVGKDETGRRMEILELPSHPFYVGVQFHPEFKSRPRRPSPLFLGFILAATGKLEAYLRSR >Manes.03G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:410451:414218:1 gene:Manes.03G004600.v8.1 transcript:Manes.03G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLASSNFCIYVTTLFLCLGCIVGATNKNDQLALLEIKAKMTSDPLGVLSSWNSSAHFCQWYGVICGKRHTRVTELNLNSLLLQGSISPYLGNLTFLRVLQLQDNSLSHDIPHEIGRLRRLQFLDLSDNMLTGEIPANISGCSNLVSLGLSSNRLTGRIPKELSSLSKLRFLFIDLNHLTGGIPPFLGNLSCLEFLQTTRNNFGGSMPETLGKLNRLYYMSMGSNMFSGIVPSSMYNLSYMRVFCVPENRLQATIPSNLGNIFPNLVEFNIGDNQFTGSIPASLSNASNLERLTTAINGLSGNVPSLEKLHKLWWLSISTNYLGTARDGDLSFFSSVTNVTSLVWCSISANNFGGLLPAFITNFTSLSILTMDENQISGSIPSGLGNLINLELLDVGNNRLVGVIPEEIGKLQKLNKLNLHGNKFSGSIPPSMGNLTSLCYLNLHQNNLFGSIPPSLGKCQNLVSFSLNENNLSGPIPREIVSISSLAINMNLSHNYLTGSLPKEMGILTNLGTFDVSHNMLSGEIPSTLGSCTSLEFLHMQRNFFQGAIPATLSSLKGLQVLDFSHNDLTGTVPGFFQEFRFLRILNLSFNDLEGMVPIEGIFKNASAISVAGNSKLCGGIAKFQLPKCNFKETRKGKLTLSLKLVIAASGALCVALASLSLFILVSKRKRVEPTSISLEYSPFRIAYQSLCNATEGFSSSNLLGVGSFGSVYKGILQDEKVVAVKVLNLLHPAASKSFKGECKVLRNVRHRNLVKLMTVCSSVDHQGNDFKALVYEFMVNGNLDGWLHPMSITNESPRSLNFRQRLNIAIDIASALEYLHHGYQSPVVHCDLKPSNVLLDGEMVGHLGDFGLARFFPQATNSYTSESSTIGVRGSVGYTAPEYGMGNEVSTWGDMYSYGILLLEIFTGKRPTDEMFNDSFNLHNYTETALPDEVEKILNPSLAQEIKEEISRRDSHVVEECLILIFEIGVACSAEVPSERMNITDATAKLQVIKRKLS >Manes.03G175500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896407:29905907:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLRFCHRKFSAVGISRLPKDNLDTYQLHSKLKHGTLLGVFDLLREYSSGSGTTKFDFTDLTSPHTWYPKARKKRRKVILHVGPTNSGKTHNALKQLESSPSGIYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEVDGAKHKAVTVEMADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.03G175500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896289:29906148:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLRFCHRKFSAVGISRLPKDNLDTYQLHSKLKHGTLLGVFDLLREYSSGSGTTKFDFTDLTSPHTWYPKARKKRRKVILHVGPTNSGKTHNALKQLESSPSGIYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEVDGAKHKAVTVEMADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.03G175500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896289:29906101:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLRFCHRKFSAVGISRLPKDNLDTYQLHSKLKHGTLLGVFDLLREYSSGSGTTKFDFTDLTSPHTWYPKARKKRRKVILHVGPTNSGKTHNALKQLESSPSGIYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEVDGAKHKAVTVEMADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.03G175500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896401:29906102:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLRFCHRKFSAVGISRLPKDNLDTYQLHSKLKHGTLLGVFDLLREYSSGSGTTKFDFTDLTSPHTWYPKARKKRRKVILHVGPTNSGKTHNALKQLESSPSGIYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEVDGAKHKAVTVEMADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.03G175500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896401:29906100:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLRFCHRKFSAVGISRLPKADNLDTYQLHSKLKHGTLLGVFDLLREYSSGSGTTKFDFTDLTSPHTWYPKARKKRRKVILHVGPTNSGKTHNALKQLESSPSGIYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEVDGAKHKAVTVEMADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.03G175500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896404:29904714:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.03G175500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896404:29905907:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLRFCHRKFSAVGISRLPKADNLDTYQLHSKLKHGTLLGVFDLLREYSSGSGTTKFDFTDLTSPHTWYPKARKKRRKVILHVGPTNSGKTHNALKQLESSPSGIYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEVDGAKHKAVTVEMADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.03G175500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896401:29906148:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLRFCHRKFSAVGISRLPKADNLDTYQLHSKLKHGTLLGVFDLLREYSSGSGTTKFDFTDLTSPHTWYPKARKKRRKVILHVGPTNSGKTHNALKQLESSPSGIYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEVDGAKHKAVTVEMADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.03G175500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29896724:29906101:-1 gene:Manes.03G175500.v8.1 transcript:Manes.03G175500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTSDYSCAVVDEIQMLGCKTRGFSFTRALLGISADELHLCGDPAAVSLIEEILKVTDDDVKVEYYERLSPLVPLKKPLGSFWNIQTGDCIVAFSRREIYRMKKKIESAGKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTVPEVKQIAGRAGRYRSKFPVGEVTCLHADDLSLLHSSLESPSPNLETAGLFPTFDLMYMYSRLHPKSGIYQILEHFLENAKLSPNYFIADCAEMLKVAAFIDEMPLSLHDKYLFCISPVDMNDEISSQGLTQFAENYAKKGIVRLKEIFTPGTLMVPKTQSNLEELESIHKVLDLYIWLSFRLEDFFPDRELAASQKSLCSLLIEQFLERLGWQRPTAKRLRSRNVSTSLSSKNVRRYL >Manes.01G093475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29477635:29479227:1 gene:Manes.01G093475.v8.1 transcript:Manes.01G093475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWKIDLPFRKHQVPSSLLYCPCLCFLSKASPFLLALRRFLAFSCLGIRLLFSYLVGSLGLLAIVLKSSTSVLRWLTTMVTVSDRSEGRSSLAIRVEFMSQVGLSF >Manes.05G044500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3662866:3665637:-1 gene:Manes.05G044500.v8.1 transcript:Manes.05G044500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATANPSSSGGSGGGGGSSSSCASGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLATLELPSPPPPPPPPPTLLTPPPLSIADLPSASSLPATYDLSSLFDPLAQPSWAMQQRQMDPRQFSGSTGSSALTSGGGDLQSLARELLHRHGSPPQGSMPCTGSLSSPSSSK >Manes.17G045500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24225106:24228481:-1 gene:Manes.17G045500.v8.1 transcript:Manes.17G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFAIGRGLMEETGDAPQNFPLQKCRFLKYPSKIVKFSFANLLIFFYFCHLAISAAAATSISYSNFLAYYAQHCNHIVPESPSTETRMNFALGQDKVLHFDIAYFTGGHQILPKRAVTADFSAISLSFHPKRNTISLTQTPHVLKFQATLRFHIPAHFNLTWKRNLREIRFRPPRIPIRAGSLSFELYGFWSMDTGKLCMVGSGSSHANLGVVSSSNVVLKLNYPVNFSNISSLISGVLESLNNKGSSGYFEPISVLGIPHFGEYKYTLINRGSSGLCFDGSDGGEEDDNLHLDWLDPSACLSQLYKYARTFELEYGNECDHNGGGKCDPLGGYSGTLPLFMTIQGIRCEREGGIRALIGFSNSGYFGSGPFSYERVFDPNRMLIGEGVWDEKKDRLCVVACRVLHLKDSLVNASIGDCSIQLSLRFPRILNITQRNTVVGKILSSISVNETGYFNEIRFHGSGNRMRGLPGLKYQYTMLDRISKSCPKQNMRGTGKAYPNAYSSDMRFHMSVRNSERQTAQGYSSPLFVGDQLFEPYQMNANSGLLNISYKMSFTTASDFKMGNKLLSNESIEISAEGTYDNETGVLCMIGCSRPIFLLENLTNYQSEDCEILVKVQFSPLNAKRGNNIKGTIKSMREKLDPLYFGQLDVSSNSIYTSQAAESIWRMDMEITLVLISNTLACVFVGLQLYHAKKNPDVLPFISFVMLVVLTLGYMIPLLLNFEALFVANHNRQDVFLESGGWLEVNEVIVRVVTMVAFLLQFRLLQLSWSARQSNGNHKSLWLAEKRVLYLALPLFVGGGLTAWYVHQWKNSYRRPYLRPRHMGYQRDYLWIYLKSYAGLILDGFLLPQIMYNIFSNSRENILTSPFYVGTTIVRVLPHAYDLYRAHNSTWSLDLSYIYGNHKQDFYSTAWNIIIHFGGLLFAALIYLQQRFGGRCIIPKRFRGNPEYEKVPVVNSEEMQEAVH >Manes.03G039000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3445064:3447258:1 gene:Manes.03G039000.v8.1 transcript:Manes.03G039000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLVPARTFFSPPLSLTFSSPKHHLNLSSSSSSSTSKRYSHKSSIKMSTTAIEHIVLFKVKDDTDPSKVNTMLTSLNALVSLDPVLHLAAAPLYRTKSSPFPFTHMLHSRYSSKDSLNAYSAHPSHVTVVKESVLPICDDIMAVDWVADDLQGPIVPPPGSAIRVSFLKLKENLGEEDKDEILAFIKGIKGSIGEINQLSCGGNFSPARAKGYSIASLAVFPGVSGLEAVDSNEELVNLQKEKVRDYLESVIVVDYVVPSP >Manes.05G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6131517:6134075:1 gene:Manes.05G074700.v8.1 transcript:Manes.05G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAVKYFVVDAFTDSAFKGNPGVACILEDERDEKWMQAVAAEFNISATGFLTLLTDADDVSSNPRFRLRWFGPVSEVNLCGHVTLAASHALFSKGLVKSSIIEFETLSGILIAKKIPDIFTTTQNGEAEESFAIELNFPTVPLAEFNSADVAFVSRALSGANIIDIKKTTTADDLFVVLASGKDVAELQPRFDEVLKCPGRGMIVSGVAPPESGFDIYSRFFCPKLTVNEDPVCGSAHCSLVPYWSKNLGKHDLMAYQASSRSGKLNIHLDVQNDRVLLRGKAVNVMEGSILV >Manes.02G047700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3893504:3895001:1 gene:Manes.02G047700.v8.1 transcript:Manes.02G047700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHSYKYVATQVKFFIEKGKFLLIVSNIRMSIVEFGQAKGLTSTPNRGLAIVDFMVRLLAVAGTLGGAIVMASTEETLPFSTQFVRFRAEYDDLPTFTLFVVVNFIVSGYLLLSIPLSIFNIVRNTAKNTRILLIIFDTAMMGLLTAGASAAAAIVYLAHKGNDRANWFAICRQFNSFCERISGSLIGSFVGVAAFILLILLSAVALSRRN >Manes.15G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3187409:3195518:1 gene:Manes.15G041300.v8.1 transcript:Manes.15G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSYVNSERDIEQALITLKKGTQLIKYSRKGKPKFRAFRLSPDETALIWLSHGEEKYLRLSSVSRIIPGQRTAVFRRYLRPEKDYLSFSLLYNNGDRSLDLICKDKLEAEVWLAGLKALIGKKSSRRTRSDISDLTEGGDFFQNGRPSSVSLEFSSSINHGRVSVDLGYRDTYFNSASSDVGSERANMQLRTSGGDGFRISVSSTPSCSSGGSGPDDIELLGDVYVWGEVWSDGVSPDGSTSSLPVKNDILIPKPLESKIVLDVHQIACGMHHVGLVTKQGEVFTWGEESGGRLGHGTEMDFSCPRLVEFLAVTNVDFVACGEYHTCAVSSTGDLFTWGDGTQNAGLLGQGTDVSHWIPKRVSGPLEGLQVLSVACGTWHSALATTNGKLFTFGDGTFGVLGHGDRESVSFPKEVQLLSGLKTIKVACGVWHTAAIVEVMSQPGTTVSSRKLFTWGDGDKNRLGHGSKETYLLPTCVSPLIDYNFHQIACGHTMTVALTTSGHVFAMGGTAYGQLGNPNSDGKVPSLVQDNLVGEFVEEVSCGAYHIAVLTSRSEVYTWGRGANGQLGHGDTEDRRTPTLVETLRDRHVKNISCGSNFTSSICIHKWVSGVDQSVCSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYAKLKAADAGNSNMNRKSTTPRRSVDIREKMDRGEVRASRVLLSPTTEPIKYLEIKSFKPGTKSEVPSIVRASQVPSLLQLKDVAFPSSLSAMQSSWKPTPSPVYQSGMNFTQPSAANSRPASPYSRRPSPPRTSTAGFSRSVIDSLKKTNDILKQDMTKMQNQIKTFKQKCDVQDTQIQKLQKQAKATALLATSEATKSRVAKELVQSITEQLKQMKEQLPPDVHESEIFKAMNSQIETFLNAYETSESSSLAESLGSSNLQDQRIEYQADTTRATDSSKNDGNVRRRPSGSGSTEGIPQHISGNVTGTLESSSARREGESIEQFEPGVYVTFIQRSDGVKLFKRVKFSKRRFQEQQAEVWWKENKDRLLRRYSPTSNTASTGSSSTPAEAPPSTAVSPSAPAESSTTAAEDTSEAGPSET >Manes.06G074900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21056581:21058248:1 gene:Manes.06G074900.v8.1 transcript:Manes.06G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVYGNMLHAVPTTVVTPQSSKNIKTMNPTLISQWNPSPRVPKIVQSRQPRGICNALPLVHEAKEGGEANAPLTPQDKYKEIMSRFPRRDDWVLQPLYQYQGFWYFQDYLIGLLAAQENFKPQPSDIVICTYPKTGTTWLKALAYTIVTRSRFSDSENPLLIKAPHDCVPFFEIDAARNTSNRDPQVPLVATHIPYTSLPTSISESGCKLVYLCRDPKDVLISMWHFLRGKLPEGIDKDTYINLNNSFEIFCEGIASNGPYWDHVSGYWKASVESPEKVLFLVYEDLKKDTVSIVRKLAEFMGYPFTPEEETRGVVQEIVELCSFDSLKNLKANTSGVYSPDSPYTIRNTEFFRKGTTGDWKNYFNEEMAARLDQIIEEKLNGSGFSFLSR >Manes.08G169900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40416950:40420137:1 gene:Manes.08G169900.v8.1 transcript:Manes.08G169900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.01G258400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41489184:41490565:-1 gene:Manes.01G258400.v8.1 transcript:Manes.01G258400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKTDKIVRRTTMVATAVASYFLLTADYGPEPNAFDPIKKAIISAESSVKEFIFGSKK >Manes.07G101400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672880:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLGYLAPEYAISGHLTRKSDVYSFGVLLLEIVSGRTAVDFDLELGEYYLVDKAWEMYKANKLLELVDPMLNGNLLESEAVRFLMVALLCVQEKTGLRPNMSKAIKIMRGEINISNTKIAQPGLITNIMNVKIGRTSKSSQSTTTFAARTVNCKQYS >Manes.07G101400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672879:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPSIFKRIKHNFFSSIKFSFLCFRSSSSSSEISSSDDSIQGEPILQNVHAFSFTELEVATDGFHSSNKIGEGGFGLVYKGRMEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLGYLAPEYAISGHLTRKSDVYSFGVLLLEIVSGRTAVDFDLELGEYYLVDKVTNINIEFHKKFSHSSLCNVNCNLISDIQSFIKS >Manes.07G101400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672879:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPSIFKRIKHNFFSSIKFSFLCFRSSSSSSEISSSDDSIQGEPILQNVHAFSFTELEVATDGFHSSNKIGEGGFGLVYKGRMEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLLSGPGIRH >Manes.07G101400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672879:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPSIFKRIKHNFFSSIKFSFLCFRSSSSSSEISSSDDSIQVGEPILQNVHAFSFTELEVATDGFHSSNKIGEGGFGLVYKGRMEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLLSGPGIRH >Manes.07G101400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672879:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPSIFKRIKHNFFSSIKFSFLCFRSSSSSSEISSSDDSIQVGEPILQNVHAFSFTELEVATDGFHSSNKIGEGGFGLVYKGRMEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLGYLAPEYAISGHLTRKSDVYSFGVLLLEIVSGRTAVDFDLELGEYYLVDKVTNINIEFHKKFSHSSLCNVNCNLISDIQSFIKS >Manes.07G101400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672879:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPSIFKRIKHNFFSSIKFSFLCFRSSSSSSEISSSDDSIQVGEPILQNVHAFSFTELEVATDGFHSSNKIGEGGFGLVYKGRMEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLHGKCTRLTSFWS >Manes.07G101400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672879:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPSIFKRIKHNFFSSIKFSFLCFRSSSSSSEISSSDDSIQGEPILQNVHAFSFTELEVATDGFHSSNKIGEGGFGLVYKGRMEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLHGKCTRLTSFWS >Manes.07G101400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672879:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPSIFKRIKHNFFSSIKFSFLCFRSSSSSSEISSSDDSIQVGEPILQNVHAFSFTELEVATDGFHSSNKIGEGGFGLVYKGRMEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLGYLAPEYAISGHLTRKSDVYSFGVLLLEIVSGRTAVDFDLELGEYYLVDKAWEMYKANKLLELVDPMLNGNLLESEAVRFLMVALLCVQEKTGLRPNMSKAIKIMRGEINISNTKIAQPGLITNIMNVKIGRTSKSSQSTTTFAARTVNCKQYS >Manes.07G101400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30668599:30672879:1 gene:Manes.07G101400.v8.1 transcript:Manes.07G101400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDNPSIFKRIKHNFFSSIKFSFLCFRSSSSSSEISSSDDSIQGEPILQNVHAFSFTELEVATDGFHSSNKIGEGGFGLVYKGRMEDGKLVAVKVLSAESKQGDKEFLSEIASLSSISHENLVKLHGCCIDGPCRILVYEYMENGSLAQNFLGGSKNKSKFNWKSRRDISIGIGEGLAYIHEEVKPHIVHRDIKGSNILLDQNFTPKVSDFGLSKLFSDNITHVSTRVAGTLGYLAPEYAISGHLTRKSDVYSFGVLLLEIVSGRTAVDFDLELGEYYLVDKAWEMYKANKLLELVDPMLNGNLLESEAVRFLMVALLCVQEKTGLRPNMSKAIKIMRGEINISNTKIAQPGLITNIMNVKIGRTSKSSQSTTTFAARTVNCKQYS >Manes.17G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24911652:24916294:1 gene:Manes.17G052500.v8.1 transcript:Manes.17G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSILSCSHCSSSSNHYSSRTHLNFTLHLSHPSYNLRKCETASLSFISNLRANKKRQMLMAVSSPSTRTSLRTSAFVIEKEAENSTKGKEMMPKIDKSGRFCSPRAARELALLTIYAACLEGSDPIRLFEKRMNARREPGYEFDKTSLLKYNHMSFGGPPVTTETVEEADELLRSDEKESAVEAEVLSAPPKLVYSRLLLRFTRKLLAAVVDKWDNHVLTIDKVAPPNWKNEPAGRILEFCILHLAMSEIAVLGTRHQIVINEAIDLAKRFCDGAASRVINGCLRTFVKDLSGSNMTQASEANQKAEV >Manes.02G095800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7471118:7472832:-1 gene:Manes.02G095800.v8.1 transcript:Manes.02G095800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGDDSGAPLAKWRSDFSRAFQYYLDRSTPHTLRRWLGTLVVAMIYVLRVYYLEGFYVVSYGLGIYILNLLIGFLSPKDDPELEALDGASLPTKDSDEFKPFIRRLPEFKFWYALTKAFLVAFLLTFFSVLDVPVFWPILLCYWIVLFFLTMKRQILHMIKYKYVPFSTGKQKYAGKKSSATSSTATD >Manes.02G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7471118:7472827:-1 gene:Manes.02G095800.v8.1 transcript:Manes.02G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGDDSGAPLAKWRSDFSRAFQYYLDRSTPHTLRRWLGTLVVAMIYVLRVYYLEGFYVVSYGLGIYILNLLIGFLSPKDDPELEALDGASLPTKDSDEFKPFIRRLPEFKFWYALTKAFLVAFLLTFFSVLDVPVFWPILLCYWIVLFFLTMKRQILHMIKYKYVPFSTGKQKYAGKKSSATSSTATD >Manes.02G095800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7471118:7472827:-1 gene:Manes.02G095800.v8.1 transcript:Manes.02G095800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGDDSGAPLAKWRSDFSRAFQYYLDRSTPHTLRRWLGTLVVAMIYVLRVYYLEGFYVVSYGLGIYILNLLIGFLSPKDDPELEALDGASLPTKDSDEFKPFIRRLPEFKFWYALTKAFLVAFLLTFFSVLDVPVFWPILLCYWIVLFFLTMKRQILHMIKYKYVPFSTGKQKYAGKKSSATSSTATD >Manes.14G085700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7070426:7076493:1 gene:Manes.14G085700.v8.1 transcript:Manes.14G085700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVHSQINPIPATCRRYRSRFVPALSLQLKNNVISGGILLMKSPHYCNSITASAQPLKASSLSHFNNTLPSKEVLELWRSADAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTAKAMGGSVPFEEALAARLSLFKPSLSQVQDFLEKNPPKISPGIDELVKKLKAKNTNVYLISGGFRQMINPVASILGIPPENIFANQLLFGSSGEFLGFDANEPTSRSGGKATAVQQIRKVHGYKALVMIGDGATDLEARKPGGADMFICYAGVQLREAVAAKADWLVFSFTDLISSLE >Manes.07G105100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31091125:31140765:1 gene:Manes.07G105100.v8.1 transcript:Manes.07G105100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVQMNSDGPMTDGLAELNRDVQDEHEETRDGIIGEGQELSEEDESRINEEIEDVNDGCMKVVQVQAHDQPSRQQQHPQRPLVRWERFLPLRSLKVLLVENDDSTRHVVSALLRNCGYEVTAVANGLEAWKLLEALTNHIDLVLTEVVMPRLSGIGLLCKIMNHKTCKNVPVIMMSSHDSMNIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSESGVWTQKPMKSKSAESDNNTGSNDEDDIGNTGLNARDGSGTQISWTKRPVEVDSPKPMSPWDQVAAPPDSTCAKVLHSRPEAFGNNWVPATMTECEGQDDDHGNVVIGKDLDIGVPRIPNLQIEHSSGKEVAFVPGNNGEKLPQMNSKNNVEQIDKAQLETINSDKPKGDSGNRATDGMGVITDSNDPQTGSTVFNIPNSSSKVSNQKDKVVDENNVIPSLELSLKRLRDFGDTGTSAHDRNVLRHSDLSAFSRYNAVSTANQAPTGNAGSCSPLNNSSEALKTGSTQNFQSNSNSNPPKQHSNGTSNNNDMGSITNNAFTKPAASNDKPAPKSTIKDPHPSSAFQAVQNGHMLVPQPTMQGKVDDAFSNTSFTQARDTNHQVQLEHHHHHYHYHHHHHHVRNTQQQQQQQQQQQQQQQLTTHDDSSLKAMAATATQCGSSNMLNKPIGSVGNYSLNGSASGSDHGSNGLNGSSIALNPRGTNIERDNVAAGKLRAGSGIGSIIKIDQNRSAQREAALDKFRQKRKQRCFDKKVRYHSRKKLAEERPRFRGQFMRQAAKEIKDKDARS >Manes.02G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2903423:2907542:-1 gene:Manes.02G035100.v8.1 transcript:Manes.02G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKAKGNAAFSAGDYAGAVRHFTEAISLAPSNHVLYSNRSAAHASLQNYADALADAKKTVELKPDWSKGYSRLGAAHLGLNQIQDAIAAYKKGLEIDPNNEALKSGLADAQSAASRSRAVPPPSPFGDAFSGPEMWAKLTADPTTRMYLQQPDFLKMMQEIQTNPSSLNLYLKDQRVMQAFGVLLNVKFGAPNAGEDMEVPEADSPSPQPERKPEPAKKEKVPEPEPMEVSEEAKEAKERKAEAVKEKELGNAAYKKKEFETAIAHYTKAMDLDDEDISYLTNRAAVYLEMGKYEECIKDCDKAVERGRELRSDFKMIARALTRKGTALVKMAKCSKDYDPAIETFQKALTEHRNPDTLKKLNDAERAKKELEQKEYFDPKLADEEREKGNEYFKQQKYPEAVKHYTESLRRNPNDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPQNQELLDGVRRCVEQLNKASRGDISPEELKERQAKAMQDPEIQNILSDPVMRQVLVDFQENPKAAQEHMKNPMVMDKIQKLVNAGIVQVR >Manes.17G026300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20322111:20327143:1 gene:Manes.17G026300.v8.1 transcript:Manes.17G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPNAALLEWPKKDKRRLLHVVYRVGDLDRTIKFYTECFGMKLLRKRDVPEEKYSNAFLGFGPEETNFVVELTYNYGVTSYDIGTGFGHFAIASQDVYKLVEDIRAKGGVVTREPGPVKGGTTVIAFVKDPDGYIFELIQRGPTPEPLCQVMLRVGDLDRSIQFYEKAVGMKLLRKIDNPQYKYTLAMMGYADEYETTVLELTYNYGVTEYSKGNAYAQVAICTDDVYKSAEVVNLVTQELGGKITRQPGPLPGINTKITSFLDPDGWKTVLVDNEDFLKELQKEE >Manes.10G024102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2355316:2366868:1 gene:Manes.10G024102.v8.1 transcript:Manes.10G024102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAAALTALSFAFLNDSFAATFSEKVTRTVRKFSPHLAAKMRPPHMPVIRGRPSSKKSVYICGQPRGVFVFLFSAASFILCFTSGTLLFVLWAFGIGLLVTVLHASVKTPNLKARLNTFREEFRAVWRNYSEL >Manes.12G029800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2591342:2594423:1 gene:Manes.12G029800.v8.1 transcript:Manes.12G029800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMFNFSVIYMAKPMLQHQKLLSSIVTLLFTVITTPYFVAPQTFDDSCILDLHFPPSMDDSYCEPGNWGGFINHDCCREAFDEYLYALGKRANISGQIYLNSAEQSACLIPMRHAYNDVGDCGFEKLTGGDGGCSSYTKADVETKLGDRLNRLDEDCKNINSDDKQDQNCRECLRRWEEINGSSNKSDLNSEASMCRFAVLITLTSNLIDDKKGIQAIYNCLGVQNLSVGNGQAGNSEDGDTRQKTGSYDSFSEDSNNLKISPKEIYLATNNLNEANFIGQGIAGKVYKGMLPNGQHVAVKHIINDGQMDTFVREVTSLSHIRHPNLVALLGFCEHEDEYFLVYELCNNGNLSEWLYGKYRVLSWIQRLEIAIDSAKGLWFLHTYPEGCIVHRDIKPTNILINAEFQAKLSDFGLSKVIDVGQSHVSSEVRGTFGYVDPEYRQNHRVNAKGDVYSFGIVLLQLISGQRVINLNLSRPMQLNKMAKFLSRGGNITEFADPKLNGEYSVEAFDLVLKLALSCTGMKLERPSMEKVVHILENALEISMKMKSITYD >Manes.12G029800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2591342:2594423:1 gene:Manes.12G029800.v8.1 transcript:Manes.12G029800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHMFNFSVIYMAKPMLQHQKLLSSIVTLLFTVITTPYFVAPQTFDDSCILDLHFPPSMDDSYCEPGNWGGFINHDCCREAFDEYLYALGKRANISGQIYLNSAEQSACLIPMRHAYNDVGDCGFEKLTGGDGGCSSYTKADVETKLGDRLNRLDEDCKNINSDDKQDQNCRECLRRWEEINGSSNKSDLNSEASMCRFAVLITLTSNLIDDKKGIQAIYNCLGVQNLSVGNGQAGNSEDGDTRQKTGRLILITGLVGLGAIIFIAVSIWILYRKRTKENLPTTQNGSYDSFSEDSNNLKISPKEIYLATNNLNEANFIGQGIAGKVYKGMLPNGQHVAVKHIINDGQMDTFVREVTSLSHIRHPNLVALLGFCEHEDEYFLVYELCNNGNLSEWLYGKYRVLSWIQRLEIAIDSAKGLWFLHTYPEGCIVHRDIKPTNILINAEFQAKLSDFGLSKVIDVGQSHVSSEVRGTFGYVDPEYRQNHRVNAKGDVYSFGIVLLQLISGQRVINLNLSRPMQLNKMAKFLSRGGNITEFADPKLNGEYSVEAFDLVLKLALSCTGMKLERPSMEKVVHILENALEISMKMKSITYD >Manes.01G094300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29602131:29606946:1 gene:Manes.01G094300.v8.1 transcript:Manes.01G094300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGESGRVHEKSQHAKRKTGASGDEFSQAIAKIAVAQICEGAGFQSFQQSALEILSDVTVQYISNLGKLSQSYANLAGRTDVNAFDIIQGLEEFGSLQGYGGASDIDHCIASSGTVRELVQYVTEAEHIPFAYSIPPFPVVRERRPCPSFVQIGEEPPGDHIPAWLPAFPDPQTYVKSSTENEGAADCNTRKIELAQVQTETDRSLLNLQNFICNGSGGPSSFAHGGGSEAKLAVEGNPFLAAPLQFGEKEVSHVVPPAKFSNEAAVKNPTNQNFPLDNHVSVLDTFAPAIEAMKSSLCDSEEGQKKVLLNPRPAVQFKIGAGKKTLGTALDFSSHNKGVEKISPWSGKYDEKDDKKKRAEKILKQSMQNPGELAQL >Manes.01G094300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29602131:29606946:1 gene:Manes.01G094300.v8.1 transcript:Manes.01G094300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGESGRVHEKSQHAKRKTGASGDEFSQAIAKIAVAQICEGAGFQSFQQSALEILSDVTVQYISNLGKLSQSYANLAGRTDVNAFDIIQGLEEFGSLQGYGGASDIDHCIASSGTVRELVQYVTEAEHIPFAYSIPPFPVVRERRPCPSFVQIGEEPPGDHIPAWLPAFPDPQTYVKSSTENEGAADCNTRKIELAQVQTETDRSLLNLQNFICNGSGGPSSFAHGGGSEAKLAVEGNPFLAAPLQFGEKEVSHVVPPAKFSNEAAVKNPTNQNFPLDNHVSVLDTFAPAIEAMKSSLCDSEEGQKKVLLNPRPAVQFKIGAGKKTLGTALDFSSHNKGVEKISPWSGKYDEKDDKKKRAEKILKQSMQNPGELAQL >Manes.04G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31025735:31030169:1 gene:Manes.04G105100.v8.1 transcript:Manes.04G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGISLEEIRNENVDLERIPVEEVFQQLQCSREGLSTAEGEKRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEIAAIMAIAMANGGGQPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEAAILVPGDMISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKHPGDEVFSGSTCKRGEIEAVVIATGVHTFFGKAAHLVDSTNQEGHFQKVLTAIGNFCICSIGLGMLIEIVVMYPIQHRKYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFAKDVDKEGVILYGAMASRVENQDAIDACIVGMLSDPKEARAGITEVHFLPFNPVEKRTAITYIDSEGNWHRISKGAPEQIIELCNLRDDAKKKAHAIIDKFADRGLRSLAVCRQTVPEKTKDGAGTPWQMVGLLPLFDPPRHDSAETISRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQTKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHIYGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVVGFLLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGTYLAIMTVIFFWAAYSSDFFSEKFGVRSIRNSHYELTAAIYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFLIAQLIATILAVYANWEFAKIKGIGWGWAGVIWLYSIIFYIPLDILKFITRYALSGKAWDNIVQNKTAFTTKKDYGRGEREAQWAAAQRTLHGLQPPETAELLQDKNYRELSEMAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Manes.09G112800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31516582:31520730:-1 gene:Manes.09G112800.v8.1 transcript:Manes.09G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQKMTVEFDDNCNKSNNLFDLLSEEIVFAILDFLDTNPLDRKSFSLVCKSFYSLEYNHRKTLKPLRQEHLPRILSRYPHVSHLDLSLCPRINDNSLTVISNTCKDSLRSIDLSRSRFFSYNGLMSLALNCKNLVEIDLSNATELRDAAAAAVAEAKNLERLRLGRCKLITDMGIGCIAVGCKKLRLISLKWCLGVTDLGVELIALKCKEIRILDLSYLPITNKCLPSILKLQNLEDLVMEGCFGIDDDSLAVLKDGCKSLKTLDMSSCQNISHVGLSSLISGAGGLEELTLAYGSPVTPALANSLRSLSALQSVKLDGCIVTTDGLKAVANCVVSLRELSLSKCLGVTDEGLSYLVTKHRELRKLDITCCRKITDVSIAYITSSCANLTSLRMESCSLVPREAFVLIGQRCQFLEELDLTDNEIDDEGLKSISRCSKLSSLKLGICLNISDEGLAYIGMNCSKLTELDLYRSAEITDSGILAIASGCHELEVVNMSYCRDITDSSLISLSKCAKLNTFESRGCPLITSLGIAAIAVGCKQLSKLDIKKCHNIDNTAMLPLAHFSQNLRQIILSYSSVTDVGLLSLASISCLQNLTVLHLKGLTRSGLVAALLACRGLTKVKLHVAFKSLLPQALFEHLEARGCVFEWRDKEFQAELDPKCWKLQLEDMIP >Manes.03G190700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30983361:30989846:-1 gene:Manes.03G190700.v8.1 transcript:Manes.03G190700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALEVLTKPGAIVGSDHSSVVSINLFVALLCACIIIGHLLEENRWMNESITALAIGLCTGVVILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINSSVAMQFFGNFLNLFISSTILGVLSGLLSAYIIKKLYFGRRSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVSEIFIFLYVGMDALDIEKWKLVSHSPGTSAGVSAILLGLVMVGRAAFVFSLSFLSNLTKNSSCEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTNSGYTQLRGSAIMITSTITVVLFSTVVFGLMTKPIVRILLPSPNHTSSSEPSSPKSLIVPLLNGQDLEDNKGSYDVSYPTSLRMLLSTPSLTVHHYWRDFDDAFMRPVFGGRGFVPFIPGSPTEGSLLQ >Manes.03G190700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30983360:30987641:-1 gene:Manes.03G190700.v8.1 transcript:Manes.03G190700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALEVLTKPGAIVGSDHSSVVSINLFVALLCACIIIGHLLEENRWMNESITALAIGLCTGVVILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINSSVAMQFFGNFLNLFISSTILGVLSGLLSAYIIKKLYFGRRSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVSEIFIFLYVGMDALDIEKWKLVSHSPGTSAGVSAILLGLVMVGRAAFVFSLSFLSNLTKNSSCEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTNSGYTQLRGSAIMITSTITVVLFSTVVFGLMTKPIVRILLPSPNHTSSSEPSSPKSLIVPLLNGQDLEDNKGSYDVSYPTSLRMLLSTPSLTVHHYWRDFDDAFMRPVFGGRGFVPFIPGSPTEGSLLQ >Manes.03G190700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30983361:30987641:-1 gene:Manes.03G190700.v8.1 transcript:Manes.03G190700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLCTGVVILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLSHINSSVAMQFFGNFLNLFISSTILGVLSGLLSAYIIKKLYFGRRSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVSEIFIFLYVGMDALDIEKWKLVSHSPGTSAGVSAILLGLVMVGRAAFVFSLSFLSNLTKNSSCEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTNSGYTQLRGSAIMITSTITVVLFSTVVFGLMTKPIVRILLPSPNHTSSSEPSSPKSLIVPLLNGQDLEDNKGSYDVSYPTSLRMLLSTPSLTVHHYWRDFDDAFMRPVFGGRGFVPFIPGSPTEGSLLQ >Manes.18G067200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6024389:6027394:-1 gene:Manes.18G067200.v8.1 transcript:Manes.18G067200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCCFGASYSKLVGGRSSSSSGKGKSHEGLIKYGFSLIKGKANHPMEDYHVAKFVQIQGHELGLFAIYDGHLGDGVPAYLQKHLFSNILKEEEFWVDPNRSISKAYERTDQAILSHGSEVGRGGSTAVTAILINGQKLLVANVGDSRAVISRGGKAIQMSTDHEPNTERGSIEHRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKLHLRSDPDIQDTNIDNNVHFLILASDGLWKVVSNQEAVDIATRIKDPLKAAKQLTTEALKRDSKDDISCIVVRFKA >Manes.18G067200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6024389:6027394:-1 gene:Manes.18G067200.v8.1 transcript:Manes.18G067200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCCFGASYSKLVGGRSSSSSGKGKSHEGLIKYGFSLIKGKANHPMEDYHVAKFVQIQGHELGLFAIYDGHLGDGVPAYLQKHLFSNILKEEEFWVDPNRSISKAYERTDQAILSHGSEVGRGGSTAVTAILINGQKLLVANVGDSRAVISRGGDVPRVNGQLAVSRAFGDKSLKLHLRSDPDIQDTNIDNNVHFLILASDGLWKVVSNQEAVDIATRIKDPLKAAKQLTTEALKRDSKDDISCIVVRFKA >Manes.11G118637.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27770844:27774448:1 gene:Manes.11G118637.v8.1 transcript:Manes.11G118637.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYCLLSWKASLDNQSQSVLDSWVGRGPCKWIGVTCDSSGSITILSLINLGLRGTLHSFNFSCFPNLTRLDISNNLLHGTLPSQISNLSKITYLDLSGNHLTGNIPAEFGMLSSLSELYLSRNNFTGLIPTSMTKLENLSILYLWGNKLSGSIPSEIGFLKSLKELGLSINKLSGLIPPSIGKLRELSILYLFDNKLSGSIPSEIGFLKSLKELSLSRNKLSGPIPPSIGKLRELSILYLFGNKLLGSIPSEIGFLKSLKEFDLSSNKLSGPIPPSIGKLRELSILYLFGNKLSGSIPSEIGFLKFLKELDLSSNKLSGPIPPSIGKLRELSFLYLFYNKLSGTIPSEIGFLKSLKKLGLSSNNLTGAIPSSIGYLKNLSFLGLRENKLSESLPLEFNNLTRLKSLQLGGNGFTGHLPEDVCLGGLLENFTAFFNHFSGSIPKTLRNCTSLFRLRLDWNQLTGNISEQLGIYPHLDYMDLSNNRFNGEIPRKLGQWKNITSLKFSNNNISGSIPLELGNATQLHLIDLSWNHLQGQVPKELAKLKLLIKLCLNNNNLFGVVPLDFKVLSNLDQLNLAANNLSGPIPGQLGELSNLLILNLSRNEFTAGIPFELGNLHFLQVLDLSHNLFMGNIPQQLGQLRTIEVLNLSNNMLSGPIPTTFDNLWGLTVVDISYNELEGSIPDVKAFREAPFEAYRNNKGLCGNASSLKACTSIKSGKTSRAKRKKVVIVIVLPVLAALFLVFLIGGLLILLALRRRQARSRELQDKDKLVIPGHDQELQYETIIEATENFNSNYCIGVGGCGVVYKAVLPSGRVFAVKKVHSLQDSDKSKNLKAFEREIQVLLEIRHRNIVKLHGFCSHSKDCFLVYEFVEKGSLRSILNSDEQAAELDWIKRQNIVKGVVNALSYMHHNCPFPIIHRDISSNNILLDSEYEPRISDFGTAMLLLSDSSNKASFAGTFGYTAPELAYTTQVNEKCDVYSFGVITLELVMGTHPCNLISSLWSSISSSSLSSSDDHDKLLKDVIDQRLLLPQNQVAESVVYITMLAFSCLHLNPKSRPTMQQISSKLTSKHPLISKSFSTIKLEELLYNNIANI >Manes.13G129100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33729000:33735291:-1 gene:Manes.13G129100.v8.1 transcript:Manes.13G129100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGAGLIGGERKISINGTILEATPSPSPSLSSPSSSSTATATTTATAAANSTSSTPENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTAVSTSVGKSSASMMKTVASEIGRSSFGNGFDHELPSSPIMWASPQNSHILSLLRATHNPNPNSSTLPNSLSVKEEGCLIGTHMITEPSAANGALTARTICLDPLSQVPSLGLCTPFWKNSQHQAQQHQQSAGFIVGHEAQNSGIQELYQRLRASTNFYTDNSPVVLSNVASSSTAASTILESSPVAGGELGYWNPTFSWSDLPTTNG >Manes.13G129100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33729000:33735305:-1 gene:Manes.13G129100.v8.1 transcript:Manes.13G129100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKASSTFSIIPLRPQKRIGQLSFQRQAPCFLLLHLHIHLIYKEEKMIQELLGGAGLIGGERKISINGTILEATPSPSPSLSSPSSSSTATATTTATAAANSTSSTPENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTAVSTSVGKSSASMMKTVASEIGRSSFGNGFDHELPSSPIMWASPQNSHILSLLRATHNPNPNSSTLPNSLSVKEEGCLIGTHMITEPSAANGALTARTICLDPLSQVPSLGLCTPFWKNSQHQAQQHQQSAGFIVGHEAQNSGIQELYQRLRASTNFYTDNSPVVLSNVASSSTAASTILESSPVAGGELGYWNPTFSWSDLPTTNGACP >Manes.13G129100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33732241:33735305:-1 gene:Manes.13G129100.v8.1 transcript:Manes.13G129100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKASSTFSIIPLRPQKRIGQLSFQRQAPCFLLLHLHIHLIYKEEKMIQELLGGAGLIGGERKISINGTILEATPSPSPSLSSPSSSSTATATTTATAAANSTSSTPENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTAVSTSVGKSSASMMKTVASEIGRSSFGNGFDHELPSSPIMWASPQNSHILSLLRATHNPNPNSSTLPNSLSVKEEGCLIGTHMITEPSAANGALTARTICLDPLSQVPSLGLCTPFWKNSQHQAQQHQQSAGFIVGHEAQNSGIQELYQRLRASTNFYTDNSPVVLSNVASSSTAASTILESSPVAGGELGYWNPTFSWSDLPTTNGACP >Manes.13G129100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33729000:33734789:-1 gene:Manes.13G129100.v8.1 transcript:Manes.13G129100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGAGLIGGERKISINGTILEATPSPSPSLSSPSSSSTATATTTATAAANSTSSTPENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTAVSTSVGKSSASMMKTVASEIGRSSFGNGFDHELPSSPIMWASPQNSHILSLLRATHNPNPNSSTLPNSLSVKEEGCLIGTHMITEPSAANGALTARTICLDPLSQVPSLGLCTPFWKNSQHQAQQHQQSAGFIVGHEAQNSGIQELYQRLRASTNFYTDNSPVVLSNVASSSTAASTILESSPVAGGELGYWNPTFSWSDLPTTNG >Manes.13G129100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33732241:33735305:-1 gene:Manes.13G129100.v8.1 transcript:Manes.13G129100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELLGGAGLIGGERKISINGTILEATPSPSPSLSSPSSSSTATATTTATAAANSTSSTPENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTAVSTSVGKSSASMMKTVASEIGRSSFGNGFDHELPSSPIMWASPQNSHILSLLRATHNPNPNSSTLPNSLSVKEEGCLIGTHMITEPSAANGALTARTICLDPLSQVPSLGLCTPFWKNSQHQAQQHQQSAGFIVGHEAQNSGIQELYQRLRASTNFYTDNSPVVLSNVASSSTAASTILESSPVAGGELGYWNPTFSWSDLPTTNGACP >Manes.13G129100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33729000:33735291:-1 gene:Manes.13G129100.v8.1 transcript:Manes.13G129100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKASSTFSIIPLRPQKRIGQLSFQRQAPCFLLLHLHIHLIYKEEKMIQELLGGAGLIGGERKISINGTILEATPSPSPSLSSPSSSSTATATTTATAAANSTSSTPENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSTAVSTSVGKSSASMMKTVASEIGRSSFGNGFDHELPSSPIMWASPQNSHILSLLRATHNPNPNSSTLPNSLSVKEEGCLIGTHMITEPSAANGALTARTICLDPLSQVPSLGLCTPFWKNSQHQAQQHQQSAGFIVGHEAQNSGIQELYQRLRASTNFYTDNSPVVLSNVASSSTAASTILESSPVAGGELGYWNPTFSWSDLPTTNG >Manes.10G128900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29516941:29519721:1 gene:Manes.10G128900.v8.1 transcript:Manes.10G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNNRKKYIKMKLLRMVINNFTHLSLVNSSTFQDLPLSFTYFLVGTILLAAVSYFALKSNCVFLIDFTCYLPADYLRSPTSHFTEHTELSGVFSRESLDFQKRVIERSGIGDEACFPLTMHEIPVDTSFNSARKEVEEVLFTVVEDLFSKNSINPKSIDILISNCSLFCPTPSITGMIINKFGLRSNIKSISLSGMGCSAGILSIGLAKELLKVHKNSLALVLSMEAVTPSGYRGHTKSMLIANTIFRMGGVAVLLSNKKQDKWTARYKLQHLVRTHMGADDQAYHSVFQQVDENGKVGVSLSRALTHAASKALRTNISELGPLVLPYTEQLQYGWSLIRQKLSVAARENEIHVPKFKKAFDHFCIHAGGRAIIDAVESNLKLQKEEGEASRMTLYRFGNTSSSSVWYELCYLEAKGKVKKGDRIWQIALGSGFKCNSAVWKSISDVHPKVRNAWSDRIHLYPVETSIVS >Manes.09G067900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11346418:11349874:1 gene:Manes.09G067900.v8.1 transcript:Manes.09G067900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMASLTLSKIYKARLYPIIPRLSLPYFISPFHFCTLTQDPVSTVTEIPPPSSQHQYENESLNGAPENQKQQRIMRGKRPNPEKLEDIICRMMASRAWTTRLQNSIRDLVPEFDHSLVYNVLHGARNSEHALQFFRWVERAGFFQHDRETHMKIIEILGRAAKLNHARCILLDMPKKGVQWDEDMFVVLIESYGKAGIVQEAVKIFQKMAELGVERSIKSYDALFKVILRRGRYMMAKRFFNKMLSEGIEPTRHTYNIMLWGFFLSLRFETAMRFYEDMKSRGISPDVVTYNTMINGYFRFRKMEEAEKVFVEMKGKNIAPTVISYTTMIKGYVAVDRVDDGLRLLEEMKSFGINPNAQTYSTLLPGLCSAGKMTEAKEILKEMVARHLAPKDNSIFMSLLSSQCKAGDLRAAEDVLKAMIRLSIPTEAGHYGVLIENFCKAEEYDRAMKLLDKLIEKEIILRPQSNMEMESSAYNSMIQYLCSHGQTGKAEIFFRQLMKKGVQDPNAFNNLIRGHSKEGCPDSAFEIMKIMGRRGVPRDADAYMLLIESYLRKGEPADAKTALDAMIDEGHVPDSSVFRSVMESLFEDGRVQTASRVMKSMVDKGVKENTDLVPKILEALLTRGHVEEALGRIELLMHSGYHVNFDDLLSVLSEKGKTIAALKLLDFALERDFNVDFKSYDKVLDALLASGKTLNAYSILCKITEKGGVTDWSSCEDLIKSLNQEGNTKQADILSRMIKGGEKSRENKKGKKQAAV >Manes.06G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15462302:15464255:1 gene:Manes.06G057000.v8.1 transcript:Manes.06G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLSTANSFLSSSSSSLSSLTTTVFFCPKKNNARRQLKFCRTFSKSPSPPPSPNLTKRSLSISFISSFVFSLASRSNSSSANAAILEADDDEELLERVKRDRKKRIERQGVISSSNKEKGYLQDLVYKLSKVGQAIENNDLTTASSVLGGSTNADWVQKANIAFSKLSSSPEEKTQVDTFNSSLASLISSVTNNDIESSKTAFVSSATAFEKWTTLTGLVGQLKGL >Manes.01G021100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4872182:4872929:1 gene:Manes.01G021100.v8.1 transcript:Manes.01G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPPSLSLFISTILFFFFFFFFFFFCGCSSFFSNINHVSIFLQPLRSNRRLLSDKFDFTPFLNNSHRLHHWHLPVQPQPAAGTDFDPRYDAQNRLVPTGPNPLHH >Manes.15G094800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7385142:7387728:1 gene:Manes.15G094800.v8.1 transcript:Manes.15G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAQDLSFSKTLNPTFSPLLIHPKTLTIKPQLLSTVSLFRRNASSRREICAFAGRSKKKPGGTSPGRIDGNPEFRRQAKRSARQKSRKLAESLFYRLKNPNKNYADNFSEEELNLIGLGYDRMVRFMDKDDPNLKHPYDWYKYGEFGPYSWRGVVVGDPIAGRFTDERVTMYSEVKDQEEWEKIEQFEMEVDFGERLKILDKNVGFRHYWVFVRHPKWRLSEQPWQQWTLVSEVVLEAGKQRIDKWNLMARLGNYTRKLITQCAAWFRPDIIYVKRPVYQCRFEPQEDFFRALTPLLDPKTERDFMCELRNEDNGGSVEMCTYYEGLCKIVKVSQKAFVDDVVNAYEKLSDEKKSDCLEFLLKNHPVLLLHPYTKEWKAKLEEMEMGCDAPDEDDDHSNENEFTDWIEDYGEGDTDNDDDDQEDVVVDMEIGKDDGVSGTEVEGLNEDADEEEDERYWEEKFRKEVSSAEAMENLARWSVETTTELYKKQLKAMEKQEKVKNEDGDETALRGVRAKVSPKEWEIAGIGRWRKRVRKSKIPPELFLRAAVRPFTYRNLVKEIVLTRHAILDGEIGRKE >Manes.18G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12643599:12652477:-1 gene:Manes.18G119900.v8.1 transcript:Manes.18G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASSSCSSTPASESSITYYDFLNKMRNLASLDLVRSIKSFIVSFSFYTANPENDGQRVQEFFSTMEAAIIDHPLWAGAANEEVDCAMEGLEKYVMTKLFSRTFAASPEDVKIDREIYEKIQLLQTFLRPEHLDIPKILQNEAAWLLAEKELLKINAFKAPREKLLCIMSCCRVINNLLLNASISENHALGGADDFLPVLIYVTIKANPPQLHSNLKFIQLYRRQAKLISEAAYYFTNLVSAKSFIVDLTAKSISMDEIEFEQSMQAARLANKESQVEPSSRSYEMTDLGAETCPGTSTSMDDRETIMNGTSHYPYMEAEAGELTVKDVEKLLSLYKDVVTKYTSLCSAVRRISESGKVPSVPIFRETNPFFSQVEGKSEGTDLSVDLKGEQNGKQICK >Manes.14G007100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:337652:340061:1 gene:Manes.14G007100.v8.1 transcript:Manes.14G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKEKPEEDYSLKETTPNIGTRNIAPANHKLTLVEQRQFVYVRIVRAKNLLVNNATGTCDPYVEIKMGNYKGITRFLGKTSNPEWNQVFAFTKDGLHTRILDILVKDKELVHDTLIGGVSFNIADIPTRFPPDGPLAPQWYRLEDSNGVKVLGLGELMLAVWIGNQADDAYSIAWHSDAAAVSSQSVSNTRSKIYLSPRLWYLRVHVIGAQDLVPADKNRNLQVYVKGILGNIVLRSRVSVDKSANPRWNEELIFVAAEPFDDPLILSVQDKIGGNKVECLGRCVIALQNVDKRLLPLAMGDKWINLERHVEGGEGEKEVQFSSRLHLKVFLDGVYHVFDEPTSYCSDFRATSPELWPDRIGILELGILKAEGLLPMKSKDGRGTTDAYCVAKYGQKWVRTSTVIDSLSPRWNEQYYWDVYDPCTVITVGVFDNSHIGTDDNKNGGTKDPRIGKVRIRLSTLETGRIYTHAYPLIVLQPNGLKKMGELHLAVKFGCTSLFNLLYSYTQPLLPRMHYTSPLSVYELDSLRHQAIYVVCLRLGRADPPLKREVVEYMLDTGANTWSLRRGKANCERVLRCLRGIIGFWKWFDGIRQWKNPIATIAVHLGFLVLVIYPTLILPTCFFSLFIVGVWRYLKRPRHPPFVDTKLSHAETAHPDDLDEEFDPFPTSKAGDVVKKRYDRLRSIAGRLMTVIGDLATQLERVNSVLSWRDPKATLMFLIMCLIGGFVVYMSPLRYLVILAGTYVMRPPRMRANISSLPQNFLRRLPAKTDTIL >Manes.13G110000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31572285:31573099:1 gene:Manes.13G110000.v8.1 transcript:Manes.13G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKKTSKRSKLYKFFQAPIWWLIKAKDVYIKSMKYSDHLGYGTIMGCPTGPVVTTLPKSSSANSTKSSSHGDEYKELLKGGSSRDLTLSNRVLQKQQSLPEKSSNTCREADHISPRSFSVGIGRIDEEKPCDFDEDDIKITTDLLFPRSRSNDFSEINHGRR >Manes.12G002400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:444689:449451:-1 gene:Manes.12G002400.v8.1 transcript:Manes.12G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMNNWLGFSLCPQELPSQSDHHQDHSQNTTSRLGFHSDEISGTDVSGECFDLTSAPSLNLPPSFGILEAFRNNQSQDWNMKGLGMNPDTTYKTNSDLSVLMGTSCSSQSLDQNQEPKLENFLGGHSFANHEHKLPGCNPMYSTSGDYMLQSCSLQPNDRTSNHEDTSSINTGSNNSSIGLSMIKTWLRNQPAPTQQQETNNNGGGAQSLSLSMSTGSQSGSPLPLLTANTGESNVNGGDHSSSSDNNKQQKTTALDSQTGAIDAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPISNYEKEIEDMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVNSILESSTLPIGGAAKRLKDAEQAEMTIDSHRTDNENISSQLTDGISSYGTSHYPWPTVAFQQPHHNHHQPFSMHYPYGQRLWCKQEQDTEPNNQSFQDIHQLQLGNNHNFFQPSSVLHNLMNMDSSSMEHSSGSNSVMYSSGGGDGNCGGNLSYQGMGYGSYAIPMATVIGNSDGDQNQGNNGFGYENVVGWSDPCHAKNLYYLAQQSAAGGVMKGGAYDQGSACNNWVPTAVPTLVPRSSNVAVCHGASTFTVWKDT >Manes.14G110200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9275095:9280881:1 gene:Manes.14G110200.v8.1 transcript:Manes.14G110200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTFSPPPLSRSSSSLPHALHTPPLLPMSILRRLTPRHLSLHINRNNRRRVASVAAAVRQDTTVWSQAPLSQIEPAAESLFHVTIDVSDFPDLATSHTQPGQYLQLRIPDVEKPSFLAIASPPSYAAAKGAFEFLVKSVAGSTAELLCGLKKGDVVELSQVMGKGFQLDRISPPEKYPTVLIFATGSGISPIRSLIESGFSADERSDVRLYYGARNLRRMAYQDRFKDWESSGVKIVPVLSQPDDSWTGETGYVQAAFYRAKKIDSPLATGVVLCGQKQMAEEVASILVADGVWSEKILKNF >Manes.14G110200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9275095:9279824:1 gene:Manes.14G110200.v8.1 transcript:Manes.14G110200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTFSPPPLSRSSSSLPHALHTPPLLPMSILRRLTPRHLSLHINRNNRRRVASVAAAVRQDTTVWSQAPLSQIEPAAESLFHVTIDVSDFPDLATSHTQPGQYLQLRIPDVEKPSFLAIASPPSYAAAKGAFEFLVKSVAGSTAELLCGLKKGDVVELSQVMGKGFQLDRISPPEKYPTVLIFATGSGISPIRSLIESGFSADERSDVRLYYGARNLRRMAYQDRFKDWESSGVKIVPVLSQPDDSWTGETGYVQAAFYRAKKIDSPLATGVVLCGQKQMAEVC >Manes.S035816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:933002:933923:-1 gene:Manes.S035816.v8.1 transcript:Manes.S035816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G193301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:32886291:32886665:-1 gene:Manes.15G193301.v8.1 transcript:Manes.15G193301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.06G143102.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27056015:27056710:-1 gene:Manes.06G143102.v8.1 transcript:Manes.06G143102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DREB2 MDVFSHYPDPLSFANHSSSLDLPDSSSLSDHGCSAPRASLSDEEVLLASSYPKKRAGRKKFRETRHPVYRGVRRRNSGKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAALALRGRSACLNFADSSWRLPVPASTDAKDIQKAAAEAAMAFQPVGTEGFSEEIKRENKKTTGEESEDVFYMDEEAIFGMPGLLAYMAEGMLLPPPQCVEESGEDKEMTAADMSLWSFSI >Manes.11G006100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:720022:720963:1 gene:Manes.11G006100.v8.1 transcript:Manes.11G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRTARSLVSKLSSVSEITRSEALAELRLITKHDADSRPIIADAGAIPYLAETLYSSSHDSQENAAATLLNLSISSRDSLMSTRGLFDAISHALRHHNTTTSALAVQSCAATLHSLLIVDSYRPIIGSKRDVIYSLIEIMKTVKSPPRSIKDALKALFGIALYPLNRSTLIDLGAIPPLFSLVLKDGRVGIVEDATAVIAQIAGWEESYVEFVKFSGVRILVDLLDVGTGSSGRIKENAVSALLNLVRCGGERVGEEVRDMAGAVVEGIKEVVENGSAKGKSKAIALLKVIESETSNRSAQFDSLLNQSS >Manes.15G014200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1189266:1196486:1 gene:Manes.15G014200.v8.1 transcript:Manes.15G014200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASEAGGVAGTVLMRFVWPHGGQSVFLSGSFYRWARLVPMSPMEGCPTIFQAVCSITPGYHQYKFLVDGEWKHDERQSCSTSECGVVNTVLFTGEANYDFIMGPELRHVDHASDGTSIDVLPRISEADLQASRHRISAYLSTQTTYELLPQSGKVVALDVDLPVKQAFHILYEKGIPMAPLWDFSKSQFVGVLSALDFILILRELGNHGSKLTEEELDTHTISAWKEGKSYHNRQIDGNGRAFSRRLIHAGPYDTLREVALRILQNEVSSIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPVLQLPICAIPVGTWVPKIGESNKRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDIFCRSDITALAKDRVYTHINISEITIHEALQLGQDYYSPHERLNQRCQMCLRSDTLHKVMERLASPGVRRLIIVEAGSNRVEGIVTLSDIFKFLLG >Manes.15G014200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1189811:1196486:1 gene:Manes.15G014200.v8.1 transcript:Manes.15G014200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMEGCPTIFQAVCSITPGYHQYKFLVDGEWKHDERQSCSTSECGVVNTVLFTGEANYDFIMGPELRHVDHASDGTSIDVLPRISEADLQASRHRISAYLSTQTTYELLPQSGKVVALDVDLPVKQAFHILYEKGIPMAPLWDFSKSQFVGVLSALDFILILRELGNHGSKLTEEELDTHTISAWKEGKSYHNRQIDGNGRAFSRRLIHAGPYDTLREVALRILQNEVSSIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPVLQLPICAIPVGTWVPKIGESNKRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDIFCRSDITALAKDRVYTHINISEITIHEALQLGQDYYSPHERLNQRCQMCLRSDTLHKVMERLASPGVRRLIIVEAGSNRVEGIVTLSDIFKFLLG >Manes.15G014200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1189231:1196486:1 gene:Manes.15G014200.v8.1 transcript:Manes.15G014200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASEAGGVAGTVLMRFVWPHGGQSVFLSGSFYRWARLVPMSPMEGCPTIFQAVCSITPGYHQYKFLVDGEWKHDERQSCSTSECGVVNTVLFTGEANYDFIMGPELRHVDHASDGTSIDVLPRISEADLQASRHRISAYLSTQTTYELLPQSGKVVALDVDLPVKQAFHILYEKGIPMAPLWDFSKSQFVGVLSALDFILILREAGPYDTLREVALRILQNEVSSIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPVLQLPICAIPVGTWVPKIGESNKRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDIFCRSDITALAKDRVYTHINISEITIHEALQLGQDYYSPHERLNQRCQMCLRSDTLHKVMERLASPGVRRLIIVEAGSNRVEGIVTLSDIFKFLLG >Manes.15G014200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1189274:1196486:1 gene:Manes.15G014200.v8.1 transcript:Manes.15G014200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMEGCPTIFQAVCSITPGYHQYKFLVDGEWKHDERQSCSTSECGVVNTVLFTGEANYDFIMGPELRHVDHASDGTSIDVLPRISEADLQASRHRISAYLSTQTTYELLPQSGKVVALDVDLPVKQAFHILYEKGIPMAPLWDFSKSQFVGVLSALDFILILRELGNHGSKLTEEELDTHTISAWKEGKSYHNRQIDGNGRAFSRRLIHAGPYDTLREVALRILQNEVSSIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPVLQLPICAIPVGTWVPKIGESNKRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDIFCRSDITALAKDRVYTHINISEITIHEALQLGQDYYSPHERLNQRCQMCLRSDTLHKVMERLASPGVRRLIIVEAGSNRVEGIVTLSDIFKFLLG >Manes.15G014200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1189143:1196486:1 gene:Manes.15G014200.v8.1 transcript:Manes.15G014200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIIYTRISHSFFIEIFPFEYWSYFRFRFTVRLGSSFGFLADKFVHRWARLVPMSPMEGCPTIFQAVCSITPGYHQYKFLVDGEWKHDERQSCSTSECGVVNTVLFTGEANYDFIMGPELRHVDHASDGTSIDVLPRISEADLQASRHRISAYLSTQTTYELLPQSGKVVALDVDLPVKQAFHILYEKGIPMAPLWDFSKSQFVGVLSALDFILILRELGNHGSKLTEEELDTHTISAWKEGKSYHNRQIDGNGRAFSRRLIHAGPYDTLREVALRILQNEVSSIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPVLQLPICAIPVGTWVPKIGESNKRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDIFCRSDITALAKDRVYTHINISEITIHEALQLGQDYYSPHERLNQRCQMCLRSDTLHKVMERLASPGVRRLIIVEAGSNRVEGIVTLSDIFKFLLG >Manes.15G014200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1189281:1196486:1 gene:Manes.15G014200.v8.1 transcript:Manes.15G014200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASEAGGVAGTVLMRFVWPHGGQSVFLSGSFYRWARLVPMSPMEGCPTIFQAVCSITPGYHQYKFLVDGEWKHDERQSCSTSECGVVNTVLFTGEANYDFIMGPELRHVDHASDGTSIDVLPRISEADLQASRHRISAYLSTQTTYELLPQSGKVVALDVDLPVKQAFHILYEKGIPMAPLWDFSKSQFVGVLSALDFILILRELGNHGSKLTEEELDTHTISAWKEGKSYHNRQIDGNGRAFSRRLIHAGPYDTLREVALRILQNEVSSIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPVLQLPICAIPVGTWVPKIGESNKRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDIFCRRLCNWDKIIILHMRD >Manes.15G014200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1189075:1196486:1 gene:Manes.15G014200.v8.1 transcript:Manes.15G014200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASEAGGVAGTVLMRFVWPHGGQSVFLSGSFYRWARLVPMSPMEGCPTIFQAVCSITPGYHQYKFLVDGEWKHDERQSCSTSECGVVNTVLFTGEANYDFIMGPELRHVDHASDGTSIDVLPRISEADLQASRHRISAYLSTQTTYELLPQSGKVVALDVDLPVKQAFHILYEKGIPMAPLWDFSKSQFVGVLSALDFILILRELGNHGSKLTEEELDTHTISAWKEGKSYHNRQIDGNGRAFSRRLIHAGPYDTLREVALRILQNEVSSIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPVLQLPICAIPVGTWVPKIGESNKRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDIFCRSDITALAKDRVYTHINISEITIHEALQLGQDYYSPHERLNQRCQMCLRSDTLHKVMERLASPGVRRLIIVEAGSNRVEGIVTLSDIFKFLLG >Manes.15G014200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1189143:1196486:1 gene:Manes.15G014200.v8.1 transcript:Manes.15G014200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASEAGGVAGTVLMRFVWPHGGQSVFLSGSFYRWARLVPMSPMEGCPTIFQAVCSITPGYHQYKFLVDGEWKHDERQSCSTSECGVVNTVLFTGEANYDFIMGPELRHVDHASDGTSIDVLPRISEADLQASRHRISAYLSTQTTYELLPQSGKVVALDVDLPVKQAFHILYEKGIPMAPLWDFSKSQFVGVLSALDFILILRELGNHGSKLTEEELDTHTISAWKEGKSYHNRQIDGNGRAFSRRLIHAGPYDTLREVALRILQNEVSSIPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTLPVLQLPICAIPVGTWVPKIGESNKRPLAMLRPSASLSSALNLLIQAQVSSIPIVDDNDSLLDIFCRSDITALAKDRVYTHINISEITIHEALQLGQDYYSPHERLNQRCQMCLRSDTLHKVMERLASPGVRRLIIVEAGSNRVEGIVTLSDIFKFLLG >Manes.09G186800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37367909:37372762:1 gene:Manes.09G186800.v8.1 transcript:Manes.09G186800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRTESPVYARQWSNESSAAGSGPSSPVTMSPGRHHHSRSSSASGLSTIKRNQNFAAKAAAQRLAQVMASQTTDDDEEDDDDLGFRYSAPPPLSFSRNVNSTAATTTTTTTKPALPSSRITRSPSPALARNVAEETPSARSTSAGRSSMSLRTAPPVPPSKGSVRTAVSLPPMEPSRNGQRDGKRFLSDMGHSNSKDTGGQREASALRDELDMLQEENENILEKLRLEEERCKEAEARVRELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKDAKQNNMVDKEVASIRSEVENAKEEATAAVQQLHGAESEVRALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAAKYGICADVAVSKHEYWSSLAPLPFEIVVSAGQKAKEECWEKGDNDNEKRSKLADDLSDLTGEGNIESMLSVEMGLKELASLKVEDAIVLALAQQRRASSARLSISDIKSPGDPKYMEAVELCPEESEDVLFKEAWLAYFWRRAKDNGIEDDIAKERLQFWINRRGHSPSSHDAVDVEQGLMELRKLGIEHRLWEASRNEIDQDPSTKVTRK >Manes.12G097500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21722145:21725123:-1 gene:Manes.12G097500.v8.1 transcript:Manes.12G097500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKPSSKGQAWFCTTGLPSDIVIEVEDMSFHLHKFPLMSKSRKLHQLITEQETKQTSTAGIGEAEGEERVPGGDEIEEVHSQISLPNFPGGSETFEMAAKFCYGVKVDLNSSTVAPLRCAGEFLEMTEEYSEDNLISKTERFLSQYVLKSLKGSIKALKSCEKVMPLAETLGIVQRCIDSFASRASSADPASFGWPVSDEANDNRATSNQALWNGIESAVGRKGAVSASIAATSVGRGTNADSWLEPLSLLSLPLFQQLILAMRARDPSPDIIESCLIYYAKKYIPGISRLNRKPFSSSSIASESEHREILETIISNLPRERSSKSSTTTRFLFGLLRTANILNASESCRSALEKKIGSQLEHATLDELLIPSYSKLDENLYDVDCLERILIYCLDGLEERNASGIEAEEDGDHNVISSCLILVGKLIDGYLAEIASDANLKPDRFYNLAIVLPEQARLFHDGLYRAVDIYIKAHPWISQAEKEKICRVMDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGKLIAANATPQNSARPSVLRREQEGVEEGTIPAVAAGAGEAEESRSPWRTAVRANQVLRLDMDSMRTRVHQLEKECSTMKKVIQKIDKEGPRGWGSSLTRRFGCKIKTQVCDSHGQTVVNGRKGRDHDHQQ >Manes.12G097500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21722145:21725123:-1 gene:Manes.12G097500.v8.1 transcript:Manes.12G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHLHKFPLMSKSRKLHQLITEQETKQTSTAGIGEAEGEERVPGGDEIEEVHSQISLPNFPGGSETFEMAAKFCYGVKVDLNSSTVAPLRCAGEFLEMTEEYSEDNLISKTERFLSQYVLKSLKGSIKALKSCEKVMPLAETLGIVQRCIDSFASRASSADPASFGWPVSDEANDNRATSNQALWNGIESAVGRKGAVSASIAATSVGRGTNADSWLEPLSLLSLPLFQQLILAMRARDPSPDIIESCLIYYAKKYIPGISRLNRKPFSSSSIASESEHREILETIISNLPRERSSKSSTTTRFLFGLLRTANILNASESCRSALEKKIGSQLEHATLDELLIPSYSKLDENLYDVDCLERILIYCLDGLEERNASGIEAEEDGDHNVISSCLILVGKLIDGYLAEIASDANLKPDRFYNLAIVLPEQARLFHDGLYRAVDIYIKAHPWISQAEKEKICRVMDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGKLIAANATPQNSARPSVLRREQEGVEEGTIPAVAAGAGEAEESRSPWRTAVRANQVLRLDMDSMRTRVHQLEKECSTMKKVIQKIDKEGPRGWGSSLTRRFGCKIKTQVCDSHGQTVVNGRKGRDHDHQQ >Manes.01G065000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26454092:26459221:1 gene:Manes.01G065000.v8.1 transcript:Manes.01G065000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKKEELYDVDFADQIIDAPPCSVYYGAGDAAFRRRATGPTRQSTKGHWTEEEDYILTESVRRFHGKKWRKIAECLPQRTVSQCFTRWNRVLNPAIVKGTWTKEEDDSIIKSVRKHGPRKWSLIAKSLPGRLGKQCRERWYNHLDPAIRKSSWTEEEELTLTYYHGIYGNKWAEIARFLPGSCAMKTESKEVKEERQNPDELVSVHGRMGLKCSADTGASKLLCRLVNGEQNQLEAKHGATFKTSVGMDELMNGIHFSDVGANGSVAMIESGRNNSKHDILNSSMELRFDVSASTRPCCSALDAAVPFTLNSTKSPNRLRGHEFCILHSEFGNKTESSLSYTSTSATGEDKSVTEKEGKFPKLNVHMQSVDSNEQRLHHESAHPKDLVTDLDGAQPSIIHHHVLHANSPFSCSTPSNCARYAFVSVNSPESILRNSARTFKNTPSIIRKRAYKEAGVDKSSDVDKSSDVASTPSWKISCTNSTSEDINNADIPNGKQGCLSFFCKPGSSLAVKSLRRQLDYAFEMERDAAAAKCGNPFPATASPNIEFFSNAMVIP >Manes.01G065000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26454092:26459221:1 gene:Manes.01G065000.v8.1 transcript:Manes.01G065000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKKEELYDVDFADQIIDAPPCSVYYGAGDAAFRRRATGPTRQSTKGHWTEEEDYILTESVRRFHGKKWRKIAECLPQRTVSQCFTRWNRVLNPAIVKGTWTKEEDDSIIKSVRKHGPRKWSLIAKSLPGRLGKQCRERWYNHLDPAIRKSSWTEEEELTLTYYHGIYGNKWAEIARFLPGRTDNAIKNHWNCIVKKKLDSDSPACAEDLHKVASLNFCSCAMKTESKEVKEERQNPDELVSVHGRMGLKCSADTGASKLLCRLVNGEQNQLEAKHGATFKTSVGMDELMNGIHFSDVGANGSVAMIESGRNNSKHDILNSSMELRFDVSASTRPCCSALDAAVPFTLNSTKSPNRLRGHEFCILHSEFGNKTESSLSYTSTSATGEDKSVTEKEGKFPKLNVHMQSVDSNEQRLHHESAHPKDLVTDLDGAQPSIIHHHVLHANSPFSCSTPSNCARYAFVSVNSPESILRNSARTFKNTPSIIRKRAYKEAGVDKSSDVDKSSDVASTPSWKISCTNSTSEDINNADIPNGKQGCLSFFCKPGSSLAVKSLRRQLDYAFEMERDAAAAKCGNPFPATASPNIEFFSNAMVIP >Manes.03G148600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27568500:27575887:-1 gene:Manes.03G148600.v8.1 transcript:Manes.03G148600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVSSAGALLAMLNETHPQLKQHALYNLNKFVDQFWPEISTSVPLIESLYEDEEFDQHQRQLAALVVSKVFCYLGDLNDSLSYALGAGSLFDVSEDSDYVHTLLAKAIDEYASLKSKATESNAEAEKVDSRLEAIVERMLDKCIMDGKYQQAMGIAIECRRLDKLEEAITRSDNVHGTLSYCINVSHAFVNRREYRCEVFRLLVNVYQKLPSPDYLSICQCLMFLDEPEGVASILEKLLRSGNKDDVLLAFQIAFDLVENEHQAFLLNVRDRLTVPKSQSSESVQPKPSDPETAQNENSTASEDVQMTEGSSASTAIVHETDPNEAIYSERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMRPYLPQGGSGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLAALGTADEDIYDDIKSALYTDSAVAGEAAGISMGLLMVGTASEKASEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQMNEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFISLSFSPTAFIGLNYDLKVPKFEFISNAKPSLFEYPKPTTVPTTTSAVKLPTAVLSTSAKAKARAKKEAEQKAHAEKAAGAESSSAASNTGKEKSSSEKDGDSMQVDSQPEKKAEPEPSFEILTNPARVVPAQEKFIKFMEDSRYAPVKLAPSGFVLLRDLKPTEPEVLSLTDAPSSTVSPAGGSATGQQGSASAMAVDEEPQPPQPFEYTT >Manes.02G181700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14453779:14459927:-1 gene:Manes.02G181700.v8.1 transcript:Manes.02G181700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKESTSTSLSQGLTRRDSDPDDIPKSPPSSPNSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFLVTWWFIQFVDGFFSPLYAQLGIDIFGLGFVTSLLFIFFVGIFVSSWTGSTVFWLGEWFIKRMPFMKHIYSASKQISAAISPDQNTTAFKEVAIIRHPRHGEYAFGFITSSVVLQREDGDEELCSVYVPTNHLYIGDIFLVNTDEIIRPNLSIREGIEIIVSVGMTMPQVITSIERIPHQSNRIPLSRII >Manes.02G181700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14453779:14459927:-1 gene:Manes.02G181700.v8.1 transcript:Manes.02G181700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKESTSTSLSQGLTRRDSDPDDIPKSPPSSPNSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFLVTWWFIQFVDGFFSPLYAQLGIDIFGLGFVTSLLFIFFVGIFVSSWTGSTVFWLGEWFIKRMPFMKHIYSASKQISAAISPDQNTTAFKEVAIIRHPRHGEYAFGFITSSVVLQREDGDEELCSVYVPTNHLYIGDIFLVNTDEIIRPNLSIREGIEIIVSVGMTMPQVITSIERIPHQSNRIPLSRII >Manes.13G108500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31183657:31190806:-1 gene:Manes.13G108500.v8.1 transcript:Manes.13G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEEKPAQETEHKGEEAEEEEEEEELTFEQLGLDPRLIRALNKKDISITKPTPIQRVAIPLILEGKDVVARAKTGSGKTLAYLLPLVQKLFAISGSNRKLAPSAFILVPSGELCQQVHKEFSSLIDSCKVQLKAVQLTTKMPDPDLRTALAGLPDIVVSTPACVAKCLSAGVLQSSSINDSLEILVLDEADLLLSFGYENDLKALTAFLPRRCQCLLMSATSSADVDKLKKLILHNPYILTLPEVEGVKDEAIPKNVQQFWISCSNQDKLLHILALLKLELVQKKVLIFTNSIDMSFRLRLFLEKFGIKSAVLNAELPQNSRLHILEEFNAGLFDYLIATDDNETKEKEQADGSLAESRKSKRHAKAKQKLDSEFGVVRGIDFKNVHTVINYDMPLSAAGYVHRIGRTGRGYSTGASVSLVSTDEMEILEDIKSFFGGDDSKDSNLISPFPLLTKNAVESLRYRAEDTAKSVTKVAVREARAQDLRNEILNSEKLKAHFKANPKDLDLLKHDKVLSKNPPAPHLRDVPDYLLDPTTKEASKMVKLARAAMGNNNNNSARRQGSRSKFKRNRDPLKSFSVEAPKRALKGKREGQDGDNTRKHKRRRAV >Manes.08G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2373285:2377721:-1 gene:Manes.08G023600.v8.1 transcript:Manes.08G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIFFFFFSFVSSESIARESKIHSYGKSFNGFAARLLPHEVERLQEEESVVSVFKNARNKLHTTRSWDFLGMKQTINRRHKTESSIIVGLLDTGIYVESPSFNDHGYGPPPSKWKGKCAVGANFTGCNNKVIGAKYYHLEKTDPGQQSPADDDGHGTHTSSIAAGVAVNGASLYGIANGTARGGVPSARIAMYKVCWEGGCSDMDLLAGFDDAIADGVDILSVSIGGFSRNYFEDPISIGSFHAMKKGILTSCSAGNNGPQLSTVENVAPWIMTVAASSIDRQFITSLKLGNGMKASGKLAINTFSPKKKIYPLTNGAHATNVTFGFYGNISACASGTLGMDKVKGRIVYCRGDNGQDYTIRQLNGAGLIISVDSQTDIAFSTLVPATSVNLKEGHKIDHYINTTKNPQAVIYKTKTAKIRAPALASFSSRGPQLLSLNILKPDLTAPGLDILAAYSKLVTITGEPGDDRYSTFNIISGTSMSCPHASAAAAYVKSFHPDWSPAAIKSALMTTATPLKIKDEFSDLGSGSGQINPIKAVHPGLIYDNSLSFYLSFLCKEGYNATTIGLLIGGKKKYNCSDYKPARGTDGLNYPTMHAQLKTADSSISAVFYRTVTEVGPGKSVYKATVTAPNGLSVKVIPETLTFTRVHEKQNFKVIVKGGPMAEGTDVLSASLEWKDSEHSVKSPIIVCKPTYL >Manes.11G121266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28189080:28191284:1 gene:Manes.11G121266.v8.1 transcript:Manes.11G121266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEDGWTKGMGGSLPVENVQALASKNLKDIPHRYIRPDLEFDDVSVDEALQIPVIDMSKLVGNHSDHGDELAELHQACENWGFFQLINHGVPEEAMENMKRETEEFFKLPLEEKMACAQLPDSIEGYGQAFVVSEEQKLDWGDMLFLLSMPNSIRKMRYCQLFPLLLEIFYKYSTEVQRVAECLVRLMGRNLEIEPKKLGSMFEDGIQSIRLNYYPPCVEANKVTGLNTHSDSTGLTLLIQANEVQGLQIKKNGKWVPIKPIPGAFIVNIGDIMEIMSNGKYKSIEHRAVVNPEKERLSIAAFHGPNMKSIIAPLEDLVKKETPNYKSLTHEEFVKLVVRSKIDGKSIVGRMKLEH >Manes.16G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26477180:26479918:-1 gene:Manes.16G069100.v8.1 transcript:Manes.16G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRTRSLEKDQQMGPLTMSDSMSDSGSESLTQSDCLGYNHKTHSFFGVPGLFVGLTPKGLSDCDSVRSPTSPLDFRMFSNLGNPNWSPRSSHNGHQKSWDCSKVGLSIVNSLDDDTRESGKVLLSSDSKNILFGQQVRIKKPNFQGNANSFQAPKSLPRNFSIFPHTHTKSPLQKGSPNVIFEIGEAPFEPENFGKIRSCSLESCKSFPTLSRLAEKNWNVNSENFGLNTRTTPVNTPLQVSGGSPNSNNSLQTDLNFTQMSVGSANGHIVSLSASEIELSEDYTCVISHGPNPKKTHIFGDCILESYSNEGKETGTSQAIANSVISSVFPSNDFLNFCYHCNKKLEGGKDIYMYRGEKAFCSLGCRSEEIMFDEEMEKAIDKSFDDSHESDNGEELFESGIFSAA >Manes.14G041900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3689691:3691130:-1 gene:Manes.14G041900.v8.1 transcript:Manes.14G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHLDFLSFAQYIFFAIILAAIPARSDDKTPIPDAPDGVQKWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTITDAVDSIPTGNKQRVIVDIGPGTYNEKVIIEEDKPFITFLGPESGERPTLAFGSTAAEFVTAYTATLQVLSNFFVGANLIIQNTAPRPKGAKTNTQAAVACMIRGTMGAFYNVKMIGFRNTLCDYKGVHFFKDCFIEGTLEFIFGIGKSIYLQTEINVLADVPKELAIITGPAGQTKEQDVGFSIVHCKVGGKGSGAYLGRARSLMPRVVYSYCSMSDVISPEGWTNFDSPAFEETVFFGEYKNTGPGANTAKRAKFAKQLTEEEAKPFLNLGYINGTIWLLPPPKP >Manes.02G217300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31137198:31150732:1 gene:Manes.02G217300.v8.1 transcript:Manes.02G217300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENQDIEEAHMHASRDEMENLVLDEPSNNNSNGTKSFSDYRSAMSSLADTYHPLSPPMTAPADSDPLLAPSSFRDLRNPNIATDNSSYIEPPSYSDVIFSPFDENAVNEVNGAGSPGRSSDSSVCFSRSPSSSSEYIKITVSNPQKEQETSNSLVPGGNAYVTYLITTRTSMPGLNGSEFSVRRRFKDVVTLSDRLAESYRGFFIPPRPDKSVVESQVMQKQEFVEQRRVALEKYLRRLAGHPVISKSDELRVFLQVQGKLPLPTSTDVASRMLDGAVKLPKQLFGESVAVAPHEVVQPAKGGRDLLRLFKELKQSVSNDWGGSKPPVVEEDKEFLEKKERMHDLEQQLSNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEATLNSQRVRAADMKNVATAAVKASRFYRELNAQTVKHLDTFHEYLGLMLSVHGAFSDRSSALLTVQTLISDLSSLHSRAEKLETASSKIFGGDKSRIRKIEELKETIRATEDAKHVAIREYERIKVSYFMFLFTSKLYIDCGLPTTSTTLVSLQLQSISLELD >Manes.02G217300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31137198:31150732:1 gene:Manes.02G217300.v8.1 transcript:Manes.02G217300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENQDIEEAHMHASRDEMENLVLDEPSNNNSNGTKSFSDYRSAMSSLADTYHPLSPPMTAPADSDPLLAPSSFRDLRNPNIATDNSSYIEPPSYSDVIFSPFDENAVNEVNGAGSPGRSSDSSVCFSRSPSSSSEYIKITVSNPQKEQETSNSLVPGGNAYVTYLITTRTSMPGLNGSEFSVRRRFKDVVTLSDRLAESYRGFFIPPRPDKSVVESQVMQKQEFVEQRRVALEKYLRRLAGHPVISKSDELRVFLQVQGKLPLPTSTDVASRMLDGAVKLPKQLFGESVAVAPHEVVQPAKGGRDLLRLFKELKQSVSNDWGGSKPPVVEEDKEFLEKKERMHDLEQQLSNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEATLNSQRVRAADMKNVATAAVKASRFYRELNAQTVKHLDTFHEYLGLMLSVHGAFSDRSSALLTVQTLISDLSSLHSRAEKLETASSKIFGGDKSRIRKIEELKETIRATEDAKHVAIREYERIKENNRSELERLDRERRADFSNMLKGFVLNQVGYAEKIANVWAKVAEETSAYARESIV >Manes.14G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3437307:3439833:-1 gene:Manes.14G040300.v8.1 transcript:Manes.14G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRVLAVLYPNKLLSLSGSHGLHHLNCRFKGFDRKLSSKWRSMASEFESSSFAPSGDSDSTDNNAAGFCIIEGPETVQDFAKMELQEIRDNIRSRRNKIFLQMEESAENLKLYYATCFSLIAGIIIFGGLLAPILEIKLGLGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGSLVLVATVSTVNGGDQPLSTPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >Manes.10G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5668390:5670308:-1 gene:Manes.10G050900.v8.1 transcript:Manes.10G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQERIAFAVVAALTLTISATTATSDASLRDPTILQVTDDNIPNVYHRKFLKDALLLGTEERFKIFMKKYNKEYGSREEYVHRLGVFAKNIVRAVEHQAMDPTAVHGVTQFMDLTEDEFERMYTGVVGGGAHVEGVTTSFVEAGGLPGSFDWRKKGAVTDVKMQGACGSCWAFSTTGAIEGAHFIATGKLLNLSEQQLVDCDNKCDMVDKRACDDGCGGGLMTNAYRYLMEAGGLEEEGSYPYTGKRGECKFDKEKIAVKVANFTNIPIDETQIATHLVHHGPLAVGLNAIFMQTYIGGVSCPLICGKKWINHGVLLVGYGAKGFSILRFGYKPYWIIKNSWGKQWGEEGYYRLCRGHGMCGMNRMVSAVVTQVS >Manes.17G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25069695:25073769:1 gene:Manes.17G053600.v8.1 transcript:Manes.17G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANQLGSTAHPSSTPAPVPGIASTGTLGRHLARRLVEIGVNDVFSVPGDFNLTLLDHLIAEPGLNNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQAVTCVQVVVNNLDDAHEQIDTAISTALKESKPAYISISCNLPGIPHPTFTREPVPFLLSPNVSNQLGLEAAVEAAAEFLNKAVKPVIVGGPKLRVAKAQKAFLELADATEYPLAVMPSGKGLVPEHHPHFIGTYWGAVSTSFCAEIVESADAYIFVGPIFNDYSSVGYSLLIRKEKAIMVQPNRVTIANGPSFGWVFMADFLSALAKKLKKNSTAMENYKRIFVPPGIPLKCEKDEPLRVNILFKHIQQMLSGDTAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAARNKRVIACIGDGSFQVTAQDISTMIRSGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVRTEDELTEAIARATGEQKDALCFIEVLVHKDDTSKELLEWGSRVSAANSRPPNPQ >Manes.01G156100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34242853:34247845:-1 gene:Manes.01G156100.v8.1 transcript:Manes.01G156100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLCPLSKLTTAYPRLLLIFLARRFSSSSSSTPPLSVPSHQHISYLILDQKSASQAIQTFKWAAQLPNFTHSHSTYRALIHKLCTFRRFDTVKQLLDEMPHSIGSVPDEDIFVTIVRGLGRARMIRDVMQIPDLVSKFGNNSSLKVFNSILDVLVKEDIDLAREFYRKKMMGSGVQGDDYTFGILMKGLCLTNRIADGFKLLQVMKSRGIKPNAVIYNTLLHALCKNGKVGRARSLMNEIEEPNDVTFNVLISGYCKEDNVVQALTLLEKSFSFGFVPDVVTVTKVVEILCNAGRMMESVEILERVESKGGVVDVVAYNTLIRGFCRLGKVKVGHRFLKEMERKGCLPNVDTYNILISGFCESGMLDMALDMFNDMKTDGISWNFNTYDTLIKGMFSWGSIKDGFKILELMEESKGASGGRISPYNSVLYGLYKNNMWDEALEFLIKMENLFPGAVDRSLRLLGFCEKGEIANAKMVFDQITSEGGIPNVLLYDCLIHGFCQEGFLREAFELMNEMIGHGYFPVASTFNALISGFSGQGKEGSALKLVDDMIGRGCMPDIGSYGPLIDALCRKGSFQKAFNLFLQMVEKGATPDYLTWNSLLLCLSQQSIWLENWNIIHVGDKVEWIIKT >Manes.03G135100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26237066:26238085:-1 gene:Manes.03G135100.v8.1 transcript:Manes.03G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAERFIKAWIIAIICLCYCYYAAAKIPKGILRLLSLLPVVYIFIILPLNLTSAHLCCITTYLLLWLANFKLLLFAFDHGPLSPLPPKLFHFITLASLPIKLKTKNNSNPSPQTSLFTRSILLVVKILLMAIILHSYKYKHLMHSYVVFALYFSLTYLLVEISQAMYSIPARALFGFELDPQFNEPYLSTTLQDFWSRRWNIMVRNILHPTVYFPTRCFFMPIIGSSGATLIAIMATFLVSGLMHEILFYYVIRVNPTWEVTCFFILHGVCSAIEVAVKKVAKDRWRVPVVVSRPFTIAFVAVTSYWLFFPQILRNGIDDKVRRELLNIVDFVKGTN >Manes.11G022300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2344840:2349837:1 gene:Manes.11G022300.v8.1 transcript:Manes.11G022300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTDQSYPEVASMGEGLAETLGKAEGGDTKLATSMGTQDFIFPSQVDYSQSIGRIPFHFAEPYFGGLLAAYGPQAIVSSVFSIHHPQMYGMASSRVPLPLEFTEDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKSRKPYLHESRHLHALRRARGSGGRFLNAKQLQESNPKLSSHGLDATGSAELHLAGNMSESEIHQPENHRDGASTTSCSDITSASNSDDIFQQPEFKFSGYPSHVVGTMQGRSVGMHGGGSQHHLPVL >Manes.11G022300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2344840:2349837:1 gene:Manes.11G022300.v8.1 transcript:Manes.11G022300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTDQSYPEVASMGEGLAETLGKAEGGDTKLATSMGTQDFIFPSQVDYSQSIGRIPFHFAEPYFGGLLAAYGPQAIIHHPQMYGMASSRVPLPLEFTEDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKSRKPYLHESRHLHALRRARGSGGRFLNAKQLQESNPKLSSHGLDATGSAELHLAGNMSESEIHQPENHRDGASTTSCSDITSASNSDDIFQQPEFKFSGYPSHVVGTMQGRSVGMHGGGSQHHLPVL >Manes.11G022300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2344840:2349837:1 gene:Manes.11G022300.v8.1 transcript:Manes.11G022300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTDQSYPEVASMGEGLAETLGKAEGGDTKLATSMGTQDFIFPSQVDYSQSIGRIPFHFAEPYFGGLLAAYGPQAIVSSVFSIHHPQMYGMASSRVPLPLEFTEDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKSRKPYLHESRHLHALRRARGSGGRFLNAKQLQESNPKLSSHGLDATGSAELHLAGNMSESEIHQPENHRDGASTTSCSDITSASNSDDIFQQPEFKFSGYPSHVVGTMQGRSVGMHGGGSQHHLPVL >Manes.11G022300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2345008:2349837:1 gene:Manes.11G022300.v8.1 transcript:Manes.11G022300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTDQSYPEVASMGEGLAETLGKAEGGDTKLATSMGTQDFIFPSQVDYSQSIGRIPFHFAEPYFGGLLAAYGPQAIVSSVFSIHHPQMYGMASSRVPLPLEFTEDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKSRKPYLHESRHLHALRRARGSGGRFLNAKQLQESNPKLSSHGLDATGSAELHLAGNMSESEIHQPENHRDGASTTSCSDITSASNSDDIFQQPEFKFSGYPSHVVGTMQGRSVGMHGGGSQHHLPVL >Manes.11G022300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2344840:2349837:1 gene:Manes.11G022300.v8.1 transcript:Manes.11G022300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTDQSYPEVASMGEGLAETLGKAEGGDTKLATSMGTQDFIFPSQVDYSQSIGRIPFHFAEPYFGGLLAAYGPQAIIHHPQMYGMASSRVPLPLEFTEDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKSRKPYLHESRHLHALRRARGSGGRFLNAKQLQESNPKLSSHGLDATGSAELHLAGNMSESEIHQPENHRDGASTTSCSDITSASNSDDIFQQPEFKFSGYPSHVVGTMQGRSVGMHGGGSQHHLPVL >Manes.11G022300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2344982:2349837:1 gene:Manes.11G022300.v8.1 transcript:Manes.11G022300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTDQSYPEVASMGEGLAETLGKAEGGDTKLATSMGTQDFIFPSQVDYSQSIGRIPFHFAEPYFGGLLAAYGPQAIIHHPQMYGMASSRVPLPLEFTEDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKSRKPYLHESRHLHALRRARGSGGRFLNAKQLQESNPKLSSHGLDATGSAELHLAGNMSESEIHQPENHRDGASTTSCSDITSASNSDDIFQQPEFKFSGYPSHVVGTMQGRSVGMHGGGSQHHLPVL >Manes.11G022300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2345008:2349837:1 gene:Manes.11G022300.v8.1 transcript:Manes.11G022300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTDQSYPEVASMGEGLAETLGKAEGGDTKLATSMGTQDFIFPSQVDYSQSIIHHPQMYGMASSRVPLPLEFTEDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKSRKPYLHESRHLHALRRARGSGGRFLNAKQLQESNPKLSSHGLDATGSAELHLAGNMSESEIHQPENHRDGASTTSCSDITSASNSDDIFQQPEFKFSGYPSHVVGTMQGRSVGMHGGGSQHHLPVL >Manes.11G045400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4866368:4877825:-1 gene:Manes.11G045400.v8.1 transcript:Manes.11G045400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAISLILLSFSCFILFTRPAASQNTTIVQGEQLKGELSANNGMFILRFQATAITETDIRSYLAIFYTYENRYPVWVANRDSPILGTSAILIIDTNGSLKILHDGGEPIFLYKVERPCNTSATLEDSGNFVLYQANPDGSKQVLWQSFDYPTDTLLPGMKLGIDLRTRHKWLLTSSRSSMSPASGSFTFGVDPNVTNQLVIRWLGDGYWTSGPWQRGRFNLLKDSSLNEIYKFRYISNENETYFNYSVNTATSIFPMLRMDSEGDLVGFRSNSYYQEVSCSLFDAGTVTPEDGCVQQKLPECRSPEDIFKFRAHAGYISYNGLFEYSVSENLTLQDCKAKCLSSCSCAAYASKYKDGTGCEIWSSTAGFRRTNSDDQRQIYFIDKGNKWWLWLTIAVGGFAIIPPVFSFCYALWTKHKEKGWKKVDQKTLLHELGGNATERQEEGENELHVFSFEIIATATNYFSTANKLGRGGFGPVYKGRLTDGREIAIKRLSTTSGQGLVEFKNEAILIAKLQHTNLVRLLGFCIQGDEKILVYEYMPNKSLDFYLFDSSKKSILDWKKRFNIIEGIAQGLLYLHKYSRLRVIHRDLKASNILLDEEMNPKISDFGMARILGLKESEANTNRIVGTYGYMSPEYAMNGIVSIKVDVFSFGVLVLEIVSGKKNNGGYHSEHLLNLIGYAWQLWNEGRGLDLLDPILKEFCPQNVLRCIHVGLLCVQYHATDRPTMSDVVSMLSNETMQLPEPKQPAFFMNTAAEEPDIPESHSDNCSINQISISIMEAR >Manes.04G125100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32549889:32550449:-1 gene:Manes.04G125100.v8.1 transcript:Manes.04G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGESIRKTKMFLHKTLQHLKSLFFVGYEKLPKPFSCATSSIKNHKTDQYYTDFCNEWECDLEKAMNRKKNGITLSEKLAREEDGCVKSPSKLPQSPLKKKEERIEEEKSKKSSTSRKEYSNKKDEGGYALAKKIKELEMMEGSDMEHVLDVEEALHYYSRLKSPVYLDIVDKFFTDIYKEFSIP >Manes.10G151100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31826452:31831778:1 gene:Manes.10G151100.v8.1 transcript:Manes.10G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSNNLAASAASAATATTTTTRPFSATATTAAAAAAAKSPSRPQTHHASPFPLYHYYASQNIQIRPQTQTKTQISNPISSSQQQQGILYPVASSGRGFIPRPVRPADQTVTVANNQNLTSGAYHPRAVGVAYRPPIGSGSGPASGTSPGCPRSHPHMDSGLAHIPHPSFHHPVHMIRQHPPHLQHQQQQHYIGGSAGAGLAPIKGIPVTGQLKAASSPLPDSDSNVYKTLRDRSRDDTLTIVRDRKVKISNEASLYALCRSWLRNGLPEESQPHYGDVVKSLPRPLPMPVLGTHSPNKEDEEEVEDNDKDEQSFDHLSTQDLLKRHIQRAKKVRARLREGRLKRIARYKTRLALLLPPQVEQFRNDTAAGN >Manes.11G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13437860:13439483:1 gene:Manes.11G091500.v8.1 transcript:Manes.11G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LTI30 MADLRDEYGNPIQLTDEHGNPVELTDEHGNPVHITGVATSKPPTLGTLMENEVPATGLLASSNGTDHTSKGHAGDEENHRKEEPQPQPQPQEQQGSGEIERSSTSSSSSSEDDGQGGRRKKKGLREKIKEKLTGGKHKEEQRHKASVSTETTAGGGEHHEHEKKSVMEKIKEKLPGHHGHHSR >Manes.06G108500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24049749:24053316:-1 gene:Manes.06G108500.v8.1 transcript:Manes.06G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASQNHHLLFFLLLATFFTNVISFNVVSKASLMYPLSCSQQIYTCNSYLYHISEGLTIDQIASFYSVNASDIQPISHGLKQDYLVSAPCTCKDVNGTRGYFYDTSYGVQAGDTFMNITRVLYSGQAWKVANEEELFVAGDRISIHLLCGCIQVQSQEIVTYTVQENDTLSGIAELLSANLSGIQGLNERLTRNPGYIDVGWVLFIPKEKNGVQAQKRGKRAHLTIILAVLSAVILCSVCTFILFLIKRIRNQKNGDHLKSVNKCQSPSRISLKSQFRKNDIEGVTFESERPVVYSVDEIEKATRNFDMSMKIGEGGYGSVYLGFLKEREVAVKKMKSSRSKEFYAELKVLCKIHHINVVELLGYASGDHHLYLLYEYIQNGSLSDHLHDPLLKGHTPLSWTARAQIAVDAAKGIEYIHDHTKSQYVHRDIKTSNILLDQGLRAKVSDFGLAKLVERSNEEEFIATRLVGTPGYIAPESVRELQMTCKTDVFAFGVVLAELITGQRALIRDTREPNKMRALVTIILTIFKKEDIETALEANIDGNLRGSYPMEAVCEMAETCRRCLSEDPLNRPEMREVVQTLSQILTASMEWEASLGGSSPEFTGVFVGR >Manes.08G009500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1193430:1199589:-1 gene:Manes.08G009500.v8.1 transcript:Manes.08G009500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQAESSDSKGTKRDFSTAILERKKAPNRLVVDEATNDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVRREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERKRRENPEAMEEDVEDDVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFSEASGAATGSDPFAASAGGADDDDLYN >Manes.10G033350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3356693:3357736:-1 gene:Manes.10G033350.v8.1 transcript:Manes.10G033350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMGSVFLKFILEDTIEEGKFMLPRKFARQYANSLSNPVTLKLSSGAAWQVELLKNEKDVWLYKGWREFAQHYSLDIGDTVVFKYEKNSHFHVFICDQNGLEIEYPEDLLKKEGDDEDEDEDGDGDEDEDEDGDGDEDEDEYGDGDEGEDEYGDEDEDEDEDVIELDCEKIGSKKARLYIFQDGSEPANNFITENPSFHLVVKSYHLERENVYVPNSFMQELKDITETENMLLQVADKVKIWPVNVRFYPLKKMGCITSGFRTFARENSLQPRDVCVFQLISSHVLKVSIFRNAS >Manes.12G012400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1241939:1244008:-1 gene:Manes.12G012400.v8.1 transcript:Manes.12G012400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLFFQNEERLKRLKHRMKIYFDASRPDHQEALRALWSATYPDRELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSISFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYALLS >Manes.12G012400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1241939:1244189:-1 gene:Manes.12G012400.v8.1 transcript:Manes.12G012400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLYADDATSGTPTWIGKGLTCVCFKRKGSYERICINLTPLQEERLKRLKHRMKIYFDASRPDHQEALRALWSATYPDRELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSISFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYALLS >Manes.12G012400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1241939:1243465:-1 gene:Manes.12G012400.v8.1 transcript:Manes.12G012400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYFDASRPDHQEALRALWSATYPDRELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSISFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYALLS >Manes.12G012400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1241939:1244008:-1 gene:Manes.12G012400.v8.1 transcript:Manes.12G012400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLFFQNEERLKRLKHRMKIYFDASRPDHQEALRALWSATYPDRELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSISFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDAKNEWAFDLLYCVAFVVMDKQWLEKNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYALLS >Manes.12G012400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1241939:1244373:-1 gene:Manes.12G012400.v8.1 transcript:Manes.12G012400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFGVVIKSDDATSGTPTWIGKGLTCVCFKRKGSYERICINLTPLQEERLKRLKHRMKIYFDASRPDHQEALRALWSATYPDRELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSISFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDAKNEWAFDLLYCVAFVVMDKQWLEKNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYALLS >Manes.12G012400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1241939:1244373:-1 gene:Manes.12G012400.v8.1 transcript:Manes.12G012400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFGVVIKSDDATSGTPTWIGKGLTCVCFKRKGSYERICINLTPLQEERLKRLKHRMKIYFDASRPDHQEALRALWSATYPDRELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSISFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYALLS >Manes.12G012400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1239112:1246568:-1 gene:Manes.12G012400.v8.1 transcript:Manes.12G012400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTQGNCVAIRALSHQSSIPRCPHGSASSSDDATSGTPTWIGKGLTCVCFKRKGSYERICINLTPLQEERLKRLKHRMKIYFDASRPDHQEALRALWSATYPDRELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSISFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDAKNEWAFDLLYCVAFVVMDKQWLEKNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYALLS >Manes.12G012400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1239112:1246568:-1 gene:Manes.12G012400.v8.1 transcript:Manes.12G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRTQGNCVAIRALSHQSSIPRCPHGSASSSDDATSGTPTWIGKGLTCVCFKRKGSYERICINLTPLQEERLKRLKHRMKIYFDASRPDHQEALRALWSATYPDRELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSISFQRLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDATKPRTFVRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLEKNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYALLS >Manes.03G167200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29280962:29289012:1 gene:Manes.03G167200.v8.1 transcript:Manes.03G167200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRRDIRNSSSAIFDDGLEEGGLRASSSYSHDINDHDNDKDMDCLQDRVIFLKRLTGDIHEEVKNHNHLLDRMGNNMDVSRGIMSGTMDRFKMVFEKKSGRRTCILAGVLIVSFLTIYYLIRILRYVRA >Manes.03G040800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3594123:3596485:1 gene:Manes.03G040800.v8.1 transcript:Manes.03G040800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKFTWISLVSGCFKSQEKASPPLPKPKKEICKQSSCQRISLTDLSNPSSLSEDLSISLAGSNIHVFTLAELKIITQSFSSCNFLGEGGFGPVHKGFIDDKLRPGLEAQPVAVKLLDLEGLQGHREWLTEVIFLGQLRHPHLVKLIGYCCEDDHRLLVYEYMPRGSLENQLFTRYSATLPWSSRMKIALGAAKGLAFLHESEKPVIYRDFKASNILLDSDYTPKLSDFGLAKDGPEGSDTHVSTRVMGTQGYAAPEYVMTGHLTSRSDVYSFGVVLLELLTGRRSVDKSRPQREQNLVEWARPMLNEPRKLGRIMDPRLEGQYSETGARKAAALAYQCLSHRPKQRPTMSTVVKILEPLKDFVDIPFGTFVYTVPNETDNPKEDVKECNDSKKDVSKENGHHHQKHPLHHGHRRHHHKSAARSPTIHSETDLGQNQRTGSMSPLHPEAKGA >Manes.11G125900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28756183:28758179:1 gene:Manes.11G125900.v8.1 transcript:Manes.11G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLFSPKQNYTHFFPLHHQRSNDQQAPSLDMQSNNHSNYNNSSSNNHPQNSHTSTSRSSDSGEPCGSTSNKWASKLLRECARAISDKDSTQIHQLLWMLNELASPYGDCDQKLASYFLQALFCKATDSGHRCFKTLTSVAEKSHSFDSARKLILKFQEVSPWTTFGHVSSNGAILEALEGETKLHIIDISNTLCTQWPTLLEALATRNDETPRLKLTVVVTASIVRSVMKEIGQRMEKFARLMGVPFEINVISGLNNLSELTKEGLSVQEDEAIAINCIGALRMVEVEKRSAVIQMFQSLNPRVVTVVEEEADFTSSRYEFVKCFEECLRYYTLYFEMLEDSFSPTSNERLMLERECSRSIIRVLACDHEENGDGGGGECERRERGNQWCERLREAFTPVGFSDDVVDDVKALLKRYKAGWSLMQPPQGDQETSGLYLTWKEEPVVWASAWKP >Manes.02G031000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2390740:2394827:1 gene:Manes.02G031000.v8.1 transcript:Manes.02G031000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGLWRFSAAASFIALVLFHGFCASAKDYSFMHDATSAPEISYYDYIIVGGGTAGCPLAATLSQNTTVLLLERGGSPYGNPNITNLSNFGAALSDLSPTSPSQRFISEDGVINARARVLGGGSCINAGFYTRASTDYVRNVGWDGRLVNESYQWVEQQVAFQPEVGQWQSAVRDGLVEVGVVPNNGFTYDHIYGTKVGGTIFDRDGNRHTAADLLEHANPSGLTVLLHATVHKIFFRIKGKPRPVAHGVAFRDASGAKHRAYLKKGSKNEIIISAGALGTPQLLMLSGVGPKAQLQAHNISVVLDQPMVGQLMSDNPMNAVYIPSPIPVEVSLIEVVGITQFGSYIEAASGANFAGVSRRDFGLFSPKIGQLSTVPPKQRTPEAIAKAIELMNNLDEAAFRGGFIIEKIMGPISTGHLELRTRNPSDNPSVTFNYFKEPQDLQKCVQGISVIENVIDSKPFSKFKYDDLSVPQLLNMTASSPVNLLPRHQNTSTSLEQFCKDTVMTIWHYHGGCQVGSVVDSNYKVLGVDALRVIDGSTFNNSPGTNPQATCMMLGRYMGAKILRERLASEGSK >Manes.02G039200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3218831:3229092:-1 gene:Manes.02G039200.v8.1 transcript:Manes.02G039200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIATQNFQRICEAYEILSDENKRQIYDIYGMEGLTSGLELGPKLNKPEELKEELERLRRKKEQEKMEAHFQPSGTILANLSLPQFLDGDGIMRGMAMSSEIHSQISKQNTIAIGGNLEVQENSGGSAASAVLRHQLSSVSSVEFMASAGLRALIGVQTTRHLSLHSTATIAIAKSLGDGSINLSNTWTRQLSETANGNIQLLLGPESSITVGWQKKDEKMSAAGELKIGTSSFGASAHYTHRFSSVSHGRIAGRFGSTALEIEVGGGRKISNFGTVRTLYTIGIQGIFWKFEFHRGRQKFIIPILLSTHLNLSFATGAFLIPTSLYFLLKKFVVKPYYLQREKKAALENKEKTSAQVREARAAAEKAQQLLQNVASRKRTRQVERNGLVITKAVYGSGKALKKGEGLREVNDESASEVIDVTVPLNFLVNDVGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTCDGQTFEVVVDDYAELLIPQGSHRV >Manes.02G039200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3218831:3230909:-1 gene:Manes.02G039200.v8.1 transcript:Manes.02G039200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEAGPPKRELYAVLQVSPEATDEEIRKAYRHWAQVYHPDKYQDLHMKEIATQNFQRICEAYEILSDENKRQIYDIYGMEGLTSGLELGPKLNKPEELKEELERLRRKKEQEKMEAHFQPSGTILANLSLPQFLDGDGIMRGMAMSSEIHSQISKQNTIAIGGNLEVQENSGGSAASAVLRHQLSSVSSVEFMASAGLRALIGVQTTRHLSLHSTATIAIAKSLGDGSINLSNTWTRQLSETANGNIQLLLGPESSITVGWQKKDEKMSAAGELKIGTSSFGASAHYTHRFSSVSHGRIAGRFGSTALEIEVGGGRKISNFGTVRTLYTIGIQGIFWKFEFHRGRQKFIIPILLSTHLNLSFATGAFLIPTSLYFLLKKFVVKPYYLQREKKAALENKEKTSAQVREARAAAEKAQQLLQNVASRKRTRQVERNGLVITKAVYGSGKALKKGEGLREVNDESASEVIDVTVPLNFLVNDVGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTCDGQTFEVVVDDYAELLIPQGSHRV >Manes.07G068316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12772263:12774589:-1 gene:Manes.07G068316.v8.1 transcript:Manes.07G068316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYVISSYGDYQPPITRPAITANNFELKPSFMLMTQQNQFRGTPLKNLHAYLNRFLEISDSTKMNGVTEDVIRLRLFSFSLRDKAKEWLDFIPPGSITTKAVGVLEVDALNFIHAKFDALTKELKNMSVYVAGNNSVDTVRNNSAYCEFYGRGHICSECSSMGEVANVNSSNYPKPTGNPYSNTYNPGWRNYLNFKLLMENFLFVQQKQDEAIRLLTAKVDQLATHNKMLENHIAQQTSSSSKAAGKLPSQHEYPRGHYNAIILRSEFQKGKLDTQFGKFLKVLKSLYINIPFTEALSQIPSYTKFIKEILSKKRMLEESGNSSILCDIGNLHIDKTLYDLSATVSLMPLSICQKLKLDELKPTTISFQLANRSIKYLRGILENISLKISKFFILVDFIVFDLEEDMRTHIILRRPFLATTGATVDVKNGKLALQVGKSKLLSASFIPL >Manes.05G000500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74341:90115:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74301:90086:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:73653:90103:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEIWKKNATVMTAVIIFYPVPMSHRCYMDRPNIGLRLYGNRL >Manes.05G000500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74304:90115:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74328:90102:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVAITHDRFFLGT >Manes.05G000500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74304:90115:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:75052:90105:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74341:90115:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74306:90104:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVQMILV >Manes.05G000500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74304:90110:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74318:90117:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74343:90100:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74304:90110:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74328:90102:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74318:90117:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74329:90105:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74306:90101:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74318:90117:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74318:90117:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVE >Manes.05G000500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:74302:90115:-1 gene:Manes.05G000500.v8.1 transcript:Manes.05G000500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSHAPSPRGLLCNAGAGAAAGVIAATFVCPLDVIKIRFQVHGLPKLDNGSIKGSLIVGSLEQIFQKEGLRGMYRGLGPTVLALLPNWAVYFSIYEQLESRLSSNDENHLSVGANVIAASGAGAATTIFTNSLWVVKTRLQTQGMRAGVVPYRSTLSALGRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKYHLASQDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVAITHDRFFLGT >Manes.15G120800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9579399:9589712:1 gene:Manes.15G120800.v8.1 transcript:Manes.15G120800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLPYSMKDVHYDNAKFRQRSFVKVISQSLITSNLKRDCVGCSTGKFLMLLMIFGLAYLILTHTSSPHSFSDGLAKGTNASEESVMDVSNRFGRFWRKPPRLPPRLSPDEKVTNNKPIHELGRLNSDPIWLERQQKVKEAFIHAWSGYRNYAMGYDELMPLSRRGVDGLGGLGATVVDALDTAMIMGANEVVSEAGSWIETHLSDRISKKGQVNLFETTIRILGGLLSAYHLSGGEQGTNSMREGQKPIPYLETARNLADRLLVAFTSSPTAIPFSDVVLHDHSAHSSPDGLSSTSEVSTLQLEFNYLSTVSGDPKYSIEAMKVLEHMKNLPKVEGLVPIYISPDTGEFSGENIRLGSRGDSYYEYLLKVWLQQGTSRDTNFTYLHDMYEEAMKGVRHLLVQKSIPNGLVFVGELPYGPKGAFDPKMDHLVCFLPGTLALGATKGITKEKAMRDNLLKFEDLENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTKEYSEGGLDGGNKGSKFVDDIIIKHADRHNLLRPESVESFFVLYRITEDPKYREWGWQIFEAFEKYTKVESGGYSSLEDVTVLPPRKRDKMETFYLGETLKYLYLLFGDSSVIPLDKFVFNTEAHPLPIKGT >Manes.S095508.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:51890:55844:-1 gene:Manes.S095508.v8.1 transcript:Manes.S095508.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKEFGLVLPRLEYINMSRNNFGGNVPSSISETPALSILDLSHNNFSGELPGSLFANCTMYCALILSNNNFQGNVFPQGMNLRSMTVLDMKNNNFSAMVGVDLVNSSSLSFFDISNNKDSSEIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGERIHKSCKSDEAPQTPPPSADVEEEDEGVLIWCGSIGVSVEPMSQSYWCWQQSSASTGIGACCGFIMLMFVFIQFPFGFVGTDFKQ >Manes.08G045400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4515030:4518956:-1 gene:Manes.08G045400.v8.1 transcript:Manes.08G045400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKIDCQDRIHHNHGLIGDFAVHFSQCFGNQQSWNKEIHMQQPVMEAGLQQQQYLRPDRSSASIMSRFESPASAFYATERFMGFPQYDCQVEAPPLCFSYSKSYDSQQSSRENYAIDSGEQAEHNLEMRSNLQPIVKSHFSDDQFYKSYKSSCSSSSENKLYLLERNKVLNNGTASVGNHGSIPFQGDQDHRVGCNPCTSPFAQLGFNSSQGIQSPRFSSAGACVSSGNPVANGAVLSSKTRIRWTQDLHEKFVVCVNRLGGAEKATPKAILKLMDTDGLTIFHVKSHLQKYRIAKYMPDSLEGKPERRNSISNVSQIDTKTGMQITEALQLQLDVQRCLHEQLEIQKNLQLRIEEQSRQLRMMLDQQQQRTSNSLLRNQNLDNTTSPDEPELNLDDIEISITEDFNNTQFPSKIS >Manes.08G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4515030:4518956:-1 gene:Manes.08G045400.v8.1 transcript:Manes.08G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKIDCQDRIHHNHGLIGDFAVHFSQCFGNQQSWNKEIHMQQPVMEAGLQQQQYLRPDRSSASIMSRFESPASAFYATERFMGFPQYDCQVEAPPLCFSYSKSYDSQQSSRENYAIDSGEQAEHNLEMRSNLQPIVKSHFSDDQFYKSYKSSCSSSSENKLYLLERNKVLNNGTASVGNHGSIPFQGDQDHRVGCNPCTSPFAQLGFNSSQGIQSPRFSSAGACVSSGNPVANGAVLSSKTRIRWTQDLHEKFVVCVNRLGGAEKATPKAILKLMDTDGLTIFHVKSHLQKYRIAKYMPDSLEGKPERRNSISNVSQIDTKTSGMQITEALQLQLDVQRCLHEQLEIQKNLQLRIEEQSRQLRMMLDQQQQRTSNSLLRNQNLDNTTSPDEPELNLDDIEISITEDFNNTQFPSKIS >Manes.07G088900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27866398:27878321:1 gene:Manes.07G088900.v8.1 transcript:Manes.07G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLILKSSCSGCGSTTDLYGSNCKHMTLCLTCGKTMAENRGKCYDCGAVVTRLIREYNVRASPCSEKNYFIGRFVTGLPSFSKKKNAENKWSLQKEGLQGRQLTDALREKYKNKPWLLEDETGQQYHGHLEGSQSATYYLLILQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKFDDKESGTAGGRGRRKTGGNEEGNVSDKGDEDEEEEAARKNRLGLNKRGGDDDEEGPRGGDHDIDDDDPEKGDDWEHEEIFTDDDEAVGNDPEEREDLAPEVPAPPEIKQDEDDEDEENEEGGLSKSGKELKKLLGRASGMNDSDADGDDDDEDMDEDDLSPVLAPKKDAPKEEPSDNSPAKPMPSGSAKGTPSTSKSVKGKRKLNGDDAKSSSAGPQKKVKAENELKPTVKEESTPAAKSSATPKVALPSSLKTGSTSPVTEDEIRAVLLQNGPVTTQDLVARFKSRLKDPEDKKAFAEILRRISKIQKTGGSSYVVIKK >Manes.07G088900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27866398:27878321:1 gene:Manes.07G088900.v8.1 transcript:Manes.07G088900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLILKSSCSGCGSTTDLYGSNCKHMTLCLTCGKTMAENRGKCYDCGAVVTRLIREYNVRASPCSEKNYFIGRFVTGLPSFSKKKNAENKWSLQKEGLQGRQLTDALREKYKNKPWLLEDETGQQYHGHLEGSQSATYYLLILQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKFDDKESGTAGGRGRRKTGGNEEGNVSDKGDEDEEEEAARKNRLGLNKRGGDDDEEGPRGGDHDIDDDDPEKGDDWEHEEIFTDDDEAVGNDPEEREDLAPEVPAPPEIKQDEDDEDEENEEGGLSKSGKELKKLLGRASGMNDSDADGDDDDEDMDEDDLSPVLAPKKDAPKEEPSDNSPAKPMPSGSAKGTPSTSKSVKGKRKLNGDDAKSSSAGPQKKELKPTVKEESTPAAKSSATPKVALPSSLKTGSTSPVTEDEIRAVLLQNGPVTTQDLVARFKSRLKDPEDKKAFAEILRRISKIQKTGGSSYVVIKK >Manes.15G019050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1591815:1592180:1 gene:Manes.15G019050.v8.1 transcript:Manes.15G019050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLSCDDPIIKLDISGAAGHSAKKLLLALLLLVISTKTSISYSFSFPRGRRAEPSQRSPIAKFCRLSLSFLLDNNASSDSFTRPLYKLPPPTCHLSMPLPTASTSNRTLFHARALVHFF >Manes.02G012001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1194242:1194698:-1 gene:Manes.02G012001.v8.1 transcript:Manes.02G012001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQDSTHQQNPITHNPPSVWDCGSTLYDSFELKSFERQLYSAIHSRTLSLPHLTDRRVSAPVEYSLPSKISRSLLKFLKSMFKSKQNSSDIFGINSLVRRSGSERFTVTSMMGISCA >Manes.13G042200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4990425:4992819:-1 gene:Manes.13G042200.v8.1 transcript:Manes.13G042200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVKLLGAWPSLFSYRVIWALKLKEVSYEYIEENLSNKSESLLQYNPVHKKIPVLVHGEKPIAESNVILEYIEDTWPQKPLLPADPYERAMARFWAKFEQDKSPTFFAFFQTVGRQQEKATKEAKELLGIIEEHGLGDKKFFGGDKIGLADIVFGWIAGWLEAMQEAVGVDLLEVDRFPRLQAWIKNFREVPVIKENLPDYDELLAYFKRLREWFIISATSHTL >Manes.18G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4449500:4450102:1 gene:Manes.18G052100.v8.1 transcript:Manes.18G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQFFSFSNRNIRLIIPLLFLLLSSSILLMFVAEGRAITKLQLLEAAQSEGEDEKTVVRRTQVIGSTPPRCERRCSSCGHCEAVQVPVTTQVHSHSRSHLSAAETSNIAYSRGDDVSNYKPMSWKCKCDNLILNP >Manes.14G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4707134:4709481:-1 gene:Manes.14G055100.v8.1 transcript:Manes.14G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLRPSSSPLDSLDSPPSKIFKDDPLSIYEATLIKLKLGSQRDLSSSSDETMEIESDCSTVTVSRNSTDSVNPDPILRNSTNVSETYESMVTSPDKEAMAIDTMSTCASNISSPSACQSTSDSKQMESRNMSLHFLFSKYKIARNALSSSVGESMTAASHCSASSSPSSSYSQSFGSTNEQSEHECPSFSTGRHM >Manes.03G140000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26767174:26771756:1 gene:Manes.03G140000.v8.1 transcript:Manes.03G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAIVLDLLRKNPNFYSSQFSASAAVTAAAASVAAAGTPFASRFLFGYSGTRVAHCDAGAVLSDDYISNIRKASGDTFQHDSLKYTTKEYHVELKPLLSAFEWKQLAMTSLRSFLLFYLPLLEPASNTEEDDDDFLQDAPEERHVDFVVPFQKSVKQIVRETTVVTTRRILERLAVHYVSQRLAWKLLKDVPKSAVRKAERGMPTILYIFRVSRTTFRGHFLGVAASWIVQVGIEVYRFINRLAKSEEENNKVEKSEQVIVLGKKVTGVTLRCSASLVFASIGAGIGATLIRPSTGQWIGCAIGDLAGPIVVSLCLEKVLHTEL >Manes.01G048115.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11433991:11435816:1 gene:Manes.01G048115.v8.1 transcript:Manes.01G048115.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSLHGSNSLKLLLERNKSIYRKCPQYNIPKWLIIQKFYNSVSHAFWSIIKVLVEGDFLELALDEAYAQLDKDTKAVGVLKVDELNLINVKFDALIKELKNLSVNVVGNNNVYYEFCGGYISSEYQFFLQGSTMRQLFLKYSYARFLKEILSKERKFEEFGTIALTEQCSITLQHKLPPRLKDPHSFSIPIPSYISNLHIDGAPCNLGASVSLMPLPICKKLKLGEFKPTTISFQLADWLVKYPKEVLENILLKVSKVFISMDFVVFDIEEDIRIPIILRRPLLATARATLDQVIFNLFDTSCNTRLDSGIGIPTVRWNLGCWKPLEG >Manes.07G098900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30319856:30324742:1 gene:Manes.07G098900.v8.1 transcript:Manes.07G098900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKPKLSLRLNLCAYVPRTLEDLSPPSSERLSDAALLSPANWDSRPMTPTPSSHGLWLSKIGSKSPKQTCSICLAKMKQGGGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPLQAPNLEPLPNRGSINAVGWPQHDALMTVVRRLPPRRDLNRRHIVPLLQAPEPSVFDDDESVDLQPVFTDKSSGNRNAADHNSARIEIKAYPEVSAASRSKSYDNFTVLVNLKAGATTIGQDLRRNQASSPQLSQTSRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGPNDRLSVIAFSSTASRLFPLRRMSDTGRKLALQAVNSLVANGGTNIAEGLRKGAKVMEDRREKNPVASIILLSDGQDTYTVSGSGCSQSHPNYQLLLPSSIHGGDTAGFKIPVHSFGFGADHDASSMHSISEISGGTFSFIETEAIIQDAFAQCIGGLLSVVVQELQVGVECVHPSIHLGSLKVGSYPSRVMADGRTGFIDVGDLYADEERDFLVSINVPAEPSRNQTSLIKVRCVYKDPLTKELATLKTEEVRLERPEISGEGTLSIEVDRQQNRLQAAAAMSRARTAAEQGDLAGAVSILENCQRVLSETMSAKSHDRLCIALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLIQAYQTPSMTEMLTRSHASLLGSPSAQRLVQPLWPFESQPNPR >Manes.07G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30320841:30324742:1 gene:Manes.07G098900.v8.1 transcript:Manes.07G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQTCSICLAKMKQGGGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPLQAPNLEPLPNRGSINAVGWPQHDALMTVVRRLPPRRDLNRRHIVPLLQAPEPSVFDDDESVDLQPVFTDKSSGNRNAADHNSARIEIKAYPEVSAASRSKSYDNFTVLVNLKAGATTIGQDLRRNQASSPQLSQTSRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGPNDRLSVIAFSSTASRLFPLRRMSDTGRKLALQAVNSLVANGGTNIAEGLRKGAKVMEDRREKNPVASIILLSDGQDTYTVSGSGCSQSHPNYQLLLPSSIHGGDTAGFKIPVHSFGFGADHDASSMHSISEISGGTFSFIETEAIIQDAFAQCIGGLLSVVVQELQVGVECVHPSIHLGSLKVGSYPSRVMADGRTGFIDVGDLYADEERDFLVSINVPAEPSRNQTSLIKVRCVYKDPLTKELATLKTEEVRLERPEISGEGTLSIEVDRQQNRLQAAAAMSRARTAAEQGDLAGAVSILENCQRVLSETMSAKSHDRLCIALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLIQAYQTPSMTEMLTRSHASLLGSPSAQRLVQPLWPFESQPNPR >Manes.07G098900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30322085:30324742:1 gene:Manes.07G098900.v8.1 transcript:Manes.07G098900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPLQAPNLEPLPNRGSINAVGWPQHDALMTVVRRLPPRRDLNRRHIVPLLQAPEPSVFDDDESVDLQPVFTDKSSGNRNAADHNSARIEIKAYPEVSAASRSKSYDNFTVLVNLKAGATTIGQDLRRNQASSPQLSQTSRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGPNDRLSVIAFSSTASRLFPLRRMSDTGRKLALQAVNSLVANGGTNIAEGLRKGAKVMEDRREKNPVASIILLSDGQDTYTVSGSGCSQSHPNYQLLLPSSIHGGDTAGFKIPVHSFGFGADHDASSMHSISEISGGTFSFIETEAIIQDAFAQCIGGLLSVVVQELQVGVECVHPSIHLGSLKVGSYPSRVMADGRTGFIDVGDLYADEERDFLVSINVPAEPSRNQTSLIKVRCVYKDPLTKELATLKTEEVRLERPEISGEGTLSIEVDRQQNRLQAAAAMSRARTAAEQGDLAGAVSILENCQRVLSETMSAKSHDRLCIALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLIQAYQTPSMTEMLTRSHASLLGSPSAQRLVQPLWPFESQPNPR >Manes.11G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1143376:1146001:-1 gene:Manes.11G011100.v8.1 transcript:Manes.11G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEPNLEQNIDYGYDQDERKKYGGDNGLKYLIVDPQKGGIWNLFKFLVFHNTTSGIRFLDSSDEEEKRGAIADHRLVILTSIIVRRILALIAKPLAYIGFMVDFFLNLLSENDDFIGLLVNFLHGKVVIPHRDSETFISTIGQLDGRIDLYKGDNLVKQVDDSVSAERSISMELGNRALVDLSIMASKLAYENAKVVKNIVNNHWKMHFVDFYDCWNDYQKGSNTQVFILCDKPKDANLIVVSFRGTEPFNACDWSTDIDFSWYEIPKAGKVHVGFLEALGLGTRRDAHTFQYNLQRQNRNFNYPNCDHDVTKIPSEGTETVSSATDSDKEQSTWDNSSDSEAAISSSISNLKLEVAKKSAYYAVTMKLESLLREHKNAKFLVTGHSLGGAIAILYPTVLVIQEEMELAERLLGVYTFGQPRVGDVKLGKFVEAHLNNPVPKYFRLVYCNDLVPRVPFDDHIFSYKHFGVCLYYDSWYYQHKMDEQPNPNFFGMRYLIPQHMNAAWEIMRSLAMGYTHGPEYKEGWFCTFFRLMGLLIPGIAAHSPRDYVNSIRLGKERVTLSSTFKSFLHK >Manes.06G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1220916:1222239:1 gene:Manes.06G007400.v8.1 transcript:Manes.06G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMLRSLLNLLLSTLIFSHITGEVSAATITLYNKCSHPVWPGIQPSAGQKLLARGGFKLPPNKAYSLNLPPLWSGRFWGRHGCIFDASGRGHCATGDCGGALYCNGLGGAPPATLAEITLGNDQDFYDVSLVDGYNIAMSITPFKGSGKCSYAGCVSDLNLMCPAGLQVRSKDNRRVVACKSACFAFNSPRYCCTGSFGNPQSCKPTAYSRIFKAACPKAYSYAYDDPTSIATCTRGSYLVTFCPHHR >Manes.10G003400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:387475:393024:1 gene:Manes.10G003400.v8.1 transcript:Manes.10G003400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSPKIRFVRCPRCQNVLPELPNVPVYECGCCGIHLQAKVRKENAESTTSGSPETNASGLRNLDHVSEDKESSSLSHETLLHSVGECSLGHGRGQIKSSNGNGDKPIGLFLTNEDENNVRDINGSEDFDIEQLKDSNAEQKSESNKNESRTCDNQQVRGVNLSDEDHNNDGHQNESLACNIEQDGVSNGDCTSDELSHLSNRKLSHSPLSRTNSEVEFNDESLLLAAKAKLEAEPDAQNESNSTFERSSQGELVDTKGSTSIATARHPAGESISSDILISSPNDHLKQPQENSHNGSDCVRSTDASEIADFANNPSSELSGTLIDLSKSPTTRSSRAYYDDGLSSYEGTDDQLPNRHKRSSKHVYGLANYSASDVRLRRERFPINSNHEIHHFRTSASFLPERTHHALKSTKLDQELLGPKGLDQPGRNWRRLARDEYMSQLALNQSDSLANYESGSPSNYSELYNSRFPGKDKPAYFEQEKMQLLRMVYELQDQLNKASLNDKPNDGFTWKDHYTPMYNDREFLQEESLYNLVYPRFAERVREESNWSQQKKYSRLPFSAEATTSRHQVDHSMCCCPQEWQRKGHFPPPGFRHNGGFCRVHSHLDLYNSYGSCPTSPQRHVEYEFPMYFCGTKSDDQMHRNHEVKRYLREKHHLAKRHLRPIAGGAPFITCSSCFKQLQLPADFLLFKRRCHLLKCGACSEVLKFSLQDRTHLMPYTPTAEAPPTSEVDENTDAIHRRNFTSTSHVSGPYLDSMSCSDEYGLSRKSCSTEKDPVCQTPFHAIHRNEVQRNVSHGSLEHSEERRKFVSNEAQKKGKGPMQIYEAAGPSSSKSKSKKVSSEIEEIPAGGEGGSPLHRLMGYSSPSDMIYGWGPSGPAAASYYPEMQRN >Manes.10G003400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:388151:392747:1 gene:Manes.10G003400.v8.1 transcript:Manes.10G003400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSPKIRFVRCPRCQNVLPELPNVPVYECGCCGIHLQAKVRKENAESTTSGSPETNASGLRNLDHVSEDKESSSLSHETLLHSVGECSLGHGRGQIKSSNGNGDKPIGLFLTNEDENNVRDINGSEDFDIEQLKDSNAEQKSESNKNESRTCDNQQVRGVNLSDEDHNNDGHQNESLACNIEQDGVSNGDCTSDELSHLSNRKLSHSPLSRTNSEVEFNDESLLLAAKAKLEAEPDAQNESNSTFERSSQGELVDTKGSTSIATARHPAGESISSDILISSPNDHLKQPQENSHNGSDCVRSTDASEIADFANNPSSELSGTLIDLSKSPTTRSSRAYYDDGLSSYEGTDDQLPNRHKRSSKHVYGLANYSASDVRLRRERFPINSNHEIHHFRTSASFLPERTHHALKSTKLDQELLGPKGLDQPGRNWRRLARDEYMSQLALNQSDSLANYESGSPSNYSELYNSRFPGKDKPAYFEQEKMQLLRMVYELQDQLNKASLNDKPNDGFTWKDHYTPMYNDREFLQEESLYNLVYPRFAERVREESNWSQQKKYSRLPFSAEATTSRHQVDHSMCCCPQEWQRKGHFPPPGFRHNGGFCRVHSHLDLYNSYGSCPTSPQRHVEYEFPMYFCGTKSDDQMHRNHEVKRYLREKHHLAKRHLRPIAGGAPFITCSSCFKQLQLPADFLLFKRRCHLLKCGACSEVLKFSLQDRTHLMPYTPTAEAPPTSEVDENTDAIHRRNFTSTSHVSGPYLDSMSCSDEYGLSRKSCSTEKDPVCQTPFHAIHRNEVQRNVSHGSLEHSEERRKFVSNEAQKKGKGPMQIYEAAGPSSSKSKSKKVSSEIEEIPAGGEGGSPLHRLMGYSSPSDMIYGWGPSGPAAASYYPEMQRN >Manes.10G003400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:387397:393021:1 gene:Manes.10G003400.v8.1 transcript:Manes.10G003400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSPKIRFVRCPRCQNVLPELPNVPVYECGCCGIHLQAKVRKENAESTTSGSPETNASGLRNLDHVSEDKESSSLSHETLLHSVGECSLGHGRGQIKSSNGNGDKPIGLFLTNEDENNVRDINGSEDFDIEQLKDSNAEQKSESNKNESRTCDNQQVRGVNLSDEDHNNDGHQNESLACNIEQDGVSNGDCTSDELSHLSNRKLSHSPLSRTNSEVEFNDESLLLAAKAKLEAEPDAQNESNSTFERSSQGELVDTKGSTSIATARHPAGESISSDILISSPNDHLKQPQENSHNGSDCVRSTDASEIADFANNPSSELSGTLIDLSKSPTTRSSRAYYDDGLSSYEGTDDQLPNRHKRSSKHVYGLANYSASDVRLRRERFPINSNHEIHHFRTSASFLPERTHHALKSTKLDQELLGPKGLDQPGRNWRRLARDEYMSQLALNQSDSLANYESGSPSNYSELYNSRFPGKDKPAYFEQEKMQLLRMVYELQDQLNKASLNDKPNDGFTWKDHYTPMYNDREFLQEESLYNLVYPRFAERVREESNWSQQKKYSRLPFSAEATTSRHQVDHSMCCCPQEWQRKGHFPPPGFRHNGGFCRVHSHLDLYNSYGSCPTSPQRHVEYEFPMYFCGTKSDDQMHRNHEVKRYLREKHHLAKRHLRPIAGGAPFITCSSCFKQLQLPADFLLFKRRCHLLKCGACSEVLKFSLQDRTHLMPYTPTAEAPPTSEVDENTDAIHRRNFTSTSHVSGPYLDSMSCSDEYGLSRKSCSTEKDPVCQTPFHAIHRNEVQRNVSHGSLEHSEERRKFVSNEAQKKGKGPMQIYEAAGPSSSKSKSKKVSSEIEEIPAGGEGGSPLHRLMGYSSPSDMIYGWGPSGPAAASYYPEMQRN >Manes.07G059300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8703698:8707066:-1 gene:Manes.07G059300.v8.1 transcript:Manes.07G059300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIGAYWVLDFLFIFLSSVAGHGVHASSYDYSATTECLKEPQRAQYGGGIVANPEFTYSLEGWAVYGEGEIREGLSNNGNRYIISHSRTQSLDSASQKVQFEEGTLYSFSAWIQINRGSESVAAVLRTAGGELIRVGKVIARDGCWSFIKGGVFANFSGPAVLLFQSKNTNVEIWIDSVSLQPFTMEQWRSHQEESIQRERKRKVRFHVTYANKSAVEGAVVSIRQTQSSFPFGCGMNHYILESVDYQNWFTSRFKYTTFTNEMKWYSTEKKQGHENYTIADAMVRFAKQNGISIRGHNIFWDDPKYQPDWVKNLSPDDLREAAAKRINSVVSRYAGQLIAWDVMNENLHFSFYEDKLGVDASAEYFSRAFQLDPGTRLFMNEYNTIENCTDEDANPVNYKKRLEEILGYPGNEGILAGIGAQGHFGSDQPDLAYMRASLDILASTGLPIWLTEVDVDRGPNQAEYLEQILREGHSHPAVEGIIMFVGPEIAGFNVTTLADKDFKNTPAGDVVDKLIDEWKSTTAELIADSEGSIEVSLFHGDYNITVKDPVGNSSTSWNLSLGKDDSEGNAHIYINA >Manes.07G059300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8703698:8707066:-1 gene:Manes.07G059300.v8.1 transcript:Manes.07G059300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSLTVAGHGVHASSYDYSATTECLKEPQRAQYGGGIVANPEFTYSLEGWAVYGEGEIREGLSNNGNRYIISHSRTQSLDSASQKVQFEEGTLYSFSAWIQINRGSESVAAVLRTAGGELIRVGKVIARDGCWSFIKGGVFANFSGPAVLLFQSKNTNVEIWIDSVSLQPFTMEQWRSHQEESIQRERKRKVRFHVTYANKSAVEGAVVSIRQTQSSFPFGCGMNHYILESVDYQNWFTSRFKYTTFTNEMKWYSTEKKQGHENYTIADAMVRFAKQNGISIRGHNIFWDDPKYQPDWVKNLSPDDLREAAAKRINSVVSRYAGQLIAWDVMNENLHFSFYEDKLGVDASAEYFSRAFQLDPGTRLFMNEYNTIENCTDEDANPVNYKKRLEEILGYPGNEGILAGIGAQGHFGSDQPDLAYMRASLDILASTGLPIWLTEVDVDRGPNQAEYLEQILREGHSHPAVEGIIMFVGPEIAGFNVTTLADKDFKNTPAGDVVDKLIDEWKSTTAELIADSEGSIEVSLFHGDYNITVKDPVGNSSTSWNLSLGKDDSEGNAHIYINA >Manes.02G149000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446340:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446340:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446340:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446340:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446158:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446340:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446340:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446159:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446340:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.02G149000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11446340:11453359:1 gene:Manes.02G149000.v8.1 transcript:Manes.02G149000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPNEQNLFGIVQGGLDPVLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHNAMVDDTRPIDPACGCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLYYMMKLSRDLHSSIIEGRFPEFVCDFLQKMFPKGDIPEWVCNAMEVAGIDISSCCAPFTSPQDYN >Manes.09G110800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31319599:31321079:1 gene:Manes.09G110800.v8.1 transcript:Manes.09G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPHKSSLLLHRINTTPTNSTTAASTSACQTRWSPLSCATLIPETVARYHNHVVGPNQCCSFEVQQIAAPVSIVWSVVRRFDNPQAYKHFVKSCHVIVGDGNVGTLREVHVVSGLPAANSTERLEILDDERHVISFSVVGGDHRLANYRSVTTLHPSPAGNGTVLVESYVVDIPPGNTKEDTCVFVDTIVRCNLQSLTQIAENLARNNTSSS >Manes.06G164400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29094514:29101195:-1 gene:Manes.06G164400.v8.1 transcript:Manes.06G164400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASAAPAHRPMDLLDRLASPDPDIKLKALREVKNQIIGNRTKKLAFLKLGAIPTVASILAEADSQLADGDVSIVDKNSDYYNNNIIIQSAAALGSFACGFDAGVRAVLDAGALPYLIRLLSNSDEKVVDASARSLRMIYQSKLTPKYEFLQDKNMEFLLSLLNSESENVTGLGASIITHSCETIVEQKSLCDAGVLNKLLSLLDGSLSQRDASLESMAALFRNNPEVISKFLGPENGRALSSIIGLTKDRYPRTRLLACMCLIVIRNSSSCYLKDIGIKTKLVYLLLELLDDPGQVGDEAPFVFSSLITEKEDVQKLAFEANALDKFLNHLQNSQLHPKRFQGILLALADMCSKLESCRSRFLSMEVLNSVTDALSHDSADVRTAACICIRSVTRSIKNLCAGYFMNEVLVVPLVQLLNDPLASVQVAALGAISNILVDFTRGKSTFVQCGGVKQLIQLSKSMDSAVRLNALWALKNMVFLADDRFKQGIFMEFTASLLTSLICDHDACVQEQAFAFVRNLIDGSINSVEYVFAENGIILDAVRKQLESTSKAEIGIQGMYVLGNVASGNEFHKEAVMQQLLSQVNNETQSFIIKFLQSNDSRLRTAAVWAVVNLTFPSSPGAFCRLVKLRSAGVVPQIRNMVNDPCLDVKLRVRTVLSQAMTFGDGLS >Manes.07G023300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2527066:2531051:1 gene:Manes.07G023300.v8.1 transcript:Manes.07G023300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGEIEEIEKWVSPRTLPILSLNHVSFVCKSVPESVRFYEDVLGFVLIKRPSSFKFEGAWLFNYGVGIHLLESEKVLPKKGAINPKDNHISFQCSDMDFVVKKLEEKNIEYVTAVVEEAGITVDQLFFHDPDGYMVEICNCHVLPVLPLSSCPLQLPKANGNLMSPTYYGKTRWGMGCSGGVASLMMENLVVDLLDISI >Manes.07G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2527066:2531051:1 gene:Manes.07G023300.v8.1 transcript:Manes.07G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGEIEEIEKWVSPRTLPILSLNHVSFVCKSVPESVRFYEDVLGFVLIKRPSSFKFEGAWLFNYGVGIHLLESEKVLPKKGAINPKDNHISFQCSDMDFVVKKLEEKNIEYVTAVVEEAGITVDQLFFHDPDGYMVEICNCHVLPVLPLSSCPLQLPKANGNLMSPTYYDAGKTRWGMGCSGGVASLMMENLVVDLLDISI >Manes.11G032200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3127589:3130153:-1 gene:Manes.11G032200.v8.1 transcript:Manes.11G032200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKGRDNGGDSSSSISSEKRAKTRWSFGKSGRDNSVIPRYNLQVKDAAWLRSYIAETERDQNKHAIAVASATAAAADDAVAAAQAAMAVVRLTSNGRGTTYGSETEKWAAIKVQTVFRGFLARKALRALKGLVKMQALVRGYLVRKQAAATLHSMQALIRAQTSVRSQRARRSINKENRFQPENRPRKSIEKFDDTKSEFHSERLFASYEFDESPKIVEIKPRSRSRRAAAALSEYDDGVPYSSPLPCPIPARISIPYYKNHQDFANSVRSNAPATPAKSVCGGSFFRPHSNFPNYMSNTKSFQGQIEVSQCSKAAGGARAKEEAFAQRNNGC >Manes.01G202700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37548374:37551119:-1 gene:Manes.01G202700.v8.1 transcript:Manes.01G202700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALVMPYQMKIQPINSDTPTESIRLEPAKPVVKSRLKRLFELQFLRNPAAEKVAILDGTHFHKDGVNGSTEFEPSSQCLANMVQNFIEESNEKQSSAVVRCARNRCNCFNGNCNDSSEDEFEPYGVFDDPNLSSSGEGIETLKSLVPCASVSERNLLADTAGIVDKNRICKRKDDICRKIVTDGLVALGYDASICKSHWGKSPAHPAGEYEYIDVIISGERLLIDIDFRSEFEIARSTKAYKSLLQSLPHIFVGKADRLQKIICVVSNAAKQSLKKKGMHIPPWRKAEYVKAKWLSPYTRATQALSSHQPGTRPPKEQTFVRKGINNFPPGGREDNSEEDTELAESVFSLSPESSMEEENDTMVREWKPPEIKPKSFKIGFKTVTGLASVIEDEA >Manes.10G133650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29855459:29856837:1 gene:Manes.10G133650.v8.1 transcript:Manes.10G133650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVVMFPSPAIGHFISMVELGKLILTFQPSLSIHILIVSAPYSAGSTASYVADVAATTPSISFHRLPTITLPSSTNTHYETLIFEVLSLSNPHVHQALLSISKTHKIKTFIMDFFCFFSLSIASQLNIPGYFFFTSGAGCLAASMYFPTLHQTTTKSFKDMNTFLNLPGIPPISSSNFSSAVSDRKNKAYEYFLDMAYCFPKSAGVIVNTFAVLEARALKAISDGLCIPDSTTPPVYCTGPLIVTNNQTDGDTECLNWLESQPSQSVIFLCFGSLGLFSMEQLREIASGLERSGQRFLWVEKGLVVKSWAPQVAVLNHKSVGGFVTHCGWNSVLEAVSAGVPMVAWPLYAEQKFNRLMLVEEMKIALPMKEDENGFVTGLEVEKRVNELMQSDSGKSVRERTIAMKNAAKAALSEGGSSRVAMSRLVESWKH >Manes.12G149100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35748877:35751864:1 gene:Manes.12G149100.v8.1 transcript:Manes.12G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLFASYPICSFTKQPSRTCSFRLLKLGNIFSPRGCLVSPKLAKKTIVRRSANYQPPIWDFYFVQSLKSEYEGEVYTNRTSKLKEEVRLMLKQAMDPLDQLQLIDTLQRLGLSYHFEDEIKRILLSISSHNNTGMREDLYATALEFRLLRQHGYKIPQEIFNSFLDEMGSFKECLCEDWEAILSLYEASFLSEEGENILQNARDFTATCLRKFVQQSQDQNLSKLISHALEIPLHWRMQRLDTRWFIDAYERKQGMNPLLLELAKLDFNNVQITHQNDLKHMSRWWRSTGLGEKLSFARDRLMENFFWTIGMIFKPQFSNFRRMMTKVNVLITTIDDIYDVYGTLDELDLFTDAVQRWDVNAMEQLPHYMKICYLCLHNSINEIAFDVLREQGFYIIPYLNKAWADLCKSYLLEAKWYHTGYTPSLQEYINNAWISSSGPVILIHTYFLINSAITNDALTCLEEYSNIIGCSSVIVRLADDLATSSNELKRGDVPKSIQCYMHETGVSEAKARDHIRFLISETWNEMNKERALDSPFSENFISAAFDLARIAQCIYQHGDGHGIGNCETKERVVSLLIQPIPCKISAV >Manes.06G063100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19776219:19780679:-1 gene:Manes.06G063100.v8.1 transcript:Manes.06G063100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVARMRICAVKSRRPDECHTTVGIGLPQSHGTQFILFLLLLFLGQKNQNKIITEGGRNMGSTSTTLIHHLHSHGYFCKHSLSIRNQRQQLAPSSSSPSMRGRPRFGGLRSTTSFSRVVCFAAVNDDISEKQTELPTTSSTTGGSIGSLLEDRPDMLDSSQENFAQNTEGRAVYDFLYPSKELLPDDKEMSIFDHLEELRQRIFVSVLAVGAAILGCFAFSKELIMVLEAPVKAQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTRAERRFLGPIVLGSSVLFYAGIVFSYLILTPAALNFFISYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQFLLGQVGLVTGDQMLSIWRYVVVGAVVAAAVVTPSTDPLTQVLLAAPLLGLYLGGAWIVKLTGRSP >Manes.04G000600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258547:264477:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARSPFPKTKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:259032:264425:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSKETQLSIEASSMFPGFRFSPTDVELISFYLKKKFDGDEKCVEVISEVEICKYEPWDLPAKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258547:264491:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSKETQLSIEASSMFPGFRFSPTDVELISFYLKKKFDGDEKCVEVISEVEICKYEPWDLPAKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQRLYYTS >Manes.04G000600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258544:264367:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258547:264449:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARSPFPKTKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258545:264363:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSKETQLSIEASSMFPGFRFSPTDVELISFYLKKKFDGDEKCVEVISEVEICKYEPWDLPAKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258898:264367:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258546:264538:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSKETQLSIEASSMFPGFRFSPTDVELISFYLKKKFDGDEKCVEVISEVEICKYEPWDLPAKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258899:264363:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARSPFPKTKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:258547:264496:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSKETQLSIEASSMFPGFRFSPTDVELISFYLKKKFDGDEKCVEVISEVEICKYEPWDLPAKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.04G000600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:259032:264425:-1 gene:Manes.04G000600.v8.1 transcript:Manes.04G000600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSKETQLSIEASSMFPGFRFSPTDVELISFYLKKKFDGDEKCVEVISEVEICKYEPWDLPAKSIIQSDNEWFFFSARGRKYPNGSQSRRATERGYWKATGKERNVKSGSVVIGTKRTLVFHTGRAPKGERTEWIMHEYCMDGKSQDSLVVCRLRRNVEFRPNDNSNRSSLNRRQLSVSEGGLDRAGTSEGEKTAECSRKCSSSHDSHSIEQLDSASESEQKLSNEALLAESSSRPQDSDNQDDFYADILKDDIVKLDETSLSAILEFPVIASKPEAQIEVQQPPELIASQSLPLQSIPMQGTANRRIKLDKKTHDVSNAKTSEESPKCVLDFFSVRRGNQKLISRIFIILSLLVLLLSLLGGFQQVKRITYGALYRALWN >Manes.05G002600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:279274:281137:-1 gene:Manes.05G002600.v8.1 transcript:Manes.05G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSSLLVMGNYLTNPSSKATGRVILSDGRVHEFEKPLTVAELMLEYPQQVVVEFRPDLSEKRPIPLPADNKLDMKKVYVMLPMKRGKPASLSSEQARHVVSVLGSRSLLSSSRFLPLFARICPAGGIEEGQTTTKFVSERKENDSELMLAEMLENRPPEYLSRQLSGKGWKPSLDTIKEKKVEKKIPHWLFNFQA >Manes.11G157100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32347264:32348533:-1 gene:Manes.11G157100.v8.1 transcript:Manes.11G157100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYAEDIPLLPHFNLQLQFLTLTLSLTSGTEAKTMKQSFYNSLLLILLLFLFYSSKLNARHMPSKDGKEEVNLNAMMISSEESLLQMELMGLEMCENGDEECFKRRIVSEAHLDYIYTQHHKP >Manes.08G089000.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:23888972:23893076:1 gene:Manes.08G089000.v8.1 transcript:Manes.08G089000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPRLRGFSSSLNGVQLGNRSLPVLSNQSCVSGQKFENSFVDHKSMEFPYLPTDPKPNNVTPISNALHEEDSPEDCDFSDTVLRYISQMLMEEDMEDKTCMLQGSLDLQAAEKSFYEVLGKKYPPSPEPNHASTSQNSENPYYSFTRNCNDDNNGVSHLDDTTCIQNLSYYNSFRPQTLRVSTISQSSYSSSNSVITSIDGLVDSPSSIFQISDWSNESQSISQFMKGVEEASKFLPNGDDLFRNIEVNRFLSREPKVRISEVTVKEERKDGGEYSPCGPRGRKSIHREDADIEEGRSSKQPAIYADSDMEPHVFDRLLLYSAGEGLKDLKDLCEALRNTAIKNDQNHNVQTKRSSGGKVQRKKHNGKKDVVDLRTLLINCAQAVAADDRLSANELLKQVRRHSSPFGDGNQRLAHCFADSLESRMAGTGSQIYKGLVSRRTSAANILKAYHLYLAACPFLKLSNFVSNKTIKNLSANSKRLHIIDFGILYGFQWPTLIQRLSWRPGGPPNVRITGIDFPQPGFRPAERVEETGCRLATYAKKFNVPFEYNAIAKKWETIKLEELKIDREEVIIVTCFYRARNLLDETVAVDSQKDIVLNLVRKINPDFFIHAIINGAYNAPFFVTRFKEALFHFSSLFDMLDTIVPRDYQERMLIEKEIFGREALNVVACEGWERVERPETYKQWQVRTLRAGFVQLSFGREIVKCATDKVRKLYHKDFLIDEDGRWLLQGWKGRIIYSLSIWRPT >Manes.08G089000.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:23888972:23894691:1 gene:Manes.08G089000.v8.1 transcript:Manes.08G089000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPRLRGFSSSLNGVQLGNRSLPVLSNQSCVSGQKFENSFVDHKSMEFPYLPTDPKPNNVTPISNALHEEDSPEDCDFSDTVLRYISQMLMEEDMEDKTCMLQGSLDLQAAEKSFYEVLGKKYPPSPEPNHASTSQNSENPYYSFTRNCNDDNNGVSHLDDTTCIQNLSYYNSFRPQTLRVSTISQSSYSSSNSVITSIDGLVDSPSSIFQISDWSNESQSISQFMKGVEEASKFLPNGDDLFRNIEVNRFLSREPKVRISEVTVKEERKDGGEYSPCGPRGRKSIHREDADIEEGRSSKQPAIYADSDMEPHVFDRLLLYSAGEGLKDLKDLCEALRNTAIKNDQNHNVQTKRSSGGKVQRKKHNGKKDVVDLRTLLINCAQAVAADDRLSANELLKQVRRHSSPFGDGNQRLAHCFADSLESRMAGTGSQIYKGLVSRRTSAANILKAYHLYLAACPFLKLSNFVSNKTIKNLSANSKRLHIIDFGILYGFQWPTLIQRLSWRPGGPPNVRITGIDFPQPGFRPAERVEETGCRLATYAKKFNVPFEYNAIAKKWETIKLEELKIDREEVIIVTCFYRARNLLDETVAVDSQKDIVLNLVRKINPDFFIHAIINGAYNAPFFVTRFKEALFHFSSLFDMLDTIVPRDYQERMLIEKEIFGREALNVVACEGWERVERPETYKQWQVRTLRAGFVQLSFGREIVKCATDKVRKLYHKDFLIDEDGRWLLQGWKGRIIYSLSIWRPT >Manes.08G089000.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:23888972:23926719:1 gene:Manes.08G089000.v8.1 transcript:Manes.08G089000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPRLRGFSSSLNGVQLGNRSLPVLSNQSCVSGQKFENSFVDHKSMEFPYLPTDPKPNNVTPISNALHEEDSPEDCDFSDTVLRYISQMLMEEDMEDKTCMLQGSLDLQAAEKSFYEVLGKKYPPSPEPNHASTSQNSENPYYSFTRNCNDDNNGVSHLDDTTCIQNLSYYNSFRPQTLRVSTISQSSYSSSNSVITSIDGLVDSPSSIFQISDWSNESQSISQFMKGVEEASKFLPNGDDLFRNIEVNRFLSREPKVRISEVTVKEERKDGGEYSPCGPRGRKSIHREDADIEEGRSSKQPAIYADSDMEPHVFDRLLLYSAGEGLKDLKDLCEALRNTAIKNDQNHNVQTKRSSGGKVQRKKHNGKKDVVDLRTLLINCAQAVAADDRLSANELLKQVRRHSSPFGDGNQRLAHCFADSLESRMAGTGSQIYKGLVSRRTSAANILKAYHLYLAACPFLKLSNFVSNKTIKNLSANSKRLHIIDFGILYGFQWPTLIQRLSWRPGGPPNVRITGIDFPQPGFRPAERVEETGCRLATYAKKFNVPFEYNAIAKKWETIKLEELKIDREEVIIVTCFYRARNLLDETVAVDSQKDIVLNLVRKINPDFFIHAIINGAYNAPFFVTRFKEALFHFSSLFDMLDTIVPRDYQERMLIEKEIFGREALNVVACEGWERVERPETYKQWQVRTLRAGFVQLSFGREIVKCATDKVRKLYHKDFLIDEDGRWLLQGWKGRIIYSLSIWRPT >Manes.08G089000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:23888972:23892691:1 gene:Manes.08G089000.v8.1 transcript:Manes.08G089000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPRLRGFSSSLNGVQLGNRSLPVLSNQSCVSGQKFENSFVDHKSMEFPYLPTDPKPNNVTPISNALHEEDSPEDCDFSDTVLRYISQMLMEEDMEDKTCMLQGSLDLQAAEKSFYEVLGKKYPPSPEPNHASTSQNSENPYYSFTRNCNDDNNGVSHLDDTTCIQNLSYYNSFRPQTLRVSTISQSSYSSSNSVITSIDGLVDSPSSIFQISDWSNESQSISQFMKGVEEASKFLPNGDDLFRNIEVNRFLSREPKVRISEVTVKEERKDGGEYSPCGPRGRKSIHREDADIEEGRSSKQPAIYADSDMEPHVFDRLLLYSAGEGLKDLKDLCEALRNTAIKNDQNHNVQTKRSSGGKVQRKKHNGKKDVVDLRTLLINCAQAVAADDRLSANELLKQVRRHSSPFGDGNQRLAHCFADSLESRMAGTGSQIYKGLVSRRTSAANILKAYHLYLAACPFLKLSNFVSNKTIKNLSANSKRLHIIDFGILYGFQWPTLIQRLSWRPGGPPNVRITGIDFPQPGFRPAERVEETGCRLATYAKKFNVPFEYNAIAKKWETIKLEELKIDREEVIIVTCFYRARNLLDETVAVDSQKDIVLNLVRKINPDFFIHAIINGAYNAPFFVTRFKEALFHFSSLFDMLDTIVPRDYQERMLIEKEIFGREALNVVACEGWERVERPETYKQWQVRTLRAGFVQLSFGREIVKCATDKVRKLYHKDFLIDEDGRWLLQGWKGRIIYSLSIWRPT >Manes.08G089000.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:23888972:23893028:1 gene:Manes.08G089000.v8.1 transcript:Manes.08G089000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPRLRGFSSSLNGVQLGNRSLPVLSNQSCVSGQKFENSFVDHKSMEFPYLPTDPKPNNVTPISNALHEEDSPEDCDFSDTVLRYISQMLMEEDMEDKTCMLQGSLDLQAAEKSFYEVLGKKYPPSPEPNHASTSQNSENPYYSFTRNCNDDNNGVSHLDDTTCIQNLSYYNSFRPQTLRVSTISQSSYSSSNSVITSIDGLVDSPSSIFQISDWSNESQSISQFMKGVEEASKFLPNGDDLFRNIEVNRFLSREPKVRISEVTVKEERKDGGEYSPCGPRGRKSIHREDADIEEGRSSKQPAIYADSDMEPHVFDRLLLYSAGEGLKDLKDLCEALRNTAIKNDQNHNVQTKRSSGGKVQRKKHNGKKDVVDLRTLLINCAQAVAADDRLSANELLKQVRRHSSPFGDGNQRLAHCFADSLESRMAGTGSQIYKGLVSRRTSAANILKAYHLYLAACPFLKLSNFVSNKTIKNLSANSKRLHIIDFGILYGFQWPTLIQRLSWRPGGPPNVRITGIDFPQPGFRPAERVEETGCRLATYAKKFNVPFEYNAIAKKWETIKLEELKIDREEVIIVTCFYRARNLLDETVAVDSQKDIVLNLVRKINPDFFIHAIINGAYNAPFFVTRFKEALFHFSSLFDMLDTIVPRDYQERMLIEKEIFGREALNVVACEGWERVERPETYKQWQVRTLRAGFVQLSFGREIVKCATDKVRKLYHKDFLIDEDGRWLLQGWKGRIIYSLSIWRPT >Manes.08G089000.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:23888972:23894691:1 gene:Manes.08G089000.v8.1 transcript:Manes.08G089000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPRLRGFSSSLNGVQLGNRSLPVLSNQSCVSGQKFENSFVDHKSMEFPYLPTDPKPNNVTPISNALHEEDSPEDCDFSDTVLRYISQMLMEEDMEDKTCMLQGSLDLQAAEKSFYEVLGKKYPPSPEPNHASTSQNSENPYYSFTRNCNDDNNGVSHLDDTTCIQNLSYYNSFRPQTLRVSTISQSSYSSSNSVITSIDGLVDSPSSIFQISDWSNESQSISQFMKGVEEASKFLPNGDDLFRNIEVNRFLSREPKVRISEVTVKEERKDGGEYSPCGPRGRKSIHREDADIEEGRSSKQPAIYADSDMEPHVFDRLLLYSAGEGLKDLKDLCEALRNTAIKNDQNHNVQTKRSSGGKVQRKKHNGKKDVVDLRTLLINCAQAVAADDRLSANELLKQVRRHSSPFGDGNQRLAHCFADSLESRMAGTGSQIYKGLVSRRTSAANILKAYHLYLAACPFLKLSNFVSNKTIKNLSANSKRLHIIDFGILYGFQWPTLIQRLSWRPGGPPNVRITGIDFPQPGFRPAERVEETGCRLATYAKKFNVPFEYNAIAKKWETIKLEELKIDREEVIIVTCFYRARNLLDETVAVDSQKDIVLNLVRKINPDFFIHAIINGAYNAPFFVTRFKEALFHFSSLFDMLDTIVPRDYQERMLIEKEIFGREALNVVACEGWERVERPETYKQWQVRTLRAGFVQLSFGREIVKCATDKVRKLYHKDFLIDEDGRWLLQGWKGRIIYSLSIWRPT >Manes.08G089000.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:23888972:23893075:1 gene:Manes.08G089000.v8.1 transcript:Manes.08G089000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPRLRGFSSSLNGVQLGNRSLPVLSNQSCVSGQKFENSFVDHKSMEFPYLPTDPKPNNVTPISNALHEEDSPEDCDFSDTVLRYISQMLMEEDMEDKTCMLQGSLDLQAAEKSFYEVLGKKYPPSPEPNHASTSQNSENPYYSFTRNCNDDNNGVSHLDDTTCIQNLSYYNSFRPQTLRVSTISQSSYSSSNSVITSIDGLVDSPSSIFQISDWSNESQSISQFMKGVEEASKFLPNGDDLFRNIEVNRFLSREPKVRISEVTVKEERKDGGEYSPCGPRGRKSIHREDADIEEGRSSKQPAIYADSDMEPHVFDRLLLYSAGEGLKDLKDLCEALRNTAIKNDQNHNVQTKRSSGGKVQRKKHNGKKDVVDLRTLLINCAQAVAADDRLSANELLKQVRRHSSPFGDGNQRLAHCFADSLESRMAGTGSQIYKGLVSRRTSAANILKAYHLYLAACPFLKLSNFVSNKTIKNLSANSKRLHIIDFGILYGFQWPTLIQRLSWRPGGPPNVRITGIDFPQPGFRPAERVEETGCRLATYAKKFNVPFEYNAIAKKWETIKLEELKIDREEVIIVTCFYRARNLLDETVAVDSQKDIVLNLVRKINPDFFIHAIINGAYNAPFFVTRFKEALFHFSSLFDMLDTIVPRDYQERMLIEKEIFGREALNVVACEGWERVERPETYKQWQVRTLRAGFVQLSFGREIVKCATDKVRKLYHKDFLIDEDGRWLLQGWKGRIIYSLSIWRPT >Manes.08G089000.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:23888972:23926719:1 gene:Manes.08G089000.v8.1 transcript:Manes.08G089000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPRLRGFSSSLNGVQLGNRSLPVLSNQSCVSGQKFENSFVDHKSMEFPYLPTDPKPNNVTPISNALHEEDSPEDCDFSDTVLRYISQMLMEEDMEDKTCMLQGSLDLQAAEKSFYEVLGKKYPPSPEPNHASTSQNSENPYYSFTRNCNDDNNGVSHLDDTTCIQNLSYYNSFRPQTLRVSTISQSSYSSSNSVITSIDGLVDSPSSIFQISDWSNESQSISQFMKGVEEASKFLPNGDDLFRNIEVNRFLSREPKVRISEVTVKEERKDGGEYSPCGPRGRKSIHREDADIEEGRSSKQPAIYADSDMEPHVFDRLLLYSAGEGLKDLKDLCEALRNTAIKNDQNHNVQTKRSSGGKVQRKKHNGKKDVVDLRTLLINCAQAVAADDRLSANELLKQVRRHSSPFGDGNQRLAHCFADSLESRMAGTGSQIYKGLVSRRTSAANILKAYHLYLAACPFLKLSNFVSNKTIKNLSANSKRLHIIDFGILYGFQWPTLIQRLSWRPGGPPNVRITGIDFPQPGFRPAERVEETGCRLATYAKKFNVPFEYNAIAKKWETIKLEELKIDREEVIIVTCFYRARNLLDETVAVDSQKDIVLNLVRKINPDFFIHAIINGAYNAPFFVTRFKEALFHFSSLFDMLDTIVPRDYQERMLIEKEIFGREALNVVACEGWERVERPETYKQWQVRTLRAGFVQLSFGREIVKCATDKVRKLYHKDFLIDEDGRWLLQGWKGRIIYSLSIWRPT >Manes.15G046100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3510524:3512577:1 gene:Manes.15G046100.v8.1 transcript:Manes.15G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLAPPYQLLELNVISAQDLAKVTRKMKTYAVAWVHPDRKLSTRVDTPGHNNPTWNDKFVFRVDNDFIYGETSAIMIDIYALHWFRDVHVGTVRVIVGNLIPPVQFPRQHKQHHVHLGMRFVALQIRRRSGRPQGILNIGVALLDSTKRSMPLYSQDASAVGYHHLMGETDKNNKKKDDDKSSDSQNQFLLPWNPMPELRRTKSDSSSMIGFDAVPKKTINKKKTGSMLNGSAYDKPNSKASSMITGSDIFNKGKNGKPDLTSSGSTYGALTRAKYRTNVSGGNKVKGRNKNPSSSDDGSLSKFNLGKLQFGAPKKKNLHGGGSLIAESELGPSASEVAAAMMRKKNHYAVEDSESEIMGSWSLESDMEGLQSKLERWRTEIPPGYAHSDFSSLCNSSIVGNRRVVTKHNRRSSESDGVFSCFGTICGVECSIVCGDPGDGEPSPRKESGRVKRSSSVGSFSLL >Manes.18G003500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:738498:743800:1 gene:Manes.18G003500.v8.1 transcript:Manes.18G003500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMDFSPPFTVLEGGYSKVNASSMEHPNSENLDNVKQTANAKPPRNLSVMRHSMNAMRMLDAADLATDVGVVSKSPSDEKSNFLPVFRSGSCAEGGPKQYMEDEHVCIDNLTEYLGAPSNFPSPGAFYGVFDGHGGTDAASFVRNNILTFITEDSHFPICMEKAIKSAFVKADYAFADDSELDISSGTTALTAIIFGRTLIVANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDAERCSRELVREALKRSTCDNVTVIVVCFSLDPPPRIEIPQFRVRRSISSEGLNLLKGVLNSNS >Manes.18G003500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:738498:743843:1 gene:Manes.18G003500.v8.1 transcript:Manes.18G003500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMDFSPPFTVLEGGYSKVNASSMEHPNSENLDNVKQTANAKPPRNLSVMRHSMNAMRMLDAADLATDVGVVSKSPSDEKSNFLPVFRSGSCAEGGPKQYMEDEHVCIDNLTEYLGAPSNFPSPGAFYGVFDGHGGTDAASFVRNNILTFITEDSHFPICMEKAIKSAFVKADYAFADDSELDISSGTTALTAIIFGRTLIVANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDAERCSRELVREALKRSTCDNVTVIVVCFSLDPPPRIEIPQFRVRRSISSEGLNLLKGVLNSNS >Manes.18G003500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:738498:743801:1 gene:Manes.18G003500.v8.1 transcript:Manes.18G003500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEHVCIDNLTEYLGAPSNFPSPGAFYGVFDGHGGTDAASFVRNNILTFITEDSHFPICMEKAIKSAFVKADYAFADDSELDISSGTTALTAIIFGRTLIVANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDAERCSRELVREALKRSTCDNVTVIVVCFSLDPPPRIEIPQFRVRRSISSEGLNLLKGVLNSNS >Manes.18G003500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:738353:743801:1 gene:Manes.18G003500.v8.1 transcript:Manes.18G003500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMDFSPPFTVLEGGYSKVNASSMEHPNSENLDNVKQTANAKPPRNLSVMRHSMNAMRMLDAADLATDVGVVSKSPSDEKSNFLPVFRSGSCAEGGPKQYMEDEHVCIDNLTEYLGAPSNFPSPGAFYGVFDGHGGTDAASFVRNNILTFITEDSHFPICMEKAIKSAFVKADYAFADDSELDISSGTTALTAIIFGRTLIVANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEEDEFLILGCDGLWDVMSSQCAVTIARKELMLHNDAERCSRELVREALKRSTCDNVTVIVVCFSLDPPPRIEIPQFRVRRSISSEGLNLLKGVLNSNS >Manes.09G150601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34787135:34788213:1 gene:Manes.09G150601.v8.1 transcript:Manes.09G150601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDSPQQRAGIYVGEVNKVKYVIHFITTGTGAFVGSIGGRLRLFYSKIKSCKRCKECGYAVNRGVVKTCLGCFLSGGIVQVEYYNFFSLEPREVVNTAYSLLEHGFGEYDVLANNCEHFATLCKVGEPRSRQVEKVGHAVTRRLPVLITAP >Manes.02G001425.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:181079:181792:-1 gene:Manes.02G001425.v8.1 transcript:Manes.02G001425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAPSDQEILISANEYAQFIQYQASLKSSNSPSITAIAESGNSTACLVSSSSKWVINSGATDHKSGNSTLLSNLESHASSSYVTLVDGTKFFVMGSGYVNLTPSLSVSSVLCLPNFAFNLFSVSKLTHALNCCVSFFPDHCIFQNFSTKHIIGRGRESEGLYILDQQLPRSLACSTLLTPFDVHCRLGHPSLSALKKLYPQLYSLPVLDCESCQFAKLHRLPSVSRVNKRTSSPL >Manes.10G137425.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30519714:30522901:1 gene:Manes.10G137425.v8.1 transcript:Manes.10G137425.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCLESDREALLDFRNGLLDPGNRLSSWQGTNCCQWHGIVCDGDTGAVITVDLHNPHPPKVGKLDLDYPLPSDSSASDRHLDLSFNSFSDIPIPSFFYSWKNLEYLNLSNAGFRGVIPPNLGNLTSLQSLDVTNYDLHVLRAENLDWVTGLVSLKYLAMSGVNLSRIGSNWVGQLNKLPHLTGLHLYACLLSGTISSPISVNFTSLAVIDLSFNPFNSRFPVWLANISSLVSIDLSFSGLNVGRLPHVFSELPNLRFLRLVNTFKKARCSEILRGSWKKIEVLDLTLNRLYGELPASLGNMTSLTHLSLYWNNIQGWIPSSIGKLCNLKFLSLRFNNLTGNIPDFQGESVNCPFEIPFPSLQILVLSSNQLVGQLPYWLGSLKNLVVLDLEYNSLQGPIPVLDNLKKLVVLKLAENELNGTLPDSLQQLSELYELDVSNNHLTGIVSESHFSKLSKLKDLDLSGNFFILNVTSFWVPPFLLESLYISSCLLNSSFPVWLKSQSNIIYLHFSNVSVSGIVPDWFWVMSANLKDLNASFNQLQGNQLTGEIPISVGEMLSAAVIDLSRNNLTGSIPSSLGNCSSLEVLDLQKNFLSGKVPGSLSQLNMLQTLHLSSNKLSGEIPSFFHNWSSLETLDLGDNRLTGNIPPWTGSVFPNLRILSLRSNALSGEIPSELSDLSSLQILDLAENELNGTIPSSFVNLRAMVQIQRINHYLFYGMSFRHYYEESYSANIKNQHQTFNKILSILTSLDLSGNNLHGQIPEELMKLAGLAVLNLSGNHLTGEIPESISELNQLLSLDLSSNKLSGPIPPSISSLSYLGYLNLSNNNLSGEIPFQGHITTFDAPSFAGNPALCGAPLDVNCTGNELDNGRRRADEDDSNNGFIDQWLYLSIGLGFAAGVIVPFLVLAIRRSWSHAYFLLVDRTVEEILSLARKVVVHWRNHRRFRV >Manes.12G101402.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:26913927:26920771:-1 gene:Manes.12G101402.v8.1 transcript:Manes.12G101402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNFDPHIQHKIRYCKVRIIKVFTISDWGQEPHKSKDIALTNGQMTKFNYYDYQNAWERTFLKQNDQLSISFFFYISDDFSYPIPYWFHQWWNKFGLDLTIIPEPIVSAQDQFFENTQLPENILLSPKWLIYSHLFHIPWIYMAEYQIKDYTLNNFQIPNLVRKHKIKWWPKTDLANCGPKAVDHFLDSQPQYAQRLSPIQITKQETFFARKQQIMAQMAKCVSEEEYDKLLEEIKETRSSVSSPVDLSTDNDDFFTQA >Manes.16G091300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29753458:29756831:1 gene:Manes.16G091300.v8.1 transcript:Manes.16G091300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDEVVEIDSLEKGLLTESRGGVASDESQCNDETVLYAASFEEMEEKFVKYQTAQWVMYSLMLMLAWGIGIFMLLYLPVRRYILRKDIRSRKLYLTPHAIVYEVTKPVSFPCFGVLKREKHVLLSSVADVIIEQGYLQSLFGVYSLRIENAGVRRSPSDDVQIQGIGNPNAFKKAVLTRLSNMRSELGSKHTIEDILRIGHSSSSLMSPLKSLKHNLPPHSGSLMLLQKLEDVENSVKRVQILIEEQHS >Manes.16G091300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29753459:29757887:1 gene:Manes.16G091300.v8.1 transcript:Manes.16G091300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDEVVEIDSLEKGLLTESRGGVASDESQCNDETVLYAASFEEMEEKFVKYQTAQWVMYSLMLMLAWGIGIFMLLYLPVRRYILRKDIRSRKLYLTPHAIVYEVTKPVSFPCFGVLKREKHVLLSSVADVIIEQGYLQSLFGVYSLRIENAGVRRSPSDDVQIQGIGNPNAFKKAVLTRLSNMRSELGSKHTIEDILRIGHSSSSLMSPLKSLKHNLPPHSGSLMLLQKLEDVENSVKRVQILIEEQHS >Manes.16G091300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29753459:29757887:1 gene:Manes.16G091300.v8.1 transcript:Manes.16G091300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDEVVEIDSLEKGLLTESRGGVASDESQCNDETVLYAASFEEMEEKFVKYQTAQWVMYSLMLMLAWGIGIFMLLYLPVRRYILRKDIRSRKLYLTPHAIVYEVTKPVSFPCFGVLKREKHVLLSSVADVIIEQGYLQSLFGVYSLRIENAGVRRSPSDDVQIQGIGNPNAFKKAVLTRLSNMRSELGSKHTIEDILRIGHSSSSLVWQMSPLKSLKHNLPPHSGSLMLLQKLEDVENSVKRVQILIEEQHS >Manes.14G125500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9970114:9972138:-1 gene:Manes.14G125500.v8.1 transcript:Manes.14G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHNSHPQREAMTSFYSMFPLLFFLLLSFSSANSAHPHKDFLQCLRLQSRNSASISKVIYTSVNSSYLSVLQFLIQNRRFNTTNTPKPLVIVTPLSVSHVQDAVICSQKYGLQVRVRSGGHDYEGLSYVSPLPFVIIDLINLHSVSVDATKENAWVEAGANLGRVYYYIAKKSRTLGFPAGVCPTVGTGGHISGGGYGVLQRKYGLAADNVIDAKLIDVNGRILDRASMGEDLFWAIRGGGGNTFGIVVAWKLNLVPVPETVTVFTVEKTLEQNAIHILNRWQYVADKLHKDLFIRVIIERVNSTSQRGKPTVRAAFNSLFLGGVDRLLPMMQKSFPELGLVKEDCTEMRWIESVPYFAEFPRKTSLEILLNRTQPSVRFLKAKTDYVKKPMPKVALEGIWQRLSELEVESGQLIFTPYGGRMSEISESSIPFPHRAGNVYQIQHLVYWDKEGREETRRHINWIRDLYRFLTPFVSKNPRLAYVNYRDLDIGVNNMHGNTSYKQASKWGIKYYNMNFDRLVQVKTKVDPANFFRNEQSIPPLS >Manes.18G085400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7896093:7897632:1 gene:Manes.18G085400.v8.1 transcript:Manes.18G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILVVIVGAGPAGLATSACLTYMNIPNLVLEREDCYASLWKKRTYDRLKLHLGKQFCELPHLPFPTDAPTFIPKNGFISYLDDYVSHFRLNIRYCRSVESAFFDEGSKKWRVVVENKASNVTEVYSSKYLVVATGENSEGVIPDGVPGLDSFQGKCLHSSKYENGEVFRGKDVLVVGCGNSGMEIGFDLYNHGAHTSIVARGPVHMVNKDIVLMGMIMLQYLPCSIVDPIIQMMSRYKFGDLSKYGFQKPKEGPFSLKLITGRSPTIDVGAIEKIKSGEIQVFPPITSVNGKKIKFENGKINSFDAVILATGYKSTVRNWLKGGNELFDDRGMSKQSFPNHWKGSNGLYCAGFSSRGLAGISSDAKNIATDISFVME >Manes.08G091600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30896921:30901211:-1 gene:Manes.08G091600.v8.1 transcript:Manes.08G091600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSFLWSLAKNYFTVGLIGLTISDRYASIVPVRGNSMSPTFNPQTSTFLGSSDDDYVLLEKFCLQKYKFSHGDVVVFSSPSNHKEKLIKRIIGLPGDWIGTHSHDVIKVPEGHCWVEGDNLLSSMDSKSFGPCK >Manes.08G091600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30896921:30901212:-1 gene:Manes.08G091600.v8.1 transcript:Manes.08G091600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSFLWSLAKNYFTVGLIGLTISDRYASIVPVRGNSMSPTFNPQTSTFLGSSDDDYVLLEKFCLQKYKFSHGDVVVFSSPSNHKEKLIKRIIGLPGDWIGTHSHDVIKVPEGHCWVEGDNLLSSMDSKSFGPIPLGLINGRVTHIVWPPPRMGGVETRIPVGRFSSN >Manes.08G091600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30896921:30901211:-1 gene:Manes.08G091600.v8.1 transcript:Manes.08G091600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSFLWSLAKNYFTVGLIGLTISDRYASIVPVRGNSMSPTFNPQTSTFLGSSDDDYVLLEKFCLQKYKFSHGDVVVFSSPSNHKEKLIKRIIGLPGDWIGTHSHDVIKVPEGHCWVEGDNLLSSMDSKSFGPCK >Manes.08G091600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30896921:30901211:-1 gene:Manes.08G091600.v8.1 transcript:Manes.08G091600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSFLWSLAKNYFTVGLIGLTISDRYASIVPVRGNSMSPTFNPQTSTFLGSSDDDYVLLEKFCLQKYKFSHGDVVVFSSPSNHKEKLIKRIIGLPGDWIGTHSHDVIKVPEGHCWVEGDNLLSSMDSKSFGPIPLGLINGRVTHIVWPPPRMGGVETRIPVGRFSSN >Manes.06G136500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26412522:26414323:1 gene:Manes.06G136500.v8.1 transcript:Manes.06G136500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKFGVKKGPWTAEEDKKLVNFILTHGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLHKQANPEAAPCKNIKYDGDQQEIPNNNYAQASSFSSDSSSTTLTESSEPVSDDDPLMSYILSNTFLEDSTWDFHFPVMREEYCEFGMSSSEGIGDDYFGLGRFNDVDLSALDMSNKH >Manes.06G136500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26412522:26414323:1 gene:Manes.06G136500.v8.1 transcript:Manes.06G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKFGVKKGPWTAEEDKKLVNFILTHGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLHKQANPEAAPCKNIKYDGDQQEIPNNNYAQASSFSSDSSSTTLTESSEPVSDDDPLMSYILSNTFLEDSTWDFHFPVMREEYCEFGMSSSEGIGDDYFGLGRFNDVDLSALDMSNKH >Manes.02G135100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10284541:10294023:1 gene:Manes.02G135100.v8.1 transcript:Manes.02G135100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFERGPRAFIDGTVQLVQEYIADGPSMSQCASAYISERLAVLTTLRRVLATFLAEVYMEVDRCGEELVKDPEKSFPSLLVNVDSLFSNLEASIGHLHAARGADSSVGGSYSFPLLFDTLPEVNQGGSQWTKCEIADAINLVNKNIQKLDSYLSIIVAKHQKPKKVTQYWIRYTCGAVGLSVCSMWLLRHSRLMGSPDIDNWIRGAKDSTVSFFNEHVEQPLLSIRDELFETFRKRDKGVMELEEVQLTANSLHRMLLTFSEQTKGQKFPENASDQEMLEIVMARYEKELMHPIQNLLSGELARALLIQVQKLKLDIETAMLELDQILKANEINFAILAALPAFFISIVLLMLVRAWFKQDTKAEGRGRIARRQRRLILVEVEKKILQYQTFVDQGLEKESQCMFGLMLSSLDRLFHAVERHAKATGEWRCLRQDIIDLGKPSLQTTYKLVVTSRMERVYECLLPSLKQQ >Manes.02G135100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10284541:10294023:1 gene:Manes.02G135100.v8.1 transcript:Manes.02G135100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHPSQDESKEVKTLVSFYSHYLWNRFSTILPSFYSNLLGKISNLRRRTARASSRRRRPCLPLPLPSYSPESSLVVKEASVVYEVLEDIMGHILVNLHNVEKNLRFWQSRAEGSNSRKLYFMIFERGPRAFIDGTVQLVQEYIADGPSMSQCASAYISERLAVLTTLRRVLATFLAEVYMEVDRCGEELVKDPEKSFPSLLVNVDSLFSNLEASIGHLHAARGADSSVGGSYSFPLLFDTLPEVNQGGSQWTKCEIADAINLVNKNIQKLDSYLSIIVAKHQKPKKVTQYWIRYTCGAVGLSVCSMWLLRHSRLMGSPDIDNWIRGAKDSTVSFFNEHVEQPLLSIRDELFETFRKRDKGVMELEEVQLTANSLHRMLLTFSEQTKGQKFPENASDQEMLEIVMARYEKELMHPIQNLLSGELARALLIQVQKLKLDIETAMLELDQILKANEINFAILAALPAFFISIVLLMLVRAWFKQDTKAEGRGRIARRQRRLILVEVEKKILQYQTFVDQGLEKESQCMFGLMLSSLDRLFHAVERHAKATGEWRCLRQDIIDLGKPSLQTTYKLVVTSRMERVYECLLPSLKQQ >Manes.02G135100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10283152:10294023:1 gene:Manes.02G135100.v8.1 transcript:Manes.02G135100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTIFGSANFHKALTLHRVKYCLKEFMKTLQVFVSPEVQNCNCSIKNFILEMVVKEASVVYEVLEDIMGHILVNLHNVEKNLRFWQSRAEGSNSRKLYFMIFERGPRAFIDGTVQLVQEYIADGPSMSQCASAYISERLAVLTTLRRVLATFLAEVYMEVDRCGEELVKDPEKSFPSLLVNVDSLFSNLEASIGHLHAARGADSSVGGSYSFPLLFDTLPEVNQGGSQWTKCEIADAINLVNKNIQKLDSYLSIIVAKHQKPKKVTQYWIRYTCGAVGLSVCSMWLLRHSRLMGSPDIDNWIRGAKDSTVSFFNEHVEQPLLSIRDELFETFRKRDKGVMELEEVQLTANSLHRMLLTFSEQTKGQKFPENASDQEMLEIVMARYEKELMHPIQNLLSGELARALLIQVQKLKLDIETAMLELDQILKANEINFAILAALPAFFISIVLLMLVRAWFKQDTKAEGRGRIARRQRRLILVEVEKKILQYQTFVDQGLEKESQCMFGLMLSSLDRLFHAVERHAKATGEWRCLRQDIIDLGKPSLQTTYKLVVTSRMERVYECLLPSLKQQ >Manes.02G135100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10284541:10294023:1 gene:Manes.02G135100.v8.1 transcript:Manes.02G135100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHPSQDESKEVKTLVSFYSHYLWNRFSTILPSFYSNLLGKISNLRRRTARASSRRRRPCLPLPLPSYSPESSLVVKEASVVYEVLEDIMGHILVNLHNVEKNLRFWQSRAEGSNSRKLYFMIFERGPRAFIDGTVQLVQEYIADGPSMSQCASAYISERLAVLTTLRRVLATFLAEVYMEVDRCGEELVKDPEKSFPSLLVNVDSLFSNLEASIGHLHAARGADSSVGGSYSFPLLFDTLPEVNQGGSQWTKCEIADAINLVNKNIQKLDSYLSIIVAKHQKPKKVTQYWIRYTCGAVGLSVCSMWLLRHSRLMGSPDIDNWIRGAKDSTVSFFNEHVEQPLLSIRDELFETFRKRDKGVMELEEVQLTANSLHRMLLTFSEQTKGQKFPENASDQEMLEIVMARYEKELMHPIQNLLSGELARALLIQVQKLKLDIETAMLELDQILKANEINFAILAALPAFFISIVLLMLVRAWFKQSGY >Manes.02G135100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10284541:10294023:1 gene:Manes.02G135100.v8.1 transcript:Manes.02G135100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHILVNLHNVEKNLRFWQSRAEGSNSRKLYFMIFERGPRAFIDGTVQLVQEYIADGPSMSQCASAYISERLAVLTTLRRVLATFLAEVYMEVDRCGEELVKDPEKSFPSLLVNVDSLFSNLEASIGHLHAARGADSSVGGSYSFPLLFDTLPEVNQGGSQWTKCEIADAINLVNKNIQKLDSYLSIIVAKHQKPKKVTQYWIRYTCGAVGLSVCSMWLLRHSRLMGSPDIDNWIRGAKDSTVSFFNEHVEQPLLSIRDELFETFRKRDKGVMELEEVQLTANSLHRMLLTFSEQTKGQKFPENASDQEMLEIVMARYEKELMHPIQNLLSGELARALLIQVQKLKLDIETAMLELDQILKANEINFAILAALPAFFISIVLLMLVRAWFKQDTKAEGRGRIARRQRRLILVEVEKKILQYQTFVDQGLEKESQCMFGLMLSSLDRLFHAVERHAKATGEWRCLRQDIIDLGKPSLQTTYKLVVTSRMERVYECLLPSLKQQ >Manes.13G057000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6615498:6616467:1 gene:Manes.13G057000.v8.1 transcript:Manes.13G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNKHQKRTKGRRKIEIKPIEAKSNLQVTFSKRRAGLVKKASELSLLSGAQVAVIAFSPGKKVFAFGHPNVETVLDRYLNEGFDTKEEDPMNNLSNNPSIQQWNREYEEAVRELEEEKKCLAMIQEWNKMRESDLNSGFWWDDCVDDMGVEELEEYVRAMKELRKNVGIKANELMMANQNIGNALGSFGFGDEPF >Manes.15G048200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3739625:3751561:-1 gene:Manes.15G048200.v8.1 transcript:Manes.15G048200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPSSRKQEHLEAGKRRLEEFRKKKAADRAKKAAATSQPLASDVSGDQKQPLEAEHVRITDSDGAGTSDGPGRPVESCNGFINNDSNITNRTTKAAKNFLEGAVAKPLSENDSTTSLADLLQRRTNNHDYKGYDASGFTGLGVNNYKGTEEMSNDSKMYAGRQGQVSYGKFSDQSITSHSQEGLGFDSSYSQYTPFQSTENNNFLKDYAFTSPQNSASQLKIKGNYPSTLATDVDFIQPSNNLRGSNLEVEQDMSGGNLHFNGSMNSDIGERKFSSLHSSFPSVHGRDVQTFESVSSSSDIRSSTNHVQQFPGTSEPNSRRSRPSFLDSLNVPRAPSALQSTEPQKQQSIPSTLNSDGMDDPGSSAFQKATAQNESVGEQLMKFSVSSSNGFDLMSQNVNENGLERKHELYSSKQNEDFAALEQHIEDLTQEKFSLQRALDASRALAESLAAENSSLTDNYNQQRIVVSQLKSEMEKLQEEIKALLVELDSVKMEYANAKLECNAADERGKILASEVIGLEEKALRLRSNELKLERQLENSHTEIASYKKKMSSLEKDRQDLQSTIDALQEEKKLLQSKLRKASASGKSIDVSKSHSNKRDMSTTTEDLDASPNTSNQEINAASLVGSDSPSFPMLPESSQSNLEVSSAYIPLDQMRMIENINTLISELAIEKEELIQALASESAQHSRLKDLNNELSRKLEAQTQRLELLTAQRMANENIPSRLPDSRTAQDNTTYADEGDEVVERVLGWIMKLFPGGPSRRRTSKLI >Manes.15G048200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3739660:3751141:-1 gene:Manes.15G048200.v8.1 transcript:Manes.15G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPSSRKQEHLEAGKRRLEEFRKKKAADRAKKAAATSQPLASDVSGDQKQPLEAEHVRITDSDGAGTSDGPGRPVESCNGFINNDSNITNRTTKAAKNFLEGAVAKPLSENDSTTSLADLLQRRTNNHDYKGYDASGFTGLGVNNYKGTEEMSNDSKMYAGRQGQVSYGKFSDQSITSHSQEGLGFDSSYSQYTPFQSTENNNFLKDYAFTSPQNSASQLKIKGNYPSTLATDVDFIQPSNNLRGSNLEVEQDMSGGNLHFNGSMNSDIGERKFSSLHSSFPSVHGRDVQTFESVSSSSDIRSSTNHVQQFPGTSEPNSRRSRPSFLDSLNVPRAPSALQSTEPQKQQSIPSTLNSDGMDDPGSSAFQKATAQNESVGEQLMKFSVSSSNGFDLMSQNVNENGLERKHELYSSKQNEDFAALEQHIEDLTQEKFSLQRALDASRALAESLAAENSSLTDNYNQQRIVVSQLKSEMEKLQEEIKALLVELDSVKMEYANAKLECNAADERGKILASEVIGLEEKALRLRSNELKLERQLENSHTEIASYKKKMSSLEKDRQDLQSTIDALQEEKKLLQSKLRKASASGKSIDVSKSHSNKRDMSTTTEDLDASPNTSNQEINAASLVGSDSPSFPMLPESSQSNLEVSSAYIPLDQMRMIENINTLISELAIEKEELIQALASESAQHSRLKDLNNELSRKLEAQTQRLELLTAQRMANENIPSRLPDSRTAQDNTTYADEGDEVVERVLGWIMKLFPGGPSRRRTSKLI >Manes.15G048200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3739605:3751335:-1 gene:Manes.15G048200.v8.1 transcript:Manes.15G048200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPSSRKQEHLEAGKRRLEEFRKKKAADRAKKAAATSQPLASDVSGDQKQPLEAEHVRITDSDGAGTSDGPGRPVESCNGFINNDSNITNRTTKAAKNFLEGAVAKPLSENDSTTSLADLLQRRTNNHDYKGYDASGFTGLGVNNYKGTEEMSNDSKMYAGRQGQVSYGKFSDQSITSHSQEGLGFDSSYSQYTPFQSTENNNFLKDYAFTSPQNSASQLKIKGNYPSTLATDVDFIQPSNNLRGSNLEVEQDMSGGNLHFNGSMNSDIGERKFSSLHSSFPSVHGRDVQTFESVSSSSDIRSSTNHVQQFPGTSEPNSRRSRPSFLDSLNVPRAPSALQSTEPQKQQSIPSTLNSDGMDDPGSSAFQKATAQNESVGEQLMKFSVSSSNGFDLMSQNVNENGLERKHELYSSKQNEDFAALEQHIEDLTQEKFSLQRALDASRALAESLAAENSSLTDNYNQQRIVVSQLKSEMEKLQEEIKALLVELDSVKMEYANAKLECNAADERGKILASEVIGLEEKALRLRSNELKLERQLENSHTEIASYKKKMSSLEKDRQDLQSTIDALQEEKKLLQSKLRKASASGKSIDVSKSHSNKRDMSTTTEDLDASPNTSNQEINAASLVGSDSPSFPMLPESSQSNLEVSSAYIPLDQMRMIENINTLISELAIEKEELIQALASESAQHSRLKDLNNELSRKLEAQTQRLELLTAQRMANENIPSRLPDSRTAQDNTTYADEGDEVVERVLGWIMKLFPGGPSRRRTSKLI >Manes.03G078116.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21163751:21174060:-1 gene:Manes.03G078116.v8.1 transcript:Manes.03G078116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLINPNPIVYEKKECRVRSVPSNVDEYAVEPIDQLEIFDILSLPNICVDIKDPEHPYSLEELKVITEDAIEVDDKNSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRYKVDIRVAPGTHATEAAVNKQLNDKERVAAALENPNLVAMVDECLAQSFA >Manes.07G143232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34359997:34361507:-1 gene:Manes.07G143232.v8.1 transcript:Manes.07G143232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCPKLTLYVYLYQVESLPEWLGCCLPVDGQLMLFEVCTRVDCLNLKIKRLQDNFRCRAALFSLQFSAFIELSWENKENCSTEHKDK >Manes.15G159200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13170612:13173835:-1 gene:Manes.15G159200.v8.1 transcript:Manes.15G159200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPLDYVEPFGKAGASGFTFHVEASRENWRELIQRIKSKGMRPGVALKPGTPIEEVYPLVECENPVEMVLVMTVEPGFGGQKFMPDMMDKVKTLRKKYPSLDIEVDGGLGPSTIDMAASAGANCIVAGSSVFGAPEPAHVISLMRKSIEDSQKNN >Manes.15G055700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4269604:4284079:-1 gene:Manes.15G055700.v8.1 transcript:Manes.15G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRARGEFVLQRLSLFIAIHLLLASANFFKPFNVTYDHRALIIDGHRRMLISGGIHYPRATPEMWPDLIAKSKEGGVDVIQTYVFWNGHEPVRGQYFFEGRFDIVKFVKLVGATGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIVFRTDNAPFKEEMQRFVKRIVDLMREEMLFSWQGGPIILLQIENEYGDVEHSFGPGGKEYVKWAARMALGLGAGVPWIMCKQTDAPENIIDTCNAYYCDGFKPNSHNKPILWTEDWDGWFTTWGGRLPHRPVEDLAFAVARFFERGGSFQNYYMYFGGTNFGRTAGGPFYITSYDYDAPIDEYGLLSEPKWGHLKDLHAAIKLCEPALVASDSPQYIKLGSRQEAHVYRTDAHTDALNSTWHGSQSGCSAFLANIDEHKAATVRFLGQSYTLPPWSVSILPDCRNVVFNTAKVAAQTSIKTIELALPYISDVSAPQQFMAQNEDSHIQLSWLAVKEPFSIWSENNFTVEGILEHLNVTKDQSDYLWYFTRIYVSNDDIAFWEENKVRPTVSIDSMRDVLHIFINGQLTSSMIGRWDKVVQPVKFQKGYNDFVLLSQTVGLQNGGAFLERDGAGFRGQIKLTGFKDGDIDLSKLLWTYQVGLLGEYLKIYTQENSEMVEWTDLTHDDIPSTFTWYKTYFGAPGGADPVALDLRSMGKGQAWVNGHHIGRYWTLVAPEDGCQRNCDYRGAYSSGKCTTNCGKPTQTWYHVPRSWLLESNNLLVIFEETGGNPLDISVKLRSDSVICAQVSETHYPPLEKWVHQDFIDGSISVKDMTPEMQLRCQDGHIISSIEFASYGTPQGSCRKFSKGNCHAPDSLSVVAKACQGRNKCNVTVSNAVFVDDPCRGIVKTLAVEARCASSMNISEY >Manes.15G055700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4269603:4284079:-1 gene:Manes.15G055700.v8.1 transcript:Manes.15G055700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRARGEFVLQRLSLFIAIHLLLASANFFKPFNVTYDHRALIIDGHRRMLISGGIHYPRATPEMWPDLIAKSKEGGVDVIQTYVFWNGHEPVRGQYFFEGRFDIVKFVKLVGATGLYLHLRIGPYVCAEWNFGGFPVWLRDIPGIVFRTDNAPFKIENEYGDVEHSFGPGGKEYVKWAARMALGLGAGVPWIMCKQTDAPENIIDTCNAYYCDGFKPNSHNKPILWTEDWDGWFTTWGGRLPHRPVEDLAFAVARFFERGGSFQNYYMYFGGTNFGRTAGGPFYITSYDYDAPIDEYGLLSEPKWGHLKDLHAAIKLCEPALVASDSPQYIKLGSRQEAHVYRTDAHTDALNSTWHGSQSGCSAFLANIDEHKAATVRFLGQSYTLPPWSVSILPDCRNVVFNTAKVAAQTSIKTIELALPYISDVSAPQQFMAQNEDSHIQLSWLAVKEPFSIWSENNFTVEGILEHLNVTKDQSDYLWYFTRIYVSNDDIAFWEENKVRPTVSIDSMRDVLHIFINGQLTSSMIGRWDKVVQPVKFQKGYNDFVLLSQTVGLQNGGAFLERDGAGFRGQIKLTGFKDGDIDLSKLLWTYQVGLLGEYLKIYTQENSEMVEWTDLTHDDIPSTFTWYKTYFGAPGGADPVALDLRSMGKGQAWVNGHHIGRYWTLVAPEDGCQRNCDYRGAYSSGKCTTNCGKPTQTWYHVPRSWLLESNNLLVIFEETGGNPLDISVKLRSDSVICAQVSETHYPPLEKWVHQDFIDGSISVKDMTPEMQLRCQDGHIISSIEFASYGTPQGSCRKFSKGNCHAPDSLSVVAKACQGRNKCNVTVSNAVFVDDPCRGIVKTLAVEARCASSMNISEY >Manes.04G134050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33495703:33496393:1 gene:Manes.04G134050.v8.1 transcript:Manes.04G134050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIDAAKGISMERNFGWRLTGLVLKIMVAGVSLHASHTLTSTCFLGKFSLSKNKRTHSCHNFHQIICNSDHSEIRIRISFSNDMTA >Manes.11G083400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12497202:12497804:1 gene:Manes.11G083400.v8.1 transcript:Manes.11G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVILFFLSSLFIKSLSSRVEPAKNNAHITVMGFVYCDICSNNSFSRHSYFLPGAGVKIDCKFKATSPKIREQVSFSVNRTTNRHGVYKLEVPSVDGIACAEVAMESSCEASLMWSSSKSCNVPGYKSTSDQITIKAKHPNLCIYSLNALNFRPSKADLTLCGK >Manes.15G075600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5707252:5709119:-1 gene:Manes.15G075600.v8.1 transcript:Manes.15G075600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MATMATALTSLSAKPQNHSLFDSSAFYGTPVAAPSVRMQPTKSTTAVSISMSASTPPPYNLSAFKFHPIKESIVAREMTRRYMTDMVTHADTDVVIVGAGSAGLSCAYELSKNPSVKVAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGIDYDEADNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKNGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIENVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKTLGLPNALEGTFTLRPEFVIAAADSAETAEA >Manes.04G150200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34643935:34646686:-1 gene:Manes.04G150200.v8.1 transcript:Manes.04G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSLFHFKAAQSQTSLNHNPLSSSSFSLSMATIFLLFFLSFLSFSSSSSTNDLPRTFIVKVQHDAKPSIFTLHKHWYDSFLSSLSSSSADQKTPSSSPPAESRIIHTYDTVFHGFSAKLSPTEALKLQTLPHVIAVIPERVRHVQTTRSPQFLGLKTTDSAGLLKESDFGSDLVVGVIDTGIWPERQSFNDRNLGPVPEKWKGMCVSGKDFSPASCNRKLIGARFFCNGYEATNGKMNESTEYRSPRDSDGHGTHTASIAAGRYVFPASTLGYAKGIAAGMAPKARLAAYKVCWNAGCYDSDILAAFDAAVNDGVDVISLSVGGVVVPYYLDAIAIGSFGAVDRGVFVSASAGNGGPGGLTVTNVAPWVATIGAGTIDRDFPADVKLGNGKVIPGMSVYGGPGLSPGKMYPLIYAGSEGTGDGYSSSLCLEGSLDPKLVKGKIVLCDRGINSRAGKGDVVKKAGGVGMILANGVFDGEGLVADCHVLPATAVGASAGDDIRRYISVASKSKSPPTATIVFKGTRLGVRPAPVVASFSARGPNPESNEILKPDVIAPGLNILAAWPDKVGPSGVPTDSRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIKSALMTTAYTVDNRGETMLDESTGNTSTVMDFGAGHVHPQKAMEPGLVYDISTFDYVDFLCNSNYTVNNIQVVTRKTADCSGAKRAGHAGNLNYPSMSAAFPQYGKHKMSTHFIRTVTNVGDPNSVYKVTIKAPSGTVVTVQPEKLVFRRIGQKLSFLVRVQTTAVKLSPGVSSLKSGSIVWSDGKHSVTSPLVVTMQQPL >Manes.06G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5194734:5196741:1 gene:Manes.06G024500.v8.1 transcript:Manes.06G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDYEYDSYEGYDAGNCYKESNFEATGKVSNYYGGNSKAIGPRQGKQSGNYQWTSRGSIVDKQTGSYMRATVKENHSTGDVFKERSTGRVGYKDETKSTCTFRAGDKHGYSEYQIEERYRRVDYRESNNTSGQKCRSTNAKYLQ >Manes.17G035600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21595953:21596420:-1 gene:Manes.17G035600.v8.1 transcript:Manes.17G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEHSSSDETYVNSQERRNLEARLEFSEEEEELVIRMFNLVGERWPLIAGRIPGKTAEEIEKYWKSRYSTSE >Manes.12G038900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3406593:3410614:1 gene:Manes.12G038900.v8.1 transcript:Manes.12G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPVIFDISSDEEPAFDEPRSGDDDHDWLTELLQTFDRETADSDEVVVVGEYNPPKPKSKSKSSKPSKDVDDDDCVVLDGDPDTPVGVVDDATSDGDDVLVVGQKGQIACRDYPHPRHLCAKFPFSSTPHERHCDLCHCYVCDSIAPCVHWGTGISNVDHCHATDKQEIWKNQRESFRLGKNAPVSVLKLPDAQLPLAFPQLNQVASFDVIQLPPNLGTQSQPSRPATVRACSSARLPNIISRNRNRRPGCDQSRNRLLPRIVSQQAVSAHNAAVPQDRGQQIISSNTMFKRAGIIRGPFSRNQSMYGIANNKNCAPASHYTRNNVSLAAINAKNPSGWQDDQPYMTSDSYTYLSPTQGSVTSNVVALQPDVYSQPTLQSNDGENIYQNQSQNFVNSIFPDLESDWFSNLSESNHQVSAENIHPHGTGSNNEPTTVKQFSTHFTGSTDLHQKNHDYESWLLGQSDAVVSAGCVPADLNAFSPELSGFDAGMLCFDF >Manes.03G157400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28567179:28571557:-1 gene:Manes.03G157400.v8.1 transcript:Manes.03G157400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLIKQLPFILTSFIVLLFSSSVVLASASHKSAAQIKGQEAEALLKWKDNLDEPTDCLLCSWSFVPHNSTTHTKTDISSSPCQWVGITCDESGNVTHINVSFSGLRGTLQSFNFALFPKLISLDLSHNFLHGSIPSYIGNLSKLTYLNLAVNNFSGSMPSEIGLMASLRYFLVYYNQITGSVPWTIGMLKSLVVLVFSHNSLTGPIPVSIGNLTELSFLNLRCNKLSGSIPWEIGKLGSLDVSLLSHNKLTGQLPASIGSMGNLTILHLHDNGLSGSIPGEIGMLRSLNLLVLTNNSLTGPIPATIANLKRLTGLYIANNKLTGFIPKELGSLTFLVELSLYSNSLQGLIPASIGNLSNLDFLDLGFNSLSGSIPPEVLFLKSLNWIGLNDNGLTGLIPASIANLGSIRFLYLYNNHLSGNIPRELGKLQSLVELDLSSNNLSGPVPASIGNLSNLTLLYLHVNMISGSIPSSIGNLSKLTELELSINHLSGQIPPELGKLKYLTDLRLFMNDLSGPIPQEMNNFTSLKSLQLADNLLSGHIPQNLCLGGALEIFVLGNNSFVGSIPKSLKNCTSLIRVGLERNQLDGHISEDFGIYPNLKFIDLSYNKLHGELSKNWGHCHNLTLLKFSNNRISGGIPPEVGKANQLQVLDLSENFLVGKIPNELGELKLLFNLKLNNNKLSGNIPPEIGTLSKLTDLSLAANDLRGLIPELKDLSKLQFLNLSKNRLSKSIPFQIVKFISLQMLDLSHNLLEGEIPLELGYLRNLQTLNLSHNELSGSIPSTFDEMLSLTVVDISFNQLEGPLPNNKAFSWAPMAALTNNKGLCGNSSGFTACPCTKISRMCRKDGRKAIVLIAVPLSTTLTLVFVISGVLAIVGKRARIVDEAKEAGNENIFAACSYDGKMVHENIIAATERFNSKYCIGVGGCGTVYEAKISTNQVVAVKKLHRFEGSEISSLKAFTSEICALTKIQHRNIVKLLGFCLHSQHSYLVYEYLGGGSLGKILQEKVKAEELKWITRINAVKGVASALSYMHHDCSPPIVHRDISSNNILMDMEQEAHISDFGTARILTLDSTHTTSFAGTFGYLAPELAYSMEVNEKSDVYSFGVVSLEVIMGRHPGDIILSLSTSTLSATCQILLKDILDQRLPQPTNKEAAEILSIVQLAFACLHANPASRPTMQQISQKLSVQVRALWKPLRMFSLGELL >Manes.13G153200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36738838:36742765:-1 gene:Manes.13G153200.v8.1 transcript:Manes.13G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVKRYLEKGEDDKNRSTVEGLPLRYFERFVMQGIHVDLIEPGRLVCSMKVPPRLLNAGNFLHGGATATLVDLLGSAVIYTVGAQFTGVSVEINVSYLDAAYVDEEIEIESRVLRVGKAVGVVSVELRKKKSGKIIAQGRHTKYLAVSSKM >Manes.10G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:797567:799886:-1 gene:Manes.10G006500.v8.1 transcript:Manes.10G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRENKRAALHEKLQLLRSITNSPALNKTSIIVDATKYIEELKQKVERLNQDIESSQASSQRNPLPMVTVETLEKGFLINVFVDKSCPGLLVFILEAFEELDLNVQEARVSCTDSFRLQAVGGENEEEGICIDGEVVKQAVLRAINNWSESTEQD >Manes.14G145164.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:13669321:13670425:1 gene:Manes.14G145164.v8.1 transcript:Manes.14G145164.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSSSGVSNMQDLRCYSASYASSVYPTQSQAQTQVGNNDVRFKKGKSASGSVSKSWSFNDPELQRKKRVASYKVYSVEGKVKGSFRKSFRWLKDR >Manes.13G016000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1851191:1854589:-1 gene:Manes.13G016000.v8.1 transcript:Manes.13G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMAGAASGSSAHNSVSSSSSSSSSSSSSSSFGSSLFNNYPLIAALIAFAIAQSTKLVTSWYKERRWDLKQLVGSGGMPSSHSATVTALAVAIGFQEGFGGSLFATALILACVVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVIAGGLLGIVTAIVGHIITLTSSYS >Manes.17G022075.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12153625:12155395:1 gene:Manes.17G022075.v8.1 transcript:Manes.17G022075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAVPGGTMYNHAPTQGPPSCSYCPWFPQKQLRFKQAHCLLRTLSTCSQPRLALHYFDIMLRDGNVRPQNHTFHFVLFACANACWNLLGRQIHCWVLKNGIILADGHIQTAVVRLYAGCNLINDARKTFDEIPLPDVVQWNVLMSGYARCNLASEALNAFRYMFVMGVEPDEFCVTTALMACAQSGALVQGKWIHEYIKKKSLEFDVFVGTALVDMYAKCGCLYMAVEVFEGMPKRNAFSWAAMIGGFAMHGHAREAIHCLERMQVEDRLKPDGVVLLGVLVACTHAGFQEEGLFLLDNMKARYGIMPRHEHYSCVVDLLCRAARWDEALALIKRMPMKPLASVWGAVLSSCRTHKNVELAELAVKELVKLDNGDITEEAAALVQLTNIYLSAEKGEKACTLRRTFGERRMNKPPGCSMIEVNGISNEFVSGDVSHKDLPQILAILELLLPDLIVG >Manes.17G022075.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12153654:12155333:1 gene:Manes.17G022075.v8.1 transcript:Manes.17G022075.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQILHGTAKLSIHSWKLWLFQAERCTTMRQLKALQAALTVHGFHRNSYALSKLIAFCALSRHGNLSYASLLFNQIEMPNLFIYNTLIRAYSRSSQPRLALHYFDIMLRDGNVRPQNHTFHFVLFACANACWNLLGRQIHCWVLKNGIILADGHIQTAVVRLYAGCNLINDARKTFDEIPLPDVVQWNVLMSGYARCNLASEALNAFRYMFVMGVEPDEFCVTTALMACAQSGALVQGKWIHEYIKKKSLEFDVFVGTALVDMYAKCGCLYMAVEVFEGMPKRNAFSWAAMIGGFAMHGHAREAIHCLERMQVEDRLKPDGVVLLGVLVACTHAGFQEEGLFLLDNMKARYGIMPRHEHYSCVVDLLCRAARWDEALALIKRMPMKPLASVWGAVLSSCRTHKNVELAELAVKELVKLDNGDITEEAAALVQLTNIYLSAEKGEKACTLRRTFGERRMNKPPGCSMIEVNGISNEFVSGDVSHKDLPQILAILELLLPDLIVG >Manes.06G115900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24691210:24692951:1 gene:Manes.06G115900.v8.1 transcript:Manes.06G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQIISKEIVKPSSSTPQHPRTYNLSLLDQIAPPIYVPMIFFYSSTGESSCKKSHHLKTSLSKTLDHFYPFAGRIKDGFSIDCNDEGASFSEANVAGKMSLIVDEPDIDKLEKLLPCNPRDVSPERSSQVMLAVQVNHFDCGGMAVGVCIWHIIADISAVASFLTSWAAVARGFGDDIEGVIFDCTSLFPPLDFQGFSYCESRKEDLSNIIVKRFVFDSSKLAALRQEIGSRRCLDPPTRFEAIAALIWRAVMAEIEEENENETKTNETSIAAVAVGMRKRMIPQLPKLSIGNMYEVALAYCSKNDEELPHYNVLARKLHESIGKVNNDNVKKICSDGGYMQLLRKIGEELGEKPNFVFSSWCKSQFYEVDFGWGKPTWVGTALKLYKIAVFMDTKDGEGIEAWVSLPKKDMMKFEQNPGIRAYSSFKPSTK >Manes.07G071148.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13658485:13659543:-1 gene:Manes.07G071148.v8.1 transcript:Manes.07G071148.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDSIFLCFVLLLTTLHSLQAVDYTVTNRAETTPGGIRFNNELGADYTKQTMASATDFIWRLFQQNTEADKKKVAQVSLFVDDMDGIAYASNNEIHVGANYINGIKGDIKWDFNGVLYHEMAHIWQWDGSAGTKAPGGLIEGVADFVRLKANYAPPNWVKPGDGKRWDEGYSVTAWFLDYCNGLRNGFVAELNKKMRDTYSDSFFVELLGKSVDQLWSEYKAKYGKN >Manes.10G120700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28581774:28583141:-1 gene:Manes.10G120700.v8.1 transcript:Manes.10G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSKILTSVDINKGLEIPHDRCDDVLAELQITGQRMEILVADMQGNPWNFVCFTKSGNKQLPKPVFKKGWLEFACHWNLAAGTTITFYKEIDQATGAQYKIRVR >Manes.02G094700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7407424:7414139:-1 gene:Manes.02G094700.v8.1 transcript:Manes.02G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLSSTVAVAAAAAAAVASLSSFSDRTYADGSFRFNPFSSSSSSSSSSHSSSQRQADHSSNEKAEADEPRGAGFDPEALERGAKALREINSSPYAKQVFDVMRKQEQTRLAELDAEKAHHEAIQAQIDIDRQRKLAEEQRNLVQQQAQAKAQMLRYEDELARKRMQTDHEAQRRHNVELVKMQEESSIRKEQARRATEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHKRRMLVERINGEREKWLAAINTTFSHIEGGFRTLLTDRNKLIMTVGGATALAAGVYTTREGARVTWGYINRILGQPSLIRESSIARFPFSGVLSFARNSASKYSTTAGTAVPSESKGGFKNIVLHPSLQRRIEHLARATANTKTHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWAKKSRKGLLLFIDEADAFLSERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERFKLLNLYLSKYLSHEGENESSWGSFFKKQPQKITIKDITEDVVREAARKTEGFSGREIAKLMASVQAAVYGRPDCVLDSQLFREIVDFKVAEHHQRIKLAAEGSQTA >Manes.02G094700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7407424:7414140:-1 gene:Manes.02G094700.v8.1 transcript:Manes.02G094700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESSIRKEQARRATEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHKRRMLVERINGEREKWLAAINTTFSHIEGGFRTLLTDRNKLIMTVGGATALAAGVYTTREGARVTWGYINRILGQPSLIRESSIARFPFSGVLSFARNSASKYSTTAGTAVPSESKGGFKNIVLHPSLQRRIEHLARATANTKTHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWAKKSRKGLLLFIDEADAFLSERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERFKLLNLYLSKYLSHEGENESSWGSFFKKQPQKITIKDITEDVVREAARKTEGFSGREIAKLMASVQAAVYGRPDCVLDSQLFREIVDFKVAEHHQRIKLAAEGSQTA >Manes.02G094700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7407424:7414140:-1 gene:Manes.02G094700.v8.1 transcript:Manes.02G094700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLSSTVAVAAAAAAAVASLSSFSDRTYADGSFRFNPFSSSSSSSSSSHSSSQRQADHSSNEKAEADEPRGAGFDPEALERGAKALREINSSPYAKQTDHEAQRRHNVELVKMQEESSIRKEQARRATEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEDHKRRMLVERINGEREKWLAAINTTFSHIEGGFRTLLTDRNKLIMTVGGATALAAGVYTTREGARVTWGYINRILGQPSLIRESSIARFPFSGVLSFARNSASKYSTTAGTAVPSESKGGFKNIVLHPSLQRRIEHLARATANTKTHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWAKKSRKGLLLFIDEADAFLSERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERFKLLNLYLSKYLSHEGENESSWGSFFKKQPQKITIKDITEDVVREAARKTEGFSGREIAKLMASVQAAVYGRPDCVLDSQLFREIVDFKVAEHHQRIKLAAEGSQTA >Manes.04G005300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:706393:714686:1 gene:Manes.04G005300.v8.1 transcript:Manes.04G005300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPIIVVKFNHSMFRRNFANSQEVKAAPVGWANGASKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGTVATFHFDMKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTAAKKVVPDIQQNQMPVKSSVDLGVTTKASEQVEDAKKGGGAAGNGKNKVASSAPISSPVKQREYRRPDGRKRIIPEAVGLPNQQEGITGGVQSQALDFPLVACNNGRDENGVIPADGGLREGYLRGTFGRSSDSKDRSGITARATITDSLVIEKVLGSSGRDGSINVEQSGSVKASSSSTAYTTPLSIRVFDKKVGEDTVPICLEARPREHTVNDIIGVGNTCMMKETEIVCTRGAQTLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPTMMMGSAATFIDCDECWKLLLVTRKGLLYVWDLLNQNCLIQDSLSSLVASDPNSSAKGIIKVISAKLSKSGSPLVVLATRHAFLFNMNLMCWLRVADDCFPASNFSSSWNLGSIQSGELAALQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAESTSSDAKNLAWDPCVLGVKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYESTEINLDKKNSTQPATSQPETNQMNIDQSATDQESTPPLTDQTNSALQATGQKGSIPITVNETSSTPLASDQVDSGQILTDQVIPDSPATDTGS >Manes.04G005300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:706487:714634:1 gene:Manes.04G005300.v8.1 transcript:Manes.04G005300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPSWVRHEGMQIFSIDIQPGGLRFATGGGDHKVRIWNMKSVSRDLENNEPTQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILVHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSILASGSLDNTVHIWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPIIVVKFNHSMFRRNFANSQEVKAAPVGWANGASKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGTVATFHFDMKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTAAKKVVPDIQQNQMPVKSSVDLGVTTKASEQVEDAKKGGGAAGNGKNKVASSAPISSPVKQREYRRPDGRKRIIPEAVGLPNQQEGITGGVQSQALDFPLVACNNGRDENGVIPADGGLREGYLRGTFGRSSDSKDRSGITARATITDSLVIEKVLGSSGRDGSINVEQSGSVKASSSSTAYTTPLSIRVFDKKVGEDTVPICLEARPREHTVNDIIGVGNTCMMKETEIVCTRGAQTLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPTMMMGSAATFIDCDECWKLLLVTRKGLLYVWDLLNQNCLIQDSLSSLVASDPNSSAKGIIKVISAKLSKSGSPLVVLATRHAFLFNMNLMCWLRVADDCFPASNFSSSWNLGSIQSGELAALQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAESTSSDAKNLAWDPCVLGVKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYESTEINLDKKNSTQPATSQPETNQMNIDQSATDQESTPPLTDQTNSALQATGQKGSIPITVNETSSTPLASDQVDSGQILTDQVIPDSPATDTGS >Manes.04G005300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:706393:714641:1 gene:Manes.04G005300.v8.1 transcript:Manes.04G005300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKPSWVRHEGMQIFSIDIQPGGLRFATGGGDHKVRIWNMKSVSRDLENNEPTQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILVHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSILASGSLDNTVHIWNMSNGICTAVLRGHSSLVKGVAWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPIIVVKFNHSMFRRNFANSQEVKAAPVGWANGASKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGTVATFHFDMKELGHRLSDAELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTAAKKVVPDIQQNQMPVKSSVDLGVTTKASEQVEDAKKGGGAAGNGKNKVASSAPISSPVKQREYRRPDGRKRIIPEAVGLPNQQEGITGGVQSQALDFPLVACNNGRDENGVIPADGGLREGYLRGTFGRSSDSKDRSGITARATITDSLVIEKVLGSSGRDGSINVEQSGSVKASSSSTAYTTPLSIRVFDKKVGEDTVPICLEARPREHTVNDIIGVGNTCMMKETEIVCTRGAQTLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPTMMMGSAATFIDCDECWKLLLVTRKGLLYVWDLLNQNCLIQDSLSSLVASDPNSSAKGIIKVISAKLSKSGSPLVVLATRHAFLFNMNLMCWLRVADDCFPASNFSSSWNLGSIQSGELAALQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAESTSSDAKNLAWDPCVLGVKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYESTEINLDKKNSTQPATSQPETNQMNIDQSATDQESTPPLTDQTNSALQATGQKGSIPITVNETSSTPLASDQVDSGQILTDQVIPDSPATDTGS >Manes.12G041760.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3381752:3382317:-1 gene:Manes.12G041760.v8.1 transcript:Manes.12G041760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVYDGRETPWEKESNSKFVFLAPLIFGCTQWTLVDNSSTLKSSKTLMALWL >Manes.15G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2773054:2774242:-1 gene:Manes.15G035100.v8.1 transcript:Manes.15G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGASKGILEIAKFGVYVTIPLILMYTFANNTKNLQKFMGNRPYIVYPPEGPRPPSPEELRAVARELARQRNNN >Manes.01G237600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39934590:39939027:1 gene:Manes.01G237600.v8.1 transcript:Manes.01G237600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLKNAVIALLVPLPSVFFYLSLLHHYHTSISEDTALSPLWAWCAYHPLLLANILFFFNVNVLFWLISHIQSSHWMIDLYWTVIPILLVYYYATYPFAQYNWQRSRIVITLTWVWSLRLTHNYFRREKWQWGAREDWRFTNMRGQYGKHWCWISFFCVYFSQQVFLIGVCLPFYIVHSLDKPLNIWDFVAVVVCICGVVIAYFADTQLHEFVIRNNKLKELGKPMVPNLDRGLWCYSRHPNYFGEQLWWWGLVIFAWNLGHGWTCVGSLINSFCLIYVTVLVEQRMLKQQYRAEAYRLYRKTTSVWIPWFKSSAFTSEDKKY >Manes.01G237600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39934590:39939027:1 gene:Manes.01G237600.v8.1 transcript:Manes.01G237600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLKNAVIALLVPLPSVFFYLSLLHHYHTSISEDTALSPLWAWCAYHPLLLANILFFFNVNVLFWLISHIQSSHWMIDLYWTVIPILLVYYYATYPFAQYNWQRSRIVITLTWVWSLRLTHNYFRREKWQWGAREDWRFTNMRGQYGKHWCWISFFCVYFSQQVFLIGVCLPFYIVHSLDKPLNIWDFVAVVVCICGVVIAYFADTQLHEFVIRNNKLKELGKPMVPNLDRGLWCYSRHPNYFGEQLWWWGLVIFAWNLGHGWTCVGSLINSFCLIYVTVLVEQRMLKQQYRAEAYRLYRKTTSVWIPWFKSSAFTSEDKKY >Manes.05G121901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:20779095:20779502:-1 gene:Manes.05G121901.v8.1 transcript:Manes.05G121901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SICQSIGDGTSTAVWSQSWLPNINDSYVSSSSPVGKEDMRVCDLFIHGIRIWNMELLHSIFRDRNIQIIRSTPLAHTSLQDSWMWLGSRNCIYSVKSGYSTLTSVQYSPNTTIIEADLWKHLWKVQVPSKILEFT >Manes.13G148400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36443933:36446461:-1 gene:Manes.13G148400.v8.1 transcript:Manes.13G148400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPPLKFLFLHLLLHLLLAAIATADVTPGSTLFASNTDQSWPSPSQTFSLGFIPVGPQSSPPSFLAAIYYSGGIPIWTAGTSPVDSAASFHFLSNGTLRLLNGSSHIIWDSNTGNLGVSSASLEDTGNLVLRNNTATVWSSFDDPVDTIVPSQNFTVGKVLRSGPYFFSLLSYGNITLKWNNNITYWSKGLNSSFNSENTSLTSPSLGLQSIGTLSVFDETLPSTGAIVVYSNDYAEAGDILRFLKLDNDGNLRIYSSQRGSGTQTVRWAAVEDQCRVYGYCGNMGICSYNDRSPICGCPSQNFEFVDPKDSRKGCKRKMETKDCPGNVAMLDLEHTLLLTYPPQSIFGGDSESEVFFIAVSACRLNCLRDTGGCDASTVLSDGTGQCYLKKPGYITGYSSPALPSTSHIKVCSPVLPNPTDKNNGWKVNGWVLIVEGVALVLGLISLEAGLWLWCFRKSPRFGRLSAQYALLEYASGAPVQFLHKDLQRATKGFKEKLGTGGFGSVYKGVLPNGMVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDQFLFITNNQMGKLLNWEQRFSIALGTAKAITYLHEECHDCIVHCDIKPENILLDENYTAKVSDFGLAKLISAKEHRYRTLTSIRGTRGYLAPEWLANLPITSKSDIYSYGMVLLEIVSGVRNFEMSAETNMKKFSLWAYEEFEKGNIRGIIDKRLADHQEIDMEQVMRAIQVSFWCIQEQPSLRPKMGKVVQMLEGIAEIDKPPSLLTVPEQPITGFTTSLSSEISNFSHTLSAPPPTSSSSFQAAGSTPASEGNLERASSSLLQSR >Manes.14G156300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20026269:20034336:-1 gene:Manes.14G156300.v8.1 transcript:Manes.14G156300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSITLEEIKNETVDLERIPIDEVFEQLKCNREGLSSEEGANRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGQPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEASILVPGDIISVKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMLIEIIVMYPIQHRKYRNGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSIDKALIEVFAKGVEKDHVILLAARASRVENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDANGNWHRASKGAPEQILNLCNSREDLRKKVHSVIDKFAERGLRSLGVARQQVPEKSKDSPGGPWEFVGLLNLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFLFIALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGGYLALMTVIFFWAMHKTDFFPDKFGVRSIRDSEHEMMGALYLQVSIVSQALIFVTRSRSWSYVERPGLLLMTAFVIAQLVATLIAVYANWGFARIKGIGWGWAGVIWIYSVVFYIPLDFLKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETASIFNEKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Manes.09G018867.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3991231:3992619:-1 gene:Manes.09G018867.v8.1 transcript:Manes.09G018867.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKSSDLHLLMFPWFAVGHMTPFLHLANSLAERGCSTTFLLPTKAIQQLQHFNLYPHLITLQSLTVPHVDGLPIGTQTASDIPLELNHLLAIALDRTRNQVEKVIHETRPKFVIYDMAHWVPEITKALGIKAIHYTVVSAASIAIVLVPARNVPEDRPITESEMLMPPTGYPSTTTVLRAHEVRSLLFVSQPFGEGITFYERMFKAMKESDALAIRTCNETEGNFCDYIGSQYGKPVFLTGPVLPGPAKTPLEDRWCKWLSGFEQGSVLFCAFGSQIILEKDQFQELVLGFELTGLPFLVALKPPAGAATVEEALPEGFEERVKGRGVVWGGWVQQLMILDHPSVGCFVNHCGFGSMWESLLSDCQVVLVPHLGDQILNARFMAEELKVAVEVERDESGWFSKENVSKAIHSVMDKGNEFGSMLKQNHRKWREKMINKELMSGYVDKFVQDMQQLVKVMV >Manes.02G191700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15365571:15367745:-1 gene:Manes.02G191700.v8.1 transcript:Manes.02G191700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRINKELRDMQKEPPVSCSAGPVGDDMFHWQATIMGPADSPFAGGVFSVSIHFPPDYPFKPPKVSFKTRVYHPNINNKGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIANVYKTDKAKYESTARSWTQKYAMG >Manes.02G191700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15365571:15367764:-1 gene:Manes.02G191700.v8.1 transcript:Manes.02G191700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRINKELRDMQKEPPVSCSAGPVGDDMFHWQATIMGPADSPFAGGVFSVSIHFPPDYPFKPPKVSFKTRVYHPNINNKGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPDIANVYKTDKAKYESTARSWTQKYAMG >Manes.14G050200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4328258:4335286:1 gene:Manes.14G050200.v8.1 transcript:Manes.14G050200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGGSSRKSLSLSNSASVLARKKSSENGGAPDSARKSFTGSRPGGLTGERTVKRLRLSKALTVPETTSVHEACRRMAARRVDALLLTDSNALLCGILTDRDIVTRVIARDINLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVDNGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGPNTFIETLRERMFKPSLSTIIQENSKVVTVSPTETVLAVTKKMLEFRASSAVVVVDHKPRGIITSKDILMRVISQNLPPDSTVVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGNIVAVVDVIHITHAAVATVGNTAGVNNEAANTMMQKFWDSAMALSPNEEDEDTRSEGSLKLPSEGGETGRSLPYPSSTLPNTFGFKIEDKRGRMHRFTCDTKNLTDLITAILQRLGDDIDRNNLPQILYEDEDRDKVVLESDSDLGAAVEHAKLAGFKGLRLHLDYSGIRGRRGSNSRDMEYGHQDAWAAAYSAVAAGAALVAGLGVLAYLRRSS >Manes.14G050200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4328258:4335286:1 gene:Manes.14G050200.v8.1 transcript:Manes.14G050200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGGSSRKSLSLSNSASVLARKKSSENGGAPDSARKSFTGSRPGGLTGERTVKRLRLSKALTVPETTSVHEACRRMAARRVDALLLTDSNALLCGILTDRDIVTRVIARDINLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVDNGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSFSGPNTFIETLRERMFKPSLSTIIQENSKVVTVSPTETVLAVTKKMLEFRASSAVVVVDHKPRGIITSKDILMRVISQNLPPDSTVVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGNIVAVVDVIHITHAAVATVGNTAGVNNEAANTMMQKFWDSAMALSPNEEDEDTRSEGSLKLPSEGGETGRSLPYPSSTLPNTFGFKIEDKRGRMHRFTCDTKNLTDLITAILQRLGDDIDRNNLPQILYEDEDRDKVVLESDSDLGAAVEHAKLAGFKGLRLHLDYSGIRGRRGSNSRDMEYGHQDAWAAAYSAVAAGAALVAGLGVLAYLRRSS >Manes.17G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1543526:1558788:1 gene:Manes.17G001200.v8.1 transcript:Manes.17G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKTIGRELAMGSQGGFGQSKEFLDLVKSIGEARSKAEEDRIVLSEIETLKRRIVEPDIPKRKMKEYIIRLVYVEMLGHDASFGYIHAVKMTHNDNLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLIVCAALNAVCKLINEETIPAVLPQVVELLGHSKEAVRKKAIMALHRFYQKSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFDLITTDVSSYKDLVVSFVSILKQVAERRLPKSYDYHQMPAPFIQIKLLKILALLGSGDKQASEHMYTVVGDIFRKCDSSSNIGNAVLYECISCVSSIYPNPKLLEAAADVIARFLKSDSHNLKYMGIDALGRLIKLSPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMININDSHSKTEIASRCVELAEQFAPSNIWFIQAMNRVFEHAGDLVKSKVAHNLMHLIAEGFGEDDDTADSQLRSSAVESYLRIVGEPKLPSVFLQVICWVLGEYGTADGKFSASYVAGKLCDVADAYSNDETVKAYAVTALMKLFAFEIAAGRKMDILPECQSLIEELSASHSTDLQQRVYELQAVIGLDAHAVECILPSDASCEDIEIDKSLSFLDDYVQRSLEKGAQPYIPESERSEMLDIPNFRSQVQHEASTHGLRFEAYELPVPSRTTPVSLAPSTELVPVPEPSYDREVRQAATVPSSSNSGSSELKLRLDGVQKKWGRPTYSSATSTSNSSLQNTANEGTHVDGSGNVNSKAREASYDARKTQVDIPPEKQKLAASLFGGSSKSERRVSSPGHRLPKGSSHVLKSAAVSTTDAVVEKTTPVQPPPDLLDLGEPTGKEGRSSVDPFKQLEGLLGPTQHKSSANPGIVVSTSAPDIMQLYSDAPASGQSGGFMYSLSSNRSDDNLVAAFANATTNSAQSTTTATHPTQFSRGPNLKDSLEKDALVRQMGVTPTGQNPNLFKDLLG >Manes.16G090900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29715071:29715550:-1 gene:Manes.16G090900.v8.1 transcript:Manes.16G090900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQSGARAERRDGGYKGVRMRKWGKWVAEIRQPNSRGRIWLGSYNTAEEAARAYDAALFCLRGPSATLNFPMNPPDIHSATDLTPLQIREAAFRHARRGSETTASQDLNSRVPASGLFEESSELHGEVYLPLTDHRAESLDKISGGAYYQAPGVWTVQ >Manes.03G097300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16007518:16013326:-1 gene:Manes.03G097300.v8.1 transcript:Manes.03G097300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFKGKFYQGYTQPEEQSSASNTKRNPFSHSDHFPSSLASQEFSREIPYKDNNFPLLGPNNKDLIMKRSLDTQPYYVLGHKTANIRDLYTLGRKLGQGQFGTTYLCVENSTGIEYACKSISKRKLISKEDVDDVRREIQIMHHLSGHKNIVTIKGAYEDPLYIHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVSVVEACYSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPLISDSAKDLIRKMLCSQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRALMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACTEHHMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNFGIGRRTMRKSLNLSKKDASDAQ >Manes.03G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16004777:16013474:-1 gene:Manes.03G097300.v8.1 transcript:Manes.03G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFKGKFYQGYTQPEEQSSASNTKRNPFSHSDHFPSSLASQEFSREIPYKDNNFPLLGPNNKDLIMKRSLDTQPYYVLGHKTANIRDLYTLGRKLGQGQFGTTYLCVENSTGIEYACKSISKRKLISKEDVDDVRREIQIMHHLSGHKNIVTIKGAYEDPLYIHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVSVVEACYSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPLISDSAKDLIRKMLCSQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRALMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACTEHHMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNFGIGRRTMRKSLNLSKKDASDAQ >Manes.03G097300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16007518:16013474:-1 gene:Manes.03G097300.v8.1 transcript:Manes.03G097300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFKGKFYQGYTQPEEQSSASNTKRNPFSHSDHFPSSLASQEFSREIPYKDNNFPLLGPNNKDLIMKRSLDTQPYYVLGHKTANIRDLYTLGRKLGQGQFGTTYLCVENSTGIEYACKSISKRKLISKEDVDDVRREIQIMHHLSGHKNIVTIKGAYEDPLYIHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVSVVEACYSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPLISDSAKDLIRKMLCSQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRALMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACTEHHMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNFGIGRRTMRKSLNLSKKDASDAQ >Manes.03G097300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16004777:16013474:-1 gene:Manes.03G097300.v8.1 transcript:Manes.03G097300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFKGKFYQGYTQPEEQSSASNTKRNPFSHSDHFPSSLASQEFSREIPYKDNNFPLLGPNNKDLIMKRSLDTQPYYVLGHKTANIRDLYTLGRKLGQGQFGTTYLCVENSTGIEYACKSISKRKLISKEDVDDVRREIQIMHHLSGHKNIVTIKGAYEDPLYIHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVSVVEACYSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPLISDSAKDLIRKMLCSQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRALMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACTEHHMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNFGIGRRTMRKSLNLSKKDASDAQ >Manes.05G028400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2438392:2440789:-1 gene:Manes.05G028400.v8.1 transcript:Manes.05G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPHVSVKLGFNRPIFMLGILVLLICIATLCKFYSIRSLFISDTFCNCNNFHIEHQSNIRSDHVGETVENIVQKIQKEVIEVKDLRMDSASTAFLSRYVAFLADILQQIESLQTSLPSAEGSHQQNTEIGALHPLLRPNQTSDEPADFFLTEEIRKYVRIKPNRLGKQNFMGANGTFTSVGHACFAMKKELEEYMDYDVGEICNDDWKLAQKLMVHGCDPLPRRRCFSRAPQLYSRPFPINESMWKLPDNRNVRWSQYRCKNFTCLASNTTRKGFFKCADCFNLTAHEMPRWIKHESLDLNTNLTADILIHEVLNIKPGEIRIGLDFSVGTGTFAARMRELNVTIVSATINLGAPFNEMIALRGLVPLYLTINQRLPFFDNTLDLVHTTRFLDGWIDFVLLDFILYDWDRILRPGGLLWIDSFFCLKEDLDDYMEAFKMLRYKKHKWIVAPKLDKDDDREVFFSAVLEKPPRPFR >Manes.11G058600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7107311:7109978:1 gene:Manes.11G058600.v8.1 transcript:Manes.11G058600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGI >Manes.07G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14232:17611:1 gene:Manes.07G000100.v8.1 transcript:Manes.07G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYKGKYADELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKSKSGKPFVDILKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLAQRCQKYYEAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINKCAEVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSESKKVAPEVIAEYTVLALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDAFIVRCKANSEATLGAYKGDATLGEGAAESLHVKDYKY >Manes.15G121800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9694065:9705712:-1 gene:Manes.15G121800.v8.1 transcript:Manes.15G121800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELALDLDELRQLQKLAKRPRVLSLISSEIKNWEKLLNEPVSTSVPAPTPTPISTGVKVPSTPALNYVTLGSFSWDQDSDKVKIYLSLEGVEHEKIEADFKPMSLDVKFHNVQGKNYRCAMPKLNKEIVPEKCKVLVKPTRVVITLFKASKGNWLDLHFKDDKVKPNLDKERDPMAGIMDLMKNMYEEGDDETKRTIAQAWSDARAGKAADPLQGYR >Manes.11G149900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31438457:31440580:1 gene:Manes.11G149900.v8.1 transcript:Manes.11G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEGSPGSSMHGVTGREQTFAFSVASPIVPTDTTAKFSIPVDSEHKAKVFKLWSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLVKSDIGNAGVASVSGSIFSRLAMGAICDLLGPRYGCAFLIMLSAPTVFCMPFVSSAGGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLIMPLVYDVIQRAGATPFTAWRIAFFVPGCLHVIMGILVLTLGQDLPDGNLGALQKKGDVAKDKFSKILWYAITNYRTWIFVLLYGYSMGVELSTDNVIAEYFYDRFNLKLHTAGIIAATFGMANIVARPFGGYASDVAARQFGMRGRLWVLWILQTLGGSFCIWLGRANSLPIAVLAMILFSVGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTSRFSTAAGLSWMGVMIVACTLPVSLVYFPQWGGMFFPASKDVQKSTEEFYYGSEWNEEEKQKGMHQGSLKFAANSRSERGRKVASAPTPPNTTPVHV >Manes.18G019300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1918495:1922075:-1 gene:Manes.18G019300.v8.1 transcript:Manes.18G019300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPRHYEQIAIKDNEIHNVVLSYLVHNCYKETVESFIACTGMKQPADCLEDMDKRKRIFHYALEGNALKAIELTEELAHDLLENNKDLHFGLLSLHFIELVCTRKCTEALEFAQSKLTPFGKVQKYVEKLEDFMALLAYEEPEKSPMFHLLSLEYRQQVVDSLNRAILAHANRPSYAAMERLIQQATVVRQCLNQDHGKDGFPPFSLKDFLKG >Manes.14G082350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6866178:6870110:-1 gene:Manes.14G082350.v8.1 transcript:Manes.14G082350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTFPQQAGAIRKNGYIVIKNRPCKVVDVSTSKTGKHGHAKCHFTGIDIFNAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGNTKDDLRLPTDENLLAQIKDGFADGKDLVVTVMSSMGEEQICALKDIGPK >Manes.14G082350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6868342:6869934:-1 gene:Manes.14G082350.v8.1 transcript:Manes.14G082350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTFPQQAGAIRKNGYIVIKNRPCKVVDVSTSKTGKHGHAKCHFTGIDIFNAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGNTKDDLRLPTDENLLAQIKDGFADGKDLVVTVMSSMGEEQICALKDIGPK >Manes.14G082350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6866637:6870110:-1 gene:Manes.14G082350.v8.1 transcript:Manes.14G082350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTFPQQAGAIRKNGYIVIKNRPCKVVDVSTSKTGKHGHAKCHFTGIDIFNAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGNTKDDLRLPTDENLLAQIKDGFADGKDLVVTVMSSMGEEQICALKDIGPK >Manes.14G082350.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6866654:6870110:-1 gene:Manes.14G082350.v8.1 transcript:Manes.14G082350.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTFPQQAGAIRKNGYIVIKNRPCKVVDVSTSKTGKHGHAKCHFTGIDIFNAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGNTKDDLRLPTDENLLAQIKDGFADGKDLVVTVMSSMGEEQICALKDIGPK >Manes.14G082350.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6866653:6870110:-1 gene:Manes.14G082350.v8.1 transcript:Manes.14G082350.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTFPQQAGAIRKNGYIVIKNRPCKVVDVSTSKTGKHGHAKCHFTGIDIFNAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGNTKDDLRLPTDENLLAQIKDGFADGKDLVVTVMSSMGEEQICALKDIGPK >Manes.10G077086.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:16598311:16617741:-1 gene:Manes.10G077086.v8.1 transcript:Manes.10G077086.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPWTRFQLQLQRALGTIQSPTLFLFANCFHSSTPNFTAANKDALFFKHKFKSASFTHLDDALACFNHTIHRHPLPSRVEFNRFLSALAKTKHYQTVVSLSRTIELLGISHTSCSLTILINCFCHLHLVDFSFSILGKILKSGLEPDIVTFNTLIKGLCVNGLIDQALDFLDDMVAGGYQPTVYTYNVIVNGLCKFGKTSDAIGLLKGMVERGCEADVVTYSAVIDALCKDKLVVEALELFSQMRNKGILPDVITYNCLIHGLCNLGKHNQALSLLKEMVGQNISPNSFTFNILINTLCKKGLFSDAQNIIEIMVQRGVEPNVVTYQTLIHGLCYLHKGKEALALLNEMVRKNIFPDVLTFSILIDTLSKEGMVLEGQEIVNTMIQRGIKPDVVTYTSLMDGYCLISQMNEARKVFDLIVSNGMVDIFSYNALINGYCKIKRIDEAIQLFDEMPKNGLVPNTVTFNTLIKGLVEVGRMQTAQAFLKNMCSLSQRPDIITFSMLLRGLCKNGDLDEALTLFNVLENSQLELDLVTYTILIDGMCKAGKIDDAKNLFSRLFENGLQPDVYTYSAMINGLCKKGLLDEANKIFREMEGGGCLPDSCCYNVIIQGFLRHDEVMRANQFIDEMVGKGFSADATTAELSQQ >Manes.12G049450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4351660:4354459:1 gene:Manes.12G049450.v8.1 transcript:Manes.12G049450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRVSGGMDYGPSRTRIRRRLKRCFFFQCLAGYTSVAVYGWCRERGGAEIENTAIIWTDGCKLNLAWVFSVAWFCGRGASRLIG >Manes.10G011100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1193418:1196465:-1 gene:Manes.10G011100.v8.1 transcript:Manes.10G011100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRKSGNLSIFVVVFSVFLFGIFMYNENVMSTAEFPFSWLKSQEIQEESSPQANPVQETIKNDKQATVSLNSSVEEPHDETTEQESHNSSKDSSVADNKEDKQKKIELPLMEEEDDEEVELPPKECDLFSGKWVFDNATRPLYKENECKFLTAQVTCLRNGRKDSTYQNWKWQPRDCSLPEFKPRLLLKKLRNKRLMFVGDSLNRNQWESMICLVQSVIPPGRKTWRKEGSLSIFTMEDYNSTIEFYWAPFLVESNSDDPTMHSILNRIIMPESINKHGVHWKNVDFLIFNTYIWWMNTASMKVLRGSFDEGATEYDEIERPVAYRRVLSTWSKWVDENVDPNRTMVFFSSMSPLHIKSMDWNNPDGIKCAKETAPILNTSMHFNVGTDRRLLMIAANLTQSIKVAVHFMNITTLSEYRKDAHTSIYTIRQGKILTPEQQADPATYADCIHWCLPGLPDTWNEFIYTHIIARS >Manes.10G011100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1192985:1195975:-1 gene:Manes.10G011100.v8.1 transcript:Manes.10G011100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPRRKTVDMKHRKSGNLSIFVVVFSVFLFGIFMYNENVMSTAEFPFSWLKSQEIQEESSPQANPVQETIKNDKQATVSLNSSVEEPHDETTEQESHNSSKDSSVADNKEDKQKKIELPLMEEEDDEEVELPPKECDLFSGKWVFDNATRPLYKENECKFLTAQVTCLRNGRKDSTYQNWKWQPRDCSLPEFKPRLLLKKLRNKRLMFVGDSLNRNQWESMICLVQSVIPPGRKTWRKEGSLSIFTMEDYNSTIEFYWAPFLVESNSDDPTMHSILNRIIMPESINKHGVHWKNVDFLIFNTYIWWMNTASMKVLRGSFDEGATEYDEIERPVAYRRVLSTWSKWVDENVDPNRTMVFFSSMSPLHIKSMDWNNPDGIKCAKETAPILNTSMHFNVGTDRRLLMIAANLTQSIKVAVHFMNITTLSEYRKDAHTSIYTIRQGKILTPEQQADPATYADCIHWCLPGLPDTWNEFIYTHIIARS >Manes.10G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1193431:1196064:-1 gene:Manes.10G011100.v8.1 transcript:Manes.10G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPRRKTVDMKHRKSGNLSIFVVVFSVFLFGIFMYNENVMSTAEFPFSWLKSQEIQEESSPQANPVQETIKNDKQATVSLNSSVEEPHDETTEQESHNSSKDSSVADNKEDKQKKIELPLMEEEDDEEVELPPKECDLFSGKWVFDNATRPLYKENECKFLTAQVTCLRNGRKDSTYQNWKWQPRDCSLPEFKPRLLLKKLRNKRLMFVGDSLNRNQWESMICLVQSVIPPGRKTWRKEGSLSIFTMEDYNSTIEFYWAPFLVESNSDDPTMHSILNRIIMPESINKHGVHWKNVDFLIFNTYIWWMNTASMKVLRGSFDEGATEYDEIERPVAYRRVLSTWSKWVDENVDPNRTMVFFSSMSPLHIKSMDWNNPDGIKCAKETAPILNTSMHFNVGTDRRLLMIAANLTQSIKVAVHFMNITTLSEYRKDAHTSIYTIRQGKILTPEQQADPATYADCIHWCLPGLPDTWNEFIYTHIIARS >Manes.13G068800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8904438:8907290:-1 gene:Manes.13G068800.v8.1 transcript:Manes.13G068800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELPYNQIRELQISLRKEAALASYEPDDPQLSDFPSLQIAISDLDPSPPYLRCEHCKGRLLRGINSVICVFCGRQQKKDVFPEPIKFTSTFGYRWFLQFLDLDGSELVAPSINANESNRGQNAPKTEFPLSDLLDLEIRWPSEPKEFESTVSENKPAQPVSTLSSAGVDLDNFFTEAKVDSVSTSTDERLALEKHENANGSNQGNLSLFENVEHSEEVPARSKEDESGDSFSGC >Manes.02G141400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10869632:10870657:-1 gene:Manes.02G141400.v8.1 transcript:Manes.02G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQEEDFFDGLPDPLLLLIFIKLKDAKSLIRCLSVSRRFASLVFQTDTVFLSISPHKPNSKSSHGLRINILRNIFFKLIAKPLQFFHHRIAPNKSPARNSGNLSYYSPSEVLKRFKDVQSLHIEVPSHVRKMGLEGNGSLLKWKAEFDAELKNCVVLGATSFQKSKHHSQNQREDIVERVLTDDELKLRVVWTISCLLDASARHWLLKEILSKHHRLENVTISDVNKQGKIYVGKDQICEMRNAVKLPEIGSSSERSRVPDLSMKLWYVPVLELPETGFLMKGATLVVIRPVDGEIRNNHNHGDLVGFDGDESEKKTFDEAVREMMKMRNNYVMTMSSF >Manes.12G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2601257:2603381:1 gene:Manes.12G030000.v8.1 transcript:Manes.12G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQESKALVGLLLLLCMTLEVSSHSRHMQYAPPNVTRLTDLFSPVSITQDFSTFFGGSNIKFLNNGTYANLALDKSSGSGLASKNKYYYGFFSAAIKLPAGLSSGVVVAFYMSNADTYPHNHDEIDIELLGHDQRNDWVLQTNVYANGSVSTGREEKFYLWFDPTQQHHYYSIIWNSHHIVFLVDNVPVREFVHNSAFSSVYPSKAMSLYATIWDGSEWATHGGKYPVNYKYAPFVVSLAEMEMSGCIYNPSAASCSNKAGPSSLDPVEGQEFVKLSKDQSVAMDWARRKLMFYSYCNDRPRFKVLPPECKQM >Manes.04G061600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9574221:9585700:-1 gene:Manes.04G061600.v8.1 transcript:Manes.04G061600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALILQAALSPNPDERKAAEQSLNQFQYTPQHLMRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPHEPDEQSKISQSDKDAVRDHILMFVVQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWIKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFPHLLNIFNRLVQIANPSLEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMILFLNVLERPVPVEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNQENRAFAQMFQKNYAGKILECHLNLLNVVRLGGYLPDRVTNLILQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPVGYKPYRQKDGALLAIGALCDKLKQTEPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDQNNFRKALHSVVSGLRDTELPVRIDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFAPSISLDMWSLWPLMMEALADWAIDFFSNILVPLDNYISRGTAHFLTCKEPDYQQSLWTMISSIMADRNLEDSDIEPAPKLIEVVFQNCKGQVDQWVEPYLRITVERLHRAEKSYLKCLLIQVIADALYYNAALTLSILQKLGVATEIFNLWFQMLQQVKRSGARANFKRSCKGRRS >Manes.04G061600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9574221:9585700:-1 gene:Manes.04G061600.v8.1 transcript:Manes.04G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALILQAALSPNPDERKAAEQSLNQFQYTPQHLMRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPHEPDEQSKISQSDKDAVRDHILMFVVQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWIKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFPHLLNIFNRLVQIANPSLEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMILFLNVLERPVPVEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNQENRAFAQMFQKNYAGKILECHLNLLNVVRLGGYLPDRVTNLILQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPVGYKPYRQKDGALLAIGALCDKLKQTEPYKSELERMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFSDQNNFRKALHSVVSGLRDTELPVRIDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFAPSISLDMWSLWPLMMEALADWAIDFFSNILVPLDNYISRGTAHFLTCKEPDYQQSLWTMISSIMADRNLEDSDIEPAPKLIEVVFQNCKGQVDQWVEPYLRITVERLHRAEKSYLKCLLIQVIADALYYNAALTLSILQKLGVATEIFNLWFQMLQQVKRSGARANFKREHDKKVCCLGLTSLLSLPANQLPGEALERVFRTTLDLLVAYKDQVAEAAKEEEAEDDDDMDGFQTDDEDDGDGSDKDMGVDAEDGDEADSIKLQKLAAQAKAFRPHDEDDDDSDDDYSDDEELQSPIDEVDPFIFFVDTMKAVQATDPLRFQNLTQTLDFHHQALANGVAQHAEQRRAEIEKERMEKASAAVAS >Manes.06G043166.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13268098:13269164:-1 gene:Manes.06G043166.v8.1 transcript:Manes.06G043166.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSEAMRVCDLFVEGELRWDVEKLMNIFSVADMRAILTIPLPLFPKPDKLIWHLHKKGVYSVKSAYFCALELSSRTGVLGYNDGWNRLWFLDVSPKVRDFLWRACRGVLPTRDILLRRGIHVPAACLFCDHDESISHVFLHCPMAVELWRLTGFSTAVVFPIFMDFFIHIYNTFGKERTARMAIHAWKLWHARNERLWVNKVLSPSELHHAASSYFNDYVASLVSRPRMLSHPSIPSVLPLVEATTLEVDWIVFIDCAVFTSADLFGFAAVFEDLEGFFSIAISGFYEGVGNLLLLKFWPCINIYLMLEIVFFRLVVFLRITNP >Manes.01G044150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8944974:8947298:1 gene:Manes.01G044150.v8.1 transcript:Manes.01G044150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFNPLANILTQKKLEEYKFVLSDVCPKKPSEGASEEVTKAYQKWVKADEKARCYIMASMSNVLQHQYQNMDTAYDILENLKEMFRDQTSIAKQIALREILTSKIEEGTFVRTHVLKMMSLLNDIEVLGAKFDTTTQIEMFRLNYNMNKMEFTLSNLLNELVAAKTIIKQGAAPVVLNVQRGSSSAHKKGKKKKSPKQMGYAIETAAYILNLISSKSVPLTPTKLWTKRKPSLKHVRMWGSLAYVLKEKAHKLESKLELYFFIGYPRGTKGGLFYSPKDKKVIVSTNVNYLEDDYILNHIPKSQLALCELRGENIPTRTLPTEHEPEPFLVEALPHHSGRNVSGFKDQ >Manes.04G067000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25393962:25399930:1 gene:Manes.04G067000.v8.1 transcript:Manes.04G067000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVEKTKKKKKKKKKGRPSLLDLQKRTLKEQLHKQNQNRNLSNSNSNNSPFRSSNNYNSATPTLRRSTRRNHTSSSPEQHQDADAVDLNGKRQEKKLQSPSSQKSEPASASLNSSESKSKGEEDKVKALYHRKRKINLIGDGSGCGVSEKRGKPVAGANPTNDVQESGSSTPLPDKKLLLFILDRLQKKDTYGVFSEPVDPDELPDYHEVIGHPMDFGTVRKKVSSGVYTNLEQFEKDIFLICSNAMQYNAPDTIYFRQARSIKELAKKNFENIRQDSDDNEPDPKIVRRGRPPTKNLKKPVGRPSLEHAGSEVALDGTLATRGEGSTWSSNDLRKGPHVLDKSSFVDSSGRSRDSRNDAYWLTNNTFEKNDEGSMFKGNSLKYGKRQLVLDENRRKTYNQLSAAGREPSVLTAFDAEWKHLIALHVHQVGLLSEHGYSRSLARFAANIGSIAWKIASKKMESSLPSGVEFGPGWVGENDIPLQRALLLSSNPQGLPSPSRLLSLPNGFCALATSCNVESREKGSEKTENNVNKNEQLVNLNLAQKKQQLRDSTSSTAVQD >Manes.09G011680.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2476367:2478522:1 gene:Manes.09G011680.v8.1 transcript:Manes.09G011680.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRAQFSRFLSALVKMKQYHTVLSMSKTIELVGISHDVYFLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNSLINGLCMERKIDKAVEFFDDMVARGYQPNIRTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNICPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKLFDLMVTNGIADIFSYNILINGYCKWKMIDNAKQIFDEMSHKGLVPDAVTYHTLIKAMFQAGRPQTAKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALTLLTAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKLFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNEGSAN >Manes.03G155800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28451506:28454847:-1 gene:Manes.03G155800.v8.1 transcript:Manes.03G155800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNITHQIGALVGTPISVEPISTTASESTATVSASAVWKTPTLIPRSKIQRTNGVEPKSRLASPCPFPVQSGIRADLSAACHAFAMEEMTLETTKEGEEERMYREGSGKEKGKGVPVFVMMPLDSVTMGNTVNRRKAMNASLQALKSAGVEGIMMDVWWGLVEREAPGVYNWGGYTELLEMAKRHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVVEEIDNDPNLAYTDQWGRRNYEYVSLGCDTLPVLKGRTPVQCYSDFMRAFRDNFRNLLGDTVVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCYDKYMLSSLKAAAEAVGQPEWGSTGPTDAGHYNNWPEDTQFFRKENGGWTYPYGEFFLSWYSQMLLDHGERILSSAKAILENTAVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLGRHGAVFNFTCIEMHDHEQPQDALCSPEKLVMQVALATQEAQVPLAGENALPRYDDYAHEQILRAASLNIDENSGEREMCAFTYLRMSPHLFQEDNWRRFVAFVKKMKEGKNAERCREEVEREAEHFVHISQPLVQDAAVAL >Manes.01G170000.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35242604:35247214:-1 gene:Manes.01G170000.v8.1 transcript:Manes.01G170000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMREHEANGDEERVALWEIGLPSADDLTPLSQLLIPPELASAFSISPEPHRTILEVNQASKNTITNLRGAGGGGQLNALSSNNFKSYNEDRTRYPMVVEPEAEENNMDLDVSGYESRKLRKIDSEEADSALRTENSDEDPSAKTLKRPRLVWTPQLHKRFVDVVGYLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPLPQSLRESGSGGGGGSGTAHVNGHLGMSIPAPYHPAAGPIMPMPVYGHMGIQMGNNHHHHGFDGHMPYNMLQQRDWSGNNYGSGASYPHYTQHVASPNGKK >Manes.01G170000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35243549:35247173:-1 gene:Manes.01G170000.v8.1 transcript:Manes.01G170000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMREHEANGDEERVALWEIGLPSADDLTPLSQLLIPPELASAFSISPEPHRTILEVNQASKNTITNLRGAGGGGQLNALSSNNFKSYNEDRTRYPMVVEPEAEENNMDLDVSGYESRKLRKIDSEEADSALRTENSDEDPSAKTLKRPRLVWTPQLHKRFVDVVGYLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPLPQSLRESGSGGGGGSGTAHVNGHLGMSIPAPYHPAAGPIMPMPVYGHMGIQMGNNHHHHGFDGHMPYNMLQQRDWSGNNYGSGASYPHYTQHVASPNGKK >Manes.01G170000.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35243549:35247173:-1 gene:Manes.01G170000.v8.1 transcript:Manes.01G170000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMREHEANGDEERVALWEIGLPSADDLTPLSQLLIPPELASAFSISPEPHRTILEVNQASKNTITNLRGAGGGGQLNALSSNNFKSYNEDRTRYPMVVEPEAEENNMDLDVSGYESRKLRKIDSEEADSALRTENSDEDPSAKTLKRPRLVWTPQLHKRFVDVVGYLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPLPQSLRESGSGGGGGSGTAHVNGHLGMSIPAPYHPAAGPIMPMPVYGHMGIQMGNNHHHHGFDGHMPYNMLQQRDWSGNNYGSGASYPHYTQHVASPNGKK >Manes.01G170000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35243549:35247405:-1 gene:Manes.01G170000.v8.1 transcript:Manes.01G170000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMREHEANGDEERVALWEIGLPSADDLTPLSQLLIPPELASAFSISPEPHRTILEVNQASKNTITNLRGAGGGGQLNALSSNNFKSYNEDRTRYPMVVEPEAEENNMDLDVSGYESRKLRKIDSEEADSALRTENSDEDPSAKTLKRPRLVWTPQLHKRFVDVVGYLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPLPQSLRESGSGGGGGSGTAHVNGHLGMSIPAPYHPAAGPIMPMPVYGHMGIQMGNNHHHHGFDGHMPYNMLQQRDWSGNNYGSGASYPHYTQHVASPNGKK >Manes.01G170000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35242604:35247214:-1 gene:Manes.01G170000.v8.1 transcript:Manes.01G170000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMREHEANGDEERVALWEIGLPSADDLTPLSQLLIPPELASAFSISPEPHRTILEVNQASKNTITNLRGAGGGGQLNALSSNNFKSYNEDRTRYPMVVEPEAEENNMDLDVSGYESRKLRKIDSEEADSALRTENSDEDPSAKTLKRPRLVWTPQLHKRFVDVVGYLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPLPQSLRESGSGGGGGSGTAHVNGHLGMSIPAPYHPAAGPIMPMPVYGHMGIQMGNNHHHHGFDGHMPYNMLQQRDWSGNNYGSGASYPHYTQHVASPNGKK >Manes.01G170000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35243549:35247173:-1 gene:Manes.01G170000.v8.1 transcript:Manes.01G170000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMREHEANGDEERVALWEIGLPSADDLTPLSQLLIPPELASAFSISPEPHRTILEVNQASKNTITNLRGAGGGGQLNALSSNNFKSYNEDRTRYPMVVEPEAEENNMDLDVSGYESRKLRKIDSEEADSALRTENSDEDPSAKTLKRPRLVWTPQLHKRFVDVVGYLGIKSAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPLPQSLRESGSGGGGGSGTAHVNGHLGMSIPAPYHPAAGPIMPMPVYGHMGIQMGNNHHHHGFDGHMPYNMLQQRDWSGNNYGSGASYPHYTQHVASPNGKK >Manes.07G127000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32957027:32960184:-1 gene:Manes.07G127000.v8.1 transcript:Manes.07G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPSKADKKIAYDAKLCQLLDEYSQILVVAADNVGSNQLQNIRTGLRGDSVVLMGKNTMMKRSIRVHADKTGNKAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLAKLGIRPFSYGLIVLSVYDNGSVFSPEVLDLTEDDLVEKFATGVSMVTALALAISYPTLAAAPHMFINAYKNVLAIAVATEYSFPQAEKVKEYLKDPSKFAVAAVPVAAADAGAAPAAVAKEEEKKEEPAEESDDDMGFSLFD >Manes.12G062305.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6136060:6137882:1 gene:Manes.12G062305.v8.1 transcript:Manes.12G062305.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWRTIFFSCALLLSLCVPSSFAQTCRGHTFSSNQVFIACTDLPVLSSFLYWNYHPSNLTADIAFRKTGASTNTWVAWALNPGGQRMIGSQAILAFHNSSGVPIAYTTPITSLSPSMQPGDLSFQVSNLKAEYSNGDMIFFATLHLTSSMISTNQVWQEGTMRGTSFNPHAMDSANRASVGIITFENGATVAGSIRTSRKKNVHGILNAVSWGVLMPTGVMIARYLKVLKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYTKHRNIGITLFCFATLQVFALLLRPKPNHKYRLYWNIYHHSIGYATIILSITNIYEGFDILDPEKKWKKIYTGIIIFIGAAAALLEVITWIIVLRGKKAVTSNKHVNGSA >Manes.11G006800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:767530:767733:-1 gene:Manes.11G006800.v8.1 transcript:Manes.11G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCFGFVSVALLVVLAASMVVLPLLLPPLPPPPLLLLFFPVGIMAALMFLAFSPADVGENVVALTV >Manes.17G072401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27214425:27214817:1 gene:Manes.17G072401.v8.1 transcript:Manes.17G072401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISQFYYELCGLWQELDYYQDFQANCTGDAVKFWRMIEKERVYDFLADLNNEYDLIQAEVLGKNLFPSLEEAHAYVQQEESRRHAILYTAPVEKAGLATSLSTPQPPNFEKDHLHCDYCGKLRHTKETY >Manes.11G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5911229:5912874:1 gene:Manes.11G051200.v8.1 transcript:Manes.11G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKETELTLALPGESRSLKEINGGKTGTKRGFLETVDLNLGSSRADCGDRDRNESENDVSTAAKPPAAKAQVVGWPPVRGYRKNAMKSCKYVKVAVDGAPYLRKVDLEMYNTYEQLLSALEDMFSCFPIRNYLNDRKIVNGVEYAPTYEDKDGDWMMLGDVPWKMFVESCKRLRLMKSSEAIGLAPRTPSKCSSTSE >Manes.15G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2149859:2151795:1 gene:Manes.15G027100.v8.1 transcript:Manes.15G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTINGCFCYVEFAGGVTAMDDFLEKFFPAVYERKKHAHENNYCKYDNQFLQLFTSSLYIAALIASFFASRTCTKYGRKPTMQLASIFFVIGVALSALGVNIEMVIIGRVFLGLGVGFANQAVPLFLSELAPVKIRGALNICFQLFVTIGILIANVVNYFTGKIHPHGFRISLGLAGLPALMLGLGSLVIVETPTSLVERNKPEEGRAVLKKIRGADNVDLEFDSIVHACEIARQVTDPYRRLMKRPSRPPLVIAILLQIFQQFTGINAIMFYAPVLFQTVGFGDDASLLSSVITGSVNVLCTMVSVVAVDRAGRRILLLESCVQMLVTQTIIGIILMKDLKATGDLPSGEALIVVVMVCVFVGGFAWSWGPLGWLIPSETFPLETRTAGYSFAVSSNMLCTFIIAQAFLSMLCKMQAGIFFFFAAWIIVMMLFAFFFIPETKGVPVDVMVDKVWKQHWFWRRFFDEEGDEHVKEQPDLIPPYMT >Manes.16G050400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13504782:13516999:1 gene:Manes.16G050400.v8.1 transcript:Manes.16G050400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVVKSHIWSSYLSFLLHIFAASLLLLTTCASAQAFSVGSEDVDSGRNNLYSEILRDEAVARLNELGKVSDADSYLERTFMSAASLRAANLICGWMEDAGLTTWIDRMGNLHGRFEGMDPSAGTLLIGSHLDTVVDAGIFDGSLGIISALSALKVLKTTGMLGKLKRPVEVIAFSDEEGVRFQSTFLGSAAIAGILPVSALQISDKGGVTVQESLKEHSIEITEESLLQLKYDPKSVWGYVEVHIEQGPLLEWVGFPLGVVKGIAGQTRLKVLVKGSQGHAGTVPMALRKDPMAAAAELIILLERLCKNPKDFLSYDGQCNDSTIESLSSSLVCTVGEISTWPSASNVIPGQVTFTVDIRAMNDMGREAVIYELSKQIYQICDRRSVSCIIERKHDANAVVCDSELSSQLKFAANAALKRMTGEIQDEVPTLMSGAGHDAMAISRLTKVGMLFVRCRGGISHSPAEHVLDDDVWAAGLAILAFLETHL >Manes.16G050400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13504782:13516999:1 gene:Manes.16G050400.v8.1 transcript:Manes.16G050400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVVKSHIWSSYLSFLLHIFAASLLLLTTCASAQAFSVGSEDVDSGRNNLYSEILRDEAVARLNELGKDTVVDAGIFDGSLGIISALSALKVLKTTGMLGKLKRPVEVIAFSDEEGVRFQSTFLGSAAIAGILPVSALQISDKGGVTVQESLKEHSIEITEESLLQLKYDPKSVWGYVEVHIEQGPLLEWVGFPLGVVKGIAGQTRLKVLVKGSQGHAGTVPMALRKDPMAAAAELIILLERLCKNPKDFLSYDGQCNDSTIESLSSSLVCTVGEISTWPSASNVIPGQVTFTVDIRAMNDMGREAVIYELSKQIYQICDRRSVSCIIERKHDANAVVCDSELSSQLKFAANAALKRMTGEIQDEVPTLMSGAGHDAMAISRLTKVGMLFVRCRGGISHSPAEHVLDDDVWAAGLAILAFLETHL >Manes.16G050400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13504782:13516999:1 gene:Manes.16G050400.v8.1 transcript:Manes.16G050400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRGSMSLERWIDRMGNLHGRFEGMDPSAGTLLIGSHLDTVVDAGIFDGSLGIISALSALKVLKTTGMLGKLKRPVEVIAFSDEEGVRFQSTFLGSAAIAGILPVSALQISDKGGVTVQESLKEHSIEITEESLLQLKYDPKSVWGYVEVHIEQGPLLEWVGFPLGVVKGIAGQTRLKVLVKGSQGHAGTVPMALRKDPMAAAAELIILLERLCKNPKDFLSYDGQCNDSTIESLSSSLVCTVGEISTWPSASNVIPGQVTFTVDIRAMNDMGREAVIYELSKQIYQICDRRSVSCIIERKHDANAVVCDSELSSQLKFAANAALKRMTGEIQDEVPTLMSGAGHDAMAISRLTKVGMLFVRCRGGISHSPAEHVLDDDVWAAGLAILAFLETHL >Manes.16G050400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13504782:13516999:1 gene:Manes.16G050400.v8.1 transcript:Manes.16G050400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVVKSHIWSSYLSFLLHIFAASLLLLTTCASAQAFSEVGSEDVDSGRNNLYSEILRDEAVARLNELGKDTVVDAGIFDGSLGIISALSALKVLKTTGMLGKLKRPVEVIAFSDEEGVRFQSTFLGSAAIAGILPVSALQISDKGGVTVQESLKEHSIEITEESLLQLKYDPKSVWGYVEVHIEQGPLLEWVGFPLGVVKGIAGQTRLKVLVKGSQGHAGTVPMALRKDPMAAAAELIILLERLCKNPKDFLSYDGQCNDSTIESLSSSLVCTVGEISTWPSASNVIPGQVTFTVDIRAMNDMGREAVIYELSKQIYQICDRRSVSCIIERKHDANAVVCDSELSSQLKFAANAALKRMTGEIQDEVPTLMSGAGHDAMAISRLTKVGMLFVRCRGGISHSPAEHVLDDDVWAAGLAILAFLETHL >Manes.16G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13504782:13516999:1 gene:Manes.16G050400.v8.1 transcript:Manes.16G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVVKSHIWSSYLSFLLHIFAASLLLLTTCASAQAFSEVGSEDVDSGRNNLYSEILRDEAVARLNELGKVSDADSYLERTFMSAASLRAANLICGWMEDAGLTTWIDRMGNLHGRFEGMDPSAGTLLIGSHLDTVVDAGIFDGSLGIISALSALKVLKTTGMLGKLKRPVEVIAFSDEEGVRFQSTFLGSAAIAGILPVSALQISDKGGVTVQESLKEHSIEITEESLLQLKYDPKSVWGYVEVHIEQGPLLEWVGFPLGVVKGIAGQTRLKVLVKGSQGHAGTVPMALRKDPMAAAAELIILLERLCKNPKDFLSYDGQCNDSTIESLSSSLVCTVGEISTWPSASNVIPGQVTFTVDIRAMNDMGREAVIYELSKQIYQICDRRSVSCIIERKHDANAVVCDSELSSQLKFAANAALKRMTGEIQDEVPTLMSGAGHDAMAISRLTKVGMLFVRCRGGISHSPAEHVLDDDVWAAGLAILAFLETHL >Manes.16G050400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13504782:13516999:1 gene:Manes.16G050400.v8.1 transcript:Manes.16G050400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRGSMSLERWIDRMGNLHGRFEGMDPSAGTLLIGSHLDTVVDAGIFDGSLGIISALSALKVLKTTGMLGKLKRPVEVIAFSDEEGVRFQSTFLGSAAIAGILPVSALQISDKGGVTVQESLKEHSIEITEESLLQLKYDPKSVWGYVEVHIEQGPLLEWVGFPLGVVKGIAGQTRLKVLVKGSQGHAGTVPMALRKDPMAAAAELIILLERLCKNPKDFLSYDGQCNDSTIESLSSSLVCTVGEISTWPSASNVIPGQVTFTVDIRAMNDMGREAVIYELSKQIYQICDRRSVSCIIERKHDANAVVCDSELSSQLKFAANAALKRMTGEIQDEVPTLMSGAGHDAMAISRLTKVGMLFVRCRGGISHSPAEHVLDDDVWAAGLAILAFLETHL >Manes.09G080009.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12083287:12086703:-1 gene:Manes.09G080009.v8.1 transcript:Manes.09G080009.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASKSFEFSPNRSLWLSTTRGSLPSFLSSQIQSMPPPPLESNTSSTNEILSLFTSLQTQLFEAVAELQEILDLQDAKQRIARDIKSNDSALLNFANKLREAEKVLDILVDDYSDYRRPKRAKSKSLEDDCVSNTTIASQLELSDILSYAHRISYSTFAPPDFGAGQAPLRGALPPAPQEEQMRASQLYAFTDLDVGLPKNVENKEKIIEAIIEPPPPPKPSETNQLPNFSAIQSLLPPNITVPFGWKSGMPVVLPTDLPPPPPGWKLGDPLPPLESLPLSRLGEQQWQPVAPQGLHKPPETIQVRHVQIDILDQGDDSSDYSSNEGSSDDED >Manes.08G099500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:33200292:33201080:-1 gene:Manes.08G099500.v8.1 transcript:Manes.08G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISLELKLISCRDLKAFNFFQKLSVYAVVSILSISNDDSKKKKKNERPQCLQRQKTPVDGEGGRNPEWNHMIKFDLKSISLPDQDDHLFLIFNLRCAGVISGDRSIGEVRVPLKDLIDEFNGPVRFLSYQVQTSDGKPNGVLNFSYKLRGKAEKKPDDSPAPKINMPSRTSTEKLHCTPLQVQVRPPNKCLYPSLDDILSPLPRISSPMPPPPYLLQQPAFAVGHGPCPSPLVQIPGSHWYATETAHYGYGLHGYPGSWR >Manes.10G075600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14117483:14127960:1 gene:Manes.10G075600.v8.1 transcript:Manes.10G075600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMALSSSPALSAFNGISIGATRLGKLFPVSPRWSICSPSFTNSPRRRGFRFVASSAIGKNEGVAEISQGETPPRIYTWPDNRKPRVCILGGGFGGLYTALRLESLVWADDKKPQVLLVDQSEHFVFKPMLYELLSGEVDAWEIAPRFSDLLANTGVVFLQDKVKLLSPSDHFGSNGSSRSGCGGIVLLASGLLIEYDWLVLALGAEAKLDAVPGAAEFAFPFSTLEDARKVDDKLRLLERRNFGKDSLIRVAVVGCGYSGVELAATISERLKDGGVVQAINVETTICPTAPPGNREAALKVLSSRKVQLFLGYFVRCIRIASNSETSATTKENGASEDIPLQHSSEKYFLELQPAEKGLESQILEADIVLWTVGAKPLLSQLESYNKPRELPLNARGQTETDETLRVKGHPRIFALGDSSALRDSGGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAVSPSFIEGLTLEGPIGHAGRKIAYLIRLPTDEHRLKVGISWLTKSVIDSVASVQSTLTKVLSGS >Manes.10G075600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14117483:14127960:1 gene:Manes.10G075600.v8.1 transcript:Manes.10G075600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMALSSSPALSAFNGISIGATRLGKLFPVSPRWSICSPSFTNSPRRRGFRFVASSAIGKNEGVAEISQGETPPRIYTWPDNRKPRVCILGGGFGGLYTALRLESLVWADDKKPQVLLVDQSEHFVFKPMLYELLSGARLVLALGAEAKLDAVPGAAEFAFPFSTLEDARKVDDKLRLLERRNFGKDSLIRVAVVGCGYSGVELAATISERLKDGGVVQAINVETTICPTAPPGNREAALKVLSSRKVQLFLGYFVRCIRIASNSETSATTKENGASEDIPLQHSSEKYFLELQPAEKGLESQILEADIVLWTVGAKPLLSQLESYNKPRELPLNARGQTETDETLRVKGHPRIFALGDSSALRDSGGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAVSPSFIEGLTLEGPIGHAGRKIAYLIRLPTDEHRLKVGISWLTKSVIDSVASVQSTLTKVLSGS >Manes.09G039000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7239856:7243974:-1 gene:Manes.09G039000.v8.1 transcript:Manes.09G039000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEQKLRTGNLHHDPTINPGLGSPRCPRCLSLLIPNSGKGEWAITSVLHDATAVAGSGIAGMLSAVHGFNIGIPFLQNRLKGPKWMPFVVGLPPLLVVSSVSAAFGDFLLLFFSATLVYPYYTSS >Manes.18G074748.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6860871:6862135:-1 gene:Manes.18G074748.v8.1 transcript:Manes.18G074748.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQDQAKHLEDCSVANALGTWFFSVAGALVAIPVGIKRKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQAKLLEAQNSVGEPSFSATGSES >Manes.03G083306.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14853639:14854421:-1 gene:Manes.03G083306.v8.1 transcript:Manes.03G083306.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKISVLESTIKRLPNSICKLQSLQDLLLFGCWNLKELPKDIKYMINLRLLWVTTHQKCFSMGGIGCLKAL >Manes.03G080600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15595838:15607087:1 gene:Manes.03G080600.v8.1 transcript:Manes.03G080600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQQESGRIYFLFCSNVVKVHKKTIEKAVGSFLEFTNDGAEVVKFRQFIPSILNVARQCLASGEEDVAVIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSSQNLESNTRHQAIQIISWLAKYKSTSLKKYKLVIPILQVMCPLLTESNDADEDDDLAPDRAAAEVIDTMALNLSKHVFPPVFEFASFNSQNANPKYREASVTALGVISEGCLDLMKDKIEPVLHIVLGALRDPEQMVRGAASFALGQFAEHLQPEIVSHYESVLPCILNALEDISDEVKEKSYYALAAYCENMGEEILPFLDPLMVKLLGALQNSPRNLQETCMSAIGSVASAAEQAFIPYAERVLELMKSFMVLTNDEDLLSRARATELVGIVAMSVGRTRMETILPPFMEAAISGFSLEFSELREYTHGFFSNVAEILDESFAQYLPHVVPLAFLSCNLDDGSAVDIDESDDENINGFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKSFYAPYFEESLKILVRHSGYFHEDVRLQAIIALKYILTAAYAIFQSHNDGPAKAREVLDNVMRIYIKTMTDDDDKEVVAQACMSVADIFKDYGFVAVEPYMSQLIDATLVLLREESACQQSDNDSDDDDADTEHDEVLMDAVSDLLPAFAKSMGAHFAPIFVNLFEPLMKFAKSSRPPQDRTMVVACLAEVAQNMGPPIASYVDRIMPLVLKELASSEATNRRNAAFCVGELCKNGGESTLKYYGDILRGLYPLFGESELDDAVRDNAAGAVARMIMVHPQSIPLNQVLPAFLKVLPLKEDHEESMAVYSCVSTLVLSSNPQILALVPELVNLFAQVAVSPVETPEVKAQVGRAFSHLISLYGHQMQPLLSNLSPAHANALAAFAPKC >Manes.03G080600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15595838:15607087:1 gene:Manes.03G080600.v8.1 transcript:Manes.03G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPQLKQVVKNSLIESITLEHSPPVRRASANVVSIIAKYAVPAGEWPDLLPFLFQCSQSAQEDHREVALILFSSLTETIGSAFQPHFTDLQALLLKCLQDETSNRVRVAALKAVGSFLEFTNDGAEVVKFRQFIPSILNVARQCLASGEEDVAVIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSSQNLESNTRHQAIQIISWLAKYKSTSLKKYKLVIPILQVMCPLLTESNDADEDDDLAPDRAAAEVIDTMALNLSKHVFPPVFEFASFNSQNANPKYREASVTALGVISEGCLDLMKDKIEPVLHIVLGALRDPEQMVRGAASFALGQFAEHLQPEIVSHYESVLPCILNALEDISDEVKEKSYYALAAYCENMGEEILPFLDPLMVKLLGALQNSPRNLQETCMSAIGSVASAAEQAFIPYAERVLELMKSFMVLTNDEDLLSRARATELVGIVAMSVGRTRMETILPPFMEAAISGFSLEFSELREYTHGFFSNVAEILDESFAQYLPHVVPLAFLSCNLDDGSAVDIDESDDENINGFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKSFYAPYFEESLKILVRHSGYFHEDVRLQAIIALKYILTAAYAIFQSHNDGPAKAREVLDNVMRIYIKTMTDDDDKEVVAQACMSVADIFKDYGFVAVEPYMSQLIDATLVLLREESACQQSDNDSDDDDADTEHDEVLMDAVSDLLPAFAKSMGAHFAPIFVNLFEPLMKFAKSSRPPQDRTMVVACLAEVAQNMGPPIASYVDRIMPLVLKELASSEATNRRNAAFCVGELCKNGGESTLKYYGDILRGLYPLFGESELDDAVRDNAAGAVARMIMVHPQSIPLNQVLPAFLKVLPLKEDHEESMAVYSCVSTLVLSSNPQILALVPELVNLFAQVAVSPVETPEVKAQVGRAFSHLISLYGHQMQPLLSNLSPAHANALAAFAPKC >Manes.18G002000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:676945:684497:1 gene:Manes.18G002000.v8.1 transcript:Manes.18G002000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEKGLMKSTTRKPFGVSLKKSPVTPPPFVQNVRLSVSADVTPVDTPLTTATSPSYQAPSAAGRGGVEGSTRRAGVSTHGINVNMSMGSAAETLKTKRGKHLVPASQSENHTSGGDCFSSCHHPPGGADAGSETGLMKSTTRKPFDVGLNKSPVTSPPFIHNVRLSVIADGTPIYAPVTTATSPSYQAPSAAGGGGVEGSTRRAGESTHGINVNMSMGSATETLKKRGRHLVPMSQSVNHTSGGDGFSGSKKARGRTPGSKKQQQLEALGSVEVGFTPHVITVKAGEGRFQILSLCGSFLPFYDGGQRSRAGGLSVSIRGLDGRVIGGGVAGLLTAASTVEIVVASFKSDGYKVSKLAKQSEPVSPALGTSGPSSLPSGGTSSESSGGSGSPIKQSWSLQ >Manes.18G002000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:676945:684497:1 gene:Manes.18G002000.v8.1 transcript:Manes.18G002000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEKGLMKSTTRKPFGVSLKKSPVTPPPFVQNVRLSVSADVTPVDTPLTTATSPSYQAPSAAGRGGVEGSTRRAGVSTHGINVNMSMGSAAETLKTKRGKHLVPASQSENHTSGGDCFSSCHHPPGGADAGSETGLMKSTTRKPFDVGLNKSPVTSPPFIHNVRLSVIADGTPIYAPVTTATSPSYQAPSAAGGGGVEGSTRRAGESTHGINVNMSMGSATETLKKRGRHLVPMSQSVNHTSGGDGFSGSKKARGRTPGSKKQQQLEALGSVEVGFTPHVITVKAGEDVSSKIMSFSQHSPWAICVLSANGTISNVTLRQLGTSGETVTYEGRFQILSLCGSFLPFYDGGQRSRAGGLSVSIRGLDGRVIGGGVAGLLTAASTVEIVVASFKSDGYKVSKLAKQSEPVSPALGTSGPSSLPSGGTSSESSGGSGSPIKQSWSLQ >Manes.03G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5373856:5380594:-1 gene:Manes.03G055100.v8.1 transcript:Manes.03G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPPPFQEADRCDVCKCSFSTFRRRHHCRRCGRTLCHEHSSNQMALPQFGIFSSVRVCADCFNDSSCFSINPQASSYGVNSVADKVSRLDIDTETDSKIEAATQNNSAAGVIECKCGMPLCICEAPAPTIDAPPLQMKTPSASTQSNPKPKKTDAIPKNRGSTSNKQPSSVFNHGQITNGSIDNPQMDYEVNGEGLREAIKNGDTAAVKKLLNEGVDANYHDKQGLSVLHLAALFNRTDITFMLMESGASLDYKNAQGETSLDCAPATLQYKMRMKMEEHGQQGPHASI >Manes.05G112900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11503143:11503460:-1 gene:Manes.05G112900.v8.1 transcript:Manes.05G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGVDFPGFQTCIHKDEYEFRKPLSRLQRRAPCPLQIKPNAIVDLTQDALAATGRSINSSSPPMAPPSFNSFYHSKDPIPLLSPLVLPSLLQSAYVQQGNAGK >Manes.15G057300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4420027:4423516:-1 gene:Manes.15G057300.v8.1 transcript:Manes.15G057300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFFPPDSLCNSGTAVSFSANSAVGDARGPVITRIRSSRAPPSTFLIRMAMRISRARWFTFLRRVFHYQNGSRSSLGSNPFNSITWMMMELIALIVQITMTTFTLAISKREKPVWPMRLWIIGYDIGCLLTLLLLYGRYRQVHATQGDGFGLSDLEQQRGSDDSRYSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFESFHRAPKLHALCISLLAWNALTYSFPFLLFLLLCCCVPLISSILGYNMHMGSAEKGASEDQISSLPSWKYKAVDTNSEVGNGVDCNSTLANEDPECCICLAKYKDKEEVRQMPCSHLFHLKCIDQWLKILSCCPLCKQELER >Manes.15G057300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4420027:4423516:-1 gene:Manes.15G057300.v8.1 transcript:Manes.15G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFFPPDSLCNSGTAVSFSANSAVGDARGPVITRIRSSRAPPSTFLIRMAMRISRARWFTFLRRVFHYQNGSRSSLGSNPFNSITWMMMELIALIVQITMTTFTLAISKREKPVWPMRLWIIGYDIGCLLTLLLLYGRYRQVHATQGDGFGLSDLEQQRGSDDSSLFRYSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFESFHRAPKLHALCISLLAWNALTYSFPFLLFLLLCCCVPLISSILGYNMHMGSAEKGASEDQISSLPSWKYKAVDTNSEVGNGVDCNSTLANEDPECCICLAKYKDKEEVRQMPCSHLFHLKCIDQWLKILSCCPLCKQELER >Manes.01G250700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40958669:40960581:-1 gene:Manes.01G250700.v8.1 transcript:Manes.01G250700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKILEINLISAQGLKPPSANLRRLQTYALVWVDSSTKLRTRLDRVGGENPTWNDKFLFKVTTEFLSSETSGVSFEIYAVGCLRDSILGTVRFLISNLPVFSPNEEMIKTPSFIALQIRRPSGRFQGVLNIGVIINDSSDFATLNGVPAIDYRDLMGESINRRRRRDSKKSISMEEDAHGENSCGDSGDLSDGDDSTTSSSSAASTALKDWNGVIDLVGTNNLRSSSDNEGLFCGLLFQRRLPLCLCKQNLQVYGGSQKEN >Manes.05G017600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1643090:1647311:-1 gene:Manes.05G017600.v8.1 transcript:Manes.05G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIEMDNERLMAEMDFKDSSSAVIRIRRRLPDFLQSVKLKYVKLGYGYSCNPATILMFLIIFPLSIATLVQFTGLQLELVYEIWTTRTLKLHEIDAATRLAGSALLFILLGVYWAKRSTPIYLVDFACYKPEDERKMSVEAFLKMTEDSGSFDEETVQFQRRISTRSGLGDETYISRGITSTPPNLCMKEARAEAESVMFGALNSLFDKTGVKPRDIDILIVNCSLFNPTPSLSSMIVNHFKLRTNIKSYNLGGMGCSAGLISIDLAKDLLKANPNAYAVVVSTENITLNWYFGKERSMLLPNCIFRMGGAAILLSNKGRDKGRSKYQLVHTVRTHKGADDKSYQCVYQREDNKGIVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQFMFFVTLVRKKLLKAKVKPYIPDFKLAFEHFCIHAGGRAVLDELQKNLELSNWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVSGGDRVWQIAFGSGFKCNSAVWKALRAIPAGESKGNPWADSIHRYPVKVPVA >Manes.01G164900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34860441:34864451:-1 gene:Manes.01G164900.v8.1 transcript:Manes.01G164900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPWIHLLVLMACLQLFPAPAECMVRHYKFNVVMKNTSKLCSSKPIVTVNGKFPGPTLVAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNFVYNFTITGQRGTLWWHAHILWLRATVHGAIVILPKRGVPYPFPTPYKEEVVILGEWWKSDVEAVVNEAMKSGLAPNVSDAHTINGHPGPVSTCPSQGGFTLPVRSGKTYMLRIINAALNEELFFKIAGHQLTVVEVDAAYVKPFKTDTVLITPGQTTNVLLTADRNSGKYLVAAAPFMDAPIAVDNVTASATLHYSGTLSSVATTLTAPPPQNATPVATKFSNALRSLNSKKYPARVPLTIDHSLFFTIGLGVNPCATCVNGSRVVADINNITFVMPKISLLQAHFFNISGVFTDDFPGNPPIAYNYTGTQPTNFQTIKGTKVYRLAYNSTVQLVLQDTGMLTPENHPIHLHGFNFFEVGRGIGNFNPKQDPKNFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESLLPPPPDLPKC >Manes.01G164900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34860441:34863115:-1 gene:Manes.01G164900.v8.1 transcript:Manes.01G164900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSKLCSSKPIVTVNGKFPGPTLVAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNFVYNFTITGQRGTLWWHAHILWLRATVHGAIVILPKRGVPYPFPTPYKEEVVILGEWWKSDVEAVVNEAMKSGLAPNVSDAHTINGHPGPVSTCPSQGGFTLPVRSGKTYMLRIINAALNEELFFKIAGHQLTVVEVDAAYVKPFKTDTVLITPGQTTNVLLTADRNSGKYLVAAAPFMDAPIAVDNVTASATLHYSGTLSSVATTLTAPPPQNATPVATKFSNALRSLNSKKYPARVPLTIDHSLFFTIGLGVNPCATCVNGSRVVADINNITFVMPKISLLQAHFFNISGVFTDDFPGNPPIAYNYTGTQPTNFQTIKGTKVYRLAYNSTVQLVLQDTGMLTPENHPIHLHGFNFFEVGRGIGNFNPKQDPKNFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESLLPPPPDLPKC >Manes.09G088332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24932025:24941269:1 gene:Manes.09G088332.v8.1 transcript:Manes.09G088332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRLAVFRAVEAGGNTKLTRTVRSYADTGVHDAGNALVEGSKIIQPGVGTGILKNFRLTVKRLEEVSVSCRGIERVQLLRRWLVALKEADRLSAAYPENNDKNPNEQIISDEDKDSPSNPTVDCYVDPELGTMNFGDAFLYSQALEGITLSMILEAPNEEEVLLLLEIFGLCLAGGKEVHTVVIRSIQDLALAFSAYQDEVLVKREELLQYAQCAISGLKINDRIARIDAEACSLIQKIDNMKEFHQSPNESGKQSSEGTTVATVKTHEETLGQIELCSTLEALLLKKKSLSNGDSPGSHAGKVEKLKVLLESLLNSTSKAEKRILDNRSQKEEAVSFRVAKTSEVNQLEKELANEIGELERHKDELEAELKKVNSSLTAARSRLHNAREEQEQFDEASNQVLMHLKARDEELSRSISSCRVEADVVNRWINFLEDTWALHTTYIEQKEKQVNAELERYGDYFVNLVIHLLTSYKEQLEPSVSRVRGLVGDLHSFQGPEIAPSMKDSDSSKVVQKRKSLEKEYLDLEGKFLSTLSTVDVVKKQFCNGNDGIPRKDDERIKELFNALEKMKEEFESIGRPILEVETRIQRSLSLPRPRGSLSPFFRQTVENKHHKRSKTSFLNQKTSALVELEELESELGKDDLDYLSEEIGEWEFDELEKELSATS >Manes.04G087282.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28903130:28903724:-1 gene:Manes.04G087282.v8.1 transcript:Manes.04G087282.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGFFFFFLPSFSCRPACYCIPTWIKKEKVKKDKGKEKTDVKLIREREREWQAGRRGYCTCIYVMYTERIGRLCGRLDDCCGGYLMGGLAKQG >Manes.07G038815.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4653817:4655316:1 gene:Manes.07G038815.v8.1 transcript:Manes.07G038815.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAMEELPRSYPHPPPSPAPLSPAASPAPHSWRFEVFLSFSGQDTRGNFTDHLLSGLLERQVKAYRDDKNLPRGSFISKALLRAIERSRISIIVFSKNYAASRWCLDELVKIIKCRKLLGHIILPVFFDVRPDHVAKQTGPYKKIFRKYEEKYKNNKQKVEKWKDALKTVAEISGWDKENYRSESKLIRIIAKKVVRKLRKAAPTVGNQLVQLNSKVEEMKLKLYEKWEEIGTIKFYGLQWGRKIWDSSKDKKRWQSQHVSEFCLMKWTN >Manes.08G135200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37230316:37231317:1 gene:Manes.08G135200.v8.1 transcript:Manes.08G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVIASLCMALLVFFIAKANSQSASNVRATYHVYNPQQNNWDLTAVSAFCATWDASKPLEWRRKYGWTAFCGPAGPQGQAACGKCLSVTNTGTGDRVTVRIVDQCSNGGLDLEEGVFRQIDSNGQGIARGHLIVNYQFVDCGD >Manes.01G263900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41861063:41863209:1 gene:Manes.01G263900.v8.1 transcript:Manes.01G263900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSDVQKRPGGRPLGPPPRGPPLLQPVDREKICPLLLRVFTKMGSHHSKEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRDARLSFAFVYPDKNGRFVVREVGKTYSNRNGKLDDGKALAELGFQIGDYLDVAIL >Manes.05G158700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27116326:27123545:-1 gene:Manes.05G158700.v8.1 transcript:Manes.05G158700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTQHQHQHQHQPAQPQPQPQFQVQAQRQPQPQPQPQPQPYHDPIPSSTPTSELISIPHQTVSPAKIPPSRPRKVRKLSPEDAATTSNDPNSSHITNTAADPPQTTAKSAKIKNTQQRALVVVPQRIIARSLSCEGEVENAIRHLRDSDPLLASLIDLHPPPTFDTFHTPFLALTRSIIYQQLAFKAGTSIYTRFIALCGGEAGVLPDTVLALTQQQLRQIGVSGRKASYLHDLARKYHNGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQLLYNLEELPRPSQMDHLCEKWRPYRSVASWYLWRFVEAKGSPSSAVAVATGASMAQQQQEEEQHQQQPQLLDPINSILNLGACAWGQ >Manes.08G146011.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38758359:38758737:-1 gene:Manes.08G146011.v8.1 transcript:Manes.08G146011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHNKTSKDKQYKTYTNDVSSEMSCLSLIHKFIFYFLVFQLLGFKIDLMFLAFKYSSITDHRSHKHKP >Manes.07G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:943426:950389:1 gene:Manes.07G007800.v8.1 transcript:Manes.07G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTGALDLASGLGGKIDKSEVLSAVEKYEKYHVFYGGAQEERKANYTDMVNKYYDLATSFYEFGWGESFHFAHRFKGESLQESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQIARGKVLNCIAGIDKTCDFVKADFMKMPFPDSSFDAIYAIEATCHAPDAYGCYSEIYRVLKPGQFFAAYEWCMTDSFDPSNQEHQKIKSEIEIGNGLPDIRITGQCIEALKKAGFEVIWSKDLAASSPVPWYLPLDKNHFSLSSFRLTAPGRFFTRNMVKVLEFVGLAPQGSQRVQDFLEKAAEGLVEGGRKEIFTPMFFFLARKPHSQSQ >Manes.07G007800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:943433:950389:1 gene:Manes.07G007800.v8.1 transcript:Manes.07G007800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTGALDLASGLGGKIDKSEVLSAVEKYEKYHVFYGGAQEERKANYTDMVNKYYDLATSFYEFGWGESFHFAHRFKGESLQESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQIARGKVLNCIAGIDKTCDFVKADFMKMPFPDSSFDAIYAIEATCHAPDAYGCYSEIYRVLKPGQFFAAYEWCMTDSFDPSNQEHQKIKSEIEIGNGLPDIRITGQCIEALKKAGFEVIWSKDLAASSPVPWYLPLDKNHFSLSSFRLTAPGRFFTRNMVKVLEFVGLAPQGSQRVQDFLEKAAEGLVEGGRKEIFTPMFFFLARKPHSQSQ >Manes.07G007800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:944490:950389:1 gene:Manes.07G007800.v8.1 transcript:Manes.07G007800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKYYDLATSFYEFGWGESFHFAHRFKGESLQESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQIARGKVLNCIAGIDKTCDFVKADFMKMPFPDSSFDAIYAIEATCHAPDAYGCYSEIYRVLKPGQFFAAYEWCMTDSFDPSNQEHQKIKSEIEIGNGLPDIRITGQCIEALKKAGFEVIWSKDLAASSPVPWYLPLDKNHFSLSSFRLTAPGRFFTRNMVKVLEFVGLAPQGSQRVQDFLEKAAEGLVEGGRKEIFTPMFFFLARKPHSQSQ >Manes.07G007800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:943433:950389:1 gene:Manes.07G007800.v8.1 transcript:Manes.07G007800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTGALDLASGLGGKIDKSEVLSAVEKYEKYHVFYGGAQEERKANYTDMVNKYYDLATSFYEFGWGESFHFAHRFKGESLQESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQIARGKVLNCIAGIDKTCDFVKADFMKMPFPDSSFDAIYAIEATCHAPDAYGCYSEIYRVLKPGQFFAAYEWCMTDSFDPSNQEHQKIKSEIEIGNGLPDIRITGQCIEALKKAGFEVIWSKDLAASSPVPWYLPLDKNHFSLSSFRLTAPGRFFTRNMVKVLEFVGLAPQGSQRVQDFLEKAAEGLVEGGRKEIFTPMFFFLARKPHSQSQ >Manes.07G007800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:944117:950389:1 gene:Manes.07G007800.v8.1 transcript:Manes.07G007800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKYYDLATSFYEFGWGESFHFAHRFKGESLQESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSLTSVTGLNNNEYQIARGKVLNCIAGIDKTCDFVKADFMKMPFPDSSFDAIYAIEATCHAPDAYGCYSEIYRVLKPGQFFAAYEWCMTDSFDPSNQEHQKIKSEIEIGNGLPDIRITGQCIEALKKAGFEVIWSKDLAASSPVPWYLPLDKNHFSLSSFRLTAPGRFFTRNMVKVLEFVGLAPQGSQRVQDFLEKAAEGLVEGGRKEIFTPMFFFLARKPHSQSQ >Manes.12G093400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18379283:18381365:1 gene:Manes.12G093400.v8.1 transcript:Manes.12G093400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNNISKQWKKITVLSIDGGGIRGIIPATILASLESKLQDLDGPDARIADYFDVIAGTSTGGLVTTMLTAPNKDKRPMFQAKDIKNFYLEHCPKIFPQKSRNNFISSITNFFGAVMGPKYDGKYLKGLTNELLGDLTIKETLADVIIPAFDIKLLQPVIFSTDDAKVDALRNARLADICISTSAAPTFFPAHSFTIKDDQRTRTFELIDGGVAANNPTLLAISHIQKEILMENPLFSDAKLTESKSMLVLSLGTGASKFEEKYNAATASKWGLINWVFDNGKTPLLDIFSDASSDLVDFHVTALFQSLHSKDYYLRIQDDALIGDASSVDVATKENLQRLADIGSELLKKTVSRVNLDNGRFKNIPGAPTNEAALANFAKLLSEERKHRHDTPSTES >Manes.12G093400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18379283:18381365:1 gene:Manes.12G093400.v8.1 transcript:Manes.12G093400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNNISKQWKKITVLSIDGGGIRGIIPATILASLESKLQDLDGPDARIADYFDVIAGTSTGGLVTTMLTAPNKDKRPMFQAKDIKNFYLEHCPKIFPQKSRNNFISSITNFFGAVMGPKYDGKYLKGLTNELLGDLTIKETLADVIIPAFDIKLLQPVIFSTDDAKVDALRNARLADICISTSAAPTFFPAHSFTIKDDQRTRTFELIDGGVAANNPTLLAISHIQKEILMENPLFSDAKLTESKSMLVLSLGTGASKFEEKYNAATASKWGLINWVFDNGKTPLLDIFSDASSDLVDFHVTALFQSLHSKDYYLRIQVMHHRLMSPPKRICKDLRILEVSS >Manes.04G064900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25785080:25816484:-1 gene:Manes.04G064900.v8.1 transcript:Manes.04G064900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQFSGSNLSRGLARKSSVHNEAAKGTRRFSLGCPSEVVKGLGRRDVASNCCLSADYRKVLSPSVTRRKKPRLGVAFNQLSSGYGVESANVEERSSLEEEYSLINSRDEHLDSTGVPIDQSHSSDVKRELIMLSLPAIAGQAIDPLSQLMETAYIGRLGPVELGSAGVSITIFNNISKLFNIPLLSVATSFVAEDISRNEIKDSPSEQNVQENITNGKPTADVAERKQLSSVSTALLLAVGIGIFEAVALSLGCGPFLNLMGIKLDSPMRVPAERFLLLRAVGAPAVVVSLALQGIFRGFKDTKSPVYCLALGNLSAIFLFPILMYYFKLGVTGAAISTVVSQYIVAFLMIWNLNKRVVLLPPKMGSLQFGVYLKSGGFLIGRTLAVLTTMTLATSMAARQGPLAMAAHQICMQVWLAVSLLTDALAASGQALTASYLSKGDYKNVKEVANFVLKIGLLTGACLAAILGVSFGSIATLFTKDDEVLGIVRMGVLFVSVSQPMNALAFIFDGLHYGVSDFPYAACSMMLVGALSSIFLLYAPPIIGIRGVWYGLALFMGLRTAAGFIRLLSKSGPWWFLHKDLHSAQLVGRDLNATIN >Manes.01G172600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35415542:35418906:-1 gene:Manes.01G172600.v8.1 transcript:Manes.01G172600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRELAMNGVEVKVHSQRGGIRRATGGLIFYVVAQTINAAVQMGMSWLVPLFVRANILLFFISMYVNDCPKNSESCIGKFLGRFSFQPVKENPLLVPSSSTLEKMGALDVDKVVHRHQAWRLISCIWLHAGVFHLLANMLSLVFIGIRLEQEFGFSMHPLSYFLLCYYLCHAFILLSALFIQLGISIGASGALFGLLRGMLLELITNWTIYANKMYLAARMTLILIIVINLAVGILPHVDNFAHIGGFLSGFLLGFVLLIWPHFGWYVLWVVSLMLLIFGFTAGLVALLQGVDLNNHCFWCHYLSCVPTSLLSCNNSQKAYCESSQIGNQFNLTCLSNGKNHTYQLQLSSIFKD >Manes.09G090321.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26041033:26046891:1 gene:Manes.09G090321.v8.1 transcript:Manes.09G090321.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEIRKISRSAWPKVVARKWLNIQSGADEFHSDYCITDRTATRKSCRDEDYFVFVPEDFSEWLTEAGDGVKRSKMEPEAPTPTDTLNLRMFVGTWNVGGKSPHEGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALHSSKNDQEFSHYYKHVTDAKVSSSPQPDQQACIKPRVSFSDLLSLEDELGNEDFERLLNLNSNLSSAEEGSPSPTYMSRGSPMRRHYCLAASKQMVGIFLCVWVRSDLYKHISNLKVSCVGRGIMGYLGNKGSVSISMTLHQTTFCFVCTHLTSGEKEGDEVRRNSDVTEILKKTRFFHSCRDLGQPPPPESILDHDKVIWLGDLNYRLAAGCGDTHELLKKNNWQALLEKDQLKIEQRAGRVFKGWEEGRIYFPPTYKYLTNSDHYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRSVHSLFSVQVILSHSNTSQKARRCSTSSVLQSACAAKVQAEELLIIPREQSCIDTTPRL >Manes.09G090321.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26040934:26047843:1 gene:Manes.09G090321.v8.1 transcript:Manes.09G090321.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEIRKISRSAWPKVVARKWLNIQSGADEFHSDYCITDRTATRKSCRDEDYFVFVPEDFSEEWLTEAGDGVKRSKMEPEAPTPTDTLNLRMFVGTWNVGGKSPHEGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALHSSKNDQEFSHYYKHVTDAKVSSSPQPDQQACIKPRVSFSDLLSLEDELGNEDFERLLNLNSNLSSAEEGSPSPTYMSRGSPMRRHYCLAASKQMVGIFLCVWVRSDLYKHISNLKVSCVGRGIMGYLGNKGSVSISMTLHQTTFCFVCTHLTSGEKEGDEVRRNSDVTEILKKTRFFHSCRDLGQPPPPESILDHDKVIWLGDLNYRLAAGCGDTHELLKKNNWQALLEKDQLKIEQRAGRVFKGWEEGRIYFPPTYKYLTNSDHYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRSVHSLFSVQVILSHSNTSQKARRCSTSSVLQSACAAKVQAEELLIIPREQSCIDTTPRL >Manes.09G090321.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26040934:26047843:1 gene:Manes.09G090321.v8.1 transcript:Manes.09G090321.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEIRKISRSAWPKVVARKWLNIQSGADEFHSDYCITDRTATRKSCRDEDYFVFVPEDFSEWLTEAGDGVKRSKMEPEAPTPTDTLNLRMFVGTWNVGGKSPHEGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALHSSKNDQEFSHYYKHVTDAKVSSSPQPDQQACIKPRVSFSDLLSLEDELGNEDFERLLNLNSNLSSAEEGSPSPTYMSRGSPMRRHYCLAASKQMVGIFLCVWVRSDLYKHISNLKVSCVGRGIMGYLGNKGSVSISMTLHQTTFCFVCTHLTSGEKEGDEVRRNSDVTEILKKTRFFHSCRDLGQPPPPESILDHDKVIWLGDLNYRLAAGCGDTHELLKKNNWQALLEKDQLKIEQRAGRVFKGWEEGRIYFPPTYKYLTNSDHYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRSVHSLFSVQVILSHSNTSQKARRCSTSSVLQSACAAKVQAEELLIIPREQSCIDTTPRL >Manes.09G090321.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26041033:26046891:1 gene:Manes.09G090321.v8.1 transcript:Manes.09G090321.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEIRKISRSAWPKVVARKWLNIQSGADEFHSDYCITDRTATRKSCRDEDYFVFVPEDFSEEWLTEAGDGVKRSKMEPEAPTPTDTLNLRMFVGTWNVGGKSPHEGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALHSSKNDQEFSHYYKHVTDAKVSSSPQPDQQACIKPRVSFSDLLSLEDELGNEDFERLLNLNSNLSSAEEGSPSPTYMSRGSPMRRHYCLAASKQMVGIFLCVWVRSDLYKHISNLKVSCVGRGIMGYLGNKGSVSISMTLHQTTFCFVCTHLTSGEKEGDEVRRNSDVTEILKKTRFFHSCRDLGQPPPPESILDHDKVIWLGDLNYRLAAGCGDTHELLKKNNWQALLEKDQLKIEQRAGRVFKGWEEGRIYFPPTYKYLTNSDHYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRSVHSLFSVQVILSHSNTSQKARRCSTSSVLQSACAAKVQAEELLIIPREQSCIDTTPRL >Manes.02G215070.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:35732731:35734311:1 gene:Manes.02G215070.v8.1 transcript:Manes.02G215070.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTKTTNRFSRRTTTRMTMKMTMVKAETTMTMTMTMTMTTTTTRRKTVTRMKTVKKSLALDIQLFVYTVETAHTREGPDLSPSDPQWRSSTIPGPDEAKHSILLERLRLRHLKHSSKPSQAKTQSPPKPVVAIEKDEDGFKSKKGKKMVGSFEEIGLSEEVMGAVREMGIEVPTEIQCIGIPAVLDGKSVVLGSHTGSGKTLAYMLPLVQVNLLSCLIDFLVLLL >Manes.17G074200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27425281:27430842:1 gene:Manes.17G074200.v8.1 transcript:Manes.17G074200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQILYQNGISPISSSVANGDNGARSALIFLGTGCSSAVPNAMCLIQPPDPPCHVCSQALSVPPEKNPNYRCNTSLLIDYCQSDEKHSYILIDVGKTFREQVLRWFTFHKIPRVDSIILTHEHADAVLGLDDIRAVQPFSPTNDIDPTPIYLSQYAMNSIAVKFPYLMKKKLREGQEVRRVAQLDWKIIEEDCQRPFVTSGIQFVPLPVMHGEDYISLGFLFGEKSRVAYISDVSRFPESTEYLISKAGAGQLDLLILDTLYKNGSHNTHFCFPQTLEAVKRLCPKQALLIGMTHEFDHYADNDFLMEWSKREGIPVQLAHDGMRVPIEL >Manes.17G074200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27425281:27430842:1 gene:Manes.17G074200.v8.1 transcript:Manes.17G074200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQILYQNGISPISSSVANGDNGARSALIFLGTGCSSAVPNAMCLIQPPDPPCHVCSQALSVPPEKNPNYRCNTSLLIDYCQSDEKHSYILIDVGKTFREQVLRWFTFHKIPRVDSIILTHEHADAVLGLDDIRAVQPFSPTNDIDPTPIYLSQYAMNSIAVKFPYLMKKKLREGQEVRRVAQLDWKIIEEDCQRPFVTSGIQFVPLPVMHGEDYISLGFLFGEKSRVAYISDVSRFPESTEYLISKAGAGQLDLLILDTLYKNGSHNTHFCFPQTLEAVKRLCPKQALLIGMTHEFDHYADNDFLMEWSKREGIPVQLAHDGMRVPIEL >Manes.10G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:471648:474595:1 gene:Manes.10G002200.v8.1 transcript:Manes.10G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPWPENPSTSRERVIKELIQGQEIATHLQFLLKKPYGDDGFLSANELVVKILRSFTEALSLLTSCDFVEILQNQTTSQVDSVGCDDRRSEDSGESRKRPTTKDSRGSYKRKKISQSCTIVSPTTEDGLAWRKYGQKEILNAKYPRSYFRCTHKYDQGCKATKQVQRMEEDPQMFRTIYIGDHTCRDILKVPQIITEPDSHMLQHKEEAPLSDLTDNLSSLDPIMWKDLVSFESSDEPAAADSITMCAEGSCQSYDMDLVVKAIDFDSDLQFDESDFNYLS >Manes.12G103411.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:27816246:27816791:1 gene:Manes.12G103411.v8.1 transcript:Manes.12G103411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSCNPVTAQHFHSISNLHVTSCPPKLFLFTSAILASHCSSALSMAAPSLSLFSFFFFICLALSSIIHAQVEESGLKISADALEWPMAMSMYSDLDEKSEFGDGLIDLDGEEDGDEEMGVRRSLFWRRVHYYISYGALAANRIPCPPRSGRSYYTHNCFKARAPVNPYSRGCSRITRCRR >Manes.05G082900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6811152:6814738:-1 gene:Manes.05G082900.v8.1 transcript:Manes.05G082900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQFLSRNRSNLPSIPLPRRLPKLHRLPVLAVLTRPPEKITGVKEKNSSKPADKVRELTRKTVYNDNWFDKLAINHLSKSVQAATGLRNCKTGYESLVQAAMDASQKFSLIQQRELVLQALDTAFPRLILDLIKAVMPQSQFAREYFAAFTTLFFVWLIGPCEVRESELNGRKEKNVVHIEKCRFLEETNCVGMCTNLCKVPSQTFIKHSLGMPVNMVPNFDDMSCEMIFGQDPPMPTEDPAFNQPCYKLCKTKQKHRVKCSS >Manes.08G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4171123:4173820:1 gene:Manes.08G042500.v8.1 transcript:Manes.08G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGVETPLLSSEAKHANHQKETGSIRRRNSVSHLKCDFISNLPDKVRAGLDPESPFNLDLSKTKGFVQGEKEYYEKQFATLKSFEEVDSLQSQDINEAQENQEQLKHERAMNISNWANILLLAFKIYATVKSGSLAIAASTLDSLLDLMAGGILWFTHLSMKSINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLIQAVEQLIENKPSDKMNSEQLTWLYAIMLTATGVKLILWLYCRSSGNEIVRAYAKDHYFDVVTNVIGLVAAVLGDKFYWWIDPTGALFLAIYTILNWSGTVLENAVSLVGQSAPPEFLQKLTYLVLRHHPKIKRVDTVRAYTFGVLYFVEIDIELPEDLPLKEAHYIGESLQIKIEELPHVERAFVHLDYECNHKPEHSVLNKLPNNLD >Manes.10G135400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30294064:30298735:1 gene:Manes.10G135400.v8.1 transcript:Manes.10G135400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSNSRQVTFSKRRTGLLKKAQELAILCDAEVAVIIFSNTGKLFEFSSSGMKRTLSRYKKCLDSTEPARVEHKAEKQDSKEVDNLKEEIVKLQAKQLMLLGHDLTGLSLKELQHLEQQLNEGLLCVKEKKEHLLMEQLEQSRVQEQRAMLENETLRRQVEELRCFFPSTDHSVPTYHEYYSMERKHSLGSASADIACNCSTEKGDSDTTLHLGYATGLMLLDPSAD >Manes.10G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30294064:30298695:1 gene:Manes.10G135400.v8.1 transcript:Manes.10G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSNSRQVTFSKRRTGLLKKAQELAILCDAEVAVIIFSNTGKLFEFSSSGMKRTLSRYKKCLDSTEPARVEHKAEKQDSKEVDNLKEEIVKLQAKQLMLLGHDLTGLSLKELQHLEQQLNEGLLCVKEKKEHLLMEQLEQSRVQEQRAMLENETLRRQVEELRCFFPSTDHSVPTYHEYYSMERKHSLGSASADIACNCSTEKGDSDTTLHLGLPTDRYRKRKAPEGESHSNDSGSQLVLL >Manes.18G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4507886:4512189:-1 gene:Manes.18G053300.v8.1 transcript:Manes.18G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMKHSSMYLEQADLEGYENSGIHKNLDDDGRAKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAILMAFSFITFFTSTLLADSYRSPDPVTGKRNYTYTDAVRANLGGRKVLLCGLAQYVNLVGVTIGYTITASISMVAVKRSNCFHKHGHENKCHISNYPYMIIFAGIQIILSQIPNFHKLSWLSILAAVMSFAYSSIGLGLSIAKVAGGGAARTSLTGVTVGVDVTAAQKVWKAFQAIGDIAFAYAYSTVLIEIQDTVKSSPPENKAMKKASFVGILTTTLFYVLCGCVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFCQPIFSFVERSCNQRWPENKFITREHDINIPFYGVYYLNSFRLVWRTLYVIVTAVVAMIFPFFNDFLGLIGAAAFWPLTVYFPIEMYIARTKMPKFSFTWTWLKILSLACLVVSLVAAAGSVEGLMNSLKTYKPFQSDQ >Manes.10G034900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3561485:3576420:1 gene:Manes.10G034900.v8.1 transcript:Manes.10G034900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWEIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASVAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHNKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLYEMISRSSSTANQDGNTLVSVLGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSLIGLVTQEPALLSLSIKDNIAYGRDATLDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLKCEEAAKLPRRMPARNYMETTAFQIEKDSSASHSFQEPSSPKMMKSPSLQRVPGVSRPLDGTFNSQESPKALSPPPEKMMENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSANRQTSTGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDFPTKFKDAKDTKHREAPSFWRLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPEQHHLRQDVNKWCLIIACMGVVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVLVAVVIGMLLQWRLALVALATLPILMVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYILQLRKILKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAYCVKNGYMDMPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLMERFYDPVAGQVLLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENIIYARHSASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKALRQHRLV >Manes.10G034900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3567602:3576407:1 gene:Manes.10G034900.v8.1 transcript:Manes.10G034900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICDNEAALHIASNLVFHERTKHTELSLTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSNYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWEIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASVAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHNKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLYEMISRSSSTANQDGNTLVSVLGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSLIGLVTQEPALLSLSIKDNIAYGRDATLDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLKCEEAAKLPRRMPARNYMETTAFQIEKDSSASHSFQEPSSPKMMKSPSLQRVPGVSRPLDGTFNSQESPKALSPPPEKMMENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSANRQTSTGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDFPTKFKDAKDTKHREAPSFWRLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPEQHHLRQDVNKWCLIIACMGVVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVLVAVVIGMLLQWRLALVALATLPILMVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYILQLRKILKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAYCVKNGYMDMPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLMERFYDPVAGQVLLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENIIYARHSASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKALRQHRLV >Manes.10G034900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3561485:3576710:1 gene:Manes.10G034900.v8.1 transcript:Manes.10G034900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRGLFGLSPPHIQPLTPVSEVSEPPESPSPYLDTSAEAAAAAAAAAQAEAEEEMEETEDMEPPPAAVPFTRLFACADRLDWCLMIVGSLAAAAHGTALVVYLHYFAKIVEVMRIGPNSNRHEEQFERFKDLSLTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSNYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWEIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASVAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHNKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLYEMISRSSSTANQDGNTLVSVLGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSLIGLVTQEPALLSLSIKDNIAYGRDATLDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLKCEEAAKLPRRMPARNYMETTAFQIEKDSSASHSFQEPSSPKMMKSPSLQRVPGVSRPLDGTFNSQESPKALSPPPEKMMENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSANRQTSTGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDFPTKFKDAKDTKHREAPSFWRLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPEQHHLRQDVNKWCLIIACMGVVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVLVAVVIGMLLQWRLALVALATLPILMVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYILQLRKILKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAYCVKNGYMDMPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLMERFYDPVAGQVLLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENIIYARHSASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKALRQHRLV >Manes.10G034900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3561485:3576414:1 gene:Manes.10G034900.v8.1 transcript:Manes.10G034900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWEIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASVAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHNKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLYEMISRSSSTANQDGNTLVSVLGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSLIGLVTQEPALLSLSIKDNIAYGRDATLDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLKCEEAAKLPRRMPARNYMETTAFQIEKDSSASHSFQEPSSPKMMKSPSLQRVPGVSRPLDGTFNSQESPKALSPPPEKMMENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSANRQTSTGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDFPTKFKDAKDTKHREAPSFWRLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPEQHHLRQDVNKWCLIIACMGVVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVLVAVVIGMLLQWRLALVALATLPILMVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYILQLRKILKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAYCVKNGYMDMPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLMERFYDPVAGQVLLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENIIYARHSASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKALRQHRLV >Manes.10G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3561409:3576710:1 gene:Manes.10G034900.v8.1 transcript:Manes.10G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRGLFGLSPPHIQPLTPVSEVSEPPESPSPYLDTSAEAAAAAAAAAQAEAEEEMEETEDMEPPPAAVPFTRLFACADRLDWCLMIVGSLAAAAHGTALVVYLHYFAKIVEVMRIGPNSNRHEEQFERFKDLSLTIVYIAVGVFAAGWIEVSCWILTGERQTAVIRSNYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFINCWEIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASVAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHNKAHGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLYEMISRSSSTANQDGNTLVSVLGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSLIGLVTQEPALLSLSIKDNIAYGRDATLDQIEEAAKIAHAHTFISSLERGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLKCEEAAKLPRRMPARNYMETTAFQIEKDSSASHSFQEPSSPKMMKSPSLQRVPGVSRPLDGTFNSQESPKALSPPPEKMMENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSANRQTSTGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDFPTKFKDAKDTKHREAPSFWRLAELSFAEWLYAVLGSIGAAIFGSFNPLLAYVIALIVTAYYRPEQHHLRQDVNKWCLIIACMGVVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDDEENSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVLVAVVIGMLLQWRLALVALATLPILMVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYILQLRKILKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAYCVKNGYMDMPTAIKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLMERFYDPVAGQVLLDGRDLKLYNLRWLRSHLGLVQQEPIIFSTTIRENIIYARHSASEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGSHDSLMAKNGLYVRLMQPHFGKALRQHRLV >Manes.14G028800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2611169:2618256:-1 gene:Manes.14G028800.v8.1 transcript:Manes.14G028800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPASPMSFSSNNVSISGSSVVDGSSVVQQGNHQDQSSQQVQQNQQQQQGASSATSLPSSQAVQPSLPMGPRAPGGFLQDSNNLTQVQKKPRLDIKQEEILQQQVFQQLLQRQDSMQLQGRTPQLQTLLHQQRLRQQQQLFQSMPPLQRAQLQQQQQQQQMQLRQQMQEQTMQPVSSMKRPHDGGICARRLMQYLYHQRQRPAENSIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMEAWQCDICGSKSGRGFEATFEVLPRLDEIKFGSGVIDELLFLDLPREVRFPSGIMMLEYGKAVQESVYEQLRVVREGQLRIIFTHDLKILSWEFCARRHEELLPRRVVAPQVNQLVQVAQKCQSTIAESGSEGVSQQDLQTNSTMVLTAGRQLAKTLDLQSVNDLGFSKRYVRCLQISEVVNSMKDLIDFCREHKVGPIEGLKSYPRHNGAAKLQMQKMQEMEQLVNVQGLPTDRNTLNKLMALHPSINNHMSNNSHMVSRGALSGSAQAALALSNYQNLLMRQNSMNSNSNSLQQESTSSFNNSHQSPSSNFQGPAAFVPGSMQSLPASGISSAQIPPQQQQVRTLSINSLLQQNHPAPSQGNQALQQQMIQQLLQEINNGGGGVQQHPFAGQNGNGSMAGNGLGLGSNSSAPPPAGVTVSGSVAEPAPSRSNSFKAASNSYSSAAGGNSGFNQKVPDLPQNLHIQDDIVPDIANEFNENGFFNSDIDDNIGYGWKA >Manes.14G028800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2611169:2618256:-1 gene:Manes.14G028800.v8.1 transcript:Manes.14G028800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVAGGLAQSSLSSGIFFHGDGQSQAVVSSHLTSSFGNSSNSIPGTVRPNLGPVSGDVNNAVLNSVATSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNVSISGSSVVDGSSVVQQGNHQDQSSQQVQQNQQQQQGASSATSLPSSQAVQPSLPMGPRAPGGFLQDSNNLTQVQKKPRLDIKQEEILQQQVFQQLLQRQDSMQLQGRTPQLQTLLHQQRLRQQQQLFQSMPPLQRAQLQQQQQQQQMQLRQQMQEQTMQPVSSMKRPHDGGICARRLMQYLYHQRQRPAENSIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMEAWQCDICGSKSGRGFEATFEVLPRLDEIKFGSGVIDELLFLDLPREVRFPSGIMMLEYGKAVQESVYEQLRVVREGQLRIIFTHDLKILSWEFCARRHEELLPRRVVAPQVNQLVQVAQKCQSTIAESGSEGVSQQDLQTNSTMVLTAGRQLAKTLDLQSVNDLGFSKRYVRCLQISEVVNSMKDLIDFCREHKVGPIEGLKSYPRHNGAAKLQMQKMQEMEQLVNVQGLPTDRNTLNKLMALHPSINNHMSNNSHMVSRGALSGSAQAALALSNYQNLLMRQNSMNSNSNSLQQESTSSFNNSHQSPSSNFQGPAAFVPGSMQSLPASGISSAQIPPQQQQVRTLSINSLLQQNHPAPSQGNQALQQQMIQQLLQEINNGGGGVQQHPFAGQNGNGSMAGNGLGLGSNSSAPPPAGVTVSGSVAEPAPSRSNSFKAASNSYSSAAGGNSGFNQKVPDLPQNLHIQDDIVPDIANEFNENGFFNSDIDDNIGYGWKA >Manes.14G028800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2610353:2618256:-1 gene:Manes.14G028800.v8.1 transcript:Manes.14G028800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVAGGLAQSSLSSGIFFHGDGQSQAVVSSHLTSSFGNSSNSIPGTVRPNLGPVSGDVNNAVLNSVATSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNVSISGSSVVDGSSVVQQGNHQDQSSQQVQQNQQQQQGASSATSLPSSQAVQPSLPMGPRAPGGFLQDSNNLTQVQKKPRLDIKQEEILQQQVFQQLLQRQDSMQLQGRTPQLQTLLHQQRLRQQQQLFQSMPPLQRAQLQQQQQQQQMQLRQQMQEQTMQPVSSMKRPHDGGICARRLMQYLYHQRQRPAENSIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMEAWQCDICGSKSGRGFEATFEVLPRLDEIKFGSGVIDELLFLDLPREVRFPSGIMMLEYGKAVQESVYEQLRVVREGQLRIIFTHDLKILSWEFCARRHEELLPRRVVAPQVNQLVQVAQKCQSTIAESGSEGVSQQDLQTNSTMVLTAGRQLAKTLDLQSVNDLGFSKRYVRCLQISEVVNSMKDLIDFCREHKVGPIEGLKSYPRHNGAAKLQMQKMQEMEQLVNVQGLPTDRNTLNKLMALHPSINNHMSNNSHMVSRGALSGSAQAALALSNYQNLLMRQNSMNSNSNSLQQESTSSFNNSHQSPSSNFQGPAAFVPGSMQSLPASGISSAQIPPQQQQVRTLSINSLLQQNHPAPSQGNQALQQQMIQQLLQEINNGGGGVQQHPFAGQNGNGSMAGNGLGLGSNSSAPPPAGVTVSGSVAEPAPSRSNSFKAASNSYSSAAGGNSGFNQKVPDLPQNLHIQDDIVPDIANEFNENGFFNSDIDDNIGYGWKA >Manes.14G028800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2611169:2618256:-1 gene:Manes.14G028800.v8.1 transcript:Manes.14G028800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVAGGLAQSSLSSGIFFHGDGQSQAVVSSHLTSSFGNSSNSIPGTVRPNLGPVSGDVNNAVLNSVATSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNVSISGSSVVDGSSVVQQGNHQDQSSQQVQQNQQQQQGASSATSLPSSQAVQPSLPMGPRAPGGFLQDSNNLTQVQKKPRLDIKQEEILQQQVFQQLLQRQDSMQLQGRTPQLQTLLHQQRLRQQQQLFQSMPPLQRAQLQQQQQQQQMQLRQQMQEQTMQPVSSMKRPHDGGICARRLMQYLYHQRQRPAENSIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMEAWQCDICGSKSGRGFEATFEVLPRLDEIKFGSGVIDELLFLDLPREVRFPSGIMMLEYGKAVQESVYEQLRVVREGQLRIIFTHDLKILSWEFCARRHEELLPRRVVAPQVNQLVQVAQKCQSTIAESGSEGVSQQDLQTNSTMVLTAGRQLAKTLDLQSVNDLGFSKRYVRCLQISEVVNSMKDLIDFCREHKVGPIEGLKSYPRHNGAAKLQMQKMQEMEQLVNVQGLPTDRNTLNKLMALHPSINNHMSNNSHMVSRGALSGSAQAALALSNYQNLLMRQNSMNSNSNSLQQESTSSFNNSHQSPSSNFQGPAAFVPGSMQSLPASGISSAQIPPQQQQVRTLSINSLLQQNHPAPSQGNQALQQQMIQQLLQEINNGGGGVQQHPFAGQNGNGSMAGNGLGLGSNSSAPPPAGVTVSGSVAEPAPSRSNSFKAASNSYSSAAGGNSGFNQKVPDLPQNLHIQDDIVPDIANEFNENGFFNSDIDDNIGYGWKA >Manes.08G170200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40434741:40439487:1 gene:Manes.08G170200.v8.1 transcript:Manes.08G170200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHKRVNWFHNLFSLAILFMFLGNAKSSNGFNAPESGQFELTVEEVDSHLPYSSANQGIYQMNDMEEDAWEMVQKKGTQFVVDDQPFYVNGFNTYWLMVFAADQSTRGKVTEVFQQASSVGLTVCRTWAFNDGQWRALQKSPGVYDEDVFKALDFVVSDARKYKMRLILSLSNNWEAYGGKAQYVKWGKAAGLNLTSDDDFFSHPTLRSYYKAHVKTVLNRVNTLTNITYKDDPTIFAWELMNEPRCTSDPSGDKLQSWIQEMAVHIKSMDAKHLVEIGLEGFYGPSAPDRAKFNPNTYATQVGTDFIRNHQTLGVDFASVHIYADSWISQTISDAHIEFTKSWMEAHIEDAEKYLDMPVIFAEFGVSTKDPGYNSSFRDTMFSTVYKTLLNSTKKGGSGGGSLLWQLFPDGTDYMDDGYAIVLSKSPSTSNLISLHSTRLAIFNSMCSWKCKWGCRKKNALETFLYHDDL >Manes.16G124700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32627994:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQVWDIGASSMILKASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQVWDIGASSMILKASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32620439:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQVWDIGASSMILKASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32620439:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQVWDIGASSMILKASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQVWDIGASSMILKASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621106:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32627994:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQVWDIGASSMILKASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32621139:32627994:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQVWDIGASSMILKASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.16G124700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32620439:32628458:-1 gene:Manes.16G124700.v8.1 transcript:Manes.16G124700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSRDLIFLILQFLDEEKLKETVHKLEQESGLFFNVKYFEELVLNGNWDEVEKYLSGFTRVDDNRYSMKIFFEIRKQKYLEALDKLDRAKAVDILAKDLKVFSSFNENLFKEITQLLTLDNFRENDQLSSYRDTKTARAIMLIEIKKLVEANPLFRDKLQLPYIKGSRLRMLINQSLNWQHSLCGNSRQNPDIRTLFVDHNCKNSNDAYAHFAASNQFIGSAPKTEGFLPMVANGPFQPTPAPLPVQTPLTTWMSNPSTVTHPIVSRGGLSFGGLNTGIAAMPKGIGDSNASRPRISGVQDKLMLPGNNPGQNPHGLALNIIDELPKTVAFLQLMLPGNNPGQNPHGLALNIIDELPKTVARILNQGSAPTSMDFHPIQQTLLLVGTGIGDISLWEVSSREKLVSKNFQVWDIGASSMILKASIIKDPCVAVKRILWSPDGSLFGVAYSKHMLQLYTYYGGQDIRHHLEIDAHIGSVNDLAFCNPNKQLSVITCGDDKTIKVWDVVTGAKLHTFEGHDAPVHSICPHTRDNVHFIFSTSVDGKIKAWLYDSLGSRVDYDAPGHSCTTMAYSSDGKRLFSCGTSKEGESHMVEWNENEGSIRRTYQGFHKRSLTVVQFDTSKNRFMAVGDDCSIKFWDMDNPNILIAIDAEGGLPASPRIRFNKEGTLLAVSANDNRIKILATIDGLHLMRTFEGHSLIASRIGVASEILIKNGDTRNLDDVKPRSLEEPNPAKTWKLVEINDPSRLRMLRLSARVKPDKIARLIYTNSGSAILALALNGIHLHWKWIQTDNSVGKVTTKVAPQLFQPSSGMLMANDIKYAKPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTTFMSPPPAATYLAFHPQDNNIIAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQIIVWNSDNWERQKSISLQIPAGKTPAAMSNTQVQFQQDQIHFLVVHETQLAIYETTKLQCIKQWTVGEFSAPISHATFSCDSQLVYCSFLDGILRIYNASNLEVQCQINPCSYFQSDVVSSTVYPLVIAAHPQEPHQFAIGLTDGSVIVFEPLESEGKWGLHPNVETRPASAIGIPPPNPPIIALDQPRSLE >Manes.13G093290.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27234145:27241517:1 gene:Manes.13G093290.v8.1 transcript:Manes.13G093290.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRSNNSKKEGPYCDHCKLGGHIKDSCFKLIGYPEWDYCCCSQYTDITTGSRSLSSNAASKLDSFIN >Manes.10G029700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3039386:3043867:-1 gene:Manes.10G029700.v8.1 transcript:Manes.10G029700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSMAMLQQVCSVPLARAVRRPRSLTVVSSRSLSTSSPASPHRTSHVNPNVLLGMSEPELQQLAVDLGQQTYRGKQLYHLIYQRKLKEIQDFSQLPQAFRNDLQEAGWTVGRSPIYRTVTAADGTVKLLIKLEDNRLIETVGIPVEDEKGSMRLTACVSSQVGCPLRCSFCATGKGGYSRNLQRHEIIEQVLAIEEIFKHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKDVQIGQRMITISTVGVPNTIKKLASCKLQSTLALSLHAPNQKLRETIVPSAKSYPLDAIMKDCRDYFLETSRRVSFEYALLAGVNDRTEHAVELAELLHEWGRGSHVNLIPFNPIEGSDYQRPKKKAIQAFAATLESRKITVSIRQTRGLDASAACGQLRNEFQKSPLLTDSDSLQPQPDIAVAC >Manes.10G029700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3039286:3044016:-1 gene:Manes.10G029700.v8.1 transcript:Manes.10G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSMAMLQQVCSVPLARAVRRPRSLTVVSSRSLSTSSPASPHRTSHVNPNVLLGMSEPELQQLAVDLGQQTYRGKQLYHLIYQRKLKEIQDFSQLPQAFRNDLQEAGWTVGRSPIYRTVTAADGTVKLLIKLEDNRLIETVGIPVEDEKGSMRLTACVSSQVGCPLRCSFCATGKGGYSRNLQRHEIIEQVLAIEEIFKHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKDVQIGQRMITISTVGVPNTIKKLASCKLQSTLALSLHAPNQKLRETIVPSAKSYPLDAIMKDCRDYFLETSRRVSFEYALLAGVNDRTEHAVELAELLHEWGRGSHVNLIPFNPIEGSDYQRPKKKAIQAFAATLESRKITVSIRQTRGLDASAACGQLRNEFQKSPLLTDSDSLQPQPDIAVAC >Manes.01G011200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3653011:3655254:1 gene:Manes.01G011200.v8.1 transcript:Manes.01G011200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPPGFRFHPTDEELITFYLTPKVSDVSFTSKAIVDADLNKCEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRGAILVGMKKTLVFYQGRAPRGQKTNWVMHEYRLQNKHPFKPTKEEWVVCRVFQKSSEATKNPQQTESSQQSLESPCDTNSIVNEFGDIELPNINNIVNSSNGFNTFLTQSSCNNETNVNMNMNLHNWAATREQQPSLSWPSSLLSSNLTMNALLLKALQMRNYHQREAAAAAAAAVAPSSSDHYSFLTQGSIISQFGADLSSNFHGCSSSSSSKVLETLPQPLQQEQPFNLDSIW >Manes.16G128700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32974955:32988077:-1 gene:Manes.16G128700.v8.1 transcript:Manes.16G128700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQVVKFVETYLKKKGFKQAELAFQDEVQHSSNVNSVDIHSDPDLSVLLLSLSQPEDTPARYNDEYSKLRSWAHSSLDLYKPELLRVLYPVFVHCYMDLVSKGHIQEARTFFNTFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRNTKSTMMLGIVNEHINFQVSPGQPCPISDDSEVVTLIGSNQDAANQINKKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGEAKEGDQDETKKRSIEGGKQGASVKKLKKDKAASATAKVVRPEANTAPIAPRVKSELPLPVISTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTRNGLNCSSISQDGSLVAGGFSDSSLKIWDMAKLGQQSSNSVLQGENDTAPSEHVLGPNGVKRSYTLFQGHSGPVYSATFSPIGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCVSPLMGHNSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVTRAEEGKSGSANRLRSLKTLPTKSTPVYTLRFSRRNLLFAAGVLSKIV >Manes.16G128700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32974955:32988077:-1 gene:Manes.16G128700.v8.1 transcript:Manes.16G128700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQVVKFVETYLKKKGFKQAELAFQDEVQHSSNVNSVDIHSDPDLSVLLLSLSQPEDTPARYNDEYSKLRSWAHSSLDLYKPELLRVLYPVFVHCYMDLVSKGHIQEARTFFNTFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRNTKSTMMLGIVNEHINFQVSPGQPCPISDDSEVVTLIGSNQDAANQINKKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGEAKEGDQDETKKRSIEGGKQGASVKKLKKDKAASATAKVVRPEANTAPIAPRVKSELPLPVISTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTRNGLNCSSISQDGSLVAGGFSDSSLKIWDMAKLGQQSSNSVLQGENDTAPSEHVLGPNGVKRSYTLFQGHSGPVYSATFSPIGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCVSPLMGHNSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVTRAEEGKSGSANRLRSLKTLPTKSTPVYTLRFSRRNLLFAAGVLSKIV >Manes.16G128700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32974951:32988077:-1 gene:Manes.16G128700.v8.1 transcript:Manes.16G128700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQVVKFVETYLKKKGFKQAELAFQDEVQHSSNVNSVDIHSDPDLSVLLLSLSQPEDTPARYNDEYSKLRSWAHSSLDLYKPELLRVLYPVFVHCYMDLVSKGHIQEARTFFNTFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRNTKSTMMLGIVNEHINFQVSPGQPCPISDDSEVVTLIGSNQDAANQINKKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGEAKEGDQDETKKRSIEGGKQGASVKKLKKDKAASATAKVVRPEANTAPIAPRVKSELPLPVISTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTRNGLNCSSISQDGSLVAGGFSDSSLKIWDMAKLGQQSSNSVLQGENDTAPSEHVLGPNGVKRSYTLFQGHSGPVYSATFSPIGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCVSPLMGHNSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVTRAEEGKSGSANRLRSLKTLPTKSTPVYTLRFSRRNLLFAAGVLSKIV >Manes.16G128700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32974955:32988077:-1 gene:Manes.16G128700.v8.1 transcript:Manes.16G128700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQVVKFVETYLKKKGFKQAELAFQDEVQHSSNVNSVDIHSDPDLSVLLLSLSQPEDTPARYNDEYSKLRSWAHSSLDLYKPELLRVLYPVFVHCYMDLVSKGHIQEARTFFNTFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRNTKSTMMLGIVNEHINFQVSPGQPCPISDDSEVVTLIGSNQDAANQINKKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGEAKEGDQDETKKRSIEGGKQGASVKKLKKDKAASATAKVVRPEANTAPIAPRVKSELPLPVISTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTRNGLNCSSISQDGSLVAGGFSDSSLKIWDMAKLGQQSSNSVLQGENDTAPSEHVLGPNGVKRSYTLFQGHSGPVYSATFSPIGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCVSPLMGHNSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVTRAEEGKSGSANRLRSLKTLPTKSTPVYTLRFSRRNLLFAAGVLSKIV >Manes.16G128700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32974951:32988077:-1 gene:Manes.16G128700.v8.1 transcript:Manes.16G128700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQVVKFVETYLKKKGFKQAELAFQDEVQHSSNVNSVDIHSDPDLSVLLLSLSQPEDTPARYNDEYSKLRSWAHSSLDLYKPELLRVLYPVFVHCYMDLVSKGHIQEARTFFNTFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRNTKSTMMLGIVNEHINFQVSPGQPCPISDDSEVVTLIGSNQDAANQINKKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGEAKEGDQDETKKRSIEGGKQGASVKKLKKDKAASATAKVVRPEANTAPIAPRVKSELPLPVISTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTRNGLNCSSISQDGSLVAGGFSDSSLKIWDMAKLGQQSSNSVLQGENDTAPSEHVLGPNGVKRSYTLFQGHSGPVYSATFSPIGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCVSPLMGHNSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVTRAEEGKSGSANRLRSLKTLPTKSTPVYTLRFSRRNLLFAAGVLSKIV >Manes.16G128700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32974955:32988077:-1 gene:Manes.16G128700.v8.1 transcript:Manes.16G128700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQVVKFVETYLKKKGFKQAELAFQDEVQHSSNVNSVDIHSDPDLSVLLLSLSQPEDTPARYNDEYSKLRSWAHSSLDLYKPELLRVLYPVFVHCYMDLVSKGHIQEARTFFNTFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRNTKSTMMLGIVNEHINFQVSPGQPCPISDDSEVVTLIGSNQDAANQINKKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGEAKEGDQDETKKRSIEGGKQGASVKKLKKDKAASATAKVVRPEANTAPIAPRVKSELPLPVISTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTRNGLNCSSISQDGSLVAGGFSDSSLKIWDMAKLGQQSSNSVLQGENDTAPSEHVLGPNGVKRSYTLFQGHSGPVYSATFSPIGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCVSPLMGHNSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVTRAEEGKSGSANRLRSLKTLPTKSTPVYTLRFSRRNLLFAAGVLSKIV >Manes.16G128700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32974950:32988077:-1 gene:Manes.16G128700.v8.1 transcript:Manes.16G128700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQVVKFVETYLKKKGFKQAELAFQDEVQHSSNVNSVDIHSDPDLSVLLLSLSQPEDTPARYNDEYSKLRSWAHSSLDLYKPELLRVLYPVFVHCYMDLVSKGHIQEARTFFNTFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRNTKSTMMLGIVNEHINFQVSPGQPCPISDDSEVVTLIGSNQDAANQINKKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGEAKEGDQDETKKRSIEGGKQGASVKKLKKDKAASATAKVVRPEANTAPIAPRVKSELPLPVISTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTRNGLNCSSISQDGSLVAGGFSDSSLKIWDMAKLGQQSSNSVLQGENDTAPSEHVLGPNGVKRSYTLFQGHSGPVYSATFSPIGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCVSPLMGHNSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVTRAEEGKSGSANRLRSLKTLPTKSTPVYTLRFSRRNLLFAAGVLSKIV >Manes.16G128700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32974955:32988077:-1 gene:Manes.16G128700.v8.1 transcript:Manes.16G128700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEQVVKFVETYLKKKGFKQAELAFQDEVQHSSNVNSVDIHSDPDLSVLLLSLSQPEDTPARYNDEYSKLRSWAHSSLDLYKPELLRVLYPVFVHCYMDLVSKGHIQEARTFFNTFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRNTKSTMMLGIVNEHINFQVSPGQPCPISDDSEVVTLIGSNQDAANQINKKEIHWGLLEDSLEERLEKAGGLLSDSEKTEGEAKEGDQDETKKRSIEGGKQGASVKKLKKDKAASATAKVVRPEANTAPIAPRVKSELPLPVISTEVEQSILEDLRNRVQLSSAALPSVSFYTFINTRNGLNCSSISQDGSLVAGGFSDSSLKIWDMAKLGQQSSNSVLQGENDTAPSEHVLGPNGVKRSYTLFQGHSGPVYSATFSPIGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCVSPLMGHNSCVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKVTRAEEGKSGSANRLRSLKTLPTKSTPVYTLRFSRRNLLFAAGVLSKIV >Manes.07G079400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24511637:24518610:1 gene:Manes.07G079400.v8.1 transcript:Manes.07G079400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCIVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAASPGKVVVPKGKYFLGVVDLIGPCKGAMHLPVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRFAVSKLYQNLRFDFVTNSIVEDVTSIDSKQFHINVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSRIYVKNCTIYDTDNGVRIKTWPALYGGIESNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSIPCNYIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.03G175800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29921852:29923813:1 gene:Manes.03G175800.v8.1 transcript:Manes.03G175800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLIGNGFAIVAADTSAVHSILVHKSNEDKIMVLDSYKLIAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELAAALRKNPYSVNFLLAGYDKETGPSLYYIDYIATLHKVEKGAFGYGSYFCLSMMDRHFHSGMSVEEAIDLVDKCIMEIRSRLVVAPPNFVIKIVDKDGAREYAWRESVREALAA >Manes.02G159200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12448499:12449316:1 gene:Manes.02G159200.v8.1 transcript:Manes.02G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSMAQSESLSAEIETLSQVSSLMEAFRAFDSDNDGFITEAELGGILGSLGYKASQQDVMAMMQQGDANKDGLLSIKEFLDMNTKDMELGELANSLKTAFEALNADGGEVVTAEELYELARDIGLELPMEDWENIVGCMDVNGDGAVSRQDFNLIVNSLI >Manes.10G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8799673:8812440:1 gene:Manes.10G062700.v8.1 transcript:Manes.10G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFSWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYARGKNSESSGKDALQDEIKRIKEEEEQAMREALGLAPKRASRSQGNRLDKHEFSELVKRGSTAEDLGAGHAEAARVDGLGFSRAPRPWEDPSTLPYNHREASPEPVKEDVRDSLPSNSGEGEKEDESSRKKRRHEEKKHEKRERREKRRSYDSDDRKKHKKDKRRRHDSDSE >Manes.09G147300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34485898:34487016:-1 gene:Manes.09G147300.v8.1 transcript:Manes.09G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNAHPFHLLEINVISAQDLAPVSKSMRTYAVAWVHPERKLTTRIDQNGHINPQWNEKFVFRVDETFLNAETSAIMIEIYAAAWLRDVQIGSVRVLISNLFPSNNNNSKMRFVALQIRRPSGRPQGILNMGVQLLDNTMRSMPLYTELSASAVGFSELIDAKTNKQSIEEKTSKLRRTQSDHTDFTITTDEFGVKESLKAKSSVVNGGSLVNFSTLKNSRKDKDAGTGGNGSMVNGSLCSDVGPSASVVAAAIAKGLIKTPGNTGGQNKTRGGSSIIEDWTDNDSVEGLRTKLERWRTELPPIYDNDTKKMMSKSRRKHHHRRRSDNPGLFTCFGNLFGLEISITCGGSNKKKYGKGKVCHLSSVDSESYL >Manes.10G073200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13608809:13619151:-1 gene:Manes.10G073200.v8.1 transcript:Manes.10G073200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFAALKEEVVRGLSPSRSRSRSNSPARTTSPFSALLRRRKSSSNNGYCNPYAAQPDTLVARSGSFRPVLGETLTPLIEGPDPDGGEVESKRVGSGIGQWMKGQLSRTPSVTNVAYRRSDLRLLLGVMGAPLAPVHVSTLDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKVKMIASEFETPTRVVKNRNGSRGVESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKKINGEDCFILKLCADPQMLKARSESPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGSFSEACELPQDERGKSVMALAAHRAKVAALEKQHDTDSMIWKMEV >Manes.10G073200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13608809:13619151:-1 gene:Manes.10G073200.v8.1 transcript:Manes.10G073200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFAALKEEVVRGLSPSRSRSRSNSPARTTSPFSALLRRRKSSSNNGYCNPYAAQPDTLVARSGSFRPVLGETLTPLIEGPDPDGGEVESKRVGSGIGQWMKGQLSRTPSVTNVAYRRSDLRLLLGVMGAPLAPVHVSTLDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKVKMIASEFETPTRVVKNRNGSRGVESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKKINGEDCFILKLCADPQMLKARSESPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGSFSEACELPQDERGKSVMALAAHRAKVAALEKQHDTDSMIWKMEV >Manes.10G073200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13608809:13619151:-1 gene:Manes.10G073200.v8.1 transcript:Manes.10G073200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFAALKEEVVRGLSPSRSRSRSNSPARTTSPFSALLRRRKSSSNNGYCNPYAAQPDTLVARSGSFRPVLGETLTPLIEGPDPDGGEVESKRVGSGIGQWMKGQLSRTPSVTNVAYRRSDLRLLLGVMGAPLAPVHVSTLDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKVKMIASEFETPTRVVKNRNGSRGVESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKKINGEDCFILKLCADPQMLKARSESPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGSFSEACELPQDERGKSVMALAAHRAKVAALEKQHDTDSMIWKMEV >Manes.10G073200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13612450:13619151:-1 gene:Manes.10G073200.v8.1 transcript:Manes.10G073200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFAALKEEVVRGLSPSRSRSRSNSPARTTSPFSALLRRRKSSSNNGYCNPYAAQPDTLVARSGSFRPVLGETLTPLIEGPDPDGGEVESKRVGSGIGQWMKGQLSRTPSVTNVAYRRSDLRLLLGVMGAPLAPVHVSTLDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKVKMIASEFETPTRVVKNRNGSRGVESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKKINGEDCFILKLCADPQMLKARSESPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGSFSEACELPQDERGKSVMALAAHRAKVAALEKQHDTDSMIWKMEV >Manes.10G073200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13608809:13619151:-1 gene:Manes.10G073200.v8.1 transcript:Manes.10G073200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFAALKEEVVRGLSPSRSRSRSNSPARTTSPFSALLRRRKSSSNNGYCNPYAAQPDTLVARSGSFRPVLGETLTPLIEGPDPDGGEVESKRVGSGIGQWMKGQLSRTPSVTNVAYRRSDLRLLLGVMGAPLAPVHVSTLDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKVKMIASEFETPTRVVKNRNGSRGVESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKKINGEDCFILKLCADPQMLKARSESPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGSFSEACELPQDERGKSVMALAAHRAKVAALEKQHDTDSMIWKMEV >Manes.10G073200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13608809:13619151:-1 gene:Manes.10G073200.v8.1 transcript:Manes.10G073200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFAALKEEVVRGLSPSRSRSRSNSPARTTSPFSALLRRRKSSSNNGYCNPYAAQPDTLVARSGSFRPVLGETLTPLIEGPDPDGGEVESKRVGSGIGQWMKGQLSRTPSVTNVAYRRSDLRLLLGVMGAPLAPVHVSTLDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKVKMIASEFETPTRVVKNRNGSRGVESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKKINGEDCFILKLCADPQMLKARSESPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLRSGSFSEACELPQDERGKSVMALAAHRAKVAALEKQHDTDSMIWKMEV >Manes.14G022550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2193402:2195130:-1 gene:Manes.14G022550.v8.1 transcript:Manes.14G022550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFEVLSIIFILGSIVSRLGECREVIAASEGVSYPAINCRRNSAVLTDFGGVGDGKTSNTIAFKSAIANLSNYASNGGAQLVVPPGKWLTGSFNLISHFTLFLHKDAVLLASEDEAEWPILPPLPSYGRGRDAPGGRFSSLIFGTNLTDVVITGNNGTIDGQGATWWDKFKKNLLKETRPYLIEFLYSNNIQISNLTLINSPSWNVHPTYSSDVLIQGLTIVAPIDSPNTDGINPDSCSNVKIQDCYVVSGDDCVAVKSGWDEYGIKVGIPTKQLVIRRLTCISPDSATIALGSEMSGGIEDVRAEDITAINTQSGVRIKTAAGRGAYVKDIFVRRLNLNTMKYVFWMTGNYGSHPHEGYDPNALPNITQINYKDVVAENVTYSARLEGIEKDPFTGICISNVSITLTEKPKKLQWNCTDISGISKKIDCPFPEDILPIDTIQLQTCYAP >Manes.05G124800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22942225:22947908:1 gene:Manes.05G124800.v8.1 transcript:Manes.05G124800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNGMFSEGLTGEASLNRDFEALSVSQRLVRSVSQKLRKKNNRCEGEEEDNVRGVSVKCLTLYGRGDGCKVGADTGEEYGDPSSRRRLSSASEEGKGYKPICGTDDTGVDCFSYGVRERFWRKNNKKDLELEDSIQNSRLHIFLPDDVLEMCLVRLPLTSLMNARLVCKKWRYLTTSPRFLKMRRDGLYQSPWLFLFGAVKDGYCSGEIHALDVSQDQWHRIDSDILKGRFMFSVASIQDDIYIVGGCSSLTHFGRVDRSSFKTHKGVLVFSPLTKSWHKVASMRYARSMPILGISEVSSDFSVVHGHQHRQERRFPRSRMGGVSDVYEDPHRLSLRRQYRNAFDESDASLFCGRKSHKFIGQKNDQQNSKGCKRFVLVAVGGLGSWDEPLDSGEIYDPISNKWTEIQKLPIDFGVVCSGVICNGIFYVYSETDKLMGYDIERGFWIGIQTFPFPPRVHEYYPKLVSCNGRLFMLSVSWCEGDGQIGQRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNAAFVADKNLIFGVEMFKIFGQVLDFLTVCDVSDTETNWSHISRNHMTHELDASSCITKSLAVLHL >Manes.05G124800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22941537:22947908:1 gene:Manes.05G124800.v8.1 transcript:Manes.05G124800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNGMFSEGLTGEASLNRDFEALSVSQRLVRSVSQKLRKKNNRCEGEEEDNVRGVSVKCLTLYGRGDGCKVGADTGEEYGDPSSRRRLSSASEEGKGYKPICGTDDTGVDCFSYGVRERFWRKNNKKDLELEDSIQNSRLHIFLPDDVLEMCLVRLPLTSLMNARLVCKKWRYLTTSPRFLKMRRDGLYQSPWLFLFGAVKDGYCSGEIHALDVSQDQWHRIDSDILKGRFMFSVASIQDDIYIVGGCSSLTHFGRVDRSSFKTHKGVLVFSPLTKSWHKVASMRYARSMPILGISEVSSDFSVVHGHQHRQERRFPRSRMGGVSDVYEDPHRLSLRRQYRNAFDESDASLFCGRKSHKFIGQKNDQQNSKGCKRFVLVAVGGLGSWDEPLDSGEIYDPISNKWTEIQKLPIDFGVVCSGVICNGIFYVYSETDKLMGYDIERGFWIGIQTFPFPPRVHEYYPKLVSCNGRLFMLSVSWCEGDGQIGQRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNAAFVADKNLIFGVEMFKIFGQVLDFLTVCDVSDTETNWSHISRNHMTHELDASSCITKSLAVLHL >Manes.05G124800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22942184:22947908:1 gene:Manes.05G124800.v8.1 transcript:Manes.05G124800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNGMFSEGLTGEASLNRDFEALSVSQRLVRSVSQKLRKKNNRCEGEEEDNVRGVSVKCLTLYGRGDGCKVGADTGEEYGDPSSRRRLSSASEEGKGYKPICGTDDTGVDCFSYGVRERFWRKNNKKDLELEDSIQNSRLHIFLPDDVLEMCLVRLPLTSLMNARLVCKKWRYLTTSPRFLKMRRDGLYQSPWLFLFGAVKDGYCSGEIHALDVSQDQWHRIDSDILKGRFMFSVASIQDDIYIVGGCSSLTHFGRVDRSSFKTHKGVLVFSPLTKSWHKVASMRYARSMPILGISEVSSDFSVVHGHQHRQERRFPRSRMGGVSDVYEDPHRLSLRRQYRNAFDESDASLFCGRKSHKFIGQKNDQQNSKGCKRFVLVAVGGLGSWDEPLDSGEIYDPISNKWTEIQKLPIDFGVVCSGVICNGIFYVYSETDKLMGYDIERGFWIGIQTFPFPPRVHEYYPKLVSCNGRLFMLSVSWCEGDGQIGQRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNAAFVADKNLIFGVEMFKIFGQVLDFLTVCDVSDTETNWSHISRNHMTHELDASSCITKSLAVLHL >Manes.05G124800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22942184:22947908:1 gene:Manes.05G124800.v8.1 transcript:Manes.05G124800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNGMFSEGLTGEASLNRDFEALSVSQRLVRSVSQKLRKKNNRCEGEEEDNVRGVSVKCLTLYGRGDGCKVGADTGEEYGDPSSRRRLSSASEEGKGYKPICGTDDTGVDCFSYGVRERFWRKNNKKDLELEDSIQNSRLHIFLPDDVLEMCLVRLPLTSLMNARLVCKKWRYLTTSPRFLKMRRDGLYQSPWLFLFGAVKDGYCSGEIHALDVSQDQWHRIDSDILKGRFMFSVASIQDDIYIVGGCSSLTHFGRVDRSSFKTHKGVLVFSPLTKSWHKVASMRYARSMPILGISEVSSDFSVVHGHQHRQERRFPRSRMGGVSDVYEDPHRLSLRRQYRNAFDESDASLFCGRKSHKFIGQKNDQQNSKGCKRFVLVAVGGLGSWDEPLDSGEIYDPISNKWTEIQKLPIDFGVVCSGVICNGIFYVYSETDKLMGYDIERGFWIGIQTFPFPPRVHEYYPKLVSCNGRLFMLSVSWCEGDGQIGQRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNAAFVADKNLIFGVEMFKIFGQVLDFLTVCDVSDTETNWSHISRNHMTHELDASSCITKSLAVLHL >Manes.10G084432.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21693891:21708275:-1 gene:Manes.10G084432.v8.1 transcript:Manes.10G084432.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQICSRATMEDNGIFIPSCPSSPPSDPSSSSQCKSPSPSPSASPSPAPTKSQLSRNRSLRKKELTGKASKEYMSKQPPLKPSLPEVNDEDPSWSDPILYLTGLQQSLVLTWTAMISPYMLAAVAGGGNEGKAEAIQSSLFTAGINTILQIICGSQLPVMMKTSDAFINSAIFIAISTNNKFSATLPPRQRFKLCMRRIQGASIIGSILQIIIGFSGLGVIFASRIGFVVSIPLISLTGLELYKRGFPQLVKCIEIGLLAAFTLISSTQLASHVWKSEKNIGRRMGVTISIAFAWAAAHIMTLSGIHDNTSQQRQTSCRTYRTGLISAAHWINIQMINPFQWGYPTFEVGDAFLMMAASVVATIEDWT >Manes.17G094533.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30270775:30271967:-1 gene:Manes.17G094533.v8.1 transcript:Manes.17G094533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLYFPFSKVYFAFCHGGIFLHLYLFFFCFFLFLKSFNSYLLAKCFLFFPSFIIFAPFFNFIFPTSHHLVTVSKESCNYKFPYILIFEKKITFSLLSVF >Manes.05G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3487579:3494777:-1 gene:Manes.05G043200.v8.1 transcript:Manes.05G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSGIFLGMIFGIAVMAGWKHMMRYRSTKRVAKAVDIKLLGSLNRDDLKKICGDNFPEWISFPAFEQVKWLNKQLSKLWPFIADAATMVVKESVEPLLEDYRPPGITSLKFSKFSLGTVPPKIEGIRVQSLKKGQIIMDIDFRWGGDPSIILGVEAALVASIPIQLKDLEVYTVIRVIFQLAEEIPCISAVVVALLSEPKPKIDYVLKAVGGSLTAIPGLSDMIDDTVHSIVTDMLQWPHRIVVPIGGIPVDTSELELKPQGKLTVTVVKANELKNMEMIGKSDPYVVLYIRPVFKVKSAVVENNLNPVWDQTFELIAEDKETQTLILEVFDQDIGQDKRLGITKVPLIELEAETWKEMELRLLPSLDMLKIKDKKDRGTLTIKVLYHEFNKEEQLAALEEEKRILEERKKLKEAGVIGSTMDALDGAASLVGSGVGMVGTGIGAGVGLVGTGVGAGVGIVGSSIGAVGTGLSKAGKFMGRTFTGQSSKRSGSSTPVNNVQENGGAKPL >Manes.02G011400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1144914:1145805:-1 gene:Manes.02G011400.v8.1 transcript:Manes.02G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQIQKPTEETGLQEHHNASLVKKQTHDEHSKSHGNQEHHRESSIMASPANREIKIKDKKEKKEKKEKKDKKEKKEKKEKKEKKSESKKKEKGGH >Manes.03G090364.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20196072:20203138:-1 gene:Manes.03G090364.v8.1 transcript:Manes.03G090364.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPPPPEVVVKNRFLGFLIWQSISSSAIFILFKTLLLSPLTNTNLALPSFLSLFAFLIFHLAQLFFSASLSLLSSPQPYSLESPLQLVFPLLRFLFVSSDSLSSSDFRRRVNLYLSFFLFLGAASISGFLSVICVCGWANHGYDGVQLIEKVGFRGFAFGLLYACFYIYKRRWVLEFPIVQRPPFFSIKMRLPVAIKQALKISSAAYLFSTVLLVFLPNQFNSYVTMKKFITEQIILYTGSLSVFLCWELNHHLHQVLQTKRFVFAPPKGSAAAETNPSEPLLAALEESSPGSLPQFLAYLDLCMVSENNVDTWRRAAFFEETGETYKRVVVVCMRPLEQLASTLGEDLETHPVDKAYQQSNQLQSQTNSLQDPKCNKPLNNFQQCAWCARTVAALTARSHKEDRCGVAQLSGSNAAVISTLLSCLLAVEAVMGKKTNVQTPNHLMGPGGIKWATLNTGRRDVVVAKKRSGPMHSKAYDMADVLKTSIYGIISAFHDEMLTSDKAGLLERDWLISGKPLFGTRELLLQKLRLFLDFRAS >Manes.03G090364.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20195341:20203138:-1 gene:Manes.03G090364.v8.1 transcript:Manes.03G090364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPPPPEVVVKNRFLGFLIWQSISSSAIFILFKTLLLSPLTNTNLALPSFLSLFAFLIFHLAQLFFSASLSLLSSPQPYSLESPLQLVFPLLRFLFVSSDSLSSSDFRRRVNLYLSFFLFLGAASISGFLSVICVCGWANHGYDGVQLIEKVGFRGFAFGLLYACFYIYKRRWVLEFPIVQRPPFFSIKMRLPVAIKQALKISSAAYLFSTVLLVFLPNQFNSYVTMKKFITEQIILYTGSLSVFLCWELNHHLHQVLQTKRFVFAPPKGSAAAETNPSEPLLAALEESSPGSLPQFLAYLDLCMVSENNVDTWRRAAFFEETGETYKRVVVVCMRPLEQLASTLGEDLETHPVDKAYQQSNQLQSQTNSLQDPKCNKPLNNFQQCAWCARTVAALTARSHKEDRCGVAQLSGSNAAVISTLLSCLLAVEAVMGKKTNVQTPNHLMGPGGIKWATLNTGRRDVVVAKKRSGPMHSKAYDMADVLKTSIYGIISAFHDEMLTSDKAGLLERDWLISGKPLFGTRELLLQKLRLFLDFRAS >Manes.S047116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1489611:1489946:1 gene:Manes.S047116.v8.1 transcript:Manes.S047116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.09G048734.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10308779:10312526:1 gene:Manes.09G048734.v8.1 transcript:Manes.09G048734.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHFLLLISLVFSFTLFHVCLASTAGTLDGSEYWGYVEVRPKAHLFWWLYKSPYRVEDPSKPWPIILWLQGGPGGSGVAFGNFLEIGPLDSNLKPRNSTWLHKADLLFVDSPVATGFSYVENETLVVRTDEEAATDLTTLLKELFNRNETLQKSPLYIFAESYGGKFAVTLGISAFQAIEAGELKLQLGGIALGDSWISPEDFVFSWGPLLKDLSRMSSNGLNISNSLALKIQQQLAEGAYVNATSTWSELESVVLDYSNNVDLYNFMLDYENDPVVGISKERSKGLSKDRYSMYVEARFYRSAGATAGSSSDLYSLMNGLIKKKLKIIPRNVTWGGQNGLVFPALHGDFMKPRIQEVDELLAKGVRVIIYNGQLDLICSTKGAEAWLNKLKWDGLKNFLSVDPTPLYCKGHNSTTKAFTTSYQNLSFYWILGAGHFVPVEQPCISLQMVGTVTQSPNNS >Manes.06G159900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28595858:28599545:-1 gene:Manes.06G159900.v8.1 transcript:Manes.06G159900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTGLLLPYMQNFYHDIQQLEEYCKYQKTSASMTSTISEYDLGGEGDLFKAPEPIIEQPLVGLDPMTAAISMISCGEEVISSQGLKAADIVSIQTEQLMSEVFYECKKDLMEKAAVETPLSEILDIKIPITQINENQIQENIFLLDTPLPKSVSSGCLSSMDWVQGTAVKPNFLEFSEVDFGTVYGMRRAYSEGDIKTLGNGNISIIHSPLDRPLIISSCTSEDRREKLSRYRNKKTKRNFGRRIKYACRKALADSQPRIRGRFAKTEESDVSKRQ >Manes.13G140440.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34846767:34848994:-1 gene:Manes.13G140440.v8.1 transcript:Manes.13G140440.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLDPILTGPWHYMSTWFLNDDVTPFNTAHGKTFWEYHGHEPNLNNFFNEAMASDARLVTQVLINEFKGVFEGLKSLVDVGGGTGTLAKAIAKSFPDLDCTVFDLPHVVAGLQGTHNLKYVGGDMFDEIPPTDAILLKWILHDWSDEECVKILKRCKEAIKGRGGKLIIIDMMIENHKRDDDFPETQLFFDMLMMVLLTGKERNEKEWAKLFSDAGFSYHKISPVLGLRSIIEVYP >Manes.15G122000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9714755:9716075:-1 gene:Manes.15G122000.v8.1 transcript:Manes.15G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASKGQVKVVIIDTQYIVTDPLSFKSVVQSLTGKDSCVSWIEESSFTGGNRKTEADTSGSFESSDSDAANGAGGGGGSSDAADWILSKGFSFKDLDGMILELPPVEEWYQLWTQNNLL >Manes.18G068100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6116507:6122831:-1 gene:Manes.18G068100.v8.1 transcript:Manes.18G068100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKLADRDKRIVHSMMGEGDLFNDMPYFTRLPQQKPPFSGSPVNRVSLRSSQHSSASSSFSNGFCSAQCGSQYDEREKRVAENMKKDGGLLNDAPNVPYLNLVRQQKLLSIGSPACRASLQSPEPSPSSSLFPSGFCSPEYGSLYAPPLSEEANYLTPGSQYWNELCLDSKSPHHDSYMINSRLVDELGLTQSFCGMSIRDDQNGSTKMKGFEIDSDGFGFGSVDGSLGGNVYNVKKYGSYGDFNNGVFDNDGFQSSPQGVSWSTYDDLNYTFNGLQSGFGKAAHDSMGASFAHNQSKDFCSGPGWYDNQSDHLFGRGKEQGESWSVWGTQSQNQSITGPYLDDPSSFPLHYKMDSNGGRVVLDSLGAPQSMNPILDLDVYHPAYRRSLMLQERIRATTNSGLSHSLMPVKCAGDADTFSCEDSFIVHGKGFNHASNKGREHLSSDKKNSFNETSVQNLRGNFIKQDSAILHGGSWENDQRLNYDNPLPMVQSINSLSEVQGNIYLMAQDQNGCRWLQRIFDEGTSQDVQIIFNEIIDHVVELMLKPFGNYVIQKFLDVCNEEQRLQVVFMVTEEQGQLVDICLNTYGTRAAQKLIETLKTRQQISLVVSSLKPGFLDLVKDQNGNHVIQRCLQCLSNEDNKFILDAAAKFCVEIATHRHGCCVMQRCITHSTGKHRDKLINEISKNSLLLAQDPFGNYVVQYIIELKSPSAIVNLLSQFKRHYVNLSTQKFSSHVVEKCLRHLDQSREQIVHELISVSRFEQLLQDPFANYVIQSALAVTKGPLLYLLVDAVRPHTTLRTNLFSKRIFSKNLLKKYDLELC >Manes.13G066100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8377356:8379152:-1 gene:Manes.13G066100.v8.1 transcript:Manes.13G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLRKAIGAVKDQTSISLAKVYTNNTSRSNLEVVILKATRHDEAPIDDRYVKELLNLISSSKVYAASCAQAIGKRIGKTRNWIVALKSLMLVLRIFQDGDPYFPREVLHAMKRGARILNLSTFRDDSNSSPWDYTAFVRTFALYLDERLDCFVTGKLQRRFTNREKRNGHHGNRSGTDPICEMKPAFLLDKISFWQKLLDRAMATRPTGAAKTNKLVQSSLYAVVQESFDLYRDISDGLALLLDSFFHLQYQSCVSAFESCVKASKQFDELCSFYDLCKSIGVGRTSEYPSVQKISEELIETLQEFLKDQASFPTHIRSTSPNLLLPAPPAKEPSSSSERSEACDQYYSERDFDFGSQCNSMEDLTGTSPCPSMDQTEDLNSGTDSESIQSLPTGSADNSCLDLVSLGDWPASEDQKQEQEQQTCWEIVLAETASQPKANSSPAQLFFDQDSTDLANGFESLFGNNLSGQTSQPESAILNNFFDLASVPENHYNPFLQDIIEFPAIPTTPNKDQLVFPIDDVFPAASPTFTANPTFSATNPDGALPFANEDDPFGPYPTTMADTHESKGCMDQQMLLHEQQLWLQHQDKIIARNMA >Manes.03G197300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31481941:31484820:-1 gene:Manes.03G197300.v8.1 transcript:Manes.03G197300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPARTFWRIVKRKSTEDFDSLPYICTLLNAALWTYYGIIKPGAFLVATVNGFGILVEIVYVTLFLVYAPPKMRAKTSILVGILDVGFLAAAVLVTGLALHGQVRINVTGFMCSGLNILMYGSPLAAMKTVISSKSVEYMPFLLSFFLFLNGGIWSLYAFLTSDYFLGVPNGAGFLLGAAQLVLYAIYRNGSNISDGLEEGWQYQTLISSSSSQQSHEINN >Manes.03G197300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31481941:31484820:-1 gene:Manes.03G197300.v8.1 transcript:Manes.03G197300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSLVFGVIGNVISVLMFLSPARTFWRIVKRKSTEDFDSLPYICTLLNAALWTYYGIIKPGAFLVATVNGFGILVEIVYVTLFLVYAPPKMRAKTSILVGILDVGFLAAAVLVTGLALHGQVRINVTGFMCSGLNILMYGSPLAAMKTVISSKSVEYMPFLLSFFLFLNGGIWSLYAFLTSDYFLGVPNGAGFLLGAAQLVLYAIYRNGSNISDGLEEGWQYQTLISSSSSQQSHEINN >Manes.03G197300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31481941:31484820:-1 gene:Manes.03G197300.v8.1 transcript:Manes.03G197300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSLVFGVIGNVISVLMFLSPARTFWRIVKRKSTEDFDSLPYICTLLNAALWTYYGIIKPGAFLVATVNGFGILVEIVYVTLFLVYAPPKMRAKTSILVGILDVGFLAAAVLVTGLALHGQVRINVTGFMCSGLNILMYGSPLAAMKTVISSKSVEYMPFLLSFFLFLNGGIWSLYAFLTSDYFLGVPNGAGFLLGAAQLVLYAIYRNGSNISDGLEEGWQYQTLISSSSSQQSHEINN >Manes.05G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22200355:22207509:1 gene:Manes.05G129500.v8.1 transcript:Manes.05G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDFGIPRELSELQKLRSLYQPDLPPCLQGTTVRVEFGDGTTAADAAGAHTISRSFPHTYGQPLAHFLRATTKVPDAHIITEHPAMRVGIVFCGRQSPGGHNVIWGLLSALKIHNPNSNLLGFLGGSEGLFAQKTLEITDEVLATYKNQGGYDLLGRTKDQIRTTEQVNAALATCKDLKLDGLVIIGGVTSNTDAAQLAETFADAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVASSKLTLFDLTKQICDAVQARAEQDKYHGVILLPEGLIESIPEIYALLKEIHGLLRQGVPPNNISSQLSPWASALFEFLPPFIKKQLLLHPESDDSAQLSQIETEKLLAHLVEVEMSKRLKEGTYKGKKFNAICHFFGYQARGSVPSKFDCDYAYVLGHICYHILAAGLNGYMATVTNLKNPVNKWRCGAAPITAMMTVRHWAQSPGATSVGKPAIHPAAVDLKGKAYELLRENAMQFLMDDLYRNPGPLQFEGPGADAKPLTLCVEDQDYMGRIKKLQEYLDQVRTIVKPGCSQEVLKAALSVMASVTDVLSAMSSTSFNSQTPL >Manes.04G058184.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14411103:14448434:-1 gene:Manes.04G058184.v8.1 transcript:Manes.04G058184.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSKWTSYQLFTAQLDQAELQRRVLIGEGYDNTNVGQHGEWRFCVVGRLFSDRAVNFDAFQHTMAIAWRPDPGMFVKELDNNVFIF >Manes.02G067900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5201348:5204662:1 gene:Manes.02G067900.v8.1 transcript:Manes.02G067900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRDSSSSSIGFRRGMSSGWRCGVHESVANIEPVDECLFCTCKNPMVEVSKMGLTVIYYYFGAMYSSFKR >Manes.02G067900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5201348:5204662:1 gene:Manes.02G067900.v8.1 transcript:Manes.02G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRDSSSSSIGFRRGMSSGWRCGVHESVANIEPACKLKEGNCQPSGSTDECLFCTCKNPMVEVSKMGLTVIYYYFGAMYSSFKR >Manes.13G077841.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12345144:12348372:1 gene:Manes.13G077841.v8.1 transcript:Manes.13G077841.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAFMATVLDPLSIPRSHFFPKPTLSPSLTASASPISSTNRRPDVRLPEARGLKIRSFGSITQMPSRSRLVRGGRIVCEAEETAFVEIPVLVEFWAPWCGPCRMIDPVIEQLAGEYAGKLKCYKLNTDDSPAITTEFGIQSIPTVMIFKDGEKKDAITGPVPKSTLISRIEKFL >Manes.13G077841.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12345144:12348298:1 gene:Manes.13G077841.v8.1 transcript:Manes.13G077841.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISAFMATVLDPLSIPRSHFFPKPTLSPSLTASASPISSTNRRPDVRLPEARGLKIRSFGSITQMPSRSRLVRGGRIVCEAEETAFVVLPVNEKWRDLVLLSEIPVLVEFWAPWCGPCRMIDPVIEQLAGEYAGKLKCYKLNTDDSPAITTEFGIQSIPTVMIFKDGEKKDAITGPVPKSTLISRIEKFL >Manes.09G097900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29560516:29564076:-1 gene:Manes.09G097900.v8.1 transcript:Manes.09G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRRADSVEVDGEKWVCDSSVDHKGRVPLRAHTGAWKASLFIIAIEFSERLSYFGLATSLIIYLTKVIHQDLKTAAKSVNYWSGVTTLMPLFGGFVADAYLGRFSTVLVSSLIYLLGLIVLTMSQFLPCLKACEDATCHNAGRVHEIVFFFAIYLISIGTGGHKASLESFGADQFDDDHCEERKKKMSYFNWWNLGLCFGVLLGVTLIVYVQDHMSWGVADIILTIAMALSLVIFIIGRPFYRYWAPSGSPLLPMLQVLVAATRKRNLPHPNNPAELYQVSELDHGRLLCHTEKLKFLDKAAILEGTENSAEKQSPWKLATVTKVEEMKLILNMIPIWLTSLPFGICVAQCSTFFIKQATMLNRKIGNGFEIPAASIYSLSAIGMIISVTMYEKILVPTLRRKTGNERGIKILQRIGIGMVFSVATMVVAALVEKKRLSVAEKVPLKGSHPSISVFWLAPQVLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITVVDHVTEKYGKSWFGKDLNSSRLANFYWLLAAITAANLFFFVFLARQYSYKNVQRNVVVAASLPASYNNYNNNNQGDMVA >Manes.17G067800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26812476:26813372:1 gene:Manes.17G067800.v8.1 transcript:Manes.17G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKIEMKMVKDRNSRQVTFSKRRAGLFKKAHELAILCAAQIAIVVFSPGGKPFSFGNPSVESLSERFLDDKGKNSKRGCPFGRSVSRSEKLNKHLGDLQRQVQYERKRAKLLQEAMKKNGLPLNPKPIEEMSVDELMKMRNAMQELREKMGARVVEMEASSSLLLLSKKFIQEK >Manes.16G000600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:57718:62785:1 gene:Manes.16G000600.v8.1 transcript:Manes.16G000600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPPTASFCSTCPLSLFSPSSVTSPTPTSICCLAKLTTNYYPKQLVLPFSSRFKFHTSSNQQTFMIRAAVSIEKETPETQRPQTFLRESEGGDIRARFEKMIRDAQDSVCEAIEAVDKAGKFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPEAYRAAKAASADHKPGPIPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPTDAPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSIQKKACDKFEPTFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQDMLLSFATECANSVVPAYVPIIEKRKDLPFTDRHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACVNPKEWI >Manes.08G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35139197:35141444:1 gene:Manes.08G112100.v8.1 transcript:Manes.08G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDSYDKERFAESKKELDALLSDEALANVPFLVLGNKIDIPYAASEDELRYHLGLTNFTTGKGNVNLGDSNVRPMEVFMCSIVRKMGYGDGFKWLSQYIK >Manes.11G052150.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5713616:5717048:1 gene:Manes.11G052150.v8.1 transcript:Manes.11G052150.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIEYIRDLEKNLESLRYLVYDLNGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGCCSKLCHCFFKYKLGVTVSEEIDEVKGVIDDRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAVRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDYDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGEGFIKDFGNIHQARNHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLSSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFYLTVTECHGLPLLNISAMQHLETLEIRACHSLEEIKIFPDQLTLTMQDCFSNLSHVAFQDCPVKNVTWLIYARRLQTLELDDCHSIAGVIGDRFDLTEIDETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPNLRKLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.11G052150.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5713616:5717048:1 gene:Manes.11G052150.v8.1 transcript:Manes.11G052150.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIEYIRDLEKNLESLRYLVYDLNGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGCCSKLCHCFFKYKLGVTVSEEIDEVKGVIDDRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAVRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDYDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGEGFIKDFGNIHQARNHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLSSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFYLTVTECHGLPLLNISAMQHLETLEIRACHSLEEIKIFPDQLTLTMQDCFSNLSHVAFQDCPVKNVTWLIYARRLQTLELDDCHSIAGVIGDRFDLTEIDETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPNLRKLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.11G052150.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5713610:5717048:1 gene:Manes.11G052150.v8.1 transcript:Manes.11G052150.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIEYIRDLEKNLESLRYLVYDLNGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGCCSKLCHCFFKYKLGVTVSEEIDEVKGVIDDRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAVRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDYDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGEGFIKDFGNIHQARNHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLSSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFYLTVTECHGLPLLNISAMQHLETLEIRACHSLEEIKIFPDQLTLTMQDCFSNLSHVAFQDCPVKNVTWLIYARRLQTLELDDCHSIAGVIGDRFDLTEIDETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPNLRKLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.11G052150.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5713616:5717048:1 gene:Manes.11G052150.v8.1 transcript:Manes.11G052150.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDLWTPIKDVATCFWGCTTSNIEYIRDLEKNLESLRYLVYDLNGKNEDVGEAIKIGGGLQLKNKNEVKAWQQRAQDRGKKAEKILEKGDLLLKKKQGCCSKLCHCFFKYKLGVTVSEEIDEVKGVIDDRRNFQLDFVLPPNALVDKLDVKPTVGSDSTFEKVWEYIEDPSVGMIAIYGMGGVGKTTLLKKINNKFLDAHHGFDAVIWVVVSENEGLGKVQEAVRSKLNIVNELWEGKNEDSRAAYIRRILETKKFLLLLDDQRNQLELLKAGVPLLDNTVAGSKVVFTTRSEDVIGKVKDVCGRTKTMKRIKVECLTSEDSLQLFAMNFDYDIFADEEVAKHAKDVVEECKGLPLALITIGRAMASKRDSEAWQHAVTQLRGYPTQFPGEGFIKDFGNIHQARNHGVDIIENLKLACLLESCESKDHIKMHDVLRDMASWLICDEGENQQKVLMQRDPEWIRAQGLAKWRKALAISLWGPYFKDLQTETEFSRCQTLIVRETKLRKLPRGFFFNALQVLDLSHNQNLTELPVEIKNLIRLQHLDISYTDIKELPIEVKFLSNLKILLMNDTKKLELLPPDVIPHLSSLQVFSKVKSPFFKEAALLEELNRLEKLICLGITLRTMNSINYLLNSPELQRLIFYLTVTECHGLPLLNISAMQHLETLEIRACHSLEEIKIFPDQLTLTMQDCFSNLSHVAFQDCPVKNVTWLIYARRLQTLELDDCHSIAGVIGDRFDLTEIDETQPIFSNLKHLSLRYLPMLQTICSRVLPFPHLTTIEVYDCPNLRKLPFDSSSARNCLKEIRGEESWWNGLNWDDPQLRKVFTPKFVK >Manes.13G021400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3111063:3115972:-1 gene:Manes.13G021400.v8.1 transcript:Manes.13G021400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSLGAHRLVYRSRIAFCVKTGRIENAIQMFDEMTQSNCRVFSVDFNRLIGVLIRHSLFDFAHQYYTKMGPLGFSLSPFTYSRFISGLCQVKNFTLIDELLHDMEKLNYVPDIWAFNIYLNLLFREGRIELALEVFERMVQRGREPDVVTYTIIVDGLCKIKKYDTAIWFWRDMINKGLRPDNKACYALVVGLCGGGKVELAYELTIDVMKSGQVKFSTKIYNTLISGFCRAGRIDKAQAIKSFMRRNGSEPDLVTYNVLLNYCCDELMLEEAEKLMKKMERSGIEPDVYSYNQLLKGFCKANRPDEAYLLMNKMESKELCDVVSYNTIIKAFCEGSDVRRAYKLFQEMRQKGIPPDLVTFTILTKALLREGFSNIAKKLVDQMTEIGLSPDRIFYTTIMDHLCKSGKVDMAHTLFCDMIEQGISPDVTAHNALINGFCKSYRVSEALHLFVEMESRGYYPDEVTYKLIIGGLIAEKKLSVACRVWGQMMEKGFTLDRASSETLISASSREFAST >Manes.13G021400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3111063:3115972:-1 gene:Manes.13G021400.v8.1 transcript:Manes.13G021400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSLGAHRLVYRSRIAFCVKTGRIENAIQMFDEMTQSNCRVFSVDFNRLIGVLIRHSLFDFAHQYYTKMGPLGFSLSPFTYSRFISGLCQVKNFTLIDELLHDMEKLNYVPDIWAFNIYLNLLFREGRIELALEVFERMVQRGREPDVVTYTIIVDGLCKIKKYDTAIWFWRDMINKGLRPDNKACYALVVGLCGGGKVELAYELTIDVMKSGQVKFSTKIYNTLISGFCRAGRIDKAQAIKSFMRRNGSEPDLVTYNVLLNYCCDELMLEEAEKLMKKMERSGIEPDVYSYNQLLKGFCKANRPDEAYLLMNKMESKELCDVVSYNTIIKAFCEGSDVRRAYKLFQEMRQKGIPPDLVTFTILTKALLREGFSNIAKKLVDQMTEIGLSPDRIFYTTIMDHLCKSGKVDMAHTLFCDMIEQGISPDVTAHNALINGFCKSYRVSEALHLFVEMESRGYYPDEVTYKLIIGGLIAEKKLSVACRVWGQMMEKGFTLDRASSETLISASSREFAST >Manes.13G021400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3110910:3116030:-1 gene:Manes.13G021400.v8.1 transcript:Manes.13G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSLGAHRLVYRSRIAFCVKTGRIENAIQMFDEMTQSNCRVFSVDFNRLIGVLIRHSLFDFAHQYYTKMGPLGFSLSPFTYSRFISGLCQVKNFTLIDELLHDMEKLNYVPDIWAFNIYLNLLFREGRIELALEVFERMVQRGREPDVVTYTIIVDGLCKIKKYDTAIWFWRDMINKGLRPDNKACYALVVGLCGGGKVELAYELTIDVMKSGQVKFSTKIYNTLISGFCRAGRIDKAQAIKSFMRRNGSEPDLVTYNVLLNYCCDELMLEEAEKLMKKMERSGIEPDVYSYNQLLKGFCKANRPDEAYLLMNKMESKELCDVVSYNTIIKAFCEGSDVRRAYKLFQEMRQKGIPPDLVTFTILTKALLREGFSNIAKKLVDQMTEIGLSPDRIFYTTIMDHLCKSGKVDMAHTLFCDMIEQGISPDVTAHNALINGFCKSYRVSEALHLFVEMESRGYYPDEVTYKLIIGGLIAEKKLSVACRVWGQMMEKGFTLDRASSETLISASSREFAST >Manes.13G021400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3111315:3115972:-1 gene:Manes.13G021400.v8.1 transcript:Manes.13G021400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSLGAHRLVYRSRIAFCVKTGRIENAIQMFDEMTQSNCRVFSVDFNRLIGVLIRHSLFDFAHQYYTKMGPLGFSLSPFTYSRFISGLCQVKNFTLIDELLHDMEKLNYVPDIWAFNIYLNLLFREGRIELALEVFERMVQRGREPDVVTYTIIVDGLCKIKKYDTAIWFWRDMINKGLRPDNKACYALVVGLCGGGKVELAYELTIDVMKSGQVKFSTKIYNTLISGFCRAGRIDKAQAIKSFMRRNGSEPDLVTYNVLLNYCCDELMLEEAEKLMKKMERSGIEPDVYSYNQLLKGFCKANRPDEAYLLMNKMESKELCDVVSYNTIIKAFCEGSDVRRAYKLFQEMRQKGIPPDLVTFTILTKALLREGFSNIAKKLVDQMTEIGLSPDRIFYTTIMDHLCKSGKVDMAHTLFCDMIEQGISPDVTAHNALINGFCKSYRVSEALHLFVEMESRGYYPDEVTYKLIIGGLIAEKKLSVACRVWGQMMEKGFTLDRASSETLISASSREFAST >Manes.13G021400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3110902:3116030:-1 gene:Manes.13G021400.v8.1 transcript:Manes.13G021400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSLGAHRLVYRSRIAFCVKTGRIENAIQMFDEMTQSNCRVFSVDFNRLIGVLIRHSLFDFAHQYYTKMGPLGFSLSPFTYSRFISGLCQVKNFTLIDELLHDMEKLNYVPDIWAFNIYLNLLFREGRIELALEVFERMVQRGREPDVVTYTIIVDGLCKIKKYDTAIWFWRDMINKGLRPDNKACYALVVGLCGGGKVELAYELTIDVMKSGQVKFSTKIYNTLISGFCRAGRIDKAQAIKSFMRRNGSEPDLVTYNVLLNYCCDELMLEEAEKLMKKMERSGIEPDVYSYNQLLKGFCKANRPDEAYLLMNKMESKELCDVVSYNTIIKAFCEGSDVRRAYKLFQEMRQKGIPPDLVTFTILTKALLREGFSNIAKKLVDQMTEIGLSPDRIFYTTIMDHLCKSGKVDMAHTLFCDMIEQGISPDVTAHNALINGFCKSYRVSEALHLFVEMESRGYYPDEVTYKLIIGGLIAEKKLSVACRVWGQMMEKGFTLDRASSETLISASSREFAST >Manes.01G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4318262:4320102:1 gene:Manes.01G018100.v8.1 transcript:Manes.01G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQRESEGESEGAKRILKELMGSQQRVAAETHILALPMPFQGHMNPMLQFSKRLASKGLKVTLLNFTDKQWSKSEEHGSVNVELIFDDTIFSAVSGQEDDDIMSGYVYLKNLYAAVKRRLPEVVAKHGESGYPISCLVYDSVMPWALDIAKQLGLFGAVLFTQSCAVTQIYYEVYQGKLKVPVEKAHVELEGMPPLEIYDLPTFLYDLENYPISLSLSTSQFFNIEEADWVFFNTFNSLEDEGLKWMGRQYSITPIGPTIPSTYMDKRVKDNKDYGLSLFKPDIESCMDWLDSRETCSVVYVSFGSLFILKEKQIEEIACSLKRSNHYFLWVVREIEKEKIPSSFLDETSEKGLVVTWCPQLQVLAHKAVGCFMTHCGWNSTLEAMSLGVPMVAMPQWADQTTNAKFVADVWRVGVRVKVGEEGIVAKEEIDLRIREVMEGETAIEIRENCQKWEKLAKEAVDEGGSSDKNIEKFVAKLAT >Manes.02G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11247865:11251849:1 gene:Manes.02G146200.v8.1 transcript:Manes.02G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVSSSSNIVSSSSVDLEVDKKNKAEEDDDRVRLKRKTLKAVLEQCQRALELLSSSDGVEEEDDDDNDNNGEKLAVEDRNEDEELNREGSVVSPGDREAYELCDLLKSRVECPDFLEKLECAQVSVPQNISEEGSSWDMVSESDLWECENVDSDQEGYVLVRQEDIVEGIACFMAAYLLSLKQTKDLTPNQLQEALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPVILRAASKAFWTSCHVISKLL >Manes.02G146200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11247865:11251849:1 gene:Manes.02G146200.v8.1 transcript:Manes.02G146200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVSSSSNIVSSSSVDLEVDKKNKAEEDDDRVRLKRKTLKAVLEQCQRALELLSSSDGVEEEDDDDNDNNGEKLAVEDRNEDEELNREGSVVSPGDREAYELCDLLKSRVECPDFLEKLECAQVSVPQNISEEGSSWDMVSESDLWECENVDSDQEGYVLVRQEDIVEGIACFMAAYLLSLKQTKVWCFFSFLYFCQLFE >Manes.02G146200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11247865:11251849:1 gene:Manes.02G146200.v8.1 transcript:Manes.02G146200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVSSSSNIVSSSSVDLEVDKKNKAEEDDDRVRLKRKTLKAVLEQCQRALELLSSSDGVEEEDDDDNDNNGEKLAVEDRNEDEELNREGSVVSPGDREAYELCDLLKSRVECPDFLEKLECAQVSVPQNISEGSSWDMVSESDLWECENVDSDQEGYVLVRQEDIVEGIACFMAAYLLSLKQTKDLTPNQLQEALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPVILRAASKAFWTSCHVISKLL >Manes.16G110400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591325:31601916:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKKNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALEVLEELKEYAPRESSVYALMGKIYKRRNMHEKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDEIEDNL >Manes.16G110400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591325:31601916:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSYMQTNLQLLAGCYLQNNQAYSAYHILKGTQMAQSRYLFAISCFQMDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKVKPLEKRGSSRDDGEGNFS >Manes.16G110400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591324:31601917:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKVKPLEKRGSSRDDGEGNFS >Manes.16G110400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591324:31601917:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYARKTHSFIFLFILLSLPDYSMTRVSYMQTNLQLLAGCYLQNNQAYSAYHILKGTQMAQSRYLFAISCFQMDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKVKPLEKRGSSRDDGEGNFS >Manes.16G110400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591324:31601917:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKKNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALEVLEELKEYAPRESSVYALMGKIYKRRNMHEKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDEIEDNL >Manes.16G110400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591325:31601916:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKKNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALEVLEELKEYAPRESSVYALMGKIYKRRNMHEKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDEIEDNL >Manes.16G110400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591324:31601917:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYARKTHSFIFLFILLSLPDYSMTRVSYMQTNLQLLAGCYLQNNQAYSAYHILKGTQMAQSRYLFAISCFQMDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKKNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALEVLEELKEYAPRESSVYALMGKIYKRRNMHEKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDEIEDNL >Manes.16G110400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591325:31601924:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSYMQTNLQLLAGCYLQNNQAYSAYHILKGTQMAQSRYLFAISCFQMDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKKNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALEVLEELKEYAPRESSVYALMGKIYKRRNMHEKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDEIEDNL >Manes.16G110400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591325:31601916:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKVKPLEKRGSSRDDGEGNFS >Manes.16G110400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591325:31601916:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILVDCVNNSLRHFMHRNAIFMCERLCAEFPSETNLQLLAGCYLQNNQAYSAYHILKGTQMAQSRYLFAISCFQMDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKVKPLEKRGSSRDDGEGNFS >Manes.16G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31591325:31601916:-1 gene:Manes.16G110400.v8.1 transcript:Manes.16G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILVDCVNNSLRHFMHRNAIFMCERLCAEFPSETNLQLLAGCYLQNNQAYSAYHILKGTQMAQSRYLFAISCFQMDILNEAEAVLCPANEPNAEVPNGAAGHYLLGLIHRCTDRRKSAIQHFKQALAIDPLLWAAYEELCILGAAEETTAFFGEAAALCIQKQYVNNALASQNMHMSNEDRNLLSTRNFSSEDVSPRQLKHAQGNNLRDIPGAAPLGGPVNQPSNGGPPNLSFYNTPSPMTTQLSGVAPPPLCRNAQPNNPNTSIIGIDNSPKSIVNSNIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGTNSNASATLVAANGISNSSKHLGGSKLSSIALRSVTIRKGQSWGNENCDEGIRNETFDDSNANIATTNSTSSPPGDARSLETEAASIPIGGVVMSASRVLSGALEILGLLRVLGDGYRLSCLYRCQDALDIYMKLPHKHYNTGWVLSQVGKAYFELVDYLEAARVFSLSRRASPYSLEGLDIYSTVLYHLKEDMQLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLNSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWYGLGMVYLRQEKFEFSEHHFRMAFRINPCSSVIMSYLGTALHALKKNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALEVLEELKEYAPRESSVYALMGKIYKRRNMHEKAMLHFGLALDLKPSATDVATIKAAIEKLHVPDEIEDNL >Manes.09G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7366299:7394598:1 gene:Manes.09G040300.v8.1 transcript:Manes.09G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSSKKKSQDRKREGRPKFNKDSKRSFKSKKKDSSDIVPSEALALQLEDDVPDFPRGGGSSLSQREREEIRAEVDAEFEAEERVLKRKKGKKLQNRSLSESDDLGSLFGDGLTGKLPRFANKITLKNISPGMKLWGVVAEVNEKDLVISLPWGLRGLVRSSDAIDPLLGDGNEDIEGNLPSVFHVGQLVSCIVLQLDDDRKDNGKRKIWLSLRLSLLHKGFSLDSIQEGMVLTAYVKSIEDHGYILHFGLLSFMGFLPKNSQAESRHAEVKAGQLLQGIVRNIDKTRKVVYLSSESDAVSKCVMKDLKGISIDLLVPGMMVNARVQSTLENGIMLSFLTYFTGTVDVLHLQNAFPTSNWKDDYNNNKKVNARILFIDPSTRAVGLTLNQHHVRNSTPPMHVKVGDIYDSAKIVRVDKGLGLLLEIPSTPLSTPAYVSISDVAENEVRKLEKKFKEGSIVRVRILGYKHLEGLATGILKASAFEGPVFTHSDVKPGMIVRAKIIAVDSFGAIVQFPGGLKALCPLRHMSEFEIAKPRKKFKVGAELVFRVLGCKSKRITVTHKKTLVKSKLAILSSYADATDGLITHGWITKIEKHGFFVHFYNGVQGFAPRSELGLEPGCDAGSMYHIGQVVKCRILSSIPASRRINLSFIMKPSRVSEEPVKLGSVVAGVVEKVTPFGVIVYVNAKGYMKGTISTEHLADHHDQAALLKSVLKPGYEFDQLLVLDIESNNLILSVKYSLVNSAHHLPSDLSEVQTQSIVHGYICNLIETGCFIRFLGRLTGFSPKSKAMDDQRAQLSEAFYIGQSIRSNIIDVNSEKNRITVSLKQSGCSSTDASFLLGYFQLEEKIAELQSSDSKGADLGWGEGFKIGSVIEAKVQESKEVGIVVCFDKYNDVLGFITLHQLGGTTLETGCTVRAAVLDVAKTERLVDLSLKPEFLDKSRDENSNGQTHKKKRKREVSKSLEVHQTVNAVVEIVKEKYLILSVPEHNYAIGYASVSDYNTQKLPLKQFSNGQSVIATVMALPSPSTAGRLLLLLKSVSEPTETSSSKKAKKKSSYNVGSLVQAEITEKKPLEMRLKFGIGFRGRIHITEVNDDCVLDDPFTNFRIGQTVTARIVAKASKGDNKKKQLWELSIKPKILAGDKMTEYEYSSGKCVTGFVYKVDNEWAWLAVSRHVKAQLFVLDSAHEPSDLEEFQKRFFVGKAVRGHVLSSNKDKTLLRLVLRPLCASSSRVVDGEALNMDDARNDVQHLNVTSQFHEGDIVGGRISKIFPNVGGLLVQIGPHVHGRVHFTELQDSWVPDPLSGYHEGKFVKCKVLEINRSVKGTVHVDLSLRFSLDGMLSRNSTELSKNVNSPIKRVEKLEDLHPDSVVQGYVKNVTSKGCFIMLSRKIDAKILLSNLSNEYIDNPEKEFPIGRLVVGRVLTVEPLSKRVEVSLKKVSATGAAKSENYDLSCLNVGDTISGRIKRVESYGLFITIDHTNLVGLCHVSELPDGSFDKIETKYRVGEMVNARILKVDEERRRISLGMKNLAVGNDIDILPSKAESDDTISESGTIDDSGSKPQESSSPGIRGMDIESENEECPILAQAESRASIPPLDVTLDDMEHSDVDDVIDKNKEDIGEAKIVDEKKKKREKKAKEEREQEIRAAEARLLEKDIPRTADEFEKLVRSSPNSSFVWIKYMAFMLSMADVEKARSIAERALRTINIREENEKLNIWVAYFNLENEYGNPPEEAVKKVFQRTLQYCDPKKVHLALLGVYERTEQHTLADELVERMVKKFKHSCKIWLRRVQRLLKQEQDGVQSVIQRALLCLPRHKHIKFISQSAILEFKCGVPDRGRSMFEGILREYPKRTDLWSVYLDQEIRLGDLDVTRSLFERAISLSLPPKKMKFLFKKYLEYEKTHGDEEQIESVKRKAMEYVENTLA >Manes.09G040300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7366299:7394598:1 gene:Manes.09G040300.v8.1 transcript:Manes.09G040300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSSKKKSQDRKREGRPKFNKDSKRSFKSKKKDSSDIVPSEALALQLEDDVPDFPRGGGSSLSQREREEIRAEVDAEFEAEERVLKRKKGKKLQNRSLSESDDLGSLFGDGLTGKLPRFANKITLKNISPGMKLWGVVAEVNEKDLVISLPWGLRGLVRSSDAIDPLLGDGNEDIEGNLPSVFHVGQLVSCIVLQLDDDRKDNGKRKIWLSLRLSLLHKGFSLDSIQEGMVLTAYVKSIEDHGYILHFGLLSFMGFLPKNSQAESRHAEVKAGQLLQGIVRNIDKTRKVVYLSSESDAVSKCVMKDLKGISIDLLVPGMMVNARVQSTLENGIMLSFLTYFTGTVDVLHLQNAFPTSNWKDDYNNNKKVNARILFIDPSTRAVGLTLNQHHVRNSTPPMHVKVGDIYDSAKIVRVDKGLGLLLEIPSTPLSTPAYVSISDVAENEVRKLEKKFKEGSIVRVRILGYKHLEGLATGILKASAFEGPVFTHSDVKPGMIVRAKIIAVDSFGAIVQFPGGLKALCPLRHMSEFEIAKPRKKFKVGAELVFRVLGCKSKRITVTHKKTLVKSKLAILSSYADATDGLITHGWITKIEKHGFFVHFYNGVQGFAPRSELGLEPGCDAGSMYHIGQVVKCRILSSIPASRRINLSFIMKPSRVSEEPVKLGSVVAGVVEKVTPFGVIVYVNAKGYMKGTISTEHLADHHDQAALLKSVLKPGYEFDQLLVLDIESNNLILSVKYSLVNSAHHLPSDLSEVQTQSIVHGYICNLIETGCFIRFLGRLTGFSPKSKAMDDQRAQLSEAFYIGQSIRSNIIDVNSEKNRITVSLKQSGCSSTDASFLLGYFQLEEKIAELQSSDSKGADLGWGEGFKIGSVIEAKVQESKEVGIVVCFDKYNDVLGFITLHQLGGTTLETGCTVRAAVLDVAKTERLVDLSLKPEFLDKSRDENSNGQTHKKKRKREVSKSLEVHQTVNAVVEIVKEKYLILSVPEHNYAIGYASVSDYNTQKLPLKQFSNGQSVIATVMALPSPSTAGRLLLLLKSVSEPTETSSSKKAKKKSSYNVGSLVQAEITEKKPLEMRLKFGIGFRGRIHITEVNDDCVLDDPFTNFRIGQTVTARIVAKASKGDNKKKQLWELSIKPKILADFSEAGDKMTEYEYSSGKCVTGFVYKVDNEWAWLAVSRHVKAQLFVLDSAHEPSDLEEFQKRFFVGKAVRGHVLSSNKDKTLLRLVLRPLCASSSRVVDGEALNMDDARNDVQHLNVTSQFHEGDIVGGRISKIFPNVGGLLVQIGPHVHGRVHFTELQDSWVPDPLSGYHEGKFVKCKVLEINRSVKGTVHVDLSLRFSLDGMLSRNSTELSKNVNSPIKRVEKLEDLHPDSVVQGYVKNVTSKGCFIMLSRKIDAKILLSNLSNEYIDNPEKEFPIGRLVVGRVLTVEPLSKRVEVSLKKVSATGAAKSENYDLSCLNVGDTISGRIKRVESYGLFITIDHTNLVGLCHVSELPDGSFDKIETKYRVGEMVNARILKVDEERRRISLGMKNLAVGNDIDILPSKAESDDTISESGTIDDSGSKPQESSSPGIRGMDIESENEECPILAQAESRASIPPLDVTLDDMEHSDVDDVIDKNKEDIGEAKIVDEKKKKREKKAKEEREQEIRAAEARLLEKDIPRTADEFEKLVRSSPNSSFVWIKYMAFMLSMADVEKARSIAERALRTINIREENEKLNIWVAYFNLENEYGNPPEEAVKKVFQRTLQYCDPKKVHLALLGVYERTEQHTLADELVERMVKKFKHSCKIWLRRVQRLLKQEQDGVQSVIQRALLCLPRHKHIKFISQSAILEFKCGVPDRGRSMFEGILREYPKRTDLWSVYLDQFLFKKYLEYEKTHGDEEQIESVKRKAMEYVENTLA >Manes.09G040300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7366299:7394598:1 gene:Manes.09G040300.v8.1 transcript:Manes.09G040300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSSKKKSQDRKREGRPKFNKDSKRSFKSKKKDSSDIVPSEALALQLEDDVPDFPRGGGSSLSQREREEIRAEVDAEFEAEERVLKRKKGKKLQNRSLSESDDLGSLFGDGLTGKLPRFANKITLKNISPGMKLWGVVAEVNEKDLVISLPWGLRGLVRSSDAIDPLLGDGNEDIEGNLPSVFHVGQLVSCIVLQLDDDRKDNGKRKIWLSLRLSLLHKGFSLDSIQEGMVLTAYVKSIEDHGYILHFGLLSFMGFLPKNSQAESRHAEVKAGQLLQGIVRNIDKTRKVVYLSSESDAVSKCVMKDLKGISIDLLVPGMMVNARVQSTLENGIMLSFLTYFTGTVDVLHLQNAFPTSNWKDDYNNNKKVNARILFIDPSTRAVGLTLNQHHVRNSTPPMHVKVGDIYDSAKIVRVDKGLGLLLEIPSTPLSTPAYVSISDVAENEVRKLEKKFKEGSIVRVRILGYKHLEGLATGILKASAFEGPVFTHSDVKPGMIVRAKIIAVDSFGAIVQFPGGLKALCPLRHMSEFEIAKPRKKFKVGAELVFRVLGCKSKRITVTHKKTLVKSKLAILSSYADATDGLITHGWITKIEKHGFFVHFYNGVQGFAPRSELGLEPGCDAGSMYHIGQVVKCRILSSIPASRRINLSFIMKPSRVSEEPVKLGSVVAGVVEKVTPFGVIVYVNAKGYMKGTISTEHLADHHDQAALLKSVLKPGYEFDQLLVLDIESNNLILSVKYSLVNSAHHLPSDLSEVQTQSIVHGYICNLIETGCFIRFLGRLTGFSPKSKAMDDQRAQLSEAFYIGQSIRSNIIDVNSEKNRITVSLKQSGCSSTDASFLLGYFQLEEKIAELQSSDSKGADLGWGEGFKIGSVIEAKVQESKEVGIVVCFDKYNDVLGFITLHQLGGTTLETGCTVRAAVLDVAKTERLVDLSLKPEFLDKSRDENSNGQTHKKKRKREVSKSLEVHQTVNAVVEIVKEKYLILSVPEHNYAIGYASVSDYNTQKLPLKQFSNGQSVIATVMALPSPSTAGRLLLLLKSVSEPTETSSSKKAKKKSSYNVGSLVQAEITEKKPLEMRLKFGIGFRGRIHITEVNDDCVLDDPFTNFRIGQTVTARIVAKASKGDNKKKQLWELSIKPKILADFSEAGDKMTEYEYSSGKCVTGFVYKVDNEWAWLAVSRHVKAQLFVLDSAHEPSDLEEFQKRFFVGKAVRGHVLSSNKDKTLLRLVLRPLCASSSRVVDGEALNMDDARNDVQHLNVTSQFHEGDIVGGRISKIFPNVGGLLVQIGPHVHGRVHFTELQDSWVPDPLSGYHEGKFVKCKVLEINRSVKGTVHVDLSLRFSLDGMLSRNSTELSKNVNSPIKRVEKLEDLHPDSVVQGYVKNVTSKGCFIMLSRKIDAKILLSNLSNEYIDNPEKEFPIGRLVVGRVLTVEPLSKRVEVSLKKVSATGAAKSENYDLSCLNVGDTISGRIKRVESYGLFITIDHTNLVGLCHVSELPDGSFDKIETKYRVGEMVNARILKVDEERRRISLGMKNLAVGNDIDILPSKAESDDTISESGTIDDSGSKPQESSSPGIRGMDIESENEECPILAQAESRASIPPLDVTLDDMEHSDVDDVIDKNKEDIGEAKIVDEKKKKREKKAKEEREQEIRAAEARLLEKDIPRTADEFEKLVRSSPNSSFVWIKYMAFMLSMADVEKARSIAERALRTINIREENEKLNIWVAYFNLENEYGNPPEEAVKKVFQRTLQYCDPKKVHLALLGVYERTEQHTLADELVERMVKKFKHSCKIWLRRVQRLLKQEQDGVQSVIQRALLCLPRHKHIKFISQSAILEFKCGVPDRGRSMFEGILREYPKRTDLWSVYLDQEIRLGDLDVTRSLFERAISLSLPPKKMKFLFKKYLEYEKTHGDEEQIESVKRKAMEYVENTLA >Manes.09G040300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7366299:7394598:1 gene:Manes.09G040300.v8.1 transcript:Manes.09G040300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSSKKKSQDRKREGRPKFNKDSKRSFKSKKKDSSDIVPSEALALQLEDDVPDFPRGGGSSLSQREREEIRAEVDAEFEAEERVLKRKKGKKLQNRSLSESDDLGSLFGDGLTGKLPRFANKITLKNISPGMKLWGVVAEVNEKDLVISLPWGLRGLVRSSDAIDPLLGDGNEDIEGNLPSVFHVGQLVSCIVLQLDDDRKDNGKRKIWLSLRLSLLHKGFSLDSIQEGMVLTAYVKSIEDHGYILHFGLLSFMGFLPKNSQAESRHAEVKAGQLLQGIVRNIDKTRKVVYLSSESDAVSKCVMKDLKGISIDLLVPGMMVNARVQSTLENGIMLSFLTYFTGTVDVLHLQNAFPTSNWKDDYNNNKKVNARILFIDPSTRAVGLTLNQHHVRNSTPPMHVKVGDIYDSAKIVRVDKGLGLLLEIPSTPLSTPAYVSISDVAENEVRKLEKKFKEGSIVRVRILGYKHLEGLATGILKASAFEGPVFTHSDVKPGMIVRAKIIAVDSFGAIVQFPGGLKALCPLRHMSEFEIAKPRKKFKVGAELVFRVLGCKSKRITVTHKKTLVKSKLAILSSYADATDGLITHGWITKIEKHGFFVHFYNGVQGFAPRSELGLEPGCDAGSMYHIGQVVKCRILSSIPASRRINLSFIMKPSRVSEEPVKLGSVVAGVVEKVTPFGVIVYVNAKGYMKGTISTEHLADHHDQAALLKSVLKPGYEFDQLLVLDIESNNLILSVKYSLVNSAHHLPSDLSEVQTQSIVHGYICNLIETGCFIRFLGRLTGFSPKSKAMDDQRAQLSEAFYIGQSIRSNIIDVNSEKNRITVSLKQSGCSSTDASFLLGYFQLEEKIAELQSSDSKGADLGWGEGFKIGSVIEAKVQESKEVGIVVCFDKYNDVLGFITLHQLGGTTLETGCTVRAAVLDVAKTERLVDLSLKPEFLDKSRDENSNGQTHKKKRKREVSKSLEVHQTVNAVVEIVKEKYLILSVPEHNYAIGYASVSDYNTQKLPLKQFSNGQSVIATVMALPSPSTAGRLLLLLKSVSEPTETSSSKKAKKKSSYNVGSLVQAEITEKKPLEMRLKFGIGFRGRIHITEVNDDCVLDDPFTNFRIGQTVTARIVAKASKGDNKKKQLWELSIKPKILAGDKMTEYEYSSGKCVTGFVYKVDNEWAWLAVSRHVKAQLFVLDSAHEPSDLEEFQKRFFVGKAVRGHVLSSNKDKTLLRLVLRPLCASSSRVVDGEALNMDDARNDVQHLNVTSQFHEGDIVGGRISKIFPNVGGLLVQIGPHVHGRVHFTELQDSWVPDPLSGYHEGKFVKCKVLEINRSVKGTVHVDLSLRFSLDGMLSRNSTELSKNVNSPIKRVEKLEDLHPDSVVQGYVKNVTSKGCFIMLSRKIDAKILLSNLSNEYIDNPEKEFPIGRLVVGRVLTVEPLSKRVEVSLKKVSATGAAKSENYDLSCLNVGDTISGRIKRVESYGLFITIDHTNLVGLCHVSELPDGSFDKIETKYRVGEMVNARILKVDEERRRISLGMKNLAVGNDIDILPSKAESDDTISESGTIDDSGSKPQESSSPGIRGMDIESENEECPILAQAESRASIPPLDVTLDDMEHSDVDDVIDKNKEDIGEAKIVDEKKKKREKKAKEEREQEIRAAEARLLEKDIPRTADEFEKLVRSSPNSSFVWIKYMAFMLSMADVEKARSIAERALRTINIREENEKLNIWVAYFNLENEYGNPPEEAVKKVFQRTLQYCDPKKVHLALLGVYERTEQHTLADELVERMVKKFKHSCKIWLRRVQRLLKQEQDGVQSVIQRALLCLPRHKHIKFISQSAILEFKCGVPDRGRSMFEGILREYPKRTDLWSVYLDQFLFKKYLEYEKTHGDEEQIESVKRKAMEYVENTLA >Manes.07G040543.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4301310:4301627:-1 gene:Manes.07G040543.v8.1 transcript:Manes.07G040543.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARQLLETTLPKVPELPKPELPELPSLPKVDFPPLPEVPTFPKPELPTLPKPELPELPKLEIPTLPKPQLPELSKPELPAFPHLPELPNPTLPTKDTKPPQSTTSP >Manes.14G172800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28659592:28665631:1 gene:Manes.14G172800.v8.1 transcript:Manes.14G172800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILTYVVAVEAVLAAVLTIPSPKLLKYRLVSLVSLLLQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTAAERDRYEKSIYKAQRNVILCVSACLLYWCVYRICKYYKEVQNLEEVEKRYKKE >Manes.S026116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:376775:376897:1 gene:Manes.S026116.v8.1 transcript:Manes.S026116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.07G006800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:851770:853506:1 gene:Manes.07G006800.v8.1 transcript:Manes.07G006800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFNLVERKIDLVYGGGSVGLMGLISQTVFNGGCHVLGVMPKALRPHEISGETVGEMKTVADMHQRKAEMARHADAFIALPGGYGTLEELLEIIAWSQLGIHDKPVGLLNVDGYYNSLLALFDKGVEEGFIEDNARHIVVIAETAAELIKKMEEYTPGHDKVAPRQSWEVDKLLESTKSGEPLGS >Manes.07G006800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:851763:853506:1 gene:Manes.07G006800.v8.1 transcript:Manes.07G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENRKSAASEERRRFKKICVFCGSRAGYKSSFGDAALQLGKELVERKIDLVYGGGSVGLMGLISQTVFNGGCHVLGVMPKALRPHEISGETVGEMKTVADMHQRKAEMARHADAFIALPGGYGTLEELLEIIAWSQLGIHDKPVGLLNVDGYYNSLLALFDKGVEEGFIEDNARHIVVIAETAAELIKKMEEYTPGHDKVAPRQSWEVDKLLESTKSGEPLGS >Manes.07G006800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:851762:853443:1 gene:Manes.07G006800.v8.1 transcript:Manes.07G006800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENRKSAASEERRRFKKICVFCGSRAGYKSSFGDAALQLGKELVERKIDLVYGGGSVGLMGLISQTVFNGGCHVLGVMPKALRPHEISGETVGEMKTVADMHQRKAEMARHADAFIALPGGYGTLEELLEIIAWSQLGIHDKPGVEEGFIEDNARHIVVIAETAAELIKKMEEYTPGHDKVAPRQSWEVDKLLESTKSGEPLGS >Manes.07G011500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1494982:1497261:1 gene:Manes.07G011500.v8.1 transcript:Manes.07G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVQRLSKLYSKLENHHNHHQPEALSAALQAFRSDVSSSITQLLLNSNSGFETLSLEWIHKCFQILPMINKAFAKLVVEIDYHVSKWKVKTMEVYLNYSLHLLDLLISFSSALSHLGQARLSLSHALSLVESSPSKAVERLKTIEFKSIIKDIKDQENKEDEPKERSCSDKEWVVLQALMELRSIGFWVCSIVSVGLSGDDRAYLEMKKSAGAFSNPALINLDSIISRVIMEKGCVLKENRELKDSADCVAEAIAGEKSSDAAEEMQKKLEEFEKLLDNLGKEVDCLFSELLAGRNELLDGIRFQKT >Manes.07G107700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31383393:31387124:1 gene:Manes.07G107700.v8.1 transcript:Manes.07G107700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYADVDFNLRAMAGRAEGFGRFATGGLHGPLYSVTTLADDGPGSLREGCRRREPLWIVFEVSGTIHLSSYLNVSSYKTIDGRGQRVKLTGKGLRLKECEHIIICNLEFEGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAQHDKTMLIGADPSHVGDRCIRVTIHHCFFDGTRQRQPRLRFGKVHLYNNYTRNWGIYAVCASVESQIFSQCNIYEAGQKKKTFEYYTEKAADKEEAKSGLIRSEGDVFLNGAQACLLTNVGEECVFHPSEYYPTWTLEAPSDSLKEIVQICSGWQSISRPEEILV >Manes.07G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31384126:31387219:1 gene:Manes.07G107700.v8.1 transcript:Manes.07G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYADVDFNLRAMAGRAEGFGRFATGGLHGPLYSVTTLADDGPGSLREGCRRREPLWIVFEVSGTIHLSSYLNVSSYKTIDGRGQRVKLTGKGLRLKECEHIIICNLEFEGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAQHDKTMLIGADPSHVGDRCIRVTIHHCFFDGTRQRQPRLRFGKVHLYNNYTRNWGIYAVCASVESQIFSQCNIYEAGQKKKTFEYYTEKAADKEEAKSGLIRSEGDVFLNGAQACLLTNVGEECVFHPSEYYPTWTLEAPSDSLKEIVQICSGWQSISRPEEILV >Manes.07G107700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31384125:31387220:1 gene:Manes.07G107700.v8.1 transcript:Manes.07G107700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYADVDFNLRAMAGRAEGFGRFATGGLHGPLYSVTTLADDGPGSLREGCRRREPLWIVFEVSGTIHLSSYLNVSSYKTIDGRGQRVKLTGKGLRLKECEHIIICNLEFEGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAQHDKTMLIGADPSHVGDRCIRVTIHHCFFDGTRQRQPRLRFGKVHLYNNYTRNWGIYAVCASVESQIFSQCNIYEAGQKKKTFEYYTEKVK >Manes.06G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26126644:26131235:1 gene:Manes.06G132800.v8.1 transcript:Manes.06G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKLHEAFKGTVERITGPRTVSAFKEKGVLSVSEFIIAGDNLVSKCPTWSWESGEPNKRKSYLPPEKQFLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKETKGDEDDNVPSMEALEISKKNHIQSIPSYFGGEEEEDIPDMTDYEDPNNLIETDPATLHSTYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSN >Manes.02G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10962890:10967719:-1 gene:Manes.02G142900.v8.1 transcript:Manes.02G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALSFLRKEPLDFHGSFIRPSASCLNDTILIFLSVAGSMIPMHVMESDSIASVKLRIQASKGFFVKKQKLVFEGRELARNNSCVRDYGIANGKVLHLVLRLSDLQAITVRTVCGKEFEFRVERARNVGYVKQQIARKGKGFDLIDQELICDGEELEDQRLINDICKNNDAAIHLLIRKSAKVRARPSEKDFELSIEALDLTDKGDGVSGKHQNRALSVAHRIVEGKPLLRDFILEPLIINSKIELPQIIKELINSTFNGLEKGNEPIRSSEGSGGAYFMQDSSGHKYVSIFKPIDEEPMAVNNPQGLPLSVNGEGLKKGTQVGGGALREVAAYILDHPKNGPRLSWDGQKGFAGVPPTVMIKCLHKGFNHPGGYECATKNIKIGSLQMFVENDGSCEDMGPRAFPVDEVHKISVLDIRLANADRHAGNILFSKDGEKGKILLVPIDHGYCLPDSFEDCTFDWLYWPQARQPYSPDIIDYIKALDAEQDIALVKFHGWDIPLECARVLRISTMLLKKGVERGLTPFAIGSIMCRETVKKESVIEQIVQEARDAVLPGSSEAAFLEAVSSIMDRHLDELSQKTSNKDSVDI >Manes.16G017000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1609759:1611662:1 gene:Manes.16G017000.v8.1 transcript:Manes.16G017000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMAVLEGSLQISGSTRLNVPSNNTRVAVSRPGLTIRAYQQVPSAAEPEPSRRAVLGLVAAGLASGSFAQAVLAEALSIKLGPPPPPSGGLPGTLNSDQPRDLDLPLKKRFYLQPLDPAQAAVRAKESAKDIVGVKPLIDKKAWPYVQNDLRLRAEYLRYDLNTVIAAKPKEEKQTLKELTGKLFQSINDLDHAAKIKSTPEAEKYYAQTVSNLNDVLAKLG >Manes.09G174000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36580079:36583846:-1 gene:Manes.09G174000.v8.1 transcript:Manes.09G174000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSSMFNGLARSFLIRKGKDIGNGDGREAAEAMAKEAKKNDLILRSSGVINVDGSKNFASVFSKRGEKGVNQDSFIVWEEFGCQEDMMFCGIFDGHGSWGHFVAKKVREWMPSSLLCNWQETLAQTSLDPDIDLELDKKHQIFNIWKQSYVKTCAAVDQELERHRKVDSFHSGTTALTIVRQGEFIFVANVGDSRAVLATTSDDGNLVPVQLTVDFKPNLPQESERILQCKGRVFCLKDEPGVHRIWLPDEDSPGLAMSRAFGDYCIKDFGLISVPDVTHRHITSKDQFAVLATDGVWDVMSNEAAVEIVASTVDRAKAAKRLVESAVHAWKRKRKGIAMDDISAICLFFQPSHVSQQVHIVSCTPK >Manes.09G174000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36580264:36583831:-1 gene:Manes.09G174000.v8.1 transcript:Manes.09G174000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSSMFNGLARSFLIRKGKDIGNGDGREAAEAMAKEAKKNDLILRSSGVINVDGSKNFASVFSKRGEKGVNQDSFIVWEEFGCQEDMMFCGIFDGHGSWGHFVAKKVREWMPSSLLCNWQETLAQTSLDPDIDLELDKKHQIFNIWKQSYVKTCAAVDQELERHRKVDSFHSGTTALTIVRQGEFIFVANVGDSRAVLATTSDDGNLVPVQLTVDFKPNLPQESERILQCKGRVFCLKDEPGVHRIWLPDEDSPGLAMSRAFGDYCIKDFGLISVPDVTHRHITSKDQFAVLATDGVWDVMSNEAAVEIVASTVDRAKAAKRLVESAVHAWKRKRKGIAMDDISAICLFFQPSHVSQQVHIVSCTPK >Manes.09G174000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36580079:36583846:-1 gene:Manes.09G174000.v8.1 transcript:Manes.09G174000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSSMFNGLARSFLIRKGKDIGNGDGREAAEAMAKEAKKNDLILRSSGVINVDGSKNFASVFSKRGEKGVNQDSFIVWEEFGCQEDMMFCGIFDGHGSWGHFVAKKVREWMPSSLLCNWQETLAQTSLDPDIDLELDKKHQIFNIWKQSYVKTCAAVDQELERHRKVDSFHSGTTALTIVRQGEFIFVANVGDSRAVLATTSDDGNLVPVQLTVDFKPNLPQESERILQCKGRVFCLKDEPGVHRIWLPDEDSPGLAMSRAFGDYCIKDFGLISVPDVTHRHITSKDQFAVLATDGVWDVMSNEAAVEIVASTVDRAKAAKRLVESAVHAWKRKRKGIAMDDISAICLFFQPSHVSQQVHIVSCTPK >Manes.09G174000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36580265:36583830:-1 gene:Manes.09G174000.v8.1 transcript:Manes.09G174000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSSMFNGLARSFLIRKGKDIGNGDGREAAEAMAKEAKKNDLILRSSGVINVDGSKNFASVFSKRGEKGVNQDSFIVWEEFGCQEDMMFCGIFDGHGSWGHFVAKKVREWMPSSLLCNWQETLAQTSLDPDIDLELDKKHQIFNIWKQSYVKTCAAVDQELERHRKVDSFHSGTTALTIVRQGEFIFVANVGDSRAVLATTSDDGNLVPVQLTVDFKPNLPQESERILQCKGRVFCLKDEPGVHRIWLPDEDSPGLAMSRAFGDYCIKDFGLISVPDVTHRHITSKDQFAVLATDGVWDVMSNEAAVEIVASTVDRAKAAKRLVESAVHAWKRKRKGIAMDDISAICLFFQPSHVSQQVHIVSCTPK >Manes.11G036100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3492316:3499904:1 gene:Manes.11G036100.v8.1 transcript:Manes.11G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFSLHLPKSLYLSKKFFGPKTKRTKVDVGKLKLKGEKHGHFIFLKNSGSLGLFFARCTSSNSFSAEGTYCDNCAAVAKVDNNGVEFNRVNCLLWVLHESAASFSRAVQSLELPGRSAELAMAWNGKDVHEWHKRIAYQVAVYALLETAIEVEMLLSLDRRNKASPVKEILTPKINLVGEYIESQLNMQHPDLVQWFRVVELPHIVGFFSPLLKKWSMEYAGSGVAGIIAAISCCAAVGKLGSGRTSCPMFTLSIEDVLVDLMDLSHSLVEVEKLHQLATEAGFELNFLSHFGAKILPCNKIEELEFWIGLAQRKLSVAFCKEMVVRGTENSHEKVQAGSLATLGLFAFLGRKTRLFLSRMSVKDLDELVKDFLNYLECGVLFIHPELASVPAYECFMEIVTEEIGWLDFYATCYPLSNQERKRTKQHPTQAEKEIILSTVFTICYDVFSGFAHFSRSTQQPLDAKSLEFLLRSQSLLSICLEDYRAVYARSSELPKIAKAGASDHSLYVGMKGKEKFSAALEAQQDPSELMLQGCIKVKSQRKAGDSAAIEVITIAEAPSISTKSSPLHENLLRRYSAKLASTSSDVWMGTQLLFVDIMDALELLSKQLRGQRVSRRERRKLQRILTDIATLIPITILMLLPVSAVGHAAMLAAIKNYMPSLIPSPYSSERLDVVKQLNRTKKMEVQSWSNLPDPSSRINLEDPSANPAV >Manes.08G092411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31248013:31255363:-1 gene:Manes.08G092411.v8.1 transcript:Manes.08G092411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIDELPFRFVEGEGFRSFMRAICPRFRIPSRWTISRDCYDLFIEERSKLKSFFKKNCQRVSLTTDTWTSLQRINYMCIIAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTVTVDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMSHIINLVVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKECVLHEKIESKSSLCLDVPTRWNSTYLMLNTAQKYEKAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEVKFDKYWGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGKEKGVELFNKVKSCLFDLFNEYKKMYQPNVEQFNDNSSQQLSGSCSTTSSINSKPKFFLKHHYKKQKLEESGGFDSKTELEVYLSEAIQEEKEDFDVMKWWKINYERFPILGKMARDILAIPVSTVASESRESAFSTGGRVLNSFRSFLTPKIVEGLICVQDWIRPLNIQVNVEEDLEELEKLEEGMLYTLSYLC >Manes.01G019000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4447627:4449845:-1 gene:Manes.01G019000.v8.1 transcript:Manes.01G019000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTPPESFMQETNMSLFIHLALWSLLVTAAFTTETDIACLKSIKNSLEDPLNILKSSWNFNNSTEGFLCYFKGVDCWHGDENRVLNLNLTNMGLRGNFPRGIENCTSITGLYLSGNQLSGTIPSDISKKLRFLSVLDLSNNNISGEIPRSLANCTYLNVLRLNNNKLTGQIPAEIGLLNRLRIFSVSNNLLSGPVPNFEFVFGADSYANNLGLCGGPLDPCLRSPPPIEFSSTFITGFVVGYMVAIALVLYTCFWLSVQKGSKKKPIEKKTKKRRETIVGRRMDIIKQNNQSNISKICEVTSNFSKQNVIGSGNMGTMYKATLPNGWFLAIKKLHNSQGFMKQFVCEIMTLGRLRHSNLVPLIGFCKDKKEMLLVYKYISNGTLYDWLHHANPIDWTLRIKVAIGIARGLAWIHYNPHVQTAHLNLTSKCILLDQKFEPKLSNFGGALFINPNETQSTEDHFIVNRDFWDIGFVKKDVHSFGMVLLEIITGKDPDRTVKSVSIFNRIPKESFTDFSTSSSGFYDAIEKCIVGQGNDGEIFQVLRIACDCVQDVPERRPTMLQVYRTLRTIGERHGLADDSDLLSQTEIAMFRFDMK >Manes.02G134300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10245590:10248182:1 gene:Manes.02G134300.v8.1 transcript:Manes.02G134300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIARPPVRVFLQMLLLGTFVSGQADHISDINCLKSISESLEDPYDNLKSWSFSSSSICNFLGVVCWNEFQNDVFSLDLSYLGLNGKFPRGVGNCTRLTALDLSNNNLSGHLPSDIEEILQFVTFLDLSSNNFSGEIPPGIADCVYLNGLRLENNQLTGQIPWQLGRLTRIKIFSVAHNLLSGPVPDFVNLNVTSDCYKNNTGLCGEPLKPCKELQVWKIFPETESPFRYAFGVGFEFSVLSVLVFLFSYSAGLVYFGRKGSKEREYVAYSKLTNVKHLQQASNKIPVLESLVTRMNFEELSVAANNFSKNNIIGMGKMGAVYKATVSNGNWFLAIKRIPNSQNFDHEFFSEVMALGRLKHPNIVRLLGFSSKDKEKLLVYKYEPNGSLYERLHSMKGETQTMEWPVRVKIAVGLARGLAWLHHNHYLPTAHLNVSSKCILLNPKFEPKLSNFTGAIFFNANNTKVAKSKTCELGHMKKDVYSFGVVLLELITGKKPSETNDSRNPERTSDVCYDLDEVVDKSLIWKEFDGEIIQLLRIACDCVQPLPDERPTMVQVYKTLRAMGEKYGLSDDSQTSTLLIFEMDPWRLQVK >Manes.12G055803.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5749601:5756974:-1 gene:Manes.12G055803.v8.1 transcript:Manes.12G055803.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENPAPAAQPDSTTIVKRYPPPNQRNRSISRRKSDRLDRSNSLYASDTEKNQQYASPRNLPIIDHVDLGSSNVLKENSRPGLIALDGCCHSEASQLLNNRWALAMHNYNDVSIDLSERPVMYSGSSASAWGHYRFPHQFISSANSGGEMDFLRELRRAILNSSANPSN >Manes.12G055803.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5750493:5756974:-1 gene:Manes.12G055803.v8.1 transcript:Manes.12G055803.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENPAPAAQPDSTTIVKRYPPPNQRNRSISRRKSDRLDRSNSLYASDTEKNQQYASPRNLPIIDHVDLGSSNVLKENSRPGLIALDGCCHSEASQLLNNRWALAMHNYNDVSIDLSGKANLGRCMCGYFYQDGGCGLCGYAG >Manes.01G107800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30696413:30699690:1 gene:Manes.01G107800.v8.1 transcript:Manes.01G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKTIAHEIGGIQNDALRFGLHGVKSDLVGAHPLETTYQSAKKNREEMSRKILANTYGSAFPLKMDLDRQILSRFQRPPGPISSSMLGLEAYTGRLDDFGFEDYLNDPRESETFRTPDMHHGMEVRLGLSKGPVCPSFM >Manes.14G086700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7170405:7177161:-1 gene:Manes.14G086700.v8.1 transcript:Manes.14G086700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDPPINNNLGSDVTELQCSFKSNCSQTKKRRKNKKRLQIEQNSQIDFQREGSSIKDDSVGINSHNECRVDINTKTDLQTIEKLSRSQKKRMRKKQKRNETVISTKAHVPSAECHLLKVGGNAEKQSDSSRNPSTDLKRKRDIDGKSGLIESVVDCNRQTASLSELSRSQKRRMRKKQKLNETVISNEAHGPLAELHLIKEGENAEKQSDSSKNPNTDLKRKHDNDIKPDLIDSVLDCNNKTASLSELSRSQKKRMRKKRKLNETVISNKAQAPVSELHVIKVGENSEKQSDSSSNPSADLKRKHDNDGKHGLSESVVACNIKTTSLSKLSRSQKKKMRKKQRLNETVISNKADGPLAELQLLKVGENAEKQGDSPRNPSTDLKKEHDNDGKPGLIEPIVDINRKTAPLLELSRSKKKRMRKKQKINATVDKAYGPLAELELINVGRNALIPDNQNDSPRSPSATLVKKHDNNDGTGLGLVEKKNIKMYKMKGELRTYQRKKRQFSDYEENAEHVVLAKEHAASVKVVKNVLTDKTSCDCSNGDFACAEGKNINETEKYVNLNVPVKQGYLPKVSFSSLERPLVECPDKKLLILDINGILADIVSCCSTISRKSVFKRPFFDDFMQFCFDKFNVGVWSSRSKKNVNLALNFLMRGSRHKLLFCWHRSHCTKTGFTTIESKSKPLVLKELKKLWDKLEPGLPWKKGEYNESNTLLLDDSPYKALRNPAHTAVFPHPYQNKDTGDSSLGPGGDIRVYLEQLAEAPNVQEYVAQNPFGQQAITESDPSWDFYQKILNGT >Manes.03G211500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32661707:32667719:-1 gene:Manes.03G211500.v8.1 transcript:Manes.03G211500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLHMTPQLEQIHGEIKDNFRALSNGFQRLDKIKDSNRQSKQLEELTGKMRECKRLIKEFDREIKDEEIKNPPELNKQLNDEKQSMIKELNSYVALRKTFMNSLGNKRVELFDMGAGGSEPIAEENVQMASAMSNQELIDAGMKTMDETDQVIERSKKVVEQTIEVGTQTAVTLKGQTEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPSRRLLYLRDPGRVA >Manes.14G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4465007:4466601:-1 gene:Manes.14G052600.v8.1 transcript:Manes.14G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTNLHASVGQGMTSNDAKVLSGSDTEDDFFSVKGDFSRSCSNASSRRDSFSGSSSVLCQDPFRSDQVDNRPKLFEFLQDECWSEEIASIHLSYVPSAVFTAPGIKDDRLKPKPTVLQLPPMEETSSIPGEELKLSDKIAGDHNRSLKNEKAATASRCCFPSLSPRRSPREGKKGNPPN >Manes.07G095815.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30053865:30054465:1 gene:Manes.07G095815.v8.1 transcript:Manes.07G095815.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRLKGNFCVCKGHEKRITKVLSKNKGLWIKNLDLENGLIHIEGDVEIEKLVNELQKKFKSMQVEVVGDTDSDEEIDSDKCGQSRSGLRPHGGLTQPGYDGYGGFGTTSTYSYSGQNYQISNYPYFNIRDENPNACSTM >Manes.06G081400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21685725:21686762:-1 gene:Manes.06G081400.v8.1 transcript:Manes.06G081400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCLSSPQIHLPKTNDGEGKSGEPSAVHVKKCKEIISTLPRKKGWKGSSDYLHQYQGFWYYDSFLEGIMSAQDHFQARSQDIIVASCPKTGTTWLKALTFAIATRTSFDDSTCTSPLLTKAPHECVPFLEIDLANDSSNRNMELNLVATHIPYVSLPHSILASGCKIVYIWRDPKDVFVSMWYFVAKQMISKDSEPISLEEAFELFCQGIVNYGPYWDHVLGFWRARVEFPEKIMFVKYEEMMKDTCFYVKKLAEFMGYPFTSEEEERGMVENVINMCKFEKLSNLEVNKNGMHRPNTSLEIENNLYFRKGKVGDWENELTAEMGARLDWIVEQKLSGSGLKLM >Manes.17G104400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31170039:31170696:-1 gene:Manes.17G104400.v8.1 transcript:Manes.17G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRNSKFQEYERVFSHFDENGDGKISPRELQRCVGATGGELSMEEAEAAIEGSDSDGDGLLSFEEFVRFVDGGEEEEKMKDLKEAFKMYEMEESGCITPKSLKRMLSRLGQSTTIDVCKTMIAQFDLNGDGVLNFDEFKVMML >Manes.16G081175.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28750312:28750953:-1 gene:Manes.16G081175.v8.1 transcript:Manes.16G081175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITASTGSQACAACKYLRKKCAADCPLAPYFPSNHSSDFLNAHKLFGVSKILKTLNKLRTSEEKKNAIKSMIYQANARARDPVGGCSRIISQLKNQIEFYQLQLSLVRQQIEFHQRLPLNDDLQVSPIDIYDATTLESSGYPTPSIVQKRSYQIDDAVANIDMEKLSLSGLSGHGSQPSSSGNEAVAVDASQDVKPFLGEFHERDNTVGLLKN >Manes.02G103600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8117509:8121169:-1 gene:Manes.02G103600.v8.1 transcript:Manes.02G103600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEDVDLSNLKSELNESHEMWKLEMDQRQSQANALQEKLMEVKACINGSEEDMKKELEVLWRRVKTTATLLTYLKSKARIMAIPDLAHTSCGIKELEGVGLVDKNGTPLSSWSRTVDLSSFDSPDDETWIRLSEQRGSCGEQDEAYIGELLQSVQMVTDVMEALVKRVLMAESETAIEKDKVTLGKEEIRKKAIQIETMSSKLEEMESFALGTNSILNEMRQRVEDLVEETSRQRQRAAENEEELCRVKRDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGETMQKEAEVQKLMQENVRLTALLDKKEAQLLAMNEQCKVMALSASNL >Manes.11G071900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10423334:10427178:1 gene:Manes.11G071900.v8.1 transcript:Manes.11G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTVVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDINRYVLKNSVTGEMIIQHLNKEQEADQSNFRDAANSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDDEVYEDSD >Manes.01G005504.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2315811:2316284:-1 gene:Manes.01G005504.v8.1 transcript:Manes.01G005504.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPTTKTENLHPAGLLQPLQLLSQVWSDISIDFIEGLPKVNGKFVLFVVVDRFFEFGHFIPLSHPYIAIIVAQAFFSTVFWLHGVPESVVSDRDVILLAIFGRNYFGCGTKLSFSSAYYPQSDGQTMVVNHTIEMYPRCFVGDKPKDWVQWLLWVLL >Manes.01G180400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35937555:35940464:1 gene:Manes.01G180400.v8.1 transcript:Manes.01G180400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAKLLSILRKCMTTKSIRQGKLIHQKMVTLGLQDNIALCKNLINLYFSCQYYDLAKLIFQRVENPLDISLWNCLLAAYTKNHMYMEALELFDRLLRYPFVKPDSFTYPSLLKACGGLGEASYGREIHAHLIKSGYVFDIVVGSSLVGLYAKCNLFSCAIQLFDEMPERDVASWNTVISCFYQCGNAKKALELFGKMRDSGFEPNSVTLTTVISSCARLLDLERGKEIHRVIIKSGMVLDGFVSSALIDMYGKCGCLDIAKDIFEQTPKKPLVAWNCMIAGYSSVGDSKRCIELLKRMNLEGIKPTLTTLSSILMACSRAAQLRHGRFMHGYVIRNSIKADIFVISGLIDLYFKCGRVHSAETVFEMLPKENVVCWNVMISGYLTVGNYFEALHMYDEMNVAGVKPDAVTFSSLLSACSQLAALEKGKEIHNCVSERGLETNEVVMGALLDMYAKCGAVDEARIVFNKLPERDVVSWTSMITAYGSNGQALEALRLFGEMQQSNAKPDAVTFLEVLSACSHAGLVDKGYYYFNQMTIKFGINPRIEHCSCLIDLLGRAGRLREAYSILHSNREITEDVGLLSTLFSACHLHKDLELGEEIAKLLIKKDPDDPSTYVTLSNMYASVKKWDKVRTVRLKMKELGLKKNPGCSWIEIDKRIQSFFVKDQSHPEAEAIHECLTILTSHMEKDEILPLNIEESTSFQLEG >Manes.12G127800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33385444:33389703:-1 gene:Manes.12G127800.v8.1 transcript:Manes.12G127800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIPDNLTREQCVYMAKLAEQAERYEEMVQFMEKLVTSSTPGSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVALVEAYRSKVETELSAVCSGILRILESNLIPSAVAFESKVFYFKMKGDYHRYLAEFKVGDERKAAAEDTMLAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSSEKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQIDEA >Manes.12G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33385444:33389703:-1 gene:Manes.12G127800.v8.1 transcript:Manes.12G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIPDNLTREQCVYMAKLAEQAERYEEMVQFMEKLVTSSTPGSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVALVEAYRSKVETELSAVCSGILRILESNLIPSAVAFESKVFYFKMKGDYHRYLAEFKVGDERKAAAEDTMLAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSSEKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEQIDEA >Manes.09G026925.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6012133:6012483:1 gene:Manes.09G026925.v8.1 transcript:Manes.09G026925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQFAILLLVLLCSVDFFPQGLARPWLAFDEEGIQNFALPPITHEPNVSKCLKIFHEEKICVGEILLSIRNHRVIVDPRCCSLVDKISEDCSGTCFAGLTESFFSIVLKNYCAYK >Manes.06G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21588284:21597909:1 gene:Manes.06G080300.v8.1 transcript:Manes.06G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQQQRLFEAEGRKPTHDFLSLYGHSAGQQDPRPPSQGDLKTHDFLQPLERVGKITAKEETTREISSLERAPPHAPPPSVEHILPGGIGTYSISHISNYFYQRIPKPEDSTVFTVPQASSTDKNEEHSNCSSYTGSGFTLQEESASKKGKLEKENDGERSDYAREAAAIRGHWTSSERPSRSSTNTNHNSFCSISSTEPSRQKGQSFIDIIKSGKGSNQDDNSDDEEEFDPKKESSSPIHKGELRVKVDAKHTDQKANTPRSKHSATEQRRRSKINDRFQMLRELIPHVDQKRDKASFLLEVIEYIQFLQEKVQKYEGSYQGWKNEPAKLLPWGNGIRPIESYVDQSRGTSSGAGPAVLVAAKLGEENITVSPTILPNFFNSGASGRNGGAMAQIPPRLVSDAENKANQPKTQSLHIRSCTGEEVVAGDKLKEQELSIEGGTINISSVYSQGLLNTLTQALQSSGVDLSQASVSVQIELGKQANRRPILPESIVKDVEVPSSNQGTIRRRVSSGEGSHQAPKKLKASKS >Manes.06G080300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21588284:21597909:1 gene:Manes.06G080300.v8.1 transcript:Manes.06G080300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQQQRLFEAEGRKPTHDFLSLYGHSAGQQDPRPPSQGDLKTHDFLQPLERVGKITAKEETTREISSLERAPPHAPPPSVEHILPGGIGTYSISHISNYFYQRIPKPEDSTVFTVPQASSTDKNEEHSNCSSYTGSGFTLQEESASKKGKLEKENDGERSDYAREAAAIRGHWTSSERPSRSSTNTNHNSFCSISSTDFLNRPSRQKGQSFIDIIKSGKGSNQDDNSDDEEEFDPKKESSSPIHKGELRVKVDAKHTDQKANTPRSKHSATEQRRRSKINDRFQMLRELIPHVDQKRDKASFLLEVIEYIQFLQEKVQKYEGSYQGWKNEPAKLLPWGNGIRPIESYVDQSRGTSSGAGPAVLVAAKLGEENITVSPTILPNFFNSGASGRNGGAMAQIPPRLVSDAENKANQPKTQSLHIRSCTGEEVVAGDKLKEQELSIEGGTINISSVYSQGLLNTLTQALQSSGVDLSQASVSVQIELGKQANRRPILPESIVKDVEVPSSNQGTIRRRVSSGEGSHQAPKKLKASKS >Manes.09G138200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33791763:33796924:1 gene:Manes.09G138200.v8.1 transcript:Manes.09G138200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLEKVAADLRTSIWKQMAGAGIKYIPSNTFSYYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVHFSYASHKAVTEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLIGKILPVYKEVISELKAAGASWIQFDEPTLVMDLDSHKLQAFSDAYTELESTLSGLNVLIETYFADIPAQAFKTLTSLKGVTAYGFDLVRGTKSLDLIKSEFPKGKYLFAGVVDGRNIWANDLASSLSTLHELEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKIVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAATALRGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAHKISEDDYVKAIKEEIRKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSAAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYAYHKILK >Manes.09G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33791763:33796924:1 gene:Manes.09G138200.v8.1 transcript:Manes.09G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLEKVAADLRTSIWKQMAGAGIKYIPSNTFSYYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVHFSYASHKAVTEYKEAKALGIDTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLIGKILPVYKEVISELKAAGASWIQFDEPTLVMDLDSHKLQAFSDAYTELESTLSGLNVLIETYFADIPAQAFKTLTSLKGVTAYGFDLVRGTKSLDLIKSEFPKGKYLFAGVVDGRNIWANDLASSLSTLHELEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKIVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAATALRGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAHKISEDDYVKAIKEEIRKVVQLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSAAQSMTARPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSAEEIADRINKMLAVLEKNILWVNPDCGLKTRKYAEVKPALSNMVAAAKLLRIELASAK >Manes.06G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20803628:20812179:-1 gene:Manes.06G071800.v8.1 transcript:Manes.06G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFLSPFLLLLSPALSFPSDISYYIDCGGPTNSTDHFNTTWLSDRFFTGGLTSVVSEPLHFRFPQEKTLRFFPLSSGKKNCYIVPLPSGRYYIRTFTVYDNYDGKSHSPSFDASVEGTLVFSWRSPWSENLARDGAYSDLFAFVKDGEADICFYSIATDPPVIGSLEIRQIDSLSYDSDKIGNNFILVNYGRLSCGSLQGGPGFSSDTDVFGRSWQSDSVFRSQSTSNKFHSFSTREKITGTGQAPNFFPMKLYQSAVTGNGVLEYEVTVDAKLDYVLWFHFAEIDSIVKKKGQRVFDVLVNDKNVSRVDIYAQVGSFAAYSFQYTVHNLSSSALTVKLRPVTGAPLISGIENYALIPNDISTAPEQVAAMKALKVSLRVPDRMGWNGDPCAPTNWDTWEGVTCHSNKDGTALVISQIDLGSQGLKGYLSDQISLLSNLVSLNLSSNSLVGTLPSGLGHKSLVRLDLSNNQFSGPIPESLASSSLQLALLSNNLLEGPVQEELYSIGVHGGTIDLSGNKGLCGVPSLPQCSLFWENGHLSTGGKIVLALSCLVFVSLLLLGVCICIKRGRNDYDFAPTHDLTTMAAKRNRYQRQKSLMLLEMESQHAKGLPSPYGPH >Manes.06G071800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20803584:20812273:-1 gene:Manes.06G071800.v8.1 transcript:Manes.06G071800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFLSPFLLLLSPALSFPSDISYYIDCGGPTNSTDHFNTTWLSDRFFTGGLTSVVSEPLHFRFPQEKTLRFFPLSSGKKNCYIVPLPSGRYYIRTFTVYDNYDGKSHSPSFDASVEGTLVFSWRSPWSENLARDGAYSDLFAFVKDGEADICFYSIATDPPVIGSLEIRQIDSLSYDSDKIGNNFILVNYGRLSCGSLQGGPGFSSDTDVFGRSWQSDSVFRSQSTSNKFHSFSTREKITGTGQAPNFFPMKLYQSAVTGNGVLEYEVTVDAKLDYVLWFHFAEIDSIVKKKGQRVFDVLVNDKNVSRVDIYAQVGSFAAYSFQYTVHNLSSSALTVKLRPVTGAPLISGIENYALIPNDISTAPEQVAAMKALKVSLRVPDRMGWNGDPCAPTNWDTWEGVTCHSNKDGTALVISQIDLGSQGLKGYLSDQISLLSNLVSLNLSSNSLVGTLPSGLGHKSLVRLDLSNNQFSGPIPESLASSSLQLALLSNNLLEGPVQEELYSIGVHGGTIDLSGNKGLCGVPSLPQCSLFWENGHLSTGGKIVLALSCLVFVSLLLLGVCICIKRGRNDYDFAPTHDLTTMAAKRNRYQRQKSLMLLEMESQHAKGLPSPYGPH >Manes.06G071800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20803602:20812179:-1 gene:Manes.06G071800.v8.1 transcript:Manes.06G071800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFLSPFLLLLSPALSFPSDISYYIDCGGPTNSTDHFNTTWLSDRFFTGGLTSVVSEPLHFRFPQEKTLRFFPLSSGKKNCYIVPLPSGRYYIRTFTVYDNYDGKSHSPSFDASVEGTLVFSWRSPWSENLARDGAYSDLFAFVKDGEADICFYSIATDPPVIGSLEIRQIDSLSYDSDKIGNNFILVNYGRLSCGSLQGGPGFSSDTDVFGRSWQSDSVFRSQSTSNKFHSFSTREKITGTGQAPNFFPMKLYQSAVTGNGVLEYEVTVDAKLDYVLWFHFAEIDSIVKKKGQRVFDVLVNDKNVSRVDIYAQVGSFAAYSFQYTVHNLSSSALTVKLRPVTGAPLISGIENYALIPNDISTAPEQVAAMKALKVSLRVPDRMGWNGDPCAPTNWDTWEGVTCHSNKDGTALVISQMNLSSNSLVGTLPSGLGHKSLVRLDLSNNQFSGPIPESLASSSLQLALLSNNLLEGPVQEELYSIGVHGGTIDLSGNKGLCGVPSLPQCSLFWENGHLSTGGKIVLALSCLVFVSLLLLGVCICIKRGRNDYDFAPTHDLTTMAAKRNRYQRQKSLMLLEMESQHAKGLPSPYGPH >Manes.12G062602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6019864:6021128:1 gene:Manes.12G062602.v8.1 transcript:Manes.12G062602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVVSAQTALPEEKAEEVKVPEAAPVADEKPEEEPKEAEAVDQVSEEPVAPESDAPAEAVVETKEVVEVEEAKDVKEEPEVEKTAEEETPEEETSQEEPAPETVVEEAPKETTEPATDAEAPAPEAPVEAPKEEEGGEKKKPEAEEAAVEKPE >Manes.01G272000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42519153:42524500:-1 gene:Manes.01G272000.v8.1 transcript:Manes.01G272000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQKQSRKTRDSDLEGFDDMENGDLMISEEENYDDEEVEEEEEEEVDEQEKEEEENEEMLYQEEESEGEGDMQNVSHKDAEMEELEKEYMHLRNQEQDILKNLKRHKDEDLLKGQAVKNQKAFWDKTLELRFLLQKAFSSSNRLPLEPVRSSFCDSDGGVSAAYTDLIASSEKTLESLLELQEALLEKNPSIYQSFNSNSGRSAKKHSHSQDSKRSDEDSDEEWLRISQMHGRIAPFRDKSIDKWQRKTQVTTGAAAIKGKLQAFNQNISEQVASYMRDPSRIMKQMQLSRSTIGVFGTVSEQLGNAKGEVASSDGDPELIDDSEFYQHLLKEFFESIDPTSSETAFYALKKLQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPQPMNVPPIAPKLFANLFGVKSHQPASAV >Manes.01G272000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42519153:42524500:-1 gene:Manes.01G272000.v8.1 transcript:Manes.01G272000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQISEEENYDDEEVEEEEEEEVDEQEKEEEENEEMLYQEEESEGEGDMQNVSHKDAEMEELEKEYMHLRNQEQDILKNLKRHKDEDLLKGQAVKNQKAFWDKTLELRFLLQKAFSSSNRLPLEPVRSSFCDSDGGVSAAYTDLIASSEKTLESLLELQEALLEKNPSIYQSFNSNSGRSAKKHSHSQDSKRSDEDSDEEWLRISQMHGRIAPFRDKSIDKWQRKTQVTTGAAAIKGKLQAFNQNISEQVASYMRDPSRIMKQMQLSRSTIGVFGTVSEQLGNAKGEVASSDGDPELIDDSEFYQHLLKEFFESIDPTSSETAFYALKKLQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPQPMNVPPIAPKLFANLFGVKSHQPASAV >Manes.15G071700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5405924:5408481:1 gene:Manes.15G071700.v8.1 transcript:Manes.15G071700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRWFSGIIKVSLSCQQAVSLWVLGAMEGFGTGVFVIAMKKAVFAAFTCILALGGAAVGTVIGAMKGQTTEIGFLRGSGIGAVAGAITAVQLLESVSDGEPLSKVALIYSLMNGKVFMEWISAMETTYREISDIYDTSGNRGLSKNCIEKLPQLTLQHSHNFDQCHQFCCSICLQDLKDGESVRKIPYCGHLFHMDCLDKWLARNGSCPMCRNCVFNDCDVL >Manes.15G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5406570:5408482:1 gene:Manes.15G071700.v8.1 transcript:Manes.15G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRWFSGIIKVSLSCQQAVSLWVLGAMEGFGTGVFVIAMKKAVFAAFTCILALGGAAVGTVIGAMKGQTTEIGFLRGSGIGAVAGAITAVQLLESVSDGEPLSKVALIYSLMNGKVFMEWISAMETTYREISDIYDTSGNRGLSKNCIEKLPQLTLQHSHNFDQCHQFCCSICLQDLKDGESVRKIPYCGHLFHMDCLDKWLARNGSCPMCRNCVFNDCDVL >Manes.15G071700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5405924:5408481:1 gene:Manes.15G071700.v8.1 transcript:Manes.15G071700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRWFSGIIKVSLSCQQAVSLWVLGAMEGFGTGVFVIAMKKAVFAAFTCILALGGAAVGTVIGAMKGQTTEIGFLRGSGIGAVAGAITAVQLLESVSDGEPLSKVALIYSLMNGKVFMEWVSPAVLKAYQWQISAMETTYREISDIYDTSGNRGLSKNCIEKLPQLTLQHSHNFDQCHQFCCSICLQDLKDGESVRKIPYCGHLFHMDCLDKWLARNGSCPMCRNCVFNDCDVL >Manes.15G071700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5405924:5408481:1 gene:Manes.15G071700.v8.1 transcript:Manes.15G071700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRWFSGIIKVSLSCQQAVSLWVLGAMEGFGTGVFVIAMKKAVFAAFTCILALGGAAVGTVIGAMKGQTTEIGFLRGSGIGAVAGAITAVQLLESVSDGEPLSKVALIYSLMNGKVFMEWVSPAVLKAYQWQISAMETTYREISDIYDTSGNRGLSKNCIEKLPQLTLQHSHNFDQCHQFCCSICLQDLKDGESVRKIPYCGHLFHMDCLDKWLARNGSCPMCRNCVFNDCDVL >Manes.10G016300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1724388:1727266:1 gene:Manes.10G016300.v8.1 transcript:Manes.10G016300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESLPSLQNLQLLVPHSPPSCRKPLCPRSYSLSSFRSLSSPSFTYSLGRSSLFGSCGNLRTSGLKRSCSADSYEFFDDESANQIDDDFSKKFDVTDEKDDENNNDAASSAVSEISKASTCKDGKKIREKTDSSMSSLPSKLESLEPNFLGIKPEPPEWPERDEIVKMSIVRKANSVEIPLSLRMIGRKQKWQEGFVDAGDFAYCSVKKAFSSMVFIIRELQNYALSIRGRLYSEDLQAVVNKFQKEMNASFVWLFQQVFSRTPNLMVYVMLLLANFTVHSMVGNMNTSPSRRLYHKLIATQGVNLEQISEELSTSLPGYEEMIEKEEMELWNLVVEEAEIMREESRYAVLDQEIMKHLVSSISVEIEPEDYVEFHRTDLLYQMGVAEDPNNHLLLSNYAQFLCVVRHDYDRAEECFKRAIMSGPPDAETFSQYADFLWLVKKDLWSAEEVYQQAMEAAPNSHYYASKYAHFLWRTGGEGTCFPLDSYYNKVM >Manes.10G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1723855:1727231:1 gene:Manes.10G016300.v8.1 transcript:Manes.10G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESLPSLQNLQLLVPHSPPSCRKPLCPRSYSLSSFRSLSSPSFTYSLGRSSLFGSCGNLRTSGLKRSCSADSYEFFDDESANQIDDDFSKKFDVTDEKDDENNNDAASSAVSEISKASTCKDGKKIREKTDSSMSSLPSKLESLEPNFLGIKPEPPEWPERDEIVKMSIVRKANSVEIPLSLRMIGRKQKWQEGFVDAGDFAYCSVKKAFSSMVFIIRELQNYALSIRGRLYSEDLQAVVNKFQKEMNASFVWLFQQVFSRTPNLMVYVMLLLANFTVHSMVGNMNTSPSRRLYHKLIATQGVNLEQISEELSTSLPGYEEMIEKEEMELWNLVVEEAEIMREESRYAVLDQEIMKHLVSSISVEIEPEDYVEFHRTDLLYQMGVAEDPNNHLLLSNYAQFLCVVRHDYDRAEECFKRAIMSGPPDAETFSQYADFLWLVKKDLWSAEEVYQQAMEAAPNSHYYASKYAHFLWRTGGEGTCFPLDSYYNKVM >Manes.12G144101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35061936:35066459:-1 gene:Manes.12G144101.v8.1 transcript:Manes.12G144101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIQNGVKLRHRSMFVIIGDKSRDQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPGKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIDTVEGGGLIVLLLRSLSSLTSLYTMVMDFQERFRTESHSEAAGRFNERFLLSLASCKACVVMDDELNALPISSHIRSITPVPVKEDAEGLSEAERDLKNLKEQLHDDFPVGPLIKKVLHTRSGKSCHHIS >Manes.09G096800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29398680:29416248:1 gene:Manes.09G096800.v8.1 transcript:Manes.09G096800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATGRGRVVGDYLVGRQIGSGSFSVVWHARHRVHGTEVAIKEIATSRLNKKLQDSLMSEIFILKRINHPNIICLHDIIEVPGRIHIVLEYCKGGDLSMYIQRHGRVPEAIAKHFMQQLAAGLQILRDNNLIHRDLKPQNLLLSTNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTGLQFPSDSKDLSADCKDLCQKLLRRNPVERLTFEEFFNHPFLSLRKLDEFFGNRTFSRSVDGVPLSESNSARNTEDISQEDYLPFFLDDDSSGPEGSPSFSKRRPSMKSTYGFSLDTQVDGKEAKSNALNNMDFTPRHSSTRRNLETPSFKPDINKFSNEHLHEASKYMNQRSMNVQSRVVDSLELIDQDYVIVSGPPLDVSSSLASTSKPRNIPYKSRSPPRASDNVNSAPMPIIGTANSNACHIGSLEGPSSAPGTSQGSTDIGDVVEQPSTHCMTRIKSLQQCASAISELVDAGRQLEAFSIQLVILAVWKQALHICHTQAASAIEGSPSQESTRLRRTSSEKHETPDTEDCPDIGPESISTQIEREFLREVEHADELAKAIESGNIEMPDAMETIFQSALALGRLGGVEELIGEMESASFLYSKAVRLLIFLLVEAPSLILNPPFSLTNSDRYRLRTYIDILNNRQGHSRSQRMALLKCDEQAPQCPP >Manes.09G096800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29398680:29416248:1 gene:Manes.09G096800.v8.1 transcript:Manes.09G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATGRGRVVGDYLVGRQIGSGSFSVVWHARHRVHGTEVAIKEIATSRLNKKLQDSLMSEIFILKRINHPNIICLHDIIEVPGRIHIVLEYCKGGDLSMYIQRHGRVPEAIAKHFMQQLAAGLQILRDNNLIHRDLKPQNLLLSTNDSNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTGLQFPSDSKDLSADCKDLCQKLLRRNPVERLTFEEFFNHPFLSLRKLDEFFGNRTFSRSVDGVPLSESNSARNTEDISQEDYLPFFLDDDSSGPEGSPSFSKRRPSMKSTYGFSLDTQVDGKEAKSNALNNMDFTPRHSSTRRNLETPSFKPDINKFSNEHLHEASKYMNQRSMNVQSRVVDSLELIDQDYVIVSGPPLDVSSSLASTSKPRNIPYKSRSPPRASDNVNSAPMPIIGTANSNACHIGSLEGPSSAPGTSQGSTDIGDVVEQPSTHCMTRIKSLQQCASAISELVREKVDAGRQLEAFSIQLVILAVWKQALHICHTQAASAIEGSPSQESTRLRRTSSEKHETPDTEDCPDIGPESISTQIEREFLREVEHADELAKAIESGNIEMPDAMETIFQSALALGRLGGVEELIGEMESASFLYSKAVRLLIFLLVEAPSLILNPPFSLTNSDRYRLRTYIDILNNRQGHSRSQRMALLKCDEQAPQCPP >Manes.06G098600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23242666:23248243:-1 gene:Manes.06G098600.v8.1 transcript:Manes.06G098600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRWLTSCPAPRVKAALMIQPTSLLQPLPLLPSRPACFRPNVTMSNDPDQSTNLDEIFKQKRILRTTVRKALKSMDPPLRSQEDNAIQSIILEAPWFKSSRSLCAYISCSALREVDTSKLLTEILRNPVKEGCTQTRKKLYVPRVEDKHSHMRMLNISSMDDLIANSMNILEPAPIDHVGNAREDVTLANDPVDLFLLPGLAFDRSGRRLGRGGGYYDTFLRKYQELARERNWKQPLFVALSYSLQIMDGVIPVTPHDVLVDALVTPSGMIPINPSAIERMQI >Manes.08G166900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40152861:40155746:1 gene:Manes.08G166900.v8.1 transcript:Manes.08G166900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEGIIHRLLEGRNNRGKRIQLTEAEIKNLCLAAKQVFLAQPVLLELEAPINICGDIHGQYPDLLRLFESGGFPPDSNYLFLGDYVDRGKQSIETICLLLAYKLKFPDNIFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAAVVDEKILCMHGGLSPEMESLDQIRSIERPVDVPDQGLLCDLLWADPDKEIKGWGENDRGVSYTFGADKVAEFLKKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMCVNESLLCSFQILKPCKGKAEQQE >Manes.03G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24246443:24247484:-1 gene:Manes.03G116900.v8.1 transcript:Manes.03G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVVGESDMLQTMQQDALDLAAKALDFFDVTEATEIARFIKKQFDTSYGAGWQCIVGTDFASFVTHCCGCFIYFQIGSLAILLFRGSAAPELDEANQFTDLEALDTVTA >Manes.02G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3567892:3572525:1 gene:Manes.02G043300.v8.1 transcript:Manes.02G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDDNDDPTQLIVAAQLKVEKPKKPSAPAQPQAQAQPAKSAKLPTKPLPPAQAVREAKTEGGRGGGRGGGRGAGRGRGGGSGFNRDSNNEATFGSNNGFSGGYRPSEEVDTGKPSEKRGYGGPRGGFRGGRRGGLSNGEAGEGERPRRLYERRSGTGRGNEFKRDGAGRGNWGTPADEIAPETEEPVVENEKNIGSEKQSGEEDAADINKESPVDEPEEKEPEDKEMTLEEYEKIREEKRKALLSMKPEERKVDVEKEFGSMLQLSNKKGSNEIFIKLGSERDKRRDADKEDRAKKSVSINEFLKPADGERYYNPGGRGRGRGRGPRGGYGGGGNMRDPAAPSIEDRGQFPTLGGK >Manes.14G043600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3807487:3812718:-1 gene:Manes.14G043600.v8.1 transcript:Manes.14G043600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPHRTGCSDPVKKAVPISMDHVLLALRETEEERDVRLRSLFNFFDAANAGYLDYAQIEAGLSALQIPAEYIYASGLLKVCDANEDGRVDYQEFRRYMDDKELDLYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVNRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTHAHLVPAIKKIWKEGGEKDNIGPAERLFAGGMAGAVAQTAIYPLDLVKTRLQICEGGNAPKLGTLTKNIWIQEGPRAFYKGLVPSLLGIIPYAGIDLAAYETLKDMSKAYILHDSEPGPLVQLGCGTVSAAFGASCVYPLQVIRTRMQAQHSNSSAAYKGMSDVFWRTLQNEGYRGFYKGLFPNLLKVVPSASITYLVYEAMKKSLDL >Manes.14G043600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3807487:3812718:-1 gene:Manes.14G043600.v8.1 transcript:Manes.14G043600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPHRTGCSDPVKKAVPISMDHVLLALRETEEERDVRLRSLFNFFDAANAGYLDYAQIEAGLSALQIPAEYIYASGLLKVCDANEDGRVDYQEFRRYMDDKELDLYRIFQAIDVEHNGCILPEELWDALVKAGGIAGAASRTATAPLDRLKVVLQVQTTHAHLVPAIKKIWKEGGFLGFFRGNGLNVVKVAPESAIKFYSYELLKNVIADFKGGEKDNIGPAERLFAGGMAGAVAQTAIYPLDLVKTRLQICEGGNAPKLGTLTKNIWIQEGPRAFYKGLVPSLLGIIPYAGIDLAAYETLKDMSKAYILHDSEPGPLVQLGCGTVSAAFGASCVYPLQVIRTRMQAQHSNSSAAYKGMSDVFWRTLQNEGYRGFYKGLFPNLLKVVPSASITYLVYEAMKKSLDL >Manes.14G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3807487:3812718:-1 gene:Manes.14G043600.v8.1 transcript:Manes.14G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPHRTGCSDPVKKAVPISMDHVLLALRETEEERDVRLRSLFNFFDAANAGYLDYAQIEAGLSALQIPAEYIYASGLLKVCDANEDGRVDYQEFRRYMDDKELDLYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVNRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTHAHLVPAIKKIWKEGGFLGFFRGNGLNVVKVAPESAIKFYSYELLKNVIADFKGGEKDNIGPAERLFAGGMAGAVAQTAIYPLDLVKTRLQICEGGNAPKLGTLTKNIWIQEGPRAFYKGLVPSLLGIIPYAGIDLAAYETLKDMSKAYILHDSEPGPLVQLGCGTVSAAFGASCVYPLQVIRTRMQAQHSNSSAAYKGMSDVFWRTLQNEGYRGFYKGLFPNLLKVVPSASITYLVYEAMKKSLDL >Manes.15G115800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9222003:9223654:-1 gene:Manes.15G115800.v8.1 transcript:Manes.15G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRTKLHLLGIFLLTLASLKQHCVDGESKVPCYFIFGDSSVDSGNNNNLPTGAKANFLPYGIDFPEGPTGRFCNGRTTADVIGEFLGFDNFIPPFLTANGSEILKGVNYASGSAGIRNETGTIVGVNINLTTQLQNHQVTISRIVDLLRSKDAATQHLNKCLYLFVIGSNDYLMNYFWFPVYPPEQYAQVLIKEFSEQIMNLYKSGARKVALSGIGPVGCTPGAIIWKGTNGSLCVDWMNNAVNLFNNRLEILVNQLNCELIGAQFIYLNTYGIVSELIASPVFQIKIDWCCKINKYGACKRCELPCEDRNLHLFWDAFHPSEIANKVIGALLYLALGKIL >Manes.17G063700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26336729:26338066:1 gene:Manes.17G063700.v8.1 transcript:Manes.17G063700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKATKLGSSLPVPSVQELARESLNKVPSQYVRPDVEPSIANKAALHQVPVIDMQKLISGDNMDLELVKFHQACKEWGFFQLINHGANKSLVEKMKRELQELFNLPMEEKKKLWQEPGNMEGFGQHFVVSEEQKLDWADLFFLNMLPVHMRKPHLFSALPPSFREAVEDYSAELRNLAMRILQQMARALRMDFNEIKENYEEGWQSMRMNYYPPCPQPELVIGLNPHSDAGGLTILLQVNEMEGLQIRKEGEWIPVKPLPDSFVINIGDSLEILTNGTYPSIEHRATVNSSKERLSIATFYSPRLDGSIGPAPSLITPQTPPRFKTMTSADYYKGYFARELRGKSYLDVIRIQQDEH >Manes.01G189700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36561517:36563258:-1 gene:Manes.01G189700.v8.1 transcript:Manes.01G189700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKFLFLSFAALAVIVHANMDEFDEYWKQRADEAKENTLQAYRPDPFNVTNQLNDQVTKALMNYNSTRRNLLRKQHRGPCKATNPIDRCWRCNSNWATHRKKLAECVLGFGHRTTGGKAGKIYIVNDPSDNDMVNPKRGTLRHAVIQKVPLWIVFAHSMVIRLNQELIISSDKTIDGRGANVHIAGGAGITVQFVKNVIIHGLWIHNIVSGNGGMIRDSVDHYGLRTKSDGDGISIFGSTNIWIDHVTMYNCQDGLIDAIMGSSAITISNCHFTHHNEVLLFGASDGYSGDTLMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSRNPTIISQGNRFIAPDKIAAKQVTKREYAVESEWKNWRWRSNRDLMMNGAFFVESGAPLVKKQFTRQQVIKCRPGTYVTRLTRYAGALECREGKRC >Manes.08G055000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5988030:5990190:-1 gene:Manes.08G055000.v8.1 transcript:Manes.08G055000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPDAPLFIPAAYRRVEDFSPEWWQLVTTSTWYRDYWMSQHQDEEGFYDNAEDDGFDGNDVADLLPDTFDFDAGEYFSSLEVQVQEFAEAYDAEGERRSSPSNEMKQNGFEIEAEEPKRYVSLSKTLEETAPGDKNVNPK >Manes.08G055000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5988030:5990190:-1 gene:Manes.08G055000.v8.1 transcript:Manes.08G055000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPDAPLFIPAAYRRVEDFSPEWWQLVTTSTWYRDYWMSQHQDEEGFYDNAEDDGFDGNDVADLLPDTFDFDAGEYFSSLEVQVQEFAEAYDAEGERRSSPSNEMKQNGFEIEAEEPKRYVSLSKTLEETAPGDKNVNPK >Manes.08G055000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5988030:5990190:-1 gene:Manes.08G055000.v8.1 transcript:Manes.08G055000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPDAPLFIPAAYRRVEDFSPEWWQLVTTSTWYRDYWMSQHQDEEGFYDNAEDDGFDGNDVADLLPDTFDFDAGEYFSSLEVQVQEFAEAYDAEGERRSSPSNEMKQNGFEIEAEEPKRYVSLSKTLEETAPGDKNVNPK >Manes.06G175800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29912263:29915139:-1 gene:Manes.06G175800.v8.1 transcript:Manes.06G175800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRCFPQSNYLKRFYRRITINCLSNSIQPLPTSNLTLCFSSNLSSFSISISNIHQYRKLYATVTHTSNSNVTTSSTESPLTGLEDDLVGYVLGKKKATEVAHLVWKHVVQKGDTVIDATCGNGYDTLAMLKMVADESGTARVYGMDIQRDALENTSSLLDENVTPKEKQLVKLFSICHSRIEEIIPENSPVRLVAFNLGYLPGGDKALTTVPETTMMAIESAKRVLVPGGLISLVVYVGHPGGREELETVESFASGLSVDEWICCKFQMLNRPLAPVLVFLFKK >Manes.11G079800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12102322:12112444:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGLRLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLQEMGSCLMEKTALHDDEESGGVLLMLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMEVDDVDLSFPQASVTESAELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGKWMSYFWLSKIKTLSLDI >Manes.11G079800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101588:12112444:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGLRLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLQEMGSCLMEKTALHDDEESGGVLLMLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMEVDDVDLSFPQASVTESAELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGTVRLTPNLHDP >Manes.11G079800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101663:12107933:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDMHICVVLVEGLMIGFSFYSGQGGVLLMLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMEVDDVDLSFPQASVTESAELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGGS >Manes.11G079800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101303:12112445:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMEVDDVDLSFPQASVTESAELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGGS >Manes.11G079800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101361:12112444:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGLRLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLQEMGSCLMEKTALHDDEESGGVLLMLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGGS >Manes.11G079800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101307:12112444:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMEVDDVDLSFPQASVTESAELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGGS >Manes.11G079800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101304:12112467:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLGKLRGLRLHKSDAKDKRDLLPSAQLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLQEMGSCLMEKTALHDDEESGGVLLMLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMEVDDVDLSFPQASVTESAELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGGS >Manes.11G079800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101585:12112444:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMEVDDVDLSFPQASVTESAELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGGS >Manes.11G079800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101986:12105800:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNDFPLSRMAGEVVASPLYRMFYITFLSSRYIEYTGITSFCLGFHSICSSFSLLQDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMEVDDVDLSFPQASVTESAELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGGS >Manes.11G079800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12101361:12112444:-1 gene:Manes.11G079800.v8.1 transcript:Manes.11G079800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKVQLELQELVDRYRSHIILTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKEKGKSKSGKGESFTLQQLQTAHAEYDEEATLCVFRLKSLKQGQARSLLTQAARHHAAQLNFFRKGLKSLEAVDQHVKVVTDQQHIDYQFCGPEDDGREDGEDDENGDDTNEGRELSFDYRANKLGHEIFSASRNSMELNPDKNQGGFHISGREPRPGSHSAPIFPERKPDPVERIKQVQSSVRKSNTYVLPTPIDAKSVVSSRTSGSIAHTRPTDFSARTHNLWHSSPLEQKKNEKDPGDSHLSEVTVFKAHSAYKEGNSNNAFTQLPPPLAEGTSLPQLDTHNASDNKKIKRQSFSGPITSKPWSTKPSLSASGPISSNELPQQVSGVLPRVVIPQSTSPKVSPTASPPLASSPRISELHELPRPPGNLASKPAKLSTPVGHSAPLVRNPEHSGTSKNSSTMTYYASPLPIPPLVIPRSFSIPSSGQRAMTIHVSKSVESLHVPEKAEEVDSLPFTQSMANIKQASTISELVPHSGQIRGGS >Manes.01G029500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5936078:5937247:1 gene:Manes.01G029500.v8.1 transcript:Manes.01G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLCIKTLSSLLSPSSFSFSSVSSPLSLSTSPSITFSSSPTMSRHSLLFIFSFLFLLLFVISSAANLPNPTSPAVSSSRNNRQPLFCGSFSRKSSTRSLCIHFHRMNPQVLVPPPPTSPPSEEIDPRYGVEKRLVPSGPNPLHN >Manes.09G035200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6796172:6797477:1 gene:Manes.09G035200.v8.1 transcript:Manes.09G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIIMKKQASSLAPSPLAMHKDSQTISKVKPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPADKACKKKPRIPKRTQAPPTAAAMKMEIRSGFARDKVKEEDHEEEMWNGANSGGFLAGFADLDGFIQELGEFPMLPMEANYQMHSFGESQLV >Manes.15G084800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6522931:6525076:-1 gene:Manes.15G084800.v8.1 transcript:Manes.15G084800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQETDPLAQLTLPPGFRFYPTDEELLVQYLCRKVAGHQFSLQIIAEIDLYKFDPWVLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLLESSRKHGSTKLDDWVLCRIYKKNTGSQKFISSVPSKEHSHNGSSSSSSSHLDDVLDSLPEIDDRFFAFPGTNPIRTTQPPEEKINFNSMCFGNFDWASLAGFNSVPEIIQSGQPQAQTRGMMDYANNNGDLDVPSLPPHVGHVDSKLGHSTVEEEVQSGARAHRVDNSGLFLQNANPSTRNFSSSLDPYGFRYWTQAGSGFGFRQN >Manes.17G101000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30830126:30831182:-1 gene:Manes.17G101000.v8.1 transcript:Manes.17G101000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRSAIVTGTRSMAARSRTLSQKALNAKSASSPFSTPSTRAIPNSSRVLSIFGSVESLMPLHSAIANARLKSSIAVDSSCWSSLSQGLATPL >Manes.17G101000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30828923:30831182:-1 gene:Manes.17G101000.v8.1 transcript:Manes.17G101000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCRSAIVTGTRSMAARSRTLSQKALNAKSASSPFSTPSTRAIPNSSRVLSIFGSVESLMPLHSAIANARLKSSIAVDSSCWSSLSQDFAVPR >Manes.09G101600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29957352:29964637:-1 gene:Manes.09G101600.v8.1 transcript:Manes.09G101600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLGNESILEQLKHGIAQFELVSSPVPSISTSKCYPYPMPLFADNSHRFFARIGQSLGKGSPAAKKVEHYSVQKVTGDGRCLFRALVKGMAFNKGISLNARDERNDADDLRTAVKEVLCVSDKERRQYEEAVIAITVDESLKRYCQRIGRPDFWGGESELLVLSKLCNQPIIVYIPEHEHRGGWGSGFIPIAEYGNEFRKGSRNGKPRKVVRLLYSGKNHYDLLV >Manes.09G101600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29957352:29964764:-1 gene:Manes.09G101600.v8.1 transcript:Manes.09G101600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLGNESILEQLKHGIAQFELVSSPVPSISTSKCYPYPMPLFADNSHRFFARIGQSLGKGSPAAKKVEHYSVQKVTGDGRCLFRALVKGMAFNKGISLNARDERNDADDLRTAVKEVLCVSDKERRQYEEAVIAITVDESLKRYCQRIGRPDFWGGESELLVLSKLCNQPIIVYIPEHEHRGGWGSGFIPIAEYGNEFRKGSRNGKPRKVVRLLYSGKNHYDLLV >Manes.09G101600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29957352:29964787:-1 gene:Manes.09G101600.v8.1 transcript:Manes.09G101600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLGNESILEQLKHGIAQFELVSSPVPSISTSKCYPYPMPLFADNSHRFFARIGQSLGKGSPAAKKVEHYSVQKVTGDGRCLFRALVKGMAFNKGISLNARDERNDADDLRTAVKEVLCVSDKERRQYEEAVIAITVDESLKRYCQRIGRPDFWGGESELLVLSKLCNQPIIVYIPEHEHRGGWGSGFIPIAEYGNEFRKGSRNGKPRKVVRLLYSGKNHYDLLV >Manes.06G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9115411:9116733:1 gene:Manes.06G033500.v8.1 transcript:Manes.06G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVFGGLLFIIIFCTSLSCYAFQGSSSPQHNPSLRAKHLKDQTEKVDIKSFIRHKKGSYGGGDLLRPRTPHRNGAIKFSILKSSYFLSILLSYVLVLVIVILV >Manes.05G073900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6098054:6098197:1 gene:Manes.05G073900.v8.1 transcript:Manes.05G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTISMRSMKVRSWQRCSKQIREQRTRLYIIWRCTVMLLCWHE >Manes.12G025000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2123505:2127780:-1 gene:Manes.12G025000.v8.1 transcript:Manes.12G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGKFLRMVILCWIWMDTRNRWCYNFDHRRRQHQCRQQQFTDLGKEKKPGDFSNMASSWCSEISPIISLFVCFLLVLSLICPVHSSETGNKHATNQTFRPEEELQKLKMIREHLKKINKPAVKTIQSPDGDIIDCVLSHQQPAFDHPKLKGQKPSEPPERPKGHNPTGMLTENFQLWSLSGDSCPEGTVPIRRTKEQDMLRASSFRRFGRKLRRHVRRDTNSNGHEHAVGYVSGDQYYGAKASINVWAPRVANQYEFSLSQMWVISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQFDISLLIWKDPKHGNWWLEFGNGVLVGYWPSFLFTHLRDHASMVQFGGEIVNSRPSGFHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNNLIPLSNLRVLADHSNCYDIQGGINRVWGNYFYYGGPGRNVRCP >Manes.06G117700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24819464:24826692:1 gene:Manes.06G117700.v8.1 transcript:Manes.06G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQESHSEAGSIASDLIHFLNTSPTAFHAVDEAKKRLINVGYVQISEREDWKLEPGKRYFFTRNHSTIVAFAIGKKYVAGNGFYVVGAHTDSPCIKLKPVSKVTKGGYLEVGVQPYGGGLWHTWFDRDLTVAGRVIVREEKDGSVSFSHRLVRIQEPIMRVPTLAIHLDRNVNSDGFKVNTQSHLIPILATSIKAELNKAVAENGSIRNETDGKKSTFSTKHHLLLLEMIASQIGCKPDDICDFELQACDTQPSIIGGAAQEFIFSGRLDNLCMSFCSLKALIDATSSESNLEDESGVRMVALFDHEEVGSDSAQGAGSPVMLDALSRITSSFNSDSKLLQKAIQRSFLVSADMAHALHPNYMDKHEENHQPKMHGGLVIKHNANQRYATNSVTSFLFGEIASMHNLPVQEFVVRNDVPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDVKYSYEHFKAFFQEFSHLDAKITVDM >Manes.03G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13737346:13740702:1 gene:Manes.03G077300.v8.1 transcript:Manes.03G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGGVVGTGGAGGGGSGPTAAATAAAVQKQKSLMQRVETDIANIVNNFTDLVNVARVNELPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSSFASLNDHVDRRIVEFNQLSEKTDAMLARIGEEASASLKDLECHYYSSAQRINQNLQP >Manes.14G108432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9093879:9094811:1 gene:Manes.14G108432.v8.1 transcript:Manes.14G108432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCHGAASSTFRNAFQEYKRLYHPNIFCLVEPRIFGEAADEVCGLLGYENWIRVEAVGFSGGIWLLWSEDSFRIELVVTDPQFITVAIKFFTGEKWLFSVVYASPDIYLRRKLWQSLSGENNLSISKWIVAGDFNSVVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVGSGFTWQRSGENVSYQAARLDRCFVSTDWRLDYVDAIVEHPPRLHSDHVPIVIKCQGVLAFGVRPFRFLTAWTLHAQFDQVACSWDTNRSLIHNLSTLKIQLGEWNRTQFGNIFDNKRRLLRKLGGVQRDLAESRSRSLV >Manes.11G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7013559:7015072:-1 gene:Manes.11G058100.v8.1 transcript:Manes.11G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVAAMGRAAPKLCYSNSSHHQLLLKLTKKKLMSSPKFLLNTPNLSSNTTPKETSPIHASNYPREEEQEEEGQESSSTKNSNSISREDLDYLWKLGAGAVAGAALIKYGSILFPEITRPNILQALIMVSTPVILAIVLLARQSRKK >Manes.12G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4095632:4099298:1 gene:Manes.12G045800.v8.1 transcript:Manes.12G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRQKIHKDKDAEPTEFEESVAQAFFDLENTNQELKSDLKDLYINSAIQVDVAGNRKAVVIHVPYRLRKAYRKVHVRLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEFPVTEA >Manes.12G045800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4095870:4098197:1 gene:Manes.12G045800.v8.1 transcript:Manes.12G045800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTRQKIHKDKDAEPTEFEESVAQAFFDLENTNQELKSDLKDLYINSAIQVDVAGNRKAVVIHVPYRLRKAYRKVHVRLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEFPVTEA >Manes.16G019156.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2075752:2076639:1 gene:Manes.16G019156.v8.1 transcript:Manes.16G019156.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGVVFEDFFPAMVEKLGADGFMKELCNGFRLLMDEEKGVITFESLKRNSNLLGLQDMNDDEIMCMLREGDLDGDGALNEMEFCTLMFRLSPGLMSSSRKWLVEALVSEM >Manes.02G199200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16702121:16707875:1 gene:Manes.02G199200.v8.1 transcript:Manes.02G199200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKRMANGVALNFPANEEETTSSSLSPPLKAPPRRLRSRLLAEPKTPLSAEDIEAKLREANLRRQAKRQRAEHLRQRRNLSCHLHDNSKMIHEQEYLARKLARYWRRFVKLKKTTFSLAKAYRSLGINEESVKAMPFEQLALLIKSTATINTTKALVDRLESRLRLLWAIRGCQPSLANIDHLLRIAVVQNYTGSSSNNTQRGAKTTKSVKEEAQMPVTLSRYPVRVVLCAYMIMGHPDAVLNGQGECEVTLLESAVRFIREFELLLKIIIDGPIKTSQEEIASEIPSQKTFRFQLEAFDKAWCFYLHHFVSWKLKDAKLLEEDLVRAACQLEFSMMQSKLTLGDDGTLANDMEAIKKQVLDEQKLLREKVQHLSGNTGLKRMECALTEIRSKFIGDEESKSPLKSSSGQISSSCPPHSLEGSSVTNSSAKRIIDDHQSVDTLLVSENELLVNEIVHEYHRGLADTFNAADKDPNNVQEKVRETMERAFWDCVMESVKQDEPDFSWILKLIKEVRDELCEMSPQTWRQEIVETIDVDILSQVLSSGTLDMDYLGKILEFALVTLEKLSAPANDEELKSSHCKLLEELREIFKVGDKSNALISFVVIKGLRNVLQEIQALKREISKARIRFIEPLIKGHAGLEYLKNAFANRYGPPTDALSSLTIARQWLSSVHPIAEQEWDEHIDSLTALESNIESSQVLLPTTLRTGGSISMVSKIGSSATGSNQPECKGERVDKLVRLGLLKLVSGIGGLTPEALPETLKLNISRLRAVQSQFQKIIVISTRLLVDPPSNSSVREVSVQCSGHGKLSI >Manes.02G199200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16702121:16707875:1 gene:Manes.02G199200.v8.1 transcript:Manes.02G199200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKRMANGVALNFPANEEETTSSSLSPPLKAPPRRLRSRLLAEPKTPLSAEDIEAKLREANLRRQAKRQRAEHLRQRRNLSCHLHDNSKMIHEQEYLARKLARYWRRFVKLKKTTFSLAKAYRSLGINEESVKAMPFEQLALLIKSTATINTTKALVDRLESRLRLLWAIRGCQPSLANIDHLLRIAVVQNYTGSSSNNTQRGAKTTKSVKEEAQMPVTLSRYPVRVVLCAYMIMGHPDAVLNGQGECEVTLLESAVRFIREFELLLKIIIDGPIKTSQEEIASEIPSQKTFRFQLEAFDKAWCFYLHHFVSWKLKDAKLLEEDLVRAACQLEFSMMQSKLTLGDDGTLANDMEAIKKQVLDEQKLLREKVQHLSGNTGLKRMECALTEIRSKFIGDEESKSPLKSSSGQISSSCPPHSLEGSSVTNSSAKRIIDDHQSVDTLLVSENELLVNEIVHEYHRGLADTFNAADKDPNNVQEKVRETMERAFWDCVMESVKQDEPDFSWILKLIKEVRDELCEMSPQTWRQEIVETIDVDILSQVLSSGTLDMDYLGKILEFALVTLEKLSAPANDEELKSSHCKLLEELREIFKVGDKSNALISFVVIKGLRNVLQEIQALKREISKARIRFIEPLIKGHAGLEYLKNAFANRYGPPTDALSSLTIARQWLSSVHPIAEQEWDEHIDSLTALESNIESSQVLLPTTLRTGGSISMVSKIGSSATGSNQPECKGERVDKLVRLGLLKLVSGIGGLTPEALPETLKLNISRLRAVQSQFQKIIVISTSLLILHQILLSEKLVSNALDMENLASECMKQLYELLDRVEDVGIAEIVVKISGLLKGKGLVFDAEKLQAKEKLMENMLGKSLQAGDPIFARVSRSIYLALRGVVFGGTGHKGRELAAAALQRVGVALLADMVVEAAEVLIVVATVSCMVHRSWYEKLLNNV >Manes.02G199200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16702121:16707877:1 gene:Manes.02G199200.v8.1 transcript:Manes.02G199200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKRMANGVALNFPANEEETTSSSLSPPLKAPPRRLRSRLLAEPKTPLSAEDIEAKLREANLRRQAKRQRAEHLRQRRNLSCHLHDNSKMIHEQEYLARKLARYWRRFVKLKKTTFSLAKAYRSLGINEESVKAMPFEQLALLIKSTATINTTKALVDRLESRLRLLWAIRGCQPSLANIDHLLRIAVVQNYTGSSSNNTQRGAKTTKSVKEEAQMPVTLSRYPVRVVLCAYMIMGHPDAVLNGQGECEVTLLESAVRFIREFELLLKIIIDGPIKTSQEEIASEIPSQKTFRFQLEAFDKAWCFYLHHFVSWKLKDAKLLEEDLVRAACQLEFSMMQSKLTLGDDGTLANDMEAIKKQVLDEQKLLREKVQHLSGNTGLKRMECALTEIRSKFIGDEESKSPLKSSSGQISSSCPPHSLEGSSVTNSSAKRIIDDHQSVDTLLVSENELLVNEIVHEYHRGLADTFNAADKDPNNVQEKVRETMERAFWDCVMESVKQDEPDFSWILKLIKEVRDELCEMSPQTWRQEIVETIDVDILSQVLSSGTLDMDYLGKILEFALVTLEKLSAPANDEELKSSHCKLLEELREIFKVGDKSNALISFVVIKGLRNVLQEIQWLSSVHPIAEQEWDEHIDSLTALESNIESSQVLLPTTLRTGGSISMVSKIGSSATGSNQPECKGERVDKLVRLGLLKLVSGIGGLTPEALPETLKLNISRLRAVQSQFQKIIVISTSLLILHQILLSEKLVSNALDMENLASECMKQLYELLDRVEDVGIAEIVVKISGLLKGKGLVFDAEKLQAKEKLMENMLGKSLQAGDPIFARVSRSIYLALRGVVFGGTGHKGRELAAAALQRVGVALLADMVVEAAEVLIVVATVSCMVHRSWYEKLLNNV >Manes.02G199200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16702182:16707877:1 gene:Manes.02G199200.v8.1 transcript:Manes.02G199200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKRMANGVALNFPANEEETTSSSLSPPLKAPPRRLRSRLLAEPKTPLSAEDIEAKLREANLRRQAKRQRAEHLRQRRNLSCHLHDNSKMIHEQEYLARKLARYWRRFVKLKKTTFSLAKAYRSLGINEESVKAMPFEQLALLIKSTATINTTKALVDRLESRLRLLWAIRGCQPSLANIDHLLRIAVVQNYTGSSSNNTQRGAKTTKSVKEEAQMPVTLSRYPVRVVLCAYMIMGHPDAVLNGQGECEVTLLESAVRFIREFELLLKIIIDGPIKTSQEEIASEIPSQKTFRFQLEAFDKAWCFYLHHFVSWKLKDAKLLEEDLVRAACQLEFSMMQSKLTLGDDGTLANDMEAIKKQVLDEQKLLREKVQHLSGNTGLKRMECALTEIRSKFIGDEESKSPLKSSSGQISSSCPPHSLEGSSVTNSSAKRIIDDHQSVDTLLVSENELLVNEIVHEYHRGLADTFNAADKDPNNVQEKVRETMERAFWDCVMESVKQDEPDFSWILKLIKEVRDELCEMSPQTWRQEIVETIDVDILSQVLSSGTLDMDYLGKILEFALVTLEKLSAPANDEELKSSHCKLLEELREIFKVGDKSNALISFVVIKGLRNVLQEIQWLSSVHPIAEQEWDEHIDSLTALESNIESSQVLLPTTLRTGGSISMVSKIGSSATGSNQPECKGERVDKLVRLGLLKLVSGIGGLTPEALPETLKLNISRLRAVQSQFQKIIVISTRLLVDPPSNSSVREVSVQCSGHGKLSI >Manes.02G199200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16697779:16707964:1 gene:Manes.02G199200.v8.1 transcript:Manes.02G199200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKRMANGVALNFPANEEETTSSSLSPPLKAPPRRLRSRLLAEPKTPLSAEDIEAKLREANLRRQAKRQRAEHLRQRRNLSCHLHDNSKMIHEQEYLARKLARYWRRFVKLKKTTFSLAKAYRSLGINEESVKAMPFEQLALLIKSTATINTTKALVDRLESRLRLLWAIRGCQPSLANIDHLLRIAVVQNYTGSSSNNTQRGAKTTKSVKEEAQMPVTLSRYPVRVVLCAYMIMGHPDAVLNGQGECEVTLLESAVRFIREFELLLKIIIDGPIKTSQEEIASEIPSQKTFRFQLEAFDKAWCFYLHHFVSWKLKDAKLLEEDLVRAACQLEFSMMQSKLTLGDDGTLANDMEAIKKQVLDEQKLLREKVQHLSGNTGLKRMECALTEIRSKFIGDEESKSPLKSSSGQISSSCPPHSLEGSSVTNSSAKRIIDDHQSVDTLLVSENELLVNEIVHEYHRGLADTFNAADKDPNNVQEKVRETMERAFWDCVMESVKQDEPDFSWILKLIKEVRDELCEMSPQTWRQEIVETIDVDILSQVLSSGTLDMDYLGKILEFALVTLEKLSAPANDEELKSSHCKLLEELREIFKVGDKSNALISFVVIKGLRNVLQEIQALKREISKARIRFIEPLIKGHAGLEYLKNAFANRYGPPTDALSSLTIARQWLSSVHPIAEQEWDEHIDSLTALESNIESSQVLLPTTLRTGGSISMVSKIGSSATGSNQPECKGERVDKLVRLGLLKLVSGIGGLTPEALPETLKLNISRLRAVQSQFQKIIVISTSLLILHQILLSEKLVSNALDMENLASECMKQLYELLDRVEDVGIAEIVVKISGLLKGKGLVFDAEKLQAKEKLMENMLGKSLQAGDPIFARVSRSIYLALRGVVFGGTGHKGRELAAAALQRVGVALLADMVVEAAEVLIVVATVSCMVHRSWYEKLLNNV >Manes.03G009100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:735959:746763:1 gene:Manes.03G009100.v8.1 transcript:Manes.03G009100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALFRKFFYRKAPDGLLEISDRVYVFGCCFMTDILDDDDEYKDYIGSIASELHDYFPDSSFMVFNFKEGENQSQIGSILSENDMTVMDYPLHYEGCPLLPLDMIHHFLRSSESWLSLQEQNVLLMHCERGGWPILAFMLAALLIHRKQFTGEQKTLEMIYKQAPRELLQLMSPLNPLPSQLRYLQYVSRSNTGSHWPPMDRALTLDCVILRVIPNMNREGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEFCDLIKIDIHCHIQGDVVMECISVESDLEREQLMFRVVFNTAFINSNILMLNRDEIDTLWNVKEKFPKDFRAEVLFSEMDSSPTSIDLPVLEEKGGLRRETFAKVQEVFSNVGWLDTRPDVALNVLQHITALQENLEAVSPRKAKKGSNMPESNVEKVQDESKLKELAKKSGSPTSPSLLELPIDAGSIREEVESQERKLSLRRPARSKILSLRVSKTSQSSPYHLARSILGISSLLHDHAACNSEDIMCPETLTSSNPSPVINVQKSVQLSHVSNAKWLPAPLSPLSSTSLQCSIKAATTSENFSVTDYPSPQSTSRATESSPNHYSDKLSDGNQSLLGPASLSISPTPQGSSSSAFDSPSAAFPPPPPIAPHSFSGESSPREKKPFSALPPSPLPTASYVSGVSSSSKVNKSFSAPPPPPPPPPPSFSGPSPSLTIKNSHATLLPPPPPTEVASLVAISVSSPQPVTNSAVISGSPPPSLPLFSGSASGSFFSVKVPPPPPPPSSARNSSNNSARIPPVPPPPAPFSEELSKQCAASFPSSRVSNGIVPSVPGPPSGLPFSVKGRSLSRPNPRSQVQPKKANLRPYHWLKLTRVMQGSLWAETQKSDEASKAPEFDMAELESLFSAAAPTGQGGMEGKSNRRTSGPKSDKVQLIELRRAYNCEIMLSKVKVPLSDLMISVLAMDDSALDVDQIDNLIKFCPTKEEMALLKGYNGDKENLGKCEQFFLEMMKVPRVESKLRVFSFKLQFNHQVSDLRRNLNVVNSAAEEIRSSVKLKRVMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKMLDEKRPELLEFPKELTSLEAATKIQLKYLAEEMQAISKGLEKVAQELIASENDVEVSEKFGKYHVQILRAFVDSAEREVRSLAPLFVTAGRNADALAVYFGEDPARFSFEQVVSTLRNFVKMFVRAREENYKQLEFEKKKAEREEKMRS >Manes.03G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:735959:746762:1 gene:Manes.03G009100.v8.1 transcript:Manes.03G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALFRKFFYRKAPDGLLEISDRVYVFGCCFMTDILDDDDEYKDYIGSIASELHDYFPDSSFMVFNFKEGENQSQIGSILSENDMTVMDYPLHYEGCPLLPLDMIHHFLRSSESWLSLQEQNVLLMHCERGGWPILAFMLAALLIHRKQFTGEQKTLEMIYKQAPRELLQLMSPLNPLPSQLRYLQYVSRSNTGSHWPPMDRALTLDCVILRVIPNMNREGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEFCDLIKIDIHCHIQGDVVMECISVESDLEREQLMFRVVFNTAFINSNILMLNRDEIDTLWNVKEKFPKDFRAEVLFSEMDSSPTSIDLPVLEEKGGLRRETFAKVQEVFSNVGWLDTRPDVALNVLQHITALQENLEAVSPRKAKKGSNMPESNVEKVQDESKLKELAKKSGSPTSPSLLELPIDAGSIREEVESQERKLSLRRPARSKILSLRVSKTSQSSPYHLARSILGISSLLHDHAACNSEDIMCPETLTSSNPSPVINVQKSVQLSHVSNAKWLPAPLSPLSSTSLQCSIKAATTSENFSVTDYPSPQSTSRATESSPNHYSDKLSDGNQSLLGPASLSISPTPQGSSSSAFDSPSAAFPPPPPIAPHSFSGESSPREKKPFSALPPSPLPTASYVSGVSSSSKVNKSFSAPPPPPPPPPPSFSGPSPSLTIKNSHATLLPPPPPTEVASLVAISVSSPQPVTNSAVISGSPPPSLPLFSGSASGSFFSVKVPPPPPPPSSARNSSNNSARIPPVPPPPAPFSEELSKQCAASFPSSRVSNGIVPSVPGPPSGLPFSVKGRSLSRPNPRSQVQPKKANLRPYHWLKLTRVMQGSLWAETQKSDEASKAPEFDMAELESLFSAAAPTGQGGMEGKSNRRTSGPKSDKVQLIELRRAYNCEIMLSKVKVPLSDLMISVLAMDDSALDVDQIDNLIKFCPTKEEMALLKGYNGDKENLGKCEQFFLEMMKVPRVESKLRVFSFKLQFNHQVSDLRRNLNVVNSAAEEIRSSVKLKRVMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKMLDEKRPELLEFPKELTSLEAATKIQLKYLAEEMQAISKGLEKVAQELIASENDVEVSEKFGKYHVQILRAFVDSAEREVRSLAPLFVTAGRNADALAVYFGEDPARFSFEQVVSTLRNFVKMFVRAREENYKQLEFEKKKAEREEKMRS >Manes.03G009100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:736763:746762:1 gene:Manes.03G009100.v8.1 transcript:Manes.03G009100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVILIGSTVFGCCFMTDILDDDDEYKDYIGSIASELHDYFPDSSFMVFNFKEGENQSQIGSILSENDMTVMDYPLHYEGCPLLPLDMIHHFLRSSESWLSLQEQNVLLMHCERGGWPILAFMLAALLIHRKQFTGEQKTLEMIYKQAPRELLQLMSPLNPLPSQLRYLQYVSRSNTGSHWPPMDRALTLDCVILRVIPNMNREGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEFCDLIKIDIHCHIQGDVVMECISVESDLEREQLMFRVVFNTAFINSNILMLNRDEIDTLWNVKEKFPKDFRAEVLFSEMDSSPTSIDLPVLEEKGGLRRETFAKVQEVFSNVGWLDTRPDVALNVLQHITALQENLEAVSPRKAKKGSNMPESNVEKVQDESKLKELAKKSGSPTSPSLLELPIDAGSIREEVESQERKLSLRRPARSKILSLRVSKTSQSSPYHLARSILGISSLLHDHAACNSEDIMCPETLTSSNPSPVINVQKSVQLSHVSNAKWLPAPLSPLSSTSLQCSIKAATTSENFSVTDYPSPQSTSRATESSPNHYSDKLSDGNQSLLGPASLSISPTPQGSSSSAFDSPSAAFPPPPPIAPHSFSGESSPREKKPFSALPPSPLPTASYVSGVSSSSKVNKSFSAPPPPPPPPPPSFSGPSPSLTIKNSHATLLPPPPPTEVASLVAISVSSPQPVTNSAVISGSPPPSLPLFSGSASGSFFSVKVPPPPPPPSSARNSSNNSARIPPVPPPPAPFSEELSKQCAASFPSSRVSNGIVPSVPGPPSGLPFSVKGRSLSRPNPRSQVQPKKANLRPYHWLKLTRVMQGSLWAETQKSDEASKAPEFDMAELESLFSAAAPTGQGGMEGKSNRRTSGPKSDKVQLIELRRAYNCEIMLSKVKVPLSDLMISVLAMDDSALDVDQIDNLIKFCPTKEEMALLKGYNGDKENLGKCEQFFLEMMKVPRVESKLRVFSFKLQFNHQVSDLRRNLNVVNSAAEEIRSSVKLKRVMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKMLDEKRPELLEFPKELTSLEAATKIQLKYLAEEMQAISKGLEKVAQELIASENDVEVSEKFGKYHVQILRAFVDSAEREVRSLAPLFVTAGRNADALAVYFGEDPARFSFEQVVSTLRNFVKMFVRAREENYKQLEFEKKKAEREEKMRS >Manes.S056316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1990714:1991049:1 gene:Manes.S056316.v8.1 transcript:Manes.S056316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.07G100522.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30594383:30595567:1 gene:Manes.07G100522.v8.1 transcript:Manes.07G100522.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLNPVTELVARQKRKEWLYRKAFIRRCENLRKAETEVDLLGDQVEVLLGLLEKIYNILHHYSPVLQQYFEVLDILNMIRKQLIGEVLFPSQGDC >Manes.02G163700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12826901:12831212:1 gene:Manes.02G163700.v8.1 transcript:Manes.02G163700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVATAAALSLPISLCRSSKLNTKKDKGFLMEFNCCNLNGRLLASSENSLAKGVRGGFRVLAVFGEEGGLQDKKSVWDNIFDVEDPRSKMPQCKGKFLDVNQALEVARYDIQYCDWRARQDVLTIMLLHEKVVEVLNPLARDYKSIGTLRKELAGLQEELNRAHEQVHISEARVATALDKLAHMEALVNDRLLQDRSTTESDQTSSFPSTSTQPLDTMKGRLPQKSLNVSGPVQPYSSHLKNFWYPVAFSTDLKDDTMVPIDCFEEPWVIFRGKDGKPGCVQNSCAHRACPLHLGSVNEGRIQCPYHGWEYTIDGKCEKMPSTRLLNVKIKSLPCFEKEGMIWVWPGSDPPPATLPSLQPPPGFVIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLEGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTRQCATHLHQLHVCMPSSRNKTRLLYRMSLDFAPVLKHIPFMQYLWRHFAEQVLNEDLRLVVGQQERMINGANVWNLPVSYDKLGVRYRLWRDAVERGAKQLPFSKST >Manes.02G163700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12826413:12831212:1 gene:Manes.02G163700.v8.1 transcript:Manes.02G163700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVATAAALSLPISLCRSSKLNTKKGVRGGFRVLAVFGEEGGLQDKKSVWDNIFDVEDPRSKMPQCKGKFLDVNQALEVARYDIQYCDWRARQDVLTIMLLHEKVVEVLNPLARDYKSIGTLRKELAGLQEELNRAHEQVHISEARVATALDKLAHMEALVNDRLLQDRSTTESDQTSSFPSTSTQPLDTMKGRLPQKSLNVSGPVQPYSSHLKNFWYPVAFSTDLKDDTMVPIDCFEEPWVIFRGKDGKPGCVQNSCAHRACPLHLGSVNEGRIQCPYHGWEYTIDGKCEKMPSTRLLNVKIKSLPCFEKEGMIWVWPGSDPPPATLPSLQPPPGFVIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLEGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTRQCATHLHQLHVCMPSSRNKTRLLYRMSLDFAPVLKHIPFMQYLWRHFAEQVLNEDLRLVVGQQERMINGANVWNLPVSYDKLGVRYRLWRDAVERGAKQLPFSKST >Manes.17G058200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25699872:25702508:1 gene:Manes.17G058200.v8.1 transcript:Manes.17G058200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISMAQTVPPKFCISSHSQNHRDSSVKSQKVFFSGKAYSNSTPLSSHLHSSCTTVSISSLATKAEEYKISDCNRKICELSEVGNLRKAIELLHMSPKTKIECSTYCSVLQLCAETNSLQDGKQVHSIICSNGVPVVGALGTKLVFMYVSCGDIREGRLIFDEIANEKVFLWNLMLTGYARIGDFDESVHIFRKMLDLGIEVNSHTISSILKCFAALGNLKEGEWAHGYSLKLGFGCHIVVVNSLINFYFKIRKVESARKLFDELSNRDTISWNSMVSGYVENDLPEKGLLVFKEMLHVGVDMDLATVISVLAACVNYGNIQLGRAVHALALKACFDRRITFANTLLDMYSKCGDLNAAIQVFEKMGERSVVSWTSLIAGYAREGLSEGAIRLFHKMRSEGFRPDIFSITTILHACACSGSLENGKDVHNYIKENDMQSNIFVCNSLMDMYAKCGSMKDANSVFLEMPMKDIISWNTMIGGYSKSGLPNEALSLFFAMLQELKPDGRTMACILPACASLAALDRGREIHGFVLRNDCFSDQHVANALVDMYMKCGALALARLLFDMIPTKDLISWTVMIAGYGMHGFGKEAIAAFSEMRQAGIEPDEVSFISILYACSHSGLLNEGWRFFNFMQHECNIEPKLEHYACIVDLLARAGKLSMAYQFIKSMPIAPDATIWGALLCGCRIHHDVKLAEKVAEHVFELEPGNTGYYVLLSNIYSEAEKWDEVKKLRERIGRRGLRKNPGCSWIEVKGKVCIFVAGDGSHPQSEKMESLLNELRSKMKEEGYFPKMKYAMINADDLEKEMALCGHSEKLAMAFGILNLPPGKTIRVTKNLRVCGDCHEMAKFMSKITKREIVMRDSNRFHHFKDGACSCRGFW >Manes.10G001760.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17302:30455:1 gene:Manes.10G001760.v8.1 transcript:Manes.10G001760.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFFMKFIVVFSLLWTDGSNSVETLPTHKPEVEIASSDTSKDELLRAMDLRLTALRRELAAALNQAAGATCSSKDITNIIEFCDNFGAADLKNFLSKCFELRHNSETALLTNDDKHSFTCMSRRNNANKTNGETHISISTQLQTPVKYGVSPAMVAQVERQSSTESEESSNSSNEDQISAERSRTLTRSVQPRRSASPMRRIQIARTGSRRTPALSIKNLGHYPARERIPYYRDGAANGSEDEGSEQFSKKPEKIAPRMTVQDAINLFESKQKDQSADAQTRICSSNLSLSTNKSVLRRWSASTIEGSAPYQQELVSEKSALLSSGDVMDEENSILSCGDVMDEENSNLSVKEKLESDVAPGCRNLLETTKVDVELKRLEKETHDQLDVEEDTSATPGQESNGMPAASAEWTQQKEVELNPMMKKTMESQPVRTRKPQTSRNQNIPSDHRGGFYDHYKEKRDEKLRGENAGKKAEKEARFRALQQTLDERKAEMVSRSVKDVGKKHPSPKPQKSLKNPSQSASLRNESPKSSVTRKVSTKKSTLPATRKSWPTPSTRVAGPSPSKTPSGNSLSGTTQTRRKPQSTPSLPRSSAKIERSQPCLRNVKESQTDTDRSLKGGKEKTQQKVTKSGKTTGTKVSAATADCSSMVQSKPSFYNKMTRKSTVVPLESKPLRRKGSGVAVGISPTVSKTKHSSRLEDSSMNCGNTIETLSNKVTINASTLLSPHQDQDIVSSDNSNPTMGLETMVTSHQNGDESENIIKLATDLDDSFKDTAECTAMFQCQEESVISPIAWEEIDEHQNMQNSYDDCTSQLASPVHVAPLGLSSPRIRHSLSQMLQEDNSEPEIVEWGNAENPPAMVYQKDAPKGLKRLLKFARKSKGDASLTGLSSPSVFSEGEDDAEESKAISKRSTDNLLKKVALHSKNNGQQNATFFVGHEKNVDPHELHSAQSNLSKFEVQSSHKLPKGHGSSAASTTKATRSFFSLSAFRGSKPNEMKFH >Manes.10G001760.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17200:32663:1 gene:Manes.10G001760.v8.1 transcript:Manes.10G001760.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGIDADAALDYATIQIFPAQNRYEVFVCGDDEVEKVATGLLEHLLPHLPGVKNLHSRGSNANFKLQVPGQKDTTWFTKSTLNRFLQIVASADLVNSSKLIEGEMSQLKEARKFHLSLYTQGHKDQFESGETDGSNSVETLPTHKPEVEIASSDTSKDELLRAMDLRLTALRRELAAALNQAAGATCSSKDITNIIEFCDNFGAADLKNFLSKCFELRHNSETALLTNDDKHSFTCMSRRNNANKTNGETHISISTQLQTPVKYGVSPAMVAQVERQSSTESEESSNSSNEDQISAERSRTLTRSVQPRRSASPMRRIQIARTGSRRTPALSIKNLGHYPARERIPYYRDGAANGSEDEGSEQFSKKPEKIAPRMTVQDAINLFESKQKDQSADAQTRICSSNLSLSTNKSVLRRWSASTIEGSAPYQQELVSEKSALLSSGDVMDEENSILSCGDVMDEENSNLSVKEKLESDVAPGCRNLLETTKVDVELKRLEKETHDQLDVEEDTSATPGQESNGMPAASAEWTQQKEVELNPMMKKTMESQPVRTRKPQTSRNQNIPSDHRGGFYDHYKEKRDEKLRGENAGKKAEKEARFRALQQTLDERKAEMVSRSVKDVGKKHPSPKPQKSLKNPSQSASLRNESPKSSVTRKVSTKKSTLPATRKSWPTPSTRVAGPSPSKTPSGNSLSGTTQTRRKPQSTPSLPRSSAKIERSQPCLRNVKESQTDTDRSLKGGKEKTQQKVTKSGKTTGTKVSAATADCSSMVQSKPSFYNKMTRKSTVVPLESKPLRRKGSGVAVGISPTVSKTKHSSRLEDSSMNCGNTIETLSNKVTINASTLLSPHQDQDIVSSDNSNPTMGLETMVTSHQNGDESENIIKLATDLDDSFKDTAECTAMFQCQEESVISPIAWEEIDEHQNMQNSYDDCTSQLASPVHVAPLGLSSPRIRHSLSQMLQEDNSEPEIVEWGNAENPPAMVYQKDAPKGLKRLLKFARKSKGDASLTGLSSPSVFSEGEDDAEESKAISKRSTDNLLKKVALHSKNNGQQNATFFVGHEKNVDPHELHSAQSNLSKFEVQSSHKLPKGHGSSAASTTKATRSFFSLSAFRGSKPNEMKFH >Manes.18G051900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4435987:4443445:1 gene:Manes.18G051900.v8.1 transcript:Manes.18G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKEEEALGSRTTHQETATAAAGVPNGRSEPALTEINTNGNKVSAAKERKTNKKKLRRKYWYLPKIGCLKIEQDEEGNFDAEVETIGEPANPAHLVVMVNGVIGSADNWKFAAKQLLKQYPRDVIVHCSTTNSSTLTFDGVDVMGARLAEEVISVIKRHPSVKKISFIGHSLGGLIARYAIAKLYVRDLTKELPQGNGDCRTDVNVDTGMEEQIKSKIAGLEPVNFITLATPHLGSRWHKQVPLFCGSYSMEKVAARISGILGRTGKHLFLTDTDNGKPPLLLQMVRDCEDLKFMSALQSFKRHVAYANIRFDNLVGWSTSSLRRQNELPKRRHLSRDEKYPHIVHMKSSPKQDSSLDAKVDGCKSVNMEEEMIQALTQVNWERIDVKFNGFKQRLLAHNTILVRSYCINAAGADVIQHMVDNFLI >Manes.06G166800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29267728:29268243:1 gene:Manes.06G166800.v8.1 transcript:Manes.06G166800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDETGCQAPPDRPILCINNCGFFGSAATMNMCSKCHKDMQLKQEQAKLAASSAGNIVNGSSSNMEQTSVLDTVDIQVKTVESKTISVQPSCASALGESVETKPKEGPSRCSSCKKRVGLTGFKCRCGNLFCASHRYSDKHDCPFDYRNAAREAIAKANPIVKAEKLDKI >Manes.06G166800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29265586:29268804:1 gene:Manes.06G166800.v8.1 transcript:Manes.06G166800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDETGCQAPPDRPILCINNCGFFGSAATMNMCSKCHKDMQLKQEQAKLAASSAGNIVNGSSSNMEQTSVLDTVDIQVKTVESKTISVQPSCASALGESVETKPKEGPSRCSSCKKRVGLTGFKCRCGNLFCASHRYSDKHDCPFDYRNAAREAIAKANPIVKAEKLDKI >Manes.04G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28563147:28564944:-1 gene:Manes.04G084300.v8.1 transcript:Manes.04G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQSPTTLLYLLPLLVAFMIFLLHSRRKLPYPPGPRGYPIIGNMGIMDQLTHRGLASLAKRYGGIFHLQMGTVHFMVVSTPELAQEILQEQDSAFCNRPANVAMTYLSYNRADMAFANYGPVWRQLRKICVMKLFSRKRAESWASVREEVDSMIRALMEKTASQVNIGELVFALTRNITYRAAFGSFSHEGQDEFIKIMQEFSILFGAFDIADFFPWLGWIHAQENKRLVRARQSLDRFIDTIIDEHMEKKKRDKHHSKDENGVEIEEDMVDELMAFYRPEDDKNGFYDSQSTIKLTRDNIKAIIMDVMFGGTETVASPIEWAMAELMRNPEGLNKVQQELTEVVGLNRVVHESDLENLSYLKCVVKETLRLHPPVPLLRHETLKDSVLSGYRIPAKSRILINAWLIGRDPYLWHEPEMFDPSRFLKDGAPDFKGSNFEFLPFGSGRRSCPGMQLGLYAVEMSLAHLLHCFKWELPNGMKASEVDMSEAFGLTAPRAERLVAVPTYRLNCPL >Manes.01G051900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19850877:19852972:-1 gene:Manes.01G051900.v8.1 transcript:Manes.01G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTSPKSLVLYAFQLLLLAQIQVSCYQYKVGDLDAWSIPSSANPQVYTFWSKYHSFQIGDSLLFLYPPSQDSVIQVTEQNYNSCNLKDPLLYMNNGNSLFNITTHGEFYFTSGIPGHCEKKQKLRISVLSGNGSAYSSSYGPSALPDTAAPSYPTVFGTIPLSPSDSTTNKFSIFLSAVIGAAISSTINGFM >Manes.05G115600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11804358:11806205:-1 gene:Manes.05G115600.v8.1 transcript:Manes.05G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRLQLSQSQQVILLHLKILIKSFHFPWKSRSKESHCGFRNNSTVYSFLEISIKGLSGDQQIPELSVREMRGRGDSMESLSSSSFVQWNSPLPYVFGGLVIILGLVSVALVILACSHYRSSLETRHKEEKPNEIADAIVAVEPKIVVIMAGDEHPTHVAMPSAFTCHVQQP >Manes.14G094601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7884481:7885286:1 gene:Manes.14G094601.v8.1 transcript:Manes.14G094601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIWQVIFQSSTRGSPMVTECCLIIFARVGHKLKNAIRAVLDRERETVQFVKLFFSLNYPYIDFDYYILG >Manes.04G165300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35822096:35824064:-1 gene:Manes.04G165300.v8.1 transcript:Manes.04G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann3 MATLVVPHEVNFVEDAEGLRKAVEGWGTNEKTIISILGHRNAAQRKRIRQAYWDIYQEDLVKRLESEISGDFERAVYRWILDPEERDAVLANVALKKGSDHHVIVEIACVRSSEELLAVRRAYHARYKRSLEEDVAAHTTDDVRKLLVGLVTAFRYEGTEIDVKLAKCEAKILQDAIKDKKFNHDEVIRILTTRSKTQLLATFNHFKDSHGTSITKVLLSELHDSEFKRLVRAAIRCIGEPLKYFEKVLRNAFKRVGTDEDAVTRVIVTRAEKDLRHIAEFYRTRNNVPLDQEVDKETRGDYEKFLLALLGRKD >Manes.16G127800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32906434:32910520:-1 gene:Manes.16G127800.v8.1 transcript:Manes.16G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNKRLVVSLGFLFNSFLYFSYADPGQSCSNTSSYVGFQSDFTMVQHQLRGVLTIIDDCSFRVSEFDMLSGADVRWWGALYPDFGNFTNGFVISNHKLHDTYKNASFVVRLFENVTWDRIQVLSVWDLPTASDFGHIIFSNKSALSPGLAPSPSNNDTALEEGKKGILPAPTMFDNCKKLSKNYRIRWSLSVKNDFIEIGLEAATGVQNYMAFGWARRHYGDELMKGADVAVTGFTEEGLPFADDFFITKYSECMIDKDGTASGVCPDTVYEGNDTVGLVNNTILIYGHRMDGVSFVRYRRPLVSVDKKYDLPVDRAANMSVIWALGLMRPPDALRPFYLPQYHGGLRYVSYGHLVLNVSEQVNDCLGPLDAEDKEDQDLIIADANVPLIVSAGPAIHYPNPPNPSKVLYINKKEAPVLRVERGVPVKFSVQAGHDVALYITSDLMGGNATLRNETETIYAGGPEAEGVLASPKELVWEPDRNTPDQVYYQSLYQEKMGWKIQVVDGGISDMYNNSVVLDDQQVTFFWTLGKDSISIAARAEKKSGYIAIGFGSGMKHSFAYVGWVDEISKGHVNSYWIDGKDASSVHPTNENLTNVRFKSENGIITFEFTRPLRPKCIHEGWPECNNIIDPTSPLQVIWAIGSQWSDNYLSEKNMHSDTSNRPVRVLLMRGSAEAEQDLRPVLAVHGFMMLLAWGILLPCGILAARYLKHVKGDGWYLIHVYLQYSGLAIVLLGLIFAVAELRGFYVSSLHVKFGLTAIFLACAQPVNASMRPKRPANGEEVSSKRLIWEYLHIIVGRGALIVGIAALFSGLKQLRERYGSEDFSGYSGALLVWFLIGLLVVMYLEHRERHRRRDRAFGRSNWVLGNIAEEDSVDLLTPTRAAQKALQQSGRMEVQLEPLNRS >Manes.01G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28201292:28205158:-1 gene:Manes.01G079000.v8.1 transcript:Manes.01G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLCNVASRIMGGNGVVSRSVASSLRLRSGMGLPVGKHIVPEKPLPVNDELVWDNGTPFPEPCIDRIADTVGKYEALAWLCGGLGFFASLGLLAVWNDKASKIPYTPKVYPYDNLRVELGGEP >Manes.01G169900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35225816:35231048:1 gene:Manes.01G169900.v8.1 transcript:Manes.01G169900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAAATNVITNTPLSSSSSVRSRRNSCASAAVPYRVSSFKRDLPSSSSLQNPKPRNSILQSLSKPLALAGAGASVATLLLRFTPILNDGPGNFGGDNGGDWFGGGGGGGGGGDGFGEGGFWKRLFSPAPAIADESQSQEWDSHGLPANIVVQLSKLSGFKKYKISEILFFDQWRGATGGTEDSFFEMVSLRPGGIYTKAQLQKELETLATCGMFEKVEMEGKANPDGTLGITISFSESTWQSADKFRCINVGLMTQSKPVEMDPDMTDKEMLEYYKNQEKDYRRRIEKARPCLLPPAVHREVLQMLRDQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQFQDKLGNVVEGNTQLAVVRRELPKQLRKGQVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELEPKSAEVSTEWSIVPGRGGRPTLASFQPGGTVSFEHRNIKGLNRSILGSITTSNFFLPQDDLAFKLEYVHPYLDGVYNPRNRTLRASCFNSRKLSPVFTGGPGVDEVPPIWVDRAGLKANITENFTRQSKFTYGIVMEEITTRDESSHISANGQRVLPSGGISADGPPTTLSGTGIDRMTFLQANITRDNTKFINGAVVGERNVFQVDQGLGIGSKFPFFNRHQLTITRFIQLKKVEEGAGKSPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNIIELGAEIRIPVRNTHLYAFAEHGNDLGTSKDVKGNPTEVYRRVGHGSSYGVGVKLGLVRAEYAVDHNTGTGSLFFRFGERY >Manes.18G071100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394795:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394795:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394788:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394754:6407441:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394788:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394793:6406908:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394786:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394789:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSELASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394795:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394789:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSELASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394597:6407441:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394795:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394795:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394789:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSELASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394789:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSELASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394795:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394786:6407362:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNELERMKNENSLMQDHDFYQKFPTLQREFMQLQKVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.18G071100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6394793:6406908:-1 gene:Manes.18G071100.v8.1 transcript:Manes.18G071100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKTKVKVVFRLQFHATHIPQSGWDKLFISFIPTDSGKATSKTSKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVIAMGSSRSSILGEAIIDLADYADALNPSVVALPLHGCDSGTVLHVTVQLLTSKTGFREFEQQRELRERGLQSYQNSPDESSGQKVSSSEISYQIDKVNKRVRFKEKSKELASLEEEVGPNEEFADSAVGFDGSSNTSESLYAEKHESSGMHEIDGLRSTVSDGLAGLSPSPSPQLEKGDPSNHPFSVQGTNDWVHDWSSDYSVNNELAAAYEENSRLRGILEVAESSIHELTLEVSLLQRNADDIGHEAQKFAKQLAAEIVSGEEMAEEVSLLKSECAKLKGDLEQLKVSKLHPPFSSREAFESEQDHIFQDVQLRWLKGLLAMEDKIRELQNKTCFGYDKKDFRFLASDIEVLLGILNNLKQASGLAVSSLNLTEGARMEDIGEISHKNGQIATGTGFDVDLYQPELGMLHCLNIPGLISHESDAVDTINAMKNKIFELLRELDESKAEWESISGKMDQMECYYEALVQELEENQSQMLHELQNLRNEHSTCLFTISSTKAEMESMCQELNGQILRLAEDKHDLDSLNKELERRAVTAEAALKRARLNYSIVVDQLQKDLELLSVQVLSMYETNENLIRQTFADSSQPSVKEFDSGDYAAKLLQFQNHSVGIRKQQLGGDSLVLDDLKRSLHIQEGLYQKVEEEVCELHFVNIYFDVLSRALQETLLGANEDVKFMNEKVNELKQQQEVSAESKALLMQKLQAAMDDIHSLNNYKARCDDMTQQKQILELSLQNLAHENHCLELKITQWEAQVTQYRGFESKYEECSAENAKLACLLEQKTLENGILQCENLTLQDELKTIKTEFDVLASQKENLLNFVNFLQCKLQKLLASYDNKNINGLSPLGESENQALPSRDLTGVLMQLEELQHNACERIFQLVDEKKSLMCERDVAQLSTTAAESEVTLLKQKFEHEIMKMVDKLDVSNALLQKVQLYIDAFAERLEVSSKIEEEYMQQHNELLSDLDRLEVGLEELTSKNQDIAHEILAFETLTARELTKKNHALTVSLQDKNEECTKLALELKCLKESLRSLYDENQALMATSRDKMEESVWLASELNNIRNSLQYLINDKQEVAKPALERNSLKGNFQSPHGDYQILTMSSLDKTEESVKLASELNSLKQSLQSLHDDKEAWIASMEESARLAEELNHLKENLQSLHLENKALVASSQDKAEESSKLALELSSLKEIFQSLNDEKQTLIASLQNKVKESANLALELNHLKEALQSLHDEKQVVMASLQDKTEETSMLASKLNCLKESFQTLHDHNQVLEACSWEKSEESAKIKSEVNSLRECAQSLHSENQTLIMSSQYKTNECVQLASELNRLGESLQSLHDQLQEERSLRESLESKITDHTSKLTEKEVQLLHFKELVSGLELEKLRVCSLLSHYDESLQSAREECASLSGLESELCELHELLIAADVKLIFTKTQYEGRAEELVLQLSFSNRSLAELQKQHIDVETNLNCCLASEAQYIEDNSNLLTSLNSIRSEMEASIAENRLILEENRAMAAELQEYRYREQNVGLQDFEDKSQHYLEVERLKHTLLSSEEDINNLIFSKEELEVKVLVLKAKLNEQKDQIIAMEGYSDELIILKKQCNELTKRLAEQILKTEEFRNLSVHLKELKDKADAECIQACEKREPEAPPVAMHESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAINEIENRKKSEACHLKKNEELGMKILELEDELQSVLSDKRERMNAYDRMKAEMECSLISLECCREEKHKLEVCLQECNQEKSKIAAELTQTKELLENSKLALNIQEEGNHRSCKKDSKSSDESVIRNVYPENPIADASIFTRKSVDAAPANGPNRDSTFKSFKEDSSRNCEEAEHKCPAPISTVDQTNILMNKQLGRDLVSSCANRIQSPILLNEDELLHSDMKHLATINEHFRAESLKSSVDHLSNEVNEELGSMFPMFNESPGSGNALERVLALEIELAEALQGKKRSSFHFQSSLLKQHSDEEAVFKSFRDINELIKDMLEIKGMYTAVETELKEMHDRYSQLSLRFAEVEGERQKLIMTLKNVRASKKALQLNQSSSASTRDHSL >Manes.15G116100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9271027:9271753:1 gene:Manes.15G116100.v8.1 transcript:Manes.15G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEFQESDIIFSDHDRDLPRQHHFGFKEMDRQNTSNRRGNKKMQKKSTSSSLPVNIPSNVFQLSDGDEFAEEFEGDELIPPHVIVGRRIEGKMAFSVCTGNGRTLKGRDLSHVRNSILRLTGFLET >Manes.10G021600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2118696:2121440:-1 gene:Manes.10G021600.v8.1 transcript:Manes.10G021600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKKIHIRINNLDLTSFSGMEGVVSVFPSQKKKLHTTRSWTFMGFPLNVTRSTKESDIIIGMLDTGIWPESESFKDEGFGPPPAKWKGTCQISSNFTCNNKIIGARYYNSERNLPPGEFVSPRDSEGHGSHTASTAAGDVVNDASLLGVGSGTARGGVPSARIAIYKICWSFGCSDADILAAFDDAIADGVDIISLSVGGWPMDYFEDSIAIGAFHSMKNGILTSNSAGNEGPEPGSVSNCSPWSLSVAASTIDRKFVTPVKLGNGALYKGFSINTFTLGNSSYPIIYGGDAPNVTSLYNGTYSRYCSQGSLNKTLVQGKIVLCDSLSFGEGTIAAGGVGSVMEQRFYTDDVFSFPLPLSPVISEDLADIKKYLNSTSEPTATILKTIEENNELAPNVVSFSSRGPNPITADILKPDLTAPGVNILAAWSEATTVTGSQLDDRVVPYNIISGTSMSCPHASGAAAYVKSFHPTWSPAAIKSALMTTAYSMSTTANTDAEFAYGSGHINPVNATDPGLVYDAEEIDYVKFLCGQGYNATQLKLVTGDNSACSAETNGTVWDLNYPSFALSTVPGHSVTRIFHRTVTNVGSSSATYKAIINAPPGLHIQVQPNVLSFTSVGEKQSFVVTVEAELSDFAISGSLTWDDGVHKVKSPILAHVIQNPQ >Manes.10G021600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2118696:2122409:-1 gene:Manes.10G021600.v8.1 transcript:Manes.10G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQRSYLTWFLLINLTFTLVISSHGTSQEDRKVYIVYMGDRPKGDFSAASLHSRMLQEVVGSHASDILLHSYHRSFNGFVAKLTEEEKQKLAGMEGVVSVFPSQKKKLHTTRSWTFMGFPLNVTRSTKESDIIIGMLDTGIWPESESFKDEGFGPPPAKWKGTCQISSNFTCNNKIIGARYYNSERNLPPGEFVSPRDSEGHGSHTASTAAGDVVNDASLLGVGSGTARGGVPSARIAIYKICWSFGCSDADILAAFDDAIADGVDIISLSVGGWPMDYFEDSIAIGAFHSMKNGILTSNSAGNEGPEPGSVSNCSPWSLSVAASTIDRKFVTPVKLGNGALYKGFSINTFTLGNSSYPIIYGGDAPNVTSLYNGTYSRYCSQGSLNKTLVQGKIVLCDSLSFGEGTIAAGGVGSVMEQRFYTDDVFSFPLPLSPVISEDLADIKKYLNSTSEPTATILKTIEENNELAPNVVSFSSRGPNPITADILKPDLTAPGVNILAAWSEATTVTGSQLDDRVVPYNIISGTSMSCPHASGAAAYVKSFHPTWSPAAIKSALMTTAYSMSTTANTDAEFAYGSGHINPVNATDPGLVYDAEEIDYVKFLCGQGYNATQLKLVTGDNSACSAETNGTVWDLNYPSFALSTVPGHSVTRIFHRTVTNVGSSSATYKAIINAPPGLHIQVQPNVLSFTSVGEKQSFVVTVEAELSDFAISGSLTWDDGVHKVKSPILAHVIQNPQ >Manes.01G255400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41275614:41278501:-1 gene:Manes.01G255400.v8.1 transcript:Manes.01G255400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRNLLKKNSLTSNNLPIRRFFSSEAALESPSFAHKIRDLPNNRPQAKIKPEVSQLIGKTPLVYLNKVTEGCGAFIAVKQEMVQPTASIKDRPAFAMINDAEKKNLISPGKTTLIEPTSGNMGISMAFMAAMKGYKMVLTMPSYTSLERRVTMRAFGAELILTDPTKGMGGTVKKAFELLESTPNAFMLQQFSNPANTKIHFETTGPEIWEDTLGQVDIFVMGIGSGGTVSGVGQYLKSQNPAVKIYGVEPTESNVLNGGKPGPHHITGNGVGFKPDILDLDVMEKVLEVSSEDAVNMARRLALEEGLMVGISSGANTVAALRLARMPENKGKLIVTVHPSFGERYLTSVLFEELRKEAANMQPVPVD >Manes.14G049900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4316996:4320042:-1 gene:Manes.14G049900.v8.1 transcript:Manes.14G049900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVCLLIFSPTGKAYQFASHEVDRTIARYRREVGLIGLNDQHSRSSEFWRSEIEELERTIETLETRLKHFCGEDISVLGMKELKQLERQLKTGVERIRSKKRRIIMDHINFLKRKHRALQEANTLLQKRLHELSEASIGSRILDSNPFDAFQQRAVNDGILH >Manes.14G049900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4316996:4320042:-1 gene:Manes.14G049900.v8.1 transcript:Manes.14G049900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVCLLIFSPTGKAYQFASHEVDRTIARYRREVGLIGLNDQHSRSSEFWRSEIEELERTIETLETRLKHFCGEDISVLGMKELKQLERQLKTGVERIRSKKRRIIMDHINFLKRKHRALQEANTLLQKRIKLHELSEASIGSRILDSNPFDAFQQRAVNDGILH >Manes.10G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23435833:23439373:-1 gene:Manes.10G090500.v8.1 transcript:Manes.10G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGHYFRQWHSLPFFFLFFLMLVSPTKSDDLQMLLNLKVSLKDSKTNVFTSWTRENSVCNFTGITCNANGFVKEINLPQQQLQGVLPFDSLCTLQSLDKISLGLNSLHGGITEDLKNCRSLKVLDLGGNLFSGQVPDLSSLRELRILNLNGSGFSGPFPWYSLENLTNLEFLSIGDNPFDSTSSFPLEVLKLNKLYWLYLTNCSIKGQIPEGISNLTLLQNLELSDNLLSGEIPAGIGKLSKLWQLEIYNNSLTGKLPVGFSNLTSLVNFDASHNKLEGELGELKSLKQLSSLQLFENQFSGEIPEEFGELKYLTELSLYTNKFTGSLPQKLGSWEDFVYIDVSENFLTGPIPPDMCKNGKMTDLLILQNKFTGKIPDSYANCKSLIRVRVKNNSLSGKVPAGIWGLPNLVIIDLSMNQFEGPVTADIAKANSLGLLILSNNQFSGELPAAISRASSLVSIQLSSNHFIGRIPESIGELKKLNSLYLNENLFFGTIPDSLGSCGSLTDINLSGNSFSGKIPESLGSLFTLNSLNLSNNKLSGQIPVSLSSLRLSNLDLSNNQLTGPIPQSLSLEVFQEGFDGNPGLCSNNLRNIQPCSATSSTSGHLRVLLSCFAAGLLVLVSCAGCLLFLKLRRKNADHPLKKSSWDMKSFRVLSFSERDITDAIKSENLIGKGGSGNVYKVVLGNGNELAVKHIWTSNASTDRKSSRSSSAMLNKRYLRSAEFNAEVATLSAVRHVNVVKLYCSITSEDSNLLVYEYLPNGSLWDQLHSSKEIKMGWKLRYAISLGAARGLEYLHHGFDRPVIHRDVKSSNILLDEEWKPRIADFGLAKIVQAGGGGDWSHIIAGTHGYMAPEYAYTCKVTEKSDVYSFGIVLMELVTGKRPVEQEFGENKDIVYWVCSKMTNKENALDLVDSNISENLKEDALKVLRIAIHCTAKIPVLRPSMRMVVQMLEEAEPHELPDVVEVKNEDGASPDEKLKATNR >Manes.01G212300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38289397:38293108:-1 gene:Manes.01G212300.v8.1 transcript:Manes.01G212300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEVNLNESKKVVPLNTWILISNFKLAYNLLRRPDGTFNRELAEFLERKVNANTIPVDGVFSFDHVDRTTGLLNRVYQPAPVNEAQWGIVELEKPLSTTEIVPVIIFFHGGSFTHSSANSAIYDTFCRRLVSVCKAVVVSVNYRRSPEYRYPCAYDDGWAALQWVKSRTWLQSGKSPKVHVYLAGDSSGGNIAHHVAVRAAEAEIEVLGNILLHPMFGGQERSESEKRLDGKYFVTIQDRDWYWRAYLPEGEDRDHPACNIFGPRGRNLAKLKFPKSLVVVAGLDLVQDWQLAYVEGLQRAGHEVKLLYLKQATIGFYFLPNNDHFYCLMEEIKNFVNPNC >Manes.05G080600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6632987:6634994:1 gene:Manes.05G080600.v8.1 transcript:Manes.05G080600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFNSQNTINTLFNGGRASAGNGGIPAAAGTKTVGRWLKERKEKKKEETRAHNAQLHATVSVAAVAAAVAAIAAATASSSAAGRNEQLAKTDMAVASAATLVAAQCVEAAEAMGVERDHLASVVSSAVNVRSHDDITTLTAAAATALRGAATLKARALKDVLNVAAVIPTERGLGICGAGNNNGNHNRNCSGEVVCGENFLGACNLEFLARGSELLKRTRKGDLHWKIVSVYIHRSGQVMLKMKSRHVAGTITKKKKNVVVDVCKDMAAWPGRHLFDGGDERRYFGLKTATRGIIEFECKNQREHDIWTDGISKLLSIVSQRKRGGGHFRTKTIA >Manes.05G080600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6630783:6634994:1 gene:Manes.05G080600.v8.1 transcript:Manes.05G080600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASAATLVAAQCVEAAEAMGVERDHLASVVSSAVNVRSHDDITTLTAAAATALRGAATLKARALKDVLNVAAVIPTERGLGICGAGNNNGNHNRNCSGEVVCGENFLGACNLEFLARGSELLKRTRKGDLHWKIVSVYIHRSGQVMLKMKSRHVAGTITKKKKNVVVDVCKDMAAWPGRHLFDGGDERRYFGLKTATRGIIEFECKNQREHDIWTDGISKLLSIVSQRKRGGGHFRTKTIA >Manes.05G080600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6630711:6634994:1 gene:Manes.05G080600.v8.1 transcript:Manes.05G080600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPLINPRKLEHSSVCSMQLPESPKVPMEFLSRSWSVSALEVSRALSCISSNKSTNSSSSCTTASILEDISAENEDTIAHSISENQFSFTSSATSQLVLERIMSQSEVSPLTSGRLSHSSGPLNLAESDSPPISPSEEFDDVVKYFNSQNTINTLFNGGRASAGNGGIPAAAGTKTVGRWLKERKEKKKEETRAHNAQLHATVSVAAVAAAVAAIAAATASSSAAGRNEQLAKTDMAVASAATLVAAQCVEAAEAMGVERDHLASVVSSAVNVRSHDDITTLTAAAATALRGAATLKARALKDVLNVAAVIPTERGLGICGAGNNNGNHNRNCSGEVVCGENFLGACNLEFLARGSELLKRTRKGDLHWKIVSVYIHRSGQVMLKMKSRHVAGTITKKKKNVVVDVCKDMAAWPGRHLFDGGDERRYFGLKTATRGIIEFECKNQREHDIWTDGISKLLSIVSQRKRGGGHFRTKTIA >Manes.05G080600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6631303:6634994:1 gene:Manes.05G080600.v8.1 transcript:Manes.05G080600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPLINPRKLEHSSVCSMQLPESPKVPMEFLSRSWSVSALEVSRALSCISSNKSTNSSSSCTTASILEDISAENEDTIAHSISENQFSFTSSATSQLVLERIMSQSEVSPLTSGRLSHSSGPLNLAESDSPPISPSEEFDDVVKYFNSQNTINTLFNGGRASAGNGGIPAAAGTKTVGRWLKERKEKKKEETRAHNAQLHATVSVAAVAAAVAAIAAATASSSAAGRNEQLAKTDMAVASAATLVAAQCVEAAEAMGVERDHLASVVSSAVNVRSHDDITTLTAAAATALRGAATLKARALKDVLNVAAVIPTERGLGICGAGNNNGNHNRNCSGEVVCGENFLGACNLEFLARGSELLKRTRKGDLHWKIVSVYIHRSGQVMLKMKSRHVAGTITKKKKNVVVDVCKDMAAWPGRHLFDGGDERRYFGLKTATRGIIEFECKNQREHDIWTDGISKLLSIVSQRKRGGGHFRTKTIA >Manes.05G080600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6630732:6634994:1 gene:Manes.05G080600.v8.1 transcript:Manes.05G080600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPLINPRKLEHSSVCSMQLPESPKVPMEFLSRSWSVSALEVSRALSCISSNKSTNSSSSCTTASILEDISAENEDTIAHSISENQFSFTSSATSQLVLERIMSQSEVSPLTSGRLSHSSGPLNLAESDSPPISPSEEFDDVVKYFNSQNTINTLFNGGRASAGNGGIPAAAGTKTVGRWLKERKEKKKEETRAHNAQLHATVSVAAVAAAVAAIAAATASSSAAGRNEQLAKTDMAVASAATLVAAQCVEAAEAMGVERDHLASVVSSAVNVRSHDDITTLTAAAATALRGAATLKARALKDVLNVAAVIPTERGLGICGAGNNNGNHNRNCSGEVVCGENFLGACNLEFLARGSELLKRTRKGDLHWKIVSVYIHRSGQVMLKMKSRHVAGTITKKKKNVVVDVCKDMAAWPGRHLFDGGDERRYFGLKTATRGIIEFECKNQREHDIWTDGISKLLSIVSQRKRGGGHFRTKTIA >Manes.13G125700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33313890:33316020:-1 gene:Manes.13G125700.v8.1 transcript:Manes.13G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVHIREELYRAKDNAMQSWLDSKPLIDELEKQQANLASARNRTSMSNIIISELQSQLEAISVEIRTKMEEETKYKKMINEMNQALEAKQEESELNKKDADEENQTKLKLKQVLRFRRQSLKTLQLTMRAIRIESEAFEASAAEALADIKSLETEETVVRMSEEEYYDLTRRAKEETAVAEWRITVSMEKKHEAEESRNFALSRLKELKRKKSKKEEKIINEEVVEEERSPVKVVNRGTVFPKARAQAMAKSNRRKSQEHKGKSPRKSKKKKKSILNRIRRFLVRSFARLLR >Manes.06G065151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20020635:20020932:1 gene:Manes.06G065151.v8.1 transcript:Manes.06G065151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASSVASLVVFGVLLGEILQGSLALVVNCNPLELSPCASAMTSSSPPSPICNMLQQYMKDPNLQKLVNSPNANKFAATCGSPFPNC >Manes.03G121300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24770894:24773110:1 gene:Manes.03G121300.v8.1 transcript:Manes.03G121300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGNILGTSLLVPCVQELAKEPLVTVPERYIRSDLDRPIVAAGDDGTLPELPVIDMQRLHLQESMESELAKLHLACKEWGFFQLVNHGVSSSLLERIKTQVQDFFNLPMEEKKQFWQHPGEVEGFGQAFVVSEEQKLDWADICVMITQPIHLRKPHLFPKLPLPFRDTLESYSSEVKTLAVDIIEQMAKVLGIRDEEMREMITEGIMQTMRMNYYPPCPQPEKVIGLTPHSDGSGISILLQVNDVEGLQIMKDGNWIAVKPLPNAFVVNIGNILEIISNGTYRSILHRATVNSQKERLSIVAFHSPRFDGDISPAASLITEETPALFKRIKVKEYFNGYLSRELRFKSYLDTLRIQHGEE >Manes.03G121300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24770701:24773140:1 gene:Manes.03G121300.v8.1 transcript:Manes.03G121300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGNILGTSLLVPCVQELAKEPLVTVPERYIRSDLDRPIVAAGDDGTLPELPVIDMQRLHLQESMESELAKLHLACKEWGFFQLVNHGVSSSLLERIKTQVQDFFNLPMEEKKQFWQHPGEVEGFGQAFVVSEEQKLDWADICVMITQPIHLRKPHLFPKLPLPFRDTLESYSSEVKTLAVDIIEQMAKVLGIRDEEMREMITEGIMQTMRMNYYPPCPQPEKVIGLTPHSDGSGISILLQVNDVEGLQIMKDGNWIAVKPLPNAFVVNIGNILEIISNGTYRSILHRATVNSQKERLSIVAFHSPRFDGDISPAASLITEETPALFKRIKVKEYFNGYLSRELRFKSYLDTLRIQHGEE >Manes.03G121300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24771043:24773159:1 gene:Manes.03G121300.v8.1 transcript:Manes.03G121300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGNILGTSLLVPCVQELAKEPLVTVPERYIRSDLDRPIVAAGDDGTLPELPVIDMQRLHLQESMESELAKLHLACKEWGFFQLVNHGVSSSLLERIKTQVQDFFNLPMEEKKQFWQHPGEVEGFGQAFVVSEEQKLDWADICVMITQPIHLRKPHLFPKLPLPFRDTLESYSSEVKTLAVDIIEQMAKVLGIRDEEMREMITEGIMQTMRMNYYPPCPQPEKVIGLTPHSDGSGISILLQVNDVEGLQIMKDGNWIAVKPLPNAFVVNIGNILEIISNGTYRSILHRATVNSQKERLSIVAFHSPRFDGDISPAASLITEETPALFKRIKVKEYFNGYLSRELRFKSYLDTLRIQHGEE >Manes.03G121300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24770864:24773160:1 gene:Manes.03G121300.v8.1 transcript:Manes.03G121300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGNILGTSLLVPCVQELAKEPLVTVPERYIRSDLDRPIVAAGDDGTLPELPVIDMQRLHLQESMESELAKLHLACKEWGFFQLVNHGVSSSLLERIKTQVQDFFNLPMEEKKQFWQHPGEVEGFGQAFVVSEEQKLDWADICVMITQPIHLRKPHLFPKLPLPFRDTLESYSSEVKTLAVDIIEQMAKVLGIRDEEMREMITEGIMQTMRMNYYPPCPQPEKVIGLTPHSDGSGISILLQVNDVEGLQIMKDGNWIAVKPLPNAFVVNIGNILEIISNGTYRSILHRATVNSQKERLSIVAFHSPRFDGDISPAASLITEETPALFKRIKVKEYFNGYLSRELRFKSYLDTLRIQHGEE >Manes.14G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3814024:3817128:1 gene:Manes.14G043700.v8.1 transcript:Manes.14G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRRVLINAYRVVNSSATASRSSIAYVSPILRESSFRSQYGLFSRYSTLNDNETRLLVIDLSNEESKRRLCNRLLYRSRQRGFLELDLVLGKWVEEHIFSMNESEIKALMNVLDLENPDLWKWLTGQEQPPEAVSTNPVFSAVRDKIMNNLNSHAAPETRAIPGQPWVRGWDDIKKNPGSPVAGNQ >Manes.09G167500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35992768:36000166:-1 gene:Manes.09G167500.v8.1 transcript:Manes.09G167500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLASPVMQPLSFSASLTQPSRALYSRFLSSSLSRSIFPNFRNHPKFYRNFSKSPLLIKACSSITAKPSSQIRRNSTSKSEPDEKLRALRELFSKPGIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKEQAALWTDGRYFLQAEKQLNSSWNLMRAGNLGVPNTIEWLNDVLPPGAKVGIDPFLFSFDAAEELKEAIAKKNHKLIFLYDTNLVDEIWNESRPKPPNKPIRVHDLKYAGVDAVSKLSNLRSQLLDAGSSAIVISMLDEIAWLLNLRGGDVPHSPVMYAYLTVEIDGAKLFVDNSKVTPEVLNHLKNAGVDLRPYDAILSEIESLAERGAELWLDTSSVNAAIVNTYKSACDRHLENLGSENNSNTKAYNGSNGQSWGPSGVYKASPISLAKALKNPAELQGMRNSHLRDAAALAQFWAWLEEEVHKDVKLTEVDVADKLLEFRSKQAGFLDTSFDTISGSGANGAIIHYKPEPESCSIVDSKKLFLLDSGAQYVDGTTDITRTIHFGEPTAYEKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSFLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTPLQKGMILSNEPGYYEDHAFGIRIENLLHVKEMNTPNHFGGIDYLGFEKLTFVPIQAKMVDLSLLSASEVNWLNDYHSQVWVKVAPLLDGPAREWLWNNTRPLVKQ >Manes.09G167500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35992768:36000166:-1 gene:Manes.09G167500.v8.1 transcript:Manes.09G167500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCHLVPRLASILEQFLFSFDAAEELKEAIAKKNHKLIFLYDTNLVDEIWNESRPKPPNKPIRVHDLKYAGVDAVSKLSNLRSQLLDAGSSAIVISMLDEIAWLLNLRGGDVPHSPVMYAYLTVEIDGAKLFVDNSKVTPEVLNHLKNAGVDLRPYDAILSEIESLAERGAELWLDTSSVNAAIVNTYKSACDRHLENLGSENNSNTKAYNGSNGQSWGPSGVYKASPISLAKALKNPAELQGMRNSHLRDAAALAQFWAWLEEEVHKDVKLTEVDVADKLLEFRSKQAGFLDTSFDTISGSGANGAIIHYKPEPESCSIVDSKKLFLLDSGAQYVDGTTDITRTIHFGEPTAYEKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSFLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTPLQKGMILSNEPGYYEDHAFGIRIENLLHVKEMNTPNHFGGIDYLGFEKLTFVPIQAKMVDLSLLSASEVNWLNDYHSQVWVKVAPLLDGPAREWLWNNTRPLVKQ >Manes.04G117100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31905500:31910519:-1 gene:Manes.04G117100.v8.1 transcript:Manes.04G117100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLFGAPYRGGNAIISRNTQLISPVGNRVSITDLVKSQTITLPVQSSSTIRRLAASPDGTFLHTVDENNRCNFINIPWRVVLHRITLKKSVNAVRFSPDGKFIAVATGKLVQIWRTPGFKKEYCAFELVRTLADSEDTVTALDWSLDCKYLLVGSKDLTARLFCIEKLQEAILDKPFLFLGHRDAVVGCFLGYDNEPNDVDKVYTIARDGYIFSWNYSGNDGEFSNGDEQDSEPPSPETPEREGEGNLDGGSESNDGNLGVKKGYLHKGKWELLRKDGFMQSQTKLTACEYHRSLDMVVVGFSNCVFGLYQMPDFVCIHLLSISREKITSAVFNETGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCLAYSPDSQLLATGADDNKVEQAHLVGKHLFPWSTYLVDCIQLILLLLKQIMKRFCFCFVTFSEHTNAVTALHFMGNNHCLLSASLDGTVCAWNLFRYQNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGCLFDVLSGHEGPVHGLMFSPTNVRKGALETFSHTHDVLTVVYCPDGRQLACSTLDGQIHFWDPVDCLLMYTIEGCRDIAGGCLMTDRRSAANSTAGTCFTTLCYSADESYILAGGSSKYICMYGIADQKMTDAGPLDLIDDDDTDTEEGLDKQVKGKLGYDLPGSMPNRGRPIIRTKCLRIAPIDRSFSAATTGVLVYSIDESFIFDPTDLDIDVTPEAVDEKLNEDKPNKALILSLRLNEDSLIKKCIFAVNPLDIPAVFVSVPYRYLQRLIEALADLLESCPPLEFILRWCQALCKAHGNSMQQNSRNLLPSLKSLQKAITRIHKDLADTCASNEYMLRYLCSASNNKLCKITLLVEKQLQCLVVNM >Manes.11G160800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32629235:32631165:1 gene:Manes.11G160800.v8.1 transcript:Manes.11G160800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVRPLFSILLLIVFAITLSCRILIRRRGGGFSSSELETIVIVQPPIPVFNSTLLKYAAIDIGEAQAKQEIEHLLEGNFASQARYRTFASWRRFNHHDTRASSSRGIPVMLRSPQFYRYWLDFRRVLHDWARAKRYQADIMNELISLVKNPLDGQKGSVGSNSKYSSCAVVGNSGILLKKDYGDLIDSHEIVIRLNNARTGRYQQHVGSKTNISFVNSNILHLCARRIGCFCHPYGANVPIVMYVCQPVHFLDYTICNSSHRAPLIVTDPRFDIMCARIVKYYSLKRFVEETGKSYDEWSSAHDGSMFHYSSGFQAVMLALGICDKVSVFGFGKSTLAKHHYHTNQKGELKLHDYEAEYDFYHDLSHTPRAIPFISDKFQFPPVVIYQ >Manes.01G262800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41782962:41785246:1 gene:Manes.01G262800.v8.1 transcript:Manes.01G262800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNNSFSSFKQLTKDFPLEHRPRMLKDFLFDHDSISCSSSIPTLIQHDLNAEKGLKSRSTALSAFQAMINKAVKNIHFAAIKSPPLLPRSLSRRLSRSRRSSCRESETENKQTQTKITVTIKDIIRWKSFRDTVEEESQPSALSCSPHHCKTATTTTTTPSSSSSSSNGSSWCESDFTSEYGHFEETCGEKEYGVMGKEYPQRVGEEDDSILGQTRSETNKAVGLKAEEKQQNNPVSVIGVQSDEDEEEEEKVWQLLNVVKETKSVGDYRVLVDFFLYELERKGSQRINNGFDCEMLSRGRAWINGEGGLWMDWDKKESCVREMEREVKWSKLKEEEEEVALAIENGMLDELLLDLFS >Manes.10G143800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31179542:31184216:-1 gene:Manes.10G143800.v8.1 transcript:Manes.10G143800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANSQMEVHYINTGFPYTVTESFMDFFEGLTHVPVHYDHTGTVHDQVQENAYWTMNMNAYKYGFSGPGTASYYSPYEVNDPLPRMDIGRGAWEYPSPVNMEEPTTADTQSERDAAVTVHTPPEECIPNRTSSDSPQGVWQDDIDPDNMTYEELLDLGEAVGTQSRGLSQELISLLPTSKCKFGSFFSRKKSGERCVICQMRYKRGDKQMKLPCKHVYHSECITKWLSINKVCPVCNNEVFGDESKN >Manes.10G143800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31179234:31184216:-1 gene:Manes.10G143800.v8.1 transcript:Manes.10G143800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANSQMEVHYINTGFPYTVTESFMDFFEGLTHVPVHYDHTGTVHDQVQENAYWTMNMNAYKYGFSGPGTASYYSPYEVNDPLPRMDIGRGAWEYPSPVNMEEPTTADTQSERDAAVTVHTPPEECIPNRTSSDSPQGVWQDDIDPDNMTYEELLDLGEAVGTQSRGLSQELISLLPTSKCKFGSFFSRKKSGERCVICQMRYKRGDKQMKLPCKHVYHSECITKWLSINKVCPVCNNEVFGDESKN >Manes.10G143800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31179542:31184216:-1 gene:Manes.10G143800.v8.1 transcript:Manes.10G143800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANSQMEVHYINTGFPYTVTESFMDFFEGLTHVPVHYDHTGTVHDQVQENAYWTMNMNAYKYGFSGPGTASYYSPYEVNDPLPRMDIGRGAWEYPSPVNMEEPTTADTQSERDAAVTVHTPPEECIPNRTSSDSPQGVWQDDIDPDNMTYEELLDLGEAVGTQSRGLSQELISLLPTSKCKFGSFFSRKKSGERCVICQMRYKRGDKQMKLPCKHVYHSECITKWLSINKVCPVCNNEVFGDESKN >Manes.03G119716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24593384:24594493:1 gene:Manes.03G119716.v8.1 transcript:Manes.03G119716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDDAMIIVAQTSLNEFQNSGLIDPQFITCNVLLDNGDSWLVSFVYASPDISLRRRLWHSVLGFNGSEKSWLLLGDFNSFTSENEQTGYVNVHSIGASDFRQWIFDNSLIDLGFEGTPFTWSKGGINSSYKAARLDRCLCTEIWRMTFSRATVIHAPKLHSDHCPIFMNCFGVTNSSVRRFHFQAAWTAHKDFVDVVSRGWKQNTSLFDNLKSTKDSLSQWNRSEFGNIFHNKQRLIRRIDGVQKSLAIRRTR >Manes.04G042918.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6655472:6656891:1 gene:Manes.04G042918.v8.1 transcript:Manes.04G042918.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPLQSLLHHHHHYSLVKLHHSDSTPNSSLFLKPTTSFSTTTNTSLSLSISSSSSTTTCTTNFSISPTTSTTPSSQTFSLDLLHQHLSTRNFRQADEETRRLLIVLAGEAAQKRGYVFFSEVQFISEKDLKAIDELWKQYSNNKFGYSIQKRIWQKKANKDFSKFFLKVGWMKKLDTEVEQYNYRSFPNEFIWELNDETPEGHLPLTNALRGTQLLNSILNHPAFEDTKEEEDELVVDGGNENGGSNKELREEENSKPLSKRLFKPNYSF >Manes.02G040900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3357033:3360161:1 gene:Manes.02G040900.v8.1 transcript:Manes.02G040900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGFYSARKHGSFLDYLEEDQELKPATSLPAIPQPQTPKEPMEFLSRSWSLSASEISKALAQKERQVFTDKQPNMCPADAVAPQLTRKIINSINSRKTGSIGKWFHHKELSSSTVKKKDKARMENAHMHSAISIAGLAAALAAVAAAGNSNDSVSKMSMALASATELLASHCIELAESAGADHDRVASVVRSAVDIQSPGDLMTLTAAAATALRGEAALKARLPKETRKNAAISPYDRGMAEIEEPVPCVGEVLQRTRKGVLRWKHISVYIKKSQVIIKIKSKHVGGAFSKKHKCVVYGVCDETTAWPYRKEKESSEEVYFGLKTAQGLLEFKCKSKIHKQRWVDGTQNLLHQVNSVEASERSLEFLSLSDSA >Manes.02G040900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3357087:3360000:1 gene:Manes.02G040900.v8.1 transcript:Manes.02G040900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGFYSARKHGSFLDYLEEDQELKPATSLPAIPQPQTPKEPMEFLSRSWSLSASEISKALAQKERQVFTDKQPNMCPADAVAPQLTRKIINSINSRKTGSIGKWFHHKELSSSTVKKKDKARMENAHMHSAISIAGLAAALAAVAAAGNSNDSVSKMSMALASATELLASHCIELAESAGADHDRVASVVRSAVDIQSPGDLMTLTAAAATALRGEAALKARLPKETRKNAAISPYDRGMAEIEEPVPCVGEVLQRTRKGVLRWKHISVYIKKSQVIIKIKSKHVGGAFSKKHKCVVYGVCDETTAWPYRKEKESSEEVYFGLKTAQGLLEFKCKSKIHKQRWVDGTQNLLHQVNSVEASERSLEFLSLSDSA >Manes.02G040900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3357741:3360161:1 gene:Manes.02G040900.v8.1 transcript:Manes.02G040900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGFYSARKHGSFLDYLEEDQELKPATSLPAIPQPQTPKEPMEFLSRSWSLSASEISKALAQKERQVFTDKQPNMCPADAVAPQLTRKIINSINSRKTGSIGKWFHHKELSSSTVKKKDKARMENAHMHSAISIAGLAAALAAVAAAGNSNDSVSKMSMALASATELLASHCIELAESAGADHDRVASVVRSAVDIQSPGDLMTLTAAAATALRGEAALKARLPKETRKNAAISPYDRGMAEIEEPVPCVGEVLQRTRKGVLRWKHISVYIKKSQVIIKIKSKHVGGAFSKKHKCVVYGVCDETTAWPYRKEKESSEEVYFGLKTAQGLLEFKCKSKIHKQRWVDGTQNLLHQVNSVEASERSLEFLSLSDSA >Manes.03G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:505410:509599:1 gene:Manes.03G005900.v8.1 transcript:Manes.03G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTRMFSSLFGDREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGIAKEEFHAILEEEELKGAVVLIFANKQDLPGALDDAAVTEALELHKIKNRQWAIFKACAVKGEGLFEGLDWLSNILKSGGG >Manes.14G046700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4063344:4066530:1 gene:Manes.14G046700.v8.1 transcript:Manes.14G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAENDPINVSNQLLQSLLDEVVQVQTFKGKWALIRGKLADLHTQLADFTDFTASTCNPLCVDLLHSISQTLNDAIFLARKCQTPNLTEGKLRTQSDVDSVLAKLDRHVKDSDILIKSGVLQEPVVSGGSSSKREAVRAESRNLITRLQIGSNESKNSAMDSLLGLLQQDDKNVMIAVAQGVVPVLLRLLDSSSLEMKEKTVAAISRISMVDSSKHVLIAEGLLLLNHLVRILESGSGFAKEKACVALQALSFSKENARAIGSRGGISSLLEICQAGTPGSQAFAAGVLRNLAVFEEIRENFIEENAVFVLIGLASSGTVLAQENSIGCLCNLVKEDENLKLLIVKEGGMECLRNFWDSAPPIRSLEVAVDLLRHLASSQVIAEVLVSDGYIIRLVAVLNCGVLGVRISAAIAVYELGINTKTRKEMGECGLITPLIKMLDGKGGEEKEAAAKALSQLLLYAGNRRIFRKDERGIVSCVQLLDPLIQNLDKKYPVSMLASLVHSKKCRKLMIAAGGCVHLKKLVEMDVEGAKKLLDGLGRGKFWGVFARP >Manes.02G033700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2811714:2814190:1 gene:Manes.02G033700.v8.1 transcript:Manes.02G033700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CaM MGKDLSEEQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKTIITGESLNTPFDFHRFLDLMAKHMKTEPFDRQLRDAFKVLDKDSTGFVSVVDLRHILTSIGEKLEPSEFDEWIREVDVDSDGRIRYDDFIARMVAK >Manes.02G033700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2811789:2814458:1 gene:Manes.02G033700.v8.1 transcript:Manes.02G033700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CaM MGKDLSEEQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKTIITGESLNTPFDFHRFLDLMAKHMKTEPFDRQLRDAFKVLDKDSTGFVSVVDLRHILTSIGEKLEPSEFDEWIREVDVDSDGRIRYDDFIARMVAK >Manes.02G033700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2811713:2814458:1 gene:Manes.02G033700.v8.1 transcript:Manes.02G033700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CaM MGKDLSEEQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKTIITGESLNTPFDFHRFLDLMAKHMKTEPFDRQLRDAFKVLDKDSTGFVSVVDLRHILTSIGEKLEPSEFDEWIREVDVDSDGRIRYDDFIARMVAK >Manes.02G033700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2812088:2812531:1 gene:Manes.02G033700.v8.1 transcript:Manes.02G033700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CaM MGKDLSEEQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKTIITGESLNTPFDFHRFLDLMAKHMKTEPFDRQLRDAFKVLDKDSTGFVSVVDLRHILTSIGEKLEPSEFDEWIREVDVDSDGRIRYDDFIARMVAK >Manes.15G062700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4773351:4773722:-1 gene:Manes.15G062700.v8.1 transcript:Manes.15G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNCDPTIMPSSIALLQERFRQLERAKEMRQKRDLLRLFTEAEQVKSAKAYEPSRPFLHPELSHSPGQPLQGSRYLQPNMESKHTSLVINEPPNWAKRSKNTVARIANDFDVSDVDTSLHL >Manes.15G067800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5173474:5177189:1 gene:Manes.15G067800.v8.1 transcript:Manes.15G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATDASSQPSIIPSNTPLLSAFLAFALAQFLKLFTTWFKEKRWDSRRILGSGGMPSSHTATVTALAVAIGLQEGTGAPTFAIALVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNVRPLRDSLGHTPLQVCGTCIILCVDYEFKSPFLPQLSEIRSTERRIISVG >Manes.13G096500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28595969:28604906:-1 gene:Manes.13G096500.v8.1 transcript:Manes.13G096500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSNVVSQTFRALVESADRKFARVRDLPSYGHAQNHYFQKVFKAYMRLWKYQQENRSKLVEAGLKRWEIGEIASRIGQLYFNQYMRTSDSRFLVEAYVFYEAILHRKYFEGAKAKDLGVRFKELRFYARFLLVSLILKRTEMVQSLVDRFRTLVDDSKSNFRETNFKEWKLVVQEIVRFLEVDTAFANIRPLRYCVLFDSHPTSHPYVARFHARKVLKFKDAVLTSYHRNEVKFAEITLDTYRMLQCLEWEPSGSLMQKHPVERPVESYENGTVTDHSGASGLIDINFTADLTDPTLPSNPRKAILYRPSATHLLAAMATICEELPSESIMLIYISASGKAGQSNVSPMENSGGSRKSSKNKVVSGRISEQNSSVSESHSNGKRESSDYHDNYLWLGPRGTAGSNALYPGDIIPFTRRPLFLIIDSDCSHAFKAGLAWCRKGRAMCIATFAFEASFQEPACC >Manes.13G096500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28595969:28604906:-1 gene:Manes.13G096500.v8.1 transcript:Manes.13G096500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSNVVSQTFRALVESADRKFARVRDLPSYGHAQNHYFQKVFKAYMRLWKYQQENRSKLVEAGLKRWEIGEIASRIGQLYFNQYMRTSDSRFLVEAYVFYEAILHRKYFEGAKAKDLGVRFKELRFYARFLLVSLILKRTEMVQSLVDRFRTLVDDSKSNFRETNFKEWKLVVQEIVRFLEVDTAFANIRPLRYCVLFDSHPTSHPYVARFHARKVLKFKDAVLTSYHRNEVKFAEITLDTYRMLQCLEWEPSGSLMQKHPVERPVESYENGTVTDHSGASGLIDINFTADLTDPTLPSNPRKAILYRPSATHLLAAMATICEELPSESIMLIYISASGKAGQSNVSPMENSGGSRKSSKNKVVSGRISEQNSSVSESHSNGKRESSDYHDNYLWLGPRGTAGLAWCRKGRAMCIATFAFEASFQEPACC >Manes.13G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28595969:28604906:-1 gene:Manes.13G096500.v8.1 transcript:Manes.13G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSNVVSQTFRALVESADRKFARVRDLPSYGHAQNHYFQKVFKAYMRLWKYQQENRSKLVEAGLKRWEIGEIASRIGQLYFNQYMRTSDSRFLVEAYVFYEAILHRKYFEGAKAKDLGVRFKELRFYARFLLVSLILKRTEMVQSLVDRFRTLVDDSKSNFRETNFKEWKLVVQEIVRFLEVDTAFANIRPLRYCVLFDSHPTSHPYVARFHARKVLKFKDAVLTSYHRNEVKFAEITLDTYRMLQCLEWEPSGSLMQKHPVERPVESYENGTVTDHSGASGLIDINFTADLTDPTLPSNPRKAILYRPSATHLLAAMATICEELPSESIMLIYISASGKAGQSNVSPMENSGGSRKSSKNKVVSGRISEQNSSVSESHSNGKRESSDYHDNYLWLGPRGTAGSNALYPGDIIPFTRRPLFLIIDSDCSHAFKVLHGVERGEPCALLLSPLKPAFKNLPAVDTTHNGSQFTFFLTAPLEAFCQMVGLSSIDTDTDVYNDAVEILSSAFSELEVILCKENSLDLVWAQVLSDPFLRRLIIRFIFCRCVLSTFCPPGDNEHYLPVCLPHLPSAVSPTSEVVHSAVVRLSNHMNVAKYFHFDDM >Manes.02G175500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13845319:13846691:1 gene:Manes.02G175500.v8.1 transcript:Manes.02G175500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSLIRISAKIIPTSTRFQVPKSFSVGSQHYMAMNIDQSQLQVKMNKKVEEKDKEEAKKKLPPPPEKPEPGDCCGSGCVRCVWDVYYEELEEYDKLCKSISDSDPDLSSSKQS >Manes.13G076950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12038006:12040530:1 gene:Manes.13G076950.v8.1 transcript:Manes.13G076950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPSCDKSGLRKGTWTPEEDRKLTAYVTRYGCWNWRQLPKYAGLSRCGKSCRLRWMNYLRPNIKRGNYTGEEEEIILRLHESLGNRWSAIAAQLPGRTDNEIKNYWHTNLKKRKSNDTAKQDFIGLSQPKKTRKVKIQPNIIDPLNPATTQIVESSTLCPTPSTSDFSSSTADNAAVTSSCSDLKSDDEFAFLEAYEAPCGNFWTEPFLADNYYMPFEFLAPSLDPLSDPLLDGELLYSYDVCDYGILNWQL >Manes.01G059550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:25074947:25075264:1 gene:Manes.01G059550.v8.1 transcript:Manes.01G059550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHISSPIDKHPRTFDHSPSALLPIQSKGKKIPKASVLLSSARDVPCSGGSLAPEVGEESSSASMEDLIYNKVFEGSIHVFDPRFLGVMCNSIRTTTQHDACSS >Manes.05G200900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32533548:32538126:-1 gene:Manes.05G200900.v8.1 transcript:Manes.05G200900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTVDLSRNENLRNVDSHCTVAGAGMEELDFSKLLERERPRPLNMDRQRSYDERSIYELSIRVSPRLTSRAENTSRLIDHLDSLYSPGRRSGFNTPRSNSEFGTHPIVAEAWEALRRSLIYFRGQPVGTIAALDNSEEKINYDQVFVRDFIPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNNETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDISLAEMPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPMEIQALFFMALRCAMLLLKQDEEGKEFVERIVKRLHALSFHMRSYYWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPTRGGYFIGNVSPARMDFRWFSLGNCVAILSSLATPEQSMAIMELIESRWEELIGEMPLKVCYPAIESHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGTLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMVALEEDKQMKPLLKRSNSWTF >Manes.05G200900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32533616:32538098:-1 gene:Manes.05G200900.v8.1 transcript:Manes.05G200900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTVDLSRNENLRNVDSHCTVAGAGMEELDFSKLLERERPRPLNMDRQRSYDERSIYELSIRVSPRLTSRAENTSRLIDHLDSLYSPGRRSGFNTPRSNSEFGTHPIVAEAWEALRRSLIYFRGQPVGTIAALDNSEEKINYDQVFVRDFIPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNNETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDISLAEMPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPMEIQALFFMALRCAMLLLKQDEEGKEFVERIVKRLHALSFHMRSYYWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPTRGGYFIGNVSPARMDFRWFSLGNCVAILSSLATPEQSMAIMELIESRWEELIGEMPLKVCYPAIESHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGTLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMVALEEDKQMKPLLKRSNSWTF >Manes.05G200900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32533490:32538166:-1 gene:Manes.05G200900.v8.1 transcript:Manes.05G200900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTVDLSRNENLRNVDSHCTVAGAGMEELDFSKLLERERPRPLNMDRQRSYDERSIYELSIRVSPRLTSRAENTSRLIDHLDSLYSPGRRSGFNTPRSNSEFGTHPIVAEAWEALRRSLIYFRGQPVGTIAALDNSEEKINYDQVFVRDFIPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNNETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDISLAEMPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPMEIQALFFMALRCAMLLLKQDEEGKEFVERIVKRLHALSFHMRSYYWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPTRGGYFIGNVSPARMDFRWFSLGNCVAILSSLATPEQSMAIMELIESRWEELIGEMPLKVCYPAIESHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLLKDNWPEYYDGTLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMVALEEDKQMKPLLKRSNSWTF >Manes.11G088413.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16427072:16440654:-1 gene:Manes.11G088413.v8.1 transcript:Manes.11G088413.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFSAKSHSLNLISGAQISALSCAKSSIRKEFLGCSHNLRPPGGPIRGSKCRKLRIRRNQSQRFLINASFGSDSVLVVVAVSTISALSFAYLNQQLTNRNTNKRSSKEDLGSPSAGVSQLRRNIVNIVGSPSLDVGDSHRGTLAAESTKLAESNRENSYAIKGKETLVQVLEDNVTSYGSLLVETTESSNADFSVSNDSNHVVPKEPEPQLSSIPESGRIKPLELAEGMSKLTLEKSSNELDVSSAFPEVMTKQSNSTSSSVNFEAGKMVDLASYYGISEESSREDLYTFYEENKSVVNSSLNMNGSSTVSSHALSSPGNSFSSLKVNAIVKEAELSAQHSPQIAESVERKIRVAPYERGSRKNENMGRRGFPRDKEKGHLIQDDHIKLPDIPYPNGIHATDKDDPPKKFQTYNRLLRDGRLAECLDLLEDMERRGLLDMSKIYHAKFFKTCKIQKAVKEAFLFCKLVPNPTLSTFNMLMSVCASSQDSEGAFKVLQLARGAGLKADCRLYTTLISTCAKSGKVDSMFEVFHEMVNAGVEPNVHTYGTLIDGCGRARQMAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMRAEAQPIDPDHVTVGALINACAKAGQVGRAKEVYNMMHEFNIKGTPEVYTIAVNSCSQTGDWEFASRVYEDMKRKGVAPDEMFLSAMIDVAGHAGLVDVAFQILQEARVQGLELGIIPYSSLMGACSNGKNWLKALELYDDMKSIKLNPTVSTMNALITALCDGDQLPKAIEVLSEMKSFGLCPNTVTYSMLSVASERKDDLEVGLMLLSQAKEDSVAPTLVMRKCIVGMCLRRYEKACALGQNVLSFDSGRPQIKNELTSTALMVYRETIAAGEKPTMDVVSQILGCLKVPGDASLKAKLVENVGVTTDSSKFSNLCSLLDGFGEYDPRAFSLLEEAASLGIIPHLSMKASPIVIDAKTLQIHIAEVYLLNVLKGLKHRLAAGAKLPSITILLPIETTKVKIHESEKMINLAGRLGQEVASLLRRIGLPYQGNESYGKIRINGVSLKRWFQPKLSSPFSRKPGELSSSQLIIGKGIIHQQRNIRTGNLSLE >Manes.11G088413.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16427072:16440680:-1 gene:Manes.11G088413.v8.1 transcript:Manes.11G088413.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFSAKSHSLNLISGAQISALSCAKSSIRKEFLGCSHNLRPPGGPIRGSKCRKLRIRRNQSQRFLINASFGSDSVLVVVAVSTISALSFAYLNQQLTNRNTNKRSSKEDLGSPSAGVSQLRRNIVNIVGSPSLDVGDSHRGTLAAESTKLAESNRENSYAIKGKETLVQVLEDNVTSYGSLLVETTESSNADFSVSNDSNHVVPKEPEPQLSSIPESGRIKPLELAEGMSKLTLEKSSNELDVSSAFPEVMTKQSNSTSSSVNFEAGKMVDLASYYGISEESSREDLYTFYEENKSVVNSSLNMNGSSTVSSHALSSPGNSFSSLKVNAIVKEAELSAQHSPQIAESVERKIRVAPYERGSRKNENMGRRGFPRDKEKGHLIQDDHIKLPDIPYPNGIHATDKDDPPKKFQTYNRLLRDGRLAECLDLLEDMERRGLLDMSKIYHAKFFKTCKIQKAVKEAFLFCKLVPNPTLSTFNMLMSVCASSQDSEGAFKVLQLARGAGLKADCRLYTTLISTCAKSGKVDSMFEVFHEMVNAGVEPNVHTYGTLIDGCGRARQMAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMRAEAQPIDPDHVTVGALINACAKAGQVGRAKEVYNMMHEFNIKGTPEVYTIAVNSCSQTGDWEFASRVYEDMKRKGVAPDEMFLSAMIDVAGHAGLVDVAFQILQEARVQGLELGIIPYSSLMGACSNGKNWLKALELYDDMKSIKLNPTVSTMNALITALCDGDQLPKAIEVLSEMKSFGLCPNTVTYSMLSVASERKDDLEVGLMLLSQAKEDSVAPTLVMRKCIVGMCLRRYEKACALGQNVLSFDSGRPQIKNELTSTALMVYRETIAAGEKPTMDVVSQILGCLKVPGDASLKAKLVENVGVTTDSSKFSNLCSLLDGFGEYDPRAFSLLEEAASLGIIPHLSMKASPIVIDAKTLQIHIAEVYLLNVLKGLKHRLAAGAKLPSITILLPIETTKVKIHESEKMINLAGRLGQEVASLLRRIGLPYQGNESYGKIRINGVSLKRWFQPKLSSPFSRKPGELSSSQLIIGKGIIHQQRNIRTGNLSLE >Manes.11G088413.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16427072:16440670:-1 gene:Manes.11G088413.v8.1 transcript:Manes.11G088413.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFSAKSHSLNLISGAQISALSCAKSSIRKEFLGCSHNLRPPGGPIRGSKCRKLRIRRNQSQRFLINASFGSDSVLVVVAVSTISALSFAYLNQQLTNRNTNKRSSKEDLGSPSAGVSQLRRNIVNIVGSPSLDVGDSHRGTLAAESTKLAESNRENSYAIKGKETLVQVLEDNVTSYGSLLVETTESSNADFSVSNDSNHVVPKEPEPQLSSIPESGRIKPLELAEGMSKLTLEKSSNELDVSSAFPEVMTKQSNSTSSSVNFEAGKMVDLASYYGISEESSREDLYTFYEENKSVVNSSLNMNGSSTVSSHALSSPGNSFSSLKVNAIVKEAELSAQHSPQIAESVERKIRVAPYERGSRKNENMGRRGFPRDKEKGHLIQDDHIKLPDIPYPNGIHATDKDDPPKKFQTYNRLLRDGRLAECLDLLEDMERRGLLDMSKIYHAKFFKTCKIQKAVKEAFLFCKLVPNPTLSTFNMLMSVCASSQDSEGAFKVLQLARGAGLKADCRLYTTLISTCAKSGKVDSMFEVFHEMVNAGVEPNVHTYGTLIDGCGRARQMAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMRAEAQPIDPDHVTVGALINACAKAGQVGRAKEVYNMMHEFNIKGTPEVYTIAVNSCSQTGDWEFASRVYEDMKRKGVAPDEMFLSAMIDVAGHAGLVDVAFQILQEARVQGLELGIIPYSSLMGACSNGKNWLKALELYDDMKSIKLNPTVSTMNALITALCDGDQLPKAIEVLSEMKSFGLCPNTVTYSMLSVASERKDDLEVGLMLLSQAKEDSVAPTLVMRKCIVGMCLRRYEKACALGQNVLSFDSGRPQIKNELTSTALMVYRETIAAGEKPTMDVVSQILGCLKVPGDASLKAKLVENVGVTTDSSKFSNLCSLLDGFGEYDPRAFSLLEEAASLGIIPHLSMKASPIVIDAKTLQIHIAEVQSCPA >Manes.12G088900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14100173:14100891:1 gene:Manes.12G088900.v8.1 transcript:Manes.12G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSFLGFLLVIFFLMMVGFLSGSSQAYQFHVGGRDGWVLNPSENYTIWAQKNRFQVNDTLFFKYKKGSNSVLVVIKDDYYSCNIKKPIRSLTDGDSIFKFDRSGPFYFISGNADYCNKGQRLIIVVLAVRPKPQPAPVPVPQSPSPVAAPPSSSPPHLPPSPVESPSDSPTDPSAPEPASGHSSGGFTGLGGLVLGSSIMMGMMFGLA >Manes.15G068300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5201320:5201834:1 gene:Manes.15G068300.v8.1 transcript:Manes.15G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKIFLILVVVMALVLSTAALPLREDDDMDHELNEQSDETVEFHSEHEFGRILTQRRRKARRMTCNKFPRICHARGSPGPCCCKKKCVNILTDRFNCGACGKKCKYNHICCNGKCVNPSFNRRHCGGCNNSCKNGGFCAFGLCNYA >Manes.15G153266.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12724911:12726584:1 gene:Manes.15G153266.v8.1 transcript:Manes.15G153266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLRNLSRKSQNELEKSYNPINLDYIFEEDDPLNPWLEEREDPVLDGEENPWLEEDEPAPSQSQQVNAPTHGHNIGGSGDAEPEDSFILSSSSDDDDGGSGQGGRGEDRGATSSLQSHDDPSSYQRHSPSPSPAPTLQHTYHKSRGSGGSSDKGKGVAHGECSMDADNYGYGTYGASESSMEATSTSDYGYRGNFQWEYSNPYPYQRPPSYSDLSLSEQSFSHTQTQSNPSDQFGMGSFFSFDPSQYYQYHQDQSSQSQDEGGGSTQEPARRSFWW >Manes.S026516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:394410:394571:-1 gene:Manes.S026516.v8.1 transcript:Manes.S026516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.05G176700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29306558:29308240:-1 gene:Manes.05G176700.v8.1 transcript:Manes.05G176700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATFFLFILIIGTCSLHSLAQKCPAPPRCPPISPPPRPRPFPRVRPRPPLYPPSLNPMPRQPSNNPGPLANRARILFITQELKRNITFDPRNYTGTWVGNNYCLFRGFFCDTVPDRNITGLAAIEFNGARFGGNLNFYRFIMNLPDIAIFHANSNNFSGPINSNLNQLRYFYELDLSNNKFIGGFPSNVLRAQKLMFVDIRFNNYLGPVPAQAFNIDTDVLFVNNNQFNRTIPTNFGNTPALYITLANNQLTGPIPRSIGRAWNTLTEALFLRNRLTGCLPFEIGYLQKATVLDFGTNLLTGPIPQSFGCLAKLQYLNMAHNLFYGPIPEVLCRLPNAFNFTLTYNYFTQVGPQCRRLIRARRLNVNRNCIMGLPGQRPAAECARFFAKPRSCARESSFSFIPCTLPASSMKIASPPTDDEAPAPQSYKALHAPPH >Manes.16G074100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27642063:27653775:-1 gene:Manes.16G074100.v8.1 transcript:Manes.16G074100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRCRARRNRDPRRPASNQNPIKNTVQTRQRKTAANKRKKDAIFVDDKNNIVNLEKTPLEDQTRAFREEVAEKTMDEFESGGRSGDKGPGAEDEGSTAPLPEKVQVGGSPVYRIERKLGKGGFGQVYVGRRISPSASNERTGPGAIEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRAHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATRWRDNSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIIGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Manes.16G074100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27644859:27653775:-1 gene:Manes.16G074100.v8.1 transcript:Manes.16G074100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRCRARRNRDPRRPASNQNPIKNTVQTRQRKTAANKRKKDAIFVDDKNNIVNLEKTPLEDQTRAFREEVAEKTMDEFESGGRSGDKGPGAEDEGSTAPLPEKVQVGGSPVYRIERKLGKGGFGQVYVGRRISPSASNERTGPGAIEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRAHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATRWRDNSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIIGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEDDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADMRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDSGYRITSTAATWDQAAFVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Manes.17G007200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2848283:2854604:1 gene:Manes.17G007200.v8.1 transcript:Manes.17G007200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKPVAEDGEDGARGSGTPSTKEAVKSLTAQIKDMALKFSGRQCKPCTGSSSFKKGQRPYPDFDMASEGVPYPYLGGGSSSSTPAWDFTSTGHHRGTRGDSRFSGMFSGDQTPGRAESISAQSCDVVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENFDRIMELYNVQRFNRQALHTPPRSEDEQRDSSYSRMGSARESPMAPSYTPRSHYKPSGSKGYFPSDAMEQVGTQHYHAGSSGYGIGGPKAEASSMEASRTTTSSRDEPSISVSNASEIESEWIEEDEPGVYITIRQLADGTRELRRVRFSREKFGEVHAKLWWEENRDRIQNQYL >Manes.17G007200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2848283:2854604:1 gene:Manes.17G007200.v8.1 transcript:Manes.17G007200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKPVAEDGEDGARGSGTPSTKEAVKSLTAQIKDMALKFSGRQCKPCTGSSSFKKGQRPYPDFDMASEGVPYPYLGGGSSSSTPAWDFTSTGHHRGTRGDSRFSGMFSGDQTPGRAESISAQSCDVVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENFDRIMELYNVQRFNRQALHTPPRSEDERDSSYSRMGSARESPMAPSYTPRSHYKPSGSKGYFPSDAMEQVGTQHYHAGSSGYGIGGPKAEASSMEASRTTTSSRDEPSISVSNASEIESEWIEEDEPGVYITIRQLADGTRELRRVRFSREKFGEVHAKLWWEENRDRIQNQYL >Manes.05G138726.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:17244840:17245232:1 gene:Manes.05G138726.v8.1 transcript:Manes.05G138726.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSTNETDEPVQMQEETLEHTPAALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSISNDIKMRYTAPWKT >Manes.14G098300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8157746:8159545:-1 gene:Manes.14G098300.v8.1 transcript:Manes.14G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSFTCISSSESVCIEADDQEVDEDSLFLSLGPPGQNITRYPNSRPSLNNKQKIDENPTTQSGVTVALHIGPPTIGASASNPNHIGSLVEGQYWIPSPAQILVGPTQFSCAVCNKTFNRYNNMQMHMWGHGSQYRKGPESLRGTKQGSSMLRLPCYCCAEGCKNNIDHPRSRPLKDFRTLQTHYKRKHGAKPFECRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAPHTVEICENEEEGGEDDDDDDDEDEEENNNADQGDNRGGHGPLFF >Manes.14G168300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27258961:27274940:-1 gene:Manes.14G168300.v8.1 transcript:Manes.14G168300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPCGSTSVAEWGIRPQFVIRSYMHTGVVTAQYGFNNKMSYLAATSSSLFSRNSFSILSYVGPSQASHHHRGSRFTVRADAVSDFYSILGVSKNATKSEIKNAYRKLARSYHPDVNKEPGAEQKFKEISNAYEVLSDDEKRSLYDRYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGGMGGMGGMGGRASRNRAVDGQDEYYNLVLTFKEAVFGVEKEIEITRLESCGTCNGSGAKPGTNPSKCSKCGGQGQVVSSARTPLGVFQQVMTCSSCGGTGETSTPCNTCSGDGRVRRTKRIGLKVPAGVDSGSRLRVRSEGNAGRRGGSPGDLFVIIEVIPDPVLKRDDTNILYTCKVSYIDAILGTTIQVPTVDGMVDLKVPAGTQPNTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSSEERKLIEELAELSKRKTASSRR >Manes.09G153100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34983200:34989651:-1 gene:Manes.09G153100.v8.1 transcript:Manes.09G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSITLSFSRPPLALPFCSSYSTFFQGTTLLCTTSKSRDDALVLGKSRCRTQRTRKGLTCNALFGLGVPELVVIAGVAALVFGPKKLPEVGKSIGKTVKSFQQAAKEFESELSKEPDSLSDSPGEKPTAVSEEKKQDVEISSSKESA >Manes.11G047250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5026033:5031563:1 gene:Manes.11G047250.v8.1 transcript:Manes.11G047250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QATVTAPPPRKINLISCEPMAHVSDIKLIRTDTTLDLSQKAEKGMLNKKSHASFYAPTHANLSSYKPMWDC >Manes.03G072700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11845584:11849670:1 gene:Manes.03G072700.v8.1 transcript:Manes.03G072700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEREKERGDKKMFVGVVMNCAAELKLLLGALLILCSLATLLQFLPSRFSISTSDLRFCISRITTTTTTTTTTTTSSSIAHPETPLNSSLATPPNPLPSPAQTGEQVLDNGIIKRAFNPYGAAAYNFITMGTYRGGLNTFAIIGLSSKPLHLYAKPTYQCEWVPQHSSSGNSSAVPITAAAYKILPDWGYGRVYTVVVVNCTFSQPVNSNNSGGKLFLHASTSGGGDRNFNITDRFEVLQEQAGSLNLSLFTSKPKYDYLYCGSSLYGGLSPQRVREWIAYHVRFFGERSHFVIHDAGGVHEEVMEVLKPWMDLGYVTLQDIREQERFDGYYHNQFMVVNDCLHRYKFMTKWMFFFDVDEYIYVPPKNTIKSVLDSLSDYNQFTIEQMPMSNKLCLSADYGRYYRKWGLEKLVYRDIKKGIRRDRKYAIQPKNVFATGVHMSQNLAGKTTHKTEGIIKYFHYHGTIAQRREPCRNLLNVTEINFENNPYVLDTTMRDIAWSVKKFEQRMIGARLQNTRQ >Manes.13G141700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35520459:35521488:1 gene:Manes.13G141700.v8.1 transcript:Manes.13G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKAMEEGLLFQTQTSVQEQQPLLENIQKLPKTPAQKAIRKTFKGTAHLAKLLPTGSVLAFQILSPILTHQGQCHTTTSISLTIALLSLCAFACFVLCFTDSFRDERGKVRYGMATFKGLWVIDGYSSSSLKLSPEEAANYRIRFIDFFHGFMSILVFGAVALFDKNVVDCLYPAPTDEVKDLLVVIPVGVGVICSVLFLVFPTKRHGIGSPLSRQ >Manes.09G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30577073:30578839:1 gene:Manes.09G104700.v8.1 transcript:Manes.09G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPVRPQFVLFGSSIVQFSYGWEGWGAALADIYARKADIVVRGYGGWNSRNALQILHQVFPKDASVQPSLVIVYFGGNDSMLPPSGAPSAYVPLDEYKRNMTIIGQHLKCLSKETRVIFLSVPPVNEEMIHEFYGDDTGRTNEGCRKYSEACLGVAQEIGIMSIDLYNAIQRKEDWLKTCFLDGIHLSAQGSEIVKNEILNVLQEKEPLLFWKTMASEFVGITPFDSENNPRIL >Manes.08G005200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:930330:940442:-1 gene:Manes.08G005200.v8.1 transcript:Manes.08G005200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHLFSTSQMFDGEQDQNWNNMHIEQPYANLARVGPAENGAFFYHAESMPLDGVHFASQRNPAPMSNAFISSSHSVEVSHYQPDAAGPSHDPFLHSSTVGTFCAVPENHAHASSSNYDRQTINGIEGDLFDLTMGNGRGPHKRKSPGVPSSCEGGSTSRYYGAGSSSDPSVPSELRLEKPNLDPQYMAWECITMAPSHRGNLSIGPESSIRNVRSRPALDLETNLSRTHLSSNSSHNSYHAGHPFDHSSSVDFSSQSSSAMTHNWSHTRTSTASGRMLVSDANGYTHETNHFLVGSSIPNASADVRGYHHDFISSRNPVVPQSFHSASAHSARGIRSSYSQRPSPTFRASSSSLRLGHMAPSDDGMPLVAENFSSRQPRLLSTAAWRNSDRNGRSRNSYERYRSLPNEPSLHDRFSSEGFMVVDRSAFYGSRNLFDQHRDMRLDIDNMSYEELLALGERIGSVSTGLDEDLISKCLTETVYRSSGQSEDEGTCVICLEEYKDMDDVGSLKFCGHNYHVSCIKKWLSMKNLCPICKASAVADNMKE >Manes.02G126700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9614064:9615601:-1 gene:Manes.02G126700.v8.1 transcript:Manes.02G126700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAFSRSRVAKLSTVLFQNLPNQLIHRNSLPSNPQIPASESNILYNRVYGLRQYHDGRPRGPLWRGKKLIGKEALFVILGLKRFKDDEEKLQKFIKTHVLRLLKMDMIAVLTELERQEEVSLSIQMQECNMALIILLGLWSYHKKFQVFQVIQKQDWYKPDVYLYKDLVIALTRTGKMDEAMKLWEAMRNENLFPDSQMYTEVIRGFLRDGSPADAMNIYEDMKKSPDPPEELPFRILLKGLLPHPLLRNRVKQDYEELFPEKHVYDPPEEIFGVY >Manes.13G111500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31672732:31683726:1 gene:Manes.13G111500.v8.1 transcript:Manes.13G111500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNWAGGRCSMSAMVWSLLGFLLFLHLYSLVSHNGGKGGENKFHSSHHPLIHELEEVEEEHIQIPSPRDKRSPRAAKRRPKRTTTLIDEFLDENSQLRHRFFPDIRSVIDPANDAGNNSLYYHPGRIWLDTEGNPIQAHGGGVLYDERSKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEEANETHDLHKSNVLERPKVIYNHKTGKYVMWMHIDDANYTKAAVGIAISDSPAGPFDYLYSKRPHGFESRDMTIFKDDDGMAYLIYSSEDNSDLHVGPLTEDYLDVTHFMRRILVGQHREAPALFKHQGIYYMITSGCTGWAPNEALAHAAESVVGPWETMANPCTGGNKIFRLTTFFSQSTFIIPLTGFPGSFIFMADRWNHADLRDSRYVWLPMIVGGPANRPLDLNFGFPVWPRVSIYWHRKWRLPSIWRV >Manes.13G111500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31672731:31683726:1 gene:Manes.13G111500.v8.1 transcript:Manes.13G111500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNWAGGRCSMSAMVWSLLGFLLFLHLYSLVSHNGGKGGENKFHSSHHPLIHELEEVEEEHIQIPSPRDKRSPRAAKRRPKRTTTLIDEFLDENSQLRHRFFPDIRSVIDPANDAGNNSLYYHPGRIWLDTEGNPIQAHGGGVLYDERSKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEEANETHDLHKSNVLERPKVIYNHKTGKYVMWMHIDDANYTKAAVGIAISDSPAGPFDYLYSKRPHGFESRDMTIFKDDDGMAYLIYSSEDNSDLHVGPLTEDYLDVTHFMRRILVGQHREAPALFKHQGIYYMITSGCTGWAPNEALAHAAESVVGPWETMANPCTGGNKIFRLTTFFSQSTFIIPLTGFPGSFIFMADRWNHADLRDSRYVWLPMIVGGPANRPLDLNFGFPVWPRVSIYWHRKWRLPSIWRV >Manes.13G111500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31672731:31683726:1 gene:Manes.13G111500.v8.1 transcript:Manes.13G111500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNKHRKPTTFRCNAGGRCSMSAMVWSLLGFLLFLHLYSLVSHNGGKGGENKFHSSHHPLIHELEEVEEEHIQIPSPRDKRSPRAAKRRPKRTTTLIDEFLDENSQLRHRFFPDIRSVIDPANDAGNNSLYYHPGRIWLDTEGNPIQAHGGGVLYDERSKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEEANETHDLHKSNVLERPKVIYNHKTGKYVMWMHIDDANYTKAAVGIAISDSPAGPFDYLYSKRPHGFESRDMTIFKDDDGMAYLIYSSEDNSDLHVGPLTEDYLDVTHFMRRILVGQHREAPALFKHQGIYYMITSGCTGWAPNEALAHAAESVVGPWETMANPCTGGNKIFRLTTFFSQSTFIIPLTGFPGSFIFMADRWNHADLRDSRYVWLPMIVGGPANRPLDLNFGFPVWPRVSIYWHRKWRLPSIWRV >Manes.13G111500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31672732:31683726:1 gene:Manes.13G111500.v8.1 transcript:Manes.13G111500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNWAGGRCSMSAMVWSLLGFLLFLHLYSLVSHNGGKGGENKFHSSHHPLIHELEEVEEEHIQIPSPRDKRSPRAAKRRPKRTTTLIDEFLDENSQLRHRFFPDIRSVIDPANDAGNNSLYYHPGRIWLDTEGNPIQAHGGGVLYDERSKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEEANETHDLHKSNVLERPKVIYNHKTGKYVMWMHIDDANYTKAAVGIAISDSPAGPFDYLYSKRPHGFESRDMTIFKDDDGMAYLIYSSEDNSDLHVGPLTEDYLDVTHFMRRILVGQHREAPALFKHQGIYYMITSGCTGWAPNEALAHAAESVVGPWETMANPCTGGNKIFRLTTFFSQSTFIIPLTGFPGSFIFMADRWNHADLRDSRYVWLPMIVGGPANRPLDLNFGFPVWPRVSIYWHRKWRLPSIWRV >Manes.13G111500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31672732:31683726:1 gene:Manes.13G111500.v8.1 transcript:Manes.13G111500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNKHRKPTTFRCNAGGRCSMSAMVWSLLGFLLFLHLYSLVSHNGGKGGENKFHSSHHPLIHELEEVEEEHIQIPSPRDKRSPRAAKRRPKRTTTLIDEFLDENSQLRHRFFPDIRSVIDPANDAGNNSLYYHPGRIWLDTEGNPIQAHGGGVLYDERSKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEEANETHDLHKSNVLERPKVIYNHKTGKYVMWMHIDDANYTKAAVGIAISDSPAGPFDYLYSKRPHGFESRDMTIFKDDDGMAYLIYSSEDNSDLHVGPLTEDYLDVTHFMRRILVGQHREAPALFKHQGIYYMITSGCTGWAPNEALAHAAESVVGPWETMANPCTGGNKIFRLTTFFSQSTFIIPLTGFPGSFIFMADRWNHADLRDSRYVWLPMIVGGPANRPLDLNFGFPVWPRVSIYWHRKWRLPSIWRV >Manes.13G111500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31672732:31683726:1 gene:Manes.13G111500.v8.1 transcript:Manes.13G111500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNKHRKPTTFRCNAGGRCSMSAMVWSLLGFLLFLHLYSLVSHNGGKGGENKFHSSHHPLIHELEEVEEEHIQIPSPRDKRSPRAAKRRPKRTTTLIDEFLDENSQLRHRFFPDIRSVIDPANDAGNNSLYYHPGRIWLDTEGNPIQAHGGGVLYDERSKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEEANETHDLHKSNVLERPKVIYNHKTGKYVMWMHIDDANYTKAAVGIAISDSPAGPFDYLYSKRPHGFESRDMTIFKDDDGMAYLIYSSEDNSDLHVGPLTEDYLDVTHFMRRILVGQHREAPALFKHQGIYYMITSGCTGWAPNEALAHAAESVVGPWETMANPCTGGNKIFRLTTFFSQSTFIIPLTGFPGSFIFMADRWNHADLRDSRYVWLPMIVGGPANRPLDLNFGFPVWPRVSIYWHRKWRLPSIWRV >Manes.06G136100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26386118:26387047:1 gene:Manes.06G136100.v8.1 transcript:Manes.06G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSLIIIFLAFFCITNSWAARFEPHLLESNDDFIKTSCEVTRYPDLCYQVLSPYASTIQDDHTQLANAALNVTLQTAESTSNMVLNLLKAHNQMPKEAGAIRDCVENMKDSVDELQQSLVAMKDLEGPDFEMKMSNIQTWVSAALTDEDTCMDGFEGNAMNGKVKETIRSYIERVSQLTSNALALINKLVN >Manes.12G053800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4986121:4987183:-1 gene:Manes.12G053800.v8.1 transcript:Manes.12G053800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQADAPDVQEVSEKQTEKSTQETPVLEEKPATSEEAEVVVHDEEEDEEEEQAKAAREEEWQNDVKDEVGSPFVDVAEPAASFDDEAEAEVEEDDMI >Manes.13G125100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33272766:33274275:1 gene:Manes.13G125100.v8.1 transcript:Manes.13G125100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTSLTREEEDSIIVSALQHVISGTTSSSSPNSIMQIIQDSASKCPVCNMNSVDCLGCNYFVSDQEQESGDGEGKGGGNRRRTKKNKYRGVRQRPWGKWAAEIRDPWKATRVWLGTFNTAEEAAMAYDKAAIRFRGKKAKTNFPLSKYTQMQITDNKEMEVYKLDVHGEGGSSSSKVEEEKNNNKQVNADPIEVDEEEEEEEDYLLDDMFMEK >Manes.02G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:650337:657105:1 gene:Manes.02G005900.v8.1 transcript:Manes.02G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRVATCNLNQWAMDFDCNLKNIKDSIAKAKEAGAVIRLGPELEITGYGCEDHFLELDTVTHAWDCLKEILLGDWTDGILCSFGMPVIMGSERYNCQVLCLNRQIIMIRPKMWLANDGNYRELRWFTAWKHNDQLVNFHLPNEVSKAINQDSVPFGYAFIQFLDTAVAAEVCEELFTPIPPHAELALNGVEVFMNASGSHHQLRKLDLRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCSCIVVNGEVVAQGSQFSLRDVEVVVAQLDLDAVASLRGSISSFQEQASCKTRVLSIAVPYNLCQPFNLQMSLSSPLKINYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGHYTNGQFPTDSKEFAKRIFYTVFMGSENSSEATRQRAKVLADEIGSWHLDVSIDGVISTLLSLFQTLTGKRPRYKIDGGSNTENLGLQNIQARIRMVLAFMLASLLPWAHNKTGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRSFLRWAAIHLGYSSLAEVEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFENLCYKWGSRLTPLEVANKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDEIVEELDGHKVALKSDDKEKLGASSNGVGMGVVAAGSGDPKAGLQF >Manes.02G221211.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21946409:21953974:-1 gene:Manes.02G221211.v8.1 transcript:Manes.02G221211.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPLSLKALYLYGNKFSGSLSKQSLCSLKDLQHLDLSYNEFGGTLPRCLGNLTSLTILDLSGNQLTGYLPSFWPPKLQYVDPSLNHLEGLFSFNYSSLENGWIPSFQLRTLIMQDCGLESIPEFLFHQFKLEVLDLSHNKLKGRFPYWLLHNNGGLEILNLMNNSFNGQLEIGAKMLSSMTYLNLARNHFEGDLLFSDDCQLIALDLSHNNFSGKIALFNLTRIADLQLNDNQFEGTLSSLLTNFSHQSYGPEVLHLSNNQLHGEIPHWMGNITGLEYHNLRDNLFQGRISCQLLSTGIKYLDLSYNSLSGLLPSCFNENSLQQINLQGNRFSGSIPETLLNISINLSDNELSGTILNKSGGNLSGLRVLLLRGNHFSGFIPNWLCQLNIVSLLDLLRNSFSGSIPHCLYNLSFGREGEGPLYGPFSDKLVEWGIGYWGSSKILLDNTASFDAEVDEESEFNKALNYMSGLDLSDNNLTGEILYELGALSHIHALNLSRNQLTGSIPRSFSNLSQIESLDLSYNILSGQIPVELIDLNFLEVFSVAHNNLSDRISDMKGQFSTFNSKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPKSPQEASEKWYEIDREIFLASFSITFIMFFLSAITILYVNPYWQ >Manes.16G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32200459:32204836:-1 gene:Manes.16G118400.v8.1 transcript:Manes.16G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHSSLSTLQFPSLLSKTRNIRGDKKQAAVSVSSRFRASTDIPDYLSADWLESRRKRPFGPRLNFSAEEAVQHQLDALKYNDQPRPDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHRERKILSSLLVKENKFKQRVWIRGTRPEEEEIFQFTMVQRVGGSWDGYWLTESLLNDGDAFAGGLAY >Manes.03G190100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30947196:30953103:1 gene:Manes.03G190100.v8.1 transcript:Manes.03G190100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATNGVAEVPSQSQGAPKHVKPSNAEVSNPEPGGRRLHLSVQIPPKPVGLDTSRSGKGYVHPQNSCKGSSSSSSGGLLRGLSFKKKGVVPDSERSFLINSDHTTVPESPVMANLRSAWQRCTSLPVTPASNLSPAVSTPISAKMPSESHKASKGAVRGVVSRSLSMSGRNIVIVRSSSFATRDENDLTNPSDDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAIRWFTTKGNKNCDVCGQEVKNLPVTLLRVTTSAQGNSRQEQGGHMRSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTRAVVIAAPFAFTLGLLSSIFAVILATSEGHLCYIGFIGYGVWYVYEPQLIVYSIFCLASSGCPEP >Manes.03G190100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30947196:30953103:1 gene:Manes.03G190100.v8.1 transcript:Manes.03G190100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATNGVAEVPSQSQGAPKHVKPSNAEVSNPEPGGRRLHLSVQIPPKPVGLDTSRSGKGYVHPQNSCKGSSSSSSGGLLRGLSFKKKGVVPDSERSFLINSDHTTVPESPVMANLRSAWQRCTSLPVTPASNLSPAVSTPISAKMPSESHKASKGAVRGVVSRSLSMSGRNIVIVRSSSFATRDENDLTNPSDEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAIRWFTTKGNKNCDVCGQEVKNLPVTLLRVTTSAQGNSRQEQGGHMRSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTRAVVIAAPFAFTLGLLSSIFAVILATSEGHLCYIGFIGYGVWYVYEPQLIVYSIFCLASSGCPEP >Manes.03G190100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30947196:30953103:1 gene:Manes.03G190100.v8.1 transcript:Manes.03G190100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATNGVAEVPSQSQGAPKHVKPSNAEVSNPEPGGRRLHLSVQIPPKPVGLDTSRSGKGYVHPQNSCKGSSSSSSGGLLRGLSFKKKGVVPDSERSFLINSDHTTVPESPVMANLRSAWQRCTSLPVTPASNLSPAVSTPISAKMPSESHKASKGAVRGVVSRSLSMSGRNIVIVRSSSFATRDENDLTNPSDEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAIRWFTTKGNKNCDVCGQEVKNLPVTLLRVTTSAQGNSRQEQGGHMRSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTRAVVIAAPFAFTLGLLSSIFAVILAIREYIWTFAALEFALIAILVHLFYSMLHLKAIYAILVSSVMGFGMSMSLNSLYIQYFAWRVQVAQNPSPV >Manes.03G190100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30947196:30952554:1 gene:Manes.03G190100.v8.1 transcript:Manes.03G190100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATNGVAEVPSQSQGAPKHVKPSNAEVSNPEPGGRRLHLSVQIPPKPVGLDTSRSGKGYVHPQNSCKGSSSSSSGGLLRGLSFKKKGVVPDSERSFLINSDHTTVPESPVMANLRSAWQRCTSLPVTPASNLSPAVSTPISAKMPSESHKASKGAVRGVVSRSLSMSGRNIVIVRSSSFATRDENDLTNPSDDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAIRWFTTKGNKNCDVCGQEVKNLPVTLLRVTTSAQGNSRQEQGGHMRSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTRAVVIAAPFAFTLGLLSSIFAVILAIREYIWTFAALEFALIAILVHLFYSMVSNISGFYFLPSSPSPKSNNK >Manes.03G190100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30947196:30953103:1 gene:Manes.03G190100.v8.1 transcript:Manes.03G190100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATNGVAEVPSQSQGAPKHVKPSNAEVSNPEPGGRRLHLSVQIPPKPVGLDTSRSGKGYVHPQNSCKGSSSSSSGGLLRGLSFKKKGVVPDSERSFLINSDHTTVPESPVMANLRSAWQRCTSLPVTPASNLSPAVSTPISAKMPSESHKASKGAVRGVVSRSLSMSGRNIVIVRSSSFATRDENDLTNPSDDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAIRWFTTKGNKNCDVCGQEVKNLPVTLLRVTTSAQGNSRQEQGGHMRSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTRAVVIAAPFAFTLGLLSSIFAVILAIREYIWTFAALEFALIAILVHLFYSMLHLKAIYAILVSSVMGFGMSMSLNSLYIQYFAWRVQVAQNPSPV >Manes.03G190100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30947196:30952554:1 gene:Manes.03G190100.v8.1 transcript:Manes.03G190100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATNGVAEVPSQSQGAPKHVKPSNAEVSNPEPGGRRLHLSVQIPPKPVGLDTSRSGKGYVHPQNSCKGSSSSSSGGLLRGLSFKKKGVVPDSERSFLINSDHTTVPESPVMANLRSAWQRCTSLPVTPASNLSPAVSTPISAKMPSESHKASKGAVRGVVSRSLSMSGRNIVIVRSSSFATRDENDLTNPSDEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAIRWFTTKGNKNCDVCGQEVKNLPVTLLRVTTSAQGNSRQEQGGHMRSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTRAVVIAAPFAFTLGLLSSIFAVILAIREYIWTFAALEFALIAILVHLFYSMVSNISGFYFLPSSPSPKSNNK >Manes.13G045700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5375300:5381843:1 gene:Manes.13G045700.v8.1 transcript:Manes.13G045700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLHHHQQQQQQLSLPKCSRQRCNEWIFRDVPSDITIEVNGGTFALHKFPLVSRSGRIRKLVAEHRDADISRVELLNLPGGAEPFELAAKFCYGINFEITSANVAQLCCVSDYLEMNEEFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDSIASKACAEQIASSFSRLEYSSSGRLHMNKQAKCEGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSSQTKIDLISTGHERLVVETIVNLLPVEKLAVPISFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATIDDLLIPSFRHAGDTLFDVDTVHRILVNFSQQDDSEDDMEDASVFESDSPRSPSQTALFKVSKLADNYLAEIAPDANLKLSKFMVIAETLPAHARTIHDGLYRAIDIYLKAHQGLSDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNSLCCSYGDEDHKPMHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQKSHSRKFMSSFSKKIGKLSFFGHSSSRGSSSPSKHSHRTDSRVIERTCASTD >Manes.13G045700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5375300:5381843:1 gene:Manes.13G045700.v8.1 transcript:Manes.13G045700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVLHLSVSTLWIFRDVPSDITIEVNGGTFALHKFPLVSRSGRIRKLVAEHRDADISRVELLNLPGGAEPFELAAKFCYGINFEITSANVAQLCCVSDYLEMNEEFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDSIASKACAEQIASSFSRLEYSSSGRLHMNKQAKCEGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSSQTKIDLISTGHERLVVETIVNLLPVEKLAVPISFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATIDDLLIPSFRHAGDTLFDVDTVHRILVNFSQQDDSEDDMEDASVFESDSPRSPSQTALFKVSKLADNYLAEIAPDANLKLSKFMVIAETLPAHARTIHDGLYRAIDIYLKAHQGLSDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNSLCCSYGDEDHKPMHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQKSHSRKFMSSFSKKIGKLSFFGHSSSRGSSSPSKHSHRTDSRVIERTCASTD >Manes.13G045700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5375301:5380180:1 gene:Manes.13G045700.v8.1 transcript:Manes.13G045700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVLHLSVSTLWIFRDVPSDITIEVNGGTFALHKFPLVSRSGRIRKLVAEHRDADISRVELLNLPGGAEPFELAAKFCYGINFEITSANVAQLCCVSDYLEMNEEFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDSIASKACAEQIASSFSRLEYSSSGRLHMNKQAKCEGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSSQTKIDLISTGHERLVVETIVNLLPVEKLAVPISFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATIDDLLIPSFRHAGDTLFDVDTVHRILVNFSQQDDSEDDMEDASVFESDSPRSPSQTALFKVSKLADNYLAEIAPDANLKLSKFMVIAETLPAHARTIHDGLYRAIDIYLKAHQGLSDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNSLCCSYGDEDHKPMHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQKSHSRKFMSSFSKKIGKLSFFGHSSSRGSSSPSKHSHRTDSRVIERTCASTD >Manes.13G045700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5375301:5380537:1 gene:Manes.13G045700.v8.1 transcript:Manes.13G045700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLHHHQQQQQQLSLPKCSRQRCNEWIFRDVPSDITIEVNGGTFALHKFPLVSRSGRIRKLVAEHRDADISRVELLNLPGGAEPFELAAKFCYGINFEITSANVAQLCCVSDYLEMNEEFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDSIASKACAEQIASSFSRLEYSSSGRLHMNKQAKCEGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSSQTKIDLISTGHERLVVETIVNLLPVEKLAVPISFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATIDDLLIPSFRHAGDTLFDVDTVHRILVNFSQQDDSEDDMEDASVFESDSPRSPSQTALFKVSKLADNYLAEIAPDANLKLSKFMVIAETLPAHARTIHDGLYRAIDIYLKAHQGLSDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNSLCCSYGDEDHKPMHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQKSHSRKFMSSFSKKIGKLSFFGHSSSRGSSSPSKHSHRTDSRVIERTCASTD >Manes.05G135500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23753223:23757484:-1 gene:Manes.05G135500.v8.1 transcript:Manes.05G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISNPLLSSNFFGSKILHSPPTPKTTKLPFLFPFSSTRKSQIAQAILNRKQPISDSLKSIPSQATLAALLVSSLTPQALALDNATPPTPPPVIEAQPTKPTPSSTSPFSQNLLLTAPKPQSQSASDLPDGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLIFPFLAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFGDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRAKHIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYVA >Manes.04G142600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34125049:34131659:-1 gene:Manes.04G142600.v8.1 transcript:Manes.04G142600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTGQSYPQVANIGEGLNDIYGKAEGGHTKLAPSMGTQDFIFPSQVDYSQSIARFPVHFVEPYFGGLLAAYGQQAMIHHPHMYGMASSRVPLPPELTKDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKTRKPYLHESRHLHALKRARGCGGRFLNVKKHVDSDKATGSAELHSAGNMSESEVHQPENHRDGASTPSCSDITSASNSDDIFQQPEFMFSGYHSHIVGTMQGR >Manes.04G142600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34125049:34131200:-1 gene:Manes.04G142600.v8.1 transcript:Manes.04G142600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQQNFHGAKKLTFQFQDQDSSSTQSTGQSYPQVANIGEGLNDIYGKAEGGHTKLAPSMGTQDFIFPSQVDYSQSIARFPVHFVEPYFGGLLAAYGQQAMIHHPHMYGMASSRVPLPPELTKDEPIFVNAKQYNAILRRRRYRAKLEAQNKLIKTRKPYLHESRHLHALKRARGCGGRFLNVKKHVDSDKATGSAELHSAGNMSESEVHQPENHRDGASTPSCSDITSASNSDDIFQQPEFMFSGYHSHIVGTMQGR >Manes.01G056800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24212155:24216175:-1 gene:Manes.01G056800.v8.1 transcript:Manes.01G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLLFLLLPFFILHLLSTATADDGAVMLKLASSLSPLPSGWSTKTSSGFCSWDGVGCDSSGRVTSISLPNQDLTGSLPSELASLSELQKLSLQNNRLSGDLPSFANLSNLQSLFLDSNMFTSFPPGFFKGLTSLQTLSIGNNSNLSPWELPTDLADSSALTTLEASRSNIFGSIPDIFASFPGLQNLRLSYNNLTGSLPRSFANSAIQNLWLNNQLMGLTGSIDVLSSMTQLSQVWLQKNQFTGPIPDLSKCLSLFDLQLRDNQFTGVVPPSIVSLPKLVNISLSNNKLQGPSPVFKSSIKVTNDGKNNYCTVSGKPCDEQVTALLEIAASLGYPSKLSDDWTANDACAGWNFVSCDPNNKVTTINLVKQGFSGTISPAFSNLTSLKNLYLNGNNLSGPIPDSLTKLAQLLTLDVSNNNLSGKVPAFPSSVKLITKPGNPLLGTDISTDGGNPSSSDTNGGSPTASPSSSGAGKGSGISGGIIAGIVIAVVIFIAVLSFVLYKYKKRRGRYGDVELDDSVQVLGKNGGGPSGSNGYNGLPTELHSQSSGGDSDRKMFEGGNVAVSIEVLRQVTNNFSENNIIGRGGFGVVYRGELHDGTKIAVKRMEATVMGTKGMNEFQAEIAVLSKVRHRHLVALLGYCVNGNERLLVYEFMPRGTLGDHLFDWQVHGYAPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEILTGRKALDDTMPDEKAHLVTWFRRVLINKESIPKAIDQTINLDEETLASIYKVAELAGHCTSREPYQRPDMGHAVNVLGPLVEQWRPASREEDEGYGIDLHMSLPQALQRWQADESSSSIFNDISYSQTQSSIPAKPAGFAESFKSTDLR >Manes.02G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:589844:592600:-1 gene:Manes.02G005000.v8.1 transcript:Manes.02G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQEIMVKPIEEIVTNTCPKPAAAALERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSASATSSSSSSSSSKKLPDLVTPSRLSQSSTQNPKIHQGQDLNLAFPSTPGITSFSELIQLPNIETNNKNNQISTSSTSTASQLSALELLTGISSRGLNSFMPMPVQDPNSVYTSGFSLQDFKPTLNFSLDGLGSGYGNLQGVQEAGGRLLFPFEDLKQVSSTSDIEQNREPGDSAGYWTGMLGGGGGSW >Manes.02G005000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:589664:592617:-1 gene:Manes.02G005000.v8.1 transcript:Manes.02G005000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQEIMVKPIEEIVTNTCPKPAAAALERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSASATSSSSSSSSSKKLPDLVTPSRLSQSSTQNPKIHQGQDLNLAFPSTPALELLTGISSRGLNSFMPMPVQDPNSVYTSGFSLQDFKPTLNFSLDGLGSGYGNLQGVQEAGGRLLFPFEDLKQVSSTSDIEQNREPGDSAGYWTGMLGGGGGSW >Manes.02G005000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:590303:592422:-1 gene:Manes.02G005000.v8.1 transcript:Manes.02G005000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQEIMVKPIEEIVTNTCPKPAAAALERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSASATSSSSSSSSSKKLPDLVTPSRLSQSSTQNPKIHQGQDLNLAFPSTPALELLTGISSRGLNSFMPMPVQDPNSVYTSGFSLQDFKPTLNFSLDGLGSGYGNLQGVQEAGGRLLFPFEDLKQVSSTSDIEQNREPGDSAGYWTGMLGGGGGSCFF >Manes.02G005000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:590303:592422:-1 gene:Manes.02G005000.v8.1 transcript:Manes.02G005000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQEIMVKPIEEIVTNTCPKPAAAALERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSASATSSSSSSSSSKKLPDLVTPSRLSQSSTQNPKIHQGQDLNLAFPSTPALELLTGISSRGLNSFMPMPVQDPNSVYTSGFSLQDFKPTLNFSLDGLGSGYGNLQGVQEAGGRLLFPFEDLKQVSSTSDIEQNREPGDSAGYWTGMLGGGGGSWFEASFRLASF >Manes.02G125300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9502545:9504454:-1 gene:Manes.02G125300.v8.1 transcript:Manes.02G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNHGRLVLVPCPYQGHISPMLQLGTCLQSLGFSVTVVQTNYNSPKHLNHPNLTFLSIPDGLSDDEISSGYHTSLVLAINVNCLAPLEECLVRMMKNQQPHEELVGVIYDELMYFAEVVANRLELPSIIFRTNNAATFRSRDFLLQLMIGGHLPFSDSASQELVPGHYPLKFKDLPISNFGISENILQALTNMRNIRTSSAVIWNTTDSLEESPLAQIKQECPVPIFSVGPIHKFDTAASSSSLLEEDTSCITWLDKQARKSVIYISLGSLASMNVSDFSEMAWGLANSRQLFLWVVRPGSVIGSEWVESLTNDYKEAVQSRGCIVQWAPQKQVLRHEAVGLFWTHCGWNSTLESICEGVPMICSPFFGDQKVTARYVSEVWRVGTHMENKWERGEIEKIIRMLMADDEGEETRQRAIDLKNKVEACAREGGSSYNSVKKLVDFIMSLKLRD >Manes.14G027600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2518860:2527174:1 gene:Manes.14G027600.v8.1 transcript:Manes.14G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFLQTYKSLISQISLSVFLTLLLILLKVPILFLQGLHTYIHPENLAQQNGVKAAIRRPSSSSDSASGLDGYQNLSSKSAADFKRRNKSKEKFEFDENNAQIFRLKLDEAHLQSRLYFHDYWYSFVYSSVALSCLLLYKYLDVVEHDEIFAIGSLIPLILGFIGLSKLFLSLARVSFEKSASKRSEKQLSALLGVLGFLFGLMICSGVFHSVIDFDYRSVDGYGRVFVAFLMGCLAGFLYMPAGKNARAFWLGTDQLRSNMTMISCGWLARIILHANYLLSLFTTLLWINPLADILINKSVYSKSIHPNSNTSAEYVDKLVGNLGITQSEFSKFRLWCLFCSGLLQIVALWPNMQMYLNEALLCWYQRLHASKVPDLDFSRAKVFLHNHYLCLVVLQFFAPPTLALLFLGLSQIDIPFKKLQLLCSLLPCTAFVKEVTLLMAWWVIFLWAVFTSASLFLYRRGILYVS >Manes.07G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4118480:4119202:-1 gene:Manes.07G036500.v8.1 transcript:Manes.07G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSKGRRKLEIVKISKESNLLVTFSKRRYGVFKKASELSILCGAEITIIVFSPTMKVFSFGHPSVETVIDRFLYGNSTQTSGVLQLVQTHRNARVRDLNLQLTQVTTQLNEEKKRGEKLDQGRKAGDGQHWWESPIEELDLPKLEQLKTSLEILRENVAKRVEELLIQTTNHTQFYNNPNLAPVHEALPFDPNNKVIDPNMIYDLGFPNRNGFF >Manes.15G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9804734:9810408:-1 gene:Manes.15G123100.v8.1 transcript:Manes.15G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVMEYEEIAKQKLPKMVFDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTSVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVKWLQTITSLPILVKGVLTAEDARLSIQNGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGIRKVLQMLREEFELTMALSGCRSLREITRNHIVADWDLPRPVPRL >Manes.15G123100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9804734:9810408:-1 gene:Manes.15G123100.v8.1 transcript:Manes.15G123100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVMEYEEIAKQKLPKMVFDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMTTSVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVKWLQTITSLPILVKGVLTAEDARLSIQNGAAGIIVSNHGARQLDYVPATIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGIRKVLQMLREEFELTMALSGCRSLREITRNHIVADWDLPRPVPRL >Manes.05G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3959520:3963156:-1 gene:Manes.05G047600.v8.1 transcript:Manes.05G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSAMSLQLDGFETIQMAQTQILQIRKWVQSMGDELSRPLNHAGMAFSDCVKLYSESEFRLSQLLLNENETHDDTRMWLSGVLTNHRTCLNGLNEKGFDEIQLVTHNLTFWLNKALALQTQGSSIRKGVPRRPQDNQVGGTLTSWSPTTSKADFVVANDGSGTHRKINDVIAALKKFGSKRTRRVIIYVKAGVYNEKIEIDHSVKNVMLVGDGIDRTIITGNRNVADGDTTFGSATVGVSGDGFWARDITFENTAGPYKAQAVALRASSDFSVFYRCSFKGYQDTLYVLTMRQFYRDCRIYGTIDFIFGNAAVVFQNCDIFIRRPNHGQGNFITAQSRDGPHENTGISVHKSRIRPTPEFAAVENSFRTYLGRPWREYSRTVFMETDIDGLVDPKGWGEWHGNFALSTLFYAEYKNTGMGSSTARRVNWPGFHVFNSPQEAGPFCVGKLIQGESWIPVTGVPFQLGV >Manes.12G079600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10883747:10890260:1 gene:Manes.12G079600.v8.1 transcript:Manes.12G079600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQHHQIMPIVDGSGSIDEEMGRVSLVGVSSPTCADGKTVGDETEMEMVNLVAGSNSVVPDGSVVESWLASNEIDSGNLNLPTASSAFADAGSHVNNVVKSDKDDGRSDSESASESSSSSDSSSSSDEEEEEEEETSDEEENEEEVKVQLHREVEEGEIEDGEIRDVNGEVIVGKNDDCEKEEEDEDRMVEWSQDEFDDINEDEEEDAVVSSGPIRSKNELMVLPPVPSVDATLQPHHQMMPVGTVLSIIGAQAQVIVEGTEKHNPLNEGSILWITENRSPLGVVDEIFGPVQHPYYVVRYNSESEVPAGISQGTLISFVPEFANHILNDKNLYKKGYDASGENDEELSDDAEFSDDEKEAEYRRMQKISKRNMNCQTVGHKKNNRKKVNNKNGNWKNNTPSGNQTLMGAGQSIPNQNQHNKSSIGAPLNNSSSSATGHDFQSGSGFLPSFSSMAPTAGVFPPSDGVWVNGLPCQQSQNAVIPGGFPANNMSWPAQNQLLRPFQMPFHQQFNPSQGSLPNGVLPVGQMNLSAGPLPWPVQNCFNPAAFGMGFQVQPAHPATNMGSQGMIFNQAPAVIPDNLVQSPQQFNLGASSSRGKKPYHHRGGGRFAGGRGRHSSK >Manes.12G079600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10883747:10890260:1 gene:Manes.12G079600.v8.1 transcript:Manes.12G079600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQHHQIMPIVDGSGSIDEEMGRVSLVGVSSPTCADGKTVGDETEMEMVNLVAGSNSVVPDGSVVESWLASNEIDSGNLNLPTASSAFADAGSHVNNVVKSDKDDGRSDSESASESSSSSDSSSSSDEEEEEEEETSDEEENEEEVKVQLHREVEEGEIEDGEIRDVNGEVIVGKNDDCEKEEEDEDRMVEWSQDEFDDINEDEEEDAVVSSGPIRSKNELMVLPPVPSVDATLQPHHQMMPVGTVLSIIGAQAQVIVEGTEKHNPLNEGSILWITENRSPLGVVDEIFGPVQHPYYVVRYNSESEVPAGISQGTLISFVPEFANHILNDKNLYKKGYDASGENDEELSDDAEFSDDEKEAEYRRMQKISKRNMNCQTVGHKKNNRKKVNNKNGNWKNNTPSGNQTLMGAGQSIPNQNQHNKSSIGAPLNNSSSSATGHDFQSGSGFLPSFSSMAPTAGVFPPSDGVWVNGLPCQQSQNAVIPGGFPANNMSWPAQNQLLRPFQMPFHQQFNPSQGSLPNGVLPVGQMNLSAGPLPWPVQNCFNPAAFGMGFQVQPAHPATNMGSQGMIFNQAPAVIPDNLVQSPQQFNLGASSSRGKKPYHHRGGGRFAGGRGRHSSK >Manes.12G079600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10883747:10890260:1 gene:Manes.12G079600.v8.1 transcript:Manes.12G079600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQHHQIMPIVDGSGSIDEEMGRVSLVGVSSPTCADGKTVGDETEMEMVNLVAGSNSVVPDGSVVESWLASNEIDSGNLNLPTASSAFADAGSHVNNVVKSDKDDGRSDSESASESSSSSDSSSSSDEEEEEEEETSDEEENEEEVKVQLHREVEEGEIEDGEIRDVNGEVIVGKNDDCEKEEEDEDRMVEWSQDEFDDINEDEEEDAVVSSGPIRSKNELMVLPPVPSVDATLQPHHQMMPVGTVLSIIGAQAQVIVEGTEKHNPLNEGSILWITENRSPLGVVDEIFGPVQHPYYVVRYNSESEVPAGISQGTLISFVPEFANHILNDKNLYKKGYDASGENDEELSDDAEFSDDEKEAEYRRMQKISKRNMNCQTVGHKKNNRKKVNNKNGNWKNNTPSGNQTLMGAGQSIPNQNQHNKSSIGAPLNNSSSSATGHDFQSGSGFLPSFSSMAPTAGVFPPSDGVWVNGLPCQQSQNAVIPGGFPANNMSWPAQNQLLRPFQMPFHQQFNPSQGSLPNGVLPVGQMNLSAGPLPWPVQNCFNPAAFGMGFQVQPAHPATNMGSQGMIFNQAPAVIPDNLVQSPQQFNLGASSSRGKKPYHHRGGGRFAGGRGRHSSK >Manes.12G079600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10883747:10890260:1 gene:Manes.12G079600.v8.1 transcript:Manes.12G079600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDKVGWEKSESRYCGVETEFNEDIPQLLSYNISSGGFDFVVAPLVLPPVPSVDAMLRQHHQIMPIVDGSGSIDEEMGRVSLVGVSSPTCADGKTVGDETEMEMVNLVAGSNSVVPDGSVVESWLASNEIDSGNLNLPTASSAFADAGSHVNNVVKSDKDDGRSDSESASESSSSSDSSSSSDEEEEEEEETSDEEENEEEVKVQLHREVEEGEIEDGEIRDVNGEVIVGKNDDCEKEEEDEDRMVEWSQDEFDDINEDEEEDAVVSSGPIRSKNELMVLPPVPSVDATLQPHHQMMPVGTVLSIIGAQAQVIVEGTEKHNPLNEGSILWITENRSPLGVVDEIFGPVQHPYYVVRYNSESEVPAGISQGTLISFVPEFANHILNDKNLYKKGYDASGENDEELSDDAEFSDDEKEAEYRRMQKISKRNMNCQTVGHKKNNRKKVNNKNGNWKNNTPSGNQTLMGAGQSIPNQNQHNKSSIGAPLNNSSSSATGHDFQSGSGFLPSFSSMAPTAGVFPPSDGVWVNGLPCQQSQNAVIPGGFPANNMSWPAQNQLLRPFQMPFHQQFNPSQGSLPNGVLPVGQMNLSAGPLPWPVQNCFNPAAFGMGFQVQPAHPATNMGSQGMIFNQAPAVIPDNLVQSPQQFNLGASSSRGKKPYHHRGGGRFAGGRGRHSSK >Manes.12G079600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10883747:10890260:1 gene:Manes.12G079600.v8.1 transcript:Manes.12G079600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQHHQIMPIVDGSGSIDEEMGRVSLVGVSSPTCADGKTVGDETEMEMVNLVAGSNSVVPDGSVVESWLASNEIDSGNLNLPTASSAFADAGSHVNNVVKSDKDDGRSDSESASESSSSSDSSSSSDEEEEEEEETSDEEENEEEVKVQLHREVEEGEIEDGEIRDVNGEVIVGKNDDCEKEEEDEDRMVEWSQDEFDDINEDEEEDAVVSSGPIRSKNELMVLPPVPSVDATLQPHHQMMPVGTVLSIIGAQAQVIVEGTEKHNPLNEGSILWITENRSPLGVVDEIFGPVQHPYYVVRYNSESEVPAGISQGTLISFVPEFANHILNDKNLYKKGYDASGENDEELSDDAEFSDDEKEAEYRRMQKISKRNMNCQTVGHKKNNRKKVNNKNGNWKNNTPSGNQTLMGAGQSIPNQNQHNKSSIGAPLNNSSSSATGHDFQSGSGFLPSFSSMAPTAGVFPPSDGVWVNGLPCQQSQNAVIPGGFPANNMSWPAQNQLLRPFQMPFHQQFNPSQGSLPNGVLPVGQMNLSAGPLPWPVQNCFNPAAFGMGFQVQPAHPATNMGSQGMIFNQAPAVIPDNLVQSPQQFNLGASSSRGKKPYHHRGGGRFAGGRGRHSSK >Manes.12G079600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10883747:10890260:1 gene:Manes.12G079600.v8.1 transcript:Manes.12G079600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDKVGWEKSESRYCGVETEFNEDIPQLLSYNISSGGFDFVVAPLVLPPVPSVDAMLRQHHQIMPIVDGSGSIDEEMGRVSLVGVSSPTCADGKTVGDETEMEMVNLVAGSNSVVPDGSVVESWLASNEIDSGNLNLPTASSAFADAGSHVNNVVKSDKDDGRSDSESASESSSSSDSSSSSDEEEEEEEETSDEEENEEEVKVQLHREVEEGEIEDGEIRDVNGEVIVGKNDDCEKEEEDEDRMVEWSQDEFDDINEDEEEDAVVSSGPIRSKNELMVLPPVPSVDATLQPHHQMMPVGTVLSIIGAQAQVIVEGTEKHNPLNEGSILWITENRSPLGVVDEIFGPVQHPYYVVRYNSESEVPAGISQGTLISFVPEFANHILNDKNLYKKGYDASGENDEELSDDAEFSDDEKEAEYRRMQKISKRNMNCQTVGHKKNNRKKVNNKNGNWKNNTPSGNQTLMGAGQSIPNQNQHNKSSIGAPLNNSSSSATGHDFQSGSGFLPSFSSMAPTAGVFPPSDGVWVNGLPCQQSQNAVIPGGFPANNMSWPAQNQLLRPFQMPFHQQFNPSQGSLPNGVLPVGQMNLSAGPLPWPVQNCFNPAAFGMGFQVQPAHPATNMGSQGMIFNQAPAVIPDNLVQSPQQFNLGASSSRGKKPYHHRGGGRFAGGRGRHSSK >Manes.04G138300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33780132:33781331:1 gene:Manes.04G138300.v8.1 transcript:Manes.04G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVPSFFGSRRTNVFDPFSLDIWDPFDGLFTSTLANAPSSARETSAFVTARIDWKETPEAHIFKADLPGLKKEEVKVEVEEGRILQISGERSKEQEEKNDKWHRIERSCGKFLRRFRLPENAKMDEVKASMENGVLTVTVPKEEEKKPQVKSIDISG >Manes.16G005201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:614674:615198:-1 gene:Manes.16G005201.v8.1 transcript:Manes.16G005201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVRTFRLMGLIQKTQELDQFHPSPSLNFELFSSKKSQISSNKRKAANQSRLVSYISCEKNLKSQAATSSKLPQFSNCTPSHNLNIILRLICLTKEDAFH >Manes.03G208900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32433667:32434418:1 gene:Manes.03G208900.v8.1 transcript:Manes.03G208900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKGVAKHIVLVKFKEEVSAEEIEQLIKGYANLVNLIPPMKSFRWGIDAGIENLTQGYTHAFESTFETTQGIAEYVAHPAHIEFSNQLAPALHQVLTINYQSNTVHL >Manes.16G088300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29459283:29463298:-1 gene:Manes.16G088300.v8.1 transcript:Manes.16G088300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEADSYNEVKPKAGDCQEVSINKSVMDAATGADGDTGSLLLLRTNSSRPTAMATSGPNAAVPASDQLTIFYGGSVLVFDAIPAETAREIMLIAAAAAAAVKPAEVKKTVSGSPAGGTPVLTRSPSLQSATSALASPRAQPYPVHQGSSLCKLQAELPIARRHSLQRFFEKRRDRLCSKSPYPTPPATKMAETTKPDFSAEVSPDAGCLGKPLAPEKEIQPKVAANVA >Manes.10G094800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24200831:24202867:-1 gene:Manes.10G094800.v8.1 transcript:Manes.10G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSMFEKTKLISSLKMPVRFRTSGSHHCSFWFLLLLHLPFLHAISFNYPDFSNLQNLTLTGDAFPLEGVLSLTRNRADANQIDSVGRAVYFQDLHLWDGSTGKVADFVTHFSFNISMLEPPRSGDGIAFFLAPNGSHVPENAWGECLALISNCSSLNTTGKSIVAVEFDTYKNDWDPNDNHVGINVNSIRSAANITWSRSIKNGSKANAWVTYNSQTRNLSLFLTYDENPVFNGNDSSLSYEIDLSKVLPEWVTVGFSSSTGFSTEIHYILSWEFNSTEISSKPDVGGGGGGGGGGGGGKSTAIIVGGVIAGLVAIGGLISILIFAWRRNKRKKEEDVESDDSMDHEFEQGTGPKRFSYEELVKATSNFAEEGKLGQGGFGGVYKGCLSDLTVAVKRVSKGSKQGRKEYMSEVKIISKLRHKNLVQLVGWCHEKGELLLIYEFMPNGSLDSHLFKRENQLSWAVRYKIALRLASALLYLHEEWEQCVVHRDIKSSNVMLDSNFNTKLGDFGLARLMDNELGLKTTGLAGTFGYMAPEYISTGKASKGSDVFSFGVVALEIACGRRSMEARNEEAQISLVGWAWEAYGNGRILDVGDRRLGMEFNVEEMQCLLTVGLRCAHPDFNFRPSIRQALQVLNFEAALPNLPARMPVPTYDVPTSSTGSTEPLLSDSSLPVGR >Manes.13G026200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3489587:3492469:1 gene:Manes.13G026200.v8.1 transcript:Manes.13G026200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLVRLLLAEGLIQEKPGDIMEDIAANIIRELIALGMLHQERNGTELKVPSMYRQVSLIKSEKQDFLANAANSPLRVVIYDDGKDITSNFKSLIIRSLFVITAERCSSSFGATRTRGVSRASVETFCGLQFLLVLDLDGEVEYLPNEVGDLVHLRYLGLVNSELNELPQTLGNLQKLQTLDIRMCGKLHGLPIGVLSIQNLRHLLMSRCINDGEIRVPKGIGTLANLYTCTGVYAGDGIASELGTLTQLRELGVKRVSEEHASELYAAIMKMKNLISLSLEAETAYTDEDERLALFPEFELFSPPPLVQELCLDGGLVEMPVWLASMSNLTRLELYFSNLTEPPSTVLQFLPKLRHLSLHDAYRARCIDKEFCQEGGFPELQTLNITSTFLVDWTEIVNGAFPRLRSLSFNCSMLRFLPEGLQNISTLEELSLIPLHGDLARRLNSVENYKINHILKLEASFHYQRFPWIAYVCLRRRMLL >Manes.13G026200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3489587:3492469:1 gene:Manes.13G026200.v8.1 transcript:Manes.13G026200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLVRLLLAEGLIQEKPGDIMEDIAANIIRELIALGMLHQERNGTELKVPSMYRQVSLIKSEKQDFLANAANSPLRVVIYDDGKDITSNFKSLIIRSLFVITAERCSSSFGATRTRGVSRASVETFCGLQFLLVLDLDGEVEYLPNEVGDLVHLRYLGLVNSELNELPQTLGNLQKLQTLDIRMCGKLHGLPIGVLSIQNLRHLLMSRCINDGEIRVPKGIGTLANLYTCTGVYAGDGIASELGTLTQLRELGVKRVSEEHASELYAAIMKMKNLISLSLEAETAYTDEDERLALFPEFELFSPPPLVQELCLDGGLVEMPVWLASMSNLTRLELYFSNLTEPPSTVLQFLPKLRHLSLHDAYRARCIDKEFCQEGGFPELQTLNITSTFLVDWTEIVNGAFPRLRSLSFNCSMLRFLPEGLQNISTLEELSLIPLHGDLARRLNSVENYKINHILKLEASFHYQRFPWIAYVGSPHAVLKQA >Manes.13G026200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3489587:3492469:1 gene:Manes.13G026200.v8.1 transcript:Manes.13G026200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNHKTGESSCLATLTSNYAKLPDHLKRCFDYCCISIRYFMEKGKLVRLLLAEGLIQEKPGDIMEDIAANIIRELIALGMLHQERNGTELKVPSMYRQVSLIKSEKQDFLANAANSPLRVVIYDDGKDITSNFKSLIIRSLFVITAERCSSSFGATRTRGVSRASVETFCGLQFLLVLDLDGEVEYLPNEVGDLVHLRYLGLVNSELNELPQTLGNLQKLQTLDIRMCGKLHGLPIGVLSIQNLRHLLMSRCINDGEIRVPKGIGTLANLYTCTGVYAGDGIASELGTLTQLRELGVKRVSEEHASELYAAIMKMKNLISLSLEAETAYTDEDERLALFPEFELFSPPPLVQELCLDGGLVEMPVWLASMSNLTRLELYFSNLTEPPSTVLQFLPKLRHLSLHDAYRARCIDKEFCQEGGFPELQTLNITSTFLVDWTEIVNGAFPRLRSLSFNCSMLRFLPEGLQNISTLEELSLIPLHGDLARRLNSVENYKINHILKLEASFHYQRFPWIAYVGSPHAVLKQA >Manes.13G026200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3489587:3492499:1 gene:Manes.13G026200.v8.1 transcript:Manes.13G026200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFRFTCKTDDGDSFIADLQRRKSQVDGVPRTSVEVGSSSSMSSTNHKTGESSCLATLTSNYAKLPDHLKRCFDYCCISIRYFMEKGKLVRLLLAEGLIQEKPGDIMEDIAANIIRELIALGMLHQERNGTELKVPSMYRQVSLIKSEKQDFLANAANSPLRVVIYDDGKDITSNFKSLIIRSLFVITAERCSSSFGATRTRGVSRASVETFCGLQFLLVLDLDGEVEYLPNEVGDLVHLRYLGLVNSELNELPQTLGNLQKLQTLDIRMCGKLHGLPIGVLSIQNLRHLLMSRCINDGEIRVPKGIGTLANLYTCTGVYAGDGIASELGTLTQLRELGVKRVSEEHASELYAAIMKMKNLISLSLEAETAYTDEDERLALFPEFELFSPPPLVQELCLDGGLVEMPVWLASMSNLTRLELYFSNLTEPPSTVLQFLPKLRHLSLHDAYRARCIDKEFCQEGGFPELQTLNITSTFLVDWTEIVNGAFPRLRSLSFNCSMLRFLPEGLQNISTLEELSLIPLHGDLARRLNSVENYKINHILKLEASFHYQRFPWIAYVGSPHAVLKQA >Manes.01G191150.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36661892:36664806:1 gene:Manes.01G191150.v8.1 transcript:Manes.01G191150.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKITESIQTEQACTVRILNYRKNKSTFWNLLHISPVRNATGKDGLPLPALSCCQKGSHVTLRDL >Manes.01G191150.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36661891:36665230:1 gene:Manes.01G191150.v8.1 transcript:Manes.01G191150.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKITESIQTEQACTVRILNYRKNKSTFWNLLHISPVRNATGWSATASALLLPKGVPRHP >Manes.01G191150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36662469:36663154:1 gene:Manes.01G191150.v8.1 transcript:Manes.01G191150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCICRTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKITESIQTEQACTVRILNYRKNKSTFWNLLHISPVRNATGKVLCMH >Manes.01G191150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36662413:36664807:1 gene:Manes.01G191150.v8.1 transcript:Manes.01G191150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCICRTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKITESIQTEQACTVRILNYRKNKSTFWNLLHISPVRNATGKDGLPLPALSCCQKGSHVTLRDL >Manes.12G152600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36065286:36067173:-1 gene:Manes.12G152600.v8.1 transcript:Manes.12G152600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGFPTGGNPPPPPGRSRPPQPPHLQPSSSPSPSPPKKQIQIQGPRPTALKVHQASHKIKKPPLPPQQQPVIIYAVSPKIIHTEVSDFMAVVQRLTGLSSGDFSGDGEVSPEARLAATEKASPRDRSSASIEEGDNLMGMLEGVEISQIPGILSPAPGMLPPVPSGFFSPVTDPKFFYDINSPFGSSSFVASPSTLLSAPIFSPLPSPDIFNLLMDFEEEKRGEGVEEVEE >Manes.12G152600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36065286:36067173:-1 gene:Manes.12G152600.v8.1 transcript:Manes.12G152600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGFPTGGNPPPPPGRSRPPQPPHLQPSSSPSPSPPKKQIQIQGPRPTALKVHQASHKIKKPPLPPQQQPVIIYAVSPKIIHTEVSDFMAVVQRLTGLSSGDFSGDGEVSPEARLAATEKASPRDRSSASIEEGDNLMGMLEGVEISQIPGILSPAPGMLPPVPSGFFSPTFRHWSLLRLIPLT >Manes.11G152134.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31646188:31670755:-1 gene:Manes.11G152134.v8.1 transcript:Manes.11G152134.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCSILHNNSFTGIIPEGIGELKELEVLDFGNNNFSGPLPPVLDSSLSLTILLLDNNRLLSNLSPEIHRLETHSEFQVDENQLASAAKGPSYNERSALRNAVQTENAINKRQLQVANAPRVNESPYLRSRFSVPEAPSESGKAPPRSVAPPFSLLPSPPVNNSIQSPPPEPNPAPSSPPAVVSLPTPLEPNPPSASPNGSASNPLLVPTPPSSNNPRKPSSSKKHVSVIAGAIGGALLAMSIVIFYVYKINKATVKPWATGLSGQLQKAFVTGVSKLKKDLSLKQAVKISVV >Manes.03G059800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:6279239:6280295:1 gene:Manes.03G059800.v8.1 transcript:Manes.03G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPTLVAQKLSNMIRVAFLVIQNGISKTKFMLHLHLIIKRGKILAKALNDLLLEHETSLSCRSHDLHMSFVSPAVAVGCRPQDVHMSFVSPRDYEFSCSSTPSYRPYRRRTHYNHRKDKGYYGDTRYHAPPHAWNDVESETGDVTEASVNNLVGRGFGWSPVVREVRITDSPFSVRDSGDREDCPVDKEAEKFIERFYKELRVQKRMAAREAANRNMI >Manes.14G136000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9428793:9430247:-1 gene:Manes.14G136000.v8.1 transcript:Manes.14G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPPSCSFSLSLCRLKGLKYPQVRSQIFRDDGASANIVDANMGVLRERIEEVRVKERLDKCCRLQNGWNYGSGYEHKHKRDDVLAEGLQTICLAGGALGFVFLSGSISMVLVSLLVHFIY >Manes.11G076000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11121632:11133363:-1 gene:Manes.11G076000.v8.1 transcript:Manes.11G076000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKKKEVIQLERESVIPILKPKLIMTLANLIEHKSDRAEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVTGTQKLQQQKLSPEEIDVLEQNFLTYLFQVMDKSNFKIASNEEIDIALSGQYLLNLPIKVDESKLDKQLLKKYFAEHPHEDLPDFADKYIIFRRGIGIDRTTDYFVMEKVDMLIARFWAFILRVTRIEKILPRRSSGWQKKDPKKNDEINSEAAQDDLYVERIRLENMELSFRNLLSSTTIQEPTFDRIIVVYRPASSKSKTERGIYVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLGSAIVGLVAVISSVEMPKADLWVIFAVLSTVIGYFAKTYFTFQQNLAAYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLQDLDLRCEELIQEEFGESCNFDVDDAVQKLEKLGIVARDTLGRYYCVGLKRANEIIGTTTEELVLKAKQGVST >Manes.06G008750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1390793:1397299:-1 gene:Manes.06G008750.v8.1 transcript:Manes.06G008750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVFSSVVRGLLNSGSDSRYISTATAATTADILKPTEIWTELRSRNGSLFGVFYWRRMMSTSAETNLTEKDAKQAEMEAESVKTINDAVISSYWGISRPKILREDGTEWPWNCFMPWETYQSNTSIDLTKHHVPKTFLDKFAYRTVKLLRVLVCLLDFCVKLSGSLNKFIKHIAETVWLPRHDVINCGCCAWHGRRDAAALEVSTQIPAKWWLD >Manes.15G027700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2197792:2202134:1 gene:Manes.15G027700.v8.1 transcript:Manes.15G027700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVMEVFCGPPKTKAKSTAEGGRKIKGKVLLMKKNVLDFNDIKASCLDRFYELFGKGVSVQLISSVRKDPANELRGRLGKAAYLEKWVSGITTITAGETEFDVSFDWDESMGVPGAFIIKNHHHSQFYLKTVTLDDVPGHGRLHFVCNSWVYPTHRYKYHRVFFSNKTYLPCHTPDTLRKYREEELINLRGDGKGELKEWDRVYDYAYYNDLGSPDKGEDYARPVLGGSQQYPYPRRGRTGRKPTKTDPNSESRLPLLSLNIYVPRDECFSQLKFSDFLAYALKSLVQVLIPEFKSLCNKTINEFDSFEDVHNLYEGGISLSSAITTKKLRKNIPWEMFKELLRDDGELLFKFPTPDVIKVDKSAWRTDEEFGREMLAGVNPVIISRLREFPPKSKLDPKEFGNHTSTITEGQMEENMNGLTVDQAIKSNRLFILDHHDALMPYLTKINSTTTKTYATRTVLLLQDDGTLKPLAIELSLPHPQGQRHGAVSKVFTPAEDGVEGSVWQLAKAYAAVNDSGYHQLISHWLNTHAVIEPFVIATNRQLSVLHPIYKLLQPHFRDTMHINALARQILINAGGVLENTVFPAKYAMELSAIVYKSWVFPEQALPADLLKRGVAVPDPSQPHGLKLLIEDYPYAVDGLEIWSAIKTWVEEYCAFYYPTNEMVQDDNELQSWWTEIRNVGHGDKKDEPWWPEMQTQYDLTQTCTIIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYDELERDPDLVFLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTTEWTSDPEPKAAFERFAKNLEEIEKKIIDMNNDKKWKNRVGPVKVPYTLLYPNTTDYSKEGGLTGKGIPNSISI >Manes.05G096100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8799877:8802247:-1 gene:Manes.05G096100.v8.1 transcript:Manes.05G096100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVCDGSNMTVLLQNDTLPCDSLWIPTSPATLPAPKSVIDFENDGREDTMDTPFFQALVKEESGDEDFDVCLNPPGKKRRLTASQVQFLERNFEVENKLEPERKIQLAKELGLQPRQVAIWFQNRRARFKNKQLEKNYDSLKTSYDKLKLDYDNLLKENENLKNQLLAGEKGLRNLEPVEAMNPPDAELGNPVAKTVSEVVSNAPLLILKQEEASSAKSDVFDSDSPHSLLEPGDSSHVFEPDHSDFSQDEEDELGRSLLPTPLFPKLYQDLPANSCSFEFPVEDQPFWSWTY >Manes.05G096100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8799877:8802247:-1 gene:Manes.05G096100.v8.1 transcript:Manes.05G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKVCDGSNMTVLLQNDTLPCDSLWIPTSPATLPAPKSVIDFENDGREDTMDTPFFQALVKEESGDEDFDVCLNPPGKKRRLTASQVQFLERNFEVENKLEPERKIQLAKELGLQPRQVAIWFQNRRARFKNKQLEKNYDSLKTSYDKLKLDYDNLLKENENLKNQLVSLKAKLLAGEKGLRNLEPVEAMNPPDAELGNPVAKTVSEVVSNAPLLILKQEEASSAKSDVFDSDSPHSLLEPGDSSHVFEPDHSDFSQDEEDELGRSLLPTPLFPKLYQDLPANSCSFEFPVEDQPFWSWTY >Manes.05G105900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10014762:10018917:-1 gene:Manes.05G105900.v8.1 transcript:Manes.05G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLASVSPSMVLPSSNPLHLRRLLIPNSSSFSSHNHRLLPRLSTAVPLSKYYVPSRRRRWRQVSCKFTDGGPKHPEEAEEDDGREDVERALHLDGTIPGSSNEFVKQVSSRAYDMRRHLQQSFDSSSYDVLEANPWRESSKPVYVLTHRENQLCTMKTRRNRSEVERELGLLFSKGGKWRSERGNQAKQSRTGTKFQMLVEDIREGVLVFEDENEAVRYCDLLQGGGKGCEGVAEIEASSVFDLCRKMRALAVLFRRGRTPPLPQSLELNLKARKRSLEDQEELM >Manes.04G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3945051:3950910:-1 gene:Manes.04G031300.v8.1 transcript:Manes.04G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIAKDIKQRLAETDSKAEPTTIKINQQGQAGGADQAAQKSACCGS >Manes.17G121850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32700413:32701685:1 gene:Manes.17G121850.v8.1 transcript:Manes.17G121850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIYSTSEEFIAKAPILEPKTQNRTCSSPKICIVCNLPLCSRFFTANWEGVASGGFVGKEC >Manes.04G156550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35158898:35160058:1 gene:Manes.04G156550.v8.1 transcript:Manes.04G156550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTSKTGGIKIEEPNTNKDKGKITILTEYNETSSSDDETIYNPLEASDSDQDINVPINTIERQKPDDLKILYTKQKQYNAKNIYEWNIDDLSETEIIQITKEMVIVGNIYKQRVGITEKDAAENIILGFTGELRTWWDKLLSNEIKTNILTARRIDSTTGEPVIDPATGQLQSFTLAYLVYNIISHFIRDLDLYTERNSEILQNLKCRKLENFRWYKDNFLKRVYALADPNAYHWKEKFLTGLPRLFATKVKETIEQKFGHISYEDLTYGDLITCVNLTGIRLCRDMKLQQKLKMENRQSRRELGNWCEQFGFGPIKKHKQKKYRPFQTAKIYKKYNYKHKRYNKQIDKKPFRRKQFKRNNYRKNNFKRPNNKNNITCYLCNQKG >Manes.01G063900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26236123:26236812:1 gene:Manes.01G063900.v8.1 transcript:Manes.01G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGRYSGLLLSGGLSARTGPHSLPLARIKKIMKKSGDDVKMISGEAPIVFSKACELFIEELTTRSWMVTMQGKRRTLHKEDVASAVIATDIFDFLVNLVANNSSNSTDNTEEIGS >Manes.02G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9101685:9107118:-1 gene:Manes.02G118900.v8.1 transcript:Manes.02G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQSFRVCFCFRRIFKLRVLEPPRDVKNLFDQYSQNGTMSLDDLLRFLIEFQGEENATKNDAQAIFNSLKHLNIFQRRGLQLEAFFRYLMSDLNAALSPSTGVHQDMNAPLAHYFMFTGHNSYLTGNQLSSDSSVEPIINALKRGVRVIELDLWPNSKKDDVEVRHGGTLTSPVDLAKCLLAIKENAFCASEYPVVITFEDHLTPDLQSKVAKMIIKTFGDMLFCLKSDQMKEFPSPESLKKRVMISTKPPKEYLETKNSKENESRKPSKKDYREDENDKDLPHQEEHIPDEDEEKTVPEYRNLIAIHAGKLKGSLENWLHIDSDKVRRLSLSEQELENAARTNGTDIVRFTQRNLLRVYPKGVRLDSSNYNPHVGWMHGAQMVAFNMQGYGKHLWVMQGMFKANGGCGYVRKPDFLLQLGPNNKVFDPTEKLPVKTILQVKVFMGEGWDLDFRRTHFDLYSPPDFFVKLAIAGAPEDKAKKKTRVIEDDWLPEWNEMFQFPLTVPELAVLRIEVIEYDTSGNHDFGGQTCYPVSELRTGIRSVPLYSRRGELYKNVKLLMQFEFA >Manes.02G118900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9101685:9107118:-1 gene:Manes.02G118900.v8.1 transcript:Manes.02G118900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQSFRVCFCFRRIFKLRVLEPPRDVKNLFDQYSQNGTMSLDDLLRFLIEFQGEENATKNDAQAIFNSLKHLNIFQRRGLQLEAFFRYLMSDLNAALSPSTGVHQDMNAPLAHYFMFTGHNSYLTGNQLSSDSSVEPIINALKRGVRVIELDLWPNSKKDDVEVRHGGTLTSPVDLAKCLLAIKENAFCASEYPVVITFEDHLTPDLQSKVAKDLPHQEEHIPDEDEEKTVPEYRNLIAIHAGKLKGSLENWLHIDSDKVRRLSLSEQELENAARTNGTDIVRFTQRNLLRVYPKGVRLDSSNYNPHVGWMHGAQMVAFNMQGYGKHLWVMQGMFKANGGCGYVRKPDFLLQLGPNNKVFDPTEKLPVKTILQVKVFMGEGWDLDFRRTHFDLYSPPDFFVKLAIAGAPEDKAKKKTRVIEDDWLPEWNEMFQFPLTVPELAVLRIEVIEYDTSGNHDFGGQTCYPVSELRTGIRSVPLYSRRGELYKNVKLLMQFEFA >Manes.01G052108.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20142704:20147860:-1 gene:Manes.01G052108.v8.1 transcript:Manes.01G052108.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVADKLAYFQAITGLEDPDLCTEILQAHGWDLELAISYFASTNTNNDADNNAVSTTTAATTDVGSSAAESSSSASRDLYDRSDSGAGGVAAAAPGLAWKLITLPFSVISGSLGLVSGAVGLGLWVAGGILSYSLGMIGLGPSAGRNGESSTQLVSVSAAAREAMDFLSMFEREYGSRRPNFVTEGFMDALQWSRNAYRLLFVYLHSPDHPDTPLFCERTLCSEVFTEFVNENFVAWGGSIRASEGFKMSNSLKASKYPFCAVVMPATNQRIALLQQVEGPKTPEEMLMILQRVLEESAPVLVAARLESEERRNNMRLREEQDAAYRAALEADQARERQRKEEQERLEREAAEAERKRKEEEEAQERAALEAAEREAALARVREEKSLSLGAEPEKGPDVTQVLVRFPNGERKERRFHSTATIQSIYDYVDSLGLLDVETYSLVSNFPRVVYGSEKLGLSLKEAGMHPQASLFMELN >Manes.01G052108.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20142905:20147906:-1 gene:Manes.01G052108.v8.1 transcript:Manes.01G052108.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVADKLAYFQAITGLEDPDLCTEILQAHGWDLELAISYFASTNTNNDADNNAVSTTTAATTDVGSSAAESSSSASRDLYDRSDSGAGGVAAAAPGLAWKLITLPFSVISGSLGLVSGAVGLGLWVAGGILSYSLGMIGLGPSAGRNGESSTQLVSVSAAAREAMDFLSMFEREYGSRRPNFVTEGFMDALQWSRNAYRLLFVYLHSPDHPDTPLFCERTLCSEVFTEFVNENFVAWGGSIRASEGFKMSNSLKASKYPFCAVVMPATNQRIALLQQVEGPKTPEEMLMILQRVLEESAPVLVAARLESEERRNNMRLREEQDAAYRAALEADQARERQRKEEQERLEREAAEAERKRKEEEEAQERAALEAAEREAALARVREEKSLSLGAEPEKGPDVTQVLVRFPNGERKERRFHSTATIQSIYDYVDSLGLLDVETYSLVSNFPRVVYGSEKLGLSLKEAGMHPQASLFMELN >Manes.04G087900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29312402:29314232:1 gene:Manes.04G087900.v8.1 transcript:Manes.04G087900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVILLFLSSLFIKSLSSRVEPAKINAHITVMGFVYCDICSNNSFSRHSYFLPGAGVRIDCKFKASSPKTKEQVSFSVNRTTNSLMWSSSKSCNVPGYKSTSDQIAIKARHANLCIYSLSALTFRPSKTDLTLCGN >Manes.04G087900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29312512:29314232:1 gene:Manes.04G087900.v8.1 transcript:Manes.04G087900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVILLFLSSLFIKSLSSRVEPAKINAHITVMGFVYCDICSNNSFSRHSYFLPGVRIDCKFKASSPKTKEQVSFSVNRTTNRYGVYKLEVPSVDGIVCAEAAIESSCEASLMWSSSKSCNVPGYKSTSDQIAIKARHANLCIYSLSALTFRPSKTDLTLCGN >Manes.04G087900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29312512:29314232:1 gene:Manes.04G087900.v8.1 transcript:Manes.04G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVILLFLSSLFIKSLSSRVEPAKINAHITVMGFVYCDICSNNSFSRHSYFLPGAGVRIDCKFKASSPKTKEQVSFSVNRTTNRYGVYKLEVPSVDGIVCAEAAIESSCEASLMWSSSKSCNVPGYKSTSDQIAIKARHANLCIYSLSALTFRPSKTDLTLCGN >Manes.07G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32605153:32611663:1 gene:Manes.07G121900.v8.1 transcript:Manes.07G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSSSSSGVNHISNPCIYGDFVCLYAERKSRFMKWLSKIFKSGSSHGGGGGGGGSRQPQLEENMVSWRPPARSLDEHSRADKQKEKADHAVALSQAEDWKRPGYRCRTDNDEDLDRALHDSLNPPGYRPLAPSYAPLQYYNIGCRLLCGGCHRDIGYGNYLGCMGKFFHPECFRCSSCGYPITEDEFSLSGSDPYHKSCFKELTHPKCEVCLQYIPTNEVGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWDTRHYSLEDGRSLCLACMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVERQALNEAIVGEKNLACDLLLYHIQRRPRIGGNRLVGIRTQPQKVTRKSCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRHLNPEVEEGICQVLSYMWLESEVLPSKGMPCTSIASSSSSSSSKKRGKSDVENKLGEFFMHQIANDASPAYGGGFRAANTAVNKYGLRRTLDHIQLTGHFLL >Manes.08G072701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:13920916:13922567:-1 gene:Manes.08G072701.v8.1 transcript:Manes.08G072701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSLHQALPSIIASPQRKRENSVMAIEQSYSCSHADDDDYIDMELMSSSQNFFCYSISSPPQSREFEFQMSLTSNDREITTFPADELFYKGKLLPLHLPPRLQMVQKLLQNPTTNTFEPFEENCSIPFVNLSCSPTTTPLESCNISPSESCRVSSELNPDEYFFEFSSELNSFIGNHPIKKSWTEKLKQSLLGQKLKASSAYVKSLFNKSGCTAESCAKPAHNAEPEAASKGNDCLSKCMNVAMKKNSFSEFDSGRRKISSSLVRSIEREMARDGFHSQRRSFSGAIQRHSTNKQLSSSSGSSSSSSSSSFSFSSSNGFCDLQLLKRSSSANSEIESSIEGAIAYCKKSHEQLFLSRNTATDHQAGVCSLSAASGTVTSGDQERVKICAI >Manes.01G100700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30135991:30140487:-1 gene:Manes.01G100700.v8.1 transcript:Manes.01G100700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPPKAIRKHKKHVRRFGKRHMKISSSRDENKLSSDTGCVTDFAVSQYVQMDFENGKTAGAANSTYHLKQMQWHLSQVGFDGVRQEEAWFDSVSILESDSDDEFSSILGDRFSSVGSTIGSIANGQVLQYESSSCFMDGMGKYEEYHESYVQIDGVGHGVSNDEQDSRGFAVIGTQGYELSLSGKTEENITKKLLDYGSVKGLKEDRHDSQENTLKSGLSRLVPTISFNEKVLNTSAQQKTLAVFRLSFKRKSCDGGENSEHCTSKRLLYRPKAGLVIPCSTGEKSITGCWCEIPPSNFKLRGETYFKDKRKCPAPNFSPYTPIGVDLFICARKVHHIAQHLELPNLKVEGKIPSLLIVNIQLPTYPAAMFLGETDGEGMSLVLYFKVSEQEISPQCQDNIKKLIEDEMEKVKGFAKESNVPFRERLKIMAGLVNPEDLNLSSAEKKLVNAYNEKPVLSRPQHEFYTGPNYLEIDLDIHRFSYISRKGLDSFRDRLKNGIIDLGLTIQAQKPEELPEEVLCCLRLSKIDFVDHGQIPTLKTREDN >Manes.01G100700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30135991:30141617:-1 gene:Manes.01G100700.v8.1 transcript:Manes.01G100700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPPKAIRKHKKHVRRFGKRHMKISSSRDENKLSSDTGCVTDFAVSQYVQMDFENGKTAGAANSTYHLKQMQWHLSQVGFDGVRQEEAWFDSVSILESDSDDEFSSILGDRFSSVGSTIGSIANGQVLQYESSSCFMDGMGKYEEYHESYVQIDGVGHGVSNDEQDSRGFAVIGTQGYELSLSGKTEENITKKLLDYGSVKGLKEDRHDSQENTLKSGLSRLVPTISFNEKVLNTSAQQKTLAVFRLSFKRKSCDGGENSEHCTSKRLLYRPKAGLVIPCSTGEKSITGCWCEIPPSNFKLRGETYFKDKRKCPAPNFSPYTPIGVDLFICARKVHHIAQHLELPNLKVEGKIPSLLIVNIQLPTYPAAMFLGETDGEGMSLVLYFKVSEQEISPQCQDNIKKLIEDEMEKVKGFAKESNVPFRERLKIMAGLVNPEDLNLSSAEKKLVNAYNEKPVLSRPQHEFYTGPNYLEIDLDIHRFSYISRKGLDSFRDRLKNGIIDLGLTIQAQKPEELPEEVLCCLRLSKIDFVDHGQIPTLKTREDN >Manes.01G100700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30135991:30141617:-1 gene:Manes.01G100700.v8.1 transcript:Manes.01G100700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPPKAIRKHKKHVRRFGKRHMKISSSRDENKLSSDTGCVTDFAVSQYVQMDFENGKTAGAANSTYHLKQMQWHLSQVGFDGVRQEEAWFDSVSILESDSDDEFSSILGDRFSSVGSTIGSIANGQVLQYESSSCFMDGMGKYEEYHESYVQIDGVGHGVSNDEQDSRGFAVIGTQGYELSLSGKTEENITKKLLDYGSVKGLKEDRHDSQENTLKSGLSRLVPTISFNEKVLNTSAQQKTLAVFRLSFKRKSCDGGENSEHCTSKRLLYRPKAGLVIPCSTGEKSITGCWCEIPPSNFKLRGETYFKDKRKCPAPNFSPYTPIGVDLFICARKVHHIAQHLELPNLKVEGKIPSLLIVNIQLPTYPAAMFLGETDGEGMSLVLYFKVSEQEISPQCQDNIKKLIEDEMEKVKGFAKESNVPFRERLKIMAGLVNPEDLNLSSAEKKLVNAYNEKPVLSRPQHEFYTGPNYLEIDLDIHRFSYISRKGLDSFRDRLKNGIIDLGLTIQAQKPEELPEEVLCCLRLSKIDFVDHGQIPTLKTREDN >Manes.02G057900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4535492:4536907:1 gene:Manes.02G057900.v8.1 transcript:Manes.02G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHNTSRSLKCLSKSLLAMLCIFLIISLLTIVILSSSFSYPLFIFTTKTTNISSGLIRLPYSIHSQVSNLQAQLGVLLEQLHDGSSDSKTVAKFSDQVLRIAVSLDKLADSLSSVSSNAPANDNEISNVDEDFSEPEESEHQERSMGVKVFNSGELHSYTSPKPNRQSGKKIFLGVDAISPSIGLACAHMPTTIDRFMNYKMYAMCPDDWDLAQKLLASGCDPLPRRRCLSRAPPKYTKPFPVNSSLWAQPSDDNILWSHYKCKRYSCLVSNETVDRRGFFKCADCFNLSKGGWQIPTNASVSAEFIIDEVLGLKPGEIRIGLDFSPTTGTFAALMRERNVTIASATLNLGAPFSEVIALRGLLPLYLSIGSRLPFFDNTLDIVHSTLFLDGWIDMKLLQFVLFDWDRVLRPKGLLWVDRFFCKKEDMKLYLDEFARLSYRKLLWRVVPKKDKLADELFFSAVLEKPIRR >Manes.05G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2315065:2319364:-1 gene:Manes.05G026500.v8.1 transcript:Manes.05G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAAVWDHKAAIEITKDWNGIEQVVIRNRQGASVRVSLHGGQVVSWRNEQGEELLFTSSKAVFKPPKAMRGGIPICFPQFGNCGSLEQHGFARNKFWTIDDDPPPLQSNDSQGKSFVDLLLKPSEEDLKCWPHSFEFRVRVLLAKNGDLALISRVRNINGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCQRERFTEQGDALTFESEVDRVYLSSPNVIAVLDHERKRTFVIRKEGLPDVVVWNPWEKKSKAMADFGDEEYKHMVCVDAAAIEKPITLKPGEEWTGRLELSVVPSSFCSEHFDLQRRGV >Manes.09G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31982330:31984163:1 gene:Manes.09G117300.v8.1 transcript:Manes.09G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMVSVIVVVCMLVAAPMTAQAITCGQVASALSPCVNYLKTTGAVPPRPCCNGVRAINAAARTTADRRTACQCLKSAAGSIKGIKQPTADALPRKCGVNIPYKISFSTNCANVK >Manes.04G162900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35664765:35668898:1 gene:Manes.04G162900.v8.1 transcript:Manes.04G162900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPIVAAAAVAVASVSADLSDKLPSLKSLDTCSSIEQSHSSFSNSVKEPNFSWVSHISFSKLTNLSFVARTRVPIPTTYFSFPNSIQKIIPNTLGSSLLLRSYQSAELARGPKPTALTNAIPTSPPDVLYRWHLPEPDAIDVSGSSDCSLTKSRTVVVLLGWLGSKQKHLKKYADWYTARGFHVITFTFPMAEILSYQVGGKAEQDIDLLVNHLADWLEEEHGKNLVFHTFSNTGWLTYGVILEKFQNQDPSLMGRIKGCIVDSAPVAASDPQVWASGFSAAFLKKNSVATKVYVGSNESNVEVLVGSNKPAATEAALLLILELFFGVILNLPTVNRRLSDVLSLLSSRQPNCPQLYIYSSADRVIPVMSVESFIEDQRRAGHEVRACNFVFTPHVDHFRNDPKLYSSQLTDFLDDYVLTCCKHA >Manes.04G162900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35664748:35668960:1 gene:Manes.04G162900.v8.1 transcript:Manes.04G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPIVAAAAVAVASVSADLSDKLPSLKSLDTCSSIEQSHSSFSNSVKEPNFSWVSHISFSKLTNLSFVARTRVPIPTTYFSFPNSIQKIIPNTLGSSLLLRSYQSAELARGPKPTALTNAIPTSPPDVLYRWHLPEPDAIDVSGSSDCSLTKSRTVVVLLGWLGSKQKHLKKYADWYTARGFHVITFTFPMAEILSYQVGGKAEQDIDLLVNHLADWLEEEHGKNLVFHTFSNTGWLTYGVILEKFQNQDPSLMGRIKGCIVDSAPVAASDPQVWASGFSAAFLKKNSVATKVYVGSNESNVEVLVGSNKPAATEAALLLILELFFGVILNLPTVNRRLSDVLSLLSSRQPNCPQLYIYSSADRVIPVMSVESFIEDQRRAGHEVRACNFVFTPHVDHFRNDPKLYSSQLTDFLDDYVLTCCKHA >Manes.06G026400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7311178:7316155:1 gene:Manes.06G026400.v8.1 transcript:Manes.06G026400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAASVLNHSIAEASRRNHGQTTPLHVAATLLASPSGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNLSPGHDPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSSSNSSAAAAASNSNSFGFGFRTPGAVPVPVPVPAPATNRNLYVNPRLQQGSLAQSGQQRNEEVKRVVDILLKNKRRNPVLVGESEPEMVLKELLKRIENKEIADGLLKNVQVIHLEKDFLDRTQIATKIIELGSLIETRTTNLNCGGIILDLGDLKWLVEQPVNFAGASGLQQQQQIVPEAGRAAVVEMGKLLERFGDRSNSGGGGRVWLIGTATCETYLRCQVYHPSMENDWDLQAVPVAPRAPLPGMFPRLGTNGFLSNSLEALSPLKGFPTLAPTQPRRLAENLDPTRRTSCCPQCMQNYEQELAKVLPKESEKYSSEFKSDATRPLLPQWLKNAKSQDCDTKTSDQTVTKDQELMSKQRSQELQKKWRDTCLRLHPGYHQSSVNSDRITQPSLAMTSLYNTNLLSRQPFQPKVGLNRNLSGSTQLNSNLLPSQSPVRAITPPRSPVRTELVLGRPKSNEHTPKRGHEERTKDFLGCVASEPQIKLQELETNKLLSALDVDSFKRLLKGLIDKVWWQQEAASAVATTVTQCKLGDGKQRSSASKGDTWLLFTGPDRVGKRKMASALSELVCGTNPIVVSLGSRRDDGESDVNFRGKTALDRMVEAVKRSPFSVIMLEDIDEADMLVRGSIKRAIERGRLSDSHGREISLGNVIFILTADGLPDNLEFLSNGVSLDERKLIGLVSGGWQLRLSLCEKTAKRRASWLHDEERPTKPRKDSGSALSFDLNEAADAEEDKADGSRNSSDLTIDHEDEHVPNTRVLTPTASSVSRELINSVDDDIVFKPVDLGLLRCEVSNWITKRFSTIISEGISFEIQEQALEKISGGLWLGRESLEEWTEKVLVPSLRQLKLKLPTSTQESSLVVQLETDRDSGSRSHGNWLPGSVRVVVDGQ >Manes.04G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30467933:30472520:-1 gene:Manes.04G100100.v8.1 transcript:Manes.04G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLACGVGFSLALLLCIIQLAIAKAPIAKPNCTDLCGDIEIPFPFGMDNKDCYLNEWFEIKCNQSGAFLNKINMELLNISVRGRANVKSPIMSSNCLGMETDHLLPLNLIGSPFFISDYNIFTAVACDTRALMIDNPLQRLGCESKCLLRKDVDWREKLSKIVNGSSGNYWITEDYCDGIDCCQITIPSSLQVFNPSFQAIDVNRGTGGCKLAFLAGLYDGESWTKNDSNMQFPMVLDWMVKMNRTESHNWMVDSGLWNGRYSEIMYCYYSSGSAFINQGIFRCRCGGGYEGSPYIGCTDIDECKDSKHSRCKGITKCVNISGSYKCVADAKWIVLLCLGGVIGVLLIGFGAWRLNKLIKKRRSIELKKHFFKRNGGLLLQQQITSSNGSVQKTKIFTSKELEKATDRFNQNRILGQGGQGTVYKGMLDDGRIVAVKMSKLVDEENLQEFINEVVILSQINHRNVVRLLGCCLETEVPLLVYEFIPNGSLFEYLHDPNVETSLPWEMRVRIAGEIAGALAYLHSAASIPVYHRDIKSTNILLDEKHRAKVSDFGTSRSIAIDQTHLTTHVHGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLSGKKPICSSSSQETMSLATYFIFLMEENRLFDIVDVRIMEDCHKEEIAAVANLALRCLNLNGKKRPAMVEVAIELERIRASPNTELNVQRNTEDAEEVAEVIMLVMDDVPTSVTNGDLNSVEEPLIASTT >Manes.09G179500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36880833:36881943:-1 gene:Manes.09G179500.v8.1 transcript:Manes.09G179500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDMNKKQQGILAEEQGIRYVDEDEKVEKFFAIIRRLRDARSNFSTNCLREMEAWKRMKKAKKVHVPIWTPTFQLEDFSGLDLADATQPSSSNNKGQGQEKKEELDLNLVLVQKTEAFLCVSQVNEIMHSAIRTCVADAMENGNAQPSITG >Manes.16G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2339821:2343741:-1 gene:Manes.16G021000.v8.1 transcript:Manes.16G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIEVEPQLPPIRAPHIKKRALKNKALAVSFNEKDLRDYVTGFHKRKKKRRKEAQKQQEEALRRKRIEDRKKRRLERELVLYGEAPPASGDGDGYSEDGEESDPIASVSGTLEYDNGDMKVTVTTSEISREDKDDHSGKMQTAVPMSRLAAGDDKKHNLAVTKKNSFKKVSKHKLRSKPHNNRDKKKGKKKNKKR >Manes.10G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3792232:3796968:1 gene:Manes.10G036800.v8.1 transcript:Manes.10G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRESKQAAPSVMARLMGLDEMRPQQPIQKKPRVLSENYLCKAASIGIREKCPERHSFNLNSEDQDGHNAVFLQTLRKKKQPNTPVQKRELHLDSSGVKMKVTEAHHVSWDKKLRSSKDSNEPLAAIDSEMINILKHLQRPDLRITKKVNQPNDVRSSLQSGCVRVLKSPSASYSRKISMCRKFRRKSERGHVNSLNIVQNGLGIGDVLIDDMNKFSRSKFKANNRSFLPTSTIVVPKPNLGKADSALRSFSHYVSYPGNGKQKDILSSCNGDLYSQVKRGKNLADGVGIVKPRSRFLRERTRKVGRNIGSMPFEESSSEISGSGLCAKESELTVLSTPILSDRKGQFFNSDGSNVVREAKKPISERWKMTKRNYDTLGISSDVSHEFIRDLPRSRSLLAYFNEVGSPNTRTSHEPLENSRRASDFESVNLIQNKLREQDFDQKDDSSEFRSSDSSYKKSQRFPCLEVENISPVGHNCVVQNEMECKLKEKDSGGQVSAVAKSSGQNNQTSQDIWMKQEGLKNEGWREDHPGHQFESRNSVLSIREEDSYCHIQDSSVQQEFSNDIFEEESVSSPISCTGPESVMSFEEAYQPSPNSVLEPFYGKEIFSSSDSFKGVNASLHGLHTQLELLQSETSEAYSEGSSMVVSSDEDNGEGSVNDSEENQGLMRLFRVEESRDFSYLVDVLAEAAFHNRNSHSQEFPISSSVFEILEKRYGEQISWKRSERRLLFDRINPGLMEILQLSMGVLTWKKSVARRFNFSRRPDMIEEELWMLLVNQEKEARKESEKFLGKHDGWMELGDDIQIIGREIENSLIDELVADVSMESF >Manes.04G099700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30393776:30400330:-1 gene:Manes.04G099700.v8.1 transcript:Manes.04G099700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILSPNPSLKQRLPRIQSPTTPFFLGSNDDKLERAQARAARAAAIRRKPISALASPHPGQNSDPCLDKQQILDLFQNCIKLASENKINQKNTWELNLIDHLCEIIKVEEEEDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGQENEQDATTDTSNIDDAQEGHFKKEIERKISPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVFGGCQVLFDSQEIPGKFTPCENQHERQDTIDISFARDFIEQMVLNMQRKDEISPTLRSIVNQFDEDNKRPLDTFPSLHKSGQQVEKDEETHNEEVDFDDNAFGGCDNWAFEHNEQNSVVDDDHVGADASLPSFHEENGLFSFHSSDTDDRFEEVDGYLFLSLGFTSKRNAWAGPDHWKYQKAKVSEDHSTIEKESSNTKKSKTKKQAEPDIDFTKAIDEEMPDLFAPPKNPKSLLLPANRAPCNTTLPEDCHYQPEDLVKLFLLPNIMCLGKRGKRCPDEFRQQSDDYGELPSWDDGNVFGGEYDDGNAYSDVEDPNTLVSQPRQVNKVEVQYDKASKQVDVQILKETLWHHMQESREKSTQHQEEAVSFRKILSVFPSDCRAAASISEISPHLCFICLLHLANEHGLTIHGCGSLDDLRIHFPTNDSHVDQVV >Manes.04G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30394517:30400418:-1 gene:Manes.04G099700.v8.1 transcript:Manes.04G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILSPNPSLKQRLPRIQSPTTPFFLGSNDDKLERAQARAARAAAIRRKPISALASPHPGQNSDPCLDKQQILDLFQNCIKLASENKINQKNTWELNLIDHLCEIIKVEEEEDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGQENEQDATTDTSNIDDAQEGHFKKEIERKISPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVFGGCQVLFDSQEIPGKFTPCENQHERQDTIDISFARDFIEQMVLNMQRKDEISPTLRSIVNQFDEDNKRPLDTFPSLHKSGQQVEKDEETHNEEVDFDDNAFGGCDNWAFEHNEQNSVVDDDHVGADASLPSFHEENGLFSFHSSDTDDRFEEVDGYLFLSLGFTSKRNAWAGPDHWKYQKAKVSEDHSTIEKESSNTKKSKTKKQAEPDIDFTKAIDEEMPDLFAPPKNPKSLLLPANRAPCNTTLPEDCHYQPEDLVKLFLLPNIMCLGKRGKRCPDEFRQQSDDYGELPSWDDGNVFGGEYDDGNAYSDVEDPNTLVSQPRQVNKVEVQYDKASKQVDVQILKETLWHHMQESREKSTQHQEEAVSFRKILSVFPSDCRAAASISEISPHLCFICLLHLANEHGLTIHGCGSLDDLRIHFPTNDSHVDQVV >Manes.04G099700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30394559:30400382:-1 gene:Manes.04G099700.v8.1 transcript:Manes.04G099700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILSPNPSLKQRLPRIQSPTTPFFLGSNDDKLERAQARAARAAAIRRKPISALASPHPGQNSDPCLDKQQILDLFQNCIKLASENKINQKNTWELNLIDHLCEIIKVEEEEDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGQENEQDATTDTSNIDDAQEGHFKKEIERKISPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVFGGCQVLFDSQEIPGKFTPCENQHERQDTIDISFARDFIEQMVLNMQRKDEISPTLRSIVNQFDEDNKRPLDTFPSLHKSGQQVEKDEETHNEEVDFDDNAFGGCDNWAFEHNEQNSVVDDDHVGADASLPSFHEENGLFSFHSSDTDDRFEEVDGYLFLSLGFTSKRNAWAGPDHWKYQKAKEDHSTIEKESSNTKKSKTKKQAEPDIDFTKAIDEEMPDLFAPPKNPKSLLLPANRAPCNTTLPEDCHYQPEDLVKLFLLPNIMCLGKRGKRCPDEFRQQSDDYGELPSWDDGNVFGGEYDDGNAYSDVEDPNTLVSQPRQVNKVEVQYDKASKQVDVQILKETLWHHMQESREKSTQHQEEAVSFRKILSVFPSDCRAAASISEISPHLCFICLLHLANEHGLTIHGCGSLDDLRIHFPTNDSHVDQVV >Manes.04G099700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30393775:30400331:-1 gene:Manes.04G099700.v8.1 transcript:Manes.04G099700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILSPNPSLKQRLPRIQSPTTPFFLGSNDDKLERAQARAARAAAIRRKPISALASPHPGQNSDPCLDKQQILDLFQNCIKLASENKINQKNTWELNLIDHLCEIIKVEEEEDVETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGQENEQDATTDTSNIDDAQEGHFKKEIERKISPLSTLESSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVFGGCQVLFDSQEIPGKFTPCENQHERQDTIDISFARDFIEQMVLNMQRKDEISPTLRSIVNQFDEDNKRPLDTFPSLHKSGQQVEKDEETHNEEVDFDDNAFGGCDNWAFEHNEQNSVVDDDHVGADASLPSFHEENGLFSFHSSDTDDRFEEVDGYLFLSLGFTSKRNAWAGPDHWKYQKAKEDHSTIEKESSNTKKSKTKKQAEPDIDFTKAIDEEMPDLFAPPKNPKSLLLPANRAPCNTTLPEDCHYQPEDLVKLFLLPNIMCLGKRGKRCPDEFRQQSDDYGELPSWDDGNVFGGEYDDGNAYSDVEDPNTLVSQPRQVNKVEVQYDKASKQVDVQILKETLWHHMQESREKSTQHQEEAVSFRKILSVFPSDCRAAASISEISPHLCFICLLHLANEHGLTIHGCGSLDDLRIHFPTNDSHVDQVV >Manes.03G121900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24831142:24838295:-1 gene:Manes.03G121900.v8.1 transcript:Manes.03G121900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVIQQQMVRLQSNYVMFLLILLCASLVESLEHRKDSQEVSLSQLIDPMTGEVDEGMAKLLCITCRLDLIHVKEASEDLNLCFPEKTSDLTSESNSKSRLPTKEHVWKMIKVQNPQLKQTLQDCMRKNKILFHVSGESGSNVQQTGCLDSVLPKPTGPKRNLLQSIAEAPASAPVPNDGSSLPTADLATSPVSTPLPRRSFLSRGRADTQVASESERNNIIILAVVMTAAGTLVFVALMFLCFLHFCRGSSGSSLNDEKPLLSLSLRDSSTGSSSKSFGLVNSIKDEKVDHQSFSMNSSHHRRDSSLDSIKSDALLVPPDEIAFSAESIGRSSNAVAPLPLPPGRVESMLPLKPPPGRVDSTPPLKPPPGRVESTLPLKLPPGRVDSTLPPPPGRAVPLPPEPPASLRSPSSKAASPPAPPPPGAPPPPRAGASDSMGPRPPGPPPSPPAAPGAKSGPPPPPLKGGPAPPRPPPPLPGGAKGPRPPPGLKRPPNAPPGERDGAEDGANTPKAKLKPFFWDKVLANPDSSMVWHQIKAGSFQFNEEMIETLFGYAPAERGRTDRKKESSSQDTPQYIQILDTKKAQNLSILLRALNVTIEEVRDALNEGNELPVELIQTLLKMAPTADEELKLRLYTGELSQLGSAERFLKVLVDIPFAYKRLESLLFMCTLQEDVAATKESLGTLEVACKELKSSRLFLKLLEAVLKTGNRMNDGTFRGRALAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRTEGVRAARAARASRTFSNISVQTEDLIDDDVIPETEFDYCKMGLEVVSRLSSELENVKKAAVVDADNLTGTVAKLGYALLKNQDFLNKDLKSLEEESEFHEVLKGFVQNAEGDIMKLLEEEKRIMALVKSTGDYFHGNAGKDEGLRLFVIVRDFLVILDKVCKQVRDAQKNSEKLQKKESTTSDKSLKKESSTASCQSSAEKSLNKESSTASCQSSAEKSLNKESSTASCQSSAEKSLNKESTASCQSSAEKSLNKESSTASCQSSAEKSVNNESTASCQPSPEESLNKESSSTALCQSSAEKSLNKESSTASCQSSPEKSLNKESSTASCQSSPESSLNKESSTASCQSSPENSLNKESSTASCQSSPEKSLDKESSTASCQSSPEKSLDKESSTASCQSSQSSPEKSLNKESSTASCQSSPLQHVSPDIRSRLFPAIQERKIESSSSDDESE >Manes.01G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28778978:28781338:1 gene:Manes.01G085500.v8.1 transcript:Manes.01G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHKLLFLSPLFLLQSLFAASENITLSSSLFANDNSTPWLSPSGDFAFGFQKLKNTNLFLLAIWFDRIPDKTIVWRANGDNQARQGSKLKITAKGLVLSDPSGQQIWTSGINQTSAASYGAMLDTGNFVIVGSNSDHLWESFKNPTDTILPSQTLEPGTALFSSLSETNFSRGRFHLYFSNGDLQLSPLAWPTVFQYNPYFSSGTSANGSQLVFNESSNIYLLQSNGTIVELPWQSQNAAPSVAGNYYRATLDYNGVFTRYAYPRGSDGEQSWSIVQYIPENICSAIFNDLGSGACGYNSYCSLSNGRPNCTCPIGYSLMDQNNPFGGCKPKFPLGCGVDEASENLEELHELQELKNVNWPLGDYERLQPYSEEQCRTSCLQDCMCNVAIFGNSICWKKRVPLGNGRFEIGNSKALIKVRKGKDKSILVGSLSSSLALNAFLLIIVTLIFLLKQKWKPNGRVEVSSVLLETNLYVFTYKELEEATNNFKEQVGKGSSAIVYKGILNFAENKAIAVKKLDKLSHEADKEFRNQLKAIGKTCHKNLVRLLGFCEEGAHRLLVYEFMTNGTLANFLLGVPKPGWNIRAKIALEIARGLVYLHEECEVPIIHCGIKPENILLDELFTARISDFGLVKLLLTNQSRTMTNIRGTRGYVAPEWFGNVPITAKVDAYSFGVMLLEIICCRKNVSKLENEEILTNWVSDCFVEGRIADVIEFDKERVTGWVKVAMWCIQENPSKRPSMKMALQMLEGLVEVALPPICIFSHSYSDFDSLPS >Manes.02G081700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6388355:6391426:1 gene:Manes.02G081700.v8.1 transcript:Manes.02G081700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALLWVAIPSTEVSNSFGFFHSVGALDSAKFGSVDRSLMFKKRAKKGMNQKWKSSTVNVDLTNPCIGLGSGSNLPVISSMVASSAGEMAVSSEEKVYNVVLKQAALVKKQLRSSENLDAKTDIAVPGTSSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDVFQGRPFDMLDAALSDTVTKFPVDIQPFKDMIEGMRMDLKKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIAPESQASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDEDIFAGEVTNKWRNFMKNQIKRARMFFNEAEKGVTELSAASRWPVWASLLLYKQILDEIEANDYNNFTERAYVNKAKKLAFLPIAYARSFVGSSRVSPPLANP >Manes.02G081700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6388355:6391426:1 gene:Manes.02G081700.v8.1 transcript:Manes.02G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALLWVAIPSTEVSNSFGFFHSVGALDSAKFGSVDRSLMFKKRAKKGMNQKWKSSTVNVDLTNPCIGLGSGSNLPVISSMVASSAGEMAVSSEEKVYNVVLKQAALVKKQLRSSENLDAKTDIAVPGTSSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDVFQGRPFDMLDAALSDTVTKFPVDIQPFKDMIEGMRMDLKKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIAPESQASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDEDIFAGEVTNKWRNFMKNQIKRARMFFNEAEKGVTELSAASRWPVWASLLLYKQILDEIEANDYNNFTERAYVNKAKKLAFLPIAYARSFVGSSRVSPPLANP >Manes.02G081700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6388287:6391426:1 gene:Manes.02G081700.v8.1 transcript:Manes.02G081700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALLWVAIPSTEVSNSFGFFHSVGALDSAKFGSVDRSLMFKKRAKKGMNQKWKSSTVNVDLTNPCIGLGSGSNLPVISSMVASSAGEMAVSSEEKVYNVVLKQAALVKKQLRSSENLDAKTDIAVPGTSSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDVFQGRPFDMLDAALSDTVTKFPVDIQPFKDMIEGMRMDLKKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIAPESQASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDEDIFAGEVTNKWRNFMKNQIKRARMFFNEAEKGVTELSAASRWPVWASLLLYKQILDEIEANDYNNFTERAYVNKAKKLAFLPIAYARSFVGSSRVSPPLANP >Manes.03G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5445782:5447884:-1 gene:Manes.03G055800.v8.1 transcript:Manes.03G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERDLLSTEIVNRGVESSGPNAGSHTFSVRVRRRLPDFLQSVKLKYVKLGYHYLITHAIYFATVPVLVLVFSAEVSSLNREELWKKLWEDARYDIVSVISFFAVLVFTVSAYLMSRPRSIYLIDFACYRPHDDLKVSKDEFIEMARKTGKYDEATLEFQKKILQSSGIGDETYIPKAVMRQENCATMKEARLEASTVIFGALDELFEQTRVRPKDIGVLVVNCSIFNPTPSLSAMVINHYKMRSNILSYNLGGMGCSAGIIAVDLGRDMLQANPNNYAVVVSTEIVGFNWYNGKERSMIIPNCFFRMGCSAVLLSNRRRDYPRAKYRLEHIVRTHKGADDDSFRSIYQEEDDQKFKGVKLSKQLMEIGGNALKTNITTLGPLVLPFSEQLLFFATLVRRLLLGKKNNGSSTSPSSKPYIPDYKLAFEHFCVHAASKPVLDEIQRNLGLSDKNMEPSRMTLHRFGNTSSSSIWYELAYLEAKEKVKRGDRVWQLAFGSGFKCNSLVWKSMKRVKKPSRSPWLDCIDNLPVASFTG >Manes.03G055800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5445782:5447884:-1 gene:Manes.03G055800.v8.1 transcript:Manes.03G055800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERDLLSTEIVNRGVESSGPNAGSHTFSVRVRRRLPDFLQSVKLKYVKLGYHYLITHAIYFATVPVLVLVFSAEVSSLNREELWKKLWEDARYDIVSVISFFAVLVFTVSAYLMSRPRSIYLIDFACYRPHDDLKVSKDEFIEMARKTGKYDEATLEFQKKILQSSGIGDETYIPKAVMRQENCATMKEARLEASTVIFGALDELFEQTRVRPKDIGVLVVNCSIFNPTPSLSAMVINHYKMRSNILSYNLGGMGCSAGIIAVDLGRDMLQANPNNYAVVVSTEIVGFNWYNGKERSMIIPNCFFRMGCSAVLLSNRRRDYPRADDDSFRSIYQEEDDQKFKGVKLSKQLMEIGGNALKTNITTLGPLVLPFSEQLLFFATLVRRLLLGKKNNGSSTSPSSKPYIPDYKLAFEHFCVHAASKPVLDEIQRNLGLSDKNMEPSRMTLHRFGNTSSSSIWYELAYLEAKEKVKRGDRVWQLAFGSGFKCNSLVWKSMKRVKKPSRSPWLDCIDNLPVASFTG >Manes.14G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12753269:12754720:1 gene:Manes.14G111300.v8.1 transcript:Manes.14G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNAVRGVYWYYGSEFPVSKIESSLFTHLFCAFAELDPQTNQVTIPSGSQAQFSTFTQTVQLKNPSIKTLLSIGGGGGDQIAAAFESMASQASSRKTFIDSSINLARSYNFHGLDLDWEYPNTTTQMTNFGLLLNEWRAAVAAEAQNSGRTPLQLSAAVLYLSYYYSTSVAYPIQAISNSLDWINVMAYDFYGPGWSTVTGPPAALFNPGNTISGDYGVTSWINAGLQASKIVLGFPFYGRAWQLANPNENGFFAPAVGAALTDAVAYSGIKDFINDNGIKTIYDETYVSNYCYSGTTWIGYDDTDSISAKVVYIKNKGLLGYFAWQVSNDDDNWTLSREAASTWGA >Manes.07G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5160461:5164952:-1 gene:Manes.07G045400.v8.1 transcript:Manes.07G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPPLLFFFKLVICCHRLLLHSSNISSFYNQTKTPKMADGVLSNVVGDIITKLGSRALHEIGLWWGLKGELKKLEATVSSIRNVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVSLFFSSSNQLVYGFKMGHKVKAIRERLADIEADRKFNLEVRTDQESIVWRDQTTSSLPEVVIGREGDKKAITELVLSSNGEECVSVLSIVGIGGLGKTTLAQIILNDELIKNSFEPRIWVCVSEPFDVKMTVGKILESATGNRSEDLGLEALKSRLENIISGKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKKVADISSTMAPHVLEGLSPDESWSLFLHVALEGQEPKHANVREMGKEILKKCHGVPLAIKTIASLLYAKNPETEWLPFLTKELSRISQDGNDIMPTLKLSYDHLPSHLKHCFAYCAIYPKDYVIDVKTLIHLWVAQGFIESSSTSDCLEDIGLEYFMKLWWRSFFQEVKRDRCGNVKNCKMHDLIHDLAITVGGTRIQLVNSDAPNIDEKTHHIALNLNVAPQKILNNAKKLRSFILFEEHDYDQLFIYKNLKFLHVFRMHLYKIKRVDNSIKMLKYLKYLEIADNQGLKALSNSITDLLNLHVLDVSYCVQLKELPKDIKKLVNLRHLYCEGCYSLTHMPSGLGQLPSLQTLSLFVVAKGHITSRDVGTINELNKLNNLRGRLAIRNLGCVDNEIVNVNLKEKPLLQSLKLSWEESWEDSNVDRDEMAFQNLQPHPNLKELRVLNYGGRRFPSWFSSLTNLVYLCIWNCKRYQHLPPMDRIPSLQYLEIWGVDDLEYMEIEGQPTSFFPSLKTLELYRCPKLKGWQKKKEDDSTALELLQFPCLSYFVCEDCPNLTSIPQFPSLDHSLSLRYASPQLVHQIFTPSISSSSSIIPPLSKLKILRIRDIKELESLPPDGLRNLTCLQRLTIEICPAIKCLPQEMRSLTSLRELNINDCPQLKERCGNRKGADWAFISHIPNIEVDDQRIQREGRYLLDDEASINEG >Manes.08G167100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40183509:40184540:1 gene:Manes.08G167100.v8.1 transcript:Manes.08G167100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTEETALNPSKVAKDTRDAEAQTPPKPKSKHRRRNICLGVTAAVIVVFVLVVVILAFTVFKAKEPSTTVDSITLNNLRVSLDSARMGVNLNMTLDVDLTVTNPNKVGFKYKNGSALLDYKGEVFGEVPIPAGKIGADDTKPMNVTVTVMADRLLSNSQLYTEVLSGVMTVSTVIKISGKVLIFNIFKVSADTTTTCDITVFISNSTVGDQNCKYKAKL >Manes.16G093700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29992495:29993930:-1 gene:Manes.16G093700.v8.1 transcript:Manes.16G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFGWMQKKLSGRHAAKKHNSISVNHHITQHEPRNQEFSDWPHGLLAIGTFGNDSTKGDSKPNYVQENLSQDLQLTLEEVEKLQDELNLLFNKQYGSTSDEAESNTEKLALDKFVNGNPSSEEDDKDHCHLQGSTTAILGRGKGICSADNTGCAINKKSLSFLLKKMLICRGGFAPTLSLRDQVPESRMEKMLRAILHKKIYPQNPSSNSSTTKKYLENKHKPRSIKGEDDINYKDDNGSKWVKTDSEYIVLEI >Manes.02G165700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12973469:12976088:1 gene:Manes.02G165700.v8.1 transcript:Manes.02G165700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSSSTWRLTRGQEIFSVFPSFAFPLLSTSRRRIERVGACKTNMSERKTKKANLRAAKRERILLPICDDGYRISEFLSHPFGIQAMLNTKTLQSFESLDANTYRCYLPKLQLLNFEAAPVMDLRVTPTEEDCTVEMLSCKFEGSELMERQNDHFSAFMVNRVTWNTTDSEPFLEADMKLNVILEIFTAPFTLLPTSAVEGPGNLMMQALVDRLVTLLLQQLLQEYNKWENQQWENVP >Manes.02G165700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12973249:12978196:1 gene:Manes.02G165700.v8.1 transcript:Manes.02G165700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSSSTWRLTRGQEIFSVFPSFAFPLLSTSRRRIERVGACKTNMSERKTKKANLRAAKRERILLPICDDGYRISEFLSHPFGIQAMLNTKTLQSFESLDANTYRCYLPKLQLLNFEAAPVMDLRVTPTEEDCTVEMLSCKFEGSELMERQNDHFSAFMVNRVTWNTTDSEPFLEADMKLNVILEIFTAPFTLLPTSAVEGPGNLMMQALVDRLVTLLLQQLLQEYNKWENQQWENVP >Manes.02G165700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12973249:12978196:1 gene:Manes.02G165700.v8.1 transcript:Manes.02G165700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSSSTWRLTRGQEIFSVFPSFAFPLLSTSRRRIERVGACKTNMSERKTKKANLRAAKRERILLPICDDGYRISEFLSHPFGIQAMLNTKTLQSFESLDANTYRCYLPKLQLLNFEAAPVMDLRVTPTEEDCTVEMLSCKFEGSELMERQNDHFSAFMVNRVTWNTTDSEPFLEADMKLNVILEIFTAPFTLLPTSAVEGPGNLMMQALVDRLVTLLLQQLLQEYNKWENQQWENVP >Manes.02G165700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12973469:12975437:1 gene:Manes.02G165700.v8.1 transcript:Manes.02G165700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSSSTWRLTRGQEIFSVFPSFAFPLLSTSRRRIERVGACKTNMSERKTKKANLRAAKRERILLPICDDGYRISEFLSHPFGIQAMLNTKTLQSFESLDANTYRCYLPKLQLLNFEAAPVMDLRVTPTEEDCTVEMLSCKFEGSELMERQNDHFSAFMVNRVTWNTTDSEPFLEADMKLNVILEIFTAPFTLLPTSAVEGPGNL >Manes.02G165700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12973249:12978215:1 gene:Manes.02G165700.v8.1 transcript:Manes.02G165700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSSSTWRLTRGQEIFSVFPSFAFPLLSTSRRRIERVGACKTNMSERKTKKANLRAAKRERILLPICDDGYRISEFLSHPFGIQAMLNTKTLQSFESLDANTYRCYLPKLQLLNFEAAPVMDLRVTPTEEDCTVEMLSCKFEGSELMERQNDHFSAFMVNRVTWNTTDSEPFLEADMKLNVILEIFTAPFTLLPTSAVEGPGNLMMQALVDRLVTLLLQQLLQEYNKWENQQWENVP >Manes.13G059000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6779042:6779344:-1 gene:Manes.13G059000.v8.1 transcript:Manes.13G059000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSASKTVHGFSCGEAVAALQPCLPFLKGNSRYLNVLCCVDIRAVSDKAATTEDRRNLCQCFKKAGTAAGVKPEKARQIPKKCLMKVPVPLDPTVDCTK >Manes.06G100700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23446995:23458792:-1 gene:Manes.06G100700.v8.1 transcript:Manes.06G100700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLRLYLYRSDNVQFPFSIGTFVKHLPISKPNAFYHRRISAKRIIATSTHTSSSYETLTSRQKGQIHHYVEALLDWNQKMNLTAVTDANEVMKRHVEDSLALLRPIRDSYVSHCNSSVDNLKLVDVGTGPGLPGLVLAIACPGWKITLLESMNKRCVFLEHAVSVTGLSNVEVVRGRAEVEVQNAEKSIQLMGASILQLCSVESHSPNGQRTAIICVKDRPTPRKYPRDPEKEKIGLARQRKGKERRGWEGMHLSE >Manes.06G100700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23454232:23458792:-1 gene:Manes.06G100700.v8.1 transcript:Manes.06G100700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLRLYLYRSDNVQFPFSIGTFVKHLPISKPNAFYHRRISAKRIIATSTHTSSSYETLTSRQKGQIHHYVEALLDWNQKMNLTAVTDANEVMKRHVEDSLALLRPIRDSYVSHCNSSVDNLKLVDVGTGPGLPGLVLAIACPGWKITLLESMNKRCVFLEHAVSVTGLSNVEVVRGRAESLGRNDCFREQFDVAVARAVAEMRVLSEYCLPLVRVGGLFVAAKGHDPQVEVQNAEKSIQLMGASILQLCSVESHSPNGQRTAIICVKDRPTPRKYPRDPGLGF >Manes.06G100700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23455970:23458781:-1 gene:Manes.06G100700.v8.1 transcript:Manes.06G100700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLRLYLYRSDNVQFPFSIGTFVKHLPISKPNAFYHRRISAKRIIATSTHTSSSYETLTSRQKGQIHHYVEALLDWNQKMNLTAVTDANEVMKRHVEDSLALLRPIRDSYVSHCNSSVDNLKLVDVGTGPGLPGLVLAIACPGWKITLLESMNKRCVFLEHAVSVTGLSNVEVVRGRAESLGRNDCFREQFDVAVARAVAEMRVLSEYCLPLVRVGGLFVAAKGHDPQVEVQNAEKSIQLMGASILQLCSVESHSPNGQRTAIICVKDRPTPRKYPRDPGTPAKLPL >Manes.06G100700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23454232:23458792:-1 gene:Manes.06G100700.v8.1 transcript:Manes.06G100700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLRLYLYRSDNVQFPFSIGTFVKHLPISKPNAFYHRRISAKRIIATSTHTSSSYETLTSRQKGQIHHYVEALLDWNQKMNLTAVTDANEVMKRHVEDSLALLRPIRDSYVSHCNSSVDNLKLVDVGTGPGLPGLVLAIACPGWKITLLESMNKRCVFLEHAVSVTGLSNVEVVRGRAEDRV >Manes.06G100700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23438778:23458792:-1 gene:Manes.06G100700.v8.1 transcript:Manes.06G100700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLRLYLYRSDNVQFPFSIGTFVKHLPISKPNAFYHRRISAKRIIATSTHTSSSYETLTSRQKGQIHHYVEALLDWNQKMNLTAVTDANEVMKRHVEDSLALLRPIRDSYVSHCNSSVDNLKLVDVGTGPGLPGLVLAIACPGWKITLLESMNKRCVFLEHAVSVTGLSNVEVVRGRAESLGRNDCFREQFDVAVARAVAEMRVLCFIGLLILILVG >Manes.06G100700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23454206:23458794:-1 gene:Manes.06G100700.v8.1 transcript:Manes.06G100700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLRLYLYRSDNVQFPFSIGTFVKHLPISKPNAFYHRRISAKRIIATSTHTSSSYETLTSRQKGQIHHYVEALLDWNQKMNLTAVTDANEVMKRHVEDSLALLRPIRDSYVSHCNSSVDNLKLVDVGTGPGLPGLVLAIACPGWKITLLESMNKRCVFLEHAVSVTGLSNVEVVRGRAESLGRNDCFREQFDVAVARAVAEMRVLSEYCLPLVRVGGLFVAAKGHDPQVEVQNAEKSIQLMGASILQLCSVESHSPNGQRTAIICVKDRPTPRKYPRDPEAYVTSFIAFLTCMCGLWRRTV >Manes.06G100700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23446995:23458792:-1 gene:Manes.06G100700.v8.1 transcript:Manes.06G100700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLRLYLYRSDNVQFPFSIGTFVKHLPISKPNAFYHRRISAKRIIATSTHTSSSYETLTSRQKGQIHHYVEALLDWNQKMNLTAVTDANEVMKRHVEDSLALLRPIRDSYVSHCNSSVDNLKLVDVGTGPGLPGLVLAIACPGWKITLLESMNKRCVFLEHAVSVTGLSNVEVVRGRAESLGRNDCFREQFDVAVARAVAEMRVLSEYCLPLVRVGGLFVAAKGHDPQVEVQNAEKSIQLMGASILQLCSVESHSPNGQRTAIICVKDRPTPRKYPRDPEKEKIGLARQRKGKERRGWEGMHLSE >Manes.14G168500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27319812:27326237:-1 gene:Manes.14G168500.v8.1 transcript:Manes.14G168500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGNGEILMLDAPPEASRPWSSSSNSEIVDALPYIDDDYGDPSVKAEVDRLVEEEMRRSSKKPADFLKDLPSPPKFNFENYPMLAKEYERVRAGRPPVTLDFSRYAHLDLPMANKMNDEAAWKQALQRAQRLLQHQVIRLENLDLISKYGPNVWIQHNRQLEVMLSRMQKLAQEQNEKIEAVNRERKYHQQNTAYELNALSMQWKELCLKNIEIQAACTKIEMQIEELKKEAAERGWNLEANIENGSLLHSAQ >Manes.14G168500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27319798:27326237:-1 gene:Manes.14G168500.v8.1 transcript:Manes.14G168500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGNGEILMLDAPPEASRPWSSSSNSEIVDALPYIDDDYGDPSVKAEVDRLVEEEMRRSSKKPADFLKDLPSPPKFNFENYPMLAKEYERVRAGRPPVTLDFSRYAHLDLPMANKMNDEAAWKQALQRAQRLLQHQVIRLENLDLISKYGPNVWIQHNRQLEVMLSRMQKLAQEQNEKIEAVNRERKYHQQNTAYELNALSMQWKELCLKNIEIQAACTKIEMQIEELKKEAAERGWNLEANIENGSLLHSAQ >Manes.09G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8869868:8870401:1 gene:Manes.09G054200.v8.1 transcript:Manes.09G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAASETSKFPAADVEKELHPGHNEKLEVDGVSSGIVTSRDLMEEEKATKKKKEMGRRDPMQTLKTTIIVSAAIVAVAGAVFAITRKLREK >Manes.11G079070.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11946702:11948003:1 gene:Manes.11G079070.v8.1 transcript:Manes.11G079070.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVEEIREAHRAKGPATILAIGTATPSNSISQDLYPDYYFRITKSDHLTHLKRKFMHLCAKSRIKKRYMHLTEEMLKEHPNLCSYKEPSLSTRKEILKHELPKLGSEAACKAIQEWGQPKSKITHLIFYTTSSIVVMPGCDFYLAKILGLRPCVQRFMMYNLGCFAGGTVLRLAKDLAENNKDARVLVVCSEISVATFRGPSDTHLDSLVGQALFGDGAAALIVGSDIDTSIEHPLFQLVSASQTILPDSDKVIEGNLLEIGLTFHLQKDVPKLISENIEKCLVQAFSPIGISDWNSIFWIAHPGGPAILEQIEMKLNLKQEKLRASWHVLREYGNMSSASVFFIMDEMRNKSVEEGNCSLGEGLEWGVLFGFGPGLTVETVVLRNISMATEGDNNSMNRV >Manes.04G092801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29793437:29794592:-1 gene:Manes.04G092801.v8.1 transcript:Manes.04G092801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALSIAPPVLPLKSKNLSQATVSPPKLGASSVAPRGFAIKAAATVAYDTDASATVDYSSLISVFPAEACETIGGDACLADIFPEAKLERDARNEAARTASEPYEREYLEYNEAKTVFCAEACDDLGGEFCEREYQRGVY >Manes.07G092500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28865488:28868166:1 gene:Manes.07G092500.v8.1 transcript:Manes.07G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRESETETLVEAALRILNTPDPFEKARLSDSVASRWLQGTILQPYLPSVNLPVPDRPARLTNVKLLAPGLMPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIIARFGKQEAMPRDFFTDFVKVAQDEARHFTLLAKRLEELGSFYGALPAHDGLWDSAIATSKDLLARLAIEHCVHEARGLDVLPTTISRFRNGGDNATADLLKSVVYPEEITHCAAGVKWFKYLCLKPKHSTSEQSLSFQESGEAGSEITDKNEEVVQKFHAIVRTHFRGPLKPPFNEEARKSAGFGPQWYEPLAVKEVNPECLQ >Manes.01G217500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38634819:38643347:1 gene:Manes.01G217500.v8.1 transcript:Manes.01G217500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPANSPIIRPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKEYGEEWFQVLDNGCGISPNNFKVLALKHHTSKLADFPDLQCLTTFGFRGEALSSLCALGDLSVETRTKNESVAAHLTFDHSGLLTAERKTARQVGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLLNAYALIAKGVRLVCSNTTGKNAKSVVLKTQGSDSLKGNIITVFGMNTFNCLEPVNICISDNCMVDGFLSKPGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFVVPTRACDVNITPDKRKIFFSDESSILLALREGLQKIYSPSNTSYYTINKFDEHMKVTDRSQLYSPREKSHMLSKQLSAIGNDCKEIHTANSTVEGCNLEIKSQHLVVGENDKKDSVKDFTLRGHGIKKAYSFMESKNRQLPTFHDSKNPPSSSRVFEKDIAENRDSNRLSHCVQSTIRDFVTISKRKHEDISTTLSEIPVLRNQTLQSPLKKNNSETNAVVKSCPLNHHPSEDSTKASEIEPSKFSRTEVIFNKIRNSLSYRGKTNDGKPEEDEKEVPTVADVLPITSGEDLEKISEHPASEEKTLPLADDELTYSLSRGLEEMSGNLLVATSPCQSSDSALDVPKPSAEKICSTLQFSIQELMAKRHQRLSRLQFNGPTSRDMKMKSVYAASTLELSQPDNEERKARAFAAATKELERLFKKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRSLRLELSAEEEVVASMNMDVIRKNGFTLEEDPHAPPGHRFKLKAVPFSKNITFGVEDVKDLISTLADSQGDCSIIGSYKMDTPDSVCPSRVRAMLASRACRSSVMIGDALGRNEMQKILEHLAELRSPWNCPHGRPTMRHLVDMMTVYETSDENDSSL >Manes.01G217500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38634819:38643347:1 gene:Manes.01G217500.v8.1 transcript:Manes.01G217500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPANSPIIRPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKEYGEEWFQVLDNGCGISPNNFKVLALKHHTSKLADFPDLQCLTTFGFRGEALSSLCALGDLSVETRTKNESVAAHLTFDHSGLLTAERKTARQVGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLLNAYALIAKGVRLVCSNTTGKNAKSVVLKTQGSDSLKGNIITVFGMNTFNCLEPVNICISDNCMVDGFLSKPGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFVVPTRACDVNITPDKRKIFFSDESSILLALREGLQKIYSPSNTSYYTINKFDEHMKVTDRSQLYSPREKSHMLSKQLSAIGNDCKEIHTANSTVEGCNLEIKSQHLVVGENDKKDSVKDFTLRGHGIKKAYSFMESKNRQLPTFHDSKNPPSSSRVFEKDIAENRDSNRLSHCVQSTIRDFVTISKRKHEDISTTLSEIPVLRNQTLQSPLKKNNSETNAVVKSCPLNHHPSEDSTKASEIEPSKFSRTEVIFNKIRNSLSYRGKTNDGKPEEDEKEVPTVADVLPITSGEDLEKISEHPASEEKTLPLADDELTYSLSRGLEEMSGNLLVATSPCQSSDSALDVPKPSAEKICSTLQFSIQELMAKRHQRLSRLQFNGPTSRDMKMKSVYAASTLELSQPDNEERKARAFAAATKELERLFKKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRSLRLELSAEEEVVASMNMDVIRMDLLWKRIHMHLLVTDLN >Manes.01G217500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38634819:38643347:1 gene:Manes.01G217500.v8.1 transcript:Manes.01G217500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPANSPIIRPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKEYGEEWFQVLDNGCGISPNNFKVLALKHHTSKLADFPDLQCLTTFGFRGEALSSLCALGDLSVETRTKNESVAAHLTFDHSGLLTAERKTARQVGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLLNAYALIAKGVRLVCSNTTGKNAKSVVLKTQGSDSLKGNIITVFGMNTFNCLEPVNICISDNCMVDGFLSKPGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFVVPTRACDVNITPDKRKIFFSDESSILLALREGLQKIYSPSNTSYYTINKFDEHMKVTDRSQLYSPREKSHMLSKQLSAIGNDCKEIHTANSTVEGCNLEIKSQHLVVGENDKKDSVKDFTLRGHGIKKAYSFMESKNRQLPTFHDSKNPPSSSRVFEKDIAENRDSNRLSHCVQSTIRDFVTISKRKHEDISTTLSEIPVLRNQTLQSPLKKNNSETNAVVKSCPLNHHPSEDSTKASEIEPSKFSRTEVIFNKIRNSLSYRGKTNDGKPEEDEKEVPTVADVLPITSGEDLEKISEHPASEEKTLPLADDELTYSLSRGLEEMSGNLLVATSPCQSSDSALDVPKPSAEKICSTLQFSIQELMAKRHQRLSRLQFNGPTSRDMKMKRHSVYAASTLELSQPDNEERKARAFAAATKELERLFKKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRSLRLELSAEEEVVASMNMDVIRKNGFTLEEDPHAPPGHRFKLKAVPFSKNITFGVEDVKDLISTLADSQGDCSIIGSYKMDTPDSVCPSRVRAMLASRACRSSVMIGDALGRNEMQKILEHLAELRSPWNCPHGRPTMRHLVDMMTVYETSDENDSSL >Manes.01G217500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38634819:38643347:1 gene:Manes.01G217500.v8.1 transcript:Manes.01G217500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPANSPIIRPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKEYGEEWFQVLDNGCGISPNNFKVLALKHHTSKLADFPDLQCLTTFGFRGEALSSLCALGDLSVETRTKNESVAAHLTFDHSGLLTAERKTARQVGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLLNAYALIAKGVRLVCSNTTGKNAKSVVLKTQGSDSLKGNIITVFGMNTFNCLEPVNICISDNCMVDGFLSKPGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFVVPTRACDVNITPDKRKIFFSDESSILLALREGLQKIYSPSNTSYYTINKFDEHMKVTDRSQLYSPREKSHMLSKQLSAIGNDCKEIHTANSTVEGCNLEIKSQHLVVGENDKKDSVKDFTLRGHGIKKAYSFMESKNRQLPTFHDSKNPPSSSRVFEKDIAENRDSNRLSHCVQSTIRDFVTISKRKHEDISTTLSEIPVLRNQTLQSPLKKNNSETNAVVKSCPLNHHPSEDSTKASEIEPSKFSRTEVIFNKIRNSLSYRGKTNDGKPEEDEKEVPTVADVLPITSGEDLEKISEHPASEEKTLPLADDELTYSLSRGLEEMSGNLLVATSPCQSSDSALDVPKPSAEKICSTLQFSIQELMAKRHQRLSRLQFNGPTSRDMKMKSVYAASTLELSQPDNEERKARAFAAATKELERLFKKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRSLRLELSAEEEVVASMNMDVIRCEGLDLNSS >Manes.01G217500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38634819:38643348:1 gene:Manes.01G217500.v8.1 transcript:Manes.01G217500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPANSPIIRPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKEYGEEWFQVLDNGCGISPNNFKVLALKHHTSKLADFPDLQCLTTFGFRGEALSSLCALGDLSVETRTKNESVAAHLTFDHSGLLTAERKTARQVGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLLNAYALIAKGVRLVCSNTTGKNAKSVVLKTQGSDSLKGNIITVFGMNTFNCLEPVNICISDNCMVDGFLSKPGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFVVPTRACDVNITPDKRKIFFSDESSILLALREGLQKIYSPSNTSYYTINKFDEHMKVTDRSQLYSPREKSHMLSKQLSAIGNDCKEIHTANSTVEGCNLEIKSQHLVVGENDKKDSVKDFTLRGHGIKKAYSFMESKNRQLPTFHDSKNPPSSSRVFEKDIAENRDSNRLSHCVQSTIRDFVTISKRKHEDISTTLSEIPVLRNQTLQSPLKKNNSETNAVVKSCPLNHHPSEDSTKASEIEPSKFSRTEVIFNKIRNSLSYRGKTNDGKPEEDEKEVPTVADVLPITSGEDLEKISEHPASEEKTLPLADDELTYSLSRGLEEMSGNLLVATSPCQSSDSALDVPKPSAEKICSTLQFSIQELMAKRHQRLSRLQFNGPTSRDMKMKRHSVYAASTLELSQPDNEERKARAFAAATKELERLFKKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRSLRLELSAEEEVVASMNMDVIRYLNTWRS >Manes.01G217500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38634819:38643347:1 gene:Manes.01G217500.v8.1 transcript:Manes.01G217500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPANSPIIRPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKEYGEEWFQVLDNGCGISPNNFKVLALKHHTSKLADFPDLQCLTTFGFRGEALSSLCALGDLSVETRTKNESVAAHLTFDHSGLLTAERKTARQVGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLLNAYALIAKGVRLVCSNTTGKNAKSVVLKTQGSDSLKGNIITVFGMNTFNCLEPVNICISDNCMVDGFLSKPGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFVVPTRACDVNITPDKRKIFFSDESSILLALREGLQKIYSPSNTSYYTINKFDEHMKVTDRSQLYSPREKSHMLSKQLSAIGNDCKEIHTANSTVEGCNLEIKSQHLVVGENDKKDSVKDFTLRGHGIKKAYSFMESKNRQLPTFHDSKNPPSSSRVFEKDIAENRDSNRLSHCVQSTIRDFVTISKRKHEDISTTLSEIPVLRNQTLQSPLKKNNSETNAVVKSCPLNHHPSEDSTKASEIEPSKFSRTEVIFNKIRNSLSYRGKTNDGKPEEDEKEVPTVADVLPITSGEDLEKISEHPASEEKTLPLADDELTYSLSRGLEEMSGNLLVATSPCQSSDSALDVPKPSAEKICSTLQFSIQELMAKRHQRLSRLQFNGPTSRDMKMKRHSVYAASTLELSQPDNEERKARAFAAATKELERLFKKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRSLRLELSAEEEVVASMNMDVIRMDLLWKRIHMHLLVTDLN >Manes.01G217500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38634819:38643347:1 gene:Manes.01G217500.v8.1 transcript:Manes.01G217500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPANSPIIRPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKEYGEEWFQVLDNGCGISPNNFKVLALKHHTSKLADFPDLQCLTTFGFRGEALSSLCALGDLSVETRTKNESVAAHLTFDHSGLLTAERKTARQVGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLLNAYALIAKGVRLVCSNTTGKNAKSVVLKTQGSDSLKGNIITVFGMNTFNCLEPVNICISDNCMVDGFLSKPGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFVVPTRACDVNITPDKRKIFFSDESSILLALREGLQKIYSPSNTSYYTINKFDEHMKVTDRSQLYSPREKSHMLSKQLSAIGNDCKEIHTANSTVEGCNLEIKSQHLVVGENDKKDSVKDFTLRGHGIKKAYSFMESKNRQLPTFHDSKNPPSSSRVFEKDIAENRDSNRLSHCVQSTIRDFVTISKRKHEDISTTLSEIPVLRNQTLQSPLKKNNSETNAVVKSCPLNHHPSEDSTKASEIEPSKFSRTEVIFNKIRNSLSYRGKTNDGKPEEDEKEVPTVADVLPITSGEDLEKISEHPASEEKTLPLADDELTYSLSRGLEEMSGNLLVATSPCQSSDSALDVPKPSAEKICSTLQFSIQELMAKRHQRLSRLQFNGPTSRDMKMKRHSVYAASTLELSQPDNEERKARAFAAATKELERLFKKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRSLRLELSAEEEVVASMNMDVIRCEGLDLNSS >Manes.01G217500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38634819:38643348:1 gene:Manes.01G217500.v8.1 transcript:Manes.01G217500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPANSPIIRPINKGVVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKEYGEEWFQVLDNGCGISPNNFKVLALKHHTSKLADFPDLQCLTTFGFRGEALSSLCALGDLSVETRTKNESVAAHLTFDHSGLLTAERKTARQVGTTVTVKKLFSNLPVRSKEFRRNIRKEYGKLISLLNAYALIAKGVRLVCSNTTGKNAKSVVLKTQGSDSLKGNIITVFGMNTFNCLEPVNICISDNCMVDGFLSKPGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFVVPTRACDVNITPDKRKIFFSDESSILLALREGLQKIYSPSNTSYYTINKFDEHMKVTDRSQLYSPREKSHMLSKQLSAIGNDCKEIHTANSTVEGCNLEIKSQHLVVGENDKKDSVKDFTLRGHGIKKAYSFMESKNRQLPTFHDSKNPPSSSRVFEKDIAENRDSNRLSHCVQSTIRDFVTISKRKHEDISTTLSEIPVLRNQTLQSPLKKNNSETNAVVKSCPLNHHPSEDSTKASEIEPSKFSRTEVIFNKIRNSLSYRGKTNDGKPEEDEKEVPTVADVLPITSGEDLEKISEHPASEEKTLPLADDELTYSLSRGLEEMSGNLLVATSPCQSSDSALDVPKPSAEKICSTLQFSIQELMAKRHQRLSRLQFNGPTSRDMKMKRHSVYAASTLELSQPDNEERKARAFAAATKELERLFKKQDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRSLRLELSAEEEVVASMNMDVIR >Manes.06G120600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25170272:25176782:-1 gene:Manes.06G120600.v8.1 transcript:Manes.06G120600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGGSSRKSLSLPNSASMLARKKSFEIGGAPDSARKSFSRAGGLTGERTVKRLRLTKALTVPETTSIYDACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIAAEMNLEETPVSKVMTRNPVFVLSDTLAAEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGASFSGPNSVVETLRDRMFRPSLSTILPENSKVVTVSPTETVLAATKKMLEFRSSSAVVIVDHKPRGILTPKDILMRVIAQNLPPDSTVVEKVMTLNPECATIDTPIVDALHTMHNRKFLHLPVVDRNGNIVAVVDVIHITHAAVATVGSTAGANNEAASTMMQKFWDSAMALSPNEDDEDTRSEASLKLLSEGGETGRSLPYPSLGLPNTYGFKIEDKRGRMHRFTCDTRSLTNLITAILQRLGDDIDRYNLPQILYEDEDRDKVVLESDSDLVAAIDHAKLVGFKSLRLHLDYSGVRSRRLSSTSGDMYYAYPDAWAAAYSAVAAGAALVAGLGVLAYLRRSGQ >Manes.06G120600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25170272:25176956:-1 gene:Manes.06G120600.v8.1 transcript:Manes.06G120600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGGSSRKSLSLPNSASMLARKKSFEIGGAPDSARKSFSRAGGLTGERTVKRLRLTKALTVPETTSIYDACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIAAEMNLEETPVSKVMTRNPVFVLSDTLAAEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGASFSGPNSVVETLRDRMFRPSLSTILPENSKVVTVSPTETVLAATKKMLEFRSSSAVVIVDHKPRGILTPKDILMRVIAQNLPPDSTVVEKVMTLNPECATIDTPIVDALHTMHNRKFLHLPVVDRNGNIVAVVDVIHITHAAVATVGSTAGANNEAASTMMQKFWDSAMALSPNEDDEDTRSEASLKLLSEGGETGRSLPYPSLGLPNTYGFKIEDKRGRMHRFTCDTRSLTNLITAILQRLGDDIDRYNLPQILYEDEDRDKVVLESDSDLVAAIDHAKLVGFKSLRLHLDYSGVRSRRLSSTSGDMYYAYPDAWAAAYSAVAAGAALVAGLGVLAYLRRSGQ >Manes.06G120600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25170272:25176753:-1 gene:Manes.06G120600.v8.1 transcript:Manes.06G120600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGGSSRKSLSLPNSASMLARKKSFEIGGAPDSARKSFSRAGGLTGERTVKRLRLTKALTVPETTSIYDACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIAAEMNLEETPVSKVMTRNPVFVLSDTLAAEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGASFSGPNSVVETLRDRMFRPSLSTILPENSKVVTVSPTETVLAATKKMLEFRSSSAVVIVDHKPRGILTPKDILMRVIAQNLPPDSTVVEKVMTLNPECATIDTPIVDALHTMHNRKFLHLPVVDRNGNIVAVVDVIHITHAAVATVGSTAGANNEAASTMMQKFWDSAMALSPNEDDEDTRSEASLKLLSEGGETGRSLPYPSLGLPNTYGFKIEDKRGRMHRFTCDTRSLTNLITAILQRLGDDIDRYNLPQILYEDEDRDKVVLESDSDLVAAIDHAKLVGFKSLRLHLDYSGVRSRRLSSTSGDMYYAYPDAWAAAYSAVAAGAALVAGLGVLAYLRRSGQ >Manes.06G120600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25170272:25176956:-1 gene:Manes.06G120600.v8.1 transcript:Manes.06G120600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGGSSRKSLSLPNSASMLARKKSFEIGGAPDSARKSFSRAGGLTGERTVKRLRLTKALTVPETTSIYDACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIAAEMNLEETPVSKVMTRNPVFVLSDTLAAEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGASFSGPNSVVETLRDRMFRPSLSTILPENSKVVTVSPTETVLAATKKMLEFRSSSAVVIVDHKPRGILTPKDILMRVIAQNLPPDSTVVEKVMTLNPECATIDTPIVDALHTMHNRKFLHLPVVDRNGNIVAVVDVIHITHAAVATVGSTAGANNEAASTMMQKFWDSAMALSPNEDDEDTRSEASLKLLSEGGETGRSLPYPSLGLPNTYGFKIEDKRGRMHRFTCDTRSLTNLITAILQRLGDDIDRYNLPQILYEDEDRDKVVLESDSDLVAAIDHAKLVGFKSLRLHLDYSGVRSRRLSSTSGDMYYAYPDAWAAAYSAVAAGAALVAGLGVLAYLRRSGQ >Manes.02G183200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14637119:14642203:-1 gene:Manes.02G183200.v8.1 transcript:Manes.02G183200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQASTDDKKAALDAAAWMFNVVTSVGIIIVNKALMATYDFSYATTLTGLHFATTTLLAFVLRWLGVIQATHLPFLELLKFVLFGNFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVLFDKIRYSRDTKLSIAVVLLGVGVCTITDISVNTKGFIAAFIAVWSTSLQQYYIHYLQRKYSLSSFNLLGHTAPAQAATLLLVGPFLDYWLTNRRVDTYDYNIVSVMFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTIFVLIMGFFFFGKEGLNLQVVMGMVIAVVGMIWYGNASTKPGGKEDWNLSPPTSRQEKPSSLSESSEHDQEV >Manes.17G043500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23707762:23709285:-1 gene:Manes.17G043500.v8.1 transcript:Manes.17G043500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFKKGLVNPPQELNSPASLASSRKPKLPQEILKDFESSNALSISFGDVASLAYIPSQNPYAIHQKLFCGLNDMYCIFLGSLNNLCSLIRQYGLSKGTNEAMFIIEAYRTLRDRGPYPAHQVLKDLEGTFGFVVYDANAGQVFAALGANGGVELFWGIAADGSVVISDNLEVIKGSCAKSFAPFPAGCMFHSQQGLMSFEHPKSKMKAMPRIDSEGAMCGANFKVDVESRISSMTRVGSEANWALRGSNA >Manes.05G145200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24707501:24713617:-1 gene:Manes.05G145200.v8.1 transcript:Manes.05G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMELASDQISDENSHSKVNRERPSISFFGLFSAADRIDYLLMFLGSVGSCIHGAALPVFFIFFGRMIDSLGNLAVDPHKMSSQVSRHALYLVYLGLVVFASAWIGVAFWMQTGERQTARLRLHYLQSVLKKDMNFFDTEAGDSNIIFHISSDAILVQDAIGDKTGHAMRYLSQFIVGFAIGFASVWQLTLLTLAVVPLIAIAGGAYTIIMSTLSEKGEAAYAEAGKVADEVISQIRTVYSFVGEDRAIEAYSRSLKNALKLGKKSGIAKGIGVGFTYGLLFCAWAMLLWYASVLVRHRITSGAKAFTMIINVIFSGFALGQAAPNLAAIAKGRAAASNIISMIETGSNTSKRLKEGSELPKVDGKIEFCNVCFAYPSRPSKVLENLSFTVSAGKTFAVVGPSGSGKSTIISMVQRFYDPDSGKVLLDGHDLKILRLKWLREQMGLVSQEPALFASTIADNILLGKEDASMDEIIQAAKAANAHSFIQQLPDGYYTQVGEAGTQLSGGQKQRIAIARAVVRNPTILLLDEATSALDTESEFIVQQALNKIMSNRTTIIVAHRLSTIRDVDTIIVLKNGQVAESGSHLDLISKGGDYATLVSLQVAEPLTHSNSIGCSEASRNFSFREVPHSQNNQQDFKSISIREPQSNDDSIPSQNHSPTLSILELIKLNAPEWPCALLGSVGAILAGMEAPLFALGITHVLTAFYSHDASEMRHEIRRVALIFVGLGVVTIPIYLLQHYFYTLMGERLTTRVRLSMFSAMLSNEIGWFDLEENNTGSLTSALSADATLVRSALSDRLSTIVQNVALTVTACVIAFTLSWRIAAVVVASLPLLVGASIAEQLFLKGFGGDYHAYSRATTVAREALTNIRTVAAFGAEERISIRFASELSKPNKQALLRGHISGFGYGLTQLFAFGSYALGLWYASVLITHKDSNFGHIMKSFMVLIITALAIAETLALTPDIVKGSQALGSVFSVLHRRTAIDPNNLASKVVTDIKGDIEFRNVSFKYPARPDITIFELLNLKVPAGKSLAVVGPSGSGKSTIIALILRFYDPISGAILIDGCDIKTMNLKSLRLKIGLVQQEPALFSTTIYENIKYGNENASEVEIMKASKAANAHGFISRMPEGYQTHVGDRGLQLSGGQKQRVAIARAILKDPSILLLDEATSALDTASEKLVQEALDKLMERRTTILVAHRLSTIRDADSIAVLQHGRVAEFGSHKQLMGKPGSIYKQLVSLQQEERIQS >Manes.05G145200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24708311:24713617:-1 gene:Manes.05G145200.v8.1 transcript:Manes.05G145200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMELASDQISDENSHSKVNRERPSISFFGLFSAADRIDYLLMFLGSVGSCIHGAALPVFFIFFGRMIDSLGNLAVDPHKMSSQVSRHALYLVYLGLVVFASAWIGVAFWMQTGERQTARLRLHYLQSVLKKDMNFFDTEAGDSNIIFHISSDAILVQDAIGDKTGHAMRYLSQFIVGFAIGFASVWQLTLLTLAVVPLIAIAGGAYTIIMSTLSEKGEAAYAEAGKVADEVISQIRTVYSFVGEDRAIEAYSRSLKNALKLGKKSGIAKGIGVGFTYGLLFCAWAMLLWYASVLVRHRITSGAKAFTMIINVIFSGFALGQAAPNLAAIAKGRAAASNIISMIETGSNTSKRLKEGSELPKVDGKIEFCNVCFAYPSRPSKVLENLSFTVSAGKTFAVVGPSGSGKSTIISMVQRFYDPDSGKVLLDGHDLKILRLKWLREQMGLVSQEPALFASTIADNILLGKEDASMDEIIQAAKAANAHSFIQQLPDGYYTQVGEAGTQLSGGQKQRIAIARAVVRNPTILLLDEATSALDTESEFIVQQALNKIMSNRTTIIVAHRLSTIRDVDTIIVLKNGQVAESGSHLDLISKGGDYATLVSLQVAEPLTHSNSIGCSEASRNFSFREVPHSQNNQQDFKSISIREPQSNDDSIPSQNHSPTLSILELIKLNAPEWPCALLGSVGAILAGMEAPLFALGITHVLTAFYSHDASEMRHEIRRVALIFVGLGVVTIPIYLLQHYFYTLMGERLTTRVRLSMFSAMLSNEIGWFDLEENNTGSLTSALSADATLVRSALSDRLSTIVQNVALTVTACVIAFTLSWRIAAVVVASLPLLVGASIAEQLFLKGFGGDYHAYSRATTVAREALTNIRTVAAFGAEERISIRFASELSKPNKQALLRGHISGFGYGLTQLFAFGSYALGLWYASVLITHKDSNFGHIMKSFMVLIITALAIAETLALTPDIVKGSQALGSVFSVLHRRTAIDPNNLASKVVTDIKGDIEFRNVSFKYPARPDITIFELLNLKVPAGKSLAVVGPSGSGKSTIIALILRFYDPISGAILIDGCDIKTMNLKSLRLKIGLVQQEPALFSTTIYENIKYGNENASEVEIMKASKAANAHGFISRMPEGYQTHVGDRGLQLSGGQKQRVAIARAILKDPSILLLDEATSALDTASEKLVQEALDKLMERRTTILVAHRLSTIRDADSIAVLQHGRVAEFGSHKQLMGKPGSIYKQLVSLQQEERIQS >Manes.17G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26867385:26873447:1 gene:Manes.17G068400.v8.1 transcript:Manes.17G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDDRSSHKRAFLEFLDQNIYKDEIKAMINHKRRRLIINISDLHSFQDFGPRILKDPSEYLQPFCDAATDVARSIDPKYLKEEEQVLVGFEGPFVSRRVTPRDLLSEFIGSMVCVEGIVTKCSLVRPKVLKSVHYCPATGDFTTREYRDITSNVGLPTGSVYPTRDGHGNLLVTEYGLCKYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGIYKALPGRSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPDVDRQISEHVLRMHRYRSAFDGGEGTLHGGPRYGREDEGDSESSVFVKYNRMLHGRKAERGRKRDTLTIKFLKKYIHYAKHRIQPELSDEASEHIATAYAELRSASSTAKTGGTLPITARTLETMIRLSTAHAKLKLSRKVSKPDVEAALKVLNFAIYHKELTEMEEREQERERELERQQRVERRSDRNRDGSATVDVEGTMTDAMEVDDPPAGQASSELSPERIEAFNSTFREHMRYLDSVTIDDLENVVNTGDTRYSRAEIMLLLQKLHDENKVMIFDGKVHMVIS >Manes.17G068400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26867287:26873449:1 gene:Manes.17G068400.v8.1 transcript:Manes.17G068400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMDDRSSHKRAFLEFLDQNIYKDEIKAMINHKRRRLIINISDLHSFQDFGPRILKDPSEYLQPFCDAATDVARSIDPKYLKEEEQVLVGFEGPFVSRRVTPRDLLSEFIGSMVCVEGIVTKCSLVRPKVLKSVHYCPATGDFTTREYRDITSNVGLPTGSVYPTRDGHGNLLVTEYGLCKYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDSCKPGDRVAIVGIYKALPGRSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPDVDRQISEHVLRMHRYRSAFDGGEGTLHGGPRYGREDEGDSESSVFVKYNRMLHGRKAERGRKRDTLTIKFLKKYIHYAKHRIQPELSDEASEHIATAYAELRSASSTAKTGGTLPITARTLETMIRLSTAHAKLKLSRKVSKPDVEAALKVLNFAIYHKELTEMEEREQERERELERQQRVERRSDRNRDGSATVDVEGTMTDAMEVDDPPAGQASSELSPERIEAFNSTFREHMRYLDSVTIDDLENVVNTGDTRYSRAEIMLLLQKLHDENKVMIFDGKVHMVIS >Manes.04G034400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4422408:4423486:-1 gene:Manes.04G034400.v8.1 transcript:Manes.04G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQFKSISVIGMSNPEMFLSDKDLNSVELNFLVRPTMEFEAGECDVAPLRDGHGDDEEEEEDKCKFLVSTLKIKLPATREFEIEEDLHDGFKTPTSLDQKIPVILPCPPAPRKPKSLPSNKRKSSRRRVLLDLSNEIESLFPPALRADLGGKIKKVRQEINDNKSKGY >Manes.16G035400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4379598:4381926:1 gene:Manes.16G035400.v8.1 transcript:Manes.16G035400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKSQKLLSLAQQLRLYKPQYSLTEEHPQQRVPKEGTNSVSQSLNSTAESAGINNRAAVLVCIFEGNDSDLRVFLTKRSSSLSSHSGEVALPGGKREDGDADDIETALREAQEEIGLDPALVDVVAVLEPFVTLSGIAVVPVVGILFDKKAFVPSPNANEVEAIFDVPVEMFLKDENHRTEKKQWLGYKYSLHYFDYQTGSQRNFQRMR >Manes.16G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4379599:4381834:1 gene:Manes.16G035400.v8.1 transcript:Manes.16G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKSQKLLSLAQQLRLYKPQYSLTEEHPQQRVPKEGTNSVSQSLNSTAESAGINNRAAVLVCIFEGNDSDLRVFLTKRSSSLSSHSGEVALPGGKREDGDADDIETALREAQEEIGLDPALVDVVAVLEPFVTLSGIAVVPVVGILFDKKAFVPSPNANEVEAIFDVPVEMFLKDENHRTEKKQWLGYKYSLHYFDYQTGSQRFVIWALTAGIMIRVASIVYQRPPTFLEQRPPFWGGVSQRDIPKL >Manes.06G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4985252:4990881:-1 gene:Manes.06G024000.v8.1 transcript:Manes.06G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDTIPLHASSQSDIDEIENLINASVQSGPATVLPARPPSPPRIPVSSSPFIQSNLPPLPPTNQKPSYVPSVPAAPAPPSPTVNSHSSAGASGFGSSPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGAVICMLKDNVIIKVVVACVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAIN >Manes.06G024000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4985252:4990881:-1 gene:Manes.06G024000.v8.1 transcript:Manes.06G024000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDTIPLHASSQSDIDEIENLINASVQSGPATVLPARPPSPPRIPVSSSPFIQSNLPPLPPTNQKPSYVPSVPAAPAPPSPTVNSHSSAGASGFGSSPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKGGHIIFFQSLSLLGYCLFPLDVGAVICMLKDNVIIKVVVACVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAIN >Manes.13G138300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34633808:34637625:-1 gene:Manes.13G138300.v8.1 transcript:Manes.13G138300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGGEIVQVQGGHIVRSTGRKDRHSKVYTSKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLAELPPWHPTANDANGMMETDHNAGSSEMAIAEQSESSGYSFQLHRQLADNPSNDSSFITPPIDPDTMKSLFPTSSTTSTMNFQGYPPEIISRTTNHSEDLGLSLHPFQDQDLIHGQSQADTSHTPSNDQNLFGGSAPVGYEANFQRMMAWSNNTGTENRTDGGFGFNPPQMPPQQTLLEQESAFSQRGPLQSSFAQTIRAWNDLTMASANHHRAQEIHQSFIFGSRFASEGLPGFSIPVRIHGEEEQTVVSDRPSSSSPNSQH >Manes.13G138300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34633808:34637625:-1 gene:Manes.13G138300.v8.1 transcript:Manes.13G138300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGGEIVQVQGGHIVRSTGRKDRHSKVYTSKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLAELPPWHPTANDANGMMETDHNAGSSEMAIAEQSESSGYSFQLHRQLADNPSNDSSFITPPIDPDTMKSLFPTSSTTSTMNFQGYPPEIISRTTNHSEDLGLSLHPFQDQDLIHGQSQADTSHTPSNDQNLFGGSAPVGYEANFQRMMAWSNNTGTENRTDGGFGFNPPQMPPQQTLLEQESAFSQRGPLQSSFAQTIRAWNDLTMASANHHRAQEIHQSFIFGSRFASEGLPGFSIPVRIHGEEEQTVVSDRPSSSSPNSQH >Manes.13G138300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34633808:34637625:-1 gene:Manes.13G138300.v8.1 transcript:Manes.13G138300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGGEIVQVQGGHIVRSTGRKDRHSKVYTSKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLAELPPWHPTANDANGMMETDHNAGSSEMAIAEQSESSGYSFQLHRQLADNPSNDSSFITPPIDPDTMKSLFPTSSTTSTMNFQGYPPEIISRTTNHSEDLGLSLHPFQDQDLIHGQSQADTSHTPSNDQNLFGGSAPVGYEANFQRMMAWSNNTGTENRTDGGFGFNPPQMPPQQTLLEQESAFSQRGPLQSSFAQTIRAWNDLTMASANHHRAQEIHQSFIFGSRFASEGLPGFSIPVRIHGEEEQTVVSDRPSSSSPNSQH >Manes.13G138300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34633808:34637625:-1 gene:Manes.13G138300.v8.1 transcript:Manes.13G138300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGGEIVQVQGGHIVRSTGRKDRHSKVYTSKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLAELPPWHPTANDANGMMETDHNAGSSEMAIAEQSESSGYSFQLHRQLADNPSNDSSFITPPIDPDTMKSLFPTSSTTSTMNFQGYPPEIISRTTNHSEDLGLSLHPFQDQDLIHGQSQADTSHTPSNDQNLFGGSAPVGYEANFQRMMAWSNNTGTENRTDGGFGFNPPQMPPQQTLLEQESAFSQRGPLQSSFAQTIRAWNDLTMASANHHRAQEIHQSFIFGSRFASEGLPGFSIPVRIHGEEEQTVVSDRPSSSSPNSQH >Manes.13G138300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34633808:34637290:-1 gene:Manes.13G138300.v8.1 transcript:Manes.13G138300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGGEIVQVQGGHIVRSTGRKDRHSKVYTSKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSAIDKLAELPPWHPTANDANGMMETDHNAGSSEMAIAEQSESSGYSFQLHRQLADNPSNDSSFITPPIDPDTMKSLFPTSSTTSTMNFQGYPPEIISRTTNHSEDLGLSLHPFQDQDLIHGQSQADTSHTPSNDQNLFGGSAPVGYEANFQRMMAWSNNTGTENRTDGGFGFNPPQMPPQQTLLEQESAFSQRGPLQSSFAQTIRAWNDLTMASANHHRAQEIHQSFIFGSRFASEGLPGFSIPVRIHGEEEQTVVSDRPSSSSPNSQH >Manes.06G031900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8437400:8438479:-1 gene:Manes.06G031900.v8.1 transcript:Manes.06G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVAIETSLFFNNLSSPNLYRPNKIRRRNAIAVTLAKKKDSSKDSTTQQPNFPLRFSSKFLAQSAIAVFGLGFIDAGYSGDWSRIGVISKEAEDLLKVSAFVVIPLCIFLVFAISREEEL >Manes.06G031900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8435877:8438290:-1 gene:Manes.06G031900.v8.1 transcript:Manes.06G031900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVAIETSLFFNNLSSPNLYRPNKIRRRNAIAVTLAKKKDSSKDSTTQQPNFPLRFSSKFLAQSAIAVFGLGFIDAGYSGDWSRIGVISKEAEDLLKVSAFVVIPLCIFLVFAISREEEL >Manes.01G064100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26255960:26257121:1 gene:Manes.01G064100.v8.1 transcript:Manes.01G064100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGTTEKCKACDQTVHFFETVKADGVPYHKKCFKCSHCNGLLVMSSYSSVDGALYCKPHFDQLFRVTGSFSMKLSSSGEKKGLARAPSRLSSMFRGTQDKCASCDKTAYPLEKVTVEGASYHKTCFRCSHGGCYLTPSSYAALDGILYCKPHFAQLFKEKGCYNHLTKSASTKRSEAIAPEAEESKVTAAVSEPNPEVETEGSSEATPVKDQ >Manes.01G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25866344:25870112:1 gene:Manes.01G061800.v8.1 transcript:Manes.01G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGVKKLPIAPTLSHSSVSLREETSGKIQAKGVSRNPKSFLKLEHLQKLAVWASGETSIPSLGAFFGRQFAAAGEILGVSPDPSIIQCQRCETILQPGFNCTVRIEKNQTKARQRQKKHNTPMQNNVVYKCHFCSHQNLKRGTAKGHMKEICPSKPKPKPSAKSETCKPMAQKSASLEKVTKSKDEIALPPPSGEPSILNSPATPSVRSGVTLLDAKRRKRTRSGSKKSEESESKNGAEGGERTVSASSKRKRKSWTSLKEITASNEHDSIRNITLAAPFFI >Manes.16G038720.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6843569:6849342:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.16G038720.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6816355:6849342:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.16G038720.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6818479:6849342:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.16G038720.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6843383:6849342:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.16G038720.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6847043:6848358:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.16G038720.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6846694:6849344:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.16G038720.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6816355:6849342:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.16G038720.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6818479:6849342:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.16G038720.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6816355:6849342:-1 gene:Manes.16G038720.v8.1 transcript:Manes.16G038720.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSQGSSNSLPSFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPKFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKKIHRRKPVHSHSVQNENIHGRGSNPLTDSERQSLKVYIERLEEEKKVLILELQRHEQERQGFEMQVQALKVKLQQMEKRQQTLVSCMAQVLQKPGLAINLMSQLEPGHDRKRRLPRIGYFSNEASIEDNHMVTCQTHAGENADSNSVAQTNMEQFEQLESSLTFWESILNDVQINAQINSTLELDESTSCAESPAVSCVQFIVDVRPKSPSIDMNSEPAVASATDSVPPREHAPGPAPSAAAGVNDVFWEQFLTENPGSTDKQEVQYERKDSGGRKHEIKPSDQGQFWWNMRNVNNIAKQMGHLTPAERT >Manes.10G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6627001:6640704:1 gene:Manes.10G054100.v8.1 transcript:Manes.10G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLRHPVISRAHSLFRARFLSTYHSSSGSLSRCSSGKNSFVDGNFSLLRSASLYGVHDSSWKLKLRIGLHHFSTTELPPHAVIGMPALSPTMTQGNIAKWRKKEGDKIEAGDVLCEIETDKATVEFESLEEGFLAKILAPEGSKDVPVGQPIAITVEDADDIQNVPATVSSGAEVKEEKSSERDSHSEDKGTTSDKLSTSELPPHIVIGMPALSPTMNQGNIFKWRKKEGDKIEMGEVICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAVTVENSDDIETVKTNFSGSMEVKEEISPRRDSKDDGREEKASFTRISPAAKLLISEHGLDASSLKASGPHGTLLKGDVLAAIKSGKGSSSKPSPKGKGAPSPPKGLQASTTALPESYPQQSDSFEDLPNTQIRKVIARRLLESKQTAPHLYLSTDVILDPLLAFRKELKEQHDIKVSVNDIVIKAVAVALRNVPEANAYWNVEKGEIVLCDSVDISIAVATEKGLMTPIVRNADQKSISSISSEVKQLAEKARAGKLAPNEFQGGTFSISNLGMYPVDQFAAIINPPQAGILAVGRGNKVVEPVVGIDGTERPAVVNKMNLTLSADHRVFDGKVGGAFLSALRSNFSDIRRLLL >Manes.15G083400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6442134:6450071:-1 gene:Manes.15G083400.v8.1 transcript:Manes.15G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNAKHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKLKSPPRGHDERSSGHWQRPSERTGHQRASSSENMFDEKKNAQPQSSKDSVPVASISSPVPPKGTEQVIPPPKPQQVIQKIESKGKPTESTSNVATAVLAASAPKVDYATDLFNMLSVDGSSENGSEAASNDDNGWAGFQSAEEAPTDKTSTTTVAENNTNSTSGIEDFFKDSPSLTNPSVTENPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLVIATAAKSGGAVPGIIQHPGSNGINLPAQNWPNVGYQIPGLVMPVAGQGDPRKLMQTGNTGLANLAGSSAPYSASSFYTMGQVTPDNGAVTAGVNKPQSGAPVSSGKSSQSGKEYDFSSLTQGMFSKH >Manes.15G083400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6442134:6450071:-1 gene:Manes.15G083400.v8.1 transcript:Manes.15G083400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNAKHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKLKSPPRGHDERSSGHWQRPSERTGHQRASSSENMFDEKKNAQPQSSKDSVPVASISSPVPPKGTEQVIQKIESKGKPTESTSNVATAVLAASAPKVDYATDLFNMLSVDGSSENGSEAASNDDNGWAGFQSAEEAPTDKTSTTTVAENNTNSTSGIEDFFKDSPSLTNPSVTENPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLVIATAAKSGGAVPGIIQHPGSNGINLPAQNWPNVGYQIPGLVMPVAGQGDPRKLMQTGNTGLANLAGSSAPYSASSFYTMGQVTPDNGAVTAGVNKPQSGAPVSSGKSSQSGKEYDFSSLTQGMFSKH >Manes.11G045066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4842490:4848508:-1 gene:Manes.11G045066.v8.1 transcript:Manes.11G045066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGRQGQRSGATAVHHQRQYSDNFLDSSSSNGRWLQSAGLQHLQNSSSSSSIPPLQDYNFYGGGGGGQGMRMYRNAQRSYNGANEFYVEPSTPPVSSRPSSQRKNGEDSPSEFSPGLLDLHSFDTELLPEMPVPGLYDASSLFNPVRGRSFDDSEPYISMNKQSGRAPGLPDNNLLKSFAADKEKASSVAKIKVVVRKRPLNKKELAKNEEDIIETHSNSITVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVETIKDLIEKGSATRSTGTTGANEESSRSHAILQLAIKRSADGSESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTNVPLSSVLPATSTFEDDTDAWAEQDERDDFDASEDSYEQEKPMWKDTGKVESYNQSSSEDRIWKPNGQTKWKDMSKSDFKNSHSDDDLNALLQEEQDLVNAHRKQVEETMNIVREEMNLLVEADQPGNQLDDYITRLNTILSQKAAGILQLQNRLAHFQKLLKEHNVFVSSSGY >Manes.02G013900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1359349:1362797:-1 gene:Manes.02G013900.v8.1 transcript:Manes.02G013900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPSADLFDPRTIMDSDYSPGGPDAPGSDPDFAFAFNDSNFSDRVLRIEIIPDLPETKSDGDPCTSIADWVRNRKRRREDIKKENAAEVIGQSEEQIINCNMPDTEDGVAYENQDEEPMAMIEESPTDPDGNLNQLGEDVDNGNDSSWNMDCSTVLRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRIHASEEAALMDLLNFMYSHTLSTTTPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSTVLMAEAVQPLTDAAKQFLAAQYKDINKFQEEVLNLPLAGIEAVLSSDDLQVASEDAVYDFVLKWARTHYPRLEDRREILATRLGRLIRFPFMTCRKLKKVLSCNDFDPELASKVVLEALFFKGEAPYRQRVLAAEEANSTYRRFVERAYKYRPVKVVEFEIPRQQCVVYLDLKREECAHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMADDSLYFINGILHLRAELTIRQ >Manes.03G156400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28487702:28490616:-1 gene:Manes.03G156400.v8.1 transcript:Manes.03G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSANDLAQLFGPNITNSTAAADFICSRFNTSENNYSAAKYAIDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFAFAFGTGGSANGFIGKHYFGLKAVPSQDFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSADGWASAFKTNDLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHSGRAISLRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVVYADGSYNGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITSGCSVVDPWAAIICGFVAALVLIGCNKLAEKLKFDDPLEAAQLHGGCGAWGVIFTALFAREKYVAQVYKPGRPHGLFMGGDGKLLAAHVIQILVITGWVSATMGPLFYILHKLKLLRISADDEMAGMDLTRHGGFAYIYHDEDESQRHGIKLMQIEPSATTPNSSSV >Manes.03G083603.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20526033:20529876:1 gene:Manes.03G083603.v8.1 transcript:Manes.03G083603.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRLLTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFILTKVFKVMEVEDGMTLEIYATSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWVGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPVSVMYYVSPCSALCLFIPWIFLEKPRMEAHSWNFQPVVLTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALLFADTKLTVINLFGYCIAIAGVAAYNNHKLKKEATRGSSDKSQPAESIPLTASSTSNS >Manes.03G083603.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20526005:20529876:1 gene:Manes.03G083603.v8.1 transcript:Manes.03G083603.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRLLTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFILTKVFKVMEVEDGMTLEIYATSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWVGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPVSVMYYVSPCSALCLFIPWIFLEKPRMEAHSWNFQPVVLTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALLFADTKLTVINLFGYCIAIAGVAAYNNHKLKKEATRGSSDKSQPAESIPLTASSTSNS >Manes.03G083603.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20526006:20529876:1 gene:Manes.03G083603.v8.1 transcript:Manes.03G083603.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRLLTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFILTKVFKVMEVEDGMTLEIYATSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWVGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPVSVMYYVSPCSALCLFIPWIFLEKPRMEAHSWNFQPVVLTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALLFADTKLTVINLFGYCIAIAGVAAYNNHKLKKEATRGSSDKSQPAESIPLTASSTSNS >Manes.03G083603.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20526006:20529876:1 gene:Manes.03G083603.v8.1 transcript:Manes.03G083603.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSRLLTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFILTKVFKVMEVEDGMTLEIYATSVVPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWVGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPVSVMYYVSPCSALCLFIPWIFLEKPRMEAHSWNFQPVVLTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALLFADTKLTVINLFGYCIAIAGVAAYNNHKLKKEATRGSSDKSQPAESIPLTASSTSNS >Manes.10G095000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24239572:24241811:1 gene:Manes.10G095000.v8.1 transcript:Manes.10G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMAFLFFPFPPKVFKPKVYLPTMVASLQVLMAAIFLSQMNLLATGLSFDFTGFNVGDLNISYEEAYPADQAIQLTNNMRGVNPQAKFGRATYFKSMQLWDRSSGNLTDFNTHFSFVIDSQGNSIYGDGLAFFLAPEGSKLPPKQTQGCSLGLTFDGQELNTSSNRFVAVEFDIFSNDFDPPGEHVGININSLESVNNITWFCSIMTGKMNDAWISYNSSTHNLSVAFTGNDTLKMQYLSEIVDLRDYLPERVTFGFSAATGELYAIHRVYSWHFSSTLEIHEKKPILTPPSEAPSPISDSNPTENGKKRRLPVLPMLLGVSGALVVVGGLIWLFLVYWKKDEEVGHVVDEYMEDEFEKGTGPRKFSYRELARATNNFKDEKKLGEGGFGGVYKGFLKDTNSDVAVKRVSRWSKQGVKEYAAEVKIISRLRHRNLVQLIGWCHERNDLLLVYEFMHNGSLDSHLFREISLLTWEVRFRIAQGIASGLLYLHEEWEQCVVHRDIKSSNVMLDSNFNAKLGDFGLARMMEHGKGLQTTVLAGTMGYMAPECLTTGKASRESDVYSFGVVALEIACGRKPINTKANEEEVHMVSWVWDLYGRGKLLEAADPRLCGDFDVQQMERLMIVGLWCSHPDENLRPSIRQSIHVLNFETPLPDLPLKMPVPMYLNTVVKPFSFSLSCGPTLSKGIRNQSSTNSYNANSYSFR >Manes.18G090400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8312036:8314755:1 gene:Manes.18G090400.v8.1 transcript:Manes.18G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAHVNGKKAGILAEDFDLSAVVDVEPLPPVVTADDVVGSKDGKKQNGREIVLGRNVHTECLEVTEPDANDDFTGDKEAYMASVLARYRKTLIERTRHHLGYPYNLDFDYGALAQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNDYWGYITNCGTEGNLHGILVGREVLPDGILYASRESHYSVFKAARMYRMECVKIDCLMSGEIDCADFKAKLNQDKPAIINVNIGTTVKGAVDDLDLVIQTLEESGFTHDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHINALSRNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYIGFQKEVQKCLRNAHYLKDRLRDAGISAMLNELSSTVVFERPQDEEFVRRWQLACQGNIAHVIVMPNVTIEKLDDFLNELIEKRSTWYQDGQFQPPCIAADVGNENCGCALHK >Manes.11G072300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10514270:10516125:1 gene:Manes.11G072300.v8.1 transcript:Manes.11G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDSNGEIRSDPTLSFEHPYPPTKTMFIPDKECQKPDLLATSSDFLRVWRIGDDQSRVELKSLLNGNKSSEFCGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHHASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Manes.09G155000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35107874:35112625:-1 gene:Manes.09G155000.v8.1 transcript:Manes.09G155000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTPNKSHLISTPLSQFEDSPVFNYINNLSPIELVKSVHSSDQSLNSLAFSSPPSVFASPKLVSQRDTRSFLTRRQFLDPSKHGLSQVKDANSTSEGVSAAVKLSNSSAEQLGRLASSSSAKEVTAEPLNEQLELAIELPEALKCDIASPDKNIAPLDAIRTDTETEKGDKSNEKHFSYESEKNLRKICRIEQSEDEAGVDWVTLVSNVADAFYFESSVIEEHSEEQKLMVDPGTISFISNVLQIPQDNTNELENSNDYSEQETGETGTQSTGKNKEADKMPAVLSGTLLNKLVVNDVAAKVDVKGKKRESSCKNRIRRLVFEMAGAHKKETACQESNAYPISVQSDSEVDHIEKHSTPRISMLSRKGIGLHLNALATTSSDCKVVKIATLSSTRQETKTGLVLCDNEDKVIENAPQTSTDVSDDFGISSPKVKRPKMEHVGAACKRCNCKRSKCLKLYCECFAAGLYCIEPCSCQDCFNKPAHEDTVLETRKQIESRNPLAFAPKVIRSTEFASEFGDETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSPNCRCEGCKNTFGSKNGVEENELEGVEPEELEKKFSDVTSRDMIEEGEEEHADRPIPSETARSSIQLPMTLGVRFSRSQPAFGASSQMCPSQKLGKEIFRQPKFETHLQAIPEEETPEVLNSSCPPANGVKSTSPNCKRISPPHQGFGSSAIWRSSRKLILRSVPPFPSLNSPHQQ >Manes.09G155000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35107874:35112638:-1 gene:Manes.09G155000.v8.1 transcript:Manes.09G155000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTPNKSHLISTPLSQFEDSPVFNYINNLSPIELVKSVHSSDQSLNSLAFSSPPSVFASPKLVSQRDTRSFLTRRQFLDPSKHGLSQVKDANSTSEGVSAAVKLSNSSAEQLGRLASSSSAKEVTAEPLNEQLELAIELPEALKCDIASPDKNIAPLDAIRTDTETEKGDKSNEKHFSYESEKNLRKICRIEQSEDEAGVDWVTLVSNVADAFYFESSVIEEHSEEQKLMVDPGTISFISNVLQIPQDNTNELENSNDYSEQETGETGTQSTGKNKEADKMPAVLSGTLLNKLVVNDVAAKVDVKGKKRESSCKQNRIRRLVFEMAGAHKKETACQESNAYPISVQSDSEVDHIEKHSTPRISMLSRKGIGLHLNALATTSSDCKVVKIATLSSTRQETKTGLVLCDNEDKVIENAPQTSTDVSDDFGISSPKVKRPKMEHVGAACKRCNCKRSKCLKLYCECFAAGLYCIEPCSCQDCFNKPAHEDTVLETRKQIESRNPLAFAPKVIRSTEFASEFGDETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSPNCRCEGCKNTFGSKNGVEENELEGVEPEELEKKFSDVTSRDMIEEGEEEHADRPIPSETARSSIQLPMTLGVRFSRSQPAFGASSQMCPSQKLGKEIFRQPKFETHLQAIPEEETPEVLNSSCPPANGVKSTSPNCKRISPPHQGFGSSAIWRSSRKLILRSVPPFPSLNSPHQQ >Manes.09G155000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35107874:35112625:-1 gene:Manes.09G155000.v8.1 transcript:Manes.09G155000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTPNKSHLISTPLSQFEDSPVFNYINNLSPIELVKSVHSSDQSLNSLAFSSPPSVFASPKLVSQRDTRSFLTRRQFLDPSKHGLSQVKDANSTSEGVSAAVKLSNSSAEQLGRLASSSSAKEVTAEPLNEQLELAIELPEALKCDIASPDKNIAPLDAIRTDTETEKGDKSNEKHFSYESEKNLRKICRIEQSEDEAGVDWVTLVSNVADAFYFESSVIEEHSEEQKLMVDPGTISFISNVLQIPQDNTNELENSNDYSEQETGETGTQSTGKNKEADKMPAVLSGTLLNKLVVNDVAAKVDVKGKKRESSCKQQNRIRRLVFEMAGAHKKETACQESNAYPISVQSDSEVDHIEKHSTPRISMLSRKGIGLHLNALATTSSDCKVVKIATLSSTRQETKTGLVLCDNEDKVIENAPQTSTDVSDDFGISSPKVKRPKMEHVGAACKRCNCKRSKCLKLYCECFAAGLYCIEPCSCQDCFNKPAHEDTVLETRKQIESRNPLAFAPKVIRSTEFASEFGDETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSPNCRCEGCKNTFGSKNGVEENELEGVEPEELEKKFSDVTSRDMIEEGEEEHADRPIPSETARSSIQLPMTLGVRFSRSQPAFGASSQMCPSQKLGKEIFRQPKFETHLQAIPEEETPEVLNSSCPPANGVKSTSPNCKRISPPHQGFGSSAIWRSSRKLILRSVPPFPSLNSPHQQ >Manes.15G125700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10037808:10044056:-1 gene:Manes.15G125700.v8.1 transcript:Manes.15G125700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLEQSGPRELGGAVDLINQYKLWPHHELFCKRSLPLSISETHYFRNVVGDTQIRKGEGMELDQLFHNASYLREKNSCIHPFDIGELVEAFHIRETTPLDLPSAERGVPTATMKSTTKSTRDEEMKHKKRGDKEKKHKHHKKDGSHKDRKRSGIEPGIDSLKKQPDKMRRYKGF >Manes.15G125700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10037857:10043959:-1 gene:Manes.15G125700.v8.1 transcript:Manes.15G125700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFFLVKLMDEGKAFGGGPRELGGAVDLINQYKLWPHHELFCKRSLPLSISETHYFRNVVGDTQIRKGEGMELDQLFHNASYLREKNSCIHPFDIGELVEAFHIRETTPLDLPSAERGVPTATMKSTTKSTRDEEMKHKKRGDKEKKHKHHKKDGSHKDRKRSGIEPGIDSLKKQPDKMRRYKGF >Manes.15G125700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10037879:10043868:-1 gene:Manes.15G125700.v8.1 transcript:Manes.15G125700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFFLVKLMDEGKAFGGGPRELGGAVDLINQYKLWPHHELFCKRSLPLSISETHYFRNVVGDTQIRKGEGMELDQLFHNASYLREKNSCIHPFDIGELVEAFHIRETTPLDLPSAERGVPTATMKSTTKSTRDEEMKHKKRGDKEKKHKHHKKDGSHKDRKRSGIEPGIDSLKKQPDKKSRILELAGGRLKTSGSHC >Manes.15G125700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10037775:10043336:-1 gene:Manes.15G125700.v8.1 transcript:Manes.15G125700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFFLVKLMDEGKAFGGGPRELGGAVDLINQYKLWPHHELFCKRSLPLSISETHYFRNVVGDTQIRKGEGMELDQLFHNASYLREKNSCIHPFDIGELVEAFHIRETTPLDLPSAERGVPTATMKSTTKSTRDEEMKHKKRGDKEKKHKHHKKDGSHKDRKRSGIEPGIDSLKKQPDKMRRYKGF >Manes.15G125700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10037841:10044057:-1 gene:Manes.15G125700.v8.1 transcript:Manes.15G125700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLEQSGPRELGGAVDLINQYKLWPHHELFCKRSLPLSISETHYFRNVVGDTQIRKGEGMELDQLFHNASYLREKNSCIHPFDIGELVEAFHIRETTPLDLPSAERGVPTATMKSTTKSTRDEEMKHKKRGDKEKKHKHHKKDGSHKDRKRSGIEPGIDSLKKQPDKKSRILELAGGRLKTSGSHC >Manes.15G125700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10037776:10044056:-1 gene:Manes.15G125700.v8.1 transcript:Manes.15G125700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFFLVKLMDEGKAFGGGPRELGGAVDLINQYKLWPHHELFCKRSLPLSISETHYFRNVVGDTQIRKGEGMELDQLFHNASYLREKNSCIHPFDIGELVEAFHIRETTPLDLPSAERGVPTATMKSTTKSTRDEEMKHKKRGDKEKKHKHHKKDGSHKDRKRSGIEPGIDSLKKQPDKMRRYKGF >Manes.15G125700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10037879:10043868:-1 gene:Manes.15G125700.v8.1 transcript:Manes.15G125700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFFLVKLMDEGKAFGGGPRELGGAVDLINQYKLWPHHELFCKRSLPLSISETHYFRNVVGDTQIRKGEGMELDQLFHNASYLREKNSCIHPFDIGELVEAFHIRETTPLDLPSAERGVPTATMKSTTKSTRDEEMKHKKRGDKEKKHKHHKKDGSHKDRKRSGIEPGIDSLKKQPDKKSRILELAGGRLKTSGSHC >Manes.15G125700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10037776:10044056:-1 gene:Manes.15G125700.v8.1 transcript:Manes.15G125700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFFLVKLMDEGKAFGGGPRELGGAVDLINQYKLWPHHELFCKRSLPLSISETHYFRNVVGDTQIRKGEGMELDQLFHNASYLREKNSCIHPFDIGELVEAFHIRETTPLDLPSAERGVPTATMKSTTKSTRDEEMKHKKRGDKEKKHKHHKKDGSHKDRKRSGIEPGIDSLKKQPDKMRRYKGF >Manes.01G197300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37122317:37126959:-1 gene:Manes.01G197300.v8.1 transcript:Manes.01G197300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNSGEDFAVGCLLSIKTTLGDEFDGQVITFDRPSNILVLQEGSKPGPRRNIRFLKANYIKEFSFLGQSDDPLDVKKCYIDLHSLQAREELALRQAEAEAERIGVGVTAEAQSIFDALSKTLPVRWDKTVIVVMNEVRVSSPYLPDCVSGGTPAANERVKKVLEFERKRLQARGASQ >Manes.02G029575.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2498559:2501758:-1 gene:Manes.02G029575.v8.1 transcript:Manes.02G029575.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARCKILSTIFLVILLILPSVFSSSLAATKKAAKDLYSWYQSFLLENTFSSNQPRLLHSYRHVATGFAAKLTANEVKAMATKEGFVSARPERFVPLHTTHTPSFLRLQPNMGLWNSSNYGRGVIIGLIHTGITPDHPSFSGEGMPPPPAKWKGKCELNGTSCNNKLIAGTVAGSPVQGANYFGQANGTSIGMAPLAHLAMYKVSARLGKAGESEILAATDAAIEDGVDVLSLSLGIDSRPFYDEVIALGAYAAVQKGIVHHHHQSFHHHFPNQQHHHHFPISNTTTNFPIPILKTLDILNSISRSHHRILPRNFLSSPAFGIPKNINIMSPKLNSLSNEAPWILTVGASTVDRAIRATVLLGNNPELNGESLYQPESFPSTLLPLVYAGANGNPSSASCNAGSLTNVDVKGKIVLCEGGYGTIEKGLEVKRNGGTAMIVMNDGEYDGFVTTADPQVLPASHVRTVTGLPDAPQVAEFSSRGPSIASPGILKPDIIGPGVRILAAWPVSVGNTTNKFDMISGTSMSCPQLSGVAALLNNAHPDWSPAAIKSAIMTTANLVDLGGKPIYDEQFDIQPDDYIPYLCGLGYSDKQVGLIVRHTVNCSTISSIPEAQLNYPAFSIRLGSTPQTCTRTITNAIPDKISFNGMNQKATYSVTFSKYEYHYGRIGQGYLNWVANGYNVRTPIAVTFE >Manes.04G135700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33600243:33604493:1 gene:Manes.04G135700.v8.1 transcript:Manes.04G135700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPSLSSVEQDEDTQIVKVAADNPISPSCRQSGEKDEYLLPEFNDLVEEFDFTAISTGMSSKDLETPKSYVGTTREFKNVENDAYEQEIRHLNNMVRMLQEREKNLEIQLLEFYGIKEQQTAVIELQNRLKLNNMEAKLFNLKIESLQTENQRLKAQAADHAKIISELDSAKAKIKFLRKMLRSEAEQSKEQILTLKKRVTRLQEQELEGAATDSEIQLKLEKMKDLEAEAEQLRESNFRLQLENSELGCQLESTQILANSVLEDPEAEELRELSNRLRQENGVLAKEVERLQADRCTDVEELVYLRWINACLRYELRNFQPPHGKTVARDLSKSLSPESELKAKQLILEYANTEGMGENEIDILDFESDQWSSSHTSYIADPVDFNDSSGSPKNNISKIKIFSKLRRLIRGKDIQHHDHRASAGKTGREEDSDSPAGSSSISTITDAAGDQQFNRYQSLTVDLSRHLSRHSEDIQSLKIAKNIELGRRNSHSGSFYRHRRYLSGRITATDLSPEDQDPNSIEKYELLKMAEVLKDSRRGKFHRKATSLGSFEAFQTQ >Manes.04G135700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33600243:33604493:1 gene:Manes.04G135700.v8.1 transcript:Manes.04G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKKDIRPVLVKLGVALALSFAGFLYSRIKCRRPIFSQPPCSPNSSDHVSEVDEGGRDAMKKTPSLSSVEQDEDTQIVKVAADNPISPSCRQSGEKDEYLLPEFNDLVEEFDFTAISTGMSSKDLETPKSYVGTTREFKNVENDAYEQEIRHLNNMVRMLQEREKNLEIQLLEFYGIKEQQTAVIELQNRLKLNNMEAKLFNLKIESLQTENQRLKAQAADHAKIISELDSAKAKIKFLRKMLRSEAEQSKEQILTLKKRVTRLQEQELEGAATDSEIQLKLEKMKDLEAEAEQLRESNFRLQLENSELGCQLESTQILANSVLEDPEAEELRELSNRLRQENGVLAKEVERLQADRCTDVEELVYLRWINACLRYELRNFQPPHGKTVARDLSKSLSPESELKAKQLILEYANTEGMGENEIDILDFESDQWSSSHTSYIADPVDFNDSSGSPKNNISKIKIFSKLRRLIRGKDIQHHDHRASAGKTGREEDSDSPAGSSSISTITDAAGDQQFNRYQSLTVDLSRHLSRHSEDIQSLKIAKNIELGRRNSHSGSFYRHRRYLSGRITATDLSPEDQDPNSIEKYELLKMAEVLKDSRRGKFHRKATSLGSFEAFQTQ >Manes.05G079100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6479699:6482664:-1 gene:Manes.05G079100.v8.1 transcript:Manes.05G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGKFSLAVLLLSLLVLCAGLALATTDPELKQCKDQCKHQRQYDEDQKRECLDRCEKYYKEKEEQERKGEGRREEGRGTGRRDEKMQELYERPCEWCRMRYEKEHHGREEEKEGRKENPYVFEERHFTSKARSEHGRLDVLQKFTEESGVLRGIENYRVAVVEAAPQTFVSPTHWDSHAVLFVASGRGTITGIQEEKRRSFNIEEGDVMRVSAGTTVYVINRDEDERLFIVAFLRPVNIPGETEAFRAPGSQDHESFYSAFSWELLEAALKTDRRKLERILNQNQEIFTKASKEQIQGMSQREEGGGIWPFRGESSGPYNLLHQRPVQSNNHGQLYEVDRRYYEQQLEDLDISVSFANITRGSMIGPYYNSRATKVAVVVDGEGYFEMACPHVGRESQTGSTYQKISSRLRRGTVFVVPAGHPVASVASRNSNLQVLCFEVNARGNTRYPLAGKNNIVNKMEREAKELAFGVREREVDQVFGSQSGQWFFPGPRERQWEGRADA >Manes.10G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2264588:2269432:1 gene:Manes.10G023700.v8.1 transcript:Manes.10G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGTVTAEDLIGALREVDWSIPPRSINEFFSKFTIPRSYPKWNSRLKCNLYYYRTNYFILIVFVLGVACLTRPMAILAAALTALSFAFLNDSFAATFSEKVTRTVRKFSPHLAAKMRPPHMPVIRGRPSSKKSVFICGQPRGVFVFLFSAASFILWFTSGTLLFALWAFGIGFLVTVLHASVRTPNLKARLNTFREEFRAVWRNYSEL >Manes.12G042200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3804558:3808156:-1 gene:Manes.12G042200.v8.1 transcript:Manes.12G042200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTLTTLTKKKDIDAIIKDTIDKVLVLRFGRASDAVCLHLDDLLSKSAREVSKFATIALVDIDSEDVQVYVKYFDISLVPSTVFFFNAHHMKMDSGTADHTKWIGAFHTKQDFIDVVEAIFRGAMKGKMIVNCPLPPERIPKYQLLYKDL >Manes.12G042200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3807205:3807962:-1 gene:Manes.12G042200.v8.1 transcript:Manes.12G042200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTLTTLTKKKDIDAIIKDTIDKVLVLRFGRASDAVCLHLDDLLSKSAREVSKFATIALVDIDSEDVQVYVKYFDISLVPSTVFFFNAHHMKMDSGTADHTKWIGAFHTKQDFIDVVEVS >Manes.01G252800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41094861:41098077:-1 gene:Manes.01G252800.v8.1 transcript:Manes.01G252800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVLKLELDDDKAKQKALKKVSTLSGIDSIAMDMKEKKLTVIGTVDPVSVVSKLRKHWQTDIVSVGPAKEPEKEEEPKKEEPKKEEEAKKEEPKKEEEAKKEEPNKEETKEEEPKKGEEKEEEKSKEAAAAPPPDPVLELVKAYKAYNPQLTTYYYVQSIEENPNACVIC >Manes.01G033200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6480177:6485110:1 gene:Manes.01G033200.v8.1 transcript:Manes.01G033200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGLQVRCEKVASGTPPVIARTRVQVWFIRVCSSILLWTALVQLVTVGELWQPHFFTNITNKITQITPFPIAAQVHAQPPPPPLLQARNYTSNGYLKVSCNGGLNQMRAAICDMVAVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIDSLRDEVRIVRRVPKRFNRKSGYKVLEMPPVSWSDEKYYLEQILPLFVKSKVLHFNKTDTRFANNRISLNLQKLRCRVNFQALKFTPQIETLGNKLVRILQERGPFVALHLRYEMDMLAFSGCTHACTKDEAEELKTLRYAYPWWREKEIVSEERRLQGLCPLTPEETALVLQALGFDNETQIYIAAGEIYGSERRLAALRAAFPHIVRKEMLLDPVELQQFQNHSSQMAALDFMVSIASNTFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHQNGTLPWNEFAFAVQAAHEKRMGQPIRRRVIADKPKEEDYFYANPQECLCERTNCDDLLGPNNSSSIQ >Manes.01G033200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6480260:6485110:1 gene:Manes.01G033200.v8.1 transcript:Manes.01G033200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGLQVRCEKVASGTPPVIARTRVQVWFIRVCSSILLWTALVQLVTVGELWQPHFFTNITNKITQITPFPIAAQVHAQPPPPPLLQARNYTSNGYLKVSCNGGLNQMRAAICDMVAVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIDSLRDEVRIVRRVPKRFNRKSGYKVLEMPPVSWSDEKYYLEQALKFTPQIETLGNKLVRILQERGPFVALHLRYEMDMLAFSGCTHACTKDEAEELKTLRYAYPWWREKEIVSEERRLQGLCPLTPEETALVLQALGFDNETQIYIAAGEIYGSERRLAALRAAFPHIVRKEMLLDPVELQQFQNHSSQMAALDFMVSIASNTFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHQNGTLPWNEFAFAVQAAHEKRMGQPIRRRVIADKPKEEDYFYANPQECLCERTNCDDLLGPNNSSSIQ >Manes.01G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6480260:6485110:1 gene:Manes.01G033200.v8.1 transcript:Manes.01G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGLQVRCEKVASGTPPVIARTRVQVWFIRVCSSILLWTALVQLVTVGELWQPHFFTNITNKITQITPFPIAAQVHAQPPPPPLLQARNYTSNGYLKVSCNGGLNQMRAAICDMVAVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIDSLRDEVRIVRRVPKRFNRKSGYKVLEMPPVSWSDEKYYLEQILPLFVKSKVLHFNKTDTRFANNRISLNLQKLRCRVNFQALKFTPQIETLGNKLVRILQERGPFVALHLRYEMDMLAFSGCTHACTKDEAEELKTLRYAYPWWREKEIVSEERRLQGLCPLTPEETALVLQALGFDNETQIYIAAGEIYGSERRLAALRAAFPHIVRKEMLLDPVELQQFQNHSSQMAALDFMVSIASNTFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHQNGTLPWNEFAFAVQAAHEKRMGQPIRRRVIADKPKEEDYFYANPQECLCERTNCDDLLGPNNSSSIQ >Manes.01G033200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6480260:6485110:1 gene:Manes.01G033200.v8.1 transcript:Manes.01G033200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGLQVRCEKVASGTPPVIARTRVQVWFIRVCSSILLWTALVQLVTVGELWQPHFFTNITNKITQITPFPIAAQVHAQPPPPPLLQARNYTSNGYLKVSCNGGLNQMRAAICDMVAVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIDSLRDEVRIVRRVPKRFNRKSGYKVLEMPPVSWSDEKYYLEQALKFTPQIETLGNKLVRILQERGPFVALHLRYEMDMLAFSGCTHACTKDEAEELKTLRYAYPWWREKEIVSEERRLQGLCPLTPEETALVLQALGFDNETQIYIAAGEIYGSERRLAALRAAFPHIVRKEMLLDPVELQQFQNHSSQMAALDFMVSIASNTFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHQNGTLPWNEFAFAVQAAHEKRMGQPIRRRVIADKPKEEDYFYANPQECLCERTNCDDLLGPNNSSSIQ >Manes.01G033200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6480177:6485110:1 gene:Manes.01G033200.v8.1 transcript:Manes.01G033200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGLQVRCEKVASGTPPVIARTRVQVWFIRVCSSILLWTALVQLVTVGELWQPHFFTNITNKITQITPFPIAAQVHAQPPPPPLLQARNYTSNGYLKVSCNGGLNQMRAAICDMVAVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIDSLRDEVRIVRRVPKRFNRKSGYKVLEMPPVSWSDEKYYLEQILPLFVKSKVLHFNKTDTRFANNRISLNLQKLRCRVNFQALKFTPQIETLGNKLVRILQERGPFVALHLRYEMDMLAFSGCTHACTKDEAEELKTLRYAYPWWREKEIVSEERRLQGLCPLTPEETALVLQALGFDNETQIYIAAGEIYGSERRLAALRAAFPHIVRKEMLLDPVELQQFQNHSSQMAALDFMVSIASNTFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHQNGTLPWNEFAFAVQAAHEKRMGQPIRRRVIADKPKEEDYFYANPQECLCERTNCDDLLGPNNSSSIQ >Manes.01G033200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6480260:6485110:1 gene:Manes.01G033200.v8.1 transcript:Manes.01G033200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGLQVRCEKVASGTPPVIARTRVQVWFIRVCSSILLWTALVQLVTVGELWQPHFFTNITNKITQITPFPIAAQVHAQPPPPPLLQARNYTSNGYLKVSCNGGLNQMRAAICDMVAVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIDSLRDEVRIVRRVPKRFNRKSGYKVLEMPPVSWSDEKYYLEQILPLFVKSKVLHFNKTDTRFANNRISLNLQKLRCRVNFQALKFTPQIETLGNKLVRILQERGPFVALHLRYEMDMLAFSGCTHACTKDEAEELKTLRYAYPWWREKEIVSEERRLQGLCPLTPEETALVLQALGFDNETQIYIAAGEIYGSERRLAALRAAFPHIVRKEMLLDPVELQQFQNHSSQMAALDFMVSIASNTFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHQNGTLPWNEFAFAVQAAHEKRMGQPIRRRVIADKPKEEDYFYANPQECLCERTNCDDLLGPNNSSSIQ >Manes.15G147300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12142355:12147137:-1 gene:Manes.15G147300.v8.1 transcript:Manes.15G147300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLILTDSVQRKVEKHKSNYMCEASHHLELEKMEQLENSVEAMTRLPPYTEVEDSLKEEIVELQDQLQDQFVMRRALEKAMSYYPFSYDTMNDKSIPKATKDLIKEIAVLELEIVHLERYLLSLYRSTFDHQQRSSPPTFDEICKRDSDMHRGTFPVDPRQDIITDNHNSVKQSNHLKWHQNSPGNRPMERNVTWGPGKLLDSGIHQSYYSVSQRSLRSPSRKSLSRAVDAYHSLPLAMLEKNNSHSTSLADYLGTNIQEYVFENPNLLSEEMIRCISSIYSKLADPPLIGCDYPSSPLSFCSSQTDFPAQGQGETWSFNSSIDNPFHIGDSKELSGPYCTMATVQWIHRDSEKLKKVQHKLKVFRSLVSQLEEVDPRKLKQEEKLAFWINVHNALVMHAYLVYGIPHSNMKRMSLILKAAYNVGGHNVNVDMIQNSILGCHLLRPGQWLRNLFSSKPKFKVGDPRKSYSVDYPEPRLHFALSAGRCSDPAVHFAY >Manes.15G147300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12142315:12147147:-1 gene:Manes.15G147300.v8.1 transcript:Manes.15G147300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCCQSVFSIDSDSVQRKVEKHKSNYMCEASHHLELEKMEQLENSVEAMTRLPPYTEVEDSLKEEIVELQDQLQDQFVMRRALEKAMSYYPFSYDTMNDKSIPKATKDLIKEIAVLELEIVHLERYLLSLYRSTFDHQQRSSPPTFDEICKRDSDMHRGTFPVDPRQDIITDNHNSVKQSNHLKWHQNSPGNRPMERNVTWGPGKLLDSGIHQSYYSVSQRSLRSPSRKSLSRAVDAYHSLPLAMLEKNNSHSTSLADYLGTNIQEYVFENPNLLSEEMIRCISSIYSKLADPPLIGCDYPSSPLSFCSSQTDFPAQGQGETWSFNSSIDNPFHIGDSKELSGPYCTMATVQWIHRDSEKLKKVQHKLKVFRSLVSQLEEVDPRKLKQEEKLAFWINVHNALVMHAYLVYGIPHSNMKRMSLILKAAYNVGGHNVNVDMIQNSILGCHLLRPGQWLRNLFSSKPKFKVGDPRKSYSVDYPEPRLHFALSAGRCSDPAVRVYTPKTMFEDLEAAKEEYIQSNLIIHQEKKLHLPKLVECFAKELDLCPDGLLEMIDHLLPNSFRKSTWGCPHVKSGKSIEWTNHNFSFRYLFSKELV >Manes.15G147300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12142355:12147137:-1 gene:Manes.15G147300.v8.1 transcript:Manes.15G147300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLILTDSVQRKVEKHKSNYMCEASHHLELEKMEQLENSVEAMTRLPPYTEVEDSLKEEIVELQDQLQDQFVMRRALEKAMSYYPFSYDTMNDKSIPKATKDLIKEIAVLELEIVHLERYLLSLYRSTFDHQQRSSPPTFDEICKRDSDMHRGTFPVDPRQDIITDNHNSVKQSNHLKWHQNSPGNRPMERNVTWGPGKLLDSGIHQSYYSVSQRSLRSPSRKSLSRAVDAYHSLPLAMLEKNNSHSTSLADYLGTNIQEYVFENPNLLSEEMIRCISSIYSKLADPPLIGCDYPSSPLSFCSSQTDFPAQGQGETWSFNSSIDNPFHIGDSKELSGPYCTMATVQWIHRDSEKLKKVQHKLKVFRSLVSQLEEVDPRKLKQEEKLAFWINVHNALVMHAYLVYGIPHSNMKRMSLILKAAYNVGGHNVNVDMIQNSILGCHLLRPGQWLRNLFSSKPKFKVGDPRKSYSVDYPEPRLHFALSAGRCSDPAVRVYTPKTMFEDLEAAKEEYIQSNLIIHQEKKLHLPKLVECFAKELDLCPDGLLEMIDHLLPNSFRKSTWGCPHVKSGKSIEWTNHNFSFRYLFSKELV >Manes.15G147300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12142398:12146902:-1 gene:Manes.15G147300.v8.1 transcript:Manes.15G147300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQFGSAFYIIIIETICWRGGLKPYFNFVEARVSRHKCSHCDSVQRKVEKHKSNYMCEASHHLELEKMEQLENSVEAMTRLPPYTEVEDSLKEEIVELQDQLQDQFVMRRALEKAMSYYPFSYDTMNDKSIPKATKDLIKEIAVLELEIVHLERYLLSLYRSTFDHQQRSSPPTFDEICKRDSDMHRGTFPVDPRQDIITDNHNSVKQSNHLKWHQNSPGNRPMERNVTWGPGKLLDSGIHQSYYSVSQRSLRSPSRKSLSRAVDAYHSLPLAMLEKNNSHSTSLADYLGTNIQEYVFENPNLLSEEMIRCISSIYSKLADPPLIGCDYPSSPLSFCSSQTDFPAQGQGETWSFNSSIDNPFHIGDSKELSGPYCTMATVQWIHRDSEKLKKVQHKLKVFRSLVSQLEEVDPRKLKQEEKLAFWINVHNALVMHAYLVYGIPHSNMKRMSLILKAAYNVGGHNVNVDMIQNSILGCHLLRPGQWLRNLFSSKPKFKVGDPRKSYSVDYPEPRLHFALSAGRCSDPAVRVYTPKTMFEDLEAAKEEYIQSNLIIHQEKKLHLPKLVECFAKELDLCPDGLLEMIDHLLPNSFRKSTWGCPHVKSGKSIEWTNHNFSFRYLFSKELV >Manes.15G147300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12142398:12146902:-1 gene:Manes.15G147300.v8.1 transcript:Manes.15G147300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQFGSAFYIIIIETICWRGGLKPYFNFVEARVSRHKCSHCDSVQRKVEKHKSNYMCEASHHLELEKMEQLENSVEAMTRLPPYTEVEDSLKEEIVELQDQLQDQFVMRRALEKAMSYYPFSYDTMNDKSIPKATKDLIKEIAVLELEIVHLERYLLSLYRSTFDHQQRSSPPTFDEICKRDSDMHRGTFPVDPRQDIITDNHNSVKQSNHLKWHQNSPGNRPMERNVTWGPGKLLDSGIHQSYYSVSQRSLRSPSRKSLSRAVDAYHSLPLAMLEKNNSHSTSLADYLGTNIQEYVFENPNLLSEEMIRCISSIYSKLADPPLIGCDYPSSPLSFCSSQTDFPAQGQGETWSFNSSIDNPFHIGDSKELSGPYCTMATVQWIHRDSEKLKKVQHKLKVFRSLVSQLEEVDPRKLKQEEKLAFWINVHNALVMHAYLVYGIPHSNMKRMSLILKAAYNVGGHNVNVDMIQNSILGCHLLRPGQWLRNLFSSKPKFKVGDPRKSYSVDYPEPRLHFALSAGRCSDPAVHFAY >Manes.15G147300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12142315:12147147:-1 gene:Manes.15G147300.v8.1 transcript:Manes.15G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKWRGGLKPYFNFVEARVSRHKCSHCDSVQRKVEKHKSNYMCEASHHLELEKMEQLENSVEAMTRLPPYTEVEDSLKEEIVELQDQLQDQFVMRRALEKAMSYYPFSYDTMNDKSIPKATKDLIKEIAVLELEIVHLERYLLSLYRSTFDHQQRSSPPTFDEICKRDSDMHRGTFPVDPRQDIITDNHNSVKQSNHLKWHQNSPGNRPMERNVTWGPGKLLDSGIHQSYYSVSQRSLRSPSRKSLSRAVDAYHSLPLAMLEKNNSHSTSLADYLGTNIQEYVFENPNLLSEEMIRCISSIYSKLADPPLIGCDYPSSPLSFCSSQTDFPAQGQGETWSFNSSIDNPFHIGDSKELSGPYCTMATVQWIHRDSEKLKKVQHKLKVFRSLVSQLEEVDPRKLKQEEKLAFWINVHNALVMHAYLVYGIPHSNMKRMSLILKAAYNVGGHNVNVDMIQNSILGCHLLRPGQWLRNLFSSKPKFKVGDPRKSYSVDYPEPRLHFALSAGRCSDPAVRVYTPKTMFEDLEAAKEEYIQSNLIIHQEKKLHLPKLVECFAKELDLCPDGLLEMIDHLLPNSFRKSTWGCPHVKSGKSIEWTNHNFSFRYLFSKELV >Manes.15G147300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12142396:12146974:-1 gene:Manes.15G147300.v8.1 transcript:Manes.15G147300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQFGSAFYIIIIETICWRGGLKPYFNFVEARVSRHKCSHCDSVQRKVEKHKSNYMCEASHHLELEKMEQLENSVEAMTRLPPYTEVEDSLKEEIVELQDQLQDQFVMRRALEKAMSYYPFSYDTMNDKSIPKATKDLIKEIAVLELEIVHLERYLLSLYRSTFDHQQRSSPPTFDEICKRDSDMHRGTFPVDPRQDIITDNHNSVKQSNHLKWHQNSPGNRPMERNVTWGPGKLLDSGIHQSYYSVSQRSLRSPSRKSLSRAVDAYHSLPLAMLEKNNSHSTSLADYLGTNIQEYVFENPNLLSEEMIRCISSIYSKLADPPLIGCDYPSSPLSFCSSQTDFPAQGQGETWSFNSSIDNPFHIGDSKELSGPYCTMATVQWIHRDSEKLKKVQHKLKVFRSLVSQLEEVDPRKLKQEEKLAFWINVHNALVMHAYLVYGIPHSNMKRMSLILKAAYNVGGHNVNVDMIQNSILGCHLLRPGQWLRNLFSSKPKFKVGDPRKSYSVDYPEPRLHFALSAGRCSDPAVRVYTPKTMFEDLEAAKEEYIQSNLIIHQEKKLHLPKLVECFAKELDLCPDGLLEMIDHLLPNSFRKSTWGCPHVKSGKSIEWTNHNFSFRYLFSKELV >Manes.15G147300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12142355:12147137:-1 gene:Manes.15G147300.v8.1 transcript:Manes.15G147300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCCQSVFSIDSDSVQRKVEKHKSNYMCEASHHLELEKMEQLENSVEAMTRLPPYTEVEDSLKEEIVELQDQLQDQFVMRRALEKAMSYYPFSYDTMNDKSIPKATKDLIKEIAVLELEIVHLERYLLSLYRSTFDHQQRSSPPTFDEICKRDSDMHRGTFPVDPRQDIITDNHNSVKQSNHLKWHQNSPGNRPMERNVTWGPGKLLDSGIHQSYYSVSQRSLRSPSRKSLSRAVDAYHSLPLAMLEKNNSHSTSLADYLGTNIQEYVFENPNLLSEEMIRCISSIYSKLADPPLIGCDYPSSPLSFCSSQTDFPAQGQGETWSFNSSIDNPFHIGDSKELSGPYCTMATVQWIHRDSEKLKKVQHKLKVFRSLVSQLEEVDPRKLKQEEKLAFWINVHNALVMHAYLVYGIPHSNMKRMSLILKAAYNVGGHNVNVDMIQNSILGCHLLRPGQWLRNLFSSKPKFKVGDPRKSYSVDYPEPRLHFALSAGRCSDPAVRVYTPKTMFEDLEAAKEEYIQSNLIIHQEKKLHLPKLVECFAKELDLCPDGLLEMIDHLLPNSFRKSTWGCPHVKSGKSIEWTNHNFSFRYLFSKELV >Manes.02G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8167063:8168579:-1 gene:Manes.02G104600.v8.1 transcript:Manes.02G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRYAVNASLLLVSLLFLHLAEAQETISINGAIAPAPSPSTHSIDCGVACEGRCKMSKRPRLCKRACGSCCAKCNCVPPGTYGNLEFCPCYANLTTRQQLRKCP >Manes.06G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2116882:2123539:-1 gene:Manes.06G012900.v8.1 transcript:Manes.06G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGTSQASSSSSFRWTYHVFLSFRGGDTRKNFTDHIYTALIQAGIRTFRDDDEIERGENIEKEIMKAILESRMSVIILSKDYASSRWCLDELAMIMERRRTVGHIVLPVFYDVDPSQVGKQIGSYGEAFARHEMAFKEEMDMVEGWRRALREAADMGGMVLENKYQSQFIQNVVKEVGNKLNRIVLNVAPYLVGIDSRIAAINLWLQDGSNDIGIATIYGVGGIGKTTIAKIVYNQNFDNFDGASFLPNVRETSEQPNGLVRLQRQLLSDLLKGKTNKIYNADEGIVKIKAAICRRQVLLVLDDLDQLDQLNAIIGMREWFFPGSKIIITTRHERLLRAHEVSRMFRVNELDDNESLELLSRHAFGQATPIEDFKEQSKRAASLCSGLPLALQVLGSSLSGKSADIWESALQKLEAIPDSKIQKILRVSYDSLQDDHDKNLFLDIACFFTGLDKDYVASILDGCKFYTVVGINNLIGRCLLTINEANKLMMHQLVRDMGREIVRQESPEEPGKRSRVWHHKDAFNVLRENAGTETVKGLMLNLQMLKEDNTAKTYAKQHLHESSVDEEVPPNQGNYSKRHHLGFFSWQPVNSGLSNSLYEARLKTKAFAKMDRMKLLQLNYVKLSGDFGGFPKGLVWLFWRGFPLKCIPNNFHLEKLVVLDMRNSSLINVWKGTRFLVNLKILNFSNCHGLVITPNFMGLPSLERLKLKGCINLIELDKSIGNLQRLILLDLRDCKNLKSLPGEIGLLESLEKLNLCGCSKLDHLPEEMKQLQLLKVLYADDTGLNQLQAVNVPWYSTFCSWLLPRKCPQKMSFSLAVLPSYLVNLSLADCNLSDVAIPNDLSCLRSLEILDLKGNPIHSIPESINSLTTLQNLCLDKCTRLQSLPELPASLEELKAEGCTSLEIITNLPNLLRTLQVELFGCEQLVEVQGLFKLEPIVNMDAEMINDLGLFDLASFGSTEVTMFNAIANRERRTTPQVLQECGIFSFFFAGNQVPFWFIHKSMGSSLSFTVNPLPGHKVCGLNLCTLYSRDDQVFWLHAAGHYAKINNETKGINWSYSPTFYGIPEDDEDMLWLSCWKFGNEFEVGDEVNVSVRMPSGFYVKEGGVYVVYKEDDSNTKDMAQSSSFYHQNITDRDLSAYQVGEAVYFLHHHPYTTPNEILKLASLQL >Manes.06G012900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2116882:2123526:-1 gene:Manes.06G012900.v8.1 transcript:Manes.06G012900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGTSQASSSSSFRWTYHVFLSFRGGDTRKNFTDHIYTALIQAGIRTFRDDDEIERGENIEKEIMKAILESRMSVIILSKDYASSRWCLDELAMIMERRRTVGHIVLPVFYDVDPSQVGKQIGSYGEAFARHEMAFKEEMDMVEGWRRALREAADMGGMVLENKYQSQFIQNVVKEVGNKLNRIVLNVAPYLVGIDSRIAAINLWLQDGSNDIGIATIYGVGGIGKTTIAKIVYNQNFDNFDGASFLPNVRETSEQPNGLVRLQRQLLSDLLKGKTNKIYNADEGIVKIKAAICRRQVLLVLDDLDQLDQLNAIIGMREWFFPGSKIIITTRHERLLRAHEVSRMFRVNELDDNESLELLSRHAFGQATPIEDFKEQSKRAASLCSGLPLALQVLGSSLSGKSADIWESALQKLEAIPDSKIQKILRVSYDSLQDDHDKNLFLDIACFFTGLDKDYVASILDGCKFYTVVGINNLIGRCLLTINEANKLMMHQLVRDMGREIVRQESPEEPGKRSRVWHHKDAFNVLRENAGTETVKGLMLNLQMLKEDNTAKTYAKQHLHESSVDEEVPPNQGNYSKRHHLGFFSWQPVNSGLSNSLYEARLKTKAFAKMDRMKLLQLNYVKLSGDFGGFPKGLVWLFWRGFPLKCIPNNFHLEKLVVLDMRNSSLINVWKGTRFLVNLKILNFSNCHGLVITPNFMGLPSLERLKLKGCINLIELDKSIGNLQRLILLDLRDCKNLKSLPGEIGLLESLEKLNLCGCSKLDHLPEEMKQLQLLKVLYADDTGLNQLQAVNVPWYSTFCSWLLPRKCPQKMSFSLAVLPSYLVNLSLADCNLSDVAIPNDLSCLRSLEILDLKGNPIHSIPESINSLTTLQNLCLDKCTRLQSLPELPASLEELKAEGCTSLEIITNLPNLLRTLQVELFGCEQLVEVQGLFKLEPIVNMDAEMINDLGLFDLASFGSTEVTMFNAIANRERRTTPQVLQECGIFSFFFAGNQVPFWFIHKSMGSSLSFTVNPLPGHKVCGLNLCTLYSRDDQVFWLHAAGHYAKINNETKGINWSYSPTFYGIPEDDEDMLWLSCWKFGNEFEVGDEVNVSVRMPSGFYVKEGGVYVVYKEDDSNTKDMAQSSSFYHQNITDRDLSAYQLMETIYCNCCCCCRHPQYHYE >Manes.06G012900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2116882:2123526:-1 gene:Manes.06G012900.v8.1 transcript:Manes.06G012900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKEEMDMVEGWRRALREAADMGGMVLENKYQSQFIQNVVKEVGNKLNRIVLNVAPYLVGIDSRIAAINLWLQDGSNDIGIATIYGVGGIGKTTIAKIVYNQNFDNFDGASFLPNVRETSEQPNGLVRLQRQLLSDLLKGKTNKIYNADEGIVKIKAAICRRQVLLVLDDLDQLDQLNAIIGMREWFFPGSKIIITTRHERLLRAHEVSRMFRVNELDDNESLELLSRHAFGQATPIEDFKEQSKRAASLCSGLPLALQVLGSSLSGKSADIWESALQKLEAIPDSKIQKILRVSYDSLQDDHDKNLFLDIACFFTGLDKDYVASILDGCKFYTVVGINNLIGRCLLTINEANKLMMHQLVRDMGREIVRQESPEEPGKRSRVWHHKDAFNVLRENAGTETVKGLMLNLQMLKEDNTAKTYAKQHLHESSVDEEVPPNQGNYSKRHHLGFFSWQPVNSGLSNSLYEARLKTKAFAKMDRMKLLQLNYVKLSGDFGGFPKGLVWLFWRGFPLKCIPNNFHLEKLVVLDMRNSSLINVWKGTRFLVNLKILNFSNCHGLVITPNFMGLPSLERLKLKGCINLIELDKSIGNLQRLILLDLRDCKNLKSLPGEIGLLESLEKLNLCGCSKLDHLPEEMKQLQLLKVLYADDTGLNQLQAVNVPWYSTFCSWLLPRKCPQKMSFSLAVLPSYLVNLSLADCNLSDVAIPNDLSCLRSLEILDLKGNPIHSIPESINSLTTLQNLCLDKCTRLQSLPELPASLEELKAEGCTSLEIITNLPNLLRTLQVELFGCEQLVEVQGLFKLEPIVNMDAEMINDLGLFDLASFGSTEVTMFNAIANRERRTTPQVLQECGIFSFFFAGNQVPFWFIHKSMGSSLSFTVNPLPGHKVCGLNLCTLYSRDDQVFWLHAAGHYAKINNETKGINWSYSPTFYGIPEDDEDMLWLSCWKFGNEFEVGDEVNVSVRMPSGFYVKEGGVYVVYKEDDSNTKDMAQSSSFYHQNITDRDLSAYQVGEAVYFLHHHPYTTPNEILKLASLQL >Manes.06G096550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23075043:23075534:1 gene:Manes.06G096550.v8.1 transcript:Manes.06G096550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYLHTCSASCSTFFSGRRFCIRGQLGMLFSWSKL >Manes.16G100100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30592073:30592465:1 gene:Manes.16G100100.v8.1 transcript:Manes.16G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRSRSYGDGRMQIQRHHGNGALGPSSFSGGSNMQDLRCYSASYASSVYPTQSQIQTQMGSNDFKFKKGKSANGSISKAWSLNDPELQRKKRVASYKLYTVEGKVKGSFKKSFRWLKERYSRAVNGWW >Manes.15G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9428163:9433133:1 gene:Manes.15G118200.v8.1 transcript:Manes.15G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLLSYHSPRRRTPPQLSTLLSTLPEHDEVSLPIPLTPSLLKERLIFGPSAASPIDPSPALEALNLSLNSPIQSSSNLEFNANAQESSSQQQSWLIDPNYKWTKTNLHRSKTAPAMAVINDTHHPPIPKPQFATQSIVRQAFVLLLLYLSLGVVIYWFNRDNFVANETHPVVDALYFCIVTMCTIGYGDITPNSTSTKLFSILFVLVGFGFIDILLSGMVSYVLDLQENHLLRNVKRGGDVEAAGSVIIDVKKGRMRIRMKVALALCVVILCIGIGVGVMHFVEKLEWLDSFYLSVMSVTTVGYGDRAFRSLEGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRRMAKWVLGQNMTVSEFLAADIDQNGFVSKSEYVIYKLKEMGKISEKDILQICLKFDRIDTGNCGKITLADLMESQH >Manes.10G015757.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1601518:1602360:1 gene:Manes.10G015757.v8.1 transcript:Manes.10G015757.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHVGDFGLARLISESTSNSSQSQIFSTGIKGTIGYMAPEYGVGSNVTTYGDVYSYGILLLEMFTGKRPTHEIFTDGLDLHNFVKAKLPGQVRQVVDPTLFTPGEVEAATTAAAENMDDCECIEDSIEECVVSVLQIGLACSAEVPQDRMNMRDVTSKLNSIRVSFTGTRN >Manes.08G001200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:382995:387269:1 gene:Manes.08G001200.v8.1 transcript:Manes.08G001200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYGADRLESAVQVQWFNKVTNLLLIAWRMLLIILMMADHSCSANSVGSDPRNRGPQQ >Manes.08G001200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:382994:383872:1 gene:Manes.08G001200.v8.1 transcript:Manes.08G001200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYGADRLESAVQVQWFNKVTNLLLIAWRMLLIILMMADHSCSANSVGEFV >Manes.08G001200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:382861:387797:1 gene:Manes.08G001200.v8.1 transcript:Manes.08G001200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYGADRLESAVQVQWFNKVTNLLLIAWRMLLIILMMADHSCSANSVGSDPRNRGPQQ >Manes.08G001200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:382995:383823:1 gene:Manes.08G001200.v8.1 transcript:Manes.08G001200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESAVQVQWFNKVTNLLLIAWRMLLIILMMADHSCSANSVGEFV >Manes.08G001200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:382994:383872:1 gene:Manes.08G001200.v8.1 transcript:Manes.08G001200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYGADRLESAVQVQWFNKVTNLLLIAWRMLLIILMMADHSCSANSVGEFV >Manes.08G001200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:382994:387269:1 gene:Manes.08G001200.v8.1 transcript:Manes.08G001200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYGADRLESAVQVQWFNKVTNLLLIAWRMLLIILMMADHSCSANSVGSDPRNRGPQQ >Manes.08G093611.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:31676585:31677551:-1 gene:Manes.08G093611.v8.1 transcript:Manes.08G093611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKVPYLHEIGTQIKSIQAKIMSVFASMQNYGIKLDAEGKESRSTSEMQRQLRRSYPHDQEDEVPIGIYASQKNLLNWICLMIAVCNCYEKPFLMPFLLLFLFVSLLTKRRSSPRLPPGFSSISRHKV >Manes.03G175400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29894025:29895671:1 gene:Manes.03G175400.v8.1 transcript:Manes.03G175400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQIHKLVQIFSSPKTPQTNSLIPKPLRTPLPPASSDTAELPDLPTWLRHKENPEITDHNDDDFVIPSVAEWIQNSNVGNFNQVGSHLLFESEVSDIEKVSEILKKHYPSTDSVVQGLNECGVNATNTLISQLLKRFSNDWVLAFGVFTWAKNQTGYTHTSELYDSMVDILGKRKQFNLMWELVKEMEKLKGYITLVTMGKVMRRLARAGQHEDAIEVFRGMEKFGVSKDIEALNILMDALIKAGSVENAHSVFMEFKDCIPADSHSFNVLIHGYCKARKLDDAKKTMEEMEKHGFQPDVVSYTSFIESYCKSKDFRNANAILDEMQEKGCKPNVVTYTIIMLALGKAKQVNEALEVYDKMKRNDCVPDASFYSSLIFVLSQSGRLKDSWDIFEDMKKQGIHRDWLTYKTMIASACSHLQEENALKLLQRMEEDSCKPDIQIYAPLLKMCCRKKRMKVLKFLLDHMFKNNVSIDLGTYTLLVRGLCKSGKLEHASSFFEEAVLKGMIPKDGTYKILVEELEQNNMTEAKERIQKLMLQAKGQNPI >Manes.03G090000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19811147:19876811:-1 gene:Manes.03G090000.v8.1 transcript:Manes.03G090000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRGGPDQSTPTPQPQPQRRIMRTQTAAGNLGESIFDSEVVPSSLVEIAPILRVANEVESGNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNTADKADRAQLTKAYQTANVLFEVLKAVNLTQSVEVDREILEAHDKVAEKTEIYVAYNILPLDPDSANQAIMRYPEIQAAVFALRYTRGLPWPKDYKKKKDEDILDWLQAMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIAKEAKRSQKGRSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFHLPPEKSRNERNGENKPAFRDRWVGKVNFVEVRTFWHIFRSFDRMWSFFILCLQAMIIVAWNGSGQLSSVFTGDVFKKVLSVFITAAILKLGQAVLDVILNWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWENPPGFAQTIKSWFGNNSSSPSLFILAVVVYLSPNMLAATLFLFPFIRRFLERSNYKIVMLMLWWSQPRLYVGRGMHESALSLFKYTVFWVLLIVTKLAFSYYIEIKPLVGPTKAVMNVRVTTFQWHEFFPRARNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPEEKSEPKRKGLKATLSRKFAEIPSNKNKEAARFAQLWNKIISSFREEDLISNREMDLLLVPYWADQDLELIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIGADNYMSCAVRECYASFKNIIKFLVQGLREKEVINFIFSEVDKHIEDGTLISEYNMSALPSLCNHFVKLIAYLQNNKQEDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSVHGGSGHEEITSFNQQYQLFASSGAIKFPIDPVTEAWNEKIKRLHLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYMEEVLFSLKDLEEANEDGVSILFYLQKIFPDEWNNFLDRVNCKNEEELKAKEKLEEQRLWASYRGQTLTRTVRGMMYYREALELQAFLDMARHEDLMEGYKAIKLNTEDQSKGERSLLAQCQAVADMKFTYVVSCQQYGIDKRSGHARAQDILRLMTMHPSLRVAYIDEVEVTSQDKSKKSNQKVYYSALVKAASPKSIDSSEPVQNLDEVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRFPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNHISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYIFLYGRLYLVLSGLEEGLIDQKAIRDNKPLQVALASQSFVQIGILMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSALAYILITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVLPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLKITENTKSFLVYGISWLVIFLILFVMKTVSVGRRKFSANFQLVFRLIKGLIFLTFVSILVTLIALPHMTIQDIVVCILAFMPTGWGMLLIAQACKPLVHRAGFWGSVRTLARGYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPRKDGSSRNKE >Manes.15G183310.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:29882354:29883220:1 gene:Manes.15G183310.v8.1 transcript:Manes.15G183310.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLASLVSAVQGATAATTRPAPEKATLPTIAWRQRVADERDATIGNSISDGIREGNRDPTFYLIALPLPSPGNIKPACLRRANHLSPATPIVGHTRAEHGRRDRVPLNTISRKFISFFILFIKIYLIKIKTISILDKL >Manes.05G205250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33194034:33194804:-1 gene:Manes.05G205250.v8.1 transcript:Manes.05G205250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPVEPRETITRSNLGRLFSSSFLNRSNPKVATVYIGSTTMSQPFCNFMSRFISSSEEEEEDGFHVNKETGEIGGPREPKPTRYGDWEHNGCCSDF >Manes.16G043000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6503106:6504056:1 gene:Manes.16G043000.v8.1 transcript:Manes.16G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEDVSSSSPLPADEASSPQKIQLVSKSVSDRLLNKFFDVSEFDFDYEQSGLWSPPIRRSAFFSSPDRILTEEEMLQKLRKVVDERRRFTRHKAFRNAVCCF >Manes.18G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4020048:4021383:1 gene:Manes.18G045600.v8.1 transcript:Manes.18G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGAFPDEELDFSRMFSTQDQLDTIPHLLTQCSFPLENDGGFQFTIPSAFSSNLEANLNMAGVHESLFSSWSTLNNPDLNLFSQENSSSSNCSSSVLISSSPHETYFFSDSNQLQSSNDDSMSMDFYIMDEKNSGSLVPVFPQFTMSEAVCTNENMRSPKMGNLDGIQPEANAVPAKGLQKKRMFDFPGSQFNQSDKKKSRITRDVQKSNKNVKSKKNQKFTPKSNEEEERNSSSCSSEEDDASQDSNRGPSSDSKVSEAQKSNGKARTSRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDISKLI >Manes.06G101050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23475673:23476812:-1 gene:Manes.06G101050.v8.1 transcript:Manes.06G101050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFTLIYKTLLLIFSLFIVSHSLTTDTLKQGDVFNSTHRLVSKNGLFILGFSGSYLVINYTNQEKSPHHPVWSANRYDPILENTGLLTINGTGSLTIVHGGGKPVELYSGNNDSSRNVTATLHDNGNFVLQEANSGGQILWQSFDYPTDTLLPGMKLGINHKTGKNWSLTSWLDEDIPTRGAFTLEWDPKTRQVFVRRRGVVFWTSGVLTADNRFPNFLLLDKFNQNYNFTDVSNSDESYLSYSLYFDEWTPEDRRNISQWFLDYQGNIREGAGRPTIMASEACDGSSEEFGCQKWEGPKCRTDGDKFALRAGYFVGRLEDTSYNISFIDCQDSCWKNCACTGFRSASDTCTLYGGPFHNTDLRGGELSYFYYHSRS >Manes.07G035400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3840611:3846220:1 gene:Manes.07G035400.v8.1 transcript:Manes.07G035400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINVPSCPSTTARTALPSTQARRNFKFFNGLHGVNSFSTNGCMLNSNSICIDGTKSPFGIKGVGKLKAAVVSDEGDLLSYSNGNNAAAKRTFIDNKPVEIKLQPGAIAFGTLVADTAPISSGFPIDDDEFDLDRPTEGFSSIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMAGEYLDRLQLPLMVTQNANDEKLCTAFTVTVDAKHGTTTGVSAHDRATTVLALANRDSKPEDFNRPGHIFPLRYREGGVLKRAGHTEASVDLAVLAGLEPVGVLCEIVDDDGSMARLPKLRQFAQQENLKIISIADLIRYRRKREKLVDRSSAARIPTMWGPFTAYCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMQQIEDAGRGVLIYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEDLGLPVDSREYGIGAQILQDLGVRTMKLMTNNPAKYIGLKGYGLTVIGRVPLLTTITMENKRYLETKRAKMGHIYGVEFNDHLTHLTGSNGKPSVDTATDATSSR >Manes.07G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3840636:3845959:1 gene:Manes.07G035400.v8.1 transcript:Manes.07G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINVPSCPSTTARTALPSTQARRNFKFFNGLHGVNSFSTNGCMLNSNSICIDGTKSPFGIKGVGKLKAAVVSDEGDLLSYSNGNNAAAKRTFIDNKPVEIKLQPGAIAFGTLVADTAPISSGFPIDDDEFDLDRPTEGFSSIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMAGEYLDRLQLPLMVTQNANDEKLCTAFTVTVDAKHGTTTGVSAHDRATTVLALANRDSKPEDFNRPGHIFPLRYREGGVLKRAGHTEASVDLAVLAGLEPVGVLCEIVDDDGSMARLPKLRQFAQQENLKIISIADLIRYRRKREKLVDRSSAARIPTMWGPFTAYCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMQQIEDAGRGVLIYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEDLGLPVDSREYGIGAQILQDLGVRTMKLMTNNPAKYIGLKGYGLTVIGRVPLLTTITMENKRYLETKRAKMGHIYGVEFNDHLTHLTGSNGKPSVDTATDATSSR >Manes.07G035400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3840636:3845959:1 gene:Manes.07G035400.v8.1 transcript:Manes.07G035400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALQQLLEQLSPVPSEARRNFKFFNGLHGVNSFSTNGCMLNSNSICIDGTKSPFGIKGVGKLKAAVVSDEGDLLSYSNGNNAAAKRTFIDNKPVEIKLQPGAIAFGTLVADTAPISSGFPIDDDEFDLDRPTEGFSSIPEAIEDIRQGKMVVVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMAGEYLDRLQLPLMVTQNANDEKLCTAFTVTVDAKHGTTTGVSAHDRATTVLALANRDSKPEDFNRPGHIFPLRYREGGVLKRAGHTEASVDLAVLAGLEPVGVLCEIVDDDGSMARLPKLRQFAQQENLKIISIADLIRYRRKREKLVDRSSAARIPTMWGPFTAYCYRSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMQQIEDAGRGVLIYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEDLGLPVDSREYGIGAQILQDLGVRTMKLMTNNPAKYIGLKGYGLTVIGRVPLLTTITMENKRYLETKRAKMGHIYGVEFNDHLTHLTGSNGKPSVDTATDATSSR >Manes.02G212800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:26232325:26237584:-1 gene:Manes.02G212800.v8.1 transcript:Manes.02G212800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQHGDCYLAHQRLAIIDPASGDQPLFNEDKAIVVTVNGEIYNHEELRQRLPNHKFRTGSDCDVIAHLYEEYGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGITSLYIGWGLDGSIWISSELKGLNDDCEHFECFPPGHLYSSKLGGLRQWYNPPWFSETIPSTPYDPLALRHAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARHLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAKEVADYLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMARKIKALGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMAIDPEWKMIKPEQGRIEKWVLRRAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAQHVTDKMMQNAVHIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDAAWSKNLDPSGRAALGVHLSAYDPQVAAASAGIVTPNILDSMPRMMEISTPGLTISS >Manes.13G060100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6979856:6981565:-1 gene:Manes.13G060100.v8.1 transcript:Manes.13G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRATFARTGSFRPENLGQNALAMIGNLCFTIFVLGVLIFTIIAATYEPEDPLFHPSTKINTFLTSTSNATFKSDNTVVKTGEDFMASNQTAFATFINITDVENPKDGGGGGGDGNPELTAENCVGPVDCKDPEVFHLMMRKAIEQFKDIHFYRFGKPVPANNDSSCDMAWRFRPKEGKTAAFYKDYRRFVIARSENCTLSVVGIGDYHTGVNARKRKKNQKPGFEKTPAQQQQGVLLPVVGETVNDSLPVVESEKAFSHGKYLIYNGGGDRCKSMNHYLWSFLCALGEAQYLNRTLIMDLTICLNSMYSSSNQDEEGKDFRFYFDFEHLKEAASVLDQAQFWDDWGKWHKKNKLSLYLVEDFRVTPMKLTEVKDTLIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLIWKSRRLMDIVSAIASRLNWDYDAVHIERGDKAMNKELWPNLAADTSPNALLSTLGDKLEDGRNVYIATNEPDTSFFDPLKDKYTTHFLDEYKDLWDENSEWYSETTKLNKGVPVEFDGYMRVSVDTEVFLRGKKQIETFNDLTNDCKDGINTCNTAAS >Manes.03G113500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23808837:23811302:-1 gene:Manes.03G113500.v8.1 transcript:Manes.03G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLLITVFIFDLIAFALAVAAEQRRSTAVIKQDSEINYNYCVYDSDIATGYGVGAFLFLMASQALIMVASKCFCCGKPLNPGGSRAWAVMLFITCWVFFFIAEVCLLAGSVRNAYHTKYRTVFSDNPPDCQTLRKGVFGAGAAFTFFTAIVSELYYICYAKARGSFQPYGGETGVGMGTYK >Manes.03G113500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23808837:23810813:-1 gene:Manes.03G113500.v8.1 transcript:Manes.03G113500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLLITVFIFDLIAFALAVAAEQRRSTAVIKQDSEINYNYCVYDSDIATGYGVGAFLFLMASQALIMVASKCFCCGKPLNPGGSRAWAVMLFITCWVFFFIAEVCLLAGSVRNAYHTKYRTVFSDNPPDCQTLRKGVFGAGAAFTFFTAIVSELYYICYAKARGSFQPYGGETGVGMGTYK >Manes.04G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32579959:32580491:-1 gene:Manes.04G125600.v8.1 transcript:Manes.04G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGESIRKTKMFLHKTLQHLKSLFFPFPCATSSIKNHKTDQYYTDFCNEWECDLEKAMNRKKNGITLSEKLAREEDGCVKSPLKLPQSPLKKKEERIEEEKSKNSSTSRKEYSNKKDEGGYALAKKKSDIEHLLDVEEALHYYSRLKRPVYLDIVFTDIYKEFSVP >Manes.15G161900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13438651:13440972:1 gene:Manes.15G161900.v8.1 transcript:Manes.15G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRGLFLLMILMMITNNGEAQLTENFYSSSCPAVEAIVKQAVSTKFSQTFTTIPATLRLFFHDCFVTGCDASIMVSSPNGDAEKDASDNLSLAGDGFDTVIKAKAAVEAQCPNVVSCADILAIAARDVVVLAGGPSFSVELGRRDGLISKSSLVSGNLPEPDFTLSKLNAIFAKNNLSQIDMIALSGAHTLGFSHCNRFANRIYSFSPSSPVDPSLDPDYAKQLMAACPRNVDPVIAIDMDPTTPRTFDNVYYQNLVAGKGLFTSDEVLFTDPASKPMVVDFANSPGDFNGAFVTAMRKLGRVGVKTGSQGRIRTDCTILNS >Manes.03G118600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24445871:24459152:1 gene:Manes.03G118600.v8.1 transcript:Manes.03G118600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFVVVNSLCFTAQFSSATDTLTSTQSLADGSTLISKHGSFELGFFSPGSSRNRYLGIWHKNIPVRTVVWVANRRAPIFNNSSALLMIDNQGNLVLKNGGSVVWSANSKREVQTPLLQLLDSGNLVLTDASDGRSGIYLWQSFDYPTDTLLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILLYNNPESTMWKGSKFYFRSGPWNGITYSGTPQLKPNQVFIFNFVHSDDEVYYTYYPKNKSVISRLVMNQTNYRRERYIWDEASQSWSLFMYLPTDYCDSYGLCGAYGNCIITDSPVCQCLKGFNPKSPDQWNSGDWSQGCVRNKSLNCQDGDGFIKYTELKLPDTEYSWVNKSMSLEECRGKCLNN >Manes.17G047850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24485409:24486113:-1 gene:Manes.17G047850.v8.1 transcript:Manes.17G047850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENQNTLNTDSDSVSMAQSNIAHSGNSFTYLTKFLPNGSWILDSGASDHISGNPSLFSTLVLPSTPSKVTLANGSQTQVKGIGNVYLLPSIPLTNVLFTPDYPYNLISVSKLTKDLHCSVIFTTESVVVQDRSTGKMIGAGYESQELYYFSTSNSPIAFVSSTSTELIHSHRGQPSLNKLQKLVPSISFLPSLECESCQLGKQTRASFFKRINNRASSMFDIVHSYIWGSKSG >Manes.14G106050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8913899:8935766:-1 gene:Manes.14G106050.v8.1 transcript:Manes.14G106050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSFILLFALFLPVFTFIKGCPPVFHCGRLGPIKFPFTIEGHEGCGPLVLNGCNMGMPMIRWFENETGYPIWYQVESFSPVGSLSTNLSVINMDLHRRLNSRSCESFNNLSLPGLPYVTFKMNSSLKTMYKCNYYVESGDPDNFRFHSCGGFKIYYAVKDNASIPSPLLDCSTLQLPLNITNVDHDIFRILTSTFSLLVSLQDPMQGHKGSVTIAWKTVALSVSGIVLLIIVCCFIRKFSSNCSIFYWKKNTQDHHNIEAFLKNHGVLAPKRYKYSEVKKMTGSFNDKLGQGGYGSVYKGKLPDGRLVAVKVLKESKRNGEEFINEVASISRTSHVNIVTLLGFCFEDSKRALIYEFMSKGSLEKYIYEENPLKADRQLGWETLHHIAIGIARGLEYLHRGCNTRILHFDIKPHNILLDETFCPKISDFGLAKICPRKESIISMMGARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMLVLEMVGGRKNICVSVDNTSEIYFPHWIFKRLELGEELGLDGTANAEDNQLVRKMVVVSLWCIQTDPSNRPPMSRVVEMLQGTLDSLPIPPKPYLSSPPRREDPASRIDNKCPPAI >Manes.18G137600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19790853:19793721:1 gene:Manes.18G137600.v8.1 transcript:Manes.18G137600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTHNAISDRIMHFEWAWQHPTESVAVRQAAATFKSFSGVANKIKLAYTMLNLPAWQSLNITVNYFSTKYTEHSAACPSLPEHMKVQVCPVDELPCYTETDESLLEFTDAECVFDDKEDSENTANICGSVEMNTTDIQAHSIDGFSSCYKGEESSSSKDTNEAQQCNKGGNTSGTIHETHADTILHIDENSDDEFWFKEYGRRQQTVKNTSSENPPTAEVDHALVCSASSLCTAFSMEETNNGDALTSMNKSISDLDWLNGERLRDGTDKDRQAIHSFTPPQEIEVIDLLSPSPGSRIRSNIKKRRIFTGCPEIIDLT >Manes.18G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19786661:19793721:1 gene:Manes.18G137600.v8.1 transcript:Manes.18G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETKSYPKVTRKRTSKERAERLNPLEENEEENEQEINKEGKGFYACYLLTSLCPRFKGHTYIGFTVNPRRRIRQHNGEIRSGAFRTKKRRPWEMVFCIFGFPTNVSALQFEWAWQHPTESVAVRQAAATFKSFSGVANKIKLAYTMLNLPAWQSLNITVNYFSTKYTEHSAACPSLPEHMKVQVCPVDELPCYTETDESLLEFTDAECVFDDKEDSENTANICGSVEMNTTDIQAHSIDGFSSCYKGEESSSSKDTNEAQQCNKGGNTSGTIHETHADTILHIDENSDDEFWFKEYGRRQQTVKNTSSENPPTAEVDHALVCSASSLCTAFSMEETNNGDALTSMNKSISDLDWLNGERLRDGTDKDRQAIHSFTPPQEIEVIDLLSPSPGSRIRSNIKKRRIFTGCPEIIDLT >Manes.10G027900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2810559:2813532:1 gene:Manes.10G027900.v8.1 transcript:Manes.10G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAASYWCYRCSRFVRAWRQFGAEDRITCPDCETGFVEELEIQEDTENRRFPPPSSSAAAAAATAAMLMIGTLPATDQTNPLRRTRRNAGDRSPINPVIVLRGGSVGGGTGQSDNGVNEGGGRGFELYYDDGSGSGLRPLPPSMSEFLLGSGFERLLDQISQIEINGLGRYEHPPASKSAIESMPTIMISECHISMESHCAVCKEPFELEAEAKEMPCKHIYHSDCILPWLSLRNSCPVCRHELPGDDESGNGRVTGENSTEEEAVGLTIWRLPGGGYAVGRFTGARSGMRELPVVYTEMDGGFNNGGLPRRISWGARGGGRGRENGGGGRRGGGGVWLGFGRALRHWFACFGGGVAGESSNPDSRIRRGRSSSFWVFNNSGSSRRRRVWDVEVNEGRRRR >Manes.03G079000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21267437:21284852:-1 gene:Manes.03G079000.v8.1 transcript:Manes.03G079000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNSNSTPYPSRTQRLLRERELKKSSRSSHSNEATDNHRGIEPCEHDLRLREGDDSNNCYIEQDLEGAIAATKTLAEGCEYQDARPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFEARWIGWAGVNVPDEIGQKALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEVPQVQDHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHRHNFAHVKTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIERYLQSNNRLLILGFNATLTEPVDTPGRRGDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSERNVLDENFGEFDMWLAAEHGMFLRLTKAEWMTTMPDHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVIQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDFVLCVGHFLGKDEDVYTFFEPVLPSDGVGIARTKQTDGLKSPGEKRPPPKLPAKSGSKSSQGKSRPSPNLDKKMTNNSCASGRRQSPEKISWNVLDLKGDNYFSCAVGRTRTNARYLLQSSDDVVSFLKKLANASP >Manes.03G079000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21267437:21284852:-1 gene:Manes.03G079000.v8.1 transcript:Manes.03G079000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNSNSTPYPSRTQRLLRERELKKSSRSSHSNEATDNHRGIEPCEHDLRLREGDDSNNCYIEQDLEGAIAATKTLAEGCEYQDARPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFEARWIGWAGVNVPDEIGQKALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEVPQVQDHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHRHNFAHVKTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIERYLQSNNRLLILGFNATLTEPVDTPGRRGDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSERNVLDENFGEFDMWLAAEHGMFLRLTKAEWMTTMPDHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVIQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDFVLCVGHFLGKDEDVYTFFEPVLPSDGVGIARTKQTDGLKSPGEKRPPPKLPAKSGSKSSQGKSRPSPNLDKKMTNNSCASGRRQSPEKISWNVLDLKGDNYFSCAVGRTRTNARYLLQSSDDVVSFLKKLANASP >Manes.03G079000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21269776:21284852:-1 gene:Manes.03G079000.v8.1 transcript:Manes.03G079000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNSNSTPYPSRTQRLLRERELKKSSRSSHSNEATDNHRGIEPCEHDLRLREGDDSNNCYIEQDLEGAIAATKTLAEGCEYQDARPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFEARWIGWAGVNVPDEIGQKALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEVPQVQDHIKELKERFSGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHRHNFAHVKTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIERYLQSNNRLLILGFNATLTEPVDTPGRRGDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSERNVLDENFGEFDMWLAAEHGMFLRLTKAEWMTTMPDHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVIQGSRSVEVRPVGVTKGAAIDRILGEIVHSKSMTTPIDFVLCVGHFLGKDEDVYTFFEPVLPSDGVGIARTKQTDGLKSPGEKRPPPKLPAKSGSKSSQGKSRPSPNLDKKMTNNSCASGRRQSPEKISWNVLDLKGDNYFSCAVGRTRTNARYLLQSSDDVVSFLKKLANASP >Manes.17G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28911512:28913304:-1 gene:Manes.17G083000.v8.1 transcript:Manes.17G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTILSLSFFCLLFLSSSLLSSNSMDSTYSIISDKQEKGKFKPDESIALQTHAFFFDRNQDGSVYPWETYKGFRAVGAGVLLSAASAFFINTLFSQRTRPGKFPNLLFPIEIENIRFGKHGSDTDIYDKNGRFDEEKFEAIFRNYARTHPDALTAREVTKMRRGNKEPKDLFGWVSAWGEWVVTYPLFKDENGLLHKETLRAVYNGTAFREREKELKEKRKASAKKKASI >Manes.05G105400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9927932:9929380:1 gene:Manes.05G105400.v8.1 transcript:Manes.05G105400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIGMLKTALGNRGCALSLLRWAHVAAMPSPMDGSLQPTIAPTHMVLPEYDTHPHNSSGNYSNNLEFGFPSFAFDGSMELMAVPKKKVSPHKRGIRNGPKALKPTPVIIRCR >Manes.05G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9927728:9931008:1 gene:Manes.05G105400.v8.1 transcript:Manes.05G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIGMLKTALGNRGCALSLLRWAHVAAMPSPMDGSLQPTIAPTHMVLPEYDTHPHNSSGNYSNNLEFGFPSFAFDGSMELMAVPKKKVSPHKRGIRNGPKALKPTPVIIRCRSCGRVKLPHFYCCSGDRGKTGEQNN >Manes.05G105400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9927728:9931008:1 gene:Manes.05G105400.v8.1 transcript:Manes.05G105400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIGMLKTALGNRGCALSLLRWAHVAAMPSPMDGSLQPTIAPTHMVLPEYDTHPHNSSGNYSNNLEFGFPSFAFDGSMELMAVPKKKVSPHKRGIRNGPKALKPTPVIIR >Manes.17G030900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22570804:22572121:-1 gene:Manes.17G030900.v8.1 transcript:Manes.17G030900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSTMSLTPKSFPFPFLSLLLLLLLTLSASASSSSIQNLLHSQGLPVHLDGPCLAKYETRVYFDSVVRANLSYGGLVGLEGLSQEELFLWFPVKGIIVSDPSSGLILFDIGLAHKQLSRSLFEDPPVCKPQGALLDNFGRKMGVAVQR >Manes.17G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22570804:22572045:-1 gene:Manes.17G030900.v8.1 transcript:Manes.17G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSTMSLTPKSFPFPFLSLLLLLLLTLSASASSSSIQNLLHSQGLPGGLFPENVKSFELDRNGRLEVHLDGPCLAKYETRVYFDSVVRANLSYGGLVGLEGLSQEELFLWFPVKGIIVSDPSSGLILFDIGLAHKQLSRSLFEDPPVCKPQGALLDNFGRKMGVAVQR >Manes.S033716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:861893:862060:-1 gene:Manes.S033716.v8.1 transcript:Manes.S033716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.16G060900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23628801:23634797:-1 gene:Manes.16G060900.v8.1 transcript:Manes.16G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRFAFFSSLLLLILTCSHSLSSHNLYLKRQASILVSVKQSFASYDPSLDSWNMSNYLFLCSWAGIRCDDMNRSVVSLDITSFNISGSLSPLITDLQSLVNLALPGNSISGEFPRDIHKLSRLQVLNVSDNQFSGELDWDFSQLKELQVLDVYDNSFNGTLPLGVSQLTTLKHLDFGGNYFTGTIPASYGSMVQLNYLSVKGNDLHGFIPGELGNLINLERLYLGYFNDFDGGIPPELGKLINLVHLDLANCSLEGPIPPELGNLNKLDTLFLQTNELSGSIPRELGNLSSIKSLDLSNNVLTGDIPLEFSGLQELTLLNLFLNKLHGDIPHFVAELPKLEVLKLWRNNFTGAIPEKLGENGRLIELDLSSNKLTGLIPRSLCLGRKLKILILRINFLFGPLPDDLGHCDTLTRVRLGQNYLTGSIPSGLLYLPELSLMELHSNYLSGRVPEQTSKLSSKLKQLNISDNRLSGPLPASIGNFSSLQILLLSGNKFAGEIPPEIGRLKNVLTLDMSRNNFSGKIPPEIGNCQMLTYLDLSQNQLSGPIPIQITQIHILNYFNISWNHMNQSLPKEIGSLKSLSSVDFSHNNFSGSIPDFGQYSLFNSSSFAGNPYLCGSYCNNSPTSSLQFHDENNSRSQVPGKFKLLFALGLLACSLIFAILAIIKARKIRKSSKNWKLTAFQKLEFGCEDILECVKENNIIGRGGAGIVYKGIMPNGEQVAVKKLMGISKGSSHDNGLSAEIQTLGRIRHRNIVRLLGFCSNKETNLLVYEYMSHGSLGEALHGKRGGYLKWETRLKIAIEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSDFEAHVADFGLAKFLQDTGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDIVQWTKIQTNSIKERVIKILDQRVTGIPLNEAMQVFFVAMLCVQEHSVERPTMREVVQMLAQAKQSNTFHMQ >Manes.08G133811.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37085720:37088672:1 gene:Manes.08G133811.v8.1 transcript:Manes.08G133811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTLIMINPNFLVRSIFEYPLGLYHGKTLDEACLVGCLLGLVTCHAIIFNAAFAHEVSSMYWGCRGLLSGLSHMT >Manes.18G068201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6123372:6128383:-1 gene:Manes.18G068201.v8.1 transcript:Manes.18G068201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKPRTSTADLLTWSENPPADSPSVGSAPRSAARSHQPSDGISKVVFGGQVTDEEFESLNRRKPCSGYKMKEMTGSRIFAANGEDDTSESGGGNPTPNNRTGLRMYQQALSGISHISFGEEEGVSPKKPTTLPEVAKQRELSGTLESEAEREARLKKQLSDAKCKELSGHDIFAPPPEILPRPTTVRALALKESIHLGEPAPRDVHTSIKVSNPAGGQMSNEESGMKTAKKIYNQKFNELSGNDIFKGDVPPSSTEKPLSVAKLREMSGNDIFADGKVEQRDYLGGVRKPPGGESSIALV >Manes.18G068201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6123371:6128383:-1 gene:Manes.18G068201.v8.1 transcript:Manes.18G068201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMTGSRIFAANGEDDTSESGGGNPTPNNRTGLRMYQQALSGISHISFGEEEGVSPKKPTTLPEVAKQRELSGTLESEAEREARLKKQLSDAKCKELSGHDIFAPPPEILPRPTTVRALALKESIHLGEPAPRDVHTSIKVSNPAGGQMSNEESGMKTAKKIYNQKFNELSGNDIFKGDVPPSSTEKPLSVAKLREMSGNDIFADGKVEQRDYLGGVRKPPGGESSIALV >Manes.18G068201.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6123602:6128383:-1 gene:Manes.18G068201.v8.1 transcript:Manes.18G068201.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKPRTSTADLLTWSENPPADSPSVGSAPRSAARSHQPSDGISKVVFGGQVTDEEFESLNRRKPCSGYKMKEMTGSRIFAANGEDDTSESGGGNPTPNNRTGLRMYQQALSGISHISFGEEEGVSPKKPTTLPEVAKQRELSGTLESEAEREARLKKQLSDAKCKELSGHDIFAPPPEILPRPTTVRALALKESIHLGEPAPRDVHTSIKVSNPAGGQMSNEESGMKTAKKIYNQKFNELSGNDIFKGDVPPSSTEKPLSVAKLREMSGNDIFADGKVEQRDYLGGVRKPPGGESSIALV >Manes.18G068201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6123602:6129269:-1 gene:Manes.18G068201.v8.1 transcript:Manes.18G068201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKPRTSTADLLTWSENPPADSPSVGSAPRSAARSHQPSDGISKVVFGGQVTDEEFESLNRRKPCSGYKMKEMTGSRIFAANGEDDTSESGGGNPTPNNRTGLRMYQQALSGISHISFGEEEGVSPKKPTTLPEVAKQRELSGTLESEAEREARLKKQLSDAKCKELSGHDIFAPPPEILPRPTTVRALALKESIHLGEPAPRDVHTSIKVSNPAGGQMSNEESGMKTAKKIYNQKFNELSGNDIFKGDVPPSSTEKPLSVAKLREMSGNDIFADGKVEQRDYLGGVRKPPGGESSIALV >Manes.13G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35688862:35690873:-1 gene:Manes.13G143100.v8.1 transcript:Manes.13G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPDEQKQVSFLKTCINGINALSGIGILSIPYALSSGGWLSLILLFLIATAAYFTSLLIRRCMDTNPHIRSYSDIAAHAFGSKGRVVASVFTSLELYFVATGLLIMEEDNLHKLSPHFVLKLGSLTLDGKHAFVILAGLVIWPSMWLSDLGVMSYVSATGILSSVIIVVCVFWAGVNGGEGFHGGGRLINLQGMPTALSLYSFCYGAHAMFPAIYSSMRKKDQFSMVLLISFIVCSINYFCMAIVGYLIYGQNVQSQVTLNLPVQEASAKIAIYTILAGPVAKYALTITPIANDIESCLPARYQDSKPISIIIRTCLLISAVVLALFFPYFQTVTALSGAVLVVSVSFLLPCICYLKIFQAYQNWGIELAGILTIILMAILVGILGTYSSIAQAIKHTDISGV >Manes.02G047000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3833387:3840120:-1 gene:Manes.02G047000.v8.1 transcript:Manes.02G047000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPDSNRFDIFQIYQRYCGIRSGEQYEGERNEQDDAAQRGKSSRDALAQLLKFVELRVHTRSLIFDELERLMSNLEFMVDFSEFSRFYDFVFFMLRENGQKNITVSKAVTAWRLVLAGRFRLLNQWCDFVEENQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRVSGSNKDTSFFCNCGDSESQSCVFEDPLPGLKVIPGLKRKLPSLQNEEMDSSGSLFPDYTNLNLTPYSKRNRLISQRPLNWEDNPPGNSASDCMEITKHNSPMGSTKSVCAVEGCLSRGFAGLFSTRSYLQLDRERRVSYT >Manes.10G105750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25540555:25541579:-1 gene:Manes.10G105750.v8.1 transcript:Manes.10G105750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDTSNGRDKSKFYEHQNLKQKIKKLVKKGALNKFTCQKKDRNEKDDLETLETINMIVGGLQSQRHEQKGGRQKKANVLTVEALFQQPINFELEVENKVSSLQFDLLVISARMNRFIVKQIFIDTGSSVNLIKLKVFNKLGLDKEKDMSYVLYPLVGLGDKSVPVLGVMNLTILMRNEQFKREIDPEFAVVDIHLLHSSHKREPKIDPRMLQTIHQELVDEVTMKELEEGKLIRLGFTLEGENRAKVLEVLKSCISTFA >Manes.03G152100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28007004:28012799:1 gene:Manes.03G152100.v8.1 transcript:Manes.03G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQGTRLRLGLCSFKHPFLWNISPSIASTTTTTAGAIQTCLCLPWLFRLLHSNALNPLPHRSPAFHGVRYMQRQLPFQRLFCSEATGDKEKMKKKPMANEDSVTMRINEKFADKFPVFSDHCIFKVPKQLRSVNEEAYEPQLIAIGPYHHGKDHLLAMEDHKIRYLQSLLQRSAQKDVSRYVQTIRNLEKRARKSYAEPLSFEHDEFVEMMLIDGCFIIEFIHKMVEFDVQDPIMGSGHMHVRLMLDLLLLENQLPFFILWELLRTSNVISNPEINFTRLILKAYKHYLSGPGCDMSPVYTSAEMMQIKSILGLIHDNWQPSLERTEVYKKMRETKKPSSTRCATELKEAGIKFKSVEGRNLFDIKFEKGKIEIPKIEITDITECVLRNLIAYEQLTSFTSPKYFTDYMIFMDSLINSKKDVELLCRKGIIDNWKGDDETIAIIFNKLGEHVFCESALYADIVNNVNDHCKKRRNLWMAKLKHHYFQCPWSSMSVVAAIILLLLTLIETGYSVLSYYK >Manes.08G117400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35707006:35710539:1 gene:Manes.08G117400.v8.1 transcript:Manes.08G117400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTKVADFIPESVLKKRKRSEEWALLKNNELKTKKDKNAENRKIIFKRAEQYSKEYREQERELIRLKREAKLKGGFYVEPEAKLLFIIRIRGINAMDPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIIEQALGSFGIICMEDLIHEIMTVGPHFKEANNFLWPFKLSAPSGGLEKKRNHYVEGGDAGNREDYINELIRRMN >Manes.08G117400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35707181:35710307:1 gene:Manes.08G117400.v8.1 transcript:Manes.08G117400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTKVADFIPESVLKKRKRSEEWALLKNNELKTKKDKNAENRKIIFKRAEQYSKEYREQERELIRLKREAKLKGGFYVEPEAKLLFIIRIRGINAMDPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIIEQALGSFGIICMEDLIHEIMTVGPHFKEANNFLWPFKLSAPSGGLEKKRNHYVEGGDAGNREDYINELIRRMN >Manes.S026952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2260289:2260663:1 gene:Manes.S026952.v8.1 transcript:Manes.S026952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.01G244900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40526154:40529791:-1 gene:Manes.01G244900.v8.1 transcript:Manes.01G244900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETESELSSTPRLPLLFNPHVHACMQSPQRSGTLTPPLYAASASVPFRWEEEPGKPRSCTSLSNPIDFRPKCLELPPRLLLDANVCKLSSPTKVLEGPYMGKQRFQSSSFRIIRRECYGSFHMSCSPERGQLSTMVLSKRGLKEKGLLGSWRWGRRAFTGKREVAGASYVFPSSMDREVEGGNEEEEISSKNVKITRIRRSGRFSTHTRSHFWATIYEGLKQVVPWRNRKLKKDRFVL >Manes.01G244900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40526652:40529608:-1 gene:Manes.01G244900.v8.1 transcript:Manes.01G244900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETESELSSTPRLPLLFNPHVHACMQSPQRSGTLTPPLYAASASVPFRWEEEPGKPRSCTSLSNPIDFRPKCLELPPRLLLDANVCKLSSPTKVLEGPYMGKQRFQSSSFRIIRRECYGSFHMSCSPERGQLSTMVLSKRGLKEKGLLGSWRWGRRAFTGKREVAGASYVFPSSMDREVEGGNEEEEISSKNVKITRIRRSGRFSTHTRSHFWATIYEGLKQVVPWRNRKLKKDRFVL >Manes.04G099901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30436221:30460406:-1 gene:Manes.04G099901.v8.1 transcript:Manes.04G099901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLACGVGFSLALLLCIIQLATAKAPIAMPNCEDRCGDIEIPFPFGMDSKDCYFDEWFEIKCNQSRAFLNKINMELLNIYIRGKAHVKSPIMLSNCSGMETDHLLPLNLTGSPFSISDYNVFTAVGCDTRALMIDNPLQRLGCESKCLGQKDVDWRQLLPNLIKEREFSSGKYYSIADDYCNGTDCCQITIPSSLQVFNASFEAIDGNRGTRGCKLAFLAGLYAGDSWTKNDSNVQFPMVLDWMIKSNRTESSNWMIDSGLWNANYSETVDCYNYYGSSSASSKPVFGCECSYGYEGNPYINCTGSDPRNRDPRL >Manes.17G022842.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13227344:13260353:-1 gene:Manes.17G022842.v8.1 transcript:Manes.17G022842.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISAVSEELGQIDGQIADIFRVLSNGFQKLEKIKDVNRQSRQLEELTEKMRECKRLIKDFDREVKDMESRNDPNTNKMLNEKKQSMIKELNSYVALKKQHAASLESNKRVDLFDGPGEELNEENVLLASSMTNQQLMDHGNQMMDETDHAIERGKKIVQETINVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGRQVATDKCIMAMLFLIVIGIVAIIIVKLVNPNNKDIQDIPGLAPPAQSRRLLWFPN >Manes.S016678.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:168084:168242:1 gene:Manes.S016678.v8.1 transcript:Manes.S016678.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.09G056652.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9132077:9137432:1 gene:Manes.09G056652.v8.1 transcript:Manes.09G056652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWWRSQATLRILFLLLLGQLVSFVLALCSFTSSLVANLGVDAPITQSIFNYFALALVYGSILIYKRQKIQLSWYWYLLLAFADVQGNYLVNKAYQFTSLTSVTLLDCWTIAWAIVLTWFFLGTRYSIWQLFGAAICVVGLGLVLLSDAGVGGEDGSKPLLGDSLVIAGTLFFACSNVGEEFCVKKKDRIEVVSMLGFFGMLVSLVELSIFELKSLESVSWSADIILAIAGYTLSLFMFYTLVPFVLKLSGATMFNLSLLTSDMWAVVFRIFFYRQEVDWLYFLSFAVVTVGLVIYSTTEKDPARMSALEDENSNGEYQALIDDDATRNDSLVS >Manes.10G079100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18522890:18527966:1 gene:Manes.10G079100.v8.1 transcript:Manes.10G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANANTSASVVLSDSSEQRGINSAESNMHTVQPPSNQQNRSSLDGPVAILWDIENCPVPSDVRPEDVAGNIRMALRVHPIIKGAVMMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFSPALHILGQRGYTVILVIPAGVGVSSALSNAGKFVWDWPSVARGEGFVPPSKGLMPPYAGPADIAGYFMGCHINDNFDGQNEEEAIVYRGISQSYYNSRDFSVVSRSLSEYNCSSSISMPCFPTSTRSQSLPSGLNEVSAGPIFYDDQYHSTMWVQPGDINGLKLQLVKLLELSGGCLPITRVPAEYQKLYGRPLYVSEYGAFKLVNLFKKMNDALAIDGKGQKKFVYLRNWKASPSAPPLALARKDKKGKGTQEDSLDVMGGGGSSDELSDEERVVVEEHEERRNQGKASTGTTAGCEANQNLEQFKHELQEILVSYSCRIFLGCFEEIYQQRYKKSLDYHRFGVDQLEELFNKVSDVVVLHEEPVSKRKFLAAVGG >Manes.18G136808.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:22371931:22372977:-1 gene:Manes.18G136808.v8.1 transcript:Manes.18G136808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKGEQIGVGEAIEDKEQLIQGQEQPKQRYVIGVCPNLKTRYQLSKKAKKRVSMISTLRNVSGFDPISYPQTLKQNVAPSIYGREGLPSRETMNSLIDPYLSMIGVYGMGGVGKNILVREVHRK >Manes.05G141600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24131827:24134229:-1 gene:Manes.05G141600.v8.1 transcript:Manes.05G141600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKNENFSHNFYVFAFIRVYELKKGFLQYLFFPIFPPISYIFKFRLPSVSSYPFNKIVAAYVSLGCTEDAEKLFDELPDKDLVSWNSLISGFARGGDLGNCLKAFSRMRSESDMTPNDVTLISLISPCTDTAALDVGKYVHGIAMKLGILLEVKVGNALINLYGKSGCMDRACLLFEEMPLQNSVTWNSVIAVHVQVGLAEEGLRYFFMMRRAGTVADQATLVTLLQACENLGVRKLVEAIHGYLFSCGLNMNLAIATTLLKLYANLGILSASQKVFGEMIKPDAVAWTAMLAGYAAHGCGKDAIELFELMVREGAVLDHVTFTHLLSACSHSGLVKEGKYYFEIMSKVYGVELRVDHYSCMVDLLGRSGLLDEAYKLVKSMPLEPNSGVWGALIGACRVYGNVELGKECAEQLIALDPSDSRNYIMLSNMYSAAGQWRNASKVRVLMKEKNLIRNPGCSYIEHENIIRSFVMGDQSHPETEQIYKKLEELIGRIRNAGYASKTEFVLHDVGEDVKEDVINKHSEKLAIAFGLLMTNANMPLIITKNLRICGDCHSWAKFISLIEMRTIIIRDTKRFHHFANGSCSCGDYW >Manes.15G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3001798:3009864:-1 gene:Manes.15G038300.v8.1 transcript:Manes.15G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPKEEEGEVRKIPSYDSGTHDGAIAVKRAVTSTGVRVSIEIKQEGSQELEASTLKADTRVKEEVTVEGPDTRKQEEKPESKLPIFPESYEPKEGKSDGFKEKCVVKEVKKEPEDLEIKVKEEPDVCKAEVKLESNQPFFLSSPRGMPFKEYCEFTERKSNGGTQNGTVKEEPDVVVMEMPRVLFDRYSRRQNPKNVKQEMVEDTMINGIKVEDGDFPEEADWYLVGRTMVIGLSTSKGRKLVDNEIVNFVFPNTNMRFNSQWIVRFSTKRYGEIGRLPMEWSKCVVPLVNSTKVKVLGRCVAAPPSLHIMQEIMLYVSFYIHKSIFTDLDKSTRRLETTSNIDSTIHPLLTLFKLLKITPYQKAEFTPEELDSRKRSLNLEGEDEAAAMLSLAKRRKGCQQYPEPNKDEQAISESSLNKLVGAAEIYNLEEMEPPSSLICQLKPYQKQALYWMSEAERGLDAEKAAETLHPCWAAYRICDERASSIYLNIFSGEATTQFPTATQMARGGILADAMGLGKTVMTIALILARPGKGSTDSQESTNNKKERTSSKAKGGTLIVCPMALLGQWKDELETHSEPESISIFIHYGGERTNDSRIISGYDVVLTTYGVLAAAYKSDLENSIFHRVDWYRVVLDEAHSIKSWKTVAAQAAFSLSSHCRWCLTGTPLQNSLEDLYSLLCFLHVEPWCNWAWWNKLIQRPYENGDPRGLKLIKAILRPLMLRRTKDTKDKAGRPILVLPPTDIQIIECEHSEAEHDFYDALFRRSKVQFDQFVAQGKVLHNYASILELLLRLRQCCNHPFLVMSRADSQQYADLSKLARRFLETNANSAAPGQTGPTRAYVEEVVEGIRRGENTECPICMEYADDPVLTPCAHRMCRECILSSWRTPTTGLCPICRTVLKKTELITCPTENKFRVDVEKNWKESSKVSKLLECLEGIRRSGSGEKSIVFSQWTSFLDLLEIPLRRRRIGFLRFDGKLVQKQRERVLNEFNETKEKTVMLMSLRAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRTVTVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARIEELKMLFR >Manes.16G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4029399:4030606:-1 gene:Manes.16G032900.v8.1 transcript:Manes.16G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKDYLDLIFVPGGLLVMFAYHLYLLYRYLNFPQTTVMGLDNHDKKAWVVRIMQGDKKDIAIALTVIASNTSAATFLASVSLTLSSLIGAWIGNNSSNIFRSDIIYGDTRPSTMSIKYISLLTCFLLAFSCFIQSARHFVHANYLISTPDSDVPPKMVEVAVIKGGDFWSLGLRALYFALDLLLWFFGPIPMFVSSVVLVVILHYLDTNSTPLHRYRPPGGKMAKRVAEIS >Manes.10G013015.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1356118:1357512:-1 gene:Manes.10G013015.v8.1 transcript:Manes.10G013015.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEKELSFKKKRPRRLLPGSAAESGVRPPNMGRFREHFWPPKAWFEGTKVRLPNLKFSCRTCMSLGGTLGCRRWFSRPPIKSPQIGNGRVFSPFSSSVLKLKKEFWEFGGFWGLDPPHLRVRDRTTPRSSRGKCRSLLS >Manes.06G110600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24259695:24261560:-1 gene:Manes.06G110600.v8.1 transcript:Manes.06G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARAILASLRLSDSLLSTRLYTAPSLLTQVTHFSPFLMEQSRSFYYFNSDQKVYFSSKPSSLVELLLANDWSTELESELQNSNPILTHETVIFALKKLDKDPDKAWGFFNWACERNGFKPSSPSYSMMLRILVNKDSMKNFWIILRKMKEQGFYIDEETYLTISAIFKKEKMNSDVVAFKHFFDRMVKENAMDTVVKNVAIVISEKDWSNDVEKELSGMGIVLTDNFVIRVLKELRNYPRKALQFFHWVGKCEGYDYNTIIYNAIMRVLGRHDSIEEFWSVLEEMKNAGHYMDIDTYIKISRQFQKSKMMEDAVKLYEFVMDGPFKPSVQDCSILLRSISSSENPDLDLVSRVAKKFEATGNSLSKAVYDGIHRSLTSAGKFDEAINIMKAMKDAGYEPDNITYSQFVFGLCKARRLEEACEVLDEMEAHGCIPDTKTWTILIQGHCSASQIDKALMCFAKMMEKNCDVDADVLDVLINAFLSQKRIDGAYTLLIEMVNKAHIRPWQATYKLLIEKLLEERKLEEALNLLRLMKQQNHPPYPEPYVLYISKFGTVEDATDFFKALSVKEYPSTSAYLHVFQSFFKEGRHSEAKDLLYKCPHHIRKHPKISELFGSAKSV >Manes.01G069700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27093023:27094979:1 gene:Manes.01G069700.v8.1 transcript:Manes.01G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALSIVPLLPSKPRPSVFEQNSHLFPSRRKSKKRISPVARLFGPSIFEASKLKVLFVGVDEEKHPAKLPRTYTLTHSDITARLTLAISQTINISQLQGWSNKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCARLRYYIFCKELPVVLKAIVHGDGNLLNNYPELQEALVWVYFHSNIPEFNRVGCWGPLKDAGAPASPLGHGHGTHESKQQATPASNWDLPEPCKEKCECCFPPMSLIHWSE >Manes.11G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24309854:24347269:-1 gene:Manes.11G105500.v8.1 transcript:Manes.11G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPSNDKIVKVQVHPTHPWLVTADDSDRVSVWNWEHRQIIYELKAGGVDERRLVGAKLEKLAEGESDSRGKPTEAMRGGSVKQVNFYDDDVRFWQLWRNRSAAAESPSAVNNVTSAFTSLPPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLCKSTARDGPLVAFGGSDGVIRVLSMITWKLVRRYTGGHKGSISCLMTFMATSGEALLVSGGSDGLLVLWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGSPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSVLTRPLCELSSLIPPHVLAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDATSLPSVAPLPTPSGNREHSAVYVVERELKLLNFQLSNTANVSLGSNGSLSETGKHRGDSAEPLHVKQIKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWTIVDSGSARLLAWDTCRDRFAILESAIAPRIPIIPKGVSSRKAKEAAAAAAQAAAAAASAASAASVQVRILLDDGTSNILMRSVGSRSEPVIGLHGGALLGVAYRTSRRISAVAATAISTIQSMPLSGFGSSPGSSFSTFDDGFSSQRSPAEAAPQNFQLFSWETFEPVGGLLPQPEWTAWDQTVEYCAFAYQQYIVISSLRPQYRYLGDVAIPYATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETRRMKEEMRLKEAQARAVAEHGDLALITVEGPQSATQESIKLRPPMLQVVRLASFQHVPSVPPFLTLPKQTKVDDVDLAMPKEIEEKRVSEIAVGGGGVSVAVTRFPSEQKRPVGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDVGQDGTGLGLSDILNLTAKKENLVEAVQGIVKFAKEFLDLIDAADATAQADIAREALKRLAAAGSVKGALQGHELRGLALRLANHGELTRLSGLVNNLTSIGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNKMLQKEVEHGPSIKTDATAAFLASLEESKLTSLADAGKKPPLEILPPGMPSLSTFITSQKKPGPGTQSSQQQPNKPLQLEGPPAVNSETATASTTATATATVPESAPSSTPDAEIASPLSEAGEPHGSVDKTPMPSTGSNPDLVASGENTTPTSTDNTESIEVPPQNPDNHGSQIQNSMPLSDDFA >Manes.11G105500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24309854:24347269:-1 gene:Manes.11G105500.v8.1 transcript:Manes.11G105500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQALLVSGGSDGLLVLWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGSPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSVLTRPLCELSSLIPPHVLAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDATSLPSVAPLPTPSGNREHSAVYVVERELKLLNFQLSNTANVSLGSNGSLSETGKHRGDSAEPLHVKQIKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWTIVDSGSARLLAWDTCRDRFAILESAIAPRIPIIPKGVSSRKAKEAAAAAAQAAAAAASAASAASVQVRILLDDGTSNILMRSVGSRSEPVIGLHGGALLGVAYRTSRRISAVAATAISTIQSMPLSGFGSSPGSSFSTFDDGFSSQRSPAEAAPQNFQLFSWETFEPVGGLLPQPEWTAWDQTVEYCAFAYQQYIVISSLRPQYRYLGDVAIPYATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETRRMKEEMRLKEAQARAVAEHGDLALITVEGPQSATQESIKLRPPMLQVVRLASFQHVPSVPPFLTLPKQTKVDDVDLAMPKEIEEKRVSEIAVGGGGVSVAVTRFPSEQKRPVGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDVGQDGTGLGLSDILNLTAKKENLVEAVQGIVKFAKEFLDLIDAADATAQADIAREALKRLAAAGSVKGALQGHELRGLALRLANHGELTRLSGLVNNLTSIGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNKMLQKEVEHGPSIKTDATAAFLASLEESKLTSLADAGKKPPLEILPPGMPSLSTFITSQKKPGPGTQSSQQQPNKPLQLEGPPAVNSETATASTTATATATVPESAPSSTPDAEIASPLSEAGEPHGSVDKTPMPSTGSNPDLVASGENTTPTSTDNTESIEVPPQNPDNHGSQIQNSMPLSDDFA >Manes.04G126600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32887142:32896016:1 gene:Manes.04G126600.v8.1 transcript:Manes.04G126600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGCCFCGRGNRRKSGNHPPIAEESSIPIEATVNKKQEIKEETAIPIEANISTEKGESAIPIEAAAKRKKGVRTFSHEELAKAARYFSISDNNRLGDGLTGEVFKGDLPNGEVVAIKRFKHQANPEHEKLARNQYEMEAEILSRIEPHQNIVKVIGYCDDASNRLLVYEFVPNNSLKSCLHGKEEHTIKWSDRLKIALGTAKGLAYLHEICKPRIIHRDIKSANILLGDEFIPKIGDFVLAKEFMSSHTHVSTGPRGTISYEPPEYYIADLRGKLTEKSDVFSFGVVLLELITGKFAILGDNERLVNWALSPLKQVLETDNKEDLDMEKYNNLVDFKLQKDNGKKEISRMIYCAAACVYKPMKLGPKMSQIVEVLEGNKEPMDYIWLRNDTQYLYQGSPYALPEALRPAVP >Manes.15G153233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12721879:12724910:1 gene:Manes.15G153233.v8.1 transcript:Manes.15G153233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGSDKPSQDIGWNFATPTGKKGEMTCNFCGKKITGGITRLKQHLANIPGQVAGCQKVSAQVKKDMGNMLRGFEAAKRDKAKRARELEDEIMKMTEVEGSDSDEEDIELEIARRESMRQFDEDTYRRRASHYESGGSSHQAPPRSGISRSATVRERGREASRYIEQTSTPASRLAAAEIEIEKNRSLKQPKIKTKWLKSQKEKLLKAFGNFVIHNRLPFSVVESPWTKPLLRTAAEVGPNVSPPSAYEISEVYLKNEYKEMKKYIASFEGMWNERGVTIMCDGWSGPTRMSIINFLVYSPRGTVFHKSIDASNVERKDGEYYFKIMKEVVEEIGPSKIVQVVTDNEAAIKSGGKKLMEKFSNLYWTACSAHCIDLILEDFGKRKNIKTVIEQGKVITQFIYNHNWVVNYMKKFTDGRDIIRPGITRFATNFIALESLLRCRTGLRNMFESEQWIGSKYGQATNGPAYEAKKIVLSLDREGRNFWEKAEQIMKIQEPLLKVLRLVDGDEKPTMGFIYEAMERAKLAIKQNSRSYIDYWKIIDARWNFQLHHDLHAAGYFLNPQYQYGPHDIGNDSEIMAGLKNVIQRLESDLVNQANALNQTQLYKHKMESFGSALAQKAIKFTDPGKNIRFTHYVIFLVF >Manes.01G059400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25034005:25037809:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25034005:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25034005:25037809:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25034005:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032753:25037812:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032753:25037812:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032753:25037812:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032753:25037812:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032922:25037808:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEASGSMEFSREVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G059400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25032945:25037779:1 gene:Manes.01G059400.v8.1 transcript:Manes.01G059400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESPKSNILKSPIFKSSSPKSPMPKSPIPKSPVAYEKYKSRCGYGLISFFNFRRCHSKKMISDTQSTSLNRNALGDGYVENGDEFHSYKDSHDKVNDKSMMLDSDGAQVEKIKGRDASIEQHKKKKVMTAKVENVKSDSEPVDDQSRNRGKAIKTSWRPHRFPKYSCHDISTVECSKPSHQNLADSERSSKPLESASSAEVLWHHKNENHCNCRSTDCMQHDRVNEINLQVNMNEATEAYINQKLIDGKHLGGDGINHQSKHFLEALEILNSNRDLFIKLLQDPNSLLVKHIEDLRESQAKKQENKSFIEAESSEQQIRNKRGCNLSKETGDCQPFQPGSESLQDCEDQRIGDDILQIHYGTRNLQQSAKSAFVALEQMKRKLMRSMGRVRKEQHLRLTNGPLHQKSIHVLEGFGQHGKATGLDSIDRDSPDKACSDFGGRTKSFSDIKRKDQLEKANEFDPVVKDESSASSSGQENLHLSTVKYPKRNKHDPFVEPRANVSESKIGSENFLRRQRTKTWDGVSSVPENDLFPMVRSRRRFPLYNNHQMVSLSENNWSDPNENKNCCSSPLRQNVETKCRDSEEITLPEVPSKPDSSHNNGIRPSTTTADTWEVSPMVNQSSTYSIDDYSSSPLNSRIFREFDTIRDKEEHPSPVSVLDQFLIEEISSPLNNEFQPAKRTRAVLRSELLLQIGIEEGCLADNHQSPPDSKINSSTSIKEYGSILEYISTVLQAHHFSWDELALTCHFSDQLQDQSLADEMDVCSNHFYDDHRLLSDYVNEVLADVNHCYLRCSPWLSFIKPIQQAMMTGNVARQVMKHVDWNLLLASTPQTLDQILANDLSKCRTWMDIRADVEGVVSEMTESLVEELMMEYALE >Manes.01G258800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41507235:41510957:1 gene:Manes.01G258800.v8.1 transcript:Manes.01G258800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDAMALSGSASFYMHRGMAGSGTGTQSGLNVSSGINPLTSSSVPFQSNVGANTIGSTLPIETSTAIPPHGVNVGAPSAMPPSSEPVKRKRGRPRKYGPDGSVSLALSSSLSTHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAICILSANGAVSTVTLRQPSTSGGTVTYEGRFEILCLSGSYLVTNNGGSRNRTGGLSVSLASPDGRVIGGGIGGMLIAASPVQVIVGSFIWGGSKTKNKKGEGPEGARDMDHQAVENPVTPTSVPPGQNLTPTSSVGLWPGSQSLDMRNAHVDIDLMRG >Manes.01G258800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41506653:41510957:1 gene:Manes.01G258800.v8.1 transcript:Manes.01G258800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDAMALSGSASFYMHRGMAGSGTGTQSGLNVSSGINPLTSSSVPFQSNVGANTIGSTLPIETSTAIPPHGVNVGAPSAMPPSSEPVKRKRGRPRKYGPDGSVSLALSSSLSTHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAICILSANGAVSTVTLRQPSTSGGTVTYEGRFEILCLSGSYLVTNNGGSRNRTGGLSVSLASPDGRVIGGGIGGMLIAASPVQVIVGSFIWGGSKTKNKKGEGPEGARDMDHQAVENPVTPTSVPPGQNLTPTSSVGLWPGSQSLDMRNAHVDIDLMRG >Manes.02G134900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10275252:10279605:1 gene:Manes.02G134900.v8.1 transcript:Manes.02G134900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVASLFWARTKALLFNKLFSTTTSTNPQNSSLISHYFALLHSSPNLTHLRHLHARLIRTFLYDNVVLSSKLVLMYSHHNKLIPHSLSVFFHMPCRNIYSWNIIIGEFSRSCFPEKSLDLFLHMWRESHVRPDDFSLPLVLRACAGSGSVELGSSVHGLCVKTGWAVSLFVASALVFMYVTFGNLFYARVLFDGMSKRDAVLWTAMLAGYAQHGEPMFGLQVFRKMVDMDVKVDGVVMVSLLLVCGQLGWLKHGKSVHGWCLRNCLAFELSLGNAIVDMYVKCAALAYAHSVFDEMSERDVFSWSSLILGYGLSGNVSVALALFDQMHMGGIKPNDVTFLGVLSACAHGGLVEEARSYFKMIKDYGLVAGFKHYASMVDCLGRAGLLEEAERFMEDMPMEPDEAVLGAILAGCRVHNNVEVGERIAKKLIRLKPEKAGYYVLLSNMYAAAGRYDEAEIIRDLMKEKNVSKVPGYSLIESKSSFPLSQNEDLDFLEV >Manes.14G089500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7419313:7424291:1 gene:Manes.14G089500.v8.1 transcript:Manes.14G089500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIERSDRKSGEIGERREREKEMGTSKGVEKVKSISRSKMKMWMIRATTSVLLWTCVVQLTTIGEMWGPRVLKGWPSCFSHESAAALEEKVLTVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVEHFIASLRDEVRILKELPPRLKRRMELGMTRTMAPVSWSDISYYHNQILPLIQKYKVLHLNRTDARLANNHQPLEIQKLRCRVNFSALRFTSQIEELGKRVIKLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDPNIQIYIAAGEIYGGERRMTSLAAAYPKLVRKETLLEPSDLRFFENHSSQMAALDYLVSLESDVFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRLLVDLIDRYNNGSLSWDEFSFAVKESHANRMGNPVKRLVIPERPKEEDYFYSNPEECLSSSEDPLDPLSST >Manes.08G096400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32507516:32508303:-1 gene:Manes.08G096400.v8.1 transcript:Manes.08G096400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKTRAMKGCIKSGRGPWIVHRSTKDGVVTKYRFPSDSERQNNKQRERRRRAVTRKIFAGLRKHGNYKLPKHADTNDLLKALCEEAGWHVEEDGTIYRFKWMDYLGMLSFCA >Manes.02G061300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4732757:4742623:1 gene:Manes.02G061300.v8.1 transcript:Manes.02G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKHPLISTKNFDHFILFDTKSEIHSLNPPKISTIMWRNAAKQAISKTRTKSLSSHSFSHTHSFIGLFQESTFPDKFKVYPQNVSNSQMGFRRLGGILTHEDYMGKTSFGFLRNSANTKVSMYNGYLCAGFCPRGYVNVAEAVSSSTDVEEDVSVVEEVQELLQEMRREERRGKEYMKKKERGMSSRKYHMLRKRQVKVETEAWEQAAKEYKELLMDMCEQKLAPNLPYMKSLFLGWFEPLRDAIAKEQELIREGKNKAAYAPYFDLLPADMMAVITMHKLVGLVMTGGGHGCALVVAAACKIGDAIDQEVRIHRFLEKTKKKRAKREEHQEDESNDMAKKQENLRKMVTDLIKKQKLPAVRKIVKGHDDSKPWSQDTKAKVGCRLIELLIQTAYIQPPANQSADDPPDIHPAFVHTSRTLKHENKVYGRRYGVIQCDPLVLKGLEITARHMVIPYMPMLVPPLKWEGYDKGAHLFLPSYVMRTHGARQQREAVKRTSRGQLQPVFEALDTLGRTKWRINKRILSIVDRIWNSGGRLADLVDRSDVPLPEKPDTEDEALLRKWKWKIKSVKKENKERHSQRCDTELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLQWLKIHLANLFAGGVDKLSYDGRITFTENHLDDIFDSADRPLEGKRWWLHAEDPFQCLAVCIDLAEALRSSSPETFISHIPIHQDGSCNGLQHYAALGRDKLGASSVNLVAGEKPADVYSGIAARVLDIMRRDAQKDPAVFPDALHARTLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGLIADEAEIFRSSCYAAKVTLTALGEMFQAARSIMSWLGECAKLIASENETVRWTTPLGLPVVQPYRKLGRHLIRTSLQILTLQQETEKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKNAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEKPILESLLESFEQSFPTLSFPSLPERGDFDLREVLESPYFFN >Manes.02G061300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4732757:4742624:1 gene:Manes.02G061300.v8.1 transcript:Manes.02G061300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKHPLISTKNFDHFILFDTKSEIHSLNPPKISTIMWRNAAKQAISKTRTKSLSSHSFSHTHSFIGLFQESTFPDKFKVYPQNVSNSQMGFRRLGGILTHEDYMGKTSFGFLRNSANTKVSMYNGYLCAGFCPRGYVNVAEAVSSSTDVEEDVSVVEEVQELLQEMRREERRGKEYMKKKERGMSSRKYHMLRKRQVKVETEAWEQAAKEYKELLMDMCEQKLAPNLPYMKSLFLGWFEPLRDAIAKEQELIREGKNKAAYAPYFDLLPADMMAVITMHKLVGLVMTGGGHGCALVVAAACKIGDAIDQEVRIHRFLEKTKKKRAKREEHQEDESNDMAKKQENLRKMVTDLIKKQKLPAVRKIVKGHDDSKPWSQDTKAKVGCRLIELLIQTAYIQPPANQSADDPPDIHPAFVHTSRTLKHENNYDKGAHLFLPSYVMRTHGARQQREAVKRTSRGQLQPVFEALDTLGRTKWRINKRILSIVDRIWNSGGRLADLVDRSDVPLPEKPDTEDEALLRKWKWKIKSVKKENKERHSQRCDTELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLQWLKIHLANLFAGGVDKLSYDGRITFTENHLDDIFDSADRPLEGKRWWLHAEDPFQCLAVCIDLAEALRSSSPETFISHIPIHQDGSCNGLQHYAALGRDKLGASSVNLVAGEKPADVYSGIAARVLDIMRRDAQKDPAVFPDALHARTLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGLIADEAEIFRSSCYAAKVTLTALGEMFQAARSIMSWLGECAKLIASENETVRWTTPLGLPVVQPYRKLGRHLIRTSLQILTLQQETEKEFMIHIGRMPVMWMK >Manes.02G061300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4732757:4742624:1 gene:Manes.02G061300.v8.1 transcript:Manes.02G061300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKHPLISTKNFDHFILFDTKSEIHSLNPPKISTIMWRNAAKQAISKTRTKSLSSHSFSHTHSFIGLFQESTFPDKFKVYPQNVSNSQMGFRRLGGILTHEDYMGKTSFGFLRNSANTKVSMYNGYLCAGFCPRGYVNVAEAVSSSTDVEEDVSVVEEVQELLQEMRREERRGKEYMKKKERGMSSRKYHMLRKRQVKVETEAWEQAAKEYKELLMDMCEQKLAPNLPYMKSLFLGWFEPLRDAIAKEQELIREGKNKAAYAPYFDLLPADMMAVITMHKLVGLVMTGGGHGCALVVAAACKIGDAIDQEVRIHRFLEKTKKKRAKREEHQEDESNDMAKKQENLRKMVTDLIKKQKLPAVRKIVKGHDDSKPWSQDTKAKVGCRLIELLIQTAYIQPPANQSADDPPDIHPAFVHTSRTLKHENNYDKGAHLFLPSYVMRTHGARQQREAVKRTSRGQLQPVFEALDTLGRTKWRINKRILSIVDRIWNSGGRLADLVDRSDVPLPEKPDTEDEALLRKWKWKIKSVKKENKERHSQRCDTELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLQWLKIHLANLFAGGVDKLSYDGRITFTENHLDDIFDSADRPLEGKRWWLHAEDPFQCLAVCIDLAEALRSSSPETFISHIPIHQDGSCNGLQHYAALGRDKLGASSVNLVAGEKPADVYSGIAARVLDIMRRDAQKDPAVFPDALHARTLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGLIADEAEIFRSSCYAAKVTLTALGEMFQAARSIMSWLGECAKLIASENETVRWTTPLGLPVVQPYRKLGRHLIRTSLQILTLQQETEKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKNAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEKPILESLLESFEQSFPTLSFPSLPERGDFDLREVLESPYFFN >Manes.02G061300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4732757:4742624:1 gene:Manes.02G061300.v8.1 transcript:Manes.02G061300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKHPLISTKNFDHFILFDTKSEIHSLNPPKISTIMWRNAAKQAISKTRTKSLSSHSFSHTHSFIGLFQESTFPDKFKVYPQNVSNSQMGFRRLGGILTHEDYMGKTSFGFLRNSANTKVSMYNGYLCAGFCPRGYVNVAEAVSSSTDVEEDVSVVEEVQELLQEMRREERRGKEYMKKKERGMSSRKYHMLRKRQVKVETEAWEQAAKEYKELLMDMCEQKLAPNLPYMKSLFLGWFEPLRDAIAKEQELIREGKNKAAYAPYFDLLPADMMAVITMHKLVGLVMTGGGHGCALVVAAACKIGDAIDQEVRIHRFLEKTKKKRAKREEHQEDESNDMAKKQENLRKMVTDLIKKQKLPAVRKIVKGHDDSKPWSQDTKAKVGCRLIELLIQTAYIQPPANQSADDPPDIHPAFVHTSRTLKHENKVYGRRYGVIQCDPLVLKGLEITARHMVIPYMPMLVPPLKWEGYDKGAHLFLPSYVMRTHGARQQREAVKRTSRGQLQPVFEALDTLGRTKWRINKRILSIVDRIWNSGGRLADLVDRSDVPLPEKPDTEDEALLRKWKWKIKSVKKENKERHSQRCDTELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLQWLKIHLANLFAGGVDKLSYDGRITFTENHLDDIFDSADRPLEGKRWWLHAEDPFQCLAVCIDLAEALRSSSPETFISHIPIHQDGSCNGLQHYAALGRDKLGASSVNLVAGEKPADVYSGIAARVLDIMRRDAQKDPAVFPDALHARTLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGLIADEAEIFRSSCYAAKVTLTALGEMFQAARSIMSWLGECAKLIASENETVRWTTPLGLPVVQPYRKLGRHLIRTSLQILTLQQETEKEFMIHIGRMPVMWMK >Manes.18G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1892193:1894997:-1 gene:Manes.18G018900.v8.1 transcript:Manes.18G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIHSSGDPLDKSFMQPSMEKFVEQQSQADNEEETQPTTLVSNGCVDYRGRIADKRTTGGWKASPFIIANEVAERLAFFAIAVNMVAFLVSEMRQSLPAAATHVTDWMGAAYVLTILGAFLADAYFGRFKTIIVFSCIYAVGMVLLTLSASIDSLRPPPCTAKPCTKATDGQNTFLFFALALIALGTGGIKPCVSSFGADQFDEADKKEVRKKFAFFNWFFFAINMGALLGITIMVYIKEKKGWGWGFGVPTGAMMVSIIILAAGIRHYRFQKPMGSAFTRFLQVMVASTRNHLRGVKLGAEVQLYEVNGEESDIRGARKLPHTRQFTFLDKAAVVTDPEANTGNRWKLCTVTQVEEFKSLIRILPIWASTIALSISFTQLATFFTSQANIMDRKLSSNFTIPAGSVTVFSAINALILVPIYEKLIVPIISKYTGHQRGITSLQRMGVGLFVSIFALASAALVEKKRRDSYSPSDMSVFWLFPQYFLIGTAEVFTYVGQLEFFYDEATDGTRSISSALFLSEIGIGSWLSTAIVKIIERTTGGEEKGWLRDNLNKSRLDYFYWILTAINGFNFFIYLWVAWRYKGRSRATRSVIDESLFKAIGDGGAEKREDNKGEIQSIAF >Manes.18G018900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1892193:1894997:-1 gene:Manes.18G018900.v8.1 transcript:Manes.18G018900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTLSASIDSLRPPPCTAKPCTKATDGQNTFLFFALALIALGTGGIKPCVSSFGADQFDEADKKEVRKKFAFFNWFFFAINMGALLGITIMVYIKEKKGWGWGFGVPTGAMMVSIIILAAGIRHYRFQKPMGSAFTRFLQVMVASTRNHLRGVKLGAEVQLYEVNGEESDIRGARKLPHTRQFTFLDKAAVVTDPEANTGNRWKLCTVTQVEEFKSLIRILPIWASTIALSISFTQLATFFTSQANIMDRKLSSNFTIPAGSVTVFSAINALILVPIYEKLIVPIISKYTGHQRGITSLQRMGVGLFVSIFALASAALVEKKRRDSYSPSDMSVFWLFPQYFLIGTAEVFTYVGQLEFFYDEATDGTRSISSALFLSEIGIGSWLSTAIVKIIERTTGGEEKGWLRDNLNKSRLDYFYWILTAINGFNFFIYLWVAWRYKGRSRATRSVIDESLFKAIGDGGAEKREDNKGEIQSIAF >Manes.05G048100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4000394:4002974:-1 gene:Manes.05G048100.v8.1 transcript:Manes.05G048100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMMDVPSTTPKAPPISEMFQKFAIAFKTKTFEFFADETAADDPSDIDGFSLLDSAEDFIPDQKVIILKPDQPLNQNSVTSPTPSWKPQQLSHQPTISKLTNQTQITPLNAQSAQTLISSIFATVSSFEASYLQLQTAHVPFNEKGIKVADEALVSHLQSLSKFKQFYVNLCTNPDFGAALPIGSCLEAQVQENQSKLRVLGIVSDHLQADIDRKDNEVSALRKKLSDIQRCNSKLAKRLSGNLSSPKSCDVLLSVRVFDSVINDACRATHKFTNILIDLMRKAGWDLDLAANSVHADIEYAKTGHNRYAFLSYFCLGMFRGFDLKSFGLDEGDAEILCNGHISDSDKTHSSLKQLLEHVSSSPMELLHRNPYCEFSRFCEKKYQELIHATMESSIFSNMGQNEVVLNSWRSLSIFYESFVNMASAVWTLHKLAFSFDPVVEIFQVERGVDFSVVYMEDVTRRCTLPAKTRMKVGFTVVPGFKIGRTVLQSKVYLCGLKCTG >Manes.15G028400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2234130:2237961:-1 gene:Manes.15G028400.v8.1 transcript:Manes.15G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEQKQLRDHKGNERVEAVLELLRKQAPLTVKQEKFCNNACVERFLKAKGDNVKKAAKHLRACLSWRESIGTEQLIADEFSAELAEGVAYVAGHDEESRAVVIFRIKQDYQKLHSQKLFTRLLVFTLEVAIGSMAKNVEQFVLLFDASFFRSASSFMNLLLATLKIVAEYYPCRLYKAFIVDPPSLFSYIWKGVRPFVELSTVTMVVSSLDFEESLEFSDFSSYPRASSLRFDPSSIKSTAKIGSCSSSRFSFTVSHHFDSLKPWYLTLTDTSTSKFEPTSPYPLGPALISPLNGRSLSFASPAARTPLSTLNGGSYGRPTKKNLFPSTPLPQRVTASEPIKISHPRTPRPSFLQSPAMFFKKECHVNRNEKSRESFFPFLKFYRRPYDEMIYRSKMRPPLGGLISIVSPHLKRRHMSVSQRF >Manes.02G062500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4800876:4802508:-1 gene:Manes.02G062500.v8.1 transcript:Manes.02G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGKDEEVEKDELAESLNDIFTNVSTMVKAELEGTNHQMELLEKMNQRVAEEYKVFGDVASGLRIFVEYLKSKSGNFDEYVQQIDAIERQVTEFEAVISVLDKYVSLLEFKVQSVYLHE >Manes.14G072200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6005455:6009580:1 gene:Manes.14G072200.v8.1 transcript:Manes.14G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSFKHFVEEELGKFPLFLIYAVLEWLLIIVLFIDGFLAFFANEFARFFELEIPCLLCTRIDHVLVRRTSDFYYNDSICESHKKEVSCLAYCHNHKKLSDIRRMCDTCLLSFATAKEAHGHTYKSLVGILNKDIATIVDNDPDQHFTLPTGRKDDSMQAEDIFLHRCPCCGGPLKAKSYFKGKGSSMTSQAPTPSPRAPFANWRNEEHRNLEIYTMRYTELKFPDDESELHEDEDGQNTPRLAKEDAKAPTGLTEAEYMNEDRTPIFCRGNRYFGIPLTDSANVSPRWSNRVPRKSPLEKTEFASEYTEGSAPSEADGDLILHQMKGQARLDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEEAEYDQEALQATYDLLAKREEEIKVLEAELDEYREKYGPLREDSFEGSEVEGYQNMNSQTLKRSVDAGSQDNNSQTLKRSEDEGSLDKNSQPISSNAEKSEHISPACSSTDGRINDENQHSNKQSSSLSNENEGKVPEKLRKPGRACPLGRLKHIEKRGYISDGRMNEATGQEGKLMKELLHFHEWVKALEVDDKSSKHAGQTIQNDSEKLLIEISDNLQKLRHFISVSSGDN >Manes.06G157700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28343587:28345175:1 gene:Manes.06G157700.v8.1 transcript:Manes.06G157700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLRLAVGMMGNAASLLLYAAPVLTFFRVIRKKSIEKFSCVPYICALSNCLLYTWYGLPVVSCKWENFPLVTINGLGILLESSFIIIYLVFAETRGKIKVGVTLLLVLLIVSITAGVSSFALRDHHSRKVLTGSVSLVGSVGMYGSPLVVMKQVIQTKSVEFMPFYLSFFSFLSSSLWLVYGLLGRDLFVAFCSLLISWAPH >Manes.06G157700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28343587:28345175:1 gene:Manes.06G157700.v8.1 transcript:Manes.06G157700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLRLAVGMMGNAASLLLYAAPVLTFFRVIRKKSIEKFSCVPYICALSNCLLYTWYGLPVVSCKWENFPLVTINGLGILLESSFIIIYLVFAETRGKIKVGVTLLLVLLIVSITAGVSSFALRDHHSRKVLTGSVSLVGSVGMYGSPLVVMKQVIQTKSVEFMPFYLSFFSFLSSSLWLVYGLLGRDLFVASPNFLGTPLGILQLLLYCKYRKRSVMEVPQKCDLEKNEVNSKQLQLVVDEELKN >Manes.15G051549.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3965259:3969173:-1 gene:Manes.15G051549.v8.1 transcript:Manes.15G051549.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKSKDKALNSPPSSAGRPPQAVKFTRRTASGRIMSLSRDDDMDTSNDFSGQNDYINYTVMMPPTPDNQPAGSSSDNKPDGPGSHTTSRFGSESRMGRQIGEEEDNYSNNGDGGGTRRMTIMKSNSKSMLLRSQTQDFDHNRWLFETKGTYGVGNAYWSEGESYGPDTGLSMSDFMDKPWKPLTRKIPVPAAVLSPYRVLIVLRMIILSFFLTWRVTNPNRDAMWLWGISIVCEIWFAISWLLDILPKLNPINRATDLAALRDKFEKPSPSNPTGRSDLPGVDVFVSTADPEKEPPLVTANTILSILAVDYPVEKVSGYISDDGGAILTFEAMAEAVRFAEIWVPFCRKHNIEPRNPDSYFNQKTDPTRNKKRPDFVKDRRWIKREYDEFKVRINGLPEVIRRRSESYNKKEERKEKKLAREKNDGALPAEGVNVEKATWMADGTQWPGTWLNPTADHSKGDHAGIVQIMSKVPESDPVMGQPDEKKLDFTGVDIRIPMFAYVSREKRPGYDHNKKAGAMNAMVRASAVLSNGPFILNLDCDHYIYNSMAIREGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNFVFFDGSMRALDGLQGPVYVGTGCMFRRYALYGFLPPRANEYDGMFGQVKNKAPQLQSEEESETQPLTAHPDLNLPKKFGNSGMFNESIAVAEFQGRPLSDHVSIKNGRPPGALLVARPPLDAPTVAESVAVISCWYEDKTEWGEKIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFLATRRLKFLQRIAYLNVGIYPFTSFFLVAYCFLPALSLISGQFIVSSLNIAFLLYLLVITITLILLSLLEVKWSGIGLEEWWRNEQFWVIGGTSAHFAAVLQGVLKVVAGIEISFTLTSKSAGEDEDDIYADLYMVKWTSLFIMPLTIIIANLIAIVIGVSRTIYSVLPQWGRLIGGSFFSLWVLTHMFPFIKGLLGRRGRVPTIVYVWSGLISITVSLLWVAIDPPSSSSSTSSGSGTTSSSSFN >Manes.02G125000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9493326:9495013:-1 gene:Manes.02G125000.v8.1 transcript:Manes.02G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIRSFFGDRRSGVFDHFSSFDFSDPPKNFPFPSTLSQENIARLLPWPHCESIDGHRVEHSSGKFLRRFRLPENVKMDEVKVSMENGVLTVIVPKVEAKKPDVKAIEISC >Manes.02G153800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11961626:11963514:-1 gene:Manes.02G153800.v8.1 transcript:Manes.02G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREANKGAPSADLLVCFPSRAHLTLLPKPICSPARPADSSKHHPNHRHNHQYHHHRRKSSNGGGGGGQASPLLWTKNKQMGTEIVEPTSPKVTCAGQIKVRHKAAACKSWQSVMKEIERIHNGKHKKKSSWMDSLGFKKDIMQFLRCLRNIRLDFRCLRSISQSDITTDDEGEGEECENHSGAGASDANETSRTVFSKWFMVLQENQNNEICKEKRTDKDKDKEKSCSDESTATPSVPPPNALLLTRWWSSSTKSWLEEKAEEQEEDVKTEESKKILKALMEEEEEERNTKKENLVVMRHDTDFYEISSDIAKEKWVVGGTRDPLSRSRSWKRW >Manes.15G107500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8531543:8535596:1 gene:Manes.15G107500.v8.1 transcript:Manes.15G107500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIGTSSFPSFDHIPTSLDTTQFTQPKILNFQFNKLPTSSFSRIQGNVSNFKLFTTTSSPSSVSTESFEPPEPAFEAATNGEKFDWYSQWYPVVPVCDLDKRVPHAKKVMGLDVVVWWDRNESAWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGFGDCKLIPQAPPDGPPVHKFKKACVAVYPSTVHHDIVWFWPNTDPQYKDIITKKKPPSIPALEDPPFTKLMGSRDFPYGYDVLIENLMDPAHVAYAHYGIISTRKPQVMVDREGGRPIDMSVKKLDKDGYIGKMDWGCSKFIAPCIFYAYADPVADQVYGTVSSSQSRKNFFDQQLSTHQRTALIFICIPVCPGNSRLIWSFPRNFGVWTDKIIPRWMCHVIQNLILDSDLYLVHVQERKIMDVGPANWQKACFVPTKSDALVVGFRRWLNKYAGGQVDWRGKYSGALPPTPRREQLMDRYWSHVVNCRSCSSAHKCLSAIEVILPVISLVSIGIVAAAKQGAISAAARSTVVALAVVCFAASRWLSHFIYKTFHYHDYNHALH >Manes.17G072800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27260837:27274421:-1 gene:Manes.17G072800.v8.1 transcript:Manes.17G072800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEIQTQPSKFSRVGNGRSETSKIGQKGSGDHQYPDDEEEEELKRPNLGCGSIGGAGDDAGSANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLINAAANAINKLPSLNGSFPDTPKQLNDEKRTSDATEQGLDSADVELDDPNFNQNQNQHLSLSKSACSSTSDTSKGSGLSLSRPDIRRNRDKARERARERAAKEKEKENDSRIAHHQNMNPISQNSTFTELLTAGISSVSNNDNNNNSNNNGATASPCSEANLFHNKTAPSRQWPLTPMDYFGTGLLGTSSSRTTHHPSGFSGQFQLGNSIPPTMTMSIPPFNFSGENHQEQLQHFPFVSDHLIPVASTQPGPGADYNLSFTISSGLAGFNRGTLQSNSSSPSLFSHLQRFSSSPIDGSTNVPFFIGAAGPPPPPMENHHHHHQFPPPGLQLCYGDGSRHSEQKGKGKN >Manes.17G072800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27260837:27274421:-1 gene:Manes.17G072800.v8.1 transcript:Manes.17G072800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEIQTQPSKFSRVGNGRSETSKIGQKGSGDHQYPDDEEEEELKRPNLGCGSIGGAGDDAGSANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLINAAANAINKLPSLNGSFPDTPKQLNDEKRTSDATEQGLDSADVELDDPNFNQNQNQHLSLSKSACSSTSDTSKGSGLSLSRPDIRRNRDKARERARERAAKEKEKENDSRIAHHQNMNPISQNSTFTELLTAGISSVSNNDNNNNSNNNGATASPCSEANLFHNKTAPSRQWPLTPMDYFGTGLLGTSSSRTTHHPSGFSGQFQLGNSIPPTMTMSIPPFNFSGENHQEQLQHFPFVSDHLIPVASTQPGPGADYNLSFTISSGLAGFNRGTLQSNSSSPSLFSHLQRFSSSPIDGSTNVPFFIGAAGPPPPPMENHHHHHQFPPPGLQLCYGDGSRHSEQKGKGKN >Manes.17G072800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27260837:27273182:-1 gene:Manes.17G072800.v8.1 transcript:Manes.17G072800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEIQTQPSKFSRVGNGRSETSKIGQKGSGDHQYPDDEEEEELKRPNLGCGSIGGAGDDAGSANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLINAAANAINKLPSLNGSFPDTPKQLNDEKRTSDATEQGLDSADVELDDPNFNQNQNQHLSLSKSACSSTSDTSKGSGLSLSRPDIRRNRDKARERARERAAKEKEKENDSRIAHHQNMNPISQNSTFTELLTAGISSVSNNDNNNNSNNNGATASPCSEANLFHNKTAPSRQWPLTPMDYFGTGLLGTSSSRTTHHPSGFSGQFQLGNSIPPTMTMSIPPFNFSGENHQEQLQHFPFVSDHLIPVASTQPGPGADYNLSFTISSGLAGFNRGTLQSNSSSPSLFSHLQRFSSSPIDGSTNVPFFIGAAGPPPPPMENHHHHHQFPPPGLQLCYGDGSRHSEQKGKGKN >Manes.17G072800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27260837:27273078:-1 gene:Manes.17G072800.v8.1 transcript:Manes.17G072800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEIQTQPSKFSRVGNGRSETSKIGQKGSGDHQYPDDEEEEELKRPNLGCGSIGGAGDDAGSANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLINAAANAINKLPSLNGSFPDTPKQLNDEKRTSDATEQGLDSADVELDDPNFNQNQNQHLSLSKSACSSTSDTSKGSGLSLSRPDIRRNRDKARERARERAAKEKEKENDSRIAHHQNMNPISQNSTFTELLTAGISSVSNNDNNNNSNNNGATASPCSEANLFHNKTAPSRQWPLTPMDYFGTGLLGTSSSRTTHHPSGFSGQFQLGNSIPPTMTMSIPPFNFSGENHQEQLQHFPFVSDHLIPVASTQPGPGADYNLSFTISSGLAGFNRGTLQSNSSSPSLFSHLQRFSSSPIDGSTNVPFFIGAAGPPPPPMENHHHHHQFPPPGLQLCYGDGSRHSEQKGKGKN >Manes.17G072800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27260327:27274456:-1 gene:Manes.17G072800.v8.1 transcript:Manes.17G072800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEIQTQPSKFSRVGNGRSETSKIGQKGSGDHQYPDDEEEEELKRPNLGCGSIGGAGDDAGSANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLINAAANAINKLPSLNGSFPDTPKQLNDEKRTSDATEQGLDSADVELDDPNFNQNQNQHLSLSKSACSSTSDTSKGSGLSLSRPDIRRNRDKARERARERAAKEKEKENDSRIAHHQNMNPISQNSTFTELLTAGISSVSNNDNNNNSNNNGATASPCSEANLFHNKTAPSRQWPLTPMDYFGTGLLGTSSSRTTHHPSGFSGQFQLGNSIPPTMTMSIPPFNFSGENHQEQLQHFPFVSDHLIPVASTQPGPGADYNLSFTISSGLAGFNRGTLQSNSSSPSLFSHLQRFSSSPIDGSTNVPFFIGAAGPPPPPMENHHHHHQFPPPGLQLCYGDGSRHSEQKGKGKN >Manes.17G072800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27260837:27274421:-1 gene:Manes.17G072800.v8.1 transcript:Manes.17G072800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEIQTQPSKFSRVGNGRSETSKIGQKGSGDHQYPDDEEEEELKRPNLGCGSIGGAGDDAGSANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLINAAANAINKLPSLNGSFPDTPKQLNDEKRTSDATEQGLDSADVELDDPNFNQNQNQHLSLSKSACSSTSDTSKGSGLSLSRPDIRRNRDKARERARERAAKEKEKENDSRIAHHQNMNPISQNSTFTELLTAGISSVSNNDNNNNSNNNGATASPCSEANLFHNKTAPSRQWPLTPMDYFGTGLLGTSSSRTTHHPSGFSGQFQLGNSIPPTMTMSIPPFNFSGENHQEQLQHFPFVSDHLIPVASTQPGPEVFVFSHRRLNKCTFLHWCCWTTATTNGESSPPSSVPTTWVAALLRRWKQTF >Manes.17G072800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27260837:27274421:-1 gene:Manes.17G072800.v8.1 transcript:Manes.17G072800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEIQTQPSKFSRVGNGRSETSKIGQKGSGDHQYPDDEEEEELKRPNLGCGSIGGAGDDAGSANRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVNTAIQFYDLQDRLGYDQPSKAVEWLINAAANAINKLPSLNGSFPDTPKQLNDEKRTSDATEQGLDSADVELDDPNFNQNQNQHLSLSKSACSSTSDTSKGSGLSLSRPDIRRNRDKARERARERAAKEKEKENDSRIAHHQNMNPISQNSTFTELLTAGISSVSNNDNNNNSNNNGATASPCSEANLFHNKTAPSRQWPLTPMDYFGTGLLGTSSSRTTHHPSGFSGQFQLGNSIPPTMTMSIPPFNFSGENHQEQLQHFPFVSDHLIPVASTQPGPGADYNLSFTISSGLAGFNRGTLQSNSSSPSLFSHLQRFSSSPIDGSTNVPFFIGAAGPPPPPMENHHHHHQFPPPGLQLCYGDGSRHSEQKGKGKN >Manes.03G213300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:33112884:33113267:-1 gene:Manes.03G213300.v8.1 transcript:Manes.03G213300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSPLIIQRQSPAHGPRQNLYSIHMQPSSTVDQTFTQNGPQHVDSHSLLHSSNMAHTTQVILSTSHAGQVRSAHRGPSQSTHMGPPSSSFTQPIQASTPAAHERQIHTWPATSQLGRPFTLFKGQ >Manes.16G094402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30103309:30105325:1 gene:Manes.16G094402.v8.1 transcript:Manes.16G094402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGAETQSNSATKPGPQVEVESIKCYSCGFREECTPAYISRVRERYHGRWICGLCIEAVKDEVLRSDRLISTEEALNRHISFCNKFRSCTPDNQTEHPIVVMGRILRRSLDSPRAIRSNSSSVLPEVDVEKIKYMWKSRMTE >Manes.01G213200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38352754:38354787:1 gene:Manes.01G213200.v8.1 transcript:Manes.01G213200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPDDYCAPPPKLPRTNNGDKPARDWSELPHELIAIVADGLGIIDLLSFRGVCKDWNIASRTASAEIESSPSREPWFLLYGESSQCLLLSESGKKYTINIPKLNNGGATCIGSNNGWLLILQQNSIFFFCPFSDAKIDLPKLPSSEFSDYAASFSSPPTSKDCIVSVLCQNNTSDMELYLLRRGGNNWTTHKHRCPHERPPKKIKCAVYCEDEFHFLDDADKLVTFSVKDFQWKIFRIISQDKPGVESIPYYMRKNSFKTKCMKQQLGLGDDVSISICGTAVLAIAHPKTERIIFGELINPPQPQESEARSLKGVWIQPRFFYVPADQSWYASS >Manes.01G213200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38352754:38354787:1 gene:Manes.01G213200.v8.1 transcript:Manes.01G213200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNRHSDDSIPFLIVISVSQTNNGDKPARDWSELPHELIAIVADGLGIIDLLSFRGVCKDWNIASRTASAEIESSPSREPWFLLYGESSQCLLLSESGKKYTINIPKLNNGGATCIGSNNGWLLILQQNSIFFFCPFSDAKIDLPKLPSSEFSDYAASFSSPPTSKDCIVSVLCQNNTSDMELYLLRRGGNNWTTHKHRCPHERPPKKIKCAVYCEDEFHFLDDADKLVTFSVKDFQWKIFRIISQDKPGVESIPYYMRKNSFKTKCMKQQLGLGDDVSISICGTAVLAIAHPKTERIIFGELINPPQPQESEARSLKGVWIQPRFFYVPADQSWYASS >Manes.08G052750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5668905:5669054:-1 gene:Manes.08G052750.v8.1 transcript:Manes.08G052750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAIMWSAILILQLKIVWWKDKDEYQIFQECNLAILVHKSLLLRQKFY >Manes.08G133611.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37055462:37055990:-1 gene:Manes.08G133611.v8.1 transcript:Manes.08G133611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLLSLPLLGFSIKEMMWFPSLAQPSLKIWRTTLEH >Manes.01G194700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36921597:36924589:1 gene:Manes.01G194700.v8.1 transcript:Manes.01G194700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRLLHAMSFLFLSASFCLGIRSIPGREMDGGDGIQLGGLRFAEAPDYRNGIECPVSGNRQFVSSCDRSLVHVAMTLDSEYLRGSIAAVHSVLKHASCPENVFFHFIAAEFDKASPRVLGQLVRSTFPSLSFKVYIFREDTVINLISSSIRQALENPLNYARNYLGDILDPCVDRVIYLDSDVVVVDDIHKLWSITLAGSRIIGAPEYCHANFTKYFTDVFWSDPVLSRVFSARKPCYFNTGVMVMDLVSWREGNYRRRIENWMEIQRKRRIYELGSLPPFLLVFAGNIEAIDHRWNQHGLGGDNVRGSCRSLHPGPVSLLHWSGKGKPWVRLDGKKPCPLDHLWEPYDLYKGYDNGGVKDHSMGFSSSSQSIMMGYL >Manes.04G163900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35736383:35738724:-1 gene:Manes.04G163900.v8.1 transcript:Manes.04G163900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVAGQGSTLNPNAPLFIPAVYRQVEDFSPEWWELVKTSTWFRDFWLSQHPEGSFDGGASDDDDVVDLLPEKLDVGFDEEFANLEAQFEDLIMWNEEEKEWKQQPLNGVKMDVKGALKELSIPKSGKERRVKSPANPGKYQMKTAHCGSPKSTPRRIHQPR >Manes.05G135300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23732257:23736144:1 gene:Manes.05G135300.v8.1 transcript:Manes.05G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSRIDHLPVVSLCHDRCKFLDEALYQSYALADAHLAYMHSLKSLGPSLRRFFDQNLDNSLSDCNSNGDSAAAKHNPLKSSPSPHHCPSSSNSESHLDFSSDSEDDEFKDGDVDPLHRIHTNYFERQTSTPSKSDLYAYHEPNKYDYFANNGGLFRSRASPYGASSPDGGSAWKTPSPPPPSGSTWEFLNFFDTYERYELPVKDKEGIHELKDELENKIHGDSVNLAGEKKQSKVKLTVENGDAKQPEVDVVQMNVISEKEKQASAESKNQSTPDIMSELENLFEKAAESGNPVLKILDTGKVRYYHKNSIYQGVSSKMLHVVNPLVIPSKNTEPTSTEKIGSVRVGSDEDLAVISVNLSSTLKKLCMWEKKLYDEVKAEEKLRIMLARTYRQIKNIGEKDAEANKVDSARNLIRALSTKIKVAIQVIDRTSIAINKLRDEELWPLISELIQKLLEMWKAMLECHRRQNQAVVEARGLDAIVSNGKFSEIHLEAAIQLKIELQNCNLSFSNWITAQKSYIKALNGWLLKFLPREPQEMPDETEPLSPGKAGVPLPPVFAFFNQWSHAIGIISEMEVINTMYGLFMSINQLVERHYIYLQQRLIADKDLERRIKILEREEKRMQKLMQARVKKTFLASKDVNGTPFPGGAVHQSEVTHNSSLQSNLKQLFMAIEKFSSNSVQAYEELHVLVEEGRNPEGP >Manes.05G135300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23732277:23739956:1 gene:Manes.05G135300.v8.1 transcript:Manes.05G135300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSRIDHLPVVSLCHDRCKFLDEALYQSYALADAHLAYMHSLKSLGPSLRRFFDQNLDNSLSDCNSNGDSAAAKHNPLKSSPSPHHCPSSSNSESHLDFSSDSEDDEFKDGDVDPLHRIHTNYFERQTSTPSKSDLYAYHEPNKYDYFANNGGLFRSRASPYGASSPDGGSAWKTPSPPPPSGSTWEFLNFFDTYERYELPVKDKEGIHELKDELENKIHGDSVNLAGEKKQSKVKLTVENGDAKQPEVDVVQMNVISEKEKQASAESKNQSTPDIMSELENLFEKAAESGNPVLKILDTGKVRYYHKNSIYQGVSSKMLHVVNPLVIPSKNTEPTSTEKIGSVRVGSDEDLAVISVNLSSTLKKLCMWEKKLYDEVKAEEKLRIMLARTYRQIKNIGEKDAEANKVDSARNLIRALSTKIKVAIQVIDRTSIAINKLRDEELWPLISELIQKLLEMWKAMLECHRRQNQAVVEARGLDAIVSNGKFSEIHLEAAIQLKIELQNCNLSFSNWITAQKSYIKALNGWLLKFLPREPQEMPDETEPLSPGKAGVPLPPVFAFFNQWSHAIGIISEMEVINTMYGLFMSINQLVERHYIYLQQRLIADKDLERRIKILEREEKRMQKLMQARVKKTFLASKDVNGTPFPGGAVHQSEVTHNSSLQSNLKQLFMAIEKFSSNSVQAYEELHVLVEEGRNPEGP >Manes.15G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4046481:4053732:1 gene:Manes.15G052600.v8.1 transcript:Manes.15G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSDWEADKMLDVYIYDYLVKKKFHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARANEKHSEAAAAYIEAQQVKAKEQQQQQLQMQQLQLMRQAQLQRGGSDNPPLGSPVNSIGSEGMLGQSNASAMAAKMYEERMKHSNAMDSETSQPLLDARMPLLKQATNHPGQLVQGNSGSITAALQRIQSRTQQTSDIKSEVNLGAAQRSLPMDPSSIYGQGIMQSKPGIVNTELNPGVGSLPLKGWPLTEIEQIRPSLGAQVQRPLLHSASQFQLLPQQQQQLLAQVQYQGNLANSPIYGDMDPRKFRGFPRGNLQAKDVQPNANDGYIGSPVQSTSSKQDPLQSQQAQNNRKRKGSSSSGPANSAGTGNTVGLSPNSQPSTPSTHTPGDAGNLHHVSSMSKGMMMYGEVVEHFGDVGSLDDNVESFLSHDDGDRRDLFSTLKGNPSEQTAEASKGFSFSEVGSIRKSNGKVVCCHFSTDGKSLASAGHDKKVVLWNMETMQTEWTPEEHSHIITDVRFRPNTTQLATSSFDTCIRLWDAIEPRYSLQTYTGHTSHVMSLDFHPKKNDLFCSCDSNNEIRFWNINHYLVEQGGTAQVRFQPRIGHLLAAAAENVVSIFDVETDRQTHSLQGHSTEVHSICWDVNGDFLASVSQESVRVWSLASGDCIHELISSGNKFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTIPAHECVISALAQSPLTGMVASASHDKCVKIWK >Manes.01G229100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39404632:39416837:-1 gene:Manes.01G229100.v8.1 transcript:Manes.01G229100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLQQSKKKKGPSRLSPVTILLCGLIVLLTIFFLYSTYRHWSLRSRIQTQSRKSLGFEAEHAFVDPKKSDLPGYAILNTSKGTITVELFKDGSLEVVDKFVDLCEKGHFKGMRFRHVIKHYVIQAGDTDSVRAAEDLTLKGKHYSQLDTSLKHEAFMLGTSKGKHDDKGFELFITTAPIPDLTEKLIVFGRVIKGEDVVQEIEEVDTDEYFQPKSRIGIINVILKQEI >Manes.04G070700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27006245:27007373:-1 gene:Manes.04G070700.v8.1 transcript:Manes.04G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPTKTTVGLNSSSFLLQNGFDHCTQISDIEMITIQSVTYTSLKDLLSVSPPAVSSPTHNCSSYEIPIKNPLVKQAALSYLQPMSSPPEVGDKGFFGKMREMCCIGWLKDVVFRCLREAFWERREEFGDDEDKVD >Manes.02G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9133539:9138224:-1 gene:Manes.02G119500.v8.1 transcript:Manes.02G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHRFTHNGQTVYEWDQTLEEINIYINLPPNVHSKQFYCKIQSKHLEVGIKGNPPYLNHELSCPVKTDSSFWTLEDNIMHITLQKRDKGQTWSSPILGQGQLDPYSSDIEQKRLMLQRFQEENPGFDFSQAQFTGNCPDPRTFMGGIRTG >Manes.11G106300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:24543192:24544433:1 gene:Manes.11G106300.v8.1 transcript:Manes.11G106300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLDEHICRLRASEATSTSSTSISHKLDGLQDLHDCVDKLLLLPLTQQGLAQENNRKWVDELLDGSLRLLDVCNSAKDALLQTKEYTLELQSTIRRRQGGENGLAIEVKKYLTSRNMAKKAIKKALTNLKGLENKWSVSCIDSGNEIATLVALLREVQAITLSALKSFMSFISGPKTQSKSSHWSLVSKLVLHRRIASEEDEMEENEFVMADAALESLVGCKAACKYENYMLMENVQKHLKDLEMCIQDLEDGTQSLFRRMIKTRVSFLNILNQ >Manes.11G106300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:24543166:24544477:1 gene:Manes.11G106300.v8.1 transcript:Manes.11G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMFHGRSDSLPSRPHPLMSQLDEHICRLRASEATSTSSTSISHKLDGLQDLHDCVDKLLLLPLTQQGLAQENNRKWVDELLDGSLRLLDVCNSAKDALLQTKEYTLELQSTIRRRQGGENGLAIEVKKYLTSRNMAKKAIKKALTNLKGLENKWSVSCIDSGNEIATLVALLREVQAITLSALKSFMSFISGPKTQSKSSHWSLVSKLVLHRRIASEEDEMEENEFVMADAALESLVGCKAACKYENYMLMENVQKHLKDLEMCIQDLEDGTQSLFRRMIKTRVSFLNILNQ >Manes.15G002100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:262446:263136:1 gene:Manes.15G002100.v8.1 transcript:Manes.15G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:INH1 MKNSKSLSSFFLLSMFLLITQFIVVQSDANLIAQTCKQTPYYDLCVRSLNSDPRSPSADVRGLALIMVDIVKARSTTTLNLIKQLLRKMPKLKIPLTDCAADYNAILTSSIPQAIEALKKGNAKFAEDGANSARQEAELCEANFNGKSPITKFSAAVRKSSAIAAAIIRLLL >Manes.06G020900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3766652:3771838:-1 gene:Manes.06G020900.v8.1 transcript:Manes.06G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGAVGAGRVGSGAGGTNSPTVGGSCWDVNLKSLFRWRWEYQQHLLHHRFLSSGLVFFLCGLVLYGSIGMVYGWLMFNKPYVGAGGVVGLNSVGCQEDNEGSWSIGLFYGDSPFSLKPIETMNFWKNESAAWPVANPVITCASVSDSGFASNFVADPFLYVQGDTLYLFYETKNSITMQGDIGVAKSTDNGASWQQLGIALDEEWHLSYPYVFNHLDKIYMMPEGSSKGELRLYRAVNFPLQWTLEKILMKKPLVDSFIIKHDGEYWLFGSDHSGFGTKKNGQLEIWHSNSPLGPWKPHKKNPIYNIDRSLGARNGGRPFLYKGNLYRIGQDCGETYGHRVRVFKVEVLTKDDYKEVEVSMDFEEPKKGRNAWNGARYHHLDVQQLSSGKWIGVMDGDRVPSGDLVHRFFIGCASLAAVAAIVVVLGLLLGAVKCIIPLNWCAYYLGKRSDTLLVWERSNAFSSKVRRFCGRLNRAASSLRTKIRLNTWAGRLVLAAIFTVGIALTFTSIKYIYAGNGAEEPYPLKGSYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVKEIVVVWNKGTPPKLSDLDSVVPVRIRVEKKNSLNNRFRKDDLIKTRAVLELDDDILMTCNDIERGFNVWRQHPDRIVGYYPRLISGTPLKYRGEKYARKHKGYNMILTGASFLDSKLAFEMYWGEEAKVGREMVDIHFNCEDVLLNYLYANASRSSTVEYVRPTWAIDTSKFSGAAISRDTQGHYKIRSNCLKKFSEIYGSLSHRKSEFDQ >Manes.11G142900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30811353:30814481:1 gene:Manes.11G142900.v8.1 transcript:Manes.11G142900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHFFSLVFFLHDCFKLFSFWGSFFFLFQADPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRSTREVRKKVYICPENSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSATINANFRNDLINGAPNSNPHQSTGISQFSSVLRPEFATGSELVGDLSMVDGQKPRLPMWLDHANTQMSAIGVANNSTFLPEFVHAPQVNMFGSASSQAQWLNKYQVESFAGGSNLSVSALPRGLKEEEGNTGDLSESITSLYSSNQHQQQRNSARMSATALLQKAAQMGSTRSNPAFSTSNGIPLMSSPSLSNVTSFNSYDQSKSKETLKFLSRQPNQAAENFNELANSLSPSTPATLLGESNSNTILSTTSKDLNHFMMQANRKQHHGSSSEVEASLTRDFLGVGGETRRPFLQQELAKFASISSGMDLSQYNSGHR >Manes.11G142900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30811353:30814481:1 gene:Manes.11G142900.v8.1 transcript:Manes.11G142900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEDGFSAPSTFRGFVHQEPNLNPNPNPTTNPVKKKRNLPGTPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRSTREVRKKVYICPENSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSATINANFRNDLINGAPNSNPHQSTGISQFSSVLRPEFATGSELVGDLSMVDGQKPRLPMWLDHANTQMSAIGVANNSTFLPEFVHAPQVNMFGSASSQAQWLNKYQVESFAGGSNLSVSALPRGLKEEEGNTGDLSESITSLYSSNQHQQQRNSARMSATALLQKAAQMGSTRSNPAFSTSNGIPLMSSPSLSNVTSFNSYDQSKSKETLKFLSRQPNQAAENFNELANSLSPSTPATLLGESNSNTILSTTSKDLNHFMMQANRKQHHGSSSEVEASLTRDFLGVGGETRRPFLQQELAKFASISSGMDLSQYNSGHR >Manes.11G142900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30811353:30814481:1 gene:Manes.11G142900.v8.1 transcript:Manes.11G142900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEDGFSAPSTFRGFVHQEPNLNPNPNPTTNPVKKKRNLPGTPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRSTREVRKKVYICPENSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSATINANFRNDLINGAPNSNPHQSTGISQFSSVLRPEFATGSELVGDLSMVDGQKPRLPMWLDHANTQMSAIGVANNSTFLPEFVHAPQVNMFGSASSQAQWLNKYQVESFAGGSNLSVSALPRGLKEEEGNTGDLSESITSLYSSNQHQQQRNSARMSATALLQKAAQMGSTRSNPAFSTSNGIPLMSSPSLSNVTSFNSYDQSKSKETLKFLSRQPNQAAENFNELANSLSPSTPATLLGESNSNTILSTTSKDLNHFMMQANRKQHHGSSSEVEASLTRDFLGVGGETRRPFLQQELAKFASISSGMDLSQYNSGHR >Manes.11G142900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30811635:30814481:1 gene:Manes.11G142900.v8.1 transcript:Manes.11G142900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEDGFSAPSTFRGFVHQEPNLNPNPNPTTNPVKKKRNLPGTPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRSTREVRKKVYICPENSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSATINANFRNDLINGAPNSNPHQSTGISQFSSVLRPEFATGSELVGDLSMVDGQKPRLPMWLDHANTQMSAIGVANNSTFLPEFVHAPQVNMFGSASSQAQWLNKYQVESFAGGSNLSVSALPRGLKEEEGNTGDLSESITSLYSSNQHQQQRNSARMSATALLQKAAQMGSTRSNPAFSTSNGIPLMSSPSLSNVTSFNSYDQSKSKETLKFLSRQPNQAAENFNELANSLSPSTPATLLGESNSNTILSTTSKDLNHFMMQANRKQHHGSSSEVEASLTRDFLGVGGETRRPFLQQELAKFASISSGMDLSQYNSGHR >Manes.15G176808.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18649332:18652464:1 gene:Manes.15G176808.v8.1 transcript:Manes.15G176808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPNNILITGAAGFIASHVCNRLHRIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.07G010900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1447566:1448777:1 gene:Manes.07G010900.v8.1 transcript:Manes.07G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNIPQDLLIEMLSSFPVKLLLKCRCACKAWDCLITNPFFTAKHLKKAAARNSELLLFRYYIDGGNERYLLHTNESFPENPVEELHCPLKSLNHFVNIVGSCNGILCLSDDVNGVYTNRAALWNPSVRKIVTIPSPNITLDSGGQLFHSLGFGFDSKLDDYKLVRVVYMEDNNFGIDIPPLVEIYSLRRRCWRLIRHDLKYFNTASAQSAFVNGACHWAAYKPKNGNGVCNVIVSFALGEEAFGEMLVPKCLVDKYLFMDVAAFDGSLLLVPFHEMTGEEDWFSVWMMKKYGDVESWIKLFNISHLEGIQRLVAVRPNGKFLLAKEDGKLVFYDPNTEEILDTGIFGEQNSFYLDILVDSLVLVGESNEFTEIKEEDAFTSGSVSSSLVVNDKASEESREES >Manes.18G084535.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7836340:7843710:-1 gene:Manes.18G084535.v8.1 transcript:Manes.18G084535.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAASCAERATSDMLIGPDWAVNIELCDVINMDPGQAKDALKVLKKRLGSKNPKIQLLALFALETVSKNCGENVFQQIIERDILHDMVKIVKKKPDLNVREKILILIDTWQEAFGGQRGRYPQYYAAYNELRAAGVEFPPRAENSVPLFTPPQTQPIAHAPSAYEDAAIQASLQSDASGLSLPEIQSAQGLADVLNEMLGALDPKNPEGVKEEVIVDLVDQCRSYQQRVMLLVNSTSDEDLLCQGLALNDNLQRVLRRHDDIAKGTPPAVEREADTPVVPLVVINHDEDETEDDFAQLAHRSSRESSQGRAKKPVSVRTEPTRLSPLLPPPPASKKPVSTGSGTIDYLSGDVYNSQGSPRNLETTSNNVPPYFSSNFSPPHSPTLSAPSPPPHAVNSSSLISGRPLYDEPAPLSKSADQLPPAPWDTPSHGSLPPPPSKYNQRQQFFEQSQGPIGSSTGASYDNLVGQTQNISLNSSTPTKQAKPEDALFKDLVDFAKAKSSSSSSKPNRSF >Manes.03G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24545742:24549307:1 gene:Manes.03G119300.v8.1 transcript:Manes.03G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFIVVNSLLFTSQFSSATDTLTSTQSLADGSTLISTHGSFELGFFSPGSSRNRYLGIWYKNIPVRTVVWVANRRAPTKNSTALLMIDIKGNLVLKDGGSVVWSTNSKREVQTPLLQLLDSGNLVLTNASDGHSGIYLWQSFDYPTDTLLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWKILVYNNPESTMWKGSKFYFRTGPWNGITYSGTPQLKPNQLFSFNFVHSDDEVYYAYYPKNKSVISRIVMNQTNYWRERYIWEEASQSWSLYTYLPTDYCDTYGLCGAYGNCIITDSPVCQCLKGFNPKSPDKWNSGDWSQGCVRNKSLNCQDGDGFIKFTELKLPDTKYSWVNKSMSLEECRGKCLNNCNCTAYSVFDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELARLETVKDEPDEKIVAIVIPAVVLAFAFFGVCYYFCQRRIIKLKDENEIDGSDQSSEEDLDLPLFDLVTISHATNKFSLSNRLGRGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGSCIQGNERMLIYEYMPNKSLDFFIFDRTGGKLLDWSNRFNIVCGIARGLLYLHQDSRLRIIHRDLKASNVLLDADMNPKISDFGMAKTFREDQTEGNTKRVVGTYGYMAPEYATDGLFSVKSDVFSFGILILEIISGQKSRGFYHPNHSLNLIGYAWRLWKEGSPLELAAPIILDSCHVSEVIRCIHISLLCVQQHAEDRPSMAYVVLMLGSETAVLPQPKEPDFCKDKGSTEAESSSSNQVSLSTNEISLSVLEAR >Manes.05G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24567187:24569400:-1 gene:Manes.05G144200.v8.1 transcript:Manes.05G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTPNHTQTVSGWAAHDSSGKITPYIFKRRENGVNDVTIKIMYCGICHTDLHHARNDWGITMFPVVPGHEITGLITKVGSNVKNFKVGDRVGVGCLAASCLECEFCKSSQENYCDQIQFTYNGIFWDGSITYGGYSEMLVADHRYVVHIPDNLAMDAAAPLLCAGITVFCPMKDNDLLKSPGKRIGIVGLGGLGHVAVKFGKAFGHHVTVISTSPSKEREARERLGADGFIVSTSPKQMQAGKRSLDLILDTVSAKHSLGPILELLKVNGTLVVVGAPDKPIDLPSFPLIFGKRTVKGSMTGGMRETQEMMDVCGKYNITCDIEVVKPHKINEAFQRLARNDVRYRFVIDIAGNSSLL >Manes.05G144200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24567187:24569400:-1 gene:Manes.05G144200.v8.1 transcript:Manes.05G144200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTPNHTQTVSGWAAHDSSGKITPYIFKRRHEITGLITKVGSNVKNFKVGDRVGVGCLAASCLECEFCKSSQENYCDQIQFTYNGIFWDGSITYGGYSEMLVADHRYVVHIPDNLAMDAAAPLLCAGITVFCPMKDNDLLKSPGKRIGIVGLGGLGHVAVKFGKAFGHHVTVISTSPSKEREARERLGADGFIVSTSPKQMQAGKRSLDLILDTVSAKHSLGPILELLKVNGTLVVVGAPDKPIDLPSFPLIFGKRTVKGSMTGGMRETQEMMDVCGKYNITCDIEVVKPHKINEAFQRLARNDVRYRFVIDIAGNSSLL >Manes.04G039600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6117059:6119275:-1 gene:Manes.04G039600.v8.1 transcript:Manes.04G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFKMGPLGRHDFRPVKHDIAPYKQVMDKWPRDQKGRLGNGRLEFEDQVFGPESLEFDSFGCGPYTGLADGRVVRWMGEAVGWETFALVTANWSEKRCARGVDSTTAKQRKHEKQCGRPLGLRFDKKTGNLYIADAYYGLLVVGPEGGVAVPLATHAAGDQILFAYDLDDIHENGSIFFTDTSKRYDRVNHFFILLGGEATSRLLGYDPQTKTTHVVLDGLAFPNGVQLSKDQSSLLFTETTNCRVELVADLPGFPNNVRMNDEAQFWIALDCYRASAEGVLTHNPWMRSIYFRIPMQMSILARVMGMRMYTVITLFSENGELLEVVEDPKGVVMKLVSEVREVKGRLWIGTVAHNHIAILPYPYN >Manes.05G067500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5607496:5609746:1 gene:Manes.05G067500.v8.1 transcript:Manes.05G067500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKEDEEKNGKINRPKSISMKKKNMMRLGGVGLSLEAFANAKSTRNNYNPALIKKQREFYKNAKYVRKFKKKLKQQNQQDNLSSVVRPMKDENETGEGSKMMKKNKKKSGSYSLKELYEKQHEEKEKARVERESVIKAKKEEREKAEARRKAEREKMYKKTRHGQPVMKYRIEHLLQTIQGSN >Manes.10G125100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29265794:29268197:-1 gene:Manes.10G125100.v8.1 transcript:Manes.10G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNHILNPPNKNLNQLVLAILSKCNHLNHLKQLQSFLTVLGHSQTQFYVFKLLRFSILKISNLSYARYVFDHVCFPNIYLYTAMVTAYASKLDYLSAFEFYRNMVRRGHPKPNHFIFPHVLKSYQTTQLVHAQIAKWGFVQYPVVQTALVDSYSRSSSDIGVARQLFDEMSEKNVVSWTAMISGYTRVGQVGNAISLFDQMPERDVPSWNAVIAGCTQNGLFSEAISLFRKMMQHRHNRPNQVTVVCALSACGHTGMLQLGKSIHGYVYRYSLGFDSFMSNALVDMYGKCGSLKEAKRVFDMTSKKSLTTWNSMINCFALHGHGESAIGIFEEMIQHGEDVRPDEVTFVGLLNACTHGGLVEKGHYYFLMMTQDYGIEPQIEHYGCLIDILGRAGRFEEALEVVRGMKIEPDEVVWGSLLNGCKVHGQIDLAEFAVKKLIEIDPKNGGYGIMLANLYGELGKWDESRMVRKMLKQHNAYKTPGCSWIEVDNEVYQFYSADKTHPRSEEIYKILGALLGLSLRLWYSPYIDCSTQL >Manes.11G102501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23155876:23156746:-1 gene:Manes.11G102501.v8.1 transcript:Manes.11G102501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNPGILSSCLPTPVSNTVVFGNGHSLPVTHIGSNSFPLPSRDLLLKDVLVVPSLTHNLISVKKFARDNSCSVEFDPNGFCVKDLKTRTPLLSCSSPGDLYEFSPSSFSSSLSGGSTSLIASANSFELWHRRLGHPNSSILSNVMEVANTLAIIFNSLLLIMVSVCNFLVHIRHSKMARLNDYIAH >Manes.05G068100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5638329:5638787:-1 gene:Manes.05G068100.v8.1 transcript:Manes.05G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTIGELISSSLLMASFWSFFATFMVFMAYFSSPAFTSRVHVQFSTISAAPAFLPEAPLSSPPSLSPDIEPVFPTTGAGVPSPAESSLPTIPSSPSPPNPDLIEPAAGPVFAMSPSGALPDSAATSLSSPGPLNLAVCFLGFLGFCFMQLSAL >Manes.02G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6457858:6462210:1 gene:Manes.02G082400.v8.1 transcript:Manes.02G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEMGFLKEYGYVALVLVAYCFLNFWMGAQVGKARKKYKVPYPTLYAIESENKDAKLFNCVQRGHQNSLEMMPMFFVLMVLGGFRHPCLCASLGGLYIITRYFYFTGYATGDPQKRLSIGKYGFLALLGLMGCTISFGVGLLRG >Manes.13G100775.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29596588:29597550:1 gene:Manes.13G100775.v8.1 transcript:Manes.13G100775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPARGPSPSRGPSSYGLHSTPIPPFAWAMHSTLPHVTCTWAALHTSTHVGPAFTIHKPLQRQSLQWPSRAHGLHLHGTKAALQFTSSKRAHIGSTKVLQQLTLTRTKAPQQCTGPIHATHEAHAWAPPFPPVDQDPFTHKHVDPPQLTLHAHDRSTSHFHAPPLQLHVDTRTLDPLPGQQFS >Manes.10G031700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3232890:3233551:-1 gene:Manes.10G031700.v8.1 transcript:Manes.10G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFIFLQMSTLFKGDDDFGNKVTVLLIGVGSAALVVTIYHCIAMGWCNRNRDRDRATSQHGHNSQARENPSSMENSTANLIPLFKYQKGKGLVGDDEETCAICLSEFEEGEELRRLPECMHSYHMACIDMWLYSHSNCPVCRTSAISPQVVFRTMDSQRANVLEDVSMLQNIVVQSRTM >Manes.02G146900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11306297:11308370:1 gene:Manes.02G146900.v8.1 transcript:Manes.02G146900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENWGSCFPQQQLDWQSPNLNYFGARFNLGQQYTTSSFVNSGTDMVSIKGTLPLYPSSELSHSHVGQANEPHSWFYCLPCFPQAFTPALNSGLKEKLVTAPCDNHKETITSKVEPGCNQKRFLVFDQSGDQTTLIFSSGIGAPVQSFTSWALNPAAAYNLKREDPGTKENMNIHLGAIAAGHFAEKNGTEVQSEMHEDTEELNALLYSDDGSYSTEDDEVTSTGHSPSTMTAQNNQDWFEGSIEEVASSDGANKKRKLFGDYSLSPALMDTASSMKPMRSCKNEDDAESRCEDGLNWTLGEIDSEPTNKRLRKERIRETVSILQNIIPCGKGKDAIMVLDEAINYLRSLKLKAKALGLDAP >Manes.S037316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1018300:1018959:-1 gene:Manes.S037316.v8.1 transcript:Manes.S037316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGRSPPWPRMFVNTFAGRAAEQVST >Manes.02G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8636139:8636971:-1 gene:Manes.02G111900.v8.1 transcript:Manes.02G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRYHVRSVSLPSRSHPTTLRVQEELNKLKTWEASSTSTSGSIFIGLSGLEDLYKEVNDLFSMSSAQDAFSHCQNGKCLDNLSDGSFKEQVQALQSALRRRKEDSSIEKSVANYTCFRNKINKGAKKYIAVLKQMESKVKASALEDNHLIRLFEEVIAMNISIFRSLFLFLSTSKPKRSRWSTVSKWMHKGTIACEEKQEIENHLESVDAALSERPHLVNIQIARQRLEALEASVEHIENCLENVFRNLIKTRAFILNIISQ >Manes.11G135500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30046581:30050817:1 gene:Manes.11G135500.v8.1 transcript:Manes.11G135500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKSLEARYPLLDSNFQSFCASNGIFTEQQPNSDRLKEGITQLLSIIDRQHQPWLNGLQLLEDARQNKHVLSTGFEEIDKLLGGGVREGQLTELVGPSSSGKTQKVMSNILCYSTFDIFSMFDVLQQLENSLKSQGPKGDCKVQMLIVDSISSLITHVLGGSGPQGHALMTAAGFLLKKLAHEHNIAVLVTNHMVAGEGGTLKPALGESWKSIPHVRLLLSRDPGNKISNISILKHPSLASGEVARFVIDD >Manes.11G135500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30046581:30050817:1 gene:Manes.11G135500.v8.1 transcript:Manes.11G135500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKSLEARYPLLDSNFQSFCASNGIFTVEDFLLHDLSVLTAFAEQQPNSDRLKEGITQLLSIIDRQHQPWLNGLQLLEDARQNKHVLSTGFEEIDKLLGGGVREGQLTELVGPSSSGKTQQLENSLKSQGPKGDCKVQMLIVDSISSLITHVLGGSGPQGHALMTAAGFLLKKLAHEHNIAVLVTNHMVAGEGGTLKPALGESWKSIPHVRLLLSRDPGNKISNISILKHPSLASGEVARFVIDD >Manes.11G135500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30046581:30050817:1 gene:Manes.11G135500.v8.1 transcript:Manes.11G135500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKSLEARYPLLDSNFQSFCASNGIFTEQQPNSDRLKEGITQLLSIIDRQHQPWLNGLQLLEDARQNKHVLSTGFEEIDKLLGGGVREGQLTELVGPSSSGKTQLCLRVAANVAIQHVGRVIFMDTGNSFSPRRIEHFIHQISDSVLSEKVMSNILCYSTFDIFSMFDVLQQLENSLKSQGPKGDCKVQMLIVDSISSLITHVLGGSGPQGHALMTAAGFLLKKLAHEHNIAVLVTNHMVAGEGGTLKPALGESWKSIPHVRLLLSRDPGNKISNISILKHPSLASGEVARFVIDD >Manes.11G135500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30046581:30050817:1 gene:Manes.11G135500.v8.1 transcript:Manes.11G135500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKSLEARYPLLDSNFQSFCASNGIFTVEDFLLHDLSVLTAFAEQQPNSDRLKEGITQLLSIIDRQHQPWLNGLQLLEDARQNKHVLSTGFEEIDKLLGGGVREGQLTELVGPSSSGKTQKVMSNILCYSTFDIFSMFDVLQQLENSLKSQGPKGDCKVQMLIVDSISSLITHVLGGSGPQGHALMTAAGFLLKKLAHEHNIAVLVTNHMVAGEGGTLKPALGESWKSIPHVRLLLSRDPGNKISNISILKHPSLASGEVARFVIDD >Manes.11G135500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30046581:30050817:1 gene:Manes.11G135500.v8.1 transcript:Manes.11G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKSLEARYPLLDSNFQSFCASNGIFTVEDFLLHDLSVLTAFAEQQPNSDRLKEGITQLLSIIDRQHQPWLNGLQLLEDARQNKHVLSTGFEEIDKLLGGGVREGQLTELVGPSSSGKTQLCLRVAANVAIQHVGRVIFMDTGNSFSPRRIEHFIHQISDSVLSEKVMSNILCYSTFDIFSMFDVLQQLENSLKSQGPKGDCKVQMLIVDSISSLITHVLGGSGPQGHALMTAAGFLLKKLAHEHNIAVLVTNHMVAGEGGTLKPALGESWKSIPHVRLLLSRDPGNKISNISILKHPSLASGEVARFVIDD >Manes.01G085300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28747539:28752350:1 gene:Manes.01G085300.v8.1 transcript:Manes.01G085300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKDKRGKMRVEDGVIRLYIDWRIGDVSDGASDPGRKEGRNLSLSLSSHIEAYWFLTTHHWMIFYENRTLDVQVFELHQHPVWQWLFTIDANSSSHIHFLQGLFLLHCLLCSFMFLHFV >Manes.01G085300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28747567:28749670:1 gene:Manes.01G085300.v8.1 transcript:Manes.01G085300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKDKRGKMRVEDGVIRLYIDWRIGDVSDGASDPGRKEGRNLSLSLSSHIEAYWFLTTHHWMIFYENRTLDVQAMRISEWVNHTEPASLPSVFNGHGLIGREYFQVLE >Manes.01G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28747567:28749243:1 gene:Manes.01G085300.v8.1 transcript:Manes.01G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKDKRGKMRVEDGVIRLYIDWRIGDVSDGASDPGRKEGRNLSLSLSSHIEAYWFLTTHHWMIFYENRTLDVQAITALSRWENGLQQMNHPEVFDHVYDFQINYNLILIVK >Manes.01G085300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28747539:28752350:1 gene:Manes.01G085300.v8.1 transcript:Manes.01G085300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKDKRGKMRVEDGVIRLYIDWRIGDVSDGASDPGRKEGRNLSLSLSSHIEAYWFLTTHHWMIFYENRTLDVQVFELHQHPVWQWLFTIDANSSSHIHFLQVCYVGDYVQKNYSLSTFNKLLQ >Manes.01G205700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37858259:37859775:1 gene:Manes.01G205700.v8.1 transcript:Manes.01G205700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDFLYKPKSVLFRFTQAPTLYFQPNIFDHLILSSCTQVFLTIPGSRNFQRHSMVSSGVRMGLVLVLVIVGMLYSGAMAQSGCSNAITSLASCLNYVTGNSTTPSSTCCSQLANVVQSSPQCLCSLLNNSGSSLGITINRTLALSLPGACKVQTPPISQCEAATAPTSSAAPPTASAIPPATSAIPPATSPADSSNETPEPALTPSASQIPSSSGTGSKTVPSTDGTSDGRIIKAPLHFIFVFFLVWCGSTVSKF >Manes.08G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37398342:37403132:1 gene:Manes.08G137300.v8.1 transcript:Manes.08G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALASRLFFLISCIMISVSALDHEFVNCNCDDEGFWSVHSILECQKVSDFLIAVAYFSIPIELLYFVSCSNFPLKWVLLQFIAFIVLCGLTHLLTGWTYYGPHSFQLMLSLTVAKFLTALVSCATAITLLTLIPLLLKWKVRELFLKQNVLELDQEVGMMKKQKEASLHVRMLTREIRKSLDKHTILYTTLVELSKTLDLHNCAVWMPNENRTEMNLTHELKPSGKHYHLSIPVNDPDVLEIKDAKGVKILRPDSALGVASGGGSEEAGALAAIRMPMLQVSNFKGGTPELVDTCYAILVLVLPGMNSRAWTYEEMEIVEVVADQVAVALSHASVLEESQLMREKLSEQNRALQQARKNAMMASQARNSFQKVMSHGMRRPMHSISGLLSMFQDENMSFEQRIIIDTLVKTSNVVSTLINDVMEISTKDNGRFSLEVRAFRLHSMIKEASCLAKCFCAYKGFGFEIDVQSSLPDLVIGDERRAFQVILHMVGYLLNAHDAGGTVIYRVFSESSSEGKNDRMLGMWKSNAPEEFVLIKFEIEIKETSSLSDGSVSTAHSSGRRQNSDEVKEGLSFSMCKKLVQMMQGNIWISQNSVGLAQSMTLVLRFQTRPSYGRAIFAAGTTSEQPNSNSIFRGLRVILADDDDVNRTVTKKLLEKLGCEVTAVASGFECLSALSSAENSLGVVILDLQMPEMDGFEVAMRIRKFRSRNWPLIIALTASAEDNVWERCLQMGMNGVIRKPVLLQGMADELRRVLQRAGEGL >Manes.06G155300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28209076:28210199:-1 gene:Manes.06G155300.v8.1 transcript:Manes.06G155300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKPLAVLLPLLLISLFIPSYAGGIAIYWGQNGNEGTLAETCATGKYSYVNIAFLNKFGNGQTPEINLAGHCNPATNGCTTVSNGIKSCQKQGIKVLLSLGGGIGSYTLASQADARNVADYLWNNFLGGKSSSRPLGDAVLDGIDFDIEQGSTLYWEDLARYLSAYSKPGKKVYLAAAPQCPFPDSNLGTALNTGLFDYVWVQFYNNPPCQYSSGNINNIVNSWNRWTTSVNAGRIFLGLPAAPEAAGSGYVPPNVLTSQILPEIKKSPKYGGIMLWSKFWDDKNGYSSSVLRSV >Manes.13G145100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35853824:35854907:1 gene:Manes.13G145100.v8.1 transcript:Manes.13G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQRFVGFWLVIFLMGFLLGSSEAYQFHVGGRDGWVLNPSENYTLWAHRNRFQVNDTLLFKYKKGSDSVLVVIKDDYYSCNIKKPLQSLTDGDSIFKLDRSGPFYFISGSADNCNKGQRLITVVMSVRPKPKPTPVPQSPSPVGAAPPSSSPPSLPPSPADSPSDSPTAPAPAPAHSGCAGFTGLVELVLGASVGLSLILG >Manes.11G098000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21171261:21178542:1 gene:Manes.11G098000.v8.1 transcript:Manes.11G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTDLIHLFVTVFLSGLAFLMVFPAITDVTMTALCPGQDACSLAIYLSGFQQAIIGMGSVVVTPLIGNLSDQYGRKAMLTIPLTLSILPLAILAYSRTTNFFYAYYALRTLTAMVTDSGINCLALAYVADNISESRRASAFGILSGILLASFVCGTLAARLLPTSLTFKIAAVVSMISAVYMRIFLKDKVLDGENLTRPFLKSGLDETHQDDSESPKKPPVFKKILTLGDLISLLKCSATFSQVAVVAFFNSLAEGGIQASAMYYWKARFHFNKNQYADLLLLAGAAGMVSQLVFMPFLTPFISEEKLLSIGLFMGFINMFLYSISWSIWVPFAATALTVFIVFVPPSLRSITSKQVGANEQGKAQGCILGISSFANIISPLIFSPLTALFLSEDAPFEFPGFSMLCIGFLMMIAFIQSIMIKAATPIPVQKNSNNCMEA >Manes.11G098000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21171261:21178542:1 gene:Manes.11G098000.v8.1 transcript:Manes.11G098000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTDLIHLFVTVFLSGLAFLMVFPAITDVTMTALCPGQDACSLAIYLSGFQQAIIGMGSVVVTPLIGNLSDQYGRKAMLTIPLTLSILPLAILAYSRTTNFFYAYYALRTLTAMVTDSGINCLALAYVADNISESRRASAFGILSGILLASFVCGTLAARLLPTSLTFKIAAVVSMISAVYMRIFLKDKVLDGENLTRPFLKSGLDETHQDDSESPKKPPVFKKILTLGDLISLLKCSATFSQVAVVAFFNSLAEGGIQASAMYYWKARFHFNKNQYADLLLLAGAAGMVSQLVFMPFLTPFISEEKLLSIGLFMGFINMFLYSISWSIWVPFAATALTVFIVFVPPSLRSITSKQVGANEQLCSCPKMHLLNSLVSVCYALDF >Manes.14G146300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15435280:15442328:-1 gene:Manes.14G146300.v8.1 transcript:Manes.14G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMRVSDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSAAAATLAAVAAASTSASASAWKHFENENVISNGSNSHLDNQFRNNGKEKRVFLYNWKTQKSSSEKSAVARNDADEDYESRSIQESLDDSLSDARNAADSKSDGYLGESRSASTIFRCRDANLVSPSMRRIMGIKKKSKKTNTHLDILTRYQQKEMNLRRLLKSHPSMALGLGRDDSVEQSDDTEEYNSEDPRKFSGASPLLIKLRHKNWSNSPSKFLRSSRKEDSSYCYSTPALSTSSYNRYCNRNPSTVGSWDATTTSLNDGDDEVDDHLDLPGRQGCGIPCYWTKRTPRHRGVCGSCCSPSLSDTIRRKGTSILSGSQSMYNRRRHSSSVSNKRRIASRSAQGLLPLLTNSDGRGGSSIGTGHSDDELSTNFGELDLEALSRLDGRRWSSCRSQDGLEIVAINGDGEEEGTPENIRSLSQKYKPLFFGEVIGQNIVVQSLINATSRGRIAPVYLFQGPRGTGKTSTARIFASALNCSSTEETKPCGYCAECADSISGKARDLWEVDGTNKKGIDKVRHLLKKVSQWPPTGSSHYKVFLIDECHLLPSKMWLTFLKFLEEPPQHVVFIFITTDPDNVPRTVQSRCQKYLFNKIKDGDIVARLRKISNEENLEVELDALDLIALNADGSLRDAETMLDQLSLLGKRITTSLVNELVGVVPDEKLLELLELSMSSDTAETVKRARDLMDSGVDPMVLMSQLASLIMDIIAGTYNVADAKYNTSFFGGRSLTEAELERLKHALKLLSEAEKQLRVSSDRSTWFTATLLQLGSVPSPDLSQSNSSRRQSSRTTEEDPSSASREVTIYKQKSDTHYLSRRSSSPASLYKAINGNSNHQGEFGFSSRPLPSQSMDSFTSSASLDDELVGNMLFRARNSEKLDHIWEKCIAKCHSNTLRQLLHAHGKLFSILEFEGALVVYVAFGDEDIKSRAERFMSSITNSIEMVLRCNVEVRIILAPVGEDSLNCLNLSGSQGQRGAGATSTIERERKANGLKPANGYADSKQESLKLSRGSFNDLEGKIKGGSGDYLKSLTLMDSTLQSTALSTELLPEANAETGDLKEKRQELPMQRIESIIREQRLETAWLQAAEKGTPGSVSRLKPEKNQVLPQEDIYHQNKMESASSMGMSSQHWEDELNHELKILKMEDQRMVHKDQTGRRADCYPISPSLLHDSNFVGNPIKESLGYESSSAGGGCSGLFCWNANKARKPKGKGTPVRPRSRSGRLSLFGECGKHKKTESRNRR >Manes.13G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33865594:33870672:-1 gene:Manes.13G130100.v8.1 transcript:Manes.13G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPFSTPQQQPQSLFQPQPQPFQQSSSLFPQQFQQQQQQQFPQQFQQPQQQQQHNQQQLYLFTNDKAPANYSTKWADLHPDSHKVLLQIETRILEYRDESQRLDQCGRLYDSSVSNEGFELDTGHIVQELGGISTAMERQKAILQELMINVKDMLRNTEMAVRSFMMLQPRFRHPNPGSASTATAPSQPSGATVRPGSTGQPTSTSIVPVFDFYSGLPKKPSPFLRQTVVRFEKYLCECSQWIEELEQLLLLDSDRNSSHPGFSLLQSLPKVMSNVHDFFVHVASKVESIHQYIASMKTAYLADQRRRGDVNDPFLEADRRETAKQEAAAKRVHPTLNLPANSQPSTQVAGLFASSATPGASIVPQASAAPASASSGSGFSLFSTPSTVASSSFSSSSLFATPTSSAPVSSLFGSSGASPQASLFSSSSASLLGSASTPSLFSGAATSFSSTTPAGGSLFSTPFASGAVTGSGASFGTTSKPRPKSRTARR >Manes.14G040000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3420727:3447030:1 gene:Manes.14G040000.v8.1 transcript:Manes.14G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDIVLSKDISLDNVWVDDLVLHDLPSFSRENPIDFLEFSAHRYKLEETLTLFHKVLNELWEENRMPKAQKSKFAASVIRDSWLNTEKKLGPIPGVEVGDRFQYRAELRIIGLHHQYDKGIDYFEKDGTILATSIVSTGRYANFMYSSDILIYSGEGGNPKVCTQLQVKDQVLKNGNLALKNSMERRTPVRVIRKGINKDSKLTTCRRSLYVYDGLYFVEKYWKERGKFGKLVFKFELRKISGITRALLEELDRNRQIIPVMRRLDYKKPSPYVSNIDYSVVSNQPMSSGCDCIDGCSDSEDCSCKVKNGKALPYDYGERLVRRKICIYECGPSCKCYGSCINRVTQRGIKFRLQVFKRKSRRWGVRSLVNIPSGSFICEYVRETHETKEVEGRVGGNEHCLDKANYNGVLMSENDNVLCLDNAGRLINHSNYPNLYVQNVFHGDIKMPRMMLFAMKDIYPFQELTYDYNCRTKF >Manes.04G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32385609:32386333:1 gene:Manes.04G122200.v8.1 transcript:Manes.04G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRARSLMVIFVVLGMAVGQSTASLQTCYAGCFLKCMIIPGHSPISCGIKCLKDCIIPSSLTTSTAKEQTHYFCNFGCASSLCTNFSTKQDPGEENVAKCVDSCSTRCSKNFSP >Manes.11G165200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32968469:32970695:1 gene:Manes.11G165200.v8.1 transcript:Manes.11G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVMQASPLFSATSLISRRNFNDYYFGSVQPPKSSFKHFIPKAIKQTREETNIPSPSPEEITQKYGLEAGLWKIFSSKEGRREDDGEEKKSKGEQAKELLAKYGGAYLATSITLSLISFSLCYALISAGVDVQALLQKVGISTDETGEKVGTFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVDNEK >Manes.06G058900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18518677:18530883:-1 gene:Manes.06G058900.v8.1 transcript:Manes.06G058900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVTGKHPPVNSCCTVWKDKCSKLEVGRKHLRQAVQILNEQIDKIQGENAALKKAYEQEQARAETEKEGKDEKLAVRVSLENEISALKSEVFSLKQKISADAKDGKGEVNLLQDHVSEAEKEITRLKSLLEEEKIRVNSEKKNAEAQKKSAAEAWKQVKTERAKADEERKLANNEGKKAEEYRLKVEDLRKEVEEAKSKLVSETLKLEEAGKKLEAEKHKLTKERKRADLEMAKVEEHRKLAEANGKKVIEETSCAESLSQQLRVATQRVEELQKEINSIVLSRDLDEAPGWQCNKSEISVFNYQISSLRRKESLDIIKDKTGNAIILQDHFSEEEKEKEINKLKELLQKEKERADSLKKTAEAEKKSASEAQKRMISEKAKADEERKKSEGYWVQLEALRKEADETKVKLMSEILKFEDANKRLVKKKHRVAKERKCNASEKAKSKELTKLAETNGKRVIEGKTCAGNLPQKLQVAGKRMEELKKEINTFLFRSSGRSPSDLNSNAEAAKMRFMCCLDNFIKDADHAELVLELLKFEAAFKRFEVEKQDAVVEKNNTDMKLMEVEKLKQLVEVNRKMALEEKSRADQLSCQLAESRHKIEELQKQIKELFSYKRVVEASAILPSKDVKNESRKLKLLEKRLKLEKMRLKYAKEVAKLEKIRRSTLQQELGRIRVDSNQISQHLDALDKWFSSGIECREVLQKDGVSVNMQRSKLKRKFGDLEPFHKHVHKESELKPSCMAVAACSLNQSLYRKAPLLPIRKGQCIESLSSFDSKLKALHEGSYHNLLQSSDSRSASFSDSKLLDSQEMGAFVSTSEKWMENSDGQTTISGMSSEVTKKQCNPNLAVVAESNIRSSLGIDTSGRVNGQSRKWKKMVDAIESVESLYSEGKKLNVQMEEKLSLLHGMLNREIEKPLDELDELKYVEALGGSYAKHERGHKRKAPCDERIIMQHSYSIDKQEKIALIEHEVHGDVNNCKHASPSPVNLFGVPQDCIKELNDSYGSDLAIIARVEEVEDGAYMKLLDLDNTADEECYRRALEMPLSPNLPEIEISTAEISDANKFEAGNVFQEGLSNEKGIEMPSHNFDVETSPNNLRCNASGTSRDELILGNEGLVNSFGMFDNANGCCNSTETERASDRETGGDSEVLKIANLPTSRDGGLKLSSESKLEYVHANVPTYCMVFSNTKDCSSVSRIFSATRTCMAHCSLNAEIECNVKKILDVIKMEELLPKEKACAFFTVMLLNISVTTSRKPGCFLDKDFLLFIDSFARQINSVVSDVDARSLATGLCCMDELLDLIEDFLINGQVMVLADVSSETLSESDSRMDIFLDGVNVNISSKPASAYQLVAGSIILASICKALDRVEFICEASYDLLRVRKYDTGVLLTILHVFAYLGGNKFFSLKEYSLTVAVLKSIIFFLEGGNASAASASSCFSSLHEVGLKFQSCANCPFDAVSVDVVASMLMEKLQGCALSGTMHQHCMELANLSNSHAKQNLSAESVSCALHVNCDASCGTNKCVMPADANCGRSGTLCFLSDVLSLVELLAGYMTWEWTCGKIIPVLLEILERPMLDDFAVAVVVHLGQLGRIGVAACGYRDEEVENLKCKLSGFLLRDSTNKASFPVQIAAITSLFGLVSLNFEDVIQSDLKLPEVASQSVSTDLIRNWFSSLSKEQQTFSRRLLQSAAVVTR >Manes.06G058900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18518678:18530782:-1 gene:Manes.06G058900.v8.1 transcript:Manes.06G058900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVTGKHPPVNSCCTVWKDKCSKLEVGRKHLRQAVQILNEQIDKIQGENAALKKAYEQEQARAETEKEGKDEKLAVRVSLENEISALKSEVFSLKQKISADAKDGKGEVNLLQDHVSEAEKEITRLKSLLEEEKIRVNSEKKNAEAQKKSAAEAWKQVKTERAKADEERKLANNEGKKAEEYRLKVEDLRKEVEEAKSKLVSETLKLEEAGKKLEAEKHKLTKERKRADLEMAKVEEHRKLAEANGKKVIEETSCAESLSQQLRVATQRVEELQKEINSIVLSRDLDEAPGWQCNKSEISVFNYQISSLRRKESLDIIKDKTGNAIILQDHFSEEEKEKEINKLKELLQKEKERADSLKKTAEAEKKSASEAQKRMISEKAKADEERKKSEGYWVQLEALRKEADETKVKLMSEILKFEDANKRLVKKKHRVAKERKCNASEKAKSKELTKLAETNGKRVIEGKTCAGNLPQKLQVAGKRMEELKKEINTFLFRSSGRSPSDLNSNAEAAKMRFMCCLDNFIKDADHAELVLELLKFEAAFKRFEVEKQDAVVEKNNTDMKLMEVEKLKQLVEVNRKMALEEKSRADQLSCQLAESRHKIEELQKQIKELFSYKRVVEASAILPSKDVKNESRKLKLLEKRLKLEKMRLKYAKEVAKLEKIRRSTLQQELGRIRVDSNQISQHLDALDKWFSSGIECREVLQKDGVSVNMQRSKLKRKFGDLEPFHKHVHKESELKPSCMAVAACSLNQSLYRKAPLLPIRKGQCIESLSSFDSKLKALHEGSYHNLLQSSDSRSASFSDSKLLDSQEMGAFVSTSEKWMENSDGQTTISGMSSEVTKKQCNPNLAVVAESNIRSSLGIDTSGRVNGQSRKWKKMVDAIESVESLYSEGKKLNVQMEEKLSLLHGMLNREIEKPLDELDELKYVEALGGSYAKHERGHKRKAPCDERIIMQHSYSIDKQEKIALIEHEVHGDVNNCKHASPSPVNLFGVPQDCIKELNDSYGSDLAIIARVEEVEDGAYMKLLDLDNTADEECYRRALEMPLSPNLPEIEISTAEISDANKFEAGNVFQEGLSNEKGIEMPSHNFDVETSPNNLRCNASGTSRDELILGNEGLVNSFGMFDNANGCCNSTETERASDRETGGDSEVLKIANLPTSRDGGLKLSSESKLEYVHANVPTYCMVFSNTKDCSSVSRIFSATRTCMAHCSLNAEIECNVKKILDVIKMEELLPKEKACAFFTVMLLNISVTTSRKPGCFLDKDFLLFIDSFARQINSVVSDVDARSLATGLCCMDELLDLIEDFLINGQVMVLADVSSETLSESDSRMDIFLDGVNVNISSKPASAYQLVAGSIILASICKALDRVEFICEASYDLLRVRKYDTGVLLTILHVFAYLGGNKFFSLKEYSLTVAVLKSIIFFLEGGNASAASASSCFSSLHEVGLKFQSCANCPFDAVSVDVVASMLMEKLQGCALSGTMHQHCMELANLSNSHAKQNLSAESVSCALHVNCDASCGTNKCVMPADANCGRSGTLCFLSDVLSLVELLAGYMTWEWTCGKIIPVLLEILERPMLDDFAVAVVVHLGQLGRIGVAACGYRDEEVENLKCKLSGFLLRDSTNKASFPVQIAAITSLFGLVSLNFEDVIQSDLKLPEVASQSVSTDLIRNWFSSLSKEQQTFSRRLLQSAAVVTR >Manes.06G058900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18518678:18529964:-1 gene:Manes.06G058900.v8.1 transcript:Manes.06G058900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYQVNAYEQEQARAETEKEGKDEKLAVRVSLENEISALKSEVFSLKQKISADAKDGKGEVNLLQDHVSEAEKEITRLKSLLEEEKIRVNSEKKNAEAQKKSAAEAWKQVKTERAKADEERKLANNEGKKAEEYRLKVEDLRKEVEEAKSKLVSETLKLEEAGKKLEAEKHKLTKERKRADLEMAKVEEHRKLAEANGKKVIEETSCAESLSQQLRVATQRVEELQKEINSIVLSRDLDEAPGWQCNKSEISVFNYQISSLRRKESLDIIKDKTGNAIILQDHFSEEEKEKEINKLKELLQKEKERADSLKKTAEAEKKSASEAQKRMISEKAKADEERKKSEGYWVQLEALRKEADETKVKLMSEILKFEDANKRLVKKKHRVAKERKCNASEKAKSKELTKLAETNGKRVIEGKTCAGNLPQKLQVAGKRMEELKKEINTFLFRSSGRSPSDLNSNAEAAKMRFMCCLDNFIKDADHAELVLELLKFEAAFKRFEVEKQDAVVEKNNTDMKLMEVEKLKQLVEVNRKMALEEKSRADQLSCQLAESRHKIEELQKQIKELFSYKRVVEASAILPSKDVKNESRKLKLLEKRLKLEKMRLKYAKEVAKLEKIRRSTLQQELGRIRVDSNQISQHLDALDKWFSSGIECREVLQKDGVSVNMQRSKLKRKFGDLEPFHKHVHKESELKPSCMAVAACSLNQSLYRKAPLLPIRKGQCIESLSSFDSKLKALHEGSYHNLLQSSDSRSASFSDSKLLDSQEMGAFVSTSEKWMENSDGQTTISGMSSEVTKKQCNPNLAVVAESNIRSSLGIDTSGRVNGQSRKWKKMVDAIESVESLYSEGKKLNVQMEEKLSLLHGMLNREIEKPLDELDELKYVEALGGSYAKHERGHKRKAPCDERIIMQHSYSIDKQEKIALIEHEVHGDVNNCKHASPSPVNLFGVPQDCIKELNDSYGSDLAIIARVEEVEDGAYMKLLDLDNTADEECYRRALEMPLSPNLPEIEISTAEISDANKFEAGNVFQEGLSNEKGIEMPSHNFDVETSPNNLRCNASGTSRDELILGNEGLVNSFGMFDNANGCCNSTETERASDRETGGDSEVLKIANLPTSRDGGLKLSSESKLEYVHANVPTYCMVFSNTKDCSSVSRIFSATRTCMAHCSLNAEIECNVKKILDVIKMEELLPKEKACAFFTVMLLNISVTTSRKPGCFLDKDFLLFIDSFARQINSVVSDVDARSLATGLCCMDELLDLIEDFLINGQVMVLADVSSETLSESDSRMDIFLDGVNVNISSKPASAYQLVAGSIILASICKALDRVEFICEASYDLLRVRKYDTGVLLTILHVFAYLGGNKFFSLKEYSLTVAVLKSIIFFLEGGNASAASASSCFSSLHEVGLKFQSCANCPFDAVSVDVVASMLMEKLQGCALSGTMHQHCMELANLSNSHAKQNLSAESVSCALHVNCDASCGTNKCVMPADANCGRSGTLCFLSDVLSLVELLAGYMTWEWTCGKIIPVLLEILERPMLDDFAVAVVVHLGQLGRIGVAACGYRDEEVENLKCKLSGFLLRDSTNKASFPVQIAAITSLFGLVSLNFEDVIQSDLKLPEVASQSVSTDLIRNWFSSLSKEQQTFSRRLLQSAAVVTR >Manes.03G177800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30063544:30065031:-1 gene:Manes.03G177800.v8.1 transcript:Manes.03G177800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSAGTHILVFPYPAQGHIIPMLDLTNQLATTGLTITILVTPKNLPLLNPILTTHPSLKTLVLPFPLHPSIPPGVENAKDLPANYVPFIMQAMGKLYEPLLSWFNSHPSPPSAIISDMFLGWTQQLAGQLNIRNIVFSPSGAMALSIIYSLWRYMPKIEQDDQLFPFSKIPNCPTFPLCQVSPLYRSYVAGDPLSEFIKDGFVGDIRSWGLVINSFTELEGVYLDHLREELGHDRVWAVGPLLLPKTDSSRTKERSGPTPISVEGVMTFLDTCKDHTVVYICFGSQTVLTNHQIEELASGLEKSGIHFIWCVKEPTREHDVEGYGKFPSGFEDSVAGRGFIIRGWVPQVPILNHRAICAFLTHCGWNSILEGIVAGVPMLAWPMGADQFTNATLLVDVLNVGLRVCDGANTVPNPDELAQKLVKLASENLAERERAKQLRDAAFDALKGGCSAKDFESLVHHMVA >Manes.13G048900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5619325:5622619:1 gene:Manes.13G048900.v8.1 transcript:Manes.13G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREQRLESFYTRLRESVSASSLSPLLIFPSTSDVDSLCALKIIFHILESDSVRYSCYPVSSFQEIHKFAGPDLSSPSSEPISILLINWGCQRDLRRLLNLGPGARVFVIDSHRPIHLHNLSEQNDSVIVLHTYDDEHQADLAYDFDVSALANASELNSDDEDGDSSDSEEEEDSESEGEENGSSGSRKRRRVAKENEDDPVQLFRKLKKEYYHMGTFHGRPSGCLMFDLSHSLRKNTNELLWLACVSLTDQFVHERLTDERYQAGVMELEQHINSSGNLEAVSMVTLKDGTKIRAPESSRIAYEDEPRLMLLREWNLFDSMLCSSYIATKLKTWSDNGMKKLKLLLARMGFALVDCQQKFQYMNLEVKRKMKDEFERFLPEYGLNDFYYRSFLRLHGYSSRVSAADVVYGVTALLESFVNSDGSCASKQFGEAYDALSLNNLDKLKSGMQQAIKVQRSILRQGSTAITKSGSIRSGRKFRWVKLEDSVDAKLLGYPQALTKFCYFLMDALREKGARAKPLLCACLSQEPNKMLIVGVCGKPRLGAVQGNAFGVAFRNAAEEIGAEFFHELFESSWIVLDKGAVNNFMIRLTEKL >Manes.15G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2656363:2660789:1 gene:Manes.15G033600.v8.1 transcript:Manes.15G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSNLVTKAPFTPASLSKSSNTHFVLPLRLSFTPKLQPKRVARIRAGLIEPDGGKLVELFVDKSQKDVKRREAISLPRIKLTKIDLQWVHVLSEGWASPLHGFMKQSEFLQTLHFNSLRLEDGSVVNMSVPIVLAIDDLQKQRIGESNRVALVDSDDNTVAILSDIEIYKHPKEERIARTWGTTAPGLPYVEQAIANSGNWLIGGDLEVIEPIKYHDGLDRFRLSPAELREEFTKRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGYTKVDDVPLSWRMRQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPVEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQGKMAFFDPTRPHDFLFISGTKMRTLAKNKENPPDGFMCPGGWKVLVEYYDSLAPADNGKVPEPVPA >Manes.11G103500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23487881:23494494:1 gene:Manes.11G103500.v8.1 transcript:Manes.11G103500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLVSERVCYVHCNFCNTILAVNVPSNNLLNIVTVRCGHCANLLSVNMGASLQTFPLQDPQSQKVNLNSEDFNKDCGSSSKCNKVTAFESGDQEPPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKHAKLDHQSFAGEGSKKSNGFYEINGTL >Manes.11G103500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23487928:23494341:1 gene:Manes.11G103500.v8.1 transcript:Manes.11G103500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLVSERVCYVHCNFCNTILAVNVPSNNLLNIVTVRCGHCANLLSVNMGASLQTFPLQDPQSQKVNLNSEDFNKDCGSSSKCNKVTAFESGDQEPPRMPPIRPPEKRQRVPSAYNREEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKHAKLDHQSFAGEGSKKSNGFYEINGTL >Manes.11G103500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23487925:23494600:1 gene:Manes.11G103500.v8.1 transcript:Manes.11G103500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLVSERVCYVHCNFCNTILAVNVPSNNLLNIVTVRCGHCANLLSVNMGASLQTFPLQDPQSQKVNLNSEDFNKDCGSSSKCNKVTAFESGDQEPPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKHAKLDHQSFAGEGSKKSNGFYEINGTL >Manes.11G103500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23487928:23494341:1 gene:Manes.11G103500.v8.1 transcript:Manes.11G103500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLVSERVCYVHCNFCNTILAVNVPSNNLLNIVTVRCGHCANLLSVNMGASLQTFPLQDPQKVNLNSEDFNKDCGSSSKCNKVTAFESGDQEPPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKHAKLDHQSFAGEGSKKSNGFYEINGTL >Manes.11G103500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23487928:23494341:1 gene:Manes.11G103500.v8.1 transcript:Manes.11G103500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLVSERVCYVHCNFCNTILAVNVPSNNLLNIVTVRCGHCANLLSVNMGASLQTFPLQDPQSQKVNLNSEDFNKDCGSSSKCNKVTAFESGDQEPPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKHAKLDHQSFAGEGSKKSNGFYEINGTL >Manes.09G101900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30025355:30039082:1 gene:Manes.09G101900.v8.1 transcript:Manes.09G101900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSATICIFLICLLLGASTNSVYGDDSQKNKFREREASDDALGYPEIDEDALLNTQCPKNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTEKLVVPRRSVKKDWHVGLDPDPVDRSHPDVHDDQLVSGAVEKKSVSQTTGTTPEVNNSVSASTEIHPPLNASVPVNASVPVNESLPVNEKGMNGNRTESVITLPTSVVSNTSINTESVGTNDTENEKTDGSVGTNSNTGRRLLEDDNSKGSQEVSSQSGENSDEDVHEATAENDEGLEADADSSFELFRDNDELGDEYSYDYDDYVDDSKWGDEEWTEEKHEKLEDYVNIDSHILCTPVIADIDNDGVLEMIVAVSYFFDHEYYDNPDHLKELGGIDIGKYVAGSIVVFNLDTRQVKWTRELDLSTDTSTFRAYIYSSPTVVDLDGDGNLDILVGTSFGLFYVLDHHGNIRENFPLEMAEIQGAVVAADINDDGKIELVTTDTHGNVAAWTSQGKEIWERHVKSLVSQGPTIGDVDGDGHTDVVVPTLSGNIFVLSGKDGSDVRPYPYRTHGRVMNQVLLVDLSKRGEKRKGLSLVTTSFDGYLYLVDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLVVTTMNGNVFCFSTPVPHHPLKAWRSANQGRNNVANRYNREGIYITPSSRAFRDEEGKNFWVEIEIVDRHRFPSGYQVPYKVTTTLLVPGNYQGERTIKQNQTFDSPGRYRIKLPTVGVRTTGTVLVEMVDKNGLYFSDEFSLTFHMYYYKLLKWLIVLPMLGMFGVLVILRPQEAMPLPSFSRNTDL >Manes.09G101900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30026664:30039082:1 gene:Manes.09G101900.v8.1 transcript:Manes.09G101900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVGLEEIFEILTEDRDEDALLNTQCPKNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTEKLVVPRRSVKKDWHVGLDPDPVDRSHPDVHDDQLVSGAVEKKSVSQTTGTTPEVNNSVSASTEIHPPLNASVPVNASVPVNESLPVNEKGMNGNRTESVITLPTSVVSNTSINTESVGTNDTENEKTDGSVGTNSNTGRRLLEDDNSKGSQEVSSQSGENSDEDVHEATAENDEGLEADADSSFELFRDNDELGDEYSYDYDDYVDDSKWGDEEWTEEKHEKLEDYVNIDSHILCTPVIADIDNDGVLEMIVAVSYFFDHEYYDNPDHLKELGGIDIGKYVAGSIVVFNLDTRQVKWTRELDLSTDTSTFRAYIYSSPTVVDLDGDGNLDILVGTSFGLFYVLDHHGNIRENFPLEMAEIQGAVVAADINDDGKIELVTTDTHGNVAAWTSQGKEIWERHVKSLVSQGPTIGDVDGDGHTDVVVPTLSGNIFVLSGKDGSDVRPYPYRTHGRVMNQVLLVDLSKRGEKRKGLSLVTTSFDGYLYLVDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLVVTTMNGNVFCFSTPVPHHPLKAWRSANQGRNNVANRYNREGIYITPSSRAFRDEEGKNFWVEIEIVDRHRFPSGYQVPYKVTTTLLVPGNYQGERTIKQNQTFDSPGRYRIKLPTVGVRTTGTVLVEMVDKNGLYFSDEFSLTFHMYYYKLLKWLIVLPMLGMFGVLVILRPQEAMPLPSFSRNTDL >Manes.09G101900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30025355:30039082:1 gene:Manes.09G101900.v8.1 transcript:Manes.09G101900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSATICIFLICLLLGASTNSVYGDDSQKNKFREREASDDALGYPEIDEDALLNTQCPKNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTEKLVVPRRSVKKDWHVGLDPDPVDRSHPDVHDDQLVSGAVEKKSVSQTTGTTPEVNNSVSASTEIHPPLNASVPVNASVPVNESLPVNEKGMNGNRTESVITLPTSVVSNTSINTESVGTNDTENEKTDGSVGTNSNTGRRLLEDDNSKGSQEVSSQSGENSDEDVHEATAENDEGLEADADSSFELFRDNDELGDEYSYDYDDYVDDSKWGDEEWTEEKHEKLEDYVNIDSHILCTPVIADIDNDGVLEMIVAVSYFFDHEYYDNPDHLKELGGIDIGKYVAGSIVVFNLDTRQVKWTRELDLSTDTSTFRAYIYSSPTVVDLDGDGNLDILVGTSFGLFYVLDHHGNIRENFPLEMAEIQGAVVAADINDDGKIELVTTDTHGNVAAWTSQGKEIWERHVKSLVSQGPTIGDVDGDGHTDVVVPTLSGNIFVLSGKDGSDVRPYPYRTHGRVMNQVLLVDLSKRGEKRKGLSLVTTSFDGYLYLVDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLVVTTMNGNVFCFSTPVPHHPLKAGSI >Manes.09G101900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30026174:30039082:1 gene:Manes.09G101900.v8.1 transcript:Manes.09G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSATICIFLICLLLGASTNSVYGDDSQKNKFREREASDDALGYPEIDEDALLNTQCPKNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTEKLVVPRRSVKKDWHVGLDPDPVDRSHPDVHDDQLVSGAVEKKSVSQTTGTTPEVNNSVSASTEIHPPLNASVPVNASVPVNESLPVNEKGMNGNRTESVITLPTSVVSNTSINTESVGTNDTENEKTDGSVGTNSNTGRRLLEDDNSKGSQEVSSQSGENSDEDVHEATAENDEGLEADADSSFELFRDNDELGDEYSYDYDDYVDDSKWGDEEWTEEKHEKLEDYVNIDSHILCTPVIADIDNDGVLEMIVAVSYFFDHEYYDNPDHLKELGGIDIGKYVAGSIVVFNLDTRQVKWTRELDLSTDTSTFRAYIYSSPTVVDLDGDGNLDILVGTSFGLFYVLDHHGNIRENFPLEMAEIQGAVVAADINDDGKIELVTTDTHGNVAAWTSQGKEIWERHVKSLVSQGPTIGDVDGDGHTDVVVPTLSGNIFVLSGKDGSDVRPYPYRTHGRVMNQVLLVDLSKRGEKRKGLSLVTTSFDGYLYLVDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLVVTTMNGNVFCFSTPVPHHPLKAWRSANQGRNNVANRYNREGIYITPSSRAFRDEEGKNFWVEIEIVDRHRFPSGYQVPYKVTTTLLVPGNYQGERTIKQNQTFDSPGRYRIKLPTVGVRTTGTVLVEMVDKNGLYFSDEFSLTFHMYYYKLLKWLIVLPMLGMFGVLVILRPQEAMPLPSFSRNTDL >Manes.01G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30504344:30507123:1 gene:Manes.01G105300.v8.1 transcript:Manes.01G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSSFALSHLDLDTNPSLNLVPESPEDDPLRTCARTYLVEPHQPVIHGDRGLDFGLDVVAQSIDDLDSWGLEDSNNFDALSSYMEELGSGFGVELGRDEARADGLRVTGIDSESDSCSDGELVDLDSFIDDHMALVNPNEEFEWEELDQAINQSENLIMAIDRVEELSLSSSSISAPVEDEERNIEWGLLMAINNHVNSVDDSFMFDADYDTLLEQLVDNEINWRGSPPAAKRVVENLPLADLGKEDFVVCAICKDEVVDGEMVNKLPCCHYYHGECIVPWLRIRNTCPVCRYELPTDDQDYETRNRRRWRLRGASGISTDSTDGFNFEMFA >Manes.13G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29860927:29864818:-1 gene:Manes.13G101800.v8.1 transcript:Manes.13G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTYISWVPAVSPPPLSLSNSKPRLSTASPNQPQTQKISQYRYYNSDGDIDNPAEVKFTLPAHVKSITSTSNPFVKHCVKLRKSSSYRHFHGSAIVVGTTPIREIYDFQKSSEERTVEMECLILLDKAKIPEGFDDSSTRTLRVSALVMKRLSQLQSTESTEAIALMRFPTSYFVVGNHQKDADCRKWFPAPHRILVLEGIQDPGNLGTLVRSAVAFRWGGIFLLPGCCDPFNDKALKASRGASFQVPIVAGSWQNLEALKNEFQMKLLAGHPASNDELKPVSQLSQGLADSLADVPLCLVLGSEGRGLSVQSLQECELVSIPMARNYESLNVAVAGGIFLYMLQPQNQRIF >Manes.11G150100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31454327:31458628:-1 gene:Manes.11G150100.v8.1 transcript:Manes.11G150100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVPTLSFASFTTTTRLPKPGIHNILNPTPQSSNGIKLQKQKRKARSVSSALPETAASVALAATVVGAAATLLVRRTQASETNEIQLKTCEDCGGSGICSECNGEGFVLKKLSEESAEKARLNAKNMATRYTAALPKKWSYCTKCSSARSCSTCGGQGKLSY >Manes.14G157838.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20420105:20423706:1 gene:Manes.14G157838.v8.1 transcript:Manes.14G157838.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSGCCGLNNTEKGANMVAGARTSWRIFTYKELEAATNGFSEENKLGEGGFGCVYWGKTNDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGTDQRLIVYDYMPNLSLLSHLHGQFAAQVHLDWRRRMKIVIGSAEGLLYLHHEVTPHIIHRDIKASNILLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEILTGRKPIEKLPGGVKRTITEWAEPLIIQGKFKDLADPKLGGNFNENQLTQAINVAALCVQNDPDKRPNMKEVVSMLKGYDPRGKVIQNRIESAKYNDELLALDQTSDDDDDNGGDSCDMEKNGYSVFGSMEVQKK >Manes.06G108800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24090379:24093710:1 gene:Manes.06G108800.v8.1 transcript:Manes.06G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVKFFLQRLESLSLQETRTFVGLNDQIQHIKGSLREFGKFFGDSGSRDINHAFSTWVNQLRDLVYDMDDQVDEFIIQMDKQIGSNRLTLTECFRSELQKIESQLDELIRRMAESGIEEEETGEQKHNKEQSYGKEESQLELNSATIEGWKEENEGEEVQASGQKDAQTSPASSSVSFNLKYKNLPYYLQSCLTYCCIFPENYWIHKGKLIRLLVAEGLVQGKTGQILEDTAEENINELVNQGMLQVKDEHLQNGTKFTVSSPYRMFIRENFATTLANSDLTTPSAARHVLTSDIMKLGHNLSNLRPRSLFLFGNEDHLEGHWLDLTWAKFLRVLDLEDTKVQRLPDEVGDLIHLTYLGLKHSNIYELPARLGKLQALQTLDIRWCGNLSTLSPEVLSLARLRHLKMLKNINVSGMKLPEGIGRLRNLLTLTGMHAGGGIAEELDKLTQLRKLGVMDVAEENAGELYTSIMKMQGLLCLSLEAKHTFNKQHLVLLDSFLPPPSLRKLRLEGLLDKIPGWLGSLESLTKLRLGFSHLSENPTSVLQLLPNLKILTLWHAYDAQKVGKEFCKAGGYPKLEVLSIASHVLEAWTEIEEGALPSLQYLHFHNCLRLRMLPEGLQYITTLKQLDLLPLLDEHAERLKPDGGEENHKIRNIPKISFIPMSVLNSMVDSHPCRQGQVTSEE >Manes.06G076250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21250815:21251128:1 gene:Manes.06G076250.v8.1 transcript:Manes.06G076250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMIAYFENELFSLWFLLTGLYSSPLSPNPRYAGSEIVQEVTKLWLWL >Manes.07G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1656269:1658388:-1 gene:Manes.07G013400.v8.1 transcript:Manes.07G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQLIVSVFAVIAAVACVIAMAGLFYQGSEMEDLTSDEIKFLHDQACEPTNYKEACRRTLEYVNSTDSKEFFKATIVAASDAVKESIRLSKRLEVKAENGSREKMALDDCQELLENAMQELQVSCSLVNGSDQNTIIERSPQLQSWLSSVLAFQETCVDSFEVHSLIRPLMRKGMVDGSHHIDNALAILSTMSNISKSYKTDHHISTRSSRRILSLGDGGYPTWFSAADRKLLALQGNGMIQPNAVVALDGSGEFRNITAALAAYPKHLKGRYVIHVKAGIYHEHVTVTRKQSNVFIYGDGPRKTVITGKKSSARGVSAWRTATFVAEADGFIAKSIGFANTAGPRGRHAAALRVDSDMSVFFNCRMDGYQNTLFYQAKRQFYRNCVISGTVDFIFGYGAAVIQNSLIIVRKPRVNQKNTVIADGRTEQHATTGLIIHNSRIVPEKKLFPHRFKIPTYLGRPWKPFSRTIVMESQLADFIQPEGWMAWAGCLHLDTLYYAEYANTGPGANTNGRVKWDNFRVIDRTEAVQFTAGQFLHGAEWIKEAGVPVLLGLRD >Manes.10G107700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26027490:26029820:1 gene:Manes.10G107700.v8.1 transcript:Manes.10G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLSAAPDFHLTIPQSDKRRPIFFTAPIRQNLTKKRLTISCNSSGSSPKSSPNLKESLNPKNPSLSDQLKTLSATTLSTTKDSRTHLLSKPKSTWVNPTKPRKSVLSLQRQKRSPYSLNPIVKELRLFAQKLNDCDSSNETTFLSLIDQIPYPLTRENALLILNSLKPWQKAHLFFNWIKTQNSFPMETIFYNVVMKSLRFGRQFELIDNLANEMVSNEIELDNITYSTIITCAKRCNMFDKALEWFERMYKTGLMPDEVTYSAVLDVYAKLGKVEEVLSLYERGVASGWKPDPITFSVLAKMFGEAGDYDGIRFVLQEMKSLGVQPNLVVYNTLLEAMGRAGKPGLARSLFEEMVESGVTPNEKSLTALAKIYGKARWAKDAMELWERMRSNDWPMDFILYNTLLSMCADLGMEEEAERLFEDMKRSEHCRPDSWSYTAMLNIYGSGGNASKAMDLFEEMSKLGVDINVMGCTCLIQCLGKSRRIDDLVRVFNVSIERGVNPDDRLCGCLLSVVSLCEESEDANRVLACLQQANPRLVALVRLIEEEKTSYETVKEEFRVIVSDTAVEARRPFCNCLIDICRSRNLHCRAHELLYLGTLYGLYPDLHHKTADEWSLDVRSLSVGAAHTALEEWMGTLTKFVQRDEALPEMFSAYTGTGTHRFSQGLANAFAAHVEKLSAPFRQCKERAGCFVATRDDLVTWVQSRSPSPIIA >Manes.04G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28234510:28234812:1 gene:Manes.04G081300.v8.1 transcript:Manes.04G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILRAKQILQRDVPKGYLAVYVGEKQKKRRFVIPVSYLNTPSFQDLLIQAEEEFGYDHPMGGLTIPCCESMFIDVISCLNCS >Manes.S033616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:861448:861828:1 gene:Manes.S033616.v8.1 transcript:Manes.S033616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGQSPASDSRNK >Manes.10G042100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4383347:4387363:1 gene:Manes.10G042100.v8.1 transcript:Manes.10G042100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIDFQEFQFSEFSDDRSKVDIRFIQTLNHQNMDASTVLYTRDRDTTQTSSGCDLRKSLAWDSAFFTSPGVLDAEELSIINGGLKRPETLPFPKFEEEIWRSTESNSTINSDCYSLASLEIDLFDDIKASIHKSRDASSNRATSTCKLKREKGTQNGHASKTPDSSSRLKPPKYAGSSGESKSSSVKPPKVSARANPSSIIPSKRASLGTNHVKLDNKATNSTCGEGIAMSKKMCLRESCNIISSSKPSTKSPSSVLPAAKNEFMGFCYASGDFTGKSPSNPRRRTTDSRLAACGSSVRAPLKYLVKNKTKLVSSSDSICLPSTPKLSSYISPASSIDGWSSESSSTSINQRSKSSAASLVNTPLREISFDFSSSKASDSERPRYGKTLGHEIHETKLMDIQFNNVLMCTSTGSPNVSRKPTPSGLRMPSPKIGFFDAENSAVLAQDGGLKFQSGVQETSSKSGIGINNASGSANRTRYGKHRLAGTSTGPLSKTGAENEGKIYSCEKKQPLKEREDMRVICPENNVHRLGENNKENIGSLANQVDDLSQRMGAIDFS >Manes.10G042100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4383222:4387363:1 gene:Manes.10G042100.v8.1 transcript:Manes.10G042100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIDFQEFQFSEFSDDRSKVDIRFIQTLNHQNMDASTVLYTRDRDTTQTSSGCDLRKSLAWDSAFFTSPGVLDPEELLETLNCKMGENGSDMTGHMEPKSIPFESTLTPTNGIGNLRKSLAWDSAFFTSAGVLDAEELSIINGGLKRPETLPFPKFEEEIWRSTESNSTINSDCYSLASLEIDLFDDIKASIHKSRDASSNRATSTCKLKREKGTQNGHASKTPDSSSRLKPPKYAGSSGESKSSSVKPPKVSARANPSSIIPSKRASLGTNHVKLDNKATNSTCGEGIAMSKKMCLRESCNIISSSKPSTKSPSSVLPAAKNEFMGFCYASGDFTGKSPSNPRRRTTDSRLAACGSSVRAPLKYLVKNKTKLVSSSDSICLPSTPKLSSYISPASSIDGWSSESSSTSINQRSKSSAASLVNTPLREISFDFSSSKASDSERPRYGKTLGHEIHETKLMDIQFNNVLMCTSTGSPNVSRKPTPSGLRMPSPKIGFFDAENSAVLAQDGGLKFQSGVQETSSKSGIGINNASGSANRTRYGKHRLAGTSTGPLSKTGAENEGKIYSCEKKQPLKEREDMRVICPENNVHRLGENNKENIGSLANQVDDLSQRMGAIDFS >Manes.10G042100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4383347:4387363:1 gene:Manes.10G042100.v8.1 transcript:Manes.10G042100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIDFQEFQFSGILSKPRTKEFSDDRSKVDIRFIQTLNHQNMDASTVLYTRDRDTTQTSSGCDLRKSLAWDSAFFTSPGVLDAEELSIINGGLKRPETLPFPKFEEEIWRSTESNSTINSDCYSLASLEIDLFDDIKASIHKSRDASSNRATSTCKLKREKGTQNGHASKTPDSSSRLKYAGSSGESKSSSVKPPKVSARANPSSIIPSKRASLGTNHVKLDNKATNSTCGEGIAMSKKMCLRESCNIISSSKPSTKSPSSVLPAAKNEFMGFCYASGDFTGKSPSNPRRRTTDSRLAACGSSVRAPLKYLVKNKTKLVSSSDSICLPSTPKLSSYISPASSIDGWSSESSSTSINQRSKSSAASLVNTPLREISFDFSSSKASDSERPRYGKTLGHEIHETKLMDIQFNNVLMCTSTGSPNVSRKPTPSGLRMPSPKIGFFDAENSAVLAQDGGLKFQSGVQETSSKSGIGINNASGSANRTRYGKHRLAGTSTGPLSKTGAENEGKIYSCEKKQPLKEREDMRVICPENNVHRLGENNKENIGSLANQVDDLSQRMGAIDFS >Manes.10G042100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4383222:4387363:1 gene:Manes.10G042100.v8.1 transcript:Manes.10G042100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIDFQEFQFSEFSDDRSKVDIRFIQTLNHQNMDASTVLYTRDRDTTQTSSGCDLRKSLAWDSAFFTSPGVLDPEELLETLNCKMGENGSDMTGHMEPKSIPFESTLTPTNGIGNLRKSLAWDSAFFTSAGVLDAEELSIINGGLKRPETLPFPKFEEEIWRSTESNSTINSDCYSLASLEIDLFDDIKASIHKSRDASSNRATSTCKLKREKGTQNGHASKTPDSSSRLKYAGSSGESKSSSVKPPKVSARANPSSIIPSKRASLGTNHVKLDNKATNSTCGEGIAMSKKMCLRESCNIISSSKPSTKSPSSVLPAAKNEFMGFCYASGDFTGKSPSNPRRRTTDSRLAACGSSVRAPLKYLVKNKTKLVSSSDSICLPSTPKLSSYISPASSIDGWSSESSSTSINQRSKSSAASLVNTPLREISFDFSSSKASDSERPRYGKTLGHEIHETKLMDIQFNNVLMCTSTGSPNVSRKPTPSGLRMPSPKIGFFDAENSAVLAQDGGLKFQSGVQETSSKSGIGINNASGSANRTRYGKHRLAGTSTGPLSKTGAENEGKIYSCEKKQPLKEREDMRVICPENNVHRLGENNKENIGSLANQVDDLSQRMGAIDFS >Manes.10G042100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4383347:4387363:1 gene:Manes.10G042100.v8.1 transcript:Manes.10G042100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIDFQEFQFSGILSKPRTKEFSDDRSKVDIRFIQTLNHQNMDASTVLYTRDRDTTQTSSGCDLRKSLAWDSAFFTSPGVLDPEELLETLNCKMGENGSDMTGHMEPKSIPFESTLTPTNGIGNLRKSLAWDSAFFTSAGVLDAEELSIINGGLKRPETLPFPKFEEEIWRSTESNSTINSDCYSLASLEIDLFDDIKASIHKSRDASSNRATSTCKLKREKGTQNGHASKTPDSSSRLKYAGSSGESKSSSVKPPKVSARANPSSIIPSKRASLGTNHVKLDNKATNSTCGEGIAMSKKMCLRESCNIISSSKPSTKSPSSVLPAAKNEFMGFCYASGDFTGKSPSNPRRRTTDSRLAACGSSVRAPLKYLVKNKTKLVSSSDSICLPSTPKLSSYISPASSIDGWSSESSSTSINQRSKSSAASLVNTPLREISFDFSSSKASDSERPRYGKTLGHEIHETKLMDIQFNNVLMCTSTGSPNVSRKPTPSGLRMPSPKIGFFDAENSAVLAQDGGLKFQSGVQETSSKSGIGINNASGSANRTRYGKHRLAGTSTGPLSKTGAENEGKIYSCEKKQPLKEREDMRVICPENNVHRLGENNKENIGSLANQVDDLSQRMGAIDFS >Manes.10G042100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4383347:4387363:1 gene:Manes.10G042100.v8.1 transcript:Manes.10G042100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIDFQEFQFSEFSDDRSKVDIRFIQTLNHQNMDASTVLYTRDRDTTQTSSGCDLRKSLAWDSAFFTSPGVLDAEELSIINGGLKRPETLPFPKFEEEIWRSTESNSTINSDCYSLASLEIDLFDDIKASIHKSRDASSNRATSTCKLKREKGTQNGHASKTPDSSSRLKYAGSSGESKSSSVKPPKVSARANPSSIIPSKRASLGTNHVKLDNKATNSTCGEGIAMSKKMCLRESCNIISSSKPSTKSPSSVLPAAKNEFMGFCYASGDFTGKSPSNPRRRTTDSRLAACGSSVRAPLKYLVKNKTKLVSSSDSICLPSTPKLSSYISPASSIDGWSSESSSTSINQRSKSSAASLVNTPLREISFDFSSSKASDSERPRYGKTLGHEIHETKLMDIQFNNVLMCTSTGSPNVSRKPTPSGLRMPSPKIGFFDAENSAVLAQDGGLKFQSGVQETSSKSGIGINNASGSANRTRYGKHRLAGTSTGPLSKTGAENEGKIYSCEKKQPLKEREDMRVICPENNVHRLGENNKENIGSLANQVDDLSQRMGAIDFS >Manes.10G042100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4383347:4387363:1 gene:Manes.10G042100.v8.1 transcript:Manes.10G042100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIDFQEFQFSGILSKPRTKEFSDDRSKVDIRFIQTLNHQNMDASTVLYTRDRDTTQTSSGCDLRKSLAWDSAFFTSPGVLDAEELSIINGGLKRPETLPFPKFEEEIWRSTESNSTINSDCYSLASLEIDLFDDIKASIHKSRDASSNRATSTCKLKREKGTQNGHASKTPDSSSRLKPPKYAGSSGESKSSSVKPPKVSARANPSSIIPSKRASLGTNHVKLDNKATNSTCGEGIAMSKKMCLRESCNIISSSKPSTKSPSSVLPAAKNEFMGFCYASGDFTGKSPSNPRRRTTDSRLAACGSSVRAPLKYLVKNKTKLVSSSDSICLPSTPKLSSYISPASSIDGWSSESSSTSINQRSKSSAASLVNTPLREISFDFSSSKASDSERPRYGKTLGHEIHETKLMDIQFNNVLMCTSTGSPNVSRKPTPSGLRMPSPKIGFFDAENSAVLAQDGGLKFQSGVQETSSKSGIGINNASGSANRTRYGKHRLAGTSTGPLSKTGAENEGKIYSCEKKQPLKEREDMRVICPENNVHRLGENNKENIGSLANQVDDLSQRMGAIDFS >Manes.10G042100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4383347:4387363:1 gene:Manes.10G042100.v8.1 transcript:Manes.10G042100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIDFQEFQFSGILSKPRTKEFSDDRSKVDIRFIQTLNHQNMDASTVLYTRDRDTTQTSSGCDLRKSLAWDSAFFTSPGVLDPEELLETLNCKMGENGSDMTGHMEPKSIPFESTLTPTNGIGNLRKSLAWDSAFFTSAGVLDAEELSIINGGLKRPETLPFPKFEEEIWRSTESNSTINSDCYSLASLEIDLFDDIKASIHKSRDASSNRATSTCKLKREKGTQNGHASKTPDSSSRLKPPKYAGSSGESKSSSVKPPKVSARANPSSIIPSKRASLGTNHVKLDNKATNSTCGEGIAMSKKMCLRESCNIISSSKPSTKSPSSVLPAAKNEFMGFCYASGDFTGKSPSNPRRRTTDSRLAACGSSVRAPLKYLVKNKTKLVSSSDSICLPSTPKLSSYISPASSIDGWSSESSSTSINQRSKSSAASLVNTPLREISFDFSSSKASDSERPRYGKTLGHEIHETKLMDIQFNNVLMCTSTGSPNVSRKPTPSGLRMPSPKIGFFDAENSAVLAQDGGLKFQSGVQETSSKSGIGINNASGSANRTRYGKHRLAGTSTGPLSKTGAENEGKIYSCEKKQPLKEREDMRVICPENNVHRLGENNKENIGSLANQVDDLSQRMGAIDFS >Manes.13G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34446601:34450785:1 gene:Manes.13G136300.v8.1 transcript:Manes.13G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFKVKEKQKELAENANGGVPIKKQTAGELRLHKDISELNLPKSCTISFPNGKDNLMNFEVSIRPDEGYYLGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMAGGYVGQTFFPRCI >Manes.17G002683.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1786003:1791462:-1 gene:Manes.17G002683.v8.1 transcript:Manes.17G002683.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLNSLSGRSRRTSSSTPKKGKNKEILLAADLDTSLSNWKMPPVSSNAIYSNPFALRSDYVVKTVEHATPVHGELQSMSLLSEQLIRRHQEKYLFLHIGMIQVAVKPATRLGLNTAAMLCVRDKRHNKFNDSLLGVVESSLCDGPIFFQCFPNLTLSLTDPHIMRTLVLDIKTMGYDMAPGSENLILIYRIHYKAMNTVVPNLRDNATKLISPKGTTTLFVTNMSKGNLIVPKPIQWDQVNLPDNWILEEAVLPKKEESTTVQSIVQTNEGSVAISFGRSRSVAERRSYSGVSLFSEPLPPRSSISTPIQRSNSVIGVQRSEEQIATPVYAGSQSPAPRPQSPTPSDMGYDAESILSKSFKIMVLEVEDTPFDKWFGMEIPSKEQRMWHKKYELYKVQKQGSKDFPAFIHHCFSILKRPYPNFDDPSFLATLETHYKTFITPQKKILKEMHPPLTDVTYQPTENTKVECMPFSHDQTSNPASRQQNYTNLSLHTIGQQLTRVENQVSKMAAQPKAMDILPRKEVAETSGTKVEEKVLFKPMDSKNLGLKLSKNEEMLEELTKRLAKLGIKEDPKMKAIAPLTMESEEEKTDQELIQLETMLKESEPAEVNRIKYPKTRVTMDVKPYYPRPSPINLQFEDASYNYMQYDGSSIVEWNIDGLSDYQIRNVLQYMTMYATASRAKGNDDPHTARALIAGFSGQLKGWWDFSVSNDGKAQIFNMVKQEGAQQVPDVVNTLLYTIGLHFIGSVSMFTDRAQEQLINLRCPDLSHFKWYRDTFFSLVFTREDSQNHVWKEKFLAGLPALFAERVRDQIRSKHNGNIPYHDYTYGELASEVVTTGIHLCNELKIHKQMQKERFYGKQILGNFCEQYGLPPIKFPSMKFKGGRRREDDNDRHHRRKRFFANKRPYKEKHIRFSKPESSRKSKERKKPNPGKAEKTIVCYKCGKVGHYANKCRVKQQIQALTIEEDLKEALAKILLNETDSGQETMEINAVDYTTEEEESSTEEEEDQKEDCEGNCDYYKSLCAMNGLLVLTKEDNFILDLIDNIEDPEKKREKLETYIGLYKNKETNTTAQDLTDKKIERRQPSYDLKDILERVKNSKRQKEPTVAELRSELNSVKTEIKEMKERINILELLNEQQQQLAMEEPEEDQGGKDLNNLHYINMADRVITHKWHTRVTIVVHKNYVFETNALIDSGADLNCINEGLVPSKYFSKTLEELHTADGSKMSVKYKLNNTVICNQGTCFEIPFLMVKGLSHPVILGNPFLHMLYPIQITKEGISTKINGKVITFYFNSQPRLKEIDVLKNTVENKTKFVNSLKQEVIYKCIDDRLKEPKIQQRIKAIQEELLNSICAESPDAFWTRKRHVVTLPYDPEFSEKAIPTKARPIAMGPRHLELCKKEIAELEAKGLIRKKNAAELERGALRWIRYPLPNKRDLLNRLYEATIFSKFDMKSGYWQIQIAEEDKYKTAFTVPFGHYEWNKIMNEIFNVYSAFSIVYIDDVLIFSRTIDQHFKHLKMFEKIVKINGLVVSAKKIKIFQTEVRVLGHNISKGTIIPINRAIEFASKFPDEIREKTQLQRFLGSLNYVSDFYKNLAQDAKPLFQRLRKNPPEWTEECTLAVKRIKERVKTLPCLAIPHPESFKIVETDASEKGYETLVRFTSGIWVGPRVNYSTFESDLLNQKFLIRIDYVKNIASKQIFARWQAILSVFDFEIEFIKGEFNSLPDFLTREFLTGHEFKRSNEKII >Manes.18G001156.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:689887:693343:1 gene:Manes.18G001156.v8.1 transcript:Manes.18G001156.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSFGNHPQLKPPMLLTGTANEGGAGPPIGLIDIGVSEQAYLFRVALPGIRKNECGVKCEIQHDGIVHIRGVVTPDGGILKDSSNVFKLRVQQLSPPGPFTISFKLPGPVDPRLFCPNFRGDGILEGMVMKERVRVIHVDG >Manes.18G001156.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:690317:693351:1 gene:Manes.18G001156.v8.1 transcript:Manes.18G001156.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSFGNHPQLKPPMLLTGTANEGGAGPPIGLIDIGVSEQAYLFRVALPGIRKNECGVKCEIQHDGIVHIRGVVTPDGGILKDSSNVFKLRVQQLSPPGPFTISFKLPGPVDPRLFCPNFRGDGILEGMVMKERVRVIHVDG >Manes.18G001156.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:689888:693367:1 gene:Manes.18G001156.v8.1 transcript:Manes.18G001156.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSFGNHPQLKPPMLLTGTANEGGAGPPIGLIDIGVSEQAYLFRVALPGIRKNECGVKCEIQHDGIVHIRGVVTPDGGILKDSSNVFKLRVQQLSPPGPFTISFKLPGPVDPRLFCPNFRGDGILEGMVMKERVRVIHVDG >Manes.18G001156.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:690317:693333:1 gene:Manes.18G001156.v8.1 transcript:Manes.18G001156.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSFGNHPQLKPPMLLTGTANEGGAGPPIGLIDIGVSEQAYLFRVALPGIRKNECGVKCEIQHDGIVHIRGVVTPDGGILKDSSNVFKLRVQQLSPPGPFTISFKLPGPVDPRLFCPNFRGDGILEGMVMKERVRVIHVDG >Manes.05G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6609140:6615175:-1 gene:Manes.05G080400.v8.1 transcript:Manes.05G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADYVYPTSNVEGAHRVAVPPPQPFVKSLKYNLKETFFPDDPLRQFKNQPPSRKFILGLQYFLPILEWAPRYTLEFLKADLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLTASMLGNEVNANENPKLYLHLAFTSTFFAGVFQASLGLLRLGFIVDFLSHATIVGFMAGAATVVCLQQLKGILGLDHFTHATDLVSVMRSVFTQTHQWRWESAVLGCCFLFFLLTTRYFSKRRPKFFWIAAMAPLTSVVLGSLLVYLTHAERHGVQVIGKLKKGLNPPSFGDLIFVSPHLMTAIKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAIGTMNIVGSCTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMALAVMVTLLFLTPLFHYTPLVVLSSIIISAMLGLIDYEAAIHLWNVDKFDFIVCISAYVGVVFASVEMGLVIAVAISLLRVLLFVARPRTFILGNIPNSNIYRNVEQYPNASTVPGVLVLEIDAPIYFANSAYLRERISRWIDEEEDRLKSSAEASLQYVILDMGAVGNIDTSGISMFEEIKKITDRRELKLVLANPGSEVMKKLNKSKFIENIGQEWLFLTVGEAVAACNFMLHTCKPNPLKDDQSEAYNNV >Manes.10G021100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2084325:2086145:1 gene:Manes.10G021100.v8.1 transcript:Manes.10G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSIHISALDGIVNVNSLFTFAVFVGLAWNPTDPSSSLVNDPTSPCVAGPAIVENIVSFHVFSFSSFLFSSLIALALKQALRISKTSGFPSNSHHLPELYVRVNKGSFRVGMLASGVGSVVGCVFLMLALINVVQFKLGTLACGSGFSLAAVVPLVIFVSSALLIYSCIVLYAFFC >Manes.01G229000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39402963:39405911:1 gene:Manes.01G229000.v8.1 transcript:Manes.01G229000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWCCVAIYALLIMEWIEKRKMNLSHVDYAIQLDLIAKTKGVAAAEDFFNGLPPSAKVHSTYGSLLNCYCKELMSDKALALFQKMDEMNFLTASLPFNNLMSLHMGLGQPERVPALVYEMKQRNIKPCSFTYNIWMQSYGFLNDLEAVERVLSEIEKDDKDNCEWTTYTNLATIYLKAGHFEKAESALKRVEAKMGFQNREAYHFLISIYGGTSNLSEVNRVWKSMKSSFRVIPNLSYLVMLQALAKLKDVEGIAKCFKEWESSCQNYDMRLANVAIRAYLEQDMYEEAESIFDDALKRTKGPFFKAREMFMVFFLKIHQLNSALDHMKAAFSVPEYEWQPREETVSAFFNHFCEEKNIDGAEKFCKILKHINSLDSSAYSLLLKTYIAADRLAPEMRKRLEEDNIEMSDELESLLERVSPK >Manes.01G229000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39402963:39405878:1 gene:Manes.01G229000.v8.1 transcript:Manes.01G229000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPINMAKNLSRLILNGSWISRQICTAAEAVVEAAPPVMGSHGKGERLYRRLSALGATGGSVSKTLNDYLMEGNPVTKIELIRCAKELRKYRRFDHALEIMEWIEKRKMNLSHVDYAIQLDLIAKTKGVAAAEDFFNGLPPSAKVHSTYGSLLNCYCKELMSDKALALFQKMDEMNFLTASLPFNNLMSLHMGLGQPERVPALVYEMKQRNIKPCSFTYNIWMQSYGFLNDLEAVERVLSEIEKDDKDNCEWTTYTNLATIYLKAGHFEKAESALKRVEAKMGFQNREAYHFLISIYGGTSNLSEVNRVWKSMKSSFRVIPNLSYLVMLQALAKLKDVEGIAKCFKEWESSCQNYDMRLANVAIRAYLEQDMYEEAESIFDDALKRTKGPFFKAREMFMVFFLKIHQLNSALDHMKAAFSVPEYEWQPREETVSAFFNHFCEEKNIDGAEKFCKILKHINSLDSSAYSLLLKTYIAADRLAPEMRKRLEEDNIEMSDELESLLERVSPK >Manes.09G089000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:27009412:27010925:-1 gene:Manes.09G089000.v8.1 transcript:Manes.09G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDLDKFTFLTAMSSNSELHLVKENQEDIEFKILLRPSLDFTVVSESSDANGIIALQWHSQEEEEEECKTTGDSSSRQLSLGELKATDDDDNDGFKTPTTMDHKIPVIKQCPAAPRKPRPVLCTKRKAISPSNGRRSLQLDLSREVESLFPRPILADFYQKMKKARREDTH >Manes.01G228750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39374419:39375434:-1 gene:Manes.01G228750.v8.1 transcript:Manes.01G228750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSILFLISIFFCILSFSMEATRAESDTIASAPLPAPGPSPHLFVQMESLQSWQLHARRLLLSSPNRLVS >Manes.12G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:863712:868770:-1 gene:Manes.12G007600.v8.1 transcript:Manes.12G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWSPSLAMDDEFEKLVIRMNPPRVTVDNASSRKATLIKVDSANKRGSLLEVVQVLTDLDLIIRRAYISSDGEWFMDVFHVTDQHGNKLSEDDVAERIQQSLGPRARSFRSLRRSVGVQAAAENTTIELTGRDRPGLLSEVFAVLTDLKCNVVAAEVWTHNSRMASVVYITNEATGLPIDDPDRLAKIKHLLLYVLKGDRDKRSANTAVSVGSTHKERRLHQMMYADRDYDMDNADCGSPSERSKPLVTVENCADKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHATIIAEGPEAYQEYYIRHVDGSPISSEAERQRVINCLEAAIRRRTPEGMRLELCSDDRVGLLSEVTRIFRENGLSVTRAEVTTRGSQAVNVFYVIDASGHPVKSETIEVVRKEIGLTILRVKDDAQSTPPQENGRFSLGNLFRSRSEKFLYNLGLIKSYS >Manes.11G001101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:206978:207286:1 gene:Manes.11G001101.v8.1 transcript:Manes.11G001101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNARNDVVWKNNYVSPIVCWYKANVFCNAWWSVNIAPLPAVAPSRVEIWRPPPSGWLKLNVDIATGIDNMYRGIGIGSSVGYGLFFCLQDYESCWISISS >Manes.07G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26139680:26144927:-1 gene:Manes.07G082800.v8.1 transcript:Manes.07G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIFLLWLFSIPLSSHSLVAPRGFPLSCGASKEILKGSLKYIPDEGYISVGNKSTLKKPGVLPLLSSLRYFPDSSARKYCYEFPTTKGGKYLIRTTYYYGGFDGGKEPPVFDQIVQGTKWSTVNTTEDYAKGLSTYYEIILISMSKILSVCLARNKKTTSSPFISALELEYLDDSIYNSTDFSNYALVTLARNSFGDEGTIIGFPDDQFNRFWQPYKDHYPVVESQSTVTSSDFWNFPPETVFTKGITESKGKTLTLQWPPMSLPRTQYYIALYFQDNRTPSSHSWRVFSISVNGNLFYQDINVTTKGVSVYASGWPLSGQTEIAFTPSDLASVGPIVNAGEIFQILPLGGRTLTKDVMAMEDLARAFDNPPADWSGDPCLPRENSWTGVTCSKDKTARVISLNLTDMGISGSLSSSIANLTGLVHLWLGENKLSGSIPDLSMLKDLQTLHLDDNQLQGPIPTSLGKLKELQEIFLQNNNLTGKIPSSLSKNDDINIQVSPGNHLSS >Manes.09G025861.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5299688:5302997:1 gene:Manes.09G025861.v8.1 transcript:Manes.09G025861.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESVLGKLGSLALEEFFLAWGLESDLEKIKESLKVIKAVLLDAEQQLSLNPRIEIWLENLKQVLYDAEDVVDEFKCEALRRKVVKSGNTTRKVCRFFSRSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASNVIGRDQARDNIVEMLQHVDGENVSIVPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILKSASPDKQYLDMGIDELQRSLREALNGRKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDERQWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEYYGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLESMRTICFLNERRERIRSEMFIKTCCSKFQYLRVLDLTRSSFEELPASIGNFKHLKYLSLWMNFNIKRLPNSICKLQSLQTLILYRCWNLRELPKDIRYMINLRFLWITTPQMYFPTGGIGCLNSLRFLFITECSHLEYLFEDMQGLKNLRKLAVLDCESLISLPQSIKCITTLDTLCIDGCENLELRMEEGEETQFSLQRLELRRLPKILDFPEWLIRGSTNSLKVLEVEQCNSLRELPNCLQNMASHPEIRIIDCPKLNNNPLQKAEEAGSSTSLS >Manes.11G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8895773:8900236:1 gene:Manes.11G063800.v8.1 transcript:Manes.11G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLALFLPIWALCSTFLFFLLDARPESTFSSISGPSLLAKREPISLFSVKMEAQSPGLSTVPMVKIVHHQDLNKRILIALIVASSLLGGILMFLSCFWILRMKNSKNSSSKCNEKFDAGNGHSLSPILDKFNSLKMAGKKGSIALMEYQLLEAATNNFQENNLLGEGGHGRVYKAHFNDKFHAAVKKLEGIGQDVQREFENEMKWLTKIQHQNIISLLGYCIHGEAKLLVYEMMQNGSLESQLHGPTHGSALTWHLRMKIAVNVARGLEYLHEHCNPPVVHRDIKSSNILLDSHFNAKLSDFGLAVTSGIENKNIKLSGTLGYVAPEYLLEGKLTDKSDVYAFGVVLLELLMGRRPVEMISEDQCQSIVTWAMPQLTDRSKLPNIVDPVLKDTMDLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPVEHGGSLRLTEPLPSSLHSQK >Manes.06G112200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24357294:24359744:1 gene:Manes.06G112200.v8.1 transcript:Manes.06G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEPHKTSLYLLIFLSLLLLTYARLNLDHSDLKAYFIISKDLGISGQHSSFIHPCSSPGVFCERRLSNNNTYVLKITRLIFKSQRLTGFLSPAIGRLSELKELSLPKNQLVDQFPSQIVDCEKLEIIDLGNNQFSGEIPSELSNILHLRVLDLSNNKFSGNLSFLKQFPNLERLSLANNLFSGVVPKSIRSFRNLQFFDFTGNNFLEGPVNKAGSIESQYPKRYILAENNTIGGSKNVSSAAGPTALVGSAQAPSPSSTRGAHHKSKKTKKKLSRWIIGFLAGAVAGGISGYVFLVMFKFALAAIRGGGRDSGPAIFSPHVIKKVEHLAFLEKDDGLSNLEVIGRGGCGEVYKAELPESNGKMIAIKKIVQPSMDAAELTEEDSKFMNKKMRQIRSEINTVGQIRHRNLLPLLAHVSRPDCHYLVYEFMKNGSLQDALNHVSMGTRELDWLVRHRIALGVASGLEYLHMSHSPRIIHRDLKPANILLDDDMEARIADFGLAKAVPDANTHVTTSNVAGTVGYIAPEYHQTLKFTDRCDIYSFGVLLGVLVIGKLPSDEFFQTTREMSLVKWMRNIMTSENPEQAIDRSLIGKGYEEQMLLVLKIACFCTLDDPKQRPNSKDVRCMLSQIKH >Manes.02G074901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5704910:5705437:-1 gene:Manes.02G074901.v8.1 transcript:Manes.02G074901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPDPGELAELTCPSFDDFQRQTSLMTSCTLLYKELFDRITSLEQNLQKKSEALKHNLQILGHDIKAKLASLKKREVTIDGSVEIALERMDEHREAALKSLENSDHPDGEVDDGDGLLQLLRSFCLKMHSREFAIAKKKELDVLRSQIPLALAECVGPARFALEAISEVFSRG >Manes.18G006720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1008728:1012727:-1 gene:Manes.18G006720.v8.1 transcript:Manes.18G006720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHGESYTYKGLTLHQPKRWHTVTGKGLCAVMWFWILYRAKQDGPVVLGWRHPWEGHDDHGHGH >Manes.01G232400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39611429:39616126:-1 gene:Manes.01G232400.v8.1 transcript:Manes.01G232400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCLSLLVFCTFFLCFFLHTTQARWHRHTKHKHTHSHNRPSSPPPESSNMPPTSSPEPANPPDDGHNYGVFDVRKFGAVGDGITDDTDAFKMAWETACQVDSAVIHIPYGFKFMIQSTIFTGPCQAGLRFQVDGTLMPPDGPDSWPKKNSKRQWLVFYRITMMSLVGGGVIDGRGQKWWDLPCKPHKGVNGTTLPGPCDSPIAIRFFMSSNLTVQGLRIKNSPQFNFRFDNCKNVHIKSIHITAPALSPNTDGIHIENTNNVEIYDSVFSNGDDCISIGSGCYDVDIRNVTCGPSHGISIGSLGNHNSPACVSNITVRDSVIKVSDNGVRIKTWQGGSGAVSGIIFSNIHMDNVRNPIIIDQFYCLTKECSNQTSAVFVSDILYENIKGTYNIRSPPMHFACSDTVPCTNLTLSDVELLPAQGDLVLDPFCWNAYGKLQTLTIPPVSCLMDGAPRSMLDNDMDHC >Manes.18G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1942481:1946402:1 gene:Manes.18G019400.v8.1 transcript:Manes.18G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLFAFLLTLFFSYLSLYSSFASSHISGLFETWRLQHGRTYASQEEKLYRLKVFEDNYDFVMRHNSQGNSSYTLSLNGFADLTHNEFKASRLGLSAASASVNIDHHRPNLQTPDFVGDVPESIDWREKGVVTHVKDQGSCGACWAFSATGAIEGINKIFSGSLVSLSEQELVDCDKSYNNGCEGGLMDYAFQFVIKNNGIDTEEDYPFQGHERSCNKEKLKRRVVTIDGYTDVPENDEKALLKAVATQPVSVGICGSERAFQLYSKGIFTGPCSTSLDHAVLIVGYGSENGVEYWIVKNSWGSNWGMNGYMHIRRNSGSSKGVCGINMLASYPKKTTPNPPPPSPPGPTKCDLFTHCGEGETCCCTHRFIGICFSWKCCELDSAVCCKDGRHCCPHDYPVCDTSRSMCLKYHQNNDTRMEIVAKKSSFAKSGSWSSLLEGWIL >Manes.03G170700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29603511:29606817:-1 gene:Manes.03G170700.v8.1 transcript:Manes.03G170700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDQSKAGGSAGGATAPAAKRGRPFGSTSSNIASSSSGGDTVAPSNLLGPSLQVHTSFVDQNNKRIVLALQSGLKSELIWALNTLTMLSFKEKEDMRKDSLAKIPGLLDALLQVIDDWRDIALPVELSKTPRVRTLGANSLVTGFGYGYEALGSTNNLSRSVLGSGSSATEASWQKNAAKARPSEWWFNEDGLFNLDEEGRAEKQQCAVAASNIIRNFSFMPENEVIMAQHRHCLETVFQCIEDHITEDEELVTNALETIVNLAPLLDLRIFSSAKPSYIKITEKRAVQAIMGMLGSTVKAWHCAAAELLGRMIINPDNEPFLLPFVPQIHKRLVDLMSIQALDAQAAAVGALYNLAEVNMDCRLKLASERWAVDRLLKVIKTPHPVPEVCRKAAMILESLVSEPQNRALLLAYENAFADILFSESRYSDTFARILYELTSRPNNKFTAARGVWGM >Manes.13G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1001525:1005445:-1 gene:Manes.13G004500.v8.1 transcript:Manes.13G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLFTYLNYNVNRERTDILQVLFNGLRRLEYRGYDSTGIAIDNSTSDPFTSSSTCSSQPLLNSLPHSPPPLVFRQEGNIESLVESVYQDTMPVTNRLSQLVDGIVSILKFDNDKGRHDAALSRASSVQHALSILDMGKEIHEQPESLTTTMRGRLICGGSCKAKGVLFGGLKDHLKTIRRSRGIVFVDCGTSYNSALAARPIVEELSGVPVTLEIASDLLDRQGHIYRDYTAVFGSAIARHTHCGVHINAYTSQIVVMPMLALAFAGDAISSQPRRQAIIDGLFDLPNKIREVLKLDHEMKDLAKLLMPEQSLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIQSVIQQLHARKGRLIVMCSKGDTASVCPGESCLVIEVPQVEDCLEPVANIVPLQLLAYDLTVLRGYYNVDQPRNHAKSVTTH >Manes.04G081200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28231452:28232600:1 gene:Manes.04G081200.v8.1 transcript:Manes.04G081200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHVKQILRRSNMLQNQSASNFTDVPKGYLAVYVGEGQKKRYIVPVSLLNKPSFQELLRKSEEEFGFNHPMGGITIPCREDIFINLTSC >Manes.02G154900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12041980:12046333:-1 gene:Manes.02G154900.v8.1 transcript:Manes.02G154900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVFHQAIGTLLSHQHLHNSGFHQEFGNVSVKSISKGFKVDAVLSISRKGGYSAGKRNFSVVQASASQTSVVDPVSTSSNDSTNGIQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQSIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIEHQLCSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMRH >Manes.02G154900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12041970:12046385:-1 gene:Manes.02G154900.v8.1 transcript:Manes.02G154900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVFHQAIGTLLSHQHLHNSGFHQEFGNVSVKSISKGFKVDAVLSISRKGGYSAGKRNFSVVQASASQTSVVDPVSTSSNDSTNGIQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQSIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIEHQLCSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMRH >Manes.02G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12041932:12046460:-1 gene:Manes.02G154900.v8.1 transcript:Manes.02G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVFHQAIGTLLSHQHLHNSGFHQEFGNVSVKSISKGFKVDAVLSISRKGGYSAGKRNFSVVQASASQTSVVDPVSTSSNDSTNGIQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSSLIRAQMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQSIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIEHQLCSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMRH >Manes.11G125500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28667801:28675189:-1 gene:Manes.11G125500.v8.1 transcript:Manes.11G125500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLLQHMNTDIKVAGEHRSSLLQVVSIVPALAGGELFSNQGFYLKVSDSSHATYVALADEHDDLILSDKIQLGQFIHVERLESASPVPILRGVRPVPGRHPCVGSPEDIVATHSLGFLNNNHDSSSGLKHLDKVKSPQKGYFASSNVGEKEKPVGVRMNGNANKEDLSDRKNSSLSRTKSQLSKPTLNLDLKKVAKSKSSSSKSIPSSPTSCYSLPTSFEKFANGVKQQTKIKGSDKGSTKSVEKLSSVRAASPTAKRVPVIKNIVQGIELGAKALRKSWEGTMEVKHRENSKLRATKHDPKPEARSISEVMKLRDSAQTAAIEAMQEASATESLLRCLGIYSDLTSSAKEDDPQPAVEQFLTLHATLNNARLVADSLSKIPPVGSSPDSDDNPSEEVLKVTSERRKHAATWVQAALATNLSSFSVFTKETTSAPTQGQKTSSSNLPVLVLENSSKNSSAKTQGKTRPSVGSKLVATGAFRKSGDSSAVTQKMPPPPPPEWIRGSGLDEAVDLAEMLQMESQDWFLGFVERFLDADIDSSTLSDNGQIAGMLSQLKSVNDWLDEIGSSKDEGETPHVSSEIVDRLRKKIYEYLLTHVESAAAALGGGSQSSPRLRSVDTKAKR >Manes.11G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28667801:28675189:-1 gene:Manes.11G125500.v8.1 transcript:Manes.11G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLLQHMNTDIKVAGEHRSSLLQVVSIVPALAGGELFSNQGFYLKVSDSSHATYVALADEHDDLILSDKIQLGQFIHVERLESASPVPILRGVRPVPGRHPCVGSPEDIVATHSLGFLNNNHDSSSGLKHLDKVKSPQKGYFASSNVGEKEKPVGVRMNGNANKEDLSDRKNSSLSRTKSQLSKPTLNLDLKKVAKSKSSSSKSIPSSPTSCYSLPTSFEKFANGVKQQTKIKGSDKGSTKSVEKLSSVRAASPTAKRVPVIKNIVQGIELGAKALRKSWEGTMEVKHRENSKLRATKHDPKPEARSISAPRKSTSSDRLVSKEDNKKQLSAKSSKQENRNPISTKKVAANGNLDGQEKSNKQRASVGKKSSGDNNGFPGNLVKVSINSRKLTEGSVSWNSLPSSVAKLGKEVMKLRDSAQTAAIEAMQEASATESLLRCLGIYSDLTSSAKEDDPQPAVEQFLTLHATLNNARLVADSLSKIPPVGSSPDSDDNPSEEVLKVTSERRKHAATWVQAALATNLSSFSVFTKETTSAPTQGQKTSSSNLPVLVLENSSKNSSAKTQGKTRPSVGSKLVATGAFRKSGDSSAVTQKMPPPPPPEWIRGSGLDEAVDLAEMLQMESQDWFLGFVERFLDADIDSSTLSDNGQIAGMLSQLKSVNDWLDEIGSSKDEGETPHVSSEIVDRLRKKIYEYLLTHVESAAAALGGGSQSSPRLRSVDTKAKR >Manes.12G058700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5708168:5718611:1 gene:Manes.12G058700.v8.1 transcript:Manes.12G058700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRKSPFQLEALEKFYAEQKYPTLTAMEELAAVLDLTLKQVQGWFVEKRRRDKSKDMSIEPPCLSKKLSVVKGRNGLGVAPANRKIHKQQNSLIVHASSDDADRIHKNKRKKKSILIQDFLTPDYILRKIFRKDGPPLGVAFDSLPSRALHNGEDSRNSSATCQENERAKRRKVSKQGKLSCQDNNDDAPVKKHGIGKGLMTVWRATNPNGGDFPTGIPVADREIVPQISTPVARKPLRQQKRKRQLVSIMKQRRLENKSQHRRKFSIKRREVESKRDESQNHPCKEKCELALEGVISQERADQLTMLLDDEELELRELQAGPNPLTCSEHCANNRLHGCTLCKDLLPKFPPNSVKMKQPFAKQPWDSSPETVKKLFKVFHFLYTYSVAIDICPFTLDEFAQAFYDKDSLLLGKLHVALLKLLLSDVEKEINSGFLPQLSISCQFLALIHSVEAQEFVVEFWRKSLNPLTWTEIMRQVLVAAGFGSRQGSLHRESLSKEMSLMVKYGLRPGTLKGELFKLLSERGNNGLKVPDLAKSLQITELTVGKTTEELELLISSTLSSDITLFEKISPSAYRLRISTLSKETNDFQSDNEDSGSVHDDFNDCGTCSSSDSECDSDNTNSRKPKYSNHHGRKKNMLTIYNEIDESHPGEVWLLGLMEGEYSDLSIEEKLNALVALIDLLSAGSSIRMEDVKRPAVESVPKMHHYGSGAKIKRSSLKQDNLPRPSWVHVGQLDNSRESYSLSTSRPIDSSALIVRFNEGEKAPGKGMKETGGVDLHPLQSIFLGSDRRHNRYWLFLGPCNSHDPGHKRVYFESSEDGHWEVIDTQEALRGLLSILDDRGTREARLIESLEKREAFLCQEMARNVVNHAENRHLTRSDQLELEIIREDSTSPVSDVDNNLSLTEVMKDSLPPCGAIILGGKKEEEDNRKWSCLQEFDAWLWNNFYCDLNAVKRIKRSYFESLTRCETCHDLYWRDEKHCRICHTTFELDFDLEERYAIHSATCREKGVKEMLPKHKVLPSQLQSLKAAVHAIELAMPEEALLGAWTKSAHRLWVKRLRRTSSLAELLQVVADFVAAINEDWLCQCDVVHDSNTPMEEIIACFPAMPQTSSALALWLVKLDDLISPYLESIQCGNNQESGTKCTGKQASTLGAHSHDI >Manes.12G058700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5708181:5718423:1 gene:Manes.12G058700.v8.1 transcript:Manes.12G058700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRKSPFQLEALEKFYAEQKYPTLTAMEELAAVLDLTLKQVQGWFVEKRRRDKSKDMSIEPPCLSKKLSVVKGRNGLGVAPANRKIHKQQNSLIVHASSDDADRIHKNKRKKKSILIQDFLTPDYILRKIFRKDGPPLGVAFDSLPSRALHNGEDSRNSSATCQENERAKRRKVSKQGKLSCQDNNDDAPVKKHGIGKGLMTVWRATNPNGGDFPTGIPVADREIVPQISTPVARKPLRQQKRKRQLVSIMKQRRLENKSQHRRKFSIKRREVESKRDESQNHPCKEKCELALEGVISQERADQLTMLLDDEELELRELQAGPNPLTCSEHCANNRLHGCTLCKDLLPKFPPNSVKMKQPFAKQPWDSSPETVKKLFKVFHFLYTYSVAIDICPFTLDEFAQAFYDKDSLLLGKLHVALLKLLLSDVEKEINSGFLPQLSISCQFLALIHSVEAQEFVVEFWRKSLNPLTWTEIMRQVLVAAGFGSRQGSLHRESLSKEMSLMVKYGLRPGTLKGELFKLLSERGNNGLKVPDLAKSLQITELTVGKTTEELELLISSTLSSDITLFEKISPSAYRLRISTLSKETNDFQSDNEDSGSVHDDFNDCGTCSSSDSECDSDNTNSRKPKYSNHHGRKKNMLTIYNEIDESHPGEVWLLGLMEGEYSDLSIEEKLNALVALIDLLSAGSSIRMEDVKRPAVESVPKMHHYGSGAKIKRSSLKQDNLPRPSWVHVGQLDNSRESYSLSTSRPIDSSALIVRFNEGEKAPGKGMKETGGVDLHPLQSIFLGSDRRHNRYWLFLGPCNSHDPGHKRVYFESSEDGHWEVIDTQEALRGLLSILDDRGTREARLIESLEKREAFLCQEMARNVVNHAENRHLTRSDQLELEIIREDSTSPVSDVDNNLSLTEVMKDSLPPCGAIILGGKKEEEDNRKWSCLQEFDAWLWNNFYCDLNAVKRIKRSYFESLTRCETCHDLYWRDEKHCRICHTTFELDFDLEERYAIHSATCREKGVKEMLPKHKVLPSQLQSLKAAVHAIELAMPEEALLGAWTKSAHRLWVKRLRRTSSLAELLQVVADFVAAINEDWLCQCDVVHDSNTPMEEIIACFPAMPQTSSALALWLVKLDDLISPYLESIQCGNNQESGTKCTGKQASTLGAHSHDI >Manes.12G058700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5708161:5718476:1 gene:Manes.12G058700.v8.1 transcript:Manes.12G058700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRKSPFQLEALEKFYAEQKYPTLTAMEELAAVLDLTLKQVQGWFVEKRRRDKSKDMSIEPPCLSKKLSVVKGRNGLGVAPANRKIHKQQNSLIVHASSDDADRIHKNKRKKKSILIQDFLTPDYILRKIFRKDGPPLGVAFDSLPSRALHNGEDSRNSSATCQENERAKRRKVSKQGKLSCQDNNDDAPVKKHGIGKGLMTVWRATNPNGGDFPTGIPVADREIVPQISTPVARKPLRQQKRKRQLVSIMKQRRLENKSQHRRKFSIKRREVESKRDESQNHPCKEKCELALEGVISQERADQLTMLLDDEELELRELQAGPNPLTCSEHCANNRLHGCTLCKDLLPKFPPNSVKMKQPFAKQPWDSSPETVKKLFKVFHFLYTYSVAIDICPFTLDEFAQAFYDKDSLLLGKLHVALLKLLLSDVEKEINSGFLPQLSISCQFLALIHSVEAQEFVVEFWRKSLNPLTWTEIMRQVLVAAGFGSRQGSLHRESLSKEMSLMVKYGLRPGTLKGELFKLLSERGNNGLKVPDLAKSLQITELTVGKTTEELELLISSTLSSDITLFEKISPSAYRLRISTLSKETNDFQSDNEDSGSVHDDFNDCGTCSSSDSECDSDNTNSRKPKYSNHHGRKKNMLTIYNEIDESHPGEVWLLGLMEGEYSDLSIEEKLNALVALIDLLSAGSSIRMEDVKRPAVESVPKMHHYGSGAKIKRSSLKQDNLPRPSWVHVGQLDNSRESYSLSTSRPIDSSALIVRFNEGEKAPGKGMKETGGVDLHPLQSIFLGSDRRHNRYWLFLGPCNSHDPGHKRVYFESSEDGHWEVIDTQEALRGLLSILDDRGTREARLIESLEKREAFLCQEMARNVVNHAENRHLTRSDQLELEIIREDSTSPVSDVDNNLSLTEVMKDSLPPCGAIILGGKKEEEDNRKWSCLQEFDAWLWNNFYCDLNAVKRIKRSYFESLTRCETCHDLYWRDEKHCRICHTTFELDFDLEERYAIHSATCREKGVKEMLPKHKVLPSQLQSLKAAVHAIELAMPEEALLGAWTKSAHRLWVKRLRRTSSLAELLQVVADFVAAINEDWLCQCDVVHDSNTPMEEIIACFPAMPQTSSALALWLVKLDDLISPYLESIQCGNNQESGTKCTGKQASTLGAHSHDI >Manes.12G058700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5708133:5718611:1 gene:Manes.12G058700.v8.1 transcript:Manes.12G058700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRKSPFQLEALEKFYAEQKYPTLTAMEELAAVLDLTLKQVQGWFVEKRRRDKSKDMSIEPPCLSKKLSVVKGRNGLGVAPANRKIHKQQNSLIVHASSDDADRIHKNKRKKKSILIQDFLTPDYILRKIFRKDGPPLGVAFDSLPSRALHNGEDSRNSSATCQENERAKRRKVSKQGKLSCQDNNDDAPVKKHGIGKGLMTVWRATNPNGGDFPTGIPVADREIVPQISTPVARKPLRQQKRKRQLVSIMKQRRLENKSQHRRKFSIKRREVESKRDESQNHPCKEKCELALEGVISQERADQLTMLLDDEELELRELQAGPNPLTCSEHCANNRLHGCTLCKDLLPKFPPNSVKMKQPFAKQPWDSSPETVKKLFKVFHFLYTYSVAIDICPFTLDEFAQAFYDKDSLLLGKLHVALLKLLLSDVEKEINSGFLPQLSISCQFLALIHSVEAQEFVVEFWRKSLNPLTWTEIMRQVLVAAGFGSRQGSLHRESLSKEMSLMVKYGLRPGTLKGELFKLLSERGNNGLKVPDLAKSLQITELTVGKTTEELELLISSTLSSDITLFEKISPSAYRLRISTLSKETNDFQSDNEDSGSVHDDFNDCGTCSSSDSECDSDNTNSRKPKYSNHHGRKKNMLTIYNEIDESHPGEVWLLGLMEGEYSDLSIEEKLNALVALIDLLSAGSSIRMEDVKRPAVESVPKMHHYGSGAKIKRSSLKQDNLPRPSWVHVGQLDNSRESYSLSTSRPIDSSALIVRFNEGEKAPGKGMKETGGVDLHPLQSIFLGSDRRHNRYWLFLGPCNSHDPGHKRVYFESSEDGHWEVIDTQEALRGLLSILDDRGTREARLIESLEKREAFLCQEMARNVVNHAENRHLTRSDQLELEIIREDSTSPVSDVDNNLSLTEVMKDSLPPCGAIILGGKKEEEDNRKWSCLQEFDAWLWNNFYCDLNAVKRIKRSYFESLTRCETCHDLYWRDEKHCRICHTTFELDFDLEERYAIHSATCREKGVKEMLPKHKVLPSQLQSLKAAVHAIELAMPEEALLGAWTKSAHRLWVKRLRRTSSLAELLQVVADFVAAINEDWLCQCDVVHDSNTPMEEIIACFPAMPQTSSALALWLVKLDDLISPYLESIQCGNNQESGTKCTGKQASTLGAHSHDI >Manes.05G054800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4541866:4547312:-1 gene:Manes.05G054800.v8.1 transcript:Manes.05G054800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTESKALIGKFPAVFQVSNTRMVLGREGDQVPKLQMGLAREVSGRFTGQGSQVADSHEPVRLWKGKDSLLVQEELMLDVVASKGSSESFDEGGPSSFSGASHPPEPVDTDLMKTMMYVPIGQKKSEAGCLMKSMSVKGPFLEDLSIRVPPKKPSPAVLSPTESLVEEPNDLVTLYSPFSVPRASQNTENSLLPPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSVVNSCASTYRSDVITSDGMLSMERNCESTKGSVSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRTRDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSFDSDPSKRAGGGAFCVQPACIEPSSVCIQPACFIPRIFPQKGKKKTRKPRAELGLPASALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPMETELPGKFGAVDPIGVGSSSKRMVGTDMKSGGKYLDFEFF >Manes.05G054800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4541866:4547308:-1 gene:Manes.05G054800.v8.1 transcript:Manes.05G054800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTESKALIGKFPAVFQVSNTRMVLGREGDQVPKLQMGLAREVSGRFTGQGSQVADSHEPVRLWKGKDSLLVQEELMLDVVASKGSSESFDEGGPSSFSGASHPPEPVDTDLMKTMMYVPIGQKKSEAGCLMKSMSVKGPFLEDLSIRVPPKKPSPAVLSPTESLVEEPNDLVTLYSPFSVPRASQNTENSLLPPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSVVNSCASTYRSDVITSDGMLSMERNCESTKGSVSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRTRDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTSFDSDPSKRAGGGAFCVQPACIEPSSVCIQPACFIPRIFPQKGKKKTRKPRAELGLPASALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPMETELPGKFGAVDPIGVGSSSKRMVGTDMKSGGKYLDFEFF >Manes.09G037000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7039442:7054699:-1 gene:Manes.09G037000.v8.1 transcript:Manes.09G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSQLGLTSVLLSTKFISLVIHVYSSMDYARKLFDTMSQRDVFLWNAMIKGYADVGPCQEAVTLFKDMHQTGFLPDNHTFPSVVRSCSVISAFREGTEVHCFC >Manes.04G010200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1307279:1318440:-1 gene:Manes.04G010200.v8.1 transcript:Manes.04G010200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVTDELLSTVRSIVGCDFSDMDIIRALHLANYDPTAAINIIFDTPNFNSKFNPQIPKTPNSSTKCKPKPTPDKPTVVDKENEDNLGVSCSGITRGEVDVNGENLCPSGYVGSEWWYVGGGVVAGLSTCKGRKVKAGDEVIFTFPTKSSNSSSPSPRKVFGKGRLAAASCSEIVRFSTRDGGEVGRIPNEWARCLLPLVRDKKVRVEGCCRSAPDVLGIMDTILLSISVYIDSTMFLKHKKTSLKAASHSTEETIVHPLPNLFRLLGLTPFKKAEFTPADLYTKKRPLNSKDGSGIPVSLLHVNNSKNQSQTGNQVENEESLSDADLDNIVGVGNNSELEEMDPPSTLQCELRPYQKQALYWMIQLEKGNYADEGATTLHPCWEAYHLADKRNLVVYLNTFSGDATIEFPSTLQMARGGILADAMGLGKTIMTISLLLAQSERDGSSSNGSLSQLSNEDGEIGDTSDLPNPLKKATKFSGFDKLMKQKKILVHGGNLIICPMTLLGQWKAEIETHTQPGSLSIYIHYGQSRTKDAKLLAQNDVVITTYGVLASEFSAENAEENGGLYSIQWYRVILDEAHTIKSSRSQISIAAAALVAECRWCLTGTPIQNNLEDIYSLLRFLKVEPWESWAWWNKLVQKPFEEGDERGLKLVQSILKPIMLRRTKSSTDQEGRPILVLPPAEIQVVYCELTEAERDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLKGGQDAQDTEGRNVPSRAYIQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWKNPNSGLCPVCRKTINRQELITAPTDSRFQIDIEKSWVESSKVNVLLQELENLRLSGSKSILFSQWTAFLDLLQIPLSRSNIPFVRLDGTLSQQQRERVIKQFSEDDTILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKQVKIKRFIVKGTVEERMEAVQARKQRMISGALTDEEVRTARIEELKMLFT >Manes.04G010200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1307241:1318511:-1 gene:Manes.04G010200.v8.1 transcript:Manes.04G010200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVTDELLSTVRSIVGCDFSDMDIIRALHLANYDPTAAINIIFDTPNFNSKFNPQIPKTPNSSTKCKPKPTPDKPTVVDKENEDNLGVSCSGITRGEVDVNGENLCPSGYVGSEWWYVGGGVVAGLSTCKGRKVKAGDEVIFTFPTKSSNSSSPSPRKVFGKGRLAAASCSEIVRFSTRDGGEVGRIPNEWARCLLPLVRDKKVRVEGCCRSAPDVLGIMDTILLSISVYIDSTMFLKHKKTSLKAASHSTEETIVHPLPNLFRLLGLTPFKKAEFTPADLYTKKRPLNSKDGSGIPVSLLHVNNSKNQSQTGNQVENEESLSDADLDNIVGVGNNSELEEMDPPSTLQCELRPYQKQALYWMIQLEKGNYADEGATTLHPCWEAYHLADKRNLVVYLNTFSGDATIEFPSTLQMARGGILADAMGLGKTIMTISLLLAQSERDGSSSNGSLSQLSNEDGEIGDTSDLPNPLKKATKFSGFDKLMKQKKILVHGGNLIICPMTLLGQWKAEIETHTQPGSLSIYIHYGQSRTKDAKLLAQNDVVITTYGVLASEFSAENAEENGGLYSIQWYRVILDEAHTIKSSRSQISIAAAALVAECRWCLTGTPIQNNLEDIYSLLRFLKVEPWESWAWWNKLVQKPFEEGDERGLKLVQSILKPIMLRRTKSSTDQEGRPILVLPPAEIQVVYCELTEAERDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLKGGQDAQDTEGRNVPSRAYIQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWKNPNSGLCPVCRKTINRQELITAPTDSRFQIDIEKSWVESSKVNVLLQELENLRLSGSKSILFSQWTAFLDLLQIPLSRSNIPFVRLDGTLSQQQRERVIKQFSEDDTILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKQVKIKRFIVKGTVEERMEAVQARKQRMISGALTDEEVRTARIEELKMLFT >Manes.04G010200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1307139:1318610:-1 gene:Manes.04G010200.v8.1 transcript:Manes.04G010200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVTDELLSTVRSIVGCDFSDMDIIRALHLANYDPTAAINIIFDTPNFNSKFNPQIPKTPNSSTKCKPKPTPDKPTVVDKENEDNLGVSCSGITRGEVDVNGENLCPSGYVGSEWWYVGGGVVAGLSTCKGRKVKAGDEVIFTFPTKSSNSSSPSPRKVFGKGRLAAASCSEIVRFSTRDGGEVGRIPNEWARCLLPLVRDKKVRVEGCCRSAPDVLGIMDTILLSISVYIDSTMFLKHKKTSLKAASHSTEETIVHPLPNLFRLLGLTPFKKAEFTPADLYTKKRPLNSKDGSGIPVSLLHVNNSKNQSQTGNQVENEESLSDADLDNIVGVGNNSELEEMDPPSTLQCELRPYQKQALYWMIQLEKGNYADEGATTLHPCWEAYHLADKRNLVVYLNTFSGDATIEFPSTLQMARGGILADAMGLGKTIMTISLLLAQSERDGSSSNGSLSQLSNEDGEIGDTSDLPNPLKKATKFSGFDKLMKQKKILVHGGNLIICPMTLLGQWKAEIETHTQPGSLSIYIHYGQSRTKDAKLLAQNDVVITTYGVLASEFSAENAEENGGLYSIQWYRVILDEAHTIKSSRSQISIAAAALVAECRWCLTGTPIQNNLEDIYSLLRFLKVEPWESWAWWNKLVQKPFEEGDERGLKLVQSILKPIMLRRTKSSTDQEGRPILVLPPAEIQVVYCELTEAERDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLKGGQDAQDTEGRNVPSRAYIQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWKNPNSGLCPVCRKTINRQELITAPTDSRFQIDIEKSWVESSKVNVLLQELENLRLSGSKSILFSQWTAFLDLLQIPLSRSNIPFVRLDGTLSQQQRERVIKQFSEDDTILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKQVKIKRFIVKGTVEERMEAVQARKQRMISGALTDEEVRTARIEELKMLFT >Manes.04G010200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1307241:1318511:-1 gene:Manes.04G010200.v8.1 transcript:Manes.04G010200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVTDELLSTVRSIVGCDFSDMDIIRALHLANYDPTAAINIIFDTPNFNSKFNPQIPKTPNSSTKCKPKPTPDKPTVVDKENEDNLGVSCSGITRGEVDVNGENLCPSGYVGSEWWYVGGGVVAGLSTCKGRKVKAGDEVIFTFPTKSSNSSSPSPRKVFGKGRLAAASCSEIVRFSTRDGGEVGRIPNEWARCLLPLVRDKKVRVEGCCRSAPDVLGIMDTILLSISVYIDSTMFLKHKKTSLKAASHSTEETIVHPLPNLFRLLGLTPFKKAEFTPADLYTKKRPLNSKDGSGIPVSLLHVNNSKNQSQTGNQVENEESLSDADLDNIVGVGNNSELEEMDPPSTLQCELRPYQKQALYWMIQLEKGNYADEGATTLHPCWEAYHLADKRNLVVYLNTFSGDATIEFPSTLQMARGGILADAMGLGKTIMTISLLLAQSERDGSSSNGSLSQLSNEDGEIGDTSDLPNPLKKATKFSGFDKLMKQKKILVHGGNLIICPMTLLGQWKAEIETHTQPGSLSIYIHYGQSRTKDAKLLAQNDVVITTYGVLASEFSAENAEENGGLYSIQWYRVILDEAHTIKSSRSQISIAAAALVAECRWCLTGTPIQNNLEDIYSLLRFLKVEPWESWAWWNKLVQKPFEEGDERGLKLVQSILKPIMLRRTKSSTDQEGRPILVLPPAEIQVVYCELTEAERDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLKGGQDAQDTEGRNVPSRAYIQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWKNPNSGLCPVCRKTINRQELITAPTDSRFQIDIEKSWVESSKVNVLLQELENLRLSGSKSILFSQWTAFLDLLQIPLSRSNIPFVRLDGTLSQQQRERVIKQFSEDDTILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKQVKIKRFIVKVSLKWVHIVGACLFNFLLLL >Manes.S053516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1830911:1831336:-1 gene:Manes.S053516.v8.1 transcript:Manes.S053516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.09G168300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36079300:36081746:-1 gene:Manes.09G168300.v8.1 transcript:Manes.09G168300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCFRCNEDEIEREKAVMRNGRLLLEKKIAINDGRGNPIRSFSIQDLNNATNNYNRNQLVFHGALYKLYRGFLHRPVIVKRYNKGENFLEESINDIVFSSQLSVHKNVLKLLGCCLESPIPILVYEVAEKGTLHDYIIRDRSRKKFQPLSWRNRLKIAIDLANVIAYLHTAFPRPILHRNITSQHILLDEDYRAKLSEFSFSMLIPEGETHIRDAICCGTTGYIAPENFAEGKINEKVDVFSFGVLLLMLLTGKRPLEILDYGTGLYFFEVLEKCVKENERIDNLMDPIIVEEGTWSGKEQQLKAYANLTLQCLQYRGEDRPEITDVSISYNCTRVFCKTAQ >Manes.13G025300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3404890:3409815:1 gene:Manes.13G025300.v8.1 transcript:Manes.13G025300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIDEELTYPILLAERVRSAVEEADSFKIECSSIGNYADMLAEKLRLLVRFTCSAQSLYERPVRRIVAEVFKNLDRTLTLVRKCKRRSVLRRVVTIISATDFRKVQNLLEASVGDMKWLESILGFGNGAAGEDVGIDLTLPPIASNDPILAWVWSSIASIHVRPLPEKIEAANQLAQLAQDNDRNKQIIVEEAGVPPLLKLLKETGSPEAQIASATALLYLANDQERVTAIVNEQGVPIVVKVLADSPMRVQTLTASLVAKMAEHDSVAQDDFARENAIRPLVTLLSFETFSDDQTVQLGKQSIHSIVQINKEIDKISMNGSKNNHHYRPYMTSFSSFHSEGSSRGGNRKDRENERPEIKLKLKISCAEALWMLARGSVSNSKRITETKGLLCLAKLVEKEEGELQYNCLMTIKEITAAAESNADLRRAAFKTNSPAAKAVIDQLLRVIKEFDSPRLQIPAIRSIGSLARTFPARETRVIGPLVAQLGNTRSQEVATEAAIALGKFTCPDNFLHDAHSKAIVEFNGVPPLMRLLRGNERAQFHGLILLCYLVIHSGNNEALEQARVLTALEGADRTVVAQHPELRELVSKAIYHINLYHTSAHSQRFSYVP >Manes.13G025300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3404890:3409815:1 gene:Manes.13G025300.v8.1 transcript:Manes.13G025300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIDEELTYPILLAERVRSAVEEADSFKIECSSIGNYADMLAEKLRLLVRFTCSAQSLYERPVRRIVAEVFKNLDRTLTLVRKCKRRSVLRRVVTIISATDFRKVQNLLEASVGDMKWLESILGFGNGAAGEDVGIDLTLPPIASNDPILAWVWSSIASIHVRPLPEKIEAANQLAQLAQDNDRNKQIIVEEAGVPPLLKLLKETGSPEAQIASATALLYLANDQERVTAIVNEQGVPIVVKVLADSPMRVQTLTASLVAKMAEHDSVAQDDFARENAIRPLVTLLSFETFSDDQTVQLGKQSIHSIVQINKEIDKISMNGSKNNHHYRPYMTSFSSFHSEGSSRGGNRKDRENERPEIKLKLKISCAEALWMLARGSVSNSKRITETKGLLCLAKLVEKEEGELQYNCLMTIKEITAAAESNADLRRAAFKTNSPAAKAVIDQLLRVIKEFDSPRLQIPAIRSIGSLARTFPARETRVIGPLVAQLGNTRSQEVATEAAIALGKFTCPDNFLHDAHSKAIVEFNGVPPLMRLLRGNERAQFHGLILLCYLVIHSGNNEALEQARVLTALEGADRTVVAQHPELRELVSKAIYHINLYHTSAHSQRFSYVP >Manes.13G025300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3404890:3409816:1 gene:Manes.13G025300.v8.1 transcript:Manes.13G025300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIDEELTYPILLAERVRSAVEEADSFKIECSSIGNYADMLAEKLRLLVRFTCSAQSLYERPVRRIVAEVFKNLDRTLTLVRKCKRRSVLRRVVTIISATDFRKVQNLLEASVGDMKWLESILGFGNGAAGEDVGIDLTLPPIASNDPILAWVWSSIASIHVRPLPEKIEAANQLAQLAQDNDRNKQIIVEEAGVPPLLKLLKETGSPEAQIASATALLYLANDQERVTAIVNEQGVPIVVKVLADSPMRVQTLTASLVAKMAEHDSVAQDDFARENAIRPLVTLLSFETFSDDQTVQLGKQSIHSIVQINKEIDKISMNGSKNNHHYRPYMTSFSSFHSEGSSRGGNRKDRENERPEIKLKLKISCAEALWMLARGSVSNSKRITETKGLLCLAKLVEKEEGELQYNCLMTIKEITAAAESNADLRRAAFKTNSPAAKAVIDQLLRVIKEFDSPRLQIPAIRSIGSLARTFPARETRVIGPLVAQLGNTRSQEVATEAAIALGKFTCPDNFLHDAHSKAIVEFNGVPPLMRLLRGNERAQFHGLILLCYLVIHSGNNEALEQARVLTALEGADRTVVAQHPELRELVSKAIYHINLYHTSAHSQRFSYVP >Manes.13G025300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3404890:3409816:1 gene:Manes.13G025300.v8.1 transcript:Manes.13G025300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIDEELTYPILLAERVRSAVEEADSFKIECSSIGNYADMLAEKLRLLVRFTCSAQSLYERPVRRIVAEVFKNLDRTLTLVRKCKRRSVLRRVVTIISATDFRKVQNLLEASVGDMKWLESILGFGNGAAGEDVGIDLTLPPIASNDPILAWVWSSIASIHVRPLPEKIEAANQLAQLAQDNDRNKQIIVEEAGVPPLLKLLKETGSPEAQIASATALLYLANDQERVTAIVNEQGVPIVVKVLADSPMRVQTLTASLVAKMAEHDSVAQDDFARENAIRPLVTLLSFETFSDDQTVQLGKQSIHSIVQINKEIDKISMNGSKNNHHYRPYMTSFSSFHSEGSSRGGNRKDRENERPEIKLKLKISCAEALWMLARGSVSNSKRITETKGLLCLAKLVEKEEGELQYNCLMTIKEITAAAESNADLRRAAFKTNSPAAKAVIDQLLRVIKEFDSPRLQIPAIRSIGSLARTFPARETRVIGPLVAQLGNTRSQEVATEAAIALGKFTCPDNFLHDAHSKAIVEFNGVPPLMRLLRGNERAQFHGLILLCYLVIHSGNNEALEQARVLTALEGADRTVVAQHPELRELVSKAIYHINLYHTSAHSQRFSYVP >Manes.13G025300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3404890:3409815:1 gene:Manes.13G025300.v8.1 transcript:Manes.13G025300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIDEELTYPILLAERVRSAVEEADSFKIECSSIGNYADMLAEKLRLLVRFTCSAQSLYERPVRRIVAEVFKNLDRTLTLVRKCKRRSVLRRVVTIISATDFRKVQNLLEASVGDMKWLESILGFGNGAAGEDVGIDLTLPPIASNDPILAWVWSSIASIHVRPLPEKIEAANQLAQLAQDNDRNKQIIVEEAGVPPLLKLLKETGSPEAQIASATALLYLANDQERVTAIVNEQGVPIVVKVLADSPMRVQTLTASLVAKMAEHDSVAQDDFARENAIRPLVTLLSFETFSDDQTVQLGKQSIHSIVQINKEIDKISMNGSKNNHHYRPYMTSFSSFHSEGSSRGGNRKDRENERPEIKLKLKISCAEALWMLARGSVSNSKRITETKGLLCLAKLVEKEEGELQYNCLMTIKEITAAAESNADLRRAAFKTNSPAAKAVIDQLLRVIKEFDSPRLQIPAIRSIGSLARTFPARETRVIGPLVAQLGNTRSQEVATEAAIALGKFTCPDNFLHDAHSKAIVEFNGVPPLMRLLRGNERAQFHGLILLCYLVIHSGNNEALEQARVLTALEGADRTVVAQHPELRELVSKAIYHINLYHTSAHSQRFSYVP >Manes.13G025300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3404890:3409816:1 gene:Manes.13G025300.v8.1 transcript:Manes.13G025300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIDEELTYPILLAERVRSAVEEADSFKIECSSIGNYADMLAEKLRLLVRFTCSAQSLYERPVRRIVAEVFKNLDRTLTLVRKCKRRSVLRRVVTIISATDFRKVQNLLEASVGDMKWLESILGFGNGAAGEDVGIDLTLPPIASNDPILAWVWSSIASIHVRPLPEKIEAANQLAQLAQDNDRNKQIIVEEAGVPPLLKLLKETGSPEAQIASATALLYLANDQERVTAIVNEQGVPIVVKVLADSPMRVQTLTASLVAKMAEHDSVAQDDFARENAIRPLVTLLSFETFSDDQTVQLGKQSIHSIVQINKEIDKISMNGSKNNHHYRPYMTSFSSFHSEGSSRGGNRKDRENERPEIKLKLKISCAEALWMLARGSVSNSKRITETKGLLCLAKLVEKEEGELQYNCLMTIKEITAAAESNADLRRAAFKTNSPAAKAVIDQLLRVIKEFDSPRLQIPAIRSIGSLARTFPARETRVIGPLVAQLGNTRSQEVATEAAIALGKFTCPDNFLHDAHSKAIVEFNGVPPLMRLLRGNERAQFHGLILLCYLVIHSGNNEALEQARVLTALEGADRTVVAQHPELRELVSKAIYHINLYHTSAHSQRFSYVP >Manes.16G068900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:26455271:26456965:-1 gene:Manes.16G068900.v8.1 transcript:Manes.16G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNQTFSFFTPFTLICFFVFSNAALTDSLPPANVQRKALGYREKFLSMEDHAANLPSLTFQNPRLKNAYVALQAWKESIVSDPMNLTSNWVGSDVCNYTGVFCWSAPDNPSLQTVSGIDLNHADIAGHLVEEIGLLTDVAIFHVNSNRFCGRIPKAMKKLKLLYELDVSNNRFSGPFPYVVLDLPDLKYLDLRFNEFEGNVPRELFEKNLDAIFINDNRFGFELPDNFGNSPVSVIVLANNKFHGCLPASIGNMSKTLHELILLNSGLRSCLPKEIGLLHNLTVFDASYNKLMGELPDEIGEMKSLVRFAVSHNMITGSIPKSFCKLPSLESFHFGYNFITGEPRVCLRLDDIDDNRNCLRDRPKQRSHLQCEGFLSRPTVDCKAFKCHKFDISPPGSPPLAPPVHSPPLPKPSSPPPPVYSPPLPLPSPPLPPPPVHSPPPPRHSSPPPPVFSPPPPRHSSPPPPVFSPPPPRRHSPPPLPLPPPPPPSRLPPIHPPPLVHSPPPPPLLRPPSLPPPRSPPRSPPRPLLSPPPPPAPAYNGPFPPIIGAPYASPPPPQFYY >Manes.06G026500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5891160:5893579:1 gene:Manes.06G026500.v8.1 transcript:Manes.06G026500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLLIVFFLIISPPPASSSTSTIHGDSITLNQGSSLSIDRPDHVLISPNAIFTAGFYPVGDNAYSFAIWFTEPSCSNSCTVVWMANRDLPVNGRNSKLSLLKNGNLILTDAGKSVVWESNTFSFSSSYLQLYDTGNLVLITSRERVILWQSFASPTDTLLPLQPLTRDSLLVSSRRLTNFSSGFYKLFFDDDNVLRLVYDGPEVSSAFWPDHWLLSREAGRSSYNSSRIALLDSFGNFTSSDNFSFSSMDYGQQLQRRLTLDFDGNLRLYSRENGNGSWVVSWQVFSQPCKIHGACGPNSVCKYIPSFGRKCSCLPGYKIKNPADLSLGCEPEVSSVETEATFIGLPHVEMYGYDFDIFENYTLEMCKEVCLRRYDCKGFIFKFFYQNHPDNIPYCFPKLQLLNGYIAPNFKGDLYLKVPKKRPSKHWSAKEQNLDCPAGAVKQLDRRYDKSNGNWSLKILLGFVISTGIIEILSLVLLWLYFTRQQKIYSTSEDYFHATDFRRFSYSELKEATKNFSEEIGRGATGIVYKGVLDDKRVAAIKRLNSASQGEAEFLAEMWGYCADKKHRLLVYANVEKGSLAKNLSSMELDWEKRYKIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDADYEPKVSDFGLSRLLSRGQLHNSSFSKIRGTRGYMSPEWIFNLPITSKVDVYSYGVVVLEMVTGRSPSMGGRVADKGRLVEHKRLVEWVKEKKHGAFAKSWWVEEITDPAIGMEYNRRKLEGLVGVALKCVEECRDDRPTMSQVVEMLLRLENDHYPN >Manes.05G003000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:293800:295963:-1 gene:Manes.05G003000.v8.1 transcript:Manes.05G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAHDAITRGPYVKATLKLGSETYTVDASKGSTISEELVSMKEKSMSILKEFITKHNVPNDVPDDLVESSSEDEEEIPEKPHVKSKKTKLT >Manes.10G152200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31922606:31923449:-1 gene:Manes.10G152200.v8.1 transcript:Manes.10G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTIMRPHSCDSTAAASKLDMHRDSHVISKLKPKVRIIHIFAPEIIKTDVANFRELVQRLTGKPGDDEINPKVSSSRSRSRSWKKKPRKLKKKDGLLLPSSLMQEENHKVEENENDFLNGFGEFNGFINDLSSNFPLATAQSSHMDMFGDMQLA >Manes.11G064600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8957519:8959973:1 gene:Manes.11G064600.v8.1 transcript:Manes.11G064600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIRPLRRTLLQHPKTLFPQLKQSHQNPISIRDKLGLVQTTIARNYISEMRKSAFQDNVLRLLRNEIQYELERAPPKQPVTKFGSFTIDDRPGEQWVTLKRKFARNEDIKLEATMFDGAVPIGKPGDITNNNVQLHITLVVNISKGDGNALEVMCSAWPDTIEITKLFIRPSDKMPAQAYVGPDFKELDDELQDSLYEFLEARGINDELAAFLHEYMKNKDRTEYIKWMGTVKSYIEKK >Manes.17G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24734912:24743299:-1 gene:Manes.17G050000.v8.1 transcript:Manes.17G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIFNRGRENANDSSPQSSPSPSSSTSPSHVTGPPRPINLVYRDRDGKFRMDPEAVATLQLVKAPIGVVSVCGRSRQGKSFILNQLLGRSSGFQVAPTHRPCTKGLWLWSAPIKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIQVRASGGKSKASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGSGRDVAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLDQIPLDKLRPEFRSGLDAFTKFVFERTRPKQVGATVMTGPILVGITQSYLEALNNGAVPTISSSWQSVEEAECRKAHDTATEVYMSTFDHSKPPEEAALREAHEAAVQKSMAAFNANAVGIGSTRTKYEGLLHKFFKKKFEEYKKNAFIEAELRCSNAIQNMEKRLRAACHASDANVDNVVKVLDNLLSEYDKSCHGPGKWQKLVIFLQRSLEGPIRDLAKRLNDQITTEKTSLSLRCRAIEDKMEMLKKQLDASEKHRSEYMKRYDEAISEKKKLSDDFLKRISDLQSSRSSLDDRCSSLLKTLESTKQEASNWKRKHDQLLSKQKADEDQTNSEIGILRSRTSAAEARLAAAHEHTKSAQEEAAEWKRKYDITVRETKAALEKATIVQERTSKETQLREDALREEFSSRLTEKEEEIKEKNMKIENAEQRLTTLNLELKAAESKIKSYDSEISSLKLEIKELVEKLESVNARAQSYEREARILEQEKIHLEQKYRSEFERFAEVQERCNHAEKESKRAIELADKARADAASAQKEKSELQKLAMERLAQIERAQRHIESLERERTDLADAVDRMRVSEMDAVSKVSLLEARVEEREKEIELLLKSNNEQRASTVKGLKDLLDDERKAHSVANKRAEDLSLQLEAARAKLDALQQELTSVRLNESALDSKLKTASHGKRIRTDDIEMGVGSVQDMGTNDRILRPSKKSRSTTNPLKHTQPEDGGSVFRGDEDNQSQQTGQEDYTKFTVQKLKQELTKHNFGAELLQLRNPNKKDILALYEKCVLQM >Manes.17G050000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24734912:24743299:-1 gene:Manes.17G050000.v8.1 transcript:Manes.17G050000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYNQMGGIDEAALDRLSLVTEMTKHIQVRASGGKSKASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGSGRDVAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLDQIPLDKLRPEFRSGLDAFTKFVFERTRPKQVGATVMTGPILVGITQSYLEALNNGAVPTISSSWQSVEEAECRKAHDTATEVYMSTFDHSKPPEEAALREAHEAAVQKSMAAFNANAVGIGSTRTKYEGLLHKFFKKKFEEYKKNAFIEAELRCSNAIQNMEKRLRAACHASDANVDNVVKVLDNLLSEYDKSCHGPGKWQKLVIFLQRSLEGPIRDLAKRLNDQITTEKTSLSLRCRAIEDKMEMLKKQLDASEKHRSEYMKRYDEAISEKKKLSDDFLKRISDLQSSRSSLDDRCSSLLKTLESTKQEASNWKRKHDQLLSKQKADEDQTNSEIGILRSRTSAAEARLAAAHEHTKSAQEEAAEWKRKYDITVRETKAALEKATIVQERTSKETQLREDALREEFSSRLTEKEEEIKEKNMKIENAEQRLTTLNLELKAAESKIKSYDSEISSLKLEIKELVEKLESVNARAQSYEREARILEQEKIHLEQKYRSEFERFAEVQERCNHAEKESKRAIELADKARADAASAQKEKSELQKLAMERLAQIERAQRHIESLERERTDLADAVDRMRVSEMDAVSKVSLLEARVEEREKEIELLLKSNNEQRASTVKGLKDLLDDERKAHSVANKRAEDLSLQLEAARAKLDALQQELTSVRLNESALDSKLKTASHGKRIRTDDIEMGVGSVQDMGTNDRILRPSKKSRSTTNPLKHTQPEDGGSVFRGDEDNQSQQTGQEDYTKFTVQKLKQELTKHNFGAELLQLRNPNKKDILALYEKCVLQM >Manes.17G050000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24734912:24741622:-1 gene:Manes.17G050000.v8.1 transcript:Manes.17G050000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYNQMGGIDEAALDRLSLVTEMTKHIQVRASGGKSKASELGQFSPIFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGSGRDVAAKNEIRDSIRALFPDRECFTLVRPLNNENDLQRLDQIPLDKLRPEFRSGLDAFTKFVFERTRPKQVGATVMTGPILVGITQSYLEALNNGAVPTISSSWQSVEEAECRKAHDTATEVYMSTFDHSKPPEEAALREAHEAAVQKSMAAFNANAVGIGSTRTKYEGLLHKFFKKKFEEYKKNAFIEAELRCSNAIQNMEKRLRAACHASDANVDNVVKVLDNLLSEYDKSCHGPGKWQKLVIFLQRSLEGPIRDLAKRLNDQITTEKTSLSLRCRAIEDKMEMLKKQLDASEKHRSEYMKRYDEAISEKKKLSDDFLKRISDLQSSRSSLDDRCSSLLKTLESTKQEASNWKRKHDQLLSKQKADEDQTNSEIGILRSRTSAAEARLAAAHEHTKSAQEEAAEWKRKYDITVRETKAALEKATIVQERTSKETQLREDALREEFSSRLTEKEEEIKEKNMKIENAEQRLTTLNLELKAAESKIKSYDSEISSLKLEIKELVEKLESVNARAQSYEREARILEQEKIHLEQKYRSEFERFAEVQERCNHAEKESKRAIELADKARADAASAQKEKSELQKLAMERLAQIERAQRHIESLERERTDLADAVDRMRVSEMDAVSKVSLLEARVEEREKEIELLLKSNNEQRASTVKGLKDLLDDERKAHSVANKRAEDLSLQLEAARAKLDALQQELTSVRLNESALDSKLKTASHGKRIRTDDIEMGVGSVQDMGTNDRILRPSKKSRSTTNPLKHTQPEDGGSVFRGDEDNQSQQTGQEDYTKFTVQKLKQELTKHNFGAELLQLRNPNKKDILALYEKCVLQM >Manes.10G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5218254:5221121:1 gene:Manes.10G047500.v8.1 transcript:Manes.10G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCEAHNVTTSPGFSSADPLNWGMAAESLKGSHLDEVKRMVDEYRKPVVRLGGETLTIAQVTAIANHDSGVKVELSEEARAGVKASSDWVLDSMNKGTDSYGVTTGFGATSHRRTKQGGALQRELIRFLNAGIFGNGQESCHTLSHSATRAAMLVRINTLLQGYSGIRFEIMEAITKFINNNVTPRLPLRGTITASGDLVPLSYIAGLLTGRPNSKSLGPNGESLDAAEAFKLAGINGGFFELQPKEGLALVNGTAVGSGLASMVLFEANVLAVLSEVLSAIFAEVMLGKPEFTDHLTHKLKHHPGQIEAAAIMEHVLDGSSYIKAAQKVHEIDPLQKPKQDRYALRTSPQWLGPQIEVIRTATKMIEREINSVNDNPLIDVSRNIALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLKQTVKNTVSQVAKRVLTMGINGELHPSRFCEKDLLKVVDREYVYAYVDDPCSATYPLMQKLRQVLVDHAMMNGEKEKNSSTSIFQKIGAFEEELKTLLPKEVESARTEYENGNPAISNKIKECRSYPLYKFVREELGCSLLTGEKIRSPGEEFDKVFSAICAGKLIDPMLECLKEWNGAPLPIC >Manes.12G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30486121:30491600:1 gene:Manes.12G109500.v8.1 transcript:Manes.12G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQDHHQQPLLLNRGEEDKEEAEEEQEAAYDSDEKVHIVGIDVDSDSEYAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAMGLLVQLLAARLGVATGRHLAELCREEYPSWARMVLWIMAELALIGADIQEVIGSAIAIKILSNGVLPLWAGVIITACDCFIFLFLENYGVRKLEAVFAVLIATMALSFAWMFGDAKPNGKELLMGILVPKLSSKTIQQAVGVVGCIIMPHNLFLHSALVQSRDVDHTKKGRVKEALRYYTIESTIALIVSFIINLFVTTVFAKGFYGTDLANSIGLVNAGQYLQEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAIVPTMIVALVFDTSEDTLDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGTFKIGSVLKMVSWLVAGLVIVINGYLLIDFFSNEVNGVIFATVVCIFTGAYVAFIVYLASRGITFASWWGPPKHVEAVE >Manes.09G170600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36204568:36204741:1 gene:Manes.09G170600.v8.1 transcript:Manes.09G170600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSWLCPCWGSMELVQWVRLLNLLLKLGCDPSFQILVCSYCNFMETSSCKLVCIRM >Manes.10G006900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:830981:831853:-1 gene:Manes.10G006900.v8.1 transcript:Manes.10G006900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLNGGDFGVPCVSVIGDGFCYPCTMELVVKKKIKKLYNALFEVFYQSENLLLQVDGGGWSFRMKRILQVLSWWHKWKAHDGENSSIFSVQQSHPFQIKKELHVFLADFKGKSPDFLVIGSYTSLSFKVFKGHRLLAEIKHNFTLESFYKGKEKYNVTIYPEVDYAFIVALLVILDENDTP >Manes.14G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3087095:3091539:1 gene:Manes.14G034500.v8.1 transcript:Manes.14G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRMASLSPLVSSSKSTLLNQAAYGFNIRKSLSTGISTPVKHGTMLKEKTPFITFVLGGPGSGKGTQCIKIAQTFGFTHLSAGDLLRREILSNSEYGTMILDTIKEGRIVPSEVTVKLIKKTIESSDNYKFLIDGFPRSEENRIAFEHIIGVEPNVVLFFDCPEEEMVKRVLNRNEGRVDDNIDTIKKRLKVFSSLNLPVVNYYSKRGKLHTINAVGTVDEIFEQVRAVFSVCEAMK >Manes.06G081200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21665147:21680216:1 gene:Manes.06G081200.v8.1 transcript:Manes.06G081200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEFSSFLDESSNTSRPPSNVPYDTLFGCKRKRNFENYKQNHGEEGSYAFLWSVLQCPSTNIIEGQNCEKFVEVLESLNCLTNPRSGMCVLVENQPHDNTGIYRDGKALCLCPAWLKRIMQAFAFLNIFSASLQMQKEDITSAHLKEALKQLRKFGFKADYEDIEHISVLCPKVVSLANNDTKLAKSAEGLVIINSETEERDDVSQSRNGRKAMSLSKIFTMMRKRESSFKFDLWEAARLLMHKSGNAIVMPFSLEDLIKFVKGGGVVASGNEAKQKKGSRSSAPRSYLFQTRCSETNQLLPMEMVQHLREGTGSNGQMVHVEEISARKAIYVEIPLELSDNIKSALNCMGITKLYSHQAESIMSSLAGKNVVVSTMTSSGKSLCYNVPVLEVLSQNLSSCALYLFPTKALAQDQLRALLAMTKGFDSTINMGIYDGDTSQTERPWLRTNARLLITNPDMLHISILPFHRQFGRFLSNLRFVVIDEAHAYRGAFGCHTALILRRLRRICSHVYGSDPSFIFSTATSANPREHCMELANLSTLELINNDGSPSSQKLFALWNPTSYPETVSNKDGSDSANKRTSPISEVSYLFAEMVQHGLRCIAFCNSRKLTELVLSYTREILQNTASHLVDLICAYRAGYAAEDRRKIERDFFCGKLCGIAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRREKPSLAVYVAFDGPLDQYFMKFPKKLFSSPIECCHIDAQNQQVLEQQLTCAALEHPLSLLYDEKYFGSGLSKSIMSLKNKGYLSTDSSHDSSVRIWSYIGHEKMPSHGISIRAIESVRYSVIDKKQNKVLEEIEESKAFFQVYEGAVYMHQGKTYLVEELNISEKIALCRRADLQYYTKTRDYTDIHVHGGDIAYPARVSNNQPLKTTAQAGSCKVTTTWFGFYCIQRGTKKIIDRCDLSLPKYSYESQAVWIQVPQSVKNSVLKCFPFREGLHAASHALLRLVPLYIRCNSSDLAPECPNPYDTRYYPERILVYDQHPGGTGVAMQIQPYFTELLYAALELLTSCRCSGITGCPSCVQSMACHEFNEEIHKDAAILIIKGVLDAEKSYLEKMHDSSHKAGEQCPEFSK >Manes.06G081200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21665506:21680216:1 gene:Manes.06G081200.v8.1 transcript:Manes.06G081200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEFSSFLDESSNTSRPPSNVPYDTLFGCKRKRNFENYKQNHGEEGSYAFLWSVLQCPSTNIIEGQNCEKFVEVLESLNCLTNPRSGMCVLVENQPHDNTGIYRDGKALCLCPAWLKRIMQAFAFLNIFSASLQMQKEDITSAHLKEALKQLRKFGFKADYEDIEHISVLCPKVVSLANNDTKLAKSAEGLVIINSETEERDDVSQSRNGRKAMSLSKIFTMMRKRESSFKFDLWEAARLLMHKSGNAIVMPFSLEDLIKFVKGGGVVASGNEAKQKKGSRSSAPRSYLFQTRCSETNQLLPMEMVQHLREGTGSNGQMVHVEEISARKAIYVEIPLELSDNIKSALNCMGITKLYSHQAESIMSSLAGKNVVVSTMTSSGKSLCYNVPVLEVLSQNLSSCALYLFPTKALAQDQLRALLAMTKGFDSTINMGIYDGDTSQTERPWLRTNARLLITNPDMLHISILPFHRQFGRFLSNLRFVVIDEAHAYRGAFGCHTALILRRLRRICSHVYGSDPSFIFSTATSANPREHCMELANLSTLELINNDGSPSSQKLFALWNPTSYPETVSNKDGSDSANKRTSPISEVSYLFAEMVQHGLRCIAFCNSRKLTELVLSYTREILQNTASHLVDLICAYRAGYAAEDRRKIERDFFCGKLCGIAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRREKPSLAVYVAFDGPLDQYFMKFPKKLFSSPIECCHIDAQNQQVLEQQLTCAALEHPLSLLYDEKYFGSGLSKSIMSLKNKGYLSTDSSHDSSVRIWSYIGHEKMPSHGISIRAIESVRYSVIDKKQNKVLEEIEESKAFFQVYEGAVYMHQGKTYLVEELNISEKIALCRRADLQYYTKTRDYTDIHVHGGDIAYPARVSNNQPLKTTAQAGSCKVTTTWFGFYCIQRGTKKIIDRCDLSLPKYSYESQAVWIQVPQSVKNSVLKCFPFREGLHAASHALLRLVPLYIRCNSSDLAPECPNPYDTRYYPERILVYDQHPGGTGVAMQIQPYFTELLYAALELLTSCRCSGITGCPSCVQSMACHEFNEEIHKDAAILIIKGVLDAEKSYLEKMHDSSHKAGEQCPEFSK >Manes.06G081200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21665147:21680216:1 gene:Manes.06G081200.v8.1 transcript:Manes.06G081200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKREVEVRTLSGESSKVSIAGNKTISDLKLLLVRTFPPASTSPNFHLFFKGVKLSLQSRIGSFATEPGEFLVLVPFIKKDRPQTPNPDVSTNFSKPSSSSSYADSVYSDMLHEFSSFLDESSNTSRPPSNVPYDTLFGCKRKRNFENYKQNHGEEGSYAFLWSVLQCPSTNIIEGQNCEKFVEVLESLNCLTNPRSGMCVLVENQPHDNTGIYRDGKALCLCPAWLKRIMQAFAFLNIFSASLQMQKEDITSAHLKEALKQLRKFGFKADYEDIEHISVLCPKVVSLANNDTKLAKSAEGLVIINSETEERDDVSQSRNGRKAMSLSKIFTMMRKRESSFKFDLWEAARLLMHKSGNAIVMPFSLEDLIKFVKGGGVVASGNEAKQKKGSRSSAPRSYLFQTRCSETNQLLPMEMVQHLREGTGSNGQMVHVEEISARKAIYVEIPLELSDNIKSALNCMGITKLYSHQAESIMSSLAGKNVVVSTMTSSGKSLCYNVPVLEVLSQNLSSCALYLFPTKALAQDQLRALLAMTKGFDSTINMGIYDGDTSQTERPWLRTNARLLITNPDMLHISILPFHRQFGRFLSNLRFVVIDEAHAYRGAFGCHTALILRRLRRICSHVYGSDPSFIFSTATSANPREHCMELANLSTLELINNDGSPSSQKLFALWNPTSYPETVSNKDGSDSANKRTSPISEVSYLFAEMVQHGLRCIAFCNSRKLTELVLSYTREILQNTASHLVDLICAYRAGYAAEDRRKIERDFFCGKLCGIAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRREKPSLAVYVAFDGPLDQYFMKFPKKLFSSPIECCHIDAQNQQVLEQQLTCAALEHPLSLLYDEKYFGSGLSKSIMSLKNKGYLSTDSSHDSSVRIWSYIGHEKMPSHGISIRAIESVRYSVIDKKQNKVLEEIEESKAFFQVYEGAVYMHQGKTYLVEELNISEKIALCRRADLQYYTKTRDYTDIHVHGGDIAYPARVSNNQPLKTTAQAGSCKVTTTWFGFYCIQRGTKKIIDRCDLSLPKYSYESQAVWIQVPQSVKNSVLKCFPFREGLHAASHALLRLVPLYIRCNSSDLAPECPNPYDTRYYPERILVYDQHPGGTGVAMQIQPYFTELLYAALELLTSCRCSGITGCPSCVQSMACHEFNEEIHKDAAILIIKGVLDAEKSYLEKMHDSSHKAGEQCPEFSK >Manes.04G145700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34332015:34334349:-1 gene:Manes.04G145700.v8.1 transcript:Manes.04G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSWVAYAAAWVAILALVLFSRRRKLNLPPGPKPWPIIGNLNLIGSLPHRSIHSLSQKYGPIMQLKFGSFPVVVGSSVEMAKTILKTHDLTFVDRPKTAAGKYTTYNYKDITWSPYGSYWRQARKICVMELFSAKRLESYEYIRREELRSLVNTLFSSSGNTINLKNHLSDLSLNVISRMVLGKKYTVKNEGEDEIVTPEEFKEMLDELFLLNGVLDIGDSLPWLAFLDLQGYIRRMKAVNKKFNRFLEHVLDEHDGRRKGVENYVAKDMVDVLLQLAEDPNLEVKLERNGVKGFTQDMVAGGTESSAVTVEWAISELLKKPEIFDKATEELDRVIGRERWVEEKDIANLPFIDAIAKETMRLHPVAPMLVPRLCREDTQIAGYDIQQGTRMLVNVWTIGRDPSIWDSPNEFLPERFLGKEIDVKGHDFELLPFGAGRRMCPGYPLGIKVIQASLANLLHGFKWKLAGDMKKRDLNMEEIFGLSTPRKFPLVVVPEPRLPSHVYFL >Manes.11G047633.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5079111:5079555:-1 gene:Manes.11G047633.v8.1 transcript:Manes.11G047633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEDIDQIIAKLVSRIPDLSRRHWRRNEAYRIAVGRCDILI >Manes.10G069400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10988638:11045795:-1 gene:Manes.10G069400.v8.1 transcript:Manes.10G069400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDCREALLKKNYYENCPGCKVDQLKELRRGLPIRELVSIWIVVLCTALPISSLFPFLYFMVRDFNIAKREEDIGYYAGYIGSSFMFGRALTSVFWGIVADRYGRKPIIIIGTTTVVIFNTLFGLSTNFWMAITTRFLMGSLNGLLGPIKAYACEIFREEHQALGLSTVSTSWGIGLIIGPALGGFLAQPAEKYPNIFPKESLFGRFPYFLPCFCISVFALGVSIASFWLPETLHMHDEERFLSDDSFDAMETAPRGSEGIEKRPSNVRGKQSSGESLIRNWPLMSSIIVYCIFSLHDMAYTEIFSLWAVSPRKFGGLSFSTEDVGVVLAVSGFSLFVFQLSLYPYVERILGPITICRLSGVTTQCFLFHVISIPLLSSYPFIAMLSGFSLTLLLNCASIMKNILSVSIVTGLFLLQNRAVDQHQRGAANGIAMTAMSLFKAVGPAGGGALFSWAQKRQNVSFLPGDHLVFFILNVVEAIGVLMTFKPFLAQPSE >Manes.08G172200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40594929:40599552:-1 gene:Manes.08G172200.v8.1 transcript:Manes.08G172200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSSKRVPEVQNEHQQPVLRRSVEVEPATATQNGSITAPQLSIDESLLVDPKLLFIGSKIGEGAHGKVYEGRYGDRVVAIKVLHRGSTSEERDALENRFAREVNMMSRVKHENLVKFIGACKDPLMVIVTELLPGMSLRKYLISIRPKQLELRVALNFALDIARAMECLHANGIIHRDLKPDNLLLTTNQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPEDVSPDLAFIIQSCWVEDPNLRPSFSQIIRMLNAFLFTLSSSSTSVPESVTNETVALNNGTITEFSARARGKFAFLRQLFTAKRTRNSQ >Manes.08G172200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40594301:40599581:-1 gene:Manes.08G172200.v8.1 transcript:Manes.08G172200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGSSKRVPEVQNEHQQPVLRRSVEVEPATATQNGSITAPQLSIDESLLVDPKLLFIGSKIGEGAHGKVYEGRYGDRVVAIKVLHRGSTSEERDALENRFAREVNMMSRVKHENLVKFIGACKDPLMVIVTELLPGMSLRKYLISIRPKQLELRVALNFALDIARAMECLHANGIIHRDLKPDNLLLTTNQKSVKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPEDVSPDLAFIIQSCWVEDPNLRPSFSQIIRMLNAFLFTLSSSSTSVPESVTNETVALNNGTITEFSARARGKFAFLRQLFTAKRTRNSQ >Manes.04G067200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25440290:25445463:-1 gene:Manes.04G067200.v8.1 transcript:Manes.04G067200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTFSFWTSASLLPFSLVLFHVVLIGAESSVNPPVQVEPKAYQPLFDCEGHDGKDQDTFSGARLGNPLDEKDIDIIATYSGTSGDIQIRRVKMKDLSASWVLENPIDKGHNQPKASSTSKDSFQSVPKLQDNVEHSGNQQLPNLATSPVRLQRRLLRQRRRDLRTALLVREDEEADNQTRAAAIKQSESLDTTVKGKYSIWRRDYENTHSDAVLKLMRDQIIMAKAYANIAKSNNETSLYDLLMKHSRESRHAIGEATSDAELHPSALAQAKAMGHVLSIAKDRLYDCPTMLRKLRAMLQSSEENVNAVKKKSAFLIQLAAKTITKPLHCLPLRLAADYFLLGYQNREYPNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHAIDPGKHVFHIVTDKLNFAAMKMWFLVNPPAKATVHVQNIDDFKWLNSSYCSVLRQLESARVKEYYFKANHPSSLTAGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSVDLKGMVNGAVETCKESFHRFDKYLNFSNPKIFENFSPNACGWAFGMNIFDLKEWRRQNITGIYHHWQDLNEDRTLWKLGTLPPGLITFYNLTCPLDRRWHALGLGYDPALNQTEIEDAAVVHYNGNYKPWLDLAITKYKSYWSKYVKYDNPYLQLCNISE >Manes.13G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6257558:6259902:-1 gene:Manes.13G054300.v8.1 transcript:Manes.13G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNYYSRNNIPAFGSWDWKDDLPFTQCFESAREGGLIRYSYSEDRDLYVAGDLYENDVVTPAMIVVPRRRAKVRQSRVKEERKKQQKSWVVNDMKEPPSPTAPPQPNPAKARPTPKPVDEDLYTLSPQLLYAKPNKKRGLCFFSSCLLPNCVL >Manes.01G017500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4228509:4234666:-1 gene:Manes.01G017500.v8.1 transcript:Manes.01G017500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSAGPEEIEDGMVDGSFHSPEWHAARLASLRTSHTVTWEEFKRKQKEDEMKKGELEADTDRMMREYRAQLDAERARKLAHGRNHSSKSNHKRDRRDKDLKHRSSRKRKHSKRRSSDSSTSSSSSESSSSDDEERESRRSKSRSKRMKKEKKHSSRSKRSRSDEEEADGPVPLSRFFGSIKS >Manes.02G200286.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:17166247:17168477:-1 gene:Manes.02G200286.v8.1 transcript:Manes.02G200286.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIQNIVRYCCVSRGNRTFYVYSEGDHEIENLASLSLEKIPPYHKWYFETIDKRRFGFLMEDDYVYFTIVDGGLGNPAVLKFLEHVRDEFKKVARQGSIGSFLGLSSVNVQEQLVPVVRRLITSLHQVSESDWNAETSSSDNVGLSPSPSDTNGKIEVVTSTKAPLLGKSSKQDKKKSKDHVIVMRDIELEEHRKSADRGVKVDSTSLDSNKQGGVASPISLQKDFGSMRMRSSSQGIRKKWWCQIRTVLAIDAAVCLILFIIWVSICGGFGCTH >Manes.02G200286.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:17166247:17168477:-1 gene:Manes.02G200286.v8.1 transcript:Manes.02G200286.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIQNIVRYCCVSRGNRTFYVYSEGDHEIENLASLSLEKIPPYHKWYFETIDKRRFGFLMEDDYVYFTIVDGGLGNPAVLKFLEHVRDEFKKVARQGSIGSFLGLSSVNVQEQLVPVVRRLITSLHQVSESDWNAETSSSDNVGLSPSPSDTNGKIEVVTSTKAPLLGKSSKQDKKKSKDHVIVMRDIELEEHRKSADRGVKVDSTSLDSNKQGGVASPISLQKDFGSMRMRSSSQGIRKKWWCQIRTVLAIDAAVCLILFIIWVSICGGFGCTH >Manes.16G082200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28830912:28832484:-1 gene:Manes.16G082200.v8.1 transcript:Manes.16G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYNRSRSYSYSYGSTAMQMESYYGPPRPPTTTTSYDLRSYSASYAQTQMANNNYTAKDFKLKKGKKNSGYSSSSSSSKSWSLADPEFQRKKRVASYKMYSVEGKVKGSFRRSFRWLKDSFTQVVYGWW >Manes.16G082200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28830949:28832484:-1 gene:Manes.16G082200.v8.1 transcript:Manes.16G082200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYNRSRSYSYSYGSTAMQMESYYGPPRPPTTTTSYDLRSYSASYAQTQMANNNYTAKDFKLKKGKKNSGYSSSSSSSKSWSLADPEFQRKKRVASYKMYSVEGKVKGSFRRSFRWLKDSFTQVVYGWW >Manes.16G082200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28831180:28832451:-1 gene:Manes.16G082200.v8.1 transcript:Manes.16G082200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYNRSRSYSYSYGSTAMQMESYYGPPRPPTTTTSYDLRSYSASYAQTQMANNNYTAKDFKLKKGKKNSGYSSSSSSSKSWSLADPEFQRKKRVASYKMYSVEGKVKGSFRRSFRWLKDSFTQVVYGWW >Manes.04G063854.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23508327:23512247:-1 gene:Manes.04G063854.v8.1 transcript:Manes.04G063854.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPFKFTWRINNFSTLTQKELYSEVFYAGGCQWRLIVYPKGSKADYLSIYLEVADSTSLPQGWSRDAKYSIAVINQINNSLTVRKDTEHVFKAFDKDWGFPSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVKHYSKPEPKKEEAKDETKPSEPVAAPPTSQVPSSEKEVVDTKAKVDTKPLNQTKEGIQAAATPTTDKEVIKSSPPPSVTVDTKILPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQKQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESVPMVITTAETAQARRTSLSEKTADLDAKLAQRHEELSSKEAEFLRLSTEEEKLEAQIQLLIKQKEDVVAHKKSVLVELEKSNKEVSKDLEEWKKLESEIKQANVNWLGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.04G063854.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23508327:23510834:-1 gene:Manes.04G063854.v8.1 transcript:Manes.04G063854.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPFKFTWRINNFSTLTQKELYSEVFYAGGCQWRLIVYPKGSKADYLSIYLEVADSTSLPQGWSRDAKYSIAVINQINNSLTVRKDTEHVFKAFDKDWGFPSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVKHYSKPEPKKEEAKDETKPSEPVAAPPTSQVPSSEKEVVDTKAKVDTKPLNQTKEGIQAAATPTTDKEVIKSSPPPSVTVDTKILPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQKQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESVPMVITTAETAQARRTSLSEKTADLDAKLAQRHEELSSKEAEFLRLSTEEEKLEAQIQLLIKQKEDVVAHKKSVLVELEKSNKEVSKDLEEWKKLESEIKQANVNWLGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.07G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28393502:28395548:1 gene:Manes.07G091200.v8.1 transcript:Manes.07G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRCVKSFCHIDDLRKSSKSPWIYLSLFSCSDATDTKAKCAPLQETRMRDRFTLHAKGGDGGNGCSSFRRSRHDRCGRPDGGNGGRGGDVILECSPVIWDFSGLHHHVNAARGGNGASKSMIGTRGEDKVVQVPVGTVIHLLKGELPSTVQNCSKTDLDPWELPGTLHTDQSESHWQSVSKSTNMEKEAEPSDISCGSLTQAKGTSEEFASKQAIQREPVGVEHIHYDVAELTKLGQQIIVARGGEGGLGNVYSPDVSKKAKLSKPGVNRDIVFDRDMSSEDQSCLSSGLPGSEAVLLLELKSIADVGLVGMPNAGKSTLLGALSRAKPRVGHYAFTTLRPNLGKLKFDDFSITVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAAGLDGRKGFPPWEQLKDLVLELEHHQEGLSDRPSLVVANKIDEAGADEVYEELKRRVQDVPIYPVCAVLEEGVPELKAGLRMLMDSVKLQRLSLDKIDCS >Manes.04G107200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31188569:31191951:1 gene:Manes.04G107200.v8.1 transcript:Manes.04G107200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCMKSVGILLLPTFLLISSTFLSGIIDEGEINLVSWETRRSVLTENESMILAAERTHRRDPLNNFKYYKGGWSISEKHYFYSVAYTAAPLFLITAIWFLGFAVTLLLICIRHCCCQLENYGYSRTAYALSLVFLIFFTLAAIVGCIVLYTGQEKFHSSSTSTLKYVVNQADNTVENLTIVSDYLSAAKGVGVDQIFLPASIHNSINKVDSMINAAATTLGKETDENSDKIQKVLDTVRVILIIVAAVMLLLAFLGFLLSILGLQSCVYLLAMTGWILATIALILCCLFLILHNVVGDTCVAMDEWVQNPTAHTALDDILPCVDKATAQQILSESKQVTFQLVEVVNTFITNISNTDPPKNLPPNLPPDTKRLYYNQSGPAVPVLCNPYNSDITDRKCAADEVSFTNASQEWSKYICQVSGNDICITTGRLTPEFYKQMIFAVNVSYALNIYGPFLFDLVDCSFVRQTFSGIVENHCPGLNRYSDWIFIGFVMVSVAVMVSLIFWLLYARERRHRLYTKKFVHLPAQESIGEESGGPVSSQS >Manes.04G107200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31189321:31191951:1 gene:Manes.04G107200.v8.1 transcript:Manes.04G107200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVAYTAAPLFLITAIWFLGFAVTLLLICIRHCCCQLENYGYSRTAYALSLVFLIFFTLAAIVGCIVLYTGQEKFHSSSTSTLKYVVNQADNTVENLTIVSDYLSAAKGVGVDQIFLPASIHNSINKVDSMINAAATTLGKETDENSDKIQKVLDTVRVILIIVAAVMLLLAFLGFLLSILGLQSCVYLLAMTGWILATIALILCCLFLILHNVVGDTCVAMDEWVQNPTAHTALDDILPCVDKATAQQILSESKQVTFQLVEVVNTFITNISNTDPPKNLPPNLPPDTKRLYYNQSGPAVPVLCNPYNSDITDRKCAADEVSFTNASQEWSKYICQVSGNDICITTGRLTPEFYKQMIFAVNVSYALNIYGPFLFDLVDCSFVRQTFSGIVENHCPGLNRYSDWIFIGFVMVSVAVMVSLIFWLLYARERRHRLYTKKFVHLPAQESIGEESGGPVSSQS >Manes.04G107200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31188569:31191951:1 gene:Manes.04G107200.v8.1 transcript:Manes.04G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCMKSVGILLLPTFLLISSTFLSGGASQIRLQGIIDEGEINLVSWETRRSVLTENESMILAAERTHRRDPLNNFKYYKGGWSISEKHYFYSVAYTAAPLFLITAIWFLGFAVTLLLICIRHCCCQLENYGYSRTAYALSLVFLIFFTLAAIVGCIVLYTGQEKFHSSSTSTLKYVVNQADNTVENLTIVSDYLSAAKGVGVDQIFLPASIHNSINKVDSMINAAATTLGKETDENSDKIQKVLDTVRVILIIVAAVMLLLAFLGFLLSILGLQSCVYLLAMTGWILATIALILCCLFLILHNVVGDTCVAMDEWVQNPTAHTALDDILPCVDKATAQQILSESKQVTFQLVEVVNTFITNISNTDPPKNLPPNLPPDTKRLYYNQSGPAVPVLCNPYNSDITDRKCAADEVSFTNASQEWSKYICQVSGNDICITTGRLTPEFYKQMIFAVNVSYALNIYGPFLFDLVDCSFVRQTFSGIVENHCPGLNRYSDWIFIGFVMVSVAVMVSLIFWLLYARERRHRLYTKKFVHLPAQESIGEESGGPVSSQS >Manes.06G044700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13961933:13982115:-1 gene:Manes.06G044700.v8.1 transcript:Manes.06G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSLQPNNFCSLSHTPNTYNSFFKVKNQHLNLFPSKRSLKFRVLCSVKEKENAQKGERVSEVISGVQVDKSEQRSPNTDSDSREVDFNLNWPPWKNIPQRYKLIGTTSLAFVICNMDKVNLSIAIIPMSHQFGWNASVAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLEIGVLTWSLATALLPSLAGFMPGLVLSRVLVGIGEGVSPSAATDLIARSIPLEERSRAVAFVFGGLSVGSVMGLLLAPPLIQNFGWESVFYIFGFLGLAWFLAFQYLKEEQASYASVPSSRAQSINLNKSWDTSLAELGGSLKEVPWNAFFQSKAVWAMIYAHFCGSWGHYTCLSWLPSYFSEELNLNLTEAAWVSILPPLASIFVTSIAAQLADNLIANGVETTTVRKIFQTVAFLSPAVCMTLSSVDLGLPPWEIVGILTGGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAIPGIVGVALTGYLLDTTHSWSMSLFAPSIFFYLTGTIVWLGFASSKPQNFSNSD >Manes.11G005300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:616993:620447:-1 gene:Manes.11G005300.v8.1 transcript:Manes.11G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLHHPLLPPHPNTSTQISMDRSTVSPIITQHAHEHTAKVSAAPTKSFKGAWTSAIFIIFVEVAERFAFYGLSGNLITYLTNDLHESTADAAKNINTWIGVSSIFPIVGAFLADSFLGRFKTILLSTMIYLTGLVLLTLTVSVIPMNHRKSVFFLALYVLAIGEGGHKPCVQTFAADQFDEQKPEEKSAKSSFFNWWYLAIVVSATSAVLLVIYVQDNVGWTEGFGILAGAVAVALVIFLAGMKKYRKEAPVGSPYTALVQVLIAAARKRRVNETLEGWGICYEDDDKDGADLEVVGQPRARTLARTEQFRLLDKAMIIDNMDASSNTRNPWRLCTLNQVEEVKLVLRLIPIWLSCLMFTAVVVQTHTFFTKQGSTMIRSIGPNFQFPPASLQSLIGITILVAVPLYDRVFVPMARKITGHPSGITMLQRIGIGLFLSILQMAVSALVEAKRVSTARDHGLMDNPKAIVPMSVWWLLPQYMLSGLADLFTVVGLQELFYDQMPEAMRSMGAAAYLSILGIGSFINTAAISAVQAITSKYGSVWLEDNLNRAHLDYFYWVLAVMSALNLCVYIWVAKGFVYKKVEGEETEKEERSDEP >Manes.15G177200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16383036:16384854:1 gene:Manes.15G177200.v8.1 transcript:Manes.15G177200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQSAGVPRITDDQIIELVSKLRQLLPEIRDRRTDKVSASKVLQETCNYIRSLHREVDDLSERLSQLLATIDADSPEAAIIRSLIM >Manes.11G016233.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1680461:1680706:-1 gene:Manes.11G016233.v8.1 transcript:Manes.11G016233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRCLLHEKHLPKKLWAETANTVVFLLNRLPTRAVGKKTPYEAWRGVKPDLTNLKIFGCLCFSHVPQVKRDKLDEKAEE >Manes.12G112800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31147540:31160281:1 gene:Manes.12G112800.v8.1 transcript:Manes.12G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEISERVELAKLCGSRDWSKAIRVLDSLLAKSCTIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYIIKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELIKLAKQDKNNSRENHVTESRSSKNLSESGHNNNSKSSETSKKQISEPEDASDICSKFGNNAERLNGISDKTGGKSPMPVAESEPCMNGKSYESYMNNHKLGDTPKLRTESRDASDICCKSWDNFGMQNDLSEKAEGCKKDDRAMGGAHKHDKLSYDSDFCNNLIKTSDLSSNLPMLSSSSGDTSEVRSKSSNKTEIPNEGGDEAKRNKKFCVTRISKTKSITVDFRLSRGIAQVNEGKYASAISIFDQILREDPTYPEALIGRGTAFAFQRELESAIADFSKAIESNPLAGEAWKRRGQARAALGESVGAIQDLTKALEFEPNSADILHERGIVNFKFKDFDAAVEDLSACVKLDEDNKSAYTYLGLALSSIGEYKKAEEAHLKSIELDRSFLEGWAHLTQFYQDLAISTKALECLQKVLQIDMRFAKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYGEAVKDYDATLDMELDSMEKFVLQCLAFYQKELALYTASKIGSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKRGRLRKQDFAISKQKTALLVAADSIGKKIQYDCPGFLPNRRQHRMAGLAAFEIAQKVSKAWRSLQAEWKHSNKSTAKYGKRTRRRINMPSQNRGGAGCSSSGFSDSSTSYGIAEDKTSGRYMMSWQDVYSVAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLILGQAKVVRYYPNYERTLNAAKTIMKEKMFVQNKADESIDISKDEKLQDIMDAKTCSDLYKVVGEDFWLATWCNSTAIEGKRLEGTRITLVKMGEHGYDFAIRTPCTPSRWDEYDAELAMAWEVSW >Manes.12G112800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31147327:31178416:1 gene:Manes.12G112800.v8.1 transcript:Manes.12G112800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEISERVELAKLCGSRDWSKAIRVLDSLLAKSCTIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYIIKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELIKLAKQDKNNSRENHVTESRSSKNLSESGHNNNSKSSETSKKQISEPEDASDICSKFGNNAERLNGISDKTGGKSPMPVAESEPCMNGKSYESYMNNHKLGDTPKLRTESRDASDICCKSWDNFGMQNDLSEKAEGCKKDDRAMGGAHKHDKLSYDSDFCNNLIKTSDLSSNLPMLSSSSGDTSEVRSKSSNKTEIPNEGGDEAKRNKKFCVTRISKTKSITVDFRLSRGIAQVNEGKYASAISIFDQILREDPTYPEALIGRGTAFAFQRELESAIADFSKAIESNPLAGEAWKRRGQARAALGESVGQAIQDLTKALEFEPNSADILHERGIVNFKFKDFDAAVEDLSACVKLDEDNKSAYTYLGLALSSIGEYKKAEEAHLKSIELDRSFLEGWAHLTQFYQDLAISTKALECLQKVLQIDMRFAKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYGEAVKDYDATLDMELDSMEKFVLQCLAFYQKELALYTASKIGSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKRGRLRKQDFAISKQKTALLVAADSIGKKIQYDCPGFLPNRRQHRMAGLAAFEIAQKVSKAWRSLQAEWKHSNKSTAKYGKRTRRRINMPSQNRGGAGCSSSGFSDSSTSYGIAEDKTSGRYMMSWQDVYSVAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLILGQAKVVRYYPNYERTLNAAKTIMKEKMFVQNKADESIDISKDEKLQDIMDAKTCSDLYKVVGEDFWLATWCNSTAIEGKRLEGTRITLVKMGEHGYDFAIRTPCTPSRWDEYDAELAMAWEAVCNAYCGETYGSTDLDVLENVRDAILRMTYYWYNFMPLSRGSAAVGFIVLLGLLLAANMEFDGKIPKGVQVDWEAIMNFDSSNFVDSIKSWLYPSLKVTTSWKDYPDVASTFATTGSVVAALSSYDDF >Manes.12G112800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31147329:31178387:1 gene:Manes.12G112800.v8.1 transcript:Manes.12G112800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEISERVELAKLCGSRDWSKAIRVLDSLLAKSCTIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYIIKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELIKLAKQDKNNSRENHVTESRSSKNLSESGHNNNSKSSETSKKQISEPEDASDICSKFGNNAERLNGISDKTGGKSPMPVAESEPCMNGKSYESYMNNHKLGDTPKLRTESRDASDICCKSWDNFGMQNDLSEKAEGCKKDDRAMGGAHKHDKLSYDSDFCNNLIKTSDLSSNLPMLSSSSGDTSEVRSKSSNKTEIPNEGGDEAKRNKKFCVTRISKTKSITVDFRLSRGIAQVNEGKYASAISIFDQILREDPTYPEALIGRGTAFAFQRELESAIADFSKAIESNPLAGEAWKRRGQARAALGESVGAIQDLTKALEFEPNSADILHERGIVNFKFKDFDAAVEDLSACVKLDEDNKSAYTYLGLALSSIGEYKKAEEAHLKSIELDRSFLEGWAHLTQFYQDLAISTKALECLQKVLQIDMRFAKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYGEAVKDYDATLDMELDSMEKFVLQCLAFYQKELALYTASKIGSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKRGRLRKQDFAISKQKTALLVAADSIGKKIQYDCPGFLPNRRQHRMAGLAAFEIAQKVSKAWRSLQAEWKHSNKSTAKYGKRTRRRINMPSQNRGGAGCSSSGFSDSSTSYGIAEDKTSGRYMMSWQDVYSVAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLILGQAKVVRYYPNYERTLNAAKTIMKEKMFVQNKADESIDISKDEKLQDIMDAKTCSDLYKVVGEDFWLATWCNSTAIEGKRLEGTRITLVKMGEHGYDFAIRTPCTPSRWDEYDAELAMAWEAVCNAYCGETYGSTDLDVLENVRDAILRMTYYWYNFMPLSRGSAAVGFIVLLGLLLAANMEFDGKIPKGVQVDWEAIMNFDSSNFVDSIKSWLYPSLKVTTSWKDYPDVASTFATTGSVVAALSSYDDF >Manes.12G112800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31147256:31178416:1 gene:Manes.12G112800.v8.1 transcript:Manes.12G112800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEISERVELAKLCGSRDWSKAIRVLDSLLAKSCTIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYIIKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELIKLAKQDKNNSRENHVTESRSSKNLSESGHNNNSKSSETSKKQISEPEDASDICSKFGNNAERLNGISDKTGGKSPMPVAESEPCMNGKSYESYMNNHKLGDTPKLRTESRDASDICCKSWDNFGMQNDLSEKAEGCKKDDRAMGGAHKHDKLSYDSDFCNNLIKTSDLSSNLPMLSSSSGDTSEVRSKSSNKTEIPNEGGDEAKRNKKFCVTRISKTKSITVDFRLSRGIAQVNEGKYASAISIFDQILREDPTYPEALIGRGTAFAFQRELESAIADFSKAIESNPLAGEAWKRRGQARAALGESVGAIQDLTKALEFEPNSADILHERGIVNFKFKDFDAAVEDLSACVKLDEDNKSAYTYLGLALSSIGEYKKAEEAHLKSIELDRSFLEGWAHLTQFYQDLAISTKALECLQKVLQIDMRFAKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYGEAVKDYDATLDMELDSMEKFVLQCLAFYQKELALYTASKIGSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKRGRLRKQDFAISKQKTALLVAADSIGKKIQYDCPGFLPNRRQHRMAGLAAFEIAQKVSKAWRSLQAEWKHSNKSTAKYGKRTRRRINMPSQNRGGAGCSSSGFSDSSTSYGIAEDKTSGRYMMSWQDVYSVAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLILGQAKVVRYYPNYERTLNAAKTIMKEKMFVQNKADESIDISKDEKLQDIMDAKTCSDLYKVVGEDFWLATWCNSTAIEGKRLEGTRITLVKMGEHGYDFAIRTPCTPSRWDEYDAELAMAWEAVCNAYCGETYGSTDLDVLENVRDAILRMTYYWYNFMPLSRGSAAVGFIVLLGLLLAANMEFDGKIPKGVQVDWEAIMNFDSSNFVDSIKSWLYPSLKVTTSWKDYPDVASTFATTGSVVAALSSYDDF >Manes.12G112800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31147329:31178387:1 gene:Manes.12G112800.v8.1 transcript:Manes.12G112800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEISERVELAKLCGSRDWSKAIRVLDSLLAKSCTIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYIIKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELIKLAKQDKNNSRENHVTESRSSKNLSESGHNNNSKSSETSKKQISEPEDASDICSKFGNNAERLNGISDKTGGKSPMPVAESEPCMNGKSYESYMNNHKLGDTPKLRTESRDASDICCKSWDNFGMQNDLSEKAEGCKKDDRAMGGAHKHDKLSYDSDFCNNLIKTSDLSSNLPMLSSSSGDTSEVRSKSSNKTEIPNEGGDEAKRNKKFCVTRISKTKSITVDFRLSRGIAQVNEGKYASAISIFDQILREDPTYPEALIGRGTAFAFQRELESAIADFSKAIESNPLAGEAWKRRGQARAALGESVGQAIQDLTKALEFEPNSADILHERGIVNFKFKDFDAAVEDLSACVKLDEDNKSAYTYLGLALSSIGEYKKAEEAHLKSIELDRSFLEGWAHLTQFYQDLAISTKALECLQKVLQIDMRFAKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYGEAVKDYDATLDMELDSMEKFVLQCLAFYQKELALYTASKIGSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKRGRLRKQDFAISKQKTALLVAADSIGKKIQYDCPGFLPNRRQHRMAGLAAFEIAQKVSKAWRSLQAEWKHSNKSTAKYGKRTRRRINMPSQNRGGAGCSSSGFSDSSTSYGIAEDKTSGRYMMSWQDVYSVAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLILGQAKVVRYYPNYERTLNAAKTIMKEKMFVQNKADESIDISKDEKLQDIMDAKTCSDLYKVVGEDFWLATWCNSTAIEGKRLEGTRITLVKMGEHGYDFAIRTPCTPSRWDEYDAELAMAWEAVCNAYCGETYGSTDLDVLENVRDAILRMTYYWYNFMPLSRGSAAVGFIVLLGLLLAANMEFDGKIPKGVQVDWEAIMNFDSSNFVDSIKSWLYPSLKVTTSWKDYPDVASTFATTGSVVAALSSYDDF >Manes.12G112800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31147540:31160281:1 gene:Manes.12G112800.v8.1 transcript:Manes.12G112800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEISERVELAKLCGSRDWSKAIRVLDSLLAKSCTIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYIIKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELIKLAKQDKNNSRENHVTESRSSKNLSESGHNNNSKSSETSKKQISEPEDASDICSKFGNNAERLNGISDKTGGKSPMPVAESEPCMNGKSYESYMNNHKLGDTPKLRTESRDASDICCKSWDNFGMQNDLSEKAEGCKKDDRAMGGAHKHDKLSYDSDFCNNLIKTSDLSSNLPMLSSSSGDTSEVRSKSSNKTEIPNEGGDEAKRNKKFCVTRISKTKSITVDFRLSRGIAQVNEGKYASAISIFDQILREDPTYPEALIGRGTAFAFQRELESAIADFSKAIESNPLAGEAWKRRGQARAALGESVGQAIQDLTKALEFEPNSADILHERGIVNFKFKDFDAAVEDLSACVKLDEDNKSAYTYLGLALSSIGEYKKAEEAHLKSIELDRSFLEGWAHLTQFYQDLAISTKALECLQKVLQIDMRFAKAYHLRGLLLHGMGEHRKAIKDLSIGLSIENSNIECLYLRASCYHAIGEYGEAVKDYDATLDMELDSMEKFVLQCLAFYQKELALYTASKIGSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVFRQPPLRDSLKRGRLRKQDFAISKQKTALLVAADSIGKKIQYDCPGFLPNRRQHRMAGLAAFEIAQKVSKAWRSLQAEWKHSNKSTAKYGKRTRRRINMPSQNRGGAGCSSSGFSDSSTSYGIAEDKTSGRYMMSWQDVYSVAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPLILGQAKVVRYYPNYERTLNAAKTIMKEKMFVQNKADESIDISKDEKLQDIMDAKTCSDLYKVVGEDFWLATWCNSTAIEGKRLEGTRITLVKMGEHGYDFAIRTPCTPSRWDEYDAELAMAWEVSW >Manes.02G165200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRGIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLVLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLVLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12951182:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEEEKTVFDMEAASALVKELRDTCASGKTRSYEWRVTQLKCLVKLCDENEKEIADALRQDLSKPEFESIVYEIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVTTNTSTSVNFLCLCSLLGCNYRENDTCSILQR >Manes.02G165200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLVLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLVLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVHAFLCACVCVCFYKFVVQIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEEEKTVFDMEAASALVKELRDTCASGKTRSYEWRVTQLKCLVKLCDENEKEIADALRQDLSKPEFESIVYEIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEEEKTVFDMEAASALVKELRDTCASGKTRSYEWRVTQLKCLVKLCDENEKEIADALRQDLSKPEFESIVYEIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLVLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLVLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.02G165200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12945621:12953427:1 gene:Manes.02G165200.v8.1 transcript:Manes.02G165200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMLKNSCRVALKELKHWIVPEKANTPLAVFPASAGILSEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLAKLVMKYLDNSSIRVIEGSVAETSALLEQKWDKIFYTGNGRVARIVMTAAAKHLTPVLLELGGKSPVVIDAGINIQVATRRIIAGKWGCNNGQACISPDYIITTKDYASKLVDTLKIELERFYGKNPLESKGLSRIVNSNHFARLTKLLDEDKVSGKIVYGGERDKENLKIAPTILLDVPLDSLIMNEEIFGPLLPIILVNKIEESFDLINAGSKPLAAYLFTNNKKLKEHFVMSVSAGGIVINDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAGDVAIRYPPYTPGKLRIMKALIVSGKRNIIRAVLGWGKV >Manes.07G043702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5095829:5097630:1 gene:Manes.07G043702.v8.1 transcript:Manes.07G043702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGGSGYIASWLIKFLLQRVYTVKATVRNPNDPKKTAHLLALEGAKERLHLLKADLLEEGSFDAAVDGCEAVFHTASPVSLQANVDPQLWYQLGKTLAEQAAWEFAKNNRMTFVAMHPVFVLGPL >Manes.11G034200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3293041:3301234:-1 gene:Manes.11G034200.v8.1 transcript:Manes.11G034200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMDGMERVARASSRRASHSISRSISRNLSRSVEDVFSGGRYSRRSSRHAEEDEEALKWAAIEKLPTYDRLRTTIMKSFVDNELQGTKMVHKEVDVGKLDINDRQMFIDMLFKVAEEDNEKYLRKFRRRIDKVGITLPAVEVRFEHLTVEADCQIGSRALPTLPNVARNLAESAVGMLGINMAKRTKLTILKDASGVLKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKISGDITYNGYKLNEFVPRKTSAYISQNDVHVGVMTVKETLDFSARCQGVGTRYDLLSELARREKEAGIFPEAEVDLFMKATAMKGAENNLFTDYTLKLLGLDICKDTIVGDEMLRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCMQQIAHLTEATVLMSLLQPAPETFDLFDDVILLSEGRIVYQGPRQHILEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWAEKNKPYRYVSVPEFAERFKRFHVGMQLENELSVPFDKSQGHKAALAFSKYSVPKKDLLKACWDKEWLLIKRNSVVFISKTVQIIIVAIIASTVFIKPRMHTRDEADGAIYVGALLFTMIINMFNGFAELTLMISRLPVFYKQRDLLFHPPWTFTLPTFLLTLPMSIIESVVWVCITYYSIGFAPEASRFFKHLLLVFLTQQMAAGLFRLIAGVCRTMIIANTGGVLILLLIFLLGGFIIPKGQIPNWWEWAYWASPLSYGYNAYTVNEFYAPRWMNKMASDGRTNLGVAVLESFDVFRNKNWYWIGAGALLGFAVLFNVLFTFALMYLAPPGKKQAIISEESAQEMEGEEGSKGQPRLRMSKSNTRSLSSADGNNTREMAIRRMTSRSNPNGLSRNADSSLEAANGVAPKRGMVLPFTPLAMSFNSVNYYVDMPAEMKQQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFTKKQETFARVSGYCEQNDIHSPQVTVRESLIYSAFLRLPKEVSKEEKMIFVDEVMELVELDNLKDALVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEEIPGVPKIKEKYNPATWMLEVSSVAAEVRLGIDFAEHYKSSSLFQRNKALVNELSTPPAGAKDLYFASQYSQSPWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLAAALMVGTIFWKVGTKKDTSNDLSMIIGAMYAAVLFIGINNCSTVQPIISVERTVFYRERAAGMYSALPYALAQVICEIPYVLVQTTYYTLIVYAMVAFEWTAAKFFCFFFISFFSFLYFTYYGMMTVSVTPNLQVASIFAATFYALFNLFSGFFIPRPRIPKWWIWYYWICPVAWTVYGLIVSQYRDAEDELIVPGLSPNPSIKSYIQDHYGYDPDFMGPVAAVLVGFTVFFAFVYAFAIRTLNFQTR >Manes.11G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3293041:3301234:-1 gene:Manes.11G034200.v8.1 transcript:Manes.11G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMDGMERVARASSRRASHSISRSISRNLSRSVEDVFSGGRYSRRSSRHAEEDEEALKWAAIEKLPTYDRLRTTIMKSFVDNELQGTKMVHKEVDVGKLDINDRQMFIDMLFKVAEEDNEKYLRKFRRRIDKVGITLPAVEVRFEHLTVEADCQIGSRALPTLPNVARNLAESAVGMLGINMAKRTKLTILKDASGVLKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKISGDITYNGYKLNEFVPRKTSAYISQNDVHVGVMTVKETLDFSARCQGVGTRYDLLSELARREKEAGIFPEAEVDLFMKATAMKGAENNLFTDYTLKLLGLDICKDTIVGDEMLRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCMQQIAHLTEATVLMSLLQPAPETFDLFDDVILLSEGRIVYQGPRQHILEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWAEKNKPYRYVSVPEFAERFKRFHVGMQLENELSVPFDKSQGHKAALAFSKYSVPKKDLLKACWDKEWLLIKRNSVVFISKTVQIIIVAIIASTVFIKPRMHTRDEADGAIYVGALLFTMIINMFNGFAELTLMISRLPVFYKQRDLLFHPPWTFTLPTFLLTLPMSIIESVVWVCITYYSIGFAPEASRFFKHLLLVFLTQQMAAGLFRLIAGVCRTMIIANTGGVLILLLIFLLGGFIIPKGQIPNWWEWAYWASPLSYGYNAYTVNEFYAPRWMNKMASDGRTNLGVAVLESFDVFRNKNWYWIGAGALLGFAVLFNVLFTFALMYLAPPGKKQAIISEESAQEMEGEEGSKGQPRLRMSKSNTRSLSSADGNNTREMAIRRMTSRSNPNGLSRNADSSLEAANGVAPKRGMVLPFTPLAMSFNSVNYYVDMPAEMKQQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFTKKQETFARVSGYCEQNDIHSPQVTVRESLIYSAFLRLPKEVSKEEKMIFVDEVMELVELDNLKDALVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEEIPGVPKIKEKYNPATWMLEVSSVAAEVRLGIDFAEHYKSSSLFQRNKALVNELSTPPAGAKDLYFASQYSQSPWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLAAALMVGTIFWKVGTKKDTSNDLSMIIGAMYAAVLFIGINNCSTVQPIISVERTVFYRERAAGMYSALPYALAQVICEIPYVLVQTTYYTLIVYAMVAFEWTAAKFFCFFFISFFSFLYFTYYGMMTVSVTPNLQVASIFAATFYALFNLFSGFFIPRPRIPKWWIWYYWICPVAWTVYGLIVSQYRDAEDELIVPGLSPNPSIKSYIQDHYGYDPDFMGPVAAVLVGFTVFFAFVYAFAIRTLNFQTR >Manes.13G059800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6951525:6958783:1 gene:Manes.13G059800.v8.1 transcript:Manes.13G059800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNAQPALIRCAEEKISLCQNCDWMVHGSSSSSTNHKRQTINCYSGCPSASELASLWSFVLDLTSAGESTCERELGLMSIIENSCSREWGPPENTVNQNVSGTVAVDEADVAKSSVYGGTSSMPEFISGPNNPEQPVGSANAPLPKFCCPGTKGPALCEDDDLYEDFNMDEMDLNLENYEELFGVTLSHSEELLENGGINSLFGTKDISAADSNCQGAVAAEGSSVGLVNAMQPACSNAASADSMLSAKTEPILCFTTRQGHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCPESSCPSSNRCNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPLSQTRSY >Manes.13G059800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6952448:6958784:1 gene:Manes.13G059800.v8.1 transcript:Manes.13G059800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNAQPALIRCAEEKISLCQNCDWMVHGSSSSSTNHKRQTINCYSGCPSASELASLWSFVLDLTSAGESTCERELGLMSIIENSCSREWGPPENTVNQNVSGTVAVDEADVAKSSVYGGTSSMPEFISGPNNPEQPVGSANAPLPKFCCPGTKGPALCEDDDLYEDFNMDEMDLNLENYEELFGVTLSHSEELLENGGINSLFGTKDISAADSNCQGAVAAEGSSVGLVNAMQPACSNAASADSMLSAKTEPILCFTTRQGHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCPESSCPSSNRCNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPLSQTRSY >Manes.13G059800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6951525:6958783:1 gene:Manes.13G059800.v8.1 transcript:Manes.13G059800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNAQPALIRCAEEKISLCQNCDWMVHGSSSSSTNHKRQTINCYSGCPSASELASLWSFVLDLTSAGESTCERELGLMSIIENSCSREWGPPENTVNQNVSGTVAVDEADVAKSSVYGGTSSMPEFISGPNNPEQPVGSANAPLPKFCCPGTKGPALCEDDDLYEDFNMDEMDLNLENYEELFGVTLSHSEELLENGGINSLFGTKDISAADSNCQGAVAAEGSSVGLVNAMQPACSNAASADSMLSAKTEPILCFTTRQGHSSLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCPESSCPSSNRCNAVMRYKEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPLSQTRSY >Manes.01G061125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25760443:25761286:1 gene:Manes.01G061125.v8.1 transcript:Manes.01G061125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYLCCFTNEHPTKWLDYSIRANLLKAQQKMKASYDKHHNELAFQVSDKVLLCLQPSRQASLSNRRHQKLLARFYGPFTILRRIGNLAYELNLPATSKLQPIFHVSLLEPYHEGHNSANPHLPPAPHALQTRALGSLTTLLVHWQHSSPANASWEPMNQFKLQFPYFALRDKCISKSGSTVSKPLQQYQRFSHGKKKQHQAPSQDSFS >Manes.02G170334.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13474232:13475255:1 gene:Manes.02G170334.v8.1 transcript:Manes.02G170334.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAQLSTVVQGTWGYLDPEYLRTNQLTDKSDVYSFGVILVELLTSMKALCFDRPEEERSLAMFFLSSMKGGKLFEVVDCRVINQGTEEQIKEVARLAARCLRLKGEERPSMKEVAMELEGLRMMEVHTWDEENPEETEILLSEKNKEFGHGDSTNASAVYDSIQSHVNLSLGDGR >Manes.03G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18737610:18742123:1 gene:Manes.03G085100.v8.1 transcript:Manes.03G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIERGVQRWIVDISMWDPSPHDFSFALSRLPSHEHASITRFVKMEDRKRALVSRLLQYALVHQVLGIPYDEIVIKRTLEGKPFLECAKGYSKFPNFNFNVSHHGDFVAIASEPVCIVGLDIVHCVKTQKETIPEFIHNFTSYFSSLEWNNIINSGTSDEILVEFYRYWCLKEAYVKAIGSGLVNELDRVEFHHTNWTNIFVKIDGKPMTEWKFWLFQLQKLHWVSVARGPPKAAAESYKRTMSRLEFDEEEYHNGLSLPSVNFVAQNLEQLILVENK >Manes.06G046500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14662141:14681995:-1 gene:Manes.06G046500.v8.1 transcript:Manes.06G046500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPFDLTRKRKFTTYQHDFSRELSLINSHGNSLPRSVDTVSFSSTSESLGPLQVLHQNNASHNGLGGHLHEKSCHGEKNGSNAIKIVDASTVGTTLSATSSCIEQNENDRPSNNDVHSNNGSLVTGFIDNVGVNYRGQGVVSVPIMKKTGADMDKSRHSLEEMISQRNENNSANNVLKDGKGNEINGIGVVSSESLDGKSKSHARKLAPIVAEKVWDGSLQLNSSVTVSAIASFKSGEKMPACKWPNVVEVKGKVRLEAFEKYIQDLSRSRNRGLMVISLHCKGESSKSGLAGMKEVAKGYKKGDRVGFAQFCQGIDLYVCPHSDSIITVLAKHGFFKGMTAVEDNRDSLIGCVVWRRNRVSADSDSVVKKSERMKHSLADQPLNSSSVSSRQRVDEKGIPQTKPGQTLQVEAPVMRRPQGKPKPTMEFHRAILQLPSDTVKGPFSAPDDDDLPEFDFGAACGISPAPASKMLDAATIEKKLPAENYMKINRTLLSMVPTMQSIPASNQRGHGDFGLGRLPHDAIQRMHLQKEVCEYDKTIALPNLEEKWATKTSLPVSTPDILRSKNLFDDGDDMPEWCPPNAKRHRHVVPETAMPSRAILSSQISNSTSESFPRGPASHFFSPPCPGAYLPSFSQKFPLIIGQNVKPVSPRPCNKDMLQGHNPFMGFSSNPLLRPPLHPFDAELPVRPDGRSSWRP >Manes.06G046500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14662141:14681995:-1 gene:Manes.06G046500.v8.1 transcript:Manes.06G046500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPFDLTRKRKFTTYQHDFSRELSLINSHGNSLPRSVDTVSFSSTSESLGPLQVLHQNNASHNGLGGHLHEKSCHGEKNGSNAIKIVDASTVGTTLSATSSCIEQNENDRPSNNDVHSNNGSLVTGFIDNVGVNYRGQGVVSVPIMKKTGADMDKSRHSLEEMISQRNENNSANNVLKDGKGNEINGIGVVSSESLDGKSKSHARKLAPIVAEKVWDGSLQLNSSVTVSAIASFKSGEKMPACKWPNVVEVKGKVRLEAFEKYIQDLSRSRNRGLMVISLHCKGESSKSGLAGMKEVAKGYKKGDRVGFAQFCQGIDLYVCPHSDSIITVLAKHGFFKGMTAVEDNRDSLIGCVVWRRNRVSADSDSVVKKSERMKHSLADQPLNSSSVSSRQRVDEKGIPQTKPGQELIRVKTRTDCKILGSAGNDNVECKLIETSQVQTELLKSSAVASRSLISPLLSNSSSMSKGHQVPSATDTACSSTILGQTLQVEAPVMRRPQGKPKPTMEFHRAILQLPSDTVKGPFSAPDDDDLPEFDFGAACGISPAPASKMLDAATIEKKLPAENYMKINRTLLSMVPTMQSIPASNQRGHGDFGLGRLPHDAIQRMHLQKEVCEYDKTIALPNLEEKWATKTSLPVSTPDILRSKNLFDDGDDMPEWCPPNAKRHRHVVPETAMPSRAILSSQISNSTSESFPRGPASHFFSPPCPGAYLPSFSQKFPLIIGQNVKPVSPRPCNKDMLQGHNPFMGFSSNPLLRPPLHPFDAELPVRPDGRSSWRP >Manes.06G046500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14662141:14682007:-1 gene:Manes.06G046500.v8.1 transcript:Manes.06G046500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPFDLTRKRKFTTYQHDFSRELSLINSHGNSLPRSVDTVSFSSTSESLGPLQVLHQNNASHNGLGGHLHEKSCHGEKNGSNAIKIVDASTVGTTLSATSSCIEQNENDRPSNNDVHSNNGSLVTGFIDNVGVNYRGQGVVSVPIMKKTGADMDKSRHSLEEMISQRNENNSANNVLKDGKGNEINGIGVVSSESLDGKSKSHARKLAPIVAEKVWDGSLQLNSSVTVSAIASFKSGEKMPACKWPNVVEVKGKVRLEAFEKYIQDLSRSRNRGLMVISLHCKGESSKSGLAGMKEVAKGYKKGDRVGFAQFCQGIDLYVCPHSDSIITVLAKHGFFKGMTAVEDNRDSLIGCVVWRRNRVSADSDSVVKKSERMKHSLADQPLNSSSVSSRQRVDEKGIPQTKPGQELIRVKTRTDCKILGSAGNDNVECKLIETSQVQTELLKSSAVASRSLISPLLSNSSSMSKGHQVPSATDTACSSTILGQTLQVEAPVMRRPQGKPKPTMEFHRAILQLPSDTVKGPFSAPDDDDLPEFDFGAACGISPAPASKMLDAATIEKKLPAENYMKINRTLLSMVPTMQSIPASNQRGHGDFGLGRLPHDAIQRMHLQKEVCEYDKTIALPNLEEKWATKTSLPVSTPDILRSKNLFDDGDDMPEWCPPNAKRHRHVVPETAMPSRAILSSQISNSTSESFPRGPASHFFSPPCPGAYLPSFSQKFPLIIGQNVKPVSPRPCNKDMLQGHNPFMGFSSNPLLRPPLHPFDAELPVRPDGRSSWRP >Manes.13G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4666322:4669100:-1 gene:Manes.13G040500.v8.1 transcript:Manes.13G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Manes.02G059900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4646167:4667357:1 gene:Manes.02G059900.v8.1 transcript:Manes.02G059900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLTKLYHSLFEEKLPPTDLFLRIRSDNSIKQALHHIYIILKRALSTIEPADAGHATTSNKEIKLGYQSWTNLQIQSLIALGLVIVSASRSLSVEQAEPMVIAVVQQLLEFAVCYLERSDFSTDDLSIQGNVLLLMELALVDGIDKASDPSHSCSINSLLELLPMDSGDCCNTELESYIKCSLQGVSCSMGEKPVDQLLMTLAYDCVQPEWQAIGFSGSKQDLNSLIFLCQHWAFVHMGCARRLILCFKDLVDLPYVYHEKSAGPEFCRRLSFGLRILKLVRNLMKDIPNVGYDASLLQEVALCADLLPRLFKISCEFANNQAVVEGSSDCLVLLLLEEFLLLVQVVFCNSCAFQNIQVCIVASLLDNLDSSIWRYNKSAANPKPPLVYFPRSVLHILKLIKDLRRQTYQALDLKGFDTVLIGSSTDMKNNYPLCHAHSEKVPLLKKFNIEDLWKIIFAPSTQWMDNLMHLIFFLYCEGVKLRPKVERSHSSSLRTNCPSEVENVVCHDDEALFGNLFSEGGRSLGSTDGYDQPPVTVNSCSGNGNMPMQAASELLSFLKECVFSHDWSPFLFEDGRKRLRENHIDILLSILISQGRCSEDKSADRCAVSLEEKKIGHIYEICFELLQNLLTCHALSGTLEEYLVEKILNVENGAFVYNDQTLTLLSHTLCSRKGLAGSQLRTRLYEGFVGFIVDKSKAVCLKCPSIKEFIGTLPSVFHIEILLMAFHLSLEREKATLANLIFSSLQRIDAPSAGFCATQLSCWALIVSRLILLLRHMMFYPHTCPTSLLLDFRSKLREVPGCTPVLPNIINDQASSWASIAVKVVLSEWVEADPSISALANQLIDVSAVPPLLCRDELAIESLCLSWKDISATFSQILGFWKGRRAAAVEDLIVERYMFLLCVDIPIMSSRSNKLLLWSETQSIDISNMMFFFHFSHSLIANCDDIGKSMELPDVVIGVLQHLCALHMSADVKELGWDFLRVGFWSSLVLSLLNVGISQYSIKNGVPGVSPFWIENTARDNLYVTVAEGLTSCLIEAGQVPLLLRMLSSLLNRYLQVYQEAFLTTHDDGECNAIRLSSLLLLKHSGLEKSLQDEFLKKSDINSYHLESYSDLFSKWDAIVDKRAPTVRCKVLWECMMHGFPSHLQTPSAILLSCILSIRGIIFVLDRLFGLENLRESICKERAVLCQILSSVMIIKFDRIFESIRGKCEAIVRNLSSGSELSDYSDLFLMKHMEGFLREINARDEHDSSTLEWVITKIINTADSLRKDPLKSAIFKFYLGVEDASETVEEFYGLQRGDLLVLLDSLDNCASESVNGKVLSFFVDLLLGDFFPNLKQKVEKKFFEMDVRSLSTWLEKRLLGCVLEASDGAGCAKGNSVFLRETTMNFILSLVSSPSELHATELNRHLFEAVLASLDTAFLLFDVHVAKSYFQFVVQLSRGEYLMKLLLTRTVVLMEKLAVDDRLLPGLKFLFGFFGNVLSDSETCKKTLEKKSGKSLSGSNLGTVSVVLKPIGSRKNSDSVVLSASQEGGSAAVECDATSVDEDEDDGTSDGEVASIDKDDEEDTNSERTLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTGSESAPIRSASNFQSFLPFSEDADQLPESDSDLDEDLSSDTDNSLRLSIPRELQDGVTALLQELDVESRILQLCSSLMPSITSKRGSNLSKDKKIVLGKNKVLSYGADLLHLKKAYKGGSLDLKIKADYPNAKELRSHLASGSLVKSLLSVSSRGRLAVGEGDKVAIFDVGQLIGQATIAPVTADKTNIKPLSRNVVRFEIVHLTFNSVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRQISWVPGSQVKLMVVTNRFIKIYDLSQDNISPLHYITMPDDIIVDATLLMASQGRMFLVVLSEQGSLFRLELSVEGNVGATPLKEIINIQDREINAKGSSLYYSSTYKLLFISYQDGTTLMGQLSLDATYLTEMSFVYEDEQDGNMRPAGLHRWRELLMGSGLFVCFSSVKSNAALAVSMGLDELHAQSMRHAVSSTSHLVGLTAYKPLSKDKIHCLVLHDDGSLQIYSHIPSGSDGGASLTAEKVKKLGSGILNNKAYAGVKPEFPLDFFEKTVCITADVKLGGDAIRNSDSEAAKHSLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVHVGNTSANHIPSDITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFVITIGPTFNGTTLPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGSNSLLGGAGKKCRSVQSAPIQEQVVADGLKLLSRLYSLSRSQEDDSKMEPSELKCKLLLETIFESDREPLLQAAACRVLQSVFPKKERYYQVKDTMRLHGVVKSTSMLSSRLGAGENTGGWMVEEFTAQMRAVSKIALHRRSNLALFLETNGSEVVDGLMQVLWGILEFEQPDTQTMNNIVVSSVELIYCYAECLALHGKDTMGPSVAPAVVLLKKLLFSPNESVQTSSSLAISSRLLQVPFPKQTMLATDDAVDSAVSASGSAEATGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYQVLDADRLPPPHSRDHPMTAIPIEVEPLGGEGNEIHFSTDDANDSNLMPISADVSVQNSTPSIHVLEANESGEFSASVADAVSISASKRAVNSLLLSELLEQLKGWMQTTSGVHAIPVMQLFYRLSSAVGGPFIDSYKPEALDLEKLIRWFLDEIDLKKPFVAKTRSSFGEVAILVFMFFTLMLRNWHQPGSDGSIPKSSGNTELHDKNVIQVSSVASQSSMDGQEKNDFTSQLLRACNTLRNQAFVNYLMDILQQLVNVFKSPNANFDTTHGLNAGFGCGALLTVRRDLPAGNFSPFFSDSYAKAHRVDIFTDYHRLLLENVFRLAYTLVRPEKQDKTGEKDKVYKISSGKDLKLDGYQDVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEMKKLWKHTNKSGGPQNPVPYERSVKIVKCLSTMAEVATARPRNWQKYCSRHGDVLPFLMNAVFYFGEESVIQTLKLLNLAFYSGKDITHSLQKFEAVDSGTSSNKSGAQSLDSKKKKKGEVGMGTESGLEKSYLDMESAVDIFTDKGGDILRQFVDCFLLEWNSSSVRTEAKCVLYGAWHHGKHSFKETMLMTFLQKVKNLPMYGQNIVEFSELVTWLLGKVPDASSKQQTADLVDRCLTPDVIRCIFETLHTQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSKMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLVFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDDDMKRGLAAIESESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSIQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKHSDDSIAASRFVVSRSPNNCYGCATTFVTQCLEMLQVLSKHPNSKKQLVAAGILSELFENNIHQGPKTTRVQARAVLCALSEGDINAITELNSLIQKKVMYCLEHHRSMDIALATREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAIAEHVILPCLRIISQACTPPKPDTVDKEQGVGKSASAAQLKDENNSNTSGPLGGVVSGNKSAQEPSEKNWDASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGAGQRSRTQRHEYLALKYALRWRRRACKTSKGDLSTFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMIDSEDARLFLTVRGCLTTICKLITQEVVSVESFERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMRDNLLSDILEALIVIRGLVVQKTKLISDCNRLLNDLLESLLLESSENKRQFIRACICGLQIHGEERKGRTCLFILEQLCNLICPSKPESVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLIAGNIISLDLSVAQVYEQVWKKSNGQASSAMANSTLLSSSGMTSARDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREFGGFEILLGMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLNLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESHNISITQSALTVTNEETGTGEQAKKIVLMFLERLCHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPYLQNWRDFDQLQKQHQDNQRDDNIAQKAAEQRFTVENFVRVSESLKTSSCGERLKDIILEKGIIDVAIKHLRESFAVAGQAGSKSSAEWSSGLKLPSVPHILSMLRGLSMGHFATQVCIDEGGILPLLHALEGVSGENEIGARAENLLDTLSNKEGKGDGFLEEKVRKLRHATRDEMRRRALRRREELLQGLGMRQERERIVVAQPILEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALRNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYNRYVEQYWDNLNALGRADGSRLRLLSYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLEGSSSQRHSMAKAVSSYISSSYLDSRSAPGAQPAPGTEETVQFMMVNSLLSESYESWLQHRSSFLQRGIYHAYMQHTHGRSTARASSTSTGMVRMESASISPMTEMADADELLPIIRPMLVYTGLIEQLQRFFKFKKSPHMPTIRAEGTSTGSEGEDESGSLEGWEVVMKERLLNVREMVGFSKELLSWLDEMSSATDLQEAFDIIGVLADVLANGISRCEDFVHAAVNAGKS >Manes.02G059900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4646167:4667357:1 gene:Manes.02G059900.v8.1 transcript:Manes.02G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLTKLYHSLFEEKLPPTDLFLRIRSDNSIKQALHHIYIILKRALSTIEPADAGHATTSNKEIKLGYQSWTNLQIQSLIALGLVIVSASRSLSVEQAEPMVIAVVQQLLEFAVCYLERSDFSTDDLSIQGNVLLLMELALVDGIDKASDPSHSCSINSLLELLPMDSGDCCNTELESYIKCSLQEGVSCSMGEKPVDQLLMTLAYDCVQPEWQAIGFSGSKQDLNSLIFLCQHWAFVHMGCARRLILCFKDLVDLPYVYHEKSAGPEFCRRLSFGLRILKLVRNLMKDIPNVGYDASLLQEVALCADLLPRLFKISCEFANNQAVVEGSSDCLVLLLLEEFLLLVQVVFCNSCAFQNIQVCIVASLLDNLDSSIWRYNKSAANPKPPLVYFPRSVLHILKLIKDLRRQTYQALDLKGFDTVLIGSSTDMKNNYPLCHAHSEKVPLLKKFNIEDLWKIIFAPSTQWMDNLMHLIFFLYCEGVKLRPKVERSHSSSLRTNCPSEVENVVCHDDEALFGNLFSEGGRSLGSTDGYDQPPVTVNSCSGNGNMPMQAASELLSFLKECVFSHDWSPFLFEDGRKRLRENHIDILLSILISQGRCSEDKSADRCAVSLEEKKIGHIYEICFELLQNLLTCHALSGTLEEYLVEKILNVENGAFVYNDQTLTLLSHTLCSRKGLAGSQLRTRLYEGFVGFIVDKSKAVCLKCPSIKEFIGTLPSVFHIEILLMAFHLSLEREKATLANLIFSSLQRIDAPSAGFCATQLSCWALIVSRLILLLRHMMFYPHTCPTSLLLDFRSKLREVPGCTPVLPNIINDQASSWASIAVKVVLSEWVEADPSISALANQLIDVSAVPPLLCRDELAIESLCLSWKDISATFSQILGFWKGRRAAAVEDLIVERYMFLLCVDIPIMSSRSNKLLLWSETQSIDISNMMFFFHFSHSLIANCDDIGKSMELPDVVIGVLQHLCALHMSADVKELGWDFLRVGFWSSLVLSLLNVGISQYSIKNGVPGVSPFWIENTARDNLYVTVAEGLTSCLIEAGQVPLLLRMLSSLLNRYLQVYQEAFLTTHDDGECNAIRLSSLLLLKHSGLEKSLQDEFLKKSDINSYHLESYSDLFSKWDAIVDKRAPTVRCKVLWECMMHGFPSHLQTPSAILLSCILSIRGIIFVLDRLFGLENLRESICKERAVLCQILSSVMIIKFDRIFESIRGKCEAIVRNLSSGSELSDYSDLFLMKHMEGFLREINARDEHDSSTLEWVITKIINTADSLRKDPLKSAIFKFYLGVEDASETVEEFYGLQRGDLLVLLDSLDNCASESVNGKVLSFFVDLLLGDFFPNLKQKVEKKFFEMDVRSLSTWLEKRLLGCVLEASDGAGCAKGNSVFLRETTMNFILSLVSSPSELHATELNRHLFEAVLASLDTAFLLFDVHVAKSYFQFVVQLSRGEYLMKLLLTRTVVLMEKLAVDDRLLPGLKFLFGFFGNVLSDSETCKKTLEKKSGKSLSGSNLGTVSVVLKPIGSRKNSDSVVLSASQEGGSAAVECDATSVDEDEDDGTSDGEVASIDKDDEEDTNSERTLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTGSESAPIRSASNFQSFLPFSEDADQLPESDSDLDEDLSSDTDNSLRLSIPRELQDGVTALLQELDVESRILQLCSSLMPSITSKRGSNLSKDKKIVLGKNKVLSYGADLLHLKKAYKGGSLDLKIKADYPNAKELRSHLASGSLVKSLLSVSSRGRLAVGEGDKVAIFDVGQLIGQATIAPVTADKTNIKPLSRNVVRFEIVHLTFNSVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRQISWVPGSQVKLMVVTNRFIKIYDLSQDNISPLHYITMPDDIIVDATLLMASQGRMFLVVLSEQGSLFRLELSVEGNVGATPLKEIINIQDREINAKGSSLYYSSTYKLLFISYQDGTTLMGQLSLDATYLTEMSFVYEDEQDGNMRPAGLHRWRELLMGSGLFVCFSSVKSNAALAVSMGLDELHAQSMRHAVSSTSHLVGLTAYKPLSKDKIHCLVLHDDGSLQIYSHIPSGSDGGASLTAEKVKKLGSGILNNKAYAGVKPEFPLDFFEKTVCITADVKLGGDAIRNSDSEAAKHSLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVHVGNTSANHIPSDITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFVITIGPTFNGTTLPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGSNSLLGGAGKKCRSVQSAPIQEQVVADGLKLLSRLYSLSRSQEDDSKMEPSELKCKLLLETIFESDREPLLQAAACRVLQSVFPKKERYYQVKDTMRLHGVVKSTSMLSSRLGAGENTGGWMVEEFTAQMRAVSKIALHRRSNLALFLETNGSEVVDGLMQVLWGILEFEQPDTQTMNNIVVSSVELIYCYAECLALHGKDTMGPSVAPAVVLLKKLLFSPNESVQTSSSLAISSRLLQVPFPKQTMLATDDAVDSAVSASGSAEATGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYQVLDADRLPPPHSRDHPMTAIPIEVEPLGGEGNEIHFSTDDANDSNLMPISADVSVQNSTPSIHVLEANESGEFSASVADAVSISASKRAVNSLLLSELLEQLKGWMQTTSGVHAIPVMQLFYRLSSAVGGPFIDSYKPEALDLEKLIRWFLDEIDLKKPFVAKTRSSFGEVAILVFMFFTLMLRNWHQPGSDGSIPKSSGNTELHDKNVIQVSSVASQSSMDGQEKNDFTSQLLRACNTLRNQAFVNYLMDILQQLVNVFKSPNANFDTTHGLNAGFGCGALLTVRRDLPAGNFSPFFSDSYAKAHRVDIFTDYHRLLLENVFRLAYTLVRPEKQDKTGEKDKVYKISSGKDLKLDGYQDVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEMKKLWKHTNKSGGPQNPVPYERSVKIVKCLSTMAEVATARPRNWQKYCSRHGDVLPFLMNAVFYFGEESVIQTLKLLNLAFYSGKDITHSLQKFEAVDSGTSSNKSGAQSLDSKKKKKGEVGMGTESGLEKSYLDMESAVDIFTDKGGDILRQFVDCFLLEWNSSSVRTEAKCVLYGAWHHGKHSFKETMLMTFLQKVKNLPMYGQNIVEFSELVTWLLGKVPDASSKQQTADLVDRCLTPDVIRCIFETLHTQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSKMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLVFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDDDMKRGLAAIESESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSIQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKHSDDSIAASRFVVSRSPNNCYGCATTFVTQCLEMLQVLSKHPNSKKQLVAAGILSELFENNIHQGPKTTRVQARAVLCALSEGDINAITELNSLIQKKVMYCLEHHRSMDIALATREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAIAEHVILPCLRIISQACTPPKPDTVDKEQGVGKSASAAQLKDENNSNTSGPLGGVVSGNKSAQEPSEKNWDASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGAGQRSRTQRHEYLALKYALRWRRRACKTSKGDLSTFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMIDSEDARLFLTVRGCLTTICKLITQEVVSVESFERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMRDNLLSDILEALIVIRGLVVQKTKLISDCNRLLNDLLESLLLESSENKRQFIRACICGLQIHGEERKGRTCLFILEQLCNLICPSKPESVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLIAGNIISLDLSVAQVYEQVWKKSNGQASSAMANSTLLSSSGMTSARDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREFGGFEILLGMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLNLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESHNISITQSALTVTNEETGTGEQAKKIVLMFLERLCHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPYLQNWRDFDQLQKQHQDNQRDDNIAQKAAEQRFTVENFVRVSESLKTSSCGERLKDIILEKGIIDVAIKHLRESFAVAGQAGSKSSAEWSSGLKLPSVPHILSMLRGLSMGHFATQVCIDEGGILPLLHALEGVSGENEIGARAENLLDTLSNKEGKGDGFLEEKVRKLRHATRDEMRRRALRRREELLQGLGMRQERERIVVAQPILEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALRNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYNRYVEQYWDNLNALGRADGSRLRLLSYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLEGSSSQRHSMAKAVSSYISSSYLDSRSAPGAQPAPGTEETVQFMMVNSLLSESYESWLQHRSSFLQRGIYHAYMQHTHGRSTARASSTSTGMVRMESASISPMTEMADADELLPIIRPMLVYTGLIEQLQRFFKFKKSPHMPTIRAEGTSTGSEGEDESGSLEGWEVVMKERLLNVREMVGFSKELLSWLDEMSSATDLQEAFDIIGVLADVLANGISRCEDFVHAAVNAGKS >Manes.02G059900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4646167:4667357:1 gene:Manes.02G059900.v8.1 transcript:Manes.02G059900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVVQQLLEFAVCYLERSDFSTDDLSIQGNVLLLMELALVDGIDKASDPSHSCSINSLLELLPMDSGDCCNTELESYIKCSLQGVSCSMGEKPVDQLLMTLAYDCVQPEWQAIGFSGSKQDLNSLIFLCQHWAFVHMGCARRLILCFKDLVDLPYVYHEKSAGPEFCRRLSFGLRILKLVRNLMKDIPNVGYDASLLQEVALCADLLPRLFKISCEFANNQAVVEGSSDCLVLLLLEEFLLLVQVVFCNSCAFQNIQVCIVASLLDNLDSSIWRYNKSAANPKPPLVYFPRSVLHILKLIKDLRRQTYQALDLKGFDTVLIGSSTDMKNNYPLCHAHSEKVPLLKKFNIEDLWKIIFAPSTQWMDNLMHLIFFLYCEGVKLRPKVERSHSSSLRTNCPSEVENVVCHDDEALFGNLFSEGGRSLGSTDGYDQPPVTVNSCSGNGNMPMQAASELLSFLKECVFSHDWSPFLFEDGRKRLRENHIDILLSILISQGRCSEDKSADRCAVSLEEKKIGHIYEICFELLQNLLTCHALSGTLEEYLVEKILNVENGAFVYNDQTLTLLSHTLCSRKGLAGSQLRTRLYEGFVGFIVDKSKAVCLKCPSIKEFIGTLPSVFHIEILLMAFHLSLEREKATLANLIFSSLQRIDAPSAGFCATQLSCWALIVSRLILLLRHMMFYPHTCPTSLLLDFRSKLREVPGCTPVLPNIINDQASSWASIAVKVVLSEWVEADPSISALANQLIDVSAVPPLLCRDELAIESLCLSWKDISATFSQILGFWKGRRAAAVEDLIVERYMFLLCVDIPIMSSRSNKLLLWSETQSIDISNMMFFFHFSHSLIANCDDIGKSMELPDVVIGVLQHLCALHMSADVKELGWDFLRVGFWSSLVLSLLNVGISQYSIKNGVPGVSPFWIENTARDNLYVTVAEGLTSCLIEAGQVPLLLRMLSSLLNRYLQVYQEAFLTTHDDGECNAIRLSSLLLLKHSGLEKSLQDEFLKKSDINSYHLESYSDLFSKWDAIVDKRAPTVRCKVLWECMMHGFPSHLQTPSAILLSCILSIRGIIFVLDRLFGLENLRESICKERAVLCQILSSVMIIKFDRIFESIRGKCEAIVRNLSSGSELSDYSDLFLMKHMEGFLREINARDEHDSSTLEWVITKIINTADSLRKDPLKSAIFKFYLGVEDASETVEEFYGLQRGDLLVLLDSLDNCASESVNGKVLSFFVDLLLGDFFPNLKQKVEKKFFEMDVRSLSTWLEKRLLGCVLEASDGAGCAKGNSVFLRETTMNFILSLVSSPSELHATELNRHLFEAVLASLDTAFLLFDVHVAKSYFQFVVQLSRGEYLMKLLLTRTVVLMEKLAVDDRLLPGLKFLFGFFGNVLSDSETCKKTLEKKSGKSLSGSNLGTVSVVLKPIGSRKNSDSVVLSASQEGGSAAVECDATSVDEDEDDGTSDGEVASIDKDDEEDTNSERTLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTGSESAPIRSASNFQSFLPFSEDADQLPESDSDLDEDLSSDTDNSLRLSIPRELQDGVTALLQELDVESRILQLCSSLMPSITSKRGSNLSKDKKIVLGKNKVLSYGADLLHLKKAYKGGSLDLKIKADYPNAKELRSHLASGSLVKSLLSVSSRGRLAVGEGDKVAIFDVGQLIGQATIAPVTADKTNIKPLSRNVVRFEIVHLTFNSVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRQISWVPGSQVKLMVVTNRFIKIYDLSQDNISPLHYITMPDDIIVDATLLMASQGRMFLVVLSEQGSLFRLELSVEGNVGATPLKEIINIQDREINAKGSSLYYSSTYKLLFISYQDGTTLMGQLSLDATYLTEMSFVYEDEQDGNMRPAGLHRWRELLMGSGLFVCFSSVKSNAALAVSMGLDELHAQSMRHAVSSTSHLVGLTAYKPLSKDKIHCLVLHDDGSLQIYSHIPSGSDGGASLTAEKVKKLGSGILNNKAYAGVKPEFPLDFFEKTVCITADVKLGGDAIRNSDSEAAKHSLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVHVGNTSANHIPSDITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFVITIGPTFNGTTLPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGSNSLLGGAGKKCRSVQSAPIQEQVVADGLKLLSRLYSLSRSQEDDSKMEPSELKCKLLLETIFESDREPLLQAAACRVLQSVFPKKERYYQVKDTMRLHGVVKSTSMLSSRLGAGENTGGWMVEEFTAQMRAVSKIALHRRSNLALFLETNGSEVVDGLMQVLWGILEFEQPDTQTMNNIVVSSVELIYCYAECLALHGKDTMGPSVAPAVVLLKKLLFSPNESVQTSSSLAISSRLLQVPFPKQTMLATDDAVDSAVSASGSAEATGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYQVLDADRLPPPHSRDHPMTAIPIEVEPLGGEGNEIHFSTDDANDSNLMPISADVSVQNSTPSIHVLEANESGEFSASVADAVSISASKRAVNSLLLSELLEQLKGWMQTTSGVHAIPVMQLFYRLSSAVGGPFIDSYKPEALDLEKLIRWFLDEIDLKKPFVAKTRSSFGEVAILVFMFFTLMLRNWHQPGSDGSIPKSSGNTELHDKNVIQVSSVASQSSMDGQEKNDFTSQLLRACNTLRNQAFVNYLMDILQQLVNVFKSPNANFDTTHGLNAGFGCGALLTVRRDLPAGNFSPFFSDSYAKAHRVDIFTDYHRLLLENVFRLAYTLVRPEKQDKTGEKDKVYKISSGKDLKLDGYQDVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEMKKLWKHTNKSGGPQNPVPYERSVKIVKCLSTMAEVATARPRNWQKYCSRHGDVLPFLMNAVFYFGEESVIQTLKLLNLAFYSGKDITHSLQKFEAVDSGTSSNKSGAQSLDSKKKKKGEVGMGTESGLEKSYLDMESAVDIFTDKGGDILRQFVDCFLLEWNSSSVRTEAKCVLYGAWHHGKHSFKETMLMTFLQKVKNLPMYGQNIVEFSELVTWLLGKVPDASSKQQTADLVDRCLTPDVIRCIFETLHTQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSKMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLVFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDDDMKRGLAAIESESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSIQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKHSDDSIAASRFVVSRSPNNCYGCATTFVTQCLEMLQVLSKHPNSKKQLVAAGILSELFENNIHQGPKTTRVQARAVLCALSEGDINAITELNSLIQKKVMYCLEHHRSMDIALATREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAIAEHVILPCLRIISQACTPPKPDTVDKEQGVGKSASAAQLKDENNSNTSGPLGGVVSGNKSAQEPSEKNWDASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGAGQRSRTQRHEYLALKYALRWRRRACKTSKGDLSTFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMIDSEDARLFLTVRGCLTTICKLITQEVVSVESFERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMRDNLLSDILEALIVIRGLVVQKTKLISDCNRLLNDLLESLLLESSENKRQFIRACICGLQIHGEERKGRTCLFILEQLCNLICPSKPESVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLIAGNIISLDLSVAQVYEQVWKKSNGQASSAMANSTLLSSSGMTSARDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREFGGFEILLGMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLNLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESHNISITQSALTVTNEETGTGEQAKKIVLMFLERLCHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPYLQNWRDFDQLQKQHQDNQRDDNIAQKAAEQRFTVENFVRVSESLKTSSCGERLKDIILEKGIIDVAIKHLRESFAVAGQAGSKSSAEWSSGLKLPSVPHILSMLRGLSMGHFATQVCIDEGGILPLLHALEGVSGENEIGARAENLLDTLSNKEGKGDGFLEEKVRKLRHATRDEMRRRALRRREELLQGLGMRQERERIVVAQPILEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALRNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYNRYVEQYWDNLNALGRADGSRLRLLSYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLEGSSSQRHSMAKAVSSYISSSYLDSRSAPGAQPAPGTEETVQFMMVNSLLSESYESWLQHRSSFLQRGIYHAYMQHTHGRSTARASSTSTGMVRMESASISPMTEMADADELLPIIRPMLVYTGLIEQLQRFFKFKKSPHMPTIRAEGTSTGSEGEDESGSLEGWEVVMKERLLNVREMVGFSKELLSWLDEMSSATDLQEAFDIIGVLADVLANGISRCEDFVHAAVNAGKS >Manes.02G059900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4646167:4667357:1 gene:Manes.02G059900.v8.1 transcript:Manes.02G059900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVVQQLLEFAVCYLERSDFSTDDLSIQGNVLLLMELALVDGIDKASDPSHSCSINSLLELLPMDSGDCCNTELESYIKCSLQEGVSCSMGEKPVDQLLMTLAYDCVQPEWQAIGFSGSKQDLNSLIFLCQHWAFVHMGCARRLILCFKDLVDLPYVYHEKSAGPEFCRRLSFGLRILKLVRNLMKDIPNVGYDASLLQEVALCADLLPRLFKISCEFANNQAVVEGSSDCLVLLLLEEFLLLVQVVFCNSCAFQNIQVCIVASLLDNLDSSIWRYNKSAANPKPPLVYFPRSVLHILKLIKDLRRQTYQALDLKGFDTVLIGSSTDMKNNYPLCHAHSEKVPLLKKFNIEDLWKIIFAPSTQWMDNLMHLIFFLYCEGVKLRPKVERSHSSSLRTNCPSEVENVVCHDDEALFGNLFSEGGRSLGSTDGYDQPPVTVNSCSGNGNMPMQAASELLSFLKECVFSHDWSPFLFEDGRKRLRENHIDILLSILISQGRCSEDKSADRCAVSLEEKKIGHIYEICFELLQNLLTCHALSGTLEEYLVEKILNVENGAFVYNDQTLTLLSHTLCSRKGLAGSQLRTRLYEGFVGFIVDKSKAVCLKCPSIKEFIGTLPSVFHIEILLMAFHLSLEREKATLANLIFSSLQRIDAPSAGFCATQLSCWALIVSRLILLLRHMMFYPHTCPTSLLLDFRSKLREVPGCTPVLPNIINDQASSWASIAVKVVLSEWVEADPSISALANQLIDVSAVPPLLCRDELAIESLCLSWKDISATFSQILGFWKGRRAAAVEDLIVERYMFLLCVDIPIMSSRSNKLLLWSETQSIDISNMMFFFHFSHSLIANCDDIGKSMELPDVVIGVLQHLCALHMSADVKELGWDFLRVGFWSSLVLSLLNVGISQYSIKNGVPGVSPFWIENTARDNLYVTVAEGLTSCLIEAGQVPLLLRMLSSLLNRYLQVYQEAFLTTHDDGECNAIRLSSLLLLKHSGLEKSLQDEFLKKSDINSYHLESYSDLFSKWDAIVDKRAPTVRCKVLWECMMHGFPSHLQTPSAILLSCILSIRGIIFVLDRLFGLENLRESICKERAVLCQILSSVMIIKFDRIFESIRGKCEAIVRNLSSGSELSDYSDLFLMKHMEGFLREINARDEHDSSTLEWVITKIINTADSLRKDPLKSAIFKFYLGVEDASETVEEFYGLQRGDLLVLLDSLDNCASESVNGKVLSFFVDLLLGDFFPNLKQKVEKKFFEMDVRSLSTWLEKRLLGCVLEASDGAGCAKGNSVFLRETTMNFILSLVSSPSELHATELNRHLFEAVLASLDTAFLLFDVHVAKSYFQFVVQLSRGEYLMKLLLTRTVVLMEKLAVDDRLLPGLKFLFGFFGNVLSDSETCKKTLEKKSGKSLSGSNLGTVSVVLKPIGSRKNSDSVVLSASQEGGSAAVECDATSVDEDEDDGTSDGEVASIDKDDEEDTNSERTLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSNCQCLKPRKFTGSESAPIRSASNFQSFLPFSEDADQLPESDSDLDEDLSSDTDNSLRLSIPRELQDGVTALLQELDVESRILQLCSSLMPSITSKRGSNLSKDKKIVLGKNKVLSYGADLLHLKKAYKGGSLDLKIKADYPNAKELRSHLASGSLVKSLLSVSSRGRLAVGEGDKVAIFDVGQLIGQATIAPVTADKTNIKPLSRNVVRFEIVHLTFNSVVENYLAVAGYEDCQVLTLNPRGEVTDRLAIELALQGAYIRQISWVPGSQVKLMVVTNRFIKIYDLSQDNISPLHYITMPDDIIVDATLLMASQGRMFLVVLSEQGSLFRLELSVEGNVGATPLKEIINIQDREINAKGSSLYYSSTYKLLFISYQDGTTLMGQLSLDATYLTEMSFVYEDEQDGNMRPAGLHRWRELLMGSGLFVCFSSVKSNAALAVSMGLDELHAQSMRHAVSSTSHLVGLTAYKPLSKDKIHCLVLHDDGSLQIYSHIPSGSDGGASLTAEKVKKLGSGILNNKAYAGVKPEFPLDFFEKTVCITADVKLGGDAIRNSDSEAAKHSLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVHVGNTSANHIPSDITIFQRVIKLDEGMRSWYDIPFTVAESLLADEEFVITIGPTFNGTTLPRIDSLEVYGRAKDEFGWKEKMDAVLDIEARVLGSNSLLGGAGKKCRSVQSAPIQEQVVADGLKLLSRLYSLSRSQEDDSKMEPSELKCKLLLETIFESDREPLLQAAACRVLQSVFPKKERYYQVKDTMRLHGVVKSTSMLSSRLGAGENTGGWMVEEFTAQMRAVSKIALHRRSNLALFLETNGSEVVDGLMQVLWGILEFEQPDTQTMNNIVVSSVELIYCYAECLALHGKDTMGPSVAPAVVLLKKLLFSPNESVQTSSSLAISSRLLQVPFPKQTMLATDDAVDSAVSASGSAEATGGNTQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYQVLDADRLPPPHSRDHPMTAIPIEVEPLGGEGNEIHFSTDDANDSNLMPISADVSVQNSTPSIHVLEANESGEFSASVADAVSISASKRAVNSLLLSELLEQLKGWMQTTSGVHAIPVMQLFYRLSSAVGGPFIDSYKPEALDLEKLIRWFLDEIDLKKPFVAKTRSSFGEVAILVFMFFTLMLRNWHQPGSDGSIPKSSGNTELHDKNVIQVSSVASQSSMDGQEKNDFTSQLLRACNTLRNQAFVNYLMDILQQLVNVFKSPNANFDTTHGLNAGFGCGALLTVRRDLPAGNFSPFFSDSYAKAHRVDIFTDYHRLLLENVFRLAYTLVRPEKQDKTGEKDKVYKISSGKDLKLDGYQDVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEMKKLWKHTNKSGGPQNPVPYERSVKIVKCLSTMAEVATARPRNWQKYCSRHGDVLPFLMNAVFYFGEESVIQTLKLLNLAFYSGKDITHSLQKFEAVDSGTSSNKSGAQSLDSKKKKKGEVGMGTESGLEKSYLDMESAVDIFTDKGGDILRQFVDCFLLEWNSSSVRTEAKCVLYGAWHHGKHSFKETMLMTFLQKVKNLPMYGQNIVEFSELVTWLLGKVPDASSKQQTADLVDRCLTPDVIRCIFETLHTQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSKMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLVFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDDDMKRGLAAIESESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSIQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKHSDDSIAASRFVVSRSPNNCYGCATTFVTQCLEMLQVLSKHPNSKKQLVAAGILSELFENNIHQGPKTTRVQARAVLCALSEGDINAITELNSLIQKKVMYCLEHHRSMDIALATREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAIAEHVILPCLRIISQACTPPKPDTVDKEQGVGKSASAAQLKDENNSNTSGPLGGVVSGNKSAQEPSEKNWDASHKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGAGQRSRTQRHEYLALKYALRWRRRACKTSKGDLSTFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMIDSEDARLFLTVRGCLTTICKLITQEVVSVESFERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMRDNLLSDILEALIVIRGLVVQKTKLISDCNRLLNDLLESLLLESSENKRQFIRACICGLQIHGEERKGRTCLFILEQLCNLICPSKPESVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLLGLLEDDYGMELLIAGNIISLDLSVAQVYEQVWKKSNGQASSAMANSTLLSSSGMTSARDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREFGGFEILLGMIQRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLNLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESHNISITQSALTVTNEETGTGEQAKKIVLMFLERLCHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPYLQNWRDFDQLQKQHQDNQRDDNIAQKAAEQRFTVENFVRVSESLKTSSCGERLKDIILEKGIIDVAIKHLRESFAVAGQAGSKSSAEWSSGLKLPSVPHILSMLRGLSMGHFATQVCIDEGGILPLLHALEGVSGENEIGARAENLLDTLSNKEGKGDGFLEEKVRKLRHATRDEMRRRALRRREELLQGLGMRQERERIVVAQPILEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALRNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYNRYVEQYWDNLNALGRADGSRLRLLSYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLEGSSSQRHSMAKAVSSYISSSYLDSRSAPGAQPAPGTEETVQFMMVNSLLSESYESWLQHRSSFLQRGIYHAYMQHTHGRSTARASSTSTGMVRMESASISPMTEMADADELLPIIRPMLVYTGLIEQLQRFFKFKKSPHMPTIRAEGTSTGSEGEDESGSLEGWEVVMKERLLNVREMVGFSKELLSWLDEMSSATDLQEAFDIIGVLADVLANGISRCEDFVHAAVNAGKS >Manes.08G102800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34114497:34117790:-1 gene:Manes.08G102800.v8.1 transcript:Manes.08G102800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVELDFFGMEKQISAEPRFPKFLNRQRSFRDIQSAISKINPQVLKSVIASGSANLQNPENGYQCDSKKLFSVPSTPKEEQTPFPHLPVYSPLQRPAVENPTETAPLTIFYNGTVAVFDVPRDTAESILKLVENGFSKSVESTNQQEVLKNTLDGDLPIARRKSLQRFLEKRKERLTSLSPYACPPDCRL >Manes.08G102800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34114497:34117783:-1 gene:Manes.08G102800.v8.1 transcript:Manes.08G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVELDFFGMEKQISAEPRFPKFLNRQRSFRDIQSAISKINPQVLKSVIASGSANLQNPENGYQCDSKKLFSVPSTPKEEQTPFPHLPVYSPLQRPAVENPTETAPLTIFYNGTVAVFDVPRDTAESILKLVENGFSKSVESTNQQEVLKNTLDGADLPIARRKSLQRFLEKRKERLTSLSPYACPPDCRL >Manes.08G102800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34114497:34117791:-1 gene:Manes.08G102800.v8.1 transcript:Manes.08G102800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVELDFFGMEKQISAEPRFPKFLNRQRSFRDIQSAISKINPQVLKSVIASGSANLQNPENGYQCDSKKLFSVPSTPKEEQTPFPHLPVYSPLQRPAVENPTETAPLTIFYNGTVAVFDVPRDTAESILKLVENGFSKSVESTNQQEVLKNTLDGGEICRLLGESRCRDFWRNARKG >Manes.18G113901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11548029:11551424:-1 gene:Manes.18G113901.v8.1 transcript:Manes.18G113901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLLSLRRLSKLLKPHFQSPPTSYASTFPHSSYLLLLASKVLSQHSNVLSPCFSSCSRSFCSRHLDLNVDSQGPATIDYRSLLQEDDFHRLADSTIHDLQEKFEEYCDSVQIDGLDIDYGNEVLTLKLGDLGTYVLNKQTPNRQLWLSSPVSGPSRFDWDLDAQAWIYRRTKANLLKILESELVQLCGEPIKLA >Manes.13G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5449389:5453715:1 gene:Manes.13G046800.v8.1 transcript:Manes.13G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINKSAQISGNGEHWDWQGEDYCLQKDSNYADGSQCLWKEVALNEEDLSYMLDETTPVKACGDLAYNVNNSDNMQKEPEERKETFSQLKRRRMLQFDTQAVDSPFCNEEMLSVFLSNGRADSLDEVLLQASDWAPGFSDVSASSYEVLDQSSERWLSECLNDTEMQSSPNDMNFAGVSDFQIDISEFCNGPLGNEANMAPKHVAQTPQNVVFKGKKSFIRTPSKLASSIVYPFAFIKPCGFHGDVTLKDINQRIHTPPSKSKQNDEDPAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.13G046800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5449390:5453715:1 gene:Manes.13G046800.v8.1 transcript:Manes.13G046800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETTPVKACGDLAYNVNNSDNMQKEPEERKETFSQLKRRRMLQFDTQAVDSPFCNEEMLSVFLSNGRADSLDEVLLQASDWAPGFSDVSASSYEVLDQSSERWLSECLNDTEMQSSPNDMNFAGVSDFQIDISEFCNGPLGNEANMAPKHVAQTPQNVVFKGKKSFIRTPSKLASSIVYPFAFIKPCGFHGDVTLKDINQRIHTPPSKSKQNDEDPAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.13G046800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5449390:5453715:1 gene:Manes.13G046800.v8.1 transcript:Manes.13G046800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETTPVKACGDLAYNVNNSDNMQKEPEERKETFSQLKRRRMLQFDTQAVDSPFCNEEMLSVFLSNGRADSLDEVLLQASDWAPGFSDVSASSYEVLDQSSERWLSECLNDTEMQSSPNDMNFAGVSDFQIDISEFCNGPLGNEANMAPKHVAQTPQNVVFKGKKSFIRTPSKLASSIVYPFAFIKPCGFHGDVTLKDINQRIHTPPSKSKQNDEDPAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.13G046800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5449390:5453715:1 gene:Manes.13G046800.v8.1 transcript:Manes.13G046800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNDGGNGEHWDWQGEDYCLQKDSNYDGSQCLWKEVALNEEDLSYMLDETTPVKACGDLAYNVNNSDNMQKEPEERKETFSQLKRRRMLQFDTQAVDSPFCNEEMLSVFLSNGRADSLDEVLLQASDWAPGFSDVSASSYEVLDQSSERWLSECLNDTEMQSSPNDMNFAGVSDFQIDISEFCNGPLGNEANMAPKHVAQTPQNVVFKGKKSFIRTPSKLASSIVYPFAFIKPCGFHGDVTLKDINQRIHTPPSKSKQNDEDPAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.13G046800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5450184:5453715:1 gene:Manes.13G046800.v8.1 transcript:Manes.13G046800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETTPVKACGDLAYNVNNSDNMQKEPEERKETFSQLKRRRMLQFDTQAVDSPFCNEEMLSVFLSNGRADSLDEVLLQASDWAPGFSDVSASSYEVLDQSSERWLSECLNDTEMQSSPNDMNFAGVSDFQIDISEFCNGPLGNEANMAPKHVAQTPQNVVFKGKKSFIRTPSKLASSIVYPFAFIKPCGFHGDVTLKDINQRIHTPPSKSKQNDEDPAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.13G046800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5450184:5453715:1 gene:Manes.13G046800.v8.1 transcript:Manes.13G046800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDETTPVKACGDLAYNVNNSDNMQKEPEERKETFSQLKRRRMLQFDTQAVDSPFCNEEMLSVFLSNGRADSLDEVLLQASDWAPGFSDVSASSYEVLDQSSERWLSECLNDTEMQSSPNDMNFAGVSDFQIDISEFCNGPLGNEANMAPKHVAQTPQNVVFKGKKSFIRTPSKLASSIVYPFAFIKPCGFHGDVTLKDINQRIHTPPSKSKQNDEDPAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.13G046800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5449390:5453715:1 gene:Manes.13G046800.v8.1 transcript:Manes.13G046800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNDGGNGEHWDWQGEDYCLQKDSNYADGSQCLWKEVALNEEDLSYMLDETTPVKACGDLAYNVNNSDNMQKEPEERKETFSQLKRRRMLQFDTQAVDSPFCNEEMLSVFLSNGRADSLDEVLLQASDWAPGFSDVSASSYEVLDQSSERWLSECLNDTEMQSSPNDMNFAGVSDFQIDISEFCNGPLGNEANMAPKHVAQTPQNVVFKGKKSFIRTPSKLASSIVYPFAFIKPCGFHGDVTLKDINQRIHTPPSKSKQNDEDPAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.13G046800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5449389:5453715:1 gene:Manes.13G046800.v8.1 transcript:Manes.13G046800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINKSAQISGNGEHWDWQGEDYCLQKDSNYDGSQCLWKEVALNEEDLSYMLDETTPVKACGDLAYNVNNSDNMQKEPEERKETFSQLKRRRMLQFDTQAVDSPFCNEEMLSVFLSNGRADSLDEVLLQASDWAPGFSDVSASSYEVLDQSSERWLSECLNDTEMQSSPNDMNFAGVSDFQIDISEFCNGPLGNEANMAPKHVAQTPQNVVFKGKKSFIRTPSKLASSIVYPFAFIKPCGFHGDVTLKDINQRIHTPPSKSKQNDEDPAAFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.14G060300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5030100:5032059:1 gene:Manes.14G060300.v8.1 transcript:Manes.14G060300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISASATASTTSKLAYPHPHASPSSSITFSLSPSSFLAQPAKLTPKTLLSSSFISPFLSSTSPSSSTAITTSRRSFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAATGNSAPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDIPIISGSALLALEALMANPNIKRGDNEWVDKIYELMDSVDTYIPIPQRQTDLPFLLAIEDVFSITGRGTVATGRVERGTIKVGDTVDIVGLRETRNTTVTGVEMFQKILDDAMAGDNVGLLLRGVQKADIQRGMVLAKPGTITPHTKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTTIMNDKDEESKMVMPGDRVKMIVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >Manes.04G127280.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32973721:32974104:-1 gene:Manes.04G127280.v8.1 transcript:Manes.04G127280.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNYFSLANFLGEGAFGQTYIATLDGENVVIKRLKRTSSENTLQEINYLGFVRHPNLVKVIGHCSDGFNRLLVSEFVSNKTLKYHLYGECFFIEIFIITSCISLTLYPLPYFRVSLPPQKSEPRKL >Manes.02G007650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:925118:930954:-1 gene:Manes.02G007650.v8.1 transcript:Manes.02G007650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGSCSSCVLLRSRDAGSNGASPPHYNGLRPLENAQLQMASPGSKSSGFISTSAQKSRSIRAMGSPNVLPPQREKDPKKRVVITGMGLVSVFGSDVDTFYNKLLEGESGISLIDRFDASTFSVRFAGQIRDFSSKGYIDGKNDRRLDDCWRYCLVAGKRALDDANLGSDVLDKMDKTRIGVLVGTGMGGLTAFSNGVEALIQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVAGGTEAAIMATGVGGFIACRALSQRNEDPKKASRPWDKDRDGFVMGEGAGVLIMESLDHAMKRGANIIAEYLGGAVTCDAHHMTDPRSDGLGVSTCIIKSLEDAKVSPEEVNYVNAHATSTLAGDLAEVNAIKKVFKDTSDLKMNGTKSMIGHGLGAAGGLEAIATIKAITTGWLHPTINQDNLEPDVTIDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >Manes.03G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10155700:10169542:-1 gene:Manes.03G070200.v8.1 transcript:Manes.03G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFPLQNLVVSTTPLSNSWKKHPLSPINGSFPFPKASTCSKSYPNATFPCSLISSPLAKQKASSNVYSLLWASSQDSIRQREEEEEEEDEEYQVLTAVRSKYNDIVIVDTPKARMLLLDSTHNVHSILNKGQKWTGSYWDEFASLPAVIPKGPIAILGLGGGTAAHLMLDLWPSLQLEGWEIDPILIDKARQHFGLSDLEKHNIAGGLLNVHIGDALCPSENDSGRYAGIVIDLFYEGKVLAQLQEVETWLKLSDRLMPNGRFMVNCGGIYEKSDTTNGIIHPKLVDATWAENTTIKALSEAFPGQVSWKRMPETQGANYLALTGPFPDLTLWSCKVPDPLCQTVRQWRPCASASVL >Manes.11G121666.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28284315:28284800:-1 gene:Manes.11G121666.v8.1 transcript:Manes.11G121666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGLANPVTSEAATSKAAIIDCGCTAICFGCPYEINWIQTGRGLIGKYCTCTCNCSLNPFFPSTPSTPQVSITPSTPRVPGVPFVPPTSSPTCAETSVNLGLCWARASVGTVFYNFQLAAGCCDIFTQWSRGCFGGNEEIPRIVSNFVPPALVQYCATHH >Manes.04G047409.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5760536:5771395:-1 gene:Manes.04G047409.v8.1 transcript:Manes.04G047409.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPRVDANNFELKPSLVQMVQQSQFSGNPTESPHLHLSNFMEISILMGKTEDDAYACLDKIAYNNYLWNSERANVKSEAKKPAGMFEIEVMSMINAKFDALARRMDMMKANNVNALNDMSYGSSFGYDNQSWGQEFSAEKINYVNNYRQKSMGMDQKMLENQIAQQASSSSKAQEKLPSVHRVQDRLTTGLGGLKPIWILVPSSLGRYREGTGFRAVTPSQPKNPRECKAVHLRSGKVVGDESEKKSEVEKKEKEDEKEKCVEGEKYERKDDSKEERKDEFIEMKARFLEVFKKLYVNIPFIDALFQMSSYAKFLKDILSNKRLEEYETVALIGDCSALLQNKLPPKLKDSGSFSIPCQIGEINIGKTLCGESVSLLPLPIFEKLKIGDLKPTTISLQLADRSIKYLIGISAWKPLKVDKFFIPIDFVLLKMEEDINILIILGRPFLATARAIIDVKNRRLKLKVGEEDVEFYLFEPKAPNTLSTSSSCLRVDVLENDEISVAFIMLFEDNNYILHSQEQNLEEGIKEQD >Manes.10G133662.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29916209:29918554:1 gene:Manes.10G133662.v8.1 transcript:Manes.10G133662.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAMVDAVVMFPSPAIGHFISMVELGKLILTFQPSLSIHILIVSAPYSAGSTASYIADVAATTPSISFHRLPTITLPSSTNTHYETLIFEVLRLSNPHVHQALLSISKTHKIKAFIMDFFCFFSLSIASQLNIPGYFFFTSGAGCLAASMYFPTLHQTTTKSFKDMNTFLKLPGLPPISSSNFSSAASDRNNKAYEYFLDMAYCFPKSAGVIVNTFAVLEARALKAISDGLCIPDSTTPPVYCTGPLIATNNQTDGDTECLNWLESQLSQSVIFLCFGSLGLFSMEQLREIASGLERSGQRFLWVVRNPPSDSQSLDISALPEPDLNSLLPDGFLDRTKEKGLVVKSWAPQVAVLNHKSVGGFVTHCGWNSVLEAVSAGVPMVAWPLYAEQKFNRLMLVEEMKIALPMKEDEKGFVTGLEVEKRVNELMQSDSGKSVRERTIAMKNAAKAALSEGGSSRAAMSRLVESWKH >Manes.03G054250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5271636:5272414:1 gene:Manes.03G054250.v8.1 transcript:Manes.03G054250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIVKHSNCHTWNDKFIFALDDHLTNNSCIVFEIFRVRRFMKDKRIGVVRVLLDSLISKGHGCGEGEGPKFAAFHVRTPAGEPMGILNIGVATLNGMFCEELPKFLSSVSAVDYRRLMGGEQSKQSSKSC >Manes.05G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2194077:2194562:1 gene:Manes.05G024900.v8.1 transcript:Manes.05G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRKKQSKAATPRSEEVSSIEWEFINMSEQEEDLIYRMYKLVGDRWALIAGRIPGRKAEEIERFWIMRHGEGFAGRRKELKKSKC >Manes.03G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12650252:12662141:1 gene:Manes.03G074000.v8.1 transcript:Manes.03G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPIETNASLPLTPSPPPPPPQALLERLKDYGQEDAFVLWDELSPDERDLLVKDIENLDLPRLDRIIRCSLRSQGLPLAAIEPVPESSVSTVEDRTLEERERWWKMGLKAISDGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQAASEGSGGSVTIHWYIMTSPFTDEATHKFFESHKFFGLESDQVTFFQQGTIPSVSKDGRFIMETPFKVAKSPDGNGGVYSALKYSKLLEDMATRGIKYVDCYGVDNALVRVADPTFLGYFIDKSVAAAAKVVRKAYPQEKVGVFVRRGKGGPLNVVEYSELDQSLASAVNQATGRLRFCWSNVCLHMFTLDFLNQVANALEKDSIYHLAEKKIPSIHGFTMGYKLEQFIFDAFPYAPSTALFEVLREEEFAPVKNANGSNFDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISF >Manes.03G098600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17793509:17806804:1 gene:Manes.03G098600.v8.1 transcript:Manes.03G098600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRVSRCCFCTAVARPWLFVGLGNPGEKFKGTRHNVGFDMIDAFAESQGVLMNTVHCKAIFGEGFVGEAPFLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVVHDDMDLPCGVLRLQHKGGHGRHNGLKSVIYHFRGNREFARLRIGIGRPPGQMDPKAFLLQKFNATFRERIDTAIPEGVGALKCLLSKGLKDCATHFNQEQKYKHLRSEHA >Manes.03G098600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17793574:17806804:1 gene:Manes.03G098600.v8.1 transcript:Manes.03G098600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRVSRCCFCTAVARPWLFVGLGNPGEKFKGTRHNVGFDMIDAFAESQGVLMNTVHCKAIFGEGFVGEAPFLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVVHDDMDLPCGVLRLQHKGGHGRHNGLKSVIYHFRGNREFARLRIGIGRPPGQMDPKAFLLQKFNATFRERIDTAIPEGVGALKCLLSKGLKDCATHFNQEQKYKHLRSEHA >Manes.03G098600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17793526:17807235:1 gene:Manes.03G098600.v8.1 transcript:Manes.03G098600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRVSRCCFCTAVARPWLFVGLGNPGEKFKGTRHNVGFDMIDAFAESQGVLMNTVHCKAIFGEGFVGEAPFLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVVHDDMDLPCGVLRLQHKGGHGRHNGLKSVIYHFRGNREFARLRIGIGRPPGQMDPKAFLLQKFNATFRERIDTAIPEGVGALKCLLSKGLKDCATHFNQEQKYKHLRSEHA >Manes.03G098600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17793569:17806804:1 gene:Manes.03G098600.v8.1 transcript:Manes.03G098600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRVSRCCFCTAVARPWLFVGLGNPGEKFKGTRHNVGFDMIDAFAESQGVLMNTVHCKAIFGEGFVGEAPFLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVVHDDMDLPCGVLRLQHKGGHGRHNGLKSVIYHFRGNREFARLRIGIGRPPGQMDPKAFLLQKFNATFRERIDTAIPEGVGALKCLLSKGLKDCATHFNQEQKYKHLRSEHA >Manes.03G098600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17793526:17807235:1 gene:Manes.03G098600.v8.1 transcript:Manes.03G098600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRVSRCCFCTAVARPWLFVGLGNPGEKFKGTRHNVGFDMIDAFAESQGVLMNTVHCKAIFGEGFVGEAPFLLAKPQTYMNLSGESTGPLAAYYKLPLNRVIVVHDDMDLPCGVLRLQHKGGHGRHNGLKSVIYHFRGNREFARLRIGIGRPPGQMDPKAFLLQKFNATFRERIDTAIPEGVGALKCLLSKGLKDCATHFNQEQKYKHLRSEHA >Manes.12G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33954635:33958089:1 gene:Manes.12G132200.v8.1 transcript:Manes.12G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLARSLIPATARHLSYFILPKRLLSTTTATVTLLPSPQPLLFCRRSLLPLSHAVQSIKPGVPTRFTSIRCRVNRSGNSAYSPLNSGSNFSDRPPNEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPQPQRANDRPRYNDRTRYVRRRENMR >Manes.06G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23487343:23493659:1 gene:Manes.06G101300.v8.1 transcript:Manes.06G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKSATWILLLLVIFSVFFDPSVSQLDSRWAAKKRQMREKVRKMFYHAYENYIAHAFPHDELKPLTKSFTDSLSELGNLKLEHLPQDYNGSALTLIESLSSLVILGNYTEFGRAVLWLSENLNFDVDARVNLFECNIRVLGGLVSAHLLATDSTNRLLQGSYNNQLLYLAEDLGQRFLFAFDTPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSQLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVATGEWIEHSSGIGAGVDSFYEYLYKAHILFGKEEFWRMFHSAYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIAAANSSHREFFYLWKKYGVLPERYLLDHQTVHPTEKYYPLRPELAESTFYLYQATKDPWYIQVGEFIVNSLNLYTKVEGGFASIRDVTTMQTEDHQHSFFLAETCKYLYLLFDDSFLVNRNYIFTTEGHPLPVLSAWHDKLPETYIPSNWTYIKNEKQVKQASAMSLQVCPAMSLSSGDGDQKVESACHVPDARSDHRCFSDEECGVDAINCRRRSCSIAGYCGLWLLL >Manes.06G101300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23487343:23493660:1 gene:Manes.06G101300.v8.1 transcript:Manes.06G101300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEKSATWILLLLVIFSVFFDPSVSQLDSRWAAKKRQMREKVRKMFYHAYENYIAHAFPHDELKPLTKSFTDSLSELGNLKLEHLPQDYNGSALTLIESLSSLVILGNYTEFGRAVLWLSENLNFDVDARVNLFECNIRVLGGLVSAHLLATDSTNRLLQGSYNNQLLYLAEDLGQRFLFAFDTPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSQLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVATGEWIEHSSGIGAGVDSFYEYLYKAHILFGKEEFWRMFHSAYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQLQIHHIGNSFIFGRSMGCYPKGICWIIKQYILQRSIIHCALNWQSPHFTCIKQLKIHGIYKWVNLSLIPLIYTPKWKEGLPALEM >Manes.03G181500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30347939:30351197:1 gene:Manes.03G181500.v8.1 transcript:Manes.03G181500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATVKLQQRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFAQKYGILSKEEAEENAKRIEDVAFVTADKHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAQKDRAVQASEEVAASCNNVFDISKGPRSFINAREAEDILRPLKEPGNSFSKICFSNRSFGLEAACVAQPILVAIKDQLKEVDLSDFIAGRPEAEALEVMNMFSSALEGSTLKSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGAFAISDVVKRSPLLEDFRCSSTRIGTEGGIALSEALESCTHVKKLDLRDNMFGAEAGIALSKALSKHAGLAEVYLSYLNLEDEGAIAIANSLKESAPALEVLDMAGNEITAEAAPALSACVAVKQNLSKLNLAENELKDEGVIQISKALEEGHLQLNEVDMSTNSIVRAGARVLSQIVVQKPEFKLLNINGNYISDEGIDEVKEIFKKLPGMLGPLDDNSPEGCDDDEEYGENDGDQHDLESKLNNLEVKGDE >Manes.03G181500.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30347940:30351178:1 gene:Manes.03G181500.v8.1 transcript:Manes.03G181500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATVKLQQRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFAQKYGILSKEEAEENAKRIEDVAFVTADKHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAQKDRAVQASEEVAASCNNVFDISKGPRSFINAREAEDILRPLKEPGNSFSKICFSNRSFGLEAACVAQPILVAIKDQLKEVDLSDFIAGRPEAEALEVMNMFSSALEGSTLKSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGAFAISDVVKRSPLLEDFRCSSTRIGTEGGIALSEALESCTHVKKLDLRDNMFGAEAGIALSKALSKHAGLAEVYLSYLNLEDEGAIAIANSLKESAPALEVLDMAGNEITAEAAPALSACVAVKQNLSKLNLAENELKDEGVIQISKALEEGHLQLNEVDMSTNSIVRAGARVLSQIVVQKPEFKLLNINGNYISDEGIDEVKEIFKKLPGMLGPLDDNSPEGCDDDEEYGENDGDQHDLESKLNNLEVKGDE >Manes.03G181500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30347940:30351197:1 gene:Manes.03G181500.v8.1 transcript:Manes.03G181500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATVKLQQRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFAQKYGILSKEEAEENAKRIEDVAFVTADKHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAQKDRAVQASEEVAASCNNVFDISKGPRSFINAREAEDILRPLKEPGNSFSKICFSNRSFGLEAACVAQPILVAIKDQLKEVDLSDFIAGRPEAEALEVMNMFSSALEGSTLKSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGAFAISDVVKRSPLLEDFRCSSTRIGTEGGIALSEALESCTHVKKLDLRDNMFGAEAGIALSKALSKHAGLAEVYLSYLNLEDEGAIAIANSLKESAPALEVLDMAGNEITAEAAPALSACVAVKQNLSKLNLAENELKDEGVIQISKALEEGHLQLNEVDMSTNSIVRAGARVLSQIVVQKPEFKLLNINGNYISDEGIDEVKEIFKKLPGMLGPLDDNSPEGCDDDEEYGENDGDQHDLESKLNNLEVKGDE >Manes.03G181500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30347940:30351126:1 gene:Manes.03G181500.v8.1 transcript:Manes.03G181500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATVKLQQRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFAQKYGILSKEEAEENAKRIEDVAFVTADKHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAQKDRAVQASEEVAASCNNVFDISKGPRSFINAREAEDILRPLKEPGNSFSKICFSNRSFGLEAACVAQPILVAIKDQLKEVDLSDFIAGRPEAEALEVMNMFSSALEGSTLKSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGAFAISDVVKRSPLLEDFRCSSTRIGTEGGIALSEALESCTHVKKLDLRDNMFGAEAGIALSKALSKHAGLAEVYLSYLNLEDEGAIAIANSLKESAPALEVLDMAGNEITAEAAPALSACVAVKQNLSKLNLAENELKDEGVIQISKALEEGHLQLNEVDMSTNSIVRAGARVLSQIVVQKPEFKLLNINGNYISDEGIDEVKEIFKKLPGMLGPLDDNSPEGCDDDEEYGENDGDQHDLESKLNNLEVKGDE >Manes.03G181500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30347939:30351197:1 gene:Manes.03G181500.v8.1 transcript:Manes.03G181500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATVKLQQRPFSIKLWPPSQNTRQMLVTRITGNLTTKSIFAQKYGILSKEEAEENAKRIEDVAFVTADKHYEMEPDGDGGSAVQLYAKECSKLILEVLKRGPAQKDRAVQASEEVAASCNNVFDISKGPRSFINAREAEDILRPLKEPGNSFSKICFSNRSFGLEAACVAQPILVAIKDQLKEVDLSDFIAGRPEAEALEVMNMFSSALEGSTLKSLDLSNNALGEKGVRAFGALLQSQSCLEELYLMNDGISEEAARAVCELIPSTEKLRILHFHNNMTGDPGAFAISDVVKRSPLLEDFRCSSTRIGTEGGIALSEALESCTHVKKLDLRDNMFGAEAGIALSKALSKHAGLAEVYLSYLNLEDEGAIAIANSLKESAPALEVLDMAGNEITAEAAPALSACVAVKQNLSKLNLAENELKDEGVIQISKALEEGHLQLNEVDMSTNSIVRAGARVLSQIVVQKPEFKLLNINGNYISDEGIDEVKEIFKKLPGMLGPLDDNSPEGCDDDEEYGENDGDQHDLESKLNNLEVKGDE >Manes.01G186001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36319663:36320372:-1 gene:Manes.01G186001.v8.1 transcript:Manes.01G186001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQSEEVVLFGTWASAHCTRVPVLVHTGKPIAESLVILEYIDDCWNISPNLLPLDPYPKPKFGFGPIFLTRSSYAIILSKGKEQENAIKEFNQLLSAFEEGIEKDFSTKFPSNGGNETLGFLEIVVGWGAVVDPKEHPAFFSWVAKLKECPLMNETLPPHNKLVAKMRERLFQAPKA >Manes.17G119800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34764776:34766146:-1 gene:Manes.17G119800.v8.1 transcript:Manes.17G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTFSYCVLIAFLLSISIQSFLAQARALKSKNQDKFKSFQNLQGAGKGSTLKGLSEVKQYLKNLGYYPTEANLISDHFDEVMESSLKQYQEYYRLQVTGKLDSDTIKEMMIPRCGVPDKFNHRTREPNQNHNKPGKFHMTVSDYTFFPNMPKWRPSKYHLTYTFLSGEQVVDEKVLKSVCSKAFKKWSDVSQFTFKEASAGSTADIVIGFYSGDHGDGSPFDGPGGTLAHAFAPENGRFHYDADENWSTNPNVDEVDLETVAIHEIGHLLGLYHSQDENAIMYYIIPYGTIKRKLSQDDIDGIHALYPSV >Manes.06G023550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4854057:4895433:-1 gene:Manes.06G023550.v8.1 transcript:Manes.06G023550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSEFDGSAAAFMGGGFMPSQTTQPPDSSFLPSSKNREARCLLALTVKQICELSSNDESNFVIDGVEVTNVTIVGRVCQKEDKTSEYTFLVDDGTGQIECTRWVQERIDTDEAEGILIGKYVRVHGHLRGLQGRRFINVFSIRPITDFNEITSHFIECIYVHFYHKRIRRQGTTTQPQLVNSTMNTPLKGYQTAPPNQSSAYSAADGLNNIGQMILNFLQQPIYLANEDGVHRNVVGQQLNIPMDKLMDELQSLVENGFVYSTIDDDHFKSTVNA >Manes.03G098832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17985036:17988965:-1 gene:Manes.03G098832.v8.1 transcript:Manes.03G098832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIHLLAGSSWPAIGLCFFFFFLIASSAKIPTRKIPSTTNKSSALGVQLQVTNKQVVIDNGIVQVTFSSPGGDVIRIKYKEINNVLETKNYEDNRGYWDVVWNRPGDSNIFDKVQATKFSIIVQNEEQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVRLTNPVNHQQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNAEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGVVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGPTLWEIGIPDRTASEFYIPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANLKQPLFTTRLIGKDNAIARYGIHGLCWFYSIQVPASQLIQGKNTIYLTQTRNGSPFSGIMYDYIRLEVPTKA >Manes.12G109700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30580575:30584455:1 gene:Manes.12G109700.v8.1 transcript:Manes.12G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDLEKSKASTEAFDSKDNGSVPQVSEDKLVQCVSNYEDKNFHEEAVNDDRPKVLAGSEDMEINIIECTKSGDNEQVEAICEDQTESMSSFGDTLSETENGPVMDDTEVESQLCVGVAPASIFNEYSGPFQMRRKRLTDHWRRFIRPLMWRCKWIELQIKEFQSQALKYDRELAEHEQRKQIDTFAEEGFDARSRPFLGCTQSKKVMKRKKRRRVEEMTDITSYMLQHNLFSYYENRKSAASGAPMINDCGNLDKTINSNDEFGLQEGWESLESKGVDNIREDTLWKIEVLQLQVHKLKARIEKVVSENPGKFSSLNRLSAPASCDALTCSDQNPASSLENGERMPARSQYTLSQHMSDNNVDLMPETAVSSHGEVTPLPDMIESTSQAQVGVSSENTKEGTLIHNQESKEKMQDLKNMGHQLKEKQTVRSSEAGILLDTFVPRVNFGGKTLPKSRCNVPNNKRKRGKRRSGRGGWNRKSSA >Manes.05G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10759933:10769113:1 gene:Manes.05G108800.v8.1 transcript:Manes.05G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRWFTKPSVIFGPRPPHSWLLLCLICVLVLIAILGSTSSSAFDSVTNTPLPDIYSNYRRLKEQAAVDYLELRTLSSGASRQKELGFCGRERENYVPCYNVTANLLAGFKNGEELDRHCEMSRPRERCLLRPPKDYKIPLRWPAGRDVIWSNNVKLTKDHFLSSGSMTKRLMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMIGLGSDSEFLQAGVQTVLDIGCGFGSFGAHLVSLKLMAVCIAAYEATGSQVQLALERGLPAIIGNFISRQLPYPSLSFDMVHCAQCGINWDEKDGIFLIEVDRVLKPGGYFVLTLPMSKPHGRSSNSKRRNTVTLKEELTEKICWTLLAQQEETFIWQKTIDVHCYRSRKQDTPPLCSDGPDTSPYYHPLVGCITGTPSKRWIPIQNKSSGSQLSSAKLQVHGVQPEDFFEDLQFWGSALRNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNVMDMNAHYGGLNAAFLEQKKSVWVMNVVPVWARNTLPLILDRGFAGVLHDWCEPFPTYPRTYDMLHANGLLSNLSSDRCSMMDLLLEMDRILRPEGWIVLSDKLGAIEMARALATQIHWEARVIDLQNGSDQRLLVCQKPFVRK >Manes.03G051600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4788367:4789496:-1 gene:Manes.03G051600.v8.1 transcript:Manes.03G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALRNTGFDSTMLDVLHELLEIGDEAEKPHHGPSRAYLRDAKAMAATPADAKESPNAFIFVIDMPGLKADQVKVHVEDGNILVVSGERKREREKEQGVRYMKMERRLGKYLKKFVLPENADIEKISATYQDGVLTVTVEKKPPPEPKQPKTVEVRVA >Manes.03G051600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4788367:4789495:-1 gene:Manes.03G051600.v8.1 transcript:Manes.03G051600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALRNTGFDSTMLDVLHELLEIGDEAEKPHHGPSRAYLRDAKAMAATPADAKESPNAFIFVIDMPGLKADQVKVHVEDGNILVVSGERKREREKEQGVRYMKMERRLGKYLKKFVLPENADIEKISATYQDGVLTVTVEKKPPPEPKQPKTVEVRVA >Manes.15G049000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3803053:3808201:1 gene:Manes.15G049000.v8.1 transcript:Manes.15G049000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSNNSDLQILLESIRSSDIVENRVQLLAKLGELDLPEKTHLASLVESLITFWEDFTCLDASQCMLNKSILQVAAKYVDSDLSGCLLQFLALGTKAGTWCGKHLKMTLMSGTDSQEEEHCDCFFQLLLDFLSLSAASATALTRYPVFTDNDSTIIVESFVSEQLSLIKDVVSETKRINSYGSEVLRVAQMVIDAVVRLCKEYSLVVNWDAWEAKPGKDGSSVDHKEENMRNHVINITKSTTEKLCEMGILAANDGGSLVTILNVSWKGVVTLLQLCKGALAERVSVQGIIVALISLVIEPLRCAAMAWSTLLEETISAIEARRTFLPVKFYLINAVKISSLYPCQTYFVYRELVQCVIVISSFRILLSFEKLLNTASEVFSELLEKTSMDLLTSLLNSAEVKLEHKFELLDWLFTDQRYSNSLGDSSSYCRIDSIIEMFSLSNKSMPQERLLLLGRVVLFHTLLRYSVGLEEDVQNIITRKLEWFLDVVVDDKVYSSVLDMQIPVPYGSGKTIELVWQPMFSASVNAIKTFMIVVSASKCWTELEAFLLENLFHPHFLCWEIIMELWCFLLRHAETNMVNDIVDKFYSLMRLLASPESVLIPSSPLRKMGRAICLLLANGTSSIVDRIYSSAVGDSSVIYVALFLEGFPLNSLSDNIRSNAKQKIVTDYFSFIGSPNDKLSTACSSGVFGIPVFTLSASLQSQQVSISDVEMKSLNFLVTIIRNFKNPADKLMKEYYHKLLSEALGIVSNMKHLYKSDEMEEVILELQTLFISGVTASDPLLYRCKPYLALFMGGLGEMEMSENDDCVKSSAVWELYHTLFREQHWALVHLAIAAFGYFAARTSCNQLWRFVPENAALSYDLLSGNEANEERFMSELKAFLEKEMALLTITPSSEQIDLLMKEGVVLKEKVQKISNIHTQATEREEIDADIQSNKRRKLPDGISRGVELLQSGMKVISDGLSQWPQNHFESPEHDMFLSHISKLKDVISHIVGMAGDC >Manes.13G099000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29174186:29175946:1 gene:Manes.13G099000.v8.1 transcript:Manes.13G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGIASMVAGCSTHPLDLIKVRMQLQGETHATNPAAGAQTLRPALTFHTTTPVPQQPLPTSAPRAGPIAVGVRILQQEGVAALFSGVSATMLRQTLYSTTRMGLYDMLKQKWTDPHTKTMPLTSKIGAGLIAGGIGAAVGNPADVAMVRMQADGRLPAAQRRNYKSVIDAITRMSKQEGVTSLWRGSSLTVNRAMLVTASQLASYDQFKETILKKELMRDGFGTHVTASFAAGFVAAVVTNPVDVIKTRVMNMKVEAGEAPPYAGALDCAVKTVKAEGPIALYKGFIPTISRQGPFTIVLFVTLEQVRKLLKDF >Manes.05G108400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10638167:10640543:-1 gene:Manes.05G108400.v8.1 transcript:Manes.05G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFMKAPANKATKADQTDPFDSDDESNGKRQPADDDRYKNGFRDSGGLENQSVQELENYAMYKAEETTSGVNNCLRIAEDIKQDATKTLDMLHAQGDQINRTHQMAVDMDKDLSKGEKLLNNLGGIFSKPWKPKKTKKITGPVTTADKPSKKSAKNKEEREKLGVGGKARGHSAPASPPSEPLTAMQKVELEKAKQDDGLSDLSNILGDLKGMACEMGNEIDRQNKALDNLGGDIDELNSRVKGANQRARHLLAK >Manes.01G039168.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7910573:7913652:-1 gene:Manes.01G039168.v8.1 transcript:Manes.01G039168.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLNNSNSLPSWAGDDCCRWHGVTCDDITGHVVKLVLSWASIMGNISLHLGNLSNLQCLDLSLNPSLAIHSLHFPSSLKYLYLPYVVLDKCDNWFQSINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLDLESNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLETLILLNNSYSGSIPDNLGQFKRLKELSFSENSFWGSIPVSIGQLYNLEILDFSKNSLHGKVSELHLLNLRSLFELSMDGNSLVFDIDPEWIPPFQLSWIHLSSCEVGPFPQWLRTQKSIRFLDMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLTCSQFDATILDISNNLLHGQIPQNISDMMPSLRHLSLSSNYLNGTVPATLCRIESLQILDLSNNQLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQTILCKERSQCH >Manes.18G027500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2378123:2382496:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMVYYFARLFPLLCVSGLASVSLYLSPSLSSLLDGLPNKHPSPPRLTGNKNAGIKIVLPELDHLSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRCRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.18G027500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2378123:2380844:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLVISSGTECTLAVCSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.18G027500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2378123:2382496:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMVYYFARLFPLLCVSGLASVSLYLSPSLSSLLDGLPNKHPSPPRLTGNKNAGIKIVLPELDHLSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.18G027500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2378123:2382496:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMVYYFARLFPLLCVSGLASVSLYLSPSLSSLLDGLPNKHPSPPRLTGNKNAGIKIVLPELDHLSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRCRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.18G027500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2379477:2380123:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLVISSGTECTLAVCSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.18G027500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2378123:2380844:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLVISSGTECTLAVCSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRCRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.18G027500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2378123:2382496:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMVYYFARLFPLLCVSGLASVSLYLSPSLSSLLDGLPNKHPSPPRLTGNKNAGIKIVLPELDHLSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.18G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2379477:2380123:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLVISSGTECTLAVCSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRCRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.18G027500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2378123:2382496:-1 gene:Manes.18G027500.v8.1 transcript:Manes.18G027500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMVYYFARLFPLLCVSGLASVSLYLSPSLSSLLDGLPNKHPSPPRLTGNKNAGIKIVLPELDHLSESTPGALIAPTLGLLVLDELRQICWGLLSLDAIRVASCALKVLPGPLLCGACCEQTVQRCRKSVVSYPWSVICHYKFSRPVSVMFCIPDLHFYFWKNFWRVHDVSFGSGFIFCTSISWLLFSSISELWKHS >Manes.16G104700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31040456:31046758:1 gene:Manes.16G104700.v8.1 transcript:Manes.16G104700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSFKPAKCKTSLKLAASRIKLLKNKRDAQVKQLKRELAQLLESGQDQTARIRVEHVVREEKTMAAYDLIEIYCELIVARLPIIESQKNCPIDLKEAIASLIFASPRCADVPELMDVRKHFTAKYGKEFVTAAVELRPDCGVSRLLVEKLSAKAPDGPTKIKILSAIAEEHNVTWDPKSFGEKDMKPPEDLLNGPTTFEHSKVHGESPNIQEPSNSVDRGHPNFRAPSNYNDKHEQHVDSYGYNSRSSQHFQNVSSPAVNTGQAMPSGTSHLDPRTVGTGSNEREFRDSHAAEQSGFSLGRNSWNMEFKDATAAAQAAAESAERASMAARAAAQLSSQGRITRQHSTETKKAPVFRSRDEGLQTYAGPRVEGEHNDRDPVNNTPPRRIIRQHSTEAKKTYVSKSRDQGLQTGASSIVQGEHLTKDPVNNTPCRSSNSGMNHEQSFENEQDDLAGLAERFNNLKSTDKNSQLASSKSSSTSVDDYPQMSDFQMTDSHSRKNSDEMRSEGYFAVTGFRKQSSSISSHSQIPRDDHNVFSSFSHQKFSEEAAKEPFDQGNFQGNTKETSPFDNASVVFDDSGSDDDGFKLDEKGEYNGPDSGSYNLSDSEDRKSSHILANTSANSLRLNVEKSLGKSSLQSPFASDLPTTSVFSEGLTSDTVSSPADELLPVTFDDSDCPSSESEGEPNNSKLIGSTRTGTFPHKDIASSGHPETTQNERHHFLGSSLAEKENMGLNRKNQGNEVDPQNDSKFSYNYLQSNLTSSRLAKPQSKSNDNSKPAGFSSVKDDVQRYQSLDNLEDTISIKGSSSESGKELNFGILTGGLRNKGYKHPPYRRNPSNNSSLSKQAAEDNSARVKQSSSFLRVDSGSGSRDEEPYTEVVHTKINKNASLGTPLSHSDARDDEPGEALPQQTREPYIQEAAPEVNKRSGLRSYFAFNSSDSEEDLPKQTGNRSRPGPGFSRRTKQILTSNTLADSERNSYESRLPFDSSITPDYAMERQSSSSSSYTKEAQVMPTSQEKGSHYRGSSKQGRSTGQTFSKPISESKQSVLEESSSRSSYTTDTQHYPPQSKNSDNWRSSEQHRSAEPSKPIQEPKRSSQEENRKSSAREQPSNPPPRTASSGAGESTKASYSKDATPPSRENSINKASHVHPKLPDYDALTAHLLSLRQNRQ >Manes.16G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31040456:31046975:1 gene:Manes.16G104700.v8.1 transcript:Manes.16G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSFKPAKCKTSLKLAASRIKLLKNKRDAQVKQLKRELAQLLESGQDQTARIRVEHVVREEKTMAAYDLIEIYCELIVARLPIIESQKNCPIDLKEAIASLIFASPRCADVPELMDVRKHFTAKYGKEFVTAAVELRPDCGVSRLLVEKLSAKAPDGPTKIKILSAIAEEHNVTWDPKSFGEKDMKPPEDLLNGPTTFEHSKVHGESPNIQEPSNSVDRGHPNFRAPSNYNDKHEQHVDSYGYNSRSSQHFQNVSSPAVNTGQAMPSGTSHLDPRTVGTGSNEREFRDSHAAEQSGFSLGRNSWNMEFKDATAAAQAAAESAERASMAARAAAQLSSQGRITRQHSTETKKAPVFRSRDEGLQTYAGPRVEGEHNDRDPVNNTPPRRIIRQHSTEAKKTYVSKSRDQGLQTGASSIVQGEHLTKDPVNNTPCRSSNSGMNHEQSFENEQDDLAGLAERFNNLKSTDKNSQLASSKSSSTSVDDYPQMSDFQMTDSHSRKNSDEMRSEGYFAVTGFRKQSSSISSHSQIPRDDHNVFSSFSHQKFSEEAAKEPFDQGNFQGNTKETSPFDNASVVFDDSGSDDDGFKLDEKGEYNGPDSGSYNLSDSEDRKSSHILANTSANSLRLNVEKSLGKSSLQSPFASDLPTTSVFSEGLTSDTVSSPADELLPVTFDDSDCPSSESEGEPNNSKLIGSTRTGTFPHKDIASSGHPETTQNERHHFLGSSLAEKENMGLNRKNQGNEVDPQNDRFSSVKDDVQRYQSLDNLEDTISIKGSSSESGKELNFGILTGGLRNKGYKHPPYRRNPSNNSSLSKQAAEDNSARVKQSSSFLRVDSGSGSRDEEPYTEVVHTKINKNASLGTPLSHSDARDDEPGEALPQQTREPYIQEAAPEVNKRSGLRSYFAFNSSDSEEDLPKQTGNRSRPGPGFSRRTKQILTSNTLADSERNSYESRLPFDSSITPDYAMERQSSSSSSYTKEAQVMPTSQEKGSHYRGSSKQGRSTGQTFSKPISESKQSVLEESSSRSSYTTDTQHYPPQSKNSDNWRSSEQHRSAEPSKPIQEPKRSSQEENRKSSAREQPSNPPPRTASSGAGESTKASYSKDATPPSRENSINKASHVHPKLPDYDALTAHLLSLRQNRQ >Manes.16G104700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31040455:31046911:1 gene:Manes.16G104700.v8.1 transcript:Manes.16G104700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKHFTAKYGKEFVTAAVELRPDCGVSRLLVEKLSAKAPDGPTKIKILSAIAEEHNVTWDPKSFGEKDMKPPEDLLQNGPTTFEHSKVHGESPNIQEPSNSVDRGHPNFRAPSNYNDKHEQHVDSYGYNSRSSQHFQNVSSPAVNTGQAMPSGTSHLDPRTVGTGSNEREFRDSHAAEQSGFSLGRNSWNMEFKDATAAAQAAAESAERASMAARAAAQLSSQGRITRQHSTETKKAPVFRSRDEGLQTYAGPRVEGEHNDRDPVNNTPPRRIIRQHSTEAKKTYVSKSRDQGLQTGASSIVQGEHLTKDPVNNTPCRSSNSGMNHEQSFENEQDDLAGLAERFNNLKSTDKNSQLASSKSSSTSVDDYPQMSDFQMTDSHSRKNSDEMRSEGYFAVTGFRKQSSSISSHSQIPRDDHNVFSSFSHQKFSEEAAKEPFDQGNFQGNTKETSPFDNASVVFDDSGSDDDGFKLDEKGEYNGPDSGSYNLSDSEDRKSSHILANTSANSLRLNVEKSLGKSSLQSPFASDLPTTSVFSEGLTSDTVSSPADELLPVTFDDSDCPSSESEGEPNNSKLIGSTRTGTFPHKDIASSGHPETTQNERHHFLGSSLAEKENMGLNRKNQGNEVDPQNDRFSSVKDDVQRYQSLDNLEDTISIKGSSSESGKELNFGILTGGLRNKGYKHPPYRRNPSNNSSLSKQAAEDNSARVKQSSSFLRVDSGSGSRDEEPYTEVVHTKINKNASLGTPLSHSDARDDEPGEALPQQTREPYIQEAAPEVNKRSGLRSYFAFNSSDSEEDLPKQTGNRSRPGPGFSRRTKQILTSNTLADSERNSYESRLPFDSSITPDYAMERQSSSSSSYTKEAQVMPTSQEKGSHYRGSSKQGRSTGQTFSKPISESKQSVLEESSSRSSYTTDTQHYPPQSKNSDNWRSSEQHRSAEPSKPIQEPKRSSQEENRKSSAREQPSNPPPRTASSGAGESTKASYSKDATPPSRENSINKASHVHPKLPDYDALTAHLLSLRQNRQ >Manes.16G104700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31040455:31046760:1 gene:Manes.16G104700.v8.1 transcript:Manes.16G104700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKHFTAKYGKEFVTAAVELRPDCGVSRLLVEKLSAKAPDGPTKIKILSAIAEEHNVTWDPKSFGEKDMKPPEDLLNGPTTFEHSKVHGESPNIQEPSNSVDRGHPNFRAPSNYNDKHEQHVDSYGYNSRSSQHFQNVSSPAVNTGQAMPSGTSHLDPRTVGTGSNEREFRDSHAAEQSGFSLGRNSWNMEFKDATAAAQAAAESAERASMAARAAAQLSSQGRITRQHSTETKKAPVFRSRDEGLQTYAGPRVEGEHNDRDPVNNTPPRRIIRQHSTEAKKTYVSKSRDQGLQTGASSIVQGEHLTKDPVNNTPCRSSNSGMNHEQSFENEQDDLAGLAERFNNLKSTDKNSQLASSKSSSTSVDDYPQMSDFQMTDSHSRKNSDEMRSEGYFAVTGFRKQSSSISSHSQIPRDDHNVFSSFSHQKFSEEAAKEPFDQGNFQGNTKETSPFDNASVVFDDSGSDDDGFKLDEKGEYNGPDSGSYNLSDSEDRKSSHILANTSANSLRLNVEKSLGKSSLQSPFASDLPTTSVFSEGLTSDTVSSPADELLPVTFDDSDCPSSESEGEPNNSKLIGSTRTGTFPHKDIASSGHPETTQNERHHFLGSSLAEKENMGLNRKNQGNEVDPQNDSKFSYNYLQSNLTSSRLAKPQSKSNDNSKPAGFSSVKDDVQRYQSLDNLEDTISIKGSSSESGKELNFGILTGGLRNKGYKHPPYRRNPSNNSSLSKQAAEDNSARVKQSSSFLRVDSGSGSRDEEPYTEVVHTKINKNASLGTPLSHSDARDDEPGEALPQQTREPYIQEAAPEVNKRSGLRSYFAFNSSDSEEDLPKQTGNRSRPGPGFSRRTKQILTSNTLADSERNSYESRLPFDSSITPDYAMERQSSSSSSYTKEAQVMPTSQEKGSHYRGSSKQGRSTGQTFSKPISESKQSVLEESSSRSSYTTDTQHYPPQSKNSDNWRSSEQHRSAEPSKPIQEPKRSSQEENRKSSAREQPSNPPPRTASSGAGESTKASYSKDATPPSRENSINKASHVHPKLPDYDALTAHLLSLRQNRQ >Manes.16G104700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31040456:31046975:1 gene:Manes.16G104700.v8.1 transcript:Manes.16G104700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSFKPAKCKTSLKLAASRIKLLKNKRDAQVKQLKRELAQLLESGQDQTARIRVEHVVREEKTMAAYDLIEIYCELIVARLPIIESQKNCPIDLKEAIASLIFASPRCADVPELMDVRKHFTAKYGKEFVTAAVELRPDCGVSRLLVEKLSAKAPDGPTKIKILSAIAEEHNVTWDPKSFGEKDMKPPEDLLQNGPTTFEHSKVHGESPNIQEPSNSVDRGHPNFRAPSNYNDKHEQHVDSYGYNSRSSQHFQNVSSPAVNTGQAMPSGTSHLDPRTVGTGSNEREFRDSHAAEQSGFSLGRNSWNMEFKDATAAAQAAAESAERASMAARAAAQLSSQGRITRQHSTETKKAPVFRSRDEGLQTYAGPRVEGEHNDRDPVNNTPPRRIIRQHSTEAKKTYVSKSRDQGLQTGASSIVQGEHLTKDPVNNTPCRSSNSGMNHEQSFENEQDDLAGLAERFNNLKSTDKNSQLASSKSSSTSVDDYPQMSDFQMTDSHSRKNSDEMRSEGYFAVTGFRKQSSSISSHSQIPRDDHNVFSSFSHQKFSEEAAKEPFDQGNFQGNTKETSPFDNASVVFDDSGSDDDGFKLDEKGEYNGPDSGSYNLSDSEDRKSSHILANTSANSLRLNVEKSLGKSSLQSPFASDLPTTSVFSEGLTSDTVSSPADELLPVTFDDSDCPSSESEGEPNNSKLIGSTRTGTFPHKDIASSGHPETTQNERHHFLGSSLAEKENMGLNRKNQGNEVDPQNDRFSSVKDDVQRYQSLDNLEDTISIKGSSSESGKELNFGILTGGLRNKGYKHPPYRRNPSNNSSLSKQAAEDNSARVKQSSSFLRVDSGSGSRDEEPYTEVVHTKINKNASLGTPLSHSDARDDEPGEALPQQTREPYIQEAAPEVNKRSGLRSYFAFNSSDSEEDLPKQTGNRSRPGPGFSRRTKQILTSNTLADSERNSYESRLPFDSSITPDYAMERQSSSSSSYTKEAQVMPTSQEKGSHYRGSSKQGRSTGQTFSKPISESKQSVLEESSSRSSYTTDTQHYPPQSKNSDNWRSSEQHRSAEPSKPIQEPKRSSQEENRKSSAREQPSNPPPRTASSGAGESTKASYSKDATPPSRENSINKASHVHPKLPDYDALTAHLLSLRQNRQ >Manes.16G104700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31040455:31046760:1 gene:Manes.16G104700.v8.1 transcript:Manes.16G104700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKHFTAKYGKEFVTAAVELRPDCGVSRLLVEKLSAKAPDGPTKIKILSAIAEEHNVTWDPKSFGEKDMKPPEDLLQNGPTTFEHSKVHGESPNIQEPSNSVDRGHPNFRAPSNYNDKHEQHVDSYGYNSRSSQHFQNVSSPAVNTGQAMPSGTSHLDPRTVGTGSNEREFRDSHAAEQSGFSLGRNSWNMEFKDATAAAQAAAESAERASMAARAAAQLSSQGRITRQHSTETKKAPVFRSRDEGLQTYAGPRVEGEHNDRDPVNNTPPRRIIRQHSTEAKKTYVSKSRDQGLQTGASSIVQGEHLTKDPVNNTPCRSSNSGMNHEQSFENEQDDLAGLAERFNNLKSTDKNSQLASSKSSSTSVDDYPQMSDFQMTDSHSRKNSDEMRSEGYFAVTGFRKQSSSISSHSQIPRDDHNVFSSFSHQKFSEEAAKEPFDQGNFQGNTKETSPFDNASVVFDDSGSDDDGFKLDEKGEYNGPDSGSYNLSDSEDRKSSHILANTSANSLRLNVEKSLGKSSLQSPFASDLPTTSVFSEGLTSDTVSSPADELLPVTFDDSDCPSSESEGEPNNSKLIGSTRTGTFPHKDIASSGHPETTQNERHHFLGSSLAEKENMGLNRKNQGNEVDPQNDSKFSYNYLQSNLTSSRLAKPQSKSNDNSKPAGFSSVKDDVQRYQSLDNLEDTISIKGSSSESGKELNFGILTGGLRNKGYKHPPYRRNPSNNSSLSKQAAEDNSARVKQSSSFLRVDSGSGSRDEEPYTEVVHTKINKNASLGTPLSHSDARDDEPGEALPQQTREPYIQEAAPEVNKRSGLRSYFAFNSSDSEEDLPKQTGNRSRPGPGFSRRTKQILTSNTLADSERNSYESRLPFDSSITPDYAMERQSSSSSSYTKEAQVMPTSQEKGSHYRGSSKQGRSTGQTFSKPISESKQSVLEESSSRSSYTTDTQHYPPQSKNSDNWRSSEQHRSAEPSKPIQEPKRSSQEENRKSSAREQPSNPPPRTASSGAGESTKASYSKDATPPSRENSINKASHVHPKLPDYDALTAHLLSLRQNRQ >Manes.16G104700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31040456:31046758:1 gene:Manes.16G104700.v8.1 transcript:Manes.16G104700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSFKPAKCKTSLKLAASRIKLLKNKRDAQVKQLKRELAQLLESGQDQTARIRVEHVVREEKTMAAYDLIEIYCELIVARLPIIESQKNCPIDLKEAIASLIFASPRCADVPELMDVRKHFTAKYGKEFVTAAVELRPDCGVSRLLVEKLSAKAPDGPTKIKILSAIAEEHNVTWDPKSFGEKDMKPPEDLLQNGPTTFEHSKVHGESPNIQEPSNSVDRGHPNFRAPSNYNDKHEQHVDSYGYNSRSSQHFQNVSSPAVNTGQAMPSGTSHLDPRTVGTGSNEREFRDSHAAEQSGFSLGRNSWNMEFKDATAAAQAAAESAERASMAARAAAQLSSQGRITRQHSTETKKAPVFRSRDEGLQTYAGPRVEGEHNDRDPVNNTPPRRIIRQHSTEAKKTYVSKSRDQGLQTGASSIVQGEHLTKDPVNNTPCRSSNSGMNHEQSFENEQDDLAGLAERFNNLKSTDKNSQLASSKSSSTSVDDYPQMSDFQMTDSHSRKNSDEMRSEGYFAVTGFRKQSSSISSHSQIPRDDHNVFSSFSHQKFSEEAAKEPFDQGNFQGNTKETSPFDNASVVFDDSGSDDDGFKLDEKGEYNGPDSGSYNLSDSEDRKSSHILANTSANSLRLNVEKSLGKSSLQSPFASDLPTTSVFSEGLTSDTVSSPADELLPVTFDDSDCPSSESEGEPNNSKLIGSTRTGTFPHKDIASSGHPETTQNERHHFLGSSLAEKENMGLNRKNQGNEVDPQNDSKFSYNYLQSNLTSSRLAKPQSKSNDNSKPAGFSSVKDDVQRYQSLDNLEDTISIKGSSSESGKELNFGILTGGLRNKGYKHPPYRRNPSNNSSLSKQAAEDNSARVKQSSSFLRVDSGSGSRDEEPYTEVVHTKINKNASLGTPLSHSDARDDEPGEALPQQTREPYIQEAAPEVNKRSGLRSYFAFNSSDSEEDLPKQTGNRSRPGPGFSRRTKQILTSNTLADSERNSYESRLPFDSSITPDYAMERQSSSSSSYTKEAQVMPTSQEKGSHYRGSSKQGRSTGQTFSKPISESKQSVLEESSSRSSYTTDTQHYPPQSKNSDNWRSSEQHRSAEPSKPIQEPKRSSQEENRKSSAREQPSNPPPRTASSGAGESTKASYSKDATPPSRENSINKASHVHPKLPDYDALTAHLLSLRQNRQ >Manes.16G104700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31040455:31046911:1 gene:Manes.16G104700.v8.1 transcript:Manes.16G104700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKHFTAKYGKEFVTAAVELRPDCGVSRLLVEKLSAKAPDGPTKIKILSAIAEEHNVTWDPKSFGEKDMKPPEDLLNGPTTFEHSKVHGESPNIQEPSNSVDRGHPNFRAPSNYNDKHEQHVDSYGYNSRSSQHFQNVSSPAVNTGQAMPSGTSHLDPRTVGTGSNEREFRDSHAAEQSGFSLGRNSWNMEFKDATAAAQAAAESAERASMAARAAAQLSSQGRITRQHSTETKKAPVFRSRDEGLQTYAGPRVEGEHNDRDPVNNTPPRRIIRQHSTEAKKTYVSKSRDQGLQTGASSIVQGEHLTKDPVNNTPCRSSNSGMNHEQSFENEQDDLAGLAERFNNLKSTDKNSQLASSKSSSTSVDDYPQMSDFQMTDSHSRKNSDEMRSEGYFAVTGFRKQSSSISSHSQIPRDDHNVFSSFSHQKFSEEAAKEPFDQGNFQGNTKETSPFDNASVVFDDSGSDDDGFKLDEKGEYNGPDSGSYNLSDSEDRKSSHILANTSANSLRLNVEKSLGKSSLQSPFASDLPTTSVFSEGLTSDTVSSPADELLPVTFDDSDCPSSESEGEPNNSKLIGSTRTGTFPHKDIASSGHPETTQNERHHFLGSSLAEKENMGLNRKNQGNEVDPQNDRFSSVKDDVQRYQSLDNLEDTISIKGSSSESGKELNFGILTGGLRNKGYKHPPYRRNPSNNSSLSKQAAEDNSARVKQSSSFLRVDSGSGSRDEEPYTEVVHTKINKNASLGTPLSHSDARDDEPGEALPQQTREPYIQEAAPEVNKRSGLRSYFAFNSSDSEEDLPKQTGNRSRPGPGFSRRTKQILTSNTLADSERNSYESRLPFDSSITPDYAMERQSSSSSSYTKEAQVMPTSQEKGSHYRGSSKQGRSTGQTFSKPISESKQSVLEESSSRSSYTTDTQHYPPQSKNSDNWRSSEQHRSAEPSKPIQEPKRSSQEENRKSSAREQPSNPPPRTASSGAGESTKASYSKDATPPSRENSINKASHVHPKLPDYDALTAHLLSLRQNRQ >Manes.08G101700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33926639:33930003:1 gene:Manes.08G101700.v8.1 transcript:Manes.08G101700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQPVTLSTNTVHGEPSNGVDNRPASIDGGDDGSKAPRLPRWTRQEILVLIQGKKVAENRVRRGRTAGMAFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDYKKIKEWETQIREETESFWVMRNDLRRERKLPGFFDREVYDILDGVGGVSPATPGLSLALAPSTEPAEDVETVFDSGRSAAAEDGLFSDFEQEEAGGSPDKEATAAKEVPPIKAAAVGVAAPVPISEQQYQPEPALQADQTQGNMIVTRVRCF >Manes.08G101700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33926636:33930003:1 gene:Manes.08G101700.v8.1 transcript:Manes.08G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQPVTLSTNTVHGEPSNGVDNRPASIDGGDDGSKAPRLPRWTRQEILVLIQGKKVAENRVRRGRTAGMAFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDYKKIKEWETQIREETESFWVMRNDLRRERKLPGFFDREVYDILDGVGGVSPATPGLSLALAPSTEPAEDVETVFDSGRSAAAEDGLFSDFEQEEAGGSPDKEATAAKEVPPIKAAAVGVAAPVPISEQQYQPEPALQADQTQGTANEKQPAPNTVEGSASHENRKRKRLPTDSDEETTNIQNQLIDVLEKNGKMLNAQLEAQNNNFQLDREQRKNHGDSLVAVLNKLADALGKIADKL >Manes.08G101700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33926644:33930003:1 gene:Manes.08G101700.v8.1 transcript:Manes.08G101700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQPVTLSTNTVHGEPSNGVDNRPASIDGGDDGSKAPRLPRWTRQEILVLIQGKKVAENRVRRGRTAGMAFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDYKKIKEWETQIREETESFWVMRNDLRRERKLPGFFDREVYDILDGVGGVSPATPGLSLALAPSTEPAEDVETVFDSGRSAAAEDGLFSDFEQEEAGGSPDKEATAAKEVPPIKAAAVGVAAPVPISEQQYQPEPALQADQTQVHKLTQCF >Manes.08G101700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33926644:33930003:1 gene:Manes.08G101700.v8.1 transcript:Manes.08G101700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQPVTLSTNTVHGEPSNGVDNRPASIDGGDDGSKAPRLPRWTRQEILVLIQGKKVAENRVRRGRTAGMAFGSGQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDYKKIKEWETQIREETESFWVMRNDLRRERKLPGFFDREVYDILDGVGGVSPATPGLSLALAPSTEPAEDVETVFDSGRSAAAEDGLFSDFEQEEAGGSPDKEATAAKEVPPIKAAAVGVAAPVPISEQQYQPEPALQADQTQVHKLTQCF >Manes.08G078400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:19019548:19022499:1 gene:Manes.08G078400.v8.1 transcript:Manes.08G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSCLKCYPWFHYHSHLAFSSSYKPISNSTLSFVSTQQHHSLIATQSSSSFSSSVPLSPNFTPDQLLETIRRQSDETAALRLFDWASKQPNFKPDSSIYEEILRKLGKVGSINSMKDILQEMKVSGCEINTGTLLIFIESYAEFELYDEILGVVEWMEAEFGLEPDTLIFNFLLNVLVDVNKLKLVEAAHSSMINRGIKPDVSTFNILIKALCRAHQIRPAILMMEEMPSYGLSPDEKTFTTLMQGFIEEGNLDGALRLKEQMVNAGCTVTNVTVNVLVHGFCKEGRISEALKFIDEMSNEGFFPDKFTFNTVVNGLCKARHVKHALEVMDVMLQEGFDPDVYTYNSLISGLCKLGEVEEAVEILDQMISRDCSPNTVTYNTLISTLCKENQVEEATKLARVLTSKGILPDVCTFNSLIQGLCLTRNHAIAMELYKEMTNKGCHPDEFTYNMLIDSLCCRGKLDEALSLLKEMESSGCARNVITYNTLIDGFCKNKRIEEAEEIFDQMELQGVSRNSVTYNTLIDGLCKNRRVEDAAQLMDQMIMEGLKPDKFTYNSMLTYFCRAGDIKKAADIVQTMTSNGCEPDIVTYGTLIGGLCKAGRLEVANKLLRSIQMKGMVLTSHAYNPVIQALFKQKRTKEAMRLFREMEEKASPPDALTYKIVFRGLCNSGGPIGEAVDFVIEMTGKGFLPEFSSFYMLAEGLSSLAMEETLIRLVDIVMEKADISENEATMIRGFLKIRKFHDALANLGDILDSRRSKKAYSYR >Manes.10G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28160006:28161572:-1 gene:Manes.10G116100.v8.1 transcript:Manes.10G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDCDCDFGDVDCDCDCCCCCRCNDCDGDESCRDLCSWDKICCIMCINVDNNNNNNRKHDPHNDTCYHLCCCCCCDDDDYPRKRRPTQLKQQASNPQTTKQNQEEIRRKESEMEHAPGCPYRGSHIPKNRCRYKCWLASPSLDLDPPMAQRFQTLDREMYMRGYESSEKQKHYW >Manes.08G107500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34698854:34702310:1 gene:Manes.08G107500.v8.1 transcript:Manes.08G107500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRWYNSEPETDVQDKFEQDKEQDSLVDDLVEDFRLPINHKPTENVDLDNVEQASLDTKLTASNVGFRLLQKMGWKGKGLGKDEQGIVEPIKSGIRDPKLGIGKQEEDDFFTSEENIQRKKLEVEIEETEDHAKKREVLAEREQKIQTEVKEIKKVFYCDLCNKQYKLAMEFEVHLSSYDHNHRKRFKEMREMHGSSSRDDRQKRELQRQEREMAKFAQMADAHKQQQQVEESGSGQVSNSIRSATALADQDQRKALKFGFSSKSGTSKKPSGGDAKKPKAAVASVFGNDSDEEQ >Manes.08G107500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34698881:34702310:1 gene:Manes.08G107500.v8.1 transcript:Manes.08G107500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRWYNSEPETDVQDKFEQDKEQDSLVDDLVEDFRLPINHKPTENVDLDNVEQASLDTKLTASNVGFRLLQKMGWKGKGLGKDEQGIVEPIKSGIRDPKLGIGKQEEDDFFTSEENIQRKKLEVEIEETEDHAKKREVLAEREQKIQTEVKEIKKVFYCDLCNKQYKLAMEFEVHLSSYDHNHRKRFKEMREMHGSSSRDDRQKRELQRQEREMAKFAQMADAHKQQQQVEESGSGQVSNSIRSATALADQDQRKALKFGFSSKSGTSKPSGGDAKKPKAAVASVFGNDSDEEQ >Manes.08G107500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34698882:34702310:1 gene:Manes.08G107500.v8.1 transcript:Manes.08G107500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRWYNSEPETDVQDKFEQDKEQGIDVQYVHFLPCSYQDSLVDDLVEDFRLPINHKPTENVDLDNVEQASLDTKLTASNVGFRLLQKMGWKGKGLGKDEQGIVEPIKSGIRDPKLGIGKQEEDDFFTSEENIQRKKLEVEIEETEDHAKKREVLAEREQKIQTEVKEIKKVFYCDLCNKQYKLAMEFEVHLSSYDHNHRKRFKEMREMHGSSSRDDRQKRELQRQEREMAKFAQMADAHKQQQQVEESGSGQVSNSIRSATALADQDQRKALKFGFSSKSGTSKKPSGGDAKKPKAAVASVFGNDSDEEQ >Manes.15G175600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20108460:20109452:-1 gene:Manes.15G175600.v8.1 transcript:Manes.15G175600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDITSAFSLFFIFHSLFSGCALAHQVAFYVHNKCPFPIWPATAANTGHPVIADGGFYLPSGETQRILAPWDWNGRIWVRTGCNFSSNRQPACETGDCDGRLACNGLIGTPPATLVQISLQSDKSKPNFYDVSLVDGYNLPVSVTTKQSSPKCAIGGCYRSLNNLCPHELQVLNNDGEVVACKSACLAFNLDSFCCRNEYGSPEKCKPNVYSKIFKEACPSYYSYAFDSPPPLVNCAAKEYVITFCPSSWGGDHNST >Manes.11G083590.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:13613279:13613458:-1 gene:Manes.11G083590.v8.1 transcript:Manes.11G083590.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNEEIEHRRIYTNGIWVHIAEKGQGPLVLLIHGFPQLWCCWVHQISHLASHARLWRL >Manes.15G065700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5004908:5007854:1 gene:Manes.15G065700.v8.1 transcript:Manes.15G065700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGFKGFTAIFFIPVSACYSAERRRHKKFTEKICGDIHGQFYDMRELFKVGGACRQTNYLFLGDFVDRGFYSLETFLLLLALKVIYPDRITLVRGNHESCQIIQLSCRCLDPMMSAYVNMAL >Manes.15G065700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5004908:5007854:1 gene:Manes.15G065700.v8.1 transcript:Manes.15G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGFKGFTAIFFIPVSACYSAERRRHKKFTEKICGDIHGQFYDMRELFKVGGACRQTNYLFLGDFVDRGFYSLETFLLLLALKVIYPDRITLVRGNHESCQIIQVFGSYDECLRKYGFVNVWTLEILHRYI >Manes.12G113500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31369600:31378021:-1 gene:Manes.12G113500.v8.1 transcript:Manes.12G113500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESYLNENFDVKPKHSTEEVLQRWRNVCGVVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRVAVLVSKAAFQFIQGVSPSDYTVPAEVKAAGFEICADELGSIVEGHDVKKLKLHGGVNGIAEKLCTSTTDGLPTDNDLLNRRQEIYGINKFAESELRSFWVFVWEALHDMTLMILGVCALVSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITIQVTRSGFRQKLSIYDLLPGDIVHLSIGDQVPADGLFISGFSVLIDESSLTGESEPVMVSLENPYMLSGTKIQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLVQGLFSHKLRDGSQWSWTADDALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKSCICMNIKELGQPDKASSLRSELPDSSLKLLLQSVFNNTGGEVVTSKDGKLEILGTPTESALLEFALSLGGDFQAERQAVKLIKVEPFNSTKKRMGVVVELSEGRMRAHTKGASEIVLAACDKVLNSKGEVVALDEASLNHLKVTIDQFASEALRTLCLAYMDLENGFSPDNSIPVSGYTCIAIVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKKQDELMQLIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALIVNFSSACMTGSAPLTAVQLLWVNMIMDTLGALALATEPPKDELMKRTPVGRKGNFISNVMWRNIMGQSVYQFFVIWYLQAKGKDLFDLEGPNSDLVLNTLIFNAFVFCQAFNEISSREMEQINVFKGILENCVFVSVLGSTIIFQIIIVEFLGTFANTTPLTLSQWYFSVIIGFLGMPIAAILKLIPV >Manes.05G181600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29844397:29851525:-1 gene:Manes.05G181600.v8.1 transcript:Manes.05G181600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLNENFGDVKPKNSSEEALQRWRKLCWLVKNRKRRFRFTANLSKRFEAEAIRRSNQEKLRVAVLVSKAALQFIHCLNLSNDYTVPEEVESAGFQICAEELATIVEGHDVKKLTIHGGVESISGKLSTSITDGISTSEDSLKRRTEIYGINKFTESPPRGFWVFVWEALQDTTLMILGVCALVSLIVGIIMEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKELDKEKKKISVHVTRNGLRQKISIYDLLPGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVPVNATNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATVIGKIGLFFAVVTFAVLVEGLFRRKLHEGSHWNWSGDDTMEMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKASICGEIKEVTSSKGTFNFGSAVPGSAMRILLESIFNNTGGEVVNNKDNKIEILGSPTETALLELGMLLGGNFQEERKKSNIVKVEPFNSTKKRMSVVLQLANGSFRAHCKGASEIILAACDKFIDTNGEVVPLDEAAVNHLKNTIEQFASESLRTLCLAYIEIGSEFSAESSIPSKGYTCLGIVGIKDPVRPGVRESVAACRSAGIVVRMVTGDNITTAKAIARECGILTDKGIAIEGPEFRNKSEEELHELIPRIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSMYQFVMIWYLQTRGKAFFHLDGPDSDLVLNTLIFNAFVFCQVFNEISSREMEKINVFKGILKNYVFVAVLTCTVIFQIAIVELLGTYANTSPLNWRQWFVTVFLGFLGMPIAAALKMIPVVSN >Manes.05G181600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29845854:29851534:-1 gene:Manes.05G181600.v8.1 transcript:Manes.05G181600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLNENFGDVKPKNSSEEALQRWRKLCWLVKNRKRRFRFTANLSKRFEAEAIRRSNQEKLRVAVLVSKAALQFIHCLNLSNDYTVPEEVESAGFQICAEELATIVEGHDVKKLTIHGGVESISGKLSTSITDGISTSEDSLKRRTEIYGINKFTESPPRGFWVFVWEALQDTTLMILGVCALVSLIVGIIMEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKELDKEKKKISVHVTRNGLRQKISIYDLLPGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVPVNATNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATVIGKIGLFFAVVTFAVLVEGLFRRKLHEGSHWNWSGDDTMEMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKASICGEIKEVTSSKGTFNFGSAVPGSAMRILLESIFNNTGGEVVNNKDNKIEILGSPTETALLELGMLLGGNFQEERKKSNIVKVEPFNSTKKRMSVVLQLANGSFRAHCKGASEIILAACDKFIDTNGEVVPLDEAAVNHLKNTIEQFASESLRTLCLAYIEIGSEFSAESSIPSKGYTCLGIVGIKDPVRPGVRESVAACRSAGIVVRMVTGDNITTAKAIARECGILTDKGIAIEGPEFRNKSEEELHELIPRIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSMYQFVMIWYLQTRGKAFFHLDGPDSDLVLNTLIFNAFVFCQVFNEISSREMEKINVFKGILKNYVFVAVLTCTVIFQIAIVELLGTYANTSPLNWRQWFVTVFLGFLGMPIAAALKMIPVVSN >Manes.05G181600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29844946:29851525:-1 gene:Manes.05G181600.v8.1 transcript:Manes.05G181600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLNENFGDVKPKNSSEEALQRWRKLCWLVKNRKRRFRFTANLSKRFEAEAIRRSNQEKLRVAVLVSKAALQFIHCLNLSNDYTVPEEVESAGFQICAEELATIVEGHDVKKLTIHGGVESISGKLSTSITDGISTSEDSLKRRTEIYGINKFTESPPRGFWVFVWEALQDTTLMILGVCALVSLIVGIIMEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKELDKEKKKISVHVTRNGLRQKISIYDLLPGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVPVNATNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATVIGKIGLFFAVVTFAVLVEGLFRRKLHEGSHWNWSGDDTMEMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKASICGEIKEVTSSKGTFNFGSAVPGSAMRILLESIFNNTGGEVVNNKDNKIEILGSPTETALLELGMLLGGNFQEERKKSNIVKVEPFNSTKKRMSVVLQLANGSFRAHCKGASEIILAACDKFIDTNGEVVPLDEAAVNHLKNTIEQFASESLRTLCLAYIEIGSEFSAESSIPSKGYTCLGIVGIKDPVRPGVRESVAACRSAGIVVRMVTGDNITTAKAIARECGILTDKGIAIEGPEFRNKSEEELHELIPRIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSMYQFVMIWYLQTRGKAFFHLDGPDSDLVLNTLIFNAFVFCQVFNEISSREMEKINVFKGILKNYVFVAVLTCTVIFQIAIVELLGTYANTSPLNWRQWFVTVFLGFLGMPIAAALKMIPVVSN >Manes.05G181600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29844397:29851525:-1 gene:Manes.05G181600.v8.1 transcript:Manes.05G181600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYLNENFGDVKPKNSSEEALQRWRKLCWLVKNRKRRFRFTANLSKRFEAEAIRRSNQEKLRVAVLVSKAALQFIHCLNLSNDYTVPEEVESAGFQICAEELATIVEGHDVKKLTIHGGVESISGKLSTSITDGISTSEDSLKRRTEIYGINKFTESPPRGFWVFVWEALQDTTLMILGVCALVSLIVGIIMEGWPKGAHDGLGIVASILLVVFVTATSDYKQSLQFKELDKEKKKISVHVTRNGLRQKISIYDLLPGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVPVNATNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATVIGKIGLFFAVVTFAVLVEGLFRRKLHEGSHWNWSGDDTMEMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKASICGEIKEVTSSKGTFNFGSAVPGSAMRILLESIFNNTGGEVVNNKDNKIEILGSPTETALLELGMLLGGNFQEERKKSNIVKVEPFNSTKKRMSVVLQLANGSFRAHCKGASEIILAACDKFIDTNGEVVPLDEAAVNHLKNTIEQFASESLRTLCLAYIEIGSEFSAESSIPSKGYTCLGIVGIKDPVRPGVRESVAACRSAGIVVRMVTGDNITTAKAIARECGILTDKGIAIEGPEFRNKSEEELHELIPRIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSMYQFVMIWYLQTRGKAFFHLDGPDSDLVLNTLIFNAFVFCQVFNEISSREMEKINVFKGILKNYVFVAVLTCTVIFQIAIVELLGTYANTSPLNWRQWFVTVFLGFLGMPIAAALKMIPVVSN >Manes.01G128500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32235818:32240682:-1 gene:Manes.01G128500.v8.1 transcript:Manes.01G128500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTWMGLFHVHICLAGKATLLPFSATSLLLSFSNITKEKASSRTTFSLFHSLFVSLPDSQVATTEFHNQEPDQGPSLRKTGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEIALIVFSTRGRLYEYANNSVRGTIERYKKANADSSNPASASEVNTQFYQQESSKLRRQIREIQNLNRHILGEALSTLTLKELKNLEGRLEKGISKVRSKKNEMLFAEIEYMQKREIELQNDNMFLRAKIAENEREQQQQTNIMAGGSVYGSAPSQQYDRNFFPVNLLEPNNHYSRPDHTALQLV >Manes.12G096400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:19598513:19603677:1 gene:Manes.12G096400.v8.1 transcript:Manes.12G096400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPASFLYCCLGLLALLSGVLVKAEDPYRFYTWTVTYGTISPLRVPQRGILINGQFPGPLVDCVTNDNIIVNVINKLDQPFLITWNGIKQRKTTWQDGVQGTNCPIPPNANWTYKFQTKDQVGTFNYFPSIGMQRVAGGYGGFNVQSRSVIPIPYPIPDEEFTLLIGEWYKTDYKVLQQNLDQGISLPLPDALLINGLPKGATFTGEKGKRYKFRVSNVGIATSINFRIQGHTMTLVEVEGAHTLQEAYESLDVHPGQSVAVLVTLNGSSKDYYIVASTRFTKPILTTTGILRYAGANTPPSLPLPIGPTYHIHWSMKQARTIRLNLTANAARPNPQGSFHYGTIQVVRTLILANTEAKIGGKLRYAVNSISYVDPATPLKLADWFNIPGVFTLNSIKDSPTNAAATLGTSVVGATLHDFYEIVFQNTENTVQSWHLDGYSFYVVGYGKGVWNPDVRKRRKLYNLNDAVPRHTVQVYPNSWSVVLVSLDNKGMWNLRSAIWSRRYLGQQLYLRVWNNERSLFTENDIPANALLCGLAKRP >Manes.13G086134.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:15978245:15978922:1 gene:Manes.13G086134.v8.1 transcript:Manes.13G086134.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNELNNEKRNLVEHVMKLSAERENLVGFIERLWDRFSEFSREDVKMIGTLERMVQSLSDNGSNLAMMGDGEIFKYVENVENTNPSPPTTKKFQAVLGDRSPFRELN >Manes.09G051800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8636792:8643839:-1 gene:Manes.09G051800.v8.1 transcript:Manes.09G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTGKLMPNLDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIESNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTENLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNSRECEEVANLFSRILNAYAKVPPKSKVSSSKSEFEELEAVPSMSVIEGPLEPSSTVSAPTDGPEDSSFDNFFSAAMNIGSGAPNLANSRQPYHSAVTVSTPSHTPNIVSPPSQTPQLPSLPLSSLPTSVAIRDTPDPISSSNRVTNLVKPSSFFTPPSSSTLMAPPISSPLPTAPALHPPLNLQRPYGTPMLQPFPPPTPPPSLTPSSSTTPVINRDKVRDALLTLVQDDQFIDMFYQALLKVHHS >Manes.01G121800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31774424:31779106:-1 gene:Manes.01G121800.v8.1 transcript:Manes.01G121800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLETEGTKASRRKETLYDVLHRMLSTIFFSDSDGSEPLLQRIKNSLSDNGPLLKDATSNTGRKILLWTRRGSPLRALLVISVGTITLLTLTGLLVFMLFFLAATVNAIIVSLLIFLAAIGGFTALFFACVTAIYIGALSVATFVISMATISAIIAVSIAIGWIGFFWTVWLVTKKSFEVAKQSLSVTGSAISAYSFGRHVPHYQELDKLSN >Manes.01G121800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31776394:31779190:-1 gene:Manes.01G121800.v8.1 transcript:Manes.01G121800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLETEGTKASRRKETLYDVLHRMLSTIFFSDSDGSEPLLQRIKNSLSDNGPLLKDATSNTGRKILLWTRRGSPLRALLVISVGTITLLTLTGLLVFMLFFLAATVNAIIVSLLIFLAAIGGFTALFFACVTAIYIGALSVATFVISMATISAIIAVSIAIGWIGFFWTVWLVTKKSFEVAKQSLSVTGSAISAYSFGRHVPHYQELDKLSN >Manes.01G219100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38783873:38788225:-1 gene:Manes.01G219100.v8.1 transcript:Manes.01G219100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEYQEVYIKNSRGVQLFTCRWLPVSSSPKALVFLCHGYGMECSGYMKECGIRLARARYAVFGIDYEGHGRSSGSRCYIKKFENIVNDCNEFFKSVCVEKYYSDKGRFLCGESMGGAVALLLHKKEPSFYNGAVLVAPMCKISEKLKPHPVVVNILTSFEEIIPKWKIVPTKDVIDSAFKDPFKREEIRNNKLIYQDKPRLKTALEMLRTSMSLEQSLYQVRLPFLVLHGDADTVTDPEISKALYEKASSKDKTMKLYPGMWHALTAGETNENVDIVFADIVDWLEKHTLDLVPEPIIEPFNNGIERVDEFPAPVQSRRKQSQGSYLCGLKEPRTLHSAM >Manes.13G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36535621:36538805:1 gene:Manes.13G149500.v8.1 transcript:Manes.13G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAYVDITAWFDRVSEKAGLVQTETLRRILELNWGVEYLQKWLGDINIQDIDACALESLYTSLVPLASHADLEPYIQRIADGDTTPLLTQQPITTLSLSSGTTEGRQKFVPFTRHSSQTTLQIFSLAAAYRSRVYPIREGGKILELIYSSKQFKTKGGLTVGTATTHYYASEEFKIRQEKTRSFTCSPPEVISGRDYKQSTYCHLLLGLFFCDQVEFITSTFAYSIVQAFAAFEEMWKEICNDIKEGSLSTRITLPKMRESVLDIISSSPNPSLASRIEERCMKLENLDWVGLIPKLWPNAKYVYSIMTGSMQPYMKKLRHYAGGLPLVSADYGSTESWIGVNVDPSLPPEDVTFAVIPTFSYFEFMPLYRQNKDFSAAIDDFVEDKPVPLSKVKVGQEYEIILTTFTGLYRYRLGDVVEVAGFHKGTPKLNFVCRRKLILTVNIDKNTEKDLQVVVERGAQLLMSKARAELVDFTSHADVVNQPGHYIIYWEIKGEVEEGVLRECCREMDASFVDHGYVVSRKAHSIGPLELCIVERGTFKKILDYFVGNGAALSQFKTPRCTSNQVLLRILNVCTIKRFRSTAYA >Manes.13G011800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1504161:1508577:1 gene:Manes.13G011800.v8.1 transcript:Manes.13G011800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDRVTDEAVSERTAFRRAEKQYKLYYDQDSKSSKNRKKRPKRVDLSEVLDFKTISESYDKNGDLPPGIVPFQCGFDRPVFCLQNCPGFYFIPGALSIEEQCRWIREGLISFPQPPNRTNHNAIYGPIHDLFIASKERKVLVEDENTLQSLDSGSNACVSNEDSHRWEFCEEHIALSRGKTHKSISASVLIRKLRWSTLGLQFDWSKRNYNVSLPHNKIPDALCQLAKRLAAPAMPMGEEFHPEAAIVNYFGLGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSREDPPFAMFLRSGDVVLMAGEARECFHGVPRIFTDEENAEITPLEVHFSQENDVLEYIRTSRININIRQVF >Manes.13G011800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1504161:1508577:1 gene:Manes.13G011800.v8.1 transcript:Manes.13G011800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDRVTDEAVSERTAFRRAEKQYKLYYDQDSKSSKKKKRPKRVDLSEVLDFKTISESYDKNGDLPPGIVPFQCGFDRPVFCLQNCPGFYFIPGALSIEEQCRWIREGLISFPQPPNRTNHNAIYGPIHDLFIASKERKVLVEDENTLQSLDSGSNACVSNEDSHRWEFCEEHIALSRGKTHKSISASVLIRKLRWSTLGLQFDWSKRNYNVSLPHNKIPDALCQLAKRLAAPAMPMGEEFHPEAAIVNYFGLGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSREDPPFAMFLRSGDVVLMAGEARECFHGVPRIFTDEENAEITPLEVHFSQENDVLEYIRTSRININIRQVF >Manes.13G011800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1504161:1508577:1 gene:Manes.13G011800.v8.1 transcript:Manes.13G011800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDRVTDEAVSERTAFRRAEKQYKLYYDQDSKSSKKKKRPKRVDLSEVLDFKTISESYDKNGDLPPGIVPFQCGFDRPVFCLQNCPGFYFIPGALSIEEQCRWIREGLISFPQPPNRTNHNAIYGPIHDLFIASKERKVLVEDENTLQSLDSGSNACVSNEDSHRWEFCEEHIALSRGKTHKSISASVLIRKLRWSTLGLQFDWSKRNYNVSLPHNKIPDALCQLAKRLAAPAMPMGEEFHPEAAIVNYFGLGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSREDPPFAMFLRSGDVVLMAGEARECFHGVPRIFTDEENAEITPLEPLNIENVKVASGLMENDEVFEDI >Manes.13G011800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1504161:1508577:1 gene:Manes.13G011800.v8.1 transcript:Manes.13G011800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDRVTDEAVSERTAFRRAEKQYKLYYDQDSKSSKKKKRPKRVDLSEVLDFKTISESYDKNGDLPPGIVPFQCGFDRPVFCLQNCPGFYFIPGALSIEEQCRWIREGLISFPQPPNRTNHNAIYGPIHDLFIASKERKVLVEDENTLQSLDSGSNACVSNEDSHRWEFCEEHIALSRGKTHKSISASVLIRKLRWSTLGLQFDWSKRNYNVSLPHNKIPDALCQLAKRLAAPAMPMGEEFHPEAAIVNYFGLGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSREDPPFAMFLRSGDVVLMAGEARECFHGKC >Manes.13G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1504161:1508577:1 gene:Manes.13G011800.v8.1 transcript:Manes.13G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDRVTDEAVSERTAFRRAEKQYKLYYDQDSKSSKNRKKRPKRVDLSEVLDFKTISESYDKNGDLPPGIVPFQCGFDRPVFCLQNCPGFYFIPGALSIEEQCRWIREGLISFPQPPNRTNHNAIYGPIHDLFIASKERKVLVEDENTLQSLDSGSNACVSNEDSHRWEFCEEHIALSRGKTHKSISASVLIRKLRWSTLGLQFDWSKRNYNVSLPHNKIPDALCQLAKRLAAPAMPMGEEFHPEAAIVNYFGLGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSREDPPFAMFLRSGDVVLMAGEARECFHGVPRIFTDEENAEITPLEPLNIENVKVASGLMENDEVFEDI >Manes.13G011800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1504161:1508577:1 gene:Manes.13G011800.v8.1 transcript:Manes.13G011800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPDRVTDEAVSERTAFRRAEKQYKLYYDQDSKSSKNRKKRPKRVDLSEVLDFKTISESYDKNGDLPPGIVPFQCGFDRPVFCLQNCPGFYFIPGALSIEEQCRWIREGLISFPQPPNRTNHNAIYGPIHDLFIASKERKVLVEDENTLQSLDSGSNACVSNEDSHRWEFCEEHIALSRGKTHKSISASVLIRKLRWSTLGLQFDWSKRNYNVSLPHNKIPDALCQLAKRLAAPAMPMGEEFHPEAAIVNYFGLGDMLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSREDPPFAMFLRSGDVVLMAGEARECFHGKC >Manes.02G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2051010:2053214:1 gene:Manes.02G023000.v8.1 transcript:Manes.02G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNNHISTEKYAADISSIREAQVRIKPFIHITPVLTSESLNTMSGRKLFFKCESFQKVGAFKFRGACNAVFSLDDDQAAKGVATHSSGNHAAALSLAAKLRGIPAYVIVPKNAPKCKIENVKRYGGQVILSEPSMQSRESTAAKVLEATGATLVPSSNHGRVISGHGTIVLEILEQAPQIDTIIVPISGGGLTSGVAVAAKAINPCIRVLAAEPRGANDAALSKAAGEIVRLPETNTIADGLRAFLGNLTWPIVRDLVDDVIVVEDEEIVEAMKLCFGILKVTTEPSGAIGLAAVLSDSFQKNPRWKDCRNIAIVLSGGNMDLGVVWDSFRS >Manes.08G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30890288:30893144:-1 gene:Manes.08G091500.v8.1 transcript:Manes.08G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVISLEGSIYSCKHCQTHLAFADDIISKTFHCRHGKAYLFDKVVNITEGDQEERMMMTGMHTVVDIFCVGCGSILGWKYEYAHEKGQKYKEGKFILERGKVLGPDGSNYMGGQEAQAIGSDADDA >Manes.08G091500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30890288:30893144:-1 gene:Manes.08G091500.v8.1 transcript:Manes.08G091500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVISLEGSIYSCKHCQTHLAFADDIISKTFHCRHGKAYLFDKVVNITEGDQEERMMMTGMHTVVDIFCVGCGSILGWKYEYAHEKGQKYKEGKFILER >Manes.08G091500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30890288:30892893:-1 gene:Manes.08G091500.v8.1 transcript:Manes.08G091500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVISLEGSIYSCKHCQTHLAFADDIISKTFHCRHGKAYLFDKVVNITEGDQEERMMMTGMHTVVDIFCVGCGSILGWKYEYAHEKGQKYKEGKFILERGKVLGPDGSNYMGGQEAQAIGSDADDA >Manes.02G051200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4104075:4107700:1 gene:Manes.02G051200.v8.1 transcript:Manes.02G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCMAGSAGLKTTPKLNQDASDYSDDEVSSLVSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESKNHDGQKSHNTFCEDHQPLWSPDDDLAPGNEFSHNNIRRGHHLEPSRTNHDQNHGNAILNFGRINSSFQKSLVFFIHLTAKFPLVIIFLLIILYAIPASAAILAVYVLITVLFAVPSFLILYFAYPSLDWLVKEIIT >Manes.01G215500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38490593:38496103:1 gene:Manes.01G215500.v8.1 transcript:Manes.01G215500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMGSLTTSLTARILARPNQCPTRQHFCLQFRRSCVFLRPLRTRRNSRIVCMAEPYLITKLESAEKTWKELSVKLADPDVVSNPSEYQKLAQSVAELDEVVSTYRRYKDCEKQLEETKALAKEEGNDEDMAEMIAYEIDSLSSEIKELEEKFKVLLLPSDPLDARNIMLEVRAGTGGDEAGMWAGDLVRMYQKYSEQNSWKYSLVSSSEAEKGGYKTYVMEIKGSRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKDIELTTARSGGAGGQNVNKVETAVDLFHKPTGIRIFCTEERTQLQNKARALQLLRAKLYEIKVREQQESIRNQRKSQVGTGARAEKIRTYNYKDNRVTDHRLKVNFELTSFLEGNIENAVQACAAMEQKELLEELAGSVGAPAG >Manes.18G077312.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7063182:7065333:-1 gene:Manes.18G077312.v8.1 transcript:Manes.18G077312.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTMFMSGVSTKHVVNLKRDPLLQFQVERLRPKPLSPIFYNPLPSCSASSSKTFTTVALFRSKTKAPVKKVAEPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMLGFAASLLGEAITGKGILSQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGKFVDDPPTGLEGAVIPPGKSFRSALGLKEGGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPVSEIEPLVLFNVLFFFVAALNPGTGKFVTDEDEDE >Manes.18G077312.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7063182:7065333:-1 gene:Manes.18G077312.v8.1 transcript:Manes.18G077312.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTMFMSGVSTKHVVNLKRDPLLQFQVERLRPKPLSPIFYNPLPSCSASSSKTFTTVALFRSKTKAPVKKVAEPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMLGFAASLLGEAITGKGILSQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGKFVDDPPTGLEGAVIPPGKSFRSALGLKEGGNVTNGLCNFYDSKHI >Manes.12G151150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35928673:35931749:1 gene:Manes.12G151150.v8.1 transcript:Manes.12G151150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIFQKLKSYVLMASMFIILLFFYVLCAVEGAEQEIPGKINLGSSLFPTSQPTSWFSPSGRFAFGFYQQDSGFAVGIWLVGKSNRTVVWTANRNDPPVSASSTISLTDEGKLLYKIGQGGEKLIANNTEAASFASLQDSGNLVLYNAKSEIIWESFKYPTDTILGGQTLFSGAQLVSSSETSHHTGRFHLKMQEDGNLVLYPLNTGDTSGDAYWRSGTLGHEFKFHLYLNSSGRLLIVNGTSSKIISTLYGNSLSANDDNSTIYRATLDIDGVLRLYSHRYDESGELKATTEWEALKSPCEVKSFCGFNSYCTYNDDQPVCLCLPGSDFIDPNHRNLGCTRNYTEAACKGGKQNPLHFNITTMENINWGDIPYVQQSMSIEECRNSCLEDCNCGAALFSENTCKKQRLPLRSIRYKRLVEHGEDGLTEELKLRLFSYDELKAATNGFREELGKGSFGAVYKGTLYKRKKLVAVKRLEKLVEEGEREFQAEMRAIGRTHHKNLVRLLGYCAVDSKRLLVYEYMSNGSLANLVFNSSGRLSWNQRIKIAIDVAKGIHYLHEECETPIIHCDIKPQNILMDEFWTAKIADFGLAKLLMPDQTRTFTVVRGTRGYLAPEWHKNTPISVKADIYSYGIVLLEIVCCRKNMELNTLKPEEIVLSTWVYNCFVAGELEKLVIGEEADKKILEKMVMVAMWCIQDEPALRPSMKSVVLMLEGITDVSVPPCPTAPSMEFNSLL >Manes.12G064702.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6618021:6620158:1 gene:Manes.12G064702.v8.1 transcript:Manes.12G064702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSFFFKNSLSWMSVLGFVLAAFLGCGFSSSIFLTSLLTLSPLIFKIYKQNPKLPECTEEEEEEEEELAVPEDESMKEEKETQEEEDTCQIDEYIDRSTPAILSESDCCLYRSSTSDQDSEADWPFQDKMFGSPDFSDGSISDEESFIEIALPSGHYISHKQEEPKFNLQKKLPNFSTTPSFNKQHALMELLAELNEMNEEENLIEIDISMGSIKCPRFEIEA >Manes.07G007200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:872470:878074:1 gene:Manes.07G007200.v8.1 transcript:Manes.07G007200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQQQQSEPIGQEDENEIVTENAAFVHSDPPQVGDGPPKVDTEVEILHEKVTKQIIKEGHGQKPSKYSTCFLHYRAWTESTQHKFDDTWHEQRPVEMIIGKEKKEMMGLAIGVSNMKTGERALLHVGWELGYGKEGSFSFPNVPPMADIIYEVELIGFDETKEGKTRGDMTVEERIGAADRRKMDGNALFKEEKLEEAMQQYEMAVAYLGDDFMFQLFGKFRDMALAVKNPCHLNMAACLIKLKRYEEAIGQCSIVLGEDENNVKALFRRGKARAELGQTDAAREDFLKARKYAPEDKAIARELRLIAQHEKAVYQKQKEIYKGIFGPPPEPKPKAKNFVIRIWQCLIVIWQWLRSIFYRLFKRESHKSD >Manes.07G007200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:872340:878074:1 gene:Manes.07G007200.v8.1 transcript:Manes.07G007200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQQQQSEPIGQEDENEIVTENAAFVHSDPPQVGDGPPKVDTEVEILHEKVTKQIIKEGHGQKPSKYSTCFLHYRAWTESTQHKFDDTWHEQRPVEMIIGKEKKEMMGLAIGVSNMKTGERALLHVGWELGYGKEGSFSFPNVPPMADIIYEVELIGFDETKEGKTRGDMTVEERIGAADRRKMDGNALFKEEKLEEAMQQYEMAVAYLGDDFMFQLFGKFRDMALAVKNPCHLNMAACLIKLKRYEEAIGQCSIVLGEDENNVKALFRRGKARAELGQTDAAREDFLKARKYAPEDKAIARELRLIAQHEKAVYQKQKEIYKGIFGPPPEPKPKAKNFVIRIWQCLIVIWQWLRSIFYRLFKRESHKSD >Manes.07G007200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:872470:878074:1 gene:Manes.07G007200.v8.1 transcript:Manes.07G007200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCCKMQAQFDEQVDWGTNWNVLGAAPVIYPYAGSSVHGNDLRHTKLSSRRDDLLHKPGQEDENEIVTENAAFVHSDPPQVGDGPPKVDTEVEILHEKVTKQIIKEGHGQKPSKYSTCFLHYRAWTESTQHKFDDTWHEQRPVEMIIGKEKKEMMGLAIGVSNMKTGERALLHVGWELGYGKEGSFSFPNVPPMADIIYEVELIGFDETKEGKTRGDMTVEERIGAADRRKMDGNALFKEEKLEEAMQQYEMAVAYLGDDFMFQLFGKFRDMALAVKNPCHLNMAACLIKLKRYEEAIGQCSIVLGEDENNVKALFRRGKARAELGQTDAAREDFLKARKYAPEDKAIARELRLIAQHEKAVYQKQKEIYKGIFGPPPEPKPKAKNFVIRIWQCLIVIWQWLRSIFYRLFKRESHKSD >Manes.05G152200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26228534:26230761:1 gene:Manes.05G152200.v8.1 transcript:Manes.05G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFYSIRNSDGGLLGEEFYGEMATGEASSDAPIAARIQNDQGETSAFAAYKTKYENLPHHLKSCLDYIFLRSMCWHNDKDYVVRLLLAQGLIPEKPGEIMEDTAASNIKELIDLGMLHEVYGYYKTRLSVSKFHKKSCITEVEEHDFVFKAANLPIHASITNGGEDLPPNFKTFLIRSLFAEALGSISFHVYEYVYFSQVFLQTVCALQFILVLELDGRIEYLPDEVGELVHLRYLGLKDTGIKKLPHTIGNLQKLQTLEVTHSKLHQLPIEILNIKQLRHLIFDNHVPRGIGTLVNLYSLIGVCADAGFASELSTLTHLRNLNIRNVEDHANELYAAIFNLENLVSLSLNVEDAYLGTPLPDLEPFSPPPHIQELSLYGGLIEMPNWLASMENLIRLELNRSTLLEFPSSVLQFLPKLKHLILDDAYKTKIIGKEFCNAGGYPELETLLISSKDLVEWTEIVNGAFPSLKKLKFEDCPNLRFLPEGLQHISTIQELVLLPSHGDLARRLRGEENYKIKNISNLRIREER >Manes.10G085500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22043106:22044371:1 gene:Manes.10G085500.v8.1 transcript:Manes.10G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSIFPNMSDLSVTGNKSSPINHARYKEIISTLTQKSSWRQSQQLHKYQSFWCFPTFLEGIMAAQEQFIAHPTDIIACSHPKSGTTWLKALCFAILTRAQFNNSSTNSLLAESPHDIVPWIEFLAFTGKNRDPELPLLATHIPYNFLPKSIVEANCKIIYICRDPKDVFISLWQFAGKVRDTSAEAFPLEDALEKFCEGVNAYGPYWDHVLGYWKASLDFSERILFIKYEDLQNDTFSYVKRVAEFMGYPFSAEEEKRGLVQEIVEFCSFESLSSLEVNKSRKHSNAVPIKVEKNAYFRKGKVGDWRNYLTVEMAVRVDQITQQKFSGSGLSFSSA >Manes.05G172901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28769176:28769708:1 gene:Manes.05G172901.v8.1 transcript:Manes.05G172901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFHTTKSKRASVTLSEHQLREIFMQFDEDHDNVLSKEEVRKAFTYLGSRIPEFRTLRGFNHADANGNGQIEFGDELDKLVKYAFKLGYTVM >Manes.07G026800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2852086:2853289:1 gene:Manes.07G026800.v8.1 transcript:Manes.07G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNHQCSSPQPGKWVKGKVLGSGSQGNVHLAMNKATGELFTVKSATSRIAVQSLDNEANFLSSLDSPYVVKYLGNDETSPSIFLEYMPGGSLSDVAEKFGGALDEEVIRLYTREILCGLKYIHGEGIVHCDLKCKNLLLGSTGNVKLADFGCAKRVKDIGISCQDIGGTPLWMAPEVLRKERLDFSSDIWSLGCTVIEMATGKTPWFNLQVSNPMAAVFKIACSDEKPQFPTHFSKKGLDFLAKCLERNPEMRWNAEELLQHPFISGKSKRIFARSPASVFDIGIFDEVYDSDESESPDEGEFRGRNPFSIRQCEGRNRIERMHEASDDVFGSSEGWITVRSG >Manes.02G015100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1428428:1434816:1 gene:Manes.02G015100.v8.1 transcript:Manes.02G015100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSSSSLPSTFSANASDPSAASRPPPPSNGITVYPPSASPPPRHPPPHSLQPTNRPSSANPAVGRVLGRPMEDVRNTYTFGRELGRGQFGVTYLVTHKETKLQFACKSIATRKLINRDDIEDIRREVQIMHHLTGHRNIVELKGAYEDKHSVNLVMELCAGGELFDRIIAKGHYSERAAANLCRQIVTVVHNCHSMGVIHRDLKPENFLFLSTDENSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLHRKYGAECDIWSAGVILYILLCGVPPFWGETEQAIFDSVLRGHLDFSSDPWPSISSSAKDLVKKMLRADPKDRLSAVEVLNHPWMREDGDASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIMGLKEMFKSMDTDNSGTITYEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRVEREDHLYKAFEYFDKDKSGYITMEELEHALKEYNMGDTKTIKEIIAEVDTDNDGRINYEEFVAMMRKGNPELVTNRRRK >Manes.01G098200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29946877:29949663:1 gene:Manes.01G098200.v8.1 transcript:Manes.01G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHPHTPLHHHCQSPSPITATSTTTTCCCSCHYNHCCIHPSPPTQQLPIDHPLLRALGSLLQQQQLPNPYPPCCNKPHTQKSNLHNIDFHTQDLRFQHLGGDQQTQSVLSSLLERINFLESSLHRFSTSSASNNYCHSSFSLREAAARVIQTHFRAFLVRRSRTLSHLQELAFIKASFNSLKSSISNKTHFNFEVISHKAMDLLDKLDSIQGGDPMVRDGKRSMSRDIVRFLEFVDGLSAMRYGYLYKPAKNVRFIRNSNKSRALNDTTACRELFGHPKETVRNGRVEKIRGSYKISGYHEEDVELEGFQQFIDNEDYDDESPKLFPNVNQGVSGVRNGVLIKSNAGKPRVKKTVSFSENGNVYRIISDNHESVLNGDGSFTEGSDSSDDHGETMDYNEIEGRKGISKGIVDDEVVKDEKAASTQSSGGERNPTRNARRGSDSENHRLCQDEYGNLLCQDEYGNLVFSAPAPVKMESRAA >Manes.14G165400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26174830:26179516:-1 gene:Manes.14G165400.v8.1 transcript:Manes.14G165400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIVESVPRSRHRSRSRSPRRRYRDDYKDKDHKKRSRSRSLDRYERDKNRGRDRDYRRRSRSRSASPDYSRGRGRGRYDDERRSGSRSADSASPVRRSPSPQRSSSPRKNSPPKAESPDRRSRDDRSPTPRSASPRGRHAASRSPSPRNSDVDE >Manes.14G165400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26177220:26179431:-1 gene:Manes.14G165400.v8.1 transcript:Manes.14G165400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIVESVPRSRHRSRSRSPRRRYRDDYKDKDHKKRSRSRSLDRYERDKNRGRDRDYRRRSRSRSASPDYSRGRGRGRYDDERRSGSRSADRFTTLLINAPLLT >Manes.14G165400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26174249:26179516:-1 gene:Manes.14G165400.v8.1 transcript:Manes.14G165400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIVESVPRSRHRSRSRSPRRRYRDDYKDKDHKKRSRSRSLDRYERDKNRGRDRDYRRRSRSRSASPDYSRGRGRGRYDDERRSGSRSADSASPVRRSPSPQRSSSPRKNSPPKAESPDRRSRDDRSPTPRSASPRGRHAASRSPSPRNSDVDE >Manes.14G165400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26174830:26179516:-1 gene:Manes.14G165400.v8.1 transcript:Manes.14G165400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIVESVPRSRHRSRSRSPRRRYRDDYKDKDHKKRSRSRSLDRYERDKNRGRDRDYRRRSRSRSASPDYSRGRGRGRYDDERRSGSRSADSASPVRRSPSPQRSSSPRKNSPPKAESPDRRSRDDRSPTPRSASPRGRHAASRSPSPRNSDVDE >Manes.14G165400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26174830:26179516:-1 gene:Manes.14G165400.v8.1 transcript:Manes.14G165400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDISDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGDSRGFAFVRYKYADEAQKAVDRLDGRVVDGREITVQFAKYGPNAERIHKGRIVESVPRSRHRSRSRSPRRRYRDDYKDKDHKKRSRSRSLDRYERDKNRGRDRDYRRRSRSRSASPDYSRGRGRGRYDDERRSGSRSADSASPVRRSPSPQRSSSPRKNSPPKAESPDRRSRDDRSPTPRSASPRGRHAASRSPSPRNSDVDE >Manes.09G136600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33696050:33699088:1 gene:Manes.09G136600.v8.1 transcript:Manes.09G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVSVSENKRYIILLNISFLFVACVFLILQFSSSRFLVLTSSQHDTQQECKVLESLEGDRAKCHYLTSHINPCVSEGYIDYLHLLYCNFGRIPLLGHCFLFLWLLLLFYLLGNTASEYFCSSLENLSSFLKLSPTIAGVTLLSLGNGAPDVFSSLVSFMGSGTGNIGFNTVLGGASFVTSVVVGIMSILVKKKKIRVNKGAFVRDVCFLLFVLASLSFILLHGEINIWGSLGFLSVYIFYVLVVYFSDIHWSKVEKHASSSYGSDLRLPILSNIGKGEVNFVDESGGDAEAAEISKCCFCLRLPAPCRLLLRILEMPLYLPRRLTIPVVCEKRWSKPIAVASVALAPVLLSVLWNPQDEDAGFLNRIMVYGIGLLFGIIFGIIAYTRTESSSPPKKCLFPWLAGGFLMSMVWSYIIAQELVALLVSLGYIFQVSPSILGLTVLAWGNSLGDLITNLTMALNGKPEGAQVAISGCYAGPIFNILFGLGLSLAGSSWHHYPSAVVIPKDQYLLETLCFLVASLLWALVILPCRNMRLDGVLGIGLLGIYIVSVSVRLIQTLGSFQF >Manes.16G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2494703:2501740:-1 gene:Manes.16G023000.v8.1 transcript:Manes.16G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFRPSLKRNSFFDGVKCRLKRKQLPFMGVLLAVMLFIVYRTTSYQSYQYQQTQMELELQPFDTLKESAVASGLLHGLPLGVVQASSDLELKPLWSSSSSRSKVDNSSHRNLLAIPVGIKLKDNVDAIVRKFLPQNFTVILFHYDGNLDSWSDLAWSNKAIHIVAQNQTKWWCAKRFLHPAVVSIYDYIFLWDEDLGVENFHPGRYLEIVRSEGLEISQPALDPNSTDIHHRITIRSRGKKFHRRVYDSRGSTRCSDASEGPPCTGFVEGMAPVFSRSAWYCTWHLIQNDLVHGWGMDMKLGYCAQGDPTKKVGVVDREFIVHQGIQTLGGGGPPRKKTSKRAKLIKRTGASHMDSRVEIRRQSSWELQIFKERWNEAVKEDKLWVDPFKGNQKHRRRRHSHHHHHIKFNHLT >Manes.17G023144.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:14190579:14192885:-1 gene:Manes.17G023144.v8.1 transcript:Manes.17G023144.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAIPVGDHAPLCITYPPLTVPFELKTCLIHLLPKFRGRENENPHKHLKEFTIVYSSMRPQGISEDQVKLRAFPFSLDDYAKDWLFYLPPGSITSWDDMVQIFLDKYFPPSKSIGIIREITSIRQKQTEDVYDYWKRFERLCTGCPQHDMSDKALIQFFYGGLLPSERKFINVACGGSIIDKTPREIRELISTLAASSRQYGEEKQLQRANEVSFPTISELTSVMKNIAIEVVQQIQAPQPPRPCGICSYVGHPTDQCPTLQEDNHQVNAIGRYNNQPRHDPYSNTYNPGWRGHPNFSYGKANNDQNYQNYQRNQAQPAPSNPNQHLEKIMQTMMETMVNTMQGMRQEIGQLAASIGRSESQGKLHSQTETNPRQNVSAITLRSGKELQDARYEEEKQPPPKPTQVAQDPLQSEPPPAHKTDPKVSFHIPPPFPKRFERTQKEKEEKEILETFRKVEINIPLLDAVKQIPRYAKFLKELCTNRRKLAEREKVSVGEVVTTIIKRELPTKCKDKGMFAISCKIGNVGIKKVMCDLGASINVMPLSIYKSLNACALKETRVVIQLADRSVVYPIGVLEDVLVQVDELVFPADFYVIDTKEGSCNTSSDILLGRPFLSTARTKIDVHHGTLTMEFEGKVIKYNVYDSMKYPHDMSHVYGLDIVDCLSQEIFYENQDDILNSDFYRETDPVQIESQKEPKLKETVCNIQQIVYSQAQIGENSVAPLQNGVQTLPAQKEAPESLSGASFQFSPAQEERSESLSSTSSQPRS >Manes.08G052300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5571394:5575625:-1 gene:Manes.08G052300.v8.1 transcript:Manes.08G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASETQQSGNGSDLGEILKPFYNRASEAEDRLSRLELALASKKDAENEKLLKTISELQSKLEGANAQLASEQEKAKKLAMENAKLQYRISHLVQAVRDGDSKLENLTGAQTITKLEDVRL >Manes.11G034100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3282077:3289920:-1 gene:Manes.11G034100.v8.1 transcript:Manes.11G034100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMDGIEKTSGRRRSQRNMSGSISRRSWSMEGVFSRRNSSVNEDEEALRWAAIEKLPTYERLRTSIIKSFVENENQETEIIHREVDVRKLDVNDRQNFISSVFKVAEEDNEKFLRKFRQRIDRVGIQLPKVEVRFESLTVEANCYIGSRALPSLGNAAKNSVEWVLDMIGISFSKRTKLKILKDASGILKPSRMSLLLGPPSSGKTTLLLALAGKLDSNLKMTGEITYNGYKLDEFVPRKTSAYISQNDVHVGVMTVKETLDFSARCQGIGTRYDLQTELARREKHAGIHPEAEVDLFMKATAMNGIESNLITDYTLKILGLDICKDTIVGDEMLRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQQIVHLTDATVLMSLLQPAPETFDLFDDIILLSEGQIVYQGPRESVLDFFGSCGFRCPERKGTADFLQEVTSRKDQEQYWADRSMPYRYISVAEFVEKFKKFHVGVQLKDELSTPFHKSQSHRAALVFTKYSVPTKQLLKACWDKEWLLMKRNAFVYVSKAVQLVIVALIASTVFLRTKMHTRNEDDGGLYISALTFSVIHNMFNGYAELSLMISRLPVFYKHRDLLFHPAWTFTLPTFLLGLPISVIESIIWVAIAYFTIGFVPEASRFFKQLVLVFLTQQMAVGIFRLIAGICRTMIIANTGGALVLLLIFMLGGFILPKGKIPRGWSWGYWVSPITYGYNAISVNEMFASRWMDKLASDNVTRLGIAVLENFDIFADEDWYWIGAVALVGFTIVSNVLFTIALMYLNPPGNKQAIISEETINEMERSHQEGSTAEPRLRRPKSKKESISRSLSSDENRSREMEVVRMSSQSNPSERNGGGANSVAVKRGMILPFTPLAMSFDSMNYYVSMPAEMKDQGVSENRLQLLREVTGSFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARISGYCEQTDIHSPQVTVKESLIYSAFLRLPREVGKEEKMSFVDEVMELVELENLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYLGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSIAAEIQLGVDFAELYKSSSLYERNKALVKELSTPPPGASDLYFASKYSQSAWGQFKSCLWKQWWTYWRSPDYNLVRFFFTLIAALVVGTIFWKVGSKRESSNDLTVIIGAMYAAVFFIGVNNCSTVQPIITIERSVFYREKAAGMYSALPYATAQVISELPYVLVQTTYYTVIVYAMVAFEWTAAKFFWFYFISFLSFLYFTYYGMMTASLSPNLQVAAIFAAAFYAVFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYRDVEDTIKAPGIVPDPTVKWYIKNHFGFDPNFMGQVTAVLIGFSLFFAFLYAFCIRFLNFQNR >Manes.02G069201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5286401:5287601:1 gene:Manes.02G069201.v8.1 transcript:Manes.02G069201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFFKTVGKFLFPLQLTHIERLHVHANASPLPFSRYIPKIYIQMNNSAREVEFRIENWDIN >Manes.02G069201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5286093:5286825:1 gene:Manes.02G069201.v8.1 transcript:Manes.02G069201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFFKTVGKFLFPLQLTHIERLHVHANASPLPFSRYIPKIYIQMNNSAREVEFRIENWDIN >Manes.17G030700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22567660:22569744:1 gene:Manes.17G030700.v8.1 transcript:Manes.17G030700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFFITIHYWKHLFITNLDQSSPISELAGSAISELNNTRESSRMVRLGLRTVDKDDCGEYKSKNLHAERRRRQKLGDSLLALRSLMNKAAIIVDAITYIKELQQNVKLLSDQLLEREASSEEAVLKTRSNEMNAAADEMKQFGIVEDVQVIKIYGNKLWIKIILEKKRRKITKLIETVTSLGLELIDINVSTSKGAMLVSSCVEDSYGGTRTVEQTKELLLEIIGSI >Manes.17G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22567660:22569744:1 gene:Manes.17G030700.v8.1 transcript:Manes.17G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFFITIHYWKHLFITNLDQSSPISELAGSAISELNNTRESSRMVRLGLRTVDKDDCGEYKSKNLHAERRRRQKLGDSLLALRSLVPIITNMNKAAIIVDAITYIKELQQNVKLLSDQLLEREASSEEAVLKTRSNEMNAAADEMKQFGIVEDVQVIKIYGNKLWIKIILEKKRRKITKLIETVTSLGLELIDINVSTSKGAMLVSSCVEDSYGGTRTVEQTKELLLEIIGSI >Manes.17G030700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22567660:22569744:1 gene:Manes.17G030700.v8.1 transcript:Manes.17G030700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFFITIHYWKHLFITNLDQSSPISELAGSAISELNNTRESSRMVRLGLRTVDKDDCGEYKSKNLHAERRRRQKLGDSLLALRSLVPIITNMNKAAIIVDAITYIKELQQNVKLLSDQLLEREASSEEAVLKTRSNEMNAAADEMKQFGIEDVQVIKIYGNKLWIKIILEKKRRKITKLIETVTSLGLELIDINVSTSKGAMLVSSCVEDSYGGTRTVEQTKELLLEIIGSI >Manes.11G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:691757:693084:-1 gene:Manes.11G005700.v8.1 transcript:Manes.11G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALAANPIIKVAALSGSLRKASYNRGLIRAAIELSKESVTGLQIEYIDISPLPMLNTDLEGPDGSFPPVVEAFREKIVKADSILFASPEYNYSVTAPLKNAIDWASRPPNCWADKAAATVSAGGGFGGGRSQYHLRQIGVYLDLHFINKPEFFLNAFTPPAKFDSDGNLIDPETKERIKEVLLSLHAFSLRLKGNC >Manes.07G009740.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1115004:1116637:1 gene:Manes.07G009740.v8.1 transcript:Manes.07G009740.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGLGQLTSLQTLSLFVVAKGHISSKDVGKINELNKLKNLRGRLAIRNLGCVDNEIVNVNLKEKPLLQSLELRWDHQSWNDSNVDRDEMSFQNLQPHPNLKELYVDGYGGRSFPSWFSSLSNLVNLCISDGNGCQHLPSMVQIPSLQYLVISRLDDLEYMEIEGQQTSFFPSLKSLTLYDCPKLKGWQKKRDDSTALELLQFSCLSNFYCNNCPNLTSIPQFPSLDQSLHLQKASPQLVHQIFTPSISSSSSILPPLSKLKDLTIRDIEELESLPPDGLRNLSCLQTLTIDTCPALKCLPQELHSLTSLRKLDIQDCPQLKERCGNKKGADWEFISHIQKIKVDRQTIQKEGRYLLDDEASDMLLASGIRILNNFNWIFILPSMAHQV >Manes.02G201500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19190168:19202913:-1 gene:Manes.02G201500.v8.1 transcript:Manes.02G201500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKSIHRDPTVQNTSTLTSSAGVCMMNSKWRDEQHPSFINFISSFLAANSFRLNFVPISPDCIFNCGGLSVAFIFVTNWDCDNIMPIFSRVQKLKGQFANFYVVVSLSTKEQNDSFVRSYFKYGMELGKPTFVPVQDREMGFEKIVKIALSRGACKRQDVTSKLKAEEASYAWDGQF >Manes.02G201500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19190168:19202913:-1 gene:Manes.02G201500.v8.1 transcript:Manes.02G201500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKSIHRDPTVQNTSTLTSSAGVCMMNSKWRDEQHPSFINFISSFLAANSFRLNFVPISPDCIFNCGGLSVAFIFVTNWDCDNIMPIFSRVQKLKGQFANFYVVVSLSTKEQNDSFVRSYFKYGMELGKPTFVPVQDREMGFEKIVKIALSRGACKRQDVTSKLKAELNQAIGSIEAIAKASKEHILENTDLSADKADIITRFFRDPKFYLSPKIN >Manes.02G201500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19190168:19202913:-1 gene:Manes.02G201500.v8.1 transcript:Manes.02G201500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKSIHRDPTVQNTSTLTSSAGVCMMNSKWRDEQHPSFINFISSFLAANSFRLNFVPISPDCIFNCGGLSVAFIFVTNWDCDNIMPIFSRVQKLKGQFANFYVVVSLSTKEQNDSFVRSYFKYGMELGKPTFVPVQDREMGFEKIVKIALSRGACKRQDVTSKLKAERKQAMHGMDNFRVITSIPGIDNHDANSLNQAIGSIEAIAKASKEHILENTDLSADKADIITRFFRDPKFYLSPKIN >Manes.17G058500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25717294:25719079:-1 gene:Manes.17G058500.v8.1 transcript:Manes.17G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEFIELFDSCWFEMEIFKKQSCLSKSLGVDANPDHRNQEEAPKSELYRVPTIISRSMSDELWPKTRFGSDLSLSPDSVLLTPKLHTILSSKEITEEEGANLMSIQTQRVYVKETPTIKSRSRRRAKKKLSKSLSELEFEELKGFMDLGFVFSEEDKDSSLVSIIPGLQRLGKKEGEENGGVDEATVSRPYLSEAWNDLERRKKEDPLVNWRIPASSNEMDIKDNLKWWAQTVASTVR >Manes.15G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5055311:5061353:-1 gene:Manes.15G066500.v8.1 transcript:Manes.15G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTGPICSCSKENQKIYLEWFNFADSDGDGRITGSDAIKFFGISNLSRQDLKQVWAIADSKRQGFLGFNEFVSAMQLVSLAQAGHEIRNDILNSNVDFGNLKPASMEGLDALIAKKKRSSKLSDPDTNGSPPVQTSPSVNWFSSKSSKKVPLSSVTSIIDGLKRLYIQKLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSGYPGAHIGPEPTTDRFVVVMSGTDERSIPGNTVAVQADMPFNGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVISSLRGHDDKIRVVLNKADQVGTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNEAAVGPIGKELFEKEQDDLLADLKDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLIDNLADEFGKVQREFHLPPGDFPNVEYFREVLSGYNIDKFEKLKPKMIQAVDDMLGYDIPELLKNFRNPYD >Manes.17G066600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26664247:26668438:-1 gene:Manes.17G066600.v8.1 transcript:Manes.17G066600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLSTLQKDRFMPRFYIAAATDNMSLQKARVLEDSLVDTQNGGKVIPAKFMQIYRSREVGQSYITSIGTTLIAIAHALWLMIKIRPQVILCNGPGTCVPLCVIAFLFKVIGIRWSSIFYVESIARVKRLSLSGLLLYKLHIADQFYVQWPQLQRKYPRALYVGCLM >Manes.17G066600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26664247:26668438:-1 gene:Manes.17G066600.v8.1 transcript:Manes.17G066600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLSTLQKDRFMPRFYIAAATDNMSLQKARVLEDSLVDTNGGKVIPAKFMQIYRSREVGQSYITSIGTTLIAIAHALWLMIKIRPQVILCNGPGTCVPLCVIAFLFKVIGIRWSSIFYVESIARVKRLSLSGLLLYKLHIADQFYVQWPQLQRKYPRALYVGCLM >Manes.17G066600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26664247:26668438:-1 gene:Manes.17G066600.v8.1 transcript:Manes.17G066600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCERSKFPLPPICSSHRAPSTTSRSLLALPRRLLPPGACYTWFSLSLHFIAYSYMSAMESKDENCFFISMVISIGLIFIAIVRVIYVIYQTGKPLRPKSPKPMSTLIVLGSGGHTAEMINVLSTLQKDRFMPRFYIAAATDNMSLQKARVLEDSLVDTNGGKVIPAKFMQIYRSREVGQSYITSIGTTLIAIAHALWLMIKIRPQVILCNGPGTCVPLCVIAFLFKVIGIRWSSIFYVESIARVKRLSLSGLLLYKLHIADQFYVQWPQLQRKYPRALYVGCLM >Manes.17G066600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26664247:26668438:-1 gene:Manes.17G066600.v8.1 transcript:Manes.17G066600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMESKDENCFFISMVISIGLIFIAIVRVIYVIYQTGKPLRPKSPKPMSTLIVLGSGGHTAEMINVLSTLQKDRFMPRFYIAAATDNMSLQKARVLEDSLVDTNGGKVIPAKFMQIYRSREVGQSYITSIGTTLIAIAHALWLMIKIRPQVILCNGPGTCVPLCVIAFLFKVIGIRWSSIFYVESIARVKRLSLSGLLLYKLHIADQFYVQWPQLQRKYPRALYVGCLM >Manes.17G066600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26664247:26668438:-1 gene:Manes.17G066600.v8.1 transcript:Manes.17G066600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMESKDENCFFISMVISIGLIFIAIVRVIYVIYQTGKPLRPKSPKPMSTLIVLGSGGHTAEMINVLSTLQKDRFMPRFYIAAATDNMSLQKARVLEDSLVDTQNGGKVIPAKFMQIYRSREVGQSYITSIGTTLIAIAHALWLMIKIRPQVILCNGPGTCVPLCVIAFLFKVIGIRWSSIFYVESIARVKRLSLSGLLLYKLHIADQFYVQWPQLQRKYPRALYVGCLM >Manes.17G066600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26664247:26668438:-1 gene:Manes.17G066600.v8.1 transcript:Manes.17G066600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCERSKFPLPPICSSHRAPSTTSRSLLALPRRLLPPGACYTWFSLSLHFIAYSYMSAMESKDENCFFISMVISIGLIFIAIVRVIYVIYQTGKPLRPKSPKPMSTLIVLGSGGHTAEMINVLSTLQKDRFMPRFYIAAATDNMSLQKARVLEDSLVDTNGGKVIPAKFMQIYRSREVGQSYITSIGTTLIAIAHALWLMIKIRPQVILCNGPGTCVPLCVIAFLFKVIGIRWSSIFYVESIARVKRLSLSGLLLYKLHIADQFYVQWPQLQRKYPRALYVGCLM >Manes.17G066600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26664247:26668438:-1 gene:Manes.17G066600.v8.1 transcript:Manes.17G066600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCERSKFPLPPICSSHRAPSTTSRSLLALPRRLLPPGACYTWFSLSLHFIAYSYMSAMESKDENCFFISMVISIGLIFIAIVRVIYVIYQTGKPLRPKSPKPMSTLIVLGSGGHTAEMINVLSTLQKDRFMPRFYIAAATDNMSLQKARVLEDSLVDTNGGKVIPAKFMQIYRSREVGQSYITSIGTTLIAIAHALWLMIKIRPQVILCNGPGTCVPLCVIAFLFKVIGIRWSSIFYVESIARVKRLSLSGLLLYKLHIADQFYVQWPQLQRKYPRALYVGCLM >Manes.03G172600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29706666:29706971:-1 gene:Manes.03G172600.v8.1 transcript:Manes.03G172600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQIVESEISVEQLSMCVFVILREIEREKEKGNGNYVVLERLFHILVHSSYIYICIYMQGSYVTAVSLSPTDFDFHVIFVLFVLQFFLHLTLFNYSAKV >Manes.18G113200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11312432:11319726:-1 gene:Manes.18G113200.v8.1 transcript:Manes.18G113200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDKDEGSAGEDIESLRNKSLSVPLSNVATLGGGSSDRSKWKRKTIVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCVLSLAALARIWKNEGVTEDNRLSTTLDEVSVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYQMILKRKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPYQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMVFNAVAILIQDFDAVMNKGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKVYATSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSISKLTRQR >Manes.18G113200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11312425:11319726:-1 gene:Manes.18G113200.v8.1 transcript:Manes.18G113200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDKDEGSAGEDIESLRNKSLSVPLSNVATLGGGSSDRSKWKRKTIVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCVLSLAALARIWKNEGVTEDNRLSTTLDEVSVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYQMILKRKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPYQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMVFNAVAILIQDFDAVMNKGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKRCLCLGVSTLH >Manes.18G113200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11312166:11319793:-1 gene:Manes.18G113200.v8.1 transcript:Manes.18G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDKDEGSAGEDIESLRNKSLSVPLSNVATLGGGSSDRSKWKRKTIVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCVLSLAALARIWKNEGVTEDNRLSTTLDEVSVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYQMILKRKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPYQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMVFNAVAILIQDFDAVMNKGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKVYATSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSISKLTRQR >Manes.18G113200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11312238:11319794:-1 gene:Manes.18G113200.v8.1 transcript:Manes.18G113200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDKDEGSAGEDIESLRNKSLSVPLSNVATLGGGSSDRSKWKRKTIVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCVLSLAALARIWKNEGVTEDNRLSTTLDEVSVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYQMILKRKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPYQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMVFNAVAILIQDFDAVMNKGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKRCLCLGVSTLH >Manes.01G247500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40752775:40756869:1 gene:Manes.01G247500.v8.1 transcript:Manes.01G247500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNAAGLTPISILCEKRTEPRKFVCLPATSSIKSSNSASLSSNPPIVQQFLSGTFHGGLVLLSSVFSTGLAKALSYEEALQQSTSNPASDFDAGGVLDSVISFATENPTVIAGGAVVLAVPLVLSQVLKKPKPWGVESARKAYEVLGEDANAQLLDIRSPVDLRKVGTPDVRGFKKKPVSIVYKEEDKPGFLKKLSLKFKDPENTTVFILDKLDGNSELVAELVAVNGFKASYAIKDGAEGPRGWMNSNLPWIKPSKGLNLDLGSVTDALSGALGDGSDAFPLTLGVAAAAGLGALAFSEIETILQVLGSAAIIQFASKKLLFAEDRKQTLQQVDEFLNTKVAPKELVDEIKEIGKALLPAGSSSKALPPPVKPSSEAATAAAADNTVQKAEAAPQPAPQINSVKAESVSGFPRPLSPYASYPDLKPPTSPTPSQP >Manes.13G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7120871:7124549:-1 gene:Manes.13G061000.v8.1 transcript:Manes.13G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGQPLPKFGEWDVNDPASAEGFTVIFNKARNEKKTGGKPDSPTRDSSGFKPDTTTLGKPQSKKWFCCMQAAKAE >Manes.18G141804.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23909975:23913634:1 gene:Manes.18G141804.v8.1 transcript:Manes.18G141804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGTPMVQPLPLSKRKYLANYLGRAQGKVGRLKLIELAKQYPDKLESPELKFSGPDKLGRMEYFQNLRNAKFCLAPRGESSWTLRFYESFFVVSLSQTQIHICGLLLLHTRGFLEHLC >Manes.09G106100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30761665:30765348:1 gene:Manes.09G106100.v8.1 transcript:Manes.09G106100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSELDRTFMETKRNKLLWDVISNKLKEKGFHRSADQCKCKWKNLVTRYKGCETIEPESLRQQFPFYSELQAIFSARMQRMLWTEAEGAAGGSKKKAVQLCSDEEDDNEESEGEKLGSTRKKKKGKSAANISGGGSSSGNIGSLKEILEDFMKQQMQMEMQWREAFEARENERKMMEMEWRQTMEALENERIMMDRRWREREEQRRIREEARAEKRDALITALLHKLRREEI >Manes.09G106100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30761493:30764068:1 gene:Manes.09G106100.v8.1 transcript:Manes.09G106100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHHQHHISVNVDTDRFPQWSIQETRQFLMIRSELDRTFMETKRNKLLWDVISNKLKEKGFHRSADQCKCKWKNLVTRYKGCETIEPESLRQQFPFYSELQAIFSARMQRMLWTEAEGAAGGSKKKAVQLCSDEEDDNEESEGEKLGSTRKKKKGKSAANISGGGSSSGNIGSLKEILEDFMKQQMQMEMQWREAFEARENERKMMEMEWRQTMEALENERIMMDRRWREREEQRRIREEARAEKRDALITALLHKLRREEI >Manes.09G106100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30761437:30764020:1 gene:Manes.09G106100.v8.1 transcript:Manes.09G106100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHHQHHISVNVDTDRFPQWSIQETRQFLMIRSELDRTFMETKRNKLLWDVISNKLKEKGFHRSADQCKCKWKNLVTRYKGCETIEPESLRQQFPFYSELQAIFSARMQRMLWTEAEGAAGGSKKKAVQLCSDEEDDNEESEGEKLGSTRKKKKGKSAANISGGGSSSGNIGSLKEILEDFMKQQMQMEMQWREAFEARENERKMMEMEWRQTMEALENERIMMDRRWREREEQRRIREEARAEKRDALITALLHKLRREEI >Manes.09G106100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30761665:30765348:1 gene:Manes.09G106100.v8.1 transcript:Manes.09G106100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHHQHHISVNVDTDRFPQWSIQETRQFLMIRSELDRTFMETKRNKLLWDVISNKLKEKGFHRSADQCKCKWKNLVTRYKGCETIEPESLRQQFPFYSELQAIFSARMQRMLWTEAEGAAGGSKKKAVQLCSDEEDDNEESEGEKLGSTRKKKKGKSAANISGGGSSSGNIGSLKEILEDFMKQQMQMEMQWREAFEARENERKMMEMEWRQTMEALENERIMMDRRWREREEQRRIREEARAEKRDALITALLHKLRREEI >Manes.09G106100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30761439:30765716:1 gene:Manes.09G106100.v8.1 transcript:Manes.09G106100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHHHQHHISVNVDTDRFPQWSIQETRQFLMIRSELDRTFMETKRNKLLWDVISNKLKEKGFHRSADQCKCKWKNLVTRYKGCETIEPESLRQQFPFYSELQAIFSARMQRMLWTEAEGAAGGSKKKAVQLCSDEEDDNEESEGEKLGSTRKKKKGKSAANISGGGSSSGNIGSLKEILEDFMKQQMQMEMQWREAFEARENERKMMEMEWRQTMEALENERIMMDRRWREREEQRRIREEARAEKRDALITALLHKLRREEI >Manes.S055516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1939518:1939646:1 gene:Manes.S055516.v8.1 transcript:Manes.S055516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRPQVRREHPLSLSISISGGKETYQDSPSNGERTGKSPA >Manes.11G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2736583:2739373:1 gene:Manes.11G028300.v8.1 transcript:Manes.11G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVPRTASRLALLSTHNSSLIHTTLYSSSSSSESSSPVKYGGSRPPATPATGLSKVAEYAITKVDDLMNWARRGSIWPMTFGLACCAVEMMHVAAARYDMDRFGLIFRPSPRQSDVMVVAGTLTNKMAPALRRVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGIMQLQKKINRRRDFLHWWTK >Manes.03G131725.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25836388:25837808:-1 gene:Manes.03G131725.v8.1 transcript:Manes.03G131725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTKLTCFLHLLIFSFLCQSLASSPFPIVDSNSTFPSEALPTKSGYLPINPNTNSAIFYTFYEAQNPGLPLSQTPLLIWLQGGPGCSSMMGNFFELGPYRVVDIQSENIQPLSLERNLGSWNRIFGLIFLDNPIGVGFSIAATPEEIPRNQRSVAKHLVAAFTGFIGLDPDFKNRPLYFTGESYAGKYVPAIGYYILKQNMRLPEAQRVNLKGVAIGNGLTDPITQAKGRIGEAQWKAVEFVKMGNWSEATNASSKALGLLQNMTGLATLYDFTKKAPYRTGLVTKFLQSAEVKRKLGANESIVYEDCSDAVGEALSEDVMKSVKYMVELLVKKSKVLLYQGHFDLRDGVVSTEAWVKTMKWEGIKRFLIAERKVWKVNGEHAGYVQKWGSLSHVVVLGAGHLVPTDQALNSQAMIEDWVLDKGVVILKVVALAVGRQI >Manes.03G142100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27038691:27043758:-1 gene:Manes.03G142100.v8.1 transcript:Manes.03G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLKELYVVVLLALLSSPQAVFGIRFVIDREECFSHDVKYEGDTVHVSFVVVKTDSSWHYTNDGVDLVIKGPNGDQIQDFRDKTSDKFEFMARQRGVHSFCFTNRSPYHETIDFDVYVGHFSYQEEHARDEHFTPLLDQIWKLEEALYNIQFEQHWLEAQTERQAIVNEAMGRRAIHKALYESAALIGASVLQVYLLRRLFERKLGMSRV >Manes.15G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2528248:2532746:1 gene:Manes.15G032400.v8.1 transcript:Manes.15G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPMTEPWRPDLALFRPPETPSEPMEFLSRSWSVSAFEVSKALAPPQMVLAKTMSGCTIQEDIAGELEESATVSGNPFSFASSETSQMVMERIMSQSEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKYSRPNNNVNTQFRTPSVTPGAAISAVGGGGGGKTVGRWLKDRREKKKEEARAQNAQLNAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVNSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVDKGLGFGSGNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYINRTNQVMLKMKSRHVAGTITKKKKNVVLEVIKDIPAWSGRHLLVGGENRRYFGLKTILRGVVEFECPNEKEYDMWTQGVSRLLAIAEEKNNRHRI >Manes.15G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2528248:2532746:1 gene:Manes.15G032400.v8.1 transcript:Manes.15G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPMTEPWRPDLALFRPPETPSEPMEFLSRSWSVSAFEVSKALAPPQMVLAKTMSGCTIQEDIAGELEESATVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKYSRPNNNVNTQFRTPSVTPGAAISAVGGGGGGKTVGRWLKDRREKKKEEARAQNAQLNAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVNSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVDKGLGFGSGNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYINRTNQVMLKMKSRHVAGTITKKKKNVVLEVIKDIPAWSGRHLLVGGENRRYFGLKTILRGVVEFECPNEKEYDMWTQGVSRLLAIAEEKNNRHRI >Manes.07G047150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5383272:5389587:-1 gene:Manes.07G047150.v8.1 transcript:Manes.07G047150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLSGTNIAMIVLVVALIALMVTSADAQRGGGGGRGGGGGGGRGSAGGGAKGGGNSGGKSGSGNGGQHASSASVTCGPASKHGFIVGLPLMLVIFLMV >Manes.15G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9082144:9087833:-1 gene:Manes.15G114400.v8.1 transcript:Manes.15G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRPRALGGGVQQLLDYLKRMQDENPAFFYAIQSDTDNSAGNIFWADATSKMNYNYFGDTVVFDTAYRTNRYRVPFAAFTGVNHHGQPVLFGCALFLNESESSFIWLFQTWLHAVSGQHPVSITTDPDRLIQVAVAQVLPETRHRYSKQGIFRETQEKMAQIYQSHPTFEIEFKKCIDETETIDEFESSWESLLKRYYVMDNEWLQSMYNVRQQWVPVYMRNTFFGEVSVTDGGQDLNSFFEGFVNASTTIQMFIKQYEKAVASWHEKELKADYETTNTMPVLKTPSPMEKQAANLYTRKIFMKFQEELVETLANPATKIDDLGTITIYRVAKFGEEHKAHTVSFNSFEMKASCSCQMFEHTGIICRHILAVFRAKNVLTLPSQYVLKRWTRNAKSGALLEERASDLPNNSRESLNVRYNNLRQEAIKYVEEGAKSIHIYNVAMDALQEAAKKVAAAKNRSAADIEGGALTNGNSQVLHVVDENRPATYQSAEEKEKKIRELTAELESTNQRCEVYRANLLAVLRDMEEQKLKLSVKVQNARLSLKE >Manes.15G114400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9082144:9087833:-1 gene:Manes.15G114400.v8.1 transcript:Manes.15G114400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRPRALGGGVQQLLDYLKRMQDENPAFFYAIQSDTDNSAGNIFWADATSKMNYNYFGDTVVFDTAYRTNRYRVPFAAFTGVNHHGQPVLFGCALFLNESESSFIWLFQTWLHAVSGQHPVSITTDPDRLIQVAVAQVLPETRHRYSKQGIFRETQEKMAQIYQSHPTFEIEFKKCIDETETIDEFESSWESLLKRYYVMDNEWLQSMYNVRQQWVPVYMRNTFFGEVSVTDGGQDLNSFFEGFVNASTTIQMFIKQYEKAVASWHEKELKADYETTNTMPVLKTPSPMEKQAANLYTRKIFMKFQEELVETLANPATKIDDLGTITIYRVAKFGEEHKAHTVSFNSFEMKASCSCQMFEHTGIICRHILAVFRAKNVLTLPSQYVLKRWTRNAKSGALLEERASDLPNNSRESLNVRYNNLRQEAIKYVEEGAKSIHIYNVAMDALQEAAKKVAAAKNRSAADIEGGALTNGNSQVLHVVDENRPATYQSASHLSQDCTPRPRDLLMLCP >Manes.15G114400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9082144:9087841:-1 gene:Manes.15G114400.v8.1 transcript:Manes.15G114400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRPRALGGGVQQLLDYLKRMQDENPAFFYAIQSDTDNSAGNIFWADATSKMNYNYFGDTVVFDTAYRTNRYRVPFAAFTGVNHHGQPVLFGCALFLNESESSFIWLFQTWLHAVSGQHPVSITTDPDRLIQVAVAQVLPETRHRYSKQGIFRETQEKMAQIYQSHPTFEIEFKKCIDETETIDEFESSWESLLKRYYVMDNEWLQSMYNVRQQWVPVYMRNTFFGEVSVTDGGQDLNSFFEGFVNASTTIQMFIKQYEKAVASWHEKELKADYETTNTMPVLKTPSPMEKQAANLYTRKIFMKFQEELVETLANPATKIDDLGTITIYRVAKFGEEHKAHTVSFNSFEMKASCSCQMFEHTGIICRHILAVFRAKNVLTLPSQYVLKRWTRNAKSGALLEERASDLPNNSRESLNVRYNNLRQEAIKYVEEGAKSIHIYNVAMDALQEAAKKVAAAKNRSAADIEGGALTNGNSQVLHVVDENRPATYQSAVSFSCILSWWFSLGYSVSLISQTFGASYTTK >Manes.09G142500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34062277:34066110:1 gene:Manes.09G142500.v8.1 transcript:Manes.09G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWFLMWILLLGLLGTRVYGLGVNWGTQATHKLPPKTVVQMLKDNGVQKVKLFDSDRTTMSALAGSGIEVMVAIPNDQLAAMNDYKRAQDFVKRNVSIYNFNGGVNIKYVAVGNEPFLTSYNGTFVNLTFPALQNIQNALNEADLGDSIKATVPLNADIYNSPDDQPYPSAGRFRTDISDVMTQIVQFLNKNNAPFTVNIYPFLSLYGNDNFPINYAFFDGASQPIVDKATGIQYTNVFDANFDTLVSALKAVGFGQMPIIVGEVGWPTDGDKSATIDYAYRFYNGLLPRLASNRGTPLRPGFIEVYLFGLLDEDAKSIAPGNFERHWGIFRYDGQPKFALDFTGQGQNKLPVGAQNVQYQPSKWCMFNPNAKDLSKLADNINYACTFSDCTALGYGSSCNVLDANGNASYAFNMYFQVQNQDPMACNFQGLAMVTSQNLSQGTCNFMIQIASAASLVRSLLLSLAFVTVLTLFML >Manes.07G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5347884:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPRLFPLHRCKTLHLVRHAQGMHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFGVHPCDKRQNISDYQFLFPAIDFSLIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348495:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPRLFPLHRCKTLHLVRHAQGMHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFGVHPCDKRQNISDYQFLFPAIDFSLIETDEDEMWKANVRETTEELTARGLKFMNCFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348496:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPRLFPLHRCKTLHLVRHAQGMHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348495:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPRLFPLHRCKTLHLVRHAQGMHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFGVHPCDKRQNISDYQFLFPAIDFSLIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348495:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFGVHPCDKRQNISDYQFLFPAIDFSLIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348495:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFGVHPCDKRQNISDYQFLFPAIDFSLIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348495:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPRLFPLHRCKTLHLVRHAQGMHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFGVHPCDKRQNISDYQFLFPAIDFSLIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348495:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPRLFPLHRCKTLHLVRHAQGMHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFGVHPCDKRQNISDYQFLFPAIDFSLIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348496:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348495:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFGVHPCDKRQNISDYQFLFPAIDFSLIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.07G047000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5343293:5348496:-1 gene:Manes.07G047000.v8.1 transcript:Manes.07G047000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVEGDKNYKAYLSPQYFDAQLTQLGWQQVGNLHKHVRTCGLSKRIELVIASPLLRTLQTAVGVFGGGGYTDRTDALPLMVANAGNSGQAAISSLNSPPFIAVELCREHFIETDEDEMWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLNAFGNDCHPLVKKEICNRFANCELRSMVIVDRSMTESDPATTNYPGKIPRGLDLPSDAPEEDGGGPKTNSVI >Manes.18G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23655384:23659919:-1 gene:Manes.18G141500.v8.1 transcript:Manes.18G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPVCVTDTARALSCRDSKAATHLKLISVLIIFFTSVLGISSPVLLARYFHGKPLYDKAILVIKCFAAGVILSTSLVHVLPDAYDALSDCQVASKHPWKDFPFAGLVTLIGALLALFVDLTASAHVEHSHGHGGEMKQSVEYTPVGTQEEIVGKKVGELSKVELGEKGGEEDLMKLKQRLVSQVLEIGIIFHSVIIGVTMGMSQNQCTIRPLVAALAFHQIFEGMGLGGCIAQAGFSFGTVAYMCFMFSVTTPLGIVLGMIVFSLTGYDDNNPNALIMEGLLGSLSSGILIYMGLVDLIALDFFHNKLMNSTPWLKKTSFIALTVGSTAMSILALWA >Manes.08G134411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37123504:37129040:-1 gene:Manes.08G134411.v8.1 transcript:Manes.08G134411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAIDEEVESHAPSEAAAPAATPPPAAAGGPGQDALFQQIAELIRRVTQNVPEVPPPPPVAVQVPPPVVAQAQPRPPIEKLRKYGATDFRGKKEDDPSAAEFWLESTERVLQQLQCSPVDSLMCAVSLLKDEAYRWWTTLTQMVRPERQTWEFFLSEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCKRFEQGLHADIRMYLTAMHIRELSVLVETAHSLERIKEEEQSRKQKGQQKRSQSQYQGQSSASQTSSKRQREFQQSGQRGPPRQIQRPGQSLVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGTSEHFMKDCPRGQSVQPMQTERFMPSGSRGRGRGRGESSNAQSHRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAGEGTSKGKEIARE >Manes.09G077000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17766811:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQGCACRN >Manes.09G077000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759378:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQGCACRN >Manes.09G077000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759192:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQNRYFTAFWTMRKMSTATEKKQSTE >Manes.09G077000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759378:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQNRYFTAFWTMRKMSTATEKKQSTE >Manes.09G077000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759378:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVEPVLHCILDDEKDVHGNREEAIYRIISCSCVIMVSKLLLLELQVQVRSFLGEVHINLYLHWALKICIEIMDLYQEASGHY >Manes.09G077000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759378:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQNRYFTAFWTMRKMSTATEKKQSTE >Manes.09G077000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759378:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQGCACRN >Manes.09G077000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759378:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQNRYFTAFWTMRKMSTATEKKQSTE >Manes.09G077000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759191:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQNRYFTAFWTMRKMSTATEKKQSTE >Manes.09G077000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759349:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQGCACRN >Manes.09G077000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759362:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQGCACRN >Manes.09G077000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17766811:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTRCPLN >Manes.09G077000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759204:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIIEYIEIISRILKDGGIWINLGPLLYHFADTYGQDEMSIELSLEDVKRVALYYGFQLEKESRIETTYTTNQRSMMQNRYFTAFWTMRKMSTATEKKQSTE >Manes.09G077000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17759346:17790494:-1 gene:Manes.09G077000.v8.1 transcript:Manes.09G077000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVIEEENEERRHQRKMEEVLEVKSLRRIISAYLNYPDAAEEDVKRYERSFKKLPPAHKALLSHYPLKFQRLRRCISMNAYFIYNMLQAFEPPLDMSQDMDGCEDSHLEHALHDNLFSEGNCCSSQSTSATGMHCSKPGGACCGQGNNTMCRSPDGVTTDEEVQIEGCCEPATGSDRGSLQNSQTTVELAEKYHSDSNGNDALSHHDWLDPSLQFNVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYKPILDELDSLFPNRSKDSPPMCLVPGAGLGRLALEISGLGFVSQGNEFSYYMMICSSFILNHTRAAGEWTIHPWIHSNCNSLSDSDQLRPVSIPDIVPASAGITEGFSMCGGDFVEVYSDPSQVEPVLHCILDDEKDVHGNREEAIYRIISCSCVIMVSKLLLLELQVQVRSFLGEVHINLYLHWALKICIEIMDLYQEASGHY >Manes.16G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32068058:32071451:-1 gene:Manes.16G116100.v8.1 transcript:Manes.16G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILAPVLMDYLKEVEGKRVHDHFTNNMAISRSRCIWVPGPVIVGAGPSGLATAACLKERGVPSLILERSNCIASLWQLKTYDRLRLHLPKQFCELPLMPFPSNFPTYPTKQQFLAYLEAYAKHFGLAPVFNNTVVSAEFDPRCGFWRIKTLGLKQEETEYVCQWLIVATGENAEEVVPDIEGMNQFEGPILHTSSYKTGELFRGKSVLVVGCGNSGMEVCLDLCNYNARPSMVVRDSVHVLPQEMLGRSTFGLSMWLLKWFPMRLVDQILLLVSRFTLGDTSQFGLNRPKLGPIQLKNVTGKTPVLDVGTLAKIRSGDIKVCRGIHRLMHHCVEFVDGSIGNFDAIILATGYKSNVPSWLKGNEMFSEKEGLPHKPFPNGWKGENGLYAVGFTKRGLLGASIDARRIAQDMELRWKAEATGFMAFARASPQQS >Manes.16G116100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32068081:32071451:-1 gene:Manes.16G116100.v8.1 transcript:Manes.16G116100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLKEVEGKRVHDHFTNNMAISRSRCIWVPGPVIVGAGPSGLATAACLKERGVPSLILERSNCIASLWQLKTYDRLRLHLPKQFCELPLMPFPSNFPTYPTKQQFLAYLEAYAKHFGLAPVFNNTVVSAEFDPRCGFWRIKTLGLKQEETEYVCQWLIVATGENAEEVVPDIEGMNQFEGPILHTSSYKTGELFRGKSVLVVGCGNSGMEVCLDLCNYNARPSMVVRDSVHVLPQEMLGRSTFGLSMWLLKWFPMRLVDQILLLVSRFTLGDTSQFGLNRPKLGPIQLKNVTGKTPVLDVGTLAKIRSGDIKVCRGIHRLMHHCVEFVDGSIGNFDAIILATGYKSNVPSWLKGNEMFSEKEGLPHKPFPNGWKGENGLYAVGFTKRGLLGASIDARRIAQDMELRWKAEATGFMAFARASPQQS >Manes.10G023100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2228753:2231557:1 gene:Manes.10G023100.v8.1 transcript:Manes.10G023100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFLTNNEAWELLSRKAFPVEQSCPRNLEILGREMVKKCGGLPLAVVVLGGILATKKSLNEWKTVQKNVIAQFAMLERKNQYGGVYGILAMSYHDLPYHLKPCFLYLSQFPEDWEFHKRTLIRMWIAEGFLAQSQDSANRTMEDLAEIYLEELVSRCMVQVTERDHTGIHVKICRIHSLMRDICISKAGDEHFAGGIEHRDEFATNTKSRFLKSATSQPRRIAVHPRIDGDNAGKRFYVPLVKCGDPHLRSLHYFVDQDKYRMTRGQEIYILSHFRLLRILNLENIYLSKYHVPGDIGNLIHLRYLGLRNTGLWVTTKYLFVVSTSLPASIGNLKSLYTLDVRKNSLESLPDVLWKLENLRHLLVEPEVDGQLRLDTLTHLETLKWVRAKNLIANNALCKLTNVRNLGIYFKETQEVDVVLKSRIFEQGRLSSLKMSISEEGSFPNLESLSRCDHLTKLELQGKILEDPDESLRHNLKFLPESLTKLTLSHSLLKQDPMSILGNKLHNLRFLFLHTLSYEGSELVCSANGFPRLEILTIEELELEEWQIEEGAMPCLRTLKIKALKKLRMIPEGIKFLVSLQELKIIDMAAFAKRVQVIDGVEGEDFDKMQHIRIHSFDYSWGCKVDELETDSEDSMEE >Manes.18G073719.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6662921:6664313:-1 gene:Manes.18G073719.v8.1 transcript:Manes.18G073719.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVGAVKQDIKVMILTGFAGLVAGACSMAIGEFVSVHSQLDIELAQMKRDKQRGCNNNEGKQENGGHEEEEEKESLPNPLQAAAASAIAFSVGAMVPLLAASFIRNYKVRLGAVVGSVSLALLVFGWLGAVLGKAPAVRSSARVLVGGLMAMAITFGLTKLIGSSDL >Manes.06G114900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24572840:24585023:-1 gene:Manes.06G114900.v8.1 transcript:Manes.06G114900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHHPPDRILNINPLKDTELILYGGEFYNGNKTFVYGDLYRYDVEKQEWKRISSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWVLDLKTNQWEQLNLKGCPTPRSGHRMVLYKHKIIVFGGFYDTLREVRYYNDLYIFDLDQFKWQEIKPKLGAMWPSARSGFQFFVYQDEIFLYGGYSKEVSSDKNSSEKGVVHSDMWSLDPRTWEWNKVKKSGMPPGPRAGFSMSVHKKRALLFGGVVDMEMQGDVMMSLFLNELYGFQLDNYRWYPLELRKEKSTKDKLKKNTEQRSNGPDVDKINSMDVECATHDDENQDYLEDAADSESTIDEISQHMKTNMAVIDVGSLGAKFDGRLDASKAKLVQQNSVSPEIVKPCGRINSCMVVGKDTLYIYGGMMEIKDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEASEDEDDDEDEDESEDGCSSDGSSDDDDDDDGDGDDGDVEVRNNGSASLKVGDAVAIIKGEKKNLRRKEKRARIEQIRANLGLSDSQRTPVPGESLRDFYRRTNLYWQMAAHEHTQHTGKELRKDGFDLAEARYRELKPILDELAILEAEQKAEEQEAEPSSRKRGKKKNK >Manes.06G114900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24572840:24585023:-1 gene:Manes.06G114900.v8.1 transcript:Manes.06G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKKPGKGKEKTERKTAKAEEKRARRETKKVSPEDDIDAILLSIQKEEAKKKEVHVEENVPAPSPRSNCTLNINPLKDTELILYGGEFYNGNKTFVYGDLYRYDVEKQEWKRISSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWVLDLKTNQWEQLNLKGCPTPRSGHRMVLYKHKIIVFGGFYDTLREVRYYNDLYIFDLDQFKWQEIKPKLGAMWPSARSGFQFFVYQDEIFLYGGYSKEVSSDKNSSEKGVVHSDMWSLDPRTWEWNKVKKSGMPPGPRAGFSMSVHKKRALLFGGVVDMEMQGDVMMSLFLNELYGFQLDNYRWYPLELRKEKSTKDKLKKNTEQRSNGPDVDKINSMDVECATHDDENQDYLEDAADSESTIDEISQHMKTNMAVIDVGSLGAKFDGRLDASKAKLVQQNSVSPEIVKPCGRINSCMVVGKDTLYIYGGMMEIKDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEASEDEDDDEDEDESEDGCSSDGSSDDDDDDDGDGDDGDVEVRNNGSASLKVGDAVAIIKGEKKNLRRKEKRARIEQIRANLGLSDSQRTPVPGESLRDFYRRTNLYWQMAAHEHTQHTGKELRKDGFDLAEARYRELKPILDELAILEAEQKAEEQEAEPSSRKRGKKKNK >Manes.18G007200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1029691:1032148:-1 gene:Manes.18G007200.v8.1 transcript:Manes.18G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNLTSSRMDVLVFMIWELIILAFLVFSAVSLYFRRMRLAFILVCVTMLLLLCMKITKQVRLARKKKRRMLLPLSM >Manes.04G109100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31302328:31303662:-1 gene:Manes.04G109100.v8.1 transcript:Manes.04G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDSNAHQKLSTVLSLFRVKTLAQENIFFDSKNSQLTSNLAVLRLRFYNLDSHCVLSLKAKPTISNGISRVEEQEEPLDPQIGRMCVAEPWRLGSLENSNIIGRVRDEFGVKEKEGFVCLGGFRNVRQVFDWKGLKLELDETIYDFGTSYEIECESKDPEKDKRLIEGMLKENGIEFSYSEVNKFAVFRSGKLPK >Manes.02G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1922021:1923672:-1 gene:Manes.02G021800.v8.1 transcript:Manes.02G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHYFYDSSFNLSDLFFSDPFPPLYDTDIFQELSNKQNTQYPIRNSNHVDNFSPNMLSSPPSHNQENLSLYQAAPLQPLSDGSSLENGYNNFQMGFEFDSSYSHQLFMAYSHSGVENEAEMKNRSYSSNSSEGNHHFLSQPRFDTLLGSPNYQNQSLSSPEDNLLASQTSMVCSNIHLQNTRTAHTTHRSRSYSSPSATESSFMEEANLKVVPYSAEERKARISKYRAKREQRNFTKTIKYACRKALADSRPRIRGRFARNDESGETPKAACSIRHEDAHELWFDGMHGD >Manes.07G041700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4919185:4922551:-1 gene:Manes.07G041700.v8.1 transcript:Manes.07G041700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQTVDLLKNELPLELEAVVLPEDGVIGLVLVDIINGFCTVGAGNLAPREPNRQISGMINESANLARVFCDKNWPILAFLDTHQPNKPEEPYPSHCISGTDESNLVPALQWLEKEANVTIRRKDCFDGFLGSIQDDGSNVFVDWVKNNQIKTMVVVGVCTDICVLDFVSSTLSARNLGFLRPLEKVVVYSRGCATFDVPLHVATNTKGALPHPQEFMHHVGLYMAKERGAIIASDVSFQAHKKP >Manes.07G041700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4918844:4922584:-1 gene:Manes.07G041700.v8.1 transcript:Manes.07G041700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQTVDLLKNELPLELEAVVLPEDGVIGLVLVDIINGFCTVGAGNLAPREPNRQISGMINESANLARVFCDKNWPILAFLDTHQPNKPEEPYPSHCISGTDESNLVPALQWLEKEANVTIRRKDCFDGFLGSIQDDGSNVFVDWVKNNQIKTMVVVGVCTDICVLDFVSSTLSARNLGFLRPLEKVVVYSRGCATFDVPLHVATNTKGALPHPQEFMHHVGLYMAKERGAIIASDVSFQAHKKP >Manes.07G041700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4918844:4922552:-1 gene:Manes.07G041700.v8.1 transcript:Manes.07G041700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQTVDLLKNELPLELEAVVLPEDGVIGLVLVDIINGFCTVGAGNLAPREPNRQISGMINESANLARVFCDKNWPILAFLDTHQPNKPEEPYPSHCISGTDESNLVPALQWLEKEANVTIRRKDCFDGFLGSIQDDGSNVFVDWVKNNQIKTMVVVGVCTDICVLDFVSSTLSARNLGFLRPLEKVVVYSRGCATFDVPLHVATNTKGALPHPQEFMHHVGLYMAKERGAIIASDVSFQAHKKP >Manes.07G041700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4920957:4922553:-1 gene:Manes.07G041700.v8.1 transcript:Manes.07G041700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQTVDLLKNELPLELEAVVLPEDGVIGLVLVDIINGFCTVGAGNLAPREPNRQISGMINESANLARVFCDKNWPILAFLDTHQPNKPEEPYPSHCISGTDESNLVPALQWLEKEANVTIRRKDCFDGFLGSIQDDGSNVFVDWVKNNQIKTMVVVGVCTDICVLDFVSSTLSARNLGFLRPLEKVVVYSRGCATFDVPLHVATNTKGALPHPQEFMHHVGLYMAKERGAIIASDVSFQAHKKP >Manes.02G057050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4489451:4492784:-1 gene:Manes.02G057050.v8.1 transcript:Manes.02G057050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDIVSRDISTPEEQSLAIDAVKNTILDIVLIVVIGSLCTALRAWLFASASERVVARLRKNLFSHLINQEIAFFDVTRTGELLSRLSEDTQVIKNAATTNLSEALRNVTTAIIGVAFMFTSSWKLTLLALVVVPVISVAVRMFGRYLRELSHATQAAAAVAASIAEESFGAIRTVRSFAQESYAISNYSEKVDETLKLGLRQAPHRHSPHCFTCL >Manes.03G020700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1756919:1757932:-1 gene:Manes.03G020700.v8.1 transcript:Manes.03G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKLSMELITNEKSRMITYQKRKKGLTKKLQEFHILCNVDACVIILGPNFNNRPVDVETFPTDRFDMMRIINRYRSQEKEGRKNQDLSSFFVARQKKLDDEIAKLRKACLKAKFPTWDNRLSLLQSSELDILSRVLDSKLEVATSRILNVRGGCSTINNYKSSSMASTFANALQQKNMELEVFKKQEPLSFVKPSQNLPQMLPLYVNPLNNPVLMMMMMANGEDYYNQFVGECSSSSSKRLSWSPVKAPAGSFDHQACDKVMMVNNNSRAHPVSYCGLSSQPLLSANVSSHMQIPHPQFNGLSDINEFEIRNKEKTVKDMNLEASIKERSLQFWS >Manes.07G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5739706:5743503:-1 gene:Manes.07G049100.v8.1 transcript:Manes.07G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEATQRIARISAHLLPPNFQMEGSSIVKRGDCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVRTLCEGIAKCCPKAVVNLISNPVNSTVPIAAEVFKKAGMYDPKRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTPEETEYLTNRIQDGGTEVVQAKAGTGSATLSMAYAAVKFADACLRGLRGDAGVVECSFVASEVTELPFFATKVRLGRNGAEEVYQLGPLNEYERIGLEKAKKELEASIQKGVSFIRK >Manes.07G049100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5739706:5743503:-1 gene:Manes.07G049100.v8.1 transcript:Manes.07G049100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEATQRIARISAHLLPPNFQMEGSSIVKRGDCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVRTLCEGIAKCCPKAVVNLISNPVNSTVPIAAEVFKKAGMYDPKRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTPEETEYLTNRIQDGGTEVVQAKAGTGSATLSMAYAAVKFADACLRGLRGDAGVVECSFVASEVTELPFFATKVRLGRNGAEEVYQLGPLNEYERIGLEKAKKELEASIQKGVSFIRK >Manes.17G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12683704:12688138:-1 gene:Manes.17G025100.v8.1 transcript:Manes.17G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGIGGSKTEVEPVDRKRINDALDKHLERSSPSTSRAINGKDFSSQSFLMGKQPPDLKDPLSKNNVPDEESKTDSEESDVSGSDADDTSWISWFCNLRGNEFFCEVDEDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQHELVESAAEMLYGLIHTRYILTSKGMAAMLDKYKNCDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKICCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQSYVPRVFGFKMHKP >Manes.17G096400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30394095:30396051:1 gene:Manes.17G096400.v8.1 transcript:Manes.17G096400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMNVFSATARTMKLSFSLQEIALFTLLLAIIPLFLAIKYAKNKKNGKTPPPEAAGSWPIIGHLHLLGGAKRLLHRTFGSMADELGPIFSLRLGIHRALVVSNWEVAKECFTTNDKVFPTRPKSMAVKIMGYDHAMLGFVPYGQYWRDLRKLAVVELLSNRRLELLRHVRDTETNFFMKKLYQEAAKNGGHIVVEMKERFGDLAVNIIAKMISGKRYFSGSNAIKDEDSRQFCKAIKDFFYLAGLFLASDSIPFLGWFYFVKGYVGEMKKTAKELDEVLERWLKEHKEKRLKGVIKEEEQDFIHVMLSLMDEGEISAKEADNIIKGTCLSLILGSNDTTVATLTWALSLLLNNPGILKKAQDELDIQVGKHQQVEEPHVKNLAYLQAIVKETLRLYPATPVSVPREAMEDCIIASFHIPAGTRLYLNLWKLHRDPSIWTNPLEFQPERFLNEHASLDVRGRDFEYLPFGSGRRMCPGVSFAVQVLSLTLARLLHGFELMSVSDSPVDMSESPGVICHKATPLEVAFTPRLPFILYEG >Manes.09G170000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36181339:36183973:1 gene:Manes.09G170000.v8.1 transcript:Manes.09G170000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEGNVDVQQMVNEEEEMLKYLGFVQVGAIYARSSFKNLYVYAKDKSGPFKPGVETVAGTVKNVVRPVYHKSRDVSNEVLKFVDRKVDETVTTLDRYVPEKVKQVPAQAYSVAREAPGAALAVASEVQRTGVIETASGLAKNVYTKYEPTVKELQSKYEPKAEEFAANAWRKLNELPLVPQAANVVVPTAAYFSEKYNETVRSTAEKGFRVSSFLPLVPTERIAKVFGDKGSQSEPVASS >Manes.09G159200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35486961:35487819:1 gene:Manes.09G159200.v8.1 transcript:Manes.09G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGPGPPGWGPPPPGPFGGFCNIIGACLSFLCCCWLLQDCFGGPMGHPGPPGPFGPPPP >Manes.04G061400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18732246:18734605:1 gene:Manes.04G061400.v8.1 transcript:Manes.04G061400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLISNAKFFATTAIRGRIPLSSNPSISGTRAYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGQVTAGTKGERKALPNATIMIHQPSGGYSGQAKDMSIHTKQIVRVWDSLNQLYSKHTGQSIDVIQQNMDRDYFMTPEEAKDFGIIDEVIDERPMSLVTDAVGGEGKEKGSN >Manes.04G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18732246:18734605:1 gene:Manes.04G061400.v8.1 transcript:Manes.04G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLISNAKFFATTAIRGRIPLSSNPSISGTRAYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGQVTAGLAIYDTMQYIRSPINTICLGQAASMASLLLAAGTKGERKALPNATIMIHQPSGGYSGQAKDMSIHTKQIVRVWDSLNQLYSKHTGQSIDVIQQNMDRDYFMTPEEAKDFGIIDEVIDERPMSLVTDAVGGEGKEKGSN >Manes.03G010400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:888543:909794:1 gene:Manes.03G010400.v8.1 transcript:Manes.03G010400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPIYILDESDDDADFVLGKPGKSPEKFERIVRPDAKEDCCQSCGENRDLLSCETCTYAYHAKCLLPPLKATPPSNWRCPECVSPLNDIDKILDCEMRPTVAGDNDVSKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLRTKVNNFHRQMASNNNSEDDFVAIRPEWTTVDRILACRGDENEKEYFVKYKELSYDECYWEFESDISAFQPEIERFNRIHSRSRKLSKQKSSLRDATDSKKKSKEFQQYDHSPEFLTGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEESISPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAIIREYEFYYPKNHKKIKKKKSGLVVGEKKQDRIKFDVLLTSYEMVNLDSTSLKPIKWESMIVDEGHRLKNKDSKLFLSLKQYSSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMTELPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGPQISLINVVMELRKLCCHAYMLEGVEPDIEDASESYKQLVESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKKWQYERIDGRVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEVGKSRQIHYDDAAIDRLLDREHVGDEEASLDDDEEDGFLKAFKVANFEYIDEAEAAAEEEARRAAAEARSAMSNSERANYWEELLKDQYEVHKVKEFNALGKGKRSRKQMVSVEEDDLAGLEDVSTEGEDDNYEADLTDSETASSGAQTGRKPYRKRARLDNMEQLPLMEGEGRSFRVLGFNQNQRAAFVQILMRFGVGEYDWKEFASRMKQKTYEEIRDYGVLFLSHIVEDINDSPNFADGVPKEGLRILDVLVRIAVLLLIRDKVKFVLEKPGTPLFTDDIVLRYPGLKSGKFWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQELICQELNLPFINLPVPGQVSSQTQNGVNTVTTEAPSTQVQGNGTSNYLGADVAQGTTDVANQSQLYPDPSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFADDPKSNEITGEEPEGDIKAADGSNSSSIEIDAQIIDQLPQMEPISSEEISPAACDDDPDRLELPQLYNKMCNVLEQNVHESIQTSLIDKPASLKLKQDLLPLETICEQMNQILSPLKQKYSSEQHVLDPNQPQSELKDNLPESYSPSIQHNNDKPSSMEDTEMKDVMTESEMQKEGSVAIDQELVKEPIKSLRSFPKEMTNDEVEIQEVQNNAVCSRGMDENDMEVEETKNTTETKAEAISNEDEIAN >Manes.03G010400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:888543:909794:1 gene:Manes.03G010400.v8.1 transcript:Manes.03G010400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERRPIYILDESDDDADFVLGKPGKSPEKFERIVRPDAKEDCCQSCGENRDLLSCETCTYAYHAKCLLPPLKATPPSNWRCPECVSPLNDIDKILDCEMRPTVAGDNDVSKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLRTKVNNFHRQMASNNNSEDDFVAIRPEWTTVDRILACRGDENEKEYFVKYKELSYDECYWEFESDISAFQPEIERFNRIHSRSRKLSKQKSSLRDATDSKKKSKEFQQYDHSPEFLTGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEESISPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAIIREYEFYYPKNHKKIKKKKSGLVVGEKKQDRIKFDVLLTSYEMVNLDSTSLKPIKWESMIVDEGHRLKNKDSKLFLSLKQYSSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMTELPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGPQISLINVVMELRKLCCHAYMLEGVEPDIEDASESYKQLVESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCTYKKWQYERIDGRVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEVGKSRQIHYDDAAIDRLLDREHVGDEEASLDDDEEDGFLKAFKVANFEYIDEAEAAAEEEARRAAAEARSAMSNSERANYWEELLKDQYEVHKVKEFNALGKGKRSRKQMVSVEEDDLAGLEDVSTEGEDDNYEADLTDSETASSGAQTGRKPYRKRARLDNMEQLPLMEGEGRSFRVLGFNQNQRAAFVQILMRFGVGEYDWKEFASRMKQKTYEEIRDYGVLFLSHIVEDINDSPNFADGVPKEGLRILDVLVRIAVLLLIRDKVKFVLEKPGTPLFTDDIVLRYPGLKSGKFWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQELICQELNLPFINLPVPGQVSSQTQNGVNTVTTEAPSTQVQGNGTSNYLGADVAQGTTDVANQSQLYPDPSILYHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFADDPKSNEITGEEPEGDIKAADGSNSSSIEIDAQIIDQLPQMEPISSEEISPAACDDDPDRLELPQLYNKMCNVLEQNVHESIQTSLIDKPASLKLKQDLLPLETICEQMNQILSPLKQKYSSEQHVLDPNQPQSELKDNLPESYSPSIQHNNDKPSSMEDTEMKDVMTESEMQKEGSVAIDQELVKEPIKSLRSFPKEMTNDEVEIQEVQNNAVCSRGMDENDMEVEETKNTTETKAEAISNEDEIAN >Manes.05G007700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1116786:1120575:1 gene:Manes.05G007700.v8.1 transcript:Manes.05G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYAAVALVGLLIVLIVRKLWEVLKIVVWRPYAITKTFQKQGIRGPPYKLLYGCLDEVKKMKKLANEKVLDTNCHDITSRVLPHYHKWFSEFGDTLLYWYGTHPRITITNPELAKQILSNKFGFYGRPKTRPILQNLVGYGLILVEGLDWVRHRRVLNPAFSIDKLKIMTKKMAECTISMLDEWKILAVLTEEQRIKIEMNANFQKLTADIIAHTAFGSSYVEGKEVFKALKELQKCCVASDTDIFIPGSQYLPTPSNLQMWKLSRKLKNSLKVIIESRLSAKAATDGHYGDDLLGIMIESSVAEADGDSKVTPKLNMKEIMENCKSFFFAGHETTSNLLTWSVFLLSTHPEWHEKLREEVLTECGMGIPDADMLSKLKFVNMFLLEVLRLYCPVIMLIRRAPEDMKLGNLMIPKETCLTIPLVKIHRSKEYWGEDANEFKPLRFASGVSKAGKHPNALLAFSIGPRVCIGQNFAMLEAKTVLALILQRFSLSLSPEYKHAPIDHVTLHPQYGLPIIVKSLL >Manes.13G067751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8708450:8711603:-1 gene:Manes.13G067751.v8.1 transcript:Manes.13G067751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAGKEAAYFFQESKHAVNRLAEKSIPAPKNLPSSPLSSEQESQADVLPEVLRHSLPSYIFGKPTESSTISTASKWALHSNSDKAATVSPDALNPLRAYLCLPHVTFGPKRWELPSQESSVLASTANEMRKDRYTPINPEKLKAAAEGLTQIGKAFAVATAIVFGGATVVFSLAVSKLQLQNSDDIRTKGKDLVQPKLEIIKEQLVPLKTWAENTSKKWHINREEDIKEKPIVKELSKFLGAKTSN >Manes.04G121100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32290639:32295804:1 gene:Manes.04G121100.v8.1 transcript:Manes.04G121100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSESHSKTQIDQYPLLMEQMDSHNDHEHIIDLTRNDDASSSSSHDGRPPELGLPQHEDRSSVSASGPTDQTSSSSLNGLNSSNSTFTRRSDGYERRRRSPLNSGLWISIELFVTVSQITASVVVLSLSRDEKPQAPLFAWVVGYASGCVATLPILYWRFRTRYQSGQQDSVQLHQGSSQDNPPDSSYTAISVTQASDEENFHSTESTAINGQALRPVGARLNGLMDHLKMALDCFFAVWFVVGNVWIFGGHSSPTDAPKLYKLCIVFLTFSCIGYAMPFILCATICCCLPCIISLLGIREDFSQNRGATVESINSLPTYKFKLHKSENPNDNDDSGTGDGGVLAAGTEKERAISAEDAVCCICLNKYVDDDELRELHCSHFFHVECVDKWLKINASCPLCKSELGESSGAPSLARDSQH >Manes.04G121100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32290751:32295618:1 gene:Manes.04G121100.v8.1 transcript:Manes.04G121100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSESHSKTQIDQYPLLMEQMDSHNDHEHIIDLTRNDDASSSSSHDGRPPELGLPQHEDRSSVSASGPTDQTSSSSLNGLNSSNSTFTRRSDGYERRRRSPLNSGLWISIELFVTVSQITASVVVLSLSRDEKPQAPLFAWVVGYASGCVATLPILYWRFRTRYQSGQQDSVQLHQGSSQDNPPDSSYTAISVTQASDEENFHSTESTAINGQALRPVGARLNGLMDHLKMALDCFFAVWFVVGNVWIFGGHSSPTDAPKLYKLCIVFLTFSCIGYAMPFILCATICCCLPCIISLLGIREDFSQNRGATVESINSLPTYKFKLHKSENPNDNDDSGTGDGGVLAAGTEKERAISAEDAVCCICLNKYVDDDELRELHCSHFFHVECVDKWLKINASCPLCKSELGESSGAPSLARDSQH >Manes.10G143200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31148312:31151442:-1 gene:Manes.10G143200.v8.1 transcript:Manes.10G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDLMGKDKGVMNNNKAKLRKGLWSPEEDEKLIKYMLTNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSSQEEELIISLHSILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRLKINNNNPSTSSPNNDSDSSEPRDHVIGNIMPMHKDDLDLITMCMDSSSSSSASIQPMVGAGGGNQFDPFFILNNNQLDFTGAAALFDMSTCLNQVGMGDGFYGDCGILESHHNEIGIERDLCVPPLEICSRSIDEEEEKKTNNNAVTNHSIINNNNIINNHLNNNNSCFNNTDHHLHHQNFKVEDMFGFENHWQGDNLRMGEWDLEGLMDNISSFPFLDFQVQ >Manes.10G092700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23876782:23877941:-1 gene:Manes.10G092700.v8.1 transcript:Manes.10G092700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVHIRLDLRLDSDILLLLWMIILDLGYNRLIGKIPQWLGDAFPCLKILSLRSNAFSGEVPSGLFNLSSFEVLDLAENQLRGSISTRVSNLKGLTEERRIRQDLSYGWVAGVYYEESLVVNSKGQSLKYTRTLSFLTCIDISGNHLHGDLPHEVTELSGLVVLNLSRNHISGQIPETISELHQLASLDLSSNMFFGPIPPSMISMSFLAYLNFSCNNLSGKIPYAGQMATFEANSFAGNPGLCGAPLVVRCSGSDTINKEMGNAYVYTNDRWPYLAIGLGYAAGLLIPYLLMAAKRSWSFVYFAFVDKTVDALLYLACKRTPCFGNHCDNLH >Manes.14G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1349019:1351770:-1 gene:Manes.14G001400.v8.1 transcript:Manes.14G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPKSNYFSGCYNLNHLPRLSSSASSSNPSLYASYGYNSFTHHCQYSSSPPSPPVKAALPLLSLSPTRHDYHQQESWCSATEVGKNKDKEESLFDNDSVTVALHLGLPSPSSADFVSSLSSTEISSDKEDVTVASGYQTTNTLVKRQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKSFGHGHAAYGIDSFEEEEEEEPASEIEQENESTR >Manes.18G121350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12919492:12942763:1 gene:Manes.18G121350.v8.1 transcript:Manes.18G121350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLMEIVALETGFYLGHSYHNKNSLCREQQPPGKRGSMKFAVKAWSNGGKARTGVLYLGTCPSPLETPALLLSTRKGLPHFISPDLLPSLPHPDSFLLQVSPLHFLEGPSVTTISNIGGLHQMLRLHDYAFAAVARDSIQCLPESDSTNKLGASFETPCGRRLIKPAEYLQMISSMRPNIWAALADEVPAWVSDKRNKTSVDRTIKWLDECIELSPQGGVVFGAVVGGSKVDERKRCAQEIAKRNVSGFWIGGFGLGESMLERPALLEAVMDSLPEEKPRLICGLGLPEEVLQGVATGVDLFDSSYIYNLTLGGFALTFPLDRIETNASGFQSTDMGVDQTKINLKATVYRKDTSPIVASCTCYTCLNHTKAYINHLLNVHEMLAQTLLEIHNTHHYLGFFRSIREAIKDGKFEQFRQMFIQRRRDNIAAVAVCA >Manes.08G070600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:11754186:11754814:1 gene:Manes.08G070600.v8.1 transcript:Manes.08G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRWLLHSACHIVGYPSKDSTNIQQGSHAVGYPCKQEINGVIKSTKPITSSVGFQMPLHYPRYSKADYEKMEEWRLDLLLREYGLNFMGTLEDKRSFAMGAFLWPDQY >Manes.04G145900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34351796:34352185:-1 gene:Manes.04G145900.v8.1 transcript:Manes.04G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHDDTPNHKTHLSVAFRWPTRRRISLRRRKLPSVRLGGKKQRRGLFLLRMLRRMRVKWLKLHYSCLLRKLKEYYRNLIKDVIEAGATIEVYQQRLVMEASFGVPVMGFNFSSYPSMAGSDRPPFIFI >Manes.01G012300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3548862:3549215:-1 gene:Manes.01G012300.v8.1 transcript:Manes.01G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGRDKRRRIEKESDEAKNKKVRKGDLEEATEEEVDEFFAILRRMQVAVKYFEKGNGEGWRAAVEAEVVAVVGGEKDEKDETEKKEDSPEIRPIVEEEIGVLDLNVMPEVENDEM >Manes.14G099000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEGIAVKDRQERVLALLC >Manes.14G099000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQVFSVKVLSLVKTFNMKVYKILVRCHL >Manes.14G099000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQESDKLFDLSKGKVT >Manes.14G099000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQESDKLFDLSKGKVT >Manes.14G099000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQIAVYGKSFNHSARDAWELFQSTGVEAIVAYDCSGAVLLMGTLLSGLITGTCSGVWTHIKWSSDSVTMIGSTAMLMGMVLVGVAMVVVESAVTSIYICYAEDPLLIHRWDAEFFNQLSETLHQRLQYRSDRAREVLTHSRFDNHVQETVSV >Manes.14G099000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQESDKLFDLSKGKVT >Manes.14G099000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQIAVYGKSFNHSARDAWELFQSTGVEAIVAYDCSGAVLLMGTLLSGLITGTCSGVWTHIKWSSDSVTMIGSTAMLMGMVLVGVAMVVVESAVTSIYICYAEDPLLIHRWDAEFFNQLSETLHQRLQYRSDRAREVLTHSRFDNHVQETVSV >Manes.14G099000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQVFSVKVLSLVKTFNMKVYKILVRCHL >Manes.14G099000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQESDKLFDLSKGKVT >Manes.14G099000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQIAVYGKSFNHSARDAWELFQSTGVEAIVAYDCSGAVLLMGTLLSGLITGTCSGVWTHIKWSSDSVTMIGSTAMLMGMVLVGVAMVVVESAVTSIYICYAEDPLLIHRWDAEFFNQLSETLHQRLQYRSDRAREVLTHSRFDNHVQETVSV >Manes.14G099000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIAVYGKSFNHSARDAWELFQSTGVEAIVAYDCSGAVLLMGTLLSGLITGTCSGVWTHIKWSSDSVTMIGSTAMLMGMVLVGVAMVVVESAVTSIYICYAEDPLLIHRWDAEFFNQLSETLHQRLQYRSDRAREVLTHSRFDNHVQETVSV >Manes.14G099000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8228857:8234854:1 gene:Manes.14G099000.v8.1 transcript:Manes.14G099000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTSSSDSDSASVAAIDQNVRRNQRSGSGGQTLAPDLPRRWHDVFWLGIFLIHLIGLGLALAVLGLNRFEKSDRFNIDRFTTGSSGNNRGLTEDYWPMYALAGGVGTVLGWTWLLLLGSRANQMMKISVHILTTYLAVLSVLCFWCEQFFWGVAFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMLVMLLWMGIWSFGAAGVVAASMEEGGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNPLVKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGLRSKIGRNECLLCCVDFMFHLVETLVRFFNKYAFVQESDKLFDLSKGKVT >Manes.08G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4245167:4251577:1 gene:Manes.08G043200.v8.1 transcript:Manes.08G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALQIFGSSSGLVAFRWKPHATSGFILVSTNSLSCSAPRKRTRRPYLVLSPFSTRKASSSRALRVHSTGSESKMDASSSPPPSVAVQSAGIVRKINFCQWCGGATKYEIPDGEEKMRAICTLCGKIAYQNPKMVVGCLIEHDNKVLLCRRNIQPSYGLWTLPAGYLEIGESAAEGAIRETWEEASAEVEVVSPFAQLDIPLIGQTYIIFLSRLKKPHFSPGPESSECRLFSLDEIPFDSLAFSSMLVTLNLYTEDVKAGRPKFHYGTINKRPGTSPSDIHAYTLDYHLQS >Manes.13G002557.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:783082:787364:1 gene:Manes.13G002557.v8.1 transcript:Manes.13G002557.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVITTHYPSWENMATTLHGRSCPTLASLPHVCRPLLCIQQLPIPTVATSSHDGPNRHPKSSPCTQQSSSLPKHTALPIKHAPAHSHPAVLSPAEVHSFANQACPCTLASSSTLACPSNRAVPIMCTPAHSPVVVKSRPNFTPTQANRLKGLTNHPHQKKPTSSLVGLANNPLFALQTATRSYLSSK >Manes.04G021700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2591141:2598487:-1 gene:Manes.04G021700.v8.1 transcript:Manes.04G021700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVPASFTGLKTRDSSLGFAKSMDFVRVCDLKRIKSGRKKISVIRNSNPGPEMVELQPASEGSPLLVPRQKYCESVHKTVRRKTRTVMVGNVALGSKHPIRVQTMTTSDTKDVAGTVEQVMRIADKGADLVRITVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEEDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEKEIDPCRRLANLGMRASAVQQGVAPFEEKHRHYFDFQRRSGQLPVQKEGEEVDYRGVLHRDGSVLMSVSLDQLKEPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPAEDNDARLALKRLIDISMGVITPLSEQLTKPLPNAMVLVNLKELSTGAHKLLPEGTRLVVSVRGDEPYEELEILKDIDATMVLHDLPFTEDKIGRVHAARRLFEFLSDNALNFPVIHHIQFPNAIHRDDLVIGAGTNAGALLVDGLGDGILLEAPDQEFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGSPGKIDLYVGKTVVKRGIAMEEATNALIQLIKDHGRWVDPPAEE >Manes.04G021700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2591283:2598439:-1 gene:Manes.04G021700.v8.1 transcript:Manes.04G021700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVPASFTGLKTRDSSLGFAKSMDFVRVCDLKRIKSGRKKISVIRNSNPGPEMVELQPASEGSPLLVPRQKYCESVHKTVRRKTRTVMVGNVALGSKHPIRVQTMTTSDTKDVAGTVEQVMRIADKGADLVRITVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEEDYQKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEKEIDPCRRLANLGMRASAVQQGVAPFEEKHRHYFDFQRRSGQLPVQKEGEEVDYRGVLHRDGSVLMSVSLDQLKEPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPAEDNDARLALKRLIDISMGVITPLSEQLTKPLPNAMVLVNLKELSTGAHKLLPEGTRLVVSVRGDEPYEELEILKDIDATMVLHDLPFTEDKIGRVHAARRLFEFLSDNALNFPVIHHIQFPNAIHRDDLVIGAGTNAGALLVDGLGDGILLEAPDQEFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGSPGKIDLYVGKTVVKRGIAMEEATNALIQLIKDHGRWVDPPAEE >Manes.05G152800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26371172:26372452:-1 gene:Manes.05G152800.v8.1 transcript:Manes.05G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNSISIPKLFLPFFVAFLELLLGVVDSRTHHGDVRVLKDLKNGLDPRSVALGSCLSSWDFSLDPCDHIFSDQFTCGLRCDLIVSGSFRVTEITLDPVGYSGLLSSVSWNLPYLQTLDISDNSFSGSVPDSFAKLIGLRRLSLSRNSLSGKLPVSLGSLSHLEELYLDNNHLQGPLPSSLNSLVNLKRLEIQGNNFSGELPDLHSLKDLYILDASDNKFSGQLPSTLPMSLVELSMRNNQLKGNLPNNVGDLEYLQVLDLSHNKLSGPMLSVLFDHSSLQQLTLSYNNFTFLQVPGTMGFTSKLIAIDLSNNDLGGLLPAFLGMMPNLSALNLEHNKFTGMIPTQYALKAAVPRAHTSSFERLLLGGNYLFGPIPGPLIGLKPGSVNVSLVDNCLYRCPDIYFFCQGGDQKSLVDCKISGKSIP >Manes.02G102001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7974181:7975187:1 gene:Manes.02G102001.v8.1 transcript:Manes.02G102001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQAAGTGGFIVLAVTMDIKYIDAEDSPGTTFIAINTAVSIPVACFCFLFAGASFFNVFHKVSSNKSLSNVFLSLPSKNKTAALMPQDGRMLNLWSSSFSL >Manes.03G016200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1388834:1402135:1 gene:Manes.03G016200.v8.1 transcript:Manes.03G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSSISSLLSLGFVRRTIISNNAQINAIRFYSDDPFPLSSPKPPGPLARYKNLVDQGKLQHDPHQERVALELEKLLGRLEKYEKDMEEYHVNLANWEKKRENERLKLLMEEAEQKQQGDIRASVNKHRNRLVARWMFRKKPENVELGVGRWVSYLNRERKLDSLVGCRPTAPPAPKGLYIYGNVGSGKTMLMDMFYSATEGIVKHRKRFHFHEAMLNINVHMHKIWKNQVKEQSLQSSLSSWIMDLPFDMKVKEWLAAEEKYKQEVQMKNILPAVADKFLVDQQVDQKGASILCFDEIQTVDVFAIVALSGILSRLLTTGTVLVATSNRAPKDLNQDGMQREIFLKLVSKLEDHCEIVLIGSDIDYRRFIAQHSKDQVHYFWPLENSVVKEFEKIWCQVTAQLGGEITSATVPVMFGRKLEVPESCNGVAKFTFEYLCGQPRGAADYIAVAKSYHTVFISGIPTMSMRIRDKARRFITLVDELYNHHCCLFCSAASSIDDLFQGTEEGTLFDLESFQFETETEGGKLRRNVLAEGTVGSGGAPIGIVSMLSGQEEMFAFRRAVSRLIEMQTPLYLEGVRMLHPYFQRQRKGFGNSRTILQSQVSP >Manes.13G014100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1958215:1960106:1 gene:Manes.13G014100.v8.1 transcript:Manes.13G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQGSSSKTYMATDIQPQIISREMIKQSSPTPNHKTIHCLSFFDQICPRIYVPLVFFYPGKDHTISINDHKPETDTVHKSTLLKSSLSAALSFHYPLAGRIRDDLTIDCRDDGAVFLEAKANCELSDILKHPREETLKLLFPDGLWYKDSTLSSTLVVQITFFDCGGMSIAVCICHKIMDMASMCSFINDWASLARNSGQEICPEFNIGSLYPPLDLPVMKNNYQPPKKVNCASRRLVFDASDIAKLKTIAANEVNNPTRVEVATALLYKCAISAAKASSGSLKPTVSHHAMNMRTRVFPPLTQRCSGNLIGLFTVSTMEDDREIEFVSLVKKIREEKTQFSKTCSDETLTGEGLCLLVLESTKGLRENDSSNGKDQEVYLLSSWCRFPLYEADFGWGKPEWVTSICCEVKNVITVMDSRVGDGIEAFVTLEEEAMAMFERDKELLDFAYIY >Manes.09G085000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22454009:22461483:-1 gene:Manes.09G085000.v8.1 transcript:Manes.09G085000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGKGFSLPSKGLKSSLKSTPAKDGKDDSSAKSKRGRKVQFNSEGDKLDFLSKSGGNFDATAVKGSLSKGGKGDKTSNGVKAPSTKEPQPLELKVEQGIQEQMVLLSRDPTIKLPVSFDKALNYAKTGARYTNPQSVRRVVESLKKHGVTDGEICVIANVCPETVDEVFALVPSLKSKKNMLREPIKDVVDELAKLKQQT >Manes.09G085000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22453858:22461483:-1 gene:Manes.09G085000.v8.1 transcript:Manes.09G085000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGKGFSLPSKGLKSSLKSTPAKDGKDDSSAKSKRGRKVQFNSEGDKLDFLSKSGGNFDATAVKGSLSKGGKGDKTSNGVKAPSTKEPQPLELKVEQELPKNVKCLMDCEAAQILLGIQEQMVLLSRDPTIKLPVSFDKALNYAKTGARYTNPQSVRRVVESLKKHGVTDGEICVIANVCPETVDEVFALVPSLKSKKNMLREPIKDVVDELAKLKQQT >Manes.09G085000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22453269:22461517:-1 gene:Manes.09G085000.v8.1 transcript:Manes.09G085000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGKGFSLPSKGLKSSLKSTPAKDGKDDSSAKSKRGRKVQFNSEGDKLDFLSKSGGNFDATAVKGSLSKGGKGDKTSNGVKAPSTKEPQPLELKVEQELPKNVKCLMDCEAAQILLGIQEQMVLLSRDPTIKLPVSFDKALNYAKTGARYTNPQSVRRVVESLKKHGVTDGEICVIANVCPETVDEVFALVPSLKSKKNMLREPIKDVVDELAKLKQQT >Manes.09G085000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22454009:22461483:-1 gene:Manes.09G085000.v8.1 transcript:Manes.09G085000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGKGFSLPSKGLKSSLKSTPAKDGKDDSSAKSKRGRKVQFNSEGDKLDFLSKSGGNFDATAVKGSLSKGGKGDKTSNGVKAPSTKEPQPLELKVEQELPKNVKCLMDCEAAQILLGIQEQMVLLSRDPTIKLPVSFDKALNYAKTGARYTNPQSVRRVVESLKKHGVTDGEICVIANVCPETVDEVFALVPSLKSKKNMLREPIKDVVDELAKLKQQT >Manes.02G061600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4754671:4757951:-1 gene:Manes.02G061600.v8.1 transcript:Manes.02G061600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTSPLCLVARICPRPPIILFNNALQTFSFSTLLAPNQSKPSTKIKTFSHIFQECSNHRSLNPGKQAHARMIISGFVPTIFVSNCLIQMYVKCSHLNNAYKVFDRMNQRDVISYNTMIFGYAGFGKMDIAREFFISLPQRDVVSWNSMISGFLQNGECRKSIEFFMDMGRSGVRFDPTTFAVVLKACASLEEGGVGIQVHGLVIRMGFDNDVITGSALLDMYAKCKRLDDSLRVFGEIPVKNWVSWSAMIAGCVQNDQYVEGLELFKEMQSAGIGVSQSIYASVFRSCAGLSALKLGSQFHGHALKCGFGWDIIVGTATLDMYAKCGSMTDAQKLFNSLPKHSLQCYNAIIVGYARNDQGFEALLLFRLLLKSGLGFDEISLSGAFSACASIKRDIEGLQAHALAVKTTFSSNICVANAILDMYGKCGALAEAVHMFNGMDRRDAVSWNAIIAAQEQNGNEEDTLALFASMLRSRMEPDEFTYGSILKACASKQALNSGMEIHNRIIKSGMGFESFVAGALVDMYCKCGMVEEAEKIHNRTEKQTTVSWNAIISGFSLLEQSENALSFFSWMLEMGVKPDNFTYAIVLDTCANLATIGLGKQIHAQIIKQKLHSDVYISSTLVDMYSKCGNMQDSQLVFEKAPDRDFVTWNAIICGYAQHGLGEEALKAFENMQLQNVNPNHATFISVLRACAHIGLVDKGLYYFNAMAVLVRLCSLSKRCLLKLMRSCGELFLIFARSMGNLRLQKKPQILSCN >Manes.02G061600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4754671:4757974:-1 gene:Manes.02G061600.v8.1 transcript:Manes.02G061600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTSPLCLVARICPRPPIILFNNALQTFSFSTLLAPNQSKPSTKIKTFSHIFQECSNHRSLNPGKQAHARMIISGFVPTIFVSNCLIQMYVKCSHLNNAYKVFDRMNQRDVISYNTMIFGYAGFGKMDIAREFFISLPQRDVVSWNSMISGFLQNGECRKSIEFFMDMGRSGVRFDPTTFAVVLKACASLEEGGVGIQVHGLVIRMGFDNDVITGSALLDMYAKCKRLDDSLRVFGEIPVKNWVSWSAMIAGCVQNDQYVEGLELFKEMQSAGIGVSQSIYASVFRSCAGLSALKLGSQFHGHALKCGFGWDIIVGTATLDMYAKCGSMTDAQKLFNSLPKHSLQCYNAIIVGYARNDQGFEALLLFRLLLKSGLGFDEISLSGAFSACASIKRDIEGLQAHALAVKTTFSSNICVANAILDMYGKCGALAEAVHMFNGMDRRDAVSWNAIIAAQEQNGNEEDTLALFASMLRSRMEPDEFTYGSILKACASKQALNSGMEIHNRIIKSGMGFESFVAGALVDMYCKCGMVEEAEKIHNRTEKQTTVSWNAIISGFSLLEQSENALSFFSWMLEMGVKPDNFTYAIVLDTCANLATIGLGKQIHAQIIKQKLHSDVYISSTLVDMYSKCGNMQDSQLVFEKAPDRDFVTWNAIICGYAQHGLGEEALKAFENMQLQNVNPNHATFISVLRACAHIGLVDKGLYYFNAMVSDYGLDPQLEHYSCMVDIIGRSGRVGEALQLIQEMPLEADAVMWRTLLNICKIHGEFKIAEKATNSILQLEPQDSSACVLLSNIYADAGMWAKVSEMRKMMRQNKLKKEPGCSWIEVKEEVHAFLVGDKAHERCEGIYEMLDLLISEMKWDGCTPDVDFSFDGEAEEYEQPEELRSGTYNL >Manes.02G061600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4754671:4757951:-1 gene:Manes.02G061600.v8.1 transcript:Manes.02G061600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTSPLCLVARICPRPPIILFNNALQTFSFSTLLAPNQSKPSTKIKTFSHIFQECSNHRSLNPGKQAHARMIISGFVPTIFVSNCLIQMYVKCSHLNNAYKVFDRMNQRDVISYNTMIFGYAGFGKMDIAREFFISLPQRDVVSWNSMISGFLQNGECRKSIEFFMDMGRSGVRFDPTTFAVVLKACASLEEGGVGIQVHGLVIRMGFDNDVITGSALLDMYAKCKRLDDSLRVFGEIPVKNWVSWSAMIAGCVQNDQYVEGLELFKEMQSAGIGVSQSIYASVFRSCAGLSALKLGSQFHGHALKCGFGWDIIVGTATLDMYAKCGSMTDAQKLFNSLPKHSLQCYNAIIVGYARNDQGFEALLLFRLLLKSGLGFDEISLSGAFSACASIKRDIEGLQAHALAVKTTFSSNICVANAILDMYGKCGALAEAVHMFNGMDRRDAVSWNAIIAAQEQNGNEEDTLALFASMLRSRMEPDEFTYGSILKACASKQALNSGMEIHNRIIKSGMGFESFVAGALVDMYCKCGMVEEAEKIHNRTEKQTTVSWNAIISGFSLLEQSENALSFFSWMLEMGVKPDNFTYAIVLDTCANLATIGLGKQIHAQIIKQKLHSDVYISSTLVDMYSKCGNMQDSQLVFEKAPDRDFVTWNAIICGYAQHGLGEEALKAFENMQLQNVNPNHATFISVLRACAHIGLVDKGLYYFNAMVSDYGLDPQLEHYSCMVDIIGRSGRVGEALQLIQEMPLEADAVMWRTLLNICKIHGEFKIAEKATNSILQLEPQDSSACVLLSNIYADAGMWAKVSEMRKMMRQNKLKKEPGCSWIEVKEEVHAFLVGDKAHERCEGIYEMLDLLISEMKWDGCTPDVDFSFDGEAEEYEQPEELRSGTYNL >Manes.08G130300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37966118:37967102:-1 gene:Manes.08G130300.v8.1 transcript:Manes.08G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLLPLALALAFSFASASDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLINVDKIPGLNTLGISLARLDFAPYGGLNPPHIHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDNYTVEKLQKLFATT >Manes.15G172000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15329632:15337559:-1 gene:Manes.15G172000.v8.1 transcript:Manes.15G172000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSAVPDQNVETSLVKSMGHVTNVYIWDMDETLILLKSLLYGTYAEAFKGLKDVAKGVKIGKLWEDHILRLCDDLFFYEQIENYNMPFLDALSRYDDGRDLSDYDFNQDGFSSPSDEANKRKLAYRHRVIANKYKQGLPDVFDQEMMKVWDELYDLTDDYTDRWLSSAREFLELCSGRKEDPVPCLASGGGMVSHTDNKFEHVNVLVTSGSLIPSLVKCLLFRLDNLITHGNVYSSWEVGKLQCFQWINERFNSPNVHFCVIGDGWEECEAAQAMQWPFIKIDPCPGSGHRFPGLTLRTLSYYFDVVYGSSDAENDQDKSSSHV >Manes.15G172000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15329632:15337107:-1 gene:Manes.15G172000.v8.1 transcript:Manes.15G172000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSAVPDQNVETSLVKSMGHVTNVYIWDMDETLILLKSLLYGTYAEAFKGLKDVAKGVKIGKLWEDHILRLCDDLFFYEQIENYNMPFLDALSRYDDGRDLSDYDFNQDGFSSPSDEANKRKLAYRHRVIANKYKQGLPDVFDQEMMKVWDELYDLTDDYTDRWLSSAREFLELCSGRKEDPVPCLASGGGMVSHTDNKFEHVNVLVTSGSLIPSLVKCLLFRLDNLITHGNVYSSWEVGKLQCFQWINERFNSPNVHFCVIGDGWEECEAAQAMQWPFIKIDPCPGSGHRFPGLTLRTLSYYFDVVYGSSDAENDQDKSSSHV >Manes.15G172000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15329632:15337559:-1 gene:Manes.15G172000.v8.1 transcript:Manes.15G172000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPNSLSSPPFPPSPKRIKMDGDSAVPDQNVETSLVKSMGHVTNVYIWDMDETLILLKSLLYGTYAEAFKGLKDVAKGVKIGKLWEDHILRLCDDLFFYEQIENYNMPFLDALSRYDDGRDLSDYDFNQDGFSSPSDEANKRKLAYRHRVIANKYKQGLPDVFDQEMMKVWDELYDLTDDYTDRWLSSAREFLELCSGRKEDPVPCLASGGGMVSHTDNKFEHVNVLVTSGSLIPSLVKCLLFRLDNLITHGNVYSSWEVGKLQCFQWINERFNSPNVHFCVIGDGWEECEAAQAMQWPFIKIDPCPGSGHRFPGLTLRTLSYYFDVVYGSSDAENDQDKSSSHV >Manes.09G102300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30092245:30093148:1 gene:Manes.09G102300.v8.1 transcript:Manes.09G102300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNSLLLCSNTSSCFFSLLSSFLPFSAGFPFLSAGVSVVFCPDRAEAVFLPPTRRVGSPSSSPGQLVGDDR >Manes.09G102300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30092242:30093148:1 gene:Manes.09G102300.v8.1 transcript:Manes.09G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNSLLLCSNTSSCFFSLLSSFLPFSAGFPFLSAGVSVVFCPDRAEAVFLPPTRRVNLSETIVDILHAKSVT >Manes.05G071000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5842829:5847042:-1 gene:Manes.05G071000.v8.1 transcript:Manes.05G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIVQLQRQIVDFTASLYREGYVDDQFTQLQKLQDESSPDFVMEVVSLFFVDCEKLVNNMARALEPQAVDFKQVDNDVHQLKGSSSSMGAARIKNACIAFRTYCESQDREGCMRCLQQVNHEYAQLKIKLQTLFRLEQQIVAAGGSIPVIQ >Manes.05G071000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5842760:5847042:-1 gene:Manes.05G071000.v8.1 transcript:Manes.05G071000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIVQLQRQIVDFTASLYREGYVDDQFTQLQKLQDESSPDFVMEVVSLFFVDCEKLVNNMARALEPQAVDFKQVDNDVHQLKGSSSSMGAARIKNACIAFRTYCESQDREGCMRCLQQVNHEYAQLKIKLQTLFRLEQQIVAAG >Manes.16G110700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31619967:31629375:1 gene:Manes.16G110700.v8.1 transcript:Manes.16G110700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPENAIGATTLRYAFGNVLSFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWALNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGAGAGLTAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNALAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVAFVYYIKGILSPKMFKVAVTLVVSIGLAVCCAVLAILIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIVTSVYFSGVMVRLMLVLAPAACVMSGIALSEAFSVFTRSIKFQLPGLLGSSQSQVDSGDASSSTAVAQNDAGKVEKTEDTAKERPSKKNKKKEKEIVDKPSLKTKIEKRLLVLPLEASIFGILLLVLLGAFYVVHCVWAAAEAYSAPSIVLTSYSRDGGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGHYRIDSQATPTMLNCLMYKLSYYRFVETDGRGFDRVRQTEIGKKNFKLTHFEEAFTTHHWMVRIYKLKPPKNRIRGKTKKSKSKTSTKRSGASKRNPWH >Manes.08G162200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39747617:39757853:-1 gene:Manes.08G162200.v8.1 transcript:Manes.08G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGLSSGTKSVKSVNVSFRLPYYTQWGQSLLVCGSEPILGSWDVKKGLLLSPVHEGEELIWYGSVATPTEFSCEYNYYVVDDEKNVLRWEMGKRRKLLLSKEINGGETVQLHDLWQTGGDAIPFRSAFKNVIFRRSWNLSIERPLGVQNKLDKEDTVLVHFKICCPNVEEETSLYVIGSNAKLGRWNVQEGLKLSYAGDSIWQADAVMPRSEFPIKYRYCKYNKAGNFSLETGQNRDLSFDSSKIPPRYIFLSDGMLREMPWRGAGVAIPMFSVRSENDLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENLKEDVKREIQQAGKKLDGKDVDYEATMAAKLSIAKKVFAQEKDLILNSSSFQQYFLENEEWLKPYAAFCFLRDFFETSDHSQWGRFSHYSKEKLEKLVSKDSLHYDIICFHYYVQFHLHLQLSEAAEYARKNGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSQEELEKEGIWDFDRLSRPYIIQEFLQEKFGASWTFIAANFMNEYQKGRYEFKDDCNTEKKIAYKLKTFAEKSMLLESEDKIRHGLFDVLKNIVLIRDPEDSRKFYPRFNLEDTSSFQDLDDHSKNVLKKLYYDYYFHRQENLWRKNAMKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRCRFFKNVVGSNELPPSQCTPEIANFVIRLHVEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVTLESLMKDKELKTSISSLIRGSGRSYPGKADEGGNKGTSSSVLGKQQVNSSGETSMISIQSNGVPGKGTSVL >Manes.08G162200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39747682:39757816:-1 gene:Manes.08G162200.v8.1 transcript:Manes.08G162200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGLSSGTKSVKSVNVSFRLPYYTQWGQSLLVCGSEPILGSWDVKKGLLLSPVHEGEELIWYGSVATPTEFSCEYNYYVVDDEKNVLRWEMGKRRKLLLSKEINGGETVQLHDLWQTGGDAIPFRSAFKNVIFRRSWNLSIERPLGVQNKLDKEDTVLVHFKICCPNVEEETSLYVIGSNAKLGRWNVQEGLKLSYAGDSIWQADAVMPRSEFPIKYRYCKYNKAGNFSLETGQNRDLSFDSSKIPPRYIFLSDGMLREMPWRGAGVAIPMFSVRSENDLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSENLKEDVKREIQQAGKKLDGKDVDYEATMAAKLSIAKKVFAQEKDLILNSSSFQQYFLENEEWLKPYAAFCFLRDFFETSDHSQWGRFSHYSKEKLEKLVSKDSLHYDIICFHYYVQFHLHLQLSEAAEYARKNGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSQEELEKEGIWDFDRLSRPYIIQEFLQEKFGASWTFIAANFMNEYQKGRYEFKDDCNTEKKIAYKLKTFAEKSMLLESEDKIRHGLFDVLKNIVLIRDPEDSRKFYPRFNLEDTSSFQDLDDHSKNVLKKLYYDYYFHRQENLWRKNAMKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRCRFFKNVVGSNELPPSQCTPEIANFVIRLHVEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVTLESLMKDKELKTSISSLIRGSGRSYPGKADEGGNKGTSSSVLGKQQVNSSGETSMISIQSNGVPGKGTSVL >Manes.03G080151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14246240:14250426:1 gene:Manes.03G080151.v8.1 transcript:Manes.03G080151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLGRIHFGGPYPANQLRRTSAKKIAITKSLSFTFFPPSIYEELGNFFKAPIRSFLEAAPRKKLALRKRGKTSFDYTGRERRSDMASEIETSSCGVIHVSVDDDEQLLSPTGSSWRLNLDKFRLRRERSSHARSGSPPASHRQHNHVSRFSFRRLLPTPRKQRKVSEYYKKQESLLEGYNEMETMTELGCFPGNATEDEMKQLEKSERMAVHISNVANMVLFAAKVYASTESKSLAVIASTLDSLLDLMSGFILWFTSYAMKKPNHYHYPIGKKRMQPVGIIVFASVMATLGLQILLESGRQLIAKVGPKMNKEEEKWMIGIMVSVTWVKFMLMIYCRRFKNEIVRAYAQDHFFDVVTNSIGLVTAVLAVRFFWWIDPTGAIIIAVYTINTWARTVLENVNSLIGRTAPPEFLAKLTFLIWNHHQEIKHIDTVRAYTFGSYYFVEVDIVLPEDMLLNQAHNIGETLQEKLEQLPQVERAFVHIDFEFTHRPEHKSKV >Manes.03G080151.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14242973:14250782:1 gene:Manes.03G080151.v8.1 transcript:Manes.03G080151.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDNSTKHGGPVSEKEPLPPWKLNIEDFRISNQDAAHLLSHKRFPFLCLEGGKQRKVSEYYKKQESLLEGYNEMETMTELGCFPGNATEDEMKQLEKSERMAVHISNVANMVLFAAKVYASTESKSLAVIASTLDSLLDLMSGFILWFTSYAMKKPNHYHYPIGKKRMQPVGIIVFASVMATLGLQILLESGRQLIAKVGPKMNKEEEKWMIGIMVSVTWVKFMLMIYCRRFKNEIVRAYAQDHFFDVVTNSIGLVTAVLAVRFFWWIDPTGAIIIAVYTINTWARTVLENVNSLIGRTAPPEFLAKLTFLIWNHHQEIKHIDTVRAYTFGSYYFVEVDIVLPEDMLLNQAHNIGETLQEKLEQLPQVERAFVHIDFEFTHRPEHKSKV >Manes.08G018800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1893538:1901062:-1 gene:Manes.08G018800.v8.1 transcript:Manes.08G018800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVEVISACLKAGMSVARFDFSWGGPEYHQETLENLKAAVKVTKKLCAVMLDTVGPELQVVNKSETAISLLADGLVVLTPDQKREASSEVLPINFDGLSKAVKPGDTIFVGQYLFTGSETTSVWLEVISTWGVQNKIDFLSLSYTRHAEDVRQAREYLSKLGDLYQTQIFAKIENAEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWNFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELED >Manes.08G018800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1893538:1901062:-1 gene:Manes.08G018800.v8.1 transcript:Manes.08G018800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVEVISACLKAGMSVARFDFSWGGPEYHQETLENLKAAVKVTKKLCAVMLDTVGPELQVVNKSETAISLLADGLVVLTPDQKREASSEVLPINFDGLSKAVKPGDTIFVGQYLFTGSETTSVWLEVSEVKGNDVVCVIKNSATLAGSLFTLHASQIHIGLPTLSDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREYLSKLGDLYQTQIFAKIENAEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARQGNHLLSEVSSLCLLIHDILRNQRAQQMSQY >Manes.08G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1893538:1901062:-1 gene:Manes.08G018800.v8.1 transcript:Manes.08G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVEVISACLKAGMSVARFDFSWGGPEYHQETLENLKAAVKVTKKLCAVMLDTVGPELQVVNKSETAISLLADGLVVLTPDQKREASSEVLPINFDGLSKAVKPGDTIFVGQYLFTGSETTSVWLEVSEVKGNDVVCVIKNSATLAGSLFTLHASQIHIGLPTLSDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREYLSKLGDLYQTQIFAKIENAEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWNFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELED >Manes.18G123000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13587583:13590751:-1 gene:Manes.18G123000.v8.1 transcript:Manes.18G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQFDKMILFSFLIIGFVGVVVRLYNWLVVKPKRLRSMLKKQGINGPPPAFLLGNMREIMKSLSSNEKTNDPPLIHNCAARVLPFSERWLKDYGQVFVFSLGNIQVLNLHQPELVKEFATCVSWDLGRPLMINDVGPLLGKGILTSNGAFWLHQRKIIAPGLYMEKIKGMGNQITESAITLVNSWKSMVERDGGIADIKIDEAVSRFSGDVISRACFGSNYSKGEQIFLKLSHLQKTLSKKGLALGIPGMRYLPTKTNREAWALEKEIRNLILKVVKERQEAADEKDLLQMILEGAKDSNLSREETERFIVDNCNNIYLAGWETTTVAAVWCLMLLAANQEWQDRVRAEVLEICGGNMPNSDMIRKMKLLNMVIYESLRLYSPVAVIAREALKDMKLANINVPKGVNVWTTILLLHTDPEIWGSDSYNFNPERFANGIAGACKYPFLYMPFGVGPRVCIGQHLAMVELKILMALILSNFSLTISPKYIHSPTFALGVKPKYGVILLVKKI >Manes.02G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9085422:9090655:1 gene:Manes.02G118500.v8.1 transcript:Manes.02G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFGNLIRFSTTKSASSSSITTKPLILNTLFSHFTTDASLHNHSHSSPPPTQFAGLGPTKHNEKPRIVVLGSGWAGCRLMKGIDTKLYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIARIQPAISKEPGSYFFLANCTGLDTHNHLVHCHTVTDGANTVDPWKFSISYDKLVIALGAEASTFGIQGVKEHAVFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRSLLHCVVVGGGPTGVEFSGELSDFIMRDVRQRYTHVKDYIHVTLIEANEILSSFDDRLRRYATKQLTKSGVRLVRGIVKDVKPQKLILNDGSEVPYGLLVWSTGVGPSLFVKSLDLPKSPGGRIGIDEWLRVPAVQDVFAIGDCSGFLESTGKTVLPALAQVAERQGKYLASLLNKIGRAGGGHANAAKDVELGDPFVYKHLGSMATIGSYKALVDLRQSKEAKGISLAGFISWFVWRSAYLTRVVSWRNRFYVAINWATTFVFGRDISRI >Manes.02G118500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9085422:9090655:1 gene:Manes.02G118500.v8.1 transcript:Manes.02G118500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFGNLIRFSTTKSASSSSITTKPLILNTLFSHFTTDASLHNHSHSSPPPTQFAGLGPTKHNEKPRIVVLGSGWAGCRLMKGIDTKLYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIARIQPAISKEPGSYFFLANCTGLDTHNHLVHCHTVTDGANTVDPWKFSISYDKLVIALGAEASTFGIQGVKEHAVFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRSLLHCVVVGGGPTGVEFSGELSDFIMRDVRQRYTHVKDYIHVTLIEANEILSSFDDRLRRYATKQLTKSGVRLVRGIVKDVKPQKLILNDGSEVPYGLLVWSTGVGPSLFVKSLDLPKSPGGRIGIDEWLRVPAVQDVFAIGDCSGFLESTGKTVLPALAQVNSDQCELFPS >Manes.01G217400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38630515:38633499:-1 gene:Manes.01G217400.v8.1 transcript:Manes.01G217400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVCSMLWCIWSQPYLGFSLSLCPFCQKRERLLHIWGKMDLMAHSSTFLLPTISGDHRPSFTYVLVVLNQRLPRFTPLLWKHSQLRLCADGGANRVYDEMPLLVPHEDALDVRHRYKPDLIKGDMDSVRTEVLDFYTSLGTMVVDESHDQDTTDLHKCISHIRDFTPDLDKSNLCVLVAGALGGRFDHEAGNINVLCRFSTMRIILISDDCLIYLLPRTHYHEIHIQSSLEGPHCGLIPIGMPSGNTTTTGLQWDLNEMEMRFGGLISTSNIVRGGKVTVRSSSDLLWTISIKKT >Manes.01G217400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38629106:38633503:-1 gene:Manes.01G217400.v8.1 transcript:Manes.01G217400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAQLRLCADGGANRVYDEMPLLVPHEDALDVRHRYKPDLIKGDMDSVRTEVLDFYTSLGTMVVDESHDQDTTDLHKCISHIRDFTPDLDKSNLCVLVAGALGGRFDHEAGNINVLCRFSTMRIILISDDCLIYLLPRTHYHEIHIQSSLEGPHCGLIPIGMPSGNTTTTGLQWDLNEMEMRFGGLISTSNIVRGGKVTVRSSSDLLWTISIKKT >Manes.01G217400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38629106:38633503:-1 gene:Manes.01G217400.v8.1 transcript:Manes.01G217400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVPHEDALDVRHRYKPDLIKGDMDSVRTEVLDFYTSLGTMVVDESHDQDTTDLHKCISHIRDFTPDLDKSNLCVLVAGALGGRFDHEAGNINVLCRFSTMRIILISDDCLIYLLPRTHYHEIHIQSSLEGPHCGLIPIGMPSGNTTTTGLQWDLNEMEMRFGGLISTSNIVRGGKVTVRSSSDLLWTISIKKT >Manes.12G083300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12196058:12214333:1 gene:Manes.12G083300.v8.1 transcript:Manes.12G083300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMWAIWRFAFFRLILLFYIVYRVSGNAEGDALNALKTNLADPNNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLLGQLVPQLGQLSNLQYLELYRNNISGRIPDELGNLTNLVSLDLYLNNLSGPIPVTLGKLQRLRILRLNNNTLSETIPMSLTTIGTLQVLDLSTNRLTGDIPVNGSFSLFTPISFNNNLLNKLPASAPPPLTPSTPTPSGKKRICWIVADNSNFLMETCPEIKKTSLFDLRPICSEADLKRLDVLFSRF >Manes.08G050300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5175332:5179890:-1 gene:Manes.08G050300.v8.1 transcript:Manes.08G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCLSYLLLVGFLSKSQLVTAQFNDQATLLLINKEIKVPGWGDNGTNFCNWRGIGCNLNQSLVERLALPRLDLQGNVTMISELKALTWLDLSGNNFHGLIPSAFGKLSQLEFLDLSLNKFEGLIPLELGSLRSLKSLNLSNNLLVGEIPDELEGLESLEEFQISSNNINGSIPSWVGNLTSLRVFTAYENELGGEIPDNLGSVSELKLLNLHSNQLRGPIPSSIFVMGKLEVLVLTQNGFSGGLPELVGNCRGLSSIRIGNNVLEGVLPKTIGNVSGLTYFEADDNHLSGDIISEFSQCSNLTLLNLASNGFSGVIPSELGQLANLQELILSGNNLFGDIPKPILGSKSLNKLDVSNNKLNGSIPNEICDMSRLQYLLLGQNSIKGEIPHEIGNCMKLLELQMGSNYLTGHIPPEIGRIRNLQIALNLSYNHLQGPLPPELGKLDKLVSLDVSNNQLSGTIPQSFKGMLSLIEVNFSNNLLSGPIPTFAPFQKSPNSSFLGNKGLCGDPLSILCGNSYASGHVNYHHKVSYRIILAVIGSGLAVFVSVTVVVLLFMMRERQEKDAKTAGVADDVANDRPTILAGHVFVENLRQAIDFDAVVKATLKDSNKLTNGTFSIVYKAVMPSGMILAVRRLKSMDRTIIHHQNKMIRELERLSKLCHDNLVRPIGYVIYEDVALLLHHYLPNGTLAQLVHESSKQPEYEPDWPTRLSIAIGVAEGLAFLHHVAVIHLDISSGNVLLDADFRPLVGEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHGAPARGETPEQILDAKLSTVSFGWRREMLAALKVALLCTDSTPAKRPKMKKVVEMLQEIKQS >Manes.06G151300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27893232:27897700:-1 gene:Manes.06G151300.v8.1 transcript:Manes.06G151300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLNGSLTSGNGDYPPVRSYNDLKSVIWIETVKMWTIAAPIVFNMVCQYGINSVTNIFVGHVGDFELSAVAISLSVIGTFSFGFMLGMGSALETLCGQAFGAGQVHMLGIYMQRSWIVLIVTCIFLMPIYIFATPILKLLGQEDSIAEYAGNFTLLVIPQLFSLAVNFPTQKFLQAQTKVQALAWIGFVALALHIPLLWLLIFVFRWGTNGAAIAYNITNWAIAVAQVVYVIGWCNEGWNGLSWSAFNEIWAFVRLSIASAVMLCLEIWYMMSIIVLTGHLDNAVVAVGSLSICMNFNGWEAMLFIGINAAISVRVSNELGSGHPRAAKYSIYVTVVQSFLIGILFMLIILIAKDYFAIIFTNSKDLQLAVSKLAFLLGITMVLNSVQPVIGGVAIGSGWQALVAYVNICCYYIFGLPLGFLLGFKANMGVTGLWGGMIAGTALQTLLLLIVLYRTNWNEEVEQTLDRVRKWGGQVMSKEETIGVV >Manes.06G151300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27893232:27897066:-1 gene:Manes.06G151300.v8.1 transcript:Manes.06G151300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAFGAGQVHMLGIYMQRSWIVLIVTCIFLMPIYIFATPILKLLGQEDSIAEYAGNFTLLVIPQLFSLAVNFPTQKFLQAQTKVQALAWIGFVALALHIPLLWLLIFVFRWGTNGAAIAYNITNWAIAVAQVVYVIGWCNEGWNGLSWSAFNEIWAFVRLSIASAVMLCLEIWYMMSIIVLTGHLDNAVVAVGSLSICMNFNGWEAMLFIGINAAISVRVSNELGSGHPRAAKYSIYVTVVQSFLIGILFMLIILIAKDYFAIIFTNSKDLQLAVSKLAFLLGITMVLNSVQPVIGGVAIGSGWQALVAYVNICCYYIFGLPLGFLLGFKANMGVTGLWGGMIAGTALQTLLLLIVLYRTNWNEEVEQTLDRVRKWGGQVMSKEETIGVV >Manes.06G151300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27894971:27897700:-1 gene:Manes.06G151300.v8.1 transcript:Manes.06G151300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLNGSLTSGNGDYPPVRSYNDLKSVIWIETVKMWTIAAPIVFNMVCQYGINSVTNIFVGHVGDFELSAVAISLSVIGTFSFGFMLGMGSALETLCGQAFGAGQVHMLGIYMQRSWIVLIVTCIFLMPIYIFATPILKLLGQEDSIAEYAGNFTLLVIPQLFSLAVNFPTQKFLQAQTKVQALAWIGFVALALHIPLLWLLIFVFRWGTNGAAIAYNITNWAIAVAQVVYVIGWCNEGWNGLSWSAFNEIWAFVRLSIASAVMLCLEIWYMMSIIVLTGHLDNAVVAVGSLSICMNFNGWEAMLFIGINAAISVRVSNELGSGHPRAAKYSIYVTVVQSFLIGILFMLIILIAKDYFAIIFTNSKDLQLAVSKLAFLLGITMVLNSVQPVIGGTNWI >Manes.16G001700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:392500:397035:1 gene:Manes.16G001700.v8.1 transcript:Manes.16G001700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRIPSHQLRNGLLVSGPPEHLKEKQPTMASRAVPYTGGDVKKSGELGKMFDIPVLDQHSPSGPPPPIPKQSSRSSSSSQHNSGSVRSGPNSGPAKKSSGPLSLQPTGLITSGPLGSGPLGSGPLSSAHRRSGQLDHTGSGVGSGSSKALYGSAVTSLTEHVKVGFRVSRPVMWVVLVVVAMGLLVGAFLMVAVKKAIILVAVGAVLVPVIGGLVWNCVWGRRGLLRFVRKYPDAELRGAIDGQYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELYEYRGWGGKSAHPKHRFFSWGSRYSEKYVADFYISDFQSGLRALVKAGYGAKVAPFVKPVTVVDVKKENRDLSPSFLRWLADRSLSSDDRIMRLKEGYIKEGSTVSVMGVVQRHDNVLMIVPPQEPVSTGCQWLQCLLPTYVEGLILMCDDNQDTDVVPV >Manes.16G001700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:392500:397035:1 gene:Manes.16G001700.v8.1 transcript:Manes.16G001700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRIPSHQLRNGLLVSGPPEHLKEKQPTMASRAVPYTGGDVKKSGELGKMFDIPVLDQHSPSGPPPPIPKQSSRSSSSSQHNSGSVRSGPNSGPAKKSSGPLSLQPTGLITSGPLGSGPLGSGPLSSAHRRSGQLDHTGSGVGSGSSKALYGSAVTSLTEHVKVGFRVSRPVMWVVLVVVAMGLLVGAFLMVAVKKAIILVAVGAVLVPVIGGLVWNCVWGRRGLLRFVRKYPDAELRGAIDGQYVKVTGKYVADFYISDFQSGLRALVKAGYGAKVAPFVKPVTVVDVKKENRDLSPSFLRWLADRSLSSDDRIMRLKEGYIKEGSTVSVMGVVQRHDNVLMIVPPQEPVSTGCQWLQCLLPTYVEGLILMCDDNQDTDVVPV >Manes.14G041500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3510915:3515083:-1 gene:Manes.14G041500.v8.1 transcript:Manes.14G041500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHEEQEHEDYGSEIPGEGEMDADIDMSSRPEEDEDHGHNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSSSASATQAEKEEVDSRSIYVGNVDYTCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPYGFRSRRPFMSASPFYPPYGYG >Manes.14G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3510858:3515084:-1 gene:Manes.14G041500.v8.1 transcript:Manes.14G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHEEQEHEDYGSEIPGEGEMDADIDMSSRPEEDEDHGHNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSSSASATQAEKEEVDSRSIYVGNVDYTCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPYGFRSRRPFMSASPFYPPYGYGRVPRFRRPMRYRPY >Manes.14G041500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3510915:3515083:-1 gene:Manes.14G041500.v8.1 transcript:Manes.14G041500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHEEQEHEDYGSEIPGEGEMDADIDMSSRPEEDEDHGHNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSSSASATQAEKEEVDSRSIYVGNVDYTCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPYGFRSRRPFMSASPFYPPYGYGRVPRFRRPMRYRPY >Manes.S006213.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:42342:42509:1 gene:Manes.S006213.v8.1 transcript:Manes.S006213.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.08G067300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10295320:10297504:1 gene:Manes.08G067300.v8.1 transcript:Manes.08G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQDLLLSSSSRRETPPSTKTIGCMSGIFQLVCKYHNRRRFLPSRKEPEKNGFPSPAMSSSQPSSSSPPQENSTHTDHLVPRSPVMPDEMRSSPPALVARLMGLNDIPAATIAAEKRRRLIGALEKCDEDLQTLKKLIEVVKCVGDRGDKIQVYYRGRDELEAARPVSVLDEFTRSGFCSYSKRHNTNIGRAPQPWKIMSAGDEDITNVSMIERIKSEMMIPSERSSGNLAAPLWSSKAMVESVNEVCKDIAWGQSREIGRIGLALQDYIFRDLIEEIVREMGFCYIYPALPLESCKRRLRFY >Manes.08G067300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10295320:10297504:1 gene:Manes.08G067300.v8.1 transcript:Manes.08G067300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEMRSSPPALVARLMGLNDIPAATIAAEKRRRLIGALEKCDEDLQTLKKLIEVVKCVGDRGDKIQVYYRGRDELEAARPVSVLDEFTRSGFCSYSKRHNTNIGRAPQPWKIMSAGDEDITNVSMIERIKSEMMIPSERSSGNLAAPLWSSKAMVESVNEVCKDIAWGQSREIGRIGLALQDYIFRDLIEEIVREMGFCYIYPALPLESCKRRLRFY >Manes.08G067300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10295320:10297504:1 gene:Manes.08G067300.v8.1 transcript:Manes.08G067300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQPSSSSPPQENSTHTDHLVPRSPVMPDEMRSSPPALVARLMGLNDIPAATIAAEKRRRLIGALEKCDEDLQTLKKLIEVVKCVGDRGDKIQVYYRGRDELEAARPVSVLDEFTRSGFCSYSKRHNTNIGRAPQPWKIMSAGDEDITNVSMIERIKSEMMIPSERSSGNLAAPLWSSKAMVESVNEVCKDIAWGQSREIGRIGLALQDYIFRDLIEEIVREMGFCYIYPALPLESCKRRLRFY >Manes.12G033800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2968860:2972008:1 gene:Manes.12G033800.v8.1 transcript:Manes.12G033800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAWKSRESEDDENGKQNSISVRGMQFAYEGQHPLFYDFNLNIPPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTVGSAGEIPLQGDFSAEHMIFGVEGVDPARREKLIDLLDIDLEWRMHKVSDGQRRRVQICMGLLVPFKVLLLDEVTVDLDVVARMDLLEFFREECEQRGATLVYATHIFDGLETWATHLAYIQDGELRKSEKLTDVHELKSSANLLSVVESWLRSETKHEKKKPTNPPAQNQKTSPFGTSPFMSSRHMAYYR >Manes.12G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2968686:2972359:1 gene:Manes.12G033800.v8.1 transcript:Manes.12G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAWKSRESEDDENGKQNSISVRGMQFAYEGQHPLFYDFNLNIPPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTVGSAGEIPLQGDFSAEHMIFGVEGVDPARREKLIDLLDIDLEWRMHKVSDGQRRRVQICMGLLVPFKVLLLDEVTVDLDVVARMDLLEFFREECEQRGATLVYATHIFDGLETWATHLAYIQDGELRKSEKLTDVHELKSSANLLSVVESWLRSETKHEKKKPTNPPAQNQKTSPFGTSPFMSSRHMAYYR >Manes.07G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32625000:32627380:-1 gene:Manes.07G122200.v8.1 transcript:Manes.07G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNDFCLDSKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNLQRWLRELRNHADSNIVIIMAGNKSDLNHLRAVPTEDGQLLAEKEAIAFLETSALEALNVEKAFQTILLDVYDIVCKKALAAQAAAKSTALQGTTINVANQSGDMNNRPCCSN >Manes.15G038950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3061579:3063622:1 gene:Manes.15G038950.v8.1 transcript:Manes.15G038950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKMSMIGTGDFSAKLDPERMKELKAFDDTNAGVKGLVDAKLATIPKIFVRPPEELAEELKSGQTDYKVPIIDLSDIHKGNFSKEIIEEVRFASEKWGFFQVVNHGIPSTVLDEMIDGVRLFNEEELQVKKKFYSRDHLKKVRFNSNYDLYKSRFANWRDTLTISVPHQLDPEELPATCRKATLEYIKHIKILGEHLFELLSEALGLKVDHLRKLGCADGSTIVCHYYPACPNPELTLGASKHSDPGTLTVLLQSQICSLQVLHEGEWVNVHPIPGALIISNDRLKSVEHRVIARHEGPRISVACFFTGSSSMMYSPIKELTSENDPARYREVVLSEYISRFMSLSLDDKSSLDHYKQ >Manes.15G038950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3061579:3063622:1 gene:Manes.15G038950.v8.1 transcript:Manes.15G038950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKMSMIGTGDFSAKLDPERMKELKAFDDTNAGVKGLVDAKLATIPKIFVRPPEELAEELKSGQTDYKVPIIDLSDIHKGNFSKEIIEEVRFASEKWGFFQVVNHGIPSTVLDEMIDGVRLFNEEELQVKKKFYSRDHLKKVRFNSNYDLYKSRFANWRDTLTISVPHQLDPEELPATCRKATLEYIKHIKILGEHLFELLSEALGLKVDHLRKLGCADGSTIVCHYYPACPNPELTLGASKHSDPGTLTVLLQSQICSLQVLHEGEWVNVHPIPGALVINIGDLLQIISNDRLKSVEHRVIARHEGPRISVACFFTGSSSMMYSPIKELTSENDPARYREVVLSEYISRFMSLSLDDKSSLDHYKQ >Manes.14G153900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18064720:18065914:-1 gene:Manes.14G153900.v8.1 transcript:Manes.14G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFDPQERSQEQQSLKRRWQERRLISNINTRPPSSLPFIPHSKSFPGLDDDDLVSAVVPPVTVVLEGRSICQRISLHKHGSYNSLAKALRQMFVDGAGGDVAETADLDLTNAVPGHLIAYEDMENDLLLAGDLNWKDFVRVAKRIRILPVKRNSKKGREGA >Manes.14G153900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18064720:18065914:-1 gene:Manes.14G153900.v8.1 transcript:Manes.14G153900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFDPQERSQEQQSLKRRWQERRLISNINTRPPSSLPFIPHSKSFPGLDDDDLVSAVVPPVTVVLEGRSICQRISLHKHGSYNSLAKALRQMFVDGAGGDVAETADLDLTNAVPGHLIAYEDMENDLLLAGDLNWKYGFCTCG >Manes.05G025000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2196483:2199352:-1 gene:Manes.05G025000.v8.1 transcript:Manes.05G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQTQPTHVAILPSPGMGHLIPLVELAKLLVSQHNLSITFIVPTDGAPSNAQKSVLESLPATISSTFLPPVDLSDLPEDARIETVISITVARSLPSLRDVLKSLVESTRLAALVVDLFGTDAFDIAREFDVSPYVFFPSTAMSLSLMFWLPKLDEAVSCEYRELEEPVIIPGCIPILGKELLDPVQDRKNDAYKWLLHHAKRYRLANGVMVNSFADMEGGAIKAVQEEEPGNPPIYPVGPLVNMGSSSKAEEAECLRWLDEQPHGSVLYVSFGSGGTLSYDQINELAMGLEMSQQRFLWVVRSPNDTVANATFFSVESQKDPFAFLPKGFLDRTKGRGLAVPSWAPQAQVLSHGSTGGFLTHCGWNSTLESVANGVPLIAWPLYAEQRMNAVMLTEDIKVALRPRHNDQNGLIERQEIARVVRGLMEGEEGKKVRNRMKGLKDAAARVLSDEGSSAKALTDLVLKWKTQKCK >Manes.03G194300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31212932:31213512:1 gene:Manes.03G194300.v8.1 transcript:Manes.03G194300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWIFKNGVIRLVENPGAEAVDGSKQGLSGSRQKVLVHVPSNEVITSYAVLENKLFSVGWERYYDDPDLLQFHKKSTLHLISLPKDFSKFKSMHMYDIVVKNRNIFEVKHM >Manes.03G187000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30701145:30703209:1 gene:Manes.03G187000.v8.1 transcript:Manes.03G187000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEFTSLRTKDSEKEVDLEAGNGETLYPGLGLGENQLRWGLIRKVYGILAVQLVLTTIVSAVTVLYAPVNSLLGDSPGLLLLLCIVPFILLWPLHVYHQKHPVNLIILGFFTVSLSLLVGVSCANTDGKIVLEALILTSAVVCSLTGYTFWASKKGQDFSFLGPILFTSLIILILTSFLQMFVPLGSTSTAIYGGISALVFCGYIIYDTDNLIKRFSYDEYILASAALYLDILNLFLAILRVLSQRNN >Manes.10G008000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:936900:939675:-1 gene:Manes.10G008000.v8.1 transcript:Manes.10G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSSSSSSVFIRKPRLSPYLFTLLIFIVFVSILYGEDFICLLGRLDPNSGTLVTKSEKKWEELPFAIGKTPEGCDVFSGRWVRDESTHPLYEEWECPYIQPQLTCQEHGRPEKEYQKWRWQPHGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYSSMVCLLHRLIPEHAKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAVIHRISDRIVRKGSINKHGKNWKGVDILVFNTYLWWMTGHKMKILQGSFDNEVKDIIELSTEDAYRMAMRSMLRWVRKNMNPSKTRVFFTSMSPSHGKSIDWGGEPGLSCYNETTLIDNPTYWSSDCQKSIMEVIREVFRKSKFPISFLNITQLSSYRKDAHTSIYKKQWNPLTPEQIANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >Manes.05G087400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7895415:7899890:-1 gene:Manes.05G087400.v8.1 transcript:Manes.05G087400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRRDVRTNRAALFDGIEEGGIRASSSYSHEIDEQDNERAMEGLQDRVNLLKRLSGDIHEEVETHNHMLDRMGNDMDSSRGVLSGTMDRFKTVFETKSSRRMFTLVASFVVIFLVVYYLTR >Manes.09G143600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34172014:34174134:1 gene:Manes.09G143600.v8.1 transcript:Manes.09G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYCSTKPNSIAHSRFNLSSYTTQPRFPISCITPTKKLTSSAKIPLGFVPRCSQQDSASLPATIHAQQFGNDKIIRNNQTQENQQGAQKNFWGAVSLIIGTAVGPGMLGLPAATIRSGSFPSTVAIFLCWVYVISSIILVAELSFAAMQEDGVSEVSFTALATKALGSHFGAFVAVVYASLSFSLLVACVSGIGAIVSQWFPWMNLVLAHALFPLAAGIVITFFPFKVIDVANRFLCLLMLLSITALVGIGLSVARANVLGSFAKSSWSISSILPAIPVAVLTLGFHVITPFICKLAGNSVSEAKRAVLIGGAVPLIMVLSWNLIVLGLAGANTAASSNDPISLLLSVNPSALSAVQGFAFSALATSLIGYAVSFPKQLLDTLDLVFMKLNAEQFSSQSEMFSNRDGTGKVGLVIFSSEQCSGNAGRALFVGSKDFAASEDKQPSSLAESNSFHQMFVTMLVLSVPILIGSFFRSTFSRALDFAGVYANCFLFGILPPVMAYVQQSRKKLRSSILPGGDATLLLLFGIAVILGIWH >Manes.09G143600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34172120:34174478:1 gene:Manes.09G143600.v8.1 transcript:Manes.09G143600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYCSTKPNSIAHSRFNLSSYTTQPRFPISCITPTKKLTSSAKIPLGFVPRCSQQDSASLPATIHAQQFGNDKIIRNNQTQENQQGAQKNFWGAVSLIIGTAVGPGMLGLPAATIRSGSFPSTVAIFLCWVYVISSIILVAELSFAAMQEDGVSEVSFTALATKALGSHFGAFVAVVYASLSFSLLVACVSGIGAIVSQWFPWMNLVLAHALFPLAAGIVITFFPFKVIDVANRFLCLLMLLSITALVGIGLSVARANVLGSFAKSSWSISSILPAIPVAVLTLGFHVITPFICKLAGNSVSEAKRAVLIGGAVPLIMVLSWNLIVLGLAGANTAASSNDPISLLLSVNPSALSAVQGFAFSALATSLIGYAVSFPKQLLDTLDLVFMKLNAEQFSSQSEMFSNRDGTGKVGLVIFSSEQCSGNAGRALFVGSKDFAASEDKQPSSLAESNSFHQMFVTMLVLSVPILIGSFFRSTFSRALDFAGVYANCFLFGILPPVMAYVQQSRKKLRSSILPGGDATLLLLFGIAVILGIWH >Manes.07G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1561219:1565241:-1 gene:Manes.07G012200.v8.1 transcript:Manes.07G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSKTFRSVKPLSSNKSKPSPPDQSSPPSSLPISRISQAKPSSKSRTRSWCVYLILSTNAPIKTYVGVTNNFSRRLKQHNGELKGGAKASRAGRPWIGACIIRGFDDQSEACEFESKWKSLSRKMPRKGKSDNTMKQSVDTSHALLQHRQAALNRVKGSFSCNRLEIDWKLDPF >Manes.01G176500.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35703877:35710302:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35704077:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFMGLFIWEFITFKVMSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.26.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35704047:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35704117:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLDLVEAGAGGDHNAHLCQKLLDVRGGCSTSGRKGWVHLGSWEFITFKVMSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.21.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35703877:35710302:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35703877:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35704047:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.22.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35703877:35710302:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35704077:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFMGLFIWEFITFKVMSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.25.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35704036:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.23.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35703877:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.01G176500.27.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35704077:35710256:-1 gene:Manes.01G176500.v8.1 transcript:Manes.01G176500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASKSKSKRASKEHQKTSSKPSGSANASTGIPANAYNPLSGTFHALETVPTSSSSPRNGNGRYRNIDEPDDHLGSSLGIGIEYDSVSNNGSWSGESEDHKEKNIPVRQETIPGADNDKREKTRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSREQATMALILNEGKVEESVSWLFEGGEDADKLQDHQLCGSNLKIDISEELARMADMEIKYKCNKQEVERAVVAAEGDLEKAAESLRELKLDPPAAPLKPEETGDPPTASNGKVSGAVSQNVMREQQQQKPNPPSMIQQRRDDRDFNYTKTAVPVAGSSEPGNKILQPLKRIQAKVEWAKPQQTAVSGEKRWPSAGSSPSVSYSLASPLQVSTPPSKREARFVAVGSEYKNHHPATVKEPVIMMQRPQSVNTKQVPATSISSSPGTTASWYPTNSVDIMKSNGLLPHIPTTRSLSPNDLNSNQMFHQLHFQQQQHFIPGSSPGESLGISRGNGLWSKTGASPTIAAASSLGLFSGLGGSAGSSGASSPVDWSTAGSMAQLDYSSIDWSLDRGLSSPRSGGMWIGPGPLRNGTQIYDSSNKLAMRTAASGNGVRIPGLQDVGVAIAETSSANSHEWTSPFEGKDLFTLPRQFVSSPSL >Manes.08G067700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10408231:10410023:-1 gene:Manes.08G067700.v8.1 transcript:Manes.08G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKTWVILVLVLSMSLCGKWVRAQVHHVVGEDRGWDPSTDVASWSSGRTFRVGDKIWFAYSAAHGRIAELKTKEEYESCDVSNPIKIYKDGLFNILLDGEGIRYFVSSNSESCKKGLKLPVEVMPQEPLDAPKITTSENAALGLAAGPTPSASAQLSARFVLLVAAGYWQSCMFV >Manes.03G138600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26496283:26502991:-1 gene:Manes.03G138600.v8.1 transcript:Manes.03G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADSLRRDMLAFLSHSPSLMYSGTDFLLKPIFLRGFSGSLHLGLLLVLVVLFVWKKLWVGDSEGSKDGFNENERVLCYKQTVFCCLGVSVFSFVWCLFSYFYWYKDGWSSDELVTLLDLVLRTISWGALSVYLHNQFFDSANATFPFLLRVWWGFYFSLSCYCIVVDLVLYGKHVSLQVQYLASDAVSVLAGLFLCYAGFLKIKGKNTLLEEPLLNGESSNNSESTKSRGGDTVTPYSNAGLFSILTFSWVGSLIALGNKKTLDLEDVPQLHSGDSVVGAFPVFRNKLESDSGASHGVTTFKLVKALFFSAWKEILGTAFLAVLYTAASYVGPYLIDAFVQCLSGRGQFKNQGYLLASAFLVAKIVECLSQRHWFFRLQQIGIRMRAVLVAMIYNKGLTLSCQSKQGHTSGEIINFMTVDAERIGDFSWYMHDPWLVIIQVALALFILYKNLGLASVAALVATVIVMLLNYPLGRLQENFQEELMKCKDRRMKATSEILRNMKILKLQAWEMKFLSKIEELRKTEAGWLKKFVYTSSIVSFVFWGAPTFVSVATFGTCMLMGIPLESGKILSALATFRILQEPIYNLPDTISMIVQTKVSLDRIASFLRLDDLQSDLVEKFPRGSSDTAIEIIDGNFSWDLSSPNPTLKDINFKVFHGMRVAVCGTVGSGKSSLLSCILGEVPKISGTVRLCGKKAYVAQSPWIQSGKIEENILFGEKMDRERYERILEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLMSSKTVIYVTHQVEFLPPADLILVMKDGRITQAGNYNDILNSGSDFMELVGAHKTALSALDSKQAGPGSGNESISKDNNGVESTNGVPQKEENKDLQNGKADDIAEPKGQIVQEEEREKGRVGFPVYWKYLTTAYGGALVPFILLAQILFQILQIGSNYWMAWATPVSKDVKPVVSGYTLIVVYVALAVGSSFCILARSTLLVTVGYKTATILFNRMHFCIFRAPMSFFDSTPSGRILNRASTDQSAVDMQIPYQVGSVAIAMIQLLGIIAVMSQVAWQVFIVFIPVIAASIWYQQYYIPSARELSRLVGVCKAPVIQHFAETISGSTTIRSFDQQFRFQETNMELMDSYSRPKFNIAGAMEWLCIRLDMFSAVTFAFSLFFLTSFPKGIDPAIAGLAVSYGLNLNMLQAWVIWSICNMENKIISVERILQYMSIPSEPPLVVEENRPNSYWPSHGEVDIGNLQVRYAPHMPLVLQGLTCTFPGGKKTGIVGRTGSGKSTLIQTLFRIVEPAAGQILIDGINISTIGVHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGEEVRKKDKKLDSTVAENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLREHFSDCTVLTIAHRITSVLDSDMVLLLSHGLIEEYDTPTRLLENSSSSFAQLVAEYSSRSKSSFEK >Manes.03G138600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26496283:26502973:-1 gene:Manes.03G138600.v8.1 transcript:Manes.03G138600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADSLRRDMLAFLSHSPSLMYSGTDFLLKPIFLRGFSGSLHLGLLLVLVVLFVWKKLWVGDSEGSKDGFNENERVLCYKQTVFCCLGVSVFSFVWCLFSYFYWYKDGWSSDELVTLLDLVLRTISWGALSVYLHNQFFDSANATFPFLLRVWWGFYFSLSCYCIVVDLVLYGKHVSLQVQYLASDAVSVLAGLFLCYAGFLKIKGKNTLLEEPLLNGESSNNSESTKSRGGDTVTPYSNAGLFSILTFSWVGSLIALGNKKTLDLEDVPQLHSGDSVVGAFPVFRNKLESDSGASHGVTTFKLVKALFFSAWKEILGTAFLAVLYTAASYVGPYLIDAFVQCLSGRGQFKNQGYLLASAFLVAKIVECLSQRHWFFRLQQIGIRMRAVLVAMIYNKGLTLSCQSKQGHTSGEIINFMTVDAERIGDFSWYMHDPWLVIIQVALALFILYKNLGLASVAALVATVIVMLLNYPLGRLQENFQEELMKCKDRRMKATSEILRNMKILKLQAWEMKFLSKIEELRKTEAGWLKKFVYTSSIVSFVFWGAPTFVSVATFGTCMLMGIPLESGKILSALATFRILQEPIYNLPDTISMIVQTKVSLDRIASFLRLDDLQSDLVEKFPRGSSDTAIEIIDGNFSWDLSSPNPTLKDINFKVFHGMRVAVCGTVGSGKSSLLSCILGEVPKISGTVRLCGKKAYVAQSPWIQSGKIEENILFGEKMDRERYERILEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLMSSKTVIYVTHQVEFLPPADLILVMKDGRITQAGNYNDILNSGSDFMELVGAHKTALSALDSKQAGPGSGNESISKDNNGVESTNGVPQKEENKDLQNGKADDIAEPKGQIVQEEEREKGRVGFPVYWKYLTTAYGGALVPFILLAQILFQILQIGSNYWMAWATPVSKDVKPVVSGYTLIVVYVALAVGSSFCILARSTLLVTVGYKTATILFNRMHFCIFRAPMSFFDSTPSGRILNRQYYIPSARELSRLVGVCKAPVIQHFAETISGSTTIRSFDQQFRFQETNMELMDSYSRPKFNIAGAMEWLCIRLDMFSAVTFAFSLFFLTSFPKGIDPAIAGLAVSYGLNLNMLQAWVIWSICNMENKIISVERILQYMSIPSEPPLVVEENRPNSYWPSHGEVDIGNLQVRYAPHMPLVLQGLTCTFPGGKKTGIVGRTGSGKSTLIQTLFRIVEPAAGQILIDGINISTIGVHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGEEVRKKDKKLDSTVAENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLREHFSDCTVLTIAHRITSVLDSDMVLLLSHGLIEEYDTPTRLLENSSSSFAQLVAEYSSRSKSSFEK >Manes.11G152184.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31916987:31927531:1 gene:Manes.11G152184.v8.1 transcript:Manes.11G152184.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLIEVSDSEVRLDFMLNSKCRANVRLRSLSATTPIAFKVQTSSPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPSDRFLFSLRPFPPNSSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSSGDVNSVKNIIKRQRSILSELSPREAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWCELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHLNIV >Manes.04G010400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1356704:1382846:-1 gene:Manes.04G010400.v8.1 transcript:Manes.04G010400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDVRNCPNKEQERLRVDKELGNIRTRFKNEKGLTAYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCMALTMVGNIGGREFAESLAADVQKLIISSSYRPLVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTASTSLLVALVSNNHEAYWSCLPKCVRLLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPSIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNAAHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVADVQDIIKRHQAQITTSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTADFAIREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGEFVSDDVWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYLLGEFSHLLARRPGCSPKEIFNIIHEKLPTVSTSTIPILLSTYAKILMHTQPPDPELQNQIWAIFNKYESCIDAEIQQRAVEYFALSTKGAALMDILAEMPKFPERQSALIKKAEDAEIDTAEQSAIKVRAQQQTSNALVVTDLRPANGLPPSVPAVGPLALVKVPSTSGNAEHNSVDQALTQSNGTLTKVDAQPPSDLLGDLLGPLAIEGPPGAAIQPEHKAVSEVEGVPSAVDGAAIVLVGEQTNSVQPIGNINERFYALCLKDSGVLYEDPCIQIGIKAEWQAHHGRLVLFLGNKNTSALDSVQALILPPAHLKMELSLVPETIPPRAQVQCPLEVLNLHPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPITVSAEEFFPQWRSLSGPPLKLQEVVRGVRPLLLMEMANLFNSFRLMICPGLDPNPNNLVASTTFFSESTRAMLCLIRIETDPADRTQLRMTVASGDPTLTFELKEFIKEQLVSIPTAPRVPTTAPPVARPTSPVMALTDPGAMLAGLL >Manes.17G066000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26600927:26605071:1 gene:Manes.17G066000.v8.1 transcript:Manes.17G066000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMAQRGMVNQQGSEQQKSQEEAKRAAEEQRQLMLSQIISAEARERLARIALVKPEKARSVEDVILRATQMGQIVEKVSEERLISLLEQINNQTTKQTKVTIQRRRSVLEDDD >Manes.09G175200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36666401:36667302:1 gene:Manes.09G175200.v8.1 transcript:Manes.09G175200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYANRSRSSFDHPSTGRPYYYSSKASPPPPPPAHHHKNSSQSISTWWNAPETKRKKRVTQYKLYGVEGKVKYSLKKGFRWMKKTCSSIVRGF >Manes.06G024200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5037431:5040068:-1 gene:Manes.06G024200.v8.1 transcript:Manes.06G024200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSNNLVGILNFLTFLFSIPILGGGIWLRNHGNSECEKFLATPVIVIGVFLMLVSLAGLIGACCRVSLLLWVYLFVMFLLIVLLFCFTIFAFVVTNKGAGQVVSGKGYKEYRLGDYSNWLQKRVNNTKNWNKIKSCLIDGKVCSDFNEKYLNDTVNKLYTEHLSAIQSGCCKPADDCGFEYVGPINWTPSNTTTSPNPDCAAWSNNPDSLCFNCNSCKAGLLDNIKRDWKKVAVVNIIFLIFLIVVYSVGCCAFRNNRRDNAYYSGWKHP >Manes.06G024200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5036229:5040068:-1 gene:Manes.06G024200.v8.1 transcript:Manes.06G024200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSNNLVGILNFLTFLFSIPILGGGIWLRNHGNSECEKFLATPVIVIGVFLMLVSLAGLIGACCRVSLLLWVYLFVMFLLIVLLFCFTIFAFVVTNKGAGQVVSGKGYKEYRLGDYSNWLQKRVNNTKNWNKIKSCLIDGKVCSDFNEKYLNDTVNKLYTEHLSAIQSGCCKPADDCGFEYVGPINWTPSNTTTSPNPDCAAWSNNPDSLCFNCNSCKAGLLDNIKRDWKKVAVVNIIFLIFLIVVYSVGCCAFRNNRRDNAYYSGWKHP >Manes.02G114200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8804965:8808547:1 gene:Manes.02G114200.v8.1 transcript:Manes.02G114200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWENNQENQQQHQENQNQRENRNGNNMSNVNGNNGNGGMLYVKVMTDEQLETLRKQIAVYATICEQLVEMHKTLSAQQDLAGGRLGNLYCDPLMASGGHKITARQRWTPTPVQLQILERIFDQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLVASSNNAESEVETEVDSLNEKKTKPEIFHSQQNPPRADDLCFQSPEISSELHFLGDDHLTGKLAVPGGYNLYDQAEDYGMAG >Manes.02G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8804965:8808547:1 gene:Manes.02G114200.v8.1 transcript:Manes.02G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWENNQENQQQHQENQNQRENRNGNNMSNVNGNNGNGGMLYVKVMTDEQLETLRKQIAVYATICEQLVEMHKTLSAQQDLAGGRLGNLYCDPLMASGGHKITARQRWTPTPVQLQILERIFDQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLVASSNNAESEVETEVDSLNEKKTKPEIFHSQQNPPRADDLCFQSPEISSELHFLGVLPNHRDDHLTGKLAVPGGYNLYDQAEDYGMAG >Manes.16G025300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2678575:2688393:1 gene:Manes.16G025300.v8.1 transcript:Manes.16G025300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSAVYRGDELLGEVEIYPQQQQEEEEKEENHNKKRVINEILTGKEIRISHFSQQSERCPPLAVLHTITCGMCFKMESKNSLSLDTPLHLLHSSCFQENKTAIVPLGGEELHLVAMYSRNSEGQYPCFWVFNVSAGLYNSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISSEMDPQRISGMLSEVKRYQDDKTILKQYVENDQVIENGRVIKTQFEVVPALSDNHQSIVRPLIRMQEKNIILTRINPQIRDTSVLVRLRPAWEELRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQDGICHPKMALVIDDRIKVWDDKDQPRVHVVPAFAPYYAPQAEANNAVPVLCVARNVACNVRGGFFKEFDEGLLQRISEISYEDDMRDIPSPPDVSHYLVSEDDAATSNGHKDPLLFDGMADAEVERRLKEALSASSMFSSTVTNLDPRLVPPLQHGVASSSSSTPVATSQPSVMPFASMQFPQATSPVKPLAQVGPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHAPNEQQIPVRPSMQVSVPRVQSGGSWVPVEEEMSPRQLNRAISREFPLDSEPLHLEKHRPHHTPFFPKVDNSIPSDRMLHENQRLPKVLQAPHRDDRLKINHTMSNYHSFSGEEIPLSRSSSSNRDLDFESERAVPSAETPVGVLQEIAMKCGAKVDFRPSLVDSRDLQFSIEAWFAGEKVGEGIGRTRREAQHLAAEGSIKNLANIYMSRDKSDNGTLHGDASRYPSANENGYLGNLHSFGCQQLPKDEPVSSAASSEPSRLLDPRLEGSKKSMGSVTTLKELCMMEGLGVNFLAQTALSSNSGQKDEVHAQVEIDGQVMGKGIGSTWEEAKMQAAERALRTMYGQFPPKRQGSPRPMQGMSNKRLKVLQRMPSSARYPKNAPPVP >Manes.16G025300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2678575:2688393:1 gene:Manes.16G025300.v8.1 transcript:Manes.16G025300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSAVYRGDELLGEVEIYPQQQQEEEEKEENHNKKRVINEILTGKEIRISHFSQQSERCPPLAVLHTITCGMCFKMESKNSLSLDTPLHLLHSSCFQENKTAIVPLGGEELHLVAMYSRNSEGQYPCFWVFNVSAGLYNSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISSEMDPQRISGMLSEVKRYQDDKTILKQYVENDQVIENGRVIKTQFEVVPALSDNHQSIVRPLIRMQEKNIILTRINPQIRDTSVLVRLRPAWEELRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQDGICHPKMALVIDDRIKVWDDKDQPRVHVVPAFAPYYAPQAEANNAVPVLCVARNVACNVRGGFFKEFDEGLLQRISEISYEDDMRDIPSPPDVSHYLVSEDDAATSNGHKDPLLFDGMADAEVERRLKEALSASSMFSSTVTNLDPRLVPPLQHGVASSSSSTPVATSQPSVMPFASMQFPQATSPVKPLAQVGPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHAPNEQQIPVRPSMQVSVPRVQSGGSWVPVEEEMSPRQLNRAISREFPLDSEPLHLEKHRPHHTPFFPKVDNSIPSDRMLHENQRLPKVAPHRDDRLKINHTMSNYHSFSGEEIPLSRSSSSNRDLDFESERAVPSAETPVGVLQEIAMKCGAKVDFRPSLVDSRDLQFSIEAWFAGEKVGEGIGRTRREAQHLAAEGSIKNLANIYMSRDKSDNGTLHGDASRYPSANENGYLGNLHSFGCQQLPKDEPVSSAASSEPSRLLDPRLEGSKKSMGSVTTLKELCMMEGLGVNFLAQTALSSNSGQKDEVHAQVEIDGQVMGKGIGSTWEEAKMQAAERALRTMYGQFPPKRQGSPRPMQGMSNKRLKVLQRMPSSARYPKNAPPVP >Manes.09G052300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8674094:8684712:-1 gene:Manes.09G052300.v8.1 transcript:Manes.09G052300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKNNGNGREQGSIGDNGFLKGSQPSPSASGSPVGITAPLKVFEGKDDLSYANILRSRNKFVDALAIYECVLEKDSGNVEAHIGKGICLQMQNMGRLAFDSFAEAVRMDPQNACALTHCGMLFKDEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLSGNTQEGIQKYYEALRIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNIAMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHREWGRRFMRLYSQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKVVVYSAVVKADAKTNRFKEKVLKKGGLWKDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSQADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVCSAPALANGFITFGSFNNLAKFGYISICWNNDNM >Manes.09G052300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8674094:8684723:-1 gene:Manes.09G052300.v8.1 transcript:Manes.09G052300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKNNGNGREQGSIGDNGFLKGSQPSPSASGSPVGITAPLKVFEGKDDLSYANILRSRNKFVDALAIYECVLEKDSGNVEAHIGKGICLQMQNMGRLAFDSFAEAVRMDPQNACALTHCGMLFKDEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLSGNTQEGIQKYYEALRIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNIAMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHREWGRRFMRLYSQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKVVVYSAVVKADAKTNRFKEKVLKKGGLWKDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSQADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVCSAPALANGFITFGSFNNLAKFGYISICWNNDNM >Manes.09G052300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8674094:8684723:-1 gene:Manes.09G052300.v8.1 transcript:Manes.09G052300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKNNGNGREQGSIGDNGFLKGSQPSPSASGSPVGITAPLKVFEGKDDLSYANILRSRNKFVDALAIYECVLEKDSGNVEAHIGKGICLQMQNMGRLAFDSFAEAVRMDPQNACALTHCGMLFKDEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLSGNTQEGIQKYYEALRIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNIAMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHREWGRRFMRLYSQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKVVVYSAVVKADAKTNRFKEKVLKKGGLWKDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSQADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVCSAPALANGFITFGSFNNLAKITPKVLQVWARILSAVPNSRLVVKCKPFCCDSVRQRFLSTLEELGLESLRIDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMGGAVHAHNVGVSLLSKVGLGHLVAKDEDEYVRLALQLALDIPALANLRTSLRDLMLKSPVCDGPNFALGLESTYRNMWRRYCKGDVPSLKRMELLQEGISETSPIKNSEPTSIPFSVEGPPESVKANGFIAVPSSTVNHSCEENGSCSRLNHTSDKLS >Manes.09G052300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8674094:8684712:-1 gene:Manes.09G052300.v8.1 transcript:Manes.09G052300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKNNGNGREQGSIGDNGFLKGSQPSPSASGSPVGITAPLKVFEGKDDLSYANILRSRNKFVDALAIYECVLEKDSGNVEAHIGKGICLQMQNMGRLAFDSFAEAVRMDPQNACALTHCGMLFKDEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLSGNTQEGIQKYYEALRIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNIAMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHREWGRRFMRLYSQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKVVVYSAVVKADAKTNRFKEKVLKKGGLWKDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSQADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVCSAPALANGFITFGSFNNLAKITPKVLQVWARILSAVPNSRLVVKCKPFCCDSVRQRFLSTLEELGLESLRIDLLPLILLNHDHMQAYSLMDIRAGTSGCQR >Manes.09G052300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8674094:8684723:-1 gene:Manes.09G052300.v8.1 transcript:Manes.09G052300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKNNGNGREQGSIGDNGFLKGSQPSPSASGSPVGITAPLKVFEGKDDLSYANILRSRNKFVDALAIYECVLEKDSGNVEAHIGKGICLQMQNMGRLAFDSFAEAVRMDPQNACALTHCGMLFKDEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLSGNTQEGIQKYYEALRIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNIAMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHREWGRRFMRLYSQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKVVVYSAVVKADAKTNRFKEKVLKKGGLWKDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSQADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVCSAPALANGFITFGSFNNLAKITPKVLQVWARILSAVPNSRLVVKCKPFCCDSVRQRFLSTLEELGLESLRIDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMGGAVHAHNVGVSLLSKVGLGHLVAKDEDEYVRLALQLALDIPALANLRTSLRDLMLKSPVCDGPNFALGLESTYRNMWRRYCKGDVPSLKRMELLQEGISETSPIKNSEPTSIPFSVEGPPESVKANGFIAVPSSTVNHSCEENGSCSRLNHTSDKLS >Manes.09G052300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8674094:8684712:-1 gene:Manes.09G052300.v8.1 transcript:Manes.09G052300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKNNGNGREQGSIGDNGFLKGSQPSPSASGSPVGITAPLKVFEGKDDLSYANILRSRNKFVDALAIYECVLEKDSGNVEAHIGKGICLQMQNMGRLAFDSFAEAVRMDPQNACALTHCGMLFKDEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLSGNTQEGIQKYYEALRIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNIAMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHREWGRRFMRLYSQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKVVVYSAVVKADAKTNRFKEKVLKKGGLWKDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSQADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVCSAPALANGFITFGSFNNLAKITPKVLQVWARILSAVPNSRLVVKCKPFCCDSVRQRFLSTLEELGLESLRIDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMGGAVHAHNVGVSLLSKVGLGHLVAKDEDEYVRLALQLALDIPALANLRTSLRDLMLKSPVCDGPNFALGLESTYRNMWRRYCKGDVPSLKRMELLQEGISETSPIKNSEPTSIPFSVEGPPESVKANGFIAVPSSTVNHSCEENGSCSRLNHTSDKLS >Manes.09G052300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8674094:8684723:-1 gene:Manes.09G052300.v8.1 transcript:Manes.09G052300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKNNGNGREQGSIGDNGFLKGSQPSPSASGSPVGITAPLKVFEGKDDLSYANILRSRNKFVDALAIYECVLEKDSGNVEAHIGKGICLQMQNMGRLAFDSFAEAVRMDPQNACALTHCGMLFKDEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLSGNTQEGIQKYYEALRIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNIAMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHREWGRRFMRLYSQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKVVVYSAVVKADAKTNRFKEKVLKKGGLWKDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSQADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVCSAPALANGFITFGSFNNLAKITPKVLQVWARILSAVPNSRLVVKCKPFCCDSVRQRFLSTLEELGLESLRIDLLPLILLNHDHMQAYSLMDIRAGTSGCQR >Manes.09G052300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8674094:8684712:-1 gene:Manes.09G052300.v8.1 transcript:Manes.09G052300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKNNGNGREQGSIGDNGFLKGSQPSPSASGSPVGITAPLKVFEGKDDLSYANILRSRNKFVDALAIYECVLEKDSGNVEAHIGKGICLQMQNMGRLAFDSFAEAVRMDPQNACALTHCGMLFKDEGRLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKLSGNTQEGIQKYYEALRIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNIAMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHREWGRRFMRLYSQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKVVVYSAVVKADAKTNRFKEKVLKKGGLWKDIYGIDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSQADPPDTKQKHVEELVRLPECFLCYTPSPEAGPVCSAPALANGFITFGSFNNLAKITPKVLQVWARILSAVPNSRLVVKCKPFCCDSVRQRFLSTLEELGLESLRIDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMGGAVHAHNVGVSLLSKVGLGHLVAKDEDEYVRLALQLALDIPALANLRTSLRDLMLKSPVCDGPNFALGLESTYRNMWRRYCKGDVPSLKRMELLQEGISETSPIKNSEPTSIPFSVEGPPESVKANGFIAVPSSTVNHSCEENGSCSRLNHTSDKLS >Manes.04G070600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:26993162:26996181:1 gene:Manes.04G070600.v8.1 transcript:Manes.04G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVCSYFSYPYSPEDISRKQLGSPKSTPIPDRVVNPTAAKSSCTYRQQSKTSKSRSIRSNDLNLIRALCAFVDSGSMNNALYLFEKMNHSETYIWNVIIRGFSNIGLFQGTIDFYRRMEFEGITTDHFTFPFVIKACGRLLSLFDGQKVHGKLIKNGLDVDLYVCNSLIDMYFKLGLTEYAEKIFEEMPVRDLVSWNSMVSGYQMVGDGLKSLMCFREMLNSGWKTDRPSMISALGGCSIERCLHGGKEIHCQVIRSGLQLDIMVQTSLIDMYAKSGKMDHAESVFNRISSRNLVAWNAVIGGYTLNGHFHKSFACLKRMQEDNFIPDAITMINLLPSCSQLGALLEGKCIHGFAIRKMFVPHIVLETALIDMYGKCGDLKSAECVFGHLNEKNLISWNAMIAAYVQNGCTREALELFHRLQNEPFKPDTVTISSILPAYAELASVSECRQVHAYITKLELSSNTFTSNAMVYTYGKCGDLQSARKYFDKMLFRDVVSWNSMIMAYAIHGFGTTAIQLFFKMGEKGIKPNGSTFVSLLSSCSISGLVDEGWKFFNSMKRDYNIDHGIEHFGCMLDLLGRTGNLHAAKQFIEEMPLAPTARIWGSLLTASRNNNDIVLAEFAAKQILSLDHDNTGCYVLLSNMYEEAGRWEDAEQIKFLMKTKGIVKTIACCMIEGNGKSHRFVNQDKSHDQINMIYDVLDIILKKIGEYIYVDSLKKFIPEDLVDKKTNSPTNHCVKIAICFGLISTAIGNPIIIRKNTRICKDCHGAAKKISQVTKRKIIVGDSKIFHHFEDGCCSCGDYW >Manes.03G050900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4723026:4729475:-1 gene:Manes.03G050900.v8.1 transcript:Manes.03G050900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKGKARLPEFAVPKRYDIRLKPDLSACTFTGSVSVDLEIVADTKFIVLNAAELSINSGSICFTSSKVFQPLKVELVEADAILVLEFAEALPIGVGVLAIQFDGVLNDKMKGFYRSTYELQGEKKNMAVTQFEAADARRCFPCWDEPACKAIFKITLDVPSELVALSNMPVVEEKVDGPLKTVSYQETPIMSTYLVAIVVGLLDYVEDHTSDGIKVRVYCQIGKANQGNFALNVAVKTLELYKEYFSVQYPLPKLDMVTILDFAAGAMENYGLVTYRETLLLFDEKHSAAANKQSIVTVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADSLFPEWNIWTQFLDETTDGLTLDSLEESHPIEVEINHASEIDEIFDDISYSKGASVIRMLQSYLGAECFQSSLASYIKKYAYSNAKTEDLWTVLEEGSGEPVNKLMNSWTRQKGYPVVSLKLKDQKLEFEQSQFLLSGSYGDGQWIVPLTLCCGSYDVKKNFLLQTKSGTLDAKDCLVEIGSSWIKLNVHQTGFYRVKYDEDLAARLRYAIEKKYLTETDRFGILDDSFALCMARHQSLTSLLTLMGAYRKELEYTVVSNLITISYKVTRIAADSTPELLDYINQFFIDLFQYSAELGWDPKEGESHLDSMLRGEILVALAKLGHDLTLNEANRRFHAFVDDRNTPLLPPDIRKAAYVALMQRVSSSNRLDYESLLRIYRETDLSQEKTRILGSLASCPDPNIVLEVLNFLLSSEVRSQDAVYGLAVSKEGQETAWTWLKDNWDHISKVWGSGVLITRFISEIVSPLASFEKAKEVEEFFASRTTPSIVRTLKQSIEWVNINAKWVQSIQNEKQLAEAVKELAHRKY >Manes.03G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4722851:4729604:-1 gene:Manes.03G050900.v8.1 transcript:Manes.03G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKGKARLPEFAVPKRYDIRLKPDLSACTFTGSVSVDLEIVADTKFIVLNAAELSINSGSICFTSSKVFQPLKVELVEADAILVLEFAEALPIGVGVLAIQFDGVLNDKMKGFYRSTYELQGEKKNMAVTQFEAADARRCFPCWDEPACKAIFKITLDVPSELVALSNMPVVEEKVDGPLKTVSYQETPIMSTYLVAIVVGLLDYVEDHTSDGIKVRVYCQIGKANQGNFALNVAVKTLELYKEYFSVQYPLPKLDMVTILDFAAGAMENYGLVTYRETLLLFDEKHSAAANKQSIVTVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADSLFPEWNIWTQFLDETTDGLTLDSLEESHPIEVEINHASEIDEIFDDISYSKGASVIRMLQSYLGAECFQSSLASYIKKYAYSNAKTEDLWTVLEEGSGEPVNKLMNSWTRQKGYPVVSLKLKDQKLEFEQSQFLLSGSYGDGQWIVPLTLCCGSYDVKKNFLLQTKSGTLDAKDCLVEIGSSWIKLNVHQTGFYRVKYDEDLAARLRYAIEKKYLTETDRFGILDDSFALCMARHQSLTSLLTLMGAYRKELEYTVVSNLITISYKVTRIAADSTPELLDYINQFFIDLFQYSADRLGWDPKEGESHLDSMLRGEILVALAKLGHDLTLNEANRRFHAFVDDRNTPLLPPDIRKAAYVALMQRVSSSNRLDYESLLRIYRETDLSQEKTRILGSLASCPDPNIVLEVLNFLLSSEVRSQDAVYGLAVSKEGQETAWTWLKDNWDHISKVWGSGVLITRFISEIVSPLASFEKAKEVEEFFASRTTPSIVRTLKQSIEWVNINAKWVQSIQNEKQLAEAVKELAHRKY >Manes.15G035900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2827688:2830297:1 gene:Manes.15G035900.v8.1 transcript:Manes.15G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSLLNLLNRCQSLKTLKSIHAYLVISGSLTSSDLTLNKLLRLYSRFGSISCAHKLFDEIPEPNTFLWTALIHGFTEAHQYENAFSLFIDMHRDSIAPLNFTIASVFKALARLGRLKDGEVVYGFALKCGFAFDLIVQNAMIDLFMRCGAVGFARRIFDEIEERDVVSWNSMVLGYGNNGLVGIARELFDRIEKRNVISWTSIIQGYIKSGEMVEARILFEKMPAKDLAAWKVMLSGYMSVGNLIAARHLFERMPTYDVGTWNMMVSGFGKAGDLENAKVFFDQMQERNVASWVMMMDGYVKVGDMEGARCLFDQMPEKNLVAWSTVIGGYAKNGQPRSALELYKSFKKQNIKPDETFVLGIISACSQLGVLDTAESVIHDFIGPSLSSNLQLLTSLIDMYAKCGTIERAVKVFEMVDHKDLLCYSTMITAFANHGLSDNAISLFDEMQKANIKPDGVTFLGVLTACNHGGLIYEGRRFFKQMIDECGIQPLEKHYACFVDILSRAGCLEEAHSVICSMSILPSAAIWGALLAACRVYHNVLLAEVAAAELFKIEPDNSGNYVLLCSIYADAGRWNDVAKVRTMIRDNQVKKNKGSSWIELGCVVHEFVMGDDAHFDSENIYFVLDLLTKEMKLLGRRDHNSTFSNSIDESFKNKAAYEMDIVTFSSLLFAG >Manes.13G077698.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17969426:17969860:1 gene:Manes.13G077698.v8.1 transcript:Manes.13G077698.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWNALHHIISGIRHDHQQSHSKDAWPSQVLQPQSAWPGQVLPLCLPGPARSYNRVWAIGVALVYPQPHTHRIMQCIIFVKLMQSSY >Manes.14G063300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5279841:5283983:1 gene:Manes.14G063300.v8.1 transcript:Manes.14G063300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSCQILGRPVIAPINLTKTPSRNCYFSVQNCSLSSSQSHKQLVSEVKDKLEKEHSSLPIGKNGRDDEDMILWFLKDRRFSVEEAVAKLTKAIKWRQEFGVSKLTEESVKSVAETGKAYVHDFLDVCNRPVLVVVPSKHLPDVHPPVENERLCVFLVEKALAKLPAGKEDILGVFDLRDFATENADLKYLTFVFNVFYYYYPRRLGEVRFCSMDTVRKEYFTEETVPANFRD >Manes.14G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5279841:5283983:1 gene:Manes.14G063300.v8.1 transcript:Manes.14G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSCQILGRPVIAPINLTKTPSRNCYFSVQNCSLSSSQSHKQLVSEVKDKLEKEHSSLPIGKNGRDDEDMILWFLKDRRFSVEEAVAKLTKAIKWRQEFGVSKLTEESVKSVAETGKAYVHDFLDVCNRPVLVVVPSKHLPDVHPPVENERLCVFLVEKALAKLPAGKEDILGVFDLRDFATENADLKYLTFVFNVFYYYYPRRLGEVLFVDAPFVFKPIWQLVKPLLKSYTSLVRFCSMDTVRKEYFTEETVPANFRD >Manes.14G063300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5279841:5283983:1 gene:Manes.14G063300.v8.1 transcript:Manes.14G063300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSCQILGRPVIAPINLTKTPSRNCYFSVQNCSLSSSQSHKLVSEVKDKLEKEHSSLPIGKNGRDDEDMILWFLKDRRFSVEEAVAKLTKAIKWRQEFGVSKLTEESVKSVAETGKAYVHDFLDVCNRPVLVVVPSKHLPDVHPPVENERLCVFLVEKALAKLPAGKEDILGVFDLRDFATENADLKYLTFVFNVFYYYYPRRLGEVRFCSMDTVRKEYFTEETVPANFRD >Manes.14G063300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5279841:5283983:1 gene:Manes.14G063300.v8.1 transcript:Manes.14G063300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSCQILGRPVIAPINLTKTPSRNCYFSVQNCSLSSSQSHKLVSEVKDKLEKEHSSLPIGKNGRDDEDMILWFLKDRRFSVEEAVAKLTKAIKWRQEFGVSKLTEESVKSVAETGKAYVHDFLDVCNRPVLVVVPSKHLPDVHPPVENERLCVFLVEKALAKLPAGKEDILGVFDLRDFATENADLKYLTFVFNVFYYYYPRRLGEVLFVDAPFVFKPIWQLVKPLLKSYTSLVRFCSMDTVRKEYFTEETVPANFRD >Manes.01G000400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1434594:1438847:1 gene:Manes.01G000400.v8.1 transcript:Manes.01G000400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYVGSPKQHQLESKRKRLTWILGISGLCVVFYALGAWQNSAPPTSRSEMYSRVGCEVASPGAAVNNPSSSLSSSSSSSSVALDFNSHHQIEINNTDSVANFQPCDMSYSEYTPCQHPQRGRKFSRDMMKYRERHCPTKEELLFCLIPAPPKYKTPFTWPQSRDYAWYDNIPHRELSIEKAVQNWIQLEGNRFRFPGGGTSFPLGADAYIDDINELIPLTGGTIRTAIDTGCGVASWGAYLLKRDILTMSFAPRDTHEAQVQFALERGVPAMIGILASERLPFPARAFDMAHCSRCLVPWNDYDGLYLIEVDRVLRPGGYWILSGPPINWKSYWRGWERTKKDLKQEQDALENLARRLCWKKVIEKNDLAVWQKPINHKECIRSRKVYKTPHICKSDNPDAAWYKNMKACITPLPEVSSNDEIAGGALEKWPERAFAIPPRIRSGSIPGITEEKFKQDNELWKERVTHYKHMINPLSHGRYRNVMDMNAYLGGFAAALLKYPVWVMNVVPANSDRDTLGVIFERGLIGTYQDWCEAMSTYPRTYDLIHASGVFSIYQDRCDISYILLEMDRILRPEGTVIFRDNVEILVKIQGITNGMKWKSQIMDHESGPFNPEKILVAVKSYWTGVASQKQQ >Manes.01G000400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1434594:1438847:1 gene:Manes.01G000400.v8.1 transcript:Manes.01G000400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYVGSPKQHQLESKRKRLTWILGISGLCVVFYALGAWQNSAPPTSRSEMYSRVGCEVASPGAAVNNPSSSLSSSSSSSSVALDFNSHHQIEINNTDSVANFQPCDMSYSEYTPCQHPQRGRKFSRDMMKYRERHCPTKEELLFCLIPAPPKYKTPFTWPQSRDYAWYDNIPHRELSIEKAVQNWIQLEGNRFRFPGGGTSFPLGADAYIDDINELIPLTGGTIRTAIDTGCGVASWGAYLLKRDILTMSFAPRDTHEAQVQFALERGVPAMIGILASERLPFPARAFDMAHCSRCLVPWNDYDGLYLIEVDRVLRPGGYWILSGPPINWKSYWRGWERTKKDLKQEQDALENLARRLCWKKVIEKNDLAVWQKPINHKECIRSRKVYKTPHICKSDNPDAAWYKNMKACITPLPEVSSNDEIAGGALEKWPERAFAIPPRIRSGSIPGITEEKFKQDNELWKERVTHYKHMINPLSHGRYRNVMDMNAYLGGFAAALLKYPVWVMNVVPANSDRDTLGVIFERGLIGTYQDWCEAMSTYPRTYDLIHASGVFSIYQDRCDISYILLEMDRILRPEGTVIFRDNVEILVKIQGITNGMKWKSQIMDHESGPFNPEKILVAVKSYWTGVASQKQQ >Manes.01G000400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1435764:1438847:1 gene:Manes.01G000400.v8.1 transcript:Manes.01G000400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYVGSPKQHQLESKRKRLTWILGISGLCVVFYALGAWQNSAPPTSRSEMYSRVGCEVASPGAAVNNPSSSLSSSSSSSSVALDFNSHHQIEINNTDSVANFQPCDMSYSEYTPCQHPQRGRKFSRDMMKYRERHCPTKEELLFCLIPAPPKYKTPFTWPQSRDYAWYDNIPHRELSIEKAVQNWIQLEGNRFRFPGGGTSFPLGADAYIDDINELIPLTGGTIRTAIDTGCGVASWGAYLLKRDILTMSFAPRDTHEAQVQFALERGVPAMIGILASERLPFPARAFDMAHCSRCLVPWNDYDGLYLIEVDRVLRPGGYWILSGPPINWKSYWRGWERTKKDLKQEQDALENLARRLCWKKVIEKNDLAVWQKPINHKECIRSRKVYKTPHICKSDNPDAAWYKNMKACITPLPEVSSNDEIAGGALEKWPERAFAIPPRIRSGSIPGITEEKFKQDNELWKERVTHYKHMINPLSHGRYRNVMDMNAYLGGFAAALLKYPVWVMNVVPANSDRDTLGVIFERGLIGTYQDWCEAMSTYPRTYDLIHASGVFSIYQDRCDISYILLEMDRILRPEGTVIFRDNVEILVKIQGITNGMKWKSQIMDHESGPFNPEKILVAVKSYWTGVASQKQQ >Manes.06G035329.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10302068:10303535:1 gene:Manes.06G035329.v8.1 transcript:Manes.06G035329.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFESKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.14G036650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3210567:3211078:1 gene:Manes.14G036650.v8.1 transcript:Manes.14G036650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKIMFLWQVFLIFMVLLASAMGVRDLLQSSKPHVTVKAMDKPWGNNYRAKPPPAAH >Manes.11G000600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:146406:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.11G000600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:144219:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFYLYRRGIRGCCVITLATVSYCQNRQPVRQGACVYATLFPVSFRTSSCQKFPLLPAPALNIYSMSPTTPMKLKSPPVAKKVDHVMELFGDVRVDHHYWLRDDSRSNFEILSHLQEENAYTDSFMSATKQFEQHVLAEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRYVSNKEVQPSVHDVMPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHGECSLGNLICLQNSDFP >Manes.11G000600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:146407:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.11G000600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:144218:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFYLYRRGIRGCCVITLATVSYCQNRQPVRQGACVYATLFPVSFRTSSCQKFPLLPAPALNIYSMSPTTPMKLKSPPVAKKVDHVMELFGDVRVDHHYWLRDDSRSNFEILSHLQEENAYTDSFMSATKQFEQHVLAEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRYVSNKEVQPSVHDVMPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.11G000600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:146406:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.11G000600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:146406:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.11G000600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:144219:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFYLYRRGIRGCCVITLATVSYCQNRQPVRQGACVYATLFPVSFRTSSCQKFPLLPAPALNIYSMSPTTPMKLKSPPVAKKVDHVMELFGDVRVDHHYWLRDDSRSNFEILSHLQEENAYTDSFMSATKQFEQHVLAEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRYVSNKEVQPSVHDVMPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.11G000600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:146405:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFTPATKQFEQHVLAEIRGRIKEDDISAPRRKGPYYYYTRTLEGKEYVQHCRRYVSNKEVQPSVHDVMPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.11G000600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:146398:162170:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.11G000600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:146406:162103:1 gene:Manes.11G000600.v8.1 transcript:Manes.11G000600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGPNDPPEYVILDENVKAQGHEYYSIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDVETRNPVGKPLTGVTSSLEWAGNEFLVYITMDEIHRADKAWLHKLGTDQSSDFSLYHEKDDKFCLDLQASESEKYLFVVSDSRNTRFVFYIDVSMPENGAKILTPRVDGIDTSVGHRGKHFFIRRRTDELFNSELLVCPVNDISATTVLIPHRESIKIWDVQLFADHLAVYERENGLPKITAYHLPAVDEPLTSLKGGRVVDFIDPVYCVDSEESEFSSSILRFSYSSLRTPHSVYEYDMNTGSSVLKKIETVLGGFDSCNYVTERKWAKASDGTQIPLSIVYKKDIVKLDGSHPLLLYGYGSYEMSIDPKFKASRLSLLDRGFIFAIAHICGGGEMGRQWYENGKFLKKKNTFTDFITCAEYLIEQKYCSKEKLCIEGRSAGGLLIGSVLNMRPDLFKAAIVGVPFVDVLTTMLDPSIPLTTEEWEEWGDPRKEEFYFYMKSYSPVDNVKHQNYPHILVTAGLHDPRVMYSEPAKFVAKLRDMKTDHNVLLFKCELSAGHFSKSGRFEKLQEDAFTYTFLMKVLNMIPDLGSGQNDEPVPL >Manes.07G069200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:15020485:15058937:-1 gene:Manes.07G069200.v8.1 transcript:Manes.07G069200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLLSFSSSKPSPSQTLIQFRPANRISLSKPFYKPNSRRPISIKSAISRTKKEETVETVKTQLENCHLLAAIKYTGFTVKQFQELRRTLPESSKLLVAKNTLVYKAIEGTQWEALKPCMKGMNAWLFVHSEEIPEAIKPYRNFQKEKKLENNDFTGAVFEGKFYGPGDFKQLETMPSRGELYAKILGALQSPAMGLVGTLQAPARDVIMVLKAYVKKLEDGSGGQ >Manes.07G069200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:15058125:15058808:-1 gene:Manes.07G069200.v8.1 transcript:Manes.07G069200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLLSFSSSKPSPSQTLIQFRPANRISLSKPFYKPNSRRPISIKSAISRTKKEETVETVKTQLENCHLLAAIKYTGFTVKQFQELRRTLPESSKLLVAKNTLVYKAIEGTQWEALKPCMKGMNAWLFVHSEEIPEAIKPYRNFQKEKKLENNDFTGAVFEGKFYGPGDFKQLETMPSRGELYAKILGALQSPAMGLVGTLQAPARDVIMVLKAYVKKLEDGSGGQ >Manes.04G012500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1667315:1671713:1 gene:Manes.04G012500.v8.1 transcript:Manes.04G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSASCMPKSLLYPSSMKQISLKAPAFLFASERLPKRTQKFSPLHVAAPPSPSIQKEEEGEDKGDFRVDDESGEESSDSKFSWRDHWYPVSLVEDLDPSLPTPFQLLGRDLVLWFDKASQQWVAFDDKCPHRLAPLSEGRIDENGHLQCSYHGWSFAGCGSCTRIPQASPEGPEARAVKSPRACATRFPTMVSQGLLFVWPDENGWERAQATKPPMLPDDFDKPEFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKLEASGPWGFAGANDGNPRISAKFVAPCYYINKVEIDTKLPIVGDQKWKIWICSFNVPMAPGKTRSIVCSARNFFQFTMPGPAWWQLVPRWHEHWTSNKVYDGDMIVLQGQEKIFLSKSKEGSTDVNQDYTKLTFTPTQADRFVLAFRNWLRRHGNSQPQWFVSTDQQPLPSTVLSKRQMLDRFEQHTQKCSSCRRAHTAFQTLQKILIGATVAFSATAGIPSDLWFRVLLAGFAILSAGLAYILNQLERNFVFVDYVHAEIE >Manes.16G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31208919:31213230:1 gene:Manes.16G106400.v8.1 transcript:Manes.16G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSLQFQLLGSNQTSNYKDKSWRSKPLVFFFLCFFTISFVGLIIGGNFTPWVLRGKNFNQRIRANDAEIVESEQGVVAADDGRCSEIGASVLRQGGHAVDASVATALCVGVVNPMASGIGGGAFMIVRSSSTSKTQAFDMRETAPLAASKNMYANNIQDKYSGPLSIGVPGEIAGLHEAWLQHGRLPWRTLFRPAIKLARDGFIVAPYLALAIAHSGGKIMSDPGLQKVFAPNGKLLQAGDRCYNVELARSLEAIAEQGPQAFYNGTVGEQLVKDVRKAGGILTMKDLKNYKVEIGDAIAARVMGYTVHGMPPPSGAVGLLMVLNIFGSYGTSESARGNLGIHRLIEALKHMYAVRMNLGDPKFVDVQKYVSEMLSPSYAEKIRKMIVDNTTFLPDYYMNKWSQLRDHGTSHFCIVDAERNAVSMTTTINYGFGAGLLSPSTGIVLNDEMGDFSAPTEITPDALPPAPANFIEPNKRPLSSMTPVIVTKGDQLVGVLGGSGGMYIIPAVAQVFINHFILGMEPLTAVQSPRVYHKLVPNTVRYENWTVIDGDHIELADETKLFLRERGHQLEGKATGAIIQLVVQNLQNPVKVGRKNGRDSNKPQIFHGTLTAVSDPRKDGRPAAV >Manes.16G106400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31208919:31213230:1 gene:Manes.16G106400.v8.1 transcript:Manes.16G106400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIGGGAFMIVRSSSTSKTQAFDMRETAPLAASKNMYANNIQDKYSGPLSIGVPGEIAGLHEAWLQHGRLPWRTLFRPAIKLARDGFIVAPYLALAIAHSGGKIMSDPGLQKVFAPNGKLLQAGDRCYNVELARSLEAIAEQGPQAFYNGTVGEQLVKDVRKAGGILTMKDLKNYKVEIGDAIAARVMGYTVHGMPPPSGAVGLLMVLNIFGSYGTSESARGNLGIHRLIEALKHMYAVRMNLGDPKFVDVQKYVSEMLSPSYAEKIRKMIVDNTTFLPDYYMNKWSQLRDHGTSHFCIVDAERNAVSMTTTINYGFGAGLLSPSTGIVLNDEMGDFSAPTEITPDALPPAPANFIEPNKRPLSSMTPVIVTKGDQLVGVLGGSGGMYIIPAVAQVFINHFILGMEPLTAVQSPRVYHKLVPNTVRYENWTVIDGDHIELADETKLFLRERGHQLEGKATGAIIQLVVQNLQNPVKVGRKNGRDSNKPQIFHGTLTAVSDPRKDGRPAAV >Manes.05G038400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3174075:3176074:1 gene:Manes.05G038400.v8.1 transcript:Manes.05G038400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKWHDRGKEEYDDECYIELPKLLNGKRRKRREETVWKMTKTSNSITSRLEETSSSTTEYAEKVESFLTKVGICASISESNRSKDFYSNLLRDLLKVNHVQFGRISCDFSVLPIVANHFNSLHGGAVAAIAERVAIACVRTVEAEDREISLGELVISYLSAAPQNEAVIVDGRVQRSGRNITVVAMEFRIKKNGKLVYTANATFYHLPFAKL >Manes.10G075811.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14234493:14234822:1 gene:Manes.10G075811.v8.1 transcript:Manes.10G075811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALRVYGEVLRLVRRLPVDTRPYYAKYVRENFVNYREVDVNDTTSLDELFRRAYNHSLWVLNKYLVDQSAANKLKEICCG >Manes.11G157600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32377253:32380062:1 gene:Manes.11G157600.v8.1 transcript:Manes.11G157600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKVRSNREAKHIEKRMKVKKGKEQREAAKELEQSIHLVKPPSVLAEDPSLTLPKIKVTVSKHQTEENHAMEE >Manes.11G157600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32377253:32380062:1 gene:Manes.11G157600.v8.1 transcript:Manes.11G157600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKVRSNREAKHIEKRMKVKKGKEQREAAKELEQSIHLVKPPSVLAEDPSLTLPKIKVTVSKHQTEENHAMEE >Manes.13G139700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34749028:34750370:1 gene:Manes.13G139700.v8.1 transcript:Manes.13G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPIMVKNAGLLLTTLCVLWIPSMASETHRLPKYNSESMQRRYNRWLAKHGRRYKTRDEYLLRFGIYMSNVQFINDFNSRNLSYKLTDNKFADLGNDEFRSIYLGYNHDTRQYPSSNSSARFKVPTAVDWGEEGAGTGVDWREEGAVTAVKDQGQCGSCWAFSAVAAIEGINKIKTGKLVSLSEQELVDCDVNKDNQGCSGGYMEKAFSFVTENGGITSENDYPYNGQDNPCEQAKTKDYAVTINGYGKVPPNAEEFLQLILSLQPVSVAIDASGYEFQLYSEGIFSGFCGVTLNHGVTAIGYGGTGDDRYWIVKNSWGEDWGENGYIRMARGTGDEKGICGILMEGSYPMKN >Manes.12G063303.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6142770:6144551:1 gene:Manes.12G063303.v8.1 transcript:Manes.12G063303.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWGTVLFSCALLLSLFVPSSLAQTCRGHSVSSNQLFTSCSDLPVLSSFLYWNYHPTNLTADIAFRKTGASTNGWVAWALNPNGQQMVGSQAILAFHNSSGVPTAYTTPITSLSPSMQPGDLSFQVSNLKAEYSNGDMIIFATLHLTSSLISTNQVWQEGTMSGTSFNPHAMDSANKASVGTINFETGATVAGTVRTSSKKNVHGVLNAVSWGILMPMGIMIARYLKVFKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYSKHRNIGITLFCFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIALSIINIYEGFDILDPEKKWKKIYTGIIIFLGAVAALLEVSTWIIVLRRKKTDSSDKHVNGTNGYGA >Manes.09G171300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36231713:36234148:1 gene:Manes.09G171300.v8.1 transcript:Manes.09G171300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVKWPPRVREFLKPYILKMHFTNKFVSAQVIHSPSATVACSASSQEKALRSSMENTRDVAAAAKIGKILGERLLLKDIPAVSIFLKREQRYHGKVKAVIDSVREAGVKLL >Manes.09G171300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36231713:36234148:1 gene:Manes.09G171300.v8.1 transcript:Manes.09G171300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVKWPPRVREFLKPYILKMHFTNKFVSAQVIHSPSATVACSASSQEKALRSSMENTRDVAAAAKIGKILGERLLLKDIPAVSIFLKREQRYHGKVKAVIDSVREAGVKLL >Manes.09G171300.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36231713:36234148:1 gene:Manes.09G171300.v8.1 transcript:Manes.09G171300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVKWPPRVREFLKPYILKMHFTNKFVSAQVIHSPSATVACSASSQEKALRSSMENTRDVAAAAKIGKILGERLLLKDIPAVSIFLKREQRYHGKVKAVIDSVREAGVKLL >Manes.09G171300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36232741:36234303:1 gene:Manes.09G171300.v8.1 transcript:Manes.09G171300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVKWPPRVREFLKPYILKMHFTNKFVSAQVIHSPSATVACSASSQEKALRSSMENTRDVAAAAKIGKILGERLLLKDIPAVSIFLKREQRYHGKVKAVIDSVREAGVKLL >Manes.09G171300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36231712:36234727:1 gene:Manes.09G171300.v8.1 transcript:Manes.09G171300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVKWPPRVREFLKPYILKMHFTNKFVSAQVIHSPSATVACSASSQEKALRSSMENTRDVAAAAKIGKILGERLLLKDIPAVSIFLKREQRYHGKVKAVIDSVREAGVKLL >Manes.09G171300.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36233534:36233881:1 gene:Manes.09G171300.v8.1 transcript:Manes.09G171300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVKWPPRVREFLKPYILKMHFTNKFVSAQVIHSPSATVACSASSQEKALRSSMENTRDVAAAAKIGKILGERLLLKDIPAVSIFLKREQRYHGKVKAVIDSVREAGVKLL >Manes.14G046500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4049114:4052604:1 gene:Manes.14G046500.v8.1 transcript:Manes.14G046500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSPPEGQLNGGSSAGERGGPAIAVTSSETVGSKRQRRPSVRLGEIGGEQLYDTHSRRTANNKQWKHHQLLSLDHKKDPGTSNKGSKTRALTNLTTSGEFAETLDEDKDVNLDSVAIGSWRVKDSKKRASTATTKRVRSNWVSKIDDAGGGGANNNVEGDEKYSGGEDVDDTYRGFDMENSESPLKEQSPVHSLENLGDGNERNEREIYYNRRTSRARDNHNHHQDGVELSGPSDTDARDYRNNGTCVGGGEDGVRIWLNSIGLGRYAPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMFCAIQKLGKGFS >Manes.14G046500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4049114:4052604:1 gene:Manes.14G046500.v8.1 transcript:Manes.14G046500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSPPEGQLNGGSSAGERGGPAIAVTSSETVGSKRQRRPSVRLGEIGGEQLYDTHSRRTANNKQWKHHQLLSLDHKKDPGTSNKGSKTRALTNLTTSGEFAETLDEDKDVNLDSVAIGSWRVKDSKKRASTATTKRVRSNWVSKIDDAGGGGANNNVEGDEKYSGGEDVDDTYRGFDMENSESPLKEQSPVHSLENLGDGNERNEREIYYNRRTSRARDNHNHHQDGVELSGPSDTDARDYRNNGTCVGGGEDGVRIWLNSIGLGRYAPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMFCAIQKLGKGFS >Manes.04G053800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10664145:10678105:-1 gene:Manes.04G053800.v8.1 transcript:Manes.04G053800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNWKHHTLLQALMTRGPLKENEFHKIFSAVTGKNPRSYERDFNDFLLKINKELSYVQMELRRCRNQNDGEVCYGLVNNVSDDQSKLGTKYSVPQIALYKGVIEAIMQDATAQGCISTFTALNTRLENQVHSGTESQSQGGSSQVPPALRNFSMSQKEKTLDELVCDKWLCHTPDGDIGLGVKSYLDLRSWFHNSGIPSCEVCNEAAIKAKVCQNEGCMLRIHHYCLKKKFSQSRGKIICPSCSTQWECQIPKSEVEALEDELNEPTESQPLAVSKRRKLKANRNADADTAGCGSSQGPSVPELRRVTRSSARPRKMGRKKNKQKEIKSSSSSTTKALSEHSE >Manes.04G053800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10672384:10678105:-1 gene:Manes.04G053800.v8.1 transcript:Manes.04G053800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNWKHHTLLQALMTRGPLKENEFHKIFSAVTGKNPRSYERDFNDFLLKINKELSYVQMELRRCRNQNDGEVCYGLVNNVSDDQSKLGTKYSVPQIALYKGVIEAIMQDATAQGCISTFTALNTRLENQVHSGTESQSQGGSSQVPPALRNFSMSQKEKTLDELVCDKWLCHTPDGDIGLGVKSYLDLRSWFHNSGIPSCEVCNEAAIKAKVCQNEGCMLRIHHYCLKKKFSQSRGKIICPSCSTQWECQIPKSEVEALEDELNEPTESQPLAVSKRRKLKANRNADADTAGCGSSQGPSVPELRRVTRSSARPR >Manes.12G038500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3315121:3326508:-1 gene:Manes.12G038500.v8.1 transcript:Manes.12G038500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINLFLNNTVTQSTHSALNAIQGLPVLELASICINLTLLLVFLFIISARQIYVCVGRIRLLKDDTAVANSSPIRRSAVDGEIRAITIGTGFKLVLFCCFYVLFLQFLVLGFDGVTLIRKAVNGKVVDWSALSLPAAQGLAWFVLSFSALHCKFKASEKFPLLLRVWWFFSFFICLCTLYVDGRSFLVEGVKHLNSHVMVNFAATPALAFLCFVAIRGITGIQVCRNSDLQEPLLLEEEAGCLKVTPYSNAGLFSLATLSWLNPLLSIGAKRPLELKDIPLLAPKDRAKSNYKVLNLNWEKLKTEDPSDQPSLAWAILKSFWKEAACNAVFALVNTLVSYVGPYMISYFVDYLGGKETVPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASIATLISTIISIVVTVPLAKVQEDYQDKLMAAKDDRMRKTSECLRNMRILKLQAWEDRYRVNLEEMRDVEFRWLRKALYSQAFITFIFWSSPIFVAAVTFGTSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEDLQQDATLVLPRGMTNVTIEINDGEFCWDPSSSRPTLSGIHVKVQRGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGNIEENILFGCPMDKAKYKSVIHDCSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALANKTVIYVTHQVEFLPAADLILVLKEGRIIQAGKYDDLLQAGTDFKTLVSAHHEAIVAMDIPTHSSDDSEENLSVRGAVIFNKKCDATGSNVDILAKEVQENASASDQKAIKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGLLIPLIILAQALFQFLQIASNWWMAWANPQTEGGQSRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLFGIVGVMTKVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPSVIEDFCPPSSWPENGTIDLIDLKVRYAENLPTVLHGVTCTFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAEGRIIIDTIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQEIWQALEKSQLGETVRMKEQKLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKILRTEFKDCTVCTIAHRIPTVIDSDFVLVLSDGRVAEFDTPARLLEDKSSMFLKLVTEYSSRSSGIPDF >Manes.12G038500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3315123:3326508:-1 gene:Manes.12G038500.v8.1 transcript:Manes.12G038500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINLFLNNTVTQSTHSALNAIQGLPVLELASICINLTLLLVFLFIISARQIYVCVGRIRLLKDDTAVANSSPIRRSAVDGEIRAITIGTGFKLVLFCCFYVLFLQFLVLGFDGVTLIRKAVNGKVVDWSALSLPAAQGLAWFVLSFSALHCKFKASEKFPLLLRVWWFFSFFICLCTLYVDGRSFLVEGVKHLNSHVMVNFAATPALAFLCFVAIRGITGIQVCRNSDLQEPLLLEEEAGCLKVTPYSNAGLFSLATLSWLNPLLSIGAKRPLELKDIPLLAPKDRAKSNYKVLNLNWEKLKTEDPSDQPSLAWAILKSFWKEAACNAVFALVNTLVSYVGPYMISYFVDYLGGKETVPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASIATLISTIISIVVTVPLAKVQEDYQDKLMAAKDDRMRKTSECLRNMRILKLQAWEDRYRVNLEEMRDVEFRWLRKALYSQAFITFIFWSSPIFVAAVTFGTSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEDLQQDATLVLPRGMTNVTIEINDGEFCWDPSSSRPTLSGIHVKVQRGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGNIEENILFGCPMDKAKYKSVIHDCSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALANKTVIYVTHQVEFLPAADLILVLKEGRIIQAGKYDDLLQAGTDFKTLVSAHHEAIVAMDIPTHSSDDSEENLSVRGAVIFNKKCDATGSNVDILAKEVQENASASDQKAIKEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGLLIPLIILAQALFQFLQIASNWWMAWANPQTEGGQSRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLFGIVGVMTKVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPSVIEDFCPPSSWPENGTIDLIDLKVRYAENLPTVLHGVTCTFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAEGRIIIDTIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQEIWQALEKSQLGETVRMKEQKLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKILRTEFKDCTVCTIAHRIPTVIDSDFVLVLSDGRVAEFDTPARLLEDKSSMFLKLVTEYSSRSSGIPDF >Manes.18G106451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10397776:10399620:1 gene:Manes.18G106451.v8.1 transcript:Manes.18G106451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGLEYLSNLIICILFGWYGLPFISKGNLLLSIINIFGVLIQSTYLIIFLYYSPEVERLRILKLLSIAPCTFIFMIAEVFNIDQKVKGLLNGVIVASIVSFVYCYSFCVNIWMLIEKKNVESISIIWTSINILCDFTWLIYGVLHADLYIVVSFLVLIYFNPCFFLC >Manes.18G106451.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10397776:10399731:1 gene:Manes.18G106451.v8.1 transcript:Manes.18G106451.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGLEYLSNLIICILFGWYGLPFISKGNLLLSIINIFGVLIQSTYLIIFLYYSPEVERLRILKLLSIAPCTFIFMIAEVFNIDQKVKGLLNGVIVASIVSFVYCYSFCVNIWMLIEKKNVESISIIWTSINILCDFTWLIYDCQCYRSK >Manes.04G053900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9529995:9533591:-1 gene:Manes.04G053900.v8.1 transcript:Manes.04G053900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPCTQHNGFILFHYAMASFSHAKLRSKKFSIPLTIFFIIKLFFVSSLAEVNNDKSPIPSHFSKSSMAATQLSISSACKATIYKAACESFLVSLQTTAKTQHELFDLSVEYTMKQSQSAINLASSLSLSAHGNAQTHLVSAGAMADCIELLDDTLDQLSNVINRKNNLIQSHNDVRTWLSAALTNQETCIESLQNSKIKEQKGLMVSTARNLSHHISNSLAIYVSHYVTEEANKLNPGGTGGRKLLSGNKFPSWVSATDRKLVEAPVGEIKAHAVVAKDGSGTHKTIADAIGVLGSLASGGRNVIYIKAGTYKENLNFPTKQKNVMLVGDGKGKTVIVGNRNADDGSSTFSSATVAAMGDGFIARGITFINSAGPEKHQAVALRVGSDRSVIFQCSIEGYQDSLYTHSKRQFYRETDIYGTIDFIFGNSAVVFQNCNIFARKPSSGSRNYVTAQGRTDPNQNTGISIHNCKIGSESGGSKLTYLGRPWQKYSRTVVMQSFIDGSINPAGWYPWSGSFALSTLYYGEYMNSGPGASTSGRVNWPGYHGALTPTAAQTFTVGSLIDGNMWLPSTGVSFDSGLIG >Manes.02G204800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:17723766:17725854:-1 gene:Manes.02G204800.v8.1 transcript:Manes.02G204800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEMFSFNELNYSGFQDKFVSIDPESDGGDMATKVPFYEAQEWRDSDYGFHQEKLREEEQLQQSFLDYVQFDDLRFHIFCPPLKTCLDEMTKPGEIQNEIQEVESKEDKSYPFSLESLQLLRNHSNEFKQFRSGRVIEPSNCFPPTKDTGQRLSAEEIIRLAGAKFIQSAYQGVDVSSIFSNPFYLSGLSADDAKMVELAGFLLASAEKIGNQQFDCASRLLKACSNFSSSVGNPVQRVVHYFAEVLRERINIETGRITSKGLGSKQSFDIEKAILAPNPTTLEAYKLVPFCQIVHFAGIQAIVENIADAKRIHIIDFTIKNGLQWSILMQALVSRREFPVELLKITAISTGWENLIETTGKRLTSFAQTMNLNFSFKIVVVSDFLDLKEDLLQLDDEETVAIFSEHLPRSQITLPNRLESMMRLIKKIHPCVMVVAEVEANCNSPTFVNRFIEALFYYTAYFDCVDACMERDDSNRLILESMYLGKGIRNNIASEGEQPKIRSVKLDVWRSFYARFGMVEIDLSSSSLLQANLIMKNFPCASFCTLDQSGKSLLVGWKGTPLHSLSAWKFGL >Manes.18G014500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1551313:1555230:-1 gene:Manes.18G014500.v8.1 transcript:Manes.18G014500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLVMFFGYAYPAYECYKTVEKNKPEIEHLRFWCQYWILVAVLTVCERIGDAFISWVPMYCEAKLAFYIYLWYPKTKGTAYVYDSFFRPYVSKHENEIDRNLFELRTRAGDMAVLYWQRAANYGQTRVFEILQYIAAQSTPRPRPAQPQQQGARANQPAAPNRQPSTSNRQPAAAQPETEEPPSPTSSTSSSQHQMEVAEEMGRSPVKEATPPAVVLNALKAPALASNAQKANVTSETSSSSSVSKAEEMQVEAAPSSTNENANPPTKETNMDEAIRVTRARLRKSRSGPKS >Manes.S005886.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:41943:42317:1 gene:Manes.S005886.v8.1 transcript:Manes.S005886.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.11G104120.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23823026:23823478:1 gene:Manes.11G104120.v8.1 transcript:Manes.11G104120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITAFLGNRRPNAFDPFSLDIWDPFDGFPFPSITSNDRPSTRIDWKETPTAHVFKADVPGLRKEELKVEVEDGKVLQISGERSKEEKSAGDTWHRIERSSGKFSWRFKLPDDAIVQDVKASMESGVLTVTLPKEAEKKNADVRSIQISD >Manes.10G009500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1064661:1066167:1 gene:Manes.10G009500.v8.1 transcript:Manes.10G009500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKWLRSPGGAHFSSTQPPSPRYFSCSSFKDINNILLEELQNGSKSEPESPRRPSIFHRVRLATSRLLHCRHYRSKISILSPPPNHSDHHIVVYFTSLRIVRRTFEDCRTVRSILLGYRVPIDERDLSMDGRYLDELQEITGSKKVSLPVVFIGGKHVGGAENIKEMNESGELKMLIGRLSFVENSNGCDLCGGLRFVICEQCNGSHKIYSNKLGFRICTFCNVNGLIRCGLCSPVESRRLSTS >Manes.11G142600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30795890:30796213:1 gene:Manes.11G142600.v8.1 transcript:Manes.11G142600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTSQSQTASSSTSRPGVMAPRGSAAATAGLRRRRLGSSASGSASGAAAGLGGGSSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRSKVAPDA >Manes.16G065800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27001723:27005739:1 gene:Manes.16G065800.v8.1 transcript:Manes.16G065800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSCSGTLKIVLAQTTKGKADSQDPFLRLLKQKQPNVVSVKTPLGKIRDLLKNDEIQLPVSSYDTAWVAMVPSKDGSKQPLFPECLNWIMENQQPDGSWALDPTHPLLVKDSLSSTLACLLALHKWGAGDQLVNKGLDFIASNIWAATDQHQLSPLGFDIIFPGMIEHARDAGLNLPINDSSIEEMLRKRDLEIKSFQGEINKLAYFAEGLTRLNDWQKLMKYQSSNGSLFNSPSATAAALTHIHDEKCLDYLHSLVTKFDKAVPTIYPLDIYSRLYMIDSLAKLGIDRHFTEEIATTLDDIYRSWKQGNEEIFSNPGCCAMAFRLLRMNGYEISSDPLENFDKQENMLNSVSDVKSVLELYKASQMTIFQNEPVLERIYAWTSTYLEEKAASAGEIQDKSLQNDVDYTLKHPYANLERIESRRYMENYHLDNVSLLKTSYRCLNIDTRDLLTFSFQDFNECQAMHRKELDYLERWMKEYNVGKLEFARQKVAYAYFSIAAVLPHPEFSDARISWAQNTVLTTVVDDFFDFAGSMEELLNLIELLQRWDEHSAVGFMSKDVEILFYAIYGTTNDLAEKASKQQGRCVKKHLIDIWITLLNTMLKEAEWARNKLVPTMYEYMTNAYVSFALGPVILISLYFLGCKLSEQVVQSQEYDNLFINVSIIGRLLNDLVTVKREGAQGKLNGASLPIIHGRGAITEKEAEEEVERLIESHRRELLRMVQQTEGSVVPKVCKDLYWKMSKILHLFYMGDDAYSSPHKMVGPVNAIVNEPILLPPYSKLD >Manes.01G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32387895:32392787:1 gene:Manes.01G130500.v8.1 transcript:Manes.01G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEVVNPEEQVVLGEEIGNVRLITLNRPRQLNVISSKVVSLLADFLKKWEKDDNAKLILIKGAGRAFSAGGDLKMFYDGRTSKDSCLEVVYRMYWLCYHIHTYMKTQVALVHGISMGGGAAFMVPMKFSVVTEKTVFATPEASIGFHTDCSFSYILSHLPGHLGEFLALTGARLNGKELVVAGLATHFVPSEKLPELENRLISLNSGDESAVKSAIEEFSVDVQLDEESILNKLSVIDECFSKDTLVDIIKSFETEATKEGNGWIRAILKGLKRSSPTGLQITLRSIREGRKQTLAECLKKEFRLTMNILRTVISADVYEGIRALTIDKDNAPKWDPPTLDEVDDQKVDLVFQPFEEDLELQIPGQENSRWSGKYENSPYAALKVTEKKSQTNGC >Manes.05G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9868890:9872059:-1 gene:Manes.05G104700.v8.1 transcript:Manes.05G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMGVSLLSSSSEGSYNMGILMIACLALSWIFIHRCNQRNKKGPKTWPIVGAAIEQLVNYDRMHDWLVEYLSELKTVVVPMPFTTYTYISDPANVEHVLKTNFANYPKGETYHSYMEVLLGEGIFNVDGELWRKQRKTASFEFASKNLRDFSTRVFREYSLKLSSILTHASSNNKEIDMQELFMRMTLDSICKVGFGVEIGTLAPNLPDNCFAQAFDTANIIVTLRFIDPLWKIKRILNVGSEALLDKSIKIIDDFTYSVIYRRKAEMEEARKTNNNNKVKHDILSRFIELGEDPESHLTDKSLRDVVLNFVIAGRDTTATTLSWAIYMIMTHNHVAEKLYDELKAFEEERAKEENVTLHQFDLNDLESFTERAVQYAGLLTYDSLGKLYYLHAVITETLRLYPAVPQDPKGILDDDTLPDGTKVKAGGMVTYVPYSMGRMEYNWGSDAASFKPERWLKDGFFQNASPFKFTAFQAGPRICLGKDSAYLQMKMTLAILCRFFKFELVPNHPVKYRMMTILSMAHGLKLRVSRRS >Manes.05G104700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9868890:9872077:-1 gene:Manes.05G104700.v8.1 transcript:Manes.05G104700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMGVSLLSSSSEGSYNMGILMIACLALSWIFIHRCNQRNKKGPKTWPIVGAAIEQLVNYDRMHDWLVEYLSELKTVVVPMPFTTYTYISDPANVEHVLKTNFANYPKGETYHSYMEVLLGEGIFNVDGELWRKQRKTASFEFASKNLRDFSTRVFREYSLKLSSILTHASSNNKEIDMQELFMRMTLDSICKVGFGVEIGTLAPNLPDNCFAQAFDTANIIVTLRFIDPLWKIKRILNVGSEALLDKSIKIIDDFTYSVIYRRKAEMEEARKTNNNNKVKHDILSRFIELGEDPESHLTDKSLRDVVLNFVIAGRDTTATTLSWAIYMIMTHNHVAEKLYDELKAFEEERAKEENVTLHQFDLNDLESFTERAVQYAGLLTYDSLGKLYYLHAVITETLRLYPAVPQVCHFPSNIHRGLNAVGK >Manes.05G075200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6148278:6153320:1 gene:Manes.05G075200.v8.1 transcript:Manes.05G075200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSDFFKKFKRLNPLEPSIGILGFFLVTLLLIGCFFYLDYRSVTRGLRYHGASWRGLLTPSSSSQLNADTGRPGFLDKRGDGCDIFYGNWIWDDSYPLYQSKHCPFIDSGFRCLENGRPDSFYTKWRWQPSHCDLPRFDAKVMLEKLRNRRLVFVGDSVGRNQWESLLCMLATAVRDNSSIYEGRPPVGAPEKVKMTIRVDTLDWTSPQWRNADVLIFNSGHWWNYEKTIRSACYFQEGDEVKMDMSVEIAYRRSLETLIAWMHSKINMSKTRVFFRTYAPVHFRGGDWKTGGSCHMEKLPDLGSLLSSSDYRVKIFFDVLLEHSNESHVMNLDLLNVTHMTAQRKDGHASLYYLEPGIGPASLNRQDCSHWCLPGVPDSWNELLYAFLLKRDSVHAQSSTESSQAPL >Manes.05G075200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6148278:6153688:1 gene:Manes.05G075200.v8.1 transcript:Manes.05G075200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSDFFKKFKRLNPLEPSIGILGFFLVTLLLIGCFFYLDYRSVTRGLRYHGASWRGLLTPSSSSQLNADTGRPGFLDKRGDGCDIFYGNWIWDDSYPLYQSKHCPFIDSGFRCLENGRPDSFYTKWRWQPSHCDLPRFDAKVMLEKLRNRRLVFVGDSVGRNQWESLLCMLATAVRDNSSIYEGRPPVGAPEKVKMTIRVDTLDWTSPQWRNADVLIFNSGHWWNYEKTIRSACYFQEGDEVKMDMSVEIAYRRSLETLIAWMHSKINMSKTRVFFRTYAPVHFRGGDWKTGGSCHMEKLPDLGSLLSSSDYRVKIFFDVLLEHSNESHVMNLDLLNVTHMTAQRKDGHASLYYLEPGIGPASLNRQDCSHWCLPGVPDSWNELLYAFLLKRDSVHAQSSTESSQAPL >Manes.05G075200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6148283:6153688:1 gene:Manes.05G075200.v8.1 transcript:Manes.05G075200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSDFFKKFKRLNPLEPSIGILGFFLVTLLLIGCFFYLDYRSVTRGLRYHGASWRGLLTPSSSSQLNADTGRPGFLDKRGDGCDIFYGNWIWDDSYPLYQSKHCPFIDSGFRCLENGRPDSFYTKWRWQPSHCDLPRFDAKVMLEKLRNRRLVFVGDSVGRNQWESLLCMLATAVRDNSSIYEVNGRPITKHRGFLAFMFKDFNCTIEYYRAPFLMYQGRPPVGAPEKVKMTIRVDTLDWTSPQWRNADVLIFNSGHWWNYEKTIRSACYFQEGDEVKMDMSVEIAYRRSLETLIAWMHSKINMSKTRVFFRTYAPVHFRGGDWKTGGSCHMEKLPDLGSLLSSSDYRVKIFFDVLLEHSNESHVMNLDLLNVTHMTAQRKDGHASLYYLEPGIGPASLNRQDCSHWCLPGVPDSWNELLYAFLLKRDSVHAQSSTESSQAPL >Manes.05G075200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6148149:6153688:1 gene:Manes.05G075200.v8.1 transcript:Manes.05G075200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSDFFKKFKRLNPLEPSIGILGFFLVTLLLIGCFFYLDYRSVTRGLRYHGASWRGLLTPSSSSQLNADTGRPGFLDKRGDGCDIFYGNWIWDDSYPLYQSKHCPFIDSGFRCLENGRPDSFYTKWRWQPSHCDLPRFDAKVMLEKLRNRRLVFVGDSVGRNQWESLLCMLATAVRDNSSIYEVNGRPITKHRGFLAFMFKDFNCTIEYYRAPFLMYQGRPPVGAPEKVKMTIRVDTLDWTSPQWRNADVLIFNSGHWWNYEKTIRSACYFQEGDEVKMDMSVEIAYRRSLETLIAWMHSKINMSKTRVFFRTYAPVHFRGGDWKTGGSCHMEKLPDLGSLLSSSDYRVKIFFDVLLEHSNESHVMNLDLLNVTHMTAQRKDGHASLYYLEPGIGPASLNRQDCSHWCLPGVPDSWNELLYAFLLKRDSVHAQSSTESSQAPL >Manes.02G098100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7628496:7632256:-1 gene:Manes.02G098100.v8.1 transcript:Manes.02G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKEIPSMSTLLSAYASISTLSMLIRTILNEMIPKRMRDFINSKFSDFFSSYFSSDFTFIIEDRWQAVHSETFRAIEVYLPTKIGPSTRSLLLGNNDSNNITAPPKPGIPVDTKVIDDFQGMRLEWTLREKEAKKYYFRNKRFFELNCRKKDRERVVQSYLPYISSTAESILSKRETLNLYTYDHEGSMWESTVFKHPASFETLAMDPDLKDSIIRDLDLFLQRKKYFQSVGRAWKRGYLLYGPPGTGKSTLVAAIANYLRFHIYDLQLQGVRNDSDLRRILTSTTNRSILLIEDIDCSTKSSRSRTHTRIPNDDDNDDDDRDQGNNKISFDPGVTLSGLLNFIDGLWSSCGDERIIIFTTNYKEKLDPALLRPGRMDVHIYMGHCTPAGFKKLADTYLGIKDHPLFKCTEELIQSVAITPAEVAQQLMKCEDAQLALESLMEFINAKENQIKETEAKKDEEEVIKQEIVVLRKDVKLGEKQDDEIEKRCVYLT >Manes.01G085000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28726584:28732503:-1 gene:Manes.01G085000.v8.1 transcript:Manes.01G085000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTAIALEAISKETIDLESIPVEEVFEKLKCTRKGLTSDDVQQRLGVFGSNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAISLAHGGGEGIDYHDFVGIMALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGSWGEEDAAVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVESTTHIGHFQKVLTAIGNFCICSIAIGMLIEIIVIYGIQKREYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMIEVFAKGVDKDMVVLMAARASRLENQDAIDGAIVAMLADPKEARAGITEVHFLPFNPTDKRTALTYLDSAGKMHRVSKGAPEQILSLARNKSDIEKKVLGIIDKFAERGLRSLAVARQEVPTGTKDSSGGPWEFVGLLPLFDPPRHDSAETIKRALDLGVGVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGDNKDGTIGVLPIDELIENADGFAGVFPEHKFEIVKRLQAKKHIVGMTGDGVNDAPALKIADIGIAVADSTDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTCFWKFNFPPFMVLIIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFATGVVIGGYLAIMTVVFFWGAYETDFFSKHFDVHSLQKNDYNVSDEHISKELNAQLASAMYLQVSTISQALIFVTRSRSWSFTERPGALLIIAFFIAQLVATVISAEATWGFAGVRKIGWKWTGVIWLYNIVTYMLLDPIKFAVRYALSGRAWSLVYNQRTTMTTQKDFGKEAREAAWATEQRTLHGLQSKESKVLSEKHTFRDISIVAEEARRRAEIARLRELHTLKGKVESFAKLKGLDIDVNPHYTV >Manes.01G085000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28726584:28732503:-1 gene:Manes.01G085000.v8.1 transcript:Manes.01G085000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTAIALEAISKETIDLESIPVEEVFEKLKCTRKGLTSDDVQQRLGVFGSNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAISLAHGGGEGIDYHDFVGIMALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGSWGEEDAAVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVESTTHIGHFQKVLTAIGNFCICSIAIGMLIEIIVIYGIQKREYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMIEVFAKGVDKDMVVLMAARASRLENQDAIDGAIVAMLADPKEARAGITEVHFLPFNPTDKRTALTYLDSAGKMHRVSKGAPEQILSLARNKSDIEKKVLGIIDKFAERGLRSLAVARQEVPTGTKDSSGGPWEFVGLLPLFDPPRHDSAETIKRALDLGVGVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGDNKDGTIGVLPIDELIENADGFAGVFPEHKFEIVKRLQAKKHIVGMTGDGVNDAPALKIADIGIAVADSTDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVKHFDVHSLQKNDYNVSDEHISKELNAQLASAMYLQVSTISQALIFVTRSRSWSFTERPGALLIIAFFIAQLVATVISAEATWGFAGVRKIGWKWTGVIWLYNIVTYMLLDPIKFAVRYALSGRAWSLVYNQRTTMTTQKDFGKEAREAAWATEQRTLHGLQSKESKVLSEKHTFRDISIVAEEARRRAEIARLRELHTLKGKVESFAKLKGLDIDVNPHYTV >Manes.01G085000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28726584:28732503:-1 gene:Manes.01G085000.v8.1 transcript:Manes.01G085000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTAIALEAISKETIDLESIPVEEVFEKLKCTRKGLTSDDVQQRLGVFGSNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAISLAHGGGEGIDYHDFVGIMALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGSWGEEDAAVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVESTTHIGHFQKVLTAIGNFCICSIAIGMLIEIIVIYGIQKREYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMIEVFAKGVDKDMVVLMAARASRLENQDAIDGAIVAMLADPKEARAGITEVHFLPFNPTDKRTALTYLDSAGKMHRVSKGAPEQILSLARNKSDIEKKVLGIIDKFAERGLRSLAVARQEVPTGTKDSSGGPWEFVGLLPLFDPPRHDSAETIKRALDLGVGVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGDNKDGTIGVLPIDELIENADGFAGVFPEHKFEIVKRLQAKKHIVGMTGDGVNDAPALKIADIGIAVADSTDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTCFWKFNFPPFMVLIIAILNDGTIMTISKDRVKPSPIPDSWKLSEIFATGVVIGGYLAIMTVVFFWGAYETDFFSVKTLLLHQKHFDVHSLQKNDYNVSDEHISKELNAQLASAMYLQVSTISQALIFVTRSRSWSFTERPGALLIIAFFIAQLVATVISAEATWGFAGVRKIGWKWTGVIWLYNIVTYMLLDPIKFAVRYALSGRAWSLVYNQRTTMTTQKDFGKEAREAAWATEQRTLHGLQSKESKVLSEKHTFRDISIVAEEARRRAEIARLRELHTLKGKVESFAKLKGLDIDVNPHYTV >Manes.02G164700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12894770:12898297:1 gene:Manes.02G164700.v8.1 transcript:Manes.02G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKSASEDELQQEQEQEEAQQDQQQQEQHFHVLAVDDSVLDRKLLERLLRVSSYQVTCVDSGDKALEYLGLLGNLDNASTASSSSSSSSSSSSQSTQHEGMKVNLIMTDYCMPGMSGYDLLKRVKGSSWKDVPVVVMSSENIPSRISMCLEGGAEEFLLKPLQLSDMEKLQPHLLKSLDQSCEGMDNSGSTATATAKNSSSNNISKRKAISPEPPSERRPKMKGLAVV >Manes.02G115200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8863390:8867959:-1 gene:Manes.02G115200.v8.1 transcript:Manes.02G115200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTLFEVASMPILQVLLISILGAFMATNYCNLLTTDARRSLNKIVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWIVVKILKPQPHLEGLVIATCSSGNLGNLLLIIVPAICNQDGIPFDSATCKSVGLSYASFSMALGGFYIWTYTYQLIRSSAAKLQEIKAADEVSKAPNNDLEANQETHLLKGGDQEQIPIAVASSKSIDDDTESQAVVYRASHHEHGKEASFWKKLVGFLHQILEELLAPPTIAAIFGFIFGAVTFLRKLIIGDGAPLHVIQDTITLLGEGTIPCITLILGGNLIQGLKSSRIKPWIIVAVVFVRYGILPAIGLWVVKAAGSLGFLPPDPLYHYVLMIQYTLPPAMNIGTMTQLFDVGQEECSVLFLWTYLAAALALTAWSTVFMWILS >Manes.02G115200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8863390:8867964:-1 gene:Manes.02G115200.v8.1 transcript:Manes.02G115200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTLFEVASMPILQVLLISILGAFMATNYCNLLTTDARRSLNKIVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWIVVKILKPQPHLEGLVIATCSSGNLGNLLLIIVPAICNQDGIPFDSATCKSVGLSYASFSMALGGFYIWTYTYQLIRSSAAKLQEIKAADEVSKAPNNDLEANQETHLLKGGDQEQIPIAVASSKSIDDDTESQAVVYRASHHEHGKEASFWKKLVGFLHQILEELLAPPTIAAIFGFIFGAVTFLRKLIIGDGAPLHVIQDTITLLGEGTIPCITLILGGNLIQGLKSSRIKPWIIVAVVFVRYGILPAIGLWVVKAAGSLGFLPPDPLYHYVLMIQYTLPPAMNIGTMTQLFDVGQEECSVLFLWTYLAAALALTAWSTVFMWILS >Manes.02G115200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8863390:8867959:-1 gene:Manes.02G115200.v8.1 transcript:Manes.02G115200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWIVVKILKPQPHLEGLVIATCSSGNLGNLLLIIVPAICNQDGIPFDSATCKSVGLSYASFSMALGGFYIWTYTYQLIRSSAAKLQEIKAADEVSKAPNNDLEANQETHLLKGGDQEQIPIAVASSKSIDDDTESQAVVYRASHHEHGKEASFWKKLVGFLHQILEELLAPPTIAAIFGFIFGAVTFLRKLIIGDGAPLHVIQDTITLLGEGTIPCITLILGGNLIQGLKSSRIKPWIIVAVVFVRYGILPAIGLWVVKAAGSLGFLPPDPLYHYVLMIQYTLPPAMNIGTMTQLFDVGQEECSVLFLWTYLAAALALTAWSTVFMWILS >Manes.02G115200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8863390:8867959:-1 gene:Manes.02G115200.v8.1 transcript:Manes.02G115200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWIVVKILKPQPHLEGLVIATCSSGNLGNLLLIIVPAICNQDGIPFDSATCKSVGLSYASFSMALGGFYIWTYTYQLIRSSAAKLQEIKAADEVSKAPNNDLEANQETHLLKGGDQEQIPIAVASSKSIDDDTESQAVVYRASHHEHGKEASFWKKLVGFLHQILEELLAPPTIAAIFGFIFGAVTFLRKLIIGDGAPLHVIQDTITLLGEGTIPCITLILGGNLIQGLKSSRIKPWIIVAVVFVRYGILPAIGLWVVKAAGSLGFLPPDPLYHYVLMIQYTLPPAMNIGTMTQLFDVGQEECSVLFLWTYLAAALALTAWSTVFMWILS >Manes.02G115200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8863390:8867959:-1 gene:Manes.02G115200.v8.1 transcript:Manes.02G115200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTLFEVASMPILQVLLISILGAFMATNYCNLLTTDARRSLNKIVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWIVVKILKPQPHLEGLVIATCSSGNLGNLLLIIVPAICNQDGIPFDSATCKSVGLSYASFSMALGGFYIWTYTYQLIRSSAAKLQEIKAADEVSKAPNNDLEANQETHLLKGGDQEQIPIAVASSKSIDDDTESQAVVYRASHHEHGKEASFWKKLVGFLHQILEELLAPPTIAAIFGFIFGAVTFLRKLIIGDGAPLHVIQDTITLLGEGTIPCITLILGGNLIQGLKSSRIKPWIIVAVVFVRYGILPAIGLWVVKAAGSLGFLPPDPLYHYVLMIQYTLPPAMNIGTMTQLFDVGQEECSVLFLWTYLAAALALTAWSTVFMWILS >Manes.02G115200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8863390:8867959:-1 gene:Manes.02G115200.v8.1 transcript:Manes.02G115200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFTLFEVASMPILQVLLISILGAFMATNYCNLLTTDARRSLNKIVFVVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWIVVKILKPQPHLEGLVIATCSSGNLGNLLLIIVPAICNQDGIPFDSATCKSVGLSYASFSMALGGFYIWTYTYQLIRSSAAKLQEIKAADEVSKAPNNDLEANQETHLLKGGDQEQIPIAVASSKSIDDDTESQAVVYRASHHEHGKEASFWKKLVGFLHQILEELLAPPTIAAIFGFIFGAVTFLRKLIIGDGAPLHVIQDTITLLGEGTIPCITLILGGNLIQGLKSSRIKPWIIVAVVFVRYGILPAIGLWVVKAAGSLGFLPPDPLYHYVLMIQYTLPPAMNIGTMTQLFDVGQEECSVLFLWTYLAAALALTAWSTVFMWILS >Manes.13G088900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12675099:12679179:-1 gene:Manes.13G088900.v8.1 transcript:Manes.13G088900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADAAQNDQNAVQNLSDLQPSPSQGDQPPPPLSKNAQKKLLKQKKYEAKKAEKKALIKEQKKREAERKRKEWEEALAGVSEEERLKLIESRRELRKERMEKRSEERESKIQRLTGAKTHGQKIVIDLEFSHLMTSSEIHSLVQQIMYCYAVNGRCPSPCHLWLTGCKGEMENQLQRLPGFDKWIIEKESRSYIEALEDEKENLVYLTADAEKELDELDPQKLYIVGGLVDRNRWKGLTMKKAQEQGIQTAKLPIGTHLKMSSSQVLTVNQVIEILLKFLETKDWKASFFQVIPQRKRCETDSREDQGVDGEENEEKEEPSEKKRCTDDSSSR >Manes.13G088900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12676011:12679179:-1 gene:Manes.13G088900.v8.1 transcript:Manes.13G088900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADAAQNDQNAVQNLSDLQPSPSQGDQPPPPLSKNAQKKLLKQKKYEAKKAEKKALIKEQKKREAERKRKEWEEALAGVSEEERLKLIESRRELRKERMEKRSEERESKIQRLTGAKTHGQKIVIDLEFSHLMTSSEIHSLVQQIMYCYAVNGRCPSPCHLWLTGCKGEMENQLQRLPGFDKWIIEKESRSYIEALEDEKENLVYLTADAEKELDELDPQKLYIVGGLVDRNRWKGLTMKKAQEQGIQTAKLPIGTHLKMSSSQVPLTFLTHAATNL >Manes.13G088900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12676012:12679185:-1 gene:Manes.13G088900.v8.1 transcript:Manes.13G088900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADAAQNDQNAVQNLSDLQPSPSQGDQPPPPLSKNAQKKLLKQKKYEAKKAEKKALIKEQKKREAERKRKEWEEALAGVSEEERLKLIESRRELRKERMEKRSEERESKIQRLTGAKTHGQKIVIDLEFSHLMTSSEIHSLVQQIMYCYAVNGRCPSPCHLWLTGCKGEMENQLQRLPGFDKWIIEKESRSYIEALEDEKENLVYLTADAEKELDELDPQKLYIVGGLVDRNRWKGLTMKKAQEQGIQTAKLPIGTHLKMSSSQVLTVNQVIEILLKFLETKDWKASFFQVIPQRKRCETDSREDQGVDGEENEEKEEPSEKKRCTDDSSSRTNDPEAILS >Manes.13G088900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12675289:12679179:-1 gene:Manes.13G088900.v8.1 transcript:Manes.13G088900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADAAQNDQNAVQNLSDLQPSPSQGDQPPPPLSKNAQKKLLKQKKYEAKKAEKKALIKEQKKREAERKRKEWEEALAGVSEEERLKLIESRRELRKERMEKRSEERESKIQRLTGAKTHGQKIVIDLEFSHLMTSSEIHSLVQQIMYCYAVNGRCPSPCHLWLTGCKGEMENQLQRLPGFDKWIIEKESRSYIEALEDEKENLVYLTADAEKELDELDPQKLYIVGGLVDRNRWKGLTMKKAQEQGIQTAKLPIGTHLKMSSSQVLTVNQVIEILLKFLETKDWKASFFQVIPQRKRCETDSREDQGVDGEENEEKEEPSEKKRCTDDSSSRTNDPEAILS >Manes.13G088900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12675912:12679209:-1 gene:Manes.13G088900.v8.1 transcript:Manes.13G088900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADAAQNDQNAVQNLSDLQPSPSQGDQPPPPLSKNAQKKLLKQKKYEAKKAEKKALIKEQKKREAERKRKEWEEALAGVSEEERLKLIESRRELRKERMEKRSEERESKIQRLTGAKTHGQKIVIDLEFSHLMTSSEIHSLVQQIMYCYAVNGRCPSPCHLWLTGCKGEMENQLQRLPGFDKWIIEKESRSYIEALEDEKENLVYLTADAEKELDELDPQKLYIVGGLVDRNRWKGLTMKKAQEQGIQTAKLPIGTHLKMSSSQVPLTFLTHAATNL >Manes.13G088900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12675289:12679209:-1 gene:Manes.13G088900.v8.1 transcript:Manes.13G088900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADAAQNDQNAVQNLSDLQPSPSQGDQPPPPLSKNAQKKLLKQKKYEAKKAEKKALIKEQKKREAERKRKEWEEALAGVSEEERLKLIESRRELRKERMEKRSEERESKIQRLTGAKTHGQKIVIDLEFSHLMTSSEIHSLVQQIMYCYAVNGRCPSPCHLWLTGCKGEMENQLQRLPGFDKWIIEKESRSYIEALEDEKENLVYLTADAEKELDELDPQKLYIVGGLVDRNRWKGLTMKKAQEQGIQTAKLPIGTHLKMSSSQVPLTFLTHAATNL >Manes.13G088900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12675912:12679270:-1 gene:Manes.13G088900.v8.1 transcript:Manes.13G088900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDADAAQNDQNAVQNLSDLQPSPSQGDQPPPPLSKNAQKKLLKQKKYEAKKAEKKALIKEQKKREAERKRKEWEEALAGVSEEERLKLIESRRELRKERMEKRSEERESKIQRLTGAKTHGQKIVIDLEFSHLMTSSEIHSLVQQIMYCYAVNGRCPSPCHLWLTGCKGEMENQLQRLPGFDKWIIEKESRSYIEALEDEKENLVYLTADAEKELDELDPQKLYIVGGLVDRNRWKGLTMKKAQEQGIQTAKLPIGTHLKMSSSQVLTVNQVIEILLKFLETKDWKASFFQVIPQRKRCETDSREDQGVDGEENEEKEEPSEKKRCTDDSSSR >Manes.07G029100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3179744:3183162:-1 gene:Manes.07G029100.v8.1 transcript:Manes.07G029100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWASVRRKALSGSSTTYLVLEQSLPGTCFMAPLSRTYSNPLKILPFERQSNNLRNVSFHGYLGLPIGSRPVREDISFHRVAPSVPMLSRFFSSSSPAEGQTRVRKHVATRLPFVDAFLLKIKKNKDLLANKKIWSRRSVILPEFVGHTVRIYNGRTFVRCKITEAKVGHKFGEFAYTRKRRLLRATTAAAAKKKGAKGKK >Manes.07G029100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3179744:3183162:-1 gene:Manes.07G029100.v8.1 transcript:Manes.07G029100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWASVRRKALSGSSTTYLVLEQSLPGTCFMAPLSRTYSNPLKILPFERQSNNLRNVSFHGYLGLPIGSRPVREDISFHRVAPSVPMLSRFFSSSSPAEGQTRVRKHVATRLPFVDAFLLKIKKNKDLLANKKIWSRRSVILPEFVGHTVRIYNGRTFVRCKITEAKVGHKFGEFAYTRKRRLLRATTAAAAKKKGAKGKK >Manes.04G143700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34204146:34211640:-1 gene:Manes.04G143700.v8.1 transcript:Manes.04G143700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFGVEGNDERKYEEAGFRKIYSSLSSTKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKGLLIDEKNKMRIVTDTGQPMGCISNEVSSSGMLLQLESSEGLSQSENTEVDPEKLHARLEYIEEMLQRVKEEERLRLSCGSADYSSAYVIIDSQCSDQHDKLPAIDEKLQCEIPLQETVPSLAPSLIESHLHQSGSIDKCLNSPKKPTEGGALTSTGVATSKPDFSKLKEEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRITMGLTNSCDVSATTFIIKDNKLVKKGKEDCCDNGDGAFANDPPTGATINGSQQEAPITHGGQVEDQVVSDKRSRSVGDNSGSEDHHAEHRAVKRVRKPTKRYIEELSEVESKESSGKSNSKLKCSGLGQMSSKSHIGSVGNISSDGRTVVTRTDSLGGSGIQIPCVSRVRRSRPRKNFMALLEFNPSSMSVTAAQLKKALNAHNILLDNDESEKDRSPPAKFVGVTDKDIQISAIDAVGGHHKVLKLVDSTGASDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFSQIPSDKGLQFQFLNQFY >Manes.04G143700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34204146:34211640:-1 gene:Manes.04G143700.v8.1 transcript:Manes.04G143700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGLLIDEKNKMRIVTDTGQPMGCISNEVSSSGMLLQLESSEGLSQSENTEVDPEKLHARLEYIEEMLQRVKEEERLRLSCGSADYSSAYVIIDSQCSDQHDKLPAIDEKLQCEIPLQETVPSLAPSLIESHLHQSGSIDKCLNSPKKPTEGGALTSTGVATSKPDFSKLKEEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRITMGLTNSCDVSATTFIIKDNKLVKKGKEDCCDNGDGAFANDPPTGATINGSQQEAPITHGGQVEDQVVSDKRSRSVGDNSGSEDHHAEHRAVKRVRKPTKRYIEELSEVESKESSGKSNSKLKCSGLGQMSSKSHIGSVGNISSDGRTVVTRTDSLGGSGIQIPCVSRVRRSRPRKNFMALLEFNPSSMSVTAAQLKKALNAHNILLDNDESEKDRSPPAKFVGVTDKDIQISAIDAVGGHHKVLKLVDSTGASDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFSQIPSDKGINCRKNAASVPIPEPILLRVRELAAMQCQVPPSLNSSKGGGSGSSADSVHEKQSGYL >Manes.04G143700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34204146:34211640:-1 gene:Manes.04G143700.v8.1 transcript:Manes.04G143700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGLLIDEKNKMRIVTDTGQPMGCISNEVSSSGMLLQLESSEGLSQSENTEVDPEKLHARLEETVPSLAPSLIESHLHQSGSIDKCLNSPKKPTEGGALTSTGVATSKPDFSKLKEEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRITMGLTNSCDVSATTFIIKDNKLVKKGKEDCCDNGDGAFANDPPTGATINGSQQEAPITHGGQVEDQVVSDKRSRSVGDNSGSEDHHAEHRAVKRVRKPTKRYIEELSEVESKESSGKSNSKLKCSGLGQMSSKSHIGSVGNISSDGRTVVTRTDSLGGSGIQIPCVSRVRRSRPRKNFMALLEFNPSSMSVTAAQLKKALNAHNILLDNDESEKDRSPPAKFVGVTDKDIQISAIDAVGGHHKVLKLVDSTGASDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFSQIPSDKGINCRKNAASVPIPEPILLRVRELAAMQCQVPPSLNSSKGGGSGSSADSVHEKQSGYL >Manes.04G143700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34204146:34211640:-1 gene:Manes.04G143700.v8.1 transcript:Manes.04G143700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGLLIDEKNKMRIVTDTGQPMGCISNEVSSSGMLLQLESSEGLSQSENTEVDPEKLHARLEYIEEMLQRVKEEERLRLSCGSADYSSAYVIIDSQCSDQHDKLPAIDEKLQCEIPLQETVPSLAPSLIESHLHQSGSIDKCLNSPKKPTEGGALTSTGVATSKPDFSKLKEEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRITMGLTNSCDVSATTFIIKDNKLVKKGKEDCCDNGDGAFANDPPTGATINGSQQEAPITHGGQVEDQVVSDKRSRSVGDNSGSEDHHAEHRAVKRVRKPTKRYIEELSEVESKESSGKSNSKLKCSGLGQMSSKSHIGSVGNISSDGRTVVTRTDSLGGSGIQIPCVSRVRRSRPRKNFMALLEFNPSSMSVTAAQLKKALNAHNILLDNDESEKDRSPPAKFVGVTDKDIQISAIDAVGGHHKVLKLVDSTGASDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFSQIPSDKGLQFQFLNQFY >Manes.04G143700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34204146:34211640:-1 gene:Manes.04G143700.v8.1 transcript:Manes.04G143700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFGVEGNDERKYEEAGFRKIYSSLSSTKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKGLLIDEKNKMRIVTDTGQPMGCISNEVSSSGMLLQLESSEGLSQSENTEVDPEKLHARLEETVPSLAPSLIESHLHQSGSIDKCLNSPKKPTEGGALTSTGVATSKPDFSKLKEEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRITMGLTNSCDVSATTFIIKDNKLVKKGKEDCCDNGDGAFANDPPTGATINGSQQEAPITHGGQVEDQVVSDKRSRSVGDNSGSEDHHAEHRAVKRVRKPTKRYIEELSEVESKESSGKSNSKLKCSGLGQMSSKSHIGSVGNISSDGRTVVTRTDSLGGSGIQIPCVSRVRRSRPRKNFMALLEFNPSSMSVTAAQLKKALNAHNILLDNDESEKDRSPPAKFVGVTDKDIQISAIDAVGGHHKVLKLVDSTGASDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFSQIPSDKGLQFQFLNQFY >Manes.04G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34204146:34211640:-1 gene:Manes.04G143700.v8.1 transcript:Manes.04G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFGVEGNDERKYEEAGFRKIYSSLSSTKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKGLLIDEKNKMRIVTDTGQPMGCISNEVSSSGMLLQLESSEGLSQSENTEVDPEKLHARLEYIEEMLQRVKEEERLRLSCGSADYSSAYVIIDSQCSDQHDKLPAIDEKLQCEIPLQETVPSLAPSLIESHLHQSGSIDKCLNSPKKPTEGGALTSTGVATSKPDFSKLKEEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRITMGLTNSCDVSATTFIIKDNKLVKKGKEDCCDNGDGAFANDPPTGATINGSQQEAPITHGGQVEDQVVSDKRSRSVGDNSGSEDHHAEHRAVKRVRKPTKRYIEELSEVESKESSGKSNSKLKCSGLGQMSSKSHIGSVGNISSDGRTVVTRTDSLGGSGIQIPCVSRVRRSRPRKNFMALLEFNPSSMSVTAAQLKKALNAHNILLDNDESEKDRSPPAKFVGVTDKDIQISAIDAVGGHHKVLKLVDSTGASDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFSQIPSDKGINCRKNAASVPIPEPILLRVRELAAMQCQVPPSLNSSKGGGSGSSADSVHEKQSGYL >Manes.04G143700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34204146:34211640:-1 gene:Manes.04G143700.v8.1 transcript:Manes.04G143700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFGVEGNDERKYEEAGFRKIYSSLSSTKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKGLLIDEKNKMRIVTDTGQPMGCISNEVSSSGMLLQLESSEGLSQSENTEVDPEKLHARLEETVPSLAPSLIESHLHQSGSIDKCLNSPKKPTEGGALTSTGVATSKPDFSKLKEEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRITMGLTNSCDVSATTFIIKDNKLVKKGKEDCCDNGDGAFANDPPTGATINGSQQEAPITHGGQVEDQVVSDKRSRSVGDNSGSEDHHAEHRAVKRVRKPTKRYIEELSEVESKESSGKSNSKLKCSGLGQMSSKSHIGSVGNISSDGRTVVTRTDSLGGSGIQIPCVSRVRRSRPRKNFMALLEFNPSSMSVTAAQLKKALNAHNILLDNDESEKDRSPPAKFVGVTDKDIQISAIDAVGGHHKVLKLVDSTGASDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFSQIPSDKGINCRKNAASVPIPEPILLRVRELAAMQCQVPPSLNSSKGGGSGSSADSVHEKQSGYL >Manes.04G143700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34204146:34211640:-1 gene:Manes.04G143700.v8.1 transcript:Manes.04G143700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGLLIDEKNKMRIVTDTGQPMGCISNEVSSSGMLLQLESSEGLSQSENTEVDPEKLHARLEETVPSLAPSLIESHLHQSGSIDKCLNSPKKPTEGGALTSTGVATSKPDFSKLKEEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRITMGLTNSCDVSATTFIIKDNKLVKKGKEDCCDNGDGAFANDPPTGATINGSQQEAPITHGGQVEDQVVSDKRSRSVGDNSGSEDHHAEHRAVKRVRKPTKRYIEELSEVESKESSGKSNSKLKCSGLGQMSSKSHIGSVGNISSDGRTVVTRTDSLGGSGIQIPCVSRVRRSRPRKNFMALLEFNPSSMSVTAAQLKKALNAHNILLDNDESEKDRSPPAKFVGVTDKDIQISAIDAVGGHHKVLKLVDSTGASDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFSQIPSDKGLQFQFLNQFY >Manes.10G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13293932:13297288:1 gene:Manes.10G074700.v8.1 transcript:Manes.10G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPYYRPLERCFGRRPGGGGGGGDGLMWHTDLKQHASGDYSIAVVQANSNLEDQSQVFTSPSTTYIGVYDGHGGPEASRFVNKHLFPFMHKFATEQGGLSVDVIKRAFSATEEEFCHLVKRSLPIKPQIASVGSCCLVGAITDDDVLYVANLGDSRAVLGRRVYRDKKKPIVAERLSTDHNVAVEEVRREVEALHPDDSHIVVYTHGVWRIKGIIQVSRSIGDVYLKKPEFNRHLFPQFGGPIPLKRPFVTAEPSVLIRQLRPQDLFLIFASDGLWEQLSDQAVVEIVFKYPRAGIAKRLVRAALQEAAKKREMRYDDIKKIDRGIRRHFHDDITVIVIYLDHQKGPVNGGPNRNTIGCTTAPVDIFSLNAD >Manes.15G185701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26555399:26563285:-1 gene:Manes.15G185701.v8.1 transcript:Manes.15G185701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGSVPRVTTLFALSQNPINVPQFSIPTFSLPTSKSIFTSITNLSVSSPRFKSYNVSLSKTPYRLSCNCISAATTNTNYEFFDGSPEVELRLPLGSQDFQSSRDIFVDADGTTLIVRVQRSGSFTTLIETNNLFEKIKPAETIWFIDDDQLVINLKKQDPDLKWPDIVESWESLTAGAMQLLKGTSIYIVGSSTEINQKVARELAVGLGYTPLDTQELLETFFKQKIDSWVLAEGSDSLVEAESAILESLSSHVRAVVSTLGGKHGAATKADKWRLLYAGFTVFLSQTEAIDGDLAKEEARRQVQDGSLAYTNADVVVKIQGWDADHAKSVAQASLSALKQLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPSAEADATS >Manes.15G185701.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26555399:26563285:-1 gene:Manes.15G185701.v8.1 transcript:Manes.15G185701.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGSVPRVTTLFALSQNPINVPQFSIPTFSLPTSKSIFTSITNLSVSSPRFKSYNVSLSKTPYRLSCNCISAATTNTNYEFFDGSPEVELRLPLGSQDFQSSRDIFVDADGTTLIVRVQRSGSFTTLIETNNLFEKIKPAETIWFIDDDQLVINLKKQDPDLKWPDIVESWESLTAGAMQLLKGTSIYIVGSSTEINQKVARELAVGLGYTPLDTQELLETFFKQKIDSWVLAEGSDSLVEAESAILESLSSHVRAVVSTLGGKHGAATKADKWRLLYAGFTVFLSQTEAIDGDLAKEEARRQVQDGSLAYTNADVVVKIQGWDADHAKSVAQASLSALKQLILSDKKLPGIYIIPHN >Manes.15G185701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26555399:26563285:-1 gene:Manes.15G185701.v8.1 transcript:Manes.15G185701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGSVPRVTTLFALSQNPINVPQFSIPTFSLPTSKSIFTSITNLSVSSPRFKSYNVSLSKTPYRLSCNCISAATTNTNYEFFDGSPEVELRLPLGSQDFQSSRDIFVDADGTTLIVRVQRSGSFTTLIETNNLFEKIKPAETIWFIDDDQLVINLKKQDPDLKWPDIVESWESLTAGAMQLLKGTSIYIVGSSTEINQKVARELAVGLGYTPLDTQELLETFFKQKIDSWVLAEGSDSLVEAESAILESLIMFVLLFQL >Manes.02G154800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12038594:12041616:-1 gene:Manes.02G154800.v8.1 transcript:Manes.02G154800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTWNWKSLLPLLLFGFFFLYEDWVSTPSCTIVPSRTHTGREYNVVKEEKPKEDLKVMMVANLLLYGSEAGFFNQYFRDYYMSKFFKKSFYTLKPDMLLVLGDVSAKGFELTKIKWVSVLHNFHRILGPFLELPFHVVLGDRDVGECSKLDVRSVQWLARSFPGLDSAGCGAFEISNVSFVSLNAVALLCGNNKLRFSVERAIETESIDFQMEIENISKVVDDSGMFTELDNFRWRENAMSSGSGPVLFLHFPLHQTANDSCREGRNIEKFASFFQPGSNALHSRELTDSGPYDLLQSIPPNASEYILQALKPRIIFSAHTHEFCDHTHSDGTREVTVPAMTWKARDNPGFIIATFHSDRRLVHDSFSQLSESGISWYSVILDKVLAKIYFHVATQLKMN >Manes.02G154800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12038390:12041781:-1 gene:Manes.02G154800.v8.1 transcript:Manes.02G154800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTWNWKSLLPLLLFGFFFLYEDWVSTPSCTIVPSRTHTGREYNVVKEEKPKEDLKVMMVANLLLYGSEAGFFNQYFRDYYMSKFFKKSFYTLKPDMLLVLGDVSAKGFELTKIKWVSVLHNFHRILGPFLELPFHVVLGDRDVGECSKLDVRSVQWLARSFPGLDSAGCGAFEISNVSFVSLNAVALLCGNNKLRFSVERAIETESIDFQMEIENISKVVDDSGMFTELDNFRWRENAMSSGSGPVLFLHFPLHQTANDSCREGRNIEKFASFFQPGSNALHSRELTDSGPYDLLQSIPPNASEYILQALKPRIIFSAHTHEFCDHTHSDGTREVTVPAMTWKARDNPGFIIATFHSDRRLVSVSYCSLARESHVLIVYMCFLILLLTIWLVANTSA >Manes.18G008101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1092599:1093176:1 gene:Manes.18G008101.v8.1 transcript:Manes.18G008101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCHCPFLTNWDQEHHPNFYARFHPNNRRIEVRKKLLLKQ >Manes.15G111400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894234:8904218:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8894256:8904218:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWFPEAWTMTTSFLADSSTPVPLALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894256:8904223:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894256:8904218:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8901204:8904223:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894256:8904223:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894256:8904226:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894234:8904221:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894256:8904218:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894256:8904223:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.15G111400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8894256:8904223:-1 gene:Manes.15G111400.v8.1 transcript:Manes.15G111400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVSLWASLEFHTDKRLAEQLISSLRHCSTLKHIKQTHGFMVSRGLDHDNFLLSRFIDACSSVGLSLYAYFVFTHKPEPDIHLYNTMIRALSFSQTPPQAAIFLFNDIQSAGLRPDAYSYPFVLKAVIRLLATRTGRQIHCQTVGVGLDTDLHVVTALIQMYSSFGCSCISDARKLFDGVCLRIRDVPLWNAMVAGYAKLGHMENAQHLFDCMPKRNVISWTALISGYSQMNRPHQAIAIFRRMQLEKVEPDEIAMLAALSACAQLGALELGEWIHNYVDKHGLRRTVPLNNALIDMYAKSGNIKRALQVFESMKHKSITTWTTMIAGLALHGLGREALDLFSCMERARVKPNDVTFIAILSACSHVGLVQIGQSFFSNMRSRYGIEPKIEHYGCMIDLLGRAGYLQDAQLLLEQMPFEPNAAIWGSLLAAAYTHGDAVLGERALQHLIKLEPDNSGNYALLSNIYASCGRWKASRVVRTMMRDKGVKKMPGGSYIEVKNTVNEFIAGETSHSQFNEIYEVLCNINGQLRLAEHLQSECVELLEHGEG >Manes.06G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22140189:22144800:-1 gene:Manes.06G085600.v8.1 transcript:Manes.06G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGKFTHKTNEALASAHELAISAGHAQITPLHLAVALISDPGAIFSQAISNAGGESASQAAQRVFNNAMKKLPSQSPPPDEVPPSTSLIKVIRRAQASQKAQGDSHLAVDQLILGLLEDSQIGDLLKESGIAAAKVKSEVQKLRGKEGRKVESASGDSTFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPNNLADVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVNDTINILRGLKEKYEGHHGVRILDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKKIQLEVELHALEKEKDKVSKARLAEVVKELDDLRDKLQPLMMKYKKEKERIDEIRRLKQKKEEIQFAIQEAERRYDLARVADLRYGALEEVDAGIARLEGSTDENLMLTETVKPEHIAEVVSRWTGIPVTRLGQNEKERLIGLAERLHQRVVGQDQAVDAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENQLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHLSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLMGKCSMQVARDRVMQEVRRHFRPELLNRLDEIVVFDPLSHDQLIKVARLQMKDVAVRLAERGIALAVTDAALDYILAESYDPVYGARPIRRWLEKKVVTELSRMLVREEIDENSTVYIDAGSKGNDLVYTVEKNGGLVNAATGQKAEVLIQIPSVPRNDAAQAVKKMKIEEIIDDDEEMME >Manes.07G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:343566:351505:-1 gene:Manes.07G001200.v8.1 transcript:Manes.07G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFKPFTQKEPAGLEGRYMDVGNLKINVRNAIAEGGFSCVYLARDALHASKQYALKHMICIDEESLELALKEINVMKSLKGHPNIVTLYSHAILDMGRTKEALLVMEFCEKSLVSVLESRGAGYFEEKQVLSLFRDACNAVYAMHSQSPPIAHRDLKAENLLLGPDGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKYTTPAYRAPEMWDLLRRELISEKVDIWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSTPVVDLIRDMLQASPDNRPDITQVWFRVNELLPVNLQKSLPDGPPEMQSAGAHEGTSKPANRSHPMPHRSPPPPPSGGGGSGGQLGAFWSSQHAKDSLSVENESRPKFDEEPSYNTLRHDRSRMENNTLSKNANPAKDENAETHSTRRNVHGKLHISEDGTSKDFEINFFQKDLDRGTERPKASKNESTSLFQDEAFNTFVAEFDSNNLNSRVGNNKSVKEEELEAEIERLREQLKQSNIEKVEMTSKYEKLSAICRSQRQDIQELKQAMAARTPSPNKYHASPKIQSSTTPKKGESDWSSPSPETKPWQAFAEDAKSHQQPLSKGNSPQSVRTRNANQKMQAAQEPSEFESWGFGADSFTVVPSASTQNSRPINEGNSSQNYGGTAKKVVESNPVTQPAGWAGF >Manes.09G086532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25706602:25708022:-1 gene:Manes.09G086532.v8.1 transcript:Manes.09G086532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAEVILLDFWPSPFGMRIRIALAEKGIRYEYREEDLKNKSDLLLQMNPVHKKIPVLIHNGKPVAESLIAVQYIDEVWKDKAPLLPSDPYQRAQANFWADFVDKKLFELGRKIWATKGEEQEAAKQGFIESLKLLEGELGEKPFFGGENLGYVDVALVPFYSWFYAYEVCGNFSIEAECPKLIEWAKRCLAKESVFNSLPDHKKVYGFMLELKKRFGIE >Manes.02G049200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3992869:3994772:1 gene:Manes.02G049200.v8.1 transcript:Manes.02G049200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKAVEAVEDKNSSEGAMAWDEMVKEAAEVAALGGVRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGSNTRTNFWPCSPSSSTTPALPSKITNLLLQRLKARNNSCPPSASSSSLPNQQEQQQVEEYRAETTDFSDTQFTDFLNDPEDYTTCNDNIDSTSSRAIDYMTRSLESCLTEKEDSGGRELDFDSKWGDDISQSYSGDVNNLEAEGEDGGNDEGEETAIGALDFHFVDDVGSDCYYSPFEIAAEIEEPVEPETLGDEPSMLRAAMKRMKYERKFSASLYAFNGIPECLRLKLGSGNVKGRESSAQLTKLRDAKLN >Manes.06G063500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19830164:19834446:-1 gene:Manes.06G063500.v8.1 transcript:Manes.06G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASFAKLNAASSAWIGQQSFGQRPGSSSARLTTRRVSLPIRASSYKDELVQTAKSIASPGRGILAIDESNATCGKRLASIGLDNTEVNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDCLRNENIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFYYLAENNVVFEGILLKPSMVTPGAEHKAKAPPEIIAKYTLTMLKRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWQGHPENVEAAQKALLVRAKANSLAQLGKYSAEGESEEAKKGMFVKGYTY >Manes.15G077600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5824269:5831177:1 gene:Manes.15G077600.v8.1 transcript:Manes.15G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRQQMIRVRSSYCMFLVILICASSVGSLENRKRTEDVSLSQLVDQVTGKVDEGMAELLWISCRLDLLCLKEAKKDLNFCFPEETSDMASGSNSDGRLLTKRRLWKMIKVQNPQLKHTLLDCMRKNNIMLHVSGQSGSSFQRTRYLDSILPMPTVPKRNLLQSIADVPASAPVPADGSPFLSPVPSPDLSPSPASAPSPVPTSSPVSAPPPRRPFFPQPGDRSPPLLPPFAEDGSAASASGPSVEPGSHRRNRKAIIIAVAVTAAVTFVFAALFFFCCTKICQKHSRGRRNDEKPLLGVSLTDYSTGSPRNHKSFGLVNTNNEEKADHQSINKNSSHQRKDSSLDNVMSDSLHASSDEIALSAESFSKYSNTIAQVPSPGRVDNLLPLKPPPGRAVPLPPEPPASLRPPTRKADPPPPPPPPPPCASPLPPPKASGSMGPGPPGPPPPPPMAPGAKPRPSPPPPPPPKAGPAPPQPPPPLPMGSKVPRPPTGLKHPPNAATGEGDGADGEANTSKAKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIETLFGYAPADRNKTGHKKDSSSQDPTSHFIQILDTKKAQNLAILLRALNVTTEEVCDALHEGNELPVELLQTLLKMAPTADEELKLRLYTGELSELGNAERFLKALVDIPFAYKRLEALLFMCTLREDVGTTKESFETLEIACKELRNSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVRGKDGKTTLLHFVVQEIIRSEGAKVARAARESQTLSNISVKTDDLHGGITPDTEHDYGKLGLQVVSRLSSELENVKKAANFDSDSLTGTVAKLGFSLLGTQEFLTKDMNSLGEESKFHETLKSFVQNAEVDVMWLLEEEKRIMALVKSTGDYFHGKAGKDEGLRLFVIVRDFLIILDKVCKQVEEAQKKAERSQKKESSGAPSHSSSNQQLSPDFRPRLFPAIPEQDFETSSSDGETE >Manes.07G085600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:26981696:26982861:1 gene:Manes.07G085600.v8.1 transcript:Manes.07G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASLFKSKETKLPHPWQTWAHPCKHPKTHSLRFEDDIFKTVNSVYFDPADGVETPESWFTNSSETGSLSTDQSEHFDGQSLEVVVRGVKSERLFFEPGETSSILEEAKPSGFPFKESVVLAMESEDPYVDFRRSMEEMVESHQLKDWEFLEKLLVWYLKFNGKKNHGFIVGAFIDLLVGIEAAAYCDSNTNFSSAVSSFSSSALCSFENGFNEIEEEEDIV >Manes.12G142900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34869857:34872955:1 gene:Manes.12G142900.v8.1 transcript:Manes.12G142900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHYPLTHHLKHYQKFPSKKAFNLFKLVSPFIRVPNPHLSFLKPTTKSLQLANLLRSLPYNKPISHYNQICAQIIVSGLESDTFLTNLLINLFAKSDFFCHARELFDKMPEKNLISWSSMISMYSRRGFSEEAWLMFLDFTRCCNDSPNEYILASVIRATGQLDRGCGSVGKLMHGFIVKLGFDRDVFVGTSLVDFYAESDDLIEARMVFDGLLEKSAVTWTTIIRGYVRSGWSEVALQLFNQMREADIVPDRYVLSSVLSACSTLKFVQGGKQIHSYVLRVGIQMDVSLVNVLINFYAKSGKIQNARKLFDQMVDRDVISWTTMIAGYMQNSFDGEAVKLFVEMTRLGRKPDGFACTSILTSCGSLEDLMLGKQIHAYSIKVNLEFDLFLKNGLIDMYAKCDSLNDARRVFDFMTNHNVVSYNALIEGYSRSEQLSEVMILFHEMRHRTILPSLLTFVSLLSVSAALSALELSKQIHALTIKFGVCLQIFAGSALIDAYSKCLCLVDSRLVFDEMNEKDIVVWNAMFSGYTQQSEIDEALKLYSELQVSELKPSDFTFATFITLASNLASLQLGQQFHNHAIKMGLDCDPYITNSLIDMYAKCGSFEDARKAFGSAIWRDVVCWNSLISTYALYGEAKEALQMFKGMIEDGIKPNYVTFVSLLSACSHAGLVDDALRYFKLMPMFGGDPGIEHYACVVSLLCRAGLLYEAKEFIDKMPIKPTAAMWRSLLSACRIAGDIELAKYTAEKAISVDPNDSGSYTLLSYMFASEGKWEDVKQVRGRMDLVGLVKEPGHSWIQVNNEITQS >Manes.10G024180.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2368981:2371923:1 gene:Manes.10G024180.v8.1 transcript:Manes.10G024180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVWLASFLLLFHFHFQASVSFSLNSNSSAMLCQRDQSLALLQFKETFSIAKAVFVPPYYPKPYLKTKYWKEGTDCCWWDGITCDMETGNVIGLHLSNSLLYGPIYSNNPLFSLRHLRKLDLSLNDFNHSRIVPQFGQLFKLTHLNLSYSGFVGQIPSEITYLSGLLSLDLSWNYGLISETTIFTKLVQNLTQLRELDLSFVNMSEVAPSSLMNLSSSLTSLKLHSCEMQGKIPDISRLSKLVSLDLSWNYGGLTIEPMVFDKLVRNLTKIRDLAFGDVNMSMVEPSSLTNISSHLSSLRLGICELKGKFPDNIIQRTNLQLLDLFGNEDLNGSLPRHNWNNSLRSLSLSFTQFPIYLDYDFISNLKSLETLELSSCNFRVSNLKLLGKLTRLILSLKRLSYLYLFNNNFSGQIPSSLGSLKRLFYLDLSNNNFSGQIPSSLESLKRLPYLDLSNNNFSGQIPSSLGSLKRLFYLHLSNNNFSGGIPSSFKNLKQLYTLGLQNNQLTGRLGPFQDNPLRYIDLSNNMLHGSIPSSILKLADLNVLILSSNKLIGEVSSAVCKLNSLQILDLSNNSLNGFIPQCLGNFSNDLSVLHLGMNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMGQPNYSYDYSVSLTLKGVVIELVRIQTLLTTIDLSGNKFTGEIPQSIGKLKALKLLNLSHNQLTGNIQPSLRELSNLESLDLSSNSLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDTSSYEGNLGLCGFPLEKCNNGERQKPEISKEDDSNSKFGFGWQPVVAGYGCGVIFGIAMGYRVFKTRKPIWFVRIFEGRRRPKPKRFKN >Manes.03G119700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24582497:24585681:-1 gene:Manes.03G119700.v8.1 transcript:Manes.03G119700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFNTATNWWDSSRNRFDSGSSSSSSGLNSLGSFAWPSEVVDVKGRPSIESSSVSDSSVVFHDTQKLQQGHDSGADLHMMGLGLSSQAMDWNQALFRGDKGESSFRSMLQENLNSSTSYHQHETGIGSSQVQWRERMFSGASGDPSMNHEFKQINRGFSLDQPQFSPHGSSSDSTVTCQGLQSSGFQMDSTAIYGSTSTMFQGLLGSDNQQQQQQQCSFENRSMSYPYGANYGITSNELVPSWSNKVPQFLRNSPPKQSPHSQLHFSNNAPFWNASANSMNDVRPSFFPIQQQFPATNFEEKPKNISEVRDSSTTLKKGASEPATKRPRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTVLSTPYMKSGAPMHHQQSDKSNKDPEGQKQDLRSRGLCLVPISSTFPVTHETTVDFWTPTFGGTFR >Manes.03G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24582497:24585681:-1 gene:Manes.03G119700.v8.1 transcript:Manes.03G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFNTATNWWDSSRNRFDSGSSSSSSGLNSLGSFAWPSEVVDVKGRPSIESSSVSDSSVVFHDTQKLQQGHDSGADLHMMGLGLSSQAMDWNQALFRGDKGESSFRSMLQENLNSSTSYHQHETGIGSSQVQWRERMFSGASGDPSMNHEFKQINRGFSLDQPQFSPHGSSSDSTVTCQGLQSSGFQMDSTAIYGSTSTMFQGLLGSDNQQQQQQQCSFENRSMSYPYGANYGITSNELVPSWSNKVPQFLRNSPPKQSPHSQLHFSNNAPFWNASANSMNDVRPSFFPIQQQFPATNFEEKPKQNISEVRDSSTTLKKGASEPATKRPRNETPSPMPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTVLSTPYMKSGAPMHHQQSDKSNKDPEGQKQDLRSRGLCLVPISSTFPVTHETTVDFWTPTFGGTFR >Manes.08G092922.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:31553431:31554464:1 gene:Manes.08G092922.v8.1 transcript:Manes.08G092922.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAIPIYAMQTMNLPASICEEIDKLCRNFLWGSIDGSKRLALVPWKVINCSKEFRGLGFKDTKLTDKAFLMKLPWNNAKDKEALWVRVLGSRYKVNEEPVPIPVTKANSSCF >Manes.13G028300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3750522:3757588:1 gene:Manes.13G028300.v8.1 transcript:Manes.13G028300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNSGNPLLPVFVDENRIPYQSNASNPLQLFSNFPAGCTVDPVNYFGNEHVTPMLRPNKRVRETEEFSRQQKLQIALNYNVRQDDADFSAGIPNPNPVSTGLRLSYDDDERNSSVTSPSGSMTAAPSIILSLGDNFRTELDQQKEELDQYIKIQEQHLAKGLRDMKQRHVSSFLAAIEKGVSKKLQEKDLEIDNMNRKNKELIERIKQVAMEAQNWHYRAKYNESVVNVLKSNLQQAISQGPDQGKEGFGDSEVDDAASYIDPNNYLKVPGGHARPVARNYQGLKEHVTCRACKSKEVCMLLMPCRHLCLCKDCDMLINVCPVCQLIKTSSVQVYLS >Manes.13G028300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3753546:3757589:1 gene:Manes.13G028300.v8.1 transcript:Manes.13G028300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNSGNPLLPVFVDENRIPYQSNASNPLQLFSNFPAGCTVDPVNYFGNEHVTPMLRPNKRVRETEEFSRQQKLQIALNYNVRQDDADFSAGIPNPNPVSTGLRLSYDDDERNSSVTSPSGSMTAAPSIILSLGDNFRTELDQQKEELDQYIKIQEQHLAKGLRDMKQRHVSSFLAAIEKGVSKKLQEKDLEIDNMNRKNKELIERIKQVAMEAQNWHYRAKYNESVVNVLKSNLQQAISQGPDQGKEGFGDSEVDDAASYIDPNNYLKVPGGHARPVARNYQGLKEHVTCRACKSKEVCMLLMPCRHLCLCKDCDMLINVCPVCQLIKTSSVQVYLS >Manes.13G028300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3750522:3757588:1 gene:Manes.13G028300.v8.1 transcript:Manes.13G028300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNSGNPLLPVFVDENRIPYQSNASNPLQLFSNFPAGCTVDPVNYFGNEHVTPMLRPNKRVRETEEFSRQQKLQIALNYNVRQDDADFSAGIPNPNPVSTGLRLSYDDDERNSSVTSPSGSMTAAPSIILSLGDNFRTELDQQKEELDQYIKIQEQHLAKGLRDMKQRHVSSFLAAIEKGVSKKLQEKDLEIDNMNRKNKELIERIKQVAMEAQNWHYRAKYNESVVNVLKSNLQQAISQGPDQGKEGFGDSEVDDAASYIDPNNYLKVPGGHARPVARNYQGLKEHVTCRACKSKEVCMLLMPCRHLCLCKDCDMLINVCPVCQLIKTSSVQVYLS >Manes.13G028300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3748972:3757501:1 gene:Manes.13G028300.v8.1 transcript:Manes.13G028300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNSGNPLLPVFVDENRIPYQSNASNPLQLFSNFPAGCTVDPVNYFGNEHVTPMLRPNKRVRETEEFSRQQKLQIALNYNVRQDDADFSAGIPNPNPVSTGLRLSYDDDERNSSVTSPSGSMTAAPSIILSLGDNFRTELDQQKEELDQYIKIQEQHLAKGLRDMKQRHVSSFLAAIEKGVSKKLQEKDLEIDNMNRKNKELIERIKQVAMEAQNWHYRAKYNESVVNVLKSNLQQAISQGPDQGKEGFGDSEVDDAASYIDPNNYLKVPGGHARPVARNYQGLKEHVTCRACKSKEVCMLLMPCRHLCLCKDCDMLINVCPVCQLIKTSSVQVYLS >Manes.S045116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1360919:1361086:-1 gene:Manes.S045116.v8.1 transcript:Manes.S045116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.18G146701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:31127845:31128666:1 gene:Manes.18G146701.v8.1 transcript:Manes.18G146701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSSLLFTSLWLPTLAEQCGTQGGGAVCPGGLCCSQWGWCGSTIDYCCVGCQSQCNAAICNGGRKAGNLRGGGGDMDEISSEKAFDKMLRQKPFA >Manes.10G057300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7623386:7631851:-1 gene:Manes.10G057300.v8.1 transcript:Manes.10G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYPRDELARSVRDELARSMRSLSNRSMSRSLSSASKRSWASASIREVWSNQGDVFRKSQREDDEEELKWAAIERLPTYDRLRKGILKQVLDNGRIDFEEIDVTNLGIQDKKQIMESILQVVEEDNERFLLRLRERTDRVGIEIPKIEVRFENLSIEGDAYVGTRALPTLVNAAMNTVEGILELLRIFPSKKRVVKILHSVSGIVKPSRMTLLLGPPASGKTTLLQALAGKMDKDLRLSGRVTYCGHELHEFVPQRTCAYISQHDLHHGEMTVRETLDFSGRCLGVGTRYEMLAELSRREKEAGIKPDPEIDAFMKATAITGQEGSLVTDYILKILGLDICADIMVGDGMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVRFMRQMVHIMDVTMVISLLQPAPETYELFDDIILLSEGQIVYQGPRENVLEFFESVGFKCPERKGVADFLQEVTSKKDQEQYWCRKEQPYRYISVPEFVESFGSFHIGQKLSEDLRVPYDKSSTHPAALEKEKYGIANMELFKACFAREWLLMKRNSFVYIFKTTQITIMSLIAMTVFLRTEMTAGGLQDGGKYYGALFFSLINVMFNGMAEMAMTMFRIPVFFKQRDFLFYPAWAFALPIWVLRIPISLMESGIWIILTYYTIGFAPAASRFFKQFLAFFSVHQMALSLFRFIAAIGRIEVVANTLGTFTLLVVFVLGGFIVAKDDIQPWMIWGYYVSPMMYGQNAIVINEFLDERWSAPINHTADSQPTVGKALLKMRGMFMEEYWYWISIGALVGFSLLFNVLFIWALTYLDPLGDTKSILLEDDESKKSSSFGQQTKSTEMVSLSSAPLLHCSDKAASNTPDRPAVTSTGHAPTKRGMVLPFQPLSLAFNHVNYYVDMPAEMKSQGIEEDRLQLLRDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLAKEIKAETRKMFVEEVMDLVELNPLRNSIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSDKLIEYFEAVPGVPKIKDGSNPATWMLEISSNAVETQLGVDFAEIYANSELYQRNQELIKELSMPAPGSKDLYFPTQYSQSFFTQCKACFLKQHWSYWKNPRYNAIRLFMTIAVGIIFGLIFWNKGDKTKKQQDLLNLLGAMYSAVMFLGATNTSSVMSIVAVERTVFYREKAAGMYSELPYAFAQVAIEAIYVAFQTLIYSLLLYSMIGFPWKAENFLWFYFFIYMCFMYFTLYGMMLLALTPGHQIAAIVMSFFLSFWNLFSGFLIPRKQIPIWWRWYYWASPTAWTIYGLITSQLGKISDEVEVLGDTSMQVKDFLKNELGFEYDFLGAVAVAHIGFVVLFLFVFAYGIKFLNFQRR >Manes.15G002600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:245929:246456:-1 gene:Manes.15G002600.v8.1 transcript:Manes.15G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPKLQLLPKLHSGREMSAVAGDMKARAEVYYGDESCREKFMLLLSEIGLPNGLLTIGEIEECGYVKDTGFVWLRHKKRDYRKFENVEICCDAEITAYFERNKIKKLTGVKAKEFLIWITLSEIYVNHNNRAASITFKTPAGLSKSFPLSIFKFEAIMAQEDVKEVEGGEINCK >Manes.07G009261.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1078108:1082114:-1 gene:Manes.07G009261.v8.1 transcript:Manes.07G009261.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGKVVCVTGGSGYIASWLIKFLLQRAYTVKATVRNPNDLKKTAHLLALEGAKERLHLLKADLLEEGSFDAAVDGCEAVFHTASPVSLQANADPQAELVDPAVKGTLNVLRSCAKVPSIKRVVITSSFASLPYNGKTLAPDVVVDETWFSDPAVCAERKLWYQLGKTLAEQAAWEFAKNNKMDFVTIHPVFVLGPLLQPTINSSIEILFNLINGGAQEYPDAYYRSIDVRDVAYAHIQALEIPSASGRYCLIESDVHFSEVLKIVQQHYPTLHLPKKYGSGLNYLTKYGVSKEKAKTLGIDFIPLEVSLKDTIESLKEKGFLSI >Manes.01G074300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27706163:27709132:-1 gene:Manes.01G074300.v8.1 transcript:Manes.01G074300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFSIQISSNLVNRLADDGEKLKRKPKKTKAKVPRESALPQTKVNEKQHYDDPETPKGIPSPGWPVQAPLFLPATPSAHSVNTELDAIRSVIQESERVLEKLQKQEDSIVQEVTERAKDLRDKEFKLPYQKPMPCLADYEACRACYKEHGNDILKCAPLTRSYYDCVRRVKQQSSLAGQ >Manes.01G074300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27706486:27709074:-1 gene:Manes.01G074300.v8.1 transcript:Manes.01G074300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFSIQISSNLVNRLADDGEKLKRKPKKTKAKVPRESALPQTKVNEKQHYDDPETPKGIPSPGWPVQAPLFLPATPSAHSVNTELDAIRSVIQESERVLEKLQKQEDSIVQEVTERAKDLRDKEFKLPYQKPMPCLADYEACRACYKEHGNDILKCAPLTRSYYDCVRRVKQQSSLAGQ >Manes.01G146300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33675483:33677708:1 gene:Manes.01G146300.v8.1 transcript:Manes.01G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEKQLLSQPIHLADRVIKAADEASTFKQECAELKFKTEKLASLLRRAARTSSVLYERPARRIIYETEQTLDKALALVQKCLANDVMKRVFTIIPTTAFRKMLSHLENSIGDVSWLLRVSASADDLDDEYLGLPPIAANEPILCLIWEQIAILYTGSVDDRSDAAASLVSLARDNDRYGKLIIEEGGIPPLLKLVKEGNMEGQENAARAIGLLGRDQESVEYIIHAGVCTVFAKMLKQGPMKVQAVVAWAVSELAANYPKCQDLFDHHNIIRLLVGHLVFETAEDEHVQITIPINKATSVLAVVMARNHLNASKAMDKYDNDDQQKRNQPSDTSSKDREFEDPETKATMKAMAAKALSQLAKGNSSICRSITQSRALMCFVVLLEKGTEDVKYHSAMALMEITAVAEQDTDLRRSTFKHNSPACKAIIDQLLKIIERADHNLLIPCIETIGNLARTFRATESRMIAPLVKLLDEGEDEVYREASIALTKFACTENYLHLDHSKAIIQAGGEKHLIQLVYFGELIVQLSALYLLCYIAMHVPDSEELAQAEVLTVLEWASKQSFVAQDETLEALLEDAKSKLELYQSRGSRGFH >Manes.11G152105.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31639811:31657307:1 gene:Manes.11G152105.v8.1 transcript:Manes.11G152105.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKCRANVRLRSLSATTPIAFKVQTSSPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPSDRFLFSLRPFPPNSSDLTNPDSLSSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSSGDVISVKNIIKRQRSILSELSPREAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWSELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPQSQIVMAVQLLMFLGTRDM >Manes.15G093600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7291991:7295146:-1 gene:Manes.15G093600.v8.1 transcript:Manes.15G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSKDRESFVYVTKLAEQAERYDEMVEAMKKVAKLDVELTVEERNLLSVGYKNVVGARRASWRILSSIEQKEESRGNEVNVKRIKEYRHKVEAELSAICRDIMILIDEHLIPSSIAGESSVFYYKMKGDYYRYLAEFKTGNEKKDAADQSLKAYQTASTTAESDLPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDQKMEISGKVGGEDAE >Manes.01G008400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2856588:2857413:1 gene:Manes.01G008400.v8.1 transcript:Manes.01G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQHMEPPPLCANGCGLYGSVQNANLCSKCYKEFQKQQEQAIEASTSSIARPSLNDETIAGSTDQTASNRRTNRCNSCNKRLGLMGFNCRCGNAFCRSHRHPEDHACTVDFKGLGHELLIKQNPLCKADKLEDRI >Manes.11G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1262574:1262922:-1 gene:Manes.11G013300.v8.1 transcript:Manes.11G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQLRNFILLSRRSYKVGAEKLSMQPAVSVMRKPKDLSENKVKEETFWMRDPKTGNWIPETHFGQIDVADLRDKFLPKKHKF >Manes.15G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6472522:6474028:1 gene:Manes.15G083900.v8.1 transcript:Manes.15G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILFISLLAFILVTIQANAATENFWCSNPGTRCYGKYVRCPDECPSTSSQNPKAKVCYVNCNNPHCKPECKRRKPDCNTPGSACYDPRFIGGDGVVFYFHGKSNEHFNLVSDSILHINGRFIGHRPAGRSRDFTWIQALGILFNSYTFSLEATKSATWDGEVDHLKFTYNGQDLVIPEGSLSIWYSPRKDIKVERISSKNSVIVTLKDTAEILINVVPVTKEDDRVHKYQVPANDCFVHLEVQFRFFNLSPKVDGVLGRTYRPDFENSAKPGVAMPVLGDEEKYKTPSLLSAKCESCIFSQGGSEQKTSSIIDFGTLDCTRGASAGYGIICRK >Manes.01G266300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42089984:42094101:1 gene:Manes.01G266300.v8.1 transcript:Manes.01G266300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGAAMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPSLYDSGLDSVTALAVLIPFHCLLVMLLWSYFSVVLTDPGSVPPTWRPANDEERGESDPLNASDFSGLPTNPSNQRIRYCRKCTQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLSLLPHFIAFFSDGEIPGTPGTLATTFLAFVLNLAFALSVLGFMIMHISLVSANTTTIEAYEKKTTPKWRYDLGRRKNFEQVFGTDKRYWFIPAYSEEDLRRMPALQGLEYPSKPDLDSQEF >Manes.01G266300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42089984:42094101:1 gene:Manes.01G266300.v8.1 transcript:Manes.01G266300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGAAMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPSLYDSGLDSVTALAVLIPFHCLLVMLLWSYFSVVLTDPGSVPPTWRPANDEERGESDPLNASDFSGLPTNPSNQRIRYCRKCTQLKPPRCHHCSVCGRCVLKMDHHCFYTFLETSLVTLSLLPHFIAFFSDGEIPGTPGTLATTFLAFVLNLAFALSVLGFMIMHISLVSANTTTIEAYEKKTTPKWRYDLGRRKNFEQVFGTDKRYWFIPAYSEEDLRRMPALQGLEYPSKPDLDSQEF >Manes.17G087900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29562315:29564307:1 gene:Manes.17G087900.v8.1 transcript:Manes.17G087900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEPTMLPLIFAAFIICFCSFSSLAELQSFQQPLKSDGSLSFLVIGDWGRRGLYNQSEVALQMGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLTNLLKDVDAALSSSNAKWKLVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSRHSNIQFITSGGGSKAWRGDIRKWDPEELKLYYDGQGFMSVQMTDSTATFAFYDAFGSVLHQWSISKESHAAA >Manes.01G242000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40309684:40310022:-1 gene:Manes.01G242000.v8.1 transcript:Manes.01G242000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEKNLRNFHLHLPHLHHGNNKKQARDVPKGCLAIKVGQGEEQQRFVVPVMYFNHPLFLQLLKEAEEEYGFDQKGTITIPCHVEEFRYVQGMIDKERSLHHHHHVGCFRV >Manes.18G080000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7325880:7333565:1 gene:Manes.18G080000.v8.1 transcript:Manes.18G080000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSFPSDGRGKWKRRKREPQITPKQHPKYQHRDPHDDDDDDAIEEDNDNRHHHLDGDSEDHLNPDLTQSAVLPDSSHIETEVFADGGVRICDFPSVTKLVVNRPHESVLAVVALESANLIGVSSGNGRSQVPNLENVSYGQLQAVSAVPAEGLGSDQERNDGGNSAYVVTPPPVMEGKGVVKRYGTRVHVVPMHSDWFSPATVNQLERQVVPHFFSGKSPDYTPEKYMECRNYIVAKYMGNPEKRICVSDCQGLVFGIENEDLAQIVRFLDHWGIINYCAAPSSHETWNGESYLREDQNGEVHVPSAALKSIDSLIKFDKPQCRIKAADIYSPLSCHDDDFSDLDNRIRERISENCCTYCCQPLPGMYYQSQKEIDILLCSDCFHDGRFVINHSSLDFIKMDPTKDYSDFNGESWSDQETLLLLEAMEIYNENWNEIAEHVGSKSKSQCILHFVRLPMEDDLLENIEVRSMSKLPHPSSRDDHGRLHSRSNGSCHQDADAESRIPFVNSGNAVMSLVAFLASAVGPRIAAACARASLAALFEDNRVSSERLHGREGNFYGEVANSIQQKEKVEAAVEAGLAGAATKAKLFADHDEREIQRLSANIINHQLKRLELKLKHFAEVETFLMRECEQVEKTRLRFVAERARFLAMRNGPPMSASQMNIAGVSPSMVNNNVGSNRQQVMPTASSQPSVSGYGNNQQAHPRMPFMQLGQPQPMLPLGPRQPLTAMQPSSSIPSNAMFNASGNSQSSLNQMLRSVSGPSSGLC >Manes.10G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1317766:1319936:1 gene:Manes.10G012600.v8.1 transcript:Manes.10G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLRIDVKQDDDNGSEKADGFNQKVSSLERIFLIANFILELPSAAFDQLSSVHKPQYALLSMLISFTVLIISIVDLLLRGRKERLTWMRRGLIPWFYYPYPNSKPFGTFPDIIGLACAFLQCIFAAISYAFLYQHADSPIRVSVWPIVFAFGLLYSRISGSTTQKMPNPHARKLNRAEEFTLAQLAAATNDFSLQNKIGVSPRFCTVYLGKLPGGSEVAVKRLDTGHQRKKSEEEDSVFENEITFLSRLHHKHLVRLVGYCEEEKEMILVYEYLENGSLHDRLHQRNTAKINSWKMRLKIALDAARGIEYLHNYAVPPIIHGNIKSSNILLDANWTARVCDFGMSALNPESESNYKPKKAEGTVGYIDPEFYGTNVLTAKGDVYSLGVVLLELLTGKTDMFKDEDNGGAITNIADFAVPKILANELAKVLDQRISRPEFDKEAEAVELVAYTALHCLNLQGNNRPNMTNVVANLEQASSLCDDHTKDHRHRAGTEQLHGGSEEIEPNSNVKEKYLSEISLRQQ >Manes.15G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1657209:1662169:-1 gene:Manes.15G020100.v8.1 transcript:Manes.15G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVAIASISNCSSQVSPLHPVQENGSRNKRKFRADPPLGDPSKVMPSPQNDCSGYEFSAEKFEALPVHGPSSSCQCGINQDNSDGLKVGTSRVGLLEVGTSRSKEELESEESHDADWSDLTESQLEELVLGNLDAIFKSAIKKIVAFGYTEEVATKAVLRSGLCYGCKDTLSNIVDNTLTFLRNGQDIDPSRGQCFEDLQQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSLACAVDGESLTGFAADGASAPSIQPQMKKEGKYSELNLPNPCKFEPSVPCSPSSESEAPNNMTGVPNTTKTSNSGVLSELVSDKNQLNSTCDPADKSFNIAGTSQPPVFEEKFTVSRKVHSNSSKREYILRQKSLHLDKGHRTYGPKGSRTGKLSNLILDKKAKSVSDSALKINDASLRLSKVMGVDVPQDKGGQNLSNNPGCSPPAASNLGTSIVTSLSETDVPSTLSMVNIPSALPTLNTPPALAATDIELSLSLPSKGNCAPISANCNAEASNCSFAGIPYDKSVAPWIPRNKKDEMIMKLVPRVRELQNQLREWTEWANQKVMQAARRLSKDKAELKSLRQEKEEVEWLKKEKQTLEENTMKKLAEMENALGKAGGQVERANSAVRRLEVENAMLRQEMEAAKLRAAESAANCQEVSKREKKTQLKFQSWEKQKTLLQEELAAEKCKVMQLLQDLEQARQLKEQLEARWQQEEKAKEELVLQASSIRKEREQIEASTKSEEDTIKLKAETNLQKYKDNIEKLEREISQTDSSKIAALRLGINESHGSRVTDVKYSPAKEVRRPYYSVMDGDVEKYLNTGGVKRERECVMCLSEEMCVVFLPCAHQVVCSTCNELHQKQGMKDCPSCRTPIRRRILVSYACS >Manes.15G020100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1655012:1662169:-1 gene:Manes.15G020100.v8.1 transcript:Manes.15G020100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVAIASISNCSSQVSPLHPVQENGSRNKRKFRADPPLGDPSKVMPSPQNDCSGYEFSAEKFEALPVHGPSSSCQCGINQDNSDGLKVGTSRVGLLEVGTSRSKEELESEESHDADWSDLTESQLEELVLGNLDAIFKSAIKKIVAFGYTEEVATKAVLRSGLCYGCKDTLSNIVDNTLTFLRNGQDIDPSRGQCFEDLQQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSLACAVDGESLTGFAADGASAPSIQPQMKKEGKYSELNLPNPCKFEPSVPCSPSSESEAPNNMTGVPNTTKTSNSGVLSELVSDKNQLNSTCDPADKSFNIAGTSQPPVFEEKFTVSRKVHSNSSKREYILRQKSLHLDKGHRTYGPKGSRTGKLSNLILDKKAKSVSDSALKINDASLRLSKVMGVDVPQDKGGQNLSNNPGCSPPAASNLGTSIVTSLSETDVPSTLSMVNIPSALPTLNTPPALAATDIELSLSLPSKGNCAPISANCNAEASNCSFAGIPYDKSVAPWIPRNKKDEMIMKLVPRVRELQNQLREWTEWANQKVMQAARRLSKDKAELKSLRQEKEEVEWLKKEKQTLEENTMKKLAEMENALGKAGGQVERANSAVRRLEVENAMLRQEMEAAKLRAAESAANCQEVSKREKKTQLKFQSWEKQKTLLQEELAAEKCKVMQLLQDLEQARQLKEQLEARWQQEEKAKEELVLQASSIRKEREQIEASTKSEEDTIKLKAETNLQKYKDNIEKLEREISQTDSSKIAALRLGINESHGSRVTDVKYSPAKEVRRPYYSVMDGDVEKYLNTGGVKRERECVMCLSEEMCVVFLPCAHQVVCSTCNELHQKQGMKDCPSCRTPIRRRILVMANADTPRDPVAEKIMLHKE >Manes.17G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19739771:19743362:1 gene:Manes.17G027500.v8.1 transcript:Manes.17G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGLISKPKPGKRELDSYTIRGTNKVVKVGDCVLMRPSDTGKPPYVAKIEGIETDSRNNVKVRVRWYYRPEEALGGRRQFHGAKELFLSDHYDVQSAHTIEGKCVVHSFKNYTKLENVGAEDYYCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVECEGCKDWYHPACVGMTIEDAKKLDHFVCSECSSDDTKRPHNGFSSSPEADGKVETKRRKR >Manes.18G065933.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5925825:5931303:-1 gene:Manes.18G065933.v8.1 transcript:Manes.18G065933.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPHRHQHEDPPKSPTASPPSCTASTCVKCGGPTTFPAPPQPSWPEMSPPPTYRPIRSPAINLPPNNSQAIILAPVPQSQKVPTVSPPYNFQTPSKKIQSPDDIRRFHESDSGKNFLGFVVALSESVRGHKISDPCHESPTVKTILSILQTLTQWIEEIPPVQQAGRYGNVSYRTWHIRLEENSESLMLQFLPDDLKSATIEIVPYLTDSFGNSSRIDYGTGHETNFAAWLYCLARIGVIKEEDYQAVVARVFVSYLELMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNEDILENFSKEYMYLSCIAFIKKVKKGLFAEHSPLLDDISGVPNWNKVNSGLLKMYKAEVLEKVPIMQHFLFGWLIKW >Manes.18G065933.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5925825:5931331:-1 gene:Manes.18G065933.v8.1 transcript:Manes.18G065933.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPHRHQHEDPPKSPTASPPSCTASTCVKCGGPTTFPAPPQPSWPEMSPPPTYRPIRSPAINLPPNNSQAIILAPVPQSQKVPTVSPPYNFQTPSKKIQSPDDIRRFHESDSGKNFLGFVVALSESVRGHKISDPCHESPTVKTILSILQTLTQWIEEIPPVQQAGRYGNVSYRTWHIRLEENSESLMLQFLPDDLKSATIEIVPYLTDSFGNSSRIDYGTGHETNFAAWLYCLARIGVIKEEDYQAVVARVFVSYLELMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNEDILENFSKEYMYLSCIAFIKKVKKGLFAEHSPLLDDISGVPNWNKVNSGLLKMYKAEVLEKVPIMQHFLFGWLIKWG >Manes.18G065933.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5925825:5931303:-1 gene:Manes.18G065933.v8.1 transcript:Manes.18G065933.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPHRHQHEDPPKSPTASPPSCTASTCVKCGGPTTFPAPPQPSWPEMSPPPTYRPIRSPAINLPPNNSQAIILAPVPQSQKVPTVSPPYNFQTPSKKIQSPDDIRRFHESDSGKNFLGFVVALSESVRGHKISDPCHESPTVKTILSILQTLTQWIEEIPPVQQAGRYGNVSYRTWHIRLEENSESLMLQFLPDDLKSATIEIVPYLTDSFGNSSRIDYGTGHETNFAAWLYCLARIGVIKEEDYQAVVARVFVSYLELMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNEDILENFSKEYMYLSCIAFIKKVKKGLFAEHSPLLDDISGVPNWNKVNSGLLKMYKAEVLEKVPIMQHFLFGWLIKWG >Manes.06G123800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25395080:25396310:-1 gene:Manes.06G123800.v8.1 transcript:Manes.06G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSALNSAEMQQFLNQEKEKAMVNEMVAKLTSVCWDKCITSTPGSKFSSSESACLSHCAQRYMDMSLIIMKRFQSMH >Manes.07G087900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27423347:27427386:1 gene:Manes.07G087900.v8.1 transcript:Manes.07G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLVWASKAASYLRISVFHRGFSSVIKDLKYADSHEWVKVDGNSATVGITDHAQDHLGDVVYVELPEVGANVQQGGCFGAVESVKATSDINSPVSGKVVEVNEELNSSPGLVNSSPYERGWIIKVDISDVDELKNLKDSDEYVKFCEEEDGKH >Manes.01G160800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34606063:34610280:-1 gene:Manes.01G160800.v8.1 transcript:Manes.01G160800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSKKKPTHTGVFMGNEFQKDGYCSDLSVLLELSASNDLIGFKREIQGGREVDEPGLWYGGRIGSKKMGFEERTPLMIAALFGSKDVLSYILEMGHVDVNRCCGSDGATALHCAVAGGSASSVEVVKLLLAASADPNAVDANGNCASDLLVPVACFSFNLRRQALELVLKGGCTSDESCVLADQNPDEIDVQQQQEVSTPRLFKDGTDKKEYPVDLTLPDINYGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETNCSRRVCFFAHKPEELRPLYASTGSAVPSPRSLSANGSALDMGSISPLALGSPSVMIPPTSTPPVTPTGSLSPLGSWPSQSNIVPLNLQLPGSRLKSALSARDMDMDAELFALDSHHCRQQLMDEISGLPSPSSWNNGLSTASAFAIFGDRTTELTRLGGVKPTNLEGIFGSLDPTILPQLQGLPGDATASQLQSPTEIRMRQNINQLLRSSYPTNFPSSPVRTPSFRIDSSGAAAAAVLNSRAAFANRSQSFIERSAVNRHTGFSSPTSSATILPSNLSDWGSPDGKLEWGMQGQGLDKLRKSASFGIRSNGSSLAVAAVSEPATVDKLDVSWVQSLVKDTPPQHSGHFSFEEQQQQCLINTGGSEMLPAWVEQLYIEQEQMVA >Manes.01G160800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34605990:34610280:-1 gene:Manes.01G160800.v8.1 transcript:Manes.01G160800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSKKKPTHTGVFMGNEFQKDGYCSDLSVLLELSASNDLIGFKREIQGGREVDEPGLWYGGRIGSKKMGFEERTPLMIAALFGSKDVLSYILEMGHVDVNRCCGSDGATALHCAVAGGSASSVEVVKLLLAASADPNAVDANGNCASDLLVPVACFSFNLRRQALELVLKGGCTSDESCVLADQNPDEIDVQQQQEVSTPRLFKDGTDKKEYPVDLTLPDINYGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETNCSRRVCFFAHKPEELRPLYASTGSAVPSPRSLSANGSALDMGSISPLALGSPSVMIPPTSTPPVTPTGSLSPLGSWPSQSNIVPLNLQLPGSRLKSALSARDMDMDAELFALDSHHCRQQLMDEISGLPSPSSWNNGLSTASAFAIFGDRTTELTRLGGVKPTNLEGIFGSLDPTILPQLQGLPGDATASQLQSPTEIRMRQNINQLLRSSYPTNFPSSPVRTPSFRIDSSGAAAAAVLNSRAAFANRSQSFIERSAVNRHTGFSSPTSSATILPSNLSDWGSPDGKLEWGMQGQGLDKLRKSASFGIRSNGSSLAVAAVSEPATVDKLDVSWVQSLVKDTPPQHSGHFSFEEQQQQCLINTGGSEMLPAWVEQLYIEQEQMVA >Manes.07G111600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31706366:31707966:-1 gene:Manes.07G111600.v8.1 transcript:Manes.07G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLPTMAMSSFLLNTHPFEKVWRPLIYKKHKFKISERFKYPTNGNPNEGHQASRSSIRFSAVDIQPLPMCATISDMPLSTAEDVRCMNRNIAKASEVTSMLIQNLTMADLDPTIAKLAIGILGPFLLAFGFLFILRIVMSWYPKLPVGKFPYVIAYAATEPVLSRTRKLIPPVGGVDVTLMVWFGLISFLNEIPSCPQGLLVLLSQQVN >Manes.01G261900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41738276:41740031:-1 gene:Manes.01G261900.v8.1 transcript:Manes.01G261900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEATRAELGLLVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLLKFVNDLHALISPVPQGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERTELIGRISLYCWMGSSVCTTLAEIGELGRLSASMRKLEKELKNSDKYQNEQYRAKLQNSNERSLALIKAAMDIVVAVGLLQLAPKKVTPRVTGAFGFTTSLISCYQLLPSRPKAKTT >Manes.02G016800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1587060:1596984:1 gene:Manes.02G016800.v8.1 transcript:Manes.02G016800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACIWSDTPPGHRVTATATLNQPPTLYTGGSDGSIIWWNLSPSNSNPEIKPVAMLCGHAAPIADLNICHPVVVSSDDKESDYSSNGAANSISGAGGALISACVDGVLCVWSRSSGHCRRRRKLPPWVGSPSIVRTLPTSSRYVCIGCCLNDSASDHHATYSIDGSEGSADKESQQRKPPKCTVVIVDTYSLTIVQTVFHGNLSIGPLRSMDVVLLGEEGEQHSVFMADSYARVQLVPILKDSTLDGEGVNDPHKSSHFEVWGIGVSEGGQVVSIATRGNLIALVLQNCCIFRLLTSDTKIGEISFLGNLLSGEGNSTQSCILGGMFLESCGAVKIQKAQEAYENFCERFAVWNHAGSAIVYAVSYFDDAFKCEPLSAIPAACYPLHVRLSVSFIQSNDHLLRLESVCSDVEEPLWWKPHVTIWSLCEKHDECGELSQCKILGEYDLFAEWISSSSLLHDVYDHGGRKKRISSYQSSVSCSDNESYKHADSGSCSFVHKGQTVTSSMVICENLFVPDAIVYGFSSGEIEVVRFDMILGPDSHGKSPHYDVDSFRQYFTGHSGAVLCLAAHQMLGTAEGWSFSQVLVSGSMDCTVRIWDLDSGNPITVMHQHVAPVRQIIFPPAWTEHPWSDCFLSVGEDSCVSLASLETLRVERMFPSHPSYPEKVVWDGARGYIACLCQSHSGTSDVDVLYIWDVKTGALERVLRGTASHSMLDHFCKGISANSISGSILNGNTSVSSLLLSVSEDGSFSQSHKNYLEKKVTSSNTLSTVINTLDPTTSQAQVKKGNSARTSSFLKNSKYPIRCTCPFPGIATLTFDLAALIFSCQKHESVANGSDKLENTNMKEQRTNIRSPCPVSTDDTSDKNGISIDAVEGQDWIRSLEELLLRFSLSILHLWNVDSELDKLLMMEMKLKRPENFILASGLQGDKGSLTLTFPGLSAVFELWKSSSEFCAMRSLTMVSIAQCMISLSPSSSAASRALAAFYTRNFAEQFPDIKPPLLQLLVSFWQDESEHVRLAARTLFHCAASRAIPLPLCGQRVSDHAKLVRSLSEIGQNEGGASKAGGISENGLSDMFPQSQAGAQTEEAFVKSSETQGITEAEESKILAWLESFEVPDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPSLAMLVVHPLIKLVMAVNEKYSSTAAELLAEGMEDTWKACIGSEIPRLITDIFFQIECVSSLSANSAGHQPAVPSSIRETLVEILLPSLAMVDILAFLTVIESQIWSTASDSPVHLVSLTTLIRVVRGSPRYLAQYLDKVVNFILHTMDPGNLVLRKTCLQSSMTALKEVVRVFPMVALNDTSTRLAVGDAIGEVNNSSISVYDMLSVTKIKVLDASGPPGLPTLLSGASETAVTTVISALSFSPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRTLVPVQCTKLIFVPPWEGFSPNSSRSSVMESIMGHDRQSKLQDNARSASYADSLKLLIQNLDLSYRLEWVGQRKVLLTRHGMELGTFPL >Manes.02G016800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1587402:1596984:1 gene:Manes.02G016800.v8.1 transcript:Manes.02G016800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLLGEEGEQHSVFMADSYARVQLVPILKDSTLDGEGVNDPHKSSHFEVWGIGVSEGGQVVSIATRGNLIALVLQNCCIFRLLTSDTKIGEISFLGNLLSGEGNSTQSCILGGMFLESCGAVKIQKAQEAYENFCERFAVWNHAGSAIVYAVSYFDDAFKCEPLSAIPAACYPLHVRLSVSFIQSNDHLLRLESVCSDVEEPLWWKPHVTIWSLCEKHDECGELSQCKILGEYDLFAEWISSSSLLHDVYDHGGRKKRISSYQSSVSCSDNESYKHADSGSCSFVHKGQTVTSSMVICENLFVPDAIVYGFSSGEIEVVRFDMILGPDSHGKSPHYDVDSFRQYFTGHSGAVLCLAAHQMLGTAEGWSFSQVLVSGSMDCTVRIWDLDSGNPITVMHQHVAPVRQIIFPPAWTEHPWSDCFLSVGEDSCVSLASLETLRVERMFPSHPSYPEKVVWDGARGYIACLCQSHSGTSDVDVLYIWDVKTGALERVLRGTASHSMLDHFCKGISANSISGSILNGNTSVSSLLLSVSEDGSFSQSHKNYLEKKVTSSNTLSTVINTLDPTTSQAQVKKGNSARTSSFLKNSKYPIRCTCPFPGIATLTFDLAALIFSCQKHESVANGSDKLENTNMKEQRTNIRSPCPVSTDDTSDKNGISIDAVEGQDWIRSLEELLLRFSLSILHLWNVDSELDKLLMMEMKLKRPENFILASGLQGDKGSLTLTFPGLSAVFELWKSSSEFCAMRSLTMVSIAQCMISLSPSSSAASRALAAFYTRNFAEQFPDIKPPLLQLLVSFWQDESEHVRLAARTLFHCAASRAIPLPLCGQRVSDHAKLVRSLSEIGQNEGGASKAGGISENGLSDMFPQSQAGAQTEEAFVKSSETQGITEAEESKILAWLESFEVPDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPSLAMLVVHPLIKLVMAVNEKYSSTAAELLAEGMEDTWKACIGSEIPRLITDIFFQIECVSSLSANSAGHQPAVPSSIRETLVEILLPSLAMVDILAFLTVIESQIWSTASDSPVHLVSLTTLIRVVRGSPRYLAQYLDKVVNFILHTMDPGNLVLRKTCLQSSMTALKEVVRVFPMVALNDTSTRLAVGDAIGEVNNSSISVYDMLSVTKIKVLDASGPPGLPTLLSGASETAVTTVISALSFSPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRTLVPVQCTKLIFVPPWEGFSPNSSRSSVMESIMGHDRQSKLQDNARSASYADSLKLLIQNLDLSYRLEWVGQRKVLLTRHGMELGTFPL >Manes.02G016800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1587060:1596984:1 gene:Manes.02G016800.v8.1 transcript:Manes.02G016800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLLGEEGEQHSVFMADSYARVQLVPILKDSTLDGEGVNDPHKSSHFEVWGIGVSEGGQVVSIATRGNLIALVLQNCCIFRLLTSDTKIGEISFLGNLLSGEGNSTQSCILGGMFLESCGAVKIQKAQEAYENFCERFAVWNHAGSAIVYAVSYFDDAFKCEPLSAIPAACYPLHVRLSVSFIQSNDHLLRLESVCSDVEEPLWWKPHVTIWSLCEKHDECGELSQCKILGEYDLFAEWISSSSLLHDVYDHGGRKKRISSYQSSVSCSDNESYKHADSGSCSFVHKGQTVTSSMVICENLFVPDAIVYGFSSGEIEVVRFDMILGPDSHGKSPHYDVDSFRQYFTGHSGAVLCLAAHQMLGTAEGWSFSQVLVSGSMDCTVRIWDLDSGNPITVMHQHVAPVRQIIFPPAWTEHPWSDCFLSVGEDSCVSLASLETLRVERMFPSHPSYPEKVVWDGARGYIACLCQSHSGTSDVDVLYIWDVKTGALERVLRGTASHSMLDHFCKGISANSISGSILNGNTSVSSLLLSVSEDGSFSQSHKNYLEKKVTSSNTLSTVINTLDPTTSQAQVKKGNSARTSSFLKNSKYPIRCTCPFPGIATLTFDLAALIFSCQKHESVANGSDKLENTNMKEQRTNIRSPCPVSTDDTSDKNGISIDAVEGQDWIRSLEELLLRFSLSILHLWNVDSELDKLLMMEMKLKRPENFILASGLQGDKGSLTLTFPGLSAVFELWKSSSEFCAMRSLTMVSIAQCMISLSPSSSAASRALAAFYTRNFAEQFPDIKPPLLQLLVSFWQDESEHVRLAARTLFHCAASRAIPLPLCGQRVSDHAKLVRSLSEIGQNEGGASKAGGISENGLSDMFPQSQAGAQTEEAFVKSSETQGITEAEESKILAWLESFEVPDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPSLAMLVVHPLIKLVMAVNEKYSSTAAELLAEGMEDTWKACIGSEIPRLITDIFFQIECVSSLSANSAGHQPAVPSSIRETLVEILLPSLAMVDILAFLTVIESQIWSTASDSPVHLVSLTTLIRVVRGSPRYLAQYLDKVVNFILHTMDPGNLVLRKTCLQSSMTALKEVVRVFPMVALNDTSTRLAVGDAIGEVNNSSISVYDMLSVTKIKVLDASGPPGLPTLLSGASETAVTTVISALSFSPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRTLVPVQCTKLIFVPPWEGFSPNSSRSSVMESIMGHDRQSKLQDNARSASYADSLKLLIQNLDLSYRLEWVGQRKVLLTRHGMELGTFPL >Manes.02G016800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1587060:1597047:1 gene:Manes.02G016800.v8.1 transcript:Manes.02G016800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACIWSDTPPGHRVTATATLNQPPTLYTGGSDGSIIWWNLSPSNSNPEIKPVAMLCGHAAPIADLNICHPVVVSSDDKESDYSSNGAANSISGAGGALISACVDGVLCVWSRSSGHCRRRRKLPPWVGSPSIVRTLPTSSRYVCIGCCLNDSASDHHATYSIDGSEGSADKESQQRKPPKCTVVIVDTYSLTIVQTVFHGNLSIGPLRSMDVVLLGEEGEQHSVFMADSYARVQLVPILKDSTLDGEGVNDPHKSSHFEVWGIGVSEGGQVVSIATRGNLIALVLQNCCIFRLLTSDTKIGEISFLGNLLSGEGNSTQSCILGGMFLESCGAVKIQKAQEAYENFCERFAVWNHAGSAIVYAVSYFDDAFKCEPLSAIPAACYPLHVRLSVSFIQSNDHLLRLESVCSDVEEPLWWKPHVTIWSLCEKHDECGELSQCKILGEYDLFAEWISSSSLLHDVYDHGGRKKRISSYQSSVSCSDNESYKHADSGSCSFVHKGQTVTSSMVICENLFVPDAIVYGFSSGEIEVVRFDMILGPDSHGKSPHYDVDSFRQYFTGHSGAVLCLAAHQMLGTAEGWSFSQVLVSGSMDCTVRIWDLDSGNPITVMHQHVAPVRQIIFPPAWTEHPWSDCFLSVGEDSCVSLASLETLRVERMFPSHPSYPEKVVWDGARGYIACLCQSHSGTSDVDVLYIWDVKTGALERVLRGTASHSMLDHFCKGISANSISGSILNGNTSVSSLLLSVSEDGSFSQSHKNYLEKKVTSSNTLSTVINTLDPTTSQAQVKKGNSARTSSFLKNSKYPIRCTCPFPGIATLTFDLAALIFSCQKHESVANGSDKLENTNMKEQRTNIRSPCPVSTDDTSDKNGISIDAVEGQDWIRSLEELLLRFSLSILHLWNVDSELDKLLMMEMKLKRPENFILASGLQGDKGSLTLTFPGLSAVFELWKSSSEFCAMRSLTMVSIAQCMISLSPSSSAASRALAAFYTRNFAEQFPDIKPPLLQLLVSFWQDESEHVRLAARTLFHCAASRAIPLPLCGQRVSDHAKLVRSLSEIGQNEGGASKAGGISENGLSDMFPQSQAGAQTEEAFVKSSETQGITEAEESKILAWLESFEVPDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPSLAMLVVHPLIKLVMAVNEKYSSTAAELLAEGMEDTWKACIGSEIPRLITDIFFQIECVSSLSANSAGHQPAVPSSIRETLVEILLPSLAMVDILAFLTVIESQIWSTASDSPVHLVSLTTLIRVVRGSPRYLAQYLDKVVNFILHTMDPGNLVLRKTCLQSSMTALKEVVRVFPMVALNDTSTRLAVGDAIGEVNNSSISVYDMLSVTKIKVLDASGPPGLPTLLSGASETAVTTVISALSFSPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRTLVPVQCTKLIFVPPWEGFSPNSSRSSVMESIMGHDRQSKLQVFP >Manes.02G016800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1587351:1597050:1 gene:Manes.02G016800.v8.1 transcript:Manes.02G016800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACIWSDTPPGHRVTATATLNQPPTLYTGGSDGSIIWWNLSPSNSNPEIKPVAMLCGHAAPIADLNICHPVVVSSDDKESDYSSNGAANSISGAGGALISACVDGVLCVWSRSSGHCRRRRKLPPWVGSPSIVRTLPTSSRYVCIGCCLNDSASDHHATYSIDGSEGSADKESQQRKPPKCTVVIVDTYSLTIVQTVFHGNLSIGPLRSMDVVLLGEEGEQHSVFMADSYARVQLVPILKDSTLDGEGVNDPHKSSHFEVWGIGVSEGGQVVSIATRGNLIALVLQNCCIFRLLTSDTKIGEISFLGNLLSGEGNSTQSCILGGMFLESCGAVKIQKAQEAYENFCERFAVWNHAGSAIVYAVSYFDDAFKCEPLSAIPAACYPLHVRLSVSFIQSNDHLLRLESVCSDVEEPLWWKPHVTIWSLCEKHDECGELSQCKILGEYDLFAEWISSSSLLHDVYDHGGRKKRISSYQSSVSCSDNESYKHADSGSCSFVHKGQTVTSSMVICENLFVPDAIVYGFSSGEIEVVRFDMILGPDSHGKSPHYDVDSFRQYFTGHSGAVLCLAAHQMLGTAEGWSFSQVLVSGSMDCTVRIWDLDSGNPITVMHQHVAPVRQIIFPPAWTEHPWSDCFLSVGEDSCVSLASLETLRVERMFPSHPSYPEKVVWDGARGYIACLCQSHSGTSDVDVLYIWDVKTGALERVLRGTASHSMLDHFCKGISANSISGSILNGNTSVSSLLLSVSEDGSFSQSHKNYLEKKVTSSNTLSTVINTLDPTTSQAQVKKGNSARTSSFLKNSKYPIRCTCPFPGIATLTFDLAALIFSCQKHESVANGSDKLENTNMKEQRTNIRSPCPVSTDDTSDKNGISIDAVEGQDWIRSLEELLLRFSLSILHLWNVDSELDKLLMMEMKLKRPENFILASGLQGDKGSLTLTFPGLSAVFELWKSSSEFCAMRSLTMVSIAQCMISLSPSSSAASRALAAFYTRNFAEQFPDIKPPLLQLLVSFWQDESEHVRLAARTLFHCAASRAIPLPLCGQRVSDHAKLVRSLSEIGQNEGGASKAGGISENGLSDMFPQSQAGAQTEEAFVKSSETQGITEAEESKILAWLESFEVPDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPSLAMLVVHPLIKLVMAVNEKYSSTAAELLAEGMEDTWKACIGSEIPRLITDIFFQIECVSSLSANSAGHQPAVPSSIRETLVEILLPSLAMVDILAFLTVIESQIWSTASDSPVHLVSLTTLIRVVRGSPRYLAQYLDKVVNFILHTMDPGNLVLRKTCLQSSMTALKEVVRVFPMVALNDTSTRLAVGDAIGEVNNSSISVYDMLSVTKIKVLDASGPPGLPTLLSGASETAVTTVISALSFSPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRTLVPVQCTKLIFVPPWEGFSPNSSRSSVMESIMGHDRQSKLQDNARSASYADSLKLLIQNLDLSYRLEWVGQRKVLLTRHGMELGTFPL >Manes.02G016800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1587060:1597047:1 gene:Manes.02G016800.v8.1 transcript:Manes.02G016800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACIWSDTPPGHRVTATATLNQPPTLYTGGSDGSIIWWNLSPSNSNPEIKPVAMLCGHAAPIADLNICHPVVVSSDDKESDYSSNGAANSISGAGGALISACVDGVLCVWSRSSGHCRRRRKLPPWVGSPSIVRTLPTSSRYVCIGCCLNDSASDHHATYSIDGSEGSADKESQQRKPPKCTVVIVDTYSLTIVQTVFHGNLSIGPLRSMDVVLLGEEGEQHSVFMADSYARVQLVPILKDSTLDGEGVNDPHKSSHFEVWGIGVSEGGQVVSIATRGNLIALVLQNCCIFRLLTSDTKIGEISFLGNLLSGEGNSTQSCILGGMFLESCGAVKIQKAQEAYENFCERFAVWNHAGSAIVYAVSYFDDAFKCEPLSAIPAACYPLHVRLSVSFIQSNDHLLRLESVCSDVEEPLWWKPHVTIWSLCEKHDECGELSQCKILGEYDLFAEWISSSSLLHDVYDHGGRKKRISSYQSSVSCSDNESYKHADSGSCSFVHKGQTVTSSMVICENLFVPDAIVYGFSSGEIEVVRFDMILGPDSHGKSPHYDVDSFRQYFTGHSGAVLCLAAHQMLGTAEGWSFSQVLVSGSMDCTVRIWDLDSGNPITVMHQHVAPVRQIIFPPAWTEHPWSDCFLSVGEDSCVSLASLETLRVERMFPSHPSYPEKVVWDGARGYIACLCQSHSGTSDVDVLYIWDVKTGALERVLRGTASHSMLDHFCKGISANSISGSILNGNTSVSSLLLSVSEDGSFSQSHKNYLEKKVTSSNTLSTVINTLDPTTSQAQVKKGNSARTSSFLKNSKYPIRCTCPFPGIATLTFDLAALIFSCQKHESVANGSDKLENTNMKEQRTNIRSPCPVSTDDTSDKNGISIDAVEGQDWIRSLEELLLRFSLSILHLWNVDSELDKLLMMEMKLKRPENFILASGLQGDKGSLTLTFPGLSAVFELWKSSSEFCAMRSLTMVSIAQCMISLSPSSSAASRALAAFYTRNFAEQFPDIKPPLLQLLVSFWQDESEHVRLAARTLFHCAASRAIPLPLCGQRVSDHAKLVRSLSEIGQNEGGASKAGGISENGLSDMFPQSQAGAQTEEAFVKSSETQGITEAEESKILAWLESFEVPDWISCVGGTSQDAMTSHIIVAAALAIWYPSLVKPSLAMLVVHPLIKLVMAVNEKYSSTAAELLAEGMEDTWKACIGSEIPRLITDIFFQIECVSSLSANSAGHQPAVPSSIRETLVEILLPSLAMVDILAFLTVIESQIWSTASDSPVHLVSLTTLIRVVRGSPRYLAQYLDKICRWLTSYYTPWTLAT >Manes.05G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2913606:2915990:-1 gene:Manes.05G034500.v8.1 transcript:Manes.05G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARCTCSKMEKGILEVLLVRAERIRHTNLVVDHLVIGTPAYYVVTQCGNKVHKSKVSLGKDEEARWNEKFRFEFPLIDWKYLTHLKFRIMDKEFLRHGGFVGETIIYIGGMIADGIDEGILEVKPVPYNVVLEDDTYKGEIKVGLKFITNKEMPTEETASVTPVNEPRQSFCRYIINHLKSSWSRFYFYCSQLITRNKPKEN >Manes.12G049532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4391906:4393779:1 gene:Manes.12G049532.v8.1 transcript:Manes.12G049532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELPFSTSAIAHPHPSIIDGLVQHFRLKHSNTTLILAGSDTTSITMTWMLANLLNNRRELELAQEEIDQKIGRDRPVEESDVENLVYLIAIMKETLRLYPAGPLAVPREAMEDCTLSGYHIPKGTRLLTNLWKLHRDPSVWPNPEEFKPDRFLTTHAEVDVLGQNFELVPFGSGRRSCPGLNFAMQVILLGMARLLQGFNFTTPNNEPVDMTESLHMSLSLETPLEVMVSPRLAPELYQY >Manes.12G049250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4309709:4328288:-1 gene:Manes.12G049250.v8.1 transcript:Manes.12G049250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSNFIAILGVVALLLLYNQWRAKKYSSKGRSLPPQVPGALPIIGHLHQLGARKPFARILGDIADKNGPIFSIMMGMRRTVVISNQHIMKEFYTTKDKFLSSRPLSSQSKYLAYNHASFGFTPYTSYWRDVRKLTIVEVLTPQRLKLLQDVLRTSEVSHVVMALFKQFKENKDKPIKVDMSELFEHLVLNIITRTVAGKRYFEGDNNGHDEKGRPIGKVMRDFMYFAGAFVPSDMFPFLGWTEFLGPVKSMKKILKEMDSIIEAWVREHELKRLNGEVETNHDFIDVLLTAMKDDAIYGNSRETVIKATILTLIVGGSDTTILTMTWMLANLLNNRRELQLAQEEIDQKIGRDRPVEESDVENLVYLKAIMKETLRLYPAGPLAFPREAMEDCTLFGYHIPKGTRLLTNLWKLHHDGNVWPNPDEFKPDRFLTTHADVDVLGQNFELVPFGSGRRSCPGLNFAMQVIQLGMARLLQGFNFTTPNNEPVDMTESLTLTLAKETPLEVIVTPRLAPEFYQY >Manes.15G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1766839:1772354:-1 gene:Manes.15G022300.v8.1 transcript:Manes.15G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLACFASCCATMTCGLCTSVASGLSKKSARLAYCGLFGLSLIVSWILREVAAPLLEKLPWIKSSDTHSEEWYQIQAVLRVSLGNFLFFAILAIIMIGVKDQNDRRDSWHHGGWIAKMVIWLLLVVLMFFMPNVIISIYGTISKFGAGLFLLVQVIILLDFTHSWNDAWVEKDEQKWYIALLAISIVCYLAAFTFSGILFIWFNPSGHDCGLNVFFIVMTMILAFAFAIIALHPTVSGSLLPASVISVYCAYVCYTGLSSEPHDYVCNGLHNKTKAVSTSTLVLGMLTTVLSVLYSAVRAGSSTTFLSPPSSPKSSAGKKPLLEEKLEEGEEKKEKEAQPVSYSYTFFHLIFALASMYSAMLLSGWTNSSESSDLIDVGWTSVWVRICTEWVTAALYVWTLLAPLFFPDREFL >Manes.01G021400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4907110:4907951:-1 gene:Manes.01G021400.v8.1 transcript:Manes.01G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQLSLTSVPTPFLHRSYLLLNPLLSHFSRFLPKLPIMADRPTTPQRPSRSLATIDGSAFLRITVTVAVLGLIFLTPLIIVSSPIWLPVGIVLFLTVAGFLSICGVGVAVVGGLSWLYRYYRGMNPPGSDRFDYARSRIFDTASHVKDYAREYGGYLQSKVKDAAPGA >Manes.04G094500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30012320:30015025:1 gene:Manes.04G094500.v8.1 transcript:Manes.04G094500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYILARTGRHRQRYENNVRLVSGCIPYRLRKEDEEEKDDLENRIEVVMVSSPNRTDLVFPKGGWEDDETVYEAACREAIEEAGVKGNLREVPLGVWHFKSKSRQDPCTLEGGCKGFMFALEVTEELESWPEQANRNRKWLNVKDAFEFCRYEWMREALEIFLGVIAEDKKPEMKEEIVEVSSVPVPVPEVVAECAILSSNCCATPVNGQHNGVISFPWQIPLRNCH >Manes.07G080500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:24252910:24256907:1 gene:Manes.07G080500.v8.1 transcript:Manes.07G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQDLWAVVFLVVFCFHAYVLHSQTQNLSCTENDFRALQDFMNGFQSTIPGWDTNSSSNCCDWSGITCNSSSSLGFINDSATSGRVTMLLLPDKRLTGKLVDTLGNLDQLRIVNLSRNFIKDSIPFSLFYLPKLEVLDLSFNDFTGSVPQTINLPSITVLDISANNLNGSVPTHICENSTRIRVIRLAVNYFAGDIAPGLGRCTSLEHLCLGMNNLTGGITQDIYRLQKLKLLGLQDNKLSGQLSTGIGQLLTLERLDISSNHFSGIIPDVFNNLANFSYFLGHSNNFIGTIPFSLANSPSLKLLNLRNNSLDGAIRLNCSAMTSLDSLNLGSNKFQGRLPDDLPSCKNLKNINLARNNFSGQIPESYKNFQSLSYFSLSNTSIYNLSSALEILQQCKNLTTLVLTLNFRDEQLPAHSSLHFDNLKVLIIANCRLRGLIPPWLRNSRNLQLLDLSWNRLSGNIPLWFGDFQDLFYLDLSNNSFIGEIPKNFTLLPSLINRTISLVQQSPDFPFFMKRNESERALQYNQILSLPPTLDLSHNFLTGSIWMEFGNLKKLHILDLKHNKLSGPIPGELSRMTSLETLDLSHNNLSGSIPSSLIQLSFLSKFNVAYNNLSGQIPVGGQFLTFPNSSFEGNDLCGDHGAPLCPGLNRVPSDAPMKSRRNRDIIIGMAVGIVFGTAFLLCLMFMIVLRAHSRGGEVDAEKEGVETNDKDLEELGSRLVVLFQNKENYRELSLEELLKSTNNFDQANIIGCGGFGLVYKATLPDGRKVAIKRLSGDCGQMEREFRAEVETLSRAQHPNLVHLQGYCMFKADRLLIYSYMENSSLDYWLHEKIDGPSLLDWSTRLKIARGAAKGLAYLHQSCEPHILHRDIKSSNILLDENFEAHLADFGLARLILPSDTHVTTDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTGKRPMDMCKPKGSRDLISWVIQMKKENRESEVFDPFICDKQHDKQLLQVFDIACLCLSESPKVRPSTTQLVSWLENTDISTA >Manes.01G008000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2790504:2809191:1 gene:Manes.01G008000.v8.1 transcript:Manes.01G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVAGGAACAVPGSSSSNPLGALANTLLGSSSKTQERLKEVPIATATSSENRFFQDVEDPLRALPGTEFERQPFSHPGGQGSEFLRGFRSADQNGLADAWDEVQRSHVPLPPQANIPQLDHVYDRGPQLQPTLDGPPQRVLSSFLHSFVESSRGGIPFHPAPLPLLGLSEGDKQCIRDRSSIMARHFFADKSEDFINAQVNALLSSLDIDSDVRVKGPLPGRFRELEDYWNESQGSLRPGPHPTDGWIAEFNQHRLDHSDPDAWAHAFERQHGANGWASEFEQEQQLASMGQMRGANIPNLAAMEQTRMLAHTLAQNNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPAAGPSDWATEYQQQYTGSTWADEYTRDEVSHGPDRWVSEFTTEREQNASVDDQWVNEFSKLHVNDWVEEFGQQVAEEVGDSSADNWANAYDEYLNEKAAAKSEASRGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALRYLYGWLRNHPKYGTLATPELADSLYYADVSRVFNEASQISPEDADVHIVLGVLYNLSREYDKAIASFQTALKLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCASRNDMLEACDSRNIDVLQKEFPL >Manes.05G115366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11756325:11757502:-1 gene:Manes.05G115366.v8.1 transcript:Manes.05G115366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKVNPSMEGPVHPSLSLSITHIHQMVKASKAIDKGTEEWDLWDTLPCTWGNENFSNLAKILTILHALAHHTIMPSCMEGPPSHQNQFLLLSSLRKLVVAF >Manes.12G020700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1834577:1839059:1 gene:Manes.12G020700.v8.1 transcript:Manes.12G020700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDYVTQFPHNHMDRRPRKRPRFAWDIPQAHTKSGLYYGQEVGNGTSIGPSRVLPDHASLFVKGLAQKGSPPWRDDDKDGHYMFALGENLTSRYKIQRKVGEGTFGQVLECWDREARELVAIKVVRSTKKYREAAMLEIDVLHLLGKYDRSGSRCVQIRNWFDYRNHICIVFEMLGPSLYDFLRKNNYRPFPVDLVRELGRQLLECVAFMHDLRLIHTDLKPENILFVSPEYVKIPDYKFPSVSPTEGAYYKRLPKSSAIKVIDFGSTAYGHHEHNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMLRRADRHAEKYVRRGRLDWPDGATSRESIKAVVKLPRLQNLVMQHVDHSAGDIIDLLQGLLRYDPSNRLTAHEALRHPFFTRDHYRRF >Manes.12G020700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1833956:1839059:1 gene:Manes.12G020700.v8.1 transcript:Manes.12G020700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDYVTQFPHNHMDRRPRKRPRFAWDIPQAHTKAQSGLYYGQEVGNGTSIGPSRVLPDHASLFVKGLAQKGSPPWRDDDKDGHYMFALGENLTSRYKIQRKVGEGTFGQVLECWDREARELVAIKVVRSTKKYREAAMLEIDVLHLLGKYDRSGSRCVQIRNWFDYRNHICIVFEMLGPSLYDFLRKNNYRPFPVDLVRELGRQLLECVAFMHDLRLIHTDLKPENILFVSPEYVKIPDYKFPSVSPTEGAYYKRLPKSSAIKVIDFGSTAYGHHEHNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMLRRADRHAEKYVRRGRLDWPDGATSRESIKAVVKLPRLQNLVMQHVDHSAGDIIDLLQGLLRYDPSNRLTAHEALRHPFFTRDHYRRF >Manes.12G020700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1834512:1839059:1 gene:Manes.12G020700.v8.1 transcript:Manes.12G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDYVTQFPHNHMDRRPRKRPRFAWDIPQAHTKAQSGLYYGQEVGNGTSIGPSRVLPDHASLFVKGLAQKGSPPWRDDDKDGHYMFALGENLTSRYKIQRKVGEGTFGQVLECWDREARELVAIKVVRSTKKYREAAMLEIDVLHLLGKYDRSGSRCVQIRNWFDYRNHICIVFEMLGPSLYDFLRKNNYRPFPVDLVRELGRQLLECVAFMHDLRLIHTDLKPENILFVSPEYVKIPDYKFPSVSPTEGAYYKRLPKSSAIKVIDFGSTAYGHHEHNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMLRRADRHAEKYVRRGRLDWPDGATSRESIKAVVKLPRLQNLVMQHVDHSAGDIIDLLQGLLRYDPSNRLTAHEALRHPFFTRDHYRRF >Manes.11G029200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2786518:2793726:-1 gene:Manes.11G029200.v8.1 transcript:Manes.11G029200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHGDKQTAFEMCVVVPRSIVKKEDKKYDCIEVLIKELKNVGFIIDRAVGLTDEFIKLAAPLQTLGKAAAELQMKKLTYIGMDLQFEWEEVKAFVRQPDGSLFSWCERFECYHHLLYGIVNKSKVDAMLKWEDKEYIWEVGESLLRRLESAGIVKQVFPLHVEIKRKELLRSWVLNWRNFTNQPIDVMYSYFGMKIAIYFAFLRMYTHWMLFPAAYGLIVQFVDFGSMQFLVLPVFFISIILWAALFFQFWKRKNSALLARWQIHYSATAGQGCKFLGMEWSSLQSPLELVKNLGIDKVKEREAYQRHEWFGHLMRFRNNAIIILSIICLQLPFELAYAHLYEVMDSDVMKFGLTAAYLILIQYFTKIGGKISMKLIQYENNENTEYQADSLVYKVFGLYFMQSYIGVFYHALLHRNFKTLRQVLIQRLIVYQILENVLENSLPYLKYSYKKYRAVRNKKKEKKQTSNVKIQFNTKVEKEYLKPSYSASIGEELEDGLFDDFLQLTLQFGMVMMFACAFPLAFAFAAVNSFTQLKTDALRLLTMLKRPVPRAAATIGAWLNIFQFLIVMSICTNSALLVCLYDKEGKWKIEPGLAAILMLEHILLLIKFGLSRFLPEEPAWVRANRVKNAAQAQGMYSKQLLKSISGQGRLMGHHRTL >Manes.13G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35461731:35466035:1 gene:Manes.13G141100.v8.1 transcript:Manes.13G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MEQGNASLEHTPTWAISIVCLCFILISFFLEVALHCLAEFLRKRRRKSLVSALEKTETEMMTMGFISLLLTISEVPISKICVRESVANSFLPCKDPGPAALSVTQIANISGDTSGESFCQAKGMVSLISREGVLQLKVFISVLAVFHVIYCILTMFLGMAKMRRWKAWEEETQSFEYQIANDPRRFRLTHQTSFGRRHLKIWSDNPLLFWPACFIRQFSGSASKADYFTLRNGFILANVAEGTFNFQKFLVRAFDDDFQQIVGIRLWIWILSLFFIVFCAKEFYNYYWLPFIPLLLVLAVGTKMEAIITQMCVESCKNMSVIRGTFLVKPSNEYFWFNRPKLLLYLLQFILIQNSFQLAFFTWAWYEYGLRSCFNKETEDIAIRITTGMGVQFLCGYVTLPIYALVTQMGSGMNRAVFTERVTKGLKNWLWKARHPLPNKISTTTTRQPLKLQCSYGTSTSNSHQLALMSPNHTETREDDNHTHKTAIEATSPSTSSTREITAEEAGTSSDGEISFASSWKKRVSGKNSSKMEEQNDEDDSKALDGDLQLSDWSVMSKYSNPDERKIIPYNRCVYNS >Manes.10G054450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6723098:6759737:-1 gene:Manes.10G054450.v8.1 transcript:Manes.10G054450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHHLLLEEPIRMASILEPSKSTFFAAMTKIVGTLGPKSRTVEIISGCLNAGMSVARFDFSWGDAEYHQETIDNLKTAVKSTKKLCAVMLDTVGPELQVVNKTEHPISLQADTSVVLTPDQAKEATSNLLPINFTGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVTEVNGEDVVCLIKNSATLSGPLYTLHVSQIRIEQPTLTDKDKEVISTWGVRNNIDFLSLSYTRHAEDVRQAREFLSKLGDLCQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGSYPIETISIVGRICAEAEKVYNQDLYFKKTVKYVGEPMSHLESIASSAVRAAIKVKASVLICFTSTGRAARLISKYRPTMPVISVVIPRLKTNQLRWTFTGAFEARQSLIVRGLFPLLADPRHPAESTNATNESVLKVALDHGKAIGVIKSHDRVVVCQKVGDASVVKILELED >Manes.08G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22178973:22182978:-1 gene:Manes.08G081600.v8.1 transcript:Manes.08G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERKKTFTMNWDALGDQDDDDDRFFESCDRLSSAVPTDLASSGSEDENEFKDTRLSFSCASTTEYRNYVATNSISSTTMSPDYDIWMVAPGSINERRKRLLQGMGLAVEKEQVRVSSKDLTRAISSKIKNDDATPIVKSDPVEDTKKEASPPPPPSPLPSPSPLPSSIPVVLVRSKSNSDIESFSEKIRKEEFVGNISKQCLFRTLSMINASQARVYPHQDPIKFSPKAAGKEFTINKCDQDEICNKVNDPQTDKQLTTKEFEKCNGDSQDPKELVVKENIPKVSGDDIKIIVNSYLSKSLKLSKRRGAAFLKNIKGVAHSMSITGLRGVDKERENNSPTAESKSGAKSSSSCGWIKVKQTGKSSKELSALHLCQEIQAHEGSIWTMKFSPDTRFLASGGEDRTIHIWEVQECEILSMNEGNLTPLHPFSTPGIGEVTPMPSEKKKKKKGSANKKGSPIPEYVHASETVFSLSEKPICSFTGHNDDVLDLSWSRSQLLLSSSMDKSVRLWDMETKSCLKLFAHNDYVTCIHFNPKDENYFISGSLDNKVRMWNIPDRQLVDWIDLYEMITAVCYTPDGKAALIGSHKGNVRMYNVEDCKLSQADQIDLQNKKNPKKITSFQFSPSNPSEVLIASADSRIRIVDGSELVYKFRGFRNLNSQIPASFSINGKYVICASEDSTVYVWKREDKAGTGKGKTMISTQSHESFQCRDVSIAIPWSGSVKGDPPTKHDHSKKNSKRSSNSQTPSSCDSPTKADESTNSKKNVSSSTDLHASEDNSTTTEDNSKKQKPPLPKRNNNNNVDKAPSSEEDPSITSQSDSGLNDSLSSSMSLSKKHSDSPSISATPPSSSSSSSPSRFDGNHGTQTMQETAWGMVIVTATLGGEIRAYQNFGLPRRIGRQSNLF >Manes.02G213248.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:27589442:27590423:1 gene:Manes.02G213248.v8.1 transcript:Manes.02G213248.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWGSVLFIWISSIMCLICVSLGYNPVDDYLIDCGSSTNKSVGDRVFVADQFFSNLLSTPHITFANASSSPNSSAYDPSLFQTARIFNETSYYSFSVNKLGRHWIRLYFFPFMFRNYNLNTAKFSVSAQNFTLIHPLTEAKARRNG >Manes.14G128600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10233888:10239538:-1 gene:Manes.14G128600.v8.1 transcript:Manes.14G128600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLRNRGVFRVSVCGQEEIEQLSRDGSHYSLSTAVLPSLGARSNRRVKLRRFIVSPYDRRYRIWETYLVVLVIYTAWVSPFEFGFLKKPEGPLSIADNIVNGFFAVDIFLTFFVAYLDKTTYLLVDNPKKIAWKYTSSWFAFDFISTIPSELAQKISPKPFRTYGLFNMLRLWRLRRVSSLFSRLEKDRNYNYFWVRCAKLICVTLFAVHSAGCFYYLIAARYRNPDKTWIGASLGDNFLEKSVWIRYVTSIYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDTIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDLYILVTGAADLLVYKNGAEQLVGKVNSGDLCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTVIMNNLLQHLKEQKDPIMEGVLLETENMLAHGRMDLPLSLCFAALRGDDSLLHQLLKRSLDPNESDNNGRSALHIAASKGSENCVRLLLDYGADPNCKDSDGNVPLWEAMLGGHEAVARLLIENGASINAGDLGHFACTAAEQNSLNLLKEIVRYGGDVTCSRKSGTTALHVAVCEDNTEIVRFLLDQGADTDKPDNHGWTPRDLADQQGHEEIKFIFRTCKEPKPVPSVAIPDKKDPGIRYLGRFTSEPAIRPVSGDGSFSVAEGSWSQNRPRRRTNNFHNSLFGMMSAAHKGEKELPFPVSHLVVADNYGAKPARVVISCPEKVEIAGKLVLLPKSLEELLEIGAKKFGLTNAKVLSKNRAEIDDIEVIRDGDHILIVSDRIDH >Manes.14G128600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10233888:10238172:-1 gene:Manes.14G128600.v8.1 transcript:Manes.14G128600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWRLRRVSSLFSRLEKDRNYNYFWVRCAKLICVTLFAVHSAGCFYYLIAARYRNPDKTWIGASLGDNFLEKSVWIRYVTSIYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDTIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDLYILVTGAADLLVYKNGAEQLVGKVNSGDLCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTVIMNNLLQHLKEQKDPIMEGVLLETENMLAHGRMDLPLSLCFAALRGDDSLLHQLLKRSLDPNESDNNGRSALHIAASKGSENCVRLLLDYGADPNCKDSDGNVPLWEAMLGGHEAVARLLIENGASINAGDLGHFACTAAEQNSLNLLKEIVRYGGDVTCSRKSGTTALHVAVCEDNTEIVRFLLDQGADTDKPDNHGWTPRDLADQQGHEEIKFIFRTCKEPKPVPSVAIPDKKDPGIRYLGRFTSEPAIRPVSGDGSFSVAEGSWSQNRPRRRTNNFHNSLFGMMSAAHKGEKELPFPVSHLVVADNYGAKPARVVISCPEKVEIAGKLVLLPKSLEELLEIGAKKFGLTNAKVLSKNRAEIDDIEVIRDGDHILIVSDRIDH >Manes.04G080800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28221076:28222419:-1 gene:Manes.04G080800.v8.1 transcript:Manes.04G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILRAKQILQRSPANQTASAAKDVPKGYLAVYVGEKQKKRRFVIPVSYLNTPSFQDLLIQAEEEFGYDHPMGGLTIPCCESMFIDAISCLNCS >Manes.14G069100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5770563:5781198:-1 gene:Manes.14G069100.v8.1 transcript:Manes.14G069100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETASQGGHSPKPTNGPTSTSQLKPSSDSVQNSASSVPSQIKGKKRERVDQSSEPVKRERCSKPDDVDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQFKGLPVFDEWLQEVHKGKIGDGSSHKESDKSVEEFLFILLRALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPLDVSHGGNKNSGSSSEVAIKSSATQLSASKNAAVKLVQGETTTKCASGSPGPMKSFPSSASAGNSLKEGQTCNIGVGVASDLPTVAVREEKSSSSSQSHNNSQSCSSDHVKTGGVSGKEDARSGTAVSMTANKVIGASPRHRKSINGVQGPTLSGIQRETGSSRNSSLHRSQCAEKLSQSSLTFEKAVDVPLAEGNNHKLIVKIPNRGHSPAPSASGGSLEDPSVMNSRASSPVLSEKHDQFDRTLKEKNDGYRANTMSNVNNESWQSNDFKEVLTGSDEGDGSPATVPENCRTVEDTGKLADVPKAASSSSGNEQKSGKLHDPSLSSINALIESCEKYSEVNASMLAGDDVGMNLLASVAAGEISKSDMASPNNSPQRNITAVEHSCTSIDSRLKSASGDDWRQTVDGTDDETEKRVTDTSRSKSMEDKIVSLSEDKTVDVQNGHSNSSNMDVQKIMEPCLQNNVKSEETLATSVTLLSSSMVDKTSTNADKETWEEKADDISDTKDKLHSCIRSVDRVDVSSLEGRTEPIEGSLPCPSMEIEGGNRKNMNKQFNITVKAEQKSPAVVRSEFAEGTVGDVLDPTGSGKDNFSETAVGEVKAEKADEMDSRSQHAERGNNTQENNFGSDFTDHKVENLEQSLEDNHAKEQLSGAHALSKASPAFLQEPGQEAGCRRSKLTATGADVAEESKSGAADAASLCAVGGADIVAKVEFDLNEGFNTDDGRYGEPNNSRPPECSTGIQLISPLPLPVSSCSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGTAATSAFRPAEPRKALEMPVGTVNISVPDAAVVKPSRPPLDIDLNVPDERILEDLASRGSARGSIAVFDLSNNHNPSHDQIMGSAAVRGSGGLDLDLNRVEDTSDIGNHLTSNTCRKDVRLQAVKSSSVAVLNGESSVRMDFDLNDGPLADEGNAEPSSVGQTARNNASSQPSVSSLRLNHAEMGNFSSFFPQGNAYPAVAIHSMLPDRGEQSFPIVIPGGPQRMLATPTGSTPFNPDAYRGPVLSSAPAVPFPATPFQYPVFPFGTNFPLPSATFSVGSTTFLDSSPGGRLCFPTVHSQVLPPAGAVPSHYPRPFVVSLQDNSNIGGSESSWKWGRQGLDLNAGPLGPDLEGRDETGSLSSRQLSVASSQAIAEEQSRMFQVAGGGILKRKEPESSWEGYKQSSWQ >Manes.14G069100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5770563:5781198:-1 gene:Manes.14G069100.v8.1 transcript:Manes.14G069100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETASQGGHSPKPTNGPTSTSQLKPSSDSVQNSASSVPSQIKGKKRERVDQSSEPVKRERCSKPDDVDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQFKGLPVFDEWLQEVHKGKIGDGSSHKESDKSVEEFLFILLRALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPLDVSHGGNKNSGSSSEVAIKSSATQLSASKNAAVKLVQGETTTKCASGSPGPMKSFPSSASAGNSLKEGQTCNIGVGVASDLPTVAVREEKSSSSSQSHNNSQSCSSDHVKTGGVSGKEDARSGTAVSMTANKVIGASPRHRKSINGVQGPTLSGIQRETGSSRNSSLHRSQCAEKLSQSSLTFEKAVDVPLAEGNNHKLIVKIPNRGHSPAPSASGGSLEDPSVMNSRASSPVLSEKHDQFDRTLKEKNDGYRANTMSNVNNESWQSNDFKEVLTGSDEGDGSPATVPENCRTVEDTGKLADVPKAASSSSGNEQKSGKLHDPSLSSINALIESCEKYSEVNASMLAGDDVGMNLLASVAAGEISKSDMASPNNSPQRNITAVEHSCTSIDSRLKSASGDDWRQTVDGTDDETEKRVTDTSRSKSMEDKIVSLSEDKTVDVQNGHSNSSNMDVQKIMEPCLQNNVKSEETLATSVTLLSSSMVDKTSTNADKETWEEKADDISDTKDKLHSCIRSVDRVDVSSLEGRTEPIEGSLPCPSMEIEGGNRKNMNKQFNITVKAEQKSPAVVRSEFAEGTVGDVLDPTGSGKDNFSETAVGEVKAEKADEMDSRSQHAERGNNTQENNFGSDFTDHKVENLEQSLEDNHAKEQLSGAHALSKASPAFLQEPGQEAGCRRSKLTATGADVAEESKSGAADAASLCAVGGADIVAKVEFDLNEGFNTDDGRYGEPNNSRPPECSTGIQLISPLPLPVSSCSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGTAATSAFRPAEPRKALEMPVGTVNISVPDAAVVKPSRPPLDIDLNVPDERILEDLASRGSARGSIAVFDLSNNHNPSHDQIMGSAAVRGSGGLDLDLNRVEDTSDIGNHLTSNTCRKDVRLQAVKSSSVAVLNGESSVRMDFDLNDGPLADEGNAEPSSVGQTARNNASSQPSVSSLRLNHAEMGNFSSFFPQGNAYPAVAIHSMLPDRGEQSFPIVIPGGPQRMLATPTGSTPFNPDAYRGPVLSSAPAVPFPATPFQYPVFPFGTNFPLPSATFSVGSTTFLDSSPGGRLCFPTVHSQVLPPAGAVPSHYPRPFVVSLQDNSNIGGSESSWKWGRQGLDLNAGPLGPDLEGRDETGSLSSRQLSVASSQAIAEEQSRMFQVAGGGILKRKEPESSWEGYKQSSWQ >Manes.14G069100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5770563:5781198:-1 gene:Manes.14G069100.v8.1 transcript:Manes.14G069100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETASQGGHSPKPTNGPTSTSQLKPSSDSVQNSASSVPSQIKGKKRERVDQSSEPVKRERCSKPDDVDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQFKGLPVFDEWLQEVHKGKIGDGSSHKESDKSVEEFLFILLRALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPLDVSHGGNKNSGSSSEVAIKSSATQLSASKNAAVKLVQGETTTKCASGSPGPMKSFPSSASAGNSLKEGQTCNIGVGVASDLPTVAVREEKSSSSSQSHNNSQSCSSDHVKTGGVSGKEDARSGTAVSMTANKVIGASPRHRKSINGVQGPTLSGIQRETGSSRNSSLHRSQCAEKLSQSSLTFEKAVDVPLAEGNNHKLIVKIPNRGHSPAPSASGGSLEDPSVMNSRASSPVLSEKHDQFDRTLKEKNDGYRANTMSNVNNESWQSNDFKEVLTGSDEGDGSPATVPENCRTVEDTGKLADVPKAASSSSGNEQKSGKLHDPSLSSINALIESCEKYSEVNASMLAGDDVGMNLLASVAAGEISKSDMASPNNSPQRNITAVEHSCTSIDSRLKSASGDDWRQTVDGTDDETEKRVTDTSRSKSMEDKIVSLSEDKTVDVQNGHSNSSNMDVQKIMEPCLQNNVKSEETLATSVTLLSSSMVDKTSTNADKETWEEKADDISDTKDKLHSCIRSVDRVDVSSLEGRTEPIEGSLPCPSMEIEGGNRKNMNKQFNITVKAEQKSPAVVRSEFAEGTVGDVLDPTGSGKDNFSETAVGEVKAEKADEMDSRSQHAERGNNTQENNFGSDFTDHKVENLEQSLEDNHAKEQLSGAHALSKASPAFLQEPGQEAGCRRSKLTATGADVAEESKSGAADAASLCAVGGADIVAKVEFDLNEGFNTDDGRYGEPNNSRPPECSTGIQLISPLPLPVSSCSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGTAATSAFRPAEPRKALEMPVGTVNISVPDAAVVKPSRPPLDIDLNVPDERILEDLASRGSARGSIAVFDLSNNHNPSHDQIMGSAAVRGSGGLDLDLNRVEDTSDIGNHLTSNTCRKDVRLQAVKSSSVAVLNGESSVRMDFDLNDGPLADEGNAEPSSVGQTARNNASSQPSVSSLRLNHAEMGNFSSFFPQGNAYPAVAIHSMLPDRGEQSFPIVIPGGPQRMLATPTGSTPFNPDAYRGPVLSSAPAVPFPATPFQYPVFPFGTNFPLPSATFSVGSTTFLDSSPGGRLCFPTVHSQVLPPAGAVPSHYPRPFVVSLQDNSNIGGSESSWKWGRQGLDLNAGPLGPDLEGRDETGSLSSRQLSVASSQAIAEEQSRMFQVAGGGILKRKEPESSWEGYKQSSWQ >Manes.14G069100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5770563:5781198:-1 gene:Manes.14G069100.v8.1 transcript:Manes.14G069100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETASQGGHSPKPTNGPTSTSQLKPSSDSVQNSASSVPSQIKGKKRERVDQSSEPVKRERCSKPDDVDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQFKGLPVFDEWLQEVHKGKIGDGSSHKESDKSVEEFLFILLRALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPLDVSHGGNKNSGSSSEVAIKSSATQLSASKNAAVKLVQGETTTKCASGSPGPMKSFPSSASAGNSLKEGQTCNIGVGVASDLPTVAVREEKSSSSSQSHNNSQSCSSDHVKTGGVSGKEDARSGTAVSMTANKVIGASPRHRKSINGVQGPTLSGIQRETGSSRNSSLHRSQCAEKLSQSSLTFEKAVDVPLAEGNNHKLIVKIPNRGHSPAPSASGGSLEDPSVMNSRASSPVLSEKHDQFDRTLKEKNDGYRANTMSNVNNESWQSNDFKEVLTGSDEGDGSPATVPENCRTVEDTGKLADVPKAASSSSGNEQKSGKLHDPSLSSINALIESCEKYSEVNASMLAGDDVGMNLLASVAAGEISKSDMASPNNSPQRNITAVEHSCTSIDSRLKSASGDDWRQTVDGTDDETEKRVTDTSRSKSMEDKIVSLSEDKTVDVQNGHSNSSNMDVQKIMEPCLQNNVKSEETLATSVTLLSSSMVDKTSTNADKETWEEKADDISDTKDKLHSCIRSVDRVDVSSLEGRTEPIEGSLPCPSMEIEGGNRKNMNKQFNITVKAEQKSPAVVRSEFAEGTVGDVLDPTGSGKDNFSETAVGEVKAEKADEMDSRSQHAERGNNTQENNFGSDFTDHKVENLEQSLEDNHAKEQLSGAHALSKASPAFLQEPGQEAGCRRSKLTATGADVAEESKSGAADAASLCAVGGADIVAKVEFDLNEGFNTDDGRYGEPNNSRPPECSTGIQLISPLPLPVSSCSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGTAATSAFRPAEPRKALEMPVGTVNISVPDAAVVKPSRPPLDIDLNVPDERILEDLASRGSARGSIAVFDLSNNHNPSHDQIMGSAAVRGSGGLDLDLNRVEDTSDIGNHLTSNTCRKDVRLQAVKSSSVAVLNGESSVRMDFDLNDGPLADEGNAEPSSVGQTARNNASSQPSVSSLRLNHAEMGNFSSFFPQGNAYPAVAIHSMLPDRGEQSFPIVIPGGPQRMLATPTGSTPFNPDAYRGPVLSSAPAVPFPATPFQYPVFPFGTNFPLPSATFSVGSTTFLDSSPGGRLCFPTVHSQVLPPAGAVPSHYPRPFVVSLQDNSNIGGSESSWKWGRQGLDLNAGPLGPDLEGRDETGSLSSRQLSVASSQAIAEEQSRMFQVAGGGILKRKEPESSWEGYKQSSWQ >Manes.14G069100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5770563:5781198:-1 gene:Manes.14G069100.v8.1 transcript:Manes.14G069100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLAGEERKRGRHMWTGPTRSNSVVAGDVSSSSSSVFPANSFCKDGRRINVGDCALFKPPQDSPPFIGIIRWVTTGKDNKLKLGVNWLYRPAEIKLGKGILLEAAPNEIFYSFHKDEIPAASLLHPCKVTFLPKGAELPSGICSFVCRRVYDINNKCLWWLTDQDYINERQEEVDQLLCKTHIEMHETASQGGHSPKPTNGPTSTSQLKPSSDSVQNSASSVPSQIKGKKRERVDQSSEPVKRERCSKPDDVDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQFKGLPVFDEWLQEVHKGKIGDGSSHKESDKSVEEFLFILLRALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPLDVSHGGNKNSGSSSEVAIKSSATQLSASKNAAVKLVQGETTTKCASGSPGPMKSFPSSASAGNSLKEGQTCNIGVGVASDLPTVAVREEKSSSSSQSHNNSQSCSSDHVKTGGVSGKEDARSGTAVSMTANKVIGASPRHRKSINGVQGPTLSGIQRETGSSRNSSLHRSQCAEKLSQSSLTFEKAVDVPLAEGNNHKLIVKIPNRGHSPAPSASGGSLEDPSVMNSRASSPVLSEKHDQFDRTLKEKNDGYRANTMSNVNNESWQSNDFKEVLTGSDEGDGSPATVPENCRTVEDTGKLADVPKAASSSSGNEQKSGKLHDPSLSSINALIESCEKYSEVNASMLAGDDVGMNLLASVAAGEISKSDMASPNNSPQRNITAVEHSCTSIDSRLKSASGDDWRQTVDGTDDETEKRVTDTSRSKSMEDKIVSLSEDKTVDVQNGHSNSSNMDVQKIMEPCLQNNVKSEETLATSVTLLSSSMVDKTSTNADKETWEEKADDISDTKDKLHSCIRSVDRVDVSSLEGRTEPIEGSLPCPSMEIEGGNRKNMNKQFNITVKAEQKSPAVVRSEFAEGTVGDVLDPTGSGKDNFSETAVGEVKAEKADEMDSRSQHAERGNNTQENNFGSDFTDHKVENLEQSLEDNHAKEQLSGAHALSKASPAFLQEPGQEAGCRRSKLTATGADVAEESKSGAADAASLCAVGGADIVAKVEFDLNEGFNTDDGRYGEPNNSRPPECSTGIQLISPLPLPVSSCSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGTAATSAFRPAEPRKALEMPVGTVNISVPDAAVVKPSRPPLDIDLNVPDERILEDLASRGSARGSIAVFDLSNNHNPSHDQIMGSAAVRGSGGLDLDLNRVEDTSDIGNHLTSNTCRKDVRLQAVKSSSVAVLNGESSVRMDFDLNDGPLADEGNAEPSSVGQTARNNASSQPSVSSLRLNHAEMGNFSSFFPQGNAYPAVAIHSMLPDRGEQSFPIVIPGGPQRMLATPTGSTPFNPDAYRGPVLSSAPAVPFPATPFQYPVFPFGTNFPLPSATFSVGSTTFLDSSPGGRLCFPTVHSQVLPPAGAVPSHYPRPFVVSLQDNSNIGGSESSWKWGRQGLDLNAGPLGPDLEGRDETGSLSSRQLSVASSQAIAEEQSRMFQVAGGGILKRKEPESSWEGYKQSSWQ >Manes.14G069100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5770563:5781198:-1 gene:Manes.14G069100.v8.1 transcript:Manes.14G069100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLAGEERKRGRHMWTGPTRSNSVVAGDVSSSSSSVFPANSFCKDGRRINVGDCALFKPPQDSPPFIGIIRWVTTGKDNKLKLGVNWLYRPAEIKLGKGILLEAAPNEIFYSFHKDEIPAASLLHPCKVTFLPKGAELPSGICSFVCRRVYDINNKCLWWLTDQDYINERQEEVDQLLCKTHIEMHETASQGGHSPKPTNGPTSTSQLKPSSDSVQNSASSVPSQIKGKKRERVDQSSEPVKRERCSKPDDVDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQFKGLPVFDEWLQEVHKGKIGDGSSHKESDKSVEEFLFILLRALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPLDVSHGGNKNSGSSSEVAIKSSATQLSASKNAAVKLVQGETTTKCASGSPGPMKSFPSSASAGNSLKEGQTCNIGVGVASDLPTVAVREEKSSSSSQSHNNSQSCSSDHVKTGGVSGKEDARSGTAVSMTANKVIGASPRHRKSINGVQGPTLSGIQRETGSSRNSSLHRSQCAEKLSQSSLTFEKAVDVPLAEGNNHKLIVKIPNRGHSPAPSASGGSLEDPSVMNSRASSPVLSEKHDQFDRTLKEKNDGYRANTMSNVNNESWQSNDFKEVLTGSDEGDGSPATVPENCRTVEDTGKLADVPKAASSSSGNEQKSGKLHDPSLSSINALIESCEKYSEVNASMLAGDDVGMNLLASVAAGEISKSDMASPNNSPQRNITAVEHSCTSIDSRLKSASGDDWRQTVDGTDDETEKRVTDTSRSKSMEDKIVSLSEDKTVDVQNGHSNSSNMDVQKIMEPCLQNNVKSEETLATSVTLLSSSMVDKTSTNADKETWEEKADDISDTKDKLHSCIRSVDRVDVSSLEGRTEPIEGSLPCPSMEIEGGNRKNMNKQFNITVKAEQKSPAVVRSEFAEGTVGDVLDPTGSGKDNFSETAVGEVKAEKADEMDSRSQHAERGNNTQENNFGSDFTDHKVENLEQSLEDNHAKEQLSGAHALSKASPAFLQEPGQEAGCRRSKLTATGADVAEESKSGAADAASLCAVGGADIVAKVEFDLNEGFNTDDGRYGEPNNSRPPECSTGIQLISPLPLPVSSCSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGTAATSAFRPAEPRKALEMPVGTVNISVPDAAVVKPSRPPLDIDLNVPDERILEDLASRGSARGSIAVFDLSNNHNPSHDQIMGSAAVRGSGGLDLDLNRVEDTSDIGNHLTSNTCRKDVRLQAVKSSSVAVLNGESSVRMDFDLNDGPLADEGNAEPSSVGQTARNNASSQPSVSSLRLNHAEMGNFSSFFPQGNAYPAVAIHSMLPDRGEQSFPIVIPGGPQRMLATPTGSTPFNPDAYRGPVLSSAPAVPFPATPFQYPVFPFGTNFPLPSATFSVGSTTFLDSSPGGRLCFPTVHSQVLPPAGAVPSHYPRPFVVSLQDNSNIGGSESSWKWGRQGLDLNAGPLGPDLEGRDETGSLSSRQLSVASSQAIAEEQSRMFQVAGGGILKRKEPESSWEGYKQSSWQ >Manes.14G069100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5770563:5781198:-1 gene:Manes.14G069100.v8.1 transcript:Manes.14G069100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETASQGGHSPKPTNGPTSTSQLKPSSDSVQNSASSVPSQIKGKKRERVDQSSEPVKRERCSKPDDVDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQFKGLPVFDEWLQEVHKGKIGDGSSHKESDKSVEEFLFILLRALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPLDVSHGGNKNSGSSSEVAIKSSATQLSASKNAAVKLVQGETTTKCASGSPGPMKSFPSSASAGNSLKEGQTCNIGVGVASDLPTVAVREEKSSSSSQSHNNSQSCSSDHVKTGGVSGKEDARSGTAVSMTANKVIGASPRHRKSINGVQGPTLSGIQRETGSSRNSSLHRSQCAEKLSQSSLTFEKAVDVPLAEGNNHKLIVKIPNRGHSPAPSASGGSLEDPSVMNSRASSPVLSEKHDQFDRTLKEKNDGYRANTMSNVNNESWQSNDFKEVLTGSDEGDGSPATVPENCRTVEDTGKLADVPKAASSSSGNEQKSGKLHDPSLSSINALIESCEKYSEVNASMLAGDDVGMNLLASVAAGEISKSDMASPNNSPQRNITAVEHSCTSIDSRLKSASGDDWRQTVDGTDDETEKRVTDTSRSKSMEDKIVSLSEDKTVDVQNGHSNSSNMDVQKIMEPCLQNNVKSEETLATSVTLLSSSMVDKTSTNADKETWEEKADDISDTKDKLHSCIRSVDRVDVSSLEGRTEPIEGSLPCPSMEIEGGNRKNMNKQFNITVKAEQKSPAVVRSEFAEGTVGDVLDPTGSGKDNFSETAVGEVKAEKADEMDSRSQHAERGNNTQENNFGSDFTDHKVENLEQSLEDNHAKEQLSGAHALSKASPAFLQEPGQEAGCRRSKLTATGADVAEESKSGAADAASLCAVGGADIVAKVEFDLNEGFNTDDGRYGEPNNSRPPECSTGIQLISPLPLPVSSCSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGTAATSAFRPAEPRKALEMPVGTVNISVPDAAVVKPSRPPLDIDLNVPDERILEDLASRGSARGSIAVFDLSNNHNPSHDQIMGSAAVRGSGGLDLDLNRVEDTSDIGNHLTSNTCRKDVRLQAVKSSSVAVLNGESSVRMDFDLNDGPLADEGNAEPSSVGQTARNNASSQPSVSSLRLNHAEMGNFSSFFPQGNAYPAVAIHSMLPDRGEQSFPIVIPGGPQRMLATPTGSTPFNPDAYRGPVLSSAPAVPFPATPFQYPVFPFGTNFPLPSATFSVGSTTFLDSSPGGRLCFPTVHSQVLPPAGAVPSHYPRPFVVSLQDNSNIGGSESSWKWGRQGLDLNAGPLGPDLEGRDETGSLSSRQLSVASSQAIAEEQSRMFQVAGGGILKRKEPESSWEGYKQSSWQ >Manes.10G102900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25088032:25089828:-1 gene:Manes.10G102900.v8.1 transcript:Manes.10G102900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDTSNNQESGEVEKMSKSLKKFLLIVNSALLATGCCVGPLVQRLYFLKGGKSVWISCFLETAGWPFIIFPLIASYFFRRRKNSSMTKLFYITPHLFLACAVIGVLTGLDDFLAAYGVSLLPVSTYSLIIATQLGFTAAFAFILVKQKFTPFTINAIFLLTIGAFILVLHASSDRPAHETNKQYFIGFFMTLGASVLYGFVLPLMELTYKKAKQTITYTLVMEMQMVLSFFASAFCAIGMLLHKDFAAIPREAREFELGKATYYLVLICTAVFW >Manes.15G097700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7626014:7632707:1 gene:Manes.15G097700.v8.1 transcript:Manes.15G097700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQIPAAASRSTRKNSLKMALSALLCVNSTSFPPLHFPKSPVRLKKSPAPSSPRLSFPKILPLPLRPQAFSIQGPLSEAMNLIQSSPPTWRSAFFNNLLIFILGSPILASGLSFSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLLINKIGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKITYLVTTFKVVPRGTEGAVSVEGTLAGLLASVLLASIGCFMGEIRAAEAVICVIASQIANFGESIIGALFQEKEGFQWLNNDVVNVLNISMGSILAVLMRQVMLQNLH >Manes.15G097700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7626006:7632710:1 gene:Manes.15G097700.v8.1 transcript:Manes.15G097700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQIPAAASRSTRKNSLKMALSALLCVNSTSFPPLHFPKSPVRLKKSPAPSSPRLSFPKILPLPLRPQAFSIQGPLSEAMNLIQSSPPTWRSAFFNNLLIFILGSPILASGLSFSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLLINKIGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKITYLVTTFKVVPRGTEGAVSVEGTLAGLLASVLLASIGCFMGEIRAAEAVICVIASQIANFGESIIGALFQEKEGFQWLNNDVVNVLNISMGSILAVLMRQVMLQNLH >Manes.15G097700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7626001:7632710:1 gene:Manes.15G097700.v8.1 transcript:Manes.15G097700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQIPAAASRSTRKNSLKMALSALLCVNSTSFPPLHFPKSPVRLKKSPAPSSPRLSFPKILPLPLRPQAFSIQGPLSEAMNLIQSSPPTWRSAFFNNLLIFILGSPILASGLSFSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLLINKIGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKITYLVTTFKVVPRGTEGAVSVEGTLAGLLASVLLASIGCFMGEIRAAEAVICVIASQIANFGESIIGALFQEKEGFQWLNNDVVNVLNISMGSILAVLMRQVMLQNLH >Manes.15G097700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7626014:7632707:1 gene:Manes.15G097700.v8.1 transcript:Manes.15G097700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQIPAAASRSTRKNSLKMALSALLCVNSTSFPPLHFPKSPVRLKKSPAPSSPRLSFPKILPLPLRPQAFSIQGPLSEAMNLIQSSPPTWRSAFFNNLLIFILGSPILASGLSFSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLLINKIGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKITYLVTTFKVVPRGTEGAVSVEGTLAGLLASVLLASIGCFMGEIRAAEAVICVIASQIANFGESIIGALFQEKEGFQWLNNDVVNVLNISMGSILAVLMRQVMLQNLH >Manes.15G097700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7625999:7632784:1 gene:Manes.15G097700.v8.1 transcript:Manes.15G097700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQIPAAASRSTRKNSLKMALSALLCVNSTSFPPLHFPKSPVRLKKSPAPSSPRLSFPKILPLPLRPQAFSIQGPLSEAMNLIQSSPPTWRSAFFNNLLIFILGSPILASGLSFSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLLINKIGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKITYLVTTFKVVPRGTEGAVSVEGTLAGLLASVLLASIGCFMGEIRAAEAVICVIASQIANFGESIIGALFQEKEGFQWLNNDVVNVLNISMGSILAVLMRQVMLQNLH >Manes.15G097700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7625999:7632710:1 gene:Manes.15G097700.v8.1 transcript:Manes.15G097700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQIPAAASRSTRKNSLKMALSALLCVNSTSFPPLHFPKSPVRLKKSPAPSSPRLSFPKILPLPLRPQAFSIQGPLSEAMNLIQSSPPTWRSAFFNNLLIFILGSPILASGLSFSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLLINKIGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKITYLVTTFKVVPRGTEGAVSVEGTLAGLLASVLLASIGCFMGEIRAAEAVICVIASQIANFGESIIGALFQEKEGFQWLNNDVVNVLNISMGSILAVLMRQVMLQNLH >Manes.15G097700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7626007:7632783:1 gene:Manes.15G097700.v8.1 transcript:Manes.15G097700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQIPAAASRSTRKNSLKMALSALLCVNSTSFPPLHFPKSPVRLKKSPAPSSPRLSFPKILPLPLRPQAFSIQGPLSEAMNLIQSSPPTWRSAFFNNLLIFILGSPILASGLSFSGICAAFLLGTLTWRAFGPSGFLLVASYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCALLLINKIGGEAFSRLWELGFVASFCTKLSDTVSSEIGKAYGKITYLVTTFKVVPRGTEGAVSVEGTLAGLLASVLLASIGCFMGEIRAAEAVICVIASQIANFGESIIGALFQEKEGFQWLNNDVVNVLNISMGSILAVLMRQVMLQNLH >Manes.01G208100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38006267:38009748:1 gene:Manes.01G208100.v8.1 transcript:Manes.01G208100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFIRFRATVAAAARHFSGESAAEKTIGNGGSTARAGGGGDTLGRRLFSLVYAKRSAVIAIGKWKAEGHKVQKYQLNRIVRELRKLKRYKHALEVCEWMTQQKDIKLMPGDYAVHLDLIAKVRGLSSAEKFFEDLRDEIRGWQTCTALLHTYVNYSLLEKAEALMEKMSECNFLKNPLPYNHMLSLYVANRQLEKVPEVIKGLKKNALPDVVTYNLWLSACASQNDVETAEKVFMELKKAKIHPDWITYSTLTNLYIKNQLLEKASSTLREMEKRASRKNRLAYSSLISLHTNMGDKDEVHRIWNKMKSCFRKMNDSEYICMMSSLLKLEEIEEAQNIYSEWESVSGHGDPQVPNVLLAAYINRNQMEEAENFHHQMVQKGIRPCYTTWELLTWGHLKSKQMDKVLDYFKKAISSVKKWNPDKKLIREVFKNLEEKGNVEEAEELLVILRDAGHVSTEIYNSVLRTYENAGKMPLIIAERMKKDNVELDEETHRLIQITSSMCVSEVSSFVS >Manes.08G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28755122:28758579:-1 gene:Manes.08G083100.v8.1 transcript:Manes.08G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEMTHIDLEQGSHRRSVTGSDGTCFFDAEDGSCFSQFYGSYDDYTFACVSDPEIIAGVVLDSRRVSSVSDCSVVVESESRVAETKIHLAKVERDCRICHLGLETNSCESGFPIELGCSCKDDLAVAHRQCAEAWFKIKGNKTCEICHSIARNVVGINEIEVTEQSNETNNTVAVAAVPASAHHSEIRSFWHGHRFLNFLLACMVFAFVISWLLHFNVPSS >Manes.12G085516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:10801798:10802163:1 gene:Manes.12G085516.v8.1 transcript:Manes.12G085516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSLPTIISNSININNQFQTMVINNQFQTMVILLNLRINRLRINTALSIKNFLKQNWRLKLNINPHSQFSTQHLYRPRNYKTKFKKKIIAYLHRYRTTHIKNFNHAFHLCFIDFSKKNR >Manes.05G162000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27481491:27483820:1 gene:Manes.05G162000.v8.1 transcript:Manes.05G162000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVQRKIYLYNVTFGLYMLDWWERCLFNTLVVVLMWFIFYNGSRFVTEFCKRHLW >Manes.05G162000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27481550:27482782:1 gene:Manes.05G162000.v8.1 transcript:Manes.05G162000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVQRKIYLYNVTFGLYMLDWWERCLFNTLVVVLMWFIFYNGSRFVTEFCKRFNWIFMSKQWLP >Manes.05G162000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27481550:27483511:1 gene:Manes.05G162000.v8.1 transcript:Manes.05G162000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVQRKIYLYNVTFGLYMLDWWERCLFNTLVVVLMWFIFYNGSRFVTEFCKRHLW >Manes.05G162000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27481550:27481933:1 gene:Manes.05G162000.v8.1 transcript:Manes.05G162000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVQRKIYLYNVTFGLYMLDWWERCLFNTLVVVLMWFIFYNGSRFVTEFCKRYWDASIS >Manes.02G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:954965:959309:-1 gene:Manes.02G008500.v8.1 transcript:Manes.02G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRSGSLILNAIRCQNIKNRNIDASSKNNTNNNKNNRRSFYELSLSLILLLWCLVLLFYSRLGLSHENEGNLTLVNRSISGPDVFKHKVCNETVSHIAERSYNNTNGVLLELNLSSSCDNSTVHINIENQKYSISETNRIEEIIWSFIGYRSLVCKAERLDGWEPGKSKELPGGRTHHSTYLNLDEFRNITRQEKGQQVSSQLVNITHRLEPDGKEYNFASAMKGAKVVAHNKEAKGADNILGKDQDKYLRNPCSVGGTFVVIELSEETLVDVVKIGNSEHYSSNFKDFNLSGSLNYPTETWTQLGNFVAANVKQSQSFKLPEPKWVRYLKLDVISHYGSEFYCTLSVVEVYGVDAIERMLEDLFVSSGETSPNKLPKPDATATPPLRPELGPTDEKRNGKVQNGADSATTGSEKIDDSQQLHATTMKDTVTISKIPDPIPEVRQQPISRMPGDAVLKILLQKVRSLELNLSVLEEFIKEMNRRQGEILPDLEKELSRISFLLDKSKEEINDLKEWKENMDKGLLALESWKATVTSRMDALVRENIMLRLDVEKVVNDQANLESKELAVLAVSLFFICFATIKLVSARVMKFLGSSESDKVRRTSRGWVMILISSTMTIFITLLSS >Manes.02G008500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:954965:959309:-1 gene:Manes.02G008500.v8.1 transcript:Manes.02G008500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRSGSLILNAIRCQNIKNRNIDASSKNNTNNNKNNRRSFYELSLSLILLLWCLVLLFYSRLGLSHENEGNLTLVNRSISGPDVFKHKVCNETVSHIAERSYNNTNGVLLELNLSSSCDNSTVHINIENQKYSISETNRIEEIIWSFIGYRSLVCKAERLDGWEPGKSKELPGGRTHHSTYLNLDEFRNITRQEKGQQVSSQLVNITHRLEPDGKEYNFASAMKGAKVVAHNKEAKGADNILGKDQDKYLRNPCSVGGTFVVIELSEETLVDVVKIGNSEHYSSNFKDFNLSGSLNYPTETWTQLGNFVAANVKQSQSFKLPEPKWVRYLKLDVISHYGSEFYCTLSVVEVYGVDAIERMLEDLFVSSGETSPNKLPKPDATATPPLRPELGPTDEKRNGKVQNGADSATTGSEKIDDSQQLHATTMKDTVTISKIPDPIPEVRQQPISRMPGDAVLKILLQKDKGLLALESWKATVTSRMDALVRENIMLRLDVEKVVNDQANLESKELAVLAVSLFFICFATIKLVSARVMKFLGSSESDKVRRTSRGWVMILISSTMTIFITLLSS >Manes.10G141800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31073308:31076586:1 gene:Manes.10G141800.v8.1 transcript:Manes.10G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLIKLASIASEEVSLVYGFKKDLRKLQTTLSTIKAILVDAEEKQEESLAVKNWVRRLREVVYDADDLFDDFATEGLRRKAEGEGRMVRKVCDFFSSSNQIAFRFKMGHSIKDIRERLDEIAKEISDFGFIIRKEVGVCMGIKNSWRETDSFVLKSEIVGRDEDKEKIIESLMCPVNQSNISVVAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVETLVKLILKSASNNEVPNLSLEQLQIRLRQCLEGKKYLLVLDDVWNVNNRIWSHLRKYLMVGAIGSRILVTSRSKRVALAMGVDSPYALQGLTEDQSWELFEKLTFREGTGRVNSNLIEIGKEMAKKCKGVPLAIKAIGGIMQLRSSESEWLSVLENELWKVFESDGDISQVLKLSYDVLPYHLKQCFAYCAMFPKDFAFVKDKLIQLWMAQGYVQSQSQSENENLEEIGEGYFNELLFRSFFQKDEYCYKMHDLIHDLAQSIAGDSCFAVDDNTKHIPDRVQHVFSGNLSFEECFRQLKNKGLRTLYCPYIGDGLRLNLDSIFSNCRSIRALSFGWNINGLPDSIGKLKHLRYLEFFSSNISSLPNCICSLYNLQTLILWECRILKELPTDMRKLICLGQLINKGCGSLEFMPLGLGRLTNLQTLSTFVVGSDQGRRCSSLNELNSLNRLRGEICIKGLGNVKNAALESNLVNFKEKKHLQCLRLTWDGKGYSNSGNSELLLDNLQPHPNLKELNVMCYEGVRFSNWLSSITNLVNITLYKCPKCEHLPPLDNLPYLEILNLSYFHSLEYISDEDNLFSSLSASTTSFFPSLNILKLESCPNLKGWWRTFMEAKMVPQFPCLSTLTISNCPNLTLMPMFPSLDMGLHLAYAHIRPFHYTLQMSATASAVPSTSSSVTSPFSKLKTLWLQGIENLASLPGEWMQNLSFLEELFLSYCMEISDEDERGIFKWRYLVSLRNLSLSNLSNLLSLPRELQYVTTLQRLTIRECSNLRALPEWIGNLTALQNLCINVCPKLESLPRGLRQITTLQQLNVTLCPHLSERCTHNMAADWPNISHILNIHINGNHIQKEGRYLL >Manes.15G146200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12045644:12047319:1 gene:Manes.15G146200.v8.1 transcript:Manes.15G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSFTNPPANLPLKPIPGSYGTPFFGAIKDRHDYFYNQGEEEFFASRIKKYQSTVIRLNMPPGPFISQDPRVIAVLDAVSFPVLFDTSKVEKRDCLDGTFRPSVSFTGGYRTCAFLDPSEPNHNSIKAFVLSILASKHNAFIPLFRNCLSKLFTDIDKKMSSEKKVCYNFMNDAMAFSFVFQLLCEKDPADTRLGNMGSIYVDKWLALQLAPLGTIHLPSFLKFLEDIAIRTFQFPFFLVKHAYDKLYKAFYEHAAPLLDRAESFGIKRDEACHNLVFLAGFNAYGGMQGVFPALLKWVGQAGEHLHAQLAHEIRTAVKEEGGVTFQALDNMTLTKSVVYECLRLEPPVPYQYARAKKDIVVHSHDAAYEIKKGEMIFGYQPCATSDPKVFDNPKQFVADRFVGEEGEKLLNYVYWSNDRETHLPTVEDKQCPAKDQVVLLARLFLVEFFLRYDTYTVEVKTHIGLVSYLTITSLAKATSS >Manes.02G173800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13735720:13735935:-1 gene:Manes.02G173800.v8.1 transcript:Manes.02G173800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLRDFWLREDSATLECSESSVPLLLFTLVCGLCLLNHNTICLGTELTRSNRCTIRTSKSAALDVELKC >Manes.04G160300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35485005:35489687:-1 gene:Manes.04G160300.v8.1 transcript:Manes.04G160300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSVAGVVVFCLLLILSSSLAASTTKTGLRRNLLANGLGMTPPMGWNSWNHFGCQIDEKMIKETADALVSTGLDKLGYTYVNIDDCWAEIARDDKGRLVPKNATFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKKMPGSLGHEEQDAMTFALWGIDYLKYDNCNNDGTKPTVRYPVMTRALMKTGRPIFFSLCEWGDLHPALWGGKVGNSWRTTNDIADNWESMISRADMNEVYAELARPGGWNDPDMLEVGNGGMTKDEYIVHFSLWAISKAPLLIGCDVRNITKETMEIVANKEVIAVNQDPLGLQAKKVRMMGDYEIWAGPLKDYRVVVLLLNRGPWRGSITANWDDIGIPPQSSVQARDVWKHKTLKAQFVGNITATVDSHACKMYILKPIS >Manes.04G160300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35485005:35489687:-1 gene:Manes.04G160300.v8.1 transcript:Manes.04G160300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKETADALVSTGLDKLGYTYVNIDDCWAEIARDDKGRLVPKNATFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKKMPGSLGHEEQDAMTFALWGIDYLKYDNCNNDGTKPTVRYPVMTRALMKTGRPIFFSLCEWGDLHPALWGGKVGNSWRTTNDIADNWESMISRADMNEVYAELARPGGWNDPDMLEVGNGGMTKDEYIVHFSLWAISKAPLLIGCDVRNITKETMEIVANKEVIAVNQDPLGLQAKKVRMMGDYEIWAGPLKDYRVVVLLLNRGPWRGSITANWDDIGIPPQSSVQARDVWKHKTLKAQFVGNITATVDSHACKMYILKPIS >Manes.01G252500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41059633:41078158:-1 gene:Manes.01G252500.v8.1 transcript:Manes.01G252500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSKSDSRNSKTPSTGSLPLILDIDKFKGEFSFDGLFGNLVNELLPSFQEEESDSAEGHGNIGGSDALANGHLRAPTDAAKLLQGLSPLFPEVDALLSLFRDSCRELIDLRKQIDGKLSNLKKDVSGQDSKHRKTLAELEKGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNGSPGDLMELSPLFSDDSRVSEAASIAQKLRSFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADARLVLGDQVSQASPSDVARGLSSLYKQITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLMNLPSMEEGGLLLYLRMLAVAYEKTQGLARDLRAVGCGDLDVEGLTESLFSSHKDEFLEHEQASLRQLYQAKMGELRAESQQLSESTGTIGRSKGASLASSQQQISVTVVTEFVHWNEEAISRCALFSSQPATLAANVKAVFTCLLDQVGQYITDGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGIAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGITANVFIVAPESLSSLFEGTPSIRKDAQRFIQLREDFKSEKLASRLGSLWTSSS >Manes.01G252500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41062851:41078158:-1 gene:Manes.01G252500.v8.1 transcript:Manes.01G252500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSKSDSRNSKTPSTGSLPLILDIDKFKGEFSFDGLFGNLVNELLPSFQEEESDSAEGHGNIGGSDALANGHLRAPTDAAKLLQGLSPLFPEVDALLSLFRDSCRELIDLRKQIDGKLSNLKKDVSGQDSKHRKTLAELEKGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNGSPGDLMELSPLFSDDSRVSEAASIAQKLRSFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADARLVLGDQVSQASPSDVARGLSSLYKQITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLMNLPSMEEGGLLLYLRMLAVAYEKTQGLARDLRAVGCGDLDVEGLTESLFSSHKDEFLEHEQASLRQLYQAKMGELRAESQQLSESTGTIGRSKGASLASSQQQISVTVVTEFVHWNEEAISRCALFSSQPATLAANVKAVFTCLLDQVGQYITDGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGIAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSQFQRFHMKVLAKVPLSLFWI >Manes.01G252500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41059633:41078163:-1 gene:Manes.01G252500.v8.1 transcript:Manes.01G252500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSKSDSRNSKTPSTGSLPLILDIDKFKGEFSFDGLFGNLVNELLPSFQEEESDSAEGHGNIGGSDALANGHLRAPTDAAKLLQGLSPLFPEVDALLSLFRDSCRELIDLRKQIDGKLSNLKKDVSGQDSKHRKTLAELEKGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNGSPGDLMELSPLFSDDSRVSEAASIAQKLRSFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADARLVLGDQVSQASPSDVARGLSSLYKQITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLMNLPSMEEGGLLLYLRMLAVAYEKTQGLARDLRAVGCGDLDVEGLTESLFSSHKDEFLEHEQASLRQLYQAKMGELRAESQQLSESTGTIGRSKGASLASSQQQISVTVVTEFVHWNEEAISRCALFSSQPATLAANVKAVFTCLLDQVGQYITDGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGIAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGITANVFIVAPESLSSLFEGTPSIRKDAQRFIQLREDFKSEKLASRLGSLWTSSS >Manes.01G252500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41063460:41078158:-1 gene:Manes.01G252500.v8.1 transcript:Manes.01G252500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSKSDSRNSKTPSTGSLPLILDIDKFKGEFSFDGLFGNLVNELLPSFQEEESDSAEGHGNIGGSDALANGHLRAPTDAAKLLQGLSPLFPEVDALLSLFRDSCRELIDLRKQIDGKLSNLKKDVSGQDSKHRKTLAELEKGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNGSPGDLMELSPLFSDDSRVSEAASIAQKLRSFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADARLVLGDQVSQASPSDVARGLSSLYKQITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLMNLPSMEEGGLLLYLRMLAVAYEKTQGLARDLRAVGCGDLDVEGLTESLFSSHKDEFLEHEQASLRQLYQAKMGELRAESQQLSESTGTIGRSKGASLASSQQQISVTVVTEFVHWNEEAISRCALFSSQPATLAANVKAVFTCLLDQVGQYITDGLERARDSLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVQSRLLVFYFHDLGSCGQSQFFNSSYDCFL >Manes.11G082579.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:18433488:18434847:1 gene:Manes.11G082579.v8.1 transcript:Manes.11G082579.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVSKQIARRKAISTEKKALYDLHENSGETYPGSDYRPADRKNWMGGLNPDKVHINKIVWPGTHDSATNKIGIPMISRPFAQCQKLSIYQQLCTGTRVLDIRVQEDRRVCHGILKTYSVDVVINDVKKFLSETQSEIIILEIRTEFGHEDPADFDKYLEEQFGEYLIHQDDHVFSKTIAELLPKRIICIWKPRKSPQPKHGDPLWSAGYLKDNWIDTDLPSTKFESNIKHLSEQQPASSRKIFYRVENTVTPQPDNPIVCVKPVTGRIHGYARMFITQCFSKGCADKLQIFSTDFIDEDFVDACVGLTHARIEGKA >Manes.07G139100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34129424:34133356:1 gene:Manes.07G139100.v8.1 transcript:Manes.07G139100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMIMVSFSRFCCRFLKLRAVFTCLGPLNHSFSSLAKQHPASFTNRIPISVNGSLKLTSMVSDAYECNVKISNLGRHGNVNQARKLFDEMPHRDIVSYASMITVYLKNNDFSQAERLFLTMPERNIVADSAMISGYVKAGQIDKARDVFDHMVERNVFSWTSLVSGYFMIGKVDEALRLFHQMPYRNVVSWTTVVAGYAQNGFIDQARLIFDQMPEKNIVAWTVMIKSYVENDRIDEAFELFYRMPQRNLYSWNILLSGCLSSNRLNESMQLFNSMPQRNAVSWTTMVTGLARNGMIKPAREYFDQMPKKDTAAWNAMITAYIDQGSMVEANELFNLMPEKNIVSWNAMIYGYARYGPSGVAFKHLILMLRQNVKPNETTITSVLTACDSIVELMQAHGLVIHLGYEHDSMLANALVTVYSRHGDVLSARFVFDQLEAKDIVSWTSMILAYSNHGCVHHALQIFARMLRFGNKPDEITFVGLLSACSHAGLIQKDILGRAGEVNKAMKVVSEMPQHERDGAVLGALLGACRLHKDVRLANQIGKKLIDQEPTSSGSYALLANVYAACGKWNEFALVRKKMKERNVKKEPGFSQIEVKGHGIQSRRHT >Manes.07G139100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34129424:34133356:1 gene:Manes.07G139100.v8.1 transcript:Manes.07G139100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMIMVSFSRFCCRFLKLRAVFTCLGPLNHSFSSLAKQHPASFTNRIPISVNGSLKLTSMVSDAYECNVKISNLGRHGNVNQARKLFDEMPHRDIVSYASMITVYLKNNDFSQAERLFLTMPERNIVADSAMISGYVKAGQIDKARDVFDHMVERNVFSWTSLVSGYFMIGKVDEALRLFHQMPYRNVVSWTTVVAGYAQNGFIDQARLIFDQMPEKNIVAWTVMIKSYVENDRIDEAFELFYRMPQRNLYSWNILLSGCLSSNRLNESMQLFNSMPQRNAVSWTTMVTGLARNGMIKPAREYFDQMPKKDTAAWNAMITAYIDQGSMVEANELFNLMPEKNIVSWNAMIYGYARYGPSGVAFKHLILMLRQNVKPNETTITSVLTACDSIVELMQAHGLVIHLGYEHDSMLANALVTVYSRHGDVLSARFVFDQLEAKDIVSWTSMILAYSNHGCVHHALQIFARMLRFGNKPDEITFVGLLSACSHAGLIQKGQKLFDSMDCAYGIKPRAEHYSCLVDILGRAGEVNKAMKVVSEMPQHERDGAVLGALLGACRLHKDVRLANQIGKKLIDQEPTSSGSYALLANVYAACGKWNEFALVRKKMKERNVKKEPGFSQIEVKGKSHVFFVGERSHPQVEEIYNFLDYRLLPLMQDMGFNPEGTPELLSCYNE >Manes.07G139100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34129418:34133372:1 gene:Manes.07G139100.v8.1 transcript:Manes.07G139100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMIMVSFSRFCCRFLKLRAVFTCLGPLNHSFSSLAKQHPASFTNRIPISVNGSLKLTSMVSDAYECNVKISNLGRHGNVNQARKLFDEMPHRDIVSYASMITVYLKNNDFSQAERLFLTMPERNIVADSAMISGYVKAGQIDKARDVFDHMVERNVFSWTSLVSGYFMIGKVDEALRLFHQMPYRNVVSWTTVVAGYAQNGFIDQARLIFDQMPEKNIVAWTVMIKSYVENDRIDEAFELFYRMPQRNLYSWNILLSGCLSSNRLNESMQLFNSMPQRNAVSWTTMVTGLARNGMIKPAREYFDQMPKKDTAAWNAMITAYIDQGSMVEANELFNLMPEKNIVSWNAMIYGYARYGPSGVAFKHLILMLRQNVKPNETTITSVLTACDSIVELMQAHGLVIHLGYEHDSMLANALVTVYSRHGDVLSARFVFDQLEAKDIVSWTSMILAYSNHGCVHHALQIFARMLRFGNKPDEITFVGLLSACSHAGLIQKGQKLFDSMDCAYGIKPRAEHYSCLVDILGRAGEVNKAMKVVSEMPQHERDGAVLGALLGACRLHKDVRLANQIGKKLIDQEPTSSGSYALLANVYAACGKWNEFALVRKKMKERNVKKEPGFSQIEVKGKSHVFFVGERSHPQVEEIYNFLDYRLLPLMQDMGFNPEGTPELLSCYNE >Manes.08G142300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37852220:37855909:-1 gene:Manes.08G142300.v8.1 transcript:Manes.08G142300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVERKKSFPALLLLPLALSLLFLERFTVIYALNYTNYKQVSSLRLKRIHRHLDKINKPAVITIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRVPSEMPRVKVMNKEEEEEEGGKEPKEMMMSNEGVKGAWQLWHTNGTRCPKGTVPIRRSTVHDVLRAKSLFDFGKKQRSLSLARRSDAPDVVSGKGHEHAIAYTGTSQEVYGAKATINVWDPSIQLVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDSYQATGCYNLLCAGFVQTNNRIAIGAAISPVSSYAGNQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGLHTSTQMGSGHFAEDGFGKASYFRNLEIVDSDNSLTSAQAISILAENTNCYNIKSSYNNEWGTHFYYGGPGNNPQCP >Manes.08G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37852220:37855909:-1 gene:Manes.08G142300.v8.1 transcript:Manes.08G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVERKKSFPALLLLPLALSLLFLERFTVIYALNYTNYKQVSSLRLKRIHRHLDKINKPAVITIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRVPSEMPRVKVMNKEEEEEEGGKEPKEMMMSNEGVKGAWQLWHTNGTRCPKGTVPIRRSTVHDVLRAKSLFDFGKKQRSLSLARRSDAPDVVSGKGHEHAIAYTGTSQEVYGAKATINVWDPSIQLVNEFSLSQIWVLSGSFDGSDLNSIEAGCQVSPELYGDSRPRLFTYWTSDSYQATGCYNLLCAGFVQTNNRIAIGAAISPVSSYAGNQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGLHTSTQMGSGHFAEDGFGKASYFRNLEIVDSDNSLTSAQAISILAENTNCYNIKSSYNNEWGTHFYYGGPGNNPQCP >Manes.08G142300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37852220:37855909:-1 gene:Manes.08G142300.v8.1 transcript:Manes.08G142300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVERKKSFPALLLLPLALSLLFLERFTVIYALNYTNYKQVSSLRLKRIHRHLDKINKPAVITIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRVPSEMPRVKVMNKEEEEEEGGKEPKEMMMSNEGVKGAWQLWHTNGTRCPKGTVPIRRSTVHDVLRAKSLFDFGKKQRSLSLARRSDAPDVVSGKGHEHAIAYTGTSQEVYGAKATINVWDPSIQLVNEFSLSQIWVLSGSFDGSDLNSIEAGWQSDSYQATGCYNLLCAGFVQTNNRIAIGAAISPVSSYAGNQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGLHTSTQMGSGHFAEDGFGKASYFRNLEIVDSDNSLTSAQAISILAENTNCYNIKSSYNNEWGTHFYYGGPGNNPQCP >Manes.08G142300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37852220:37855909:-1 gene:Manes.08G142300.v8.1 transcript:Manes.08G142300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVERKKSFPALLLLPLALSLLFLERFTVIYALNYTNYKQVSSLRLKRIHRHLDKINKPAVITIERVPSEMPRVKVMNKEEEEEEGGKEPKEMMMSNEGVKGAWQLWHTNGTRCPKGTVPIRRSTVHDVLRAKSLFDFGKKQRSLSLARRSDAPDVVSGKGHEHAIAYTGTSQEVYGAKATINVWDPSIQLVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDSYQATGCYNLLCAGFVQTNNRIAIGAAISPVSSYAGNQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGLHTSTQMGSGHFAEDGFGKASYFRNLEIVDSDNSLTSAQAISILAENTNCYNIKSSYNNEWGTHFYYGGPGNNPQCP >Manes.08G142300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37852220:37855909:-1 gene:Manes.08G142300.v8.1 transcript:Manes.08G142300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVERKKSFPALLLLPLALSLLFLERFTVIYALNYTNYKQVSSLRLKRIHRHLDKINKPAVITIERVPSEMPRVKVMNKEEEEEEGGKEPKEMMMSNEGVKGAWQLWHTNGTRCPKGTVPIRRSTVHDVLRAKSLFDFGKKQRSLSLARRSDAPDVVSGKGHEHAIAYTGTSQEVYGAKATINVWDPSIQLVNEFSLSQIWVLSGSFDGSDLNSIEAGWQSDSYQATGCYNLLCAGFVQTNNRIAIGAAISPVSSYAGNQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGLHTSTQMGSGHFAEDGFGKASYFRNLEIVDSDNSLTSAQAISILAENTNCYNIKSSYNNEWGTHFYYGGPGNNPQCP >Manes.12G052600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4925204:4926932:1 gene:Manes.12G052600.v8.1 transcript:Manes.12G052600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPGHLPRHLLSLSPNPQNALQISNESSITKLRDRLDWLEAVKTCDPIQIGDAQLKIIERRVKRVNNSNADGSTQTRSRMQTPGSPFIRNFTPFDEFDNRTPSAVNRDSSGEHEAVAYDSLSLDDFFRRYTSEDNDSFSKILEKVNRKRKERYGYLLEDEKLDVKLNGDAKRNRNTDGGYGASDQPPSTLKGWNYTANNLLMYYPSDRGEAPLTEEEQADRLKGLTKEINRSNTNFHGKMLDSRPNDDGTMEKAENGYSLVKTPSPATGVDESPFITWVEIEGTPLRLEPEDTPVDIGASSNGPHFKISNPPARDMKAHSLSREAVRKLRERSKLFQKPPLPSPVRGGSESPSPSLSKFMRNAISKSSSSIDESLRASYRRGSTERSVSRFGRDGRMNSSQVTICKGGY >Manes.09G102100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30046407:30051073:-1 gene:Manes.09G102100.v8.1 transcript:Manes.09G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSLLLHSPSPRFFSSRSNLINLGRSHLSYDRIILKRSIKRESCWTSSKGYLKEKKRNRGCAVRCTAEGIERGILMGGREGGEVNMVSERYKVVALTACVMCLCNADRVVMSVAVVPLAAKYGWSSSFLGIVQSSFLWGYIFSSVIGGALVDKYGGKRVLAWGVGLWSLATLLTPWAANHSTAGLLAVRAFFGLAEGVALPSMSTLLSRWFPSHERASAVGISMAGFHLGNVIGLLLTPIMLSTVGISGPFILFALLGLLWLTTWASGVTNDPRDSPFISKSELRLIQAGKTDSTAANKGQLPPLRLLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFKTVFGVNLKQAAWFSALPWGTMALSGYIAGAASDSLIKAGYSVTLVRKIMQSIGFIGPGVSLLCLNFAKTPVTASMLITAALSLSSFSQAGFLLNMQDIAPQYAGFLHGIANSAGTFAAIVSTIGTGYFVQWLGSFQAFLTVTAGLYFLTTIFWNMFATGERVF >Manes.15G184800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:28678845:28679114:-1 gene:Manes.15G184800.v8.1 transcript:Manes.15G184800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAFLFSLVAGVVILLHLQMDLAEAASRQLHIHPPPFPNKLSLRNPKPPSFILYGINRYKYTESEAFRPTSPGHSPGVGHKDPPGAP >Manes.S095306.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251164.1:929:3078:-1 gene:Manes.S095306.v8.1 transcript:Manes.S095306.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVEKSSAPPSNYYHVFFSSRSDDTRKPFIALLYKELERKGFLCFKDNQKLGREKSTQAIESSRFAVVVITESYASSSLCLDELVKIIQWKETRGLSVLPIFYNVDPLEVKEQTGWFAQVFERHENDSSVLEKLQSWREALIKLAFIDGWNSRDWVDDHKLTEEVAKVILKEWILHMPSSDFNGLVGIDSRVEQIQSLLNMGSEDVLFVGLWGMGGIGKTTTARALFNQISNHFEAAHFVANVREESENVQ >Manes.09G081652.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20668667:20671286:-1 gene:Manes.09G081652.v8.1 transcript:Manes.09G081652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLSHSINNRSSYQSRHNNNSLVRHVLQEDSNENEHEELYKVGEVVNDNNNCDEIELAGIDDAPSVGMYYASIDILFNAYLAYAKEKGFSVAKNYASKGNGNTEHNHELDPHMSRFMHAHKSVSSIIKRRLKAHDIAGIRPSKSVRLLEVQTRYPEKLSCLPRDCRNFVDRTRRLKLGNGDVDYINKMFLRMQRENANFFHLIDTDEDHKLTNVFWEHPWSIVAYEELNDVVSVNTTYLVNRYRMPFASIIGVNHHGQSILLGSTLISHKDAKSFKWVFSMWLAAVDQCESMRSAIREVMPNIVHRFCIWHILCKNPEKLRGVRDYDKAKEEFIALIYENLSPTIQFWVLVYVNHIFWAGMLSTQMSEGMHAYFDGYVYMSTLKQFVEKEFFAYFRSKNIVVNCISEFQWERQFQEAYTTAMFRQAVECEDVNEVDEEFMEEGFEHNKILERSLMNNWYVKEHVYIVLYKEEGSVFKCNCRKFESKGILCTHILKVITLKNMMQIHERYVLRRWRKYVYCRHNKIFFNARYPHMTEEYKKFKKINKIFNEAADVAMQNISRLQYMKEYINDNLNDVDLNGGNGDLHTEAAIIRNPIVASNRGPRSNPNQGVGRHGRGRGRGHSNGQGRALDNDLTQESHYQASNLQPSNFSLNLESGFLVEYFDQAGEN >Manes.14G021500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2113228:2114244:-1 gene:Manes.14G021500.v8.1 transcript:Manes.14G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTQVNNPINHTSIASPVFINTESLHSILSHRSLIQHFYTSLPTVSPSLHTPIRQSYSVSPSSSLLLMPSWSSSPFLPYIGVKLVTYFPENSMLNLPGIHASYVLFSSTTGQTLASMDGTVLTLYRTACVSGLASKILARDDSKVLVMIGAGALAPHLIKAHLAAKPSLEKVIIWNRTMKKATDLAEKLRVECTENDGVCFESNDNLEIIGLGDIVSCATNSDRPLVKGEKLKPGTHLDLVGSFKETMRECDDEAIKRGRVYVDNEAALVEAGEIVGALERGVIEKKDVGYLGELIKGDEAGRRDSQEITVFKSVGSAIVDMLAAQLVYEHYTRG >Manes.03G059000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6053440:6057205:1 gene:Manes.03G059000.v8.1 transcript:Manes.03G059000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSRNPSQLSSKKQQKQEKGGLAESFRLENFIPGLIIGFIFGLLMDLTNPPKNYAKKKKALPGKPQQQSLASNNGDQELKMVLVVRQDLKMGSGKIASQCAHAATGMYSELMQSQRSLLSRWEQRGQPKIVVTCKNQQEMNKLEEAADSIGLPTFVVADAGRTQVSAGSKTVLAIGPGSKASVDSITGKLRLL >Manes.03G059000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6053437:6057135:1 gene:Manes.03G059000.v8.1 transcript:Manes.03G059000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSRNPSQLSSKKQQKQEKGGLAESFRLENFIPGLIIGFIFGLLMDLTNPPKNYAKKKKALPGKPQQQSLASNNGDQELKMVLVVRQDLKMGSGKIASQCAHAATGMYSELMQSQRSLLSRWEQRGQPKIVVTCKNQQEMNKLEEAADSIGLPTFVVADAGRTQVSAGSKTVLAIGPGGKLKKENAQHPHLIG >Manes.03G059000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6053423:6057200:1 gene:Manes.03G059000.v8.1 transcript:Manes.03G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSRNPSQLSSKKQQKQEKGGLAESFRLENFIPGLIIGFIFGLLMDLTNPPKNYAKKKKALPGKPQQQSLASNNGDQELKMVLVVRQDLKMGSGKIASQCAHAATGMYSELMQSQRSLLSRWEQRGQPKIVVTCKNQQEMNKLEEAADSIGLPTFVVADAGRTQVSAGSKTVLAIGPGSKASVDSITGKLRLL >Manes.03G059000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6053441:6057098:1 gene:Manes.03G059000.v8.1 transcript:Manes.03G059000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSRNPSQLSSKKQQKQEKGGLAESFRLENFIPGLIIGFIFGLLMDLTNPPKNYAKKKKALPGKPQQQSLASNNGDQELKMMENCLWQVLVVRQDLKMGSGKIASQCAHAATGMYSELMQSQRSLLSRWEQRGQPKIVVTCKNQQEMNKLEEAADSIGLPTFVVADAGRTQVSAGSKTVLAIGPGSKASVDSITGKLRLL >Manes.14G063700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5296032:5298736:1 gene:Manes.14G063700.v8.1 transcript:Manes.14G063700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRKFNDAFFFTFISLLSLFSLFPPLFADVDSRITPPPDASLMTTSFSSPSPPPFPDFLSSSSPFKPSIAVIVGVLTTMFSITLLLLLYAKHCKRGEVVVYNGFHVDSITRRTGYAPSTARKNSGIDRAVIESLPIFRFSSLRGQKDGLECAVCLTRFEPPEVLRLLPKCKHAFHVECVDTWLDAHCTCPLCRYRVDPEDILLIDHASSNILHGNHLPPPPEDPESLGVERGTADTDRAETHSEKCRRISGRHSSAGEKASGFIPQVVVQRPTAGSERIPSGSRNHSSNGPTWFARRSLDIGSLRKKSNKESVAVGCFDRYQSHQRKDGLLLTNDDGDGDGDGDGDGDDRESKKTGGGAGGDMTRLEHRIIVSGSGFHQRWSDVQPSDLLYLRSEMIISDSRRLSTALGSRPSIGRRQQQQNTEGNMRTGGESIDEESGRSVINSRSVSEITGLSRFSSRGSNNSRHHRERQAGVVSRWLAWISSQSHIDVRSNRTPTDDGLRD >Manes.16G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32858617:32862319:-1 gene:Manes.16G127600.v8.1 transcript:Manes.16G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHENYASTVTITKRVSVYPKASHPHRILNLSNLDRQCPLLVYLVFFYKPSHAYANLSLNSVFSGLKSGLEETLSIWYPAAGRLNLNPNDGKLNLWCNNEGAVLVEAVTQIKISELGDLSQYNEFFENLVYKPVFNGNFSAMPLVVAQVTKFGCGGYSVGIGASHSLFDGPATFDFLCAWACNSAIMKEKGSHELQKPVHDRGLLVQVGNCNAQKSSITRAAAIDHLYQLIQQTLADHHQNLAIDHGWFGDRNPSYLLKTFHVSGTMIENLKRKVLGEKRSSSFSSCSSFELLSAHLWKVRTKALGVRKERMVCLQFAVDTRNKMVPSLPKGFSGNAYVLASVLIRAGELEVASHEAIIEKIKQAKNSVNGDYVRAYMEALNGPQANLPPLSELTLVSDWTRMPFHKVDFLQGYAAYACPLVSPIPQVAYFMQNPIDVRGIDVRIGLPPQALDAFSHYFLMNLL >Manes.18G083300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7671873:7672740:1 gene:Manes.18G083300.v8.1 transcript:Manes.18G083300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLSTKDLHRIFQKLDKNNDGLLSLEELSWLLERIGINFSLEELQSSVGKSSLNLDEFLLFYDSITKQNDDINGEKEEEEQDLAKAFNVFDLNGDGFISCEELQSVLARLGLWDETSGKDCRYMIHVYDTNLDGVLDFQEFKNMMLHAE >Manes.09G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2202243:2216953:1 gene:Manes.09G011100.v8.1 transcript:Manes.09G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLHSLRLNSFARFRLCSLFPPLPQNGRRDATTCVGRKARLLCSVANVSEFFECDQLHDDIESTEKDAALRLALTQLAGEFGRESMLSLRRFFSSRHAPVIPTGSLKLDLALGIGGLPKGRMVEIYGREASGKTTLALHIIKEAQKRGGYCAYLDAENALDASFVESMGVNTDNLLISPPDSAERLLSVVDTLTKSGSIDVIVVDSVAALVPQHELDTSIISVQDTRSRIISQALRKIHYSLCQSKTLIVFLNQVRSNVKSGKGLGCVGEDTCGGNALKFYSAVRLRMVKTGLLKTEDKATGLAVSVQVVKNKLAPAMKKADIGIQFGRGFRSESEILELACEHEVIMKDGNTYLIEGEVISDKHAAEGYLSENYEVLDRIVVALRRQLFGR >Manes.09G011100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2202775:2216953:1 gene:Manes.09G011100.v8.1 transcript:Manes.09G011100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRRFFSSRHAPVIPTGSLKLDLALGIGGLPKGRMVEIYGREASGKTTLALHIIKEAQKRGGYCAYLDAENALDASFVESMGVNTDNLLISPPDSAERLLSVVDTLTKSGSIDVIVVDSVAALVPQHELDTSIISVQDTRSRIISQALRKIHYSLCQSKTLIVFLNQVRSNVKSGKGLGCVGEDTCGGNALKFYSAVRLRMVKTGLLKTEDKATGLAVSVQVVKNKLAPAMKKADIGIQFGRGFRSESEILELACEHEVIMKDGNTYLIEGEVISDKHAAEGYLSENYEVLDRIVVALRRQLFGR >Manes.09G011100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2202243:2216953:1 gene:Manes.09G011100.v8.1 transcript:Manes.09G011100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFLHSLRLNSFARFRLCSLFPPLPQNGRRDATTCVGRKARLLCSVANVSEFFECDQLHDDIESTEKDAALRLALTQLAGEFGRESMLSLRRFFSSRHAPVIPTGSLKLDLALGIGGLPKGRMVEIYGREASGKTTLALHIIKEAQKRGGYCAYLDAENALDASFVESMGVNTDNLLISPPDSAERLLSVVDTLTKSGSIDVIVVDSVRSNVKSGKGLGCVGEDTCGGNALKFYSAVRLRMVKTGLLKTEDKATGLAVSVQVVKNKLAPAMKKADIGIQFGRGFRSESEILELACEHEVIMKDGNTYLIEGEVISDKHAAEGYLSENYEVLDRIVVALRRQLFGR >Manes.09G011100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2202775:2216953:1 gene:Manes.09G011100.v8.1 transcript:Manes.09G011100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTREPCTLQGRMVEIYGREASGKTTLALHIIKEAQKRGGYCAYLDAENALDASFVESMGVNTDNLLISPPDSAERLLSVVDTLTKSGSIDVIVVDSVAALVPQHELDTSIISVQDTRSRIISQALRKIHYSLCQSKTLIVFLNQVRSNVKSGKGLGCVGEDTCGGNALKFYSAVRLRMVKTGLLKTEDKATGLAVSVQVVKNKLAPAMKKADIGIQFGRGFRSESEILELACEHEVIMKDGNTYLIEGEVISDKHAAEGYLSENYEVLDRIVVALRRQLFGR >Manes.09G011100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2202243:2216953:1 gene:Manes.09G011100.v8.1 transcript:Manes.09G011100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTREPCTLQGRMVEIYGREASGKTTLALHIIKEAQKRGGYCAYLDAENALDASFVESMGVNTDNLLISPPDSAERLLSVVDTLTKSGSIDVIVVDSVAALVPQHELDTSIISVQDTRSRIISQALRKIHYSLCQSKTLIVFLNQVRSNVKSGKGLGCVGEDTCGGNALKFYSAVRLRMVKTGLLKTEDKATGLAVSVQVVKNKLAPAMKKADIGIQFGRGFRSESEILELACEHEVIMKDGNTYLIEGEVISDKHAAEGYLSENYEVLDRIVVALRRQLFGR >Manes.12G045750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3942692:3947900:1 gene:Manes.12G045750.v8.1 transcript:Manes.12G045750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFLLLKMYPITYKRREEQILAEEKAIGYFSPQFDFSACGSNANQEHNPLKDTEHPSITSLESTSVSDSCFSSQQVPNGNFKMVSITDVQCTACKQLLFRPVVLNCGHGYCEACIMASVDKGLKCQMCQFLHPTTSPKVCLELDCFLERQFPKEYASRRDVVQLKQACMEIENQTDLPKEEHLQQGATPAELKVHINVGCDYCGMYPIVGDRYQCKDCVEKIGFDLCGDCYNTRSKRPGRFNQQHTPQHRLERVKSSNFRHVLLRLVSDQFEDVSVGFTDYEDPSEVSENESPTSTLSPETHESTRNSSDTSVIQTESADDQNETESRS >Manes.12G045750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3942692:3947900:1 gene:Manes.12G045750.v8.1 transcript:Manes.12G045750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLEDIPDSFLCCICLDLLYKPIVLSCGHMSCFWCVHKSMNCLRESHCPICRHPYNHFPTICEMLHFLLLKMYPITYKRREEQILAEEKAIGYFSPQFDFSACGSNANQEHNPLKDTEHPSITSLESTSVSDSCFSSQQVPNGNFKMVSITDVQCTACKQLLFRPVVLNCGHGYCEACIMASVDKGLKCQMCQFLHPTTSPKVCLELDCFLERQFPKEYASRRDVVQLKQACMEIENQTDLPKEEHLQQGATPAELKVHINVGCDYCGMYPIVGDRYQCKDCVEKIGFDLCGDCYNTRSKRPGRFNQQHTPQHRLERVKSSNFRHVLLRLVSDQFEDVSVGFTDYEDPSEVSENESPTSTLSPETHESTRNSSDTSVIQTESADDQNETESRS >Manes.12G045750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3942692:3947900:1 gene:Manes.12G045750.v8.1 transcript:Manes.12G045750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLEDIPDSFLCCICLDLLYKPIVLSCGHMSCFWCVHKSMNCLRESHCPICRHPYNHFPTICEMLHFLLLKMYPITYKRREEQILGISLKFNDITLLPLHVSAEEKAIGYFSPQFDFSACGSNANQEHNPLKDTEHPSITSLESTSVSDSCFSSQQVPNGNFKMVSITDVQCTACKQLLFRPVVLNCGHGYCEACIMASVDKGLKCQMCQFLHPTTSPKVCLELDCFLERQFPKEYASRRDVVQLKQACMEIENQTDLPKEEHLQQGATPAELKVHINVGCDYCGMYPIVGDRYQCKDCVEKIGFDLCGDCYNTRSKRPGRFNQQHTPQHRLERVKSSNFRHVLLRLVSDQFEDVSVGFTDYEDPSEVSENESPTSTLSPETHESTRNSSDTSVIQTESADDQNETESRS >Manes.09G188900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37494903:37495832:1 gene:Manes.09G188900.v8.1 transcript:Manes.09G188900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLQATIPFYSSLLDACISTKSLKSLIQIHAHLITNGIYHHHFIRSKLISAYASCAQMRQATLLFSFANRQSTFLFNSLIRAYASLNLFSHALSFFRRMLCANKPIDRHTLPSVLKSCAGLSALRLGLQVHGIVLVNGFVSELSNSNALINMYAKCGDLIGARKLFDGMPVKNEVSWATMMSAYGMHGKVGEVLILFESMVEEGNRVDAVCLTAVLSACSHGGMVEKGKEYFRMMERFGVKPGLEHYTCMVDMLGRAGRVDEAEELVMRMEIKPDEAFWTALLGACRIHREVVVAERVAKKIHGSWTE >Manes.08G102600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34079458:34085996:-1 gene:Manes.08G102600.v8.1 transcript:Manes.08G102600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPAQTLSKQKQQQQNLLFHGGYGGCGGGGGFSDSFGVMSRLEGYDYCSSEACLGSDLVPNPPMAEDESRTNSLNEPAGSSSKDNIQEDRDEGWLQLSIGGRTTSHESKHDHHHHQLDQTATRGGLIELDLLPGSTSHQGRPLSSPIFHVPAEFRAPARPLMSIAGGSSYTTTLFFQHQQGSSSTYPHPQDINWAFRPMTHNIAMASSPSPSQSSSSSLMPLGSYFSRPFQVHSGIDVAGPSSDFRVIDPPKRPHSGIWFTLQASQNQAQEPFLPQISKSYLRIKDGKMTVRLIMKYLVNKLRLDSESEQVEITCRGQQLLPFLTLQHVRDNIWSPREGGVTLLPHSSTTDHVMVLHYARTHHHHN >Manes.08G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34079355:34086162:-1 gene:Manes.08G102600.v8.1 transcript:Manes.08G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPAQTLSKQKQQQQNLLFHGGYGGCGGGGGFSDSFGVMSRLEGYDYCSSEACLGSDLVPNPPMAEDESRTNSLNEPAGSSSKDNIQEDRDEGWLQLSIGGRTTSHESKHDHHHHQLDQTATRGGLIELDLLPGSTSHQGRPLSSPIFHVPAEFRAPARPLMSIAGGSSYTTTLFFQHQQGSSSTYPHPQDINWAFRPMTHNIAMASSPSPSQSSSSSLMPLGSYFSRPFQVHSGIDVAGPSSDFRVIDPPKRPHSGIWFTLQASQNQAQEPFLPQISKSYLRIKDGKMTVRLIMKYLVNKLRLDSESEVEITCRGQQLLPFLTLQHVRDNIWSPREGGVTLLPHSSTTDHVMVLHYARTHHHHN >Manes.10G039400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4054914:4067267:1 gene:Manes.10G039400.v8.1 transcript:Manes.10G039400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHGANTQTISAVDPNSLEGRYVVDTSQPQSSSHLPPTTGSEATSWTMHRVDNLSTESTYHHDHPKESTSKSVPHGLNATSLSSSSTLGTATASQDYSSYASYSNPTDPYGYASTGYPGYYNGYQQQPNHSYSQQQPNHSYSQPVGAYQNTGAPYQPLSSFQNTGSYAGTPSYPATYYNPGDYQTAGAYPSNGYSNQTTLWSDGNYASYTTHQYSNYVADTSSAYASGTAAATSVNYQQQYKQWADYYNQTEVTCAPGTEHLSVPSASNQVNSVPGVTAGYPTSSSQPPSSFTPSWRPEPASSELSLQGGAAASVAHTSYWKQGAPSSFQNHHASHMQPHFQKSLDSKTSYANFEEQQKTVHQGPNSQYAPAHQVLQNYQTPVQTVPSPDTRRFSKLQIPTNPRIASNLALGLSKTDKDSSATSAGAAKPAYIAVSMPKPNDKVSSNDAADSILKIITKATADDTLHTRDWDTEPLFPLPNPDFANKESSQSLTHVASLPKYIKSPSKRSKSRWEPLPEEKLVEKPVSVSNDNVKFGSWDRKSLIGNAESKVDASSDLKFSLTEQKTLIKSGQRPLKKQRIADAFNTAENGDASSDSDKEQSLTAYYSGAIALANSPEEKKKRENRSKRFEKAHRSEINYFKPKNAGVGNLYNKRASTLMLSKNFDDGGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMIQSSQKNYLYKCDQLKSIRQDLTVQRIRNHLTVKVYETHARLAMEVGDLPEYNQCQSQLKTLYAEGIEGCHMEFAAYNLLCVILHSNNNRDLVSSMSRLTEEAKKDKAVKHALAVRAAVTSGNYVMFFRLYKMAPNMNTCLMDLYVEKMRYKAVTCMSRSYRPTVPVSYIAEVLGFSSIGEGNGEKDPVGLEESIEWLKAHGACLTVDSNREMQLDTKASSSSLYIPEPDDAVSHGDASLAVNDFLTRASL >Manes.10G039400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4054914:4067266:1 gene:Manes.10G039400.v8.1 transcript:Manes.10G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHGANTQTISAVDPNSLEGRYVVDTSQPQSSSHLPPTTGSEATSWTMHRVDNLSTESTYHHDHPKESTSKSVPHGLNATSLSSSSTLGTATASQDYSSYASYSNPTDPYGYASTGYPGYYNGYQQQPNHSYSQQQPNHSYSQPVGAYQNTGAPYQPLSSFQNTGSYAGTPSYPATYYNPGDYQTAGAYPSNGYSNQTTLWSDGNYASYTTHQYSNYVADTSSAYASGTAAATSVNYQQQYKQWADYYNQTEVTCAPGTEHLSVPSASNQVNSVPGVTAGYPTSSSQPPSSFTPSWRPEPASSELSLQGGAAASVAHTSYWKQGAPSSFQNHHASHMQPHFQKSLDSKTSYANFEEQQKTVHQGPNSQYAPAHQVLQNYQTPVQTVPSPDTRRFSKLQIPTNPRIASNLALGLSKTDKDSSATSAGAAKPAYIAVSMPKPNDKVSSNDAADSILKPGMFPNSLRLYVERALKRCQDHCKSDPQMASCQAVMKEIITKATADDTLHTRDWDTEPLFPLPNPDFANKESSQSLTHVASLPKYIKSPSKRSKSRWEPLPEEKLVEKPVSVSNDNVKFGSWDRKSLIGNAESKVDASSDLKFSLTEQKTLIKSGQRPLKKQRIADAFNTAENGDASSDSDKEQSLTAYYSGAIALANSPEEKKKRENRSKRFEKAHRSEINYFKPKNAGVGNLYNKRASTLMLSKNFDDGGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMIQSSQKNYLYKCDQLKSIRQDLTVQRIRNHLTVKVYETHARLAMEVGDLPEYNQCQSQLKTLYAEGIEGCHMEFAAYNLLCVILHSNNNRDLVSSMSRLTEEAKKDKAVKHALAVRAAVTSGNYVMFFRLYKMAPNMNTCLMDLYVEKMRYKAVTCMSRSYRPTVPVSYIAEVLGFSSIGEGNGEKDPVGLEESIEWLKAHGACLTVDSNREMQLDTKASSSSLYIPEPDDAVSHGDASLAVNDFLTRASL >Manes.05G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10022125:10025883:-1 gene:Manes.05G106000.v8.1 transcript:Manes.05G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFEGYERQYCELSANLSRKCNSTSLLPDGEEKKENISKIKSGLDDCDVLIRKMDLEARSLQPNVKAMLLAKLREYKSDLNNLKREIKRITSGNADQAAREELLEAGIADVHAVSADQRERLSMSVERLNQSGDRIKESRRTMLETEELGVSILEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLSSMSRRITRNKWIVGSVIAALVFAIIVIISFKVSHH >Manes.18G085200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7884419:7888654:-1 gene:Manes.18G085200.v8.1 transcript:Manes.18G085200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPSASEDEIRKAYYLKARQVHPDKNPNDPQAAERFQVLGEAYQVLSDPVQRDAYDRNGKYCISRETMLDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKIHDKLKAVQKEREEKLARFLKDFLNQYVQGDKKGFLRRVESEAKRLSDAAFGVDMLQTIGYMYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQVTAAKGAFQLLQLQEDIRKQFKMDGSGPENDVESHLRSNKEILMNSLWKLNVVDIEVTLVHVCQMVLRENNVRKEDLKARALALKILGKIFQREKQARNGATIKGKNAAAIDDIDDDDDDADGSSSESSNEEDSPISLSYRTPLLSQGIGRLFRCLCNPAFYVDDEEIVYKSK >Manes.02G182000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14487888:14490677:1 gene:Manes.02G182000.v8.1 transcript:Manes.02G182000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLNVKVANQKGSGEYSRSPATTDSGDDDDHQRYPQIVTSQSGLNQPVQVPTQSPTMFPGYGGCTGEMSAMVSALSHVVSGQRAGDWGHGAGLGSVITSGYGGIGLASASSSSSSSSPALSAYSSTSGSGFWIGQKRGREEVGATQSMPRVYRGFGDFRSSQGDSSSSGATVKEEAAASIIVPTMTTTTTTTTTTATTFATAPPTSLTETVSYEETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPEHVRVLPPPMENIPVSQIPFGRQPQSQLQPMPSQPPQAPPQAAILPQFFQSQSDTMRDYWEYSQLLQSTGDLHGASSLLEQMIYNQQLASLQSNFPLSSSLSSFSSLSSSIAAPSIAASSSGSLSSLSSSTTSASFPLLLAGQQLGYFQSPQNQNPPTGSDFPVPPWSDSSHYPSSTG >Manes.10G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26446730:26453737:1 gene:Manes.10G112400.v8.1 transcript:Manes.10G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHPESMAWPFGGAEPIQLFVKMMNSQAPPMEINVDANDIIKIIHEKILVTWKIPVTEQKLFYGTKQLQYWDTLKQCSIQNKACLELTVRWDDMDGTSALLHMVHQMSSSICRMCQGESDSKYEDCCSIISQALDCMTEENSEILSLCSVPATLVMLYSSPIEGNKFDGNNLIRLSMDLILMTENQLSHDEIASLGLEFCNLLREVSSEDPLYNSCRTMLAEYLEDKYEIYYGCYLRTVIQILLFAVKLSQDLSNGLANLFYRSEHIEPLRIQVRDLGKFLCVLRKAINVLINEDEDDEQNRMVKFIVDVIKVLFHLHLKDMEQNLTRLADMKQIFEKLDTARPVSLLYLAILNELNSMPQLVESAADEFKRVLEGQKNSLQIMIKNIMRSDDYDWLLEHIDVLDSESRMHLIMMKMFPEKKLHNAGLLNTLFCWSEKVDKKLFNAFKGKDLTDPKVLHHWLCKVCQVLFEPKNLLFRVCPDYPTEFYPNPELQPEPFHLDCFNFAGKVIALALKHEIQVGVALDRVFLMQLAGKNISLEDVKNADPCFYNRCKEFINKDDLSTLESPKVKFGFKTGKTMKLYPSKGYFESLVRHCFVRSISKQVSIFSEGFQMIFGTSISQLLEDFKGLELKDLNWVLKGNVNAGSNFGKKGKSLNHECNESDPLMSQLQKIRRPRINMKDCQLGDFLGSGSFGKVYEGYVADCPLLAVKVAPLLERKKVDQIEQEIALLRQFSHPNIVKYFGTDKDEMNLYIFLELVGAGSLEKHYQKFQLQDSEVSLYTKQILKGLKYLHDRNVVHGDIKCANILVDVKKCVKIADFGLSRVTNLKTLFKFCWWNPRWMPPEVVNGKGGGYGFKIDIWSVGCTVLEMSTRKIPYSHLEPGAVDYSIGEGKLPPLPDSLTEHSRDFILQCLQVNPNDRPTAAELLEHPFVKGRCP >Manes.03G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:583314:588590:1 gene:Manes.03G006700.v8.1 transcript:Manes.03G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAVEGCMHGDLDIVYQTIQHMERLNGIKIDLLLCCGDFQAVRNARDMESLNVPPKYREMKSFWKYYSGQEVAPIPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNVRIGGLSGIYNARDYRLGHHERPPYNENTIRSVYHVREYDVHKLMQVEEPIDIFLSHDWPLGITDCGNWQQLVRYKRHFENEIRERTLGSKAAAQLLEKLRPPYWFSAHLHCKFAALVQHGEGGPTTKFLALDKCLPRRKFLQIIDIESESGPYEIQYDEEWLAITRKFNPIFPLTYKNANFGGTQIEMQDCRQWVRSRLQTRGAKPFEFAQTVPSYDPSQLDSNNLSFDCPRNPQTESLLQLLELPYLLDSVSESRGTTYDLTPLIRGASSVENSEEIPIDDVDELEESAEVDDTET >Manes.10G082000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21196864:21200477:1 gene:Manes.10G082000.v8.1 transcript:Manes.10G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLVHSVIVFCTSSMVAEISTIHTRHGGGSVRSNVVCHFWMLGKCNRNPCRFMHRELPHPNVYQRTSKQSNVLADIQSLKSSNQVITYRPSKRPNVRNSLASATEDGGSEYKYTRESFSSGTDIEADNVKKIRESSKCSNTSDLTAEGDASEDKNVKKSSRKACEDWMSNDCVKGDGCQFLHSWFVGDWFSLLTKLDGHTEAVSGVALPSGSDKLYSGSSDGTVRVWDCHTGQLTRVLSFGDEIGSLISEGPWIFVGLRNAVKAWNIVTAAEYNLNGPVGQVYAMAVAFDVLFAGTQDGVILAWKGNNENPNLFEPATSLKGHTGAVICLTVGKKRLYSGSTDNTIRVWDVDNLRCIHTRNGHADAVMSLVCWNEYLLSCSLDRTIKVWAATEDENIEVIYTHEEEYGAIAFCGIYDKEAKPVLLCSCNDNSVHLYGLPSFNERGRIFSKGEVRTMETGPNGIFFTGDGAGMLNVWKIAESHVIVPQVA >Manes.16G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1101002:1103035:-1 gene:Manes.16G010900.v8.1 transcript:Manes.16G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRIQTCFFDRQVKGLCPAIELMTCKRRSRNSHVVSAQFSTKESSPSSRILDLNIQVIGTQRVPIDVPTTISGKFGRFGGKFVPETLIACLKELEAEFNWALHDTQFQHELETALRDYVGRETPLYFAERLTNHYKNEDGQGPDIYLKREDLNHCGAHKMNNAIAQAMIAKRMGLKSVVAATGAGQHGVATAAACAKLSLECIVFMGTSDMEKQSSNVLLMKLLGAEVRGVEGNFKDASSQAIREWVGNLQTTYNLTGTVVGPHPCPSMVREFQSVIGKETRRQAMEKWGGKPDVVIACVGSGSNALGIFHEFIGDEDVRLIGVEAAGFGLESGKHSATLARGEVGVYHGAMSYLLQDDEGQITEPHSIGVGLKCPGVGPELSFLKDTERAEFYSATDKEAVEACKRLSRLEGIIPSLEASHALAFLEKICPTLPNGSKVIVNCSGRGDKDAQTVLDY >Manes.08G149200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38489460:38496004:1 gene:Manes.08G149200.v8.1 transcript:Manes.08G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTAQGPLKLLFNSDGLHLKLLGYGFVGGLRSFQLQKRKLRYIGSRSRLYASSFSKKSRHFNAEATLTGKADSDSDESEDYDGEFETDDLACFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRIRSNLSRKNILFRDNYTCQYCSSRENLTIDHVLPTVRGGEWTWENLVAACDKCNSKKGQKTVEEANMKLIKVPKAPKDFDILAIPLTSAAIRMLRMRKGTPDEWRQYLAKPSSEP >Manes.08G149200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38489460:38496004:1 gene:Manes.08G149200.v8.1 transcript:Manes.08G149200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSAKNPGISMRRQLSLEKQIVTVMRVKITTVSLRQMIWLALEVWSWISLTVNVVCWKRAICLEFMEKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRIRSNLSRKNILFRDNYTCQYCSSRENLTIDHVLPTVRGGEWTWENLVAACDKCNSKKGQKTVEEANMKLIKVPKAPKDFDILAIPLTSAAIRMLRMRKGTPDEWRQYLAKPSSEP >Manes.02G085200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6703887:6718107:1 gene:Manes.02G085200.v8.1 transcript:Manes.02G085200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPLSPPSMISFVVNMLAPSRSCLTFQLRYHFPHRFQVHTRRPRHQFQLRRRFSTYAATATHHHHSYHGSPVGTIDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNISRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMVTNILLGKNDNVEGVSTFFGMDFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASQGLTENLQQLGFETDRLKTGTPARVDIRTVDFSSLEPQHGDEEVNWFSFDPDVHVEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRSLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGNEIGLIDDRRWKLYLDKQARISEEKKRLKTVRISGGDLAADVTHLSGQPVRESSTLESLLKKPHIQYEVLDKHGFGNKLLTREDKECVEIDVKYEGFIIRQQIQLQQMAHQQNRPLPEDLDYYAMTTLSLEAREKLSKVHSKR >Manes.02G085200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6704604:6718107:1 gene:Manes.02G085200.v8.1 transcript:Manes.02G085200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIETASVREKNDDHGSPVGTIDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNISRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMVTNILLGKNDNVEGVSTFFGMDFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASQGLTENLQQLGFETDRLKTGTPARVDIRTVDFSSLEPQHGDEEVNWFSFDPDVHVEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRSLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGNEIGLIDDRRWKLYLDKQARISEEKKRLKTVRISGGDLAADVTHLSGQPVRESSTLESLLKKPHIQYEVLDKHGFGNKLLTREDKECVEIDVKYEGFIIRQQIQLQQMAHQQNRPLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIILETRRRKAKEQRRHKMLNSVLTETNPISNAPLTETINS >Manes.02G085200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6703887:6718107:1 gene:Manes.02G085200.v8.1 transcript:Manes.02G085200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPLSPPSMISFVVNMLAPSRSCLTFQLRYHFPHRFQVHTRRPRHQFQLRRRFSTYAATATHHHHSYHGSPVGTIDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNISRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMVTNILLGKNDNVEGVSTFFGMDFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASQGLTENLQQLGFETDRLKTGTPARVDIRTVDFSSLEPQHGDEEVNWFSFDPDVHVEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRSLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGNEIGLIDDRRWKLYLDKQARISEEKKRLKTVRISGGDLAADVTHLSGQPVRESSTLESLLKKPHIQYEVLDKHGFGNKLLTREDKECVEIDVKYEGFIIRQQIQLQQVFNLSYLLVMQTAGPRQ >Manes.02G085200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6703887:6718107:1 gene:Manes.02G085200.v8.1 transcript:Manes.02G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPLSPPSMISFVVNMLAPSRSCLTFQLRYHFPHRFQVHTRRPRHQFQLRRRFSTYAATATHHHHSYHGSPVGTIDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNISRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMVTNILLGKNDNVEGVSTFFGMDFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASQGLTENLQQLGFETDRLKTGTPARVDIRTVDFSSLEPQHGDEEVNWFSFDPDVHVEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRSLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGNEIGLIDDRRWKLYLDKQARISEEKKRLKTVRISGGDLAADVTHLSGQPVRESSTLESLLKKPHIQYEVLDKHGFGNKLLTREDKECVEIDVKYEGFIIRQQIQLQQMAHQQNRPLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIILETRRRKAKEQRRHKMLNSVLTETNPISNAPLTETINS >Manes.02G085200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6703887:6718107:1 gene:Manes.02G085200.v8.1 transcript:Manes.02G085200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPLSPPSMISFVVNMLAPSRSCLTFQLRYHFPHRFQVHTRRPRHQFQLRRRFSTYAATATHHHHSYHGSPVGTIDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNISRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMVTNILLGKNDNVEGVSTFFGMDFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASQGLTENLQQLGFETDRLKTGTPARVDIRTVDFSSLEPQHGDEEVNWFSFDPDVHVEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRSLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGNEIGLIDDRRWKLYLDKQARISEEKKRLKTVRISGGDLAADVTHLSGQPVRESSTLESLLKKPHIQYEVLDKHGFGNKLLTREDKECVEIDVKYEGFIIRQQIQLQQVFNLSYLLVMQTAGPRQ >Manes.02G085200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6707200:6718107:1 gene:Manes.02G085200.v8.1 transcript:Manes.02G085200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNILLGKNDNVEGVSTFFGMDFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASQGLTENLQQLGFETDRLKTGTPARVDIRTVDFSSLEPQHGDEEVNWFSFDPDVHVEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRSLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGNEIGLIDDRRWKLYLDKQARISEEKKRLKTVRISGGDLAADVTHLSGQPVRESSTLESLLKKPHIQYEVLDKHGFGNKLLTREDKECVEIDVKYEGFIIRQQIQLQQMAHQQNRPLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIILETRRRKAKEQRRHKMLNSVLTETNPISNAPLTETINS >Manes.02G085200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6703887:6718107:1 gene:Manes.02G085200.v8.1 transcript:Manes.02G085200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPLSPPSMISFVVNMLAPSRSCLTFQLRYHFPHRFQVHTRRPRHQFQLRRRFSTYAATATHHHHSYHGSPVGTIDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNISRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMVTNILLGKNDNVEGVSTFFGMDFYAPSVILTTGTFMSGKIWVGRTSMPAGRAGESASQGLTENLQQLGFETDRLKTGTPARVDIRTVDFSSLEPQHGDEEVNWFSFDPDVHVEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRNVPELYVQGFSTGLPERLQLPLLRSLPGLENCSMLRPAYAVEYDFLPAHQCSRSLMTKKVEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGNEIGLIDDRRWKLYLDKQARISEEKKRLKTVRISGGDLAADVTHLSGQPVRESSTLESLLKKPHIQYEVLDKHGFGNKLLTREDKECVEIDVKYEGFIIRQQIQLQQVFNLSYLLVMQTAGPRQ >Manes.13G080480.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16692875:16693685:-1 gene:Manes.13G080480.v8.1 transcript:Manes.13G080480.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLGRCFPFGESSSCEAKRSLLDLSAVSAPSGLGGSSILSVWTSGLVWLEFNGKRWRSMKYALSGCWCLLTGGFRWMHDDFSVSLLESP >Manes.01G086050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28818343:28819642:1 gene:Manes.01G086050.v8.1 transcript:Manes.01G086050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINALARESLINAGGIIESSFSPGKYSMELSSVAYDKLWRFDTEALPADLISRGMAVEDPTAKHGLKLTIEDYPFANDGLMLWEAIKQWVTDYVNNYYKDASKVVSDNELQAWWEDVRTKGHEDKKDEPWWLVLKTQQDLIQVLTTIIWVTSGHHAAVNFGQYVFGGYFPNRPTIARINMPTKSEFELFLNKPELTLLKCFPSQQQATKVMAILDVLSNHSPDEEYIGDTLESSWEADPVIKAAYERFSTKKKNSKIKGN >Manes.14G038100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3296890:3298258:-1 gene:Manes.14G038100.v8.1 transcript:Manes.14G038100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKRIRIKIIFPSLRSLSAETIANNDDLLTQILLRLPVKSLLRFKCVSKRWLSLISNPLFYFRLNPSNSPCALLAQRSLVNFEFDFIELDSNHSNPPFNTLNFFNHTSVIEVIQSSNGLLLCGSFCRYSRKQRYYICNPTTKQWKLLPPLTQVGETAGLYLAFDPKKSPYYKVICVHQDDISEPEYRILTYSPEIGQWRASSTATFNLPFDVGFYGGVFWNGSVHWYTDSGPSVRFDVEQEKIEEMPMPPTPDDWYRRRVKYFNESKGHLLLIEIYTPPSTQFNVCEMKRDYSGWDVKYRVNLEGVSIIMGESDDEDYMVLHIPALFLKYNFKDGSFKKLCDFDPFCKDASNFVYCNAYEYIESLACV >Manes.04G043100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6501378:6505308:1 gene:Manes.04G043100.v8.1 transcript:Manes.04G043100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSGHGFGSDESDLDMQAEAYECGSIDDELPNNLDFCTSEDDSISEQSVGLPINSEGLEPYVGMEFKSRDEAREFYISYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVYRKDRVLPPPPVTREGCQAMIRLALRDGVKWVVTKFVKEHTHKLMSPNKVPWRGSGKHLISEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNMILKDLEQHTEHVSKKVADVVQSIREIEEEQTDDSDDG >Manes.04G043100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6494447:6505331:1 gene:Manes.04G043100.v8.1 transcript:Manes.04G043100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSGHGFGSDESDLDMQAEAYECGSIDDELPNNLDFCTSEDDSISEQSVGLPINSEGLEPYVGMEFKSRDEAREFYISYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVYRKDRVLPPPPVTREGCQAMIRLALRDGVKWVVTKFVKEHTHKLMSPNKVPWRGSGKHLISEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNMILKDLEQHTEHVSKKVADVVQSIREIEEEQTDDSDDG >Manes.04G043100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6494549:6505169:1 gene:Manes.04G043100.v8.1 transcript:Manes.04G043100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSGHGFGSDESDLDMQAEAYECGSIDDELPNNLDFCTSEDDSISEQSVGLPINSEGLEPYVGMEFKSRDEAREFYISYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVYRKDRVLPPPPVTREGCQAMIRLALRDGVKWVVTKFVKEHTHKLMSPNKVPWRGSGKHLISEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNMILKDLEQHTEHVSKKVADVVQSIREIEEEQTDDSDDG >Manes.04G043100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6493024:6505309:1 gene:Manes.04G043100.v8.1 transcript:Manes.04G043100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSGHGFGSDESDLDMQAEAYECGSIDDELPNNLDFCTSEDDSISEQSVGLPINSEGLEPYVGMEFKSRDEAREFYISYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVYRKDRVLPPPPVTREGCQAMIRLALRDGVKWVVTKFVKEHTHKLMSPNKVPWRGSGKHLISEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNMILKDLEQHTEHVSKKVADVVQSIREIEEEQTDDSDDG >Manes.04G043100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6493024:6505309:1 gene:Manes.04G043100.v8.1 transcript:Manes.04G043100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSGHGFGSDESDLDMQAEAYECGSIDDELPNNLDFCTSEDDSISEQSVGLPINSEGLEPYVGMEFKSRDEAREFYISYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVYRKDRVLPPPPVTREGCQAMIRLALRDGVKWVVTKFVKEHTHKLMSPNKVPWRGSGKHLISEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNMILKDLEQHTEHVSKKVADVVQSIREIEEEQTDDSDDG >Manes.04G043100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6494598:6505158:1 gene:Manes.04G043100.v8.1 transcript:Manes.04G043100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSGHGFGSDESDLDMQAEAYECGSIDDELPNNLDFCTSEDDSISEQSVGLPINSEGLEPYVGMEFKSRDEAREFYISYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVYRKDRVLPPPPVTREGCQAMIRLALRDGVKWVVTKFVKEHTHKLMSPNKVPWRGSGKHLISEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNMILKDLEQHTEHVSKKVADVVQSIREIEEEQTDDSDDG >Manes.04G043100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6494447:6505308:1 gene:Manes.04G043100.v8.1 transcript:Manes.04G043100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSGHGFGSDESDLDMQAEAYECGSIDDELPNNLDFCTSEDDSISEQSVGLPINSEGLEPYVGMEFKSRDEAREFYISYGRRTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVYRKDRVLPPPPVTREGCQAMIRLALRDGVKWVVTKFVKEHTHKLMSPNKVPWRGSGKHLISEDEKDKRIRELSLELYNERQKCKRRCAAYEEQLNMILKDLEQHTEHVSKKVADVVQSIREIEEEQTDDSDDG >Manes.04G016200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2086200:2088691:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGYFFLISSFCFAFLFI >Manes.04G016200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2086201:2088690:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGEG >Manes.04G016200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2086204:2087751:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGEG >Manes.04G016200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2086129:2088691:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGYFFLISSFCFAFLFI >Manes.04G016200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2086816:2087142:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGYFFLISSFCFAFLFI >Manes.04G016200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2086129:2088691:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGYFFLISSFCFAFLFI >Manes.04G016200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2086203:2088645:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGEG >Manes.04G016200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2086207:2088112:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGYFFLISSFCFAFLFI >Manes.04G016200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2086203:2088645:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGYFFLISSFCFAFLFI >Manes.04G016200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2086191:2088743:1 gene:Manes.04G016200.v8.1 transcript:Manes.04G016200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLCMERLTVVVCGCSTIKIHMQMHGGDSLSLSLSLSLSLHQPKFFESENLDDAASAINDFTEREDSYTHNLESLALSFFFFLLLSADYGEG >Manes.16G083000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28956653:28963713:-1 gene:Manes.16G083000.v8.1 transcript:Manes.16G083000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENGLTERSMILAAGATIVLGCLWRLVCKWNERTRRKITTKIPDNEMDQFKGQPRLPKFSVPKRYDVRLKPDLSACTFAGSVSIDLDIVADTKFIVLNAADLSVKSDSVCFTSSKVFEPVNVELVEADEILVLEFADTLPIGVGVLAIDFEGVLNDKMKGFYRSTYEHQGEKKNMAVTQFEPADARRCFPCWDEPACKAKFKITLDVPSELVALSNMPVIEENVDGPLKTVSYQETPIMSTYLVAIVVGLFDYVEDHTSDGIKVRVYCQVGKANQGNFALHVAVKTLELYKEYFAVQYPLPKLDMIAVPDFAAGAMENYGLVTYRETALLFDDKHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADSLFPEWKIWTQFLDETTEGLRLDGLEESHPIEVEINHASEIDEIFDAISYRKGASVIRMLQSYLGAECFQKSLASYIKKYAYSNAKTEDLWAALEEGSGEPVKNLMNSWTRQKGYPVVSVRLQDQKLEFEQSQFLSSGSHGDGQWIVPITLCCGSYDVNKSFLLQTKSETLDAKESKLVEIKSAWVKLNVHQTGFYRVKYDDDLAARLRYAIEKKILTEADRFGILDDSFALCMARHQSLTSLLTLMGAYREELEYTVLSNLINISYKVTRIAADATPELLDCINQFFINLFQYSAERLGWDPKQGESHLDSMLRGEVLTALAVFGHDLTLNEASRRFHAFIDDRNTLLLPPDIRKAAYVAVMQRVSTSNRSGYESLLRVYRETDLSQEKTRILGSLASCPDPNIVLEVLNFVLSSEVRSQDAVFGLAVSKEGRETAWTWLQDKWDYISKTWGSGFLITRFISAVVSPFASFEKAKEVEEFFAGRTKPSMARTLKQSIERININAKWVQSIQNEKQLAETVKELAHRKY >Manes.16G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28956514:28962603:-1 gene:Manes.16G083000.v8.1 transcript:Manes.16G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKGQPRLPKFSVPKRYDVRLKPDLSACTFAGSVSIDLDIVADTKFIVLNAADLSVKSDSVCFTSSKVFEPVNVELVEADEILVLEFADTLPIGVGVLAIDFEGVLNDKMKGFYRSTYEHQGEKKNMAVTQFEPADARRCFPCWDEPACKAKFKITLDVPSELVALSNMPVIEENVDGPLKTVSYQETPIMSTYLVAIVVGLFDYVEDHTSDGIKVRVYCQVGKANQGNFALHVAVKTLELYKEYFAVQYPLPKLDMIAVPDFAAGAMENYGLVTYRETALLFDDKHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADSLFPEWKIWTQFLDETTEGLRLDGLEESHPIEVEINHASEIDEIFDAISYRKGASVIRMLQSYLGAECFQKSLASYIKKYAYSNAKTEDLWAALEEGSGEPVKNLMNSWTRQKGYPVVSVRLQDQKLEFEQSQFLSSGSHGDGQWIVPITLCCGSYDVNKSFLLQTKSETLDAKESKLVEIKSAWVKLNVHQTGFYRVKYDDDLAARLRYAIEKKILTEADRFGILDDSFALCMARHQSLTSLLTLMGAYREELEYTVLSNLINISYKVTRIAADATPELLDCINQFFINLFQYSAERLGWDPKQGESHLDSMLRGEVLTALAVFGHDLTLNEASRRFHAFIDDRNTLLLPPDIRKAAYVAVMQRVSTSNRSGYESLLRVYRETDLSQEKTRILGSLASCPDPNIVLEVLNFVLSSEVRSQDAVFGLAVSKEGRETAWTWLQDKWDYISKTWGSGFLITRFISAVVSPFASFEKAKEVEEFFAGRTKPSMARTLKQSIERININAKWVQSIQNEKQLAETVKELAHRKY >Manes.09G011718.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2576946:2578612:1 gene:Manes.09G011718.v8.1 transcript:Manes.09G011718.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGPIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSAYFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCMESKIHKAVEFLDDMVARGYQPDVRTFTVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISADVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSKAQNTFNVMIQRGVEPDVVTYNSLIDGLCISNQFKEALALLKEMVGSNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIVDILGYSILINGYCKCKIIDDAEELFDEMSHKGLVPNVATYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSTMIDGLCRQGNLD >Manes.15G175500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:19999086:20001256:-1 gene:Manes.15G175500.v8.1 transcript:Manes.15G175500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKQGDMFAQVGSVIASLMFVWAMFKQYFPYELRDRLEKYTQKAFTFVYPYIQITFHEFIGERLMRSEAYSAIETYLSSSSSMQAKRLKAEVVKNNQSLVLSMDDHEEVADEYKGVKLWWASGKNVFKSQTLSFYQITDEKRYYKLRFHKRHRDLIIGPYLNHVLKEGRALKVKNRQRKLYTNNGSYWSHVVFEHPATFKTLALEPEKKKEIIDDLITFSQAEEFYSRIGRAWKRGYLLYGPPGTGKSTMVAAMANLLNYDIYDLELTAVKDNTELRKLLIETSSKAIVVIEDIDCSLDLTGQRSKKKEEEKQGDDKEQKPKSPKEERDGKNSQVTLSGLLNFIDGLWSACGGERLIVFTTNFVEKLDPALIRKGRMDKHIELSYCSFEAFKVLADNYLRLESHHLFDKISALLKEAKMTPADVAEHLMPKTVPGNAEACLESLIEALETAKEEAKLKAEEAAREKESSSAKEEAKEADNKDPISEKEQ >Manes.16G008900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:913594:917576:1 gene:Manes.16G008900.v8.1 transcript:Manes.16G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTLVEPIGRQIGHFIHYRSNTVKLQEQVKILEGVRDDLQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLEKASKVRFHNLATRYQLSRKAQEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKDNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIEVKKIQDQIAERLGLKLDEANEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGRDHASCKIIVTTRRKQVCDAMVDTRSETAKVIPINILSENESWVLLKKNAGAQIESPTLNSFAKDILRECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAMKVFKLCCLFPEDFNIRIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKMLEDWPDKEEMKRYTGISIMQNQVSKFPDAWDSPNLKILLMDIEKTRLVHLWEKAMHMPATVLRGMKALQVFHRRDSSRESTMSISFRFLELEFSQLTNLRTLMLQYYKIVDTTPIGKLKMLEILSLKNCEFRKPFSTIGKLTNLRLLDVEFSSLDGVFSSIFPINAMSTLSRLEELYFLSFDMLRPTQFPFSVSTSLTNFVSFDDLNITVLKTLSRLTTLTIDIQTIPEGFMFPELKVFKIRWGSRRRLRVKEKLINAFLSQVEGFNYLGLCGEFEGGSNITISSLVCMKPLMPRTNFLYLDSLEEFKNINPCLLLGDLDALKILVIVNCPSFAYLINAEEFLGRYALLPELEGLCFEDLDTFKALCNGELPSGTSLSMRKLKYLTFFRCPELLNIFTLPNPQQEFEQLQVVEEKGMKNISKGPTELLHLPKLQIVCINGCQKLKVIFPASIARGLEQLKELELEDCDQLEAIVAEREEEEKRIDKVVFSQLISIRLYKLYNIKAFCMDNLPLKWPSLEELSVDSCPKMKTFAASDGNQIKPKLKEIKINTNYIKFDGTNLNTIMKYHNKEEIQAMNN >Manes.01G109300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30809323:30813184:1 gene:Manes.01G109300.v8.1 transcript:Manes.01G109300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMAIRPKINPSLKPTNFTSQNLLKTPVLSVKPKSSLLNLSSASSLRVVQSTAKLKLSASAAEPVLSEEETPRDKERLGVVVKPMEKPRIVLKLIWMQKAIGVALDQVIPGFGTIPLSPYYFWPKEDAWEQLKMLLESKPWISRKQMHILLNQATDVINLWQESRSNS >Manes.01G109300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30809179:30810785:1 gene:Manes.01G109300.v8.1 transcript:Manes.01G109300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMAIRPKINPSLKPTNFTSQNLLKTPVLSVKPKSSLLNLSSASSLRVVQSTAKLKLSASAAEPVLSEEETPRDKERLGVVVKPMEKPRIVLKLIWMQKAIGVALDQVIPGFGTIPLSPYYFWPKEDAWEQLKMLLESKPWISRKQMHILLNQATDVINLWQESRSNS >Manes.01G226500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39157485:39160304:-1 gene:Manes.01G226500.v8.1 transcript:Manes.01G226500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRFVAAVAFLAIGVIFSPETFGSNSIVQLPTYLKLAHLLSFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFWLVGVCCAISVASFGYLHPWKSASAAEKYQLGFLLSSLAFNLANLFVFTPMTIEMMKQRHKVEREQNIGNEIGWSKNQEVAKANPKLAAMNKKFGMIHGFSSLANIMSFGSLAMHSWYLAGKMNL >Manes.01G226500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39158291:39160304:-1 gene:Manes.01G226500.v8.1 transcript:Manes.01G226500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRFVAAVAFLAIGVIFSPETFGSNSIVQLPTYLKLAHLLSFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFWLVGVCCAISVASFGYLHPWKSASAAEKYQLGFLLSSLAFNLANLFVFTPMTIEQRHKVEREQNIGNEIGWSKNQEVAKANPKLAAMNKKFGMIHGFSSLANIMSFGSLAMHSWYLAGKMNL >Manes.01G226500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39157380:39160318:-1 gene:Manes.01G226500.v8.1 transcript:Manes.01G226500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRFVAAVAFLAIGVIFSPETFGSNSIVQLPTYLKLAHLLSFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFWLVGVCCAISVASFGYLHPWKSASAAEKYQLGFLLSSLAFNLANLFVFTPMTIEMMKQRHKVEREQNIGNEIGWSKNQEVAKANPKLAAMNKKFGMIHGFSSLANIMSFGSLAMHSWYLAGKMNL >Manes.01G206700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37911159:37920918:-1 gene:Manes.01G206700.v8.1 transcript:Manes.01G206700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDDSESSPCQATVKMDVDSTEEKGFNSSDGKSPKLIGSLTEENKLVSAPKEEAEMNQIDGSTCGDCGAWSDTDEDVTDDRRKRNRINYEGECEDDSVKKLKVKDDAVDGKLQVVGRILRSGCTVKSGGGKKAEGGQSNDGFVGNLTRRDVFEDNGVKVQKEDTVHFDIRDTYLSGNMMRKKIVYTRGRPPKSLKSKFQQKWGDVAKEAIEQSAGRASVKKLKRKRGRPPKVLENYESEKWGGMEKEMIGLSASQAGCQKKNKEIENLKPRRGRPPKAQGSDLSDKRRAALEEEEVNKSAGRESNQLNNEARKKNLKRKRGRPPKVRDNYELLPAKEINCSDDDKEGKTKKKAEKMSRRRLQRQTVRDKIVELLLGAGWEIQYRPRNGREYKDAVYVNPEGRTHWSVTLAYRVLKKQYEDSEGNSNTSKPGFKFTPLPEEELSILTKVMTKERSDKNKKKKKWNQEKGVKTTELVTERKKWKLHKRKLGAVPGVNFKKLKRRTKLKTMHRRENDSTCTTAQGAAVSVRDHKQLEAHCRKRCALMVRNSQDRIQSETDGYVLYDGKQTVLAWMIGLGTVQLDEKVHYLKRRKTRAVLRGRITTDGIQCDCCSKTFTIAEFEAHAGGKSCQPFKNIYLETGASLFHCQLDSWNKQDESSRKGFHFVDIDGEDPNDDTCGICGDGGDLICCDGCPSTFHQSCLGIKKFPSGLWHCMFCLCKFCGMACGNMLQRDDDNASPLLALLTCCFCEEKYHRSCAQVKDTMSDDPDSSSFCGKTCQELYKRLHMLFGVKHDLDEGFSWTFLRRFDVGPDVSLSGMPQKVECNSKLAVALHIMDECFLPMVDHRSGVNLIHNIVYNFGSNFNRLNYSGFFTAILERGDEIIAAASIRIHGNHLAEMPFIGTRYMYRRQGMCRRLLSAIEMALCSLNIEKLVIPAVSELRETWTSVFGFKPLEGMSKKILRNMNMLVFPGVDMLQKPLLKHQFPGENMNPIEGLESTESGKVHTTEEMTNNFVGRSSAEFDFKGSIETGIPHSCSMINELAAIEPISLLMDGCLNDTSEVAIQSASTTECRELGVNSDNLDGRIGNIVKSHDAANDKQCGNEMVNNSDERYPGGFDLEDCSENNLPHSSNIIGESALVESVSLLPDGCLNDTSDVTTQSANPTKCHESGSTSGNPDKRNESNVKPHDSSHNTDEQMGNKMISNSDGRSSTGFDLKGSNYDSNMIGDRAAFEHDSVFLDGCLNDTSISIQGVNNIKFQVQQRVTSDILDGESKNIMNPLDSLCKVCKESEGEMINNSNGSDSAGFDLKSSSETNVIGEPAAAESVGLPDGCLNEASDLIIQERNKITCHDQSGTVSHNLDGINENIGSCPDSLCDAYEQVAKVTGQQNSLSASIIPPTDNALQELHVQLNNASEVGIQFSAESLIGSEAASHLGEFPRASSGGAENVSCEVKIDVSNVEHNPDSVGEDSMHMTAQIISSQSQDLATEYGVNVSDENAVLHESDTGVISRDGVKSTSSEACPRAQDALNVFFPALPVEQNFNSCQRNGPDGQETEIVAMDNVMASDVIVKPNSHGCMNNAICMSREVASSSCGDGVYGLKDMSAITQSDAISLDGVLISGKAHVNNKLLKPPGSGSELDRASVTQSNSESMCSSSSASGVALHCASGGVNSCSAPDVIMLSNQAN >Manes.01G206700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37911097:37920979:-1 gene:Manes.01G206700.v8.1 transcript:Manes.01G206700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDDSESSPCQATVKMDVDSTEEKGFNSSDGKSPKLIGSLTEENKLVSAPKEEAEMNQIDGSTCGDCGAWSDTDEDVTDDRRKRNRINYEGECEDDSVKKLKVKDDAVDGKLQVVGRILRSGCTVKSGGGKKAEGGQSNDGFVGNLTRRDVFEDNGVKVQKEDTVHFDIRDTYLSGNMMRKKIVYTRGRPPKSLKSKFQQKWGDVAKEAIEQSAGRASVKKLKRKRGRPPKVLENYESEKWGGMEKEMIGLSASQAGCQKKNKEIENLKPRRGRPPKAQGSDLSDKRRAALEEEEVNKSAGRESNQLNNEARKKNLKRKRGRPPKVRDNYELLPAKEINCSDDDKEGKTKKKAEKMSRRRLQRQTVRDKIVELLLGAGWEIQYRPRNGREYKDAVYVNPEGRTHWSVTLAYRVLKKQYEDSEGNSNTSKPGFKFTPLPEEELSILTKVMTKERSDKNKKKKKWNQEKGVKTTELVTERKKWKLHKRKLGAVPGVNFKKLKRRTKLKTMHRRENDSTCTTAQGAAVSVRDHKQLEAHCRKRCALMVRNSQDRIQSETDGYVLYDGKQTVLAWMIGLGTVQLDEKVHYLKRRKTRAVLRGRITTDGIQCDCCSKTFTIAEFEAHAGGKSCQPFKNIYLETGASLFHCQLDSWNKQDESSRKGFHFVDIDGEDPNDDTCGICGDGGDLICCDGCPSTFHQSCLGIKKFPSGLWHCMFCLCKFCGMACGNMLQRDDDNASPLLALLTCCFCEEKYHRSCAQVKDTMSDDPDSSSFCGKTCQELYKRLHMLFGVKHDLDEGFSWTFLRRFDVGPDVSLSGMPQKVECNSKLAVALHIMDECFLPMVDHRSGVNLIHNIVYNFGSNFNRLNYSGFFTAILERGDEIIAAASIRIHGNHLAEMPFIGTRYMYRRQGMCRRLLSAIEMALCSLNIEKLVIPAVSELRETWTSVFGFKPLEGMSKKILRNMNMLVFPGVDMLQKPLLKHQFPGENMNPIEGLESTESGKVHTTEEMTNNFVGRSSAEFDFKGSIETGIPHSCSMINELAAIEPISLLMDGCLNDTSEVAIQSASTTECRELGVNSDNLDGRIGNIVKSHDAANDKQCGNEMVNNSDERYPGGFDLEDCSENNLPHSSNIIGESALVESVSLLPDGCLNDTSDVTTQSANPTKCHESGSTSGNPDKRNESNVKPHDSSHNTDEQMGNKMISNSDGRSSTGFDLKGSNYDSNMIGDRAAFEHDSVFLDGCLNDTSISIQGVNNIKFQVQQRVTSDILDGESKNIMNPLDSLCKVCKESEGEMINNSNGSDSAGFDLKSSSETNVIGEPAAAESVGLPDGCLNEASDLIIQERNKITCHDQSGTVSHNLDGINENIGSCPDSLCDAYEQVAKVTGQQNSLSASIIPPTDNALQELHVQLNNASEVGIQFSAESLIGSEAASHLGEFPRASSGGAENVSCEVKIDVSNVEHNPDSVGEDSMHMTAQIISSQSQDLATEYGVNVSDENAVLHESDTGVISRDGVKSTSSEACPRAQDALNVFFPALPVEQNFNSCQRNGPDGQETEIVAMDNVMASDVIVKPNSHGCMNNAICMSREVASSSCGDGVYGLKDMSAITQSDAISLDGVLISGKAHVNNKLLKPPGSGSELDRASVTQSNSESMCSSSSASGVALHCASGGVNSCSAPDVIMLSNQAN >Manes.01G206700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37911159:37920580:-1 gene:Manes.01G206700.v8.1 transcript:Manes.01G206700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDDSESSPCQATVKMDVDSTEEKGFNSSDGKSPKLIGSLTEENKLVSAPKEEAEMNQIDGSTCGDCGAWSDTDEDVTDDRRKRNRINYEGECEDDSVKKLKVKDDAVDGKLQVVGRILRSGCTVKSGGGKKAEGGQSNDGFVGNLTRRDVFEDNGVKVQKEDTVHFDIRDTYLSGNMMRKKIVYTRGRPPKSLKSKFQQKWGDVAKEAIEQSAGRASVKKLKRKRGRPPKVLENYESEKWGGMEKEMIGLSASQAGCQKKNKEIENLKPRRGRPPKAQGSDLSDKRRAALEEEEVNKSAGRESNQLNNEARKKNLKRKRGRPPKVRDNYELLPAKEINCSDDDKEGKTKKKAEKMSRRRLQRQTVRDKIVELLLGAGWEIQYRPRNGREYKDAVYVNPEGRTHWSVTLAYRVLKKQYEDSEGNSNTSKPGFKFTPLPEEELSILTKVMTKERSDKNKKKKKWNQEKGVKTTELVTERKKWKLHKRKLGAVPGVNFKKLKRRTKLKTMHRRENDSTCTTAQGAAVSVRDHKQLEAHCRKRCALMVRNSQDRIQSETDGYVLYDGKQTVLAWMIGLGTVQLDEKVHYLKRRKTRAVLRGRITTDGIQCDCCSKTFTIAEFEAHAGGKSCQPFKNIYLETGASLFHCQLDSWNKQDESSRKGFHFVDIDGEDPNDDTCGICGDGGDLICCDGCPSTFHQSCLGIKFPSGLWHCMFCLCKFCGMACGNMLQRDDDNASPLLALLTCCFCEEKYHRSCAQVKDTMSDDPDSSSFCGKTCQELYKRLHMLFGVKHDLDEGFSWTFLRRFDVGPDVSLSGMPQKVECNSKLAVALHIMDECFLPMVDHRSGVNLIHNIVYNFGSNFNRLNYSGFFTAILERGDEIIAAASIRIHGNHLAEMPFIGTRYMYRRQGMCRRLLSAIEMALCSLNIEKLVIPAVSELRETWTSVFGFKPLEGMSKKILRNMNMLVFPGVDMLQKPLLKHQFPGENMNPIEGLESTESGKVHTTEEMTNNFVGRSSAEFDFKGSIETGIPHSCSMINELAAIEPISLLMDGCLNDTSEVAIQSASTTECRELGVNSDNLDGRIGNIVKSHDAANDKQCGNEMVNNSDERYPGGFDLEDCSENNLPHSSNIIGESALVESVSLLPDGCLNDTSDVTTQSANPTKCHESGSTSGNPDKRNESNVKPHDSSHNTDEQMGNKMISNSDGRSSTGFDLKGSNYDSNMIGDRAAFEHDSVFLDGCLNDTSISIQGVNNIKFQVQQRVTSDILDGESKNIMNPLDSLCKVCKESEGEMINNSNGSDSAGFDLKSSSETNVIGEPAAAESVGLPDGCLNEASDLIIQERNKITCHDQSGTVSHNLDGINENIGSCPDSLCDAYEQVAKVTGQQNSLSASIIPPTDNALQELHVQLNNASEVGIQFSAESLIGSEAASHLGEFPRASSGGAENVSCEVKIDVSNVEHNPDSVGEDSMHMTAQIISSQSQDLATEYGVNVSDENAVLHESDTGVISRDGVKSTSSEACPRAQDALNVFFPALPVEQNFNSCQRNGPDGQETEIVAMDNVMASDVIVKPNSHGCMNNAICMSREVASSSCGDGVYGLKDMSAITQSDAISLDGVLISGKAHVNNKLLKPPGSGSELDRASVTQSNSESMCSSSSASGVALHCASGGVNSCSAPDVIMLSNQAN >Manes.01G206700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37911159:37920918:-1 gene:Manes.01G206700.v8.1 transcript:Manes.01G206700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDDSESSPCQATVKMDVDSTEEKGFNSSDGKSPKLIGSLTEENKLVSAPKEEAEMNQIDGSTCGDCGAWSDTDEDVTDDRRKRNRINYEGECEDDSVKKLKVKDDAVDGKLQVVGRILRSGCTVKSGGGKKAEGGQSNDGFVGNLTRRDVFEDNGVKVQKEDTVHFDIRDTYLSGNMMRKKIVYTRGRPPKSLKSKFQQKWGDVAKEAIEQSAGRASVKKLKRKRGRPPKVLENYESEKWGGMEKEMIGLSASQAGCQKKNKEIENLKPRRGRPPKAQGSDLSDKRRAALEEEEVNKSAGRESNQLNNEARKKNLKRKRGRPPKVRDNYELLPAKEINCSDDDKEGKTKKKAEKMSRRRLQRQTVRDKIVELLLGAGWEIQYRPRNGREYKDAVYVNPEGRTHWSVTLAYRVLKKQYEDSEGNSNTSKPGFKFTPLPEEELSILTKVMTKERSDKNKKKKKWNQEKGVKTTELVTERKKWKLHKRKLGAVPGVNFKKLKRRTKLKTMHRRENDSTCTTAQGAAVSVRDHKQLEAHCRKRCALMVRNSQDRIQSETDGYVLYDGKQTVLAWMIGLGTVQLDEKVHYLKRRKTRAVLRGRITTDGIQCDCCSKTFTIAEFEAHAGGKSCQPFKNIYLETGASLFHCQLDSWNKQDESSRKGFHFVDIDGEDPNDDTCGICGDGGDLICCDGCPSTFHQSCLGIKFPSGLWHCMFCLCKFCGMACGNMLQRDDDNASPLLALLTCCFCEEKYHRSCAQVKDTMSDDPDSSSFCGKTCQELYKRLHMLFGVKHDLDEGFSWTFLRRFDVGPDVSLSGMPQKVECNSKLAVALHIMDECFLPMVDHRSGVNLIHNIVYNFGSNFNRLNYSGFFTAILERGDEIIAAASIRIHGNHLAEMPFIGTRYMYRRQGMCRRLLSAIEMALCSLNIEKLVIPAVSELRETWTSVFGFKPLEGMSKKILRNMNMLVFPGVDMLQKPLLKHQFPGENMNPIEGLESTESGKVHTTEEMTNNFVGRSSAEFDFKGSIETGIPHSCSMINELAAIEPISLLMDGCLNDTSEVAIQSASTTECRELGVNSDNLDGRIGNIVKSHDAANDKQCGNEMVNNSDERYPGGFDLEDCSENNLPHSSNIIGESALVESVSLLPDGCLNDTSDVTTQSANPTKCHESGSTSGNPDKRNESNVKPHDSSHNTDEQMGNKMISNSDGRSSTGFDLKGSNYDSNMIGDRAAFEHDSVFLDGCLNDTSISIQGVNNIKFQVQQRVTSDILDGESKNIMNPLDSLCKVCKESEGEMINNSNGSDSAGFDLKSSSETNVIGEPAAAESVGLPDGCLNEASDLIIQERNKITCHDQSGTVSHNLDGINENIGSCPDSLCDAYEQVAKVTGQQNSLSASIIPPTDNALQELHVQLNNASEVGIQFSAESLIGSEAASHLGEFPRASSGGAENVSCEVKIDVSNVEHNPDSVGEDSMHMTAQIISSQSQDLATEYGVNVSDENAVLHESDTGVISRDGVKSTSSEACPRAQDALNVFFPALPVEQNFNSCQRNGPDGQETEIVAMDNVMASDVIVKPNSHGCMNNAICMSREVASSSCGDGVYGLKDMSAITQSDAISLDGVLISGKAHVNNKLLKPPGSGSELDRASVTQSNSESMCSSSSASGVALHCASGGVNSCSAPDVIMLSNQAN >Manes.01G206700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37911070:37920919:-1 gene:Manes.01G206700.v8.1 transcript:Manes.01G206700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDDSESSPCQATVKMDVDSTEEKGFNSSDGKSPKLIGSLTEENKLVSAPKEEAEMNQIDGSTCGDCGAWSDTDEDVTDDRRKRNRINYEGECEDDSVKKLKVKDDAVDGKLQVVGRILRSGCTVKSGGGKKAEGGQSNDGFVGNLTRRDVFEDNGVKVQKEDTVHFDIRDTYLSGNMMRKKIVYTRGRPPKSLKSKFQQKWGDVAKEAIEQSAGRASVKKLKRKRGRPPKVLENYESEKWGGMEKEMIGLSASQAGCQKKNKEIENLKPRRGRPPKAQGSDLSDKRRAALEEEEVNKSAGRESNQLNNEARKKNLKRKRGRPPKVRDNYELLPAKEINCSDDDKEGKTKKKAEKMSRRRLQRQTVRDKIVELLLGAGWEIQYRPRNGREYKDAVYVNPEGRTHWSVTLAYRVLKKQYEDSEGNSNTSKPGFKFTPLPEEELSILTKVMTKERSDKNKKKKKWNQEKGVKTTELVTERKKWKLHKRKLGAVPGVNFKKLKRRTKLKTMHRRENDSTCTTAQGAAVSVRDHKQLEAHCRKRCALMVRNSQDRIQSETDGYVLYDGKQTVLAWMIGLGTVQLDEKVHYLKRRKTRAVLRGRITTDGIQCDCCSKTFTIAEFEAHAGGKSCQPFKNIYLETGASLFHCQLDSWNKQDESSRKGFHFVDIDGEDPNDDTCGICGDGGDLICCDGCPSTFHQSCLGIKKFPSGLWHCMFCLCKFCGMACGNMLQRDDDNASPLLALLTCCFCEEKYHRSCAQVKDTMSDDPDSSSFCGKTCQELYKRLHMLFGVKHDLDEGFSWTFLRRFDVGPDVSLSGMPQKVECNSKLAVALHIMDECFLPMVDHRSGVNLIHNIVYNFGSNFNRLNYSGFFTAILERGDEIIAAASIRIHGNHLAEMPFIGTRYMYRRQGMCRRLLSAIEMALCSLNIEKLVIPAVSELRETWTSVFGFKPLEGMSKKILRNMNMLVFPGVDMLQKPLLKHQFPGENMNPIEGLESTESGKVHTTEEMTNNFVGRSSAEFDFKGSIETGIPHSCSMINELAAIEPISLLMDGCLNDTSEVAIQSASTTECRELGVNSDNLDGRIGNIVKSHDAANDKQCGNEMVNNSDERYPGGFDLEDCSENNLPHSSNIIGESALVESVSLLPDGCLNDTSDVTTQSANPTKCHESGSTSGNPDKRNESNVKPHDSSHNTDEQMGNKMISNSDGRSSTGFDLKGSNYDSNMIGDRAAFEHDSVFLDGCLNDTSISIQGVNNIKFQVQQRVTSDILDGESKNIMNPLDSLCKVCKESEGEMINNSNGSDSAGFDLKSSSETNVIGEPAAAESVGLPDGCLNEASDLIIQERNKITCHDQSGTVSHNLDGINENIGSCPDSLCDAYEQVAKVTGQQNSLSASIIPPTDNALQELHVQLNNASEVGIQFSAESLIGSEAASHLGEFPRASSGGAENVSCEVKIDVSNVEHNPDSVGEDSMHMTAQIISSQSQDLATEYGVNVSDENAVLHESDTGVISRDGVKSTSSEACPRAQDALNVFFPALPVEQNFNSCQRNGPDGQETEIVAMDNVMASDVIVKPNSHGCMNNAICMSREVASSSCGDGVYGLKDMSAITQSDAISLDGVLISGKAHVNNKLLKPPGSGSELDRASVTQSNSESMCSSSSASGVALHCASGGVNSCSAPDVIMLSNQAN >Manes.01G206700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37911107:37920945:-1 gene:Manes.01G206700.v8.1 transcript:Manes.01G206700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDDSESSPCQATVKMDVDSTEEKGFNSSDGKSPKLIGSLTEENKLVSAPKEEAEMNQIDGSTCGDCGAWSDTDEDVTDDRRKRNRINYEGECEDDSVKKLKVKDDAVDGKLQVVGRILRSGCTVKSGGGKKAEGGQSNDGFVGNLTRRDVFEDNGVKVQKEDTVHFDIRDTYLSGNMMRKKIVYTRGRPPKSLKSKFQQKWGDVAKEAIEQSAGRASVKKLKRKRGRPPKVLENYESEKWGGMEKEMIGLSASQAGCQKKNKEIENLKPRRGRPPKAQGSDLSDKRRAALEEEEVNKSAGRESNQLNNEARKKNLKRKRGRPPKVRDNYELLPAKEINCSDDDKEGKTKKKAEKMSRRRLQRQTVRDKIVELLLGAGWEIQYRPRNGREYKDAVYVNPEGRTHWSVTLAYRVLKKQYEDSEGNSNTSKPGFKFTPLPEEELSILTKVMTKERSDKNKKKKKWNQEKGVKTTELVTERKKWKLHKRKLGAVPGVNFKKLKRRTKLKTMHRRENDSTCTTAQGAAVSVRDHKQLEAHCRKRCALMVRNSQDRIQSETDGYVLYDGKQTVLAWMIGLGTVQLDEKVHYLKRRKTRAVLRGRITTDGIQCDCCSKTFTIAEFEAHAGGKSCQPFKNIYLETGASLFHCQLDSWNKQDESSRKGFHFVDIDGEDPNDDTCGICGDGGDLICCDGCPSTFHQSCLGIKKFPSGLWHCMFCLCKFCGMACGNMLQRDDDNASPLLALLTCCFCEEKYHRSCAQVKDTMSDDPDSSSFCGKTCQELYKRLHMLFGVKHDLDEGFSWTFLRRFDVGPDVSLSGMPQKVECNSKLAVALHIMDECFLPMVDHRSGVNLIHNIVYNFGSNFNRLNYSGFFTAILERGDEIIAAASIRIHGNHLAEMPFIGTRYMYRRQGMCRRLLSAIEMALCSLNIEKLVIPAVSELRETWTSVFGFKPLEGMSKKILRNMNMLVFPGVDMLQKPLLKHQFPGENMNPIEGLESTESGKVHTTEEMTNNFVGRSSAEFDFKGSIETGIPHSCSMINELAAIEPISLLMDGCLNDTSEVAIQSASTTECRELGVNSDNLDGRIGNIVKSHDAANDKQCGNEMVNNSDERYPGGFDLEDCSENNLPHSSNIIGESALVESVSLLPDGCLNDTSDVTTQSANPTKCHESGSTSGNPDKRNESNVKPHDSSHNTDEQMGNKMISNSDGRSSTGFDLKGSNYDSNMIGDRAAFEHDSVFLDGCLNDTSISIQGVNNIKFQVQQRVTSDILDGESKNIMNPLDSLCKVCKESEGEMINNSNGSDSAGFDLKSSSETNVIGEPAAAESVGLPDGCLNEASDLIIQERNKITCHDQSGTVSHNLDGINENIGSCPDSLCDAYEQVAKVTGQQNSLSASIIPPTDNALQELHVQLNNASEVGIQFSAESLIGSEAASHLGEFPRASSGGAENVSCEVKIDVSNVEHNPDSVGEDSMHMTAQIISSQSQDLATEYGVNVSDENAVLHESDTGVISRDGVKSTSSEACPRAQDALNVFFPALPVEQNFNSCQRNGPDGQETEIVAMDNVMASDVIVKPNSHGCMNNAICMSREVASSSCGDGVYGLKDMSAITQSDAISLDGVLISGKAHVNNKLLKPPGSGSELDRASVTQSNSESMCSSSSASGVALHCASGGVNSCSAPDVIMLSNQAN >Manes.01G206700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37911070:37921010:-1 gene:Manes.01G206700.v8.1 transcript:Manes.01G206700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDDSESSPCQATVKMDVDSTEEKGFNSSDGKSPKLIGSLTEENKLVSAPKEEAEMNQIDGSTCGDCGAWSDTDEDVTDDRRKRNRINYEGECEDDSVKKLKVKDDAVDGKLQVVGRILRSGCTVKSGGGKKAEGGQSNDGFVGNLTRRDVFEDNGVKVQKEDTVHFDIRDTYLSGNMMRKKIVYTRGRPPKSLKSKFQQKWGDVAKEAIEQSAGRASVKKLKRKRGRPPKVLENYESEKWGGMEKEMIGLSASQAGCQKKNKEIENLKPRRGRPPKAQGSDLSDKRRAALEEEEVNKSAGRESNQLNNEARKKNLKRKRGRPPKVRDNYELLPAKEINCSDDDKEGKTKKKAEKMSRRRLQRQTVRDKIVELLLGAGWEIQYRPRNGREYKDAVYVNPEGRTHWSVTLAYRVLKKQYEDSEGNSNTSKPGFKFTPLPEEELSILTKVMTKERSDKNKKKKKWNQEKGVKTTELVTERKKWKLHKRKLGAVPGVNFKKLKRRTKLKTMHRRENDSTCTTAQGAAVSVRDHKQLEAHCRKRCALMVRNSQDRIQSETDGYVLYDGKQTVLAWMIGLGTVQLDEKVHYLKRRKTRAVLRGRITTDGIQCDCCSKTFTIAEFEAHAGGKSCQPFKNIYLETGASLFHCQLDSWNKQDESSRKGFHFVDIDGEDPNDDTCGICGDGGDLICCDGCPSTFHQSCLGIKKFPSGLWHCMFCLCKFCGMACGNMLQRDDDNASPLLALLTCCFCEEKYHRSCAQVKDTMSDDPDSSSFCGKTCQELYKRLHMLFGVKHDLDEGFSWTFLRRFDVGPDVSLSGMPQKVECNSKLAVALHIMDECFLPMVDHRSGVNLIHNIVYNFGSNFNRLNYSGFFTAILERGDEIIAAASIRIHGNHLAEMPFIGTRYMYRRQGMCRRLLSAIEMALCSLNIEKLVIPAVSELRETWTSVFGFKPLEGMSKKILRNMNMLVFPGVDMLQKPLLKHQFPGENMNPIEGLESTESGKVHTTEEMTNNFVGRSSAEFDFKGSIETGIPHSCSMINELAAIEPISLLMDGCLNDTSEVAIQSASTTECRELGVNSDNLDGRIGNIVKSHDAANDKQCGNEMVNNSDERYPGGFDLEDCSENNLPHSSNIIGESALVESVSLLPDGCLNDTSDVTTQSANPTKCHESGSTSGNPDKRNESNVKPHDSSHNTDEQMGNKMISNSDGRSSTGFDLKGSNYDSNMIGDRAAFEHDSVFLDGCLNDTSISIQGVNNIKFQVQQRVTSDILDGESKNIMNPLDSLCKVCKESEGEMINNSNGSDSAGFDLKSSSETNVIGEPAAAESVGLPDGCLNEASDLIIQERNKITCHDQSGTVSHNLDGINENIGSCPDSLCDAYEQVAKVTGQQNSLSASIIPPTDNALQELHVQLNNASEVGIQFSAESLIGSEAASHLGEFPRASSGGAENVSCEVKIDVSNVEHNPDSVGEDSMHMTAQIISSQSQDLATEYGVNVSDENAVLHESDTGVISRDGVKSTSSEACPRAQDALNVFFPALPVEQNFNSCQRNGPDGQETEIVAMDNVMASDVIVKPNSHGCMNNAICMSREVASSSCGDGVYGLKDMSAITQSDAISLDGVLISGKAHVNNKLLKPPGSGSELDRASVTQSNSESMCSSSSASGVALHCASGGVNSCSAPDVIMLSNQAN >Manes.03G199150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31591100:31591436:1 gene:Manes.03G199150.v8.1 transcript:Manes.03G199150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMLSFCITLFALYTLSSRSSNVVAARILPSSDPDAGPSADFPSPLPPAPVESPESDFGLPEGDFDVNQYGGIADGETEYIYLILKHLPPIQET >Manes.14G116228.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11059191:11064678:-1 gene:Manes.14G116228.v8.1 transcript:Manes.14G116228.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLFKPFQSEDPDSSPSPPSSPAAAPQQQPHDSPNNHGGGVKEDFSVIGESIGRQLRGVANFLAPPPSPPSSSTLDNPLPSDDPSSPSSQSQALLGIRNDLAEIGGSLRSGLSLLSSNKAVSEISKFTSSFLQFQGDDNEESDEDDYVPGITEEVIGFVQEISTRPECWTDFPLSLENDFRMSDAQREHASAVEHIVPGLTALRANIHSYLEDDQFWMIYFILLLPRLNEHDFEILSTPQLVETRNVLLQQLQNKRKAAPESFENSATHDTSQAGIKVSERQEENIQSREKGVTDIVNATGRLEIDNDENVDQWLKETEIDTCKTLDRQKKLEHEEDVSFSDLEDDDNDLSSRLSSSRQAQGIIRAPSSTDWVQLNESSEVGSDPQKARQSISRERDSDVESNDWLKVDDFD >Manes.14G116228.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11059142:11064707:-1 gene:Manes.14G116228.v8.1 transcript:Manes.14G116228.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLFKPFQSEDPDSSPSPPSSPAAAPQQQPHDSPNNHGGGVKEDFSVIGESIGRQLRGVANFLAPPPSPPSSSTLDNPLPSDDPSSPSSQSQALLGIRNDLAEIGGSLRSGLSLLSSNKAVSEISKFTSSFLQFQGDDNEESDEDDYVPGITEEVIGFVQEISTRPECWTDFPLSLENDFRMSDAQREHASAVEHIVPGLTALRANIHSYLEDDQFWMIYFILLLPRLNEHDFEILSTPQLVETRNVLLQQLQNKRKAAPESFENSATHDTSQAGIKVSERQEENIQSREKGVTDIVNATGRLEIDNDENVDQWLKETEIDTCKTLDRQKKLEHEEDVSFSDLEDDDNDLSSRLSSSRQAQGIIRAPSSTDWVQLNESSEVGSDPQKARQSISRERDSDVESNDWLKVDDFD >Manes.04G153450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34896282:34896656:1 gene:Manes.04G153450.v8.1 transcript:Manes.04G153450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSLTCPALKATRSAIEKLNAGKVIISGWLTGPRNDHRSGPASFLTSSYLSLHSSPSLRKALIYLFLVLKKLLKKGKEKNKMKNEVSMSRGNPSHSPCTLALPLVFRLVQPLFVFCSLVLWF >Manes.02G135500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10302754:10304779:-1 gene:Manes.02G135500.v8.1 transcript:Manes.02G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSCNSRHFSWLMKSCFPNPQDPSKSLSPNPIHPIAISSNITTTLCSLPDDLLLECLSRVPSSSLPALSLVCRRWSYLLRSPAFLSLRRLHKLLHPTVFAFSASDSGLFAASLRFQDDRINIHGLGKVASSIPFQIASLDNISHSRLSAIGPRVYIIGRSGLLCYDTWSGTVSLRSSMIFPRKKFASAVVCGKIYVAGGGSRVAAVEEYNPESDTWAVVAHAPRRRFGCIGAAVDGVFYVIGGLKIGAVTGNEFSRLAAAGAEAHVYASSMDLYDVEAGVWLRSRAVPGGGCVVAACAAAGHVYILASHAVELSFWRFDARRQASGGGGFGEWCRMKSPPLPAQVRLDSIVRFSCVGVEDKVVLIQVNGCIDDLLRRSGRNIRGLKEGLILVYDSGRGEWSRGPDLPGVIRRAACVTVEC >Manes.16G062600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24497453:24506786:1 gene:Manes.16G062600.v8.1 transcript:Manes.16G062600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKFRSRKRKRRRHSKFSLTRRHANSCSEANAASETDEKFGFQSGSDFTLEEFQKYADYFKECYFQMKDSVQDVKHGGIEHQKLEPSVEEIEGEYWRIVEQPTDEVEVYYGADLETGAFGSGFPKASSMIIEGESESDQYVACGWNLNNFPRLQGSVLCFEANDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHASNLEDAMRKHLPDLFEEQPDLLHELVTQLSPSVLKAEGVPVYRVVQRSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQLAVELYSKQHRKTSISHDKLLLGSAQKAVQALWEQLVIGQKTTANLRWKKVCGKDGLLTQAVKNRVKMEEERLRNLPTHLKLQKMEKEFDLCSERECFTCFYDLHLSAVSCKCSPEQFACLKHANHFCSCEADDKYVLLRYTMDELKTLVEALEERIDAVKVWAPKEAKLDSDCDNAEHVCKLDQRGKSFQTAYSEQRESPSCSPKAEETLGANISCCSNSQVSSEVIQSDSHDNVFNNEAKMKQESCIDLNLDFMSGDHGSEFLLSSDISNKQVVSAVETNMFSKKLFGVDLSVGDSCAMLPSESSSKTKIVNISDVNTSISNQNNPVNNLGFFVEPLNFGCVMFGKLWRSRHAIFPKGFKSRVMFFSVLDPRKTCSYISQVVDAGFLGPLFKVSLEECPNKTFTNFSAEKCWEMVLQQLNEEIMRRNRIGESGLPPVQPLTSVNGLEMFGFLSSPIIQAIEALDPNHQCTEYWNNKLANLSTKNEAKKSPCWTELQSHRQSSHRGSSLR >Manes.16G062600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24497454:24506811:1 gene:Manes.16G062600.v8.1 transcript:Manes.16G062600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKSASPSASASQSHINEDNSLKHSLKNDNTLEGSGSPRNRKVTARWDPVEACRPIIDEAPVFYPTIEEFEDTLGYISKIRAKAESFGICRIVPPPTWRPPCPLKEKDIWECAKFSTRIQQVDLLQNREPMRKKFRSRKRKRRRHSKFSLTRRHANSCSEANAASETDEKFGFQSGSDFTLEEFQKYADYFKECYFQMKDSVQDVKHGGIEHQKLEPSVEEIEGEYWRIVEQPTDEVEVYYGADLETGAFGSGFPKASSMIIEGESESDQYVACGWNLNNFPRLQGSVLCFEANDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHASNLEDAMRKHLPDLFEEQPDLLHELVTQLSPSVLKAEGVPVYRVVQRSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQLAVELYSKQHRKTSISHDKLLLGSAQKAVQALWEQLVIGQKTTANLRWKKVCGKDGLLTQAVKNRVKMEEERLRNLPTHLKLQKMEKEFDLCSERECFTCFYDLHLSAVSCKCSPEQFACLKHANHFCSCEADDKYVLLRYTMDELKTLVEALEERIDAVKVWAPKEAKLDSDCDNAEHVCKLDQRGKSFQTAYSEQRESPSCSPKAEETLGANISCCSNSQVSSEVIQSDSHDNVFNNEAKMKQESCIDLNLDFMSGDHGSEFLLSSDISNKQVVSAVETNMFSKKLFGVDLSVGDSCAMLPSESSSKTKIVNISDVNTSISNQNNPVNNLGFFVEPLNFGCVMFGKLWRSRHAIFPKGFKSRVMFFSVLDPRKTCSYISQVVDAGFLGPLFKVSLEECPNKTFTNFSAEKCWEMVLQQLNEEIMRRNRIGESGLPPVQPLTSVNGLEMFGFLSSPIIQAIEALDPNHQCTEYWNNKLANLSTKNEAKKSPCWTELQSHRQSSHRGSSLR >Manes.16G062600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24497454:24506786:1 gene:Manes.16G062600.v8.1 transcript:Manes.16G062600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKSASPSASASQSHINEDNSLKHSLKNDNTLEGSGSPRNRKVTARWDPVEACRPIIDEAPVFYPTIEEFEDTLGYISKIRAKAESFGICRIVPPPTWRPPCPLKEKDIWECAKFSTRIQQVDLLQNREPMRKKFRSRKRKRRRHSKFSLTRRHANSCSEANAASETDEKFGFQSGSDFTLEEFQKYADYFKECYFQMKDSVQDVKHGGIEHQKLEPSVEEIEGEYWRIVEQPTDEVEVYYGADLETGAFGSGFPKASSMIIEGESESDQYVACGWNLNNFPRLQGSVLCFEANDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSHASNLEDAMRKHLPDLFEEQPDLLHELVTQLSPSVLKAEGVPVYRVVQRSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQLAVELYSKQHRKTSISHDKLLLGSAQKAVQALWEQLVIGQKTTANLRWKKVCGKDGLLTQAVKNRVKMEEERLRNLPTHLKLQKMEKEFDLCSERECFTCFYDLHLSAVSCKCSPEQFACLKHANHFCSCEADDKYVLLRYTMDELKTLVEALEERIDAVKVWAPKEAKLDSDCDNAEHVCKLDQRGKSFQTAYSEQRESPSCSPKAEETLGANISCCSNSQVSSEVIQSDSHDNVFNNEAKMKQESCIDLNLDFMSGDHGSEFLLSSDISNKQVVSAVETNMFSKKLFGVDLSVGDSCAMLPSESSSKTKIVNISDVNTSISNQNNPVNNLGFFVEPLNFGCVMFGKLWRSRHAIFPKGFKSRVMFFSVLDPRKTCSYISQVVDAGFLGPLFKVSLEECPNKTFTNFSAEKCWEMVLQQLNEEIMRRNRIGESGLPPVQPLTSVNGLEMFGFLSSPIIQAIEALDPNHQCTEYWNNKLANLSTKNEAKKSPCWTELQSHRQSSHRGSSLR >Manes.02G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8612775:8614428:1 gene:Manes.02G111300.v8.1 transcript:Manes.02G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHASQLDQEQLIEKLEIFKIHGRDKQGRKILRIIGKFFPARFLSLDVLKSYLEEKIYPRLGDKPFSVLYVHTGVQRSENFPGISALRSVYDAIPINLKNNIRAVYFVHPGLQARLFLATFGRLLFSGGLYGKLKYISRTDYLWDHVRRNEIEIPEFVYDHDEDLEYRPMMDYGLESDHPRVYGAPTMDSPVPVYSMRCIS >Manes.11G091136.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17836582:17837750:1 gene:Manes.11G091136.v8.1 transcript:Manes.11G091136.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKLGEASTLGFYRLPIYRNGFVFTGYNLQNLFCFIVEEETTNINSWTEYTYTQFNRY >Manes.12G076901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9353730:9355151:-1 gene:Manes.12G076901.v8.1 transcript:Manes.12G076901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYQTLNRKSEVPQLMSITSLKSQPFLYNSHPVRPSRPHSFVSLVAFQVYSLCWVLFLSGFCLFLQNSLEYKALLLVEMRFVVLVIAVLCSLLFFACIGSEAVQKKAFLFHVHGAEKKNISSSNESHEGVNRYFFMQGKNIDNNTLDEKRIVPTGSNPLHNR >Manes.12G081000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11637492:11659524:-1 gene:Manes.12G081000.v8.1 transcript:Manes.12G081000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVAAAQQRQQQQQQQQGGIGQTITGIIRIAVFWYFASKFFAPKRPSDPSHLISNLFQKGDSLDMWFYLSEQEKFNDFNNEGALVWHETNIPYGVWGPESSRALSMKYYPSEAVKRNGTVYGHVFFARSGYPPDPNDPEYQPLFTFGKTHPVVMYLPKSKADKRRSLLGSSKGSDEAEIISQVVDDGEVEPKDVGPVEWVSYWKPNVTINLVDDMTKYPHNSVPPNIAPYLNVEPSTGNYYPTIFFNEFWLLRDKLIPVNETVTELPLNLEVGPISMTKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSLLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFICQLIVFLYLLDNDTSWMILASSGIGCCIEFWKIGKAMHIEIDRSGKIPMLRFRDRESYAGNKTKEYDDLAMKYLSYVLFFLVACSSVYSLMYERHRSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPILHRLSVFRDDVIFLIYLYQRWIYPVDRTRINEFGFGGEDEQTTSADVTPAEAEEKKTN >Manes.08G023500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2369336:2371300:-1 gene:Manes.08G023500.v8.1 transcript:Manes.08G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPALKAQLQYSVQSMFDEGLLDGQFAQIQALQDESNPNFISEVITSFCNDAEKIITELNKHLTNEQNVDFFKLESRVHQLKGSSSSIGARRLKLACADLLQAFDCKNKGGCLEALNIITREYCLIGAKFQTLIQLEKRILAIESNQQQQDSYQATGSI >Manes.07G065770.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12375419:12386624:1 gene:Manes.07G065770.v8.1 transcript:Manes.07G065770.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNANSNELSSPIDQMSYVIFLSTQDLSTSSVMDDATTNVDESNQNKEKEDEENSFCLKKRKQTSKVWTKFKKITLSDGTMKAECIHCKHQLGVSKTDATTHLLRHLNICLRRNLQKHTLNFCDVPPPHTGVVICDVLQKCSVEWGIEDKVWTISVDNARYNDVAVRMLKDNIAYKNSLAHHGKLFHVRCCAHILNLLVQDGLSEIADIIKNVRESVKHLVVSKSRCLIFSEIAKQLKLPSKKLLLDCGTRWNATYFILSAALKFKDVFPRYQQRDSSYTYLPSEDDWQKVKEVCSFLEEFNEITNVISGTEYPISNLFLPELHSRKKLLDKAHENGDIYMKAMVGKMKSKFDKYLGDLLDQKLVVVKLKKKDLVQAKFELDVYLEESVHIYQDDSNFDALEWWKMNNMKFRILSKMARDILSIPITTVASESAFSAGGRIIDPHKASLGAKTVQDKEDIQEIILP >Manes.03G049500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4564503:4567144:1 gene:Manes.03G049500.v8.1 transcript:Manes.03G049500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVVKEEKETVTCAGGLSSSSSSSSFQPQPMEGLHEVGPPPFLTKTYEMVEDPSTDSVVSWSRAHNSFIVWDSHKFSTTLLPKYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTIKRRRHISQSMQQQSGGPCVEVGEFGLEGELERLKRDRGVLMAEIIKLRHQQQQSREQIAAMEDRLQSTERKQQQIMTFLAKALNNPSFVQQLSMKGTQMREVRGVEIGRKRRLMASPSLENLQDEAASMVMDTSQALDYTNQELGTTETEIDTLFLATFDDESSSDVRDPIGGSTQGTSMDNWGSVNETIWEELLNDDVIAGNPNEEIILEDELEFDVKVEDLVAKPDDWGEELQGLVDRMGYLRSKP >Manes.03G049500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4564597:4595098:1 gene:Manes.03G049500.v8.1 transcript:Manes.03G049500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVVKEEKETVTCAGGLSSSSSSSSFQPQPMEGLHEVGPPPFLTKTYEMVEDPSTDSVVSWSRAHNSFIVWDSHKFSTTLLPKYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTIKRRRHISQSMQQQSGGPCVEVGEFGLEGELERLKRDRGVLMAEIIKLRHQQQQSREQIAAMEDRLQSTERKQQQIMTFLAKALNNPSFVQQLSMKGTQMREVRGVEIGRKRRLMASPSLENLQDEAASMVMDTSQALDYTNQELGTTETEIDTLFLATFDDESSSDVRDPIGGSTQGTSMDNWGSVNETIWEELLNDDVIAGNPNEEIILEDELEFDVKVEDLVAKPDDWGEELQGLVDRMGYLRSKP >Manes.03G049500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4564503:4567172:1 gene:Manes.03G049500.v8.1 transcript:Manes.03G049500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVVKEEKETVTCAGGLSSSSSSSSFQPQPMEGLHEVGPPPFLTKTYEMVEDPSTDSVVSWSRAHNSFIVWDSHKFSTTLLPKYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTIKRRRHISQSMQQQSGGPCVEVGEFGLEGELERLKRDRGVLMAEIIKLRHQQQQSREQIAAMEDRLQSTERKQQQIMTFLAKALNNPSFVQQLSMKGTQMREVRGVEIGRKRRLMASPSLENLQDEAASMVMDTSQALDYTNQELGTTETEIDTLFLATFDDESSSDVRDPIGGSTQGTSMDNWGSVNETIWEELLNDDVIAGNPNEEIILEDELEFDVKVEDLVAKPDDWGEELQGLVDRMGYLRSKP >Manes.03G049500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4564597:4567035:1 gene:Manes.03G049500.v8.1 transcript:Manes.03G049500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVVKEEKETVTCAGGLSSSSSSSSFQPQPMEGLHEVGPPPFLTKTYEMVEDPSTDSVVSWSRAHNSFIVWDSHKFSTTLLPKYFKHNNFSSFIRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTIKRRRHISQSMQQQSGGPCVEVGEFGLEGELERLKRDRGVLMAEIIKLRHQQQQSREQIAAMEDRLQSTERKQQQIMTFLAKALNNPSFVQQLSMKGTQMREVRGVEIGRKRRLMASPSLENLQDEAASMVMDTSQALDYTNQELGTTETEIDTLFLATFDDESSSDVRDPIGGSTQGTSMDNWGSVNETIWEELLNDDVIAGNPNEEIILEDELEFDVKVEDLVAKPDDWGEELQGLVDRMGYLRSKP >Manes.02G205650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18052784:18055061:1 gene:Manes.02G205650.v8.1 transcript:Manes.02G205650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSTSDSNQTSIDASTEITTSSKAKRKAVKPRFVVWDHFTKFVNSEGELKGKCNYCKKEFYCDPKRNGTIALKNHLNSCKKHPHSIETRQAQLSLQKNASDNDVNDLGTLTTWKYDENAIRKALVHMIIIEELPFRFVEGEGFRSFMRAICPRFRIPSRWKISRDCYDLFIEERSKLRSFFKKNCQRVSLTTDTWTSLIISFCPISSHKGEVVGRAIETSLLEWGLDKIVKDSNDVAISYLKKKLTNWGVSVANSTYLHMRCMAHIINLVIQDGLKDVNDLVMKVRDANSTYLMLNTAQKYKRAFERYESQAPMFKIDMGENGIPDYYDWTQVRKMADILAHCYELTLRISDSSDLAFILNQRINSNDLDMKSMEKRMRVKFDKHWGDVDKMNKIIYFAIVIDPRDKFEFMKYSFSQMYGKEKGVELFNKQFNDNSSQQLCGSCTTTGSINPKPKFFLKHHYKKQKLEESGSEAIQEEKEDFDVMKWSKINSERFPILGKMARDILAILIFTVASESAFSTGGRVLNSFWSSLTPKIVEGLICVQDWIRPSNVQVNVEEDLEELEHLMDESNLAHISGPQQF >Manes.11G110050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25428346:25428618:-1 gene:Manes.11G110050.v8.1 transcript:Manes.11G110050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLLISMQPHISKSCLLVDTAAKIWKSLSLTYSKIRNGAQIYDIRNKIHGTKQGEMTISQFYYESCGLWQELDYYQDFQVDCTGDAVKF >Manes.05G160800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27384305:27393940:1 gene:Manes.05G160800.v8.1 transcript:Manes.05G160800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALISDTQPWKDLKAHVDDIKKTHLRDLLSDTDRCKSMMAEFDGIVLDYSRQQATVDTVNKLYNLAEAAHLKEKIHRMFNGERINSTENRSVLHVALRAARNAVINSDGKNVVPDVWNVLDKIKEFSERVRSGSWVGATGKPLTNVIAIGIGGSFLGPLFVHTALQTDPEASKCAVGRQLRFLANVDPIDVARNIAGLSPETTLVVVVSKTFTTAETMLNARTLRAWISKELGPSAVAKHMVAVSTNLTLVEKFGIDPINAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSIVEKFLKGASSIDQHFSSASFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPFATGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLEGEVVNNHDELMSNFFAQPDALAYGKTAEQLQKENVSQHLIPHKTFSGNRPSLSILLSSLDAYKIGQLLAIYEHRVAVQGFIWGINSFDQWGVELGKSLATQVRKQLNASRTKGEPVEGFNFSTTTMLTRYLEESSDVPANAQTLLPKI >Manes.07G138500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34089826:34091494:1 gene:Manes.07G138500.v8.1 transcript:Manes.07G138500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRAEILKYKYREANILPSSHVALLSSGAVSVATPSSSLPPPTPPPPPTSSSSSIYTHQPTSVTDFSTISNENVSFFG >Manes.07G138500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34089311:34091502:1 gene:Manes.07G138500.v8.1 transcript:Manes.07G138500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERFEEIGKKIKRETDASSQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRAEILKYKYREANILPSSHVALLSSGAVSVATPSSSLPPPTPPPPPTSSSSSIYTHQPTSVTDFSTISNENVSFFG >Manes.07G138500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34089311:34091494:1 gene:Manes.07G138500.v8.1 transcript:Manes.07G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERFEEIGKKIKRETDASSQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRAEILKYKYREANILPSSHVALLSSGAVSVATPSSSLPPPTPPPPPTSSSSSIYTHQPTSVTDFSTISNENVSFFG >Manes.01G071900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27357185:27361735:-1 gene:Manes.01G071900.v8.1 transcript:Manes.01G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLNTNLNQPSLSCGTKLYSGLKLQSPGLYATGRPNLTSEFYVRVNKSLQCGTRNHRPIRAGVKMMPIGTPRVPYRTPGEGTWQWVDLWNALYRERVIFLGQNIDEEFSNQMLATMLYLDTIDDSKRIYLYINGPGGDLTPSLALYDTMQSLKSPVATHCVGFAYNLAGFILAAGEKGNRSAMPLSRIALQSPAGAARGQADDIRNEANELLRIKDYLYNELAKNTGQPAEKINKDLSRMKRFNAQEALEYGLIDRIIRPPAVDADDRPRDPSAGLG >Manes.03G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2293436:2298223:1 gene:Manes.03G028200.v8.1 transcript:Manes.03G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLFWITMARGFKLVFAISLLLTLLVFCRGSKVGVCYGRSADDLPTPDKVAKLVQQHNIKYLRIYDSNIQVLKAFANTGVELMVGVPNSDLLALSQFQSNADSWLKNSILPYYPATKITYITVGAEVTESPNNASALVVPAMHNVLTALKKVGLHRRIKVSSTHSLGVLSRSFPPSAGAFNSSHAFFLKPMLEFLADNQSPFMINIYPYYAYRDSPNKVSLDYALFESSSEVIDPNTGLLYTNMLDAQIDALYFALMALNFRTINVMVTETGWPSKGSPKETAATPDNAQTYNTNLIRRVINNSGTPAKPGEELDVYIFSLFNENRKPGLESERNWGIFYPDQTSVYNLDFEGRSVVDVPKNTTSTRSNGTTWCIASSNVSQLDLQSALDWACGSGNVDCTAIQPSQPCFEPDTLLSHASYAFNSYYQQNGASDVACSFGGAGDKVDKDPSYDNCLYMTTGTNKTAASNTTAMASSSSHSPSLNKVFVWAFCFLLMTFLGLLNIA >Manes.03G028200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2293436:2298223:1 gene:Manes.03G028200.v8.1 transcript:Manes.03G028200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLFWITMARGFKLVFAISLLLTLLVFCRGSKVGVCYGRSADDLPTPDKVAKLVQQHNIKYLRIYDSNIQVLKAFANTGVELMVGVPNSDLLALSQFQSNADSWLKNSILPYYPATKITYITVGAEVTESPNNASALVVPAMHNVLTALKKVGLHRRIKVSSTHSLGVLSRSFPPSAGAFNSSHAFFLKPMLEFLADNQSPFMINIYPYYAYRDSPNKVSLDYALFESSSEVIDPNTGLLYTNMLDAQIDALYFALMALNFRTINVMVTETGWPSKGSPKETAATPDNAQTYNTNLIRRVINNSGTPAKPGEELDVYIFSLFNENRKPGLESERNWGIFYPDQTSVYNLDFEGRSVVDVPKNTTSTRSNGTTWCIASSNVSQLDLQSALDWACGSGNVDCTAIQPSQPCFEPDTLLSHASYAFNSYYQQNGASDVACSFGGAGDKVDKDPSML >Manes.03G028200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2293436:2298223:1 gene:Manes.03G028200.v8.1 transcript:Manes.03G028200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLFWITMARGFKLVFAISLLLTLLVFCRGSKVGVCYGRSADDLPTPDKVAKLVQQHNIKYLRIYDSNIQVLKAFANTGVELMVGVPNSDLLALSQFQSNADSWLKNSILPYYPATKITYITVGAEVTESPNNASALVVPAMHNVLTALKKVGLHRRIKVSSTHSLGVLSRSFPPSAGAFNSSHAFFLKPMLEFLADNQSPFMINIYPYYAYRDSPNKVSLDYALFESSSEVIDPNTGLLYTNMLDAQIDALYFALMALNFRTINVMVTETGWPSKGSPKETAATPDNAQTYNTNLIRRVINNSGTPAKPGEELDVYIFSLFNENRKPGLESERNWGIFYPDQTSVYNLDFEGRSVVDVPKNTTSTRSNGTTWCIASSNVSQLDLQSALDWACGSGNVDCTAIQPSQPCFEPDTLLSHASYAFNSYYQQNGASDVACSFGGAGDKVDKDPSMYASVQLLLFDHNPRS >Manes.17G004700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2310933:2314627:1 gene:Manes.17G004700.v8.1 transcript:Manes.17G004700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGINNDSLRPMSMAMASTMLSPAEDISPSASKERGQQQQQQQWTEQETKDLIGIRAELEKDFTVAKRSKALWEIVSAKLRERGYRRTPDQCKCKWKNLVNRYKGKETSDPENGLHCPFFEELHAVFTERAKNMQRLLLDSKVGSSQAKKRVKRMSASTSFDELSVGEDEDEDGEERLARSNSQKRKRERFLTEVSSSVTSTSSPDIGGIQEMLKEFFQQQQQMEMQWREMMEKRANDQQLFELEWRQSMEKMEQERLMIEQAWREREEQRRIREESRAERRDVLLITLLNKLITENDIEGK >Manes.17G004700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2310931:2314709:1 gene:Manes.17G004700.v8.1 transcript:Manes.17G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGINNDSLRPMSMAMASTMLSPAEDISPSASKERGQQQQQQQWTEQETKDLIGIRAELEKDFTVAKRSKALWEIVSAKLRERGYRRTPDQCKCKWKNLVNRYKGKETSDPENGLHCPFFEELHAVFTERAKNMQRLLLDSKVGSSQAKKRVKRMSASTSFDELSVGEDEDEDGEERLARSNSQKRKRERFLTEVSSSVTSTSSPDIGGIQEMLKEFFQQQQQMEMQWREMMEKRANDQQLFELEWRQSMEKMEQERLMIEQAWREREEQRRIREESRAERRDVLLITLLNKLITENDIEGK >Manes.17G004700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2310933:2314627:1 gene:Manes.17G004700.v8.1 transcript:Manes.17G004700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGINNDSLRPMSMAMASTMLSPAEDISPSASKERGQQQQQQQWTEQETKDLIGIRAELEKDFTVAKRSKALWEIVSAKLRERGYRRTPDQCKCKWKNLVNRYKGKETSDPENGLHCPFFEELHAVFTERAKNMQRLLLDSKVGSSQAKKRVKRMSASTSFDELSVGEDEDEDGEERLARSNSQKRKRERFLTEVSSSVTSTSSPDIGGIQEMLKEFFQQQQQMEMQWREMMEKRANDQQLFELEWRQSMEKMEQERLMIEQAWREREEQRRIREESRAERRDVLLITLLNKLITENDIEGK >Manes.01G045575.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:12738185:12739043:-1 gene:Manes.01G045575.v8.1 transcript:Manes.01G045575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAISWSSKKQQIITLSTTEAEFISAATCSCQVIWLRRLLEMLQCRQQGPTKVFCDNVSVIKISKNPVLHGRSKHIDVHYHFLCNLCNDGTVDLLFCRSEDQVADIMTKPLKQAAFVKLRGLLGVCSVDQTFT >Manes.15G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9041687:9056385:1 gene:Manes.15G114000.v8.1 transcript:Manes.15G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASQSLGGPSRCGRVLGPSLDKIVKNAAWRKHSHLVSACKSALDKLVSLTDSSSHLNSCSPLLGVSPSVAEFLLQPLLLALDSAYARVVEPALECVFKLFSLGLLRGEVDANPSVYTVVFKMIESVRKVCSIGEEAVELAVLRVLLAAVRSPCVLIRGECLLNLVRTCYNVYLSGLSGTNQICAKTVLAQIMLIVFTRVEEDSLDVSVKTVSVSELLEFTDKSLNEGNSIYFCQNFVYEIMGASEGVPDAKLLLHIPSTIVKNGSEDGPVAVGDAKVTNGNDKDELGDSREANDCAEFGGSKIREDGFILFRNLCKLSMKFASHENPDDQIILRGKILSLELLKVVMDNGGLIWLNNERFLNAVKQYLCLSLLKNSALSVMAIFQLQCSIFMNLLSKFRSGLKEEIGIFFSMLILRVLENVNQPSFLQKMIVLNFLEEIAQESQIIVDVFVNYDCDVDAPNIYERIVNGLLKTALGPPPGSTTTLSSAQDNTFRHESVKCLVGIIKSMGAWMDQQLRIGESDEPKSKESDASTENHSNASVEEARSSDYDLQAEMNSEISGAASLEQRRAYKIELQKGISVFNRKPSKGIEFLINSKKIGGSPEEVAAFLKNTTGLNETLIGDYLGERDEFCLRVMHAYVDSFNFKAMDFGEGLRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSANTAYVLAYSVIMLNTDAHNSMVKDKMTKADFIRNNRGIDDGKDLPEEYMGTLYDKIVKNEIKMNSDSSAPQSKQANSLNKLLGLDGILNLVTWNQTEERPLGANGLLIRHIQEQFKAKSGKSESVFHIVTDAAILRFMVEVCWGPMLAAFSMTLGQSDDKHATSQCLQGFRYGVHVTAVMGMQTQRDALVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPPDASFFTASNVEADEKILKSMGYPSLKRKDSLRNPAVMAVVRGGSYDSASVGENSPGSVTAQKISHFISNLNLLDQIGNFELNHVFSNSQRLNSEAIVAFVKALCKVSMAELQSPKDPRVFSLTKIVEIAHYNMNRIRIVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAIVMQKSSSTEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTIFTDCVRCLTTFTNSRFNSDVSLNAIAFLRYCAVKLADGGLAGNEKRRADDSSTSVVNEVASDIQDLSDKDDHASFWIPLLAGLSKLTSDTRSAIRKSALEVLFNILNDHGHLFSRSLWFDVFNSVILPIFSGTCDKKESFIKDGQHSPTSSTPHLEGITWDPDTSPVAAQCLVDLFISFFKTVRSQLSSLVAILTGFIRSPIQGPARAGVAALLRLAGQLGRLLSEAEWREIFLALKEAAASTLPGFLKVLRSMDDIEIPGSSESYADVGISSDHGFTNEDLEDANLLTASYVVSRVKSHIAVQLLIIQVVTDLSKAHLQFLSAANIEIILDIFSSTATHSRQLNSEIILLKKLDKACSILELSYPPMVRFENESYQSYLNFLHDLLVDNSSISKELDVDLKIVEVCEKIMHVYLNCNGSQSAHQEQEPVNKLVVHWILPLGSTEKEASAARTTLLVSALHLLSNLNGESYRRYVSRFFPLLVDLVQSEHSSRDVHQVLSNIFQSCIGQVLM >Manes.15G114000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9041687:9056385:1 gene:Manes.15G114000.v8.1 transcript:Manes.15G114000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMWMLQTYMKDICRIVNGLLKTALGPPPGSTTTLSSAQDNTFRHESVKCLVGIIKSMGAWMDQQLRIGESDEPKSKESDASTENHSNASVEEARSSDYDLQAEMNSEISGAASLEQRRAYKIELQKGISVFNRKPSKGIEFLINSKKIGGSPEEVAAFLKNTTGLNETLIGDYLGERDEFCLRVMHAYVDSFNFKAMDFGEGLRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSANTAYVLAYSVIMLNTDAHNSMVKDKMTKADFIRNNRGIDDGKDLPEEYMGTLYDKIVKNEIKMNSDSSAPQSKQANSLNKLLGLDGILNLVTWNQTEERPLGANGLLIRHIQEQFKAKSGKSESVFHIVTDAAILRFMVEVCWGPMLAAFSMTLGQSDDKHATSQCLQGFRYGVHVTAVMGMQTQRDALVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPPDASFFTASNVEADEKILKSMGYPSLKRKDSLRNPAVMAVVRGGSYDSASVGENSPGSVTAQKISHFISNLNLLDQIGNFELNHVFSNSQRLNSEAIVAFVKALCKVSMAELQSPKDPRVFSLTKIVEIAHYNMNRIRIVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAIVMQKSSSTEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTIFTDCVRCLTTFTNSRFNSDVSLNAIAFLRYCAVKLADGGLAGNEKRRADDSSTSVVNEVASDIQDLSDKDDHASFWIPLLAGLSKLTSDTRSAIRKSALEVLFNILNDHGHLFSRSLWFDVFNSVILPIFSGTCDKKESFIKDGQHSPTSSTPHLEGITWDPDTSPVAAQCLVDLFISFFKTVRSQLSSLVAILTGFIRSPIQGPARAGVAALLRLAGQLGRLLSEAEWREIFLALKEAAASTLPGFLKVLRSMDDIEIPGSSESYADVGISSDHGFTNEDLEDANLLTASYVVSRVKSHIAVQLLIIQVVTDLSKAHLQFLSAANIEIILDIFSSTATHSRQLNSEIILLKKLDKACSILELSYPPMVRFENESYQSYLNFLHDLLVDNSSISKELDVDLKIVEVCEKIMHVYLNCNGSQSAHQEQEPVNKLVVHWILPLGSTEKEASAARTTLLVSALHLLSNLNGESYRRYVSRFFPLLVDLVQSEHSSRDVHQVLSNIFQSCIGQVLM >Manes.15G114000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9045456:9056385:1 gene:Manes.15G114000.v8.1 transcript:Manes.15G114000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMWMLQTYMKDICRIVNGLLKTALGPPPGSTTTLSSAQDNTFRHESVKCLVGIIKSMGAWMDQQLRIGESDEPKSKESDASTENHSNASVEEARSSDYDLQAEMNSEISGAASLEQRRAYKIELQKGISVFNRKPSKGIEFLINSKKIGGSPEEVAAFLKNTTGLNETLIGDYLGERDEFCLRVMHAYVDSFNFKAMDFGEGLRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSANTAYVLAYSVIMLNTDAHNSMVKDKMTKADFIRNNRGIDDGKDLPEEYMGTLYDKIVKNEIKMNSDSSAPQSKQANSLNKLLGLDGILNLVTWNQTEERPLGANGLLIRHIQEQFKAKSGKSESVFHIVTDAAILRFMVEVCWGPMLAAFSMTLGQSDDKHATSQCLQGFRYGVHVTAVMGMQTQRDALVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPPDASFFTASNVEADEKILKSMGYPSLKRKDSLRNPAVMAVVRGGSYDSASVGENSPGSVTAQKISHFISNLNLLDQIGNFELNHVFSNSQRLNSEAIVAFVKALCKVSMAELQSPKDPRVFSLTKIVEIAHYNMNRIRIVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAIVMQKSSSTEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTIFTDCVRCLTTFTNSRFNSDVSLNAIAFLRYCAVKLADGGLAGNEKRRADDSSTSVVNEVASDIQDLSDKDDHASFWIPLLAGLSKLTSDTRSAIRKSALEVLFNILNDHGHLFSRSLWFDVFNSVILPIFSGTCDKKESFIKDGQHSPTSSTPHLEGITWDPDTSPVAAQCLVDLFISFFKTVRSQLSSLVAILTGFIRSPIQGPARAGVAALLRLAGQLGRLLSEAEWREIFLALKEAAASTLPGFLKVLRSMDDIEIPGSSESYADVGISSDHGFTNEDLEDANLLTASYVVSRVKSHIAVQLLIIQVVTDLSKAHLQFLSAANIEIILDIFSSTATHSRQLNSEIILLKKLDKACSILELSYPPMVRFENESYQSYLNFLHDLLVDNSSISKELDVDLKIVEVCEKIMHVYLNCNGSQSAHQEQEPVNKLVVHWILPLGSTEKEASAARTTLLVSALHLLSNLNGESYRRYVSRFFPLLVDLVQSEHSSRDVHQVLSNIFQSCIGQVLM >Manes.15G114000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9041687:9056385:1 gene:Manes.15G114000.v8.1 transcript:Manes.15G114000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMWMLQTYMKDICRIVNGLLKTALGPPPGSTTTLSSAQDNTFRHESVKCLVGIIKSMGAWMDQQLRIGESDEPKSKESDASTENHSNASVEEARSSDYDLQAEMNSEISGAASLEQRRAYKIELQKGISVFNRKPSKGIEFLINSKKIGGSPEEVAAFLKNTTGLNETLIGDYLGERDEFCLRVMHAYVDSFNFKAMDFGEGLRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSANTAYVLAYSVIMLNTDAHNSMVKDKMTKADFIRNNRGIDDGKDLPEEYMGTLYDKIVKNEIKMNSDSSAPQSKQANSLNKLLGLDGILNLVTWNQTEERPLGANGLLIRHIQEQFKAKSGKSESVFHIVTDAAILRFMVEVCWGPMLAAFSMTLGQSDDKHATSQCLQGFRYGVHVTAVMGMQTQRDALVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPPDASFFTASNVEADEKILKSMGYPSLKRKDSLRNPAVMAVVRGGSYDSASVGENSPGSVTAQKISHFISNLNLLDQIGNFELNHVFSNSQRLNSEAIVAFVKALCKVSMAELQSPKDPRVFSLTKIVEIAHYNMNRIRIVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAIVMQKSSSTEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTIFTDCVRCLTTFTNSRFNSDVSLNAIAFLRYCAVKLADGGLAGNEKRRADDSSTSVVNEVASDIQDLSDKDDHASFWIPLLAGLSKLTSDTRSAIRKSALEVLFNILNDHGHLFSRSLWFDVFNSVILPIFSGTCDKKESFIKDGQHSPTSSTPHLEGITWDPDTSPVAAQCLVDLFISFFKTVRSQLSSLVAILTGFIRSPIQGPARAGVAALLRLAGQLGRLLSEAEWREIFLALKEAAASTLPGFLKVLRSMDDIEIPGSSESYADVGISSDHGFTNEDLEDANLLTASYVVSRVKSHIAVQLLIIQVLHGYKLTGTLGISF >Manes.15G114000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9045456:9056385:1 gene:Manes.15G114000.v8.1 transcript:Manes.15G114000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFCSIWHRFLNAVKQYLCLSLLKNSALSVMAIFQLQCSIFMNLLSKFRSGLKEEIGIFFSMLILRVLENVNQPSFLQKMIVLNFLEEIAQESQIIVDVFVNYDCDVDAPNIYERIVNGLLKTALGPPPGSTTTLSSAQDNTFRHESVKCLVGIIKSMGAWMDQQLRIGESDEPKSKESDASTENHSNASVEEARSSDYDLQAEMNSEISGAASLEQRRAYKIELQKGISVFNRKPSKGIEFLINSKKIGGSPEEVAAFLKNTTGLNETLIGDYLGERDEFCLRVMHAYVDSFNFKAMDFGEGLRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSANTAYVLAYSVIMLNTDAHNSMVKDKMTKADFIRNNRGIDDGKDLPEEYMGTLYDKIVKNEIKMNSDSSAPQSKQANSLNKLLGLDGILNLVTWNQTEERPLGANGLLIRHIQEQFKAKSGKSESVFHIVTDAAILRFMVEVCWGPMLAAFSMTLGQSDDKHATSQCLQGFRYGVHVTAVMGMQTQRDALVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPPDASFFTASNVEADEKILKSMGYPSLKRKDSLRNPAVMAVVRGGSYDSASVGENSPGSVTAQKISHFISNLNLLDQIGNFELNHVFSNSQRLNSEAIVAFVKALCKVSMAELQSPKDPRVFSLTKIVEIAHYNMNRIRIVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAIVMQKSSSTEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTIFTDCVRCLTTFTNSRFNSDVSLNAIAFLRYCAVKLADGGLAGNEKRRADDSSTSVVNEVASDIQDLSDKDDHASFWIPLLAGLSKLTSDTRSAIRKSALEVLFNILNDHGHLFSRSLWFDVFNSVILPIFSGTCDKKESFIKDGQHSPTSSTPHLEGITWDPDTSPVAAQCLVDLFISFFKTVRSQLSSLVAILTGFIRSPIQGPARAGVAALLRLAGQLGRLLSEAEWREIFLALKEAAASTLPGFLKVLRSMDDIEIPGSSESYADVGISSDHGFTNEDLEDANLLTASYVVSRVKSHIAVQLLIIQVVTDLSKAHLQFLSAANIEIILDIFSSTATHSRQLNSEIILLKKLDKACSILELSYPPMVRFENESYQSYLNFLHDLLVDNSSISKELDVDLKIVEVCEKIMHVYLNCNGSQSAHQEQEPVNKLVVHWILPLGSTEKEASAARTTLLVSALHLLSNLNGESYRRYVSRFFPLLVDLVQSEHSSRDVHQVLSNIFQSCIGQVLM >Manes.15G114000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9041686:9056386:1 gene:Manes.15G114000.v8.1 transcript:Manes.15G114000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMWMLQTYMKDICRIVNGLLKTALGPPPGSTTTLSSAQDNTFRHESVKCLVGIIKSMGAWMDQQLRIGESDEPKSKESDASTENHSNASVEEARSSDYDLQAEMNSEISGAASLEQRRAYKIELQKGISVFNRKPSKGIEFLINSKKIGGSPEEVAAFLKNTTGLNETLIGDYLGERDEFCLRVMHAYVDSFNFKAMDFGEGLRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSANTAYVLAYSVIMLNTDAHNSMVKDKMTKADFIRNNRGIDDGKDLPEEYMGTLYDKIVKNEIKMNSDSSAPQSKQANSLNKLLGLDGILNLVTWNQTEERPLGANGLLIRHIQEQFKAKSGKSESVFHIVTDAAILRFMVEVCWGPMLAAFSMTLGQSDDKHATSQCLQGFRYGVHVTAVMGMQTQRDALVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPPDASFFTASNVEADEKILKSMGYPSLKRKDSLRNPAVMAVVRGGSYDSASVGENSPGSVTAQKISHFISNLNLLDQIGNFELNHVFSNSQRLNSEAIVAFVKALCKVSMAELQSPKDPRVFSLTKIVEIAHYNMNRIRIVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAIVMQKSSSTEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTIFTDCVRCLTTFTNSRFNSDVSLNAIAFLRYCAVKLADGGLAGNEKRRADDSSTSVVNEVASDIQDLSDKDDHASFWIPLLAGLSKLTSDTRSAIRKSALEVLFNILNDHGHLFSRSLWFDVFNSVILPIFSGTCDKKESFIKDGQHSPTSSTPHLEGITWDPDTSPVAAQCLVDLFISFFKTVRSQLSSLVAILTGFIRSPIQGPARAGVAALLRLAGQLGRLLSEAEWREIFLALKEAAASTLPGFLKVLRSMDDIEIPGSSESYADVGISSDHGFTNEDLEDANLLTASYVVSRVKSHIAVQLLIIQVVTDLSKAHLQFLSAANIEIILDIFSSTATHSRQLNSEIILLKKLDKACSILELSYPPMVRFENESYQSYLNFLHDLLVDNSSISKELDVDLKIVEVCEKIMHVYLNCNGSQSAHQEQEPVNKLVVHWILPLGSTEKEASAARTTLLVSALHLLSNLNGESYRRYVSRFFPLLVDLVQSEHSSRDVHQVLSNIFQSCIGQVLM >Manes.15G114000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9041687:9056385:1 gene:Manes.15G114000.v8.1 transcript:Manes.15G114000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMWMLQTYMKDICRIVNGLLKTALGPPPGSTTTLSSAQDNTFRHESVKCLVGIIKSMGAWMDQQLRIGESDEPKSKESDASTENHSNASVEEARSSDYDLQAEMNSEISGAASLEQRRAYKIELQKGISVFNRKPSKGIEFLINSKKIGGSPEEVAAFLKNTTGLNETLIGDYLGERDEFCLRVMHAYVDSFNFKAMDFGEGLRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSANTAYVLAYSVIMLNTDAHNSMVKDKMTKADFIRNNRGIDDGKDLPEEYMGTLYDKIVKNEIKMNSDSSAPQSKQANSLNKLLGLDGILNLVTWNQTEERPLGANGLLIRHIQEQFKAKSGKSESVFHIVTDAAILRFMVEVCWGPMLAAFSMTLGQSDDKHATSQCLQGFRYGVHVTAVMGMQTQRDALVTSVAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPPDASFFTASNVEADEKILKSMGYPSLKRKDSLRNPAVMAVVRGGSYDSASVGENSPGSVTAQKISHFISNLNLLDQIGNFELNHVFSNSQRLNSEAIVAFVKALCKVSMAELQSPKDPRVFSLTKIVEIAHYNMNRIRIVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAIVMQKSSSTEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPYITETETTIFTDCVRCLTTFTNSRFNSDVSLNAIAFLRYCAVKLADGGLAGNEKRRADDSSTSVVNEVASDIQDLSDKDDHASFWIPLLAGLSKLTSDTRSAIRKSALEVLFNILNDHGHLFSRSLWFDVFNSVILPIFSGTCDKKESFIKDGQHSPTSSTPHLEGITWDPDTSPVAAQCLVDLFISFFKTVRSQLSSLVAILTGFIRSPIQGPARAGVAALLRLAGQLGRLLSEAEWREIFLALKEAAASTLPGFLKVLRSMDDIEIPGSSESYADVGISSDHGFTNEDLEDANLLTASYVVSRVKSHIAVQLLIIQVLHGYKLTGTLGISF >Manes.11G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29554376:29558497:1 gene:Manes.11G130900.v8.1 transcript:Manes.11G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGKLTVCFTGAGEARRRQDISMFISDPFDEGLGHSFCYVRPDPIRLSCSKVHSEETNTFRTISGASVSANTSTPLSTAFVDPYVYNSMDRAAAFESSTSFASIPLQPIPRNLIGSINSGPLTGMTGLIPGSGPLERGFMSGPIERGFMSGPLDRGLFSGPLERGGSDQFQRSFSHASFPFKPRSAKRKLIRVLQRAISKTLSRGQNSMVTPIKGVIREPDWILNPEKQHNENLTVSSINLSNDGSLEDDDSFESQTLQWAQGRAGEDRVHVVVSEEHEWVFVGIYDGFNGPDATDYLSSNLYSAVHKELKGLLWDDKFESATISAPASSPVGSQGTNSTLETVLQSSQRNDECSRCLDQENHPCTSHNASFDSNSNRKRKSRGKYKGAAKKWEENQMRWKCEWDRERIELDERLKKQLNRSGSDNGAINHAGVLKALSQALKKTEEAYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKADPDYRLGKSRQDLERINEETLYDLETYECERTNSISTLTAFQLSVDHSTNLEEEVQRIKSEHLDDACAVVNQRVKGSLKVTRAFGAGFLKQPKWNNAILETFRIDYIGNSPYINCLPYLCHHRLGPKDRFLILSSDGLYQYFTNEEAINEVELFIALQPEGDPAQHLIEEVLFRAANKAGMDFYELLEIPQGDRRRYHDDISIIVISLEGRIWRSCV >Manes.11G130900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29554376:29558497:1 gene:Manes.11G130900.v8.1 transcript:Manes.11G130900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGKLTVCFTGAGEARRRQDISMFISDPFDEGLGHSFCYVRPDPIRLSCSKVHSEETNTFRTISGASVSANTSTPLSTAFVDPYVYNSMDRAAAFESSTSFASIPLQPIPRNLIGSINSGPLTGMTGLIPGSGPLERGFMSGPIERGFMSGPLDRGLFSGPLERGGSDQFQRSFSHASFPFKPRSAKRKLIRVLQRAISKTLSRGQNSMVTPIKGVIREPDWILNPEKQHNENLTVSSINLSNDGSLEDDDSFESQTLQWAQGRAGEDRVHVVVSEEHEWVFVGIYDGFNGPDATDYLSSNLYSAVHKELKGLLWDDKFESATISAPASSPVGSQGTNSTLETVLQSSQRNDECSRCLDQENHPCTSHNASFDSNSNRKRKSRGKYKGAAKKWEENQMRWKCEWDRERIELDERLKKQLNRSGSDNGAINHAGVLKALSQALKKTEEAYLDIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKADPDYRLGKSRQDLERINEETLYDLETYECERTNSISTLTAFQLSVDHSTNLEEPKWNNAILETFRIDYIGNSPYINCLPYLCHHRLGPKDRFLILSSDGLYQYFTNEEAINEVELFIALQPEGDPAQHLIEEVLFRAANKAGMDFYELLEIPQGDRRRYHDDISIIVISLEGRIWRSCV >Manes.08G006500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:996567:1001265:1 gene:Manes.08G006500.v8.1 transcript:Manes.08G006500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLCGFSCFSSSSRKPTINTTTPGEQNHDNLRIFSSEELQIATDGFSSSNKIGEGAFGSVYRGQLRDGSIVAVKVLSVEVESMRGEREFITELAALCNIKHENLVKLQGCCVDGANRYLIFDYMENNSLAQILFGKEKNRMKFSWEARRDISLGVARGMAYLHEEFQPHLVHRDIKASNILLDQNFTPKVADFGLSRILTDKASHVSTKVAGTLFGVLLLEIISGRSAVDFDLELGEHYLVQKAWQAYKDNKLIKVVDNTLNLNFPEEEALRFLMIGLLCVQETAKLRPRMSTVAKMLTNETDIRDVQISQPGQLPDLKNIRLHQKQTSESSSSRERTWTTVMSLPSTTYF >Manes.08G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:996567:1001265:1 gene:Manes.08G006500.v8.1 transcript:Manes.08G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSLCGFSCFSSSSRKPTINTTTPGEQNHDNLRIFSSEELQIATDGFSSSNKIGEGAFGSVYRGQLRDGSIVAVKVLSVEVESMRGEREFITELAALCNIKHENLVKLQGCCVDGANRYLIFDYMENNSLAQILFGKEKNRMKFSWEARRDISLGVARGMAYLHEEFQPHLVHRDIKASNILLDQNFTPKVADFGLSRILTDKASHVSTKVAGTLGYLAPEYAITGHLTRKSDVYSFGVLLLEIISGRSAVDFDLELGEHYLVQKAWQAYKDNKLIKVVDNTLNLNFPEEEALRFLMIGLLCVQETAKLRPRMSTVAKMLTNETDIRDVQISQPGQLPDLKNIRLHQKQTSESSSSRERTWTTVMSLPSTTYF >Manes.11G083800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:12471972:12472930:1 gene:Manes.11G083800.v8.1 transcript:Manes.11G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTESSWGSYMPPRNVGDPLERIERLASENAVVIFSISTCCMCHAIKRLFCGMGVHPTVHELDEDPRGKEMEKALMRLLGSSSAVPVVFIGGKLVGAMDRVMASHINGTLVPLLKEAGALWL >Manes.07G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12925147:12926971:-1 gene:Manes.07G068800.v8.1 transcript:Manes.07G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIDQEILTEILKRLPAKFLVQLSTRTSSSSTAKLMLRHYSWAHKKEIYTVNSSSMSSFDQYQELVFPFKSYSQYFEIVGSCNGILCLSDTYRLNSHTIILWNPTIRKSITLPLPSVSFDQIYMFVLGFGCDDKRNEYKVVRIVYRVMDNKCRVDITPQVEVYELSLDAWRSIRIDAAPQYVISELPVRVFLKGSVHWIGYIPSEEDSDFRDLSLVLFDMNKEVFREMKLPGVTGLSVLDLSIFGSGNLLSLIQYNRHTRSQWIQYGSCSIWVMKDYGKVESWTKQFTVDLQGGVGKALGLGNNAEMLLVKSSGELVSYDIENQKISHLGIKGIVSSFHLETYSETLVLLDGINEILGHKIPENCSDSHLEGAYER >Manes.11G039970.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3857515:3881345:1 gene:Manes.11G039970.v8.1 transcript:Manes.11G039970.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWEKESRGTMFSKPSPELNPSKSIGPRKYSYQQLADATNHFSNNHLLGEGGFGQVYKGLLDGEYYAIKKLKNFPDLQSGGKLQDEIMVVSSVRHKNLVKLLGYCNEGANKLLVFKYFHNKSLSSQLHKSDQNLDWQKRMNIAKGTARGLEYLHEHCDVRIIHLDIKSDNILLDDEFKPKLADFGLARFFSNAATHISESKIIGTRVYVDPFAIETRQYSDKSDIYSFGVILLELVTGRMPIENGVDIVKWAKSRIKKALNREFAAFVDSTLRFDHTEMYRMIFCADACISNSPNLRPSIKKILQALEGILSPDELSSQKGLDNGVRTRGTEVVSSDNCFRVFRVSQRFAGTRQSGKSTRVGRFYVCNS >Manes.01G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4908345:4912557:1 gene:Manes.01G021500.v8.1 transcript:Manes.01G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKPSGAFEMLAFTSSSVGALPLAAPVKTRSGCKGQKEISPVSVSTQLRPYDPRSGLNKLVISPVGLGKQLRPIYGTKHCNRKQSASIICAAAMSARCSASGQTQTITREAPTITKAPVREPTKTPQLDDGGPGLPPGDDGGGGGGGGGGGNWSGGFFLFGFLAFLGFLKDKETDDGGYQDSRRR >Manes.01G021500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4908345:4912703:1 gene:Manes.01G021500.v8.1 transcript:Manes.01G021500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAKPSGAFEMLAFTSSSVGALPLAAPVCAVKTRSGCKGQKEISPVSVSTQLRPYDPRSGLNKLVISPVGLGKQLRPIYGTKHCNRKQSASIICAAAMSARCSASGQTQTITREAPTITKAPVREPTKTPQLDDGGPGLPPGDDGGGGGGGGGGGNWSGGFFLFGFLAFLGFLKDKETDDGGYQDSRRR >Manes.14G158839.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21134141:21139315:-1 gene:Manes.14G158839.v8.1 transcript:Manes.14G158839.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLDDEEAVQLCKDRKRFIKQAVEQRTKFASGHLAYIQSLRRVSAALREYVDGDEPREFLLDSFITPPFTPIKKTSPGFVSISPGSFSQSSLQSRPNSTLKVNYLRSGGNQAVAVEERPQSPETVRIEAYSPVHHYGMNGFFTMQSPPVYSSFFSYSPNNRPNIPPPSPQTSQWDGFWNPFSSLDYYGYPSRSSLDQMVMDDDTRGLRQVREEEGIPDLEDETEQEELYNKVNATEEQAKVDPGYNREEVLVEDVNEDEDEDDDKDETDSGSECEHEMRGLQSQGSAKIELSRAQNSRQVEVRNQEMPVGEGEGKVETPAFTVYVNRRPTSMAEVIKDLEYQFTIACDSAKEVSALLESGKSQYAPMSNELTAIKMLNPVALFRSASSRSSSSRHFINSSSSRDEGYESSSDFSEESCILSGSHQSVLDRLYVWEKKLYDEVKSGERVRIAYEKKQMQLRNQDVKGEEPSVVDKTGVAIRDLYTQLQVSIHSAEAVSKRIEALRDEELQPQLLELVQGLARMWKVMVECHQSQKQTLDEAKLLLAGTPSKLDAKRRSSMSFVDPQRLARSAANLESELRNWRACFEAWITSQRSYMHALTGWLLRCVRSDPDTSKLPFSPRRSSGTFPIFGLCIQWSRFLDSIQEKPVLDGMDFFAAGMGSLYAQQLRDDPLRSGSKRYGAGLLNEPGSNMELVEVGEVEEVVTAEKMAEVAIRVLCAGMSVAMSSLTEFAVSSAEGFAELVKQWDNA >Manes.09G098002.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29567344:29567916:-1 gene:Manes.09G098002.v8.1 transcript:Manes.09G098002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSNLENLNAEEKARENDIPMPELQHNVRLIVDMAELDIQKIDRDLRNERETAISLQKEKEKLEMEATRQKKQLDNMEEIMNMLSHIEEQNSSGILTLDLLAKCFTDLRRKFADDYKLCNLSSIACSFALPLFIRVFQGWDPRRRRRRRRRRRSEKRRRRRKRRRRRRSRSEIGKKKKRRRKRNERE >Manes.06G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26834179:26838355:1 gene:Manes.06G140900.v8.1 transcript:Manes.06G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENEGETAKKQTQQSQQQQLSSSPKDPLEETPETRPQHHQQPPSSLVVTAAPFISTLYVPIGATSSAFDQQFETVTPKRPRYATAQWKILPSPPQQQQQTQTAMATIATHESSPSPSANPTSTTNPHTQQLHTTAASSSDTASSPPHSPLASLSAASGHETTKTEGQQPHHQFRKGKYVSPIWKPNEMLWLARAWRVQYQGGYDASGSSSRTEHPDIGQTTGGEVTVQSTRGKTRADKDREVADFLQRHGVNRDAKTAGTKWDNMLGEFRKVYEWERGGERDQVGKSYFRLSPHERKQYRLPASFDEEVFEELSQYMGSRMRTSSRAAIGTADDGRSALAGARSLPLPPLFKEDDYSVSARTKQVMPSGSEAYFQSSRGSLLGFESSMDVAAGAASSSKELRRIGKIRMTWEESVNLWAEEGEHRGRVRIQGSSFLNADELTFFDDSMVACTMEIFEDGPLKGFSVDGFVPGQQVKVFGRRKSSASASGFVERVPPPSIEPPVRSIPAWDFQDPTEYYVGCLRVPPTALPNLFELSWYLQEPPPEELRFPLRKDVYRDLPQGKEQFFTTASELLDCRGIAFDILSSVIRTNPSISGATAASRDSYIGIWDDCINRVVSKFCSVEVVIVRKASSSSPSLVDTLQDQWPNVTGFVRNFCLWRGEEADQLREGQADPSSTIVEKLLWTYMDIPYILGYYAVGYSVTFCALYRSQDRIIRTDLCAVDLSSPVERLKALVPCYRIAGLLPLLAERCFNNINNGGTFKQLTFSDFERVDAGDGNIIEMTPNTVTRFFPSKRKWAAVKEIYDYLDQRIPHAEFIFRSLEKDLALVFKPRGVKFKPINCEQLVEALKYVTKALVALHDLSFMHRDLSWDKVMRRTDRENEWFVCGFDEAVGAPQLYPHGIVEARGRHAPEMGRGLHGVKVDVWGVGNLVKTCGLGPNTVPKMLRELQNRCLDQNPEQRPTAADCYHHLLQVQSSLQSSSSGGPY >Manes.15G013300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1130911:1131792:-1 gene:Manes.15G013300.v8.1 transcript:Manes.15G013300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRTLEINVISAKDLKNVNLFSKMDVYAVVSISGDSQLPEQKTRTPVDRDGGTNPTWNFPAKFTIHVGPVQLNRLNLVFKLRCDRALGDKDIGEVNVPIKELLDSMGDGKSMQFVSYQVRKPSGKPKGELNFSYKFGDKVVSGFEKVGDKADQPVTAYPAPPPPVVGPSASYGEPGPYPTVLPPPVANYAYPPPPPFTGYAHPPPQPGYGYPPPPPYGYPPAPQAGYGYPPAQAGYGYPPVQQPPKKNKFGMGLGAGLLGGALGGLLIGDMVSDAAAYDAGYDAGFDDAGGF >Manes.15G087200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676110:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQGVSIGTIRTKANVCSVQFPLDSSRSLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFLDPMNLVSASTDNTLKLWDLSMCASRVIDTPLQSFTGHMNIKNFVGLSISDGYIATGSETNEVFIYHKAFPMPALSFKFSNADPLSGQEMDDPAQFISSVCWRPQSSTLVAANSTGNIKILEMV >Manes.15G087200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676110:6685310:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQAILFLHLVDVSFETKRTNVI >Manes.15G087200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6677411:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQGVSIGTIRTKANVCSVQFPLDSSRSLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFLDPMNLVSASTDNTLKLWDLSMCASRVIDTPLQSFTGHMNIKNFVGLSISDGYIATGSETNEVFIYHKAFPMPALSFKFSNADPLSGQEMDDPAQFISSVCWRPQSSTLVAANSTGNIKILEMV >Manes.15G087200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676739:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQGVSIGTIRTKANVCSVQFPLDSSRSLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFLDPMNLVSASTDNTLKLWDLSMCASRVIDTPLQSFTGHMNIKNFVGLSISDGYIATGSETNEVFIYHKAFPMPALSFKFSNADPLSGQEMDDPAQFISSVCWRPQSSTLVAANSTGNIKILEMV >Manes.15G087200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676110:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQAILFLHLVDVSFETKRSKYWYNQNKSQCLLCSISLGF >Manes.15G087200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676110:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQGVSIGTIRTKANVCSVQFPLDSSRSLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFLDPMNLVSASTDNTLKLWDLSMCASRVIDTPLQSFTGHMNIKNFVGLSISDGYIATGSETNEVFIYHKAFPMPALSFKFSNADPLSGQEMDDPAQFISSVCWRPQSSTLVAANSTGNIKILEMV >Manes.15G087200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676949:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQGVSIGTIRTKANVCSVQFPLDSSRSLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFLDPMNLVSASTDNTLKLWDLSMCASRVIDTPLQSFTGHMNIKNFVGLSISDGYIATGSETNEVFIYHKAFPMPALSFKFSNADPLSGQEMDDPAQFISSVCWRPQSSTLVAANSTGNIKILEMV >Manes.15G087200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676739:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQAILFLHLVDVSFETKRSKYWYNQNKSQCLLCSISLGF >Manes.15G087200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6677411:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQAILFLHLVDVSFETKRSKYWYNQNKSQCLLCSISLGF >Manes.15G087200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676110:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQAILFLHLVDVSFETKRSKYWYNQNKSQCLLCSISLGF >Manes.15G087200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6676949:6689646:1 gene:Manes.15G087200.v8.1 transcript:Manes.15G087200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWPWRSSRGITMDEFSGSAWRKSDNSRGLNSSRVSDCNPRPATRDSGFRKETDRVALARHNLKSQVGFSGGYKDEVTVDPFARTIEWGDVSLRQWLDKPERAVDEFECLHIFSQIVETVNLAHSQGIVVHNVRPSCFVMTSFNHVSFIESASCSDSGSDSLEDGLNTQILEVKNSSSSLPRDMFQQRSRLGNEDFQSASTPKNALSEASCIQSSSVHGHAAHTQLDEETEEDKINDRKHAEQEAEQERKQPFPMKQILLMETGWYSSPEEVAGSPSTCASDIYRLGVLLFELFCPFSSREDKCSTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMSELLQSEFLNEPRENLEEREAAIQLRERIEEQELLLEFLLLIQQRKQEAADKLQDTVSHISSDIEEVMKHQTFLKKTGGSCPERVKDDNLVSNLPPLSIVDNDESCSLGSRKRFRPGVQNLNVEECDDNLNDGQNSDMLTETQESLLFKSSRLMKNFKKLESAYFLTRCRPVRPSGKPFTRYSPISSDGRGSIVVTERSSINNLAPKEQHIKSRSGGWISPFLEGLCKYLSFNKLKVKADLKQGDLLNSSNLVCALSFDRDGKFFATAGVNKKIKIFECDTIINENRDIHYPVVEVASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRIWSIDFSTADPTMLASGSDDCSVKLWNINQAILFLHLVDVSFETKRSKYWYNQNKSQCLLCSISLGF >Manes.03G059500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:6222551:6223123:-1 gene:Manes.03G059500.v8.1 transcript:Manes.03G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGETLVGLYSKRENLHKPSRLSMEGLQRTMSDISLELTKETSSSDPKLPPISEVQPQDAKCECCGMSEECTAEYIKRVRDKFMEKFICGLCAEAVQQEMDKNGGKREEALNEHMSACVKFNRLFRVNPILYQAEAMREMLKKSTSINNRAKSISPRDRGRQRKGGIARSSSCIPAIYNKGDQPLEGE >Manes.02G010200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1069341:1071139:1 gene:Manes.02G010200.v8.1 transcript:Manes.02G010200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVAGVLTILLLITISDSSDSLPSCDGIISELTPCISYLIGSQDNPSDTCCNGVRNVAKFSNSKSDRKAICQCLKNYALSHSGIDFSLVSTLPGKCQVNVKLPPISITFDCDKA >Manes.10G027000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2704287:2706586:1 gene:Manes.10G027000.v8.1 transcript:Manes.10G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGNVAMRGVDPISPAPSLHLRNPEEDTSCLNRLGRREQDFIDTNTTNSSNSPKTASTPTQNQNQNQEEQEDSKENNQESEDPNTALETVEPGSGSSGRRPRGRPPGSKNKPKPPIVITKESPNSLRSHVLEINSGSDIADSIATFAQRRHRGVSILSGSGVVTNVTLRQPAAPGGVITLHGRFEILSLSGSFLPAPSPPGATGLTVYLAGGQGQVVGGSVVGPLMASGPVMVIAATFSNATFERLPSEEQEQEQEGSQLQEQVNSGTNNNNNNTAAGGVGGNGNNNSGSQSSQPISEHGSMPVYNLPPNLLPNGQMPHEMFWGPPRHPPPNY >Manes.10G027000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2704236:2706608:1 gene:Manes.10G027000.v8.1 transcript:Manes.10G027000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGNVAMRGVDPISPAPSLHLRNPEEDTSCLNRLGRREQDFIDTNTTNSSNSPKTASTPTQNQNQNQEEQEDSKENNQESEDPNTALETVEPGSGSSGRRPRGRPPGSKNKPKPPIVITKESPNSLRSHVLEINSGSDIADSIATFAQRRHRGVSILSGSGVVTNVTLRQPAAPGGVITLHGRFEILSLSGSFLPAPSPPGATGLTVYLAGGQGQVVGGSVVGPLMASGPVMVIAATFSNATFERLPSEEQEQEQEGSQLQEQVNSGTNNNNNNTAAGGVGGNGNNNSGSQSSQPISEHGSMPVYNLPPNLLPNGQMPHEMFWGPPRHPPPNY >Manes.03G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21246380:21251168:-1 gene:Manes.03G078800.v8.1 transcript:Manes.03G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SWEET2a MIDYIPNSTLTCKDAAGIAGNIFAFGLFVSPIPTFRRIIRNRSTEQFSGLPYIYALLNCLICLWYGTPLISNDNVMVATVNSIGAVFQLAYIILFIVYAEKAIKVRVFGLLLAVFGLFAIIVTGSLQITDGNIRWITVGLLSCISLISMFASPLFIINLVIRTKSVEFMPFYLSLSTFLMSTSFLLYGILNFDAFVYVPNGIGSILGITQLALYFYYKKKSTEDSREPLIEPYA >Manes.13G011900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1511080:1512517:1 gene:Manes.13G011900.v8.1 transcript:Manes.13G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIEPLIVGRVIGDVLDSFTPSIKMSVSYSNRNVYNGHEFYPSAVASKPKIEVQGGDMRTFFTLVMTDPDVPGPSDPYLREHLHWIVSDIPGTTDATFGREVVSYEIPRPNIGIHRFVFVLFKQKRRHTINPPSSRDHFSTRNFAAENDLGLPVAAVYFNAQRETAARRR >Manes.01G102200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30207686:30208634:1 gene:Manes.01G102200.v8.1 transcript:Manes.01G102200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARLALSLLLAMMLVISGCVAASMEENTTNSSCMCNVITAQRILEESDAGHETHIHYGSMGSQAFCNSAIYGNCIRPFGPMHRPCSFYNRCKRM >Manes.02G098000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7624039:7626706:1 gene:Manes.02G098000.v8.1 transcript:Manes.02G098000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSENPRKWRFTWETQSHSPNLKLFIFDSRTKSSIHCISLEVRLHLPQSQLLVSWIGEDTEKISIRVPIPKLLIDPDSPVSFRALDDHIEVKLVLLLPVDHPIFSNLSLSDDGENNEALDSVKPLKMDSDLKNLSTMEGVHFYCQSCSTRLTRSCIRQFVEMPSVDWREMADNWFGACCCSFGGISEKLVNRFADAYTCARGLCLLNSTSVIICKDDLVASNFADWNGIQRFEPRENFAGRNSLSEEANLDFGSNLRSDASCDNHNEKADVNRTLRSSHLNFYNHGEDIKCKVREEEPNAIGLFYAKPASDLSENVASELGCCNSTHYEQEYVEMSTHEVSKLSLVDQNNSEAVNAMVNRRSFLNGFLGNVFMARSYNLSMDIEWKQFVCPNCSTVLGAYPCADGDLPVDNGVRLLKCYLSTSLPVGRSDDLFRLANSDPRVLHLTLI >Manes.02G098000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7624039:7628916:1 gene:Manes.02G098000.v8.1 transcript:Manes.02G098000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVDWREMADNWFGACCCSFGGISEKLVNRFADAYTCARGLCLLNSTSVIICKDDLVASNFADWNGIQRFEPRENFAGRNSLSEEANLDFGSNLRSDASCDNHNEKADVNRTLRSSHLNFYNHGEDIKCKVREEEPNAIGLFYAKPASDLSENVASELGCCNSTHYEQEYVEMSTHEVSKLSLVDQNNSEAVNAMVNRRSFLNGFLGNVFMARSYNLSMDIEWKQFVCPNCSTVLGAYPCADGDLPVDNGVRLLKCYLSTSLPVGRSDDLFRKYTLEKMFANQLIESAKDELSFRTVIRDLTTKSPMLQIVLLNPNSWYCTGSCLDAECGEKSALKLDLHPIIKLLFSDCSNNKGSQLRVLEDWIAKNQAEEVFMLPHLIEELTENIASMKDQLPPSCIFFQGLSLSFLLR >Manes.02G098000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7624039:7628916:1 gene:Manes.02G098000.v8.1 transcript:Manes.02G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSENPRKWRFTWETQSHSPNLKLFIFDSRTKSSIHCISLEVRLHLPQSQLLVSWIGEDTEKISIRVPIPKLLIDPDSPVSFRALDDHIEVKLVLLLPVDHPIFSNLSLSDDGENNEALDSVKPLKMDSDLKNLSTMEGVHFYCQSCSTRLTRSCIRQFVEMPSVDWREMADNWFGACCCSFGGISEKLVNRFADAYTCARGLCLLNSTSVIICKDDLVASNFADWNGIQRFEPRENFAGRNSLSEEANLDFGSNLRSDASCDNHNEKADVNRTLRSSHLNFYNHGEDIKCKVREEEPNAIGLFYAKPASDLSENVASELGCCNSTHYEQEYVEMSTHEVSKLSLVDQNNSEAVNAMVNRRSFLNGFLGNVFMARSYNLSMDIEWKQFVCPNCSTVLGAYPCADGDLPVDNGVRLLKCYLSTSLPVGRSDDLFRKYTLEKMFANQLIESAKDELSFRTVIRDLTTKSPMLQIVLLNPNSWYCTGSCLDAECGEKSALKLDLHPIIKLLFSDCSNNKGSQLRVLEDWIAKNQAEEVFMLPHLIEELTENIASMKDQLPPSCIFFQGLSLSFLLR >Manes.08G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37975965:37977081:-1 gene:Manes.08G130500.v8.1 transcript:Manes.08G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVLLALAVAFSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLINVDKIPGLNTLGISLARLDFAPYGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDNATVEKLQKLFNSA >Manes.08G132800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37007662:37008204:1 gene:Manes.08G132800.v8.1 transcript:Manes.08G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSNDQKYYKYHPYQDLYNVPAQSLYNLPTSPEYLFDEEAAHQRRSWSENLQYYTGAGYLSGAIIGGAKGSFDGIRSAEPGDTMKLRINRILNSGGHTGRKFGNNLGVLGLMFAGLESALIHYRDTDDLLNTALAGLGTGAIYRAARGPRSAAVAGAIGGIAAAAAVAGKQVVKRYVPI >Manes.11G149700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31430128:31432421:1 gene:Manes.11G149700.v8.1 transcript:Manes.11G149700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEGSPGSSMHGVTGKEQTFALSVASPIVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKVDIGNAGVASVSGSIFSRLAMGAICDLLGPRYGCAFLIMLTAPTVFCMSFVSSAGGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLIMPLVYDVIQRAGATPFTAWRIAFFVPGCLHVIMGILVLTLGQDLPDGNLGALQKKGDVARDKFSKVFWYAITNYRTWIFVLLYGYSMGVELSTDNVVAEYFYDRFNLKLHTAGIIAATFGMANIVARPFGGFASDRAARYFGMRGRLWVLWILQTLGGAFCIWLGRANSLPIAVLAMILFSVGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLIFFTSSKFSTATGLSLMGVMIVCCTLPVTLVYFPQWGGMFFPPSRDVVKSTEEFYYGSEWDDDEKQKGLHQQSLKFAENSRSERGKRVASAPTPPNSTPNHV >Manes.04G032020.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4059749:4063283:1 gene:Manes.04G032020.v8.1 transcript:Manes.04G032020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFPHFSAIFLLFCGFLTYLCHVLWWKHESCRIKLRKQGITGPPPSMILGNIPEMKRMVSEISDTPKIDGRLTVLPYFQHWTNNYGKLFRFALGGIQLLYVSNLEMVKEISRFRSLELGKPAYLQNERGALLGKGLITANGAAWSHQRKTITPQLCTEKIKDMVELMVESGNILVETWERIIESEGEDDGIVEIMVDQHMRNFTSYIASKMIFGNDHHKGFKIFPKCQALINAMGGATTLGIPFSRFLPNKANRTAWELSKKIHGMIMDIANERTGSTSEDMLQVILEAAQYGDEFSPLTKDEFIADNCKDVFFGGYEPPALAAIWGLMLLASHPEWQARARSEVLEVCEGQQHLDYDMLSKMKVLKMVIQEVLRLYPGVALASREALQDVKIGNVQVPKGVGIWIFLLALHRDPELWGPDADVFNPERFVDGVAGACKSPLAYIPFGLGARVCPGQNMAIMELKVLFARILSNFNLTISPNYHHSPQFGLLLAPEHGVQLLIQRI >Manes.09G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1464097:1480083:-1 gene:Manes.09G005800.v8.1 transcript:Manes.09G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEKKIETQEDLDRKKKKEEKAREKELKKLKAAEKAAKLKAQQESSNASQKSTAKKNARRDAGVEENPEDYVDPETPSGEKKKLSAQMAKQYNPTAVEKSWYTWWEKSGYFSADAKSSKPPFSIVLPPPNVTGALHIGHALTAAIEDTIIRWRRMSGYNTLWVPGVDHAGIATQVVVEKKLMRERHLTRHDIGREKFVSEVWKWKEQYGGTILRQLRRLGASLDWSRECFTMDDKRSKAVIEEFVRLYKDGLIYRDIRLVNWDCTLRTAISDIEVDYTDIKEKTLLKVPGYEKPVEFGLLTLFAYPLEGDLGEIVVATTRLETMLGDTAIAIHPDDKRYSHLHGKFAIHPFNGRKLPIICDAVLVDPNFGTGAVKITPAHDPNDFDVGKRHNLEFINVFTDDGKINSNGGSEFVGMLRFKAREEVKEALQKKGLYRGAKNNEMRLGCCSRTNDVVEPMIKPQWYVNCSSMAKQALDAAIDGENPKLEFFPKQYLAEWKRWLENIRDWCISRQLWWGHRIPAWYITLEDDELKELGAYNDHWVVGRDEQAALQEANVKFPGEKFEMSQDPDVLDTWFSSGLFPLSVLGWPDDTDDLKNFYPTSVLETGHDILFFWVARMVMLGIKLGGDVPFTKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGITLEGLHKRLEEGNLDPNELIVAKAGQKKDFPNGISECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKLEIGYAPPLTLDMETMPFSCKWILSALNKAISKTVSAMNSYEFSDAASTVYSWWQYQFCDVFIETIKPYFNSDDPAFASAKNSAKDCLWVCLDNGLRLLHPFMPFITEELWQRLPAALDHTRKESIVISEYPSTIEAWTNERVEYEMDLVEATVKCIRSLRAEVLGNPRNERLPAFAFCQNDAVAETITSHEFYITTLATLSSFQVLLSGKEEPPADCAFENVNENLKVYLKVRGKVDTGGELEKIRNKMDDIQKQWDKLDKMVNAAGYKEKVPSHIQEENAEKLAKLLQEMEFFKKESSRLQAVIKTGGK >Manes.17G083300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28996689:28998708:1 gene:Manes.17G083300.v8.1 transcript:Manes.17G083300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVADQAYDRLKQIQQFEDSKLGVKGLVDSGITYIPRFFIHPPETLSDLKPTTPLQPTTITIPTIDISDQRSKVVELVALACREFGFFQVVNHGIPQEVLGRIIRAVKGFHEQPATEKARWYCRELGSGVSFSSNYDLFHSKAACWIDSLLIRTGPTLPELEKIPDICIKEVIEWDQKIKSVGMLLMEMLCEGLGLEAKKLEKMTCLEGRAVAGHYYPSCPQPNLTFGSECHTDPSVLTMLLQDHVGGLQVKCGNDRQWVDVQPLPGALVVNIGDILQMMSNGEYRSVEHRVLANSSQEPRISVAVFFNPSDMIRLCGPFAELISPETPQLYKQFTLADFMQRFLSKEVDGKYMTNYYKY >Manes.12G083701.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:12242421:12242873:1 gene:Manes.12G083701.v8.1 transcript:Manes.12G083701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLTLLVGILLSLDLLTHAQSCSNFTFPNNQVFYSCIDLLSLQAQLHWNYFASTRSIHIAYKTNQAPTGWIAWAINPTGTGMVGSQALVAFQNSNGRMTAYPTPVTSMNPSMQPDTLSFRVSNISATYDNNEMTIFAIVGPLENGTTVNHV >Manes.04G007100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:938391:938693:1 gene:Manes.04G007100.v8.1 transcript:Manes.04G007100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPFRPREKLFEKQRYFQSIQKHTYLKGPFDKVTSVAIPVALAGSAIFLIGRGIYNMTHGIGKKE >Manes.08G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35411261:35413319:1 gene:Manes.08G115400.v8.1 transcript:Manes.08G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFSFLSTISLLLMFVFFLSLSLADSSPIFNTPETLCDSTPYPPFCKSSLPYNKPGTVQDYARISIANSLTNARDFLSLVQHYSSLPSTSHESTNILALEDCQFLAQLNIDSFSYALQTVNRSNDANLPGSLAVDLLTLFSATLTNLETCLESLQVSDSSILNNLTAPLSNGTQYCSTTLALFCHAWVPHPEKGRLLAEGKDIFPSMKNGARKSFRLRTYESIMGRKLLQGFEDGVSVRQMVVVNQNGTGDFANITDAVNAASNNTASSDGYFVIYVVAGVYNEYVFIPKNKKYLMMIGDGINQTIITGNRSVVDGWTTFNSFTFAVVGQGFVAVNITFQNTAGAIKHQAVAVRNGADLSTFYNCSFEGYQDTLYTHSLRQFYRDCEIYGTIDYIFGNAAVVLQNCKIYSRVPLDDQYNAVTAQDRTDPNQNTGTSIQNCSILASAELAASNGTTKSYLGRPWKEYSRTVVMQSFIDSLIDPSGWSPWSGDFALATLYYAEFNNTGPGSDTSNRVTWDGYHLINATDAANFTVSNFTQGDIWLPATGVPYFGGLL >Manes.07G030600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3357929:3365182:-1 gene:Manes.07G030600.v8.1 transcript:Manes.07G030600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIMFILYYPNIAKMQVIALTEELLATAKQNEISGSDIGPGTSASPSLPQPKDSEGMSSELEKFPVGAKVQAVWSEDGEWYDATIEAFTPNGYYVSYDGWGNKEEVDPANVRPVELNALVEAERVAEATKQAIKRKIAQAAFVDFESRSLPPKLRINAEDPEDVKAAKRKKIHAFKSKMRFEQLEVAQNKRQNAWQQFQTTKGSSKKVGFFSGRKRESIFKSPDDPYGKVGVTGSGKGLTEFQKREKHLHLKGGNAETDE >Manes.07G030600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3357929:3365160:-1 gene:Manes.07G030600.v8.1 transcript:Manes.07G030600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGEEVSIEELASNLSTYKEQLHNVRQLLAEDPDNSEYVDMEKELNEVIALTEELLATAKQNEISGSDIGPGTSASPSLPQPKDSEGMSSELEKFPVGAKVQAVWSEDGEWYDATIEAFTPNGYYVSYDGWGNKEEVDPANVRPVELNALVEAERVAEATKQAIKRKIAQAAFVDFESRSLPPKLRINAEDPEDVKAAKRKKIHAFKSKMRFEQLEVAQNKRQNAWQQFQTTKGSSKKVGFFSGRKRESIFKSPDDPYGKVGVTGSGKGLTEFQKREKHLHLKGGNAETDE >Manes.07G030600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3357929:3365160:-1 gene:Manes.07G030600.v8.1 transcript:Manes.07G030600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEICFHFRVKNKKVIALTEELLATAKQNEISGSDIGPGTSASPSLPQPKDSEGMSSELEKFPVGAKVQAVWSEDGEWYDATIEAFTPNGYYVSYDGWGNKEEVDPANVRPVELNALVEAERVAEATKQAIKRKIAQAAFVDFESRSLPPKLRINAEDPEDVKAAKRKKIHAFKSKMRFEQLEVAQNKRQNAWQQFQTTKGSSKKVGFFSGRKRESIFKSPDDPYGKVGVTGSGKGLTEFQKREKHLHLKGGNAETDE >Manes.07G030600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3357929:3365160:-1 gene:Manes.07G030600.v8.1 transcript:Manes.07G030600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIMFILYYPNIAKMQVIALTEELLATAKQNEISGSDIGPGTSASPSLPQPKDSEGMSSELEKFPVGAKVQAVWSEDGEWYDATIEAFTPNGYYVSYDGWGNKEEVDPANVRPVELNALVEAERVAEATKQAIKRKIAQAAFVDFESRSLPPKLRINAEDPEDVKAAKRKKIHAFKSKMRFEQLEVAQNKRQNAWQQFQTTKGSSKKVGFFSGRKRESIFKSPDDPYGKVGVTGSGKGLTEFQKREKHLHLKGGNAETDE >Manes.13G126500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33397429:33398310:-1 gene:Manes.13G126500.v8.1 transcript:Manes.13G126500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLVLSKSAVFLLALCTQILFTDGRPIKSSMNKHGSDHTVSFHQRHIPNTAFGDSAASKDDFRPTSPGVSPGVGHPRKIVTGSSLEHSVAEFKDDHRPTKTLGKIKGSETTPYSHIPQAVARKDEHMSSPGTTIDFSAFAADAFRPTDPGNSPGVGHHLSQEESDEETDPKPPRKDYGPKPGHSQPVGRDIIFSNPSNTKGSQPASSSHNPVNAVPLTPTAFDASAASSMEGFRPTTPGYSPGVGHPNAEISSSNVETSVTRFEDDHRPTQPGHSPGVGHAYLENNAEPNP >Manes.04G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34244480:34253047:1 gene:Manes.04G144200.v8.1 transcript:Manes.04G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVASSAKPIPSPNPMDPSPQPPQPQPQSQPPPTALTNSNSNTTALTPPQPQTPTNSLPNLPQNPPIASPSIDSKISSPPLSSQQQSIVQQQQQQPQQQLQQQNVPAMSSYQIPQALQRSPSMSRLSQINQQQQQQNQYGGVLRQQQQQQQGLYGQVNFSGLSSIQQNLQQNSQNQQMNGTNLSRSALLGQSGHLLTGAAAQLNLLASPRQKAGLAQGSQFHAVNSPGQPLQGMQAMGMMGSLGLTSQLRSNGALNYAQQRMNAGPMRQQLAQQNTLTSSQVQNLSRASSLTFVNPQLASLAQNAQPAMMQNALSQQQWLKQMSAISGPASPLRLQQHQRQSQVLLQQQLASSSQLHQTSMALNPQQLTQLVQQQPPMGHQQPYQQQQQQLQPQQQMQQQQQQLLQQQQQPQQQLQPQLPINQQQQQQSPRLQGPIGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSRGKLDPEVEDLLLEIADDFIDSVTAFACSLAKHRKSSVLESKDLLLHLEKNWHLTIPGFSTEDRNFQRKPLSSDLHKKRLDMIHALRESSHSETSNNNNNNITKEMMRQGPGHGNPVVTNNLRPSPSSEQLVSQSTSSQMLQQITRF >Manes.04G144200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34244481:34253047:1 gene:Manes.04G144200.v8.1 transcript:Manes.04G144200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVASSAKPIPSPNPMDPSPQPPQPQPQSQPPPTALTNSNSNTTALTPPQPQTPTNSLPNLPQNPPIASPSIDSKISSPPLSSQQQSIVQQQQQQPQQQLQQQNVPAMSSYQIPQALQRSPSMSRLSQINQQQQQQNQYGGVLRQQQQQQQGLYGQVNFSGLSSIQQNLQQNSQNQQMNGTNLSRSALLGQSGHLLTGAAAQLNLLASPRQKAGLAQGSQFHAVNSPGQPLQGMQAMGMMGSLGLTSQLRSNGALNYAQQRMNAGPMRQQLAQQNTLTSSQVQNLSRASSLTFVNPQLASLAQNAQPAMMQNALSQQQWLKQMSAISGPASPLRLQQHQRQSQVLLQQQLASSSQLHQTSMALNPQQLTQLVQQQPPMGHQQPYQQQQQQLQPQQQMQQQQQQLLQQQQQPQQQLQPQLPINQQQQQQSPRLQGPIGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSRGKLDPEVEDLLLEIADDFIDSVTAFACSLAKHRKSSVLESKDLLLHLEKNWHLTIPGFSTEDRNFQRKPLSSDLHKKRLDMIHALRESSHSETSNNNNNNITKEMMRQGPGHGNPVVTNNLRPSPSSEQLVSQSTSSQMLQQITRF >Manes.16G115500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32038109:32042371:1 gene:Manes.16G115500.v8.1 transcript:Manes.16G115500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPHQDQRSRSSRPRTIHACAHSGDLLAFQKLLRANPALLNETNPVNGMTPLHLAVWHSIRSEDCSTVQTLLEFNADCSAKDNEGMTPMNHVSQGPGSAKLRELLQWHLEEQRKKRALEACGETKAKMEELEKELSNIVGLNEMKIQLRKWAKGMLLDERRRALGLKVGVRRPPHMAFLGNPGTGKTMVARILGRLLHLVGILPSDRVTEVQRTDLVGEFVGHTGPKTRRKIKEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSIMDSGKVVVIFAGYSEPMKRVIASNEGFCRRVTKFFRFDDFTSEDLAKIVHIKMNNQPEEGLLYGFNLHSKCNIDAIATVIEKETTEKQRMEMNGGLVDTMLVNARENLDLRLDFDCIDTDELRTITLEDLEAGLRLLSQP >Manes.16G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32038110:32042371:1 gene:Manes.16G115500.v8.1 transcript:Manes.16G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPHQDQRSRSSRPRTIHACAHSGDLLAFQKLLRANPALLNETNPVMAQTPLHVSSGNNRAEIVKFLLDWGGVEKVELEAKNMYGETPLHMAAKNGCNDAARLLLAHGAFIEAKANNGMTPLHLAVWHSIRSEDCSTVQTLLEFNADCSAKDNEGMTPMNHVSQGPGSAKLRELLQWHLEEQRKKRALEACGETKAKMEELEKELSNIVGLNEMKIQLRKWAKGMLLDERRRALGLKVGVRRPPHMAFLGNPGTGKTMVARILGRLLHLVGILPSDRVTEVQRTDLVGEFVGHTGPKTRRKIKEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSIMDSGKVVVIFAGYSEPMKRVIASNEGFCRRVTKFFRFDDFTSEDLAKIVHIKMNNQPEEGLLYGFNLHSKCNIDAIATVIEKETTEKQRMEMNGGLVDTMLVNARENLDLRLDFDCIDTDELRTITLEDLEAGLRLLSQP >Manes.13G082668.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17483965:17484815:1 gene:Manes.13G082668.v8.1 transcript:Manes.13G082668.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGSMLFPATAPHGSTGSARFSIWSTDTTSSFCYNQRKVLCACMAPLRNIGSDEYRATKFNDSYKSKQLGTVLEPEDDSDVLIEGKNVYKSFGDKDILRGVSFKVTFVRN >Manes.04G077300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27885860:27896326:-1 gene:Manes.04G077300.v8.1 transcript:Manes.04G077300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCDDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFKLRVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTIEDSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYTHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGRPSVDCTANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >Manes.07G105500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31165839:31186855:-1 gene:Manes.07G105500.v8.1 transcript:Manes.07G105500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQTKVAKPGNPLIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWKSFLQRQADSEKLSANGSSLEEDNKALRSEQETNNGLAKGVEGDDLSSEKTGSNVFPEDLIENEEKQLNANKKIHRVQLWTEIRPSLHAIEDMMSIRVEKKGNQPKGQHETKKEHSIEDAKSAKGVSEEDSEDEFYDVERSDPVQDAPSGGSVSASATGATAADVTPLESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVEKYYQDLLASETISGNHVEEKSESESVSKGPTTDPISMPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQELRNKHRPAVIAAVEERTKGLQAWRDSQGSGSTNADEVLISLAEDAEIDSVPDLQDQVVWLKVELCKLLEEKRSAVLRAEELETALMEMVKQDNRRELSARVEQLEQEVSELRRALADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAAQRYASQVLQEKYEEAVASLAEMEKRAVMAESMLEATLQYQSGQLKAQPSPRSSNPDSPRSNQEPVQDIPTRKISLLARPFGLGWRDRNKQAKPANVEEANNGKSSNEVQIPSTEQKDASGDSVQE >Manes.07G105500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31173759:31186855:-1 gene:Manes.07G105500.v8.1 transcript:Manes.07G105500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQTKVAKPGNPLIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWKSFLQRQADSEKLSANGSSLEEDNKALRSEQETNNGLAKGVEGDDLSSEKTGSNVFPEDLIENEEKQLNANKKIHRVQLWTEIRPSLHAIEDMMSIRVEKKGNQPKGQHETKKEHSIEDAKSAKGVSEEDSEDEFYDVERSDPVQDAPSGGSVSASATGATAADVTPLESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVEKYYQDLLASETISGNHVEEKSESESVSKGPTTDPISMPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQELRNKHRPAVIAAVEERTKGLQAWRDSQGSGSTNADEVLISLAEDAEIDSVPDLQDQVVWLKVELCKLLEEKRSAVLRAEELETALMEMVKQDNRRELSARGEGSTGAYGMNHIGCAPKYWLTKNFGVP >Manes.07G105500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31173220:31186855:-1 gene:Manes.07G105500.v8.1 transcript:Manes.07G105500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQTKVAKPGNPLIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWKSFLQRQADSEKLSANGSSLEEDNKALRSEQETNNGLAKGVEGDDLSSEKTGSNVFPEDLIENEEKQLNANKKIHRVQLWTEIRPSLHAIEDMMSIRVEKKGNQPKGQHETKKEHSIEDAKSAKGVSEEDSEDEFYDVERSDPVQDAPSGGSVSASATGATAADVTPLESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVEKYYQDLLASETISGNHVEEKSESESVSKGPTTDPISMPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQELRNKHRPAVIAAVEERTKGLQAWRDSQGLASKLYNFKHDPKSMLLETNKQTSGDLSRSGSGSTNADEVLISLAEDAEIDSVPDLQDQVVWLKVELCKLLEEKRSAVLRAEELETALMEMVKQDNRRELSARGEGSTGAYGMNHIGCAPKYWLTKNFGVP >Manes.07G105500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31165839:31186855:-1 gene:Manes.07G105500.v8.1 transcript:Manes.07G105500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQTKVAKPGNPLIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWKSFLQRQADSEKLSANGSSLEEDNKALRSEQETNNGLAKGVEGDDLSSEKTGSNVFPEDLIENEEKQLNANKKIHRVQLWTEIRPSLHAIEDMMSIRVEKKGNQPKGQHETKKEHSIEDAKSAKGVSEEDSEDEFYDVERSDPVQDAPSGGSVSASATGATAADVTPLESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVEKYYQDLLASETISGNHVEEKSESESVSKGPTTDPISMPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQELRNKHRPAVIAAVEERTKGLQAWRDSQGSGSTNADEVLISLAEDAEIDSVPDLQDQVVWLKVELCKLLEEKRSAVLRAEELETALMEMVKQDNRRELSARVEQLEQEVSELRRALADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAAQRYASQVLQEKYEEAVASLAEMEKRAVMAESMLEATLQYQSGQLKAQPSPRSSNPDSPRSNQEPVQDIPTRKISLLARPFGLGWRDRNKAKPANVEEANNGKSSNEVQIPSTEQKDASGDSVQE >Manes.07G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31165839:31186855:-1 gene:Manes.07G105500.v8.1 transcript:Manes.07G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQTKVAKPGNPLIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWKSFLQRQADSEKLSANGSSLEEDNKALRSEQETNNGLAKGVEGDDLSSEKTGSNVFPEDLIENEEKQLNANKKIHRVQLWTEIRPSLHAIEDMMSIRVEKKGNQPKGQHETKKEHSIEDAKSAKGVSEEDSEDEFYDVERSDPVQDAPSGGSVSASATGATAADVTPLESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVEKYYQDLLASETISGNHVEEKSESESVSKGPTTDPISMPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQELRNKHRPAVIAAVEERTKGLQAWRDSQGLASKLYNFKHDPKSMLLETNKQTSGDLSRSGSGSTNADEVLISLAEDAEIDSVPDLQDQVVWLKVELCKLLEEKRSAVLRAEELETALMEMVKQDNRRELSARVEQLEQEVSELRRALADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAAQRYASQVLQEKYEEAVASLAEMEKRAVMAESMLEATLQYQSGQLKAQPSPRSSNPDSPRSNQEPVQDIPTRKISLLARPFGLGWRDRNKQAKPANVEEANNGKSSNEVQIPSTEQKDASGDSVQE >Manes.07G105500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31165827:31186855:-1 gene:Manes.07G105500.v8.1 transcript:Manes.07G105500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQTKVAKPGNPLIAFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWKSFLQRQADSEKLSANGSSLEEDNKALRSEQETNNGLAKGVEGDDLSSEKTGSNVFPEDLIENEEKQLNANKKIHRVQLWTEIRPSLHAIEDMMSIRVEKKGNQPKGQHETKKEHSIEDAKSAKGVSEEDSEDEFYDVERSDPVQDAPSGGSVSASATGATAADVTPLESLFPWKEELEVLVRGGVPMALRGELWQAFVGVKTRRVEKYYQDLLASETISGNHVEEKSESESVSKGPTTDPISMPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQELRNKHRPAVIAAVEERTKGLQAWRDSQGLASKLYNFKHDPKSMLLETNKQTSGDLSRSGSGSTNADEVLISLAEDAEIDSVPDLQDQVVWLKVELCKLLEEKRSAVLRAEELETALMEMVKQDNRRELSARVEQLEQEVSELRRALADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAAQRYASQVLQEKYEEAVASLAEMEKRAVMAESMLEATLQYQSGQLKAQPSPRSSNPDSPRSNQEPVQDIPTRKISLLARPFGLGWRDRNKAKPANVEEANNGKSSNEVQIPSTEQKDASGDSVQE >Manes.01G227033.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39204043:39206709:-1 gene:Manes.01G227033.v8.1 transcript:Manes.01G227033.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGNTKTGRKVVVAVDESNESMHALSRCLANLVSPTSNCTLVLLYVKPPPPIYSAFDVAGFHFYNSVISSMEKYSKDLVNSVMERAKVVYKNFNVKVERAVGSGEAKDVICNTVEKLGADILVMGSHCCGFLKRALIGSVSDHCAQHVKCLVVIVKNPEEN >Manes.05G189800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31252087:31253751:1 gene:Manes.05G189800.v8.1 transcript:Manes.05G189800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDILLQVFLILLSVFLYLYIHEIPQKVLAKVRQHRNRPAVEAKRHFVIGAQILSQARSPSNSRSSAVSLAKKAEEEATKSISLNPKDAAPHILKALALDLQGFKPSALDSLDVALSPLAVKSLSEKEKGDALYKRAELVMGMNKRGRVDSVIEDLTQAAKLNQENANAYRLLGECYEAKNMMEEAKSAYEEALRVQPELASAKEALGRLGS >Manes.15G126400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10080628:10082627:1 gene:Manes.15G126400.v8.1 transcript:Manes.15G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSDYLSDLFTDARKRRKRKPMQTVDIKVKMDCDGCERRVKNSVSNMKGVKSVEVNRKQSRVTVSGYVEPNKVLKKVRSTGKRAEFWPYVPYNLVAYPYVAQAYDKKAPSGYVKNVVQAIPSPNAADEKFITMFSDENPNACSIM >Manes.11G152155.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31755199:31755927:-1 gene:Manes.11G152155.v8.1 transcript:Manes.11G152155.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPQEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPRRPSIFHRASPLHGHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNRRRMST >Manes.06G002700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:661857:666567:-1 gene:Manes.06G002700.v8.1 transcript:Manes.06G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRERRQLNLRLPLPELSERRPRFPLPLPPSVTASTNNNNNSAISSSDIEKLQVLGHGNGGTVYKVRHKKTSQVYALKVVHADTDDSLVRRQVFREMDILRRTDSPYIVKCHGIYEKASGDIAILMEYMDLGTLDTLLQKQGTFSEAKLAHVARQVLNGLNYLHNHKIIHRDIKPSNLLVKNGMEVKIADFGVSKIMYRTLDACNSYVGTCAYMSPERFDPDTYGGNYNGYAADIWSLGLTLLELYLGYFPFLTPGQRPDWATLMCAICFGDPPSLPENASEKFQSFIECCLQKESSKRWTAEQLLSHPFLCKDPRSEK >Manes.04G060150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19201637:19202795:1 gene:Manes.04G060150.v8.1 transcript:Manes.04G060150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHSSMNMKEIFYCLKVVVYELKVNKPLHHTASIEKSPKIHIKFSPFIVQGIKVKPKSLPGQPPLFINKNRTLYSLKLPFQQIFLKLFYKGFKPHITFLMTPKQRSIAHSYSIDDPRAKNFIGRNPLCNESPLAAADSHEVIPLDRDINSMPNLLCNVHHAFASMDDDFSLQLYIATIRI >Manes.04G060150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19201597:19205205:1 gene:Manes.04G060150.v8.1 transcript:Manes.04G060150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHSSMNMKEIFYCLKVVVYELKVNKPLHHTASIEKSPKIHIKFSPFIVQGIKVKPKSLPGQPPLFINKNRTLYSLKLPFQQIFLKLFYKGFKPHITFLMTPKQRSIAHSYSIDDPRAKNFIGRNPLCNESPLAAADSHEVIPLDRDINSMPNLLCNVHHAFASMDDDFSLQLYIATIRI >Manes.17G117627.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33477995:33478564:1 gene:Manes.17G117627.v8.1 transcript:Manes.17G117627.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQSVVWVANRNNPLTSSGLLTFDEDVELVLLNQTGSIIWSSNSSHVARWSVAQLLGTGNFVLKDAENDNTENCLWQSFDYPSDTLLPGMKLGWNRKTGLNRHLTSWKSSSDPSSGNYTNNLDPHGLPQIVLHKGTTKEFRTGPWYGTQFSALSALMANPVFQTKFVSNYDEVYYSFIIEITLLQGLF >Manes.15G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5202204:5203997:-1 gene:Manes.15G068400.v8.1 transcript:Manes.15G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSMFVIFMTLSSSFTVSVIAHLTLCSEPFFLVSLKKNFTNCKKLVTLEAEFAWKITGAHQVEIFFGKRLYADMGWLAWGVNPGKMPQMVGTRAIIGIKYSNGSTFIGTYYITRDTKMGCKLQPKNFNEIAQNEVEFHNMSMEYITELDYITIRARVILPPAAYNITMLNHVWQIGYGAEGTEPKQHPTFLQNVDSTETIDLRTGRSRQAGKRIYYMRSVHGILSIVGWGTFLPFGVIIARYFKYPLETFRYCRFRIHVSCQIAGYIIGTLGWILGLYLGNLSKFYVFETHRLYSIFIFAFTTLQMLALRLRPRKEDDYRKYWNMYHHFLGYALLAVISINIFNGIGILKPDMTWRKAYMGILLAFAAIVTVLEIYTWAKFKSNAKTATSIQDGTAAIPLAIPQPRP >Manes.16G054026.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18496174:18497050:-1 gene:Manes.16G054026.v8.1 transcript:Manes.16G054026.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLIVSQEMKNGEELKDFKEEVRKTNKENNMILEELRSYMVKMMAEKLAKKKNKEASSGKSWKSLSQSRGQQSPLSAGNRVNLQTNSRDNRGLVPNPNIMLITEGMQSLLPKLELYNFIACRLLMDKADAWFHDWNKGEGHSWEEFERAICNGFGEQGLEEVIEEFNRNIAFKELSVRMERHIPKLKEGYFLSSFVGGLKDEIRLMRNRRRKREK >Manes.14G027300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2502632:2507258:1 gene:Manes.14G027300.v8.1 transcript:Manes.14G027300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNRSRVRWDEANIGEIEANKPVRQKITEPKTPYHPMIDDDGSLSPRRGSFDDVVGEAMRAEELRTALDTVASSSRNAGRRSGGWTSSEDEADPMEQDEDSESDRNASFREQRRAHYDEFRKVKEFRRKGSFFEDEDDENGVNKRRDERCDSSSSLSAGVKDIDIEEGSAASCQESSLPPANGA >Manes.11G045132.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4858244:4860471:1 gene:Manes.11G045132.v8.1 transcript:Manes.11G045132.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERAVVEEFPEFSSGWVSEISLSFNGDPHLDDAKWDLVMNTTISILPSYVLEKQKCFFFAKKLMIYDCCIIPHNYVIVLDNGMSSTCF >Manes.11G045132.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4858244:4860471:1 gene:Manes.11G045132.v8.1 transcript:Manes.11G045132.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERAVVEEFPEFSSGWVSEIRFDETDWPGASLSFNGDPHLDDAKWDLVMNTTISILPSYVLEKQKCFFFAKKLMIYDCCIIPHNYVIVLDNGMSSTCF >Manes.10G027150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2708723:2709370:-1 gene:Manes.10G027150.v8.1 transcript:Manes.10G027150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDEASGTPSPMSLASFEMVSLLDPRCNDWQSYLLMAIVLSCPESYEGEELQ >Manes.10G027150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2708703:2709370:-1 gene:Manes.10G027150.v8.1 transcript:Manes.10G027150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDEASGTPSPMSLASFEMVSLLDPRCNDWQSYLLMAIVLSCPESYGEELQ >Manes.03G103900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22747449:22751894:-1 gene:Manes.03G103900.v8.1 transcript:Manes.03G103900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAQSVPEKTSSNHHNRQGVNKTDGRDFPSDDHDYQSGFANSFESEAIPGALPHGQNSPLVCPYGLYAEQFSGTSFTSPRKLNQRSWLYRIKPSVTHEPFKPRIPSNGKLVSEFDKTNSCATPTQLRWKPVDIPDSPTDFVDGLYTVCGAGSSFLRHGYAIHMYAANKSMENCAFCNADGDFLIVPQQGRLWITTECGKLQVSPGEIVVLPQGFRFAVDFPDGPSRGYVAEIYGTHFQLPDLGPIGANGLAASRDFLVPKAWFEECSRPGYTIIQKFGGELFTAKQDFSPFNVVAWHGNYVPYKYDLKKFCPYNTVLIDHSDPSINTVLTAPTDKPGVALIDFVVFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIAQGNDAGPYKITNTMAFMFESALIPRICKWAVESPSVDHDYYQCWIGLKSHFSHGADANSNGV >Manes.04G141200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33990956:33997573:1 gene:Manes.04G141200.v8.1 transcript:Manes.04G141200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRIKARLRRSQFHPFSCMRPRKGDDEEPHPVEGPGYSRIVHCNQPRMHRKKPLKYCSNYISTTKYNIITFLPKALFEQFRRVANIYFLLAAILSLTPVAPFSAVSMIFPLAFVVGISMVKEALEDWRRCVQDKKVNTRKAAVHLADGFDYKTWEKIQVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKPKRALEVTLSLDEDEAFKDFTGTIKCEDPNPSLYTFIGNFEYDSQVHPLDPSQVLLRDSKLRNTAFVYGVVIFTGFDSKVMQNSTKSPSKRSRIERKMDKIIYLLFNLLILISLISAIGFGVKVKFQMPDWWYMQPSKPENLYDPKAPVKSGLAHLITALILYGYLIPISLYVSIEVVKVCQARFIDEDLKMYDEETGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRSSEVELAAAKQMAMDLQDQDAEMSNGSRLKNLACNSWEHSSAAPEIELETVITSKDEKDQRVAIKGFSFEDKRLMDGNWLKEPNTDVILLFFRILAVCQSAVPELNEDTGIFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVVIREKYAQPGQQVEREFKILNLLEFTSKRKRMSVIVRDEDGQILVLCKGADSIIFDRLSKKGRMYEETTTKHLNEYGEAGLRTLALAYKKLDESEYDAWNNEFTKAKTSIGADREGMLERVADMMEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITVVNSGAISQDSKQVVKDNILNQISNASQMIKLEKDPDAAFALIIDGKTLTFALEDDMKLKFLALAVDCASVICCRVSPKQKALVTRLVKEGTGRATLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFQFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSIYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMANGLYSSLVIFFLNLVILLEQPFRAEGQTADMSAVGTTMFSCIICAVNCQIALTMSHFTWIQHVFVWGSVAAWFLFLILYGMISPIYSGNAYQILVEALGPAPIYWYSIILVTVACNLPYMTHISFQRSINPMDHHIIQEIKYYKKDVEDQYMWRRERSKARQETKIGFSVRVDAKIRQLKGKLQKKHSALVQSYASSPS >Manes.04G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33990956:33997573:1 gene:Manes.04G141200.v8.1 transcript:Manes.04G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRIKARLRRSQFHPFSCMRPRKGDDEEPHPVEGPGYSRIVHCNQPRMHRKKPLKYCSNYISTTKYNIITFLPKALFEQFRRVANIYFLLAAILSLTPVAPFSAVSMIFPLAFVVGISMVKEALEDWRRCVQDKKVNTRKAAVHLADGFDYKTWEKIQVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKPKRALEVTLSLDEDEAFKDFTGTIKCEDPNPSLYTFIGNFEYDSQVHPLDPSQVLLRDSKLRNTAFVYGVVIFTGFDSKVMQNSTKSPSKRSRIERKMDKIIYLLFNLLILISLISAIGFGVKVKFQMPDWWYMQPSKPENLYDPKAPVKSGLAHLITALILYGYLIPISLYVSIEVVKVCQARFIDEDLKMYDEETGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRSSEVELAAAKQMAMDLQDQDAEMSNGSRLKNLACNSWEHSSAAPEIELETVITSKDEKDQRVAIKGFSFEDKRLMDGNWLKEPNTDVILLFFRILAVCQSAVPELNEDTGIFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVVIREKYAQPGQQVEREFKILNLLEFTSKRKRMSVIVRDEDGQILVLCKGADSIIFDRLSKKGRMYEETTTKHLNEYGEAGLRTLALAYKKLDESEYDAWNNEFTKAKTSIGADREGMLERVADMMEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITVVNSGAISQDSKQVVKDNILNQISNASQMIKLEKDPDAAFALIIDGKTLTFALEDDMKLKFLALAVDCASVICCRVSPKQKALVTRLVKEGTGRATLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFQFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSIYDDWYMLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMANGLYSSLVIFFLNLVILLEQPFRAEGQTADMSAVGTTMFSCIICAVNCQIALTMSHFTWIQHVFVWGSVAAWFLFLILYGMISPIYSGNAYQILVEALGPAPIYWYSIILVTVACNLPYMTHISFQRSINPMDHHIIQEIKYYKKDVEDQYMWRRERSKARQETKIGFSVRVDAKIRQLKGKLQKKHSALVQSYASSPS >Manes.04G141200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33990956:33997573:1 gene:Manes.04G141200.v8.1 transcript:Manes.04G141200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRIKARLRRSQFHPFSCMRPRKGDDEEPHPVEGPGYSRIVHCNQPRMHRKKPLKYCSNYISTTKYNIITFLPKALFEQFRRVANIYFLLAAILSLTPVAPFSAVSMIFPLAFVVGISMVKEALEDWRRCVQDKKVNTRKAAVHLADGFDYKTWEKIQVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKPKRALEVTLSLDEDEAFKDFTGTIKCEDPNPSLYTFIGNFEYDSQVHPLDPSQVLLRDSKLRNTAFVYGVVIFTGFDSKVMQNSTKSPSKRSRIERKMDKIIYLLFNLLILISLISAIGFGVKVKFQMPDWWYMQPSKPENLYDPKAPVKSGLAHLITALILYGYLIPISLYVSIEVVKVCQARFIDEDLKMYDEETGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRSSEVELAAAKQMAMDLQDQDAEMSNGSRLKNLACNSWEHSSAAPEIELETVITSKDEKDQRVAIKGFSFEDKRLMDGNWLKEPNTDVILLFFRILAVCQSAVPELNEDTGIFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVVIREKYAQPGQQVEREFKILNLLEFTSKRKRMSVIVRDEDGQILVLCKGADSIIFDRLSKKGRMYEETTTKHLNEYGEAGLRTLALAYKKLDESEYDAWNNEFTKAKTSIGADREGMLERVADMMEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITVVNSGAISQDSKQVVKDNILNQISNASQMIKLEKDPDAAFALIIDGKTLTFALEDDMKLKFLALAVDCASVICCRVSPKQKALVTRLVKEGTGRATLAIGDGANDVGMIQEADIGVGISGVEGCDGQ >Manes.04G141200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33990956:33997573:1 gene:Manes.04G141200.v8.1 transcript:Manes.04G141200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRIKARLRRSQFHPFSCMRPRKGDDEEPHPVEGPGYSRIVHCNQPRMHRKKPLKYCSNYISTTKYNIITFLPKALFEQFRRVANIYFLLAAILSLTPVAPFSAVSMIFPLAFVVGISMVKEALEDWRRCVQDKKVNTRKAAVHLADGFDYKTWEKIQVGDVVRVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKPKRALEVTLSLDEDEAFKDFTGTIKCEDPNPSLYTFIGNFEYDSQVHPLDPSQVLLRDSKLRNTAFVYGVVIFTGFDSKVMQNSTKSPSKRSRIERKMDKIIYLLFNLLILISLISAIGFGVKVKFQMPDWWYMQPSKPENLYDPKAPVKSGLAHLITALILYGYLIPISLYVSIEVVKVCQARFIDEDLKMYDEETGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGIAYGVRSSEVELAAAKQMAMDLQDQDAEMSNGSRLKNLACNSWEHSSAAPEIELETVITSKDEKDQRVAIKGFSFEDKRLMDGNWLKEPNTDVILLFFRILAVCQSAVPELNEDTGIFTYEAESPDEGAFLVAAREFGFEFCKRTQSSVVIREKYAQPGQQVEREFKILNLLEFTSKRKRMSVIVRDEDGQILVLCKGADSIIFDRLSKKGRMYEETTTKHLNEYGEAGLRTLALAYKKLDESEYDAWNNEFTKAKTSIGADREGMLERVADMMEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITVVNSGAISQDSKQVVKDNILNQISNASQMIKLEKDPDAAFALIIDGKTLTFALEDDMKLKFLALAVDCASVICCRVSPKQKALVTRLVKEGTGRATLAIGDGANDVGMIQEADIGVGISGVEGCDGQ >Manes.16G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:551352:554222:1 gene:Manes.16G004100.v8.1 transcript:Manes.16G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYRRTSLMISDQKLAQLQVELQRMNVENQRLRDMLSQVTNNYNALQMHIVALMQQSRGTEANQEHEVVQGKPEEKKQEVVPRQFLDLGRSAEADEMSHSSSDERTRSATPQTNMETASVKNNGNQENSSFRDGKRVGREDSPDSESQGWNPSKVQKLNSPPSKGIDQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCADDKTILITTYEGNHNHPLPPAAMAMASTTTAAASMLLSGSMSSADGLMNPNLLARAILPCSSSMATISASAPFPTVTLDLTHNPNTTQVQRPPTHFQVPFPGQPQNFAPATAPQFPQVFGQALYNQSKFSGLQLSQETVPSQLPQQLHPAQQPSLVDTVSAATAAITADPNFTAALAAAISSIIGGANGNNTTSTNAHNTSNRN >Manes.16G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:551352:554222:1 gene:Manes.16G004100.v8.1 transcript:Manes.16G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWGLALDSDPPISLFSNLSNKPSSAPVLDSLFKIKRNLDVADSVKMFPFPVNVSRRDDQASSSSADDNRVVVGEVDFFSDKRNRAVGDNNNNNDNDDSKATRVTVKKENSYGEAAPRSSLDVNTGLHLLTANTGSDQSTVDDGVSSDVVDDKRSKSELAQLQVELQRMNVENQRLRDMLSQVTNNYNALQMHIVALMQQSRGTEANQEHEVVQGKPEEKKQEVVPRQFLDLGRSAEADEMSHSSSDERTRSATPQTNMETASVKNNGNQENSSFRDGKRVGREDSPDSESQGWNPSKVQKLNSPPSKGIDQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCADDKTILITTYEGNHNHPLPPAAMAMASTTTAAASMLLSGSMSSADGLMNPNLLARAILPCSSSMATISASAPFPTVTLDLTHNPNTTQVQRPPTHFQVPFPGQPQNFAPATAPQFPQVFGQALYNQSKFSGLQLSQETVPSQLPQQLHPAQQPSLVDTVSAATAAITADPNFTAALAAAISSIIGGANGNNTTSTNAHNTSNRN >Manes.02G183300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14643107:14646165:-1 gene:Manes.02G183300.v8.1 transcript:Manes.02G183300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELTAAELDNLMVIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Manes.02G046733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3825359:3834077:1 gene:Manes.02G046733.v8.1 transcript:Manes.02G046733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SSII MAFIGSLPFIIQTKAESSVLLHDKNLQRSRFSVFPCRSQNSFNLAVSLSLSFKPVRATGKEGVSGDGSEDTLQATIEKSKKVLALQRDLLQKIAERRKLVSSIQSSVGDHDTNKTSHEQRENSLPNSDNTSTSDVNMHQQQNGPVLPSSYVHSTADEVSETASSAINRGHAKDDKELEQHASPRTAFVKNSTKQFKEMDSEKLQTDEIPSFLSNTTDISTINEENSEHSNESTSPMVDIFESDSMTEDMKPPPLAGDNVMNVILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYGNYVEPQDTGVRKRYKVDGQDFEVSYFQAFIDGVDFVFIDSPMFRHIGNDIYGGNRMDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFSYVGLPEHYIDLFKLHDPIGGDHFNIFAAGLKVADRVVTVSHGYAWELKTSEGGWGLHNIINENDWKLQGIVNGIDAKEWNPQFDIQLTSDGYTNYSLETLDTGKPQCKAALQKELGLPIRPDVPVIGFIGRLDYQKGVDLIAEAIPWMVGQDVQLVMLGTGRQDLEEMLRQFENQHRDKVRGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMMYGTIPVVHAVGGLRDTVQPFDPFNESGLGWTFDSAESHKLIHALGNCLLTYREYKKSWEGLQRRGMTQNLSWDHAAEKYEETLVAAKYQW >Manes.02G070800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5403102:5403398:-1 gene:Manes.02G070800.v8.1 transcript:Manes.02G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSGSSLWLVLAVAVLMLLGEVRLSSAVACNPVQLSSCLPAISSSTAPSSTCCSKLREQKPCMCGYLKHPNLKQYVNSPGARKVVNACGIRLPNCS >Manes.12G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30850377:30853923:-1 gene:Manes.12G111300.v8.1 transcript:Manes.12G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDQDETAPTSAANMSSKKKELLSTAMKRTSEWIFSQEIPSDVTVLAGGASFSLHKFPLVSKCGYIRKLVSESNDADVSEIQISDIPGGAEAFELAAKFCYGINFEISTENIALLRCAAEFLEMTEDYAVGNLVARTEAYLNEVALKSLAGAVSVLHLSENLLPLAEKVKLVSRCIDAIAFMACRESQFSMSGRSDSSNEGVLSSTVSQPKPIVDWWAEDLTVLRIDIFQRVLVAMMARGFKQYALGPILMLYAQKSLRGLEIFGKGRKKIELQQEHEKRVILETIVSLLPRERNALSVSFLSMLLRAAIYLETTVACRLDLEKRMALQLGQAALDDLLIPSYSFTGDTLFDVDTVQRIMMNYLEFVVEGNRMAYQVDDEYVSPPPTDMERVGKLMENYLAEIASDRNLTVSRFIGVAELIPEQSRITEDGMYRAIDIFLKAHPALSDMERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGNLMVGDSPALPAKMNLYSTDIHPVSDEISSLKRENEDLKLELVKMKMRLKEIERSGGRSAASSPMSISNTTPSADKPPLPRRSFINSVSKKLGRLYPFVRADGDSISKGRPKPSKNRRHSIS >Manes.01G214200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38395841:38397168:-1 gene:Manes.01G214200.v8.1 transcript:Manes.01G214200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGGPGGPGPGGPGWGPGPGGPGWGPGPGGPGWGPGPGGLGWGPGLGGPGWGGPGAWGAGPGGFFDGLCGMVSSLFYCLCCCWLIQDCFGGPRGPYGPPGPGGPPPF >Manes.15G179400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16539309:16545881:-1 gene:Manes.15G179400.v8.1 transcript:Manes.15G179400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIGGSATVSRQICHEDCFSGQEANVKVGEEQLQDHGEKLTSSKSEDSSNNKEEGVIESVKAEMGQVREENERLKKMVQQMEKDYQSLKFHFFDLLGQETCKKSEDSTPSSDETEESELVSLCLGRTPSESKKYEKGSNSSRSSRENEDLEAGLTLGLDSKFQMSTELESNPSPENSLDAKEDEAGETWPPSKILKRNIDDEDAKQGDVKRARVCVRARCETPTINDGCQWRKYGQKIAKGNPCPRAYYRCTVIPKCPVRKQVQRCAEDMSILITTYEGIHNHPLPVSATAMASTTSAAASMLLSGSSASQPTLIGSHASATQLNGLSFNNLYDSSTTKQFYIANNPSSPLCPTITLDLTASPSTASSATPPFNWFSSSFTSPSRFPSTSLNFPSPAVWSNGYQNSYGSSLSNLGPYMEKNHQQQALTETLTKAIISDPSFRTVIAAAISSMVAGNGSASASSSQGNQRAAENFGQNLRLGEANNEAVSTSSLNLQNGKGCAPSYISGLSSSSQIGLLQSHVLPSCVLNSASLAANNNNKDQKN >Manes.11G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:892063:895978:1 gene:Manes.11G008200.v8.1 transcript:Manes.11G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASQAMISANTCTFTSPRFFKKCLSLNRQNLKLFTVRASSDDPDCNDEECAPDKEVGKVSVEWLAGEKTRVVGTFPPRTRGWTGYVEKDTAGQTNIYSVEPTVYVAESAISSGTAGSSSDGAENTKAISAFLALIAVAAASSILIQVGKTPTAMEPVEYSGPSLSYYISKFKPPEIVQAAVPSQAEPSPSTQPENSASEVPQIQVESQLQPAPSTPSENSIS >Manes.13G087401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11916080:11918056:-1 gene:Manes.13G087401.v8.1 transcript:Manes.13G087401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAVERQKGVMVLRFSTPNLSEISVEPCRTVQHSVNQRPSQPIVAH >Manes.01G161100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34637534:34640213:-1 gene:Manes.01G161100.v8.1 transcript:Manes.01G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGVVTVYGNGAIYETTTKKSPFSVKVGLAQMLRGGVIMDVVNADQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQSVTIPVMAKARIGHFVEAQILEAIGIDYIDESEVLTPADEENHINKHNFRIPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNIVEAVRHVRSVMGDIRVLMNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVHFAAGGVATPADAAMMMQLGCDGVFVGSGVFKSGDPARRARAIVQAVTHYSDPDVLAEVSCGLGEAMVGINLNDDKVERYANRSE >Manes.06G149700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27809628:27812641:-1 gene:Manes.06G149700.v8.1 transcript:Manes.06G149700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METITSDENQPATTGLDSPIVPQIDHHDDDLLELQSLRQSYETLQSKYSVMEENMLLVQQQRDEALEHNVNLKIVINETTRERDSLREQIRELEISFKQREDEFAKTIDRESSIKEELEKEVEVAKERNKELELRIKEAEAKNNFLLKTLDALRPVKDCLVGIIECFDEEEVIDRMNNDEGGVELELDSGSKAIWKEFTPITRLASDAKSKVFEFMERKKSEIRELENSVVSLTEENRDINSLLRVALLEKETVEKSLNKLKGNTEQRRVALLQIAERGLQKVGFGFMMGSGSTEQSGESSGANTNAIPAITTASTKSDSSECEEEVVSLASTVERIMKNLRLEITQLRRSLEESRSDTERLQSFTEKQAQQIAENTLYIKELEERERVLAQNVEEFFIEIKETEAEVDRWREACELEVEAGQKELEEREKVVVILKQELERTKTALEISNGKLKLKEELANAAMAAQAAAEKSLQLADSRAAELHQRIEELRKQLEVAESQERSRRRVRHICWPWRTLKQSLAGNANKRDQSVKRMLPEMQALLHYSV >Manes.01G162800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34734114:34738996:-1 gene:Manes.01G162800.v8.1 transcript:Manes.01G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSHLHFSPSSSCSSVMARTLAATPRQLPLTLCSTISSNVKFNGRVSSSISCALPSAAWTPRVRSLKCSASMSQSYAQQSAKFLEASKKGNLIPLYHCILCDHLTPVLAYRCLVKEDDRDAPSFLFESVEPGLDASTIGRYSVIGAQPSIEIVAKENMVTIMDHYEGRRTEKIVDDPMAIPRRMMDDWNPQLIDELPEVFTGGWVGYFSYDTVRYVEKKKLPFSAAPPDDRNLPDVHLGLYEDVMIFDHLEKKAYVIHWVRLDQYSTAEEALSDGMNRLENLLSRVHNTATPRLASGSIQLSTHLFGPKLEMSSMTSEKYKAAVLHAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRVKKNKVINRPLAGTVRRGKTPKEDKMLEKELLNDGKQCAEHIMLVDLGRNDVGKVSKAGSVKVERLMDIERYSHVMHISSTVTGELLDHLTSWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDVALALRTIVFPTSTRYDTMYSYNDLNKRREWVAHLQAGAGIVADSDPADEQRECENKAAGLARAIDLAEDSFVKK >Manes.14G015100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1092128:1092397:1 gene:Manes.14G015100.v8.1 transcript:Manes.14G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRTLVVLFALILIHILMSSLLSLHHEREIHSDSRNQPRRLLVSVSLSSSNEANLNGAIKDPQKALETSLRKAPPSLSNPTQNKQHQ >Manes.17G022301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12107212:12108573:-1 gene:Manes.17G022301.v8.1 transcript:Manes.17G022301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSGEHESNEITSIKSSSKHQPTTWLERLPREIFFDILSRQPIMSLLDCKLVSKLWYTSIRNPLLANMHLNHVTEDDLCLLLFSDWPKSKLQLVQVNQAEETRTIQTLKTTFDSVLPEFEVVGSCNGLVCLYNYFYDDPLYIYNPFSIEHKELPRFEASPHLNICRTVFGFGFHPKTKQYKVIKIVYYKQSNNDLVGGNPDAFVLALSDATLTWRNIGKIDYELSGPTSEALVSGKLHWLSFVLVHEEVRYREIISFDIETEEFQVVPRPSCGGLNQINYHLVTLRGCLSAVVSSGGGSNEIWVMKVYNEKTSWRKELVIGNYVPMLRITMAPPSRRKKNGYQGGAFRVLCELKNGEIVILHWNRFIVSYDPNNGEFKEINFQGLPLEFQASVHVGSLISVHKVFGM >Manes.14G045100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3918551:3920663:-1 gene:Manes.14G045100.v8.1 transcript:Manes.14G045100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGHGTDAEREDEDSESELLRDRFRLSTISIAETEAKKNGMDISEPIIACIADLAFKYAEQLAKDLELFAQHAGRKSVNMEDVILSAHRNEHLAASLRSFSNDLKAKEPPSEKKRKKLSKKEDKASTSALHIPDL >Manes.13G105700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30693104:30695508:1 gene:Manes.13G105700.v8.1 transcript:Manes.13G105700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPARWFTEQDLEDYNLIHEYHMNYLDELTNQNMAAALGENLRQSFSSESYSSYPPFKAKDTATATANTTTLSSSSIENSQTSSERPSKLHETNSWNSSMITTDHQSPRPSTTPQILSFESSSVSAPANSQQFFMTLDSTTVKPKDEAASPRNMHFQPLISKVAPFGNQNHEIKTRQGTTNKRPYSMTRTPAHAQDHILAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKHVKQLQERVKVLEEQTKKRTVESVVLVKKSQVSADDDSSSCDENSDGGSDSALPEIEARVSDKDVLIRIHCGKQQGVVPKILNEVENLNLSIINSSILPFGNSTLDITIIAQMEAETSMAVKDLVKNLRVAFLKFM >Manes.13G105700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30693104:30695495:1 gene:Manes.13G105700.v8.1 transcript:Manes.13G105700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPARWFTEQDLEDYNLIHEYHMNYLDELTNQNMAAALGENLRQSFSSESYSSYPPFKAKDTATATANTTTLSSSSIENSQTSSERPSKLHETNSWNSSMITTDHQSPRPSTTPQILSFESSSVSAPANSQQFFMTLDSTTVKPKDEAASPRNMHFQPLISKVAPFGNQNHEIKTRQGTTNKRPYSMTRTPAHAQDHILAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKHVKQLQERVKVLEEQTKKRTVESVVLVKKSQVSADDDSSSCDENSDGGSDSALPEIEARVSDKDVLIRIHCGKQQGVVPKILNEVENLNLSIINSSILPFGNSTLDITIIAQMEAETSMAVKDLVKNLRVAFLKFM >Manes.12G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5488377:5493633:-1 gene:Manes.12G054400.v8.1 transcript:Manes.12G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSFSFIAFLVLFCAPHHLSSTPSRRILHQPFFPLDTLPPSQPPSPSPPSPSTPPKIPFSTTTPDQSPFFPSYPSPPPPPAPATFASFPANISSLILPQSPQPKPNSHKLLAVAISAVVSAIVILGVFVFYYGRRRRARGFSDDKTYRSDNSNRLHPAYVDTANATRHKLRTTSTSSEFLYLGTVVNSHTLDEDSNAHDNVGSDPRKLDSPELLPLPPLNRQSSRQNFGNGEVGSTADEEEEFYSPRGSLGGRESSSGMGSGSRRVFAAVGGQDFDARSTASSSYSSSTSGSPARSQSLSSSPPVSSSPRPKSPDSTVLQPAPAPPSPPPPPVMPIPNDLKFPSSSLSVSSGDESPTILLNLERKSQSPSVSSASSSPNRSLEKSLGGSPRILNDLDRNVRSPSLSPARVLNTLYQNQKSPSLSSVSTSPDRSLEKTSIASPRISNALDRDVRSPYLSSTSTSPGRFEKTPSPRISNGLDRNANSHLLSSASTSPGGDLDKNPLAYPRYSTGSDQSKRSSSVSSASSSPGRGLEKSPGASPIMISSGLNAKISSVLGQPLSVPPPPPPPPPLPLAPQQQRFGDSPVASTPTGQPIIKPPMLITPSRPFVLQRTSMVSPIELPPSSTTTEDVDETIKPKLKPLHWDKVRASSDREMVWDQLRSSSFKLNEEMMETLFVVNTPNPKPNQTIPRSVIPSPNQENRVLDPKKAQNIAILLRALNVTIEEVCEGLLEGNTDTLGTELLESLLKMAPTKEEERKLKEYKDDSPTKLGHAEKFLKAVLDVPFAFKRVDAMLYTTNFESEVEYLKRSFQTLEAACEELRSSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIKSEGARLSGTNPTPNLISSEDAKCRKLGLQVVSGLSSELANVKKAAAMDSDVLSSDVSKLSKGIENINDVMRLNETMGVDETNQKFSDAMKRFMKMAEEEIIRIQAHESVAMSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSVLDRVCKEVGMINERTIVSSAHKFPVPVNPTLPQGFSGPNGRRQDGSSDEESESP >Manes.15G074001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5566786:5568106:-1 gene:Manes.15G074001.v8.1 transcript:Manes.15G074001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSITKSAPKPTPKSSSEIDTVSLTQTNDTVKEYVTEFDPSKTLVNSNRNLIIPPKENEWRPHKRMKNLDLLPTLQSDPEGLRFEGEDDKGISYGLNVRQQSSSDGNKADGDQGIKAHRTAAENLLLEKLKSFKDVPVEGFGACLLAGYDVKVEQYHKRTDKEGLGFVPPASNTTNTSVRDRDSLNERKIGRDRDDQNDDFFGKYVRVIAGGRDIMGLKGRISKRLDDGRVVLKLSGSDKELKLHISDIADLGSKEEERCLMKLKALQIESKTVRRDTGQEKDERKRWLRNHIRVGIISKDLKGGRFYLKKGEVVDVIGPHVCDITMGETKELVEGVDEDLLETALPRRGGPVVVLYGKRRGMYGNLVERDLDQETGVAQDADTHELLCQT >Manes.04G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32124221:32125972:-1 gene:Manes.04G120200.v8.1 transcript:Manes.04G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLSEAFRAHPVHVHHKQFVDFTSLQELPESYEWTQFDDQTHCPSVGDSAESVKSVPVIDLLDPNALEKIGHACKTWGVFQIINHGVSCSLLDKIEKASRSLFTLPMEQKLKAARSPHGVSGYGTARISSFFSKLMWSEGFTVVGSPVEHFRQLWPQDYIKFCEITEEYQTEMQNLAAKLMWLMLGSLGITQEDIKWAGPKSDFNEASAALQFNYYPACPDPDRAMGLAAHTDSTLLTILYQNNISGLQVLKEGTGWVTVPPIPGGLVINVGDLLHILSNGLYVSVLHQAVVNRTKHRLSMAYLYGPPSNVKISPLSKLVGPGQPPLYRPVTWNEYLGTKAKHFNKALSSVRVCAPLNELVDVNEHNSRVKLGSAS >Manes.04G078400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28038759:28039882:1 gene:Manes.04G078400.v8.1 transcript:Manes.04G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFHPKRRGPQWKHGWASQTLASMSTPPPPPLLTIFFIVILLLWLSQYTGYKAQFHQTAINFQLFLFLLPILLIFLIASYSTDWMLYFRPRQPQHHRSRSAHGGSSPWVIAIFVAVLLVLLSYQSTFHSKWFGPLRSD >Manes.18G077606.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7088604:7088933:-1 gene:Manes.18G077606.v8.1 transcript:Manes.18G077606.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQQVRQVLKSGVGRCINCGSMADLVEYDKVLKLFFVPVWKWPAKDPAVYCNNCSLMFPRSFSLPPPNTGPPAIPESLRCRFCDRVAEPEFRFCPFCGSSL >Manes.01G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28705793:28711387:-1 gene:Manes.01G084700.v8.1 transcript:Manes.01G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYYPSVAFVFILGTFFIVPFARSIPFIVLHGIGDQCKNKGVKQFTESLINFSSSPGYCLEVGNGIWDSWFMPLEEQTRIACNKVKEMKELKEGYNIVGLSQGNLIARGVLEFCEGGPPVKNYISLAGPHAGTASVPLCGSGVLCIIANNLIKAEIYSDYVQDHLAPAGYLKLPNDILHYLKKCKFLPKLNNELPEQKNSTYKERFASLQNLVLIKFENDRVLIPKETSWFGYYPDGSLNTVIPPQETKLYNEDWIGLKALDEAGRVHFVNVSGGHLGISTSDMKKHVVPYLVDQQSAITDSKAGAQGITTNIKGEQDLKGFSHTSSEEMLDGSSSYQWPSSVKGFFMELVGLAVAEENASDKF >Manes.08G148900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38474113:38478775:-1 gene:Manes.08G148900.v8.1 transcript:Manes.08G148900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKSNLPDRLRCHHCAGPLSKEMETSDWTVPPLIRDSFSMIGSAVGGTTSAFYGFNHVMPIVRKWIKGPMWLHFLIGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAVVSSPSLPPPQDQKMHGSRSSSTL >Manes.08G148900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38475314:38478077:-1 gene:Manes.08G148900.v8.1 transcript:Manes.08G148900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKSNLPDRLRCHHCAGPLSKEMETSDWTVPPLIRDSFSMIGSAVGGTTSAFYGFNHVMPIVRKWIKGPMWLHFLIGAPPVIVFSSACAGLAG >Manes.08G148900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38472569:38479216:-1 gene:Manes.08G148900.v8.1 transcript:Manes.08G148900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKSNLPDRLRCHHCAGPLSKEMETSDWTVPPLIRDSFSMIGSAVGGTTSAFYGFNHVMPIVRKWIKGPMWLHFLIGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAVVSSPSLPPPQDQKMHGSRSSSTL >Manes.08G148900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38474112:38478707:-1 gene:Manes.08G148900.v8.1 transcript:Manes.08G148900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKSNLPDRLRCHHCAGPLSKEMETSDWTVPPLIRDSFSMIGSAVGGTTSAFYGFNHVMPIVRKWIKGPMWLHFLIGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAVVSSPSLPPPQDQKMHGSRSSSTL >Manes.02G004000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:525319:531028:-1 gene:Manes.02G004000.v8.1 transcript:Manes.02G004000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSDGLNSSNDEPAVSTIKSLRSQLESRIETQHGTQLDLIASLQSLVPNIVSSLDLSLQIVSSFNHKPFTPTPPLPAPTKKTAEIANPARSPSSPRTGVRSKSAISKLEFSKPTQSNGNNHKFSIDDSGSPLSVVRVMVAECLLQRVPFDPIDSSTVLRKLENDQNATPAEKAALREVGGESGAILAVEMALRSMAEENRGIELEEFVVSGKSRVMVLNIDRNRLVKELPESAQYQQHLDSSNSGDLNQNQSNSGNNGSGGLDVNNNGAFGMGGPMVRPMPADMWMGGGPGGDLWNGPPRGGMVGPRGMMMGPRGMMQRPPLGPLAMQQQQKPRTEEDDMKDLEALLNKKSYMEMQKSKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCSALTKEDCRRQSGSFMACEKVHFRRIIAPHTDVSQGDCSFLDTCRHMKTCKYVHYELDPTPDVPPMMMGAAALPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFKMEILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPVLQTDGLIFLWVTGRAMELGRECLELWGYKRVEELIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLDGVRLVDEGLRARFKAAYPDVVVQPPSPPRASAMEIEPTASQMRSPYAVTESKATMVPMAEHGAAGTAYASEEKFVGVDADMAG >Manes.02G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:525940:530988:-1 gene:Manes.02G004000.v8.1 transcript:Manes.02G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSDGLNSSNDEPAVSTIKSLRSQLESRIETQHGTQLDLIASLQSLVPNIVSSLDLSLQIVSSFNHKPFTPTPPLPAPTKKTAEIANPARSPSSPRTGVRSKSAISKLEFSKPTQSNGNNHKFSIDDSGSPLSVVRVMVAECLLQRVPFDPIDSSTVLRKLENDQNATPAEKAALREVGGESGAILAVEMALRSMAEENRGIELEEFVVSGKSRVMVLNIDRNRLVKELPESAQYQQHLDSSNSGDLNQNQSNSGNNGSGGLDVNNNGAFGMGGPMVRPMPADMWMGGGPGGDLWNGPPRGGMVGPRGMMMGPRGMMQRPPLGPLAMQQQQKPRTEEDDMKDLEALLNKKSYMEMQKSKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCSALTKEDCRRQSGSFMACEKVHFRRIIAPHTDVSQGDCSFLDTCRHMKTCKYVHYELDPTPDVPPMMMGAAALPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFKMEILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPVLQTDGLIFLWVTGRAMELGREWYMFIFVPLLLILNYPLYLELWGYKRVEELIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLDGVRLVDEGLRARFKAAYPDVVVQPPSPPRASAMEIEPTASQMRSPYAVTESKATMVPMAEHGAAGTAYASEEKFVGVDADMAG >Manes.02G004000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:525318:530988:-1 gene:Manes.02G004000.v8.1 transcript:Manes.02G004000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSDGLNSSNDEPAVSTIKSLRSQLESRIETQHGTQLDLIASLQSLVPNIVSSLDLSLQIVSSFNHKPFTPTPPLPAPTKKTAEIANPARSPSSPRTGVRSKSAISKLEFSKPTQSNGNNHKFSIDDSGSPLSVVRVMVAECLLQRVPFDPIDSSTVLRKLENDQNATPAEKAALREVGGESGAILAVEMALRSMAEENRGIELEEFVVSGKSRVMVLNIDRNRLVKELPESAQYQQHLDSSNSGDLNQNQSNSGNNGSGGLDVNNNGAFGMGGPMVRPMPADMWMGGGPGGDLWNGPPRGGMVGPRGMMMGPRGMMQRPPLGPLAMQQQQKPRTEEDDMKDLEALLNKKSYMEMQKSKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCSALTKEDCRRQSGSFMACEKTCKYVHYELDPTPDVPPMMMGAAALPPPKPLKPQRAEYCSEVELGEPQWINCDIRNFKMEILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPVLQTDGLIFLWVTGRAMELGRECLELWGYKRVEELIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTHAGWMSLGNQLDGVRLVDEGLRARFKAAYPDVVVQPPSPPRASAMEIEPTASQMRSPYAVTESKATMVPMAEHGAAGTAYASEEKFVGVDADMAG >Manes.01G078500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28141005:28141325:-1 gene:Manes.01G078500.v8.1 transcript:Manes.01G078500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAASIALLLTLNLLFFTMGSAATCPVDALKFKVCANVLGLIKIPPDAPCCSLIADLVDLEAALCLCTAIKANVLGINISLPVDLSLVLNNCGKKVPEGFQCP >Manes.11G113400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26626138:26634861:1 gene:Manes.11G113400.v8.1 transcript:Manes.11G113400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHWPKPDKSTTMYPPSSSPQNPTVSTGITRYGSAPGSLLTRAVDSVIGSDREFSALGSSSPSLVSHQYFSADSSSLTSESPCKVNSSSDPRPPPKSSAAVGGLQRSYGFNEINSSLVRQKSSPAGFQSHLSTENAGFSITRGSGGHNSHNGATGSHTMSRLKSQLSFTRQDSLSQISEISESAAEGINSNSGHQSSHSYSAAGFGMGSWDNTNSIVFSGPPSKLAKNIDGDIFSCLNGLETQFSLPQTSLEMATVEKLLSIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGRLKKLQELVPNMDKQTSYSDMLDLAVQHIKGLQNEIQKLHSELENCTCGCRPTT >Manes.11G113400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26626138:26634861:1 gene:Manes.11G113400.v8.1 transcript:Manes.11G113400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHWPKPDKSTTMYPPSSSPQNPTVSTGITRYGSAPGSLLTRAVDSVIGSDREFSALGSSSPSLVSHQYFSADSSSLTSESPCKVNSSSDPRPPPKSSAAVGGLQRSYGFNEINSSLVRQKSSPAGFQSHLSTENGFSITRGSGGHNSHNGATGSHTMSRLKSQLSFTRQDSLSQISEISESAAEGINSNSGHQSSHSYSAAGFGMGSWDNTNSIVFSGPPSKLAKNIDGDIFSCLNGLETQFSLPQTSLEMATVEKLLSIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGRLKKLQELVPNMDKQTSYSDMLDLAVQHIKGLQNEIQKLHSELENCTCGCRPTT >Manes.07G003502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:618381:634465:1 gene:Manes.07G003502.v8.1 transcript:Manes.07G003502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDIQFFFILVFFAWLLTRLLLQHLFKKPTNPNLHLPPSPPAPPFIGHIHLLSSVVSKCFHNLSSKHGSLLYLRLGSRPLLVLKRSRGVRREGLRIFLHNLVEKAYKNEKVDEGLELMKLTNNTVCRMVMSTRCTEEDDEAERCRELVEGSVALTGKLAVATTLGPLKKLGYWDLFIGGTDTAASTMQWMMAELINHPNVFKKLREEIKSVVGTTRPVEDSDILNLHYLQAVVKETLRLYPLVPAIPRECRQDCKVGGFDIPKETAVLINAYSIMRDPELWDNPNEFYPEKFLHEEENQKKQNFNFVPFGGGRRKCLGSQLALCLMNITVASMVRGFDWKFAAGDGQKINMEAKAGMAMCMKHPLLCFPIIHFNPVSA >Manes.11G088500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16145149:16146531:1 gene:Manes.11G088500.v8.1 transcript:Manes.11G088500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYSMFLFYFIAKVSSLPCESLMWWILPSIEDKSTSTDPASRPPSPKPLYNYTSIATLLLQTCKLHIFLVNYSALFLSSGSEMGIRLHSMISSAMQNLKKQPFQGRNQSSVPKVYIAVYVGELQWKRFIVPVSYLNHPCFRDLLNQDEEEFGFNHPMGGITIPCKEDDFINLTSRFLAL >Manes.07G017650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2056774:2062258:1 gene:Manes.07G017650.v8.1 transcript:Manes.07G017650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAFFIHLENAVQMIRSFHKLWNITGIHLNLDVPKWMRILYLDRLNTYADYFATKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTEHLQHHARHRLRTFQLIFVHVIESLVFVPIMIGILFSLFEFYDDQLLAFMFLILVWLCELFTLISVPTPISMKFLPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNLFEGTVQSPVLSMNISVSFATVNDRQSQLQHHPDFHITSSTILASTLHITGLNTRNEGSVNNVLASGPGFRIRLGSEQAIPADGVEPPGPQQCMGSDNLAG >Manes.07G017650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2056774:2062258:1 gene:Manes.07G017650.v8.1 transcript:Manes.07G017650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLFVFFTTTMSVSFTLRETQTRMLKFTEHLQHHARHRLRTFQLIFVHVIESLVFVPIMIGILFSLFEFYDDQLLAFMFLILVWLCELFTLISVPTPISMKFLPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNLFEGTVQSPVLSMNISVSFATVNDRQSQLQHHPDFHITSSTILASTLHITGLNTRNEGSVNNVLASGPGFRIRLGSEQAIPADGVEPPGPQQCMGSDNLAG >Manes.07G017650.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2056668:2062258:1 gene:Manes.07G017650.v8.1 transcript:Manes.07G017650.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAFFIHLENAVQMIRSFHKLWNITGIHLNLDVPKWMRILYLDRLNTYADYFATKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTEHLQHHARHRLRTFQLIFVHVIESLVFVPIMIGILFSLFEFYDDQLLAFMFLILVWLCELFTLISVPTPISMKFLPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNLFETVAAPTPPRLPYHILYHSCINFTHYRIEHQE >Manes.08G004113.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:715892:716563:1 gene:Manes.08G004113.v8.1 transcript:Manes.08G004113.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTELLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.11G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30053176:30055358:-1 gene:Manes.11G135600.v8.1 transcript:Manes.11G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTFSWQHTIKNKEKKITKMILQDLVFYAILFLLSYFLLRTIFHITLHGHRVHLPPSPLALPIIGHLHLLDPLMHQALHKLSSRHGPFMHLRLGSVQSVVVSNPEMAKEFLKTHDLTFSYRIFNQAIDYLTYNAATPLAPYGSLWIFIKKLSISELLGSHTLNKFLPVRTQELHSFLGLLFDKSKSGESVNVTKEILRFTNNIISQMILSSRRSSTADEDEEAIKLVREVTAVFGEFNISDFIWFLRNWDLQGFRKKLEGIRGRYDVLLEKIITKRQEERKEKNYRSENGSAKDFLDLLLDIMEDKNSEMQLSRDHLKGLVMDYLTAGTDSTAVAIEWAVAEMINHPMELKKAREELERVVGKQRLVQESDVSNLPYLQAIIKETFRLHPPIPLILRSSIEECKVKDYTISKGTLLIVNLWSIGRDPETWKNPLEFRPERFLPASGNDQSYWAGPVDVRGQHYQLLPFGTGRRNCSGMSSAMQILPITLASMIQCFDWKVVSSRSSEVDGDNAVDMTEKPGITAPLAHDLVCVPIARFSPRNASDDA >Manes.S027852.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2325143:2332026:1 gene:Manes.S027852.v8.1 transcript:Manes.S027852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRHDGRAIRRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.07G043600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5091875:5094242:1 gene:Manes.07G043600.v8.1 transcript:Manes.07G043600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHLHLQSSKNLSFCIFISLLLLTFFLLKVQSSIETTSFSFNQFSPDMTEINFEGQAYAEETSIQLTNSFNKLSAEDDNNVGRATYYKPIHLWDDKSGNIADFTTYFSFIINSHGNESRGNGFAFFLTNKGSKLPPLSGEGRLGLLSYNSVTPPFVAVEFDTRNSFWDPVDGDEHIDFRKYLTDEWVTVGFSASTAIYKFEEHEILEWNFNSTLQLDENFTNHTGTGGAMSPIARISTKGKNKGWILVVLGSIGALVLVSSVLGLLWCGHRKKRRSRRTEDDEPGKANDDFEREDRPRSFSYEELVTATNNFASERLLGKGGFGRVYIGMLSENSCVAVKKIITSDSHQGFKAYVSEVKAISRSRHRNLVQLIGWCRNKQELFIVYEFMPNKSLDFHLFNKTGLLTWERRNSIALGLASALLYLQEECEQCVLHRDIKSSNVLLDSNFNAKLGDFGLATFVEHGQGSDTTRLIGTDGYVAPEYLLTSTATKESDVYSFGVVALEIASGRPASKAVINENGERCQGKLVAWVWEQYRRGNIFAAADPQLHQNYDREEMERLIVLGLACAHPNHSLRPSIREALDILNAKAPLPKLPLDMPIATYQLNMNAISESSSIDAGVSETKFSGSSVNS >Manes.07G043600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5091875:5094242:1 gene:Manes.07G043600.v8.1 transcript:Manes.07G043600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHLHLQSSKNLSFCIFISLLLLTFFLLKVQSSIETTSFSFNQFSPDMTEINFEGQAYAEETSIQLTNSFNKLSAEDDNNVGRATYYKPIHLWDDKSGNIADFTTYFSFIINSHGNESRGNGFAFFLTNKGSKLPPLSGEGRLGLLSYNSVTPPFVAVEFDTRNSFWDPVDGDEHIGFSASTAIYKFEEHEILEWNFNSTLQLDENFTNHTGTGGAMSPIARISTKGKNKGWILVVLGSIGALVLVSSVLGLLWCGHRKKRRSRRTEDDEPGKANDDFEREDRPRSFSYEELVTATNNFASERLLGKGGFGRVYIGMLSENSCVAVKKIITSDSHQGFKAYVSEVKAISRSRHRNLVQLIGWCRNKQELFIVYEFMPNKSLDFHLFNKTGLLTWERRNSIALGLASALLYLQEECEQCVLHRDIKSSNVLLDSNFNAKLGDFGLATFVEHGQGSDTTRLIGTDGYVAPEYLLTSTATKESDVYSFGVVALEIASGRPASKAVINENGERCQGKLVAWVWEQYRRGNIFAAADPQLHQNYDREEMERLIVLGLACAHPNHSLRPSIREALDILNAKAPLPKLPLDMPIATYQLNMNAISESSSIDAGVSETKFSGSSVNS >Manes.07G043600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5091875:5094243:1 gene:Manes.07G043600.v8.1 transcript:Manes.07G043600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHLHLQSSKNLSFCIFISLLLLTFFLLKVQSSIETTSFSFNQFSPDMTEINFEGQAYAEETSIQLTNSFNKLSAEDDNNVGRATYYKPIHLWDDKSGNIADFTTYFSFIINSHGEGRLGLLSYNSVTPPFVAVEFDTRNSFWDPVDGDEHIDFRKYLTDEWVTVGFSASTAIYKFEEHEILEWNFNSTLQLDENFTNHTGTGGAMSPIARISTKGKNKGWILVVLGSIGALVLVSSVLGLLWCGHRKKRRSRRTEDDEPGKANDDFEREDRPRSFSYEELVTATNNFASERLLGKGGFGRVYIGMLSENSCVAVKKIITSDSHQGFKAYVSEVKAISRSRHRNLVQLIGWCRNKQELFIVYEFMPNKSLDFHLFNKTGLLTWERRNSIALGLASALLYLQEECEQCVLHRDIKSSNVLLDSNFNAKLGDFGLATFVEHGQGSDTTRLIGTDGYVAPEYLLTSTATKESDVYSFGVVALEIASGRPASKAVINENGERCQGKLVAWVWEQYRRGNIFAAADPQLHQNYDREEMERLIVLGLACAHPNHSLRPSIREALDILNAKAPLPKLPLDMPIATYQLNMNAISESSSIDAGVSETKFSGSSVNS >Manes.07G043600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5091811:5094242:1 gene:Manes.07G043600.v8.1 transcript:Manes.07G043600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHLHLQSSKNLSFCIFISLLLLTFFLLKVQSSIETTSFSFNQFSPDMTEINFEGQAYAEETSIQLTNSFNKLSAEDDNNVGRATYYKPIHLWDDKSGNIADFTTYFSFIINSHGNESRGNGFAFFLTNKGSKLPPLSGEGRLGLLSYNSVTPPFVAVEFDTRNSFWDPVDGDEHIGIDLNSLSSIVLTKWTKNDIVNGGIIQAWIEYNSTSKNLSVHVINGYEKTYMRNYSYNLDCLVDFRKYLTDEWVTVGFSASTAIYKFEEHEILEWNFNSTLQLDENFTNHTGTGGAMSPIARISTKGKNKGWILVVLGSIGALVLVSSVLGLLWCGHRKKRRSRRTEDDEPGKANDDFEREDRPRSFSYEELVTATNNFASERLLGKGGFGRVYIGMLSENSCVAVKKIITSDSHQGFKAYVSEVKAISRSRHRNLVQLIGWCRNKQELFIVYEFMPNKSLDFHLFNKTGLLTWERRNSIALGLASALLYLQEECEQCVLHRDIKSSNVLLDSNFNAKLGDFGLATFVEHGQGSDTTRLIGTDGYVAPEYLLTSTATKESDVYSFGVVALEIASGRPASKAVINENGERCQGKLVAWVWEQYRRGNIFAAADPQLHQNYDREEMERLIVLGLACAHPNHSLRPSIREALDILNAKAPLPKLPLDMPIATYQLNMNAISESSSIDAGVSETKFSGSSVNS >Manes.07G043600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5091875:5094242:1 gene:Manes.07G043600.v8.1 transcript:Manes.07G043600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHHLHLQSSKNLSFCIFISLLLLTFFLLKVQSSIETTSFSFNQFSPDMTEINFEGQAYAEETSIQLTNSFNKLSAEDDNNVGRATYYKPIHLWDDKSGNIADFTTYFSFIINSHGEGRLGLLSYNSVTPPFVAVEFDTRNSFWDPVDGDEHIGFSASTAIYKFEEHEILEWNFNSTLQLDENFTNHTGTGGAMSPIARISTKGKNKGWILVVLGSIGALVLVSSVLGLLWCGHRKKRRSRRTEDDEPGKANDDFEREDRPRSFSYEELVTATNNFASERLLGKGGFGRVYIGMLSENSCVAVKKIITSDSHQGFKAYVSEVKAISRSRHRNLVQLIGWCRNKQELFIVYEFMPNKSLDFHLFNKTGLLTWERRNSIALGLASALLYLQEECEQCVLHRDIKSSNVLLDSNFNAKLGDFGLATFVEHGQGSDTTRLIGTDGYVAPEYLLTSTATKESDVYSFGVVALEIASGRPASKAVINENGERCQGKLVAWVWEQYRRGNIFAAADPQLHQNYDREEMERLIVLGLACAHPNHSLRPSIREALDILNAKAPLPKLPLDMPIATYQLNMNAISESSSIDAGVSETKFSGSSVNS >Manes.07G115900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32136142:32138332:1 gene:Manes.07G115900.v8.1 transcript:Manes.07G115900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSNVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.07G115900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32135408:32138338:1 gene:Manes.07G115900.v8.1 transcript:Manes.07G115900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSNVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.07G115900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32135425:32138332:1 gene:Manes.07G115900.v8.1 transcript:Manes.07G115900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSNVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.13G028701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3774280:3777189:-1 gene:Manes.13G028701.v8.1 transcript:Manes.13G028701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSREVVRIVNVDYFFCCADTNPALPRPAPIVIVDQICFFNGRWLWFPLILLFVDKQSRSTY >Manes.10G030800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3138417:3140979:-1 gene:Manes.10G030800.v8.1 transcript:Manes.10G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDFEQELEISKTSSTVEKTYELPDGQVITIGAERFRCPEVLYQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.17G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24952865:24955200:-1 gene:Manes.17G053100.v8.1 transcript:Manes.17G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLGTSSPAILGSRRFSSSITKPSFASLPLTTGQGCGKKYYGGIGIQGKKGRSQFHVAVTNVAAGVSSVEEKLAAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVFGDPYNSDPEDPESMGVEVRECVVKGEKLQVVLTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVKDAELRVKIVEERGRFDKNPKEFRDSYKAEQEKLQQQIASARTSLSSVQIDHDLKVKISRVCAELNVDGLRGDIVTNRAAKALAALKGRDNVTAEDVATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Manes.07G053422.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:7642590:7643252:1 gene:Manes.07G053422.v8.1 transcript:Manes.07G053422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAKKQNKLVKIVSALIKVVRKTTDLCATCVEDCPGSDAAVFCPVPQMSLPWSFRVSSSSMRNDHEEVSLKLLRSVSERRRPISEEVESNIHAQKLVKERKCSNNGIGRKRYVGKLGTIDEEEPLIF >Manes.12G131201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33887522:33894561:-1 gene:Manes.12G131201.v8.1 transcript:Manes.12G131201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPISATISFKRTTAGNLILFVTMLMMFSTSLAGIKRRFEHAPKTSADKRPKREPQPQEIRCYEAESICMDSNISQEDHYRWSADAISLASCQAFVPSLEKSLHADFSISPPILSSAIVKFPFDDEGKLVEVLDYKSHHELKLIRYKDIVLGRGQPLIANNLKVTFHYDLYDEHHKRVQTNALDRSPEEVHVCWHRFGRGFEKGIRGMRPGGIRRVIVPREEEAPMVEGYGVFDVALLKVEISYSCPQPHAEIFNR >Manes.12G131201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33889964:33894561:-1 gene:Manes.12G131201.v8.1 transcript:Manes.12G131201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPISATISFKRTTAGNLILFVTMLMMFSTSLAGIKRRFEHAPKTSADKRPKREPQPQEIRCYEAESICMDSNISQEDHYRWSADAISLASCQAFVPSLEKSLHADFSISPPILSSAIVKFPFDDEGKLVEVLDYKSHHELKLIRYKDIVLGRGQPLIANNLKVTFHYDLYDEHHKRVQTNALDRSPEEVHVCWHRFGRGFEEGIRGMRPGGIRRVIVPREEEPPMVDGYGVFDVALLKVEISSSCPQPHAEIFNL >Manes.12G131201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33888125:33894561:-1 gene:Manes.12G131201.v8.1 transcript:Manes.12G131201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPISATISFKRTTAGNLILFVTMLMMFSTSLAGIKRRFEHAPKTSADKRPKREPQPQEIRCYEAESICMDSNISQEDHYRWSADAISLASCQAFVPSLEKSLHADFSISPPILSSAIVKFPFDDEGKLVEVLDYKSHHELKLIRYKDIVLGRGQPLIANNLKVTFHYDLYDEHHKRVQTNALDRSPEEVHVCWHRFGRGFEEGIRGMRPGGIRRVIVPREEEPPMVEGYGVFDVALLKVEISYSCPQPHAEIFNR >Manes.10G137493.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30555495:30557590:1 gene:Manes.10G137493.v8.1 transcript:Manes.10G137493.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSDEGYSLTDEEILPVLLEGLDNCVPGIKTKPFFPTFEEVIQKNAPRQPNGWDCGIYVMNFLRDLPDDNGKQSGKIVVNVTERDHEKFSQALRIECLLWVLFHEANKKRDGLLLEAKRLQNKLMDSDNNSDEKEARG >Manes.17G115200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32261730:32264915:-1 gene:Manes.17G115200.v8.1 transcript:Manes.17G115200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKALLLPPQSTVISCPVAETGANSRWVPPNFSKSRGGLCKTMSLSKRLCLGTENGGITKNTYGIGDKDGVIIVDHGSRRKESNLMLNEFVTMFREKTGYPIVEPAHMELAEPSIRDAFGLCVQQGANRVLVSPFFLFPGRHWHQDIPSLTAEAAKEHPGVSYIITAPLGLHELLVDVVNDRIKYCLNHVAGDADECPACVGTGKCKLY >Manes.17G115200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32262090:32264915:-1 gene:Manes.17G115200.v8.1 transcript:Manes.17G115200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKRLCLGTENGGITKNTYGIGDKDGVIIVDHGSRRKESNLMLNEFVTMFREKTGYPIVEPAHMELAEPSIRDAFGLCVQQGANRVLVSPFFLFPGRHWHQDIPSLTAEAAKEHPGVSYIITAPLGLHELLVVCNSQHSSAARISVEKKALPYFEIVFTSIFFLCCLIFMISAVS >Manes.17G115200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32261730:32264915:-1 gene:Manes.17G115200.v8.1 transcript:Manes.17G115200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKRLCLGTENGGITKNTYGIGDKDGVIIVDHGSRRKESNLMLNEFVTMFREKTGYPIVEPAHMELAEPSIRDAFGLCVQQGANRVLVSPFFLFPGRHWHQDIPSLTAEAAKEHPGVSYIITAPLGLHELLVDVVNDRIKYCLNHVAGDADECPACVGTGKCKLY >Manes.17G115200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32262090:32264915:-1 gene:Manes.17G115200.v8.1 transcript:Manes.17G115200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKALLLPPQSTVISCPVAETGANSRWVPPNFSKSRGGLCKTMSLSKRLCLGTENGGITKNTYGIGDKDGVIIVDHGSRRKESNLMLNEFVTMFREKTGYPIVEPAHMELAEPSIRDAFGLCVQQGANRVLVSPFFLFPGRHWHQDIPSLTAEAAKEHPGVSYIITAPLGLHELLVVCNSQHSSAARISVEKKALPYFEIVFTSIFFLCCLIFMISAVS >Manes.07G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32784881:32788851:-1 gene:Manes.07G124500.v8.1 transcript:Manes.07G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTGDRQVPNSTDFPQHISPFPAAGNLLISNPMAEIHSSEIDRQNLPPQKLRPIRANGRSPSSSQASDLPLAGGLDGTLENLGLLADQVCGISGENGQYFKPPVKAEDSEMVLNGFADTGNPCLVADGSAGFGSGAGGEDGDPDGVRGLFEVKSSTSSDDDDSPAGITKEFASQKRKRKTREKLEDFLENLVLKVMEKQEQMHKQLIETMESRERERVIREEAWKQQERERMKREEELRAQENARNLALISFIQNVMGHKIEVPQALTTITPHAEKHGENDASNHSIQNDFKCDLSNRRWPEAEVQALIMLRTALEQKFRALGAKCSNVWDEISVGMCNMGYNRTAKKCKEKWENINKYFRKSMESGGKKRLENSKTCPYFHELHLLYKSGFVNPGNANIENETNSS >Manes.07G124500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32784881:32788851:-1 gene:Manes.07G124500.v8.1 transcript:Manes.07G124500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTGDRQVPNSTDFPQHISPFPAAGNLLISNPMAEIHSSEIDRQNLPPQKLRPIRANGRSPSSSQASDLPLAGGLDGTLENLGLLADQVCGISGENGQYFKPPVKAEDSEMVLNGFADTGNPCLVADGSAGFGSGAGGEDGDPDGVRGLFEVKSSTSSDDDDSPAGITKEFASQKRKRKTREKLEDFLENLVLKVMEKQEQMHKQLIETMESRERERVIREEAWKQQERERMKREEELRAQENARNLALISFIQNVMGHKIEVPQALTTITPHAEKHGENDASNHSIQNDFKCDLSNRRWPEAEVQALIMLRTALEQKFRALGAKCSNVWDEISVGMCNMGYNRTAKKCKEKWENINKYFRKSMESGGKKRLENMCGKFF >Manes.11G041900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4617436:4624532:1 gene:Manes.11G041900.v8.1 transcript:Manes.11G041900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWEDRRNETGDPNESDPFPEKSPSHAYASDNSKVIGPKSYSYSELAQATGHFSLNYQIGQGGFGQVFKASLNGKIRAIKKLNNFVDVQPEGKLENEIKVVNRVSHKNLVKLAGYCIDGANRLLILKYFSNGSLRSKLHGNENVLDWKKRMKIAIGSAKGLQYLHEDCEPKIIHLDVKADNILLDDNFEPKVSDFGLSLFFSDAASHVSRSSIRGTQIYADPLTTQLGKHSDKSDVYSFGVTLLELISGRKPIDQKGVSIVIWANSLIEKALKGEYGDFVDSRLQSFDQPFDYEEMHRIIFCVNACINLPLESRPSMKRVALALEGILPLKNDNKLYSGVSYKDEVKPSLKPPINQETNKPSSKPPVIQETNQIVVRNGRSTSRQPTEATSNGSSNNIGETSFEEKTQVYKPRRFTYFELTSATRGFAKNNLLNGGPLGKVYKSILNYDETVIVKKLYIYMCEGKEDEFKKIKDISTSVHDKNVVNLIGYCDEGDKRLLVYEYFPAKSSLRSHLDGNGSLDWPTRMEIAIRIGRGLVNLHDLYKPWNIYEHYKDNSIFLDENFQPKFAEYKRDKFFSKDSGNSIPRSSMSSRYVKLDVYFFGVILLEMITGKLPDLRSYDSFNLIEWAVPTIQNDWPQGNYKFVDEKLGRNFDEDEMDRMIECTLACVERYPQNRPEMSKVVEVLAGNIPRKNLKN >Manes.13G093508.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25422698:25423914:1 gene:Manes.13G093508.v8.1 transcript:Manes.13G093508.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDKQQVTVSSSLLIRSLWTVFFIWRVDCFKSEKVITGPSSSAVQEILGITLSSLLYSQLTHCSL >Manes.17G123700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32960652:32965213:1 gene:Manes.17G123700.v8.1 transcript:Manes.17G123700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASWVWNLAPFAAMIAVECTDVGASTISKAALAHGMSKYVSVVYYNGLATLILLPYFIFHRKKRAPITLSLLVIFFLLALNGSTGQILFLAAVKLSSPTLSSAMANLIPIFTFLLALITRMETLDLRRSSSLAKSLGAIVSVTGAFIVTLYKGPAVLTPPTSSFHHDQLLLSQKSEWILGGFLLVIVCLLSATWNIAQGATVKEYPEEMTIVFFFTFFITIQSAIFSLILERNPNAWRLNSTIEIVAIVYTAVFGSLFRIAIHTWCLRKKGPVYVAMFKPLGIAIAVFMTVTFLGDNLYLGSVIGSIIIAVGFYSVMWGQMKEMNMAMALNNEPCSSQSSTLKGPLLQRDASEEI >Manes.17G123700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32962591:32964999:1 gene:Manes.17G123700.v8.1 transcript:Manes.17G123700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLSTTMAWPLSSFSLISSFTGNFPFFLAIPIISLLTFEAFFCRKKRAPITLSLLVIFFLLALNGSTGQILFLAAVKLSSPTLSSAMANLIPIFTFLLALITRMETLDLRRSSSLAKSLGAIVSVTGAFIVTLYKGPAVLTPPTSSFHHDQLLLSQKSEWILGGFLLVIVCLLSATWNIAQGATVKEYPEEMTIVFFFTFFITIQSAIFSLILERNPNAWRLNSTIEIVAIVYTAVFGSLFRIAIHTWCLRKKGPVYVAMFKPLGIAIAVFMTVTFLGDNLYLGSVIGSIIIAVGFYSVMWGQMKEMNMAMALNNEPCSSQSSTLKGPLLQRDASEEI >Manes.17G123700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32961038:32964993:1 gene:Manes.17G123700.v8.1 transcript:Manes.17G123700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASWVWNLAPFAAMIAVECTDVGASTISKAALAHGMSKYVSVVYYNGLATLILLPYFIFHRKKRAPITLSLLVIFFLLALNGSTGQILFLAAVKLSSPTLSSAMANLIPIFTFLLALITRMETLDLRRSSSLAKSLGAIVSVTGAFIVTLYKGPAVLTPPTSSFHHDQLLLSQKSEWILGGFLLVIVCLLSATWNIAQGATVKEYPEEMTIVFFFTFFITIQSAIFSLILERNPNAWRLNSTIEIVAIVYTAVFGSLFRIAIHTWCLRKKGPVYVAMFKPLGIAIAVFMTVTFLGDNLYLGSVIGSIIIAVGFYSVMWGQMKEMNMAMALNNEPCSSQSSTLKGPLLQRDASEEI >Manes.03G001400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:238009:238485:-1 gene:Manes.03G001400.v8.1 transcript:Manes.03G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKPNKIRQIVRLQQILKKWRKLATSSTPTTTNGNSSKSIKFLKRTLSLSDTTSSTAVPKGYLAICVGEELKKFVIPTEYLSHPAFHLLLREAEEEFGFQQTGVLRIPCEVAVFESILKLVEEKNVYFLQECAGLGHCSSKTQQTPSHHPQSPMCR >Manes.07G039772.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4772270:4772635:-1 gene:Manes.07G039772.v8.1 transcript:Manes.07G039772.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHALSTSLVAFCLIREIAYSIRSASQGSVSGPSLCNPNSSSAILNSSLINSLLRYSKGRRKRFLSVEYTTKWPFSAIDEVSILPMFCDDVILLRLTAAIFCHFLANFRSFLVLIILLV >Manes.14G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3314674:3334573:-1 gene:Manes.14G038400.v8.1 transcript:Manes.14G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIASQLQAIRSVIQTDSGSQKRPITRPSILFDPKEAADIDIDTILSIAHSGLEVLISVDDRFRNYKNDLFSQKSKELDRELMNQDENNRINVTISLYLRLLSGHLQLPASHKTLEYLIRRYKIHVYSAEDLILCALPYHDTHAFVRVVQLIDTRNSKWKFLEGVKVSGAPPPRNVVVQQCIRDMGVLEALCNYASPAKKFQSSRPVITFCTAVVVEALGSLSIVNSDVVKRILPFVVSGLQPVTKVGLDHKAGAMMIIGLLANKVALAPKLVKSLIRSISEMIHEDAKESTDLQWLRLSVMALINLVQLQSMDTFPKKALDILKETRDIVGVLLELSKEFNIDRFLAVLLESLVDNSSDDDASCSTLISIIETLPVKNFVEHLVSRVLLSCVKMTQRNNNSTPSKSATWAKTILMVVNKNYPSELHQAVRKFLEDTKTQSKKDVALFETLCKMLDGNLDLTVGTLDSKIWLGLHHPKAEVRCATLSSLKTSGILKTSDVDSQGLITIQDAILRQLYDDDLSVVQASLSLEGLSKIISASDFLKALDNVLKRCGSTKWSSSSDKCTLVGDVVVSFLKIALSTFRDQADYSKKITARIFPLLLIFPKTQRLNLKVLELVKEMDWPLYNNLKGISTEEMKLQHENISVVNMKIVSSLAETFTMHPDEHTSWLIESCNDFSLSKTLLFLVVMQSFLNSKNDSGHLLAIFEAFFPVLKNEWEVFESAADVCGNEFNKEIINWDCQRFLDQLADSDVSVLNGNILVSIFWKLLEVLSSIATADTLLDDNDKWLSSKHRDLFVFFASSQQKHVFKEHLHHLTTKCKISPVDFLSGFFTDEDIPVAVQIESLHCLAFLCLEPDDRLLFQLMANFPSLLVPLASASQDMRIAAMGCIEGLHALSRRIDYLSKKNGSNANWSNFLEELLGLIAQQKRLIISDKNFLSSLLTSLLGSSHSSLLVPQNVERRFDQSTKEKVLAFVLGYALQLSAYAKLMIISLLKGLGNVIICVKDVETFLSQLLTRRKQFYFEAEKSSHKLSKTEVKILCLLLEICATPPYLVEGCAFEDYLLGALRLDGLSVGEYAVAEPCVAVLQKLTCQFYSVLTTEKQGLLFRELVVLFRNANGDIQNATRDALLRLNITCSTVVQTLDFISKQHSVKTDSAYGKKKKKKPITHQTSASDHNLVCNGETALCLLSSLLDILILKKDMVNRESLIGPLFDLLGKMFSDEWALTQDKNLVQFSTGISLSMSNTVHYIQHALLLVLEDIIASSIKAVPLKDDITNKIDIKMLVECSRSAKDAVTRNHIFSLLSSIIKVIPDKMLEHILDILTVIGESTVTQVIPDKMLEHILDILTVIGESTVTQIDNYSQRVFEDLIAAVVPCWLAKTNNPEKLLQIFVNVLPAVAEHRRLSIILYLLRKLGERNSLASLLVLLFRSLVSRKGPSYLEDAQTSDGLTSFMKREWEYAFAVQICEQYSCMIWLPSIVVQLQLTGSGHLCQELFLELQFAMEFILQKLQDPELSFKLESTEDFDSIQTTLQELMEHVVFLLQLVDMRRKQTICPVMIRKGLKECIYSVLRTITTVMSPAAYFRGIINLLGHSDRNVQKKALGLLCEKLRNLESVKVKPKGRRELNARSSADWLHMDETATESLHKMCLEIVRLVDDKLDEVDTSLKLSAVSTLEILAQNSPDYSIFGICLPYITKGISSHDLAISSSCLRTTGALVNVLGPKALTELPRIMKNLIKISHEINSSSGDENTSAALSTSKESSLQSILVTLEAVVDNLGGFLNPYLEEVIGLMVLGSEYTMESKSKLKLKADEIRRLLTEKIPLRLALPPLLKIYSDAVESGDSSVAITFEMLATLVGKMDRSSVGGHHGKIFDLCLRALDLRRQHPVSIHNIDIVERSVISAMISLTMKLTESMFKPLFISSIDWADSLVGETASEGVASLDRSIALYGLVNKLAENHRSLFVPYFKYLLDGCIRHLLDVKGTVLIRRKKKAKIQEAGNDLKDKNNVLLLKSWHLRALVISALHKCFLYDTGSTKFLDSSNFQVLLKPIISQLLVEPPASLEEHPNIPSLKDVDQLLVICIGQMAVTAGTDILWKPLNHEVLLQTRSESVRSRILGLRIVKYLLDNLKEEYLVFLPETIPFLGELLEDVELPVKSLAQDILKEMESMSGESLRQYLM >Manes.14G038400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3314558:3334573:-1 gene:Manes.14G038400.v8.1 transcript:Manes.14G038400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIASQLQAIRSVIQTDSGSQKRPITRPSILFDPKEAADIDIDTILSIAHSGLEVLISVDDRFRNYKNDLFSQKSKELDRELMNQDENNRINVTISLYLRLLSGHLQLPASHKTLEYLIRRYKIHVYSAEDLILCALPYHDTHAFVRVVQLIDTRNSKWKFLEGVKVSGAPPPRNVVVQQCIRDMGVLEALCNYASPAKKFQSSRPVITFCTAVVVEALGSLSIVNSDVVKRILPFVVSGLQPVTKVGLDHKAGAMMIIGLLANKVALAPKLVKSLIRSISEMIHEDAKESTDLQWLRLSVMALINLVQLQSMDTFPKKALDILKETRDIVGVLLELSKEFNIDRFLAVLLESLVDNSSDDDASCSTLISIIETLPVKNFVEHLVSRVLLSCVKMTQRNNNSTPSKSATWAKTILMVVNKNYPSELHQAVRKFLEDTKTQSKKDVALFETLCKMLDGNLDLTVGTLDSKIWLGLHHPKAEVRCATLSSLKTSGILKTSDVDSQGLITIQDAILRQLYDDDLSVVQASLSLEGLSKIISASDFLKALDNVLKRCGSTKWSSSSDKCTLVGDVVVSFLKIALSTFRDQADYSKKITARIFPLLLIFPKTQRLNLKVLELVKEMDWPLYNNLKGISTEEMKLQHENISVVNMKIVSSLAETFTMHPDEHTSWLIESCNDFSLSKTLLFLVVMQSFLNSKNDSGHLLAIFEAFFPVLKNEWEVFESAADVCGNEFNKEIINWDCQRFLDQLADSDVSVLNGNILVSIFWKLLEVLSSIATADTLLDDNDKWLSSKHRDLFVFFASSQQKHVFKEHLHHLTTKCKISPVDFLSGFFTDEDIPVAVQIESLHCLAFLCLEPDDRLLFQLMANFPSLLVPLASASQDMRIAAMGCIEGLHALSRRIDYLSKKNGSNANWSNFLEELLGLIAQQKRLIISDKNFLSSLLTSLLGSSHSSLLVPQNVERRFDQSTKEKVLAFVLGYALQLSAYAKLMIISLLKGLGNVIICVKDVETFLSQLLTRRKQFYFEAEKSSHKLSKTEVKILCLLLEICATPPYLVEGCAFEDYLLGALRLDGLSVGEYAVAEPCVAVLQKLTCQFYSVLTTEKQGLLFRELVVLFRNANGDIQNATRDALLRLNITCSTVVQTLDFISKQHSVKTDSAYGKKKKKKPITHQTSASDHNLVCNGETALCLLSSLLDILILKKDMVNRESLIGPLFDLLGKMFSDEWALTQDKNLVQFSTGISLSMSNTVHYIQHALLLVLEDIIASSIKAVPLKDDITNKIDIKMLVECSRSAKDAVTRNHIFSLLSSIIKVIPDKMLEHILDILTVIGESTVTQIDNYSQRVFEDLIAAVVPCWLAKTNNPEKLLQIFVNVLPAVAEHRRLSIILYLLRKLGERNSLASLLVLLFRSLVSRKGPSYLEDAQTSDGLTSFMKREWEYAFAVQICEQYSCMIWLPSIVVQLQLTGSGHLCQELFLELQFAMEFILQKLQDPELSFKLESTEDFDSIQTTLQELMEHVVFLLQLVDMRRKQTICPVMIRKGLKECIYSVLRTITTVMSPAAYFRGIINLLGHSDRNVQKKALGLLCEKLRNLESVKVKPKGRRELNARSSADWLHMDETATESLHKMCLEIVRLVDDKLDEVDTSLKLSAVSTLEILAQNSPDYSIFGICLPYITKGISSHDLAISSSCLRTTGALVNVLGPKALTELPRIMKNLIKISHEINSSSGDENTSAALSTSKESSLQSILVTLEAVVDNLGGFLNPYLEEVIGLMVLGSEYTMESKSKLKLKADEIRRLLTEKIPLRLALPPLLKIYSDAVESGDSSVAITFEMLATLVGKMDRSSVGGHHGKIFDLCLRALDLRRQHPVSIHNIDIVERSVISAMISLTMKLTESMFKPLFISSIDWADSLVGETASEGVASLDRSIALYGLVNKLAENHRSLFVPYFKYLLDGCIRHLLDVKGTVLIRRKKKAKIQEAGNDLKDKNNVLLLKSWHLRALVISALHKCFLYDTGSTKFLDSSNFQVLLKPIISQLLVEPPASLEEHPNIPSLKDVDQLLVICIGQMAVTAGTDILWKPLNHEVLLQTRSESVRSRILGLRIVKYLLDNLKEEYLVFLPETIPFLGELLEDVELPVKSLAQDILKEMESMSGESLRQYLM >Manes.10G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13769011:13775991:-1 gene:Manes.10G073400.v8.1 transcript:Manes.10G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQSQVEKAKHLIRSRLCNPNFIIKPLSDSPDSNYSKLKFTISSSITEACNNSILLVGPRGSGKIAVLELVLNDLLQEYPDTISLIRLNGLLHSDENCAFKEIAKQLCLEHNLLFSKMASFDDNSQFMIAMLRECGLAHKTIIFTLDEFDQFAQGKQRLLYSLLDAMNTVTSQAVVIGVSCRLDVDQLLEKRIRSRFSHRKLLFLPPSKEDVQRLLEHVLSLPMDSSFPHDYAAQFNGKLQNLLADDRFKEIISSYLNSNSTINHLVRFLFIVVSFWESKSGFLSLENFKSALSSILRQPKQECIKDCSILELYVLVCMKRLEVKEQNSYNFNSVMKEYKSVQDSFQTSDYYARNVCLRSFERLIQRELICFTDNRAQGQSVEFRPVKLLVSYAELQEGLKSYRSCPIILQKLMEH >Manes.10G073400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13769011:13775991:-1 gene:Manes.10G073400.v8.1 transcript:Manes.10G073400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQSQVEKAKHLIRSRLCNPNFIIKPLSDSPDSNYSKLKFTISSSITEACNNSILLVGPRGSGKIAVLELVLNDLLQEYPDTISLIRLNGLLHSDENCAFKASFDDNSQFMIAMLRECGLAHKTIIFTLDEFDQFAQGKQRLLYSLLDAMNTVTSQAVVIGVSCRLDVDQLLEKRIRSRFSHRKLLFLPPSKEDVQRLLEHVLSLPMDSSFPHDYAAQFNGKLQNLLADDRFKEIISSYLNSNSTINHLVRFLFIVVSFWESKSGFLSLENFKSALSSILRQPKQECIKDCSILELYVLVCMKRLEVKEQNSYNFNSVMKEYKSVQDSFQTSDYYARNVCLRSFERLIQRELICFTDNRAQGQSVEFRPVKLLVSYAELQEGLKSYRSCPIILQKLMEH >Manes.01G215700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38511687:38512719:1 gene:Manes.01G215700.v8.1 transcript:Manes.01G215700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNIGNNSEIASQKYNFAGACNASCEDSIIKEQDKLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALATLGFDDYAEPLKRYLYKYRDQEGERGSHRPSNNEERDDSLDYRGDLPMKSAASLNFQVVERSNTSLSRRF >Manes.03G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:515366:516440:1 gene:Manes.03G006000.v8.1 transcript:Manes.03G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLCDSCKSATATLFCRADSAFLCINCDSNVHAANKLASRHARVWVCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLARRHERVPVTPFYELVGSDPAVKPNINFLDDSYFSDVDGDAVVSREEVEAASWLLPNHPNPKMMESPDLNTNHYVFSDMEPYLDLDYGPSPSVYPKLEAYEQNDSTTDGVVPVQSKSVQAPLVNDHYFGLDFTASKPLAYGYNTQSGCPSHIVSSSYLDVGVVPDGGNMMESTNQKVQLSAVDREARVLRYKEKRKNRKFEKTIRYASRKAYAEMRPRIKGRFTKRSNSEVDRSSIYEFGVVPSF >Manes.17G074000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27405361:27415134:1 gene:Manes.17G074000.v8.1 transcript:Manes.17G074000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTMDPTPAASSAGASSPAVPANTHASKAASLSGVGSQLPWTSLSTSAGGSVLGSSRPSCRPWERGDLLRRLATFKPSNWFGKPKIASSLACAQRGWMNIEIDKIVCESCGACLSFVLLPSWTPTEVESAGEVFARQLDDGHKTSCPWKGNSCPESLVQFPPTPQSALIGGYKDRCDGLMQFQFLPIVAASAVEQMRVSWGPVVDRFLSYSQNFTFGEGDFKPEGIQELENSRDGASYLYSRAQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPAQAQVHLSHDPGPSKRAHSASATKNTGKNRLVAESRCDSRSPLLDCSLCGATVRILDFLTVPRPACFAPNNIDIPDASKKMALTRGVSAASGISGWVAVDDTEKEPTEDRDEVATTDKGKLLQNTEVDLNLTMAGSLPFYLPDKAAIPESVRHLEMGRDLIIGQPSGSEVGDRAASYESRGPTRKRSLEIGGSSDNRPHLMMQPVDSVEGTVIDRDGDEVTDGGQFSAGPSKRARDSDFDTHCSPCQRDSCGAGPSHSVGMEIYADGNMVNLFRQGSDQVVGIPSARDSTRASSVIAMDTVCHSTDDSMESVENYPGDIDDVHFPSSSTHGNLDMNETSELNYSNQAQQSISVKYAAEVAHGEMGVSSTNDGEEIFNAETVTVQARDGPSFGISGGSVGMCDSHEAEIRGVDVSVHRTDSVVGDVEPRVEDVENQGQTGESAPGPGLMDEVVPDEINREDPHGGDSQEMFSRSVERADSGSKIDGSAKAESVESGEKASQSCKLALGNNDGPSLSCNANMYSGYQTTKKGVGKAGKSSSTNNGIVWLMHHSYLIFRATKR >Manes.17G074000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27405245:27415134:1 gene:Manes.17G074000.v8.1 transcript:Manes.17G074000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTMDPTPAASSAGASSPAVPANTHASKAASLSGVGSQLPWTSLSTSAGGSVLGSSRPSCRPWERGDLLRRLATFKPSNWFGKPKIASSLACAQRGWMNIEIDKIVCESCGACLSFVLLPSWTPTEVESAGEVFARQLDDGHKTSCPWKGNSCPESLVQFPPTPQSALIGGYKDRCDGLMQFQFLPIVAASAVEQMRVSWGPVVDRFLSYSQNFTFGEGDFKPEGIQELENSRDGASYLYSRAQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPAQAQVHLSHDPGPSKRAHSASATKNTGKNRLVAESRCDSRSPLLDCSLCGATVRILDFLTVPRPACFAPNNIDIPDASKKMALTRGVSAASGISGWVAVDDTEKEPTEDRDEVATTDKGKLLQNTEVDLNLTMAGSLPFYLPDKAAIPESVRHLEMGRDLIIGQPSGSEVGDRAASYESRGPTRKRSLEIGGSSDNRPHLMMQPVDSVEGTVIDRDGDEVTDGGQFSAGPSKRARDSDFDTHCSPCQRDSCGAGPSHSVGMEIYADGNMVNLFRQGSDQVVGIPSARDSTRASSVIAMDTVCHSTDDSMESVENYPGDIDDVHFPSSSTHGNLDMNETSELNYSNQAQQSISVKYAAEVAHGEMGVSSTNDGEEIFNAETVTVQARDGPSFGISGGSVGMCDSHEAEIRGVDVSVHRTDSVVGDVEPRVEDVENQGQTGESAPGPGLMDEVVPDEINREDPHGGDSQEMFSRSVERADSGSKIDGSAKAESVESGEKASQSCKLALGNNDGPSLSCNANMYSGYQTTKKGVGKAGKSSSTNNGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSSRSSGNNADADALCGWQLTLEALDALQSLGHIPIQTVQSESAASLYKDDHQTPGQLLRRHSMNRSHGQH >Manes.17G074000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27405417:27415134:1 gene:Manes.17G074000.v8.1 transcript:Manes.17G074000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTMDPTPAARYQITRLPSFLPRSAGASSPAVPANTHASKAASLSGVGSQLPWTSLSTSAGGSVLGSSRPSCRPWERGDLLRRLATFKPSNWFGKPKIASSLACAQRGWMNIEIDKIVCESCGACLSFVLLPSWTPTEVESAGEVFARQLDDGHKTSCPWKGNSCPESLVQFPPTPQSALIGGYKDRCDGLMQFQFLPIVAASAVEQMRVSWGPVVDRFLSYSQNFTFGEGDFKPEGIQELENSRDGASYLYSRAQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPAQAQVHLSHDPGPSKRAHSASATKNTGKNRLVAESRCDSRSPLLDCSLCGATVRILDFLTVPRPACFAPNNIDIPDASKKMALTRGVSAASGISGWVAVDDTEKEPTEDRDEVATTDKGKLLQNTEVDLNLTMAGSLPFYLPDKAAIPESVRHLEMGRDLIIGQPSGSEVGDRAASYESRGPTRKRSLEIGGSSDNRPHLMMQPVDSVEGTVIDRDGDEVTDGGQFSAGPSKRARDSDFDTHCSPCQRDSCGAGPSHSVGMEIYADGNMVNLFRQGSDQVVGIPSARDSTRASSVIAMDTVCHSTDDSMESVENYPGDIDDVHFPSSSTHGNLDMNETSELNYSNQAQQSISVKYAAEVAHGEMGVSSTNDGEEIFNAETVTVQARDGPSFGISGGSVGMCDSHEAEIRGVDVSVHRTDSVVGDVEPRVEDVENQGQTGESAPGPGLMDEVVPDEINREDPHGGDSQEMFSRSVERADSGSKIDGSAKAESVESGEKASQSCKLALGNNDGPSLSCNANMYSGYQTTKKGVGKAGKSSSTNNGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSSRSSGNNADADALCGWQLTLEALDALQSLGHIPIQTVQSESAASLYKDDHQTPGQLLRRHSMNRSHGQH >Manes.17G074000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27405245:27415134:1 gene:Manes.17G074000.v8.1 transcript:Manes.17G074000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTMDPTPAASSAGASSPAVPANTHASKAASLSGVGSQLPWTSLSTSAGGSVLGSSRPSCRPWERGDLLRRLATFKPSNWFGKPKIASSLACAQRGWMNIEIDKIVCESCGACLSFVLLPSWTPTEVESAGEVFARQLDDGHKTSCPWKGNSCPESLVQFPPTPQSALIGGYKDRCDGLMQFQFLPIVAASAVEQMRVSWGPVVDRFLSYSQNFTFGEGDFKPEGIQELENSRDGASYLYSRAQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPAQAQVHLSHDPGPSKRAHSASATKNTGKNRLVAESRCDSRSPLLDCSLCGATVRILDFLTVPRPACFAPNNIDIPDASKKMALTRGVSAASGISGWVAVDDTEKEPTEDRDEVATTDKGKLLQNTEVDLNLTMAGSLPFYLPDKAAIPESVRHLEMGRDLIIGQPSGSEVGDRAASYESRGPTRKRSLEIGGSSDNRPHLMMQPVDSVEGTVIDRDGDEVTDGGQFSAGPSKRARDSDFDTHCSPCQRDSCGAGPSHSVGMEIYADGNMVNLFRQGSDQVVGIPSARDSTRASSVIAMDTVCHSTDDSMESVENYPGDIDDVHFPSSSTHGNLDMNETSELNYSNQAQQSISVKYAAEVAHGEMGVSSTNDGEEIFNAETVTVQARDGPSFGISGGSVGMCDSHEAEIRGVDVSVHRTDSVVGDVEPRVEDVENQGQTGESAPGPGLMDEVVPDEINREDPHGGDSQEMFSRSVERADSGSKIDGSAKAESVESGEKASQSCKLALGNNDGPSLSCNANMYSGYQTTKKGVGKAGKSSSTNNGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSSRSSGNNADADALCGWQLTLEALDALQSLGHIPIQTVQSESAASLYKDDHQTPGQLLRRHSMNRSHGQH >Manes.17G074000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27405417:27415134:1 gene:Manes.17G074000.v8.1 transcript:Manes.17G074000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTMDPTPAARYQITRLPSFLPRSAGASSPAVPANTHASKAASLSGVGSQLPWTSLSTSAGGSVLGSSRPSCRPWERGDLLRRLATFKPSNWFGKPKIASSLACAQRGWMNIEIDKIVCESCGACLSFVLLPSWTPTEVESAGEVFARQLDDGHKTSCPWKGNSCPESLVQFPPTPQSALIGGYKDRCDGLMQFQFLPIVAASAVEQMRVSWGPVVDRFLSYSQNFTFGEGDFKPEGIQELENSRDGASYLYSRAQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPAQAQVHLSHDPGPSKRAHSASATKNTGKNRLVAESRCDSRSPLLDCSLCGATVRILDFLTVPRPACFAPNNIDIPDASKKMALTRGVSAASGISGWVAVDDTEKEPTEDRDEVATTDKGKLLQNTEVDLNLTMAGSLPFYLPDKAAIPESVRHLEMGRDLIIGQPSGSEVGDRAASYESRGPTRKRSLEIGGSSDNRPHLMMQPVDSVEGTVIDRDGDEVTDGGQFSAGPSKRARDSDFDTHCSPCQRDSCGAGPSHSVGMEIYADGNMVNLFRQGSDQVVGIPSARDSTRASSVIAMDTVCHSTDDSMESVENYPGDIDDVHFPSSSTHGNLDMNETSELNYSNQAQQSISVKYAAEVAHGEMGVSSTNDGEEIFNAETVTVQARDGPSFGISGGSVGMCDSHEAEIRGVDVSVHRTDSVVGDVEPRVEDVENQGQTGESAPGPGLMDEVVPDEINREDPHGGDSQEMFSRSVERADSGSKIDGSAKAESVESGEKASQSCKLALGNNDGPSLSCNANMYSGYQTTKKGVGKAGKSSSTNNGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSSRSSGNNADADALCGWQLTLEALDALQSLGHIPIQTVQSESAASLYKDDHQTPGQLLRRHSMNRSHGQH >Manes.01G030800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6132190:6133912:1 gene:Manes.01G030800.v8.1 transcript:Manes.01G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHSSFASSSQTHILILMFIWVLMSGTLVHGQGTRVGFYATSCPRAESIVSTTVARHFRSNAAIAPGLLRMHFHDCFVRGCDASVLIDGSNTEKTAPPNLGLKGFEVIDDAKTQLEAACPGIVSCSDILALAARDSVVLTGGGSWLVPTGRRDGRVTSASETTDLPGFRESIDAQKQKFSAKGLNTQDLVVLVGGHTIGTTACQFFSYRLYNFNSTSTSDPSINPSFLPQLKALCPQNGDGTKRVALDTDSSNRFDATFFDNLRNGRGILESDQKLWTDASTRIVVQRFVGLGGLVAFNAEFGRSMVKMSNIGVKTGSDGEIRKICSAINS >Manes.11G003700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:486139:489952:-1 gene:Manes.11G003700.v8.1 transcript:Manes.11G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann8 MATIRMPDTVPSPSQDCESLRKAVQGLGTDEKAIIRILGHRNASQRKKIRDTYQQLYNESLIDRLHSELSGDFRKAVILWTYDPAERDAKLANEALNAKNKTTNELQVIVEIACANSPQHLLAVRQAYCSLFDCSLEEDIASQAPLPLRKLLVGLVSSYRYDKEQVNMNISISEASKLHEAIKRKQLDHDDIVYVLSTRNVYQLRAMFECYQQNFGNPIDQDIKSCGNGVLESLLRVVIWCIQSPEKHFAEVIRNSISGLGTDEGSLTRAIVTRAEIDTMKIRGEYFNIYKTNLDGEVIGDTSGDYKDFLMTLLGAKI >Manes.17G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22586264:22590552:-1 gene:Manes.17G031200.v8.1 transcript:Manes.17G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYVVYYSMYGHVEKLAEEIKKGAASVEGVEVKLWQVPETLSEEVLGKMGAPSKSDVPIITPSELAEADGILLGFPTRFGMMAAQFKAFMDATGGLWRSQALAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEVVKGGSPYGSGTYAGDGSRQPSQLELEQAFHQGKYFAGITKKFKAAA >Manes.18G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4708029:4713156:1 gene:Manes.18G055100.v8.1 transcript:Manes.18G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLYSPSANAHAPLFLNASSIPIPTLTKPHFAPPPSHHLLYFSSIRNRSSFVLRFSSLSDMETTADQGLYPLHRTKTVHLVRHAQGIHNVEGEKDFSAYLSEALFDAHLTPLGWQQVDNLCKHVRESGLNKKIELVITSPLLRTMQTAVGVFGGEGYADGIEAPPLMVANAGESNHPAISSLNSPPFVAVELCREHLGVHPCDRRRSISEYKPLFPAIDFSLIENDTDVLWTADVREKNEEVAARGQKFLNWLWSRKEKEIAVVTHSGFLYHTLSAFGNDCHPSVKSEICTHFKNCELRSVVIIDRSMMGSDPATTNYSGKIPSGLDLPSDVAQEKHPEIGSV >Manes.06G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25822435:25824025:1 gene:Manes.06G129000.v8.1 transcript:Manes.06G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKPTLLLLALGVGLILGILAGCCHGSLQVGFYVGKCGFADVEALVAGVISAHFLRDPTIVAALLRLQFHDCFVNGCDGSILIDGINSEKTAPPNLSVRGYDIIDEAKAVVDNACPGVVSCADLIAIATRDAVFLSGGGRYEVETGRRDGLVSIAQNVSILGPKISVPDAIAAFADKGLSATDMVLLLGAHSVGVAHCSLIKHRLYNFQGTGNHDPAMDPFLVNILRSRCPQISPIDNTVNLDQNPLSPFLMDVSYYQNIMLHRGILQIDQQLGMDPLTMPIVRNLASEFDFPTRFGAAMVKLGAIGVLTGTQGEIRTSCRATN >Manes.03G154200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28193342:28193740:1 gene:Manes.03G154200.v8.1 transcript:Manes.03G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAQIMLLMLVMSSVSGATGFILQKKRTINITNELGTNNELRLHCKSKNDDLGEQLLPYKGFWYFKFRPNFWGTTDFYCSMSWEQVSHSFDIYVDSRDDLKCFVCQWIIQATGPCLWNKDTQQFDICFPWNE >Manes.10G014600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1476728:1480369:-1 gene:Manes.10G014600.v8.1 transcript:Manes.10G014600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRPGKVYGMDGWPFIEEASYRVLLDNMLEKVEEEEKKKGDSLPSSNGGDGSNAKASCAGPSSEVLLPTCSSEEAIAFHLQANADLGMASEKNNNKTPFTALQADTSEYKDINIDQCYREKTISNSRERNIGSPEVVEALPIRWRRPYHGWISSDDDEELVELTPAPLDETFAKILNSTDVSRKGKRRWDARRQDI >Manes.10G014600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1476691:1480378:-1 gene:Manes.10G014600.v8.1 transcript:Manes.10G014600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRPGKGQMRMDAAIDAMQSYGFRRELIVTTVKELLNVYGMDGWPFIEEASYRVLLDNMLEKVEEEEKKKGDSLPSSNGGDGSNAKASCAGPSSEVLLPTCSSEEAIAFHLQANADLGMASEKNNNKTPFTALQADTSEYKDINIDQCYREKTISNSRERNIGSPEVVEALPIRWRRPYHGWISSDDDEELVELTPAPLDETFAKILNSTDVSRKGKRRWDARRQDI >Manes.10G014600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1476733:1480305:-1 gene:Manes.10G014600.v8.1 transcript:Manes.10G014600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRPGKGQMRMDAAIDAMQSYGFRRELIVTTVKELLNVYGMDGWPFIEEASYRVLLDNMLEKVEEEEKKKGDSLPSSNGGDGSNAKASCAGPSSEVLLPTCSSEEAIAFHLQANADLGMASEKNNNKTPFTALQADTSEYKDINIDQCYREKTISNSRERNIGSPEVVEALPIRWRRPYHGWISSDDDEELVELTPAPLDETFAKILNSTDVSRKGKRRWDARRQDI >Manes.10G014600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1476708:1480376:-1 gene:Manes.10G014600.v8.1 transcript:Manes.10G014600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDAAIDAMQSYGFRRELIVTTVKELLNVYGMDGWPFIEEASYRVLLDNMLEKVEEEEKKKGDSLPSSNGGDGSNAKASCAGPSSEVLLPTCSSEEAIAFHLQANADLGMASEKNNNKTPFTALQADTSEYKDINIDQCYREKTISNSRERNIGSPEVVEALPIRWRRPYHGWISSDDDEELVELTPAPLDETFAKILNSTDVSRKGKRRWDARRQDI >Manes.13G014000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1961034:1962455:1 gene:Manes.13G014000.v8.1 transcript:Manes.13G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINVKIVKKEIIKPSSPTLDYLRNFKLSLLDQFSPAAYASMLLFYSVNGTADQDFDVFERSQQLKRSLSETLTRFYPLAGRIKDNAIIECNDEGALFVEARVDCLLSKFLEKPNNQLTRKLIPVDIVGFSEEHKGSVLLLVQASFFSCGGLAIGVSISHKIADASTVNTFIKGWAAAAHEAADEQKQLPLLYASSIFPPQNLPFHRMSTVKLNEDKCITERYVIEASKIAALKTKAGSESVRDPTKVEAVTAFIWKCAMKASRSNSKQCRPSALAQSVNLRKRMEPPLPENTIGNLMGHFASRATESSEIDLASLVVQMRKGMQDFGENYVKKLQGDNPLVAITEALREFGSLLHAGNDTDFYIFTSLCRFPFYGIDFGWGKPIWVSSPRDAFKNIVALIDSRDGDGIEAWVTLTEEDMAFFEGDEELLEVAALNPSVMH >Manes.06G162500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29007289:29016768:1 gene:Manes.06G162500.v8.1 transcript:Manes.06G162500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLNSTDSCGNGDASFSCSQPDNGLNDSLSLFHSEKAVQELLQQNLIQGTDDHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERERNLRLQRKGYNICEMLRSWWTQTSLCNANALIRLMLPCVVCWEVWKEWNRRVFEENSPEEHNDDFNEERTENSTNQSVLSSHINKKSENCCTNEICSHEILRDGETDSDSKMIQNRMMRKASFKLSWCCKSENSDQHKHDVVSFERGNITTAGRSSRQISLKWGSNPQTVLIMTKPNSTSVQILCADMVRWLKEHKRLNIYVEPRVRGELLTESSYFNFVQTWKDDEEILLLHAKVDIVVTLGGDGTVLWVASMFKGPVPPIVPFSLGSLGFMTPFYSQHYRDSLDSILKGPISITLRHRLQCHVIRDAATDEVETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLKPGDVLVCSMAPWPVPTACQVDSTNDFLHSIHEGLHWNLRKTQSFDGPRDQ >Manes.06G162500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29007289:29016768:1 gene:Manes.06G162500.v8.1 transcript:Manes.06G162500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLNSTDSCGNGDASFSCSQPDNGLNDSLSLFHSEKAVQELLQQNLIQGTDDHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERERNLRLQRKGYNICEMLRSWWTQTSLCNANALIRLMLPCVVCWEVWKEWNRRVFEENSPEEHNDDFNEERTENSTNQSVLSSHINKKSENCCTNEICSHEILRDGETDSDSKMIQNRMMRKASFKLSWCCKSENSDQHKHDVVSFERGNITTAGRSSRQISLKWGSNPQTVLIMTKPNSTSVQILCADMVRWLKEHKRLNIYVEPRVRGELLTESSYFNFVQTWKDDEEILLLHAKVDIVVTLGGDGTVLWVASMFKGPVPPIVPFSLGSLGFMTPFYSQHYRDSLDSILKGPISITLRHRLQCHVIRDAATDEVETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLKPGDVLVCSMAPWPVPTACQVDSTNDFLHSIHEGLHWNLRKTQSFDGPRDQ >Manes.06G162500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29007289:29016768:1 gene:Manes.06G162500.v8.1 transcript:Manes.06G162500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLNSTDSCGNGDASFSCSQPDNGLNDSLSLFHSEKAVQELLQQNLIQGTDDHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERERNLRLQRKENSPEEHNDDFNEERTENSTNQSVLSSHINKKSENCCTNEICSHEILRDGETDSDSKMIQNRMMRKASFKLSWCCKSENSDQHKHDVVSFERGNITTAGRSSRQISLKWGSNPQTVLIMTKPNSTSVQILCADMVRWLKEHKRLNIYVEPRVRGELLTESSYFNFVQTWKDDEEILLLHAKVDIVVTLGGDGTVLWVASMFKGPVPPIVPFSLGSLGFMTPFYSQHYRDSLDSILKGPISITLRHRLQCHVIRDAATDEVETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLKPGDVLVCSMAPWPVPTACQVDSTNDFLHSIHEGLHWNLRKTQSFDGPRDQ >Manes.06G162500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29007274:29016773:1 gene:Manes.06G162500.v8.1 transcript:Manes.06G162500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLNSTDSCGNGDASFSCSQPDNGLNDSLSLFHSEKAVQELLQQNLIQGTDDHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERERNLRLQRKENSPEEHNDDFNEERTENSTNQSVLSSHINKKSENCCTNEICSHEILRDGETDSDSKMIQNRMMRKASFKLSWCCKSENSDQHKHDVVSFERGNITTAGRSSRQISLKWGSNPQTVLIMTKPNSTSVQILCADMVRWLKEHKRLNIYVEPRVRGELLTESSYFNFVQTWKDDEEILLLHAKVDIVVTLGGDGTVLWVASMFKGPVPPIVPFSLGSLGFMTPFYSQHYRDSLDSILKGPISITLRHRLQCHVIRDAATDEVETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLKPGDVLVCSMAPWPVPTACQVDSTNDFLHSIHEGLHWNLRKTQSFDGPRDQ >Manes.06G162500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29007274:29016768:1 gene:Manes.06G162500.v8.1 transcript:Manes.06G162500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLSGYNICEMLRSWWTQTSLCNANALIRLMLPCVVCWEVWKEWNRRVFEENSPEEHNDDFNEERTENSTNQSVLSSHINKKSENCCTNEICSHEILRDGETDSDSKMIQNRMMRKASFKLSWCCKSENSDQHKHDVVSFERGNITTAGRSSRQISLKWGSNPQTVLIMTKPNSTSVQILCADMVRWLKEHKRLNIYVEPRVRGELLTESSYFNFVQTWKDDEEILLLHAKVDIVVTLGGDGTVLWVASMFKGPVPPIVPFSLGSLGFMTPFYSQHYRDSLDSILKGPISITLRHRLQCHVIRDAATDEVETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLKPGDVLVCSMAPWPVPTACQVDSTNDFLHSIHEGLHWNLRKTQSFDGPRDQ >Manes.08G042100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4110592:4112392:-1 gene:Manes.08G042100.v8.1 transcript:Manes.08G042100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVFISAFFFFFFFSSTVLTFSLATAKSHSFSRILSPASFGLKKEKLSHLHFYFHDIVSGQNPSAVKIVVPPNNASSTGFGMVAMIDDPLTVKPDLSSKLVGRAQGIYASAAQGEDGLLMVVNFAFTEGKYNGSCLSVLGRNVVLLTVREMPIIGGSGIFRFARGYVQARTHTYNPKTGDAVVEYDAYVFHY >Manes.08G042100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4110650:4112392:-1 gene:Manes.08G042100.v8.1 transcript:Manes.08G042100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVFISAFFFFFFFSSTVLTFSLATAKSHSFSRILSPASFGLKKEKLSHLHFYFHDIVSGQNPSAVKIVVPPNNASSTGFGMVAMIDDPLTVKPDLSSKLVGRAQGIYASAAQGEDGLLMVVNFAFTEGKYNGSCLSVLGRNVVLLTVREMPIIGGSGIFRFARGYVQARTHTYNPKTGDAVVEYDAYVFHY >Manes.11G071200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10301710:10306550:1 gene:Manes.11G071200.v8.1 transcript:Manes.11G071200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWNQHSRVNLAELKTQIVKKIGVERTKLYFYYLNKFLNLKVSKIEFNKLCFRVLGRENIPLHNHFICSILKNACNAKISPQLNHDKEVPISTRDGSHTFLNGKTDFASYPSIITGNNIASEDGILKPVQHHQVLLEKADREGEVLFHHQNKLLPAKRSTDGSLSIQSREQSEVSVVEDRKETSTLSSLVAPLGIPFCSASVASNARCTSSHDIGGLLDSRSLREKMQQIAVAQGLGEVSMDSANLLNIGLDAYLKGLIKSCIELVGTRCGCNLVTKSSHKHNSHMKLVNGCFPDHRMQMQSSSRLLDGVQEQRSHFSISLLDFKVAMELNPQQLGEDCPLLLEKIMHVIEE >Manes.11G071200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10301710:10306550:1 gene:Manes.11G071200.v8.1 transcript:Manes.11G071200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWNQHSRVNLAELKTQIVKKIGVERTKLYFYYLNKFLNLKVSKIEFNKLCFRVLGRENIPLHNHFICSILKNACNAKISPQLNHDKEVPISTRDGSHTFLNGKTDFASYPSIITGNNIASEDGILKPVQHHQVLLEKADREGEVLFHHQNKLLPAKRSTDGSLSIQSREQSEVSVVEDRKETSTLSSLVAPLGIPFCSASVASNARCTSSHDIGGLLDSRSLREKMQQIAVAQGLGEVSMDSANLLNIGLDAYLKGLIKSCIELVGTRCGCNLVTKSSHKHNSHMKLVNGCFPDHRMQMQSSSRLLDGVQEQRSHFSISLLDFKVAMELNPQQLGEDCPLLLEKIMHVIEE >Manes.09G155700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35146103:35148353:-1 gene:Manes.09G155700.v8.1 transcript:Manes.09G155700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPLQTLFHFPPILQSPSSKRWRDCQFASLTVKRLPRRGLLKASGFNTILLCVSPVFAAPIPEVKDEPPVIRTLKLDSGVRIQEIIEGEGPKAEEGDVVEINYVCRRSNGYFVHSTVDQFSGESSPVILPLDENGAKCSRQKGTHFFLECVIIFFC >Manes.09G155700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35146103:35148353:-1 gene:Manes.09G155700.v8.1 transcript:Manes.09G155700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPLQTLFHFPPILQSPSKRWRDCQFASLTVKRLPRRGLLKASGFNTILLCVSPVFAAPIPEVKDEPPVIRTLKLDSGVRIQEIIEGEGPKAEEGDVVEINYVCRRSNGYFVHSTVDQFSGESSPVILPLDENGIIKGLKEVLIGMKAGGKRRALIPPSVGYINENLKPVPEEFGPRRSLFAHANEPLIFEVQLLKVL >Manes.09G155700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35146103:35148353:-1 gene:Manes.09G155700.v8.1 transcript:Manes.09G155700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPLQTLFHFPPILQSPSSKRWRDCQFASLTVKRLPRRGLLKASGFNTILLCVSPVFAAPIPEVKDEPPVIRTLKLDSGVRIQEIIEGEGPKAEEGDVVEINYVCRRSNGYFVHSTVDQFSGESSPVILPLDENGIIKGLKEVLIGMKAGGKRRALIPPSVGYINENLKPVPEEFGPRRSLFAHANEPLIFEVQLLKVL >Manes.12G110801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30806149:30813206:1 gene:Manes.12G110801.v8.1 transcript:Manes.12G110801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYLRDQKYVDLLTKFLSLKVNKSDFDRLCTGTVGRENVLLHNLLLRSIIKEAQFFKTLPTKESKAKGDLSGKVPNRYKKVAFNPYVAIFSNLLVQGGQFLVHASLGITIALLALMRRATLLHLKIQSLKTKNRVLLSCFLCAVDPHALWKMEKRMIRLLEAPTFVVGAPLELLLVSPLMLKGHEKCFIMT >Manes.S025416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:356968:357780:-1 gene:Manes.S025416.v8.1 transcript:Manes.S025416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G041801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3232049:3234035:1 gene:Manes.15G041801.v8.1 transcript:Manes.15G041801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPILAYFAIAENESIGRERYNFMQKMLLPCGLPPEREDD >Manes.08G117700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35722208:35727052:-1 gene:Manes.08G117700.v8.1 transcript:Manes.08G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFRALPINYSIPYSTTNFQSLNRRNLRINSSSIGARNSAKVPMPPINPKDPFLSKLASLAANSPEKLLERPVSSDTPPYLDLFDSPQLMASPAQVERSVSYNEHRPRKPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAELMYLQWVDPKEPIYLYINSTGTTRDDGETVGMETEGFAIYDSIMQLKNEIHTVAVGAAIGHACLLLAAGTKGKRFMMPHAKAMIQQPKVPSSGLMPASDVLIRAKEAITNRDTLIELLAKHTENSVETVADVMKRPFYMDSRRAKEFGVIDKILWRGQEKIMADVISPEEWDKVAGLKIADPF >Manes.10G131603.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29720534:29721211:-1 gene:Manes.10G131603.v8.1 transcript:Manes.10G131603.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKGNVPPSGGNPPIHNPPPPALRDESFRMLSKGFVPPSGQSPPIHNPPPLGHFGMLPKGNVPPSGGNPPIHNPPPPALRDESFRMLSKGFVPPSGQSPPIHNPPLFGHFGMLPKGNVPPSGGNPPIHNPPPPALRDESFRMLSKGFVPPSGQSGQSPPIHNPPPLGHFGMLPKGNVPPSGGNPPIHNPPPPALRDESFRMLSKGFVPPSGQSPPIHNPPPLNH >Manes.07G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32270460:32272222:-1 gene:Manes.07G117200.v8.1 transcript:Manes.07G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSAFGVVSVVLLLTLADARIPGVYTGGSWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPQWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAEYHAGIVPVSYRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVKASVKGSKTGWMSMSRNWGQNWQSNSILVGQSLSFRVTGSDRRSSTSWNIVPSNWQFGQTFIGKNFRV >Manes.17G035200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21748200:21749006:-1 gene:Manes.17G035200.v8.1 transcript:Manes.17G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFFEPQKGLPFTIEVGYFDTVLEIKEKVQQYQGIPVNKQTLVFNGQVLEDDRDIEYCEVLHNSHIQLLIVSDNDNQPQVKIEDSSPSNKIQLSIKTPSSKVLVPLQMDLDDTILQLKENIHEMEPVPIQRLMLQFNGGELQDNRSLRESELVDKIEINVNIRPSPTNSGTGSTGIATTGTKKLKLMVLPKCGTKKIPIEVNASDNVGELRKELQKLNQRLHFHLPPEGYIFIYKQNVMDDDRSFKWHHVYQGDTIEIFNGSVTGGS >Manes.15G134500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10852134:10866117:1 gene:Manes.15G134500.v8.1 transcript:Manes.15G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMESLIGLVNRIQRACTVLGDHGGEGMSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKIEDGKSEYAEFLHAPKKRFTDFAAVRKEIADETDRITGKSKQISNIPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVEDIENMVRSYVEKPNSIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIAARRKEREYFETSPEYGHLASKMGAEYLAKLLSKHLETVIRQRIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPSALKKLPFDRHLSLKNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEASVDAVHFILKELVRKSIAETEELKRFPTLQSDIAAAANEALERFRDESRRTVLRLVDMESSYLTVEFFRKIHLEGEKNTNPNSNSNPNAPGPNADRFADYHFRKIGSNVSSYIGMVCDTLKNSIPKAVVYCQVREAKRSLLNQFYAQVGRREKERLGAMLDEDPQLMEKRAAIAKRLELYKSARDEIDSVAWK >Manes.01G169950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35241173:35241637:-1 gene:Manes.01G169950.v8.1 transcript:Manes.01G169950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVAIFTLIDVIEMAKKAKERVDWQPRYQSNRNFNYIILIETQQYRGNYNGQPSRVINSGNPQNTVEERRDSKGKIVINTADKGDKINPYQKPTGDLCCRCRQLGHRSNNCLECKGVNNDR >Manes.15G076500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5769773:5773544:1 gene:Manes.15G076500.v8.1 transcript:Manes.15G076500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVDQKDESFYTVSWACSIDGIPFIVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLVVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFIASVHSNYVDCNRWLGDFMLSKSVDNEIVLWEPKMKEQSPGEGSVDILQKYPVPECDIWFIKFSCDFHYYAAAIGNREGKIYVWELQSSPPVLIARLSHNQSKSAIRQTAMSFDGSTILSCCEDGTIWRWDATSSS >Manes.15G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5768940:5773544:1 gene:Manes.15G076500.v8.1 transcript:Manes.15G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALGCDPVVGSLASSKKREYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSFVDEDKDESFYTVSWACSIDGIPFIVAGGINGIIRVIDASNEKIHKSFVGHGDSINEIRTQPLKPSLVVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFIASVHSNYVDCNRWLGDFMLSKSVDNEIVLWEPKMKEQSPGEGSVDILQKYPVPECDIWFIKFSCDFHYYAAAIGNREGKIYVWELQSSPPVLIARLSHNQSKSAIRQTAMSFDGSTILSCCEDGTIWRWDATSSS >Manes.03G056201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5486174:5487412:1 gene:Manes.03G056201.v8.1 transcript:Manes.03G056201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIRVELSSSSISVDLLYEEAVSMTTSGLLPMSNAPSSRVTWFSLIGLGSLFRLSYRYLRNLALSSMCIIIVKAGVWMEMALEVAISLFLIP >Manes.03G027750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2259948:2261629:1 gene:Manes.03G027750.v8.1 transcript:Manes.03G027750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYLLKMKQEPPRTPTMITYSSPSLIVIFLPDYTGSTLNTILSPSLCVKGSAYIPLNGSLFFSHPILLADQCPHCLHQKMPLIPLHTQSIHFHGPLITSNKLYPFLFIAFICNTYSNFLFLIIIFSYNIMFYISIHSYFLSLMYRFTSMFYISTSSHFFFLIIKYCSSATPFIQTTTIL >Manes.12G104600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28409988:28421829:-1 gene:Manes.12G104600.v8.1 transcript:Manes.12G104600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACLADYRSLCRAPLPIGYLYLYLLLSSAFIAHAQVLDPCTAATNCGPGLFCGNCPALGKNQPVCTRGQAIIPTTIIDGLPFNKYTWLVTHNSFSIVDAQPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFEDDIWLCHSFRGQCFNFTAFGPAINTLREVEAFLSENPTEIVTIIIEDYVHTPKGLTNLFTNAGLDKYWFPVSNMPKKGDDWPTVTQMVQNNHRLLVFTSIASKEAEEGIAYQWRYILENESGDPGVKPGSCPNRKESKPLNSRSASLFLENYFPTYPVESEACKEHSIPLAQMVGTCYKAAGNMMPNFLAVNFYMRSDGGGVFDVLDRMNGLSLCGCSTVTACQAGASFGSCKNVSVPSTSPVTTATGSFSGSVQFARSASTIHSPIFVVLYLCSLPLIAFIFRMQ >Manes.12G104600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28417198:28421829:-1 gene:Manes.12G104600.v8.1 transcript:Manes.12G104600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFACLADYRSLCRAPLPIGYLYLYLLLSSAFIAHAQVLDPCTAATNCGPGLFCGNCPALGKNQPVCTRGQAIIPTTIIDGLPFNKYTWLVTHNSFSIVDAQPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFEDDIWLCHSFRGQCFNFTAFGPAINTLREVEAFLSENPTEIVTIIIEDYVHTPKGLTNLFTNAGLDKYWFPVSNMPKKGDDWPTVTQMVQNNHRLLVFTSIASKEAEEGIAYQWRYILENESGDPGVKPGSCPNRKESKPLNSRSASLFLENYFPTYPVESEACKEHSIPLAQMVGTCYKAAGNMMPNFLAVNFYMRSDGGGVFDVLDRMNGLSLCGCSTVTACQAGASFGSCKNVSVPSTSPVTTATGSFSGSVQFARSASTIHSPIFVVLYLCSLPLIAFIFRMQ >Manes.03G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1840106:1843987:-1 gene:Manes.03G022200.v8.1 transcript:Manes.03G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSFVTCDDPKGVVECGIIRKSKRASLKMEDKFLSSRTKKNPKSNASLAYKEKKEDMVFREITEEYQIPSSFQLLEVSRGAQKLNQLIDSLSKGLSYDGLSKDIAKELLKGALDLQDSLTMLGKLHEASQCMPQLKKNQEKPERRKYDDVGNHRRDSYLSGDQNHQLGFQKARLSADGSSKDDIEELRNAIRDGFARQNLLLNTSTQERTNSDSPSTSSSQLSVIQSNDTHSSDSSVPQTALQKKVNGPNVIAKLMGLEDIPSKKLMQPPERRLYVEKSLSQRRLTCDIGMPNLRKSQSIIHSERTLKELLENVQSQGFLKSSSAKKSQSHQSSDFHSEQRSFNNIRPIVLVKPLCVPYVESEWTCASIVWGERALNTKLMPRKMKMKEVFASRSIDNKEEVLNAIKMQCRIEANRTPIKRVIQEGAKDDIEVVVMLEEQELRTKEASNVKKKHRKVEAENAPVKRFSFEDRAKDQNRVVARAEEIEVKKNMKDSSITEGSNFATRQRQKKERTDKELVKVQKVVASSRKPAEEEIVKAKIVSGSQNQSKITSTKLRNPENGPVTVNQHISQHQTSTRKTISKLTTQTTYRNSKDQKHKEKQASKHRVAKPIMENLECKDHDARIDLIYNDHSEEEGSTITQADQLSIEEEANDSKIQTEEHCGDNQSSFSIITIRTSEYGKNGKSSEEADDQATSTRTYTNFERACQLKYLLSSSSSFINIARELFRLNMTHPKILQTAVIHNSGETDAKLSLDYANEFIQHKCLPDSQTWFPPLSYMGDSRIQLSLDQLVEEICRGFETLRSYQRPACNCPFTDTLYATLENDMRCKGLVSGIWDLDWRNGFSVEGVEQALTDLEKMVVSELIDEVLS >Manes.04G081350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28235470:28236455:1 gene:Manes.04G081350.v8.1 transcript:Manes.04G081350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHAKQLLRRSNMLQNQSASNFKDVPKGHLAVYVGEDQKKRFIVPVSLLNKPSFQELLRKAEEEFGFSHSMGAITIPCREDIFIDLTSRLNRS >Manes.04G083789.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29244500:29245732:-1 gene:Manes.04G083789.v8.1 transcript:Manes.04G083789.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFSKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFIYKNLLSCSDSTTNSQILVKYDDYRFEYMAFCFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLRLWDDVYKYESNIVLWNPTTSETKILPQSNLSHPPPERFSLEIVEFGFDSTTCDYKVLRIFEYFTRDKQYDYLAEIYSLRDDTWRKLDVSLNSWELPSYKFENGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSRYFWRTILCLNEHVALSLSTDHHVELWVLLEYGVEESWTKLFTVAKPECLEMSLPLGFSRKGELFFSSWNEHFLVWNPPEETISPVRLEGAVHTSIYLQAVPCMESHTSLKGCNKLEDEQNSGDAAQC >Manes.15G073000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5520839:5523146:1 gene:Manes.15G073000.v8.1 transcript:Manes.15G073000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLAAFAFFVPIFLLLAKRRISSKKTPPGSLGIPMIGQSLSLLQHMRANTAEKWLEKRIQKYGPISKMCLFGKPTVFIYGQAANKFVFASDSSTLANSQTESVKTILGDRCLLELSGEEHKRVRNALMSFLKPESLKHYVGKMHQEVRLHIEQNWQGKQEVTVLPLMKTLTFNIICTLLFGIERGTRRDELVDWFQEMIAGMWSIPINLPFTRYNRSLQASKRVQDMLKDLIGEKKLQLQQMGADPKQDLITCLLSMNDGEEISEKEIVDNSVLVMTAGHDTSSVLVTFLIRLLANDPSVYKAVLQEQEEIAKSKHRGEPLTWEDLTKMKYTWRVAMETMRMFPPIFGGFRQAAKDIEFDGYIIPKGWQVSETLISVLFSSSLLKINLKR >Manes.15G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5520839:5523146:1 gene:Manes.15G073000.v8.1 transcript:Manes.15G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLAAFAFFVPIFLLLAKRRISSKKTPPGSLGIPMIGQSLSLLQHMRANTAEKWLEKRIQKYGPISKMCLFGKPTVFIYGQAANKFVFASDSSTLANSQTESVKTILGDRCLLELSGEEHKRVRNALMSFLKPESLKHYVGKMHQEVRLHIEQNWQGKQEVTVLPLMKTLTFNIICTLLFGIERGTRRDELVDWFQEMIAGMWSIPINLPFTRYNRSLQASKRVQDMLKDLIGEKKLQLQQMGADPKQDLITCLLSMNDGEEISEKEIVDNSVLVMTAGHDTSSVLVTFLIRLLANDPSVYKAVLQEQEEIAKSKHRGEPLTWEDLTKMKYTWRVAMETMRMFPPIFGGFRQAAKDIEFDGYIIPKGWQIFWVSSMTQMDDGIFREPQKFDPARFENPSSIPPYCYIPFGGGPRICPGYEFAKIETLVTIHHLVTQFTWKLSSDNRFSRDPMPVPTKGLPIQIVQKKQILQVS >Manes.03G097100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036655:16042423:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036697:16042276:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036697:16042276:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGELAAPVQVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036671:16042276:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036697:16042276:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGELAAPVQVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036663:16042290:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGELAAPVQVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036655:16042423:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGELAAPVQVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036697:16042276:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036655:16042423:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGELAAPVQVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036697:16042276:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGCVYVFYFLLFSSRVLLLLLVYSQSLKRDFDLEVEVPSDGDVMMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036678:16042291:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPSDGDVMMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036697:16042276:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGCVYVFYFLLFSSRVLLLLLVYSQSLKRDFDLEVEVPSDGDVMMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGELAAPVQVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036670:16042291:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGELAAPVQVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036663:16042290:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036670:16042290:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.03G097100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16036655:16042423:1 gene:Manes.03G097100.v8.1 transcript:Manes.03G097100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKAEEHIESEGKRKKKKKLVTPRPACSWVHFSREFIKEYSASHPESSGLKAATKAASDAWKSMSLEEKATYTRRAREVWDNYLSTAPARAPKPRKQTKLVTRCSPGRLFNVLQHLTTEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLQRFNTSGRSLEICGKRIPISPKDVEFVMGLAASGKDVVNSGPDDLIADLRRSYNATNRGISVRLLEERLKAPEAGEEFKRSFVLYALGTLLSPTARLDVSPSFLHFLINIDVIHQYNWGNFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESVSVDGPAALVPTPVPCLSSWGEEEITEREKRERDLGGYGFGEVICKYSCTNMESSEYRNHIDVSPTLKTSTGVAHGSVFEQEGDKIKVANNLMTSSIACRGIDATAESTGKPCRNKEYGCNVTLDYNNDHEETCIFSPCACPLPNCNFTGSSEQLSLHFSSKHWDSGRRFRYNNPLSVSLGINEQFLVLQAEEDGILFLLNKSIESIGNMIMVTCIGPSSSKEKFLYDLVAGRGVSSLRLKSLAEYFPGRVEGFPPIDFLLIPFRFLGYSGQLELEVCIWNSKESGAGCS >Manes.14G093100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7771452:7771901:-1 gene:Manes.14G093100.v8.1 transcript:Manes.14G093100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRILGRTEGCSGNSSNESGWTTYIASPIRENHHHLDDDDDDDHSTDNQADYRKGTYNKVDDGTESDDSMASDASSGPSHLEFPCKINDISVGIGPSKHAITKYSSREKLGRQFKQNEGARPRTKPGKEVESAASDVHGGATVRKAK >Manes.01G063966.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26248621:26250311:1 gene:Manes.01G063966.v8.1 transcript:Manes.01G063966.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAEKHKRAESEESEASSVSAPAPDQMTPVQEGTETVSQVSEKIEDPSREMTSTDRDAELEKRWEENETAKVEHKTHKKLSAVASKKTTKTAHVETKIKKYEEKMEKKKAKYVEKNKNKIAENHKAAEEKKAIIEAKRGENFLKVEETAAIYRSLGYMPRKCLGCFSS >Manes.01G142200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33319444:33323040:1 gene:Manes.01G142200.v8.1 transcript:Manes.01G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVHIKTFSFVFLLFLSPLVSKACHPVDEEALLDFKQRIISDPSQLLSSWTVSTDCCSSWDGVACDVSGRVVNVSRPGLVSGIDFIEDTYMSGTLSPYLGNLSNLQFLDLSNLKDLKGRIPPELGNLSQLAYLFLNSNKLTGSIPMTFGYLSQLKKLYLSDNFISGGLPASVARRWTYLSELGLSGNLLSGTIPSTIGKWIMITKVDLHGNNFSGRIPAGIGKLKNLKFLDLSENKIGGSIPPSIGGLSELVILYLNQNHLTGNIPSSISGLTSMQFCRLSENKLKGTLPPSIGQLSKIQRLILENNKLHGKLPATIGHLTTLTDIFFSNNQFIGKIPSSFGNLLNLQTLDLSRNQLAGQLPPQLAKLQRLQALDLSFNPLELVKIPNWFQELKLFRLILAKTGIEGQLPNWLASSSISVLDLSSNALSGKFPHWIGNMTSLSFLNLSNNGFGSSIPVEFKNLSLLMDLDLHSNKLTGSLNVIFSKEIQDPLGHFNSIDVSNNMFSGPIDDNIGERPTMASITSLILSHNNLGGSIPNSIGKMSELQVLELVNNSLSGEIPVELGDAKKLSTILISRNKLIGGIPEKVINLNELKEFDVSSNRLRGRIPTHKAIIPVSSFKNNPGLCGVPLPPC >Manes.15G154600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12829212:12835958:1 gene:Manes.15G154600.v8.1 transcript:Manes.15G154600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKGVLASLWNFICFLPFFIGLLLLGIIKGIIFCPLVCLIMGIGNSAIILGLWPFHTFWTYYSIIRAKQLGPFLKIVLCIFMPVPLILWPLLSIVGSVVGGALYGFLSPVFATFDAVGEGRTEELYHCFVDGTWDTVKKSCTFVRDFGDCCYHSYSSYMDDLQWQQPPDRTRYEIRLLYLPGAIIAGLLGVMLDFPLISLVALYKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVYSIFLGAYAGVIVYQESSFWFGLCYIVASWAIYDEYSNDILDMPEGSCFPRPKYRKAELTKSASRASSFSNRSSFRNRLNRADSLTPILDLKPFAVLDCLFKECQRYGEDFLSEGLITPEDIEDAKSNKGSTVVSVGLPAYSLLRGLLCSVKANSEGILLNDNETELTSSNRPKDAFFDWFLNPFIIMKDQIKALNLSDEEEDYLCKLVLLSGNPAKLKSASIGLAPESERRRAELDALARRLQGLTKSLSRYPTSRRHFQNLVKTLSENLAKENGENKPNNVTGAFSRSKSAFAAFRKSFKNRSSHNGSDQTGERDVEIV >Manes.04G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30581533:30584167:-1 gene:Manes.04G101300.v8.1 transcript:Manes.04G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVYGSSASKRSPSSSSRFAAESRLLEARVLKTHTLQEMATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMFLKAIDKFNDLVVSVYVTAGHTRFMLLHNPHNDDGIKNFFQEVHELYIKILLNPLYLPGSRITSSHFNTKVRALARKYL >Manes.04G101300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30580926:30584247:-1 gene:Manes.04G101300.v8.1 transcript:Manes.04G101300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMFLKAIDKFNDLVVSVYVTAGHTRFMLLHNPHNDDGIKNFFQEVHELYIKILLNPLYLPGSRITSSHFNTKVRALARKYL >Manes.07G022251.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2454976:2458044:-1 gene:Manes.07G022251.v8.1 transcript:Manes.07G022251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPMGPMKVLKEKVQEPSAPEPEQTCSFERRFLSLRLPGFAVFANYFFFFITFDQQLIGSVFFGFSYQPHHKWHEVSEIHIPTLRK >Manes.17G073100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27358385:27363823:1 gene:Manes.17G073100.v8.1 transcript:Manes.17G073100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSESEKKESKSEGKPWRWVIIGAVIALIVALTTASITTSKISQFGQHCSCPQRYSGMVEDCCCDYETVDRLNEELLYPSLQDLVKTPFFRYFKVKLQCDCPFWPDDGMCHLRDCSICECPESEFPKSFRAPFHQGLPLDKLQCQEGKPQAAVDWTLDSKTFRGWTDIDNPWTNDDETDNAEMTYVNLQLNPEQYTGYSGPSARRIWDAIYSENCPKYPSEELCQEERILYKLISGLHSSISIHIADRYLLDESKNLWGRNDTLMYDRVVRYPDRVRNLYFTYLFVLRAVMKAADYLEQAEYDTGNPTEDLKAHSLMRQLLYNPKLQAACPLPFDEAKLWKGQRGPELKQKIQGEFRNISALMDCIGCEKCRLWGKLQVLGLGTALKILFSDNGWDHLGQTLQLQRNEVIALMNLLNRLSESVKLVHEMGSALEMMKGQIAPPIAPSSLWKRMQSLLFRS >Manes.17G073100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27358385:27363824:1 gene:Manes.17G073100.v8.1 transcript:Manes.17G073100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSESEKKESKSEGKPWRWVIIGAVIALIVALTTASITTSKISQFGQHCSCPQRYSGMVEDCCCDYETVDRLNEELLYPSLQDLVKTPFFRYFKVKLQCDCPFWPDDGMCHLRDCSICECPESEFPKSFRAPFHQGLPLDKLQCQEGKPQAAVDWTLDSKTFRGWTDIDNPWTNDDETDNAEMTYVNLQLNPEQYTGYSGPSARRIWDAIYSENCPKYPSEELCQEERILYKLISGLHSSISIHIADRYLLDESKNLWGRNDTLMYDRVVRYPDRVRNLYFTYLFVLRAVMKAADYLEQAEYDTGNPTEDLKAHSLMRQLLYNPKLQAACPLPFDEAKLWKGQRGPELKQKIQGEFRNISALMDCIGCEKCRLWGKLQVLGLGTALKILFSDNGWDHLGQTLQLQRNEVIALMNLLNRLSESVKLVHEMGSALEMMKGQIAPPIAPSSLWKRMQSLLFRS >Manes.15G144800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11888752:11902242:1 gene:Manes.15G144800.v8.1 transcript:Manes.15G144800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMERIQDLIEEAKVRTVCWALCIFSVTYFLSHTSSSMWMNLPISILLVSALRILSNEMEFSWKACRLTRPQSYLSHLEKKQLSANDSRLSSAHLPQRWKRKIGSPTVEAAIKDLIDKILKDFVVDLWYSEITPDKEAPELMRAVIMDAIGEISGRIKEINLVDLLTRDIIDIIGDHLDLFRRNQAAIGVDVMGTLSTDERDERLKHHLMASKELHPALISPESEYKVMQRLVGGILAVVLRPRESQCPLVRTIARELVTCLILQPVMGLASPVYINEIIEHILLAIKDGGLMEVSCDQSTGDVHNSDSSLEKYSSIGSQKTDIVAKEKNLQGMDMTLAKIDENKEKLLDFDSNQQELLQPRHAEWARMLEAANQRRTEVLTPENLENMWTKGRNYKKKETKNLKAGIQQHKAKGSVANNKEPSANAGKEILTNSNIISAGSEEKAIVKLTPLSSHDALLSDESKNEQFSQDHDEEYSFEISPAGDELDHNSNSSVNENESRLKRSNSTSSLKAQPIEKKPFTGDGGGSIISEFYNPDYGRPSEHHAVEVSDVVLCSAGPHVPNPKLRCRVMGAYFEKLGSKSFAVYSIAVTDAENRTWFVKRRYRNFERLHRHLKDIPNYTLNLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLMRKVVGPPTLLDETNSSIYSRNLSWHSDKSNKPVSRQDTSETVNSFSDNEESSNQESHKQEEGSSEQANGWHSDNELNPKGFPPRVIKHDEESSGAKGKLSPELKSDRVNQGRFAVANSAAATSSYIEDPVGMPPEWTPPKVSVPLLNLVDKVFQLNRRGWLRRQVFWISKQILQLIMEDAIDDWLLRQIYWLRREDIVAQGIRLFGQVVHSSQELGSHEAILMILKSIRSLSRLANWAAVRSPNKVPLRSSLRLLVEQVI >Manes.15G144800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11888752:11902242:1 gene:Manes.15G144800.v8.1 transcript:Manes.15G144800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMERIQDLIEEAKVRTVCWALCIFSVTYFLSHTSSSMWMNLPISILLVSALRILSNEMEFSWKACRLTRPQSYLSHLEKKQLSANDSRLSSAHLPQRWKRKIGSPTVEAAIKDLIDKILKDFVVDLWYSEITPDKEAPELMRAVIMDAIGEISGRIKEINLVDLLTRDIIDIIGDHLDLFRRNQAAIGVDVMGTLSTDERDERLKHHLMASKELHPALISPESEYKVMQRLVGGILAVVLRPRESQCPLVRTIARELVTCLILQPVMGLASPVYINEIIEHILLAIKDGGLMEVSCDQSTGDVHNSDSSLEKYSSIGSQKTDIVAKEKNLQGMDMTLAKIDENKEKLLDFDSNQQELLQPRHAEWARMLEAANQRRTEVLTPENLENMWTKGRNYKKKETKNLKAGIQQHKAKGSVANNKEPSANAGKEILTNSNIISAGSEEKAIVKLTPLSSHDALLSDESKNEQFSQDHDEEYSFEISPAGDELDHNSNSSVNENESRLKRSNSTSSLKAQPIEKKPFTGDGGGSIISEFYNPDYGRPSEHHAVEVSDVVLCSAGPHVPNPKLRCRVMGAYFEKLGSKSFAVYSIAVTDAENRTWFVKRRYRNFERLHRHLKDIPNYTLNLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFKGVSDGLMRKVVGPPTLLDETNSSIYSRNLSWHSDKSNKPVSRQDTSETVNSFSDNEESSNQESHKQEEGSSEQANGWHSDNELNPKGFPPRVIKHDEESSGAKGKLSPELKSDRVNQGRFAVANSAAATSSYIEDPVGMPPEWTPPKVSVPLLNLVDKVFQLNRRGWLRRQVFWISKQILQLIMEDAIDDWLLRQIYWLRREDIVAQGIRWVQDALWPGGTFFTRIGVTRGNFDDTQINPIPFQVSQLGGSKVSKQSSFEEQLEAARRASDIKKMLFDGAPAALVSLIGNKQYKRCARDIFYFTQSTICVKQVAYAILELLLVSVFPELRDLVWDLHEKMRLPPA >Manes.09G127100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33003864:33006526:-1 gene:Manes.09G127100.v8.1 transcript:Manes.09G127100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPFQIYKVSVAFFSLLISELNISLRENFRQEPTMASALLRTLTRSSNLGCSTRNFSLVTSQISNHTAKWMQDTSKKSPMELINEIPPIKVEGRIVACEGDTNPALGHPIEFICLDLKEPAVCKYCGLRYVQDHHH >Manes.12G055700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5310108:5316051:-1 gene:Manes.12G055700.v8.1 transcript:Manes.12G055700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWSMKGRGRVYGFTPSLKDYLIDAPISAGDGFSFSGGKYSDEPSPADEWFKQGKIVKAHPVLGSGGKAKDPIFGLKMGAGSQASDDVFRWFCVESGNPNNPTVILIHGFPSQAYSYRKVLPILSKNYHAIAFDWLGFGFSDKPQPGYGFDYTLDEYVSSLESLVNEVSKDKVSLVVQGYFSPVVVKYARSFQEKVNDLILLNPPLTADHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSSGPYQMKEDDAMVYRRPYLTSGSAGFALNAISRAMKKGLKTYVEEMRNILLDKNWKIQTTVCWGRRDRWFSYDGVEEFCKDSKHNLIELPMAGHHVQEDSGEELGGIISGVIRKNRI >Manes.12G055700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5309858:5316051:-1 gene:Manes.12G055700.v8.1 transcript:Manes.12G055700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAKTSPFLPSNHFQFPPAVPLSSLLRVPFKSAAPNSHRKSLRLTCNSSGEDDYLIDAPISAGDGFSFSGGKYSDEPSPADEWFKQGKIVKAHPVLGSGGKAKDPIFGLKMGAGSQASDDVFRWFCVESGNPNNPTVILIHGFPSQAYSYRKVLPILSKNYHAIAFDWLGFGFSDKPQPGYGFDYTLDEYVSSLESLVNEVSKDKVSLVVQGYFSPVVVKYARSFQEKVNDLILLNPPLTADHANLPSTLSIFSNFLLGEIFSQDPLRASDKALTSSGPYQMKEDDAMVYRRPYLTSGSAGFALNAISRAMKKGLKTYVEEMRNILLDKNWKIQTTVCWGRRDRWFSYDGVEEFCKDSKHNLIELPMAGHHVQEDSGEELGGIISGVIRKNRI >Manes.09G134800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33520158:33522521:-1 gene:Manes.09G134800.v8.1 transcript:Manes.09G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEPSNSQEKDSNEELKKRVWEESKKIWRIAFPSMLTKITQFGMLVVTQGFIGHIGEVELAAFALVQIISVRFVQGIVLGMSSAMETLCGQAFGAKQYHMMGIYLQRSWIINFSTATVLLPVFIFSSQVLKLLGQEEDTARKAGIISLWFIPSIYSSILNFTMEKFLQAQLKNIVVGCLSATSFVLHLLLSWIFLVKLNLGIPGAMGAMIISNWLVVIGDLVYIFGGWCPNTWKGFTLAAFSDLVPLLKLSLSSGLMLCLQLWYTAVLVLLAGYMKNAKTAISAFSICLNITAWDAMLCLGFLAAASVRVSNELGKEDAKAAKFSVKLNLATSFCIGVFLWIMCLLFGQKIAYLFTSKTAVAEYVSSLSLPLAFSVLLNGVQPIFSGAAVGAGRQSLVAYVNLFCYYVVGVPVGVILGFAVHLQVKGIWIGLIVGAAMQTLTLAYITFRTDWDEQVKKATERLNIFL >Manes.12G088328.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:16617062:16619824:-1 gene:Manes.12G088328.v8.1 transcript:Manes.12G088328.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGGEIVQVQGGHIVRSTGRKDRHSKVSTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIEKAKSAIDKLAELPPWHPTANNINGTMESDQNAGSSEMAITEQSESSGYSFQLHRNLADNPSNDSSFITPSIDPDTMKSLFPTSSTTSSMNFQGYPLDIITRTTNQTEDLGLSLHSFQDQGLIHGQSQADTSHTNSNDQNLFAGSASMGYEANFQRMVAWSNSTNRTAGLQQRDCQVLAFQQESVGTKSKALFQIGHPPPLLSLPTRITTSHGGLMINRR >Manes.12G088328.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:16617062:16619824:-1 gene:Manes.12G088328.v8.1 transcript:Manes.12G088328.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGGEIVQVQGGHIVRSTGRKDRHSKVSTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIEKAKSAIDKLAELPPWHPTANNINGTMESDQNAGSSEMAITEQSESSGYSFQLHRNLADNPSNDSSFITPSIDPDTMKSLFPTSSTTSSMNFQGYPLDIITRTTNQTEDLGLSLHSFQDQGLIHGQSQADTSHTNSNDQNLFAGSASMGYEANFQRMVAWSNSTNRTGLQQRDCQVLAFQQESVGTKSKALFQIGHPPPLLSLPTRITTSHGGLMINRR >Manes.12G088328.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:16617062:16619823:-1 gene:Manes.12G088328.v8.1 transcript:Manes.12G088328.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGGEIVQVQGGHIVRSTGRKDRHSKVSTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIEKAKSAIDKLAELPPWHPTANNINGTMESDQNAGSSEMAITEQSESSGYSFQLHRNLADNPSNDSSFITPSIDPDTMKSLFPTSSTTSSMNFQGYPLDIITRTTNQTEDLGLSLHSFQDQGLIHGQSQADTSHTNSNDQNLFAGSASMGYEANFQRMVAWSNSTNRTGGIFTFSSPLMPQPQSMLGQDSAFSQREPLQSSFTQSFRAWNDLTMASTDHHRTQEIHQSFIFGSRFATEGLPGFSIPARIRGDEEQSLVSDRPSSSTP >Manes.14G089100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7358726:7365014:-1 gene:Manes.14G089100.v8.1 transcript:Manes.14G089100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVQSVYLLIVAKESNPETMDDSQHFVDLQENSNFGDHKSWLSGDDNFSPALRRVQSQLSALTSTPASITGASGNVDRVLFDNLVDLFPLVESLIHRKANCSFTRRGSMIYTKMRSRESLCNRIIDPKERNTIQSIPAKKKREQGDKHPGKNAGNNQDADNFSSRASATEKDIKELVALREQVEDLQRKLLEKDGLLKSAEISKNQMNVVHSELDELKQQAAEKDSIIKSTQLQLSDAKIKLADKQAALEKIQWEAMTSNRKADELLEDLDALHGGISTFMMLFEGLTNNDFTTNFEDYDVKPHYVDNLPDIDDFDDGEMQKMVEASQAYVVAVAAAKEKQDEESLAAAASARLRLQSFVFRSSSMNAAKGRRP >Manes.14G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7359911:7365005:-1 gene:Manes.14G089100.v8.1 transcript:Manes.14G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVQSVYLLIVAKESNPETMDDSQHFVDLQENSNFGDHKSWLSGDDNFSPALRRVQSQLSALTSTPASITGASGNVDRVLFDNLVDLFPLVESLIHRKANCSFTRRGSMIYTKMRSRESLCNRIIDPKERNTIQSIPAKKKREQGDKHPGKNAGNNQDADNFSSRASATEKDIKELVALREQVEDLQRKLLEKDGLLKSAEISKNQMNVVHSELDELKQQAAEKDSIIKSTQLQLSDAKIKLADKQAALEKIQWEAMTSNRKADELLEDLDALHGGISTFMMLFEGLTNNDFTTNFEDYDVKPHYVDNLPDIDDFDDGEMQKMVEASQAYVVAVAAAKEKQDEESLAAAASARLRLQSFVFRSSSMNAGKAFSNGGV >Manes.02G060000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4672671:4677685:1 gene:Manes.02G060000.v8.1 transcript:Manes.02G060000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMYKPVNSIKEWEVKVKLLGDGKVDKLKNSVASRSPMKLWILRAITTALLWTCVIQLMAIGEMWGPRLLKGWPSCFSHPELKLPSVPAKVVLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVHHFINSLRDEVRILKELPPRLKRRVESGMFYSLPPVSWSNISYYLHQILPLVQKYKVVHLNKTDARLANNGLPIAIQKLRCRVNFNALRFTSQIEQLGRRVVKLLRQKGPFLVLHLRYEMDMLAFSGCTRGCNSEEVEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETALVLSALGIDPNVQIYIAAGEIYGGKKRMKSLTATFPNLVRKETLLGPSDLKFFQNHSSQMAALDYLVSLEGDIFVPTYYGNMAKVVEGHRRFLGFKKTTLLDRKLLVGLIDEYNKGSLSWDEFSASVMEVHADRMGSPKTRVIIPAKPKEEDYFYANPCECLQLSDEN >Manes.04G002400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:537848:538474:1 gene:Manes.04G002400.v8.1 transcript:Manes.04G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFLKHLLFFILAAYATTWLVQASDPDILTDFIAPQNSTTVDGKFFTYSGLRGIFDDPEPANFNVTKVSMAEFPALNGQSVSYAVLQYPAGGVNPPHTHPRSAELLFVVYGSLEVGFVDTTNKLYTQTLQLGDIFVFPKGLAHFQFNADPKNPAIAISAFGSANAGTVSVPAAVFTTGIDDTILAKSFKTDVATIQKIKAGLATKA >Manes.14G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8208159:8211333:1 gene:Manes.14G098700.v8.1 transcript:Manes.14G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSPSTTKEEQSVEKWMEGDADRRATWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMILALSWCMTVNTMWQMIQLHECVPGTRFDRYIDLGRYAFGPKLGPWIVLPQQLIVEVGCDIVYMVTGGKCLKKFMEMACATCTPIRQSYWILIFGGLQFFLSQLPNFNSVAGVSLAAAIMSLSYSTIAWVGCLGHGRIDNVSYAYKQTTAADTIFRVFNALGQISFAFAGHAVVLEIQATIPSTPEKPSRIPMWKGAMGAYFINAICYFPVALIGYWAFGQDVEDNVLMALRRPAWLIASANLMVVVHVIGSYQVYAMPVFAMLERMMSKKLNFPPGIALRLVARSAYVAFTLLIGVSFPFFGDLLGFFGGFGFAPTAYFLPSIMWLTIKKPKRFSAKWFINWASILIGVFIMLASTIGGFRNIVIDASTYRFYT >Manes.07G009201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1073586:1078655:1 gene:Manes.07G009201.v8.1 transcript:Manes.07G009201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGNIITKLGSRALDEIGLWWGVKGELEKLEARVSSIRDVLQDAEEQQKLNRQVKGWLERLEEVFYDADDLVDDFATEALRRRVMTGNRMTKEVSLFFSSSNQLVYGFKKGHKIKAIRERLADIQGDRQFNFEVRTDQERIARRDQTPSSLPEVVIGREGDKKAITELVLSSNGEECVSVLSIVGIGGLGKTTLAQIIFNDELIKNSFERRIWVCISDPFDVKMIVRKILESATGNKSEDLELEALKSQLGRIIDGKKYLLVLDDVWNENGEQWQNLKRLLLGGSSGSKILITTRSKTVADISSTMAQHVLQGLSPDESWSLFLRVALKGQEPEHANVREIGEEILKKCCGVPLAIKTIAGLLYAKSPETEWPLFLRNELSRISQDDNDIMPTLKLSYDHLPSHLKHCFAYCALYPKDYVIDVKTLIHLWVAQGFIEAPITSDHLEDIGREYFMKLWWKSFFQEVERDGYGNVISCKMHDLMHDLATTVGRTRIQLVNFDARNIDGKIHHIALNLNIALQKILNNAKRVRTFLLLEKYDYNELFIYKNLRCLRAYDVSYCSIEKVDSRIKMLKHLRYLDVSGNGKLRALPNSISSLLNLQVLNVTYCQELKELPKDIKKLVNLRHLYCRGCKSLTHMPRGLGQLTSLRTLSLFIVAKGHISSKDVGKINELNKFNNLRGRLEIRNLRCVDNEIVYVNLKEKPLLQSLELHWEQSWEDSIVDRDEMAFQNLQPHHNLKELHVDGYGGRWFPSWFSSLTNLVKLFIWKCKRCQHLPPMDQIPSLQCLQIWGLGDLEYMEIGGQPTSFFPSLKSFTLHACPKLKGWQKERDDSTALELLQFPCLSNFSSHFCPNLISIPQFRSLDESLNLHYASPQLVHQIFTPSISSSSSILPPLSKLKHLSISHIEELESLPPDGLRNLTCLQTLSIGTCPALKCLPQELHSLTSLRRLIIVSCPQLKERCGNKKGADWEFISHIQNIDVERQTIQKEGRYLLDDEASINEG >Manes.14G076000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6347073:6352605:1 gene:Manes.14G076000.v8.1 transcript:Manes.14G076000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTVLFGKKPSKSHSAKGKERTTKEKALLSAKASETDTLVISHPTPVLTIRSERQLELDNQETADLPHDSGILLPVNQDAELQESAPQTTLSDADKVKQEEAATLAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAIATLCCVLGIVKLQALARGIKVRKSDCQHDVLKRCNVVKPLEGKLGNLDGANVSIQRARLSSNAFVHKLVDSSPAVMPLRIYYDSVEPNSVPNWLERWSASRFWKPIPQPKKISHPKTQRKQVNGHMPEAETGRPKRSVRRVPAANVDNNSVQAISELEKPKRNLRKASSHPSDTVQENPQNEFEKVKRNLRKVHNPIIESSVQPELEIEKPNQSLEKVSDISGDNLLRQNMNNSGEKTKKETSPPTPKLSVVVRSESTLIATQLPDVGTTTETLGINEASELLGDQTLVESMPSVENGGKDENDGKDENTPVTNGELSHKEDQIINENHKFSKKTSSLAKQEHAENGLQSSPALPSYMAATESAKAKLRAQGSPRFNQDGAEKNNIVRRHSLPSSTNSKISSQSPRTRTVHSGSKVGSKSDRSKEGNAKATQAGWRR >Manes.14G076000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6346069:6352605:1 gene:Manes.14G076000.v8.1 transcript:Manes.14G076000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGRWIKTVLFGKKPSKSHSAKGKERTTKEKALLSAKASETDTLVISHPTPVLTIRSERQLELDNQETADLPHDSGILLPVNQDAELQESAPQTTLSDADKVKQEEAATLAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAIATLCCVLGIVKLQALARGIKVRKSDCQHDVLKRCNVVKPLEGKLGNLDGANVSIQRARLSSNAFVHKLVDSSPAVMPLRIYYDSVEPNSVPNWLERWSASRFWKPIPQPKKISHPKTQRKQVNGHMPEAETGRPKRSVRRVPAANVDNNSVQAISELEKPKRNLRKASSHPSDTVQENPQNEFEKVKRNLRKVHNPIIESSVQPELEIEKPNQSLEKVSDISGDNLLRQNMNNSGEKTKKETSPPTPKLSVVVRSESTLIATQLPDVGTTTETLGINEASELLGDQTLVESMPSVENGGKDENDGKDENTPVTNGELSHKEDQIINENHKFSKKTSSLAKQEHAENGLQSSPALPSYMAATESAKAKLRAQGSPRFNQDGAEKNNIVRRHSLPSSTNSKISSQSPRTRTVHSGSKVGSKSDRSKEGNAKATQAGWRR >Manes.01G128100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32211855:32225901:-1 gene:Manes.01G128100.v8.1 transcript:Manes.01G128100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFEWLCQLYKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDSVDPVRDLEVIGNELRLKDVEFMDRRIEDLEKSMKRSNDKQLKIELELCQRVKAWLEDGKDVRLGDWKAADIEILNTFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGAEPIIPFSCVLERNLADMSPDEAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGTIHSDFEKGFICAEVMKFEDLKELGSESAVKAGGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >Manes.01G128100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32212298:32225897:-1 gene:Manes.01G128100.v8.1 transcript:Manes.01G128100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFEWLCQLYKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDSVDPVRDLEVIGNELRLKDVEFMDRRIEDLEKSMKRSNDKQLKIELELCQRVKAWLEDGKDVRLGDWKAADIEILNTFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGAEPIIPFSCVLERNLADMSPDEAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGTIHSDFEKGFICAEVMKFEDLKELGSESAVKAGGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >Manes.01G128100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32213128:32225901:-1 gene:Manes.01G128100.v8.1 transcript:Manes.01G128100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSAKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFEWLCQLYKPKSEVSAFLEIHDIAGLVRGAHEGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDSVDPVRDLEVIGNELRLKDVEFMDRRIEDLEKSMKRSNDKQLKIELELCQRVKAWLEDGKDVRLGDWKAADIEILNTFQLLTAKPVVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGAEPIIPFSCVLERNLADMSPDEAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGTIHSDFEKGFICAEVMKFEDLKELGSESAVKAGGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >Manes.03G101300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22529348:22532269:1 gene:Manes.03G101300.v8.1 transcript:Manes.03G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLYSLLLPFLFFFLLHPFVSAANVHKANKLLKSTLLAEPSSTFPLKPLNDTPLTVYFEVTKPINVPKLQPCARTILQYDFGYTYGKPPVLANYTPPSHCPSHQFSKIVLEWNATCKGRQFDRIFGVWLGGVELLRSCTAEPRATGTFWSVQKDITRYHSLLVKNETQELAVYLGNIVDSTYTGVYHVNLTFYFYPAEEKLSYNEDGLNNMKAAQSSKADLILPISRNLPLNDGLWFEIQNSNDTQLKEFTIPQNVYRAVLEVYVSFHENDENWYSNYPNEYIIANNITGSPGNGPFREVVVSLDAEVIGAVWPFTVIFTGGFNPLMWRPITGIGSFNLPSYDIEITPFLGSILDGKTHELGFSVTNALNVWFIDANLHLWLDHKSTRTEGMVLTHESKPLAFSLVSNFKDLNGTFLSTAQRSISSNGWVQSSFGNITTSFNQHFSYSNLMEMGEDGNLQIVNQTISFNDSVSFGKLNSSVHSFRSVKDFGFDMYSKFLDQGNGSAFYVTNLTLGFSEKKSKEAGFGFGTSSLKNLQSTQGAMVVKNNLVVSGVGSTQQAYNCDDSNFCYFRDISSSNYTIIADKVINKCNKKEKSNLGFGLSRWWPFPTRRASLASKFLNNNGV >Manes.10G146700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31375908:31377819:-1 gene:Manes.10G146700.v8.1 transcript:Manes.10G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEHPAMATINGDTLSYLNFFFLSLISSFLLLYFFKKPTKPILHLPPSPPSLPLIGHLHLLGRVAYKYFHNLATKHGPLLSLQLGSVPLILVSSASYAAEIFKTNDIAFSYKPKTPFDDGLLFQNFGFISAPYGDYWRFMKKLCMTELLGPRQTERSSSVRREELQRFLQNMVDKACKNENVDLENELVKLTNNTICRMAMSTRCSEEEDEAQRCKELVDGSMELAAKVAAAYLMGPLKKIGFWVFREQLKNLSTQIDELLEKILKEHEERAKKDSDEGGDQDLMDILLKVYQDEKAEFQISRSQMKAFFVDLFIAGTHTTADSTHWIMAMLINHPKVFKKLREEIESVVGKNRLVEESDISKLHYLQAIVKETLRLYPLGPLIPRTNCEDCKIGGFDIPKETIVLINLYSIMRDPNIWDNPDEFKPERFLVSDHKETNKQKHLMGYVPFGGGRRMCPGSHLGLTIIHVNVASMVQCFDWKVYGGDGDGGKVNVEAKSGMIMCMAHPLVCLPVVHYNPFSG >Manes.03G133700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25916695:25922520:-1 gene:Manes.03G133700.v8.1 transcript:Manes.03G133700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSYGASSESTEQKNTDAESSFQPPFTVPEQLTQNLPPTEKVHQIIARTAMFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHNLHPYFRFLVDHQELLKSDIDGKSIEDENKIDSGDQMGGGGGALSLLGSVYGSGEDEEGANEDGPAFTKNDTEDSVDAADNVTISYGSEQNSSSLDVAGKDDLVSKPQFSSLKERSQVIKRNRAISSVKAGTTIGSKRFSDSMASVSSTVDKLQPSISPSLSKVEQSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPYYLKALQKAQESRLSGKSFISEKHDPVGHALDRRTGTKESDTLSSASDIPYESDRKEKFKMVIGKSKKDGQDPPSKVTQPQVGVSVDAVAAILQAATKGIKNPNLEMISKTLNGIGQGPSSEAGDSVLAPWPQSSNQDLDKNWEHGVSVPLAKAITKTMAVTAASEADSSEARLTREQKLKAERLKRAKMFAAMVKSGAAPLKSEPLRGVSVEPSESGLSGSDSHAVLPTDRERESSSIPLDIDTNNRIEKSAEEYNERRSKRSYRSRTKRGEEEEEDEELKDKRDHNKHSRKKRHSHRRDRHKHKKRHSSSQDGESQHRHKSSSSLDEHQHKQDSSSEDEYRRGRHKKHDSSSDDEHRRSRRKHRHDSSPDDEYRHSRSRRKLDSFSSEEYTHSRHGHRHDSDDEHQNARHQHKHDISSNEESRHQAKSVKHRKIPRSERERDLEEGEILTKSDQSKVSEGGDGASREASVDISKTYQEVRGSSKQSDSTEVSNDLRAKIRAMLMATL >Manes.03G133700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25916695:25922520:-1 gene:Manes.03G133700.v8.1 transcript:Manes.03G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEVVGRHALLFDDDAMAAFVNSADALVEWNSLSIDRYDVRHLLPSPPPPRNRRRHQHSPSPNPDDSLESEIDHERYLDLPSASDEQDLGNEAERNDISGYHMVAFSYGASSESTEQKNTDAESSFQPPFTVPEQLTQNLPPTEKVHQIIARTAMFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHNLHPYFRFLVDHQELLKSDIDGKSIEDENKIDSGDQMGGGGGALSLLGSVYGSGEDEEGANEDGPAFTKNDTEDSVDAADNVTISYGSEQNSSSLDVAGKDDLVSKPQFSSLKERSQVIKRNRAISSVKAGTTIGSKRFSDSMASVSSTVDKLQPSISPSLSKVEQSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPYYLKALQKAQESRLSGKSFISEKHDPVGHALDRRTGTKESDTLSSASDIPYESDRKEKFKMVIGKSKKDGQDPPSKVTQPQVGVSVDAVAAILQAATKGIKNPNLEMISKTLNGIGQGPSSEAGDSVLAPWPQSSNQDLDKNWEHGVSVPLAKAITKTMAVTAASEADSSEARLTREQKLKAERLKRAKMFAAMVKSGAAPLKSEPLRGVSVEPSESGLSGSDSHAVLPTDRERESSSIPLDIDTNNRIEKSAEEYNERRSKRSYRSRTKRGEEEEEDEELKDKRDHNKHSRKKRHSHRRDRHKHKKRHSSSQDGESQHRHKSSSSLDEHQHKQDSSSEDEYRRGRHKKHDSSSDDEHRRSRRKHRHDSSPDDEYRHSRSRRKLDSFSSEEYTHSRHGHRHDSDDEHQNARHQHKHDISSNEESRHQAKSVKHRKIPRSERERDLEEGEILTKSDQSKVSEGGDGASREASVDISKTYQEVRGSSKQSDSTEVSNDLRAKIRAMLMATL >Manes.03G133700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25916695:25922520:-1 gene:Manes.03G133700.v8.1 transcript:Manes.03G133700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHNLHPYFRFLVDHQELLKSDIDGKSIEDENKIDSGDQMGGGGGALSLLGSVYGSGEDEEGANEDGPAFTKNDTEDSVDAADNVTISYGSEQNSSSLDVAGKDDLVSKPQFSSLKERSQVIKRNRAISSVKAGTTIGSKRFSDSMASVSSTVDKLQPSISPSLSKVEQSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPYYLKALQKAQESRLSGKSFISEKHDPVGHALDRRTGTKESDTLSSASDIPYESDRKEKFKMVIGKSKKDGQDPPSKVTQPQVGVSVDAVAAILQAATKGIKNPNLEMISKTLNGIGQGPSSEAGDSVLAPWPQSSNQDLDKNWEHGVSVPLAKAITKTMAVTAASEADSSEARLTREQKLKAERLKRAKMFAAMVKSGAAPLKSEPLRGVSVEPSESGLSGSDSHAVLPTDRERESSSIPLDIDTNNRIEKSAEEYNERRSKRSYRSRTKRGEEEEEDEELKDKRDHNKHSRKKRHSHRRDRHKHKKRHSSSQDGESQHRHKSSSSLDEHQHKQDSSSEDEYRRGRHKKHDSSSDDEHRRSRRKHRHDSSPDDEYRHSRSRRKLDSFSSEEYTHSRHGHRHDSDDEHQNARHQHKHDISSNEESRHQAKSVKHRKIPRSERERDLEEGEILTKSDQSKVSEGGDGASREASVDISKTYQEVRGSSKQSDSTEVSNDLRAKIRAMLMATL >Manes.03G133700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25916695:25921049:-1 gene:Manes.03G133700.v8.1 transcript:Manes.03G133700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSWRYAFLNFPLLKDFLSLFFQPPTEKVHQIIARTAMFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHNLHPYFRFLVDHQELLKSDIDGKSIEDENKIDSGDQMGGGGGALSLLGSVYGSGEDEEGANEDGPAFTKNDTEDSVDAADNVTISYGSEQNSSSLDVAGKDDLVSKPQFSSLKERSQVIKRNRAISSVKAGTTIGSKRFSDSMASVSSTVDKLQPSISPSLSKVEQSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPYYLKALQKAQESRLSGKSFISEKHDPVGHALDRRTGTKESDTLSSASDIPYESDRKEKFKMVIGKSKKDGQDPPSKVTQPQVGVSVDAVAAILQAATKGIKNPNLEMISKTLNGIGQGPSSEAGDSVLAPWPQSSNQDLDKNWEHGVSVPLAKAITKTMAVTAASEADSSEARLTREQKLKAERLKRAKMFAAMVKSGAAPLKSEPLRGVSVEPSESGLSGSDSHAVLPTDRERESSSIPLDIDTNNRIEKSAEEYNERRSKRSYRSRTKRGEEEEEDEELKDKRDHNKHSRKKRHSHRRDRHKHKKRHSSSQDGESQHRHKSSSSLDEHQHKQDSSSEDEYRRGRHKKHDSSSDDEHRRSRRKHRHDSSPDDEYRHSRSRRKLDSFSSEEYTHSRHGHRHDSDDEHQNARHQHKHDISSNEESRHQAKSVKHRKIPRSERERDLEEGEILTKSDQSKVSEGGDGASREASVDISKTYQEVRGSSKQSDSTEVSNDLRAKIRAMLMATL >Manes.03G133700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25916695:25922520:-1 gene:Manes.03G133700.v8.1 transcript:Manes.03G133700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHNLHPYFRFLVDHQELLKSDIDGKSIEDENKIDSGDQMGGGGGALSLLGSVYGSGEDEEGANEDGPAFTKNDTEDSVDAADNVTISYGSEQNSSSLDVAGKDDLVSKPQFSSLKERSQVIKRNRAISSVKAGTTIGSKRFSDSMASVSSTVDKLQPSISPSLSKVEQSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPYYLKALQKAQESRLSGKSFISEKHDPVGHALDRRTGTKESDTLSSASDIPYESDRKEKFKMVIGKSKKDGQDPPSKVTQPQVGVSVDAVAAILQAATKGIKNPNLEMISKTLNGIGQGPSSEAGDSVLAPWPQSSNQDLDKNWEHGVSVPLAKAITKTMAVTAASEADSSEARLTREQKLKAERLKRAKMFAAMVKSGAAPLKSEPLRGVSVEPSESGLSGSDSHAVLPTDRERESSSIPLDIDTNNRIEKSAEEYNERRSKRSYRSRTKRGEEEEEDEELKDKRDHNKHSRKKRHSHRRDRHKHKKRHSSSQDGESQHRHKSSSSLDEHQHKQDSSSEDEYRRGRHKKHDSSSDDEHRRSRRKHRHDSSPDDEYRHSRSRRKLDSFSSEEYTHSRHGHRHDSDDEHQNARHQHKHDISSNEESRHQAKSVKHRKIPRSERERDLEEGEILTKSDQSKVSEGGDGASREASVDISKTYQEVRGSSKQSDSTEVSNDLRAKIRAMLMATL >Manes.08G062350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:8404753:8405181:1 gene:Manes.08G062350.v8.1 transcript:Manes.08G062350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIQRFLQNPDQQQFEFQHFPTSYLRLVENRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKQLESDKPELLKIAIRPRPNKGSENESSEFGIKQSPVRSVEERKEYDRARARIFSSPSTFKFPLIP >Manes.04G113050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31693433:31693642:1 gene:Manes.04G113050.v8.1 transcript:Manes.04G113050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGLNPSMDLPLMVLVRPLKVNLFLHMLDKRLMFWTPNINVFRESRWIEAKKFLRKILYWLLLILLNT >Manes.03G210400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32552702:32553975:-1 gene:Manes.03G210400.v8.1 transcript:Manes.03G210400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDAIPNLEVESTHGVIRLHDYIDTWTLLFSHPADFTPVCTTELGKLAAYAPEFSKRGVKLLGLSCGDVLSHVEWIKDIEAYTPGCKVTYPVIADPKRLVLHQLNMVDPDEKDPSGNNVPSRALHVVGPDKKIKMSFLYPASTGRNIDEVIRVLDSLQRAAKHNIATPVNWKPGDPVVISPNVSNDEAEKMFPQGYKIVDLPSGKEYMRLTNLD >Manes.05G077800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357596:6368345:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGV >Manes.05G077800.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357596:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGV >Manes.05G077800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357606:6367340:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6368396:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGVISANTIKCHESGV >Manes.05G077800.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357595:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGVISANTIKCHESGV >Manes.05G077800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357606:6367340:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6368396:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGVISANTIKCHESGV >Manes.05G077800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6368345:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357606:6367340:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6368396:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGVISANTIKCHESGV >Manes.05G077800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6368345:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357606:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGVISANTIKCHESGV >Manes.05G077800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357585:6368345:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357595:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGVISANTIKCHESGV >Manes.05G077800.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357606:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGVISANTIKCHESGV >Manes.05G077800.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357596:6369179:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGV >Manes.05G077800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357606:6368710:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.05G077800.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6357606:6367340:1 gene:Manes.05G077800.v8.1 transcript:Manes.05G077800.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLRSSVKLLAKTDEVKSSLCQATVKIEVDGTEERGFNSTDENTLKLIAPWIEEDILVSAPKEETEINQIDGSRNGDCGSKSDTHEENAMECNDSRRKRRTIDHEGESKDDIVKRQKVKDEDVDGKLQIVGRVLRPRSTVKSDGGQITEGGPSNGGFVGTNSTGRSAFEEKGVKAEKEDSGHFDSRDSFHLGNVLRKKLGRKRGRPPKFLKSEFQQKLANVDHAVNEKIEQSADRGGVKNTKRKRGRPPKSPQNEKSKKKWGDMEKEWICLSASQVTTQKPRRGRPPKAQGSHLSEMKRVKEAEVNQSAGKESDQPVGGKNLKPKRGRPLKARENDKYGKRWGEMKKEGIDLSASHQMKDEEIEKPKSRRRSLPKALGSDLSKKKGIEVKEEEHGQSAGEMSDQPNSEVRENAKHKRGRPPKAQKNDGSVINMVEVRNKETGVPVCKGVDESYGKVRKKLEPNRGRPPKVKKGKKVHASRKDKSIEGNVHFMNHSVGNNSSLAGKGLENVYGMRLLAAKKIDCSSDEKQGGKIKKKAGKVGRCLLQRQAVRDKIVELLLGAGWEIQYRPRNGQEYEDAVYVNPEGRTHWSVTLAYRVLKQHYEGSKGVSDTCKSDFKFTPIPDEELCILTKVMNKVRSDKNKNKNKNKWNQEKVEKPTKIVSKRIKWKLHKRKLGAAANINSKKLKRGKLKSLHCRQNDLACTTGQGKTVSVRGRKRLETHGRRQCSLMVRNSQNSTESESDGYVLYNGKRTVLAWMIDLGTVVLDEKVQYLKHGKPQAVIEGRVTTGGVHCDCCSKTFTIAEFEAHAGGRFCQPFGNIHLETGSSLLQCQLDSWHKQDESSRKGFHFIDIAGEDPNDDTCGICGDGGDLICCDACPSTFHQSCLDIKKFPSGLWRCIYCLCKFCGMAGGTTYQRDDNNKTPLHALLTCCFCEEKYHQSCTQVKDGISDDSESSSAFCGKKCQELYKRLQMLFGVKHELEEGFSWTFVRRFYVGTDISLSEPHEVECNSKLAVALHIMDECFLPMVDHRSGVNLIRNIVYNFGSNFNRLNYSGFFTAILERGDEIIAVASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLTAIEMALSALDIEKLVIPAVSELKETWTSVFGFKPLEGSRKKILRNMNMVVFPGVDMLQKPLLKHQFPEENMNLIQGLKPTELREIHTTKDVTNNFVERSLAAFDLKGSAETCIPHSCDMINELAVVGSVSLLPDGCLNDSSEVAIQSAHTKKCHESGMTSDNLDRRTEIVVEPHDSSCHTDEQCGNVIANNSDERYSAEFDSKVSSETIVPRASNIIGEPASVEFVSLLPDGFLNDTSEVASRSANTIKCHESGSLYPDGCLNDIKCHVQLNVTLDTLDGRNESILNPLGSLCNAHEQNGDGMISNCGGS >Manes.16G058300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21900501:21903254:-1 gene:Manes.16G058300.v8.1 transcript:Manes.16G058300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDIIGDPELRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTLIREKNRRFQVAWFKDYEWLEYSVSKDKTYCLYCYLFANNNRSGGNVFTEIGFNNWKDGRRAFVNHEGSPGSSHSGCRMKVEQHRNQRGNVNQLLARQTAAMEDDYRTQLSTVVSVARILLEEGLPFRGHDESTESLHRESLHRGNFLEHISWVCKQEENVNKVMGKNAPGNNQLNSPTIQRDIIECCAMETRKIILNELGEKKFALLVDEARDCSIKEQVSLVLRFVNGKGMVLEHFLGLVHVNETSAKVLKNTIDTFFAKHDLSLAKLRGQGYDGAANMSGEFNGLKTLILKENKNAHYIHCFAHQLQLVVVTASYESESVCDFFETLSMIVNTIGASCKRNDSLREIHNEKVLNQVEMGEISTGRGQNQEISLARPGDTHWGSHYTTIVRLFDMWNSVERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIEKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFCEGHSIDVPNMENFVHGQSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNFFANFDHVRLLQLVNLYSDDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKTDLRNRLGDDLLSDCLVCYFEKEIFRSIDDEVIMQSFQNLASRRNQLRPLKIRRPNPC >Manes.10G008600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:972427:975568:-1 gene:Manes.10G008600.v8.1 transcript:Manes.10G008600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRSSGEATERERSFVVNGGLLQEKLIALNDGRYNPFRSFSIEELRTATNNYDRSRMFRSDGFGNWYKGCIENRVVSVYRRRSGHYGGIDEVVNDIAVAAQVGDHKNVLKLIGCCLESPVPISVYEYLENAKISDQIAISGEKTGHLHVTWKSRLKIAREIAYAVAYLHTAFPRPIVHRHIHPSSIFLDEHNNAKLFDFSLSISIHENETLMTERISGTFGYMSPEYEMHGEVTEKIDVYSFGALLLLFLTGRHPRELINVEIYPDPQIKSFVKHYAVCHSMDEIMDHRFLAEEGGINERQQGKTVMELVLKCLETAKEQRPTMVEVTKQLIQIERALTTVGEEDMSGKMFMEEFIASCDPHLRVSLISFSAEEIRKATDDYNPTHILGCNKLGIWYKGHMGNLIISVCKICKVNWHEHVKNEFRIAIQLSARKHVLKLLGYCLETQVPTLAYESAEALPQYFHTEQSMPLRRSLRIARKIAKEVAYLHTAFPLPLIHGNIAFANVFLDKNEVPKLCNFSQSISIHQGETLTVDLVDATNRYMPPEYLKGGVLTEKVDVYSFGMLLVQILVERTWPYYYHYDNSIANINEHEVLDYVMKYRRGEDLGEQKLEAVVKLAYRCKAVEKDERPTMTEVARELKQL >Manes.10G008600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:972353:975568:-1 gene:Manes.10G008600.v8.1 transcript:Manes.10G008600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRSSGEATERERSFVVNGGLLQEKLIALNDGRYNPFRSFSIEELRTATNNYDRSRMFRSDGFGNWYKGCIENRVVSVYRRRSGHYGGIDEVVNDIAVAAQVGDHKNVLKLIGCCLESPVPISVYEYLENAKISDQIAISGEKTGHLHVTWKSRLKIAREIAYAVAYLHTAFPRPIVHRHIHPSSIFLDEHNNAKLFDFSLSISIHENETLMTERISGTFGYMSPEYEMHGEVTEKIDVYSFGALLLLFLTGRHPRELINVEIYPDPQIKSFVKHYAVCHSMDEIMDHRFLAEEGGINERQQGKTVMELVLKCLETAKEQRPTMVEVTKQLIQIERALTTVGEEDMSGKMFMEEFIASCDPHLRVSLISFSAEEIRKATDDYNPTHILGCNKLGIWYKGHMGNLIISVCKICKVNWHEHVKNEFRIAIQLSARKHVLKLLGYCLETQVPTLAYESAEALPQYFHTEQSMPLRRSLRIARKIAKEVAYLHTAFPLPLIHGNIAFANVFLDKNEVPKLCNFSQSISIHQGETLTVDLVDATNRYMPPEYLKGGVLTEKVDVYSFGMLLVQILVERTWPYYYHYDNSIANINEHEVLDYVMKYRRGEDLGEQKLEAVVKLAYRCKAVEKDERPTMTEVARELKQL >Manes.10G008600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:972353:975568:-1 gene:Manes.10G008600.v8.1 transcript:Manes.10G008600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRSSGEATERERSFVVNGGLLQEKLIALNDGRYNPFRSFSIEELRTATNNYDRSRMFRSDGFGNWYKGCIENRVVSVYRRRSGHYGGIDEVVNDIAVAAQVGDHKNVLKLIGCCLESPVPISVYEYLENAKISDQIAISGEKTGHLHVTWKSRLKIAREIAYAVAYLHTAFPRPIVHRHIHPSSIFLDEHNNAKLFDFSLSISIHENETLMTERISGTFGYMSPEYEMHGEVTEKIDVYSFGALLLLFLTGRHPRELINVEIYPDPQIKSFVKHYAVCHSMDEIMDHRFLAEEGGINERQQGKTVMELVLKCLETAKEQRPTMVEVTKQLIQIERALTTVGEEDMSGKMFMEEFIASCDPHLRVSLISFSAEEIRKATDDYNPTHILGCNKLGIWYKGHMGNLIISVCKICKVNWHEHVKNEFRIAIQLSARKHVLKLLGYCLETQVPTLAYESAEALPQYFHTEQSMPLRRSLRIARKIAKEVAYLHTAFPLPLIHGNIAFANVFLDKNEVPKLCNFSQSISIHQGETLTVDLVDATNRYMPPEYLKGGVLTEKVDVYSFGMLLVQILVERTWPYYYHYDNSIANINEHEVLDYVMKYRRGEDLGEQKLEAVVKLAYRCKAVEKDERPTMTEVARELKQL >Manes.10G008600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:972355:975568:-1 gene:Manes.10G008600.v8.1 transcript:Manes.10G008600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWRRSSGEATERERSFVVNGGLLQEKLIALNDGRYNPFRSFSIEELRTATNNYDRSRMFRSDGFGNWYKGCIENRVVSVYRRRSGHYGGIDEVVNDIAVAAQVGDHKNVLKLIGCCLESPVPISVYEYLENAKISDQIAISGEKTGHLHVTWKSRLKIAREIAYAVAYLHTAFPRPIVHRHIHPSSIFLDEHNNAKLFDFSLSISIHENETLMTERISGTFGYMSPEYEMHGEVTEKIDVYSFGALLLLFLTGRHPRELINVEIYPDPQIKSFVKHYAVCHSMDEIMDHRFLAEEGGINERQQGKTVMELVLKCLETAKEQRPTMVEVTKQLIQIERALTTVGEEDMSGKMFMEEFIASCDPHLRVSLISFSAEEIRKATDDYNPTHILGCNKLGIWYKGHMGNLIISVCKICKVNWHEHVKNEFRIAIQLSARKHVLKLLGYCLETQVPTLAYESAEALPQYFHTEQSMPLRRSLRIARKIAKEVAYLHTAFPLPLIHGNIAFANVFLDKNEVPKLCNFSQSISIHQGETLTVDLVDATNRYMPPEYLKGGVLTEKVDVYSFGMLLVQILVERTWPYYYHYDNSIANINEHEVLDYVMKYRRGEDLGEQKLEAVVKLAYRCKAVEKDERPTMTEVARELKQL >Manes.15G117500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9385842:9388917:1 gene:Manes.15G117500.v8.1 transcript:Manes.15G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCQLPAINSFAPHITNKKLCCYPSKHSCAVPRRISTSLSFNPLRVSIKGNDMVMKHRGGGVGAVCYAGPIPAHNLQWISTISSAVLMFANGTAIHKSFIVPLLALQAPSSVISWIKGDYGIWTAFVALLFRLFFFVPGELELPFVALLLVLVAPHQALNLRGTQEGAIIAMVIAGYLAFQHFSRIGNLQGAFEQGSIVATLAIICITVISFLFLILT >Manes.13G142100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35560687:35561744:-1 gene:Manes.13G142100.v8.1 transcript:Manes.13G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCRPLGFLLGLPFALVALLLSLVGAAVWIIGSVLSCLCPCCVCCAGLANLAMDLIKLPFKILKWFTHQIPC >Manes.05G205200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33187385:33190537:-1 gene:Manes.05G205200.v8.1 transcript:Manes.05G205200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELKDLQRDPPASCSAGPVADDMFHWQATILGPADSPFAGGVFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >Manes.09G012700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2960662:2964237:1 gene:Manes.09G012700.v8.1 transcript:Manes.09G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPNIVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPDVRTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYGAIIDALRKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFNVLIDALCKDGMVAEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAQKIIKIMIQRGVEPDVVTYNSLMDGYCLYREIDKARKVFDLMVTNEIANIFSYSILINGYCKCKMIDDAKELFDEMSLKGLVPNVVTYSTLIEGMFRAGRPQNAKELFKDMCSHGQQPDIVTFSIMIDCLCRQGNLDEALTLLKAMEKCQLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNNLILRLLKVRNEGSAN >Manes.18G089900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8258782:8268061:-1 gene:Manes.18G089900.v8.1 transcript:Manes.18G089900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNAERDIKQALIALKKGAQLLKYGRKGKPKFCPFRLSNDETTLIWISSSGERSLKLASVSKILPGQRTAVFQRHLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSAEGLYLDDSRDLTSNNASDSSISVPRDISSPDISVSFNPSASPGSSRPGNSPNSDRSHVASDNTNMLVKGSGSDAFRVSVSSAQSTSSHGSAPDDCEALGDVYIWGEVICDNTVKVGADKNGNHLSSRADVLLPRPLESNVVLDVHHIACGVTHAALVTRQGEVFTWGEESGGWLGHGVGKDVIRPRLIESLAVTTIDFVACGEFHTCAVTMSGELYTWGDGMHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVACGPWHTALVTSTGKLFTYGDGTFGVLGHGDRENVAYPREVGSLSGLRTIAVACGVWHTAAVVEVVVTQSSSSVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYDSHKIACGHSLTVGLTTSGQVFTMGSTVYGQLGNPYADGKLPCLVEDKLSGESVEEIACGAYHIAVLTSRNEVYTWGKGTNGRLGHGDVEDRKTPTLVEALKDRHVKYIACGANYTAAICLHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKAVRAALAPNPRKPYRVCDSCFVKLNKVSEASTHNRKNSVPRLSGENKDRLDKSELKDVVLSSAVDLRPRVPKTVIAPSEVSARSVSPLSRRPSPLRSATPVPTTSGLSFSKNVTDSLKKTNELLNQEVLKLRTQVESLRQRCEFQDIEIRKSAKKVQEAMALAAEESSKSKAAKDVIGSLTAQLKDMAERLPPGAYDAENMRTAYLTNSLEPNGIHYADANIYHYADGNGGMHSSSDSISRTFLASPSGIESTLSNGAQDPAHSFGDPSPNNGRVGHPDAGLPNGGGGVQSISTVSDESRSLHDGDNGARSRDTALVASSNQVEAEWIEQYEPGVYITLMALHDGFRDLKRVRFSRRRFGEHQAETWWSENRDKVYEKYNIRWSDKSSFSGQAARRSEGAMSSSSQP >Manes.18G089900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8258782:8268055:-1 gene:Manes.18G089900.v8.1 transcript:Manes.18G089900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNAERDIKQALIALKKGAQLLKYGRKGKPKFCPFRLSNDETTLIWISSSGERSLKLASVSKILPGQRTAVFQRHLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSAEGLYLDDSRDLTSNNASDSSISVPRDISSPDISVSFNPSASPGSSRPGNSPNSDRSHVASDNTNMLVKGSGSDAFRVSVSSAQSTSSHGSAPDDCEALGDVYIWGEVICDNTVKVGADKNGNHLSSRADVLLPRPLESNVVLDVHHIACGVTHAALVTRQGEVFTWGEESGGWLGHGVGKDVIRPRLIESLAVTTIDFVACGEFHTCAVTMSGELYTWGDGMHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVACGPWHTALVTSTGKLFTYGDGTFGVLGHGDRENVAYPREVGSLSGLRTIAVACGVWHTAAVVEVVVTQSSSSVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYDSHKIACGHSLTVGLTTSGQVFTMGSTVYGQLGNPYADGKLPCLVEDKLSGESVEEIACGAYHIAVLTSRNEVYTWGKGTNGRLGHGDVEDRKTPTLVEALKDRHVKYIACGANYTAAICLHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKAVRAALAPNPRKPYRVCDSCFVKLNKVSEASTHNRKNSVPRLSGENKDRLDKSEVRLSKSSLPLNLDLIKQLDSKAARQGKKADTFSLVRSTQAPSLLQLKDVVLSSAVDLRPRVPKTVIAPSEVSARSVSPLSRRPSPLRSATPVPTTSGLSFSKNVTDSLKKTNELLNQEVLKLRTQVESLRQRCEFQDIEIRKSAKKVQEAMALAAEESSKSKAAKDVIGSLTAQLKDMAERLPPGAYDAENMRTAYLTNSLEPNGIHYADANIYHYADGNGGMHSSSDSISRTFLASPSGIESTLSNGAQDPAHSFGDPSPNNGRVGHPDAGLPNGGGGVQSISTVSDESRSLHDGDNGARSRDTALVASSNQVEAEWIEQYEPGVYITLMALHDGFRDLKRVRFSRRRFGEHQAETWWSENRDKVYEKYNIRWSDKSSFSGQAARRSEGAMSSSSQP >Manes.18G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8258782:8268055:-1 gene:Manes.18G089900.v8.1 transcript:Manes.18G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNAERDIKQALIALKKGAQLLKYGRKGKPKFCPFRLSNAVFQRHLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSAEGLYLDDSRDLTSNNASDSSISVPRDISSPDISVSFNPSASPGSSRPGNSPNSDRSHVASDNTNMLVKGSGSDAFRVSVSSAQSTSSHGSAPDDCEALGDVYIWGEVICDNTVKVGADKNGNHLSSRADVLLPRPLESNVVLDVHHIACGVTHAALVTRQGEVFTWGEESGGWLGHGVGKDVIRPRLIESLAVTTIDFVACGEFHTCAVTMSGELYTWGDGMHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVACGPWHTALVTSTGKLFTYGDGTFGVLGHGDRENVAYPREVGSLSGLRTIAVACGVWHTAAVVEVVVTQSSSSVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYDSHKIACGHSLTVGLTTSGQVFTMGSTVYGQLGNPYADGKLPCLVEDKLSGESVEEIACGAYHIAVLTSRNEVYTWGKGTNGRLGHGDVEDRKTPTLVEALKDRHVKYIACGANYTAAICLHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKAVRAALAPNPRKPYRVCDSCFVKLNKVSEASTHNRKNSVPRLSGENKDRLDKSELKDVVLSSAVDLRPRVPKTVIAPSEVSARSVSPLSRRPSPLRSATPVPTTSGLSFSKNVTDSLKKTNELLNQEVLKLRTQVESLRQRCEFQDIEIRKSAKKVQEAMALAAEESSKSKAAKDVIGSLTAQLKDMAERLPPGAYDAENMRTAYLTNSLEPNGIHYADANIYHYADGNGGMHSSSDSISRTFLASPSGIESTLSNGAQDPAHSFGDPSPNNGRVGHPDAGLPNGGGGVQSISTVSDESRSLHDGDNGARSRDTALVASSNQVEAEWIEQYEPGVYITLMALHDGFRDLKRVRFSRRRFGEHQAETWWSENRDKVYEKYNIRWSDKSSFSGQAARRSEGAMSSSSQP >Manes.18G089900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8258781:8268055:-1 gene:Manes.18G089900.v8.1 transcript:Manes.18G089900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNAERDIKQALIALKKGAQLLKYGRKGKPKFCPFRLSNAVFQRHLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSAEGLYLDDSRDLTSNNASDSSISVPRDISSPDISVSFNPSASPGSSRPGNSPNSDRSHVASDNTNMLVKGSGSDAFRVSVSSAQSTSSHGSAPDDCEALGDVYIWGEVICDNTVKVGADKNGNHLSSRADVLLPRPLESNVVLDVHHIACGVTHAALVTRQGEVFTWGEESGGWLGHGVGKDVIRPRLIESLAVTTIDFVACGEFHTCAVTMSGELYTWGDGMHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVACGPWHTALVTSTGKLFTYGDGTFGVLGHGDRENVAYPREVGSLSGLRTIAVACGVWHTAAVVEVVVTQSSSSVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYDSHKIACGHSLTVGLTTSGQVFTMGSTVYGQLGNPYADGKLPCLVEDKLSGESVEEIACGAYHIAVLTSRNEVYTWGKGTNGRLGHGDVEDRKTPTLVEALKDRHVKYIACGANYTAAICLHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKAVRAALAPNPRKPYRVCDSCFVKLNKVSEASTHNRKNSVPRLSGENKDRLDKSEVRLSKSSLPLNLDLIKQLDSKAARQGKKADTFSLVRSTQAPSLLQLKDVVLSSAVDLRPRVPKTVIAPSEVSARSVSPLSRRPSPLRSATPVPTTSGLSFSKNVTDSLKKTNELLNQEVLKLRTQVESLRQRCEFQDIEIRKSAKKVQEAMALAAEESSKSKAAKDVIGSLTAQLKDMAERLPPGAYDAENMRTAYLTNSLEPNGIHYADANIYHYADGNGGMHSSSDSISRTFLASPSGIESTLSNGAQDPAHSFGDPSPNNGRVGHPDAGLPNGGGGVQSISTVSDESRSLHDGDNGARSRDTALVASSNQVEAEWIEQYEPGVYITLMALHDGFRDLKRVRFSRRRFGEHQAETWWSENRDKVYEKYNIRWSDKSSFSGQAARRSEGAMSSSSQP >Manes.18G089900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8258782:8268055:-1 gene:Manes.18G089900.v8.1 transcript:Manes.18G089900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNAERDIKQALIALKKGAQLLKYGRKGKPKFCPFRLSNDETTLIWISSSGERSLKLASVSKILPGQRTAVFQRHLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSAEGLYLDDSRDLTSNNASDSSISVPRDISSPDISVSFNPSASPGSSRPGNSPNSDRSHVASDNTNMLVKGSGSDAFRVSVSSAQSTSSHGSAPDDCEALGDVYIWGEVICDNTVKVGADKNGNHLSSRADVLLPRPLESNVVLDVHHIACGVTHAALVTRQGEVFTWGEESGGWLGHGVGKDVIRPRLIESLAVTTIDFVACGEFHTCAVTMSGELYTWGDGMHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVACGPWHTALVTSTGKLFTYGDGTFGVLGHGDRENVAYPREVGSLSGLRTIAVACGVWHTAAVVEVVVTQSSSSVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYDSHKIACGHSLTVGLTTSGQVFTMGSTVYGQLGNPYADGKLPCLVEDKLSGESVEEIACGAYHIAVLTSRNEVYTWGKGTNGRLGHGDVEDRKTPTLVEALKDRHVKYIACGANYTAAICLHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKAVRAALAPNPRKPYRVCDSCFVKLNKVSEASTHNRKNSVPRLSGENKDRLDKSEVRLSKSSLPLNLDLIKQLDSKAARQGKKADTFSLVRSTQAPSLLQLKDVVLSSAVDLRPRVPKTVIAPSEVSARSVSPLSRRPSPLRSATPVPTTSGLSFSKNVTDSLKKTNELLNQEVLKLRTQVESLRQRCEFQDIEIRKSAKKVQEAMALAAEESSKSKAAKDVIGSLTAQIISS >Manes.18G089900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8258781:8268055:-1 gene:Manes.18G089900.v8.1 transcript:Manes.18G089900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNAERDIKQALIALKKGAQLLKYGRKGKPKFCPFRLSNAVFQRHLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSAEGLYLDDSRDLTSNNASDSSISVPRDISSPDISVSFNPSASPGSSRPGNSPNSDRSHVASDNTNMLVKGSGSDAFRVSVSSAQSTSSHGSAPDDCEALGDVYIWGEVICDNTVKVGADKNGNHLSSRADVLLPRPLESNVVLDVHHIACGVTHAALVTRQGEVFTWGEESGGWLGHGVGKDVIRPRLIESLAVTTIDFVACGEFHTCAVTMSGELYTWGDGMHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVACGPWHTALVTSTGKLFTYGDGTFGVLGHGDRENVAYPREVGSLSGLRTIAVACGVWHTAAVVEVVVTQSSSSVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYDSHKIACGHSLTVGLTTSGQVFTMGSTVYGQLGNPYADGKLPCLVEDKLSGESVEEIACGAYHIAVLTSRNEVYTWGKGTNGRLGHGDVEDRKTPTLVEALKDRHVKYIACGANYTAAICLHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKAVRAALAPNPRKPYRVCDSCFVKLNKVSEASTHNRKNSVPRLSGENKDRLDKSEVRLSKSSLPLNLDLIKQLDSKAARQGKKADTFSLVRSTQAPSLLQLKDVVLSSAVDLRPRVPKTVIAPSEVSARSVSPLSRRPSPLRSATPVPTTSGLSFSKNVTDSLKKTNELLNQEVLKLRTQVESLRQRCEFQDIEIRKSAKKVQEAMALAAEESSKSKAAKDVIGSLTAQIISS >Manes.17G079600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28470163:28470951:1 gene:Manes.17G079600.v8.1 transcript:Manes.17G079600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEAQFGIDAPADQFHDVFSCRPHHISNMSPHNIHDCALHEGEWGKEGAIVCWKYFHDGSAKVAKQVIETIDDVNLLTVFKMIEGDLLKEYKSFKFTVQATPKGESSVVCWTLEYEKIHENIQDPYSLLEFIVQFSKDVSAHLVKCPKK >Manes.01G105800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30538614:30539163:-1 gene:Manes.01G105800.v8.1 transcript:Manes.01G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAANLWRSPIPYLFGSLALMFMIIAAALIILACSYCKDQCSRDEEGEKPRKSSSISGVEAEPKIVVIMAGDQKPRYLAKPAVVTLAACNCELV >Manes.05G035800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2980925:2981485:-1 gene:Manes.05G035800.v8.1 transcript:Manes.05G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQVCSSILLLGILFLVISIAESQTCKPSGKIRGRKPPPGQCNQENDSDCCVDGKLYTTYKCSPPVSSHTKAKLTVNSFEPGGDGGAPSECDNKYHSDKELVVALSTGWFNNKSRCLNYITIYGNGKSVKAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWNALGVSDPDDVGEMDIYWTDA >Manes.11G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26926754:26927837:-1 gene:Manes.11G114400.v8.1 transcript:Manes.11G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTELSLAPSQLNSSFSESENNFSSSSSSSRKRKFFSDHHLLKRTLPPFQTSTVDLHVKEPLPMDWEQCLDLESGKMYYLNRKTLRKSWNWPKVDQKLDLELNMSPLSDSPNHHQPCRNSNHINNSSLEEYSKKLHASSSFSNNNTVALACLNCHLLVILSKSSPSCPNCKYVHSLPTHQASQPKISPTKSLNTLSLLN >Manes.08G075185.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16839265:16842104:-1 gene:Manes.08G075185.v8.1 transcript:Manes.08G075185.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENRGSIAFFATYKPPVPLDIYSCPLPPTGRHDELHMTDGLSSNYDCRIIPSEALKTIIKRPKLASEANEADVDSGRLSGLIFVSERDKNLETLHIALRFTEKVKVFSFSDVYGTFSDVRMEDSGSIGGGYRVGNRTIDHYVVYVTTKDTPKDRRQPWTAVYKTNLKTGKTERLTPSGVSDLSPSVSPSGRKIAVASFQGKGWNGEIEDLQTDIYVMNVEKPPLERKKIIKNGGWPTWGSDNIIFFHRKVGDFWGVFRFDIASGEAVRVTTDGIDAITPAAISDTKVAVATIRKKSSFSDVRGEAQYRHIEIFDSSAPDQPIKITQITRPKADHFNPFVLDGGKRIGYHRCKSDLLNHGDEIPRNFHKLHSPHPDVGLFRVSGVFPTFSKDGSKLAFVDNEFKAVWVADSQGLRIVFETKGPDNIFSPVWNQNPQKDILYVCMGPSFNAGKTLEICAIPNVSSGARQRRKLTNKFNNAFPSTSPDGKKLVFRSTRDGGDKKHKNLYIMENAEVGEYLDGTITRLTNGPWTDTHCQWSPSGDWIVFSSTRDKPKDAPETDNGLDPGYFSVFLIKANDPSVVVRVIKSGDDLSGHVNHPFFSPDGKSIAVTSDLAAVSVDPISLPLFLHSVRPYGDVFTVDINTDDITKNKDVKKFNRITHSRYENSTPTWTMFATADPNATWNLLLKDGYTPSCPYMYPDGGESWHMTGHLCIPKRCC >Manes.03G080200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14342665:14348568:-1 gene:Manes.03G080200.v8.1 transcript:Manes.03G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSMAETTITSLSSSSPITNMDTDHLFTILLRLPIDSVISFSMTCKRFRSLTSSDSLWESICRRDWGPTSVDALKSSVNNQHLPWMRLYKQVSQLDSICCHKLCNPDPESVLPSARASHSLNFVSDCLVLFGGGCEGGRHLDDTWVAYIGNGFRKTVKWQKVDSGVPSGRFGHTCVVIGHHLVLFGGINDHGIRQNDTWIGQLVFNDNLCISLSWRLLHSQSLAPPPRGAHAACCIDQRRMVIQGGIGLHGVRLGDTWVLELSENLRFGAWHELVTHPSPSPRSGHSLACIGGTRVVLFGGRGLGYEVLNDVWLLQIFDGQLKWVQILYELQNIPDGVLLPRVGHSATPILGGRMLIYGGEDSFRHRKNDFWVLDISSVTSIQEHPTALLPNMWKRLKVKGYKPKQRSFHRACADHSGHYLYVFGGMVDGLLQPAEASGLRFDEELFLVELGTLL >Manes.11G162400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32743349:32745374:-1 gene:Manes.11G162400.v8.1 transcript:Manes.11G162400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEVPKYKLVTPSILSDRLRINGSLARRAIKDLMARGSIRMISAHASQQIYTRSTNT >Manes.09G016655.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3446765:3448540:-1 gene:Manes.09G016655.v8.1 transcript:Manes.09G016655.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFSHLHLVDLGFSVFGKMLKLGLEPDVVTFTTLINGLCTESKIDKAVEFFDDMVARGYQPNFYTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALDLFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRRVEPNVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVQPDVVTYNSLMDGYCLCKQIDKARKLFDLMVTNEIANIFSYTILISGYCKCKMIDDAKELFDEMPHKGLVPSVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMMNGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCQQGLMDEAYKVFRDMEKGGCLPNNYCYNIIIQGFLKHEDLPQASEIINEMVDKGFSADAATTKLVVHLSRNNDLILRLLKVRNEG >Manes.02G220780.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22121498:22128640:-1 gene:Manes.02G220780.v8.1 transcript:Manes.02G220780.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLAIGGRIAGEIAKYLVGVLLAVGGAIAEEIAKNLVATIWRPIYYLIYYKHNMENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEERNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDHLRVIRICGMSGIGKTTVVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLEDHLKCPKLELLQLWHDSQLESLPINVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVEYLRYIPPGVLLRLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVRISESPKSPLPYLSNLRKVDIRRCDELKYLIPLSMARELRQLHSLTVMSCEKMEGIFYRNKVNDEIESPLTTLYLYNLPNFIGFIYKDIEESSASEMNNRIEIVQSKTEPVEKISILFSSLWLRLSKLQKLTLYKCGLVKALFPPSVAQQFAQLKELNISSCCKMEYIVAEAKEEEKNKGISKIAFPNLTKLGLDDLPELVAFFADNDISFELYSLVYLKMSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSQLLNLRELHIGHCNFQEAAFPLSVAQQLVQLKELSIWSCEKMEYIIAKDKGRSKIVLFPSLTYLQLLYLPNLMGFCEDNNVSLKWSLLERLRFSECRKMKTFCVSVPKSSTLSTSAEVDHLDTIFCATLIPRRRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGVLNSVVEIWLVNLPNLKHVWFKIPPEITAFQNLRELIVTDCDNLINLFSICSAKLVGKLKSIRIRRCKRMEEIIGKEEEEISTQKIEFPQLRSLKLEDLPNLNSFCNTIYALEFPFLETLEFWKCKRMETFSYGSLSMPKLEKVVINGGWHRLMRSDPNLNAKMSELLKMNQ >Manes.02G220780.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22120999:22128640:-1 gene:Manes.02G220780.v8.1 transcript:Manes.02G220780.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLAIGGRIAGEIAKYLVGVLLAVGGAIAEEIAKNLVATIWRPIYYLIYYKHNMENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEERNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDHLRVIRICGMSGIGKTTVVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLEDHLKCPKLELLQLWHDSQLESLPINVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVEYLRYIPPGVLLRLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVRISESPKSPLPYLSNLRKVDIRRCDELKYLIPLSMARELRQLHSLTVMSCEKMEGIFYRNKVNDEIESPLTTLYLYNLPNFIGFIYKDIEESSASEMNNRIEIVQSKTEPVEKISILFSSLWLRLSKLQKLTLYKCGLVKALFPPSVAQQFAQLKELNISSCCKMEYIVAEAKEEEKNKGISKIAFPNLTKLGLDDLPELVAFFADNDISFELYSLVYLKMSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSQLLNLRELHIGHCNFQEAAFPLSVAQQLVQLKELSIWSCEKMEYIIAKDKGRSKIVLFPSLTYLQLLYLPNLMGFCEDNNVSLKWSLLERLRFSECRKMKTFCVSVPKSSTLSTSAEVDHLDTIFCATLIPRRRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGVLNSVVEIWLVNLPNLKHVWFKIPPEITAFQNLRELIVTDCDNLINLFSICSAKLVGKLKSIRIRRCKRMEEIIGKEEEEISTQKIEFPQLRSLKLEDLPNLNSFCNTIYALEFPFLETLEFWKCKRMETFSYGSLSMPKLEKVVINGGWHRLMRSDPNLNAKMSELLKMNQ >Manes.02G220780.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22120999:22128608:-1 gene:Manes.02G220780.v8.1 transcript:Manes.02G220780.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLAIGGRIAGEIAKYLVGVLLAVGGAIAEEIAKNLVATIWRPIYYLIYYKHNMENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEERNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDHLRVIRICGMSGIGKTTVVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLEDHLKCPKLELLQLWHDSQLESLPINVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVEYLRYIPPGVLLRLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVRISESPKSPLPYLSNLRKVDIRRCDELKYLIPLSMARELRQLHSLTVMSCEKMEGIFYRNKVNDEIESPLTTLYLYNLPNFIGFIYKDIEESSASEMNNRIEIVQSKTEPVEKISILFSSLWLRLSKLQKLTLYKCGLVKALFPPSVAQQFAQLKELNISSCCKMEYIVAEAKEEEKNKGISKIAFPNLTKLGLDDLPELVAFFADNDISFELYSLVYLKMSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGVLNSVVEIWLVNLPNLKHVWFKIPPEITAFQNLRELIVTDCDNLINLFSICSAKLVGKLKSIRIRRCKRMEEIIGKEEEEISTQKIEFPQLRSLKLEDLPNLNSFCNTIYALEFPFLETLEFWKCKRMETFSYGSLSMPKLEKVVINGGWHRLMRSDPNLNAKMSELLKMNQ >Manes.02G220780.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22120999:22128608:-1 gene:Manes.02G220780.v8.1 transcript:Manes.02G220780.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLAIGGRIAGEIAKYLVGVLLAVGGAIAEEIAKNLVATIWRPIYYLIYYKHNMENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEERNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDHLRVIRICGMSGIGKTTVVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRQLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLEDHLKCPKLELLQLWHDSQLESLPINVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLLNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVEYLRYIPPGVLLRLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVRISESPKSPLPYLSNLRKVDIRRCDELKYLIPLSMARELRQLHSLTVMSCEKMEGIFYRNKVNDEIESPLTTLYLYNLPNFIGFIYKDIEESSASEMNNRIEIVQSKTEPVEKISILFSSLWLRLSKLQKLTLYKCGLVKALFPPSVAQQFAQLKELNISSCCKMEYIVAEAKEEEKNKGISKIAFPNLTKLGLDDLPELVAFFADNDISFELYSLVYLKMSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSQLLNLRELHIGHCNFQEAAFPLSVAQQLVQLKELSIWSCEKMEYIIAKDKGRSKIVLFPSLTYLQLLYLPNLMGFCEDNNVSLKWSLLERLRFSECRKMKTFCVSVPKSSTLSTSAEVDHLDTIFCATLIPRRRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGVLNSVVEIWLVNLPNLKHVWFKIPPEITAFQNLRELIVTDCDNLINLFSICSAKLVGKLKSIRIRRCKRMEEIIGKEEEEISTQKIEFPQLRSLKLEDLPNLNSFCNTIYALEFPFLETLEFWKCKRMETFSYGSLSMPKLEKVVINGGWHRLMRSDPNLNAKMSELLKMNQ >Manes.18G105616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10316021:10317223:1 gene:Manes.18G105616.v8.1 transcript:Manes.18G105616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLISAISLLSFLLLCSVTCSVGKKIHHCALSCGNLQNISYPFGIVGYPSNCGDPSYLFECENNKTVLRLFAGKYYVQEINRSNKTIRLVDADLQQGNCSSLPLSPFTNDNFIYYSYHSYQLPASSVKITYMKCENSVDSTLFVQTHPCVDGVYSNNKKHSYSYVVFGDLEFLEIPNLCRVDLAVTVSSIKCHDKNCSYLDVFKGLLNGFELSWSEMYCKECKSKFMKRGYCIFNDDYSKFLECSNHLLWNFIYGAFIHLPLILAIRCFCGIPCLATFFIIRWRRRHLSMYDEV >Manes.12G024800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2103025:2106411:-1 gene:Manes.12G024800.v8.1 transcript:Manes.12G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPAYDSKNSISANNPTKKLLLSPDSFINLDHGDPTIFQPYWRKMGERCTMEISGSDLMSYFSDVGNFCWFLEPQLRDAIKRLHRTVGNAVTEDRYVLVGTGSTQLFQAALYAVSSPGGSDRTSVVCAAPYYSSYKEETDFLQSGLYKWAGDAYAFDKEGPYIEIVTSPNNPDGSIREAVVNREEGKLIHDLAYYWPQYTPITRPADYDIMLFTFSKSTGHAGSRIGWAIVKDEVVARKMAKFIELSSIGVSKESQLRAAKILGVVIEGCQHFGTADIENFFEYGQRLMSERWEKLKAVVKNSQIFGLPKYPIEWCSFTGKYIESHPAFAWLKCKEDTDIETILRANKMQTRGGERFGVGREYVRISLLSPEEMFNLFLERLSAIEEKGITYEKNHK >Manes.02G108100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11517747:11519390:1 gene:Manes.02G108100.v8.1 transcript:Manes.02G108100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNHRAKCCVYKLIKLLSRFPVIYTSKKSNNNKQAHCKIKKVKNEPPGSVEVTSITHLSKLRLLSIYIRNHLIYSGIHCKKTTDSILQPKMNLNREGTKVQRLYDACNHVFAQKGLPNFLQLQSLKNLLDTFEAVDVGIDEFSLPGSPSSSPEGIKELICGQGVAEITYIHIHECDNFSIGIFCFPAGANFPLHDHPRMTVLSKVLYGSVHVKAYDWVKVENSSSRTIGLARSVVNGIQSAPCEPSILFPKSGGNIHSFTALTPCAILDVLSPPYSEDHGRSSTYFSEFPIHGLPGYCVLEERDLPGDFAVVGASYLGPDLIFP >Manes.05G206000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33254513:33258821:-1 gene:Manes.05G206000.v8.1 transcript:Manes.05G206000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGITVADRKLVVLGNAVLHDVHDNIEITPAAGDAFVNGAFIGVRSDQIGSRKVFPVGKLEGLRFMCVFRFKLWWMTQRMGNCGQDIPFETQFLIVEARDGSHFDGGDEQSAVYVVFLPILEGDFRAVLQGNERNELEICLESGDPAVEEFEGSHLVFVAAGADPFDVITNAVKTVERHLQTFSHRERKKMPDLLNWFGWCTWDAFYTDVTAEGVKRGLESLSKGGISPKFVIIDDGWQSVGMDPTSIEAKADNTANFSNRLTHIKENHKFQKNGKEGQRVEDPALGLRHIVTEVKEHHRLKYVYVWHAITGYWGGVKPGVNEMEHYESKMTFPISSPGVQSNEHCDCLQSITKNGLGLVNPEKVFNFYNELHSYLSSAGINGVKVDVQNILETLGAGHGGRVKLARKYHQALEASIARNFPDNGIISCMSHNTDGLYSAKRTAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSLLKIWNLNDFNGVLGVFNCQGAGWCKVGKTNLIHDENPCTITGSIRAKDVDYLPKVADHGWNGDSVIYSHLSGDVIYVPKDVTLPITLKSREYDVFTVVPVKELSSGAKFAPIGLLKMFNSGGAIKELKYDCETSPAVNIKARGCGLFGAYSSARPKKITVDFKEVEFEYEEGCGLITLHLRVPEEELYFWNIAIDV >Manes.11G000800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:174832:177147:-1 gene:Manes.11G000800.v8.1 transcript:Manes.11G000800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFGRQKKKKNDLYSTSGYSNGYHNHELGLVTGNEPEGGHVAVALADPGDGFRFSTEQQPQLEAEQKVTPCTDFDMASFHSYAHVGIHEDKIKVSIEIFFYLHRCYCFFVSLGFGIGILVTLLSSFSPVYECVIVTLLTNYIFPRLFPFQNLYWT >Manes.11G000800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:174832:177147:-1 gene:Manes.11G000800.v8.1 transcript:Manes.11G000800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFGRQKKKKNDLYSTSGYSNGYHNHELGLVTGNEPEGGHVAVALADPGDGFRFSTEQQPQLEAEQKVTPCTDFDMASFHSYAHVGIHEDKIKVSIEIFFYLHRICIGRRSVSGK >Manes.06G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21788313:21791733:-1 gene:Manes.06G082500.v8.1 transcript:Manes.06G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHFSSLTGSITKLCSFTILLLFLLSCSTFTPTDAYDALDPNGNITIKWDVISWTPDGYVAVVTMYNFQQYRHIQAPGWTLGWTWAKKEVIWSMMGAQTTEQGDCSKYKGNVPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVINSWAQDPATAVSSFQVSVGAAGTSNKTVRLPKNFTLKAPGPGYTCGPAKIVRPTKFISADKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVGCPTCACGCKNSTNGGSCVNPDTPHLASVVSSGKNDMSPLVQCTSHMCPIRVHWHVKLNYKEYWRVKVTITNFNYRMNYTQWNIVVQHPNFDNLTQIFSFHYKPLTPYDGLNDTAMLYGVKFYNDFLSQAGPFGNVQSELLFRKDKSTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNDGSRLSISLLSPVMTFLVYIVFFLACM >Manes.15G019500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1629077:1632071:-1 gene:Manes.15G019500.v8.1 transcript:Manes.15G019500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGQMQRTWVEYMKSLFREGFLDGQFTQLQLLQDESNPDFVVEVVSLFFEDSERLLNDLTRALDQQIVDFKRVDAHVHQFKGSSSSIGAQRVRNACIAFRNFCEEQNSEMCLKCLQQVKQEYNLVKNKLENLIRLEQQIVAAGGSIPMEELSF >Manes.15G019500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1629589:1632071:-1 gene:Manes.15G019500.v8.1 transcript:Manes.15G019500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGQMQRTWVEYMKSLFREGFLDGQFTQLQLLQDESNPDFVVEVVSLFFEDSERLLNDLTRALDQQIVDFKRVDAHVHQFKGSSSSIGAQRVRNACIAFRNFCEEQNSEMCLKCLQQVKQEYNLVKNKLENLIRLEQQIVAAGGSIPMEELSF >Manes.12G047100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4228777:4236494:1 gene:Manes.12G047100.v8.1 transcript:Manes.12G047100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRLRYVDSAFLGEGQPVARERQMDLEEQRKQPQEEEELEVGLRGRPSRRPSGQKTPTFTTTAPSSGVYSSSQRQELEPENGIFFNKVEGTESINGFSDDSKDVNDDVAPISESTSLLAESSLPVSDNGTGYESTTVRKESRQELYLDEVYKKPVTHEFYCPHCHSCITKVVIREIDSAHRVGLLRCTSCFSFLTLAGEWLLSNFAPKGEGVGKQDPAETNVPQDLPDDSLIQTTSVVDSPATQIVSSPAEMVKQNQNLGFSLDEKHSQKEPEPDERPEIQADIKGKLTTQKTDEAVETIPSTAIIIFDDGQTQARDETKLEILKSIVYGGLMESITSLGVVTSAASADAATLNILALALANLVSGLFIIGHNLRELKNEQPGGVPSDQTNEREERYEELLGKRQNFILHATIVLLSFIVFGLVPPVVYGFSFHKSDNKDYKLAAVAAASLLCITLLAIGKAYVQKPPKNYLITVLKYAVIGVMASGVSYVAGDLVKKIIEKSGFFQSDVAVTAPLAGMKSDTLEWASY >Manes.12G047100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4228777:4236494:1 gene:Manes.12G047100.v8.1 transcript:Manes.12G047100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRLRYVDSAFLGEGQPVARERQMDLEEQRKQPQEEEELEVGLRGRPSRRPSGQKTPTFTTTAPSSGVYSSSQRQELEPENGIFFNKVEGTESINGFSDDSKDVNDDVAPISESTSLLAESSLPVSDNGTGYESTTVRKESRQELYLDEVYKKPVTHEFYCPHCHSCITKVVIREIDSAHRVGLLRCTSCFSFLTLAGEWLLSNFAPKGEGVGKQDPAETNVPQDLPDDSLIQTTSVVDSPATQIVSSPAEMVKQNQNLGFSLDEKHSQKEPEPDERPEIQADIKGKLTTQKTDEAAVETIPSTAIIIFDDGQTQARDETKLEILKSIVYGGLMESITSLGVVTSAASADAATLNILALALANLVSGLFIIGHNLRELKNEQPGGVPSDQTNEREERYEELLGKRQNFILHATIVLLSFIVFGLVPPVVYGFSFHKSDNKDYKLAAVAAASLLCITLLAIGKAYVQKPPKNYLITVLKYAVIGVMASGVSYVAGDLVKKIIEKSGFFQSDVAVTAPLAGMKSDTLEWASY >Manes.12G047100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4229313:4236494:1 gene:Manes.12G047100.v8.1 transcript:Manes.12G047100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEQRKQPQEEEELEVGLRGRPSRRPSGQKTPTFTTTAPSSGVYSSSQRQELEPENGIFFNKVEGTESINGFSDDSKDVNDDVAPISESTSLLAESSLPVSDNGTGYESTTVRKESRQELYLDEVYKKPVTHEFYCPHCHSCITKVVIREIDSAHRVGLLRCTSCFSFLTLAGEWLLSNFAPKGEGVGKQDPETNVPQDLPDDSLIQTTSVVDSPATQIVSSPAEMVKQNQNLGFSLDEKHSQKEPEPDERPEIQADIKGKLTTQKTDEAAVETIPSTAIIIFDDGQTQARDETKLEILKSIVYGGLMESITSLGVVTSAASADAATLNILALALANLVSGLFIIGHNLRELKNEQPGGVPSDQTNEREERYEELLGKRQNFILHATIVLLSFIVFGLVPPVVYGFSFHKSDNKDYKLAAVAAASLLCITLLAIGKAYVQKPPKNYLITVLKYAVIGVMASGVSYVAGDLVKKIIEKSGFFQSDVAVTAPLAGMKSDTLEWASY >Manes.12G047100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4228777:4236494:1 gene:Manes.12G047100.v8.1 transcript:Manes.12G047100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRLRYVDSAFLGEGQPVARERQMDLEEQRKQPQEEEELEVGLRGRPSRRPSGQKTPTFTTTAPSSGVYSSSQRQELEPENGIFFNKVEGTESINGFSDDSKDVNDDVAPISESTSLLAESSLPVSDNGTGYESTTVRKESRQELYLDEVYKKPVTHEFYCPHCHSCITKVVIREIDSAHRVGLLRCTSCFSFLTLAGEWLLSNFAPKGEGVGKQDPETNVPQDLPDDSLIQTTSVVDSPATQIVSSPAEMVKQNQNLGFSLDEKHSQKEPEPDERPEIQADIKGKLTTQKTDEAVETIPSTAIIIFDDGQTQARDETKLEILKSIVYGGLMESITSLGVVTSAASADAATLNILALALANLVSGLFIIGHNLRELKNEQPGGVPSDQTNEREERYEELLGKRQNFILHATIVLLSFIVFGLVPPVVYGFSFHKSDNKDYKLAAVAAASLLCITLLAIGKAYVQKPPKNYLITVLKYAVIGVMASGVSYVAGDLVKKIIEKSGFFQSDVAVTAPLAGMKSDTLEWASY >Manes.12G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4229313:4236494:1 gene:Manes.12G047100.v8.1 transcript:Manes.12G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEQRKQPQEEEELEVGLRGRPSRRPSGQKTPTFTTTAPSSGVYSSSQRQELEPENGIFFNKVEGTESINGFSDDSKDVNDDVAPISESTSLLAESSLPVSDNGTGYESTTVRKESRQELYLDEVYKKPVTHEFYCPHCHSCITKVVIREIDSAHRVGLLRCTSCFSFLTLAGEWLLSNFAPKGEGVGKQDPAETNVPQDLPDDSLIQTTSVVDSPATQIVSSPAEMVKQNQNLGFSLDEKHSQKEPEPDERPEIQADIKGKLTTQKTDEAAVETIPSTAIIIFDDGQTQARDETKLEILKSIVYGGLMESITSLGVVTSAASADAATLNILALALANLVSGLFIIGHNLRELKNEQPGGVPSDQTNEREERYEELLGKRQNFILHATIVLLSFIVFGLVPPVVYGFSFHKSDNKDYKLAAVAAASLLCITLLAIGKAYVQKPPKNYLITVLKYAVIGVMASGVSYVAGDLVKKIIEKSGFFQSDVAVTAPLAGMKSDTLEWASY >Manes.12G047100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4228777:4236494:1 gene:Manes.12G047100.v8.1 transcript:Manes.12G047100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRLRYVDSAFLGEGQPVARERQMDLEEQRKQPQEEEELEVGLRGRPSRRPSGQKTPTFTTTAPSSGVYSSSQRQELEPENGIFFNKVEGTESINGFSDDSKDVNDDVAPISESTSLLAESSLPVSDNGTGYESTTVRKESRQELYLDEVYKKPVTHEFYCPHCHSCITKVVIREIDSAHRVGLLRCTSCFSFLTLAGEWLLSNFAPKGEGVGKQDPAETNVPQDLPDDSLIQTTSVVDSPATQIVSSPAEMVKQNQNLGFSLDEKHSQKEPEPDERPEIQADIKAAVETIPSTAIIIFDDGQTQARDETKLEILKSIVYGGLMESITSLGVVTSAASADAATLNILALALANLVSGLFIIGHNLRELKNEQPGGVPSDQTNEREERYEELLGKRQNFILHATIVLLSFIVFGLVPPVVYGFSFHKSDNKDYKLAAVAAASLLCITLLAIGKAYVQKPPKNYLITVLKYAVIGVMASGVSYVAGDLVKKIIEKSGFFQSDVAVTAPLAGMKSDTLEWASY >Manes.12G047100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4228777:4236494:1 gene:Manes.12G047100.v8.1 transcript:Manes.12G047100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRLRYVDSAFLGEGQPVARERQMDLEEQRKQPQEEEELEVGLRGRPSRRPSGQKTPTFTTTAPSSGVYSSSQRQELEPENGIFFNKVEGTESINGFSDDSKDVNDDVAPISESTSLLAESSLPVSDNGTGYESTTVRKESRQELYLDEVYKKPVTHEFYCPHCHSCITKVVIREIDSAHRVGLLRCTSCFSFLTLAGEWLLSNFAPKGEGVGKQDPETNVPQDLPDDSLIQTTSVVDSPATQIVSSPAEMVKQNQNLGFSLDEKHSQKEPEPDERPEIQADIKGKLTTQKTDEAAVETIPSTAIIIFDDGQTQARDETKLEILKSIVYGGLMESITSLGVVTSAASADAATLNILALALANLVSGLFIIGHNLRELKNEQPGGVPSDQTNEREERYEELLGKRQNFILHATIVLLSFIVFGLVPPVVYGFSFHKSDNKDYKLAAVAAASLLCITLLAIGKAYVQKPPKNYLITVLKYAVIGVMASGVSYVAGDLVKKIIEKSGFFQSDVAVTAPLAGMKSDTLEWASY >Manes.01G078900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28197603:28197923:-1 gene:Manes.01G078900.v8.1 transcript:Manes.01G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVDSIALLLSLNLLFFSMGSAATCPVDALKFKVCANVLGLIKIPPEAPCCSLIANLVDLEAALCLCTAIKANVLGINLNIPVDLSLVLNKCGKKVPEGFQFP >Manes.04G155200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35025836:35026660:-1 gene:Manes.04G155200.v8.1 transcript:Manes.04G155200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPAVAVHQQSSSSDASSMSATSQAADHDHGHSQMQKSEPPPAATSTHESSSHVLLDLKLSTDDSVRGSKFELNLFTPVTANNESVTDHEKRSNPNDQSRVFTCNFCKREFSTSQALGGHQNAHKQERAIAKRRQGMDLVGGFGHLSYDYPYSSLSTHHHPLYGSLKYSSSSSSSYPWMSSGGAAHRYSHGGWPRLGFINNSGGFAVLSGSSSSRLITENKSTPFPNYLSASPSNISTTIRTLYTGDHLRRAVDLSTNDQVDASGIDLSLKL >Manes.16G021600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2407628:2413414:1 gene:Manes.16G021600.v8.1 transcript:Manes.16G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRELYPSQDDLLYEEELLRNPFSLKLWWRYLIARREAPFKKRFIIYERALKALPGSYKLWHAYLTERLEIVRNLPITHSQYETLNNTFERALVTMHKMPRIWIMYLQTLTNQKLITRTRRTFDRALCALPVTQHDRIWELYLRFVSQEGVPIETSLRVYRRYLKYDPSHIEDFIEFLVNSRLWQEAAERLASVLNDDQFYSIKGKTKHTLWLELCDLLTRHAKEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRGLFEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESMVAHKMENLDLSDEEEDELEENGSLQDEDVRLEVNSKFEKKILSGFWLHDDNDVDLMLARLEYLMDRRPELANSVLLRQNPHNVEQWHRRIKLFEGNPTKQILTYTEAVRTVDPMKALGKPHTLWVAFAKLYENHNDLVNARVIFDKAVQVNYKTVDNLASIWCEWAEMEIRHKNFKGALELLRRATAEPSVEVKRRVAADGNEPVQMKLHKSLRLWTFYVDLEEGLGTLESTRAVYERILDLRIATPQIIINYSLLLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKSKLERARELFEHAIEMTPADSVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLEMYKIYIARAAEIFGVPKTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIDRARGIYVFASQFADPRSDEDFWNEWHEFEVKHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQRLNIDEAKDKLKLAGVPEDEMAALERQLAPVANNAKAKDSSRKVGFVSAGVESQPDGAMKGNANQEDIELPEESDSEDDEKVEITQKDVPSAVFGGLVRKREETEKEELGDHATAAKDKDGGAGPLGALERIKRLKRG >Manes.14G003866.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1534287:1535702:1 gene:Manes.14G003866.v8.1 transcript:Manes.14G003866.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSNFLKLYAESLLIHHVQRNGAKLFAVGTTSSLVGTVVTNTLINARKAVDKCSAGEVENVPIAILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKLMLSAICFAVRTANTYLGSLLWVDYARLIGNQKA >Manes.14G003866.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1534287:1535676:1 gene:Manes.14G003866.v8.1 transcript:Manes.14G003866.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSNFLKLYAESLLIHHVQRNGAKLFAVGTTSSLVGTVVTNTLINARKAVDKCSAGEVENVPIAILSTSVAYGVYMAVSSNLRYQVLAGVIEQRILEPLLHQHKLMLSAICFAVRTANTYLGSLLWVDYARLIGNQKA >Manes.05G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:967166:972553:-1 gene:Manes.05G010100.v8.1 transcript:Manes.05G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDEARILLGFPPNSRPTLSQVKAAYRKKVWESHPDLFPFDEKPMAESRFKLMSEAYTYLLSGARWTHSTSATYTRVVRTGMPRAHGGRSNQALIRLPFFFIILGTVGLGGLNATRAYKKQKETYPSHNPFLP >Manes.09G169000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36127947:36131134:1 gene:Manes.09G169000.v8.1 transcript:Manes.09G169000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITGEGESFSSGNTTAVGEVEVHQQQEQEQEHLNHLNSIASRTTTTANGSTAEENQPTTVFKKKRSLPGNPDPSAEVIALSPNTLVATNRFVCEICNKGFQREQNLQLHRRGHNLPWKLRQRTSKEIKKRVYVCPEPSCVHHNPARALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHTKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALTEENNKANQGLLSNMEENLHGQIPNLISMPVNKASNFNHLDTKIPLSLPHELMAMQPKNSGTMLSSSSTTSLFGGRAMSNTSTIFEGNNGHLAAGTSASMSATALLQKAAQMGATATNSNLVTSPMTHKSFVTSMAPSTFGQLQTSNDQSQVTAAEGNGGLIDQFFSGIIENSAANHMGMFNGVLAQNSAFFKNIEHGSSNNKSFFHGVNSNPGLRIPSTAGANNNPSGLARFHGDVMTVDFLGVGGSRQRNIHEQQKQEMEFRGIGDPRIHQGLGHFEQQAAALEKPMWDV >Manes.04G087600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29282351:29289583:-1 gene:Manes.04G087600.v8.1 transcript:Manes.04G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYYYGSRRRRVSIHFLLVAVSVFTGFGVLLLTLRSVDPPSNDGFFLSDHEAEEDDRGAAGGLDSISASKNGTISAEMDVSREKSGGSKSCATVEEMGESFKGAVWKESLRVRRIIQEHFSVNGASRIRSLPPEQFCKHGFVLGKASEAGFGNEMYKILNAAALSIMLNRSLIIGQTRGKYPFGDYISYTNHSFTLNEVKHLWRQNSCVTNYGRHLVMRIDDFEKPAKTNVLCSNWRKWEQPIIWFQNTTDAMASQFFLKNVYREMRDAASDLFGHPEQFLSRPNVFGELMKVLISPSEDVMGAVSRVLGGGADPDISLHMRMLMNRSLRATQAALNCIKKSLLNQHQTSRPRMVIVSDTPSFVKSITPNISGFAEVLYFDYEHFQGNVSRSANRSHSLDFRVKDWGPAPRWVAFVDFFLASRARHTVISGAHRRVGTTYAQLIAALAAANHLGENSTGSSFSFLSSFQSNLLTDGLKLQVGWGHVWNRFAGPLSCRNQSNQCALTPLLPPAWWDGLWQSPIPRDVHRLKAFGIKLSGFGTVDEYHLQSFCSSKKSTVKTVLII >Manes.07G032200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3520502:3526061:-1 gene:Manes.07G032200.v8.1 transcript:Manes.07G032200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNRNEQMMEALGFRQTKWKRLGWNTNVTAIQRSPTLDKTCQIPRDERSNISNITPNSKDDKKPAAYDNDREKSKLNPELAICRGCLQGLSTTIPCDQSLGEKPTNSSLNCKPSPLFLSPSGIRGPRKRALLVGVTYKNRKYKLKGTVNNVKNMRDFLIDSFFFKPENILVLTEDETEPYLTPTKKNIETSLKWLVKDCQVGDSLVFYFSGHGLRQPDFNSDELDGFDETICPVDFIKEGMIIDNYINSTIVWPLPKGVTLHAIVDASNSSTLDLVNVYDKEKRIWEHNSHASRSRKHTNGGLAISIDFHGYTAFPAWRMNCDSTYILIEIVKNYPAVTFGDLLDLVHEIIDDINSREFVFPRFLRSMLRGKILQKPQLSASEPFDVYKKPFIL >Manes.16G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1659712:1662466:1 gene:Manes.16G016300.v8.1 transcript:Manes.16G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAKATFTSSPNIPFPQDQTPKASKFFCQIEFKTALNPSKWSITHENSLPSTFSNQLIYLDDEQSSTIKDDFNMKNLQKKLRSLMHNVNKEGEDAAQGLAMVDAIQRLGIEYHFQEEIDMILQRHYMMHGTYNYNDLHEAALGFRLLRQEGYHVLAGVFDKFKDREGKFKQNVDYDIKGLLGLYEASQLSIGREDHILDEAGDYSYRLLNSWVTQLDDNQARAVEKTLEYPHHKSLARFMAKHFIRDLQGGNIGWMNELQQLAKLDFTRVQSQCQQEILQISRWWKDLGLSAKLKFARNQPLKWYIWSMATLKDSNWSEQRIDLTKSISFIYLIDDIFDVHGTLDELILFTEVIKRWDISAAEQLPDYMTTCFKALDNVTNEISYKVYKQHGWNPVNSLRKAWGSLCSAFLVEGRWFASGQLPSAEEYLENGIVSSGVHVVLVHVFFLLGHGLTREAVELVNSYPPIISSSATILRLWDDLGTAKDEDQDGHDGSYIEYYMKENEGCKVENAREQVKQKISEAWKQLNKECLFRKPFSSTFTDACLNLARMVPLMYNYDHKQRLPVLECLVNSLLTETVPQ >Manes.16G016300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1659723:1662396:1 gene:Manes.16G016300.v8.1 transcript:Manes.16G016300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAKATFTSSPNIPFPQDQTPKASKFFCQIEFKTALNPSKWSITHENSLPSTFSNQLIYLDDEQSSTIKDDFNMKNLQKKLRSLMHNVNKEGEDAAQGLAMVDAIQRLGIEYHFQEEIDMILQRHYMMHGTYNYNDLHEAALGFRLLRQEGYHVLAVFDKFKDREGKFKQNVDYDIKGLLGLYEASQLSIGREDHILDEAGDYSYRLLNSWVTQLDDNQARAVEKTLEYPHHKSLARFMAKHFIRDLQGGNIGWMNELQQLAKLDFTRVQSQCQQEILQISRWWKDLGLSAKLKFARNQPLKWYIWSMATLKDSNWSEQRIDLTKSISFIYLIDDIFDVHGTLDELILFTEVIKRWDISAAEQLPDYMTTCFKALDNVTNEISYKVYKQHGWNPVNSLRKAWGSLCSAFLVEGRWFASGQLPSAEEYLENGIVSSGVHVVLVHVFFLLGHGLTREAVELVNSYPPIISSSATILRLWDDLGTAKDEDQDGHDGSYIEYYMKENEGCKVENAREQVKQKISEAWKQLNKECLFRKPFSSTFTDACLNLARMVPLMYNYDHKQRLPVLECLVNSLLTETVPQ >Manes.01G223500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39002367:39008006:-1 gene:Manes.01G223500.v8.1 transcript:Manes.01G223500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSNAPNPRGLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKLDNGSIKGSLIVGSLEQIKQKEGLRGMYRGLGPTVLALLPNWAVYFTIYEQLKCFLSSNDENHLSIGANVIAASGAGAATTIFTNPLWVVKTRLQTQGMRAGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYETIKFYLADRDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIKKVFQKDGIPGFYRGCATNLLRTTPAAVITFTSFEMIHRFLVTLFPPDPHSHTL >Manes.01G223500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39002367:39007965:-1 gene:Manes.01G223500.v8.1 transcript:Manes.01G223500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSNAPNPRGLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKLDNGSIKGSLIVGSLEQIKQKEGLRGMYRGLGPTVLALLPNWAVYFTIYEQLKCFLSSNDENHLSIGANVIAASGAGAATTIFTNPLWVVKTRLQTQGMRAGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYETIKFYLADRDNTTMDKLSARDVAVASSVSKIFASTLTYPHELMTIVWL >Manes.01G223500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39002367:39007965:-1 gene:Manes.01G223500.v8.1 transcript:Manes.01G223500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSNAPNPRGLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKLDNGSIKGIKGSLIVGSLEQIKQKEGLRGMYRGLGPTVLALLPNWAVYFTIYEQLKCFLSSNDENHLSIGANVIAASGAGAATTIFTNPLWVVKTRLQTQGMRAGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYETIKFYLADRDNTTMDKLSARDVAVASSVSKIFASTLTYPHELMTIVWL >Manes.01G223500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39002367:39007965:-1 gene:Manes.01G223500.v8.1 transcript:Manes.01G223500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDSNAPNPRGLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKLDNGSIKGIKGSLIVGSLEQIKQKEGLRGMYRGLGPTVLALLPNWAVYFTIYEQLKCFLSSNDENHLSIGANVIAASGAGAATTIFTNPLWVVKTRLQTQGMRAGVVPYRSTLSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYETIKFYLADRDNTTMDKLSARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIKKVFQKDGIPGFYRGCATNLLRTTPAAVITFTSFEMIHRFLVTLFPPDPHSHTL >Manes.09G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33118293:33124507:1 gene:Manes.09G128800.v8.1 transcript:Manes.09G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLSFSLTTTSILSHPLFSPVFSLYPNSSLYGYTTTRTISSCHYRPREKWGEVGPMDKRPDGHFRVCSCSSFGVGREEGELEGRSRRKQNEKELVEEEDGESGSEEEEEEEEDMEALSPTAVLPERWDVLGLGQAMVDFSGMVDDEFLERLGLEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGCKPISGPALSVAMAGSVGSDPLGDFYRAKLRRANVNFLSAPVKDGTTGTVIVLTTPDAQRTMLSYQGTSSTVNYDPSLATIVSKTNILIVEGYLFELTDTIKTITRACEAARKNGALVAITASDVSCIERHYDDFWEIVENYADVIFANSDEARALCDFDPKESAISATRYLSHFVPLVSVTDGPRGSYIGVKGEAVYIPPSPCVPVDTCGAGDAYASGILYGILRGISDLKGMGTLAARIAATVVGQQGTRLGVHDALKLAESLTFNLETNTVRSDAGSDQVSSL >Manes.11G031901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3118260:3121948:1 gene:Manes.11G031901.v8.1 transcript:Manes.11G031901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLHHHRQHISITTSRRHYATKYTAKITSTSPTGRTLSAEVTLPLPSDPRGYPLPRRHLICKVTQILLQSHRNTTSHSRPSSSTLQIDTDPFFSLEEYLSSLSISLTPAEASEVLKSLNCPSLALKFFQFCPSLSPNFRHDAFTYSRLILVLSKSTLPDRVNLVRAVVSEMEKHGVRGTISTVNILIGFFGDTEDLDKSVALIEKWGLRMNGYTYKCLVQAYLRSHNSEKGFRVYLEMKRKGYKLDIFAYNMLLDALAKDEKVAEAYKVFEDMKRKYCEPDEYTYTIMIRMTGRIGKCDESLVLFEKMLDKGCSPNLVAYNTMIQALASSRMVDKTIFLFSKMIEKDCQPNEFTFSVILNLLATEGQLHKLDEVIELSRKYMKRSIYAYLVRTLSKLGHSSEAHRLFCKMWSYLDRGDRDACLSVLENLCGAGKIAEAIDMLSKIHEKGITTDTIMYNTVFSALGRLKQISHLHDLYANMKKDGPLPDLFTYNILISSFGRAGKVHEAIKIFEELENSDCKPDIISYNSLINCLGKNGDLDEAHMRFKEMQEKGFNPDVVTYSTLIECFGKTGKVEMACRLFDEMLASGCCPNIVTYNILLDCLERSGRTAEAVDLYAKLKQQGLTPDSITYSVLERLQSGSHAKVRLRRQNPITGWVVSPLR >Manes.04G049450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8103031:8103791:-1 gene:Manes.04G049450.v8.1 transcript:Manes.04G049450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVTQQKQDKAIRLLIAKVDQLATHNKMLENQIAQQASSSNKATGKLPSQLENPRKHYNAIILRTFFQMPSYAKFLKEILPKKRKLEEFETVALTKQCNPGSFSSPCDISDLHINGALCDLSTSVSMIPLSICQKLKLGELNPTGISLQLIDR >Manes.13G145812.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35941544:35942787:-1 gene:Manes.13G145812.v8.1 transcript:Manes.13G145812.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDTSRKFTSLCICSFILIRQVTLVEVLVFNLVQDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDDLLVRYSRDDLSEDGFEDRTGSWGDQGLCLVDWGKGIDLHLFPDDTEFEGDCRTSGFRCIQMQENKPWRFQVDTYGLCVIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKR >Manes.17G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32183602:32184628:1 gene:Manes.17G114200.v8.1 transcript:Manes.17G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVANFLVALAVLALASSFATAYDPSPLQDFCVATNASKSAVFLNGKFCKDPTRVTADDFFFSGLNVAGDTSNQLGVHINIIDADVIPGLNTNGITLVRIDYAANGGLNPPHNHPRAAEILTVLKGTVYAGFVTSNPDHRLFAKVLKPGDVFLFPFGLIHFQLNIGKTPAVALAALTSQNPGVNTIANAVFGSDPPINPNVLTKAFHLDKDLVSKLQKEQWVDPSESDSYN >Manes.06G067300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382741:20383284:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRSKHMNHKYLFLC >Manes.06G067300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382519:20386217:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLADAFSFTFLSGALWLMSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRSSVTNAKRQTASLSSDAFLRA >Manes.06G067300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382575:20383284:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLADAFSFTFLSGALWLMSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRSKHMNHKYLFLC >Manes.06G067300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382373:20386480:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRIYLDWIKANCG >Manes.06G067300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382741:20383379:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRTETVITVILCFDFNLMLVQ >Manes.06G067300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382519:20386221:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLADAFSFTFLSGALWLMSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRNWIKANCG >Manes.06G067300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382519:20386390:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRIYLDWIKANCG >Manes.06G067300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382373:20386432:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRSSVTNAKRQTASLSSDAFLRA >Manes.06G067300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382373:20386480:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRIYLDWIKANCG >Manes.06G067300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20382741:20383379:1 gene:Manes.06G067300.v8.1 transcript:Manes.06G067300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESTGTFMVFCFSFGGPRVKSKTCLTLSFSRIKLPQNRRDLRLKHMLKEIAQFWQAGQEAILEFGEQNIWAAYDILELFCGFVLAHELQFLMVRKTVITVILCFDFNLMLVQ >Manes.08G097150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32813567:32815375:-1 gene:Manes.08G097150.v8.1 transcript:Manes.08G097150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMFNGGLLVIMGFRNRNDDVSLGTLFELYLVEALFRDFVRETLMIYAQKMKKNEEYFCQIILYRGLDRHLRSIFAKLSYAGV >Manes.08G097150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32813567:32815375:-1 gene:Manes.08G097150.v8.1 transcript:Manes.08G097150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFLWLAFLQILLIRLFRKVMFNGGLLVIMGFRNRNDDVSLGTLFELYLVEALFRDFVRETLMIYAQKMKKNEEYFCQIILYRGLDRHLRSIFAKLSYAGV >Manes.16G119200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32263921:32266865:1 gene:Manes.16G119200.v8.1 transcript:Manes.16G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAASQPLPDDDDDTFE >Manes.16G119200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32264219:32266860:1 gene:Manes.16G119200.v8.1 transcript:Manes.16G119200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQ >Manes.15G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1329579:1333189:1 gene:Manes.15G016400.v8.1 transcript:Manes.15G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDKPDPEVPTKSEVTPPPLPPPKRVDYFAVDVGLRILLFAATLTALVVMSTAKQTELAVVPGVPFRVPVEAKFSHSPAFIYFVAALSVACLYSIITTLASLGLIAKPTFATSFLLYYAFWDVLMLGIVAAATGAAGGVAYIGLKGNSHAGWAKVCPLYDKFCRHLGSSIAIALFASVLLVLLTMLSVFSLHSRIRE >Manes.17G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29434484:29435780:-1 gene:Manes.17G087000.v8.1 transcript:Manes.17G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLVTYHYRRSASRLTIGAHLFGVLAFILMLIWLLHYRGGIEYDSDNVDRVFNVHPFLMFCGFIFLVGEAMMVYKTVPVSHNGQKFFHMMLHLTAICVGIVGICAVFKFHDMINVEDVYSLHSWIGIGTFCLFGLQWLFGLFTFIVPQPSETKQSMLPWHICGGRALLYMAVCAALTGLMEKSASMQQKHTRENHLMNFTGLFILLFGVFVDLSVALARYV >Manes.S050516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1694294:1694674:1 gene:Manes.S050516.v8.1 transcript:Manes.S050516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.10G108325.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26118063:26118524:1 gene:Manes.10G108325.v8.1 transcript:Manes.10G108325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMMKLLLLTLIIGGTIPSSLGVELESLVSQRGRFGSQIAGKNNYLGFEDHVKRNHTRGNFRSKRENIQTAFASNQNFDANFRHNHMIMPRLLMKRYPCHYTSGVPSCSPPGGHG >Manes.09G144300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34248587:34253643:-1 gene:Manes.09G144300.v8.1 transcript:Manes.09G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKMKRPVPRHESLFDKIHRYRGVLFVISIPLLLIVFVLFFMPSRSPAAGVEEYEFNNRKMSPNLKDVKRYAVIFDAGSSGSRVHVYCFDRNMDLVPIGKDLELFVQVKPGLSAYASDPHAAASSLLSLLDKAESVVPKEQRSKTPVRVGATAGLRALGVEASDRILDAVKDLLKDKSSLKSEVDGVTVLDGSQEGSYEWVTINYLLGKLSGPFSETVGVVDLGGGSVQMAYAITKADAEKAPRVSDGEDSYIKEMRLMDTDYYLYVHSYLHYGLLAARAEILKVSEGSDSACILAGYDGVYKYGGIKYKASAAASGSSFEGCRSSALKALRVNGSACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFIDPALPVAKVHPADFEEAANRACETKLEDAKSAYQRVEEGNLPYLCMDLVYQYTLLVDGFALERWQEITLVKKIKYRDSLVEAAWPLGSAIEAVSLST >Manes.18G146501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:31012714:31013213:1 gene:Manes.18G146501.v8.1 transcript:Manes.18G146501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSSLLFTSLWLPTLAEQCGTQAGGAVCPGGLCCSQWGWCGSTIDYCCVGCQSQCNAAICNGGRKAGNLRGGGGDIDEISSEKAFDKMLRQKPFA >Manes.05G174100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29025833:29030275:-1 gene:Manes.05G174100.v8.1 transcript:Manes.05G174100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKAVRSLITSRNAAKYLTKSAKNYNSKFDQIRCYSSIVGISAPSVGGVIDRKADFSFTLGSLYNKSIASQKRPFLGCGDGEEGGVLSKVYEERRVLGYSPEQLFDVVAAVDLYHGFVPWCQRSDIIRHHPDGSFDAELEIGFKFLVESYVSHVELKRPKSIKTTVSDSTVFDHLINIWEFNPGPVPGTCDLYFLVDFKFQSPLYRQVQLHPFSLKTFY >Manes.05G174100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29019855:29030275:-1 gene:Manes.05G174100.v8.1 transcript:Manes.05G174100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKAVRSLITSRNAAKYLTKSAKNYNSKFDQIRCYSSIVGISAPSVGGVIDRKADFSFTLGSLYNKSIASQKRPFLGCGDGEEGGVLSKVYEERRVLGYSPEQLFDVVAAVDLYHGFVPWCQRSDIIRHHPDGSFDAELEIGFKFLVESYVSHVELKRPKSIKTTVSDSTVFDHLINIWEFNPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVGSFSERCRLIYGPGVPVLENSYEQKA >Manes.05G174100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29022600:29029907:-1 gene:Manes.05G174100.v8.1 transcript:Manes.05G174100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKAVRSLITSRNAAKYLTKSAKNYNSKFDQIRCYSSIVGISAPSVGGVIDRKADFSFTLGSLYNKSIASQKRPFLGCGDGEEGGVLSKVYEERRVLGYSPEQLFDVVAAVDLYHGFVPWCQRSDIIRHHPDGSFDAELEIGFKFLVESYVSHVELKRPKSIKTTVSDSTVFDHLINIWEFNPGPVPGTCDLYFLVDFKFQSPLYR >Manes.05G174100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29022481:29029907:-1 gene:Manes.05G174100.v8.1 transcript:Manes.05G174100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKAVRSLITSRNAAKYLTKSAKNYNSKFDQIRCYSSIVGISAPSVGGVIDRKADFSFTLGSLYNKSIASQKRPFLGCGDGEEGGVLSKVYEERRVLGYSPEQLFDVVAAVDLYHGFVPWCQRSDIIRHHPDGSFDAELEIGFKFLVESYVSHVELKRPKSIKVASMFFKEVVSRLVGSFSERCRLIYGPGVPVLENSYEQKA >Manes.08G122700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36241188:36247235:-1 gene:Manes.08G122700.v8.1 transcript:Manes.08G122700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALLTFAVTILALMCAIASFSDTFNSPSPSSQIQILNINWFQKQPHGNDEVSLTMNITADLRSLFTWNTKQVFAFVAAEYETPKNSLNQVSLWDAIIPTKEHASFWIQTANKYRFVDQGSNLRGKEFNLTLHWHVMPKTGKMFVDKLVMSGYRLPEEYR >Manes.18G007490.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1043833:1048952:1 gene:Manes.18G007490.v8.1 transcript:Manes.18G007490.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLAGTRAVCILLCLAVASLFAIVGAEDPYRFFNWNVTYGDIYPLGVRQRGILINGQFPGPDIHSVTNDNLIINVFNSLDDPFLLSWNGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSFAFHKAAGGFGGIRILSRPRIPVPFSDPDGDYTILIGDWYKSNHTDLKAQLDGGNKLPFPDGILINGRGPNGYALTVEQGKTYRLRISNVGLQHSLNFRIQNHKMKLVEVEGTHTLQNTYSSLDVHVGQSYSVLVTADQPGQDYYIVVSSRFTTPILTTTGVLHYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTTRTIRFANSAGQVNGKQRYAVNSVSFIPADTPLKLADYFKIQGVFRENSIPDKPYGGGIYLDTSVLTLNYREYMEIVFENNEDIVQSWHLDGYSFFVVGMDGGQWTSDSRNQYNLRDAVARCTTQVYPKSWTAIYVPLDNVGMWNLRSEFWARQYLGQQLYVRVYTTSTSLRDEYPIPKNALLCGKASGRRTRPL >Manes.18G007490.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1045515:1048952:1 gene:Manes.18G007490.v8.1 transcript:Manes.18G007490.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCINNFLKFKCRNGIQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYFPSFAFHKAAGGFGGIRILSRPRIPVPFSDPDGDYTILIGDWYKSNHTDLKAQLDGGNKLPFPDGILINGRGPNGYALTVEQGKTYRLRISNVGLQHSLNFRIQNHKMKLVEVEGTHTLQNTYSSLDVHVGQSYSVLVTADQPGQDYYIVVSSRFTTPILTTTGVLHYSNSAGPVSGPPPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTTRTIRFANSAGQVNGKQRYAVNSVSFIPADTPLKLADYFKIQGVFRENSIPDKPYGGGIYLDTSVLTLNYREYMEIVFENNEDIVQSWHLDGYSFFVVGMDGGQWTSDSRNQYNLRDAVARCTTQVYPKSWTAIYVPLDNVGMWNLRSEFWARQYLGQQLYVRVYTTSTSLRDEYPIPKNALLCGKASGRRTRPL >Manes.06G034921.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10149024:10150491:1 gene:Manes.06G034921.v8.1 transcript:Manes.06G034921.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKKAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCPTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.08G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1043580:1048094:-1 gene:Manes.08G007600.v8.1 transcript:Manes.08G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFMEAKERVKEVEKCLDSQLWHACAGGMVQMPAVNSKVFYFPQGHIEHASSPVDFRNFPRVPPYILCRVSSVKFMADPETDEVYAKMKLSPITGSEPGLEEEDERVINGGEGQENKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPESSWNPAGGNCVMPYGGFSSFFRDEENKLLRNGNGNGNSSGGNGGLMGKGKVRPESVIEAATLAANGQPFEVVYYPRASTPEFCVKASLVKIALQIRWCSGMRFKMAFETEDSSRISWFMGTISSAQVADPLQWSDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRFPQHPDFPLDGQFPIPSFSGNLLGPSSPFGCLPDSTPAGMQGARHAHCGLPLSDLHLSKLQTGLLPAGFPPLLDHVATHTRTSNSPMIQKPSMSENVSCVLTMSHSTQTSKKTNDTKTPKLVLFGQPILTEQQISLSCSGGDTLSPVHTGNSSSEGNLDKMANFSDGSGSAALHQQSLPERSSCEGFQWFKNNSRENETSLETGHCKVFMESEDVGRTLDLSLLRSYEELYRKLADMFSIENSETLNNVLYRDVTGAVKHIGGEPFSDFMKTARRLTVLMDSSSDNVGL >Manes.08G007600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1043580:1048094:-1 gene:Manes.08G007600.v8.1 transcript:Manes.08G007600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFMEAKERVKEVEKCLDSQLWHACAGGMVQMPAVNSKVFYFPQGHIEHASSPVDFRNFPRVPPYILCRVSSVKFMADPETDEVYAKMKLSPITGSEPGLEEEDERVINGGEGQENKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPESSWNPAGGNCVMPYGGFSSFFRDEENKLLRNGNGNGNSSGGNGGLMGKGKVRPESVIEAATLAANGQPFEVVYYPRASTPEFCVKASLVKIALQIRWCSGMRFKMAFETEDSSRISWFMGTISSAQVADPLQWSDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRFPQHPDFPLDGQFPIPSFSGNLLGPSSPFGCLPDSTPAGMQGARHAHCGLPLSDLHLSKLQTGLLPAGFPPLLDHVATHTRTSNSPMIQKPSMSENVSCVLTMSHSTQTSKKTNDTKTPKLVLFGQPILTEQQISLSCSGGDTLSPVHTGNSSSEGNLDKMANFSDGSGSAALHQQSLPERSSCEGFQWFKNNSRENETSLETGHCKVFMESEDVGRTLDLSLLRSYEELYRKLADMFSIENSETLNNVLYRDVTGAVKHIGGEPFRCVIVILLMNLFL >Manes.08G007600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1043503:1048094:-1 gene:Manes.08G007600.v8.1 transcript:Manes.08G007600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFMEAKERVKEVEKCLDSQLWHACAGGMVQMPAVNSKVFYFPQGHIEHASSPVDFRNFPRVPPYILCRVSSVKFMADPETDEVYAKMKLSPITGSEPGLEEEDERVINGGEGQENKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPESSWNPAGGNCVMPYGGFSSFFRDEENKLLRNGNGNGNSSGGNGGLMGKGKVRPESVIEAATLAANGQPFEVVYYPRASTPEFCVKASLVKIALQIRWCSGMRFKMAFETEDSSRISWFMGTISSAQVADPLQWSDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRFPQHPDFPLDGQFPIPSFSGNLLGPSSPFGCLPDSTPAGMQGARHAHCGLPLSDLHLSKLQTGLLPAGFPPLLDHVATHTRTSNSPMIQKPSMSENVSCVLTMSHSTQTSKKTNDTKTPKLVLFGQPILTEQQISLSCSGGDTLSPVHTGNSSSEGNLDKMANFSDGSGSAALHQQSLPERSSCEGFQWFKNNSRENETSLETGHCKVFMESEDVGRTLDLSLLRRCQTHWG >Manes.07G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12205753:12207025:1 gene:Manes.07G065400.v8.1 transcript:Manes.07G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFFATLLLCALLLSSSFLEPVIAKSSYCENKCKERCAKAGVKERCIKYCGICCAECKCVPSGTYGNKHQCPCYRDKKNSKGKPKCP >Manes.16G002400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:423578:425698:1 gene:Manes.16G002400.v8.1 transcript:Manes.16G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQFHRFPCNTLSSSTPPPNSQSTSTPSLLPVCSINAPNSISRSRLLASNPVKPRSLSLVRAADINDEWGPEEEGSASGSGSAVALAGEDKPKDLTEIDRLKKQLVDSFYGTNRGLSASSETRAEIVELITQLEARNPTPAPTEALSLLNGKWILAYTSFAGLFPLLSRGTFPLLKVEEISQIIDSETFTVQNSVQFSSPLATTSISTNAKFEVRSPKRVQIKFEEGIIGTPQLTDSIVLPENVEFLGQKIDLTPIKGLITSVQDTASSVARTISSQPPLKIPISNSNAQSWLLTTYLDEELRISRGDAGSVFVLIKDGSSLLTP >Manes.13G020700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3000217:3001812:1 gene:Manes.13G020700.v8.1 transcript:Manes.13G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNIFFSAMEWVYAYSSFWDVALALMGLFVFSCIRERLTNKGPMLWPVLGVIPTMFLHINDTLSFATGALINSGGTFRYRGVWMGGSHGVMTADPVNIEYMLKTNFNNFPKGKYYRDRFQDLLGDGIFNADDELWKDQRRTAKTEMHSSRFFAHSYQTMQDLVHLKLLRLTEKLVKCGDSFDLQEILLRFTFDNICIAAFGVDPGCLNLDLPQVPFAKAFEEATELTLFRFLMPPFIWKSMKFLGIGYEKKLSAAIKTVHEFAEETVKDRQHELIKLGNLNDHSDLLSRLMDIEYNEKGKNKQLQFSDKYFRDFCVSFILAGRDTTSVALAWFFWLVHNNPEVENNILREINEILGHRMCEKEDDDIVFTVEELKNMVYLQAALSESMRLYPSVPTEMKEVIEDDVLPDGSTLKKGARVLYCIFSMARMEAIWGKDCVEFKPERWIKEGKFVSENQFKYAVFNAGPRLCLGKKFAYMQMKMVAASILLRYSVKVVEGHDVAPKMTTTLYMKNGLLVTLKPRLLPAVHFTA >Manes.06G056801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15388029:15389162:-1 gene:Manes.06G056801.v8.1 transcript:Manes.06G056801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAVMVAALAIVILAAVSLHGADAATYVVGDAFGWQNPPNSTFYSSWASSHNFTVGDSLLFNFATGVHDVATVTEDAYTNCNTGNPLNIVNTGPANVTLNSTGMVYYICTFAGHCNRNQKLAVNVGGSTSSTPSPPGTTASPPPPPPPPPGSSAASTTAGSFALMLMSLGFMYI >Manes.01G168600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35106040:35108344:-1 gene:Manes.01G168600.v8.1 transcript:Manes.01G168600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICYGVMSNGKGSTQCQGSSRRARRRRMDIRRFKFVTGVVPSSPLETEESSKRHKIEVHTASLPASRGDDGEDPVVENQYKRIVLKVENGKSENKEIVISSKSLNLMLSPSLLLSPIDLDSYPRYGIASVRGARRHMEDAVAIYPSFCSNDRTELHYFGVYDGHGCSHVAVRCRERLHELVKEELLESKEATIIEASTSEWKNAMERSFRRMDKEVIEWNQGVVVSNCRCEMQTPECGAVGSTAVVAIVTPDKIIVANCGDSRAVLCRNGKPVPLSSDHKPDRPDEWSRIQAAGGRVIYWDIPRVLGVLAMSRAIGDNYLKPYVSCEPEVTVTDRTAEDDCLILASDGLWDVVSNGTACGVARMCLRGKGQSCSLLENEVVLAGAAAATTGCGQISDKACSDASMLLTKLALARQSTDNVSVVVVDLRKDT >Manes.03G130000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25647268:25648898:1 gene:Manes.03G130000.v8.1 transcript:Manes.03G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNSRSTATTGGNECFYRYLKPGALAQLRNSKIIGRSHKPISLTRFSIQPVDSPHPHPQISVDQVPCLLSKIYGPPCLKRKKLMAARSVFCLNPGPSTPVLDSSHSNNNNNDSLIPVLNNDALIAH >Manes.11G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5951314:5954632:1 gene:Manes.11G050600.v8.1 transcript:Manes.11G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCNSNLSLFLHILLLTLFTCNPTAISQSSTSEGGLCSSVFCGQGTCNNIDTLPGFECNCYDGWNKIQIGPLTFPSCLIPNCTVDLQCGNGSPPPPPPPSLPLFPHLPNLTDPCFLIWCADGSCLSSGTGHTCQCNEGSANLLNNSELPCFQECYFGVDCHGLGFGPPLSSLPPPSGSSEASNSLRSLGVLTMIFLAAAASPTLF >Manes.09G098400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29675511:29675872:1 gene:Manes.09G098400.v8.1 transcript:Manes.09G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSKSSVLFLLLVLAVSSAQKPRIIAEARTISFLAEQNHSKIFATLGVVCKCCDGEQGECRRIWKKGSCHNLQCLPWKIG >Manes.17G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31928892:31935505:-1 gene:Manes.17G112500.v8.1 transcript:Manes.17G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKIKHYRPPGKKKEGNAARFITRSQAVKQLQCSLTLFRKLCILKGIFPREPKKKVKGNHHTYYHVKDIAYLQHEPLLEKFREIMAYQKKIKKALAKKDNDHAVRLQTRQPAPRYDGVILQRYPKFVDALRDLDDCLTTVHLFAVLPASERLKIDVECIHNCRRLSHEWQAYIVRTHKLRKVFVSVKGIYYQAEVEGQKITWLTPHAMQQVLPEDVNYSVMLTFLELYQNLLGFVNFRLYHSVNVEYPPILDPQLEALAAGLYALSRYIDARTSISAEESKASSSSLPEQFRAQVEGTENEESEIRLAQLQHQLPSNEPGALMHLVQDVESENEDDQDTMECKKLFRNMKFFLSREVPRESLLFIIPAFGGVVSWDGDGAPFKEADPSITHQIVDRPTQGHKYFSREYVQPQWIYDCINARIILPTEAYLVGRIPPPHLSPFVDNEAEGYVPDYAETIKRLQAAARNEVLPILGVGKEDFDDPQNLLVEGYISRTEAIEAAERKQKMQDLEKQHHEELKRELQNLNPSPVSKKIKKSPVEDTEPVEEPHTDLQQIIEDNERRETLLMPRKKRGLYEAIKKNKERKDSHVKKLKERKKAIETRKSEKN >Manes.05G194900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31894076:31897701:1 gene:Manes.05G194900.v8.1 transcript:Manes.05G194900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVQFLLYFLLSAASLKACMGNDDVPIQLNDDVLGLIVFKSDLIDPSSFLSSWNEDDNSPCSWKFIECNPLTGRVAQVSLDGLGLSGKLGKGLQKLQHLKVLSLSHNNFSGDISPDFAFITSLERLNLSHNSLSGLIPSSIVNMTSIRFLDLSENSFSEPLPDNFFHNCLSLRYLSLAGNSLAGPLPSTLASCSSLNTLNLSNNHFSGNPDFSTGIWSLKRLRNLDLSNNEFSGSVPPGASTLHNLKDLRLAGNQFSGLVPVDIGLCPHLSKLDLSNNLFTGALPESFRQLSSLTYFSLLNNMFTGDFPQWIGNLTNLEYLDFSSNGFTGSLPSSISDLKSLNYMSLSNNKLSGNVPTSMVDCSRLSVIRLRGNSFNGSIPEGLFDLALEEVDFSNNKLTGSIPPGSSKFYGSLHTLDLSRNNLSGNITAEMGLSSNLRYLNLSWNNLQSTMAPELGYFQNLTVLDLRNSAIFGSIPADLCESGKLSILQLDGNSLIGTIPEEIGNCSSLYLLSLSHNKLSGPIPKSISMLSKLKILKLEFNELSGEIPQELGKLENLLAVNISYNKLVGRLPSGGIFPSLDQSSLQGNLGICSPLLKGPCKMNVSKPLVLDPFAYGNPMEGHQPRNASSDSTGPRHHMLLSVSAIIAISAAVFIVLGVIVISLANISARKRLAFVDHALESMFSSSSRSGAMATGKLVLFDSRSSPDWISNPESLLNKANEIGEGVYGTVYKVSLGGAEGRMAAIKKLVTSNIIQYPEDFDREVRILGKASHPNLISLRGYYWTPQLQLLVSEFAPNGSLQAKLHGRLPSTPPLSWANRFKIVLGTAKGLAYLHHSFRPPIIHYSIKPSNILLDQNNDPKISDFGLARLLTKLDKHVISNRFQSALGYVAPELACQSLRVNEKCDVYGFGILILELVTGRRPVEYSEDNVMILNDHVRVLVEQGKALDCVDPSMGDYPDCEVLPVLKLALVCTSQIPSSRPSMAEVVQILQVIKTPVQQRMEIF >Manes.09G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22000977:22020458:-1 gene:Manes.09G083700.v8.1 transcript:Manes.09G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLEQQLKEVGSKLETPPSTKDALVKLLKQAATCLSETEQSPSATVMESMQPFLDAIVKPELLKHQDNDVKLLVATCICEITRITAPEAPYNDDVLKDIFHLIVGTFSGLRDTTGPSFGRRVAILETLAKYRSCVVMLDLECDDLVNKLFSAFFTVASDDHLESVLSAMQTIMVVLIEEIEDVREDLLLVILAVLGRNRSDVSSAARRLAKNVIEQCAVKLEAGIKQFLVSSMSGDNRSSNSQIDYHEVIYDVYCCAPQILSGIIPYLTAELLTDQLEVRLKAVLLVGDLFALPGSSISGAFQPIFSEFLKRLTDRAVEVRMSALERVKSCLLSNPFRAEASQIISALCDRLLDYDENVRKQVVVVICDAACHAVNSVPVETIKLVVERLQDKSLLVKRYTMERMAEIFRVYCVKSSDGSFSPGEFDWIPGKILRCFYDRDFRSDKIESVLCGTMFPSEFSVKERAKAWVRVFSVCDKVEVKALEKILEQKQRLQQEMQRYLSLRQMHQDGDAPEFQKKVLFCFRIMSRSFAEPSMAEESFQILDQLKDANIWKILTNLLDANTGFHQACTGREDLLKILGDKHRLYDFLGNLSVKSSFLLFNKEHVKEILLDASTHKSAGNTQFIQSCMDILVLLARFSPMLLGGAEEELINFLKDDNEIIKEGALHILAKAGGTIREQLAVSSSSIDLMLERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAETAMPVFETREGEIEEFIKNKILKRSSKAEDDTKTSWDGISEPCLLKIYGIKTLVKSYLPVKDAQLRPGIDGLLEILRNILLFGEISKDIESSSVDKAHLRLASAKAVLRLSKHWDHKIPVDIFHLTLRTPEITFPQARKLFLSKVHQYIKDRLLDVKYACAFLFDMTGLKPLDLEEEKQNLADIIQMHYQAKERQLSVQSDGNTSAASPEYILPYLVHALAHHSCPDTDECKDVKAFETIYRQLHLILSILVRKDEDTKSESSTNKEKENISAIAYIFESIKHSEDVVDAAKSKNSHAISELGLSITKRLAQKEDDTQSFTSPVSLPPILYKPRERKEGDDSLATEGKTWLADENVLTQLESLHLENDDKINSDIAEDVVLKDSEREANEVPLGKMIKRLKSPSTKVGKVKKNKSLLAKAKNAESDVDILKMVREINLDNMGLASKFESSNGHKHIPSRKSKSESEHKKSKKIKAIDVASVPVPKRRRSSSFHSARLSSSNLFIPLSASGDDSSPDSKEKKPTRKLTVENADPDLLVSCMRKNISFTLKHKGKRSDLGHNSENEVEEDDNLKETDIVNTNNSFKASTGSMRKRKRRSVAGLAKCTTKKSGVDNEELINCKIKVWWPMDKQFYEGIIKSYDPIKGKHVILYDDGDVEVLRLEKERWELADNSCKPFKKSNSLKLPQSTKVSPAQKSRSLGNVHWNTKSEKIIKGKRTPKKNSKCVKEPEEKDDSDVSNLEPIEESKGEEAKQGEPTEESAGEEAKQGDSLGEHDERGTKHLTDADESDKEVKSVSREKHLEDSLDNKNQLEESDGEAKSNFEGKDFADAESIPKDDAEENSHSGDGEDESGEGMQEEENKDKSDSERTQDEDIDGTIQRNSKKARIKSTPSNAEDAEISDNEPLSKWKHKVQKSASRKAR >Manes.02G186400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14927560:14932803:-1 gene:Manes.02G186400.v8.1 transcript:Manes.02G186400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEVYASDEAMGTFAPIVVYWLYAGFYQMLPPMDKYRLHTRKEEEEKNLVPLAKVVKGVLLQQLVQAVVAHALFWLTSTADASGITIQPSVPIQIVQIIIAMFVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTARTGVIFFCFAVVKTVDDHCGLWLPGNLFHIFFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDKLLGTHMPYDLVKRAEGGFEARLVKD >Manes.02G186400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14927759:14932740:-1 gene:Manes.02G186400.v8.1 transcript:Manes.02G186400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEVYASDEAMGTFAPIVVYWLYAGFYQMLPPMDKYRLHTRKEEEEKNLVPLAKVVKGVLLQQLVQAVVAHALFWLTSTADASGITIQPSVPIQIVQIIIAMFVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTARTGVIFFCFAVVKTVDDHCGLWLPGNLFHIFFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDKLLGTHMPYDLVKRAEGGFEARLVKD >Manes.02G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11713270:11714640:-1 gene:Manes.02G153000.v8.1 transcript:Manes.02G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSPTSPNGRKTTIPELDYLSKKRKWDDDEPAEIDGIFDKRSKPTEITNSFFEIELQLETPLPLEWQRCLDIQSGQIHFYNTRTQKRTTRDPRRSPEPPSPGSMSLDLQLNLQPCESPWKNNTNSQSMKHNFASSIQSLGDLFMGSSKDNKKAEVLKRSPSWLSFEGDDGEEMIATVCSRCHMLVMLCKSSPACPNCKFMHSPARTPLSYSSKGLASCASELNQ >Manes.14G103800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8691662:8694190:-1 gene:Manes.14G103800.v8.1 transcript:Manes.14G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKASAILCIFLLLHVSAAQQGQGPICPFQAIYQFGDSISDTGNLILEGPVGAASYAARLPYGETTFHRPTGRCSNGLLMIDYLSTALQLSLLNPYLKRGVSFDHGVNFAVAGSTALNSSFFTARGIRAPSSDSPLSRQLYWFRTHLSSVCHTSGDCARILRRALFLVGEIGGNDYNYAFFQGKPIEEIRTYVPYVVGAIVNAVREVIRLGSVRIVVPGNFPVGCFPIYLSSFPSTNPLAYDDKGCLSGLNEFASYHNSYLQQSLALLRQEFPHAVILYGDYYTAFQSVLRNPYLRFDQTALLRACCGTGGLYNYDGSRMCGSPGVFACPNPHQHISWDGVHLTQEAYHHMSEFLISSILSNIQCV >Manes.15G106900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8480947:8488715:-1 gene:Manes.15G106900.v8.1 transcript:Manes.15G106900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKWFGSLLLLIVGTFSNAVGAFLGINIGTDVSNMPSASDVVAMLKANQITHVRLYDADAHLLKDLANSGIEVMVGITNEEVLGIGESPAAAAAWINKNVASYMPSTNITAIAVGSEVLTSIPNAAAVLVPAMNYLHKALVASNLNFQVKVSTPQSMDVIPKPFPPSTATFNSTWNSTIYQVLQFLKNTNSYYMLNAYPYYGYTTGNGIFPIDYALFRPLPSVKQIVDPNTLSHYNSMFDAMVDATYYAIDAFNISGIPVIVTESGWPWVGGANEPDATVENAETFNNNLILRVLNNSGPPSQPTIPINTYIYELFNEDKRPGPVSEKNWGLFFPNGSAVYTLSLSTSNRITKNSSVTYCAAKPNADSAKLQEGLNWACGQGGANCIAIQQGHPCYLPNTYQSHASYAYNDYFQKMRGAGGTCDFDGTAMTTTVDPSYGSCIFTGSSNLSTSGGIFPPVSLGPISPQGGSSSLSSETCKLQSLLSAALLALILL >Manes.03G114100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23926151:23928983:1 gene:Manes.03G114100.v8.1 transcript:Manes.03G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEMTDIEAQANHEEHEEHEDEADAEVAAIFKKANHPVTLKFEDVVYKVSLKKRGSCQKRMKLEEKVILRGITGIVLPGEMLAMLGPSGSGKTTLLTALGGKLGGKLRGTITYNGKRFSNAIKRNTGFVTQDDVLYPHLTVTETLVFTALLRLPNNLTKEDKIMQAEAVITQLGLTKCKNSIIGGSFMRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSTVWEQAKGGRTIVMTIHQPSSRLFYMFDKVLLLSDGNPLYFGKGSEIMDYFSSVGYTPSVAMNPSDFVLDLANGVASYTDVCDNQATVKQSLVSAYKSNLADKLKAEVHENDNHLQDGESDKQFAGWATTWWQQFAVLFRRGVKERRHESFSSLKIGQILIVALLAGLLWWQSDTSHLQDQIGLLFFYSGFWGFYPLFQAIFTFPQERRMLAKERSSGMYRLSSYFMSRMVSDLPMELVLPTIFVAITYWMAGLKGTAENFLRTLFVVLYNVLAAQGLGLALGAMVMDQKSATTLASVIMLSFLLAGGYYVQHVPVFIAWIKYISISQYTYKLLLGSQYKATDKYPCGNGGGVCLVGDYPGIKVIGLDKQVVSAIALGIMLVGYRIIAYLALMRVGVTKK >Manes.04G105000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31018335:31019561:1 gene:Manes.04G105000.v8.1 transcript:Manes.04G105000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQQQRYRGVRQRHWGSWVSEIRHPVLKTRIWLGTFESAEHAAKAYDEAARLMCGPKARTNFPYNPNEPQSTSSKHFSATLAAKLHKCHLASLQATNKNVIKQTHEQPQPNPFATSNGIAGKSAESGSTWQEGKWVGEESEVGNSQQQEKALEDHHIEQMIEELLDYGSMEFCSVSST >Manes.05G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2966996:2969818:-1 gene:Manes.05G035300.v8.1 transcript:Manes.05G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPKETLDTSFATSRGPEEPESVSDEEKEVELEELEKLESEAKEMAKRILEYRTTLPDQLKANLASILSAQRLVLPVMDAGSDFGPFDQCTPGLGEHVKANDSALLTEGDQKIAEKVRLLKDKISSNISAMPIVLKRMKECMSKIENLDSYDGIIHPVFKKQNTS >Manes.15G168300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14427092:14440577:-1 gene:Manes.15G168300.v8.1 transcript:Manes.15G168300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRESQPPHPHQHQHHHQTQQNMFLCGPTSSYSNSTAGHHAMSMINPNIPPTAAVGFPFNSVCPQRPQPKPLSSDGAFDGSSPPSSSGMRFSMESAKKKRGRPRKYTPDGNIALGLSPTPMSSSPNSIVHGDSGGGTPGMASELPSKRNRGRPPGSGKRQLDALGGVGGVGFTPHVITVKAGEDIASKVFAFSQQGPRTVCILSANGAICNVTLRQPAMSGGTVTYEGRFEIISLSGSFLLSENNGSCSRTGGLSVSLAGSDGRVLGGGVAGMLMAASPVQVIVGSFIADGKKSNSTMSKPAPPLAPTTQILNFGAPLTTSSPPSQGGSSESSDDNGSSPLNRDPGIFNNASQPIHSMNMYQLWAGQSPH >Manes.15G168300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14427092:14440577:-1 gene:Manes.15G168300.v8.1 transcript:Manes.15G168300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRESQPPHPHQHQHHHQTQQNMFLCGPTSSYSNSTAGHHAMSMINPNIPPTAAVGFPFNSVCPQRPQPKPLSSDGAFDGSSPPSSSGMRFSMESAKKKRGRPRKYTPDGNIALGLSPTPMSSSPNSIVHGDSGGGTPGMASELPSKRNRGRPPGSGGVGGVGFTPHVITVKAGEDIASKVFAFSQQGPRTVCILSANGAICNVTLRQPAMSGGTVTYEGRFEIISLSGSFLLSENNGSCSRTGGLSVSLAGSDGRVLGGGVAGMLMAASPVQVIVGSFIADGKKSNSTMSKPAPPLAPTTQILNFGAPLTTSSPPSQGGSSESSDDNGSSPLNRDPGIFNNASQPIHSMNMYQLWAGQSPH >Manes.02G095500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7457861:7461695:1 gene:Manes.02G095500.v8.1 transcript:Manes.02G095500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASANKETGTVGVRVTEGEGSLPKVVLTSPHGSEAEIYLFGGCITSWKVPSGRDLLFVRPDAVFNKKKPISGGIPHCFPQFGPGTIQQHGFARNMDWSIVDSENVEGNPVVTLILKDGPYSRAMWDFGFQALYKVILNAKSISTELKITNTDTKPFSFTTALHTYFSASVTGASVRGLKGCKTLNKDPDPTNPIEGKEERDVVTFPGFVDCIYLDASDELYLDNGLGDVITIKNTNWSDTVLWNPHLQMEACYKDFVCVENAKIGNVKLEPEQSWTGQQHVSVG >Manes.02G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7457864:7461695:1 gene:Manes.02G095500.v8.1 transcript:Manes.02G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSVPFSSLPTLNLRRVNRSSGMAFASANKETGTVGVRVTEGEGSLPKVVLTSPHGSEAEIYLFGGCITSWKVPSGRDLLFVRPDAVFNKKKPISGGIPHCFPQFGPGTIQQHGFARNMDWSIVDSENVEGNPVVTLILKDGPYSRAMWDFGFQALYKVILNAKSISTELKITNTDTKPFSFTTALHTYFSASVTGASVRGLKGCKTLNKDPDPTNPIEGKEERDVVTFPGFVDCIYLDASDELYLDNGLGDVITIKNTNWSDTVLWNPHLQMEACYKDFVCVENAKIGNVKLEPEQSWTGQQHVSVG >Manes.10G136400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30352729:30369124:-1 gene:Manes.10G136400.v8.1 transcript:Manes.10G136400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSAADDPPLTSKRFKIARGDDDYSPGNIIEMELRNFMTYDYLFCKPGSRLNLVIGPNGSGKSTIVCAIALGLGGDPQLLGRATSIGAYVKRGEETGYIKITLRGNTEDDRITIMRKIDTHNKSEWLHNGKVVPKKDIIEIIQRFNIQINNLTQFLPQDRVSEFAKLAPVQLLEETEKAIGDPQLPIQHHALVEKSRKLKNIEVAVNMNGETLDREKALNSELEKDVERVRQREELLSKVECMRKKLPWLKYDMKKAEYMEAKEVEKDAKIKLDEAVKTLKDLKEPIEKQKQEKSLLDSKCKKVTNLIQDNAKQRMKLLEKKNHLGVQLEGKYNEMEDLKRQEEFRQQRILKAKEELAAAEIELQNLPNYEPPRDKLERLRSQITELQIFANQKRMQKSEMEKLINQKKMALRQCIDRLKDMENTKYKLLQALRNSGTGGIIDAYQWVQQHKDELNKEVYGPVLLEVNVPDRVHADYLEGQVPYYIWKSFITQDPVDRDFLVRNLKSFDVPILNYVRNEYHFKDPFEVSEKMNELGIYTRLDQVFDAPDAVKEVLISQCGLDRSYIGSKETDKKADDVAKLNILDFWTPENHYRWSSSRYGGHLSAIVEPVHHSRLLLCSVDAGEIEKQRCRKEELEESVIALEESFKSLLMEQKHLENEGADLQRQREEIISVAQEKKRTQRELENRLNQKKRKLESVEKEDDLDTGMAKLIDEAAKIRIQRLQYAIAIKDLLVEAVRYKWSLAEKLMASIEFDAKIRDHEINLKQHEKFSQQASLHVEYCKKEVENHREQLSAAKRHAESIAVITPKLAKAFLEMPTTIEELDAAIQDNISQANSILFLNQNVLKEYEHRQEKIESIAKKLEADREELKRCLAEIDSLKESWLPTLRNLVARINETFSRNFQEMAVAGEVSLDEHDKDFDQFGILIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPSTPQCFILTPKLLPDLEYSEACSILNIMNGPWIEQPAKG >Manes.10G136400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30352729:30369124:-1 gene:Manes.10G136400.v8.1 transcript:Manes.10G136400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRNFMTYDYLFCKPGSRLNLVIGPNGSGKSTIVCAIALGLGGDPQLLGRATSIGAYVKRGEETGYIKITLRGNTEDDRITIMRKIDTHNKSEWLHNGKVVPKKDIIEIIQRFNIQINNLTQFLPQDRVSEFAKLAPVQLLEETEKAIGDPQLPIQHHALVEKSRKLKNIEVAVNMNGETLDREKALNSELEKDVERVRQREELLSKVECMRKKLPWLKYDMKKAEYMEAKEVEKDAKIKLDEAVKTLKDLKEPIEKQKQEKSLLDSKCKKVTNLIQDNAKQRMKLLEKKNHLGVQLEGKYNEMEDLKRQEEFRQQRILKAKEELAAAEIELQNLPNYEPPRDKLERLRSQITELQIFANQKRMQKSEMEKLINQKKMALRQCIDRLKDMENTKYKLLQALRNSGTGGIIDAYQWVQQHKDELNKEVYGPVLLEVNVPDRVHADYLEGQVPYYIWKSFITQDPVDRDFLVRNLKSFDVPILNYVRNEYHFKDPFEVSEKMNELGIYTRLDQVFDAPDAVKEVLISQCGLDRSYIGSKETDKKADDVAKLNILDFWTPENHYRWSSSRYGGHLSAIVEPVHHSRLLLCSVDAGEIEKQRCRKEELEESVIALEESFKSLLMEQKHLENEGADLQRQREEIISVAQEKKRTQRELENRLNQKKRKLESVEKEDDLDTGMAKLIDEAAKIRIQRLQYAIAIKDLLVEAVRYKWSLAEKLMASIEFDAKIRDHEINLKQHEKFSQQASLHVEYCKKEVENHREQLSAAKRHAESIAVITPKLAKAFLEMPTTIEELDAAIQDNISQANSILFLNQNVLKEYEHRQEKIESIAKKLEADREELKRCLAEIDSLKESWLPTLRNLVARINETFSRNFQEMAVAGEVSLDEHDKDFDQFGILIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPSTPQCFILTPKLLPDLEYSEACSILNIMNGPWIEQPAKVWSSGECWRAVTGLAGD >Manes.10G136400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30352729:30369124:-1 gene:Manes.10G136400.v8.1 transcript:Manes.10G136400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIDTHNKSEWLHNGKVVPKKDIIEIIQRFNIQINNLTQFLPQDRVSEFAKLAPVQLLEETEKAIGDPQLPIQHHALVEKSRKLKNIEVAVNMNGETLDREKALNSELEKDVERVRQREELLSKVECMRKKLPWLKYDMKKAEYMEAKEVEKDAKIKLDEAVKTLKDLKEPIEKQKQEKSLLDSKCKKVTNLIQDNAKQRMKLLEKKNHLGVQLEGKYNEMEDLKRQEEFRQQRILKAKEELAAAEIELQNLPNYEPPRDKLERLRSQITELQIFANQKRMQKSEMEKLINQKKMALRQCIDRLKDMENTKYKLLQALRNSGTGGIIDAYQWVQQHKDELNKEVYGPVLLEVNVPDRVHADYLEGQVPYYIWKSFITQDPVDRDFLVRNLKSFDVPILNYVRNEYHFKDPFEVSEKMNELGIYTRLDQVFDAPDAVKEVLISQCGLDRSYIGSKETDKKADDVAKLNILDFWTPENHYRWSSSRYGGHLSAIVEPVHHSRLLLCSVDAGEIEKQRCRKEELEESVIALEESFKSLLMEQKHLENEGADLQRQREEIISVAQEKKRTQRELENRLNQKKRKLESVEKEDDLDTGMAKLIDEAAKIRIQRLQYAIAIKDLLVEAVRYKWSLAEKLMASIEFDAKIRDHEINLKQHEKFSQQASLHVEYCKKEVENHREQLSAAKRHAESIAVITPKLAKAFLEMPTTIEELDAAIQDNISQANSILFLNQNVLKEYEHRQEKIESIAKKLEADREELKRCLAEIDSLKESWLPTLRNLVARINETFSRNFQEMAVAGEVSLDEHDKDFDQFGILIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPSTPQCFILTPKLLPDLEYSEACSILNIMNGPWIEQPAKVWSSGECWRAVTGLAGD >Manes.10G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30352729:30369124:-1 gene:Manes.10G136400.v8.1 transcript:Manes.10G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSAADDPPLTSKRFKIARGDDDYSPGNIIEMELRNFMTYDYLFCKPGSRLNLVIGPNGSGKSTIVCAIALGLGGDPQLLGRATSIGAYVKRGEETGYIKITLRGNTEDDRITIMRKIDTHNKSEWLHNGKVVPKKDIIEIIQRFNIQINNLTQFLPQDRVSEFAKLAPVQLLEETEKAIGDPQLPIQHHALVEKSRKLKNIEVAVNMNGETLDREKALNSELEKDVERVRQREELLSKVECMRKKLPWLKYDMKKAEYMEAKEVEKDAKIKLDEAVKTLKDLKEPIEKQKQEKSLLDSKCKKVTNLIQDNAKQRMKLLEKKNHLGVQLEGKYNEMEDLKRQEEFRQQRILKAKEELAAAEIELQNLPNYEPPRDKLERLRSQITELQIFANQKRMQKSEMEKLINQKKMALRQCIDRLKDMENTKYKLLQALRNSGTGGIIDAYQWVQQHKDELNKEVYGPVLLEVNVPDRVHADYLEGQVPYYIWKSFITQDPVDRDFLVRNLKSFDVPILNYVRNEYHFKDPFEVSEKMNELGIYTRLDQVFDAPDAVKEVLISQCGLDRSYIGSKETDKKADDVAKLNILDFWTPENHYRWSSSRYGGHLSAIVEPVHHSRLLLCSVDAGEIEKQRCRKEELEESVIALEESFKSLLMEQKHLENEGADLQRQREEIISVAQEKKRTQRELENRLNQKKRKLESVEKEDDLDTGMAKLIDEAAKIRIQRLQYAIAIKDLLVEAVRYKWSLAEKLMASIEFDAKIRDHEINLKQHEKFSQQASLHVEYCKKEVENHREQLSAAKRHAESIAVITPKLAKAFLEMPTTIEELDAAIQDNISQANSILFLNQNVLKEYEHRQEKIESIAKKLEADREELKRCLAEIDSLKESWLPTLRNLVARINETFSRNFQEMAVAGEVSLDEHDKDFDQFGILIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPSTPQCFILTPKLLPDLEYSEACSILNIMNGPWIEQPAKVWSSGECWRAVTGLAGD >Manes.06G054600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19238369:19256600:1 gene:Manes.06G054600.v8.1 transcript:Manes.06G054600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCNFKKELNKVLFEGGSSYREVILNRPTKLNSLDYEVMSQMLKNFRDFETDSVVKFVILKANGKAFCAGGDVVSLVNSVLAGHWSFGARFCKKQFKLDYLLATYQKPLLPLIDGIVMGGGAGLCMNGRFRIVTEKALFAMPEVFIGHFPDVGASQFLSRLPGHFGEYLGLTGARINGAEMLACGLATHFVFSKDLSSLENALKTLPSSSDMTTICQIINKFAHKPNLKQDTIYQTQRLETLNECFSNDTVEEILLALENEAKNNAETWISKAINSMKAVSPTSLKIALRSIRQGRDQNLKQCLIREYTICCNILRATISNDFYEGSRAMLIDKDKKPKWKPSKLELVSEEMVDRYFTGIDGKYLKITDRSVMAGVLKPKL >Manes.07G118100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32344045:32345782:-1 gene:Manes.07G118100.v8.1 transcript:Manes.07G118100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHFLVEALLSFFIFLQPKHCLSSKQESLILPLKTQNHLHFSLSRHFTTTTTSSATNKLLFHHNVSLTVSLTVGSPPQNVTMVLDTGSELSWLHCKKSQDLNSIFNPLASKTYIKVPCSSPTCRTRTRDLTLPVSCDAAKLCHVIISYADASSIEGNLAFETFRIGSSDQPATIFGCMDAGFSSNTEEDAKTTGLMGMNRGSLSFVNQMGYRKFSYCISGMDSSGVLLLGDASFSWLKPLSYTPLVQISTPLPYFDRVAYSVQLEGIKVSNKVLSLPKSVFVPDHTGAGQTMVDSGTQFTFLLGPVYTALKNEFLSQTRGILRVLNDQNFIFQGAMDLCYLIESSRRRLPTLPTVSLIFEGAEMSVSGERLLYRVEGEMRGKDSVWCFTFGNSDLMGIEAFVIGNHHQQDVWMEFDLEKSRIGLAEVRCDVAGQTLGLHK >Manes.09G158200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35361874:35378737:1 gene:Manes.09G158200.v8.1 transcript:Manes.09G158200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSFQLSPYFLTNSVIKGQFRVRVQNVGSRESKVLSSDSIVVNKTSFIDQKKKNGVLYDGGTVVEEERALINGENGRLGSVAQNKRMKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKVFEVWCLHIPVYDRTPFEGLVKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFGRSQLQPLLPILEALPDGLHDTVPYLLSFVMGDPLKMATIGIENRLPPKSKIEQLSGNLTALLPLLSGLADIIPKETLLWKLKLLNSAAAYANSRLHAVKAEVLVLASGKDYMLPSADEAKRLKSSLQNCNVRLFKDHGHTILLEVGISLLTIIKGTSKYRCSRRLDFVSDFVPPSMSEFKYASDEAFGFICVATAAAMFSTLDDGRIVKGLAGVPKEGPVLFVGYHMLMGFELSPLVEGFLREKIVVRGLAHPVLFTDSQETSTSEFSLQDWLKVMGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELALDYNDLKQIPLVNDFIREMSRNGPRPRDESAGEVASQDIFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDKNYANELYLQLYQWRV >Manes.09G158200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35361874:35378737:1 gene:Manes.09G158200.v8.1 transcript:Manes.09G158200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSFQLSPYFLTNSVIKGQFRVRVQNVGSRESKVLSSDSIVVNKTSFIDQKKKNGVLYDGGTVVEEERALINGENGRLGSVAQNKRMKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKVFEVWCLHIPVYDRTPFEGLVKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFGRSQLQPLLPILEALPDGLHDTVPYLLSFVMGDPLKMATIGIENRLPPKSKIEQLSGNLTALLPLLSGLADIIPKETLLWKLKLLNSAAAYANSRLHAVKAEVLVLASGKDYMLPSADEAKRLKSSLQNCNVRLFKDHGHTILLEVGISLLTIIKGTSKYRCSRRLDFVSDFVPPSMSEFKYASDEAFGFICVATAAAMFSTLDDGRIVKGLAGVPKEGPVLFVGYHMLMGFELSPLVEGFLREKIVVRGLAHPVLFTDSQETSTSEFSLQDWLKVMGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELALDYNDLKQIPLVNDFIREMSRNGPRPRDESAGEVASQDIFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDKNYANELYLQLYQWRV >Manes.09G158200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35361874:35378737:1 gene:Manes.09G158200.v8.1 transcript:Manes.09G158200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKVFEVWCLHIPVYDRTPFEGLVKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFGRSQLQPLLPILEALPDGLHDTVPYLLSFVMGDPLKMATIGIENRLPPKSKIEQLSGNLTALLPLLSGLADIIPKETLLWKLKLLNSAAAYANSRLHAVKAEVLVLASGKDYMLPSADEAKRLKSSLQNCNVRLFKDHGHTILLEVGISLLTIIKGTSKYRCSRRLDFVSDFVPPSMSEFKYASDEAFGFICVATAAAMFSTLDDGRIVKGLAGVPKEGPVLFVGYHMLMGFELSPLVEGFLREKIVVRGLAHPVLFTDSQETSTSEFSLQDWLKVMGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELALDYNDLKQIPLVNDFIREMSRNGPRPRDESAGEVASQDIFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDKNYANELYLQVKSDVEHNIDYLLKKREEDPYRSVIDRTLYHAIYHPRQEVPSFDP >Manes.09G158200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35361874:35378737:1 gene:Manes.09G158200.v8.1 transcript:Manes.09G158200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSFQLSPYFLTNSVIKGQFRVRVQNVGSRESKVLSSDSIVVNKTSFIDQKKKNGVLYDGGTVVEEERALINGENGRLGSVAQNKRMKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKVFEVWCLHIPVYDRTPFEGLVKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFGRSQLQPLLPILEALPDGLHDTVPYLLSFVMGDPLKMATIGIENRLPPKSKIEQLSGNLTALLPLLSGLADIIPKETLLWKLKLLNSAAAYANSRLHAVKAEVLVLASGKDYMLPSADEAKRLKSSLQNCNVRLFKDHGHTILLEVGISLLTIIKGTSKYRCSRRLDFVSDFVPPSMSEFKYASDEAFGFICVATAAAMFSTLDDGRIVKGLAGVPKEGPVLFVGYHMLMGFELSPLVEGFLREKIVVRGLAHPVLFTDSQETSTSEFSLQDWLKVMGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELALDYNDLKQIPLVNDFIREMSRNGPRPRDESAGEVASQDIFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDKNYANELYLQVKSDVEHNIDYLLKKREEDPYRSVIDRTLYHAIYHPRQEVPSFDP >Manes.09G158200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35361874:35378737:1 gene:Manes.09G158200.v8.1 transcript:Manes.09G158200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSFQLSPYFLTNSVIKGQFRVRVQNVGSRESKVLSSDSIVVNKTSFIDQKKKNGVLYDGGTVVEEERALINGENGRLGSVAQNKRMKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKVFEVWCLHIPVYDRTPFEGLVKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFGRSQLQPLLPILEALPDGLHDTVPYLLSFVMGDPLKMATIGIENRLPPKSKIEQLSGNLTALLPLLSGLADIIPKETLLWKLKLLNSAAAYANSRLHAVKAEVLVLASGKDYMLPSADEAKRLKSSLQNCNVRLFKDHGHTILLEVGISLLTIIKGTSKYRCSRRLDFVSDFVPPSMSEFKYASDEAFGFICVATAAAMFSTLDDGRIVKGLAGVPKEGPVLFVGYHMLMGFELSPLVEGFLREKIVVRGLAHPVLFTDSQETSTSEFSLQDWLKVMGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELALDYNDLKQIPLVNDFIREMSRNGPRPRDESAGEVASQDIFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDKNYANELYLQVKSDVEHNIDYLLKKREEDPYRSVIDRTLYHAIYHPRQEVPSFDP >Manes.09G158200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35361874:35378737:1 gene:Manes.09G158200.v8.1 transcript:Manes.09G158200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSFQLSPYFLTNSVIKGQFRVRVQNVGSRESKVLSSDSIVVNKTSFIDQKKKNGVLYDGGTVVEEERALINGENGRLGSVAQNKRMKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKVFEVWCLHIPVYDRTPFEGLVKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFGRSQLQPLLPILEALPDGLHDTVPYLLSFVMGDPLKMATIGIENRLPPKSKIEQLSGNLTALLPLLSGLADIIPKETLLWKLKLLNSAAAYANSRLHAVKAEVLVLASGKDYMLPSADEAKRLKSSLQNCNVRLFKDHGHTILLEVGISLLTIIKGTSKYRCSRRLDFVSDFVPPSMSEFKYASDEAFGFICVATAAAMFSTLDDGRIVKGLAGVPKEGPVLFVGYHMLMGFELSPLVEGFLREKIVVRGLAHPVLFTDSQETSTSEFSLQDWLKVMGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELALDYNDLKQIPLVNDFIREMSRNGPRPRDESAGEVASQDIFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDKNYANELYLQVKSDVEHNIDYLLKKREEDPYRSVIDRTLYHAIYHPRQEVPSFDP >Manes.09G158200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35361874:35378737:1 gene:Manes.09G158200.v8.1 transcript:Manes.09G158200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSFQLSPYFLTNSVIKGQFRVRVQNVGSRESKVLSSDSIVVNKTSFIDQKKKNGVLYDGGTVVEEERALINGENGRLGSVAQNKRMKDVSKDLEALWDDGYGTKTVKDYLDVAKEMIRPDGGPPRWFCPLECGQPRKNSPTLLFLPGMDGVGLGLILHHKALGKVFEVWCLHIPVYDRTPFEGLVKFVEETVRTKHAASPNRPIYLVGDSFGGCLALAVAARNPKIDLVLILANPATSFGRSQLQPLLPILEALPDGLHDTVPYLLSFVMGDPLKMATIGIENRLPPKSKIEQLSGNLTALLPLLSGLADIIPKETLLWKLKLLNSAAAYANSRLHAVKAEVLVLASGKDYMLPSADEAKRLKSSLQNCNVRLFKDHGHTILLEVGISLLTIIKGTSKYRCSRRLDFVSDFVPPSMSEFKYASDEAFGFICVATAAAMFSTLDDGRIVKGLAGVPKEGPVLFVGYHMLMGFELSPLVEGFLREKIVVRGLAHPVLFTDSQETSTSEFSLQDWLKVMGAVPVTASNIFRLLSTKSHVLLYPGGAREALHYKGEEYKLCWPKQQEFVRMAARFGATIVPFGTVGEDDIAELALDYNDLKQIPLVNDFIREMSRNGPRPRDESAGEVASQDIFIPGLLPKVPGRFYYLFGKPIETKGKEELLKDKNYANELYLQVKSDVEHNIDYLLKKREEDPYRSVIDRTLYHAIYHPRQEVPSFDP >Manes.17G062700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26219192:26220565:1 gene:Manes.17G062700.v8.1 transcript:Manes.17G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEFQESDIIFSDHNLTGFKEINRQNHSCSNRSGSKTKLKKSTSSSSSSVPVNIPCSNVVRFSEAGAEFDEEFDGEELIPPHVIVGRRIQGKMAFSVCTGNGRTLKGRDLSQVRNSILRLTGFLET >Manes.16G051700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:13254427:13255451:-1 gene:Manes.16G051700.v8.1 transcript:Manes.16G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISPSSTLSTQDPPQPLPPSPSASIDFNIMVIIAAMLCALICALGLNSMLHCVFQCTNRAITETVEWVASRRHNSGLNKKEIVALPTSTYSDETCSSLPSSASASGCVICLTDFADGDKLRVLPKCNHRFHVVCIDKWLISHSSCPTCRLRLNSVPSLDQIVTSL >Manes.02G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2783435:2785201:-1 gene:Manes.02G033500.v8.1 transcript:Manes.02G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPESALKAYVETVKSCELCRESSVAELVSAMAAGWNAKLIVETWSQGGVITTSVGLAIASRLTGGRHVCIVPDEQSRSKYAEAMEKAGMSPEIVVGQPEEVMERLEGIDFVVVDSRQKEFARVLRLAKLSNQGAVLVCKNASSRSSSFRWRAVVEGGSRRLVRSVFLPVGKGLDIAHVATSGGNSGLSKGGKRWIKHIDAQSGEEHVIRK >Manes.01G103400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30313410:30315715:1 gene:Manes.01G103400.v8.1 transcript:Manes.01G103400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSFSNNSGKKLIQIDVSSDTVCPWCFVGKKNLDKAIAASKDRFDFEIKWHPFFLDPSAPKEGVNKLQYYRRKFGSGTDGMMAQMTQIFRGLGLDYNTSGLTGNTLDSHRLVYFAGQQGLDKQHNLVEELFLGYFTQAKYVGDREFLLECAAKAGVEGAAEFLEDPNNGVKEVNDELNKYSANISGVPYYVVSGKHKLSGGQPTEAFLRAFEAAAN >Manes.01G103400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30312385:30315715:1 gene:Manes.01G103400.v8.1 transcript:Manes.01G103400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSFSNNSGKKLIQIDVSSDTVCPWCFVGKKNLDKAIAASKDRFDFEIKWHPFFLDPSAPKEGVNKLQYYRRKFGSGTDGMMAQMTQIFRGLGLDYNTSGLTGNTLDSHRLVYFAGQQGLDKQHNLVEELFLGYFTQAKYVGDREFLLECAAKAGVEGAAEFLEDPNNGVKEVNDELNKYSANISGVPYYVVSGKHKLSGGQPTEAFLRAFEAAAN >Manes.01G103400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30312384:30315715:1 gene:Manes.01G103400.v8.1 transcript:Manes.01G103400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSFSNNSGKKLIQIDVSSDTVCPWCFVGKKNLDKAIAASKDRFDFEIKWHPFFLDPSAPKEGVNKLQYYRRKFGSGTDGMMAQMTQIFRGLGLDYNTSGLTGNTLDSHRLVYFAGQQGLDKQHNLVEELFLGYFTQAKYVGDREFLLECAAKAGVEGAAEFLEDPNNGVKEVNDELNKYSANISGVPYYVVSGKHKLSGGQPTEAFLRAFEAAAN >Manes.02G157500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12308230:12312611:1 gene:Manes.02G157500.v8.1 transcript:Manes.02G157500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASSTFQSSCSLLVTVSSTSAFRAQSPSLFFLRCSSPNLNFSSNFKGCLLPVVGTRQRKFSPVAVIGGATDVSSDRKGKEFSDSSEPTAVNDNSERNETDSVDEGKMVRVCDKLIGVFMVDKPTPNDWRRLLAFSKEWDNIRPHFFKRCQERADSEHDPGMKHKLFRLARKLKEIDEDVQRHNELIKVIKEEPSKISEVVARRRKDFTKEFFIHLFTVAQSYNGNPTEQNALAKLGNDCVAAVQAYDSAAESMEALNAAELKLQDIINSPSLDAACRKIDDLAEKNQLDSSLVLMITKAWSAAKESNMTKDEVKDILYHLYMTAVGNLQRLMPKDIRIVKYLLKIEDPEELLCALKDAFTPGEELEGKDVDSLYTTPEKLHTWIKAVVDAYHSSQEGTLIREARDLMNPKIVKKLEELKILVEDNFM >Manes.04G060700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21450832:21466449:-1 gene:Manes.04G060700.v8.1 transcript:Manes.04G060700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDCKLIILMLQMGELAVDKHVKYILSVQKKRKDSFEAVVMEHLTMNGAYWGLTTLDLLGKLDVVDSEEITEWIIQCQHESGGFAGNIGHDPHILYTLSAVQVLALLDKLNVLDVDKVSNYIVGLQNEDGSFSGDMWGEVDTRFSYIALCCLSLLHRLDKINMEKAVNYILSCKNVDGGFGCIPGGESHAGQIFCCVGALAITGSLHLVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWINKEKLDKDNGGISDRPDDAVDVFHTYFGVAGLSLLEYPGVKAIDPAYALPVDVVNRIFLGR >Manes.04G060700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21450832:21466449:-1 gene:Manes.04G060700.v8.1 transcript:Manes.04G060700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELAVDKHVKYILSVQKKRKDSFEAVVMEHLTMNGAYWGLTTLDLLGKLDVVDSEEITEWIIQCQHESGGFAGNIGHDPHILYTLSAVQVLALLDKLNVLDVDKVSNYIVGLQNEDGSFSGDMWGEVDTRFSYIALCCLSLLHRLDKINMEKAVNYILSCKNVDGGFGCIPGGESHAGQIFCCVGALAITGSLHLVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWINKEKLDKDNGGISDRPDDAVDVFHTYFGVAGLSLLEYPGVKAIDPAYALPVDVVNRIFLGR >Manes.04G060700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21450832:21466449:-1 gene:Manes.04G060700.v8.1 transcript:Manes.04G060700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCDCKLIILMLQMGELAVDKHVKYILSVQKKRKDSFEAVVMEHLTMNGAYWGLTTLDLLGKLDVVDSEEITEWIIQCQHESGGFAGNIGHDPHILYTLSAVQVLALLDKLNVLDVDKVSNYIVGLQNEDGSFSGDMWGEVDTRFSYIALCCLSLLHRLDKINMEKAVNYILSCKNVDGGFGCIPGGESHAGQIFCCVGALAITGSLHLVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWINKEKLVKFILNCQDKDNGGISDRPDDAVDVFHTYFGVAGLSLLEYPGVKAIDPAYALPVDVVNRIFLGR >Manes.04G060700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21450565:21466449:-1 gene:Manes.04G060700.v8.1 transcript:Manes.04G060700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELAVDKHVKYILSVQKKRKDSFEAVVMEHLTMNGAYWGLTTLDLLGKLDVVDSEEITEWIIQCQHESGGFAGNIGHDPHILYTLSAVQVLALLDKLNVLDVDKVSNYIVGLQNEDGSFSGDMWGEVDTRFSYIALCCLSLLHRLDKINMEKAVNYILSCKNVDGGFGCIPGGESHAGQIFCCVGALAITGSLHLVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWINKEKLVKFILNCQDKDNGGISDRPDDAVDVFHTYFGVAGLSLLEYPGVKAIDPAYALPVDVVNRIFLGR >Manes.04G084100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28543253:28548127:1 gene:Manes.04G084100.v8.1 transcript:Manes.04G084100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTVQLGMNSAVYLTKFLPFNSSSANRFEYRLISELVSSNGKRAFLVDTLALVRGLESQGVPSKQAEAITAAITEVLNDSLENVARSFVSKAEMQKEHHFSLLQRETEKLRNDIEKMRSELRRIRDELANQSAETTNLTNKLDREIQSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVVRILL >Manes.04G084100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28543253:28548127:1 gene:Manes.04G084100.v8.1 transcript:Manes.04G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTVQLGMNSAVYLTKFLPFNSSSANRFEYRLISELVSSNGKRAFLVDTLALVRGLESQGVPSKQAEAITAAITEVLNDSLENVARSFVSKAEMQKSEFIHESNLSKFKSEVKSSQEHHFSLLQRETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQSAETTNLTNKLDREIQSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVVRILL >Manes.04G084100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28543253:28548127:1 gene:Manes.04G084100.v8.1 transcript:Manes.04G084100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTVQLGMNSAVYLTKFLPFNSSSANRFEYRLISELVSSNGKRAFLVDTLALVRGLESQGVPSKQAEAITAAITEVLNDSLENVARSFVSKAEMQKSEFIHESNLSKFKSEVKSSQEHHFSLLQRETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQSAETTNLTNKLDRVSIVI >Manes.04G084100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28543253:28548127:1 gene:Manes.04G084100.v8.1 transcript:Manes.04G084100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTVQLGMNSAVYLTKFLPFNSSSANRFEYRLISELVSSNGKRAFLVDTLALVRGLESQGVPSKQAEAITAAITEVLNDSLENVARSFVSKAEMQKSEFIHESNLSKFKSEVKSSQEHHFSLLQRETEKLRNDIEKMRSELRRIRDELANQSAETTNLTNKLDREIQSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVVRILL >Manes.04G084100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28543253:28548127:1 gene:Manes.04G084100.v8.1 transcript:Manes.04G084100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTVQLGMNSAVYLTKFLPFNSSSANRFEYRLISELVSSNGKRAFLVDTLALVRGLESQGVPSKQAEAITAAITEVLNDSLENVARSFVSKAEMQKEHHFSLLQRETEKLRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQSAETTNLTNKLDREIQSLRAQLEAAKYDVIKYCIGTLVSISAVGLAVVRILL >Manes.18G068450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6175886:6177199:-1 gene:Manes.18G068450.v8.1 transcript:Manes.18G068450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSSGSIQSSSGGEEYDSRPESLPAFLNPSSHFGPLSSNPQHQPSLLPHHQHATLFDPSPNFFHAFSQSPANPNPGTSLLNLDVVRPRGLRSDPDCTDLNCNLLGSSASSSQSILGAQGSNQGPLPSMQLRSVQYNGVRSSSPSDQTHVVARNPKKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPISGSPYPRRLDLFGIVGSNMRSGHLETMGSLNPLRPSAQKVHQQSPFLLSSSSSSSSLSSSLLLNNTVVNATNTATTTVNNNNTMTLSTPATTSTFNPASSINYQLASELGLSKQPQSMLNMQNQMLSFQSLLQPPNLHPSLNLPGLGAKSQASIPFHSLDELGMSHGQINVNLSGLSSHETTEGMRLRNDGAGPNNNGNQDHLRCFDSCKLNYSTPSDFHHDKGLENVPPRGEGTVDSWICPAE >Manes.03G185700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30631198:30636425:-1 gene:Manes.03G185700.v8.1 transcript:Manes.03G185700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRNGTETERCGEDEEGEEPILKEQNQRFCMFPIRYKQLWEMYKKAEASFWTAEEVDLSQDVQQWETLSISEKHFISHVLAFFAASDGIVLENLAARFLSDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSREKHRLFNAIETIPCVARKAKWALDWIHSSSLFAERLVAFSCVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLQKKLEKQKVEQIVAEAVEIETEFVCNALPCALIGMNSTLMSQYIKFVADRLLVALGCQRKYNVENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQDGGKNFVFKMDEDF >Manes.11G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29246689:29248705:1 gene:Manes.11G130100.v8.1 transcript:Manes.11G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWLQYSLENTRSMASLSMAIFSLRSYCSCNYVSTNRNITATTSTAGLDLRLSLSSNPKRRRVLQHAGQPLSAVGSGLEASITDPKDNAITLKNAEVVLESREENKIQLRVELPGDETQKVFDKVLIDLGRSAPPIPGFRKVKGGKSSKVPRDFLLQILGEERVTNFVILEIITSSVANYVKKENLNVKDDKVTTIQKPEELKKLFTPGNAFGFNAVLELEEIKTETSS >Manes.09G185300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37234843:37237378:1 gene:Manes.09G185300.v8.1 transcript:Manes.09G185300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNFVKNGVLRLPPGFRFHPTDEELVVQYLKRKVFACPLPASIIPEVDVCKSDPWDLPGELEQERYFFSTREAKYPNGNRSNRATISGYWKATGIDKEIVTSKGNQLVGMKKTLVFYRGKPPHGTRTDWIMHEYRLVTTEATVCNSPQKKNSIQIPLVPIENWVLCRIFLKRRSTKNEEENFQIGNKNRVCKLRNTKPVFYDFLTKDRTDLNLGPSCASSGSSGVTEVSSNESDDHEESSSCNSFPYFRRKP >Manes.03G066678.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8703713:8724368:-1 gene:Manes.03G066678.v8.1 transcript:Manes.03G066678.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLAGSNWPAIGLCFFFFFLIASSAKIPTSKIPSTTKKSSALGVQLQVTDKQVVIDNGIVQVNFSSPGGDVIGIKYKEMDNVLETKNYENNRGYWDVVWSRPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVLLTNPVNPQQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKA >Manes.03G190400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30969100:30977094:1 gene:Manes.03G190400.v8.1 transcript:Manes.03G190400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFHIYEAIGRGKYSAVYKGRKKKTIEYFAIKSVEKCHKSKVLQEVRILHSLDHLNILKFYSWYETSAHLWLVLEYCVGGDLMTLLRQDSQLPEDSIHDIARDLVRALQFLHSKGIIYCDLKPSNILLDENGRVKLCDFGLARKLSEMSKTPSSMLPQAKRGTPCYMAPELFDDGGVHSYASDFWALGCVLYECYAGRPPFVGREFTQLVKSILSDPTPPLPGNPSRPFANLINSLLVKDPAERIQWTELCGHAFWNTKFALVPLPSQPAFDNMIELYAKPCLSECNGDRSSQNKTPPKHCEKDVKGTPKPNENSILGSSGHETPVKDILSGRKIQTKTFRGVEEKQRVPSSASRGVNLLRLSRIAKSNLQRENEKENYRRPMPNSYENDAEVKIENTDMELNFNENAEDDTHDEPDGSDNSSSIPEEVESNIHQLETSPVVNMPASDESRTNDQESSSEYVDIATTPLTASPQVKTQRAKEGVEATEFDSLKSSNKLSEVLWHPSDLSVRPVMPSRKADKASEVIPSLPFEALKPSDFVKMSKEQLDALNNRIISTFNGNNNTGEKQNLIRYLEILSSNADAANILTNGPIMLMVVKMLRLSKASALRVQLASLIGLLIRHSTFIGDDLASSGILGSLTAGLRDRQEKVRRFSMAALGELLFYISTQNEQSRDNNTLESPSKDSRSTFGWQVPNSLISLVSSVLRKGEDDITQLYALRTIENICSQGGNWAARFTSSDVISNLCYIYRATGKQESIRLTAGSCLVRLARFNPPIIQSIIEKLSFKDTASALVKGSPREQQVCLNLLNMAMLGSHMFTNIGRHLLPLAEDKNLVPSLLSLTEQGSEILRGKTLLFTALLCKNGRRWLPHFFCNPRLLSAVDRLAKEKDSYLQQCLDAFVRIVVSSVPSLLDIITTDIQQMMGGRRNGHFSAISSRVTPKTNIHSLPVVLHLLGSSSLKCRVVNNQVLQQLTNLVKVLETPFQGRDDLQITLLRILESIAEESSLILESPDILIGEILPSLAILYKGNKDGDARFLCLKILFDVMVIFLNEPFDDEQRSQALKAISNIHFLPLYPALIEDEDPIPMYAQKLLVMLIEFDCIKISDILHLKTVSQCFEFLLGDLSNANVNNVKLCLALASAPEMDSKLLSQLKVVRKVGNLLEFVYAKDMEDFLEPTLALCRAFLLCSVGRKRGLAYRQEPALLNDVSSEASGPIDPQQCIRDTMEFGGNVGVLLELSGSSEVNIADIASECVVLLLKAAPREATTGFLTNLPKVSAILESWRKGVPHLILRRMLHALGYSCRQYLSHAMILSISKTEVSRLEAIILELKNSSTYDLANAALRVASELHRLPRCI >Manes.08G086011.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27266785:27268948:1 gene:Manes.08G086011.v8.1 transcript:Manes.08G086011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPCTLKINGGGREDYWSEGATAELIDAWGERYLKLRRGNLKQKHWKEVADIVSSREDYKKATKTDIQCKNRNDTVKKKNKLEKAKIAAGGGPSKWPFFKRLDHKVPTGIPVGIHSGGASGSNQYQFHEGKAQMKSNQITKNFPDSEDSLPSKKKSREVVQREVNTKEFEQAKSMKLQQVVEMEKTRMKFARELELQRMQFFMKTQMEIRRGENDREENDKISHICISSDNLSKSHLNNYFI >Manes.13G110200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31578150:31584357:1 gene:Manes.13G110200.v8.1 transcript:Manes.13G110200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKLSESRDLTRIERIGAHSHIRGLGLDSALEPRAVSEGMVGQTAARKAAGVILQMIKDGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGLETPFAMISGSEIFSLEMSKTEALMQAFRKAIGVRIKEETEIIEGEVVEVQIDRPAVSGAASKTGKLTLKTTEMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKADIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIITTQPYTKDDIRKIVDIRCQEEEVEISEEAKALLTHIGVETSLRYAINLITAAALACQRRKGKLVESEDITRVYSLFLDVKRSTQYLMEYQNQYMFNEAPVGNGDEDDASAMLS >Manes.15G028700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2246515:2254270:-1 gene:Manes.15G028700.v8.1 transcript:Manes.15G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSVLNSNQMPTIVVTNDDGIDAPGLRSLVRVLVSTHRFHVLVCAPDSEKSAVSHSITWRHPISARRVDIEGATAFAVSGTPADCASLGVSKALFPSIPDLVISGINMGSNCGYHIVYSGTVAGAREAFFNDIPSISISYEWVGGKSTIQDFTLAAEACIPIISAVLVEIKNQTYPSRCFLNIDLPTSVAKHKGYKLTKQGKSIFRMGWKQVTSGTEGGKMLSTMTMDTNAAVQTETGASNVAQEQMWFRREVKGAQVDDTDTDHKFLQEGYITVTPLGALSQVETSCLEYFNDWLPRTVDLSSPSAL >Manes.15G028700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2248565:2254263:-1 gene:Manes.15G028700.v8.1 transcript:Manes.15G028700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSVLNSNQMPTIVVTNDDGIDAPGLRSLVRVLVSTHRFHVLVCAPDSEKSAVSHSITWRHPISARRVDIEGATAFAVSGTPADCASLGVSKALFPSIPDLVISGINMGSNCGYHIVYSGTVAGAREAFFNDIPSISISYEWVGGKSTIQDFTLAAEACIPIISAVLVEIKNQTYPSRCFLNIDLPTSVAKHKGYKLTKQGKSIFRMGWKQVTSGTEGGKMLSTMTMDTNAAVQTETGASNVAQEQMWFRREVKGAQVDDTDTDHKFLQEGYITVTPLGALSQVETSCLEYFNDWLPRTVDLSSPSAL >Manes.15G028700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2249811:2254263:-1 gene:Manes.15G028700.v8.1 transcript:Manes.15G028700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSVLNSNQMPTIVVTNDDGIDAPGLRSLVRVLVSTHRFHVLVCAPDSEKSAVSHSITWRHPISARRVDIEGATAFAVSGTPADCASLGVSKALFPSIPDLVISGINMGSNCGYHIVYSGTVAGAREAFFNDIPSISISYEWVGGKSTIQDFTLAAEACIPIISAVLVEIKNQTYPSRCFLNIDLPTSVAKHKGYKLTKQGKSIFRMGWKQVTSGTEGGKMLSTMTMDTNAAVQTETGASNVAQEQMWFRREVKGAQVDDTDTDHKFLQEGYITVTPLGALSQVETSCLEYFNDWLPRTVDLSSPSAL >Manes.11G050095.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6383966:6385577:1 gene:Manes.11G050095.v8.1 transcript:Manes.11G050095.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.02G192800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15585013:15587984:-1 gene:Manes.02G192800.v8.1 transcript:Manes.02G192800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTQNQQDVFRPSANYPPTIWGCSFASFSSLDSEFESYTKEVEMLKEKMKDVLEQPTKELMGKIEFIKLLCRLGVSYHFQNEIEDQLNHIFIHLFELLEDNNYDLNIIATAFQILREHGYKMSSDVFNKFKDSDGGFKKTITNDVKGLLSLYEATFFRGHGEDILDEALAFTRQHLEILAEQSSPHLANYIKKALVLPFHRNLERLEAKKYIAFYEEEESKDETLLRFAKLDYNRLQLLYTQELASLSRWWKESDVAEKLDYSRDRIVEAYMWAIGPHFEPQYSVSRILVAKFIEILTLIDDTYDGYATIDEVQHFTAAIERWNIGAIDDLPEYMKILYKFTLNFFDEMEKDGYNTCYAKETFKEMVKAYYVEAQWFNNKYMPAFDEYMRNGLVTGGYRAIPAITFLGMENIVGAKELQWVKTNPKIVRAARLISRLRNDIVAREDEKKKKDGPLSVDCYMNEHGVSKEKAIEETKRMCENAWKDMNEDCFNPSAVPMFLLKYYVNLARTIEYLYTHDDYYTYSSGLKDDITSLFLEQLPL >Manes.02G192800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15584983:15587984:-1 gene:Manes.02G192800.v8.1 transcript:Manes.02G192800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTQNQQDVFRPSANYPPTIWGCSFASFSSLDSEFESYTKEVEMLKEKMKDVLEQPTKELMGKIEFIKLLCRLGVSYHFQNEIEDQLNHIFIHLFELLEDNNYDLNIIATAFQILREHGYKMSSDVFNKFKDSDGGFKKTITNDVKGLLSLYEATFFRGHGEDILDEALAFTRQHLEILAEQSSPHLANYIKKALVLPFHRNLERLEAKKYIAFYEEEESKDETLLRFAKLDYNRLQLLYTQELASLSRWWKESDVAEKLDYSRDRIVEAYMWAIGPHFEPQYSVSRILVAKFIEILTLIDDTYDGYATIDEVQHFTAAIERWNIGAIDDLPEYMKILYKFTLNFFDEMEKDGYNTCYAKETFKEMVKAYYVEAQWFNNKYMPAFDEYMRNGLVTGGYRAIPAITFLGMENIVGAKELQWVKTNPKIVRAARLISRLRNDIVAREV >Manes.02G192800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15584983:15587984:-1 gene:Manes.02G192800.v8.1 transcript:Manes.02G192800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTQNQQDVFRPSANYPPTIWGCSFASFSSLDSEFESYTKEVEMLKEKMKDVLEQPTKELMGKIEFIKLLCRLGVSYHFQNEIEDQLNHIFIHLFELLEDNNYDLNIIATAFQILREHGYKMSSDVFNKFKDSDGGFKKTITNDVKGLLSLYEATFFRGHGEDILDEALAFTRQHLEILAEQSSPHLANYIKKALVLPFHRNLERLEAKKYIAFYEEEESKDETLLRFAKLDYNRLQLLYTQELASLSRWWKESDVAEKLDYSRDRIVEAYMWAIGPHFEPQYSVSRILVAKFIEILTLIDDTYDGYATIDEVQHFTAAIERLVN >Manes.01G104600.25.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30418298:30422471:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Manes.01G104600.26.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30418515:30422471:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Manes.01G104600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30419415:30422532:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Manes.01G104600.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30419415:30422372:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQH >Manes.01G104600.27.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30418682:30422436:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Manes.01G104600.31.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30418373:30422484:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Manes.01G104600.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30419415:30422372:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQH >Manes.01G104600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30418677:30422399:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQH >Manes.01G104600.23.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30418515:30422717:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Manes.01G104600.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30418373:30422484:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Manes.01G104600.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30418298:30422717:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Manes.01G104600.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30418679:30422533:-1 gene:Manes.01G104600.v8.1 transcript:Manes.01G104600.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSESGSSLSSPKLSKSGSLRDDDACPRQVSPIRIGGSRNTSPLGRVGSRNTSPSRQKAIKTKPRGLDEETAATFVKAVYPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCRRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLILVVDRTDRFFKVIATSDIYGDKSLPTEVYDSRLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKNLLYDVDKKVWSWIAGCALQSYNSQH >Manes.10G001700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:506647:508456:1 gene:Manes.10G001700.v8.1 transcript:Manes.10G001700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSWNVRGLNDPKKQSEVNTLIARNKLAAIGLIETRVKQERSQKVQNNLKLYEWQFIDNYQFASSGRIWVAYDAQLTHLQIVSKSDQTIHCKMQVDRNDFYWTIVYGSNLCAEKRRLWESIQAFANDMSTPWIIQGDFNIILNMQEGMGGNETDNQASQDFTECVSAASLKS >Manes.06G137600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26540572:26543591:-1 gene:Manes.06G137600.v8.1 transcript:Manes.06G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSTPTDPSSSTPDDTAAKAVNKRYEGLVAIRTKAIKGKGAWYWAHLEPILIRNSDTGLPKGVKLKCSLCDALFSASNPSRTASEHLKRGTCPNFNSVFRPNSVVSPLPISSLPSPPSHNHRKRSSHMATPLNSLAIVESTRFCNELGYSNSGLTQQQHLVLSGGKDDLGALAMLEDSIKKLKSPKASPGPSLSKDQIDSALELLADWFYEACGSVSFSSLEHPKFRAFLHQVGLPSLARRDLSGARLENRFHVAKTEVEARIRDAMFFQVACSGWKNKHCCSGEENLVKFSNNLPNGTSLFQKAVLTGGSVSSKYAEEIMWEAVTSVSGSSLQRCVGIVSDKYKAKALRNLEIQYQWMVNLSCQVQGLLSLIKDFFKELQLFRTVTENCMKLANFVNNKSPVRISFQKYRMQELECAGLLRVPSSKCECTKDFTNVYVMLEDILSCVRVLQMVVLDDSYKVISVEDSVAGEIAGMIQSEGFWNEVEAVYSLTKLIRRMVHEIEVERPLIGQCLPLWEDLKAKVKDWSARFNIVDGHVEKIVEKRFRKNYHPAWSAAFILDPLYLMRDTSGKYLPPFKCLTHEQEKDVDKLITRLVSREEAHVALMELMKWRSEGLDPLYAQAVQVKQRDPSTGKMKIAHPQGSRLVWETCLSEYKTLGKVAVRLIFLHATSCGFKCNWSSMKWICTHRNSRVGLERAQKMIFIAAHAKLERRDFLNEEEKDGELLRLAGCEDDLLEVFSDAPPM >Manes.13G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4881980:4883171:1 gene:Manes.13G038400.v8.1 transcript:Manes.13G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLKITVEKNPSESKLNELNIKCWPKWGCSPGRYQLKFDAEETCYLLKGKVKVYPKGSSETVEFGAGDLVTIPKGLSCTWDVSVAVDKYYKFESSSSSSPSQPPPSTSSSS >Manes.07G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30617339:30623183:1 gene:Manes.07G101200.v8.1 transcript:Manes.07G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNQSLEMQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWVPKQISSPPTEPKLESFVSPPAADANGVSAIKNQRGKICILSIDGGGMRGILSGKALAYLEHALKTKSGNPEARIADYFDVAAGTGIGGIFTAMLFGSKDNNRPITKADDTWRFLADHGKKIYRSGNGNGGGGNGRGGGGGGVLKRLFKGGSTMAAATACLEKAMKETFTEQGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSAEPGLFEPIQMVSVDGQTKCLAVEGGLAMSNPTSAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYDYEEVKNWRAKHWARPMARIAGDGSADSVDQAIALAFGQCRSSNYVRIQANGSSLGGCGPNVDTDPGPNNVKMLIAIAEEMLKQKNVESVLFGGKRIGEQSNFEKLDWFAGELVLEHQRRSCRIAPTVAFKQAASKPT >Manes.07G101200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30617339:30623183:1 gene:Manes.07G101200.v8.1 transcript:Manes.07G101200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNQSLEMQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWVPKQISSPPTEPKLESFVSPPAADANGVSAIKNQRGKICILSIDGGGMRGILSGKALAYLEHALKTKSGNPEARIADYFDVAAGTGIGGIFTAMLFGSKDNNRPITKADDTWRFLADHGKKIYRSGNGNGGGGNGRGGGGGGVLKRLFKGGSTMAAATACLEKAMKETFTEQGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSAEPGLFEPIQMVSVDGQTKCLAVEGGLAMSNPTSAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYDYEEVKNWRAKHWARPMARIAGDGSADSVDQAIALAFGQCRSSNYVRIQVKLDLLPLLIL >Manes.06G156000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28231617:28235089:-1 gene:Manes.06G156000.v8.1 transcript:Manes.06G156000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTSSSSSAPSSHPMYGHSFYPRIGQQEQTAVPPVPRNSLYHQTSAPSPSSSGLGIRVALKPEYRITPLPQLSPQLGDIPRSNFQFDFEFERKVLAEAEKETPSWSKLGLESLPSKTTESTSSLGPTVDPVVSRYVASGLNREAVSLAVANYGDNPTKVQAFVNGYTLLREMGFSSNKVTEALLMYDNDTDKALAHFLNSSS >Manes.10G068600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10692802:10701296:-1 gene:Manes.10G068600.v8.1 transcript:Manes.10G068600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITVCTKISLFLKESNFAIYGNLIEIKGFFYFTPSGGRRLISKMRKPVSRYPFLYLFLFSVISHFSLATSGDAQVLLALKSSIDPANSLPWPQGSDACKWQGIKECMGGRVTKLVLEYLNLSGTLDGKTLNQLNQLRVLSFKGNSISGSIPNLSGLVNLKSLYLNSNNFSGDFPDSVINLHRLKVIVLAENQISGPIPRSLLKLTRLYVLYLQDNSLVGAIPPFNQTSLRFFNVSNNKLSGQIPVTSPLIRFNTSSFSGNIDLCGEQIQNPCKKSEFGPSASPVSPTIPSPKSSSKHRKLIKIIAGSVGGFLVLCIIILLIVCLARKNLRSREGSVVGGRNKAKGIVAGGDKSGINGGNGGGGSGLGANDGGTQGQFSWEREGLGTLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRVEEFRRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTMFRDPNFLEEPSATSLFYRAPENREMRKPATQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVQSVREEETESGDEPASGNEAGEEKLQALINVAMACVSFTPEDRPSMRDILKMIRDARAEAQVSSSGSDHSHGRWSDTVQSLPREEHLSI >Manes.10G068600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10692802:10701296:-1 gene:Manes.10G068600.v8.1 transcript:Manes.10G068600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVTKLVLEYLNLSGTLDGKTLNQLNQLRVLSFKGNSISGSIPNLSGLVNLKSLYLNSNNFSGDFPDSVINLHRLKVIVLAENQISGPIPRSLLKLTRLYVLYLQDNSLVGAIPPFNQTSLRFFNVSNNKLSGQIPVTSPLIRFNTSSFSGNIDLCGEQIQNPCKKSEFGPSASPVSPTIPSPKSSSKHRKLIKIIAGSVGGFLVLCIIILLIVCLARKNLRSREGSVVGGRNKAKGIVAGGDKSGINGGNGGGGSGLGANDGGTQGQFSWEREGLGTLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRVEEFRRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTMFRDPNFLEEPSATSLFYRAPENREMRKPATQPADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVQSVREEETESGDEPASGNEAGEEKLQALINVAMACVSFTPEDRPSMRDILKMIRDARAEAQVSSSGSDHSHGRWSDTVQSLPREEHLSI >Manes.01G221300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38883688:38886722:-1 gene:Manes.01G221300.v8.1 transcript:Manes.01G221300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQHTIVPTNGINMHIASIGTGPVILFLHGFPELWYCWRHQLLSLSSLGYRCIAPDLRGYGDTDAPPSPTQYTALHVVGDLVGLLDHLGVDQVFLVGHDWGAAIAWHFCLFRPDRIKALVNTSVVFSPRNPQIKPIESFRKSLGDDFYICRFQEAGEIEDDFAQAGTAKVITRFLASRSSKPPCIPKETGVRGSPDPRSLPSWLSEEDINYYVSKFNQKGFTGGLNYYRCLDLNWELTAPWTGSQIKVPVKFIVGDLDVTYHFPGVKEFIHNGGLKKYVPFLQEVVVMEGVAHFLNQEKPEEISAHIYDFFKKF >Manes.10G153800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:32047021:32057218:-1 gene:Manes.10G153800.v8.1 transcript:Manes.10G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMASESLLQIEEIEGRGRAIVSSKPLRGGDIVLRDSPILLYSGSPLNGQQPLFSTSIYCDNCFKKLESASAASSCPSCFQNRFCSQHCLSASIASSHTPWVCQALSRLRDCPSLVLHQPLERQIQARFLIAAYNLALVSPPNFQILLSLQGYGEDKDAAAAQFLHSLISSLCPPPPIDGFSFSFEITSALLSKDKLNAFGIMEPMEFNKENCGQRSVRAYGIYPKASLFNHDCLPNACRFDYVDTAPDRNTDVIIRMIHDVPQGREICLSYFPVNWNYSNRKKRLLEEYGFTCECDRCKVEANWSDNEDNEGDDDDEAMEEDRDESMVEEATSEYEADFPHAYFFLRYMCNRENCWGTLAPLPSSDNNQSSILLECNVCGNMKNDEEFGVDAD >Manes.01G189400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36543146:36548179:1 gene:Manes.01G189400.v8.1 transcript:Manes.01G189400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPQFTGSISTSFTSILQIVFFTPFSFRLLEISSALRILYRSHNTRMSSICSSVGLLVPQWRHWRNTRFLILFRFKRNISFVSPVIYCSNNDSISKQSQGYDPSEELLGLEADLKPRNATSGASKRRSWFGPNGQYIRELPCPSCRGRGYTPCTECGIERSRLDCSQCNGKGIMTCRQCLGDRVIWEESIDEQPWEKARSISPLKVKEDDEVDNLEIKLEVKKKSKRVYQSPPPEVGEKISRSLKSLNAKTGLFSKRMKIIHRNPKLHAQRVAAIKRAKGTAAARKHTSETLKAFFSDPENRRKRSIAMKGVKFYCGHCGREGHRKHYCPELKDSLIDRRFRCRLCGEKGHNRRTCRKSRTSNHNKGTITKQHRCKICHQSGHNRRTCPKLTGSKLDGSDAKKDFHTPAIFVKKKDTT >Manes.01G192500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36740183:36742096:1 gene:Manes.01G192500.v8.1 transcript:Manes.01G192500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPPPPLSSAVFFLLFCLNAIFVAAEAACAFKAQSFSRSSCPPFSSAPPFPFSISPGCGHPSFQLKCSSPHSTISINNLSFSLIRFEPNSSSLTLSPQAHSFTSAKTSTQSNCSSLSIPPHSINLSGSPFRFSDSDCSRLSVVRSCSAPNLPNCSHCPWECKLIKNPVQLLHGCGSTRRPLSEQGCQPDILGYLDQFLTFGLQVVYDEFQDSYFSSCRDCKSNNGICGFNSSDPEKRFLCFQSKSRFSPPWIREDDANRIAILCSVFTVLCLLLVILVVAAIYRSRRLRSLATEEDPTTLFLHRHRSASLLPPVFTYEELESSTNSFDPKGKIGDGGFGSVFLGYLRDGRIVAVKYLHKHHHAAAAGRAFSTKSFCNEILILSSIDHPNLVKLHGYCSDPRGLLLVYDYVPNGTLADHLHGPKSSYRKASLTWQVRLDIALQTALALEYLHFAVHPAIVHRDITSSNIFVEKDMKIKVGDFGLSRLLVFPETSSSSSGYVWTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELISGLKAVDQSRDKREMALADLVVSKIQMGQLHQVVDPVLAVEGEGNESIYAVAELAFRCVAADKDDRPDSREVVEELKRVRSRTRGFGGANNSGEMAKG >Manes.03G113200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23768077:23772398:1 gene:Manes.03G113200.v8.1 transcript:Manes.03G113200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLEIKRSKDLSSYCKRNLTNRDMAERHFPNDMPNYLPEITTAVDEAATERSKDSLANLLSLPYNRLSERLKTSALELKHRVVTETWELSGKRVHDYTVYTGALGTAYLLFKAYQATNNENDLKLCSDIVRACDSASRDSGRVTFICGRAGVCALGAVVAKHAGDERLLDSYLTQFKEKIKLPSDLPYELLYGRAGFLWACSFLNKHIGKETISAGRMRAVVDEIIKGGKRLAHRDKCSLMYEWHGKKYWGAAHGMAGIMHVLMDMELKPNEVEDVKGTLRYMIKNRFPSGNYPSSEGSESDRLVHWCHGAPGVALTLVKAAEVFGDQEFLQAAMDAAEVVWNRGLLKRVGICHGISGNTYVFLSLYRLTGNVQYLYRAKAFACFLHEKAQKLISEGKMHGGDRPCSLFEGVGGMAYLFFDMIDPSEASCQNLKLLFI >Manes.03G113200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23768077:23770910:1 gene:Manes.03G113200.v8.1 transcript:Manes.03G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLEIKRSKDLSSYCKRNLTNRDMAERHFPNDMPNYLPEITTAVDEAATERSKDSLANLLSLPYNRLSERLKTSALELKHRVVTETWELSGKRVHDYTVYTGALGTAYLLFKAYQATNNENDLKLCSDIVRACDSASRDSGRVTFICGRAGVCALGAVVAKHAGDERLLDSYLTQFKEKIKLPSDLPYELLYGRAGFLWACSFLNKHIGKETISAGRMRAVVDEIIKGGKRLAHRDKCSLMYEWHGKKYWGAAHGMAGIMHVLMDMELKPNEVEDVKGTLRYMIKNRFPSGNYPSSEGSESDRLVHWCHGAPGVALTLVKAAEVFGDQEFLQAAMDAAEVVWNRGLLKRVGICHGISGNTYVFLSLYRLTGNVQYLYRAKAFACFLHEKAQKLISEGKMHGGDRPCSLFEGVGGMAYLFFDMIDPSEARFPAYEL >Manes.11G136400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30133745:30137403:1 gene:Manes.11G136400.v8.1 transcript:Manes.11G136400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFFLFLFLFLVLLFFLNAAVSQDMKNWVGIDCGSQTSQYKNELTWQTDEGFIKTGENKWISPNSKTKLDQLRTLRVFKEQNKNCYSLPAPTTDRYFIRAMFHYGNYDGLSNPPTFDLQFDGNKWITVQTSLSDTIFHEVVYASKGNNISVCLARTQDNQFPFISMLEIWAIPDDSYDIMTRDKAWIKAYRYSYGGSDVILGYPEDRLNRIWVPMTPQDLNAVKANFTTLDYTTVNYPPDEAIIHAVESPLPSESILLQPFTITEMTRLDHVSVYFTEIVQDINAIRTFDLYVNGKWEFTITPQYKNCTGYMINTRSFTFLNVELFPSFDSTLPPIISAIEVYTATDSLVSAGTSQDDLDGLAVLIDSFEQLKGWSGEPCLPSDTVWQWLACTGSYVNPPRVTSINLSGYGLNAHLPDFSQMQALETINLSNNSLSGPIPDFLGNLPSLTLLDLRDNGFSGEIPRSITDNNKLTYYLDGNPNLDQPKKKNLALIVGLAVGIPIFLLLVIAVIIIVYFSKRKPPAPSPVQATELQMGETNQNENTFVTVTTEAMVKPNSIEQTTLQSEGESFTSAPNGTHANMPIHANGYSAEISGEDISSNINSEINNHQLGVQDIDEEELNDLLRQHGSTGANVRT >Manes.15G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3821574:3825515:-1 gene:Manes.15G049400.v8.1 transcript:Manes.15G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDPAACFMDDLLDFASDIGEEDDDEDHNKPRKALPPLNPPPNGLAVAPPPFDVFDPSDDSTRPLPEFAEEDLEWLSNKDAFPALETFVDILSENPGSLPKQRSPVSVLENSTTSSTSNSGNSSTNESVIMNYCRSLQVPVRARSKHHRRRRRDLQAQQCWWSLENLRKVRPSVTSSTMGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFSVELHSNSHRKVMEMRKQKQMMGLMVVKPMEKG >Manes.06G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11657522:11661310:-1 gene:Manes.06G038200.v8.1 transcript:Manes.06G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSGACLRCCLVIFAVVSALAVCGPALYWRFKKTIRLSGSKISCPPCICDCPPPLSLLKIAPGLANLSVTDCGSDDPDLKTEMEKQFVDLLTEELKLQEAVTEEHAHHMNISFAEAKRVASQYQREAEKCNAATETCEEAREQAEASLIQERKVTSLWEQRARQLGWEGE >Manes.17G082300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:28762416:28762808:1 gene:Manes.17G082300.v8.1 transcript:Manes.17G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMVLLLTLPGLDGLRRGLVAVTRNLLKPFLSVVPFCLFLLMDIYWKYETRPSCEDDSCTPSEHLRHQKSIMKSQRNALLIAAALIFYWLLYSVTNLVVKIEQLNQRIERLKNKD >Manes.09G169300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36150477:36153191:1 gene:Manes.09G169300.v8.1 transcript:Manes.09G169300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSSPNPKNPNSFFHFMAQLHRSSTIPHHKPPDSDSETVNPSSSSTCPPPFPPCNPTQFPASSPSIYHLSFNQDNGCFAAGLDNGFCIYNTDPFMPFFRRDFDSRGGIGLVALLFRSNILCLVGGGSDPLYPITKVMIWEEHHSRCIGELSFRSEVKNVKLRRDRIIVVLPQKIFVYNFADLKLVHQIETTLNPKGLCEISCTSSPMVLVCPGLQKGQIRVENYGSKRTKFVMAHDSKIACMTMTQDARLLATASCKGTLIRVFNTLDGSLLQEVRRGADRAEIYSLAFSSNAQWLAVSSDKGTVHVFSIKVDSGLMSLANDRLHTAAETNNSNGLGISSLSILKGNGFIIAAFI >Manes.09G169300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36150477:36153191:1 gene:Manes.09G169300.v8.1 transcript:Manes.09G169300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSSPNPKNPNSFFHFMAQLHRSSTIPHHKPPDSDSETVNPSSSSTCPPPFPPCNPTQFPASSPSIYHLSFNQDNGCFAAGLDNGFCIYNTDPFMPFFRRDFDSRGGIGLVALLFRSNILCLVGGGSDPLYPITKVMIWEEHHSRCIGELSFRSEVKNVKLRRDRIIVVLPQKIFVYNFADLKLVHQIETTLNPKGLCEISCTSSPMVLVCPGLQKGQIRVENYGSKRTKFVMAHDSKIACMTMTQDARLLATASCKGTLIRVFNTLDGSLLQEVRRGADRAEIYSLAFSSNAQWLAVSSDKGTVHVFSIKVDSGLMSLANDRLHTAAETNNSNGLGISSLSILKGVLPKYFSSEWSVAQFRLPEGLQYFVAFGRQKNTVVIIGMDGSFYRCQFDPESGGEMTQLEYHNFLNSNNFLKQGETFPNTM >Manes.13G150600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36586911:36592743:-1 gene:Manes.13G150600.v8.1 transcript:Manes.13G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGIERELLFSDEELREMSGVKRGGDYIEVTCGCTSHRYGDAVGRLRVFVNGDLEITCECTPGCNEDKLTPAAFEKHSGRETARKWKNNVWVIVNGEKVPLSKTVLLKYYSQASKNANGSHRSHNGRVCHRDEFVRCSKCAKERRFRLRTKEECRIHHDALADANWKCADLPFDKITCEDDEERASRRVYRGCTRSPTCKGCTSCVCFGCEICRFSDCSCQTCIDFTRNSKA >Manes.18G144941.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21749130:21750621:1 gene:Manes.18G144941.v8.1 transcript:Manes.18G144941.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.03G211400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32656550:32662510:1 gene:Manes.03G211400.v8.1 transcript:Manes.03G211400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKPLVLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGMVSFFLVRVFKVVSPVKMTFEIYATCVIPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLMAVMCGTDKARCDVFLNMVLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLFVPWFLLEKPGMEVSQIQFNFWIFFSNALSALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNILGYAIALCGVVMYNYIKVKDVRASQLPETIPERITKDWKFEKKSSDIFVPNDNSDNNGGGGGVGNSSSDLNVDEEAPLISSRLSHIGRTQFSNHTA >Manes.03G211400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32656582:32662510:1 gene:Manes.03G211400.v8.1 transcript:Manes.03G211400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKPLVLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGMVSFFLVRVFKVVSPVKMTFEIYATCVIPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLMAVMCGTDKARCDVFLNMVLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLFVPWFLLEKPGMEVSQIQFNFWIFFSNALSALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNILGYAIALCGVVMYNYIKVKDVRASQLPETIPERITKDWKFEKKSSDIFVPNDNSDNNGGGGGVGNSSSDLNVDEEAPLISSRLSHIGRTQFSNHTA >Manes.03G211400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32656582:32662510:1 gene:Manes.03G211400.v8.1 transcript:Manes.03G211400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCACTCTLFNTCHIALDSLHHRISLGDSPYLSNFRFAAGKMIINKPLVLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGMVSFFLVRVFKVVSPVKMTFEIYATCVIPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLMAVMCGTDKARCDVFLNMVLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLFVPWFLLEKPGMEVSQIQFNFWIFFSNALSALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNILGYAIALCGVVMYNYIKVKDVRASQLPETIPERITKDWKFEKKSSDIFVPNDNSDNNGGGGGVGNSSSDLNVDEEAPLISSRLSHIGRTQFSNHTA >Manes.03G211400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32656492:32663076:1 gene:Manes.03G211400.v8.1 transcript:Manes.03G211400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKPLVLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGMVSFFLVRVFKVVSPVKMTFEIYATCVIPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLMAVMCGTDKARCDVFLNMVLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLFVPWFLLEKPGMEVSQIQFNFWIFFSNALSALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNILGYAIALCGVVMYNYIKVKDVRASQLPETIPERITKDWKFEKKSSDIFVPNDNSDNNGGGGGVGNSSSDLNVDEEAPLISSRLSHIGRTQFSNHTA >Manes.03G211400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32656579:32662510:1 gene:Manes.03G211400.v8.1 transcript:Manes.03G211400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKPLVLTYLYLLIYILLSSGVILYNKWVLSPKYFNFPFPITLTMIHMAFSGMVSFFLVRVFKVVSPVKMTFEIYATCVIPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFLMAVMCGTDKARCDVFLNMVLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLFVPWFLLEKPGMEVSQIQFNFWIFFSNALSALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNILGYAIALCGVVMYNYIKVKDVRASQLPETIPERITKDWKFEKKSSDIFVPNDNSDNNGGGGGVGNSSSDLNVDEEAPLISSRLSHIGRTQFSNHTA >Manes.02G141000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10850142:10855820:1 gene:Manes.02G141000.v8.1 transcript:Manes.02G141000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLKIGMHEAGNQLEVSLRQAFEELEPKLRPPFSLAIPSAQEYLQLNRAILYGLLTEPLLAKTHIKHLHAIVTDGYRFFIHLMVKIVNDLYAKLVDSVKDQLIWVVKEMVGVLGVGFDGLLVSLLRQIIGGDFSDRNLWLCSELVSLFLSKWDCLLEEEPLLLTSALYAYLRLLADHCRLSSNVKLEPLKLLEIEFCVKMLREQFHLCMKIGRDLIRLLQDLVHVPVFRAIWKDLVLNPGEFRTAGFSDVSQLYCSRTSSRYFLLRITPDMETQLRFLLMHVKLGSQKRHQLWFAKKFLFGPEKETVVVDIVRFICCAHHPSNETIQSDIIPRWTVIGWLLKTCRKNYVLANVKLALFYDWLFFDERNDNIMNIEPGMLLMVCSIPKYIDMTHSLLEFLLLLAENYDVDRMHLIFRGLSSAFNILVQKGVVHSLDVLTSCDALSPFLKERLGRLLSNLKMGIVNKLQPLHLPHDSVPPLSLQNPSFLKSPTPALGQQQPADEVEVRPSTEHADSSLTFSESSVTTSCPSISNSESQVDAIGNLLQNLGGSIKKSNKLALQILEAILLSLVNLDDQAPALVSISPETICSRIADQFESINCKLFPPLDKCPSAPCSGYEIRSATVLIVRAFLLSQHQRLEGMLLFWSRNDFPVGVHLLSYASGLAYEAHAAGYLGNAIVDNNFDKLCKSDFPLLKFHIDGYFSLRDGRIEHSHEDIIPTSEMDKFITKLVENAFAAYKCFLQCSRAVSPKLDDISLSKLLIRDIVSFLEWEKKKAKCLFCSIFHHFADLCIGDEEIIRLLVSQLDHADLTDIQFEIGLKKFSIFGKNTRTIFVLVKNSLSWDSLEQHKFWGLIRSELAVSEVQVEKIIFQFLCCADLDANISAIAVSGLLTLCSCCAPKPELVGTVMLLPNNAFQDFAATALATWIVSNPSMLFDSLTKFSEELNSKNGDGSARITINRSAVLWLLNYFTAQGMNASDILSSLSSNIQGE >Manes.02G141000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10850142:10855199:1 gene:Manes.02G141000.v8.1 transcript:Manes.02G141000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLKIGMHEAGNQLEVSLRQAFEELEPKLRPPFSLAIPSAQEYLQLNRAILYGLLTEPLLAKTHIKHLHAIVTDGYRFFIHLMVKIVNDLYAKLVDSVKDQLIWVVKEMVGVLGVGFDGLLVSLLRQIIGGDFSDRNLWLCSELVSLFLSKWDCLLEEEPLLLTSALYAYLRLLADHCRLSSNVKLEPLKLLEIEFCVKMLREQFHLCMKIGRDLIRLLQDLVHVPVFRAIWKDLVLNPGEFRTAGFSDVSQLYCSRTSSRYFLLRITPDMETQLRFLLMHVKLGSQKRHQLWFAKKFLFGPEKETVVVDIVRFICCAHHPSNETIQSDIIPRWTVIGWLLKTCRKNYVLANVKLALFYDWLFFDERNDNIMNIEPGMLLMVCSIPKYIDMTHSLLEFLLLLAENYDVDRMHLIFRGLSSAFNILVQKGVVHSLDVLTSCDALSPFLKERLGRLLSNLKMGIVNKLQPLHLPHDSVPPLSLQNPSFLKSPTPALGQQQPADEVEVRPSTEHADSSLTFSESSVTTSCPSISNSESQVDAIGNLLQNLGGSIKKSNKLALQILEAILLSLVNLDDQAPALVSISPETICSRIADQFESINCKLFPPLDKCPSAPCSGYEIRSATVLIVRAFLLSQHQRLEGMLLFWSRNDFPVGVHLLSYASGLAYEAHAAGYLGNAIVDNNFDKLCKSDFPLLKFHIDGYFSLRDGRIEHSHEDIIPTSEMDKFITKLVENAFAAYKCFLQCSRAVSPKLDDISLSKLLIRDIVSFLEWEKKKAKCLFCSIFHHFADLCIGDEEIIRLLVSQLDHADLTDIQFEIGLKKFSIFGKNTRTIFVLVKNSLSWDSLEQHKFWGLIRSELAVSEVQVEKIIFQFLCCADLDANISAIAVSGLLTLCSCCAPKPELVGTVMLLPNNAFQDFAATALATWIVSNPSMLFDSLTKFSEELNSKNGDGSARITINRSAVLWLLNYFTAQGMNASDILSSLSSNIQGE >Manes.02G017000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1600549:1603467:1 gene:Manes.02G017000.v8.1 transcript:Manes.02G017000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSRVFLVLLFSCVLVAQAGLCSSSAFSYEDALGKAILFFEGQRSGKLPADRRVKWRANSALSDGQPDNVNLIGGYYDAGDNVKFGWPMSYSISLLSWAAIEYHKEISSVNQLGYLRSAIRWGSDFLIRCHTSPTTFYTQVGDGNADHQCWERPEDMDTPRTLYKITPNSPGTEAAAEAAAALAAASLVFKAVDSRYSAKLLRHSQSLFEFADKYRASYQASCPFYCSFSGYQDELLWAASWLYKASGENKYLDYVLSNSGWSHAVNEFSWDNKFAGAQILLTKEFYAGNKNLGRFKTDAESFICALMPGSNSLQIRTTPGGLLYTRDSSNLQYVTSATMLLFIYSKALTANHISGLQCGSVHFSASQIGAFAKSQVDYILGKNPMKMSYMVGFGSKYPTQVHHRAASIPSLQVHPAKVGCNDGFSSFYSANQPNPNTHVGAIVGGPDSNDQYKDLRSEYSHAEPTTYMNAAFVGSVAALINKT >Manes.09G022000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4527671:4532147:1 gene:Manes.09G022000.v8.1 transcript:Manes.09G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSSNCQSNCYKNDEEQAEDSPSPAYRKSSTSINGQNNLCVKCKANEPISCASVGSGGDDTRFCYGCFRSNLYGKFRLSVTSHAMITPSDNVLVAFSGGPASSVALQFVHEMQHRALKNFEASKDRSLPVFGVGVAFIDESSVYPDASEKVDDAIKEMRLIVSKLAPPMKQLHVVPIENIYTSDSIDGKDRLTNLLNAVNDATGKEDLLLHLRMLALQKVASQNGYNRLIIGSCTSRIAAHVLTATVKGQGYSLSADIQYVDARWDVPVVLPLRDCTAQELNLLCRIDGLKTFQLLNNPPAGINGLVSSFVTLLQEENPSRECTIVRTAGKLTPFHFNRIPEINDCNVPLATRRRQKRYNLKTIESMTSESFCPLCNSPLSNSDLLSSSSLESCQSSRFVGACCSSCRFQILPKDSSSREHFYTSLPQQLVARMKYGSCDNSSSLRQQIQDCLLSDSEDES >Manes.09G022000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4527671:4532147:1 gene:Manes.09G022000.v8.1 transcript:Manes.09G022000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSSNCQSNCYKNDEEQAEDSPSPAYRKSSTSINGQNNLCVKCKANEPISCASVGSGGDDTRFCYGCFRSNLYGKFRLSVTSHAMITPSDNVLVAFSGGPASSVALQFVHEMQHRALKNFEASKDRSLPVFGVGVAFIDESSVYPDASEKVDDAIKEMRLIVSKLAPPMKQLHVVPIENIYTSDSIDGKDRLTNLLNAVNDATGKEDLLLHLRMLALQKVASQNGYNRLIIGSCTSRIAAHVLTATVKGQGYSLSADIQYVDARWDVPVVLPLRDCTAQELNLLCRIDGLKTFQLLNNPPAGINGLVSSFVTLLQEENPSRECTIVRTAGKLTPFHFNRIPEINDCNVPLATRRRQKRYNLKTIESMTSESFCPLCNSPLSNSDLLSSSSLESCQSSRFVGACCSSCRFQILPKDSSSREHFYTSLPQQLVARMKYGSCDNSSSLRISFMQAANPRLPTF >Manes.01G098000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29937183:29941084:1 gene:Manes.01G098000.v8.1 transcript:Manes.01G098000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKRPFVDDDSYELACKHPRHLEHIDQLTLIASLNDSHPKTTTSDGGNSLSSCQTVGRSSYEPVIAVSDDNNNFESGASGCFPHFLWIDNGILETDNLSFFPEYFDHGHQLRALLQPDEVFSSFDYPLWKPVSIGPEHQAIVPEWEGPTTSSNQLDKSNPQVWRAKSSKPGIIVDDGYEERMMGVCVVPMPDLEAYVTHCCQDITTVCSCLDQGSIECVKQHIVEVRQKLRDDLGEEKFEALGFYDMGEEVAKKWTEEEEQVFHDVVLSNPASLGKNFWDHLAVAFPSRTKRELVSYYFNVFILRIRSEQNRLEPLDIDSDNDEWQRSEGGMEEGDEDSAVESLSGKDAPAYYQEDHVDDCNEHIEDEYEDEIDASKESADDDVQIHATDEEYEGDVDDIAEAHASNSINVGGGNGGFEIFKGIPSNKRDDFDIEDDSCTSYEYQRDNVDSRGPLDVVTDGRHSGQ >Manes.01G098000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29937067:29941612:1 gene:Manes.01G098000.v8.1 transcript:Manes.01G098000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKRPFVDDDSYELACKHPRHLEHIDQLTLIASLNDSHPKTTTSDGGNSLSSCQTVGRSSYEPVIAVSDDNNNFESGASGCFPHFLWIDNGILETDNLSFFPEYFDHGHQLRALLQPDEVFSSFDYPLWKPVSIGPEHQAIVPEWEGPTTSSNQLDKSNPQVWRAKSSKPGIIVDDGYEERMMGVCVVPMPDLEAYVTHCCQDITTVCSCLDQGSIECVKQHIVEVRQKLRDDLGEEKFEALGFYDMGEEVAKKWTEEEEQVFHDVVLSNPASLGKNFWDHLAVAFPSRTKRELVSYYFNVFILRIRSEQNRLEPLDIDSDNDEWQRSEGGMEEGDEDSAVESLSGKDAPAYYQEDHVDDCNEHIEDEYEDEIDASKESADDDVQIHATDEEYEGDVDDIAEAHASNSINVGGGNGGFEIFKGIPSNKRDDFDIEDDSCTSYEYQRDNVDSRGPLDVVTDGRHSGQ >Manes.01G098000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29937121:29941503:1 gene:Manes.01G098000.v8.1 transcript:Manes.01G098000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKRPFVDDDSYELACKHPRHLEHIDQLTLIASLNDSHPKTTTSDGGNSLSSCQTVGRSSYEPVIAVSDDNNNFESGASGCFPHFLWIDNGILETDNLSFFPEYFDHGHQLRALLQPDEVFSSFDYPLWKPVSIGPEHQAIVPEWEGPTTSSNQLDKSNPQVWRAKSSKPGIIVDDGYEERMMGVCVVPMPDLEAYVTHCCQDITTVCSCLDQGSIECVKQHIVEVRQKLRDDLGEEKFEALGFYDMGEEVAKKWTEEEEQVFHDVVLSNPASLGKNFWDHLAVAFPSRTKRELVSYYFNVFILRIRSEQNRLEPLDIDSDNDEWQRSEGGMEEGDEDSAVESLSGKDAPAYYQEDHVDDCNEHIEDEYEDEIDASKESADDDVQIHATDEEYEGDVDDIAEAHASNSINVGGGNGGFEIFKGIPSNKRDDFDIEDDSCTSYEYQRDNVDSRGPLDVVTDGRHSGQ >Manes.13G024300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3331758:3336076:-1 gene:Manes.13G024300.v8.1 transcript:Manes.13G024300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSLDTTNRHLKQPSSTATTIKFLCSYGGKIIPRYPDGKLRYYGGETRVLAVDRSISFSELLLKLEELCGTRVSLRCQLPKEDLDALVSITSDEDLANLIEEYDRAATPKIRAFLSTPKKISSPSSPSASSSSSSSSSSSPSNSYAATVGSTASTSTHRCYHQISKPVLCPVKKILPHPHYCYHVHGNRSHAYMVHNGNHWQ >Manes.13G024300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3331888:3336076:-1 gene:Manes.13G024300.v8.1 transcript:Manes.13G024300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSLDTTNRHLKQPSSTATTIKFLCSYGGKIIPRYPDGKLRYYGGETRVLAVDRSISFSELLLKLEELCGTRVSLRCQLPKEDLDALVSITSDEDLANLIEEYDRAATPKIRAFLSTPKKISSPSSPSASSSSSSSSSSSPSNSYAATVGSTASTSTHRCYHQISKPVLCPVKKILPHPHYCYHVHGNRSHAYMVHNGNHWQ >Manes.08G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36215357:36216492:-1 gene:Manes.08G122100.v8.1 transcript:Manes.08G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKFGLFLLAIFFLSSPARSCGDDDDDLLKCLNCHRAFLDLPTFTKNTKAECLADEVAKNLEKKSCEEAKDSNPYELDKHPELLSKCDINVSHTKDGVVLPVCVPKLDLIAVFTNYTRTYFAKYINDSKFAEAGVASNGDWMVVALSTNTPGGDFAGANGLVSMIGLGHCLVSFLVGMLVFAEVPLGWW >Manes.11G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12841168:12847023:1 gene:Manes.11G085600.v8.1 transcript:Manes.11G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQAVKSDNGKDEIPEKCHPCQSVWMSHWKHPSYRSVDDIQNQSSLHYESGEDRNRAKQQALLGEADIERESSKFVKEFRKMRRALVNESTATSSKKLRHETFKGQHFPMLRYSQNGAGVLSLKNAESSSHYEGALSSQIGPTSGCDFPLGGTDNCLPSMLDCTPSKTEIQPIEYHFEPEGIMSNPEQLVKANKLLEKNVKDFSAPLKDELLGSTSRVLPSQFNRVRTPTESLVHREDYVDQPSSNTFIDQKKMNSNAALLIHSPSTSDNRPRVLVGEHIPKMPNQIRPPDIRLYNGSHEPPGIPSSVHDVKTMRIYTNIDSVKEFIGGPSKFSQTTHHFLFTQKTDVNLSDGGQIFRESTIATKIEGNPMSELLSLSPDFGLNIKHGVKLQTLDICKDSEGKDRIENDHTSGIDLKNESSDKTDTMDMDTLREKHLSGVDSFLLKNKDIEGTQQKSPTTHTAISSDREESRSRLPNVELPEVNQERPVVSGVANSDDTDTDTSRTQSLDAEHLLSHADRSINLKSNACADGPLGLDPYSRWVERLKPSGFESFGHGTKSSKMEEATSHEKVNKLFSKMPNCSKTSSAPKMNRSYHKEQMMDSAELLRNAESSSADSMRERQDIKLSHSWIRRWCHKPASSSKKKSEAVVICEPQSSKATVDNFQKQQFPSIAAMALMGKAMTGFRPCEFNKRGSFIVWNTKGF >Manes.09G037100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7056866:7060644:-1 gene:Manes.09G037100.v8.1 transcript:Manes.09G037100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGGEEEQDGPPANQSTAPPKGGNPYPGGSERGEPRKDNTAKSGTPQKILPIEIPSVSLDELNRITDNFGNDALIGEGSYGRVFFAKLNDDVDVAIKKLDTSTSQEPDSDFAAQLSLVSRLKNDHFVELMGYCLEQNNRILVYQFATMGSLHDVLHGRKGVQGAEPGPALNWNQRVKIAFGAAKGLEYLHEKVQPPIVHRDVRSSNVLLFDDFMSKIADFNLSSGASDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNYEYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGP >Manes.09G037100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7056866:7060644:-1 gene:Manes.09G037100.v8.1 transcript:Manes.09G037100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCGGGEEEQDGPPANQSTAPPKGGNPYPGSERGEPRKDNTAKSGTPQKILPIEIPSVSLDELNRITDNFGNDALIGEGSYGRVFFAKLNDDVDVAIKKLDTSTSQEPDSDFAAQLSLVSRLKNDHFVELMGYCLEQNNRILVYQFATMGSLHDVLHGRKGVQGAEPGPALNWNQRVKIAFGAAKGLEYLHEKVQPPIVHRDVRSSNVLLFDDFMSKIADFNLSSGASDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNYEYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGP >Manes.18G076800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7010071:7017013:1 gene:Manes.18G076800.v8.1 transcript:Manes.18G076800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRTDSPLSRRIVRAFLDFLDSVEPAPGVDLEGLEVVRECLTEVFNIDSSPTEDCIQPGLVVDLFRSLAVNEPRKVNLSHGDTPANTFSSSHAENAVDASHSETSRTQGDEWTLPSHAMGVSRDELFGKFFAALEKINFFKTTHDGNDDPIQLDKATSLFHDALNDMEKAGCQSFNQNSLAETLKSQGNRAMQSKMYADAIELYSCAISLSENNAVYYCNRAAAYTQIQKNDEAIRDCLKSIEIDPNYSKAYSRLGLAYYAQGNYRDAIEKGFRKALLLDPHNESVKENIQVAEQKLKEEQKRAGRDQNMNSSSRDSQEFNSQIPMFTSMPFNSNAIPADFANMLRNMATQVYPGEHPQGRQGEDRNVSGSGEPEIRVGGNINMNLGENIPEELRGALRSMMGMFSGAAPPSPTQGTPQDTNPTAGRSPTN >Manes.05G205500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33213754:33215362:-1 gene:Manes.05G205500.v8.1 transcript:Manes.05G205500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKDAHIVEIPVDEEHQQKLLCAMNTVTAIRNHPLTEISHSPGHLLLLKLWQREEDIFCRRIAAKESRMDAIKLEIFQLCCFFLLFHGIFLTILFTSSVNSREHTCRKWWIPSLVSVSTSLMFVFLVQVKVFRYWKVWRQLQRERNDNRALTRCIQELRMKGTSFDLSKEPQSGKKMKSSSVEIKWKPLTWCSQYLITICLVCFSGLVFPASKFILCGF >Manes.17G068348.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26895080:26898573:-1 gene:Manes.17G068348.v8.1 transcript:Manes.17G068348.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHRFHQYQVVGRGLPTDTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRFPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKATRPNLFM >Manes.10G098900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24741304:24746096:-1 gene:Manes.10G098900.v8.1 transcript:Manes.10G098900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDQNDYYGGESTSLNLNQLWKRFRGADEKPPEGLGSSKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNFKAVDGSFVYNKGKICKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYEENDPKSHEGLDLTKVTARKIISKYGLEDDTIDFIGHALALHQDDSYLDQPALDFVKRMKAFARLSAVYGGTYMLNKPECKVEFDADGKAIGVTSEGETAKCKKIVCDPSYLPDKVKKVGKVARAICIMSHPIPHTSDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSTEAETDKPEVELKPGVDLLGSVEEIFYETYDRYVPTNDSGVDHCFISTVSRSRHHILNQHYLHCKL >Manes.10G098900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24741304:24746096:-1 gene:Manes.10G098900.v8.1 transcript:Manes.10G098900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFLHILSQHFIFILFFLLLVLSLLLQLWKRFRGADEKPPEGLGSSKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNFKAVDGSFVYNKGKICKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYEENDPKSHEGLDLTKVTARKIISKYGLEDDTIDFIGHALALHQDDSYLDQPALDFVKRMKAFARLSAVYGGTYMLNKPECKVEFDADGKAIGVTSEGETAKCKKIVCDPSYLPDKVKKVGKVARAICIMSHPIPHTSDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSTEAETDKPEVELKPGVDLLGSVEEIFYETYDRYVPTNDSGVDHCFISTSYDATTHFETTVDDVIAMYSKITGKTLDLSVDLSAASATADE >Manes.10G098900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24741304:24746096:-1 gene:Manes.10G098900.v8.1 transcript:Manes.10G098900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFLHILSQHFIFILFFLLLVLSLLLQLWKRFRGADEKPPEGLGSSKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNFKAVDGSFVYNKGKICKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYEENDPKSHEGLDLTKVTARKIISKYGLEDDTIDFIGHALALHQDDSYLDQPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDADGKAIGVTSEGETAKCKKIVCDPSYLPDKVKKVGKVARAICIMSHPIPHTSDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSTEAETDKPEVELKPGVDLLGSVEEIFYETYDRYVPTNDSGVDHCFISTSYDATTHFETTVDDVIAMYSKITGKTLDLSVDLSAASATADE >Manes.10G098900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24741304:24746096:-1 gene:Manes.10G098900.v8.1 transcript:Manes.10G098900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDQNDYYGGESTSLNLNQLWKRFRGADEKPPEGLGSSKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNFKAVDGSFVYNKGKICKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYEENDPKSHEGLDLTKVTARKIISKYGLEDDTIDFIGHALALHQDDSYLDQPALDFVKRMKAFARLSAVYGGTYMLNKPECKVEFDADGKAIGVTSEGETAKCKKIVCDPSYLPDKVKKVGKVARAICIMSHPIPHTSDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSTEAETDKPEVELKPGVDLLGSVEEIFYETYDRYVPTNDSGVDHCFISTSYDATTHFETTVDDVIAMYSKITGKTLDLSVDLSAASATADE >Manes.10G098900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24741304:24746096:-1 gene:Manes.10G098900.v8.1 transcript:Manes.10G098900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFLHILSQHFIFILFFLLLVLSLLLQLWKRFRGADEKPPEGLGSSKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNFKAVDGSFVYNKGKICKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYEENDPKSHEGLDLTKVTARKIISKYGLEDDTIDFIGHALALHQDDSYLDQPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDADGKAIGVTSEGETAKCKKIVCDPSYLPDKVKKVGKVARAICIMSHPIPHTSDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSTEAETDKPEVELKPGVDLLGSVEEIFYETYDRYVPTNDSGVDHCFISTVSRSRHHILNQHYLHCKL >Manes.10G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24741305:24746095:-1 gene:Manes.10G098900.v8.1 transcript:Manes.10G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDQNDYYGGESTSLNLNQLWKRFRGADEKPPEGLGSSKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNFKAVDGSFVYNKGKICKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYEENDPKSHEGLDLTKVTARKIISKYGLEDDTIDFIGHALALHQDDSYLDQPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDADGKAIGVTSEGETAKCKKIVCDPSYLPDKVKKVGKVARAICIMSHPIPHTSDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSTEAETDKPEVELKPGVDLLGSVEEIFYETYDRYVPTNDSGVDHCFISTSYDATTHFETTVDDVIAMYSKITGKTLDLSVDLSAASATADE >Manes.10G098900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24741304:24746096:-1 gene:Manes.10G098900.v8.1 transcript:Manes.10G098900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDQNDYYGGESTSLNLNQLWKRFRGADEKPPEGLGSSKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNFKAVDGSFVYNKGKICKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYEENDPKSHEGLDLTKVTARKIISKYGLEDDTIDFIGHALALHQDDSYLDQPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDADGKAIGVTSEGETAKCKKIVCDPSYLPDKVKKVGKVARAICIMSHPIPHTSDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSTEAETDKPEVELKPGVDLLGSVEEIFYETYDRYVPTNDSGVDHCFISTVSRSRHHILNQHYLHCKL >Manes.01G033400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6492513:6494801:-1 gene:Manes.01G033400.v8.1 transcript:Manes.01G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGYSSSTTAPTIPTDSHKTNSSSGASNPAMISLDPKAPESATHVPPKDELSKEATSSSIGTQNSDESDQETKPTEEEEVDEEEEGECGFCLFMKGGGCRDAFIDWENCVKEADTNKDDVVEKCFDATSALRKCMQAHADYYEPILRAEKDAEEKVMKELEKEKESEGSEAKVAEKETEKAVDSKDPSSGK >Manes.09G079900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12041111:12042356:-1 gene:Manes.09G079900.v8.1 transcript:Manes.09G079900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQHQVVQSPARLGLTNPNSPSLQNSTPPKFPSLSSPTHHQQQHPPNNSATSSALLPLLPLFRRAQSLLLQMASLASKSFEFSPNRSLWLSTTRESLPSFLSSQIQSMPPPPLESNTSSTNEILSLFTSLQTQLFEAVAELQEILDLQDAKQRIARDIKSKDSALLNFANKLREAEKVLDILVDDYSDYRRPKRAKSKSLEDDCVSNTTIASQLELSDILSYAHRISYSTFAPPDFGAGQAPLRGALPPAPQEEQMRASQLYAFTDLDVGLPKNVENKEKIIEAIIEPPPPPKPSETNQLPNFSAIQSLLPPNITVPFGWKSGMPVVLPTDLPPPPPGWKLGDPLPPLESLPLSRLGEQQWQPVAPQGLHKPPETIQVRHVQIDILDQGDDSSDYSSNEGSSDDED >Manes.01G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33287674:33292046:1 gene:Manes.01G141500.v8.1 transcript:Manes.01G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFSLGGGGGGGGGGRGSNQEDQHNNPPTEIPQESWFWYKNEDIPYKGFELWQQQESLRQRHQNTQQDLYSSAAGLGVGPSRSSINVSDESSSRSAFMMMRSSSGGGNISCQDCGNQAKKDCVHMRCRTCCKSRGFDCQTHVKSTWVPASKRRERQQQFAALQQQQQQQQLQRCGENPKRLRENPSSSLACTRLPTNASGLEVGNFPAEVSSPAVFRCVRVSAIDENDDQCAYQTAVNIGGHLFKGILYDHGPESTYMPATETSSGGASGEVQPLNLIRAGSTTASTAISPTGAGGGVMSASTSAAAAAAAFMDPSSLYPIPLNSFIAGTPFFQNPRS >Manes.06G172600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29631842:29635887:-1 gene:Manes.06G172600.v8.1 transcript:Manes.06G172600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDESLKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLMGKALMKRVMQTWLPASNALLEMMIFHLPPPSKAQKYRVENLYEGPLDDPYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKARAKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEELQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVSDIRKRKGLKEQMTPLSEYEDKL >Manes.01G214300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38408699:38413894:1 gene:Manes.01G214300.v8.1 transcript:Manes.01G214300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMARSFPEEVLEHVFSFIHSDKDRNAISMVCKSWYDIERWCRRRIFVGNCYAVSPPMVIRRFPELRSIELKGKPHFADFNLVPDGWGGYVYPWIAAIATAYPWLEEISLKRMVVTDETLELIAKSFKNFKVLVLSSCEGFSTHGLAAIASNCRNLRELDLRESEVDDPSGHWLSHFPDSFTSLVSLNISCLGSEVSLSALEHLVGRCPNLRTLRLNRSVPLDRLANILRRAPQLVELGTGTYSAELRPDVFSSLAGAFSGCKELKSLSGFWDVVPGYLPAIYPVCSSLTSLNLSYATIQSPDLVKLVSPCQSLQRLWVLDYIEDIGLEAIAAFCKDLQELRVFPSDPFALEPNVSLTEHGLVSVSEGCPKLHSVLYFCRQMTNDALVTIARNCPKMTRFRLCIIEPRTPDYITLQPLDDGFGAIVEHCKDLQRLSLSGLLTDRVFEYIGTHAKKLEMLSIAFAGDSDLGLHHVLYGCENLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSFGACKLLGQKMPSLNVEVIDERGPPDSRPESCPVEKLYVYRTVAGPRFDMPGFVWTMDEDSALRFS >Manes.02G001550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:193372:194290:1 gene:Manes.02G001550.v8.1 transcript:Manes.02G001550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQECLTCSILYINNNNYKLNINNKNLNKNSNNLLLSLYQPTDGRKSTSKVIPSLQSHSKLTRSVYFS >Manes.05G085801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7075400:7089365:-1 gene:Manes.05G085801.v8.1 transcript:Manes.05G085801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETDVAAPGMPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Manes.09G155600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35145135:35146002:1 gene:Manes.09G155600.v8.1 transcript:Manes.09G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSFFSPLKKLWLCLHSSPHTKSKGIHILYEDVKSCPSQDVQILWSILVESHAPATTE >Manes.12G150500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35880494:35883715:-1 gene:Manes.12G150500.v8.1 transcript:Manes.12G150500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Manes.15G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12183355:12187350:1 gene:Manes.15G147700.v8.1 transcript:Manes.15G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLQLCRVSLRTDLFSRGNLAPLNRPKSLSVRCSAGGDESASGSVSVESDFDAKVFRHNLTRSKNYNRRGFGHKEETLELMSQEYTSDIIKTLKENGYQYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWLTNEIIHNPTVNKRLEEMNVQNIPVEEGKKHFEVVDSGDVVILPAFGAAVEEMLTLSNKNVQIVDTTCPWVSKVWNTVEKHKKGDYTSIIHGKYSHEETVATASFAGKHIIVKDMKEAMYVCDYILGGQLNGSSSTKEAFLEKFKYAVSEGFDPDVDLVRVGIANQTTMLKGETEDIGKLVEKTLMRRYGVENVNDHFISFNTICDATQERQDAMFKLVEEKVDLILVIGGWNSSNTSHLQEIAELRGIPSYWIDTEQRIGPGNKIAYKLNHGELVEKDNFLPEGPITIGVTSGASTPDKVVEDALVRVFNIKREEALQVA >Manes.12G052200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4912158:4913773:-1 gene:Manes.12G052200.v8.1 transcript:Manes.12G052200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQHGEESGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDSNSKATIGVEFQTQVVEIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGVLIVYDITRRTSFDSVKRWLDELTMARMLVGNKCDLENIRDVSVEEGKDLAEEESLFFMETSALDSTNVQTAFEVVIREIYNNLSKKILNSDSYKAELNLNRVSLVKDGESSKKNSLSCCSA >Manes.12G052200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4912158:4913773:-1 gene:Manes.12G052200.v8.1 transcript:Manes.12G052200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQHGEESGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDSNSKATIGVEFQTQVVEIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGVLIVYDITRRTSFDSVKRWLDELTTHCDTTVARMLVGNKCDLENIRDVSVEEGKDLAEEESLFFMETSALDSTNVQTAFEVVIREIYNNLSKKILNSDSYKAELNLNRVSLVKDGESSKKNSLSCCSA >Manes.12G123800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32808936:32810374:1 gene:Manes.12G123800.v8.1 transcript:Manes.12G123800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPEQVTIGFSAATGQNGERHTLQSWEFSSSLDINRKHGNESKKNKIIVGVSVSAGGLLIAGVILALLISRGRKRIRTRREAEATGIASINEDLERGAGPRRFSYEELVSATNNFSEDRKLGQGGFGAVYKGYLNDKDMAIAVKKFSRGSKQGKKEYITEVKTISQLRHRNLVQLIGWCHDRSEFLLVYEFMPNGSLDYHLFGKSRPLAWAVRYKISLGLASALLYLHEEWEQCVVHRDVKSSNVMLDSCFNVKLGDFGLARLMDHELGPQTTELAGTLGYLAPEYVCTGRASKDSDVYSFGVVALEIASGRKAADSIQQKSGVSLVEWIWDLYGCGELQMGIDKKLLTEYNEKEIECLMIVGLWCAHPDCKARPSIRQAIQVLNFEAAIPNLPPKMPVPMYCSVTSPAVTSTEASITKIKKIQIVNGNSNFISLKKSKLIQKV >Manes.01G027600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5729321:5732204:-1 gene:Manes.01G027600.v8.1 transcript:Manes.01G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRAVTCLPQKRFFSSNPETPSTLYSFLQPSIFALKKSPPENRAPTDASTDPPTPTPHNLSLDHINTVESTIHKSLLTGDTDVAWKSFKSLTANSAFPSRSLTNSLITHLSAPGDTLNLKRAFAAVVYIIEKNPEVLDFETVKLVLSSMKCANTAAPAFALVKCMFKNRYFVPFGLWGDLVVEISRKSGQFVAFLRVFEESCRIAIDEKLDFMKPDLAACNVALEGCCRQLESVRDAENVIETMSVLGVRPDEWSFGFLAYLYALKGLKDKITELKSLMDAFGFSDKRMFYNNLIIGYVKSGNLESVSATVLCILREEYEGYLDLSEETFCEVVKGFLKDGRLKDLAHLIIEAQKMEPVTIVIDKSIGFGIINACVNLGLSDKAHFILDEMNAQGGSVGLGVYLPILKAYCKENRTAEATQLVMEISNSGLQLDEGSYDALIEASMTSQDFQSAFTLFRDMREARIPDLKGSYLTIMTGLMESHRPELMAAFLDEAVEDPRVEVKTHDWNSIIHAFCKAGRLEDAKRTFRRMIFLQFEPNDQTYLSLINGYVTAEKYFSVLMLWNEVKRKVSNDKEKGIKFDQNLVDAFLYALVKGGFFDAVMQVVEKSQEMKIFVDKWKYKQAFMETHKKLKVSKLRKRNFRKMEALIAFKNWAGLNA >Manes.14G163466.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25578867:25580451:-1 gene:Manes.14G163466.v8.1 transcript:Manes.14G163466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRQGFALMKQVIFNTLAVTREIELEIQFLRSSKPRVQSFGDGGPRWASSFELRIEPVTTYRPTSPLNASSPTNKTHYPALPAPKPPNRSSLIPKALPLSSSSNTKIPQNHHRNRPTRQYTHQEFFDLRAKGLCYKCKQPFSPTHKCLNKYLRAVIVGEDDEPQEEMEADAAILEPDSQAIVISDNAHFSKMELSLYSVGEISSPKTTKMQGKIENHTISIMVDSGASHNFVFGKMVSKLGLPMEDTSIFGVKLGDAHRVQSSGVCLSNKIDVGHLEIKADYFRFPLGVDLILGVTWLETLKEVRINWLIMKMIFSHSRRLVTIECNSAFTQASVCTN >Manes.02G099200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7712574:7717013:-1 gene:Manes.02G099200.v8.1 transcript:Manes.02G099200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGALRSVIRPLSRTVIYRTSTPPTASLFSPSLSPKLDFCLALRRPPLLQISSHFSIFSESNHFDRLTESRFPKRRPQDKPRRKRASLRPPGPYAWVKHVPGQPIQPSNPNEGSVKRRNEKKRIKQHRAFIKAEAKKRKAQLQEAKRKKMIKRVERKMAAVARERAWAQRLAELQQLEEEKKKSTA >Manes.02G099200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7714741:7716869:-1 gene:Manes.02G099200.v8.1 transcript:Manes.02G099200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGALRSVIRPLSRTVIYRTSTPPTASLFSPSLSPKLDFCLALRRPPLLQISSHFSIFSESNHFDRLTESRFPKRRPQDKPRRKRASLRPPGPYAWVKHVPGQPIQPSNPNEGSVKRRNEKKRIKQHRAFIKAEAKKRKAQLQEAKRKKMIKRVERKMAAVARERAWAQRLAELQQLEEEKKKSTA >Manes.16G057789.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:16752930:16754526:1 gene:Manes.16G057789.v8.1 transcript:Manes.16G057789.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRSQSLQGLRVSNDSQSAVEGQQEEQCQARRSSEPENQTLQVNEHVTEMIDESGRRFRKCERTTMAAIWNMKSNERIYIEFYRKTYLSEIKKLILKSINKKWRDYKSDLKEQIVLNVSKDVLAPQWIVLVDEWFTKENKNAHTSDRKSYARLRKEVIEFFAATHKRKDGTYVNDNIKELMEKARTFIAEQNQIKFVVWSWSNSKNYFSVTSHNVGSRWEATMGGNQLQDIEELKAELHTVNNDNAQLKEVPKILFGFLFQKYTRQLQTQFCNLFQITH >Manes.15G053300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4105239:4109900:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.15G053300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4107883:4109392:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.15G053300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4103902:4109900:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDVGIAASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.15G053300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4103902:4109900:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.15G053300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4103902:4109900:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDVGIAASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.15G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4103902:4109900:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAIHSLPLDGSGGHGEFQGGSLDGTNLPGDASLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDVGIAASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.15G053300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4103902:4109900:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAIHSLPLDGSGGHGEFQGGSLDGTNLPGDASLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.15G053300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4103902:4109900:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.15G053300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4103902:4109900:1 gene:Manes.15G053300.v8.1 transcript:Manes.15G053300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDASVAESQDTGSSTSTSSRMIAQDINEGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDEAAASAVLEAAREELSELAIKVSGKCQEIVPVANVKMPPFSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAASIKKRPRSGFGNEEALPLEDNMREVEWMMSNVG >Manes.09G172900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37629246:37633057:1 gene:Manes.09G172900.v8.1 transcript:Manes.09G172900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVGETRGGEGKEKTETMAVENKLKERKISWAKLRRVDSLNLEAGRVSKTQHNNQVNWKKTLSLTFQSIGVVYGDIGTSPLYVYESTFPDKIGSKEDILGVLSLIIYTLVLLPMLKYVFIVLRANDNGDGGTFALYSLLSRYVKVSLIPNDQPEDTQLSNYKLEIPSNQLKRSEKIKEKMENSKNIKILLFLVTILGTSMVIGDGVLTPCISVLSAVSGIGSLGQDAVVGISIAILIVLFCAQRLGTDKVGFSFAPIILLWFSFIGGIGLYNLFKYDVSVLRAFNPKYMFDYFKRNGKQGWISLGGVVLAVTGTEAMFADLGHFNVQAIQISFSGIVFPALLCAYAGQAAYLTKFPDDVSKTFYKSIPDPLYWPTFVVAVAAAIIASQAMISGAFAIISQSLSLGCFPRVKVIHTSAKYEGQVYIPEVNYILMIACIMVCLGFKTTEKIGNAYGIAVVAVMVITTCMVTIIMLVVWRTKMIWIAFFFFGFICIEAVYLSSVLYKFKDGGFLPLAFSFFLMIIMGIWHYIHKERYMYELKNKVSREFIRELAANPNINRVPGIGLLYSELVQGVPPIFPHFIANIPSIHSVLVFVSIKSLPMSKVALEERFLFRQVEPREYRMFRCVVRYGYNDAVEEPQEFERQLVEGLKEFIRHEHFISEGGDAETVGEPENPQSSTLLAKDGKARASAVYIEESLQQPNPSRVSSGSIHSNSGIKSTKSSNGIISAPLQGSAAEEMQIVQNAMEKGVVYLLGEAEVVAEPKSSLFKKFVVNHAYNFLRRNSREGGKVLAIPRARLLRVGMTYEI >Manes.16G094000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30034820:30040430:-1 gene:Manes.16G094000.v8.1 transcript:Manes.16G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFSSFRGKEFSLLLIVILGTTILIWAWDRAPFLTTLLPPEEQFQLPQESSELHFQVSDNVDVADQNSASNYSPRTRAREKESLPAMEDTEAKNIVLEVGRNGSGESSPLSETKESASSPVAEIKAKDNQVVEFRKNGSAEGSIVTGAKGKHGQDVGEKVLIQKEETVDLAIPMGYASANSSLGEQDEKNFNNQGCNYAKGKWVVDDRRPLYSGLGCKRWLAQMWSCRLMQRTDFAYEKLQWQPNNCQMEEFEGAKFLKRMQDKTLAFVGDSLGRQQFQSLMCMITGGEDAHDVIDVGQKYGLVKPRGGVRPNGWAYWFPSTNATVLYYWSACLCDLEPIDIKNPSTGYAMHLDRPPAFLRLYLQQIDVLVLNTGHHWNRGKLRANRWVMHVGGMPNSNKRLAMIGDAKNFTIHSIVDWVNKQLPKHPHLTAFYRSISPRHFVNGDWNTGGSCDNTTPLSVGKEVMQNESSDYSAGNAVKGTGVKLLDITALSQLRDEGHISRFSITASPGVHDCLHWCLPGVPDTWNEILFAHINDMSKVQD >Manes.16G094000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30034888:30040426:-1 gene:Manes.16G094000.v8.1 transcript:Manes.16G094000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFSSFRGKEFSLLLIVILGTTILIWAWDRAPFLTTLLPPEEQFQLPQESSELHFQVSDNVDVADQNSASNYSPRTRAREKESLPAMEDTEAKNIVLEVGRNGSGESSPLSETKESASSPVAEIKAKDNQVVEFRKNGSAEGSIVTGAKGKHGQDVGEKVLIQKEETVDLAIPMGYASANSSLGEQDEKNFNNQGCNYAKGKWVVDDRRPLYSGLGCKRWLAQMWSCRLMQRTDFAYEKLQWQPNNCQMEEFEGAKFLKRMQDKTLAFVGDSLGRQQFQSLMCMITGGEDAHDVIDVGQKYGLVKPRGGVRPNGWAYWFPSTNATVLYYWSACLCDLEPIDIKNPSTGYAMHLDRPPAFLRLYLQQIDVLVLNTGHHWNRGKLRANRWVMHVGGMPNSNKRLAMIGDAKNFTIHSIVDWVNKQLPKHPHLTAFYRSISPRHFVNGDWNTGGSCDNTTPLSVGKEVMQNESSDYSAGNAVKGTGVKLLDITALSQLRDEGHISRFSITASPGVHDCLHWCLPGVPDTWNEILFAHINDMSKVQD >Manes.16G094000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30034888:30040426:-1 gene:Manes.16G094000.v8.1 transcript:Manes.16G094000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKVPSALTRLHVSLCAFRMKGGFSSFRGKEFSLLLIVILGTTILIWAWDRAPFLTTLLPPEEQFQLPQESSELHFQVSDNVDVADQNSASNYSPRTRAREKESLPAMEDTEAKNIVLEVGRNGSGESSPLSETKESASSPVAEIKAKDNQVVEFRKNGSAEGSIVTGAKGKHGQDVGEKVLIQKEETVDLAIPMGYASANSSLGEQDEKNFNNQGCNYAKGKWVVDDRRPLYSGLGCKRWLAQMWSCRLMQRTDFAYEKLQWQPNNCQMEEFEGAKFLKRMQDKTLAFVGDSLGRQQFQSLMCMITGGEDAHDVIDVGQKYGLVKPRGGVRPNGWAYWFPSTNATVLYYWSACLCDLEPIDIKNPSTGYAMHLDRPPAFLRLYLQQIDVLVLNTGHHWNRGKLRANRWVMHVGGMPNSNKRLAMIGDAKNFTIHSIVDWVNKQLPKHPHLTAFYRSISPRHFVNGDWNTGGSCDNTTPLSVGKEVMQNESSDYSAGNAVKGTGVKLLDITALSQLRDEGHISRFSITASPGVHDCLHWCLPGVPDTWNEILFAHINDMSKVQD >Manes.16G094000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30034888:30040426:-1 gene:Manes.16G094000.v8.1 transcript:Manes.16G094000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGFSSFRGKEFSLLLIVILGTTILIWAWDRAPFLTTLLPPEEQFQLPQESSELHFQVSDNVDVADQNSASNYSPRTRAREKESLPAMEDTEAKNIVLEVGRNGSGESSPLSETKESASSPVAEIKAKDNQVVEFRKNGSAEGSIVTGAKGKHGQDVGEKVLIQKEETVDLAIPMGYASANSSLGEQDEKNFNNQGCNYAKGKWVVDDRRPLYSGLGCKRWLAQMWSCRLMQRTDFAYEKLQWQPNNCQMEEFEGAKFLKRMQDKTLAFVGDSLGRQQFQSLMCMITGGEDAHDVIDVGQKYGLVKPRGGVRPNGWAYWFPSTNATVLYYWSACLCDLEPIDIKNPSTGYAMHLDRPPAFLRLYLQQIDVLVLNTGHHWNRGKLRANRWVMHVGGMPNSNKRLAMIGDAKNFTIHSIVDWVNKQLPKHPHLTAFYRSISPRHFVNGDWNTGGSCDNTTPLSVGKEVMQNESSDYSAGNAVKGTGVKLLDITALSQLRDEGHISRFSITASPGVHDCLHWCLPGVPDTWNEILFAHINDMSKVQD >Manes.17G011450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:4629510:4630580:-1 gene:Manes.17G011450.v8.1 transcript:Manes.17G011450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLIYIFLILESYIDFCNGVGFPVVVGPGTWELGCVLTFGRSDCHVSKRYWLEETSFCLDTRNQKVGESRPSVSCGSKKVDLVFLGL >Manes.03G083242.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14793651:14796530:-1 gene:Manes.03G083242.v8.1 transcript:Manes.03G083242.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTFKLWALLLFKNFSLAWGLESELQKIKENLKVIKPVLLDAEQQLSQNPWVEIWLENLKQVLYDAEDVVDDFEYEALRRKVTRKVRRFFSSPNPLAFHFKMGHKVKKIRERIDKIAALKSKHVIHKKREMTHSFIDASNVIGREEAKFTIIEMLLQFVDGENVTTLAKLVYNDQRRGPQKWLDLKSLLMGGSNGSRIVVTTRSNRVAEIMGTVSPHNLSLLHHQHLREGKQNPNFTRIRGEIVRKCKGVPLAVITLGSLLYSITDEHALHIVPFSKDYELVDIDLVYLWMANGLVQSSNENQEFEDVGLRYFKVLCSRCFFLDFSEYGGNVRCKMHELINDLALSITQNEYSMFIGSTQQFAKSVRHVSFPYPESLSKVVPKSLQNLDCMRTICCINERREGISSKYLRLQSLQDLLLFGCWNLKELPKDIKYMINLRLLWVTTHQKCFSMGGIGLKKLRRLAVSSCRSLISLPQSIKCLTALDSLCIEDCKNLDLRIEEGEDAQFSLHKLELRELPKLVDFPQWPIRGFTNTLKVLEVAYCDNLRELPNCLQNMASLQELRFIDCTKLNNNLL >Manes.04G053400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:8973448:8975089:1 gene:Manes.04G053400.v8.1 transcript:Manes.04G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVFMPKYSMNHVSLSGDKTLSSHSFGKVGLPKKSIKLTSSSFASFTQSSKLVASFNATVPAASSAAKFATSVSTHTLAQLWREIQGCNNWENLVQPLHPLLQQEIIRYGEFVTACYKAFDLDPNSKRYLSCKYGKKNMFNKVGMCDSGYQITKYIYATPDVSIPIQNGAACGRWIGYVAVSSDDAVKRLGRRDIVITFRGTVTNNEWVANFMSSLTPARLDPHNPRPEVKVESGFLSLYTSDESDNKFGLESCREQLLSEVSRLLNKYKGEEISISLAGHSMGSSIALLLAYDISELGLNKLNNPSKDDIPVTVFSFGGPRVGNAGFKERCEELGVKVLRIVNVNDPITKLPGVFLNENFRVLGGRYEFPWSCSCYAHVGVEILLDFFNMQNPSCVHDLEAYISSLLTKCPKRFSSEEDHHHHHHDHGHEHWVDFLNRAKELLLDAQSFNMLPLTIALSYIINLVETQSAEFFINEQILGWMNSLALYILF >Manes.08G029400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2832094:2835762:1 gene:Manes.08G029400.v8.1 transcript:Manes.08G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSRAAMEELLPGKIRKRGCSSSASSSSSIIQNYRFKRAILVGKRGGSSTPVPTWKLMGTARTPSSALRAMDSPKCVLSQNGVVKGKLQHQQAAVVSARKLAATLWEMNEMPSPKMKETMGSEERRLRKEARARERATRSVRSGSLPPHLSDPSHSPISERMERSGTGSRHGRASSISQRLRLTDHNVAAFDSISNASLMEVEMRSRAQTPAGSTAGAKNRLKDVSNALITSKELLKIINRVWGNEDRTSSSMSLISALHAELERARLQVNLLIQEQRSDQSDINYLMKRFAEEKEAWKNKEQKVVEAAIESIAGELDVEKKLRRRLESLNKKLGKELAEMKSSLLKAMKELENEKRARVVMEQVCDELARDIGEDKAEVEELKKESAKLCAEVEKEREMMQLADVLREERVQMKLSEAKYQLEEKNAAVDKLRSQLEAFLGPKRIKEKHRGAYHANDEEIAAYLNKARSVSHQNEVNEDDGEVDDGIECEEDSGDSDLHSIELNMDNSNEKYKWTHPSGIPCDLRNAIKGEESKGRKSTSSKVPRRSTLERSLSDGVEWSTQNDRLSITGDGRDLEEFSELERHLEGKSCAQETHGYQTVKGFRDYKLSSSRIDSARGYANPTPKIGQRTLRDPGSAAQERPPTAPGHASKSRHSEDLIGRKSKW >Manes.08G029400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2832094:2835762:1 gene:Manes.08G029400.v8.1 transcript:Manes.08G029400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGTGSRHGRASSISQRLRLTDHNVAAFDSISNASLMEVEMRSRAQTPAGSTAGAKNRLKDVSNALITSKELLKIINRVWGNEDRTSSSMSLISALHAELERARLQVNLLIQEQRSDQSDINYLMKRFAEEKEAWKNKEQKVVEAAIESIAGELDVEKKLRRRLESLNKKLGKELAEMKSSLLKAMKELENEKRARVVMEQVCDELARDIGEDKAEVEELKKESAKLCAEVEKEREMMQLADVLREERVQMKLSEAKYQLEEKNAAVDKLRSQLEAFLGPKRIKEKHRGAYHANDEEIAAYLNKARSVSHQNEVNEDDGEVDDGIECEEDSGDSDLHSIELNMDNSNEKYKWTHPSGIPCDLRNAIKGEESKGRKSTSSKVPRRSTLERSLSDGVEWSTQNDRLSITGDGRDLEEFSELERHLEGKSCAQETHGYQTVKGFRDYKLSSSRIDSARGYANPTPKIGQRTLRDPGSAAQERPPTAPGHASKSRHSEDLIGRKSKW >Manes.12G130350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33793576:33812144:1 gene:Manes.12G130350.v8.1 transcript:Manes.12G130350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESDVVSQTFRALVESADKKFARVRDLPSYGHAQNNYFQKVFKPYMRLWKYQQENRSKLVEAGLNRWEIGEIASRIGQLYFNQYMRTSDARFLVEAYVFYEAILHRKYFEGAKAKDLGVRFKELRFYARFLLVSLILNRTEMVQFLADRFRALVDDSKSNFRETNFKEWKLVVQEIVRFMEADTAFASVRPLRYCVLFDSHPTSRPYVARFHVRKVLKFQDAILTSYHRNEIKFAEITLDTYRMLQCLEWEPSGSFYQKHTVESVYQKRPVEPYENGTVTDHSGASGLIDLNLAADLTDPTLPSNPRKAVLYRPSVTHFLAVMATICEELPPEGIVLIYFSVSGKAGQSNTSYVESSGGSRKSSKNKVGSGMFSEQNSSASESHSNGKRDSSDHNDHYLWLGPRGTAGPNALYPGDIIPFTRRPLFLIIDSDSSHAFKALHGAERGEPSALLLSPLKPAFKNLACVDTTHSGSQFTFFLTAPLQAFHQMVGLSSVDADTDVYNDAEEIISSAFSKWEVVLCTSNSLDLVWAQVLSDPFLRRLILRFIFCRSVLSTFCSPRDYEQYLPVCLPHLPSSVSPSSEVVRSAVQRLSNHLNTPSLVVSKSIPASRHSKSYDPSLEDLSMKPIFLDSKMQKDAGQLEKELMNIKERLAAAEGEKERFHNELKEMKKAANEANGKQNEATSNRKVVDDVFSDLNSTIESLSKSNEELKIKEAAIASQVERAKELEAKFAEKDALVRKLKEEIGKAQFSETHALDLLSKSKERIKELETEIRAGRDSETKMLDSLAAQTKRLELTKIMLEESKLEVTTLHDEVDKLGSPEKKKDDKSPFNRELASLKSELVFANEYLAKAQEREKLSAAKAERLVKEIESLKHELKLATEAEGNNKDALDDLAIALKEVATEAHQSKEKLIETEVELERLKKESEEWKQKFKRTKEKHRTLLDEARKGADLHRNTADRLRVEAEETLLAWNGKEMGFVNCIKQAEDEKAAAQEENNRLLEALTAAENLNVTSKQENQNLRDILKQALNEANAAKEAAAIAQSENSQLKDMLAEKDDAFIFITRENENLRANEVTATENIKELKRLLAEATGKECKAEDKEQEQKLKPEISTEKEIAHKERKLSNAFSLNLKDLIIHPKHKETQEDHKISEKNNEDNEENEDSENVDPLKGSIFDVDSPVSTAAAAANHHQNNHHHHYHHRRKSSTFTDDESINAEDLEHLDGTHIDDGENERNPKKKKALLRRFGDIITRRKVVHRRDSSLGGDQTHKKEPSSAGGESHKKEPSSAGSEDHKKESSPLAGEALKKEASVGE >Manes.05G162250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27512611:27517583:1 gene:Manes.05G162250.v8.1 transcript:Manes.05G162250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLLLLQILTLLLLLSFLKFIYSIIWIPLRIQHHFKKQGIVGPNYRPITGNTAEIRRLYAEAQSKSMSSLNHDVLHRVSPFHYHWSRLYGKTYVYWFGSRARLVISDLDMIKEVLMNTGGGSFKKVAQNPQANQLFGEGLVVLEGEKWAFHRRITNQAFNMERVKGWVSEIVASTGKMLNKWEEIRGGRDEFEMEVNKELHELSADVISRTAFGSCFEEGKRIFALQEQQMHLVSQAIRSVYIPGFRFLPTKKNKERWRLDKETRESIRTLIKTNSRARENSRNLLSLLISSYKNQDGEEETLTEEEIVDECKTFYFAGKETTANLLTWALVLLASHQEWQHKAREEVFRICGNELPVAENLNDLKTVSLIINETLRLYPPAVTLIREASKSVKLGNIDVPAGTQLYLPLTAVHHDPDIWGEDVNEFNPLRFAESRNHLASFFPFGIGPRICVGQNLAIVEAKIVLAMIIRGFSFVLSPTYAHAPMLLMTLMPQYVLWVPYKIQAHFMKQGIRGPGYRPMFGNMAEKRRLTAEAQSRRMSLFDHDILQRVVPFYHEWSCEYGETLLYWSGTRPELVIAEAGLIKEILMDTSGLFEKARVEPFVKQLLGNGLPALTAEKWALHKRITSLALNMKQVKGWVPKIVASTVKMLDEWEEKRQGKNEFEVDVHKELHNLSADIISRTIFGSSYEEGKLIFNLQEKQLRLISQAHQALYIPGFRFLPTKMNIERWRVEKKIRASIRATIDTNSRREESSRNLLSLLMCPYKNRDGKDERLDVEEVIDECKTFYFAGKETTANTLTWALLLLSMHQEWQIKAREEVVLVCRDKELPTAEMLGEFKIITMILNETLRLYTPVVALIKQAKKDVKLGDIEIPAKTELVLAFSKAHRDTGIWGVDANKFNPERFMEARNLSAVFFPWSLGPRICVGRSLAMAEMKIVLAMIIRRFSFVVSPTYVHAPINFLTVQPQYGAQILFRKVSK >Manes.13G109900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31544482:31548278:-1 gene:Manes.13G109900.v8.1 transcript:Manes.13G109900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFQASKRDGIAGFDSQVLDLDTAVKDGVLGGVGGGVIGAGVGEKLDLKKMIEELDLSEVPSVFVCPISLEPMQDPVTLCTGQTYERPNILKWFSLRHYTCPTTMQELWDVSVTPNRTLYHFIYSWFSQKYLLMKKRAEDVQGRATELIESLKRVKGQTRVQALKELHQVVAAHASARKSVVDEGGVAVLSSLLGPFTSHAVGSEVIGILINLTLDSEAKSNLMQPAKISLMVDILNEGSIETSINCTRLIKKLMEEKDFRTELISSHSLLVGLMRLVKGKRHVNGILPGLSLLRSICLHMEVRSLIVSIGAVPQLVELLPSLDPDCLELALFILDALSSLPQGRVALKDSPNTILNMSQLLMGVPEICTQYALSILCSVCKLAPEECPSLAVNVGLAAKLLLVIQSGCNPVVKQQSAELLKLCSLNYTDSIFISKCKLIKTIQ >Manes.13G109900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31545128:31548278:-1 gene:Manes.13G109900.v8.1 transcript:Manes.13G109900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFQASKRDGIAGFDSQVLDLDTAVKDGVLGGVGGGVIGAGVGEKLDLKKMIEELDLSEVPSVFVCPISLEPMQDPVTLCTGQTYERPNILKWFSLRHYTCPTTMQELWDVSVTPNRTLYHFIYSWFSQKYLLMKKRAEDVQGRATELIESLKRVKGQTRVQALKELHQVVAAHASARKSVVDEGGVAVLSSLLGPFTSHAVGSEVIGILINLTLDSEAKSNLMQPAKISLMVDILNEGSIETSINCTRLIKKLMEEKDFRTELISSHSLLVGLMRLVKGKRHVNGILPGLSLLRSICLHMEVRSLIVSIGAVPQLVELLPSLDPDCLELALFILDALSSLPQGRVALKDSPNTILNMSQLLMGVPEICTQYALSILCSVCKLAPEECPSLAVNVGLAAKLLLVIQSGCNPVVKQQSAELLKLCSLNYTDSIFISKCKLIKTIQ >Manes.13G109900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31545149:31548352:-1 gene:Manes.13G109900.v8.1 transcript:Manes.13G109900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFQASKRDGIAGFDSQVLDLDTAVKDGVLGGVGGGVIGAGVGEKLDLKKMIEELDLSEVPSVFVCPISLEPMQDPVTLCTGQTYERPNILKWFSLRHYTCPTTMQELWDVSVTPNRTLYHFIYSWFSQKYLLMKKRAEDVQGRATELIESLKRVKGQTRVQALKELHQVVAAHASARKSVVDEGGVAVLSSLLGPFTSHAVGSEVIGILINLTLDSEAKSNLMQPAKISLMVDILNEGSIETSINCTRLIKKLMEEKDFRTELISSHSLLVGLMRLVKGKRHVNGILPGLSLLRSICLHMEVRSLIVSIGAVPQLVELLPSLDPDCLELALFILDALSSLPQGRVALKDSPNTILNMSQLLMGVPEICTQYALSILCSVCKLAPEECPSLAVNVGLAAKLLLVIQSGCNPVVKQQSAELLKLCSLNYTDSIFISKCKLIKTIQ >Manes.13G109900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31545146:31548439:-1 gene:Manes.13G109900.v8.1 transcript:Manes.13G109900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFQASKRDGIAGFDSQVLDLDTAVKDGVLGGVGGGVIGAGVGEKLDLKKMIEELDLSEVPSVFVCPISLEPMQDPVTLCTGQTYERPNILKWFSLRHYTCPTTMQELWDVSVTPNRTLYHFIYSWFSQKYLLMKKRAEDVQGRATELIESLKRVKGQTRVQALKELHQVVAAHASARKSVVDEGGVAVLSSLLGPFTSHAVGSEVIGILINLTLDSEAKSNLMQPAKISLMVDILNEGSIETSINCTRLIKKLMEEKDFRTELISSHSLLVGLMRLVKGKRHVNGILPGLSLLRSICLHMEVRSLIVSIGAVPQLVELLPSLDPDCLELALFILDALSSLPQGRVALKDSPNTILNMSQLLMGVPEICTQYALSILCSVCKLAPEECPSLAVNVGLAAKLLLVIQSGCNPVVKQQSAELLKLCSLNYTDSIFISKCKLIKTIQ >Manes.13G109900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31544482:31548438:-1 gene:Manes.13G109900.v8.1 transcript:Manes.13G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFQASKRDGIAGFDSQVLDLDTAVKDGVLGGVGGGVIGAGVGEKLDLKKMIEELDLSEVPSVFVCPISLEPMQDPVTLCTGQTYERPNILKWFSLRHYTCPTTMQELWDVSVTPNRTLYHFIYSWFSQKYLLMKKRAEDVQGRATELIESLKRVKGQTRVQALKELHQVVAAHASARKSVVDEGGVAVLSSLLGPFTSHAVGSEVIGILINLTLDSEAKSNLMQPAKISLMVDILNEGSIETSINCTRLIKKLMEEKDFRTELISSHSLLVGLMRLVKGKRHVNGILPGLSLLRSICLHMEVRSLIVSIGAVPQLVELLPSLDPDCLELALFILDALSSLPQGRVALKDSPNTILNMSQLLMGVPEICTQYALSILCSVCKLAPEECPSLAVNVGLAAKLLLVIQSGCNPVVKQQSAELLKLCSLNYTDSIFISKCKLIKTIQ >Manes.13G109900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31544269:31548346:-1 gene:Manes.13G109900.v8.1 transcript:Manes.13G109900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFQASKRDGIAGFDSQVLDLDTAVKDGVLGGVGGGVIGAGVGEKLDLKKMIEELDLSEVPSVFVCPISLEPMQDPVTLCTGQTYERPNILKWFSLRHYTCPTTMQELWDVSVTPNRTLYHFIYSWFSQKYLLMKKRAEDVQGRATELIESLKRVKGQTRVQALKELHQVVAAHASARKSVVDEGGVAVLSSLLGPFTSHAVGSEVIGILINLTLDSEAKSNLMQPAKISLMVDILNEGSIETSINCTRLIKKLMEEKDFRTELISSHSLLVGLMRLVKGKRHVNGILPGLSLLRSICLHMEVRSLIVSIGAVPQLVELLPSLDPDCLELALFILDALSSLPQGRVALKDSPNTILNMSQLLMGVPEICTQYALSILCSVCKLAPEECPSLAVNVGLAAKLLLVIQSGCNPVVKQQSAELLKLCSLNYTDSIFISKCKLIKTIQ >Manes.12G066001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6545778:6551065:-1 gene:Manes.12G066001.v8.1 transcript:Manes.12G066001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSTNNANSARSSSARSRQSARVVAQTPIDAKLHVNFENSECQFDYSTSIDFNISTSTSDVPSQTVSAYLQKMQRGGLIQPFGCMIAVDEQNLKVLAYSENAPEMLDLTPHAVPSIEQQEALTFGTDLRTLFRSPGVAALQKAANFGEVNLLNPILIHCKTNDKPFYAILHRIDVGLVIDLEPVNPADVPVTAAGALQSYKLAAKAISRLQSLPSGNIPLLCDVLVQEVRDLTGYDRVMVYKFHEDEHGEVVAECYKPGLEPYLGLHYPATDIPQASRFFFMKNKVRMICDCLASPVKIIQDKSLAQPLSLCGSTLRSPHGCHAQYMANMGSIASLVMSVTINEDDDEIENDQRKERKLWGLVVCHHTSPRFVPFPVRYACEFFIQVFGVQINKEVELAAQTKEKHILQTQTLLCDMLLRDAPVAIITQSPNVMDLVKCDGAALYYGKKFWLVGVTPTEAQIRDIADWLLEYDSESTGLITDSLMEAGYPGASVLSNAIHGMAAVRITSKDFLFWFRTDTAKEIKWGGAKHNPVDKDDRRKMHPRSSFKAFLEVVKWRSLPWEDVEIDAIHSLQLILRESLQNKTAEVSKVIVNVTSVDDRIQGVDELRIFTNEMVRVIETAAIPILAVDVLGNINGWNSKTVELTGLPADQAIGMPLVELVEDDSINVITNTLSSALQGVEERSIEIKLKTFGPQENSGPVFLVVNACCSRDVRENVVGVCFVGQDLTAQKLVIEKYTHMQGDYAGIVRNPSTLIPPIFMTNENGQCIEWNYAMQKFSGVKREEAVDKMLLGEVFTVNSFGCRVKDNDTLTKLRILLNGVIAGQDAEKLLFGFFDQQGKYVEALLSANKRTDAEGRITGVLCFLHVASPELQYALQVQRISEQAAAKSLNKLKYVHQEVRKTSNGIMLMQNLMGNSDLSKEQRKLLKTSILCREQLNNIINDTDIESIEEGYMELKSVEFNLGETLEVVIKQAMTLSQERQVEIIRDLPSTLSSMHLYGDNLRLQQVLSDFLTNALLFTPAFEGSSIAFRVIPRKERIGTKMHIVHLEFRISHPTPGIPEDLIQEMFHHSHGASREGLGLYISQKLVKIMNGTVQYLKEAERSSFIILIEFPLVNQTEIS >Manes.01G190751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36629961:36630966:1 gene:Manes.01G190751.v8.1 transcript:Manes.01G190751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFQFVFIGKFMMKILGITNTLSKILQARDQNIRYALNMINVVKNKLQELREDGWDNLLKEVTEFCEGHSIDVPNMENFVHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTYIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKTDLRNRLGDDLLLDCLVCYFEKEIFRSIDDEVIMQSFQNLASRRNQLRPLNIR >Manes.04G070250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26938431:26940143:-1 gene:Manes.04G070250.v8.1 transcript:Manes.04G070250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTNRYLIECTQHVLGPIPRQWVTNYVIPRIEAFKQDGKSINKYTTEFLELSKYAPTVVATEVMKVKRFLKGLVKRYVNLAMLADQPFDTMVNRAHQVEMRYEVEGNAKSKKYKTEGQSSAQNLSYNGAFHMGSSGGPSQSSHKTSLNNLNKGDIDTRVEVIDKVIALIVVGRIVDRVVQGSRSLGRGQMQSSMQLGKGHAQVFTLTHQDAQASNVVVSAPRSLECSLEVATPIICLVKVNDKNLPLDLISLPIMDFYIILEMDWLSNHYTTLDCRNRKVIFHILRTKEFSFDGTGDVAPYNLVSTISVRKMLRRGCQCYLVLVRDISLESPSVNNIPMVREFSDVFSDDLPRLPFNKEIEFYIDVIPDTNSISMSPYRMAPVELKELKEQLQELLEKRALFDRVHHPGVLQFYL >Manes.03G027300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2216942:2224312:1 gene:Manes.03G027300.v8.1 transcript:Manes.03G027300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLDSLDLFLFSLSRSFCSPLAVFFQIQGCVICLTLAIGWAFAAFIRNREIKLMKSSMRAGNSFAFLFHDINELEHSNQVNLPRVTVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESTEDPAYRAVSRIIAEFKDDVDATVIVAGLSTTCSQKIHNQLFGVEKMHKDSKYVLFLDDDVRLHPGSIGALTAEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRHDRYGVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYTTKVNWLMNRALFSSHCYLSWGFVAPYFMSMTHVAAALRIYIRGYAHEETIFVSKGLLLVTCLAVCTFIELFSMWNLTRVEVQLCNMLSPEAPRLSLASYNWVLVFIAMLVDNFLYPISALRSHFSQSINWSSIRYHLKNGKINKIDRSKDKGPIYTDLGGKHLYGKKGAPPKASFLGSLAKNLAQWRQPKKFDG >Manes.03G027300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2216942:2222482:1 gene:Manes.03G027300.v8.1 transcript:Manes.03G027300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLDSLDLFLFSLSRSFCSPLAVFFQIQGCVICLTLAIGWAFAAFIRNREIKLMKSSMRAGNSFAFLFHDINELEHSNQVNLPRVTVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESTEDPAYRAVSRIIAEFKDDVDATVIVAGLSTTCSQKIHNQLFGVEKMHKDSKYVLFLDDDVRLHPGSIGALTAEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRHDRYGVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYTTKVNWLMNRALFSSHCYLSWGFVAPYFMSMTHVAAALRIYIRGYAHEETIFVSKGLLLVTCLAVCTFIELFSMWNLTRVEVQLCNMLSPEAPRLSLASYNWVLVSISSYLVQLHFVWN >Manes.01G039228.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8024128:8026884:-1 gene:Manes.01G039228.v8.1 transcript:Manes.01G039228.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTVNVIEILALLILLQSVSSFCNGDNFNGSCIKTERAALVKFKSSLLNNSNSLPSWVGDDCCRWHGVTCDDITGHVVMLVLSQASIMGNISLHLGNLSKLQNLDLSWNPSLAIHSLHFPSSLKYLNLSFVLLDKCDNWLQSINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLRLDWNNFHSTIPSWLYNMTKLQNLYLYSSAFRGSLSTDISNLNSLAYLNVGVNSLEGNMPNTLNRLCNLIELDLGNNKFSGEISGTFGNSSTCIKNSLENLSLLNNSFSGSIPDNLGQFKRLKVLYLSENSFWGSIPVSIGQLYNLERLSFRQNSLHGEVSELHLLNLRSLIALSMDGNSLVFDIDPEWIPPFQLDWIGLSSCEVGPSFPQWLKTQKSIRFLQMSNASISGNIPDWFENISSNIVGLDLSYNQLFGTLPTFRKLNTTSANEYRIILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNLSEMMPSLRLLSLSNNYLNGTVPATLCWIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLENNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPWWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPICFGNFTAIAMHEQKGHWEYYSNAVPYVGFVRGYGENVQVYVKGIELEYTSTLRFLYSIDLSGNNFILNLSTNKLDGHIPWNIGKLSLLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFVRNKLEGNA >Manes.01G196400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37048335:37049786:1 gene:Manes.01G196400.v8.1 transcript:Manes.01G196400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVLLLAIFLFCIAQVSSDVEIEEQGNQVVKGANRRLLSLDCGGLCKVRCSLHSRPNVCLRACGTCCLRCQCVPPGTSGNREVCGKCYTEMTTHGNRKKCP >Manes.09G014301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3227536:3243606:1 gene:Manes.09G014301.v8.1 transcript:Manes.09G014301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFLFTNYCHSSTSSLEDARFLTNNFKSASFTRLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPDVVTFTTLINGLRIESKMDKAVEFLDDMVARGYQPNVYTYSVIINGMCKFGKTSVAIRLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMMEQNISPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIADFFSYSILVNGYCKCKMIDDAMELFGEMSHKGLVPDAVTYCTLLKGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNLVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGFMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVRLSQNNDLILSKLRSRSEASKG >Manes.15G056500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4366551:4369013:1 gene:Manes.15G056500.v8.1 transcript:Manes.15G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNKLGSLVRQSFSQNGQVQMGSMLSSIRCMSSTKLFVGGLSFGTNDESLKEAFSGYGDVVNARVITDRDSGRSRGFGFVDFSSQESASSALSAMDGQELHGRNIRVSYAQERPSGPRSFNNNFRGNGSFGNDSGF >Manes.15G056500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4366565:4368639:1 gene:Manes.15G056500.v8.1 transcript:Manes.15G056500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNKLGSLVRQSFSQNGQVQMGSMLSSIRCMSSTKLFVGGLSFGTNDESLKEAFSGYGDVVNARVITDRDSGRSRGFGFVDFSSQESASSALSAMDGQELHGRNIRVSYAQERPSGPRSFNNNFRGNGSFGNDSGF >Manes.01G073900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27633992:27634936:1 gene:Manes.01G073900.v8.1 transcript:Manes.01G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLTDEQVSSMKEAFTLFDSDSDAKIAPSELGVLMRSLGGNPTQAQLKAIVAEEKLSSPFDFPRFLQIMAKHMKTEPFDRQLRDAFKVLDKDNTGFVSVTDLRHILTNIGEKLEPAEFDEWIGGVEVGPDGRIRYEDFIAKMVAK >Manes.06G098650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23257025:23258533:-1 gene:Manes.06G098650.v8.1 transcript:Manes.06G098650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSNIKLNDSNYVVWAKMMEMFITGRGKSNHLTGIPPPSAETDPTIYLWQTNDSIVRVWLIQTVDKGLWDALKIRFNAGSNKLIIYELQSKAYKLTQQESTLEDLYNDLQVIWAEIDERQPTKIEGDNNILIRNQEIQEERLYLFLAGVQSDLDPVRREILNEEPLPTLDNAYSRLRGEKLRRAIHLPLPSLAIVGSDLVGAGLLAKNRSDTDKSSLRDDKSGLKCTHCGESQHTRDGCFKIIGYPEWWEENKTRKKKGRGQGVGKMAAVTASGNQKAACGNNLIGQTEEDSGDGQSLGVAAALQGAKRGGGTSVPYDREGGYSYGHGTGPWY >Manes.07G076414.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:18155885:18156744:1 gene:Manes.07G076414.v8.1 transcript:Manes.07G076414.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKPTIERKSSGSRKDKMHAMDAMNADPLTLQSSDHPCMTDLYISSSIRIALGAKQKLDFIEGTVPVSRKDTKLYEQWKRCDYVVTSWILNSISKDLVDGFIYTASSRDMWNEISKRFEKGNESQIYELHRKISLITQENALDEIGPIEVLPLCSCGGSKAIDDINNRNRFIQFLMGLNKNFNSVTDQILFLDPLPLINNAYSTALKHESQKEILSKRNSESTEILKYDPKKGPCSHCNMDDHVRDT >Manes.10G004300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:261579:264988:1 gene:Manes.10G004300.v8.1 transcript:Manes.10G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCEKLGDPGGKMDENSPIVLSKNYPIPQLDSTTAVRVRVKATSLNYANYLQILGKYQEKPPLPFVPGSDYSGIVDAVGPNVSIFKVGDRVCSFASLGSFAQFIVADQSQLLRVPEGCDLVEAAALPVAYGTSHVALVHRARLTSSQVLLVLGAAGGVGLSAVQIGKACGAIVIAVARGFEKVGFLKSLGVDHVVDSSKEDVTMSVKDFLKARKLKGVDVLYDPVGGKPTKAALKLLNWGAQILVIGFASGEIPVIPANFALVKNWTIHGLYWGSYGIHRPGFLEDSLRELLSWMEKGLITIHISHIYSVSEANLAFCAIRDRKAIGKVMIAFDDKTSPRSKL >Manes.10G004300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:261579:264988:1 gene:Manes.10G004300.v8.1 transcript:Manes.10G004300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCEKLGDPGGKMDENSPIVLSKNYPIPQLDSTTAVRVRVKATSLNYANYLQILGKYQEKPPLPFVPGSDYSGIVDAVGPNVSIFKVGDRVCSFASLGSFAQFIVADQSQLLRVPEGCDLVEAAALPVAYGTSHVALVHRARLTSSQVLLVLGAAGGVGLSAVQIGKACGAIVIAVARGFEKVGFLKSLGVDHVVDSSKEDVTMSVKDFLKARKLKGVDVLYDPVGGKPTKAALKLLNWGAQILVIGFASGEIPVIPANFALVKNWTIHGLYWGSYGIHRPGFLEDSLRELLSWMEKGLITIHISHIYSVSEVWT >Manes.04G037900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5390125:5395372:-1 gene:Manes.04G037900.v8.1 transcript:Manes.04G037900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLLLPIGSGSVRNLSGNRYGSSCERVRGLNVQCSNFELRVRDSRTRDQFKDDIGSIKRRDVLGLVFGVSSTLVHSSDAKGAGLPPEDKPKLCDDICEKELENVPMIITESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIKGLDEGILSMKVGGKRRLYIPGSLAFPKGLTSAPGRPRVAPNSPVVFDVSLEYIPGLELEE >Manes.04G037900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5391181:5395372:-1 gene:Manes.04G037900.v8.1 transcript:Manes.04G037900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLLLPIGSGSVRNLSGNRYGSSCERVRGLNVQCSNFELRVRDSRTRDQFKDDIGSIKRRDVLGLVFGVSSTLVHSSDAKGAGLPPEDKPKLCDDICEKELENVPMIITESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIKGLDEGILSMKVGGKRRLYIPGSVLRYQEQKLYKKL >Manes.04G037900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5390125:5395379:-1 gene:Manes.04G037900.v8.1 transcript:Manes.04G037900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLLLPIGSGSVRNLSGNRYGSSCERVRGLNVQCSNFELRVRDSRTRDQFKDDIGSIKRRDVLGLVFGVSSTLVHSSDAKGAGLPPEDKPKLCDDICEKELENVPMIITESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQNR >Manes.04G037900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5392237:5395237:-1 gene:Manes.04G037900.v8.1 transcript:Manes.04G037900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLLLPIGSGSVRNLSGNRYGSSCERVRGLNVQCSNFELRVRDSRTRDQFKDDIGSIKRRDVLGLVFGVSSTLVHSSDAKGAGLPPEDKPKLCDDICEKELENVPMIITESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQNR >Manes.04G037900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5390959:5395399:-1 gene:Manes.04G037900.v8.1 transcript:Manes.04G037900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLLLPIGSGSVRNLSGNRYGSSCERVRGLNVQCSNFELRVRDSRTRDQFKDDIGSIKRRDVLGLVFGVSSTLVHSSDAKGAGLPPEDKPKLCDDICEKELENVPMIITESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIKGLDEGILSMKVGGKRRLYIPGSLAFPKGLTSAPGRPRVAPNSPVVFDVSLEYIPGLELEE >Manes.04G037900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5391181:5395372:-1 gene:Manes.04G037900.v8.1 transcript:Manes.04G037900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLLLPIGSGSVRNLSGNRYGSSCERVRGLNVQCSNFELRVRDSRTRDQFKDDIGSIKRRDVLGLVFGVSSTLVHSSDAKGAGLPPEDKPKLCDDICEKELENVPMIITESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQNR >Manes.04G037900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5385046:5395400:-1 gene:Manes.04G037900.v8.1 transcript:Manes.04G037900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLLLPIGSGSVRNLSGNRYGSSCERVRGLNVQCSNFELRVRDSRTRDQFKDDIGSIKRRDVLGLVFGVSSTLVHSSDAKGAGLPPEDKPKLCDDICEKELENVPMIITESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIKGLDEGILSMKVGGKRRLYIPGSLAFPKGLTSAPGRPRVAPNSPVVFDVSLEYIPGLELEE >Manes.04G037900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5391140:5395389:-1 gene:Manes.04G037900.v8.1 transcript:Manes.04G037900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSLLLPIGSGSVRNLSGNRYGSSCERVRGLNVQCSNFELRVRDSRTRDQFKDDIGSIKRRDVLGLVFGVSSTLVHSSDAKGAGLPPEDKPKLCDDICEKELENVPMIITESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQNR >Manes.13G077346.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12164105:12171274:1 gene:Manes.13G077346.v8.1 transcript:Manes.13G077346.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGSCVIWDFETRGIAKELRDKDCVAAITSICWSKYGHRILVSAADKSLTLWDVVNGEKISRTILQQTPLLARLHPGSTAPSLCLACPLSSAPMIVDLNTGSTTMLPVAVPDMGNGLAPPPRSKSSDGTPPFTPTAACFNKCGDVVYVGNSKGEIFIIDHKVIQVRAMVSIPGGAVIKNIVFSRNGQYLLTNSNDRTIRIYENLLPLKDGLSALEEMDKKINEPDGVEKKKAIGSKCLALFREFQDSITKVHWKAPCFSGDGEWVIGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPIVVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYMEREDEFDLMPETEKVKESTLFDRC >Manes.13G077346.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12164105:12171274:1 gene:Manes.13G077346.v8.1 transcript:Manes.13G077346.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGSCVIWDFETRGIAKELRDKDCVAAITSICWSKYGHRILVSAADKSLTLWDVVNGEKISRTILQQTPLLARLHPGSTAPSLCLACPLSSAPMIVDLNTGSTTMLPVAVPDMGNGLAPPPRSKSSDGTPPFTPTAACFNKCGDVVYVGNSKGEIFIIDHKVIQVRAMVSIPGGAVIKNIVFSRNGQYLLTNSNDRTIRIYENLLPLKDGLSALEEMDKKINEPDGVEKKKAIGSKCLALFREFQDSITKVHWKAPCFSGDGEWVIGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPIVVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYMEREDEFDLMPETEKVKESDVNDDEEVDIVTVEKDAFSDSDISQEELCFLPAIPCPDVPEQQDKCVGSSSKLDSNHSGSPLSEGAGQNGAAVNHASSPLEEDTGGTRIKRKRKPSEKGLELQAEKVRKPLKPLKSSGRLSKLKNKYVVDLDISNGGVYGDDVFDE >Manes.03G080700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15625315:15639276:-1 gene:Manes.03G080700.v8.1 transcript:Manes.03G080700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIFRCMGKAARASLCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLLATYSLASLQNGKGAIGGGKPTVNGEVEKGLLTLVSSDSPGLQVCDPNGRWYLADCGSTPGDLLLITGKALSHATAGLRPAASYRAAPDYSSGTNNGGRTSLAFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFMDDLSAEEDVMCNRHENNLVGHYNLNKEPSLRSVLSDPLSGAFLEDAVFVSCGHSFGGLMLRRVLEMSRCTLCNSEIEAGSLIPNFALRAAAASIKQEDDRRLFHNAALRKRRKEMGDQTDSVRRSSRENGDIAADDGLLKGVQYPFSVNEKVVIKGNRRTPEKFVGKEAIITSQCRNGWYLLKIIGSGENVRLQYRSLRKILSSQAIEDRCPAMPIQNTNS >Manes.03G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15625315:15639276:-1 gene:Manes.03G080700.v8.1 transcript:Manes.03G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMMMQPPPLTSSGLPTQPNSSTLRSPTTPTQSPISLAPLSISHRQPLSADHVIAPPITAVQQSGDPALMLARVRLSDIVPFDGAPAGPYMKAVEALSGSLMRHNAVVIELGSDDAALMRCGLEAARMFFRTRVPSVGSKGGSRGVYMYRAGRALEDYDSSPPCMAEIFRCMGKAARASLCAIARHLRLRSDVFNHLLDDTPLPANEVSSSVLLATYSLASLQNGKGAIGGGKPTVNGEVEKGLLTLVSSDSPGLQVCDPNGRWYLADCGSTPGDLLLITGKALSHATAGLRPAASYRAAPDYSSGTNNGGRTSLAFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFMDDLSAEEDVMCNRHENNLVGHYNLNKEPSLRSVLSDPLSGAFLEDAVFVSCGHSFGGLMLRRVLEMSRCTLCNSEIEAGSLIPNFALRAAAASIKQEDDRRLFHNAALRKRRKEMGDQTDSVRRSSRENGDIAADDGLLKGVQYPFSVNEKVVIKGNRRTPEKFVGKEAIITSQCRNGWYLLKIIGSGENVRLQYRSLRKILSSQAIEDRCPAMPIQNTNS >Manes.05G206400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33308117:33318117:1 gene:Manes.05G206400.v8.1 transcript:Manes.05G206400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITVMTADEHIISLDVDPHESVENVKALLEVETQVPLQQQQLLYNGREMRNNEKLSALGVKDEDLMMMVSSAAHRAPANDLSFNPDGSAVNPEAFQQHIRHDSNLMAQLFQTDPELAQVLLGNDLSKLQELLRQRHRQKSELQRQQEEELALLDADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGIARGVGQSEILGRIHVAPIKIGNIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSHILDEENLAKEASSSVNPVSPLLLMEVQSWSLLYVRLLTELFCD >Manes.05G206400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33308117:33318117:1 gene:Manes.05G206400.v8.1 transcript:Manes.05G206400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITVMTADEHIISLDVDPHESVENVKALLEVETQVPLQQQQLLYNGREMRNNEKLSALGVKDEDLMMMVSSAAHRAPANDLSFNPDGSAVNPEAFQQHIRHDSNLMAQLFQTDPELAQVLLGNDLSKLQELLRQRHRQKSELQRQQEEELALLDADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGIARGVGQSEILGRIHVAPIKIGNIFYPCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSHILDEENLAKEASSSVNPGISGAMDKKSNVPAGQSSGVARGNATQGPEFEAKVVKLVELGFGRDAVIQALKLFDGNEEQAAGFLFGG >Manes.02G075600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5745199:5747409:-1 gene:Manes.02G075600.v8.1 transcript:Manes.02G075600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLRIHVHRGVNLAIRDVMSSDPYVVVRMGKQKVKTRVVKKNVNPEWNEDLTISIDNPNLPIKIGVYDKDTFSRDDKMGDAEFDIRPFLEVLKMHLEGLPSGSIITRIPASRQNCLAEESQITWVNGKVVQNIFLRLRNVECGEVELQLQWIDIPGSRGV >Manes.07G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33407174:33408763:1 gene:Manes.07G132400.v8.1 transcript:Manes.07G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPNTATAIFLVHFFTSFFLSLPCSTTASSPALTALYAFGDSTDDPGNNNQLVTLFRSDHPPYGKDFPYQVPTGRFSDGKLSTDFIVSSLGLKDLLPAYLDPKLTTNDLLTGVSFASAGTGLDDLTAELAGALKISSQLDYFDEAVTRMKGLVGEEKAKFIVENAIFIISVGSNDFMDNFYELPTRKFQFSISGYQDFLLHELESAIQRLYNAGGRRFAIVGLPPIGCLPIQVTIGSLIPGKHMFQRVCVEQQNTDSIAYNIKLQSLISRLKGSWAKGAKISYLDIYYPLEDMINNPSKYGFEETLRGCCGLGIVETGPLCDVMEATCNDASKYIFWDAVHPTQATYFFLAQTALQT >Manes.03G001700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:256823:257377:-1 gene:Manes.03G001700.v8.1 transcript:Manes.03G001700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNITGGVCSRLVGDLVQALGVAAGSRSPSADPTPICNPVKNTAEVDEMMIQALTDVFGMENNGKIKKKNARQVVEKLGLMYGHEEGGEEEEKSAALMDDEMPVEEVLSGLEKGSERQHLLHEAFKIFDEDGNGYIEAVELKRVLQCLGLDKGWDMNDIEKMLKVVDLNLDGRVDFSEFELMMS >Manes.12G081216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11792995:11793279:1 gene:Manes.12G081216.v8.1 transcript:Manes.12G081216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLLITFLLLPPYQKSFLMMAHPTRHMALGINKMLVLSWLLCSLIKTLLLQIIGSLKASDSDAWKKLENISPKVQKPKSCSCIRHLNNLRKG >Manes.11G152000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31585068:31599303:-1 gene:Manes.11G152000.v8.1 transcript:Manes.11G152000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRSCSSLRFIFLPHRSNFFSSFLFSFSSSKSPFLPNSPHLVVKFNPKSTMSSRPSAFDTLMSNARAAAKKKPQSQSTSPKKRKNPAKTLNSVEAETTPNPTIPDSTHSQDETSKSTVDHEIVTKSQISSSENPSLSMVKLGQERVINTKKQRVEIADLKSKIELLKKSPGDFDPKKVLSWEKGERVPFIFVCLGFELIEKESGRILITNMVCNMLRTVMDTTPDDLLALVYLLANKIAPAHEGVELGIGESIIIKALAEAFGRTEKQVKKQLEGTGDLGLVAQASRSSQSMMRKPDPLTVAKVFNTFRLIAKESGKDSQDKKKNHIKALLVAATDCEPLYLIRLLQGKLRISLAGQTLLAALGQAAVFNENHSTPPSHINSPLEEAAKIVKQVYSVLPVYDKIVPALLSDGVWNLPKSCSFTPGVPVGPMLAKSIKKVSEIADKFQNMEFTCEYKYDGERAQIHYLENGTVEIYSRNAERNTGKYPDVIVTISRLKRKPVKSFVLDCEIVAYNREEKKILPFQILSTRPRKNVTMSDIKVNVCIFAFDILYLNGEPLIQKELEVRRQRLYDSFEEEPGFFQFATAVTSNDLEEIQTFLSAAVDASCEGLIIKTLKKDATYEPSKRSLNWLKLKKDYMDNIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYDFDNEEYQSICKIGTGFSEQLLEDLSNGLRSKVIPKPKPYFRHGDKINPDVWFEPSEVWEVKAADLTISPVYSAALGKAERDKGVSLRFPRLVKVRADKSPEQATTSEQVAEMYNAQKPNQPKNQDDSEDD >Manes.11G152000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31585068:31599304:-1 gene:Manes.11G152000.v8.1 transcript:Manes.11G152000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRSCSSLRFIFLPHRSNFFSSFLFSFSSSKSPFLPNSPHLVVKFNPKSTMSSRPSAFDTLMSNARAAAKKKPQSQSTSPKKRKNPAKTLNSVEAETTPNPTIPDSTHSQDETSKSTVDHEIVTKSQISSSENPSLSMVKLGQERVINTKKQRVEIADLKSKIELLKKSPGDFDPKKVLSWEKGERVPFIFVCLGFELIEKESGRILITNMVCNMLRTVMDTTPDDLLALVYLLANKIAPAHEGVELGIGESIIIKALAEAFGRTEKQVKKQLEGTGDLGLVAQASRSSQSMMRKPDPLTVAKVFNTFRLIAKESGKDSQDKKKNHIKALLVAATDCEPLYLIRLLQGKLRISLAGQTLLAALGQAAVFNENHSTPPSHINSPLEEAAKIVKQVYSVLPVYDKIVPALLSDGVWNLPKSCSFTPGVPVGPMLAKSIKKVSEIADKFQNMEFTCEYKYDGERAQIHYLENGTVEIYSRNAERNTGKYPDVIVTISRLKRKPVKSFVLDCEIVAYNREEKKILPFQILSTRPRKNVTMSDIKVNVCIFAFDILYLNGEPLIQKELEVRRQRLYDSFEEEPGFFQFATAVTSNDLEEIQTFLSAAVDASCEGLIIKTLKKDATYEPSKRSLNWLKLKKDYMDNIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYDFDNEEYQSICKIGTGFSEQLLEDLSNGLRSKVIPKPKPYFRHGDKINPDVWFEPSEVWEVKAADLTISPVYSAALGKAERDKGVSLRFPRLVKVRADKSPEQATTSEQVAEMYNAQKPNQPKNQDDSEDD >Manes.11G152000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31585068:31599303:-1 gene:Manes.11G152000.v8.1 transcript:Manes.11G152000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRSCSSLRFIFLPHRSNFFSSFLFSFSSSKSPFLPNSPHLVVKFNPKSTMSSRPSAFDTLMSNARAAAKKKPQSQSTSPKKRKNPAKTLNSVEAETTPNPTIPDSTHSQDETSKSTVDHEIVTKSQISSSENPSLSMVKLGQERVINTKKQRVEIADLKSKIELLKKSPGDFDPKKVLSWEKGERVPFIFVCLGFELIEKESGRILITNMVCNMLRTVMDTTPDDLLALVYLLANKIAPAHEGVELGIGESIIIKALAEAFGRTEKQVKKQLEGTGDLGLVAQASRSSQSMMRKPDPLTVAKVFNTFRLIAKESGKDSQDKKKNHIKALLVAATDCEPLYLIRLLQGKLRISLAGQTLLAALGQAAVFNENHSTPPSHINSPLEEAAKIVKQVYSVLPVYDKIVPALLSDGVWNLPKSCSFTPGVPVGPMLAKSIKKVSEIADKFQNMEFTCEYKYDGERAQIHYLENGTVEIYSRNAERNTGKYPDVIVTISRLKRKPVKSFVLDCEIVAYNREEKKILPFQILSTRPRKNVTMSDIKVNVCIFAFDILYLNGEPLIQKELEVRRQRLYDSFEEEPGFFQFATAVTSNDLEEIQTFLSAAVDASCEGLIIKTLKKDATYEPSKRSLNWLKLKKDYMDNIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYDFDNEEYQSICKIGTGFSEQLLEDLSNGLRSKVIPKPKPYFRHGDKINPDVWFEPSEVWEVKAADLTISPVYSAALGKAERDKGVSLRFPRLVKVRADKSPEQATTSEQVAEMYNAQKPNQPKNQDDSEDD >Manes.11G152000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31585068:31599303:-1 gene:Manes.11G152000.v8.1 transcript:Manes.11G152000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRSCSSLRFIFLPHRSNFFSSFLFSFSSSKSPFLPNSPHLVVKFNPKSTMSSRPSAFDTLMSNARAAAKKKPQSQSTSPKKRKNPAKTLNSVEAETTPNPTIPDSTHSQDETSKSTVDHEIVTKSQISSSENPSLSMVKLGQERVINTKKQRVEIADLKSKIELLKKSPGDFDPKKVLSWEKGERVPFIFVCLGFELIEKESGRILITNMVCNMLRTVMDTTPDDLLALVYLLANKIAPAHEGVELGIGESIIIKALAEAFGRTEKQVKKQLEGTGDLGLVAQASRSSQSMMRKPDPLTVAKVFNTFRLIAKESGKDSQDKKKNHIKALLVAATDCEPLYLIRLLQGKLRISLAGQTLLAALGQAAVFNENHSTPPSHINSPLEEAAKIVKQVYSVLPVYDKIVPALLSDGVWNLPKSCSFTPGVPVGPMLAKSIKKVSEIADKFQNMEFTCEYKYDGERAQIHYLENGTVEIYSRNAERNTGKYPDVIVTISRLKRKPVKSFVLDCEIVAYNREEKKILPFQILSTRPRKNVTMSDIKVNVCIFAFDILYLNGEPLIQKELEVRRQRLYDSFEEEPGFFQFATAVTSNDLEEIQTFLSAAVDASCEGLIIKTLKKDATYEPSKRSLNWLKLKKDYMDNIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYDFDNEEYQSICKIGIIFK >Manes.11G152000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31585068:31599303:-1 gene:Manes.11G152000.v8.1 transcript:Manes.11G152000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRSCSSLRFIFLPHRSNFFSSFLFSFSSSKSPFLPNSPHLVVKFNPKSTMSSRPSAFDTLMSNARAAAKKKPQSQSTSPKKRKNPAKTLNSVEAETTPNPTIPDSTHSQDETSKSTVDHEIVTKSQISSSENPSLSMVKLGQERVINTKKQRVEIADLKSKIELLKKSPGDFDPKKVLSWEKGERVPFIFVCLGFELIEKESGRILITNMVCNMLRTVMDTTPDDLLALVYLLANKIAPAHEGVELGIGESIIIKALAEAFGRTEKQVKKQLEGTGDLGLVAQASRSSQSMMRKPDPLTVAKVFNTFRLIAKESGKDSQDKKKNHIKALLVAATDCEPLYLIRLLQGKLRISLAGQTLLAALGQAAVFNENHSTPPSHINSPLEEAAKIVKQVYSVLPVYDKIVPALLSDGVWNLPKSCSFTPGVPVGPMLAKSIKKVSEIADKFQNMEFTCEYKYDGERAQIHYLENGTVEIYSRNAERNTGKYPDVIVTISRLKRKPVKSFVLDCEIVAYNREEKKILPFQILSTRPRKNVTMSDIKVNVCIFAFDILYLNGEPLIQKELEVRRQRLYDSFEEEPGFFQFATAVTSNDLEEIQTFLSAAVDASCEGLIIKTLKKDATYEPSKRSLNWLKLKKDYMDNIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYDFDNEEYQSICKIGTGFSEQLLEDLSNGLRSKVIPKPKPYFRHGDKINPDVWFEPSEVWEVKAADLTISPVYSAALGKAERDKGVSLRFPRLVKVRADKSPEQATTSEQVAEMYNAQKPNQPKNQDDSEDD >Manes.11G152000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31585067:31599304:-1 gene:Manes.11G152000.v8.1 transcript:Manes.11G152000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLRSCSSLRFIFLPHRSNFFSSFLFSFSSSKSPFLPNSPHLVVKFNPKSTMSSRPSAFDTLMSNARAAAKKKPQSQSTSPKKRKNPAKTLNSVEAETTPNPTIPDSTHSQDETSKSTVDHEIVTKSQISSSENPSLSMVKLGQERVINTKKQRVEIADLKSKIELLKKSPGDFDPKKVLSWEKGERVPFIFVCLGFELIEKESGRILITNMVCNMLRTVMDTTPDDLLALVYLLANKIAPAHEGVELGIGESIIIKALAEAFGRTEKQVKKQLEGTGDLGLVAQASRSSQSMMRKPDPLTVAKVFNTFRLIAKESGKDSQDKKKNHIKALLVAATDCEPLYLIRLLQGKLRISLAGQTLLAALGQAAVFNENHSTPPSHINSPLEEAAKIVKQVYSVLPVYDKIVPALLSDGVWNLPKSCSFTPGVPVGPMLAKSIKKVSEIADKFQNMEFTCEYKYDGERAQIHYLENGTVEIYSRNAERNTGKYPDVIVTISRLKRKPVKSFVLDCEIVAYNREEKKILPFQILSTRPRKNVTMSDIKVNVCIFAFDILYLNGEPLIQKELEVRRQRLYDSFEEEPGFFQFATAVTSNDLEEIQTFLSAAVDASCEGLIIKTLKKDATYEPSKRSLNWLKLKKDYMDNIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYDFDNEEYQSICKIGTGFSEQLLEDLSNGLRSKVIPKPKPYFRHGDKINPDVWFEPSEVWEVKAADLTISPVYSAALGKAERDKGVSLRFPRLVKVRADKSPEQATTSEQVAEMYNAQKPNQPKNQDDSEDD >Manes.09G127300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33015386:33024187:-1 gene:Manes.09G127300.v8.1 transcript:Manes.09G127300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTMNSVPPFLSKTYDMVDDPSTNSVVSWSSSDNSFVVWNVPEFQKDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRYEFANEGFLKGQKHLLKSISRKKSLNGQSTQPPQVQSSKVSACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQTVGQRVQAMEQRQQQMMSFLAKAMQSPGFLNQLVQQNNESNRLAAGGNKKRRLPRQEEENLAVKTGSNSPNGQIVKFHSSMNEAAKAMLHQILKMNSSSRLEPSINNSAPFLIGNLPSSSALDSSNTSSRISELMLSDVQASFLPVESAFSASHPCHAVSEVQSPSCVVTDHVKTDFISEMKMHNSGQDAILPNFAERQGIMSEISAGVPNTNFVDPETGVGENTTLMPPVLDVAIPEETDAYSSNQDTDILMDGIHKLPGINDVFWEQFLAASPITVDTDEINSSSLLSGMTREQELQSWQENGQDNIQHINHLTEQMELLTSEGQMG >Manes.09G127300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33015386:33024187:-1 gene:Manes.09G127300.v8.1 transcript:Manes.09G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTMNSVPPFLSKTYDMVDDPSTNSVVSWSSSDNSFVVWNVPEFQKDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRYEFANEGFLKGQKHLLKSISRKKSLNGQSTQPPQVQSSKVSACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQTVGQRVQAMEQRQQQMMSFLAKAMQSPGFLNQLVQQNNESNRLAAGGNKKRRLPRQEEENLAVKTGSNSPNGQIVKFHSSMNEAAKAMLHQILKMNSSSRLEPSINNSAPFLIGNLPSSSALDSSNTSSRISELMLSDVQASFLPVESAFSASHPCHAVSEVQSPSCVVTDHVKTDFISEMKMHNSGQDAILPNFAERQGIMSEISAGVPNTNFVDPETGVGENTTLMPPVLDVAIPEETDAYSSNQDTDILMDGIHKLPGINDVFWEQFLAASPITVDTDEINSSSLLSGMTREQELQSWQENGQDNIQHINHLTEQMELLTSEGQMG >Manes.09G127300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33015385:33024187:-1 gene:Manes.09G127300.v8.1 transcript:Manes.09G127300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTMNSVPPFLSKTYDMVDDPSTNSVVSWSSSDNSFVVWNVPEFQKDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRYEFANEGFLKGQKHLLKSISRKKSLNGQSTQPPQELVRLRQQQQATDNQLQTVGQRVQAMEQRQQQMMSFLAKAMQSPGFLNQLVQQNNESNRLAAGGNKKRRLPRQEEENLAVKTGSNSPNGQIVKFHSSMNEAAKAMLHQILKMNSSSRLEPSINNSAPFLIGNLPSSSALDSSNTSSRISELMLSDVQASFLPVESAFSASHPCHAVSEVQSPSCVVTDHVKTDFISEMKMHNSGQDAILPNFAERQGIMSEISAGVPNTNFVDPETGVGENTTLMPPVLDVAIPEETDAYSSNQDTDILMDGIHKLPGINDVFWEQFLAASPITVDTDEINSSSLLSGMTREQELQSWQENGQDNIQHINHLTEQMELLTSEGQMG >Manes.03G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25300640:25302461:1 gene:Manes.03G126100.v8.1 transcript:Manes.03G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGISMEFHLLLIFALFTTIALRGSHASLPAKVYWQSMLPNTPLPKTLQDSLQPSDIGKKNRFSFPEDYPQNGARATYGVGYWPDNRKFVKNSMSNATTVYFLYHDLLPGKNMKIIFTKSTNVSNFLPRKIAESIPFSSNKFPEILNYFSVKSSSKEAQILKQTIEECEALPIRGEDKYCATSLESLVDLVAAKFGQKVQAFYNEAEEENKKQEYTILQGIKMMGENHMVCHKQRYAYAVFYCHRIKDTKVFKVPLMGVDGSKAEAAVVCHMDTSAWHPHHYAFQILNVKPGGPPICHFLNSDAIVWISSS >Manes.03G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1942412:1946236:-1 gene:Manes.03G023700.v8.1 transcript:Manes.03G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVLQTSPPLQMAGNPNWWNINNMKPPTHQQTSPFLNPPSFFPQYYIPSASASSPSSSSSSSSSSSSSCSSSSSSSSLALPSWHDNQDQLPESWSQLLMGGLVDEDDKGNMRHFQAKKMENWEAQILHHQASTTCTVVDVKRENSATSYVYGHANEEFQAAKPSCSQMIPPASSSPMSSVTSFSSNMLDFSTHIGDARHPPSDLSSECNSTATGGALKKARVQQPSSTQSTFKVRKEKLGDRITSLHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSSPYLGSGSSNMKQQQQQQLQSVQGERNCILPEEPGQNYNEEPKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAIGGGFQ >Manes.02G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3015705:3019346:-1 gene:Manes.02G036300.v8.1 transcript:Manes.02G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLISANVAVIGAGASGLVAARELRREGHKVVVFERETQIGGTWVYDPRVETDPLSLDPSRMIIHSSLYSSLRTNLPREVMGFRDYPFIPKTDKTRDPRRYPGHREVLLYLQEFAREFEIEDMVRFETEVVHVGLVEDGKKWKVKSKKKSASNDVEVAPDFRVVDETYDSVVICSGHFTEARTAEISGISSWPGKQMHSHNYRIPGPFLDQVVILIGNATSAIDISREIAHFAKEVHVASRSVADETYQEQPGYDNMWLHSMIESVRDDGSVVFRNGRVVLADIILHCTGYKYNFPFLESNGIVTVDDNRVGPLYKHVFPPVLAPFISFVGLPWKVVPFRMFELQSKWIASVLSGRVALPSQEEMMEDIEAFYLSLEASNIPKRYTHNLADSQFEYNNWLAAQCGCEGFEEWRKQMYYVSCNSRLLRPDAYRDDWDEGHLIMEANNDFAKYTSK >Manes.09G005100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1303362:1307991:1 gene:Manes.09G005100.v8.1 transcript:Manes.09G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRERLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDTVIQFVNPKVQASIAANTWVVSGSPQTKKLQDILPQVLSHLGPDNLDNLKKLAEQIQKQVPSDGAPATAAQEDDDEVPELVAGETFEAAAEESNANPAS >Manes.01G178300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35801319:35804223:-1 gene:Manes.01G178300.v8.1 transcript:Manes.01G178300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDYISSTANSVNQNAIKPLNNFGFTSFSYASDAFTKVRSAAVQNLSHSLHDHDTRSKMGQFATNFAKNTALLACREGLKIFPGGGPFVDIITRSMPEYKTIKNHKKEEIMELKSEVEDQKEKIKKLQALVDKMGK >Manes.18G107600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10647162:10648738:1 gene:Manes.18G107600.v8.1 transcript:Manes.18G107600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAEKERDSLEDVKNEAEAYMLKELSLLKWAKIQENHKTLKEIEVVHKKYIKRQEELGNDLLNCKEEFKEFERQDVKYGEDLKHKKQKIKKLEDKLNKDSSKIEHLTKEWEESTNMIPKLEDDIPKLQKLLLDEERVLEDIVLSEFKIFLKKLKNYLL >Manes.05G113800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21609997:21613666:1 gene:Manes.05G113800.v8.1 transcript:Manes.05G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENKKPAVSDVGAWAMNVVSSVGIIMANKQLMSSNGYAFSFATTLTGFHFAVTALVGLVSNATGLSVSKHVPMWELLWFSIVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKQYSREVKSSVLLVVIGVGVCTVTDVKVNAKGFICACVAVLSTSLQQITIGSLQKKYSIGSFELLSKTAPIQALSLLVVGPFVDYCLNGKFITTYKMSTGAILFIILSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWLLFDSELTFKNIMGMVIAVAGMVVYSWAVEAEKGASAKAIPFTKNSLTEEEIRLLKEGVESVPVKDVELGESKG >Manes.13G065050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8154527:8157559:1 gene:Manes.13G065050.v8.1 transcript:Manes.13G065050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMHMLLLLLSLILADETSAKVPAIIVFGDSSVDAGNNNLISTVLKSNFEPYGRDFEGGRPTGRFCNGRIPPDFISQAFGLKPAIPAYLDPSFTISDFATGVCFASAGTGYDNDTSRVLNVIPLWKELDYYKDYQKKLRDYVGSKKAKEIVSEALYLMSLGTNDFLENYYTFPGRRAQFSVKQYEDFLITIARDFITKLYNLGARKISLTGVPPMGCLPLERTTNFFGLHDCSEEYNQVALEFNGKLESLVSQLNMELSGIKMIFTRSVYDIFYDIIRRPSSYGFEVTEMACCATGTFEMSYLCNEHSISCPDANKYVFWDAFHPTQKANQIISSHLIPTLLSEFH >Manes.02G060900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4716122:4718090:1 gene:Manes.02G060900.v8.1 transcript:Manes.02G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIQTMRKLILVLVLFHLETSYCVPLVPALYVFGDSLFDSGNNNLLPTFAKANFFPYGLNFAKGVTGRFTNGRTLPDFIAEFLRLPYPPPYLSVRTSAPLTGLNFASGACGILPQTGTVLGKCLNLEEQINLFQAIVKLELPKHLKSTIEISNHLAKSIYVFSIGSNDYINNYLQKAIYDSSRKYTPQEFANLLLSKLSLSFERLYKLGARKIVMFEIGPIGCIPSIAKKNRKNGKCDEESNHLVSFFNNRLAGVLQNLTSNLHGSMFVYGHVNWLGYDAIINPSRYGLVDSSNPCCKTWGNGTSACIPLIPPCLNPNKHYFFDAYHLTETVCSVIASRCIKDKSVCSPTITEILLK >Manes.15G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2719618:2723169:1 gene:Manes.15G034800.v8.1 transcript:Manes.15G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDQNKSAGSAGGATGPAAKRGRPFGSTSSNIASSSFGGDIVAPSNLLGPSLQVHSSFLDQNNKRIVLALQSGLKSELTWALNTLTMLSFKEKEDMRKDSLAKIPGLLDALLQVIDDWRDIALPKELSSKPRVRTLGANSLVTGFGSKYEALGSNNNLAHSGLGSGSSVTEVSGQKKAAKVCPSEWWFDEDGLFNLDEEGRAEKQQCAVAASNIIRNFSFMPENEVIMAQHRHCLETVFQCIEDHITEDEELVTNALETIVNLAPLLDLRIFSSAKPSFIKISEKRAIQAIMGMLCSAVKAWHCAAAELLGRMIINPDNETFLLPFVPQIHKRLVDLLSIQALDAQAAAVGALYNLAEVNMDCRLKLANERWAVDRLLKVIKTPHPVPEVCRKAAMILESLVSEPQNRALLLVYENAFTEILFSESRYSDTFARILYELTSRPNYKFTATRGVWGM >Manes.03G042616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3742548:3746145:1 gene:Manes.03G042616.v8.1 transcript:Manes.03G042616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASGFYRHAFLFYFSFLIFNVFVSASIVSHDGRAITIDGKRRVLISGSIHYPRSTAEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFNGNLDLVRFIKTIQAEGLYAVLRIGPYVCAEWNYGGFPVWLHNMPGIELRTANDVFMNEMQNFTTLIVDMMKQEKLFASQGGPIIIAQIENEYGNVMGPYGEAGKAYIDWCANMADSLHIGVPWIMCQQSDAPQPMIDTCNGWYCDSFTPNNPNSPKMWTENWTGWYKNWGGKDPLRTSEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRAAGGPYITTTYDYNAPLDEYGNLNQPKWGHLKQLHDVLHSMEKTLTHGNISTIDYNNSVTATIYATEKGSSCFLANANATSDATVDFHGTKYIVPAWSVSILPDCKSVAYNTAKVKTQTTVMIKKKNQAEDEPSSLKWSWRPENVDNNVHLGKGHFQTRQLLDQKAAANDASDYLWYMTSVHLKENDPVWSSNMTIRVNGSTLHILHAYVNGEYIGSKWAKYGVFNNIFERSVKLKPGRNLISLLSVTVGLPNYGAMYDLIETGVVGPVELVGYKGDERIVKNLSAYKWSYKIGLDGLDYQLYGMDSSHASKWQEHDLPTNRMMTWYKATFKAPLGKDPVVLDLDGMGKGFAWVNGHNIGRYWPTFLAEEDGCSVEPCDYRGPYDSNKCVFNCGKPTQRWYHVPRSFIENDTNTIILFEEFGGNPARVNFQTIGLGSVSGSGIEGDTIELSCQNQPISAIEFASFGDAQETPGSFVKGNCEGSKDAVSIIRKACVGKDKCKIKVSKKLFGSTNCESSIVNTLIVKALC >Manes.03G042616.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3743096:3777955:1 gene:Manes.03G042616.v8.1 transcript:Manes.03G042616.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIELRTANDVFMNEMQNFTTLIVDMMKQEKLFASQGGPIIIAQIENEYGNVMGPYGEAGKAYIDWCANMADSLHIGVPWIMCQQSDAPQPMIDTCNGWYCDSFTPNNPNSPKMWTENWTGWYKNWGGKDPLRTSEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYNAPLDEYGNLNQPKWGHLKQLHDVLHSIEETLTHGDISTIDYNNSVTATIYATEKGSSCFLGNANATSDATVDLHGTKYTVPAWSVSILPDCKSVAYNTAKVKTQTTVMIKKKNQAEDEPSSLKWSWRPENIHTTVRLGKGHFQTRQLLDQKDAANDASDYLWYMTSVHMKEDDPIRSHHMSLRVNGSGYILHAFVNGEYIGSQWARYGVFNYVFEKSINLKLGHNLITLLSATVGLPNYGPMYDLIQAGITGPVELVGRKGDENIIKDLSAHKWSYKVGLHGLENRLYTLNSPQASKWLDHDLPTNKMMTWYKATFKAPLGKEPVVLDLDGMGKGFAWVNGHNLGRYWPTFLAAEDGCSTEPCDYRGPYDNNKCVFNCGKPTQRWYHVPRSFLQNDENTLILFEEFGGNPSKINFQTIKVGSVSGSGNEGDIIELSCHDEPISAIKFASFGDPGRTSESFVKGSCEGSNDASLIIQKACVGKQTCKIQVSKDIFGSTNCDSDVVNTLVVEASC >Manes.03G042616.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3743096:3777955:1 gene:Manes.03G042616.v8.1 transcript:Manes.03G042616.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIELRTANDVFMNEMQNFTTLIVDMMKQEKLFASQGGPIIIAQIENEYGNVMGPYGEAGKAYIDWCANMADSLHIGVPWIMCQQSDAPQPMIDTCNGWYCDSFTPNNPNSPKMWTENWTGWYKNWGGKDPLRTSEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRAAGGPYITTTYDYNAPLDEYGNLNQPKWGHLKQLHDVLHSIEETLTHGDISTIDYNNSVTATIYATEKGSSCFLGNANATSDATVDLHGTKYTVPAWSVSILPDCKSVAYNTAKVKTQTTVMIKKKNQAEDEPSSLKWSWRPENIHTTVRLGKGHFQTRQLLDQKDAANDASDYLWYMTSVHMKEDDPIRSHHMSLRVNGSGYILHAFVNGEYIGSQWARYGVFNYVFEKSINLKLGHNLITLLSATVGLPNYGPMYDLIQAGITGPVELVGRKGDENIIKDLSAHKWSYKVGLHGLENRLYTLNSPQASKWLDHDLPTNKMMTWYKATFKAPLGKEPVVLDLDGMGKGFAWVNGHNLGRYWPTFLAAEDGCSTEPCDYRGPYDNNKCVFNCGKPTQRWYHVPRSFLQNDENTLILFEEFGGNPSKINFQTIKVGSVSGSGNEGDIIELSCHDEPISAIKFASFGDPGRTSESFVKGSCEGSNDASLIIQKACVGKQTCKIQVSKDIFGSTNCDSDVVNTLVVEASC >Manes.03G042616.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3742548:3777955:1 gene:Manes.03G042616.v8.1 transcript:Manes.03G042616.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASGFYRHAFLFYFSFLIFNVFVSASIVSHDGRAITIDGKRRVLISGSIHYPRSTAEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFNGNLDLVRFIKTIQAEGLYAVLRIGPYVCAEWNYGGFPVWLHNMPGIELRTANDVFMNEMQNFTTLIVDMMKQEKLFASQGGPIIIAQIENEYGNVMGPYGAAGKAYIDWCANMADSLHIGVPWIMCQQSDAPQPMIDTCNGWYCDSFTPNNPNSPKMWTENWTGWFKSWGDKDPLRTAEDLAFSVARFFQTGGSFQNYYMYHGGTNFGRTAGGPYITTTYDYNAPLDEYGNLNQPKWGHLKQLHDVLHSIEETLTHGDISTIDYNNSVTATIYATEKGSSCFLGNANATSDATVDLHGTKYTVPAWSVSILPDCKSVAYNTAKVKTQTTVMIKKKNQAEDEPSSLKWSWRPENIHTTVRLGKGHFQTRQLLDQKDAANDASDYLWYMTSVHMKEDDPIRSHHMSLRVNGSGYILHAFVNGEYIGSQWARYGVFNYVFEKSINLKLGHNLITLLSATVGLPNYGPMYDLIQAGITGPVELVGRKGDENIIKDLSAHKWSYKVGLHGLENRLYTLNSPQASKWLDHDLPTNKMMTWYKATFKAPLGKEPVVLDLDGMGKGFAWVNGHNLGRYWPTFLAAEDGCSTEPCDYRGPYDNNKCVFNCGKPTQRWYHVPRSFLQNDENTLILFEEFGGNPSKINFQTIKVGSVSGSGNEGDIIELSCHDEPISAIKFASFGDPGRTSESFVKGSCEGSNDASLIIQKACVGKQTCKIQVSKDIFGSTNCDSDVVNTLVVEASC >Manes.14G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2964338:2969341:1 gene:Manes.14G033100.v8.1 transcript:Manes.14G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEGVSLGVTVKGDEAPENFRVAPRTENSISNLNPSHNPIFSNSNPNPHSNPDPAPNANPTPNTSQFGGPTVISSPATAGTEVKKKRGRPRKYGPDGTLVTALSPMPISSSIPLSGELSAWKRGRGRPLESVKKQYKYENESTGDRIAYFVGANFTPHVLTVNVGEDVMMKVMSFSQQGARAICILSANGTISNVTLRQATSSGGTLTYEGRFEILSLSGSFMPTDNGVTKSRSGGMSVSLAGPDGRVLGGGLAGMLVAAGPVQVVVGSFIPGHQQEQKHKKQRTEISPAIAPISVLSPEELKGAYGGVKPVLIPSSSFHASDNSVPLNPIQAFGNSASDNKNSSPDDESKGPNLSNCEVSS >Manes.12G071100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7629150:7644479:1 gene:Manes.12G071100.v8.1 transcript:Manes.12G071100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDDAKRTLNAFPVSPSVEAKVETKRRKR >Manes.15G071600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5398791:5403095:-1 gene:Manes.15G071600.v8.1 transcript:Manes.15G071600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLSKEKNTQRPRLYNGTAGHKKPQEPIVYQSKSPAKPAYQLPSQPPAQIPRKPAAPAPSPKPVHRPDTILEKPYEDVKLYYTLGKELGRGQFGVTYLCTEISTGKQYACKSISKRKLVTKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYSEKEAATICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGNNALLKATDFGLSVFIQEGKVYRDIVGSAYYVAPEVLRRRYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILQGDIDFDSQPWPSISSSAKDLVRRMLTQDPKKRITSAQVLEHPWLKEGGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIAEDLSTDEIQGLKSMFANIDTDNSGTITYDELKAGLARLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFQYFDKDNSGFITTDELETAMKDFGMGDDATIKEIISEVDTDNDGRINYEEFCTMMRTGNQHQGKLF >Manes.15G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5398791:5403119:-1 gene:Manes.15G071600.v8.1 transcript:Manes.15G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLSKEKNTQRPRLYNGTAGHKKPQEPIVYQSKSPAKPAYQLPSQPPAQIPRKPAAPAPSPKPVHRPDTILEKPYEDVKLYYTLGKELGRGQFGVTYLCTEISTGKQYACKSISKRKLVTKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYSEKEAATICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGNNALLKATDFGLSVFIQEGKVYRDIVGSAYYVAPEVLRRRYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILQGDIDFDSQPWPSISSSAKDLVRRMLTQDPKKRITSAQVLEHPWLKEGGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIAEDLSTDEIQGLKSMFANIDTDNSGTITYDELKAGLARLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFQYFDKDNSGFITTDELETAMKDFGMGDDATIKEIISEVDTDNDGRINYEEFCTMMRTGNQHQGKLF >Manes.15G071600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5398791:5403095:-1 gene:Manes.15G071600.v8.1 transcript:Manes.15G071600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCLSKEKNTQRPRLYNGTAGHKKPQEPIVYQSKSPAKPAYQLPSQPPAQIPRKPAAPAPSPKPVHRPDTILEKPYEDVKLYYTLGKELGRGQFGVTYLCTEISTGKQYACKSISKRKLVTKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYSEKEAATICRAIVNVVHACHFMGVMHRDLKPENFLLSSKGNNALLKATDFGLSVFIQEGKVYRDIVGSAYYVAPEVLRRRYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILQGDIDFDSQPWPSISSSAKDLVRRMLTQDPKKRITSAQVLEHPWLKEGGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIAEDLSTDEIQGLKSMFANIDTDNSGTITYDELKAGLARLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFQYFDKDNSGFITTDELETAMKDFGMGDDATIKEIISEVDTDNDGRINYEEFCTMMRTGNQHQGKLF >Manes.07G098600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30266766:30271103:-1 gene:Manes.07G098600.v8.1 transcript:Manes.07G098600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEMAGKDNVEYIAGGATQNSIKVAQWMLQIPGATNYMGCIGKDKFGEEMKKNSRQAGVNVHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAARNKVFSMNLSAPFICEFFKDAQEKVLPYMDYVFGNGTEARTFAKTDNVEEIAIKISQWHKASGTHKRITVITQGADPVVVAEDGKVRLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVRTGCYASNVIIQRSGCTYPEKPDFS >Manes.07G098600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30266766:30271103:-1 gene:Manes.07G098600.v8.1 transcript:Manes.07G098600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEMAGKDNVEYIAGGATQNSIKVAQWMLQIPGATNYMGCIGKDKFGEEMKKNSRQAGVNVHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAARNKVFSMNLSAPFICEFFKDAQEKVLPYMDYVFGNGTEARTFAKVHGWKTDNVEEIAIKISQWHKASGTHKRITVITQGADPVVVAEDGKVRLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVRTGCYASNVIIQRSGCTYPEKPDFS >Manes.07G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30266766:30271103:-1 gene:Manes.07G098600.v8.1 transcript:Manes.07G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEGILLGMGNPLLDISAVVDEHFLNKYEIKLNNAILAEDKHLPMYEEMAGKDNVEYIAGGATQNSIKVAQWMLQIPGATNYMGCIGKDKFGEEMKKNSRQAGVNVHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAARNKVFSMNLSAPFICEFFKDAQEKVLPYMDYVFGNGTEARTFAKVHGWKTDNVEEIAIKISQWHKASGTHKRITVITQGADPVVVAEDGKVRLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVRTGCYASNVIIQRSGCTYPEKPDFS >Manes.07G098600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30266766:30271103:-1 gene:Manes.07G098600.v8.1 transcript:Manes.07G098600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEGILLGMGNPLLDISAVVDEHFLNKYEIKLNNAILAEDKHLPMYEEMAGKDNVEYIAGGATQNSIKVAQWMLQIPGATNYMGCIGKDKFGEEMKKNSRQAGVNVHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPESIQLVAEHAAARNKVFSMNLSAPFICEFFKDAQEKVLPYMDYVFGNGTEARTFAKTDNVEEIAIKISQWHKASGTHKRITVITQGADPVVVAEDGKVRLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVRTGCYASNVIIQRSGCTYPEKPDFS >Manes.03G165100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29151440:29153138:-1 gene:Manes.03G165100.v8.1 transcript:Manes.03G165100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIFHSPNSDFSSEYSLGSPESFYSQSFNQVPLPFNENDSEEMLLYGLISEATQETSKRCYSNRNYTKEEEVSSVIKGNPKKEMKSFRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGAGAVLNFPVEIVRESLKDMKYSEEEEGCSPVVALKRKHSMRRKMMSRSKRERIENEVVLEDLGADYLEELLTSSENAIRPW >Manes.01G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19852973:19861712:-1 gene:Manes.01G052100.v8.1 transcript:Manes.01G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYFVVFAGLAAVVAALELSKSSRDRINSSSAFNAFKNNYLVVYSLMMAGDWLQGPYVYYLYSQYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACITYCITYILSCITKHSPDYKVLMLGRVLGGIATSLLFSAFESWLVAEHFKRGFDQQWLSMTFSKAIFLGNGLVAIMSGLFGNILVDTLGLGPVSPFDAAACFLAIGMTIIASSWTENYGDFSENKNLLAQFKVAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNGEDIPHGFIFATFMLASMLGSSIASRLMARSSLKVESYMQIVFVTSAASLLLPVVTNFLIVANREKGGGISFSGCIQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPITVMFGMCSIFLFVASLLQRRLLVIAESQKPRSHDWTTMKEMEAEPLNA >Manes.11G065850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9221835:9227911:-1 gene:Manes.11G065850.v8.1 transcript:Manes.11G065850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDEKDITLRGLEAVQKCEKVYMEAYTSLLSFGLSTDGLSTLENLYGKPITIADREMVEEKADDILSSARTSDVAFLVVGDPFGATTHTDLVVRAKELGVDIKVVHNASVMNAVGICGLQLYCYGETVSIPFFTDTWRPDSFYEKIKRNRELGLHTLCLLDIRVKEPSWESLSRGRKKYEPPRYMTINTAIEQLLEIEERRGESAYNEDTRCVGFARLGSEDQMIVTGTMKQLLAVDFGAPLHCLVIVGKTHPLEEEMLDVYKLEGGSPHQKDDGSV >Manes.01G135900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32887504:32890589:-1 gene:Manes.01G135900.v8.1 transcript:Manes.01G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRCSSSQGNMMGQCTCGLFPSQNNSFSMLFSMPNHHKSFDEADMYPFASSSSSVDCTLSLGTPSTRLSEDEDKRIRHERRPSSCMSNFCWDILQTRNTPYAPQTHKTSRGSNSSSNNNAAINDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAANGSSNSNASASSSGTMEQHYAYQNNSWVQTQKMPCFSPANEFRFIEDSDRDSDTGIPFLSWRLNVTDRPSLVHDFTR >Manes.11G094900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19298626:19304233:1 gene:Manes.11G094900.v8.1 transcript:Manes.11G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDVVVLGVEKKSTAKLQDARTVRKIVSLDDHIALVCAGLKADARVLVNRARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGVPSLYQTDPSGAFSAWKANATGRNSNSMREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEIAVMTKEHGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPPKET >Manes.05G147200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24951877:24953414:-1 gene:Manes.05G147200.v8.1 transcript:Manes.05G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSSPQYQSKYTEDEMEVAVVLLNLPGLIAQSEYGLTLPLSWGAKQRRSCDEPSSQSRVRLPSPPSVPSFHGGGGTGLLGPVFDSEKVEASSPASPALFCPRGANEKPELLKGKASVERTKEKRLEIIKQNSRSNELLTEKRKEIKQKRHNYDELKASNLSLQAKKQELSLGNARREVPHLKLKISKNSLNPKVKASTAVGHEDHHNQQPLIVDRTGDKAGIDESHPFGQTHSFYSSSSGLAMGTSMINGDAGPRRIPDLNVIPGEFHSDFAEPVDDDRTLAKAMAAQARMRRMMEICRKKNPCSKSKLRLSDR >Manes.01G066000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26596407:26605617:1 gene:Manes.01G066000.v8.1 transcript:Manes.01G066000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRIPPVITVNKSAAYALYELLYIRDVAYKPQEYHVKTRKCEPDAGGDIVKTCERLRDENGHIIEHTQPTCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRYPGDWFHVFGIGRQSLGFSVRVEVKTRSTVSEVIVGPQNRTVTSKDNFLRVNLIGDFVGYSSVPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGFECNKIGVSYEAFNRQPNFCSSPFWSCLHNQLWNYRDADRHRIDRNQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSDGKILNVTIPTFEALTQFGVATITAQNTGKVEASYSLTFGCSKGVSLMEEQFFIMKPNELADRSFKLYPTTDQAAKYVCAAILKDSNFKEVDRAECHFSTTATILDNGSQITPPQQPKTGRHGFLESIESIGIKLWEGLVDFITGRICRRKCVSFFDFSCHIQYICMSWMVMFGLLLAIFPMVLVLLWLLHQKGLFDPLYDWWEGHVWVHKRRIRHVPRHDTIHHRHHHKHANRRHELRARHHKHDAHSQRSTHQGHRHNHSRRDTDYYLHHVHRDNSKHKRGKKSRFKQQVYMGGIEDYTEHHRHRKEKHYTRTI >Manes.01G066000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26597596:26605617:1 gene:Manes.01G066000.v8.1 transcript:Manes.01G066000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKANTAHCVRYPGDWFHVFGIGRQSLGFSVRVEVKTRSTVSEVIVGPQNRTVTSKDNFLRVNLIGDFVGYSSVPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGFECNKIGVSYEAFNRQPNFCSSPFWSCLHNQLWNYRDADRHRIDRNQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSDGKILNVTIPTFEALTQFGVATITAQNTGKVEASYSLTFGCSKGVSLMEEQFFIMKPNELADRSFKLYPTTDQAAKYVCAAILKDSNFKEVDRAECHFSTTATILDNGSQITPPQQPKTGRHGFLESIESIGIKLWEGLVDFITGRICRRKCVSFFDFSCHIQYICMSWMVMFGLLLAIFPMVLVLLWLLHQKGLFDPLYDWWEGHVWVHKRRIRHVPRHDTIHHRHHHKHANRRHELRARHHKHDAHSQRSTHQGHRHNHSRRDTDYYLHHVHRDNSKHKRGKKSRFKQQVYMGGIEDYTEHHRHRKEKHYTRTI >Manes.01G066000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26596407:26605617:1 gene:Manes.01G066000.v8.1 transcript:Manes.01G066000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRIPPVITVNKSAAYALYELLYIRDVAYKPQEYHVKTRKCEPDAGGDIVKTCERLRDENGHIIEHTQPTCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRYPGDWFHVFGIGRQSLGFSVRVEVKTRSTVSEVIVGPQNRTVTSKDNFLRVNLIGDFVGYSSVPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGFECNKIGVSYEAFNRQPNFCSSPFWSCLHNQLWNYRDADRHRIDRNQIPLYGVEGRFERINQHPVMFQQLYISPQSDGKILNVTIPTFEALTQFGVATITAQNTGKVEASYSLTFGCSKGVSLMEEQFFIMKPNELADRSFKLYPTTDQAAKYVCAAILKDSNFKEVDRAECHFSTTATILDNGSQITPPQQPKTGRHGFLESIESIGIKLWEGLVDFITGRICRRKCVSFFDFSCHIQYICMSWMVMFGLLLAIFPMVLVLLWLLHQKGLFDPLYDWWEGHVWVHKRRIRHVPRHDTIHHRHHHKHANRRHELRARHHKHDAHSQRSTHQGHRHNHSRRDTDYYLHHVHRDNSKHKRGKKSRFKQQVYMGGIEDYTEHHRHRKEKHYTRTI >Manes.01G066000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26596407:26605618:1 gene:Manes.01G066000.v8.1 transcript:Manes.01G066000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRIPPVITVNKSAAYALYELLYIRDVAYKPQEYHVKTRKCEPDAGGDIVKTCERLRDENGHIIEHTQPTCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRYPGDWFHVFGIGRQSLGFSVRVEVKTRSTVSEVIVGPQNRTVTSKDNFLRVNLIGDFVGYSSVPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGFECNKIGVSYEAFNRQPNFCSSPFWSCLHNQLWNYRDADRHRIDRNQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSDGKILNVTIPTFEALTQFGVATITAQNTGKVEASYSLTFGCSKGVSLMEEQFFIMKPNELADRSFKLYPTTDQAAKYVCAAILKDSNFKEVDRAECHFSTTATILDNGSQITPPQQPKTGRHGFLESIESIGIKLWEGLVDFITGRICRKCVSFFDFSCHIQYICMSWMVMFGLLLAIFPMVLVLLWLLHQKGLFDPLYDWWEGHVWVHKRRIRHVPRHDTIHHRHHHKHANRRHELRARHHKHDAHSQRSTHQGHRHNHSRRDTDYYLHHVHRDNSKHKRGKKSRFKQQVYMGGIEDYTEHHRHRKEKHYTRTI >Manes.08G004906.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:923175:924512:1 gene:Manes.08G004906.v8.1 transcript:Manes.08G004906.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGANETQEPSSPKVTCIGQVRVKRSKQAKTQPSKIKCFCKWVRNTLFFLHSNRATLRPNCTLLSWRKRVMFFKVGVRRESKIREDSLKVEPKFGNISEDAGQESEVEDEENKMYVSYSISPPKNALLLTRSRSAL >Manes.11G154500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32141447:32144811:-1 gene:Manes.11G154500.v8.1 transcript:Manes.11G154500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKSRLKFHTITSMVNVLLYVCLLLPLPLGAFSFHTLPSDPCDPANEPGRRNLRADQITVLINGYSESRIPLLQTIAATYSASPLVSSVLVLWGNPSTSSQTLAHLAHNLSISSFGQATISLVRQRSSSLNDRFLPRSSIETQAVLICDDDVEVDHKSFHFAFRIWRSNPDNLIGFFVRSHDLDLSSRKWIYTVHPDKYSIVLTKFMILKSQNLFEYSCKGGSNMSEMRKTVDRMQNCEDILMNFVVADQANKGPILVGAERVRDWGDARNDENDDGRLESENGARKSVKAVGLSSRRGEHRKRRGECIREFHKLLGRMPLRYSYGKVVNSVGEQGLCMKRGKLVFCDQYQ >Manes.11G154500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32141447:32144814:-1 gene:Manes.11G154500.v8.1 transcript:Manes.11G154500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKSRLKFHTITSMVNVLLYVCLLLPLPLGAFSFHTLPSDPCDPANEPGRRNLRADQITVLINGYSESRIPLLQTIAATYSASPLVSSVLVLWGNPSTSSQTLAHLAHNLSISSFGQATISLVRQRSSSLNDRFLPRSSIETQAVLICDDDVEVDHKSFHFAFRIWRSNPDNLIGFFVRSHDLDLSSRKWIYTVHPDKYSIVLTKFMILKSQNLFEYSCKGGSNMSEMRKTVDRMQNCEDILMNFVVADQANKGPILVGAERVRDWGDARNDENDDGRLESENGARKSVKAVGLSSRRGEHRKRRGECIREFHKLLGRMPLRYSYGKVVNSVGEQGLCMKRGKLVFCDQYQ >Manes.11G154500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32141447:32144809:-1 gene:Manes.11G154500.v8.1 transcript:Manes.11G154500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKSRLKFHTITSMVNVLLYVCLLLPLPLGAFSFHTLPSDPCDPANEPGRRNLRADQITVLINGYSESRIPLLQTIAATYSASPLVSSVLVLWGNPSTSSQTLAHLAHNLSISSFGQATISLVRQRSSSLNDRFLPRSSIETQAVLICDDDVEVDHKSFHFAFRIWRSNPDNLIGFFVRSHDLDLSSRKWIYTVHPDKYSIVLTKFMILKSQNLFEYSCKGGSNMSEMRKTVDRMQNCEDILMNFVVADQANKGPILVGAERVRDWGDARNDENDDGRLESENGARKSVKAVGLSSRRGEHRKRRGECIREFHKLLGRMPLRYSYGKVVNSVGEQGLCMKRGKLVFCDQYQ >Manes.11G154500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32141447:32144824:-1 gene:Manes.11G154500.v8.1 transcript:Manes.11G154500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKSRLKFHTITSMVNVLLYVCLLLPLPLGAFSFHTLPSDPCDPANEPGRRNLRADQITVLINGYSESRIPLLQTIAATYSASPLVSSVLVLWGNPSTSSQTLAHLAHNLSISSFGQATISLVRQRSSSLNDRFLPRSSIETQAVLICDDDVEVDHKSFHFAFRIWRSNPDNLIGFFVRSHDLDLSSRKWIYTVHPDKYSIVLTKFMILKSQNLFEYSCKGGSNMSEMRKTVDRMQNCEDILMNFVVADQANKGPILVGAERVRDWGDARNDENDDGRLESENGARKSVKAVGLSSRRGEHRKRRGECIREFHKLLGRMPLRYSYGKVVNSVGEQGLCMKRGKLVFCDQYQ >Manes.10G010300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1116257:1118037:1 gene:Manes.10G010300.v8.1 transcript:Manes.10G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSLHHPPQTTTTTAPAAPSITIATTSDTNSDTSNNSSSDNSRKCKGRGGPDNSKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEDAARAYDRAAIILYGSRAQLNLQPSNFSSAQSSSSSSRGSHSSSTQTLRPLLPRPSGFGFTFSLSASMPSPALTVAPAAASAASGLDPYGVFNHHHHPNVVGSGVLCPSNIVQNPQEQLMLHHQNPLPDVCNFGDSCSTLPITSYQNLNYDYDDHNRYHHQQQQQELGGGGLYEDISSLVGSVGSSLSLSSNTQPVIAPAGQDPVMHVGPGSPSVWPLAIDEYPPPSIWDYEDPSLFDL >Manes.15G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5194279:5196128:-1 gene:Manes.15G068200.v8.1 transcript:Manes.15G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMFVIFMILASFFTVSVTAHFTPCSEPFVLLSRRNHLKKCKKLTTLEAEFAWEIITDHQIEIMFGKRLHANLGWLAWGVNPGKMPQMVGTRAIIGIIYTDGSTFIDTYNITRDTKMGCKLEPTKFNGIAQNEVEFHNMSMEYINELDFFTIQARVILPSAAYNITKLNHVWQIGYGVEGTEPKQHPTILQNADSTETINLKTENGGHVGRHVNYMRAVHGILSILGWGTFLPVGAIIARYLEYPVESFRYCKFCLHVSCQITGYILGTSGWILGLYLGNLSKFYIFRTHRLYSMFIFAFATLQALALFLKPKKSDEYRKNWNMYHHFLGYALLAVISINIFNGIGILKPEVIWRRAYIGILIAFAVIVTVLEIYTWKKFKCNAKSTTSVLDGTTATALAVPQPRP >Manes.09G016710.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3517663:3522847:-1 gene:Manes.09G016710.v8.1 transcript:Manes.09G016710.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESAFLSRAASICLKWQSSTRNTNKSHAKLLVYSRIRPSHSMDDENEVYKQLGFFSLKKKIEDAVLRAEMSAPTALELEEVRRIKQEEIMRGCNLWDDPAKSNEILGRFADSAKAIDALKDLKYKVEEAKLISQLAEVEGINYQLFKQAYSASLDVNKFLDQYEMVKLLKGPYDAEGACVIVKAGPEGLNAEKWAEDLLNMYIKWAKKLGYKSRLVEKHPSVTGYGGTQMVTIEFEFEHAYGYLSGERGIHHMINSRNGSVAVQYEVSN >Manes.15G170900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15499291:15501559:1 gene:Manes.15G170900.v8.1 transcript:Manes.15G170900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Manes.07G133140.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29649747:29658460:1 gene:Manes.07G133140.v8.1 transcript:Manes.07G133140.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGFSTNCFLKSFALLIPSVSSNLFTVSKQSAVFASFSSSVSAKNATHQLKLNHPPRASAEGIPNELIEDSKFVPLNADDPVYGPPALLLLGFELEEAVKIRQLLKELGGEFMKVIFCTEDMITQSLWEAMHTSQPNLETVQIAKSLPRICILSGLTGEDMMMFIDAFPGTGLEAAAFAALVPNSANKPLQELIEEIMGDHEMLVSCELAK >Manes.07G133140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29649747:29658460:1 gene:Manes.07G133140.v8.1 transcript:Manes.07G133140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLGFSTNCFLKSFALLIPSVSSNLFTVSKQSAVFASFSSSVSAKNATHQLKLNHPPRASAEGIPNELIEDSKFVPLNADDPVYGPPALLLLGFELEEAVKIRQLLKELGGEFMKVIFCTEDMITQSLWEAMHTSQPNLETVQIAKSLPRICILSGLTGEDMMMFIDAFPGTGLEAAAFAALVPNSANKPLQELIEEIMGDHEMLTGQQPSSS >Manes.17G005000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2293101:2294609:-1 gene:Manes.17G005000.v8.1 transcript:Manes.17G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWLLFITILCISASLFSLFFHTKNLPPGPPTIPIIGTFSWLLKSSKDFSSIEPLLRQLRPKYGPILTLHIGYRPAIFITTHEAAHRALVQSGSLFASRPPALETTRIFFSNQHTLSTAPYGSLWRLLRRNFMSVTHPSRLRLYSHGRKWAFEILKKKLVEEAESSDVKAFPVVDHFQRAMFCLLAYLCFGENFEEKVIREIETVQRASLTNFIRFNLLNFMPKLGKIVFRRMWKELLQIRRDQESVLLPLIKARQAKNRNIMNKEEPIFSYVDSLLDLRLPGGEREISDGELVSLCSEFINGGTDTSTTTLQWAMANIIKQENIQNKLLKEINAVTEEGSEIQEKDLKRMPYLKAVVLETLRRHPPGHFILPRAVTEDVKFDGYDIPKNAMVNFTVADMAWDPKVWEDPMEFKPERFMRNVEEEVFDIRGVREIKMMPFGAGRRICPAISMALLHLEYFVANLVREFEWSGEVDLSENQEFTTVMKNPLRVRITPRKLLS >Manes.15G191820.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28602233:28603705:-1 gene:Manes.15G191820.v8.1 transcript:Manes.15G191820.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSKVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVHFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQDIRLGDFGLAKMLTSDDFASSVVGTPSYMCPELLVDIPYGSKSDIWSLGRLLCFLKYEDYLSFKEVICSYFLSLSHSHSSFFSG >Manes.01G161200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34641011:34645716:-1 gene:Manes.01G161200.v8.1 transcript:Manes.01G161200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADNDDQHAPLLLPPPTSVSTSQVDEEHSHQSSSPSSSALSYRVNISISNVAPTEITDDVWSCLVVLLTFWFFASMIMILGFYGSVNLLLGPNSSRLVKTNTLFVQSIKVQQLDKPKPGPMLYEFHKSPPLDVEITWTETHNSIVPIDFQKEWVYFLNEGSMVDIFYTIKSPSSSPLSLVIAQGRKSLAEWIDDPSSPNSTLSWNIICGTGKIQQNIFKSSNYYIAVGNLNSKDVEVELKFVMKAFIYNTSQAYYKCSLSHNLCSSKLYLFGPSVAVLTSPGPKEGSLDDDWHVKVSYGPRWITYLIGSGVMTILIFLILRFLNICQASNRGGNQAREIRSERAPLLSQKDDDISSHGSSYDSISQDEQDLDELLAVNSSLEGKTLAQGENLYRLCASCFDARRDCFFLPCGHCVTCFNCGTRIAEETGTCPICRRTIKKVRKIFTV >Manes.07G038914.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4747726:4749490:1 gene:Manes.07G038914.v8.1 transcript:Manes.07G038914.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCNTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKISNEPLPPNRIHVVDLYNYSPQQLAGFLFLCFAAKTYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKPTGSDKAILDGKKPLGFRKSLDYYEGKQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPKQLDEWVLCKIYSNKAEDKKNKNDKDGGTVNIAETEIPKADDVFTAQSLLCDNSLMISQEYENGYGSYLLPPLWSDPPQPILDTVDNDPPPINNTFNNNFVYSVQPIQICQPPSHYSNGFQPIYGLSDINYMVTADMNGYLLVIAEESSPLLESAAAEKSTREFDAQPSSSNQPMPVEGAYDHASSVHREEERQSS >Manes.06G142500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27023292:27027565:-1 gene:Manes.06G142500.v8.1 transcript:Manes.06G142500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDAQGGNSRVFQRLGAQPANDTRQQKVCHHWRAGRCSRHPCPYLHRELPPPAPAGSNGNASKRGFSNDSGFSGPSGTRRSGNFSNSSSWGRVVNNKVSRKAEKVCNFWVQGKCSFGDKCRFLHSWSLGESFSLLTQLDGHKKVVTGIALPSGSDKLYTGSNDETVMVWDCQSGQCSLQCMGVIQLGGEVGCMINEGPWIFVGVPNVVKAWNTQTNTDLSLNGPVGQVYALVVGNDLLFAGTQDGQILAWRFNAVTFNFEPAASLTGHTVAVVSLVVGANRLYSGSMDHSIRVWNLETLQCVQTLKEHSSVVMSVLCWDQFLLSCSLDQTIKVWAATESGNLEVTYTHKEEHGLLTLCGMHDLEGKPVLLCSCNDNSVRLFDLPSFSERGKIYAKQEIRAIQTGPGGLFFTGDGTGQVRVWKCVAEPTAVA >Manes.06G142500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27023292:27027591:-1 gene:Manes.06G142500.v8.1 transcript:Manes.06G142500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDAQGGNSRVFQRLGAQPANDTRQQKVCHHWRAGRCSRHPCPYLHRELPPPAPAGSNGNASKRGFSNDSGFSGPSGTRRSGNFSNSSSWGRVVNNKVSRKAEKVCNFWVQGKCSFGDKCRFLHSWSLGESFSLLTQLDGHKKVVTGIALPSGSDKLYTGSNDETVMVWDCQSGQCMGVIQLGGEVGCMINEGPWIFVGVPNVVKAWNTQTNTDLSLNGPVGQVYALVVGNDLLFAGTQDGQILAWRFNAVTFNFEPAASLTGHTVAVVSLVVGANRLYSGSMDHSIRVWNLETLQCVQTLKEHSSVVMSVLCWDQFLLSCSLDQTIKVWAATESGNLEVTYTHKEEHGLLTLCGMHDLEGKPVLLCSCNDNSVRLFDLPSFSERGKIYAKQEIRAIQTGPGGLFFTGDGTGQVRVWKCVAEPTAVA >Manes.S035016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:895124:896496:1 gene:Manes.S035016.v8.1 transcript:Manes.S035016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKLYMLGKGSRGHHQGLLRRYEGPYPILKKVGKQAYKVGLPANYQIHNVFHVSLLKPFLEDKEDPARSVSTRAPGGIRVQHDKDVEAIISDRVVRHINRAPTRELLVKWKGLPDSEASWEPLDELWQFKEQIMAYEDSKATRTPLEWVGENVAYRKTHPAERANFSHLDQPPGR >Manes.01G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31391769:31393397:1 gene:Manes.01G117000.v8.1 transcript:Manes.01G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSVSEKLMLHRQIKKKGDERKKRFLITINVIGSAGPIRFLVNEDDLVSGVIDTTLKTYSREGRLPVLGFDVNSFLLYSANTGSDALNPQEPIGRCGGGRNFVLCKKQRQPQMTEGRSEMIAHKASGWKAWLNKSFSLKILSHCRHPFS >Manes.14G124300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9849119:9851278:-1 gene:Manes.14G124300.v8.1 transcript:Manes.14G124300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVPKKKKKLIRVYDVNDLFTTEEEPRDEHSTEDENDEEAVASSRNTSHGYHLVRGKMDHGMEDYLVAENRKINGHHLGLYAIFDGHSGRDVAKYLQSHLFDNILSQSEFWKNPKRALKKAYKITDDEILDNIDGSRGGSTAVTAILIDHKRLIVANVGDSRAVLCRNGVVRALSVDHEPEKEKEMVERKGGYVSQMPGNVPRVDGQLAMTRAFGDGRLKEHITCEPDLTSEIIDDDDEFLILASDGLWKVMSNQEVYHCIECLQDAQEASEKLISAALELGSKDDISCIVVIFH >Manes.14G124300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9849119:9851278:-1 gene:Manes.14G124300.v8.1 transcript:Manes.14G124300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVPKKKKKLIRVYDVNDLFTTEEEPRDEHSTEDENDEEAVASSRNTSHGYHLVRGKMDHGMEDYLVAENRKINGHHLGLYAIFDGHSGRDVAKYLQSHLFDNILSQSEFWKNPKRALKKAYKITDDEILDNIDGSRGGSTAVTAILIDHKRLIVANVGDSRAVLCRNGVVRALSVDHEPEKEKEMVERKGGYVSQMPGNVPRVDGQLAMTRAFGDGRLKEHITCEPDLTSEIIDDDDEFLILASDGLWKDAQEASEKLISAALELGSKDDISCIVVIFH >Manes.14G124300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9849120:9851278:-1 gene:Manes.14G124300.v8.1 transcript:Manes.14G124300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAPNLRSDMDSFVPKKKKLIRVYDVNDLFTTEEEPRDEHSTEDENDEEAVASSRNTSHGYHLVRGKMDHGMEDYLVAENRKINGHHLGLYAIFDGHSGRDVAKYLQSHLFDNILSQSEFWKNPKRALKKAYKITDDEILDNIDGSRGGSTAVTAILIDHKRLIVANVGDSRAVLCRNGVVRALSVDHEPEKEKEMVERKGGYVSQMPGNVPRVDGQLAMTRAFGDGRLKEHITCEPDLTSEIIDDDDEFLILASDGLWKDAQEASEKLISAALELGSKDDISCIVVIFH >Manes.14G124300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9849120:9851278:-1 gene:Manes.14G124300.v8.1 transcript:Manes.14G124300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAPNLRSDMDSFVPKKKKKLIRVYDVNDLFTTEEEPRDEHSTEDENDEEAVASSRNTSHGYHLVRGKMDHGMEDYLVAENRKINGHHLGLYAIFDGHSGRDVAKYLQSHLFDNILSQSEFWKNPKRALKKAYKITDDEILDNIDGSRGGSTAVTAILIDHKRLIVANVGDSRAVLCRNGVVRALSVDHEPEKEKEMVERKGGYVSQMPGNVPRVDGQLAMTRAFGDGRLKEHITCEPDLTSEIIDDDDEFLILASDGLWKDAQEASEKLISAALELGSKDDISCIVVIFH >Manes.14G124300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9849628:9851278:-1 gene:Manes.14G124300.v8.1 transcript:Manes.14G124300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAPNLRSDMDSFVPKKKKKLIRVYDVNDLFTTEEEPRDEHSTEDENDEEAVASSRNTSHGYHLVRGKMDHGMEDYLVAENRKINGHHLGLYAIFDGHSGRDVAKYLQSHLFDNILSQSEFWKNPKRALKKAYKITDDEILDNIDGSRGGSTAVTAILIDHKRLIVANVGDSRAVLCRNGVVRALSVDHEPEKEKEMVERKGGYVSQMPGNVPRVDGQLAMTRAFGDGRLKEHITCEPDLTSEIIDDDDEFLILASDGLWKVEIGKF >Manes.14G124300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9849120:9851278:-1 gene:Manes.14G124300.v8.1 transcript:Manes.14G124300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAPNLRSDMDSFVPKKKKLIRVYDVNDLFTTEEEPRDEHSTEDENDEEAVASSRNTSHGYHLVRGKMDHGMEDYLVAENRKINGHHLGLYAIFDGHSGRDVAKYLQSHLFDNILSQSEFWKNPKRALKKAYKITDDEILDNIDGSRGGSTAVTAILIDHKRLIVANVGDSRAVLCRNGVVRALSVDHEPEKEKEMVERKGGYVSQMPGNVPRVDGQLAMTRAFGDGRLKEHITCEPDLTSEIIDDDDEFLILASDGLWKVMSNQEVYHCIECLQDAQEASEKLISAALELGSKDDISCIVVIFH >Manes.14G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9849120:9851278:-1 gene:Manes.14G124300.v8.1 transcript:Manes.14G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAPNLRSDMDSFVPKKKKKLIRVYDVNDLFTTEEEPRDEHSTEDENDEEAVASSRNTSHGYHLVRGKMDHGMEDYLVAENRKINGHHLGLYAIFDGHSGRDVAKYLQSHLFDNILSQSEFWKNPKRALKKAYKITDDEILDNIDGSRGGSTAVTAILIDHKRLIVANVGDSRAVLCRNGVVRALSVDHEPEKEKEMVERKGGYVSQMPGNVPRVDGQLAMTRAFGDGRLKEHITCEPDLTSEIIDDDDEFLILASDGLWKVMSNQEVYHCIECLQDAQEASEKLISAALELGSKDDISCIVVIFH >Manes.10G109280.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26713468:26721684:1 gene:Manes.10G109280.v8.1 transcript:Manes.10G109280.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPVKLSVKRMNSEDPTIEIHAESNDSIKSIHEKILVAARIPVTEQKLFHNGKQLDQCLHTLEDCLIENEAWLELKVELRWDDSDESSALLQKIHKMSSNFCRMCQGESVSEDQDVSDHDYKNILEEFRLPEEGLEILNLYSVPATMVMLYKSPIEGNKYYADFLIRFSMNHLLMSADKLLGDEKALLVLEFCNLLREVSNKDPLYLSCRTTLRKLLEIGKDEIHLNNGLLTMHEILVFFKESLGALLHGLRETTYPTAHVQSLKIQFRDFRTFSRALCQAIVGQAGAAEDGEIVRASNRAIKFGFREVLKRMEAHLSRLLLIVQVSTYTDALVFNDAMRSISFLYGAILKELNSMSQLVKGGRDEFGLVLEGHKCSLQLMIKNFTRKDDYIWLLEHSAVPDSESMIHLLMMKMIPEEKLYDAELYYPLIRWSRYLDVKLYKKFKKKDLTDSQVLQDWLCKLCQILFKPQNRLFLACPNDPTKFYPNPELEPEPFHWDCFEICGKAIALALMHEVHVGVALHRVFLLQLAGKDISVEDVRDADPSFYNNEAYKEPFHDDDQIQNEFIKSIAEKIRFFKKGFDSVFEKSIFQQLSDNGIEPEDLNLVLKGSIELEFNSDENLEDKQNDALMPQDNESDPLTYRYLKFNLQKLNIPKWQKGKRLGEGKFGKVFEGYAPGGFFFAIKEIKIEPEVNIEQIYDEIRLLCQLRHPNIVKYYGMEERERDLYIFLELVTTGSLQRYENFKLEDSQVSYYTKQILEGLKYLHERNVAHRDIKCANILVNEKGGVKIADFGLAKVMELNTLMKTSYYGTRGWMAPEVAKWGNQYGFKADIWSLGCTVLEMLTRKPPHVMESGKLLDLPDLPSEHSRDFIKKCLQVNPDDRPSAEELLQLPFVQGFGL >Manes.04G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32491054:32493221:1 gene:Manes.04G124000.v8.1 transcript:Manes.04G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPGNRIIQAKLVLLGDMGTGKTSLVLRFIKGQFFDQQEPTIGAAFFTQILSLAEATVKFDIWDTAGQERYHSLAPMYYRGAAAAIVMYDISSMDTFIRAKKWVQELQRQGNPNLVIALVANKSDLDSKREVQNEEGEQSAQENGMFFIETSAKNAYNINELFYEIGKRLARTHSPKPTGVNLNNETQSRTRKLLCCAE >Manes.06G059100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18513410:18515471:1 gene:Manes.06G059100.v8.1 transcript:Manes.06G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPISSLLSILLLLVLSPSSTVSVPIQDSFLHCLTFHSPIVIPFSTAFYTPNNSSFFSFLQSSAQNLRYLLPSVPKPEFIFTPLHETHIQAAVICSKQLGIHIRVRSGGHDYEGVSYASEIETPFIIVDLSRLRSVTVDIEDNSAWAQAGATVGEAYYRIAEKSKIHGFPAGLCSSLGIGGHITGGAYGSMMRKYGLGADNVIDARIIDANGRVLDRQAMGEDLFWAIRGGGGGSFGIIVAWKLKLVPVPETVTVFTVTKTLEEGGTSILYRWQQVADKLDEDLFIRVIIQPAAIGNSTKRTVTTSYNALFLGDANRLLQVMQSSFPELGLTRKDCMETSWIGSVLYIAGYPSTTPPEVLLQGKSLFKNYFKAKSDFVREPIPETGLEGLWQRLLEEESPLMIWNPYGGMMSRISESEIPFPHRKGTLFKIQYLSNWQDGEKNAEKHMNWIRKLYNYMTPYVSMFPRTAYVNYRDLDLGMNKKTNTSFMEAAAWGNKYFKDNFNKLVKVKTNVDPDNFFRHEQSIPPLPISLRRQRRGRGGGRKD >Manes.14G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1927019:1931365:1 gene:Manes.14G018900.v8.1 transcript:Manes.14G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDMEIEEIEAVLEKIWDLHDKLSDAIHSISRIHFLNSVRNLRKSDKKKPSNDAAEENRSGFVFVKDFRVYDNDSAIQEAKSLNAIRTALENLEDQLEFFHTVQIQQRAERDAAIARLEQSRIVLAMRLAEHHGKKYKVIEEALAFVGDVRDASRFVSPENLYGASQCLSGENHVRHEEKESNIAIKVLISGLDYVRKSLKLDHVGGLLGNAALFAVSMIVLLHLHPEAYKEHPYKQELIYDNRNVRKAPQAERSSSSVNLDHLDVMLARG >Manes.17G110000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31673912:31682046:1 gene:Manes.17G110000.v8.1 transcript:Manes.17G110000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAVDVPPMGGFSFDLCRRNDMLAKKGVNPPSFRKTGTTIVGIVFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVITALTLLKKHLFNYQGYVSAALVLGGVDCTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAIFESKYREGLNRDEGIKLVCEAICSGVFNDLGSGSNVDVCVITKGHKEYLRNHMLPNPRTYISSRGYTFPKKTEVLLTKITPLKAKEEVTDGGDAMEE >Manes.17G110000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31673912:31682046:1 gene:Manes.17G110000.v8.1 transcript:Manes.17G110000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAVDVPPMGGFSFDLCRRNDMLAKKGVNPPSFRKTGTTIVGIVFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVITALTLLKKHLFNYQGYVSAALVLGGVDCTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAIFESKYREGLNRDEGIKLVCEAICSGVFNDLGSGSNVDVCVITKGHKEYLRNHMLPNPRTYISSRGYTFPKKTEVLLTKITPLKAKEEVTDGGDAMEE >Manes.17G110000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31673928:31682046:1 gene:Manes.17G110000.v8.1 transcript:Manes.17G110000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAVDVPPMGGFSFDLCRRNDMLAKKGVNPPSFRKTGTTIVGIVFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVITALTLLKKHLFNYQGYVSAALVLGGVDCTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAIFESKYREGLNRDEGIKLVCEAICSGVFNDLGSGSNVDVCVITKGHKEYLRNHMLPNPRTYISSRGYTFPKKTEVLLTKITPLKAKEEVTDGGDAMEE >Manes.08G025600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2513967:2517013:1 gene:Manes.08G025600.v8.1 transcript:Manes.08G025600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYINSLCRNLKPEIVRDSGGRMLLCNCRPSPMVHSSPKIFIASSTSLYRPLFTTVKSMATDRVTATSTSSPPRRAMSSASEARVSLVFALVSQASNVSQRFLFDLANETAKYVFPKRFENRNLEEALMAVPDLESVKYKVLSRGDQYEIREVEPYFIAETTMPGKTGFDFSGASRSFNVLAEYLFGKNTTKEKMEMTTPVFTRKAQSLGERMEMTTPVITKKMEDQDKWKMSFVMPSKYGANLPLPKDPTVEIKEVPKKFAAVVAFSGFVTDEDVKQREMKLRNALKNDMQFRVKEGASPEVAQFNPPFTLPFMRRNEIALEVERKEE >Manes.15G092100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7164679:7169402:-1 gene:Manes.15G092100.v8.1 transcript:Manes.15G092100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSKGVAWVGNICQRIETMCYEVDNIVKQDAVRYVENQLHTVGESMKKICADVQDLIPPIVDPVRCETQAVALKANAAVSTYIKSRIGIEEDHGHTAPIKQSLVEPSDFDTVKNRPSNELSGHHLVYQSNTPTSVQSLEGEKFNSAPEKIDDVSPSNELSGQHFVNQSNAPTYGESLEGAESDSIPVKNDNVSLSNETCIHQLVNQSNIPTSEEGLKGVESDSAPINVDDVSTNWNSGLSREAIIMKDNFDATVAFESVGLHEKEKLLSSEFSGSNHEHSPVSVAEFLPATSFDGEEFQAHQKVEIVCYSPADDSDSQSDDSSAVALSEMAFSIASSYGSMFTEPRTLPENSFNDVVTNLASYGNPNNVAGNDSDSSNVLVSSMSAPIVPGNREIAEAVHTCSNSVLSLESTGCSNYSSNFGDTITDFGMENIDMADKVKLEDSCVIVDNRMLYEVSRRIRKLRSYKKKVQDAFTSNKRLSKEYEQLAIWYGDLDMEGSQDTTLQGQLQSSNSVTFDPDYRIHQIHDSEWELL >Manes.17G088500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29657328:29658416:-1 gene:Manes.17G088500.v8.1 transcript:Manes.17G088500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFHGNETVRSISHSPTHHFLLQAGPRLKPMQQVHAHIIVSGSGRSRSLLTKLLNLACAAGSIGYTHRIFLTVTKPDSFLFNSLIKSTSKFHFSIYSLYLYSCMLLCNISPSNYTFTSVIKSCADMSFLKLGKIIHGHVLVNGFGLDVYVQAALVAFYGKSGDLGNARKVFDKMPFRSIVAWNSMISGYEQNGYGKNAISLFKKMREAGIEPNSTTFVSLLSACAQLGALGMGCWVHEYIARHGLNLNVVLGTSLINV >Manes.08G161500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39714059:39714754:-1 gene:Manes.08G161500.v8.1 transcript:Manes.08G161500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESSQWDVDNRKMKRKVQEEPMSIPEETDLSLDLRLSSNEVDVDEPKLELNLLGFLDHGSGKGLESGDRNKKTEGKRFFHCKYCNKKFTNSQALGGHQNAHKRERSLQKREKGLDLVPYRFMGASLYPFAGTGGSEFPNHGISMFPKPPYRFSLHHGGPGFAYEGWSRPPAMNPQASMQDNRWAANGAFPPLELMGMNRRPPLGSRVESSSLANLNVGGGGGHLDHDLS >Manes.17G113800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32078539:32079592:-1 gene:Manes.17G113800.v8.1 transcript:Manes.17G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSNFLVALAVLALASSFATAYDPSPLQDFCVATNATKSAVFVNGKFCKDPARVTVDDFFFSGLNVVGDTSNQLGVHVNIVSADVIPGLNTNGITLVRIDYAANGGLNPPHYHPRAAEILTVLEGTVYAGFVTSNPGHRLFAKVLKPGDVFVFPFGLIHFQLNIGKTPAVALAALSSQNPGVNTIANAVFGSEPPINPDVLTKAFHLDKDLVSKLQKEHWVDPSEG >Manes.07G108300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31430709:31433237:-1 gene:Manes.07G108300.v8.1 transcript:Manes.07G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKSKPELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKREMYFPMRKYAIKM >Manes.18G070000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6331375:6332911:1 gene:Manes.18G070000.v8.1 transcript:Manes.18G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRAPMKRTTSMSEITFDLDSESVPPSSDPRIAHKQVAGFGVQLDQRFLSATVAPRTHRRASADSLETAHFLRVCSLCKRRLIPGRDIYMYRGDSAFCSLECRQQQMNQDERKEKCSLASKKEVATSNTAPEVSTKGETVAAL >Manes.01G203500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37602004:37603499:1 gene:Manes.01G203500.v8.1 transcript:Manes.01G203500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDALTEEQIAEFREAFCLIDKDSDGFITMEELATVIQSLDGHPTKEEVGDMISEVEFDGNGTIDFDEFLSIIGRKMKENVTEELKEAFKVFDRNQDGYISANELRQVMINLGERLTEEEAEQMIREADLNGDGLVSYEEFARMMMAF >Manes.01G220300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38848382:38851706:-1 gene:Manes.01G220300.v8.1 transcript:Manes.01G220300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEQKNVADVNNVSTGTEVPPNVTIYINNLNEKIKIDELKKSLHAVFSQFGKILEILAFKTLKHKGQAWVVFEDVQSATNAMRQMQSFPFYDKPMRIQFAKTKSDIIAKADGTFVPREKRKRHEEKGKKKKEHHDANQAGIGLAPAYAGAYGATPPLSQIPYPGGAKSMVPEAPAPPNNILFIQNLPNETTPMMLQMLFQQYPGFKEVRMVETKPGIAFVEYGDEMQSTVAMHGLQGFKIQQNTMLITYAKK >Manes.02G211201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29550998:29551822:-1 gene:Manes.02G211201.v8.1 transcript:Manes.02G211201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPNIPVSLKEKERKGRRANEKKKVNESLSLRLKIDRRRGRFSTVISWLVVLHNHGSVVFDEGAILLNLDILRALIASCGNRRSCSYVR >Manes.02G211201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29550998:29551906:-1 gene:Manes.02G211201.v8.1 transcript:Manes.02G211201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPNIPVSLKEKERKGRRANEKKKVNESLSLRLKIDRRRGSLLFLSCRNCGGFVLFSVSCICFICFAGSVVFDEGAILLNLDILRALIASCGNRRSCSYVR >Manes.02G211201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29550998:29551822:-1 gene:Manes.02G211201.v8.1 transcript:Manes.02G211201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPNIPVSLKEKERKGRRANEKKKVNESLSLRLKIDRRRGSLLFLSCRNCGGFVLFSVSCICFICFAGSVVFDEGAILLNLDILRALIASCGNRRSCSYVR >Manes.09G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16154698:16158386:-1 gene:Manes.09G074700.v8.1 transcript:Manes.09G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIEEDDDDFLSQVAEAEAHALSNSQPNKRRRVINSLDVVQKEEEKVVEGYYIAALRGSKSLLWQQQQRSLLNNATRAKPLAPSKGSNGSGAVIDSRRSSELLVPEKSCPCGLGACVVFTANTQRNLNRKFYKCPVRQENGGCGFFEWCDGGSAWNSSNSMFPDLPCPCGDGSCLVLTAKTGKNIGQQFYRCPANQGSSCGFFKWCNDNVVVASPPASASKVYNSMNDSSDKSNGIRTGSSCFKCGMEGHWAKNCSTSSGSHSHSPVELGERAASANTCYKCSKPGHWARNCTASQYMKK >Manes.12G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34410368:34411461:-1 gene:Manes.12G137300.v8.1 transcript:Manes.12G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLMLLFALSLCVLPGLVSARRARRPFHIQGRVYCDTCRCGFETPKTTYIPGARVRIECQDRATLQLRYSVEGVTDSTGTYKIKVEDDHLDELCYATLVSSPVANCRRADPGRSRSEVVLTRNNGAISNLHFANSLGYFRDEPLSGCAELVKHLLESE >Manes.16G057300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:16547784:16626751:1 gene:Manes.16G057300.v8.1 transcript:Manes.16G057300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVSSSSVEHFWLFLLFFSLSFPFYHAQNATTDPSEVRALNLVFAEWGAEAVELWNISGEPCSGSAINGTAFEDGNNNPAIKCDCSYNSNTTCHITQLRVYALEKRGRIPEAIVGLKYLTLLKIDQNYFTGPLPAFIGNLTTLKTLSIAHNALSGSIPKEIGNLKELTLLSIGVNNFSGTLPPEIGNLVKLEQIYINSCGLGGEIPSTFAALQSMRILWASDSAFSGKIPDFIGNWTNLQSLRLQGNSFEGPIPSSFSNLTSLDSLRISDLYNVSSTLDFIKNMKSLSDLNLRNALISDTIPSDIAEYTRLQRLDLSFNNITGSIPSSLFNMSSLESLILGNNSLSGSLSSQKSNTLQTIDLSYNYLSGSFPSWVSSNLQLNLVANNFTFDSSNISILPRLNCLQRNFPCNRNPPLYAKFSIKCGGKERITSDGTVFEAENSSLGGATFNVTSSEKWAVSNVGIYADRTSPDYFEPTLAQVKSTNVPELYQTSRISPSSLRYYGLGLQNGEYTISLFFAETKFDDESSQTWESLGRRIFDIYIQGSLQQKDFEISKEAGGVERAIIKKFNVTVSENYLEIHLFWAGKGTCCTPEQGYYGPIISALSVNPDFTPNVSGIPPDLREKKRNIGLIVGVTVSAGIVGLVLLFLFLYLNRKREKDDEDVFAGIGPKPNTFSYAELRTATEDFNPSNKLGEGGFGPVYKGKLIDGREVAVKQLSVESNQGNSQFIAEIATISAVQHRNLVKLYGCCVEGNRRLLVYEYLQNKSLDKALFAKNSFQLDWATRFNICMGTARGLAYLHEESMPRIVHRDVKASNILIDAELCPKISDFGLAKLYDDKKTHISTRIAGTIGYLAPEYAMRGHLTEKADVFSFGVVALEILSGRPNSDNGLPEEQVYLLELAWNLHESNQSLALVDPSLTEFDENEALKLIGVALLCTQGSPLMRPTMSRVVGMLAGDMEVNHVISKPSYLTDWDFKDLTSAFSNQDSALSVEAKTIQPNDDNNAEVEAMHTPVNLTAPSLSDLIGEGR >Manes.16G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11315277:11318286:1 gene:Manes.16G051000.v8.1 transcript:Manes.16G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNKLLNMGVLIVATLIVAKLISTFIMPRSKKRLPPVVSGWPLVGGLIRFLKGPIVMLREEYPKLGSVFTVNLAHWKITFLIGPEVSAHFFKAPEADLSQQEVYQFNVPTFGPGVVFDVDYTVRQEQFRFFTESLRVNKLKGYVDQMVMEAEDYFSKWGDTGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDRARKRLAEIFANIIASRKLAAKSENDMLQCFIDSKYKDGRSTSESEITGLLIAALFAGQHTSSITSTWTGAYLLRYKEYLSAVLEEQKTLMRKHGNKVDHDVLSEMDVLYRSIKEALRLHPPLIMLLRSSHSDFTVTTREGKEYDIPKGHIVATSPAFANRLSHVYKDPDRYDPDRFAVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRRKLSVD >Manes.16G051000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11315498:11318190:1 gene:Manes.16G051000.v8.1 transcript:Manes.16G051000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNKLLNMGVLIVATLIVAKLISTFIMPRSKKRLPPVVSGWPLVGGLIRFLKGPIVMLREEYPKLGSVFTVNLAHWKITFLIGPEVSAHFFKAPEADLSQQEVYQFNVPTFGPGVVFDVDYTVRQEQFRFFTESLRVNKLKGYVDQMVMEAEDYFSKWGDTGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDRARKRLAEIFANIIASRKLAAKSENDMLQCFIDSKYKDGRSTSESEITGLLIAALFAGQHTSSITSTWTGAYLLRYKEYLSAVLEEQKTLMRKHGNKVDHDVLSEMDVLYRSIKEALRLHPPLIMLLRSSHSDFTVTTREGKEYDIPKGHIVATSPAFANRLSHVYKDPDRYDPDRFAVGREEDKVAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRRKLSVD >Manes.06G017700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2903664:2937191:-1 gene:Manes.06G017700.v8.1 transcript:Manes.06G017700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFKSSPYRRRRDLEAGDPSTDDESSGPFDILSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQVIRAAYRFKAAGEQANGNVELHATPTGDFGIDQDQLSTMTRDHKLEFLEQIGGIKGLSDILKTNIEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYKQSLQFQNLNEEKRNIHMEVIRGGKRVNVSIYDIVVGDVVPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKSSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLVVLMIRFFTGHGKDPDGKIRFKAGKTSVSDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKVDPPENKSQLSPKLLSLLIEGIAQNTNGSVFIPEGGGDLEVSGSPTEKAILVWGVKMGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVRIHWKGAAEIVLSSCTAYIDGNDDIIPMDDEKALLFKKAIEDMAASSLRCIAIAYRSYEMDKVPVGEEELSRWSLPEDDLVLLAIIGLKDPCRPSVKEAVQLCQSAGVKVRMVTGDNLQTARAIALECGILGLDEDAVEPTLIEGRVFREYSVEEREKHVEKILVMGRSSPNDKLLLVQALRKKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQAAYQVTVLLILNFRGKSLLDLKHDNAEYANKVKNTIIFNSFVLCQIFNEFNARKPDEVNIFEGITKNHLFMGIVAVTLILQIIIIEFIGKFTSTVKLNWKQWLVSVAIGFISWPLAFVGKLIPVPETPLHKFFLRFSRHTNPQSTET >Manes.06G017700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2903845:2955007:-1 gene:Manes.06G017700.v8.1 transcript:Manes.06G017700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFKSSPYRRRRDLEAGDPSTDDESSGPFDILSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQVIRAAYRFKAAGEQANGNVELHATPTGDFGIDQDQLSTMTRDHKLEFLEQIGGIKGLSDILKTNIEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYKQSLQFQNLNEEKRNIHMEVIRGGKRVNVSIYDIVVGDVVPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKSSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLVVLMIRFFTGHGKDPDGKIRFKAGKTSVSDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKVDPPENKSQLSPKLLSLLIEGIAQNTNGSVFIPEGGGDLEVSGSPTEKAILVWGVKMGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVRIHWKGAAEIVLSSCTAYIDGNDDIIPMDDEKALLFKKAIEDMAASSLRCIAIAYRSYEMDKVPVGEEELSRWSLPEDDLVLLAIIGLKDPCRPSVKEAVQLCQSAGVKVRMVTGDNLQTARAIALECGILGLDEDAVEPTLIEGRVFREYSVEEREKHVEKILVMGRSSPNDKLLLVQALRKKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQAAYQVTVLLILNFRGKSLLDLKHDNAEYANKVKNTIIFNSFVLCQIFNEFNARKPDEVNIFEGITKNHLFMGIVAVTLILQIIIIEFIGKFTSTVKLNWKQWLVSVAIGFISWPLAFVGKLIPVPETPLHKFFLRFSRHTNPQSTET >Manes.06G017700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2903666:2937279:-1 gene:Manes.06G017700.v8.1 transcript:Manes.06G017700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFKSSPYRRRRDLEAGDPSTDDESSGPFDILSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQVIRAAYRFKAAGEQANGNVELHATPTGDFGIDQDQLSTMTRDHKLEFLEQIGGIKGLSDILKTNIEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYKQSLQFQNLNEEKRNIHMEVIRGGKRVNVSIYDIVVGDVVPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKSSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLVVLMIRFFTGHGKDPDGKIRFKAGKTSVSDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKVDPPENKSQLSPKLLSLLIEGIAQNTNGSVFIPEGGGDLEVSGSPTEKAILVWGVKMGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVRIHWKGAAEIVLSSCTAYIDGNDDIIPMDDEKALLFKKAIEDMAASSLRCIAIAYRSYEMDKVPVGEEELSRWSLPEDDLVLLAIIGLKDPCRPSVKEAVQLCQSAGVKVRMVTGDNLQTARAIALECGILGLDEDAVEPTLIEGRVFREYSVEEREKHVEKILVMGRSSPNDKLLLVQALRKKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQAAYQVTVLLILNFRGKSLLDLKHDNAEYANKVKNTIIFNSFVLCQIFNEFNARKPDEVNIFEGITKNHLFMGIVAVTLILQIIIIEFIGKFTSTVKLNWKQWLVSVAIGFISWPLAFVGKLIPVPETPLHKFFLRFSRHTNPQSTET >Manes.06G017700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2902969:2955007:-1 gene:Manes.06G017700.v8.1 transcript:Manes.06G017700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFKSSPYRRRRDLEAGDPSTDDESSGPFDILSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQVIRAAYRFKAAGEQANGNVELHATPTGDFGIDQDQLSTMTRDHKLEFLEQIGGIKGLSDILKTNIEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYKQSLQFQNLNEEKRNIHMEVIRGGKRVNVSIYDIVVGDVVPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKSSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLVVLMIRFFTGHGKDPDGKIRFKAGKTSVSDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKVDPPENKSQLSPKLLSLLIEGIAQNTNGSVFIPEGGGDLEVSGSPTEKAILVWGVKMGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVRIHWKGAAEIVLSSCTAYIDGNDDIIPMDDEKALLFKKAIEDMAASSLRCIAIAYRSYEMDKVPVGEEELSRWSLPEDDLVLLAIIGLKDPCRPSVKEAVQLCQSAGVKVRMVTGDNLQTARAIALECGILGLDEDAVEPTLIEGRVFREYSVEEREKHVEKILVMGRSSPNDKLLLVQALRKKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQAAYQVTVLLILNFRGKSLLDLKHDNAEYANKVKNTIIFNSFVLCQIFNEFNARKPDEVNIFEGITKNHLFMGIVAVTLILQIIIIEFIGKFTSTVKLNWKQWLVSVAIGFISWPLAFVGKLIPVPETPLHKFFLRFSRHTNPQSTET >Manes.06G017700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2903836:2955008:-1 gene:Manes.06G017700.v8.1 transcript:Manes.06G017700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFKSSPYRRRRDLEAGDPSTDDESSGPFDILSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQVIRAAYRFKAAGEQANGNVELHATPTGDFGIDQDQLSTMTRDHKLEFLEQIGGIKGLSDILKTNIEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYKQSLQFQNLNEEKRNIHMEVIRGGKRVNVSIYDIVVGDVVPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKSSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLVVLMIRFFTGHGKDPDGKIRFKAGKTSVSDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKVDPPENKSQLSPKLLSLLIEGIAQNTNGSVFIPEGGGDLEVSGSPTEKAILVWGVKMGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVRIHWKGAAEIVLSSCTAYIDGNDDIIPMDDEKALLFKKAIEDMAASSLRCIAIAYRSYEMDKVPVGEEELSRWSLPEDDLVLLAIIGLKDPCRPSVKEAVQLCQSAGVKVRMVTGDNLQTARAIALECGILGLDEDAVEPTLIEGRVFREYSVEEREKHVEKILVMGRSSPNDKLLLVQALRKKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVCLESKVSMISNYGAGLVSGCSMG >Manes.06G017700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2903845:2937020:-1 gene:Manes.06G017700.v8.1 transcript:Manes.06G017700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDHKLEFLEQIGGIKGLSDILKTNIEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYKQSLQFQNLNEEKRNIHMEVIRGGKRVNVSIYDIVVGDVVPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKSSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLVVLMIRFFTGHGKDPDGKIRFKAGKTSVSDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKVDPPENKSQLSPKLLSLLIEGIAQNTNGSVFIPEGGGDLEVSGSPTEKAILVWGVKMGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVRIHWKGAAEIVLSSCTAYIDGNDDIIPMDDEKALLFKKAIEDMAASSLRCIAIAYRSYEMDKVPVGEEELSRWSLPEDDLVLLAIIGLKDPCRPSVKEAVQLCQSAGVKVRMVTGDNLQTARAIALECGILGLDEDAVEPTLIEGRVFREYSVEEREKHVEKILVMGRSSPNDKLLLVQALRKKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQAAYQVTVLLILNFRGKSLLDLKHDNAEYANKVKNTIIFNSFVLCQIFNEFNARKPDEVNIFEGITKNHLFMGIVAVTLILQIIIIEFIGKFTSTVKLNWKQWLVSVAIGFISWPLAFVGKLIPVPETPLHKFFLRFSRHTNPQSTET >Manes.06G017700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2903845:2955009:-1 gene:Manes.06G017700.v8.1 transcript:Manes.06G017700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFKSSPYRRRRDLEAGDPSTDDESSGPFDILSTKNASIERLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQVIRAAYRFKAAGEQANGNVELHATPTGDFGIDQDQLSTMTRDHKLEFLEQIGGIKGLSDILKTNIEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAVSDYKQSLQFQNLNEEKRNIHMEVIRGGKRVNVSIYDIVVGDVVPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKSSREPFLMSGCKVADGSGTMLVTSVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLVVLMIRFFTGHGKDPDGKIRFKAGKTSVSDAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVDAYVGGKKVDPPENKSQLSPKLLSLLIEGIAQNTNGSVFIPEGGGDLEVSGSPTEKAILVWGVKMGMNFDAVRSESTVIHVFPFNSQKKRGGVALQLPDSEVRIHWKGAAEIVLSSCTAYIDGNDDIIPMDDEKALLFKKAIEDMAASSLRCIAIAYRSYEMDKVPVGEEELSRWSLPEDDLVLLAIIGLKDPCRPSVKEAVQLCQSAGVKVRMVTGDNLQTARAIALECGILGLDEDAVEPTLIEGRVFREYSVEEREKHVEKILVMGRSSPNDKLLLVQALRKKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQAAYQVTVLLILNFRGKSLLDLKHDNAEYANKVKNTIIFNSFVLCQIFNEFNARKPDEVNIFEGITKNHLFMGIVAVTLILQIIIIEFIGKFTSTVKLNWKQWLVSVAIGFISWPLAFVGKLIPVPETPLHKFFLRFSRHTNPQSTET >Manes.02G116700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8955145:8958905:-1 gene:Manes.02G116700.v8.1 transcript:Manes.02G116700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFPDRDTTYTKVFVGGLAWETQSHTLRRHFQQYGDIFEAVVISDKNTGRSKGYGFVTFRDPDSARRACADPNPIIDGRRANCNLASLGRTSASQRSPIPPFGTTRSPRPAYPHPLPYGYQPGFFYPPYGYATYGSEYVYPQGVYNPYGGQHYIQVYGMPGTVNPGLSSRGQFGQPIHGYTTAQNYGMPGHQIAQFGGLATRTATAAFPSSQAPHLSDLCSLFSRNRYARFRTGTDNIVC >Manes.02G116700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8955145:8958905:-1 gene:Manes.02G116700.v8.1 transcript:Manes.02G116700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFPDRDTTYTKVFVGGLAWETQSHTLRRHFQQYGDIFEAVVISDKNTGRSKGYGFVTFRDPDSARRACADPNPIIDGRRANCNLASLGRTSASQRSPIPPFGTTRSPRPAYPHPLPYGYQPGFFYPPYGYATYGSEYVYPQGVYNPYGGQHYIQVYGMPGTVNPGLSSRGQFGQPIHGYTTAQNYGMPGHQIAQFGGLATRTATAAFPSSQAPHLSGTPDSGQAQIILSANSPQFTSSSDQTAN >Manes.02G116700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8955145:8958905:-1 gene:Manes.02G116700.v8.1 transcript:Manes.02G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFPDRDTTYTKVFVGGLAWETQSHTLRRHFQQYGDIFEAVVISDKNTGRSKGYGFVTFRDPDSARRACADPNPIIDGRRANCNLASLGRTSASQRSPIPPFGTTRSPRPAYPHPLPYGYQPGFFYPPYGYATYGSEYVYPQGVYNPYGGQHYIQVYGMPGTVNPGLSSRGQFGQPIHGYTTAQNYGMPGHQIAQFGGLATRTATAAFPSSQAPHLSGTGTPDSGQAQIILSANSPQFTSSSDQTAN >Manes.18G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6441016:6449233:1 gene:Manes.18G071700.v8.1 transcript:Manes.18G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTQLSALRGMGIYEPFHHLSSWGDPFRGDGSLNIDSSAIVQVDAGLNNKTEYVSQDSMEPSRSDQEGNKPADKVLRRLAQNREAARRSRLRKKAYVQQLESSQLKLVQLEQELERARQQGVYISSAPDSSHSGLPGALNSGITTFEIEYGHWIEEHHKQISELRNALQARITDIELRILVENGLNHYNNLFRMKADAANADVFYLISGKWRTSVERFFQWIGGFRPSELLNVLMSQLEPLTDQQLVDVCNLRQSSQQAEDALSQGIDKLQQTLAQSIAADITSGGSYAAQMAAAVEKLEALEGFVNQADHLRQQTLQQMSRILTTRQAARGLLALGEYFHRLRALSSLWAARPREPT >Manes.14G012610.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:838441:839493:-1 gene:Manes.14G012610.v8.1 transcript:Manes.14G012610.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYRLSNYISLTVVCREKFFLCHRSVSFSISCPSGHGESFFAVGLLVESIMSPLYLKICKDVVGIARGTPFERFEWEAKE >Manes.02G044051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3614812:3616334:1 gene:Manes.02G044051.v8.1 transcript:Manes.02G044051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGNQKDVATNKRFEESLRPYAFSIGTNTSWLLGHEQRQEFKTNGWYCSWVTIESSSKLNLKRKVL >Manes.04G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34017033:34022749:-1 gene:Manes.04G141700.v8.1 transcript:Manes.04G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKQKWTAEEEEALLNGVAKHGPGKWKNILKDPDFAPFLTQRSNIDLKDKWRNLSVSNSAQGSKDKSRAAKVKTVTVTPPSNAPNSAPADGSADALMDDLSNNAADGKNAPRYNAMIFEALSTIKDTNGCDISAIVHFIEQRHEVPQNFRRLLSSRLRRLVSQGKLEKVQNCYRISKDASLGTKTPTPKQKDVRPRQSQNSGLLSSKTVEEAAKAAAYNVAEAENKSFLAAEAVKEAERVSKLAEDTDSTLQLVKEIYEQCSRGEIVLLA >Manes.05G148200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25081659:25082359:1 gene:Manes.05G148200.v8.1 transcript:Manes.05G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPQRDSFVIQIRPTHNHHQLEAADLHHPAAVSSSKFINFSGMKIFNRFRKILMRLLFSLPSHSHHASSGTSSSSKQRNCERFDPPKTSCSSYYSSQSHYSEAIADCIEFLNKSSQEGILDGRKSDVLV >Manes.11G165300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32969581:32977478:-1 gene:Manes.11G165300.v8.1 transcript:Manes.11G165300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPPNSSTRLPQQNVTQNPLKRQLPFSSMKPPFSAPGDYHRFSSEPRRVADHDVEAIVVKPPPFKRKSDAADHEAESSEWNTSTGFTEVVNSPLQTPVSGKGGKAPKTSRLSKSSKSGPQNAASHLGSPGSNLTLTGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEADENVSSLQAEVENLNIEEHRLDDRIREVQERLRDLSEEETNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEQAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGVEPPKSYPSTSGFNENLATTMVPGESREKEIEMLGQDDHRMCSDLNTSQDFVSGIMKIVPTDVDSDADYWLLSDAGVSITDMWRTEPGVEWSEFGTLHDDYSMANISIPRPQTPPSNPTEVPSGANTTAG >Manes.11G165300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32969581:32977478:-1 gene:Manes.11G165300.v8.1 transcript:Manes.11G165300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPPNSSTRLPQQNVTQNPLKRQLPFSSMKPPFSAPGDYHRFSSEPRRVADHDVEAIVVKPPPFKRKSDAADHEAESSEWNTSTGFTEVVNSPLQTPVSGKGGKAPKTSRLSKSSKSGPQNAASHLGSPGSNLTLTGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEADENVSSLQAEVENLNIEEHRLDDRIREVQERLRDLSEEETNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGVEPPKSYPSTSGFNENLATTMVPGESREKEIEMLGQDDHRMCSDLNTSQDFVSGIMKIVPTDVDSDADYWLLSDAGVSITDMWRTEPGVEWSEFGTLHDDYSMANISIPRPQTPPSNPTEVPSGANTTAG >Manes.11G165300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32969581:32977543:-1 gene:Manes.11G165300.v8.1 transcript:Manes.11G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPPNSSTRLPQQNVTQNPLKRQLPFSSMKPPFSAPGDYHRFSSEPRRVADHDVEAIVVKPPPFKRKSDAADHEAESSEWNTSTGFTEVVNSPLQTPVSGKGGKAPKTSRLSKSSKSGPQNAASHLGSPGSNLTLTGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEADENVSSLQAEVENLNIEEHRLDDRIREVQERLRDLSEEETNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEQAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGVEPPKSYPSTSGFNENLATTMVPGESREKEIEMLGQDDHRMCSDLNTSQDFVSGIMKIVPTDVDSDADYWLLSDAGVSITDMWRTERILLKVLHSVCLI >Manes.11G165300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32969581:32977543:-1 gene:Manes.11G165300.v8.1 transcript:Manes.11G165300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQPPNSSTRLPQQNVTQNPLKRQLPFSSMKPPFSAPGDYHRFSSEPRRVADHDVEAIVVKPPPFKRKSDAADHEAESSEWNTSTGFTEVVNSPLQTPVSGKGGKAPKTSRLSKSSKSGPQNAASHLGSPGSNLTLTGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEADENVSSLQAEVENLNIEEHRLDDRIREVQERLRDLSEEETNQKWLFVTEEDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEIHGVEPPKSYPSTSGFNENLATTMVPGESREKEIEMLGQDDHRMCSDLNTSQDFVSGIMKIVPTDVDSDADYWLLSDAGVSITDMWRTERILLKVLHSVCLI >Manes.02G041300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3382592:3384549:-1 gene:Manes.02G041300.v8.1 transcript:Manes.02G041300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNSEESSNSKMVMNKGAWTAEEDKILAEYIEVHGAKRWKAVAMKAGLKRCGKSCRLRWLNYLRPNIKRGNISDEEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINKMERTPESSIPQESIPDNAAAAAQDMMEEGSQGAVFPELSFDADGFFDFSMEGSCSLEWVNKFLELDEDPWLADKS >Manes.02G041300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3382592:3384549:-1 gene:Manes.02G041300.v8.1 transcript:Manes.02G041300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVNSEESSNSKMVMNKGAWTAEEDKILAEYIEVHGAKRWKAVAMKAGLKRCGKSCRLRWLNYLRPNIKRGNISDEEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINKMERTPESSIPQESIPDNAAAAAQDMMEEGSQGAVFPELSFDADGFFDFSMEGSCSLEWVNKFLELDEDPWLADKS >Manes.12G099300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:24007680:24008588:-1 gene:Manes.12G099300.v8.1 transcript:Manes.12G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRRIPKPSKWFPNKSLRLSFHRRRSKSSSTLSSPSSPLSPCTQRNNLKENELKEVFRHFDGDGDQKISALELRSYFGSIGEYISHEQAQAVIDDLDSDGDRLLDFNDFFKLMKREANEEDDDLKKAFEMFEMEKGSGCITPKSLQRMLHRLGDAKSYDECVAMIHVFDIDGNGVIDFQEFHQMMA >Manes.02G062900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4821328:4825684:-1 gene:Manes.02G062900.v8.1 transcript:Manes.02G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRREVFFFFTIFLWFWTAANGLLSPKGVNYEVQALMGIKASLHDPHGVLDNWDGDAVDPCSWTMVTCSPESLVIGLGTPSQNLSGTLSPSIGHLTNLQIVLLQNNNITGPIPGELGKLSNLHTLDLSNNFFVGEIPSSLGHLMSLQYMRLNNNSLSGAFPMSLANMTQLIFLDLSFNNLSGPLPRFPAKTFNIVGNPLICPTGSEPECFGTTLMPMSMNLNSTQTALPSGRPRNHRIALAFGSSVGSVSLIILILGLLLWWRQRQDQPTFFDVKDRHHEEVSLGNLRRFQFRELQAATNHFSNKNILGKGGFGNVYKGILHDGTVVAVKRLKDGNAVGGDIQFQTEVEMISLAVHRNLLRLYGFCITPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLEMLVDKDLKGNYDRIELEEMVQVALLCTQFLPSQRPKMSEVVRMLEGDGLAERWEASQRAEATKSKPHEFSSSDRYSDLTDDSSLLVQAMELSGPR >Manes.14G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9975840:9978447:-1 gene:Manes.14G125600.v8.1 transcript:Manes.14G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEETQVVAEVVIPQEDPKKVVEESKEDVQEDCKVKEVEDDDGSKPKTVQKSSSYKEESNFLSDLKEFEKKALNELKSKLEEAILGNNLFKKDEPKKKEKEKSVNKEETEKEQEAKEGEGSEKQVQEESEKNEECEEEKKPEVAVEENGEGIDKDISIWGIPLLPSKGAEGTDVVLLKFLRAREFKVNDAFEMLKKTLQWRKESNIDSILDEDLGVDLSSAFYMNGIDREGHPVCYNIYGVFGNEELYNKAFGTEENRKQFLRWRFQLMEKGIRKLDLKPGGVTSLLQISDLKNSPSPSKKDLRLAMKQAVGLLQDNYPELVARNIFINAPFWYYALNALLSPFLTQRSKSKFVVARPAKVTETLLKYIPAEEIPVQYGGLKRENDFEFSTEDGGASELVIKAGSTETIEIPAAEVGATLIWDLSVLGWEVNYKEEFVPSEDGSYTIIISKEKKMSSAEGAIRNTFRTNELGKVLLIIENSSNKKKRIMYRYKTKKSAFF >Manes.14G125600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9975840:9978508:-1 gene:Manes.14G125600.v8.1 transcript:Manes.14G125600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEETQVVAEVVIPQEDPKKVVEESKEDVQEDCKVKEVEDDDGSKPKTVQKSSSYKEESNFLSDLKEFEKKALNELKSKLEEAILGNNLFKKDEPKKKEKEKSVNKEETEKEQEAKEGEGSEKQVQEESEKNEECEEEKKPEVAVEENGEGIDKDISIWGIPLLPSKGAEGTDVVLLKFLRAREFKVNDAFEMLKKTLQWRKESNIDSILDEDLGVDLSSAFYMNGIDREGHPVCYNIYGVFGNEELYNKAFGTEENRKQFLRWRFQLMEKGIRKLDLKPGGVTSLLQISDLKNSPSPSKKDLRLAMKQAVGLLQDNYPELVARNIFINAPFWYYALNALLSPFLTQRSKSKFVVARPAKVTETLLKYIPAEEIPVQYGGLKRENDFEFSTEDGGASELVIKAGSTETIEIPAAEVGATLIWDLSVLGWEVNYKEEFVPSEDGSYTIIISKEKKMSSAEGAIRNTFRTNELGKVLLIIENSSNKKKRIMYRYKTKKSAFF >Manes.10G066950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9744648:9745271:-1 gene:Manes.10G066950.v8.1 transcript:Manes.10G066950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKNINFQFGLRPFILQAYSSSTSLIPLLAPIIFFFLIGPSSLLISSFIHLVSPVKSLSILVTLCSHHLLLKESRFCISIVYRLSFFLTWRQWRFKGGFFFVSFVACP >Manes.01G096100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29704143:29705206:1 gene:Manes.01G096100.v8.1 transcript:Manes.01G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALGAAVSMEGALEKLHLQTMSLHCKYIQRQITCQQFLKPSSAGIAEDFSPIHIKHSSFLSRHRDPVKVSAMRRRRIYQSTETYVLLEPGEDEKFVSEEELKAKLKDYLENWPSPALPPDLARFQTIDDAVSFLVSSVCELEIDGDVGSVQWYQVRLE >Manes.04G063966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23872917:23879243:1 gene:Manes.04G063966.v8.1 transcript:Manes.04G063966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFALFINSIFIFIQSYSCDAKDLKACKFDAIYQSGDSISDTGNSIVEMPQLYNARFPYGQTIHKATGRSSDGYLIIDYIAQSAGLPLLEPYENPNSTFSHGVNFAVAGATASSIKTIINWHIPLPYTNSSLYVQNKWLKKHLSAICNDKKEYKRKLKHALYMIGTVGCNDYIIAFQYGKSIEEVKVMVPRVIQSIKTAIRKVIDYGAYRVVVPGAFQLGYYNDFFMYHNNHLQVALQKIRKKNPHIHIIYGDLYGALEWILDNFSNLGFKSLRKGCCGIGGRFNYNPSIKTMCGAHGVPICSNPKEYVFWDGSHFTHQANKYMSKWLIKDILPQLHCNI >Manes.02G010000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1061639:1063240:-1 gene:Manes.02G010000.v8.1 transcript:Manes.02G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIKPSARQGQQEEEDLEKYSHQEKELEAGFGKKSDDIDEKGSLKLKIMLTKEELEWLMFQLKVNKGKKLEDVLQEIERERERGKVKSWKPSLESILESPEGLEMERL >Manes.01G241900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40307414:40308274:1 gene:Manes.01G241900.v8.1 transcript:Manes.01G241900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTCVYALFSSKDYPTSTFTKSFSRLFGNDHVVFLDNEGKSVQISIDRSTGSGFISQKVYLHAYFSASIKLQAGYTAGVVTTFYTSNVNMYETSSHDELDFEFLGNIEGQQWIVQTNVYGNGSINRGREERYNLWFDPTQDFHDYGILWTHKWIVFYVDNIPIREMQRVDAMGGDFPSKPMSLYATIWDGSSWATAPIDYTYAPFVANYSNFVLQGCSVDPSHKPPKCDGEFELGLSYKGLTIEERTRMKKFRSKHMTYSYCHDRSRYPSPLPECVMNPEEVRS >Manes.10G015300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1502234:1507471:-1 gene:Manes.10G015300.v8.1 transcript:Manes.10G015300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQKQAEEEMVSRFNETEHEEKEEDQNKGDDSIFSLKSILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIIFQVFYGFLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPAWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVDGVIHSGPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSATAVYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSIFLRALTRLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPIFLPSWTAMYLMNAFVVIWVFVVGFGLGGWASMTNFIRQVDTFGLFAKCYQCPPPAAAAKH >Manes.10G015300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1502412:1507477:-1 gene:Manes.10G015300.v8.1 transcript:Manes.10G015300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQKQAEEEMVSRFNETEHEEKEEDQNKGDDSIFSLKSILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIIFQVFYGFLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPAWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVDGVIHSGPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSATAVYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSIFLRALTRLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPIFLPSWTAMYLMNAFVVIWVFVVGFGLGGWASMTNFIRQVDTFGLFAKCYQCPPPAAAAKH >Manes.10G015300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1502422:1507434:-1 gene:Manes.10G015300.v8.1 transcript:Manes.10G015300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQKQAEEEMVSRFNETEHEEKEEDQNKGDDSIFSLKSILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIIFQVFYGFLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPAWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVDGVIHSGPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSATAVYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSIFLRALTRLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPIFLPSWTAMYLMNAFVVIWVFVVGFGLGGWASMTNFIRQVDTFGLFAKCYQCPPPAAAAKH >Manes.10G015300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1502234:1507471:-1 gene:Manes.10G015300.v8.1 transcript:Manes.10G015300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQKQAEEEMVSRFNETEHEEKEEDQNKGDDSIFSLKSILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIIFQVFYGFLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPAWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVDGVIHSGPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSATAVYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSIFLRALTRLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPIFLPSWTAMYLMNAFVVIWVFVVGFGLGGWASMTNFIRQVDTFGLFAKCYQCPPPAAAAKH >Manes.10G015300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1502232:1507485:-1 gene:Manes.10G015300.v8.1 transcript:Manes.10G015300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQKQAEEEMVSRFNETEHEEKEEDQNKGDDSIFSLKSILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIIFQVFYGFLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPAWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAIVHGQVDGVIHSGPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTIPSATAVYWAFGDQLLTHSNAFSLLPKSGWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHDTKSIFLRALTRLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPIFLPSWTAMYLMNAFVVIWVFVVGFGLGGWASMTNFIRQVDTFGLFAKCYQCPPPAAAAKH >Manes.03G017100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1429756:1431387:-1 gene:Manes.03G017100.v8.1 transcript:Manes.03G017100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCREPKPVESGDHCGSFVVPGPDVRAGDWYCTCGAHNYASRLSCFKCGASKNDSSGGSASDMSRMRGFEFGVGGSNISRSGWKSGDWICSRAGCNEHNFASRTECYRCNAPRDLSSSKASR >Manes.07G029600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3201925:3203146:-1 gene:Manes.07G029600.v8.1 transcript:Manes.07G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMKKKMLLLILIIIGGSISCVVGVEYQRYPWEEGKKEKIACQLVRKAHTRRSSYLACEDVKRNHMTISSTKHENLLHIKMLTNPRPSVSPPAKIG >Manes.15G116300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9287634:9293336:1 gene:Manes.15G116300.v8.1 transcript:Manes.15G116300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIKFSTPRIEAVPVIKACESGRNVGKNYDSRACDARSTKRKPVVPGANKRGPSCVVDGQPEKKQRMDSSVTHQFFSLLNSLIKHPCGWAFREPVDPVALNIPDYFSIISNPMDLGTIKSKLEKNQYSGAEEFVADVRLIFSNAMLYNPPTNYVHQMAESMNKFFESRWKSLEAKWNRELSKSGDENILSGKSLRLNCPETPPLPNAVIPKRSKPSEDRAIKSSLNTQTAEVKLSKPPENCIHKTLQQSSYKGNSSGRHACYSVSVDPSSSPAVNECGKSDISSERSTGRDDNACGADASKPDFQRKSMPASQMSRSDPESDGAVSALDDENACPSSQLMTPATDATSQEGWRPPSFDVQLSPTKALRAAILKRRFADTILKAQQKALLDHGDKADPVKIQQEKEKLERRQREEKAWVEAQIRAAEAASRLREEIELKKQREKEREAARVALQKMERTADIDQNLEILKELEMLSGASLAVGACSGSPLERLGLFIKDDIWDEDGMVLNGDEEEGEIFT >Manes.15G116300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9287634:9293336:1 gene:Manes.15G116300.v8.1 transcript:Manes.15G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIKFSTPRIEAVPVIKACESGRNVGKNYDSRACDARSTKRKPVVPGANKRGPSCVVDGQPEKKQRMDSSVTHQFFSLLNSLIKHPCGWAFREPVDPVALNIPDYFSIISNPMDLGTIKSKLEKNQYSGAEEFVADVRLIFSNAMLYNPPTNYVHQMAESMNKFFESRWKSLEAKWNRELSKSGDENILSGKSLRLNCPETPPLPNAVIPKRSKPSEDRAIKSSLNTQTAEVKLSKPPENCIHKTLQQSSYKGNSSGRHACYSVSVDPSSSPAVNECGKSGRSPFQRSFPSDSTHDSSDISSERSTGRDDNACGADASKPDFQRKSMPASQMSRSDPESDGAVSALDDENACPSSQLMTPATDATSQEGWRPPSFDVQLSPTKALRAAILKRRFADTILKAQQKALLDHGDKADPVKIQQEKEKLERRQREEKAWVEAQIRAAEAASRLREEIELKKQREKEREAARVALQKMERTADIDQNLEILKELEMLSGASLAVGACSGSPLERLGLFIKDDIWDEDGMVLNGDEEEGEIFT >Manes.15G116300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9287634:9293336:1 gene:Manes.15G116300.v8.1 transcript:Manes.15G116300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKIKFSTPRIEAVPVIKACESGRNVGKNYDSRACDARSTKRKPVVPGANKRGPSCVVDGQPEKKQRMDSSVTHQFFSLLNSLIKHPCGWAFREPVDPVALNIPDYFSIISNPMDLGTIKSKLEKNQYSGAEEFVADVRLIFSNAMLYNPPTNYVHQMAESMNKFFESRWKSLEAKWNRELSKSGDENILSGKSLRLNCPETPPLPNAVIPKRSKPSEDRAIKSSLNTQTAEVKLSKPPENCIHKTLQQSSYKGNSSGRHACYSVSVDPSSSPAVNECGKSGRSPFQRSFPSDSTHDSSDISSERSTGRDDNACGADASKPRKSMPASQMSRSDPESDGAVSALDDENACPSSQLMTPATDATSQEGWRPPSFDVQLSPTKALRAAILKRRFADTILKAQQKALLDHGDKADPVKIQQEKEKLERRQREEKAWVEAQIRAAEAASRLREEIELKKQREKEREAARVALQKMERTADIDQNLEILKELEMLSGASLAVGACSGSPLERLGLFIKDDIWDEDGMVLNGDEEEGEIFT >Manes.01G087900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28942678:28948563:-1 gene:Manes.01G087900.v8.1 transcript:Manes.01G087900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATATASSLALHTRMIASPAASLRTSKSNRTLAYSSSSSSLRTSLSTSFFSPSAVGGTAISDFSGIKIRPECLNPASISSSKGKRSVVTMVIPYTRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGSKGNRSALPSSTIMIKQPIGRFQGQATDVDLARKEVKNVKAELVNLLAKHIGKSPEQIEADISRPKYFNPAEAVEYGIIDKVIYNERSPEDRGVVSDLKKAQLI >Manes.01G087900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28940890:28948563:-1 gene:Manes.01G087900.v8.1 transcript:Manes.01G087900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATATASSLALHTRMIASPAASLRTSKSNRTLAYSSSSSSLRTSLSTSFFSPSAVGGTAISDFSGIKIRPECLNPASISSSKGKRSVVTMVIPYTRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGSKGNRSALPSSTIMIKQPIGRFQGQATDVDLARKEVKNVKAELVNLLAKHIGKSPEQIEADISRPKYFNPAEAVEYGIIDKVIYNERSPEDRGVVSDLKKAQLI >Manes.01G087900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28940890:28948563:-1 gene:Manes.01G087900.v8.1 transcript:Manes.01G087900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATATASSLALHTRMIASPAASLRTSKSNRTLAYSSSSSSLRTSLSTSFFSPSAVGGTAISDFSGIKIRPECLNPASISSSKGKRSVVTMVIPYTRGSAWEQPPPDLASYLYKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGSKGNRSALPSSTIMIKQPIGRFQGQATDVDLARKEVKNVKAELVNLLAKHIGKSPEQIEADISRPKYFNPAEAVEYGIIDKVIYNERSPEDRGVVSDLKKAQLI >Manes.17G121328.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32608553:32609472:1 gene:Manes.17G121328.v8.1 transcript:Manes.17G121328.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVKTLNKVDVMIFPQGLLHFQINAGGTAAVAVVSFNSLELGLQITDFALFGNRLPSKLVEKTTFLDDAQVKKLKGVLGGTG >Manes.10G024300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2383989:2387187:1 gene:Manes.10G024300.v8.1 transcript:Manes.10G024300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLWFAHSICLLLFHLHFQAFPSLSFSFNSSSAAIQCQYDQSLALLQFKTSLSVKSAPSPWSFLHHPKPYLKTESWKEGTDCCWWDGITCDMETGNVIGLHLSNSFLYGPIYSNNPLFSLRHLRKLDLSLNDFNHSRIVPQFGQFSKLTHLNLSYSGFVGQIPSEITYLSGLLSLDLSWNYDLISETTIFTKLVQNLTQLRELDLSEVNMSVVAPSSLMNLSSSLTSLKLEFCEMQGKIPDISRLSKLVSLDLSWNFGGLTIEPMIFDKLDRNLTKIRDLAFGDVNMSMVEPSSLMNISSYLSSLGLRYCELKGKFPDNIIQRSNLQLLDLLGNEDLNGSLPRHNWNNSLRSLSLSLTQITIYLDHDFISNLKSLETLELCQCNFRVSNLKFLGMLTRLITLDISFNNFSGQIPSSLGSLKQLSYLYLSDNNFSGEIPSSFKNLKQLQELWLQNNHCSGPIPHYFTNFTLLYVLYLSNNKFTGPIPFQVGGFSSLISLYLSNNLLNATIPPSVFILPQLRYLLLDNNQLTGRLGPFQENPLVHIDLSNNKLYGFIPSSIFKLVDLNVLILSSNKLIGEVSSAVCNLNSLKILDLSNNSLNGFIPQCLGNFSNDLSVLHLGTNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMRTPNFSYDYSVRLTLKGVEIELVRIQTLLTTIDLSGNKFTGEIPQSIGKLKALKLLNFSHNQLTGNIQPSLRESSNLESLDLSSNFLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDKSSYEGNLGLCGFPLEKCNNGERQKPEISKEDDSNSKFGFGWQPVVAGYGCGVIFGIAMGYRVFKTRKPIWFVRIVEGQRRAKPKRFKN >Manes.02G017301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1611186:1613065:-1 gene:Manes.02G017301.v8.1 transcript:Manes.02G017301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPNIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQNKPVGRPMGGPPGDRPRGPSRFDGERRFGGDRDGYRGGPRGPGVEFGDKGGAPADYRPTYGGSSGRPGFGRGAGGFGAGSASSNLP >Manes.07G130300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33207500:33210698:-1 gene:Manes.07G130300.v8.1 transcript:Manes.07G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQDWPEPIVRVQSLSERCLEEIPDRYIKPPHERPSIKSPSSSSSSPRDDINIPIIDLGGLSGDDDNLRASILREISMACRTWGFFQVINHGVNPELLDSGRKIWREFFHLPMEEKQKYANSPKTYEGYGSRLGVEKGAILDWSDYYFLHYLPLSLKDNNKWPGLPANCREVIEEYGKQVVELCGRLMKVLSINLGLDEARLQDAFGGENIGACLRVNFYPKCPQPDLALGLSSHSDPGGMTLLLPDDHVTGLQVRRGDDWITVKPAPHAFIVNIGDQIQVLSNAIYKSVEHRVIVNSAKERVSLALFYNPKSDIPIKPLKELVTPERPSLYQPMTFDQYRLFIRTMGPRGKSQVEALKSPR >Manes.18G034800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2945067:2948006:-1 gene:Manes.18G034800.v8.1 transcript:Manes.18G034800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKACPFQFLIRNATLFSLQRLASFSSYSSAIPRSLSSSTDEDDVEAEEGGSSVYRHALQHQRPTTIRWQPQLENSVSFIGRVGGPLEIYKTKGDDFGAYTFIHVGYPGRSNCTFRMQVEMRDDMAKVGNQHLKKNDTVYVSGRLGSYKKADGNGNLISFYKIIVKDLYYVAPCDQGPISQNPEELQSKACQKSVESQSKPCQKSVESQSKPIERTKESQSVRGESGLGNCANHLYLWQLFFCKPYEWWDKRKNKQNSSSPDFKHKNTGENLWLRPDDPPWVKRQLQLLDLEIAKQRRAQGGCESGIGRKSQHHLWHVFFRNPHEWRDNRQNKKNSRSPDFKHKFSGEALWMSEDDPPWVKRQLQFHDSNMAKQGQGENVFKWKFDGEELI >Manes.18G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2945067:2948006:-1 gene:Manes.18G034800.v8.1 transcript:Manes.18G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKACPFQFLIRNATLFSLQRLASFSSYSSAIPRSLSSSTDEDDVEAEEGGSSVYRHALQHQRPTTIRWQPQLENSVSFIGRVGGPLEIYKTKGDDFGAYTFIHVGYPGRSNCTFRMQVEMRDDMAKVGNQHLKKNDTVYVSGRLGSYKKADGNGNLISFYKIIVKDLYYVAPCDQGPISQNPEELQSKACQKSVESQSKPCQKSVESQSKPIERTKESQSVRAMSLATDSGESGLGNCANHLYLWQLFFCKPYEWWDKRKNKQNSSSPDFKHKNTGENLWLRPDDPPWVKRQLQLLDLEIAKQRRAQGGCESGIGRKSQHHLWHVFFRNPHEWRDNRQNKKNSRSPDFKHKFSGEALWMSEDDPPWVKRQLQFHDSNMAKQGQGENVFKWKFDGEELI >Manes.18G034800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2945066:2948006:-1 gene:Manes.18G034800.v8.1 transcript:Manes.18G034800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEMRDDMAKVGNQHLKKNDTVYVSGRLGSYKKADGNGNLISFYKIIVKDLYYVAPCDQGPISQNPEELQSKACQKSVESQSKPCQKSVESQSKPIERTKESQSVRGESGLGNCANHLYLWQLFFCKPYEWWDKRKNKQNSSSPDFKHKNTGENLWLRPDDPPWVKRQLQLLDLEIAKQRRAQGGCESGIGRKSQHHLWHVFFRNPHEWRDNRQNKKNSRSPDFKHKFSGEALWMSEDDPPWVKRQLQFHDSNMAKQGQGENVFKWKFDGEELI >Manes.01G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3367037:3407640:1 gene:Manes.01G013600.v8.1 transcript:Manes.01G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFEYFVVCGLGPELRTLDGNKGYHGKGEMYLPSLLDQYPPDDHSLYPPPPPQLPTCVLPAGVEFYSSGFDSNDDSTFPRSYPIVLTEGDGSKIYVTCIAFRDPVSEDIAEAYRIPANSFADKCICLVSRSPSFRILRNALEEIFALCFSPSGSSKPLWDVIAHMVSNVPLPTPGKDRVLFAIENCLLSVEAPPKEGLPHVDISFQPLVQCLDVDNLIKFFTAVLLERRILLRSNKYSILTLVSEGICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLAMDGVVVVDLDYNQISTTEEIPPIPEPELSSLRSDILKLLFPNVMGIDQVKAGVFSSSVQYCKGYNKPWGEDHDLQLRLIFLKFFASILGGYRNFIENGATQVFNAQAFLRKRSRSTNQPTEPMITQFLDTHGFLDYLERAGGSNENNINLLDKLQDAIGRGQNPISILPMSLEEPEFITISDDDVGAGAGAKYTYDRFPSNIRSEEQEEKRKQILAAASGAFEYIKHAPSSPSVQVGKDSLSPMERAAERERMVLDIKVKLQGLWLRLLKLGATDDPLSSFEYGTILALIESDAEGIGGSGFVECISEHIHSGWHCQLTDEQFIAVKELLKTAINRATSRNDVSTIRDALEVSAEMYKKDANNVSDYVQRHLISLSIWEELRFWEGYFDHLMEHSSSKSTNYAALVTTQLILLASHMAGLGLSDTDAWYMVETIAERNNIGYKQLIKLRGFLSHIQLRIGYWGTSLVKAQSMSSHGLSSPRLLDVTDENQQPAEASGVGRSWVQSMFSRDSSRVNSFARVQRWTSESTLTAKENGTSRKQDLSAAGQKKIPTNIRILRGHSGAITALHCVTKREVWDLVGDREDAGFFISGSTDCMVKIWDPSIRGSELRATLKGHRRTVRAISSDRGKVVSGSDDQSVIVWDKQTSQLLEELKGHDAQVSCVRMLSGERVLTAAHDGTLKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLVTGSDDWTARVWSVSRGTCDAVLACHAGPILCVEYSTLDRGIITGSTDGLLRFWENEEGGIRCVKNVTIHNAAILSINAGEHWLGIGAADNSMSLFQRPQERLGGLSGTGSKMSGWQLYRTPQKTVAMVKCVASDLERKRICSGGRNGVLRLWEATINI >Manes.13G075800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18239417:18239918:-1 gene:Manes.13G075800.v8.1 transcript:Manes.13G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTLNRVLVEKIVPPSKTNAGILLPESSTKLNSGKVISVGPGLRSNEGKTIPPSVKEGDTVLLPEYGGTQVKLADKEFYLYRDEDILGTLHE >Manes.16G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2800411:2803439:-1 gene:Manes.16G026700.v8.1 transcript:Manes.16G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNDLSEHNRSIIISRRSSASSSSSSQQSPRIKIPAPFLSKTYDLLEENGEGSSSSSGEDSGGVNGKKIVSWNDDGTGFIVWSPAEFSELTLPRYFKHNNFSSFIRQLNTYGFKKTSSKLWEFKHEKFQKGNRQMLVEITRKKCEPSIFPAYLKASNEENASAAVEDNDRLLLLEENNNLKRENLELQMQLTQFKSLEIKLLDFLSHYMGSHHNKIRRLF >Manes.02G008900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1007002:1010912:1 gene:Manes.02G008900.v8.1 transcript:Manes.02G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVDVALGPIHKESAYVRGYCNNGQQGPVPEESSILIYLSIAGSLIPMRVLESDSIASVKLRIQTCKGFAVKKQKLVFGGRELARNNSLVKDYGVISGKVLHLVLKLSDLLLITVRTTCGREFEFQADRYRNVGYLKQRIFKEGRVFVGVEEQEIFYNGEKLDNQRLIGDICSNNDAAIHLLVQKSAQVRAKPLEKDFEISIVAVNSNERRESEVEGGQNQPEEIEVVSREQPAGRNFWLKPVILNPKIRLNSVFWNMVNSTFDGLERGKSPIRSSEGTGGTYFMQDPSGQKFVSVFKPIDEEPMAVNNPHGLPVSSNGEGLKRGTKVGEGAVREVAAYLLDHPRSGPRALSGEVIGFAGVPPTVIVQCLHEGFNYPEGYEYAMKNVKTGSLQMFMKNEGSCDEMGPGAFPVEEVHKISVLDIRMANADRHAGNILVNKGENGQIMLIPIDHGYCLPEKFEDCTFDWLYWPQARQAYSPEVIDYIDSLDAEQDITLLKFHGWDIPLESARTLRISTMLLKKGAKRGLTPFAIGSIMCRETLNKESVMEEIVREAEDSLLPGMSEAAFLETVSEIMDSRLDKLTK >Manes.18G127654.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15465825:15467924:1 gene:Manes.18G127654.v8.1 transcript:Manes.18G127654.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHVSDFGLAKLFTINDDSSLNQTSTIGIKGTIGYVAPEYGMDGLASKEGDVYSFGILVLEMFSERRPTDEIFKQGLNLHDYAKAALPKRVLQIVDPTLLPIERSSEEYEEDEIVEAEETNHHGNLSQCLVSILEIGVACSKESPTQRMNMADVIKKLHLIKKTFLDTRIFKSRAKEKVVERCLSFQD >Manes.12G094300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18928515:18929285:-1 gene:Manes.12G094300.v8.1 transcript:Manes.12G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEDAALGAALGFLLQAIKEAKEKAVFFRRTLQSLQDTLQNVKPIIHEVLKIENAPTDACNRFVLMLKKAKVLVEMYSDISKRKLLKKRKVKKLIQEMDASIQRFMIRDFQAEQLLYLAKINEKMDRVIESFGLDCDAVNGKEFNNETAANGKSSNPKTENESGGCSSNPKFEFRWKSEGKFVDIRFSE >Manes.13G070100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:9936674:9939876:1 gene:Manes.13G070100.v8.1 transcript:Manes.13G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPVLPISNSQPSNAASAASAQSQPPIATPAFRAFINQISDSVRHGISQRRPWAELADRSAFSKPESLSEAALRVRKNYSYFRVNYLAVIALILAFSLLSHPLSLLLLLGLLAAWLFLYLFRPSDPPLVLFGRTFTDRETLGLLIVVSVVVVFLTSVGSVLISALMVGLAIVFAHGSFRVPEDLFLDEQEPVATGFLSFLGGAASNAAAAAAPVVAARV >Manes.07G079411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24522554:24523986:1 gene:Manes.07G079411.v8.1 transcript:Manes.07G079411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKSAMHLQVEGTLVAPAKASQHSKNSWVSGGGAFDGQGEIAWERAHCGDRCKTPLPIGSKTECYFTKQQPFIDPIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKALGHSLHTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHVISVGSLGKYEKEEPVSGIYVKDCTIYDTYNGVRIKTWPALYGGIASNIHFEDIDMQNVSNPIIIDQMYCPWNLCNRKKPSIVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYT >Manes.01G106400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30575734:30579110:-1 gene:Manes.01G106400.v8.1 transcript:Manes.01G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSWRLLFFRNCTRSSLRSPAYPFHPHLQVHSSPRSFYSLLTPSFQVNLSDPTNPINSKIPICRNFSSEPLVEKETDHCFLISDIFTKFTDFNDINKELELNGVVINYELVLKVLKSLEFSPDVARRFFDWVLERNSERLSSKAYNLMLGILGVNGSVEQFWALVETMKKKGYGVSKGVRDKVVEKFEKEGLRSDVEQLKAVFATGSTDKSVEKVGLRASRIVRNQVWGEDVEQKIKDLDVAFSSNFVKIVLENLAMEPMKALIFFRWVEESELFKHDERSYNAMATVLGREDCLDRFWKVVDEMRSNGYEMERETYVKVLGRFIKRKMIKEAVDLYEFAMSGANKPSVQCCTFLLKKIVVGKELDMNLFSRVVRIFVGNEYVLTDSMLDAVLKSLTTVSRFGECNTVLKEMKEGGFLPSGSQQRKIAFRLSHAGNHDKVNEFMDHMEASGSDLDYKAWTSLIEGHCASGDLENASACFQNMIEKEGVSNANYAFESLVNAYCYKKRAIDASKLLHGYVCNNQLEPRHTTYKGLINKLLVQDGFSDALNLLDLMKSHGFPPFVDPFIKHVSRSGTSDDAIAFMKAMTCKRFPSTSVVLRLFRAFFKAGRHAEAQDFLSKCPRYIRNHPDILNLFCSMKSGKSIASAAVAV >Manes.18G092800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8581805:8604721:1 gene:Manes.18G092800.v8.1 transcript:Manes.18G092800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLNKLICYRSHIICGGLKFCHKQLNINVRENFHVLPDIKASDIEGLGRCTPVGSHRHPPIDDDDNATIYRSITEKKTPRHELKLDKLSEHEKKKLISGLVKIQNDGTVDVDLAKTAPNSVEGGTSFYLNDTSLESSNKLIPRLKIAILVAGTRGDVQPFLAIAKRLQEFGHHVRLATHANFKSFVRSAGVEFYPLGGDPRILAGYMIKNKGFIPSTPGDIALPLKQIKAVIESFLPACTEPDMETGVPFRAQAIIANPPAYGHVHVAEALGVPIHIFSTMPSTPTYELPNPYARVPQAGYWLSYIVVDLLIWWATKGYINDLRKRKLKLPPISYFSIYNGSIYHLPTVYMWSPHLVPKPRDWGPLVDVVGYCFLNLGSKYKPREEFNEWIQKGTKPIYIGFGSMLLEYPKETTDIIMEALKATGQRGIIVRGWGDLGHFTELPDTVFLLEDCPHDWLFPQCAAVGKCAGSSRWCWNHSRRTKSWVPDYHSAILWRPVFLG >Manes.18G092800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8581805:8604525:1 gene:Manes.18G092800.v8.1 transcript:Manes.18G092800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAFDCPLRNSEEELCRSGQELNKRFEQTGSVDISAMVDSDEQFEAGPLQETQKRETISGNKAGDLQGKQKQETNSGLQPSVQDIFESKKLNATSFPQQGLGRCTPVGSHRHPPIDDDDNATIYRSITEKKTPRHELKLDKLSEHEKKKLISGLVKIQNDGTVDVDLAKTAPNSVEGGTSFYLNDTSLESSNKLIPRLKIAILVAGTRGDVQPFLAIAKRLQEFGHHVRLATHANFKSFVRSAGVEFYPLGGDPRILAGYMIKNKGFIPSTPGDIALPLKQIKAVIESFLPACTEPDMETGVPFRAQAIIANPPAYGHVHVAEALGVPIHIFSTMPSTPTYELPNPYARVPQAGYWLSYIVVDLLIWWATKGYINDLRKRKLKLPPISYFSIYNGSIYHLPTVYMWSPHLVPKPRDWGPLVDVVGYCFLNLGSKYKPREEFNEWIQKGTKPIYIGFGSMLLEYPKETTDIIMEALKATGQRGIIVRGWGDLGHFTELPDTVFLLEDCPHDWLFPQCAAVGKCAGSSRWCWNHSRRTKSWVPDYHSAILWRPVFLG >Manes.18G092800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8581805:8604525:1 gene:Manes.18G092800.v8.1 transcript:Manes.18G092800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAFDCPLRNSEEELCRSGQELNKRFEQTGSVDISAMVDSDEQFEAGPLQETQKRETISGNKAGDLQGKQKQETNSGLQPSVQDIFESKKLNATSFPQQGLGRCTPVGSHRHPPIDDDDNATIYRSITEKKTPRHELKLDKLSEHEKKKLISGLVKIQNDGTVDVDLAKTAPNSVEGGTSFYLNDTSLESSNKLIPRLKIAILVAGTRGDVQPFLAIAKRLQEFGHHVRLATHANFKSFVRSAGVEFYPLGGDPRILAGYMIKNKGFIPSTPGDIALPLKQIKAVIESFLPACTEPDMETGVPFRAQAIIANPPAYGHVHVAEALGVPIHIFSTMPSTPTYELPNPYARVPQAGYWLSYIVVDLLIWWATKGYINDLRKRKLKLPPISYFSIYNGSIYHLPTVYMWSPHLVPKPRDWGPLVDVVGYCFLNLGSKYKPREEFNEWIQKGTKPIYIGFGSMLLEYPKETTDIIMEALKATGQRGIIVRGWGDLGHFTELPDTVFLLEDCPHDWLFPQCAAVVHHGGAGTTAAGLKAGCPTTIVPFFGDQFFWGDQIHKRGLGPAPTPIAKLSVESLSNAIRFMLQPEVKSQAMELAKLIEKEDGVGAAVDAFHRHLPRELPLPTAASLDEDKHPDHLQWFLIQLQKWFCLPCGV >Manes.18G092800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8581805:8604721:1 gene:Manes.18G092800.v8.1 transcript:Manes.18G092800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAFDCPLRNSEEELCRSGQELNKRFEQTGSVDISAMVDSDEQFEAGPLQETQKRETISGNKAGDLQGKQKQETNSGLQPSVQDIFESKKLNATSFPQQGLGRCTPVGSHRHPPIDDDDNATIYRSITEKKTPRHELKLDKLSEHEKKKLISGLVKIQNDGTVDVDLAKTAPNSVEGGTSFYLNDTSLESSNKLIPRLKIAILVAGTRGDVQPFLAIAKRLQEFGHHVRLATHANFKSFVRSAGVEFYPLGGDPRILAGYMIKNKGFIPSTPGDIALPLKQIKAVIESFLPACTEPDMETGVPFRAQAIIANPPAYGHVHVAEALGVPIHIFSTMPSTPTYELPNPYARVPQAGYWLSYIVVDLLIWWATKGYINDLRKRKLKLPPISYFSIYNGSIYHLPTVYMWSPHLVPKPRDWGPLVDVVGYCFLNLGSKYKPREEFNEWIQKGTKPIYIGFGSMLLEYPKETTDIIMEALKATGQRGIIVRGWGDLGHFTELPDTVFLLEDCPHDWLFPQCAAVGKCAGSSRWCWNHSRRTKSWVPDYHSAILWRPVFLG >Manes.18G092800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8581805:8604721:1 gene:Manes.18G092800.v8.1 transcript:Manes.18G092800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMTCSFYCGITYQFRACHGYKNEDINFFMKKLISGLVKIQNDGTVDVDLAKTAPNSVEGGTSFYLNDTSLESSNKLIPRLKIAILVAGTRGDVQPFLAIAKRLQEFGHHVRLATHANFKSFVRSAGVEFYPLGGDPRILAGYMIKNKGFIPSTPGDIALPLKQIKAVIESFLPACTEPDMETGVPFRAQAIIANPPAYGHVHVAEALGVPIHIFSTMPSTPTYELPNPYARVPQAGYWLSYIVVDLLIWWATKGYINDLRKRKLKLPPISYFSIYNGSIYHLPTVYMWSPHLVPKPRDWGPLVDVVGYCFLNLGSKYKPREEFNEWIQKGTKPIYIGFGSMLLEYPKETTDIIMEALKATGQRGIIVRGWGDLGHFTELPDTVFLLEDCPHDWLFPQCAAVVHHGGAGTTAAGLKAGCPTTIVPFFGDQFFWGDQIHKRGLGPAPTPIAKLSVESLSNAIRFMLQPEVKSQAMELAKLIEKEDGVGAAVDAFHRHLPRELPLPTAASLDEDKHPDHLQWFLIQLQKWFCLPCGV >Manes.18G092800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8581805:8598786:1 gene:Manes.18G092800.v8.1 transcript:Manes.18G092800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLNKLICYRSHIICGGLKFCHKQLNINVRENFHVLPDIKASDIEGLGRCTPVGSHRHPPIDDDDNATIYRSITEKKTPRHELKLDKLSEHEKKKLISGLVKIQNDGTVDVDLAKTAPNSVEGGTSFYLNDTSLESSNKLIPRLKIAILVAGTRGDVQPFLAIAKRLQEFGHHVRLATHANFKSFVRSAGVEFYPLGGDPRILAGYMIKNKGFIPSTPGDIALPLKQIKAVIESFLPACTEPDMETGVPFRAQAIIANPPAYGHVHVAEALGVPIHIFSTMPSTPTYELPNPYARVPQAGYWLSYIVVDLLIWWATKGYINDLRKRKLKLPPISYFSIYNGSIYHLPTVYMWSPHLVPKPRDWGPLVDVVGYCFLNLGSKYKPREEFNEWIQKGTKPIYIGFGSMLLEYPKETTDIIMEALKATGQRGIIVRGWGDLGH >Manes.18G092800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8581805:8604813:1 gene:Manes.18G092800.v8.1 transcript:Manes.18G092800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAFDCPLRNSEEELCRSGQELNKRFEQTGSVDISAMVDSDEQFEAGPLQETQKRETISGNKAGDLQGKQKQETNSGLQPSVQDIFESKKLNATSFPQQGLGRCTPVGSHRHPPIDDDDNATIYRSITEKKTPRHELKLDKLSEHEKKKLISGLVKIQNDGTVDVDLAKTAPNSVEGGTSFYLNDTSLESSNKLIPRLKIAILVAGTRGDVQPFLAIAKRLQEFGHHVRLATHANFKSFVRSAGVEFYPLGGDPRILAGYMIKNKGFIPSTPGDIALPLKQIKAVIESFLPACTEPDMETGVPFRAQAIIANPPAYGHVHVAEALGVPIHIFSTMPSTPTYELPNPYARVPQAGYWLSYIVVDLLIWWATKGYINDLRKRKLKLPPISYFSIYNGSIYHLPTVYMWSPHLVPKPRDWGPLVDVVGYCFLNLGSKYKPREEFNEWIQKGTKPIYIGFGSMLLEYPKETTDIIMEALKATGQRGIIVRGWGDLGHFTELPDTVFLLEDCPHDWLFPQCAAVVHHGGAGTTAAGLKAGCPTTIVPFFGDQFFWGDQIHKRGLGPAPTPIAKLSVESLSNAIRFMLQPEVKSQAMELAKLIEKEDGVGAAVDAFHRHLPRELPLPTAASLDEDKHPDHLQWFLIQLQKWFCLPCGV >Manes.04G117500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31938642:31944182:-1 gene:Manes.04G117500.v8.1 transcript:Manes.04G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFSLSRPQCCRHPLTMASGSTSLIWFRKGLRIHDNPALEYASKGSKFVYPVFVIDPHYMEPDPKAFTPGSTRAGLNRIRFLLESLVDLDLSLKKLGSRLLVLKGEPSQVLIRCLKEWDVKKLCFEFDTDPYYQDLDVKVKDYASSAGIEVFSPVSHTLFNPEDIIQKNGGKPPLSYQSFLKVAGQPSWASSPLSTTILSLPCVGHIGSCEISEVPTVEELGYKDIEQVEWTPFRGGESEALKRLRESINNKEWVANFEKPKGDPSAFVKPATTVLSPYLKFGCLSSRYFYQCLQDVYRNVRKHTSPPVSLVGQLLWRDFFYTVAFGTPNFDHMRGNRICKQIPWSDDNELLAAWREARTGYPWIDAIMVQLSKWGWMHHLARHCVACFLTRGDLFVHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGKKYDPNGNYIRHFLPVLKDMPKEYIYEPWTAPINVQTKAKCIIGRDYPKPVISHDSASKDCKRKLAEAYALNQQLNGQLSEADLVNLKRKLEQDQDQEPKSRPRRQRKLMT >Manes.16G131100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33195167:33200644:-1 gene:Manes.16G131100.v8.1 transcript:Manes.16G131100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQIPTNTDRSRTYWTPTMERYFIDLMLEQMNRGNRMGHTFNKQAWTDMLLVFNAKFGSQYDKDVLKSRYTNLWKQFNDVKNLLGQNGFSWDETREMVVADDYVWNAYLKVHPDARSYRTKAVLNFNDLCFIYGYTVADGRYSRSSHDLDFDDEVQAANIGDTIGSFAPSNNERPRTEWTAAMDQYFIELMLDQIVKGNKAGNAFNKQAWTDMLASFNAKFGPQHGKRVLRHRYKKLLKYYSEMKVLHKQNGFTWDETQHMFVADNDVWDSYIKEHPQARAYRMKTLPNYNDLVLIFGDATEEGGDTNLHQQKEHEVENSRIRTGEGTVSLAPASTDRTRTYWTPPMDRYLIDLLLDQVYKGNKLGQTFISQAWIDMVASFNLKFQSHHDKDVLKNRYKHLRRMYNDIKNLLENSGFSWDETREMITAEDHVWDAYTKAHSDARSYRVKTVPGYQKLCVIFGQESSDGRYSRLAQIVDPNGETPGLVIGYCCVDPLIIDWQPTMDRYFIELMLEQMHGGNKIDHTFNEQAWTHMVESFNEKFQLTCDKYILENRYITLMKECENISSLLNCSGFSWDATQQMVIADDAVWESYIQVQPDAIAYRNKVSENYIDLCQIQRSETSNIQNLEVQTGNDSEEVRVDLLLGDVQFPMEDIPISDQQRKRPTKTPPDYENSSKVQKVDKEMQRTFPETAEAATTSVNKKESKNRGTIENAIDALQAIADIDEELLLDACDLLEDDKKAKTFLALDATLRKKWLLRKLRP >Manes.16G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33195167:33200644:-1 gene:Manes.16G131100.v8.1 transcript:Manes.16G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEMSSQIPTNTDRSRTYWTPTMERYFIDLMLEQMNRGNRMGHTFNKQAWTDMLLVFNAKFGSQYDKDVLKSRYTNLWKQFNDVKNLLGQNGFSWDETREMVVADDYVWNAYLKVHPDARSYRTKAVLNFNDLCFIYGYTVADGRYSRSSHDLDFDDEVQAANIGDTIGSFAPSNNERPRTEWTAAMDQYFIELMLDQIVKGNKAGNAFNKQAWTDMLASFNAKFGPQHGKRVLRHRYKKLLKYYSEMKVLHKQNGFTWDETQHMFVADNDVWDSYIKEHPQARAYRMKTLPNYNDLVLIFGDATEEGGDTNLHQQKEHEVENSRIRTGEGTVSLAPASTDRTRTYWTPPMDRYLIDLLLDQVYKGNKLGQTFISQAWIDMVASFNLKFQSHHDKDVLKNRYKHLRRMYNDIKNLLENSGFSWDETREMITAEDHVWDAYTKAHSDARSYRVKTVPGYQKLCVIFGQESSDGRYSRLAQIVDPNGETPGLVIGYCCVDPLIIDWQPTMDRYFIELMLEQMHGGNKIDHTFNEQAWTHMVESFNEKFQLTCDKYILENRYITLMKECENISSLLNCSGFSWDATQQMVIADDAVWESYIQVQPDAIAYRNKVSENYIDLCQIQRSETSNIQNLEVQTGNDSEEVRVDLLLGDVQFPMEDIPISDQQRKRPTKTPPDYENSSKVQKVDKEMQRTFPETAEAATTSVNKKESKNRGTIENAIDALQAIADIDEELLLDACDLLEDDKKAKTFLALDATLRKKWLLRKLRP >Manes.11G073900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10660114:10667823:-1 gene:Manes.11G073900.v8.1 transcript:Manes.11G073900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDISTPLIFKLSSSPKLWKLFSVKFPCQYSRNYKPKVAFSLNNVVSARAASISSPTTFGINTTTDLGQRREKIDTEQDPISILNERIRRDYRKREASSRPVMDSKEADKYIQMVKDQQQRGLQKLKGEREAKDGGVFSYKVDPYSLSTGDYVVHKKVGIGRFVGIKFDVPKGSNESIEYLFIEYADGMAKLPVKQASRMLYRYNLPNENKRPRTLSKLNDTSAWERRKTKGKIAIQKMVVDLMELYLHRLRQKRPPYPKSPAMAEFAAQFSYEPTPDQKQAFMDVERDLTERETPMDRLICGDVGFGKTEVALRAIFCVIAAGKQAMVLAPTIVLAKQHFEVISERFSRYPNIKVGLLSRFQTKVEKEKYLDMIKHGDLDIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTYLSAYSKEKVISAIKYELDRSGQVFYVLPRIKGLEEVMDFLEQAFPNVEIAIAHGKQYSKQLEDTMEKFAQGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAYAHLFYPDKSLLSDQALERLKALEECKELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKIDLNINPHLPSEYINHLDNPMEIISEAENAAEKDIWSLMQFTESLRSQYGKEPYSMEILLKKLYVRRTAADLGITRIYTSGKIVCMKTNMSKKVFKLMIDSMASDVHRNSLVFDGDQIKAELLLELPREQLLNWIFQCLAELHASLPALIKY >Manes.11G073900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10660114:10667823:-1 gene:Manes.11G073900.v8.1 transcript:Manes.11G073900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDISTPLIFKLSSSPKLWKLFSVKFPCQYSRNYKPKVAFSLNNVVSARAASISSPTTFGINTTTDLGQRREKIDTEQDPISILNERIRRDYRKREASSRPVMDSKEADKYIQMVKDQQQRGLQKLKGEREAKDGGVFSYKVDPYSLSTGDYVVHKKVGIGRFVGIKFDVPKGSNESIEYLFIEYADGMAKLPVKQASRMLYRYNLPNENKRPRTLSKLNDTSAWERRKTKGKIAIQKMVVDLMELYLHRLRQKRPPYPKSPAMAEFAAQFSYEPTPDQKQAFMDVERDLTERETPMDRLICGDVGFGKTEVALRAIFCVIAAGKQAMVLAPTIVLAKQHFEVISERFSRYPNIKVGLLSRFQTKVEKEKYLDMIKHGDLDIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTYLSAYSKEKVISAIKYELDRSGQVFYVLPRIKGLEEVMDFLEQAFPNVEIAIAHGKQYSKQLEDTMEKFAQGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQERLKALEECKELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEEHRVISVPYQSVQIDLNINPHLPSEYINHLDNPMEIISEAENAAEKDIWSLMQFTESLRSQYGKEPYSMEILLKKLYVRRTAADLGITRIYTSGKIVCMKTNMSKKVFKLMIDSMASDVHRNSLVFDGDQIKAELLLELPREQLLNWIFQCLAELHASLPALIKY >Manes.11G073900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10660114:10667823:-1 gene:Manes.11G073900.v8.1 transcript:Manes.11G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDISTPLIFKLSSSPKLWKLFSVKFPCQYSRNYKPKVAFSLNNVVSARAASISSPTTFGINTTTDLGQRREKIDTEQDPISILNERIRRDYRKREASSRPVMDSKEADKYIQMVKDQQQRGLQKLKGEREAKDGGVFSYKVDPYSLSTGDYVVHKKVGIGRFVGIKFDVPKGSNESIEYLFIEYADGMAKLPVKQASRMLYRYNLPNENKRPRTLSKLNDTSAWERRKTKGKIAIQKMVVDLMELYLHRLRQKRPPYPKSPAMAEFAAQFSYEPTPDQKQAFMDVERDLTERETPMDRLICGDVGFGKTEVALRAIFCVIAAGKQAMVLAPTIVLAKQHFEVISERFSRYPNIKVGLLSRFQTKVEKEKYLDMIKHGDLDIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTYLSAYSKEKVISAIKYELDRSGQVFYVLPRIKGLEEVMDFLEQAFPNVEIAIAHGKQYSKQLEDTMEKFAQGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAYAHLFYPDKSLLSDQALERLKALEECKELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEEHRVISVPYQSVQIDLNINPHLPSEYINHLDNPMEIISEAENAAEKDIWSLMQFTESLRSQYGKEPYSMEILLKKLYVRRTAADLGITRIYTSGKIVCMKTNMSKKVFKLMIDSMASDVHRNSLVFDGDQIKAELLLELPREQLLNWIFQCLAELHASLPALIKY >Manes.11G073900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10660114:10667824:-1 gene:Manes.11G073900.v8.1 transcript:Manes.11G073900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRVLMSLLSICLLNMRMAWQNFLLSRLLACFIVIICSPNENKRPRTLSKLNDTSAWERRKTKGKIAIQKMVVDLMELYLHRLRQKRPPYPKSPAMAEFAAQFSYEPTPDQKQAFMDVERDLTERETPMDRLICGDVGFGKTEVALRAIFCVIAAGKQAMVLAPTIVLAKQHFEVISERFSRYPNIKVGLLSRFQTKVEKEKYLDMIKHGDLDIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTYLSAYSKEKVISAIKYELDRSGQVFYVLPRIKGLEEVMDFLEQAFPNVEIAIAHGKQYSKQLEDTMEKFAQGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAYAHLFYPDKSLLSDQALERLKALEECKELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEEHRVISVPYQSVQIDLNINPHLPSEYINHLDNPMEIISEAENAAEKDIWSLMQFTESLRSQYGKEPYSMEILLKKLYVRRTAADLGITRIYTSGKIVCMKTNMSKKVFKLMIDSMASDVHRNSLVFDGDQIKAELLLELPREQLLNWIFQCLAELHASLPALIKY >Manes.15G111100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8883144:8887473:1 gene:Manes.15G111100.v8.1 transcript:Manes.15G111100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAMMVKAVMMSFLLTVTQVPLVLGLQSTTPAFFWSSHHDNRFSNNRMDEAVNYQTISSRDLARSILSEGGWSNLLCSEKKLQKSVDLALVFVGRELLSLDISSNKNADPALLNLLKISFMRSNFSLALPYVAASEEPMENSFVSCIAETCGLDSIINNVAFSESCFIEGDKFQKLADVDAVHDYLVSTMEKRTNGQADLVVFCHGGSYSANGPEQPQTESLLSSLIIEDLISSVETLGAKYEVLYLSDPFRPIQNPSHRELERFLAEGTAGNGPLNSTACDEVCKIKSSLLEGVLVGIVLLIILISGLCCVMGIDTPTKFETPQDS >Manes.01G146100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33656154:33656600:1 gene:Manes.01G146100.v8.1 transcript:Manes.01G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISPSPLSKIPISSPAPAPHRTRSRPLVISNTATAYKERPNLYLSPQRMASCTSPYEILGIPVGATSQDIKTAYRRLARTCHPDVAALDRKDTSADDFMKIHAAYSTLSDPEKRAVYDRKFIRRNRPLTTSFSGYHGRNWETDQCW >Manes.01G153300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34065617:34069554:-1 gene:Manes.01G153300.v8.1 transcript:Manes.01G153300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPISLRSAIPKTAKMKKPPLVPAASPSPSEKSCHFPKKKLSELAEKTKLPVSPGTHQQKPLFKSPELDDAKKLFHSVISTTRAPLDLRFHNSFLQSYASISTIDNSISLLHHMVKALPSFTPERSTYHILLSQSCRITASSLSPVHQILNLMVNNGFEPNQVTVDIAVRSLCSVGREDDAVELVKELSLKHSKPDTYTYNFLVKCLCKCKALSTVYNFIDEMRSSFDIKPDLVTYTILIDNVCNSKNLREATRLVGILRECGFKPDCFVYNTIMKGYCVLSRGSEAIEVYKKMKEEGVEPDLVTYNTLIFGLSKSGRVTEAKKYLKIMVESGLFPDAVTYTSLMNGMCRKGDALGALGLLAEMEEKGCSPNSCTYNTLLHGLCKGRLLEKGIELYLVMKEGGMKLETASYATFVRALCREGRVADAYEVFDYAVESKSLADVAAYSTLESTLKWLKKAREQGLAV >Manes.02G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3960036:3963469:1 gene:Manes.02G048600.v8.1 transcript:Manes.02G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLTVAQDGSGNFSTVQQAIDAVPLGNTCRTVIRVAPGVYRQPVYVPKTKNFITLVGLIPENTVLTWNNTATKIEHHQASRVIGTGTFGCGSVIVEGEDFIAENITFENNAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTVYLHHGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKAAGFVTAQSRKTSQESTGYVFLRCVITGNGGTSYAYLGRPWGPFGRVVFAYTYMDQCIKNVGWHNWGKAENERSACFYEYRCFGPGCCPSKRVTWARELVDEEAEEFMRHGFIDPNPERQWLAQKIGHKIPHSA >Manes.12G080100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11972381:11974608:1 gene:Manes.12G080100.v8.1 transcript:Manes.12G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWRTVFFSCVLLLYLCVPPSLAQTCRGHTFSSNQIFTACSDLPVLSSFLYWNYYPSNLTADIAFRKAGASTTTWVAWALNPSGQQMVGSQAILAFHNSSGVPTAYTTPITSFSPSMQPGNLSFQVFNLKAEYSNGDMILFATLHLTSSLISTNQVWQEGTMSGTSFNSHAMDSENSASVGTINFETGATVAGSVGTSTKKNVHGALNAVSWGVLMPMGIMMARYLKVFKVAKPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYIKHRNIGITLFCFATLQVFALLFRPKPDHKYRLYWNIYHHSIGYATIILSIINIYEGFDILDPEKKWKKIYTGIIIFLGALAALLEVITWIIVLRRKKAVTSN >Manes.05G052900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4401445:4403596:1 gene:Manes.05G052900.v8.1 transcript:Manes.05G052900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEREDSLGLSLSLGAASQPSLKLNLRPIPSQLLQDNHHRISLNDLFHSSDRSSDARPFQRGIDINRIPSLADCDDETGVSSPNSTISSISGKRNEREHIGEETEAERTSCSRGGSDDEDGGAGGDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCENLTQENRRLQKEVQELRALKLSPQLYMHMNPPTTLTMCPSCERVAVSSSSSSASASAAIAASTANPHPQRPVPIKPWTGLGLAIQHRDHNS >Manes.02G201351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:19261873:19265712:1 gene:Manes.02G201351.v8.1 transcript:Manes.02G201351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFISALAAGKQAKLMVEITTQGITPLTISLAVAAKQTGGKLICILPHHHQQQNFINKCRNHDLHLPDLQDLEDVIEFVPGNPFQVAMQYKKIDFLAVDGKLEGHLKLLEMVDLNPSGCLIVGHNLQYREYEVSFGQVLNRKKGIDCVSLPIGEGMELTRIESFTKRKCRRFKRFHVIFEN >Manes.18G144876.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21622493:21623984:1 gene:Manes.18G144876.v8.1 transcript:Manes.18G144876.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQNVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.15G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1689203:1692201:-1 gene:Manes.15G020800.v8.1 transcript:Manes.15G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFSSLSTKDRKDVDLEAGNGETLYPGLSLGENQLRWGLIRKVYGILAVQLVLTTIVSAFTVLYTPVNALLGDSPGLLLLLCIVPFILLWPLHVYHQKHPVNLIVLGLFTVSLSLLVGVSCANTDGKIVLEALILTSAVVCSLTGYTFWASKRGQDFSFLGPILFTSLIILILTSFIQMFFPLGSTSTAIYGGISALVFCGYIIYDTDNLIKRYSYDEYILASAALYLDILNLFLSILRVLSQRNN >Manes.15G135900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10999204:11002125:-1 gene:Manes.15G135900.v8.1 transcript:Manes.15G135900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWETDSPPMVGGNGAYSYYKNSYYQRKMIDVLKERINEVIATKLDFSYVPLASNTLHLADLGCSVGPNTFFHVHDLLESIKKKYQSQGFGSQMLEFQVFFNDQAMNDFNTLFASLPQERQYFAAGVPGSFYGRLFPSSSLHFVHCSFSLHWLSKVPGELLDENSHASNKGRVHYTSAPCEVADAYASQFADDMENFLNARSEEIVTGGIMIIVTQGIPNGMPFSKLPNSVLFECLTLSLLDMVKEGLISEAEVDSFNLPFYTVSPEEMTELIERNGCFSIERMELTDPAPWLNGPVNIPEWVVHVRAAMQALFIKHFGREIVDEIFHRLIKKLTDHSHQLESKQRDKTLLFVALKRK >Manes.15G135900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10999204:11002125:-1 gene:Manes.15G135900.v8.1 transcript:Manes.15G135900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWETDSPPMVGGNGAYSYYKNSYYQRKMIDVLKERINEVIATKLDFSYVPLASNTLHLADLGCSVGPNTFFHVHDLLESIKKKYQSQGFGSQMLEFQVFFNDQAMNDFNTLFASLPQERQYFAAGVPGELLDENSHASNKGRVHYTSAPCEVADAYASQFADDMENFLNARSEEIVTGGIMIIVTQGIPNGMPFSKLPNSVLFECLTLSLLDMVKEGLISEAEVDSFNLPFYTVSPEEMTELIERNGCFSIERMELTDPAPWLNGPVNIPEWVVHVRAAMQALFIKHFGREIVDEIFHRLIKKLTDHSHQLESKQRDKTLLFVALKRK >Manes.15G135900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10999204:11002125:-1 gene:Manes.15G135900.v8.1 transcript:Manes.15G135900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKWETDSPPMVGGNGAYSYYKNSYYQGFGSQMLEFQVFFNDQAMNDFNTLFASLPQERQYFAAGVPGSFYGRLFPSSSLHFVHCSFSLHWLSKVPGELLDENSHASNKGRVHYTSAPCEVADAYASQFADDMENFLNARSEEIVTGGIMIIVTQGIPNGMPFSKLPNSVLFECLTLSLLDMVKEGLISEAEVDSFNLPFYTVSPEEMTELIERNGCFSIERMELTDPAPWLNGPVNIPEWVVHVRAAMQALFIKHFGREIVDEIFHRLIKKLTDHSHQLESKQRDKTLLFVALKRK >Manes.10G098575.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24717809:24718261:1 gene:Manes.10G098575.v8.1 transcript:Manes.10G098575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCADKKRSKRQFEVGDWVYLKLQPYRQSSLALRKNFKISTKYYGPFEWLSRVGLVAYKLKLPFTATIHLVFHVSLLKKKIREQAVVIVELPTFLEYIALIVLEKVLQTRTIDRSNKQIFQGLIKWQGLLKDDATWKDQAFITSQFPKF >Manes.11G102300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23083602:23085547:-1 gene:Manes.11G102300.v8.1 transcript:Manes.11G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIVFSSTGKMCQYCSEPLRMEQIIERYQKLTGTCIPENDSREQLFSELAVLRKETRRIQLNMRRYTGEDMSSIPFEELGELEQELERSVAKVRDRKNELMQQQLDNLRRKERMLEEENGNLYRWIQEHRAALEYQQGTTIEAKAVEHQQVLDQFPFCGEPSSVLQLATIPSQIHSYHLQLGQPSFHGSGV >Manes.10G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28431136:28435497:-1 gene:Manes.10G119400.v8.1 transcript:Manes.10G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTTSFLRFLSLFFLLLFIFSPSYGAADSHFEGFEAEEDDVVEETIDHGSFKLTDLPLTRTDLPRAPIPDPVLENSEVNPSSDLDSQSSKPQPDQLKPSSPPSTTTFEYWDEDEFEGVPVHQPPPETPKDEESTVPADNQNTDPKPKTIFKKQQSYTVEIFFVSFLIMFIINYFTGKRENENLALSWAAKFATKDSIFEKNFSLLGVGEGDDSPLLLKEGQSVFKFYASGRRYCQGLLATMELKSRHDLIARIYNMIVPCKDEITFEVYINDDAMDHVVFALAKKKAAKSMQKEVRDLQRFAGIVAQPPSGRKWVSEELGVISESKEVAGDLITEAVLEQVFGEKAFEKFGKGFISMHFSDQHPGTHRKMLLFKFALPNADNMADMTRLVALVPYYIDLIGRYKLSSQARSKTEAARSKAAQEAYKELQNARQEALQKKKAERKKMLEEAEAKLSADAIRKREAKERARQMKKGMPKIKMTRAH >Manes.01G259800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605423:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSWMEEVCSGVSCNVVSCIIGLDSLKATRINDDSSSWTTNQDVRLRMDGLFELKEHAVLFTREFTALYTNGPAGGGGISTGYKKEIILEKKLVGRECIFWQTGVKYTTGMNLEKEEIGHENVEKMHEALLPPFTKDNGDYSLPVIETSPASSGQEIPLYTVAHSRAGDKGNDLNFSVIPHFPPDIERLKMVVTPEWVNGVVAALLNTSSFPDSEAIKKRDKWINEHVKVEIYEVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILNQQVVLPP >Manes.01G259800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605424:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKKCSAITYICIWQPLNWKSL >Manes.01G259800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605423:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSWMEEVCSGVSCNVVSCIIGLDSLKATRINDDSSSWTTNQDVRLRMDGLFELKEHAVLFTREFTALYTNGPAGGGGISTGYKKEIILEKKLVGRECIFWQTGVKYTTGMNLEKEEIGHENVEKMHEALLPPFTKDNGDYSLPVIETSPASSGQEIPLYTVAHSRAGDKGNDLNFSVIPHFPPDIERLKMVVTPEWVNGVVAALLNTSSFPDSEAIKKRDKWINEHVKVEIYEVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILNQQVVLPP >Manes.01G259800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605424:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSWMEEVCSGVSCNVVSCIIGLDSLKATRINDDSSSWTTNQDVRLRMDGLFELKEHAVLFTREFTALYTNGPAGGGGISTGYKKEIILEKKLVGRECIFWQTGVKYTTGMNLEKEEIGHENVEKMHEALLPPFTKDNGDYSLPVIETSPASSGQEIPLYTVAHSRAGDKGNDLNFSVIPHFPPDIERLKMVVTPEWVNGVVAALLNTSSFPDSEAIKKRDKWINEHVKVEIYEVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILNQQVVLPP >Manes.01G259800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41604275:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKKCSAITYICIWQPLNWKSL >Manes.01G259800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605424:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSGHGWKKYVPVLAVM >Manes.01G259800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605423:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSWMEEVCSGVSCNVVSCIIGLDSLKATRINDDSSSWTTNQDVRLRMDGLFELKEHAVLFTREFTALYTNGPAGGGGIRLGANAFSGRLE >Manes.01G259800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605424:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSWMEEVCSGVSCNVVSCIIGLDSLKATRINDDSSSWTTNQDVRLRMDGLFELKEHAVLFTREFTALYTNGPAGGGGISTGYKKEIILEKKLVGRECIFWQTGVKYTTGMNLEKEEIGHENVEKMHEALLPPFTKDNGDYSLPVIETSPASSGQEIPLYTVAHSRAGDKGNDLNFSVIPHFPPDIERLKMVVTPEWVNGVVAALLNTSSFPDSEAIKKRDKWINEHVKVEIYEVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILNQQVVLPP >Manes.01G259800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605424:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSGHGWKKYVPVLAVM >Manes.01G259800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605423:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSGHGWKKYVPVLAVM >Manes.01G259800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605424:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSWMEEVCSGVSCNVVSCIIGLDSLKATRINDDSSSWTTNQDVRLRMDGLFELKEHAVLFTREFTALYTNGPAGGGGIRLGANAFSGRLE >Manes.01G259800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605424:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKKCSAITYICIWQPLNWKSL >Manes.01G259800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605423:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSGHGWKKYVPVLAVM >Manes.01G259800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605423:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSWMEEVCSGVSCNVVSCIIGLDSLKATRINDDSSSWTTNQDVRLRMDGLFELKEHAVLFTREFTALYTNGPAGGGGISTGYKKEIILEKKLVGRECIFWQTGVKYTTGMNLEKEEIGHENVEKMHEALLPPFTKDNGDYSLPVIETSPASSGQEIPLYTVAHSRAGDKGNDLNFSVIPHFPPDIERLKMVVTPEWVNGVVAALLNTSSFPDSEAIKKRDKWINEHVKVEIYEVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILNQQVVLPP >Manes.01G259800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605423:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSGHGWKKYVPVLAVM >Manes.01G259800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41605423:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKDCGWKGWGEISYGGHECVSRAKAAEYLVRSWMEEVCSGVSCNVVSCIIGLDSLKATRINDDSSSWTTNQDVRLRMDGLFELKEHAVLFTREFTALYTNGPAGGGGISTGYKKEIILEKKLVGRECIFWQTGVKYTTGMNLEKEEIGHENVEKMHEALLPPFTKDNGDYSLPVIETSPASSGQEIPLYTVAHSRAGDKGNDLNFSVIPHFPPDIERLKMVVTPEWVNGVVAALLNTSSFPDSEAIKKRDKWINEHVKVEIYEVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILNQQVVLPP >Manes.01G259800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41597697:41604275:1 gene:Manes.01G259800.v8.1 transcript:Manes.01G259800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEYKDWNEVHNCVIKLRENPRRRKEKVYIGCGAGFGGDRPLAALKLLQRVKELNYLVLECLAERTLADRYQVMLSGGDGYDSRIADWMRLLLPLAVEKGTCIITNMGAMDALGAQESVLEVARNLGLSVSVAVAHEIFSNKSGSGSPRVKSNFAEGGASTYLGAAPIVECLEKYQPNVIITSRIADAALFLAPMVFELGWNWDDLKELAQGSLAGHLLECGCQLTGGFFMHPGDKYRDMSFSSLLDLSLPYAEISFDGQVCVAKAEGSGGVLNFSTCSQQLLYEVGDPGAYITPDVVIDFQDVSFHPLSSSCVLCAGAKPSLGSRPEKLLRLVPKKCSAITYICIWQPLNWKSL >Manes.03G203200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31879685:31880983:-1 gene:Manes.03G203200.v8.1 transcript:Manes.03G203200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHRLSTEEVMRISRERFIHIYTQHYIDLSTIHHFFDASLFDLSGEEIKDVELALLLLASAHKVSNQQFDAASKFLNLCAFLSSRTGSSVQRVIHYFTKALQERISRRIGIVPLNGSESKERMLLHPSQTTVRFDPALISCSLKLPCVQLTKFAGVQAVIDNLPSARKVHLINLSIGTGGNCTVLMQALANRQDCPVELLKITAVGVTALRQNFEDTGKRLACFAETLKLPFFFQTVTFVNIKDLKEDMFELSNDEEIAIFAPCIVRNIKAQPGCLSSLVRVLRNLNPCVLVMIELEANHSSTIFIDQFVEALQFYSSCFDCIQDCIDQSESRIAAEAFLGQEIKNIVAVKYEEKIYHHMKIDEWRAYFTRLGLVEIEVSRSCFEQAELMLQNFASGKSCLLVRNGKCLICGWKGTSHFSVSAWKFHRRR >Manes.03G159300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28679120:28684496:1 gene:Manes.03G159300.v8.1 transcript:Manes.03G159300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLKEKVSNQLSRFFGESQNSSSSSSSSPPPLDPPSSSPDSQAGSFSNGGKSVSSYFPFTVPSLNFGRSRSNKYQEELKPIKSLLVTWGLKGFKPEDEHSVSYHECNTISEDENLQNFSEDSKESIEVSVNKQTDKTLDSNEVSASGRSSSDSDIFEEAREQQTPCSPVLHLMVESTFISSELYEFLHASLPNIVKGCQWVLLYSTLKHGISLRTLIRKSADLPGPCVLIVGDRQGAVFGGLLECPLKPTPKRKYQGTNQTFVFTTIYGEPRLFRATGANRYYYMCLNDLLALGGGCNFALCLDGDLLNGTSGPCETFGNLCLAHQPEFELKNVEVI >Manes.03G159300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28679120:28684496:1 gene:Manes.03G159300.v8.1 transcript:Manes.03G159300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLKEKVSNQLSRFFGESQNSSSSSSSSPPPLDPPSSSPDSQAGSFSNGGKSVSSYFPFTVPSLNFGRSRSNKYQEELKPIKSLLVTWGLKGFKPEDEHSVSYHECNTISEDENLQNFSEDSKESIEVSVNKQTDKTLDSNEVSASGRSSSDSDIFEEAREQQTPCSPVLHLMVESTFISSELYEFLHASLPNIVKGCQWVLLYSTLKHGISLRTLIRKSADLPGPCVLIVGDRQGAVFGGLLECPLKPTPKRKYQGTNQTFVFTTIYGEPRLFRATGANRYYYMCLNDLLALGGGCNFALCLDGDLLNGTSGPCETFGNLCLAHQPEFELKNVELWGFTHSSKFLS >Manes.04G129400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33202366:33205336:-1 gene:Manes.04G129400.v8.1 transcript:Manes.04G129400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIGVLMTCPMYSYLEQELEARFNLFKLWQQPSKADFLKTNQHKIKAIVGNTKIGADAELIDALPKLEFVASYSVGLDKIDLKKCEEKGIRVTNTPDVLTDDVADLAIGLILGVLRRICACDGHVRSGKWREADFKLTTKFSGKSVGIIGLGRIGAAIAKRAEAFSCSISYHSRSQKPYTNYKYYSNVIDLAKNCQILVVACALTEETQHIINRDVIDALGPKGILINIGRGAHVDEPELVSALLEGRLGGAGLDVYGNEPEAPEQLFGLDNVVLLPHVGSDTIETSNAMADLVIANLEAHFNSKPLLTPVV >Manes.04G031000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3924618:3929835:-1 gene:Manes.04G031000.v8.1 transcript:Manes.04G031000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSSLRPLLIPQNQNRELHVIDPMCSTSTTVPICSSTSPSINFLDGWIVERRPRQCNGRFDKYYYEPGTGRKFRSLLSVQKYLTEEKSFASKIMKPGNGKNIQIVPGMFKSSSPFTLPEGWVVKEKRRSNINKHYIEPGTGKRFRSLASVKRHLTEQKQYEATPKSSNQEDQLHLTDGRECKAMLKAFKLGDQSPSKCSDSAKKNDSGDEVVSSILDLCSPPAKVKWVLSPGGSIWSPFIDDTLVEDSLKQKWSETFQWILNPRC >Manes.04G031000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3924618:3929836:-1 gene:Manes.04G031000.v8.1 transcript:Manes.04G031000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSSLRPLLIPQNQNRELHVIDPMCSTSTTVPICSSTSPSINFLDGWIVERRPRQCNGRFDKYYYEPGTGRKFRSLLSVQKYLTEEKSFASKIMKPGNGKNIQIVPGMFKSSSPFTLPEGWVVKEKRRSNINKAGVIDRHYIEPGTGKRFRSLASVKRHLTEQKQYEATPKSSNQEDQLHLTDGRECKAMLKAFKLGDQSPSKCSDSAKKNDSGDEVVSSILDLCSPPAKVKWVLSPGGSIWSPFIDDTLVEDSLKQKWSETFQWILNPRC >Manes.04G031000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3924618:3929835:-1 gene:Manes.04G031000.v8.1 transcript:Manes.04G031000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSSLRPLLIPQNQNRELHVIDPMCSTSTTVPICSSTSPSINFLDGWIVERRPRQCNGRFDKYYYEPGTGRKFRSLLSVQKYLTEEKSFASKIMKPGNGKNRVKQVLPLQIQIVPGMFKSSSPFTLPEGWVVKEKRRSNINKAGVIDRHYIEPGTGKRFRSLASVKRHLTEQKQYEATPKSSNQEDQLHLTDGRECKAMLKAFKLGDQSPSKCSDSAKKNDSGDEVVSSILDLCSPPAKVKWVLSPGGSIWSPFIDDTLVEDSLKQKWSETFQWILNPRC >Manes.04G031000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3924618:3929835:-1 gene:Manes.04G031000.v8.1 transcript:Manes.04G031000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSSLRPLLIPQNQNRELHVIDPMCSTSTTVPICSSTSPSINFLDGWIVERRPRQCNGRFDKYYYEPGTGRKFRSLLSVQKYLTEEKSFASKIMKPGNGKNHYIEPGTGKRFRSLASVKRHLTEQKQYEATPKSSNQEDQLHLTDGRECKAMLKAFKLGDQSPSKCSDSAKKNDSGDEVVSSILDLCSPPAKVKWVLSPGGSIWSPFIDDTLVEDSLKQKWSETFQWILNPRC >Manes.10G045333.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4936823:4937176:1 gene:Manes.10G045333.v8.1 transcript:Manes.10G045333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQIKPRIVSISQKNLSVTTYYRKLKQLWDKLANIVSMPPCSCGSEKLAIEIHNVDHLMQFLMGFNNTFNQVRSQVLILNPLPTVNKVFLMVLQVESQKKVQTNLTEHIEVTVLAI >Manes.07G038365.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4699402:4701377:-1 gene:Manes.07G038365.v8.1 transcript:Manes.07G038365.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKINNEHLPPNRIYVRKRKPVVFFTSREKKYPNGSTPKRNAGELGYWEPTGTDEAILDGKKPMGFRKSLDYYEGKQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQSKQLDEWVLCKIYNNKAEDKKNKNDEDGGTVNIAETEIPKADDVSTAQPLLCDNSLMISQEYENGYGSYLLPPLWSDPPQPVLDNVDNDPPPMNNTFNNNFAYNVQPIQICQPPSHYSNGFQPIYGRGDQVWNINSMQTSSMNDLFLMPTGQELIHGRGDQVWDINSIQTTTLNDHFYVPAEEPVFGCGNQVSNINYMVTADMNGYLLVIAEEPSPLLEPAAAEKSTREFDAQPSSSNQPMPVEGAYDHASSVHREEERQSSLFDMLQYFG >Manes.14G019756.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1977199:1980820:-1 gene:Manes.14G019756.v8.1 transcript:Manes.14G019756.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISASIFPATHFLCSTNNSSSSRFSLFSFSCPSSLSRYPSCIYHLCHSRRKTLTVVSSKSSEAEEELSSTEDEWLKKLPDKKKPLYSHSLPCIEAWLRNLGFYRSKDDRAVWFIEKPEWHAQLSLDITDLFIRYLKSGPGNLEKDVERRFSYALSREDIENAILGGP >Manes.02G014300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1390733:1396542:1 gene:Manes.02G014300.v8.1 transcript:Manes.02G014300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVAGISFPFVLGIGVSFVLRKTISGGVKEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSPLTSLWVLLSGVGFIICCIAIIPFVFKWMAHRCPQGEPVNEMYVCATLATVLAAGLCTDCIGIHALFGAFVIGILIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIHGAQSWGLLVLVISTACFGKIVGTLVVSLLCRIPIREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDETFAVCVLMAIFTTFITTPIVVTVYKPGKRAIRPDYKHRTIERKDPDSELRILSCFHSTWNIPTLINLIEFSRGTEKRQGLCVYALHLMELSERTSAILMVHKARKNGLPFWNKLRQHDTNQVVVAFEAFRQLNRVFIRPMTAISAMHDMHEDICRSAERKRAAMIILPFHKHQRLDGTLETTRSDFRWVNKRVLDHAPCSVGILVDRGLGGGTHVSASNVSSTVTILFFGGRDDREALAYGARMAEHPGISLNIVHFTASDDIMRQMVKIDITEESSTSSESADKTFLASFKKSSDDNSIKFEEREVSSAKEIVEVVKEFSRCNLFVVGRMPVGPVAATLTERTECPELGPVGDILTCNDLATSASVLVVQQYNGSTTSQADSSSFKRVAESPGDDSDTV >Manes.02G014300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1390733:1396581:1 gene:Manes.02G014300.v8.1 transcript:Manes.02G014300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATAASCPKPMKPTSNGIFQGDNPLDYALPLAIIQIILVIVLTRILALLLKPLRQPRVIAEIIGGILLGPSALGRNTHYLNSIFPARSLTVLDTLANLGLLFFLFLVGLELDLKALRQTGKKALMIAVAGISFPFVLGIGVSFVLRKTISGGVKEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSPLTSLWVLLSGVGFIICCIAIIPFVFKWMAHRCPQGEPVNEMYVCATLATVLAAGLCTDCIGIHALFGAFVIGILIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIHGAQSWGLLVLVISTACFGKIVGTLVVSLLCRIPIREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDETFAVCVLMAIFTTFITTPIVVTVYKPGKRAIRPDYKHRTIERKDPDSELRILSCFHSTWNIPTLINLIEFSRGTEKRQGLCVYALHLMELSERTSAILMVHKARKNGLPFWNKLRQHDTNQVVVAFEAFRQLNRVFIRPMTAISAMHDMHEDICRSAERKRAAMIILPFHKHQRLDGTLETTRSDFRWVNKRVLDHAPCSVGILVDRGLGGGTHVSASNVSSTVTILFFGGRDDREALAYGARMAEHPGISLNIVHFTASDDIMRQMVKIDITEESSTSSESADKTFLASFKKSSDDNSIKFEEREVSSAKEIVEVVKEFSRCNLFVVGRMPVGPVAATLTERTECPELGPVGDILTCNDLATSASVLVVQQYNGSTTSQADSSSFKRVAESPGDDSDTV >Manes.02G014300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1392421:1396542:1 gene:Manes.02G014300.v8.1 transcript:Manes.02G014300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNATAASCPKPMKPTSNGIFQGDNPLDYALPLAIIQIILVIVLTRILALLLKPLRQPRVIAEIIGGILLGPSALGRNTHYLNSIFPARSLTVLDTLANLGLLFFLFLVGLELDLKALRQTGKKALMIAVAGISFPFVLGIGVSFVLRKTISGGVKEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSPLTSLWVLLSGVGFIICCIAIIPFVFKWMAHRCPQGEPVNEMYVCATLATVLAAGLCTDCIGIHALFGAFVIGILIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIHGAQSWGLLVLVISTACFGKIVGTLVVSLLCRIPIREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDETFAVCVLMAIFTTFITTPIVVTVYKPGKRAIRPDYKHRTIERKDPDSELRILSCFHSTWNIPTLINLIEFSRGTEKRQGLCVYALHLMELSERTSAILMVHKARKNGLPFWNKLRQHDTNQVVVAFEAFRQLNRVFIRPMTAISAMHDMHEDICRSAERKRAAMIILPFHKHQRLDGTLETTRSDFRWVNKRVLDHAPCSVGILVDRGLGGGTHVSASNVSSTVTILFFGGRDDREALAYGARMAEHPGISLNIVHFTASDDIMRQMVKIDITEESSTSSESADKTFLASFKKSSDDNSIKFEEREVSSAKEIVEVVKEFSRCNLFVVGRMPVGPVAATLTERTECPELGPVGDILTCNDLATSASVLVVQQYNGSTTSQADSSSFKRVAESPGDDSDTV >Manes.13G008000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1191327:1195536:1 gene:Manes.13G008000.v8.1 transcript:Manes.13G008000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFTTLPNVGSLPGQRNASQVKALSLACNAPRLPARVNVSPSSSSLFFSNWLRVNPLTLPLSSQRKTHLSAIRASAEVAELQSKVTNKVYFDVSIGNPVGKLVGRIVIGLYGDDVPQTAENFRALCTGDKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHVEPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVKLIESQETDRGDRPRKRVVISDCGELPMSEA >Manes.13G008000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1191327:1195978:1 gene:Manes.13G008000.v8.1 transcript:Manes.13G008000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFTTLPNVGSLPGQRNASQVKALSLACNAPRLPARVNVSPSSSSLFFSNWLRVNPLTLPLSSQRKTHLSAIRASAEVAELQSKVTNKVYFDVSIGNPVGKLVGRIVIGLYGDDVPQTAENFRALCTGDKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHVEPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVKLIESQETDRGDRPRKRVVISDCGELPMSEA >Manes.13G008000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1191327:1195536:1 gene:Manes.13G008000.v8.1 transcript:Manes.13G008000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFTTLPNVGSLPGQRNASQVKALSLACNAPRLPARVNVSPSSSSLFFSNWLRVNPLTLPLSSQRKTHLSAIRASAEVAELQSKVTNKVYFDVSIGNPVGKLVGRIVIGLYGDDVPQTAENFRALCTGDKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHVEPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVKLIESQETDRGDRPRKRVVISDCGELPMSEA >Manes.13G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1984798:1986231:1 gene:Manes.13G013500.v8.1 transcript:Manes.13G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSTLFNHFLCRNQEFFAMGRKPCCDKVGLNRGPWTIEEDQKLMNFILSNGIQCWRLVPKLAGLLRCGKSCRLRWMNYLRPDLKRGALTEAEEDQIIELHSRLGNRWSKIAAHFPGRTDNEIKNQWNTKIKKKLKLLGIDPKTHKPVEKEKDFASEEARQQPAETSREELKFQDNNPGFMGNNQIENNQVDQCCSPGQESNLVQKSETMITDIDAAQSESFSSTSMQEGSHQHWIDNLEDYLLSWDWFSNLEEIFPLDTHQ >Manes.16G048930.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14679773:14738611:1 gene:Manes.16G048930.v8.1 transcript:Manes.16G048930.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSVPPPLAPPPPPPPQSHGGGVQVRCAGCRMILTVAPGLVDFVCPTCQMHQMLPPELMSRVHNSPQQKNTQQSQVPAHGIDPSKIQLPCANCKAILNVPHGLARFACPQCGVDLAVDLTKVKQFFSVPPTRPLPPPEEVNEVAIEVEREEDEGGIVGETFIDYRPSKLSIGPPHPDPIVETSSLSAVQPPEPTYELKSKDDLERTKVLSCLQIETLVYASQRHCQHLPNGARAGFFIGDGAGVGKGRTIAGLIWENWLQGRRKTLWISVGSDLKFDARRDLDDVGATYIEVHALNKLPYSKLDSKSVGVKEGAVFLTYSSLIASSEKGRSRLQQLVQWCGSEFDGLVIFDECHKAKNLVPEAGSQPTRTGEAVLEIQARLPEARVIYCSATGASEPRNMGYMVRLGLWGAGTCFDDFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGVEFEVVEAPLEAEMTEMYKKAAEFWAELRVELLSASAFLASDKPISSQLWRLYWSSHQRFFRHMCMSAKVPATVRLAKQALMEDKCVVIGLQSTGEARTEEAVTKYGLELDDFISGPRELLLKFVEENYPLPEKPEPLTGEEGVKELQRKRHSATPGVSLKGRVRKVARWKPASDGESDEESESDSAPESTESDDEFQICEICNTEEERKKLLRCSCCGQLVHPACLVPPITDIVSEEWSCYSCKEKTDEFFQARQVYIAELLKRYEAALERKSKILDIIRSLDLPNNPLDDIIDQLGGPDKVAEMTGRRGMLVRASNGKGVTYQARNTKDVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAINQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKKALMVMYRGIMEQDTLPVVPPGCSSEKPETVQDFIIKAKAALVAVGIVRDSVLGNGKLSGRIIDSDMHDVGRFLNRLLGLPPEIQNRLFELFVSILDLLIQNARIEGNLDSGIVDMKANIIELQGTPKTVHVDQMSGASTVLFTFTLDRGITWESASAMLEEKQKDGLGSSNDGFYESKREWLGRRHFVLAFESPASGMFKIVRPTVGESVREMPLAELKNKYRKLSSLEKARSGWEDEYEVSSKQCMHGPNCKLGNYCTVGRRLQEVNVLGGLIVPIWGTIEKALSKQARQSHKRLRVVRLETTTDNQRIVGLLVPNSAVETVLQDLAWVQDIDD >Manes.14G015900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:981231:987264:1 gene:Manes.14G015900.v8.1 transcript:Manes.14G015900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIESGSVIQEAPKVDDHKIQFGDNSTEVAVFDASQYAFFGKDFVEDVELGGLEDEEEDLAAVEFDEEEFLFDRQEGEVVRSLSDIDDLASTFSKLNKDVSGPRSAGVIGDRGSRESSSAAEWVQGEDFPNWLDQQPLLDPEAIQDGKRWSSQPYAASAHLLELKPLYRTSSYPEQQQQLYRTSSYPEQQQQHHQHFSSEPILVPKSSYTSYPPPGGQSPQASPNHSHLNIPYLGGGPQVALSLPNISPFSSPQLQLTGLHHGSPHFGGNLSQFSSGPSANSRLTNQWVNHTGLYPGDHPNRLNNLFQQPLSHQNGLIPPQFMPQMQPQQHRMHHPIQPSLGHLSGMQSQIYTPHLSPSAPMMSKYEAVLGLGDIRDQRPKSSQKGRQNLRYSQQGFETNGQKIESFWSQFRSKYMTSDEIESILRMQLAATHSNDPYVDDYYHQACLAKKSTGAKLKHHFCPTHLRDLPPRARANTEPHAFLQVDALGRTPFSSIRRPRPLLEVEPPNSSISGGTDQKVSEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLEFNQLQDGGVQLRRRRQVLLEGLAASLQLVDPLAKNGHTVGLAPKDDLVFLRLASLPKGRKLLARYLQLLSSGNDLMRIVCMAIFRHLRFLFGGLPSDLGAAETTNNLARVVSLCACRMDLGSLSACLAAVVCSSEQPPLRPLGSSAGNGASLILLSVLERATELLRELQNASNYNMTNRALWKASFDEFFGLLIKYCINKYDSIMQSSLQDPAEAIKRELPMELLRASVPHTNDYQKKMMYDLSQRSLVGEDGGRMNSESVFS >Manes.14G015900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:981231:987303:1 gene:Manes.14G015900.v8.1 transcript:Manes.14G015900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLTFACLLLIFLQLNKDVSGPRSAGVIGDRGSRESSSAAEWVQGEDFPNWLDQQPLLDPEAIQDGKRWSSQPYAASAHLLELKPLYRTSSYPEQQQQLYRTSSYPEQQQQHHQHFSSEPILVPKSSYTSYPPPGGQSPQASPNHSHLNIPYLGGGPQVALSLPNISPFSSPQLQLTGLHHGSPHFGGNLSQFSSGPSANSRLTNQWVNHTGLYPGDHPNRLNNLFQQPLSHQNGLIPPQFMPQMQPQQHRMHHPIQPSLGHLSGMQSQIYTPHLSPSAPMMSKYEAVLGLGDIRDQRPKSSQKGRQNLRYSQQGFETNGQKIESFWSQFRSKYMTSDEIESILRMQLAATHSNDPYVDDYYHQACLAKKSTGAKLKHHFCPTHLRDLPPRARANTEPHAFLQVDALGRTPFSSIRRPRPLLEVEPPNSSISGGTDQKVSEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLEFNQLQDGGVQLRRRRQVLLEGLAASLQLVDPLAKNGHTVGLAPKDDLVFLRLASLPKGRKLLARYLQLLSSGNDLMRIVCMAIFRHLRFLFGGLPSDLGAAETTNNLARVVSLCACRMDLGSLSACLAAVVCSSEQPPLRPLGSSAGNGASLILLSVLERATELLRELQNASNYNMTNRALWKASFDEFFGLLIKYCINKYDSIMQSSLQDPAEAIKRELPMELLRASVPHTNDYQKKMMYDLSQRSLVGEDGGRMNSESVFS >Manes.01G010150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3243449:3244117:1 gene:Manes.01G010150.v8.1 transcript:Manes.01G010150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHCLIFHVHEYFFLQQSICNSSSLMRLFRPSGDRLCLLTIRAAIEILLCTLM >Manes.18G144808.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:21262218:21262844:1 gene:Manes.18G144808.v8.1 transcript:Manes.18G144808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAQPVSRPTRACPPTDGWRGGCCVKSAGVGSGRAIRRERWITIPFSVPLVSSALSPCDVRRRCLLESWLALTRRAKIAQLLCNLVVDGEDAAAVVWTSRERAKLSLARIAVSMLAGVGVQKCGDAQVLLCLGDLCLRLERPAGCWLLGVDS >Manes.14G132200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10515531:10519072:1 gene:Manes.14G132200.v8.1 transcript:Manes.14G132200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTICFSFTPVCSSLSPNKPGMILGDSVAGKVLTVNKVFQTSKNSKFQSLEVKATNSDKSSKPNSLVCPDCDGNGAILCSQCKGTGVNSVDHFNGQFKAGGLCWLCRYNAAIFPGTFHCSYLVLQFFL >Manes.14G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10515531:10519072:1 gene:Manes.14G132200.v8.1 transcript:Manes.14G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTICFSFTPVCSSLSPNKPGMILGDSVAGKVLTVNKVFQTSKNSKFQSLEVKATNSDKSSKPNSLVCPDCDGNGAILCSQCKGTGVNSVDHFNGQFKAGGLCWLCRGKRDILCGNCNGAGFIGGFMSTCDD >Manes.14G029600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2700799:2701876:1 gene:Manes.14G029600.v8.1 transcript:Manes.14G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DREB1 MDVFSQYSDSLPFASHSWSPDTCSAANFSDEDILLASSYPKKRAGRKKFRETRHPVYRGVRQRNSGKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAALALRGRSACLNFADSSWRLPVPASSEAKDIQKAAAEAAMAFQPVGTEGFCEEVKLENKTTTEAATEEVFYMDEEAVFGMPGLLAYMAEGMLLPPPQCVAQSGEDIETDAADVPLWSFSV >Manes.02G045100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3702456:3707409:-1 gene:Manes.02G045100.v8.1 transcript:Manes.02G045100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLTRPDDWHLHLRDNELLEAVVPHSASHFGRAIVMPNLKPPVTTTAAALAYRESILKALPPNSNFTPLMTLYLTDSTSPDEIKLARRSGVIYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTDPNVDVFDREKVFIETVLRPLIKRLPRLKVVMEHITTMDAAKFVESCDDGFVAATVTPQHLVLNRNALFQGGLQPHSYCLPVLKRETHRQAIVSAVTSGSKKFFLGTDSAPHERKKKECPCGCAGIYNAPVALSLYAKIFEEAGALDKLEAFTSFNGPDFYGLPRNTSHIKLTKTSWKVPEAFSFSFGDIVPMFAGETLEWQSSCV >Manes.02G045100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3702456:3706965:-1 gene:Manes.02G045100.v8.1 transcript:Manes.02G045100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLTRPDDWHLHLRDNELLEAVVPHSASHFGRAIVMPNLKPPVTTTAAALAYRESILKALPPNSNFTPLMTLYLTDSTSPDEIKLARRSGVIYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTDPNVDVFDREKVFIETVLRPLIKRLPRLKVVMEHITTMDAAKFVESCDDGFVAATVTPQHLVLNRNALFQGGLQPHSYCLPVLKRETHRQAIVSAVTSGSKKFFLGTDSAPHERKKKECPCGCAGIYNAPVALSLYAKIFEEAGALDKLEAFTSFNGPDFYGLPRNTSHIKLTKTSWKVPEAFSFSFGDIVPMFAGETLEWQSSCV >Manes.02G045100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3702456:3707409:-1 gene:Manes.02G045100.v8.1 transcript:Manes.02G045100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTSVLHLKAFQFPSCEIRRFRNLKCKASNMELTLTRPDDWHLHLRDNELLEAVVPHSASHFGRAIVMPNLKPPVTTTAAALAYRESILKALPPNSNFTPLMTLYLTDSTSPDEIKLARRSGVIYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVEQNMPLLVHGEVTDPNVDVFDREKVFIETVLRPLIKRLPRLKVVMEHITTMDAAKFVESCDDGFVAATVTPQHLVLNRNALFQGGLQPHSYCLPVLKRETHRQAIVSAVTSGSKKFFLGTDSAPHERKKKECPCGCAGIYNAPVALSLYAKIFEEAGALDKLEAFTSFNGPDFYGLPRNTSHIKLTKTSWKVPEAFSFSFGDIVPMFAGETLEWQSSCV >Manes.02G118100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9044397:9055927:1 gene:Manes.02G118100.v8.1 transcript:Manes.02G118100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLKKKKEKLKKEKQRIAMSSPSTRLQSNLERFLQCVTPVVPSRFLPQSCIHDLTSSWQPPGSKDMVEYFTLGDLWDCYDEWSAYGSGTQVLLNKGESVMQYYVPYLSAIQIYSNKAALAFRNLNPGEHIDAVEFESDSWSDDSTNDKLSRSLSNNSSQTWETSSEDLSVDHEGSLLTMERFGYLYLQYFETSSPCWRIPLIEKITELARNHPGLMTLKNVDLSPASWMAVAWYPIYHIPTKRNEKDLSTSFLTYHTLSSSFQDCGNEHADMLDSIEATRIKPKDDSSGGISLPPFGMANYKLQGDLWVKPETSDLERIIYLRSAADSWLKQLDVYHHDYNFFTSHSTV >Manes.03G168800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29431868:29441593:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTAGDQAEEMKNATDISFLQREDRNNKDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILK >Manes.03G168800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29431868:29441593:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILKWMNSHMPLSHLDGFFLRLRLGKWEEGLGGTGYYVACITGAQMDNSPQKSKKSIGVDVGGIKCLVESQYVSNHGFLEDELVAWWSATSRSGHKLPSKEELRLKVEEKKMLGF >Manes.03G168800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29431868:29441593:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTAGDQAEEMKNATDISFLQREDRNNKDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILKWMNSHMPLSHLDGFFLRLRLGKWEEGLGGTGYYVACITGAQMDNSPQKSKKSIGVDVGGIKCLVESQYVSNHGFLEDELVAWWSATSRSGHKLPSKEELRLKVEEKKMLGF >Manes.03G168800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29431868:29441593:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILKWMNSHMPLSHLDGFFLRLRLGKWEEGLGGTGYYVACITGAQMDNSPQKSKKSIGVDVGGIKCLVESQYVSNHGFLEDELVAWWSATSRSGHKLPSKEELRLKVEEKKMLGF >Manes.03G168800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29434494:29441593:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTAGDQAEEMKNATDISFLQREDRNNKDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILKWMNSHMPLSHLDGFFLRLRLGKWEEGLGGTGYYVACITGAQMDNSPQKSKKSIGVDVGGIKCLVESQYVSNHGFLEDELVAWWSATSRSGHKLPSKEELRLKVEEKKMLGF >Manes.03G168800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29431868:29440452:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILK >Manes.03G168800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29431867:29441594:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTAGDQAEEMKNATDISFLQREDRNNKDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILKWMNSHMPLSHLDGFFLRLRLGKWEEGLGGTGYYVACITGAQMDNSPQKSKKSIGVDVGGIKCLVESQYVSNHGFLEDELVAWWSATSRSGHKLPSKEELRLKVEEKKMLGF >Manes.03G168800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29431868:29441593:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTAGDQAEEMKNATDISFLQREDRNNKDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILK >Manes.03G168800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29434494:29441593:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILKWMNSHMPLSHLDGFFLRLRLGKWEEGLGGTGYYVACITGAQMDNSPQKSKKSIGVDVGGIKCLVESQYVSNHGFLEDELVAWWSATSRSGHKLPSKEELRLKVEEKKMLGF >Manes.03G168800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29431868:29440452:1 gene:Manes.03G168800.v8.1 transcript:Manes.03G168800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDKNTEPVTNLSLAQGHSNQCIQRILNNEDPGAGANAASRVDMTFVATDPLSELVWSPQKGLSLRCADGSFSNKKPSLLWGVGSTKMGSGSSSDMPTSNNNKPINEKDFMGSLAARNIGSEVAGGDNYIISPTSNAGIMPLSGSSHELRTDKTGLEVVQNNQKEPIGSATNVGDRNHALGMEIVLASEIHSVKQCEAYDTKMQNKGKGLEESTSVIGKESKNTIVIEAPGTFPLERLESTAENDLESPLGENVYDRTTRIVPLESADRGEINTRQNNELLPIDLAVKQSPTNRRIQRDKKGKCKALSDGDANEIMLNEEDGSHESVESCNSAGLFSTGKRPWNFEQQLIVGSKRVKRQIQESPSSSLIIKQDSSFMNWISNMMKGFSKSSKGEVPSLSPALANCSNRHDNPDQNLITCNRNEDTGSKTIGFQSIFQSLYCRNTKVQEVASSNIDHPTEGLKEQELDNKICDLNATPIACRLKTGNVYKRFLPSNDRFNESASGSQASPVIHCKDLAMNFAVIQENNRSNLLVNKSSCNLATDKGKDGTSSNSSRDKHKKYSFEKIDCGPPFEGKTACNFGPKGDPLESLWIARFTPKTSGPLLNQDPSNKSTGEALDCSSDDQRQKPQVQNPLCSFDEHENEEPLHEGNSGTAAESLFGPYRIKEFHDEKSMYKQNPTKPSPSLKNSEAMASVFARRLDALKHLTPSNEPDHAAHATVICLFCGVKGHQLQECSEITDTELEDILRNMNSYNDVKELPCVCIRCFQLNHWAVECPIACSRVRNQTECDASLVNQCRPSKMQLDARNEDHTKIKEIAAGSLALCDRHDSRMEKDLNLAWKLNEAANSGEMKLNAKLVGKEIASSSREKKLKENLVAPLYESSNSQISDVPNGIFDAIRKLRLSRTDILK >Manes.04G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31691368:31692492:-1 gene:Manes.04G113000.v8.1 transcript:Manes.04G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSSVAFGFVVVVLLQCAAAQTVHVVGDNLGWGILTGGAQAYTDWANGKNFVVGDVLTFNFKTNEHDVLQVQKASFDACSSSNPIGDVITTGPVNITLDAAGENYFMCTFSQHCQLGQKLAITVSSSGGTPPSTTPRLSPPPTTPSPKNTPAICPPDAPAGAPTSPSTPRAMGPNTPPSPGSSSSEILAGIWVSMLAVIMGLLL >Manes.10G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:394730:427050:1 gene:Manes.10G003100.v8.1 transcript:Manes.10G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHALMGGGDWGPILTTIRSFGIAVMGRRKQARPHRSGGVTIANSDAAAVELDKQKVPETETADQGEFVTIDRPYYVEVDRSNWVSNEHLDISEVILNDMNFREAYSSFSINADIYQSRYSLRFRVCNVDEFVIDRIKLGHWPVLSSSDVSLELIEKCMVEDGEMQSVILSGSFDGPNEGITGLVHLTSMQFLTVRPVLGFKCSEEVVPVRVRVEILKKAFDACESLLENTRQLWKKSMMSVMAWLRPEVMTSEARYGVTKSTEMAVDMVADIGNDTSNSRKRARLDVAGFYEAIKPSKSAPMLDNDISDLLPVLRPYQRRAAFWMLQQEKRDSEDSGEEHRSQFFSPLCMPVTFLDSSSTMFYNPFSGNVSLSPEFTSPYISGGILADEMGLGKTVELLACIFAHRKSACEDGIFVDNAWQGTGDHKVNIRRLKIERVECICGAVSESYRYRGLWVQCDICDAWQHADCVGYSAKGKKKRSTIEVEKHRKKTTISFVERDGDHICQMCSELIQATDSPIATGATIIICPAPILPQWHAEIARHTRPGSLKTCVYEGVRDTSLSNKSIVDISELVNADIVLTTYDVLKEDLSHDCDRHEGDRRILRFQKRYPVIPTLLTRIFWWRVCLDEAQMVESNATAATEMAHRLPARHRWCITGTPIQRKLDDLYGLIRFLKATPFNVSRWWVDVVRNPYERRDVGAMEFTHKFFKQIMWRSSKVHVADELQLPPQEECVSWLTFSAIEEHFYQRQHETCVSYAREVIESLKDDILKRSVSGSSPADTLPDPFITHAEAAKLLNSLLKLRQACCHPQVGSSGLRSVQQSPMTMQEILMVLVGKTKIEGEEALRKSVVALNALAGIGIIEQNFSQAISLYREALALTEEHSEDFRLDPLLNIHIHHNLAEILLKVTNCPSQLSSNGEQLLENSEKGSKLLSIEKCDMNVVKRRKVSGEHDSDYTDDAENTVVLSKYSLNGDQAIAGKSDVSSMPFSEGLLRATCEELKQKYLSVFTAKLFMAQEDFRKSYRQVCNAFSDRENQDTAWWLDALHHAELNNEFSRDLMRKIEEAVLGTLNNARSSRIASRFRSMAALKYHIQTRLDQLETSRKILLDRLLEIDQLMEKPKQEDIERVRYCRSCQAIDDGPTCIHCELEELFKDYEARLFRLNKLHGEIITSAEEAVDLQKKNSALNRFYWNLSGPNKNPTPFGDANETSKKRDAGERVVVSKSPSELEVILGVMKSYCKVQLGKEGITAASKQLHILEAMRKEYSHARSLAVSQAQLLRAHDEIRMATSRLHLREDENDNSVDALGPNELESANVLHSNEKFISLTMLSRIKGRLRYLKGLVLSKQKSSSESSINSSLTQEMATVSISTEKISKDLQKDEEACPICQEKLNEQKMVFQCGHVTCCKCLFAMTEQRQHDNKFHRKWVMCPTCRQHTDFGNIAYADDRQDKSFNSATLDAIQGYEKCEASLTVQGSYGSKIEAVTRRILWIKSSDPEAKVLVFSSWNDVLDVLEHAFNANGITYTRMKGGRKAHIAISEFRGQESSAKRTHKINGQKEQGVQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAISRVHRIGQENKTLVHRFIVKGTVEESIYKLNRSRNTSSFISGNTKNQDQPLLTLKDVESLFATVASTVPKGEEETTESLRHLPPTMAAALAAERRLKENTT >Manes.10G151500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31861489:31862559:1 gene:Manes.10G151500.v8.1 transcript:Manes.10G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRHSLLLRRPCIKHFSHSHPLRPVDVKEEEELVCSGCELDLSGSAYKCSKSNCEFSLHKSCFELPGELEHNSHSQHLLTLLPSPPKHDSKFTCDACGDYGTAFTYHCLTCQFNLHVGCAFLPNTIKHVDHDHPLTLFYSSSMGKGCTTFTCDACKKDVSQSHWIYYCPDCDYGTNLACTIPQC >Manes.06G162700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29019509:29023107:-1 gene:Manes.06G162700.v8.1 transcript:Manes.06G162700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAACFFTPTSSNFNPHLNLHRPFLSSHSSSLRLSLSSPSPSPLSLRHQHTDPFSLFVPFASSSGGDGSFNNGTRSGGGGGGGNDDSNSNNSGGDEDGDNAANRNRKEAMIVLAESKRALETLPNDLVAAIQAGRIPGAVVSRFLELEKSGFFRWLLNFGGFKERLLADDLFLAKVGIECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPLASSAGHIAKFFYSCPDNAFQVALAGTSYSFLQRLGSIVRNGAKLFAVGTTSSLVGTAVTNALINARKAVDKSGEVENVPVLSTSVAYGVYMAVSSNLRYQVLAGVIEQRILDPLLHQHKLMLSAICFAVRTGNTFLGSLLWVDYARLIGLQKSQEEQKELA >Manes.13G047000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5473204:5475320:-1 gene:Manes.13G047000.v8.1 transcript:Manes.13G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFERRDLAGLTLDAVLNSAYEKQPPVIVSPTPAQVNRSLLDIIRNEERHGSLFGHKDKSWKAFRERLRQKRAGSAWTSSVPIPASDIPIQNNNYNFNNGTNQRSFMCRRNSARFTTVSSPTSGESIQTDDSRQQMSRWGSSRCRSPTPSESTGLDGSSMHALAVGDGLPSRSFMPQMSPHNCPDLLQDSDEEHRGAALASEGMFSAREAVVAQEAAEAAAQAAAAAASEDEEASGPTNEGTAEAEPAKMSLLDLLEETDREMGLVGSSYTVGDKNRECYEEEEGDDDDEADGGIEHTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGFILEVLDIF >Manes.13G047000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5473204:5475324:-1 gene:Manes.13G047000.v8.1 transcript:Manes.13G047000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFERRDLAGLTLDAVLNSAYEKQPPVIVSPTPAQVNRSLLDIIRNEERHGSLFGHKDKSWKAFRERLRQKRAGSAWTSSVPIPASDIPIQNNNYNFNNGTNQRSFMCRRNSARFTTVSSPTSGESIQTDDSRQQMSRWGSSRCRSPTPSESTGLDGSSMHALAVGDGLPSRSFMPQMSPHNCPDLLQDSDEEHRGAALASEGMFSAREAVVAQEAAEAAAQAAAAAASEDEEASGPTNEGTAEAEPAKMSLLDLLEETDREMGLVGSSYTVGDKNRECYEEEEGDDDDEADGGIEHTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGFILEVLDIF >Manes.05G174300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29065628:29071342:1 gene:Manes.05G174300.v8.1 transcript:Manes.05G174300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASFSHRPISPCLYGHSNPIYLYSSPMFLSFPFHLTTSLNLRVSALKTDSDGLRKPTVPPGKDLDGISEDEDDGDGKRNYKEKEEEEWVDWEDQILEDTVPLVGFVRMILHSGKYENGDRLSPEHERTIVERLLPYHPECTKKIGCGIDYITVDHHPDFETSRCLFIVRKDGQLVDFSYWKCIKGLIRKNYPLYADSFILRHFRRRKQR >Manes.05G174300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29065628:29067127:1 gene:Manes.05G174300.v8.1 transcript:Manes.05G174300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASFSHRPISPCLYGHSNPIYLYSSPMFLSFPFHLTTSLNLRVSALKTDSDGLRKPTVPPGKDLDGISEDEDDGDGKRNYKEKEEEEWVDWEDQILEDTVPLVGFVRMILHSGKYENGDRLSPEHERTIVERLLPYHPECTKKIGCGIDYITVGLICSLPQFA >Manes.09G112400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31437422:31439325:-1 gene:Manes.09G112400.v8.1 transcript:Manes.09G112400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFIGNKDMFFCGVFDGHGPYGHKVSRHVRDNLPSRLSAAMKLSQVHSYRYSDVGVVDGNDSDETYKSDGSNKGDDDSKVLPSSWEASLVKCFKDMDEELSLDASIDSFCSGSTAVTVVKQGNHLIIANLGDSRAVLCSRGSRNQLVPVQLTVDLKPNIASETERIKNLNGRIFALEEEPEVFRIWMPDEDCPGLAMARAFGDFCLKDYGLISIPEVSFRRLTKNDEFVVLATDGIWDVLSNYDVIRIVASARKRSLAAKMLVKYAVRAWKTKYPGCRVDDCAAVCLFLKSRTVLARSFSEVSRVSANHSELAEDNSEVSRASVHRSEIAKVPQRPRTTKSVQGGQGHESAPTTGSKESSIASDGITRVNSFAKIPEFANSLSQRKPFKDEE >Manes.09G112400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31437423:31440506:-1 gene:Manes.09G112400.v8.1 transcript:Manes.09G112400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSKEANFGEGGAVAEDSLEERDYDGVEEDDHFTIGDFGARMRMQGASKYISMYSQQGKKGVNQDSMTVWEEFIGNKDMFFCGVFDGHGPYGHKVSRHVRDNLPSRLSAAMKLSQVHSYRYSDVGVVDGNDSDETYKSDGSNKGDDDSKVLPSSWEASLVKCFKDMDEELSLDASIDSFCSGSTAVTVVKQGNHLIIANLGDSRAVLCSRGSRNQLVPVQLTVDLKPNIASETERIKNLNGRIFALEEEPEVFRIWMPDEDCPGLAMARAFGDFCLKDYGLISIPEVSFRRLTKNDEFVVLATDGIWDVLSNYDVIRIVASARKRSLAAKMLVKYAVRAWKTKYPGCRVDDCAAVCLFLKSRTVLARSFSEVSRVSANHSELAEDNSEVSRASVHRSEIAKVPQRPRTTKSVQGGQGHESAPTTGSKESSIASDGITRVNSFAKIPEFANSLSQRKPFKDEE >Manes.09G112400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31437423:31440390:-1 gene:Manes.09G112400.v8.1 transcript:Manes.09G112400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSKEANFGEGGAVAEDSLEERDYDGVEEDDHFTIGDFGARMRMQGASKYISMYSQQGKKGVNQDSMTVWEEFIGNKDMFFCGVFDGHGPYGHKVSRHVRDNLPSRLSAAMKLSQVHSYRYSDVGVVDGNDSDETYKSDGSNKGDDDSKVLPSSWEASLVKCFKDMDEELSLDASIDSFCSGSTAVTVVKQGNHLIIANLGDSRAVLCSRGSRNQLVPVQLTVDLKPNIASETERIKNLNGRIFALEEEPEVFRIWMPDEDCPGLAMARAFGDFCLKDYGLISIPEVSFRRLTKNDEFVVLATDGIWDVLSNYDVIRIVASARKRSLAAKMLVKYAVRAWKTKYPGCRVDDCAAVCLFLKSRTVLARSFSEVSRVSANHSELAEDNSEVSRASVHRSEIAKVPQRPRTTKSVQGGQGHESAPTTGSKESSIASDGITRVNSFAKIPEFANSLSQRKPFKDEE >Manes.10G066600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9711250:9712825:-1 gene:Manes.10G066600.v8.1 transcript:Manes.10G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSSEITHDFPGFFKVYKDGRIERYMNSDFSPPGLDPETGIHSKDVLISSTTGVKARIFLPKLADPSRKFPLLVHYHGGGFCMGSALSTRFKNFLSALASQANIITMSIEYRLAPEHKLPIAYDDSWEGFQWVASHSNGQGPEPCINEHADLGRVILAGESAGANLAHYVAVKAGATQLPGVNITRLLIVHPYFGTQQVDKFYKYMCPTSSGSNDDPRLNPEVDPDLMKLKCDRVLVCVAERDFLKSRGDSYYEIMKKCGWEGEVDYYETKNEEHCFHLFNPKSENIAPLIKTMVDFIKHD >Manes.13G050800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5783240:5784329:1 gene:Manes.13G050800.v8.1 transcript:Manes.13G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMISTGSCGSFHTISKPNLSTQKSFLELSSHFSFNTHFPKPSFPLRTRSKSIRNSPVKVAAVPGVYLNKQSFYDLLRIPKSGTLSEIKKAYKQLARKYHPDVSPPGRAEEYTKRFLQVQEAYETLSDPKSRALYDRDMAGGLDLHTMFSATKRSQEKKSTFPKFKWMTF >Manes.12G105800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:28752690:28753837:1 gene:Manes.12G105800.v8.1 transcript:Manes.12G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHIRSISLPSRSHPLIVNIEEQLYKLKASQSSSMSYKLNGLKNLFECVDDLLQMPLAQQTLSHERQSQCVENALNVSLELLDLCDSTRDLFSQMKECVQELELSLRRRKGKDSGITTEVEAYMVSRKKLRKVICKYLRNLKRKERNCTTEALDNNSNFTNVISILTRVQEISLVEFESILSFISQSKAKSRSFGWSIISKALQSKRISCEVETKVNEVEKVDAELLNLKSSKDVSISQLQSLLKGLEALGSSIQEAEEDLDCIYRRLVKTRVSLLNILDH >Manes.15G185100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26239030:26241953:1 gene:Manes.15G185100.v8.1 transcript:Manes.15G185100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICTVYTTQSLNSTCSIYTPGKTHFGFNQKHLVLYSSSSKRINRRASTSVITCSAEDSQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPRGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPKANDFDLMCEQVKALKDGIAVEKPIYNHVTGLLDPPELIKPPKILVIEGLHPMFDERVRKLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPGDNEGKILRVRMIMKEGVEYFIPVYLFDEGSTISWIPCGRKLTCSYPGIKFSYGPETYFGHEVSVVEMDGQFDRLDELIYVESHLSNISTKFYGEVTQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQIIASKAKARVEAKA >Manes.15G088900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6804536:6805496:1 gene:Manes.15G088900.v8.1 transcript:Manes.15G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHQKTTSRDIFSDQDSDFQFEFGCFTPDSPSTDPFKASPADHLFYNGRLLPHSFPVQTPTTTTLLVDSISRASSRNSSVSSKGSLVSSRSNSVNSSRSSVSSSSRTSWSSDYSQRRLLYHSTKLASRTPMASKVVMAQFYGSSQRWQHIVSVPPAMKREDSRRKNVGVAVVNPGLMNKKDSDHQKEKKGKSLGICRKIFRSFLVACRECHAIEPSREEDILQGNVKLQ >Manes.14G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3278146:3279261:1 gene:Manes.14G037700.v8.1 transcript:Manes.14G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESQQGCIQLPVFDISQPLSPSSLSSLSLACKEWGFFHIINHGVSKNLYKKLHSLSDNLFSLPYESKIKVGPSSSLKSYTPHFIASPFFESLRVSGPDFHASARSSAELLFDHPNPEFSDTLQEYGNKMSELSKTIVKAVLTSLGEDFETKFYESDFKKCNGYLRIIKYTAPAESLEEEKEVEGLGMHTDMSCVTIVCQDEIGGLQVRSKEGKWMDISPCEDTLVVNVGDLMHAWSDGKLRSSEHRVVLKRYANRFSLAFFWCFEDEKVIFAPNEVVGEGNFRKYKPFVCRDYLKFRESSEKGRFDKVGFTVKDFAGNG >Manes.17G029800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22392577:22395643:1 gene:Manes.17G029800.v8.1 transcript:Manes.17G029800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASLNISKTLVLVFACLLSFTGEAAVTYLYYDCPNTTTFTPNGTYHHNLNSLLYSFTSNATGGNGFYNLTLGQGSSDIIHGLFLCRGDVTKNICQECVTTAAKEILHRCPREKVALITYDECMLRYSSRDFFSSVQREPSLQLLNTQEVSEPERFMDLMVKTMNNVTAQAADDPSGKRFATAEANFSSFQKLYTLAQCTQDLSVGSCNECLQAAVGDLPGCCNGKQGGRVVFPSCNVRYELYQFYRVVAPPPSTALLPSNPSPEQKGRRKISSVIIIAIVVPIFVSVMLFAMGICYLTRARKGSSRYDAVPDQSVGNEITTAQPLQLDLAAIQTATNNFSADNKLGEGGYGKVYKGRLPSGQEIAVKRLTTRSSEQGAEAFKNEAATLAMLQHRNLVRLLGSCLEAKEKILIYEFFPNKSLDYFLFETEKQRKLDWPRRYKIIEGIARGIQYLHEDSRLKIIHRDLKGSNVLLDEKMSPKISDFGMARIFSVDQSQAKTDRVIGTFGYMAPEYAMHGQFSVKSDIFSFGVLVLEIISGRKNSSFCQTDGAGGLLSYIWNHWRNGTPTEVLDPTMMGSCSRNEVLRCIQIGLLCVQDDPADRTTMSTVVLMLSSYSVSLSAPQKPAFLSKGLESDQSASKSVPCSVDEEPITGVHTR >Manes.06G040100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12099693:12107097:-1 gene:Manes.06G040100.v8.1 transcript:Manes.06G040100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSFMSAWGITANCCSWVNVDCNATTGHIVKLSLDDVRSTEGDYWYLNASLFLPFQQLNYLSLMGNNIAGCIKNEGFERLSALGNLEVLYLRYNSFHKSILSSLSGLSSLKYLSLGGNKLKGIINIEEFNHLISLEKLYLSANEIEGFISSNGNEELLKLSNLEYLYLCSNHVNISLLSPLAGLPSLKYLNLEHNQLEGPFNFKELSKSKIVTLDLSGNNITEFVDSGEIRASNNISELYLNHINITKGSKLLESLGAFSHLKNLSLESCKFEGAILNQGLPHLQIIGAMSSLKKLSLGSCGLNDTEFLNQGVCKLKQLQELDISGNYISGSLPSCLANMTSLQSLDLSFNNFVGNISLSPLVSLTNLEYLHLSNNFFQIPISLGPFFNHSKLKHFKSWGNNEIYGEETEKNLTPMFQLETLYIDGYACIETFPKFLYYQHNLREAGLQSLKLRGRFPYWLLQNNTKLKELYLNNNSLSGSLQLPLHSHMNLSLLDISDNLFHGIIPSDIGTHLPRLEFVDLSKNDFNGSIPSSFGNMSLLWILDLSNNDISGSLPSDFSCSHMIEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLGYMILGHNNIEGKIPIQLCNLTQLSVIDLSHNNLSGHIPPCLRSTSNYDSLSDGEQIEFTTKRNIYFYQPSTLNYFSGIDLSCNKLIGQIPIQIGYLNEIQVLNLSHNNLNGKIPASFSNLSQIESLDLSHNHLQGNIPSQLTELDFLEVFNVSCNNLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKDCATMESPPSISRSSNDTEESNCFIDMEDFYVSFGVAYVMVLLTIAGVLFINPYWRQVWFYLVEVSIDKCYYFLIDNLGCLSKFKLF >Manes.06G040100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12099693:12107097:-1 gene:Manes.06G040100.v8.1 transcript:Manes.06G040100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSFMSAWGITANCCSWVNVDCNATTGHIVKLSLDDVRSTEGDYWYLNASLFLPFQQLNYLSLMGNNIAGCIKNEGFERLSALGNLEVLYLRYNSFHKSILSSLSGLSSLKYLSLGGNKLKGIINIEANEIEGFISSNGNEELLKLSNLEYLYLCSNHVNISLLSPLAGLPSLKYLNLEHNQLEGPFNFKELSKSKIVTLDLSGNNITEFVDSGEIRASNNISELYLNHINITKGSKLLESLGAFSHLKNLSLESCKFEGAILNQGLPHLQIIGAMSSLKKLSLGSCGLNDTEFLNQGVCKLKQLQELDISGNYISGSLPSCLANMTSLQSLDLSFNNFVGNISLSPLVSLTNLEYLHLSNNFFQIPISLGPFFNHSKLKHFKSWGNNEIYGEETEKNLTPMFQLETLYIDGYACIETFPKFLYYQHNLREAGLQSLKLRGRFPYWLLQNNTKLKELYLNNNSLSGSLQLPLHSHMNLSLLDISDNLFHGIIPSDIGTHLPRLEFVDLSKNDFNGSIPSSFGNMSLLWILDLSNNDISGSLPSDFSCSHMIEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLGYMILGHNNIEGKIPIQLCNLTQLSVIDLSHNNLSGHIPPCLRSTSNYDSLSDGEQIEFTTKRNIYFYQPSTLNYFSGIDLSCNKLIGQIPIQIGYLNEIQVLNLSHNNLNGKIPASFSNLSQIESLDLSHNHLQGNIPSQLTELDFLEVFNVSCNNLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKDCATMESPPSISRSSNDTEESNCFIDMEDFYVSFGVAYVMVLLTIAGVLFINPYWRQVWFYLVEVSIDKCYYFLIDNLGCLSKFKLF >Manes.06G040100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12099693:12107097:-1 gene:Manes.06G040100.v8.1 transcript:Manes.06G040100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIAGCIKNEGFERLSALGNLEVLYLRYNSFHKSILSSLSGLSSLKYLSLGGNKLKGIINIEEFNHLISLEKLYLSANEIEGFISSNGNEELLKLSNLEYLYLCSNHVNISLLSPLAGLPSLKYLNLEHNQLEGPFNFKELSKSKIVTLDLSGNNITEFVDSGEIRASNNISELYLNHINITKGSKLLESLGAFSHLKNLSLESCKFEGAILNQGLPHLQIIGAMSSLKKLSLGSCGLNDTEFLNQGVCKLKQLQELDISGNYISGSLPSCLANMTSLQSLDLSFNNFVGNISLSPLVSLTNLEYLHLSNNFFQIPISLGPFFNHSKLKHFKSWGNNEIYGEETEKNLTPMFQLETLYIDGYACIETFPKFLYYQHNLREAGLQSLKLRGRFPYWLLQNNTKLKELYLNNNSLSGSLQLPLHSHMNLSLLDISDNLFHGIIPSDIGTHLPRLEFVDLSKNDFNGSIPSSFGNMSLLWILDLSNNDISGSLPSDFSCSHMIEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLGYMILGHNNIEGKIPIQLCNLTQLSVIDLSHNNLSGHIPPCLRSTSNYDSLSDGEQIEFTTKRNIYFYQPSTLNYFSGIDLSCNKLIGQIPIQIGYLNEIQVLNLSHNNLNGKIPASFSNLSQIESLDLSHNHLQGNIPSQLTELDFLEVFNVSCNNLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKDCATMESPPSISRSSNDTEESNCFIDMEDFYVSFGVAYVMVLLTIAGVLFINPYWRQVWFYLVEVSIDKCYYFLIDNLGCLSKFKLF >Manes.16G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1548320:1552162:1 gene:Manes.16G018000.v8.1 transcript:Manes.16G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTDLLSLFNTIIFPTAYTTMAIDSLRLPFFFSCFVLLFIVVSAAQPGNVEAPYYHCSDIGNYTINSTYQTNLKTLFSMLPSQPNDDNFRFFNLSFGQVPDKVNVIALCRGDIAADDCRSCVRASAHNVSEICPQKKAAFAYVDDCQLRYSNKTIFGVVENEPTSYYTNPQSLSSSDETQFNSAVERLLKRLRIRAASADSKFATGNATVNSQAVYGLAQCSPDLSKQQCDDCLAMAIGEIRRCCLWNVGGGVVRPSCNFRFESYIFYTPTADTLPPESLGSPPPVSPPPRQGKGDKKPANSTAIIVIPVVITTILIISCCTFARARKQREKAKTVDENRLTESLQFDFGIIRIATDDFSHANKLGQGGFGVVYKGRLLNGQDIAVKRLSRDSGQGNTEFENEILLVAKLQHKNLVRLLGFCLEGNERVVIYEFVPNGSLDHFIFDPIKRTQLDWETRYKIIRGIARGILYLHEDSQLRIIHRDLKASNILLDEAMNPKISDFGVARLFVMDQTHGETNTIVGTYGYMAPEYVMHGHFSVKSDVFSFGVLLLEIISGRKSIFFCNGKQVENLLSSAWRNWEEGTIGNLIDPCLKDGSASKMQRLIHVGLLCIQKDITQRPTMALVVIMLNNSSYRLQMPSPPAYLAPTTGDSNMTVKANWMVDRPDQYGSSQISVNDVSITELCPR >Manes.06G020600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:3666553:3667488:-1 gene:Manes.06G020600.v8.1 transcript:Manes.06G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSCRSSAELNSIRVVHLNGYVEDFESPVTVSQITGKSSEHFICTALQLLTTGTKPLDPQTQLQPGQIYYLFPYSTLTAEASPMDFAAIVKRLNSIAKSSSCQANNKSSRTMPILNSPATSPNRFMDPQAANWIRKSNGGRTWKPILDTIREKSFNRRSESEVLQQEMQLENSELK >Manes.04G084851.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29250833:29251783:-1 gene:Manes.04G084851.v8.1 transcript:Manes.04G084851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLVLLLVIFYPFNIASATTHYDAFYLTLRWPPSFCKLYSCNTPYIEDRFTLHGLWPITLNGKSPNYKKCKKIPFNANQLIHSEIIDDLNNLWPVLETTKTNIKFWKHEWERHGVCTTWEQFRYFQTSVERVKHTHTLEMLKGSDIIPNNSVYKIVDIMQALSGLSRPIIECKKIKKDAHPMLYQVYFCLTQNGEQFQDCPPMAPHGQLGYGCDTAEVVIFPSTEEEVILPSTAISSQFSWKLYLITCTIFNVIIYF >Manes.13G064400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8112729:8120539:-1 gene:Manes.13G064400.v8.1 transcript:Manes.13G064400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINNLSEDAALSLAPDVEYRMREIMQEAIKCMRHSKRTTLTSDDVDTALSLRNVEVIEAPLPKAPLDTSVVCHWLAIEGVQPAIPENAPLEVILPPPDGKNNEQKDKLPVDIKLPVKHVLSRELQLYFDKITELTVRRSDSALFKEALASLATDSGLHPLVPYFTCFIADEVACGLNDYKLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYSSLQTRLTKTLVNALLDPKRSLTQHYGAIQGLAALGPNVVRLLILPNLEPYLQVLEPEMLLEKQRNEMKRYEAWRVYGALLHAAGQCIYDRLKIFPPLPSPPAHTVWRSNGKVVTGSNKRKASTEHLEQPPAKKIATDGPVMASSSPSHMQGEAIAPAPSNDSNVGPSASSEHMPNNDLDGKVERGKGDRRSIKTSAILNQVWKDDLKSGHILASLFELFGQDILSFIPAPEMSLFL >Manes.13G064400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8112745:8120539:-1 gene:Manes.13G064400.v8.1 transcript:Manes.13G064400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINNLSEDAALSLAPDVEYRMREIMQEAIKCMRHSKRTTLTSDDVDTALSLRNVEPVYGFASGGPLQFKRAIGHRDLFYIDDKDVDFKDVIEAPLPKAPLDTSVVCHWLAIEGVQPAIPENAPLEVILPPPDGKNNEQKDKLPVDIKLPVKHVLSRELQLYFDKITELTVRRSDSALFKEALASLATDSGLHPLVPYFTCFIADEVACGLNDYKLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYSSLQTRLTKTLVNALLDPKRSLTQHYGAIQGLAALGPNVVRLLILPNLEPYLQVLEPEMLLEKQRNEMKRYEAWRVYGALLHAAGQCIYDRLKIFPPLPSPPAHTVWRSNGKVVTDKRKASTEHLEQPPAKKIATDGPVMASSSPSHMQGEAIAPAPSNDSNVGPSASSEHMPNNDLDGKVERGKGDRRSIKTSAILNQVWKDDLKSGHILASLFELFGQDILSFIPAPEMSLFL >Manes.13G064400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8112729:8120539:-1 gene:Manes.13G064400.v8.1 transcript:Manes.13G064400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINNLSEDAALSLAPDVEYRMREIMQEAIKCMRHSKRTTLTSDDVDTALSLRNVEVIEAPLPKAPLDTSVVCHWLAIEGVQPAIPENAPLEVILPPPDGKNNEQKDKLPVDIKLPVKHVLSRELQLYFDKITELTVRRSDSALFKEALASLATDSGLHPLVPYFTCFIADEVACGLNDYKLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYSSLQTRLTKTLVNALLDPKRSLTQHYGAIQGLAALGPNVQVRLLILPNLEPYLQVLEPEMLLEKQRNEMKRYEAWRVYGALLHAAGQCIYDRLKIFPPLPSPPAHTVWRSNGKVVTDKRKASTEHLEQPPAKKIATDGPVMASSSPSHMQGEAIAPAPSNDSNVGPSASSEHMPNNDLDGKVERGKGDRRSIKTSAILNQVWKDDLKSGHILASLFELFGQDILSFIPAPEMSLFL >Manes.13G064400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8112745:8120539:-1 gene:Manes.13G064400.v8.1 transcript:Manes.13G064400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINNLSEDAALSLAPDVEYRMREIMQEAIKCMRHSKRTTLTSDDVDTALSLRNVEPVYGFASGGPLQFKRAIGHRDLFYIDDKDVDFKDVIEAPLPKAPLDTSVVCHWLAIEGVQPAIPENAPLEVILPPPDGKNNEQKDKLPVDIKLPVKHVLSRELQLYFDKITELTVRRSDSALFKEALASLATDSGLHPLVPYFTCFIADEVACGLNDYKLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYSSLQTRLTKTLVNALLDPKRSLTQHYGAIQGLAALGPNVVRLLILPNLEPYLQVLEPEMLLEKQRNEMKRYEAWRVYGALLHAAGQCIYDRLKIFPPLPSPPAHTVWRSNGKVVTGSNKRKASTEHLEQPPAKKIATDGPVMASSSPSHMQGEAIAPAPSNDSNVGPSASSEHMPNNDLDGKVERGKGDRRSIKTSAILNQVWKDDLKSGHILASLFELFGQDILSFIPAPEMSLFL >Manes.13G064400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8112729:8120539:-1 gene:Manes.13G064400.v8.1 transcript:Manes.13G064400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINNLSEDAALSLAPDVEYRMREIMQEAIKCMRHSKRTTLTSDDVDTALSLRNVEVIEAPLPKAPLDTSVVCHWLAIEGVQPAIPENAPLEVILPPPDGKNNEQKDKLPVDIKLPVKHVLSRELQLYFDKITELTVRRSDSALFKEALASLATDSGLHPLVPYFTCFIADEVACGLNDYKLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYSSLQTRLTKTLVNALLDPKRSLTQHYGAIQGLAALGPNVVRLLILPNLEPYLQVLEPEMLLEKQRNEMKRYEAWRVYGALLHAAGQCIYDRLKIFPPLPSPPAHTVWRSNGKVVTDKRKASTEHLEQPPAKKIATDGPVMASSSPSHMQGEAIAPAPSNDSNVGPSASSEHMPNNDLDGKVERGKGDRRSIKTSAILNQVWKDDLKSGHILASLFELFGQDILSFIPAPEMSLFL >Manes.13G064400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8112778:8120539:-1 gene:Manes.13G064400.v8.1 transcript:Manes.13G064400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINNLSEDAALSLAPDVEYRMREIMQEAIKCMRHSKRTTLTSDDVDTALSLRNVEPVYGFASGGPLQFKRAIGHRDLFYIDDKDVDFKDVIEAPLPKAPLDTSVVCHWLAIEGVQPAIPENAPLEVILPPPDGKNNEQKDKLPVDIKLPVKHVLSRELQLYFDKITELTVRRSDSALFKEALASLATDSGLHPLVPYFTCFIADEVACGLNDYKLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYSSLQTRLTKTLVNALLDPKRSLTQHYGAIQGLAALGPNVQVRLLILPNLEPYLQVLEPEMLLEKQRNEMKRYEAWRVYGALLHAAGQCIYDRLKIFPPLPSPPAHTVWRSNGKVVTGSNKRKASTEHLEQPPAKKIATDGPVMASSSPSHMQGEAIAPAPSNDSNVGPSASSEHMPNNDLDGKVERGKGDRRSIKTSAILNQVWKDDLKSGHILASLFELFGQDILSFIPAPEMSLFL >Manes.13G064400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8112778:8120539:-1 gene:Manes.13G064400.v8.1 transcript:Manes.13G064400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINNLSEDAALSLAPDVEYRMREIMQEAIKCMRHSKRTTLTSDDVDTALSLRNVEVIEAPLPKAPLDTSVVCHWLAIEGVQPAIPENAPLEVILPPPDGKNNEQKDKLPVDIKLPVKHVLSRELQLYFDKITELTVRRSDSALFKEALASLATDSGLHPLVPYFTCFIADEVACGLNDYKLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYSSLQTRLTKTLVNALLDPKRSLTQHYGAIQGLAALGPNVQVRLLILPNLEPYLQVLEPEMLLEKQRNEMKRYEAWRVYGALLHAAGQCIYDRLKIFPPLPSPPAHTVWRSNGKVVTGSNKRKASTEHLEQPPAKKIATDGPVMASSSPSHMQGEAIAPAPSNDSNVGPSASSEHMPNNDLDGKVERGKGDRRSIKTSAILNQVWKDDLKSGHILASLFELFGQDILSFIPAPEMSLFL >Manes.13G064400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8112745:8120539:-1 gene:Manes.13G064400.v8.1 transcript:Manes.13G064400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGINNLSEDAALSLAPDVEYRMREIMQEAIKCMRHSKRTTLTSDDVDTALSLRNVEPVYGFASGGPLQFKRAIGHRDLFYIDDKDVDFKDVIEAPLPKAPLDTSVVCHWLAIEGVQPAIPENAPLEVILPPPDGKNNEQKDKLPVDIKLPVKHVLSRELQLYFDKITELTVRRSDSALFKEALASLATDSGLHPLVPYFTCFIADEVACGLNDYKLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVAKRLGNRFADNHWELRDFTANLVASICKRFGHVYSSLQTRLTKTLVNALLDPKRSLTQHYGAIQGLAALGPNVQVRLLILPNLEPYLQVLEPEMLLEKQRNEMKRYEAWRVYGALLHAAGQCIYDRLKIFPPLPSPPAHTVWRSNGKVVTDKRKASTEHLEQPPAKKIATDGPVMASSSPSHMQGEAIAPAPSNDSNVGPSASSEHMPNNDLDGKVERGKGDRRSIKTSAILNQVWKDDLKSGHILASLFELFGQDILSFIPAPEMSLFL >Manes.18G056200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4984546:4986461:1 gene:Manes.18G056200.v8.1 transcript:Manes.18G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSELHIVVFPWLAMGHLIPFLRFSMVLAQKGHRIYFVSTPRNINRLLRSTQKLSSNVSLISLPLLSVPGLPPNAENTSDIPYSKQQLLKKAFDLLQHQLTDFMQSTRPDWVIYDYASHWLPSIASDLGISAAFFSLFTAATLSFVGPPSMLMNGEDSRSKAEDFTVVPKWVPFESNVMYRIHEITKYVDKTKQDETGPSDSVRFGFAAGEADLVIIRSSPELEPEWFELLGNLLTKPIIPLGWLPPEEEEYRSSDIEWAYIKEWLDKKEAESVVYVALGTEASLTRQEVSELALGLEKSRSPFLWALKSTPGSTQNVLEMLPDGFEERVKDNGLIYKEWAPQVKILGHESVGVFLTHCGWNSVVEGLSFNRVLIMFPVLNDQGLNARLLQGKNLGIEIPRNEQDGAFTSDSVAELVRQAKIDDPDNMTKKMRGLIGDRDRNSQLTSAVVHYLEENKISKLRAC >Manes.04G035600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4631518:4633457:-1 gene:Manes.04G035600.v8.1 transcript:Manes.04G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFIHFHNACFSSMANPIDVPTNTNAVKRQGLTHNHRTQEVSDLISGLPDHIAQLCLSFVQPSLLFSVCSSWRRLIYSPDFPPFLSLYTVLSSNQTDHSEHSNSIQFFNFDPISSRWDSLPPPPPDPPLRLLLRHPSFISRHLPIQLVSVSGNLVLLAATTYNFFPALSRPLIFNPVSRTWAFGPPLSTPRRWCAAGTVNDTIYVASGIGSNFSADVAKSVEKWDFLLYLSTRNKGNSWKWEKVKGLKNGRFSRDAIDAVGWRGKLCMVNAKGDAAKEGSVYDTEKDAWEDMPEGMLSGWKGPAAAMDEKVMYMVDEGKGALKKYDPERDDWEIIMESERLVGAQQITAGGGRVCVVCGRRNSGGIVVVDVVAMPVKLWVVETPPGFEAVTVHILPRMSQLPP >Manes.07G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21432061:21443766:-1 gene:Manes.07G073000.v8.1 transcript:Manes.07G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVPVQAQGVNGGANPQFVTTSLYVGDLEANVTDSHLYDLFNQVGQVVSVRVCRDLTTRRSLGYGYVNYSSPQDAARALDMLNFTPLNGSPIRIMYSHRDPSIRKSGAGNIFIKNLDKGIDHKALHDTFSAFGNILSCKVATDFSGQSKGYGFVQFDNEESAQKAIEKLNGMLLNDKQVYVGPFLRKQERESATDKMRFNNVFVKNLSETVTDEDLNKTFGEFGTITSAVVMRDGDGKTKCFGFVNFENADDAARAVEALNGKKFEDKEWYVGKAQKKSERETELKLRFEQSMKEAADKFQGANLYIKNLDDSISDDKLKELFSPFGLITSCKVMRDPNGISRGSGFVAFSTPDEASRALMEMNGKMVVSKPLYVALAQRKEDRRARLQAQFSQMRPVAMAPSVAPRMPMYPPGGPGLGQQIFYGQAPPAIIPPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAAQQSQQPMPLMQQQMLPRGRVYRYPPGRALPDVPMTGVAGGMLSVPYDMGGMPMRDTALSQPIPIGALASALANASPEQQRTMLGENLYPLVEQLEPDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRSVQQQQASGAADQLASLSLNDNLVS >Manes.07G062340.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9487495:9501808:-1 gene:Manes.07G062340.v8.1 transcript:Manes.07G062340.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIKELVVVPIKRLISYPFTCKSNVEKLRHETEELKNITDKLQEAVDEAKRHGVEINESVNKWLNDARKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASEDDHNRISFRPPPQQIVAPLVYAPKGLNSRELLLEKVMDALLDPDLNMIGVYGLGGVGKTHLAGQVHTKALEGKRFDVVAKVAVGQAPEPRRIQSEIADILGLKFGDEEIPGRANLLYERLKKVLEKEKKEVEKEKKVLIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRSQDVLYHEMGTQKNFRLDVLKDEEAWSLFEMTASSAESSKLPPIAAEVAKKCAGLPLLLLTVATDLRNRELYAWNDKLNQLSEFDNEEINSKVHTILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYVMGLSSFKNISTVQGARNKVYRLIDTLKGQSLLQDGDMYGFVKIHDVVRDTALLIASREQHAFIVTSGRELMKFPNKDCTRISLPYCDIENLPEGWECPKAEALFLFTEVFGLGIPDQFFKGIRNLEVVDFTGIHFVSLPSSLAVLSNLHTLCLHRCQLHDLAIIEDLKQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDDHYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLYDIDGDGFPKLKHLRVQNNHAIQHIIKRAVCDAFPILESLILENLMKLEKICRGRLAAGSFNKLEILQVKNCQRLTHLFSLSAVKCLLQLQKMKVEDCPQMKAIVIDESESSNEVFEFNGLRSLNLRNLPNLRTFHSPLKIEEFLSERDDDTHLSFFSRTVSFPNLEHLELNSVGCEKIWHDQLSATSSKLESLSVCCCHELKHLFTTSIVKRLLQLKTLQIYICSSMEEIILTEEFIEEEDERMNQILFPKLNELSLYDLPKLIRFCTGYQIEFQSLGYLDISYCNALMGLVPSVPHKGMMEKQDDTEINQNAEIQSLFNKMVGFPNLERLSLSGLNEFKRIWHSPLAANSFFKLKSLNVVYCQKLMAVFPSNDLERFRRMQELIVSNCASLQEIYQLEGSNVDEAFELRKLNISELGSLKYVWRKDPQAVFSFQNLKSVTVSHCDVLNYLFPASIADGLLQLEELTITRCGVEEIIAEAEDVEQAPYYCFKFPQLTFLELTDLSELRSWYPGTHILELQKLTSLNVRNCNKIIKFSLQEIDEEGKRPLLFLKKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYYVETILVKGYNTSEELEELFSYEGLAGKEEEHARTLARVKNLKLDVVYNLKHIWDPDSGLKPLLQYLETLSVSGCHSLINVAPSSSSFQNLATLEVSYCAGLANLITASTAKSMVQLTKMTVRGCKMMTEIVTSDGADHTEDEIINFDKLKCLELNGLPGLISFCSGNNAFNFPALESVIVNECSRMKIFASGDLNTPKLRGIFLGYKQRWEGNLNATLEEMTFCKYFKASEFPEFWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPQLCELKLTSLPMLRHLWNKEPQGILEFKNLRLLLVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIENEEAADKVILLPSLKSVVLECLPRFSRLCSGWSNVECPLLEEMSIRECPSLKNIFATQTLVNTINKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFQNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLVGDQEDITTIPHIRALTLKILPGLKHIWNPDGQLHDPLFQSLETFEIWFCGNLIVLAPSSVSLGNLKTLKVFGCKTLAYIFTSAAAKSMVQLETLIVTHCNMLTEIIGGVEEDGSTDEIVFSKMKTLKLEVLQNLTGFCLGSYIFNFPSLEQVTVFRCPKLRIFTVRKPSTPKIHGVFTGHRLSRTFLHWEGDLNATIEQIYMKYIGFKEIYDIQLSNFPMLKEKWHGQFPFKNLRHIWRLVVDECAFFSNAISSNLLKHLSRLNELAVERCDSVEDLFDLEGLNDDEDNDGLLKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMALGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEIVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDASFEDIIFCEEIIGEEIRPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTTLQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVECPNMKIFAQEVSTPQLWRVQTGEQLKYDKEWIDIIYYCSWEWKGTLKNTIQVICDWEWEGSLNNTIEALFKEKKAEETGIGQCSYG >Manes.05G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14430788:14433310:1 gene:Manes.05G114400.v8.1 transcript:Manes.05G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTMSSLSSSSLCKKKSLSSSSDCDNSDQLRRGPWTLEEDNLLIHYIACHGEGRWNLLAKRAGLRRTGKSCRLRWLNYLKPDVKRGNLSPQEQLLILDLHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARHLKVDANSTAFQDIIKRFWIPRLLQKIEGSTTSSSSSSSSTILSENPTVVAHQPVNYAAQNFQYPIPPPPPQEVPGNHQGRHDHNSDSENGSNPCISSTESINISQISQVSEYPASLFHGMGSFPKDSYYVDNMEAMSLASLSVPAGVVQNLGESNWGGYDFGDMWSMDELMAI >Manes.18G076100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6936614:6940285:1 gene:Manes.18G076100.v8.1 transcript:Manes.18G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFGYGSLIWKAGFNYDARLVGFIKGYRRVFYQGSTDHRGTPENPGRTVTLEPADGEVCWGVAYKISKKEDEETAITYLEVREKQYDQKAYLDFFTDPTATTPAVSGVMVYIGSSDKKHNQNYLGPAPLEEIAKQIVHAEGPSGPNRDYLFQLEKALLQIGCKDKHIMDLANEVRRILSEREPDLIMP >Manes.18G076100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6936614:6940285:1 gene:Manes.18G076100.v8.1 transcript:Manes.18G076100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFGYGSLIWKAGFNYDARLVGFIKGYRRVFYQGSTDHRGTPENPGRTVTLEPADGEVCWGVAYKISKKEDEETAITYLEVREKQYDQKAYLDFFTDPTATTPAVSGVMVYIGSSDKKHNQNYLGPAPLEEIAKQIVHAEGPSGPNRDYLFQLEKALLQIGKFLLTFIHMFHCSCNNLSFFHHLSG >Manes.08G095811.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32342451:32344543:1 gene:Manes.08G095811.v8.1 transcript:Manes.08G095811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRPPKEVWLATYKSPSDRKWVSFLPILELKCVHVLLWSFSCFSSSPSYFYEFHGCFEEFSSLDQSFESLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.04G051445.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:16659998:16661260:-1 gene:Manes.04G051445.v8.1 transcript:Manes.04G051445.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEHRDTLPFDPEIERTLRRLRKQAAEASSEATEFYQQAAPMAKPNPQVAAPNGHAVQNQIIQENPAIRPQEQRERTMRELATPIGDHAPLCITYPPLTVPFELKTGLIHHLLKFRGLQNENPHKHLKEFNIVCSSMTPQGVSEDHVKLRAFPFFLDDFAKDWLFYLLPGSITSWGDMVQTFLDKYFPPSKSIGIIREITSIRQKPTEDLYDYWERFERLCTSCPQHDMSDRSLMQLFYGGLTPSERRFIDVACGGSIADKTPREMKELISTLAASSRQYGEEKQRGIHEVSTSSVESQISKLTSLVEKITLGQVQQMQATQPPRPCGICAYVGHPTDQCPTLQEDHQQANAIGGYNNQPRYDPYSKTYNPGWRDHPNFSYGRANNNQNYQNYQRDQAQPAPSSSNQHLQVPISTLKR >Manes.01G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1900109:1902453:1 gene:Manes.01G002800.v8.1 transcript:Manes.01G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFPLHNSHKQALEPSTITSRSQGYYQGKMANAAKFSLLGQILLITLCSLSLFSNAFTSQQYSDALEKSILFFEGQRSGALPPNQRMKWRGNSGLSDGSSYHVNLVGGYYDAGDNVKFGLPMAFTTTLLAWSVIEFGESMQNQIENAKAAIRWSTDYLLKAATATPGTLYVQVGDPNLDHKCWERPEDMDTPRNVYKVSSQNPGSDVAAETAAALAAASIVFKDSDPSYSSKLLHTAMQVFDFADKYRGSYSDSLSSAVCPFYCSYSGYQDELLWGASWIHRASQNGSYLAYIQSNGHTMGADDDDYSFSWDDKRAGTKILLSKAFLEKHVEEFQLFKAHSDNYMCSLIPGTSRFQAQYTPGGLLYKASESNLQYVTSTSFLLLTYAKYLSSNGGMVTCGGSTVTAESLISQAKKQVDYILGDNPAKMSYMVGFGNRYPQHVHHRGSSVPSIRTHPNRISCNDGFQYLYSSSPNPNVLVGAILGGPDNKDNFADDRNNYQQSEPATYINAPFVGAVAFFSAKD >Manes.09G178000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36814152:36815271:-1 gene:Manes.09G178000.v8.1 transcript:Manes.09G178000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHGVALVICGSFWELNLELELLIFSTIDTEIAIASTYEDLMKLSKTSCEEALSCWRFCQIIFPLHSHNSMGVDGYTTNLVTPAKMVIDEYDKQQVSHSFCFCHAYSFESSSSYIYIYI >Manes.03G212000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32850627:32855589:1 gene:Manes.03G212000.v8.1 transcript:Manes.03G212000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFGILSLSSSLHPSLSVSSSNNILDVGNGNGSGCTDEAIQTAKNSTLDGFKWRLVIAYDGTRYAGWQFQQSPPTIQCSMEKALVRVTKLERKDLLLVGASRTDTGVHAWGQVAHFVTPFNYDCLDRIHAALNGLLPPDIRVREISPAVSEFHARFSAKSKVYQYKIYNDTIMDPFHRHYAYHIAYKLNVDSMRQAAKHFIGKHDFSAFVNASRNDRVPDPVKTIFRLDVIEMGALLQLEVEGTGFLYRQVRNMVALLIQIGKEAMPPEIVPMILATRDRKELAKYTLPAPPHGLSLVAVKYNEDHLRLPPDGSPRISVGRHRSVTKCKLPLY >Manes.03G212000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32850627:32855589:1 gene:Manes.03G212000.v8.1 transcript:Manes.03G212000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFGILSLSSSLHPSLSVSSSNNILDVGNGNGSGCTDEAIQTAKNSTLDGFKWRLVIAYDGTRYAGWQFQQSPPTIQCSMEKALVRVTKLERKDLLLVGASRTDTGVHAWGQVAHFVTPFNYDCLDRIHAALNGLLPPDIRVREISPAVSEFHARFSAKSKVYQYKIYNDTIMDPFHRHYAYHIAYKLNVDSMRQAAKHFIGKHDFSAFVNASRNDRVPDPVKTIFRLDVIEMGALLQLEVEGTGFLYRQVRNMVALLIQIGKEAMPPEIVPMILATRDRKELAKYTLPAPPHGLSLVAVKYNEDHLRLPPDGSPRISVGRHRSVTKCKLPLY >Manes.03G212000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32850627:32855589:1 gene:Manes.03G212000.v8.1 transcript:Manes.03G212000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFGILSLSSSLHPSLSVSSSNNILDVGNGNGSGCTDEAIQTAKNSTLDGFKWRLVIAYDGTRYAGWQFQQSPPTIQCSMEKALVRVTKLERKDLLLVGASRTDTGVHAWGQVAHFVTPFNYDCLDRIHAALNGLLPPDIRVREISPAVSEFHARFSAKSKVYQYKIYNDTIMDPFHRHYAYHIAYKLNVDSMRQAAKHFIGKHDFSAFVNASRNDRVPDPVKTIFRLDVIEMGALLQLEVEGTGFLYRQVRNMVALLIQIGKEAMPPEIVPMILATRDRKELAKYTLPAPPHGLSLVAVKYNEDHLRLPPDGSPRISVGRHRSVTKCKLPLY >Manes.11G065050.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9013635:9013988:1 gene:Manes.11G065050.v8.1 transcript:Manes.11G065050.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFSNDEDPWLAPDKLYHILFCLFLTVFFSKLASFTRYSFIRRHSIRLGSALSLAAGALKEAADQFGFFPSAGASAKDAAADVLGVLIAAFALSMRKTPDGSDLGQGHARRILPV >Manes.11G065050.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9013356:9016892:1 gene:Manes.11G065050.v8.1 transcript:Manes.11G065050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFSNDEDPWLAPDKLYHILFCLFLTVFFSKLASFTRYSFIRRHSIRLGSALSLAAGALKEAADQFGFFPSAGASAKDAAADVLGVLIAAFALSMRKTPDGSDLGQGHARRILPV >Manes.11G065050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9013351:9016892:1 gene:Manes.11G065050.v8.1 transcript:Manes.11G065050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFSNDEDPWLAPDKLYHILFCLFLTVFFSKLASFTRYSFIRRHSIRLGSALSLAAGALKEAADQFGFFPSAGASAKDAAADVLGVLIAAFALSMRKTPDGSDLGQGHARRILPV >Manes.11G073100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10559439:10567958:-1 gene:Manes.11G073100.v8.1 transcript:Manes.11G073100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHAYAARQGAYSVGESSSSTSWSSQQDTEDDRMIALVLSEEYANLDGAVARRLANLAPIPHVPRINSYIPTINDASMDHQRLLQRLNVYGLYEVKVSGDGNCQFRALSDQMYKSPEHHKYIRKEVVKQLKDCRSLYEGYVPMKYKRYYKKMAKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFIEITPQYQSPKRELWLSFWSEVHYNSLYEIRDAPIPQKPRKKHWLF >Manes.S095725.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251225.1:572348:574924:1 gene:Manes.S095725.v8.1 transcript:Manes.S095725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRSRAPDVTWVIDLAVFGMEGVSPKSGTECSMGDRLGSFWDGSCQSEIRHRM >Manes.02G056300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4450291:4456165:1 gene:Manes.02G056300.v8.1 transcript:Manes.02G056300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCTCFAPKTVKTKKPIKRLTGNTQSVRNSSSRWNRIPSTRKDSTDSVIQEQALAAAILFRQHQQQNGSGPLPFDRSASLRYPNNSGSKKTQLPRSSSSRARSLTDPLLQPHQLLNQDIKLEDLETNHFVLVHGGGFGAWCWYKTIALLEEGGFKVTAVDLTGSGVHSSDTNGIASLSQYVKPLTNFLEELADGEKVILVGHDFGGACISYTMELFPHKTSKAIFVAAAMLTNGQSILDMVSQQANSNDLLQQAQIFVYANGNGHPPTAIDLDKSLLRELLFNQSPAKDVALASVSMRPIPFAPVLEKLCLSDMKHGTVRRFYIETAEDNAIPITLQESMINSSPPEKVFHLKGADHSPFFSKPQALHKILVEISKMRST >Manes.18G037700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3325163:3336728:-1 gene:Manes.18G037700.v8.1 transcript:Manes.18G037700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSDSTLRLGALNLRPDRSCSESEPDVSVSSPVTRQKAAAAKQFIENHYKNYWQGLQDRKERRQALQRRAQEDRITNEEQEEMLRNLERKETEYMRLQRRKTGIDDFEQLTVIGKGAFAEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDTLSEDVARFYIAESILAIHSIHQYNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLNDKYSTILLQNEDISTQEGETNVGGYSVSDRAPWSMPKEKLQRWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLLGYPPFCSDDPRITCRKIINWKTFLKFPEEPKISNEAKDLICHLLCDVETRLGTRGVDELKAHPWFRCIQWDMLYETEAAYKPTVNGDLDTQNFEKFPDLECPPSTGPMVGPWRKMLASKDTNFIGFTFKKSDALNPLESSGTYVKSNISSEAPSLISLLGQTDLQETLESEGDETLET >Manes.18G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3328744:3336728:-1 gene:Manes.18G037700.v8.1 transcript:Manes.18G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSDSTLRLGALNLRPDRSCSESEPDVSVSSPVTRQKAAAAKQFIENHYKNYWQGLQDRKERRQALQRRAQEDRITNEEQEEMLRNLERKETEYMRLQRRKTGIDDFEQLTVIGKGAFAEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDTLSEDVARFYIAESILAIHSIHQYNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLNDKYSTILLQNEDISTQEGETNVGGYSVSDRAPWSMPKEKLQRWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLLGYPPFCSDDPRITCRKIINWKTFLKFPEEPKISNEAKDLICHLLCDVETRLGTRGVDELKAHPWFRCIQWDMLYETEAAYKPTVNGDLDTQNFEKFPDLECPPSTGPMVGPWRKMLASKDTNFIGFTFKKSDALNPLESSGTYVKSNISSEAPSLISLLGMISPMPP >Manes.18G037700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3325163:3336728:-1 gene:Manes.18G037700.v8.1 transcript:Manes.18G037700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSDSTLRLGALNLRPDRSCSESEPDVSVSSPVTRQKAAAAKQFIENHYKNYWQGLQDRKERRQALQRRAQEDRITNEEQEEMLRNLERKETEYMRLQRRKTGIDDFEQLTVIGKGAFAEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDTLSEDVARFYIAESILAIHSIHQYNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLNDKYSTILLQNEDISTQEGETNVGGYSVSDRAPWSMPKEKLQRWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLLGYPPFCSDDPRITCRKIINWKTFLKFPEEPKISNEAKDLICHLLCDVETRLGTRGVDELKAHPWFRCIQWDMLYETEAAYKPTVNGDLDTQNFEKFPDMLASKDTNFIGFTFKKSDALNPLESSGTYVKSNISSEAPSLISLLGQTDLQETLESEGDETLET >Manes.08G124400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36473961:36475874:-1 gene:Manes.08G124400.v8.1 transcript:Manes.08G124400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPSTFLALILALAASNLCGSSAFHFQISDTNLTKQSSCPMNFDVLRELAAEVSARRSLIDVPTQCKYIQKGILFVRSEYLRTNGYFVPPSDTYHACWESYGSLVADFLPGFDMQFTCGYHPEWISDSYMNITTKAQFESLLPRSELQELRLSCNQSLDNGHVCQSCIQKLWSIQKQFLQGSETKNASNSADYLFMYAAAFSNKLGPGDIATAKCLFRLEFSMQLQTNNKHKSVISGVVLGCIIGVVGASIAVWLFWVLHKKCGKKKDNSDNKDETSLDLGLQLQTRSTNLVKFKVDEIRHATMNFSRHNIVGKGSYGNVYKGRLADGSELAFKRFKNCSAAGDAIFAHEVEIIASVKHVNLVALRGYCTATVPMEGHQRIIVCDLMHNGSLYDHLFGPGTKKLTWPIRQNVALGTARGLAYLHYGVQPAIIHRDIKASNILLDVTFEPKVADFGLARFNSQGRSHLSTRVAGSLGYVAPEYALYGKLTERSDVYSFGVVLLELLSGRKAYENDEGKVSLLTDWAWTLVKEGRALDVIEANMPEMGSPQVMELYVHIAVICAHPILFARPTMYQIVKLLETNLQLVPSNLGDYIGGSYRGLSPVGSGYMSYSSITSGDQNLENRDAQRSFTVTIL >Manes.14G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6441298:6443552:-1 gene:Manes.14G077400.v8.1 transcript:Manes.14G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESKSTDLPDLRDASFAYLTAVQQNYVLKLTETAEFPTPDIISTQETSIQKNSEKTKPGEGEITVFGAEEYFNMKLDDESAGNTDANGGKYPHEKIENGDELHLSRPKSSRKKRVNGRWFFPGFACNGSCFHGKSVYLDKSIPHGDLQVAGDPIMLEGAKQSRSRLPVKDEFRRPSLEKTSTGSNGEDCLVLSTVNSAVQNLVVKGQKQKSLEEDPRKSLDVFGSHMVKREDIVSNLERKLSVLTWDAIPFPKARNLPTTSASSQVYEEAESDASSDLFEIENISCSTQPPFRKQTSDGLSGCMTPPSRYEPSETSIEWSVVTASAADFSAVSDYHEKKPAEISIKSAGLTSSPRTRRPKSLLGCTNEKAIEVAESAYKRNEKTKPHLHHQTSMPVTRKLPADSKVKDFAFP >Manes.18G046700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4085098:4088859:1 gene:Manes.18G046700.v8.1 transcript:Manes.18G046700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPFDKLPPTETLEIENGLSLVPRVKLILTVYPSISSSATKPIDEWKLKRALIDFLKTSLSVSITVPEEDLEIRRYKDLKKRKRDDPVAHGTLCIRDLGFLNSGKDKDEDLKVLEKKLLDWRKYIVENMDGIELNLEGFKYKLSVAVPESDDFEGMKKAWEEFYAFGSRGYSRGGRQEPDTIIVRGVPSRWFAEPRVSSKPSLLVTHTIFSAFGKIRNLNVAEDDDHGKDADEDGGDIISGLHCKIVVQYEKHGDFYNALKILCGRSLQKMQHHLCQNVSKGLD >Manes.18G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4085098:4088859:1 gene:Manes.18G046700.v8.1 transcript:Manes.18G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPFDKLPPTETLEIENGLSLVPRVKLILTVYPSISSSATKPIDEWKLKRALIDFLKTSLSVSITVPEEDLEIRRYKDLKKRKRDDPVAHGTLCIRDLGFLNSGKDKDEDLKVLEKKLLDWRKYIVENMDGIELNLEGFKYKLSVAVPESDDFEGMKKAWEEFYAFGSRGYSRGGRQEPDTIIVRGVPSRWFAEPRVSSKPSLLVTHTIFSAFGKIRNLNVAEDDDHGKDADEDGGDIISGLHCKIVVQYEKHGDFYNALKILCGRSLQKQGSRLKADYDVTWTKDSFFQNSSSQAKEKTARTPAVGGGQYRNEDPRRERYVSQFTSDDSRRKRFKE >Manes.11G154800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32162135:32166816:1 gene:Manes.11G154800.v8.1 transcript:Manes.11G154800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPAGIVIAALLLPVSLVFSSFPATLTLERGIPPSHRLELNQLRMRDGFRHRRILQSAGGVVDFPVQGTFNPFLVGLYYTKVQLGSPPKDFYVQIDTGSDVLWVSCSSCNGCPVTSGLQIPLNFFDPGSSSTASLVSCSDQRCTVGIQSSDSLCASQSNQCGYTFQYGDGSGTSGYYVADLLHFDTVLGGSVTNNSSASVVFGCSTLQTGDLTKSERAIDGIFGFGQQEMSAISQLASQGITPKVFSHCLKGDDAGGGILVLGEIVEPNIVYTPLVPSQPHYNLNLQSISVNGQTLAIDPSVFVTSSNQGTIVDSGTTLAYLAEAAYDPFVNAITSIASQNARTYLSKGNQCYLVTTSINDVFPKVSFNFGGAASMILNPQDYLLQQNSVGGAAVWCIGFQKTPGQEITILGDLVLKDKIFVYDIANQRLGWTNYDCSMSVNVSTTTNTGKSEFVDAGQISNNSSPKNVPQKLILITLLQVFILGIGGHLFL >Manes.11G154800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32162135:32166817:1 gene:Manes.11G154800.v8.1 transcript:Manes.11G154800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPAGIVIAALLLPVSLVFSSFPATLTLERGIPPSHRLELNQLRMRDGFRHRRILQSAGGVVDFPVQGTFNPFLVGLYYTKVQLGSPPKDFYVQIDTGSDVLWVSCSSCNGCPVTSGLQIPLNFFDPGSSSTASLVSCSDQRCTVGIQSSDSLCASQSNQCGYTFQYGDGSGTSGYYVADLLHFDTVLGGSVTNNSSASVVFGPHYNLNLQSISVNGQTLAIDPSVFVTSSNQGTIVDSGTTLAYLAEAAYDPFVNAITSIASQNARTYLSKGNQCYLVTTSINDVFPKVSFNFGGAASMILNPQDYLLQQNSVGGAAVWCIGFQKTPGQEITILGDLVLKDKIFVYDIANQRLGWTNYDCSMSVNVSTTTNTGKSEFVDAGQISNNSSPKNVPQKLILITLLQVFILGIGGHLFL >Manes.02G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10061444:10065825:1 gene:Manes.02G132400.v8.1 transcript:Manes.02G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHFTNGERREDDEGVGGGAAGTDVVSRVVSRVSWARSLSMASSSVDTRRSEFDSESNSSRDLSDSLGFYEFLSQRRANDLRVFTFADLKSATRGFSRALLIGEGGFGCVYRGVVRVPDDENDGFDSKMDVAIKQLNRHGFQGHKEWINEVNFLGVVKHPNLVKLVGYCAEDDERGMQRLLVYELMHNKSLEDHLLARVPTSLPWMTRLKIAQDAARGLAYLHEEMDFQLIFRDFKPSNVLLDEEFNAKLSDFGLARQGPPEGLGHVSTSVVGTVGYAAPEYVQTGRLTVKSDVWSFGVVLYELITGRRALERNLPRAEQKLLEWVRPYVSDSKKFHLILDPRLEGQHCIKSAQKLAVIANKCLTKQPKSRPKMSEVVEMLGDIISDTSSQDEVACEPEEVKEETSMETDESIKQGTSMETDESIKQGNGCRKKVFDLREIVNLRNRSIGKLDWRNWTPGLVRTSE >Manes.16G133100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33328849:33337493:1 gene:Manes.16G133100.v8.1 transcript:Manes.16G133100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSNPVYANNAPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLISHPKFISDTKSAIADAYNHTDSEFLKSENTQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVTNEEAVEMTKPIEDPEQAAKKLLQEAYQRGSADNITCVVVRFLANQANGRYWHRNYEVYS >Manes.16G133100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33328849:33337493:1 gene:Manes.16G133100.v8.1 transcript:Manes.16G133100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSNPVYANNAPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLISHPKFISDTKSAIADAYNHTDSEFLKSENTQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVTNEEAVEMTKPIEDPEQAAKKLLQEAYQRGSADNITCVVVRFLANQGATSRGTVGVASV >Manes.16G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11150603:11155604:1 gene:Manes.16G050900.v8.1 transcript:Manes.16G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSDASSSLSFTSSSHVSNGSISQNISTSSVSEARPSLEVISLSKLSSNLEQLFIDSSSYYSDAEIVVEGNPVRIHRCILAARSRFFHELFKQEKGSLDKEGKPKYCMTDLLPYGNVGYEAFLVFLNYLYTGKLMPSPIEVSTCVDTSCAHDACRPAINFAVEMLYASSIFQVPELVSLFQRRLVNFVDKAHVEDVIPILLVAFHCQSNQLVAQCADRIARSDLDNIWIEKELPYEVSENIKFLRKMPVSDDEQNVEAVDPMREKRIRRIHKALDSDDVELVKLLLTESEVTLDDAYALHYAAAYCDPKVVSEVLGLSLADVNLKNSQGYTVLHIAAMRREPAVIVSLLAKGASALESTLDGRSAVSICRRLTRLKDYIAKIEQGQEANKDRLCIDVLEREMRRNPMAVDASLTSHSTSDDLHMKLLYLENRVAFARLLFPSEAKVAMHIANAEKTSQFAGFSASKGVNGNFREVDLNETPMIQKKRLLSRLEELNKTVEMGRRYFPSCSEVLDKFMEDDLPDFYLEKGSTDEQRIKRMRFMELKEEVLKAFNKDKAERPVLSSSSSTSSLIERASNRLRKS >Manes.10G077024.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:16563450:16567394:-1 gene:Manes.10G077024.v8.1 transcript:Manes.10G077024.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALKAKGKLGFVIGKISKPNSDAPEYKKWITVDSMIISWIFNSISRDLLNGFLQGSMTLAAYYNKLKRYWDELSVLCSLLPCACGVYEHVSNQIFLLDPLPSASKAYGMVHNIEKQKKIQVTFSESSDITTVMAAQRFNNSRRQSSGDSKFNSKNKADRYCDFCQTSGHLKEKCFKLHGYPELFSDFKKQKYGAKSNNTVAFNTIAESPLDTETTNTSHSVTDNMTYSISRIVQFEILKALKGKSIQSSVEEAATAHHASSFTGIASIVDSGAIDHVSGDLALFYSISKLKTPRHVRLPDGRTKLVTHIGTIQLSPRITLFNTLYITNFHCNLLSVNYLAFTCKIFVTQYPDYCVLQYLQSKKEIAVGLVVGRLYHINKQSFSITKLWHSRLGHSSTKKMRHLFVVPIISKRLVFPSNVEHSFTIFELLHMDLWGPYRIKSVTGAYYIFTIVDDHSSPYELLYGHKPKLDYLRVIGSLCFVTNLSPIKIKFYVRGLPSVLLGYGPQQKEYKLYNLQTKQIYISKDVRFYENVFPFHLINLSSVIDFCLSECIADDMAIHSSSISVEPSVNPLVDQLPNANISDISLSYLPPSMALSLSIHNSTLVEPNIELEPLSTEIIMPEPSLSQPVKRSTRVKQTPKWLTNFIVPSNISNNTAAFTVCNSADSANTYPPHIIFSNSYNAFQAQWVI >Manes.02G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6256687:6263180:-1 gene:Manes.02G080400.v8.1 transcript:Manes.02G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTTSESDVSVHSTFASRYVRTSLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMEAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSEIAIGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPAKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLIEKNKQTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCRDNMLVLKEGLEKTGRFNIVSKDNGVPLVAFSLKDNSCHTEFEISDMLRRFGWIVPAYTMPPDAEHVTVLRVVIREDFSRSLAERLVIDIEKVLHELDTLPSRVSAKISITEEKQKNGTAKKSALETQREITTIWRKFVTERKKMNGVC >Manes.03G138851.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26703398:26705143:-1 gene:Manes.03G138851.v8.1 transcript:Manes.03G138851.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQFTSFHLLFVFPFIFFFFFFFMAMKRRAKPSSQKLPPGPWKLPVIGNMHQLLDSLPHRSLARLAMKYGPLMHLKLGEVSTVVVSSPDIAKQILKSHDIDFAQRSSFLAPEIITYDCTDIVFSPYGGYWRQLRKICMVELLSTKRVQSFRWIREEEVENLIKTISSHEGSPINVSDLIFSLTYGMVSRAAFGKKYKDQEQFMKVIKKVFELASGFSVADMYPSIKLLQKVTGLRPKLEKLHGIADRILGNIIKEHRNKNGNEMEEDTVDVLLKLQEHADLEFPISDKIIKTVILDLFSAGSDTSSATMEWAISEMLRNPRILRRAQTEVRDLFRDKGKVDEDGLHELKFLNCIIKETLRLHPPVPLIPRESRTNVEINGYHIPVKTKVTINAWAIGRDPKHWTEAEKFYPERFLDSSINYKGTDFEFIPFGSGRRICPGIAFAMANIELPLAQLLYHFDWKLPKGMKNEDLDMTEDYGLTSRRKRHLFVVPTSYHL >Manes.02G163900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12832653:12833522:-1 gene:Manes.02G163900.v8.1 transcript:Manes.02G163900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPVRLFSAVLFLLLLLVATEMGPMVAEARICESQSHRFKGVCVRKSNCAAICQTEGFHGGHCRGLRRRCFCTKHC >Manes.15G114100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9065016:9068313:1 gene:Manes.15G114100.v8.1 transcript:Manes.15G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRPTSGKPIHPMKLKPVEDESTQKLSDALHLPLYLINSLCFTVFFYVVYFLLARWREKIRTSTPLHVVTLSEIAAVVGLVASFIYLLCFFGIDFVQSLILRPPTDMWAVDDDADEEPEEQILLREDTRKLPCGQALDCSLSASPLSGPIVSSPKAMDPIVLPSPKPKVVDEIPFPTTNITTTLGDEDEEIIKSVVTGTIPSYSLESKLGDCKRAAAIRREALQRITGKSLSGLPLEGFDYESILGQCCEMPVGYVQIPVGIFGPLLLDGQEYSVPMATTEGCLVASANRGCKAIHLSGGATSVLLRDGMTRAPVVRFATVKRAAQLKLYLEDPANFEILSTLFNISSRFGRLQSIKCAIAGKNLYMRFCCSTGDAMGMNMVSKGVQNVLDFLQNDFPDMDVIGLSGNFCSDKKPAAVNWIEGRGKSVVCEAIIKGDVVTKVLKTNVEALVELNMLKNLTGSAMAGALGGFNAHASNILTAIYIATGQDPAQNVESSNCITMMEAVNGGQDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKENPGTNSRLLASIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRANKEAAGSKPSS >Manes.11G022400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2350836:2354352:1 gene:Manes.11G022400.v8.1 transcript:Manes.11G022400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAATAAGQYNPRTVEEVFKDFKGRRGGLVKALTTDVEEFYRQCDPEKENLCLYGFPSEQWEVSLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDVPTVYEVVTGADKKQAKSVANHNSNKSKSNSKVQRESQGKYSKAPQPKDEDEGVDEEEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARPA >Manes.11G022400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2350836:2354352:1 gene:Manes.11G022400.v8.1 transcript:Manes.11G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAATAAGQYNPRTVEEVFKDFKGRRGGLVKALTTDVEEFYRQCDPEKENLCLYGFPSEQWEVSLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDVPTVYEVVTGADKKQAKSVANHNSNKSKSNSKVRESQGKYSKAPQPKDEDEGVDEEEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARPA >Manes.14G109700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9232639:9235357:-1 gene:Manes.14G109700.v8.1 transcript:Manes.14G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQKSLQESLLPEQIEDKAQVSAFLTWDVFTEEGKKLFYIAGPMVTVTLSLYLINVISMMMVGHLGELALSSSAIAISLSAVTGFSLMCGMASGLETLCGQAYGAEQYRKLGSQTYSAIFSLILVAFAVSIIWFNMEKLLLLLGQDPLIAHEAGKFTSMLVPALFAYAIFQPLTKYFQTQSLTIPMLISSCVTLCLHIPLCWTLVFKSGLRNLGGALAISVSHWLNVIFLASYMTFSPACSKTRVPISMELFHGIGEFFRFALPSAVMICLQWWSYELVILLSGLLPNPQLETSVLSICLTTIATLYSFPYGLSAAVSTRVSNELGAGKPRVARTAVCCLMFITAAELILVSGTLFVSRHVFGYSFSSDKEVVDAVSSMAPLVCLSVIIDGLQGVFSGVARGCGWQHIGAYVNLASLYLCGVPAAAILGFWLQLKGRGLWIGINIGALLQTLLLSLVIIYTDWEKQARKARERIFHGRSSVENLLI >Manes.14G172500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:28386592:28395204:1 gene:Manes.14G172500.v8.1 transcript:Manes.14G172500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEEWKSVFPIGSVFGAPLLLSSPSSKAILGPLCFNPKPQTLTQLFDSPSLCPLLSPPSRLSLSRFLSTSTTLDSPIPLSAASSIASLFGPQLHDNAASLLAHNRLQFLKCPHDNTVIVFFSTGCNHDQVGFLLLSVKERNLQVVGDPKGGVLTANKCLNQRIVKILVNPVVDCVCFGANDSCTSNILGYLLVYTMSSVHWFSIKICESSESPILCYIGCKIFKSCSIVDACWSPHLLEESMVLLENGSLFLFDLNSDRSDIYFRGTRLKVSWDDSGNSGNRKWLGCQFSWHPRVLIVASSDAVFLVDWRHDEFKVTCLANIYMFGVYAPIEKERFLVFSKAVSDNFHFVLASDSMLVLCDVRKPMMPVLQWAHGLDKPCYVDVFRLSELRSNSRESTFEWATVSGFGIILGSFWNCEFSLFCYGPPLPSHIGSVASEISKISRSFYSWELPSDFLLSGNKCPCGSCLVREEFLKDALPEWIDWQQKRDIVLGFGILSNDLSSLLFESDEFGGFTLIRLMSSGKLELQRYCASWNLVRKLEAAHRDPLLCFRDNLLYSLEDEEYKFPRRFKYLKLEYLHAYMNGNISQVLDSNMTKPYKGFQQRESFSVDFHEILCEKLKICGFSRFRTSPAISVVFNDINLPTSVHEVALRSIWASLPMELLQLAFSSYSEFLEVLLDKKKVALEFLVVPDLPQLPPFFLRKPSSRSSRWSNKVLCSNNLVGPVLPLPILITLHELQNGCPNSQDEIGGFSPDVELSNRCSEVMQVAREIAMPDSTAEPHDEDAVSLGNDRDDIWVASDKPKSFFLYCPVAVHCSTEGNRESNCVHEDGRFAFLISKVHEKESIHKNEVANVGQELFDDLCPIHLKFDAAAVDFSSQELKAYNLLKRHFSKWQEEFKPFQGFYSRFKKQVA >Manes.14G172500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:28386625:28395204:1 gene:Manes.14G172500.v8.1 transcript:Manes.14G172500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEEWKSVFPIGSVFGAPLLLSSPSSKAILGPLCFNPKPQTLTQLFDSPSLCPLLSPPSRLSLSRFLSTSTTLDSPIPLSAASSIASLFGPQLHDNAASLLAHNRLQFLKCPHDNTVIVFFSTGCNHDQVGFLLLSVKERNLQVVGDPKGGVLTANKCLNQRIVKILVNPVVDCVCFGANDSCTSNILGYLLVYTMSSVHWFSIKICESSESPILCYIGCKIFKSCSIVDACWSPHLLEESMVLLENGSLFLFDLNSDRSDIYFRGTRLKVSWDDSGNSGNRKWLGCQFSWHPRVLIVASSDAVFLVDWRHDEFKVTCLANIYMFGVYAPIEKERFLVFSKAVSDNFHFVLASDSMLVLCDVRKPMMPVLQWAHGLDKPCYVDVFRLSELRSNSRESTFEWATVSGFGIILGSFWNCEFSLFCYGPPLPSHIGSVASEISKISRSFYSWELPSDFLLSGNKCPCGSCLVREEFLKDALPEWIDWQQKRDIVLGFGILSNDLSSLLFESDEFGGFTLIRLMSSGKLELQRYCASWNLVRKLEAAHRDPLLCFRDNLLYSLEDEEYKFPRRFKYLKLEYLHAYMNGNISQVLDSNMTKPYKGFQQRESFSVDFHEILCEKLKICGFSRFRTSPAISVVFNDINLPTSVHEVALRSIWASLPMELLQLAFSSYSEFLEVLLDKKKVALEFLVVPDLPQLPPFFLRKPSSRSSRWSNKVLCSNNLVGPVLPLPILITLHELQNGCPNSQDEIGGFSPDVELSNRCSEVMQVAREIAMPDSTAEPHDEDAVSLGNDRDDIWVASDKPKSFFLYCPVAVHCSTEGNRESNCVHEDGRFAFLISKVHEKESIHKNEVANVGQELFDDLCPIHLKFDAAAVDFSSQELKAYNLLKRHFSKWQEEFKPFQGFYSRFKKQVA >Manes.15G086400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6628951:6631178:-1 gene:Manes.15G086400.v8.1 transcript:Manes.15G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPSFYEREVHFESEEDVTLRQKWKSTTPPTSILDDDGDCFDCSICLDSAHDPVVTLCGHLYCWPCIYKWMHVKSSAADADEQHPCPVCKANISPNSMVPLYGRGTSRTDPEWKNFSPDVVVPCRPPPVLNTLISNTWQQSQQLHPNFFQSQPQSQSFYHQRYFPNPYGGYGAVASSTLGGAAMSQIFNPIIGMFGGMILTRFFGTSDTSLLAYNSYPNSNHLIGSYRMRRQEMQLEKSLNRVTIFLLCGLILCLLLF >Manes.03G071200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11195861:11213934:1 gene:Manes.03G071200.v8.1 transcript:Manes.03G071200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVALHQLTNCFFIDSQEQSALSYTRPRYSFTRHRGSSVTNNLIRPIKASSVETPPFPLFQPPKVEESPSELEPADPDFYKIGYVRSMRAYGVEFKEGPDGFGVYASKDVEPLRRARMIMEIPIELMLTISKKLPWMFFPDIIPIGHPIFDIINSTDPEIDWDLRMACLLLYAFDCKDNFWQLYGDFLPSADECTSLLLATEEELLELQDENLASNMRKQQHRALEFWEKNWHSGAPLKIRRLAHDPERFIWAVSMAQSRCINMQMRIGALVQDANMIVPYADMLNHSFQPNCFFHWRFKDRMLEVMINAGQQIKKGEEMTINYMNGQMNDIFMQRYGFSSPVNPWDVLPFSGNARIHLDSFLSTFNISGLPEEYYHNSQLTRNGDSFVDGAVVAAARTLPTWSDRDIPPLPSEERKAVKELQEECQKMLAKFPTTSKEDQKLLDTMVEASRPLEAAIKYRLHRKKFIEKVIKSLDIYQERLLF >Manes.03G071200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11195861:11213934:1 gene:Manes.03G071200.v8.1 transcript:Manes.03G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVALHQLTNCFFIDSQEQSALSYTRPRYSFTRHRGSSVTNNLIRPIKASSVETPPFPLFQPPKVEESPSELEPADPDFYKIGYVRSMRAYGVEFKEGPDGFGVYASKDVEPLRRARMIMEIPIELMLTISKKLPWMFFPDIIPIGHPIFDIINSTDPEIDWDLRMACLLLYAFDCKDNFWQLYGDFLPSADECTSLLLATEEELLELQDENLASNMRKQQHRALEFWEKNWHSGAPLKIRRLAHDPERFIWAVSMAQSRCINMQMRIGALVQDANMIVPYADMLNHSFQPNCFFHWRFKDRMLEVMINAGQQIKKGEEMTINYMNGQMNDIFMQRYGFSSPVNPWDVLPFSGNARIHLDSFLSTFNISGLPEEYYHNSQLTRNGDSFVDGAVVAAARTLPTWSDRDIPPLPSEERKAVKELQEECQKMLAKFPTTSKEDQKLLGMTTAQRAEIFLPCSAANRYNGRS >Manes.03G071200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11195846:11213934:1 gene:Manes.03G071200.v8.1 transcript:Manes.03G071200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVALHQLTNCFFIDSQSALSYTRPRYSFTRHRGSSVTNNLIRPIKASSVETPPFPLFQPPKVEESPSELEPADPDFYKIGYVRSMRAYGVEFKEGPDGFGVYASKDVEPLRRARMIMEIPIELMLTISKKLPWMFFPDIIPIGHPIFDIINSTDPEIDWDLRMACLLLYAFDCKDNFWQLYGDFLPSADECTSLLLATEEELLELQDENLASNMRKQQHRALEFWEKNWHSGAPLKIRRLAHDPERFIWAVSMAQSRCINMQMRIGALVQDANMIVPYADMLNHSFQPNCFFHWRFKDRMLEVMINAGQQIKKGEEMTINYMNGQMNDIFMQRYGFSSPVNPWDVLPFSGNARIHLDSFLSTFNISGLPEEYYHNSQLTRNGDSFVDGAVVAAARTLPTWSDRDIPPLPSEERKAVKELQEECQKMLAKFPTTSKEDQKLLDTMVEASRPLEAAIKYRLHRKKFIEKVIKSLDIYQERLLF >Manes.10G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23374731:23376738:-1 gene:Manes.10G090300.v8.1 transcript:Manes.10G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSFFQAQASPADDTQEHLLAVGDVEAGGDDPQYKFRIRNLTKKSDAGITILSGVNLEIPKGVVVGIIGPSGSGKSTLLRSLNRLWEPPSGTVFLDGHDIRDLDVLSLRRKVGMLFQIPALFEGTVADNIRYGPQLRGKKLTDHEVHKLLTLADLDSSFYKKNGSELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVIVKLKKNQGMTIMMVSHSIKQIQRIADVVCLLVNGEVVEVLKPDELSQAKHPMAQRFLQLSS >Manes.04G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33934862:33938834:-1 gene:Manes.04G140300.v8.1 transcript:Manes.04G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGLMFHQQQQQPLQEENMSNLTSGSGEASVSSGNRNETGTNYSQQYFVPPPPESQPVKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKKVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESAKAIAAPPPNPVVLHSSSQPAASGSSTPHNINLQIPQFNATTAQDLHAFSLKKEQQSFSTLRPELPPWLACPPAGLVAGPGSGPLHHHQQTPVDHLSSSSSSILTNHQELSPNPNPSLGPTLPHYLQAAPSPHMSATALLQKAAQMGATMSSKTTGLMRPHHQPQHHHQEQAHVSSNSSNNNANTNGFGLNLSSREEQLPGSGSFVHGLQAPFGNKAVPSGTSGSQPSSALLQEMMNSLSSASGFDGASSFEEAFVGGILNTKKDDDNAGGGGGGSGGGGGEGLTRDFLGLRAFSHSDILSMAGLGNCVNTSQEQHNQSQKTWQG >Manes.02G205900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18113005:18119841:-1 gene:Manes.02G205900.v8.1 transcript:Manes.02G205900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGYAKYDVFLSSRGADTLHNFTGQLYTALCQQEIRTFIYSEFDRKDEVTPVTLQKIEQSKIALIIFSENYMNSTCCLDELVKILECKTTMGLVVLPVFYSVNPSDMYGIARRFDDVSVENSLKQDRDRLQKWRYALMEAANLSGWDSTTRSQSDLVDGIVKDILRKLSHMSSSNTERLFGIESHIEKLRGMLSTGSTGVSILGIWGMGGIGKTTIAEAIFNHISSEFDCCCFLANVREESENRGLVRLRNELFSRLLHKQNVYMGTPIIGNNFVINRLRRKKVLIVLDDVNNSKQLSYLVGEHNWFGPGSRIIITTRDKHLLQDGVDKTYEVKGLAYKYALQLFSWKAFEQDHPVEDNMKISNRILKYAKGVPLALKVLGSSLHNRSKKDWERTLSKLERTPNMEIQNVLRISYDELEDEEKDIFLDIACFFKRETRDDVTKILDGCGFSSDIGISVLIDKSLVSISNDRISMHDLVQEMGREIVRQESPEEPGNRSRLLQHKDIYHVLTNETGTDAVEGLSLDMSKLREVHLNPDAFAKMHRLRFLRFYSSLYRGGSESEDLTFPDLYGSRYYRDYKEGSNLQISEGLKSLSNQLRFLQWHMYPLRSLPSNFHPENLVELNMPHSKVEHLWDGVQNLVKLKRINLSYSHHLVEAPDLSQAIDLERMDFRGCTSLGEVPSSIRYLDKLDILELGGCKNLRRLPSNICLKSLRILTLSNCSSLSIFPEISWNLKELNLDGTAIEEVPSSIECFSRLVTLNMKNCARIKNLPDCICKLKSLQKLFLGGTSIKELPTSIESMSGLTLLYLQKCKNLVRLPNGVCNLKSLINLSLFGCSKLEKLPADLGKLHRLFEFEADETAINQLPSSVTFLNNLTELSFCGCKGEYSVNFPLPPLSGLSSLRNLYLRDRDLLEFPADITCLTLLETLGLSGNNFKSIPASIKNLVELRRLDLSYCKRLQSIPELPPHLIHFGAHGCTSLVTVSRTLAVLPKWLDSLNRHMFLFTNCSSLDQNALRNILADAKQKIQLMATTCQKLYWGFYPTPSVTFGFPGSDIPEWFSYQSIGTSVTIRLPQQWHHPKFLGFAFCIVVAFKEPYDGSFFSIRCESDYQDLYCHLDGWYCGQKGKLGLSFNGSDHLFMLYDHSLYLMAVKGDEGENDTSFRFHAVDKDKKPLHCCTVKKCGVRLLFPYEDKSCSSALIQGCTSFENFDVINEDNGKPMEEEGTFTKRYREDESCNRAESGGCEIGSSSEGRDPKRMKESCESNAGDPTRAELRAGKEMERIEL >Manes.06G045100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14194675:14201478:-1 gene:Manes.06G045100.v8.1 transcript:Manes.06G045100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVILPDIGAEILIPLCAIIGIGFSLFQWLLVSKVKLVPGGRDAAAGNNGVRKNGYNDYLIEEEEGLNDHNVVLKCADIQSAISEGATSFLLTEYKYVGVFMIAFAILIFLFLGSVEGFSTKAQPCTYDPLKTCKPALATAVFSTVSFLLGAITSVISGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLFIAINLFKIYYGDDWAGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHELTPMLYPLIISSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIVSWIALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISIVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIL >Manes.06G045100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14194675:14201478:-1 gene:Manes.06G045100.v8.1 transcript:Manes.06G045100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFAILIFLFLGSVEGFSTKAQPCTYDPLKTCKPALATAVFSTVSFLLGAITSVISGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLFIAINLFKIYYGDDWAGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHELTPMLYPLIISSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIVSWIALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISIVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIL >Manes.06G045100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14194675:14201478:-1 gene:Manes.06G045100.v8.1 transcript:Manes.06G045100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVILPDIGAEILIPLCAIIGIGFSLFQWLLVSKVKLVPGGRDAAAGNNGVRKNGYNDYLIEEEEGLNDHNVVLKCADIQSAISEGATSFLLTEYKYVGVFMIAFAILIFLFLGSVEGFSTKAQPCTYDPLKTCKPALATAVFSTVSFLLGAITSVISGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLFIAINLFKIYYGDDWAGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHELTPMLYPLIISSVGILVCLLTTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIVSWIALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISIVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQVC >Manes.17G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22873005:22876152:-1 gene:Manes.17G034200.v8.1 transcript:Manes.17G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLENPLTSLKEHQFDTIPDLFASETDHMPSRNLLKCLKTCDFYSSFRQEAISLVLQTQYSCNFEPFLAYLAINYVDRFISKQEIPRGKPWVLRLLVISCLSLAAKMKNTHFSLSNLQREESFIFDMQTINRMELLILDALNWRMRSITPFSFMHFFISSFELKDPALTQALKDRATEILFQAHNEKKLLEFKPSIIAASALLVSSHELFPLQFPSFRCSISSCECVNRDQLLKCFNAIQEKVEMVWYESMIDTVSSTRTPLSVLDRHCIKSESETTSVAATALPEKREIKRRKTIG >Manes.13G029600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3859306:3862984:1 gene:Manes.13G029600.v8.1 transcript:Manes.13G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNPYFHPSSLILQREQVQVDIEDRGSVIIDNDEDKILDAGAENDEADSGYYNNNDSFEDFTRNGMVKIGEGSPEHDLIKKTLLEGIGTHAKDTKIVAIHKNTVSGLAGKARWLTFRIFAQAVAARRAGNANLRFAWFGASKEKICQVISHGFSQCGETANGQSHGVGVSLSPAKFSIDGVASSVADENGLRHILLCRVVLGKMETIPAGSKQFQPSSTDFDSGVDNIAEPRRFTVWSAFMNSHIFPNYIISIKTPSFNGLNRNQARPLRPNSPWMSFPALLSILSKFLDPSQMTLIFKSHDDFKKNKITRLQLIRRVRKITGDKLLVDIIKSCKGKLVVRASSRGIKI >Manes.13G029600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3859306:3862496:1 gene:Manes.13G029600.v8.1 transcript:Manes.13G029600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGEGSPEHDLIKKTLLEGIGTHAKDTKIVAIHKNTVSGLAGKARWLTFRIFAQAVAARRAGNANLRFAWFGASKEKICQVISHGFSQCGETANGQSHGVGVSLSPAKFSIDGVASSVADENGLRHILLCRVVLGKMETIPAGSKQFQPSSTDFDSGVDNIAEPRRFTVWSAFMNSHIFPNYIISIKTPSFNGLNRNQARPLRPNSPWMSFPALLSILSKFLDPSQMTLIFKSHDDFKKNKITRLQLIRRVRKITGDKLLVDIIKSCKGKLVVRASSRGIKI >Manes.13G029600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3859306:3862496:1 gene:Manes.13G029600.v8.1 transcript:Manes.13G029600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKFFVNWLMGLYSFLFLICVVCDFYLIQREQVQVDIEDRGSVIIDNDEDKILDAGAENDEADSGYYNNNDSFEDFTRNGMVKIGEGSPEHDLIKKTLLEGIGTHAKDTKIVAIHKNTVSGLAGKARWLTFRIFAQAVAARRAGNANLRFAWFGASKEKICQVISHGFSQCGETANGQSHGVGVSLSPAKFSIDGVASSVADENGLRHILLCRVVLGKMETIPAGSKQFQPSSTDFDSGVDNIAEPRRFTVWSAFMNSHIFPNYIISIKTPSFNGLNRNQARPLRPNSPWMSFPALLSILSKFLDPSQMTLIFKSHDDFKKNKITRLQLIRRVRKITGDKLLVDIIKSCKGKLVVRASSRGIKI >Manes.08G054000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5799389:5803629:-1 gene:Manes.08G054000.v8.1 transcript:Manes.08G054000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRRHKGEIHFQEVHGTTRSNHKKPPQGSWQPTVPSWEKRFCYSVGLVPWRKLLETKKSMYLYENVVKWNDSAGEEAFHNAKNRFWAKINGLPCDISLPDPDIYIDEIDWNSNIDPELYLDLEREPKYPHNKENGEEVVIFGSCLLPNQSFSCTGWGEAEEDFQQKAAPAVLDPLYEDCDQKANNGNPWKGNVSQSNVAAIDNEWENCWNGSHGCKNNYDDWNNKYNQGSNYNDTTGGELETWNGNMRKKEGAGWYMSRYKTSRFQRNDYQMDREWWRNGKGRKRMNFVY >Manes.17G118414.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33355993:33356597:1 gene:Manes.17G118414.v8.1 transcript:Manes.17G118414.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKTLLTIIAISFACSLLLAVAVQAQVGTPGLQPAPSPTPVPSPPTTLPPPPPPPSTPTTPTPTPSPATNASSLTSFPSKFMGLVGLVVSFFLLKLLP >Manes.02G085501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6731394:6736290:-1 gene:Manes.02G085501.v8.1 transcript:Manes.02G085501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKPTKRDSIQQLLSIPSLFLYQIATTEFPNQEPDQGPSLRKTGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVRATIDRYKKASADSSNPASASEANTQFYQQESSKLRRQIREIQNLNRHILGEALSTLTFKELKNLEGRLEKGISRIRSKKNEMLFAEIEYMQKREIELQNDNMYLRAKIAENERAQQQQQQTSLMPGGSVYESVPSQAYDRNFIPVNLLEPNHHYSRPDHTALQLV >Manes.11G069500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9839180:9842562:-1 gene:Manes.11G069500.v8.1 transcript:Manes.11G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQIIFTFALLLTIHPAIASPMAKPNCADHCGNITIPFPFGIGIGCYMDEWFEIECVTTSHPPKAFLRRINMELLGIDLQGGTAQITSPIITSNCSGKDEVLPVNLGGSSFFLSDSNIFIAIGCNTRALLTDNTPQLVGCDSTCFGHDDVDWQELLPKFTTTNSDVHWIQKYCNGYNCCQAVIPSFLQVFNASFQAIDSNQRKDGCKLAFLADGSAWVSQEKDAPVQFRIQLTWRINSSVWKYDDFETANCSMSYISFYKTGFLCSCEDGYEGNPYLQCKDINECKDPNYRSCPWITKCVNTPGAYKCVIDTLWIIIFGMCACFLVLFVVVLGSRWLCKSIKKRNNVRRRENFFKRMLQQQKFSSQGNVENAKIFGLKELEKATDHFNVNRIIGQGGQGTVYKGMLVDGRIVAVKKSRIENEEEFEHFINEVVILSQISHRNVVKLLGCCLETEVPLLVYEFISNGTLSRFLHDPDEEFQLSWEKRLQIAIEISEALAYLHSAAAVPIFHRDIKSKNILLDEKYRAKVSDFGISRSIALGQTHLTTNVQGTFGYLDPQYFHTRKFTEKSDVYSFGVVLVELLTGREPICSAMSQEFIGLATHFIQMMENDKMFDMLDPRIMEHCIKEEAMAVANLAKRCLNFHGKKRPSMKQVTMELEAISQNNLNAVQIQWNEIKCTLEDAAAASSSARSSFATSDALSIDTDPFISVS >Manes.13G136100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34429545:34432310:-1 gene:Manes.13G136100.v8.1 transcript:Manes.13G136100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGQSSKKKGVEPPPPPAPPPPSTSPLQIKSNSQYTADLRSYEDACKLDPDLQSFDNALHERTTRVINSLATGVGARSLSFDSLKEVTNSLLDINQDVVEVILECREDIWKNKDLLSLVEEYFKSSAKTLDFCTSLENCLKRARNSQLIIQVALRQFEEEVAFQDGVVEKKYTKTLDELRKFKAVGDPFTEEFFILFQSVYKQHESLLEKLKLQKRKLDKKLKNMKTWRRVSNVLFVATFVAVLIFSVVAAAMAAPPVVTALAGALAVPIGSVGKWCNSLWNRYMKEVKEQKELVSSMRVRTFIAIKDMDNIRLLVNKLEVEIESLLQNASIALMEENALKLVIDEIKKKLDVFMQSIEDLGEHAGKCSRDITQARAVMSQKIIRYLDQ >Manes.13G136100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34429545:34432310:-1 gene:Manes.13G136100.v8.1 transcript:Manes.13G136100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGQSSKKKGVEPPPPPAPPPPSTSPLQIKSNSQYTADLRSYEDACKLDPDLQSFDNALHERTTRVINSLATGVGARSLSFDSLKEVTNSLLDINQDVVEVILECREDIWKNKDLLSLVEEYFKSSAKTLDFCTSLENCLKRARNSQLIIQVALRQFEEEVAFQDGVVEKKYTKTLDELRKFKAVGDPFTEEFFILFQSVYKQHESLLEKLKLQKRKLDKKLKNMKTWRRVSNVLFVATFVAVLIFSVVAAAMAAPPVVTALAGALAVPIGSVGKWCNSLWNRYMKEVKEQKELVSSMRVRTFIAIKDMDNIRLLVNKLEVEIESLLQNASIALMEENALKLVIDEIKKKLDVFMQSIEDLGEHAGKCSRDITQARAVMSQKIIRYLDQ >Manes.17G014501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6922393:6923063:-1 gene:Manes.17G014501.v8.1 transcript:Manes.17G014501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSGSGGSPVQSQSIGADSIILCRHGTRAALYTSWTIGNRGCRFFRCGVWEANDCSFFQWYDPPFTGHEKEVMTYLVQQKNNMKYKIKGLEENAMSLNEDFKILKEKCEEYESVIKDLQRILEVISKTNQNM >Manes.16G019177.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2085592:2087502:1 gene:Manes.16G019177.v8.1 transcript:Manes.16G019177.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHSKNNNDLAFFTYDEKRKLGYGTQRERLGKDSIKPFDACCLCLKSFINPMSCQKGHVFCKECILQCLLSQKKDIQRKLAAHESQKKQEKEEEEEKLMLQKTRELEAFDQQNHGAVPQYNDRNQNRDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPEAPVKTEAPSTSTICPEGNEKLKMKSLFSIYLTEDNSEKKSSASPDKTYICPSCKVTLTNTRSLVALSSCGHVFCKKCADKFMAVDKVCLVCNKACKGRNLVNLEKGGTGFAGHGDNLLATDFKHLGSGSGLGLVRPAAMKI >Manes.18G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:722042:726244:-1 gene:Manes.18G003100.v8.1 transcript:Manes.18G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGGAEAPGARELDQTPTWAVSAVCAAIVMISIILEKVLHSTGEWFQERHKKALYEALEKVKAELMVLGFISLLLTFSQSYIAQICIEEDYAYTMLPCTKKVEEHHSASAHEEEHHRRLLWYDRRFLAGGHHVKGCQTGYLPLISLNGLHQLHIFIFFLAVFHVMYSAITMMLGRLKTRGWKEWEIENTKDHDAMNDPSRFRLTHETSFVKDHTNAWTRTPALFYFVCFFQQFFRSVTKADYLTMRHGFVSVHLTPSSKFDFQKYIKRSLEDDFKVVVGISPLLWASVVLFLLFNVHGWHAMFWFSVLPLFIILAVGTKLQAIITQMALEIQERHAVVQGIPLVQVSDRNFWFAWPQLLLYLIHFVLFQNAFQLTYFFWIWYEFGLKSCFNENFVLIYLRVALGVGAQFLCSYITLPLYALVTQMGSNMKRSIFDEQTSKALKEWHKKAVKKTHDGKPEHMTTRTLGGSPGDSPPPELMGDHPGDQEMADAEADHTANITATVDIKDDSQYDQRDLLS >Manes.18G003100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:722042:726244:-1 gene:Manes.18G003100.v8.1 transcript:Manes.18G003100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGGAEAPGARELDQTPTWAVSAVCAAIVMISIILEKVLHSTGEWFQERHKKALYEALEKVKAELMVLGFISLLLTFSQSYIAQICIEEDYAYTMLPCTKKVEEHHSASAHEEEHHRRLLWYDRRFLAGGHHVKGCQTGYLPLISLNGLHQLHIFIFFLAVFHVMYSAITMMLGRLKTRGWKEWEIENTKDHDAMNDPSRFRLTHETSFVKDHTNAWTRTPALFYFVCFFQQFFRSVTKADYLTMRHGFVSVHLTPSSKFDFQKYIKRSLEDDFKVVVGISPLLWASVVLFLLFNVHGWHAMFWFSVLPLFIILAVGTKLQAIITQMALEIQERHAVVQGIPLVQYEFGLKSCFNENFVLIYLRVALGVGAQFLCSYITLPLYALVTQMGSNMKRSIFDEQTSKALKEWHKKAVKKTHDGKPEHMTTRTLGGSPGDSPPPELMGDHPGDQEMADAEADHTANITATVDIKDDSQYDQRDLLS >Manes.18G003100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:722042:726244:-1 gene:Manes.18G003100.v8.1 transcript:Manes.18G003100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGGAEAPGARELDQTPTWAVSAVCAAIVMISIILEKVLHSTGEWFQERHKKALYEALEKVKAELMVLGFISLLLTFSQSYIAQICIEEDYAYTMLPCTKKVEEHHSASAHEEEHHRRLLWYDRRFLAGGHHVKGCQTGYLPLISLNGLHQLHIFIFFLAVFHVMYSAITMMLGRLKTRGWKEWEIENTKDHDAMNDPSRFRLTHETSFVKDHTNAWTRTPALFYFVCFFQQFFRSVTKADYLTMRHGFVSVHLTPSSKFDFQKYIKRSLEDDFKVVVGISPLLWASVVLFLLFNVHGWHAMFWFSVLPLFIILAVGTKLQAIITQMALEIQERHAVVQGIPLVQVSDRNFWFAWPQLLLYLIHFVLFQNAFQLTYFFWIWYEFGLKSCFNENFVLIYLRVALGVGAQFLCSYITLPLYALVTQVWICEP >Manes.12G096900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:22465666:22466633:1 gene:Manes.12G096900.v8.1 transcript:Manes.12G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASSQSLNTAPLSTKLIASPLTIKVIHSEGKLQELKHPTRASFIKIQNPNCFLCSLESMSVGMCVPQVSDDEELQLGQIYFLLPLSQAHKPLFLSDLCALAAKASSALGNATVDLCSSGASRGFFR >Manes.04G085100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28647960:28654595:-1 gene:Manes.04G085100.v8.1 transcript:Manes.04G085100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHHLTFFFPLLRPPSPKPGNHSSRKSVSGTELHGATSKPNPRAIMAFASGKDTQEVVLQPGERLYLGMDFGTSGARYALIDKQGTIHSEGKREYPFYMSGETIDWVQSWKTTIFSLLEDVPVHFRPLVASISIDGTSATTIIVDSNTGEPLWRPFLYNESCPDALPMVKSIAPVNHTVCSGSSTLCKLVSWWNTEDSKKESALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEVDSYPPWLLAQPYSHLLPSVTAPGTSIGCLKENIRTQFGFSEDCVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTRRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRELFTDEQLDKLSEQINPMEASPLDYYPLTAAGERFPVADPNLAPRKSTKDRAQCLLHPRPESDVEYLHGILESIARIEAKAYSLLKDMGATEVEEVFTAGGGAKNDKWIKIRERVLGLPVSRAMQTEAAYGAALLALKGAQQSLN >Manes.04G085100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28647960:28654595:-1 gene:Manes.04G085100.v8.1 transcript:Manes.04G085100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASGKDTQEVVLQPGERLYLGMDFGTSGARYALIDKQGTIHSEGKREYPFYMSGETIDWVQSWKTTIFSLLEDVPVHFRPLVASISIDGTSATTIIVDSNTGEPLWRPFLYNESCPDALPMVKSIAPVNHTVCSGSSTLCKLVSWWNTEDSKKESALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEVDSYPPWLLAQPYSHLLPSVTAPGTSIGCLKENIRTQFGFSEDCVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTRRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRELFTDEQLDKLSEQINPMEASPLDYYPLTAAGERFPVADPNLAPRLHPRPESDVEYLHGILESIARIEAKAYSLLKDMGATEVEEVFTAGGGAKNDKWIKIRERVLGLPVSRAMQTEAAYGAALLALKGAQQSLN >Manes.04G085100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28647960:28654595:-1 gene:Manes.04G085100.v8.1 transcript:Manes.04G085100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHHLTFFFPLLRPPSPKPGTELHGATSKPNPRAIMAFASGKDTQEVVLQPGERLYLGMDFGTSGARYALIDKQGTIHSEGKREYPFYMSGETIDWVQSWKTTIFSLLEDVPVHFRPLVASISIDGTSATTIIVDSNTGEPLWRPFLYNESCPDALPMVKSIAPVNHTVCSGSSTLCKLVSWWNTEDSKKESALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEVDSYPPWLLAQPYSHLLPSVTAPGTSIGCLKENIRTQFGFSEDCVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTRRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRELFTDEQLDKLSEQINPMEASPLDYYPLTAAGERFPVADPNLAPRLHPRPESDVEYLHGILESIARIEAKAYSLLKDMGATEVEEVFTAGGGAKNDKWIKIRERVLGLPVSRAMQTEAAYGAALLALKGAQQSLN >Manes.04G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28647960:28654644:-1 gene:Manes.04G085100.v8.1 transcript:Manes.04G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHHLTFFFPLLRPPSPKPGNHSSRKSVSGTELHGATSKPNPRAIMAFASGKDTQEVVLQPGERLYLGMDFGTSGARYALIDKQGTIHSEGKREYPFYMSGETIDWVQSWKTTIFSLLEDVPVHFRPLVASISIDGTSATTIIVDSNTGEPLWRPFLYNESCPDALPMVKSIAPVNHTVCSGSSTLCKLVSWWNTEDSKKESALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEVDSYPPWLLAQPYSHLLPSVTAPGTSIGCLKENIRTQFGFSEDCVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTRRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRELFTDEQLDKLSEQINPMEASPLDYYPLTAAGERFPVADPNLAPRLHPRPESDVEYLHGILESIARIEAKAYSLLKDMGATEVEEVFTAGGGAKNDKWIKIRERVLGLPVSRAMQTEAAYGAALLALKGAQQSLN >Manes.04G085100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28647960:28654595:-1 gene:Manes.04G085100.v8.1 transcript:Manes.04G085100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHHLTFFFPLLRPPSPKPGTELHGATSKPNPRAIMAFASGKDTQEVVLQPGERLYLGMDFGTSGARYALIDKQGTIHSEGKREYPFYMSGETIDWVQSWKTTIFSLLEDVPVHFRPLVASISIDGTSATTIIVDSNTGEPLWRPFLYNESCPDALPMVKSIAPVNHTVCSGSSTLCKLVSWWNTEDSKKESALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEVDSYPPWLLAQPYSHLLPSVTAPGTSIGCLKENIRTQFGFSEDCVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTRRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRELFTDEQLDKLSEQINPMEASPLDYYPLTAAGERFPVADPNLAPRKSTKDRAQCLLHPRPESDVEYLHGILESIARIEAKAYSLLKDMGATEVEEVFTAGGGAKNDKWIKIRERVLGLPVSRAMQTEAAYGAALLALKGAQQSLN >Manes.04G085100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28647960:28654595:-1 gene:Manes.04G085100.v8.1 transcript:Manes.04G085100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHHLTFFFPLLRPPSPKPGNHSSRKSVSGTELHGATSKPNPRAIMAFASGKDTQEVVLQPGERLYLGMDFGTSGARYALIDKQGTIHSEGKREYPFYMSGETIDWVQSWKTTIFSLLEDVPVHFRPLVASISIDGTSATTIIVDSNTGEPLWRPFLYNESCPDALPMVKSIAPVNHTVCSGSSTLCKLVSWWNTEDSKKESALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEVDSYPPWLLAQPYSHLLPSVTAPGTSIGCLKENIRTQFGFSEDCVVCTGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTRRIEDARFGVYSHRLDDKWLVGGASNTGGAVLRELFTDEQLDKLSEQINPMEASPLDYYPLTAAGERFPVADPNLAPRY >Manes.02G184300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14762143:14762553:1 gene:Manes.02G184300.v8.1 transcript:Manes.02G184300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLHITQIPLNTSQAAMAGMTLLLCAFALFMCASHSRKWRRWSTCYGSSNHSPVIQLNTEAMMLSTGVRTLHGRSNSELLFSGEQQQQGVGVWQKNILMGGKCQLPDFSGVIIYDSDGNVVAPAKNPHPLLTWK >Manes.08G056000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6129789:6135167:1 gene:Manes.08G056000.v8.1 transcript:Manes.08G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEANLGRFSIGPSTIIGRTIAFRVLFCKSMSHLRHRIYHLLLNYLQMFRDASASILSWFHPRNPQGILAMVTVIAFMLKRYTSVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMHESDLYDEELVRNKLQELQHRRQEGSLRDIIFFMRADLIRNLGNMCNPELHKGRLHVPKLIKEYIDEVSTQLRMVCDSDSEELALEEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSIMCSVVATRSWPELQSFFEDSLHSLQFFDQMGGLFTVVKRIRTQGAVHEIRQLQWMLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSSTSVRRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIAPLLRMKEFVRAYGGNFAAKLAHLTEMEVKHKFNQALELGFPMWGVAKLFAQDWEGDVTVVMPATLAQISKIIQNPTILELQKAINQGRRCTWEKLSAIKANCGIELCLDECVAMLNHMRRLKRSAERAAAASHGLASTAPSTVKFSASKRIPSWNCIARENSTGSLEEDLADFASTLYQGVVGSGSGASSLRNGRIHRNMHDGSDSESESVDLNSWTRSGGPLMRTTSANQFIDFVQNLDIDVELTRGLMTNPNSPGAQMGIRDSYNQISRVTTPDSRYSESEFEQRDFSSRASITVTEGDILQPERIHNGFVLNVVKRENLALSNRTQEENYNNEVPECVQLDCAERDMDAISASEYAGDNDDDDDKDNDDNTTMNLPNETVSDPSSKNTP >Manes.06G017600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2893473:2901198:1 gene:Manes.06G017600.v8.1 transcript:Manes.06G017600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATALIPFKLINGRRFQSKNHTPILAQRLHFKVSCCVLNPISRDSHYQKLVDEFDPRIPIEKALTPPSSWYTDPSFYEYELHRVFYGGWQAVGYTEQVKDPGDFFTGRLGNVEFLVCRDNDGKLHAFHNVCRHHGSLLASGSGKKSCFVCPYHAWTYGLDGGLLKATRITGMQNFKVNEFGLIPLNVATWGPFVLLNVGKESSTHQEVDGNMVESEWLGSCSDALKTNGVDSSLSYVCRRVYDIECNWKVFCDNYLDGGYHVPHAHKALASGLKLDSYSTIVCISCFLIILGYICFSFITSST >Manes.06G017600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2893471:2903665:1 gene:Manes.06G017600.v8.1 transcript:Manes.06G017600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATALIPFKLINGRRFQSKNHTPILAQRLHFKVSCCVLNPISRDSHYQKLVDEFDPRIPIEKALTPPSSWYTDPSFYEYELHRVFYGGWQAVGYTEQVKDPGDFFTGRLGNVEFLVCRDNDGKLHAFHNVCRHHGSLLASGSGKKSCFVCPYHAWTYGLDGGLLKATRITGMQNFKVNEFGLIPLNVATWGPFVLLNVGKESSTHQEVDGNMVESEWLGSCSDALKTNGVDSSLSYVCRRVYDIECNWKVFCDNYLDGGYHVPHAHKALASGLKLDSYSTIMYEKVSIQRCEGGSTGSEEDFGRLGSKALYAFIYPNFMINRYGPWMDTNLVVPLGPTKCQVIFDYFIEGSHKDDKAFIEKSLEDSERVQMEDVMLCEGVQRGLETPAYCSGRYAPTVEQAMHHFHLLLHHHLKM >Manes.06G017600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2893473:2903112:1 gene:Manes.06G017600.v8.1 transcript:Manes.06G017600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATALIPFKLINGRRFQSKNHTPILAQRLHFKVSCCVLNPISRDSHYQKLVDEFDPRIPIEKALTPPSSWYTDPSFYEYELHRVFYGGWQAVGYTEQVKDPGDFFTGRLGNVEFLVCRDNDGKLHAFHNVCRHHGSLLASGSGKKSCFVCPYHAWTYGLDGGLLKATRITGMQNFKVNEFGLIPLNVATWGPFVLLNVGKESSTHQEVDGNMVESEWLGSCSDALKTNGVDSSLSYVCRRVYDIECNWKVFCDNYLDGGYHVPHAHKALASGLKLDSYSTIMYEKVSIQRCEGGSTGSEEDFGRLGSKALYAFIYPNFMINRYGPWMDTNLVVPLGPTKCQVIFDYFIEGSHKDDKAFIEKSLEDSERVQMEDVMLCEGVQRGLETPAYCSGRYAPTVEQAMHHFHLLLHHHLKM >Manes.01G190050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36596971:36597738:1 gene:Manes.01G190050.v8.1 transcript:Manes.01G190050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGGSGKIIPFSAFWLRSSVVSVLISLISDTWAISPHDIKFIFLWGGPNTVASYWGPRSSPMRCTVARAWRTHQDIIK >Manes.12G158400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36594121:36607725:-1 gene:Manes.12G158400.v8.1 transcript:Manes.12G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTSTLYTNTPAMQFFKLYSFKKAAVNCDCQSFQFGFVRFFATTCRAVLLPRFGGPEVLELCSDVEVPQLKPNQVLVRTRAVSVNPLDTRMRSGYGRSIFEPLLPLILGRDISGEVTAVGTKVHSLSVGQEVFGALHPTAVRGTYTDYAILSEDELTPKPASVTHVEASAIPFAALTAWRALKSTARITEGQRLLVVGGGGAVGFAAIQLGVAAGCHVATTCGSQSIDRVLKAGAEQAVDYIAEDVELAIKGKFDAVLDTIGVPETERIGINFLKRGGHYMTLQGEAASLTDRYGLAVGLPVATAILLKKQIQYRSSHGIEYWWTYMRADPDGLDEIRRLFEAGKLKMPVERTFPFTQVREAHEAKDKKLIPGKVVLELEFD >Manes.07G056700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6438720:6442228:-1 gene:Manes.07G056700.v8.1 transcript:Manes.07G056700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSLQNKDTFKLDLPNDCTLQLLRETLSHALSSSSSSSSSLCFSLNRKEELSSSSLQDSLRSLGITSGDLIYFSLNPDEFSPSPQMLVLSSDQFLHEQDGAQQGNLQAQSPNYEGSATFDPSLTENRETPDQDVSVKETKFSGTSTSIKETLIQDLPVGGQSDMGDEVIQEQRPLISNTQMGETLETDELSSEEGMDIDTGSLDVDFKRVSEPCFLKRVLSEELGADISDNKLLFIAIHAVFLESGFVGFDLVSGLRVDLFHLLEEQSSMSFTTSVSYTLPELLLDDTVTESVILKFQTLGNFVNVYGSLAKKQSWLHRLCVNKSKYVPSIGCLLQNCDENNGVNENYELSKYSPENEVFELWKIVKDQLALPLLIDLCEKAGLGLPPCLVRLPSDLKLRILEFLPGVDIARMACVCKEMRYLSSNNDLWKQRYDEEFGIGKGLQGITNWKARFALFWEIKKKRKRERRFRFTPFHLYLGTEPEPGPNPFGLPLPVVGGDYDRLPGLGVPFPFGPPNRPFQRRSRRSFSPSCNLGGFNR >Manes.02G006600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:840074:842699:1 gene:Manes.02G006600.v8.1 transcript:Manes.02G006600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRPTFVQIWKRKAVEQYSATPYLATLVNCMAWVLYGLPIVHPNSLLVVTVNGAGTVIEFLYIIVFIIYSDKKKRVKVILIVVVELIFIAALATMVLNLAHTTKKRSAIVGFICIFFNIAMYASPLSVMKMIITTKSVEYMPFFVSLTSFINGLAWTCYALISFDPFLLIPNGLGSLFALAQLTLYAVFYKSTKRQIAAREGKSELPLAQVLVNEDSKRAATAPLNGSASETNRA >Manes.02G006600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:840074:842699:1 gene:Manes.02G006600.v8.1 transcript:Manes.02G006600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDTARNLVGILGNIFALSLFLSPVPTFVQIWKRKAVEQYSATPYLATLVNCMAWVLYGLPIVHPNSLLVVTVNGAGTVIEFLYIIVFIIYSDKKKRVKVILIVVVELIFIAALATMVLNLAHTTKKRSAIVGFICIFFNIAMYASPLSVMKMIITTKSVEYMPFFVSLTSFINDSKRAGFAICIGPTNTVRGVLQIYEEANSCKGREKRAAFSSSTCQRRFQEGCHCTSQWLCF >Manes.02G006600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:840074:842699:1 gene:Manes.02G006600.v8.1 transcript:Manes.02G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDTARNLVGILGNIFALSLFLSPVPTFVQIWKRKAVEQYSATPYLATLVNCMAWVLYGLPIVHPNSLLVVTVNGAGTVIEFLYIIVFIIYSDKKKRVKVILIVVVELIFIAALATMVLNLAHTTKKRSAIVGFICIFFNIAMYASPLSVMKMIITTKSVEYMPFFVSLTSFINGLAWTCYALISFDPFLLIPNGLGSLFALAQLTLYAVFYKSTKRQIAAREGKSELPLAQVLVNEDSKRAATAPLNGSASETNRA >Manes.04G050400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8408856:8423897:-1 gene:Manes.04G050400.v8.1 transcript:Manes.04G050400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSSSNNNINQNKEKEWRAEEAIAGNKAALEALRELITFPLLYPFEAKKLGLKWPRGLLLYGPPGTGKTSLVRAVVQECGAYLIVISPHSVHKAYAGESEKSLREAFAEAASHARLGKPSVIFIDEIDALCPRRDSRREQDVRVASQLSTLMDANKHSSTSLAHIVVVASTNRVDAIEPALRRSGRFDTEIEVTTPTEEERFEILKLYTKKLPLEPTVDLQAIAASCNGYVGADLEALCREATLSALKSLEGNEDMFCLTTEDWKHARSVVGPSITRGVTVEIPKVSWADIGGLKDLKKKLQQAVEWPIKHSAAFSRMGISPMRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAAKRGGNSSNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVTSIFYCAICSTTRFGGSV >Manes.04G050400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8408856:8423897:-1 gene:Manes.04G050400.v8.1 transcript:Manes.04G050400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSSSNNNINQNKEKEWRAEEAIAGNKAALEALRELITFPLLYPFEAKKLGLKWPRGLLLYGPPGTGKTSLVRAVVQECGAYLIVISPHSVHKAYAGESEKSLREAFAEAASHARLGKPSVIFIDEIDALCPRRDSRREQDVRVASQLSTLMDANKHSSTSLAHIVVVASTNRVDAIEPALRRSGRFDTEIEVTTPTEEERFEILKLYTKKLPLEPTVDLQAIAASCNGYVGADLEALCREATLSALKSLEGNEDMFCLTTEDWKHARSVVGPSITRGVTVEIPKVSWADIGGLKDLKKKLQQAVEWPIKHSAAFSRMGISPMRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAAKRGGNSSNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILCVHTRNMKIAEDVDLRRIARDTELFTGAELEGLCREAGIVALRENISATVVCNRHFQTVKESLKPALTQADIEKYASFMNTQMTTSNQNESSIKSVSKHRHNLTGTMLSVKIGFLSFVLFAAAKYFLAYTNTRLNAVSAT >Manes.04G050400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8407794:8423900:-1 gene:Manes.04G050400.v8.1 transcript:Manes.04G050400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSSSNNNINQNKEKEWRAEEAIAGNKAALEALRELITFPLLYPFEAKKLGLKWPRGLLLYGPPGTGKTSLVRAVVQECGAYLIVISPHSVHKAYAGESEKSLREAFAEAASHARLGKPSVIFIDEIDALCPRRDSRREQDVRVASQLSTLMDANKHSSTSLAHIVVVASTNRVDAIEPALRRSGRFDTEIEVTTPTEEERFEILKLYTKKLPLEPTVDLQAIAASCNGYVGADLEALCREATLSALKSLEGNEDMFCLTTEDWKHARSVVGPSITRGVTVEIPKVSWADIGGLKDLKKKLQQAVEWPIKHSAAFSRMGISPMRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAAKRGGNSSNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILCVHTRNMKIAEDVDLRRIARDTELFTGAELEGLCREAGIVALRENISATVVCNRHFQTVKESLKPALTQADIEKYASFMNTQMTTSNQNESSIKSVSKHRHNLTGTMLSVKIGFLSFVLFAAAKYFLAYTNTRLNAVSAT >Manes.04G050400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8408856:8423897:-1 gene:Manes.04G050400.v8.1 transcript:Manes.04G050400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSSSNNNINQNKEKEWRAEEAIAGNKAALEALRELITFPLLYPFEAKKLGLKWPRGLLLYGPPGTGKTSLVRAVVQECGAYLIVISPHSVHKAYAGESEKSLREAFAEAASHARLGKPSVIFIDEIDALCPRRDSRREQDVRVASQLSTLMDANKHSSTSLAHIVVVASTNRVDAIEPALRRSGRFDTEIEVTTPTEEERFEILKLYTKKLPLEPTVDLQAIAASCNGYVGADLEALCREATLSALKSLEGNEDMFCLTTEDWKHARSVVGPSITRGVTVEIPKVSWADIGGLKDLKKKLQQAVEWPIKHSAAFSRMGISPMRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAAKRGGNSSNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVTSIFYCAICSTTRFGGSV >Manes.04G050400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8408856:8423897:-1 gene:Manes.04G050400.v8.1 transcript:Manes.04G050400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSSSNNNINQNKEKEWRAEEAIAGNKAALEALRELITFPLLYPFEAKKLGLKWPRGLLLYGPPGTGKTSLVRAVVQECGAYLIVISPHSVHKAYAGESEKSLREAFAEAASHARLGKPSVIFIDEIDALCPRRDSRREQDVRVASQLSTLMDANKHSSTSLAHIVVVASTNRVDAIEPALRRSGRFDTEIEVTTPTEEERFEILKLYTKKLPLEPTVDLQAIAASCNGYVGADLEALCREATLSALKSLEGNEDMFCLTTEDWKHARSVVGPSITRGVTVEIPKVSWADIGGLKDLKKKLQQAVEWPIKHSAAFSRMGISPMRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAAKRGGNSSNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVTSIFYCAICSTTRFGGSV >Manes.04G050400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8407794:8423900:-1 gene:Manes.04G050400.v8.1 transcript:Manes.04G050400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSSSNNNINQNKEKEWRAEEAIAGNKAALEALRELITFPLLYPFEAKKLGLKWPRGLLLYGPPGTGKTSLVRAVVQECGAYLIVISPHSVHKAYAGESEKSLREAFAEAASHARLGKPSVIFIDEIDALCPRRDSRREQDVRVASQLSTLMDANKHSSTSLAHIVVVASTNRVDAIEPALRRSGRFDTEIEVTTPTEEERFEILKLYTKKLPLEPTVDLQAIAASCNGYVGADLEALCREATLSALKSLEGNEDMFCLTTEDWKHARSVVGPSITRGVTVEIPKVSWADIGGLKDLKKKLQQAVEWPIKHSAAFSRMGISPMRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAAKRGGNSSNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILCVHTRNMKIAEDVDLRRIARDTELFTGAELEGLCREAGIVALRENISATVVCNRHFQTVKESLKPALTQADIEKYASFMNTQMTTSNQNESSIKSVSKHRHNLTGTMLSVKIGFLSFVLFAAAKYFLAYTNTRLNAVSAT >Manes.04G050400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8408856:8423900:-1 gene:Manes.04G050400.v8.1 transcript:Manes.04G050400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLEQESPHSVHKAYAGESEKSLREAFAEAASHARLGKPSVIFIDEIDALCPRRDSRREQDVRVASQLSTLMDANKHSSTSLAHIVVVASTNRVDAIEPALRRSGRFDTEIEVTTPTEEERFEILKLYTKKLPLEPTVDLQAIAASCNGYVGADLEALCREATLSALKSLEGNEDMFCLTTEDWKHARSVVGPSITRGVTVEIPKVSWADIGGLKDLKKKLQQAVEWPIKHSAAFSRMGISPMRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAAKRGGNSSNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILCVHTRNMKIAEDVDLRRIARDTELFTGAELEGLCREAGIVALRENISATVVCNRHFQTVKESLKPALTQADIEKYASFMNTQMTTSNQNESSIKSVSKHRHNLTGTMLSVKIGFLSFVLFAAAKYFLAYTNTRLNAVSAT >Manes.04G050400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8408856:8423897:-1 gene:Manes.04G050400.v8.1 transcript:Manes.04G050400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLEQESPHSVHKAYAGESEKSLREAFAEAASHARLGKPSVIFIDEIDALCPRRDSRREQDVRVASQLSTLMDANKHSSTSLAHIVVVASTNRVDAIEPALRRSGRFDTEIEVTTPTEEERFEILKLYTKKLPLEPTVDLQAIAASCNGYVGADLEALCREATLSALKSLEGNEDMFCLTTEDWKHARSVVGPSITRGVTVEIPKVSWADIGGLKDLKKKLQQAVEWPIKHSAAFSRMGISPMRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRNTFQRARLAAPSIIFFDEADVVAAKRGGNSSNSTTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILCVHTRNMKIAEDVDLRRIARDTELFTGAELEGLCREAGIVALRENISATVVCNRHFQTVKESLKPALTQADIEKYASFMNTQMTTSNQNESSIKSVSKHRHNLTGTMLSVKIGFLSFVLFAAAKYFLAYTNTRLNAVSAT >Manes.10G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28949692:28958736:-1 gene:Manes.10G123100.v8.1 transcript:Manes.10G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSFPAAVRSPIFPLFAFISLILLSPALASEADHKYQPDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPSGTAAHKWGGLGEVLGGNELIDSKIDLKFQKNVDKRTICSLELDEAKVRQFKDAIENNYWFEFFMDDLPLWGFVGELHPDKNSDNGKHVLYTHKSIFVKYNKDQIIHVNLTQEGPKPLEAGRILDMTYSIKWIPTNITFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLDSLERDVSEESGWKLVHGDVFRPPRYLVVLSAVVGTGAQLALLVLLVILLAIVGTLYIGRGAIVTTFIVCYALTSFISGYVSGGMYSRHGGKNWIKSMILTASLFPFMCFGIGFILNTIAIFYGSLAAIPFGTMVVVFIIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMFGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >Manes.12G104900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:28509979:28510821:1 gene:Manes.12G104900.v8.1 transcript:Manes.12G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHIRSFSLPSRSHPLIVTIEEQLCKLKASQFSTMSCKLNGLKNLFECTDDLLQMPVAQQTLSHESQSQCVEDALSGSLDLLDLCDSIRDFFSQMKECVQELEFSLGRRKRGITSEVEVYMESRKKLSKVIIKYLRYLKRKERNSTSEAMDNNSNLTNMISILTRVQEISLGEFQSILSFISQPKAKSKPFGWSIISKALQSKRVSCEVETEVNEVEKLDAELLTLKSSKDISISQLQSLLKGLEALGSSIQEAEEELECIYRLLVKTRVSLLNILNH >Manes.04G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26590341:26600204:-1 gene:Manes.04G068300.v8.1 transcript:Manes.04G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLQMPPHTLPSIHGGRALVSFIPSPISFLRPNFAVQPNCFSALPNGYNTRTMSPFYPNIFHTGRSISQGGRGMQFSARSIRASVQPVTEELMEDKTQANPVSGDSIRRRFLDFYASRGHKVLPSSSLVPDDPTVLLTIAGMLQFKPVFLGKAPKQVARATTAQRCIRTNDVENVGRTARHHTFFEMLGNFSFGDYFKKEAIKWAWELSTKEFGLQADRLWVSIYEDDDEAFDIWHNEVGVPIERIKRMGEEDNFWTSGITGPCGPCSELYYDFHPERGYSDVDLGDDTRFIEFYNLVFMQYNKRDDGSLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASELANVSYALADDQTKRNLKIIGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRAVRSGRLLGIKGDGKSNLEGAFLPAIAEKVIEMSTYIDSDVKTRASHILEELQREELRFVQTLERGEKLLDQMLADALSSGHKNGIVPYLSGKDVFLLYDTYGFPVEITAEVAEEHGVKIDTDGFDIEMEKQRRQSQAAHNVVKLAVENGDFSENVSDTEFLGYDMLSARAVIESLLVNGKPVIQVAEGSEVEVLLDKTPFYAESGGQIGDHGFLYVTEGESKPVAVVEINDVQKSLGNVFVHKGIIKEGVLEVGREVEAAVDPKLRQQAKVHHTATHLLQSALKKVIGHETSQAGSLVAFDRLRFDFNFHRPLLDTELEEIERLINGWIGDATILQTKVMPLNDAKKAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRAFKIISEQGIASGIRRLEAVAGEAFIEYINVRDAQVKRLCSTLKVKAEEVASRVENLLEELRIARNEVSALRAKAAVYKASIIASKAFVVGTSKTIRVIVECMDDVDADSLKSAAEYLIDVLQDPAAVVLGSSPDKGKVSLVAAFTPGVVDMGVQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLPSALEKAKADLTSILTAKAS >Manes.04G068300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26590341:26600204:-1 gene:Manes.04G068300.v8.1 transcript:Manes.04G068300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYPNIFHTGRSISQGGRGMQFSARSIRASVQPVTEELMEDKTQANPVSGDSIRRRFLDFYASRGHKVLPSSSLVPDDPTVLLTIAGMLQFKPVFLGKAPKQVARATTAQRCIRTNDVENVGRTARHHTFFEMLGNFSFGDYFKKEAIKWAWELSTKEFGLQADRLWVSIYEDDDEAFDIWHNEVGVPIERIKRMGEEDNFWTSGITGPCGPCSELYYDFHPERGYSDVDLGDDTRFIEFYNLVFMQYNKRDDGSLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASELANVSYALADDQTKRNLKIIGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRAVRSGRLLGIKGDGKSNLEGAFLPAIAEKVIEMSTYIDSDVKTRASHILEELQREELRFVQTLERGEKLLDQMLADALSSGHKNGIVPYLSGKDVFLLYDTYGFPVEITAEVAEEHGVKIDTDGFDIEMEKQRRQSQAAHNVVKLAVENGDFSENVSDTEFLGYDMLSARAVIESLLVNGKPVIQVAEGSEVEVLLDKTPFYAESGGQIGDHGFLYVTEGESKPVAVVEINDVQKSLGNVFVHKGIIKEGVLEVGREVEAAVDPKLRQQAKVHHTATHLLQSALKKVIGHETSQAGSLVAFDRLRFDFNFHRPLLDTELEEIERLINGWIGDATILQTKVMPLNDAKKAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRAFKIISEQGIASGIRRLEAVAGEAFIEYINVRDAQVKRLCSTLKVKAEEVASRVENLLEELRIARNEVSALRAKAAVYKASIIASKAFVVGTSKTIRVIVECMDDVDADSLKSAAEYLIDVLQDPAAVVLGSSPDKGKVSLVAAFTPGVVDMGVQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLPSALEKAKADLTSILTAKAS >Manes.01G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30392602:30399237:-1 gene:Manes.01G104200.v8.1 transcript:Manes.01G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSARSHLEEEDEPDDYDSSSYRGDVSKMDGKSSGQKVNTHRSKHSETEQRRRSKINERFQVLRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLQMYEGPYQGWSQEPTKLTPWKNHNLPVEGAMDHSQASNNGSAHKTTAMLTNLNNLIEPHLGTTAVPFNVQVQSNMFAAVGREDVIAQPVQESVSDAENMAYPHQSQLWQGQPCATECGTLNNTSNGQDEPMIETGSVGLSNTYSQGILYTLTEALRSSGVDLTQTNISVQIDVGKQGNRGAPFVASSSKDEEILNFHNQVMEQTGVRGRMEDSDQAHKRPRTEKS >Manes.01G104200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30392602:30399237:-1 gene:Manes.01G104200.v8.1 transcript:Manes.01G104200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMITTPLLTEMDGKSSGQKVNTHRSKHSETEQRRRSKINERFQVLRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLQMYEGPYQGWSQEPTKLTPWKNHNLPVEGAMDHSQASNNGSAHKTTAMLTNLNNLIEPHLGTTAVPFNVQVQSNMFAAVGREDVIAQPVQESVSDAENMAYPHQSQLWQGQPCATECGTLNNTSNGQDEPMIETGSVGLSNTYSQGILYTLTEALRSSGVDLTQTNISVQIDVGKQGNRGAPFVASSSKDEEILNFHNQVMEQTGVRGRMEDSDQAHKRPRTEKS >Manes.13G089160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23074696:23075902:1 gene:Manes.13G089160.v8.1 transcript:Manes.13G089160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNSLQIYSQQVTSIKRIGDSAAEFAIPSCHCGEIYALKHSWTNLNPGRRFFGCRKYGMKYGFFMWLGSPVSNHSKQVIVELLSKVRLYEAEMKRAKKKEVQWRFICVIVIILCIVDLF >Manes.13G005400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1079430:1081574:-1 gene:Manes.13G005400.v8.1 transcript:Manes.13G005400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMAKLSLMRTISLASAFRIFHSPLLMGTIHSPTLLFTCSFHSSSSSRSASKRTHKDASLKSKFYSASFRDLDDALASFNHIILFHPLPSIDKFGRFLSALVRIKQYHTVVSLFRKIELLRISHNVYSLNILINCYCRLHHVDFAFSILGKFLKLGVKPDIVTFNTLIDGLCKEGKINRAVDFFNHVVARGYEPVVNTYNVIVNGLCKFGETNLAIGLLRGMVERL >Manes.03G133500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25950095:25950740:-1 gene:Manes.03G133500.v8.1 transcript:Manes.03G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKVFLILVVVLALVLSIAALPQEQEAAAAADDDGDVGYELTELSDETADFSSERGFGRILAQKKRKSRRITCNKFPRICRSKGSPGPFCCKKKCVNVLTDRFNCGACGKKCKYNQICCNGKCVNPTFNRKHCGGCNNRCKNGGFCAFGLCNYA >Manes.01G013700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3348846:3350972:-1 gene:Manes.01G013700.v8.1 transcript:Manes.01G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLAILVVLLVLFIFNLSATAFRSRRHPKNDRKRPPGPPALPIIGNLYMLGSLPHRSLYRLSKKYGPIMSMRLGSIPAIVVSSPQAAELFLKAHDVVFASRPIIQASVYMAYGNKGMAFSEYGPYWRSIRKLCTLQLLSPSKIEYFAPMRMEEVRLLVNSLKQAAAARVAVDISLGVGDLIRNMSCKLVFGEANIYEFDLKLLIEEALNLTGAFNIADYVPFLGAFDLQGLKQRMKAYSNKMDKILEKIIDEHEREAQWQKQQQIRDFVDVLLSLMNQPIASNDESLSTLDRTNIKAILQDMIIGSFDTSAITIEWTLTELLRHPSAMKRLQDELQSVVGLDKMVQEKDLSNLPYLDMVIKESLRLHPVGPLLIPRSCMEEIIIDGYHIPKKARIIVNAWGIGRDPDVWSDNAEEFLPERFAAKSIDIRGRDFEFLPFGSGRRGCPGMQLGLTVVRLVIAQLVHCFNWELPDGVLPGELDMSEVFGLSMPRASHLVVVPKYRLGV >Manes.15G084000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6477491:6479290:1 gene:Manes.15G084000.v8.1 transcript:Manes.15G084000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILFISLLAFILVIIQANAATENFWCSNPGTRCYGKYVRCPDECPSTSSQNPKAKVCYVNCNNPHCKPECKRRKPDCNTPGSSCYDPRFIGGDGVVFYFHGKSNENFNLVSDSNLHINGRFIGHRPAGRTRDFTWIQALGILFNSYTFSLEATKAATWDGEDDHLKFTYNGQDLVIPEGSLSIWYSPQKDVKVERVSSKNSVIVTLKDTAEILVNVVPVTKEDDRVHKYQVPANDCFAHLEVQFRFFNLSPMVDGVLGRTYRPDFENSAKPGVAMPVLGDEEKYKTPSLLSAKCESCIFSEGGSEQKTSSIIDFGTLDCTRGASAGYGIVCRK >Manes.11G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14657127:14662188:1 gene:Manes.11G092800.v8.1 transcript:Manes.11G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPLLFLLLLIYLISLFPLSQSVYHQYQEALSKSILFFEGQRSGVLPQDQRITWRANSGLSDGWTYNTDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGESMPPNELRNTLVAIRWATDYLLKTVSQPNRIFVQVGDPNVDHSCWERPEDMDTPRTVYAVDAPNPASDVAGETAAALAASSMALRSSDPGYADTLLRNAAVAFQFADNYRGAYSDNSNIRDGVCPFYCDFDGYQDELLWGAAWLRRASYDDTYLSYIQNNGKTLGADDNINEFGWDNKHAGLNVLVSKEVLEGNMYTLESYKASADSFMCTLIPESSSSHIDYTPGGLIYKPGGSNLQHATTISFLLLVYANYLERTSQSVNCGNINVGPYSLREQAKRQVDYILGDNPLGLSYMVGYSNNYPQRIHHRGSSLPSIKDHPEFIGCKEGSIYFNSTNPNLNVLVGAIVGGPSEDDSYGDDRADFKKSEPTTYINAPFVGVLAYFAANPNFS >Manes.15G124301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9893567:9893890:-1 gene:Manes.15G124301.v8.1 transcript:Manes.15G124301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRSPPATYSASIRPSLPGPIKLVQVPNHNKTSPRTPFSAVAPARLMRVSRVPIRITLETIYEEENEEEFCMDMDQSFSSSILSTCFLQGQKPLSSYCQNWGVLS >Manes.01G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30949893:30953585:-1 gene:Manes.01G110800.v8.1 transcript:Manes.01G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEFNHPYHHAQLLRLRCSVQNYNWGKTGTDSHVARLFALNSGSKIELDKPYAEFWVGTHESGPSFLLESGVENGAPIGSQFITLKDWIAKNPNVLGNKVFDKWGSDLPFLFKVLSVAKALSIQAHPDKELAKMLHKLQPDVYKDDNHKPEMALAITEFEALCGFIGLKELKVVIHNVPEIVELVGSTDANQLLHMNEQDGEEKVKSVLRSIFTQLMSASKEMTTKVISKLKNRLHMESQVRQLTDKEQLVLQLEKQYPADIGVISAFFFNYVKLNPGEALYLGANEPHAYLYGDCIECMATSDNVVRAGLTPKPRDVQILCSMLTYKQGFPEILKGFPLSPYTTRYLPPFDEFEVDRCVLPWKASTVFPAVPGPSVFVITGGEGKMLTGSSTEVVNEGDVLFAPANIEIGITTASELHLYRAGVSSRFFQFL >Manes.10G062350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8742220:8743488:-1 gene:Manes.10G062350.v8.1 transcript:Manes.10G062350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDLAKILAAITTKSNETDPYQIHSSEAPGFSLVSVPLKGPNYISWSRAVQIALRAKKKLGFVNGTIKAPEPDSDDYEKWATADSMVVSYAKALWDELKQRYGESNGPMIYQIERDIAGYKQGDEFVTEYYTKLKKKWDELLCLAPLPVCCETGTAISDYDNNRRLMQFLMGLGDEYDNVKNQVLLQSPLPSINKAYSMVMSVEKQREVQTSNATSTETAVVMMARRGNNNYSNNTSSSRNNNRYSSYPRKEDKKKEYCTKCKIGGHTIEDCFQINGYPSWFIEMQKKREVDVRKYYSANNVAQVAVSDSPLQQHVLSQKSSDVQGNAVIDYIQQEFQKFLRAKGGFPNPVAEDVRNVNFAGTLLNSVIIGIDFNCKDNWIIDSGATDHITPKLSFFDQVVQ >Manes.13G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33145723:33149313:-1 gene:Manes.13G124000.v8.1 transcript:Manes.13G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLISSHSLCTFPSPGTGNYQEKGWSSERVPHPSGSSRRHISAMTPFYSGRTMPSKWEDAERWICSPVLGYGVAKYSQCQPQRRPKSKSGPIVPPGIAYYSNYSPSMQVLDSVSVRNFIASSPFSTGVLMPNGSGVHYNGDGIGGQAIVARSASGPGWLDLASECSSPSSHDGKLDNINDVENAVTRVVSRRDMATQMSPEDSTSSTPRGRSSSPPSVSPIEQSEGDHPAKLEIREVQVDKRATMVSRTAWHGSCITKKGLPDVQDINENAADVHISSWDVAEASSDFSKLQREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILNKLRMAQIKAQEMRSSISETQGDQIAKIPHKVSFFHRHARLSFFDSCFTCRTS >Manes.12G042050.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4008203:4010902:1 gene:Manes.12G042050.v8.1 transcript:Manes.12G042050.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAVRSWTLVGLIMAFVDLAIACSLLCLSACAFVPSKFLSFFGLYLPCPCSGFFGYQTDYFCWHKLFVDWPIRKINAVQELVKDRFPFNLIWFGHQSSNFHVEGIDRKSQYDAKEKKIMNQKHKSGIRWRRRAAVGCGKLSPALSSNGTHLVGRGVLRPLYNCSVRSEISESLDISSGIEHGFLGDGDDSNGKDLSERTRHRFELDGSYGKGEGIKQDQFVEKFTCDAEVGAGNDANDILALEQALEEEKAMHAALYQELEKERAAAATAADEAMAMISRLQEDKASIEMEAKQYQRVIEEKIDYDEEEMNILKEILVRREKEIYFLKKEVEAYEQMNFTGNDQLEGDSSYNTEQKLSLSIDSNENPPPPVLQQIDESNGGKEVAADGNQAGCNMHSSLLDTESTVYDVHVIDDKTVHGKENDGKESRPPLLGSIDLQRHPLSVIDGERLKIDNEVEWLRERLRIVQEEKEKLNFCGEHRERENAQLRLVEDIVNQLLRQASLPPSSSKLGC >Manes.12G042050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4006749:4010902:1 gene:Manes.12G042050.v8.1 transcript:Manes.12G042050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEISTNEKDIIDLVKVCAFWCNLKKRALVDDDSTCAFVPSKFLSFFGLYLPCPCSGFFGYQTDYFCWHKLFVDWPIRKINAVQELVKDRFPFNLIWFGHQSSNFHVEGIDRKSQYDAKEKKIMNQKHKSGIRWRRRAAVGCGKLSPALSSNGTHLVGRGVLRPLYNCSVRSEISESLDISSGIEHGFLGDGDDSNGKDLSERTRHRFELDGSYGKGEGIKQDQFVEKFTCDAEVGAGNDANDILALEQALEEEKAMHAALYQELEKERAAAATAADEAMAMISRLQEDKASIEMEAKQYQRVIEEKIDYDEEEMNILKEILVRREKEIYFLKKEVEAYEQMNFTGNDQLEGDSSYNTEQKLSLSIDSNENPPPPVLQQIDESNGGKEVAADGNQAGCNMHSSLLDTESTVYDVHVIDDKTVHGKENDGKESRPPLLGSIDLQRHPLSVIDGERLKIDNEVEWLRERLRIVQEEKEKLNFCGEHRERENAQLRLVEDIVNQLLRQASLPPSSSKLGC >Manes.09G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4729326:4733467:-1 gene:Manes.09G023400.v8.1 transcript:Manes.09G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAATVIAGVAGSFAIAYAFDHLIADKKIFGGTTPKTVATNEWWEETDKKFQAWPRTAGPPVVMNPISRQNFIVKSRES >Manes.08G132900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37009289:37011168:-1 gene:Manes.08G132900.v8.1 transcript:Manes.08G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLLSPPSTSSSASSFCHNSSPLLSLRTRSLTIRTPIKTFSIRAQSAPVLTQDDLKKLAADKAVEYVKSSMVLGLGTGSTAAFVVAKIGELLKSGQLTDIVGIPTSKRTEEQALSLGIPLSVLDDHPRLDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASEKFVVVADETKLVTGLGGSKLAMPVEVVQFCWKYNLVRLKELFKEEGVEAKLRLDEAGKPYVTDNSNYIVDLYFENPIKDAYAAGKEISSFEGVVEHGLFLDMATAVIIAGKSGVEVKAK >Manes.13G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1167615:1172047:1 gene:Manes.13G007600.v8.1 transcript:Manes.13G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENQRSSSVTIIHNATIVTMDSESRVFRDGGIVIDQDKIKAIGQSPDILAQFSAIAHHIIDLHRQFLLPGFINTHVHTSQQLARGIADDVDLMTWLHHRIWPYESNMTERDSYLSTLLCGIELIHSGVTCFAEAGGQHVSGMARAVEELGLRACLTQSTMDSGEGLPPSWANRTSDECIQSQKELYQKHHNTADGRIRVWLGIRQIMNSTDRLLHETRDTARELKTGIHMHVSEIAYENQVVMDTRKVEHGTVAYLEKIDFLQKNLLAAHTVWVNNTEIGFLSRAGVKVSHCPASAMRMLGFAPIKQMLDSGICVSLGTDGAPSNNRMSMVDEMYLASLINKGREVFANGATDPMALPSEIVLKMATINGAKTALWDDEIGSIEAGKKADLVVVNTNLWSMVPIHDCISSLVYCMRTENIVSVMCNGKWIMKDKKILNVDEEEVISRAKEASGELLKRAGISIPNRMNVL >Manes.17G071200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27121199:27123776:1 gene:Manes.17G071200.v8.1 transcript:Manes.17G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANTISNSGNWSKTQFPHTILSCSLLDLGSISFAKIKPNSKRTKIHCALNSPSVLHFPEQSYQATVIPKDDSFLVGKRKTGHLQLPQWNLLQRAAAVALDMVEGALVSHERQQQLPKTADPRVQISGNYAPVPEQPVRNELPVTGTIPECINGVYVRNGANPLFEPVAGHHLFDGDGMVHAVTIDKGNASYACRFTETERLKQERKLGRPVFPKAIGELHGHSGIARLLLFYARGLCGLVDHTNGTGVANAGLVYFNDSLLAMSEDDVPYQVRITSNGDLETVGRYNFNGQLEHAMIAHPKIDPVSKELFALSYDVVQKPYLKYFRFFPDGTKSPDVDIPLPVPTMMHDFAITENFVVIPDQQVVFKLEEMIRGGSPVIYDKDKKSRFGILAKNAGDAKDIIWVESPDTFCFHLWNAWEEPESDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLKTGKSTRRPIIEESNQVNLEAGMVNRNRLGRKTQYAYLAIVDPWPKVSGFAKVDLFTGEVKKHIYGDKKYGGEPFFLPRDTNSEAEDDGYILAFVHDEKKWQSELQIVNAINLQLEATIQLPSRVPYGFHGTFIDSNDLVNQA >Manes.12G101201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:26854730:26856014:-1 gene:Manes.12G101201.v8.1 transcript:Manes.12G101201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHRLDDGLGFKSLHDFNLAMLGKQGWNIINRPHSLVARILKARYLPTTSFFEASLGHNPSFLWRSIWATQSLVKAGAYWRIGNGRSVSIWTHPWLKEAPDLLVSTPPPPDCTLSVVADLMIGHRWNESLIAQLFNDRDMSCILNIPLSLSSHPDAWCWKFASKGHYSVKSAYRFLVAGFRHREGSEVWSHFWKTKVPPKVLNFCWRALVNVVPCLSLLQSRRVPVDSMCSLCHEAPQTILHIIVQCPFARSCWLSSSLGWPAFSAASLREWFSLAFLTASAENASLILMICWALWHNRNNVVWKAQGRTASGVFFMALNFLQQWRGACSDSTSCTNVVSALTVWSPPPQGWIKVNIDASLNSQRSSLGFDCVVRDANGRFIAAKAGCFCSQMEVKCAEAVAFREALSWIKECG >Manes.18G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1860508:1870143:1 gene:Manes.18G018300.v8.1 transcript:Manes.18G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERCWPTMDLLRSESMQLVQVIIPIESSHRAISYLGDLGLFQFKDLNAEKSPFQRTYAAQIKRCAEMARKLRFFKEHMTKTGLLPSKRSATSNDIDLDNLEVKLGELEAELIEINSNNEKLERSYNELVEYKLVLQKAGELFYSAQRSAAVQQREHDVHNNGERPIDSPLLLEQEMMTDPSKQVKLGFVSGLVPREKSMAFERILFRATRGNVFLKQSVVENSVVDPVSGEKVEKNVFVVFYSGERAKSKILKICEAFGANRYPFAEDLSKQYQTMTEVSARLAELKTTIDAGLAHRSNLLQTIGFQFELWNLLVKKEKYIYHTLNMLSIDVTKKCLVAEGWCPVFATDQIKNALQEASVDSNSQIGAIFQVLQTKESPPTYFCTNKFTSAFQEIVDAYGIAKYQEANPSVYTIITFPFLFAVMFGDWGHGICLLLATLYFIIRERKLSSQKLGDIMEMTFGGRYVIMMMAIFSIYTGLIYNEFFSVPFELFGPSAYACRDPSCRDATTAGLTKVHATYPFGIDPKWHGTRSELPFLNSLKMKMSILFGVAQMNLGIMMSYFNAKFFRDDLNIWYQFVPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVIIYMFLSPTDDLGDNQLFIGQKFLQLLFLLSALVAVPWMLFPKPFLLKKKHEERFRGQTYALVDSMDDPLDIDSHYDSHKREEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFNNTTILIIGIIVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGCKFHPFSFALLGDEDE >Manes.02G132800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10077304:10083593:-1 gene:Manes.02G132800.v8.1 transcript:Manes.02G132800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTTEEVKKIVTILNEAEVPSEDVVEVVVSPPFVFLPKVKGILRPDFQVAAQNCWVRKGGAFTGEVSAEMLVNLCVPWVILGHSERRSLLHESNEFVGDKVAYALSQGLKVIACIGETLEQRESGSTMDVVAAQTKAIADKVTNWANVVLAYEPVWAIGTGKVATPAQAQEVHLELRKWLHDNVSADVAASTRIIYGGSVNGANCKELSAKPDVDGFLVGGASLKPEFIDIIKSATVKKE >Manes.02G132800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10080144:10083481:-1 gene:Manes.02G132800.v8.1 transcript:Manes.02G132800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTTEEVKKIVTILNEAEVPSEDVVEVVVSPPFVFLPKVKGILRPDFQVAAQNCWVRKGGAFTGEVSAEMLVNLCVPWVILGHSERRSLLHESNEFVGDKVAYALSQGLKVIACIGETLEQRESGSTMDVVAAQTKAIADKVTNWANVVLAYEPVWAIGTGKVATPAQAQEVHLELRKWLHDNVSADVAASTRIIYGGSVNGANCKELSAKPDVDGFLVGGASLKPEFIDIIKSATVKKE >Manes.10G016200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1701993:1704666:1 gene:Manes.10G016200.v8.1 transcript:Manes.10G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDCKAKMVSSDLPSKSPRLSNKLHVQIPSATTFRGVTNFSPVSASDSSCSAYEHYLRLPELRKLWTCKEFPDWKNESIFKPALQALEITFRLISTVLSDPRPYASRREWKRRLESLATSQIELIAILCEDEEEGGHTRGTAPIVDLRSSNGILGRDGSCAEVWKVSPETTVVNRTSEASLLPLLSTWQKSEDIARKIFYSIECEMRPCPYTLGLGEPNLAGKPNLDYDAVCKPSDVHSLKKNPYDHINNHENQTLYTTHQILESWIQAVKELVKRVIERIGSKKFDMASSDCYLLERIWKLLSEIEDLHLLMDPDDFLRLKNQLLMRSLDESEAFCFRSRALVEITKSCKDLKHKVPEILGVEVDPKGGPRIQEAAMRLYSEKKDFEKISLLQGLQAMEAALKRFFYGYKQLLTVVMGSVEARGNRVLVNPETCDSLSQLFLEPTYFPSLDAAKTFLGEFWSHEFSGLEKGNRRQ >Manes.14G096100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7983960:7984906:-1 gene:Manes.14G096100.v8.1 transcript:Manes.14G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSKRLSCNIIYLLFIFALLVSTSSVSARHLTIDKRVAMQPENVKPPHRGLYETSFLYQSQMFNFLPKGVPIPPSGPSKRHNSKQT >Manes.05G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10796416:10798866:1 gene:Manes.05G109200.v8.1 transcript:Manes.05G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILVLSCVSVCFIIIFLKFFYELWWKPIHVQSALRSQGIKGPQYRFFYGNSKEIMRMRNESLTTPMELSHQMLQRLQPHCYFWKKLYGSDCVCWLGPRPQLIIAEPNLVKEILNKDDLYRKPEFESYLKNLFGDGLVTTRGEKWFRQRKLANHTFHGESLKDMIPAMIETTEMMLERWRQHETKDIDVYEEFKLLTSEVISRTAFGSSYLEGQHIFDMLSRLILILYRNSYLVKIPLLKKFLKTKDDVEGDRLEHGIRDSILKMIKKREEEAKLRQVDSYGSDFLGVLIKASKDVDKTKQISIEDLIDECKTFYIAGQETTASALTWNILLLAIHTDWQEKAREEVLELFGQRNPTLDEISRLKIMNMIINETLRLYTPIITLIREIQKGTRLGKLLAPTRMDVLVSPLTLHHDPEIWGEDVHLFKPERFAEGVAKATRNNIAAFCPFGLGPRNCVGMNFAMAETKIVLSMILQRYRFTLSPSYVHSPIVLIAISPQKGLQVTLQPL >Manes.05G109200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10796416:10798866:1 gene:Manes.05G109200.v8.1 transcript:Manes.05G109200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSDCVCWLGPRPQLIIAEPNLVKEILNKDDLYRKPEFESYLKNLFGDGLVTTRGEKWFRQRKLANHTFHGESLKDMIPAMIETTEMMLERWRQHETKDIDVYEEFKLLTSEVISRTAFGSSYLEGQHIFDMLSRLILILYRNSYLVKIPLLKKFLKTKDDVEGDRLEHGIRDSILKMIKKREEEAKLRQVDSYGSDFLGVLIKASKDVDKTKQISIEDLIDECKTFYIAGQETTASALTWNILLLAIHTDWQEKAREEVLELFGQRNPTLDEISRLKIMNMIINETLRLYTPIITLIREIQKGTRLGKLLAPTRMDVLVSPLTLHHDPEIWGEDVHLFKPERFAEGVAKATRNNIAAFCPFGLGPRNCVGMNFAMAETKIVLSMILQRYRFTLSPSYVHSPIVLIAISPQKGLQVTLQPL >Manes.16G112700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31848109:31851905:-1 gene:Manes.16G112700.v8.1 transcript:Manes.16G112700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSEDEIKRLFRIRKTVMTMLKDRGYFVGDFEINMTREQFISKFGENMKREDLVINKTKRNDPSDQIYVFFPEEQKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCISEIAAKFQLEVFQEAELLVNIKEHVLVPEHQVLTSEEKKTLLERYTVKETQLPRIQVSDPIARYYGLKRGQVVKIIRPSETAGRYITYRFVV >Manes.16G112700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31848109:31851905:-1 gene:Manes.16G112700.v8.1 transcript:Manes.16G112700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSEDEIKRLFRIRKTVMTMLKDRGYFVGDFEINMTREQFISKFGENMKREDLVINKTKRNDPSDQIYVFFPEEQKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCISEIAAKFQLEVFQEAELLVNIKEHVLVPEHQVLTSEEKKTLLERYTVKETQLPRIQVSDPIARYYGLKRGQVVKIIRPSETAGRYITYRFVV >Manes.16G112700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31848109:31851905:-1 gene:Manes.16G112700.v8.1 transcript:Manes.16G112700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSEDEIKRLFRIRKTVMTMLKDRGYFVGDFEINMTREQFISKFGENMKREDLVINKTKRNDPSDQIYVFFPEEQKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCISEIAAKFQLEVFQEAELLVNIKEHVLVPEHQVLTSEEKKTLLERYTVKETQLPRIQVSDPIARYYGLKRGQVVKIIRPSETAGRYITYRFVV >Manes.16G112700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31848109:31851905:-1 gene:Manes.16G112700.v8.1 transcript:Manes.16G112700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSEDEIKRLFRIRKTVMTMLKDRGYFVGDFEINMTREQFISKFGENMKREDLVINKTKRNDPSDQIYVFFPEEQKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCISEIAAKFQLEVFQEAELLVNIKEHVLVPEHQVLTSEEKKTLLERYTVKETQLPRIQVSDPIARYYGLKRGQVVKIIRPSETAGRYITYRFVV >Manes.13G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8199967:8202583:1 gene:Manes.13G065300.v8.1 transcript:Manes.13G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAITLIVLLIILCGSAYGRRSMPYPMKGLDVATAVAPSASDGICKTLVEIHGYPCEEHTVTTEDGFILGLQRIPAGASGGTPANKTPVLLQHGILMDGAVWVMLPTDKALAFLLADDGFDVWVANTRGTESSSLHSSLSPDDPAFWNWSWDELAGFDLPATFQYVHNQTGQQLHYVAHSLGTLTALGAFSKNQLVDKMRSAALLGPVAYLGQIPSPFAKAAGDVLLANTSFWLGVAEFDPIGAAAKTLVDDACKTPGVDCSDLLTAYTGPNCCLDRSVLGVFLDHGPQSTSTKNLIHQSQMIKAGTITMYDYNNGDENRKHYGQATPPVYNMTDIPNDVALFLGCGAKDYLSDTKDVQLLLDNLKDHVKDKLVVQTIENYAHLDFIFAENVKEAIYDPLIAFLKLH >Manes.06G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4649287:4670631:1 gene:Manes.06G023100.v8.1 transcript:Manes.06G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGRTYVSSPPAFSNDAKRLLVCSGNAVSIFSTATGLPITSLNGHTALVTTVIVAPATSQASKILCYCWTASLDGTVRYWDFSVPELIKTIDIKFPIFSMVIPSILSQSAEINEKPAKLFAYLSVEATKELENQSKALRGQIRKCNLTDSRLLGGVILAETNKPEFISISACGKYFGIQIKRKIHVWRVPSADSERTMAKKITLHHTKNVTVMAFHPTQRILAAGDVTGRILIWRGYGNRTFAIGDGLLSGRSMNNEEERPGVRGGDDADSCTTWHWHPAEVNTLSFSLDGAYLYSGGKEGVLVVWQLDTGKKKFLPRIGSPLLYFTDSPDPSLSSISCADNQIHLLKMPSMEILKSISGIKLPSTFPEIYEGLCSGVAFDPNAGVVALRTENYCIQLYSLFDDREISEVQICERNHQPGDEITVVVTSVALSLDGSMMSTAEVKLAEEGIGGLVSLKFWALGSDKRNFSLSTIVYEPHRDAGISSIAFHPTRCMAVSSSYGGDFKIWVCNNGIQRRDQVPTSSRWTCHAVASYKKRPMTAATFSYDGSVLAVAAETVITLWDPEKNVLVAVIGETLTPIVSLSFVGKSEYLVSTSWESKPQLSVWSLSKLSMSWSYRLHVEAISSAADLSSFAVLVFLPESSKRDESNETTFGGRDGAILIFNAADPVPIATWLVNKAKGGSLAFLQVNQSSVEENVVDGKPLRSLLAYVNGEHEYILFDPHGKEAKELGTIRQDGVANLEEAGKFGYASIYGELPEFNPKRVQTSAVAPFVQSGKPWDTIFSGSSHNLPPLTKLCSAILESLLEKRTTVAE >Manes.04G060200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:21020645:21020749:-1 gene:Manes.04G060200.v8.1 transcript:Manes.04G060200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRRMVAGNLDPERKRSGGLRTKQAGRGSCRGS >Manes.08G022601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2287932:2291120:-1 gene:Manes.08G022601.v8.1 transcript:Manes.08G022601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTSITTTAKPRVVICIGDIHGYLAKLQNLWSNLQSVINPEDFSSALVIFLGDYCDRGPDTKKVIDFLINLPSSYPNQKHVFLSGNHDLAFAAFLGVLPEPGNGASFKEGWKEYEVNEEREGWYKGVGYENMHLQGRMWAGTTKDRFDSKGIEFMGSVYDAEPTFESYGVPHGSADLMKVVPDEHMKFLADLVWIHEVENVCIESEEGMKHCKLIAVHAGLEEGTNVEEQLKFLRAKDTRLPKVEALSGRKSVWNIPKISVSDALQELTEKPTIVVSGHHGKLHVEGLRLIIDEGGGLENNPVAAIVLPSMELVRDTDNLTKVNV >Manes.09G011799.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2666309:2670103:1 gene:Manes.09G011799.v8.1 transcript:Manes.09G011799.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMNPLPSRVHFNRFLSALLKMKQYHAVFSMSKTIELLGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDVYTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDQLKEALALLKEMVGRNISPDIFTFNILIDTLCKKGLVSNAQNIIKIMIQRCVEPNVVTYNSLMDGYCLCKQIDKARKVFDPMVINEIADVFSYNILINGYCKCKMIDDAKEIFDEMSHKGLVPDVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTVLKAMEKSQLKPNFVIYGSLINGMFKAGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYNVFKDMEKVGCLPNNCCYNIIIQGFLKNEDLPKASKLINEMVDKGFSADAATTELVVHLSRNNDLILSKLRNRSEASKGVQ >Manes.14G112600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12940753:12942978:-1 gene:Manes.14G112600.v8.1 transcript:Manes.14G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSRDGHPTVLHGRYELGRMLGHGTFAKVYHARNLQTGKSVAMKVVGKDKVIKVGMMEQIKREISVMKMVEHPNIVELHEVMASKSKIYFSMELVRGGELFAKIAKGRLREDVARVYFQQLISAIGFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSAFTDHLKQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADLWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFKCPPWFSPEARRLITKLLDPNPSSRITISKIMDSSWFKKSVPKTVRTKEEMEFDAFNGEEDGNNGGKSKQPETLNAFHIISLSEGFDLSPLFEEKKRDEEEELRFATTRPASSVISRLEEVAKAGKFSVKKSETKVRMQGQESGRKGKLGIAAEIFAVTPSFLVVEVKKDNGDTLEYKQFCSKELRPALKDLVWTSPAGTSTIA >Manes.06G084550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21982288:21983492:1 gene:Manes.06G084550.v8.1 transcript:Manes.06G084550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRLPDVANIESHITLSSITKYISRSYLDTPLYLIRAPLRNERIVLPNPSPSGQHPQPPPYLSPNPSIPFSTFSSETEEGVESKEEEEEEDGDEDDDGDEDDDGEDDDEGGEDDEDDEVQVLQSSRGPPVQSADDDEDDDNEDDDEDDDGEGGDDDDDDDDEEEENDDEDEDGEEELKR >Manes.09G005600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1360002:1400372:1 gene:Manes.09G005600.v8.1 transcript:Manes.09G005600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKPCPTYSRKLIERAASSEIWGDDLYICSLSNQTIVYKGMLRSEVLGLFYYDLQSDLYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRESSLESPVWNGRENEIRPYGNPKASDSANLDSTAELLIRSGRTPEEALMILVPEAYKNHPTLMIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTVDNFVYVASEVGVLPMDESKVTMKGRLGPGMMIAVDLLGGQVYENTEVKKRVALSNPYGKWVRENLQSLKPENFLSATILDNEATLRRQQAFGYSSEDVQMVIESMAAQGKEPTFCMGDDIPLAILSQRPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENALQVILSSPVLNEGELESLLKNPYLKPQVLPTFFDIRKGIEGTLEKSLVRLCEAADDAVRNGSQLLVLSDRSDELEPTRPAIPILLAVGAVHQHLIQNGLRMSTSIIAETAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSSKTVNLMRNGKMPTVTIEQAQKNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGKEVVDLAFCGSVSKIGGATFDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVRQKNESAYSIYQQHIANRPVNVLRDLLEFKSDRAPIPVGKVEPASSIVQRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLSDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQVSGHDGGTGASPISSIKHAGGPWELGLTETNQTLIANGLRERVILRVDGGLKSGVDVMMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFFYVAEEVRGMLAQLGYEKLDDVIGQTDLLRPRDISLAKTQHLDLSYILSSVGLPKWSSTEIRNQDAHSNGPILDDVLLADPEILDAIENEKVVNKTVKIYNVDRAVCGRVAGVVAKKYGDTGFAGQLNITFIGSAGQSFACFLTPGMNIQLVGEANDYVGKGMAGGEVVVTPVENTGFCPEDATIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAEAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLMPKVNKEIVKVQRVTAPVGQMQLKSLIEAHVEKTGSIKGAAILKEWDTYLPLFWQLVPPSEEDTPEACADYQATAAGQVTLQSA >Manes.09G005600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1360002:1400372:1 gene:Manes.09G005600.v8.1 transcript:Manes.09G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSSSLSPIPQLLFYSSSTVSATNASSTKAPCSVIAYTNNNNGKSLLFADFVGLYSKSKRGRRKIGVGVSSSPSIARTSLSRFMSRSSCSVRATRGTESVPQSDLKAKVANLDDIISERGACGVGFIANLENRASHQIVKDALVALGCMEHRGGCGADNDSGDGSGLMTSIPWDLFDNWADKQGIASFDKLHTGVGMVFLPKNDNFMKEAQKVVEDIFKQEGLEVLGWRPVPVNKSVVGYYAKETMPNIQQVFVRVVKEENVDDIEREFYICRKLIERAASSEIWGDDLYICSLSNQTIVYKGMLRSEVLGLFYYDLQSDLYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRESSLESPVWNGRENEIRPYGNPKASDSANLDSTAELLIRSGRTPEEALMILVPEAYKNHPTLMIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTVDNFVYVASEVGVLPMDESKVTMKGRLGPGMMIAVDLLGGQVYENTEVKKRVALSNPYGKWVRENLQSLKPENFLSATILDNEATLRRQQAFGYSSEDVQMVIESMAAQGKEPTFCMGDDIPLAILSQRPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENALQVILSSPVLNEGELESLLKNPYLKPQVLPTFFDIRKGIEGTLEKSLVRLCEAADDAVRNGSQLLVLSDRSDELEPTRPAIPILLAVGAVHQHLIQNGLRMSTSIIAETAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSSKTVNLMRNGKMPTVTIEQAQKNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGKEVVDLAFCGSVSKIGGATFDELARETLSFWVKAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVRQKNESAYSIYQQHIANRPVNVLRDLLEFKSDRAPIPVGKVEPASSIVQRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLSDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQVSGHDGGTGASPISSIKHAGGPWELGLTETNQTLIANGLRERVILRVDGGLKSGVDVMMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFFYVAEEVRGMLAQLGYEKLDDVIGQTDLLRPRDISLAKTQHLDLSYILSSVGLPKWSSTEIRNQDAHSNGPILDDVLLADPEILDAIENEKVVNKTVKIYNVDRAVCGRVAGVVAKKYGDTGFAGQLNITFIGSAGQSFACFLTPGMNIQLVGEANDYVGKGMAGGEVVVTPVENTGFCPEDATIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAEAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLMPKVNKEIVKVQRVTAPVGQMQLKSLIEAHVEKTGSIKGAAILKEWDTYLPLFWQLVPPSEEDTPEACADYQATAAGQVTLQSA >Manes.02G151900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11638650:11649045:1 gene:Manes.02G151900.v8.1 transcript:Manes.02G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERELQIYLARLAEQAERYDEMVEAMKKVAKLDIELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELAKICNDILTVIDQHLLPSSTTGESTVFYYKMKGDYYRYLAEFKASEDRKEAADQSLKAYEAATSTATSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKGDEPQAEN >Manes.02G151900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11638650:11649045:1 gene:Manes.02G151900.v8.1 transcript:Manes.02G151900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERELQIYLARLAEQAERYDEMVEAMKKVAKLDIELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELAKICNDILTVIDQHLLPSSTTGESTVFYYKMKGDYYRYLAEFKASEDRKEAADQSLKAYEAATSTATSDLPPTHPIRLGLALNFSVFYYEILNSPERLISTSLFFAISSSNCAQNFLLHV >Manes.06G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17498204:17509964:-1 gene:Manes.06G050600.v8.1 transcript:Manes.06G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEVQLEAVDAGNPSDSDPLLQNKADSSSQSPGSSSEINNEEDIENSSVPCCRICLESDCELDHWMPCKVAVNFLGVFAISASSLVATTVNQVFPCDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVALFEDNSWRKVKFRLFVTRDVIIVFLAVQTVIAAMGGFAYFMDKDGAFRNSFSDGWDQILSRHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVLVFVVIFAILGIAYGFLAATMAIQKIWQRHYHILAKRELTKEYIVEDLHGCYTPPKLDSEHEERLKMLKLL >Manes.06G050600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17498156:17510016:-1 gene:Manes.06G050600.v8.1 transcript:Manes.06G050600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEVQLEAVDAGNPSDSDPLLQNKADSSSQSPGSSSEINNEEDIENSSVPCCRICLESDCELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVALFEDNSWRKVKFRLFVTRDVIIVFLAVQTVIAAMGGFAYFMDKDGAFRNSFSDGWDQILSRHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVLVFVVIFAILGIAYGFLAATMAIQKIWQRHYHILAKRELTKEYIVEDLHGCYTPPKLDSEHEERLKMLKLL >Manes.04G009534.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1234284:1234676:-1 gene:Manes.04G009534.v8.1 transcript:Manes.04G009534.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSTNETDEPVQMQEETLEHTPAALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSISNDIKMRYTAPWKT >Manes.16G125300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32683597:32686589:-1 gene:Manes.16G125300.v8.1 transcript:Manes.16G125300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSESRRSSGPVLRSLSPCGRFPTLHTNSYLTSSSSSSAFASSTSSSFYSPPTAFFNATHHRSASPTRVNLYTTSSPQSHSFRFSIGRSSSPNRSITVTKQNNPISLPKKTCMCSPTTHRGSFRCSLHKNTGHSHGSGSAHYTPNGLNMRRSAMTNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRADFRPRPSRLSVMLRADDI >Manes.16G125300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32685870:32686496:-1 gene:Manes.16G125300.v8.1 transcript:Manes.16G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSESRRSSGPVLRSLSPCGRFPTLHTNSYLTSSSSSSAFASSTSSSFYSPPTAFFNATHHRSASPTRVNLYTTSSPQSHSFRFSIGRSSSPNRSITVTKQNNPISLPKKTCMCSPTTHRGSFRCSLHKNTGHSHGSGSAHYTPNGLNMRRSAMTNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRADFRPRPSRLSVMLRADDI >Manes.04G008300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1047412:1049450:1 gene:Manes.04G008300.v8.1 transcript:Manes.04G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISPSSPLKSLLPTRRPLARVTPNKLSVHCIYRSDPIHFPNGVGSSRADWQTSCAILASRVVSQEQPTDKSNGDYGGDRSADHVAAVNGHKTSVDLNLVPLNKGSTEDNNKAMKPLTITDLSPAPMHGSHLRVAYQGVPGAYSEAAAGKAYPNCESIPCDQFEVAFQAVELWVADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVHKENITRVISHPQALAQCELSLSKLGLNAAREAVDDTAGAAEHIAANNLRDTAAIASARAAELYGLQILADGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNINLTKIESRPHRNCPIRLVDDANVGTAKHFEYMFYVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSMGN >Manes.02G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3588428:3589887:1 gene:Manes.02G043800.v8.1 transcript:Manes.02G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNGVPKSQIEAFQTVTPLKVTDPREVRLISTAEPIGSGIFTGCLNIVLYYNKAMVEDSGWLVAGWIKESLGRALREQPMLSGRLRRGEDGHGELEIVSNDSGARLVEAKISVPLQEFLDLKEKEKAETELVFWKDIDEQSPQFSPLLYVQVTNFQCGGYSIGISCSLLLADLLIMDKFLLKWANFQKDMLSKNDEVNQVPIFYLPNLKPPSLAGNGNFSPASSERCGPTMIFKITGETVDLKNEVSKKLALLCIEQAEKKFGSETISSEFCFLMNESLRFTKVENCKKTELVKSHLKSDQVTITCSSLKDYLGINEIAFKEGNKPAHTSHWIGSVNNGLVIAIPSSGASELNFIITIPNEKAI >Manes.02G043800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3588081:3589887:1 gene:Manes.02G043800.v8.1 transcript:Manes.02G043800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNGVPKSQIEAFQTVTPLKVTDPREVRLISTAEPIGSGIFTGCLNIVLYYNKAMVEDSGWLVAGWIKESLGRALREQPMLSGRLRRGEDGHGELEIVSNDSGARLVEAKISVPLQEFLDLKEKEKAETELVFWKDIDEQSPQFSPLLYVQVTNFQCGGYSIGISCSLLLADLLIMDKFLLKWANFQKDMLSKNDEVNQVPIFYLPNLKPPSLAGNGNFSPASSERCGPTMIFKITGETVDLKNEVSKKLALLCIEQAEKKFGSETISSEFCFLMNESLRFTKVENCKKTELVKSHLKSDQVTITCSSLKDYLGINEIAFKEGNKPAHTSHWIGSVNNGLVIAIPSSGASELNFIITIPNEKAI >Manes.10G085000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21815812:21822121:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYSGDHDMLIPYTGTITWINTLNLTIADNWRPWFVEGQIAGFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21815812:21822121:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVLIPFYFYLSIFFINLCLHTVLATPQVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYSGDHDMLIPYTGTITWINTLNLTIADNWRPWFVEGQIAGFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21815878:21822160:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYRFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21816817:21822127:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYRFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21816220:21822170:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYSGDHDMLIPYTGTITWINTLNLTIADNWRPWFVEGQIAGFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21816817:21822127:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYSGDHDMLIPYTGTITWINTLNLTIADNWRPWFVEGQIAGFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21815812:21822121:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVLIPFYFYLSIFFINLCLHTVLATPQVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYRFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21815748:21822160:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYSGDHDMLIPYTGTITWINTLNLTIADNWRPWFVEGQIAGFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21812211:21822122:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYSGDHDMLIPYTGTITWINTLNLTIADNWRPWFVEGQIAGFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21812280:21822121:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVLIPFYFYLSIFFINLCLHTVLATPQVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYSGDHDMLIPYTGTITWINTLNLTIADNWRPWFVEGQIAGFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21812280:21822121:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVLIPFYFYLSIFFINLCLHTVLATPQVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYRFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21815748:21822160:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYSGDHDMLIPYTGTITWINTLNLTIADNWRPWFVEGQIAGFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21816817:21822170:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYRFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.10G085000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21815878:21822160:-1 gene:Manes.10G085000.v8.1 transcript:Manes.10G085000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGFVNFHVGPLNFDFDAFNGSLPSLIQNEYSWTKVANIIFLDAPVGTGFSYSKSQEGYYTSDTLSSKAIYEFLRKWITNHRKFINNPLYIAGDSYSGMIVPIVTVEVAEGNRARHKPYLNLQGYIVGNPVTDLHNDENSRVEYFYRVGLISTELFKAAKTYCVGEYISPNISNAECMDTIQHIAECTLKVCDAQILEPKCSFASPKPMGLKWGHKFFDDTLIDIALSSRQGPENWCRNSNYVLSYIWANDEDVQHALHIQNGTITDWMRCNKSLAYDYDILSTVFYHKELIMAGYRALVYRFTVKYAHSIGDGLVFNTVKGGGHTAPEYKPKECLAMVDRWLSYYLM >Manes.06G090450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22618826:22619659:1 gene:Manes.06G090450.v8.1 transcript:Manes.06G090450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYVLVATSFFCLKNQEVMSFSVLTETKCSSLAKTELGNQKDQLSNGTLNAWAELENQQGSHSNGVSEHVIPPPSNTAQTAATMIAASNNEIPQVQAPPQNEEPMT >Manes.12G039500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3450716:3457002:1 gene:Manes.12G039500.v8.1 transcript:Manes.12G039500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSLPPLPESRIVLGCGGISVDFLAAVAAYPKPDDKIRSTSLKVQGGGNAGNAMTCAARLGLNPRIISKIADDSQGRSILEELEADGVNTSFLVVSKDGNSPFTYIIVDSQTKTRTCIHTPGYPPTVVHDLSQSSLLSALDGVRIVYSDGRLPEVALVVAQEACHKNIPILVDAERKREGLDDLLKLASYVVCSANFPQQWTEAPSIPSALVSMLLRLPNIKFVIVTLGEDGCIMLERSTIEAPTTEETDVNSLLESLNQRKDDTISIPKCFSSVSYFLVSFFQYGNNQNSCFSLESFGIESKRGSLD >Manes.12G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3450716:3457002:1 gene:Manes.12G039500.v8.1 transcript:Manes.12G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSHSTLPNATSPHPLACSRLRFSASSRLLSATATSSNVSAARFKMSSDSLPPLPESRIVLGCGGISVDFLAAVAAYPKPDDKIRSTSLKVQGGGNAGNAMTCAARLGLNPRIISKIADDSQGRSILEELEADGVNTSFLVVSKDGNSPFTYIIVDSQTKTRTCIHTPGYPPTVVHDLSQSSLLSALDGVRIVYSDGRLPEVALVVAQEACHKNIPILVDAERKREGLDDLLKLASYVVCSANFPQQWTEAPSIPSALVSMLLRLPNIKFVIVTLGEDGCIMLERSTIEAPTTEETDVNSLLESLNQRKDDTISIPKCFSSSFTKLRANGIGTINGRLFVGTVEKIPPPELVDTTGAGDAFIGAVLYAICADMPPEKMLPFAAQVAAASCRALGARTGIPHRSDPRLAPFLD >Manes.12G039500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3450716:3457002:1 gene:Manes.12G039500.v8.1 transcript:Manes.12G039500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSLPPLPESRIVLGCGGISVDFLAAVAAYPKPDDKIRSTSLKVQGGGNAGNAMTCAARLGLNPRIISKIADDSQGRSILEELEADGVNTSFLVVSKDGNSPFTYIIVDSQTKTRTCIHTPGYPPTVVHDLSQSSLLSALDGVRIVYSDGRLPEVALVVAQEACHKNIPILVDAERKREGLDDLLKLASYVVCSANFPQQWTEAPSIPSALVSMLLRLPNIKFVIVTLGEDGCIMLERSTIEAPTTEETDVNSLLESLNQRKDDTISIPKCFSSVSYFLVSFFQYGNNQNSCFSLESFGIESKRGSLD >Manes.12G039500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3450716:3457002:1 gene:Manes.12G039500.v8.1 transcript:Manes.12G039500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSHSTLPNATSPHPLACSRLRFSASSRLLSATATSSNVSAARFKMSSDSLPPLPESRIVLGCGGISVDFLAAVAAYPKPDDKIRSTSLKVQGGGNAGNAMTCAARLGLNPRIISKIADDSQGRSILEELEADGVNTSFLVVSKDGNSPFTYIIVDSQTKTRTCIHTPGYPPTVVHDLSQSSLLSALDGVRIVYSDGRLPEVALVVAQEACHKNIPILVDAERKREGLDDLLKLASYVVCSANFPQQWTEAPSIPSALVSMLLRLPNIKFVIVTLGEDGCIMLERSTIEAPTTEETDVNSLLESLNQRKDDTISIPKCFSSVSYFLVSFFQYGNNQNSCFSLESFGIESKRGSLD >Manes.12G039500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3450716:3457002:1 gene:Manes.12G039500.v8.1 transcript:Manes.12G039500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSLPPLPESRIVLGCGGISVDFLAAVAAYPKPDDKIRSTSLKVQGGGNAGNAMTCAARLGLNPRIISKIADDSQGRSILEELEADGVNTSFLVVSKDGNSPFTYIIVDSQTKTRTCIHTPGYPPTVVHDLSQSSLLSALDGVRIVYSDGRLPEVALVVAQEACHKNIPILVDAERKREGLDDLLKLASYVVCSANFPQSFTKLRANGIGTINGRLFVGTVEKIPPPELVDTTGAGDAFIGAVLYAICADMPPEKMLPFAAQVAAASCRALGARTGIPHRSDPRLAPFLD >Manes.12G039500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3450716:3457002:1 gene:Manes.12G039500.v8.1 transcript:Manes.12G039500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSLPPLPESRIVLGCGGISVDFLAAVAAYPKPDDKIRSTSLKVQGGGNAGNAMTCAARLGLNPRIISKIADDSQGRSILEELEADGVNTSFLVVSKDGNSPFTYIIVDSQTKTRTCIHTPGYPPTVVHDLSQSSLLSALDGVRIVYSDGRLPEVALVVAQEACHKNIPILVDAERKREGLDDLLKLASYVVCSANFPQQWTEAPSIPSALVSMLLRLPNIKFVIVTLGEDGCIMLERSTIEAPTTEETDVNSLLESLNQRKDDTISIPKCFSSSFTKLRANGIGTINGRLFVGTVEKIPPPELVDTTGAGDAFIGAVLYAICADMPPEKMLPFAAQVAAASCRALGARTGIPHRSDPRLAPFLD >Manes.12G039500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3450716:3457002:1 gene:Manes.12G039500.v8.1 transcript:Manes.12G039500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSHSTLPNATSPHPLACSRLRFSASSRLLSATATSSNVSAARFKMSSDSLPPLPESRIVLGCGGISVDFLAAVAAYPKPDDKIRSTSLKVQGGGNAGNAMTCAARLGLNPRIISKIADDSQGRSILEELEADGVNTSFLVVSKDGNSPFTYIIVDSQTKTRTCIHTPGYPPTVVHDLSQSSLLSALDGVRIVYSDGRLPEVALVVAQEACHKNIPILVDAERKREGLDDLLKLASYVVCSANFPQSFTKLRANGIGTINGRLFVGTVEKIPPPELVDTTGAGDAFIGAVLYAICADMPPEKMLPFAAQVAAASCRALGARTGIPHRSDPRLAPFLD >Manes.14G036700.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3221355:3226943:1 gene:Manes.14G036700.v8.1 transcript:Manes.14G036700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGAKLTAHVGKALQSLPKNPYFILFPSGFLRYYGNVNSKNNGSIHETEWERLLRPFDLKELQRSFNRITPFQLCKLLELPLDVSTSMEIFQRAGAQKGYCHTFKVYYVLIKKLGEAKEFKVIDQLLLQMKEEGIVFRESLFICIMKSYGIASLPGQATRLLLDMKGVYCCEPTFKSYNVVLEILVTGNCPSVASNIFYDMLSKGISPTVYTFGVVMKAFCMVNEVDNACSLLRDMTKYGCVPNAVVYQTLIHALSKRDRVNEALKFLEEMFLMGCIPDVDTFNDVIHGLCRLNRIHEGAKLVDRMLLRGFTPNDMTYGVLMHGLCRVTQVDDAQELFNKIPGPSTVHFNILINGYVKSGRMDEAKGFLYGRMLSDGCRPDVFTFNILIHGFCKKGLVGSALELVDEMAVSGCKPNVITYTILLDGFCKKGQLEEAGHILKEMSAKGLGLNVEGYNTLIHTLCKGGKVHEALDMLGEMSSKGCKPDIFTFNTLIFGFCKVDKIEKALGLYRDMFMEGVIANSVTYNTLIHAFLRRGAIQEALKLVNDMLFRGCPLDEITYNGLIKGFCKAGAIEKGLGLFEEMIRKDLTPSNISCNILVNALSSVGKVHDALELLRDMTHRGLAPDIVSYNSLINGLCKMGRIQEAVNLFEKLQVEGIQPDAITYNTLICWHCRDGMFDDACLLLHQGVKNDIVPNDVTWYILVSNFFKRIARENKIMSYSQF >Manes.14G036700.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3221355:3226943:1 gene:Manes.14G036700.v8.1 transcript:Manes.14G036700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGAKLTAHVGKALQSLPKNPYFILFPSGFLRYYGNVNSKNNGSIHETEWERLLRPFDLKELQRSFNRITPFQLCKLLELPLDVSTSMEIFQRAGAQKGYCHTFKVYYVLIKKLGEAKEFKVIDQLLLQMKEEGIVFRESLFICIMKSYGIASLPGQATRLLLDMKGVYCCEPTFKSYNVVLEILVTGNCPSVASNIFYDMLSKGISPTVYTFGVVMKAFCMVNEVDNACSLLRDMTKYGCVPNAVVYQTLIHALSKRDRVNEALKFLEEMFLMGCIPDVDTFNDVIHGLCRLNRIHEGAKLVDRMLLRGFTPNDMTYGVLMHGLCRVTQVDDAQELFNKIPGPSTVHFNILINGYVKSGRMDEAKGFLYGRMLSDGCRPDVFTFNILIHGFCKKGLVGSALELVDEMAVSGCKPNVITYTILLDGFCKKGQLEEAGHILKEMSAKGLGLNVEGYNTLIHTLCKGGKVHEALDMLGEMSSKGCKPDIFTFNTLIFGFCKVDKIEKALGLYRDMFMEGVIANSVTYNTLIHAFLRRGAIQEALKLVNDMLFRGCPLDEITYNGLIKGFCKAGAIEKGLGLFEEMIRKDLTPSNISCNILVNALSSVGKVHDALELLRDMTHRGLAPDIVSYNSLINGLCKMGRIQEAVNLFEKLQVEGIQPDAITYNTLICWHCRDGMFDDACLLLHQGVKNDIVPNDVTWYILVSNFFKRIARENKIMSYSQF >Manes.14G036700.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3221355:3226188:1 gene:Manes.14G036700.v8.1 transcript:Manes.14G036700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGAKLTAHVGKALQSLPKNPYFILFPSGFLRYYGNVNSKNNGSIHETEWERLLRPFDLKELQRSFNRITPFQLCKLLELPLDVSTSMEIFQRAGAQKGYCHTFKVYYVLIKKLGEAKEFKVIDQLLLQMKEEGIVFRESLFICIMKSYGIASLPGQATRLLLDMKGVYCCEPTFKSYNVVLEILVTGNCPSVASNIFYDMLSKGISPTVYTFGVVMKAFCMVNEVDNACSLLRDMTKYGCVPNAVVYQTLIHALSKRDRVNEALKFLEEMFLMGCIPDVDTFNDVIHGLCRLNRIHEGAKLVDRMLLRGFTPNDMTYGVLMHGLCRVTQVDDAQELFNKIPGPSTVHFNILINGYVKSGRMDEAKGFLYGRMLSDGCRPDVFTFNILIHGFCKKGLVGSALELVDEMAVSGCKPNVITYTILLDGFCKKGQLEEAGHILKEMSAKGLGLNVEGYNTLIHTLCKGGKVHEALDMLGEMSSKGCKPDIFTFNTLIFGFCKVDKIEKALGLYRDMFMEGVIANSVTYNTLIHAFLRRGAIQEALKLVNDMLFRGCPLDEITYNGLIKGFCKAGAIEKGLGLFEEMIRKDLTPSNISCNILVNALSSVGKVHDALELLRDMTHRGLAPDIVSYNSLINGLCKMGRIQEAVNLFEKLQVEGIQPDAITYNTLICWHCRDGMFDDACLLLHQGVKNDIVPNDVTWYILVSNFFKRIARENKIMSYSQF >Manes.14G036700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3221355:3226943:1 gene:Manes.14G036700.v8.1 transcript:Manes.14G036700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGAKLTAHVGKALQSLPKNPYFILFPSGFLRYYGNVNSKNNGSIHETEWERLLRPFDLKELQRSFNRITPFQLCKLLELPLDVSTSMEIFQRAGAQKGYCHTFKVYYVLIKKLGEAKEFKVIDQLLLQMKEEGIVFRESLFICIMKSYGIASLPGQATRLLLDMKGVYCCEPTFKSYNVVLEILVTGNCPSVASNIFYDMLSKGISPTVYTFGVVMKAFCMVNEVDNACSLLRDMTKYGCVPNAVVYQTLIHALSKRDRVNEALKFLEEMFLMGCIPDVDTFNDVIHGLCRLNRIHEGAKLVDRMLLRGFTPNDMTYGVLMHGLCRVTQVDDAQELFNKIPGPSTVHFNILINGYVKSGRMDEAKGFLYGRMLSDGCRPDVFTFNILIHGFCKKGLVGSALELVDEMAVSGCKPNVITYTILLDGFCKKGQLEEAGHILKEMSAKGLGLNVEGYNTLIHTLCKGGKVHEALDMLGEMSSKGCKPDIFTFNTLIFGFCKVDKIEKALGLYRDMFMEGVIANSVTYNTLIHAFLRRGAIQEALKLVNDMLFRGCPLDEITYNGLIKGFCKAGAIEKGLGLFEEMIRKDLTPSNISCNILVNALSSVGKVHDALELLRDMTHRGLAPDIVSYNSLINGLCKMGRIQEAVNLFEKLQVEGIQPDAITYNTLICWHCRDGMFDDACLLLHQGVKNDIVPNDVTWYILVSNFFKRIARENKIMSYSQF >Manes.14G036700.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3221355:3226943:1 gene:Manes.14G036700.v8.1 transcript:Manes.14G036700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGAKLTAHVGKALQSLPKNPYFILFPSGFLRYYGNVNSKNNGSIHETEWERLLRPFDLKELQRSFNRITPFQLCKLLELPLDVSTSMEIFQRAGAQKGYCHTFKVYYVLIKKLGEAKEFKVIDQLLLQMKEEGIVFRESLFICIMKSYGIASLPGQATRLLLDMKGVYCCEPTFKSYNVVLEILVTGNCPSVASNIFYDMLSKGISPTVYTFGVVMKAFCMVNEVDNACSLLRDMTKYGCVPNAVVYQTLIHALSKRDRVNEALKFLEEMFLMGCIPDVDTFNDVIHGLCRLNRIHEGAKLVDRMLLRGFTPNDMTYGVLMHGLCRVTQVDDAQELFNKIPGPSTVHFNILINGYVKSGRMDEAKGFLYGRMLSDGCRPDVFTFNILIHGFCKKGLVGSALELVDEMAVSGCKPNVITYTILLDGFCKKGQLEEAGHILKEMSAKGLGLNVEGYNTLIHTLCKGGKVHEALDMLGEMSSKGCKPDIFTFNTLIFGFCKVDKIEKALGLYRDMFMEGVIANSVTYNTLIHAFLRRGAIQEALKLVNDMLFRGCPLDEITYNGLIKGFCKAGAIEKGLGLFEEMIRKDLTPSNISCNILVNALSSVGKVHDALELLRDMTHRGLAPDIVSYNSLINGLCKMGRIQEAVNLFEKLQVEGIQPDAITYNTLICWHCRDGMFDDACLLLHQGVKNDIVPNDVTWYILVSNFFKRIARENKIMSYSQF >Manes.15G062900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4793383:4798489:1 gene:Manes.15G062900.v8.1 transcript:Manes.15G062900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVAIQEVIYKAKAELNQLALKSAASPISPSMNSPPISDLPPQFTPFSPVSSRPFLSPAASFRPPSSYWESQVSAKHNSDFVSTGYLDSVSELQNQTQFLSLEDQIEPVNLGNMGFPVDYFYSDAALGGLGARAGRRYSSLNEFPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINEDQLFSPGSLEKLESEIIELLRSRKGNPVSIASLPMLYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIQLIDRPHGQHAVLLAEDASKYMDRGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESADTVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERMEHPLYFSSQYADIDAELHPMRRGYEASRLLRKQLMEEQEQAFEIQRRRLAELRTARKPIYNQSYFGYSTEGLKVPEDNFNLPSSDHIGCLLDFLNGGSVTDEAFRHSETNYMDPDSQGLNLPESPFASPIASSISTVI >Manes.15G062900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4793413:4798489:1 gene:Manes.15G062900.v8.1 transcript:Manes.15G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVAIQEVIYKAKAELNQLALKSAASPISPSMNSPPISDLPPQFTPFSPVSSRPFLSPAASFRPPSSYWESQVSAKHNSDFVSTGYLDSVSELQNQTQFLSLEDQIEPVNLGNMGFPVDYFYSDAALGGLGARAGRRYSSLNEFPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINEDQLFSPGSLEKLESEIIELLRSRKGNPVSIASLPMLYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIQLIDRPHGQHAVLLAEDASKYMDRGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESADTVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKKYEVGFKILERTCNSLSQPKFWCRKYQERMEHPLYFSSQYADIDAELHPMRRGYEASRLLRKQLMEEQEQAFEIQRRRLAELRTARKPIYNQSYFGYSTEGLKVPEDNFNLPSSDHIGCLLDFLNGGSVTDEAFRHSETNYMDPDSQGLNLPESPFASPIASSISTVI >Manes.15G062900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4794472:4798489:1 gene:Manes.15G062900.v8.1 transcript:Manes.15G062900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVAIQEVIYKAKAELNQLALKSAASPISPSMNSPPISDLPPQFTPFSPVSSRPFLSPAASFRPPSSYWESQVSAKHNSDFVSTGYLDSVSELQNQTQFLSLEDQIEPVNLGNMGFPVDYFYSDAALGGLGARAGRRYSSLNEFPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINEDQLFSPGSLEKLESEIIELLRSRKGNPVSIASLPMLYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIQLIDRPHGQHAVLLAEDASKYMDRGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESADTVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERMEHPLYFSSQYADIDAELHPMRRGYEASRLLRKQLMEEQEQAFEIQRRRLAELRTARKPIYNQSYFGYSTEGLKVPEDNFNLPSSDHIGCLLDFLNGGSVTDEAFRHSETNYMDPDSQGLNLPESPFASPIASSISTVI >Manes.06G066900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20310212:20311787:1 gene:Manes.06G066900.v8.1 transcript:Manes.06G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVINRIGDFEAGITSLQNPSFLSQIFALSGVEKIHLASSFWKWGALVLALLASFSTIINRIKILILRIQNHFLISSQPSLITDEDYDYGSETDTSCSSLSEEDDNDDGEEEEEDEHTSSSSQRWRSIDEDFRVRGSDYYADDQLHNHNLRRRRNSSLEDLFSSWSEFTNGSNVVKLWDNLGLGFGLNLDHESRNCISVYDMNKSLNVFSIFGEKSDIPAVSMSSSSPAVIVSADTNVSGHILRVWDTRVGSRIPEIMAEWRPRLGKFVGISAGGRKKFYVRDDVTGRLKVGDMRKVSSPLINAAEPDVDTWWDADAVIVAEEESVDELRGGDSLFGRGNGETVMKKKM >Manes.17G094700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30287559:30298225:1 gene:Manes.17G094700.v8.1 transcript:Manes.17G094700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAVTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTDELVAVKYIERGEKIDENVQREIINHRSLRHTNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSKLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYSIPDYVHISPECRHLIGRIFVADPAKRISIPEIRNHEWFLKNLPADLMDENTMNKNQFEEPDQPMQSIDEIMQIISEATIPAAGTRSLNQYLTGSLDIDDDMEEDLESDPELDMDSSGEIVYAM >Manes.17G094700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30287559:30298225:1 gene:Manes.17G094700.v8.1 transcript:Manes.17G094700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAVTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTDELVAVKYIERGEKIDENVQREIINHRSLRHTNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSKLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYSIPDYVHISPECRHLIGRIFVADPAKRISIPEIRNHEWFLKNLPADLMDENTMNKNQFEEPDQPMQSIDEIMQIISEATIPAAGTRSLNQYLTGSLDIDDDMEEDLESDPELDMDSSGEIVYAM >Manes.17G094700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30287830:30298225:1 gene:Manes.17G094700.v8.1 transcript:Manes.17G094700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAVTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTDELVAVKYIERGEKIDENVQREIINHRSLRHTNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSKLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYSIPDYVHISPECRHLIGRIFVADPAKRISIPEIRNHEWFLKNLPADLMDENTMNKNQFEEPDQPMQSIDEIMQIISEATIPAAGTRSLNQYLTGSLDIDDDMEEDLESDPELDMDSSGEIVYAM >Manes.17G094700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30287559:30298225:1 gene:Manes.17G094700.v8.1 transcript:Manes.17G094700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAVTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTDELVAVKYIERGEKIDENVQREIINHRSLRHTNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSKLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYSIPDYVHISPECRHLIGRIFVADPAKRISIPEIRNHEWFLKNLPADLMDENTMNKNQFEEPDQPMQSIDEIMQIISEATIPAAGTRSLNQYLTGSLDIDDDMEEDLESDPELDMDSSGEIVYAM >Manes.05G014200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:685062:699450:1 gene:Manes.05G014200.v8.1 transcript:Manes.05G014200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRDAWLHFAILIFSFSVACADSIHGCGGFVEASSSLIKSRKSTDTKLDYSHITVELRTVDGLVKDRTQCAPNGYYFIPVYDKGSFVIKINGPEGWSWLPENVPVVVDDTGCNRNEDINFRFTGFTLSGKVLGAVGGESCSVKNGGPSNVNVELLSPSDDLISSVATSPTGSYLFTNIIPGKYKIRASHPDLKVEVKGSTEVELGFENGIVDDIFFVPGYDLRGYVVAQGNPILGVHIYLYSDDVVEVVCPQGSGDATGQKKPLCHAISDADGMFTFKSIPCARYELLPFYKGENTVFDVSPPVLSVSVEHQHVTVPQKFQVTGFSVGGRVVDENAMGVEGVQIIVDGHERSTTDKEGYYKLDQVTSNHYTIEARKKHYKFNSLKEYMVLPNMASVADIKAVSYDVCGAVRMVNTGYKAKVTLTHGPENVKPQVRQTDETGSFCFEVPPGEYRVSAFAATPESAPGLLFLPPYIDIVVKSPLLDLEFSQALVSVLGSVTCKERCGSSVSVSLVRLAGKHNEERKSISLTDESDEFLFPNVLPGKYRLEVKHSSPEAMHRQDNWCWDQSFIDVDVGAEDVKGIVFIQKGYWVNVISTHDVDAYLSQPDHSIIDLKIQKGSQHICVESPGVHELHFINSCIFFGSMPMKIDTSKTLPIYMRGEKYLLKGQIKVESSSADDAFELPNNIIVDILNSAGSVVDGTTANLSSSGSDQTTTGLYEYSVWANLGEKLIFGPRDSRVNGEKQILFYPKEQSVLVTNDGCQAPIPPFSGRPGLYIEGHVSPPLPGVYVKIIAVEDSHVTSLKKDEMALETTTGIDGSFIGGPLYDDITYRVEASKPGYHLKRVGPYSFSCQKLGQISVHIYSEGASDASEPIPLVLLSLSGDDGYRNNSLSGAGGTFVFDNLFPGIFYLRPLFKEYAFSPSALAIELGSGDSKEVTFQATRVAYSATGMITLLSGQPKEGVAVEARSESKGYYEETVTDSSGNYRLRGLIPDTVYVIKVVEKHGLGTTRIERASPESITVKVGSEDIRGLNFIVFEQPEMTILSCHVEGKRMEEFHSHLLVEIKSASDTSKIESVFPLPLSNFFQVKNLPKGKHLLQLRSSLQSGNLKFESDVIEVDLDKTAQIHVGPLRYNFEEDHQKQELTAAPVFPLVVGVSVIALFISIPRLKDLYQTTTGIPAPGFMTTAKREPRKSAVRKKTY >Manes.03G100400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22385184:22389207:-1 gene:Manes.03G100400.v8.1 transcript:Manes.03G100400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNYIRWLPKRGSFPVTVYGSFLPRHIFYRLHAVCAYLRCIFVALCVLFLWRSFDVILADQVSVVIPLLKVKKSTKVVFYCHFPDLLLAQHTTVLRRLYRKPIDFVEEITTGKADLILVNSKFTAYTFANTFKHLHSRGIRPAVLYPAVNVDQFDEFHSYKLNFLSINRFERKKNIELAISAFAMLHTLDKHAFQNHNLSDATLTIAGGYDKRLRENVEYLEELESLAEREGVSHRVKFITSCSTAERNALLSQCLCVIYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDEVTGFLCDSTPKSFSLAMGKLLQDPEMAKKMGEQARQHVTESFSTKIFGQHLNQYLINISSSKED >Manes.03G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22385184:22389203:-1 gene:Manes.03G100400.v8.1 transcript:Manes.03G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGNSKLNIAIIHPDLGIGGAERLIVDAAVELASHGHNVRIFTAHHDKNRCFEETVSGSFPVTVYGSFLPRHIFYRLHAVCAYLRCIFVALCVLFLWRSFDVILADQVSVVIPLLKVKKSTKVVFYCHFPDLLLAQHTTVLRRLYRKPIDFVEEITTGKADLILVNSKFTAYTFANTFKHLHSRGIRPAVLYPAVNVDQFDEFHSYKLNFLSINRFERKKNIELAISAFAMLHTLDKHAFQNHNLSDATLTIAGGYDKRLRENVEYLEELESLAEREGVSHRVKFITSCSTAERNALLSQCLCVIYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDEVTGFLCDSTPKSFSLAMGKLLQDPEMAKKMGEQARQHVTESFSTKIFGQHLNQYLINISSSKED >Manes.03G100400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22385184:22389203:-1 gene:Manes.03G100400.v8.1 transcript:Manes.03G100400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNYIRWLPKRGSFPVTVYGSFLPRHIFYRLHAVCAYLRCIFVALCVLFLWRSFDVILADQVSVVIPLLKVKKSTKVVFYCHFPDLLLAQHTTVLRRLYRKPIDFVEEITTGKADLILVNSKFTAYTFANTFKHLHSRGIRPAVLYPAVNVDQFDEFHSYKLNFLSINRFERKKNIELAISAFAMLHTLDKHAFQNHNLSDATLTIAGGYDKRLRENVEYLEELESLAEREGVSHRVKFITSCSTAERNALLSQCLCVIYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDEVTGFLCDSTPKSFSLAMGKLLQDPEMAKKMGEQARQHVTESFSTKIFGQHLNQYLINISSSKED >Manes.10G076400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15296333:15299420:-1 gene:Manes.10G076400.v8.1 transcript:Manes.10G076400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIPARRISVHQALGGGAVADLLLWKIWSGNVTILGMATTMWMLFELAGYNLLSFVANVLLLLVVILFFWAKSASLLNRPLPPLPDLEISEETIVKAAGVLQVYANHALSIAREITMGRNLKLFLQVTVGFWIASYIGSFCNLLTLIYIGVLLSLSVPVLYDKCQHHIEEKLSVTHRMIQTQYRKIDDSLLKKIPLPSRGEKKIQ >Manes.10G076400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15292407:15299420:-1 gene:Manes.10G076400.v8.1 transcript:Manes.10G076400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIPARRISVHQALGGGAVADLLLWKIWSGNVTILGMATTMWMLFELAGYNLLSFVANVLLLLVVILFFWAKSASLLNRPLPPLPDLEISEETIVKAAGVLQVYANHALSIAREITMGRNLKLFLQVTVGFWIASYIGSFCNLLTLIYIGVLLSLSVPVLYDKCQHHIEEKLSVTHRMIQTQYRKIDDSLLKKIPLPSRGEKKIQ >Manes.10G076400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15295941:15299420:-1 gene:Manes.10G076400.v8.1 transcript:Manes.10G076400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIPARRISVHQALGGGAVADLLLWKIWSGNVTILGMATTMWMLFELAGYNLLSFVANVLLLLVVILFFWAKSASLLNRPLPPLPDLEISEETIVKAAGVLQVYANHALSIAREITMGRNLKLFLQVTVGFWIASYIGSFCNLLTLIYIGVLLSLSVPVLYDKCQHHIEEKLSVTHRMIQTQYRKIDDSLLKKIPLPSRGEKKIQ >Manes.10G076400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15293332:15299420:-1 gene:Manes.10G076400.v8.1 transcript:Manes.10G076400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIPARRISVHQALGGGAVADLLLWKIWSGNVTILGMATTMWMLFELAGYNLLSFVANVLLLLVVILFFWAKSASLLNRPLPPLPDLEISEETIVKAAGVLQVYANHALSIAREITMGRNLKLFLQVTVGFWIASYIGSFCNLLTLIYIGVLLSLSVPVLYDKCQHHIEEKLSVTHRMIQTQYRKIDDSLLKKIPLPSRGEKKIQ >Manes.14G055500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4752966:4755624:1 gene:Manes.14G055500.v8.1 transcript:Manes.14G055500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYYELAARSSYQESLKVLEADIHHANVLAASIPRGKNGSCLQMKLVYNHLAPICLLLLQWMDCSCTCLLSSYLNLLHIVLYQVCSDGTPNISSCRRKATIREFYAVILPSLQRLHCDSMELDMAKEEGTAEVVVKKRLEERRKLTDMDIEREDECGICLEPCTKMVVPSCCHTMCINCYRDWNTRSESCPFCRGSLKRVNSGDLWVLTCIKDVVDSETVLREDMLRFYLYINSLPKDIPDALFLMYYEYLI >Manes.08G159400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39569170:39573217:-1 gene:Manes.08G159400.v8.1 transcript:Manes.08G159400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHFKSPVRFFSPSMFLAKSVSFSSTKHPSLSPSIPKPYQNPIPVSPKSSSTFQCIHSITIPPSKSYLYASFFCTLIHLYLTCGRLSKATNTFYDMRNCNIIPRLPLWNQLMYQFNAFGLVSQVCDIYTEMLTCGVLPNVFTHNVLVHAWCKMGNLILALDLLKNVDIEADTVTYNTIIWGFCQQGLANQAFGFLSIMVKKDTFFDSITCNTLVKGYCRIGLVKYGEWVMGNLVGGGICKDIIGFNTLIDGYCKTGEMSCALNLMEKMKEDGVLPDIISYNSLINGFCKRGEFDKAKCLLVEILGSRNEKDFVLSHFDDRSKKDGHVDLEPNLITHTTLINAYCKQHGLEEARALYEEMVINGILPDEVTYSSILNGLCKHGKLFEAKALLREMEMMGVDPNHVVYATLIDSLFKAGSAWEAFVYQSQMVVRGIAFDLVICTTLLDGLFKSSKPEDAEDMFRILSKLNLIPNVITYTALIDGRCKLGDMESAVSLLQEMEERLIIPNVITYSSIINGYTKKGMLDEAINIVKKMLRQNIMPNAYIYATLIDGYCKVGKQEIALDLYNEMKLSGLEENNVLLDVFLNNLKRGRKMDEAEGLIKDMMSRGLLLDHVNYTSLMDVLFKLGKESAALKLVEEMTEKSIPLDVVAYNVLTNGLLKLGKFEIKAVHTQMEELGLSPDLATYNTMINAYCRHGKLENACELWNEMKSHKIVPNSITYNTLVKGLSAAGEIENAINVMNEMLVMGIHPNFITHRALLDASSKSGKANRILQMHEQLIGMGLKVNREVCNNLIVVLCRLRMTKKATSVLKYMIRDGFVPDTITYNALIRGYCEGSHVERALASYTQMLNEGIPPNIITYNLLLGGLLGAGLMTGAEKLVDKMKENGLNPDASTYDTLISGHCKSGNKKESIKLYCKMVAQGFVPKISTYNVLMNEFAKVGKMDQARELLNEMQMRGVPPNSYTYNILICGWCNLSKQQELSTILKKAYRTEAKILITEMNEKGFVPCKSTIACICSTFARPGMMLDAEKLLKEMYKRKSS >Manes.08G159400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39568517:39573217:-1 gene:Manes.08G159400.v8.1 transcript:Manes.08G159400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHFKSPVRFFSPSMFLAKSVSFSSTKHPSLSPSIPKPYQNPIPVSPKSSSTFQCIHSITIPPSKSYLYASFFCTLIHLYLTCGRLSKATNTFYDMRNCNIIPRLPLWNQLMYQFNAFGLVSQVCDIYTEMLTCGVLPNVFTHNVLVHAWCKMGNLILALDLLKNVDIEADTVTYNTIIWGFCQQGLANQAFGFLSIMVKKDTFFDSITCNTLVKGYCRIGLVKYGEWVMGNLVGGGICKDIIGFNTLIDGYCKTGEMSCALNLMEKMKEDGVLPDIISYNSLINGFCKRGEFDKAKCLLVEILGSRNEKDFVLSHFDDRSKKDGHVDLEPNLITHTTLINAYCKQHGLEEARALYEEMVINGILPDEVTYSSILNGLCKHGKLFEAKALLREMEMMGVDPNHVVYATLIDSLFKAGSAWEAFVYQSQMVVRGIAFDLVICTTLLDGLFKSSKPEDAEDMFRILSKLNLIPNVITYTALIDGRCKLGDMESAVSLLQEMEERLIIPNVITYSSIINGYTKKGMLDEAINIVKKMLRQNIMPNAYIYATLIDGYCKVGKQEIALDLYNEMKLSGLEENNVLLDVFLNNLKRGRKMDEAEGLIKDMMSRGLLLDHVNYTSLMDVLFKLGKESAALKLVEEMTEKSIPLDVVAYNVLTNGLLKLGKFEIKAVHTQMEELGLSPDLATYNTMINAYCRHGKLENACELWNEMKSHKIVPNSITYNTLVKGLSAAGEIENAINVMNEMLVMGIHPNFITHRALLDASSKSGKANRILQMHEQLIGMGLKVNREVCNNLIVVLCRLRMTKKATSVLKYMIRDGFVPDTITYNALIRGYCEGSHVERALASYTQMLNEGIPPNIITYNLLLGGLLGAGLMTGAEKLVDKMKENGLNPDASTYDTLISGHCKSGNKKESIKLYCKMVAQGFVPKISTYNVLMNEFAKVGKMDQARELLNEMQMRGVPPNSYTYNILICGWCNLSKQQELSTILKKAYRTEAKILITEMNEKGFVPCKSTIACICSTFARPGMMLDAEKLLKEMYKRKSS >Manes.08G159400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39569170:39573217:-1 gene:Manes.08G159400.v8.1 transcript:Manes.08G159400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHFKSPVRFFSPSMFLAKSVSFSSTKHPSLSPSIPKPYQNPIPVSPKSSSTFQCIHSITIPPSKSYLYASFFCTLIHLYLTCGRLSKATNTFYDMRNCNIIPRLPLWNQLMYQFNAFGLVSQVCDIYTEMLTCGVLPNVFTHNVLVHAWCKMGNLILALDLLKNVDIEADTVTYNTIIWGFCQQGLANQAFGFLSIMVKKDTFFDSITCNTLVKGYCRIGLVKYGEWVMGNLVGGGICKDIIGFNTLIDGYCKTGEMSCALNLMEKMKEDGVLPDIISYNSLINGFCKRGEFDKAKCLLVEILGSRNEKDFVLSHFDDRSKKDGHVDLEPNLITHTTLINAYCKQHGLEEARALYEEMVINGILPDEVTYSSILNGLCKHGKLFEAKALLREMEMMGVDPNHVVYATLIDSLFKAGSAWEAFVYQSQMVVRGIAFDLVICTTLLDGLFKSSKPEDAEDMFRILSKLNLIPNVITYTALIDGRCKLGDMESAVSLLQEMEERLIIPNVITYSSIINGYTKKGMLDEAINIVKKMLRQNIMPNAYIYATLIDGYCKVGKQEIALDLYNEMKLSGLEENNVLLDVFLNNLKRGRKMDEAEGLIKDMMSRGLLLDHVNYTSLMDVLFKLGKESAALKLVEEMTEKSIPLDVVAYNVLTNGLLKLGKFEIKAVHTQMEELGLSPDLATYNTMINAYCRHGKLENACELWNEMKSHKIVPNSITYNTLVKGLSAAGEIENAINVMNEMLVMGIHPNFITHRALLDASSKSGKANRILQMHEQLIGMGLKVNREVCNNLIVVLCRLRMTKKATSVLKYMIRDGFVPDTITYNALIRGYCEGSHVERALASYTQMLNEGIPPNIITYNLLLGGLLGAGLMTGAEKLVDKMKENGLNPDASTYDTLISGHCKSGNKKESIKLYCKMVAQGFVPKISTYNVLMNEFAKVGKMDQARELLNEMQMRGVPPNSYTYNILICGWCNLSKQQELSTILKKAYRTEAKILITEMNEKGFVPCKSTIACICSTFARPGMMLDAEKLLKEMYKRKSS >Manes.18G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5886909:5889339:1 gene:Manes.18G065300.v8.1 transcript:Manes.18G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKAEAAEYQKLLATRLKEQRERRSESLAKKRSRLSAASKPSIAA >Manes.06G067600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20404484:20407223:1 gene:Manes.06G067600.v8.1 transcript:Manes.06G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCAIHPSAFSTLDEIRSPVSVPISERRDPVVCPKPRRLGLLNATVNDQPVRSLRWQLSHQAELCDSKGGTDFLDIILTKGVYGVDQSCTQIASSPPFFCGSPPSRVANPLIQDARFGDEKLSPISPLLPMPTPPPSGLSSSPTSSSRKGGCVRSNFGNKPAVRVEGFDCLDRDRRNCSIPALA >Manes.03G088519.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19374169:19375125:-1 gene:Manes.03G088519.v8.1 transcript:Manes.03G088519.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIGHVLPGIAFLALGLWHLFNHIKLYSLHPNSYISSAWFPTSKVRHLELFLIMAGSSISISMELFIGPERHQPFDSDGTIPSNHLKNFEHSSISMLFFVYATFAILLDRFKPKSHLGLTQLLGAVAFGQQLFLFHLHSTDHQGLEGQYHLLLQAIVVVSVVTTLMGIGLPRSFLVSFVRSVSIFFQGVWFIVMGYMLWTPELLPRGCELYNEDEHHVVRCGSQQALHRAKSLVNILFSCFLIGTAIFAVSFYMGMVERFGTREDYYCKLPKEFEEMQEEEEEFCDAESQKEKKFGESKGAFVHMEARIAPFNMER >Manes.13G058800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6759362:6763280:1 gene:Manes.13G058800.v8.1 transcript:Manes.13G058800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMRMPAIYRAFILVVLFAHACNAFYLPGSYMHTYSNGEPIFAKVNSLTSIETELPFSYYSLPYCKPAGGIKKSAENLGELLMGDQIDNSPYRFRMNVNESVFLCTTPPLSEHEVKLLKQRTRDLYQVNMILDNLPAMRYAKQNGVNIQWTGFPVGYAPQNSNDDYIINHLKFTVLVHEYEGSAVEIIGTGEEGMGVISEADKEKASGYEIVGFEVVPCSVKYDPEVMAKLHMYDNISSVNCPLDLDKSQIIREQERVSFTYEVEFVKSDTRWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTKYEELDKEAQAQMNEELSGWKLVVGDVFREPDCSKLLCVMVGDGVQITGMAVVTIVFAAIGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWRTIKGTSEGWRSVSWSAACFFPGIAFVILTALNFILWGSKSTGAIPISMYFVLLSLWFCISVPLTLLGGFFGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVIVCAEVSVVLTYMHLCVEDWQWWWKAFFASGSVALYVFLYSINYLVFDLQSLSGPVSAVLYLGYSLLMAIAIMLSTGTVGFFMSFYFVHYLFSSVKID >Manes.02G224100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37351105:37371362:-1 gene:Manes.02G224100.v8.1 transcript:Manes.02G224100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQVTKRVKYKTSVKDPGTPGRLLLTMEKLAFRPNNPNSASKLDMEFIYVKNHKYTKEGSNKAPMLNLTSNQGASYIFEFENYSDLQVCKEFVGKALSKPGETPKPADTSQSLSEQPSTEELLLRMNLLRENSELQKLHKRFVRDGVLTDTEFWAARKKLLNGDSGNKSKQRVGLKTVMLSDSKPLIDGRTNKVTFNLTPEIVREIFAEKPAVHQAYLNMVPNKMTDKDFWTKYCRAEYLHHSRNAHAAAAEAAEDEELALFLKPDDILASETRRKIRCVDPTLDMEADQGDDYTHLPDHGIARDGSKEITESQNEPYIRTLLHDLNRHGAVVLEGTAIDEEQLKDTQTVAEALIQSKKGNKIANEEADGNANEEKLNRISKMMEIEDLRGSNDLPLAPLSIKDPRDYFDSQQACALKTSRDAPTGTEALNCSLSAQEAYGSLRDSISQIKVVGLNDPIVTPEVAMKVRSVLTHNITSTKYHLGKNPRESVLDGFPNKIKEDLLHHWMAVEELLRHFWSSYPITTAYLYAKVNRLKDEMSKIDSQLQEMKESVQSDLRNQLTLLIRPMQQMPPHQSFVT >Manes.02G224100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37353871:37371362:-1 gene:Manes.02G224100.v8.1 transcript:Manes.02G224100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQVTKRVKYKTSVKDPGTPGRLLLTMEKLAFRPNNPNSASKLDMEFIYVKNHKYTKEGSNKAPMLNLTSNQGASYIFEFENYSDLQVCKEFVGKALSKPGETPKPADTSQSLSEQPSTEELLLRMNLLRENSELQKLHKRFVRDGVLTDTEFWAARKKLLNGDSGNKSKQRVGLKTVMLSDSKPLIDGRTNKVTFNLTPEIVREIFAEKPAVHQAYLNMVPNKMTDKDFWTKYCRAEYLHHSRNAHAAAAEAAEDEELALFLKPDDILASETRRKIRCVDPTLDMEADQGDDYTHLPDHGIARDGSKEITESQNEPYIRTLLHDLNRHGAVVLEGTAIDEEQLKDTQTVAEALIQSKKGNKIANEEADGNANEEKLNRISKMMEIEDLRGSNDLPLAPLSIKDPRDYFDSQQACALKTSRDAPTGTEALNCSLSAQEAYGSLRDSISQIKVVGLNDPIVTPEVAMKVRSVLTHNITSTKYHLGKNPRESVLDGFPNKIKEDLLHHWMAVEELLRHFWSSYPITTAYLYAKVFR >Manes.02G224100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37351105:37371362:-1 gene:Manes.02G224100.v8.1 transcript:Manes.02G224100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADHKYTKEGSNKAPMLNLTSNQGASYIFEFENYSDLQVCKEFVGKALSKPGETPKPADTSQSLSEQPSTEELLLRMNLLRENSELQKLHKRFVRDGVLTDTEFWAARKKLLNGDSGNKSKQRVGLKTVMLSDSKPLIDGRTNKVTFNLTPEIVREIFAEKPAVHQAYLNMVPNKMTDKDFWTKYCRAEYLHHSRNAHAAAAEAAEDEELALFLKPDDILASETRRKIRCVDPTLDMEADQGDDYTHLPDHGIARDGSKEITESQNEPYIRTLLHDLNRHGAVVLEGTAIDEEQLKDTQTVAEALIQSKKGNKIANEEADGNANEEKLNRISKMMEIEDLRGSNDLPLAPLSIKDPRDYFDSQQACALKTSRDAPTGTEALNCSLSAQEAYGSLRDSISQIKVVGLNDPIVTPEVAMKVRSVLTHNITSTKYHLGKNPRESVLDGFPNKIKEDLLHHWMAVEELLRHFWSSYPITTAYLYAKVNRLKDEMSKIDSQLQEMKESVQSDLRNQLTLLIRPMQQALEAAMQHYDADLQKRLAKSGERPNGYV >Manes.02G224100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37351105:37371362:-1 gene:Manes.02G224100.v8.1 transcript:Manes.02G224100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQVTKRVKYKTSVKDPGTPGRLLLTMEKLAFRPNNPNSASKLDMEFIYVKNHKYTKEGSNKAPMLNLTSNQGASYIFEFENYSDLQVCKEFVGKALSKPGETPKPADTSQSLSEQPSTEELLLRMNLLRENSELQKLHKRFVRDGVLTDTEFWAARKKLLNGDSGNKSKQRVGLKTVMLSDSKPLIDGRTNKVTFNLTPEIVREIFAEKPAVHQAYLNMVPNKMTDKDFWTKYCRAEYLHHSRNAHAAAAEAAEDEELALFLKPDDILASETRRKIRCVDPTLDMEADQGDDYTHLPDHGIARDGSKEITESQNEPYIRTLLHDLNRHGAVVLEGTAIDEEQLKDTQTVAEALIQSKKGNKIANEEADGNANEEKLNRISKMMEIEDLRGSNDLPLAPLSIKDPRDYFDSQQACALKTSRDAPTGTEALNCSLSAQEAYGSLRDSISQIKVVGLNDPIVTPEVAMKVRSVLTHNITSTKYHLGKNPRESVLDGFPNKIKEDLLHHWMAVEELLRHFWSSYPITTAYLYAKVNRLKDEMSKIDSQLQEMKESVQSDLRNQLTLLIRPMQQALEAAMQHYDADLQKRLAKSGERPNGYV >Manes.09G008350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1893349:1893726:-1 gene:Manes.09G008350.v8.1 transcript:Manes.09G008350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEGEEYGLLKIFIEFVEKNNNACNRDSEYDDEPIFVEKYYSCKSIKGISNASATIQVPSVNMQIEMPLMDAPIMDESSDEDDDFIPNMYSDQKNSIDESLVEEIDSKDDE >Manes.03G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20572969:20575206:1 gene:Manes.03G090500.v8.1 transcript:Manes.03G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVILLDFWPSPFGMRIRIALAEKGVKYEYREEDLPNKSPLLLQMNPVHKNVPVLIHNGKPICESLIGVQYVDEVWNDKSPLLPADPYQRAQARFWADFVDKKIYDIGRKTWATKGEEQEAAKKEFIGVLKLLEGELGNKPYFGGESMGFVDVALIPFYSWFYAYETCGNFSIEAECPVLMAWAKRCLQKESVSKSLPDQQKVYEFILTLKKRYGIE >Manes.15G146500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12084671:12084835:1 gene:Manes.15G146500.v8.1 transcript:Manes.15G146500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGMFMRKPDKAAALKQLKTHVAMFGVWVAVVRVTPYILHFLSDEKDELKLEF >Manes.08G049600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5093853:5099734:1 gene:Manes.08G049600.v8.1 transcript:Manes.08G049600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITPKISINDGKLVVHGKTILTGVPDNIVLTPAGGLVAGAFIGATASHSKSLHVFTVGVLEGLRFMCCFRFKLWWMTQRMGMCGKDIPLETQFMLVESKDGGEGVDQGDAQTIYTVFLPLLEGQFRAVLQGNEKNEMEICLESGDNAVETNQGLHLVYIHAGTNPFEVINQAVNLSEGGSPPRFLIIDDGWQQIENKSLKDTNAVVQEGAQFASRLTGIKENAKFRKNGEKDEEARGLKHVVEDAKQCHNVKFVYAWHALAGYWGGVKPASSGMEHYDTALAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGIDGVKVDVQNIIETLGAGHGGRVSLTRSYHQALEASIARNFPDNGCISCMCHNTDGIYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHGAARAIGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKVWNVNTCTGVVGVFNCQGAGWCKIEKKTRIHDASPGTLSGSVRSTDVDCIAQVASPDWSGETIVYAYKSGEVIRLPKGASVPVTLKVLEYELFHFCPIKEIASNILFAPIGLLDMFNVGGAMDKFEIKMNPDKKSELFDGEVSSGLTTSLSENRSPAATIALKVRGCGRFGAYSSQRPLKCSVDNAETEFNYDSDTGLVTLTLPIPEEEMYRWNMEIQV >Manes.08G049600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5093079:5099823:1 gene:Manes.08G049600.v8.1 transcript:Manes.08G049600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITPKISINDGKLVVHGKTILTGVPDNIVLTPAGGLVAGAFIGATASHSKSLHVFTVGVLEGLRFMCCFRFKLWWMTQRMGMCGKDIPLETQFMLVESKDGGEGVDQGDAQTIYTVFLPLLEGQFRAVLQGNEKNEMEICLESGDNAVETNQGLHLVYIHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKSLSEGGSPPRFLIIDDGWQQIENKSLKDTNAVVQEGAQFASRLTGIKENAKFRKNGEKDEEARGLKHVVEDAKQCHNVKFVYAWHALAGYWGGVKPASSGMEHYDTALAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGIDGVKVDVQNIIETLGAGHGGRVSLTRSYHQALEASIARNFPDNGCISCMCHNTDGIYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHGAARAIGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKVWNVNTCTGVVGVFNCQGAGWCKIEKKTRIHDASPGTLSGSVRSTDVDCIAQVASPDWSGETIVYAYKSGEVIRLPKGASVPVTLKVLEYELFHFCPIKEIASNILFAPIGLLDMFNVGGAMDKFEIKMNPDKKSELFDGEVSSGLTTSLSENRSPAATIALKVRGCGRFGAYSSQRPLKCSVDNAETEFNYDSDTGLVTLTLPIPEEEMYRWNMEIQV >Manes.08G049600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5093080:5099734:1 gene:Manes.08G049600.v8.1 transcript:Manes.08G049600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITPKISINDGKLVVHGKTILTGVPDNIVLTPAGGLVAGAFIGATASHSKSLHVFTVGVLEGLRFMCCFRFKLWWMTQRMGMCGKDIPLETQFMLVESKDGGEGVDQGDAQTIYTVFLPLLEGQFRAVLQGNEKNEMEICLESGDNAVETNQGLHLVYIHAGTNPFEVINQAVNLSEGGSPPRFLIIDDGWQQIENKSLKDTNAVVQEGAQFASRLTGIKENAKFRKNGEKDEEARGLKHVVEDAKQCHNVKFVYAWHALAGYWGGVKPASSGMEHYDTALAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGIDGVKVDVQNIIETLGAGHGGRVSLTRSYHQALEASIARNFPDNGCISCMCHNTDGIYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHGAARAIGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKVWNVNTCTGVVGVFNCQGAGWCKIEKKTRIHDASPGTLSGSVRSTDVDCIAQVASPDWSGETIVYAYKSGEVIRLPKGASVPVTLKVLEYELFHFCPIKEIASNILFAPIGLLDMFNVGGAMDKFEIKMNPDKKSELFDGEVSSGLTTSLSENRSPAATIALKVRGCGRFGAYSSQRPLKCSVDNAETEFNYDSDTGLVTLTLPIPEEEMYRWNMEIQV >Manes.08G049600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5093853:5099734:1 gene:Manes.08G049600.v8.1 transcript:Manes.08G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITPKISINDGKLVVHGKTILTGVPDNIVLTPAGGLVAGAFIGATASHSKSLHVFTVGVLEGLRFMCCFRFKLWWMTQRMGMCGKDIPLETQFMLVESKDGGEGVDQGDAQTIYTVFLPLLEGQFRAVLQGNEKNEMEICLESGDNAVETNQGLHLVYIHAGTNPFEVINQAVKALEKHMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVKEGLKSLSEGGSPPRFLIIDDGWQQIENKSLKDTNAVVQEGAQFASRLTGIKENAKFRKNGEKDEEARGLKHVVEDAKQCHNVKFVYAWHALAGYWGGVKPASSGMEHYDTALAYPVQSPGVLGNQPDIVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGIDGVKVDVQNIIETLGAGHGGRVSLTRSYHQALEASIARNFPDNGCISCMCHNTDGIYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHGAARAIGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKVWNVNTCTGVVGVFNCQGAGWCKIEKKTRIHDASPGTLSGSVRSTDVDCIAQVASPDWSGETIVYAYKSGEVIRLPKGASVPVTLKVLEYELFHFCPIKEIASNILFAPIGLLDMFNVGGAMDKFEIKMNPDKKSELFDGEVSSGLTTSLSENRSPAATIALKVRGCGRFGAYSSQRPLKCSVDNAETEFNYDSDTGLVTLTLPIPEEEMYRWNMEIQV >Manes.15G155000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12840778:12841957:1 gene:Manes.15G155000.v8.1 transcript:Manes.15G155000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSGIAWMVVFIIILGRYTVEASARISLHLNSHDPERPPQFVTVTGCNNDCDSSCCNCDIEKQPPLCVQCCQEDP >Manes.14G034900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3102928:3103608:1 gene:Manes.14G034900.v8.1 transcript:Manes.14G034900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFPLSSLSAKLASKFSSSQRDMAGFPSTSFVKFFIILLAISFHIKTLSAARALSHPKTNTEFIKTSCSTTTYPRLCYSSLSVEASKIQSSPKLLATAALNVTLAHARSTSTSMKKLSHTHGMKPTEVAAMQDCVEELSDTVDELRKSIDEMGKAKGSDTQLMINDIQTWVSAALTDETTCTDGFAENSMDGNVKSEVRKNILNIAHLTSNALSLINNYASLHG >Manes.04G157200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35227099:35236636:-1 gene:Manes.04G157200.v8.1 transcript:Manes.04G157200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGLEDEKEANGMLSFDPQLPISNALGIEGSTIHPYRVSGFGLFEQSVAFHFEDVVDLSTNTVFNSAKASSQEVSSDPLNIGTSDKLTTSLNINPSAAQVESQRLPPEKKQQLNLVSISSGNTENWGESNMADASPRTDISTDGDTDDKNPRFAYGQSNAVAVSDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNTHAGDTELRIIIDGIMAHYDEIFRLKGNAAAADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.04G157200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35230704:35236636:-1 gene:Manes.04G157200.v8.1 transcript:Manes.04G157200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGLEDEKEANGMLSFDPQLPISNALGIEGSTIHPYRVSGFGLFEQSVAFHFEDVVDLSTNTVFNSAKASSQEVSSDPLNIGTSDKLTTSLNINPSAAQVESQRLPPEKKQQLNLVSISSGNTENWGESNMADASPRTDISTDGDTDDKNPRFAYGQSNAVAVSDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNTHAGDTELRIIIDGIMAHYDEIFRLKGNAAAADVFHLLSGMWKTPAERCFLWLGGFRSSELLKVRLVML >Manes.04G157200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35227099:35236636:-1 gene:Manes.04G157200.v8.1 transcript:Manes.04G157200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGLEDEKEANGMLSFDPQLPISNALGIEGSTIHPYRVSGFGLFEQSVAFHFEDVVDLSTNTVFNSAKASSQEVSSDPLNIGTSDKLTTSLNINPSAAQVESQRLPPEKKQQLNLVSISSGNTENWGESNMADASPRTDISTDGDTDDKNPRTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNTHAGDTELRIIIDGIMAHYDEIFRLKGNAAAADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.04G157200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35227099:35236636:-1 gene:Manes.04G157200.v8.1 transcript:Manes.04G157200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGLEDEKEANGMLSFDPQLPISNALGIEGSTIHPYRVSGFGLFEQSVAFHFEDVVDLSTNTVFNSAKASSQEVSSDPLNIGTSDKLTTSLNINPSAAQVESQRLPPEKKQQLNLVSISSGNTENWGESNMADASPRTDISTDGDTDDKNPRFAYGQSNAVAVSDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNTHAGDTELRIIIDGIMAHYDEIFRLKGNAAAADVFHLLSGMWKTPAERCFLWLGGFRSSELLKVSFS >Manes.04G157200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35227099:35236636:-1 gene:Manes.04G157200.v8.1 transcript:Manes.04G157200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGLEDEKEANGMLSFDPQLPISNALGIEGSTIHPYRVSGFGLFEQSVAFHFEDVVDLSTNTVFNSAKASSQEVSSDPLNIGTSDKLTTSLNINPSAAQVESQRLPPEKKQQLNLVSISSGNTENWGESNMADASPRTDISTDGDTDDKNPRFAYGQSNAVAVSDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNTHAGDTELRIIIDGIMAHYDEIFRLKGNAAAADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.04G157200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35227099:35236636:-1 gene:Manes.04G157200.v8.1 transcript:Manes.04G157200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVGLEDEKEANGMLSFDPQLPISNALGIEGSTIHPYRVSGFGLFEQSVAFHFEDVVDLSTNTVFNSAKASSQEVSSDPLNIGTSDKLTTSLNINPSAAQVESQRLPPEKKQQLNLVSISSGNTENWGESNMADASPRTDISTDGDTDDKNPRTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGVFISSSGDQAHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNTHAGDTELRIIIDGIMAHYDEIFRLKGNAAAADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Manes.16G082100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28824484:28828329:1 gene:Manes.16G082100.v8.1 transcript:Manes.16G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANQRSKFRKMCVFCGSNSGYRQVFSDAAIELGDELAKKRIDLVYGGGSVGLMGLISQKVYDGGCHVLGVIPRALMPLEISGQTVGEVRIVSDMHERKAAMAREADAFIALPGGYGTMEELLEMITWLQLGIHKKPVGLLNVDGYYNCLLAMFDIGVQEGFIKPGARDIFVHAPSAKELLEKMELYAPLHQQVAPHESWHMEQLGNYPAQQNAQ >Manes.14G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8704359:8706141:1 gene:Manes.14G104200.v8.1 transcript:Manes.14G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIRPPVRAAASVTKRMCNSTEEDSQLRRGPWTLEEDTLITHYIACHGEGRWNMLAKHAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQQLPGRTDNEIKNYWRTRVQRQARQLNIESNSKRFLDAVRCFWMPRLLQKVEQNCYSYSTLSTMDSQTDAAASASSTNFTVDNSLSSESFPIQTKWANYSNLPSEHSNSVTSPSVLSTDSKPISPQTQTLENPASISPPVLDNTVYDNLIVGDCYYVENSGYDMDGLNTASVPEIVAFGDSTSECQMAESNWVFDNDMADTLWNMDDTWQFRL >Manes.15G025300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1966127:1969294:-1 gene:Manes.15G025300.v8.1 transcript:Manes.15G025300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAPSSSAAAAATGCGSSSGAAIDDAFEDACSICLEPFTAQDPSTVTSCKHEYHLQCILEWSQRRKECPICWQLLVLKDPTCQELLAAVDTERCLRSRNTSSAASANLPHFHEDFDVEQDSYSDDSDFDEHIMQHLAAAAASRAHYLRRRERQRSSGQGHPRFLVFTSPTNIPTAQQSRSYAEECQDVYNGLSGANSQTSTCLMTSEPLPSAVPPVMNGVSSTSVNRDDIPSKPRVFFRRSPTASPRMPSEVSSFSESIKSKWVAASARYKESISKSTRGIKEKLLARNSSVKELSKGVQREMSAGIAGVARMIERLDLTPKRTGTSSPVSDLRPGASDLLKGKGMQENIVAWAPDRKSEEVAFATSLNASSHFSCTVPGQLEVLHAERGH >Manes.15G025300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1966117:1969325:-1 gene:Manes.15G025300.v8.1 transcript:Manes.15G025300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFLTVSLIELILILYLLIPFLMFNACIPACDCSNRVSRFVHCFLFQVTSCKHEYHLQCILEWSQRRKECPICWQLLVLKDPTCQELLAAVDTERCLRSRNTSSAASANLPHFHEDFDVEQDSYSDDSDFDEHIMQHLAAAAASRAHYLRRRERQRSSGQGHPRFLVFTSPTNIPTAQQSRSYAEECQDVYNGLSGANSQTSTCLMTSEPLPSAVPPVMNGVSSTSVNRDDIPSKPRVFFRRSPTASPRMPSEVSSFSESIKSKWVAASARYKESISKSTRGIKEKLLARNSSVKELSKGVQREMSAGIAGVARMIERLDLTPKRTGTSSPVSDLRPGASDLLKGKGMQENIVAWAPDRKSEEVAFATSLNASSHFSCTVPGQLEVLHAEVQKP >Manes.15G025300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1965281:1969346:-1 gene:Manes.15G025300.v8.1 transcript:Manes.15G025300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAPSSSAAAAATGCGSSSGAAIDDAFEDACSICLEPFTAQDPSTVTSCKHEYHLQCILEWSQRRKECPICWQLLVLKDPTCQELLAAVDTERCLRSRNTSSAASANLPHFHEDFDVEQDSYSDDSDFDEHIMQHLAAAAASRAHYLRRRERQRSSGQGHPRFLVFTSPTNIPTAQQSRSYAEECQDVYNGLSGANSQTSTCLMTSEPLPSAVPPVMNGVSSTSVNRDDIPSKPRVFFRRSPTASPRMPSEVSSFSESIKSKWVAASARYKESISKSTRGIKEKLLARNSSVKELSKGVQREMSAGIAGVARMIERLDLTPKRTGTSSPVSDLRPGASDLLKGKGMQENIVAWAPDRKSEEVAFATSLNASSHFSCTVPGQLEVLHAEVQKP >Manes.14G148400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16160553:16184035:1 gene:Manes.14G148400.v8.1 transcript:Manes.14G148400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNYRGQTALMQACQHGHWEVVLILVLFKANIHRSDYLNGGTALHLAALNGHSRCIRLLLADYIPSRIADSWNILKERSNRNGSITELDEGALHEVINRTADGGITALHMAALNGHVETVQLLLDLGASVSEVTVEDGTTIDLIGAGSTPLHYAACGGNAQCCHILIASGASLTAVNANGWTPLMVARSWHRNELEEILSTQLEHQIQMSPSPYLSLPLMSIVKIAREYGWRNDDLLPTCQDPCVVCLERTCTVAAEGCGHEFCTWCALYLCSSFCTSVVAQDPPGSVACPLCRQGIVSFVKLPGTRPMVKVARTSLSLAFCTCTGEEPEPTSMITPLCKPDIGCTRISPLSSSFRSLSCQKFPYMKFNARGCLGIPETRPSLVPSAIDRSLREQLVRCSRPRLGRSTSSNDTERRRTWLSALNDYVTIGSGS >Manes.14G148400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16160533:16184035:1 gene:Manes.14G148400.v8.1 transcript:Manes.14G148400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNYRGQTALMQACQHGHWEVVLILVLFKANIHRSDYLNGGTALHLAALNGHSRCIRLLLADYIPSRIADSWNILKERSNRNGSITELDEGALHEVINRTADGGITALHMAALNGHVETVQLLLDLGASVSEVTVEDGTTIDLIGAGSTPLHYAACGGNAQCCHILIASGASLTAVNANGNELEEILSTQLEHQIQMSPSPYLSLPLMSIVKIAREYGWRNDDLLPTCQDPCVVCLERTCTVAAEGCGHEFCTWCALYLCSSFCTSVVAQDPPGSVACPLCRQGIVSFVKLPGTRPMVKVARTSLSLAFCTCTGEEPEPTSMITPLCKPDIGCTRISPLSSSFRSLSCQKFPYMKFNARGCLGIPETRPSLVPSAIDRSLREQLVRCSRPRLGRSTSSNDTERRRTWLSALNDYVTIGSGS >Manes.14G148400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16160427:16184274:1 gene:Manes.14G148400.v8.1 transcript:Manes.14G148400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNYRGQTALMQACQHGHWEVVLILVLFKANIHRSDYLNGGTALHLAALNGHSRCIRLLLADYIPSRIADSWNILKERSNRNGSITELDEGALHEVINRTADGGITALHMAALNGHVETVQLLLDLGASVSEVTVEDGTTIDLIGAGSTPLHYAACGGNAQCCHILIASGASLTAVNANGWTPLMVARSWHRNELEEILSTQLEHQIQMSPSPYLSLPLMSIVKIAREYGWRNDDLLPTCQDPCVVCLERTCTVAAEGCGHEFCTWCALYLCSSFCTSVVAQDPPGSVACPLCRQGIVSFVKLPGTRPMVKVARTSLSLAFCTCTGEEPEPTSMITPLCKPDIGCTRISPLSSSFRSLSCQKFPYMKFNARGCLGIPETRPSLVPSAIDRSLREQLVRCSRPRLGRSTSSNDTERRRTWLSALNDYVTIGSGS >Manes.14G148400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16160553:16184035:1 gene:Manes.14G148400.v8.1 transcript:Manes.14G148400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSIMGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLIESGVDINLRNYRGQTALMQACQHGHWEVVLILVLFKANIHRSDYLNGGTALHLAALNGHSRCIRLLLADYIPSRIADSWNILKERSNRNGSITELDEGALHEVINRTADGGITALHMAALNGHVETVQLLLDLGASVSEVTVEDGTTIDLIGAGSTPLHYAACGGNAQCCHILIASGASLTAVNANGNELEEILSTQLEHQIQMSPSPYLSLPLMSIVKIAREYGWRNDDLLPTCQDPCVVCLERTCTVAAEGCGHEFCTWCALYLCSSFCTSVVAQDPPGSVACPLCRQGIVSFVKLPGTRPMVKVARTSLSLAFCTCTGEEPEPTSMITPLCKPDIGCTRISPLSSSFRSLSCQKFPYMKFNARGCLGIPETRPSLVPSAIDRSLREQLVRCSRPRLGRSTSSNDTERRRTWLSALNDYVTIGSGS >Manes.05G153500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26476818:26480002:1 gene:Manes.05G153500.v8.1 transcript:Manes.05G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGGSVSSRRMWCSFPERLQLHLAMLALQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIALLLLLPFAYFLEKKERPAITLNFLLQFFVLALIGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAALLRIEKVRLDRKDGIAKVIGTICCVAGATVITLYKGPVIYSPAPRLNKPSSSMFVSLGDASGKNWTLGCIYLIGHCLSWSAWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLIIAAIFERDPQAWIFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALAEEFYLGGIIGAVLIIIGLYLVLWGKSEEKKFAAKESPAIQSTPEHANLRSQAHIKTSLSQPLLAPSSENV >Manes.01G084800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28717568:28720197:-1 gene:Manes.01G084800.v8.1 transcript:Manes.01G084800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFMVSLAMDAAFIFILVFSSMFLSTEAFQSNCFTLNCGDGAPDIRFPFWVSGRQPHYCGRPAFELSCKHNTTMIRFPSYGDLVVKSISYGDRKLQLLDPNNCVHQVFLNLNLSHTPFRYYYVVKNYTYLNCSTRLPPSFSEVSCLSGPRHHIYTVKSSLVLPESCRPVKTVAIPFSYSPYLADNSFGLGLSWSSPERDEDCEAKGGQCGLQTGCLGFSIKNLNFGDVSDKMLKILLCILTVILVMSIKIHHSKKVDMQLENENLLEVLRC >Manes.01G065900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26565074:26573603:-1 gene:Manes.01G065900.v8.1 transcript:Manes.01G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPPTHMAGDHSASSLYRVLADRCLNLEASHAKLEEELRELVEEKKKEKKITNDTSEAIVMPDSDKATSDSGWGCVPGFFTAGSPYKKVLDSMGHAVHVCTAASGEIVYWNHSAENLYGWKDYEVLGLSVAELLVDEEYYAPLNKIVGRLGFGQSWSGQFPFKKRSGENFMAMVTKSPLYEDGKLAGIITVSSDAATFNSPNSENRRTYQDRARVPRINLKKIQQHPRQLIAPVPQIASSVSNLASRLIPRKHDNDTCDGPENSSDKEHAATKAKGVKSALPGALAAKVLSKLHIGGIGSTGKEDVSGPQNSLSDTTFSYKSTNEINNSRASEPSGSHHCTSNTDCEGGIPHKINMLYADSKVNSSRNIEASEESCQASIIEYNQCLGSAKPGDPLPRLSCKEDENELEPEPPNLETADTEVKAQRQKDGTSSTVGSPPSRGDRESSSVVDCEIHWEDLQLGEEIGQGSYAVVHRGIWNGSDVAVKVYFGNQYREETLQDYKKEIDIMKRLRHPNVLLFMGAVYSPERLAIVTEFLPRGSLFKTLHKNNQALDIRRRLRMALDVARGMNYLHRRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRWKNATFITAKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMAVSIPWINLNAIQVVGVVGFMDRRLELPEGIDPKVASIIRDCWQSDPEQRPSFGDIIHRMTSIVQRVAPSSVQRAS >Manes.01G065900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26565074:26573603:-1 gene:Manes.01G065900.v8.1 transcript:Manes.01G065900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSEGNFGRNHSAENLYGWKDYEVLGLSVAELLVDEEYYAPLNKIVGRLGFGQSWSGQFPFKKRSGENFMAMVTKSPLYEDGKLAGIITVSSDAATFNSPNSENRRTYQDRARVPRINLKKIQQHPRQLIAPVPQIASSVSNLASRLIPRKHDNDTCDGPENSSDKEHAATKAKGVKSALPGALAAKVLSKLHIGGIGSTGKEDVSGPQNSLSDTTFSYKSTNEINNSRASEPSGSHHCTSNTDCEGGIPHKINMLYADSKVNSSRNIEASEESCQASIIEYNQCLGSAKPGDPLPRLSCKEDENELEPEPPNLETADTEVKAQRQKDGTSSTVGSPPSRGDRESSSVVDCEIHWEDLQLGEEIGQGSYAVVHRGIWNGSDVAVKVYFGNQYREETLQDYKKEIDIMKRLRHPNVLLFMGAVYSPERLAIVTEFLPRGSLFKTLHKNNQALDIRRRLRMALDVARGMNYLHRRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRWKNATFITAKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMAVSIPWINLNAIQVVGVVGFMDRRLELPEGIDPKVASIIRDCWQSDPEQRPSFGDIIHRMTSIVQRVAPSSVQRAS >Manes.01G065900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26565074:26573603:-1 gene:Manes.01G065900.v8.1 transcript:Manes.01G065900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTKSPLYEDGKLAGIITVSSDAATFNSPNSENRRTYQDRARVPRINLKKIQQHPRQLIAPVPQIASSVSNLASRLIPRKHDNDTCDGPENSSDKEHAATKAKGVKSALPGALAAKVLSKLHIGGIGSTGKEDVSGPQNSLSDTTFSYKSTNEINNSRASEPSGSHHCTSNTDCEGGIPHKINMLYADSKVNSSRNIEASEESCQASIIEYNQCLGSAKPGDPLPRLSCKEDENELEPEPPNLETADTEVKAQRQKDGTSSTVGSPPSRGDRESSSVVDCEIHWEDLQLGEEIGQGSYAVVHRGIWNGSDVAVKVYFGNQYREETLQDYKKEIDIMKRLRHPNVLLFMGAVYSPERLAIVTEFLPRGSLFKTLHKNNQALDIRRRLRMALDVARGMNYLHRRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRWKNATFITAKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMAVSIPWINLNAIQVVGVVGFMDRRLELPEGIDPKVASIIRDCWQSDPEQRPSFGDIIHRMTSIVQRVAPSSVQRAS >Manes.01G065900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26565073:26573604:-1 gene:Manes.01G065900.v8.1 transcript:Manes.01G065900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPPTHMAGDHSASSLYRVLADRCLNLEASHAKLEEELRELVEEKKKEKKITNDTSEAIVMPDSDKATSDSGWGCVPGFFTAGSPYKKVLDSMGHAVHVCTAASGEIVYWNHSAENLYGWKDYEVLGLSVAELLVDEEYYAPLNKIVGRLGFGQSWSGQFPFKKRSGENFMAMVTKSPLYEDGKLAGIITVSSDAATFNSPNSENRRTYQDRARVPRINLKKIQQHPRQLIAPVPQIASSVSNLASRLIPRKHDNDTCDGPENSSDKEHAATKAKGVKSALPGALAAKVLSKLHIGGIGSTGKEDVSGPQNSLSDTTFSYKSTNEINNSRASEPSGSHHCTSNTDCEGGIPHKINMLYADSKVNSSRNIEASEESCQASIIEYNQCLGSAKPGDPLPRLSCKEDENELEPEPPNLETADTEVKAQRQKDGTSSTVGSPPSRGDRESSSVVDCEIHWEDLQLGEEIGQGSYAVVHRGIWNGSDVAVKVYFGNQYREETLQDYKKEIDIMKRLRHPNVLLFMGAVYSPERLAIVTEFLPRGSLFKTLHKNNQALDIRRRLRMALDVARGMNYLHRRNPPIVHRDLKSSNLLVDKNWTVKPQWMAPEVLRNEPSNEKSDVFSFGVILWELMAVSIPWINLNAIQVVGVVGFMDRRLELPEGIDPKVASIIRDCWQSDPEQRPSFGDIIHRMTSIVQRVAPSSVQRAS >Manes.18G041400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3654208:3660492:-1 gene:Manes.18G041400.v8.1 transcript:Manes.18G041400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSTAAVLSRRESNLSHRSKAKSTALISPMQAEEPSDFFMNDVELDYISNLPDECLACIFQSLSSGDRKRCSLVCRRWLRIEGQSRHRLSLNAQSDLLPMVPALFSRFDAVTKLALKCDRRSASIGDEALEAISFRCRNLTRLKLRSCRDVTDAGMEAFAKNCRGLKKLSCGSCTFGAKGMNAILDNCASLEELSVKRLRGITDGAAAEPIGPGLAASSLKTICLKELYNGQCFGPLIIGSKNLRTLKLFRCSGDWDKLLQVIADQVTCMIEIHLERLQVSDVGLVAISHCLSLEILHLVKTPECTNVGLVSVAEHCKLLRKLHIDGWKANRIGDDGLVAVGKNCPNLQELVLIGVNPTKISIELLASNCQSLERLALCGSDSVGDAEISCIAAKCVALKKLCIKSCPVSDHGMEALASGCPNLVKVKVKKCRGVTYEGADRLRASRGSLAVNLDSGEAEHLDASASDGGLQENGFEFPPVPSQIPLPPVASSNTGRSTTFKSRLGLLSGRSLVACTLRRWSSGNSSSRS >Manes.18G041400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3654616:3660492:-1 gene:Manes.18G041400.v8.1 transcript:Manes.18G041400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSTAAVLSRRESNLSHRSKAKSTALISPMQAEEPSDFFMNDVELDYISNLPDECLACIFQSLSSGDRKRCSLVCRRWLRIEGQSRHRLSLNAQSDLLPMVPALFSRFDAVTKLALKCDRRSASIGDEALEAISFRCRNLTRLKLRSCRDVTDAGMEAFAKNCRGLKKLSCGSCTFGAKGMNAILDNCASLEELSVKRLRGITDGAAAEPIGPGLAASSLKTICLKELYNGQCFGPLIIGSKNLRTLKLFRCSGDWDKLLQVIADQVTCMIEIHLERLQVSDVGLVAISHCLSLEILHLVKTPECTNVGLVSVAEHCKLLRKLHIDGWKANRIGDDGLVAVGKNCPNLQELVLIGVNPTKISIELLASNCQSLERLALCGSDSVGDAEISCIAAKCVALKKLCIKSCPVSDHGMEALASGCPNLVKVKVKKCRGVTYEGADRLRASRGSLAVNLDSGEAEHLDASASDGGLQENGFEFPPVPSQIPLPPVASSNTGRSTTFKSRLGLLSGRSLVACTLRRWSSGNSSSRS >Manes.18G041400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3654255:3660492:-1 gene:Manes.18G041400.v8.1 transcript:Manes.18G041400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSTAAVLSRRESNLSHRSKAKSTALISPMQAEEPSDFFMNDVELDYISNLPDECLACIFQSLSSGDRKRCSLVCRRWLRIEGQSRHRLSLNAQSDLLPMVPALFSRFDAVTKLALKCDRRSASIGDEALEAISFRCRNLTRLKLRSCRDVTDAGMEAFAKNCRGLKKLSCGSCTFGAKGMNAILDNCASLEELSVKRLRGITDGAAAEPIGPGLAASSLKTICLKELYNGQCFGPLIIGSKNLRTLKLFRCSGDWDKLLQVIADQVTCMIEIHLERLQVSDVGLVAISHCLSLEILHLVKTPECTNVGLVSVAEHCKLLRKLHIDGWKANRIGDDGLVAVGKNCPNLQELVLIGVNPTKISIELLASNCQSLERLALCGSDSVGDAEISCIAAKCVALKKLCIKSCPVSDHGMEALASGCPNLVKVKVKKCRGVTYEGADRLRASRGSLAVNLDSGEAEHLDASASDGGLQENGFEFPPVPSQIPLPPVASSNTGRSTTFKSRLGLLSGRSLVACTLRRWSSGNSSSRS >Manes.18G041400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3654616:3660492:-1 gene:Manes.18G041400.v8.1 transcript:Manes.18G041400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSTAAVLSRRESNLSHRSKAKSTALISPMQAEEPSDFFMNDVELDYISNLPDECLACIFQSLSSGDRKRCSLVCRRWLRIEGQSRHRLSLNAQSDLLPMVPALFSRFDAVTKLALKCDRRSASIGDEALEAISFRCRNLTRLKLRSCRDVTDAGMEAFAKNCRGLKKLSCGSCTFGAKGMNAILDNCASLEELSVKRLRGITDGAAAEPIGPGLAASSLKTICLKELYNGQCFGPLIIGSKNLRTLKLFRCSGDWDKLLQVIADQVTCMIEIHLERLQVSDVGLVAISHCLSLEILHLVKTPECTNVGLVSVAEHCKLLRKLHIDGWKANRIGDDGLVAVGKNCPNLQELVLIGVNPTKISIELLASNCQSLERLALCGSDSVGDAEISCIAAKCVALKKLCIKSCPVSDHGMEALASGCPNLVKVKVKKCRGVTYEGADRLRASRGSLAVNLDSGEAEHLDASASDGGLQENGFEFPPVPSQIPLPPVASSNTGRSTTFKSRLGLLSGRSLVACTLRRWSSGNSSSRS >Manes.18G041400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3654208:3660492:-1 gene:Manes.18G041400.v8.1 transcript:Manes.18G041400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSTAAVLSRRESNLSHRSKAKSTALISPMQAEEPSDFFMNDVELDYISNLPDECLACIFQSLSSGDRKRCSLVCRRWLRIEGQSRHRLSLNAQSDLLPMVPALFSRFDAVTKLALKCDRRSASIGDEALEAISFRCRNLTRLKLRSCRDVTDAGMEAFAKNCRGLKKLSCGSCTFGAKGMNAILDNCASLEELSVKRLRGITDGAAAEPIGPGLAASSLKTICLKELYNGQCFGPLIIGSKNLRTLKLFRCSGDWDKLLQVIADQVTCMIEIHLERLQVSDVGLVAISHCLSLEILHLVKTPECTNVGLVSVAEHCKLLRKLHIDGWKANRIGDDGLVAVGKNCPNLQELVLIGVNPTKISIELLASNCQSLERLALCGSDSVGDAEISCIAAKCVALKKLCIKSCPVSDHGMEALASGCPNLVKVKVKKCRGVTYEGADRLRASRGSLAVNLDSGEAEHLDASASDGGLQENGFEFPPVPSQIPLPPVASSNTGRSTTFKSRLGLLSGRSLVACTLRRWSSGNSSSRS >Manes.11G158100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32402870:32405546:-1 gene:Manes.11G158100.v8.1 transcript:Manes.11G158100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFKKLKLLVAVLIFHLLFATCQSQHSSTTFCGKIPIQSPFLSSNSTVQSPLNRMMLCRSQKLFFRTSLGLLPVSSVDYTTKTLIISQPSCSSSQHFVSPALLSAGFPTSKPNSLLLFNCSNKIHPMTSFKGNCSRLNACAPSSETHRLQIPYSCLLVPDFEKLDKGFHPKDLNCSHYSRVYRSSSSDDYSDEYELGTRISFDIPDHVPDVCNECKKPNGNCGVGLRCICHPKDCRDKVISMAGSFKSFGTNMFFSILSSIVVLVSFMIY >Manes.07G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30915600:30918788:1 gene:Manes.07G103200.v8.1 transcript:Manes.07G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFRGPNDDSVPSQHDILRCPFLRNINEPTNFSFRSSTPFPMPVRKGKGPIFEDGPNFDMAFRLFHGHDGVVPLSGGSFSSSDKEDCQPTLPEFNPLAAKAATISLSSFGPGGPFSFDSFSKKWKNEKRNSKSSKKKSSSKGGQTDHEASSNEWLQTGNCPIAKSYRAVSSVLPLVAKVFQPPPGMKLRCPPAVVAARAAISRTAFAKNLRPQPLPAKILVIGMLGMAANVPLGIWREHTQKFSVSWFTAVHAAVPFIAMLRKSILMPKSAMAFTIAASVLGQVIGSRAERYRLKAVASREMSLAETPISGSSQLQIVSVKGGHCGNLVEHPISLQVARNSSSAGVFC >Manes.07G103200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30916116:30918740:1 gene:Manes.07G103200.v8.1 transcript:Manes.07G103200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFRGPNDDSVPSQHDILRCPFLRNINEPTNFSFRSSTPFPMPVRKGKGPIFEDGPNFDMAFRLFHGHDGVVPLSGGSFSSSDKEDCQPTLPEFNPLAAKAATISLSSFGPGGPFSFDSFSKKWKNEKRNSKSSKKKSSSKGGQTDHEASSNEWLQTGNCPIAKSYRAVSSVLPLVAKVFQPPPGMKLRCPPAVVAARAAISRTAFAKNLRPQPLPAKILVIGMLGMAANVPLGIWREHTQKFSVSWFTAVHAAVPFIAMLRKSILMPKSAMAFTIAASVLGQVIGSRAERYRLKAVASREMSLAETPISGSSQLQIVSVKGGHCGNLVEHPISLQVARNSSSAGVFC >Manes.14G061700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5140700:5142817:-1 gene:Manes.14G061700.v8.1 transcript:Manes.14G061700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCLKQKLRKGLWSPEEDEKLYNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSSLKKKLMKQGIDPTTHKPISDALEVKEEKNCTDKASLQIPQSKTLPILSNSAQEPTFLINDTTYYSNGLTETSRDQFMDKQAYDPLSYFEFSAGVEPSGYNSSVPALQHPNLRPFDQNQFETSSDFAFTSMPSLTSFDHGSMSATDFSDNSASRMSSMFLNEAKESSSNSSNISSYTGYQMNSMVENNAAFSWDGENKIDSVFQFQVNGIKTEELRPSPWQEGQLHPQNSIDFSSYPLTSLSEDLTGANFDVFHQI >Manes.18G095250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8806388:8809687:1 gene:Manes.18G095250.v8.1 transcript:Manes.18G095250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEPFWLKHAASSSLVLPGWHRMSYEFEGGSLISEELKNHIRRLHSVVGNANTDGRFIIFGAGATQLLNAAVHALSSDHDGDAPSSSPSRVVASIPYYPVYREQTEFFKSEAYRFHGDTMSMKNEMDYLSSNYIELVTSPNNPDGQLKKAVLGGASVKTIHDLAYYWPHFTAIPAPADEDLMIFTVSKLTGHAGSRFGWAIVKDEAIYQRMSTYMSLSTYGVPRETQLRVLKLLKAVLEGEGKEMFEFGYKTMANRWRKLRKIFSASRRFSLQDLDHQYCSFSKKIRGPSPAFAWMKCEREEDKECFQVVKSTANVSGRHGSLFGSESRYVRLSLVKSQDDFNLLLERMEALVQQEPHNKKIQQGNERNASMTFGFGHHFLQHPDLVSYINSYKSMDEDM >Manes.18G095250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8805452:8808737:1 gene:Manes.18G095250.v8.1 transcript:Manes.18G095250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTLLLCGSLILNLLLINLFMHGELERSNWTKTAAVEAEAVASISCSGHGRAFLDGLLGVDGKPVCECNSCFKGPDCSDPIPNCEVDADSGDPMFLEPFWLKHAASSSLVLPGWHRMSYEFEGGSLISEELKNHIRRLHSVVGNANTDGRFIIFGAGATQLLNAAVHALSSDHDGDAPSSSPSRVVASIPYYPVYREQTEFFKSEAYRFHGDTMSMKNEMDYLSSNYIELVTSPNNPDGQLKKAVLGGASVKTIHDLAYYWPHFTAIPAPADEDLMIFTVSKLTGHAGSRFGWAIVKDEAIYQRMSTYMSLSTYGVPRETQLRVLKLLKAVLEGEGKEMFEFGYKTMANRWRKLRKIFSASRRFSLQDLDHQYCSFSKKIRGPSPAFAWMKCEREEDKECFQVVKSTANVSGRHGSLFGSESRYVRLSLVKSQDDFNLLLERMEALVQQEPHNKKIQQGNERNASMTFGFGHHFLQHPDLVSYINSYKSMDEDM >Manes.04G075300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27464885:27470280:1 gene:Manes.04G075300.v8.1 transcript:Manes.04G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDIRGLFIMAIISLFISSAIVDGGETKEVSYDGRSLIINGKRELLFSGSIHYPRSTPEMWPELITKAKHGGLNVIQTYVFWNIHEPVKGKYNFEGRFDLVKFIKEVQKQGLYVTLRLGPFIQAEWNHGGLPYWLREVPDIIFRSYNEPFMIHMGKFIRMIMDKMKEEKLFAPQGGPIVLAQIENEYNTVQLAYRELGNKYVQWAGDFALSQGAGIPWVMCKQKDPPGPVINTCNGRHCGDTFSGPNQPNKPSLWTENWTAQFRVFGDPPSQRSAEDIAFSVARWFSKNGSLVNYYMYHGGTNLDRTAASFVTTRYYDEAPLDEYGLEREPKYGHMKNLHRALRLARKPLLWGAPGVEKLGNDQEARFYKRPGTKLCAAFLTNNDTKNGYDVKFNGREFYLPPRSISILPDCKTVVYNTMTVVSQHNARNFTKSKIANKKLKWETISEVIPTQLKTSSKIPLELYRLTKDATDYAWYTTDVVLDPWDLSVRRDILPVLRVASLGHGMLAFVNGHFIGSAHGSQTEKSFVLQRPVELKRGVNTITLLGSLVGLPDSGAYMEHRYAGPRGVRILGLNSGTLDLTSNGWGHEVGTTGERGQWFTEEGSKKATWKQVPKGEGPPISWYKASFDAPEGNEPVAVRMTGMKKGMIWINGQSIGRYWMSYVSPLAQPTQSEYHIPRSYIKPKDNLIVVFEEEHANPEKIEFLLVNRDIICSYITEYDPPHVRSWARKDDRIKTVVDDVRPAAHLKCPNHKKFIKVEFASFGDPYGACGGFVLGNCTSPLSKQIVEERCIGKAYCDIPIERDLFDKNNDACPDIKKTLAIQLLCG >Manes.05G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6299523:6305986:1 gene:Manes.05G077200.v8.1 transcript:Manes.05G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQSFHLFSTVPATTRSSSSFSAIRHRPLLFSGVWLHGAKDKRGNVDIRPRCSAISNSRTQEYPEVFQKNGAPVIKWHEIVEDDIQEKVSKVSISTEIIKRIQSIKSMLDSMEDGEISISAYDTAWVALVEDVNGSGAPQFPSSLEWIANNQLSDGSWGDAEIFTAHDRILNTLACVIALKTWNIHPDKCEKGMKYFKENLCKLEDENAEHMPIGFEVAFPSLIELARKLDIEVPEDSPVLQEIYASRNLKLKKIPKDIMHKVPTTLLHSLEGMLGLDWEKLLKLQSQDGSFLFSPSSTAYALMQTKDENCLSYLNKIVQRFKGGVPNVYPVDLFEHIWAVDRLQRLGISRYFKEELKESINYVARYWREDGICWARNSEVHDIDDTAMGFRMLRLYGHEVSADVFKHFKKGDTFFCFAGQSTQAVTGMFNLYRASQVMFPGEKILEEAKEFSSSFLKEKQAANEVLDKWIITKDLPGEVEYSLDVPWYANLPRVESRFYIEQYGGEDDVWIGKTLYRMPYVNNNEYLQLARLDYNSCQALHRIEWDNFQKWYEECSLGDFGVSKRELLFCYFLAAASIFEPERSKERLAWAKTIVLLETIDSYFDENNSSIEQRRAFVQEFKNGAEARGPVNGRTMEAKTRQELVRIVLGTLNDVSLDALVAHGRDISHSLRHAWQNWLLKWEEEGDRHQGEAELIVKTINLAAGRWISEELLSCHSQYEKLFQLTNRICYQLGHYRKNKVNDNKRSTTTEIETEMQELMQQVIQNSSDGMDSNIKETFFTVAKSFYYTAICDPGTINYHISKVLFERVY >Manes.05G077200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6299523:6305986:1 gene:Manes.05G077200.v8.1 transcript:Manes.05G077200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAQSFHLFSTVPATTRSSSSFSAIRHRPLLFSGVWLHGAKDKRGNVDIRPRCSAISNSRTQEYPEVFQKNGAPVIKWHEIVEDDIQEKVSKVSISTEIIKRIQSIKSMLDSMEDGEISISAYDTAWVALVEDVNGSGAPQFPSSLEWIANNQLSDGSWGDAEIFTAHDRILNTLACVIALKTWNIHPDKCEKGMKYFKENLCKLEDENAEHMPIGFEVAFPSLIELARKLDIEVPEDSPVLQEIYASRNLKLKKIPKDIMHKVPTTLLHSLEGMLGLDWEKLLKLQSQDGSFLFSPSSTAYALMQTKDENCLSYLNKIVQRFKGGVPNVYPVDLFEHIWAVDRLQRLGISRYFKEELKESINYVARYWREDGICWARNSEVHDIDDTAMGFRMLRLYGHEVSADVFKHFKKGDTFFCFAGQSTQAVTGMFNLYRASQVMFPGEKILEEAKEFSSSFLKEKQAANEVLDKWIITKDLPGEVEYSLDVPWYANLPRVESRFYIEQYGGEDDVWIGKTLYRMPYVNNNEYLQLARLDYNSCQALHRIEWDNFQKWYEECSLGDFGVSKRELLFCYFLAAASIFEPERSKERLAWAKTIVLLETIDSYFDENNSSIEQRRAFVQEFKNGAEARGPVNGSGKIGYSSGKRKETGIKEKQN >Manes.08G004100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:666012:669821:1 gene:Manes.08G004100.v8.1 transcript:Manes.08G004100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTEVKHKWARCFLPWLLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.08G004100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:665922:670379:1 gene:Manes.08G004100.v8.1 transcript:Manes.08G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTEVKHKWARCFLPWLLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.08G004100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:666012:669821:1 gene:Manes.08G004100.v8.1 transcript:Manes.08G004100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTEVKHKWARCFLPWLLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.08G004100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:666181:666852:1 gene:Manes.08G004100.v8.1 transcript:Manes.08G004100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTEVKHKWARCFLPWLLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.05G195800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31984476:31986768:1 gene:Manes.05G195800.v8.1 transcript:Manes.05G195800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYDKSMVSGHGNVIFLSTILGRDGPIPAHKCDWKCKNEHVCGNMYLCKLTGLTHICDQNCNQRILYDNHSSLCRASGQIFPLSPAEEQAIRGVRRKIDADNSTSESCAFKRRRDAQIHPFAFERSFSTVSPICSQVGDGMDMN >Manes.12G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1705861:1711246:-1 gene:Manes.12G019200.v8.1 transcript:Manes.12G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILKEQEQKTRLRGLDAQKANISAGRAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIAVENLERIANKFDFGVTNLEPLIQTCMTTLSSKIVNRCKHSLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTTEKLGKAGLVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRAFADALDSIPMALAENSGLQPIETLSAVKSQQIKENNPHYGIDCNDVGTNDMCEQNVFEALIGKQQQILLATQVVKMILKIDDVISPSDF >Manes.11G122700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28370121:28373671:-1 gene:Manes.11G122700.v8.1 transcript:Manes.11G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAERSECEWIERIKADGAVPLLEPENCPNGWASPPGDKFMVRGPDYLTSKVKIPAEECLLKPLGFDWIKGSTKIGEILKNPNSRVRKTIDDEFPTGDKPFVWAFNLQVPSKDNYSAVVYFVATEPITEGSLVDRFLRGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAVCIIGRALTCRYCVAENFFEVDVDIGSSVVASAIVHLAFGYITMLTVDLAFVIEGQTESELPERLLGAVRFSDLNPASAHSFEPSSDETAGSLHSSLPTRLWKSIGQGFSQFLHPGAQEDGSTNGSAHVNGTSNHEECPEDVKK >Manes.11G122700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28370471:28373525:-1 gene:Manes.11G122700.v8.1 transcript:Manes.11G122700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAERSECEWIERIKADGAVPLLEPENCPNGWASPPGDKFMVRGPDYLTSKVKIPAEECLLKPLGFDWIKGSTKIGEILKNPNSRVRKTIDDEFPTGDKPFVWAFNLQVPSKDNYSAVVYFVATEPITEGSLVDRFLRGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAVCIIGRALTCRYCVAENFFEVDVDIGSSVVASAIVHLAFGYITMLTVDLAFVIEGQTESELPERLLGAVRFSDLNPASAHSFEPSSDETAGSLHSSLPTRLWKSIGQGFSQFLHPGAQEDGSTNGSAHVNGTSNHEECPEDVKKCKKSLIGVAKRLIFIASAALSFD >Manes.02G226801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36552016:36552391:-1 gene:Manes.02G226801.v8.1 transcript:Manes.02G226801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKESISKGGEDIGYKCSNVKKAKLQSTLAALLDDPILADVPRKPTLTDVDILTSLEFGSAIRISILKLDGTSFDVAMMNSTTIKDLKLAI >Manes.14G035000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3105043:3108009:-1 gene:Manes.14G035000.v8.1 transcript:Manes.14G035000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKTGRPSGTDGSDFSYRMVVDSRYTKVAKGKSRLYALILAQAAIQLIGLLYIVLSISKETSLNTLAISSPIIGLISLLIGELGRRRSRVSFLRVYIIMSSIAILISLTFAITSNSSLQVIWTLSNLERKKFEFIETILLVLGLIVHIVTVGTVISLIGQMMCFSFWPPAACLCWMTSQETLGFWYSSLCHSLLLVFWLSWSWIPLHESCDSFLGCFGG >Manes.14G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3104326:3108113:-1 gene:Manes.14G035000.v8.1 transcript:Manes.14G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRKTGRPSGTDGSDFSYRMVVDSRYTKVAKGKSRLYALILAQAAIQLIGLLYIVLSISKETSLNTLAISSPIIGLISLLIGELGRRRSRVSFLRVYIIMSSIAILISLTFAITSNSSLQVIWTLSNLERKKFEFIETILLVLGLIVHIVTVGTVISLIGNMSPPKKAC >Manes.11G040617.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4401052:4405142:1 gene:Manes.11G040617.v8.1 transcript:Manes.11G040617.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITRRKPIHNGTDIVIWANHLIKKALEGRYTNFVDSNLQSFDHEQMYQMVSCINSCINQPLNSRPTMEKIRLVLEGKSPPEELYDHELQWSIIHRDDESSSYFEEIDQIEESGPLHEKNYKGIRPKPNSFSELAVANYKGGLKPYSFSELAKATDQFSLQRQFGQGGFGQAFMASLDGKIRVVKKLNNFPDVQSEGDFERVIMVLNGVRHKNLVKLVGYCIEGANRLLISKFFPNMSLRYQLYRKGNALDWKKRMNIAIGSARGLEYLHEYSKLKIIHLFIKSDNILLDNDFNPKISDFERSLFFRDATTHVFRSSTLGTHIYADPLSTKLEKYSDKSDVYSFGVILLELITGRKLKMDENIDIVTWAQPLIEKALEGEYMDFVDPRLQLFDDQEMHRMIFCSNACIN >Manes.08G127700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36866277:36868686:-1 gene:Manes.08G127700.v8.1 transcript:Manes.08G127700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKVAEVKEGTISLASAFAGHQEAVQDRDHKFLTKAVEEAYKGVECGHGGPFGAVVVRNDEIVVSCHNMVLQSNDPTAHAEVTAVREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADAIRGTGYYQKAHLEIKKADGSGAAIAEQVFEKTKSKFTMY >Manes.08G127700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36865527:36868581:-1 gene:Manes.08G127700.v8.1 transcript:Manes.08G127700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKVAEVKEGTISLASAFAGHQEAVQDRDHKFLTKAVEEAYKGVECGHGGPFGAVVVRNDEIVVSCHNMVLQSNDPTAHAEVTAVREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADAIRGTGYYQKAHLEIKKADGSGAAIAEQVFQRDQLEEKKPTSVQDYYRIHR >Manes.03G189800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30935074:30936770:-1 gene:Manes.03G189800.v8.1 transcript:Manes.03G189800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRDSMLLIILSLICSGAFTVCNAVGPRTNGGLKQNFYRTSCPQAELIVSRIMRNRAQSNSALSAKLLRMHFHDCFVRGCDASILLDAVGDTFAEKDTIPNHSLSGYDVIDEIKTELENVCPGVVSCADILALAARDAVSLQFNRPLWAVPTGRRDGNVSLASDIEANLPSPFSDFATLLQLFTNKGLDVNDLVVLSGGHTLGVAHCATFSNRLYNFNGTDGSDPSLDPQYAEFLKTQCPNPFDPATIVEIDPQSSLKFDSNFFNILLQNKGLFQSDAALLQNEQSARIVKQLKISNAFFAKFPISMNKMGAMQVLTGEDGEIRKNCHVINP >Manes.03G189800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30935130:30936850:-1 gene:Manes.03G189800.v8.1 transcript:Manes.03G189800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRDSMLLIILSLICSGAFTVCNAVGPRTNGGLKQNFYRTSCPQAELIVSRIMRNRAQSNSALSAKLLRMHFHDCFVRGCDASILLDAVGDTFAEKDTIPNHSLSGYDVIDEIKTELENVCPGVVSCADILALAARDAVSLQFNRPLWAVPTGRRDGNVSLASDIEANLPSPFSDFATLLQLFTNKGLDVNDLVVLSGGHTLGVAHCATFSNRLYNFNGTDGSDPSLDPQYAEFLKTQCPNPFDPATIVEIDPQSSLKFDSNFFNILLQNKGLFQSDAALLQNEQSARIVKQLKISNAFFAKFPISMNKMGAMQVLTGEDGEIRKNCHVINP >Manes.08G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38645258:38648989:1 gene:Manes.08G144700.v8.1 transcript:Manes.08G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMAMPCKFPIFTRVNVNKTRLSHVLLPFCHSSFSLSHRRPLSMASATQPSTQTVSPGDLNTDANVFQLIQTHQEKAARLPPVEEIRTKVEGYPSGLVVDFTCDIDGSPMLAVSSLATHAQDLLVNSKCSLLVARDLEDRTDLVSEKDITTIRSAFLTKHPNAFWVNFGDFQFIRIEPNVVRYVLGVATALLGSGFSKEEYQAAKIDPIARFSKPVASHMNRDHAEDTRLIVQHSTSLAVDSAHILDIDSLGFNVKAVYQGNAYKLRIPFSRRAEERKDVKTLIVEMLQAAKSQLSS >Manes.11G016700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1730254:1732327:-1 gene:Manes.11G016700.v8.1 transcript:Manes.11G016700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQKSSGNGKSVPEKSNFAQTCNLLSQYMKERVSFGDLSLGINGKPESKGPEASRPPATTLNLLTNIENPAETSRQNSVPSANIKPKEFFPQFVASASPNPTEDDSTVNKPADLRKSSKADPGTAQLTIFYAGQVIVYEDFAADKAKEIMALASKGSSSSKNGCTVSTSAVDKSNNFTDPSNNNAPRGIQLHPQANGSDLPIARRASLHRFLEKRKDRVASKAPYQVNNPSSPARPTPDDESNPFIIDLEAQSSKQFDLNL >Manes.08G166100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049923:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049894:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVAGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049858:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049858:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVAGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049923:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049884:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVAGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049858:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVAGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049894:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049890:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVAGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049884:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049923:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVAGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049836:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.08G166100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40049836:40054497:1 gene:Manes.08G166100.v8.1 transcript:Manes.08G166100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDARCQSEKEKMMATCLVVAVGVSLLGLYLKGDDLSHWRRMRRRRKKKKIRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPMHERMIMVKAIKWVDEVIPDAPYAITEGFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSIIDSHNHSSLQRQFSHGHSPKIEDGGPGTGASTRVSHFLPTSRRIVQFSNGKGPGPQARVVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDHTVSAKRGAHHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENVEYEKERCNPYAVPISMGIFKVLESPLDITTTTIIRRIVANHEAYQKRNETKGESEKRYYEDKTYVSGD >Manes.02G188000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15059918:15065862:1 gene:Manes.02G188000.v8.1 transcript:Manes.02G188000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPSSIHDEDDHDDGEVFLDDADIIHEVTVDDEDLPDADDDGGSDDEIGEGPDESMHIFTGHTGELYTVACSPTDPTLVATGGQDDKGFLWKIGLGDWASELQGHTDSVSSLAFSVDGQLLASGGMDGVVQIWDASSGNFKYKFENPGGEIEWVRWHPRGHLILAGSEDSNAWMWNADKGVFLNMFSGHGSRVTCGDFTPDGKTICTGSDDATLRIWNPRSGENVHVVRGHPYHSAGLTCLALSSDSTLAITGSQDNSVHVVNIITGKVVSSLVSHSDSVECVGFAPSSPWAATGSLDHKLIIWDLQHSSPRSICDHEDGVACLTWLGTSKYLATGCLDGKIRVWDSLSGDCIRTFSGHAGAIQSLSASANLDFLVSASIDGTARVFEISEFR >Manes.10G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1545853:1547885:-1 gene:Manes.10G015700.v8.1 transcript:Manes.10G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEDVVIIGAGIAGLATAVAFKRVGVRSLILEKSQTLRSTGAALSLFPNAWLALDALGVSDKLTSIYPPFSKGTVTDVATGAVQEINFYTGKGGKAHGPRSVHRKILLEALAEELPADSIRFSSKFTAIEQQELGGTSIVVLYLEDGTTIKSKVLVGCDGVNSVVAKWLGLSAPVHSGRAAVRGLAVFPQGHGFKQEVTQFADVGKRAGFVPLTHKELYWFLTCLEGENMARDPLLIWKQVIEKYAENFPQEYLDVVRHADLSNLTWAPLMFRHPWNVIFGNLSKGNITVAGDAMHPMTSDLAQGGCSALEDAVVLGRHIGNSFIKNGGVLVPEDMARAIDGYVKERKWRAAMLITGSYLSGWVQQVGDSQWWKKFLRNIFYAFVMPRLSNVAGYDCGTLPSVFAAIDLQHSSDKSD >Manes.17G017016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9299433:9315882:-1 gene:Manes.17G017016.v8.1 transcript:Manes.17G017016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFAACSPISLLGSFSSISRVSSPIRLNSHFHNSHCKFQIKCSYADANVNVDYGSSTIDVVADVKTERVVVLGGNGFVGSAICKAAVSKGIEVISLNRSGRPTYPGSWVDQVTWISGDVFYANWDEVLVGATAVVSTLGGVGSEEQMLRINGEANITAVNAAKNFGIPKFILISVHDYNLPSFLLSAAYFTGKRKAESEVLSKYPNSGVVLRPGFIYGRRRVDGFEIPLDLIGVPLERILRATENINKVFSSLPASDLLFAPPVSVDDVALAVINAVTDDDFFGIFTIEQIKEAAQKVKVLN >Manes.12G028400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2470590:2475226:1 gene:Manes.12G028400.v8.1 transcript:Manes.12G028400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSYQEAVAGLSKLLSERADLGSVAAAKIKQITAELEAASTAAFNPDEKIKAGFIHFKTEKYDKDPTLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFVVRNIANMVAPYDKKKHSGVGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTSSEFIENWVQICSTAKAKVKSDCSNLSFEEQCHNCEKEAVNVSLGNLLTYPFVREGLLKETLAVKGAHYDFVKGNFALWDLDFKITPLVAV >Manes.12G028400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2470465:2475226:1 gene:Manes.12G028400.v8.1 transcript:Manes.12G028400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSYQEAVAGLSKLLSKDPTLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFVVRNIANMVAPYDKKKHSGVGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTSSEFIENWVQICSTAKAKVKSDCSNLSFEEQCHNCEKEAVNVSLGNLLTYPFVREGLLKETLAVKGAHYDFVKGNFALWDLDFKITPLVAV >Manes.12G028400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2470465:2475226:1 gene:Manes.12G028400.v8.1 transcript:Manes.12G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSYQEAVAGLSKLLSERADLGSVAAAKIKQITAELEAASTAAFNPDEKIKAGFIHFKTEKYDKDPTLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFVVRNIANMVAPYDKKKHSGVGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGTTSSEFIENWVQICSTAKAKVKSDCSNLSFEEQCHNCEKEAVNVSLGNLLTYPFVREGLLKETLAVKGAHYDFVKGNFALWDLDFKITPLVAV >Manes.02G066900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5101618:5104415:1 gene:Manes.02G066900.v8.1 transcript:Manes.02G066900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPVFCKIPYKEQAIPPPQNSARQHERGLTCLCYAFPLSCSARKRWQVLCLYPSADISMKTKTRGEGAILIQGALHFHNTIEGLALHKVWNLKGEDYVASHLSKTSTNQKQLRLLDSYFGKLQGNATQQSSSSPEKTMGLLDKSRQINTKEELKTLDSYLGKLNKDAISRKDVSSTFDGQTIEENPAENHENYVRGDEEKPKSFIKLSQRDVNSDSGRSQVLHQNDETSDLYLISILASINIAVVLFEIASPVRNSEFELFSIPLIYGAKINDLILVGEWWRLLTPMFLHSGVFHVALGCWSLLTFGPQVCRGYGSFTFFLIYLLGGISGNLTSFLHTPQPTVGGTGPIFSIIGAWLVYQVQNKGVIDKDVSESMFQKAIITTGLSFILSHFGPIDDWTHLGAALTGIAYGFLICPTLQLDNASSGSGQDEGIALLRRSANPCKSLVLFAVFILCLGSLLFFMEPPLDSEVPDELLWF >Manes.16G006400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:673727:675061:1 gene:Manes.16G006400.v8.1 transcript:Manes.16G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEAPSWADQWGAGGIGAMAEEDTSSKKDTSNNKKSDAKSGLNKAKAAAMMGAQRIKSGASNSFKWVKNKCQKKGSPK >Manes.13G079500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13407173:13425575:-1 gene:Manes.13G079500.v8.1 transcript:Manes.13G079500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKAKGVVYKPVEEVDLGPDSQELYVRANVKAPRMAGFLVKVFAWFLESRIFGPFLLYILIRNNLVHKLVSNAELEESPVFVPLHQFEELNEPEVKLIDSDLSPPQRVQQAIECLPLSSPTPLDASTHSFRRWTIMDYSRAYTSGKTTPYAVAKRLIAAIRRSSTPPMDMAFFINYDVEDILRQATESTQRHQRGEPISCLDGVPVAIKDEIDCTPYPTTGGTKWLHKFRPCKADAYCVMCLRACGAILIGKTNMHELGAGTSGINPHYGATRNPYDAGKISGGSSSGSAAVVASGLCPVSLGVDGGGSVRMPAALCGVVGFKPTFGRIPHAGVLPLNWTVGMVGVLAGTIEDAFIVYAALNAQFPLNESSNTQPPKLYFPLLSASSPISNIKLARYGEWFNDCSDDIRVCCSHALDLLNHKYGWKTIEVTIPEIEAMRLAHYVTIGTESSTSLSSYLEKLNFSQLGWDARVALSVYGSFNATEYVKAQKLRNRVMQFHKKIFTKADVIVTPTVGVTAYPIFNDAMETGELDYINGAALVRYQIAGNFLGLPTVTVPVGYDKKGLPIGLQFIGKPWSEPTLIHMAFAMQALCISDYKKPQIFYDLLKED >Manes.13G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13407912:13425575:-1 gene:Manes.13G079500.v8.1 transcript:Manes.13G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKAKGVVYKPVEEVDLGPDSQELYVRANVKAPRMAGFLVKVFAWFLESRIFGPFLLYILIRNNLVHKLVSNAELEESPVFVPLHQFEELNEPEVKLIDSDLSPPQRVQQAIECLPLSSPTPLDASTHSFRRWTIMDYSRAYTSGKTTPYAVAKRLIAAIRRSSTPPMDMAFFINYDVEDILRQATESTQRHQRGEPISCLDGVPVAIKDEIDCTPYPTTGGTKWLHKFRPCKADAYCVMCLRACGAILIGKTNMHELGAGTSGINPHYGATRNPYDAGKISGGSSSGSAAVVASGLCPVSLGVDGGGSVRMPAALCGVVGFKPTFGRIPHAGVLPLNWTVGMVGVLAGTIEDAFIVYAALNAQFPLNESSNTQPPKLYFPLLSASSPISNIKLARYGETIEVTIPEIEAMRLAHYVTIGTESSTSLSSYLEKLNFSQLGWDARVALSVYGSFNATEYVKAQKLRNRVMQFHKKIFTKADVIVTPTVGVTAYPIFNDAMETGELDYINGAALVRYQIAGNFLGLPTVTVPVGYDKKGLPIGLQFIGKPWSEPTLIHMAFAMQVDISHYISSMV >Manes.01G128050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29810970:29812704:-1 gene:Manes.01G128050.v8.1 transcript:Manes.01G128050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSHVLLLPFPAQGHVNPLMHFARRIANLGSKVTFVNTDFNLRRVLSAMGGRSDPTGSCVNLVSIPDGMDPEDDRTDIGKLCEAMLITMPKKLQDLIEDINKNHPITCIVVDGCMGWAREVADKLGIQVAMFWPASAAIFGQLASIPNLIRDGCIDSDGYSIKKQMIQISPSGPIFDTDNLAWKIGNAYSQKGFFKYIERVMEDSQLIEWQLCNSIHELEAEVFSFAPKLLPIGPLLAGYDTGNSGAQFWPEDSSCLKWLDQQPSQSVIYVAFGSFTIFDQSQLQELALGLKLTNKPFLWVVRPGTSTQESNLNEFEDSHGKIISWAPQQKVLSHPAIACFVSHCGWNSTIEGVSNGVPFLCWPYFADQFFNKSYICDVWKIGLGLEKDEKGIITKEEFKQKVELLLGDKIIRKKALELKQIAENNIGEGGQSSTNFSNFIKWVDA >Manes.01G128050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29811104:29839024:-1 gene:Manes.01G128050.v8.1 transcript:Manes.01G128050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSHVLVLTFPAQGHVNPFMHFARRIANLGSKVTFVNTDFNLRRVLSAMAGRSDPTGSCVNLVSIPDGMDPEDDRTDLGKLCEAMVITMPKKIQDLIEDINKNHPITCIVVDGCMGWAREVADKLGIRVAMFWPASAATFRQLASIPNLIRDGYIDSDGYSIKKQMIQISPSGPIFDTDNLAWKIGNAYSQKGFFKYIERVMEDSQLIEWQLCNSIHELEAEVFSFAPKLLPIGPLLAGYDTGNSGAQFWPEDSSCLKWLDQQPSQSVIYVAFGSFTIFDQSQLQELALGLKLTNKPFLWVVRPGTSTQESNLNEFEDSHGKIISWAPQQKVLSHPAIACFVSHCGWNSTIEGVSNGVPFLCWPYFADQFFNKSYICDVWKIGLGLEKDEKGIITKEEFKQKVELLLGDKIIRKKALELKQIAENNIGEGGQSSTNFSNFIKWVDA >Manes.14G164100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25911771:25912705:1 gene:Manes.14G164100.v8.1 transcript:Manes.14G164100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRAKWLQVSIMMNKDRDNSRAARKDLGVDLGGVGVGVGAGVGLGLGGSGSGSGAGAGSGSGSSSESSSYSSSRSSSSSRGSGSGSEAGSSAGSYAGSRAGSGSGGDQRVDSGSGSGHGEGYGEGSGRGSGSGNGKGYGEGRGHGSGYGSGSGN >Manes.15G179900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22473453:22488665:1 gene:Manes.15G179900.v8.1 transcript:Manes.15G179900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSLISSYLHRFIHEDFHSLVGSMTIIDTFLFLIIHSIDRLGIWHKLPVFLGLIYLAIRRHLHQQYNLINVGVTPASVRFNPADYPYRTDDGKYNDPFNENAGSQGTFFGRNMLPIDQKLKILKPEPAVVVTKLLARRKLIDTGKQLNIIAASWVQFMIHDWVDHLEDTKQIELIAPKQVARQCPLHSFKFFKTKEARTGSYEVKTGTLNIRTSWWDGSAIYGSNAKTLQQVRTFIDGKLKISEDGLLIHDHNGIALSGDVRNSWIGVSTLQALFIKEHNAICDALKENPHLGDEQLYRYARLVTSAVIAKIHTIDWTVELLKTHTLLAAMRANWYGLLGKKFKDKFGHVGGAILGGLVGLKKPENYGVPYSLTEEFVGVYRMHSLLPDHLAIRDITLEPGPNKSPPLIQEIPMENLIGINGESVLAAIGFTRQLVSMGHQSCGALELWNYPTWLRDLVVQDVDGQERIPHVDLPVLEVFRDRERNIARYNQFRRGLMMIPISKWEDLTDDEEAIQVLKEVYGDDVEELDLQVGLMAEKKIKGFAISETAFFTFILMASRRLEADRFFTSNFNEETYTKKGLEWVNTTESLKDVIDRHYPEITNKWMNSTSAFTVWDSPPESQSLVPLYHRVRRSA >Manes.15G179900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22473453:22488664:1 gene:Manes.15G179900.v8.1 transcript:Manes.15G179900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSLISSYLHRFIHEDFHSLVGSMTIIDTFLFLIIHSIDRLGIWHKLPVFLGLIYLAIRRHLHQQYNLINVGVTPASVRFNPADYPYRTDDGKYNDPFNENAGSQGTFFGRNMLPIDQKLKILKPEPAVVVTKLLARRKLIDTGKQLNIIAASWVQFMIHDWVDHLEDTKQIELIAPKQVARQCPLHSFKFFKTKEARTGSYEVKTGTLNIRTSWWDGSAIYGSNAKTLQQVRTFIDGKLKISEDGLLIHDHNGIALSGDVRNSWIGVSTLQALFIKEHNAICDALKKENPHLGDEQLYRYARLVTSAVIAKIHTIDWTVELLKTHTLLAAMRANWYGLLGKKFKDKFGHVGGAILGGLVGLKKPENYGVPYSLTEEFVGVYRMHSLLPDHLAIRDITLEPGPNKSPPLIQEIPMENLIGINGESVLAAIGFTRQLVSMGHQSCGALELWNYPTWLRDLVVQDVDGQERIPHVDLPVLEVFRDRERNIARYNQFRRGLMMIPISKWEDLTDDEEAIQVLKEVYGDDVEELDLQVGLMAEKKIKGFAISETAFFTFILMASRRLEADRFFTSNFNEETYTKKGLEWVNTTESLKDVIDRHYPEITNKWMNSTSAFTVWDSPPESQSLVPLYHRVRRSA >Manes.04G035400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4610746:4610874:-1 gene:Manes.04G035400.v8.1 transcript:Manes.04G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLISSYSAVVTVVIFQNLRVFGPGLNPFAPYCIANHSFASR >Manes.12G067600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6983747:6984770:1 gene:Manes.12G067600.v8.1 transcript:Manes.12G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVVIKVSMNSPKSRTKALKIAVSVSGVESIALGGKDKSQIEVVGEVDSVELTNLLRKNVGHAELVSVSAAGEKKGEKKEEKKEEKKDEAKAQQLAWPCVGGAPYQCIYELKQPVGLYQEPCYCSIM >Manes.17G064000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26421646:26423388:1 gene:Manes.17G064000.v8.1 transcript:Manes.17G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKGYSIRKLEDNAMGPELSQLGSSLSVPCVQELAKEPLTKVPSRYLRPDEDCPIISDASPLPQIPAVDMQKLISQEFMDSELDKLHLACKNWGFFQLVNHGVSTSLVEKVKVEIQEFFNLAMEEKKKYWQKPGDMEGFGQAFVVSEEQKLDWGDIFYLITLPHNLRKPHLFPKLPLPFRETLETYSEEMENLAVKILNLIGKAAGMEAEEMRKIFGVGCQKMRMNYYPPCPQPELVMGLNSHTDAIGLTILLQVNDVEGLQIKKDGKWVPVKPQPDAFIINVGDILEIVTNGIYKSTEHRATVNSVKERISIATFYSPSLDGEMGPAASLVTPERPAAFKRIGVADFFRGLFSRALVGKSYLDVVRIQNEATKNN >Manes.18G039300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3492905:3494797:1 gene:Manes.18G039300.v8.1 transcript:Manes.18G039300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMSFFDLVLHHALPWLLLLVLESASAVSESEQLQTYIIHMDHSHKPTSFLTHESWHRHTLKSLSYPFDEKEMLLYSYNHVIHGFSARLTLSQLYEIEKSPAHLATYQESFGKLFTTYSPKFLGLTQKDGLWRSASYGENVIIGILDTGIWPESESFNDKGIPSVPQRWKGLCENGTAFSTSSCNRKLIGARSFSKGLKAAGRSISTEIDYDSPRDFYGHGTHTSSTAAGNHMPGASHFGYARGTAAGVAPRAHVAMYKVLFATDSEESAASDVLAGMDQAITDGVDIMSLSLGFTQKPYFNDVIALASLSAIEKGILVVCAAGNDGGHNTTYNGAPWIITVGAGTLDRSFTGTMTLENGLTVEGTTYFPESIYITDAYLYYGNGNRSKAICYYGALNKSEVHGKIVLCDNTTETDVEGQKEELQRVGAYAGIFMTDMPLLDPSDYTIPCLVLPTAAGALVKEYATGVTMPKVKRMKFISTNLGTKPAPQVAYFSSRGPDPISPGVLKPDILAPGVDVLAAITPNNPYMEIGRYNLVTDYALLSGTSMAAPHVAGVAALLKNVHQEWSPAAIRSAMMTTTYITEHKFNLEGPVDRSSSHTSRFWGWAYQSKQSQGSWTNLRHERARLH >Manes.03G011500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:974751:975932:-1 gene:Manes.03G011500.v8.1 transcript:Manes.03G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWSEHEEQTLLTKYSDLLTSGTLSKLKTREKKFRPIADHVNSVHHLQDPVSFPFKWSWRDVSIKVQNMRHQYLGVKQKIRISKDEFNWKDGENHWENFLKYKEVFGDVELEVKSKKSNDSCSNDLFKDCGDLGFGIDSEDLEEEEGEEDGEEEGDNEDDDNVNGPGEEEGEFGGDKGNEEMGIPRKGKVKKGLGGNRRLGLLGAQVVDLRDVMMRRESKRREREFNKEKCMLETEEKRRELELRRETRRNEREERIENWEMEFEERELMWARRGLERQARVERELEEERKKRRIMEEKREEEEMEWRERMVAMQIEHEKAMMQIHADAFQNQMQILGLMARLMCQFFGANDGLGGGLGGLPPQVLQNLQHPGGLGDNVKPDTNSPSEFM >Manes.10G127300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29105255:29106345:1 gene:Manes.10G127300.v8.1 transcript:Manes.10G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMLLPFLFSFFSLLLCFPETSNASLLPFVDRPGSLLTDPWSDRFPDPFRVLEQIPFGIERDDSVELSPARVDWKETPEGHLIMIDVPGLKKEELKIEVEENRVLRVSGERKKEEEKKGDHWHRVERSYGKFWRQFRLPDNVDMDSVKAKLENGVLTLSFSKLSPDKIKGPRVVSIAGEEKPEPAKLKNSEAKQEL >Manes.08G038500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3773633:3785993:-1 gene:Manes.08G038500.v8.1 transcript:Manes.08G038500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQEDEEMLVPHSDLVEGPQPMEVAQVESASTVENQPVEDPPSMKFSWTIQNFSRLNTKKHYSDVFIVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVVNQIHNKYSIKKDTQHQFNGRESDWGFTSFMPLSDLYDPSRGYLVNDIVVVEAEVAVRKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIRECDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGRDIYFDLVDHDKVRNFRIQKQTPFSHFKEEVTKEFGVPVQFQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHTAELKLFLEVELGQDLRPIAPPDKTKEDILLFFKLYDPEKGELRYVGRLFVKSSGKPMEILAKLNQMAGFAPDEEIELYEEIKFDPCVMCEHLDKRTSFRLSQIEDGDIICFQKSPPLEGEEDCRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVAQQIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINELKTKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKETAQNQMQVQNFGEPFFLVIHEGETLAEVKARIQKKLQVPDEDFSKWKFAFLSLGRPEYLQDSDIVFTRFQRRDVYGAWEQYLGLEHSDNTPKRSYAVNQNRHTFEKPVKIYN >Manes.08G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3773633:3785993:-1 gene:Manes.08G038500.v8.1 transcript:Manes.08G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLDQEDEEMLVPHSDLVEGPQPMEVVAQVESASTVENQPVEDPPSMKFSWTIQNFSRLNTKKHYSDVFIVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVVNQIHNKYSIKKDTQHQFNGRESDWGFTSFMPLSDLYDPSRGYLVNDIVVVEAEVAVRKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIRECDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGRDIYFDLVDHDKVRNFRIQKQTPFSHFKEEVTKEFGVPVQFQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHTAELKLFLEVELGQDLRPIAPPDKTKEDILLFFKLYDPEKGELRYVGRLFVKSSGKPMEILAKLNQMAGFAPDEEIELYEEIKFDPCVMCEHLDKRTSFRLSQIEDGDIICFQKSPPLEGEEDCRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVAQQIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINELKTKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKETAQNQMQVQNFGEPFFLVIHEGETLAEVKARIQKKLQVPDEDFSKWKFAFLSLGRPEYLQDSDIVFTRFQRRDVYGAWEQYLGLEHSDNTPKRSYAVNQNRHTFEKPVKIYN >Manes.13G075956.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18260414:18262406:-1 gene:Manes.13G075956.v8.1 transcript:Manes.13G075956.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGINQVNNNFYMILSNNELEPSISNKIINSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNRMRLENGVFMERSFVLIRTVKSFNSVLNVIIQAGLYNRAMEFYSYVVVTKHMNISPNVLSFNLIIKAICKLGLVDDAIKAYREMPIGKCVPDAYTYYTLMDGLCKEDRIDEVVSLLDEMQIEGCFPSPVMFNVLINGLCKKSDLIRVTKLVDNMFLKGCVSNECLKGKLNKSLSRLDRMVMSKYVPNEVTYGTIINGLVKQEKALDGTHVLLLMEERGYRVNEYIYSMLISGLFKKEKCQEAMKLFKESMKKGCKLNTILYSAFVYGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGLFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVIKAMMVWEQMLGKGFRPDVVAYSSLIHGLCNARLIKEALKLYNEMLCLESHSQPDVITYNVLFNALCKQSSISHVVDLLNRMLDQGCDPNLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKSKKIQAVINKCWSNLYC >Manes.05G112500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11165539:11170440:1 gene:Manes.05G112500.v8.1 transcript:Manes.05G112500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGVHKDRGELRIHESLDELGTDLADYIAELSETSVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERAVAKNHADSNYKLAKDGLLSKQVPIVPSHVHSINDSVSAEEAADEYEFVIRQLVKTRTISVSDISDCPKFDLILLGMGPDGHVASLFPNHPVLEANDEWVTYITDSPKPPPERITFTLPVINSASNVAVVVAGEGKAEAVHLAIDDVGTDCPPLPARMVQPTKGKLVWFLDKPAASKLEGSQFSE >Manes.05G112500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11165140:11170440:1 gene:Manes.05G112500.v8.1 transcript:Manes.05G112500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVYFVTIIGCTWKPRRMALSGVHKDRGELRIHESLDELGTDLADYIAELSETSVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERAVAKNHADSNYKLAKDGLLSKQVPIVPSHVHSINDSVSAEEAADEYEFVIRQLVKTRTISVSDISDCPKFDLILLGMGPDGHVASLFPNHPVLEANDEWVTYITDSPKPPPERITFTLPVINSASNVAVVVAGEGKAEAVHLAIDDVGTDCPPLPARMVQPTKGKLVWFLDKPAASKLEGSQFSE >Manes.05G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11165140:11170440:1 gene:Manes.05G112500.v8.1 transcript:Manes.05G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVYFVTIIGCTWKPRRMALSGVHKDRGELRIHESLDELGTDLADYIAELSETSVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERAVAKNHADSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYEFVIRQLVKTRTISVSDISDCPKFDLILLGMGPDGHVASLFPNHPVLEANDEWVTYITDSPKPPPERITFTLPVINSASNVAVVVAGEGKAEAVHLAIDDVGTDCPPLPARMVQPTKGKLVWFLDKPAASKLEGSQFSE >Manes.05G112500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11165140:11170656:1 gene:Manes.05G112500.v8.1 transcript:Manes.05G112500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGVHKDRGELRIHESLDELGTDLADYIAELSETSVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERAVAKNHADSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYEFVIRQLVKTRTISVSDISDCPKFDLILLGMGPDGHVASLFPNHPVLEANDEWVTYITDSPKPPPERITFTLPVINSASNVAVVVAGEGKAEAVHLAIDDVGTDCPPLPARMVQPTKGKLVWFLDKPAASKLEGSQFSE >Manes.05G112500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11165537:11170440:1 gene:Manes.05G112500.v8.1 transcript:Manes.05G112500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGVHKDRGELRIHESLDELGTDLADYIAELSETSVKERGVFAIALSGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERAVAKNHADSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYEFVIRQLVKTRTISVSDISDCPKFDLILLGMGPDGHVASLFPNHPVLEANDEWVTYITDSPKPPPERITFTLPVINSASNVAVVVAGEGKAEAVHLAIDDVGTDCPPLPARMVQPTKGKLVWFLDKPAASKLEGSQFSE >Manes.16G058200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:21798642:21801626:1 gene:Manes.16G058200.v8.1 transcript:Manes.16G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNISFYSISLLFLFLPILFVVHSISFNFCNADSIAKCSNTEREALLAFRNGLTDPSARLSSWVGDDCCRWEGVGCNNQTGNVFKLDLRNPFHFRSLDPYSLTDNEVATYRSLCLGGELNASLLNLKFLNYLDLSLNNFEGTQIPEFIGQFKNLWYLNLSYASFTGEVPPHLGNLSNLQYLDLNGFSYNNFGSTGLQVENPQWLSVFSTLKYLDMGFVRINSKEGAWLNAINMIPSLLEIRLHECELQDILVTLSFVNFTSLTVLDISKNFFNSSIPNWLFNLTSLTKLDLSSNSFSGSISSEFANLVSLEDLDLSLNGYIGGHIASSLGALCKLKNLNLAANNLSGEISEFLNNLSVCPSVSLVSLSLNSNQITGQLPDSIGSLKNLQILDLAYNSFWGSIPSAIGNLSSLKVLYLHSNKMNGSIPESFGQLSKLVDLNLIANAWGGVMTEVHFMNLSSLERIMLSTEPTWSLVLNVSYGWVPPFRLKYIEIRDCKLGPSFPMWLQVQSELQQVRLTNVGISDTIPEEWFSKLASKLIVLDLSNNYIKGKLPRKLECLQLDVIDLSSNGFEGLLPLWSTNATQVYFQNNSFSGHIPENIGELMPRLRNLHISDNHLNGTVPSSICNLVELLVFSVRNNEIHGELIDCWESLQSLWVLDASNNRLSGSIPISLGHLRSLGMLLLSNNNLDGEIPSSLQNCSALSSIDLGGNRLSGKIPSWIGVNVSSIFILRLRSNLLEGEIPTKLCNLPNLHFLDLAHNNFSGVIPSCLDNLTGLIYGNTSQTYYAQYRLAYFKDQIIVATKGREYEFNHNIALLNAIDLSGNNLKGGIPEAITSLKALRGMNLSRNHISGSIPEKIGNLNLLETLDLSHNNLSGTVPQTLSFLTFISHLDLSYNNLSGKMPTGNQLQTLNASSIYEGNPFLCGFPPQAKCPGDETENSITTSRDSRGDDEDENDSLFPGLYISIGLGFVVGFCGVAFVICRKKSFWRYDTR >Manes.10G076900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:15713214:15714047:-1 gene:Manes.10G076900.v8.1 transcript:Manes.10G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAQRSLTESALLILLLFSLFLFRSEARQVKGMDYYSLKSIKHSGPSHGGPGHRSGKAQFPAYVRDSGPSSGVGHSYFKGMHQ >Manes.05G128600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22267011:22271562:-1 gene:Manes.05G128600.v8.1 transcript:Manes.05G128600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSRPPKPPCSTATQGHIHHRKHAASVERRPSSPTPKASDALPLPLYLTNAVFFTLFFSVAYYLLHRWRDKIRNSTPLHIVTLSEIAAIVSLIASFIYLLGFFGIDFVQSFIARASHEAWDLDDTDPNYLIDEDHRLITCPPASISTKTNLASAAPKLPTSVPLITSLASEEDEMIVNSVVNGIIPSYSLESKLGDCKRAAVIRREALQRMTGRSLGGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGREYSVPMATTEGCLVASTNRGCKAIYLSGGSTSVLLKDGMTRAPVVRFATATRAAELKFFLEDPDNFDTLAVVFNRSSRFARLQGIQCSIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLEFLQNDFSDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTKVASLVELNMLKNLAGSAIAGALGGFNAHAGNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASEESPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVRSHMKYNRSSKDVSKAAS >Manes.05G128600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22267011:22271562:-1 gene:Manes.05G128600.v8.1 transcript:Manes.05G128600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSRPPKPPCSTATQGHIHHRKHAASVERRPSSPTPKASDALPLPLYLTNAVFFTLFFSVAYYLLHRWRDKIRNSTPLHIVTLSEIAAIVSLIASFIYLLGFFGIDFVQSFIARASHEAWDLDDTDPNYLIDEDHRLITCPPASISTKTNLASAAPKLPTSVPLITSLASEEDEMIVNSVVNGIIPSYSLESKLGDCKRAAVIRREALQRMTGRSLGGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGREYSVPMATTEGCLVASTNRGCKAIYLSGGSTSVLLKDGMTRAPVVRFATATRAAELKFFLEDPDNFDTLAVVFNRSSRFARLQGIQCSIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLEFLQNDFSDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTKVASLVELNMLKNLAGSAIAGALGGFNAHAGNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASEESPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVRSHMKYNRSSKDVSKAAS >Manes.05G128600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22267011:22271562:-1 gene:Manes.05G128600.v8.1 transcript:Manes.05G128600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSRPPKPPCSTATQGHIHHRKHAASVERRPSSPTPKASDALPLPLYLTNAVFFTLFFSVAYYLLHRWRDKIRNSTPLHIVTLSEIAAIVSLIASFIYLLGFFGIDFVQSFIARASHEAWDLDDTDPNYLIDEDHRLITCPPASISTKTNLASAAPKLPTSVPLITSLASEEDEMIVNSVVNGIIPSYSLESKLGDCKRAAVIRREALQRMTGRSLGGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGREYSVPMATTEGCLVASTNRGCKAIYLSGGSTSVLLKDGMTRAPVVRFATATRAAELKFFLEDPDNFDTLAVVFNRSSRFARLQGIQCSIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLEFLQNDFSDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTKVASLVELNMLKNLAGSAIAGALGGFNAHAGNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASEESPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVRSHMKYNRSSKDVSKAAS >Manes.05G128600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22267496:22271525:-1 gene:Manes.05G128600.v8.1 transcript:Manes.05G128600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSRPPKPPCSTATQGHIHHRKHAASVERRPSSPTPKASDALPLPLYLTNAVFFTLFFSVAYYLLHRWRDKIRNSTPLHIVTLSEIAAIVSLIASFIYLLGFFGIDFVQSFIARASHEAWDLDDTDPNYLIDEDHRLITCPPASISTKTNLASAAPKLPTSVPLITSLASEEDEMIVNSVVNGIIPSYSLESKLGDCKRAAVIRREALQRMTGRSLGGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGREYSVPMATTEGCLVASTNRGCKAIYLSGGSTSVLLKDGMTRAPVVRFATATRAAELKFFLEDPDNFDTLAVVFNRSSRFARLQGIQCSIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLEFLQNDFSDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTKVASLVELNMLKNLAGSAIAGALGGFNAHAGNIVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASEESPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVRSHMKYNRSSKDVSKAAS >Manes.09G102800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30193169:30195734:1 gene:Manes.09G102800.v8.1 transcript:Manes.09G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTTTIISKCTIYPDQKSSIKTLKLSVSDLPMLSCQYIQKGVLLSYPPYSFDDLITFLKRSLSTALSFFPALAGRLSTDSDGHVHIVCNDAGVYFIQAKARHLYIDNVLSPSDVPDCFKGFFSFDKMLSYSGHSNPLAAVQVTELADGVFIGCIVNHAVTDGTSFWHFFNTFAEICKGAKKISNSPDFSRDTVFNSPAVLKFPAGGPKITFSGNEPLRERIFHFSREAILKLKYKANNGNLLCDPVEILGKQCNDSWKVVNGGDFSENFLKNKTIEISSFQSLCAQLWRSVTRARNLFPSKTTTFRMAVNCRHRLEPRLHPYYFGNAIQSIPTVAPVGELLSHNLNWGAELLHKNVVAHDDGTVRKGVADWEREPRLFPLGNFDGASITMGSSPRFPMYNNDFGWGRPLAIRSGRANKFDGKISAFPGREGNGSVDLEVVLAPETMAGLEMDGEFMQYVSTSV >Manes.09G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12309578:12312082:1 gene:Manes.09G072300.v8.1 transcript:Manes.09G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSASQARGCCS >Manes.15G058500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4483013:4491622:-1 gene:Manes.15G058500.v8.1 transcript:Manes.15G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREDPLSYPNNPSSSSSPITVSDHLDTTFLTADPSGSHLGSASNSFQNDFGFLNDIASSSDAEFGFSRPEFRQSPLAGTVQFYERHVFLCYKNPSVWPPRIEAAEFDRLPRLLSAAVTARKADMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGTPETLKGFYVFVCSHGSRDHRCGACGPALVSKFKEEIELHGLRGKVSVSPCSHIGGHKYAGNVIIFGSSSNGVINGHWYGYVTPDDVCLLLEQHIGKGEVVDWLWRGQMGLSEEEQIKFQELRLQLNGETEVGKKTNKLTQTQIDKACTAATSSKVEVVNCCQQNGKSSCCQNPALPHEETVDANEKGVKVSPEKKSGRRPLSGINSGKGLSTRKICAMPTWFESWEHEDTYAVLAVVCAAVSVAVAYSCYKQSR >Manes.15G058500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4483038:4491553:-1 gene:Manes.15G058500.v8.1 transcript:Manes.15G058500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREDPLSYPNNPSSSSSPITVSDHLDTTFLTADPSGSHLGSASNSFQNDFGFLNDIASSSDAEFGFSRPEFRQSPLAGTVQFYERHVFLCYKNPSVWPPRIEAAEFDRLPRLLSAAVTARKADMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGTPETLKGFYVFVCSHGSRDHRCGACGPALVSKFKEEIELHGLRGKVSVSPCSHIGGHKYAGNVIIFGSSSNGVINGHWYGYVTPDDVCLLLEQHIGKGEVVDWLWRGQMGLSEEEQIKFQELRLQLNGETEVGKKTNKLTQTQIDKACTAATSSKVEVVNCCQQNGKSSCCQNPALPHEETVDANEKGVKVSPEKKSGRRPLSGINSGKGLSTRKICAMPTWFESWEHEDTYAVLAVVCAAVSVAVAYSCYKQSR >Manes.04G104400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30945110:30947083:1 gene:Manes.04G104400.v8.1 transcript:Manes.04G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSSEVCLSETSSSIVSVSNAPSKFSLNVSMDNPKGRKQLQEEEEEEEEERENKNEDTSDRDLVLDLSLLSGKDSKPELINLIDSFDMESSQKSSLDTPQGNEIEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRINGGGSFSLGHHHNSQINRYTSMASLPLHGLLNRSLGIQVHSMIHKPSFVPSSTLSSSNVHGKNGWSRQPIGQQPAVGRLPSESFHMGTRIGSSNGVARFESAGKFSPAGERMGGYWCDSGVNHLKTKQDDLQKLDLSLKL >Manes.01G162600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34716492:34720447:-1 gene:Manes.01G162600.v8.1 transcript:Manes.01G162600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLRSWTGQDPNPDDYRNIQFWSNPERSGWLMKQGDYLKNWRRRWFVLKQGKLLWFKDNRVLSSSIPRGVIPVGNCLTVKGAEDVLNKPFAFELSTSQDTMYFIADSEKEKEEWINSIGRSIVQHSRSVTDSEVVDYDSKRQKEKEKKTLCFSK >Manes.15G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:527115:529488:-1 gene:Manes.15G004600.v8.1 transcript:Manes.15G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFKKDFRHYQLIFPISSLPSILRKEMVDKLENKVLIREYNEDRDIKVVGKLEKNCEIGSNKEVSIFTNMMGDPLRRIRFYPVHIMLVAELRENGELVGVVRGCIKCVGTRFGATYVRLGCILGLRVSPKHRRMGIGLKLVKSVEEWLVGNGAQYTFLATEKNNVASTNLFTSKCNYTNFSSLVIFVQPTSLPVKGLSQDIKIEKLQINQAISLYNNRLRGKDIYPTDIDAILKEKLSLGTWVSYFKAEEWIILHSNNEKNNEEDIISKTPSSWAIFSIWNSCEAYKFHIRKSYHPLKFFHATLSHARDKIFPCLKLPICDSLQKPFGFLFLYGLYGEGARLQELVKSIWSFASRLAENVKESKVIITELGVSDPLMEYVSHESSVSCIDDLWYLKKANGLDEQVALDQVGNVFVDPRDF >Manes.05G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24057217:24061578:1 gene:Manes.05G141100.v8.1 transcript:Manes.05G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRTRRAQFDPHRVLAHRFPESIYVYTERDAAVYALGVGACGRDAIDAEELKFVYHEKRQQSIQVLPTFAALFSLGLMKNGINLPGLQYDPRLLLHGQQYIELYKPFPSSASIHNKVSLAGLHDKGKAAIVEIETKSYERESGELLCMNRSTAYLRGAGGFSNSTHPYSYTNYPKDQVAAIKIPKSPPFAVYEDFIQPSQALLYRLSGDFNPLHSDPMFAKVAGFPRPILHGLCTLGFAVRAIIKRICRGDASLVKNISGRFLLHAYPGETLITEMWLTVTGLRVIYQAKVKERKRAVLSGFVDLRRLTSAL >Manes.10G009800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1079132:1082464:-1 gene:Manes.10G009800.v8.1 transcript:Manes.10G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPHNDLFSALISDIKSYSGKDPLHPWLRGIKKMKATLPQNLLKEKLPRFLQKCTQTFESDRRYRNDLRYLRVWLELMDYVDEPRALLRTMEMNSIGSKRSLFYQAYALYYEKMKKFEEAEQMYHLGVQNLAEPVDELQKSYEQFLQRMQRHRNKKIQGGRPGRKPLSSRKTEENKENACNIEEKHKKLLDTSSQNMKLQNESNNALILGNSCNVGIDGDSSVKKDHVQNIRPIDICEQQATTGRESRESMMFHGDDTVVVKFVDTAIVGKSEAEDACHHGLVDPTINMKEAMNAINSMFKEPLKTAPISRRSQRSRTKEHNLNSGFSVFVDENLDNGTESSDQREEEDISLIKHGRAQTFQLQQEPLKIFIDDEEIDENGDRPDGNDNSEQSEAQNQGEGSCSSAARLNAFVFPCPKDLTSESSDDLDSENSPQMKLREDTVVHRFVGSTILDEPAVENVWHHGLVDPTINLKEAMDDINNMFGKPIDFVRTKRPKKQEKPPVTKQDLGGFSILPDDDLEHQKGQPLPRSSSRSDTDLFEPTMFTKEAKDEINKLFGMPLDF >Manes.18G074701.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6812275:6812877:-1 gene:Manes.18G074701.v8.1 transcript:Manes.18G074701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKRSTGSVKWFSAQKGFGFIASDDGGEDLFVHQTSIQSDGFRTLSEGQPVEFTIDFGEDGRTKAVDVVGVSRSRRAPRGGRFGGSGRGFYGGRGRGGGGGYGSGRGDAGYGRGSRGGRSGGGSGYNSGGGYGTGGSSGACYNCGRYGHLARDCYQTGGGGGGSRRYDGGYSGGGGGACYSCGEEGHFARDCPNTDQN >Manes.14G173500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28713252:28715202:-1 gene:Manes.14G173500.v8.1 transcript:Manes.14G173500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP17 MDLSASWSSATGVDEMGRIGEKLDIDFVVSTGDNFYDNGLKSEHDHAFEESFTQIYTAKSLQKQWYSVLGNHDYRGNAEAQLSRHLRNIDSRWLCLRSFIVDADLGEIFFVDTTPFVNAYFSNEEGHTYDWRGIPSRKAYIANLIKDLELALRDSYAKWKIVIGHHAIRSIGHHGDTQELVDKLLPVLRANNVDFYMNGHDHCLEHISDTNSPIQFLTSGAGSKAWRGDLKELNREGLEFFYDGQGFMSVQLTQTEAEIAFYDVFGNILHKWTTTKLLYQSL >Manes.14G173500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28713252:28715169:-1 gene:Manes.14G173500.v8.1 transcript:Manes.14G173500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP17 MDLSASWSSATGVDEMGRIGEKLDIDFVVSTGDNFYDNGLKSEHDHAFEESFTQIYTAKSLQKQWYSVLGNHDYRGNAEAQLSRHLRNIDSRWLCLRSFIVDADLGEIFFVDTTPFVNAYFSNEEGHTYDWRGIPSRKAYIANLIKDLELALRDSYAKWKIVIGHHAIRSIGHHGDTQELVDKLLPVLRANNVDFYMNGHDHCLEHISDTNRTCGSPIQFLTSGAGSKAWRGDLKELNREGLEFFYDGQGFMSVQLTQTEAEIAFYDVFGNILHKWTTTKLLYQSL >Manes.14G173500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28713252:28715149:-1 gene:Manes.14G173500.v8.1 transcript:Manes.14G173500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP17 MASLCSTNSSFVVSFTCCLAVISMLCSSSFARQQLLRFQHPPKTVDGSLSFLVLGDWGRRGEFNQSLVAFQMGRIGEKLDIDFVVSTGDNFYDNGLKSEHDHAFEESFTQIYTAKSLQKQWYSVLGNHDYRGNAEAQLSRHLRNIDSRWLCLRSFIVDADLGEIFFVDTTPFVNAYFSNEEGHTYDWRGIPSRKAYIANLIKDLELALRDSYAKWKIVIGHHAIRSIGHHGDTQELVDKLLPVLRANNVDFYMNGHDHCLEHISDTNRTCGSPIQFLTSGAGSKAWRGDLKELNREGLEFFYDGQGFMSVQLTQTEAEIAFYDVFGNILHKWTTTKLLYQSL >Manes.14G173500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28713008:28715202:-1 gene:Manes.14G173500.v8.1 transcript:Manes.14G173500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP17 MASLCSTNSSFVVSFTCCLAVISMLCSSSFARQQLLRFQHPPKTVDGSLSFLVLGDWGRRGEFNQSLVAFQMGRIGEKLDIDFVVSTGDNFYDNGLKSEHDHAFEESFTQIYTAKSLQKQWYSVLGNHDYRGNAEAQLSRHLRNIDSRWLCLRSFIVDADLGEIFFVDTTPFVNAYFSNEEGHTYDWRGIPSRKAYIANLIKDLELALRDSYAKWKIVIGHHAIRSIGHHGDTQELVDKLLPVLRANNVDFYMNGHDHCLEHISDTNSPIQFLTSGAGSKAWRGDLKELNREGLEFFYDGQGFMSVQLTQTEAEIAFYDVFGNILHKWTTTKLLYQSL >Manes.14G082800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6892976:6898656:1 gene:Manes.14G082800.v8.1 transcript:Manes.14G082800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGMQADMQCHYFPGYCSTRDLNLNAGYSIWPSSNVDKISNGHYFSGNLAPPAPDQYLSYKEKVKQTMLQHEAIFRDQIHELHRLYNRQRELMAEMKRIEFLGHHIRLETAESNKIFSENSFECLHRTNKVSALPWLNPAFSQSSTSGAKNSQLQSNYVEGKAMRDCCSTFQTEGFKKGTMLLESKCKKAGKRMLDLELPADEYIDCEQEESLAGGIAPPEASGYPMKSIAEDVQKNDVELFHGISDGNLVFQDDNMTRAQFSGKTKCLADLNEPIKLEDEADPESNDFLGPVLDRRESPCQDLSGKRNSDFQARPKEAIQNIQTRGEPDTFSCVLPLDKNKSRRECISDNDGAGENFILMQSSSDMNSFPGRICTDTLPISSEDGIEQVHEMLTSHILNLNSGKLHREKNDFGLECSCEKGPTACKHTSNELIPLDDVKNHDSSLALSWRKHARDFVRSPIAVQALPCFNSEQLSRSSKSCCGINLESRPTFCSVSAQSSSCDGDNEFASEDSDTQKHIKDSVNIKLTRNIDLNSTSPSCSSDVGAHSIWITDGEEKYKESTGGSALHREYSAQLVKSNKKLHMDCNSVPDSGEQLTKNELVFGSRHEEMFSGFGLNVDLNSCINEDDSSSVPTLSAEIDLQAPASPENKETSPPRGESDENRLDVPCQLPKQENGNLLGDLITIAAEAIVSISSQIQTYAETGTFKPSEASQNDSLYWFSKIASSVVDDPDSEFGVVLSFNDTDHHDEYLSDGIDYFEAMTLKLKETKVEQYLCKTTTTKEEAACRASSPVQPRRGQTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQAIGGLIEAAHQNGGARRTGTNGWTRGRRRRASISSSKAETSFCALLKQQNANGKHSIEESSLIGWGKITRRRRGQRCPASNPRLTLSQV >Manes.14G082800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6893199:6898501:1 gene:Manes.14G082800.v8.1 transcript:Manes.14G082800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGMQADMQCHYFPGYCSTRDLNLNAGYSIWPSSNVDKISNGHYFSGNLAPPAPDQYLSYKEKVKQTMLQHEAIFRDQIHELHRLYNRQRELMAEMKRIEFLGHHIRLETAESNKIFSENSFECLHRTNKVSALPWLNPAFSQSSTSGAKNSQLQSNYVEGKAMRDCCSTFQTEGFKKGTMLLESKCKKAGKRMLDLELPADEYIDCEQEESLAGGIAPPEASGYPMKSIAEDVQKNDVELFHGISDGNLVFQDDNMTRAQFSGKTKCLADLNEPIKLEDEADPESNDFLGPVLDRRESPCQDLSGKRNSDFQARPKEAIQNIQTRGEPDTFSCVLPLDKNKSRRECISDNDGAGENFILMQSSSDMNSFPGRICTDTLPISSEDGIEQVHEMLTSHILNLNSGKLHREKNDFGLECSCEKGPTACKHTSNELIPLDDVKNHDSSLALSWRKHARDFVRSPIAVQALPCFNSEQLSRSSKSCCGINLESRPTFCSVSAQSSSCDGDNEFASEDSDTQKHIKDSVNIKLTRNIDLNSTSPSCSSDVGAHSIWITDGEEKYKESTGGSALHREYSAQLVKSNKKLHMDCNSVPDSGEQLTKNELVFGSRHEEMFSGFGLNVDLNSCINEDDSSSVPTLSAEIDLQAPASPENKETSPPRGESDENRLDVPCQLPKQENGNLLGDLITIAAEAIVSISSQIQTYAETGTFKPSEASQNDSLYWFSKIASSVVDDPDSEFGVVLSFNDTDHHDEYLSDGIDYFEAMTLKLKETKVEQYLCKTTTTKEEAACRASSPVQPRRGQTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQAIGGLIEAAHQNGGARRTGTNGWTRGRRRRASISSSKAETSFCALLKQQNANGKHSIEESSLIGWGKITRRRRGQRCPASNPRLTLSQV >Manes.14G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6892976:6898656:1 gene:Manes.14G082800.v8.1 transcript:Manes.14G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGMQADMQCHYFPGYCSTRDLNLNAGYSIWPSSNVDKISNGHYFSGNLAPPAPDQYLSYKEKVKQTMLQHEAIFRDQIHELHRLYNRQRELMAEMKRIEFLGHHIRLETAESNKIFSENSFECLHRTNKVSALPWLNPAFSQSSTSGAKNSQLQSNYVEGKAMRDCCSTFQTEGFKKGTMLLESKCKKAGKRMLDLELPADEYIDCEQEESLAGGIAPPEASGYPMKSIAEDVQKNDVELFHGISDGNLVFQDDNMTRAQFSGKTKCLADLNEPIKLEDEADPESNDFLGPVLDRRESPCQDLSGKRNSDFQARPKEAIQNIQTRGEPDTFSCVLPLDKNKSRRECISDNDGAVQSSSDMNSFPGRICTDTLPISSEDGIEQVHEMLTSHILNLNSGKLHREKNDFGLECSCEKGPTACKHTSNELIPLDDVKNHDSSLALSWRKHARDFVRSPIAVQALPCFNSEQLSRSSKSCCGINLESRPTFCSVSAQSSSCDGDNEFASEDSDTQKHIKDSVNIKLTRNIDLNSTSPSCSSDVGAHSIWITDGEEKYKESTGGSALHREYSAQLVKSNKKLHMDCNSVPDSGEQLTKNELVFGSRHEEMFSGFGLNVDLNSCINEDDSSSVPTLSAEIDLQAPASPENKETSPPRGESDENRLDVPCQLPKQENGNLLGDLITIAAEAIVSISSQIQTYAETGTFKPSEASQNDSLYWFSKIASSVVDDPDSEFGVVLSFNDTDHHDEYLSDGIDYFEAMTLKLKETKVEQYLCKTTTTKEEAACRASSPVQPRRGQTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQAIGGLIEAAHQNGGARRTGTNGWTRGRRRRASISSSKAETSFCALLKQQNANGKHSIEESSLIGWGKITRRRRGQRCPASNPRLTLSQV >Manes.14G082800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6893199:6898501:1 gene:Manes.14G082800.v8.1 transcript:Manes.14G082800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGMQADMQCHYFPGYCSTRDLNLNAGYSIWPSSNVDKISNGHYFSGNLAPPAPDQYLSYKEKVKQTMLQHEAIFRDQIHELHRLYNRQRELMAEMKRIEFLGHHIRLETAESNKIFSENSFECLHRTNKVSALPWLNPAFSQSSTSGAKNSQLQSNYVEGKAMRDCCSTFQTEGFKKGTMLLESKCKKAGKRMLDLELPADEYIDCEQEESLAGGIAPPEASGYPMKSIAEDVQKNDVELFHGISDGNLVFQDDNMTRAQFSGKTKCLADLNEPIKLEDEADPESNDFLGPVLDRRESPCQDLSGKRNSDFQARPKEAIQNIQTRGEPDTFSCVLPLDKNKSRRECISDNDGAVQSSSDMNSFPGRICTDTLPISSEDGIEQVHEMLTSHILNLNSGKLHREKNDFGLECSCEKGPTACKHTSNELIPLDDVKNHDSSLALSWRKHARDFVRSPIAVQALPCFNSEQLSRSSKSCCGINLESRPTFCSVSAQSSSCDGDNEFASEDSDTQKHIKDSVNIKLTRNIDLNSTSPSCSSDVGAHSIWITDGEEKYKESTGGSALHREYSAQLVKSNKKLHMDCNSVPDSGEQLTKNELVFGSRHEEMFSGFGLNVDLNSCINEDDSSSVPTLSAEIDLQAPASPENKETSPPRGESDENRLDVPCQLPKQENGNLLGDLITIAAEAIVSISSQIQTYAETGTFKPSEASQNDSLYWFSKIASSVVDDPDSEFGVVLSFNDTDHHDEYLSDGIDYFEAMTLKLKETKVEQYLCKTTTTKEEAACRASSPVQPRRGQTRRGRQQRKDFQSEILPSLASLSRYEVTEDLQAIGGLIEAAHQNGGARRTGTNGWTRGRRRRASISSSKAETSFCALLKQQNANGKHSIEESSLIGWGKITRRRRGQRCPASNPRLTLSQV >Manes.03G038950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3439402:3440629:1 gene:Manes.03G038950.v8.1 transcript:Manes.03G038950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGRDFIRGNGTGGESIYGMKFANENFKLKHIGHVVLSMANAGPNTNGSQSFICIEKTSWLDGMHIVFGKGFEYSVLKIQ >Manes.01G039072.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7510121:7512877:-1 gene:Manes.01G039072.v8.1 transcript:Manes.01G039072.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLNNSNSLPSWVGDDCCRWHGVTCDDITGHVVKLVLSWASIMGNISLHLGNLSNLQCLDLSLNPSLAIHSLHFPSSLKYLYLPYVSINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLDLESNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELDLGYNKFGGEISGTFGNSSGCIKNSLENLILLNNSFSGSIPDNLGQFKRLKELSLSQNSFWGSIPVSIGQLYNLETLGLSQNSLHGKVSELHLLNLRSLIDLSMDGNSLVFDIDPEWIPPFQLHWIGLSSCEVGPSFPQWLKTQKSIRFLEMSNASISDNIPDWFENISSNILRLDLSYNQLFGTLPTFRKLNTTYASYLRFILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNISDTMPSLELLSLSNNYLNGTVPATLCRIESLQILDLSNNHLSGRIPSCWGNLTSLTVIDFSSNMLSGEVPMSLGSQESLVSLHLQNNTLQGKIPMSLRYLESLETLDLSMKSFDGFIPSWIGESLSSLKVLSIHSNKFEGEIPLQLCYLASLRILNLANNMMTGTIPNCFSNFTAIAMHEQKGHWDYYLKDVPLLYETAGYGENVQVYVKGIELEYTRTLRFLYSIDLSGNKFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHSSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYGGMGMGFAAGFIGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIVIKANQVKRKFLRNKLEGNA >Manes.08G016800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1711832:1718076:-1 gene:Manes.08G016800.v8.1 transcript:Manes.08G016800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGGGGFQDASLSSSESHHIYNSAESSMGREVFSPSNKLSIDEDNHIARQDSTSSQNGVPPGSSNSDNASQSDHSSFNSRITHSANLSVDDLQELAAPSSRISGSSKSLLEAAEDTIEDLHSEAKMWERNARKLMLDLEILRKEYSDQSSNQVNLEMELSAACAEREGLQKEVEKLKLLLEKSTEKPAALEDSSLQDKGATHVLKELENEIKFQKESNANLTLQLSRSQESNVELVSVLQELEETVEKQKAEIEKLSILQSKFSDMENSIQENVQKNQDLVLQVQQLQESEKKLQAKVQELEMAIEEKTRNSDNGSFNHRTLLDMETEYKSKLSAKDKEIISLKATFSESLKQRHHSAEKESTEGGEGILVREIESLKVKLQELESDCQELTDENLELLLKLKEMKNHCTQEGASFTPSSFEESNHEAQIHALEEKMKKKILKEIEIDYNLSIQELENVKLNLEDQVNELNKELTEKREVIERLENGLQSKEEEIGRLERYQRELEDKLSVLQEEKSQLEEKVEIVIRESEIATKCLNELRKDLMVLSKSVDTNVSANKILQRKSSELEIGKHELEIHLSELEQENGELSTCISGLEAQIMNLTDERESIELELESSKSNAVNLQAEVAKLRNEIETQKMNVKQKLEQRHDQLSEAQEELEHLRNGNAKLQVAAESLMEECRSLQKSNEELQVEKLELQGHCNQLETKLTESQRSFADCSKKVNVLQENIRSLLEDSASKERSLTSELDALLKENDKQNKKVGVLNQMYLEKIVQVENLKREIGDITKKLSTTQDEKERIASDAEHEASALRAIISKLESELSTIQMESKQKIQNLMEELASSNQNQEKLKNDNGKTLKLLENYRSCDEKLETTVNELELKLTVSEYEKQQLMEESTNLKTELLKIGTLQDEFLALKNELNAIMSEKEKLETSMHLKSEECKELKIETNLFIKKITDLQKAVSELEECKQDKFDLEQKLQQLASDLTAKEALCEQDAELKNELSRIKRTNKQLQQQIQQLEEEKEKCTKRIQSLEEELILMKEKQRNSRESKSVNSPSNQQQREGDNSSKIQVLEDEQSNKAMEANNAYKAQVKRLISESWKGRTGSPRKSKAEGEFVPKEKFERTKSSLETELRDIRERYFHMSLKYAEVEAQREELVMKLKAVTNGKRWF >Manes.08G016800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1711832:1717747:-1 gene:Manes.08G016800.v8.1 transcript:Manes.08G016800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHKTKPARSGERFDFKFYQFKALQVPKGWDKLFVSIVSVETGKTIVKSSKAAVRNGSCQWTETVSESIWVSKDGQPSKELEDFPYKLVVAMGSARSGILGETVVNMATYMSSSDSVPLSLPLKKCNHGTILQLKIQCLTPRINLRDDESKESNSHKEDLTADSKDGEVKSEESDNTFAKSARSYSSRDLGSITHQDDHETRGGGGFQDASLSSSESHHIYNSAESSMGREVFSPSNKLSIDEDNHIARQDSTSSQNGVPPGSSNSDNASQSDHSSFNSRITHSANLSVDDLQELAAPSSRISGSSKSLLEAAEDTIEDLHSEAKMWERNARKLMLDLEILRKEYSDQSSNQVNLEMELSAACAEREGLQKEVEKLKLLLEKSTEKPAALEDSSLQDKGATHVLKELENEIKFQKESNANLTLQLSRSQESNVELVSVLQELEETVEKQKAEIEKLSILQSKFSDMENSIQENVQKNQDLVLQVQQLQESEKKLQAKVQELEMAIEEKTRNSDNGSFNHRTLLDMETEYKSKLSAKDKEIISLKATFSESLKQRHHSAEKESTEGGEGILVREIESLKVKLQELESDCQELTDENLELLLKLKEMKNHCTQEGASFTPSSFEESNHEAQIHALEEKMKKKILKEIEIDYNLSIQELENVKLNLEDQVNELNKELTEKREVIERLENGLQSKEEEIGRLERYQRELEDKLSVLQEEKSQLEEKVEIVIRESEIATKCLNELRKDLMVLSKSVDTNVSANKILQRKSSELEIGKHELEIHLSELEQENGELSTCISGLEAQIMNLTDERESIELELESSKSNAVNLQAEVAKLRNEIETQKMNVKQKLEQRHDQLSEAQEELEHLRNGNAKLQVAAESLMEECRSLQKSNEELQVEKLELQGHCNQLETKLTESQRSFADCSKKVNVLQENIRSLLEDSASKERSLTSELDALLKENDKQNKKVGVLNQMYLEKIVQVENLKREIGDITKKLSTTQDEKERIASDAEHEASALRAIISKLESELSTIQMESKQKIQNLMEELASSNQNQEKLKNDNGKTLKLLENYRSCDEKLETTVNELELKLTVSEYEKQQLMEESTNLKTELLKIGTLQDEFLALKNELNAIMSEKEKLETSMHLKSEECKELKIETNLFIKKITDLQKAVSELEECKQDKFDLEQKLQQLASDLTAKEALCEQDAELKNELSRIKRTNKQLQQQIQQLEEEKEKCTKRIQSLEEELILMKEKQRNSRESKSVNSPSNQQQREVLEDEQSNKAMEANNAYKAQVKRLISESWKGRTGSPRKSKAEGEFVPKEKFERTKSSLETELRDIRERYFHMSLKYAEVEAQREELVMKLKAVTNGKRWF >Manes.08G016800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1711832:1717747:-1 gene:Manes.08G016800.v8.1 transcript:Manes.08G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHKTKPARSGERFDFKFYQFKALQVPKGWDKLFVSIVSVETGKTIVKSSKAAVRNGSCQWTETVSESIWVSKDGQPSKELEDFPYKLVVAMGSARSGILGETVVNMATYMSSSDSVPLSLPLKKCNHGTILQLKIQCLTPRINLRDDESKESNSHKEDLTADSKDGEVKSEESDNTFAKSARSYSSRDLGSITHQDDHETRGGGGFQDASLSSSESHHIYNSAESSMGREVFSPSNKLSIDEDNHIARQDSTSSQNGVPPGSSNSDNASQSDHSSFNSRITHSANLSVDDLQELAAPSSRISGSSKSLLEAAEDTIEDLHSEAKMWERNARKLMLDLEILRKEYSDQSSNQVNLEMELSAACAEREGLQKEVEKLKLLLEKSTEKPAALEDSSLQDKGATHVLKELENEIKFQKESNANLTLQLSRSQESNVELVSVLQELEETVEKQKAEIEKLSILQSKFSDMENSIQENVQKNQDLVLQVQQLQESEKKLQAKVQELEMAIEEKTRNSDNGSFNHRTLLDMETEYKSKLSAKDKEIISLKATFSESLKQRHHSAEKESTEGGEGILVREIESLKVKLQELESDCQELTDENLELLLKLKEMKNHCTQEGASFTPSSFEESNHEAQIHALEEKMKKKILKEIEIDYNLSIQELENVKLNLEDQVNELNKELTEKREVIERLENGLQSKEEEIGRLERYQRELEDKLSVLQEEKSQLEEKVEIVIRESEIATKCLNELRKDLMVLSKSVDTNVSANKILQRKSSELEIGKHELEIHLSELEQENGELSTCISGLEAQIMNLTDERESIELELESSKSNAVNLQAEVAKLRNEIETQKMNVKQKLEQRHDQLSEAQEELEHLRNGNAKLQVAAESLMEECRSLQKSNEELQVEKLELQGHCNQLETKLTESQRSFADCSKKVNVLQENIRSLLEDSASKERSLTSELDALLKENDKQNKKVGVLNQMYLEKIVQVENLKREIGDITKKLSTTQDEKERIASDAEHEASALRAIISKLESELSTIQMESKQKIQNLMEELASSNQNQEKLKNDNGKTLKLLENYRSCDEKLETTVNELELKLTVSEYEKQQLMEESTNLKTELLKIGTLQDEFLALKNELNAIMSEKEKLETSMHLKSEECKELKIETNLFIKKITDLQKAVSELEECKQDKFDLEQKLQQLASDLTAKEALCEQDAELKNELSRIKRTNKQLQQQIQQLEEEKEKCTKRIQSLEEELILMKEKQRNSRESKSVNSPSNQQQREGDNSSKIQVLEDEQSNKAMEANNAYKAQVKRLISESWKGRTGSPRKSKAEGEFVPKEKFERTKSSLETELRDIRERYFHMSLKYAEVEAQREELVMKLKAVTNGKRWF >Manes.08G016800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1711832:1718076:-1 gene:Manes.08G016800.v8.1 transcript:Manes.08G016800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGGGGFQDASLSSSESHHIYNSAESSMGREVFSPSNKLSIDEDNHIARQDSTSSQNGVPPGSSNSDNASQSDHSSFNSRITHSANLSVDDLQELAAPSSRISGSSKSLLEAAEDTIEDLHSEAKMWERNARKLMLDLEILRKEYSDQSSNQVNLEMELSAACAEREGLQKEVEKLKLLLEKSTEKPAALEDSSLQDKGATHVLKELENEIKFQKESNANLTLQLSRSQESNVELVSVLQELEETVEKQKAEIEKLSILQSKFSDMENSIQENVQKNQDLVLQVQQLQESEKKLQAKVQELEMAIEEKTRNSDNGSFNHRTLLDMETEYKSKLSAKDKEIISLKATFSESLKQRHHSAEKESTEGGEGILVREIESLKVKLQELESDCQELTDENLELLLKLKEMKNHCTQEGASFTPSSFEESNHEAQIHALEEKMKKKILKEIEIDYNLSIQELENVKLNLEDQVNELNKELTEKREVIERLENGLQSKEEEIGRLERYQRELEDKLSVLQEEKSQLEEKVEIVIRESEIATKCLNELRKDLMVLSKSVDTNVSANKILQRKSSELEIGKHELEIHLSELEQENGELSTCISGLEAQIMNLTDERESIELELESSKSNAVNLQAEVAKLRNEIETQKMNVKQKLEQRHDQLSEAQEELEHLRNGNAKLQVAAESLMEECRSLQKSNEELQVEKLELQGHCNQLETKLTESQRSFADCSKKVNVLQENIRSLLEDSASKERSLTSELDALLKENDKQNKKVGVLNQMYLEKIVQVENLKREIGDITKKLSTTQDEKERIASDAEHEASALRAIISKLESELSTIQMESKQKIQNLMEELASSNQNQEKLKNDNGKTLKLLENYRSCDEKLETTVNELELKLTVSEYEKQQLMEESTNLKTELLKIGTLQDEFLALKNELNAIMSEKEKLETSMHLKSEECKELKIETNLFIKKITDLQKAVSELEECKQDKFDLEQKLQQLASDLTAKEALCEQDAELKNELSRIKRTNKQLQQQIQQLEEEKEKCTKRIQSLEEELILMKEKQRNSRESKSVNSPSNQQQREGDNSSKIQVLEDEQSNKAMEANNAYKAQVKRLISESWKGRTGSPRKSKAEGEFVPKEKFERTKSSLETELRDIRERYFHMSLKYAEVEAQREELVMKLKAVTNGKRWF >Manes.05G000300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22140:36579:-1 gene:Manes.05G000300.v8.1 transcript:Manes.05G000300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVVVRRLSGGSTTSQTVVSLSHASWRLYSTVFREERDTFGPIQVPADKLWGAQTQRSLQNFEIGGNREQMPEPIIRAFGILKKCAAKVNMDYGLDPSIGKAIMQAAQEVAEGKLSDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPNLRNLHTALHSKSTEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRILCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETRLPFVTAENKFEALAAHDAFVESSGALNTIATSLMKIANDVRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPMIASNLLHSVRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKLAHKEGSTLKEAALKLQVLTSEEFDSLVVPEKMIGPSN >Manes.18G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3930399:3935428:-1 gene:Manes.18G044100.v8.1 transcript:Manes.18G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFDRWEKDPFFSAAEEVQESADRMESTYRAWMHSKKDASSLWNSEELHRDLRTALGTTKWQLEEFQRAVRSSYNESFTEDSRDRHSDFIIAIEDQISKIEHSLQESALLEGKPSSPWVRLDEGECNELAMFLSGPSAFGESTPLKNHNGDDENQQNMCKGLTTDRLKTSNHLVQSGSLEARDEKSHQHRRTASASADIGAWNVAITEVRYQPNSSDGQPLQPPRKVPSLSGFLSSMDCASKLKLPKSGVRKWKAMDNKQETDTIPLRSSQATKGIHACYEKSKSCLDGCDECYAKQLYGWSGIIQRQLQRSQYQMQYSRPFQVVFWIALFFCLIVLIALRVL >Manes.03G110400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23295643:23295885:1 gene:Manes.03G110400.v8.1 transcript:Manes.03G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPLQNASSTLALPGSEQNKGSAMTTSVTESKPKKKICCACPETKKLRDECIVEHGETACAKWIEAHRLCLRAEGFNV >Manes.03G110400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23293678:23296436:1 gene:Manes.03G110400.v8.1 transcript:Manes.03G110400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPLQNASSTLALPGSEQNKGSAMTTSVTESKPKKKICCACPETKKLRDECIVEHGETACAKWIEAHRLCLRAEGFNV >Manes.03G110400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23293786:23296129:1 gene:Manes.03G110400.v8.1 transcript:Manes.03G110400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPLQNASSTLALPGSEQNKGSAMTTSVTESKPKKKICCACPETKKLRDECIVEHGETACAKWIEAHRLCLRAEGFNV >Manes.05G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1723377:1728984:1 gene:Manes.05G018800.v8.1 transcript:Manes.05G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLSNAVVREQNSESCNPIPRKAICILVALFVTGLSVSIFILIVVHNPIFFLSFILLSAFVLSFIAWNRLNWRHNYATIRFLRSFPDSELASAREGQLVKITGVVSCGSVSLESSYERTTRCIYASTLLYEYGGFRLKPSAANMSCFQWNLTYCERFSTDFYITDQKSGIRAMVKAGPGCKVVPLIVESKLVSTTRNCRSLSSPLRKWLQERNLSVEARLLRLEEGYIQEGSTVTVIGVLQKNNEVVMIVQPEELLTTGCLWEKLLFPIDIDGLVLGVSSLPGRIMNPDYRSHIEQ >Manes.07G059600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8657149:8658246:1 gene:Manes.07G059600.v8.1 transcript:Manes.07G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELYNFFKVWLSVLASLIYCHAIGRIVPKGSARFFCLLPILCLFLLLPLNLSSIHFRGMTVFFIAWLANFKLLLYAFGKGPLSSSDNNPIGRFLLVACFPIKIKGESHPNGEDKQNPAPSKVHKSIPNYAVKGLLLAMVVRVYNYREFIHLNVILLLYLVHMYFFLELILAMVGALARALLGLDLEPQFNEPYLSTSLQDFWGRRWNLMVTSILRPTAYEPFLRTSAPIIGRRWAPIPAIFGTFVVSAVMHELMFYYVCLEKPTWDITWFFLLHGVCSMVEVTLKKAVAGKWSLPRLISTPLTVGFLLVSGCWLFFPQFLRCKVDVRVLEEYSAVSAFLKNADRAFINTIKY >Manes.15G083266.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6431132:6431473:1 gene:Manes.15G083266.v8.1 transcript:Manes.15G083266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSNLLSFSIHLNEDIAGDYTSSDRPLGVKKAKLKKKLDESFSSALKCLHADNEKLVESLVNATAEREKGKLMKSRALDLKEFKEENKILLLDLNSISDPIARETFCQEKI >Manes.13G115200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32208569:32214097:1 gene:Manes.13G115200.v8.1 transcript:Manes.13G115200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGSSGTAPSCMDLSSLESRYLDSCCRHEVPPNSEVLSCFSKAKTQKCCRRKCSIVVSLNQLKDDDISPLIDAFLAVDSSDIDAVDILHESPCNLNEEHIMSLLHAINLKLRIVDLQDMSLEQDLLWDICQHGLSCEILNLRSTHIQKLNLVGNFTRLHTLNLDFCTSITSLHSDCFSCMPNLMRLSMCETRVVDLWTTTAALLKLPSLVELRFQNCLCCKDTGPCPASFGKKGNTACEKLGLAQLNMGSLIEPLPFNAEDTLIQVSHADGLHSNLLSPPSALMINEVHTGSNEVSVASETDISSCLQNIGLLELSSNVLPNLNEKVKLQNEIEDIHEYIASGCTWDLKNSTTALKNYSSHHPSPICFEKHYREYIIVSLPHLKVLDNLPIGQMDKKMARIIFSKYYEYLPYNQQHKESVASILQKREIGTCGACYQNFCKPKEKYLYQKSQYVFQRALTAAKFGSAAWPHLHPLYNLSNLNKEGSEQLRPRQFEYHPSDPSLMVFGTLDGEVVVMNHENGKIVGFIPSTGTMNSVLGLCWLKMYPSKLIAGSDNGSLKLFDIKYMLPKVADVNCSSGVVSFDDFEPLTSVHVNSTDDQFLASGYSKDVALYDINSRRRIQLFTNMHREPINVAKFSHHSPFIFATSSFDRDVKLWDLRQKPEQPCYTASSSRGNVMVCFSPDDQYLLVSAVDNEVKQLLTVDGRLHMNFEITSTGSAHNYTRSYYLNGRDHIISGSCDENVVRVCCAQTGRRVKDIYLEDSDSGNSLFVQSLRGDPFRLFHMSVLAASKRPSSKCEIIKVNLLSSSHSAKGYSYGQNIRTSFGLGG >Manes.13G115200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32208569:32213900:1 gene:Manes.13G115200.v8.1 transcript:Manes.13G115200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGSSGTAPSCMDLSSLESRYLDSCCRHEVPPNSEVLSCFSKAKTQKCCRRKCSIVVSLNQLKDDDISPLIDAFLAVDSSDIDAVDILHESPCNLNEEHIMSLLHAINLKLRIVDLQDMSLEQDLLWDICQHGLSCEILNLRSTHIQKLNLVGNFTRLHTLNLDFCTSITSLHSDCFSCMPNLMRLSMCETRVVDLWTTTAALLKLPSLVELRFQNCLCCKDTGPCPASFGKKGNTACEKLGLAQLNMGSLIEPLPFNAEDTLIQVSHADGLHSNLLSPPSALMINEVHTGSNEVSVASETDISSCLQNIGLLELSSNVLPNLNEKVKLQNEIEDIHEYIASGCTWDLKNSTTALKNYSSHHPSPICFEKHYREYIIVSLPHLKVLDNLPIGQMDKKMARIIFSKYYEYLPYNQQHKESVASILQKREIGTCGACYQNFCKPKEKYLYQKSQYVFQRALTAAKFGSAAWPHLHPLYNLSNLNKEGSEQLRPRQFEYHPSDPSLMVFGTLDGEVVVMNHENGKIVGFIPSTGTMNSVLGLCWLKMYPSKLIAGSDNGSLKLFDIKYMLPKVADVNCSSGVVSFDDFEPLTSVHVNSTDDQFLASGYSKDVALYDINSRRRIQLFTNMHREPINVAKFSHHSPFIFATSSFDRDVKLWDLRQKPEQPCYTASSSRGNVMVCFSPDDQYLLVSAVDNEVKQLLTVDGRLHMNFEITSTGSAHNYTRSYYLNGRDHIISGSCDENVVRVCCAQTGRRVKDIYLEDSDSGNSLFVQSLRGDPFRLHTSFSVSTRNEEKVIKFLCFFTIPLFGKLLIVFLKAAISHECPSCLQASKLQMRDHQGQFTLFQSLCQRIFLWSKHPYFLRSGRLTWVSFREVDMGIISFPSL >Manes.13G115200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32208569:32214097:1 gene:Manes.13G115200.v8.1 transcript:Manes.13G115200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHPILKCYHVSPRDICQHGLSCEILNLRSTHIQKLNLVGNFTRLHTLNLDFCTSITSLHSDCFSCMPNLMRLSMCETRVVDLWTTTAALLKLPSLVELRFQNCLCCKDTGPCPASFGKKGNTACEKLGLAQLNMGSLIEPLPFNAEDTLIQVSHADGLHSNLLSPPSALMINEVHTGSNEVSVASETDISSCLQNIGLLELSSNVLPNLNEKVKLQNEIEDIHEYIASGCTWDLKNSTTALKNYSSHHPSPICFEKHYREYIIVSLPHLKVLDNLPIGQMDKKMARIIFSKYYEYLPYNQQHKESVASILQKREIGTCGACYQNFCKPKEKYLYQKSQYVFQRALTAAKFGSAAWPHLHPLYNLSNLNKEGSEQLRPRQFEYHPSDPSLMVFGTLDGEVVVMNHENGKIVGFIPSTGTMNSVLGLCWLKMYPSKLIAGSDNGSLKLFDIKYMLPKVADVNCSSGVVSFDDFEPLTSVHVNSTDDQFLASGYSKDVALYDINSRRRIQLFTNMHREPINVAKFSHHSPFIFATSSFDRDVKLWDLRQKPEQPCYTASSSRGNVMVCFSPDDQYLLVSAVDNEVKQLLTVDGRLHMNFEITSTGSAHNYTRSYYLNGRDHIISGSCDENVVRVCCAQTGRRVKDIYLEDSDSGNSLFVQSLRGDPFRLFHMSVLAASKRPSSKCEIIKVNLLSSSHSAKGYSYGQNIRTSFGLGG >Manes.13G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32208569:32214097:1 gene:Manes.13G115200.v8.1 transcript:Manes.13G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGSSGTAPSCMDLSSLESRYLDSCCRHEVPPNSEVLSCFSKAKTQKCCRRKCSIVVSLNQLKDDDISPLIDAFLAVDSSDIDAVDILHESPCNLNEEHIMSLLHAINLKLRIVDLQDMSLEQDLLWDICQHGLSCEILNLRSTHIQKLNLVGNFTRLHTLNLDFCTSITSLHSDCFSCMPNLMRLSMCETRVVDLWTTTAALLKLPSLVELRFQNCLCCKDTGPCPASFGKKGNTACEKLGLAQLNMGSLIEPLPFNAEDTLIQVSHADGLHSNLLSPPSALMINEVHTGSNEVSVASETDISSCLQNIGLLELSSNVLPNLNEKVKLQNEIEDIHEYIASGCTWDLKNSTTALKNYSSHHPSPICFEKHYREYIIVSLPHLKVLDNLPIGQMDKKMARIIFSKYYEYLPYNQQHKESVASILQKREIGTCGACYQNFCKPKEKYLYQKSQYVFQRALTAAKFGSAAWPHLHPLYNLSNLNKEGSEQLRPRQFEYHPSDPSLMVFGTLDGEVVVMNHENGKIVGFIPSTGTMNSVLGLCWLKMYPSKLIAGSDNGSLKLFDIKYMLPKVADVNCSSGVVSFDDFEPLTSVHVNSTDDQFLASGYSKDVALYDINSRRRIQLFTNMHREPINVAKFSHHSPFIFATSSFDRDVKLWDLRQKPEQPCYTASSSRGNVMVCFSPDDQYLLVSAVDNEVKQLLTVDGRLHMNFEITSTGSAHNYTRSYYLNGRDHIISGSCDENVVRVCCAQTGRRVKDIYLEDSDSGNSLFVQSLRGDPFRLHTSFSVSTRNEEKVIKFLCFFTIPLFGKLLIVFLKAAISHECPSCLQASKLQMRDHQGQFTLFQSLCQRIFLWSKHPYFLRSGRLTWVSFREVDMGIISFPSLWYV >Manes.13G115200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32208569:32214097:1 gene:Manes.13G115200.v8.1 transcript:Manes.13G115200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGSSGTAPSCMDLSSLESRYLDSCCRHEVPPNSEVLSCFSKAKTQKCCRRKCSIVVSLNQLKDDDISPLIDAFLAVDSSDIDAVDILHESPCNLNEEHIMSLLHAINLKLRIVDLQDMSLEQDLLWDICQHGLSCEILNLRSTHIQKLNLVGNFTRLHTLNLDFCTSITSLHSDCFSCMPNLMRLSMCETRVVDLWTTTAALLKLPSLVELRFQNCLCCKDTGPCPASFGKKGNTACEKLGLAQLNMGSLIEPLPFNAEDTLIQVSHADGLHSNLLSPPSALMINEVHTGSNEVSVASETDISSCLQNIGLLELSSNVLPNLNEKVKLQNEIEDIHEYIASGCTWDLKNSTTALKNYSSHHPSPICFEKHYREYIIVSLPHLKVLDNLPIGQMDKKMARIIFSKYYEYLPYNQQHKESVASILQKREIGTCGACYQNFCKPKEKYLYQKSQYVFQRALTAAKFGSAAWPHLHPLYNLSNLNKEGSEQLRPRQFEYHPSDPSLMVFGTLDGEVVVMNHENGKIVGFIPSTGTMNSVLGLCWLKMYPSKLIAGSDNGSLKLFDIKYMLPKVADVNCSSGVVSFDDFEPLTSVHVNSTDDQFLASGYSKDVALYDINSRRRIQLFTNMHREPINVAKFSHHSPFIFATSSFDRDVKLWDLRQKPEQPCYTASSSRGNVMVCFSPDDQYLLVSAVDNEVKQLLTVDGRLHMNFEITSTGSAHNYTRSYYLNGRDHIISGSCDENVVRVCCAQTGRRVKDIYLEDSDSGNSLFVQSLRGDPFRLFHMSVLAASKRPSSKCEIIKVNLLSSSHSAKGYSYGQNIRTSFGLGG >Manes.11G014501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1571930:1578459:1 gene:Manes.11G014501.v8.1 transcript:Manes.11G014501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAVPNIMGDEGKFERRYRKSREESQALWSDPVYLKRGVNEKGRVANDVETEQIVFEDVPEGHPVQISSIVQDRGSIPLFWSQETSRLHIKPDIILLKKDQNYEATKLHFENLTHEKKPRESILRTEFANAIRYINKGLSEDKRLRFLHWDLHRHSRKATNVLSLLGKVAAYALNLTGIFYCQVTPSLRPIGFLYSSEKNDDECSLKITSNENDLRRNLDSGITNACSEDDINQSIKTPMFQNGVLRTNCIDCLDRTNVAQHACGLVALGHQLRALGLIESENIDLDNPLAEDLLGIFETMGDTLALQYGGSAAHNKRKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQQHNVRGHGPDLVDERASSSDGNLLCESDSLVTATKVAHNKAFSEEQGVCKGLSDSTPEISTCESDMSYSRYTPSMPRMQLFKDIGEEYYESDPICYDEHGDACSCSNFLDLDWLSSSGNSCEGDPFDRSIAGLSHDCLVNELKREISASESGSSSKGRNQTGTDISSEDETVREVDFQSGFYGG >Manes.07G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31833582:31837665:1 gene:Manes.07G112700.v8.1 transcript:Manes.07G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSNLPNRLRCHHCAGPLSKEMETSDWTVPPLIRDSFSMIGSAVGGTASAFYGFNHVIPVVRKWVKGPMWLHFLIGAPPVIVFSSACAGLIGGAVPALAQLASSSYHASVSSPSRPPPQDQKMHSSRSSSTL >Manes.07G112700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31833677:31836022:1 gene:Manes.07G112700.v8.1 transcript:Manes.07G112700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSNLPNRLRCHHCAGPLSKEMETSDWTVPPLIRDSFSMIGSAVGGTASAFYGFNHVIPVVRKWVKGPMWLHFLIGAPPVIVFSSACAGLIGLYFLILSIKFCIAQ >Manes.09G016699.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3505802:3508824:-1 gene:Manes.09G016699.v8.1 transcript:Manes.09G016699.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNIFKSASFTHLDDAIASFNHVIHQHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTLINGLCMEDKIDKAVEFFDDMVARGYQPDVCTFNVIVNGMCKFGKTSVAIRLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMKNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNISPDVCTFNVLIDALCKDGTEAQNTFNVMIQRGVEPNVITYTSLIDGLCISGQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSIAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKLFDLMVTNGMADIFSYNILINGYCKCKMIDDAKRIFDEMSHKGLVPDAVTYHTLIKAMFQAGRPQTAKELFKDKCSHGQQQNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPDLVTYSILINGMCKAGKINDAKELFSSLFENGLQPDVYVYSAIMNGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLSRNNDLILRLLKVRNEGSTN >Manes.08G017400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1787396:1792259:1 gene:Manes.08G017400.v8.1 transcript:Manes.08G017400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRITSSQAPLLCPHRRYKLTPQTLPVSRRTPIKPFINAVFSKSAADVPPLPTSSPAIPSPTPSSTVSTDRKKVSPNSLRYPPGYLGAVPERTVQDGSNNIINAMEYLTNILSAKVYDVAIESPLQFAPKLSERLGVKVFLKREDLQPVFSFKLRGAYNMMAKLPKEQLERGVICSSAGNHAQGVALAAKKLGCNAVIAMPVTTPEIKWQSVERLGATVILVGDSYDEAQAYAKKRAKEEGRTFIPPFDHPDVIMGQGTVGMEIVRQVQAPIHAIFVPVGGGGLIAGIAAYVKRVNPEVKIIGVEPSDANAMALSLHHGERVILDQVGGFADGVAVKEVGEETFRLCKELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGKNVVAITSGANMNFDKLRVVTELANVGRQQEAVLATVLPEKPGAFKRFCELVGPMNITEFKYRCNSEKEAVVLYSVGLHTVFEIDALQQRMESSQLRTCNLTSSDLVKDHLRYLTGGRLKVSDEVLCRFVFPERPGALMKFLDAFSPRWNISLFHYRGQGETGANVLVGIQVPENEMDEFHSRANSVGYDYVIVTDDINYQLLMH >Manes.14G053200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4510028:4512552:-1 gene:Manes.14G053200.v8.1 transcript:Manes.14G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFFCIRLSQTGHRTSPVTFINSFLIPTFSHKLMFNLSNSDLPESLTISYLQKSCGLSLQAAISVSRKLQLESTDNPDLVLNLLTAHGLSQTHIKNLITKRPMLLLADLDNTLKPNMDLFKSLGFSGTNLAKMIIKEPRVLEVDAKTVVQFFRENGFSKKQIATLTLKRPSLYLFKSDRNFKPKFEFLKSLGFSELDLATILSTAPYILERSLENQIIPCINVLRRVVGNDMIVQKVIMGCHRFLYFNVEKMLEPNMSTLVNHGVPESIISKIFLFHPKALLLKTDKLNQIINEVKNLGFTPTNIVFVVAVRTLVTTNKRLWEKKLEAYGSFGLSRDEIVMAFKLQPMFMIASEKKIRKSMDFFVNKLNLKPSVISKNPNLVLLSVEKRIIPRCCVLHILMSKELIKEDFNLAYMLTMTEKRFMEKLVTKYQDVVPEVVKAHQGRMEFQGFPRDMKM >Manes.09G146100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34387270:34387872:-1 gene:Manes.09G146100.v8.1 transcript:Manes.09G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAKDTNQSMRRSRKGCMKGKGGPENALCTYRGVRQRTWGKWVAEIREPNRGNRIWLGTFNTSHEAAKAYDQAALKLYGSSATLNLPQYCHTAAAAPANTLSTECQELGNGIETAASFSSQAVQELGNGAGTCCSSGEMGTAASFSSQPDSGSVEGGNIMHWPEFGIETEFLGSCDVGIATVGGEGLNWDGYPEQWSI >Manes.06G169100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29385031:29385444:-1 gene:Manes.06G169100.v8.1 transcript:Manes.06G169100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLTVGNHDDPGSGEEEKIPAQKRRGRPQKPLKDDIDDEEVEKIEEEDGENGKAGITSKEAKSPISAENGKKRKRYTQAKDKPDSVKEENGVATRSSTEDATKSNGFRHNGSRRKNKPRRAAEAGVECK >Manes.18G144840.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21525694:21527185:1 gene:Manes.18G144840.v8.1 transcript:Manes.18G144840.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.08G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34648102:34653656:1 gene:Manes.08G107000.v8.1 transcript:Manes.08G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESETTSLHNPTTTVNPDEPMENKVNQEVMPMEQETVSFPEGGKEENPKFDELPRETKQLKGESNNKQTEPPEIEVKIDGSSAVDALLEDKIEMEQDIPHSHAHSSLEPAGDAVKSQPVAQPANESVAEVQEEQPATASVEKIEQKQPTIVDISESSAEAVEKPEELSAVVPTKESEEVVVRDPEDSEVVSKGVNKQETVVSEVAVKVEEQSEVTEHSAQPESVSEIGKQQEPPAVLAIRESEAVVKDIEASEAASKETDEPESVVPELEATQKEQSEVAKQDERVESIEATEKQQESLEVLPVKESEAVVINDIEDSAVPENVDKPESVVPAEVEMKPEEQSEVIKVDEKPESPEAEADIQLKVGYEVPENIEIKSIEKKTESSVLVDEVKPEDESEVSGQVEPKGSIKAETVIDEGTLADKVEIKSIKEKTEPVVPVVEVKPEHETEVIGQVEPKGSIKAETVPEHETEVIGQVEPKGSIKAETVIDEGTLADKVVDTIALKEERTSKEEEPSAASEQIVLVKQEAEADLKEGIEESSLADGAETTDLENGKKETDGTEVVEAPPKKAIVQMENDGQEREEKTIKSEVENAEREELNKGLNEPIKVDDFKAAVSNTEITERSFEGEQTGQVIEPLAENKKEENIKEKTPALVETSKDGSIEGKLDEAITAVTEPVNKSPDSGLEVKDEESAKTGEGKVGKENAEIEKSDTQNLEPPTEDGDDAKASQDLPREVPAKPIQNMKEDTPALVETSKDGSIEGKLDEAIRAITEPVNKSQGSGLEVKDEGSAKSGEGKVGKEDAEEIAKSDALYLEPSIKDGDDAKASQDLPREVPAKPIQKIKKENTKEGTPALVETSKDGFIGGKLDEAITAVTEPVNNFKDSGLEAKDEESAKASEGKVGKENVEEIAKSEAWNLESSTKDGDDAKASQGLPREIPAKPIQKQSNNILTKMKHSLVKAKKAIIGKSTSSKTLSSDTKGDIKVK >Manes.09G071700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12236369:12238345:-1 gene:Manes.09G071700.v8.1 transcript:Manes.09G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVSNTLDGQVHHFLSSSAGIDSALYARFLQHFTDHRLPLQAKQLHAQLILSSVTPSNYLASKLITLYAKTNHLRYARHVFDQISYKNTFSYNAMLISYSFCNRHADALKLFSSLACSSSVNASPDNVSITSLLKSLSSLLFIDVKLGKEVHGFGLRRAFDADVYVENALITFYSTCDELVLARKVFDRVRKRDVVTWNSMIAGYSQGGFYEECKRLYKEMVEFSGFKPNGITMGSVLQACGQTMDLVFGMEVHRFIVDNQVEMDLSLCNTLIGFYAKCGSLDYARELFELMSERDEVTYGAIISGFMLHGYVDQSLDLFRGMKTHLLSTWNAVISGLVQNKQYQRALDLTREMLVLGFRPNAVTLSSILPTFSYFSSLKGGKEMHAYAVKYGYDGNIYVATATVDMYAKLGYLGGAQRVFGQSKGRSLIIWTAIISAYAIHGDANMTLGLFHEMLNSGMQPDLVTFTAVLTACAHCGMVDEALEIFEAMFNKYGVLPLVEHYACVVGALSRAGRLSEAKDFVSKMPIKPSASVWGALLHGASVAGDVELGEFICDHLLEIEPENTGTYVIMANLYSQAGRWKEADEVKERMNKLGLKKLVGNSWIETSKGIQSFISMDKSSEDAEGIYVILKGLVGMMREKREVVQYELDEESIYG >Manes.04G066380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26352315:26353021:1 gene:Manes.04G066380.v8.1 transcript:Manes.04G066380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFTFIISSLLFTFLLLPTSTEQTQLFDYGRRAGIPRGGGGEDLGEISSEKALDKMLSQLPFEYGWWWRSGRDIFRKSIR >Manes.01G147900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33763974:33765439:-1 gene:Manes.01G147900.v8.1 transcript:Manes.01G147900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYSAFFLHKPKYVLSSKLPLLSPHFYISSWFSQANPARSHHISSLEAEAITVDVKAAKGFLESGYVYLDVRTVEEFKKGHVQTEKILNIPYMFNTPEGRVKNPKFLNEVSAVCKEEDHLVVGCQSGVRSLSATADLLNAGFKDASNMGGGYIAWVQNGFPLKVEEEEKAQL >Manes.01G147900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33762238:33765521:-1 gene:Manes.01G147900.v8.1 transcript:Manes.01G147900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYSAFFLHKPKYVLSSKLPLLSPHFYISSWFSQANPARSHHISRTVEEFKKGHVQTEKILNIPYMFNTPEGRVKNPKFLNEVSAVCKEEDHLVVGCQSGVRSLSATADLLNAGFKDASNMGGGYIAWVQNGFPLKVEEEEKAQL >Manes.01G147900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33763943:33765516:-1 gene:Manes.01G147900.v8.1 transcript:Manes.01G147900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLYKSLEAEAITVDVKAAKGFLESGYVYLDVRTVEEFKKGHVQTEKILNIPYMFNTPEGRVKNPKFLNEVSAVCKEEDHLVVGCQSGVRSLSATADLLNAGFKDASNMGGGYIAWVQNGFPLKVEEEEKAQL >Manes.07G014300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1745517:1746421:1 gene:Manes.07G014300.v8.1 transcript:Manes.07G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGSAVVGMVVLWLLLLHFEMGSAATYTVGGSSGWTFNVSGWPKGKRFRAGDIIVFNYSPSAHNVVAVGRPGYSSCKAPKGAKVYTSGKDRIKLVKGQNFFLCSFAGHCQAGMKIAITAV >Manes.07G121700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32598378:32600493:1 gene:Manes.07G121700.v8.1 transcript:Manes.07G121700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGASKSAAFLLSIINIALYFIIIVIASWAVNHGIKRTRETASVLSIPARIFPIYFPMGNMATGFFIIFSLLCGVVGIGTSLTGLHNIVQWNVPNLHAAAASSVTSLSLTLLAMGLACKEINVGWTDSNLRTLEVVTIIVSATQLFCTGAIHAGVGDVTARYGA >Manes.07G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32598867:32600493:1 gene:Manes.07G121700.v8.1 transcript:Manes.07G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGASKSAAFLLSIINIALYFIIIVIASWAVNHGIKRTRETASVLSIPARIFPIYFPMGNMATGFFIIFSLLCGVVGIGTSLTGLHNIVQWNVPNLHAAAASSVTSLSLTLLAMGLACKEINVGWTDSNLRTLEVVTIIVSATQLFCTGAIHAGVGDVTARYGA >Manes.07G121700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32599191:32600467:1 gene:Manes.07G121700.v8.1 transcript:Manes.07G121700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGASKSAAFLLSIINIALYFIIIVIASWAVNHGIKRTRETASVLSIPARIFPIYFPMGNMATGFFIIFSLLCGVVGIGTSLTGLHNIVQWNVPNLHAAAASSVTSLSLTLLAMGLACKEINVGWTDSNLRTLEVVTIIVSATQLFCTGAIHAGVGDVTARYGA >Manes.01G137900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32985700:32986132:-1 gene:Manes.01G137900.v8.1 transcript:Manes.01G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVNPQSCWRSCLHLSGFFSSSFPWVCFLHVLEIFLTWKRSVLSGLLHNAFKRETMNRVEYWRSTETWPHNAFRSSHVP >Manes.07G095804.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30006643:30016506:-1 gene:Manes.07G095804.v8.1 transcript:Manes.07G095804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKERVYDFLAGLNNEYDLIRVQVLGRNPFPSLQEAHAHVQQEESRRHAMLYTAPVEKAGLTTSLSTPQPPTSEKDQLHCDYCGKPRHTKETCWKLHGRPTRGRGGKRGTSRNQATLAETVEEPFKETTTTEFLSANELQSLKRLLSHIDTSSSSGATSNFVKSVRQIGTKEGEDDKSA >Manes.10G012000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1253398:1259271:1 gene:Manes.10G012000.v8.1 transcript:Manes.10G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTQPEVVYSPRSLQLWRTLWNWLAFFFQIFLQILRALGHLPLLSSSSSSNSFKPLPVVELPETDSVATLEITAGPDSALADEAIKKLTVVLDLDETLICAYETSSLPAILRNQATEAGLKCFELECVSSDKECEGKPKINYVTVFERPGLAEFLKQLSKFADLVLFTAGLEGYAKPLVDRIDTENLFSLRLYRPSTVSTEYREHVKDLSCLSKDPCRIVIVDNNPFSFLLQPVNGIPCVPFSAGQPYDTQLLDVLLPLLKRLSDQKDVRPVLYERFHMPEWFRNQGIPVSGWT >Manes.05G204900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33150796:33161990:1 gene:Manes.05G204900.v8.1 transcript:Manes.05G204900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLKKVWESVSNRASSCSSSSDESLNPPGDALLSSLGAFDRIPIDVVMQIVRLLGPKDAARLSVVCKSWRSLVSDNRLWIYFLQNYHDSWDSVFFAETNLRSGYPIQAYASHITTELSFMRIYGEREQVPGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNIESPMYSRLRHFFATIYSRMQVRPSAQPIVVSLPICHYDDTESAKASRRQLKEAMYSALFDMNAPAVCAINQATLALYAARRTSGIVVNIGFQVTSVVPILHGKVMRKVGVEVVGIGALKVTGFLREQMQQNNINFESLYTVRALKENLCYVAADYDAELCKDTKASLEVPGEGWFTLSKARFKTGEILFQPRIAGVRAMGLHQAVGLCMDHCHAAELTGDDAWFKTVVLSGGTACMPGLAERLEKELHGLLPASVCSGIRVIPPPYGADTAWFGAKVISNLSTFPGTWCVTKKQFKRKSRFNLAW >Manes.05G204900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33150796:33161990:1 gene:Manes.05G204900.v8.1 transcript:Manes.05G204900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLKKVWESVSNRASSCSSSSDESLNPPGDALLSSLGAFDRIPIDVVMQIVRLLGPKDAARLSVVCKSWRSLVSDNRLWIYFLQNYHDSWDSVFFAETNLRSGYPIQAYASHITTELSFMRIYGEREQVPGSVIIDGYCKFGWSKYACPSGRSATFLEFGNIESPMYSRLRHFFATIYSRMQVRPSAQPIVVSLPICHYDDTESAKASRRQLKEAMYSALFDMNAPAVCAINQATLALYAARRTSGIVVNIGFQVTSVVPILHGKVMRKVGVEVVGIGALKVTGFLREQMQQNNINFESLYTVRALKENLCYVAADYDAELCKDTKASLEVPGEGWFTLSKARFKTGEILFQPRIAGVRAMGLHQAVGLCMDHCHAAELTGDDAWFKTVVLSGGTACMPGLAERLEKELHGLLPASVCSGIRVIPPPYGADTAWFGAKVISNLSTFPGTWCVTKKQFKRKSRFNLAW >Manes.05G204900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33150796:33161990:1 gene:Manes.05G204900.v8.1 transcript:Manes.05G204900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLKKVWESVSNRASSCSSSSDESLNPPGDALLSSLGAFDRIPIDVVMQIVRLLGPKDAARLSVVCKSWRSLVSDNRLWIYFLQNYHDSWDSVFFAETNLRSGYPIQAYASHITTELSFMRIYGEREQVPGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNIESPMYSRLRHFFATIYSRMQVRPSAQPIVVSLPICHYDDTESAKASRRQLKEAMYSALFDMNAPAVCAINQATLALYAARRTSGIVVNIGFQVTSVVPILHGKVMRKVGVEVVGIGALKVTGFLREQMQQNNINFESLYTVRALKENLCYVAADYDAELCKDTKASLEVPGEGWFTLSKARFKTGEILFQPRIAGVEARERTAWTSSRISLQWNKSHSSSLWRRHCMVWSKSHQQPKHLPWNMVCDKEAIQTEIKIQSGMVTTEAFAQMESTFMEKAQIHMTKIVK >Manes.03G020950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1772480:1773570:1 gene:Manes.03G020950.v8.1 transcript:Manes.03G020950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVLVARGKPLTSIENKPVNEKDISARSKEMEGLLGSINICCLVPLSLYMHVHQTSSLISVPSSNYFESQMNHVPAQLFSSIDQS >Manes.01G183900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36195572:36198157:1 gene:Manes.01G183900.v8.1 transcript:Manes.01G183900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLVKYFVVDAFTDSVFKGNPAAVCLLEEEKDENWLQAVATEFNISETCYLTPIIVDSDASNSNPRFRLRWFTPVAESNSFFLQVKLCGHATLAASHALFSNGLVNSNIIEFVTLSGILTAKKVPDGEAFLIELNFPAIPITEFNSIDLAPISKALNGASIIDIKSSADDLFVVLPSAKAVTELKPQFDEILKCPGKGGILVSGAAPSESAFDFYSRYFCPKFGINEDPVTGSAHCALAPYWSKKLGKCDFMAYQASKRGGILNIHLDEQNQRVLLRGKAVTVMEGSLLV >Manes.01G183900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36195572:36198157:1 gene:Manes.01G183900.v8.1 transcript:Manes.01G183900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLVKYFVVDAFTDSVFKGNPAAVCLLEEEKDENWLQAVATEFNISETCYLTPIIVDSDASNSNPRFRLRWFTPVAEVKLCGHATLAASHALFSNGLVNSNIIEFVTLSGILTAKKVPDGEAFLIELNFPAIPITEFNSIDLAPISKALNGASIIDIKSSADDLFVVLPSAKAVTELKPQFDEILKCPGKGGILVSGAAPSESAFDFYSRYFCPKFGINEDPVTGSAHCALAPYWSKKLGKCDFMAYQASKRGGILNIHLDEQNQRVLLRGKAVTVMEGSLLV >Manes.07G025200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2736962:2737760:1 gene:Manes.07G025200.v8.1 transcript:Manes.07G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAAQVHILAATGFCAPSSTKPTNFFPRTFFLGHGFIRTTLHNLTISPSRFDVSPHAKANPTGEQKWTHEGSVTESLPNGMFRVRLDNEDVIIGYISGKIRKNFVRILPGDRVKVEVSRYDSSRGRIVYRMRNRDTGND >Manes.17G106700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31355184:31377821:1 gene:Manes.17G106700.v8.1 transcript:Manes.17G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEERWRLLRCAGGGQDKTIINQIMLRFRPIAPKPASGAPDADNSSFNNKKLLLSTRRTKRKYVRVSKNNRLIKRNQKFSSSDQGNKEKEIDHGGFDKVVTLQLLPERSDQLKKSPERGRSWCNIDLTEKLFHDPENNNNNQAASMRLKLKQPVIDGVDQTVAMVPKRREVVVSWVTVESVTDTYGCTEGRGLGCTDMERVKNLDEDTRPGFISDGSNRVWWVNGAYKRMVSVMEEEKESESVEIMVCLVTKEKLVPYMYCCVFTCWVRLQYAWKEEKWWSQIVPCDVWRMDCGGFAWRLDVEAALGLGR >Manes.10G095100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24244656:24246991:1 gene:Manes.10G095100.v8.1 transcript:Manes.10G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLFLLFFLRIPHVSSLSFNFPSFDSSNHDINYEGDASLLDSVIQLTPNQLSQTGHATYVEPMHLWDNASGSLADFTASFSFSIDSQNNASHADGLAFFIVPPQYRMPDEKQGSGIGLASGNLTLNSTANPFVAVEFDTYYNPWDDMDDDHVGIDINSLKSLKSVKWYSSVMDGRVMDSRISYNSSSMNLCVYFTGISENIKVDQNLCYEVDLRGYLPEWVLLGFSGATGANSEFHRIHSWSFDSSFQPHKNSTTTPSPPPPDMPVPISTGKDENKAGKIAGVVIGSLGACVIVFLGIWYFRHKKKSKTKPYAHPIGSVQMSSTSVGNIEEAEVDSFVVQSQDNEFRRVTGAKKIPYEALICATNNFDENEKLGEGGFGAVFKGYLKDLRIFVAVKRIFVSSIVGIKGYAAEVMILSQLRHKNLVQLIGWCHERKAILLVYEYLENRSLDFHLYNEETLLKWEQRFKIAQGLASGLQYLHHGWKEKQCVLHRDIKPSNVMLDSDFNSKLGDFGLARLQDNDGRSQTLQGGSRGYIAPECWDTGRSIKESDVFSFGVVALEIACGRKPFVQKEDGSQVHIVEWLWEFYGRRKLIEAADPKLEDFKRKQMECLMIVGLWCGHPHYAFRPSMSDVIDVLNFAADPPELPPKFPGPEHCLYAQGSSTVSSSITATFITAPTQHSTYDSQSKNSNFTSPTSPLIMNQVKSGPSRTQDINI >Manes.01G158100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34377744:34381255:-1 gene:Manes.01G158100.v8.1 transcript:Manes.01G158100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPIDETSNFGRRLARYLVKHMASHFPVFLHVEDINAFHSARAYVFGYEPHSIIPSGLGIFSDSMGLMPLPKIKILASSAVFITPFLRHLWTWCGVRAATKENFTSLLEAGYSCVLVPGGTQETCYTKHDNEIAFLKSRRGFIRIAMETGKPLVPVFCFGQSGVFNWWKPGGKLFVKIARTIKFAPFFFWGILGSPIPYQRPIHVVVGKPIEVRKNPQPKMEEVVEVQNQFITALQDLFERHKAQVGYPDLKLEIM >Manes.01G158100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34377744:34381255:-1 gene:Manes.01G158100.v8.1 transcript:Manes.01G158100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKMNGNNDNQEVTIFNSREICPTSLFHSILAFTIWTAGIHLNFLLFFIPLLFLPFSKFLLFFGFQLLLMFIPIDETSNFGRRLARYLVKHMASHFPVFLHVEDINAFHSARAYVFGYEPHSIIPSGLGIFSDSMGLMPLPKIKILASSAVFITPFLRHLWTWCGVRAATKENFTSLLEAGYSCVLVPGGTQETCYTKHDNEIAFLKSRRGFIRIAMETGKPLVPVFCFGQSGVFNWWKPGGKLFVKIARTIKFAPFFFWGILGSPIPYQRPIHVVVGKPIEVRKNPQPKMEEVVEVQNQFITALQDLFERHKAQVGYPDLKLEIM >Manes.17G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24789327:24791025:-1 gene:Manes.17G050600.v8.1 transcript:Manes.17G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMHSQSSANELLQAQVHLYHHIFKYINSMSLKCAVQLRIPDIIHSHGKPITLSELVSSLHIHPTKISLVHRFMRMLVFSGFFSTTKVRNPQEEEQEAYDLTPYSKLLVKDHPYCLTPFVDSLLKPDFLTPGYFLGDWFRGNEVTIFEKAHGMAFWEYNDRNPEFNKLFNEAMASDSQMMNLVIRDCKSIFEGVNSLVDVGGGNGSLARIISEAFPHMKCTVLEIPQVIANLEDTKNLNYVGGDMFQHIPSADAIILKLILHGWSDEECLKILKKCKEAISRKGEGGKVIIIDLVINEKKDEHELTETKLLFDMLMMYVSRGKERTEKEWEDLFLKAGFGRYKITPVLGLRSLIEVYP >Manes.18G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9979053:9980708:-1 gene:Manes.18G103900.v8.1 transcript:Manes.18G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKAAAAAAPPPLLCNHQRSGSVGKSSRVNTTPRIVRVSASKSAGFSLNSILSGCKTCRGKSAIECPGCKGTGKNKKNGNIFERWKCFECQGFGLKSCPSCGKGGLTPEQRGER >Manes.14G162200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22721508:22740953:-1 gene:Manes.14G162200.v8.1 transcript:Manes.14G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQENGSGNVNENSIPPESEEEPVIGPGPAPMARAKRPLQFEQAYLDALPSANMYEKSYMHRDVVTYVAVSPADFFITGSFDGHLKFWKKKDVGTEFAKHFRSHLGPIEGLAVSVDGLLCCTISSDQSVKVYDVVNYDMMVMIQLSFIPGSIEWVYKQGDVRARLAFSDQNSSFVCIYDARAGSNEPIIAKEIHLGLVKVMKYNHGIIKYWSPATLQFPESEVNFRLKSDTNLFEIVKCKTSVSTMEVSPDGKQFSITSPDRRICVFWFRTGKLLRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKEIEKTETAPQPNTEENNDRCLQITLCQGDRSCKKVRKIPAAAANVNESKDPLADPTLLCCAFKKHRIYELEDATKGRDVFNEKPPPDELLVAVSDIGKAVATSLPNNVILHTTMGDIHMRLYPEECAKTGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLPHGRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQAIEKVKTDKADKPYQDVKILNDCSQVLVESP >Manes.06G077700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21417711:21420213:-1 gene:Manes.06G077700.v8.1 transcript:Manes.06G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLTEIPPPSRFFQEDLNNFTPPSSPLPSPFLLFSNPKPDQPLLPSLLVIALSTSSHFVFDHVSSKTLIGSVFLPEIPFSGNTIKPSLGDKSCNIYALNDADSLTFLVCIQCSVSTERSNAVAKLLIGEQIIPERVLILDSVQSQNFRGKLSSDETCAFKLETLAERKGQDGVYGGSSLLKGLDYFPSGSMVDGLAAALLARCQMRNIRGTLCLSWPQYGGSVVALIKSILQRTVLHGIDLSSKGDAEDKYLRFGKIKDHPFDSELYT >Manes.13G066400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8477110:8482749:-1 gene:Manes.13G066400.v8.1 transcript:Manes.13G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTDTTWVGKKPLRRIGGMSDALSIAADLGFSVAPPPSQEELQNLSIATGEKGDDLIRVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVSDFQWSQNFKESPSIWGEMLRPIPVALASCTRFFEAMSAMRESFATLQNLRVGDSVSSLPKTPANDPSQRLPGDSDCATPPPWRNESSFDDLAVKSQRKQELERQEADDESSEMGDSNQVDGTSHRRLSWPPSVKKNGI >Manes.13G066400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8477110:8482749:-1 gene:Manes.13G066400.v8.1 transcript:Manes.13G066400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHRQEELQNLSIATGEKGDDLIRVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVSDFQWSQNFKESPSIWGEMLRPIPVALASCTRFFEAMSAMRESFATLQNLRVGDSVSSLPKTPANDPSQRLPGDSDCATPPPWRNESSFDDLAVKSQRKQELERQEADDESSEMGDSNQVDGTSHRRLSWPPSVKKNGI >Manes.05G042600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3441117:3444153:-1 gene:Manes.05G042600.v8.1 transcript:Manes.05G042600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPQEPVQIQDSPLPKVLLLKKPPAFFIIGEQPFTSTKFHYLKAYESPLPLDQFLATHALSIQAILSSAIAPLTVDILQLLPAVRVVVTTSAGLNHLDLPECRRRGITIANTGSIYSADVADLAVGLLIDVFRKISAGNRYVKQGLWPIRGDYPLGSKLAGKRVGIVGLGNIGYEVAKRLEAFACCISYNSRKKKPFVSYPFYENVCELAANSDALIICCGLTDQTHHMINKEVLLALGKTGVIVNIGRGPIIDEKEMVRCLVEGEIAGAGLDVFENEPDVPKELFELDNVVLSPHCAVFTHESLKALSELVVGNLEAFFSNKPLLSEYVDE >Manes.05G042600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3441404:3444100:-1 gene:Manes.05G042600.v8.1 transcript:Manes.05G042600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPQEPVQIQDSPLPKVLLLKKPPAFFIIGEQPFTSTKFHYLKAYESPLPLDQFLATHALSIQAILSSAIAPLTVDILQLLPAVRVVVTTSAGLNHLDLPECRRRGITIANTGSIYSADVADLAVGLLIDVFRKISAGNRYVKQGLWPIRGDYPLGSKLAGKRVGIVGLGNIGYEVAKRLEAFACCISYNSRKKKPFVSYPFYENVCELAANSDALIICCGLTDQTHHMINKEVLLALGKTGVIVNIGRGPIIDEKEMVRCLVEGEIAGAGLDVFENEPDVPKELFELDNVVLSPHCAVFTHESLKALSELVVGNLEAFFSNKPLLSEYVDE >Manes.05G042600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3441117:3444153:-1 gene:Manes.05G042600.v8.1 transcript:Manes.05G042600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPQEPVQIQDSPLPKVLLLKKPPAFFIIGEQPFTSTKFHYLKAYESPLPLDQFLATHALSIQAILSSAIAPLTVDILQLLPAVRVVVTTSAGLNHLDLPECRRRGITIANTGSIYSADVADLAVGLLIDVFRKISAGNRYVKQGLWPIRGDYPLGSKLAGKRVGIVGLGNIGYEVAKRLEAFACCISYNSRKKKPFVSYPFYENVCELAANSDALIICCGLTDQTHHMINKEVLLALGKTGVIVNIGRGPIIDEKEMVRCLVEGEIAGAGLDVFENEPDVPKELFELDNVVLSPHCAVFTHESLKALSELVVGNLEAFFSNKPLLSEYVDE >Manes.05G042600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3442429:3444100:-1 gene:Manes.05G042600.v8.1 transcript:Manes.05G042600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPQEPVQIQDSPLPKVLLLKKPPAFFIIGEQPFTSTKFHYLKAYESPLPLDQFLATHALSIQAILSSAIAPLTVDILQLLPAVRVVVTTSAGLNHLDLPECRRRGITIANTGSIYSADVADLAVGLLIDVFRKISAGNRYVKQGLWPIRGDYPLGSKLAGKRVGIVGLGNIGYEVAKRLEAFACCISYNSRKKKPFVSYPFYENVCELAANSDALIICCGLTDQTHHMINKEVLLALGKTGVIVNIGRGPIIDEKEMVRCLVEGEIAGAGLDVFENEPDVPKELFELDNVVLSPHCAVFTHESLKALSELVVGNLEAFFSNKPLLSEYVDE >Manes.01G165700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34956597:34968257:-1 gene:Manes.01G165700.v8.1 transcript:Manes.01G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLDKHMLSRKIEDAVNAAVRAKTSDPVLFISNHMRRVVPSVITKIKARQILDSRGIPTVEVDLHTNKGMFRASVPSGDVTGMYEAVELRDGDKGTYLGNSVARAVKNVNEKISEALIGMDPTLQSQIDQAMIDLDKTEKKGELGANAILAVSIAACKAGAAEKEVPLYKHIADLSEKTSLTLPVPAFTVISGGKHSGSNLAIQEIMILPIGAGRFAEALQMGSETYHHLKAVITEKYGEQGCNVGEDGGFAPNISSVQEGLDLVKEAINRTGYNGKIKIAIDVAATAFCIGTKYDLDFKSPNRSGQNFKSGEDMIEMYKELCNEYPIVSIEDPFDKEDWEHIKYFTSLGLCQVVGDGLLMSNPKRIERAIHESTCNALLLKINQIGTVTEALEVVKLAKDAQWGVVASHRSGETDESFISDLSVALAAGQIKAGAPCRGERLAKYNQLLRIEEELGDQAVYAGEDWRLT >Manes.12G089598.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15248740:15254669:-1 gene:Manes.12G089598.v8.1 transcript:Manes.12G089598.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATRAFTLKFSLPSFAFSTKTCMESPPRSPKANIFNSSCRSPSRKADAKDTGYASITLQEWQGWGTTSPVPAKVLEVVEDFKLLEKANDAQMTFGGSGGKLQGEFKIQEDKKHRATYEALGDSEKKIQFFSARQIACRVLGSRDYLCQKCWLPLEEDCMCSKVKHSSLWPGVRFWLYMHPKDFLRQNNTGKLLWQVFGVQSATLCLFGIPEHEEIMWNAFKLAGKDKVWCLYPNKNAVTMSVYDAFGQGFSADLEHSPTVKNEDKTLNFVLIDGTWSNSAAMFRRLKEQTKSVWGEEDLPCISLVTGASTMHKLRPQPSWDRTCTAAAAIGLLSELQCLPGFNSCGLDKQVEALEDALVVLLEALTARRLRMGRSITRKVRHISNIC >Manes.12G089598.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15248740:15254669:-1 gene:Manes.12G089598.v8.1 transcript:Manes.12G089598.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATRAFTLKFSLPSFAFSTKTCMESPPRSPKANIFNSSCRSPSRKADAKDTGYASITLQEWQGWGTTSPVPAKVLEVVEDFKLLEKANDAQMTFGGSGGKLQGEFKIQEDKKHRATYEALGDSEKKIQFFSARQIACRVLGSRDYLCQKCWLPLEEDCMCSKVKHSSLWPGVRFWLYMHPKDFLRQNNTGKLLWQVFGVQSATLCLFGIPEHEEIMWNAFKLAGKDKVWCLYPNKNAVTMSVYDAFGQGFSADLEHSPTVKNEDKTLNFVLIDGTWSNSAAMFRRLKEQTKSVWGEEDLPCISLVTGASTMHKLRPQPSWDRTCTAAAAIGLLSELQCLPGFNSCGLDKQVEALEDALVVLLEALTARRLRMGRSITRKVRHISNIC >Manes.12G089598.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15248740:15254670:-1 gene:Manes.12G089598.v8.1 transcript:Manes.12G089598.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATRAFTLKFSLPSFAFSTKTCMESPPRSPKANIFNSSCRSPSRKADAKDTGYASITLQEWQGWGTTSPVPAKVLEVVEDFKLLEKANDAQMTFGGSGGKLQGEFKIQEDKKHRATYEALGDSEKKIQFFSARQIACRVLGSRDYLCQKCWLPLEEDCMCSKVKHSSLWPGVRFWLYMHPKDFLRQNNTGKLLWQVFGVQSATLCLFGIPEHEEIMWNAFKLAGKDKVWCLYPNKNAVTMSVYDAFGQGFSADLEHSPTVKNEDKTLNFVLIDGTWSNSAAMFRRLKEQTKSVWGEEDLPCISLVTGASTMHKLR >Manes.12G089598.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15248740:15254669:-1 gene:Manes.12G089598.v8.1 transcript:Manes.12G089598.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATRAFTLKFSLPSFAFSTKTCMESPPRSPKANIFNSSCRSPSRKADAKDTGYASITLQEWQGWGTTSPVPAKVLEVVEDFKLLEKANDAQMTFGGSGGKLQGEFKIQEDKKHRATYEALGDSEKKIQFFSARQIACRVLGSRDYLCQKCWLPLEEDCMCSKVKHSSLWPGVRFWLYMHPKDFLRQNNTGKLLWQVFGVQSATLCLFGIPEHEEIMWNAFKLAGKDKVWCLYPNKNAVTMSVYDAFGQGFSADLEHSPTVEQTKSVWGEEDLPCISLVTGASTMHKLRPQPSWDRTCTAAAAIGLLSELQCLPGFNSCGLDKQVEALEDALVVLLEALTARRLRMGRSITRKVRHISNIC >Manes.12G089598.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15248740:15254669:-1 gene:Manes.12G089598.v8.1 transcript:Manes.12G089598.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATRAFTLKFSLPSFAFSTKTCMESPPRSPKANIFNSSCRSPSRKADAKDTGYASITLQEWQGWGTTSPVPAKVLEVVEDFKLLEKANDAQMTFGGSGGKLQGEFKIQEDKKHRATYEALGDSEKKIQFFSARQIACRVLGSRDYLCQKCWLPLEEDCMCSKVKHSSLWPGVRFWLYMHPKDFLRQNNTGKLLWQVFGVQSATLCLFGIPEHEEIMWNAFKLAGKDKVWCLYPNKNAVTMSVYDAFGQGFSADLEHSPTVKNEDKTLNFVLIDGTWSNSAAMFRRLKEQTKSVWGEEDLPCISLVTGASTMHKLRPQPSWDRTCTAAAAIGLLSELQCLPGFNSCGLDKQVEALEDALVVLLEALTARRLRMGRSITRKVRHISNIC >Manes.12G089598.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15248740:15254669:-1 gene:Manes.12G089598.v8.1 transcript:Manes.12G089598.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATRAFTLKFSLPSFAFSTKTCMESPPRSPKANIFNSSCRSPSRKADAKDTGYASITLQEWQGWGTTSPVPAKVLEVVEDFKLLEKANDAQMTFGGSGGKLQGEFKIQEDKKHRATYEALGDSEKKIQFFSARQIACRVLGSRDYLCQKCWLPLEEDCMCSKVKHSSLWPGVRFWLYMHPKDFLRQNNTGKLLWQVFGVQSATLCLFGIPEHEEIMWNAFKLAGKDKVWCLYPNKNAVTMSVYDAFGQGFSADLEHSPTVEQTKSVWGEEDLPCISLVTGASTMHKLRPQPSWDRTCTAAAAIGLLSELQCLPGFNSCGLDKQVEALEDALVVLLEALTARRLRMGRSITRKVRHISNIC >Manes.12G089598.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15248740:15254669:-1 gene:Manes.12G089598.v8.1 transcript:Manes.12G089598.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATRAFTLKFSLPSFAFSTKTCMESPPRSPKANIFNSSCRSPSRKADAKDTGYASITLQEWQGWGTTSPVPAKVLEVVEDFKLLEKANDAQMTFGGSGGKLQGEFKIQEDKKHRATYEALGDSEKKIQFFSARQIACRVLGSRDYLCQKCWLPLEEDCMCSKVKHSSLWPGVRFWLYMHPKDFLRQNNTGKLLWQVFGVQSATLCLFGIPEHEEIMWNAFKLAGKDKVWCLYPNKNAVTMSVYDAFGQGFSADLEHSPTVKNEDKTLNFVLIDGTWSNSAAMFRRLKEQTKSVWGEEDLPCISLVTGASTMHKLRPQPSWDRTCTAAAAIGLLSELQCLPGFNSCGLDKQVEALEDALVVLLEALTARRLRMGRSITRKVRHISNIC >Manes.12G089598.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15248740:15254669:-1 gene:Manes.12G089598.v8.1 transcript:Manes.12G089598.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATRAFTLKFSLPSFAFSTKTCMESPPRSPKANIFNSSCRSPSRKADAKDTGYASITLQEWQGWGTTSPVPAKVLEVVEDFKLLEKANDAQMTFGGSGGKLQGEFKIQEDKKHRATYEALGDSEKKIQFFSARQIACRVLGSRDYLCQKCWLPLEEDCMCSKVKHSSLWPGVRFWLYMHPKDFLRQNNTGKLLWQVFGVQSATLCLFGIPEHEEIMWNAFKLAGKDKVWCLYPNKNAVTMSVYDAFGQGFSADLEHSPTVKNEDKTLNFVLIDGTWSNSAAMFRRLKEQTKSVWGEEDLPCISLVTGASTMHKLRPQPSWDRTCTAAAAIGLLSELQCLPGFNSCGLDKQVEALEDALVVLLEALTARRLRMGRSITRKVRHISNIC >Manes.03G144400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27205241:27207907:1 gene:Manes.03G144400.v8.1 transcript:Manes.03G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTMKLERYNSYLRKIHNTKVLNASSKLLFRATLLIALVLILFFTINCPPLSDHNHHHLHHHNFLSTAFFASSSSAVGGAAWEKQVRHSSTPRRPNGFSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPSLKRARQKLLLKHQVFIVEGDLNDEPLLAKLFDVVPFTHILHLAAQAGVRYAMQNPQSYVSSNIAGFVNLLEVAKAANPQPAIVWASSSSVYGLNTQVPFSETHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKPIDIYQTQDEKQVARDFTYIDDVVKGCIGALDTAEKSTGSGGKKKAPAQLRVYNLGNTSPVLVGKLVSILESLLHTKAKKHVIKMPRNGDVPYTHANVSLAYRDFGYKPTTDLSTGLRKFVKWYVSYYGIQTRVKKENEINSEHTED >Manes.10G153000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31958440:31964941:1 gene:Manes.10G153000.v8.1 transcript:Manes.10G153000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIEDDVSHLNPVGRSSVFYYGVGHMLNDITAACWFTYLLLFLTDIGLSPRDAATVMLSGQIADGFATIFSGELIDRFGHFKIWHGAGSVLVAISFSSVFGGCLPCTIFANHSSTLETVSYSVFAAIFNVGWAATQVSHMSMVNCISLNSTSRVVMASCRNAFTMVANLSLYAVALIVFNISEAKTHADIENQYRWIAYLSIFIGCCFVGLFHLGTKEPRLKISVHGDCYSRISWTYWFKKILYYQVGLVYLLTRLVQNVSQAYLAFYVINDLCMAQSAKALVPAIIYISSFVISIIMQEISSTGKRLKAYYSAGGILWIFCGASIFLLPRSMSGFMYFISICIGIANALMTVTGISMQSVLVGSDLNGCAFVCGSLSFLDKISCGLALYTLQSYQSKLSSIARPVPIFSSPAEERERKIEAAHVVLYV >Manes.10G153000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31958440:31964941:1 gene:Manes.10G153000.v8.1 transcript:Manes.10G153000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIEDDVSHLNPVGRSSVFYYGVGHMLNDITAACWFTYLLLFLTDIGLSPRDAATVMLSGQIADGFATIFSGELIDRFGHFKIWHGAGSVLVAISFSSVFGGCLPCTIFANHSSTLETVSYSVFAAIFNVGWAATQVSHMSMVNCISLNSTSRVVMASCRNAFTMVANLSLYAVALIVFNISEAKTHADIENQYRWIAYLSIFIGCCFVGLFHLGTKEPRLKISVHGDCYSRISWTYWFKKILYYQVGLVYLLTRLVQNVSQAYLAFYVINDLCMAQSAKALVPAIIYISSFVISIIMQEISSTGKRLKAYYSAGGILWIFCGASIFLLPRSMSGFMYFISICIGIANALMTVTGISMQSVLVGSDLNGCAFVCGSLSFLDKISCGLALYTLQSYQTISPKVQNSLSTNYISVTRYGLGLVPAVCSLLGVAITYTMKLNTPHDKPLVEPLLE >Manes.14G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7561384:7561993:-1 gene:Manes.14G091400.v8.1 transcript:Manes.14G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASARTFICIFLVVMFISASSQEDVADVWTEKERVLYGTKNEIGGRKMVPSKVTVKRVEIEGNETLPESLKTWSTENHAVAEKSGNNEKKKKKNGAVNGGNLNADYHPPRNHPPKNN >Manes.07G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4915402:4918694:1 gene:Manes.07G041800.v8.1 transcript:Manes.07G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNQEENNHVEEISTSKDGSICGYESLHHLLSANLKPHLFKEVSRLLLGFNCGRALEYVTLPEYAKDLSSKHDFDLQAFCFDADKEILREPRKVRVGLIQNSIALPTTAPFSDQKRAIFQKLRPMIDAAGSSGVNILCLQEAWMMPFAFCTREKRWCEFAEPVDGESTQLLQEYARKYNMVIISPILERDVNHGETLWNTAIIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKISVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTENFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPCLSRYKDGLLISDMDLNLCRQLKDKWGFRMTARYELYADLLANYLKPDFEPQIVSDPLLRKKSS >Manes.09G149700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34720239:34725340:-1 gene:Manes.09G149700.v8.1 transcript:Manes.09G149700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLAPGLFFLISYLMISVSAIDNEFVNCNCDDEGFWSIHNILEYQKVSDFLIAVAYFSIPIELLYFVSCSNFPFKWVLLQFIAFIVLCGLTHLLNGWTYYGPHSFQLMMSLTVAKLLTALVSCATAITLLTLIPLLLKWKVRELFLKQNVLELDQEVGMMKKHKEASLHVRMLTREIRKSLDKHTILYTTLVELSKTLDLHNCAVWMPNENRTEMNLTHELKPSSKSFHLSIPVNDPDVLEIKNTKGVKILKPDSALGAASGGGSEEAGAVAAIRMPMLRVSNFKGGTPELVDTSYAILVLVIPRMNSRTWSSEEMEIVEVVADQVAVALSHASVLEESQLMREKLSEQNRALQQAKKNALMASQARNSFQKVMNHGMRRPMHSIVGLLSMFQDESMTFEQKIIIDTLVKTSNVLSTLVNDVMEVSAKDNGRFLLEMRPFRLHSMIREASCLAKCFCVYKGFGFEIDVQSSLPDTVTGDERRAFQVILHMVGYLLNVYDGGGTAIFRVFSESGSEGKNDRMLGMWKPNAPEDNVCVKFEIEIREGDSSSDGSISTRHSSGRRQNSDEVKEGLSFSMCQKLVQMMQGNIWISQNSQGLAQSMTLALRFQVRPSYGRAIFASGTASEQPNSNSLFRGLRVILADDDDVNRIVTKRMLEKLGCEVTAVSSGFECLSAITSSENSFAVVVLDLQVPEVDGFEVAMRIRKFRSHNWPLIIALTASAEDHVWEKCLQMGMNGVIRKPVLLRGMADELRRVLQRAREGL >Manes.14G092900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7765083:7769087:1 gene:Manes.14G092900.v8.1 transcript:Manes.14G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVARAKQEGDLPLYGFHDPESFVNSIQKPRVIIILVKAGPPVDQTIKTLSVYMEKGDCIIDGGNEWYENTERREKSMADLGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNEELRKAFSEWNKGELLSFLIEITADIFGIKDEKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIASSLDGRFLSGLKAERIEAAKVFKSSGVGDILANQAVDKTKLIDDVRQALYASKICSYSQGMNLIRAKSAEQGWDLKLGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSASLAYFDTYRRERLPANLVQAQRDYFGAHTYERIDVPGSFHTEWFKIAKQSKI >Manes.11G153900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32069979:32075498:1 gene:Manes.11G153900.v8.1 transcript:Manes.11G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLLFSLSLFLLIPLLHGGTAIADKTKAGSEEWGYVRVRPKAHMFWWLYRSPNRVENPSKPWPIILWLQGGPGASGVSIGNFEEVGPLDTQFKPRNSTWLQVADLLFVDNPVGTGFSFVEDINLLVKNDVEAAADLTKLLQMIFNRNKSLQRSPLYIVAESYGGKFAVTLALSALKAIEEGRLKLKLGGVALGDSWISPEDFVLSWGPLLKDISRLDKKDFQIIHSMAQKIKQQIREGLYVNATNAWSDLEDSVTQRTGNVDFYNFMLAGTVSGSSSVIASTTRRQFSKEIALKKYSKYLKSARYIPGGNDDEESDFINKIRKKIKIIPDDIKFGQQSELVFEAMEGDFMKARIKEVDELLSKGVNVTIYNGQLDLICATRGTEAWVEKLKWEGLQNFQKKDRRALFCGKERLVRGFTKSYKNLHFYWILGAGHFVPVDEPCITLKMISSITQSPAALTS >Manes.13G021600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3138592:3141620:-1 gene:Manes.13G021600.v8.1 transcript:Manes.13G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIARCASSILRLPSQSVNSLSRDLLAYQIQQSRGIRVKVLNGNLEQALGLMQRKMQSSGIERLIKREQLHHIKNSEKRVLARKSLQRRLQSQEFCRKLKSLLIKKVRGL >Manes.01G193200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36776584:36781637:-1 gene:Manes.01G193200.v8.1 transcript:Manes.01G193200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTQEGCVGGRLRSSKKKTRKKKKGTKRRVPSVLSDGSLDKFDTPDSVAAAPPDHCLPFSNPTFHGSIEEAWFDSVAIFESDCDEDFQSVPDDLLSLNGFEGVPMSSTAFSRETNHGDHNVSIQHTSSSDQVKKTGDLSAGNSARNPASEPAKHPHSQLFNSEFADSQSKSEGPSKPVFLDEIASSVDENAGKGDGLLDNCGILPGNCLPCLASTVPPVEKRRSLGSSSPSARKKAALKHPFKWKEGHPNNTIFSSKPILHRPIAGSQVPFCPMEKKMLDCWSHIEPCSFKVRGQNYFRDRKKDFAPNYAAYYPFGVDVFLSPQKVDHIARFVELPVINSSGKLPTLLVVNVQIPLYTAALFQSETDGEGMNFVLYFKLSESYSKELPTHFQESIRRLIDDEVEKVKGFPIDTIVPFRERLKILGRVVNVEDLHLSAAERKLMQAYNEKPVLSRPQHEFYLGENYLEIDIDMHRFSYISRKGFEAFLDRLKICVLDVGLTIQGNKYEELPEQVLCCVRLNGIDYMNYHQLGLNQEPFET >Manes.01G193200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36776584:36780904:-1 gene:Manes.01G193200.v8.1 transcript:Manes.01G193200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAFSRETNHGDHNVSIQHTSSSDQVKKTGDLSAGNSARNPASEPAKHPHSQLFNSEFADSQSKSEGPSKPVFLDEIASSVDENAGKGDGLLDNCGILPGNCLPCLASTVPPVEKRRSLGSSSPSARKKAALKHPFKWKEGHPNNTIFSSKPILHRPIAGSQVPFCPMEKKMLDCWSHIEPCSFKVRGQNYFRDRKKDFAPNYAAYYPFGVDVFLSPQKVDHIARFVELPVINSSGKLPTLLVVNVQIPLYTAALFQSETDGEGMNFVLYFKLSESYSKELPTHFQESIRRLIDDEVEKVKGFPIDTIVPFRERLKILGRVVNVEDLHLSAAERKLMQAYNEKPVLSRPQHEFYLGENYLEIDIDMHRFSYISRKGFEAFLDRLKICVLDVGLTIQGNKYEELPEQVLCCVRLNGIDYMNYHQLGLNQEPFET >Manes.01G193200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36776584:36781637:-1 gene:Manes.01G193200.v8.1 transcript:Manes.01G193200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTQEGCVGGRLRSSKKKTRKKKKGTKRRVPSVLSDGSLDKFDTPDSVAAAPPDHCLPFSNPTFHAGSIEEAWFDSVAIFESDCDEDFQSVPDDLLSLNGFEGVPMSSTAFSRETNHGDHNVSIQHTSSSDQVKKTGDLSAGNSARNPASEPAKHPHSQLFNSEFADSQSKSEGPSKPVFLDEIASSVDENAGKGDGLLDNCGILPGNCLPCLASTVPPVEKRRSLGSSSPSARKKAALKHPFKWKEGHPNNTIFSSKPILHRPIAGSQVPFCPMEKKMLDCWSHIEPCSFKVRGQNYFRDRKKDFAPNYAAYYPFGVDVFLSPQKVDHIARFVELPVINSSGKLPTLLVVNVQIPLYTAALFQSETDGEGMNFVLYFKLSESYSKELPTHFQESIRRLIDDEVEKVKGFPIDTIVPFRERLKILGRVVNVEDLHLSAAERKLMQAYNEKPVLSRPQHEFYLGENYLEIDIDMHRFSYISRKGFEAFLDRLKICVLDVGLTIQGNKYEELPEQVLCCVRLNGIDYMNYHQLGLNQEPFET >Manes.03G026901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2163296:2163628:1 gene:Manes.03G026901.v8.1 transcript:Manes.03G026901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDFAMEPEFHLLQVSVFWACIALIKYIVLISLKPFKRKKILENTFEKEVLVTGETDQKGMVTKEVKAGKRGKDSERVIYGSVGFDLKAGYGFGDVEGRERKWSQLKQL >Manes.02G206150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18132170:18138513:-1 gene:Manes.02G206150.v8.1 transcript:Manes.02G206150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCAKYDVFLSSRGADTPDNFTGQLYTALCQQEIRTFIDSEFDRKDEVTPVTLQKIEQSKIALIIFSENYMNSTCCLDELVKILECKTTMGLVVLPVFYSVNPSDMYDIARRFGDVSVENSLKQDRDRLQKWRYALMEAANLSGWVSTTRSQSDLVDGIVKDILRKLSHMSSSNTERLFGIESHIEKLRGMLSTGSTGVSVLGIWGMGGIGKTTIAEAIFNHISSEFDCCCFLANVREESENRGLVRLRNELFSRLLHKQNVYIGTPIIGNNFVSNRLRRKKVLIVLDDVNNSKQLSYLVGEHNWFGPGSRIIITTRDKHLLQDGVDKTYEVKGLAYKYALQLFSWKAFEQDHPVEDNMKISNTILKYAKGVPLALKVLGSSLHNRSKKDWERTLSKLERTPNMEIQNVLRISYDELEDEEKDIFLDIACFFKRETRDDLTKILDGCGFSSDIGISVLIDKSLVSISNDRISMHDLVQEMGREIVRQESPEEPGNRSRLLQHKDIYHVLTNETGTDAVEGLSLDMSKLREVRLNPDAFAKMHRLRFLRFYSSLYRGGSQSEDLTFPDLYGSHYYRDYKEGSNLQISEGLKSLSNQLRFLQWHMYPLRSLPSNFHPENLVELNMPHSKVEHLWDGVQNLVKLKRINLSYSHHLVEAPDLSQALDLERMDFSGCTSLGEVPSSIRYLDKLDILELGGCKNLRRLPSNICLKSLRILTLSNCSSLSIFPEISWNLKELNLDGTAIEEVPSSIECFSRLVKLNMKNCERLKNLPDCICKLKSLQELFLGGTSIKELPTSIESMSGLTLLYLQKCKNLVRLPNGVCNLKSLINLSLFGCSKLEKLPADLGKLHRLFEFKAGETAINQLPSSITFLNNLTELSFCGCKGEYSVNFPLPPLSGLSSLRNLYLRDRDLLEFPADITFLTLLETLGLSGNNFKSIPASIKNLVELRRLDLSYCKRLQSIPELPPHLIHFGAHGCTSLVTVSRTLAVLPKWLDSLNRHMFLFTNCSSLDQNALRNILADAKQKIQLMATACQKLYWGFYPTPSVTFGFPGSDIPEWFSYRSIGTSVTVRLPQQWHHPKFLGFAFCIVVAFKDSYDGSFFSIRCESDYQDLYCHLNGWYCGQNGKLGLSFNGSDHLFMLYDHSLYLMAVKGEEGENDTSFRFYAVDKDKKPLHCCTVKKCGVRLLFPYEDKSCSSALIQGCTSFENFDVINEDNGKPMEEEGTFTKRYRDDESCNRAESSGCEIGSPSEGRDPKRIKESCESNAGDLRDQN >Manes.16G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29815599:29818895:1 gene:Manes.16G091800.v8.1 transcript:Manes.16G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPAPINTMHTSHLKLISFFCANPYNTPNMAKQSDLLVSKIGIIGAGVSGLAALKQLSHHAPVVFEASDSLGGVWKTCSYHSTKLQSPRCDYEFADHPWPNRDDPSFPSHDEILDYLNSYAERFDLFKYIRFNSKVVEVQFIGDRETSEFTSKYGSLLPGQPVWKVAVQTDHSDTIQWYALEFLVICMGKYGDIPKIPNFPINRGPEIFIGKVLHSLDYCKLDREAAAQLLKGKKVAVVGFKKSAIDLALECAQANQGPDGQPCTMVVRTVHWTVPHYWVWGLPFFLFFSTRFSQFIHERPNQNLPKALLCLLFSPMRHVVSKFIESYVLYKLPLQKYGLKPDHPFLEDYASCQMAIMPENFFPQADKGKILFKNTSKFGFFREGLEFEDNTKLKADVVILATGYDGKKKLKNILLEPFCSLLEYPYGVMPLYRGTIHPLIPNMAFLGYIESVSNLHTAELRSMWLARLVDDKFKLPTVEKMLEQVSKEIEIMKRTTRFYKKKHCISTFSINHSDEICEEMGCCSWRKNNLLSEVFSPYGSQDYEKLE >Manes.05G050800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4244754:4247672:1 gene:Manes.05G050800.v8.1 transcript:Manes.05G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGNLYTAHNNRSRGQNSANANLVWDMWETNSSRIDWGNSSNGTAFFTSAIASATTSAAETICSTHPGAASAQALLFPHQSLYHQHDHHYQQFSLYGGEGSHFHPDPHLMCLKLGKRHYFEDNIPVGDRHLGFSVGKRGKPYYSVVGGHAGPSSSAVGGVGSAANVARCQVEGCQVALVNAKDYHRRHKVCEMHSKAPKVIVLGLEQRFCQQCSRFHVISEFDDSKRSCRRRLAGHNERRRKSAHDSVSRNSCQDKLMAGSFPYLASPADRALSLLSSKADSWVNSSDLSSRSSAALRELIAENRAAILARQLFHERHLQHNAMEDLGDHSQSSFIPHHNQILADANNWERFHDTGTQLTLDLMQAPTSAFGFLSARGKNSKEEEEECSELWNTWAGAHVV >Manes.09G002000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:669614:674927:-1 gene:Manes.09G002000.v8.1 transcript:Manes.09G002000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKNNSKSTEPDEPPKKQQQKQKQKQEIVEHDNEEEEYEEFEEEVDEMEEEGDKEEDYSQLNSQNYISSDLNQVGGSDYDEEDEPIENLLEPFGKEQLASLLQDVAHIHQDVADEIRRMADKDPMHRKIFVHGLGWDTNTETLMNAFKPYGEIEECKAVCDKVSGKSKGYGFVLFRKRSGARKALKQPQKKIGNRMTACQLASIGPVPAVGAAAPAQQGSEYNQRKIYVSNVGSDVDPQKLTTFFSKYGEIEEGPLGLDKATGKPKGFCLFVYRTITSAKKALEEPHKNFEGHIIHCQKAIDGPKPGKNVQQKQQHNVQNSHFQRNDNPAYVGGTVPGPAHLMAPSARPGTGFNQGAGSAAAPALNPALGQALTALLATQGAGFGLTNLLGTLGSAASVSQVGVPVAAPGMQGVYGNPTSISPGVIGSYGNQGVMQGGYTNQQLGQGSSGKGQHGLGQYGGVAPYMGQ >Manes.08G131800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38108739:38109684:1 gene:Manes.08G131800.v8.1 transcript:Manes.08G131800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVPFALALAFSFASASDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLINVDKISGLNTLGISLARLDFAPYGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAALSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDNYTVEKLQKLFATS >Manes.11G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24288156:24291037:1 gene:Manes.11G105400.v8.1 transcript:Manes.11G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRGTTAAERVYEDFEPSIDWVREPGADTLRVYLPGFKKEQMKVQITLSRKLRLSGERPLGEGNKWSRFHKEIPIDSNYDPNEIGAKFEKGILLIRHPKIIVPYNEPQEMIKPSTEAPKPPKPPHDDPQPPADTPKLKTQLSDVLKPRAMKTEPFMPEKREPQAADTSKRGSLQIKEKQKETSEEDQKRNGWTDAAEKGTTTANRGTKEAKVADFVQDKTDMNGVGTGIIRGSYYHFATENFKQVFGDMVMEVKKPRNLKNGVLVFLIAVLGLYAFRYLKKI >Manes.03G092000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21829534:21844125:-1 gene:Manes.03G092000.v8.1 transcript:Manes.03G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKMLFISTPFLAASLAVFCLTASAFGATRLPDDEVEALRDIGNTLGKKDWNFNVDPCSGESGWADPNPVKGSENAVTCNCSISICHITSIVLKSLDLQGTLPKDLGRLPFLQEIDLTRNYLNGTIPPQWGSMPLVNISLLGNRLTGPIPKEFGNISTLESLTVEFNQLSGELPRELGKLNRIERMLLTSNNFTGELPATFAKLTSLKDFRIGDNKFTGPIPDFIQNLTNLEKLVIQGSGLSGPIPSGIGLLENMTDLRISDLTNGTETPFPPLSNMKSLKILILRSCNIVGKLPDFLGGISKLKTLDLCFNKLTGDIPTSLSGAVKTDYIYLTGNLLTGTVPDWILEKGENVDLSYNNFTIESSCQQRTVNLFGSSSMGNVSTSVSCLRSNQCPKYFHSLNINCGGKEAKIKGITYEDDADSAGPSRFYQSRTNWAFSSTGNFMDDDRPTDSYTWTNATKLSAGSSELYMDARLSPISLTYYGFCMRNGNYTVGLHFAEIIFTDDNTSSSLGRRLFDIYIQGKLVHNDFNIVDEAGGVGKAIIKNFTASVTSHTLEIRFYWDGKGTTGIPYRGVYGPLVSAISVTPDFVPPSEGGSSTSAGTIVGIVVAVVAVIFLVLGVLWWKGCLRHKDKMDQDLRGLDLQTGSFALKQIKAATNNFDPSNKIGEGGFGPVYKGFLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYMENNSLARALFDPEGCQLNLDWPTRHKIAIGIARGLAFLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEGTTHISTRVAGTFGYMAPEYAMRGHLTDKADVYSYGIVALEIVCGRSNSSYRQNPKEECFYLLDWALVLKERERLLELVDPRLGQKYDKGQVMTLINVALLCADVSPAVRPTMSSVVSMLEGKTSVDDLVLDASVSKSHDEMRIEAMRKHFQQSIETDTSCTMTKSMSLDGPWTASSSSTQDLYPLKLDSDYWEKRN >Manes.05G018950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1739684:1740490:1 gene:Manes.05G018950.v8.1 transcript:Manes.05G018950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLSLQLFDRPGMALVSVPLIGTNFQSWNRVVRIALGVKMMLEFVKGTVSTPSKDSKGYEKWRRCDFMITSCILNSISKELVDGFIYIASARDLWLEICERFGECNRPMIYKLHRKISPISQENASVSVYFTKLKRFYDELSSMETLPICICGVSRAITEITSRNRLIDKILGMDFLPIVNKTYSMVMNFESQRKIMGVMNDSSKSLVLFNKTHSQTQTRTRRSNIKREYCTYCNIDGHVREGCFKLIGYLD >Manes.11G145900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31027653:31033234:1 gene:Manes.11G145900.v8.1 transcript:Manes.11G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEIFESPHYCSTLRQAEHSAAEVALNSLSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHQPVFTGTVELAGITFSGEPAKNKKQAEKNAAMAAWSSLKQLAKEDASSSSEPDNSDELEQITIARALLNYRLKEKIAIVNSANSPVPFSKKFQIQSPRPTSPQPAPATSSKILPFICPKIASRNRPTPTTAVDRPMPARPTPAMTNDRSVPPQQSPLPELWATHPQKFPAAGAAPYVPIRQFGAHCHGMAQPVRIRNVVPVFAAPQRQPPSISHQVMRGLPQQPPPVTIRPTSLVYAAPPPVRKDTMYVGKDHPAAQKEDSLGVQKDYLPVQKEEPQAILKDLSPVPKEESHAVQKEDPLNIINEDHSTAIATAKPNNSPGQVEETGSPELKRFETVQRMEQLKI >Manes.14G157500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22281595:22287511:-1 gene:Manes.14G157500.v8.1 transcript:Manes.14G157500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLQFQRLPFKLFSLLIFLSFNTAFPYDIIPRLDPLRGRVRRLPETSGASMSDDFQTFYYTQTLDHFNYRPESYTTFKQRYFINFKYWGGANVSAPIFAYLGAEAPIDNDLASIGFLTENAAQFGALVVFIEHRFYGKSVPFGSFIKALENANLRSHFNSAQALADYAEILIYLKTKFSAPYSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPLLYFDNITPQDAYFWVVTKDFREASESCYQTIRKSWGEIDKVASQPNGLSILSQRFNTCYPLKDPSDLKQFLISIYADAAQYDAPPDYPVNMICDAIDEGPFGKDILSKIFAGVVASSGTSRCYVNPDDTLTQTDDDTLTQTDLGWEWQTCSEMVIPLGISNNSMFQTYPFTVSSRIKQCKTEFGVVPRPHWITTYYGGNDIKLILQRFGSNIIFSNGLRDPYSSGGILQNISDTVLAVYTVNGSHALDVLRAEATDPQWLIKQRKTEVEIIKAWIAKYYADLLAYKH >Manes.04G035900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4697455:4703310:-1 gene:Manes.04G035900.v8.1 transcript:Manes.04G035900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDFMVCVDRIIASACFETVNGERGRNIEATPKNETVVSVKSNGEGSSSLKKVKEMVECRICQEEDDVHSMEAPCACNGTLKFAHRKCIQRWCNKKGNITCEICNQVFSPNYSVPQAHSNPDVIAIDIRQAWRESQLLALAAAERQLLQSEYEDYAVANTSSIACLRSIALILLVVLLLFQALLVTRDAGMVQESSTLFNVSLLRFAGFLLPCYVMARSCYIVQSRRRQG >Manes.04G035900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4697455:4703310:-1 gene:Manes.04G035900.v8.1 transcript:Manes.04G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDFMVCVDRIIASACFETVNGERGRNIEATPKNETVVSVKSNGEGSSSLKKVKEMVECRICQEEDDVHSMEAPCACNGTLKFAHRKCIQRWCNKKGNITCEICNQVFSPNYSVPQAHSNPDVIAIDIRQAWRESQLLALAAAERQLLQSEYEDYAVANTSSIACLRSIALILLVVLLLFQALLVTRDAGMVQESSTLFNFQVSLLRFAGFLLPCYVMARSCYIVQSRRRQG >Manes.16G080100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28531099:28534867:-1 gene:Manes.16G080100.v8.1 transcript:Manes.16G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGHHLTGKPPPPVLFSPRSPKFIIPFAKPRLHHHRITKIPSSSFRNGSNTPSETGCPVPLDQQPINEYQNLSTSFPFSLAANDIVAYCSRLFVFGAAFALFIGLPVAWFGSVRPESEPWKPILAAASSGILVVSLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTSEVLARDRLLGSFTVKPILGRLKYTLLTLATSLFICIILFINVDGVQKGSYMTEAAKDRAIPGVYNDDSARSFEPDAFCGEPNLS >Manes.08G099100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:33144363:33146590:1 gene:Manes.08G099100.v8.1 transcript:Manes.08G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFLKLHMIPWCFHLMGNHISCVHIRPDPPVETIKLIKSDGLVKIYDRPIHVSELLVEYPKHLVCRSDSFYIGQKIPALSENDQLQLGHKYFLLPKHCFQSVLSFVTIASFVNTSSQPPSSSSSSRDSRNALLKKAATCQPFDIQKSPSGCLRIRVSDEFISQLMEQDKIKESEQDDSSSKSRSRICTTPQLQKDYRLLVASRQWKPKLDTIKEKEKRKLSSFGMKRRKKSQSKGNQKGTHKTSELHLHAASTKSSSKAKIKIKLRK >Manes.03G186200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30666265:30671176:-1 gene:Manes.03G186200.v8.1 transcript:Manes.03G186200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRVSTGDLSKPSSTSSSPFPTTTSTSRTETINGSHEFKIGGYSLSKGVGIGKYIASDTFFVGGYAWAVYFYPDGKSAEDNAAYVSLFIALASEGTDVRALFELSLMDQSGKENHKVHTHFGRALESGPYTLKYRGSMWGYKRFFKRTQLETSDYLKDDTLVIRCCVGVVKSQTEGPKTYTIAVPPSDIGQQFGRLLESGRGTDVKFEVDGEVYAAHKLVLAARSPVFRAQLFGPMKDQNTRCIKVEDIEVPVFKALLHFIYWDALPDVEELVCLNSKWASTLMAQHLLAAADRYALERLRLLCEAKLCEDIAINTVATTLALAEQHQCLQLKSVCLKFIALPENLKAVMQTDGFEYLKESCPFVITELLQYVARIGEHSVISCGHGNESLDGNMNGRRVKQRLH >Manes.15G055100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4229379:4233169:-1 gene:Manes.15G055100.v8.1 transcript:Manes.15G055100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQRPTSYYFYFRTRKRAMVTCTVPLETPPTPNSPNDHNSLRLSLADDLLRRGRVSLAQQVIQRIIAHSPTVPDAISAVDFAAGRGMELGVGIYGVFIRRLIELGQSKLAYAVYCDNVIAKGIDPDSSIINSMVICLVKLGKLEDASILFDKLIGSGFVPCHAACMAVIREFCVQEMLLDAFDCLVSISKAKIQLGMRYYNFLIDGLSYKGHVREAMKVLDIMHERTGLLPTLHNYKSLFYGLCKKGWVVEAESIGGEMEERGFFLDKIMYTSLMNAYLKDKKIKMAVMVFLRMLKMGCEPDTFTYTALIQGIVKMGYFNKGWILYSQMNESRMLPDAVTYHILISNYCKEGKIICATTLLNNMACCNLIPSVHSYTALMSALYKDNRLTEVDELYKSMLNHGVIPDHVLFLILMKNSKRGNELQLSLLMLQDILKHGCGLNPTLLSGSTNIDPMINLEQEIEFLLEKIIGSNLNLANVAFGIYITALCERGNLDAALSCLRKMIDVGCSPLPFTFNSLVKCICQDGCLESFEPLVDIMQEWSIVPDLATYLIMINEYCKNKDLTSAFHVLDQMEERGLKPSVSIYNSILSCLSRKKRMSEAETLFQRMLEAGVDLDATVYMTMINGYFKNGQALEAHQLFEKMIKHDIQPCSYTYTVLISGLVKSNMAGKGCKYLDRMLGDGFVPNVVLHTSLIYHFLRKGEFDFAFRLVDLMDRSQIEPDVVFYIALVSGVSRYIDGVKKRCKINRKSDREREMLLHLLFQRKFLPRETILRFSFDSSEHIKCFVLKLMHRIKETKFMPNLSLYNSIISGLCWANRIEDAYNQFELMQKEGICPNEVTFTILIEAHSRAGQINQAIELFNLMNADGYKLDKVAYNTLLRGLCKAGKELHALSLVFAMRKRGFFPNKASYETLLRCFCACYLSIPAFNIVEEMFAHNYVPRRYSANWLLCILCKEKKLNEAHKLLDMMHKRGNFPDALTWRILVQASYLDNAPEMAPKFL >Manes.05G177400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29385228:29388126:1 gene:Manes.05G177400.v8.1 transcript:Manes.05G177400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHYPHSAALLNDALYCSEENWVEEARENCFLEEEEEGGESYCCNINKKQDSSSVLLSEPDLYWEDDELSSLFAKQEQNHLFNKLEINPSLAEARREAVHWMLKVNAHYSFTALTAVLAVNYLDRFLFSFHFQTEKPWMTQLTAVACLSLAAKVEETQVPLLLDLQVEESRYVFEAKTIQRMEILVLSTLQWRMNPITPLSFLDFITRRLGLKDYLCSEFLRRYSRSMHYLPSVIAAATMLHVINGVEPCLRAEYESQLLGILGIDKDKVDDCSQLIIEMASRYPGSQSNKRKFSSVPGSPNGVIDVSFSSDSSNDSWTVAPSVSSSPMPLSKKSRALQSLNHATADFLSIPR >Manes.05G177400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29385228:29388126:1 gene:Manes.05G177400.v8.1 transcript:Manes.05G177400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHYPHSAALLNDALYCSEENWVEEARENCFLEEEEEGGESYCCNINKKQDSSSVLLSEPDLYWEDDELSSLFAKQEQNHLFNKLEINPSLAEARREAVHWMLKVNAHYSFTALTAVLAVNYLDRFLFSFHFQTEKPWMTQLTAVACLSLAAKVEETQVPLLLDLQVEESRYVFEAKTIQRMEILVLSTLQWRMNPITPLSFLDFITRRLGLKDYLCSEFLRRCERIILSIISDSRSMHYLPSVIAAATMLHVINGVEPCLRAEYESQLLGILGIDKDKVDDCSQLIIEMASRYPGSQSNKRKFSSVPGSPNGVIDVSFSSDSSNDSWTVAPSVSSSPMPLSKKSRALQSLNHATADFLSIPR >Manes.02G018900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1710627:1714080:1 gene:Manes.02G018900.v8.1 transcript:Manes.02G018900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQDYASYVRILWLDQHFKHDYSTLYFFPSPCSLGFILTSSQAMASLSLTCSPQLFSFNSIQCRKNPEETAKLSSNGQLPFPEKNPLILQPTKIPPPRPRRIILVRHGESEGNVDESTYTRIADPKIRLTEKGKVQAQECGKRIRQMIGKDGATDWKVYFYVSPYRRTLETLQNLAQSFERTRIAGMREEPRLREQDFGNFQDREKMRVEKALRKLYGRFFYRFPNGESAADVYDRITGFRETLKADIDIGRFQPPGERSPNINIVIVSHGLALRVFLMRWYKWTVKQYEALHNFENGGMIVMEKGYGGSLLMHHTEEELRGFGLTDDMLNDQEWQKIARPGELNYDCPTMSSFFTHFDDEGCKT >Manes.02G018900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1710627:1714080:1 gene:Manes.02G018900.v8.1 transcript:Manes.02G018900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQDYASYVRILWLDQHFKHDYSTLYFFPSPCSLGFILTSSQAMASLSLTCSPQLFSFNSIQCRKNPEETAKLSSNGQLPFPEKNPLILQPTKIPPPRPRRIILVRHGESEGNVDESTYTRIADPKIRLTEKGKVQAQECGKRIRQMIGKDGATDWKVYFYVSPYRRTLETLQNLAQSFERTRIAGMREEPRLREQDFGNFQDREKMRVEKALRKLYGRFFYRFPNGESAADVYDRITGFRETLKADIDIGRFQPPGERSPNINIVIVSHGLALRVFLMRWYKWTVKQYEALHNFENGGMIVMEKGYGGRYSLLMHHTEEELRGFGLTDDMLNDQEWQKIARPGELNYDCPTMSSFFTHFDDEGCKT >Manes.02G018900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1710627:1714080:1 gene:Manes.02G018900.v8.1 transcript:Manes.02G018900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQDYASYVRILWLDQHFKHDYSTLYFFPSPCSLGFILTSSQAMASLSLTCSPQLFSFNSIQCRKNPEETAKLSSNGQLPFPEKNPLILQPTKIPPPRPRRIILVRHGESEGNVDESTYTRIADPKIRLTEKGKVQAQECGKRIRQMIGKDGATDWKVYFYVSPYRRTLETLQNLAQSFERTRIAGMREEPRLREQDFGNFQDREKMRVEKALRKLYGRFFYRFPNGESAADVYDRITGFRETLKADIDIGRFQPPGERSPNINIVIVSHGLALRVFLMRWYKWTVKQYEALHNFENGGMIVMEKGYGGRYSLLMHHTEEELRGFGLTDDMLNDQEW >Manes.02G058600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4573525:4575512:1 gene:Manes.02G058600.v8.1 transcript:Manes.02G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPATNTQAATKVVPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIAVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKPINALEQHIKNLLCPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVKTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGIKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQAATLPKDCTSVQKCYIELSKQVKEKLSKVDPYFEKLADAMVTWIQAWDELNPSGASAKVANGKA >Manes.04G063962.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23685650:23704160:1 gene:Manes.04G063962.v8.1 transcript:Manes.04G063962.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREKLKGKVQEEEEQEDKPVMELSSGDDDDEDLSLTIVEKALLMRAANLGQNDNDGVFLDDDNASKNGCGLVGFCARDGIDSGERKVVEMASSWVVKDIESVNKRKKRKKNQKKKTGDKSAVIAEQEEKAETIKKLETLGNAESVQTTVELAEMPENIVLRKLLRGPRYFDPPDSISSWSTCDHCGKQGHRAMNCLSLRKKKKPCFLCGGLEHGFKQCYKERVCTICKSKDHRLSHCPEKHKGGPSNVCLKCGDSGHDLFSCKNNYPLDDLKEIQCYVCKSFGHLCCVNTVDNSTIEVSCNKCGELGHTGLDCSSLHKKATAKASPSLC >Manes.04G063962.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23685650:23704160:1 gene:Manes.04G063962.v8.1 transcript:Manes.04G063962.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREKLKGKVQEEEEQEDKPVMELSSGDDDDEDLSLTIVEKALLMRAANLGQNDNDGVFLDDDNASKNGCGLVGFCARDGIDSGERKVVEMASSWVVKDIESVNKRKKRKKNQKKKTGDKSAVIAEQEEKAETIKKLETLGNAESVQTTVELAEMPENIVLRKLLRGPRYFDPPDSISSWSTCDHCGKQGHRAMNCLSLRKKKKPCFLCGGLEHGFKQCYKERVCTICKSKDHRLSHCPEKHKGGPSNVCLKCGDSGHDLFSCKNNYPLDDLKEIQCYVCKSFGHLCCVNTVDNSTIEVSCNKCGELGHTGLDCSSLHKKATAKASPSLC >Manes.04G063962.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23685643:23697543:1 gene:Manes.04G063962.v8.1 transcript:Manes.04G063962.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREKLKGKVQEEEEQEDKPVMELSSGDDDDEDLSLTIVEKALLMRAANLGQNDNDGVFLDDDNASKNGCGLVGFCARDGIDSGERKVVEMASSWVVKDIESVNKRKKRKKNQKKKTGDKSAVIAEQEEKAETIKKLETLGNAESVQTTVELAEMPENIVLRKLLRGPRYFDPPDSISSWSTCDHCGKQGHRAMNCLSLRKKKKPCFLCGGLEHGFKQCYKERVCTICKSKDHRLSHCPEKHKGGPSNVCLKCGDSGHDLFSCKNNYPLDDLKEIQCYVCKSFGHLCCVNTVDNSTIEVSCNKCGELGHTGLDCSSLHKKATAKASPSLC >Manes.04G063962.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23685815:23695091:1 gene:Manes.04G063962.v8.1 transcript:Manes.04G063962.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREKLKGKVQEEEEQEDKPVMELSSGDDDDEDLSLTIVEKALLMRAANLGQNDNDGVFLDDDNASKNGCGLVGFCARDGIDSGERKVVEMASSWVVKDIESVNKRKKRKKNQKKKTGDKSAVIAEQEEKAETIKKLETLGNAESVQTTVELAEMPENIVLRKLLRGPRYFDPPDSISSWSTCDHCGKQGHRAMNCLSLRKKKKPCFLCGGLEHGFKQCYKEIQCYVCKSFGHLCCVNTVDNSTIEVSCNKCGELGHTGLDCSSLHKKATAKASPSLC >Manes.04G063962.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23685643:23697544:1 gene:Manes.04G063962.v8.1 transcript:Manes.04G063962.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREKLKGKVQEEEEQEDKPVMELSSGDDDDEDLSLTIVEKALLMRAANLGQNDNDGVFLDDDNASKNGCGLVGFCARDGIDSGERKVVEMASSWVVKDIESVNKRKKRKKNQKKKTGDKSAVIAEQEEKAETIKKLETLGNAESVQTTVELAEMPENIVLRKLLRGPRYFDPPDSISSWSTCDHCGKQGHRAMNCLSLRKKKKPCFLCGGLEHGFKQCYKERVCTICKSKDHRLSHCPEKHKGGPSNVCLKCGDSGHDLFSCKNNYPLDDLKEIQCYVCKSFGHLCCVNTVDNSTIEVSCNKCGELGHTGLDCSSLHKKATAKASPSLC >Manes.04G063962.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23685815:23695091:1 gene:Manes.04G063962.v8.1 transcript:Manes.04G063962.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREKLKGKVQEEEEQEDKPVMELSSGDDDDEDLSLTIVEKALLMRAANLGQNDNDGVFLDDDNASKNGCGLVGFCARDGIDSGERKVVEMASSWVVKDIESVNKRKKRKKNQKKKTGDKSAVIAEQEEKAETIKKLETLGNAESVQTTVELAEMPENIVLRKLLRGPRYFDPPDSISSWSTCDHCGKQGHRAMNCLSLRKKKKPCFLCGGLEHGFKQCYKERVCTICKSKDHRLSHCPEKHKGGPSNVCLKCGDSGHDLFSCKNNYPLDDLKEIQCYVCKSFGHLCCVNTVDNSTIEVSCNKCGELGHTGLDCSSLHKKATAKASPSLC >Manes.04G063962.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23685616:23697483:1 gene:Manes.04G063962.v8.1 transcript:Manes.04G063962.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREKLKGKVQEEEEQEDKPVMELSSGDDDDEDLSLTIVEKALLMRAANLGQNDNDGVFLDDDNASKNGCGLVGFCARDGIDSGERKVVEMASSWVVKDIESVNKRKKRKKNQKKKTGDKSAVIAEQEEKAETIKKLETLGNAESVQTTVELAEMPENIVLRKLLRGPRYFDPPDSISSWSTCDHCGKQGHRAMNCLSLRKKKKPCFLCGGLEHGFKQCYKERVCTICKSKDHRLSHCPEKHKGGPSNVCLKCGDSGHDLFSCKNNYPLDDLKEIQCYVCKSFGHLCCVNTVDNSTIEVSCNKCGELGHTGLDCSSLHKKATAKASPSLC >Manes.04G009351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1197995:1199128:-1 gene:Manes.04G009351.v8.1 transcript:Manes.04G009351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMILLHGLRDTNEEQPQKHLLFSSTFLEKSPYNAKLLSSNFPGNFVIIPDGLHCPTCNSLHDYPSRELNNKECDDFLLLLEHCRCFCAFIGLPRNWLMDYNDFLNSYFSRLNRKFNSLEALMRKNQELEEKSWKLVREIDTTKETFSIGPVLEKLWDMPLGILDDCKISFSNTLNFLYRKRIGFVKGKYLIISTELLFRHCEGDINLFLQHLGKAYSLVQSLYCNVSRMAIEAGFWQLCPEFGGFFWRTIDLKKNFPFLREFMQDKMIFIKNFSLSVGPFYIIGGGYFSYIHNTMGDLVLWYIPNFWDEHNFGYNHETKEIFHNFQHFWYGVEESEFGCHYSGVIQEGCDYNMMQFLLEDGSPNYLQNCFSRSNEA >Manes.13G080120.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19979664:20001586:-1 gene:Manes.13G080120.v8.1 transcript:Manes.13G080120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLEPASKGGGPAGQGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFVSTVANTHLDLDTVKAICNEYRIHNADITLKYDATADDLIDVIEGSRIYMPCIYAVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKIWEYLDLTRIYTKPKGLNPDYEDPVILSSKRRTVEDFCNRIHKDMVKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >Manes.10G068000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10268233:10283548:-1 gene:Manes.10G068000.v8.1 transcript:Manes.10G068000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGVEFPAIIAYKLRDASSWWQEINESIVWQDRIFHVLAALYGFVAAVALVQLVRIQLRVPEYGWTTQKVFHFLNFLVNGVRCLVFIFRRDIETIHPSILQHVLLDLPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINAVVYAIQIVMWLILWWKDTRLLVIISKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLVRCIMMCFNAFDKAANLDVLDHPILNFIYYLLVEIVPSSLVLFILRKLPPKRGITQYHPIR >Manes.16G125900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32735148:32735525:1 gene:Manes.16G125900.v8.1 transcript:Manes.16G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILMINDCHSPSLKDRLKSSISCFAAHSDSYTLASGDGRRSQTHTPRSPYAWFKSTAQDLEIRDKCWGLIGRRGKNRRRYCSSDFKYDPTSYSLNFQDDINQEDDLPLNNFMARLPATPISCQR >Manes.13G090001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:12784169:12785451:-1 gene:Manes.13G090001.v8.1 transcript:Manes.13G090001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTKTTKTMMTDEGGEDDEDDEVQVLQSSRGPPVQSADDDEDDDEDDDGEGGDDDDDGEGGDDDDDDDDDEEENDDEGEDGEEEVIYVQIYIIFCIYFISLIWDWVAFSVGAGDMGPTSSSGVSNMQDLRCYSASYASSVYPTQSQAQTQVGNNDVRFKKGKSASGSVSKSWSFNDPELQRKKRVASYKVYSVEGKVKGSFRKSFRWLKDR >Manes.12G081300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11572085:11573733:1 gene:Manes.12G081300.v8.1 transcript:Manes.12G081300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSMEKTASKRQGRVGCKPLTTFVQTDTSSFREVVQRLTGPAESNPAQETAASVVARVKRSTSKLHERRHYSRPKLEIVKPPLSFKPLTSPTTLRIPSLLPSPMGTPSKIFSKLSIQELENKGESAICVINSEEEEKAINERRFYLHLSPRSRLGHAEPELLTLFPLTSPKTIDKP >Manes.12G081300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11572083:11573732:1 gene:Manes.12G081300.v8.1 transcript:Manes.12G081300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSMEKTASKRQGRVGCKPLTTFVQTDTSSFREVVQRLTGPAESNPAQETAASVVARVKRSTSKLHERRHYSRPKLEIVKPPLSFKPLTSPTTLRIPSLLPSPMGTPSKIFSKLSIQELENKGESAICVINSEEEEKAINERRFYLHLSPRSRLGHAEPELLTLFPLTSPKTIDKP >Manes.12G081300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11572083:11573693:1 gene:Manes.12G081300.v8.1 transcript:Manes.12G081300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSMEKTASKRQGRVGCKPLTTFVQTDTSSFREVVQRLTGPAESNPAQETAASVVARVKRSTSKLHERRHYSRPKLEIVKPPLSFKPLTSPTTLRIPSLLPSPMGTPSKIFSKLSIQELENKGESAICVINSEEEEKAINERRFYLHLSPRSRLGHAEPELLTLFPLTSPKTIDKP >Manes.12G081300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11572188:11573607:1 gene:Manes.12G081300.v8.1 transcript:Manes.12G081300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSMEKTASKRQGRVGCKPLTTFVQTDTSSFREVVQRLTGPAESNPAQETAASVVARVKRSTSKLHERRHYSRPKLEIVKPPLSFKPLTSPTTLRIPSLLPSPMGTPSKIFSKLSIQELENKGESAICVINSEEEEKAINERRFYLHLSPRSRLGHAEPELLTLFPLTSPKTIDKP >Manes.12G081300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11572188:11573607:1 gene:Manes.12G081300.v8.1 transcript:Manes.12G081300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTSMEKTASKRQGRVGCKPLTTFVQTDTSSFREVVQRLTGPAESNPAQETAASVVARVKRSTSKLHERRHYSRPKLEIVKPPLSFKPLTSPTTLRIPSLLPSPMGTPSKIFSKLSIQELENKGESAICVINSEEEEKAINERRFYLHLSPRSRLGHAEPELLTLFPLTSPKTIDKP >Manes.10G014800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1482533:1485106:-1 gene:Manes.10G014800.v8.1 transcript:Manes.10G014800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEALVRRTVRNLLKVYGGDEGWSFIEENSYGLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDDIVQDNAEEQVNSFHGQSKRVLSPNIESSAPKTVAVQVSEPVEAVSCTNGETCDAKLGRASPTSQISAGMCPSQLLSLPQVESLPAQRSKPCYGWLSDDEE >Manes.10G014800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1481880:1485387:-1 gene:Manes.10G014800.v8.1 transcript:Manes.10G014800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRAQKVGLKRIDAALDALRSMGFLEALVRRTVRNLLKVYGGDEGWSFIEENSYGLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDDIVQDNAEEQVNSFHGQSKRVLSPNIESSAPKTVAVQVSEPVEAVSCTNGETCDAKLGRASPTSQISAGMCPSQLLSLPQVESLPAQRSKPCYGWLSDDEE >Manes.10G014800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1481880:1485387:-1 gene:Manes.10G014800.v8.1 transcript:Manes.10G014800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRAQKVGLKRIDAALDALRSMGFLEALVRRTVRNLLKVYGGDEGWSFIEENSYGLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDNAEEQVNSFHGQSKRVLSPNIESSAPKTVAVQVSEPVEAVSCTNGETCDAKLGRASPTSQISAGMCPSQLLSLPQVESLPAQRSKPCYGWLSDDEE >Manes.10G014800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1481880:1485388:-1 gene:Manes.10G014800.v8.1 transcript:Manes.10G014800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRAQKVGLKRIDAALDALRSMGFLEALVRRTVRNLLKVYGGDEGWSFIEENSYGLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDNAEEQVNSFHGQSKRVLSPNIESSAPKTVAVQVSEPVEAVSCTNGETCDAKLGRASPTSQISAGMCPSQLLSLPQVESLPAQRSKPCYGWLSDDEE >Manes.10G014800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1482533:1485106:-1 gene:Manes.10G014800.v8.1 transcript:Manes.10G014800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLEALVRRTVRNLLKVYGGDEGWSFIEENSYGLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDNAEEQVNSFHGQSKRVLSPNIESSAPKTVAVQVSEPVEAVSCTNGETCDAKLGRASPTSQISAGMCPSQLLSLPQVESLPAQRSKPCYGWLSDDEE >Manes.10G014800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1482495:1485387:-1 gene:Manes.10G014800.v8.1 transcript:Manes.10G014800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRAQKVGLKRIDAALDALRSMGFLEALVRRTVRNLLKVYGGDEGWSFIEENSYGLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDDIVQDNAEEQVNSFHGQSKRVLSPNIESSAPKTVAVQVSEPVEAVSCTNGETCDAKLGRASPTSQISAGMCPSQLLSLPQVESLPAQRSKPCYGWLSDDEE >Manes.10G014800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1482495:1485387:-1 gene:Manes.10G014800.v8.1 transcript:Manes.10G014800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRAQKVGLKRIDAALDALRSMGFLEALVRRTVRNLLKVYGGDEGWSFIEENSYGLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDNAEEQVNSFHGQSKRVLSPNIESSAPKTVAVQVSEPVEAVSCTNGETCDAKLGRASPTSQISAGMCPSQLLSLPQVESLPAQRSKPCYGWLSDDEE >Manes.10G014800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1481880:1485388:-1 gene:Manes.10G014800.v8.1 transcript:Manes.10G014800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRAQKVGLKRIDAALDALRSMGFLEALVRRTVRNLLKVYGGDEGWSFIEENSYGLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDDIVQDNAEEQVNSFHGQSKRVLSPNIESSAPKTVAVQVSEPVEAVSCTNGETCDAKLGRASPTSQISAGMCPSQLLSLPQVESLPAQRSKPCYGWLSDDEE >Manes.04G076100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27345188:27348401:-1 gene:Manes.04G076100.v8.1 transcript:Manes.04G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQFPLCGSQDWIDNGCGVGLNQFCYSYLQQEQQQLQQQQCNIQMVQNQLQKNQNLCFDNTLLGSSAMKITNLHPSMAYSQGIVAYEENQRQELDRYIRLQNERLRLLLQEQTKQQLASLIKKIESKALVLLTQKDEEIARATKRTAELEDFMKRLEMENQVWQRVAQENEAMAISLDNTIEQLREKASCCFANAAEDAESCCDVNRTEEEEEEEAEETEQKKRRIVGGNVTEEERARKKRKTMMVCKGCNSRNLCILFLPCRHLCACKACESFLDTCPVCQTPKKASIEALIS >Manes.01G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33078996:33084361:-1 gene:Manes.01G138800.v8.1 transcript:Manes.01G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHMYPSVEDLLFHLRNNYDVMLLVIAVFSITITYLLSTPRRRIHIRNGESDAAAPGGSGSRDIPGRLGVPFIGETFSFLKATNSTKGCYDFVRLRRSWYGKCFKTRIFGKIHVFVPSPEAAKQIFTNDFVDFNKGYVKSMADAVGEKSLLCVPHDSHKRIRRLLADPFSMPSLSKFVQKFDQILTQKLKKLHESGETFSVLQFSMKMIFDAMCNMLMSITEDSFLRTLEKDCTAVSDAMLSFPLMIPGTRYYKGIKARQRLMAIFKKMIADRRCGKETHDDFLQSMLQRDSYPSSEKLDDSELMDNLLTLIIAGQTTTAAAMMWSVKYLDENTQAQATLREEQISIAKHKQDGAPLTLEDLNKMSYGLKVVKETLRMSNVLLWFPRVVLNDCVIDGFQIHKGWHVNIDATCMHFDPALYKDPMQFEPSRFDEMRKPYSFIPFGSGPRTCLGMNMAKLTLLVFLHRLTSGYRWTIQDHDPSLEKKAHIPRLRSGCPITLEALNDGK >Manes.03G077600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13798638:13800993:1 gene:Manes.03G077600.v8.1 transcript:Manes.03G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRRKQRWCTQTLTPLLEGPDPDMLEEANKKESSWEVIREWFRHQKGFSGNNFSSSVAPYASISAHKKQDLRLLLGVLGCPLAPIPLGSHPIHHIHMKDIPIESSIAHYIIQQYLAAAGCLKREKCMKNMYVTGSVKMIRCETEISSGKNVKCLGTRSAENGCFVLWQMSPGMWSLELVVGGNKVIAGSDGKTVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKSTANLFAKAQCLGEKRIGEEDCFVLKVAADQEAVMERSEGSAEVLRHVLYGYFCQKSGLLIYLEDSHLTRVQTPENDSIYWETTIGSSLEDYRDVEGVLIAHQGKSIATIFRFEEESVQHSRTRMEEIWRIDDVVFNVPGLSLDHFIPPADIFHANSP >Manes.06G131300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26034935:26036945:1 gene:Manes.06G131300.v8.1 transcript:Manes.06G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTASPDESSALECIRQHLLGDFTSTEVFFTDLDSHISTHSHILRPIKLENYDFLSASESNSPVSGHIGTCNFQPKIELVDLTSPEPPASLKHSPPEEPLSDPKPTDEEESKHYRGVRRRPWGKFAAEIRDPNRKGSRVWLGTFDSDVDAAKAYDFAAFRLRGRKAILNFPLEAGRADPPAKAGRKRRTVDRAEVTQDSGELSSVDWNLD >Manes.13G118150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32480171:32481262:1 gene:Manes.13G118150.v8.1 transcript:Manes.13G118150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSIALMVVLVMLLFSKPTLANGRPLSSSSSPSPSQKNTHHQEPVPARLGSVSVDATSVSKSTKDLSDDHQVSASAQVFPMASGPSRKGSGH >Manes.13G004700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1027098:1046526:1 gene:Manes.13G004700.v8.1 transcript:Manes.13G004700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLLAVLMVAVLVQLGFVCLAYGNFIFKVQHKFAGRERTLAALKAHDAHRHRRILSAVDLPLGGNGSPTVAGLYFAKIGLGNPPKDYYVQVDTGSDILWVNCVNCDKCPTKSGLGVKLTLYDPQSSASATNVYCDDAFCAATYNGVIQGCTKDLPCQYSLVYGDGSASAGFFVRDNLQFERVTGNLETAPANGSVVFGCGAKQLGELGTSSEALDGILGFGQANSSMISQLAAAGKVKRTFSHCLDNVNGGGIFAIGEVVSPKVNTTPMVANKPHYNVVMKEIEVGGDVLELPTDIFSDGDQRGTIIDSGTTLAYLPETVYELMMAKIVAQQPGLELHTVEEQFTCFQYSGNVDDGFPDVKFHFVDSLTLSAYPHDYLFQIREDVWCIGWQNSGLQSKDGRDMTLLGDLVLSNKLVLYDIENQAIGWTEYNCE >Manes.13G004700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1027098:1046526:1 gene:Manes.13G004700.v8.1 transcript:Manes.13G004700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLLAVLMVAVLVQLGFVCLAYGNFIFKVQHKFAGRERTLAALKAHDAHRHRRILSAVDLPLGGNGSPTVAGLYFAKIGLGNPPKDYYVQVDTGSDILWVNCVNCDKCPTKSGLGVKLTLYDPQSSASATNVYCDDAFCAATYNGVIQGCTKDLPCQYSLVYGDGSASAGFFVRDNLQFERVTGNLETAPANGSVVFGCGAKQLGELGTSSEALDGILGFGQANSSMISQLAAAGKVKRTFSHCLDNVNGGGIFAIGEVVSPKVNTTPMVANKPHYNVVMKEIEVGGDVLELPTDIFSDGDQRGTIIDSGTTLAYLPETVYELMMAKIVAQQPGLELHTVEEQFTCFQYSGNVDDGFPDVKFHFVDSLTLSAYPHDYLFQIREDVWCIGWQNSGLQSKDGRDMTLLGDLVLSNKLVLYDIENQAIGWTEYNCSSTIKVRDQSSGSVYSVGAHNVSAASRLISGRSVTFLLLVFAVFYKFS >Manes.13G004700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1027098:1046526:1 gene:Manes.13G004700.v8.1 transcript:Manes.13G004700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRLLAVLMVAVLVQLGFVCLAYGNFIFKVQHKFAGRERTLAALKAHDAHRHRRILSAVDLPLGGNGSPTVAGWNKMKGEYLEDANLYFAKIGLGNPPKDYYVQVDTGSDILWVNCVNCDKCPTKSGLGVKLTLYDPQSSASATNVYCDDAFCAATYNGVIQGCTKDLPCQYSLVYGDGSASAGFFVRDNLQFERVTGNLETAPANGSVVFGCGAKQLGELGTSSEALDGILGFGQANSSMISQLAAAGKVKRTFSHCLDNVNGGGIFAIGEVVSPKVNTTPMVANKPHYNVVMKEIEVGGDVLELPTDIFSDGDQRGTIIDSGTTLAYLPETVYELMMAKIVAQQPGLELHTVEEQFTCFQYSGNVDDGFPDVKFHFVDSLTLSAYPHDYLFQIREDVWCIGWQNSGLQSKDGRDMTLLGDLVLSNKLVLYDIENQAIGWTEYNCSSTIKVRDQSSGSVYSVGAHNVSAASRLISGRSVTFLLLVFAVFYKFS >Manes.16G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2936461:2937961:1 gene:Manes.16G027200.v8.1 transcript:Manes.16G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEMKKVFTIALRLLALASTVVAIVVMVRSHDSAEVFNLTFTAKYSNTPAFKYFVIAEAVGGAYTVIVIFLYSKSILGRLIIILDTVITVLLSSSISAALAIAQVGKKGNSHAGWLPVCGQVPRFCDQATISLIAGFVAAVVYFVLLLCSLHAVLTPIFAAKP >Manes.01G086800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28855576:28856929:-1 gene:Manes.01G086800.v8.1 transcript:Manes.01G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHAMLMTTLLLGLMASSSTAQGPISSPPTAAAMPPTSSATPPSSPVTPSPAATPLSPPPTSPSPPAATPTMSPSSSPPAPAPTPESPAPEMATPPALMGPTPSPATGSPTSSSGATPTSPPASSTPDSGAFVHGSRVAALLSLIGGVGLIVVFA >Manes.07G003700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:642960:647006:-1 gene:Manes.07G003700.v8.1 transcript:Manes.07G003700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQVNFNQNIDYVFKIVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTKTIVIDHKTIKAQVWDTAGQERYRAVTSAYYRGAVGAMLVYDISKRNKSDLGTLRAVPTEDAKEFAEKENLFFMETSALESTNVESAFLSVLTEIYRVVSKKSLVANDEHGHGGNVSLLKGTNIVVPGQEPEPEARSSGCCSRS >Manes.07G003700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:642960:647006:-1 gene:Manes.07G003700.v8.1 transcript:Manes.07G003700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQVNFNQNIDYVFKIVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTKTIVIDHKTIKAQVWDTAGQERYRAVTSAYYRGAVGAMLVYDISKRQSFDHIARWLEELREHADNNTVIMLVGNKSDLGTLRAVPTEDAKEFAEKENLFFMETSALESTNVESAFLSVLTEIYRVVSKKSLVANDEHGHGGNVSLLKGTNIVVPGQEPEPEARSSGCCSRS >Manes.07G003700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:644159:647006:-1 gene:Manes.07G003700.v8.1 transcript:Manes.07G003700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQVNFNQNIDYVFKIVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTKTIVIDHKTIKAQVWDTAGQERYRAVTSAYYRGAVGAMLVYDISKRQSFDHIARWLEELREHADNNTVIMLVGNKSDLGTLRAVPTEDAKEFAEKENLFFMETSALESTNVESAFLSVLTEIYRVVSKKSLVANDEHGHGGNVSLLKGTNIVVPGQEPEPEARSSGCCSRS >Manes.08G105200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34525285:34532464:-1 gene:Manes.08G105200.v8.1 transcript:Manes.08G105200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKGFLPSVPSEIPIQRHQIRDIIFSLCPACEEPDPETNIPFKADAIIANPPAYDDCIGHTHVAEKLKIPIHIFFTMPWTPTCEFPHPLSLVKQPVAYKLSYQIVDSMIWLGIRDMINEFRKKKLKLRPITYLSGYYSSPLDLPYGYIWSPNLVPKPKESNKSVYLLDNCPHDWLFSRCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGEQVHARGVGPAPIPAEEFSLPKLVEAIKFMLDPKVKDRARELAKAMEKEDGVQGAVNAFYKHFPRNKSEPEPEPNPAHLKFLSIPNRFACH >Manes.16G007500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:744337:751820:-1 gene:Manes.16G007500.v8.1 transcript:Manes.16G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRTSKEKLSKSEANNGYRSEGTGNLRQNQQQQPQYHQQQAVQPPKTTVPQTRTQTPQTRPQQPQASTPPAVRAAPTTRSVQMPDTILGKPLEDIKQYYSLGKELGRGQFGITYLCTENPTAHTYACKSILKRKLTNKGDREDIKREVQIMQHLSGQPNIVEFRGAYEDRQSVHVVMELCAGGELFDRIIAKGHYSERDAARICKDIVNVVHACHFMGVMHRDLKPENFLLGSKDEGAMLKATDFGLSVFIEEGKTYRDIVGSAYYVAPEVLRRSYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILEGYIDFESSPWPSISDSAKDLVRRMLTQDPKKRITSAQVLDHPWIKDGGAASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKTMFTNMDTDKNGTITYEELKTGLARLGSRLSETEVKQLMEAADVDGNGTIDYIEFISATMHRYRLERDEHLYKAFLYFDKDNSGYITRDELESAMKEYGMGDEASIKEIISEVDTDNDGRINYEEFCTMMRSGVQPGKLF >Manes.05G078000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6385698:6387536:1 gene:Manes.05G078000.v8.1 transcript:Manes.05G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLGRNLHLCFTKSKRPLDPQSSSPLLDSHDHSNPLVIKNFNSLFDYTFDSASKSPAPSSSSSSTEPDFATIFASQRFFFSSPGRSNSIVESTPSIATPTDSSGSLLGLKCNNAAPLSETGTMNNDQSDESSLEALNSDAVKDSVAVPTYSPDPYLDFRRSMQEMVEARDLVDVKANWDYLHELLLCYLALNPKSTHKFIIRAFADLLVSLLASQPTVAAEGE >Manes.14G056400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4811622:4813948:1 gene:Manes.14G056400.v8.1 transcript:Manes.14G056400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHLFLFSLLTIPSLISSSPVQDPELVIHQVNRAINASRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGRDGRIYVVTDSGDDDPVNPKPGTLRHAVIQDEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLHIHDCKQGGNAYVRDSPRHYGWRTISDGDGVSIFGGSHVWVDHNSLSNCNDGLVDAIHGSTAITISNNFMTHHDKVMLLGHSDSFTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFVAPDDRFNKEVTKYEDAPESEWKNWNWRSEGDLMVNGAFFTASGAGASSSYAKASSLSARPSSLVGTITVGAGALSCKKGSRC >Manes.15G175568.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:21501632:21502780:1 gene:Manes.15G175568.v8.1 transcript:Manes.15G175568.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFAIFSFTIIVFISLLPRAALAKFDDKKSPPFDFLKNLQGCHKGDKLKGIHELKTYLEHFGYLHYENQSHANGDDFDELLESALKTYQLNYHLNVTGSLDSQTVSKMIMPRCGVPDIVNGTTRMDSSKKNHHHSSTILHTVSHYTFFPGNPKWPTSKYSLTYGFLPRTPTQAVDPVAKAFQTWAANTHFRFSRVQDYTTADIKIGFHKGNHGDGYSFDGRGGILAHAFAPQDGRFHYDADERWAIGAMQGAYDLETVALHEIGHLLGLGHSSVEGAIMFSSISSGTTKGLHNDDIQGIRTLYNV >Manes.11G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4792821:4800387:-1 gene:Manes.11G044000.v8.1 transcript:Manes.11G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALNKKKGSKSQKPPPKKKQKNDILTEKHPKKDEVLNDSSSSDEEVQEHEEFDVDEQGSDSGSDILSDGDDAFTDDFLQGDDDEEKLSGSDSGMDPGSDSASDSDESDIEKKSRALDERKAREEKDAEEEMQLNIAEESDEFRLPTKEELENEAHRPPDLPNLQRRIREIVRVLSNFKELKQEGSRRKDYIEQLKMDLCSYYGYNDFLIGVLVEMFPVNELIELVEACEKPRPICLRTNTLKTRRRDLADVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGFYMLQSASSFLPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGIIYANEMKVPRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGHNAVDRVLLDAPCSGTGVISKDESVKTSKSFEDIQKCAHLQKQLILAAIDMVDANSKSGGYIVYSTCSMMVAENEAVINYALKMRDVKLVPCGLDFGRPGFIRFREHRFHPSLEKTRRFYPHVNNMDGFFVAKLKKMSNSIPTAVPSEPSESVEQASGPNESDSEKKMAEPFKKKGAIKKAEGLSNGVSDNGNVESPSPAKNRQKRKFPTREEISKIREEKRKALREAKKKTAKKGGRKD >Manes.16G038742.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6951306:6952495:-1 gene:Manes.16G038742.v8.1 transcript:Manes.16G038742.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQATVKAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGEVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCEDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKVKTVPKQYIII >Manes.10G083700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20919440:20921828:1 gene:Manes.10G083700.v8.1 transcript:Manes.10G083700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGSIKPLPGFGGLRDSGFPCNAKARKVLRVSAVEGLQQAVRVDFNGTGNGKAKFNMLKSNGSPLDSNLANEFAGNGKSHNSLSKIGNSTNIKWHECSIDKNDRQNLLNQKGCVIWITGKSTVACCMSKILTQMGKLTYILDGDNIRHGLNSDLSFKAQDRAENIRRVGEVAKLFSDAGVICIACLISPYRRDREACRKILPNGDFIEVFMDVPLPLCESRDPKGLYKLARAGKIKGFTGIDDPYEPPVNCEITLECNNEIYSSPSSMAEKVICYLQENGYLHA >Manes.10G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20919440:20921828:1 gene:Manes.10G083700.v8.1 transcript:Manes.10G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGSIKPLPGFGGLRDSGFPCNAKARKVLRVSAVEGLQQAVRVDFNGTGNGKAKFNMLKSNGSPLDSNLANEFAGNGKSHNSLSKIGNSTNIKWHECSIDKNDRQNLLNQKGCVIWITGLSGSGKSTVACCMSKILTQMGKLTYILDGDNIRHGLNSDLSFKAQDRAENIRRVGEVAKLFSDAGVICIACLISPYRRDREACRKILPNGDFIEVFMDVPLPLCESRDPKGLYKLARAGKIKGFTGIDDPYEPPVNCEITLECNNEIYSSPSSMAEKVICYLQENGYLHA >Manes.12G039600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3457352:3460369:-1 gene:Manes.12G039600.v8.1 transcript:Manes.12G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDGEGSSSPFVEIEFENQRLRTQVKYKDLNPIWNEKLVFNIKDVADLPYRAIEVNVFNEKRSSNSRNFLGKVRISGSSIAKEGEEMVQLHTLDKRSLFSHVRGEISLKLYVSAREEVKEVVGFGNGAVVSGSSGPGSASLKKSKKLQQQQSPAIVQQQQQLTQEHNKQMKQQGQNNAKPVEPNSGEIKPVVITTGPGPVVSGSGGGLITTGGGGGVVVGGGGGGGVSLYTNVSSDFSLKETSPHLGGGPLNKDKSSATYDLVEQMQYLYVRVVKAKDIMLFGGGEIVGEVKLGNYRGITKRAGSSNMEWNQVFAFSKDCIQSSMVEIFVKEGNKDDFLGRVWFDLSEIPRRVPPDSQLAPQWHRMEDKKGDKAKGGEVMVSIWFGTQADEAFAEAWHSKAANVHFDGLCSIKSKVYLSPKLWYLRVSVIEAQDIVPGDKGSAMMRFPELFAKILVGNQILRTKIAGPNPNRSMSNPYWNEDMVFVVAEPFEEYLVVSVEDRVGPGREETVGRVLLPMTAVEKRNDDKQVVSRWFNLDNHLGNAVDSKIMTRFGSRIHLRMSLDGGYHVLDEATMYSSDVKATAKHLWKPHIGVLEMGILGASGLMPTKIKEGKRESADAYCVAKYGQKWVRTRTVVDSLSPKWNEQYTWEVFDPCTVITIGVFDNCRVEKNAVNNACDSRIGKVRIRLSTLESDRVYTHSYPLLMLHPTGLKKMGELHLAVRFSCANMVNMFHMYTLPLLPKMHYVQPLSVNQLEALRYQAMNVVASRLSRSEPPLGREVVEYMLDHDSHMWSMRRSKANFARLINVLSGMVAMCRWIESMRNWHKPVYSALLLIVFVLLVTMPELIIPAILLYMAFLGLWRYRSRPRHPPHMDTRLSHAENVYPDELDEEFDSFPTSRSAEIVRMRYDRLRSVAGRIQTVVGDMATQGERAQALLSWRDPRATFLFVVMCLLAAVVFYAVPIRVAVGLWGLYMLRPPRFRNRLPCWPLNFFRRLPAKADGLL >Manes.09G034700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6732027:6735227:-1 gene:Manes.09G034700.v8.1 transcript:Manes.09G034700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVSFVVQKLGDLLIEEVTSLYDVQYQVESIERELTRMQCFLKDADAKQKGDMRVNNWVRDIRDVAFDVEDIIDTFVLKLACQRRRSGLMGFTAKFKYCFRDLAARRQLAEDIRSIKRRICEISTGRVTYGIENIGGDNAPYVCEKMRERRRSSPHVDNHDVIGFDEDINMLVLRLVDLRLLRRRVISIVGMGGLGKTTLAKKVYNCTEVKRHFDLCAWVYVSQDYRAREILHEIGRRVLSISKDNLAAMNKDDLEEKLYRVLSKKRYLIVLDDIWKIEVWDDLKAIFPDVMNGSKLLFTTRIKEVAMHADPSSPLHELHFLSAAKSWQLFTKKAFPMLVETGSFACPPELERLGKQIVAKCGGLPLAIVILGGLLSRKEKTTCVWSRVLQSVNWQLTHDPNKLLEILALSYNDLPYYLKPCFLYFGLFPEDLEIPAPKLMLFWIAEGFVQQRGEEAMEDVAEDFLEELIDRSMVQVVERRYDGKIKACRIHDLLRDLAISEAKECKFLEILDGYTCDSMIRARRIAIHTTLDMYLHLRHSNLHLRSLLRFTTSDEYLQSHQWTHFGDCHKLLRVLDLQGAIVSILPKATGELIHLRHLGLKNTGLKRFSFPINNLSKLQTLDIRATKLSRMPKELWKMQSLRRLYFHRIAITGRFPDHVSATNLRTLSTVSIYGNNWVPNFLGKLTNLRKLGIEGYHVSQTEALSNALVKLSSLEILQLKGADPISDPVLRLIFKLPNIYKLHLSGAMDKLPDPGEIQPNLTKLCLEMSQLEHDSFVTMERLPNLKMLRLLSNSFCEKEMVCSSGGFPKLHCLEIRELEKLEEWRIDVGAMPGLRRLIIHDCENLKMIPEGLQYITTLKEVVIEGMADEFEARIQQDCGSDWHKVQHIPSIVIRIVLICEMLGIYFCWKTRSPMLPRPFLQSSRR >Manes.09G034700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6732027:6735227:-1 gene:Manes.09G034700.v8.1 transcript:Manes.09G034700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVSFVVQKLGDLLIEEVTSLYDVQYQVESIERELTRMQCFLKDADAKQKGDMRVNNWVRDIRDVAFDVEDIIDTFVLKLACQRRRSGLMGFTAKFKYCFRDLAARRQLAEDIRSIKRRICEISTGRVTYGIENIGGDNAPYVCEKMRERRRSSPHVDNHDVIGFDEDINMLVLRLVDLRLLRRRVISIVGMGGLGKTTLAKKVYNCTEVKRHFDLCAWVYVSQDYRAREILHEIGRRVLSISKDNLAAMNKDDLEEKLYRVLSKKRYLIVLDDIWKIEVWDDLKAIFPDVMNGSKLLFTTRIKEVAMHADPSSPLHELHFLSAAKSWQLFTKKAFPMLVETGSFACPPELERLGKQIVAKCGGLPLAIVILGGLLSRKEKTTCVWSRVLQSVNWQLTHDPNKLLEILALSYNDLPYYLKPCFLYFGLFPEDLEIPAPKLMLFWIAEGFVQQRGEEAMEDVAEDFLEELIDRSMVQVVERRYDGKIKACRIHDLLRDLAISEAKECKFLEILDGYTCDSMIRARRIAIHTTLDMYLHLRHSNLHLRSLLRFTTSDEYLQSHQWTHFGDCHKLLRVLDLQGAIVSILPKATGELIHLRHLGLKNTGLKRFSFPINNLSKLQTLDIRATKLSRMPKELWKMQSLRRLYFHRIAITGRFPDHVSATNLRTLSTVSIYGNNWVPNFLGKLTNLRKLGIEGYHVSQTEALSNALVKLSSLEILQLKGADPISDPVLRLIFKLPNIYKLHLSGAMDKLPDPGEIQPNLTKLCLEMSQLEHDSFVTMERLPNLKMLRLLSNSFCEKEMVCSSGGFPKLHCLEIRELEKLEEWRIDVGAMPGLRRLIIHDCENLKMIPEGLQYITTLKEVVIEESSFVKCLEFTSVGKPGLQCFPGHFCNPQEGNSDCISVQFFSLYCFFLLFLPKQFSGLCFSLVHSAND >Manes.14G052901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4489943:4498155:1 gene:Manes.14G052901.v8.1 transcript:Manes.14G052901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFSNTHSIYTHTHLSVSERSESEREIEMENAREALVACSDRSMGTGIAIWDIRTGDRLLHIPTCASPPHGLLCLGNQFLVASQVNKHGSVGGGAIFTWPFNKPQSPLRSYPIEAIGPISCTKDGVYLAGGAPSGNVYIWEVANGRLLKTWRAHHKSLKCMTFSNDDSLLISGSDDGMICVWSMVSVLDMENLESSPSLFHYLEHRSSVSGLLTTSSANSTLISSSLDGTCKAWDLVSGRLIQTQEHPLGINAIILHPAEKFLFGGSTDGRIFVSVLNIGLVDDPFVVGEDHLVVLEGHKGSITALAFSTLGLISASEDGTVCLWDAISWVTVRRFNYQKAGAVTNLVVIPHPSLLPSTNHQRGPYQIRVSLLDKCPQPESNSSKGAVVLLRACSPLKDSQTSSEFRINSLDHQIFEMEEEHTPAALQMKLETSVDHRMCATRMTKHVMEMNKHLQSRLLDLMQNRLLWSAEDDSPTARKSKKLKIESPSHQGEKLLQSSN >Manes.01G039144.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7744862:7748080:-1 gene:Manes.01G039144.v8.1 transcript:Manes.01G039144.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVIEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLNNSNSLPSWVGDDCCRWHGVTCDDITGHVVKLVLSWASIMGNISLHLGNLSNLQCLDLSLNPSLAIHSLHFPSSLKYLYLPYVVLDKCDNWLQSINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLKLGLNNFHSTIPSWLYNITKLQNLDLYSSAFRGSLSTDISNLNSLASLSAGFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLEHLYLLNNSFSGSIPDNLGQFKRLKVLYLNENSFWGSIPVSIGQLYNLERLGFSQNSLHGEVSELHLLNLRSLIELNMGRNSLVFDIDPEWIPPFQLDWIALSSCEVGPSFPQWLKTQKSIRFLQMSNASISDNIPDWFENISSNIVGLDLSYNQLFGTLPPFRKLNTTYANEYRIILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNLSEMMPSLRLLSLSNNYLNGTFPATLCRIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDFSMNSFDGFIPSWIGESLSSLKVLSIHSNKLEGEIPLQLCYLASLRILNLANNMMTGTIPNCFGNFTAIAMHEQKGHWDYYTNAEPYMGFIRASYGENVQVYVKGVELEYSRTLRFLYSIDLSGNNFVGEILQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLSFLSHLNLSFNRLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADVLPKGHEKGGTTRKDDSEMVWFYGGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVCNKLWVTIAIRANQVKRKFLRNKLEGNA >Manes.04G044909.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7711591:7712346:-1 gene:Manes.04G044909.v8.1 transcript:Manes.04G044909.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAARGTIGYMAPELFYKNIGRVSHKVDVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSSGKLIIEDSSEEENILARKMIITGLWCIQMQPSNRPSMNKVLDMLEGDLKSLKLPPRPVLYPVESMTIDEGESSSTSSEVK >Manes.07G026100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2819441:2822633:1 gene:Manes.07G026100.v8.1 transcript:Manes.07G026100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADANIVIMLIGNKTDLKHLRAVATEDAQGYAEREGLSFIETSALEATNVEKAFQTILSEIYRIISKKSLSSEEQAPANIKEGKTIVVGGGEGNNKKPCCSSS >Manes.05G095600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8729341:8743972:-1 gene:Manes.05G095600.v8.1 transcript:Manes.05G095600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLHLAMAALVGASLMAISAFYVHKRTVDQVLDRLIELRFGSPRSSKMKSVVTDEENSGEEENVDGEAYVWDGEVAMKRKMRSRSASRSLDDKSVLRSDRVSSSMPNATLSNDWFDEDVKFGQQSSRFSAQGQGERLNFVPLGLAPLRMSTRDGENKSVNYSTSVTRMASIGRLNTPRSPGGNAFGSEWDSDEEGTEYNEDENIFVNENLDTSADHINEVDSKVQSSSLPFLGGTTNVINDQNLQEPIAEVKVGVDMLSRNVDIALARQVGNEANTIGPSRSTMHEAANIEEEEVRKMIRECLDLRNRYVYREGVAPWKLDVGELGTPRLKSDPFHFEPVPATTHHFRMEDGVVHVYASEQDTVDLFPVANATTFFTDMHHILRIISIGNVRTACHHRLRFLEEKFRLHLLVNADRESLAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFVNNAIYSENAVWLIQLPRLYNVYKQMGTVKCFQNIIENVFIPLFEVTVDPSTHPQLHVFLMQVVGLDIVDDESRPERRPTKHMPKPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAAFLLCHNISHGINLRKSPVLQYLYYLSQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTREPLVEEYSIAAKVWKLSSCDLCEIARNSVYQSGFSHVAKLHWLGSKFLLRGPEGNDIHKTNVPHMRIAFRDETWKEEMQYVYSGRAVFPEEVEF >Manes.05G095600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8729341:8743972:-1 gene:Manes.05G095600.v8.1 transcript:Manes.05G095600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLHLAMAALVGASLMAISAFYVHKRTVDQVLDRLIELRFGSPRSSKMKSVVTDEENSGEEENVDGEAYVWDGEVAMKRKMRSRSASRSLDDKSVLRSDRVSSSMPNATLSNDWFDEDVKFGQQSSRFSAQGQGERLNFVPLGLAPLRMSTRDGENKSVNYSTSVTRMASIGRLNTPRSPGGNAFGSEWDSDEEGTEYNEDENIFVNENLDTSADHINEVDSKVQSSSLPFLGGTTNVINDQNLQEPIAEVKVGVDMLSRNVDIALARQVGNEANTIGPSRSTMHEAANIEEEEVRKMIRECLDLRNRYVYREGVAPWKLDVGELGTPRLKSDPFHFEPVPATTHHFRMEDGVVHVYASEQDTVDLFPVANATTFFTDMHHILRIISIGNVRTACHHRLRFLEEKFRLHLLVNADRESLAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFVNNAIYSENAVWLIQLPRLYNVYKQMGTVKCFQNIIENVFIPLFEVTVDPSTHPQLHVFLMQVVGLDIVDDESRPERRPTKHMPKPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAAFLLCHNISHGINLRKSPVLQYLYYLSQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTREPLVEEYSIAAKVWKLSSCDLCEIARNSVYQSGFSHVAKLHWLGSKFLLRGPEGNDIHKTNVPHMRIAFRDETWKEEMQYVYSGRAVFPEEVEF >Manes.05G095600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8729341:8743972:-1 gene:Manes.05G095600.v8.1 transcript:Manes.05G095600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLHLAMAALVGASLMAISAFYVHKRTVDQVLDRLIELRFGSPRSSKMKSVVTDEENSGEEENVDGEAYVWDGEVAMKRKMRSRSASRSLDDKSVLRSDRVSSSMPNATLSNDWFDEDVKFGQQSSRFSAQGQGERLNFVPLGLAPLRMSTRDGENKSVNYSTSVTRMASIGRLNTPRSPGGNAFGSEWDSDEEGTEYNEDENIFVNENLDTSADHINEVDSKVQSSSLPFLGGTTNVINDQNLQEPIAEVKVGVDMLSRNVDIALARQVGNEANTIGPSRSTMHEAANIEEEEVRKMIRECLDLRNRYVYREGVAPWKLDVGELGTPRLKSDPFHFEPVPATTHHFRMEDGVVHVYASEQDTVDLFPVANATTFFTDMHHILRIISIGNVRTACHHRLRFLEEKFRLHLLVNADRESLAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFVNNAIYSENAVWLIQLPRLYNVYKQMGTVKCFQNIIENVFIPLFEVTVDPSTHPQLHVFLMQVVGLDIVDDESRPERRPTKHMPKPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAAFLLCHNISHGINLRKSPVLQYLYYLSQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTREPLVEEYSIAAKVWKLSSCDLCEIARNSVYQSGFSHVAKLHWLGSKFLLRGPEGNDIHKTNVPHMRIAFRDETWKEEMQYVYSGRAVFPEEVEF >Manes.05G095600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8729341:8743972:-1 gene:Manes.05G095600.v8.1 transcript:Manes.05G095600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLHLAMAALVGASLMAISAFYVHKRTVDQVLDRLIELRFGSPRSSKMKSVVTDEENSGEEENVDGEAYVWDGEVAMKRKMRSRSASRSLDDKSVLRSDRVSSSMPNATLSNDWFDEDVKFGQQSSRFSAQGQGERLNFVPLGLAPLRMSTRDGENKSVNYSTSVTRMASIGRLNTPRSPGGNAFGSEWDSDEEGTEYNEDENIFVNENLDTSADHINEVDSKVQSSSLPFLGGTTNVINDQNLQEPIAEVKVGVDMLSRNVDIALARQVGNEANTIGPSRSTMHEAANIEEEEVRKMIRECLDLRNRYVYREGVAPWKLDVGELGTPRLKSDPFHFEPVPATTHHFRMEDGVVHVYASEQDTVDLFPVANATTFFTDMHHILRIISIGNVRTACHHRLRFLEEKFRLHLLVNADRESLAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFVNNAIYSENAVWLIQLPRLYNVYKQMGTVKCFQNIIENVFIPLFEVTVDPSTHPQLHVFLMQVVGLDIVDDESRPERRPTKHMPKPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAAFLLCHNISHGINLRKSPVLQYLYYLSQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTREPLVEEYSIAAKVWKLSSCDLCEIARNSVYQSGFSHVAKLHWLGSKFLLRGPEGNDIHKTNVPHMRIAFRDETWKEEMQYVYSGRAVFPEEVEF >Manes.05G095600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8729341:8743972:-1 gene:Manes.05G095600.v8.1 transcript:Manes.05G095600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLHLAMAALVGASLMAISAFYVHKRTVDQVLDRLIELRFGSPRSSKMKSVVTDEENSGEEENVDGEAYVWDGEVAMKRKMRSRSASRSLDDKSVLRSDRVSSSMPNATLSNDWFDEDVKFGQQSSRFSAQGQGERLNFVPLGLAPLRMSTRDGENKSVNYSTSVTRMASIGRLNTPRSPGGNAFGSEWDSDEEGTEYNEDENIFVNENLDTSADHINEVDSKVQSSSLPFLGGTTNVINDQNLQEPIAEVKVGVDMLSRNVDIALARQVGNEANTIGPSRSTMHEAANIEEEEVRKMIRECLDLRNRYVYREGVAPWKLDVGELGTPRLKSDPFHFEPVPATTHHFRMEDGVVHVYASEQDTVDLFPVANATTFFTDMHHILRIISIGNVRTACHHRLRFLEEKFRLHLLVNADRESLAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFVNNAIYSENAVWLIQLPRLYNVYKQMGTVKCFQNIIENVFIPLFEVTVDPSTHPQLHVFLMQVVGLDIVDDESRPERRPTKHMPKPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAAFLLCHNISHGINLRKSPVLQYLYYLSQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTREPLVEEYSIAAKVWKLSSCDLCEIARNSVYQSGFSHVAKLHWLGSKFLLRGPEGNDIHKTNVPHMRIAFRDETWKEEMQYVYSGRAVFPEEVEF >Manes.05G095600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8729341:8743972:-1 gene:Manes.05G095600.v8.1 transcript:Manes.05G095600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSLHLAMAALVGASLMAISAFYVHKRTVDQVLDRLIELRFGSPRSSKMKSVVTDEENSGEEENVDGEAYVWDGEVAMKRKMRSRSASRSLDDKSVLRSDRVSSSMPNATLSNDWFDEDVKFGQQSSRFSAQGQGERLNFVPLGLAPLRMSTRDGENKSVNYSTSVTRMASIGRLNTPRSPGGNAFGSEWDSDEEGTEYNEDENIFVNENLDTSADHINEVDSKVQSSSLPFLGGTTNVINDQNLQEPIAEVKVGVDMLSRNVDIALARQVGNEANTIGPSRSTMHEAANIEEEEVRKMIRECLDLRNRYVYREGVAPWKLDVGELGTPRLKSDPFHFEPVPATTHHFRMEDGVVHVYASEQDTVDLFPVANATTFFTDMHHILRIISIGNVRTACHHRLRFLEEKFRLHLLVNADRESLAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFVNNAIYSENAVWLIQLPRLYNVYKQMGTVKCFQNIIENVFIPLFEVTVDPSTHPQLHVFLMQVVGLDIVDDESRPERRPTKHMPKPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDIDHLAAAFLLCHNISHGINLRKSPVLQYLYYLSQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTREPLVEEYSIAAKVWKLSSCDLCEIARNSVYQSGFSHVAKLHWLGSKFLLRGPEGNDIHKTNVPHMRIAFRDETWKEEMQYVYSGRAVFPEEVEF >Manes.03G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22443445:22444211:1 gene:Manes.03G100500.v8.1 transcript:Manes.03G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATTSFGRFYETWYDQLHHLVNQLSKAPKPPTNQEDATRMCLLVDKLMNHYAEYYRAKSVAAECDVLGVFTAPWASSLERSLHWIAGWRPTTLFHLVYTESSIMFESHIIDILRGIKTGDLGDLSPNQFRRVSELQCETVKEENAITEELAEWQDGASELTRSTCSEMNEKISLLVMIVQKADDLRLRTVRKVVEFLTPQQAAELLIAAAELQFGIRFWGRNQDVRRRQSS >Manes.05G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:920633:925189:1 gene:Manes.05G010800.v8.1 transcript:Manes.05G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFICGDRRCCICKTESPIIFVTKALGDYTRMISDFTVLPSEPREGRVGSYWYHEDSQAFFDDVDHYKMIKAMCRLSCSVCDKMEEEANDGTKRRGKFRNIEQLKGHLFHRHRLLMCSLCLEGRKVFICEQKLYTRAQLNQHISTGDSEVDGSESERGGFMGHPMCEFCKSPFYGDNELYSHMSTEHYTCHICQRQHPGQYEYYKNYDDLEIHFRREHFLCEDEACLAKKFVVFQTEAEIKRHNTIEHGGRMSRAKRNAALQIPTSFRYRRSTEQENRRGRGRAFHRDQYDDQISLAIQASLETANSEITSHDPPPSSSSAQGVSVHVDVNYIDPLLQPFESLTATDSEQPSRYLQALGQGSRNTSLAESSFPPLMNSSSNQQKGKQESEGLPNNTMAAHLRRQNRVTVLNSAQAWPAPTRGNASGSSSQYRPNINPASSNSQSSGGVPALSSYASSVQVQAQSRPTLVHGHLSAGSTGNLGNINRIGHPALAPNLSESRSLTPSISDFPPVSLTQVSKTSPSGKVLQNVEDVQTANKALVEKIRAALEYDEDKYASFKDISGQYRQGSVDTETYLYYVREYGLSHLVLELARLCPDAQKQKELVDTYNASLRGNGAHENGRSYGSGNLKDGTSLKKGKEINDEGSNSKDRLADDIISTVRTMQSHYKPTGGEVEVLSKDGYRSNRGKASLLSDEKQEFNGQNDSTSAGTRSNQNVKDGGSVNKHRKKTSKFNRVRLGDGSMAALLDLKNTETDPDPADDQSDRSNDATGGLPVRGVWKKGGGHKLFL >Manes.10G107166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25846248:25865915:-1 gene:Manes.10G107166.v8.1 transcript:Manes.10G107166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVELFPNIVADSNAEESHSHGIRRSKRNMPPAHYTLKIESFSRFCELLEKTGLVKYESDAFASGGYNWKLVLYPSGNVKRDGSDHISLYLAIAEPNAIPPGSQVDVILKFFVFDHLRDEYLTIQDDNMRRYHSLKTENGFDQLISLKMFNDSSNGYLFGDCCAFGAEVHVIKYEGKVERFYFIKELKDGDPRASGKSLSLFLELLNNSAHPQLRVYSEYKLIVKDQVQENHHERTARCFKGHSQLNIFLMEYWLGDIAILISTGIAVELLLYLLSEGLFWQSHLGLVVFNESIEKE >Manes.05G172100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28670648:28674519:1 gene:Manes.05G172100.v8.1 transcript:Manes.05G172100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGRYYWGRREMKRGGLIVIFAWMSSQEKHVKNYVDLYSSLGWDSLVCHSQFLNMFFPQKAEALAFDILNILIEEVKKKPCPLVFASFSGGPKACMYKVLQIIEGKSELQMNLDDCQLVRDCISGYIYDSSPVDFTSDLGRRFVVHPTVLKVSHTPRVLSWMSNVISNGLDAFFLSKFESMRAEYWQTLYSSVNMGAPYLILCSENDDLAPYQVICNFTQRLLELGGDVKLVTMNGSPHVGHYRVHPVDYKAAVTELLSKAATLYAQRIRRLEGERTGVERTHDETSEPTCDLRKGSASPNRSFRGVTIESSDQFFMPSSVGYYEGRDVGSLQDEHKESLIHLPSPPSINTHGVLGQILFDVCVPKNIEDWDIRSSTSSSRQTYASSRRHAPFNPIKCIRRSRL >Manes.02G218160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30074792:30077191:-1 gene:Manes.02G218160.v8.1 transcript:Manes.02G218160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEYVNNTNYLKPTRNPYSPYSNTYNLGWRNHPNFLTSQISKIKEHCNSLILRSEQTFETKDEGDMKKSTDGNNVNNEKYIPPKPYMLPIPFPHRFQKVKLDAQFGKLLEMIKSLYMNIPFTKSLSQMPLYDKFIKEILSKKRKLEEFEIVSLIKQLGAIFQHKLPSKLKDPDSFSIPCDIGDFHIDRALYDLGVA >Manes.03G056500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5529613:5545901:1 gene:Manes.03G056500.v8.1 transcript:Manes.03G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIPMSELNPLKRSFSDMSYSLQSLDLGLNGLEHRTSATREPASVVNLNNSSDNLGLNCLEDDAVREPDSVVNHNDFNPRSYQLEVFEVAMKRNTIAVLETGAGKTMIAVMLIKEIGLAIKSSGYKKLILFLAPTVHLVNQQYEVIKTNTSFDVGEYYGAKRVDEWTMKLWEKEIEEHDVLVMTPQVLLDALRTAFLNLDVVSLLIIDECHRATGNHPYTKIMKEFYHKLNDKPRIFGMTASPVVRKGVSSAVDCEDQISELESILDSQVFTIEDRTEMDIHVPSARETCRFYDKAQHYSSDLKSKIEASWSKFDASVVSLQGSAQSSYKDIDDKIRTLRQRLSNDHAKILNCLEDLGLICAYEAVKVLLQSAPNSSEECVIYREISLQYKYFLEEVVSKIGECLPHGDHFLLDLEFDFLKAVDLGYLSPKLYELLQLFLSFGGARQILCLIFVDRIVTAKVIERFVKKVAALAHFRVSYVTGSNTSIDAVTPKTQKETLESFRSGKVNLLFATDVLREGIHVPNCSCVICFDLPKTVCCYVQSRGRARQNDSQYIIMLERGNVKQRDQLFDFIRSEWLVTNTAINRDPDVHFLKTCTTEETKSYIVNATGASVTQDSSVTHIYRYCEKLPGDRYFTPRPTFEYEFFDRSCQCKLKLPASAAFQTLVGPVCRSQQLAKQLVCLEACKKLHQMGALDDHLQPSVDEPMEDNCIMRSKDTLAGTTKRKELHGTTSVHALSGSWGENLDGATFYAYKFDFSCSIVKEIYSGFILLTESKLDDDVGNFVLDLYLVRKTVRASVSSCGQIHLDAEQIVKAKCFHELFFNALFGKLFTGSKSSRRREFLLQKETSLLWIPSNMYLLLPLETFSASNDESWKINWTGVDACTYVVEFLKNSFLDAEHCSGESRSSSCRVGSSVTECSSLNTIHFANISVDADKLKDMVVLAIHTGKIYSVVELVSNTSADSPFEQNAGDASPSYSSYADYFNQKYGIVLTHPGQPLLLLKQSHKPHNLLPNPNDEVTSKDGLAVGKQQQLAHMPPELLISVDVPIQVLKSFYLLPSLMYRLESLMLASQLRHEIDCRIPKSYIPSSLMLEAITTLRCCETFSMERLELLGDSVLKYAISYDLFLRYPTKHEGQLSARRVQAVCNSALHKLGINCKLQGYIRDSAFNPRCWVAPGQRPAYYIPCKCGVDTLEVPLDSKFQTKDPKVKIAICCSMGHRWICSKTISDCVEGIVGAYYVGGGLIAAVHVMKWLGMDVKFDPSSVDEAISSASLRSYMPKENEVRSLESKLGYTFSIKFLIQEAMTHASMQEQGVGYCYQRLEFLGDSVLDLLITWHLYQSHKNIDPGDLTDLRSASVNNENFAQIVVRWELYKHLQHCSTLLLSQITEYLESFCQSDEATKSSTIPKGPKALADFLESVAGAVLVDTKFNLDEVWRIFKPLLSPIATPESLELPPMRELTELCDSHGYSKKETCSIKNDIVHAHLRLQLNDVLLVGDGYDRNRKAAKGKAASHLLKELENRGMRNSRGDSKRRKPDTDHVVDLSSLDVTNRHSAEPTPHKKQKIVENESPTGSRGVPPRAGSPKESPPVIESISMKKGGPRTTLFELCKKVEWTRPTFQTTENKSRTPITFGDGSEMKHSFTSFVSKITLNIPVYGMIECTGDPRPDKKSSFDSAALAMLYELKEQGRLIIGDA >Manes.03G056500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5529613:5545901:1 gene:Manes.03G056500.v8.1 transcript:Manes.03G056500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWEKEIEEHDVLVMTPQVLLDALRTAFLNLDVVSLLIIDECHRATGNHPYTKIMKEFYHKLNDKPRIFGMTASPVVRKGVSSAVDCEDQISELESILDSQVFTIEDRTEMDIHVPSARETCRFYDKAQHYSSDLKSKIEASWSKFDASVVSLQGSAQSSYKDIDDKIRTLRQRLSNDHAKILNCLEDLGLICAYEAVKVLLQSAPNSSEECVIYREISLQYKYFLEEVVSKIGECLPHGDHFLLDLEFDFLKAVDLGYLSPKLYELLQLFLSFGGARQILCLIFVDRIVTAKVIERFVKKVAALAHFRVSYVTGSNTSIDAVTPKTQKETLESFRSGKVNLLFATDVLREGIHVPNCSCVICFDLPKTVCCYVQSRGRARQNDSQYIIMLERGNVKQRDQLFDFIRSEWLVTNTAINRDPDVHFLKTCTTEETKSYIVNATGASVTQDSSVTHIYRYCEKLPGDRYFTPRPTFEYEFFDRSCQCKLKLPASAAFQTLVGPVCRSQQLAKQLVCLEACKKLHQMGALDDHLQPSVDEPMEDNCIMRSKDTLAGTTKRKELHGTTSVHALSGSWGENLDGATFYAYKFDFSCSIVKEIYSGFILLTESKLDDDVGNFVLDLYLVRKTVRASVSSCGQIHLDAEQIVKAKCFHELFFNALFGKLFTGSKSSRRREFLLQKETSLLWIPSNMYLLLPLETFSASNDESWKINWTGVDACTYVVEFLKNSFLDAEHCSGESRSSSCRVGSSVTECSSLNTIHFANISVDADKLKDMVVLAIHTGKIYSVVELVSNTSADSPFEQNAGDASPSYSSYADYFNQKYGIVLTHPGQPLLLLKQSHKPHNLLPNPNDEVTSKDGLAVGKQQQLAHMPPELLISVDVPIQVLKSFYLLPSLMYRLESLMLASQLRHEIDCRIPKSYIPSSLMLEAITTLRCCETFSMERLELLGDSVLKYAISYDLFLRYPTKHEGQLSARRVQAVCNSALHKLGINCKLQGYIRDSAFNPRCWVAPGQRPAYYIPCKCGVDTLEVPLDSKFQTKDPKVKIAICCSMGHRWICSKTISDCVEGIVGAYYVGGGLIAAVHVMKWLGMDVKFDPSSVDEAISSASLRSYMPKENEVRSLESKLGYTFSIKFLIQEAMTHASMQEQGVGYCYQRLEFLGDSVLDLLITWHLYQSHKNIDPGDLTDLRSASVNNENFAQIVVRWELYKHLQHCSTLLLSQITEYLESFCQSDEATKSSTIPKGPKALADFLESVAGAVLVDTKFNLDEVWRIFKPLLSPIATPESLELPPMRELTELCDSHGYSKKETCSIKNDIVHAHLRLQLNDVLLVGDGYDRNRKAAKGKAASHLLKELENRGMRNSRGDSKRRKPDTDHVVDLSSLDVTNRHSAEPTPHKKQKIVENESPTGSRGVPPRAGSPKESPPVIESISMKKGGPRTTLFELCKKVEWTRPTFQTTENKSRTPITFGDGSEMKHSFTSFVSKITLNIPVYGMIECTGDPRPDKKSSFDSAALAMLYELKEQGRLIIGDA >Manes.03G056500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5529613:5545901:1 gene:Manes.03G056500.v8.1 transcript:Manes.03G056500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELNPLKRSFSDMSYSLQSLDLGLNGLEHRTSATREPASVVNLNNSSDNLGLNCLEDDAVREPDSVVNHNDFNPRSYQLEVFEVAMKRNTIAVLETGAGKTMIAVMLIKEIGLAIKSSGYKKLILFLAPTVHLVNQQYEVIKTNTSFDVGEYYGAKRVDEWTMKLWEKEIEEHDVLVMTPQVLLDALRTAFLNLDVVSLLIIDECHRATGNHPYTKIMKEFYHKLNDKPRIFGMTASPVVRKGVSSAVDCEDQISELESILDSQVFTIEDRTEMDIHVPSARETCRFYDKAQHYSSDLKSKIEASWSKFDASVVSLQGSAQSSYKDIDDKIRTLRQRLSNDHAKILNCLEDLGLICAYEAVKVLLQSAPNSSEECVIYREISLQYKYFLEEVVSKIGECLPHGDHFLLDLEFDFLKAVDLGYLSPKLYELLQLFLSFGGARQILCLIFVDRIVTAKVIERFVKKVAALAHFRVSYVTGSNTSIDAVTPKTQKETLESFRSGKVNLLFATDVLREGIHVPNCSCVICFDLPKTVCCYVQSRGRARQNDSQYIIMLERGNVKQRDQLFDFIRSEWLVTNTAINRDPDVHFLKTCTTEETKSYIVNATGASVTQDSSVTHIYRYCEKLPGDRYFTPRPTFEYEFFDRSCQCKLKLPASAAFQTLVGPVCRSQQLAKQLVCLEACKKLHQMGALDDHLQPSVDEPMEDNCIMRSKDTLAGTTKRKELHGTTSVHALSGSWGENLDGATFYAYKFDFSCSIVKEIYSGFILLTESKLDDDVGNFVLDLYLVRKTVRASVSSCGQIHLDAEQIVKAKCFHELFFNALFGKLFTGSKSSRRREFLLQKETSLLWIPSNMYLLLPLETFSASNDESWKINWTGVDACTYVVEFLKNSFLDAEHCSGESRSSSCRVGSSVTECSSLNTIHFANISVDADKLKDMVVLAIHTGKIYSVVELVSNTSADSPFEQNAGDASPSYSSYADYFNQKYGIVLTHPGQPLLLLKQSHKPHNLLPNPNDEVTSKDGLAVGKQQQLAHMPPELLISVDVPIQVLKSFYLLPSLMYRLESLMLASQLRHEIDCRIPKSYIPSSLMLEAITTLRCCETFSMERLELLGDSVLKYAISYDLFLRYPTKHEGQLSARRVQAVCNSALHKLGINCKLQGYIRDSAFNPRCWVAPGQRPAYYIPCKCGVDTLEVPLDSKFQTKDPKVKIAICCSMGHRWICSKTISDCVEGIVGAYYVGGGLIAAVHVMKWLGMDVKFDPSSVDEAISSASLRSYMPKENEVRSLESKLGYTFSIKFLIQEAMTHASMQEQGVGYCYQRLEFLGDSVLDLLITWHLYQSHKNIDPGDLTDLRSASVNNENFAQIVVRWELYKHLQHCSTLLLSQITEYLESFCQSDEATKSSTIPKGPKALADFLESVAGAVLVDTKFNLDEVWRIFKPLLSPIATPESLELPPMRELTELCDSHGYSKKETCSIKNDIVHAHLRLQLNDVLLVGDGYDRNRKAAKGKAASHLLKELENRGMRNSRGDSKRRKPDTDHVVDLSSLDVTNRHSAEPTPHKKQKIVENESPTGSRGVPPRAGSPKESPPVIESISMKKGGPRTTLFELCKKVEWTRPTFQTTENKSRTPITFGDGSEMKHSFTSFVSKITLNIPVYGMIECTGDPRPDKKSSFDSAALAMLYELKEQGRLIIGDA >Manes.13G113300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31890400:31893110:-1 gene:Manes.13G113300.v8.1 transcript:Manes.13G113300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCFQLIFVLSLSFSLCLFSSTVSAQLRQNYYAKVCPDVESIVRNAVQKKFKETFVTAPATIRLFFHDCFVQGCDASVIVQSTPTNKAEKDYPDNLSLAGDGFDTVIKAKEAVDAVPSCRNKVSCADILAMATRDVIALSGGPSYGVELGRLDGLSSTAASVHGKLPHETFNLNQLISLFAANGLSQTDMIALSAAHTVGFSHCSKFSNRLYNFSRQNPVDPTLNKAYATQLRQMCPRKVDPRIAINIDPTTPNTFDNVYFQNLQRGHGLFTSDQVLFTDPRSRPTVNAWASNSMAFQKAFVAAITRLGRVRVKTGRNGNIRRDCAILN >Manes.13G113300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31890400:31893110:-1 gene:Manes.13G113300.v8.1 transcript:Manes.13G113300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCFQLIFVLSLSFSLCLFSSTVSAQLRQNYYAKVCPDVESIVRNAVQKKFKETFVTAPATIRLFFHDCFVQGCDASVIVQSTPTNKAEKDYPDNLSLAGDGFDTVIKAKEAVDAVPSCRNKVSCADILAMATRDVIALSGGPSYGVELGRLDGLSSTAASVHGKLPHETFNLNQLISLFAANGLSQTDMIALSAAHTVGFSHCSKFSNRLYNFSRQNPVDPTLNKAYATQLRQMCPRKVDPRIAINIDPTTPNTFDNVYFQNLQRGHGLFTSDQVLFTDPRSRPTVNAWASNSMAFQKAFVAAITRLGRVRVKTGRNGNIRRDCAILN >Manes.17G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11152138:11169296:1 gene:Manes.17G020300.v8.1 transcript:Manes.17G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPNSVKLVEQELWQREEKGLIGILPVRDAAAEETASVGPMLSHGVGNDSADRSSKIQVGNSDNLKLDGKNQQEVIRWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVLELSKRGMYHTARISSLEMTKAEMEQVEQDPDFISLSRQFKATALELISVLEQKQKTSGRTKVLLETVPVHKLADIFVASFEMNFEEQLSMLDSVDLKVRLSKATELVDRHLQSIRVAEKISQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQSAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQKASEENDLDLKAAKERLDSDHYGLIKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRAAPIPPPLLDRMEVIELPGYTPEEKLRIAMRHLIPRVLDQHGLSSEFLQIPEDMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEQEQAVPLSKDMHRLASPLLENRLADGAEVEMEVIPMNDNNHELSPFRVASPLVVDEAMLEKVLGPPRFDDKEAAERVANPGISVGLVWTTFGGEVQFVEATAMVGKGELHLTGQLGDVIKESAQIALTWVRARATDLKLAAQEINLLEGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQRKVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIRRVILPERNLKDLVEVPAAVLGTLEILLAKRMEDVLEQAFEGGCPWRQQAKL >Manes.04G154400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34984482:34986959:-1 gene:Manes.04G154400.v8.1 transcript:Manes.04G154400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQEELAAQLEAQKILESDKPVVEDVVDDEDDDDEDDDDDDKDEDEAEGQQDGDASGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVVFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPETSTMAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTN >Manes.04G154400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34984816:34986959:-1 gene:Manes.04G154400.v8.1 transcript:Manes.04G154400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETQEELAAQLEAQKILESDKPVVEDVVDDEDDDDEDDDDDDKDEDEAEGQQDGDASGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVVFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPETSTMAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTN >Manes.07G073690.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15601964:15603984:-1 gene:Manes.07G073690.v8.1 transcript:Manes.07G073690.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEQPNSMCDQDSYKSKQLGTVLEPEDDSDVLIEGKNVYKSFGDKDVLRGVSFKVGGLGDVVTGLGKALKKRGHLVEIILPKYDCMQYDGLPVYFIEPQHPDKFFWRGQFYGEHDDFYRFSFFSRAALELLLQAGKKPDIIAMTNLKFASALIFLQCMIIHFTTTENYMNGSFDSLFGVFLNICYFLCECASNPRSLSYFIEYLGCITRMVPQKGVHLFLLLCQFQAYSSGQILLVYLFISFVEGI >Manes.08G141500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37809030:37823159:-1 gene:Manes.08G141500.v8.1 transcript:Manes.08G141500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRFLPPSPIKTSLFHLLFPPNSLRTNSVNSPRLFSTRRSSLPRMAIPPKLISSSSSSIATSAPGNSLECLASKLWIKFRRESIFAMYTPFVVCLAAGNLKIETFRNYISQDLHFLKAFAHAYELAEECADDDDAKIAITELRKGILEELKMHNSFTQEWGLDPSKEGTINSATVKYKDFLLATASGKVEGVKGPGKLATPFERTKVAAYTLGAMTPCMQLYAFLAKELQALVDSEDGSHPYKKWIDNYSSDGFQASALQTEDLLDKLSVSLTGLELDIIEKLYHQAMKLEIEFFNVQPLSQPTVVPLTKEHNPVEDRLVIFSDFDLTCTTVDSSAILAEIAIITAPKSDQAQPGNQIARMSSADLRNTWGLLSGQYTEEYEQCIESILPPEKVEFNYEVLCKALEQLSDFESKANARVIESGVLKGLNLEDIKRAGERLIFQDGCTSFFQKIVKNENLKANVHVLSYCWCADLIRSAFSSGGLDALNIHANEFSFEVSFSTGDIIKKVESPIDKVQAFNNILENYGTDRKNLTVYIGDSVGDLLCLLQADIGIVIGSSSSLRSVGSQFGVSFLPLFPGLVKKQKGYIKESSSNWNAQSGILYTVSSWAEIHAFIFGW >Manes.08G163800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39875096:39881803:-1 gene:Manes.08G163800.v8.1 transcript:Manes.08G163800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPTENSEQSVALCNDAEHREANMVPNSLNHGGVESKPEFDREEVRGILEVIASTGKFWHDWDKLRSMISFHLKQVLSEYPEAKMTNEEQSARLGETYTELVKRLDEALHSFDEGPPFTLQRLCEILLAARSIYPNLSKLALALEKNLLVTSTLTACMDPYPQAMQKLDEPDKAHEVPQLQSNSIQNGVEPMVDGDEIMAEVQEADIDDDMTIDMEAFEEIVGSSESNSTPTGNS >Manes.02G186300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14925062:14927375:1 gene:Manes.02G186300.v8.1 transcript:Manes.02G186300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPKHTSLSHAKQLQAHLITTGQFQFPICSSRSKLLELYTLSLDSLSFAIYTFCQILTPSTNDWNAIIRGLIGSPSPTDSFLWYKTMVRGYYRVDALTCSFVLKACARVLAFSESVQLHSHIVRKGFLADALLGTTLLDLYAKVGDIYSAERVFDEMVKRDIASWNALISGFAQGSKPTEALALFKKMEIMGFKPNEITVLGALSACSQLGAFKEGENIHAYIREEKLDMNVQVCNAVIDMYAKCGFADKAYSVFESMSCKKSLVTWNTMIMAFAMHGDGCKALELFEQMGQSEVNPDAVSYLALLCACNHAGLVEEGYRLFNSMEKSGVTPNVKHYGSVVDLLGRAGRLQEAYDVINSMPMVPDMVLWQTLLGACRTYANVEMAELVSQKLTEMGSNADGDFVLLSNVYAAKERWADVGKVRNAMKNREVKKLPGVSYVEEKGVIHKFYNGDRSHESWREIYAKLDEIRFRIKECGYLAETSFVLHDIGEEEKENALYHHSEKLAVAFGLISTSTGMPIQVIKNLRICGDCHSVIKLISKIYDREIIVRDRVRFHRFKQGSCSCRDYW >Manes.11G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLRANSVLQFGNSYVKSKPANCFQGHCSHYSLGFNKKKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEVGASLIRFIKGKGGFSQKKFEEEMGVKIFIPSSKEEESIIIEGSSIDSVTRASEKIQAIVEEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKSISSKVMDALDNRPLYVRLKGLDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLSYRANSVLQFGNSYVKSKPANCFQKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKSISSKVMDALDNRPLYVRLKGLDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLSYRANSVLQFGNSYVKSKPANCFQGHCSHYSLGFNKKKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEVGASLIRFIKGKGGFSQKKFEEEMGVKIFIPSSKEEESIIIEGSSIDSVTRASEKIQAIVEEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLRANSVLQFGNSYVKSKPANCFQKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEVGASLIRFIKGKGGFSQKKFEEEMGVKIFIPSSKEEESIIIEGSSIDSVTRASEKIQAIVEEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKSISSKVMDALDNRPLYVRLKGLDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLRANSVLQFGNSYVKSKPANCFQKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEVGASLIRFIKGKGGFSQKKFEEEMGVKIFIPSSKEEESIIIEGSSIDSVTRASEKIQAIVEEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLSYRANSVLQFGNSYVKSKPANCFQGHCSHYSLGFNKKKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEVGASLIRFIKGKGGFSQKKFEEEMGVKIFIPSSKEEESIIIEGSSIDSVTRASEKIQAIVEEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKSISSKVMDALDNRPLYVRLKGLDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLSYRANSVLQFGNSYVKSKPANCFQKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEVGASLIRFIKGKGGFSQKKFEEEMGVKIFIPSSKEEESIIIEGSSIDSVTRASEKIQAIVEEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKSISSKVMDALDNRPLYVRLKGLDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEVGASLIRFIKGKGGFSQKKFEEEMGVKIFIPSSKEEESIIIEGSSIDSVTRASEKIQAIVEEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKSISSKVMDALDNRPLYVRLKGLDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLSYRANSVLQFGNSYVKSKPANCFQGHCSHYSLGFNKKKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKSISSKVMDALDNRPLYVRLKGLDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.11G036600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3519278:3527668:-1 gene:Manes.11G036600.v8.1 transcript:Manes.11G036600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARTSIFLLRANSVLQFGNSYVKSKPANCFQGHCSHYSLGFNKKKTGPEIRLGSMGNKKKQKTVLTRLWRPVSTQASSVDDVTIESENGGQLQEVHCKTSSSFSNTQEATVAEVTQSAVSSTSLDSNVENKVLEGESVLSMEKHSLSIEVGASLIRFIKGKGGFSQKKFEEEMGVKIFIPSSKEEESIIIEGSSIDSVTRASEKIQAIVEEAINSPSLNYSHFISLPLAIHPELVDKLVKFQNSILGTSDSFQDETVESDSNEDTSDNEDKDKDLRKGSDVAVALKVEDDNYVKVDLTSMPLVSYAPKASKSTTLSVLGIDKSIFTKPKAFHLTVLMLKLWNKKRIDAATEVLKDMMRGSMAKARVLYATVEEVGNEDRLLRACQVITDAFVEAGLVLDKDAKQKLKLHATVMNTSHRRGKKWRRKNDSFDARGIFKQFGSEEWGEYLIREAHLSQRFVYDENGYYHCCASIPFPESMQVD >Manes.10G094000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24089792:24093461:1 gene:Manes.10G094000.v8.1 transcript:Manes.10G094000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYFTKLPKNSEPLNSKPKEKVAFVEKESLASDDDIIGDPGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTLIREKNRRFQVAWFKDYEWLEYSVSKDKAYCLYCYLFANNNRSGGNVFTEIGFNNWKDGRRAFVNHEGSPGSSHSGCRMKVEQYRNQRGNVNQLLARQTAAMEDYYRTRLSTVVSVARILLEEGLPFRGRDESAESLHKGNFLEHISWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIECCAMETRKIILNELGEKTFALLVDEARDCSVKEQMSLVLRFVNDKGMVLERFLGLVHVNETSAKVLKNVIDTFFAKHDLSLAKLRGQGYDGAANMSGEFNGLKTLILKENKNPHYIHCFAHQLQLVVVTASHESESVGDFFETLSMIVNTIGASCKRKDTLREIHNEEVLNQVEMGEISTGRGQNQEISLARPGDTRWGSHYTTIVRLFDMWNSVERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWNNLLKEVTEFCEGHSIDVPNMENFVHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKTDLRNRLGDDLLSDCLVCYFEKEIFRSIDDEVIMQSFQNLASRRNQLRPLKIRRPNPC >Manes.04G063000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22275783:22278741:1 gene:Manes.04G063000.v8.1 transcript:Manes.04G063000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGVHNPFDIFESFFGGSPFGGGSSRGRRQRQGEDVVHPLKVSLEDLYLGTTKKLSLSRNVICSKCNGKGSKSGASMKCPGCQGTGVKISVRQLGPSMIQQMQHSCNECKGTGESISEKDKCTQCKGEKVVPEKKVLEVIVEKGMHHGQKITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLIVEHTLALTEALCGFQFVLTHLDGRQLLIKSNPGDVVKPDSYKGINDEGMPMYQRPFMKGKLYIHFNVEFPDYLAADQVKALEVILPARPSSQLTDMELDVCEETTLHDVNMEEEMRRKQQQARQEAYEEDEDTPSGAQRVQCAQQ >Manes.14G003833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1531321:1532921:-1 gene:Manes.14G003833.v8.1 transcript:Manes.14G003833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLKEKESSKYARIVNVEKIPLKSPTMEIPMPQLRLASSKTIPASPFSFPSSSSKPPQPISLHQNIACANPPATPETGSSSVHVLDATETDLPNGNNTILDVDSFESFPPGYRFCPLDEELVVHYLKNKVHSLPLPRNKIVELNLYSYNPEELAETYMQYGEKEWYFFTSRDKKYRNGSRPSRVAVGGYWKATGADKHIKYKGAVVGYRKALVFYTGTPSESTKTDWIMHEYRLDDFVLCRIYKKAGKSIITPRTNEESARFDDKEADLVIKYNDSPGYSNTLVDYEQPFQISVPAQQIPAAFSENPQFDNFQINSNYDDQQAMLAAASHGSYGAPYMTPMVETAVHLHPTEDIFTSKYLNENSCVFKSRTLLDERAFYSDDGNPSFPPQLSMVSLENIVSINHQNNPSNM >Manes.17G097000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30410339:30414042:-1 gene:Manes.17G097000.v8.1 transcript:Manes.17G097000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGKKGTCDLCSKGNRFTEKEICIVCSAKYCCHCVLRAMGSMPQGRKCVSCIGQRIDEAKRKDLGKCSRMLKRLLCEIEVKQIMKSERLCQANQLNPELVYVNCQALSQQELFLLQTCPNPPRELTHGRYWYDKFSGFWGKEGKKPCQIISPSLAVGGHLQTNASNGNTNVLVNNREITKVELLMLLLAGVKCEGETHLWVNADGSYQEEGSSAIKENIWIKTRVGKLVRAILSLPSAPLERVTGKNVGMELEHGLYSPLLVGSGFSGTEPDQPA >Manes.17G097000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30410277:30414042:-1 gene:Manes.17G097000.v8.1 transcript:Manes.17G097000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGKKGTCDLCSKGNRFTEKEICIVCSAKYCCHCVLRAMGSMPQGRKCVSCIGQRIDEAKRKDLGKCSRMLKRLLCEIEVKQIMKSERLCQANQLNPELVYVNCQALSQQELFLLQTCPNPPRELTHGRYWYDKFSGFWGKEGKKPCQIISPSLAVGGHLQTNASNGNTNVLVNNREITKVELLMLLLAGVKCEGETHLWVNADGSYQEEGSSAIKENIWIKTRVGKLVRAILSLPSAPLERVTGKNVGMELEHGLYSPLLVGSGFSGTEPDQPA >Manes.17G097000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30410339:30413151:-1 gene:Manes.17G097000.v8.1 transcript:Manes.17G097000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGKKGTCDLCSKGNRFTEKEICIVCSAKYCCHCVLRAMGSMPQGRKCVSCIGQRIDEAKRKDLGKCSRMLKRLLCEIEVKQIMKSERLCQANQLNPELVYVNCQALSQQELFLLQTCPNPPRELTHGRYWYDKFSGFWGKEGKKPCQIISPSLAVGGHLQTNASNGNTNVLVNNREITKVELLMLLLAGVKCEGETHLWVNADGSYQEEGSSAIKENIWIKTRVGKLVRAILSLPSAPLERVTGKNVGMELEHGLYSPLLVGSGFSGTEPDQPA >Manes.17G097000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30410277:30414042:-1 gene:Manes.17G097000.v8.1 transcript:Manes.17G097000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGKKGTCDLCSKGNRFTEKEICIVCSAKYCCHCVLRAMGSMPQGRKCVSCIGQRIDEAKRKDLGKCSRMLKRLLCEIEVKQIMKSERLCQANQLNPELVYVNCQALSQQELFLLQTCPNPPRELTHGRYWYDKFSGFWGKEGKKPCQIISPSLAVGGHLQTNASNGNTNVLVNNREITKVELLMLLLAGVKCEGETHLWVNADGSYQEEGSSAIKENIWIKTRVGKLVRAILSLPSAPLERVTGKNVGMELEHGLYSPLLVGSGFSGTEPDQPA >Manes.17G097000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30410277:30411947:-1 gene:Manes.17G097000.v8.1 transcript:Manes.17G097000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGKKGTCDLCSKGNRFTEKEICIVCSAKYCCHCVLRAMGSMPQGRKCVSCIGQRIDEAKRKDLGKCSRMLKRLLCEIEVKQIMKSERLCQANQLNPELVYVNCQALSQQELFLLQTCPNPPRELTHGRYWYDKFSGFWGKEGKKPCQIISPSLAVGGHLQTNASNGNTNVLVNNREITKVELLMLLLAGVKCEGETHLWVNADGSYQEEGSSAIKENIWIKTRVGKLVRAILSLPSAPLERVTGKNVGMELEHGLYSPLLVGSGFSGTEPDQPA >Manes.17G097000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30410081:30414042:-1 gene:Manes.17G097000.v8.1 transcript:Manes.17G097000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPGKKGTCDLCSKGNRFTEKEICIVCSAKYCCHCVLRAMGSMPQGRKCVSCIGQRIDEAKRKDLGKCSRMLKRLLCEIEVKQIMKSERLCQANQLNPELVYVNCQALSQQELFLLQTCPNPPRELTHGRYWYDKFSGFWGKEGKKPCQIISPSLAVGGHLQTNASNGNTNVLVNNREITKVELLMLLLAGVKCEGETHLWVNADGSYQEEGSSAIKENIWIKTRVGKLVRAILSLPSAPLERVTGKNVGMELEHGLYSPLLVGSGFSGTEPDQPA >Manes.01G087650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28923162:28923708:1 gene:Manes.01G087650.v8.1 transcript:Manes.01G087650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASMKFSFYIFFLSFVFTIFCSFINVSSDSDLVGNASDQAGSIVKSGSESAENVIQESASAVNDAKNHAENAAQASTDTIDNTKNTAENAVNGVKNITNEVNDNGALRTSMKRDVYLPCLLIYVYLIFGI >Manes.09G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34003319:34006199:1 gene:Manes.09G141600.v8.1 transcript:Manes.09G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPQTRSPELRHDPVTNRWIVFSPARAKRPSDFKSKTPENPNPSNNTCPFCIGNEHECAPEIFRFPPDPGNPEWKVRVIENLYPALSRNLDNSSEQSLGLDFPGRMLSGFGFHDVVIEVPVHSVQLRDMETREIGDVLLAYKKRIEQIMSFESIKYVQVFKNHGASAGASLSHSHSQIMALPVIPPSVSARLDGTKEYFDKTGKCSLCVVHTEKLLIDESTHFFSIVPFAATFPFEIWIIPRDHSSHFHELKCEKAVDLAGLLKLMLKKMSLQLNNPPFNFMIQTAPLRIKESQLPYTHWFLQIVPQLTGIGGFELGTGCYINPVFPEDAAKLLREVDLPNGG >Manes.06G097200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23132732:23137767:1 gene:Manes.06G097200.v8.1 transcript:Manes.06G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQLSNLSSNARSFFLSGSRSNAADGSSCTCSEDETCVPRRQQTRNNVLLAHDASAVAPKTSASAETSVLRDAGKLLGRQKVDGIEHPTLPEAVSAPTSGRSVCVSYASGIDTVEKDVAYPSPPISDQVVKAGIAAISFLSDLVTYKIPISDGSGINLPKNCMVDPTRTHSTLKSSNVKHIRRENFSKVYPKPSPGTVVQSDISDSNNSKDKGDRSSSVRGSKRVSSTAPGSSVKNHGIASGTDKRRNIPQRPKGQSNRYVTNFSAHVQAQDSKVGERFCEDYGTLSRDAKMTAIMVPSTRQFASNGHIVENVSQILRQLRWGPAAEKALGNINYSFDAYQANQVLKQLQDHTVAFGFFNWLKQQPGFKHDGHTYTTMVGILGRAKQFGAINKLLDQMVKDGCQPNVVTYNRLIHSYGRANYLHEAVNVFKQMQKAGCEPDRVTYCTLIDIHAKAGFLDFAMEMYQRMQVAGLSPDTFTYSVIINCLGKAGHLAAAHKLFGEMVQQGCVPNLVTYNIMVALQAKARNYQSALKLYRDMQNAGFEPDKVTYSIVMEVLGHCGYLDEAEEVFFEMKRKNWVPDEPVYGLLVDLWGKAGNVEKAWEWYQTMLHTGLRPNVPTCNSLLSAFLRVHRLADAYNLLQSMLKLNLHPSLQTYTLLLSCCTEASSPHDMGIYCELMAVSGHPAHAFLLSLPSAGPDGQNVRDHASKFLDLMHSEDRESKRGLIDAVVDFLHKSGLKEEAGSVWEVAAQKNIYPDAVKEKGSCYWLINLHVMSDGTAVTALSRTLAWFRREMLVSGISPSRIDIVTGWGRRSRVTGSSLVRQAVQELLHIFRFPFFTENGNSGCFVGCGEPLNAWLLQSYVERMHLL >Manes.18G104150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10030037:10031122:1 gene:Manes.18G104150.v8.1 transcript:Manes.18G104150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEYGYNDINPWAVVKKFYPENWYFEPKDFLKSQEYYFSILEETDSVKIKHTFDKTDKNTIAYSSLQIKGVIHPKDWPTPNLHKGIAFKTLKKHFTYYNYYDYMDAWQNIFCIQNPTHTHPWLVYFDQSRIKTTTRFPNWFLKWWQHRGISEEIISPEVFQVYQYFKINFKPAQHEAYIPPLMYFCISFFIPWVYQWFFDFQYAPGTNLHSIVKRHKIKWWGSFKNTTTEMVVKQWILKKAQFPPVSYATKKEKLNQESSSSKESSKRSSSKKMVKKKSSRRKSKKQSSSDTELTASETSSSEDPTSSCNSNKDDCYGI >Manes.13G132100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34148605:34149075:-1 gene:Manes.13G132100.v8.1 transcript:Manes.13G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISPTLQALSLRRACNAHPPPCKAHCPKSFASRRQLLFLLTAIPALTVRESASNAEDIPLFGLRKKLKKAEEKAEEIVKEGFEAAEKGLETAEKGIVTAEKGIETAEREIEEAVSFGGLAQAGAVAGAEVVGVLVATSVVNGILGSEAKGQKS >Manes.01G109800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30872773:30876119:1 gene:Manes.01G109800.v8.1 transcript:Manes.01G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMVLKSVLLVFMCVFLKIAYDTISCYFITPRRIKKIMEKQGVRGPKPRPLTGNILEVISFVSCSTSKHMDSIHHDIVARLLPHYVAWSKQYGKRFIYWNGIEPRMCLTEIELIKELLTKYSIKAGKSWLQQQGSKNFIGGGLLMANGENWYHQRHIVAPAFMGDRLKSYSGHMVECTKKMLQSLENAVESGQTEFEIGEYMARLTADIISRTEFDCSYEKGKQIFHLLTVLQRLCAQASRHLCFPGSRFFPSKYNREIKSLKMEVERLLMEIIQSRRDCVEIGRSTSYGNDLLGMLLNEMQNKRGNGSFSLNLQLIMDECKTFFFAGHETTALLLTWTIMLLSSNLSWQEKVRAEVNHICNGETPSVDHLPKLTLLNMVINESLRLYPPATVLPRIAFEDFKLGDLHIPKGLSIWIPVLAIHHSEELWGKDANEFNPERFSSKSFSPGRHFIPFAAGPRNCIGQTFAMMEAKIILAMLISRFSFTISDSYRHAPVIVLTIKPKHGVQVCLKPLNPMEKK >Manes.07G009050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1017613:1050342:-1 gene:Manes.07G009050.v8.1 transcript:Manes.07G009050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSFDPVVEGCECVFHTASPFYLSATDPQAELIDPALKGTLNVLRSCTKVPSIKRVVITSSMAAVAFNGKPLAPDVIIDETWFSDPDFCEKSKLWYMLSKTLAEEAAWKFTKENGIDMVTINPGLVIGPLLQPTLNTSSESVLKLINGAEKYPNTTFRWVDVRDVANAHIYAFENSSASGRYCLVGSVVHSTEAVEILHKLFPELKLPKECADDKPHSTKYQVSKKRAESLGVKFTPLDVSLKDTVESLKEKNLVSF >Manes.01G220201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38847807:38848499:1 gene:Manes.01G220201.v8.1 transcript:Manes.01G220201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSSIPTQRGKCAYYSRIFTELYFLSILVLFFKKIDIERHAGVFMEVAEKLQLCLIGSNEIDVMEEEVQIKDGIIKKQETWIQGWRKELKDQLDKHKTELERL >Manes.14G117800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11331868:11336408:-1 gene:Manes.14G117800.v8.1 transcript:Manes.14G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKINRHHYHHRFNAQIFPPPCPHLAEFRARNGSKPFRALQDCLRAKSPGGRAAIRRDPSEVPRCGACGESARPRLYACVACAAISCHAPPGPSHAAVHATSMPPGHEIAVDVDRAELFCCACRDQIYDRDFDAAVVLSQITTLNSATIQSTKPENLRKRRRVDYRPWSPDLRDRVLMESGSSPLDYGSDMPRGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRYYCKQKNGVTNTNADGKRNACTGDGNGEKNARLCLACDMDAMFSAVFSGDRRPYSPAKFLYSWWQHASNLASYEQQDAHEFFISMLDGIHEKVEKDLRKPHNQGHGDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCVDISLDLESHHGGSAKSASTKTHHSCNGEADSINSGQNCGISTLMGCLDRFTRPERLGADQKFFCQQCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSIRKMSRKIDRYLQFPFSLDMTPYLSSSILRSRFGNRIFPFDGDEPDASNEMSSEFELFAVVTHTGKLDAGHYVTYLRLSNQWYKCDDAWVTQVNENIVRAAQGYMIFYVQKMFYYKPSENQVAS >Manes.12G131800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33938789:33942529:1 gene:Manes.12G131800.v8.1 transcript:Manes.12G131800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSQRLFANSPSRPFYFITPIKPSLKNPNFPPPILPLHFTNSLLLQNFPYKPQYLLSFGSKSYSFPIRAYQSDDAISPREGSDAFNLDAFLSIAELLCIISSAIITISYAVNSTVLSSRRTVLGVIGSNGAFAWGLVVMVSGMVIGAWIRRRQWLRFCKVTVREGRESVNLVDRIEKLEEDLRSSATIIRVLSRQLEKLGIRFRVTRKALKEPIAETAALAQKNSEATRALAMQEDILEKELGEIQKVLLAMQEQQQKQLELILAIGKSGNLWDSRMEPSSDQNPVETSDLSKGAKQLKTQASGASKGTNNDKL >Manes.12G079200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9991377:9997705:1 gene:Manes.12G079200.v8.1 transcript:Manes.12G079200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTHMLSRICLSFSFLILSFCLRLCFARDSIRFNAPIKDQATLVSAGKRFVLGFFFPNGGDISRRYLGIWYLGSNSRIVVWVANRQHPLQDSTGVLAIVEDGNIKVLDKYNQSYWSTEIRPSTSLTFNRTLKLLDSGNLVLIQEGESENILWQSFEHPTDTFLPGMRMDRKMKLVSWKSQDDPAPGAFTFQLDQERENQYIILNRSVPYWKSEVSGGFTRPDQRLLIFTFLLKNFSRISFSSSDIPSSVNPLTFNMTNRTTIDYSNTRLVMALNGTIQFFWPGNESETASLKRWELEPRDRCSVFNACGNFSTCNSENILKCKCLPGFKPKSQENWDSGNFSHGCTRISPICSKDVNVSNFLNLKTMKVGKPDGVLESLNDEDECKKTCLKNCACQAYSYLNASKGGNGNSICWIWSQELNNIQELYDFGRDLNYRVPLSDIDQMKRSCHPCGTQIIPYPLSTESNCGDPLYNYFSCDNATGRLSFLTNNSYYQVPGINGELHKFSIQIDEAACKSIGLNKDFLKLPWAFNITSGCKNYSFGKEVEIKWEFPSEPVCHKPEDCIDWPSSTCYGQSGTRSCTCKEPFRWDSIKLNCTSGSGSSSPNQRRNKKQRPFYQVLLGAIATVTVISYAAFYLYTRRRRRVTIQENREGALETVAIRFYDSERQVKDFMDSNRFSEDDKKGLDVPFVDLECILAATDNFSDANKLGKGGFGPVYKGRFPGGQEIAIKRLSSGSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCVEGHEKILLYEYMPNKSLDSFIFDRTKCVLLNWELRFNIIMGIARGLLYLHHDSRLRIIHRDLKTSNVLLDEEMNPKISDFGLARIFGGKQTEATTERVVGTYGYMSPEYALDGFFSIKSDVFSFGVILLEIISGKRNTIFYKSGQAFNLLGHVWRLWKEDKALELMDQTIRESCNANEFMRCVNIGLLCAQEDPNDRPNMTDLVFMLGSQTATIPSPKQPAFVVRRSLSNEANSSSSSSKKIVETNIALTTSLTDGR >Manes.17G025600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20018851:20020628:-1 gene:Manes.17G025600.v8.1 transcript:Manes.17G025600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQAPNKLFSFTTTSSSPSTCSRRGSVKFNINLPKIRTTLSFAKFSTGGLVEELDRICCYEIAKTTAANPLEKDSISTSWINTNSGVRQRTDASDLMVIAKLYAIMEAVADRVEMHKNIGEQRDNWNNLLLTSINGITLTASTMCGLAAMSATDAPHAAFKISSTVLYLAATAMLAIMNTIQPSQLAEEQRNASRLFKQLQAQIQTTLDIGNPTSSDVNEAMENVLALDRAYPLPLLGAMLEKFPSTVKPAVWWPQKKQKKAKGLGRTKNTGRNGWNRKMEDEMKEITGALKRKDKEDYLKLGEVALKLNKILAISGPLLTGLAAMGSAFVGTNPWAVIVGVVGGALASIVNSIEHGGQVGMVFEMYRGNAGFFKLMEDYRIKYQRKRCENKRKWRNA >Manes.14G019100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1945140:1948766:-1 gene:Manes.14G019100.v8.1 transcript:Manes.14G019100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >Manes.04G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31656635:31668658:1 gene:Manes.04G112400.v8.1 transcript:Manes.04G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNNAEAHIVPPGTGGPSIPPPPAPAQPSYTVLAPQIPPTQAEAEAKLEEKARKWQQLNTKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKFRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLEMDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMFIKAEDPDLPAFYYDPLIHPITSTNKERREKKAHDDDEDDDFLLPEGVEPLLQDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPTYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLAATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGTAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSALIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYQNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLNDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTKTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRGIVMVPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTTHARILENNKHWDGEKCIILTCSFTPGSCSLTAYKLTSSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMTPDNGPWNYNFMGVKHTVSMKYGLKLGTPREYYHEDHRPTHYLEFSNLEEGETAEGDREDTFT >Manes.05G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11220223:11222985:1 gene:Manes.05G110800.v8.1 transcript:Manes.05G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSVKPTPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPTKTIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKEINALEQHIKNLLTPSTPHFFNTLYDPYREGADFVRGYPFSLREGVTTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTVPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPVGRYDDMWAGWCMKVICDHMGWGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSAVLPKECTTVQKCYIELAKQVKEKLGKTDPYFIKLADAMVTWIEAWDELNSSDAKPAKLPNGTANRRIQKPVI >Manes.17G023147.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:13417485:13417974:-1 gene:Manes.17G023147.v8.1 transcript:Manes.17G023147.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKIINTRIYRGSAISSLRPLLKVHLESSTPLSNLLWVKIKTLTILEQAFALYKSLFHSRAILCSMPHSQQQNLSTTFTHSEKPTNYNSKQAFKKLMLWLKV >Manes.02G175700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13859158:13860635:-1 gene:Manes.02G175700.v8.1 transcript:Manes.02G175700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGEEELFDQMVRDYYESESNTPNNIPSIIISSRHQSILQGILLEATDFEKQVLERVLMYIRNMGEPSSLKKWVVMRLQIDGYKASLCKTSWVSTSARSKVFQYVGDYEYIEVMMNINNSGKPTRIITDMEFRTQFEVARPTQTYKELIATLPSIFVATEERLNKIVSLICSAAKESLKEKGLHIPPWRKAKYMQLKWFSKNCKKVSVSPSTEMGSQENVEKGTVITNSTATAKCCPSLF >Manes.02G175700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13859366:13860635:-1 gene:Manes.02G175700.v8.1 transcript:Manes.02G175700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGEEELFDQMVRDYYESESNTPNNIPSIIISSRHQSILQVLERVLMYIRNMGEPSSLKKWVVMRLQIDGYKASLCKTSWVSTSARSKVFQYVGDYEYIEVMMNINNSGKPTRIITDMEFRTQFEVARPTQTYKELIATLPSIFVATEERLNKIVSLICSAAKESLKEKGLHIPPWRKAKYMQLKWFSKNCKKVSVSPSTEMGSQENVEKGTVITNSTATAKCCPSLF >Manes.02G175700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13857546:13860635:-1 gene:Manes.02G175700.v8.1 transcript:Manes.02G175700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGEEELFDQMVRDYYESESNTPNNIPSIIISSRHQSILQGILLEATDFEKQVLERVLMYIRNMGEPSSLKKWVVMRLQIDGYKASLCKTSWVSTSARSKVFQYVGDYEYIEVMMNINNSGKPTRIITDMEFRTQFEVARPTQTYKELIATLPSIFVATEERLNKIVSLICSAAKESLKEKGLHIPPWRKAKYMQLKWFSKNCKKVSVSPSTEMGSQENVEKVIVSRERQRKSWSCGSPSQEDWREAAMFKGETA >Manes.11G060900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8514187:8515848:1 gene:Manes.11G060900.v8.1 transcript:Manes.11G060900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQHSTRPGNEIFLALQKLKKILHQKAMQFSYVELDADSSQNNPSVVKNLKSNINASRLKAINELRSVEEISEDLTCPHAAIKEEEDRGMHKVINGRKLLTDSRASNSNGVANSSKGKVYSNSREGKQVVQDRDIEQLSKRLKFLEEENKIMKQEFFEQVAEKKKLVNEIYKQFQTVYRCLQLENLINGEETGLETGLPEVGWQDSMPSNFTRDPRANILALEEVPEGTWQQDHAQNE >Manes.11G060900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8513652:8515848:1 gene:Manes.11G060900.v8.1 transcript:Manes.11G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSFNTAVVSGNMESQHSTRPGNEIFLALQKLKKILHQKAMQFSYVELDADSSQNNPSVVKNLKSNINASRLKAINELRSVEEISEDLTCPHAAIKEEEDRGMHKVINGRKLLTDSRASNSNGVANSSKGKVYSNSREGKQVVQDRDIEQLSKRLKFLEEENKIMKQEFFEQVAEKKKLVNEIYKQFQTVYRCLQLENLINGEETGLETGLPEVGWQDSMPSNFTRDPRANILALEEVPEGTWQQDHAQNE >Manes.11G060900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8513652:8515848:1 gene:Manes.11G060900.v8.1 transcript:Manes.11G060900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSFNTAVVSGNMESQHSTRPGNEIFLALQKLKKILHQKAMQFSYVELDADSSQNNPSVVKNLKSNINASRLKAINELRSVEEISEDLTCPHAAIKEEEDRGMHKVINGRKLLTDSRASNSNGVANSSKGKVYSNSREGKQVVQDRDIEQLSKRLKFLEEENKIMKQEFFEQVAEKKKLVNEIYKQFQTVYRCLQLENLINGEVGFDVSLLVNPFQVIIIFPYILQDIRQFS >Manes.11G060900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8513651:8515848:1 gene:Manes.11G060900.v8.1 transcript:Manes.11G060900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQHSTRPGNEIFLALQKLKKILHQKAMQFSYVELDADSSQNNPSVVKNLKSNINASRLKAINELRSVEEISEDLTCPHAAIKEEEDRGMHKVINGRKLLTDSRASNSNGVANSSKGKVYSNSREGKQVVQDRDIEQLSKRLKFLEEENKIMKQEFFEQVAEKKKLVNEIYKQFQTVYRCLQLENLINGEETGLETGLPEVGWQDSMPSNFTRDPRANILALEEVPEGTWQQDHAQNE >Manes.09G040800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7447374:7451605:1 gene:Manes.09G040800.v8.1 transcript:Manes.09G040800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGWHSVSFNGRDSEPATISCRGREMNAAFSFNSLASEIENDISRSVSPESGDEVDQLTRYDSLSEINHHVPLAESGTQKHQAALRLQKVYKSFRTRRQLADCAVVVEQKWWKLLDFAELKRSSISFFDIEKPETATSRWSRARTRAAKVGKGLSKDAKARKLAFQHWLEAIDPRHRYGHNLQFYYVNWLHCQCQQPFFYWLDIGEGREVNLDRCPRSKLQQQCIKYLDPPERECYEVVLENGKFVYKQSGKLLDTTGGPKDAKWIFVLSTSKTLYVGLKKKGTFQHSSFLAGGATLSAGRLIVENGVLKAVWPHSGHYLPTEENFQEFMSFLREQNIDLTDVKETPEEEEELITKKDDSSSVRYNQPDADLCQDIKVTNIESLPQQNTYFRAQDSNAEENTNLQLKNLSELCSRITKLDIPNYETSNEFATEPSCNTKPQMSPIYSCYESAKDSFPQDDGYESAEDSFLTEEDFMFLKMNLFDKDQEEEDDDEPIPKEKIMRRIDSHKGMKSYQLANQLSSKWTTGAGPRIGCMRDYPSELQFRVLEHANLSPRYGSANSTPPATSRFSPMASTSTTICRERASRNPLVSGQVL >Manes.03G023300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1913408:1916129:-1 gene:Manes.03G023300.v8.1 transcript:Manes.03G023300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFPISRCYDCTSKAQISKKRPKSNDAAIAPRNMEHPLSPPYSKSWSIHTRPEIIAKYEIQERVGSGAYADVYKARRLSDNLIVALKEIHDYQSAFREIEALQILQNCPNIVIMHEYFWREDEDAVLVLEFLRTDLAAVIKEGKKNGGAGISVGDVKRWMMQILCGVDACHRNVIVHRDLKPGNLLISDDGVLKLADFGQARMLMEPDFVATDENPPLQNQEQVVQPTVTVTEMDNLAQEAQANQEQSVLRKDESFRESSEFKSHDYLEETSIQDGNTSCLATGTASDIGDDILKGSYSYEVEEGAGDMHGSLTSCVGTRWFRAPELLYGSTDYGLEIDLWSLGCIFAELLTHEPLFPGTSDIDQLGRIINVLGNLTEEAWPGCLKLPDYGTISFAKIEKPIGLEACLPNRLPDEISLVKKLVCYDPANRATAMELLHDEYFGKEPLPVPISELHVPLTNGGQDEDSPGGWYDYNDRESDSDFDDFGPMNITTTSTGFSLQFF >Manes.03G023300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1913202:1916134:-1 gene:Manes.03G023300.v8.1 transcript:Manes.03G023300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFPISRCYDCTSKAQISKKRPKSNDAAIAPRNMEHPLSPPYSKSWSIHTRPEIIAKYEIQERVGSGAYADVYKARRLSDNLIVALKEIHDYQSAFREIEALQILQNCPNIVIMHEYFWREDEDAVLVLEFLRTDLAAVIKEGKKNGGAGISVGDVKRWMMQILCGVDACHRNVIVHRDLKPGNLLISDDGVLKLADFGQARMLMEPDFVATDENPPLQNQEQVVQPTVTVTEMDNLAQEAQANQEQSVLRKDESFRESSEFKSHDYLEETSIQDGNTSCLATGTASDIGDDILKGSYSYEVEEGAGDMHGSLTSCVGTRWFRAPELLYGSTDYGLEIDLWSLGCIFAELLTHEPLFPGTSDIDQLGRIINVLGNLTEEAWPGCLKLPDYGTISFAKIEKPIGLEACLPNRLPDEISLVKKLVCYDPANRATAMELLHDEYFGKEPLPVPISELHVPLTNGGQDEDSPGGWYDYNDRESDSDFDDFGPMNITTTSTGFSLQFF >Manes.02G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4770026:4772682:1 gene:Manes.02G062000.v8.1 transcript:Manes.02G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVRATRGIGGSTGFNAGLRNFFSNRILVSAMFTLLFLATLSVLLTTTHPPFPPNYSQSLPSSGNDYVQQTFLALNSDPLQTRLDLIYKQASDHMTLVNTYEAYARKLKFDISRQLRMFDDLAKNFSELTTKPNYISSLFESEGAVNEDDLRQFEREVKERVKIARLMIAESKESYDNQIKIQKLKDTIFAVNELLIKARKNGAFASLISAKSIPKSLHCLAMRLVGERISHPEKYREEEPKLGFEDPSLYHYAIFSDNVIAVSVVVRSVVKNADEPWKHVFHIVTDRMNVAAMKVWFRMRPMEGGAHVEVKSVEDFSFLNSSYAPVLRQLENLKLQKFQNKAENATRDASSIKFRNPNNLSMLSHLRFYLPEMYPRLHKILFLDDDVVVQKDLTGLWRIDLNGKVNGAVETCFGSFHRYAQYLNFSHSLIKERFNPRACAWAYGMNVFDLDAWRREKCTEEYHYWQSLAEDGNLWKLGTLAPGLITFYSTTKSLDKSWHVLGLGYNPSISMDEINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGL >Manes.02G062000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4770026:4772682:1 gene:Manes.02G062000.v8.1 transcript:Manes.02G062000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVRATRGIGGSTGFNAGLRNFFSNRILVSAMFTLLFLATLSVLLTTTHPPFPPNYSSLPSSGNDYVQQTFLALNSDPLQTRLDLIYKQASDHMTLVNTYEAYARKLKFDISRQLRMFDDLAKNFSELTTKPNYISSLFESEGAVNEDDLRQFEREVKERVKIARLMIAESKESYDNQIKIQKLKDTIFAVNELLIKARKNGAFASLISAKSIPKSLHCLAMRLVGERISHPEKYREEEPKLGFEDPSLYHYAIFSDNVIAVSVVVRSVVKNADEPWKHVFHIVTDRMNVAAMKVWFRMRPMEGGAHVEVKSVEDFSFLNSSYAPVLRQLENLKLQKFQNKAENATRDASSIKFRNPNNLSMLSHLRFYLPEMYPRLHKILFLDDDVVVQKDLTGLWRIDLNGKVNGAVETCFGSFHRYAQYLNFSHSLIKERFNPRACAWAYGMNVFDLDAWRREKCTEEYHYWQSLAEDGNLWKLGTLAPGLITFYSTTKSLDKSWHVLGLGYNPSISMDEINNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGL >Manes.04G046209.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7795105:7795494:1 gene:Manes.04G046209.v8.1 transcript:Manes.04G046209.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLYRTIIGSLRYLVNTRPDLAYSVGVVSHYMETPTTTHMAVIKQIPRYLKGTINHGCYYTHMKDSGLKLTGYSGSDLAGDVDDRKSTTGVIYFLGDNPITWVSQKQKVVTLASCEAEYVIRIADAC >Manes.18G080200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7338143:7342252:-1 gene:Manes.18G080200.v8.1 transcript:Manes.18G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEQASDTVPSSWGGHSNRIAAVNLEKKPQPATIDDLDEHGHNHTTHDQKPGWRKFLSYVGPGFLVSLAYLDPGNLETDLQAGADHGYELLWVILIGLVFALIIQSLAANLGVSTGKHLAEVCKAEYPNFVKYCLWLLAEIAVIAADIPEVIGTAFALNILFHIPVWIGVLCTGLSTLLLLGLQKYGVRKLEMLIAVLVFVMAGCFFGEMGHVNPPASEVFKGMFVPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSVRGVNDACRYFLIESGFALFVAFLINVAVVSVSGTVCSAQNISQEEADRCSDLTLNSASFLLQNVLGKSSSTLYAIALLASGQSSAITGTYAGQYIMQGFLDLKMRKWIRNLMTRCIAITPSLIVSIIGGSQGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPHKNSIVIIVISWILGLGIIGINVYYLGTGFVGWLLNNDLPKVGNVLIGIIVFPLMAIYVLSVIYLTVRKDTAVTFIEPMKEDPTVVQANNMEVGRLGNSIEALELDHVPYRDDLADIPLPK >Manes.15G157000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12945307:12949399:1 gene:Manes.15G157000.v8.1 transcript:Manes.15G157000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDMGTEAPTGADYTHKPTDEQLAKQKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAVLVISWVITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDLVCSTCKPIKQTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWTASVHKGVQPDVQYGYKAKSSAGTVFNFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVALVGYWMYGNEVEDNILISLQKPVWLIAMANLFVVVHVIGSYQIYAMPVFDMIETVLVKKLHFKPSMILRFIVRNLYVVNWYLMLSDQRSSNLTRFIFSNDFKWSFELGFTIFCFYLQDSPCSLPLPSLSLVVSFHFLADLLSPQQHISFPA >Manes.15G157000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12945307:12949399:1 gene:Manes.15G157000.v8.1 transcript:Manes.15G157000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDMGTEAPTGADYTHKPTDEQLAKQKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAVLVISWVITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDLVCSTCKPIKQTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWTASVHKGVQPDVQYGYKAKSSAGTVFNFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVVVAYIVVALCYFPVALVGYWMYGNEVEDNILISLQKPVWLIAMANLFVVVHVIGSYQIYAMPVFDMIETVLVKKLHFKPSMILRFIVRNLYVGLTMFVAITFPFFGGLLSFFGGFAFAPTTYFLPCVMWLAIYKPKKFGLSWWTNWICIVLGLLLMILSPIGGLRQIIIQAKTYKFYS >Manes.11G060045.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8210246:8211483:-1 gene:Manes.11G060045.v8.1 transcript:Manes.11G060045.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVSEVGERGVDWEVGDVAHWRGRRRGSERRRRRWGEKGDAAGADWTADRTGAFILLNTGENVIHSKHGLLTTLAFKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISSASEIEELAKQVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMHKDAGEKGENRNAKGEFLLRVDGGATVNNLLMQLQLLGCCFVEASLGSLLLFMNAWVLLFWFWFVFIILSNLWYLFYSWFLGGFVASL >Manes.11G060045.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8209502:8211570:-1 gene:Manes.11G060045.v8.1 transcript:Manes.11G060045.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVVSEVGERGVDWEVGDVAHWRGRRRGSERRRRRWGEKGDAAGADWTADRTGAFILLNTGENVIHSKHGLLTTLAFKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISSASEIEELAKQVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMHKDAGEKGENRNAKGEFLLRVDGGATVNNLLMQLQADLLGSPVVRPADIETTALGAAYAAGLAVGIWKEEEIFASGEKAKTDNIFRPLIDEELRKKRADSWFKAVERTFSLADLSI >Manes.06G081000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21659253:21660645:-1 gene:Manes.06G081000.v8.1 transcript:Manes.06G081000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESKLLLLLPLLVFSFTHTVASQSNITRMQFYMHDIQVGPHPTSVRVAGGSNSTMFGSIFVLDNPLTATPYPNSTLLGRAQGIYAMSSQENEFSLLMTLTYGFINGPYNGSSFSVLGRNPVMSEVREMPVVGGTGVFRLARGYCLAKTYSTDQSNGVIGYNVTLIHDIELD >Manes.04G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3379299:3380906:1 gene:Manes.04G028500.v8.1 transcript:Manes.04G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCNSNFLILFVTLLFIFFTTCQGANSKLFREYIGAESESIKLSHVPINSDVEFHFIISFAIDYTSLENPSPTNGKFNPFWASSHVTPQEIASIKHKNPNVKIAVSLGGDTIGHKKAYFAPKSINSWVKNAISSLTHMIKHYNIDGIDIDYEHFRSDPDTFSECIGRLITSLKRSGTISFSSIAPYDDDGEVQSHYLQLWKQYGNEIDYVNFQFYAYERISPSQFVKHFNKQAAHYGGGQILASFISGGGSGGLSPDEGFFEACQELREQEKLGGIFIWSADDSKKHGFKGEKEAQAFLAD >Manes.15G089400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6848134:6852531:1 gene:Manes.15G089400.v8.1 transcript:Manes.15G089400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICEMPPIFQQLQTIFWQNFYLTSKLMCPTIPTAYIRFLHIPLGRNPERSKSLFKALHFVHSVADSDSLTAIPNEGAIKVQNILNTYRDGPTRKIELALHQCCFTMTEDLILNVLQRHRSDWKPAFIFFNWVSKDGQITLGSGVYNEILDILGKMRRFEELTRVLDEMSNRGGLVDEETYRVLVNRYAAAHKVEDAIEVFNKRRDLGLELNLVAFQKLLMCLCRYKHVEVAETLLYSKENDFGVDIKTMNIVLNGWCVLGNVHEAKRFWKDIISSKCTPDLFTYGTFIKALTEKGKLGTAMKVYRAMWDKQCKPDAVICNCIIDALCFKKRIPQALEVFREMSEQGCLPNVATYNSLIKHLCKIRRMEKVYELLDEMQEKKGSCMPNDITFNYLLQSLKKPEQLPGVLERMEMNGCKINGDTYNLILKLYVGWDCVERILGTWNEMEKYGLGPDRRSYTIMIHWLHEKGRFKDALHYFCEMTSKGMVPEPRTEILANAMNMKLKENDAEQGEKGIIDSKRSSRPVHKRTRKTRAR >Manes.15G089400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6848134:6853266:1 gene:Manes.15G089400.v8.1 transcript:Manes.15G089400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDLILNVLQRHRSDWKPAFIFFNWVSKDGQITLGSGVYNEILDILGKMRRFEELTRVLDEMSNRGGLVDEETYRVLVNRYAAAHKVEDAIEVFNKRRDLGLELNLVAFQKLLMCLCRYKHVEVAETLLYSKENDFGVDIKTMNIVLNGWCVLGNVHEAKRFWKDIISSKCTPDLFTYGTFIKALTEKGKLGTAMKVYRAMWDKQCKPDAVICNCIIDALCFKKRIPQALEVFREMSEQGCLPNVATYNSLIKHLCKIRRMEKVYELLDEMQEKKGSCMPNDITFNYLLQSLKKPEQLPGVLERMEMNGCKINGDTYNLILKLYVGWDCVERILGTWNEMEKYGLGPDRRSYTIMIHWLHEKGRFKDALHYFCEMTSKGMVPEPRTEILANAMNMKLKENDAEQGEKGIIDSKRSSRPVHKRTRKTRAR >Manes.01G006800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2572637:2578917:1 gene:Manes.01G006800.v8.1 transcript:Manes.01G006800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHVSYCKKCSLSISVNYWILLLLTKGSMDSSFSSVSTQDYYDQDRHQEQYHYQDDGDQEHPKETTHSEATVINLIDSLNAGSWSTTRKRTFCFCDSSCLNHVNQKILERIPTMILLKSMGFAKKNISDVLRDMGNEKMKQNIEETVQQWCSTNNSDTISEESAATPTDRRKKRCTDMGVLKKSMKKKKKMDIKLKSLSELVDSKGSYVCKECNKVFDDFRALGGHTASHNRNKKAENAPSEELGTGGGDLDRGSSLAELAVDNKGKRYECGMCSRRFSTGQALGGFQQCKAECFLSLKLQAFMCGQRAIPSYAKSVSVIHLQRKKITDTSLTKRPTLRDETVQS >Manes.02G024600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2131381:2132823:1 gene:Manes.02G024600.v8.1 transcript:Manes.02G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKCKGLAISSSRNWANMEYEVLVYIFMKLSTMDLLSSISLVCHSWRAACCNPLLWFTLDLARLKYSFDCPMDLGALLHSWQSTRLMQILNSALILGGNNIMCLIFHFDAHIKDEHLIFAAERCPRLKQLVLPAWNQITANGFSAAIRKLKNLESLTLPCNYFPSSLLQIIGINCPKLTKLKIMSPFDADFAQTLFIYLPNLKVLSLRCTIVHKDALRLTLMLFPNLEVLNVAHMLFIDIPPPAAFDPSGGPLVMCWVRDTTLAELASKLKGVCWCQTQSCIMCRRVLIDSGCPKWIEYGEDWREDEESCFDV >Manes.13G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5411633:5413045:1 gene:Manes.13G046100.v8.1 transcript:Manes.13G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLKLVKRKLIQPEKPTKSRRIFLSNIDLSLVTYQESVSFFDPPKNKISFSETCNSLYTALAQLLVPYNFFAGRLVPALEDQNRFEIDCNGAGVVVATAKTSSTLGQLGELVAPKSEFMQFVAFLHEEEKEEMQLQHMPLLHLQLTEMRCGSLALASRYNHCILDGIAVREFSKNLAALTRGDEIVILPNPDRTIFKARYPPRISHPHHEFSMTTCETDNLFTVCGTSGINVRAWSQDNNKTFSIYMSPRKIASLKKAALRDGKLEKCSSFQVVAAKIWKARSIAMKMEEETNSTMLFPVDVRRIVIPPAPSGFAGNALVPGFARAKVKELKDREECYLVRKVQEGIERLDDEYVRSGIDWLEIHRGLPCRENSFSVVAWFRLGIEEDVFSWGKVKCITPILTNPSLVILLPGPPGEGGLHVCLQLPEDQMNEFCRLLMEE >Manes.02G142700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10949477:10951777:1 gene:Manes.02G142700.v8.1 transcript:Manes.02G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSMKSKRRSIESMANNSTPLALRNHDIIKDIISRLPVKSVKRFESVCKAWYFLFHSGDFISIHFRRSSPRPSLLIRRFHSPSGSNFSITLIDSRTSIPREIRIPFLGSLIRYPKIVASCNGIICFDVSPCYACAFVLWNISTRQFRGLPRPTINDAHEPIWMVACGFGYSPQNNDFKLVRIVNFHCNDDDSPVVRAEVYSWSTTSWRLLDGRMIEERIGSCVIPEGQQAVIVDGSVHWLANGVGKLANHKFIVSFNMGNEVFKTIQTLDFIPPGICAKLVRFNESLALALYPAMPVYPSGYGRPINLIELWTLNKDYPTDGDGTRWTKLHTLELNSSGLGTPIGVYNESELLVKRVDAQCVTLSFFDPYNKTIKTIPICNSEYTCEFYNYVDSLVPVENAADLETEEAQELEIH >Manes.07G071796.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13492226:13492741:-1 gene:Manes.07G071796.v8.1 transcript:Manes.07G071796.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLIDGRPNIAVYNILLHGCVKCGQHDKVTDICNRMLKDRVNPDVFTFNILISSYCMNKKFEMALELFREMREKGCSPNVVSFNTLIMGFFRERKFNEGIKLAYEVIDLGCEFSSVTCEILVDGLCREDKIMEACELLIDFSRRGALPSNFDFYILVEKLCGKRNTGAAL >Manes.07G067600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17306344:17309685:1 gene:Manes.07G067600.v8.1 transcript:Manes.07G067600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLYQRAESLPFPILYFLSSFAISDPSLKPTDERSMMMKMPWRRKSRSFHLQLQGAISTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHKHPLPSRIPFNRFLSALVKMKQYHTVISMSKTIELVGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCRESKIDKAVEFFDDMVARGYQPDAYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALEFFSKMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNLLPNVYTFNVLIDALCKDGMVSEAQNTFIVMIQRGVEPDVVTYNSLIDGLCISDQFKEALTLLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVITYSSLMDGYCLGNQIDKARKLFDLMVTNDVADIFSYNILINGYCKCKMIDDAKDIFGEMSHKGLVPDVVTYCTLIKGMFQAGRPQNAKELFKDMYSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNLLIYSSLINGMCKVGKINDAMELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQVSSMRIYQKHQN >Manes.17G110300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31691863:31698514:-1 gene:Manes.17G110300.v8.1 transcript:Manes.17G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIQSLFGHRRPLLRELGRRYFSVSSEDYAKRNYANNVSEYNTVVSSLTAQRRYFLLRDVYDDMMIDGVQPTRDTFHSLIVGTMKGARLQDTFFFRDQMKAMGLVPDVTLYNFLISTCGKCRNSDQAVQILEEMKKYEVKPNGQTYVCLLNACAAAGRLERVYAIVRDMTAAGADLNKFCYAGLITAHINKIPVSDDTATKIIEFVERSKGWSSVDPTRYNAENVMMGVSEEELYSLPTADYVHRRGGFLNRQLTVYHVALHACAELKNVEAMETILEMLKKDGKSPDVFIVMQTMRCYLRSGDIDSGLRTFEDYMNSGKPPMVELYTTLVEGAMVGYTPKGMQLAQDTLVNMNSRNFFLSPKQGSELLLVAAGEKTGGYTTANFIWDLMQARKITPSFPAVEAYYKGLKGREIPEDDPRLLLVSRTYDNIRPRYGGGAAGRQ >Manes.01G070500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27170823:27173379:-1 gene:Manes.01G070500.v8.1 transcript:Manes.01G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKPKLLPATLVIFIVALSSLLSSSQAIFNKGVLSKKIIGDNEEINLQTYIVYVAKPEGGVSEQSKDLESWYQSFLPVSISHQQRRIIYTYKNVVSGFAAKLTAGEAKAMEEKGGLVSVRPQKILPLHTTHSPNFLGLYQNLGLWRDSNYGKGVIIGVLDTGITPDHPSFSDEGMLPPPAKWKGKCELNGTVQCNNKLIGARTFQSFEHPSNPEGPVDDVGHGTHTASTAAGNFVSGANVFGNANGTAVGMAPLAHLAIYKVCSDFGCAESDILAAMDTAVEEGVDVLSLSLGGGSAPFPADSIAVGAFGAIQSGVFVSCSAGNSGPDNYSLSNEAPWILTVGASTIDRSIRATVKLGNNLEFFGESLFQPQVSTQNFWPLVYPGKDGNQSAAVCAPESLESFDVKGKIVLCDRGGLIGRVEKGQVVKEAGGIGMILANEDFDGYSTLADAHVLPASHVSYKDGLSIKSYINSTSSPTAMLLFEGTVIGVKTAPMVSSFSSRGPSLASPGILKPDIIGPGVSILAAWPVSVENKTNTKETFNMISGTSMSCPHLSGIAALLKSAHRDWSPAAIKSAIMTTADLVNLGGQPIVDERLLPADILATGAGHVNPPRASDPGLIYDIQPDDYIPYLCGLGYTDRDITYIVQRKVKCSEVQSIPEAQLNYPTFSIQFGPKSQTYTRTITNVGPAASSYTVSVAPPPGIDVSVSPSKIVFTQEKQTATYTVTFTKSSNGNNGDTFVQGYLKWDSDKHSVRSQILVLFSTEE >Manes.14G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1644704:1648877:1 gene:Manes.14G005500.v8.1 transcript:Manes.14G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKPKSAASVNTNKSKKRKQRYLPQNKPVKKKGPYPLHPGVQGFFITCDGGKERQASHEAINVIDSFYEELIYGKDTDVKEQTELPNKPLNKKIKFVYSDDDDDDDEEDEEEDDDEEEEEVEGEKDEVEDREEENKPDANQNNDVKSEIPTNEKLDFPNVENSCCGNETEEKTNDNEESAKDLENQTNEAKEPPAKKQCIETCASKSVVQEKGEQKSIDKLIEDELKEIGDRNKRRFATLDSGCNGVAFVQMRKRDGDPSPKDIVQHMMTSAASTRKHMSRFILRVLPIEVSCYASEEEISKAIAPVVAKYFPVDTQDPQKFAVLYEARANTGIDRMKIINSVAKSVPGPHKVDLSNPDKTIIVEIVRTVCLIGVVEKYKELAKYNLRQLTSPKQ >Manes.14G005500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1644704:1648877:1 gene:Manes.14G005500.v8.1 transcript:Manes.14G005500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKPKSAASVNTNKSKKRKQRYLPQNKPVKKKGPYPLHPGVQGFFITCDGGKERQASHEAINVIDSFYEELIYGKDTDVKEQTELPNKPLNKKIKFVYSDDDDDDDEEDEEEDDDEEEEEVEGEKDEVEDREEENKPDANQNNDVKSEIPTNEKLDFPNVENSCCGNETEEKTNDNEESAKDLENQTNEAKEPPAKKQCIETCASKSVVQEKGEQKSIDKLIEDELKEIGDRNKRRFATLDSGCNGVAFVQMRKRDGDPSPKDIVQHMMTSAASTRKHMSRFILRVLPIEVSCYASEEEISKAIAPVVAKYFPVDTQDPQKFAVLYEARANTGIDRMKIINSVAKSVPGPHKVDLSNPDKTIIVEIVRVSKFYLKLKIMCS >Manes.01G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4509353:4511310:-1 gene:Manes.01G019200.v8.1 transcript:Manes.01G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDHISSLLAAVLTMFYTISLATAARYSVLNYGAMSDGRTDSTKAFLAAWTQACGSTKPTTLYVPRGKFLLRNVVFNGPCKNNAILVFIAGTLVAPSDYRVIGNAENWISFQYVNGVTVSGGVLDGQGPGLWACKNSGKNCPGGATSLRFSNSDNIVVSGLTSLNSQLYHVVFNGCNNVKVQGVTLSASGNSPNTDGIHVQLSSGVTILNSRIRTGDDCVSIGAGTANLRIENVACGPGHGISIGSLGKDLQEPGVENVTVKTVTITGTQNGLRIKTWGRPSSGFVRNVLFQHVIMNNVQNPIVIDQNYCPDNENCPGQDSGVKISDVTYQDVHGTSATKVAVRFDCSKKKPCTGIKMEDVKLTYKNLPADASCKNADGTAFGVIQPSSCL >Manes.16G057463.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:16581930:16582415:-1 gene:Manes.16G057463.v8.1 transcript:Manes.16G057463.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNFKMNVLKGIGTPMNSKIKLDRDEKGKEVDKKLYRSMVGSLLYLNASRSDIHFSMYLCDRFQSNPKESQLIAIKRIFRYLISTPSVGLYYPKCKNLNLIEYSDSDFAISRMDRKSTSETCQFLGHALVYWFSKKQTSVALSTVEAEYIAAESCVAKIL >Manes.18G038600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3410804:3414352:-1 gene:Manes.18G038600.v8.1 transcript:Manes.18G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLDNCESILLSLDSHKSVPAPFLTKTYQLVDDPTTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPHVAFNHQHHHHPHSPLGVNGPGFFPFSGRVSISPSESDEQPNNWCDSPPLSSPRGGEANASVINGGGYNSSVTALSEDNERLRRSNNMLMSELAHMRKLYNDIIYFVQNHVKPVTPSNSYPSSLLLCSPTSTANPFASNGSLVQKPLNQLLGYYPPTNAKQIPQVQVLNSPSTTSQSTLTILEDSNTNGFKTKLFGVPLQSKKRLHPEYSSSTGNMEPSKARLVLENDDLGLNLMPPSAC >Manes.11G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:572131:573548:-1 gene:Manes.11G004900.v8.1 transcript:Manes.11G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAGSCFPNCLDFLQDLYLYASPFKTLLPSLLSLHALSSCTSFLSYAFLLIMAAEAHQFHVLAVDDSLTDRKLIERLLRTSSYHVTAVDSVTKALEFLGLNEDEHTDSILSSVSSDHHQDVEVNLIITDYCMPGMTGYDLLRKIKESKSFKDIPVVIMSSENVPSRINRCLEEGAGEFFLKPVQLSDVNKLRPHLMKGRAGEIHSPERTGTIYNGLEVV >Manes.03G197100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31462833:31463859:1 gene:Manes.03G197100.v8.1 transcript:Manes.03G197100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPANAKLLVDGLSLSVFRRCYAAAPQGAVLGREGSRSGTMRKVEERGAIKEDSGASSAWAPDPITGYYRPANCGAEIDPAELREMLLNHRVRPQ >Manes.05G014775.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1371752:1375486:1 gene:Manes.05G014775.v8.1 transcript:Manes.05G014775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNSSGMTCPPQSDSQNLPVGWRFHPSDEELVDYYLKRKRLGHPIYGLDISEVQVCDYDPRDLPGLSMNNSRDKVWYFFCLRLYHNNRGQAKRKAKDGYWKGTGDLRSVTPEDSDEEIGTKRTLVFHNPKATQWVIHEYEYTAALNLPTKVIAYALFYEFAL >Manes.05G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1598277:1601879:1 gene:Manes.05G017200.v8.1 transcript:Manes.05G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGSSWLTAVKRAFRSPTKETDKSCRRREEHDQEEDEEKKREKRRWIFRKPTNQETVTQQTLSKSATDKASGGGSATPTDHVYAAAAEQKHAIAVAVATAAAAEAAVATAQAAVEVARLTRPSYQAREHYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRKRLSHDGSRKSTFSDTNSLIESRYLQDISDRKSMSREGSSIADDWDERPHTIEEVKAMLQQRKEAAIRREKTLSQAFSQQIWRTGRSPSIGNEDELQERPKWLDRWMATKPWDSSRARASTDQRDPIKTVEIDTSQPYSYLAPNYRRANQSQYHQHQHQRTNSQSTSSPLHRAHQTAPLHHSPITPSPSKTRPVQVRSASPRCAREDRSYHPSQTPSLRSNYYYTGNLHQHGRGGGGASGSNAVSTNLPNYMAATESAKARVRSQSAPRQRPSTPERDRVGNAKKRLSFPVPDPYNVGIGYSGGVGGGFGHSLRSPSFKSVSGVQFGGLEQQSNYSSCCTDSLGGEISPSSTSDLRRWLR >Manes.16G100500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30620839:30622757:1 gene:Manes.16G100500.v8.1 transcript:Manes.16G100500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKQVSDDQILWLAMADENVKAPNIFERAKEEIQAVMHSEILHRHREETHGRSNHIDENTPIDDVKAPNVFQRAKEEIEALIQTIHPENESKRDQSMEVESEKSVKPTNLIEKAKEEIQAFIHHENLPRIHHKETHGRRDDIDETTPIDEIKGPSILQRAKEELEALVETIHPKKESSDYVPSKVKEEGGFGASIGRGLEKVCSPDKACGIGASIGKGLEKVCSPRGSTKKD >Manes.17G118441.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33363882:33364382:1 gene:Manes.17G118441.v8.1 transcript:Manes.17G118441.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHNNLLTIIAISFACSLLLAVAVQAQIETPGLQPAPSPTPLPSPPTTLPPPPPSTPSTPAPTTPAPSPATNASSLTSFPSKFMGLVGLAVSFFLLKLLP >Manes.15G144501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11861776:11868843:-1 gene:Manes.15G144501.v8.1 transcript:Manes.15G144501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKSSPPEEDIRPQEQQTEQKQEPIAEGSPSPPPPEPKTSRGGWGGWGFSPGFSPFSVLSELQKAAEEISRNAAVVAEKAAKSIADIQNVAEDSESSKGEEEQEESDSDKETEAEDENDKLRKSALDKLEKASKDSFLGQGLKVLDHSVDNFASGAWQALGNAWKGSSNLVQKLENSAVNLAESIQHGGIPGGAGSVAPSLLETGKSFTAKGMQVLEYVGKETMDLLITETGIEV >Manes.03G191600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31028162:31031526:-1 gene:Manes.03G191600.v8.1 transcript:Manes.03G191600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTPSNGRLDFGKMGYGCEHYRRRCRIRAPCCNDAFPCRHCHNEAASMLKNPNDRHELNRYDVKQVICSVCDTEQPVAQVCTNCGVNMGEYFCGVCKFYDDDTEKGQFHCDDCGICRVGGRENYFHCNKCGSCYSISLRGNHSCVENSMRHHCPICYEYLFDSLKDTSVMKCGHTMHFECYCEMIKRDKYCCPICSKSVIDMSKTWKRIDEEIEATIMPEDYRYKKVWILCNDCNDTTEVFFHIIGQKCRHCKSYNTRTIAPPVLPQ >Manes.05G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6141797:6146792:1 gene:Manes.05G075000.v8.1 transcript:Manes.05G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVNLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKPKKPTAGRPLGRGRGRGRGRGRGRGR >Manes.02G001200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:168541:169268:-1 gene:Manes.02G001200.v8.1 transcript:Manes.02G001200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGFAMLCAGSVRAKNTMNIMLTNVLDAPIGGLFYYLFGFAFAFGTGGSENGFIGKQHFGLKTIPSEDLDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVCPVVSHWFWSTDGWASAFRTDNLLFGNGVIDFTGSGVVQMVGGIAGLWGALIEGPRLGRFDHSGRAIALRGHSASPVILGTFLLRFGWYGFNPGSFNKILVAYNH >Manes.14G005400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1638335:1644276:1 gene:Manes.14G005400.v8.1 transcript:Manes.14G005400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTVAVAMSVAVVACAVAGVVVGRRVRSRRKWSRVVGLLRELEESCETPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDHLPTGSEVGTYYALDLGGTNFRVIRVQLGGRRSSILSKDVELQPIPQHLMTSTSEDLFDFIASTLKQFIEKEENVSEFSSLRKRELGFTFSFPVKQMSIHSGILIKWTKGFAIDGMVGREVVEHLQAALSRNGLDVQVAVLVVNMEWGNFWSSHLPRTTYDINLDAESPNPNDQGFEKMISGLYLGEIVRRVILRMSQESDIFGPISPSLLVPFILHTPFVSKMHEDDSPNLQEVARILKLKLEIPEGPLKVRKLVVRICDVVTRRAARLAAAGIVGILKKIGRDGTGGITSLRGRSDMKMRRTVVAIEGGLYTSYAMFREYLHEALNEILGEDIAQHVILKATEDGSGLGAAILAASQSSYTVDSVQ >Manes.14G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1638335:1644276:1 gene:Manes.14G005400.v8.1 transcript:Manes.14G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTVAVAMSVAVVACAVAGVVVGRRVRSRRKWSRVVGLLRELEESCETPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDHLPTGSEVGTYYALDLGGTNFRVIRVQLGGRRSSILSKDVELQPIPQHLMTSTSEDLFDFIASTLKQFIEKEENVSEFSSLRKRELGFTFSFPVKQMSIHSGILIKWTKGFAIDGMVGREVVEHLQAALSRNGLDVQVAVLVNDTVGTLALGHYHDADTVAAVIIGTGTNASYLERADAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTTYDINLDAESPNPNDQGFEKMISGLYLGEIVRRVILRMSQESDIFGPISPSLLVPFILHTPFVSKMHEDDSPNLQEVARILKLKLEIPEGPLKVRKLVVRICDVVTRRAARLAAAGIVGILKKIGRDGTGGITSLRGRSDMKMRRTVVAIEGGLYTSYAMFREYLHEALNEILGEDIAQHVILKATEDGSGLGAAILAASQSSYTVDSVQ >Manes.14G005400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1639805:1644276:1 gene:Manes.14G005400.v8.1 transcript:Manes.14G005400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSEDLFDFIASTLKQFIEKEENVSEFSSLRKRELGFTFSFPVKQMSIHSGILIKWTKGFAIDGMVGREVVEHLQAALSRNGLDVQVAVLVNDTVGTLALGHYHDADTVAAVIIGTGTNASYLERADAIIKCQGLLTTSGGMVVNMEWGNFWSSHLPRTTYDINLDAESPNPNDQGFEKMISGLYLGEIVRRVILRMSQESDIFGPISPSLLVPFILHTPFVSKMHEDDSPNLQEVARILKLKLEIPEGPLKVRKLVVRICDVVTRRAARLAAAGIVGILKKIGRDGTGGITSLRGRSDMKMRRTVVAIEGGLYTSYAMFREYLHEALNEILGEDIAQHVILKATEDGSGLGAAILAASQSSYTVDSVQ >Manes.16G062400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24451252:24461333:1 gene:Manes.16G062400.v8.1 transcript:Manes.16G062400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLQCSGCRNILLYPRGATNVCCALCNTITFAPPPLGVEMAHLVCLGCRTLLMYSRGATSVRCSCCHTTNPAPASSQVAHINCGNCRTTLMYPYGAPSVKCAICQYVTNMGNVRVPLPANRPNGAAAMIPSTSTSPHSQTQTVVVENPMSVDESGKLVSNVVVGVTTERK >Manes.16G062400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24451252:24461333:1 gene:Manes.16G062400.v8.1 transcript:Manes.16G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLQCSGCRNILLYPRGATNVCCALCNTITFAPPPLGVEMAHLVCLGCRTLLMYSRGATSVRCSCCHTTNPAPASSQVAHINCGNCRTTLMYPYGAPSVKCAICQYVTNVSMGNVRVPLPANRPNGAAAMIPSTSTSPHSQTQTVVVENPMSVDESGKLVSNVVVGVTTERK >Manes.16G069101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27185607:27193188:1 gene:Manes.16G069101.v8.1 transcript:Manes.16G069101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDKSISAPSDGHNERVQRIQPLHGRTSGPARRSTKGQWTAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQQEELALIRAHQIYGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLEQFQGVPLVVHQNQPMQSSSSRVQSCGDDTGPKCGTETEEVSECSQESVVAGCSQTASGLGNAVLHTREEFQLTEEACLGKEGSSSPASCSEQYFTSVGDVTFSIPEIPCEVGCPSSFLQQNFSQNPLTCASSDYQFNLQELPNMSSLELGQDSSGLSTHCIAANESHELVNVSFQTSMGNITASSAKPDHILISDDECCRFLFSDAMNDGIFSSGNFTKGPNSVACIDSISGQSSNYQISETDRTTQSFSPSKSGVLTTSCSQPFPSGPSLLSSDDSNPVCGKESNQLTNHSFAAPEQELIRCEHDDDFIYTNGIDSSPCGDRTDSTCLQEQHYLKEPSKLVPVNTFASGYVTMQSCPVDEMPNVQTEQQDAGALCYEPPRFPSLDVPFLSCDLIQSGSDMQQEYSPLGIRQLMMSSMNCITPFRLWDSPSRDDSPDAVLKSAARTFTGTPSILKKRNRDLLSPLSDRRVDKKLEIDMTSSLTKEFSRLDVMFDESETHRASLLSPSDQKRNSGSTYEDKENLDPALEGRQENGRDCCAFVDKKVSEKDCDKSDSQDNKKHGTSDADAKNKVHADVEQPSGMLVEDSMNDLLLFSPDQVGFKGDKAFAPSSRTPKNLYRKILGTLSEKCIALESSPGNSCIVVRSPTFCKKNHDLLPASTSAPLENTIDNTENDAGTENLSIFGGTPFKRSIESPSAWKSPWFINSFLPGPRVDTDISIEDIGYFMSPGDRSYDAIALMKQLSEHTASAYADALEVLGNETPEALLEKRCSNENQENNDVLNNQLENHSRLASNISTECRTLDFSECEAPGKGTEKGRASTAMTFSSPSSYLLKGCR >Manes.16G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2662013:2666271:1 gene:Manes.16G025100.v8.1 transcript:Manes.16G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQHKFVGIRGVKLHIAEIGNGPSAVIFIHGFPEIWYSWRHQMVAVAKAGYHAIAPDLRGYGLSEQHPQPDKASFNDFVEDTIGILDCFQIHKAFLVGKDFGSWPVYLLSLLHPTRISGVVSLGVPFFVPKPRRYKELLPEGFYISRWKEPGRAEADFGRFDVKTVWRNIYILFSRSEIPIAEKDKEIMDLVNPYTPMPPWLSNDDLTVYETSYEKSGFDSPMQVPYKGLPEDFAMDDPKVEVPVLLIIGGKDYFLKFPGIEEYVTSGKVKDFVPNLEMKSFPDGTHFIQEQFPDQVNQLIISFLEKHAS >Manes.11G144800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30943436:30944648:-1 gene:Manes.11G144800.v8.1 transcript:Manes.11G144800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFLAYALLALLSLGVALSASPSEEESSPSPSPELPPAGSPLLSAPSSAPSPDTGSPLFAPMDSPMFSPPAPPPSDLLSPGSSPSPAHSPLNSGAPAPAPMEPSDINHIDNVEAVGEESKGDSGMSDGKKAGIAIGVFLVGGVFTVGGFVYKKRQENIRRSHYGYSARGELL >Manes.05G127600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22586211:22595143:1 gene:Manes.05G127600.v8.1 transcript:Manes.05G127600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSETGVMTSTARDPFGVGLQKSQVTSQPSIQNMRLAFSADGTAVYKPVTTAASPSYQPTPSGAAGGGAGGVEGSAGGTVVSSHGINVNMNMGTAPETMKKKRGRPRKYGPDGTMALALVPASQSVTQTSGGGFSSPHPPAGTAVTSPLPSGGPVSPTGSKKARGRPPGSSKKQQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQQASSGGTVTYEGRFEILSLSGSFLPSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVASFISDGRKESKLANQIEPLSAVTKFAPALGTTGPSSPPSRGTLSESSGGPGSPLNQSTGACNNSNPQGISILPWK >Manes.05G127600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22586237:22595143:1 gene:Manes.05G127600.v8.1 transcript:Manes.05G127600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSETGVMTSTARDPFGVGLQKSQVTSQPSIQNMRLAFSADGTAVYKPVTTAASPSYQPTPSGAAGGGAGGVEGSAGGTVVSSHGINVNMNMGTAPETMKKKRGRPRKYGPDGTMALALVPASQSVTQTSGGGFSSPHPPAGTAVTSPLPSGGPVSPTGSKKARGRPPGSSKKQQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQQASSGGTVTYEGRFEILSLSGSFLPSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVASFISDGRKESKLANQIEPLSAVTKFAPALGTTGPSSPPSRGTLSESSGGPGSPLNQSTGACNNSNPQGISILPWK >Manes.05G127600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22586701:22595143:1 gene:Manes.05G127600.v8.1 transcript:Manes.05G127600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSETGVMTSTARDPFGVGLQKSQVTSQPSIQNMRLAFSADGTAVYKPVTTAASPSYQPTPSGAAGGGAGGVEGSAGGTVVSSHGINVNMNMGTAPETMKKKRGRPRKYGPDGTMALALVPASQSVTQTSGGGFSSPHPPAGTAVTSPLPSGGPVSPTGSKKARGRPPGSSKKQQLEALAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQQASSGGTVTYEGRFEILSLSGSFLPSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVASFISDGRKESKLANQIEPLSAVTKFAPALGTTGPSSPPSRGTLSESSGGPGSPLNQSTGACNNSNPQGISILPWK >Manes.05G127600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22586237:22595143:1 gene:Manes.05G127600.v8.1 transcript:Manes.05G127600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSETGVMTSTARDPFGVGLQKSQVTSQPSIQNMRLAFSADGTAVYKPVTTAASPSYQPTPSGAAGGGAGGVEGSAGGTVVSSHGINVNMNMGTAPETMKKKRGRPRKYGPDGTMALALVPASQSVTQTSGGGFSSPHPPAGTAVTSPLPSGGPVSPTGSKKARGRPPGSSKKQQLEALAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQQASSGGTVTYEGRFEILSLSGSFLPSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVASFISDGRKESKLANQIEPLSAVTKFAPALGTTGPSSPPSRGTLSESSGGPGSPLNQSTGACNNSNPQGISILPWK >Manes.05G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22586665:22595143:1 gene:Manes.05G127600.v8.1 transcript:Manes.05G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSETGVMTSTARDPFGVGLQKSQVTSQPSIQNMRLAFSADGTAVYKPVTTAASPSYQPTPSGAAGGGAGGVEGSAGGTVVSSHGINVNMNMGTAPETMKKKRGRPRKYGPDGTMALALVPASQSVTQTSGGGFSSPHPPAGTAVTSPLPSGGPVSPTGSKKARGRPPGSSKKQQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQQASSGGTVTYEGRFEILSLSGSFLPSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVASFISDGRKESKLANQIEPLSAVTKFAPALGTTGPSSPPSRGTLSESSGGPGSPLNQSTGACNNSNPQGISILPWK >Manes.05G127600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22586237:22595143:1 gene:Manes.05G127600.v8.1 transcript:Manes.05G127600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSETGVMTSTARDPFGVGLQKSQVTSQPSIQNMRLAFSADGTAVYKPVTTAASPSYQPTPSGAAGGGAGGVEGSAGGTVVSSHGINVNMNMGTAPETMKKKRGRPRKYGPDGTMALALVPASQSVTQTSGGGFSSPHPPAGTAVTSPLPSGGPVSPTGSKKARGRPPGSSKKQQLEALGSAGVGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQQASSGGTVTYEGRFEILSLSGSFLPSENGGQRSRTGGLSVSLSGPDGRVLGGGVAGLLTAASPVQVVVASFISDGRKESKLANQIEPLSAVTKFAPALGTTGPSSPPSRGTLSESSGGPGSPLNQSTGACNNSNPQGISILPWK >Manes.02G217960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30359568:30360973:1 gene:Manes.02G217960.v8.1 transcript:Manes.02G217960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHCVDATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFQTNVKPWKSRKGTLDPALEAAEAKSKIITVSKDGKGKFKTVTDAIKSIPLQNKERAIIKIGPGVYTEKIEIEKTKPFITFLGDPKAMPTLAFGGTARKYGTHYSGTVTVDSDYFMGVNIIFQNTAPKPQSNKPGGQAVALRINGDKAAFYNCKFLGFQDTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESQLNVVDNKDLTFITAQGKEEKSENFGYSFVQCKITGSGSGSFLGRAWRKMPQVIFSYTEMGAVVNPLGWSDNRQPDRDSTVFFAEYKNSGPGSNPKGRVKFTKQLKDAQAKNFLSLGYIQGSKWLLPPPK >Manes.09G080100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12071863:12075605:-1 gene:Manes.09G080100.v8.1 transcript:Manes.09G080100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVSSLHNHHHDLLELSSTHIDASTSFNSSSNTAADHYSCSNAQPFSYAFSILNHDHVKSLHDDDDHVEDPTIQLFPPLDGHSSASITPQLLDLDCREMVPQVQQRPHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGIDADINFNVSDYEEDIKQMSNFTKEEFVHILRRQSTGFSRGTSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGEVIVDANNGDGNQSLDLNLGIAPPDISDGQKLNRDADGFHFRSSWCDRSIDGRPMILNSASAKWKDEQPHDPAMASHPPPIWGGLDSNFFPLYKERAMEKWVEVDSVPNWMWQNQLANPPSLFSAAASSGFVSSTITAPTATAGAERANISTVQPTYPQPNNLFFGREKRRWMCRH >Manes.09G080100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12071863:12075605:-1 gene:Manes.09G080100.v8.1 transcript:Manes.09G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVSSLHNHHHDLLELSSTHIDASTSFNSSSNTAADHYSCSNAQPFSYAFSILNHDHVKSLHDDDDHVEDPTIQLFPPLDGHSSASITPQLLDLDCREMVPQVQQRPHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGIDADINFNVSDYEEDIKQMSNFTKEEFVHILRRQSTGFSRGTSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGEVIVDANNGDGNQSLDLNLGIAPPDISDGQKLNRDADGFHFRSSWCDRSIDGRPMILNSASAKWKDEQPHDPAMASHPPPIWGGLDSNFFPLYKERAMEKWVEVDSVPNWMWQNQLANPPSLFSAAASSGFVSSTITAPTATAGQLHFPNSTFLYHQISPSVTNPSNISHFYNCRS >Manes.10G136700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30397251:30400594:-1 gene:Manes.10G136700.v8.1 transcript:Manes.10G136700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFPFLWIICLLSGELLCGGVAQSVNCNAADREALLDLKRGLDDSWNRLSSWHGTNCCGWSGIACHNTTGAVLAVDLPNSSGLQPLGGEIRPSLAKLKSLKHLDLSENNFHGKIPHFLSDLHNLHYLNVSIAGFSGAIPPNLGNLSSLQFLDVSCGGLTVENLEWLSGLLSLKHLAMSGVELSNLGAAWIEPLNKLPLLSELHLQFCGLSGFIYSLPSVNFTSLKVLSLTGGRFKAKLPSWFVNISSLVSVDISYSMLTGRIPLGFGELPNLQSLKLDYNLELSASCFQLFTRSWKKIRVLDLSINEIHGRLPAHLGNMTSLTDFDLHFNNIEGGFPSSIGKLPNLQYIDFSLNKLTGSLPNSIGQLENLVELRLNSNLLQGSIPYSIGNLQHLTILKLSSNNINGSLPDSIGLLSELSTLDVSLNKLTGIISEAHFHRLENLEQIILSDNSVILNVSSHWVPPFQVIFLEMSSCHVGPSFPYWLRSQKKIEVLDFSRAGVSGSIPNWFWNMTSILSFLNFSFNSLEGHIPNKFKLVPYAFVDLSFNQFKGPVPLPNALLLDLSHNQFYGSMPENISQGMPSLKVLSLSSNQLTGGIPASIGELSLDVLDLSKNNLAGSIPPNIGNCSFLTVLDLQKNNFSGGIPNSIGQLNGLQTLHLSNNKFSGEIPSSLQNLSKLETLDLGSNMLTGKLPFWVGEAFPLLRILSLRANKLSGELPLTLSNSSSLQILDLAENQLNGSIPANLGNLKAMAQLQKVNHYLLYGEDENHNYQENIHVTINGLGLTYTRTLCLLTSIDLSGNNLSGELPEAITRLLGLEVLNLSRNHISGQIPDSISELHQLLSLDLSGNRLSGPIPQSITSLTFLENLNVSNNNLSGKIPSANQMSTFNASSFAGNPGLCGDPLAVKCANGSNNGGDSYPDAGRKADEDDNGNGFADNWFYMSIGVGFAVGLLLPYLVFAMKRSWGGIYFAFVDGTAYRLSSEKMKAAMRRRTG >Manes.06G013351.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2208656:2209915:-1 gene:Manes.06G013351.v8.1 transcript:Manes.06G013351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRSNRKTEPNPTQPNPTQPNPAGQHPNPLHTFRRTPPSHFPHSPNSNLPIPPPLFSRKMRTTKTTKTMMTDEGGEDDEDDEVQVLQSSRGPPVQSADDDEDDDEDDDGEGGDDDDDGEGGDDDDDDDDDEEENDDEDEDGEEEIWVPLHRPVSVTCKISDVIAPPMHPPSTQHNPKPKPRSATMMFGSKRASLQVGLFLRAGVSMIRSCNERSESLAIRFTV >Manes.01G045150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:17480275:17480658:-1 gene:Manes.01G045150.v8.1 transcript:Manes.01G045150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVNAVVAENSINELIEEVVNDGGHEDDMIDEVEEANGAVLEVIKENGSHPQDLSMTNIIMPSLFILDVQVVGENVHDESFMIFLPIQEKDFKNALIPKTSIPDMNMSKIQGRIFSKGGNDTDPIG >Manes.16G038850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5040011:5041353:1 gene:Manes.16G038850.v8.1 transcript:Manes.16G038850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECAEEFRFSRQSKDLQKLLFNSSGRKEAILLARNHSKGLQSLLDIGMQNSLASKTLWLVLNLGYSVQLDKLQFARGYSYFNSN >Manes.15G166100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14067340:14076219:-1 gene:Manes.15G166100.v8.1 transcript:Manes.15G166100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSLKSGGISPPHCDSDPEEKEVSDEDDDDRNHKHRRRETRSQSLERDSPEPIFTRSYRKQHKPFENGHPFRENESQGSETWKNYNNVPQDKDFTSKFVKRRPGMASLPRVGMDLNQRIRSNQTFSGEHGPGRGRGRDPSSWNQRDSMFSSVDIASQIVQQGAITSGLFAGRGLPNVSNAQNASWNAFGLFPGIPNGGLDALHSIGLQGTPGPVLNSSLNIGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPNAPLVGTPAGPGALPSVGAPSTTSMNGKGHSRNSKLGIVDDAMGLNGGYSGSAGVSGADLYDPDQPLWNNNGPETSNALLAPHSSKNDETESFMNVDPSDRHNLRLCDTTDNECSIRSTGVPVSSQNTGSSVWGRVGSMKNRLDVREKTDLTVSTSDHLENEAKEDHDELANIQGSTCQGKRMTTEGVGPKTIDSAARIQSDTSHNVRKSSQKALRTLFVSGIPQKNNKRDALLSHFQKFGEVIDIYIPLNGERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDDGMVSSSSLSLTPRGMPAGSVPPQSLLGKRGKDNLQSAVSKGAIVPPSDASLPHSDHPKPFANGPKAPPPMQKKLELEKLRDELRKKQEMLDEKRNDFRRQLDKLEKQATGVKGEAVVEPAAKRHRVGIATDVAKTTTPRSSDPVVGASSPCAEMIVDKNKSTENVASSIPKTSASLMQHESTASRQLIRPVAPTGAVFLTNRYKLDNRPTAFKIIPPLPPGLANVDVLKEFFSLYGDLSAVELEEVDACNDDSDGSEMAKNCSACLTFTTRRSAERAFFNGRCWQGNNLKFTWVTSSAFGSDLSGRENISSALKCPVDTDVQPTEKLACTGSGSQEASASENGETETSERNTSADHVELHEVPEPLPTSEHGEDTTKCEDSATSMCSKEEQPKRELNPTATSGENESHKGHTS >Manes.15G166100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14067487:14076219:-1 gene:Manes.15G166100.v8.1 transcript:Manes.15G166100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSLKSGGISPPHCDSDPEEKEVSDEDDDDRNHKHRRRETRSQSLERDSPEPIFTRSYRKQHKPFENGHPFRENESQGSETWKNYNNVPQDKDFTSKFVKRRPGMASLPRVGMDLNQRIRSNQTFSGEHGPGRGRGRDPSSWNQRDSMFSSVDIASQIVQQGAITSGLFAGRGLPNVSNAQNASWNAFGLFPGIPNGGLDALHSIGLQGTPGPVLNSSLNIGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPNAPLVGTPAGPGALPSVGAPSTTSMNGKGHSRNSKLGIVDDAMGLNGGYSGSAGVSGADLYDPDQPLWNNNGPETSNALLAPHSSKNDETESFMNVDPSDRHNLRLCDTTDNECSIRSTGVPVSSQNTGSSVWGRVGSMKNRLDVREKTDLTVSTSDHLENEAKEDHDELANIQGSTCQGKRMTTEGVGPKTIDSAARIQSDTSHNVRKSSQKALRTLFVSGIPQKNNKRDALLSHFQKFGEVIDIYIPLNGERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDDGMVSSSSLSLTPRGMPAGSVPPQSLLGKRGKDNLQSAVSKGAIVPPSDASLPHSDHPKPFANGPKAPPPMQKKLELEKLRDELRKKQEMLDEKRNDFRRQLDKLEKQATGVKGEAVVEPAAKRHRVGIATDVAKTTTPRSSDPVVGASSPCAEMIVDKNKSTENVASSIPKTSASLMQHESTASRQLIRPVAPTGAVFLTNRYKLDNRPTAFKIIPPLPPGLANVDVLKEFFSLYGDLSAVELEEVDACNDDSDGSEMAKNCSACLTFTTRRSAERAFFNGRCWQGNNLKFTWVTSSAFGSDLSGRENISSALKCPVDTDVQPTEKLACTGSGSQEASASENGETETSERNTSADHVELHEVPEPLPTSEHGEDTTKCEDSATSMCSKEEQPKRELNPTATSGENESHKGHTS >Manes.15G166100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14067340:14076219:-1 gene:Manes.15G166100.v8.1 transcript:Manes.15G166100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSLKSGGISPPHCDSDPEEKEVSDEDDDDRNHKHRRRETRSQSLERDSPEPIFTRSYRKQHKPFENGHPFRENESQGSETWKNYNNVPQDKDFTSKFVKRRPGMASLPRVGMDLNQRIRSNQTFSGEHGPGRGRGRDPSSWNQRDSMFSSVDIASQIVQQGAITSGLFAGRGLPNVSNAQNASWNAFGLFPGIPNGGLDALHSIGLQGTPGPVLNSSLNIGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPNAPLVGTPAGPGALPSVGAPSTTSMNGKGHSRNSKLGIVDDAMGLNGGYSGSAGVSGADLYDPDQPLWNNNGPETSNALLAPHSSKNDETESFMNVDPSDRHNLRLCDTTDNECSIRSTGVPVSSQNTGSSVWGRVGSMKNRLDVREKTDLTVSTSDHLENEAKEDHDELANIQGSTCQGKRMTTEGVGPKTIDSAARIQSDTSHNVRKSSQKALRTLFVSGIPQKNNKRDALLSHFQKFGEVIDIYIPLNGERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDDGMVSSSSLSLTPRGMPAGSVPPQSLLGKRGKDNLQSAVSKGAIVPPSDASLPHSDHPKPFANGPKAPPPMQKKLELEKLRDELRKKQEMLDEKRNDFRRQLDKLEKQATGVKGEAVVEPAAKRHRVGIATDVAKTTTPRSSDPVVGASSPCAEMIVDKNKSTENVASSIPKTSASLMQHESTASRQLIRPVAPTGAVFLTNRYKLDNRPTAFKIIPPLPPGLANVDVLKEFFSLYGDLSAVELEEVDACNDDSDGSEMAKNCSACLTFTTRRSAERAFFNGRCWQGNNLKFTWVTSSAFGSDLSGRENISSALKCPVDTDVQPTEKLACTGSGSQEASASENGETETSERNTSADHVELHEVPEPLPTSEHGEDTTKCEDSATSMCSKEEQPKRELNPTATSGENESHKGHTS >Manes.15G166100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14067471:14076219:-1 gene:Manes.15G166100.v8.1 transcript:Manes.15G166100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSLKSGGISPPHCDSDPEEKEVSDEDDDDRNHKHRRRETRSQSLERDSPEPIFTRSYRKQHKPFENGHPFRENESQGSETWKNYNNVPQDKDFTSKFVKRRPGMASLPRVGMDLNQRIRSNQTFSGEHGPGRGRGRDPSSWNQRDSMFSSVDIASQIVQQGAITSGLFAGRGLPNVSNAQNASWNAFGLFPGIPNGGLDALHSIGLQGTPGPVLNSSLNIGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPNAPLVGTPAGPGALPSVGAPSTTSMNGKGHSRNSKLGIVDDAMGLNGGYSGSAGVSGADLYDPDQPLWNNNGPETSNALLAPHSSKNDETESFMNVDPSDRHNLRLCDTTDNECSIRSTGVPVSSQNTGSSVWGRVGSMKNRLDVREKTDLTVSTSDHLENEAKEDHDELANIQGSTCQGKRMTTEGVGPKTIDSAARIQSDTSHNVRKSSQKALRTLFVSGIPQKNNKRDALLSHFQKFGEVIDIYIPLNGERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDDGMVSSSSLSLTPRGMPAGSVPPQSLLGKRGKDNLQSAVSKGAIVPPSDASLPHSDHPKPFANGPKAPPPMQKKLELEKLRDELRKKQEMLDEKRNDFRRQLDKLEKQATGVKGEAVVEPAAKRHRVGIATDVAKTTTPRSSDPVVGASSPCAEMIVDKNKSTENVASSIPKTSASLMQHESTASRQLIRPVAPTGAVFLTNRYKLDNRPTAFKIIPPLPPGLANVDVLKEFFSLYGDLSAVELEEVDACNDDSDGSEMAKNCSACLTFTTRRSAERAFFNGRCWQGNNLKFTWVTSSAFGSDLSGRENISSALKCPVDTDVQPTEKLACTGSGSQEASASENGETETSERNTSADHVELHEVPEPLPTSEHGEDTTKCEDSATSMCSKEEQPKRELNPTATSGENESHKGHTS >Manes.15G166100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14067338:14075911:-1 gene:Manes.15G166100.v8.1 transcript:Manes.15G166100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVSSLKSGGISPPHCDSDPEEKEVSDEDDDDRNHKHRRRETRSQSLERDSPEPIFTRSYRKQHKPFENGHPFRENESQGSETWKNYNNVPQDKDFTSKFVKRRPGMASLPRVGMDLNQRIRSNQTFSGEHGPGRGRGRDPSSWNQRDSMFSSVDIASQIVQQGAITSGLFAGRGLPNVSNAQNASWNAFGLFPGIPNGGLDALHSIGLQGTPGPVLNSSLNIGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPNAPLVGTPAGPGALPSVGAPSTTSMNGKGHSRNSKLGIVDDAMGLNGGYSGSAGVSGADLYDPDQPLWNNNGPETSNALLAPHSSKNDETESFMNVDPSDRHNLRLCDTTDNECSIRSTGVPVSSQNTGSSVWGRVGSMKNRLDVREKTDLTVSTSDHLENEAKEDHDELANIQGSTCQGKRMTTEGVGPKTIDSAARIQSDTSHNVRKSSQKALRTLFVSGIPQKNNKRDALLSHFQKFGEVIDIYIPLNGERAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDSIPDDGMVSSSSLSLTPRGMPAGSVPPQSLLGKRGKDNLQSAVSKGAIVPPSDASLPHSDHPKPFANGPKAPPPMQKKLELEKLRDELRKKQEMLDEKRNDFRRQLDKLEKQATGVKGEAVVEPAAKRHRVGIATDVAKTTTPRSSDPVVGASSPCAEMIVDKNKSTENVASSIPKTSASLMQHESTASRQLIRPVAPTGAVFLTNRYKLDNRPTAFKIIPPLPPGLANVDVLKEFFSLYGDLSAVELEEVDACNDDSDGSEMAKNCSACLTFTTRRSAERAFFNGRCWQGNNLKFTWVTSSAFGSDLSGRENISSALKCPVDTDVQPTEKLACTGSGSQEASASENGETETSERNTSADHVELHEVPEPLPTSEHGEDTTKCEDSATSMCSKEEQPKRELNPTATSGENESHKGHTS >Manes.S003270.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:14080:14202:1 gene:Manes.S003270.v8.1 transcript:Manes.S003270.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.12G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29348649:29355414:-1 gene:Manes.12G107100.v8.1 transcript:Manes.12G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLLFLIFAGAAPPSFSQTTSYLLPSDAVSLLSFKSKADLDNKLLYLINERFDYCQWQGVKCAQGRVVRFVLQGFSLRGTFAPYTLSRLDQLRVLSLQNNSLSGPVPDLSPLVNLKSLFLSHNSFSGSFPPSILLLHRLTVLDLSYNNFTGNIPVQLSALDRLSLLRLEWNRFYGSLPPFNQSFLVSFNVSGNNLTGPIPVTPTLSKFDTSSFSLNPDLCGEIINKACTRVRSPFFDPPLSSSATSPAAPLGQSAQAEGGAGLAVLSPSSSHKHRRTTAILGFAAGVSVLIVSLLCIFFSLVKKQNKQVNVKEKQPAAATSATANSIHTNSIEDAKATRESGEVTVTSKTQEIEVQKVRRLEKSGGLVFCGEKRQMYTLEQLMRASAELLGRGTMGTTYKAVLDNQLIVTVKRLDASKSASTSSDAFEGLMEALSGLRHPKLVPIMAYFQAKGERLVIYDYQPNGSLFNLIHGSRSTRAKPLHWTSCLKIAEDVAQGLAYIHQESKLIHGNLKSSNVLLGADFEACITDYCLAALADTSTEDPDSTACKAPETRNSSRQATAISDVYAFGVLLLELLTGKHPSHHPFLAPTDMLDWVRKVREYDGAEDDQLGMLTEVASVCSLTSPEQRPAMWQVLKMIHEIKESAMVEDNAPPGYS >Manes.07G128600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33067489:33078862:1 gene:Manes.07G128600.v8.1 transcript:Manes.07G128600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAKGKGESRKDKKEALKPVEDRKVGKRKAAPKAIKSSKKKANNDKVRKNDPNRPKRPPSAFFVFLEEFRNTYKQEHPNVKAVSAVAKAGGEKWKSLSDAEKAPYEAKSAKRKSEYEKLMTSYNKKQESSDDDDADGESEKSKSVVSNDDEESAEEDEEDEDDD >Manes.18G064740.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5852337:5855042:-1 gene:Manes.18G064740.v8.1 transcript:Manes.18G064740.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNQEASMAPKAIRCKAAICRNAGEPLVIEEIEVDPPKTWEVRIKILCTSLCHSDVTLWKRKLGPVSAFPRIFGHEAAGVVESVGDHVEEVKEGDLVLPVFAPSCGECRDCRSTKSNICSKFGVDSGLIGMPRDGTSRFRDMKGDVLHHFLGVSSFTEYTVVDVAHVVKLSLDIPVDKACLLSCGISTGVGAAWKVAGVEEGSTVAIFGLGAVGLAVAEGARLLGASKIIGVDLNPEKFEIGKKFGLTDFINPSTCGEKSVSQIIKEMTDGGADYCFECIGLASLMAEATRSSREGWGKTVILGLEMHGSPLSLNPYEMLRGRSVSGALIGGLKPKSDIQLLAKRYIDKELNLEEFITHEVSFKDINKAFDLLLQGKSLRCMIWMDK >Manes.01G220700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38870626:38871147:-1 gene:Manes.01G220700.v8.1 transcript:Manes.01G220700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHSPSKPISSMAFLCAILLFILSSSIHSVSGTTNLTAYEVLQEYDFPIGLLPKGVTSYELDSSTGKFSVYLNATCTFTIDSYELKYKSTITGVIKKDELSSLSGIQVKVLFLWLSITEVIRDNDELEFSVGIASANFPVSNFYECPTCGCGFDCDDGNFRKIDMDRVVYSS >Manes.01G181200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35959442:35962550:-1 gene:Manes.01G181200.v8.1 transcript:Manes.01G181200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLGKFFESVSTFFTGADQIPWCDRDIVAGCEQEVIEAHKGSSDEFKNECIMRLSWALVHSRQPEDVHRGMAMLESSIGGTTSPEKLREKLYLLAVGYYRSGDYSKSRELVEECLKIEPDWRQAQSLKKAIEDQIKKDGIIGIGIAATAVGLLAGGLAAAFARRN >Manes.14G092200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7606915:7611535:-1 gene:Manes.14G092200.v8.1 transcript:Manes.14G092200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDKDKFELEKSDNHVNYHSPDSMPSDWRFSSANIANSSLGLVPTDNQMPVCREDLVGVSSCSSASMVDSFGPGLWDHTTNPQNLGFSEVSVQNNASTSNPIGIRKSGPASLRTGLVKTLDIGWNPPSSMLKGGIFLPTAPGVLPQSLSQFPADSAFIERAARFSCFNGGNFSDIVNPFGIPESMHLYSRGGGMMQGPQDVFAGSELKSVSGGQGQKNVGDAPLSVEHVAIEGSPLNTQKKSGSLVRSHDEAKQGLGGSGNESEAAEFSGGGRQDEPSMLEGNGGELTAKSLASKKRKRNGQDTEIDQAKGTQQSSPEVQQKGEENPISTPNKTTGKQSKQGSQASDPPKQEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDVSIEGLLAKDILHSRAVPPSTLAFSPEVPMVYPPFNASQPGLIQPSFPGMESHSDVLRRAINSQLIPMTGGFKEPTQLPSAWDDELHNVVQMSYVTSAPQDGQDINGSLPPGHMKAEL >Manes.14G092200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7606915:7611535:-1 gene:Manes.14G092200.v8.1 transcript:Manes.14G092200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGDKDKFELEKSDNHVNYHSPDSMPSDWRFSSANIANSSLGLVPTDNQMPVCREDLVGVSSCSSASMVDSFGPGLWDHTTNPQNLGFSEVSVQNNASTSNPIGIRKSGPASLRTGLVKTLDIGWNPPSSMLKGGIFLPTAPGVLPQSLSQFPADSAFIERAARFSCFNGGNFSDIVNPFGIPESMHLYSRGGGMMQGPQDVFAGSELKSVSGGQGQKNVGDAPLSVEHVAIEGSPLNTQKKSGSLVRSHDEAKQGLGGSGNESEAAEFSGGGRQDEPSMLEGNGGELTAKSLASKKRKRNGQDTEIDQAKGTQQSSPEVQQKGEENPISTPNKTTGKQSKQGSQASDPPKQEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDVSIEGLLAKDILHSRAVPPSTLAFSPEVPMVYPPFNASQPGLIQPSFPGMESHSDVLRRAINSQLIPMTGGFKEPTQLPSAWDDELHNVVQMSYVTSAPQDGQDINGSLPPGHMKAEL >Manes.15G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11062996:11066107:-1 gene:Manes.15G136700.v8.1 transcript:Manes.15G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEEGSKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAMPVNKDRFISKMFLRGDSVIIVLRNPK >Manes.15G136700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11063382:11064575:-1 gene:Manes.15G136700.v8.1 transcript:Manes.15G136700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEEEGSKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAMPVNKDRFISKMFLRGDSVIIVLRNPK >Manes.11G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8464821:8468445:-1 gene:Manes.11G060600.v8.1 transcript:Manes.11G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKDVAFIGSIDQGTTSTRFIIYDHNASSIGSHQVEFTQFYPQAGWVEHDAMEILESVRMCMAKAVDKATAGGHNVDGALKAIGLTNQRETAIIWSKSTGVPLYNAIVWMDARTSAICRKLEKELSGGRTHFVQTCGLPISTYFSALKILWLMENVDVVKQAIKKGDALFGTVDSWLIWNLTGGVKGGLHVTDVSNASRTMLMNIKTLDWDKPTLQTLGIPAEILPKIVSNSEIIGNVGKGWPVAGVPISGCLGDQHAAMLGQACRKGEAKSTYGTGAFILLNTGENVIHSKHGLLTTLAFKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISSASEIEELAKQVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMHKDAGEKGENRNAKGEFLLRVDGGATVNNLLMQLQADLLGSPVVRPADIETTALGAAYAAGLAVGIWKEEEIFASGEKAKTDTIFRPLIDEELRKKRADSWFKAVERTFSLADLSI >Manes.11G060600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8464821:8467803:-1 gene:Manes.11G060600.v8.1 transcript:Manes.11G060600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILESVRMCMAKAVDKATAGGHNVDGALKAIGLTNQRETAIIWSKSTGVPLYNAIVWMDARTSAICRKLEKELSGGRTHFVQTCGLPISTYFSALKILWLMENVDVVKQAIKKGDALFGTVDSWLIWNLTGGVKGGLHVTDVSNASRTMLMNIKTLDWDKPTLQTLGIPAEILPKIVSNSEIIGNVGKGWPVAGVPISGCLGDQHAAMLGQACRKGEAKSTYGTGAFILLNTGENVIHSKHGLLTTLAFKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISSASEIEELAKQVDSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMHKDAGEKGENRNAKGEFLLRVDGGATVNNLLMQLQADLLGSPVVRPADIETTALGAAYAAGLAVGIWKEEEIFASGEKAKTDTIFRPLIDEELRKKRADSWFKAVERTFSLADLSI >Manes.12G004700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:618094:620113:1 gene:Manes.12G004700.v8.1 transcript:Manes.12G004700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCRRMKRSFSFHLQLQSPFDARILTNNFKSASFTHLDDALASFNHVIHMRPLPSRTQFSRFLSALVKMKEYHTVISLSKTIELLGISYDIYSLSILINCFCHLHLPDFGFSLLGKMIKVGLEPTIVTLNTLINGLCMEGKIDKAVEFFDEMVAGGYQPDVYTYSVIVKGLCKYGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVVEALELFSQMSNKGISPDVVTYTSLIHSLALMNEMVEQNVSPNVYTFSVLIDALCKDGMVSEAQNTFKIMMQRGVEPDVVTYSSLIDGLCISDQLKEALTLLKEMVGRNISPNVFTFTILIDTLCKKGLVSNAQDIINIMIQRGVEPNVITYNSLMDGYCLCNQIDKARKLFDLMVIDGIANNISYNILINGYCECKRIDEAKELFDEMYNKGLVPDTVTYNTFIKGLFKVGRPQIAQELFHNMCSHGQQPNIVTFSIMIDGLCKEGHVNEAVTLLKEMEKSQLKPNLVVYCILINGMCKAGKINDAKELFSSLFKNGLQPDVSIYTAIMKGLCQAGLIDESYKVFSDMEKIGCLPNDCCYNVIIQGFLRHDDLSKASELINEMVDKGFSADATTTELVVHLLHNDDCFLSKLRNRSEASKVVNVK >Manes.05G157400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27035402:27039045:1 gene:Manes.05G157400.v8.1 transcript:Manes.05G157400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSECINYSFTYESALPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFENFADSSREDLIKDALIAVRETLQGETLKSSICTVAVLGVGEAFHILDQETVQQLIDAFEIVAEPEGPAAEPDAAAEQGEGADQGPAADQGVAPMDI >Manes.01G076800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28021213:28023259:-1 gene:Manes.01G076800.v8.1 transcript:Manes.01G076800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLFISSMNSTGLPFPSQNHSLHLRRIHFFSKMFRAFSLFSRSGKPSTIPFKDYYANWFTTLKNSLLPLLHQSLSSPTSPALLSSHLNLLLHHFLSYYDSLDLAVTNDFNNLPYLLYPSWRNSLEKPFLFLGDLHPYIFTNLLRSFLDKENNYDDDFENRVRSVVLDSPWQATMSWKDPSESLIIKIEQIERGLRLMVPDLVDRMKRAQVGFVRRVAEDWVSYKGKKEKIEVGELMKVEMEELVSVFMDANRLRRSIISEIVGALSIYQGALFLEALAQFLIGFKDPVFLREFERCKTPINEEVRSNGF >Manes.11G090300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16982368:16982661:-1 gene:Manes.11G090300.v8.1 transcript:Manes.11G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPSAISSAMQNLKKQPFQGRNQSTVPKGHVAVYVGEFQWKRFIVPISYLNHPCFQDLLNQAEEEFGFNHPMGAITIPCKEDEFIDLTSRFLAL >Manes.01G067200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26800846:26801334:1 gene:Manes.01G067200.v8.1 transcript:Manes.01G067200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQPQNFQWQTTGLIDKNFTIKSSLTLYIIVVIAAGFFLAIFFFFLEWICQRFLRRHLPSTTLSTTTFPPQPPGLHPTIIQALPTTFYDSSTVPGSSSSADAECSICLAVYEDGDKLKILPKCHHFFHEECVDRWLHSWTACPLCRASLLDSSSAAATTSD >Manes.02G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3021298:3024438:-1 gene:Manes.02G036400.v8.1 transcript:Manes.02G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAIINCFAMPTPLTSRHVAVIGAGAAGLVAARELRREGHEVVIFERETQIGGTWVYDPRAEPDPMSLDKNRSIIHSSLYSSLRTNLPREVMGFRDYPFISIADKTRDSRRFPGHREVLFYLRDFAREFEIGEMVRFETEVVHVGLVEDSNKWKLRYRKKRSEVDAEAETGDGFDYDDEIYDAVLVCNGHYTEPRVADIPGISSWPGKQMHSHNYRVPEPFQDQVVVLIGGSASAVDISRDIASVAKEVHVASRSVANEKYEQQPGYDNIWLHSMIESVHEDGSLVFRNGRVVQADIILHCTGYKYHFPFLETNGIVTVEDNRVGPLYKHVFPPVLAPSLSFIGLAWKVVPFPMFEFQSKWIAGVLSGRIALPLQEEMMEDIEDFYRSLEASNFPKRYTHYMGDSQFDYNNWLAAQCGCEGFEEWKKQMYYATSKNRQVRPDTYRDEWEDDPLISEANQDFILKGFANSLISQDSGLNGQSESHEKLSRI >Manes.08G083800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28989739:28991243:1 gene:Manes.08G083800.v8.1 transcript:Manes.08G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFFISACFIALNFLIFHPEMLSAYSNSSFTFESFDKNSNFEYFFALYGDAYAVNNESTLQLTRSVSSSAGRVMYKKPIKLVEGKPVNLISFSTQFSFSMSPDNGDGLAFIMVSDAFNASSFDNIPFGLSLRSKESNSELLVEFDTKRDTKYGDLNDNHVGINVGGLLSVEVKNASSANIVLNDGKRLSSWIDYEASSKRLEVRLSRFGDIKPTDPLLSYPIDLPKLWKDDKIFIGLSSSNGNSSQACFIHSWSFELRHVPQWMHSEPLDPQEFAKTEKPVVVHKRSACVLRVLAAMMFGTACGALGAFIVLYLWTIFGNRRPVVPEECCVESVDFEYKKFKVVVEKAVEDGKQ >Manes.05G133400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23512209:23515766:-1 gene:Manes.05G133400.v8.1 transcript:Manes.05G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDGEEEGIESNEPCSRDGSELVKEISNIIESVAQFGDYRKTHKKDCFGLVRRMKLLLPLLEEIRDCDAPISDKGIACLSNLKKALILARKLLKVCNEGSKINLAVESEAFMMKFRKVNEKLCQALECVPFDEFGISDDVQDQVDFMRVQLRRAKGRTDTQDIELAMDMMVVLSKNDHRNADIAIIERLAKKLDLHTVEELKNETIAIRNLVKERGGQVHNSESLEQIVDLLNKFKEIIGMEITDVFGNPAMTRTLEKCASLVIPHEFLCPITLEIMTDPVIIASGQTYERESIQKWFNSNHRTCPKTRQTLAHMSVAPNFALRNLILQWCEENKFHLPPKADCASSSSYVHSEEICSLVRDLSSTKLERQRQAVIKIRMLSKESSENRILIANNGGILPLVQILSYPDSRLQEHTVTALLNLSIDETNKRLIAEEGAIPIIIEILQNGSVEARENSAAALFSLSMLDENKITVGLSNGIPPLVELLQNGTVRGKKDAILALFNLSLNHSNKARAIDDGIIPPLLQLLKDRNLHMIDEALSILLLLVSHPEGRSSIGQLSFIESLVEFIKNGTPKNKECATSVLLELGLKNSSFILAALQYGVYEHLAEIQINGTNRAQRKANALIQLMSRTEQI >Manes.01G116800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31380224:31383126:-1 gene:Manes.01G116800.v8.1 transcript:Manes.01G116800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLFRYDVTSCATRIIPGRWGFIAQLNEGRHLKKRPTEFRVDKVLQAFDETKFNFTKIGQEEVLFRFEPGNDLKSEFVPTAPPTADSTSPSVVAINVSPIEFGHVLLIPRVLDCLPQRIDHESFLLALHMAKEASNPFFRIGYNSLGAFATINHLHFQAYYLAVPFPVEKSPTKRIKTVKGMQDRGVIVSRLSNFPVRGFVFEGGNTMQCLSDSVASACIYLQNNNIPYNVLIADSGNKIFLFPQCFAEKQALGKVSQELLETLVNPAVWEIAGHIVLKRRQDFENASEASAWRLLSDVSLSEERFRQVKAYVLEAAGFQETSNTDQEDDSTYDQLSPRAISHLPKDCVVIH >Manes.01G116800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31380240:31383126:-1 gene:Manes.01G116800.v8.1 transcript:Manes.01G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTIKKVPTVVSNYQEESSETSFEGCGRHCLGKCCLPGSSVPLYSFKIDRENSKENGEVNSAKEQPQVCFLHNLLLGQWEDRMSRGLFRYDVTSCATRIIPGRWGFIAQLNEGRHLKKRPTEFRVDKVLQAFDETKFNFTKIGQEEVLFRFEPGNDLKSEFVPTAPPTADSTSPSVVAINVSPIEFGHVLLIPRVLDCLPQRIDHESFLLALHMAKEASNPFFRIGYNSLGAFATINHLHFQAYYLAVPFPVEKSPTKRIKTVKGMQDRGVIVSRLSNFPVRGFVFEGGNTMQCLSDSVASACIYLQNNNIPYNVLIADSGNKIFLFPQCFAEKQALGKVSQELLETLVNPAVWEIAGHIVLKRRQDFENASEASAWRLLSDVSLSEERFRQVKAYVLEAAGFQETSNTDQEDDSTYDQLSPRAISHLPKDCVVIH >Manes.10G055900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:12688018:12689805:1 gene:Manes.10G055900.v8.1 transcript:Manes.10G055900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSTKILEFCQVLPAYDSPESATEFSLPLTFFDIFWLKLSPVQRLFFYQLTGPSATPTFFNTVILPKLKHSLSLTLFHFLPLVGRLTWPPNCPKPFILYTPNDGVPLTVAESDADFDRLSSDDILDAVELHPYVPDLPVSDTMASILALEITLFPNKGFSIGYSINHAVLDGKSITMFMKSWAYMSKHGENGKQNTSILPEELAPFFDRNGIKDPLELGNLFLRQWESLSESEPMINSRSLKLLPQLGADIKKVRSTFHLTREEIIKLKNKVLSQLENPIPLSTFVVTCAYVLVCMVKAKGGDGNRMVWFLFAVDCRRRLDPPLPANYFGNCVAGHDVFTEARDFMEENGFSNIAKRLSGVIEGLEKDPLQGAEDKISSFKSEIRAGMQVIGLAGSTTFDVYGCDFGWGRPKKVEITSIDKTGAISLTQSRDGNRGIQIGLVLSRDEMEAFGSLFVDGLKHL >Manes.13G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27918355:27934080:-1 gene:Manes.13G095500.v8.1 transcript:Manes.13G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRKLEEEQRMLMFMQSHGVVSSSNKDSSRFLANLILLVIKPCGNLDLNEKCSLICEQIPKISSSFLEQASLLFNSQGPVQMEDKVSGFQKSCVGSFPSPVSDRKDNGLKKKDFEDMAVVGLDAMQRANSTLEDFCRSYFMFHGMDMNHPQSIFKFLPVLSFTESYIYQMDSLNEKMVNLPTKEVAFLESGLEMPKGNESWITNCIDVFITDPFRPLMNQLEHHDLLTKRIIEEFRCGEEYWALERKLCSALINQKEISVEDVMRAIHLKSFDYRVLNLLLYRLRGEKVNDLHMEFLSISEFLVEVSDDLQITLLRLKRSTTIC >Manes.07G085401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26821422:26822723:1 gene:Manes.07G085401.v8.1 transcript:Manes.07G085401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFIINHLHQEFNGSLDLNYPNPILKSTHSFLDLSSQPLQSKPIFKNQNEARCSQKESKKRRHMEIEHEEHKMIHDLKLRLSPPGINPKDESASANQLENSQSESNESSESDENLVGLVLMGCTKCHIYVMVCEVEPKCPNCKNSFLIDMFQHNTLPNTKRSKGC >Manes.17G026000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20202362:20204662:-1 gene:Manes.17G026000.v8.1 transcript:Manes.17G026000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLVHSETSSKAPPPLHIPDYVLKSPSDVRLSRRDHPLSPGAIALKSPMVRPYVRSKMPRLRWTPDLHHCFVHAVERLGGEDRATPKMVLQIMNVKGLTISHVKSHLQMYRSMKHEQMIQEAALAAKKNDKAPGLHYSNYFAHLDSMSCSENHHLEDKELNNNLLLYQQDHGTCTSPSYKLALKNTSMPTQSIYLCREEKQEMWIGKRLLTESFSHEEVISKEWEKKPDPYIIFKDLLKSCTTTQRTNEQDKNRQSLEDFGEIAQRVEGDKMSLLLNSKASESVLKLRKAESLCVKDVCLELTLG >Manes.17G026000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20202345:20204795:-1 gene:Manes.17G026000.v8.1 transcript:Manes.17G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLVHSETSSKAPPPLHIPDYVLKSPSDVRLSRRDHPLSPGAIALKSPMVRPYVRSKMPRLRWTPDLHHCFVHAVERLGGEDRATPKMVLQIMNVKGLTISHVKSHLQMYRSMKHEQMIQEAALAAKKNDKAPGLHYSNYFAHLDSMSCSENHHLEDKELNNNLLLYQQDHGTCTSPSYKLALKNTSMPTQREEKQEMWIGKRLLTESFSHEEVISKEWEKKPDPYIIFKDLLKSCTTTQRTNEQDKNRQSLEDFGEIAQRVEGDKMSLLLNSKASESVLKLRKAESLCVKDVCLELTLG >Manes.09G128450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33104300:33104580:1 gene:Manes.09G128450.v8.1 transcript:Manes.09G128450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNQQDTGDSIFNCSTNKIQKFTVCKRNLLPQVDSTFSMFQTTKPTHADNLFLSSFKAQKAGK >Manes.09G171000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36218293:36225739:-1 gene:Manes.09G171000.v8.1 transcript:Manes.09G171000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIRQREVKDLFYKYGPIVDVDLKIPPRPPGYAFVEYEDARDAEDAIRGRDGYNFDGCRLRVELAHGGRRLSSPGDRYSSYSGSSGSRGTSRRSDYRVLVTGLPSSASWQDLKDHMRLAGDVCFSQVFRDRGGMTGIVDYTNYDDMKRAIKKLDDSEFRNAFSRAYVRVKEYSSRRSYSRSPSRSPYGRSRSSSWSRSRSYSDRSRSPRAKYSRHSRSLSVSSRSPAGSSPRSSRSRSRSISPLASPRSKRAGRSPGRLGRSRSHESRSRSPVGSDRSRSAGSLDSRD >Manes.09G171000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36218293:36225739:-1 gene:Manes.09G171000.v8.1 transcript:Manes.09G171000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIRQREVKDLFYKYGPIVDVDLKIPPRPPGYAFVEYEDARDAEDAIRGRDGYNFDGCRLRVELAHGGRRLSSPGDRYSSYSGSSGSRGTSRRSDYRVLVTGLPSSASWQDLKDHMRLAGDVCFSQVFRDRGGMTGIVDYTNYDDMKRAIKKLDDSEFRNAFSRAYVRVKEYSSRRSYSRSPSRSPYGRSRSSSWSRSRSYSDRSRSPRAKYSRHSRSLSVSSRSPAGSSPRSSSDLDWMLGT >Manes.09G171000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36219539:36225739:-1 gene:Manes.09G171000.v8.1 transcript:Manes.09G171000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIRQREVKDLFYKYGPIVDVDLKIPPRPPGYAFVEYEDARDAEDAIRGRDGYNFDGCRLRVELAHGGRRLSSPGDRYSSYSGSSGSRGTSRRSDYRVLVTGLPSSASWQDLKDHMRLAGDVCFSQVFRDRGGMTGIVDYTNYDDMKRAIKKLDDSEFRNAFSRAYVRVKEYSSRRSYSRSPSRSPYGRSRSSSWSRSRSYSDRSRSPRAKYSRHSRSLSVSSRSPAGSSPRSSRSRSRSISPLASPRSKRAGRSPGRLGRSRSHESRSRSPVGSDRSRSAGSLDSRD >Manes.01G272700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566647:42568624:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECWRGVLKVPVDPTSRSYCSVGVSLCLSPSSKSLAVPSANAIFFSGDRVEGTKNPMIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566503:42569099:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECWRGVLKVPVDPTSRSYCSVGVSLCLSPSSKSLAVPSANAIFFSGDRVEGTKNPMIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566647:42568624:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566503:42569099:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECWRGVLKVPVDPTSRSYCSVGVSLCLSPSSKSLAVPSANAIFFSGDRVEGTKNPMIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566647:42568624:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566647:42568624:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566647:42568628:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566615:42568624:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECWRGVLKVPVDPTSRSYCSVGVSLCLSPSSKSLAVPSANAIFFSGDRVEGTKNPMIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566503:42569099:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECWRGVLKVPVDPTSRSYCSVGVSLCLSPSSKSLAKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G272700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42566647:42568628:1 gene:Manes.01G272700.v8.1 transcript:Manes.01G272700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERLSNLQKIAEILVSKFGGPVNAWVIEASIFNGPFAVYRDFIPSVNRWGEPKSYSPAGFPASCSTISLLSNCLKEAKKTISTQEKMPLTTSGSSSSFYEPKTYILGFSKGGTVLNQLVAELSVSEVKPDFNGQHRSRESSEEDFQIIPRSKESLLNSITEIHYVDVGLNSAGAYITDYNVIERMSKRFMQGAPGIRFVLHGTPRQWCDSRRVWIRDEKDKLVNLLESEVQRSGGKLKVCEKLYFADRTPDLPMHFEIIERMEVG >Manes.01G227500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39240623:39255443:-1 gene:Manes.01G227500.v8.1 transcript:Manes.01G227500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWKCTSSISKAPLSTSNNVVEKSRLEQQERTDVDVDLREVYFLILHFLSSGPCQKSFGHFWNELLEHELLPRRYHAWFSRSGACSGHDDDDGVSLPLSYNKLVDRYPHIEKDHLVKLLKQLLQHIAPPVLDKPVLHRPNAADVPTLLGSGSFSLLDCVSNMNKQAKHLPVHLRWPHMQADQVHGLGLREIGGGFTKHHRASSIRSACYAIAKPLTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYMITGSDDRLVKVWSMETAFCLASCRGHEGDITDLAVSSNNAIVASASNDFVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARYSQCSPRIYVPKPSDAIAGKNNGPFSNGPSSSNGSQSHQILCCAYNANGTVFVTGSSDTYARVWSACKSTADESEQPIHEMDVLSGHENDVNYVQFSGCAVASRSSLADTLKEENIPRFKNSWFCHDNIVTCSRDGSAIIWSPRSRRSHGKSGRWTKSYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIMWSLDNRFVLAAIMDCRICVWNAADSSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGLGRFKLVDGKFSPDGTSIVLSDDVGQIHLLNTGQGESQKDAKYDQFFLGDYRPLIRDSAGNVLDQETQLPPHRRNIQDPICDSSMIPYPEPYQTMFQKRRLGALGVEWHPPSIKFAIGTDFSLGLDYQMPPLEDLDRMIEPLPEFIDAIYWEPENEVISDDTDSEYNVAEECTSEGEQGSLCYSSATDPDCSMDDSDSEHSHKDGPRRSRRRKQKSEVESSVRHVKKRNLSERDGLISGSSGSKKLKNSRKFSKGKSSKVKSSRPQRIAARNALNMFSRITGTSTDGDVEDDSEDDTSSSESSLQESNIPRKISDKYLQNMQDKYVEEENIVKTHQLPESQPNAGNRKKLVLKLSLRGSKKPVSPEDRMLNVERQVYDMNPDPRPFQETEINLSSKDLGSSSSHLFDAGLSQNQNNHINSGGYPEKVEDGIEGSSGDNGSKIRRGENNICTSKNSRLGDEIPGDASIGFNASCDAHKESRSDVHGDGELLEVDQDSATIEETVPSGVIQSSLTFLSSSLGELQSNCGASAGTCDKAPDGGDENRSLSDKCSNLDSVEAREHAGVNHCQGLKENPLPKLTKIKMRTRGTLGGTPSKIKSMRAVDDLHQDAVGRMSEGPSYLEQNQLLGVRGNDEGSGRSVSLYDVPEREKSHKSIADLEDLNHDVEEDASDAIHRTRLLKMKETSWESHDDMNHNLRFRVGHELPGTSNINGVELLSEEMMLNSRIAVRSRSARNRRVDNCSSPLISRKPSQPARKLSWLILSKHEEEYRYIPQLGDEVVYLRQGHQEYIESTNSSATGPWSLIKGYLGAVEICKVESLNYAPAAGSGDSCCKIILRFIDPSSGVFGKAFKLTLPELINFPDFVVEKTRYDAAISRNWTHRDKCQVWWKNENGEGGSWWEGRVLSSEPKSDEFPDSPWERYFIRYRADPLENHRHSPWELHDPGTTWEHPHIDVKISIKLLISFDKLEESDFYGIEKLNEASHKLDFFNRFPVPLCPDIIRSRLENNYYRSLEAVKHDVHVMMENAQSYFGKNAELSHKMKRLSEWFSKKLSKL >Manes.01G227500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39240623:39255259:-1 gene:Manes.01G227500.v8.1 transcript:Manes.01G227500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWKCTSSISKAPLSTSNNVVEKSRLEQQERTDVDVDLREVYFLILHFLSSGPCQKSFGHFWNELLEHELLPRRYHAWFSRSGACSGHDDDDGVSLPLSYNKLVDRYPHIEKDHLVKLLKQLLQHIAPPVLDKPVLHRPNAADVPTLLGSGSFSLLDCVSNMNKQAKHLPVHLRWPHMQADQVHGLGLREIGGGFTKHHRASSIRSACYAIAKPLTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYMITGSDDRLVKVWSMETAFCLASCRGHEGDITDLAVSSNNAIVASASNDFVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARYSQCSPRIYVPKPSDAIAGKNNGPFSNGPSSSNGSQSHQILCCAYNANGTVFVTGSSDTYARVWSACKSTADESEQPIHEMDVLSGHENDVNYVQFSGCAVASRSSLADTLKEENIPRFKNSWFCHDNIVTCSRDGSAIIWSPRSRRSHGKSGRWTKSYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIMWSLDNRFVLAAIMDCRICVWNAADSSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGLGRFKLVDGKFSPDGTSIVLSDDVGQIHLLNTGQGESQKDAKYDQFFLGDYRPLIRDSAGNVLDQETQLPPHRRNIQDPICDSSMIPYPEPYQTMFQKRRLGALGVEWHPPSIKFAIGTDFSLGLDYQMPPLEDLDRMIEPLPEFIDAIYWEPENEVISDDTDSEYNVAEECTSEGEQGSLCYSSATDPDCSMDDSDSEHSHKDGPRRSRRRKQKSEVESSVRHVKKRNLSERDGLISGSSGSKKLKNSRKFSKGKSSKVKSSRPQRIAARNALNMFSRITGTSTDGDVEDDSEDDTSSSESSLQESNIPRKISDKYLQNMQDKYVEEENIVKTHQLPESQPNAGNRKKLVLKLSLRGSKKPVSPEDRMLNVERQVYDMNPDPRPFQETEINLSSKDLGSSSSHLFDAGLSQNQNNHINSGGYPEKVEDGIEGSSGDNGSKIRRGENNICTSKNSRLGDEIPGDASIGFNASCDAHKESRSDVHGDGELLEVDQDSATIEETVPSGVIQSSLTFLSSSLGELQSNCGASAGTCDKAPDGGDENRSLSDKCSNLDSVEAREHAGVNHCQGLKENPLPKLTKIKMRTRGTLGGTPSKIKSMRAVDDLHQDAVGRMSEGPSYLEQNQLLGVRGNDEGSGRSVSLYDVPEREKSHKSIADLEDLNHDVEEDASDAIHRTRLLKMKETSWESHDDMNHNLRFRVGHELPGTSNINGVELLSEEMMLNSRIAVRSRSARNRRVDNCSSPLISRKPSQPARKLSWLILSKHEEEYRYIPQLGDEVVYLRQGHQEYIESTNSSATGPWSLIKGYLGAVEICKVESLNYAPAAGSGDSCCKIILRFIDPSSGVFGKAFKLTLPELINFPDFVVEKTRYDAAISRNWTHRDKCQVWWKNENGEGGSWWEGRVLSSEPKSDEFPDSPWERYFIRYRADPLENHRHSPWELHDPGTTWEHPHIDVKISIKLLISFDKLEESVSANQDFYGIEKLNEASHKLDFFNRFPVPLCPDIIRSRLENNYYRSLEAVKHDVHVMMENAQSYFGKNAELSHKMKRLSEWFSKKLSKL >Manes.01G227500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39240532:39255547:-1 gene:Manes.01G227500.v8.1 transcript:Manes.01G227500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWKCTSSISKAPLSTSNNVVEKSRLEQQERTDVDVDLREVYFLILHFLSSGPCQKSFGHFWNELLEHELLPRRYHAWFSRSGACSGHDDDDGVSLPLSYNKLVDRYPHIEKDHLVKLLKQLLQHIAPPVLDKPVLHRPNAADVPTLLGSGSFSLLDCVSNMNKQAKHLPVHLRWPHMQADQVHGLGLREIGGGFTKHHRASSIRSACYAIAKPLTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYMITGSDDRLVKVWSMETAFCLASCRGHEGDITDLAVSSNNAIVASASNDFVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARYSQCSPRIYVPKPSDAIAEFSAGKNNGPFSNGPSSSNGSQSHQILCCAYNANGTVFVTGSSDTYARVWSACKSTADESEQPIHEMDVLSGHENDVNYVQFSGCAVASRSSLADTLKEENIPRFKNSWFCHDNIVTCSRDGSAIIWSPRSRRSHGKSGRWTKSYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIMWSLDNRFVLAAIMDCRICVWNAADSSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGLGRFKLVDGKFSPDGTSIVLSDDVGQIHLLNTGQGESQKDAKYDQFFLGDYRPLIRDSAGNVLDQETQLPPHRRNIQDPICDSSMIPYPEPYQTMFQKRRLGALGVEWHPPSIKFAIGTDFSLGLDYQMPPLEDLDRMIEPLPEFIDAIYWEPENEVISDDTDSEYNVAEECTSEGEQGSLCYSSATDPDCSMDDSDSEHSHKDGPRRSRRRKQKSEVESSVRHVKKRNLSERDGLISGSSGSKKLKNSRKFSKGKSSKVKSSRPQRIAARNALNMFSRITGTSTDGDVEDDSEDDTSSSESSLQESNIPRKISDKYLQNMQDKYVEEENIVKTHQLPESQPNAGNRKKLVLKLSLRGSKKPVSPEDRMLNVERQVYDMNPDPRPFQETEINLSSKDLGSSSSHLFDAGLSQNQNNHINSGGYPEKVEDGIEGSSGDNGSKIRRGENNICTSKNSRLGDEIPGDASIGFNASCDAHKESRSDVHGDGELLEVDQDSATIEETVPSGVIQSSLTFLSSSLGELQSNCGASAGTCDKAPDGGDENRSLSDKCSNLDSVEAREHAGVNHCQGLKENPLPKLTKIKMRTRGTLGGTPSKIKSMRAVDDLHQDAVGRMSEGPSYLEQNQLLGVRGNDEGSGRSVSLYDVPEREKSHKSIADLEDLNHDVEEDASDAIHRTRLLKMKETSWESHDDMNHNLRFRVGHELPGTSNINGVELLSEEMMLNSRIAVRSRSARNRRVDNCSSPLISRKPSQPARKLSWLILSKHEEEYRYIPQLGDEVVYLRQGHQEYIESTNSSATGPWSLIKGYLGAVEICKVESLNYAPAAGSGDSCCKIILRFIDPSSGVFGKAFKLTLPELINFPDFVVEKTRYDAAISRNWTHRDKCQVWWKNENGEGGSWWEGRVLSSEPKSDEFPDSPWERYFIRYRADPLENHRHSPWELHDPGTTWEHPHIDVKISIKLLISFDKLEESVSANQDFYGIEKLNEASHKLDFFNRFPVPLCPDIIRSRLENNYYRSLEAVKHDVHVMMENAQSYFGKNAELSHKMKRLSEWFSKKLSKL >Manes.01G227500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39240623:39255444:-1 gene:Manes.01G227500.v8.1 transcript:Manes.01G227500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWKCTSSISKAPLSTSNNVVEKSRLEQQERTDVDVDLREVYFLILHFLSSGPCQKSFGHFWNELLEHELLPRRYHAWFSRSGACSGHDDDDGVSLPLSYNKLVDRYPHIEKDHLVKLLKQLLQHIAPPVLDKPVLHRPNAADVPTLLGSGSFSLLDCVSNMNKQAKHLPVHLRWPHMQADQVHGLGLREIGGGFTKHHRASSIRSACYAIAKPLTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYMITGSDDRLVKVWSMETAFCLASCRGHEGDITDLAVSSNNAIVASASNDFVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARYSQCSPRIYVPKPSDAIAEFSAGKNNGPFSNGPSSSNGSQSHQILCCAYNANGTVFVTGSSDTYARVWSACKSTADESEQPIHEMDVLSGHENDVNYVQFSGCAVASRSSLADTLKEENIPRFKNSWFCHDNIVTCSRDGSAIIWSPRSRRSHGKSGRWTKSYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIMWSLDNRFVLAAIMDCRICVWNAADSSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGLGRFKLVDGKFSPDGTSIVLSDDVGQIHLLNTGQGESQKDAKYDQFFLGDYRPLIRDSAGNVLDQETQLPPHRRNIQDPICDSSMIPYPEPYQTMFQKRRLGALGVEWHPPSIKFAIGTDFSLGLDYQMPPLEDLDRMIEPLPEFIDAIYWEPENEVISDDTDSEYNVAEECTSEGEQGSLCYSSATDPDCSMDDSDSEHSHKDGPRRSRRRKQKSEVESSVRHVKKRNLSERDGLISGSSGSKKLKNSRKFSKGKSSKVKSSRPQRIAARNALNMFSRITGTSTDGDVEDDSEDDTSSSESSLQESNIPRKISDKYLQNMQDKYVEEENIVKTHQLPESQPNAGNRKKLVLKLSLRGSKKPVSPEDRMLNVERQVYDMNPDPRPFQETEINLSSKDLGSSSSHLFDAGLSQNQNNHINSGGYPEKVEDGIEGSSGDNGSKIRRGENNICTSKNSRLGDEIPGDASIGFNASCDAHKESRSDVHGDGELLEVDQDSATIEETVPSGVIQSSLTFLSSSLGELQSNCGASAGTCDKAPDGGDENRSLSDKCSNLDSVEAREHAGVNHCQGLKENPLPKLTKIKMRTRGTLGGTPSKIKSMRAVDDLHQDAVGRMSEGPSYLEQNQLLGVRGNDEGSGRSVSLYDVPEREKSHKSIADLEDLNHDVEEDASDAIHRTRLLKMKETSWESHDDMNHNLRFRVGHELPGTSNINGVELLSEEMMLNSRIAVRSRSARNRRVDNCSSPLISRKPSQPARKLSWLILSKHEEEYRYIPQLGDEVVYLRQGHQEYIESTNSSATGPWSLIKGYLGAVEICKVESLNYAPAAGSGDSCCKIILRFIDPSSGVFGKAFKLTLPELINFPDFVVEKTRYDAAISRNWTHRDKCQVWWKNENGEGGSWWEGRVLSSEPKSDEFPDSPWERYFIRYRADPLENHRHSPWELHDPGTTWEHPHIDVKISIKLLISFDKLEESDFYGIEKLNEASHKLDFFNRFPVPLCPDIIRSRLENNYYRSLEAVKHDVHVMMENAQSYFGKNAELSHKMKRLSEWFSKKLSKL >Manes.01G227500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39240623:39255259:-1 gene:Manes.01G227500.v8.1 transcript:Manes.01G227500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWKCTSSISKAPLSTSNNVVEKSRLEQQERTDVDVDLREVYFLILHFLSSGPCQKSFGHFWNELLEHELLPRRYHAWFSRSGACSGHDDDDGVSLPLSYNKLVDRYPHIEKDHLVKLLKQLLQHIAPPVLDKPVLHRPNAADVPTLLGSGSFSLLDCVSNMNKQAKHLPVHLRWPHMQADQVHGLGLREIGGGFTKHHRASSIRSACYAIAKPLTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYMITGSDDRLVKVWSMETAFCLASCRGHEGDITDLAVSSNNAIVASASNDFVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARYSQCSPRIYVPKPSDAIAEFSAGKNNGPFSNGPSSSNGSQSHQILCCAYNANGTVFVTGSSDTYARVWSACKSTADESEQPIHEMDVLSGHENDVNYVQFSGCAVASRSSLADTLKEENIPRFKNSWFCHDNIVTCSRDGSAIIWSPRSRRSHGKSGRWTKSYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIMWSLDNRFVLAAIMDCRICVWNAADSSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGLGRFKLVDGKFSPDGTSIVLSDDVGQIHLLNTGQGESQKDAKYDQFFLGDYRPLIRDSAGNVLDQETQLPPHRRNIQDPICDSSMIPYPEPYQTMFQKRRLGALGVEWHPPSIKFAIGTDFSLGLDYQMPPLEDLDRMIEPLPEFIDAIYWEPENEVISDDTDSEYNVAEECTSEGEQGSLCYSSATDPDCSMDDSDSEHSHKDGPRRSRRRKQKSEVESSVRHVKKRNLSERDGLISGSSGSKKLKNSRKFSKGKSSKVKSSRPQRIAARNALNMFSRITGTSTDGDVEDDSEDDTSSSESSLQESNIPRKISDKYLQNMQDKYVEEENIVKTHQLPESQPNAGNRKKLVLKLSLRGSKKPVSPEDRMLNVERQVYDMNPDPRPFQETEINLSSKDLGSSSSHLFDAGLSQNQNNHINSGGYPEKVEDGIEGSSGDNGSKIRRGENNICTSKNSRLGDEIPGDASIGFNASCDAHKESRSDVHGDGELLEVDQDSATIEETVPSGVIQSSLTFLSSSLGELQSNCGASAGTCDKAPDGGDENRSLSDKCSNLDSVEAREHAGVNHCQGLKENPLPKLTKIKMRTRGTLGGTPSKIKSMRAVDDLHQDAVGRMSEGPSYLEQNQLLGVRGNDEGSGRSVSLYDVPEREKSHKSIADLEDLNHDVEEDASDAIHRTRLLKMKETSWESHDDMNHNLRFRVGHELPGTSNINGVELLSEEMMLNSRIAVRSRSARNRRVDNCSSPLISRKPSQPARKLSWLILSKHEEEYRYIPQLGDEVVYLRQGHQEYIESTNSSATGPWSLIKGYLGAVEICKVESLNYAPAAGSGDSCCKIILRFIDPSSGVFGKAFKLTLPELINFPDFVVEKTRYDAAISRNWTHRDKCQVWWKNENGEGGSWWEGRVLSSEPKSDEFPDSPWERYFIRYRADPLENHRHSPWELHDPGTTWEHPHIDVKISIKLLISFDKLEESVSANQDFYGIEKLNEASHKLDFFNRFPVPLCPDIIRSRLENNYYRSLEAVKHDVHVMMENAQSYFGKNAELSHKMKRLSEWFSKKLSKL >Manes.01G227500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39240473:39255595:-1 gene:Manes.01G227500.v8.1 transcript:Manes.01G227500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWKCTSSISKAPLSTSNNVVEKSRLEQQERTDVDVDLREVYFLILHFLSSGPCQKSFGHFWNELLEHELLPRRYHAWFSRSGACSGHDDDDGVSLPLSYNKLVDRYPHIEKDHLVKLLKQLLQHIAPPVLDKPVLHRPNAADVPTLLGSGSFSLLDCVSNMNKQAKHLPVHLRWPHMQADQVHGLGLREIGGGFTKHHRASSIRSACYAIAKPLTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYMITGSDDRLVKVWSMETAFCLASCRGHEGDITDLAVSSNNAIVASASNDFVIRVWRLPDGLPISVLRGHTGAVTAIAFSPRPSSVYQLLSSSDDGTCRIWDARYSQCSPRIYVPKPSDAIAGKNNGPFSNGPSSSNGSQSHQILCCAYNANGTVFVTGSSDTYARVWSACKSTADESEQPIHEMDVLSGHENDVNYVQFSGCAVASRSSLADTLKEENIPRFKNSWFCHDNIVTCSRDGSAIIWSPRSRRSHGKSGRWTKSYHLKVPPPPLPPQPPRGGPRQRILPTPRGVNMIMWSLDNRFVLAAIMDCRICVWNAADSSLVHSLTGHTASSYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGVPIRTYEIGLGRFKLVDGKFSPDGTSIVLSDDVGQIHLLNTGQGESQKDAKYDQFFLGDYRPLIRDSAGNVLDQETQLPPHRRNIQDPICDSSMIPYPEPYQTMFQKRRLGALGVEWHPPSIKFAIGTDFSLGLDYQMPPLEDLDRMIEPLPEFIDAIYWEPENEVISDDTDSEYNVAEECTSEGEQGSLCYSSATDPDCSMDDSDSEHSHKDGPRRSRRRKQKSEVESSVRHVKKRNLSERDGLISGSSGSKKLKNSRKFSKGKSSKVKSSRPQRIAARNALNMFSRITGTSTDGDVEDDSEDDTSSSESSLQESNIPRKISDKYLQNMQDKYVEEENIVKTHQLPESQPNAGNRKKLVLKLSLRGSKKPVSPEDRMLNVERQVYDMNPDPRPFQETEINLSSKDLGSSSSHLFDAGLSQNQNNHINSGGYPEKVEDGIEGSSGDNGSKIRRGENNICTSKNSRLGDEIPGDASIGFNASCDAHKESRSDVHGDGELLEVDQDSATIEETVPSGVIQSSLTFLSSSLGELQSNCGASAGTCDKAPDGGDENRSLSDKCSNLDSVEAREHAGVNHCQGLKENPLPKLTKIKMRTRGTLGGTPSKIKSMRAVDDLHQDAVGRMSEGPSYLEQNQLLGVRGNDEGSGRSVSLYDVPEREKSHKSIADLEDLNHDVEEDASDAIHRTRLLKMKETSWESHDDMNHNLRFRVGHELPGTSNINGVELLSEEMMLNSRIAVRSRSARNRRVDNCSSPLISRKPSQPARKLSWLILSKHEEEYRYIPQLGDEVVYLRQGHQEYIESTNSSATGPWSLIKGYLGAVEICKVESLNYAPAAGSGDSCCKIILRFIDPSSGVFGKAFKLTLPELINFPDFVVEKTRYDAAISRNWTHRDKCQVWWKNENGEGGSWWEGRVLSSEPKSDEFPDSPWERYFIRYRADPLENHRHSPWELHDPGTTWEHPHIDVKISIKLLISFDKLEESVSANQDFYGIEKLNEASHKLDFFNRFPVPLCPDIIRSRLENNYYRSLEAVKHDVHVMMENAQSYFGKNAELSHKMKRLSEWFSKKLSKL >Manes.01G013800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3828728:3829989:1 gene:Manes.01G013800.v8.1 transcript:Manes.01G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSETSPRISFSNDLHQQDDIQIVQEPRRDTTLLESNPDFEFSICRSLVDDESSLADELFADGMILPGQVHERNSAAFKQIHRHEHSRKASLPPLPCPPSSDDHENSNKEMMEINLLKPQSKSFWGFKRSTSLNCDLKKSLICSLPLLSRSNSTGSSVPNPKRSNSQKQQQSNSVQAKKLSATSHASTYVYKFPQKPPLKKNYGGAYHGNGVKISPVLNLPPPYIAKGAANLFGLGSFLKEKNRK >Manes.16G093733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29994485:29995414:-1 gene:Manes.16G093733.v8.1 transcript:Manes.16G093733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEINNLKGRLLLKFEMKDLDAAKQILGMRIFREWSAGILNLVDGFNPRSMPLANHLKLSKKQSPKTSMERDHMAKVPYASAIGSLMYAMVCTRPDISHAVGAVSIYMSDLRKEYLKGTTSISLCYGNGKVILEGLVYADLSRNVDISKSTFGYVYIINETVAEYIAINEVELDKKKLEKVLFTDSQSVIHLVKNPLYHFRAKHIRRRYHFTCSLIEEGEMCLKKIKGTKNPIDILTKSVDAGKLGLCKASVGLLQ >Manes.08G077400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17921333:17926211:1 gene:Manes.08G077400.v8.1 transcript:Manes.08G077400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERRKQKEGWLMEASSGPKRKMEPGKPLATGSLNLRMFVGTWNVGGKSPHDGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALNSNKNGQEVPQYYNNTAELKHSSSPQSDQQACIKPRLSFSDLLSLEDELGNEDFERLLNWNSKLSSSEEASPSPSCTSAGSPMQRHYCLAASKQMVGIFLCVWVRADLYKHISNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLTSGEKEGDEIRRNSDVSEILKKTRFSHSCRGLGQPLPPESILDHDKIIWLGDLNYRLAAGCGDTHELLKKNDWKALLKRDQLRIEQRAGRVFKGWEEGRIYFAPTYKYLNNSDNYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRPVYSLFSVQINLSNSNLNKSNTSHKSGRYCPGRSSASSVLQSACMAKVQAEELLIIPRAQSYIHTAPRLP >Manes.08G077400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17919541:17926334:1 gene:Manes.08G077400.v8.1 transcript:Manes.08G077400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGPKRKMEPGKPLATGSLNLRMFVGTWNVGGKSPHDGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALNSNKNGQEVPQYYNNTAELKHSSSPQSDQQACIKPRLSFSDLLSLEDELGNEDFERLLNWNSKLSSSEEASPSPSCTSAGSPMQRHYCLAASKQMVGIFLCVWVRADLYKHISNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLTSGEKEGDEIRRNSDVSEILKKTRFSHSCRGLGQPLPPESILDHDKIIWLGDLNYRLAAGCGDTHELLKKNDWKALLKRDQLRIEQRAGRVFKGWEEGRIYFAPTYKYLNNSDNYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRPVYSLFSVQINLSNSNLNKSNTSHKSGRYCPGRSSASSVLQSACMAKVQAEELLIIPRAQSYIHTAPRLP >Manes.08G077400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17919554:17926245:1 gene:Manes.08G077400.v8.1 transcript:Manes.08G077400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDMRKISKSAWPKIVARKWLNIQSGADEFHSDYCVRDRTERRKSCSDEDYYVFVPEDFSGWLMEASSGPKRKMEPGKPLATGSLNLRMFVGTWNVGGKSPHDGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALNSNKNGQEVPQYYNNTAELKHSSSPQSDQQACIKPRLSFSDLLSLEDELGNEDFERLLNWNSKLSSSEEASPSPSCTSAGSPMQRHYCLAASKQMVGIFLCVWVRADLYKHISNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLTSGEKEGDEIRRNSDVSEILKKTRFSHSCRGLGQPLPPESILDHDKIIWLGDLNYRLAAGCGDTHELLKKNDWKALLKRDQLRIEQRAGRVFKGWEEGRIYFAPTYKYLNNSDNYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRPVYSLFSVQINLSNSNLNKSNTSHKSGRYCPGRSSASSVLQSACMAKVQAEELLIIPRAQSYIHTAPRLP >Manes.08G077400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17923158:17926212:1 gene:Manes.08G077400.v8.1 transcript:Manes.08G077400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGTWNVGGKSPHDGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALNSNKNGQEVPQYYNNTAELKHSSSPQSDQQACIKPRLSFSDLLSLEDELGNEDFERLLNWNSKLSSSEEASPSPSCTSAGSPMQRHYCLAASKQMVGIFLCVWVRADLYKHISNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLTSGEKEGDEIRRNSDVSEILKKTRFSHSCRGLGQPLPPESILDHDKIIWLGDLNYRLAAGCGDTHELLKKNDWKALLKRDQLRIEQRAGRVFKGWEEGRIYFAPTYKYLNNSDNYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRPVYSLFSVQINLSNSNLNKSNTSHKSGRYCPGRSSASSVLQSACMAKVQAEELLIIPRAQSYIHTAPRLP >Manes.08G077400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17919539:17926312:1 gene:Manes.08G077400.v8.1 transcript:Manes.08G077400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDMRKISKSAWPKIVARKWLNIQSGADEFHSDYCVRDRTERRKSCSDEDYYVFVPEDFSEGWLMEASSGPKRKMEPGKPLATGSLNLRMFVGTWNVGGKSPHDGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALNSNKNGQEVPQYYNNTAELKHSSSPQSDQQACIKPRLSFSDLLSLEDELGNEDFERLLNWNSKLSSSEEASPSPSCTSAGSPMQRHYCLAASKQMVGIFLCVWVRADLYKHISNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLTSGEKEGDEIRRNSDVSEILKKTRFSHSCRGLGQPLPPESILDHDKIIWLGDLNYRLAAGCGDTHELLKKNDWKALLKRDQLRIEQRAGRVFKGWEEGRIYFAPTYKYLNNSDNYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRPVYSLFSVQINLSNSNLNKSNTSHKSGRYCPGRSSASSVLQSACMAKVQAEELLIIPRAQSYIHTAPRLP >Manes.08G077400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17919554:17926179:1 gene:Manes.08G077400.v8.1 transcript:Manes.08G077400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDMRKISKSAWPKIVARKWLNIQSGADEFHSDYCVRDRTERRKSCSDEDYYVFVPEDFSGWLMEASSGPKRKMEPGKPLATGSLNLRMFVGTWNVGGKSPHDGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALNSNKNGQEVPQYYNNTAELKHSSSPQSDQQACIKPRLSFSDLLSLEDELGNEDFERLLNWNSKLSSSEEASPSPSCTSAGSPMQRHYCLAASKQMVGIFLCVWVRADLYKHISNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLTSGEKEGDEIRRNSDVSEILKKTRFSHSCRGLGQPLPPESILDHDKIIWLGDLNYRLAAGCGDTHELLKKNDWKALLKRDQLRIEQRAGRVFKGWEEGRIYFAPTYKYLNNSDNYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRPVYSLFSVQINLSNSNLNKSNTSHKSGRYCPGRSSASSVLQSACMAKVQAEELLIIPRAQSYIHTAPRLP >Manes.08G077400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17919539:17926312:1 gene:Manes.08G077400.v8.1 transcript:Manes.08G077400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDMRKISKSAWPKIVARKWLNIQSGADEFHSDYCVRDRTERRKSCSDEDYYVFVPEDFSEGWLMEASSGPKRKMEPGKPLATGSLNLRMFVGTWNVGGKSPHDGLNLRDWLKSPAPADIYVLGFQEIVPLNAGNVLGAEDNGPAVKWLSLIRQALNSNKNGQEVPQYYNNTAELKHSSSPQSDQQACIKPRLSFSDLLSLEDELGNEDFERLLNWNSKLSSSEEASPSPSCTSAGSPMQRHYCLAASKQMVGIFLCVWVRADLYKHISNLKVSCVGRGIMGYLGNKGSISISMTLHQTTFCFVCTHLTSGEKEGDEIRRNSDVSEILKKTRFSHSCRGLGQPLPPESILDHDKIIWLGDLNYRLAAGCGDTHELLKKNDWKALLKRDQLRIEQRAGRVFKGWEEGRIYFAPTYKYLNNSDNYVVQTSKSKEKRRTPAWCDRILWKGEGLKQMWYVRGESRFSDHRPVYSLFSVQINLSNSNLNKSNTSHKSGRYCPGRSSASSVLQSACMAKVQAEELLIIPRAQSYIHTAPRLP >Manes.07G009020.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1037467:1040498:1 gene:Manes.07G009020.v8.1 transcript:Manes.07G009020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLKLSYDNLPSHLKYCFAYCALYPKDYVIDVKTLIHLWIAQGFIDSPSTSDCLENIGLQYFMKLWWRSFFQEVKRDKFGNVKSCKMHDLMHDLATTVGGTRIQLVNSDAPNIDEKIRHVALNLDVAPQEILNNAKRLRSFLLLGKHDYDELFIHKNLWCLRAYDMSYCSIGKVDSCIKMLKHLRYLDVSWNVELRAIPNSITDLLNLQVLNVSNCQELKELPKDIKKLVNLRHLYCEGCYSLTHMPSGLGQLTSLQTLSVFVVAKWHISSKDVGKINELNKLNNLRGRLVIRNLGCVDNEIVNVNLKEKPLLQSLELNWEDSNVDRDEMAFQPHPSLKELHVHSYGGRRFPSWFSAPTNLVNLCICYCKRCQHLPPMDQIPSLQYLEIRVLDNLEYMEIEGQRTSFFPSLKSLRLEHCPKLKGWQKKRDDSTALELLQFPCLSNFYCKNCPNLTSIPQFPSLDELLYLRYASPQLVHQIFTPSISSSSSIIPPLSKLKILKIMGNEELESLPPDGLRNLTCLQRLTIKFCPALKCLPQEMRSLTSLRKLDIDDCPQLKKRCGNKKGADWAFISHIPNIEVDDRTIQRKGRYLLDDEVSAHQARGIIYLFFTHLKF >Manes.11G003000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:411629:412807:1 gene:Manes.11G003000.v8.1 transcript:Manes.11G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPSISNMQHRSTNHTILRNSNPTPTTKISPDLIFDIFSRLPVKSLVRFRCLDKLCSTFIADQSFAAAHQPHPRPKPGLLISCPTQLQSAQTFFSADLNGGPATHCLTLPPRYSRYTTQSINGIVCMDFGLCATICNPSTKQAITLPLVCSKTATAASSTYFCVNSLGFDPVSKHYKVLNSWRNYQTNKTEYRVFTLGTQSWRLLHDGPPYYPQRESICLNGFIYFRSWVNLASMEGRKIAAMVAFDVQNETFRVIKIPIRAPHYVNTSCLIQLAGRLAIVDFQLDLKNQLSLWVLEDSWNVVWVRHHIALPSSWRKMNKDYFAVGTIATGEILLAPRCLVNPFYMFYYDLERSNLRWVQICGLPGFDSLDLSRNAVAVTGYEENILSLA >Manes.06G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23709372:23714565:1 gene:Manes.06G104700.v8.1 transcript:Manes.06G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLLSPAEIKAISFLDSTKSNHLPKLSGGFSVKRKDFGVAFGKKVQCSAQPPPPAWPGRAFPELSRKNWDGPKPISVVGSTGSIGTQTLDIVAENPDKFRIVALAAGSNVTLLADQVKTFKPQLVAVRDESLVDELKEALADVEEKPEIIPGEQGVVEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDICLANKETLIAGGPFVLPLAKKYNVKILPADSEHSAIFQCIQGLPDGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGADYDNIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPDRIYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFINEKIGYLDIFKIVELTCDKHRAELVTSPSLEEIIHYDLWAREYAASLQHSSGLSPVLA >Manes.12G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5703967:5707823:-1 gene:Manes.12G058800.v8.1 transcript:Manes.12G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDCALSSPTPKRSSLKSQSHFNTYPLWKDKLRENCYKRVREDRNRLLWKMRLPTAKSLGDKDFIKSAFQDIVSDELKKITHSSGDDNSQIPTSGLDASDILWEYDGLHNAYQGECEEILLEMQRIFYEDLRAEPTRKEPENHIATWEDEEDEYLARAVYEHMHLNDKQVHKETWCPICKQGQLQESHQLIYCSLCELELFKDDEVNLDILTTRLAEAHTEHLDRGCKLRPKFCIETRFGLTALYIHCEVCKIFEVVM >Manes.12G058800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5703967:5707823:-1 gene:Manes.12G058800.v8.1 transcript:Manes.12G058800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDCALSSPTPKRSSLKSQSHFNTYPLWKDKLRENCYKRVREDRNRLLWKMRLPTAKSLGDKDFIKSAFQDIVSDELKKITHSSGDDNSQIPTSGLDASDILWEYDGLHNAYQGECEEILLEMQRIFYEDLRAEPTRKEPENHIATWEDEEDEYLARAVYEHMHLNDKQVNLDILTTRLAEAHTEHLDRGCKLRPKFCIETRFGLTALYIHCEVCKIFEVVM >Manes.16G013700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1332529:1335626:1 gene:Manes.16G013700.v8.1 transcript:Manes.16G013700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMGSFILYLVFMFLFPLSFEIIAADPTYVSRSCPNTTTFTSNTTYRSNLNLLLTTLSNSTTKNGFYNTTAGENPDTVYGLFLCRGDLSSQLCQECVTFATQDIVQRCPEKKAAMIWYDECFLRYSDRSIFSLVERNPMLAIYNTQNTTQQDLFNKLVAETVNNAIAKAANARSGAKKFGTEVANFTSFHTLYSLVQCTPDLSEADCNRCLRQVIGYLPDCCSGKKGAQVLTPSCSIRYDLYPFYNLMETEIAASPPSPPFLSPPPPSLTGPKDRSNNPSAIIIAIVAPIAVSVLLFILGCCFLSRRARKKKKSRIEEGNDGNEIMTEESLKYDLANIEAATNLFSEDNKLGAGGFGEVYKGTLPNGQEVAVKRLSRNSRQGMEEFKNEVVLVAKLQHRNLVRLLGFCLDEEEKILIYEFVPNKSLDYFLYGREKQAQLDWSQRFKIIEGIARGILYLHEESRFKVVHRDLKASNILLDKNMNPKISDFGQARIFGVDQTDQAKTNRIVGTYGYMSPEYAMLGQFSTKSDVYSFGVLILEMITGKKNSSFPGDLLTYIWKQWRDGMAEEVLDPTVRDYYSRNEVTRCIHIGLLCVQKDPAERPTMAKIVLMLSSHSVTQPLPQQPGVLADGRSKQPTLRRKLQLDQPTSVLTPLSDDEASIIEVYHDRQHELEKEMEKYKNVFRGWLFFHFRVCDSLQNMWSNFI >Manes.16G013700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1332529:1335626:1 gene:Manes.16G013700.v8.1 transcript:Manes.16G013700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMGSFILYLVFMFLFPLSFEIIAADPTYVSRSCPNTTTFTSNTTYRSNLNLLLTTLSNSTTKNGFYNTTAGENPDTVYGLFLCRGDLSSQLCQECVTFATQDIVQRCPEKKAAMIWYDECFLRYSDRSIFSLVERNPMLAIYNTQNTTQQDLFNKLVAETVNNAIAKAANARSGAKKFGTEVANFTSFHTLYSLVQCTPDLSEADCNRCLRQVIGYLPDCCSGKKGAQVLTPSCSIRYDLYPFYNLMETEIAASPPSPPFLSPPPPSLTGPKDRSNNPSAIIIAIVAPIAVSVLLFILGCCFLSRRARKKKKSRIEEGNDGNEIMTEESLKYDLANIEAATNLFSEDNKLGAGGFGEVYKGTLPNGQEVAVKRLSRNSRQGMEEFKNEVVLVAKLQHRNLVRLLGFCLDEEEKILIYEFVPNKSLDYFLYGREKQAQLDWSQRFKIIEGIARGILYLHEESRFKVVHRDLKASNILLDKNMNPKISDFGQARIFGVDQTDQAKTNRIVGT >Manes.16G016000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1689852:1691024:-1 gene:Manes.16G016000.v8.1 transcript:Manes.16G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYRILRRTFSSSVQLTTSTATATDTASASASIKSPFTVLYEERNLKRLVQKFKKFSENNRFRTHTDIYEGIVSRLAAAKRFRWIEEILEDQKKYKDISKEGFNARLIALYGKSGMLDNAYKTFDEMPGRNCKRTVLSFNALLAACVNSRKFDKANDLFRKLPKELDIEPDLFSYNTVIKAFCKMGSLDSGISLLAEMELKGVQPDLITFNTLLNGFYANGQFVDGERIWSQMVQKNISPDIWSYNAKLLGFALEKRTKDAVELVEEIKDKGIKLNVVSCNALIRGFVKEENLEEAKRWYSEIGNSECKPDKFTFTTLIPFVCEKNDLGFAFELSKVIISRNCLVDRALLQRVVDGLVKKSKIEEAKELVQLGNDNGYCRYKLMLSSDY >Manes.04G063944.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23574516:23576279:-1 gene:Manes.04G063944.v8.1 transcript:Manes.04G063944.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPFKFTWRINNFSTLTPKELHSEVFYAGGCQWCLHVFLKVDYLSMYLEVADSTSLPQGWSRDAEFNLAVVNQINNSLTVRKYTEHVFNAFDKDWGFPSFIPLRKIKNSAEGYLVGDTLILEVKILVHSVKHYSKPEPKKEEAKDETKPSEPVAAPPTSQVPSSEKVVDTKAKVDTKPLNQTKEGIQAAATPTSDKEVIKSSPLPSVTVETKILPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPLYFDQCRLFRDKTISRKNKNTCLILNLEIGPFCSTLKPIYTNIYIYIYIYIYIYIYIYIYIYIYDFW >Manes.04G089400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29488977:29493694:-1 gene:Manes.04G089400.v8.1 transcript:Manes.04G089400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGEGASAASPVAQWGHDAWRMYQYYLDKTTPHTIHRWIGSLVVVAIYCLRVYYIQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEPTDGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFCIAFFMTFFSMFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFNIGKQKYGSKKSSASSSSSRGD >Manes.04G089400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29488977:29493694:-1 gene:Manes.04G089400.v8.1 transcript:Manes.04G089400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGEGASAASPVAQWGHDAWRMYQYYLDKTTPHTIHRWIGSLVVVAIYCLRVYYIQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEPTDGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFCIAFFMTFFSMFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFNIGKQKYGSKKSSASSSSSRGD >Manes.11G152178.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31829044:31855068:-1 gene:Manes.11G152178.v8.1 transcript:Manes.11G152178.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSLRRPAEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPIRPSIFHRVRIASPLHRNHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNG >Manes.01G024800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5337165:5337497:1 gene:Manes.01G024800.v8.1 transcript:Manes.01G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGVDFPGFQTCIHKDELEYRKPLSRLQRRAPCPLQIKPTAFVEKHGEPQANAESSSSSSLPSRPTMAAPSFNSFYHGKDPIPLLSPLVSPSLLQPANVRERNPAKWR >Manes.10G115000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28097864:28106080:-1 gene:Manes.10G115000.v8.1 transcript:Manes.10G115000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKRIENLLILWWIIRYDVDPSKPASLTWQRKLNSEEVALSLFTLSFQEKFQLAPIGIRLWRYLQEEAAKGKGGVINPFSKRFVTSCQGIPLGGIGSGSIGRSYKGEFQRWQLFPRICEEKPILANQFSVFVSRSNGAKYSSVLCPRNPEVLKLPTDSGIGSWDWNLKGDSSTYHALYPRSWTIYEGEPDPELRIVCRQISPVIPHNYKESSFPVSVFTFMLYNSGKTAADVSLLFTWANSVGGDSEFSGLHFNSTTMMEDGVHGILLHHKTAHGFPPVTFAVTAQETNGVHVSKCPRFVIYGNSQGITARDMWHEIKEHGSFDNLKSTGMSVPSEPGSSIGAAIAASVTIPPDAVRTVTFSLAWDCPEVCFRGARTYHRRYTKFYGTNGDAAARIAHDAILGHGLWESQIEAWQRPILEDKRLPEWYPITLFNELYYLNSGGTVWTDGSPPFQSLVSIGGRKFSLDSSRAGLKSIIDAHQNDTSVDILGRMTSMLEQIHAPIASNSAFGTNLLQEGEENIGQFLYLEGVEYQMWNTYDVHFYSSFALIMLFPKLELSVQRDFAAAVMMHDPSKMKLLHDGRWAHRKVLGAVPHDIGMNDPWFEVNAYNLHNTDTWKDLNPKFVLQVYRDVVATGDKSFAEAVWPSVYVAMAFMDQFDRDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALARKAGDKASEDYFWARFQKAKVVYQKLWNGSYLNYDSSGSSNSSSIQTDQLAGQWYARACGLLPILDKEKARSALEKVYNYNVLKVKDGKLGAVNGMLPDGRVDLSSMQSREIWSGVTYAVAATMIQEDLMDMAFHTASGIYEAAWSEKGFGYSFQTPEGWNTDGQYRSLCYMRPLAIWAMQWALSRPKLDTEEMTLEVKEDYQLPHHAGFAKVARHLRLLEEESSVSLIQSLFDYTCKKLGYN >Manes.10G115000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28097864:28106448:-1 gene:Manes.10G115000.v8.1 transcript:Manes.10G115000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGELLKNGVNQEDREPSDSLVDYKVDPSKPASLTWQRKLNSEEVALSLFTLSFQEKFQLAPIGIRLWRYLQEEAAKGKGGVINPFSKRFVTSCQGIPLGGIGSGSIGRSYKGEFQRWQLFPRICEEKPILANQFSVFVSRSNGAKYSSVLCPRNPEVLKLPTDSGIGSWDWNLKGDSSTYHALYPRSWTIYEGEPDPELRIVCRQISPVIPHNYKESSFPVSVFTFMLYNSGKTAADVSLLFTWANSVGGDSEFSGLHFNSTTMMEDGVHGILLHHKTAHGFPPVTFAVTAQETNGVHVSKCPRFVIYGNSQGITARDMWHEIKEHGSFDNLKSTGMSVPSEPGSSIGAAIAASVTIPPDAVRTVTFSLAWDCPEVCFRGARTYHRRYTKFYGTNGDAAARIAHDAILGHGLWESQIEAWQRPILEDKRLPEWYPITLFNELYYLNSGGTVWTDGSPPFQSLVSIGGRKFSLDSSRAGLKSIIDAHQNDTSVDILGRMTSMLEQIHAPIASNSAFGTNLLQEGEENIGQFLYLEGVEYQMWNTYDVHFYSSFALIMLFPKLELSVQRDFAAAVMMHDPSKMKLLHDGRWAHRKVLGAVPHDIGMNDPWFEVNAYNLHNTDTWKDLNPKFVLQVYRDVVATGDKSFAEAVWPSVYVAMAFMDQFDRDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALARKAGDKASEDYFWARFQKAKVVYQKLWNGSYLNYDSSGSSNSSSIQTDQLAGQWYARACGLLPILDKEKARSALEKVYNYNVLKVKDGKLGAVNGMLPDGRVDLSSMQSREIWSGVTYAVAATMIQEDLMDMAFHTASGIYEAAWSEKGFGYSFQTPEGWNTDGQYRSLCYMRPLAIWAMQWALSRPKLDTEEMTLEVKEDYQLPHHAGFAKVARHLRLLEEESSVSLIQSLFDYTCKKLGYN >Manes.10G115000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28097864:28106448:-1 gene:Manes.10G115000.v8.1 transcript:Manes.10G115000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKRIENLLILWWIIRYDVDPSKPASLTWQRKLNSEEVALSLFTLSFQEKFQLAPIGIRLWRYLQEEAAKGKGGVINPFSKRFVTSCQGIPLGGIGSGSIGRSYKGEFQRWQLFPRICEEKPILANQFSVFVSRSNGAKYSSVLCPRNPEVLKLPTDSGIGSWDWNLKGDSSTYHALYPRSWTIYEGEPDPELRIVCRQISPVIPHNYKESSFPVSVFTFMLYNSGKTAADVSLLFTWANSVGGDSEFSGLHFNSTTMMEDGVHGILLHHKTAHGFPPVTFAVTAQETNGVHVSKCPRFVIYGNSQGITARDMWHEIKEHGSFDNLKSTGMSVPSEPGSSIGAAIAASVTIPPDAVRTVTFSLAWDCPEVCFRGARTYHRRYTKFYGTNGDAAARIAHDAILGHGLWESQIEAWQRPILEDKRLPEWYPITLFNELYYLNSGGTVWTDGSPPFQSLVSIGGRKFSLDSSRAGLKSIIDAHQNDTSVDILGRMTSMLEQIHAPIASNSAFGTNLLQEGEENIGQFLYLEGVEYQMWNTYDVHFYSSFALIMLFPKLELSVQRDFAAAVMMHDPSKMKLLHDGRWAHRKVLGAVPHDIGMNDPWFEVNAYNLHNTDTWKDLNPKFVLQVYRDVVATGDKSFAEAVWPSVYVAMAFMDQFDRDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALARKAGDKASEDYFWARFQKAKVVYQKLWNGSYLNYDSSGSSNSSSIQTDQLAGQWYARACGLLPILDKEKARSALEKVYNYNVLKVKDGKLGAVNGMLPDGRVDLSSMQSREIWSGVTYAVAATMIQEDLMDMAFHTASGIYEAAWSEKGFGYSFQTPEGWNTDGQYRSLCYMRPLAIWAMQWALSRPKLDTEEMTLEVKEDYQLPHHAGFAKVARHLRLLEEESSVSLIQSLFDYTCKKLGYN >Manes.08G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:648220:652740:-1 gene:Manes.08G003800.v8.1 transcript:Manes.08G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGKWFSSVRKALTPESKEKKDKKSNGSKKKWFGKEQEVDSTSTPLENVRALSPPPPASPIIPPQPEELKIIEATNDQNNHAYSVPVATAVEAAAEPAHLPVEATMEVQPVKVNKFAGKLNEEVAAIKIQTAFKGYMARRALRALRGLMRLKSLMQGPTVKRQATYTLRCMQTLARVQSQIHARRVRMSEENQALQRQLLQKHAQELEKLRMGEEWDDSLQSKEQIEANLLNKYEAAMRRERAMAYSYTHQQTWKNSSRSANPMFMNSGNPTWGWSWLERWMAAHPWESHSMTEKELNNGHSSVTNATRSMSGGEISKSYARYQLNSDKFSPIESEKARQTMSPRSPSTSSKPASSTVARKLKSASPRSSFGAPDDDSRSMVSMQSNRYRRHSIAGSSVRDDESLGSSSTVPSYMVPTESARAKSRLQSPVGAEKNGTTEKGTLVAVKKRLSYPLSPARPARQSGPRKGEGIPNSESVVAT >Manes.09G173700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36564609:36569156:-1 gene:Manes.09G173700.v8.1 transcript:Manes.09G173700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESMLSVLTEDLLVRINDNLSDNSDRKTWRLVCKEFLRVDSLTRKTLRVLRIEFLSALIRKYCNIDTLDLSVCPSIDDGMVSLLLSGESEGWPRWKVKSLNLSRATGLKFSGLEMLIRACPFLERLDVSYCCAFGDREAAAISGAGGLRELKMDKCLGVSDVGLAKIAVRCGRLERLSLKWCMEISDLGVDLLCKKCLELKYLDVSYLKVTSESLRSIASLPKLEVLAMVSCPLVDDLGVQFLENACPLLQEIDVSRCERLTSSSLISIIRGHSGLRQIRAAYCFSELSPALLHYLKDLKNMSSIIINGARVSDSIFMTMSRYCRPLAEIGLSKCIGVTNMGIIQLVSGCINLKIISLICCHSITDAAISAIADSCRNLVCLKLESCDMITEKGIEQLGSRCLLLEDLDLTDCSGIDDRALECLSRCSRLVCLKLGLCTNISDKGLFYIASNCSKLQELDLYRCTGIGDDGVAALSSGCKKLKKLNLSYCNNITDRGIKILGYLEELSDLELRGLGKITSVGLTAFAAKCGTLADLDLKHCWNIDDMGFCALAYYSRNLRQINLSYCNISDLALCMMMGNLTRLQDAKLVLLKNVTLMGFELALRACCVRIKKVKLDSALRYMLTEEMLGILHARGCTIRWD >Manes.09G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25689148:25690379:-1 gene:Manes.09G086200.v8.1 transcript:Manes.09G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAEVILLDFWPSPFGMRIRIALAEKGIKYEYREEDLKNKSDLLLQMNPVHKKIPVLIHNGKPVAESLVAVQYINEVWKDKAPLLPSDPYQRAQANFWADFVDKKIFELGRKTWATKGEEQEAAKQGFIESLKLLEGELGEKPFFGGENLGYVDVALMPFYSWFYTYEVCGNFSIEAECPKLIEWAKRCLAKESVFNSLPDHKKVYGFMLELKKRFGIE >Manes.15G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11451806:11457705:1 gene:Manes.15G141300.v8.1 transcript:Manes.15G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSVPFFGIRDEDHQNQMTQQHSSTPTSSSAPAPPPQKKKRNLPRTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPSTLSTIASHLYGGSNMSLGVSQVGTQISSMQEQSSDILRLGGGGRSGQFDHLLPPSMGSTSFRQPSQQMPSSAFFMQEPNQNYHEEHQSQQGLLQNKSFHHGLMQFADIHSTTSNNPPPPASNLFNLSFISSSSSTSNIANSNLPPSGLLLSTHFNGHNGASGDGEGSNIFTNNIISDYHHHHHQMTSGVPSLFSSSVQNDKMFPHMSATALLQKAAQMGSTTSTNSASLLRSFGSSSSSGIKSNRQLGAANFGGVFNENDNNLHDLMNSFATGNSSLFASGHGQENSYSGNPEEPKLHQGLNMSIGGSDRLTRDFLGVGQIVRSMSGGFSQREKHQQLQQPPQPGIEMSSSLDSERNITAQTSQSFGGIGDFQ >Manes.03G083000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20375001:20380434:-1 gene:Manes.03G083000.v8.1 transcript:Manes.03G083000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSPSSTPPEALEAACPREGVESKFGVGVGRLEWLDNENSWLVMGMDGQNHGSFKGVVASDKSIFSSRFKDVTGSPPPLDLSLVPELAMKLQDIPVNPCFALMLAFTEPLSLIPVKGFSFKNSEILSWAHCDSSKPGRSTTSERWVLHSTSKYARDIISQTGLRKPSAATLTKVAEDVLEEFKSTGLNGSQPFFRKVHRWGSAFPAASVAGEEKCLWDDKKKLGICGDFCVSPNVEGAILSGLAAAAKLIDAPSCL >Manes.03G083000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20375001:20380434:-1 gene:Manes.03G083000.v8.1 transcript:Manes.03G083000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVATKVAVIGSGISGAVCASTLARNGVSVTLFDSARGPGGRMSQRREIAEDGKELLFDHGAPFFSISNTDVLRLVHEWELKGVESKFGVGVGRLEWLDNENSWLVMGMDGQNHGSFKGVVASDKSIFSSRFKDVTGSPPPLDLSLVPELAMKLQDIPVNPCFALMLAFTEPLSLIPVKGFSFKNSEILSWAHCDSSKPGRSTTSERWVLHSTSKYARDIISQTGLRKPSAATLTKVAEDVLEEFKSTGLNGSQPFFRKVHRWGSAFPAASVAGEEKCLWDDKKKLGICGDFCVSPNVEGAILSGLAAAAKLIDAPSCL >Manes.03G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20375001:20380434:-1 gene:Manes.03G083000.v8.1 transcript:Manes.03G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVATKVAVIGSGISGAVCASTLARNGVSVTLFDSARGPGGRMSQRREIAEDGKELLFDHGAPFFSISNTDVLRLVHEWELKGLVAEWKENFGSFDYHSKKFIEIDQDGMSKRYVGVPGMNSICKALCNETGVESKFGVGVGRLEWLDNENSWLVMGMDGQNHGSFKGVVASDKSIFSSRFKDVTGSPPPLDLSLVPELAMKLQDIPVNPCFALMLAFTEPLSLIPVKGFSFKNSEILSWAHCDSSKPGRSTTSERWVLHSTSKYARDIISQTGLRKPSAATLTKVAEDVLEEFKSTGLNGSQPFFRKVHRCVDFVVSTGGCLSRGSAFPAASVAGEEKCLWDDKKKLGICGDFCVSPNVEGAILSGLAAAAKLIDAPSCL >Manes.03G083000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20374754:20380434:-1 gene:Manes.03G083000.v8.1 transcript:Manes.03G083000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVATKVAVIGSGISGAVCASTLARNGVSVTLFDSARGPGGRMSQRREIAEDGKELLFDHGAPFFSISNTDVLRLVHEWELKGLVAEWKENFGSFDYHSKKFIEIDQDGMSKRYVGVPGMNSICKALCNETGVESKFGVGVGRLEWLDNENSWLVMGMDGQNHGSFKGVVASDKSIFSSRFKDVTGSPPPLDLSLVPELAMKLQDIPVNPCFALMLAFTEPLSLIPVKGFSFKNSEILSWAHCDSSKPGRSTTSERWVLHSTSKYARDIISQTGLRKPSAATLTKVAEDVLEEFKSTGLNGSQPFFRKVHRWGSAFPAASVAGEEKCLWDDKKKLGICGDFCVSPNVEGAILSGLAAAAKLIDAPSCL >Manes.10G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1843701:1848569:-1 gene:Manes.10G018200.v8.1 transcript:Manes.10G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTAAASSLSSRVLNSTTQFSCFTAPRLQKSSFYIPSNSSLHFSAPFFGGDFSCRCTIKDTVTSIPKMATHDFELSNLTALSPLDGRYWGKVKDLSPYLSEYGLIYFRVLVEVKWLLKLSQIPEVSEVPNFSEEAQIYLQGLIDGFNMDDALEVKNIEKVTNHDVKAVEYFLKRKCQSHPEIAKVIFPVMDELITEICNMAEDNASTPMLSRTHGQPASPTTLGKEMAVFSARLNEQRHEISQVKIKGKFAGAVGNYNAHLVAYPGVNWPLIAKEFVESLGLCFNPYVTQIEPHDYMARLFHAIMIFNTALIDFDRDIWGYISLAYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANGGLSHLSEKLPISRWQRDLTDSTVLRNMGEGLGHSLLAYKSALQGIRKLQVCDASYFLGPWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVTKESIREFIEGLALPKETKSYLLQLTPHTYVGAAIELGKSVNATMNLVNGVRAL >Manes.16G057626.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:16618885:16619745:-1 gene:Manes.16G057626.v8.1 transcript:Manes.16G057626.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKACNYQDADLGWIWVCFDILCVKLHIIMATDQLVPTQIEVESKRFFWTVVYASNTSMERRSLWDRIEYISSGMERICKRLGGQEPMNPDENFKNCIENVGLVEVHMKGYFLTWTNNQAEENRIWQRLDRCMAMPANISYHSSLIIRLLGVVNSRNVPFRFFNMWVSHPEYDGIMARVWQEERRGNVNIKLQCKLKELKRKLKRLNRREFSDISGKVDNCRQVMEQLQESLQSNLMNPVLLDEERAVMSYFRNLLK >Manes.10G092600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23866605:23869964:1 gene:Manes.10G092600.v8.1 transcript:Manes.10G092600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLASIASEEINLVYGFKNDLRKLQTTLSTIKAILIDADEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRVVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIIRKEVGVDMRIKSSWRETDSFVLKSEIIGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSKEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNRIWSQLRKYLMVGAIGSRILVTTRSTRVALAMGVDCPYALEGLTEDQSWDLFEMLAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRTIGAIMQLRSSESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYEFDEEDLIQLWMAQGYVQSRSQSKDENLEEIGEGYFNELLFRSFFQKEKYCYKMHDLINDLAQSMAGESCFVLDDNTKYVPNRIQHVFSGNLSFEECFKQLKNRGLRTLYCHYYGDKLSLYLDSIFLNCRSIRALSLRCYINKLPDSIGKLKHLRYLGLVGNREISSLPNSICNLYNLQTLILQECWGFQKLPTDMRKLICLRQLIICECHSLEFMPLGLGRLTNLQTLSTFVVGSDEGRRCSSLNELNSLNQLRGTISIQGLENVKNAALESNQVNLKEKKHLQSLSLEWRDSDGGNSELLLDNLHPHPKLKHLDVHCYGGLRFSNWLSSITNLVNITLYECPKCEHLPPLDNLPHLESLDLTLFDSLEYISDEDNLFSALSASTTTFFPSLKFLNINVCRNLKGWWRTCMEAKMVPQFPCLSHLMISYCPNLTLMPTFPSLDMRLDLCDVSIRPLQRTLQMAAMASALPSASSSVTAPFSKLKSLSLHGIENLASLPGEWMQNLSFLEELFVGHGMEISDEDERGIFKWRCLVSLRRLTLSNLSNLVSLPRELQYVTTLQSLSIRRCSNLRALPDWIGNLTALENLNIDDCPELESLSRGIRQITTLQRLSIRGCPRLSESCGHDTAADWPNISHIPNVRIDERDIQKEGRYLL >Manes.18G066000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5952701:5954612:1 gene:Manes.18G066000.v8.1 transcript:Manes.18G066000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLTFLEKPHAVCIPFPAQGHINPMLKLAKLLHHKGFHITFVNTEYNHKRLLKARGPDSLNGLPTFHFLTIPDGLPPTDGDVTQDIPSLCQYTRKTCLASFRNLLSKLNHTSSSNVPPVSCIVSDGVMSFTLDAAQELGIPEILFWTTSACGFMGYLHYHQLIKKGLTPFTDESYLTNGYLDTVIDWIPGMKDIRLRDLPSFIRTTDPEDVMLDFFQSETERCQKASAIILNTFDALEHDVLIALSTLLPPVYSIGPLHLLLNNVKDKDLKLIESNLWTEDSECLEWLDSKGPNSVVYVNFGSITVMTTEQLVEFAWGLANSNITFFWVIRPDLVSGDNAILPPEFVTVTKERGICSSYCAQEKVLSRPSIGGFLTHSGWNSTLESISAGVPLLCWPFFAEQQTNCRYSCKEWGIGMEINSDVKRDEVERLVIELMEGEKGKEMKKKALEWKKMAEEATASLEGSSFQNFEKVIKAHLSSSRDQR >Manes.16G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32032507:32036744:-1 gene:Manes.16G115400.v8.1 transcript:Manes.16G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITKLHIYPQGIEHFSFGEQSRNGLLRQGFLWSGGVHRGRHGLCVQRCRSFKSDDGGEVEQKQHKSEKSCGTVMENENNLNKRDGFLSTSKDAVSGYGRSDSESSDKYTKAVAKLEEVLSSIAIQIGRYIVTMMSTGVVLAVGFQLSGGDSQMNTLIWYSWLGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVNMTVRELEENLREGMITTSGSSRKNLARAKVVGISCDVCEPSDVQKLANFAVNEFGSIDIWINNAGTNKGFRPLLQFTDEDIKQIVSTNLVGSILCTREAMRVMVNQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSTLKNKQMFNIICELPETVARTLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDDQGRALYAAEADRLRNWAENRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTGSTFPGT >Manes.16G075000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27788424:27797373:-1 gene:Manes.16G075000.v8.1 transcript:Manes.16G075000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYRSLSRSSISLLKSAVNKPTLKPNPIPSSQLLSRSFLTSPRSVPQLSALQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQGMLCSANPGV >Manes.16G075000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27788397:27797395:-1 gene:Manes.16G075000.v8.1 transcript:Manes.16G075000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYRSLSRSSISLLKSAVNKPTLKPNPIPSSQLLSRSFLTSPRSVPQLSALQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQGMLCSANPGV >Manes.16G075000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27788575:27797385:-1 gene:Manes.16G075000.v8.1 transcript:Manes.16G075000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYRSLSRSSISLLKSAVNKPTLKPNPIPSSQLLSRSFLTSPRSVPQLSALQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQELGLSVPR >Manes.16G075000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27788397:27797395:-1 gene:Manes.16G075000.v8.1 transcript:Manes.16G075000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYRSLSRSSISLLKSAVNKPTLKPNPIPSSQLLSRSFLTSPRSVPQLSALQSLLPLHSAVSSARLTSCLGIDSRSSRSLSQGMLCSANPGV >Manes.09G056451.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9079857:9084708:1 gene:Manes.09G056451.v8.1 transcript:Manes.09G056451.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGRRCDYLKSLVNCLGFEKRKMEQETGLTKPAVMIACAAMTLLYVAILYAPSLILRLPPPSSLKEFMIRRFVCAIISSIVSIILCALILPMRSREAANLFGVYGIRFDHIWQAVVIPLSLTSLMYAGSFCLKSLLLMESWKEHLNEDGGLSFDCVKHLLQNFIDWMSSAASNVLAWRNYVVAPLTEELVFRACMIPLLLCGGFKIYSVIFLCPVLFSLGLQLGYTVIFGSYASFLFIRTGHLLAPLVAHVFCNFMGLPVLFARSKGIVSLAFVAGVVSFLWLLFPVTRPDLYNDRTNNCRCWHGYCSWN >Manes.09G056451.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9079857:9084708:1 gene:Manes.09G056451.v8.1 transcript:Manes.09G056451.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGSFCLKSLLLMESWKEHLNEDGGLSFDCVKHLLQNFIDWMSSAASNVLAWRNYVVAPLTEELVFRACMIPLLLCGGFKIYSVIFLCPVLFSLAHLNHWMETYIRHNYSMLKASMAVGLQLGYTVIFGSYASFLFIRTGHLLAPLVAHVFCNFMGLPVLFARSKGIVSLAFVAGVVSFLWLLFPVTRPDLYNDRTNNCRCWHGYCSWN >Manes.09G056451.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9079857:9084708:1 gene:Manes.09G056451.v8.1 transcript:Manes.09G056451.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGRRCDYLKSLVNCLGFEKRKMEQETGLTKPAVMIACAAMTLLYVAILYAPSLILRLPPPSSLKEFMIRRFVCAIISSIVSIILCALILPMRSREAANLFGVYGIRFDHIWQAVVIPLSLTSLMYAGSFCLKSLLLMESWKEHLNEDGGLSFDCVKHLLQNFIDWMSSAASNVLAWRNYVVAPLTEELVFRACMIPLLLCGGFKIYSVIFLCPVLFSLAHLNHWMETYIRHNYSMLKASMAVGLQLGYTVIFGSYASFLFIRTGKSFLVVSSRYICFTKFLLSRVHY >Manes.09G056451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9079857:9084708:1 gene:Manes.09G056451.v8.1 transcript:Manes.09G056451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGRRCDYLKSLVNCLGFEKRKMEQETGLTKPAVMIACAAMTLLYVAILYAPSLILRLPPPSSLKEFMIRRFVCAIISSIVSIILCALILPMRSREAANLFGVYGIRFDHIWQAVVIPLSLTSLMYAGSFCLKSLLLMESWKEHLNEDGGLSFDCVKHLLQNFIDWMSSAASNVLAWRNYVVAPLTEELVFRACMIPLLLCGGFKIYSVIFLCPVLFSLAHLNHWMETYIRHNYSMLKASMAVGLQLGYTVIFGSYASFLFIRTGHLLAPLVAHVFCNFMGLPVLFARSKGIVSLAFVAGVVSFLWLLFPVTRPDLYNDRTNNCRCWHGYCSWN >Manes.09G056451.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9079857:9084708:1 gene:Manes.09G056451.v8.1 transcript:Manes.09G056451.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGRRCDYLKSLVNCLGFEKRKMEQETGLTKPAVMIACAAMTLLYVAILYAPSLILRLPPPSSLKEFMIRRFVCAIISSIVSIILCALILPMRSREAANLFGVYGIRFDHIWQAVVIPLSLTSLMYAGSFCLKSLLLMESWKEHLNEDGGLSFDCVKHLLQNFIDWMSSAASNVLAWRNYVVAPLTEELVFRACMIPLLLCGGFKIYSVIFLCPVLFSLGLQLGYTVIFGSYASFLFIRTGHLLAPLVAHVFCNFMGLPVLFARSKGM >Manes.09G056451.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9079857:9084708:1 gene:Manes.09G056451.v8.1 transcript:Manes.09G056451.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGRRCDYLKSLVNCLGFEKRKMEQETGLTKPAVMIACAAMTLLYVAILYAPSLILRLPPPSSLKEFMIRRFVCAIISSIVSIILCALILPMRSREAANLFGVYGIRFDHIWQAVVIPLSLTSLMYAGSFCLKSLLLMESWKEHLNEDGGLSFDCVKHLLQNFIDWMSSAASNVLAWRNYVVAPLTEELVFRACMIPLLLCGGFKIYSVIFLCPVLFSLAHLNHWMETYIRHNYSMLKASMAVGLQLGYTVIFGSYASFLFIRTGHLLAPLVAHVFCNFMGLPVLFARSKGM >Manes.09G056451.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9079857:9084708:1 gene:Manes.09G056451.v8.1 transcript:Manes.09G056451.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGRRCDYLKSLVNCLGFEKRKMEQETGLTKPAVMIACAAMTLLYVAILYAPSLILRLPPPSSLKEFMIRRFVCAIISSIVSIILCALILPMRSREAANLFGVYGIRFDHIWQAVVIPLSLTSLMYAGSFCLKSLLLMESWKEHLNEDGGLSFDCVKHLLQNFIDWMSSAASNVLAWRNYVVAPLTEELVFRACMIPLLLCGGFKIYSVIFLCPVLFSLAHLNHWMETYIRHNYSMLKASMAVGLQLGYTVIFGSYASFLFIRTGKSFLVVSSRYICFTKFLLSRVHY >Manes.15G114300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9078577:9084202:1 gene:Manes.15G114300.v8.1 transcript:Manes.15G114300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVLEFDIGLGGASGGVDDDAVDIEHPVDDEDMPDTPTTVSFTGAGSGEIFLPEGDLLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLLLDYLRQMHNDNPNFFYAVQGDEEQYTGNVFWADPKARTNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINETEASFVWLFNTWLMAMSGRHPVSITTDHDAVIRSAIMQVFPDTRHRFCKWHIFKKCQEKLSHVFLKHPNFEAEFHKCVNLTESIEEFESCWLSLLDRYDLQDHEWLQTIYSARRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTNLNQFFKLYEKSLESRNEKEVKADYDTMNTSPVLKTPSPMEKQASELYTRKLFMRFQEELVGTLTFMASKAEDDGENITYQVAKFGEDHKAYYVKFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNARSSVILEERSADVYTNYLESHTVRYNTLRHEAFKFVDEGCKSLDTYNAAVTALQEAAKRVALATKNERRGSTVNGRGRGELASNGSRANYSSSNHLGSSIQHLSEDDMDKKVQELANELEYANRKCEVYRANLLSVLKDIEDHKLQLSIKVQNIKISMKDSI >Manes.15G114300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9078577:9084202:1 gene:Manes.15G114300.v8.1 transcript:Manes.15G114300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVLEFDIGLGGASGGVDDDAVDIEHPVDDEDMPDTPTTVSFTGAGSGEIFLPEGDLLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLLLDYLRQMHNDNPNFFYAVQGDEEQYTGNVFWADPKARTNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINETEASFVWLFNTWLMAMSGRHPVSITTDHDAVIRSAIMQVFPDTRHRFCKWHIFKKCQEKLSHVFLKHPNFEAEFHKCVNLTESIEEFESCWLSLLDRYDLQDHEWLQTIYSARRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTNLNQFFKLYEKSLESRNEKEVKADYDTMNTSPVLKTPSPMEKQASELYTRKLFMRFQEELVGTLTFMASKAEDDGENITYQVAKFGEDHKAYYVKFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNARSSVILEERSADVYTNYLESHTVRYNTLRHEAFKFVDEGCKSLDTYNAAVTALQEAAKRVALATKNERRGSTVNGRGRGELASNGSRANYSSSNHLGSSIQHLSECATERFHKYSKCLAFTSETNSDASVFLFHSQQSCW >Manes.15G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9078577:9084202:1 gene:Manes.15G114300.v8.1 transcript:Manes.15G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVLEFDIGLGGASGGVDDDAVDIEHPVDDEDMPDTPTTVSFTGAGSGEIFLPEGDLLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLLLDYLRQMHNDNPNFFYAVQGDEEQYTGNVFWADPKARTNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINETEASFVWLFNTWLMAMSGRHPVSITTDHDAVIRSAIMQVFPDTRHRFCKWHIFKKCQEKLSHVFLKHPNFEAEFHKCVNLTESIEEFESCWLSLLDRYDLQDHEWLQTIYSARRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGYVNASTNLNQFFKLYEKSLESRNEKEVKADYDTMNTSPVLKTPSPMEKQASELYTRKLFMRFQEELVGTLTFMASKAEDDGENITYQVAKFGEDHKAYYVKFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNARSSVILEERSADVYTNYLESHTVRYNTLRHEAFKFVDEGCKSLDTYNAAVTALQEAAKRVALATKNERRGSTVNGRGRGELASNGSRANYSSSNHLGSSIQHLSECATERFHKYSKCLAFTSETNSDASVFLFHSQQSCWMIWTRRSKNLPMNWSMQIESVKFTELTYFQS >Manes.08G055400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6046254:6046837:-1 gene:Manes.08G055400.v8.1 transcript:Manes.08G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYYFFPTDFFYPRPQSVRVDTAQKSALPLQIQKRDISDDLKHPTSLSLVLSANNNANKAAAAIIKSRST >Manes.10G101177.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24941831:24943130:1 gene:Manes.10G101177.v8.1 transcript:Manes.10G101177.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNCFILTIVIALSFSSNASLAARHLLQSSPLPSVPILPNPTVPSLPKPTLPPLPSLPTLPQPTMPTTQPLFPNPTALPPLPSLPSMPTLPKVSLPPLPSMPSIPTIPSIPFGTPPPGN >Manes.04G070000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26882779:26885164:-1 gene:Manes.04G070000.v8.1 transcript:Manes.04G070000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYLRYQLTLVTTLNAPCTWNHQRRRHSHCQQSPVPFLSQPPGTLKKLSSKWMFECSALSKQGERFFSSLANARATGDTSATNRLIKKFVAASPKSVALDALSHLLSPHSSYHHLSSLAFPLYLKITDTQWFDWNPKLVANLAALLDKQGQQKELATLISDSTSKLQLRERDLALFYCNLVESHSKQNSIGGFDDCLARLTELVHNSNSVYVKRQGYKSMISGLCEMGRPREAEELIDEMRGKGVKPSVFEFRCIVHAHGRLGLFEEMQRSLVQMESAGFEIDTICSNMILSSYGVHNALPEMVLFLKKMKDLAIPFSLRTFNSVLNSCPTFMSVIQNSNAYPISIQELIEILSGDEAMLVEALAGFSVLEETMKWNALEAKLDLHGMHLGSAYLIMLLWVEEMRKRLSSGNYVIPAEITVVCGSGKHSSIRGESPVKQMVKEMMVKLRSPMRIDRKNIGCFIAKGAVVKEWLC >Manes.04G070000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26882779:26885164:-1 gene:Manes.04G070000.v8.1 transcript:Manes.04G070000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYLRYQLTLVTTLNAPCTWNHQRRRHSHCQQSPVPFLSQPPGTLKKLSSKWMFECSALSKQGERFFSSLANARATGDTSATNRLIKKFVAASPKSVALDALSHLLSPHSSYHHLSSLAFPLYLKITDTQWFDWNPKLVANLAALLDKQGQQKELATLISDSTSKLQLRERDLALFYCNLVESHSKQNSIGGFDDCLARLTELVHNSNSVYVKRQGYKSMISGLCEMGRPREAEELIDEMRGKGVKPSVFEFRCIVHAHGRLGLFEEMQRSLVQMESAGFEIDTICSNMILSSYGVHNALPEMVLFLKKMKDLAIPFSLRTFNSVLNSCPTFMSVIQNSNAYPISIQELIEILSGDEAMLVEALAGFSVLEETMKWNALEAKLDLHGMHLGSAYLIMLLWVEEMRKRLSSGNYVIPAEITVVCGSGKHSSIRGESPVKQMVKEMMVKLRSPMRIDRKNIGCFIAKGAVVKEWLC >Manes.02G062400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4798564:4799907:-1 gene:Manes.02G062400.v8.1 transcript:Manes.02G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSNSTASCGGVGFWHWNSPMPFLFGGLALVLGLITVALIILACSYRRSLSNSATQAHDEEKPVKQVEDSEPKIVVIMAGDENPKYLAKPKPISCNCYNEERV >Manes.14G136856.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11948027:11952120:1 gene:Manes.14G136856.v8.1 transcript:Manes.14G136856.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMKVCFMVLAMIMVLLLQGIWCSADCWETDRIALLQLQSHLNYSLQHDPNIPFYYDYSTSFSKIDVIKCCDWERVRCSATTGRIIQLNLHSIRDFSAGMWYLNASLFLPFQHLNHLDLNYNQITGCLKNEGFERLSSLENLEFLDLGSNNFNTDILSSLTHLSSLKYLYLYGNHMKGRINIEGGEELLNMSNLEFLDMSMNYLENDVLSSLKGLSSLKGLSSLKTLWMSYNQLKGPFDLKELDTMSNLKELSLDGNNITKFIGSRGMKNLRALYLITIKGSSMLLQSLGAFTNLKTLYMSGSDLKGTRFVQYSNLTNLRKLYLDGSYVDGNFLQSLEALPSLKILSMRNCGFSGIIPVNQDSNLTNLRELYLDYSSVDENLLQYLKALSSLETLSMQGCGFSGIITMNQGICKLKHLQILDISYNDLSGNLPLCLANLTSLRQLDLSFNHFIGNISSSPLEGLTNLEYLSVSGNLFQIPISLSSFFNHSKLKYMESRGNKIFAETDDQYLNSRFQLERLVLSSGGYCGAFPKFLYHQHNLQFVDLSHNQMREGFPSWLLQNNTKLEELYLINNSLSGPLKLPIHSHMNLSLLDISDNFFQGYITPEIGTYLPKLSHLNMLGNGFSGSIPSSFGNMSLLRYLDLSNNRLSGTIPEDLTIGCVSLRELILSNNSLQGQIFSEISNLRFLYELQLDGNQFTGSIPHSLSNSSFLQVLDLRHNNLYGRIPRWPGNMYFLRVLDLSMNNISGSLPSNLFSSNIREIYLSRNELQ >Manes.06G095800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038562:23044712:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038742:23044712:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038742:23044712:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038742:23044712:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038428:23043602:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038742:23044713:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038428:23044712:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038742:23043467:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038562:23044712:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G095800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23038562:23044712:-1 gene:Manes.06G095800.v8.1 transcript:Manes.06G095800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWIFGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLSNTRIQIQAYVFDVIRASVPKLDLDDAFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAEAKYLAGLGIARQRQAIVDGLRDSVLGFSENVPGTTAKDIMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVASQIRDGLLQASAHTSG >Manes.06G089600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22553461:22555160:-1 gene:Manes.06G089600.v8.1 transcript:Manes.06G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESFTPQKRASGGGALPTTTANGRAGRATMPRGRQIHKTFNNIKITILCGFVTILVLRGTIGIGNLTSSDAEAINQNLIEETNRILAEIRSDNDPTDPDELPEADINPNVTYTLGPKMSIWDEERKVWLNQNPEFPNFVNGKPRILLLTGSPPNPCDNPIGDHYLLKAIKNKIDYCRIHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAMFTDMVFEIPLPKYDKHNLVIHGYPDLLFEQKSWIALNTGSFLFRNCKWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKDQWMDKVYIENQYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVEQCLRSMERAFNFADNQVLKLYGFGHRGLLSPKIKRIRNETATPLDYVDQFDIRRPADGNSGSES >Manes.12G003900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:553642:554852:-1 gene:Manes.12G003900.v8.1 transcript:Manes.12G003900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFPKSLAFLQVPALSIRFLLFLTTLFPFTASFQPSIYDHLSQNGLPIGLLPKGITDFLVEPTTGHFQINLTQPCNAQFENQLHYDFNISGLLSFAKIGELSGVSQQELFLWFPVKGIRVDVPSSGLIYFDVGVVDKQFSLSLFENPIECTAVDPNDGPLAFRGSEDSKNQSRKLQFEMAQGDLTATS >Manes.12G003900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:553389:554854:-1 gene:Manes.12G003900.v8.1 transcript:Manes.12G003900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFPKSLAFLQVPALSIRFLLFLTTLFPFTASFQPSIYDHLSQNGLPIGLLPKGITDFLVEPTTGHFQINLTQPCNAQFENQLHYDFNISGLLSFAKIGELSGVSQQELFLWFPVKGIRVDVPSSGLIYFDVGVVDKQFSLSLFENPIECTAVDPNDGPLAFRGSEDSKNQSRKLQFEMAQGDLTATS >Manes.12G003900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:552601:554835:-1 gene:Manes.12G003900.v8.1 transcript:Manes.12G003900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFPKSLAFLQVPALSIRFLLFLTTLFPFTASFQPSIYDHLSQNGLPIGLLPKGITDFLVEPTTGHFQINLTQPCNAQFENQLHYDFNISGLLSFAKIGELSGVSQQELFLWFPVKGIRVDVPSSGLIYFDVGVVDKQFSLSLFENPIECTAVDPNDGPLAFRGSEDSKNQSRKLQFEMAQGDLTATS >Manes.12G003900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:552601:554835:-1 gene:Manes.12G003900.v8.1 transcript:Manes.12G003900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFPKSLAFLQVPALSIRFLLFLTTLFPFTASFQPSIYDHLSQNGLPIGLLPKGITDFLVEPTTGHFQINLTQPCNAQFENQLHYDFNISGLLSFAKIGELSGVSQQELFLWFPVKGIRVDVPSSGLIYFDVGVVDKQFSLSLFENPIECTAVDPNDGPLAFRGSEDSKNQSRKLQFEMAQGDLTATS >Manes.12G003900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:553389:554853:-1 gene:Manes.12G003900.v8.1 transcript:Manes.12G003900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFPKSLAFLQVPALSIRFLLFLTTLFPFTASFQPSIYDHLSQNGLPIGLLPKGITDFLVEPTTGHFQINLTQPCNAQFENQLHYDFNISGLLSFAKIGELSGVSQQELFLWFPVKGIRVDVPSSGLIYFDVGVVDKQFSLSLFENPIECTAVDPNDGPLAFRGSEDSKNQSRKLQFEMAQGDLTATS >Manes.12G003900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:552601:554851:-1 gene:Manes.12G003900.v8.1 transcript:Manes.12G003900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFPKSLAFLQVPALSIRFLLFLTTLFPFTASFQPSIYDHLSQNGLPIGLLPKGITDFLVEPTTGHFQINLTQPCNAQFENQLHYDFNISGLLSFAKIGELSGVSQQELFLWFPVKGIRVDVPSSGLIYFDVGVVDKQFSLSLFENPIECTAVDPNDGPLAFRGSEDSKNQSRKLQFEMAQGDLTATS >Manes.17G015165.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7986187:7987648:1 gene:Manes.17G015165.v8.1 transcript:Manes.17G015165.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKDKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.12G000400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:216308:225244:1 gene:Manes.12G000400.v8.1 transcript:Manes.12G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRMDLNDELLTSDGHHRPDDSSVHTPKVFDRYFSSSSSSSDDESQLSNSSMAATSKRLDYMIQFLDRKLSTSSYSNRNNSVADPADNDDYNSNRNKSSALPEFIGKGGGTGIFRLPVRGAVHPGRPPCLEVRPHPLRESQIGCSLRTLTTTETQLWTGSDNGAVQVWQFKDLYGGCGDSAPHKESVALGSGVMCVVGDEGSRVVWSGHRDGKVRCWKMDFCSDRFREVLSWVAHSGPILTMIITKYGDLWSGAEGGALKIWPWEAIEKSFSFTEEERHMAALLVERSYVDPRSQVTVNGFCNLPNSDIRYLLSDNSRAKVWSAGYLSFALWDAHTRELLKVFNIDGQIERMDMSLGHDFTFEDEVKMKIVAGPKKDKIQSSFGFFQRSRNAIMGAADAVRRVAAKGGFVDDSRRTEALIVTIDGMIWTGCANGLLVQWDGNGNRLQDFRYHSSAVQCFCTFGLRIWVGYASGTIQVLDLEGNLVGGWVAHSSPIIKMAVGDGYVFTLANHGGIRGWSVLSPGPVDNVLRSELAGKDFVYTRIENLKILAGTWNVAQGRTSRDSLISWLGSAAGDVGIVVVGLQEVEMGAGVLAMSAAKETVGLEGSSVGQWWLDMIDKTLDEGSTFDRVGSRQLAGLLIAVWVRNNLKAHVGDVDAAAVPCGFGRAIGNKGAVGLRIRVYNRIMCFVNCHFAAHLEAVNRRNADFDHVYRTMTFGRPSNLFNAAAAGSSSVVQMLRTTNVMGANTAEGMPELSEADMVIFLGDFNYRLDGISYDEARDFISQRCFDWLRERDQLRAEMEAGNVFQGMREAVIRFPPTYKFDKHQPGLAGYDSGEKKRVPAWCDRILYRDSQTNSSSLGSECNLECPVVSLISQYDACMDVTDSDHKPVRCIFSVDIARVDESVRRREFGDIMKSNEEIRHRLKEQSEIPETIVSTNNIILQNQDTTILRITNKCGKNNALFEIICEGQSTINEDGQASDHHPRGSFGFPRWLQVIPAAGVIKPDHVAEISVRLEDFPTIEEFVDGVAQNSWCEDTRDKEAILVIKVYGTNNTTDSRNHRIRVRHCCSSRTVRMYPKGNHSRQVQGNLLHRSDYQQLSSSYDVVDHLHNLRSP >Manes.05G172801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28766152:28766654:1 gene:Manes.05G172801.v8.1 transcript:Manes.05G172801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLNVAKGATGSVNFTEEQLKKIFMQFDENRDNLLSKDEIKKAFNYLGAMIPEFRASRGIKKADTNGDGMVDLSELNDLISYAFKLGYSVK >Manes.03G019200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1620091:1621154:1 gene:Manes.03G019200.v8.1 transcript:Manes.03G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSSGVISQDWEPVVLRKSKPKAQDLRDRKAVNQAFRSGVPVQTIKKFDACSNKKAAPVVNARKLEEETEPAALEKVSAEVRQAIQKARLEKKMSQSELAKLINEQPKVVQEYENGKAVPNQAVLAKMEKVLGVKLRGKIGR >Manes.04G075824.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29085015:29085611:-1 gene:Manes.04G075824.v8.1 transcript:Manes.04G075824.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVLLRAIFHPFNITSAATDYDTFYLILIRYRFTLHGLWPITLDGKSPNYRKCKRIPLMLIRYMYRHILLIHSQIIHDLNNLWPSLEKNSANTKFWKHEWEHHGRCTTWEQFRYFQTSIERVKHANTLEMLKASDIIPNNSLYKIVDIM >Manes.14G052700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483603:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKITLVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467425:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKITLVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEHEQENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483603:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKITLVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483605:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWLIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4466732:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKITLVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYE >Manes.14G052700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467425:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEHEQENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483605:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4466732:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYE >Manes.14G052700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4466732:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWLIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYE >Manes.14G052700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4466732:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKITLVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYE >Manes.14G052700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467425:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKITLVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEHEQENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483605:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKITLVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4466732:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYE >Manes.14G052700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWLIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483605:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKITLVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.14G052700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4466732:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGFLGGFSLSHSLYTGSTCFAACRLKDLQNMAAFSTISLFPNSLCGRPSPRKFSISYSFRSPMTTGNHGSKASRKRSGRLEGAGKSMEDSVKRKLEQFYEGSNGPPIRIIPIGGLGEIGMNCMLVGNHDRYILIDAGVMFPDYDELGVQKIIPDTTFIKRWSHKIEAVVITHGHEDHIGALPWVIPALDSRTPIYASSFTMELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVDDIQVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYE >Manes.14G052700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4467012:4483602:-1 gene:Manes.14G052700.v8.1 transcript:Manes.14G052700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKKRLKENGIFVYSRLKVFRTRKKFIAGPFEVEPIRVTHSIPDCCGLVLRCDDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTISESVVADALMRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSFKLSKEDLILYSAKVIPGNESRVMKMLNRISDIGSTIIMGKNELLHTSGHGYRGELEEILRIVKPQHFLPIHGELLFLKEHELLGKSTGIGHTTVIKNGEMLGVSHLRNRRVISNGFISLGKENLQLMYSDGDKAFGTSAELCVDERLRIATDGIIVVSMEILRPQNSESLIENTIKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLSHMEKMVSEVLRKMVRKYSGKRPEVIAIAMENPAGVLSDEIKTRLSGNSHIGVGISSLKKVVDGYPKKNRSNKTQEESNGYMHLDNTSQRNLEVGRLPVNEETTTSTSSLADSLPSDSEDQDDFWKSFVSPSSPVDNLVPQQENVNELKEDGSMSSDDNSSTVQNSQSKPSKRVKRNKWKPEEVKRLIKMRGDLHDRFHVVKGRMALWEEISENLIAEGFNRTPGQCKSLWASLVQKYEENASDEKSRKVWPYFEDMDKILSGFEATSTK >Manes.08G080400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333405:26343828:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.08G080400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333399:26341971:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGEIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.08G080400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333399:26341971:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.08G080400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333405:26343828:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGEIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.08G080400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333475:26345823:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.08G080400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333475:26345823:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGEIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.08G080400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333405:26343828:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISVAYVLENVELGSGKLITWGSTEDEGQSYTTSGKHGEIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.08G080400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333621:26339742:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGEIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDSADTLGCSKFGK >Manes.08G080400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333475:26345823:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGEIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.08G080400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26333475:26345823:1 gene:Manes.08G080400.v8.1 transcript:Manes.08G080400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDEREEDVKMEECKETAVYMWGYLPGVSPEKSPIFSPVQVPFPASSIHGGDSWKDVCGGGCGFAMAISGSGKLITWGSTEDEGQSYTTSGKHGEIPEPFPLPHEASVVKVAAGWAHCVSVTVTGEVYTWGWKECVPSVKSIRESAAAGSFQKDNNGKQSALPTEQASPRSQGSSSNGGAVSQSDKKAGEEVNKKRKVSAVKEEFENLSSGDDFFTVSPSLVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCFDTSTAGKDWSLIVPQGSLNSSAQASKFPGSYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTCIPTLSSIQVESVAAGLWHTVCITANRRVYAFGGNQFGQLGNGADQDETRPTLLDAPSLESKRAKMVSCGARHSAILTEDGQVYSWGWNKYGQLGLGDSIDRNIPSRVPIEGCQPKNVECGWWHTLLLAETTV >Manes.01G087300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28880353:28887277:1 gene:Manes.01G087300.v8.1 transcript:Manes.01G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQFPHSQLVPFGSLSVTSTDSQSQKAWYLLSLLLSLGHPTLPLDLASRCTLFRATPDLIISLCSIPNSPITLITSSSGIHVTISLIGLFAFQRFVSNVNLIDAFLTPIRTQVRGTGMLKDVVRVYFRKRKRIGFDSGEVDENYGSVCLPSKKIRNAYRKVHFQMAQGISRSINSGPSFGSIKINNIILPPGLFSKSMGNSRIFNLRNDEQVEDEMNASIMKKEPKGIMVPYLDEEYVLLRKMLDDQAVSQEAKVDEVDLKSRTDADATLCREDLKQITLLPAVCANANAATDAANDCPIEIVGIKCKEGELFIDSGKLKGEAANSLNSNDIAILGTPIEIDMNELPPLDEERLTTHAKNQDIIPMDEHSAVQKQLLKPSAKMKLSFGEAMTPPRPQAVDQYLEGSKAVRTPKGNREVKRNLGAITITQKSKQKRDNMHIKDGTKDSVSFPDMDQVETKGLPGFESYIVEEEEGSGGYGTVYRARRKSDGTTVAIKCPHANANKHHVSNELRMLERFGGKNFVIKYEGCIKSGNSDCFVLEHVEHDRPEVLKKEINIFQLQWYGYCMFRALASLHKQGIVHRDVKPGNFLFSRKANKGYLIDFNLAMDLHQKYRATNKLKTGNDVCLNYVALPNNKSVPPTKNRRAPGAKSLDPLNKEAIKSMKTTLEPKIQKKRAVGRTKVQNDLAGWSVMKSQGADGSGITSVKDGTSTRTPSVERPREPLPCQGRKELISLLQEAMQSPNHEGSSAPASMRKRIAAPPRKVDERFIYLTPMTLHSTGIASPGACLIKNKDGKHKKEGPCVGTKGFRAPEVLFRSPHQGPKVDIWSAGVTLLYLMIGRTPFYGDPEQNIKDIAKLRGSEDLWEIAKLHDRESSFPAELYKIESFPSATLREWSKLNTKRRDFLDIIPSSFIDLVDKCLTVNPRLRISAEDALKHEFFAPCHESLRKHRLLRQGLSFDSGTNLPSHGQRHKGMEKECL >Manes.01G087300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28880430:28887173:1 gene:Manes.01G087300.v8.1 transcript:Manes.01G087300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQFPHSQLVPFGSLSVTSTDSQSQKAWYLLSLLLSLGHPTLPLDLASRCTLFRATPDLIISLCSIPNSPITLITSSSGIHVTISLIGLFAFQRFVSNVNLIDAFLTPIRTQVRGTGMLKDVVRVYFRKRKRIGFDSGEVDENYGSVCLPSKKIRNAYRKVHFQMAQGISRSINSGPSFGSIKINNIILPPGLFSKSMGNSRIFNLRNDEQVEDEMNASIMKKEPKGIMVPYLDEEYVLLRKMLDDQAVSQEAKVDEVDLKSRTDADATLCREDLKQITLLPAVCANANAATDAANDCPIEIVGIKCKEGELFIDSGKLKGEAANSLNSNDIAILGTPIEIDMNELPPLDEERLTTHAKNQDIIPMDEHSAVQKQLLKPSAKMKLSFGEAMTPPRPQAVDQYLEGSKAVRTPKGNREVKRNLGAITITQKSKQKRDNMHIKDGTKDSVSFPDMDQVETKGLPGFESYIVEEEEGSGGYGTVYRARRKSDGTTVAIKCPHANANKHHVSNELRMLERFGGKNFVIKYEGCIKSGNSDCFVLEHVEHDRPEVLKKEINIFQLQWYGYCMFRALASLHKQGIVHRDVKPGNFLFSRKANKGYLIDFNLAMDLHQKYRATNKLKTGNDVCLNYVALPNNKSVPPTKNRRAPGAKSLDPLNKEAIKSMKTTLEPKIQKKRAVGRTKVQNDLAGWSVMKSQGADGSGITSVKDGTSTRTPSVERPREPLPCQGRKELISLLQEAMQSPNHEGSSAPASMRKRIAAPPRKVDERFIYLTPMTLHSTGIASPGACLIKNKEDGKHKKEGPCVGTKGFRAPEVLFRSPHQGPKVDIWSAGVTLLYLMIGRTPFYGDPEQNIKDIAKLRGSEDLWEIAKLHDRESSFPAELYKIESFPSATLREWSKLNTKRRDFLDIIPSSFIDLVDKCLTVNPRLRISAEDALKHEFFAPCHESLRKHRLLRQGLSFDSGTNLPSHGQRHKGMEKECL >Manes.01G087300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28880430:28887271:1 gene:Manes.01G087300.v8.1 transcript:Manes.01G087300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVRGTGMLKDVVRVYFRKRKRIGFDSGEVDENYGSVCLPSKKIRNAYRKVHFQMAQGISRSINSGPSFGSIKINNIILPPGLFSKSMGNSRIFNLRNDEQVEDEMNASIMKKEPKGIMVPYLDEEYVLLRKMLDDQAVSQEAKVDEVDLKSRTDADATLCREDLKQITLLPAVCANANAATDAANDCPIEIVGIKCKEGELFIDSGKLKGEAANSLNSNDIAILGTPIEIDMNELPPLDEERLTTHAKNQDIIPMDEHSAVQKQLLKPSAKMKLSFGEAMTPPRPQAVDQYLEGSKAVRTPKGNREVKRNLGAITITQKSKQKRDNMHIKDGTKDSVSFPDMDQVETKGLPGFESYIVEEEEGSGGYGTVYRARRKSDGTTVAIKCPHANANKHHVSNELRMLERFGGKNFVIKYEGCIKSGNSDCFVLEHVEHDRPEVLKKEINIFQLQWYGYCMFRALASLHKQGIVHRDVKPGNFLFSRKANKGYLIDFNLAMDLHQKYRATNKLKTGNDVCLNYVALPNNKSVPPTKNRRAPGAKSLDPLNKEAIKSMKTTLEPKIQKKRAVGRTKVQNDLAGWSVMKSQGADGSGITSVKDGTSTRTPSVERPREPLPCQGRKELISLLQEAMQSPNHEGSSAPASMRKRIAAPPRKVDERFIYLTPMTLHSTGIASPGACLIKNKDGKHKKEGPCVGTKGFRAPEVLFRSPHQGPKVDIWSAGVTLLYLMIGRTPFYGDPEQNIKDIAKLRGSEDLWEIAKLHDRESSFPAELYKIESFPSATLREWSKLNTKRRDFLDIIPSSFIDLVDKCLTVNPRLRISAEDALKHEFFAPCHESLRKHRLLRQGLSFDSGTNLPSHGQRHKGMEKECL >Manes.15G053500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4116797:4119894:-1 gene:Manes.15G053500.v8.1 transcript:Manes.15G053500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRLCIILPAGLDEIAPHDHPQVPPTPPAKEEVKKHHHRGGCGSQVLAFFGDSLRRLYDSKWVGCCQPEKPKKQQFGAFHDLEGIQLSEKVGGDNPRIFSYTELFIGSNGFSEDEILGSGGFGKVYKAVLPSDGTVVAVKCLTEKGEQFEKTFEAELVAVAHLRHRNLVRLRGWCVHEEQLLLVYDYMPNRSLDRVLFRRPENLTAAPLDWERRRKIIGGLAAALHYLHEQLETQIIHRDVKTSNVMLDSNYNARLGDFGLARWLEHELEYKTRTPSMRNQQFRLAESTRIGGTIGYLPPESFRRRSVATAKSDVFSFGIVVLEVVSGRRAVDLTYSDEQIILLDWIRRLSDEGKPLQAGDHRLPDGSYALSDMERLIHLGLLCTLHNPQLRPNMKWIVELLSGNISGKLPSLPSFQSHPRYISLSSSSNTSTSNTNTPTSTTSTASSNTTVTSTSSTFVTAIGETIYATAEFGTCDFSPSDNVSRRGSKHFMVETPKEISYKEIISATNNFADSHRVSEVDFGTAYHGILDDGYQVLVKRLGMTKCPAIRTRFSSELQNLAKLRHRNLIQLRGWCTEQGEMLVVYDYSANRLLGHLLFHHDNRVGHSILRWHHRYNIVKSLASAILYLHEEWDEQVIHRNITSSSVILDSDMNPRLGNFALAEFLTRNDHAHKAASKGNKSVRGIFGYMSPEYVESGEATPMADVYSFGVVVLEVVTGQMAVDFRRPEVLVVSRVQEFEAQKRPLEDLVDIRLNCEYDHRELMRLIKLGIACTRSNPKLRPSMRQTVSILDGNDQFFMAAKQKKESREEWKQKNASSLSLIKGIQALGIQ >Manes.01G153100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34059771:34060697:-1 gene:Manes.01G153100.v8.1 transcript:Manes.01G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRVSMPAFSPKPTRSYNVRSISFPARSHPNISRIEEQLNKLSSCEASSLNAERICARLSGLGEIYRCIEDLLNLPLTQQALAQNQEEKWIADMLDYLIRYLDLCSNTRDGVLLMKESVRELQSALRRSKGGGESSIEGNVNDYIFCRKKIKREAEKSLASLKQKDSSSLLNANDHYPSAILKALREASWMTISIFSSLLLFLCVPVLKPKRSKWSLLSKLVHKGAVACEGQHENMNELENVDLVLATLLGNKASRDLESEKIEAAQKMLEILEISIEEIENELECLFRHLIHTRVSLLNILSHQLE >Manes.11G016100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1667367:1670925:1 gene:Manes.11G016100.v8.1 transcript:Manes.11G016100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPVNLNEFQIMAKKVLPKMYYDFYTGGAEDQYTLKENVEAFQRITFRPRVLVDVSRIELSTTILGHTISSPIMIAPTSMHKLAHPEGEIATATAAAACNTIMVLSFSATCSLEEVAASCNAVRFFQLYVYKRRSIAANLVQRAERNGYKAIILTVDCPRLGRREADIKNNMIVPQLKNLEGLLSTQVVSDKGSSLEAYANETFDASLCWKDVGWLKSITKLPIVVKGILTREDAMKAIEVGVEGIIVSNHGARQLDFTPATISALEEVVDAVKGKVPVLLDGGVRRGTDVFKALALGAQGVFVGRPAIYGLAVKGGQGVRQVIEMLKNELELTMALSGCPSLKDISRSHVRTERERLQSML >Manes.02G194000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15811190:15814260:1 gene:Manes.02G194000.v8.1 transcript:Manes.02G194000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTQNQQDVFRPSGNYPPTIWGSSFASLSSLDSEFESYTKEVEILKEKMKDVLEQPTKELIGKIKFIKLLCRLGVSYHFRNEIEDQLNHIFTHLFELLEDNDYDLNIVATAFQILREHGYKMSSDVFNKFKDSDGGFKKTITNDVKGLLSLYEATFFRGHGEDILDEALAFTRQHLEILAEQSSPHLANYIKKALVLPFHRNLERLEAKKYIAFYEEEESKDETLLRFAKLDYNRLQLLYRQELASLSRWWKDSDVAEKLDYSRDRIVEAYMWAIGPHFEPQYSVSRILVAKYIEILTLIDDTYDGYATIDEVQHFTAAIERWNIGAIDDLPEYMKILYKFTLNFFDEMEKDGYNTCYAKETFKEMVKAYYVEAQWFNNKYMPAFDEYLRNGLVTSGYRAIPAITFLGMENIVGAKEIQWVETNPKIIRAARLISRLRNDTVAREDEKKKKDGPLSVDCYMNEHGVSKEKAIEEKAIEETKKMCEDAWKDMNEDCFNPSVVPMFLLKYYVNLVRFIEYLYTHDDYYTYSSGLKDDITSLFLEQLPL >Manes.18G097600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9028717:9029933:-1 gene:Manes.18G097600.v8.1 transcript:Manes.18G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTDLSDQRPLRKSRGRRKIEIKKVEKENRRCVTFSKRKSGMFKKATELSTLCGAEVAVILFSEHGKAFSCGNPNMDKVLDRYLAETEEGEYNSCVLESGSNGDIVTQTLQKQEYEKSLRRLEEMKRALKMVEKKSNNVKKGEFWWDLPMDNMEKEELEGYKESLEELKKNVMARIEVMAAHHAAGESSIINQFIDHNGVWSSSTASYDAGFNNGFL >Manes.17G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22706456:22712573:-1 gene:Manes.17G032200.v8.1 transcript:Manes.17G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIKESQASECNTRCIQDERTKPKSKYPETEILREGIKTKNPLVIAIMRGVVTRRIRFYPILLLFSLFSFHFSRFAFSADTLFATQSLTINQTLLSSSQVFELGFFSPGNSKKWYLGIWYKDIPARTYVWVANRDNPLTNSSAVLKISNQTIVLLDKKDKLIWSSNQTQASNPVMQLLDTGNLVLREANASDQFLWQSFDYPTDTLLPDMKLGWDLSKGLDRYISSWKSIDDPATGDFSFKLDYHGYPEIFLWNDGKKVYRSGPWNGQRFSGVPEMKPNNFLSFSFITNQDEVFYSFHISTKSLYSRVTVTSSGQLQRYTWIPDRQIWNSFWYAPKDQCDNFMECGPYGICDSNASPVCKCMRGFQPNNPQAWNFRDGSDGCVRKTDLQCMSDKFLHLKNMKLPESSTTFVEDNMSLKDCELLCLKNCSCTAYANSNISNGGMGCVIWVDELSDTRQYAEGGQDLYVRLAASDIGDGRSVGTLIIGIAVGVAVLLLVLGCCCFICRRKKLLSVCKEEKAIQERSQDLLLNEVVISSKRDYSGEKDKDELELPLFDFGTISTVTHNFADENMLGQGGFGCVYKGRLVEGQEVAVKRLSKTSVQGIEEFKNEIRLIARLQHRNLVRLLGCCIEKDEKILIYEYMENKSLDSVLFNKAKSSLLNWPRRFNIVCGIARGLLYLHQDSRFRIIHRDLKASNILLDGEWNPKISDFGMARIFGGDQTEASTRRVVGTYGYMSPEYAMDGLFSVKSDVFSFGVLVLEIVSGNKNRGFYHSNSELNLLGHAWRIWKEGKGLDLLDTLVGNSFSSSEVLRCIQVGLLCVQERAEDRPTMASVVLMLSSETSTMPQPKTPGYCLGRNPFETDSSSGKNDESFTVNQVTVTMLDAR >Manes.01G201900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37475596:37482557:-1 gene:Manes.01G201900.v8.1 transcript:Manes.01G201900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPTEPPADDFLQEILGLPNFASGEAGLVGADAGLAVAAAAAQAPMMLQLSSGDGSGHITTLGGAAGGGGAGFRGFPLGLSLDQGKGGFLKPEEASGSGKRFRDEVVDGRATTVKNVFHSQPTPTTLAAAPHPPTMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEDDTGEGGRNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPVSLATAIYHTQPPDTAAIVKPESNPQS >Manes.01G201900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37475596:37482557:-1 gene:Manes.01G201900.v8.1 transcript:Manes.01G201900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPTEPPADDFLQEILGLPNFASGEAGLVGADAGLAVAAAAAQAPMMLQLSSGDGSGHITTLGGAAGGGGAGFRGFPLGLSLDQGKGGFLKPEEASGSGKRFRDEVVDGRATTVKNVFHSQPTPTTLAAAPHPPTMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKVYRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEDDTGEGGRNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPVSLATAIYHTQPPDTAAIVKPESNPQS >Manes.05G007000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1158235:1160376:1 gene:Manes.05G007000.v8.1 transcript:Manes.05G007000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGSYLDMDTDGRKANVLKYECLLFDMDDTLYPMSSGLNLACRKNIEGFMLQHLHIEESEVPRMCLELYREYGTTMAGLKALGYEFDDDEFHAFAHGRLPYEKLKPDAVLRNLLLSMPQRKIIFTNADKGHAAEVLKRLGLEDCFEGVICFETLNPPVETANYTDALDNNAVLAGVEVELNVLDDDADKESSHSKPRILCKPSLEAVEAAIQIANVDPKKTIFFDDSARNIASGKAAGLHTVIVGSSALVPGADYALNSIHNIKEAIPEIWEDEEEQQEQVIQSSAVETVVLA >Manes.05G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1157975:1160376:1 gene:Manes.05G007000.v8.1 transcript:Manes.05G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGRKANVLKYECLLFDMDDTLYPMSSGLNLACRKNIEGFMLQHLHIEESEVPRMCLELYREYGTTMAGLKALGYEFDDDEFHAFAHGRLPYEKLKPDAVLRNLLLSMPQRKIIFTNADKGHAAEVLKRLGLEDCFEGVICFETLNPPVETANYTDALDNNAVLAGVEVELNVLDDDADKESSHSKPRILCKPSLEAVEAAIQIANVDPKKTIFFDDSARNIASGKAAGLHTVIVGSSALVPGADYALNSIHNIKEAIPEIWEDEEEQQEQVIQSSAVETVVLA >Manes.18G027830.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2904340:2906469:1 gene:Manes.18G027830.v8.1 transcript:Manes.18G027830.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMPSTQSPSPVQSPPSSESPPTSEQPVLSPTPPIQSPSSVQSPPSSESPPTPAQPVSSPTPSTQSPSPVQSPQTSQSPSSQDQPVSSPPPSIQSQSPVQSQPSEESAPSQAQSTSSPAPSTHSPSPAQSLPLSQSPASLEQPTSSPTPSTQSPSPVQSPPSQPEPVSSPMPAIQSPSPVQSPPSSESPPTPTQPVSSPPPLIQSQSPVQSQPSEESTPSQEQPTSSPTPSTQSLSHVQSLPLSQSPASLEQPTSSPTPATQSPSSVKSPQSSESPPTPVQPVSSPTPSTQSPSPVQSPPSSESLPSRAQPVSSPTPSTQSPSPVQSSPSSQSPASPAQPMSSPTHSTQSPSPVQSSPSSPCPPSPAQPVSSPTPSTQSPSPVQSPPSSESPPSPAQPMSSPTPSTQSPSPVQSSPSSPCPPSPAQPVSSPTPSTQSPSPVQSPPSSESPPSPAQPVSSPTPSTQSPSPVQSSPSSPCPPSPAQPVSSPTPSTQSPSPVQSPPSSESPPSPAQPVTSPTPSTQSPSPVQSTPSSPCPPSPAQPVSSPTPSTQSPSPVQSSPSGPCPPGPAQPVSSPTPSTQSPSSVQSPPSSESPPSPAQPVTSPTPSTQSPTPSTQSPSPVQSSPSSPCPPSPAQPVSSPTPSTQSPSPVQSSPSSPCPPSPAQAVSSPTPSTQSPSPVQSSPSSPSPPSPAQPVLSPTPSTQSPSP >Manes.13G125300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33294716:33296492:1 gene:Manes.13G125300.v8.1 transcript:Manes.13G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNSYKLSFVHLLCVLLLTPLICSQLDYRFYDDSCPNLTRIVRYGVWSAMSNDTRMAASLLRLHFHDCFVNGCDGSLLLDGENVEKNGLANRNSARGFEAIDNIKANLEKACPATVSCADILAIVAREAVYLTGGPFWNVPLGRRDGLTSSQNAANQQLPSPFESLENITAKFTSKGLDLKDVVVLSGGHTLGFAQCFTFKTRLFNFSGSGKPDPALDTSFLQSLQNACPDQADSDTKLAPFDSTSSKFDNVYYNLLMNNAGLLQSDQALMGDNTAASLVSYYSKFPYMFSKDFGVSMVKMGGIGVLTRQDGEIRKNCRVNN >Manes.16G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1585579:1588026:-1 gene:Manes.16G017200.v8.1 transcript:Manes.16G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEQQTKSRVLKVESLESWDLYVTQANNQGCPIVIHFTASWCIPSVAMNPFFEELASAYPDVLFLSVDVDEVKEVAAKLEVKAMPTFVLMKDGAQIDRLVGANPEEIRKRIDGFVQSVRVNVA >Manes.01G006200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2541995:2548695:1 gene:Manes.01G006200.v8.1 transcript:Manes.01G006200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDGMGANDEIRERRSDFDNSEDERRRSRIGTLKKKALNASNKFTHSLKKRGKRKFDYRVSSVSIEDVRDEKEESVVLELRQKLLERNLLPSRHDDYHTLLRFLKAREFNIEKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDQYLKYHVQEFERALLEKFPACSIAARKQICSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLFKLLEVIDSSQLPDFLGGSCTCSTEGGCLRSNKGPWNDSDVMKLVHNAEAAFVRQITRVPSHQQKFDSYFQIPLQKGSSATSAAESGYEIDDPSPIKRSSMLPLLAPVHEVKASDPNAYYSCDENFPLVEKAVRSNQGVGHSEDQSRKSNDLRDLPSELLPHFEGGLISHWMDIVKEKIGKRNNLCVARTLMSFVVKLVAFFRSLPLDLWRRQNNIHPSNLVEHNADSHSTAVETVSENCVRPCMERLQSLEKVVEELSNKPAAIPLEKEQMLMESLERIKSVELDLEKTKRELHSAVTKQLEISKSLDDLRQSRCRQKRIFC >Manes.01G006200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2541999:2548695:1 gene:Manes.01G006200.v8.1 transcript:Manes.01G006200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDGMGANDEIRERRSDFDNSEDERRRSRIGTLKKKALNASNKFTHSLKKRGKRKFDYRVSSVSIEDVRDEKEESVVLELRQKLLERNLLPSRHDDYHTLLRFLKAREFNIEKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDQYLKYHVQEFERALLEKFPACSIAARKQICSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLFKLLEVIDSSQLPDFLGGSCTCSTEGGCLRSNKGPWNDSDVMKLVHNAEAAFVRQITRVPSHQQKFDSYFQIPLQKGSSATSAAESGYEIDDPSPIKRSSMLPLLAPVHEVKASDPNAYYSCDENFPLVEKAVRSNQGVGHSEDQSRKSNDLRDLPSELLPHFEAIGWTLLRKRLGKGIIYVWLEH >Manes.01G006200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2541999:2548695:1 gene:Manes.01G006200.v8.1 transcript:Manes.01G006200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDGMGANDEIRERRSDFDNSEDERRRSRIGTLKKKALNASNKFTHSLKKRGKRKFDYRVSSVSIEDVRDEKEESVVLELRQKLLERNLLPSRHDDYHTLLRFLKAREFNIEKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDQYLKYHVQEFERALLEKFPACSIAARKQICSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLFKLLEVIDSSQLPDFLGGSCTCSTEGGCLRSNKGPWNDSDVMKLVHNAEAAFVRQITRVPSHQQKFDSYFQIPLQKGSSATSAAESGYEIDDPSPIKRSSMLPLLAPVHEVKASDPNAYYSCDENFPLVEKAVRSNQGVGHSEDQSRKSNDLRDLPSELLPHFEGGLISHWMDIVKEKIGKRNNLCVARTLMSFVVKLVAFFRSLPLDLWRRQNNIHPSNLVEHNADSHSTAVETVSENCVRPCMERLQSLEKVVEELSNKPAAIPLEKEQMLMESLERIKSVELDLEKTKRELHSAVTKQLEISKSLDDLRQSRCRQKRIFC >Manes.01G006200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2541999:2548695:1 gene:Manes.01G006200.v8.1 transcript:Manes.01G006200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDGMGANDEIRERRSDFDNSEDERRRSRIGTLKKKALNASNKFTHSLKKRGKRKFDYRVSSVSIEDVRDEKEESVVLELRQKLLERNLLPSRHDDYHTLLRFLKAREFNIEKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDQYLKYHVQEFERALLEKFPACSIAARKQICSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLFKLLEVIDSSQLPDFLGGSCTCSTEGGCLRSNKGPWNDSDVMKLVHNAEAAFVRQITRVPSHQQKFDSYFQIPLQKGSSATSAAESGYEIDDPSPIKRSSMLPLLAPVHEVKASDPNAYYSCDENFPLVEKAVRSNQGVGHSEDQSRKSNDLRDLPSELLPHFEGLISHWMDIVKEKIGKRNNLCVARTLMSFVVKLVAFFRSLPLDLWRRQNNIHPSNLVEHNADSHSTAVETVSENCVRPCMERLQSLEKVVEELSNKPAAIPLEKEQMLMESLERIKSVELDLEKTKRELHSAVTKQLEISKSLDDLRQSRCRQKRIFC >Manes.01G006200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2541999:2548695:1 gene:Manes.01G006200.v8.1 transcript:Manes.01G006200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDGMGANDEIRERRSDFDNSEDERRRSRIGTLKKKALNASNKFTHSLKKRGKRKFDYRVSSVSIEDVRDEKEESVVLELRQKLLERNLLPSRHDDYHTLLRFLKAREFNIEKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDQYLKYHVQEFERALLEKFPACSIAARKQICSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLFKLLEVIDSSQLPDFLGGSCTCSTEGGCLRSNKGPWNDSDVMKLVHNAEAAFVRQITRVPSHQQKFDSYFQIPLQKGSSATSAAESGYEIDDPSPIKRSSMLPLLAPVHEVKASDPNAYYSCDENFPLVEKAVRSNQGVGHSEDQSRKSNDLRDLPSELLPHFEGLISHWMDIVKEKIGKRNNLCVARTLMSFVVKLVAFFRSLPLDLWRRQNNIHPSNLVEHNADSHSTAVETVSENCVRPCMERLQSLEKVVEELSNKPAAIPLEKEQMLMESLERIKSVELDLEKTKRELHSAVTKQLEISKSLDDLRQSRCRQKRIFC >Manes.01G006200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2541996:2548695:1 gene:Manes.01G006200.v8.1 transcript:Manes.01G006200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDGMGANDEIRERRSDFDNSEDERRRSRIGTLKKKALNASNKFTHSLKKRGKRKFDYRVSSVSIEDVRDEKEESVVLELRQKLLERNLLPSRHDDYHTLLRFLKAREFNIEKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTIDQYLKYHVQEFERALLEKFPACSIAARKQICSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLHRMYIVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLFKLLEVIDSSQLPDFLGGSCTCSTEGGCLRSNKGPWNDSDVMKLVHNAEAAFVRQITRVPSHQQKFDSYFQIPLQKGSSATSAAESGYEIDDPSPIKRSSMLPLLAPVHEVKASDPNAYYSCDENFPLVEKAVRSNQGVGHSEDQSRKSNDLRDLPSELLPHFEAIGWTLLRKRLGKGIIYVWLEH >Manes.05G001800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:227244:230330:-1 gene:Manes.05G001800.v8.1 transcript:Manes.05G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFAANVEISRVFAASIRPSFVKPRPSPPPILGCTFNSLSYRFPLLSAATSKGGVFSMSQGTNSIIADEAGGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIITSGAKPLFFLDYFATSHLDVDLAEKVIKGIVDGCQQSDCTLLGGETAEMPDFYADGEYDLSGFAVGIVKKDSVIDGKNIKVGDVLIGLPSSGVHSNGFSLVRRILARSGLSLTDKLPGEGITLGEALMAPTVIYVKQVLDIISKGGVKGIAHITGGGFTDNIPRVFPEGLGAVIYDNSWEVPAVFKWLQEAARIEEAEMRRTFNMGIGMVLIVSEEASRVILEDGHYTAHRIGEVVMGEGVSYH >Manes.05G127900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22570351:22575968:1 gene:Manes.05G127900.v8.1 transcript:Manes.05G127900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQPIPGPSSGSSSSSGFQYLNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAKRACADPTPIIDGRRANCNLASLGRPRPPLPYGRVRPAIPYIASVQTPRGTYVGNFGYQQPLPYNYQQGLMYHPYGYAAYGPEYVYPQGVYNPYANQQYLQIYGVPGNVNPAIYPYGQLGQALPGGHGYTTVQGYAMPGHHIVQFGGPSVNPITTSPMPTIQAPYPTGMGTPVPAQPQFIVPAPSPQYMQGSGSDQTAG >Manes.13G078300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13567025:13596668:1 gene:Manes.13G078300.v8.1 transcript:Manes.13G078300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGAAEVTGPVRRVLIISAGASHSVALLSGNIVCTWGRGEDGQLGHGDAEDRPSPTQLNTLDGLEIVSVTCGADHTIAYSESHANVYSWGWGDFGRLGHGNSSDLFTPQPIRALHGLRIKQIACGDSHCLAVTMEGQVQSWGRNQNGQLGLGTTEDSLVPQKIQAFQKIPIKMVAAGAEHTAAVTESGELYGWGWGRYGNLGLGDRRDRLVPEKVSLSHGEKMVMVACGWRHTISVSSSGGLYTYGWSKYGQLGHGDFADHLIPHQLEALQGSFISQISGGWRHTMAVTSDGKLYGWGWNKFGQVGVGDNVDHCSPEQVKFPNEQKVIQISCGWRHTLAVTEQQNVFSWGRGTNGQLGDGVSIDRNAPVIIEALSVEGSGCQQIESSTVDISSGKMWVSPAERYAVVPDESGQTTTVKGSDASVPEAEAEGDVKRIRI >Manes.06G115500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24615728:24620659:-1 gene:Manes.06G115500.v8.1 transcript:Manes.06G115500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGLIGGSGPCVSASSALCSSTHRSFRISGNSLSYVPLKKLSLCSSHLAAFHSPSHLFSFSPSRPYHAKPRKPTKTHIFLPHLVASMEVEETYIMVKPDGVQRGLVGEIISRFEKKGFKLTGLKLFQCSKELAEAWEGVGVVASARKLIGSTDPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIGLWFKEGEICQWTPAQAPWLRE >Manes.06G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24615728:24620659:-1 gene:Manes.06G115500.v8.1 transcript:Manes.06G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGLIGGSGPCVSASSALCSSTHRSFRISGNSLSYVPLKKLSLCSSHLAAFHSPSHLFSFSPSRPYHAKPRKPTKTHIFLPHLVASMEVEETYIMVKPDGVQRGLVGEIISRFEKKGFKLTGLKLFQCSKELAEEHYKDLKTRPFFPKLVNYITSGPVVCMAWEGVGVVASARKLIGSTDPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIGLWFKEGEICQWTPAQAPWLRE >Manes.17G114700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32230431:32244719:1 gene:Manes.17G114700.v8.1 transcript:Manes.17G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVPDMIDLCSDDECGESDVIKGSSKKPKNQGGSLDDSSHRFGTSLPPPPISRQFWKAGDYEGGQGQVHGAANQRKYEKNHLHVHPMFLHSNATSHKWVFGAIAELVDNAIDEIKNGATFVDINKIANPRGGGPALQIQDNGGGMDPEEMRRCLSFGFSKKMKCAIGRYGNGFKASTMRLGADVVVFSRHRNMRSSTQSVGLLSYTFLRSMGHEKIIIPMVDYEFNSSTESFGPIFHHGMEHFSSNLTMLLQWSPYSTEDEFLKQFDDIGDQGTKIVMYNLWFNDDGDMELDFDSDIEDIRISGDVKLFGEHSKPIFQQHIANVYNYSLRVYLSILYLRLPQFFNIKLRGRVVEQHNIADDLKFAEFILYRPQTGVKDQTAVITTIGFLKEAPDVNVHGFNIYYRNRLILPFWRVLRNTTNSSGRGIVGVLEANYIEPTHNKQDFERSSLFQKLEDRLKQMTIEYWHLHCELIGYQGKKSSALPSQEMHYSETGTKEELNTKRRGPVPLAGQEFARAYAADTWHIMEDQSYIRSKQQVQREKASLVMQQNKKLRLQISELEKNVEGFYLKVEQLQSELIEVQHECTRLLAESKAMDIID >Manes.06G034400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9818982:9819733:1 gene:Manes.06G034400.v8.1 transcript:Manes.06G034400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLVLMLCLYLSQANREILVGGKQSAWQIPSSSNHTLNLWAERTRFKVGDVLVWKYDPKEESVLQVTKEGYDKCNTSSPIKEHKDGNTKVELEHSGPFYFISGSQGNCEKGEKLIVVVLSEDHWPKSPLLPLRPSNQAYGLRDGVLLALFMSLAAIFFNLLFI >Manes.14G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11503120:11509698:-1 gene:Manes.14G119700.v8.1 transcript:Manes.14G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAKLKIAGTWVGVLEVELQNWTVSMLREEVAKRSNMGPHSINLICAGKVLKDGDGSEKLNQLGIKNNSKILVTRVSVEEGKSLKEELMADDERNRRLARVKAAVTALSKRHADGLLPVEDFDLELEDQSGQKLQFSESDQQAIMMGLMLHANGKGLIRRQMFKDALEVLTMGEEAFSLCNPKSIELVDNIPILQIDMVWCYFMLRDIAWLSMAGIRLEKARQGLERAHGKDSSRFRLLQAGRSSELALYLRLELLEGVVAYHNGQFDKCRRCLTSAQAKFLQLQVSDEALSTVMSMGFKEQDAKKALRMSNQDVESAINFLVEEKDKKAKKREDNIRRRNEIREQQRYGVTPLKKAVDLQSLKELVSIGFEKELAAEALRRNENDTQKALDDLTNPETNTAIQRDIESKKRKRQRQKDDTTIERLVSMGFERSRVVEAVQAGGSMNQAMQQLLTQSRSNPTDPTNNNTSSPGLTLTNDALEGFGEDHMSDDNDVGGASVSHIEQRDAEMEDEITNEIAKGDGLSDYDIEVTKEGEAINEYLALLDSVGSSERASSSQ >Manes.17G078000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27780119:27785771:-1 gene:Manes.17G078000.v8.1 transcript:Manes.17G078000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQEVSPTNDPVLFLQLAFSSTFFAGLFQASLGLLRLGFIIDFLSEATLIGFMAGAAVIVSLQQLKSLLGITHFTKQMGLVPVLSSVFHNTHEWSWQTILMGFCFLVLLLVARHVSMRKPKLFWVSAVAPLFSVILSTILVFACKAQHHGISIIGKLQEGLNPPSWNMLHFHGSYLGLVVKTGLVTGIISLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNIIGSSTSCYVTTGAFSRSAVNHNAGAKTAVSNIIMSVTVMVTLLFLMPLFQYTPNVVLGAIIVTAVVGLIDIPTAYHIWKIDKYDFMVLLCAFFGVIFISVQEGLAIAVGISIFKILLQVTRPKTVILGSIPGTDIYRDLHHYMEAVMVPGFLILSIEAPINFANTTYLKERILRWIEEYETQEDAKQQSSIHFLILDLSTVNAIDTTGVSLFKDLKKATEHRGIELVLVNPLGEVMEKLQRADAAHDILKPDTLFLTVGEAVAALSSTVKGQSSSHG >Manes.04G071300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27071981:27075842:1 gene:Manes.04G071300.v8.1 transcript:Manes.04G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALSVRPNHIPPGSPFPWPPVHLHNYAVTTTQIKSLKNEPWRAIIVPTSRRPFAAGGVRAASRADDSAPFEMSVENALKLLGVSENASFDDILRARKAILATCKDDQDTIAQVEAAYDMLLMRSLTQRRSGKVTNSAIRYADVKPLNGPGLGSVPQWLQTTMKNIPISVETPSTGDLGIQAGVYGALMVLTYVNGATTSSMTLYAGADVPGLILATSFGVSLYFMTRRNMKLGRATVITLGGLVAGAVMGSAVENWLQVDVVPFLGLHSPAAVVSEFILFSQFLVSLYLRYD >Manes.14G065500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5476814:5479096:-1 gene:Manes.14G065500.v8.1 transcript:Manes.14G065500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGLGGVLPLRSHDFVPRDPKAKPIKYKYPAFYDPYGPRPPPSDKTIQLAECIAALTPEERRQIGPTLQYKLMLPKLKPISTEGMDLGLQGAPAAGATKVEEKKEKTAFDVKLEKFDAAAKIKVIKQVRAFTNLGLKEAKDLVEKVPVVLKQGLTKEEANDEIEKIKAAGGVAVME >Manes.10G096950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529941:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529179:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRRNVVKMLLFLKEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529941:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRRNVVKMLLFLKEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529179:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529982:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529941:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAVNEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529179:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRRNVVKMLLFLKEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529982:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAVNEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529982:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAVNEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529941:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAVNEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514327:24529941:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAVNEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514326:24529978:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAVNEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529890:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529941:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRRNVVKMLLFLKEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529982:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514327:24529179:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAVNEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529941:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGPGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.10G096950.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24514357:24529977:-1 gene:Manes.10G096950.v8.1 transcript:Manes.10G096950.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTETSNISAVPLTNNNSVSMGELQNIQAAYRLDGKNYQMWSRLVLTFLKERGKLSHLLGTGLDPKYPKFGPWAAQDSIVMSWLWNSMLPEISDAYMFLSTAKEIWEAIKQTYSKVHTAAQIYEIKTKISATKQGSQSVTEYSNYLKSLWQEMDYYEWIQTKCSEDAAILERYIEKDRIYDFLARLNIKFDAIRVEVLGKEELPSLNEVIAIVLAEEVRREVNAAMKNYSFEQRVSKSSGQTDLSKPFNKDSLWCTWCKKPRHTKEKCWKLHGKPQSMTKTCSKQGGRSKGQRQVHVGNTHSRNEDPPHVDLNNVETKKLKGRPVPQAAARSAPACSPTPQPDTIPFKHLAVNQAAPRAPVQSGGGGGSVPGTIRQGVGSASAYTIPDAVLGPERLARQAAARHTPCRSPAPQPDTVFSNHLSVNQAAPPAPVQSAGGESLLGTIGMGSASARRTPDAVLGLGRPFPLAAARHLPACSPPSRPDTFSLNHPSVNQAVPPDPVQSSSGGGSLLGTIERPAPQAAAACRSPPLQPDTISISHLSVNQAAPPAPVQSGGGGSLLGTIGQCMGSASAQTTPDVVLGRPTSQAAACHAPCHSPPSQPVNQDAPPTPVQSGGSGSLLETIGQGRPAQAAACHAPCHSPPPQPDIVSSNHLSVNQAVPPAPVQSGGGGSLLETIGQGRPAPQAAACSAPACDPPPLPDDVSLNHFAGFINEDPPPDPVQSGGGGGRSFLRAIGQGVVTVLGHTAIGAVLGPGVASFVVRTAFDAVVANVNIQQEVEGEAPEPANGKQHSAAAPTSTQAKDKQH >Manes.15G086000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6604023:6614881:-1 gene:Manes.15G086000.v8.1 transcript:Manes.15G086000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKARASMHFMKMIQLKDVVVGFLVLLGLSFSFAHRVLLDSTSDQHSLQQDKAVGLKWGDAAGKGIGISSSNTGSGSNGGSDSRIGNGVGSRKSSGDSSGVSDSGGDRDGGNVQAMPRPNGVGGGLGGGIIFSHFPRLPIYYIPVSSLPGAGGGSGGGGGGGGGGGRTGGYNVPGALSAVGGGDGDGGSTGGYNFPWPFSSGGWGGGGGGGGGFASGIPLPYTETCPLECITSGWRASRSLAP >Manes.06G026700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5905162:5948475:-1 gene:Manes.06G026700.v8.1 transcript:Manes.06G026700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRRVSSDTTPLLDPQQRSSPRYDPSSDRSFTPASFSVEMSATVTATAPHRHVFLGVDVGTGSARAGLFDENGKLLGSASSPIQIWKERDYVEQSSTDIWHAICAAVKSACSLANVAEEEVTGIGFAATCSLVAVDADGAPVSVSWSGDPRRNIIVWMDHRAVKQAEKINSSNSPVLQYCGGALSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQMSENDSRDMEACGWDDDFWEEIGLGDLVDGHHAKIGRSVAFPGHPLGSGLTPTAAKELGLVAGTPVGTSLIDAHAGGVGIMESVPEAYSDSNDYDKEAICHRMALVCGTSTCHMAVSQSKLFIPGIWGPFWSAMVPEYWLTEGGQSATGALLDHIIENHVASPHLANRAASQNISLFEILNNILRTMVDDQKAPFFAALTEDVHILPDFHGNRSPIADPKAKGIICGLTLDTSDKQLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLSKNPVFIQEHADIIGCRIVLPRESESVLLGAAILGAVAAKKYASLSEAMKALNAAGQVIHPSNDPKVKKYHDAKYQIFRQLYEQQLSHRSTIAKALE >Manes.06G026700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5905162:5948475:-1 gene:Manes.06G026700.v8.1 transcript:Manes.06G026700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRRVSSDTTPLLDPQQRSSPRYDPSSDRSFTPASFSVEMSATVTATAPHRHVFLGVDVGTGSARAGLFDENGKLLGSASSPIQIWKERDYVEQSSTDIWHAICAAVKSACSLANVAEEEVTGIGFAATCSLVAVDADGAPVSVSWSGDPRRNIIVWMDHRAVKQAEKINSSNSPVLQYCGGALSPEMQPPKLLWVKENLQESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQMSENDSRDMEACGWDDDFWEEIGLGDLVDGHHAKIGRSVAFPGHPLGSGLTPTAAKELGLVAGTPVGTSLIDAHAGGVGIMESVPEAYSDSNDYDKEAICHRMALVCGTSTCHMAVSQSKLFIPGIWGPFWSDISLFEILNNILRTMVDDQKAPFFAALTEDVHILPDFHGNRSPIADPKAKGIICGLTLDTSDKQLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLSKNPVFIQEHADIIGCRIVLPRESESVLLGAAILGAVAAKKYASLSEAMKALNAAGQVIHPSNDPKVKKYHDAKYQIFRQLYEQQLSHRSTIAKALE >Manes.06G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1432850:1435705:-1 gene:Manes.06G009000.v8.1 transcript:Manes.06G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGNFSKAYPMKGGDGFHSYSNNSSYQREVIDAVKELINEAIVEKLDINIHSLPNKTFKITDMGCSVGPNTFIAIQNIIEAVEKKYQTQFEYQVFFNDHVSNDFNTLFSSIPPNNNYYPMGLPGSFHGRLFPNASLHIMNSSYALQWLSQVPKEVLDKSSPAWNKGKICYTSAGDETLKAYTEQFGKDMDCFLDSRAKEVVPGGLLLLSFPGRLSETPHSQVYSNIAYDLLGSSLMEMAHKGIISEEKVDDFNIPVYFTSPQEVEEAVKRNGCFNIERVVCIPLKKSQSSNSTKAKAVSSHIRAGMESLLKEHFGDEFNLDQLFENFLQKLHENILPLQHGEASTVFLILKRKEVGR >Manes.06G009000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1432850:1435705:-1 gene:Manes.06G009000.v8.1 transcript:Manes.06G009000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGNFSKAYPMKGGDGFHSYSNNSSYQREVIDAVKELINEAIVEKLDINIHSLPNKTFKITDMGCSVGPNTFIAIQNIIEAVEKKYQTQFEYQVFFNDHVSNDFNTLFSSIPPNNNYYPMGLPVPKEVLDKSSPAWNKGKICYTSAGDETLKAYTEQFGKDMDCFLDSRAKEVVPGGLLLLSFPGRLSETPHSQVYSNIAYDLLGSSLMEMAHKGIISEEKVDDFNIPVYFTSPQEVEEAVKRNGCFNIERVVCIPLKKSQSSNSTKAKAVSSHIRAGMESLLKEHFGDEFNLDQLFENFLQKLHENILPLQHGEASTVFLILKRKEVGR >Manes.07G079510.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24601169:24607076:-1 gene:Manes.07G079510.v8.1 transcript:Manes.07G079510.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.09G159800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35515475:35521914:1 gene:Manes.09G159800.v8.1 transcript:Manes.09G159800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMLFGAIGTLISCSIISAGAIKFFQKMDIGPLDIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNINPRIAWQFICNFLYLFITSTMLGVVTGLLSAYIIKKLYFGRHSTDREFALMMLMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATMSFVAEIFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILLGLVMVGRAAFVFPLSFLSNLSKKSHAEKICLKQQIVIWWAGLMRGAVSMALAYNKFTSLGHTHLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPHPKQEMRATVSSDPTTQKSISVPLLGDNQDSLDDLGGGLVPRPNSIRALLTTPTHTVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSSDNQWK >Manes.09G159800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35515475:35521914:1 gene:Manes.09G159800.v8.1 transcript:Manes.09G159800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMDAHITSAVSKLQTLNTSDHASVVSMNLFVALLCACIVVGHLLEENRWMNESITALLIGICTGVVILLVSGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAIGTLISCSIISAGAIKFFQKMDIGPLDIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNINPRIAWQFICNFLYLFITSTMLGVVTGLLSAYIIKKLYFGRHSTDREFALMMLMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATMSFVAEIFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILLGLVMVGRAAFVFPLSFLSNLSKKSHAEKICLKQQIVIWWAGLMRGAVSMALAYNKFTSLGHTHLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPHPKQEMRATVSSDPTTQKSISVPLLGDNQDSLDDLGGGLVPRPNSIRALLTTPTHTVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSSDNQWK >Manes.09G159800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35515475:35521914:1 gene:Manes.09G159800.v8.1 transcript:Manes.09G159800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMDAHITSAVSKLQTLNTSDHASVVSMNLFVALLCACIVVGHLLEENRWMNESITALLIGICTGVVILLVSGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAIGTLISCSIISAGAIKFFQKMDIGPLDIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNINPRIAWQFICNFLYLFITSTMLGVVTGLLSAYIIKKLYFGRHSTDREFALMMLMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATMSFVAEIFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILLGLVMVGRAAFVFPLSFLSNLSKKSHAEKICLKQQIVIWWAGLMRGAVSMALAYNKFTSLGHTHLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPHPKQEMRATVSSDPTTQKSISVPLLGDNQDSLDDLGGGLVPRPNSIRALLTTPTHTVHYYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSSDNQWK >Manes.06G123400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25362847:25364631:-1 gene:Manes.06G123400.v8.1 transcript:Manes.06G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLSLDFVFGVLANIISAMVCLAPLPTFYQICKKKTSEGFQSIPYVIALFSAMLWLFYAIFDEDSTLLITINSFTFFMETGYLTVYLIYATKKDRMFTTKLILFFNIFGFGMIAILTLFLTHGRKRVDVLGWICMIFALCVFVAPMGIMRKVIKTKSVEFMPFSLSFFLTLTAVMWFFYGFLKKDLYVAIPNTLGFLFGIVQMVLYLIYRNPKKLPVEDPKLRELSEHIVDVAKLSATLCSEITTVVVPQPIDNGNDVGGQKIKEETEQDIGTPADKV >Manes.06G123400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25362847:25364631:-1 gene:Manes.06G123400.v8.1 transcript:Manes.06G123400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLSLDFVFGVLANIISAMVCLAPLPTFYQICKKKTSEGFQSIPYVIALFSAMLWLFYAIFDEDSTLLITINSFTFFMETGYLTVYLIYATKKDRMFTTKLILFFNIFGFGMIAILTLFLTHGRKRVDVLGWICMIFALCVFVAPMGIMIPNTLGFLFGIVQMVLYLIYRNPKKLPVEDPKLRELSEHIVDVAKLSATLCSEITTVVVPQPIDNGNDVGGQKIKEETEQDIGTPADKV >Manes.10G111700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26289457:26291926:-1 gene:Manes.10G111700.v8.1 transcript:Manes.10G111700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPCNLSFFSSTTTVTYAFHNDHTTPKETKEIPIDSPSEVPVVATINHLPQPDAATKIQSAYRAHVVRTLYRKISSVNSEADQLQRQIQRQETVDAIRTDEREKLKINEALMGLLLRLDSVPGFNPMIREARRKVSHRIVGLQEIVDGICGSDGPDWYVGCRYVKDWDEMVAEMEKEVCKERGGEEMEQFCAEYLGFRCLKRFLYEP >Manes.10G111700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26289457:26291926:-1 gene:Manes.10G111700.v8.1 transcript:Manes.10G111700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPCNLSFFSSTTTVTYAFHNDHTTPKETKEIPIDSPSEVPVVATINHLPQPDAATKIQSAYRAHVVRTLYRKISSVNSEADQLQRQIQRQETVDAIRTDEREKLKINEALMGLLLRLDSVPGFNPMIREARRKVSHRIVGLQEIVDGICGSDGPDWYVGCRYVKDWDEMVAEMEKEVCKERGGEEMEQFCAEYLGFRCLKRFLYEP >Manes.10G111700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26289455:26291926:-1 gene:Manes.10G111700.v8.1 transcript:Manes.10G111700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPCNLSFFSSTTTVTYAFHNDHTTPKETKEIPIDSPSEVPVVATINHLPQPDAATKIQSAYRAHVVRTLYRKISSVNSEADQLQRQIQRQETVDAIRTDEREKLKINEALMGLLLRLDSVPGFNPMIREARRKVSHRIVGLQEIVDGICGSDGPDWYVGCRYVKDWDEMVAEMEKEVCKERGGEEMEQFCAEYLGFRCLKRFLYEP >Manes.10G111700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26289457:26291926:-1 gene:Manes.10G111700.v8.1 transcript:Manes.10G111700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPCNLSFFSSTTTVTYAFHNDHTTPKETKEIPIDSPSEVPVVATINHLPQPDAATKIQSAYRAHVVRTLYRKISSVNSEADQLQRQIQRQETVDAIRTDEREKLKINEALMGLLLRLDSVPGFNPMIREARRKVSHRIVGLQEIVDGICGSDGPDWYVGCRYVKDWDEMVAEMEKEVCKERGGEEMEQFCAEYLGFRCLKRFLYEP >Manes.10G111700.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26289457:26291926:-1 gene:Manes.10G111700.v8.1 transcript:Manes.10G111700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPCNLSFFSSTTTVTYAFHNDHTTPKETKEIPIDSPSEVPVVATINHLPQPDAATKIQSAYRAHVVRTLYRKISSVNSEADQLQRQIQRQETVDAIRTDEREKLKINEALMGLLLRLDSVPGFNPMIREARRKVSHRIVGLQEIVDGICGSDGPDWYVGCRYVKDWDEMVAEMEKEVCKERGGEEMEQFCAEYLGFRCLKRFLYEP >Manes.10G111700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26289457:26291926:-1 gene:Manes.10G111700.v8.1 transcript:Manes.10G111700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPCNLSFFSSTTTVTYAFHNDHTTPKETKEIPIDSPSEVPVVATINHLPQPDAATKIQSAYRAHVVRTLYRKISSVNSEADQLQRQIQRQETVDAIRTDEREKLKINEALMGLLLRLDSVPGFNPMIREARRKVSHRIVGLQEIVDGICGSDGPDWYVGCRYVKDWDEMVAEMEKEVCKERGGEEMEQFCAEYLGFRCLKRFLYEP >Manes.10G111700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26289420:26292026:-1 gene:Manes.10G111700.v8.1 transcript:Manes.10G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPCNLSFFSSTTTVTYAFHNDHTTPKETKEIPIDSPSEVPVVATINHLPQPDAATKIQSAYRAHVVRTLYRKISSVNSEADQLQRQIQRQETVDAIRTDEREKLKINEALMGLLLRLDSVPGFNPMIREARRKVSHRIVGLQEIVDGICGSDGPDWYVGCRYVKDWDEMVAEMEKEVCKERGGEEMEQFCAEYLGFRCLKRFLYEP >Manes.14G165200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26119514:26125054:-1 gene:Manes.14G165200.v8.1 transcript:Manes.14G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFFHRLLDYRKPEVESLAELFGIPESLEWRLPEHHHPDSPFHFVYLPNEEAARNIANRSILVKGIYEIWGEGSNYEELEEAIMRYPDDRKLPYLTSESTFKITVDSFGKVISFQEQNERIKGLAYIPFKGRVNLKNPDHRFWLMETDDYGVNNGLPPVVQRRIFFGREVGGADRKLLPTYQLKSRKYLGPTAMDAEIAFLMANQALVSPGKLIYDPFVGTGSILVAAAHFGGMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIGLLRADNNLPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLLKGAVGPYTVPDDKRTDHIPSTAPYSLAECMHDLLDLAAKMLVMGGRLVCFYPVLREDDTVQIQFPEHQCFKLVASSEQILSSRYSRVLLTMVKINPYTNEIAEAARLMHLEFKENHLKWLEDGNLHSSVFMPADQISIDDSKASKELKPKYRGKYV >Manes.10G101700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24970563:24972198:1 gene:Manes.10G101700.v8.1 transcript:Manes.10G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGIASIVAGASTHPLDLIKVRMQLQGESHLPNPASLQALRPAIAFNTVAAGAGNISLPVEIAPPPARVGPISIGVRIIQSEGAAALFSGVSATILRQTLYSTTRMGLYDVLKHKWTDQDTGTMPLPRKILAGLISGGIGADVGNPADVAMVRMQADGRLPIDQRRNYKSVFDALTQMSKQEGIASLWRGSSLTVNRAMIVTASQLASYDQIKEMILEKGVMSDGIGTHVTASFAAGFVASVASNPIDVIKTRVMNMKVETGAEPPYKGALDCAMKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Manes.13G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29655196:29657271:1 gene:Manes.13G101300.v8.1 transcript:Manes.13G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKKLHIALFPWLAFSHIIPFFELAKHIAQRGHNISFISTPRNIQRLPKIPSNLAPLIDLVSLHLPTVKHLPRDAEATSDLTSQKVPYLKIAYDGLQGPLLQFLKTSSPDWIICDFAQYWLPPMASNLEISLAFFSILGAWSVSFFGSSSSAMIKGEDPRSQPEDFTVVPEWIPFPSKVKDSDVGVSDTFRVGSVLAGCDVIAVRSCNELEAEFLRLLGELHGKPCLPICLLPPDDLDATCSEENDTWLTIREWLDKQNKGSVVYVAFGSEAELSQPELNELALGLELSELPFFWVLRKQDNSVELPDGFKDRVKGRGMVWTSWVPQLRILGHESVGGFFTHSGYGSVVEALYSGLALIMLPINIIDQGLIARVFGEKKVGVEVTRDESDGSFTKESVADSMRLVMVEKEGEEYRDNAKEMRKLFADKDFHDQYLDHFVEFLQNDH >Manes.10G112023.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26479809:26487475:-1 gene:Manes.10G112023.v8.1 transcript:Manes.10G112023.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNTKPVANSSSERSHRSQKISHPESMAWPFGEAEPIQLFVKMMNSEARQIEINADANDTIKIIHEKVLVSWQIPVTEQKLFYETKQLEHRVTLKECSIQNKACLELMVRWDDKDDPSALPQMIHQMCSNICRMCQGESDSKYEDCCSIISQALDCMTEENSEILSLYSVPATLVMLYGSPIEGNKFDGNNLIRLSMELILMTENQLSDDEIASLGLEFCNLLREVSSEDPLYNSCRTMLAEYLEDNYEIYYHCYPRTVIQILLFSVKLSQDLSNGLANLSYRREHIEPLSIQVRDLGKFLCVSHEAINVLINEDEDDEQNRMVKFIVDVIGVLFHLHLKDMEQNLTRLADMKQIFEKLDTVRPVSLLYLAILKELNRMSQLVEGAVDEFRRVLEGQKNSLHIMIEKIIRSDDYDWLLEHSAVLHSESRMHLLMMKMIPEKKLHDPVLYKPLIRWSKNLDEKLYEKFGKKDLTDSQVLQDWLCKLCQILFKPHNLLFLACPNDPTKFYPNPELKRQPLHLDSFEICGMVIALALMHEIHIGIAFHNLFLLQLAGNDISIEEIREACPSFYNKKAKDPSHGDDRIQNEFMESVSEKIHFFRQGFHRVFGKSVQQLLSHRGIELEDLNQVLKGNLNLEFNFGKKRKYEDDESDPPTSQNNESDPLMYQFLKVNRRGVSFTGWQKGMRLGKGGFGEVYEGYAPSGFFFAFKEIEIKNEGMIEEINHEIDLLCQLRHPNIVSYYGMERRESKIYIFLEIVRPGSLKEICKNFKLKDSQVFHYTKQILEGLNYLHGRSVAHRDIKCSNILVNDKGCVKIADFGLAKVPELNALMKSCCGTTPWMAPEVIKGDNKYGFEADIWSLGCTVLQMLTGKSPYSDLDCGTRTLENEIVRGKLPTLPDFLSELSRDFILKCLQDNPHDRPTAAKLLQHPFVKGPSDTEATSQL >Manes.10G112023.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26483187:26487481:-1 gene:Manes.10G112023.v8.1 transcript:Manes.10G112023.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNTKPVANSSSERSHRSQKISHPESMAWPFGEAEPIQLFVKMMNSEARQIEINADANDTIKIIHEKVLVSWQIPVTEQKLFYETKQLEHRVTLKECSIQNKACLELMVRWDDKDDPSALPQMIHQMCSNICRMCQGESDSKYEDCCSIISQALDCMTEENSEILSLYSVPATLVMLYGSPIEGNKFDGNNLIRLSMELILMTENQLSDDEIASLGLEFCNLLREVSSEDPLYNSCRTMLAEYLEDNYEIYYHCYPRTVIQILLFSVKLSQDLSNGLANLSYRREHIEPLSIQVRDLGKFLCVSHEAINVLINEDEDDEQNRMVKFIVDVIGVLFHLHLKDMEQNLTRLADMKQIFEKLDTVRPVSLLYLAILKELNRMSQLVEGAVDEFRRVLEGQKNSLHIMIEKIIRSDDYDWLLEHSAVLHSESRMHLLMMKMIPEKKLHDPVLYKPLIRWSKNLDEKLYEKFGKKDLTDSQVLQDWLCKLCQILFKPHNLLFLACPNDPTKFYPNPELKRQPLHLDSFEICGMVIALALMHEIHIGIAFHNLFLLQLAGNDISIEEIREACPSFYNKKAKDPSHGDDRIQNEFMESVSEKIHFFRQGFHRVFGKSVQQLLSHRGIELEDLNQVLKGNLNLEFNFGKKRKYEDDESDPPTSQNNESDPLMYQFLKVNRRGVSFTGWQKGMRLGKGGFGEVYEGYAPSGFFFAFKEIEIKNEGMIEEINHEIDLLCQLRHPNIVSYYGMERRESKIYIFLEIVRPGSLKEICKNFKLKDSQVFHYTKQILEGLNYLHGRSVAHRDIKCSNILVNDKGCVKIADFGLAKVPELNALMKSCCGTTPWMAPEVIKGDNKYGFEADIWSLGCTVLQMLTGKSPYSDLDCGTRTLENEIVRGKLPTLPDFLSELSRDFILKCLQDNPHDRPTAAKLLQHPFVKGSGF >Manes.02G168700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13283148:13286435:-1 gene:Manes.02G168700.v8.1 transcript:Manes.02G168700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYHHPYSLDSQKVRLALEEKGIDYTSHHVNPITGKNMDASFFRKNPSGKLPVFQNGSHIIFDTIEMIQYIERIAVVSAGADENSFSSREVIEWMQKIQEWNPKFFTLAHVPEKYRLTISKFIRRVVIARMAESPDLASAYHRKLKEAYETEDKLKNPEVVKRSKEHLVQLLEEVETKLSETSYLGGEEFSMADAMLIPVLARLVLLNLEDEYISSRPNIAEYWILVQQRPSYRKVIGRYFNGWRRYKTLIKTWSFVRIRSLLRKF >Manes.02G168700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13283148:13285209:-1 gene:Manes.02G168700.v8.1 transcript:Manes.02G168700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYHHPYSLDSQKVRLALEEKGIDYTSHHVNPITGKNMDASFFRKNPSGKLPVFQNGSHIIFDTIEMIQYIERIAVVSAGADENSFSSREVIEWMQKIQEWNPKFFTLAHVPEKYRLTISKFIRRVVIARMAESPDLASAYHRKLKEAYETEDKLKNPEVVKRSKEHLVQLLEEVETKLSETSYLGGEEFSMADAMLIPVLARLVLLNLEDEYISSRPNIAEYWILVQQRPSYRKVIGRYFNGWRRYKTLIKTWSFVRIRSLLRKF >Manes.02G168700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13283148:13286455:-1 gene:Manes.02G168700.v8.1 transcript:Manes.02G168700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYHHPYSLDSQKVRLALEEKGIDYTSHHVNPITGKNMDASFFRKNPSGKLPVFQNGSHIIFDTIEMIQYIERIAVVSAGADENSFSSREVIEWMQKIQEWNPKFFTLAHVPEKYRLTISKFIRRVVIARMAESPDLASAYHRKLKEAYETEDKLKNPEVVKRSKEHLVQLLEEVETKLSETSYLGGEEFSMADAMLIPVLARLVLLNLEDEYISSRPNIAEYWILVQQRPSYRKVIGRYFNGWRRYKTLIKTWSFVRIRSLLRKF >Manes.02G168700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13283148:13286428:-1 gene:Manes.02G168700.v8.1 transcript:Manes.02G168700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASFFRKNPSGKLPVFQNGSHIIFDTIEMIQYIERIAVVSAGADENSFSSREVIEWMQKIQEWNPKFFTLAHVPEKYRLTISKFIRRVVIARMAESPDLASAYHRKLKEAYETEDKLKNPEVVKRSKEHLVQLLEEVETKLSETSYLGGEEFSMADAMLIPVLARLVLLNLEDEYISSRPNIAEYWILVQQRPSYRKVIGRYFNGWRRYKTLIKTWSFVRIRSLLRKF >Manes.02G168700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13283148:13286494:-1 gene:Manes.02G168700.v8.1 transcript:Manes.02G168700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYHHPYSLDSQKVRLALEEKGIDYTSHHVNPITGKNMDASFFRKNPSGKLPVFQNGSHIIFDTIEMIQYIERIAVVSAGADENSFSSREVIEWMQKIQEWNPKFFTLAHVPEKYRLTISKFIRRVVIARMAESPDLASAYHRKLKEAYETEDKLKNPEVVKRSKEHLVQLLEEVETKLSETSYLGGEEFSMADAMLIPVLARLVLLNLEDEYISSRPNIAEYWILVQQRPSYRKVIGRYFNGWRRYKTLIKTWSFVRIRSLLRKF >Manes.02G168700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13283148:13286494:-1 gene:Manes.02G168700.v8.1 transcript:Manes.02G168700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYHHPYSLDSQKVRLALEEKGIDYTSHHVNPITGKNMDASFFRKNPSGKLPVFQNGSHIIFDTIEMIQYIERIAVVSAGADENSFSSREVIEWMQKIQEWNPKFFTLAHVPEKYRLTISKFIRRVVIARMAESPDLASAYHRKLKEAYETEDKLKNPEVVKRSKEHLVQLLEEVETKLSETSYLGGEEFSMADAMLIPVLARLVLLNLEDEYISSRPNIAEYWILVQQRPSYRKVIGRYFNGWRRYKTLIKTWSFVRIRSLLRKF >Manes.02G168700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13283148:13286451:-1 gene:Manes.02G168700.v8.1 transcript:Manes.02G168700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYHHPYSLDSQKVRLALEEKGIDYTSHHVNPITGKNMDASFFRKNPSGKLPVFQNGSHIIFDTIEMIQYIERIAVVSAGADENSFSSREVIEWMQKIQEWNPKFFTLAHVPEKYRLTISKFIRRVVIARMAESPDLASAYHRKLKEAYETEDKLKNPEVVKRSKEHLVQLLEEVETKLSETSYLGGEEFSMADAMLIPVLARLVLLNLEDEYISSRPNIAEYWILVQQRPSYRKVIGRYFNGWRRYKTLIKTWSFVRIRSLLRKF >Manes.16G051300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11410216:11415689:1 gene:Manes.16G051300.v8.1 transcript:Manes.16G051300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSQETVMAIKSYQSQAEVLVKNYILAAPFIPYTSILGGLVASKLAFDITQLISTFYIKNYAGLTKIQRIEWNNRGMSSIHAIFIATLSLYLVFWSDLFSDHQSTDFVTLRSSPLCIFGLGVSVGYFFADLGMIFWFYPSLGGLEYVIHHSLSVIAVAYSMFSGEGQLYTYMCLISEVTTPEINMRWYLDTAGMKRSTMYLINGVVIFLAWLIARVLLFVYIFHHIYLHYDQVVQMSPFGCLVVFAVPSALFIMNLMWFTKIIKGLMKTLAKRQ >Manes.16G051300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11410170:11416624:1 gene:Manes.16G051300.v8.1 transcript:Manes.16G051300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSQETVMAIKSYQSQAEVLVKNYILAAPFIPYTSILGGLVASKLAFDITQLISTFYIKNYAGLTKIQRIEWNNRGMSSIHAIFIATLSLYLVFWSDLFSDHQSTDFVTLRSSPLCIFGLGVSVGYFFADLGMIFWFYPSLGGLEYVIHHSLSVIAVAYSMFSGEGQLYTYMCLISEVTTPEINMRWYLDTAGMKRSTMYLINGVVIFLAWLIARVLLFVYIFHHIYLHYDQVVQMSPFGCLVVFAVPSALFIMNLMWFTKIIKGLMKTLAKRQ >Manes.16G051300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11410171:11415795:1 gene:Manes.16G051300.v8.1 transcript:Manes.16G051300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSQETVMAIKSYQSQAEVLVKNYILAAPFIPYTSILGGLVASKLAFDITQLISTFYIKNYAGLTKIQRIEWNNRGMSSIHAIFIATLSLYLVFWSDLFSDHQSTDFVTLRSSPLCIFGLGVSVGYFFADLGMIFWFYPSLGGLEYVIHHSLSVIAVAYSMFSGEGQLYTYMCLISEVTTPEINMRWYLDTAGMKRSTMYLINGVVIFLAWLIARVLLFVYIFHHIYLHYDQVVQMSPFGCLVVFAVPSALFIMNLMWFTKIIKGLMKTLAKRQ >Manes.16G051300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11410216:11415689:1 gene:Manes.16G051300.v8.1 transcript:Manes.16G051300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSQETVMAIKSYQSQAEVLVKNYILAAPFIPYTSILGGLVASKLAFDITQLISTFYIKNYAGLTKIQRIEWNNRGMSSIHAIFIATLSLYLVFWSDLFSDHQSTDFVTLRSSPLCIFGLGVSVGYFFADLGMIFWFYPSLGGLEYVIHHSLSVIAVAYSMFSGEGQLYTYMCLISEVTTPEINMRWYLDTAGMKRSTMYLINGVVIFLAWLIARVLLFVYIFHHIYLHYDQVVQMSPFGCLVVFAVPSALFIMNLMWFTKIIKGLMKTLAKRQ >Manes.05G072600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5994270:5994803:-1 gene:Manes.05G072600.v8.1 transcript:Manes.05G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYEKINKAVLVCSVIHSFRNKPGSLQHPKSGCPHPDTAHEREAGDVVPISFDYSSQPKPATPLESSKLQPHASSANTGSPGRVAKSNSEVGSPQFTKPEGNKIHIEESFTTYIDRVRARMRTMSTISYLPNADNVPHVNDGNNASGRDEFSEFIDRAGIKIRTTSSIGGGNTPAS >Manes.07G133005.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33491167:33492630:-1 gene:Manes.07G133005.v8.1 transcript:Manes.07G133005.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNVRLRSLSATTPIAFKVQTSWPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPSDHFLIKTAPFPPNSSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSCGDVNSVKNIIKRQRSILSELSHRERLSRSFELLLSWLTLRVCFGPLDLRDKEGRTPLHFAAGRGNIKCARVLVESGADKDANSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHKGANKNYKDQYGFTALHAAAIKGHKDIVSMLVEFGVDLECQDNEGHSSLHFAVEGGSLETVEVLVGRGANVNAMSNRGATPLYMARTLGYTDISQFLVSRGASLPSPPPSSLPSSLQSIQHDKVL >Manes.07G077000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21129733:21134887:-1 gene:Manes.07G077000.v8.1 transcript:Manes.07G077000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLQNRNELGSVRPVRNLNEKLASVLLDSSAKDDLLIKQEKLMQEDIAGQEEAKVACLRKDLDEDLKQGVAANEKLPNSDAALKACMQQLSSLQEEQEQKIQDAVMKAKSDFDKEKKKLEDKLREMNKKVASLAVENTNFSKALVLKDKLVEELHIRSSQTAAEFDALMARLDTTEKENALLKYEFHMLEKELEVRNEELEYTRRSADVSHRKHLESVKKISNLESECQRLRVLMRKKLPGPATLARMKNEVETLGRNPIELRKPNPTRDLVLRDTIGEKSSEIPVKNINFLIEQLRGMEEENRTLKEILTKKNTELRSSRIRYSQTASKLTQVETKLIELSGGQKHVDLAKCSPVSSELYPLAGFDFGSDDGLSSSGSWANALMSELEHFKDAKLKGPSDCRAIEVSNISLMDDFVEMEKLALVSAQTPSAGTSHSLSTGKELVPVVQGQSGCNEKQEVHSRHVSADKSFDWIQEVLNAIFKEQRISKRSLTELLEDIKIALGYINHPSVFQVDTTAMSKHLESDNRSCLTCKSSYISSIIHSLNEASTAEASAKETMKQCSLLNLGKSIGKIIQLIEGINPTPLLCNGATDNMSKREESSLFSAVSADYSVHVFQWRSSELSSILKRFILACNSLLNGKASTEFFAEELLFTLDWIVTSCVTPKDASSARDKIKRHFSQSESQRDSEVGVEVDFRLMDSNAICSFKEKPPCFPSAASFNEQNSLFQIKSGWCDLQEEIRRLKDKLKNMESGKEEMEIKLQRATDKNETLMMQLQKSEQSMKSLLLELESMRESKGMIEDQMENQKLINEDLDTQLTVAKAKLNEVLQKFTSLEVELEEKNNCCEELEATCLELQLQLESVAKKESSNYTTSEDRTQNQNGSDITAASLKLAECQETILNLGKQLKALATPTEAALFDKVFNANGSTTTAAINKSWNRRFSLRDQMLAEDTSKPVILRSPTADAKDNSNNSNSMSAPSFLAPSAEAKFDSRQKSGTNAIGALAIVPGKKQGIGFFRRLLMRRKKDSIKKSHSLVKV >Manes.07G077000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21129733:21134887:-1 gene:Manes.07G077000.v8.1 transcript:Manes.07G077000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLQNRNELGSVRPVRNLNEKLASVLLDSSAKDDLLIKQEKLMQEDIAGQEEAKVACLRKDLDEDLKQGVAANEKLPNSDAALKACMQQLSSLQEEQEQKIQDAVMKAKSDFDKEKKKLEDKLREMNKKVASLAVENTNFSKALVLKDKLVEELHIRSSQTAAEFDALMARLDTTEKENALLKYEFHMLEKELEVRNEELEYTRRSADVSHRKHLESVKKISNLESECQRLRVLMRKKLPGPATLARMKNEVETLGRNPIELRKPNPTRDLVLRDTIGEKSSEIPVKNINFLIEQLRGMEEENRTLKEILTKKNTELRSSRIRYSQTASKLTQVETKLIELSGGQKHVDLAKCSPVSSELYPLAGFDFGSDDGLSSSGSWANALMSELEHFKDAKLKGPSDCRAIEVSNISLMDDFVEMEKLALVSAQTPSAGTSHSLSTGKELVPVVQGQSGCNEKQEVHSRHVSADKSFDWIQEVLNAIFKEQRISKRSLTELLEDIKIALGYINHPSVFQVDTTAMSKHLESDNRSCLTCKSSYISSIIHSLNEASTAEASAKETMKQCSLLNLGKSIGKIIQLIEGINPTPLLCNGATDNMSKREESSLFSAVSADYSVHVFQWRSSELSSILKRFILACNSLLNGKASTEFFAEELLFTLDWIVTSCVTPKDASSARDKIKRHFSQSESQRDSEVGVEVDFRLMDSNAICSFKEKPPCFPSAASFNEQNSLFQIKSGWCDLQEEIRRLKDKLKNMESGKEEMEIKLQRATDKNETLMMQLQKSEQSMKSLLLELESMRESKGMIEDQMENQKLINEDLDTQLTVAKAKLNEVLQKFTSLEVELEEKNNCCEELEATCLELQLQLESVAKKESSNYTTSEDRTQNQNGSDITAASLKLAECQETILNLGKQLKALATPTEAALFDKVFNANGSTTTAAINKSWNRRFSLRDQMLAEDTSKPVILRSPTADAKDNSNNSNSMSAPSFLAPSAEAKFDSRQKSGTNAIGALAIVPGKKQGIGFFRRLLMRRKKDSIKKSHSLVKV >Manes.07G077000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21129733:21134887:-1 gene:Manes.07G077000.v8.1 transcript:Manes.07G077000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSWLWRKRSSEKTILATNKFGISVEGIDKEAEKLQNRNELGSVRPVRNLNEKLASVLLDSSAKDDLLIKQEKLMQEDIAGQEEAKVACLRKDLDEDLKQGVAANEKLPNSDAALKACMQQLSSLQEEQEQKIQDAVMKAKSDFDKEKKKLEDKLREMNKKVASLAVENTNFSKALVLKDKLVEELHIRSSQTAAEFDALMARLDTTEKENALLKYEFHMLEKELEVRNEELEYTRRSADVSHRKHLESVKKISNLESECQRLRVLMRKKLPGPATLARMKNEVETLGRNPIELRKPNPTRDLVLRDTIGEKSSEIPVKNINFLIEQLRGMEEENRTLKEILTKKNTELRSSRIRYSQTASKLTQVETKLIELSGGQKHVDLAKCSPVSSELYPLAGFDFGSDDGLSSSGSWANALMSELEHFKDAKLKGPSDCRAIEVSNISLMDDFVEMEKLALVSAQTPSAGTSHSLSTGKELVPVVQGQSGCNEKQEVHSRHVSADKSFDWIQEVLNAIFKEQRISKRSLTELLEDIKIALGYINHPSVFQVDTTAMSKHLESDNRSCLTCKSSYISSIIHSLNEASTAEASAKETMKQCSLLNLGKSIGKIIQLIEGINPTPLLCNGATDNMSKREESSLFSAVSADYSVHVFQWRSSELSSILKRFILACNSLLNGKASTEFFAEELLFTLDWIVTSCVTPKDASSARDKIKRHFSQSESQRDSEVGVEVDFRLMDSNAICSFKEKPPCFPSAASFNEQNSLFQIKSGWCDLQEEIRRLKDKLKNMESGKEEMEIKLQRATDKNETLMMQLQKSEQSMKSLLLELESMRESKGMIEDQMENQKLINEDLDTQLTVAKAKLNEVLQKFTSLEVELEEKNNCCEELEATCLELQLQLESVAKKESSNYTTSEDRTQNQNGSDITAASLKLAECQETILNLGKQLKALATPTEAALFDKVFNANGSTTTAAINKSWNRRFSLRDQMLAEDTSKPVILRSPTADAKDNSNNSNSMSAPSFLAPSAEAKFDSRQKSGTNAIGALAIVPGKKQGIGFFRRLLMRRKKDSIKKSHSLVKV >Manes.07G077000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21129733:21134887:-1 gene:Manes.07G077000.v8.1 transcript:Manes.07G077000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYGRQFETMDNKSWLWRKRSSEKTILATNKFGISVEGIDKEAEKLQNRNELGSVRPVRNLNEKLASVLLDSSAKDDLLIKQEKLMQEDIAGQEEAKVACLRKDLDEDLKQGVAANEKLPNSDAALKACMQQLSSLQEEQEQKIQDAVMKAKSDFDKEKKKLEDKLREMNKKVASLAVENTNFSKALVLKDKLVEELHIRSSQTAAEFDALMARLDTTEKENALLKYEFHMLEKELEVRNEELEYTRRSADVSHRKHLESVKKISNLESECQRLRVLMRKKLPGPATLARMKNEVETLGRNPIELRKPNPTRDLVLRDTIGEKSSEIPVKNINFLIEQLRGMEEENRTLKEILTKKNTELRSSRIRYSQTASKLTQVETKLIELSGGQKHVDLAKCSPVSSELYPLAGFDFGSDDGLSSSGSWANALMSELEHFKDAKLKGPSDCRAIEVSNISLMDDFVEMEKLALVSAQTPSAGTSHSLSTGKELVPVVQGQSGCNEKQEVHSRHVSADKSFDWIQEVLNAIFKEQRISKRSLTELLEDIKIALGYINHPSVFQVDTTAMSKHLESDNRSCLTCKSSYISSIIHSLNEASTAEASAKETMKQCSLLNLGKSIGKIIQLIEGINPTPLLCNGATDNMSKREESSLFSAVSADYSVHVFQWRSSELSSILKRFILACNSLLNGKASTEFFAEELLFTLDWIVTSCVTPKDASSARDKIKRHFSQSESQRDSEVGVEVDFRLMDSNAICSFKEKPPCFPSAASFNEQNSLFQIKSGWCDLQEEIRRLKDKLKNMESGKEEMEIKLQRATDKNETLMMQLQKSEQSMKSLLLELESMRESKGMIEDQMENQKLINEDLDTQLTVAKAKLNEVLQKFTSLEVELEEKNNCCEELEATCLELQLQLESVAKKESSNYTTSEDRTQNQNGSDITAASLKLAECQETILNLGKQLKALATPTEAALFDKVFNANGSTTTAAINKSWNRRFSLRDQMLAEDTSKPVILRSPTADAKDNSNNSNSMSAPSFLAPSAEAKFDSRQKSGTNAIGALAIVPGKKQGIGFFRRLLMRRKKDSIKKSHSLVKV >Manes.07G077000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21129717:21134887:-1 gene:Manes.07G077000.v8.1 transcript:Manes.07G077000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLQNRNELGSVRPVRNLNEKLASVLLDSSAKDDLLIKQEKLMQEDIAGQEEAKVACLRKDLDEDLKQGVAANEKLPNSDAALKACMQQLSSLQEEQEQKIQDAVMKAKSDFDKEKKKLEDKLREMNKKVASLAVENTNFSKALVLKDKLVEELHIRSSQTAAEFDALMARLDTTEKENALLKYEFHMLEKELEVRNEELEYTRRSADVSHRKHLESVKKISNLESECQRLRVLMRKKLPGPATLARMKNEVETLGRNPIELRKPNPTRDLVLRDTIGEKSSEIPVKNINFLIEQLRGMEEENRTLKEILTKKNTELRSSRIRYSQTASKLTQVETKLIELSGGQKHVDLAKCSPVSSELYPLAGFDFGSDDGLSSSGSWANALMSELEHFKDAKLKGPSDCRAIEVSNISLMDDFVEMEKLALVSAQTPSAGTSHSLSTGKELVPVVQGQSGCNEKQEVHSRHVSADKSFDWIQEVLNAIFKEQRISKRSLTELLEDIKIALGYINHPSVFQVDTTAMSKHLESDNRSCLTCKSSYISSIIHSLNEASTAEASAKETMKQCSLLNLGKSIGKIIQLIEGINPTPLLCNGATDNMSKREESSLFSAVSADYSVHVFQWRSSELSSILKRFILACNSLLNGKASTEFFAEELLFTLDWIVTSCVTPKDASSARDKIKRHFSQSESQRDSEVGVEVDFRLMDSNAICSFKEKPPCFPSAASFNEQNSLFQIKSGWCDLQEEIRRLKDKLKNMESGKEEMEIKLQRATDKNETLMMQLQKSEQSMKSLLLELESMRESKGMIEDQMENQKLINEDLDTQLTVAKAKLNEVLQKFTSLEVELEEKNNCCEELEATCLELQLQLESVAKKESSNYTTSEDRTQNQNGSDITAASLKLAECQETILNLGKQLKALATPTEAALFDKVFNANGSTTTAAINKSWNRRFSLRDQMLAEDTSKPVILRSPTADAKDNSNNSNSMSAPSFLAPSAEAKFDSRQKSGTNAIGALAIVPGKKQGIGFFRRLLMRRKKDSIKKSHSLVKV >Manes.07G077000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21129717:21134086:-1 gene:Manes.07G077000.v8.1 transcript:Manes.07G077000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSWLWRKRSSEKTILATNKFGISVEGIDKEAEKLQNRNELGSVRPVRNLNEKLASVLLDSSAKDDLLIKQEKLMQEDIAGQEEAKVACLRKDLDEDLKQGVAANEKLPNSDAALKACMQQLSSLQEEQEQKIQDAVMKAKSDFDKEKKKLEDKLREMNKKVASLAVENTNFSKALVLKDKLVEELHIRSSQTAAEFDALMARLDTTEKENALLKYEFHMLEKELEVRNEELEYTRRSADVSHRKHLESVKKISNLESECQRLRVLMRKKLPGPATLARMKNEVETLGRNPIELRKPNPTRDLVLRDTIGEKSSEIPVKNINFLIEQLRGMEEENRTLKEILTKKNTELRSSRIRYSQTASKLTQVETKLIELSGGQKHVDLAKCSPVSSELYPLAGFDFGSDDGLSSSGSWANALMSELEHFKDAKLKGPSDCRAIEVSNISLMDDFVEMEKLALVSAQTPSAGTSHSLSTGKELVPVVQGQSGCNEKQEVHSRHVSADKSFDWIQEVLNAIFKEQRISKRSLTELLEDIKIALGYINHPSVFQVDTTAMSKHLESDNRSCLTCKSSYISSIIHSLNEASTAEASAKETMKQCSLLNLGKSIGKIIQLIEGINPTPLLCNGATDNMSKREESSLFSAVSADYSVHVFQWRSSELSSILKRFILACNSLLNGKASTEFFAEELLFTLDWIVTSCVTPKDASSARDKIKRHFSQSESQRDSEVGVEVDFRLMDSNAICSFKEKPPCFPSAASFNEQNSLFQIKSGWCDLQEEIRRLKDKLKNMESGKEEMEIKLQRATDKNETLMMQLQKSEQSMKSLLLELESMRESKGMIEDQMENQKLINEDLDTQLTVAKAKLNEVLQKFTSLEVELEEKNNCCEELEATCLELQLQLESVAKKESSNYTTSEDRTQNQNGSDITAASLKLAECQETILNLGKQLKALATPTEAALFDKVFNANGSTTTAAINKSWNRRFSLRDQMLAEDTSKPVILRSPTADAKDNSNNSNSMSAPSFLAPSAEAKFDSRQKSGTNAIGALAIVPGKKQGIGFFRRLLMRRKKDSIKKSHSLVKV >Manes.12G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35325440:35332959:1 gene:Manes.12G146200.v8.1 transcript:Manes.12G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEESTSIPLSHPDNTGDDPEDSAKSPPSSNSSTREACCYVLQSWVSKKFMTGCVVLFPIAVTFFITWWLIQFVDGFFSPIYERLGIDIFGLGFVTSLVFVFFVGVFVSSWIGSTVFWLGEWFIKRMPFVKHLYSASKQISAAVSPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVILQKDNEDEELCSVFVPTNHLYIGDIFLVNSKDIIRPNLSIREGIEIIVSGGMTMPQMITPLQRIARQSERIPLNRIV >Manes.05G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25271766:25279465:-1 gene:Manes.05G149600.v8.1 transcript:Manes.05G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKADKNAAAIDSAGGTVASSRSLMDKDNYKKPNYVQISVESYTHLTGLEEQVKTYEDQVKTYEQQVQTLEDEIKELNEKLCTANSEMITKENLVKQHAKVAEEAVSGWEKAEAEALALKTHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLQDVIISKTRQFDKIKLEFEAKIANLDQELLRSAAENATLSRSLQERSNMLIKISEEKSQAEAEIELLKSNIESCEREINTLKYELHIVSKELEIRNEEKNMSMRSAEAANKQHMEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVENLGRDYGDSRLRRSPIKPPSPHLSVPEFSLDNAHKFHKQNEFLTERMLAMEEDTKMLKEALAKRNSELQASRNLCAKAESRLQSLEAQLQVNNQQKGSPKSMIQVPTEGYPGQNMSSPPSLISMSEDGNDDAQSCAESWATSFITELSQLKKEKSSEKPINTKNAKHLELMDDFLEMERLACLNADDAMTISDSPNNKTSEIQNGDPSIDVSSVKDTLSEGQSIVNPLVNYVSSNMDSDLGPNADQSPLMKLRSRISMLLESTSNDADVGKILEDVKRVVQDAHDALCQQSVSCVSEQVSTLDDAACNGQTCSEDAILTGEKEIILPQDIKAATEPVHSVSISQELVTAISSIHDFILCLGQEAIAVHDTSSDGDGLSKKIEEFSVTFNKVLNGDTSLDGFVSDLARVLAKASELRFNVLGYKDPEGEINSPDCIDKVALPENKVLQRDSSGERYQNGCAHISSPNSNPEVPDDGNLVSGYGSNTASCQVSLEEYEELKSEKDSMTADLARCAENLELTKSQLHETEQLLAEVKSQLASAHKLNSLAETQLKCMAESYRSLEAHSEELETEVNLLQGKVETLVNELQDEKRCHWDALTRCKELEEQLKMKASATEVDLKTKQEREIASAAEKLAECQETIFQLGKQLKAFRSQTEPIGSPHYERSQRGEGFAEEEPTTSGMNLQDFDQAEMDSTVSANTHRTGAESPMDLYSQACSPSDTEGSFSRSPINSKHSKHRSTMSTSSSSTVVQTLEKHPRGFSRFFSSKGKNGN >Manes.07G112800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31838532:31839416:1 gene:Manes.07G112800.v8.1 transcript:Manes.07G112800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSVLDLLKYVDHEQEQYPYTVPYLVNSCGFSLESAKCISEKVNFESADKPDAVVSLLRDYGFTNVHISRLIRKAPLLLLSNPAGTLLPKLEFQRSIGVSGSEIGKIASRNTSFLRQSLKKHIIPCYNILKSVVISDVKAVKALRLLSDTACSFLPENLPVNLSTISHLLMKQPSLACLKSAKFRQIVNKAIEMGFDPLKSTFAHALYVLSCPNIWEKKIEVYRNYGLSEDEIWSAIRKFPLCMSFSRKKITNTMDFLVNKVGWLPADFARVPFVLCYSSQKRIIPRCYSFL >Manes.01G010866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3269501:3271406:-1 gene:Manes.01G010866.v8.1 transcript:Manes.01G010866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFILAILVTLLVSFLFNPRATSFWSRRHPKNDRKLPPGPPALPIIGNLYMLGSLPHRSLYRLSKKYGPIMSMRLGSIPAIVVSSPQAAELFLKTHDVVFASRPIIQASVYLFYGNKGMVFSEYGPYWRSIRKLCTLQLLSPSKIEYFAPMRMEEVRLLVNSLKKAAAAREAVDISLGVGDLIRNMSCKLVFGEANIYEFDLKLLIEEALNLTGAFNIADYVPFLGAFDLQGLKKRMKAFSKKMDKILEKIIDEHEREAQWQKQQQTRDFVDVLLSLMNQPIASNDESLSTLDRTNIKAILQDMIIGSFDTSAVTIEWTLTELLRHPSAMKRLQDELQSVVGLDKMVQEKDLSNLPYLDMVIKESLRLHPVGPLLIPRSCMEEIIIDGYHIPKKARIIVNAWGIGRDPDVWSDNAEEFLPERFAAKSIDIRGRDFEFLPFGSGRRGCPGMQLGLTVVRLVIAQLVHCFNWELPDGVLPGELDMSEVFGLSLPRASHLVVVPKYRLGI >Manes.02G068201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5219235:5221688:-1 gene:Manes.02G068201.v8.1 transcript:Manes.02G068201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILCLGFHFIESNDEWHFLNFHPSGTILYHVFHSYKEFGIILVPEGFTKSPGKGAYVIHTLHKRNEPVDEDKASQRYGYWGMRHSFVATRNMSDLYTALESKSCYQKMQS >Manes.01G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11622949:11626191:1 gene:Manes.01G048600.v8.1 transcript:Manes.01G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSGAASDQKAAAVEVSKDRNGIDQIVLSNPRGASARVSFYGGQVLSWTTDQGEELLFTSSKAIFKPPNPVRGGIPICFPQFGNRGALEQHGFARKKIWLIDENPPPLPHNDSNGKAYVDLILRPSEEDLKIWPHSFEFRLRVSLSADGNLTLLSRIRNINSKPFSFSIAYHTYFAISDISEVRVEGLETLDYLDNLCQRERFTEQGDTLTFESEVDRVYLSSSDVAVFDHERKRTFLIRKEGLPDVVVWNPWEKKSKSMVDFGDEEYKQMLCIDGAEIEKPITLKPGEEWTGRLELSTVSSS >Manes.07G079422.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24525276:24526785:1 gene:Manes.07G079422.v8.1 transcript:Manes.07G079422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSASKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVERTLVAPAKAGQHGKNSWVTLRYLDRLTVSGGGNLRFDFVTNSIVEDVTSSDSKQFHVNVLGSKNLTFQRFSVKAPGHSLHTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHVISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVIIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCSWKKPSKVQISNVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKVSAKSVCTNVKPRIIGKLIPGGC >Manes.06G179701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30597598:30605151:-1 gene:Manes.06G179701.v8.1 transcript:Manes.06G179701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPMRMVESGGARKCPSSKDAAIFGSPLNNMAAENLGLLVKGNRFHGDQTNMVPGRSGSAPPSMEGSFAAIGNLLAQQNLIMSSSLESLSSAIENCESEEQLRSDPAYFAYYCSNINLNPRLPPPLISRENRRLVCHIGGLGNSWRSASIDDSGNQSLQMLSTHKEEPEDDKSPREASETSSALSSGQNTNSLAGRHKSLVDLIQEDFPRTPSPVYSQSRSSSHAAEEAVDLDAHLISPNVSSVNISKASESNSGSDDVCANTYALEVDAIRLISDTDPTVTSFTSSSCLQEKPNWQKDEGNTKDTSLEGHSSFSGTLHSARKDPKMRNKEEQRSYGRNMPQKHPSAQQGNPHQAQGVQPQKITQGTNPSHSSMGKLSHDHPRFSTIEVQPTLHSPALGPPSYASVAAYMAGGTPFYPNFQPSGLYSPQYSMGGYALSSTFLPPFMTGYPSHSAITVPFGASSSSFTGRTTASSAGEDIPHIGGPQHLGKFYGQQGLMLQPSYVDPLYMQYFQNPYGDAYGATFQQNHMASSGPTGGQADSFLPQKESFFSSYRGDHKLQPPINGSPGMPSPGKVGITGSSYYGGPPGMGVMTQFPGAPLASPVLPSSPVGGIGHIGQQNDMRFPQGSSRNVGPYCGGQGQRGVNSFDEPKRHYFLEELKSSSARKFELSEIAGHIVEFSVDQHGSRFIQQKLEHCSVEEKVSVFKEVLPHASKLMTDVFGNYVIQKFFEHGSPEQRKELADKLSGQMLQLSLQMYGCRVIQKALEVIELDQKTQLVQELDGHVMRCVHDQNGNHVIQKCIECVPTKNIEFIISAFRGQVAALATHPYGCRVIQRVLEHCSDDLQSQCIVDEILESAHILAQDQYGNYVTQHVLERGKPSERSQIISKVSGKIVQMSQHKYASNVIEKCLEYGNSAEQELLIEEIIGQSEESDHLLVMMKDQFANYVVQKILEISNDKQKKILLDRVRVHLHALKKYTYGKHIVARFEQLCGEEGQVLET >Manes.16G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27963468:27972831:-1 gene:Manes.16G075900.v8.1 transcript:Manes.16G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKQSVARGKPSSNQDGSSKEKFDSSVKNKKIDSSNKHSTADFKPKSVSTVTKTEVKLKTSSSSSKTTTTKTKVREKKVFSLPGQKYDPPEEREPLRIFYESLSKQIPTSEMAEFWMMEHGLLSPERAKKAYEKKQRKQKMQRMGTPIKSTKSPSKPESSQKQQQQASKNGNLKSNKRIVEENDEDNLTSPKRRKM >Manes.01G103100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30278892:30285696:1 gene:Manes.01G103100.v8.1 transcript:Manes.01G103100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIVFSNRGKLYEFSSISNMLKTLERYQKCSYGAVEVNRPAKELESSYREYLKLKGRFESLQRTQRNLLGEELGPLNTKELEQLERQLESSLKQVRSTKTQFMLDQLSDLQKKEQLLLEANRALAMKLDEISASNNLRSSWEGGEQSMSYGQQHPQSQELFQPLECNPTLQIGYNPVGTDQMSDTTHSQQVNGFIPGWML >Manes.10G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5883770:5916448:1 gene:Manes.10G051700.v8.1 transcript:Manes.10G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSLPSVSPSIPNIHFSTFSSPSSSRSRLILRQYQCHHLPFPLCFRTFHRCPIRCCSSSSSMALHEVSGSTPTSELQDCLLYSRAFWVTKSIIAWNVDVGTDGSCFLYASQNAALSITESGVQGHDVKFKLEQDDGGLPEKVVAKFPHIRGYRAFKVPPFVDTISLLKCQLAVAAFNFDGKCSNATGLQLPGILDELFSYDGPLGAHFAKDAVSLYLWAPTAQAVRLCIYKDSFTSNPTETVQLMEVNGVWSTKGPKDWEGCYYVYEVSVYHPSTLHIEKYFANDPYARGLSSDGKRTLLVNLDSDTLKPERWDILADEKPTLLSFSDISIYELHIRDFSANDDTVHSDLRGGYLAFTVEDSAGVLHLKKLSSAGLTHVHLLPAFQFAGVDDVRENWKSVDNSMLEKLPPDSAEQQAHITAFQNDDGYNWGYNPVLWGVPKGSYASNPNGSCRTIEFRKMVQAINRIGLRVVLDVVYNHLHGSGPFDENSVLDKVVPGYYLRRNSDGFIENSTCVNNTASEHYMVERLIVDDLLSWAVNYKIDGFRFDLMGHMMKSTMVKAKDALLSLTKERNGVDGSSIYLYGEGWDFGEVAKNARGINASQFNLGGTGIGSFNDRIRDAMHGGSPFGHPLQQGFVTGLMLQPNGHDHGGKDVEEHMLTIAKDHIQAGMAANLREFVLINSEGKEVKGSEILTYGGEPLAYALCPTETINYVSAHDNETLFDVVCMKTPMQISVDERCRLNYLATNMIALAQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFSYNSNNWGVGLPPKGKNEGNWPLMKPRLADPSFKPQKSHILATVDNFLDVLQIRYSSPLFRLTTANAIQERVRFHNTGPSWVPGVIVMSIEDGHEGFPGLSQLDPIYSYIVVIFNTCPNEILFACPPLRARGFQLHPVQVKSTDKVVKKSAYEPLSGCFTVPPMTTSVFVEPRKI >Manes.10G051700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5883770:5916448:1 gene:Manes.10G051700.v8.1 transcript:Manes.10G051700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSLPSVSPSIPNIHFSTFSSPSSSRSRLILRQYQCHHLPFPLCFRTFHRCPIRCCSSSSSMALHEVSGSTPTSELQDCLLYSRAFWVTKSIIAWNVDVGTDGSCFLYASQNAALSITESGVQGHDVKFKLEQDDGGLPEKVVAKFPHIRGYRAFKVPPFVDTISLLKCQLAVAAFNFDGKCSNATGLQLPGILDELFSYDGPLGAHFAKDAVSLYLWAPTAQAVRLCIYKDSFTSNPTETVQLMEVNGVWSTKGPKDWEGCYYVYEVSVYHPSTLHIEKYFANDPYARGLSSDGKRTLLVNLDSDTLKPERWDILADEKPTLLSFSDISIYELHIRDFSANDDTVHSDLRGGYLAFTVEDSAGVLHLKKLSSAGLTHVHLLPAFQFAGVDDVRENWKSVDNSMLEKLPPDSAEQQAHITAFQNDDGYNWGYNPVLWGVPKGSYASNPNGSCRTIEFRKMVQAINRIGLRVVLDVVYNHLHGSGPFDENSVLDKVVPGYYLRRNSDGFIENSTCVNNTASEHYMVERLIVDDLLSWAVNYKIDGFRFDLMGHMMKSTMVKAKDALLSLTKERNGVDGSSIYLYGEGWDFGEVAKNARGINASQFNLGGTGIGSFNDRIRDAMHGGSPFGHPLQQGFVTGLMLQPNGHDHGGKDVEEHMLTIAKDHIQAGMAANLREFVLINSEGKEVKGSEILTYGGEPLAYALCPTETINYVSAHDNETLFDVVCMKTPMQISVDERCRLNYLATNMIALAQVSLLLSFILLFCRWSFSFIT >Manes.10G051700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5883770:5916448:1 gene:Manes.10G051700.v8.1 transcript:Manes.10G051700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKSLPSVSPSIPNIHFSTFSSPSSSRSRLILRQYQCHHLPFPLCFRTFHRCPIRCCSSSSSMALHEVSGSTPTSELQDCLLYSRAFWVTKSIIAWNVDVGTDGSCFLYASQNAALSITESGVQGHDVKFKLEQDDGGLPEKVVAKFPHIRGYRAFKVPPFVDTISLLKCQLAVAAFNFDGKCSNATGLQLPGILDELFSYDGPLGAHFAKDAVSLYLWAPTAQAVRLCIYKDSFTSNPTETVQLMEVNGVWSTKGPKDWEGCYYVYEVSVYHPSTLHIEKYFANDPYARGLSSDGKRTLLVNLDSDTLKPERWDILADEKPTLLSFSDISIYELHIRDFSANDDTVHSDLRGGYLAFTVEDSAGVLHLKKLSSAGLTHVHLLPAFQFAGVDDVRENWKSVDNSMLEKLPPDSAEQQAHITAFQNDDGYNWGYNPVLWGVPKGSYASNPNGSCRTIEFRKMVQAINRIGLRVVLDVVYNHLHGSGPFDENSVLDKVVPGYYLRRNSDGFIENSTCVNNTASEHYMVERLIVDDLLSWAVNYKIDGFRFDLMGHMMKSTMVKAKDALLSLTKERNGVDGSSIYLYGEGWDFGEVAKNARGINASQFNLGGTGIGSFNDRIRDAMHGGSPFGHPLQQGFVTGLMLQPNGHDHGGKDVEEHMLTIAKDHIQAGMAANLREFVLINSEGKEVKGSEILTYGGEPLAYALCPTETINYVSAHDNETLFDVVCMKTPMQISVDERCRLNYLATNMIALAQVSLLLSFILLFCRWSFSFIT >Manes.04G133400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33404608:33409918:1 gene:Manes.04G133400.v8.1 transcript:Manes.04G133400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYVASAMHCGDNLSWLFLVLHPKLLVQVGGSPVYKLGKKLGKGGFGQVFVGRRHSSVTSNDRTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYSFLGGSHGIPQVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAVEAISILENMHSRGYVHGDVKPENFLLGSVGTPDEKKLFLVDLGLATRWRDSSTGRHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMASSPEGLCCFCPLPFKQFVEYVVNLKFNEEPNYAKCISFFTGIVGRDPDIRPIDIEGALKVGHKRGRLSMEDEEEEEDDGQPKKRIRLGMAATQWISVYNARRPMKQRYHYNVTDARLAQHIEKGNEDGLFISSIASCQNLWALIMDAGTGYTAQVYELSPHFLHKEWIMEHWEKNYYISAIAGAANGSSLVIMSKGTLYLQQSYKVSDSFPFKWINKKWKEGFHVTAMTTSGSRWGVVMSRGSGFSHQVVELDFLYPSEGIHRRWDHGYRITAVAGTCDQSAFVLSVPRQKPPDETQETLRTSSFPSSHVKEKWAKNLYIASICYGRTVS >Manes.04G133400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33402148:33409918:1 gene:Manes.04G133400.v8.1 transcript:Manes.04G133400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSGARRGRGAAAAAKKQVNQTVEFEGIATRTRRRRAEAAAANKNNNTDNNSDKDQQQPVDENVVAVAAVSTGAIAVNQGENRGLDGAVGVAGVGAVGGGAEKEEVGERPMDDYDSAGKSNDKANAGEDEGSTAPLPEKVQVGGSPVYKLGKKLGKGGFGQVFVGRRHSSVTSNDRTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYSFLGGSHGIPQVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAVEAISILENMHSRGYVHGDVKPENFLLGSVGTPDEKKLFLVDLGLATRWRDSSTGRHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMASSPEGLCCFCPLPFKQFVEYVVNLKFNEEPNYAKCISFFTGIVGRDPDIRPIDIEGALKVGHKRGRLSMEDEEEEEDDGQPKKRIRLGMAATQWISVYNARRPMKQRYHYNVTDARLAQHIEKGNEDGLFISSIASCQNLWALIMDAGTGYTAQVYELSPHFLHKEWIMEHWEKNYYISAIAGAANGSSLVIMSKGTLYLQQSYKVSDSFPFKWINKKWKEGFHVTAMTTSGSRWGVVMSRGSGFSHQVVELDFLYPSEGIHRRWDHGYRITAVAGTCDQSAFVLSVPRQKPPDETQETLRTSSFPSSHVKEKWAKNLYIASICYGRTVS >Manes.04G133400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33402148:33409918:1 gene:Manes.04G133400.v8.1 transcript:Manes.04G133400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSGARRGRGAAAAAKKQVNQTVEFEGIATRTRRRRAEAAAANKNNNTDNNSDKDQQQPVDENVVAVAAVSTGAIAVNQGENRGLDGAVGVAGVGAVGGGAEKEEVGERPMDDYDSAGKSNDKANAGEDEGSTAPLPEKVQVGGSPVYKLGKKLGKGGFGQVFVGRRHSSVTSNDRTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYSFLGGSHGIPQVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAVEAISILENMHSRGYVHGDVKPENFLLGSVGTPDEKKLFLVDLGLATRWRDSSTGRHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMASSPEGLCCFCPLPFKQFVEYVVNLKFNEEPNYAKCISFFTGIVGRDPDIRPIDIEGALKVGHKRGRLSMEDEEEEEDDGQPKKRIRLGMAATQWISVYNARRPMKQRYHYNVTDARLAQHIEKGNEDGLFISSIASCQNLWALIMDAGTGYTAQVYELSPHFLHKEWIMEHWEKNYYISAIAGAANGSSLVIMSKGTLYLQQSYKVSDSFPFKWINKKWKEGFHVTAMTTSGSRWGVVMSRGSGFSHQVVELDFLYPSEGIHRRWDHGYRITAVAGTCDQSAFVLSVPRQKPPDETQETLRTSSFPSSHVKEKWAKNLYIASICYGRTVS >Manes.04G133400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33402148:33409918:1 gene:Manes.04G133400.v8.1 transcript:Manes.04G133400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSGARRGRGAAAAAKKQVNQTVEFEGIATRTRRRRAEAAAANKNNNTDNNSDKDQQQPVDENVVAVAAVSTGAIAVNQGENRGLDGAVGVAGVGAVGGGAEKEEVGERPMDDYDSAGKSNDKANAGEDEGSTAPLPEKVQVGGSPVYKLGKKLGKGGFGQVFVGRRHSSVTSNDRTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYSFLGGSHGIPQVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAVEAISILENMHSRGYVHGDVKPENFLLGSVGTPDEKKLFLVDLGLATRWRDSSTGRHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMASSPEGLCCFCPLPFKQFVEYVVNLKFNEEPNYAKCISFFTGIVGRDPDIRPIDIEGALKVGHKRGRLSMEDEEEEEDDGQPKKRIRLGMAATQWISVYNARRPMKQRYHYNVTDARLAQHIEKGNEDGLFISSIASCQNLWALIMDAGTGYTAQVYELSPHFLHKEWIMEHWEKNYYISAIAGAANGSSLVIMSKGTLYLQQSYKVSDSFPFKWINKKWKEGFHVTAMTTSGSRWGVVMSRGSGFSHQVVELDFLYPSEGIHRRWDHGYRITAVAGTCDQSAFVLSVPRQKPPDETQETLRTSSFPSSHVKEKWAKNLYIASICYGRTVS >Manes.04G133400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33402148:33409918:1 gene:Manes.04G133400.v8.1 transcript:Manes.04G133400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSGARRGRGAAAAAKKQVNQTVEFEGIATRTRRRRAEAAAANKNNNTDNNSDKDQQQPVDENVVAVAAVSTGAIAVNQGENRGLDGAVGVAGVGAVGGGAEKEEVGERPMDDYDSAGKSNDKANAGEDEGSTAPLPEKVQVGGSPVYKLGKKLGKGGFGQVFVGRRHSSVTSNDRTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYSFLGGSHGIPQVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAVEAISILENMHSRGYVHGDVKPENFLLGSVGTPDEKKLFLVDLGLATRWRDSSTGRHVEYDQRPDVFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMASSPEGLCCFCPLPFKQFVEYVVNLKFNEEPNYAKCISFFTGIVGRDPDIRPIDIEGALKVGHKRGRLSMEDEEEEEDDGQPKKRIRLGMAATQWISVYNARRPMKQRYHYNVTDARLAQHIEKGNEDGLFISSIASCQNLWALIMDAGTGYTAQVYELSPHFLHKEWIMEHWEKNYYISAIAGAANGSSLVIMSKGTLYLQQSYKVSDSFPFKWINKKWKEGFHVTAMTTSGSRWGVVMSRGSGFSHQVVELDFLYPSEGIHRRWDHGYRITAVAGTCDQSAFVLSVPRQKPPDETQETLRTSSFPSSHVKEKWAKNLYIASICYGRTVS >Manes.12G052803.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4955513:4955857:1 gene:Manes.12G052803.v8.1 transcript:Manes.12G052803.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVITVGLCAIGWVLLVVIGSGNTVQGITCSRALRELKPCTAFVLGPAPLPSASCCTAVQTVNKEANNPGIRQQICECIREAAAAGGISLTKARKIPSLCHVQLPFNCLGP >Manes.07G027417.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2944216:2944770:1 gene:Manes.07G027417.v8.1 transcript:Manes.07G027417.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTILAILSLLVVVCSLSFAVGKKIWDKRKQRSSDAKKLEPNFKNLKSELYALLHLATEMERTADEHGATSDHEYNYWRNTRISEISNEAATLVSQNKLENKNLSKKKLAELSKKMEDCTDRISRLRKDAYTFLSTLLNLGRV >Manes.11G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9469195:9471365:1 gene:Manes.11G066500.v8.1 transcript:Manes.11G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSSTSAASMDYSPSWVDTSLDLNINPRRPNDHALVQERLGLANFMEFGKKISVKEETGALVEELNRVSAENKKLTEMLTVMCENYNALKSQLMDYMNKNQDKELSPSRKRKSESSNNNNNDNTIVMNGNSESSSTDEESCKKPREQVIKAKISRTYVRTEANDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSIEDQSVLVATYEGEHNHPHPSQMEATSAATRSLNLGSVPCSATLGSSGPTITLDLTKSNKSNNEPRSSKSRVETPEAQQLLVEQMASSLTKDPNFTAALAAAISGRMLQKNHTEKW >Manes.03G035200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2957630:2965191:-1 gene:Manes.03G035200.v8.1 transcript:Manes.03G035200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCYFLSVNTLKRDLNNLTGRRKSKSDGSDTSEDNLSKKEVALQQALDQITSTYGKGSIMWFGRSIAPKDVPVVSTGCFGLDIALGTGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFIDAEHALDSSLAQAIGVNTENLLLSQPDCGEQALSLVDMLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKLSTFGGFGGSTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGSQVQVKIVKNKLAPPFKTVQFELEFGKGICRESELIELGVKHKYLVRAGSFYNYNGQSFRGKEALKRFLAENDVAREELMMQLRQKLLDVGSSKYEGAEYGEPVEEIVSPDSTDEEAVTAVEA >Manes.03G035200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2957630:2965191:-1 gene:Manes.03G035200.v8.1 transcript:Manes.03G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLRKASSLRRALLSPEVLRGGILGSSSQSCNFSTKGRRKSKSDGSDTSEDNLSKKEVALQQALDQITSTYGKGSIMWFGRSIAPKDVPVVSTGCFGLDIALGTGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFIDAEHALDSSLAQAIGVNTENLLLSQPDCGEQALSLVDMLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKLSTFGGFGGSTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGSQVQVKIVKNKLAPPFKTVQFELEFGKGICRESELIELGVKHKYLVRAGSFYNYNGQSFRGKEALKRFLAENDVAREELMMQLRQKLLDVGSSKYEGAEYGEPVEEIVSPDSTDEEAVTAVEA >Manes.13G000200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:424729:426612:-1 gene:Manes.13G000200.v8.1 transcript:Manes.13G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSIAAKSQQLVRNLGATRNHDKVRVSLLPYTNCRFLAHSSVNIEEFPSVNDSSAVLKENSDTQFQSFASEGDIGGITEQFSQALGENGNCQIENFAPESADIGTLDQLSGGLVEEGEGGKEEGGAYKIDSEKLEDVLSLLQSSFDESLESNLDSLGLDLHEEFVVKVLETPLVLGDNLIRFFKWAIQEPDVNVTTHVIDVLVQAICSDLRKKDAYAMWDLVREVAEKRNVVLNLEILNRLIALFSKLGKGKAALEVFNKFGDFGCVPDSETYYYTIEALSRRSFFDWAWSVCEQMINAEALPDSEKVGKIIKWFCKGSRASDAHMVYMLAKEKNKYPPQSAVNFLISLLSQKDETVKLSLNMLDSFSGETRKYAIKPFSSVIRSLCRIKDFDGVKVLLTKMIDEGPPPGNAVFNSIINGYSKCGDMKEAIEMKNLMERRGLKPDLFTYTVIMSGYASSGQMEEACKILSEAKKKHSKLSPVTYHTLIRGYCKLEQFDKALELLAEMKDFGVQPNVDEYNKLIQSLCLKALDWETAEKLMEKMEDGRNLNGITRGLIRAVKELEGEGFEKEASVDA >Manes.06G172000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29607581:29612257:-1 gene:Manes.06G172000.v8.1 transcript:Manes.06G172000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWTQVIKVGVTDLFIAGAGSHLSGEFQLTVRFKTGISPEQKVVFRRNYQKPATADVDGDAERLSFAVAMAKVSSEVKAADIGILFVKPLAYWTRFFLSLQQHFSRPRIDAIASKIRDLAEKTYGKVPSGTQNLAHGRCWILAGDVVIHIFLPRQRAFYNLEEFYGNASPIELPLENQPPFRSENGHKKPVFMAG >Manes.03G075000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13009018:13010962:1 gene:Manes.03G075000.v8.1 transcript:Manes.03G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFRVFFISTPAIGNLVPIVEFAQRLVHHDPRFSATILIISLSQRPIVNSYIQSRSSTYSNINFIHLPPVDSPSPDQYQSAVGYISLVSQKHRPHVKDEIAKLQQTESDSARVCALFVDMFTTAMIDVANELNIPCYLYFASPVTFLGLMLHLPVIDVQLATEFIESDNELIVPKDPATELIIPGFANPLPPKVLPTSVLKRRSDGYSWFLYHTRRYVETKGVVVNTYRELEKYAITSVEATTTVPRIFPVGPVLDLAGPIEWHPERDQQDRIMKWLNNQPRSSVVFLCFGSMGSLGRSQLREIAIGLERSGFRFLWSIREPPKGKLDLPGEYASVEEILPEGFLNRTAEIGLVCGWVPQVTILANQAIGGFVSHCGWNSILESLWYGVPIATWPIYAEQQMNAFELVAELGLAVEIRVDYRSESGDMVLAEELERGIKRLMDGDDEVRRKVKEMSEKSRMAVMENGSSCASLTSLIENLTSGIS >Manes.18G092700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8559639:8566258:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRLMEKVVPVVEKRRPPRRLRRVHSMQ >Manes.18G092700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8559639:8566258:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRAYQVELECLAVRLEEENERLLKEKVTSHCNWF >Manes.18G092700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8559639:8566446:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRAYQVELECLAVRLEEENERLLKEKEERTKERFKQLMEKVVPVVEKRRPPRRLRRVHSMQW >Manes.18G092700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8559639:8566452:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRAYQVELECLAVRLEEENERLLKEKEERTKERFKQLMEKVVPVVEKRRPPRRLRRVHSMQ >Manes.18G092700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8559639:8566258:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRAYQVELECLAVRLEEENERLLKEKEERTKERFKQLMEKVVPVVEKRRPPRRLRRVHSMQW >Manes.18G092700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8564840:8565938:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRAYQVELECLAVRLEEENERLLKEKVTSHCNWF >Manes.18G092700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8559639:8566258:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRAYQVELECLAVRLEEENERLLKEKEERTKERFKQLMEKVVPVVEKRRPPRRLRRVHSMQCFDFYTGA >Manes.18G092700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8559639:8566446:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRAYQVELECLAVRLEEENERLLKEKASYRKKQKLWDRFGCLL >Manes.18G092700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8559639:8566258:-1 gene:Manes.18G092700.v8.1 transcript:Manes.18G092700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVMASSKPKSSDLTSSSATARSRRASSSSSSASAAAASVSVASSSTKSLNAFSSSSSDQIKSNFRNLTPSSSSSAVTTMTVDGILRNAYPTPSAESTLLDAQITLVDTPAPLLPATPPPQPSPAVTTATIEINHSNSSNNQELNGVLPQASKTVDDVWREIVSGRKEMKEEPDEMMTLEDFLAKAGAVDVGEEDEVKMPPPERLSGGVYAFDPIPPSAFQMLDKVEGSIVGFGSGADGVVGGGGRGVGRGKRGRTTIMEPLDKAAQQRQRRMIKNRESAARSRERKRAYQVELECLAVRLEEENERLLKEKVTSHCNWF >Manes.14G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12109595:12112394:-1 gene:Manes.14G138200.v8.1 transcript:Manes.14G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTYTPTYYSTLHDSITSLCKTILPFSFKKRRLPAAEHRLSKLQSDNLKWQQDSFHQILNLMGLHKEGILAENEVSAFRMHLLETLIASPVEHEQPVILRDKLVFLQELLYAKCISEDEYHSSKRPLLQRLAVQGAEIEARDVIVAGPKDLRQNPEEEWSVIDLKDEKSFAGKENLSSKSRSKPHSTIKQIKGAASAFGFGSSHKQTKHREEKSIFEIEAKLSSPGNFNNRESETKSILMQESVPNESTKECGSIDKGKRKPFRTLFQREQRETQGGSSYGGGENFHNSEENVSKSAKKQWGFDGFKKWKKNDSDDETAPLPLNNERSDSESYLGSCHLVDTPIGEGPDTKLIKRKLHSNGSPSDFFIDKVLGDKIKKELTRIQTELCTTNPNLKFSDDQMEAISTKLPVDKTELKKFFPKSWCDRYGDVVLDVVKKEFKDHVGELENMRNAARERHINNSKRWTTFEDDNYGHENFHPNLFAHGSSNKCFDNRNPFFHDYSNESNGNRLRSEPSSFQDQNPFWSPRHGPSLLG >Manes.09G165400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35875706:35878987:1 gene:Manes.09G165400.v8.1 transcript:Manes.09G165400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGEAKSNENHSSSSSSSSGDSNPCPICLGPFLQESYLDSCFHKFCYNCILQWTKVVAGKHSRSPSSLKCPLCKTENFSIIFGYDGSSFQRQYVNVNVNFEHSSFFSKAHKYRLKCYYTEPGILSNIVNVSRYWKSHKYLQPNRWLLSWLRREIQALLQEEDVEIIVHHILGVVDSFLKRGDQFHQMKTPEAKQGEFKTLVSNAARPFLAARTERFVNELEMFLASSFNIQAYDEVYMQQLGWNIPRVIGEAADAERGEHEPVIPYLYIFYDDSDEAD >Manes.03G102400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22626757:22632002:-1 gene:Manes.03G102400.v8.1 transcript:Manes.03G102400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGKPNEEMGLDSLTDQLRDSLSSLEANKPDFRELDLGSPVSPLRTRGLNTTATTTTTTTTSSSSSSSGSVSGSRNGHNPVLKSGHSGELCSSSETCPPTSFRNSKQGTTRSDPLIYSAGGSQSSVNSPAPVNVLPAGNICPSGRILKPGMAMTSRSSKTDVLGSGTGNYGHGSIMRGGGTTKCSNLDAGNSLSSSTSTVRGSVGGARMGGVDPEELKRAGNEMHKKGHFGEAVVLYDKAIALAPGNAAYRSNRAAALMGLGRVAEAVRECEEAVMLDPNYWRAHQRLGSLFIRLGQIENARRHLCFPGHHTDPSELQKLQLVEKHLNKCSDARKVNDWKNVLRESEAAIAAGANYSPQLFMCKAEALLKLHQLEGAQSCLSNIPKLEPYSNSCSQSRFFGMLSEAYTFLVQAQIEMSMGRFENAVTAAEKAGQIDPQNGEVSMLLHNVRLVARARARGNDLFKSERYTEACSAYGEGLRLDPLNSVLYCNRAACWFKLGMWERSIDDCNQALHIQPNYAKALLRRAASNSKLERWADAVRDYEILNRELPDDNDVAESLFHVQVALKKSRGEEVYNMKFGGEVEEVLGLEQFRVAISLPGISVVHFKTSSNLHCKQISPFVDTLCGRYPSINFLKVNIENIPAVADAENVKIVPTFKIYKNGSRVKEIVCPSCDMLEHSVRHYSF >Manes.03G102400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22627228:22632002:-1 gene:Manes.03G102400.v8.1 transcript:Manes.03G102400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGKPNEEMGLDSLTDQLRDSLSSLEANKPDFRELDLGSPVSPLRTRGLNTTATTTTTTTTSSSSSSSGSVSGSRNGHNPVLKSGHSGELCSSSETCPPTSFRNSKQGTTRSDPLIYSAGGSQSSVNSPAPVNVLPAGNICPSGRILKPGMAMTSRSSKTDVLGSGTGNYGHGSIMRGGGTTKCSNLDAGNSLSSSTSTVRGSVGGARMGGVDPEELKRAGNEMHKKGHFGEAVVLYDKAIALAPGNAAYRSNRAAALMGLGRVAEAVRECEEAVMLDPNYWRAHQRLGSLFIRLGQIENARRHLCFPGHHTDPSELQKLQLVEKHLNKCSDARKVNDWKNVLRESEAAIAAGANYSPQLFMCKAEALLKLHQLEGAQSCLSNIPKLEPYSNSCSQSRFFGMLSEAYTFLVQAQIEMSMGRFENAVTAAEKAGQIDPQNGEVSMLLHNVRLVARARARGNDLFKSERYTEACSAYGEGLRLDPLNSVLYCNRAACWFKLGMWERSIDDCNQALHIQPNYAKALLRRAASNSKLERWADAVRDYEILNRELPDDNDVAESLFHVQVALKKSRGEEVYNMKFGGEVEEVLGLEQFRVAISLPVLCHRHLSSSFQNIL >Manes.03G102400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22626757:22632002:-1 gene:Manes.03G102400.v8.1 transcript:Manes.03G102400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGKPNEEMGLDSLTDQLRDSLSSLEANKPDFRELDLGSPVSPLRTRGLNTTATTTTTTTTSSSSSSSGSVSGSRNGHNPVLKSGHSGELCSSSETCPPTSFRNSKQGTTRSDPLIYSAGGSQSSVNSPAPVNVLPAGNICPSGRILKPGMAMTSRSSKTDVLGSGTGNYGHGSIMRGGGTTKCSNLDAGNSLSSSTSTVRGSVGGARMGGVDPEELKRAGNEMHKKGHFGEAVVLYDKAIALAPGNAAYRSNRAAALMGLGRVAEAVRECEEAVMLDPNYWRAHQRLGSLFIRLGQIENARRHLCFPGHHTDPSELQKLQLVEKHLNKCSDARKVNDWKNVLRESEAAIAAGANYSPQLFMCKAEALLKLHQLEGAQSCLSNIPKLEPYSNSCSQSRFFGMLSEAYTFLVQAQIEMSMGRFENAVTAAEKAGQIDPQNGEVSMLLHNVRLVARARARGNDLFKSERYTEACSAYGEGLRLDPLNSVLYCNRAACWFKLGMWERSIDDCNQALHIQPNYAKALLRRAASNSKLERWADAVRDYEILNRELPDDNDVAESLFHVQVALKKSRGEEVYNMKFGGEVEEVLGLEQFRVAISLPVLCHRHLSSSFQNIL >Manes.03G102400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22627228:22632002:-1 gene:Manes.03G102400.v8.1 transcript:Manes.03G102400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGKPNEEMGLDSLTDQLRDSLSSLEANKPDFRELDLGSPVSPLRTRGLNTTATTTTTTTTSSSSSSSGSVSGSRNGHNPVLKSGHSGELCSSSETCPPTSFRNSKQGTTRSDPLIYSAGGSQSSVNSPAPVNVLPAGNICPSGRILKPGMAMTSRSSKTDVLGSGTGNYGHGSIMRGGGTTKCSNLDAGNSLSSSTSTVRGSVGGARMGGVDPEELKRAGNEMHKKGHFGEAVVLYDKAIALAPGNAAYRSNRAAALMGLGRVAEAVRECEEAVMLDPNYWRAHQRLGSLFIRLGQIENARRHLCFPGHHTDPSELQKLQLVEKHLNKCSDARKVNDWKNVLRESEAAIAAGANYSPQLFMCKAEALLKLHQLEGAQSCLSNIPKLEPYSNSCSQSRFFGMLSEAYTFLVQAQIEMSMGRFENAVTAAEKAGQIDPQNGEVSMLLHNVRLVARARARGNDLFKSERYTEACSAYGEGLRLDPLNSVLYCNRAACWFKLGMWERSIDDCNQALHIQPNYAKALLRRAASNSKLERWADAVRDYEILNRELPDDNDVAESLFHVQVALKKSRGEEVYNMKFGGEVEEVLGLEQFRVAISLPGISVVHFKTSSNLHCKQISPFVDTLCGRYPSINFLKVNIENIPAVADAENVKIVPTFKIYKNGSRVKEIVCPSCDMLEHSVRHYSF >Manes.07G070400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:14454473:14456331:-1 gene:Manes.07G070400.v8.1 transcript:Manes.07G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSPPTQRGENMVSYSLVHVLLVSFPGQGHVNPLLRLGKRLASKGMLVTFSAPEVIGKRMRKANNITDQPVPVGDGFIRFEFFEDGWDEDDPRRQYLDQFLSQLELVGKQVISQMIKKYSEQGHPVSCLINNPFIPWVSDVAASLGLPSAMLWVQSCACFSAYYHYSRHLVPFPTEDNPEIDVQLPCVPLLKYDEVPSFLHPSTPYPFLGRAILGQFENIDKPFCILMETFQELEHELIEYMSKLCPILPVGPLFKDPKAPSATVKGDFLKADDCIEWLNSKPPSSVVYVSFGSVVSLKQDQLNEIAYGLLNSCVSFLWVFRPPPKDSVFEPADIPDEFLEKVGEKGKLVQWSPQDRVLAHPSVACFVTHCGWNSSMEALSSGMPVVAFPQWGDQVTDAKYLVDVFKVGVRLSRGEADKKLITRDEVEKCLLEATVGPKAAELKQNALKWKAAAEAAVADGGSSDRNIKAFVEEMMRKSIEITSKSSSLNGNVEELRV >Manes.09G060900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9959262:9962216:1 gene:Manes.09G060900.v8.1 transcript:Manes.09G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKFSLLFSFTLSLLLPASLSAPPNDTEALNLFRLHTDTHGNLLSNWTGSNACASSPASWVGVKCSATGRVVSLSLPSLSLRGPITSLSLLDHLRFLDLHENRLNGSVLPLTNCTKLKLVYLSGNDFSGEIPQEISSLKRLLRLDLSDNNIRGEIPEGLCNLTTLLTLRLQNNELSGQIPDLSKSLPYLRELNLSNNELYGRLPDNLLKKYSDRSFFGNEGLCGSSPLSACSFTGTTPADVSSQTVPSNPSSMPQTPLLTKTKPQVHKGLSPGAIVAIVIANCVALLVVVSFLVAYYCGRDRSGSSKVGSESGKRRKSGSSHGSEKRVYANGGGDSDGTNATDRSRLVFFERRQQFELEDLLRASAEMLGKGSLGTVYKAVLDDGCTVAVKRLKDANPCARKEFEQYMDLIGKLKHPNIVRFRAYYYAKEEKLLVYDYLPNGSLHSLLHGNRGPGRIPLDWTTRISLVLGAARGLAKIHEEYSASKIPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARMGGYKAPEQAEIKRLSQKADVYSFGVLLLEVLTGRAPSQYPSPAQPRMEEEEQAVDLPKWVRSVVKEEWTAEVFDQELLRYKNIEEELVSMLHVVLACVVPQPEKRPTMAEVVKMIEDIRVEQSPLGEDYNESRNSLSPSLTTTEDGLGGY >Manes.06G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1788142:1791451:-1 gene:Manes.06G011000.v8.1 transcript:Manes.06G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMVAEKCFLIFFLLMIIHFALCASMDTIAINQTIEDGSLLISKENNFALGFFSPGNSKYRYLGIWYYKVREQTIVWVANRNHPINGSTGVLSVNHFGNLVLYSSGSQKVLVWSANVSREVTKTNTCCVAQLMDSGNLILVQQRSRRVVWESFDHPTDTLLPGMKLGLNRETGIHRFLTSWRSTDDPGTGDYVLELNLKGSPQGILYKGTKRYWRGVPWPVKSYINGRNFSFVDSQKETFVTYFPADVSVILRTKLDYSGLIIHLTWHETEGKWKELWSAPNKYQCQTYGHCGTYSKCNPSYLYRKFECDCLPGYEPQSPRAWNILKDGSGGCVRKRKESSSLCNQGEGFVKVATVKVPDTSEAVWLGLNMSLLDCELECKKNCTCYAYSSTSISGKEPGCLAWYGELMDTVINVEEGSDIYVRVDALELAEITQKSNGFLEKKHLLAIFLVSIFLAWFVIILFVYLWFKKKGKRVRNAKLLNTIKANEDRGGVSNPEIAFFNLNIILAATDNFSPANKLGQGGFGLVYKGQLSNGQEIAVKRLSKTSEQGIEEFKNEVLLIAKLQHQNLVKLIGCCIQREESILVYEYMPNKSLDSFIFDETRRSILDWRKRFDIIVGIARGILYIHQDSRLRIIHRDLKTSNILLDKEMNPKISDFGLARIFKGDQTQEKTNKIVGTLGYMSPEYAVFGKFSTKSDVFSFGIILLEIVTGKKNNSSCQGDSYLSMAGKVWHLWTEERALEIVDSSMQESCSAHEVLRCIQIGLLCVQEDALKRPSMSAVVVMLNSEISLPSPKQPPFIFRKPITSYNSAITKNEFYSVDEETITELVCR >Manes.12G026700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2359302:2365459:1 gene:Manes.12G026700.v8.1 transcript:Manes.12G026700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNNNNPLLPVFVDDSRIPYQTNPSNQLQLFGNFPAGCSVDPVNCFGNEHMTPMLHPNKRARETEDFSRQQKLQISLNYNMCQEEAARSASVPNPNPVSTGLRLSYDDDERNSSVTSASGSMTVAPSVILSLGENIRTELDRQKEEFDQYIKIQAEHLSTGVRDMKQRHIASFLAAIEKGVSKKLREKDVEIENMNRKNKELVERIKQVAMEAQNWHYRAKYNESIVNVLKSNLQQAISQGADQGKEGFGDSEVDDAASYIAPSNYLNIPGGNARPLTRNCQGLIEHVTCRACKSKEVSMLLMPCRHLCLCRDCDMLINVCPVCQLIKTSSVQVYLS >Manes.12G026700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2360023:2365459:1 gene:Manes.12G026700.v8.1 transcript:Manes.12G026700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNNNNPLLPVFVDDSRIPYQTNPSNQLQLFGNFPAGCSVDPVNCFGNEHMTPMLHPNKRARETEDFSRQQKLQISLNYNMCQEEAARSASVPNPNPVSTGLRLSYDDDERNSSVTSASGSMTVAPSVILSLGENIRTELDRQKEEFDQYIKIQAEHLSTGVRDMKQRHIASFLAAIEKGVSKKLREKDVEIENMNRKNKELVERIKQVAMEAQNWHYRAKYNESIVNVLKSNLQQAISQGADQGKEGFGDSEVDDAASYIAPSNYLNIPGGNARPLTRNCQGLIEHVTCRACKSKEVSMLLMPCRHLCLCRDCDMLINVCPVCQLIKTSSVQVYLS >Manes.12G026700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2359208:2365459:1 gene:Manes.12G026700.v8.1 transcript:Manes.12G026700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNNNNPLLPVFVDDSRIPYQTNPSNQLQLFGNFPAGCSVDPVNCFGNEHMTPMLHPNKRARETEDFSRQQKLQISLNYNMCQEEAARSASVPNPNPVSTGLRLSYDDDERNSSVTSASGSMTVAPSVILSLGENIRTELDRQKEEFDQYIKIQAEHLSTGVRDMKQRHIASFLAAIEKGVSKKLREKDVEIENMNRKNKELVERIKQVAMEAQNWHYRAKYNESIVNVLKSNLQQAISQGADQGKEGFGDSEVDDAASYIAPSNYLNIPGGNARPLTRNCQGLIEHVTCRACKSKEVSMLLMPCRHLCLCRDCDMLINVCPVCQLIKTSSVQVYLS >Manes.05G163400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27599581:27601682:1 gene:Manes.05G163400.v8.1 transcript:Manes.05G163400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPIILKFSRSTLSASSSPSSMALRKLLSKRLSDTYRLASPAVTLQNSPISCPPNLQVTQLLNAAKTDYLSSPELSEKGFSRRFLHRKSVNQLPEFLSIPVGEKLREKLKGINITGERLRLDGLVPPRQETVGKDPNRFEITIIGARKILKLSQLERLRAKFREMPNSSISYSEFVQMCVEECGDENQGIEFAKALDRSGNVIVLGNIVFLRPEQMAKSMENLISQSISTPNDPRRKELEQMEQQKAVIDQKARAQVQGELYCGLGFLMVQTLGFMRLTFWELSWDVMEPICFFVTSLHFALAYAFFLRTSVEPSFEGYFQRRFKTKQKKLMEAHSFDLEKYKQLQTLFYPHLVYGCAHSKHYKTL >Manes.03G076400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13355135:13361953:1 gene:Manes.03G076400.v8.1 transcript:Manes.03G076400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGDYLGKTVQVVPHITNAIQEWIERVAMIPVDGQEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLIHVSLVPVINVVGEQKTKPTQHSVRGLRGLGLTPNILACRSSKELDENVKLKLSQFCHVPAENIVTLYDVPNIWHIPLLLRDQKAHESILKGLNLQGIATEPDLHEWTARTKVCDMLHDPVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLIVEWVAAGDLEEVTAKEAPDAYKAAWDLLKEADGVLVPGGFGDRGVQGKILAAKYARENRVPFLGICLGMQIAVIEFAQSVLGLHDANSTEFDPDTSNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVADCKSAKLYGNASFVDERHRHRYEVNPDMISQFEEAGLSFVGRDESGQRMEIIELLGHPYFVGVQFHPEFKSRPGKPSALFLGLIAASCGQLENFLQNYGQASKLLTNGMSNGKPTAKVYQIGNGVKSCNGSLNGVYSNGNSNGVHF >Manes.03G076400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13355135:13361953:1 gene:Manes.03G076400.v8.1 transcript:Manes.03G076400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERKGDYLGKTVQVVPHITNAIQEWIERVAMIPVDGQEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLIHVSLVPVINVVGEQKTKPTQHSVRGLRGLGLTPNILACRSSKELDENVKLKLSQFCHVPAENIVTLYDVPNIWHIPLLLRDQKAHESILKGLNLQGIATEPDLHEWTARTKVCDMLHDPVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLIVEWVAAGDLEEVTAKEAPDAYKAAWDLLKEADGVLVPGGFGDRGVQGKILAAKYARENRVPFLGICLGMQIAVIEFAQSVLGLHDANSTEFDPDTSNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVADCKSAKLYGNASFVDERHRHRYEVNPDMISQFEEAGLSFVGRDESGQRMEIIELLGHPYFVGVQFHPEFKSRPGKPSALFLGLIAASCGQLENFLQNYGQASKLLTNGMSNGKPTAKVYQIGNGVKSCNGSLNGVYSNGNSNGVHF >Manes.01G074100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27657517:27660961:1 gene:Manes.01G074100.v8.1 transcript:Manes.01G074100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKFFRFLFILLCGALVLFFTWIHIPYAPPPSTTEFLDCATNSPWCTSRNRFLSKKPPTINILKKPPRQTRHHHESDVPHHPLDPLTIRELNKVRTILSSHHLFKSSPFALHSVVLEEPDKPLVLRWRKGDPMLSRKAAVVARVSGQSHVLTVDIDTSEVNVQETNPLSGYPTMTIEDMTSSTWAPLSNGDFNRTIIERGVDLKDLACLPISLGWYGAREENRRLIKVQCYSMEGTANFYMRPIEGLTVLLDLDTKQVVEIADKGKTIPIPKAANTDYRYSAQGVDQQKKLINPISIEQPKGPSFTVEDEHMVKWANWEFHLKPDPRAGVIISRARVQDPDTGEVRNVMYKGLTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFAAGDGSPYVRSNMICVFESYAGDIGWRHAESPITGMGIREVRPKVTLVVRMAASVANYDYIVDWEFQTDGLIRIKVGLSGILMVKGTSYVNMNQVPGQENLYGTLLSENVIGVIHDHFITFYLDMDIDGSDNSFVKVNLQRKQTSPGESPRRSYLKATRNVAKTEKDAQIQLKLYEPSEFHVINPTKKTRVGNPVGYKVVPGGTAASLLDHDDPPQKRGAFTNNQIWVTPYNRTEEWAGGLYVYQSQGEDTLAVWSERDRPIENKDIVVWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILRFPPNLEEDLPVCKPSDSA >Manes.05G143600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24507742:24511395:1 gene:Manes.05G143600.v8.1 transcript:Manes.05G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPQLPILILFFCLISVFTRNPHAQTLPPARYDGFIYENSLGDADSILIEAFYDPVCPDSRDSWPPLKQALHYYGSRTSLVVHLLPLPYHDNAFVASRALHIANILNTSSTFPLLERFYEHQERFYNAQTRNLSRASVVKEIVKFATAAVGNSYYSAIESGFNDRKTDLKTRVSFKYSASRGVFGTPTFYVNGFALPDSGSALDYKGWRSIIDPLIKAKKGKIGEKLHYLL >Manes.01G117900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31464355:31474997:1 gene:Manes.01G117900.v8.1 transcript:Manes.01G117900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMALKVSKVSSSAFVTQRTSTSRINSTIFSYAKSLPQSKLHPINQGFELQLSSNAHPLAAKFSSGENLRGIHGQPVSFIVSRRSSTVCLSTRTPGTEEKECLRPYGDFSDVSSVQIGEEEDECSAMPAQVFHSNQGLAQACKFVHNDAKFVNERARNDIILLSRGIMSLDARARKDVAFLGSKFLKLDARAREDTEKIDRNVKEKAERLHHIATILKDRAQSRLKSAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDLMVSKLYKFPLLGEKGSPSANDILGSIMLEKNGRTLDFFPGEVSTDRITALQEAYWSMASALSEADGIDYTDPEELELLITTLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDRNPAIAAAASKAIYELKKQWEIEEGDSWRFMMNNNFKEVDQQEDNNDTDAA >Manes.01G117900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31464357:31474997:1 gene:Manes.01G117900.v8.1 transcript:Manes.01G117900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMALKVSKVSSSAFVTQRTSTSRINSTIFSYAKSLPQSKLHPINQGFELQLSSNAHPLAAKFSSGENLRGIHGQPVSFIVSRRSSTVCLSTRTPGTEEKECLRPYGDFSDVSSVQIGEEEDECSAMPAQVFHSNQGLAQACKFVHNDAKFVNERARNDIILLSRGIMSLDARARKDVAFLGSKFLKLDARAREDTEKIDRNVKEKAERLHHIATILKDRAQSRLKSAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDLMVSKLYKFPLLGEKGSPSANDILGSIMLEKNGRTLDFFPGEVSTDRITALQEAYWSMASALSEADGIDYTDPEELELLITTLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDRNPAIAAAASKAIYELKKQWEIEEGDSWRFMMNNNFKEVDQQEDNNDTDAA >Manes.01G117900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31464357:31474997:1 gene:Manes.01G117900.v8.1 transcript:Manes.01G117900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMALKVSKVSSSAFVTQRTSTSRINSTIFSYAKSLPQSKLHPINQGFELQLSSNAHPLAAKFSSGENLRGIHGQPVSFIVSRRSSTVCLSTRTPGTEEKECLRPYGDFSDVSSVQIGEEEDECSAMPAQVFHSNQGLAQACKFVHNDAKFVNERARNDIILLSRGIMSLDARARKDVAFLGSKFLKLDARAREDTEKIDRNVKEKAERLHHIATILKDRAQSRLKSAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFVKNIHDLMVSKLYKFPLLGEKGSPSANDILGSIMLEKNGRTLDFFPGEVSTDRITALQEAYWSMASALSEADGIDYTDPEELELLITTLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDRNPAIAAAASKAIYELKKQWEIEEGDSWRFMMNNNFKEVDQQEDNNDTDAA >Manes.09G161500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35614185:35615477:-1 gene:Manes.09G161500.v8.1 transcript:Manes.09G161500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGRPTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKMGKDKGDIGSASQEF >Manes.12G096800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22468703:22470267:-1 gene:Manes.12G096800.v8.1 transcript:Manes.12G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDICTSNMAIPKIEKHEHVVFDASIIQHQTNVPSEFIWPEHEKPCLESPELVIPPIDLGSFLSGDPSAVSKAFQLINEACRKHGFFLIVNHGVDSILTAKAHEYMDKFFGLSLAEKQRAQRKLGEHSGYTSSFTGRFSSKLPWKETLSLRCSDDDQLSNIVQEYFFNVMGEEFEDCGKVYQEYCEAMNTLALKIMELLGFSLGAGREYFKEFFKGNDSIMRLNNYPPCQQPHLTLGTGPHCDPTSLTILHQDEVGGLQVLVDEKWHFIRPDPQAFVVNIGDTFMALSNGIFRSCLHRAVVNNKTVRKSIAFFLSPNMDKVVKPPNNLIDSNNPRLYPDFTWSELLEFTQTHYRADMNTLDVFSSWVLQKNT >Manes.03G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5671110:5674840:1 gene:Manes.03G057200.v8.1 transcript:Manes.03G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGFRPSLKCGFPVHSQFVSNSPSRLPSSSVKGREGSFNLTRLSFLSVISEEGDILYRDPLKAFACSPGLQILENEHTQVEGSKMIFGKGSHNTIDILVPPSTSSSKDRHSRFSLLLENLNILEESFADSDVLKLERDILMHLGRLGALKLFNTCLRRTFSDVPTETVGECEINGISNNHVNEVIVRSAKKEERKSRRKRASSKTNLVASLSLPSKSIQSGPGKPTYSSAKKASNSQSRRLVIARNEAEMSRGVKMVAELEKIRTTLEEEAGRVVSWSCWAEAAGLDMKELQQRLRFGWHCRDELIRSTRPLVLYFARNYRGMGIALEDLLQAGTVGVLQGAERFDHTRGYRFSTYVQYWIRKSMSKIVTRHARGIQIPCTLSQAINQIQKARKALNTRYGKYADDTEIAKFTGLSLAKIESASKCLRVVGSIDQKVGDSLNAKYLEFMSDTSIQRPEEVVMRQHMIKDIHNLLRGMESRERQVLILRYGLKDYQPKSLEEIGKLFHVSKEWVRRLEKKVITRLRNEEICRNLRHYMNP >Manes.03G057200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5671110:5674840:1 gene:Manes.03G057200.v8.1 transcript:Manes.03G057200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLSCYQVSTFKHFMDSLSFHSFCRKILPIWVSVLGQVSSAVSQFTLSLSPIPHPGSLLLLVIKGREGSFNLTRLSFLSVISEEGDILYRDPLKAFACSPGLQILENEHTQVEGSKMIFGKGSHNTIDILVPPSTSSSKDRHSRFSLLLENLNILEESFADSDVLKLERDILMHLGRLGALKLFNTCLRRTFSDVPTETVGECEINGISNNHVNEVIVRSAKKEERKSRRKRASSKTNLVASLSLPSKSIQSGPGKPTYSSAKKASNSQSRRLVIARNEAEMSRGVKMVAELEKIRTTLEEEAGRVVSWSCWAEAAGLDMKELQQRLRFGWHCRDELIRSTRPLVLYFARNYRGMGIALEDLLQAGTVGVLQGAERFDHTRGYRFSTYVQYWIRKSMSKIVTRHARGIQIPCTLSQAINQIQKARKALNTRYGKYADDTEIAKFTGLSLAKIESASKCLRVVGSIDQKVGDSLNAKYLEFMSDTSIQRPEEVVMRQHMIKDIHNLLRGMESRERQVLILRYGLKDYQPKSLEEIGKLFHVSKEWVRRLEKKVITRLRNEEICRNLRHYMNP >Manes.12G062304.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6150318:6152147:1 gene:Manes.12G062304.v8.1 transcript:Manes.12G062304.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWGTVLFSCALLLSLFVPSSLAQTCRGHSFSSNQLFTSCSDLPVLSSFLYWNHHPTNLTADIAFRKTGASTNGWVAWALNPNGQQMVGSQAILAFHNSSGVPTAYTTPITSLSPSMQPGDLSFQVSNLKAEYSNGDMIIFATLHLTSSLISTNQVWQEGTMSGTSFNPHAMDSANKASVGTINFETGATVAGTVRTSSKKNVHGVLNAVSWGILMPMGIMIARYLKVFKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYSKHRNIGITLFCFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIALSIINIYEGFDILDPEKKWKKIYTGIIIFLGAVAALLEVSTWIIVLKRKQTASSNKHVNGTNGYDA >Manes.15G183800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29316437:29321209:-1 gene:Manes.15G183800.v8.1 transcript:Manes.15G183800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYNRGDEEEKGLLWKLPEVRFKNFGKAGPAFGLGAGCGVGFGVGLIGGVGLGPGIPGLQMGFGFGAGCGIGYGFGYGVGRGVAHDENRRYSNIGKFFHGPGNLPTQDEIGGLIDELVINTKKLVRATSREIEKWRK >Manes.15G183800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29317370:29321209:-1 gene:Manes.15G183800.v8.1 transcript:Manes.15G183800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYNRGDEEEKGLLWKLPEVRFKNFGKAGPAFGLGAGCGVGFGVGLIGGVGLGPGIPGLQMGFGFGAGCGIGYGFGYGVGRGVAHDENRRYSNIGKFFHGPGNLPTQDEIGGLIDELVINTKKLVRATSREIEKWRK >Manes.15G091300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7134604:7134834:-1 gene:Manes.15G091300.v8.1 transcript:Manes.15G091300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVRFLLCLVLVLLSFSMSETRPIHHSSHQRRSHRSLIETAKEVLDESMRRQEIVGGFNQSFRVSPGGPDPHHH >Manes.13G072500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10535960:10536730:-1 gene:Manes.13G072500.v8.1 transcript:Manes.13G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTIVDRARQFRMEVGPQEPVLEIKAKIEDLLGVPVSEQILSVLGWELVDGLDMEDYPIVTEGTKIDLTIKPVTPPSFNNLSGKLQITVKFLAKQINIEVDTTDTVSSLKEKIHAVESTPIETMSLSFSGVELDEDSRNLSEYGISEFSEIVMFLKTVNPVMRDNLPMNPPTGKLNIVVKTCSAFLTEASIPLEMKDSNTVNDLRSLLLSRKILPEDDYFFIYKQQVMEDNRSLRWHGVENGHSLYVFKGTVTEP >Manes.18G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8733786:8736838:-1 gene:Manes.18G094400.v8.1 transcript:Manes.18G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSIPCVRISNPSSSCSSLPSSSSSVTCSTSSCVRFSITAKSYSVTIRSSQAEGPIRRPVAPPLREPSPPSPPTPLKPVPPSPPSSPVAPPPKPAAQVKLEDKNVITLEFQRQKAKELQEYFKQKKLEETDQGPFFGFLGKNEIANGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGIIDLE >Manes.10G126732.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29238383:29239042:1 gene:Manes.10G126732.v8.1 transcript:Manes.10G126732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRTTRSRLRFGYHFPGRVLLACLARHLLTLKSRVLLSLNSSSSVGVTQAFFHHSSMDATSSTPPCKYDVFISFRGKDIRGGFLSHLFDALQRKQINPFMDENLRKGEEISPALLETIQDSYVSIVVFSQNYADSPWCLDELVKILECKEILGQLVLPIFYHVDPTDVQDLIGNFGEAFAVAKHGEEVKGCLDKADKWRRALMEISNLSGWDSKKIK >Manes.02G196600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16241135:16257876:1 gene:Manes.02G196600.v8.1 transcript:Manes.02G196600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSENPKSNLAPYGNSSAKVHPSNDPEIDPNSYSLEKFRLYETRQRFYLVGSDRNKRFFRVLKIDRSEPSDLNISEDPVVYSPQEIKCLLQRIAEGNRSTGGLNFVAKVYGIAGCIKFMESYYLILVTRRRQIGCICGHAIYSIDESQLITIPHASIQTDAAHSKTEIRYKKLLSSVDLTKDFFFSYTYPIMQSLQKNVLSVDRDRMPYDNMFVWNEYLTKAIRSRCGNTIWTIALVHGHFKQIRLSIFGRDFSVSLVSRRSRHFAGTRYLKRGVNDWGRVANEVETEQIVLDEEAGSCKGRMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNTILSEENHLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYYSGKPSVVKKRINQLNRTSTGRDASLRDLRAGSGDLARIGSGNENLSSTINRDRENDSSQSNQQDKVGGEAPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGMTDIPKVDPDSTIAAALMDMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYNNAYTDGEKQDAINLFLGYFRPQDGKPALWELDTDYYLHVGIKDDLDPEMSIPTSAKLEGGGMILAPVPACREDFSRMKLTSFDKLIPRTCGAIKNVRLCCEPDQRPGGGVGTSGVAPDAAEIQLKSPNWLFGQRKYEESGSAPKAGQQEAENGGSHKDIRVDGYYDLDLLSSVGDMNEQDIFQRYLAMTSVDETSGWYGGTLLGDQNEDSEIYKHYAELCQGPAMEPFQHDLDREEHYADIVRMNMIDVIDDATVEAEMEAALKEYHQIGADLGINTNILQILA >Manes.06G026440.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5847543:5848864:1 gene:Manes.06G026440.v8.1 transcript:Manes.06G026440.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVWLYFIKSRQMIAATSEEYVLAATGFRRFSYSELKEATRNFIEEIGRGATGIVYKGVLADQRVAAIKRLNNASQGEAEFLAEVSTVGKLNHMNLIEMWGYCADKKHRLLVYENMEKGSLAKNLSSMELDWEKRFKIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDANYEPKVSDFGLSRLLSRGHELHNSSFSKIRGTRGYMAPEWIFNLPITSKVDVYSYGVVVLEIVTGRSPSMDGHDAENGCGVAENKRAVEWVREKKLEASPSSCWVEEIIDPAIGVDYDKRKLEVLVGVALKCVEECKDDRPTMSQVVEMLQKLENDH >Manes.12G119400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32247731:32249629:-1 gene:Manes.12G119400.v8.1 transcript:Manes.12G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAETLASRRHQNRTAKLACFSFAAYAKTLIDHLKSLNIPVLPGLNDHEFASIESTFHFSFPPDLRTILQEGLPIGPHFPNWRSSSPQQLKILLNLPFLNLSKNILQNNFWVHSWGDKPADANRALDIAKGFFDKAPVLVPIYGNCYIPSTPNTAGNPVFYVDDGGVRVLSFDVARFFQEVEFLQIGLHFIRPGILPRNQRIPINVPAWAATAARRIDFWTEVAERGRKMVVRDDTHGWWSGGDLVYWELGDCLEDVFWKLRDGGWREEEVREMMMIDGSDNKGEKGSGAKVGKEDVVWQLKVMSTVLLRAGWSREDVVYSLDLQDHEDRLDSPGSFVGKFCIDFQLPNSSCSRQDDDHQKSSMKQLMNLRSLEV >Manes.06G009400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1488158:1494917:-1 gene:Manes.06G009400.v8.1 transcript:Manes.06G009400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFSASKPSAVPYRSVGLSPSPAETTDHGFDFATSSNKNNLRLSSSLQDFSLYRRLDVDEDDYSIGIDRKPYLLQRENAGSSFSKEKALPGRTPILRRKWVRLVMILLCLLLLGFLTYLITSYILSYWAQGTSKFYVVLDCGSTGTRVYVYQASIEHNRDGSLPIALKSLTEGLSRKSSGRAYDRMETEPGLHLLVHNTSGLKAAINPLVQWAAKQIPEHAHKTTSLFLYATAGVRRLPTADSKWLLDKAWSILKESPFLCQRAWVKVISGMDEAYYGWIALNYQTGTLGNSPKRATFGALDMGGSSLQVTFESQKPGHNVTDLNLRIGAANHHLTAYSLAGYGLNDAFDRSVVHILRGLPSADLASGNLEIKHPCLQTGYKEQYICSHCASDQQNSLSPVVVGRNSGKGVKSGVPIQLIGAPNWEECRALAKVAVNLSEWSNQNAAIDCDVQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEAALEDVLEKGQEFCEKTWEVARKSVPPQPFIEQYCFRAPYIVSLLREGLHITDNQVVIGSGSITWTLGVALFEAGNALSPRQRLPSYQIFQMKIHPIFLTAALVISLILLVCAISCLGNGMPRIFRRPYLPIFRHNNASATTVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRPFGLGHGLGSSGIQLMESSLHPSNGGVSHSYSSDSLGQMIDSNGIGSFWSPHRGQMRLQSRKSQSREDLSSSLAEAHMVKV >Manes.06G009400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1488158:1494917:-1 gene:Manes.06G009400.v8.1 transcript:Manes.06G009400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFSASKPSAVPYRSVGLSPSPAETTDHGFDFATSSNKNNLRLSSSLQDFSLYRRLDVDEDDYSIGIDRKPYLLQRENAGSSFSKEKALPGRTPILRRKWVRLVMILLCLLLLGFLTYLITSYILSYWAQGTSKFYVVLDCGSTGTRVYVYQASIEHNRDGSLPIALKSLTEGLSRKSSGRAYDRMETEPGLHLLVHNTSGLKAAINPLVQWAAKQIPEHAHKTTSLFLYATAGVRRLPTADSKWLLDKAWSILKESPFLCQRAWVKVISGMDEAYYGWIALNYQTGTLGNSPKRATFGALDMGGSSLQVTFESQKPGHNVTDLNLRIGAANHHLTAYSLAGYGLNDAFDRSVVHILRGLPSADLASGNLEIKHPCLQTGYKEQYICSHCASDQQNSLSPVVVGRNSGKGVKSGVPIQLIGAPNWEECRALAKVAVNLSEWSNQNAAIDCDVQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEAALEDVLEKGQEFCEKTWEVARKSVPPQPFIEQYCFRAPYIVSLLREGLHITDNQVVIGSGSITWTLGVALFEAGNALSPRQRLPSYQIFQMKIHPIFLTAALVISLILLVCAISCLGNGMPRIFRRPYLPIFRHNNASATTVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRPFGLGHGLGSSGIQLMESSLHPSNGGVSHSYSSDSLGQMIDSNGIGSFWSPHRGQMRLQSRKSQSREDLSSSLAEAHMVKV >Manes.06G009400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1488158:1494917:-1 gene:Manes.06G009400.v8.1 transcript:Manes.06G009400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFSASKPSAVPYRSVGLSPSPAETTDHGFDFATSSNKNNLRLSSSLQDFSLYRRLDVDEDDYSIGIDRKPYLLQRENAGSSFSKEKALPGRTPILRRKWVRLVMILLCLLLLGFLTYLITSYILSYWAQGTSKFYVVLDCGSTGTRVYVYQASIEHNRDGSLPIALKSLTEGLSRKSSGRAYDRMETEPGLHLLVHNTSGLKAAINPLVQWAAKQIPEHAHKTTSLFLYATAGVRRLPTADSKWLLDKAWSILKESPFLCQRAWVKVISGMDEAYYGWIALNYQTGTLGNSPKRATFGALDMGGSSLQVTFESQKPGHNVTDLNLRIGAANHHLTAYSLAGYGLNDAFDRSVVHILRGLPSADLASGNLEIKHPCLQTGYKEQYICSHCASDQQNSLSPVVVGRNSGKGVKSGVPIQLIGAPNWEECRALAKVAVNLSEWSNQNAAIDCDVQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEAALEDVLEKGQEFCEKTWEVARKSVPPQPFIEQYCFRAPYIVSLLREGLHITDNQVVIGSGSITWTLGVALFEAGNALSPRQRLPSYQIFQMKIHPIFLTAALVISLILLVCAISCLGNGMPRIFRRPYLPIFRHNNASATTVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRPFGLGHGLGSSGIQLMESSLHPSNGGVSHSYSSDSLGQMIDSNGIGSFWSPHRGQMRLQSRKSQSREDLSSSLAEAHMVKV >Manes.06G009400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1488158:1494917:-1 gene:Manes.06G009400.v8.1 transcript:Manes.06G009400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFSASKPSAVPYRSVGLSPSPAETTDHGFDFATSSNKNNLRLSSSLQDFSLYRRLDVDEDDYSIGIDRKPYLLQRENAGSSFSKEKALPGRTPILRRKWVRLVMILLCLLLLGFLTYLITSYILSYWAQGTSKFYVVLDCGSTGTRVYVYQASIEHNRDGSLPIALKSLTEGLSRKSSGRAYDRMETEPGLHLLVHNTSGLKAAINPLVQWAAKQIPEHAHKTTSLFLYATAGVRRLPTADSKWLLDKAWSILKESPFLCQRAWVKVISGMDEAYYGWIALNYQTGTLGNSPKRATFGALDMGGSSLQVTFESQKPGHNVTDLNLRIGAANHHLTAYSLAGYGLNDAFDRSVVHILRGLPSADLASGNLEIKHPCLQTGYKEQYICSHCASDQQNSLSPVVVGRNSGKGVKSGVPIQLIGAPNWEECRALAKVAVNLSEWSNQNAAIDCDVQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEAALEDVLEKGQEFCEKTWEVARKSVPPQPFIEQYCFRAPYIVSLLREGLHITDNQVVIGSGSITWTLGVALFEAGNALSPRQRLPSYQIFQMKIHPIFLTAALVISLILLVCAISCLGNGMPRIFRRPYLPIFRHNNASATTVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRPFGLGHGLGSSGIQLMESSLHPSNGGVSHSYSSDSLGQMIDSNGIGSFWSPHRGQMRLQSRKSQSREDLSSSLAEAHMVKV >Manes.06G009400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1488158:1494917:-1 gene:Manes.06G009400.v8.1 transcript:Manes.06G009400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFSASKPSAVPYRSVGLSPSPAETTDHGFDFATSSNKNNLRLSSSLQDFSLYRRLDVDEDDYSIGIDRKPYLLQRENAGSSFSKEKALPGRTPILRRKWVRLVMILLCLLLLGFLTYLITSYILSYWAQGTSKFYVVLDCGSTGTRVYVYQASIEHNRDGSLPIALKSLTEGLSRKSSGRAYDRMETEPGLHLLVHNTSGLKAAINPLVQWAAKQIPEHAHKTTSLFLYATAGVRRLPTADSKWLLDKAWSILKESPFLCQRAWVKVISGMDEAYYGWIALNYQTGTLGNSPKRATFGALDMGGSSLQVTFESQKPGHNVTDLNLRIGAANHHLTAYSLAGYGLNDAFDRSVVHILRGLPSADLASGNLEIKHPCLQTGYKEQYICSHCASDQQNSLSPVVVGRNSGKGVKSGVPIQLIGAPNWEECRALAKVAVNLSEWSNQNAAIDCDVQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEAALEDVLEKGQEFCEKTWEVARKSVPPQPFIEQYCFRAPYIVSLLREGLHITDNQVVIGSGSITWTLGVALFEAGNALSPRQRLPSYQIFQMKIHPIFLTAALVISLILLVCAISCLGNGMPRIFRRPYLPIFRHNNASATTVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRPFGLGHGLGSSGIQLMESSLHPSNGGVSHSYSSDSLGQMIDSNGIGSFWSPHRGQMRLQSRKSQSREDLSSSLAEAHMVKV >Manes.06G009400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1488158:1494917:-1 gene:Manes.06G009400.v8.1 transcript:Manes.06G009400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFSASKPSAVPYRSVGLSPSPAETTDHGFDFATSSNKNNLRLSSSLQDFSLYRRLDVDEDDYSIGIDRKPYLLQRENAGSSFSKEKALPGRTPILRRKWVRLVMILLCLLLLGFLTYLITSYILSYWAQGTSKFYVVLDCGSTGTRVYVYQASIEHNRDGSLPIALKSLTEGLSRKSSGRAYDRMETEPGLHLLVHNTSGLKAAINPLVQWAAKQIPEHAHKTTSLFLYATAGVRRLPTADSKWLLDKAWSILKESPFLCQRAWVKVISGMDEAYYGWIALNYQTGTLGNSPKRATFGALDMGGSSLQVTFESQKPGHNVTDLNLRIGAANHHLTAYSLAGYGLNDAFDRSVVHILRGLPSADLASGNLEIKHPCLQTGYKEQYICSHCASDQQNSLSPVVVGRNSGKGVKSGVPIQLIGAPNWEECRALAKVAVNLSEWSNQNAAIDCDVQPCALPDIFPRPYGRFYGMSGFFVVYRFFNLTSEAALEDVLEKGQEFCEKTWEVARKSVPPQPFIEQYCFRAPYIVSLLREGLHITDNQVVIGSGSITWTLGVALFEAGNALSPRQRLPSYQIFQMKIHPIFLTAALVISLILLVCAISCLGNGMPRIFRRPYLPIFRHNNASATTVLSIPSPFRFQRWSPISSGDGRAKMPLSPTIAGSQQRPFGLGHGLGSSGIQLMESSLHPSNGGVSHSYSSDSLGQMIDSNGIGSFWSPHRGQMRLQSRKSQSREDLSSSLAEAHMVKV >Manes.10G024400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2393128:2396107:1 gene:Manes.10G024400.v8.1 transcript:Manes.10G024400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVWLASFLLLFHSHFQASVSFSLNSNSSAMLCQRDQSLALLQLKETFSISRYYPKPYLKTKYWKEGTDCCWWDGITCDMETGNVIGLHLSNSFLYGPIYSNNPLFSLSHLRKLDLSFNDFNHSRIVPQFGQFSKLTHLNLSYSRFVGQIPSEITYLSGLLSLDLSSNDGLISETTIFTKLVQNLTQLRELDLSDVNMSVVAPSSLMNLSSSLTSLKLYSCEMQGKIPDISRLSKLVSLGLSWNYLTIEPMVFDKLDRNLTKIRDLAFGDVNMSMVEPSSLINISSYLSSLELRYCELKGKFPDNIIQRSNLQLLDLLGNEDLNGSLPRHNWNNSLRSLSLSLTQITIYLDHDFISNLKSLETLKLSSCNFRVSNLKLLGKLTRLIRLDISSNNFSFQIPSSLESLMRLSYLDLSYNNLSGQIPSSLGSLKRLSWLDLSNNNFSGEIPSSFKNLKQLQILQLQNNLLNATIPPSVFTLPQLQSLILNNNQLTGHLGPFQDNSLSYIDLSNNKLYGFIPSSIFKLADLTVLILSSNKLVGEVSSAVCNLNSLQILDLSNNSLNGFIPQCLGNFSNDLSVLHLGMNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMRAPNYSYDYSVSLTFKGVEIELVRIQTLLTTIDLSGNKFTGEIPQSIGKLKALKLLNFSHNQLTGNIQPSLRELSNLESLDLSSNFLVGRIPMQLTGLTFLQVFRVSDNRLEGPIPEGKQFNTFDKSSYEGNLGLCGFPLEKCNNGERQKPEISKEDDSNSKFGFGWQPVVTGYGCGGIFGIAMGYSLFKTRKPIWFVRIVEGQRRPNPKRFKN >Manes.07G030800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3365482:3377964:-1 gene:Manes.07G030800.v8.1 transcript:Manes.07G030800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQITGILEKMTGKDKDYRYMATSDLLNELNKDTFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCDKLLNGKDQHRDIASIALKTIVSEVTTQSLAQSILVSLPPQLIKGITSPGMSTEIKCECLDILCDVLHKFGNLMATDHELLLNALLSQLNSNQASVRKKTVSCIASLASSLSDDLLAKATVEVVRNLRTKGVKPEMTRTNIQMIGALSRSVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISSYCDQILHLTLEYLSYDPNFTDNMEEDTDDENHEEEEDDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPELLSKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDTNESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKTSTSNLKIEALIFTRLVLASHSPPVFHPHIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGLGFDFTPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDYLRTELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLRIDLSCVLEHVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELATLISDSDLHMTALALELCCTLMADRRSSPNVGLAVRNKVLSQALTLIKSSLLQGQALLALQNFFAALVYSANTSFDTLLDCLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSSHVHIENIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESEEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTVVKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIAALNRISGGDCSLKFKSLMSEISRSPTLSEKYYSIRNE >Manes.07G030800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3365482:3377964:-1 gene:Manes.07G030800.v8.1 transcript:Manes.07G030800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQITGILEKMTGKDKDYRYMATSDLLNELNKDTFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCDKLLNGKDQHRDIASIALKTIVSEVTTQSLAQSILVSLPPQLIKGITSPGMSTEIKCECLDILCDVLHKFGNLMATDHELLLNALLSQLNSNQASVRKKTVSCIASLASSLSDDLLAKATVEVVRNLRTKGVKPEMTRTNIQMIGALSRSVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISSYCDQILHLTLEYLSYDPNFTDNMEEDTDDENHEEEEDDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPELLSKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDTNESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKTSTSNLKIEALIFTRLVLASHSPPVFHPHIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGLGFDFTPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDYLRTELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLRIDLSCVLEHVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELATLISDSDLHMTALALELCCTLMADRRSSPNVGLAVRNKVLSQALTLIKSSLLQGQALLALQNFFAALVYSANTSFDTLLDCLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSSHVHIENIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESEEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTVVKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIAALNRIRFGLYCSLKFKSLMSEISRSPTLSEKYYSIRNE >Manes.07G030800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3365482:3377964:-1 gene:Manes.07G030800.v8.1 transcript:Manes.07G030800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQITGILEKMTGKDKDYRYMATSDLLNELNKDTFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCDKLLNGKDQHRDIASIALKTIVSEVTTQSLAQSILVSLPPQLIKGITSPGMSTEIKCECLDILCDVLHKFGNLMATDHELLLNALLSQLNSNQASVRKKTVSCIASLASSLSDDLLAKATVEVVRNLRTKGVKPEMTRTNIQMIGALSRSVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDISSYCDQILHLTLEYLSYDPNFTDNMEEDTDDENHEEEEDDESANEYTDDEDVSWKVRRAAAKCLAALIVSRPELLSKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDTNESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKTSTSNLKIEALIFTRLVLASHSPPVFHPHIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGLGFDFTPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDYLRTELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLRIDLSCVLEHVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELATLISDSDLHMTALALELCCTLMADRRSSPNVGLAVRNKVLSQALTLIKSSLLQGQALLALQNFFAALVYSANTSFDTLLDCLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSSHVHIENIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESEEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTVVKKELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIAALNRISGGDCSLKFKSLMSEISRSPTLSEKYYSIRNE >Manes.10G113200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26396498:26398554:1 gene:Manes.10G113200.v8.1 transcript:Manes.10G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFICGFLVWASLFLSLAVILCRRGKILGQGTKNLPPGPPGWPILGNIFDLGIAPHKALEELKFKYGPVLWLRLGSMDTVVIQSAKTAAELLKNHDTSFCDRKSLEVFNCHNYRDGSLAVGQFSPYWRMIRRLCSVEMMTVKRVNDTASTRRKCIHQMIRSIEDDTAAATARGESGVVNLPHYLFLMSFNIVGNLMLSRDVVDSQCKEGYEFFQAMGMVSVWAGKPNLADFFPFLKWLDPQGLKRNMTRDMGRALEIVEGFVKERIEEYKFGDKEKASKDFLDTLLEFEGDGKDWHEKIPYERLIILVLEMFFAGSETTSTVIEWAMAELLRQPEAMRKVKEELIEVVGENRNVEESDIDKLPYLQVVVKETLRLHPPLPLLLPRNTIQDTKFVGYDVPKDTQVLVNAWAIGRDPDSWEDPLSFKPERFLGLNIDYKGQNFELIPFGSGRRICVGMLFAQRVILLGLASLIHCFNWELDKDSTHETLDMREKVGISVRKLIPLNVIPKRCSGVMAG >Manes.11G147400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31221109:31229120:-1 gene:Manes.11G147400.v8.1 transcript:Manes.11G147400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVEVALACLIGFIWIQQGFCVGGADEPSTRSGLNNWTWTCSLPYQGNQSYVKSNCSTSCDCNIAGGPNGGTWTCVCAADGFPKIASASLDSACFTSCNCTSGSLAENGARRNHFSGKVVVIILLLCVILITLTFLASITCYVYRKDKCPIQPPMFSSDRDTSYNSATNLISHKASSVSEIKVSINSPINPISGCFRRAALLCRSDSEIIHGTIFRFSYSELDHATNKFSNSNLIGLGGSSYVYRGQLRDGKIVAIKRLKAQGGPDADSVFSKEVEVLSRLHHCHVVPLVGYCSESQGKHSERLLVFEYMPNGNLRDCLDGVLGESMKWETRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDENWRAKITDLGMAKRLKADGVPSSSSSPTRMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPRLQDSRRVMSDLPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSRRRNIPINFFQRSSSRSIKSESYIQKLDSQVEGSIHAAELRRDMSVKQSAQCSMPLDADHSLFVEHNIKGADPVSSEYMERLILLTSKARSWRARDDEAVDLMEPRFEAFHMANRSP >Manes.11G147400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31221109:31229120:-1 gene:Manes.11G147400.v8.1 transcript:Manes.11G147400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVEVALACLIGFIWIQQGFCVGGADEPSTRSGLNNWTWTCSLPYQGNQSYVKSNCSTSCDCNIAGGPNGGTWTCVCAADGFPKIASASLDSACFTSCNCTSGSLAENGARRNHFSGKVVVIILLLCVILITLTFLASITCYVYRKDKCPIQPPMFSSDRDTSYNSATNLISHKASSVSEIKVSINSPINPISGCFRRAALLCRSDSEIIHGTIFRFSYSELDHATNKFSNSNLIGLGGSSYVYRGQLRDGKIVAIKRLKAQGGPDADSVFSKEVEVLSRLHHCHVVPLVGYCSESQGKHSERLLVFEYMPNGNLRDCLDGVLGESMKWETRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDENWRAKITDLGMAKRLKADGVPSSSSSPTRMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPRLQDSRRVMSDLPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSRRRNIPINFFQRSSSRSIKSESYIQKLDSQVEGSIHAAELRRDMSVKQSAQCSMPLDADHSLFVEHNIKGADPVSSEYMERLILLTSKARSWRARDDEAVDLMEPRFEAFHMANRSP >Manes.11G147400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31221109:31229120:-1 gene:Manes.11G147400.v8.1 transcript:Manes.11G147400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVEVALACLIGFIWIQQGFCVGGADEPSTRSGLNNWTWTCSLPYQGNQSYVKSNCSTSCDCNIAGGPNGGTWTCVCAADGFPKIASASLDSACFTSCNCTSGSLAENGARRNHFSGKVVVIILLLCVILITLTFLASITCYVYRKDKCPIQPPMFSSDRDTSYNSATNLISHKASSVSEIKVSINSPINPISGCFRRAALLCRSDSEIIHGTIFRFSYSELDHATNKFSNSNLIGLGGSSYVYRGQLRDGKIVAIKRLKAQGGPDADSVFSKEVEVLSRLHHCHVVPLVGYCSESQGKHSERLLVFEYMPNGNLRDCLDGVLGESMKWETRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDENWRAKITDLGMAKRLKADGVPSSSSSPTRMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPRLQDSRRVMSDLPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSRRRNIPINFFQRSSSRSIKSESYIQKLDSQVEGSIHAAELRRDMSVKQSAQCSMPLDADHSLFVEHNIKGADPVSSEYMERLILLTSKARSWRARDDEAVDLMEPRFEAFHMANRSP >Manes.11G147400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31221109:31229120:-1 gene:Manes.11G147400.v8.1 transcript:Manes.11G147400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVEVALACLIGFIWIQQGFCDEPSTRSGLNNWTWTCSLPYQGNQSYVKSNCSTSCDCNIAGGPNGGTWTCVCAADGFPKIASASLDSACFTSCNCTSGSLAENGARRNHFSGKVVVIILLLCVILITLTFLASITCYVYRKDKCPIQPPMFSSDRDTSYNSATNLISHKASSVSEIKVSINSPINPISGCFRRAALLCRSDSEIIHGTIFRFSYSELDHATNKFSNSNLIGLGGSSYVYRGQLRDGKIVAIKRLKAQGGPDADSVFSKEVEVLSRLHHCHVVPLVGYCSESQGKHSERLLVFEYMPNGNLRDCLDGVLGESMKWETRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDENWRAKITDLGMAKRLKADGVPSSSSSPTRMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPRLQDSRRVMSDLPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSRRRNIPINFFQRSSSRSIKSESYIQKLDSQVEGSIHAAELRRDMSVKQSAQCSMPLDADHSLFVEHNIKGADPVSSEYMERLILLTSKARSWRARDDEAVDLMEPRFEAFHMANRSP >Manes.11G147400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31221109:31229120:-1 gene:Manes.11G147400.v8.1 transcript:Manes.11G147400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVEVALACLIGFIWIQQGFCVGGADEPSTRSGLNNWTWTCSLPYQGNQSYVKSNCSTSCDCNIAGGPNGGTWTCVCAADGFPKIASASLDSACFTSCNCTSGSLAENGARRNHFSGKVVVIILLLCVILITLTFLASITCYVYRKDKCPIQPPMFSSDRDTSYNSATNLISHKASSVSEIKVSINSPINPISGCFRRAALLCRSDSEIIHGTIFRFSYSELDHATNKFSNSNLIGLGGSSYVYRGQLRDGKIVAIKRLKAQGGPDADSVFSKEVEVLSRLHHCHVVPLVGYCSESQGKHSERLLVFEYMPNGNLRDCLDGVLGESMKWETRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDENWRAKITDLGMAKRLKADGVPSSSSSPTRMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPRLQDSRRVMSDLPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSRRRNIPINFFQRSSSRSIKSESYIQKLDSQVEGSIHAAELRRDMSVKQSAQCSMPLDADHSLFVEHNIKGADPVSSEYMERLILLTSKARSWRARDDEAVDLMEPRFEAFHMANRSP >Manes.11G147400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31221109:31229120:-1 gene:Manes.11G147400.v8.1 transcript:Manes.11G147400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVEVALACLIGFIWIQQGFCVGGADEPSTRSGLNNWTWTCSLPYQGNQSYVKSNCSTSCDCNIAGGPNGGTWTCVCAADGFPKIASASLDSACFTSCNCTSGSLAENGARRNHFSGKVVVIILLLCVILITLTFLASITCYVYRKDKCPIQPPMFSSDRDTSYNSATNLISHKASSVSEIKVSINSPINPISGCFRRAALLCRSDSEIIHGTIFRFSYSELDHATNKFSNSNLIGLGGSSYVYRGQLRDGKIVAIKRLKAQGGPDADSVFSKEVEVLSRLHHCHVVPLVGYCSESQGKHSERLLVFEYMPNGNLRDCLDGVLGESMKWETRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDENWRAKITDLGMAKRLKADGVPSSSSSPTRMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPRLQDSRRVMSDLPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSRRRNIPINFFQRSSSRSIKSESYIQKLDSQVEGSIHAAELRRDMSVKQSAQCSMPLDADHSLFVEHNIKGADPVSSEYMERLILLTSKARSWRARDDEAVDLMEPRFEAFHMANRSP >Manes.11G147400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31221109:31229120:-1 gene:Manes.11G147400.v8.1 transcript:Manes.11G147400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVEVALACLIGFIWIQQGFCVGGADEPSTRSGLNNWTWTCSLPYQGNQSYVKSNCSTSCDCNIAGGPNGGTWTCVCAADGFPKIASASLDSACFTSCNCTSGSLAENGARRNHFSGKVVVIILLLCVILITLTFLASITCYVYRKDKCPIQPPMFSSDRDTSYNSATNLISHKASSVSEIKVSINSPINPISGCFRRAALLCRSDSEIIHGTIFRFSYSELDHATNKFSNSNLIGLGGSSYVYRGQLRDGKIVAIKRLKAQGGPDADSVFSKEVEVLSRLHHCHVVPLVGYCSESQGKHSERLLVFEYMPNGNLRDCLDGVLGESMKWETRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDENWRAKITDLGMAKRLKADGVPSSSSSPTRMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPRLQDSRRVMSDLPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIAPDKSRRRNIPINFFQRSSSRSIKSESYIQKLDSQVEGSIHAAELRRDMSVKQSAQCSMPLDADHSLFVEHNIKGADPVSSEYMERLILLTSKARSWRARDDEAVDLMEPRFEAFHMANRSP >Manes.15G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8940369:8948624:-1 gene:Manes.15G112100.v8.1 transcript:Manes.15G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKDFEEDDTPTSTRNSQLLRIAAVEPDNEVHHIPTKKLASEIPTPEFVVVDTYERDYARTFAQPTSYLRARGARAELGEFVEYDLDNEDEDWLQDFNKDRKNLSPERFENLLFKLEVLDHKARERAGVITPTLASPIPVLLQLDSAIEAMQAQAPSIRYAALQSVYNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLNQAKTILESLIKREEKKREAMETEVSLQRIQMKYKHETELLEYSLALPGFAPLSSKFASSEDEFVDSDDLANSRPRAQPAAVQNSPLIDSILTVPAGSMKQEFKRRHTPYGWLNKMDPLEPVLLFTKPLVPEKLGAAGIVPPVDSTSKNFASTPTYRFHGRMGRGGRIVFDRWNPFLHTSIDIGNSFYVPPRPRPSAYN >Manes.10G102600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25073739:25077910:1 gene:Manes.10G102600.v8.1 transcript:Manes.10G102600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSVTFLLMKLSEILTDESNLLFGVKGDAKYIKDELEFSLAFLRVAESSDEEEIDPLFKVWVKNLRDVAYEMEDVLADFMLHLAHDHGHGVCNCLNKTSDFIISLKARHQIAATMRDIRTRIKEIKTRVEDISKARTSFNHIISKWRGVNYSGGVDLAAQQDPLLLEEANLVGIEMPKGKLIGWLLGSKSQLEVVSVVGTGGVGKTTLVKRVYENSQVKKHFKFCAWIILTQYFKTRDLLQDIVRQLYHVLREPSPEGFDTMSDHELRVGIKKFLQQRRYLIVLDDVWSNEAWNVFKHAFPKNTEGSRILLTTRISEVAKNASIESPNRIYALDSLSSKDAWTLFCKKTFQSNSCPPHLENVSQQILGRCEGLPLAIIAISGVLATRDNTRIDEWDMVYRSLGAEIQANQSLGNMKKVLSLSYYNLPYYLKCCLLYFSIFPEGYPIEHRRLVRLWVAEGFVVETEGRMLEEVAESYLNELLERSLVQVVEAASDGRVKKCRIQGILHEIIISKAKDQGFAAITKEESMILPERVRRLSIQNVRARIQRISQFTSASGLRSLLVFSELDILPESPLFDLSLRDLRMLNVLELGGTHLQEFPNEVTNLLLLKYLSLRNTDVNYIPNSIGNLQYLETLDLKNSRVTELPTAILKLQKLRQILVYRYETKFDDQMETKQTIGFKLQTHIRGLRSLQKLCFLEANPNSMKEIGELTQLRRLGIVNLRKEDGVHLCPSIAKLTNLRALSVASTIASESLDLGYISSPPKFLQRLYLKGRLERLPDWISSLESLEKVVLKWSKQSGDPLVSLQHLPNLVHVEFVQVYDGQLLNFQAEGFPKLKFLVLNKLDRLKEIVIEKGAMPCLQKLIVQNCGLLQRVPSGIEHLTRLMVLEFTNVPVNLILTLHPDRNDGDYLKIARVPEVYFHTYWNNGRWDVFSLEIFRKDEPYSHQSSQLWIGDHPVEVSSPQETISTQPVTAPVASTPASMISASNGVEDNPGSSAIPPSLIPTTTEPSTSNSQPSASIFRESEATTSLSRE >Manes.10G102600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25073739:25078198:1 gene:Manes.10G102600.v8.1 transcript:Manes.10G102600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSVTFLLMKLSEILTDESNLLFGVKGDAKYIKDELEFSLAFLRVAESSDEEEIDPLFKVWVKNLRDVAYEMEDVLADFMLHLAHDHGHGVCNCLNKTSDFIISLKARHQIAATMRDIRTRIKEIKTRVEDISKARTSFNHIISKWRGVNYSGGVDLAAQQDPLLLEEANLVGIEMPKGKLIGWLLGSKSQLEVVSVVGTGGVGKTTLVKRVYENSQVKKHFKFCAWIILTQYFKTRDLLQDIVRQLYHVLREPSPEGFDTMSDHELRVGIKKFLQQRRYLIVLDDVWSNEAWNVFKHAFPKNTEGSRILLTTRISEVAKNASIESPNRIYALDSLSSKDAWTLFCKKTFQSNSCPPHLENVSQQILGRCEGLPLAIIAISGVLATRDNTRIDEWDMVYRSLGAEIQANQSLGNMKKVLSLSYYNLPYYLKCCLLYFSIFPEGYPIEHRRLVRLWVAEGFVVETEGRMLEEVAESYLNELLERSLVQVVEAASDGRVKKCRIQGILHEIIISKAKDQGFAAITKEESMILPERVRRLSIQNVRARIQRISQFTSASGLRSLLVFSELDILPESPLFDLSLRDLRMLNVLELGGTHLQEFPNEVTNLLLLKYLSLRNTDVNYIPNSIGNLQYLETLDLKNSRVTELPTAILKLQKLRQILVYRYETKFDDQMETKQTIGFKLQTHIRGLRSLQKLCFLEANPNSMKEIGELTQLRRLGIVNLRKEDGVHLCPSIAKLTNLRALSVASTIASESLDLGYISSPPKFLQRLYLKGRLERLPDWISSLESLEKVVLKWSKQSGDPLVSLQHLPNLVHVEFVQVYDGQLLNFQAEGFPKLKFLVLNKLDRLKEIVIEKGAMPCLQKLIVQNCGLLQRVPSGIEHLTRLMVLEFTNVPVNLILTLHPDRNDGDYLKIARVPEVYFHTYWNNGRWDVFSLEIFRKDEPYSHQSSQLWIGDHPVEVSSPQETISTQPVTAPVASTPASMISASNGVEDNPGSSAIPPSLIPTTTEPSTSNSQPSASIFRESEATTSLSKVVNEDEAIDAIIARILNVEPRVGAWKLASSTSPSSPLQAMNIITSGLKQGITLMDNPSDLARVQEAISSLIQASASISLSADETSALQLTLLHLNRQSERKSLIDTNSQELEQIEQQISQGEEKTKASASRIKECRNVRDSLNAQISDLENELARARGELEVVQSKLGGLHQDLKQQDATVSKNRKIKKKLNVEIQQLQRMILSDNSTQSLLPILDSLKFKLA >Manes.10G016600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1741609:1743388:1 gene:Manes.10G016600.v8.1 transcript:Manes.10G016600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKYRETQPIGTAAQTQDDKDYTEPPPAPLFEPSELTSWSFYRAGIAEFIATFLFLYISVLTVMGVVKAPTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYMVMQCLGAICGAGVVKGFEGRKQYTLLGGGANSVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNNDKGWDDHWIFWVGPFIGAALAALYHQVVIRAMPFKK >Manes.16G003100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:476257:482259:1 gene:Manes.16G003100.v8.1 transcript:Manes.16G003100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISRRILRSFASLDVGSFGSPGLIYQPFRASRDLCGQVVGNCLFSAESSSFVKGEAAYVAYRRFSTSILTPGSSDGAFPSDLLSTKSVLTPERQIGLYEDLVIPVTNFHNEDKGFMVLAGDVFDVPLKKHIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYRQKGTGRARHGTLRGPQFRGGAAMHGPKPRSHAIKCNKKVRRLGLKIALTARAAEGKLLVFEDLEVPTHKTKNIVNYANQMENTKKLLLVDGGPINENLKLATQNLHYVNVLPSIGLNVYSILLHDTLVMSRDAVNRIVERMHTPINR >Manes.16G003100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:475838:482259:1 gene:Manes.16G003100.v8.1 transcript:Manes.16G003100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISRRILRSFASLDVGSFGSPGLIYQPFRASRDLCGQVVGNCLFSAESSSFVKGEAAYVAYRRFSTSILTPGSSDGAFPSDLLSTKSVLTPERQIGLYEDLVIPVTNFHNEDKGFMVLAGDVFDVPLKKHIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYRQKGTGRARHGTLRGPQFRGGAAMHGPKPRSHAIKCNKKVRRLGLKIALTARAAEGKLLVFEDLEVPTHKTKNIVNYANQMENTKKLLLVDGGPINENLKLATQNLHYVNVLPSIGLNVYSILLHDTLVMSRDAVNRIVERMHTPINR >Manes.16G003100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:475838:482259:1 gene:Manes.16G003100.v8.1 transcript:Manes.16G003100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISRRILRSFASLDVGSFGSPGLIYQPFRASRDLCGQVVGNCLFSAESSSFVKGEAAYVAYRRFSTSILTPGSSDGAFPSDLLSTKSVLTPERQIGLYEDLVIPVTNFHNEDKGFMVLAGDVFDVPLKKHIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYRQKGTGRARHGTLRGPQFRGGAAMHGPKPRSHAIKCNKKVRRLGLKIALTARAAEGKLLVFEDLEVPTHKTKNIVNYANQMENTKKLLLVDGGPINENLKLATQNLHYVNVLPSIGLNVYSILLHDTLVMSRDAVNRIVERMHTPINR >Manes.10G069000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10837290:10838614:1 gene:Manes.10G069000.v8.1 transcript:Manes.10G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSNPPLLPSYGALSAKFPAAEEYNDPQSGVIMEECQLPLIDLNGLDSGNEMERVACATAICRASSEWGFFQVVNHGISPELLRNMRREQVKLFQAPFHKKATCGLLNNSYRWGSEKATCPKQFSWSEAFHIPLTKISEESCYGEFTSLREVMMEVAEAMSRLAKLLAGVLVENLGHPVRGFEDICHESNCFLRLNRYPPCPVSPEIFGLVPHTDSDFLTILYQDEVGGLQLMKDSKWVAVTPNQEALIVNIGDLFQAWSNDIYKSVEHKVMANRNKERCSLAYFLCPSYDFLIGSCKEPSIYRNFTFGEYRRQVQEDVMRTGHKIGLPRFLLQNTHQIRN >Manes.12G025900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2290144:2296441:-1 gene:Manes.12G025900.v8.1 transcript:Manes.12G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIFWLWGIGFLISALLEISSATLSPTGINYEVVALVSIKTALHDPYNVLESWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGSLSPWIGNLSNLQSVLLQNNAISGRIPAAIGKLEKLQTLDLSNNTFSGEIPASLGDLKNLNYLRLNNNSLSGPCPETLSNIEGLTLVDLSFNNLSGSLPKISARTFKVIGNPLICGPKASNNCSAVFPEPLSLPPDGLKGQSDSGTNSHHVAIAFGASFGAAFSIIIVIGLLVWWRYRRNQQIFFDVNEQYDREVCLGHLRRYTFKELRAATDHFNSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASGLRDHIHGRPALDWARRKKIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQEGKLNLLVDKDLRGNFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKIETPRLRSCENHPQRYSDFIEESSLVIEAMELSGPR >Manes.12G025900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2290149:2296441:-1 gene:Manes.12G025900.v8.1 transcript:Manes.12G025900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIFWLWGIGFLISALLEISSATLSPTGINYEVVALVSIKTALHDPYNVLESWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGSLSPWIGNLSNLQSVLLQNNAISGRIPAAIGKLEKLQTLDLSNNTFSGEIPASLGDLKNLNYLRLNNNSLSGPCPETLSNIEGLTLVDLSFNNLSGSLPKISARTFKVIGNPLICGPKASNNCSAVFPEPLSLPPDGLKGQSDSGTNSHHVAIAFGASFGAAFSIIIVIGLLVWWRYRRNQQIFFDVNEQYDREVCLGHLRRYTFKELRAATDHFNSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASGLRDHIHGRPALDWARRKKIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQEGKLNLLVDKDLRGNFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKIETPRLRSCENHPQRYSDFIEESSLVIEAMELSGPR >Manes.12G025900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2290144:2296442:-1 gene:Manes.12G025900.v8.1 transcript:Manes.12G025900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIFWLWGIGFLISALLEISSATLSPTGINYEVVALVSIKTALHDPYNVLESWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGSLSPWIGNLSNLQSVLLQNNAISGRIPAAIGKLEKLQTLDLSNNTFSGEIPASLGDLKNLNYLRLNNNSLSGPCPETLSNIEGLTLVDLSFNNLSGSLPKISARTFKVIGNPLICGPKASNNCSAVFPEPLSLPPDGLKGQSDSGTNSHHVAIAFGASFGAAFSIIIVIGLLVWWRYRRNQQIFFDVNEQYDREVCLGHLRRYTFKELRAATDHFNSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASGLRDHIHGRPALDWARRKKIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQEGKLNLLVDKDLRGNFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKIETPRLRSCENHPQRYSDFIEESSLVIEAMELSGPR >Manes.02G129900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9859908:9870188:-1 gene:Manes.02G129900.v8.1 transcript:Manes.02G129900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDQIGVLNMLSELGRRPMIGTNDGSLGDDLEKEIGLLLREQRRQEADDLERELNLYRSGSAPPTVEGSLSAVGGLLGGGGSSGGAAAFAEFVNSKNRNGLVSEEDLRSDPAYLSYYYSNVNLNPRLPPPLLSREDWRFAQRLKGGGSSVLGGIGDRRRVNRADNGSGRSLFSMPPGFDSRKQESEVETDNVRSSTEWGGDGLIGLPGLGLGTKQKSLAEIFQDDLGRATPVTGHPSRPSNAFNENVESVASAEAELAHLRHELSSAGSNGQGSSDVQNIGPPTSYSYAAAVGSSLSRSTTPDPQLVARAPSPCPTPIGQGRATSSEKRGINGSKAFSGVSSSIGESTDLAAALSGINLSTNGVIDEENRTDVDIFGLQGGQNHMKQNAYLKKAEPRNLHMSSLPQSAKISYSDLSKSNGSGPDLNSSSLVADRQVELQKSGALSGNSYMKGSPNSTPNSGGGLPGQYQHLDNLNSSLPNYGFSGYPVNSALPSMMASQLGTGNLPMLFENVAAASAVAAPGMDSRMLGGLGSTANLTAAAPELHNLGRVGSPMAGSTLQAPFVDPLYLQYLRTPEYAAAQLAALNDPSVDRSYLGNSYVNLLELQKAYVGALLSSQKAQYGVPMGGKSGASNHHGYYGNPAFGVGMSYPGSPLASPVISNSPVGPGSPIRHNELNMRFPSGMRNLAGGIVGPWHLDGTAKVDESLPSTLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATIDEKNMVYQEIMPHALALMTDVFGNYVIQKFFEHGLPSQRRELAGNLFGHVLTLSLQMYGCRVIQKAIEVVDIDQKIKMVEELDGHVTRCVRDQNGNHVIQKCIECVPEENIQFIVSTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSAIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILMRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPA >Manes.02G129900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9859909:9870188:-1 gene:Manes.02G129900.v8.1 transcript:Manes.02G129900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDQIGVLNMLSELGRRPMIGTNDGSLGDDLEKEIGLLLREQRRQEADDLERELNLYRSGSAPPTVEGSLSAVGGLLGGGGSSGGAAAFAEFVNSKNRNGLVSEEDLRSDPAYLSYYYSNVNLNPRLPPPLLSREDWRFAQRLKGGGSSVLGGIGDRRRVNRADNGSGRSLFSMPPGFDSRKQESEVETDNVRSSTEWGGDGLIGLPGLGLGTKQKSLAEIFQDDLGRATPVTGHPSRPSNAFNENVESVASAEAELAHLRHELSSAGSNGQGSSDVQNIGPPTSYSYAAAVGSSLSRSTTPDPQLVARAPSPCPTPIGQGRATSSEKRGINGSKAFSGVSSSIGESTDLAAALSGINLSTNGVIDEENRTDVDIFGLQGGQNHMKQNAYLKKAEPRNLHMSSLPQSAKISYSDLSKSNGSGPDLNSSSLVADRQVELQKSGALSGNSYMKGSPNSTPNSGGGLPGQYQHLDNLNSSLPNYGFSGYPVNSALPSMMASQLGTGNLPMLFENVAAASAVAAPGMDSRMLGGLGSTANLTAAAPELHNLGRVGSPMAGSTLQAPFVDPLYLQYLRTPEYAAAQLAALNDPSVDRSYLGNSYVNLLELQKAYVGALLSSQKAQYGVPMGGKSGASNHHGYYGNPAFGVGMSYPGSPLASPVISNSPVGPGSPIRHNELNMRFPSGMRNLAGGIVGPWHLDGTAKVDESLPSTLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATIDEKNMVYQEIMPHALALMTDVFGNYVIQKFFEHGLPSQRRELAGNLFGHVLTLSLQMYGCRVIQKAIEVVDIDQKIKMVEELDGHVTRCVRDQNGNHVIQKCIECVPEENIQFIVSTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSAIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILMRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPA >Manes.04G086301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28781795:28793066:-1 gene:Manes.04G086301.v8.1 transcript:Manes.04G086301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKKNTTESGHEGSDTKNSPNTVFVSNLPRLFTNSQLEETFSDIGPIRRCFVVTQKGSTEHRGFGFVQFAIKEDANRAIKLKNGSPVGGQKIVVKQAKSRSPLEQRQTKAAQVDDSDGAAKTNNDAISSVDKDALNLPESGKRQKPRQAVKLVNELADKESCSEKQRVARTVIFGSLLNDAMAEEVHRCAKEVGSVCSVTYPLPEEELQQHGLAQDGCRLSASAVLYTSVKEARSAVAMIHQKQIKGGIVWARQLGGEGSRTQKWKIIVRNLPFKAKANELKDVFSAAGFVWDVFIPHNSETGLSKGFAFVKFTCKQDAENAIKKFNAQMYGKRPMAVDWAVPKKIYSSGANVSLATEDGQQNEKEGSSDSSSDDLEEDNDDDDDDRGDVAPDNSDSFEKEHMPAEVDFDAEADIAKKVLKNLISSSSKGTIPSDVNDSTLPKGKKKPNSDENVDVPNEKSSKQDTSSGITLPESSGKNSSSDIQKREGEDDLQRTVFISNLPFDVDNEEVKQRFSAFGEVKSFVPVLHQVTKRPRGTGFLKFKTKDAATAAVSAANVASGLGILLKGRQLTVLKALDRKSAHDKEMEKAKIEDHDHRNLYLAKEGLILEGTPASEGMSASDMAKRKTLHEKKMTKLRSPNFHVSRTRLVIYNLPQSMTEKKLKKLCIDAVISRATKQKPMIRQIKLLKSMKKGKVVLKTNSRGVAFVEFTEHQHALVALRVLNNNPETFGPEHRPIVEFALDNVQKLKLRNAKLQAQQQESRDDLKDVQEDDVSHELYDIPRRKENSRKRKSQVENIEANDSELDKNEEENMVSEEASPKNQRNDKKRKSNGGSRKKGTPAQGEFNSTKQKVKGSVQEQTDHRVRKPDNRSAVKGEMAARNTDESKPSKEADLKLKKRKLSSKAALEDGEKGSKKRSKKNKDPLGRDIVDKLDMLIEEYKSKFSKQSSQKPGGEKQANKPLKRWFQS >Manes.04G086301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28781795:28793067:-1 gene:Manes.04G086301.v8.1 transcript:Manes.04G086301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKKNTTESGHEGSDTKNSPNTVFVSNLPRLFTNSQLEETFSDIGPIRRCFVVTQKGSTEHRGFGFVQFAIKEDANRAIKLKNGSPVGGQKIVVKQAKSRSPLEQRQTKAAQVDDSDGAAKTNNDAISSVDKDALNLPESGKRQKPRQAVKLVNELADKESCSEKQRVARTVIFGSLLNDAMAEEVHRCAKEVGSVCSVTYPLPEEELQQHGLAQDGCRLSASAVLYTSVKEARSAVAMIHQKQIKGGIVWARQLGGEGSRTQKWKIIVRNLPFKAKANELKDVFSAAGFVWDVFIPHNSETGLSKGFAFVKFTCKQDAENAIKKFNAQMYGKRPMAVDWAVPKKIYSSGANVSLATEDGQQNEKEGSSDSSSDDLEEDNDDDDDDRGDVAPDNSDSFEKEHMPAEVDFDAEADIAKKVLKNLISSSSKGTIPSDVNDSTLPKGKKKPNSDENVDVPNEKSSKQDTSSGITLPESSGKNSSSDIQKREGEDDLQRTVFISNLPFDVDNEEVKQRFSAFGEVKSFVPVLHQVTKRPRGTGFLKFKTKDAATAAVSAANVASGLGILLKGRQLTVLKALDRKSAHDKEMEKAKIEDHDHRNLYLAKEGLILEGTPASEGMSASDMAKRKTLHEKKMTKLRSPNFHVSRTRLVIYNLPQSMTEKKLKKLCIDAVISRATKQKPMIRQIKLLKSMKKGKVVLKTNSRGVAFVEFTEHQHALVALRVLNNNPETFGPEHRPIVEFALDNVQKLKLRNAKLQAQQQESRDDLKDVQEDDVSHELYDIPRRKENSRKRKSQVENIEANDSELDKNEEENMVSEEASPKNQRNDKKRKSNGGSRKKGTPAQGEFNSTKQKVKGSVQEQTDHRVRKPDNRSAVKGEMAARNTDESKPSKEADLKLKKRKLSSKAALEDGEKGSKKRSKKNKDPLGRDIVDKLDMLIEEYKSKFSKQSSQKPGGEKQANKPLKRWFQS >Manes.16G067700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25881425:25883668:-1 gene:Manes.16G067700.v8.1 transcript:Manes.16G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSQVYTEYPLNLQQIIPLDFDSIATVPDSHAWPDSDGFESNDRFSIPTIDLRDPDAAKLIGHACETWGAFQVVNHDISLNLLDEVESEVRRLFSLPTTRKLKALRSPGGATGYGLARISSFFNKFMWHEGFTIMGSPTDHAKELWPHEYQKFCDIMEDYQKKMEELARNLMRQILKYLATSEEEMDWFGSPGSASICLQLNSYPLCPDPRRAMGLAPHTDTSLFTILHQRTSGLQILKEGIGWVSVRPTTGALVVNVGDLLHILSNARFPGVVHRVVLKEAKQRYSVAFFYAPPVDFHLFPLALSSGQIPLYRSVSVAEYVGRKAKNLDKALSSIRI >Manes.13G034600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4260700:4263091:-1 gene:Manes.13G034600.v8.1 transcript:Manes.13G034600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIEKGSHEYSEDDDTEVFEEEKVSRWAKDQENNTININHVDHQEDEKMVASIEMKLTKKKALLEFRCMVEDAILGNYILENSEKKLSKKEKNKMIEQLREITLWGVPLLPSKSHESTDTVLLKFLKAKDFKVQEAFKMLQKTLKWRKDNKIDDILEEDLLLNLEPGKVLCVNSADKEGNPLCYTMYGAFKDKGFYKRVLGTEEDREKYLRWRIQIMERMIKKLTLKAGEADAVLQITDFKNLPVPEMKELRSVTKKSFLLLQSYYPEMVHKNILINVPFWYYTSLMVSSKIINHRTKRTFILARPSRVTQTLLKYMTPENLPVEYGGLRRDNDCEFLPEDCASEATVRASSSRSLKIPVSEAGMTIVWDVTVVGWDVTCREEFIPDDEGSYKVLLHKYKDKKIGDTIRNSFYINEPGKLVISIDNPTLKKRRVFYRFKARPYVPNYNMIN >Manes.17G097800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30451926:30454377:1 gene:Manes.17G097800.v8.1 transcript:Manes.17G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPGSVGTSASFSLRLAQTIFSCASLFFMSLGVQFYSYTAFCYLVTIMGLAIPWSFTLAIVDGYSVLVKCPIRQPGILLLVVIGDWVLSILILAAACSTASVVDLLLHADRSFCPPRVCSRYQISAAMAFLSWFSSMASSLFNLWLLPSL >Manes.06G175200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29878384:29880904:1 gene:Manes.06G175200.v8.1 transcript:Manes.06G175200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRCKILIVTSKWRLLRCGKSCRLRWINYLRPDVKRGNFTKEEEDAIIKLHQALGNKWSKIASHLPGRTDNEIKNVWNTRFKKRLAFKISDSKGNESKESSSISSSSCSSTTIMSGGKRNMATGLDQQWSHGSVTKRTRLQDLHMEATLDEFRPTNKEANEMPSPSSSSSYNSNVTNRSQVDVSVADDQMGSLFNFSAPHPRSMIEDVSFESDLEFWNMLDGLNAANEGVHLHNVETSQSSSLGVECNGEVENRKWLRYLENELGLESTRDGNQQNLSAVPETYQYEMP >Manes.06G175200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29878384:29880904:1 gene:Manes.06G175200.v8.1 transcript:Manes.06G175200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCDKTQVKKGPWSPAEDLRLVTFIQKHGHDNWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTKEEEDAIIKLHQALGNKWSKIASHLPGRTDNEIKNVWNTRFKKRLAFKISDSKGNESKESSSISSSSCSSTTIMSGGKRNMATGLDQQWSHGSVTKRTRLQDLHMEATLDEFRPTNKEANEMPSPSSSSSYNSNVTNRSQVDVSVADDQMGSLFNFSAPHPRSMIEDVSFESDLEFWNMLDGLNAANEGVHLHNVETSQSSSLGVECNGEVENRKWLRYLENELGLESTRDGNQQNLSAVPETYQYEMP >Manes.06G175200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29878384:29880904:1 gene:Manes.06G175200.v8.1 transcript:Manes.06G175200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRCKILIVTSKWRLLRCGKSCRLRWINYLRPDVKRGNFTKEEEDAIIKLHQALGNKWSKIASHLPGRTDNEIKNVWNTRFKKRLAFKISDSKGNESKESSSISSSSCSSTTIMSGGKRNMATGLDQQWSHGSVTKRTRLQDLHMEATLDEFRPTNKEANEMPSPSSSSSYNSNVTNRSQVDVSVADDQMGSLFNFSAPHPRSMIEDVSFESDLEFWNMLDGLNAANEGVHLHNVETSQSSSLGVECNGEVENRKWLRYLENELGLESTRDGNQQNLSAVPETYQYEMP >Manes.14G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12933220:12934229:-1 gene:Manes.14G112500.v8.1 transcript:Manes.14G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDADVLSKSREACYKARDAFYACLEKESGKKPTEIGSVGLLYPLECKSWRAEFEKNCRASWVKHFDRLYCKNKRVERLLDDKDSRPGSLSLPQPYAFKPTNSLKNLQ >Manes.14G112500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12932050:12934229:-1 gene:Manes.14G112500.v8.1 transcript:Manes.14G112500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDADVLSKSREACYKARDAFYACLEKESGKKPTEIGSVGLLYPLECKSWRAEFEKNCRASWVKHFDRLYCKNKRVERLLDDKDSRPGSLSLPQPYAFKPTNSSSIKWMLCRGNFGIKEPEIFVMFVAIG >Manes.14G112500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12933720:12934229:-1 gene:Manes.14G112500.v8.1 transcript:Manes.14G112500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDADVLSKSREACYKARDAFYACLEKESGKKPTEIGSVGLLYPLECKSWRAEFEKNCRASWVKHFDRLYCKNKRVERLLDDKDSRPGSLSLPQPYAFKPTNS >Manes.02G037000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3059279:3059719:1 gene:Manes.02G037000.v8.1 transcript:Manes.02G037000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPVSMTRYEFQLTPTTRADSFTTASATTASTTTGHLLIFHTMFFYFILFSSHTCPLRFSSSSNSPHYFRFSFPLSFFCLLLDLSFIGIIDIIYRRPHAPYNPSMLPPLPLTEWRAGGGFVHRLIKRTTPKSWGLWCWWFVYGLQ >Manes.07G065285.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12142804:12144041:-1 gene:Manes.07G065285.v8.1 transcript:Manes.07G065285.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPEIIEDILLRLPVKSLVRFKSASKTLYSLISESRFVNLQAKRASNNPKILLFSVSGTCQSFDYETPFGNTCAFVNLNIPRIVLKQVELGVKVIGSCSGLVCIGSSFDRKLVIWNPCTGTHLVIPYPSDSFTYYSYGFGYDPSSECFKIVLVSLEERTIFQIFSSKSSSWRSLCCPYGSTYILVNYIGDSSAPLLNGALHWLSYSKPQLPSTFMRPYEISSEFIALDLAKEKFQKLPMPQSIPNHRLVLGELGGCLIEIWVMKEYGVKASWSKLLNVFETEYGSIYDFWPLYLCRSREVVGLQDGMKLKKWNIQDGKLVDTFNICEEFCEAFIYRAVVYAENLISPTDFNGELSILLYKHLAMHACNILFS >Manes.02G131000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9926841:9928844:-1 gene:Manes.02G131000.v8.1 transcript:Manes.02G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSISADLQPSGAHILSTELNTNNDSPYNSETGDFSHAVLKFINDVLMEEESEDKPCMLQDCLALHAAEKSLYEVIGEKYPPSSDQFPSPIHRNAESPDENFTPTSSGDSSNIAIEFNWISDESSALQPSPMYSDEIIFSPLDLCGDMSSSGKVNGVMGNFNKSSPNNCSLILAPTNLEKDLAEKNTENDGRRSYASNPSRGRKNHPPGDNGCIEEGRSKKHSTFVAGESEQLEIFDNSFLHKIGNNEAIPCPLYDASRNEESKKLQQNEQSQASNSRMRRQAHDRETMDLSTRLIYCAQAVGTGDQRTAYEQLKQIRLHASPFGNGNQRMAHYFANALEARLAGTEKLFQTLISGPSTSAADILKAYQLYVSICPFRMMTNFFTNRTIGKVADKANRLHIIDFGISYGFQWPCLIYRFSTRPGGPPKIRITGIDYPQPGFRPAERVEGTGRRLKRLADRLNVPFEYNAIAQKWETIRYEDLNIDRDEVVAVCCMYRLKNLPDDTIMLDSPRDAVLKTIKRINPDIFVHGVVNGSYNAPFFTSRFREALFHYSALFDMLEANVTREDQERLVFERELIGKDVMNVIACEGVERFERPETYKQWQMRNSRIGFKQLPLHQDLMKRVRNIKSDYHKNFVIDEDGHWMLMGWKGRIIHAISAWKAVQD >Manes.18G113450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11393778:11438561:-1 gene:Manes.18G113450.v8.1 transcript:Manes.18G113450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLTQAFAKTAAVIEKTVQTTVQEVTGPKPLQDYQLLDQIGSAGPGLAWKLYSAKAARESSRAHQYPTVCVWVIDKRTLSEARVRAGLTKAAEDAFLDVIRADAARLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASAANALGNMENVEKVPKELKGMEMNLLEVKHGLLQIAETLDFLHNNAHLIHRAISPENTLITSSGAWKLGGFGFAITGDQASGNLPSSQAFHYAEYDVEDSILPLQPSLNYTAPELIRSKSPSAGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLNYLSSEAFSSIPQDLIPDLKKMISANESFRPTAMDFTGSSFFRNDTRLRALRFLDHMLERDNMQKSEFLKALLDMWKDFDSRVLRYKVLPSLCAELRNMVMQPMILPMVFTIAESQDKNDFELSTFPALIPVLNTAAGETLLLLVKRAELIINKTSQENLISYVLPLLVRAYDDTDPRIQEEVLKKSSSLAKQLDVQLVKNSILPHVHGLALKTTVAAVRVNALLCFGDLVHTLDKHAVLEILQTIQRCTAVDHSAPTLMCTLGVANSIRKQYGIDFVAEHVLPLLVRLLTAQQLNVQQFAKYMLFVKDILRMIEEKRGVTVTDSGIPEVKPTPVPNGLHSQASSKTSGTVARASQNSASWDEGWGPVSNGPTTMNQPSISKSLSTPSISSNQPIQLTSLQSESSLITAVSGQQTATSCPAVDIEWPPQASSGVTPKLDYVDKQSQASNMQTTSSSFDDLDPFADWPPRPSGTSTASGTSTNGSLGSLANNCGTNLKASTDNSMSFQANGNNSLAFNNPQSVESLKSIQKTSTIDVGSLNSGHNPQSSIGFMKQNQDISTLDSYNDGRSMDLGSIFGSSKKGQLAPKLAPPPSTTAGRGRVRVRGRGRGRGTTSTSRPNHAKPHSEQPPLLDLL >Manes.18G113450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11393778:11432091:-1 gene:Manes.18G113450.v8.1 transcript:Manes.18G113450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGSLLLSHLGRNSLAEMNLLEVKHGLLQIAETLDFLHNNAHLIHRAISPENTLITSSGAWKLGGFGFAITGDQASGNLPSSQAFHYAEYDVEDSILPLQPSLNYTAPELIRSKSPSAGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLNYLSSEAFSSIPQDLIPDLKKMISANESFRPTAMDFTGSSFFRNDTRLRALRFLDHMLERDNMQKSEFLKALLDMWKDFDSRVLRYKVLPSLCAELRNMVMQPMILPMVFTIAESQDKNDFELSTFPALIPVLNTAAGETLLLLVKRAELIINKTSQENLISYVLPLLVRAYDDTDPRIQEEVLKKSSSLAKQLDVQLVKNSILPHVHGLALKTTVAAVRVNALLCFGDLVHTLDKHAVLEILQTIQRCTAVDHSAPTLMCTLGVANSIRKQYGIDFVAEHVLPLLVRLLTAQQLNVQQFAKYMLFVKDILRMIEEKRGVTVTDSGIPEVKPTPVPNGLHSQASSKTSGTVARASQNSASWDEGWGPVSNGPTTMNQPSISKSLSTPSISSNQPIQLTSLQSESSLITAVSGQQTATSCPAVDIEWPPQASSGVTPKLDYVDKQSQASNMQTTSSSFDDLDPFADWPPRPSGTSTASGTSTNGSLGSLANNCGTNLKASTDNSMSFQANGNNSLAFNNPQSVESLKSIQKTSTIDVGSLNSGHNPQSSIGFMKQNQDISTLDSYNDGRSMDLGSIFGSSKKGQLAPKLAPPPSTTAGRGRVRVRGRGRGRGTTSTSRPNHAKPHSEQPPLLDLL >Manes.18G113450.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11393777:11438561:-1 gene:Manes.18G113450.v8.1 transcript:Manes.18G113450.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEVKHGLLQIAETLDFLHNNAHLIHRAISPENTLITSSGAWKLGGFGFAITGDQASGNLPSSQAFHYAEYDVEDSILPLQPSLNYTAPELIRSKSPSAGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLNYLSSEAFSSIPQDLIPDLKKMISANESFRPTAMDFTGSSFFRNDTRLRALRFLDHMLERDNMQKSEFLKALLDMWKDFDSRVLRYKVLPSLCAELRNMVMQPMILPMVFTIAESQTSQENLISYVLPLLVRAYDDTDPRIQEEVLKKSSSLAKQLDVQLVKNSILPHVHGLALKTTVAAVRVNALLCFGDLVHTLDKHAVLEILQTIQRCTAVDHSAPTLMCTLGVANSIRKQYGIDFVAEHVLPLLVRLLTAQQLNVQQFAKYMLFVKDILRMIEEKRGVTVTDSGIPEVKPTPVPNGLHSQASSKTSGTVARASQNSASWDEGWGPVSNGPTTMNQPSISKSLSTPSISSNQPIQLTSLQSESSLITAVSGQQTATSCPAVDIEWPPQASSGVTPKLDYVDKQSQASNMQTTSSSFDDLDPFADWPPRPSGTSTASGTSTNGSLGSLANNCGTNLKASTDNSMSFQANGNNSLAFNNPQSVESLKSIQKTSTIDVGSLNSGHNPQSSIGFMKQNQDISTLDSYNDGRSMDLGSIFGSSKKGQLAPKLAPPPSTTAGRGRVRVRGRGRGRGTTSTSRPNHAKPHSEQPPLLDLL >Manes.18G113450.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11393778:11432091:-1 gene:Manes.18G113450.v8.1 transcript:Manes.18G113450.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEVKHGLLQIAETLDFLHNNAHLIHRAISPENTLITSSGAWKLGGFGFAITGDQASGNLPSSQAFHYAEYDVEDSILPLQPSLNYTAPELIRSKSPSAGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLNYLSSEAFSSIPQDLIPDLKKMISANESFRPTAMDFTGSSFFRNDTRLRALRFLDHMLERDNMQKSEFLKALLDMWKDFDSRVLRYKVLPSLCAELRNMVMQPMILPMVFTIAESQDKNDFELSTFPALIPVLNTAAGETLLLLVKRAELIINKTSQENLISYVLPLLVRAYDDTDPRIQEEVLKKSSSLAKQLDVQLVKNSILPHVHGLALKTTVAAVRVNALLCFGDLVHTLDKHAVLEILQTIQRCTAVDHSAPTLMCTLGVANSIRKQYGIDFVAEHVLPLLVRLLTAQQLNVQQFAKYMLFVKDILRMIEEKRGVTVTDSGIPEVKPTPVPNGLHSQASSKTSGTVARASQNSASWDEGWGPVSNGPTTMNQPSISKSLSTPSISSNQPIQLTSLQSESSLITAVSGQQTATSCPAVDIEWPPQASSGVTPKLDYVDKQSQASNMQTTSSSFDDLDPFADWPPRPSGTSTASGTSTNGSLGSLANNCGTNLKASTDNSMSFQANGNNSLAFNNPQSVESLKSIQKTSTIDVGSLNSGHNPQSSIGFMKQNQDISTLDSYNDGRSMDLGSIFGSSKKGQLAPKLAPPPSTTAGRGRVRVRGRGRGRGTTSTSRPNHAKPHSEQPPLLDLL >Manes.18G113450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11393777:11438561:-1 gene:Manes.18G113450.v8.1 transcript:Manes.18G113450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLTQAFAKTAAVIEKTVQTTVQEVTGPKPLQDYQLLDQIGSAGPGLAWKLYSAKAARESSRAHQYPTVCVWVIDKRTLSEARVRAGLTKAAEDAFLDVIRADAARLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASAANALGNMENVEKVPKELKGMEMNLLEVKHGLLQIAETLDFLHNNAHLIHRAISPENTLITSSGAWKLGGFGFAITGDQASGNLPSSQAFHYAEYDVEDSILPLQPSLNYTAPELIRSKSPSAGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLNYLSSEAFSSIPQDLIPDLKKMISANESFRPTAMDFTGSSFFRNDTRLRALRFLDHMLERDNMQKSEFLKALLDMWKDFDSRVLRYKVLPSLCAELRNMVMQPMILPMVFTIAESQTSQENLISYVLPLLVRAYDDTDPRIQEEVLKKSSSLAKQLDVQLVKNSILPHVHGLALKTTVAAVRVNALLCFGDLVHTLDKHAVLEILQTIQRCTAVDHSAPTLMCTLGVANSIRKQYGIDFVAEHVLPLLVRLLTAQQLNVQQFAKYMLFVKDILRMIEEKRGVTVTDSGIPEVKPTPVPNGLHSQASSKTSGTVARASQNSASWDEGWGPVSNGPTTMNQPSISKSLSTPSISSNQPIQLTSLQSESSLITAVSGQQTATSCPAVDIEWPPQASSGVTPKLDYVDKQSQASNMQTTSSSFDDLDPFADWPPRPSGTSTASGTSTNGSLGSLANNCGTNLKASTDNSMSFQANGNNSLAFNNPQSVESLKSIQKTSTIDVGSLNSGHNPQSSIGFMKQNQDISTLDSYNDGRSMDLGSIFGSSKKGQLAPKLAPPPSTTAGRGRVRVRGRGRGRGTTSTSRPNHAKPHSEQPPLLDLL >Manes.18G113450.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11393778:11438561:-1 gene:Manes.18G113450.v8.1 transcript:Manes.18G113450.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLEVKHGLLQIAETLDFLHNNAHLIHRAISPENTLITSSGAWKLGGFGFAITGDQASGNLPSSQAFHYAEYDVEDSILPLQPSLNYTAPELIRSKSPSAGCSSDIFSFGCLAYHLIARKPLFDCHNNVKMYMNTLNYLSSEAFSSIPQDLIPDLKKMISANESFRPTAMDFTGSSFFRNDTRLRALRFLDHMLERDNMQKSEFLKALLDMWKDFDSRVLRYKVLPSLCAELRNMVMQPMILPMVFTIAESQDKNDFELSTFPALIPVLNTAAGETLLLLVKRAELIINKTSQENLISYVLPLLVRAYDDTDPRIQEEVLKKSSSLAKQLDVQLVKNSILPHVHGLALKTTVAAVRVNALLCFGDLVHTLDKHAVLEILQTIQRCTAVDHSAPTLMCTLGVANSIRKQYGIDFVAEHVLPLLVRLLTAQQLNVQQFAKYMLFVKDILRMIEEKRGVTVTDSGIPEVKPTPVPNGLHSQASSKTSGTVARASQNSASWDEGWGPVSNGPTTMNQPSISKSLSTPSISSNQPIQLTSLQSESSLITAVSGQQTATSCPAVDIEWPPQASSGVTPKLDYVDKQSQASNMQTTSSSFDDLDPFADWPPRPSGTSTASGTSTNGSLGSLANNCGTNLKASTDNSMSFQANGNNSLAFNNPQSVESLKSIQKTSTIDVGSLNSGHNPQSSIGFMKQNQDISTLDSYNDGRSMDLGSIFGSSKKGQLAPKLAPPPSTTAGRGRVRVRGRGRGRGTTSTSRPNHAKPHSEQPPLLDLL >Manes.18G061800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5439428:5443046:1 gene:Manes.18G061800.v8.1 transcript:Manes.18G061800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNKKPRSQNHSPNPNFMREDRTEMSEDDDRSPPSDSVTTIDSCSNKTQTCTSGSTSGSGPSSIPEYQASFPDQVLENVLENVLCFLTSRRDRNAASLVCRSWYRVEALTRSDLFIGNCYAVSPRRATSRFTRIRSVTLKGKPRFADFNLMPPNWGAHFAPWVSAMAEAYPWLEKVHLKRMTVTDDDLALLAESFFGFKELVLVCCDGFGTSGLAVVASRCRHLRVLDLIESEVSDDEVDWISCFPEGEICLESLIFDCVECPINFDALERLVARSPSLKKLRLNRYVSVGQLYRLMVRAPQLTHLGTGSFRPSEDVVQGEQGPDYVSAFAASKSLVCLSGFRDIIPDYLPAIYPVCANLTSLNLSYANINAEQLKPIISNCHKLQIFWVLDSICDEGLQAVAATCKELRELRVFPIDAREDSEGPVSEVGLQAISEGCRKLQSILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDHVTGEPMDEGFGAIVMNCKKLTRLAVSGLLTDRAFSYIGKYGKTVRTLSVAFAGDGDMGLKYLLEGCPRLQKLEIRDCPFGDAALLSGLHHYYNMRFLWMSSCKLTPGGCQQVAQALPRLVVEVINHEFDVNVSTFVDTLYMYRSLEGPRDDAPKFVSIL >Manes.18G061800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5439259:5444158:1 gene:Manes.18G061800.v8.1 transcript:Manes.18G061800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNKKPRSQNHSPNPNFMREDRTEMSEDDDRSPPSDSVTTIDSCSNKTQTCTSGSTSGSGPSSIPEYQASFPDQVLENVLENVLCFLTSRRDRNAASLVCRSWYRVEALTRSDLFIGNCYAVSPRRATSRFTRIRSVTLKGKPRFADFNLMPPNWGAHFAPWVSAMAEAYPWLEKVHLKRMTVTDDDLALLAESFFGFKELVLVCCDGFGTSGLAVVASRCRHLRVLDLIESEVSDDEVDWISCFPEGEICLESLIFDCVECPINFDALERLVARSPSLKKLRLNRYVSVGQLYRLMVRAPQLTHLGTGSFRPSEDVVQGEQGPDYVSAFAASKSLVCLSGFRDIIPDYLPAIYPVCANLTSLNLSYANINAEQLKPIISNCHKLQIFWVLDSICDEGLQAVAATCKELRELRVFPIDAREDSEGPVSEVGLQAISEGCRKLQSILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDHVTGEPMDEGFGAIVMNCKKLTRLAVSGLLTDRAFSYIGKYGKTVRTLSVAFAGDGDMGLKYLLEGCPRLQKLEIRDCPFGDAALLSGLHHYYNMRFLWMSSCKLTPGGCQQVAQALPRLVVEVINHEFDVNVSTFVDTLYMYRSLEGPRDDAPKFVSIL >Manes.11G131100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29565288:29571745:-1 gene:Manes.11G131100.v8.1 transcript:Manes.11G131100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNPAHPPLHDPSRESLSGFSSPGVFRVGFNRTVNSQLPDIVEGGGCVSGSSGENVYVAVGKSVEKTVGLLHWSFKRFGGRDICILHVHQPSPLIPTLPSQANAEVVSAHRRHEREQSKKLLEIYLAICRRAKVKASIVTTDCDQVQKGIVELVNRHGVRMLVMGAVPKICMKVKKSSNKASYVAKNSPLFSEIWFIKKGKHVWTREASERSSLLPSCGNAGGASSEIVRSLSLRLSKNASPFRPEYVRSTSAKDLTCARISHWVQSESTCAEVPMLRARSGSTNTCFIHSIQSSCSPRSSSCSGTSTERRVSSDSESKVEEDSLCCKMEEVRIEAKSSRHEAFEELLKRKKLEFQTLEAMSKLKIFDSAYTNEVKQRKGAENALRNTIEEQEKLLEEKGEITKELQRTMRNVALLDSRVQEANRRRDEAAGELKLIQTSIASLWQEKQRIRRQKMEAAHWLERWKNHRQAGAPNCNGLLGFVEELPELAEFTLSDLQTATCNFSESFKLGQGGYGYVYKGEMLGRTVAIKKLHPNNMQGQSSFQKEVQVLGKLQHPHLVTLLGACPEAWSLVYEYLPNGSLHDCLFRKNNISPLTWKVRARIIAEISSALCFLHSSKPEKIVHGDLKPENILLDYELGCKICEFGICRLVTDDTFYCPSFRRGTEPKGTFPYTDPEFQRVGILTTKSDIYSFGVITLQLLTGRPPIGLVGDVRRAMSCGKLSTILDPSAGEWPTLVAKRLVDLGLQFCELSSRERPELTPALVRELELLHVSEERPVPSFFLCPILREIMHDPQVAADGFTYEGEAIRGWLENGRETSPMTNLKLSHLHLTPNHAVRLAIQDWLCNS >Manes.11G131100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29565288:29571745:-1 gene:Manes.11G131100.v8.1 transcript:Manes.11G131100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNPAHPPLHDPSRESLSGFSSPGVFRVGFNRTVNSQLPDIVEGGGCVSGSSGENVYVAVGKSVEKTVGLLHWSFKRFGGRDICILHVHQPSPLIPTLLGKLPASQANAEVVSAHRRHEREQSKKLLEIYLAICRRAKVKASIVTTDCDQVQKGIVELVNRHGVRMLVMGAVPKICMKVKKSSNKASYVAKNSPLFSEIWFIKKGKHVWTREASERSSLLPSCGNAGGASSEIVRSLSLRLSKNASPFRPEYVRSTSAKDLTCARISHWVQSESTCAEVPMLRARSGSTNTCFIHSIQSSCSPRSSSCSGTSTERRVSSDSESKVEEDSLCCKMEEVRIEAKSSRHEAFEELLKRKKLEFQTLEAMSKLKIFDSAYTNEVKQRKGAENALRNTIEEQEKLLEEKGEITKELQRTMRNVALLDSRVQEANRRRDEAAGELKLIQTSIASLWQEKQRIRRQKMEAAHWLERWKNHRQAGAPNCNGLLGFVEELPELAEFTLSDLQTATCNFSESFKLGQGGYGYVYKGEMLGRTVAIKKLHPNNMQGQSSFQKEVQVLGKLQHPHLVTLLGACPEAWSLVYEYLPNGSLHDCLFRKNNISPLTWKVRARIIAEISSALCFLHSSKPEKIVHGDLKPENILLDYELGCKICEFGICRLVTDDTFYCPSFRRGTEPKGTFPYTDPEFQRVGILTTKSDIYSFGVITLQLLTGRPPIGLVGDVRRAMSCGKLSTILDPSAGEWPTLVAKRLVDLGLQFCELSSRERPELTPALVRELELLHVSEERPVPSFFLCPILREIMHDPQVAADGFTYEGEAIRGWLENGRETSPMTNLKNISIITVLTGDS >Manes.11G131100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29565288:29571745:-1 gene:Manes.11G131100.v8.1 transcript:Manes.11G131100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNPAHPPLHDPSRESLSGFSSPGVFRVGFNRTVNSQLPDIVEGGGCVSGSSGENVYVAVGKSVEKTVGLLHWSFKRFGGRDICILHVHQPSPLIPTLLGKLPASQANAEVVSAHRRHEREQSKKLLEIYLAICRRAKVKASIVTTDCDQVQKGIVELVNRHGVRMLVMGAVPKIEIWFIKKGKHVWTREASERSSLLPSCGNAGGASSEIVRSLSLRLSKNASPFRPEYVRSTSAKDLTCARISHWVQSESTCAEVPMLRARSGSTNTCFIHSIQSSCSPRSSSCSGTSTERRVSSDSESKVEEDSLCCKMEEVRIEAKSSRHEAFEELLKRKKLEFQTLEAMSKLKIFDSAYTNEVKQRKGAENALRNTIEEQEKLLEEKGEITKELQRTMRNVALLDSRVQEANRRRDEAAGELKLIQTSIASLWQEKQRIRRQKMEAAHWLERWKNHRQAGAPNCNGLLGFVEELPELAEFTLSDLQTATCNFSESFKLGQGGYGYVYKGEMLGRTVAIKKLHPNNMQGQSSFQKEVQVLGKLQHPHLVTLLGACPEAWSLVYEYLPNGSLHDCLFRKNNISPLTWKVRARIIAEISSALCFLHSSKPEKIVHGDLKPENILLDYELGCKICEFGICRLVTDDTFYCPSFRRGTEPKGTFPYTDPEFQRVGILTTKSDIYSFGVITLQLLTGRPPIGLVGDVRRAMSCGKLSTILDPSAGEWPTLVAKRLVDLGLQFCELSSRERPELTPALVRELELLHVSEERPVPSFFLCPILREIMHDPQVAADGFTYEGEAIRGWLENGRETSPMTNLKLSHLHLTPNHAVRLAIQDWLCNS >Manes.11G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29565288:29571745:-1 gene:Manes.11G131100.v8.1 transcript:Manes.11G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNPAHPPLHDPSRESLSGFSSPGVFRVGFNRTVNSQLPDIVEGGGCVSGSSGENVYVAVGKSVEKTVGLLHWSFKRFGGRDICILHVHQPSPLIPTLLGKLPASQANAEVVSAHRRHEREQSKKLLEIYLAICRRAKVKASIVTTDCDQVQKGIVELVNRHGVRMLVMGAVPKICMKVKKSSNKASYVAKNSPLFSEIWFIKKGKHVWTREASERSSLLPSCGNAGGASSEIVRSLSLRLSKNASPFRPEYVRSTSAKDLTCARISHWVQSESTCAEVPMLRARSGSTNTCFIHSIQSSCSPRSSSCSGTSTERRVSSDSESKVEEDSLCCKMEEVRIEAKSSRHEAFEELLKRKKLEFQTLEAMSKLKIFDSAYTNEVKQRKGAENALRNTIEEQEKLLEEKGEITKELQRTMRNVALLDSRVQEANRRRDEAAGELKLIQTSIASLWQEKQRIRRQKMEAAHWLERWKNHRQAGAPNCNGLLGFVEELPELAEFTLSDLQTATCNFSESFKLGQGGYGYVYKGEMLGRTVAIKKLHPNNMQGQSSFQKEVQVLGKLQHPHLVTLLGACPEAWSLVYEYLPNGSLHDCLFRKNNISPLTWKVRARIIAEISSALCFLHSSKPEKIVHGDLKPENILLDYELGCKICEFGICRLVTDDTFYCPSFRRGTEPKGTFPYTDPEFQRVGILTTKSDIYSFGVITLQLLTGRPPIGLVGDVRRAMSCGKLSTILDPSAGEWPTLVAKRLVDLGLQFCELSSRERPELTPALVRELELLHVSEERPVPSFFLCPILREIMHDPQVAADGFTYEGEAIRGWLENGRETSPMTNLKLSHLHLTPNHAVRLAIQDWLCNS >Manes.09G176700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36727321:36731578:1 gene:Manes.09G176700.v8.1 transcript:Manes.09G176700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFRKKRVQVFIFIVGIVALSITAEKCRELVGEDSSSQSGKFTIFNCFDMSSGTLACTVKEGVKLYFYNIRSAHVEKARNLAIERTLLDALGQGLSAKDAAKQAQKEGAKAAKLATRQAKRIIGPIISSGWDFFEALYYGGTMTEGFLRGTGTLFGAYAGGFVGEASLGRFGYLVGSHLGSWVGGRMGLMVYDVVNGVHLLLKIYEGVSEIHENYEKIEASEDSNVYETTAYTRSEDYSVYETNSEASEDPNLYDSPPSENSEFR >Manes.12G109600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30513600:30525988:1 gene:Manes.12G109600.v8.1 transcript:Manes.12G109600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKELLNNISSFLCLSSIDNVSLDLVQKYWQKAEEILKLLKPILDAIVDSEIASDEVLNKAFHELSQSIDELRDLFENWQPLSSKVYFVLQIESLISKIRTLGLDTFQQLKSSHENLPDELSSSSLEYCTQKIKQMGYEQISSVVREAIRDQVENLGPSSEILVKIAESLSLRSNQEILIEAVALEKLKENAEQAENTREAELFDQMIFLVTRMHDRLVLIKQSQTSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKLVSFNQASPLLLHADSSGMPKDSHVLPQSRGNQPMSPESTRSAGSPGRNRISSGGIHREGTSPLHPRSISEGSLSGVVGNEQGLDVMRISLPSSEERSANLEERSMDSVVHAVSPSRNEVPNAVRTDQPITQSHSRNASASSAIANANFSQGANGDADESSEMSNHIASYSSDTSGEVKAEPQASTTLSIPHREPEFPHRLVETRSRSQTIWRRPADRLVPRIVSSPAIETRADLSGVETKVRKLIEDLKSDSVEIQRDATAELRLLAKHNMDNRIVIASCGAINLLVSLLRSTDTNIQENAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAIGPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLSTNSSRFCNMVLQEGAVPPLVALSQSGTPRAKEKAQALLQYFRNQRHGNARG >Manes.12G109600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30513606:30525828:1 gene:Manes.12G109600.v8.1 transcript:Manes.12G109600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKELLNNISSFLCLSSIDNVSLDLVQKYWQKAEEILKLLKPILDAIVDSEIASDEVLNKAFHELSQSIDELRDLFENWQPLSSKVYFVLQIESLISKIRTLGLDTFQQLKSSHENLPDELSSSSLEYCTQKIKQMGYEQISSVVREAIRDQVENLGPSSEILVKIAESLSLRSNQEILIEAVALEKLKENAEQAENTREAELFDQMIFLVTRMHDRLVLIKQSQTSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKLVSFNQASPLLLHADSSGMPKDSHVLPQSRGNQPMSPESTRSAGSPGRNRISSGGIHREGTSPLHPRSISEGSLSGVVGNEQGLDVMRISLPSSEERSANLEERSMDSVVHAVSPSRNEVPNAVRTDQPITQSHSRNASASSAIANANFSQGANGDADESSEMSNHIASYSSDTSGEVKAEPQASTTLSIPHREPEFPHRLVETRSRSQTIWRRPADRLVPRIVSSPAIETRADLSGVETKVRKLIEDLKSDSVEIQRDATAELRLLAKHNMDNRIVIASCGAINLLVSLLRSTDTNIQENAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAIGPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLSTNSSRFCNMVLQEGAVPPLVALSQSGTPRAKEKAQALLQYFRNQRHGNARG >Manes.12G109600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30513606:30525828:1 gene:Manes.12G109600.v8.1 transcript:Manes.12G109600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKELLNNISSFLCLSSIDNVSLDLVQKYWQKAEEILKLLKPILDAIVDSEIASDEVLNKAFHELSQSIDELRDLFENWQPLSSKVYFVLQIESLISKIRTLGLDTFQQLKSSHENLPDELSSSSLEYCTQKIKQMGYEQISSVVREAIRDQVENLGPSSEILVKIAESLSLRSNQEILIEAVALEKLKENAEQAENTREAELFDQMIFLVTRMHDRLVLIKQSQTSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKLVSFNQASPLLLHADSSGMPKDSHVLPQSRGNQPMSPESTRSAGSPGRNRISSGGIHREGTSPLHPRSISEGSLSGVVGNEQGLDVMRISLPSSEERSANLEERSMDSVVHAVSPSRNEVPNAVRTDQPITQSHSRNASASSAIANANFSQGANGDADESSEMSNHIASYSSDTSGEVKAEPQASTTLSIPHREPEFPHRLVETRSRSQTIWRRPADRLVPRIVSSPAIETRADLSGVETKVRKLIEDLKSDSVEIQRDATAELRLLAKHNMDNRIVIASCGAINLLVSLLRSTDTNIQENAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAIGPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLSTNSSRFCNMVLQEGAVPPLVALSQSGTPRAKEKAQALLQYFRNQRHGNARG >Manes.12G109600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30520118:30525828:1 gene:Manes.12G109600.v8.1 transcript:Manes.12G109600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKELLNNISSFLCLSSIDNVSLDLVQKYWQKAEEILKLLKPILDAIVDSEIASDEVLNKAFHELSQSIDELRDLFENWQPLSSKVYFVLQIESLISKIRTLGLDTFQQLKSSHENLPDELSSSSLEYCTQKIKQMGYEQISSVVREAIRDQVENLGPSSEILVKIAESLSLRSNQEILIEAVALEKLKENAEQAENTREAELFDQMIFLVTRMHDRLVLIKQSQTSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKLVSFNQASPLLLHADSSGMPKDSHVLPQSRGNQPMSPESTRSAGSPGRNRISSGGIHREGTSPLHPRSISEGSLSGVVGNEQGLDVMRISLPSSEERSANLEERSMDSVVHAVSPSRNEVPNAVRTDQPITQSHSRNASASSAIANANFSQGANGDADESSEMSNHIASYSSDTSGEVKAEPQASTTLSIPHREPEFPHRLVETRSRSQTIWRRPADRLVPRIVSSPAIETRADLSGVETKVRKLIEDLKSDSVEIQRDATAELRLLAKHNMDNRIVIASCGAINLLVSLLRSTDTNIQENAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAIGPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLSTNSSRFCNMVLQEGAVPPLVALSQSGTPRAKEKAQALLQYFRNQRHGNARG >Manes.12G109600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30514524:30525828:1 gene:Manes.12G109600.v8.1 transcript:Manes.12G109600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLKELLNNISSFLCLSSIDNVSLDLVQKYWQKAEEILKLLKPILDAIVDSEIASDEVLNKAFHELSQSIDELRDLFENWQPLSSKVYFVLQIESLISKIRTLGLDTFQQLKSSHENLPDELSSSSLEYCTQKIKQMGYEQISSVVREAIRDQVENLGPSSEILVKIAESLSLRSNQEILIEAVALEKLKENAEQAENTREAELFDQMIFLVTRMHDRLVLIKQSQTSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPVKLVSFNQASPLLLHADSSGMPKDSHVLPQSRGNQPMSPESTRSAGSPGRNRISSGGIHREGTSPLHPRSISEGSLSGVVGNEQGLDVMRISLPSSEERSANLEERSMDSVVHAVSPSRNEVPNAVRTDQPITQSHSRNASASSAIANANFSQGANGDADESSEMSNHIASYSSDTSGEVKAEPQASTTLSIPHREPEFPHRLVETRSRSQTIWRRPADRLVPRIVSSPAIETRADLSGVETKVRKLIEDLKSDSVEIQRDATAELRLLAKHNMDNRIVIASCGAINLLVSLLRSTDTNIQENAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAIGPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLSTNSSRFCNMVLQEGAVPPLVALSQSGTPRAKEKAQALLQYFRNQRHGNARG >Manes.01G241300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40275113:40275859:1 gene:Manes.01G241300.v8.1 transcript:Manes.01G241300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCKKNAEDEISDHHQEPKRTAQACITCLWSFLVSLSGGLVLGYWKHEYHHTNTQLWMVPFGLILLVTPVIIWVALSVSEIYNSDGGNSKESQPAIAHCNCTVIDVGYC >Manes.09G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8520411:8522862:-1 gene:Manes.09G050600.v8.1 transcript:Manes.09G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFISAVARRLEGKVALITGGASGIGECTAKVFAHHGAKVVIADIQDELGHSVAEALGPSNSTYVHCDVTDETHIKNAVDKAVATYGKLDIMFNNAGIADENKPRIIDNEKADFERVLSINVTGVFLGIKHAARVMIPARRGSIISTASVSSRVGAAASHAYCCSKHAVLGLTRNAAVELGQFGIRVNCLSPYALSTPLAKKFVGLDDEGLENLMNSLGNLKGVTLKAEDVANAALYLASDEGRYISGHNLFIDGGFTIYNPSFQMFQYPDS >Manes.09G050600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8520411:8522878:-1 gene:Manes.09G050600.v8.1 transcript:Manes.09G050600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFISAVARRLEGKVALITGGASGIGECTAKVFAHHGAKVVIADIQDELGHSVAEALGPSNSTYVHCDVTDETHIKNAVDKAVATYGKLDIMFNNAGIADENKPRIIDNEKADFERVLSINVTGVFLGIKHAARVMIPARRGSIISTASVSSRVGAAASHAYCCSKHAVLGLTRNAAVELGQFGIRVNCLSPYALSTPLAKKFVGLDDEGLENLMNSLGNLKGVTLKAEDVANAALYLASDEGRYISGHNLFIDGGFTIYNPSFQMFQYPDS >Manes.11G157000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32333938:32343306:-1 gene:Manes.11G157000.v8.1 transcript:Manes.11G157000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYQFLLHQNQVRDSSCSTNIRRGFSKSQMCLQKILQIIASCPPNETSRESSLNREILVFGENKGLDIDLNMGFCLWPEAEPSECLSACSVADKVFSNSSDVEFGEVLASEKSSSEGECDPKDVGDQFGADTLKIETIGNGSRSVKVSEETNLEAKDEEKQKQESLDGDDEGDVKMTPPLQEEQKLQNNDCFYLLIEAAEVVSGNLKDNKEEVKPPSQAKESEEAEAAKGRRSIAVESSSSKRSSESYGVGHPYEDLKDTSPVVRSKRGRSQMLPSRYRDSIVLAPWKRVARPQGLAAAPTISTKQGSDPRNRDPRL >Manes.11G157000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32333938:32343306:-1 gene:Manes.11G157000.v8.1 transcript:Manes.11G157000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYQFLLHQNQVRDSSCSTNIRRGFSKSQMCLQKILQIIASCPPNETSRESSLNREILVFGENKGLDIDLNMGFCLWPEAEPSECLSACSVADKVFSNSSDVEFGEVLASEKSSSEGECDPKDVGDQFGADTLKIETIGNGSRSVKVSEETNLEAKDEEKQKQESLDGDDEGDVKMTPPLQEEQKLQNNDCFYLLIEAAEVVSGNLKDNKEEVKPPSQAKESEEAEAAKGRRSIAVESSSSKRSSESYGVGHPYEDLKDTSPVVRSKRGRSQMLPSRYRDSIVLAPWKRVARPQGLAAAPTISTKQGSDPRNRDPRL >Manes.11G157000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32337515:32343275:-1 gene:Manes.11G157000.v8.1 transcript:Manes.11G157000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYQFLLHQNQVRDSSCSTNIRRGFSKSQMCLQKILQIIASCPPNETSRESSLNREILVFGENKGLDIDLNMGFCLWPEAEPSECLSACSVADKVFSNSSDVEFGEVLASEKSSSEGECDPKDVGDQFGADTLKIETIGNGSRSVKVSEETNLEAKDEEKQKQESLDGDDEGDVKMTPPLQEEQKLQNNDCFYLLIEAAEVVSGNLKDNKEEVKPPSQAKESEEAEAAKGRRSIAVESSSSKRSSESYGVGHPYEDLKDTSPVVRSKRGRSQMLPSRYRDSIVLAPWKRVARPQGLAAAPTISTKQGRDPFHALGIMDMLYYI >Manes.07G128500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33063098:33065099:-1 gene:Manes.07G128500.v8.1 transcript:Manes.07G128500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAAAASHFFGTRIQSPKSNSGGIQARFSFGTKKSPPPPKKAPSKPAGDRLVWFPGAKPPEWLDGTMIGDRGFDPFGLGKPAEYLQFDLDSLDQNLAKNVAGDIIGVRVEQNDVKSTPFQPYTEVFGLQRFRECELIHGRWAMLGTLGAIAVEALTGVAWQDAGKVELVEGSSYLGQPLPFNLTTLIWIEVLVIGYIEFQRNAELDPEKRIYPGGYFDPLGLASDPEKKDNLKLAEIKHGRLAMIAFLIFGIQAAVTGKGPIAFVATFAK >Manes.01G022300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4973767:4983370:1 gene:Manes.01G022300.v8.1 transcript:Manes.01G022300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRKKQQFSRIHAFSCGKTSFKGDHSLIGGPGFSRVIYCNDPECFEAGVHNYSSNYVRTTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSAVSNVVPLVVVIGATMGKELIEDWRRKRQDIEVNNRNVKVHNGDGTFNHTKWRDLKVGDIVKVEKDEFFPADLILLSSSYDEAICYVETMNLDGETNLKLKQALLATSNLHEDASFQDFKALIRCEDPNANLYSFVGTLELGEQQYPLSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTEPPSKRSKIERRMDKIIYFLFFVLVLMSFIGSIFFGIATREDLKNGMMKRWYLRPDDTTVYYDPKRAVAAAVLHFMTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEGDKPAQARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKFSVAGTSYGRGVTEVERAMAKRKGSPLPQEEIEDDTHIEEQTEQKPSMKGYNFVDERITNGHWVNEPHAGVIQKFIRLMAICHTAIPEIDEESRKVTYEAESPDEAAFVIAARELGFEFYERTQTSILLHELDPVAGRTIERNYQLLHIIEFSSSRKRMSVIVRNEEGQLLLLCKGADSVMFERLAKNGREFEEQTKEHINEYADAGLRTLVLAYRELDEDEYNEFNQEFTEAKNSVSSEREEMIEEVAAKIERDLILVGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQVIISSETPEHKALQKMEDKAAAAVASKASILHQISEGKALLTASSESPEALALIIDGNSLTYALQNDVQDKFLELAIGCASVICCRSSPKQKALVTRLVKTKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFSSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWLRIFGWAFNGVLTATLIFFFSISAMEHQAFNKAGKVAGLEVLGATMYTCVVWVVNCQMALSISYFTYVQHLFIWGGIIFWYLFLLAYGAMDPDISTTAFKVFVEACAPAPSYWLITFFVLISSLIPYFAYSAIQMRFFPLYHQMIQWIRSDGQTEDPEYCHMVRQRSLRPTTVGYTARFSKRERR >Manes.01G022300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4973767:4983370:1 gene:Manes.01G022300.v8.1 transcript:Manes.01G022300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRKKQQFSRIHAFSCGKTSFKGDHSLIGGPGFSRVIYCNDPECFEAGVHNYSSNYVRTTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSAVSNVVPLVVVIGATMGKELIEDWRRKRQDIEVNNRNVKVHNGDGTFNHTKWRDLKVGDIVKVEKDEFFPADLILLSSSYDEAICYVETMNLDGETNLKLKQALLATSNLHEDASFQDFKALIRCEDPNANLYSFVGTLELGEQQYPLSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTEPPSKRSKIERRMDKIIYFLFFVLVLMSFIGSIFFGIATREDLKNGMMKRWYLRPDDTTVYYDPKRAVAAAVLHFMTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEGDKPAQARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKFSVAGTSYGRGVTEVERAMAKRKGSPLPQEEIEDDTHIEEQTEQKPSMKGYNFVDERITNGHWVNEPHAGVIQKFIRLMAICHTAIPEIDEESRKVTYEAESPDEAAFVIAARELGFEFYERTQTSILLHELDPVAGRTIERNYQLLHIIEFSSSRKRMSVIVRNEEGQLLLLCKGADSVMFERLAKNGREFEEQTKEHINEYADAGLRTLVLAYRELDEDEYNEFNQEFTEAKNSVSSEREEMIEEVAAKIERDLILVGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQVIISSETPEHKALQKMEDKAAAAVASKASILHQISEGKALLTASSESPEALALIIDGNSLTYALQNDVQDKFLELAIGCASVICCRSSPKQKALVTRLVKTKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFSSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWLRIFGWAFNGVLTATLIFFFSISAMEHQAFNKAGKVAGLEVLGATMYTCVVWVVNCQMALSISYFTYVQHLFIWGGIIFWYLFLLAYGAMDPDISTTAFKVFVEACAPAPSYWLITFFVLISSLIPYFAYSAIQMRFFPLYHQMIQWIRSDGQTEDPEYCHMVRQRSLRPTTVGYTARFSKRERR >Manes.01G022300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4973767:4983370:1 gene:Manes.01G022300.v8.1 transcript:Manes.01G022300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRKKQQFSRIHAFSCGKTSFKGDHSLIGGPGFSRVIYCNDPECFEAGVHNYSSNYVRTTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSAVSNVVPLVVVIGATMGKELIEDWRRKRQDIEVNNRNVKVHNGDGTFNHTKWRDLKVGDIVKVEKDEFFPADLILLSSSYDEAICYVETMNLDGETNLKLKQALLATSNLHEDASFQDFKALIRCEDPNANLYSFVGTLELGEQQYPLSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTEPPSKRSKIERRMDKIIYFLFFVLVLMSFIGSIFFGIATREDLKNGMMKRWYLRPDDTTVYYDPKRAVAAAVLHFMTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEGDKPAQARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKFSVAGTSYGRGVTEVERAMAKRKGSPLPQEEIEDDTHIEEQTEQKPSMKGYNFVDERITNGHWVNEPHAGVIQKFIRLMAICHTAIPEIDEESRKVTYEAESPDEAAFVIAARELGFEFYERTQTSILLHELDPVAGRTIERNYQLLHIIEFSSSRKRMSVIVRNEEGQLLLLCKGADSVMFERLAKNGREFEEQTKEHINEYADAGLRTLVLAYRELDEDEYNEFNQEFTEAKNSVSSEREEMIEEVAAKIERDLILVGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQVIISSETPEHKALQKMEDKAAAAVASKASILHQISEGKALLTASSESPEALALIIDGNSLTYALQNDVQDKFLELAIGCASVICCRSSPKQKALVTRLVKTKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFSSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWLRIFGWAFNGVLTATLIFFFSISAMEHQAFNKAGKVAGLEVLGATMYTCVVWVVNCQMALSISYFTYVQHLFIWGGIIFWYLFLLAYGAMDPDISTTAFKVFVEACAPAPSYWLITFFVLISSLIPYFAYSAIQMRFFPLYHQMIQWIRSDGQTEDPEYCHMVRQRSLRPTTVGYTARFSKRERR >Manes.01G022300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4973765:4983370:1 gene:Manes.01G022300.v8.1 transcript:Manes.01G022300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRKKQQFSRIHAFSCGKTSFKGDHSLIGGPGFSRVIYCNDPECFEAGVHNYSSNYVRTTKYTLATFFPKSLFEQFRRVANFYFLICAILSFTPLSPYSAVSNVVPLVVVIGATMGKELIEDWRRKRQDIEVNNRNVKVHNGDGTFNHTKWRDLKVGDIVKVEKDEFFPADLILLSSSYDEAICYVETMNLDGETNLKLKQALLATSNLHEDASFQDFKALIRCEDPNANLYSFVGTLELGEQQYPLSPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTEPPSKRSKIERRMDKIIYFLFFVLVLMSFIGSIFFGIATREDLKNGMMKRWYLRPDDTTVYYDPKRAVAAAVLHFMTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEGDKPAQARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKFSVAGTSYGRGVTEVERAMAKRKGSPLPQEEIEDDTHIEEQTEQKPSMKGYNFVDERITNGHWVNEPHAGVIQKFIRLMAICHTAIPEIDEESRKVTYEAESPDEAAFVIAARELGFEFYERTQTSILLHELDPVAGRTIERNYQLLHIIEFSSSRKRMSVIVRNEEGQLLLLCKGADSVMFERLAKNGREFEEQTKEHINEYADAGLRTLVLAYRELDEDEYNEFNQEFTEAKNSVSSEREEMIEEVAAKIERDLILVGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQVIISSETPEHKALQKMEDKAAAAVASKASILHQISEGKALLTASSESPEALALIIDGNSLTYALQNDVQDKFLELAIGCASVICCRSSPKQKALVTRLVKTKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDFAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFSSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWLRIFGWAFNGVLTATLIFFFSISAMEHQAFNKAGKVAGLEVLGATMYTCVVWVVNCQMALSISYFTYVQHLFIWGGIIFWYLFLLAYGAMDPDISTTAFKVFVEACAPAPSYWLITFFVLISSLIPYFAYSAIQMRFFPLYHQMIQWIRSDGQTEDPEYCHMVRQRSLRPTTVGYTARFSKRERR >Manes.04G059336.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:14712569:14712969:1 gene:Manes.04G059336.v8.1 transcript:Manes.04G059336.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFDMKTMLTVIKECKITHVAQTAPAVVLMTKDPRLLDDYDLSSLDVVDCSVASHRTGVVELLRQQFPNLTLSQVS >Manes.18G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3821582:3824640:-1 gene:Manes.18G043200.v8.1 transcript:Manes.18G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQENCVRVTRAATKRAAAMAPLKDQPVLKKRVVLGELPNLSNVVVSVNKGSGGLTQKQKIKPKPKAKKAIIVKKDEASKGDIDGTSDDPQMCGPYASDIYEYLHKLEVDPKRRPLPDYIEKVQKDVSPNMRGILVDWLVEVAEEYNLVSDTIYLTITYIDRYLSLNVINRQKLQLLGVSSMLIASKYEEISPPNVEDFCYITDNTYTKEEVVKMEADILKSLKFELGSPTVKTFLRRFTRVAQEDYKGLNLQLEFLGYYLAELSLLDYNCVKFLPSLVAASVIFLVRFITKQKMHPWSLTLQQYSGYKPSDLKECVLIIHDLYLSRRGGGLQAVREKYKQHKFKCVANMPSPPEVPASFFEDLKE >Manes.05G169400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28314688:28318252:-1 gene:Manes.05G169400.v8.1 transcript:Manes.05G169400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICRDVKTQGRAKQEKVVGGNRAALFVYAMEGLENMAFVSNAISLVTYFYGYMNFSLTKSATSLTNFMGTSFLLALFGGFISDTFLSRFKTCVLFGCIELLGYAVLTVQAHFHQLRPIPCKGVAPDKMNQCDAANGSQQAILFTGLYLVAVGTSGVKSALPALGADQFDEKDPKEAAHLSSFFNWFLFSLTSGAIVGVTFLVWISTNQGWDWSFAVCTIAVLFALICLCMGKSLYRINVPQGSPILRIAQVFVAAIRNRDLPIPEMTDDLHDIHDKEAAGKQDEILERTDQFRFLDRAAIVRTNNGASTSITPGPWSLCTVTQIEETKILLRMLPIILSTVFMNTCLAQLQTFTTQQSTTMDTSFIGFHIPGPSIPVIPLLFMFVLIPIYDRIFVPLARKITGIPTGVRYLQRIGVGLVLSAISMTVAGFVETHRKSVAVEHNMVDSVEPLPMSVFWLGFQYAIFGAADLFTLVGLLEFFYAESSAGMKSLSTAISWCSLAIGYFMSSVVVTIVNKVSGGWLASNNLNRDKLNYFYWLLAGMSIVNFGIYLVCASWYRYKKVEVKPVENSSEGDAKRKIELVGV >Manes.15G155700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12867522:12870755:1 gene:Manes.15G155700.v8.1 transcript:Manes.15G155700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYWLVPAVGMAVSFLPPCKTMRRLLGNRKNTYICRFSIRNAKKGMAITSKQFLVFLFLCLANSIQFSAVSSSTSPQGYQEHAVVRTLKQEQGNAHEVHCSRERSRAAWKIIEEYLMPFVEKEQYQIPIRCRLHPANDLYRDQEEHKLQEDINEWRCGYCKKEFYDEKYLDKHLDNRHFDLLNVSQGRCLADVCGALHCDQVMDSATRKTKCNPAAAARNRHLCESLAQSCFPVNEGPSAHRLNEFFLRQFCDAHTCTGHQKPFSKGGKKQTSILYIILSVLILMLLLLFYCFVYLYRRGTKRGAQGLKRISKSGHQKKSS >Manes.15G155700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12866942:12871217:1 gene:Manes.15G155700.v8.1 transcript:Manes.15G155700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKGYQEHAVVRTLKQEQGNAHEVHCSRERSRAAWKIIEEYLMPFVEKEQYQIPIRCRLHPANDLYRDQEEHKLQEDINEWRCGYCKKEFYDEKYLDKHLDNRHFDLLNVSQGRCLADVCGALHCDQVMDSATRKTKCNPAAAARNRHLCESLAQSCFPVNEGPSAHRLNEFFLRQFCDAHTCTGHQKPFSKGGKKQTSILYIILSVLILMLLLLFYCFVYLYRRGTKRGAQGLKRISKSGHQKKSS >Manes.15G155700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12866942:12871217:1 gene:Manes.15G155700.v8.1 transcript:Manes.15G155700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVEKEQYQIPIRCRLHPANDLYRDQEEHKLQEDINEWRCGYCKKEFYDEKYLDKHLDNRHFDLLNVSQGRCLADVCGALHCDQVMDSATRKTKCNPAAAARNRHLCESLAQSCFPVNEGPSAHRLNEFFLRQFCDAHTCTGHQKPFSKGGKKQTSILYIILSVLILMLLLLFYCFVYLYRRGTKRGAQGLKRISKSGHQKKSS >Manes.16G042100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5892314:5899228:-1 gene:Manes.16G042100.v8.1 transcript:Manes.16G042100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGVPICHTCGEQVGQNANHELFVACHECNFPMCKNCFEYEIKEGRKVCLRCGSPYNENLLDEVQGKAPGNESTMASHLNNSQDVGIHARHISSVSTVDSEMNDEYGNPIWKNRVESWKDKKNKKKKHAPKPEKEPAEIPPEQQMEEKPFADAAEPLSVVIPISRNKLTPYRAVIIMRLIILGLFFHYRLTNPVDSAYGLWLTSVICEIWFAFSWVLDQFPKWSPIDRETFIDRLSARYEREGEPNQLAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYVSDDGAAMLTFESLAETAEFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGNTGACDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCILMDPQVGRDVCFVQFPQRFDGIDKSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPTLPSLPKNSSSSSCFSCCCPSKKKPTKDPAEVYRDAKREDLNAAIFNLTEIENYDEHERSMLISQMSFEKTFGLSSVFIESTLMENGGVPESVNPSTLIKEAIHVISCSYEEKTEWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLAYINTIVYPFTSLPLIAYCTLPAICLITGKFIIPTLSNLASMLFLGLFISIIVTAILELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAADDTAFGELYIVKWTTVLIPPTTLIILNLVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVIFHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVNTVDSSALAQTCISIDC >Manes.03G194600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31226175:31227773:1 gene:Manes.03G194600.v8.1 transcript:Manes.03G194600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALGEEHSQALKCQTWVLKVSIHCQGCKRKVKKVLLGIDGVYAATVDSQEQKVTVTGNIEVETLIKKLIRTGKHAELWPEKLPSKEKVSAKAKAMHKQKNPKKDHDFSENEREKSDKVSEDGMSEMNKDVVKSPENSTDGGIELPAVKNSGGENESGGGRGVKSEGKKKKRIGQKGDNARNNSNSGALSSGAAAGIGNQTEGLGMDQVVGPSNLSPTRQQSVVPFPQGFMIPALYASSYSVAYPREAPGALYYVPIPVYAHPSRYNQVNPLDSLYYFSDDNINGCFIM >Manes.06G038352.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11669821:11671299:-1 gene:Manes.06G038352.v8.1 transcript:Manes.06G038352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTLGDLTGRVNGQIIPFSAFWLRSSVVSVLISLISDTSITVACYWGFRASPLSCTTARAWRTFQVISSDIFQCSSSWMQPLMFFSQTFTCCSCWM >Manes.08G006300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:987194:989037:1 gene:Manes.08G006300.v8.1 transcript:Manes.08G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKSLRTQILGGPIVRRLLLRAFMLASALSVIPFIQILSGNDPVLLDSVYFHECDSPFTGTNLFQNRFLKPILNTFECKEDVNLTMDVVRELMVKRLLDYGSNALYVGEGSASAVYALRELGFANACGAHRHPFFSIKHRKVVYELQYADNFFDFVLSRDLDEVSVPAVLVLEIERVLKPGGIGAMLVGVSGLNPNGLIRSATPVSSLLKASNVIHVGYVHEYTLVVFQKRFEKVGSFEQFRLPADCQSFLNNKPFLEHLEPLVEKKEMGSEKHIAYLPNFIDVLSRKKLVYIEIGAAERLNSSVANWFIPSYPVDHKALDIYFVDHNTSVLLSCVKKPGVTFIYYPGLAGDKITASISDVEDLDPSVEDEGFDFLSWFRETVEYADFVVLKMKAGKAELKFLADLYESGAICFVDELFLSCGDRVDDNGSVSKDCMDLLKSLRSSGMYVHQWWGD >Manes.07G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5737118:5739705:1 gene:Manes.07G049000.v8.1 transcript:Manes.07G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVWLCSMTLIILIVTTTPYTAALLSSPDASSPPYPKAIFDLKEAIVKGLGFQADDFKISGFDIRDALVGRSVAYEFDVEIDNQVMPFKLLEDVNQWEYVDLPMFRVEEGGSVRSGDENGLVKHSGKQDNGLPVLAPFQLAGPMEIWIQDAKDMRISLPHDVDAGVLKKVILADGVVVTVKGARSVSLRHPVNLPLPLNRSQNGFASGLLALAEQLRSASRTQGAPPLSLRIVGPTSLTSPSPSPSPSFSSSSPSNSRLKLKRLAPGLVELSSFAKSQPTDSLSPLDLEEDATVLTPKRFTTMWPLASVNGSNASLLGFEKLLASVLGPKANKDGSFRLLKADVSAQTFVKIGFGVEKKLKDEDGFDLQGFPEWRTKPASVRMHFEVLAKVDGEKVVPERVVQINPVVVEDTVALNVLLGNVTMSKMPVVHVPSNPMTL >Manes.07G130200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33194262:33199231:1 gene:Manes.07G130200.v8.1 transcript:Manes.07G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSPRTVEEIFKDYNARRTGLVRALTYDVDEFYSQCDPEKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFARDGMHRKDWLSLVAVHSDCWLLSVAFYFGARLNRNERKRLFSLINDQPTLFEVVTGRKPVKDKPSVDSGSKSRNSTKRSIDGQVRNTKLLDESYAEEEDEHGETLCGSCGGTYSADEFWIGCDVCERWYHGKCVKITPAKAEMIKQYICPSCSTKKRRQ >Manes.03G203600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31910711:31911073:-1 gene:Manes.03G203600.v8.1 transcript:Manes.03G203600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFLLVRCFYLTSVIGRSWLAGLKLLFLAVGRHQAMNIFMMLYRSLSLHKTAAEPAVLEVLHGLMELVMSLITATLPQIDSRLYVIWGILHGFPETWTHSLVSSLVISWSITVLISIC >Manes.16G019250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2147253:2148122:-1 gene:Manes.16G019250.v8.1 transcript:Manes.16G019250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSTNYQTHRKTRRKQHQQLNKFRNPDLKRKNACEETNACIARWIKDPDHQFHIEKKKIEASPWIGIRVPQRRKASPKRLPDIVVVVRYARKGFSATS >Manes.02G180400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14315581:14319078:1 gene:Manes.02G180400.v8.1 transcript:Manes.02G180400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPSARTTGGLGVAAMSYVAVDYLRHLSPTWHERLQPVLWSVLALIAISRVPVYRHWSSEVRAAIPFVASMFFMLASLLFEALCVRFATAVLGLDWHRDTSPLPDTGQWLLLSLNEKLPEPLVGILRARIIGLHHFLMLFMMLAFSVLFDSVEGPGLGLGARYMFTMGIGRLLRAITFVSTILPSARPWCAAARFSVPTYPHHWAQKYYVPYASDAHAIRQIIQWDIAYADTGEYQGDYRPDWGSMSFLIDFLRPTTQGSWYSLLKKAGGGCNDLIYSGHMLVAVLTAMAWTEAYGGFSSAFIWLLVLHSAQREIRERHHYTVDCIVAIYVGILLWKMTGYIWPTKDVTRSRKLLKLEKIQSRLMQAAKDSDMDEVRELLKEIELSSQESQNKGQSKSMWLFACVTIFSALTIVLLAFTLTSDG >Manes.03G022100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1839290:1839745:1 gene:Manes.03G022100.v8.1 transcript:Manes.03G022100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQNPSIIRYNVQKYTTFSDSSEEEADMLEGKAMVKDTDMPMKIQIQAMACASQALDVCDVSDCISIAAHIKKEFDKMYGGGWPCVVGSNFGLFLYSYTWNFHLFFPGAPQFPYFQGSFILSISS >Manes.15G113600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9016452:9019698:-1 gene:Manes.15G113600.v8.1 transcript:Manes.15G113600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Manes.15G113600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9016865:9019642:-1 gene:Manes.15G113600.v8.1 transcript:Manes.15G113600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Manes.05G096200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8837594:8842361:1 gene:Manes.05G096200.v8.1 transcript:Manes.05G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQEQQLARWDGYVDWKKRPALRGRHGGMLAASFVLVVEILENLAYLANASNLVMYLSEYMHLSPSRSANNVTNFMGTAFLLALLGGFLSDAFFTTYLIYLISAAIEFLGLVILTVQARSPSLKPPACNPANPNLPCEEVDGAKAAMLFLGLYLVALGVGGIKGSLPAHGAEQFDESTPQGRKHRSTFFNYFVFCLSCGGLIAVTFVVWIEDNKGWEWGFGISTIAIFLSIPIFLAGSAIYRNKIPSGSPFTTIFKVLIAAAINASTSSTPSNAVANLNASPFNVTQADQDSKQNSKEMAPTDETATKSFSCLNRAVVKTPVHSALACTVQEVEEVKVVIKILPIFACTIMLNCCLAQLSTFSVQQAATMNTRLGSLKIPPASLPIFPLVFIMILAPIYDHFIIPFARKVTKSEMGISHLQRIGIGLVLSIVAMGVAAVVEIKRKRVATNSGLLDSTDPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFTEAPTGMKSLATSLSWASLAMGYYLSSVIVSLVNNVTDNSTHRPWLSGNNINHYHLERFYWLMCVLSAVNFLHYLFWANWYKYRSTRGN >Manes.12G029100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2540920:2544949:1 gene:Manes.12G029100.v8.1 transcript:Manes.12G029100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSYKGDDELDGREVQNPISSPDSSPPRLLPAKSAAPKVDDTMLALTVAQANQTISKPIDPVHHVVAFNPKYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDAAIDSFVFDEQYNTFHKYGYAADPSASEGNNYVGDLDALKKNDGISVYNIPQHEQKRRKIEKKKETEENEGENEDMDVDRVEIDNPASDAWLLKNRKSPWAGKKEGLQTELTEEQKKYAEEYAKKKEEKGAGGEKGEIVADKSTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIAVHPNTNWFAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVSIGCEWHPLEQSKVATCGWDGLIKYWD >Manes.13G089920.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:20161818:20165031:1 gene:Manes.13G089920.v8.1 transcript:Manes.13G089920.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVPLCLNLFPNLSMVVDLWNSKIREAVSQNNAHKALFLFRQMKQNGLQPNNLTFPFISKACGKVCNFEYSQIIHTHILKSPFYSNVFVQTAMLDMYVKCHELNMAYKLFVEMPKRDVASWNVMLVGFAQLGFFDKVVHIFREMRYAGNFPDSITVKGMSQAISCVKDLKLAKGVHSFGIRIGTDNDVSVANTWISLYAKCSDLEMAKSVFDGIEVGLRSIVSWNSMIAGYAYLEKFLEAFNSYKWMLCDGLRPDISTSISLLSSCVLPERVFQGMQIHSHVIRLGCDSDIHVVNTLISMYSKCGDVHSARFLFDSICKRSCVTWTAMISGYAKKQGMDEALNLFNAMEAAGETPDLVTVLSMISGCGQTGALGLGKWIDAYATMNCLKHNVVVCNALIDMYAKCGSISDSRDVFNTMPSKTVVSWTTMIAGLALNGSFEEALNLFNRMIELEMKPNHITFLAILQACTHGGFLEKGWECFNMMTKVYKISPGLDHYSCMADLLGRKGKLKEALKFIQDMPVEPDAAIWSGLLSACKIHHNIEIGEYASQRLFEMEPYVSFPYVEMANIYASAGRWDGVARMRYMMRSNRIKKSPGQSFVEVNGKTCAFTVEDRGHFDRDLIYAVLDGLILQSKEEGSSQHSDGTQKFDLEISNIE >Manes.18G009900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1206371:1209418:-1 gene:Manes.18G009900.v8.1 transcript:Manes.18G009900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSIPFSDVETKKALDRKFNIEGVPCLIILQPEDDNDEATLHDGVEILYRFGVQAFPFTKQRLEELELQERQKHERQTLTNLLADHDRDYLFGHPAPKQVPIDSLMGKTIGLFFSAQWCNPGVKFTPKLVSIYHKIKQMLILNDNDEDFEIVFVSNDWDQSGFNSYFNTMPWLALPFGEPTAKNLAKYFDVRGIPCLIILGPDGKTITKHGRNLINLYQENAYPFTEAKVDLLEKQMDEEAKNLPRSEYHAGHKHELTLVSQETGGGPFICCDCDEQGAGWAYQCLDCGYEVHPKCVRAVDTSNMLGR >Manes.18G009900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1206371:1209418:-1 gene:Manes.18G009900.v8.1 transcript:Manes.18G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDCRNLKQQAGEAKTNGDVESNISSNRFLSLLASKDRNFLISPDGTQVEVSELEGKVVGLYFSANWYPPCRNFTAILIDVHEQLKSNRSKFELVFVSSDENLEAFNDYRALMPWLSIPFSDVETKKALDRKFNIEGVPCLIILQPEDDNDEATLHDGVEILYRFGVQAFPFTKQRLEELELQERQKHERQTLTNLLADHDRDYLFGHPAPKQVPIDSLMGKTIGLFFSAQWCNPGVKFTPKLVSIYHKIKQMLILNDNDEDFEIVFVSNDWDQSGFNSYFNTMPWLALPFGEPTAKNLAKYFDVRGIPCLIILGPDGKTITKHGRNLINLYQENAYPFTEAKVDLLEKQMDEEAKNLPRSEYHAGHKHELTLVSQETGGGPFICCDCDEQGAGWAYQCLDCGYEVHPKCVRAVDTSNMLGR >Manes.18G009900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1206371:1208460:-1 gene:Manes.18G009900.v8.1 transcript:Manes.18G009900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSIPFSDVETKKALDRKFNIEGVPCLIILQPEDDNDEATLHDGVEILYRFGVQAFPFTKQRLEELELQERQKHERQTLTNLLADHDRDYLFGHPAPKQVPIDSLMGKTIGLFFSAQWCNPGVKFTPKLVSIYHKIKQMLILNDNDEDFEIVFVSNDWDQSGFNSYFNTMPWLALPFGEPTAKNLAKYFDVRGIPCLIILGPDGKTITKHGRNLINLYQENAYPFTEAKVDLLEKQMDEEAKNLPRSEYHAGHKHELTLVSQETGGGPFICCDCDEQGAGWAYQCLDCGYEVHPKCVRAVDTSNMLGR >Manes.18G009900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1206371:1209418:-1 gene:Manes.18G009900.v8.1 transcript:Manes.18G009900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSIPFSDVETKKALDRKFNIEGVPCLIILQPEDDNDEATLHDGVEILYRFGVQAFPFTKQRLEELELQERQKHERQTLTNLLADHDRDYLFGHPAPKQVPIDSLMGKTIGLFFSAQWCNPGVKFTPKLVSIYHKIKQMLILNDNDEDFEIVFVSNDWDQSGFNSYFNTMPWLALPFGEPTAKNLAKYFDVRGIPCLIILGPDGKTITKHGRNLINLYQENAYPFTEAKVDLLEKQMDEEAKNLPRSEYHAGHKHELTLVSQETGGGPFICCDCDEQGAGWAYQCLDCGYEVHPKCVRAVDTSNMLGR >Manes.18G009900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1206371:1208812:-1 gene:Manes.18G009900.v8.1 transcript:Manes.18G009900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVLIRVEVSELEGKVVGLYFSANWYPPCRNFTAILIDVHEQLKSNRSKFELVFVSSDENLEAFNDYRALMPWLSIPFSDVETKKALDRKFNIEGVPCLIILQPEDDNDEATLHDGVEILYRFGVQAFPFTKQRLEELELQERQKHERQTLTNLLADHDRDYLFGHPAPKQVPIDSLMGKTIGLFFSAQWCNPGVKFTPKLVSIYHKIKQMLILNDNDEDFEIVFVSNDWDQSGFNSYFNTMPWLALPFGEPTAKNLAKYFDVRGIPCLIILGPDGKTITKHGRNLINLYQENAYPFTEAKVDLLEKQMDEEAKNLPRSEYHAGHKHELTLVSQETGGGPFICCDCDEQGAGWAYQCLDCGYEVHPKCVRAVDTSNMLGR >Manes.18G009900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1206371:1209418:-1 gene:Manes.18G009900.v8.1 transcript:Manes.18G009900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLSIPFSDVETKKALDRKFNIEGVPCLIILQPEDDNDEATLHDGVEILYRFGVQAFPFTKQRLEELELQERQKHERQTLTNLLADHDRDYLFGHPAPKQVPIDSLMGKTIGLFFSAQWCNPGVKFTPKLVSIYHKIKQMLILNDNDEDFEIVFVSNDWDQSGFNSYFNTMPWLALPFGEPTAKNLAKYFDVRGIPCLIILGPDGKTITKHGRNLINLYQENAYPFTEAKVDLLEKQMDEEAKNLPRSEYHAGHKHELTLVSQETGGGPFICCDCDEQGAGWAYQCLDCGYEVHPKCVRAVDTSNMLGR >Manes.08G151700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39091521:39096026:1 gene:Manes.08G151700.v8.1 transcript:Manes.08G151700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATRFLMLLTTTTPSPLLHRRCPALLSFSRHRHRVPSSSFYLSYHHYHHLPSSLCHSVRRPLKVEASKQLHTQTAFPHYTEPPSSLTRTPHPWPEWNNFISNLSAAGYFNRHKFGIQIELEFVAGVNLPEDFADAATACLAFARERPDLLGMLSRKDIEVIVEKGMPFLFKNGDDSGRRMRSFLGSGHSNMQIIDRAHTIDLVRFLLSYASNLVLLEKNDVPNSELVESSVRNLLSELAQLSYAPASNQSMSVQNQFPDRYGQATGQAPQPLGQNIEMKRGDWICPRCSFMNFARNVKCLECEEARPKRQLTGGEWECPQCDFFNYARNTVCLRCDCKRPGEVSFGTNNSGSGLVYGNGSNTNAADVDSRLAANEEKAQRWFSKISQLDSSSDMSSAIADEDFPEIMPLRKGVNRFVVSTRKTPLERRLANAQHRRNLGNDDTLEGGGGVQSTQSIKPSLDEMLGHKAAASGLENKTLSSGQIAGTNTPSSAPNSPSQGYGASRGSNYVPFVPLPADTFAKKPDESKMARSDKLEMDDKCLSSSTCEQAGAVSGRKETGMLSENWQPSEKPVDRALSNEKEKEQAEKSERWFKRVAELHNVTDLTSAISDEDFPEIMPMRKGENRFVVSKRKDRSLTNPMYKRRMAMEQANDTNFVPFVPFPPDYFAKKDNQQPGVADSTDNETSGTANSATLEKFPEKSDDSKAGMPGGSHVQGMENKQYSAWSTDSRTWENSNGKKAGALTSGNSTQTVVDPKSSSRDGWSSEYSRNENVSGTSNLIGSTPQDFTNSPTNGKDSGSSGFHSKEDVNNAASLTETTSHPSENQKIRDSWTGKSLEGSAVKEPDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSTQYRKNLPIVSSDPVKKSDNS >Manes.08G151700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39091521:39096026:1 gene:Manes.08G151700.v8.1 transcript:Manes.08G151700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATRFLMLLTTTTPSPLLHRRCPALLSFSRHRHRVPSSSFYLSYHHYHHLPSSLCHSVRRPLKVEASKQLHTQTAFPHYTEPPSSLTRTPHPWPEWNNFISNLSAAGYFNRHKFGIQIELEFVAGVNLPEDFADAATACLAFARERPDLLGMLSRKDIEVIVEKGMPFLFKNGDDSGRRMRSFLGSGHSNIIDRAHTIDLVRFLLSYASNLVLLEKNDVPNSELVESSVRNLLSELAQLSYAPASNQSMSVQNQFPDRYGQATGQAPQPLGQNIEMKRGDWICPRCSFMNFARNVKCLECEEARPKRQLTGGEWECPQCDFFNYARNTVCLRCDCKRPGEVSFGTNNSGSGLVYGNGSNTNAADVDSRLAANEEKAQRWFSKISQLDSSSDMSSAIADEDFPEIMPLRKGVNRFVVSTRKTPLERRLANAQHRRNLGNDDTLEGGGGVQSTQSIKPSLDEMLGHKAAASGLENKTLSSGQIAGTNTPSSAPNSPSQGYGASRGSNYVPFVPLPADTFAKKPDESKMARSDKLEMDDKCLSSSTCEQAGAVSGRKETGMLSENWQPSEKPVDRALSNEKEKEQAEKSERWFKRVAELHNVTDLTSAISDEDFPEIMPMRKGENRFVVSKRKDRSLTNPMYKRRMAMEQANDTNFVPFVPFPPDYFAKKDNQQPGVADSTDNETSGTANSATLEKFPEKSDDSKAGMPGGSHVQGMENKQYSAWSTDSRTWENSNGKKAGALTSGNSTQTVVDPKSSSRDGWSSEYSRNENVSGTSNLIGSTPQDFTNSPTNGKDSGSSGFHSKEDVNNAASLTETTSHPSENQKIRDSWTGKSLEGSAVKEPDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSTQYRKNLPIVSSDPVKKSDNS >Manes.17G079732.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28490577:28491328:1 gene:Manes.17G079732.v8.1 transcript:Manes.17G079732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEIHFWIDAPADQFHDVFSSQPYLIPNMSPHKILGVDLLEGEWGKEGTIICWKYFYVIEGDVSKEYKSFKLTVQATPKGEGSVVRWTLEYEKIHENIRDPYSLLEFIVQFSKDVSAHLVKYPKK >Manes.05G022500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2018164:2020454:1 gene:Manes.05G022500.v8.1 transcript:Manes.05G022500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPRNVLLLFAFTIFLSLSTTSSHSHHYQTLVLNPLPSQPILSDSQAETLTSTETDPTESTFSVQLHHIDALSLNKTPQELFSLRLYRDSSRVKALSSLAASAAAAGGRAAGGFSSSVISGLAQGSGEYFTRIGVGTPPKYVYMVLDTGSDIVWIQCAPCKKCYSQSDPVFDPRKSRSFAGIACGSPLCRRLDSPGCHTRKKTCMYQVSYGDGSFTYGDFSTETLTFRRTRVGRVALGCGHDNEGLFVGAAGLLGLGRGRLSFPSQSGRRFKRKFSYCLVDRSASSRPSSVVFGDSAISRTARFTPLISNPKLDTFYYVELLGISVGGTLVPGISASLFKLDQTGSGGVIIDSGTSVTRLTRPAYMALRDAFRARTTNLKRAPEFSLFDTCFDLSGMTEVKVPTVVLHFRGADVSLPASNYLIPVDSNGSFCFAFAGTMSGLSIIGNIQQQGFRVVYDLAGSRVGFSPRGCA >Manes.05G022500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2018196:2020454:1 gene:Manes.05G022500.v8.1 transcript:Manes.05G022500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPRNVLLLFAFTIFLSLSTTSSHSHHYQTLVLNPLPSQPILSDSQAETLTSTETDPTESTFSVQLHHIDALSLNKTPQELFSLRLYRDSSRVKALSSLAASAAAAGGRAAGGFSSSVISGLAQGSGEYFTRIGVGTPPKYVYMVLDTGSDIVWIQCAPCKKCYSQSDPVFDPRKSRSFAGIACGSPLCRRLDSPGCHTRKKTCMYQVSYGDGSFTYGDFSTETLTFRRTRVGRVALGCGHDNEGLFVGAAGLLGLGRGRLSFPSQSGRRFKRKFSYCLVDRSASSRPSSVVFGDSAISRTARFTPLISNPKLDTFYYVELLGISVGGTLVPGISASLFKLDQTGSGGVIIDSGTSVTRLTRPAYMALRDAFRARTTNLKRAPEFSLFDTCFDLSGMTEVKVPTVVLHFRGADVSLPASNYLIPVDSNGSFCFAFAGTMSGLSIIGNIQQQGFRVVYDLAGSRVGFSPRGCA >Manes.04G057600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19337467:19340795:-1 gene:Manes.04G057600.v8.1 transcript:Manes.04G057600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLSSKYGSGYVRGLQQRDDGDQNRLKVAACCKHYTAYDLDNWKGTDRYHFNAVVTKQDMDDTFQPPFKSCVLDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGQWKLNGYIVSDCDSVDVFYNSQHYTKTPEEAAAKAILAGLDLNCGSFLGQHTEAAVKAGLVNESAIDKAVSNNFATLMRLGFFDGDPSKQLYGKLGPKDVCTQENQDLAREAARQGIVLLKNTPGSLPLSPTTIKNLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLTASVATTYQAGCSNVACATAQVDDAKKVAASADAVVLVMGADQSIEAESRDRVDLLLPGQQQLLITQVANVSKGPVILVIMSGGGMDISFAKTNDKITSILWVGYPGEAGGAAIADVIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSSGYPGRTYRFYTGETVYSFGDGLSYSKFEHKLVQAPKLVPVQLEEDHTCRSSNCTSINAVEQTCQNLAFDVHLKIKNIGKIRGGHTVFLFSTPPSVHNSPQKHLVDFEKVSLDAQTQSLVRFKVDVCKHLGVTDEFGNRKVALGEHVLHVGSLRHSFTVRI >Manes.04G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19337467:19342650:-1 gene:Manes.04G057600.v8.1 transcript:Manes.04G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPLNRAPKVSVFLCFFHCFCFCLLTSKARVLAQSSPVFACNVVSNPSLASFGFCNTSLGINDRVVDLVKRLTLQEKISFLVNSAGSVSRLGIPRYEWWSEALHGVSYVGPGTHFSNVVPGATSFPQVILTAASFNASLFEAIGKVVSTEARAMYNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLSSKYGSGYVRGLQQRDDGDQNRLKVAACCKHYTAYDLDNWKGTDRYHFNAVVTKQDMDDTFQPPFKSCVLDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGQWKLNGYIVSDCDSVDVFYNSQHYTKTPEEAAAKAILAGLDLNCGSFLGQHTEAAVKAGLVNESAIDKAVSNNFATLMRLGFFDGDPSKQLYGKLGPKDVCTQENQDLAREAARQGIVLLKNTPGSLPLSPTTIKNLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLTASVATTYQAGCSNVACATAQVDDAKKVAASADAVVLVMGADQSIEAESRDRVDLLLPGQQQLLITQVANVSKGPVILVIMSGGGMDISFAKTNDKITSILWVGYPGEAGGAAIADVIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSSGYPGRTYRFYTGETVYSFGDGLSYSKFEHKLVQAPKLVPVQLEEDHTCRSSNCTSINAVEQTCQNLAFDVHLKIKNIGKIRGGHTVFLFSTPPSVHNSPQKHLVDFEKVSLDAQTQSLVRFKVDVCKHLGVTDEFGNRKVALGEHVLHVGSLRHSFTVRI >Manes.16G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23792324:23803064:-1 gene:Manes.16G060600.v8.1 transcript:Manes.16G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQPHSRFLLQTLLNRVQNLEKAIELDYLWVEFDDVRYHIQVSTKNPNVLLLSVSLPIPPPETVFLGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPPDEGLRHSLLVKIASVREVVLGAPLRIVLKHLASRTVAPDIGQLLALVHRPNESFFVVPQAEKVTIIYPMRFKDSVDTAFATSFLQEFVEARRTAGLNNVPPCLWSLTPPIELKEVPADALSANAGFVSFVIYPRHVEGKKLDRAVWNLSTFHAYVNYHVKCSEGFMHTRMRRRVESLIQALGRAKPDAEEKKKSPNSRSFKRLSLKEAKANSKS >Manes.16G060600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23800258:23803064:-1 gene:Manes.16G060600.v8.1 transcript:Manes.16G060600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQPHSRFLLQTLLNRVQNLEKAIELDYLWVEFDDVRYHIQVSTKNPNVLLLSVSLPIPPPETVFLGGLPFGAIEAIKAAYGVIVQILDPPRDGFNLTLKLNLSKLPPDEGLRHSLLVKIASVREVVLGAPLRIVLKHLASRTVAPDIGQLLALVHRPNESFFVVPQAEKVTIIYPMRFKDSVDTAFATSFLQEFVEARRTAGLNNVPPCLWSLTPPIELKEVPADALSANAGFVSFVIYPRHVEGKKLDRAVWNLSTFHAYVNYHVKVRSFKLLKSF >Manes.05G087700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7905634:7925118:1 gene:Manes.05G087700.v8.1 transcript:Manes.05G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPKPWKAEYAKSGRSSCKTCKKPIDKENLRLGKMVQATQFDGFMPMWNHVGCILKKAKQIKSCDDVEGIESLRWEDQQNIRKYVEDGGSLNTNAASSMEYGIEVSQTSRATCRGCNQKIMKGQVRLSSKPEEPRAKGLAWHHLNCFVDLYPSVQVEKLSGWESLPPADQAVVHALVKEVPSTAKSGAVEGRKDKGLQQSTPTVGTKRRKDSDGNQNSKAAKAIGDVSSSRSASTKNHNELESKLEAQSKELWTLKDSLKKHVTTAELRQMLEANDQDSSGSELDLRDKCADGMMFGALGHCPICSGFLRYSGGTYRCTGFLSAWSKCSYSTCEPERLKGKWKVPEDTNNQYLSNWFKSQKSNKPVRLLPPPSSDNSSRTQAGNSHSPYSKSENLRDLKVAIAGLPKESMEEWKSKIEGVGGEVHAKIKKDTNCFIVSGVPDREDAEMRKARRMKLPIVREDYLVECFKKHKKLPFDLYKVEAVGGASSMVTVKVKGRSAVHEASGLQDTGHILEDGKSIYNTTLNMSDLSTGVNSYYILQIIQDDKGSDCYMFRKWGRVGNAKIGGIKLDAMSKSDAVSEFKRLFLEKTGNSWEAWEQKQNFQKKPGRFFPLDIDYGVNKQPSYKNRSGVDSQLPRPLVELMKMLFNVEAYRAAMMEFEINMSEMPLGKLSKNNIQKGFEALTEIQNLLNSSAHDPSIKESLIVDASNRFFTVIPSVHPRVIRDEDDFKSKVKMLEALQDIEIASRLVGFDADDDESFDDKYRKLRCEITPLPHDSVDYQLIEKYLHTTHAPTHTDWSLELEEVFSLERKGEIDKFAPYRKKLKNKMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCFTDKENPVGLMLLSEVALGEVYELKNAMYMDKPPEGKHSTKGLGKKVPQESEFVKWRNDVTVPCGKPVPSKVKASELMYNEYIVYNTAQVKMQFLLKVKFHHKR >Manes.08G099000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33131593:33133781:-1 gene:Manes.08G099000.v8.1 transcript:Manes.08G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMWTLPLALLLMVSATMGAAPRRPVAVPFGRNYMPTWAFDHIKYFNGGSEIQLHLDKYTGTGFQSKGSYLFGHFSMQIKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEYHSYSVLWNLYQIVFFVDDVPIRVFKNCKDLGVKFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYKGFHIDGCEASVEAKFCATQGKRWWDQREFQDLDAFQYRRLKWVRQRFTIYNYCSDRSRYTTLPPECSRDRDI >Manes.01G056725.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:24111974:24112882:1 gene:Manes.01G056725.v8.1 transcript:Manes.01G056725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGETITKMSTRFIDLVKILKALGKNFEEAKLVKKILRSLSKSWKVKTTVIFDIKDFTRYTYDELIELLIAYEMMFKKEITEKEKDKKDVVLKSEKLTDGKKKSIALKIDTSECFSLSSDEEEMTMLAKKFRRAFRKGGNKYKRFIKKYGPKDDFQSHPHKDPKEVICNKYNKPGHIRPNCPKSKKKKKEDKGKKAMAVVWDATDKSFNDDSNENNEANLYCMALEEKAIEPSKEEEEIEVIESEPPNIEELELAFAKIFYVYKTYKRKCASLKLENASLRSENISLSMVLKKKMNFIKLK >Manes.06G077100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21342861:21348537:1 gene:Manes.06G077100.v8.1 transcript:Manes.06G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARERNGLFCVVLSCLWTSVCGLLSPKGVNYEVVALMNIKGSLNDPHNVLNWDATAVDPCSWTMITCSPDGLVTGLGAPSQSLSGTLSPSIGNLTNLQLVLLQNNNITGPLPSELGRLSKLKTLDLSNNFFNGEIPSTLSNLKNLQYLRLNNNSLYGQIPTSLANMAQLSFLDLSYNNLRAPVPNFHAKTSNIVGNPLICGTAKDCPGSIPTPQSLFLNNSQNSQPSASGQGHKIALAFGSSLGCVCLLILGLGFLLWWRRRKHQQIFFDVNEQRQEQLNLGNLKRFQFKELQVATNNFSRKNLVGEGGFGNVYKGYLQDGTVVAVKRLKDGNAIAGEIQFQRELEMISLAVHRNLLRLYGFCMTATERLLIYPYMSHGSVATRLKAKPVLDWGTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTTVRGTMGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRAVEFGKSANRKGAILDWVKKIHQENKLELLVDKDLKSNYDRIELEEMVRVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQKAESTRSRANDFSSSERYSDLTDDSSLLVQAMELSGPR >Manes.03G149100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27617640:27624408:-1 gene:Manes.03G149100.v8.1 transcript:Manes.03G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSCVLVMLITMMMMINGCWCLERTHFPSSFLFGTATSSYQIEGAYLEDNKGLSNWDVFTHISPGEIKDGSNGDTADNHYHLFLEDIELMHSLGVNSYRFSISWVRILPKGRFGEINPEGIAFYNKLIDDLLLKGIEPFVTLHHYDVPQELEDRYGAWLSPQMQDDFGYFADICFEAFGDRVKHWITLNEPNMVAQYGYYSGIWPPSRCSFPAGNCTTGDSKLEPYIAAHNMVLAHATATEIYRKKYQEKQGGKIGIVLHIYWYEPLRDIPVDRVAAQRALGFNAAWFMDPIMYGEYPPEMQQIVGLRLPAFSEEDKRKLANKLDFIGINHYSTLYAKDCLLSPCNYYDDLLKDTFTYGTGEKNGVLIGEPTAMPTFYVVPNSMEKTIMYFKDRYNNTPMFITENGYAQPSSRNIGDMLNDTNRVEYMEGYLTSLVSAMRNGADVRGYFHWSLIDNFEWRYGYTISFGLVHVDRTTMKRTPKISAKWFQNFLKNEALHAQD >Manes.03G149100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27618037:27624408:-1 gene:Manes.03G149100.v8.1 transcript:Manes.03G149100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSCVLVMLITMMMMINGCWCLERTHFPSSFLFGTATSSYQIEGAYLEDNKGLSNWDVFTHISPGEIKDGSNGDTADNHYHLFLEDIELMHSLGVNSYRFSISWVRILPKGRFGEINPEGIAFYNKLIDDLLLKGIEPFVTLHHYDVPQELEDRYGAWLSPQMQDDFGYFADICFEAFGDRVKHWITLNEPNMVAQYGYYSGIWPPSRCSFPAGNCTTGDSKLEPYIAAHNMVLAHATATEIYRKKYQEKQGGKIGIVLHIYWYEPLRDIPVDRVAAQRALGFNAAWFMDPIMYGEYPPEMQQIVGLRLPAFSEEDKRKLANKLDFIGINHYSTLYAKDCLLSPCNYYDDLLKDTFTYGTGEKNGVLIGEPTAMPTFYVVPNSMEKTIMYFKDRYNNTPMFITENGYAQPSSRNIGDMLNDTNRVEYMEGYLTSLVSAMRWIHVCLSILCYRFVN >Manes.03G149100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27619814:27624408:-1 gene:Manes.03G149100.v8.1 transcript:Manes.03G149100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSCVLVMLITMMMMINGCWCLERTHFPSSFLFGTATSSYQIEGAYLEDNKGLSNWDVFTHISPGEIKDGSNGDTADNHYHLFLEDIELMHSLGVNSYRFSISWVRILPKGRFGEINPEGIAFYNKLIDDLLLKGIEPFVTLHHYDVPQELEDRYGAWLSPQMQDDFGYFADICFEAFGDRVKHWITLNEPNMVAQYGYYSGIWPPSRCSFPAGNCTTGDSKLEPYIAAHNMVLAHATATEIYRKKYQEKQGGKIGIVLHIYWYEPLRDIPVDRVAAQRALGFNAAWFMDPIMYGEYPPEMQQIVGLRLPAFSEEDKRKLANKLDFIGINHYSTLYAKDCLLSPCNYYDDLLKDTFTYGTGEKNGVLIGEPTAMPTFYVVPNSMEKTIMYFKDRYNNTPMFITENGYAQPSSRNIGDMLNDTNRVEYMEGYLTSLVSAMRWIHVCLSILCYRFVN >Manes.03G149100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27619814:27624408:-1 gene:Manes.03G149100.v8.1 transcript:Manes.03G149100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSCVLVMLITMMMMINGCWCLERTHFPSSFLFGTATSSYQIEGAYLEDNKGLSNWDVFTHISPGEIKDGSNGDTADNHYHLFLEDIELMHSLGVNSYRFSISWVRILPKGRFGEINPEGIAFYNKLIDDLLLKGIEPFVTLHHYDVPQELEDRYGAWLSPQMQDDFGYFADICFEAFGDRVKHWITLNEPNMVAQYGYYSGIWPPSRCSFPAGNCTTGDSKLEPYIAAHNMVLAHATATEIYRKKYQEKQGGKIGIVLHIYWYEPLRDIPVDRVAAQRALGFNAAWFMDPIMYGEYPPEMQQIVGLRLPAFSEEDKRKLANKLDFIGINHYSTLYAKDCLLSPCNYYDDLLKDTFTYGTGEKNGVLIGEPTAMPTFYVVPNSMEKTIMYFKDRYNNTPMFITENGYAQPSSRNIGDMLNDTNRVEYMEGYLTSLVSAMRWIHVCLSILCYRFVN >Manes.03G149100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27619814:27624408:-1 gene:Manes.03G149100.v8.1 transcript:Manes.03G149100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSCVLVMLITMMMMINGCWCLERTHFPSSFLFGTATSSYQIEGAYLEDNKGLSNWDVFTHISPGEIKDGSNGDTADNHYHLFLEDIELMHSLGVNSYRFSISWVRILPKGRFGEINPEGIAFYNKLIDDLLLKGIEPFVTLHHYDVPQELEDRYGAWLSPQMQDDFGYFADICFEAFGDRVKHWITLNEPNMVAQYGYYSGIWPPSRCSFPAGNCTTGDSKLEPYIAAHNMVLAHATATEIYRKKYQEKQGGKIGIVLHIYWYEPLRDIPVDRVAAQRALGFNAAWFMDPIMYGEYPPEMQQIVGLRLPAFSEEDKRKLANKLDFIGINHYSTLYAKDCLLSPCNYYDDLLKDTFTYGTGEKNGVLIGEPTAMPTFYVVPNSMEKTIMYFKDRYNNTPMFITENGYAQPSSRNIGDMLNDTNRVEYMEGYLTSLVSAMRWIHVCLSILCYRFVN >Manes.08G146300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38776314:38784589:1 gene:Manes.08G146300.v8.1 transcript:Manes.08G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKQPGPRPKRAVTATAKRAVPDTTPIRVHSSDSLTAAKSPHNVEAKTTAQSACEGALLPSSSPAIEDGNTSVAPSVPGIAETVNEIPADEKRGNCSMGAENNKISTGNETLPLENRKPDVSLGIGSRIEEKDFIGGSENGDSGNDDVVAMKPNKKTKKVVKVVKKVVKKRVPKSMHKAITACSNKELTTEEDLDDDSEKLCSSDCVSSSMQEIGMVNLSGGPKDKGLENQPEILDGVVNGSTPKQDVGMVDCSLSVPVIVENSDAYVTLSVEEAKNPDQPLGVPMEVETFDTMGEGGKEVSVNEIPVKGEKSEGAVEKSDTATKIPMEMMNVDLVGNRNNECVVQFVGSSSGNEEGAKEDSEKGNGRIVLSGELEALERRRRRKTEIFIGGLNTDAKEEDIREVFEEVGDIVEVRLVTNSKTGKNKGYAFVRYASAADAKKALEKYQKAEICGKQCSTAAVEGNDTIFLGNIDKQWTNEDVIKLLQDIGIEKIDKVTVMTDPSNMGRNRGFAFLELETYKDAQIAFKKLQKKGLGKLRNIKVAWAEPLSEPDEEELLKVKSVYAEYLPPSWDAEKVRSYFTKFGEIENVVLSRNLHSSRRNDFAFVNFKTRESALACIELFNHERLSNEGSQVNVKVSLAKPVQKGKLQKKISKPTSKEIIKQKQSSQGPVKPVEPRYSKMPAIHVSNQVLGDISASGAAELEQRLAERALQKQMQARLTSGMTNRDYSHVFPGQKRSFYMLGDDLNYSDPRGYARIRMDSSSPVGSLSHGEVPPVFGMASLSYYQQQGAGYALGHRYPDYANSFQREEPPYHGCGRDYYKY >Manes.09G139400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33873192:33877306:1 gene:Manes.09G139400.v8.1 transcript:Manes.09G139400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPIFLMSLVLLWGAIAEAEYMKYKDPKQPINVRIKDLMKRMTLEEKIGQMTQIERSVASAEVMKKYFIGSVLSGGGSVPSKQASAETWIKMVNDFQNGSLSARLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDSKIVQAMTEIVAGLQGDIPAGSPKGVPFVAEKTKVAACAKHYVGDGGTTDGINENNTVISRHGLLSIHMPGYYNSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKDTLRFRGFVISDWEGIDRITSPPHANYSFSIQAGITAGIDMIMVPFNYTEFIDGLTYQVKNNIIPMSRIDDAVKRILRVKFVMGLFENPYADDSLVNQLGSQEHRELAREAVRKSLVLLKNGESGDKPSLPLPKKASKILVAGSHADNLGYQCGGWTIEWQGLSGNNLTSGTTILTAIKNTVDPSTEVVYKENPDSQFVKSGEFSYAVVVVGEPPYAETNGDSMNLTIAEPGPSTIQNVCGAVKCVVIVVSGRPLVIQPYMPLIDALVAAWLPGTEGQGVADVVIGDYGFTGRLSRTWFKTVDQLPMNVGDRYYDPLFPFGFGLTTKPIKA >Manes.18G002400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:694172:697137:1 gene:Manes.18G002400.v8.1 transcript:Manes.18G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT1 MPLKVLSALDSARTQYYHFKAIIVAGMGLFTDAYDFFCIPPITKLIGRIYYENHPEPAKYDIPTPVLAFMVSTALLGTAIGQVVFGRQGDRMGRRSVYGLALMLMVLSSLGCGFSICRTRNCVLVSFTFFRFLLGVGIGGDYPLSATIMSEFANRRTRGSFIAGVFSMQGFGILASSAVTMVVCKIFWNASANLRNDRTPEDADLTWRLILMLGAIPASLTFYWRMMMPETARYTALVENNVLQAAKDMEKVLDVPMSQIAEDEQWSQDRPTYPLLSRKFFRLHGRHLFSCSLNWFLLDMVFYSSNLFQSQIYSQFLKLKDVNVYEEAFKIARFQAIVAVCSTIPGYYFTVYFIDRIGRRKIQIMGFLFMGIVYFALGIPYHYWGRHTNAAFLFLYALTFFFANFGPNTTTFIVPAELFPARFRSTCHGISGAIGKMGALIGSLGVLWASKERDDNDYPKVKPMRVALVVLGLVCLVGMVVSYVFTPETMGRSLEENENEDEDDEEQLCSGCFAGASGRSDSAEVIAI >Manes.17G056200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25412438:25414142:1 gene:Manes.17G056200.v8.1 transcript:Manes.17G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKTPFNGYSVKFSPFYESRLAVATAQNFGILGNGRVHVLSLPPSPSLPLSELVAFDTADGVYDLAWSESHDSLLVAAIADGSVKLFDTALPPTQNPLRSLQEHTREVHSVDYNPTRRDSFITSSWDDTIKLWTLDRPASIRTFKEHAYCVYSAVWNPRHTDVFASASGDCTVRIWDVREPGSTMIIPGHDFEILSCDWNKYDDCCIATASVDKSIRVWDVRSYRTPIAVLNGHGYAVRKVKFSPHHRNLMVSCSYDMTVCMWDFMVEDALVGRYDHHTEFAVGVDMSVLVEGLLASTGWDELVYVWQHGTDPRAP >Manes.08G123800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36411186:36412812:-1 gene:Manes.08G123800.v8.1 transcript:Manes.08G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTMMSFCKKSVHSFLGFSAVSMNLDDDHRQPSSSSKCLAVFTSGLGLTTATASDSHRTPNVLESASLLKSSSSSSSSSSSSPPSSSSSSPLKKDPGGFGFIDDVGGGVNGVDDEIELCTRMKSTENKVKWRKIGERREVKKFPSPISSLDHNGHPCFFLRPIRKDGRLELTEVRIDRPEILRAYREDGRLRLHLVRDEESSNINEELQVQDQEYEKQAEKLEEEEKEEETGGERKFSVNGEGFRRCHELVTNHHDYHPGHHSHHHHNLHVWNQHCVTTR >Manes.12G085416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12694329:12695296:1 gene:Manes.12G085416.v8.1 transcript:Manes.12G085416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVFLYSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDKLFRLFRSRYAWDESEEGMVRIAWEKVGKERLHLSHAHQLILEDLLKLCFL >Manes.04G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5668305:5674076:1 gene:Manes.04G047600.v8.1 transcript:Manes.04G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMTYKRLKGSQNLRLRLLLATLSATPVLIEDIRADETIPGLRPHEISFLRLLERISDDCLIEINETGTKFKYKPGILMGGKHIVHDCGVSRSIGYFLEPLVVLGLFAKKSLMIRLKGITNDSKDPSVDTFRSTTLPMLKQFGVPSEGLELKIESRGSPPHGGGEVVLSVPVVQSLQAVTWIDEGMVKRIRGVTYSTKVSSQFENTMIHAARGIFNRLLPDVHIFTDHKAGPQAGNSPGYGISLVAETTSGCFISADTAISYVRGDDIGEMEDERKELMPPENVGEQIASILLEEIEQGGVVDSTHQGLLFILCAICPQDVSKIRVGKLSPYGIETLRHIKEFLGVKFVIKPDPSTGTVILKCVGCGLKNLSRKIS >Manes.04G047600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5668305:5674076:1 gene:Manes.04G047600.v8.1 transcript:Manes.04G047600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMTYKRLKGSQNLRLRLLLATLSATPVLIEDIRADETIPGLRPHEISFLRLLERISDDCLIEINETGITNDSKDPSVDTFRSTTLPMLKQFGVPSEGLELKIESRGSPPHGGGEVVLSVPVVQSLQAVTWIDEGMVKRIRGVTYSTKVSSQFENTMIHAARGIFNRLLPDVHIFTDHKAGPQAGNSPGYGISLVAETTSGCFISADTAISYVRGDDIGEMEDERKELMPPENVGEQIASILLEEIEQGGVVDSTHQGLLFILCAICPQDVSKIRVGKLSPYGIETLRHIKEFLGVKFVIKPDPSTGTVILKCVGCGLKNLSRKIS >Manes.09G066900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10984039:10986957:-1 gene:Manes.09G066900.v8.1 transcript:Manes.09G066900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFVIGAFGVLILAHAAVSTIQYKGLLKIIEEEFSGPPMNVLGELLLGLMFCMWAALTAPGKFLSIHSHSEENRIVSLPESMDFIIFNHRGKAFPPGMDMRLNH >Manes.02G132500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10065309:10069809:-1 gene:Manes.02G132500.v8.1 transcript:Manes.02G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSAQIKAESSCNTGLSSRYVSTDGNDHSSSSEVSSVSVPLALRTEGQILQSSNLKSFSFSDLKTATRNFRPDSVLGEGGFGSVFKGWIDEHSFTAAKPGTGLVIAVKRLNQEGFQGHKEWLAEVNYLGQFYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHSAENKVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNVVEWAKPYLANKRKIFRVLDNRLEGQYSMDVAYKAATLASRCISSEPKFRPNMDEVVSSLEQLQGSKETESTNTPSSNARRLRRRSADDAIGGKTATAYPRPSASPLYA >Manes.08G043350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4255871:4257176:1 gene:Manes.08G043350.v8.1 transcript:Manes.08G043350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRTEEEEKPMYGHFSHEHPLELDKSSHTGTTICSGCKRDIVPGKDFYTCKACSFSLHRSCFNMPRIYQHPAEPGHALNLLLLPSFVCKACGVQGSGFCYNCSVCSCSYHTLCLRMPFVESCASHEHLLKLEFSSPYDNCKGFRCDVCGDPGSDHWLYRCNECEFDVHINCIYSVPSPQFQPPISFRNHHNMVTSPTTASTGGSAAILVNGNSDLQANRIPLSSSTRSPGMKNICTVATRAPPSNTNTAYVNPGSSAPPGGNFSGPTYTYAYNPGANSTQPGVKGTGVPQNNSHGVGNLNLSGPSSAQGVMGTGVAGLVATGVLGGMGEGIGQEIVQNILNSLTDDGS >Manes.17G040600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23233238:23233402:-1 gene:Manes.17G040600.v8.1 transcript:Manes.17G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGARRNMINGGNYSQRLIPKRGQVKVAIVLGLAHSFASIFSTRSRRAGLLS >Manes.17G017700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:9584023:9586210:1 gene:Manes.17G017700.v8.1 transcript:Manes.17G017700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKCASMTDARRVFDHMPDRNMDSWHLMINGYANNNLGDEGLQLFEQMRQLGLKATEVTFLAVLSACASADAVEEGFLHFESMKNEYGINPQMQHYLGVIDVLGKSGFVNEIEEYIEKLPFAPTVEIWDALRNYARIHGDIDLEDCAEELKVALDPSKSVASKIPTPLPKKYSAISMLEGKSRIPEFRNPTLYRDDEKLKAMSKAVSYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAMKIMSRIVGRELIVRDNKRFHHFKDGSCSCGDYW >Manes.17G017700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9584023:9586443:1 gene:Manes.17G017700.v8.1 transcript:Manes.17G017700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRNMDSWHLMINGYANNNLGDEGLQLFEQMRQLGLKATEVTFLAVLSACASADAVEEGFLHFESMKNEYGINPQMQHYLGVIDVLGKSGFVNEIEEYIEKLPFAPTVEIWDALRNYARIHGDIDLEDCAEELKVALDPSKSVASKIPTPLPKKYSAISMLEGKSRIPEFRNPTLYRDDEKLKAMSKAVSYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAMKIMSRIVGRELIVRDNKRFHHFKDGSCSCGDYW >Manes.17G017700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9584023:9586210:1 gene:Manes.17G017700.v8.1 transcript:Manes.17G017700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVFMLCWSCAGNLRMPKRNMDSWHLMINGYANNNLGDEGLQLFEQMRQLGLKATEVTFLAVLSACASADAVEEGFLHFESMKNEYGINPQMQHYLGVIDVLGKSGFVNEIEEYIEKLPFAPTVEIWDALRNYARIHGDIDLEDCAEELKVALDPSKSVASKIPTPLPKKYSAISMLEGKSRIPEFRNPTLYRDDEKLKAMSKAVSYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAMKIMSRIVGRELIVRDNKRFHHFKDGSCSCGDYW >Manes.08G094100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31810221:31814503:1 gene:Manes.08G094100.v8.1 transcript:Manes.08G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNEQKVNPYPKKASIPPYMKAVSGSLGGIVEASCLQPIDVIKTRLQLDRTGTYKGIIHCGTTVSRTEGVRALWKGLTPFATHLTLKYALRMGSNAVLQSAFKDSETGKLTNRGRILSGFGAGVLEALVIVTPFEVVKIRLQQQKGLSPELLKYKGPIHCARMIVREEGFLGLWAGAAPTVMRNGTNQAAMFTAKNAFDVLLWKKHEGDGKVLQPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQSREGGNLKYKGMIHAIRTIYAEEGLLALWKGLLPRLMRIPPGQAIMWAVADQIIGLYERRYIHNASL >Manes.05G014900.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1394993:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMCLLGQLRVNALGPASVHMP >Manes.05G014900.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1394993:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMGLGLYYILVVQFNLILDMYSTGLGISIFCDFHN >Manes.05G014900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1395169:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMVWEFPSSVIFIIDLFVLSSNVVAIKVFTGSATSKCIGACFCAHALKLLATQVPLLQYHQVLTLKHL >Manes.05G014900.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1399017:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMVWEFPSSVIFIIDLFVLSSNVVAIKVFTGSATSKCIGACFCAHALKLLATQVPLLQYHQVLTLKHL >Manes.05G014900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1395169:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMVWEFPSSVIFIIDLFVLSSNVVAIKVFTGSATSKCIGACFCAHALKLLATQVPLLQYHQVLTLKHL >Manes.05G014900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1400248:1403970:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMVWEFPSSVIFIIDLFVLSSNVVAIKGTCRM >Manes.05G014900.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1399874:1403970:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMCLLGQLRVNALGPASVHMP >Manes.05G014900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1395078:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMVWEFPSSVIFIIDLFVLSSNVVAIKVFTGSATSKCIGACFCAHALKLLATQVPLLQYHQVLTLKHL >Manes.05G014900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1399146:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMGLGLYYILVVQFNLILDMYSTGLGISIFCDFHN >Manes.05G014900.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1399065:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMV >Manes.05G014900.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1402016:1403970:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMV >Manes.05G014900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1395180:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMVWEFPSSVIFIIDLFVLSSNVVAIKVFTGSATSKCIGACFCAHALKLLATQVPLLQYHQVLTLKHL >Manes.05G014900.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1399065:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMV >Manes.05G014900.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1395683:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMGLGLYYILVVQFNLILDMYSTGLGISIFCDFHN >Manes.05G014900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1399017:1404479:-1 gene:Manes.05G014900.v8.1 transcript:Manes.05G014900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRCVQCGFGIKTLFVQYSPGNIRLMKCENCKAIADEYIECELMIILIDLILHKPKAYRHLLYNVLNQQTVELEGLLWKSTVTFLLFDAYRSLLLLRNKEEQSSALSFPSLVWRSQQIFMDVFVGNLIFLCVFVLATRVLLKISVGFSSCGDMLLAILVSSYFKMFLVAMMVWEFPSSVIFIIDLFVLSSNVVAIKVFTGSATSKCIGACFCAHALKLLATQVPLLQYHQVLTLKHL >Manes.18G109250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10807243:10828431:1 gene:Manes.18G109250.v8.1 transcript:Manes.18G109250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLQYMKTLRSQMNEVEDQAAKVSVEEHTQIATIQTTETDIKSAKSETKKLKEEIEKMIQAKGQICLQIMEKQRKIASMESDSSTLYQTLELIQQERVGLSSKLINKSAHYIKVADDINSKLQQQQDWVTCHKIGIEMGENALVKDNFDEEAAEAGGKSAIGDCLKMDSQGNNARKKNLMTKVDSAKAKLDEIAQRKSELATQNKVKQSIEQVRCKAKEFKPELLAMDIKTLEEEYKALLSDKAGEFEYLQSLQGQIEKHKGISDMIKCACGVEYKVEMEFSA >Manes.10G045300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4917290:4922133:-1 gene:Manes.10G045300.v8.1 transcript:Manes.10G045300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQSVDMQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWIPKQISPAPTEPKPESFIYPPVADANGVSAIKNQRGKICILSIDGGGMRGIISGKALAYLEHALKTKSGNPDARIADYFDVAAGTGIGGIFTAMLFGSRDHHHPIMKADDTWRFLADHGRKIYRSGNGNGGGAGNGRSSGSNGVLKRLFGGSGSTAATACLEKAMKETFTEKGQSLTLKHTLKPVLIPCYDLSSAAPFLFSRADALETDSFDFRLWEVCRATSAEPGLFQPIQMRSVDGQTKCVAVEGGLAMSNPTSAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLESSYEYEEVKNWRAKHWARPMARIAGDGSAESVDQAVAMAFGQSRSSNYVRIQANGSSLGGCGPNVDTDPSPNNVKMLISIAEEMLGQKNVESVLFEGKRIGEQSNFEKLDWFAGELVLEHQRRSCRIAPTVAFKQAAFKPT >Manes.10G045300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4915398:4922133:-1 gene:Manes.10G045300.v8.1 transcript:Manes.10G045300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQSVDMQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWIPKQISPAPTEPKPESFIYPPVADANGVSAIKNQRGKICILSIDGGGMRGIISGKALAYLEHALKTKSGNPDARIADYFDVAAGTGIGGIFTAMLFGSRDHHHPIMKADDTWRFLADHGRKIYRSGNGNGGGAGNGRSSGSNGVLKRLFGGSGSTAATACLEKAMKETFTEKGQSLTLKHTLKPVLIPCYDLSSAAPFLFSRADALETDSFDFRLWEVCRATSAEPGLFQPIQMRSVDGQTKCVAVEGGLAMSNPTSAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLESSYEYEEVKNWRAKHWARPMARIAGDGSAESVDQAVAMAFGQSRSSNYVRIQANGSSLGGCGPNVDTDPSPNNVKMLISIAEEMLGQKNVESVLFEGKRIGEQSNFEKLDWFAGELVLEHQRRSCRIAPTVAFKQAAFKPT >Manes.01G208600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38039085:38044202:-1 gene:Manes.01G208600.v8.1 transcript:Manes.01G208600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKSSNNSASPQDHQDTMTHLDFFKKFKRLNPLEPSLGILGFFLVTLLFIGCFFYLDYRSVSRGLPYDGVSWLGLINPYTSAEMDTDNGRPGFLDKRGDGCDIFYGNWIWDESYPLYQSKDCSFIDGGFRCLENGRPDSFYTKWRWQPKHCDLPRFDAKVMLEKLRNRRLVFVGDSIGRNQWESLLCMLATAVTDKSSIYEVNGRPITKHKGFLSFMFKDYNCTVEYYRSPFLVYQGRAPAGAPAKVKMTLRVDVLDWMSAQWKDADVLIFNSGHWWNYEKTIRGGCYFQEGEEVKMEMSVETAYQRSLETLLDWIHSKINMSKTQVFFRTYAPIHFRGGDWRNGGSCHLEKLPDLGSLPSSSDYRFKIFYDVLLEHSNESHVMNLDLLNVTNMAARRKDGHASVYYLGPGNGPASLHRQDCSHWCLPGVPDSWNELLYALFLKRESVHSQNSTESSQAPL >Manes.11G066600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9472920:9479553:-1 gene:Manes.11G066600.v8.1 transcript:Manes.11G066600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPRVRSMDIAEPENKAPQKPVKKVEKPQQQDTESKDKKHGKVASAVLRQKSMNGSCSSDASPDSTFSRASSSSSLSSRSWSSGKMVVAARRNGAVRKKQCETKFDTGAKTGGGDDCLAVESGNVVSGNSGPLTAADHLEIKKRCGWVTPSSDPCYATFHDTEWGVQVHNDKKLFELLCLSGALAELTWPVILNRRHMFREVFLDFDPIAVSKLNEKKIAVPGSPASSLLSELKLRSIIENAHQMCKVIDEFGSFDKYIWNFVNHKPIVNNFRHSRQVPVKSPKAEAISKDLVKRGFRSAGPTVIYSFMQAAGLTNDHLISCFRFQQCLTGTETREKDESLKLKTEKKEPTYSTDMGIPRNMDAYSSLK >Manes.11G066600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9472919:9479558:-1 gene:Manes.11G066600.v8.1 transcript:Manes.11G066600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPRVRSMDIAEPENKAPQKPVKKVEKPQQQDTESKDKKHGKVASAVLRQKSMNGSCSSDASPDSTFSRASSSSSLSSRSWSSGKMVVAARRNGAVRKKQCETKFDTGAKTGGGDDCLAVESGNVVSGNSGPLTAADHLEIKKRCGWVTPSSDPCYATFHDTEWGVQVHNDKKLFELLCLSGALAELTWPVILNRRHMFREVFLDFDPIAVSKLNEKKIAVPGSPASSLLSELKLRSIIENAHQMCKVIDEFGSFDKYIWNFVNHKPIVNNFRHSRQVPVKSPKAEAISKDLVKRGFRSAGPTVIYSFMQAAGLTNDHLISCFRFQQCLTGTETREKDESLKLKTEKKEPTYSTDMGIPRNMDAYSSLK >Manes.04G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30718980:30721411:-1 gene:Manes.04G102600.v8.1 transcript:Manes.04G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSTSAAMDYSYSLVDTSLDLNINPRRSNDVAPVRERLGLSKEVQSNFMELGRKVSVKQENGALVEELNRVSAENKKLTEMLNVLCENYNVLRSQLMDYMSKNPDKELSPSRKRKSESSNNNINDNTVAMNRNSESSSTDEESCKKPKEEVIKSKVSKVYVRTQASDASLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPTCPVKKKVQRSIEDQSVLVATYEGEHNHPHPSQMEATSAATRSLNLGSVPCSASLGSSGPTVTLDLTKSKTNNNDARISNSRMESSSDVRQLLVEQMASSLTKDPNFTAALAAAISGRMLQ >Manes.16G053000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15346768:15350767:1 gene:Manes.16G053000.v8.1 transcript:Manes.16G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVSNPIVEMDGDEMTRIIWKSIKDKLIFPFLDLDIKYFDLGLPNRDATNDKVTIESAEATLKYNVAIKCATITPDEDRVKEFHLKRMWKSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDTTIQGPGKLKLVFVPDGCGEKTEFEVFNFTGAGGVALSMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYESQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDRNARLLDFTDKLEKACVGAVESGKMTKDLALLIHGPKVTRDQYLNTEEFIDAVAEELIARLSAKAKL >Manes.12G017500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1598356:1603511:-1 gene:Manes.12G017500.v8.1 transcript:Manes.12G017500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIEILEGMETMQMARNGLDVSDDGYLLCGEDLTSVRKRKDFESKHDDKDPADNSSTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQREDTVSAGGIKHSDPPLRDSASSFGAQNSISMQQLDVASRSYGFLGNSLLVQNGESRGHENERKGTVSKSAAEPKGALNVEVPDPRKSRSSQMEFGRSFTSLGSEVNFAEFDSNFPTRFSWCESELKQEPQALHLDDGLRQLTLPGKQQRIQADYPQPAPPIISGSSATERDIGSVKIKPLYDECRSNASQVSSIGSTIETSDVQNKTYPVNHQAFDPISTSTSSMKNQHFNLSCMSDLESTQKSVNSELSPYATLDDDFQVCWVQGDCYAMNLGLQNIEFPEFCDPGLIAGVPTYLYDSVRFDYDNLYDPTEYSLIEQGLFIA >Manes.15G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3636441:3644820:1 gene:Manes.15G047300.v8.1 transcript:Manes.15G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSPPTQPSFSSKPSNKKRKGKGNRNPQDPELDRLDSLQWHRSLAEDDPFSAFAGSHELEGGFLSLEEIDEADYGLELPNVDKGKPEKSVKSKKRKHDVANDAIEEKVEEAEKAVGNEEKKKKRKKKEKRKKKEKKKKANGTQKNEELTAVNVDSNGKDEDDDGGESIDETDFYAWNELRLHPSLMKSIYRLGFKEPTPIQKACIPAAAHQGKDVVGAAETGSGKTLAFGLPIFQRLLEERYKATKMFEELGGEAEKFAPKCLMRALIITPTRELALQVTDHLKEVAKGIDIRVVPIVGGMSTEKQERLLKARPEVIVGTPGRLWELMSGGEKHLAELHSLSFFVLDEADRMIENGHFHELQSIIDMLPVANSSTEVERQSQNVQNCATLSTLQRKKRQTFVFSATIALSADFRKKLKRGLLKSKEEMTGGLNSIETLSERAGIRANAAIIDLTNASILAHKLEESFIECREEEKDAYLYYILSVHGQGRTIVFCTSIAALRHISALLRILGVHVWTLHAQMQQRARLKAMDRFRTNEHGILIATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARAFTDGCSIALISPNDTSKFASLCKSFSKESFQRFPLEESYMPEVIKRLSLARQIDKIMRKNSQEKAKKTWFERNAESVELIVEKDDSEDERVNNYKQKKITSMQLNKLQQELNMLLSRPLQPKTFSHRYLAGAGVSPLLQHQLEELARQNSGNDLGGSKRRKLVVIGQDCVEPLQALRSAGHEVRMDVKEMADKRKIIENLKRKRKEEKKRSRDQRRRQKKTMNTK >Manes.01G093100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29426165:29428617:-1 gene:Manes.01G093100.v8.1 transcript:Manes.01G093100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRIDDVSTARHRRLRLWQKCTNFRCLKQIHASLIIKGFNSCPSALRELIFASAVAISGIIDYAHQVFAQIAEPDIFIWNTMMRGSAQSQNPSKTILLYLQMESRGIKPDNSTFSFLLKACTRLGWTNTGLCIHGKVAKYGFEENMFVRSTLIHHHANCGSLGIARAIFDDFAERDVVAWSALIAGYARRGQLTIARQLFDEMPTKDLVAWNVMITAYAKRGKMEWARRLFDGVPKRDVVTWNAMITGYVLRGENEQALQMFEEMRSVGEQPDEVTMLSLLSACADLGDLETGTKVHNSIVEMSSGNLGILLGNALVYMYAKCGSIERALEVFRGIREKDVSTWNSIIVGSAFHGHADESINLFAEMQKLKNIRPNEITFAGLLVACSHAGKVVEGHQYYKLMKDGYNIEPNLGHYGCMVDLLGRAGLLNDAFEFIENMKIEPNAVIWRTLLGACRIYGNVELGRQAHEKLLEMRSDKKGDYMLFSNIYAAMGEWDGAQNVRKLMDSSGARKEPGCSLIEADESARLQFLLDPNHKLNLKNQMATAAS >Manes.01G093100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29426169:29428554:-1 gene:Manes.01G093100.v8.1 transcript:Manes.01G093100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRIDDVSTARHRRLRLWQKCTNFRCLKQIHASLIIKGFNSCPSALRELIFASAVAISGIIDYAHQVFAQIAEPDIFIWNTMMRGSAQSQNPSKTILLYLQMESRGIKPDNSTFSFLLKACTRLGWTNTGLCIHGKVAKYGFEENMFVRSTLIHHHANCGSLGIARAIFDDFAERDVVAWSALIAGYARRGQLTIARQLFDEMPTKDLVAWNVMITAYAKRGKMEWARRLFDGVPKRDVVTWNAMITGYVLRGENEQALQMFEEMRSVGEQPDEVTMLSLLSACADLGDLETGTKVHNSIVEMSSGNLGILLGNALVYMYAKCGSIERALEVFRGIREKDVSTWNSIIVGSAFHGHADESINLFAEMQKLKNIRPNEITFAGLLVACSHAGKVVEGHQYYKLMKDGYNIEPNLGHYGCMVDLLGRAGLLNDAFEFIENMKIEPNAVIWRTLLGACRIYGNVELGRQAHEKLLEMRSDKKGDYMLFSNIYAAMGEWDGAQNVRKLMDSSGARKEPGCSLIEADESARLQFLLDPNHKLNLKNQMATAAS >Manes.01G265100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41980890:41991584:-1 gene:Manes.01G265100.v8.1 transcript:Manes.01G265100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEADSRLSQVVAPALEKIIKNASWRKHSKLAHECKSVLERITSPQKQLPYVDSEPDASIPGPLHDGGPVEYSLAESESILSPLINACGTGFLKIVDPAVDCIQKLIAHGYLRGEADPSGGNEAQLLSKLIEAVCKCYDIGDDAIELSVLKTLLSAVTSISLRIHGDCLLQIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMEPVEKSDADGSMTMFVQGFITKIMQDIDGVLNSGAPSKVSLGAHDGAFETTTVETTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEMERDEDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASSLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVSQPNFQQKMTVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGTTTTLLPPQEVTMKLEAMKCLVAILKSMGDWMNKQLRIPDFQSTKKFDATENTPESVNIHVANGNVDESVEGSDSHSEASTEASDVSTIEQRRAYKLELQEGVSLFNRKPKRGIEFLINANKVGNSPEEIAAFLKNASGLNKTLIGDYLGEREELSLKVMHAYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFTSADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEDYLRSLFERISRNEIKMKEDDLALEQKQNMNSNRILGLDSILNIVIRKRGEDKMETSDDLIRHMQEQFKEKARKSESVYYAATDVVLLQFMIEVCWAPMLAAFSVPIDQSDDEVVIALCLEGFRYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNESDKTKQTKSTILPVLKKKGPGRMQYAVAAVMRGSYDSAGIGGSAGAVSSEQMNNLVSNLNMLEQVGSSEMSRIFTRSQKLNSEAIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVNIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIMEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRICATKLAEGDLGSSARNKDKEAPGKISPSSPQAGRDGKHENGEITDKEDHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFDTLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPTGGDSPAQEVDNDTGELDQDAWLYETCTLALQLVVDLFVRFYNTVNPLLRKVLMLLVSFIRRPHQSLAGIGIAAFVRLMSNAGDLFSEEKWLEVVLSLKEAANATLPDFSYLVSGDSMVRSYKASNGQNNGESVGSGSPDEDPEGLRTRRLYASISDAKCRASVQLLLIQAVMEIYNMYRPRLSARNTLVLFDALHDVASHAHKININTVLCSRLQEFGSITQMQNPPVLRLENESYQICLTFLQNLILDRPPSFDETEIESHLVNLCQEVLQFYIETSRSGQTSQLSPHAKTQWQIPIGSGKRRELAARAPLIVATLQAICSLEDASFEKNLSHFFPLLSGLISCEHGSNEVQVALSDMLGSSVGPVLLRSC >Manes.09G041900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7662387:7671854:1 gene:Manes.09G041900.v8.1 transcript:Manes.09G041900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVYSLGRTKSDQLLETMAAAYKSPPTNEGGGVASEESGTLSRKSSKRQMTAASPGRGGGGGKNTHIRKSWSAQMKFDLDDVSNGAALSRASSASLGLSFSFTGFTVPPEDIADSKPFSDDDTPADLEAAAQKPKFQTEPTFPIYLKFTDVSYKVIIKGMTSSEEKQILSGITGSVNPGQVLALMGPSGSGKTTLLNLLGGRLNQSTVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKHLTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIANEILINPSVLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMSYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELEDRVQMDNSDAETINGKPSPKVVHDYLVEAYETRVAENEKKKLMVPIPLDEEVKLKVSAPKRQWGASWWEQYTLLFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSSSPKGLQDQAGLIFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDLILPVLFLVVVYFMAGLRMSAGPFFLSLLTVFLCIVAAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVKKVPIFVAWIRYMSFNYHTYKLLLKVQYEHMPQIKGMRTDSGLMEVSALVAMVFGYRLLAYISLRNMKLNCGS >Manes.09G041900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7662658:7671854:1 gene:Manes.09G041900.v8.1 transcript:Manes.09G041900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVYSLGRTKSDQLLETMAAAYKSPPTNEGGGVASEESGTLSRKSSKRQMTAASPGRGGGGGKNTHIRKSWSAQMKFDLDDVSNGAALSRASSASLGLSFSFTGFTVPPEDIADSKPFSDDDTPADLEAAAQKPKFQTEPTFPIYLKFTDVSYKVIIKGMTSSEEKQILSGITGSVNPGQVLALMGPSGSGKTTLLNLLGGRLNQSTVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKHLTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIANEILINPSVLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMSYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELEDRVQMDNSDAETINGKPSPKVVHDYLVEAYETRVAENEKKKLMVPIPLDEEVKLKVSAPKRQWGASWWEQYTLLFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSSSPKGLQDQAGLIFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDLILPVLFLVVVYFMAGLRMSAGPFFLSLLTVFLCIVAAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVKKVPIFVAWIRYMSFNYHTYKLLLKVQYEHMPQIKGMRTDSGLMEVSALVAMVFGYRLLAYISLRNMKLNCGS >Manes.09G041900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7662387:7671855:1 gene:Manes.09G041900.v8.1 transcript:Manes.09G041900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVYSLGRTKSDQLLETMAAAYKSPPTNEGGGVASEESGTLSRKSSKRQMTAASPGRGGGGGKNTHIRKSWSAQMKFDLDDVSNGAALSRASSASLGLSFSFTGFTVPPEDIADSKPFSDDDTPADLEAAAQKPKFQTEPTFPIYLKFTDVSYKVIIKGMTSSEEKQILSGITGSVNPGQVLALMGPSGSGKTTLLNLLGGRLNQSTVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKHLTKEQKEKRALDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIANEILINPSVLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMSYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELEDRVQMDNSDAETINGKPSPKVVHDYLVEAYETRVAENEKKKLMVPIPLDEEVKLKVSAPKRQWGASWWEQYTLLFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSSSPKGLQDQAGLIFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDLILPVLFLVVVYFMAGLRMSAGPFFLSLLTVFLCIVAAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVKVKTWTSLKFMTFQW >Manes.05G139700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25766349:25768444:-1 gene:Manes.05G139700.v8.1 transcript:Manes.05G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLLLLALPFLLSFLLRKIKTKRNLHLPPGPKGLPFIGNLHQFDSLNPHSYLWQLSQKHGPVMSLRLGFVPILVVSSAKMAEAVMKTHDLIFCSRPALVGQQRLSYNGLDLAFSPYNAYWREIRKICMVYLFNSNRVQSFRPIREFELSHMLEKISKSAVASKPVNLSEAMMSLTSTIICRIAFGKRYEEDGVGRSRFQELMKEVQALFTCFFVADYFPFLDFIDKFTGLLHRLEKNFREFDVFYEQIIQEHLDPSRSKPAEEDILDILLQLWKDRSFKVDLTFDHIKAVLMNVFVGGTDTSAATVVWAMTLLMKNPIAMKKAQEEIRKLVGKKGFVEEDDVQQLPYLKAVIKETMRLQPTVPLLVPRESTEDCVLDGYDIPAKTVVYVNTWAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFGAGRRICPGIFMGLATIEVSLANLLHKFDWEMPVGMKKEDLDMDVQPGITMHKKNALCLMARKYA >Manes.14G129400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10322113:10325300:1 gene:Manes.14G129400.v8.1 transcript:Manes.14G129400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKANIIAVSTVIILVIVIVVARVSLKLSNAFYLIAGAGVAVILAVFACLVIRRRYNRRSKLLATQLVSQGRELRIEYSFLRKVAGVPIKFRFKELEEATDDFRSLLGQGASASVFKGILTDGTAIAVKRIEKEERGEKEFRSEVAAIASVQHVNLVRLLGYCIVAGGPRFLVYDYIPNGSLDCWIFPKRGTRNLPGGCLSWELRYRVAIDVAKALSYLHHDCRSRVLHLDVKPENILLDENYRAIVADFGFSKLMRKDESRVITNIRGTRGYLAPEWLLEQGVSEKSDVYSYGMVLLEMIGGQRNVCLVEKGNDRAQRTWQYFPKIVNQKMRDGKLMEVVDHRLVESGDIDESEIKRLVHIAFWCIQEKARLRPTMAHVVEMLEDRVAVEEPPDTQMIVIDLLSIDDDAPDDHKRATIAALATDQLDGTNHTPSCSYTMSVISGR >Manes.11G080816.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12343891:12350349:-1 gene:Manes.11G080816.v8.1 transcript:Manes.11G080816.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGSADVGAGQTPPLRLPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRKIMVSEHTSIWKAMIRTPASIVLIVYTFISMWFVGGLTAFHLYLISSNQTTYENFRYRYDWRANPYNNGVGENFKEIFCSSIPQSKNDFRAKVPRESALPTRPVGGGFMSPNMGKAVDDIEMGRKTVWGDMSAMADHGEGQLANNDRMNIKDGELSEVSRDVRSAVEEGDRGGIHPRRASWGRESRSWGMSPEVLALAARVGEPNHVGGSSCGNLTTDDRVS >Manes.11G080816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12343891:12350348:-1 gene:Manes.11G080816.v8.1 transcript:Manes.11G080816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPQRSDPGLVGGGSGDLRVYQTWKGSNKFFLHGRFIFGPDARSLALTMFLIVAPVTVFCIFVARKLMDDSSGHLEISIMVVAIVFTVYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGSADVGAGQTPPLRLPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRKIMVSEHTSIWKAMIRTPASIVLIVYTFISMWFVGGLTAFHLYLISSNQTTYENFRYRYDWRANPYNNGVGENFKEIFCSSIPQSKNDFRAKVPRESALPTRPVGGGFMSPNMGKAVDDIEMGRKTVWGDMSAMADHGEGQLANNDRMNIKDGELSEVSRDVRSAVEEGDRGGIHPRRASWGRESRSWGMSPEVLALAARVGEPNHVGGSSCGNLTTDDRVS >Manes.12G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:19477852:19483350:1 gene:Manes.12G094600.v8.1 transcript:Manes.12G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVVFLHLLCRVLAVLAVSLVNAEDPYRYYTWTVTYGNISPLGGAQQVILINGQFPGPKLDVVTNNNIILNLVNKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYRFQMKDQIGTFTYFPSTMFHKAAGGYGAINILQRPGIPIPFATPDGYFTLLIGDWYKPNHKILQASLDSGKSLPFPDGVLINGQSRTTFSGDQGKTYMFRISNVGLSTSLNFRIQGHTMKLVEVEGSHTLQNIYDSLDVHVGQSVAVLVTLNQPPKNYYIVASTRFTKQVLKATSVLRYTNSKTPASGPLPAPPTGQFDWSLSQARTYKWNLTANAARPNPQGSFHYGEIIPTKTIVLANSAPLINGKKRYAVNRVSYVNPDTPLKLADFFNISGVFSVDSIQSMPSGGPAHIATSVIEASLHDFAEVVFQNNEYDMQSWHLDGYDFWVVGYGNGQWTQDKRRTYNLVDALTRHTAQVYPNSWTAILISLDNQGMWNMRSAIWERNYLGQQLYLRVWTSVHNLANEYNIPANALLCGKATERHP >Manes.18G034400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2975248:2977064:-1 gene:Manes.18G034400.v8.1 transcript:Manes.18G034400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVEVAVPPPAMDFDFNDARPMPFLSTPSTPKRLGDCSLSAPSSPSRVAEFYRRFDDFAWDANSTPLSPMAAAAHSSSGGDDFAFDFRSELEENSLSAAELFDGGKIRPLKPPPRLQMEERSPLLSPRSPRSPIAQGKKMIREVFSPRKKKDSDPFAIAAENTRKRAEKDGGKRTETDRGRDRGPAFASSSSRRATRSLSPYRVSDYPWEEEERRLRETTKHSAPSNSKASLSSNSSSSSSTKSSSRKWRLRDFLLFRSASEGRAADKDPLRTKYPAFFRKPDDAKNTSFRSTDSSGSVSATRRKGPVSAHELHYTTNKAASEDLKKKTFLPYKQGILGRLAFNPNGFGSPAR >Manes.03G193400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31153199:31154883:-1 gene:Manes.03G193400.v8.1 transcript:Manes.03G193400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDDRRRSREREYEEGLVVIQEMVNKLNTILQEGTQSFTSADYMRFYTTIYNLTTAHPIREYSPELYEKYKETFEEHINYKVLPSLRGKKDQDLLQELVTKWANYKIMTRWLFRFFHYLDRYFIPNRRLPSLQETSFIAFYNLVYGEINEQVRNTVISMINQERNGEVIDQDLVKSTVTIYVEMGIESMKYYERDLEASLLKESAAFYSEKASKWIQNESYEDYMYLVERCLKHEKEIVSSYLPATTQDKIIQAVEHELLQEKASELEGKKMI >Manes.03G193400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31153047:31154891:-1 gene:Manes.03G193400.v8.1 transcript:Manes.03G193400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDDRRRSREREYEEGLVVIQEMVNKLNTILQEGTQSFTSADYMRFYTTIYNLTTAHPIREYSPELYEKYKETFEEHINYKVLPSLRGKKDQDLLQELVTKWANYKIMTRWLFRFFHYLDRYFIPNRRLPSLQETSFIAFYNLVYGEINEQVRNTVISMINQERNGEVIDQDLVKSTVTIYVEMGIESMKYYERDLEASLLKESAAFYSEKASKWIQNESYEDYMYLVERCLKHEKEIVSSYLPATTQDKIIQAVEHELLQEKASELEGKKMIW >Manes.11G152900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32009081:32009969:-1 gene:Manes.11G152900.v8.1 transcript:Manes.11G152900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLTENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQA >Manes.10G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:752426:755717:-1 gene:Manes.10G005800.v8.1 transcript:Manes.10G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSVFFKALSRLLVFIPLTSIEITTTDSQLSTNFYSKSCPNLFSAVKPVVKSAINKEKRMGASLVRLFFHDCFVNGCDGSILLDDTSSFTGEKTAIPNRDSVRGFEVIDDIKSAVEKACPGVVSCADIVAIAARDSTVILGGPTWNVKLGRRDAKTASLSAANNGLPPATFNLNQLISIFNALGLSTRDLVALSGSHTVGQGRCISFRARIYNETNIDSGFAKTRRSKCPSTNGTGDNNLAPLDLQTPTRFDNKYFKNLLNQKGLLHSDQQLFNGGSTDSIVRTYSNSQSTFFSDFVTGMIKMGDISPLTGSKGEIRKNCRRVN >Manes.17G057300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25592586:25593375:1 gene:Manes.17G057300.v8.1 transcript:Manes.17G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSLGVLIDVVDEEWMRDTLPDDDLPLPPVLVVRTDDGEDSNQETQQVNGDTWHDLALGNQ >Manes.01G000550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1375754:1377471:-1 gene:Manes.01G000550.v8.1 transcript:Manes.01G000550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLRGGNVGMIKNSMVRCFSQERAVNVRKINPKVPFPEAASISHSLYDIIKQHGPLTVSSTWNYAKESNISGLNSKTHMKIMLKWMRGRKMLKLFCNQVGSSKKFLHCTLPEEPQTDQPKVPQELNMQAKKTSIKRKKQQK >Manes.08G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11139363:11141346:1 gene:Manes.08G068500.v8.1 transcript:Manes.08G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIRGREQKSSGSPKGEVGEIDTRAPFQSVKAAVSLFGEVVVSKDKDKQLSIKKLSSEDVLDTETQLILVEREFDMYKQRLEVAEETKSRAHSELDKAKITLNELATKLKTTNQSRLSAMEAAETVKTQAKELEVAESQQHLGNAARKQELDQTREQYKVIVCELDIVKQELNQIRQDFDRALEAKSTSFQQAAEAQRVANMNAEKINELSNQIKIMQEQALQLRLASIEAQEQQENIIAEKEACIRAAIVTKEEVDKKLKSLIQDYDPKLPRRLELKLAETNMEIEYVQEEMRRTHALDVETMKVITIELDESKKTLQKIAEEENLLRSIVTPIRLELDKVKKEKAELEKKENEILDQQRFQLEQLTSEAEKARTEAEEMKKNKNQLNQETKNAKASVEKTKGKLNFALKKAELAKEEEKIAHDEMKILSEKHKKQNPESTDNIINISLQEFESLKKKVEESETIADAKETEAIIQVEDINTRKNAAEKKLQENLKAIKEIKEATDIAWKSVEILVEKAHMEGHEHRRRRKKDDK >Manes.13G017200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1753056:1759506:-1 gene:Manes.13G017200.v8.1 transcript:Manes.13G017200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKNRKGTSVLVDYLIYIQEIKPWPSFQSLKSVESVLLHWDNGDQCSGSFTSNIGDGKVEFSETFRLSVTLFRETSRKGTARDSFQKNYLELSLYEVRKDRAMKGQLLGSAVINLADYGIIKDTITISTPVNFKKSSRSTAQPVLYVSIQPFDRDNSILSKEVSLDKDGSESVSEVTNEGNDEESEFASFTDDDVDDNVSSHSSRTISSFAFESNKGSPAQDEKNLTGSATNDSRRVNGEANFPSGVAHSNSELNTIGEASKHLNGASSTSSSTGLSSNMQNYINDLGPKTVLSDNYIQAGKNSVHVDLQVSQTNQEADGKAWNGEQIGQVIAKTSNLQVGLMEDKLKKEVEDNGQEEELMLLKNHSLEEEKFAGKLPQEPMKRQVNLRSSTLASNRMSNAVQGNTRKDKLKHLKSVQLQFNVAESDESFHNLEFVEKANKIDVPEIFHKSGLYHMSSEKQKQTNLHSDYKTQLKSEVQILEKELNGTAAEEVGLYPAAVRHGNSTNKVQLIERPKEIDASGDIHEVDENFPPSEKEQVENSFSGYKVEMESKVEMLKEELMEAAVLEVGLYSVVAEHGSSANKVHAPARRLSRFYLHACKASSQAKRASAARAIISGLVLASKACGNDVPRLTFWLSNSIVLRAIVSQVVEKLQLASAPSIIPNVGQKGRLEASPCEDEKNDKSKGSDEWEEPHTFIVALEKVEAWIFSRIVESVWWQTLTPHMQPTAVKGSNSKKTHARRYGLGDQEQGNFAIDLWKKAFKDACERLCPIRSGGHECGCLPVLARLIMEQLVQRLDVAMFNAILRESADEMPTDPVSDPISDPKVLPIPAGKSSFGAGAQLKNAVGNWSRWLTDIFGIDDNDSLENVNELDSNKTECETSFKAFQLLNALSDLMMLPFEMLADGSTRKEVCPTFGAGIIERVLNNFVPDEFNPDPIPEAIFESLDSEDLAENENEYITSFPCMATPTIYSPPPAASLTNIIGEVENQTLQRSGSAVLRKAYTSDDELDELDSPMTSIIIDNPRSSVPTASNWMPKSNGGRKVVRYQLLRQVWKDGE >Manes.13G017200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1752358:1761782:-1 gene:Manes.13G017200.v8.1 transcript:Manes.13G017200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKNRKGTSVLVDYLIYIQEIKPWPSFQSLKSVESVLLHWDNGDQCSGSFTSNIGDGKVEFSETFRLSVTLFRETSRKGTARDSFQKNYLELSLYEVRKDRAMKGQLLGSAVINLADYGIIKDTITISTPVNFKKSSRSTAQPVLYVSIQPFDRDNSILSKEVSLDKDGSESVSEVTNEGNDEESEFASFTDDDVDDNVSSHSSRTISSFAFESNKGSPAQDEKNLTGSATNDSRRVNGEANFPSGVAHSNSELNTIGEASKHLNGASSTSSSTGLSSNMQNYINDLGPKTVLSDNYIQAGKNSVHVDLQVSQTNQEADGKAWNGEQIGQVIAKTSNLQVGLMEDKLKKEVEDNGQEEELMLLKNHSLEEEKFAGKLPQEPMKRQVNLRSSTLASNRMSNAVQGNTRKDKLKHLKSVQLQFNVAESDESFHNLEFVEKANKIDVPEIFHKSGLYHMSSEKQKQTNLHSDYKTQLKSEVQILEKELNGTAAEEVGLYPAAVRHGNSTNKVQLIERPKEIDASGDIHEVDENFPPSEKEQVENSFSGYKVEMESKVEMLKEELMEAAVLEVGLYSVVAEHGSSANKVHAPARRLSRFYLHACKASSQAKRASAARAIISGLVLASKACGNDVPRLTFWLSNSIVLRAIVSQVVEKLQLASAPSIIPNVGQKGRLEASPCEDEKNDKSKGSDEWEEPHTFIVALEKVEAWIFSRIVESVWWQTLTPHMQPTAVKGSNSKKTHARRYGLGDQEQGNFAIDLWKKAFKDACERLCPIRSGGHECGCLPVLARLIMEQLVQRLDVAMFNAILRESADEMPTDPVSDPISDPKVLPIPAGKSSFGAGAQLKNAVGNWSRWLTDIFGIDDNDSLENVNELDSNKTECETSFKAFQLLNALSDLMMLPFEMLADGSTRKEVCPTFGAGIIERVLNNFVPDEFNPDPIPEAIFESLDSEDLAENENEYITSFPCMATPTIYSPPPAASLTNIIGEVENQTLQRSGSAVLRKAYTSDDELDELDSPMTSIIIDNPRSSVPTASNWMPKSNGGRKVVRYQLLRQVWKDGE >Manes.13G017200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1753056:1759332:-1 gene:Manes.13G017200.v8.1 transcript:Manes.13G017200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRSKNRKGTSVLVDYLIYIQEIKPWPSFQSLKSVESVLLHWDNGDQCSGSFTSNIGDGKVEFSETFRLSVTLFRETSRKGTARDSFQKNYLELSLYEVRKDRAMKGQLLGSAVINLADYGIIKDTITISTPVNFKKSSRSTAQPVLYVSIQPFDRDNSILSKEVSLDKDGSESVSEVTNEGNDEESEFASFTDDDVDDNVSSHSSRTISSFAFESNKGSPAQDEKNLTGSATNDSRRVNGEANFPSGVAHSNSELNTIGEASKHLNGASSTSSSTGLSSNMQNYINDLGPKTVLSDNYIQAGKNSVHVDLQVSQTNQEADGKAWNGEQIGQVIAKTSNLQVGLMEDKLKKEVEDNGQEEELMLLKNHSLEEEKFAGKLPQEPMKRQVNLRSSTLASNRMSNAVQGNTRKDKLKHLKSVQLQFNVAESDESFHNLEFVEKANKIDVPEIFHKSGLYHMSSEKQKQTNLHSDYKTQLKSEVQILEKELNGTAAEEVGLYPAAVRHGNSTNKVQLIERPKEIDASGDIHEVDENFPPSEKEQVENSFSGYKVEMESKVEMLKEELMEAAVLEVGLYSVVAEHGSSANKVHAPARRLSRFYLHACKASSQAKRASAARAIISGLVLASKACGNDVPRLTFWLSNSIVLRAIVSQVVEKLQLASAPSIIPNVGQKGRLEASPCEDEKNDKSKGSDEWEEPHTFIVALEKVEAWIFSRIVESVWWQTLTPHMQPTAVKGSNSKKTHARRYGLGDQEQGNFAIDLWKKAFKDACERLCPIRSGGHECGCLPVLARLIMEQLVQRLDVAMFNAILRESADEMPTDPVSDPISDPKVLPIPAGKSSFGAGAQLKNAVGNWSRWLTDIFGIDDNDSLENVNELDSNKTECETSFKAFQLLNALSDLMMLPFEMLADGSTRKEVCPTFGAGIIERVLNNFVPDEFNPDPIPEAIFESLDSEDLAENENEYITSFPCMATPTIYSPPPAASLTNIIGEVENQTLQRSGSAVLRKAYTSDDELDELDSPMTSIIIDNPRSSVPTASNWMPKSNGGRKVVRYQLLRQVWKDGE >Manes.03G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1401752:1404073:-1 gene:Manes.03G016400.v8.1 transcript:Manes.03G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVNNLNIIDSASAIKKNRIQVSNTKKPLFFYVNLTKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKITTSTVDMREETGGRPVQKAKIEILLGKTEKFDELMAAASEELIDNEGRAEH >Manes.01G131100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32418827:32421721:1 gene:Manes.01G131100.v8.1 transcript:Manes.01G131100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNQEEATQQQTQRSEEKRKMTSLSNSEKEQSTAKDDGDEGQDSKKTVKLELKHRYSPVNQESKAEESFLASTTRDLTRIQTLHKRIIEKKNQNDISRLNKDKEQQKKVLKQPVVAPAASPEAYATGISGQLMATLESGVSLGSGEYFMDVFIGTPPKHFSMILDTGSDLNWIQCIPCHDCFEQKGPHYDPKESTSFRNISCQDPRCHLVSSPDPPQPCKAENQTCPYFYWYGDSSNTTGDFALETFTVNLTSPAGNSEFKQVDNVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKDLLSHPELNFTSLVAGKDNSFDTFYYVEIKSIIVGGELLNIPEKIWNLSSDGGGGTIVDSGTTLSYFAEPAYEIIKDAFVRKIKRYPEIKEFPVLNPCYNVSGLEKMELPEFGILFADGAVWNFPVENYFIRFEPEEVICLAILGTPQSTLSIIGNYQQQNFHILYDTNKSRLGYAPMNCADGNHKLIVCALSTFDLIQLVPSCDIPGD >Manes.01G131100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32418795:32421348:1 gene:Manes.01G131100.v8.1 transcript:Manes.01G131100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGNQEEATQQQTQRSEEKRKMTSLSNSEKEQSTAKDDGDEGQDSKKTVKLELKHRYSPVNQESKAEESFLASTTRDLTRIQTLHKRIIEKKNQNDISRLNKDKEQQKKVLKQPVVAPAASPEAYATGISGQLMATLESGVSLGSGEYFMDVFIGTPPKHFSMILDTGSDLNWIQCIPCHDCFEQKGPHYDPKESTSFRNISCQDPRCHLVSSPDPPQPCKAENQTCPYFYWYGDSSNTTGDFALETFTVNLTSPAGNSEFKQVDNVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKDLLSHPELNFTSLVAGKDNSFDTFYYVEIKSIIVGGELLNIPEKIWNLSSDGGGGTIVDSGTTLSYFAEPAYEIIKDAFVRKIKRYPEIKEFPVLNPCYNVSGLEKMELPEFGILFADGAVWNFPVENYFIRFEPEEVICLAILGTPQSTLSIIGNYQQQNFHILYDTNKSRLGYAPMNCADV >Manes.01G131100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32418795:32421721:1 gene:Manes.01G131100.v8.1 transcript:Manes.01G131100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLESGVSLGSGEYFMDVFIGTPPKHFSMILDTGSDLNWIQCIPCHDCFEQKGPHYDPKESTSFRNISCQDPRCHLVSSPDPPQPCKAENQTCPYFYWYGDSSNTTGDFALETFTVNLTSPAGNSEFKQVDNVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKDLLSHPELNFTSLVAGKDNSFDTFYYVEIKSIIVGGELLNIPEKIWNLSSDGGGGTIVDSGTTLSYFAEPAYEIIKDAFVRKIKRYPEIKEFPVLNPCYNVSGLEKMELPEFGILFADGAVWNFPVENYFIRFEPEEVICLAILGTPQSTLSIIGNYQQQNFHILYDTNKSRLGYAPMNCADV >Manes.01G131100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32418798:32421721:1 gene:Manes.01G131100.v8.1 transcript:Manes.01G131100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLESGVSLGSGEYFMDVFIGTPPKHFSMILDTGSDLNWIQCIPCHDCFEQKGPHYDPKESTSFRNISCQDPRCHLVSSPDPPQPCKAENQTCPYFYWYGDSSNTTGDFALETFTVNLTSPAGNSEFKQVDNVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKDLLSHPELNFTSLVAGKDNSFDTFYYVEIKSIIVGGELLNIPEKIWNLSSDGGGGTIVDSGTTLSYFAEPAYEIIKDAFVRKIKRYPEIKEFPVLNPCYNVSGLEKMELPEFGILFADGAVWNFPVENYFIRFEPEEVICLAILGTPQSTLSIIGNYQQQNFHILYDTNKSRLGYAPMNCADGNHKLIVCALSTFDLIQLVPSCDIPGD >Manes.12G036100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3159975:3167432:-1 gene:Manes.12G036100.v8.1 transcript:Manes.12G036100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVLKAFRAMKAIGINEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDDDDSKVSEEKENANGEEFADEAEVHDEPERPLKRLRLRSQEGQPSSSLNISNSMGDGTSLRKPKLEDEEIPDKNSLQRSPDMMKLQSGPVSTQSHTRNMGKQPASPIHFGAQGSPNPSMDRSLPSDLQSPQVWHSYKGKEPLLLQVAPTEKRPIVDRPCHAVRFKDTESVPIPKQKVHDSHALIKPKDEPYTDDFPPDDLPRYEVPIAVIRPAFPDSLSKGDNSIRSSLKGKRNDQEPLASNFVAEEDRGDSIPASSNNARTNSELAAVLEESPANLEIASSSLGEVKISLSCNSMLGRPNFHMPSQDELLKSMQEKCLRSYKIIDPNFSVLKMLKDMCECFLELATDSSHETQERLMNVTTSVDALKKSPVYSALGVGGSNCIPLNISNSSIDIHCFSQFGRPQFPGQIQPLSVDAHCLNVSVESNGGVELRGPESHQLVVVPQHQLTPEELRLLYDFNDITKGEEIVEISWLNEINNECPPHFVYIPHNLVYQNAYLRFTLSQIKVEDCCSSCIGDCLSSTTVCVCARETGHKFAYTSEGLVREDFLEDCIYMTRDPQRQCLLYCKVCPLESAKNDEILEPCKGHLKRAYIKECWSKCACHKRCGNRIVQRGIRCKLQVFFTSEGKGWGLRTLEKLPKGTFVCEYVGEILTNKELHERNIKRRRGINNERHTYPVLLDAYWCLKGALKEEEALCLDPTFYGNVARFINHRCLDANLIEIPVKVETPDHHYYHLAFFTAREVNALEELTWDYGIDFDDNDNPMEVFRCLCGSKFCRNMKRPNRSKSSLR >Manes.12G036100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3159975:3167432:-1 gene:Manes.12G036100.v8.1 transcript:Manes.12G036100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVLKAFRAMKAIGINEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDDDDSKVSEEKENANGEEFADEAEVHDEPERPLKRLRLRSQEGQPSSSLNISNSMGDGTSLRKPKLEDEEIPDKNSLQRSPDMMKLQSGPVSTQSHTRNMGKQPASPIHFGAQGSPNPSMDRSLPSDLQSPQVWHSYKGKEPLLLQVAPTEKRPIVDRPCHAVRFKDTESVPIPKQKVHDSHALIKPKDEPYTDDFPPDDLPRYEVPIAVIRPAFPDSLSKGDNSIRSSLKGKRNDQEPLASNFVAEEDRGDSIPASSNNARTNSELAAVLEESPANLEIASSSLGEERLMNVTTSVDALKKSPVYSALGVGGSNCIPLNISNSSIDIHCFSQFGRPQFPGQIQPLSVDAHCLNVSVESNGGVELRGPESHQLVVVPQHQLTPEELRLLYDFNDITKGEEIVEISWLNEINNECPPHFVYIPHNLVYQNAYLRFTLSQIKVEDCCSSCIGDCLSSTTVCVCARETGHKFAYTSEGLVREDFLEDCIYMTRDPQRQCLLYCKVCPLESAKNDEILEPCKGHLKRAYIKECWSKCACHKRCGNRIVQRGIRCKLQVFFTSEGKGWGLRTLEKLPKGTFVCEYVGEILTNKELHERNIKRRRGINNERHTYPVLLDAYWCLKGALKEEEALCLDPTFYGNVARFINHRCLDANLIEIPVKVETPDHHYYHLAFFTAREVNALEELTWDYGIDFDDNDNPMEVFRCLCGSKFCRNMKRPNRSKSSLR >Manes.12G036100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3159973:3167502:-1 gene:Manes.12G036100.v8.1 transcript:Manes.12G036100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVLKAFRAMKAIGINEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDDDDSKVSEEKENANGEEFADEAEVHDEPERPLKRLRLRSQEGQPSSSLNISNSMGDGTSLRKPKLEDEEIPDKNSLQRSPDMMKLQSGPVSTQSHTRNMGKQPASPIHFGAQGSPNPSMDRSLPSDLQSPQVWHSYKGKEPLLLQVAPTEKRPIVDRPCHAVRFKDTESVPIPKQKVHDSHALIKPKDEPYTDDFPPDDLPRYEVPIAVIRPAFPDSLSKGDNSIRSSLKGKRNDQEPLASNFVAEEDRGDSIPASSNNARTNSELAAVLEESPANLEIASSSLGEVKISLSCNSMLGRPNFHMPSQDELLKSMQEKCLRSYKIIDPNFSVLKMLKDMCECFLELATDSSHETQERLMNVTTSVDALKKSPVYSALGVGGSNCIPLNISNSSIDIHCFSQFGRPQFPGQIQPLSVDAHCLNVSVESNGGVELRGPESHQLVVVPQHQLTPEELRLLYDFNDITKGEEIVEISWLNEINNECPPHFVYIPHNLVYQNAYLRFTLSQIKVEDCCSSCIGDCLSSTTVCVCARETGHKFAYTSEGLVREDFLEDCIYMTRDPQRQCLLYCKVCPLESAKNDEILEPCKGHLKRAYIKECWSKCACHKRCGNRIVQRGIRCKLQVFFTSEGKGWGLRTLEKLPKGTFVCEYVGEILTNKELHERNIKRRRGINNERHTYPVLLDAYWCLKGALKEEEALCLDPTFYGNVARFINHRCLDANLIEIPVKVETPDHHYYHLAFFTAREVNALEELTWDYGIDFDDNDNPMEVFRCLCGSKFCRNMKRPNRSKSSLR >Manes.12G036100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3159867:3167489:-1 gene:Manes.12G036100.v8.1 transcript:Manes.12G036100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVLKAFRAMKAIGINEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDDDDSKVSEEKENANGEEFADEAEVHDEPERPLKRLRLRSQEGQPSSSLNISNSMGDGTSLRKPKLEDEEIPDKNSLQRSPDMMKLQSGPVSTQSHTRNMGKQPASPIHFGAQGSPNPSMDRSLPSDLQSPQVWHSYKGKEPLLLQVAPTEKRPIVDRPCHAVRFKDTESVPIPKQKVHDSHALIKPKDEPYTDDFPPDDLPRYEVPIAVIRPAFPDSLSKGDNSIRSSLKGKRNDQEPLASNFVAEEDRGDSIPASSNNARTNSELAAVLEESPANLEIASSSLGEVKISLSCNSMLGRPNFHMPSQDELLKSMQEKCLRSYKIIDPNFSVLKMLKDMCECFLELATDSSHETQERLMNVTTSVDALKKSPVYSALGVGGSNCIPLNISNSSIDIHCFSQFGRPQFPGQIQPLSVDAHCLNVSVESNGGVELRGPESHQLVVVPQHQLTPEELRLLYDFNDITKGEEIVEISWLNEINNECPPHFVYIPHNLVYQNAYLRFTLSQIKVEDCCSSCIGDCLSSTTVCVCARETGHKFAYTSEGLVREDFLEDCIYMTRDPQRQCLLYCKVCPLESAKNDEILEPCKGHLKRAYIKECWSKCACHKRCGNRIVQRGIRCKLQVFFTSEGKGWGLRTLEKLPKGTFVCEYVGEILTNKELHERNIKRRRGINNERHTYPVLLDAYWCLKGALKEEEALCLDPTFYGNVARFINHRCLDANLIEIPVKVETPDHHYYHLAFFTAREVNALEELTWDYGIDFDDNDNPMEVFRCLCGSKFCRNMKRPNRSKSSLR >Manes.12G036100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3159975:3167432:-1 gene:Manes.12G036100.v8.1 transcript:Manes.12G036100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVLKAFRAMKAIGINEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDDDDSKVSEEKENANGEEFADEAEVHDEPERPLKRLRLRSQEGQPSSSLNISNSMGDGTSLRKPKLEDEEIPDKNSLQRSPDMMKLQSGPVSTQSHTRNMGKQPASPIHFGAQGSPNPSMDRSLPSDLQSPQVWHSYKGKEPLLLQVAPTEKRPIVDRPCHAVRFKDTESVPIPKQKVHDSHALIKPKDEPYTDDFPPDDLPRYEVPIAVIRPAFPDSLSKGDNSIRSSLKGKRNDQEPLASNFVAEEDRGDSIPASSNNARTNSELAAVLEESPANLEIASSSLGEERLMNVTTSVDALKKSPVYSALGVGGSNCIPLNISNSSIDIHCFSQFGRPQFPGQIQPLSVDAHCLNVSVESNGGVELRGPESHQLVVVPQHQLTPEELRLLYDFNDITKGEEIVEISWLNEINNECPPHFVYIPHNLVYQNAYLRFTLSQIKVEDCCSSCIGDCLSSTTVCVCARETGHKFAYTSEGLVREDFLEDCIYMTRDPQRQCLLYCKVCPLESAKNDEILEPCKGHLKRAYIKECWSKCACHKRCGNRIVQRGIRCKLQVFFTSEGKGWGLRTLEKLPKGTFVCEYVGEILTNKELHERNIKRRRGINNERHTYPVLLDAYWCLKGALKEEEALCLDPTFYGNVARFINHRCLDANLIEIPVKVETPDHHYYHLAFFTAREVNALEELTWDYGIDFDDNDNPMEVFRCLCGSKFCRNMKRPNRSKSSLR >Manes.12G036100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3159972:3167503:-1 gene:Manes.12G036100.v8.1 transcript:Manes.12G036100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPRVLKAFRAMKAIGINEDKVKPVLKKLLKLYDKNWELIEEENYRVLADAIFDDDDSKVSEEKENANGEEFADEAEVHDEPERPLKRLRLRSQEGQPSSSLNISNSMGDGTSLRKPKLEDEEIPDKNSLQRSPDMMKLQSGPVSTQSHTRNMGKQPASPIHFGAQGSPNPSMDRSLPSDLQSPQVWHSYKGKEPLLLQVAPTEKRPIVDRPCHAVRFKDTESVPIPKQKVHDSHALIKPKDEPYTDDFPPDDLPRYEVPIAVIRPAFPDSLSKGDNSIRSSLKGKRNDQEPLASNFVAEEDRGDSIPASSNNARTNSELAAVLEESPANLEIASSSLGEVKISLSCNSMLGRPNFHMPSQDELLKSMQEKCLRSYKIIDPNFSVLKMLKDMCECFLELATDSSHETQERLMNVTTSVDALKKSPVYSALGVGGSNCIPLNISNSSIDIHCFSQFGRPQFPGQIQPLSVDAHCLNVSVESNGGVELRGPESHQLVVVPQHQLTPEELRLLYDFNDITKGEEIVEISWLNEINNECPPHFVYIPHNLVYQNAYLRFTLSQIKVEDCCSSCIGDCLSSTTVCVCARETGHKFAYTSEGLVREDFLEDCIYMTRDPQRQCLLYCKVCPLESAKNDEILEPCKGHLKRAYIKECWSKCACHKRCGNRIVQRGIRCKLQVFFTSEGKGWGLRTLEKLPKGTFVCEYVGEILTNKELHERNIKRRRGINNERHTYPVLLDAYWCLKGALKEEEALCLDPTFYGNVARFINHRCLDANLIEIPVKVETPDHHYYHLAFFTAREVNALEELTWDYGIDFDDNDNPMEVFRCLCGSKFCRNMKRPNRSKSSLR >Manes.04G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28712641:28722659:1 gene:Manes.04G085500.v8.1 transcript:Manes.04G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTGGCCPPMDLFRSEAMQLVQLIIPIESAHLTVSYLGDLGLLQFKDLNAEKSPFQRTYAAQIKKCGEMARKLRFFKEQMEKAGVSPSSKPLTQTDIDMDGLELKLGELESELVEMNANNDKLQRAYNELIEYKLVLLKAGEFFSSALSSATAQQRELESRQVGEESLDTPLLADQEISTDSSKQVKLGFLSGLVPKEKSLAFERIIFRATRGNVFIRQAAVEEPVKDPVSGEKTEKNVFVVFYSGERAKTKILKICEAFGANRYPFTEDFGKQHQMIDEVSGRVSELKTTIDAGLAHRSNLLHTIGDQFVQWNSLVRKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFGSKQIQEALQRAAFDSNSQVGAIFQVLHTRESPPTYFRTNKFTTAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLVFIIREKKLSGQKLGDITEMTFGGRYVILMMALFSIYTGLIYNEFFSVPFALFGRSAYACRDLSCRDATTEGLIKVGPAYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAVYFRNGLNIWFQFIPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDELGENQLFVGQKIVQQVLLLLALVSVPWMLLPKPFLLKKQHQDRHQGQSYAPLQSTEESLQVEVNHDAHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGFNNIAILIVGIIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLSDEDE >Manes.01G066900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26770292:26772752:1 gene:Manes.01G066900.v8.1 transcript:Manes.01G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESMKSKMKWVFNAANKSTLNGRCNGNINVEDLEIRPGGMLVQKRISNSNQNSVPIPSIKIRIKYGSLNYQICINSQASFGELKKVLAEQTGVHPQDQKLIYKKKERDSKAFLDIAGVKDGSKLMLIEDITSRERRSLEMLKSAKKEKASKHLQQIILEVDKFHTKVKALESTGSRGEKVAELDVDNLIDVLMTILVSLDGIAAEGDLKLQKGMQERRVQKYIETLDMLKLKLQLLNSIDNRIEEKISKQEEENSTGIKPKPMQKPVQQQILMHSESFVITTNWETFD >Manes.12G106900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:26301632:26302834:-1 gene:Manes.12G106900.v8.1 transcript:Manes.12G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLNPAHIAGLRRLSARAAAPSTATSLPVRNGLVSFSSLADKVITHLRSSGIQVQPGLSDSEFARAEAEFGFAFPPDLRALLSAGLPVGPGFPDWRSAGARLHLRASLDIPIAAISFQIARNTFWSKSWGLRPSDPEKALKVARNALKRAPLLIPIFNHCYIPCHPSLAGNPIFYVDENRIFCCGLDLSDFFDRESLFRSSMSDPILLKKQRSVSEKSAGTSSNYSRRSLDTGLTNGARTPRWVEFWSDAAMDRRRRNSASSSSESSSPERFFDIPRSEMPKWVEKYIGKIGSVLREGGWKETEISEIVHVSASGFFEAEMVLLDNQAVMDALLLKADRFSDLLRKAGWSSEEVSDALGFDFRPEKERKPAKKLSPVLVEKIGKLAESVSRS >Manes.12G050000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4695668:4699955:-1 gene:Manes.12G050000.v8.1 transcript:Manes.12G050000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLMHAVQYDSYGGEAAGLKHVEVPVPTPNKDEVLIKLEATTLNPVDWKIQKGMLRPLLPRKFPHIPCTDVAGEVVEVGSGVKNFRTGDKVVAMLSHATGGGLAEFAVAKESLTVARPPEVSAAEAAGLLVAGLTAHQALTQSAGIKLDGSGEQANILITAASGGVGHYAVQLAKLGNTHVTATCGARNMEFVKSLGADEVLDYKTPEGAALKSPSGRKYDAVIHCATTIPWSTFEPNLSENGKVIDITPGVNALMTFALKKLTFSKKQLVPLLMIAKAENLDYLVKLVKEGKLKTVIDSKHPLSKAEDAWAKSIGGHATGKVIVEP >Manes.12G050000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4694547:4699955:-1 gene:Manes.12G050000.v8.1 transcript:Manes.12G050000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLMHAVQYDSYGGEAAGLKHVEVPVPTPNKDEVLIKLEATTLNPVDWKIQKGMLRPLLPRKFPHIPCTDVAGEVVEVGSGVKNFRTGDKVVAMLSHATGGGLAEFAVAKESLTVARPPEVSAAEAAGLLVAGLTAHQALTQSAGIKLDGSGEQANILITAASGGVGHYAVQLAKLGNTHVTATCGARNMEFVKSLGADEVLDYKTPEGAALKSPSGRKYDAVIHCATTIPWSTFEPNLSENGKVIDITPGVNALMTFALKKLTFSKKQLVPLLMIAKAENLDYLVKLVKEGKLKTVIDSKHPLSKAEDAWAKSIGGHATGKVIVEP >Manes.06G127200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25713921:25718259:1 gene:Manes.06G127200.v8.1 transcript:Manes.06G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNTEGTGKMDIWKWVFVGILCYAAFASTVGAVKLSRSQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRIMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYLEVKAIERGILHLLQRATLVQTFGQRNHVCLKEEGSITVPPYAPPQKMQAHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDRSIYLKPGEKVLNWTAGPVGDLKPW >Manes.15G130300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10413343:10414753:1 gene:Manes.15G130300.v8.1 transcript:Manes.15G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFGKLEADVEVAAPADQFHDVFSCRPHHVSIMTPEKIHGVDLHDGDWGKEGTVICWKYFHDGSPKIAKEIIEAIDDVNLSTTFKVVEGDLLNDYKNFKLIVQATPKENGSLVHWTLEYEKIHENTQDPHSLLEFVVHCSKDISAHLIQVQGN >Manes.03G131850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25847718:25848185:1 gene:Manes.03G131850.v8.1 transcript:Manes.03G131850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNKLLLALVFGALVCTTSARKLLSEKGSFEDEKNLFHHGGGLGGGAGGGGGLGGGGGLGGGAGFGGGAGGGAGGGLGGGAGGGGGFGGGGGGGLGGGAGFGGGFGGGAGGGLGGGAGGGGGFGGGGGGGLGGGAGGGFGAGGGAGGGLGGGLP >Manes.05G000700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:116020:119122:1 gene:Manes.05G000700.v8.1 transcript:Manes.05G000700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFNAKFRFQYEKDVLKNRHKTLRNLFKAVKKLLDQKGFSWDENRQMVTADNNVWDEYIKIHPDARAYRIKTIPYYKDLCLIYGDANIEEKGDNLPVLLPDSAGDETISTSQVDRVCEGALVTFQEITVDEDYRISVTNVVIDDSASKELLDNVHQAAGSGTGSNRTRTYWQPPMDRYFIDLMLEHMRKGNQIDGVFRKQAWMDMITSFNVKFGFNYDVDVLKNRYKTLRRQYNVIKNLLELPGFAWDDTRQMVTADDYVWQDYIKTHTDARQFMTRPVPYYKDLCMICSDQNFDESDCFSAQCLELQNDLPEFKFPGASQSSQSPAASVSMEEIGNMHTSPGTIVFDQMKKRQSESQANSAHSKKARGKDEGMASALREMATAVSSLSDKRKDENSNSISIENVIRTVQALPDMDEELILDACDFLEDETKAKTFMALDVKLRKKWLMRKLRPEL >Manes.05G000700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:116020:119122:1 gene:Manes.05G000700.v8.1 transcript:Manes.05G000700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTADNNVWDEYIKIHPDARAYRIKTIPYYKDLCLIYGDANIEEKGDNLPVLLPDSAGDETISTSQVDRVCEGALVTFQEITVDEDYRISVTNVVIDDSASKELLDNVHQAAGSGTGSNRTRTYWQPPMDRYFIDLMLEHMRKGNQIDGVFRKQAWMDMITSFNVKFGFNYDVDVLKNRYKTLRRQYNVIKNLLELPGFAWDDTRQMVTADDYVWQDYIKTHTDARQFMTRPVPYYKDLCMICSDQNFDESDCFSAQCLELQNDLPEFKFPGASQSSQSPAASVSMEEIGNMHTSPGTIVFDQMKKRQSESQANSAHSKKARGKDEGMASALREMATAVSSLSDKRKDENSNSISIENVIRTVQALPDMDEELILDACDFLEDETKAKTFMALDVKLRKKWLMRKLRPEL >Manes.05G000700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:116020:119122:1 gene:Manes.05G000700.v8.1 transcript:Manes.05G000700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGRNGNDRLRTIWTPEMDRFFIALLLEQVSKGNKFDDHLFSKRAWKHMTSLFNAKFRFQYEKDVLKNRHKTLRNLFKAVKKLLDQKGFSWDENRQMVTADNNVWDEYIKIHPDARAYRIKTIPYYKDLCLIYGDANIEEKGDNLPVLLPDSAGDETISTSQVDRVCEGALVTFQEITVDEDYRISVTNVVIDDSASKELLDNVHQAAGSGTGSNRTRTYWQPPMDRYFIDLMLEHMRKGNQIDGVFRKQAWMDMITSFNVKFGFNYDVDVLKNRYKTLRRQYNVIKNLLELPGFAWDDTRQMVTADDYVWQDYIKTHTDARQFMTRPVPYYKDLCMICSDQNFDESDCFSAQCLELQNDLPEFKFPGASQSSQSPAASVSMEEIGNMHTSPGTIVFDQMKKRQSESQANSAHSKKARGKDEGMASALREMATAVSSLSDKRKDENSNSISIENVIRTVQALPDMDEELILDACDFLEDETKAKTFMALDVKLRKKWLMRKLRPEL >Manes.05G126800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22713163:22716646:1 gene:Manes.05G126800.v8.1 transcript:Manes.05G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEELLFELTGEDRNDQGLPPGFRFHPTDEELITFYLASKVFNGSFCGVEIAEVDLNRCEPWELPDVAKMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSYRHACKEEWVICRIFHKTVEKKNGLVHGQGFLLEAASPPISSSLPSLLEAPSSMLECLAQAPTEALQNPFLIHHRESDLKSLLVSQPHVLSGNGLFQPSFTPAPITINTRETNKSIDTNTNNNPSPSMLVKSLLSHQDCTLKEHSTIPKQCKTEPHFSHFQLPDANLNWEDKMHPSPYQYPLSFEMDCSALGILAAAADSGITTHETSTSIVFNRAGFQMMLDTPIRLPAESWPLDT >Manes.06G073100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20908429:20912306:1 gene:Manes.06G073100.v8.1 transcript:Manes.06G073100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTCLVIQTQDPSADYFLNCKIGTSPVICNDNLSAVRLETTKMLLEPLNRLKQADAHLKMTQGVLEGVVGEELGVLPAMDSIFSALVLERLVRFLTNVAQKKKEDKFDIIVYDGISSDETLRMIGAASKARLYLKYLRNLAEKTDLGRLVGPSLLRIVDEAMNLGGRGYSNGIASAEIWDNLERMLERGSSAFSDPCKFGCFLVMDPSNPTSVNSALRYWGCTIQAGAQVSGAFGISSPYLNEESIEQIKNKFSPLHFAFIPQFPMGYPLDWNSIMLNTVGHDSRAHFSLPARHSDSFKSNVKFDAAKKSITLFMPGFDKTEIKLYQYRGGSELLVEAGDQRRVISLPPRIQGKVGGAKFIDRSLVITMR >Manes.06G073100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20908429:20912307:1 gene:Manes.06G073100.v8.1 transcript:Manes.06G073100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPLNRLKQADAHLKMTQGVLEGVVGEELGVLPAMDSIFSALVLERLVRFLTNVAQKKKEDKFDIIVYDGISSDETLRMIGAASKARLYLKYLRNLAEKTDLGRLVGPSLLRIVDEAMNLGGRGYSNGIASAEIWDNLERMLERGSSAFSDPCKFGCFLVMDPSNPTSVNSALRYWGCTIQAGAQVSGAFGISSPYLNEESIEQIKNKFSPLHFAFIPQFPMGYPLDWNSIMLNTVGHDSRAHFSLPARHSDSFKSNVKFDAAKKSITLFMPGFDKTEIKLYQYRGGSELLVEAGDQRRVISLPPRIQGKVGGAKFIDRSLVITMR >Manes.06G073100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20908429:20912306:1 gene:Manes.06G073100.v8.1 transcript:Manes.06G073100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPLNRLKQADAHLKMTQGVLEGVVGEELGVLPAMDSIFSALVLERLVRFLTNVAQKKKEDKFDIIVYDGISSDETLRMIGAASKARLYLKYLRNLAEKTDLGRLVGPSLLRIVDEAMNLGGRGYSNGIASAEIWDNLERMLERGSSAFSDPCKFGCFLVMDPSNPTSVNSALRYWGCTIQAGAQVSGAFGISSPYLNEESIEQIKNKFSPLHFAFIPQFPMGYPLDWNSIMLNTVGHDSRAHFSLPARHSDSFKSNVKFDAAKKSITLFMPGFDKTEIKLYQYRGGSELLVEAGDQRRVISLPPRIQGKVGGAKFIDRSLVITMR >Manes.06G073100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20908429:20911005:1 gene:Manes.06G073100.v8.1 transcript:Manes.06G073100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFFSPLLLANPDSDFTFLKRKVNVHYKVKATSALPITAAAASSSSSTSNNKENSSSKSTKLITFLGKGGSGKTISSIFAAQHYAMAGLSTCLVIQTQDPSADYFLNCKIGTSPVICNDNLSAVRLETTKMLLEPLNRLKQADAHLKMTQGVLEGVVGEELGVLPAMDSIFSALVLERLVRFLTNVAQKKKEDKFDIIVYDGISSDETLRMIGAASKARLYLKYLRNLAEKTDLGRLVGPSLLRIVDEAMNLGGRGYSNGIASAEIWDNLERMLERGSSAFSDPCKFGCFLVMDPSNPTSVNSALRYWGCTIQAGAQVSGAFGISSPYLNEESIEQIKNKFSPLHFAFIPQFPMGYPLDWNSIMLNTVGHDSRAHFSLPARHSDSFKSNVKFDAAKKSITLFMPGFDKTEIKLYQVCAIASFLSLFYSLGGFAVAFRQVFKGVVSLENAFPRRQN >Manes.06G073100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20908429:20912306:1 gene:Manes.06G073100.v8.1 transcript:Manes.06G073100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFFSPLLLANPDSDFTFLKRKVNVHYKVKATSALPITAAAASSSSSTSNNKENSSSKSTKLITFLGKGGSGKTISSIFAAQHYAMAGLSTCLVIQTQDPSADYFLNCKIGTSPVICNDNLSAVRLETTKMLLEPLNRLKQADAHLKMTQGVLEGVVGEELGVLPAMDSIFSALVLERLVRFLTNVAQKKKEDKFDIIVYDGISSDETLRMIGAASKARLYLKYLRNLAEKTDLGRLVGPSLLRIVDEAMNLGGRGYSNGIASAEIWDNLERMLERGSSAFSDPCKFGCFLVMDPSNPTSVNSALRYWGCTIQAGAQVSGAFGISSPYLNEESIEQIKNKFSPLHFAFIPQFPMGYPLDWNSIMLNTVGHDSRAHFSLPARHSDSFKSNVKFDAAKKSITLFMPGFDKTEIKLYQYRGGSELLVEAGDQRRVISLPPRIQGKVGGAKFIDRSLVITMR >Manes.09G081684.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20750936:20756016:1 gene:Manes.09G081684.v8.1 transcript:Manes.09G081684.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGVSPGNVPVYHGTNLKVIDRRVRLAELVLRCLICGLGVAAAILIGTDTQVKEIFTIQKKARFTDMKALVFLVIANGIAAAYSLLQGVRCVVGIVRGSVLFSKPLAWLIFSGDQVMAYVTVAAVAAAAQSSVFAKLGQPELQWMKVCNMYGKFCNQVGEGIASSLLVSVSMVALSCLSAFSLFRLYGANKGKGSTWW >Manes.09G081684.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20750936:20756242:1 gene:Manes.09G081684.v8.1 transcript:Manes.09G081684.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGVSPGNVPVYHGTNLKVIDRRVRLAELVLRCLICGLGVAAAILIGTDTQVKEIFTIQKKARFTDMKALVFLVIANGIAAAYSLLQGVRCVVGIVRGSVLFSKPLAWLIFSGDQVMAYVTVAAVAAAAQSSVFAKLGQPELQWMKVCNMYGKFCNQVGEGIASSLLVSVSMVALSCLSAFSLFRLYGANKGKGSTWW >Manes.13G001800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:748214:752718:-1 gene:Manes.13G001800.v8.1 transcript:Manes.13G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNWLGFSLSPQQLPSQSDHHQNHSQNTSSRLGFHSDEISETDVSGECFDLTSNSTLPSLNLPATFGILEAFRNDQSQDWNMKGLGMNQDTTYKTNSDHLSVLMGTSCSSQNLDQNQEPKLENFLGGHSFANHEHKLPAGCNTMHMFQNCSSQQATPHDGTSIFGEDNNSINTGSNSSSIGLSMIKTWLRNQPAPTQQHEHKNNGGGAQTLSLSMSTGSQSGSPLPLLGTNTGETNNNGGDNHSSSDNNKQKIATGIDSQTGALESVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESTTLPIGGAAKRLKDAEQAERKIDSHRAEHENMSSQFSDGISSYGTAHHPWSTVAFQQSHHQPFSLHYPYGQRLWCKQEQDQLQVGNTHNFFQPSILHNLMSMDSSSMEHSSGSNSVIYSSGGADGNNGVNGSYHGMGSRAIPMATTVVANDDGEQNQGNGYEDGEVKVVGYENMLGSSDPFHGRSLYYLSQQSAAEGGGVVKGIISAYDDEGSACNNWVPTAVPTVGTRSSNMAVCHGASTFAVWNHT >Manes.18G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1262797:1266658:1 gene:Manes.18G010400.v8.1 transcript:Manes.18G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEAICGICQESFSTTITALTEPIDIYSEWIDECERVNSLEDDGAYRAD >Manes.10G132300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29764111:29768631:-1 gene:Manes.10G132300.v8.1 transcript:Manes.10G132300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSSGRRRIASRQCRPAPYQLPSCNQDVLEDLYLKKSSKVLDKKEWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCATSFRYSNCLDQYRKAYTKVISSSGTAENPILLSDSGWPVDKCEVTALACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFIGTYKELRKHVRTAHPSARPREVDPIHEQKWSRLEREREHDDVISTIRSTMPGAMVFGDYVIEGNNYGFDTDEENRSFDADAAEGNGGFEVGFDRNLVNVFLLLHAFGPSGNGLNRRLRQSERPNHRTMDESATGIHHISPVGGSNSFDDNDNDIDDGNGDGGLSLVSRLRRHGRVLLGRSGRRRRHREASGGQR >Manes.10G132300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29764111:29768631:-1 gene:Manes.10G132300.v8.1 transcript:Manes.10G132300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSSGRRRIASRQCRPAPYQLPSCNQDVLEDLYLKKSSKVLDKKEWEDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCATSFRYSNCLDQYRKAYTKVISSSGTAENPILLSDSGWPVDKCEVTALACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFIGTYKELRKHVRTAHPSARPREVDPIHEQKWSRLEREREHDDVISTIRSTMPGAMVFGDYVIEGNNYGFDTDEENRSFDADAAEGNGGFEVGFDRNLVNVFLLLHAFGPSGNGLNRRLRQSERPNHRTMDESATGIHHISPVGGSNSFDDNDNDIDDGNGDGGLSLVSRLRRHGRVLLGRSGRRRRHREASGGQR >Manes.17G090300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29830381:29834324:-1 gene:Manes.17G090300.v8.1 transcript:Manes.17G090300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVQLFHNLVLAFLLFTVIASLSVAEQGVGTSIKTDAAGLLMFKKMIQKDPNGVLSGWQLDRSPCAWYGVACSQGRVTQLDLNGANLVGVIAFDPLASLDMLYALKLSSNLFTVNSTSLLRLPYALQFLELSSAGLVGVVPDKFFSQNPNLVYVNLSHNNLTGFLPGDLLSNSEKLQSLDLSYNNFTGSISGLKIEKSCNSLLQLDLSGNHLVDSIPPSLSNCTNLKTLNFSFNMLTGEIPRSFGELSGLQRLDLSHNHLTGWIPSELANACSSLLELKISFNNFSGSIPVSFSSCSLLQFLDLSNNNISGPFPDSILQGLNSLERLLLSFNLISGSFPASISYCKNLRIVDFSSNKFSGIIPPDICPGAASLEELRMPDNLITGEIPAQLSQCSKLKALDFSINYLNGSIPPELGNLENLEQLIAWFNGLEGRIPPELGKCRNLKDLILNNNHLSGEIPVELFSCSNLEWISLTSNRITGKIPQEFGLLSRLAVLQLGNNTLSGEIPTELGNCSSLVWLDLGSNRLTGEIPPRLGRQLGGKALSGIPSGNTLVFVRNVGNSCQGVGGLLEFAGIRPERFMQVPTLKTCDFARLYTGPVLSLFTQYQTLEYLDLSYNELRGKIPDEMGEMMALQVLVLSHNQLSGEIPTSLGQLKNLGVFDASHNRLQGEIPDSFSNLSFLVQIDLSYNELTGEIPQRGQLSTLPATQYSHNPGLCGVPLPDCHGGNGLATSTPAIDGGRGGQKPAAAASANRIVLGILISVASLCILIVWAVAMRVKHKEAKEVKMLNSLQASYAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSQASLIGYGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEFMEYGSLDETLHGKLRTRDRRILTWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHELEARVSDFGMARLINALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLEILTGKRPTDREDFGDSNLVGWVKMKVSEGKQMEVIDSELLSVTKGTDEAEAEEVKEMVRYLEISLQCVDDFPSKRPNMLQVVALLRELMPGSANGSSNSG >Manes.17G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29830391:29834312:-1 gene:Manes.17G090300.v8.1 transcript:Manes.17G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCLDGSLIGVPVPGVVPDKFFSQNPNLVYVNLSHNNLTGFLPGDLLSNSEKLQSLDLSYNNFTGSISGLKIEKSCNSLLQLDLSGNHLVDSIPPSLSNCTNLKTLNFSFNMLTGEIPRSFGELSGLQRLDLSHNHLTGWIPSELANACSSLLELKISFNNFSGSIPVSFSSCSLLQFLDLSNNNISGPFPDSILQGLNSLERLLLSFNLISGSFPASISYCKNLRIVDFSSNKFSGIIPPDICPGAASLEELRMPDNLITGEIPAQLSQCSKLKALDFSINYLNGSIPPELGNLENLEQLIAWFNGLEGRIPPELGKCRNLKDLILNNNHLSGEIPVELFSCSNLEWISLTSNRITGKIPQEFGLLSRLAVLQLGNNTLSGEIPTELGNCSSLVWLDLGSNRLTGEIPPRLGRQLGGKALSGIPSGNTLVFVRNVGNSCQGVGGLLEFAGIRPERFMQVPTLKTCDFARLYTGPVLSLFTQYQTLEYLDLSYNELRGKIPDEMGEMMALQVLVLSHNQLSGEIPTSLGQLKNLGVFDASHNRLQGEIPDSFSNLSFLVQIDLSYNELTGEIPQRGQLSTLPATQYSHNPGLCGVPLPDCHGGNGLATSTPAIDGGRGGQKPAAAASANRIVLGILISVASLCILIVWAVAMRVKHKEAKEVKMLNSLQASYAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSQASLIGYGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKVGEERLLVYEFMEYGSLDETLHGKLRTRDRRILTWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHELEARVSDFGMARLINALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLEILTGKRPTDREDFGDSNLVGWVKMKVSEGKQMEVIDSELLSVTKGTDEAEAEEVKEMVRYLEISLQCVDDFPSKRPNMLQVVALLRELMPGSANGSSNSG >Manes.09G128500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33103809:33107787:-1 gene:Manes.09G128500.v8.1 transcript:Manes.09G128500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEKVKDKEKEQLCKRCKQSFTSSSNTSSACRFHPSFFVCRRHDDQKRYYELGPDDPLYAAKFYDCCGAEHPEAPGCTTSFHISYDD >Manes.09G128500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33105410:33107464:-1 gene:Manes.09G128500.v8.1 transcript:Manes.09G128500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEKVKDKEKEQLCKRCKQSFTSSSNTSSACRFHPSFFVCRRHDDQKRYYELGPDDPLYAAKFYDCCGAEHPEAPGCTTSFHISYDD >Manes.09G128500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33103809:33107787:-1 gene:Manes.09G128500.v8.1 transcript:Manes.09G128500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEKVKDKEKEQLCKRCKQSFTSSSNTSSACRFHPSFFVCRRHDDQKRYYELGPDDPLYAAKFYDCCGAEHPEAPGCTTSFHISYDD >Manes.09G128500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33105222:33107787:-1 gene:Manes.09G128500.v8.1 transcript:Manes.09G128500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEKVKDKEKEQLCKRCKQSFTSSSNTSSACRFHPSFFVCRRHDDQKRYYELGPDDPLYAAKFYDCCGAEHPEAPGCTTSFHISYDD >Manes.18G048400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4193028:4196789:1 gene:Manes.18G048400.v8.1 transcript:Manes.18G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYLPNSYGREGNFKLDVVASGGQVLDLETAVKDGILGGSGGGGLISTAGAEKLDLKVMIEELESVEVPSVFICPISLYPMQDPVTLCTGQTYERSNILKWFSLGHYTCPTTMQELWDDTVTPNRTLQQLIYSWFSQKYLAMKKRSEDVQGRAIELLESLKKVKGQARVQALKELRHVVTAHATAKKTVLDNGGVALVSNLLGPFTTHAVGSEAIGILVNLDLDFASKSNLMQPAKVSLMVDILNEGSIETKINCTRLIEMLIEGKDFESENMSSLSLVVGLLRLVKDKRHTNGVLAGLGLLKTLCSHEPLRNSIVSVGAVPQLVELLPSLNNECLELALHILEVLSTIPEGSNALKDCSCTIPNVVKLLMKVSESCTQLALSILWAVCKLAPEECSALAVEAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYSSSIFISKCKLTRTIQ >Manes.13G127700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33513842:33515071:-1 gene:Manes.13G127700.v8.1 transcript:Manes.13G127700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNYSFLSFHGLSRKPSSLKPVQSRIHKEKQTSPKSFQPNQEEMKWVFSKFDTNKDGKISRQEYKSALRSLGKGMNEEEMAKSFEATDIDGDGFIDFEEFMVMMNNMGDGVKASEIQSAFQAFDLDGNGKISAEELMQVLKKMGERSSLDACRKMIKGVDKDGDGLVDIDEFMNMMTCTMKSS >Manes.14G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3533348:3535320:-1 gene:Manes.14G041600.v8.1 transcript:Manes.14G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKFPIFLHLRNKQHLPKIYQREMDKKQLNFISFSQYIVFFIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFAGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.11G024650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2491031:2493152:1 gene:Manes.11G024650.v8.1 transcript:Manes.11G024650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALYRYYRHKLKKKYFDSKATYSLRLRNKPKDMDVKDWKYLVNLWTENAFQERSNKNKTNRCKRSMPPYTGTKSFARLRDHMEKFQQLKKQREEGQISLDDDAMFVDVLGPEKNGYVRAYGLGKNVTEYFGARPTKIELLRQLDTSRREANERVQQIQEEASEQVNDVKKQMDEKLAEMNRIWEHKFKMLLEKNNNIASVSD >Manes.12G158000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36576503:36583428:1 gene:Manes.12G158000.v8.1 transcript:Manes.12G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEPFNRLVKLAARAFYDDVTTKGENQPKMGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHSKQLRRTLRFFEEEKLIARDNRKETAKGAKIYSAAVAATAEGQQGRKEGDEKIKLHTHSYCCLDYAQIYDVVRYRLQRLRKKLKDELESRNTVQEYICPDCGRKYNALDALRLISPDDECFHCESCNGELVAESDKLAAQEVGDADDNAKRRRHEKLKDVLQKMEVQLKPLTDQLSRVKDLAIPEFGTLQAWEARASAAGRAANDGSSSNDPSKSSQGYGGTAMPFFGQTKVEVAFSGVECKEEDVKPETGSSGLKVLPPWMIKQGMNLTKEQRGEVKQEPKMDGSLAAESQFSDDKKSINDNDDNKSLQDEYVKAYYAALLKKQEEIEAAKKQESTDASISNGISESLSNRQVGMKFKREEDEPEDDVDWEEAPTGGSTSESYKVNDLNAEAEAEAEAEASGEDEEDIDWEEG >Manes.07G065201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11719433:11720299:1 gene:Manes.07G065201.v8.1 transcript:Manes.07G065201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNNQVAITISQNLVLHGKTKYFNIKLFFLRWVQKDGDMIFLYCKTEEQMVDIFTKALPISKFEFLRQKLRV >Manes.18G104116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10002605:10002928:1 gene:Manes.18G104116.v8.1 transcript:Manes.18G104116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSFLAGLPPEFETAKSQVLSDSEISSLHDVFTRILRTESPIPSHPTSALVSRNDSGKQNNRGGQRGGFNGGKRSQHFGETGSTSDSGGIICYYCSEPGHTKKTC >Manes.16G011600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1160268:1162383:-1 gene:Manes.16G011600.v8.1 transcript:Manes.16G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHKAYSTATSNLNWRTQINQIQMVSQISSILIQRHNWVSLLLNLNLSSKLTPFLFLQILYNTQSHPQISVNFFNWAVSNLKFKPDLISQCHVIQVSLRAGLTQSAKTILDSLVQAHSSEMLVETMVRACKGKSSQSDVLSFVLECYSRKGLFMEALEVYRKMKVIGCTPSVHACNTLLDVLQRGNKTKLAWCFYGSMLRIGILPDKFTCSLVAHTLRLDGKFERIVKLLDMGICNSVMYNSVVDYYGRIGDLEAAFCRINEMHNRKLDTSFSTYSSILDGACKCRKVEVIERVMSIMMEKGLLPKPLLSECGSTIQKLCHLGKVNAATMFFKKACDEKILLWDATYGCMLKAFSKQKRVEEAISLYRVIIEKGITIKNSAYHAFVDLLCEEEQLEDRYGILREIMRRGFSPCTSNLCKFISSLCAERKWQEVEELLNVILENGLLPDSLSCCSLVEHYCSIRQIDKVIALHGKLEKSGASLDLTTYNVVLDGLVKEGRIEDSIRVFDYMKGLKLVNSASFTVMIRALCRAKELRKAMKLHDEMLNMELKPDKATYKRLILEFKQ >Manes.10G132600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29780738:29789859:-1 gene:Manes.10G132600.v8.1 transcript:Manes.10G132600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHERSKPKLDRRNAVKNIEYDASSSSSVSSSPPSAAAVASFYIDESPTPRTRSLDLDPKTSFRVKGIDGEFDLICQTLGFSGPEDFAIPTAVWEAQKARRSSFDSGLRLRNSAGPDLLSAQFKASVKIAKANGRFKGENEGFESKIVSDLLRFGSGARISDEGNLFHEEATGNDIGNFSKREGEFSQLRNGSNLAEFGSGGGIGRHRISVRTIRDSGNGMGIKGERPPVLAPPPAMLGPPMIDSVSSTWDILKSFAPHAVEDLDSSIRAGDASSSDSEEGDRVSEENEEAEEDDNDANEERQLNLERIPENEVLSEVCSPPPNNDDDYNSAGDDHGDVTSFTVMEPEYCISPNVKFRRSISSWQKGELLGSGSFGTVYEGFTDDGLFFAVKEVSLLDQGSQGKQSILQLEQEISLLRKFEHENIIRYLGTDKDEAKLYIFLELATKGSLAKLYQKYRLRDSQVSAYTRQILSGLRYLHDQDVVHRDIKCANILVDANGSVKLADFGLAKATKLNDIKSSKGTAYWMAPEVVNLRNRGYGLAADIWSLGCTVLEMLTGQPPYSHLEGMQALFRIGRGEPPPVPKSLSEDAQDFIFKCLQVNSNDRPTATQLLSHPFVKRPHQTFLSPYSPRYSS >Manes.10G132600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29780738:29789860:-1 gene:Manes.10G132600.v8.1 transcript:Manes.10G132600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHERSKPKLDRRNAVKNIEYDASSSSSVSSSPPSAAAVASFYIDESPTPRTRSLDLDPKTSFRVKGIDGEFDLICQTLGFSGPEDFAIPTAVWEAQKARRSSFDSGLRLRNSAGPDLLSAQFKASVKIAKANGRFKGENEGFESKIVSDLLRFGSGARISDEGNLFHEEATGNDIGNFSKREGEFSQLRNGSNLAEFGSGGGIGRHRISVRTIRDSGNGMGIKGERPPVLAPPPAMLGPPMIDSVSSTWDILKSFAPHAVEDLDSSIRAGDASSSDSEEGDRVSEENEEAEEDDNDANEERQLNLERIPENEVLSEVCSPPPNNDDDYNSAGDDHGDVTSFTVMEPEYCISPNVKFRRSISSWQKGELLGSGSFGTVYEGFTDDGLFFAVKEVSLLDQGSQGKQSILQLEQEISLLRKFEHENIIRYLGTDKDEAKLYIFLELATKGSLAKLYQKYRLRDSQVSAYTRQILSGLRYLHDQDVVHRDIKCANILVDANGSVKLADFGLAKATKLNDIKSSKGTAYWMAPEMQALFRIGRGEPPPVPKSLSEDAQDFIFKCLQVNSNDRPTATQLLSHPFVKRPHQTFLSPYSPRYSS >Manes.15G099800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7824069:7826751:1 gene:Manes.15G099800.v8.1 transcript:Manes.15G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSSCLVLLGFLSLVQIHHIVACNDRKSAIGDPGMRREGLRVAIEAWNQCNEVGEEAPNMGSPRLADCFDVDHSKTPVRLMHKVTEQDNRLGVLSGDYGGLTSKKADTYAAEKEIYLGNKCQVQDKTHPWQFWMIMLKSGNMDTTAAKCPENGHKSKPFPPETRFPCFGKGCMNMPYIFHDYTRLQLNRKTLKGSFYGTWDLDSDVRNAAVANGTSYFKVTWIKKHYKEGSWVFHHLLKTSFKYPWLMLYLRSDATRGLSGGYHYPTRGMLKTIPKSPNFKVEFRLEIKQGGGKNSQFYLMDIGSCWKNDGKPCDGDVSTDVTRYSEMIINPETEPWCKPDDIRLCPPYHTLPNGTRIHRSDKDNYPYDAYHLWCAPGNGQFLEEPHNLCDAYSNPQAQEILQILPHPVWGEYGYPTKKGEGWIGDPRTWHLDVGRLSQALYFYQDPGTKPATRHWPSIDLGTEIYISKDEVAEWKVSHFDIILTS >Manes.02G147200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11334274:11337104:1 gene:Manes.02G147200.v8.1 transcript:Manes.02G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSCNAESAIATCDPYNWDRRNKTKLIKIRDFTYPELVKATNGFSAESFLGKGSHGTVYKACLDDGRLIAAVKKTYPNLSIAIHSNCTTCTTPAENEIEILSRVHHQRLVNLIGSGEDSKGRKLLVVEYMPNGSLYSLLHCSSRPPSWTRRVQFALQIAKAVQALHLANPPVIHRDIKSSNVLIDESWNARLGDFGLALRGHVEDVRIKCTPPAGTLGYLDPGYLAPGDLSTKSDVFSFGILLLEVISGRNAIDVNYSPPSIVDWAVPLIKRRDFSAICDDRIGSPVDPGVMDNLAILAARCVRSTAEKRPGMAEIVEGLKIASKRVNAPHIWNRLRRSVGLVEESRTLKTVIDSNEEVINADKTIRGGSRRNRKVSSVSSVGSENEPIQWVRERVIRSKSTGSFGEIKMGRFDSRKKAVKMPVVKLSKSRSMGILHSPQLLRYKNNIGIGLEMKRVIDSREVESSMSKLLIGLDEKSEREMQEKPLVSII >Manes.13G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35578950:35582289:1 gene:Manes.13G142200.v8.1 transcript:Manes.13G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVASLPNAATRDESQEQMESFSNEDFPDLLESIDFNDLFVGIHDGDVLPDLEMDPDILADFSVSGSGEESEMNTSVSNDQKVEINPRKDEEDKVSGSGSGLDSSLSTRSEEIVSKRDESVVVNPVSKENDKGRKSTQAKNNQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAATWSHKRQIYGAAGVGGGKRDMATWHAPTMGFPPITPMHHHHFRPLHVWGHPHPPMEQPLMHIWPKHLAHSPSPPPPTWPPPPDPSYWHHHNQQPVPNGLIPGKPCFPQPLAPARFATPPVTGIPHHAMYKVDPAVAVSTRQSALGPHPLLDLHPSKESIDAAIGDVLSKPWLPLPLGLIPPSLDSVIGELQRHGVAKIPPTCT >Manes.02G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5351379:5354588:1 gene:Manes.02G070100.v8.1 transcript:Manes.02G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYLHLFLEETSLYNNIVLGHLLPSSWWVSLPRFCQAWLRNYIAGSLLYLTTGFLCSFYVYYLKRNVNLPKDAVPTTKVMLLQIYVSMKAMPWYCALPSVSEYMVEKGWTKCFARVSDVGWLAYIASLIAYLVVVEFLVYWAHREMHDIKPLYKYLHVTHHKYNKRNILSPFAGMAFQPLDGVVQAVPHVIALFIVPTHFRSHIALFFAEAIWTANIHDCIHGKVWPIMGAGYHTIHHTTNRHNYGHYTIWMDWMLGTLRDPKEDAFQKAR >Manes.08G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3054945:3058636:1 gene:Manes.08G031800.v8.1 transcript:Manes.08G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSATSTTGLISSIAATTKSMASPISKPSQTLTLPTSFLGQRKPLQSRAPRSISLNRGSHSRKSFVAKASGDLPLVGNTAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYGEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSYDVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFAAI >Manes.12G086100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13526296:13528096:1 gene:Manes.12G086100.v8.1 transcript:Manes.12G086100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSESPVIELQIRDKLLSIQQDNASMHVGTSVWPCSLVLAKFADRWAPPTTASVPNPYSSLFDFRSKPRRAIELGVGCGAAGMSFYLLGLADLVLTDIAPVMPALKHNLKRNKQTLGKMLKSSILYWNNQNQIKALNPPFDVVIAADVVYIEESVGHLIGAMEALVADDGVVLLGYQLRSPEADKKFWEMSAEVFDIEKVPHEDLHPEYAYEESDVYIFRKKKI >Manes.12G086100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13526308:13527109:1 gene:Manes.12G086100.v8.1 transcript:Manes.12G086100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSESPVIELQIRDKLLSIQQDNASMHVGTSVWPCSLVLAKFADRWAPPTTASVPNPYSSLFDFRSKPRRAIELGVGCGAAGMSFYLLGLADLVLTDIAPVMPALKHNLKRNKQTLGKMLKSSILYWNNQNQIKALNPPFDVVIAADVVYIEESVGHLIGAMEALVADDGVVLLGYQLRSPEADKKFWEMSAEVFDIEKVPHEDLHPEYAYEESDVYIFRKKKI >Manes.14G101400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8392673:8394740:-1 gene:Manes.14G101400.v8.1 transcript:Manes.14G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSNKKRAREEEAELDFPEVKKIRDDLLGILDESDPDPTIQDLDSVMKSFQEEISASSSPVVVDLTSDSGDSQPDLGYLLGASDDELGLPPSSTASSGEETKNEVTELVRVDSAESSGNDGFWGFEDQIPSYDSFELGLVENYNTEYAAFDDSLFEYSNVCFVSSDFPDYSWRLGSTPAE >Manes.10G087720.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23182893:23185772:1 gene:Manes.10G087720.v8.1 transcript:Manes.10G087720.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRITAQKKATTSRGVARYEKLESSENGIDQAEKDKKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENCELPYQNHGHVILADPSPILFYRISSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.03G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4039754:4045384:-1 gene:Manes.03G046000.v8.1 transcript:Manes.03G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSHHMASSSSSSSQRTHIPVPSDSDLGAKSFGHEAQASVVPINIVTEASQLPAEFLNPSPERQLIIGFDCEGVDLCRHGTLCIMQLAFPDAIFLVDAIQGGEVLMKACKPALESSYITKVIHDCKRDSEALYFQFGIKLHNVFDTQIAYSLIEEQEGRTRLPDDYISFVGLLADPRYYGVSYLEKEEVRVLLRQDPNFWTYRPLSEMMIRAASDDVQFLLSIYHKMMKKLNQRSLWYLAVRGDLYCRCFCINDNDFADWPAVPPIPDNLTAEGNNPEEEILSVLNVPPGKMGLVIGRRGASILAIKESCNAAIFMGGAKGPPDKVFIIGPVKQVRKAEAILRGRMLEMF >Manes.07G081200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25102708:25107591:-1 gene:Manes.07G081200.v8.1 transcript:Manes.07G081200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPSPEKAAELLQNLSLDSQTNTLEIPEPTKKTSVYQYGSVESVVPANGQVQSSERSVTPFLPDLMDPTVCYLPNGYPSHAYYYGSYNGTGNEWDDYSRYASTDGVEMATGVYGDNGSVMYHNGYGYAPYGPYSPAASPVPTLVNDGQIYGPQHYQYPPYFQPLTPNSGSFTPTQAVSSQGELASSTAPDQKTLPAETTKGNSGAIVSGGGMKGNNGSIPIKPTYQNSLLNLNNTNGRGILPGGMPATGYQDPRISFDGKYRNTGINSSFLKANNIPSSRNHNFRQNSNYMGLHQPGPMSSVGSTHGYINGMYPNKFYGQYGNTFRSSMTFGSTGYDSRINANGWLPVDNKYKPRGRGNDYFGYRNESVDGLNELNRGPRAKGFKNQKGFAPVTLVVKGQNVPSIETVNEGKDEVATTPDKEQYNKADFPVDYSDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKSCGCPMFLFFSVNTSGQFVGLAEMVGPVDFHKNVEYWQQDKWTGCFPLKWHIVKDIPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLKMVKIFKEHTSKTCILDDFEFYEKRQKIIQEKKAKQQLFQKQVLERKLEDEKNELANGPHNTLEVVSDVPQEHISIAQSSGDLKHSENSPVAKAGDASRASKPLPSEKRNVANGVVNMC >Manes.05G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9295257:9306904:-1 gene:Manes.05G099900.v8.1 transcript:Manes.05G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQRSGLADRDVDQAIIALKKGAYLLKYGRRGKPKFCPFQLSNDESLLIWYAGKEEKKLKLSQVSKIIPGQRTAIFQRYPWPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFVGLKALISRGNHQQNWRVEPRSESTSSDSPKTRIRRNSPSVSPFDPRDRQGSPVRVDSTSHNRLGKTLCEIIACTAVAKSPCHADLISPFSSLTVACVDNSTGRTSTTDTVRVSLSSAVSSSSQGSYHEDFDALGDVFFWGEGAGEGVLGGGVHRIGNSSISKVDALLPKALESKVVLDVHSIACGGKHAVLITKQGEIFSWGEESGGRLGHGMVTDVPQPKLIDTLAGMNIELVACGEYHTCAVTLSGDLYTWGDGDYNCGLLGHGSEASQWIPKKVCGDIESINLSYIACGPWHTAVVTSSGQLFTFGEGTFGALGHGDHNSTSIPREVEALRGLRTTRVACGVWHTAAVVEVMAESSSPGASSSSSVGKLFTWGDGDKGHLGHGDKESRLIPECVDALGDENIRQVACGHNLTVALTTTGQVYTMGSASYGQLGSPTATGKLPTIVEGKIAGSFVEEIACGSYHVAVLTSKEVYTWGKGTNGQLGHGDNKDRDTPTHVAFLKDKQVKAIACGSNFTAIICLHKWVSNTDHSVCSSCRNPFGFRRKRHNCYNCGLVFCKICTSRKSLKASLAPNMNKPHRVCDDCFNKLKKAADLGSIVRMPKARAENTNQKTNDAADREALGPRLQAQLSRLSSAESTGQAESWHPRHKRRSSSDTSRIFPVVHGTWQFEGLNSSKVSTFLDGSTKKMFQNSAPSSRMASLATLPLPGRISPPRPSFDDSKFMNNSFIDEITNLRAQVEDLTSKSRRLEAELERKSRQLKEVAAIAADEAEKRKSAKEVIKSLTAQLKQMAEKLPERRNSSPISGSVTRHASSGQNISSSGRCSSSEISHELEFNGNAVDHQMLSYRAKAQTEKPQWVVQAEPGVYITLSSLPTGVNELKRIRFSRKHFTEQEAEKWWSENGSRICELHNILSTDYDAAPSAFEKKACPID >Manes.05G099900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9295257:9306904:-1 gene:Manes.05G099900.v8.1 transcript:Manes.05G099900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQRSGLADRDVDQAIIALKKGAYLLKYGRRGKPKFCPFQLSNDESLLIWYAGKEEKKLKLSQVSKIIPGQRTAIFQRYPWPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFVGLKALISRGNHQQNWRVEPRSESTSSDSPKTRIRRNSPSVSPFDPRDRQGSPVRVDSTSHNRLGKTLCEIIACTAVAKSPCHADLISPFSSLTVACVDNSTGRTSTTDTVRVSLSSAVSSSSQGSYHEDFDALGDVFFWGEGAGEGVLGGGVHRIGNSSISKVDALLPKALESKVVLDVHSIACGGKHAVLITKQGEIFSWGEESGGRLGHGMVTDVPQPKLIDTLAGMNIELVACGEYHTCAVTLSGDLYTWGDGDYNCGLLGHGSEASQWIPKKVCGDIESINLSYIACGPWHTAVVTSSGQLFTFGEGTFGALGHGDHNSTSIPREVEALRGLRTTRVACGVWHTAAVVEVMAESSSPGASSSSSVGKLFTWGDGDKGHLGHGDKESRLIPECVDALGDENIRQVACGHNLTVALTTTGQVYTMGSASYGQLGSPTATGKLPTIVEGKIAGSFVEEIACGSYHVAVLTSKEVYTWGKGTNGQLGHGDNKDRDTPTHVAFLKDKQVKAIACGSNFTAIICLHKWVSNTDHSVCSSCRNPFGFRRKRHNCYNCGLVFCKICTSRKSLKASLAPNMNKPHRVCDDCFNKLKKAADLGSIVRMPKARAENTNQKTNDAADREALGPRLQAQLSRLSSAESTGQAESWHPRHKRRSSSDTSRIFPVVHGTWQFEGLNSSKVSTFLDGSTKKMFQNSAPSSRMASLATLPLPGRISPPRPSFDDSKFMNNSFIDEITNLRAQVEDLTSKSRRLEAELERKSRQLKEVAAIAADEAEKRKSAKEVIKSLTAQLKQMAEKLPERRNSSPISGSVTRHASSGQNISSSGRCSSSEISHELEFNGNAVDHQMLSYRAKAQTEKPQWVVQAEPGVYITLSSLPTGVNELKRIRFSRKHFTEQEAEKWWSENGSRICELHNILSTDYDAAPSAFEKKACPID >Manes.05G099900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9296646:9306904:-1 gene:Manes.05G099900.v8.1 transcript:Manes.05G099900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQRSGLADRDVDQAIIALKKGAYLLKYGRRGKPKFCPFQLSNDESLLIWYAGKEEKKLKLSQVSKIIPGQRTAIFQRYPWPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFVGLKALISRGNHQQNWRVEPRSESTSSDSPKTRIRRNSPSVSPFDPRDRQGSPVRVDSTSHNRLGKTLCEIIACTAVAKSPCHADLISPFSSLTVACVDNSTGRTSTTDTVRVSLSSAVSSSSQGSYHEDFDALGDVFFWGEGAGEGVLGGGVHRIGNSSISKVDALLPKALESKVVLDVHSIACGGKHAVLITKQGEIFSWGEESGGRLGHGMVTDVPQPKLIDTLAGMNIELVACGEYHTCAVTLSGDLYTWGDGDYNCGLLGHGSEASQWIPKKVCGDIESINLSYIACGPWHTAVVTSSGQLFTFGEGTFGALGHGDHNSTSIPREVEALRGLRTTRVACGVWHTAAVVEVMAESSSPGASSSSSVGKLFTWGDGDKGHLGHGDKESRLIPECVDALGDENIRQVACGHNLTVALTTTGQVYTMGSASYGQLGSPTATGKLPTIVEGKIAGSFVEEIACGSYHVAVLTSKEVYTWGKGTNGQLGHGDNKDRDTPTHVAFLKDKQVKAIACGSNFTAIICLHKWVSNTDHSVCSSCRNPFGFRRKRHNCYNCGLVFCKICTSRKSLKASLAPNMNKPHRVCDDCFNKLKKAADLGSIVRMPKARAENTNQKTNDAADREALGPRLQAQLSRLSSAESTGQAESWHPRHKRRSSSDTSRIFPVVHGTWQFEGLNSSKVSTFLDGSTKKMFQNSAPSSRMASLATLPLPGRISPPRPSFDDSKFMNNSFIDEITNLRAQCC >Manes.05G099900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9295257:9306904:-1 gene:Manes.05G099900.v8.1 transcript:Manes.05G099900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQRSGLADRDVDQAIIALKKGAYLLKYGRRGKPKFCPFQLSNDESLLIWYAGKEEKKLKLSQVSKIIPGQRTAIFQRYPWPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFVGLKALISRGNHQQNWRVEPRSESTSSDSPKTRIRRNSPSVSPFDPRDRQGSPVRVDSTSHNRLGKTLCEIIACTAVAKSPCHADLISPFSSLTVACVDNSTGRTSTTDTVRVSLSSAVSSSSQGSYHEDFDALGDVFFWGEGAGEGVLGGGVHRIGNSSISKVDALLPKALESKVVLDVHSIACGGKHAVLITKQGEIFSWGEESGGRLGHGMVTDVPQPKLIDTLAGMNIELVACGEYHTCAVTLSGDLYTWGDGDYNCGLLGHGSEASQWIPKKVCGDIESINLSYIACGPWHTAVVTSSGQLFTFGEGTFGALGHGDHNSTSIPREVEALRGLRTTRVACGVWHTAAVVEVMAESSSPGASSSSSVGKLFTWGDGDKGHLGHGDKESRLIPECVDALGDENIRQVACGHNLTVALTTTGQVYTMGSASYGQLGSPTATGKLPTIVEGKIAGSFVEEIACGSYHVAVLTSKEVYTWGKGTNGQLGHGDNKDRDTPTHVAFLKDKQVKAIACGSNFTAIICLHKWVSNTDHSVCSSCRNPFGFRRKRHNCYNCGLVFCKICTSRKSLKASLAPNMNKPHRVCDDCFNKLKKAADLGSIVRMPKARAENTNQKTNDAADREALGPRLQAQLSRLSSAESTGQAESWHPRHKRRSSSDTSRIFPVVHGTWQFEGLNSSKVSTFLDGSTKKMFQNSAPSSRMASLATLPLPGRISPPRPSFDDSKFMNNSFIDEITNLRAQCC >Manes.12G102533.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23288166:23308648:1 gene:Manes.12G102533.v8.1 transcript:Manes.12G102533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILIFSEAIKSSETPFWKEEIKAEIDSITQNGTWTLVDLSLGGKPIGCKWIFKTEYNSDGSIVKYKFFLNGDLEEEIYMVQPDGCIVSGQENKVCKLLKSLYGLKQAPKQKNRGDSVAQSEYAQIIGSLLHLINFSQHDIAYVVCRVSRYTHNPNNDHWAALVRLMKYLRGTMNYGILYSGFFAVLEGYSDANWISNSNEIKSNSGYVFTLEGGAFTWKSTKQNIIAKSTMESEFIALELAGIEAEWLRNFLANIPLGIKPTPSVLMRCDCQVAIAIVKNKTFNGKKRYICLRHNVIKQLLKDGTISIDYVKSEVNLADLLTKPLGRKLIDETSRRIGLEPI >Manes.16G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:350118:353357:-1 gene:Manes.16G001200.v8.1 transcript:Manes.16G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFSKPPEKLSYPQQIAVTATGLIWSRYSTVITPKNWNLFSVNVAMAATGLYQLSRKLQHDYFPEAEAAAAKE >Manes.13G107600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLILEKKEFASIFEQIKASAEATELKHKQDQAAHLSGLAEARKREESLKKALGVEKECIRSIEKALHEIRAESAETKVAADCKLADACSMVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFFLQIEATEVVHAAVSHSEIQQLLTKYNHIFAQPTTLPPFREQDHHIPLEPNSKPIFIYDLQHHMGLLILEKKEFASIFEQIKASAEATELKHKQDQAAHLSGLAEARKREESLKKALGVEKECIRSIEKALHEIRAESAETKVAADCKLADACSMVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFFLQIEATEVVHAAVSHSEIQQLLTKYNHIFAQPTTLPPFREQDHHIPLEPNSKPIFIYDLQHHMGLLILEKKEFASIFEQIKASAEATELKHKQDQAAHLSGLAEARKREESLKKALGVEKECIRSIEKALHEIRAESAETKVAADCKLADACSMVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFFLQIEATEVVHAAVSHSEIQQLLTKYNHIFAQPTTLPPFREQDHHIPLEPNSKPIFIYDLQHHMGLLILEKKEFASIFEQIKASAEATELKHKQDQAAHLSGLAEARKREESLKKALGVEKECIRSIEKALHEIRAESAETKVAADCKLADACSMVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVTPSNGRALSITPGARVLKTPLSDGTIWKRLKEAGFDEESIRRRDKAALIAYIAKLESEIYDLQHHMGLLILEKKEFASIFEQIKASAEATELKHKQDQAAHLSGLAEARKREESLKKALGVEKECIRSIEKALHEIRAESAETKVAADCKLADACSMVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFISMVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31054392:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFFLQIEATEVVHAAVSHSEIQQLLTKYNHIFAQPTTLPPFREQDHHIPLEPNSKPIFIYDLQHHMGLLILEKKEFASIFEQIKASAEATELKHKQDQAAHLSGLAEARKREESLKKALGVEKECIRSIEKALHEIRAESAETKVAADCKLADACSMVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.13G107600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31052612:31061905:1 gene:Manes.13G107600.v8.1 transcript:Manes.13G107600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLILEKKEFASIFEQIKASAEATELKHKQDQAAHLSGLAEARKREESLKKALGVEKECIRSIEKALHEIRAESAETKVAADCKLADACSMVEDAQKRYTDTEAKLHAAEALQAEASQHHRAAERKLQEVEAREDDLVRHISIFKADCDAKEKEIVLERQTLSERREVLQHEHERLLDGQALLNQREDYVASKSQELSCIEKELEASKASIEKELRDLNDRKSNLEVTVASLSQREEAVIEREALLNKREQDILALKEKLASKESEEIQKVIANHETILKTRKSEFEAEVEKNCKLVENQIEAKRRAWELREVDLRQREDMLNEREHDLEVQTRLLSDKEKDMADKINFLDEKERSLNAAERDSEMRRTLLQKEKEEINKIKLELQESLNSLEDKKKQVDCAKEKLENMRSETNELSLLGMKLKEEVDMVRAQKMELVAEEDRLKVEKAKFETEWELIDEKREEMRMEAERIDEERQAVCRLLKDERDSLSLEKETIREQHKRDVESVNHEREEFMKKMEYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEVESYLRGQEKAFEIEKKNELQHISSLREKAAKGLEEVALEIQKLDSERMEINLDRERRDKEWTVLNKSIEELKDQTQKLEKQRELLRAEREEVCAQIEHLKKMEDVKIMMDNMEVAKMQQSSMESSWQKISAIRYLRNHSSVKDTDLVSHERVDITNNGNGLDSPSLQKSGVASSPDSARFSWIKRCTELIFKSSLEKPLLKSDEKSLILNNDYANLTSAGKLDSSNGYHEQKLKSIESSGKRQPMRYTFSEPNVILEPPKDVIAKEELDEESEKKDDANEEIALSLSEHVIHAGKRRNSLSTDPHPDERQNNKRRNQHKGATVNLSIDANNPCVTSTQINAPENHHSTEGEAADDMVNADRIIKISEVTSEVTCDYCEVQDGGTDDH >Manes.09G137100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33727693:33734533:-1 gene:Manes.09G137100.v8.1 transcript:Manes.09G137100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQRVLGTSVILLGGSMLIQFSLGESLANSWLRNFLLLTSLLLALFFGGEDKLPSYLARHTIPGLGTVVDPSAAVLVLAVTVLLCMGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVKNPQRDLPLGIGIALSICCILYMLVSVVIVGLVPYFALDPDTPISSAFASHGMQWAVYIITTGAVTALCASLMGSLLPQPRMFMAMARDGLLPSFFSDINEQTQVPVKSTIAIGFLAAALAFFMDVSQLAGMVSVGTLLAFTAVAVSVLILRYVPPDEVPLASSLHESVDSASLQYSGGDIQGVASQNFKGSPNYSATSQCLLDKAGTSTKHPLLQKHKAQNEPNQLKRRKIAAWSITIICIGVLVLTYAASYEFLPSLLRFTLCAAGGALVLCSLIVLACIAQDNARHSFGHTGGFICPFVPFLPVACILVNTYLLVNLGSGTWIRVSIWLLAGELVYLFYGRTHSSLTNAVYVSTAYADEIYRTSSSRLV >Manes.09G137100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33727693:33734533:-1 gene:Manes.09G137100.v8.1 transcript:Manes.09G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVDMQNDGAAKGSWDFSNFVRRKHVDSVLIRRKPGQQLAKKLSAIDLIAIGVGATIGAGVYILVGTVAREQTGPALTISFFIAGIAAALSAFCYAELACRCPSAGSAYHYTYICIGEGAAWLVGWALILEYTIGGSAIARGLTPNLALFFGGEDKLPSYLARHTIPGLGTVVDPSAAVLVLAVTVLLCMGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVKNPQRDLPLGIGIALSICCILYMLVSVVIVGLVPYFALDPDTPISSAFASHGMQWAVYIITTGAVTALCASLMGSLLPQPRMFMAMARDGLLPSFFSDINEQTQVPVKSTIAIGFLAAALAFFMDVSQLAGMVSVGTLLAFTAVAVSVLILRYVPPDEVPLASSLHESVDSASLQYSGGDIQGVASQNFKGSPNYSATSQCLLDKAGTSTKHPLLQKHKAQNEPNQLKRRKIAAWSITIICIGVLVLTYAASYEFLPSLLRFTLCAAGGALVLCSLIVLACIAQDNARHSFGHTGGFICPFVPFLPVACILVNTYLLVNLGSGTWIRVSIWLLAGELVYLFYGRTHSSLTNAVYVSTAYADEIYRTSSSRLV >Manes.11G126577.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28914394:28919663:-1 gene:Manes.11G126577.v8.1 transcript:Manes.11G126577.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPLLLVVLQGSFSSKMPRPSIKYLLIFLQCSYRRTSVSSAELNDMPDVMKQMPPLPFKLNEKLANTMLPYRPNLPMK >Manes.11G067800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9624555:9627815:-1 gene:Manes.11G067800.v8.1 transcript:Manes.11G067800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINVKESTLVQPAEETPRLGLWNANVDLVVPRFHTPSVYFYTPTGAPNFFDPNVVKEALSKALVPFYPMAGRLRRDDDGRIEIDCNAEGVLFVVAETSTVIDDFGDFAPTLELKKLIPTVDYSGGISTYPLLVLQLTYFKCGGVSLGVGMQHHVADGFSGLHFVNTWSDCARGLDITVPPFINRILLRARDPPQPAFHHIEYQPPPAMKIPAENLKPDGTTVSIFKLTRDQLNTLKSKAKEDGNTVSYSSYEMLAGHVWRSACRARGLADDQESKLYIATDGRSRLRPPLPPGYFGNVIFTATPIAAAGDLQSKPTWYAAGRIHDALVRMDNDYLRSALDYLELQPDLSALVRGAHTFKCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFILPSPTNDGSLSVAIALQSEHMKLFEKFIYEI >Manes.11G067800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9624555:9627760:-1 gene:Manes.11G067800.v8.1 transcript:Manes.11G067800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINVKESTLVQPAEETPRLGLWNANVDLVVPRFHTPSVYFYTPTGAPNFFDPNVVKEALSKALVPFYPMAGRLRRDDDGRIEIDCNAEGVLFVVAETSTVIDDFGDFAPTLELKKLIPTVDYSGGISTYPLLVLQLTYFKCGGVSLGVGMQHHVADGFSGLHFVNTWSDCARGLDITVPPFINRILLRARDPPQPAFHHIEYQPPPAMKIPAENLKPDGTTVSIFKLTRDQLNTLKSKAKEDGNTVSYSSYEMLAGHVWRSACRARGLADDQESKLYIATDGRSRLRPPLPPGYFGNVIFTATPIAAAGDLQSKPTWYAAGRIHDALVRMDNDYLRSALDYLELQPDLSALVRGAHTFKCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFILPSPTNDGSLSVAIALQSEHMKLFEKFIYEI >Manes.11G067800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9624555:9627758:-1 gene:Manes.11G067800.v8.1 transcript:Manes.11G067800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINVKESTLVQPAEETPRLGLWNANVDLVVPRFHTPSVYFYTPTGAPNFFDPNVVKEALSKALVPFYPMAGRLRRDDDGRIEIDCNAEGVLFVVAETSTVIDDFGDFAPTLELKKLIPTVDYSGGISTYPLLVLQLTYFKCGGVSLGVGMQHHVADGFSGLHFVNTWSDCARGLDITVPPFINRILLRARDPPQPAFHHIEYQPPPAMKIPAENLKPDGTTVSIFKLTRDQLNTLKSKAKEDGNTVSYSSYEMLAGHVWRSACRARGLADDQESKLYIATDGRSRLRPPLPPGYFGNVIFTATPIAAAGDLQSKPTWYAAGRIHDALVRMDNDYLRSALDYLELQPDLSALVRGAHTFKCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFILPSPTNDGSLSVAIALQSEHMKLFEKFIYEI >Manes.11G067800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9624794:9627758:-1 gene:Manes.11G067800.v8.1 transcript:Manes.11G067800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINVKESTLVQPAEETPRLGLWNANVDLVVPRFHTPSVYFYTPTGAPNFFDPNVVKEALSKALVPFYPMAGRLRRDDDGRIEIDCNAEGVLFVVAETSTVIDDFGDFAPTLELKKLIPTVDYSGGISTYPLLVLQLTYFKCGGVSLGVGMQHHVADGFSGLHFVNTWSDCARGLDITVPPFINRILLRARDPPQPAFHHIEYQPPPAMKIPAENLKPDGTTVSIFKLTRDQLNTLKSKAKEDGNTVSYSSYEMLAGHVWRSACRARGLADDQESKLYIATDGRSRLRPPLPPGYFGNVIFTATPIAAAGDLQSKPTWYAAGRIHDALVRMDNDYLRSALDYLELQPDLSALVRGAHTFKCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFILPSPTNDGSLSVAIALQSEHMKLFEKFIYEI >Manes.01G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32607301:32609595:1 gene:Manes.01G132900.v8.1 transcript:Manes.01G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFLLSSESCTRMRKQAHLHISSSNLKKVNSSYAAHCSKTVSEHDKDAARKQRSAGALLTTGLHEDKNELKNEPNKIAKRNDHQKLDGSSLYKRLQGNDASPYQGKISQSAVDGEKGDIAITDKSKNSGMSGFKVDIKGGKIFRELLAIESEEWESTSASPTVEKTLYIDCVHMLKPQISNSSSTDMKGIFDGGKDSVNDSSLQAMKHDDAVGEKGNVIAESLESADSCLSSGRSMHDVQIVLVDNSRQYQDLIGTSVTTASPKVDEDRKINSERQMGQISGKVESCHGLVQDPIKMTSTKVADDRKVDLESKHPEKLSDQETSTGCYSLLPLPPPLPNSPSESWLKRTLPAVSSKHMSLRSTLGMHAYPRVQASKLDSPDLTWETIVKTSNVQHGHLRFSEELLTPIPEV >Manes.10G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2547168:2549976:1 gene:Manes.10G025200.v8.1 transcript:Manes.10G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDKSSLNQTKPIRWGELDEDDGEDLDFLLPPKQVIGPDENGIKKVIEYKFNDDGNKVKITTATRVRKLAKARLSKRAVERRNWAKFGDAVHEDVGSRLTMVSTEEILLERPRAPGAKVEETKLPGDNLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLAPQPEGFIDKPAASETVAAAAGATKGAYVPPSMRAGAERTGGSDMRRRNEENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAIDQKTGVSRGFGFVNFVNKEDAERAINKLNGYGYDNLILRVEWATPRAN >Manes.08G067500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10329478:10331728:-1 gene:Manes.08G067500.v8.1 transcript:Manes.08G067500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMKLLKSSKIILVPYPAQGHVNPMAKLALALLSRGFDPVVITLEYIHHRIISNMDPKGKIMCMAVPDGLEKDNVPSDFFAIEKAMENHMPNHLESLVRQIDGEDGAVACMIVDLLASSAIEVARRCGVPVAGFWPAMLATYQLIAGIPDMIRTGLISDTGNPQRPGPICLLPNQPELCTGDLPWLIGTPAARKSRFKFWTRTLSRSRNLQWLLVNSFPEESLEDKPHKNPSISKNEPFVFQVGPLSKLATIKNPSFWQEDMSSLQWLDDQKPESVVYISFGSWVSPIGEAKVSSLALALEAMRQPFIWVLGPAWREGLPEGYLERVTKLGKVVSWAPQMEVLQHKAVGCYLTHCGWNSTMEALQSKKRLLCYPVAGDQFVNCAYIVEKWKIGVKMNGFAQKDVEEGISKLMNDNEMNMRLMKLYERTMGEEANLRAMANLATFFDDLSELTNKYHLRLAP >Manes.14G155000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19765649:19768915:-1 gene:Manes.14G155000.v8.1 transcript:Manes.14G155000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIRTNSLKRLFSLKRRALEESITIEEDNSGISNSKCVQETEHSPRPAWRCFSYQEIFEATNAFSPENLVGKGGYAEVYKGVLGDGEKIAVKRLTKTCNDERKEKEFLTEIGTIGHVSHPNVLSLLGCCIDNGLYLIFHFSSRGSVASLLHDEKFPVVDWKTRYKIAIGTARGLHYLHKGCQRRIIHRDIKSSNILLTADFEPLISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYMHGVVDEKTDVFAFGVFLLEIISGRRPVDGSHQSICSWAKPILKQGEIEKVVDPRLGGAYDASQLIGLAFAASLCIRASSTWRPTMSEVLEVMLEGEMDKERWKMPKEEEQEEEFWGFEDLECECDSSFSISPQDSISTRSSLTLLH >Manes.01G163850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34787543:34788386:1 gene:Manes.01G163850.v8.1 transcript:Manes.01G163850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSGLGLPADECLLHERRAFATLLSRLLRKFKGESCDLFGSLNPQHPERPLFPAAFLLTEFCVWEMALLIMLGLLFFRLRVEYGEDEAELQICSCEILAYIKKRTPEQYQKLKRHWFRGKKDARKVSG >Manes.08G093800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31744927:31746501:1 gene:Manes.08G093800.v8.1 transcript:Manes.08G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNFMHRVISYVVNELVVNGLANSPAFQRFAVRTSRKIEDISNIAEKKKQELAEQVKDIAKNMESFKNQR >Manes.15G067232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5097535:5097785:1 gene:Manes.15G067232.v8.1 transcript:Manes.15G067232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYCDRQSVELSSIAFLFYGRRLRGDQTPDELEMEIDAMLHQTGGSIS >Manes.12G089788.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15471687:15473508:1 gene:Manes.12G089788.v8.1 transcript:Manes.12G089788.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMNHSKVDQDHMALISQLYPGVYTQMVPQQGETKPRRRRRKNRGGENGVPGHKKRKLSAHQVNLLEVNFGNEHKLESERKDKLASELGLDPRQVAVWFQNRRARWKNKKLEEEYTKLKTAHESIVVEKCHLESEVLKLKEKLLEAEKEIQRLTERVDGVSSNSCSSLSMKAMNTPFLGEFGIEGYGDIFYMPEINYIHGMEWVNLYM >Manes.01G097100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29859251:29860349:1 gene:Manes.01G097100.v8.1 transcript:Manes.01G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIRFGVQVISELNSQLLKPAAVKVTFRRKNVQRFRVRHFGRFIEQEITQPSNQQVSIWIPAGDSPDIRVLVKTLGYRTMTAMGIPESVHAEIMEKITSKSNGYCTWILVEIEMVRTGSDFFRPLDPAEGEGGGFGEGRKMIPATKSSIEGLERLAFEDDDSRDTTCAVCMETLDVGVEAIRLPCFHLYHRDCIVKWLQ >Manes.18G144925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21734030:21735521:1 gene:Manes.18G144925.v8.1 transcript:Manes.18G144925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.10G083466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20778955:20781519:1 gene:Manes.10G083466.v8.1 transcript:Manes.10G083466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKFFLVSVMELHNYKSLVHFQMLRLKFFLVSVMELHNYKTLVHFPTNKLLPEINYKASYSQPCQMFVKVREGNK >Manes.01G184800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36251495:36255553:1 gene:Manes.01G184800.v8.1 transcript:Manes.01G184800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPVSREASDNDEVPMQQNLLFSDSLEDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIVNTVDHLGSVTYKVHDLVDEKVDEVSGTEFQVSCIEQRVRTCQEYIDHEGLTQQSLVINAPKYHKRYILPVGKTMHGAIHPKSEYLGFSLDNEEVWRQFRNAARATIPEAPTSSVRKGRSPSPTRRPQQPSVTSSFTPTMPQKELEKRTVSPHRFPLFRSGSMSSRPTTPNRSRPTTPSSAGAARQQFPSEPRKSASMRIRTEKENSKDIEQYPSKSKRLLKALLSRRKSKKDDTLYTYLDEY >Manes.01G184800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36251279:36255553:1 gene:Manes.01G184800.v8.1 transcript:Manes.01G184800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPVSREASDNDEVPMQQNLLFSDSLEDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIVNTVDHLGSVTYKVHDLVDEKVDEVSGTEFQVSCIEQRVRTCQEYIDHEGLTQQSLVINAPKYHKRYILPVGKTMHGAIHPKSEYLGFSLDNEEVWRQFRNAARATIPEAPTSSVRKGRSPSPTRRPQQPSVTSSFTPTMPQKELEKRTVSPHRFPLFRSGSMSSRPTTPNRSRPTTPSSAGAARQQFPSEPRKSASMRIRTEKENSKDIEQYPSKSKRLLKALLSRRKSKKDDTLYTYLDEY >Manes.01G184800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36251495:36255553:1 gene:Manes.01G184800.v8.1 transcript:Manes.01G184800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPVSREASDNDEVPMQQNLLFSDSLEDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIVNTVDHLGSVTYKVHDLVDEKVDEVSGTEFQVSCIEQRVRTCQEYIDHEGLTQQSLVINAPKYHKRYILPVGKTMHGAIHPKSEYLGFSLDNEEVWRQFRNAARATIPEAPTSSVRKGRSPSPTRRPQQPSVTSSFTPTMPQKELGLCTQKRTVSPHRFPLFRSGSMSSRPTTPNRSRPTTPSSAGAARQQFPSEPRKSASMRIRTEKENSKDIEQYPSKSKRLLKALLSRRKSKKDDTLYTYLDEY >Manes.01G184800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36252018:36255553:1 gene:Manes.01G184800.v8.1 transcript:Manes.01G184800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPVSREASDNDEVPMQQNLLFSDSLEDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIVNTVDHLGSVTYKVHDLVDEKVDEVSGTEFQVSCIEQRVRTCQEYIDHEGLTQQSLVINAPKYHKRYILPVGKTMHGAIHPKSEYLGFSLDNEEVWRQFRNAARATIPEAPTSSVRKGRSPSPTRRPQQPSVTSSFTPTMPQKELGLCTQKRTVSPHRFPLFRSGSMSSRPTTPNRSRPTTPSSAGAARQQFPSEPRKSASMRIRTEKENSKDIEQYPSKSKRLLKALLSRRKSKKDDTLYTYLDEY >Manes.01G184800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36251279:36255553:1 gene:Manes.01G184800.v8.1 transcript:Manes.01G184800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPVSREASDNDEVPMQQNLLFSDSLEDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIVNTVDHLGSVTYKVHDLVDEKVDEVSGTEFQVSCIEQRVRTCQEYIDHEGLTQQSLVINAPKYHKRYILPVGKTMHGAIHPKSEYLGFSLDNEEVWRQFRNAARATIPEAPTSSVRKGRSPSPTRRPQQPSVTSSFTPTMPQKELEKRTVSPHRFPLFRSGSMSSRPTTPNRSRPTTPSSAGAARQQFPSEPRKSASMRIRTEKENSKDIEQYPSKSKRLLKALLSRRKSKKDDTLYTYLDEY >Manes.01G184800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36252547:36255553:1 gene:Manes.01G184800.v8.1 transcript:Manes.01G184800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPVSREASDNDEVPMQQNLLFSDSLEDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIVNTVDHLGSVTYKVHDLVDEKVDEVSGTEFQVSCIEQRVRTCQEYIDHEGLTQQSLVINAPKYHKRYILPVGKTMHGAIHPKSEYLGFSLDNEEVWRQFRNAARATIPEAPTSSVRKGRSPSPTRRPQQPSVTSSFTPTMPQKELEKRTVSPHRFPLFRSGSMSSRPTTPNRSRPTTPSSAGAARQQFPSEPRKSASMRIRTEKENSKDIEQYPSKSKRLLKALLSRRKSKKDDTLYTYLDEY >Manes.01G184800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36252123:36255553:1 gene:Manes.01G184800.v8.1 transcript:Manes.01G184800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPVSREASDNDEVPMQQNLLFSDSLEDLKNLRTQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKAIVNTVDHLGSVTYKVHDLVDEKVDEVSGTEFQVSCIEQRVRTCQEYIDHEGLTQQSLVINAPKYHKRYILPVGKTMHGAIHPKSEYLGFSLDNEEVWRQFRNAARATIPEAPTSSVRKGRSPSPTRRPQQPSVTSSFTPTMPQKELEKRTVSPHRFPLFRSGSMSSRPTTPNRSRPTTPSSAGAARQQFPSEPRKSASMRIRTEKENSKDIEQYPSKSKRLLKALLSRRKSKKDDTLYTYLDEY >Manes.18G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22332896:22360996:1 gene:Manes.18G136800.v8.1 transcript:Manes.18G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHPLECLRILLRMEKCLKTFQQKENAGRNTEDVEPVIKFLSLLEQHSAQKGETWPLVYLVGPMLAKSFPLIRSLDLSDGLKLLSAAVSLFGPRKVVQELFFYNENLCSSSFSADRDKELEPESFMQVFKETFVPWCLVGCNSSISARLDLLLAFLNDEYFSEQWSSIISHAISHANHKTEPGSPESDYLVLLAMLLEKARVEIMNRMLKKDSSHQHWYDPDDWHRELLESTVVAVAGSSFPLRASAAQFICAVLGGFTEEDLISFVSRNAMLLVYKEVSKKLFTFICESPFSSVRDTGCLLAAGANVSVDDRISANVMAQFALDVLGGSLYCLKTVGEEIEQVSGVLATVFIMNWEQNMEATIDDPLDESKEKIKDRSEINESLHRFHSKINGKFWKSLNIGILNRVRSILVQFIRSVVFKEGKLNANRITSLCCKWMLEVLDCFCRDQYEEQNLLDQLLRKEDTWPSWIIPDFSTPARTSSLNSTDIPADVNVYGSHKFVSLIDKLTFKLGFNRVFAIYVEDTPSSPLNKPTNEELTGRAWLAAEILCTWEWPGGSATASFLPHLSAFSKTSNYIFRESLLDSIFNILLDGALVHGESGTYCSFNLWPAVGDELVKIKEPFLRAILSFLLTLFKDDIWEGNKAMKLFELLVNKLYIGEAINRNCLNIIPLIVNILVQPLYRGSIIPGECDDNNEVCNSGENWLQSIVKDWLQRILLFPPIVSWETGQDMEEWFQLVIACYPFCTTGSTKSLKLERDISLDERALILDLFRKQRHNATVSAVGKHLQMAQMLLSKLMVISVGYCWKEFTEEDWEFFFLQLRSWIQSAVVMMEEVTENVNDVITNSSTSENLDVRRNLEQLVSISDMSPVNVALNALASFSLFSGILRHEQPDMIPNPMGLERWKPVRDRILEGILRLFFCTGIAEAIASSYCQEAASIVATSRLDNSYFWELVASNVVNSSLPARDRAMKSVEFWGLTKGPISSLYAILFSSLPVPPLQFAAYVILSSEPVSQLAIIEEDVDCSLDGDINGNLNSSQLELSSERNVHLKEELSCMIEKLPYEVLEMDLTAHQRVNVYLAWSLLLSHLWSLPSLSAAREQLVQYVQDSANTVILDCLFQHIPLELCMAQSLKKKDGDLPAGASEIASAATSAITTGSVLFSVEALWPITPKKMASLAGALFGLMLRILPAYVRGWFTDLRDRSSSSLIETFTRTWCSPPLIVNELSQIKQSNFADENFSVSVSKSANEVVATYTKDETGMDLVIRLPASYPLRPVDVDCMRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIRIWKSNFDKEFEGVEECPICYSVIHTTNHSLPRLACRTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >Manes.18G136800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22321688:22360996:1 gene:Manes.18G136800.v8.1 transcript:Manes.18G136800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKGESGGRSKFRPSSSSLAASLLPSGSAAAVGFGGYVGSSRLDTSLSTEEASAFLDMDSEMAVHLKRLARKDPTTKLKALQSLSVLFKQKSGKDLVLIIPQWAFEYKRLLLDYNREVRRATHETMNNLVVAVGRDLAPHLKSLMGPWWFSQFDPVSEVSLAAKQSLQAAFPAQEKRLDALILCTTEVFMYLEENLKLTPQSMSDRAAALDELEDMHQQVISSSILALATLLDILVCVQSERPGFENLATASKHALKARMTAISYAEKLFSAHKYFLDFLKSQSPAIRSATYSALKSFIKNIPHAFNEGNMKTLAAAILGAFKEKDPSCHSSMWDALLLFSKKFPESWSLLSIQKIVLDRFWHFLRSGCFGSQQVSYPALVIFLDIVPSKSVEGEKFFLEFFRNLWDGRIFSNSTSSAHLAFFQAFKECFLWALHNASRYYDSLDSIHHFRVGLVDDILVKLLWQEYMFVGFKNENKASTGMSKDPSEDGTVTSELKIVESLNIKYPVNYLQELGKCVVEILSGIYSMEHDLLSTFCVAFQEKCLKTFQQKENAGRNTEDVEPVIKFLSLLEQHSAQKGETWPLVYLVGPMLAKSFPLIRSLDLSDGLKLLSAAVSLFGPRKVVQELFFYNENLCSSSFSADRDKELEPESFMQVFKETFVPWCLVGCNSSISARLDLLLAFLNDEYFSEQWSSIISHAISHANHKTEPGSPESDYLVLLAMLLEKARVEIMNRMLKKDSSHQHWYDPDDWHRELLESTVVAVAGSSFPLRASAAQFICAVLGGFTEEDLISFVSRNAMLLVYKEVSKKLFTFICESPFSSVRDTGCLLAAGANVSVDDRISANVMAQFALDVLGGSLYCLKTVGEEIEQVSGVLATVFIMNWEQNMEATIDDPLDESKEKIKDRSEINESLHRFHSKINGKFWKSLNIGILNRVRSILVQFIRSVVFKEGKLNANRITSLCCKWMLEVLDCFCRDQYEEQNLLDQLLRKEDTWPSWIIPDFSTPARTSSLNSTDIPADVNVYGSHKFVSLIDKLTFKLGFNRVFAIYVEDTPSSPLNKPTNEELTGRAWLAAEILCTWEWPGGSATASFLPHLSAFSKTSNYIFRESLLDSIFNILLDGALVHGESGTYCSFNLWPAVGDELVKIKEPFLRAILSFLLTLFKDDIWEGNKAMKLFELLVNKLYIGEAINRNCLNIIPLIVNILVQPLYRGSIIPGECDDNNEVCNSGENWLQSIVKDWLQRILLFPPIVSWETGQDMEEWFQLVIACYPFCTTGSTKSLKLERDISLDERALILDLFRKQRHNATVSAVGKHLQMAQMLLSKLMVISVGYCWKEFTEEDWEFFFLQLRSWIQSAVVMMEEVTENVNDVITNSSTSENLDVRRNLEQLVSISDMSPVNVALNALASFSLFSGILRHEQPDMIPNPMGLERWKPVRDRILEGILRLFFCTGIAEAIASSYCQEAASIVATSRLDNSYFWELVASNVVNSSLPARDRAMKSVEFWGLTKGPISSLYAILFSSLPVPPLQFAAYVILSSEPVSQLAIIEEDVDCSLDGDINGNLNSSQLELSSERNVHLKEELSCMIEKLPYEVLEMDLTAHQRVNVYLAWSLLLSHLWSLPSLSAAREQLVQYVQDSANTVILDCLFQHIPLELCMAQSLKKKDGDLPAGASEIASAATSAITTGSVLFSVEALWPITPKKMASLAGALFGLMLRILPAYVRGWFTDLRDRSSSSLIETFTRTWCSPPLIVNELSQIKQSNFADENFSVSVSKSANEVVATYTKDETGMDLVIRLPASYPLRPVDVDCMRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIRIWKSNFDKEFEGVEECPICYSVIHTTNHSLPRLACRTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >Manes.18G136800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22332477:22360996:1 gene:Manes.18G136800.v8.1 transcript:Manes.18G136800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISYAEKLFSAHKYFLDFLKSQSPAIRSATYSALKSFIKNIPHAFNEGNMKTLAAAILGAFKEKDPSCHSSMWDALLLFSKKFPESWSLLSIQKIVLDRFWHFLRSGCFGSQQVSYPALVIFLDIVPSKSVEGEKFFLEFFRNLWDGRIFSNSTSSAHLAFFQAFKECFLWALHNASRYYDSLDSIHHFRVGLVDDILVKLLWQEYMFVGFKNENKASTGMSKDPSEDGTVTSELKIVESLNIKYPVNYLQELGKCVVEILSGIYSMEHDLLSTFCVAFQEKCLKTFQQKENAGRNTEDVEPVIKFLSLLEQHSAQKGETWPLVYLVGPMLAKSFPLIRSLDLSDGLKLLSAAVSLFGPRKVVQELFFYNENLCSSSFSADRDKELEPESFMQVFKETFVPWCLVGCNSSISARLDLLLAFLNDEYFSEQWSSIISHAISHANHKTEPGSPESDYLVLLAMLLEKARVEIMNRMLKKDSSHQHWYDPDDWHRELLESTVVAVAGSSFPLRASAAQFICAVLGGFTEEDLISFVSRNAMLLVYKEVSKKLFTFICESPFSSVRDTGCLLAAGANVSVDDRISANVMAQFALDVLGGSLYCLKTVGEEIEQVSGVLATVFIMNWEQNMEATIDDPLDESKEKIKDRSEINESLHRFHSKINGKFWKSLNIGILNRVRSILVQFIRSVVFKEGKLNANRITSLCCKWMLEVLDCFCRDQYEEQNLLDQLLRKEDTWPSWIIPDFSTPARTSSLNSTDIPADVNVYGSHKFVSLIDKLTFKLGFNRVFAIYVEDTPSSPLNKPTNEELTGRAWLAAEILCTWEWPGGSATASFLPHLSAFSKTSNYIFRESLLDSIFNILLDGALVHGESGTYCSFNLWPAVGDELVKIKEPFLRAILSFLLTLFKDDIWEGNKAMKLFELLVNKLYIGEAINRNCLNIIPLIVNILVQPLYRGSIIPGECDDNNEVCNSGENWLQSIVKDWLQRILLFPPIVSWETGQDMEEWFQLVIACYPFCTTGSTKSLKLERDISLDERALILDLFRKQRHNATVSAVGKHLQMAQMLLSKLMVISVGYCWKEFTEEDWEFFFLQLRSWIQSAVVMMEEVTENVNDVITNSSTSENLDVRRNLEQLVSISDMSPVNVALNALASFSLFSGILRHEQPDMIPNPMGLERWKPVRDRILEGILRLFFCTGIAEAIASSYCQEAASIVATSRLDNSYFWELVASNVVNSSLPARDRAMKSVEFWGLTKGPISSLYAILFSSLPVPPLQFAAYVILSSEPVSQLAIIEEDVDCSLDGDINGNLNSSQLELSSERNVHLKEELSCMIEKLPYEVLEMDLTAHQRVNVYLAWSLLLSHLWSLPSLSAAREQLVQYVQDSANTVILDCLFQHIPLELCMAQSLKKKDGDLPAGASEIASAATSAITTGSVLFSVEALWPITPKKMASLAGALFGLMLRILPAYVRGWFTDLRDRSSSSLIETFTRTWCSPPLIVNELSQIKQSNFADENFSVSVSKSANEVVATYTKDETGMDLVIRLPASYPLRPVDVDCMRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIRIWKSNFDKEFEGVEECPICYSVIHTTNHSLPRLACRTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >Manes.18G136800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22321895:22360782:1 gene:Manes.18G136800.v8.1 transcript:Manes.18G136800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLRGEAHEVRLGVLGLGLIDAKSAVLGGFTEEDLISFVSRNAMLLVYKEVSKKLFTFICESPFSSVRDTGCLLAAGANVSVDDRISANVMAQFALDVLGGSLYCLKTVGEEIEQVSGVLATVFIMNWEQNMEATIDDPLDESKEKIKDRSEINESLHRFHSKINGKFWKSLNIGILNRVRSILVQFIRSVVFKEGKLNANRITSLCCKWMLEVLDCFCRDQYEEQNLLDQLLRKEDTWPSWIIPDFSTPARTSSLNSTDIPADVNVYGSHKFVSLIDKLTFKLGFNRVFAIYVEDTPSSPLNKPTNEELTGRAWLAAEILCTWEWPGGSATASFLPHLSAFSKTSNYIFRESLLDSIFNILLDGALVHGESGTYCSFNLWPAVGDELVKIKEPFLRAILSFLLTLFKDDIWEGNKAMKLFELLVNKLYIGEAINRNCLNIIPLIVNILVQPLYRGSIIPGECDDNNEVCNSGENWLQSIVKDWLQRILLFPPIVSWETGQDMEEWFQLVIACYPFCTTGSTKSLKLERDISLDERALILDLFRKQRHNATVSAVGKHLQMAQMLLSKLMVISVGYCWKEFTEEDWEFFFLQLRSWIQSAVVMMEEVTENVNDVITNSSTSENLDVRRNLEQLVSISDMSPVNVALNALASFSLFSGILRHEQPDMIPNPMGLERWKPVRDRILEGILRLFFCTGIAEAIASSYCQEAASIVATSRLDNSYFWELVASNVVNSSLPARDRAMKSVEFWGLTKGPISSLYAILFSSLPVPPLQFAAYVILSSEPVSQLAIIEEDVDCSLDGDINGNLNSSQLELSSERNVHLKEELSCMIEKLPYEVLEMDLTAHQRVNVYLAWSLLLSHLWSLPSLSAAREQLVQYVQDSANTVILDCLFQHIPLELCMAQSLKKKDGDLPAGASEIASAATSAITTGSVLFSVEALWPITPKKMASLAGALFGLMLRILPAYVRGWFTDLRDRSSSSLIETFTRTWCSPPLIVNELSQIKQSNFADENFSVSVSKSANEVVATYTKDETGMDLVIRLPASYPLRPVDVDCMRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIRIWKSNFDKEFEGVEECPICYSVIHTTNHSLPRLACRTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >Manes.15G192600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31719549:31725535:1 gene:Manes.15G192600.v8.1 transcript:Manes.15G192600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESLRNAHPEHAEWYNSLADLYQKKLWHQLTLKLEQFVAFAVVQAGDTLIQLYHNFISDFETKINLLKLAHFAVIVSKQYKEKDAAVSYLEGVIEKLRTTKEQHIEEPILYIKMQLAIFKLEQGDQKECKKLLEDGKSTLDSMTDIDPTVYANYYWVSSQYHKYRQEFAEFYKSALLYLAYTSVESLSEPFKLDLAFDLSLSALLGDNIYNFGELLAHPVINSLLGTQVEWLYYILQAFNSGDLVRYQELCRVHNASLRSQPALVENEQKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDNWLDKVHTALLSIEAETPDLVAS >Manes.04G027900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3316182:3319132:-1 gene:Manes.04G027900.v8.1 transcript:Manes.04G027900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLFTKSSFLGACLFSFFTFCLLLDPALCITRHYKFHIKLKNVTRLCHTKSLVTVNGKFPGPRITAREGDQLLIKVVNHVQNNISLHWHGIRQLQSGWADGPAYITQCPIQTAQTYVYNYTIIGQRGTLWWHAHVSWLRSTLYGPIIILPKIGVPYPFAKPYKEVPIIFGGGPNVSEAYTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVEVDAVYVKPFETETVLITPGQTTNVLLKTKPNFPNATFFMTARPYVTGLGTFDNSTVAGILQYEASLSLSIKHLPLFKPALPPLNDTAYATNFTNKLRSLASANFPANVPQKVDKQFFFTVGLGTNPCPQNQTCQGPNGTMFAASVNNVSFEMPTTALLQSHFFSQSQGVYTSDFPVIPIIRFNYTGNPPNNTMVSNGTKVVVLNFNTSVELIMQDTSILGAESHPLHLHGFNFYVVGQGFGNFDRNKDPAKFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVLDGKLPNQKLLPPPADLPKC >Manes.04G027900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3316182:3319132:-1 gene:Manes.04G027900.v8.1 transcript:Manes.04G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLFTKSSFLGACLFSFFTFCLLLDPALCITRHYKFHIKLKNVTRLCHTKSLVTVNGKFPGPRITAREGDQLLIKVVNHVQNNISLHWHGIRQLQSGWADGPAYITQCPIQTAQTYVYNYTIIGQRGTLWWHAHVSWLRSTLYGPIIILPKIGVPYPFAKPYKEVPIIFGEWFNADTEAIINQALQTGGGPNVSEAYTINGLPGPLYNCSAKDTFKLKVKPGKTYMLRLINAALNDELFFSIANHTLTVVEVDAVYVKPFETETVLITPGQTTNVLLKTKPNFPNATFFMTARPYVTGLGTFDNSTVAGILQYEASLSLSIKHLPLFKPALPPLNDTAYATNFTNKLRSLASANFPANVPQKVDKQFFFTVGLGTNPCPQNQTCQGPNGTMFAASVNNVSFEMPTTALLQSHFFSQSQGVYTSDFPVIPIIRFNYTGNPPNNTMVSNGTKVVVLNFNTSVELIMQDTSILGAESHPLHLHGFNFYVVGQGFGNFDRNKDPAKFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVLDGKLPNQKLLPPPADLPKC >Manes.11G078900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11729845:11734319:-1 gene:Manes.11G078900.v8.1 transcript:Manes.11G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPISKPLILILVFLFLVSSSLATTDVPFIVANKKATLNRLKSSAERVSVTIDIYNQGTSTAYDVSLVDDHWPQDIFDIISGNTSQSWERLDAGGILSHSFELEGKVKGSFYGSPAVITFRIPTKSALQEAYSTPILPLDVLADRPPEKKFEWVKAIGKIWISNFCGHHCGSVCIPSRHSLEVHCSKSKQEEALIIMRN >Manes.11G078900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11729845:11734319:-1 gene:Manes.11G078900.v8.1 transcript:Manes.11G078900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPISKPLILILVFLFLVSSSLATTDVPFIVANKKATLNRLKSSAERVSVTIDIYNQGTSTAYDVSLVDDHWPQDIFDIISGNTSQSWERLDAGGILSHSFELEGKVKGSFYGSPAVITFRIPTKSALQEAYSTPILPLDVLADRPPEKKFEWVSFSLICRYIHLLFYS >Manes.11G078900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11729845:11734319:-1 gene:Manes.11G078900.v8.1 transcript:Manes.11G078900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPISKPLILILVFLFLVSSSLATTDVPFIVANKKATLNRLKSSAERVSVTIDIYNQGTSTAYDVSLVDDHWPQDIFDIISGNTSQSWERLDAGGILSHSFELEGKVKGSFYGSPAVITFRIPTKSALQEAYSTPILPLDVLADRPPEKKFEWAKRLLAKYGSLISVVTIVVLFVYLVATPSKSTAAKASKKRR >Manes.S029716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:649910:650032:1 gene:Manes.S029716.v8.1 transcript:Manes.S029716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.06G140200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26801176:26802793:-1 gene:Manes.06G140200.v8.1 transcript:Manes.06G140200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPISFLRSPILNLSLLSIYGCFCFFSIYQFSYLSLHRLSSHTQLVRAHRGQRYRNLDPNCYKEGNSIFERSANWASTAAVSSRSQSP >Manes.08G001400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:413883:423694:1 gene:Manes.08G001400.v8.1 transcript:Manes.08G001400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRVKHTKNMKDNQITASRDHILSTKDAKLGGQAKDVEEDVCVKDTFDEKVKKTTEENTADNENSLELFFPWKEELVFLVHGGVPKDLRGEVWQAFVGVKARRVERYYEELLAEETDADESEDHGNSTDVARKWKRQIEKDLPRTFPGHPALDEHGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALMEIYGPALLTTKDAGDAITLLQSLAGSTFDSSQLVFTACMGFLAVNEAKLQELREKHRPAVLLLVEERSKENRVWKDSKGLASKLYSFKHDPGSITEEKNNGEGGNSDFESPSSNLDALLGSPNVETEADLLPNLQDQVVWMKVELCRLLEEKRQAILRSEELETALMEMVQEDNRRQLSAKIEQLEQEVADLQQALSDKKEQEAAMLQVLMRVEQEQRITEEARETAEQDAAAQRYAVTILQEKYDKAMASLSQMEQRVVMAESMLEATINYESGQAKAHSPRSGHNQSSAEETPGRSMSLLKFGLGWREKVKGKPNHESAHSISSNEGKDCSTWQKESKD >Manes.08G001400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:413663:423694:1 gene:Manes.08G001400.v8.1 transcript:Manes.08G001400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLVSRRSLEVDTRDSYGFALRPQHVQRYREYARIYKEEEEERSEKWRIFLENQKESNQFCSSEEEPRQILQAAELREETISVRGQEGDYSSGRRSNFHGSEECDHYKEAQLADAPEKEVQYLEEQQKEQSSAEPGKEVQLSKEPEKEDQNSKELEQVVHHLGEPEKEVQLSKEPKEDKLLLKQSKAGKVQTWYGIRQSLRALEKMMSSRVKHTKNMKDNQITASRDHILSTKDAKLGGQAKDVEEDVCVKDTFDEKVKKTTEENTADNENSLELFFPWKEELVFLVHGGVPKDLRGEVWQAFVGVKARRVERYYEELLAEETDADESEDHGNSTDVARKWKRQIEKDLPRTFPGHPALDEHGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALMEIYGPALLTTKDAGDAITLLQSLAGSTFDSSQLVFTACMGFLAVNEAKLQELREKHRPAVLLLVEERSKENRVWKDSKGLASKLYSFKHDPGSITEEKNNGEGGNSDFESPSSNLDALLGSPNVETEADLLPNLQDQVVWMKVELCRLLEEKRQAILRSEELETALMEMVQEDNRRQLSAKIEQLEQEVADLQQALSDKKEQEAAMLQVLMRVEQEQRITEEARETAEQDAAAQRYAVTILQEKYDKAMASLSQMEQRVVMAESMLEATINYESGQAKAHSPRSGHNQSSAEETPGRSMSLLKFGLGWREKVKGKPNHESAHSISSNEGKDCSTWQKESKD >Manes.08G001400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:413883:423694:1 gene:Manes.08G001400.v8.1 transcript:Manes.08G001400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSFNLLHTVKSRRDSYGFALRPQHVQRYREYARIYKEEEEERSEKWRIFLENQKESNQFCSSEEEPRQILQAAELREETISVRGQEGDYSSGRRSNFHGSEECDHYKEAQLADAPEKEVQYLEEQQKEQSSAEPGKEVQLSKEPEKEDQNSKELEQVVHHLGEPEKEVQLSKEPKEDKLLLKQSKAGKVQTWYGIRQSLRALEKMMSSRVKHTKNMKDNQITASRDHILSTKDAKLGGQAKDVEEDVCVKDTFDEKVKKTTEENTADNENSLELFFPWKEELVFLVHGGVPKDLRGEVWQAFVGVKARRVERYYEELLAEETDADESEDHGNSTDVARKWKRQIEKDLPRTFPGHPALDEHGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALMEIYGPALLTTKDAGDAITLLQSLAGSTFDSSQLVFTACMGFLAVNEAKLQELREKHRPAVLLLVEERSKENRVWKDSKGLASKLYSFKHDPGSITEEKNNGEGGNSDFESPSSNLDALLGSPNVETEADLLPNLQDQVVWMKVELCRLLEEKRQAILRSEELETALMEMVQEDNRRQLSAKIEQLEQEVADLQQALSDKKEQEAAMLQVLMRVEQEQRITEEARETAEQDAAAQRYAVTILQEKYDKAMASLSQMEQRVVMAESMLEATINYESGQAKAHSPRSGHNQSSAEETPGRSMSLLKFGLGWREKVKGKPNHESAHSISSNEGKDCSTWQKESKD >Manes.08G001400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:413690:423694:1 gene:Manes.08G001400.v8.1 transcript:Manes.08G001400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLVSRRSLEVDTRDSYGFALRPQHVQRYREYARIYKEEEEERSEKWRIFLENQKESNQFCSSEEEPRQILQAAELREETISVRGQEGDYSSGRRSNFHGSEECDHYKEAQLADAPEKEVQYLEEQQKEQSSAEPGKEVQLSKEPEKEDQNSKELEQVVHHLGEPEKEVQLSKEPKEDKLLLKQSKAGKVQTWYGIRQSLRALEKMMSSRVKHTKNMKDNQITASRDHILSTKDAKLGGQAKDVEEDVCVKDTFDEKVKKTTEENTADNENSLELFFPWKEELVFLVHGGVPKDLRGEVWQAFVGVKARRVERYYEELLAEETDADESEDHGNSTDVARKWKRQIEKDLPRTFPGHPALDEHGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALMEIYGPALLTTKDAGDAITLLQSLAGSTFDSSQLVFTACMGFLAVNEAKLQELREKHRPAVLLLVEERSKENRVWKDSKGLASKLYSFKHDPGSITEEKNNGEGGNSDFESPSSNLDALLGSPNVETEADLLPNLQDQVVWMKVELCRLLEEKRQAILRSEELETALMEMVQEDNRRQLSAKIEQLEQEVADLQQALSDKKEQEAAMLQVLMRVEQEQRITEEARETAEQDAAAQRYAVTILQEKYDKAMASLSQMEQRVVMAESMLEATINYESGQAKAHSPRSGHNQSSAEETPGRSMSLLKFGLGWREKVKGKPNHESAHSISSNEGKDCSTWQKESKD >Manes.08G001400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:413663:423694:1 gene:Manes.08G001400.v8.1 transcript:Manes.08G001400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRVKHTKNMKDNQITASRDHILSTKDAKLGGQAKDVEEDVCVKDTFDEKVKKTTEENTADNENSLELFFPWKEELVFLVHGGVPKDLRGEVWQAFVGVKARRVERYYEELLAEETDADESEDHGNSTDVARKWKRQIEKDLPRTFPGHPALDEHGRDSLRRLLLAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNMIPWESVIRVWDVLLFEGNRVMLFRTALALMEIYGPALLTTKDAGDAITLLQSLAGSTFDSSQLVFTACMGFLAVNEAKLQELREKHRPAVLLLVEERSKENRVWKDSKGLASKLYSFKHDPGSITEEKNNGEGGNSDFESPSSNLDALLGSPNVETEADLLPNLQDQVVWMKVELCRLLEEKRQAILRSEELETALMEMVQEDNRRQLSAKIEQLEQEVADLQQALSDKKEQEAAMLQVLMRVEQEQRITEEARETAEQDAAAQRYAVTILQEKYDKAMASLSQMEQRVVMAESMLEATINYESGQAKAHSPRSGHNQSSAEETPGRSMSLLKFGLGWREKVKGKPNHESAHSISSNEGKDCSTWQKESKD >Manes.04G120600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32206031:32214871:1 gene:Manes.04G120600.v8.1 transcript:Manes.04G120600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENVLPTNVGDISGRITRSRAAALCASGRMPSLRASAQLGQKHISRAKSKRAALDENNTIAPDNAGNKPKKRAVLQDVTNVCCENSYRSCFNATRIQAKNGKQAKKSQLNVSKVAPSLAVEVPHLQAKPKSKTVQETLKVEPKSEVTCSTTFEKDVHSQQSDISEFGTSNHWLPEQSTIPSQPSSSLKKVEKPGLIESGMISSDPDFTDIDCDHKDPQLCSLYAPGIYNSFRVAELFRRPNSTYMETVQRDITQSMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDKFLSQNYIERNRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKGEVLKMEILVLKYFSFQIFAPTAKTYLRRFLRAAQASYKSPSYELEYLADYLAELTLIDYNFLNFLPSVIAASAVFLARWTLDQTIHPWNSTLEHYTSYKASDIKTTVFALQDLQLNTNGCPLRAISMKYRQEKFKTVAALSSPKLLDTLF >Manes.04G120600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32205873:32214929:1 gene:Manes.04G120600.v8.1 transcript:Manes.04G120600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENVLPTNVGDISGRITRSRAAALCASGRMPSLRASAQLGQKHISRAKSKRAALDENNTIAPDNAGNKPKKRAVLQDVTNVCCENSYRSCFNATRIQAKNGKQAKKSQLNVSKVAPSLAVEVPHLQAKPKSKTVQETLKVEPKSEVTCSTTFEKDVHSQQSDISEFGTSNHWLPEQSTIPSQPSSSLKKVEKPGLIESGMISSDPDFTDIDCDHKDPQLCSLYAPGIYNSFRVAELFRRPNSTYMETVQRDITQSMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDKFLSQNYIERNRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKGEVLKMEILVLKYFSFQIFAPTAKTYLRRFLRAAQASYKSPSYELEYLADYLAELTLIDYNFLNFLPSVIAASAVFLARWTLDQTIHPWNSTLEHYTSYKASDIKTTVFALQDLQLNTNGCPLRAISMKYRQEKFKTVAALSSPKLLDTLF >Manes.04G120600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32207017:32214957:1 gene:Manes.04G120600.v8.1 transcript:Manes.04G120600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENVLPTNVGDISGRITRSRAAALCASGRMPSLRASAQLGQKHISRAKSKRAALDENNTIAPDNAGNKPKKRAVLQDVTNVCCENSYRSCFNATRIQAKNGKQAKKSQLNVSKVAPSLAVEVPHLQAKPKSKTVQETLKVEPKSEVTCSTTFEKDVHSQQSDISEFGTSNHWLPEQSTIPSQPSSSLKKVEKPGLIESGMISSDPDFTDIDCDHKDPQLCSLYAPGIYNSFRVAELFRRPNSTYMETVQRDITQSMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDKFLSQNYIERNRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKGEVLKMEILVLKYFSFQIFAPTAKTYLRRFLRAAQASYKSPSYELEYLADYLAELTLIDYNFLNFLPSVIAASAVFLARWTLDQTIHPWNSTLEHYTSYKASDIKTTVFALQDLQLNTNGCPLRAISMKYRQEKFKTVAALSSPKLLDTLF >Manes.04G120600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32207306:32214871:1 gene:Manes.04G120600.v8.1 transcript:Manes.04G120600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENVLPTNVGDISGRITRSRAAALCASGRMPSLRASAQLGQKHISRAKSKRAALDENNTIAPDNAGNKPKKRAVLQDVTNVCCENSYRSCFNATRIQAKNGKQAKKSQLNVSKVAPSLAVEVPHLQAKPKSKTVQETLKVEPKSEVTCSTTFEKDVHSQQSDISEFGTSNHWLPEQSTIPSQPSSSLKKVEKPGLIESGMISSDPDFTDIDCDHKDPQLCSLYAPGIYNSFRVAELFRRPNSTYMETVQRDITQSMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDKFLSQNYIERNRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKGEVLKMEILVLKYFSFQIFAPTAKTYLRRFLRAAQASYKSPSYELEYLADYLAELTLIDYNFLNFLPSVIAASAVFLARWTLDQTIHPWNSTLEHYTSYKASDIKTTVFALQDLQLNTNGCPLRAISMKYRQEKFKTVAALSSPKLLDTLF >Manes.04G120600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32205841:32214891:1 gene:Manes.04G120600.v8.1 transcript:Manes.04G120600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENVLPTNVGDISGRITRSRAAALCASGRMPSLRASAQLGQKHISRAKSKRAALDENNTIAPDNAGNKPKKRAVLQDVTNVCCENSYRSCFNATRIQAKNGKQAKKSQLNVSKVAPSLAVEVPHLQAKPKSKTVQETLKVEPKSEVTCSTTFEKDVHSQQSDISEFGTSNHWLPEQSTIPSQPSSSLKKVEKPGLIESGMISSDPDFTDIDCDHKDPQLCSLYAPGIYNSFRVAELFRRPNSTYMETVQRDITQSMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDKFLSQNYIERNRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKGEVLKMEILVLKYFSFQIFAPTAKTYLRRFLRAAQASYKSPSYELEYLADYLAELTLIDYNFLNFLPSVIAASAVFLARWTLDQTIHPWNSTLEHYTSYKASDIKTTVFALQDLQLNTNGCPLRAISMKYRQEKFKTVAALSSPKLLDTLF >Manes.04G120600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32206029:32214871:1 gene:Manes.04G120600.v8.1 transcript:Manes.04G120600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENVLPTNVGDISGRITRSRAAALCASGRMPSLRASAQLGQKHISRAKSKRAALDENNTIAPDNAGNKPKKRAVLQDVTNVCCENSYRSCFNATRIQAKNGKQAKKSQLNVSKVAPSLAVEVPHLQAKPKSKTVQETLKVEPKSEVTCSTTFEKDVHSQQSDISEFGTSNHWLPEQSTIPSQPSSSLKKVEKPGLIESGMISSDPDFTDIDCDHKDPQLCSLYAPGIYNSFRVAELFRRPNSTYMETVQRDITQSMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDKFLSQNYIERNRLQLLGITCMLIASKYEEICAPRVEEFCFITDNTYTKGEVLKMEILVLKYFSFQIFAPTAKTYLRRFLRAAQASYKSPSYELEYLADYLAELTLIDYNFLNFLPSVIAASAVFLARWTLDQTIHPWNSTLEHYTSYKASDIKTTVFALQDLQLNTNGCPLRAISMKYRQEKFKTVAALSSPKLLDTLF >Manes.11G002400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:335074:336312:-1 gene:Manes.11G002400.v8.1 transcript:Manes.11G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPISQTTPSPYVTACSSSVSSCSSATSSTEISDDSPPTSFRFQLQHVDHHYPTKSYSYRLLAVLSEHVGPVSCLALCGEFVLSASQGKDIIVWQQPDLRLFTKFGQGDGSVKALVTVGNRVFTAHQDSRIRAWKVSRSSENVFRLLDTLPTAKDYLGKFMKQSNYVQTRRHHKKLWIEHADSISCLILHSGFIYSGSWDTTLKVWRISDLKCLESIKAHDDAINGLVASKGIVYSASADGKIKAWGKEGKSPHFLKGTLEGHKDASLNTVVVSEDGRWVYGGGSDGFLMGWEGSGDFASWKLVSETKAHQMAVLCMCLMGEFLCSGSADKSISIWKREAYGKLCKSVVISGHEGPVKCLQASPNCVGGGFLLYSGGLDKSVRVWWVPKHFNQIQDTSAIQSTAEQSITLC >Manes.11G060255.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7936517:7938691:1 gene:Manes.11G060255.v8.1 transcript:Manes.11G060255.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRISTQVHSIIKLQLKRTFQLPNFKHDLVQLDISSNNLTGMLPKEFGEVLPRLEYINMSRNNFGGNVPSSISETTSLSILDLSHNNFSGELPRSLFANCTMNCALILSNNNFQGNVFPQGMNLRSMTALDMKNNNFSAMNSRSRSSLSFFDISNNKVSGPIPRLLCNLTYLVFLDLSKNRLYGFMPSCFDSSLLRFLFLQKNNLSGPIPHELLRSPNLGALDLRDNNFSGNIPSWIGQFSELHVLSLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPACFSNISFGNNISFGMMEVFDIPYFMGFNPSTLDEIALNLHLPWLDWDPSEIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHQIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGRVPDGAQFGTFDENNYRGNPGLCGERIHKSCKSDEAPQTPPPSADVEEEDEGGIDMVWFYWSFSGAYVTILLVLAAILRINRYWRMLWFYYVDVCIYSISIWVCRN >Manes.15G123700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9847651:9852264:1 gene:Manes.15G123700.v8.1 transcript:Manes.15G123700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRSDPTSRIYPEPECLSIDHFDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHYLVPQVDNVVVRVDCVISDDDPSTSSSSDKSRSSSSSSGLSSIFRLVFCGIVKPFQALGQLLGPRREMLNSCPTRNGFLPSSSSSSVSSSSLSVGNEDDGQMDQGGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVINNGHNKSCPVLQENGSDGACGNANNHFHNNGGSGNGGGDDNGSIPESFYTNGGLKLRVLWTISSLIAASARHYLLQPIIAEHKTLDNLVLTDADGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIKPSEQSTAKKEVCDVSWVSTAFEEPYGTAVRMLVKRRTYCLEMNSF >Manes.15G123700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9847647:9852264:1 gene:Manes.15G123700.v8.1 transcript:Manes.15G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRSDPTSRIYPEPECLSIDHFDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHYLVPQVDNVVVRVDCVISDDDPSTSSSSDKSRSSSSSSGLSSIFRLVFCGIVKPFQALGQLLGPRREMLNSCPTRNGFLPSSSSSSVSSSSLSVGNEDDGQMDQGGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVINNGHNKSCPVLQENGSDGACGNANNHFHNNGGSGNGGGDDNGSIPESFYTNGGLKLRVLWTISSLIAASARHYLLQPIIAEHKTLDNLVLTDADGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIKPSEQSTAKKEVCDVSWVSTAFEEPYGTAVRMLVKRRTYCLEMNSF >Manes.06G016600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2742075:2746714:-1 gene:Manes.06G016600.v8.1 transcript:Manes.06G016600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEEPKNKLKLYSYWRSSCSYRVRIALNLKGLSYEYVPVNLVKGEQFNPEFLKLNPIGYVPVLVDGDIVISDSFAILMYLDEKYPQHPLLPRDLHKKAINYQAANIVSSSIQPLQNLAVLKYIEEKVSPDEKLPWLQYHIGKGFAALEKLLKDHAGRYATGDEVFLTQFPLLSRLHEAYNELPAFQNAMPENQPDAPASSTA >Manes.06G016600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2742075:2746714:-1 gene:Manes.06G016600.v8.1 transcript:Manes.06G016600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEEPKNKLKLYSYWRSSCSYRVRIALNLKGLSYEYVPVNLVKGEQFNPEFLKLNPIGYVPVLVDGDIVISDSFAILMYLDEKYPQHPLLPRDLHKKAINYQAANIVSSSIQPLQNLAVLKYIEEKVSPDEKLPWLQYHIGKGFAALEKLLKDHAGRYATGDEVFLVLFFFYLFMLLYF >Manes.06G016600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2742075:2746714:-1 gene:Manes.06G016600.v8.1 transcript:Manes.06G016600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEEPKNKLKLYSYWRSSCSYRVRIALNLKGLSYEYVPVNLVKGEQFNPEFLKLNPIGYVPVLVDGDIVISDSFAILMYLDEKYPQHPLLPRDLHKKAINYQAANIVSSSIQPLQNLAVLKYIEEKVSPDEKLPWLQYHIGKGFAALEKLLKDHAGRYATGDEVFLADLFLAPQLYQAVKRFNLDMTQFPLLSRLHEAYNELPAFQNAMPENQPDAPASSTA >Manes.08G038800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3821917:3828721:-1 gene:Manes.08G038800.v8.1 transcript:Manes.08G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNIVTEAGLPTRVGQWWESIPFLTSAVVVVCGTIYLVCLFIGYDSFYEICFLPSAVISRFQVYRIYTSIVFHGSLLHVLFNMLALVPLGSELERIMGSIRLLYLIILLATSNAIFHLLIALLVAHNPFHAYQNLMDECAIGFSGILFSMIVIETSLSGIQSRSVFGLFNVPAKWYAFILLIVFQLLMSNVSLLGHLCGILSGFAYIYGFFNFLMPGSTFYSAIEASSFLSSCVRRPKFIMCTGGNSSAYIPTYSSQNTTSSGLLSGNIWRNLSSWIPQRETSVQSTQDSRFPGRGRTLGSTGSQVIPAVNSDSNLQARLLDDSNSDNSSNLGVTGTVERLSDGRRSGVGNTVPAAPEGPTRHQDSVASDEQIQKLVSMGFEKTQVEVALAAADGDLNVAVEILMSQQG >Manes.05G061900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5193857:5196979:1 gene:Manes.05G061900.v8.1 transcript:Manes.05G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLQTPKSQESTAEEQSKQQQLAIVPSQSISPPFAAASISLSLSTILPTHFFNHPKISSLFSHSPTKAKIPTQAASLSHLSLSSSTLSPPKLSFKSTISANPLQNTLSLGPRRPADPSNAAGIRRASIVWFRSDLRVNDNECLNSANDESMSVLPVYCFDPREYGKSSSGFDKTGPYRASFLIESVADLRKNLQVRGSDLVVRVGKPETVLIELAKAIGADAVYAHREVSHDEVKAEENIEAAMKDEGVEVKYFWGSTLYHVDDLPFKLEDMPSNYSGFKDKLQGVELRKTVAALDQLKGMPSRGDVEPGEIPSLLDLGLSPTQEGKAVANASMVGGETEALQKLKKFAAECEAQPPKGGSHDSIYGANFSCKISPWLTIGCISPRSMFDEIKKTAARTISAAANRNDGASSTDTGMNWLMFELLWRDFFRFITKKYSSPKKQLEAAPAAACTGVLA >Manes.01G254904.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41222851:41223407:-1 gene:Manes.01G254904.v8.1 transcript:Manes.01G254904.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFLETVAIFNHLQNSVILHFNFVYMYYILLVQRLFCRLVS >Manes.05G122547.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20908737:20915079:1 gene:Manes.05G122547.v8.1 transcript:Manes.05G122547.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTFFSIFLVSSSPAIAGSAQYSVQSYGAKPDGTTDSTKAFLAAWAQVCGSSETASLYVPSGRFSLGKVTFQGPCKNSAILVTIDGTLVAPSDYSAIGHEKNWLMFEHVDGLTVSGGILDGQGTALWSCKASGKNCPSGATSIEFSNSNNIQINGLASQDSQKFHIVINGCNNVKVQDVKVSAAGNSPNTDGIHVEQSNGVTILNSKIGTGDDCVSIGPGTTNLWIENVACGPGHGISIGSLGKELEEDGVQNVTVKTCTFSGTENGVRIKSWGRPSTGFAKNILFQHAVMTNVQNPIVIDQNYCPGDKNCPNQQSGIKISDVTYQDIHGSSATEVAVKLDCSKKNPCTGIELEDVKLTYNNRPAEASCTNAAGTISGFVQPSSCL >Manes.06G161500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28891856:28902907:1 gene:Manes.06G161500.v8.1 transcript:Manes.06G161500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVEKSSAPPSNYYHVFFSSRSDDTRKPFIALLYKELERKGFLCFKDNQKLGREKSTQAIESSRFAVVVITESYASSSLCLDELVKIIQWKETRGLSVLPIFYNVDPLEVKEQTGWFAQVFERHENDSSVLEKLQSWREALIKLAFIDGWNSRDWVDDHKLTEEVAKVILKEWILHMPSSDFNGLVGIDSRVEQIQSLLNMGSEDVLFVGLWGMGGIGKTTTARALFNQISNHFEAAHFVANVREESEKRTIIRLRDEILSKVLEEKNLNIGMRSVLPRFILNRLRRKKILFVLDDVSNVEQLTTLAGDHTWFGSGSRIIITSRDKQVLINKADKIHEVKGLNYHEALQLLSLKSFKQNHPVEDYVVLSQRVVSYTKGVPLALNVLGSLLYNKQIEEWKSILEKLEESPNLEIQKVLKISYDELEWVDKDIFLDIACFFKGDDVDCVMAILDGCDFFPSIGISRLIDKSLIAIVDNKLDMHDLLQEMGQDIVWQESSKNPVKCSRLWTPENIYPILTRNEGTIATEGIFLDISEIEKVNLSPIAFSRMCNMRLLKFYHNSSLSWKNPTGFISKSTLQSCDGLQSLPHKLSYLHWHGYPWESLPSNFAMENLVQLEMPFSQVKELWDGVKHLQKLKHLDLHDCEHLTTLPDLSSASNLERIILDNCTNLLEIPSSIQCLHKLVSLSLSNCKEMQSLPSLIPLKSLHTLNLSSCSNLKKFPEIHEDIEELYLDGTGVEEWPSSVQVLDKLKFLSLDHCDDLKILPSSVHLKSLDTLDLSWCCSLKNCPDIIGPIIKSINLGYTAIEELPSSIGSLTSLVKLNLKDTAIKELPSSIGNLTSLVELNIKESSIEQLPSSIGCLSSLVKLNMAVSSIEELPSSIGNLSSLVEFNLEKSALTTLPSSVGGLTSLVKLTLAVTEIEELPASIGCLSSLVELDLSQCPRLGSLPSSIGELKCLEKLFLCGLRRLRSLPSSICELKRLQDLYLNHCTKLSKLPSLSGFGSLRDLVLSNTGIIKIPGTLGYLSSLQVLLLKGNNFMRIPTSIKQLWGLEVLDISYCKRLKSLPELPCRIRVLLAHNCKSLKTISSPLIQLGESHEQLLEDDKYGFTFANCVNLEKNACNYIVENMVLQIQRLATALLQSTGYEDIMVSPVVCFPGNEIPEWFSYQSAGASITTLLPPNWYNTKLVGFTFCAVIELEKHQYLDGFTFQCNCHFENENGDILEFSSKETGEWGSQFEFESDHVFIWNTSCIDMLIEERYDRLRSNSCTATFEFESHMEDEFKEVLPGANHFKVKKCGFNPVYAKDEEEWDSDIDKPMSSDGPMQICMKDGARTPDFGDLVIEIPTDKKRSTEDYCSNETNCIEDGREEMEPQQKKLK >Manes.13G075613.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11349097:11352416:-1 gene:Manes.13G075613.v8.1 transcript:Manes.13G075613.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNGTTRIKLPSGAKKIVPSGCRAMVGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKGA >Manes.08G080901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26584352:26597197:1 gene:Manes.08G080901.v8.1 transcript:Manes.08G080901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIRFFQLNTGAKIPSVGLGTWQSSPGVVGDAVTAAVKVGYRHIDCAAVYGNEKEIGSVLKKLFDDGVVKREELWITSKLWCTDHAPEDVPVALNRTLQDLQLDYVDLYLIHWPASMKKGSVGFKPENLTRPDIPSTWRAMEALFDAGKARAIGVSNFSAKKLEDLVAVARVPPAVNQVEIHPVWQQPKLHEYCKSKGIHLTGYSPLGSPGTGTVKTQVLKNPILTAVAEKLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENFDVFDWSIPDDLLAKFSEIEQVRLIRGTGFVDETYGVYRTVEELWDGEV >Manes.08G080901.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26584352:26597197:1 gene:Manes.08G080901.v8.1 transcript:Manes.08G080901.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIRFFQLNTGAKIPSVGLGTWQSSPGVVGDAVTAAVKVGYRHIDCAAVYGNEKEIGSVLKKLFDDGVVKREELWITSKLWCTDHAPEDVPVALNRTLQDLQLDYVDLYLIHWPASMKKGSVGFKPENLTRPDIPSTWRAMEALFDAGKARAIGVSNFSAKKLEDLVAVARVPPAVNQVEIHPVWQQPKLHEYCKSKGIHLTVPQEQELSRLRFSRILFSLQLLRN >Manes.05G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8624738:8626437:-1 gene:Manes.05G094200.v8.1 transcript:Manes.05G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGSSVPFRVLVVAIAALGFACLLLIATLQTGPTKSTARTVEATASFKLDADIGREKLIYDPELDINYMMSKRKVPNGPDPIHNRRAGNSKRPPGQV >Manes.12G112666.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30037929:30039154:1 gene:Manes.12G112666.v8.1 transcript:Manes.12G112666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQHERIDGYSYWWVPVITLCPSPGLGPEFLIPPRVWLCPTPGLGPDSLISPRDKPSKKPLITLLAAESLVFKGRAWDSMITKFISTRIRKKLIDFLRKAAMEKELKEESRSRDERNLAGLDLDQIMHEIVMLVSDIYIRKGFNHSNGCCVQEPTREVLGSEKKKSWKKRNQRREKGNWERKLKVQIGRCRKELIENNYIFKRIHSINSRVDMFDRRAASVMASWDISILLGIETKDYRLQLMFEFH >Manes.11G020250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2180066:2181419:-1 gene:Manes.11G020250.v8.1 transcript:Manes.11G020250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQSLTLILVILGLVCNFCALLVESRSQNVPVSLAFVSKFVSSSPPPPPPPPPPPPPPPPSPPPPSPPPPPPPPPPPPPPPPPSPIPPPPPPPPTPSTSPPFPTPPSPSPLPPAPESTSPSSPAPPRLSPPPPHSETSALPPSPSSALRNSSSNGSRTLHQSTSSTQKNHEMNAGQKVGILFVCIAAILQIGVVGFLVFKRRQLLRIQERYETYSS >Manes.11G020250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2180066:2181419:-1 gene:Manes.11G020250.v8.1 transcript:Manes.11G020250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQSLTLILVILGLVCNFCALLVESRSQNVPVSLAFVSKFVSSSPPPPPPPPPPPPPPPPSPPPPSPPPPPPPPPPPPPPPPPSPIPPPPPPPPTPSTSPPFPTPPSPSPLPPAPESTSPSSPAPPRLSPPPPHSETSALPPSPSSALRNSSSNGSRTLHQSTSSTQKNHEMNAGQKILG >Manes.04G164100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35745084:35749794:-1 gene:Manes.04G164100.v8.1 transcript:Manes.04G164100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP0 MEPPSSSSWVPKLFFIAIVFFFPYASSSSLHPLVVNSTVEHRNYTGISSFRVVNRRALLDCPWKNPYLQVTVKSSGNYTLSNEEFVSVTVSGVLHPSSDHWVAMISPSNSDVGACPLNEAGYLQTGDLSNLPLLCHYPVKAAFMSNDPDYLSCKKKECKKHHKKKCAVTTCTATLKFHIINIRTHIEFVLFAGGFDDPCVLARSTPLKFSNPNTPLYAHISTTDSTATSMRVTWVSGSNEPQYVQYGNGKTLTSIVTTFSQEDMCSSVVPSPAKDFGWHDPGYIHSAVMTGLNPSSKFSYRYGSDSVGWSDQIQFKTPPAAGSNELRFLAFGDMGKAPRDASTEHYIQPGSISVVDSMIEEVKSGNVDSIFHIGDISYATGFLVEWDFFLHLISPLASKVPYMTAIGNHERDYIGTGVVYGTPDSGGECGVAYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWAPNSEQYQWMRKDMASVDRKKTPWLIFTGLIWCSLAMFTITREAVLFIELNAWPCLPKIQMGSRHMITTITKHQCMQLLAWLASLWTTSQKLFRVGV >Manes.04G164100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35745083:35749794:-1 gene:Manes.04G164100.v8.1 transcript:Manes.04G164100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP0 MQETPQEEMCARSTPLKFSNPNTPLYAHISTTDSTATSMRVTWVSGSNEPQYVQYGNGKTLTSIVTTFSQEDMCSSVVPSPAKDFGWHDPGYIHSAVMTGLNPSSKFSYRYGSDSVGWSDQIQFKTPPAAGSNELRFLAFGDMGKAPRDASTEHYIQPGSISVVDSMIEEVKSGNVDSIFHIGDISYATGFLVEWDFFLHLISPLASKVPYMTAIGNHERDYIGTGVVYGTPDSGGECGVAYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWAPNSEQYQWMRKDMASVDRKKTPWLIFTGHRPMYSSDLLSVDPTFVAFVEPLLQQYKVDLVFFGHVHNYERSCSVYRAKCLAMPTKDTNGVETYDHNNYKAPVHAIIGMAGFTLDNFSEIVPSWSLKRVSKFGYGRVHATQEELKFELVNSNTRQAEDSFRIIKKAK >Manes.04G164100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35745084:35749794:-1 gene:Manes.04G164100.v8.1 transcript:Manes.04G164100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP0 MSNDPDYLSCKKKECKKHHKKKCAVTTCTATLKFHIINIRTHIEFVLFAGGFDDPCVLARSTPLKFSNPNTPLYAHISTTDSTATSMRVTWVSGSNEPQYVQYGNGKTLTSIVTTFSQEDMCSSVVPSPAKDFGWHDPGYIHSAVMTGLNPSSKFSYRYGSDSVGWSDQIQFKTPPAAGSNELRFLAFGDMGKAPRDASTEHYIQPGSISVVDSMIEEVKSGNVDSIFHIGDISYATGFLVEWDFFLHLISPLASKVPYMTAIGNHERDYIGTGVVYGTPDSGGECGVAYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWAPNSEQYQWMRKDMASVDRKKTPWLIFTGHRPMYSSDLLSVDPTFVAFVEPLLQQYKVDLVFFGHVHNYERSCSVYRAKCLAMPTKDTNGVETYDHNNYKAPVHAIIGMAGFTLDNFSEIVPSWSLKRVSKFGYGRVHATQEELKFELVNSNTRQAEDSFRIIKKAK >Manes.04G164100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35745084:35749794:-1 gene:Manes.04G164100.v8.1 transcript:Manes.04G164100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP0 MEPPSSSSWVPKLFFIAIVFFFPYASSSSLHPLVVNSTVEHRNYTGISSFRVVNRRALLDCPWKNPYLQVTVKSSGNYTLSNEEFVSVTVSGVLHPSSDHWVAMISPSNSDVGACPLNEAGYLQTGDLSNLPLLCHYPVKAAFMSNDPDYLSCKKKECKKHHKKKCAVTTCTATLKFHIINIRTHIEFVLFAGGFDDPCVLARSTPLKFSNPNTPLYAHISTTDSTATSMRVTWVSGSNEPQYVQYGNGKTLTSIVTTFSQEDMCSSVVPSPAKDFGWHDPGYIHSAVMTGLNPSSKFSYRYGSDSVGWSDQIQFKTPPAAGSNELRFLAFGDMGKAPRDASTEHYIQPGSISVVDSMIEEVKSGNVDSIFHIGDISYATGFLVEWDFFLHLISPLASKVPYMTAIGNHERDYIGTGVVYGTPDSGGECGVAYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWAPNSEQYQWMRKDMASVDRKKTPWLIFTGHRPMYSSDLLSVDPTFVAFVEPLLQQYKVDLVFFGHVHNYERSCSVYRAKCLAMPTKDTNGVETYDHNNYKAPVHAIIGMAGFTLDNFSEIVPSWSLKRVSKFGYGRVHATQEELKFELVNSNTRQAEDSFRIIKKAK >Manes.04G164100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35745083:35749794:-1 gene:Manes.04G164100.v8.1 transcript:Manes.04G164100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP0 MEPPSSSSWVPKLFFIAIVFFFPYASSSSLHPLVVNSTVEHRNYTGISSFRVVNRRALLDCPWKNPYLQVTVKSSGNYTLSNEEFVSVTVSGVLHPSSDHWVAMISPSNSDVGACPLNEAGYLQTGDLSNLPLLCHYPVKAAFMSNDPDYLSCKKKECKKHHKKKCAVTTCTATLKFHIINIRTHIEFVLFAGGFDDPCVLARSTPLKFSNPNTPLYAHISTTDSTATSMRVTWVSGSNEPQYVQYGNGSVVPSPAKDFGWHDPGYIHSAVMTGLNPSSKFSYRYGSDSVGWSDQIQFKTPPAAGSNELRFLAFGDMGKAPRDASTEHYIQPGSISVVDSMIEEVKSGNVDSIFHIGDISYATGFLVEWDFFLHLISPLASKVPYMTAIGNHERDYIGTGVVYGTPDSGGECGVAYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWAPNSEQYQWMRKDMASVDRKKTPWLIFTGHRPMYSSDLLSVDPTFVAFVEPLLQQYKVDLVFFGHVHNYERSCSVYRAKCLAMPTKDTNGVETYDHNNYKAPVHAIIGMAGFTLDNFSEIVPSWSLKRVSKFGYGRVHATQEELKFELVNSNTRQAEDSFRIIKKAK >Manes.15G162400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13518550:13518762:-1 gene:Manes.15G162400.v8.1 transcript:Manes.15G162400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADWGPVVVAVVLFILLSPGLLFQLPSRIRVVEFGNMNTSGIAILVHAVIYFCIYTILIIAIGIHIHVN >Manes.15G017500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1414364:1417641:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.15G017500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417588:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLASVLSKSEDVVGSDHSSVVSINLFVALLCACIIIGHLLEENRWMNESITALAIGLCTGVVILLTSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVRHYLICV >Manes.15G017500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417641:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.15G017500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417588:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLASVLSKSEDVVGSDHSSVVSINLFVALLCACIIIGHLLEENRWMNESITALAIGLCTGVVILLTSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIEWRIIRERMETDERLIMENYEAVKGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.15G017500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417633:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLASVLSKSEDVVGSDHSSVVSINLFVALLCACIIIGHLLEENRWMNESITALAIGLCTGVVILLTSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.15G017500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417588:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLASVLSKSEDVVGSDHSSVVSINLFVALLCACIIIGHLLEENRWMNESITALAIVYGELMQGLCTGVVILLTSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.15G017500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417642:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.15G017500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417588:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLASVLSKSEDVVGSDHSSVVSINLFVALLCACIIIGHLLEENRWMNESITALAIGLCTGVVILLTSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIEWRIIRERMETDERLIMENYEAVKGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.15G017500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417618:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLCTGVVILLTSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.15G017500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1412235:1417633:1 gene:Manes.15G017500.v8.1 transcript:Manes.15G017500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLASVLSKSEDVVGSDHSSVVSINLFVALLCACIIIGHLLEENRWMNESITALAIGLCTGVVILLTSGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIVLFGAVGTLISTGVISIGAMHFFKKMNIGSLDIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVFFNAIQSFDLSHINSSVAMQFFGNFLNLFVSSTILGVLAGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRVTTRHAFATLSFVAEIFIFLYVGMDALDIEKWKFVSHSPRTSVGVSAILLGLVLVGRAAFVFPLSFLSNLTKKSSYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTISGHTQLRGSAIMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHNSNMSSEPSSPKYLTVPLLNGQDLEDNKGSSNFSHPTSLRMLLSTPSHTVHHYWRKFDDAFMRPVFGGRGFVPYVPGSPADESLLQWQQ >Manes.04G065200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26028125:26033523:1 gene:Manes.04G065200.v8.1 transcript:Manes.04G065200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHRDNGYSITACVYRIFLIMRRRHHLFHFLYAFSCCLLLLFLTFSLFSSPPPVTNHHYLMENEESKGHDIWSSRLSKFYYGCSNASSSFQTADVKTHHNRYLMIATSGGLNQQRTGITDAVVAAYILNATLVVPMLDQKSFWKDTSDFSEIFNVDWFISFLSKDVKIVKRLPMKLGKIVTPYYMRVPRKCTPKCYQNRVLPVFSKKHAIQLGKFDYRLSNRLDMDLQKLRCRVNYHALKFTDSILDMGKKLVERMRMKSNHFIALHLRFEADMLAFSGCYYGGGEKERIELSVIRKRWSTLHQKNPDKERRQGRCLLTPEEVGLMLRALGFGRDIHIYVASGEVYGGEETLKPLKALFPNLHSKETLASQEELAPFSLFSSRMAALDFIVCDESDVFVANNNGNMARILAGRRRYFGHKPTIKPNAKKLYKLFLNRNNMTWEEFASKVRTHQIGFMGEPNEVKPGRGEFHENPSSCICEDSKAMAGGDSTPHNDMHEVQVENNKRNVADEILTVDDHDQDLTTMDYVENGIGTQGKEDLYKSSLTKTDQPELDEWFSD >Manes.04G065200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26028125:26033523:1 gene:Manes.04G065200.v8.1 transcript:Manes.04G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKHRDNGYSITACVYRIFLIMRRRHHLFHFLYAFSCCLLLLFLTFSLFSSPPPVTNHHYLMSKKAVTANSIPDTTTAFRVPENEESKGHDIWSSRLSKFYYGCSNASSSFQTADVKTHHNRYLMIATSGGLNQQRTGITDAVVAAYILNATLVVPMLDQKSFWKDTSDFSEIFNVDWFISFLSKDVKIVKRLPMKLGKIVTPYYMRVPRKCTPKCYQNRVLPVFSKKHAIQLGKFDYRLSNRLDMDLQKLRCRVNYHALKFTDSILDMGKKLVERMRMKSNHFIALHLRFEADMLAFSGCYYGGGEKERIELSVIRKRWSTLHQKNPDKERRQGRCLLTPEEVGLMLRALGFGRDIHIYVASGEVYGGEETLKPLKALFPNLHSKETLASQEELAPFSLFSSRMAALDFIVCDESDVFVANNNGNMARILAGRRRYFGHKPTIKPNAKKLYKLFLNRNNMTWEEFASKVRTHQIGFMGEPNEVKPGRGEFHENPSSCICEDSKAMAGGDSTPHNDMHEVQVENNKRNVADEILTVDDHDQDLTTMDYVENGIGTQGKEDLYKSSLTKTDQPELDEWFSD >Manes.04G065200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26028125:26033523:1 gene:Manes.04G065200.v8.1 transcript:Manes.04G065200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVIAADVKTHHNRYLMIATSGGLNQQRTGITDAVVAAYILNATLVVPMLDQKSFWKDTSDFSEIFNVDWFISFLSKDVKIVKRLPMKLGKIVTPYYMRVPRKCTPKCYQNRVLPVFSKKHAIQLGKFDYRLSNRLDMDLQKLRCRVNYHALKFTDSILDMGKKLVERMRMKSNHFIALHLRFEADMLAFSGCYYGGGEKERIELSVIRKRWSTLHQKNPDKERRQGRCLLTPEEVGLMLRALGFGRDIHIYVASGEVYGGEETLKPLKALFPNLHSKETLASQEELAPFSLFSSRMAALDFIVCDESDVFVANNNGNMARILAGRRRYFGHKPTIKPNAKKLYKLFLNRNNMTWEEFASKVRTHQIGFMGEPNEVKPGRGEFHENPSSCICEDSKAMAGGDSTPHNDMHEVQVENNKRNVADEILTVDDHDQDLTTMDYVENGIGTQGKEDLYKSSLTKTDQPELDEWFSD >Manes.07G004700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:705238:706114:1 gene:Manes.07G004700.v8.1 transcript:Manes.07G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASFKSLAVVFMVAVIAAAASVSAQDLAPAPAPAMDKGAAYSLGMPVAVICSSLLLSMLSLFKH >Manes.S035216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:897561:898373:-1 gene:Manes.S035216.v8.1 transcript:Manes.S035216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G006200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:806579:812124:-1 gene:Manes.07G006200.v8.1 transcript:Manes.07G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPDRSAKSSSPSPSTVETSNAKDMNNLTVETVDSFSSLLDLAANNDVEGFKRLIQHDATSINEVGYWYGRQKGSKNIVLDHRTPLMVAATYGSVDVLKFIVAHTDADVNLSCGMDKTTALHCAASGGSLNAVDVVKLLLSAGADPNCLDGSNYRPIDVIVVPPKLQSQRAALEELLSNCVSNGSLGEHNSNGSLGELSSNGSVGDRPCLQVSISYSPTLSSSPENGSPPSPSVLASSPMASKYNDMPISTSEKKEYPIDPSLPDIKNSIYATDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTPEELRPLYVSTGSAVPSPRSSASAASVMDMAAALSLLPGSPSSVSAMSPTPFNQSMSPTATSISHSSVAWPQPNVPTLHLPGSNFQSSRLRSSLSARDIPPEDLNLLSDFDAQQLILNDLPCFSQSRNNSASLNRSGWSKALAPSNLEELFSAEISSPRYADQAAAVFSPTHKSAVRNQFQQQQSMLSPINTTAVFSPKNVEHPLLHASFGVGSPGRMSPRSVEPLSPMGSRLAAFAQREKQQQHQLRSLSSRDLGSNNPAASVAGSPVNSWTKWGSPNGKLDWSVNGGDELGRLRRTSSFELGNNVEEPDLSWVQSLVKESPPEMLKEKYGMPVSGAALTGEGLKPNSEIDSVLESWLEQMQLDQQQQLVVQ >Manes.S053716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1833348:1833845:-1 gene:Manes.S053716.v8.1 transcript:Manes.S053716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMPIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.06G148200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27403138:27407140:-1 gene:Manes.06G148200.v8.1 transcript:Manes.06G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKPVQISKPNSTAADPPPPPPRILSNKRKLSSVDLRTSPYFKIRALVRQLRPQFIQVLQTPDFRNCKAAHELRIQIKLVMDLYKQMTVEIQPLEKAVLECQPSSGKSMPVKQSWGAEKDGKVAELLQHKQPSEQPAESKTPAYFNSQRKLDDGQSRKSYIVGGSVFGWNFITFGGSSPVYYGRTKESYRNNLKRKMP >Manes.01G227100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39216992:39220765:1 gene:Manes.01G227100.v8.1 transcript:Manes.01G227100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVALFIDDLQSNCAVSHCRICHEAEFESCKSLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQKYEPGYTAASKKCQQIEETTIRDSSEIATENEPESPIEDAAYSEFERTSAADRSASYCRVLALTLTVVLILKHLFATITGGTEDYPFTLVTILVLRICGILLPMYIVLRTIAAIQKRIRRQYQDLDEAENEIEEDEQHLV >Manes.07G074200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22080756:22082214:-1 gene:Manes.07G074200.v8.1 transcript:Manes.07G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQHSFPFLVTILTYLFTSSLSNAAYYNVLYYGAKPDGRTDSTKAFLAAWIQACRSVTPSTVYVPAGRFFLRNIVFQGPCKNGAILFRIVGTLVAPSDYRVIGNAGNWLLFQFVNGVTVYGGVLDGQGPALWACKASGRNCPTGATSLAFSNSNNIAISRLISLNSQMFHIVINGCHNVKVQGVTVSASGNSPNTDGIHVQLSSSVAILNSWIGTGDDCISIGAGTSNMWIERVACGPGHGISIGSLGKELQEPGVENVIVKSVVFTGTQNGLRIKSWARPSNGFVRNIRFQDAVMKNVQNPIIIDQNYCPNNINCPNQGSGIKVSDVAYHGIWGSSATPVAVKFDCSRKSPCTGIYLGDVNLTYRNQPSEASCKNADGVAIGFVQPSSCL >Manes.07G115100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32037633:32040387:-1 gene:Manes.07G115100.v8.1 transcript:Manes.07G115100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWPKTDMSVTNRPHFFKIILDDNIHDKKLSIPRKFVRKYGKDLSNPVLLKVPDGRTWQMELIKSDGEVWLQNGWQEFLEYYSLAHGSFLVFEYNKRDCHFNVIIFDKTASEIDYHVNVTNGEIKEPKMIEETETDASVEISDHLMLSRKRKGKSPLPFSQPQKKVKLETPTENTSLHCCGNPVQGNKNPLAHEQSNHPAAIETSKNFTSLNPFFKLIISSGHLTRPIVHVPRNFISNIKKSTKKAKLQVENRWWIVKLTIYPHHNKGQFLSGWSVFVRENSLRKGDVCIFELIDRETALVKVTIFRNAK >Manes.14G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2301869:2308514:1 gene:Manes.14G024500.v8.1 transcript:Manes.14G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGMAVVKPEMKSYIWLQTADGSIQEVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGRSNKERKTFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKMKNVEVEEERVDERSVDDLLSFINGADGDSKGGKSSKNKKKNRRRKDQSKESSSDSVNETHKKELDTCPSACHNGEIKDVLVASPSKTSNLQESGSAMLSPKIDFDDADIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSLGQERRLVPLSMNGNGSLCKYSGLDRR >Manes.14G024500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2301876:2308514:1 gene:Manes.14G024500.v8.1 transcript:Manes.14G024500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVGMAVVKPEMKSYIWLQTADGSIQEVEEEVAMFCPMICREILQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGRSNKERKTFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKMKNVEVEEERVDERSVDDLLSFINGADGDSKGGKSSKNKKKNRRRKDQSKESSSDSVNETHKKELDTCPSACHNGEIKDVLVASPSKTSNLQESGSAMLSPKIDFDDADIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSLGQERRLVPLSMNGNGSLCKYSGEFSPSCCLSHYTSHWALSLLPPHPLHFSLHK >Manes.03G070956.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10991789:10994128:-1 gene:Manes.03G070956.v8.1 transcript:Manes.03G070956.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETDEAVQMQEETLEHTPQALGGQANASSSSSVRTRGLNLGHPITSNPSDRQLIRLKGNVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFRLFRSRYVRDESEEDMVRITWKKVGKERLQDILNRVRCELLRKHKKTDVAYLYNLGPDWMEAEIWNKLVAYWSTPEWRRNQKLVNQIET >Manes.15G012400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1090013:1093719:1 gene:Manes.15G012400.v8.1 transcript:Manes.15G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDAKDPKQSTADMTAFVQNLLQQMQTRFQTMSDSIITKIDEMGGRIDELEQSINGLRSEMGVEGSPSPSAPSKVKEEPKSGTDSSV >Manes.02G142600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10944485:10947886:-1 gene:Manes.02G142600.v8.1 transcript:Manes.02G142600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGSSSDDQGMVKLSPESRLFHAPRFNCHIIAIIGCKTSINPSVVKLGLEQTLIKHPRFSSKLVVDGRNMKWNPTTVNLEDHIIVPNLDADIESPDQFIEDYISHLTTIPMDLSKPLWELHIINLKTSDAEAIWVFRIHHSIGDGASLMSLLLACTRKTDDPEALPSIPVKKRAGSSFSPSSDGLVWWFFLSIWKILRMIWNSLVDLVLFCATILFLKDTKTPLKGPQGVDLKPRRFVYRSVSLDDIKLVKNKMNITINDVILGVTQAGLSQYLNREYGENKKDGETQHNKVNLPKSILLRATVLVNLRPTPGIQTLADLMNKESNVKWGWGNRLGYLVLPFTVSLQDDPLHYIRQAKATIDRKKLSLEPFCTFQIAKLVLFTFGVKVAAAIAHRFLSNTTLAFSSVVGPLEEVSFYGHPLAFIAPSVYGHPHALTVHFQSYFNKMTIVLAVDVDVIPHPHKLCDDLEHSLNLIKDAALYNKELDAV >Manes.02G142600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10944485:10947886:-1 gene:Manes.02G142600.v8.1 transcript:Manes.02G142600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNPTTVNLEDHIIVPNLDADIESPDQFIEDYISHLTTIPMDLSKPLWELHIINLKTSDAEAIWVFRIHHSIGDGASLMSLLLACTRKTDDPEALPSIPVKKRAGSSFSPSSDGLVWWFFLSIWKILRMIWNSLVDLVLFCATILFLKDTKTPLKGPQGVDLKPRRFVYRSVSLDDIKLVKNKMNITINDVILGVTQAGLSQYLNREYGENKKDGETQHNKVNLPKSILLRATVLVNLRPTPGIQTLADLMNKESNVKWGWGNRLGYLVLPFTVSLQDDPLHYIRQAKATIDRKKLSLEPFCTFQIAKLVLFTFGVKVAAAIAHRFLSNTTLAFSSVVGPLEEVSFYGHPLAFIAPSVYGHPHALTVHFQSYFNKMTIVLAVDVDVIPHPHKLCDDLEHSLNLIKDAALYNKELDAV >Manes.02G142600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10944485:10947886:-1 gene:Manes.02G142600.v8.1 transcript:Manes.02G142600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGSSSDDQGMVKLSPESRLFHAPRFNCHIIAIIGCKTSINPSVVKLGLEQTLIKHPRFSSKLVVDGRNMKWNPTTVNLEDHIIVPNLDADIESPDQFIEDYISHLTTIPMDLSKPLWELHIINLKTSDAEAIWVFRIHHSIGDGASLMSLLLACTRKTDDPEALPSIPVKKRAGSSFSPSSDGLVWWFFLSIWKILRMIWNSLVDLVLFCATILFLKDTKTPLKGPQGVDLKPRRFVYRSVSLDDIKLVKNKMNITINDVILGVTQAGLSQYLNREYGENKKDGETQHNKVNLPKSILLRATVLVNLRPTPGIQL >Manes.09G138100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33783973:33784713:1 gene:Manes.09G138100.v8.1 transcript:Manes.09G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEETLHIGGNKKEEHKGESHGHGDHKDHKDHKDHKGDHHKGEGEHKEGIVDKIKDKIHGDHEHGDGDKKKKKKEKKKHEHGHDHDGHSSSSSDSD >Manes.03G036100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3162612:3165724:-1 gene:Manes.03G036100.v8.1 transcript:Manes.03G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAKHYDAKMNELLTTEGEDFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCNGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTVVRESQRILSSGVHVVVGTPGRVFDMLQRQSLRPDCIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPSNVADLL >Manes.05G009249.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:504194:511764:-1 gene:Manes.05G009249.v8.1 transcript:Manes.05G009249.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKCRANVRLRSLSATTPIAFKVQTSSPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPSDRFLFSLRPFPPNSSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSSGDVNSVKNIIKRQRSILSELSPRGAESLLRVATELADPEGMVNLLLEAGLKIDARADDVGFHQMDAKWQSKGWSELHGAIVFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPQSQIIMAVQLLMLLGTRDM >Manes.01G017151.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4187927:4189837:-1 gene:Manes.01G017151.v8.1 transcript:Manes.01G017151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQARLRDAGTHPVTEVSVGLWLKELEDVAYEAQHVLDEFAYEKLRHQVEIKSQFNKRVRKIFSFSNPVVFNLKLARKIKNITMSLDKIKNDAVLFGFQRVGTVETAEDREFLNRVTCSFLDNSQVVGREDDVSKILSLISCSTGQLLTVVSVVGMAGIGKTTLAKLVCKEVEERKLFDVKLWVCVSDYFDMRRILGEMLEILDTSIGLINNIDAILIRLEKKLEGKRFLLILDDVWNEDFEKWDSLKCCLEKISKKNGNAIIVTTRSENVASSMETSYGHRYKLQKLSNDHCWHIIKERVFDNGAATIPLDLEAIGKDIAKKCQGVPLAAKVLGGTMHFRREKEEWLSIKNSGAWDGLGCDDRILCILKLSFDHLPSYLKQCFAYCSIFPKDFNIDKEQLIQLWMAEGFLGPGGGSAKEMEDIGNENFNNLLANSFFQEEKTNEFQNITSYKMHDLVHDLALYVSKSETLILKNGPVLEVMSSVRHLNLICDEVPAPRIPRDSARKLRSLFSKFDFLYKPWSFRSLRTLKLDGASLKELPASIGKLKHLRYLDISWTAIRVLPESITKLYNLQTLRFMNCELLGKLPSQMRNMVNLRHIYFSYPRQMPDKVGCLTSRHYLL >Manes.13G112900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31844972:31854365:-1 gene:Manes.13G112900.v8.1 transcript:Manes.13G112900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPLRQQFAIFHHHSKALSSLSFPSSPNPFSLFRQSSIPLLRLLASSATFSSQKQQQDRHPQARFHRLKPLAPLPDTLAQKIGKSIRRPGAPSKSRVYADINVIRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWTKFINVDNQHLAVPEAVDFLDMLLRYDHQDRPTAKEAMKAAGFGRSFKSQDAIKVQHLSVVAKDLPLVI >Manes.13G112900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31844972:31854365:-1 gene:Manes.13G112900.v8.1 transcript:Manes.13G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPLRQQFAIFHHHSKALSSLSFPSSPNPFSLFRQSSIPLLRLLASSATFSSQKQQQDRHPQARFHRLKPLAPLPDTLAQKIGKSIRRPGAPSKSRVYADINVIRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRFYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWTKFINVDNQHLAVPEAVDFLDMLLRYDHQDRPTAKEAMAHAYFYPIRNAESSRVRT >Manes.08G005900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:965799:966974:-1 gene:Manes.08G005900.v8.1 transcript:Manes.08G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQLFSAFFFLFCSISSAQTPTPAPSGPTNITAVLEKAGQFTTFIKLMKATQEADQINTQLNNSNQGLTVFAPPDNAFTNLKAGTLNSLTDQEKVQLVQFHILPTFIPMSQFQTVSNPLRTQAGNSANGEFPLNVTTSGNQVNVTTGVNTASVANTIYTDGQLAVYQVDQVLLPLDLFGAPAAPAPAPSKPEKVIPAKAPATTSDDTPADASAATATAVSFGVALIAAMSIKL >Manes.17G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23314482:23325338:1 gene:Manes.17G041600.v8.1 transcript:Manes.17G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMADGGSRLWPSGEIRGLDDLDCGNVGGGENLSIDVDDLFHILSESNDPSQCSPEDLSCKNLKDESAIHVGDRKNFQLQNVFQISDRPHASESESLSPAPFYPSYNSEASDVRIGGSIRSLDHIGSSDMIFDGVKMESASQISSPMHSCPGSFREWCSPFSKDQGERRVGVLQSEIPSCSTASSFADEGVNRVLDNTGVLGINLLLRETGAQFGHMGGKNDSKDASHCVYMDGTHEKFGQGDAFIGKPVDDFCTYMDMPFVDADVASHNEVSPQSTFYSNSDINSELGARHPAMQFGIDADTTCFLDSPQCYFGQDFSFELLPSNEVITANITDETGEFRTESSCSVSDISMIDYSDVKGLNFKSEASNCMSPLSGNFSSNADDRHVDIKSSGMPFSCIQSAIKDRQLASIEGGITNEAVDRKLPCSDETSLFVEEETKQSSSMPIQKHLIYIKNEKASRRAGLDGVAGKIPLNGAHLQLNAPVQYSSCVNKSKINKLPSFAKEERDSKLIQPMHLGHLSSISPESYQNKSSGSKSNVDDDNDLCILEDISQPARINHSAVVGKANTLLQHSAYGDSVHYTGTGGTRLRTNDERFIFRAALQDISQPKSEASPPEGVLAVPLLRHQRIALSWMIQKETSCIYCSGGILADDQGLGKTVSTIALILKERPPPVKVVPQVVKKEEFEPLDLDVDDDDEIIEVDGMEKDAESCRVMSNQSSKISMTSREQSKGRPSAGTLVVCPTSVLRQWADELHKKVTSKANMSVLVYHGSNRTKDPCELAKYDVVLTTYSIVSMEVPKQPLVDEDDDEKGKGEGDDVASVRLTSGKKRKYPPSSGKKGSRNKKGIDAAFESVARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAVYTSFCSTIKIPIQRNPIKGYKKLQAVLKTIMLRRTKGTTIDGKPIINLPPKVVVLKKVDFTDEERYFYTRLEADSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVRGLDSISFGRSSIELAKKLPREKQTCLLNCLEASLAICGICNDPPEDAVVSTCGHVFCNQCISEHLTGDDNQCPTSKCKVRLNTSSVFSKATLTSSLSDEPAQDGSGSDLNGVTNPYTDGITYGSSKIRAALEVLESLTKPRDCISINGSLQNGNATCPDNFCGSGNPVNDNVDKRNLLVDENVNGSVKVIGEKAIVFSQWTRMLDLLEACLKNSSIQYRRLDGTMSVVARDKAVKDFNTVPEVSVMIMSLKAASLGLNMVAACRVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQEKKREMVASAFGEDENGGRQTRLTEEDLKYLFMG >Manes.05G054900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4563315:4564955:-1 gene:Manes.05G054900.v8.1 transcript:Manes.05G054900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSGSLRPITTNNNMRFPVGARPCTLPSASTHLELTLHSPTSHVSRRLLTTKFSMLKLSQPINSHNSLHVRCSLTTTSKKLGRKWMEYQGINNWEGLLDPLDDTLRSEILRYGQFVDAAYRSFDFDPSSSTYATSKFSRNSLFARTGISETGYRMTKDLRATSGLRLPLWIDKAPSWMSTQSSWIGFVAVCQDKNEIARLGRRDVVIAYRGTATCLEWLENLRATLTCLPHREGNVGRSSNGPMVESGFLSLYTSSTSTVPSLQRSVKEEVARVLERYGDEPLSFTITGHSLGAALAILTAYDIKSSFENAATVTAISFGGPRVGNRSFRCQLENGGTKILRIVNSDDIITKVPGFVIDNNDMAGNQTIQMSGLPSWVQKSVENTQWVYAEVGRELRLSSKKSPYLRKKDVATCHELSTYLHLVNGFVSSTCPFRATAKKMLSKHHKEKLGFR >Manes.05G168100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28182957:28185200:1 gene:Manes.05G168100.v8.1 transcript:Manes.05G168100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSPYFNTTIAGVFALLLFSCYLQRKWKSRAFKGKLAPQPLGAWPLIGHFALLARTQDPHLTLSALADKYGPVLTMRIGVHPVLVVSSSEVAKEIFTTNDANVTFRPALVAAKLLGYNYAFFPFSPGGPYWRETRKISVLELLSNRRSEVIKHIRTQEVETSIKELYRACMDKQSIEMKQWFSDLNLDVLLRMVIGKKYFGAGAVGDEKEGRRFQEVIKVLFHYLGMLFLRDAVPFLGWMDVGGHEKAMKNTAKELDDFMEKWLQEHKRKRYNNLDDAEAEKDFMDVMLSILVGKSLEGYDADTINKATCLSLIAGNGTVAVAMTWALALLLNNQPVLNKAQKELDKIVGKERLVDEKDIKKLDYLQAIVKETLRLYPPAFIPGPRQFIEDCTIGGYHVPKNTWLMVNVWKIQRDPRVWPDPAEFKPERFLTTHKNVDVWSQNFELLPFGGGRRGCPGASHSLHMIHLTLATLLHAFEISTPTDAAIDMTPGIGLTNMKMTPLEAVVSPRLPPSCFE >Manes.11G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23061118:23064959:-1 gene:Manes.11G102200.v8.1 transcript:Manes.11G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNFGVLMLVVGMLGLDAATATGFDYGQALDKTFLFFEAQRSGKLLANQRVKWRGDSGLKDGFLQGVDLVGGYYDAGDHVKFGLPMAFSVTMLAWGAIDFRKEITALDQMGHTLWAIRWGTDYFMKAHTQPNVLWAQVGDGDSDHYCWERAEDMTTPRTAYKLDEYHPGSDLAGETAAALAASALAFKPYNSSYSNLLLVHAKQLFSFADRFRGLYDDSVQNAKHFYTSSGYSDELLWAAAWLYRATDDEYYLKYVVDNAVYMSGTGWAVKEFSWDNKYAGVQILLSKILLDGRGGAYTSTLKQYQAKADYFACACLKKNDGYNIQTTPGGLMYVREWNNLQYASAAAILLSIYSDYLSAAKAKLTCPDGQIPPQELLNFAKSQADYILGKNPKSMSYLVGYGPQYPVHVHHRGSSIASIFALHSAVECVQGFETWYRRPQGNPNVIHGALVGGPDQNDNFSDDRSNYEQTEPTLSGNAPLVGLFSKLHSVYGSTGSYQQQTQVPNTSLGVPVEFIHSITNTWNVGTTTYYRHKVIMKNVSQKPISELKLVIEDLSGSLWGLSPTSEKNTYELPQWLKVLKPSSEYSFVYVQGGPQAKVSVRSYC >Manes.05G168500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28208764:28214302:-1 gene:Manes.05G168500.v8.1 transcript:Manes.05G168500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLQITVIAGFFSFIFLCNAYLQRTKSSKCSKSREAPEPAGAWPIIGHLNLLAGVDKLLHQTLGSMADKYGPAFNIRIGSHLAFVISTRELAKECFTINDKAVASRPTTAATKHMCYNHAVFGFAPYSSHWREMRKIVMLELLSNRRIEMVKHVQASELDLGIRKLYSLWTENNSLPVLVELKQWFEDMTLNVIVRALAGKRYTGSTDDDETRRCQKAISQFFHLMGIFVVSDALPFLRWLDLEGHEKAMKQTAKDLDAVLAGWLTEHRQKIVSGEIKTKGEQDFIDVMLSLEEKGQLSGFQYDSDTSIKSTCLALIAGASDTTTTTLTWAISLLLNNKPVLKKAQEELDLHIGTGRKVDESDIINLVYLQAIIKETLRLYPVAPIIPREFMENCKIGGYHVPAGTRLLVNVWKIQRDPSFWTNPTAFQPERYITNHKDVDVRGQHYELLPFGSGRRSCPGASFALHALQLTLARFLHEFDLATPNDQPVDMTETPGTTLPKATPLEVLLSPRLSAKLYSC >Manes.05G168500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28208764:28214326:-1 gene:Manes.05G168500.v8.1 transcript:Manes.05G168500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPAFNIRIGSHLAFVISTRELAKECFTINDKAVASRPTTAATKHMCYNHAVFGFAPYSSHWREMRKIVMLELLSNRRIEMVKHVQASELDLGIRKLYSLWTENNSLPVLVELKQWFEDMTLNVIVRALAGKRYTGSTDDDETRRCQKAISQFFHLMGIFVVSDALPFLRWLDLEGHEKAMKQTAKDLDAVLAGWLTEHRQKIVSGEIKTKGEQDFIDVMLSLEEKGQLSGFQYDSDTSIKSTCLALIAGASDTTTTTLTWAISLLLNNKPVLKKAQEELDLHIGTGRKVDESDIINLVYLQAIIKETLRLYPVAPIIPREFMENCKIGGYHVPAGTRLLVNVWKIQRDPSFWTNPTAFQPERYITNHKDVDVRGQHYELLPFGSGRRSCPGASFALHALQLTLARFLHEFDLATPNDQPVDMTETPGTTLPKATPLEVLLSPRLSAKLYSC >Manes.05G168500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28208764:28214302:-1 gene:Manes.05G168500.v8.1 transcript:Manes.05G168500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHLFPGNLNLSCRWLLHPAFSRITVIAGFFSFIFLCNAYLQRTKSSKCSKSREAPEPAGAWPIIGHLNLLAGVDKLLHQTLGSMADKYGPAFNIRIGSHLAFVISTRELAKECFTINDKAVASRPTTAATKHMCYNHAVFGFAPYSSHWREMRKIVMLELLSNRRIEMVKHVQASELDLGIRKLYSLWTENNSLPVLVELKQWFEDMTLNVIVRALAGKRYTGSTDDDETRRCQKAISQFFHLMGIFVVSDALPFLRWLDLEGHEKAMKQTAKDLDAVLAGWLTEHRQKIVSGEIKTKGEQDFIDVMLSLEEKGQLSGFQYDSDTSIKSTCLALIAGASDTTTTTLTWAISLLLNNKPVLKKAQEELDLHIGTGRKVDESDIINLVYLQAIIKETLRLYPVAPIIPREFMENCKIGGYHVPAGTRLLVNVWKIQRDPSFWTNPTAFQPERYITNHKDVDVRGQHYELLPFGSGRRSCPGASFALHALQLTLARFLHEFDLATPNDQPVDMTETPGTTLPKATPLEVLLSPRLSAKLYSC >Manes.05G168500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28208764:28214327:-1 gene:Manes.05G168500.v8.1 transcript:Manes.05G168500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPAFNIRIGSHLAFVISTRELAKECFTINDKAVASRPTTAATKHMCYNHAVFGFAPYSSHWREMRKIVMLELLSNRRIEMVKHVQASELDLGIRKLYSLWTENNSLPVLVELKQWFEDMTLNVIVRALAGKRYTGSTDDDETRRCQKAISQFFHLMGIFVVSDALPFLRWLDLEGHEKAMKQTAKDLDAVLAGWLTEHRQKIVSGEIKTKGEQDFIDVMLSLEEKGQLSGFQYDSDTSIKSTCLALIAGASDTTTTTLTWAISLLLNNKPVLKKAQEELDLHIGTGRKVDESDIINLVYLQAIIKETLRLYPVAPIIPREFMENCKIGGYHVPAGTRLLVNVWKIQRDPSFWTNPTAFQPERYITNHKDVDVRGQHYELLPFGSGRRSCPGASFALHALQLTLARFLHEFDLATPNDQPVDMTETPGTTLPKATPLEVLLSPRLSAKLYSC >Manes.05G168500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28208764:28214312:-1 gene:Manes.05G168500.v8.1 transcript:Manes.05G168500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPAFNIRIGSHLAFVISTRELAKECFTINDKAVASRPTTAATKHMCYNHAVFGFAPYSSHWREMRKIVMLELLSNRRIEMVKHVQASELDLGIRKLYSLWTENNSLPVLVELKQWFEDMTLNVIVRALAGKRYTGSTDDDETRRCQKAISQFFHLMGIFVVSDALPFLRWLDLEGHEKAMKQTAKDLDAVLAGWLTEHRQKIVSGEIKTKGEQDFIDVMLSLEEKGQLSGFQYDSDTSIKSTCLALIAGASDTTTTTLTWAISLLLNNKPVLKKAQEELDLHIGTGRKVDESDIINLVYLQAIIKETLRLYPVAPIIPREFMENCKIGGYHVPAGTRLLVNVWKIQRDPSFWTNPTAFQPERYITNHKDVDVRGQHYELLPFGSGRRSCPGASFALHALQLTLARFLHEFDLATPNDQPVDMTETPGTTLPKATPLEVLLSPRLSAKLYSC >Manes.05G168500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28208764:28214326:-1 gene:Manes.05G168500.v8.1 transcript:Manes.05G168500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPAFNIRIGSHLAFVISTRELAKECFTINDKAVASRPTTAATKHMCYNHAVFGFAPYSSHWREMRKIVMLELLSNRRIEMVKHVQASELDLGIRKLYSLWTENNSLPVLVELKQWFEDMTLNVIVRALAGKRYTGSTDDDETRRCQKAISQFFHLMGIFVVSDALPFLRWLDLEGHEKAMKQTAKDLDAVLAGWLTEHRQKIVSGEIKTKGEQDFIDVMLSLEEKGQLSGFQYDSDTSIKSTCLALIAGASDTTTTTLTWAISLLLNNKPVLKKAQEELDLHIGTGRKVDESDIINLVYLQAIIKETLRLYPVAPIIPREFMENCKIGGYHVPAGTRLLVNVWKIQRDPSFWTNPTAFQPERYITNHKDVDVRGQHYELLPFGSGRRSCPGASFALHALQLTLARFLHEFDLATPNDQPVDMTETPGTTLPKATPLEVLLSPRLSAKLYSC >Manes.05G168500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28208764:28214312:-1 gene:Manes.05G168500.v8.1 transcript:Manes.05G168500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKYGPAFNIRIGSHLAFVISTRELAKECFTINDKAVASRPTTAATKHMCYNHAVFGFAPYSSHWREMRKIVMLELLSNRRIEMVKHVQASELDLGIRKLYSLWTENNSLPVLVELKQWFEDMTLNVIVRALAGKRYTGSTDDDETRRCQKAISQFFHLMGIFVVSDALPFLRWLDLEGHEKAMKQTAKDLDAVLAGWLTEHRQKIVSGEIKTKGEQDFIDVMLSLEEKGQLSGFQYDSDTSIKSTCLALIAGASDTTTTTLTWAISLLLNNKPVLKKAQEELDLHIGTGRKVDESDIINLVYLQAIIKETLRLYPVAPIIPREFMENCKIGGYHVPAGTRLLVNVWKIQRDPSFWTNPTAFQPERYITNHKDVDVRGQHYELLPFGSGRRSCPGASFALHALQLTLARFLHEFDLATPNDQPVDMTETPGTTLPKATPLEVLLSPRLSAKLYSC >Manes.13G129600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33783845:33786699:1 gene:Manes.13G129600.v8.1 transcript:Manes.13G129600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEDFRESNDTGMKNKKHFVLVHGACHGAWCWYKILPLLKLKGHHVTALDLGASGINPKQLSELKSFSDYVQPLMEFISSLPQDESIILVGHSYGGLGLALAMEKFPEKISAAVFVTAYMPNFIDPPVTLVEEFFRRTPPESLLDCEITVQEEPPNLPISATFGPLYLETTMYQNSQSEDLELAKVLLRPFKFFMEDLAEQSLLTEAKYGSVQRVFVVCKEDEVMKQDFVQWMIDNSPTEQVKLIDGADHMVMLSKPKDLCKILLKVAHNY >Manes.01G222200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38944220:38948167:-1 gene:Manes.01G222200.v8.1 transcript:Manes.01G222200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRQSKQRKAQIRSPGGDHIGGLLVWGGALAFAGLATAFAVHKGKRRDADETSSRRKEEDQTNQGLRCGSNGSTKMAATQMNSCEVVSTEGLISKENLASTNNCGNEDSNNDYQGAIHKDDSKLDCIKSGNNHSEIEELSSPSPSLYYLKNRIENDSVEEAPLFEVIEGIKGKEALDTVEIEDDTSSMDLVKEKEENDDDDDQEEEDALKLEDETSSMDLVEEKEENGDDDDQKEEETSDAVKLEVKTLSMDLIEEKEENSDGDDQEEEETSDALKIEVENLSIDLIEEKEETGDGNDQEKEETSAVKVEDETSSMDLVKGKEENGDGDDQEEEETSDAVKIEDETSSMDLVEGKEENGNGDDQEEEETNDAAKIEVETLSIDLIEEKEENSNSDDEEKEETSDTVKIEDETSSIDLVEGKEENSDGDDQDVVKIEVETSSIDLIEEKEENGDGDDEEKEETSDAVKVEDETSSMDLVEGKEENGNGDDQEEEEASDDQKEEETSNATKIEVETSSMNLVEEKEKNCNGDDQEEKEASDDQKEEETSNATKIEVETSSMNLVEEKEKNCNGDDQEEEEASDDQKEEETSNAIKIVEEKEKNCNCDQEEEETSNAVKIEVETSSMNLVEAKEEKSDGDDQKEEETNDDQMIEVETSLMDLVEEKEENGNGDGQKEEETSDDVKIEVETSSMNLVEEKEENGDGDDQKEEEISDDQKIEELLSMGLVEEKEENGNGDGQEEEEINNTVKIEVETSSMNLVEEKEENGDVDDQEKEEISDAVKIEVETSSMDLVEEKEENGDDNDWEEEETSYDQEVEETKEDSPLVERNDIEKEPMVSFVEICAEKTSSIHSIKEAEDYDREFRQALAPVERIEVWGKVEAFEDAPKASENTTPTMHPVEEEEEEHGDEEYAMEKGEENSEATGTSPAGSNEEKIWPMELVEALSEELSNTLEANILEKNQTNKIEEPIFSDDSEINFLYDCGYNNHTQRSTFLKKEGTLEFTGANDQPKRSMIWKNWVWHILVLAMALMVLFLSTRGKAIFYFS >Manes.04G146500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34385716:34392183:-1 gene:Manes.04G146500.v8.1 transcript:Manes.04G146500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDHTSVTHLFPITKYLGLLATGMTADARTLVQQSRNEAAEFRFRYGYEMPVDVLAKWIADKSQIYTQHAYMRPLGVVAMVLGIDDEYGPRLYKCDPAGHYFGHKATSAGLKEQEAINFLEKKMKNDPQFTYEETVQTAISALQSVLQEDFKATEIEVGVVRKENPVFRVLSTEEIDEHLTAISERD >Manes.04G146500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34385716:34392183:-1 gene:Manes.04G146500.v8.1 transcript:Manes.04G146500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFVVYQRHVPYANSGNSHFWIFEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDHTSVTHLFPITKYLGLLATGMTADARTLVQQSRNEAAEFRFRYGYEMPVDVLAKWIADKSQIYTQHAYMRPLGVVAMVLGIDDEYGPRLYKCDPAGHYFGHKATSAGLKEQEAINFLEKKMKNDPQFTYEETVQTAISALQSVLQEDFKATEIEVGVVRKENPVFRVLSTEEIDEHLTAISERD >Manes.13G051600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6007058:6008542:-1 gene:Manes.13G051600.v8.1 transcript:Manes.13G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLFKMIFFAVNAISNLVSRLIFTVTAYVLVLIIQAFKVPGEAAHGALQQIAEAIKGCFEYLLEMIMEAISSLISSCFDLLTEVVTGSAAVTGSAVGGLVEKARNSFDGLLKDLPELANDFYEMVSTLISDLWNNYKEAVGYVTENA >Manes.01G080100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28297124:28298590:-1 gene:Manes.01G080100.v8.1 transcript:Manes.01G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYSFLLCFFLCLLHVPFAISQILFLPLTHSLSHHHFTSTHHLLKFASTRSAARFHTHHHRQVSLPLSPGSDYTLSFTLNSVPPQRLSLYLDTGSDLVWFPCHPFECILCEGKAENDTVSSAPPLKLSTTARKVHCESSACSSVHSNLPTSNLCAIANCPLESIETSDCRSFSCPPFYYAYGDGSLVASLYRDSIALPFATPSLILQNFTFGCAHTALAEPVGVAGFGRGELSLPAQLASFSPQLSYQFSYCLVSHSFDSDQIRLPSTLILGRSDEKKKMANNNDRPQFVFTSMLDNPKHPYFYCVGLNGISIGKKKIPAPAFLKSVDGEGSGGLVVDSGTTFTMLPASLYNSLVTEFDNRVGRFHNRAKDIEEKTGLGPCYYYDTVAYVPSLVLHFVGNESSIVLPRENYLYEFLDGGDEASMKRKVGCMLIMNGGDEDELRGGPGAILGNYQQQGFEILYDLEKGRVGFARRKCASLWESLNQG >Manes.02G146500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11273507:11277773:1 gene:Manes.02G146500.v8.1 transcript:Manes.02G146500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDDWEDFQQDQNLNHDQDQDSFLNFDFLSLVSQPKDYYKVLELDYDASDDAIRSNYIRLALKWHPDKQKNQDSATSRFQEINEAYHVLSDPVRRREYDKKGLLYVHDYNIIDYLNRHKGLILTCNGLGIKHSIW >Manes.06G168000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29338217:29338627:1 gene:Manes.06G168000.v8.1 transcript:Manes.06G168000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.07G130500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33221594:33223573:-1 gene:Manes.07G130500.v8.1 transcript:Manes.07G130500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNGIVDIFSYNFLINGYCKCNRIDEANELFDEMSHKSLVPDIVTYNTLIRPHTAQELFKDMCFHGQQPNIVTFSIMIYGLCRKGNHDEALALLTKMEKSQLRPNLVIYSILINGMCKAGNINDARKLFSSLSENGLQLNVYIYSAIMEGLYQEGLMDEAYNIFRDMERGGCLPNDCCYNVIIQGFLRHDDLPKASELINKMVDKGFSADDTTTELVVHLSSNDDLILSKLRDRSKASECVNVN >Manes.S047016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1477482:1478294:1 gene:Manes.S047016.v8.1 transcript:Manes.S047016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.01G230800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39519953:39527689:-1 gene:Manes.01G230800.v8.1 transcript:Manes.01G230800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLDSDSQPQNPHNNVQSSFTVIKGQEVEVRSDEDGFGDAWYVATVLESPPKSASKKRKKVMVEYKTLVVEDGSTPLTEFVDPRYIRPLPPVDSDSGPLVFQENDVVDARYRDGWWTGIVRKVLEPSRYRVCFDNPPDVIDFDGKDLRAHWKWVDGNWVPAEKQQKAGSAFSSGTAVEVNIDKENVRDVWFPAVVIKENGDNTVLVKYQSQNNSDEAGVKVVVDSFHIRPIPPRYADRNFELLEKVDAVYDYGWRAGLITKLLSGRRYTVFFKQGNEDKELNHSEIRPYAEWKDGQWICKMKDVMIASDSTELLGNAETCVDSPDMSIERKNEEKAPCSASIGSMEHTVHCNEKSPSHVLPPSKKVKLAAPNGTGVHSCPSKKSTIDVDVPLSVTTLPLMKMPIEISTGETLRGLVTPRTRSKITRYKKTMIGDQAVAKTDSPVTGKTAVDPKANGVLIRIKELPKKSKRQKVAEVDCQKVNIVTRKGRHTKSPFGSPQVSAAVDTATRNINESEYKLKEVGIPVVIALEARERSSSRLNYPSKLSGEETLKHMRDQKKNLNDSVGGKVMVLEQLLYGGSSQRRKRGRPRKLVVVSPKASEAGKEEHGVQDISNEVVVKDHTTNDFEMPMQTGMESTVSRAAFREKTAEVSETGCTAKEVQMAIATVSNNAIDDDQPLSTWIGGVHSSATAEELRSSSGRPSNGWNETRGRHVDLAIDAQDDSTPDGNQCLPFVKRSLVWKTIESMEVFQIMPQKPHFQPLADCKEEYREGSAIGIMVTFASLFEKITSLQFDDSRSILESTLESLCDLEKHGFDVTVPQNRVNELLSVKNGKEELLHELRDVGRQIREHTDEKRKLDAKISDVEKKILELQEELVACKSKMETEDLELSGLQSHMNSVSELITDARYSFQRIASAPWKLT >Manes.01G230800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39519953:39527689:-1 gene:Manes.01G230800.v8.1 transcript:Manes.01G230800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLDSDSQPQNPHNNVQSSFTVIKGQEVEVRSDEDGFGDAWYVATVLESPPKSASKKRKKVMVEYKTLVVEDGSTPLTEFVDPRYIRPLPPVDSDSGPLVFQENDVVDARYRDGWWTGIVRKVLEPSRYRVCFDNPPDVIDFDGKDLRAHWKWVDGNWVPAEKQQKAGSAFSSGTAVEVNIDKENVRDVWFPAVVIKENGDNTVLVKYQSQNNSDEAGVKVVVDSFHIRPIPPRYADRNFELLEKVDAVYDYGWRAGLITKLLSGRRYTVFFKQGNEDKELNHSEIRPYAEWKDGQWICKMKDVMIASDSTELLGNAETCVDSPDMSIERKNEEKAPCSASIGSMEHTVHCNEKSPSHVLPPSKKVKLAAPNGTGVHSCPSKKSTIDVDVPLSVTTLPLMKMPIEISTGETLRGLVTPRTRSKITRYKKTMIGDQAVAKTDSPVTGKTALACTANSDPKKHVDPKANGVLIRIKELPKKSKRQKVAEVDCQKVNIVTRKGRHTKSPFGSPQVSAAVDTATRNINESEYKLKEVGIPVVIALEARERSSSRLNYPSKLSGEETLKHMRDQKKNLNDSVGGKVMVLEQLLYGGSSQRRKRGRPRKLVVVSPKASEAGKEEHGVQDISNEVVVKDHTTNDFEMPMQTGMESTVSRAAFREKTAEVSETGCTAKEVQMAIATVSNNAIDDDQPLSTWIGGVHSSATAEELRSSSGRPSNGWNETRGRHVDLAIDAQDDSTPDGNQCLPFVKRSLVWKTIESMEVFQIMPQKPHFQPLADCKEEYREGSAIGIMVTFASLFEKITSLQFDDSRSILESTLESLCDLEKHGFDVTVPQNRVNELLSVKNGKEELLHELRDVGRQIREHTDEKRKLDAKISDVEKKILELQEELVACKSKMETEDLELSGLQSHMNSVSELITDARYSFQRIASAPWKLT >Manes.01G230800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39519953:39527689:-1 gene:Manes.01G230800.v8.1 transcript:Manes.01G230800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLDSDSQPQNPHNNVQSSFTVIKGQEVEVRSDEDGFGDAWYVATVLESPPKSASKKRKKVMVEYKTLVVEDGSTPLTEFVDPRYIRPLPPVDSDSGPLVFQENDVVDARYRDGWWTGIVRKVLEPSRYRVCFDNPPDVIDFDGKDLRAHWKWVDGNWVPAEKQDVMIASDSTELLGNAETCVDSPDMSIERKNEEKAPCSASIGSMEHTVHCNEKSPSHVLPPSKKVKLAAPNGTGVHSCPSKKSTIDVDVPLSVTTLPLMKMPIEISTGETLRGLVTPRTRSKITRYKKTMIGDQAVAKTDSPVTGKTAVDPKANGVLIRIKELPKKSKRQKVAEVDCQKVNIVTRKGRHTKSPFGSPQVSAAVDTATRNINESEYKLKEVGIPVVIALEARERSSSRLNYPSKLSGEETLKHMRDQKKNLNDSVGGKVMVLEQLLYGGSSQRRKRGRPRKLVVVSPKASEAGKEEHGVQDISNEVVVKDHTTNDFEMPMQTGMESTVSRAAFREKTAEVSETGCTAKEVQMAIATVSNNAIDDDQPLSTWIGGVHSSATAEELRSSSGRPSNGWNETRGRHVDLAIDAQDDSTPDGNQCLPFVKRSLVWKTIESMEVFQIMPQKPHFQPLADCKEEYREGSAIGIMVTFASLFEKITSLQFDDSRSILESTLESLCDLEKHGFDVTVPQNRVNELLSVKNGKEELLHELRDVGRQIREHTDEKRKLDAKISDVEKKILELQEELVACKSKMETEDLELSGLQSHMNSVSELITDARYSFQRIASAPWKLT >Manes.04G037100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4969941:4974144:-1 gene:Manes.04G037100.v8.1 transcript:Manes.04G037100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSMMFLLWSFLVICLCYVAWLKHESYKTNKLFREQGIKGPPPSLLLGNIPEMERMVSQNSETPQIDGPLIVLPYLKHWTKNYGKLFKFAVGGIQLLYVNNLSIVREINLFTSCELGKPAYLQNDRGVLLGKGLNTANGDVWYHQRKTIAPQLYMHKVKNMVNLMVESGSMLVKAWEKIIDRESEGGIIDIVVDEHVRIFTTYIASKIIFGSDHHKGIKVFPECHELLKAMGETTTLGIPLLRFLPIEKNRKTWRLAKEIHGMIMDIAKERSGSTSHQDLLQAIIEGSKNGELGKLTEDEFIVDNCKNMMLGGYQSPALAAIWGLMLLASHPEWQDRARFEVLEICKGQQLLDYNMLSKMKLKMVIQEVLRLYPGVTLVSREAMQNVKLGELKVPKGMDIWIWLPALHRNPEYWGADADVFNPERFTNGVTGACKSSQAYIPFGLGARVCPGRNLALIELKVFFAVILSNFKLTISPKYRHSPTYGLLLEPEHGVNLLIQKI >Manes.10G053350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6353457:6354320:1 gene:Manes.10G053350.v8.1 transcript:Manes.10G053350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLTTTETYLGLLILLSRSRQQALTVVEHRMLAKTQSWKQKFLSLAGRTTIIQSMLSAFPTYSISILLYPKCFSTKLNSLFSCFWWGDAENSRDIISWISWRYSCTLKSRGDLGFKDFELVNLACLGKQCWRLLHNSSSLWVRVLKGFYFPNSSFWEARRDVLKQGVHMNIGDSTCTHIWFDPWIPQSPNLLAPQQLQHSLGRLKVVDLIDQSTLNWNHKLVFGFFSPHQALSILFVHVTPTGTPNVLVWHYARDGQYSLRSGMHPFFLYM >Manes.05G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3852282:3853907:1 gene:Manes.05G046300.v8.1 transcript:Manes.05G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWSAENATKAYLRALKMVKNSKEPDMAEFISALAAGNNAQLMVMVSARVTASTTLPLVAAAHQTGGQVTCILSTESDFIVSRNSLGPYADCVKFVVEDAKTLLLSDYKRADFVLIDCNIDDCEGVFRAAQECGRNGRGLIVGFNAFHRGPWRNDLKTHFLPIGEGLLVIRIGDKIDNGCGQRKRSRWVTRVDECTGEEHVYRVTCAQQEIEA >Manes.07G055440.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6983394:6994239:-1 gene:Manes.07G055440.v8.1 transcript:Manes.07G055440.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDTCIPDCPYCRHRPPPLHHFSFSSPPHCPNCLNHWYTFNYGFPATASSAYPYKSPPPESPPPLPPPPPSNLPPEPSKPPPPPHQVRRRRREPTRSRSEIEKACVEHFQKRRVEAKAYLSKVNIPIGENPAWSDPSLYLMGLQTCIVIIWTAMVIPSTLAEVIGGGNVEKAEAIQMSLFTAAVNTGLQVLFGSQLPVVMQISQAFINAAISIAVSINNKFGDTLTPRQRFEESMRRIQGASIIGSFLQIIIGYSGLVEIFVSKLDLVASIPLVTLTGLELRDRGFPQMMKCTAIGLPALAIMIFSTHLLPMLWKPKKTYNQSICWDHNLDCCCMDI >Manes.03G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21913006:21915897:-1 gene:Manes.03G091200.v8.1 transcript:Manes.03G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLHHQQSLKTMDGSHQDMFTTLTNDLLVLIVGNLPFKEAARTSILSKQWCNIWRETAILEFNEDFFVKFQENEETQIIQRIAFFDFVRGFIANYPQREIQSFALACSKPQDFLADIQDFVIFAVSRNVKELELDLSDPRWSEDDLDNHLAVAELPFQAYHLVGLESLKLFSCSIDVSRVSNFTTLKDVSLGWIKISITFIKSLLVTCPLIESLSLKKCWNVIEQFEISLPNLKLKNLILEKCNFIHDMFWIDGPNIKFLKYSGKIGHFHLLDQRNLAEVDLDFGMENEFKEVGAFLYDFLQELYSARVMTVCSVFLQTIAQEAEPLGLHAPINVRHLILKTAMHFNEFHGIRFMLRSCPRLEVLTFDIGPRKIFPDYVPPFELDPHEFWSKDIRIHRCIRRSLLVVNVKAFKGTLSELYVLKYIICYGRQLEQLNLYISNEEGENGENRDTYMARVQQIREFENSSLDLQISVF >Manes.12G151125.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35925149:35928672:1 gene:Manes.12G151125.v8.1 transcript:Manes.12G151125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTSVHLFFSFSLLVGIAVAQQNQSHIISLGSKLSPTKPPNSWPSPSGHFEFGFYQQINGFAVGIWLVSQPENIVVWTANRDDSPVSANSTLELTKDGRLLIRNEQGPGRLIADVSDAIHAASMLDSGNFVLYGSNSSIIWQSFDFPTDTLLGGQNLYAHNRLVSSVSRSDHSSGRFFLRCQSDGNLVAYPVNSSGDSDDAYWNVLLSNNFAVQLSLDYEGRLYMKDGISNYTISKSVNSSAPAEKEVVIYRATIDADGIFRLYSHHFENSTASTMSTEWVAMEDQCDVKGFCNFNSYCSSSGFKGDCYCYPGFVWINQSEKFLGCTLNCTEDVCRKDLKIYYNITAMDKTWWGDFPFSVVPMTREDCTRSCQEDCNCGAVLYAGENCEKYKLPLRYGKRNRNKSTVAFFKVIVGSSASHGDPEIVTEVKESLMVVLAISLGSITCVCFAFAVSSFFIYRNQVHCYRKISENGNLGLSEEFALRSFSYSELEKATNGFQEELGRGSYGAVYRGTLQGVGKNIAVKRLERVVGEGEREFRAEMTAIGRTHHRNLIQLLGFCVESCRKLLVYEYMNNGSLADVLFKAEVRPVWRERFRIALDVARGILYLHEECEVQIIHCNIKPQNILIDDSWTAKISDFGLAKLLPPSQASTDEGVSEIGGYLAPEWQRKTVISVKADIYSFGVVLLEIICCRSNIKIDVPPDEIILSGWVQSCFVAGELDKLVEDEDVDFRTLERMVKVGLWCTQDDPNLRPCMKDVVLMLEGTMEVPVLPVGEELD >Manes.17G077000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27698528:27704175:-1 gene:Manes.17G077000.v8.1 transcript:Manes.17G077000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQTDSLHSGSISFGRFESEELSWERRSSFSHNRYLDEVEKYSKPGSVIEKKAYFEAHFKKKGMRLPGSFEGQNGMEYHSENAVFENVGQKEEDDNANESSSYPRSEESAHDTLEYTEFNDEYARGQFDHTNSCSKYAHFNESPEGSEYHGECEVKEYGKKDPGVLASESQMEAALANGNVLVGGVFEDIKPHVAQQTETGYDNNDRQEMGMKGNLNDNTAKVDGSSRPIDPSPDSGTVGSSKTTSVYEQNLSPEVGSPTESKCGKSGLKSQDNSSQVQRSNHSDASKTTMKKLNRKERESPQKMKSEKSLPQAAFPTRQMQLRTLKREKLLHNSSQDSEIFHSRSNLANKSDREPKIRKSTESETSGSKKVEPRARQRANGIKQTVSSTNWDTRTSTAAFSFRSDKRAERRKEFYMKLEEKMHAKEAEMNHIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAATQPGFNGNKAIFSKAKAAKIQQKSTTPASGAASRSQLLSKSGNNHDVSGVESVRTANLPESLGPTDCHEIEISEAGETLSVNSSHHPEALVKSSSAGKDSEKVKDSNLQRQHQRMVKCQRTRGLKASQRMGNGRTSSEMVRKGVESVGICSSSGMGSVAVGVTS >Manes.17G077000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27698528:27704256:-1 gene:Manes.17G077000.v8.1 transcript:Manes.17G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQTDSLHSGSISFGRFESEELSWERRSSFSHNRYLDEVEKYSKPGSVIEKKAYFEAHFKKKGMRLPGSFEGQNGMEYHSENAVFENVGQKEEDDNANESSSYPRSEESAHDTLEYTEFNDEYARGQFDHTNSCSKYAHFNESPEGSEYHGECEVKEYGKKDPGVLASESQMEAALANGNVLVGGVFEDIKPHVAQQTETGYDNNDRQEMGMKGNLNDNTAKVDGSSRPIDPSPDSGTVGSSKTTSVYEQNLSPEVGSPTESKCGKSGLKSQDNSSQVQRSNHSDASKTTMKKLNRKERESPQKMKSEKSLPQAAFPTRQMQLRTLKREKLLHNSSQDSEIFHSRSNLANKSDREPKIRKSTESETSGSKKVEPRARQRANGIKQTVSSTNWDTRTSTAAFSFRSDKRAERRKEFYMKLEEKMHAKEAEMNHIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAATQPGFNGNKAIFSKAKAAKIQQKSTTPASGAASRSQLLSKSGNNHDVSGVESVRTANLPESLGPTDCHEIEISEAGETLSVNSSHHPEALVKSSSAGKDSEKVKDSNLQRQHQRMVKCQRTRGLKASQRMGNGRTSSEMVRKGVESVGICSSSGMGSVAVGVTS >Manes.17G077000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27698528:27704175:-1 gene:Manes.17G077000.v8.1 transcript:Manes.17G077000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQTDSLHSGSISFGRFESEELSWERRSSFSHNRYLDEVEKYSKPGSVIEKKAYFEAHFKKKGMRLPGSFEGQNGMEYHSENAVFENVGQKEEDDNANESSSYPRSEESAHDTLEYTEFNDEYARGQFDHTNSCSKYAHFNESPEGSEYHGECEVKEYGKKDPGVLASESQMEAALANGNVLVGGVFEDIKPHVAQQTETGYDNNDRQEMGMKGNLNDNTAKVDGSSRPIDPSPDSGTVGSSKTTSVYEQNLSPEVGSPTESKCGKSGLKSQDNSSQVQRSNHSDASKTTMKKLNRKERESPQKMKSEKSLPQAAFPTRQMQLRTLKREDSEIFHSRSNLANKSDREPKIRKSTESETSGSKKVEPRARQRANGIKQTVSSTNWDTRTSTAAFSFRSDKRAERRKEFYMKLEEKMHAKEAEMNHIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAATQPGFNGNKAIFSKAKAAKIQQKSTTPASGAASRSQLLSKSGNNHDVSGVESVRTANLPESLGPTDCHEIEISEAGETLSVNSSHHPEALVKSSSAGKDSEKVKDSNLQRQHQRMVKCQRTRGLKASQRMGNGRTSSEMVRKGVESVGICSSSGMGSVAVGVTS >Manes.17G077000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27698528:27704256:-1 gene:Manes.17G077000.v8.1 transcript:Manes.17G077000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFEEPYSISFQTDSLHSGSISFGRFESEELSWERRSSFSHNRYLDEVEKYSKPGSVIEKKAYFEAHFKKKGMRLPGSFEGQNGMEYHSENAVFENVGQKEEDDNANESSSYPRSEESAHDTLEYTEFNDEYARGQFDHTNSCSKYAHFNESPEGSEYHGECEVKEYGKKDPGVLASESQMEAALANGNVLVGGVFEDIKPHVAQQTETGYDNNDRQEMGMKGNLNDNTAKVDGSSRPIDPSPDSGTVGSSKTTSVYEQNLSPEVGSPTESKCGKSGLKSQDNSSQVQRSNHSDASKTTMKKLNRKERESPQKMKSEKSLPQAAFPTRQMQLRTLKREDSEIFHSRSNLANKSDREPKIRKSTESETSGSKKVEPRARQRANGIKQTVSSTNWDTRTSTAAFSFRSDKRAERRKEFYMKLEEKMHAKEAEMNHIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHAATQPGFNGNKAIFSKAKAAKIQQKSTTPASGAASRSQLLSKSGNNHDVSGVESVRTANLPESLGPTDCHEIEISEAGETLSVNSSHHPEALVKSSSAGKDSEKVKDSNLQRQHQRMVKCQRTRGLKASQRMGNGRTSSEMVRKGVESVGICSSSGMGSVAVGVTS >Manes.11G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11962720:11971076:-1 gene:Manes.11G079500.v8.1 transcript:Manes.11G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHLSSADDTYEDPSTLLGSFLQSPPGFDGVSCCDLDGLHGGDVSRTVGHSQSSSLGDFQMKNAFNRSRFPENSLRFGGAVDATSNVNQLMTGSIDNIGRITPKIGKNIQDPASRIIGFESRGASPLDNGFERFSVDHLHSSSVSSLTVNQELVGPLVRKRLLSPLKSMLSAEKFDGDNLDIGCHASQLSSSSLTNNLNMFAAVDYKKANFGSKINFTASAWSLSSCLDKSGMLCNNSTTASVLLTDGPLLENKDSHTNSTFLYSPAHDHFKESSEGRYRNWAISLSPRKAISPLSLSPLGPKCSERIKTPGRCKDVKSPMGDCRSNLEKIGQSLDKHNSDIIFAPEEADFGITSRSFEDIGIFRREFSPPSPEGAADSWSLFQEPAPSQSTRFIRSLSGLPVRRSLVGSFEESLLSGRFFSGKFTQRIDGFLAVLSITGGNFSPQAQKLPFSVTSVDGDCYLLYYAPIDLAGNSSTKLKSPKLKRGVSNDDSQTVRSRLRIPMKGRVQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTFLRQKVTLASSGTTSSELKHRHVGLDGKMKDKMTSASERNHPVNTDASISQSTEVKGIECRDMVDMIDTQNFPKQSRSEGKASMDSFVLDNGCSNGNCRGTSEECTGVDTCVGNDRRSTHGCLKINDNAHGGALRYALHLRFLCPSMKKSSRSVQRCRSDPGSVPQKTHLDVGGERRFYLYNDLRVVFPQRHSDTDEGKLNVEYHFPEDPRYFDISS >Manes.11G079500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11962720:11971076:-1 gene:Manes.11G079500.v8.1 transcript:Manes.11G079500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPHLSSADDTYEDPSTLLGSFLQSPPGFDGVSCCDLDGLHGGDVSRTVGHSQSSSLGDFQMKNAFNRSRFPENSLRFGGAVDATSNVNQLMTGSIDNIGRITPKIGKNIQDPASRIIGFESRGASPLDNGFERFSVDHLHSSSVSSLTVNQELVGPLVRKRLLSPLKSMLSAEKFDGDNLDIGCHASQLSSSSLTNNLNMFAAVDYKKANFGSKINFTASAWSLSSCLDKSGMLCNNSTTASVLLTDGPLLENKDSHTNSTFLYSPAHDHFKESSEGRYRNWAISLSPRKAISPLSLSPLGPKCSERIKTPGRCKDVKSPMGDCRSNLEKIGQSLDKHNSDIIFAPEEADFGITSRSFEDIGIFRREFSPPSPEGAADSWSLFQEPAPSQSTRFIRSLSGLPVRRSLVGSFEESLLSGRFFSGKFTQRIDGFLAVLSITGGNFSPQAQKLPFSVTSVDGDCYLLYYAPIDLAGNSSTKLKSPKLKRGVSNDDSQTVRSRLRIPMKGRVQLVLSNPEKTPLHTFLCNYDLSDMPAGTK >Manes.13G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10453900:10454454:-1 gene:Manes.13G072300.v8.1 transcript:Manes.13G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDHSSSDDVSVDSREESSQESKLEFTEDEETLITRMYNLVGERWPLIAGRIPGRTAEEIEKYWNSRFSSSQ >Manes.10G100150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24912483:24913092:-1 gene:Manes.10G100150.v8.1 transcript:Manes.10G100150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKCLTFAALFVALSFSSMEVGLAARHLQQLPPLPSVPNFPKPSLPPLPSVPTLPQPTLPTNPSLPKPTLPPLPSLPSVPKFALPPLPSIPSIPTIPSTIPSIPFLSPPPGN >Manes.11G163600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32833366:32838340:1 gene:Manes.11G163600.v8.1 transcript:Manes.11G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAAVARGTVVLAEFSAVTGNTGAVIRRILEKLPSEADSRLCFSQDRYIFHILRSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPNADTLNRVRGEVGELRTIMVENIEKILERGDRIELLVDKTATMQDSSFHFRKQSKRLRRALWMKNAKLLVLLTCVIVVLLYIIIAACCGGITLPSCRS >Manes.11G163600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32833366:32838340:1 gene:Manes.11G163600.v8.1 transcript:Manes.11G163600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAAVARGTVVLAEFSAVTGNTGAVIRRILEKLPSEADSRLCFSQDRYIFHILRSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPNADTLNRVRGEVGELRTIMVENIEKILERGDRIELLVDKTATMQDSSFHFRKQSKRLRRALWMKNAKLLS >Manes.02G200666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20435546:20443232:-1 gene:Manes.02G200666.v8.1 transcript:Manes.02G200666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYYLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G200666.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20435566:20438192:-1 gene:Manes.02G200666.v8.1 transcript:Manes.02G200666.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G200666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20435546:20443232:-1 gene:Manes.02G200666.v8.1 transcript:Manes.02G200666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYYLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G200666.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20435566:20443231:-1 gene:Manes.02G200666.v8.1 transcript:Manes.02G200666.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYYLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G200666.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20435566:20443231:-1 gene:Manes.02G200666.v8.1 transcript:Manes.02G200666.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYYLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.12G103375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25300460:25301305:1 gene:Manes.12G103375.v8.1 transcript:Manes.12G103375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGKWERPIISKRSLSSSTTHIYYLNIITTINSKCGQNLIITLDKLS >Manes.02G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7429700:7437797:1 gene:Manes.02G095000.v8.1 transcript:Manes.02G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLYPYSFKAHRSMCSELMKLVDRIARIFPEIEAARPRCSSGIQALCLLNGTIEKARKILRYCCDSSKLYLVLTGDVLVSRCQGSRNNFEQSLGQIQTMVPAMLAAEISQIIDDLNAATFMLDSSDEEAGKAVRKLIQQGTFISDSVEYSELGALQIAASRLHITSPKAILIEKRSIKKLLDKIGDNDPTKKKILKYFLYLLRKYGNLIMDEQIENPSAEFEGSVAPTNSINTSVHSQSVEVDSDIGIPQYEVQTDVPSGGTPPEEFKCPISMRLMYDPVVIASGQSFERMWIQKWFDDGNDTCPKTKVKLPRCSLTPNTAMKDLISKWCEKYGITIPDPSTQLQAFHSLDISSTSIASLGSSMNDLHLPLDVSNISLGSLDASYSSDSSRTRFADGSSFTLTQKTDDCHRFQSHACIHETDSEFLMRLSELNWDFQCKMVEDVKNHLQSNLQSYQSMSSENFVDPLIQFLKDACGQCDARAQRAGCQLLLVFVSKNRSGLSYLHEDTFSLLVSFLDSEVSEETLAILEVLSGHPHCRTKISAAGALFPLLKILESQSKEFQEQAIKILHNLSSDSDICSQIIRLECIPKLVPFISEGRIARHCMVLLKRLCDTEEARVAVAETNGCISSIAELLERGSPEEQEHAVAVLLSLCSQRVQYCQLVMDEGVIPSLVDISLNGNDRAKATALELLRQLRDIEYGHE >Manes.03G154600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28223709:28231804:1 gene:Manes.03G154600.v8.1 transcript:Manes.03G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPSLQRTPFISRGKPPLLALMDSLPCLASISRKPISSSLRYRGISRLNSAFGTIRAQASNVSIGSGGYEDGEKQDNQKSFVNGPSNDNSSEIVKPPRKIPYPLSIAVVLFGCALLFSLAAFVKGGPSSILAAIAKSGLTAAFTLIFVSEIGDKTFFIAALLAMQYQKGLVLLGSMGALSLMTILSVVIGRIFHSVPAQFQTTLPIGEYAAVTLLMFFGLKSIKDAWDLPSSEVKSGEKSGPELDEYAEAEELVKEKVSKRLTNPFEIVWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVATGAIAGHLVATSIAILGGAFLANYISEKLVGYLGGVLFLIFAVATFFGFF >Manes.01G219300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38797105:38798080:1 gene:Manes.01G219300.v8.1 transcript:Manes.01G219300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAAAGAKGKKKGASFVIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDTVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >Manes.02G208500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:23907062:23907939:1 gene:Manes.02G208500.v8.1 transcript:Manes.02G208500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDATHLVNQESSSERASDQRKYKGVRRRKWGKWVSEIRVPGTQERLWLGSYSTPEAAAVAHDLASYCLRGQQRSSSVNSRLNFPLVLPTNLRPDMSPKSIQKVASDAGMAIDAQMILNGTQSPGNESRGNASDCTILRSSEPEINLENVMSNYGETYSGDSGESLSISVEDYL >Manes.11G107300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24900628:24904207:-1 gene:Manes.11G107300.v8.1 transcript:Manes.11G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPTHNFTSFSDDELAGNFLSWRTFFFFFRNAQVVEIFLAFFVFVAIHSLRQKKRQGLPTWPVLGMLPSLVWGLQGNMYEWITDVLCQQNGTFRFRGPWFSSLNCVVTADPRNLEHLLKTKFPNFPKGHYFRDTVRDLLGDGIFNADDETWQRQRKTASIEFHSTKFRKLTTESSLQLVHSRLLPVLENAVNRSISFDLQDILLRLTFDNVCMIAFGVDPGCLSLGLPQIPFARAFEDATGATILRFVTPTCIWKAMRYLDLGSEKKLKRSIKGVDEFAENVIKTRKKELSVQSSDDKKQRSDLLTVFMGLKDENGQPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLDQNPHIEDKILAEICKIVKEREDFDPKTPLVFRPEEIKKMDYLQAALSEALRLYPSVPVDHKEVVEDDIFPDGSLLKKGTKVIYAIYTMGRMEAIWGKDCREFKPERWLKDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASIIHRYHVKVVKDHPVGPKLALTMYMKHGLKVNLSRRDNPSQLLT >Manes.17G092100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30032779:30039173:1 gene:Manes.17G092100.v8.1 transcript:Manes.17G092100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSVPFFGIRDEDHQNQIKQQHSSTPTSSTAPPPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPSTLNTIGSHLFVGSNMSLGLSQVGTQISSMQDQSSDILRLGGGGRSGQFDHLLPPSMGSSSFRTPQQMPSSAFFMQEPNQNYHEEHQSQQGLLQNKSFHNGLMQFADLHNTTNNNPPPPASNLFNFSFMSSGSTGSNIVNSNDANNSNSNIPSSGLLISNPFNTQNGTTGGGEGSGIFSNNIMGDHHHQMTSGVPPLFSSSVQNDNMVPHMSATALLQKAAQMGSTSSTNSASLLRSFGSSSSSGIKSDRPLVAANFGGIFNENDNNLHDLMNSFATGNSSLFVSGHGQENPYSGNMEEAKLHQGMNVSIGGSDRLTRDFLGVGQIVRSMSGGFSQREKHHHHHQQQQQQAPQPGIDMSSSLDSERNITAQTSQSFGGNGNFQ >Manes.17G092100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30032779:30039173:1 gene:Manes.17G092100.v8.1 transcript:Manes.17G092100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSVPFFGIRDEDHQNQIKQQHSSTPTSSTAPPPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPSTLNTIGSHLFVGSNMSLGLSQVGTQISSMQDQSSDILRLGGGGRSGQFDHLLPPSMGSSSFRTPQQMPSSAFFMQEPNQNYHEEHQSQQGLLQNKSFHNGLMQFADLHNTTNNNPPPPASNLFNFSFMSSGSTGSNIVNSNDANNSNSNIPSSGLLISNPFNTQNGTTGGGEGSGIFSNNIMGDHHHQMTSGVPPLFSSSVQNDNMVPHMSATALLQKAAQMGSTSSTNSASLLRSFGSSSSSGIKSDRPLVAANFGGIFNENDNNLHDLMNSFATGNSSLFVSGHGQENPYSGNMEEAKLHQGMNVSIGGSDRLTRDFLGVGQIVRSMSGGFSQREKHHHHHQQQQQQAPQPGIDMSSSLDSERNITAQTSQSFGGNGNFQ >Manes.17G092100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30032779:30039173:1 gene:Manes.17G092100.v8.1 transcript:Manes.17G092100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSVPFFGIRDEDHQNQIKQQHSSTPTSSTAPPPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPSTLNTIGSHLFVGSNMSLGLSQVGTQISSMQDQSSDILRLGGGGRSGQFDHLLPPSMGSSSFRTPQQMPSSAFFMQEPNQNYHEEHQSQQGLLQNKSFHNGLMQFADLHNTTNNNPPPPASNLFNFSFMSSGSTGSNIVNSNDANNSNSNIPSSGLLISNPFNTQNGTTGGGEGSGIFSNNIMGDHHHQMTSGVPPLFSSSVQNDNMVPHMSATALLQKAAQMGSTSSTNSASLLRSFGSSSSSGIKSDRPLVAANFGGIFNENDNNLHDLMNSFATGNSSLFVSGHGQENPYSGNMEEAKLHQGMNVSIGGSDRLTRDFLGVGQIVRSMSGGFSQREKHHHHHQQQQQQAPQPGIDMSSSLDSERNITAQTSQSFGGNGNFQ >Manes.17G092100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30032779:30039173:1 gene:Manes.17G092100.v8.1 transcript:Manes.17G092100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSVPFFGIRDEDHQNQIKQQHSSTPTSSTAPPPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPSTLNTIGSHLFVGSNMSLGLSQVGTQISSMQDQSSDILRLGGGGRSGQFDHLLPPSMGSSSFRTPQQMPSSAFFMQEPNQNYHEEHQSQQGLLQNKSFHNGLMQFADLHNTTNNNPPPPASNLFNFSFMSSGSTGSNIVNSNDANNSNSNIPSSGLLISNPFNTQNGTTGGGEGSGIFSNNIMGDHHHQMTSGVPPLFSSSVQNDNMVPHMSATALLQKAAQMGSTSSTNSASLLRSFGSSSSSGIKSDRPLVAANFGGIFNENDNNLHDLMNSFATGNSSLFVSGHGQENPYSGNMEEAKLHQGMNVSIGGSDRLTRDFLGVGQIVRSMSGGFSQREKHHHHHQQQQQQAPQPGIDMSSSLDSERNITAQTSQSFGGNGNFQ >Manes.17G092100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30032779:30039173:1 gene:Manes.17G092100.v8.1 transcript:Manes.17G092100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPSTLNTIGSHLFVGSNMSLGLSQVGTQISSMQDQSSDILRLGGGGRSGQFDHLLPPSMGSSSFRTPQQMPSSAFFMQEPNQNYHEEHQSQQGLLQNKSFHNGLMQFADLHNTTNNNPPPPASNLFNFSFMSSGSTGSNIVNSNDANNSNSNIPSSGLLISNPFNTQNGTTGGGEGSGIFSNNIMGDHHHQMTSGVPPLFSSSVQNDNMVPHMSATALLQKAAQMGSTSSTNSASLLRSFGSSSSSGIKSDRPLVAANFGGIFNENDNNLHDLMNSFATGNSSLFVSGHGQENPYSGNMEEAKLHQGMNVSIGGSDRLTRDFLGVGQIVRSMSGGFSQREKHHHHHQQQQQQAPQPGIDMSSSLDSERNITAQTSQSFGGNGNFQ >Manes.17G092100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30032779:30039173:1 gene:Manes.17G092100.v8.1 transcript:Manes.17G092100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPSTLNTIGSHLFVGSNMSLGLSQVGTQISSMQDQSSDILRLGGGGRSGQFDHLLPPSMGSSSFRTPQQMPSSAFFMQEPNQNYHEEHQSQQGLLQNKSFHNGLMQFADLHNTTNNNPPPPASNLFNFSFMSSGSTGSNIVNSNDANNSNSNIPSSGLLISNPFNTQNGTTGGGEGSGIFSNNIMGDHHHQMTSGVPPLFSSSVQNDNMVPHMSATALLQKAAQMGSTSSTNSASLLRSFGSSSSSGIKSDRPLVAANFGGIFNENDNNLHDLMNSFATGNSSLFVSGHGQENPYSGNMEEAKLHQGMNVSIGGSDRLTRDFLGVGQIVRSMSGGFSQREKHHHHHQQQQQQAPQPGIDMSSSLDSERNITAQTSQSFGGNGNFQ >Manes.05G022401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1989871:1990188:-1 gene:Manes.05G022401.v8.1 transcript:Manes.05G022401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKKPEKKFLNIHETRLFVLGCSNTQAQVLHHINIQRNITKNSTSLTVISLQFLPSHRLQWLPLCHLLLSPHSPFFLHHHSLLQYKYAPLLLCLTSILLLKLL >Manes.09G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7803706:7806903:1 gene:Manes.09G043700.v8.1 transcript:Manes.09G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTHRYLLLIFLAAVSSLLLVESGDTNHIYRPCSDTTVQRSDGFTFAIAFASRTAFFYKNNSSLQLSPCDSRLSLSSQNSQISVFRPKVDEISLLTINTSSSSPDNYGGYMVAFAGRKYAARSLPAFVANSTYTVTSFTLVLEFKKGRLQNLYWKRDGCTRCTGNNNFVCLNNQDCAIRTSNCKNHGGSVDCSLGIQLAFSGTDKHLAVLNSWYEVENLRQYSLFGLYSNLRNSLTSQYNKIF >Manes.05G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5232996:5236805:1 gene:Manes.05G062300.v8.1 transcript:Manes.05G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWIDFSECMSRCREPKDCALLREDYLECLHHSKEFQRRNRIYKEEQRKIRAAARKGKESGDGVDSHHA >Manes.15G135402.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10947455:10947955:-1 gene:Manes.15G135402.v8.1 transcript:Manes.15G135402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQADKQRSKRSFEIGDWVFLKLQSYRETSLAIRKSLKLSAKYYRPFQIIAKIGQVAYKLQIPPTAHIYPIFHFFLLKKTTGANISPMPNLPIMVAPESILKPRTILRNDQRVQQGLIKWVNLSLEDATWEDRTSISAQFPDFTTSWGQEGSHEGGIVKYYRRRKR >Manes.12G034700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3064222:3067604:1 gene:Manes.12G034700.v8.1 transcript:Manes.12G034700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGNFLHASQNSVFSCQNLLLTDENLQNPAWEAIGYNKDTREKLRKSKEERPLQKGIIELANEDDSTLTPSLIQKGLQVSEDPEHNTCKIKCDVVIIGSGCGGGVAAAVLANSGQKVLVLEKGNYYVPADYSSLEWPSMAELYESSGFLSTLNGRIMILAGSTVGGGSAINWSACIRTPDSVLRDWRVDHKIPLFGSSDYQNAMDAVCNRIGVTDNCSKEGFQNQVLRKGCENIGLQVGSVPRNSSEDHYCGSCNFGCRTGEKKGTDSTWLVDAVSRGAVILSGCEAEKFMLEDSNNGSRKNCLGVIARASNTNITYILQVEARVTISACGSLLTPPLMISSGLVNPNIGRNLHLHPVIMAWGYFPEHESDFKGKMYEGGIITSIHKVVSEGSKVCAIIETPALGPASFAALSPWISGQDLKDKMVKYPRTAHLIALIRDRGSGEVKVKGRITHRLDQLDKDNLRIGLRQALRILVGAGAVEVGTYRSDGQKIACKGIKEKDLEEFLDTITVPGGPKSKEQNWTTLFSAHQMSSCRMGATEEEGAVDENGESWEAKNLYVCDGSVLPTAIGVNPMITIQSTAYCISKKIAESLIGR >Manes.12G034700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3064222:3067604:1 gene:Manes.12G034700.v8.1 transcript:Manes.12G034700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRESCHPLLKGGKRMSSYSHGLSSGQIQTLAAMCEAFVPPLPADDLSKEIPVDKLQAVRSFYRASGSEPPIPDEVAELLVTTGLKEAVLIVKLILKVLSFRLGTLLLCGFMCFDWNWPFMHKFSEISPEKREEILKKWSRETFFMPLRIVFLAVKIFSLYVFFSRTDENLQNPAWEAIGYNKDTREKLRKSKEERPLQKGIIELANEDDSTLTPSLIQKGLQVSEDPEHNTCKIKCDVVIIGSGCGGGVAAAVLANSGQKVLVLEKGNYYVPADYSSLEWPSMAELYESSGFLSTLNGRIMILAGSTVGGGSAINWSACIRTPDSVLRDWRVDHKIPLFGSSDYQNAMDAVCNRIGVTDNCSKEGFQNQVLRKGCENIGLQVGSVPRNSSEDHYCGSCNFGCRTGEKKGTDSTWLVDAVSRGAVILSGCEAEKFMLEDSNNGSRKNCLGVIARASNTNITYILQVEARVTISACGSLLTPPLMISSGLVNPNIGRNLHLHPVIMAWGYFPEHESDFKGKMYEGGIITSIHKVVSEGSKVCAIIETPALGPASFAALSPWISGQDLKDKMVKYPRTAHLIALIRDRGSGEVKVKGRITHRLDQLDKDNLRIGLRQALRILVGAGAVEVGTYRSDGQKIACKGIKEKDLEEFLDTITVPGGPKSKEQNWTTLFSAHQMSSCRMGATEEEGAVDENGESWEAKNLYVCDGSVLPTAIGVNPMITIQSTAYCISKKIAESLIGR >Manes.04G079200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28154150:28154455:-1 gene:Manes.04G079200.v8.1 transcript:Manes.04G079200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLMGISHAKQKLQRSLSAKLTSVLATSHNVPRGHVAVYVGEGYRKRFVIPISYLNHPLFLELLNRAEEEFGFDHPMGGLSLPCSEEYFTSLTSVLSCS >Manes.11G112400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:26035887:26036974:-1 gene:Manes.11G112400.v8.1 transcript:Manes.11G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPRDSPPSSSEFKPAPVSSPDNLQLPMKPPAPLPEKPVPPPPGTYVVQIPKDQIYRLPPPENAKRYKKLSGQKPRRSSCCCCFCWFLGLLVVLILLAGIAAGVFYLVFRPEAPKYSIDSISIKGFNLSSSAPFSPEFDVTVRADNPNDKIGIDYRTGSSVNVYYNDVRLCNGKLPAFYQPSNNVTVFVTALKGSGIELTSAVHKALVNGENKGKLPFNLKLRAPVRIKVGSVKTWTITVKVNCDVTVDKLTSKAKIVSKDCDYGVDLW >Manes.14G044600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3867585:3871949:1 gene:Manes.14G044600.v8.1 transcript:Manes.14G044600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILANLIVMGSGILARAFVQGYRQALANASKSGVAQETVQNIRRASKIMAEPEARQILGVTEHSTWEEILQKYDNLFEKNAQNGSFYLQSKVHRAKECLEAAYQKKGQDATNA >Manes.15G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4197531:4201067:-1 gene:Manes.15G054700.v8.1 transcript:Manes.15G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSGYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >Manes.13G042600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5013337:5017215:1 gene:Manes.13G042600.v8.1 transcript:Manes.13G042600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVDSIHNPPNVVAKLMGLDTFPHKQSQSASERSHSKGYSRHSLSHSGILVGCWKQDHGFLDKRMHREGHQCEEKNEYKDVYEIWQQSQKNSVGESSPHKGRNNGSINEKRMTLVRQKFMEAKHLAPDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPPLNDMQPISPSPVTNRITVLRPSKAIDNEKFAGSGKKFDKQANKPTQISQATWDKNKSKCSPTLPNQRFEEYPAQPTRIVVLKPSPGKTHDIKAIVSPPTSSQTILQGEEFYDDPEEDQAQESIEAKEMALQMRRQKDETLLSSSFSNGYNVDDSSFKKSENEYPVGNLSDSENMSPSSRHSWDYINSFGSPYSYSSSRASCSPESFVCREAKKRLSERWAMMTSNGSFQEEKGTWRSSSTLGEMLALSDTKKSVRSGEEAAKKEHEARVSISCLTSNLNKEEGTADSPKSLLRSRSLPVSSTVDGAELSIEFSNSQAVRTEVSKELRKAKCTKLSLKEKVSSLFFSRNKKSNKEIFGVSQSKDEHQSPILATLGSPILLPGKIGDDDASTNNIVLEGRFYPGLHGPSGRTTCPDWTEMATQQGFVSCEASLSVANPAVPGNTSDNQDQPSPISVLEPSFEEDDKTVLEPSGIIRPNHKGAEVPLKYNLIDKSPPIESIARTLSWEDSCIKMARPYSLKHSSIYSSADEEEQDWLTFIQTLLSAAGLDGNMQLDSFFAEWHSNERSLDPASINKYANIDDKELLHEAKRRQRRSNRKLIFDCVNAALMEITGCGMDRSTKGMLCTGVHNRFVQETSPMLVEHLWAQMKEWFYSGGRCTFEDSGDNSSLMVERVVKKEVVGKVWSDNEKVELDNLGKELSAKLLTELVEDAVADLTS >Manes.13G042600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5010408:5017215:1 gene:Manes.13G042600.v8.1 transcript:Manes.13G042600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQGNKAQNMEKQFPGCLGRMVNLLDLSNGASGNRLLTDKLHGCSPSRSHSDVPRMLSSPFVDHIEDKMIVSKLRRSSSTKEASGTPVKTLIAQEMSKEVDSIHNPPNVVAKLMGLDTFPHKQSQSASERSHSKGYSRHSLSHSGILVGCWKQDHGFLDKRMHREGHQCEEKNEYKDVYEIWQQSQKNSVGESSPHKGRNNGSINEKRMTLVRQKFMEAKHLAPDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPPLNDMQPISPSPVTNRITVLRPSKAIDNEKFAGSGKKFDKQANKPTQISQATWDKNKSKCSPTLPNQRFEEYPAQPTRIVVLKPSPGKTHDIKAIVSPPTSSQTILQGEEFYDDPEEDQAQESIEAKEMALQMRRQKDETLLSSSFSNGYNVDDSSFKKSENEYPVGNLSDSENMSPSSRHSWDYINSFGSPYSYSSSRASCSPESFVCREAKKRLSERWAMMTSNGSFQEEKGTWRSSSTLGEMLALSDTKKSVRSGEEAAKKEHEARVSISCLTSNLNKEEGTADSPKSLLRSRSLPVSSTVDGAELSIEFSNSQAVRTEVSKELRKAKCTKLSLKEKVSSLFFSRNKKSNKEIFGVSQSKDEHQSPILATLGSPILLPGKIGDDDASTNNIVLEGRFYPGLHGPSGRTTCPDWTEMATQQGFVSCEASLSVANPAVPGNTSDNQDQPSPISVLEPSFEEDDKTVLEPSGIIRPNHKGAEVPLKYNLIDKSPPIESIARTLSWEDSCIKMARPYSLKHSSIYSSADEEEQDWLTFIQTLLSAAGLDGNMQLDSFFAEWHSNERSLDPASINKYANIDDKELLHEAKRRQRRSNRKLIFDCVNAALMEITGCGMDRSTKGMLCTGVHNRFVQETSPMLVEHLWAQMKEWFYSGGRCTFEDSGDNSSLMVERVVKKEVVGKVWSDNEKVELDNLGKELSAKLLTELVEDAVADLTS >Manes.13G042600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5012023:5017215:1 gene:Manes.13G042600.v8.1 transcript:Manes.13G042600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQGNKAQNMEKQFPGCLGRMVNLLDLSNGASGNRLLTDKLHGCSPSRSHSDVPRMLSSPFVDHIEDKMIVSKLRRSSSTKEASGTPVKTLIAQEMSKEVDSIHNPPNVVAKLMGLDTFPHKQSQSASERSHSKGYSRHSLSHSGILVGCWKQDHGFLDKRMHREGHQCEEKNEYKDVYEIWQQSQKNSVGESSPHKGRNNGSINEKRMTLVRQKFMEAKHLAPDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPPLNDMQPISPSPVTNRITVLRPSKAIDNEKFAGSGKKFDKQANKPTQISQATWDKNKSKCSPTLPNQRFEEYPAQPTRIVVLKPSPGKTHDIKAIVSPPTSSQTILQGEEFYDDPEEDQAQESIEAKEMALQMRRQKDETLLSSSFSNGYNVDDSSFKKSENEYPVGNLSDSENMSPSSRHSWDYINSFGSPYSYSSSRASCSPESFVCREAKKRLSERWAMMTSNGSFQEEKGTWRSSSTLGEMLALSDTKKSVRSGEEAAKKEHEARVSISCLTSNLNKEEGTADSPKSLLRSRSLPVSSTVDGAELSIEFSNSQAVRTEVSKELRKAKCTKLSLKEKVSSLFFSRNKKSNKEIFGVSQSKDEHQSPILATLGSPILLPGKIGDDDASTNNIVLEGRFYPGLHGPSGRTTCPDWTEMATQQGFVSCEASLSVANPAVPGNTSDNQDQPSPISVLEPSFEEDDKTVLEPSGIIRPNHKGAEVPLKYNLIDKSPPIESIARTLSWEDSCIKMARPYSLKHSSIYSSADEEEQDWLTFIQTLLSAAGLDGNMQLDSFFAEWHSNERSLDPASINKYANIDDKELLHEAKRRQRRSNRKLIFDCVNAALMEITGCGMDRSTKGMLCTGVHNRFVQETSPMLVEHLWAQMKEWFYSGGRCTFEDSGDNSSLMVERVVKKEVVGKVWSDNEKVELDNLGKELSAKLLTELVEDAVADLTS >Manes.13G042600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5010423:5017215:1 gene:Manes.13G042600.v8.1 transcript:Manes.13G042600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQGNKAQNMEKQFPGCLGRMVNLLDLSNGASGNRLLTDKLHGCSPSRSHSDVPRMLSSPFVDHIEDKMIVSKLRRSSSTKEASGTPVKTLIAQEMSKEVDSIHNPPNVVAKLMGLDTFPHKQSQSASERSHSKGYSRHSLSHSGILVGCWKQDHGFLDKRMHREGHQCEEKNEYKDVYEIWQQSQKNSVGESSPHKGRNNGSINEKRMTLVRQKFMEAKHLAPDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPPLNDMQPISPSPVTNRITVLRPSKAIDNEKFAGSGKKFDKQANKPTQISQATWDKNKSKCSPTLPNQRFEEYPAQPTRIVVLKPSPGKTHDIKAIVSPPTSSQTILQGEEFYDDPEEDQAQESIEAKEMALQMRRQKDETLLSSSFSNGYNVDDSSFKKSENEYPVGNLSDSENMSPSSRHSWDYINSFGSPYSYSSSRASCSPESFVCREAKKRLSERWAMMTSNGSFQEEKGTWRSSSTLGEMLALSDTKKSVRSGEEAAKKEHEARVSISCLTSNLNKEEGTADSPKSLLRSRSLPVSSTVDGAELSIEFSNSQAVRTEVSKELRKAKCTKLSLKEKVSSLFFSRNKKSNKEIFGVSQSKDEHQSPILATLGSPILLPGKIGDDDASTNNIVLEGRFYPGLHGPSGRTTCPDWTEMATQQGFVSCEASLSVANPAVPGNTSDNQDQPSPISVLEPSFEEDDKTVLEPSGIIRPNHKGAEVPLKYNLIDKSPPIESIARTLSWEDSCIKMARPYSLKHSSIYSSADEEEQDWLTFIQTLLSAAGLDGNMQLDSFFAEWHSNERSLDPASINKYANIDDKELLHEAKRRQRRSNRKLIFDCVNAALMEITGCGMDRSTKGMLCTGVHNRFVQETSPMLVEHLWAQMKEWFYSGGRCTFEDSGDNSSLMVERVVKKEVVGKVWSDNEKVELDNLGKELSAKLLTELVEDAVADLTS >Manes.13G042600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5011486:5017612:1 gene:Manes.13G042600.v8.1 transcript:Manes.13G042600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQGNKAQNMEKQFPGCLGRMVNLLDLSNGASGNRLLTDKLHGCSPSRSHSDVPRMLSSPFVDHIEDKMIVSKLRRSSSTKEASGTPVKTLIAQEMSKEVDSIHNPPNVVAKLMGLDTFPHKQSQSASERSHSKGYSRHSLSHSGILVGCWKQDHGFLDKRMHREGHQCEEKNEYKDVYEIWQQSQKNSVGESSPHKGRNNGSINEKRMTLVRQKFMEAKHLAPDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPPLNDMQPISPSPVTNRITVLRPSKAIDNEKFAGSGKKFDKQANKPTQISQATWDKNKSKCSPTLPNQRFEEYPAQPTRIVVLKPSPGKTHDIKAIVSPPTSSQTILQGEEFYDDPEEDQAQESIEAKEMALQMRRQKDETLLSSSFSNGYNVDDSSFKKSENEYPVGNLSDSENMSPSSRHSWDYINSFGSPYSYSSSRASCSPESFVCREAKKRLSERWAMMTSNGSFQEEKGTWRSSSTLGEMLALSDTKKSVRSGEEAAKKEHEARVSISCLTSNLNKEEGTADSPKSLLRSRSLPVSSTVDGAELSIEFSNSQAVRTEVSKELRKAKCTKLSLKEKVSSLFFSRNKKSNKEIFGVSQSKDEHQSPILATLGSPILLPGKIGDDDASTNNIVLEGRFYPGLHGPSGRTTCPDWTEMATQQGFVSCEASLSVANPAVPGNTSDNQDQPSPISVLEPSFEEDDKTVLEPSGIIRPNHKGAEVPLKYNLIDKSPPIESIARTLSWEDSCIKMARPYSLKHSSIYSSADEEEQDWLTFIQTLLSAAGLDGNMQLDSFFAEWHSNERSLDPASINKYANIDDKELLHEAKRRQRRSNRKLIFDCVNAALMEITGCGMDRSTKGMLCTGVHNRFVQETSPMLVEHLWAQMKEWFYSGGRCTFEDSGDNSSLMVERVVKKEVVGKVWSDNEKVELDNLGKELSAKLLTELVEDAVADLTS >Manes.13G042600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5011512:5017368:1 gene:Manes.13G042600.v8.1 transcript:Manes.13G042600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQGNKAQNMEKQFPGCLGRMVNLLDLSNGASGNRLLTDKLHGCSPSRSHSDVPRMLSSPFVDHIEDKMIVSKLRRSSSTKEASGTPVKTLIAQEMSKEVDSIHNPPNVVAKLMGLDTFPHKQSQSASERSHSKGYSRHSLSHSGILVGCWKQDHGFLDKRMHREGHQCEEKNEYKDVYEIWQQSQKNSVGESSPHKGRNNGSINEKRMTLVRQKFMEAKHLAPDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPPLNDMQPISPSPVTNRITVLRPSKAIDNEKFAGSGKKFDKQANKPTQISQATWDKNKSKCSPTLPNQRFEEYPAQPTRIVVLKPSPGKTHDIKAIVSPPTSSQTILQGEEFYDDPEEDQAQESIEAKEMALQMRRQKDETLLSSSFSNGYNVDDSSFKKSENEYPVGNLSDSENMSPSSRHSWDYINSFGSPYSYSSSRASCSPESFVCREAKKRLSERWAMMTSNGSFQEEKGTWRSSSTLGEMLALSDTKKSVRSGEEAAKKEHEARVSISCLTSNLNKEEGTADSPKSLLRSRSLPVSSTVDGAELSIEFSNSQAVRTEVSKELRKAKCTKLSLKEKVSSLFFSRNKKSNKEIFGVSQSKDEHQSPILATLGSPILLPGKIGDDDASTNNIVLEGRFYPGLHGPSGRTTCPDWTEMATQQGFVSCEASLSVANPAVPGNTSDNQDQPSPISVLEPSFEEDDKTVLEPSGIIRPNHKGAEVPLKYNLIDKSPPIESIARTLSWEDSCIKMARPYSLKHSSIYSSADEEEQDWLTFIQTLLSAAGLDGNMQLDSFFAEWHSNERSLDPASINKYANIDDKELLHEAKRRQRRSNRKLIFDCVNAALMEITGCGMDRSTKGMLCTGVHNRFVQETSPMLVEHLWAQMKEWFYSGGRCTFEDSGDNSSLMVERVVKKEVVGKVWSDNEKVELDNLGKELSAKLLTELVEDAVADLTS >Manes.13G042600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5012023:5017215:1 gene:Manes.13G042600.v8.1 transcript:Manes.13G042600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSNWLLLLSQQTIFSPKYILSLDSCAYFLPIFFTHKSIPFTLSNFCILIRGTKDKQKKRRKGSGLDVYSVLGDSELGVKMNGIQGNKAQNMEKQFPGCLGRMVNLLDLSNGASGNRLLTDKLHGCSPSRSHSDVPRMLSSPFVDHIEDKMIVSKLRRSSSTKEASGTPVKTLIAQEMSKEVDSIHNPPNVVAKLMGLDTFPHKQSQSASERSHSKGYSRHSLSHSGILVGCWKQDHGFLDKRMHREGHQCEEKNEYKDVYEIWQQSQKNSVGESSPHKGRNNGSINEKRMTLVRQKFMEAKHLAPDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPPLNDMQPISPSPVTNRITVLRPSKAIDNEKFAGSGKKFDKQANKPTQISQATWDKNKSKCSPTLPNQRFEEYPAQPTRIVVLKPSPGKTHDIKAIVSPPTSSQTILQGEEFYDDPEEDQAQESIEAKEMALQMRRQKDETLLSSSFSNGYNVDDSSFKKSENEYPVGNLSDSENMSPSSRHSWDYINSFGSPYSYSSSRASCSPESFVCREAKKRLSERWAMMTSNGSFQEEKGTWRSSSTLGEMLALSDTKKSVRSGEEAAKKEHEARVSISCLTSNLNKEEGTADSPKSLLRSRSLPVSSTVDGAELSIEFSNSQAVRTEVSKELRKAKCTKLSLKEKVSSLFFSRNKKSNKEIFGVSQSKDEHQSPILATLGSPILLPGKIGDDDASTNNIVLEGRFYPGLHGPSGRTTCPDWTEMATQQGFVSCEASLSVANPAVPGNTSDNQDQPSPISVLEPSFEEDDKTVLEPSGIIRPNHKGAEVPLKYNLIDKSPPIESIARTLSWEDSCIKMARPYSLKHSSIYSSADEEEQDWLTFIQTLLSAAGLDGNMQLDSFFAEWHSNERSLDPASINKYANIDDKELLHEAKRRQRRSNRKLIFDCVNAALMEITGCGMDRSTKGMLCTGVHNRFVQETSPMLVEHLWAQMKEWFYSGGRCTFEDSGDNSSLMVERVVKKEVVGKVWSDNEKVELDNLGKELSAKLLTELVEDAVADLTS >Manes.13G042600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5010397:5017215:1 gene:Manes.13G042600.v8.1 transcript:Manes.13G042600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIQGNKAQNMEKQFPGCLGRMVNLLDLSNGASGNRLLTDKLHGCSPSRSHSDVPRMLSSPFVDHIEDKMIVSKLRRSSSTKEASGTPVKTLIAQEMSKEVDSIHNPPNVVAKLMGLDTFPHKQSQSASERSHSKGYSRHSLSHSGILVGCWKQDHGFLDKRMHREGHQCEEKNEYKDVYEIWQQSQKNSVGESSPHKGRNNGSINEKRMTLVRQKFMEAKHLAPDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPPLNDMQPISPSPVTNRITVLRPSKAIDNEKFAGSGKKFDKQANKPTQISQATWDKNKSKCSPTLPNQRFEEYPAQPTRIVVLKPSPGKTHDIKAIVSPPTSSQTILQGEEFYDDPEEDQAQESIEAKEMALQMRRQKDETLLSSSFSNGYNVDDSSFKKSENEYPVGNLSDSENMSPSSRHSWDYINSFGSPYSYSSSRASCSPESFVCREAKKRLSERWAMMTSNGSFQEEKGTWRSSSTLGEMLALSDTKKSVRSGEEAAKKEHEARVSISCLTSNLNKEEGTADSPKSLLRSRSLPVSSTVDGAELSIEFSNSQAVRTEVSKELRKAKCTKLSLKEKVSSLFFSRNKKSNKEIFGVSQSKDEHQSPILATLGSPILLPGKIGDDDASTNNIVLEGRFYPGLHGPSGRTTCPDWTEMATQQGFVSCEASLSVANPAVPGNTSDNQDQPSPISVLEPSFEEDDKTVLEPSGIIRPNHKGAEVPLKYNLIDKSPPIESIARTLSWEDSCIKMARPYSLKHSSIYSSADEEEQDWLTFIQTLLSAAGLDGNMQLDSFFAEWHSNERSLDPASINKYANIDDKELLHEAKRRQRRSNRKLIFDCVNAALMEITGCGMDRSTKGMLCTGVHNRFVQETSPMLVEHLWAQMKEWFYSGGRCTFEDSGDNSSLMVERVVKKEVVGKVWSDNEKVELDNLGKELSAKLLTELVEDAVADLTS >Manes.11G076151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11221114:11221938:-1 gene:Manes.11G076151.v8.1 transcript:Manes.11G076151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILMWIMKNDKEVRWPLKLNPEKVIKRDKTKYCHFHEDHRHTMEELIRDNTLQKFAKKSREEKRSEHEELWAKQEVRFGPVDKAIGFFQNDPLVTSVRLNMYEVRRVLVDTCSSVNLLTLDVFNKVGLDRNNLVKVSYPLVGLGDKIAAVLGTINLPLVLVDIPLAYNVILGRTVLNCHDIVINMGAMCLKVPALGGLMIIQGNQM >Manes.01G090900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29253870:29257330:-1 gene:Manes.01G090900.v8.1 transcript:Manes.01G090900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPTTTSTNNNTPTAKSPDLESETLPRIQSPNPVSFTNGVLKRHSSHHHHLHLSPPPVVITYKECLKNHAATLGGHALDGCGEFMPSPTANPNDPTSIKCAACGCHRNFHRREPEDSPPPTNTTTTIEYQPHHRHHPPPPPPQAVPQPYRSPNSASPPPISSSYPSAPHMLLALSGGLSAGLNGNSTINVPGGSSGRKRFRSKFSQNQKERMHEFAEKVGWKIQKRDEELIQEFCNEVGVDRGVLKVWMHNNKNTLGKRDANGNGTRSNDLGNSHYTTTTTTTTNNSSENVNHHHLFESDNVAHAGTNGSSSSS >Manes.01G090900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29253817:29257330:-1 gene:Manes.01G090900.v8.1 transcript:Manes.01G090900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPTTTSTNNNTPTAKSPDLESETLPRIQSPNPVSFTNGVLKRHSSHHHHLHLSPPPVVITYKECLKNHAATLGGHALDGCGEFMPSPTANPNDPTSIKCAACGCHRNFHRREPEDSPPPTNTTTTIEYQPHHRHHPPPPPPQAVPQPYRSPNSASPPPISSSYPSAPHMLLALSGGLSAGLNGNSTINVPGGSSGRKRFRSKFSQNQKERMHEFAEKVGWKIQKRDEELIQEFCNEVGVDRGVLKVWMHNNKNTLGKRDANGNGTRSNDLGNSHYTTTTTTTTNNSSENVNHHHLFESDNVAHAGTNGSSSSS >Manes.01G090900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29255578:29257330:-1 gene:Manes.01G090900.v8.1 transcript:Manes.01G090900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPTTTSTNNNTPTAKSPDLESETLPRIQSPNPVSFTNGVLKRHSSHHHHLHLSPPPVVITYKECLKNHAATLGGHALDGCGEFMPSPTANPNDPTSIKCAACGCHRNFHRREPEDSPPPTNTTTTIEYQPHHRHHPPPPPPQAVPQPYRSPNSASPPPISSSYPSAPHMLLALSGGLSAGLNGNSTINVPGGSSGRKRFRSKFSQNQKERMHEFAEKVGWKIQKRDEELIQEFCNEVGVDRGVLKVWMHNNKNTLGKRDANGNGTRSNDLGNSHYTTTTTTTTNNSSENVNHHHLFESDNVAHAGTNGSSSSS >Manes.01G090900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29253816:29257330:-1 gene:Manes.01G090900.v8.1 transcript:Manes.01G090900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPTTTSTNNNTPTAKSPDLESETLPRIQSPNPVSFTNGVLKRHSSHHHHLHLSPPPVVITYKECLKNHAATLGGHALDGCGEFMPSPTANPNDPTSIKCAACGCHRNFHRREPEDSPPPTNTTTTIEYQPHHRHHPPPPPPQAVPQPYRSPNSASPPPISSSYPSAPHMLLALSGGLSAGLNGNSTINVPGGSSGRKRFRSKFSQNQKERMHEFAEKVGWKIQKRDEELIQEFCNEVGVDRGVLKVWMHNNKNTLGKRDANGNGTRSNDLGNSHYTTTTTTTTNNSSENVNHHHLFESDNVAHAGTNGSSSSS >Manes.01G090900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29255580:29257330:-1 gene:Manes.01G090900.v8.1 transcript:Manes.01G090900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPTTTSTNNNTPTAKSPDLESETLPRIQSPNPVSFTNGVLKRHSSHHHHLHLSPPPVVITYKECLKNHAATLGGHALDGCGEFMPSPTANPNDPTSIKCAACGCHRNFHRREPEDSPPPTNTTTTIEYQPHHRHHPPPPPPQAVPQPYRSPNSASPPPISSSYPSAPHMLLALSGGLSAGLNGNSTINVPGGSSGRKRFRSKFSQNQKERMHEFAEKVGWKIQKRDEELIQEFCNEVGVDRGVLKVWMHNNKNTLGKRDANGNGTRSNDLGNSHYTTTTTTTTNNSSENVNHHHLFESDNVAHAGTNGSSSSS >Manes.01G150700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33931771:33936242:-1 gene:Manes.01G150700.v8.1 transcript:Manes.01G150700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRHSRLPARKSSSTMILTVLLMFTFVILILLALGILSIPSNSGDPSRKANDLSTIVHNKVDRIEGDDGKREQWVEVISWEPRAFIYHNFLSEEECDYLISLAKPHMQKSTVVDSETGKSKDSRVRTSSGTFLPRGRDKKIREIEKRIADFTFIPVEQGEGLQVLHYEVGQKYEPHFDYFMDEFNTKNGGQRIATVLMYLSDVEEGGETVFPSAKGNYSAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASVDPSSLHGGCPVIKGNKWSATKWIRVNEYKV >Manes.09G011628.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2338218:2341960:1 gene:Manes.09G011628.v8.1 transcript:Manes.09G011628.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNDCHSSTSTLKDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRIPFNRFLSALAKMKQYHTVLSMSKTIELLGISHNIYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFNSLINGLCMERKIDKAVEFFDDMVARGYQPDVYTYITIINGICKFGKTKVAIGLLKGMVDRGCEPNVVTYNAIIDALCKDELVGEALDLFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVAEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEAVALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAQKIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADILGYNILINGYCKCKMIDDAEELFDEMSHKGLVPNVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALALLTAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVYLSRNNNLILRLLKVRNEGSAN >Manes.13G059333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6816841:6819468:-1 gene:Manes.13G059333.v8.1 transcript:Manes.13G059333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVVIRVSLNGEKSRSKALKIAVSVSGVESAALGGGQDKSQIEVVGDVDPVKLTTQLRKSVGHAELLSLLFFPPLFSSALHPSPYHCHHRRRCIRGCRVFPSPHHRCCYCFFYCRNYVAIAGAATAIATHRRCSY >Manes.12G030500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2635926:2640703:-1 gene:Manes.12G030500.v8.1 transcript:Manes.12G030500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVSEKDIEDEFKMYGVLRSVWVARRPPGYAFVEFDDRRDAMDAIRALDGKNGWRVELSHNSKGGRGHGGHGRSGDDLKCYECGEPGHFAKECRLRIGSRGLASGRRRSPSPRRHSSPSYGYRRRSNSPRGRRSPIRHSLSPRRGRSYSRSPPYRRFRRDSPYANGD >Manes.12G030500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2635926:2640672:-1 gene:Manes.12G030500.v8.1 transcript:Manes.12G030500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVSEKDIEDEFKMYGVLRSVWVARRPPGYAFVEFDDRRDAMDAIRALDGKNGWRVELSHNSKGGRGHGGHGRSGDDLKCYECGEPGHFAKECRLRIGSRGLASGRRRSPSPRRHSSPSYGYRRRSNSPRGRRSPIRHSLSPRRGRSYSRSPPYRRFRRDSPYANGD >Manes.12G030500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2635926:2640672:-1 gene:Manes.12G030500.v8.1 transcript:Manes.12G030500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVSEKDIEDEFKMYGVLRSVWVARRPPGYAFVEFDDRRDAMDAIRALDGKNGWRVELSHNSKGGRGHGGHGRSGDDLKCYECGEPGHFAKECRLRIGSRGLASGRRRSPSPRRHSSPSYGYRRRSNSPRGRRSPIRHSLSPRRGRSYSRSPPYRRFRRDSPYANGD >Manes.17G004800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2304377:2307339:1 gene:Manes.17G004800.v8.1 transcript:Manes.17G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQRRNAERNQKPKGSQLEARAVALKVCCPICKVLLANSNQLGDHYASKHPKEKPPESS >Manes.15G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:418590:422215:-1 gene:Manes.15G000700.v8.1 transcript:Manes.15G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALMNLLSLCFRPFGLGSCTSGKFDSAGVGREKRDGLLWYRDTGRYGSGDFSMAVMQANQVLEDQCQIESGSFGTFVGVYDGHGGPDASRYVCDHLFRHFQAISAETQGVVTSETIQRAFQATEEGFTALVSELWTTYPQVATTGSCCLVGVIFQQTLFVANLGDSRVVLGKKVGSTGEIAAIQLSTEHNANIEAIRHELKELHPSDPQIVVFKHGVWRVKGIIQVSRSIGDLYMKHVQYNREPINGKFRLPEPMNMPILSANPTIISHPLHPNDSFLIFASDGLWEHLSNEKAVDIVHSYPHAGSAKRLVKAALQEAARKREMRYSDLRRIDKKVRRHFHDDITVIVLFLNHDLISRGTVQDPPLSVRSALEH >Manes.S008502.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:60549:61361:-1 gene:Manes.S008502.v8.1 transcript:Manes.S008502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.01G124700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32007011:32010110:1 gene:Manes.01G124700.v8.1 transcript:Manes.01G124700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKLRLRQAIDSLYICGPATHEAYTRLALECFRANDVDQAKRLQSHMDIYLYQPSDSFLQNRLLHLYAKWGYISNARDLFDKMLHRDVFSWNAMLSLYAKSGLIEDLRAVFDNMPSRDSVSYNTVISGFAKNGCASKAVEAFVRMQNEGFKPTEYTHVSVLNACAQLLDLRKGKQIHGRIVTRNLGGNVFIWNALTDMYAKCGEIDKARWLFDRLTHKNVVSWNLMISAYLKNGQSKKSVDLFHEMRALGLKPDQVTVSNIIGAYFESGFIDKAGMIFSEIKERDKVCWTTMIVGYAQNGKEEDALMLFNEMLLSNVWPDGYTISSVVSSCAKLAYLFHGQAVHGKALVMGVDEGLLVGSALVDMYCKCGVTADAWIVFNTMPTRNVVSWNAMIRGYAQNGQDSEALALYENMLQEDTRPDNVTYVGILSACIHAGLVEEGKRYFDSMNKLHGLLPTLDHFACMINLLGRSGCMNEAMDLINTMPHEPNSLIWSTVLSVSAMKGDIKHAEKAARHLFELDPLNAGPYIMLSNMYASCGRWKDVASMRSLMKTKNVKKFAAYSWIEIDNEVHKFVADDRTHPDTKIIYEALQGLIRKLQKAGFSPNTNLVLHDVGEEEKLESICYHSEKIALAFGLIKKHHGPIRIIKNIRVCGDCHVFMKFVSKITGRQIILRDSNRFHHFGAGKCSCNDYW >Manes.08G004128.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:797008:800328:-1 gene:Manes.08G004128.v8.1 transcript:Manes.08G004128.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGVHFASQRNPAPMSNAFISSSHSVEVSYYQPDAAGPSHDPFLHSSTVGTFCAVPENHAHASSSNYDRQTINGVEGDLFYLTMGNGRGPHKRKSPGVPSSCEGGSTSRYYGAGSSSDPSVPSELRLEKPNLDPQYMVWECITMTPGHRGNLSIGPESSIRNVRSRPALDLEINLSSTHLSNNSSHNSYHPGHPFDHSSSVDFSSQSSSAMTHNWSHTRTSTASGRMLVSDANGYTHETNHFLVGSSIPNASADVRGYHHDFISSRNPVVPQSFHSASAHSARGIRSSYSQRPSPTFRASSSSLRLGHMAPSDDGMPLVAENFSSRQPRLLSTAAWRNSDRNGRSRNSYERYRSLPNEPSLHDRFSSEGFMVVDRSAFYGSRNLFDQHRDMRLDIDNKSYEELLALGERIGSVSTGFDEDLISKCLTETVYGSSGQSEDEGTCAICLVRIFSKYILSVGF >Manes.09G118300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32114504:32118914:1 gene:Manes.09G118300.v8.1 transcript:Manes.09G118300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVDLLAIQNPNYFRLRRPSSSSLKLESLLKPRCCRVSIESPAVFTPKGLGIRSGTGFPSLERRISLSRPIVASAASHEESKHSEIELEKENNVEELGAEESQEAWKETLASFKEEALKMQSISQEAYEVYSKKAMVILKETSEQLKIQADKARSDLAVLAKDVTGETKEFLSVAADNYPEPVKEVVETLVSTTDDLVDFSQFHDFHLGIPYGFLLSVGGFLSFMLTGSISAVRFGIILGGALLALSVLNLKSYKKEGQPSLSLLKGETGIAAIIFLREFRLLFQKASLLTFFSTVIRFIMFSCFITFVFLYSIDYLETGKCV >Manes.09G118300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32114504:32118841:1 gene:Manes.09G118300.v8.1 transcript:Manes.09G118300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVDLLAIQNPNYFRLRRPSSSSLKLESLLKPRCCRVSIESPAVFTPKGLGIRSGTGFPSLERRISLSRPIVASAASHEESKHSEIELEKENNVEELGAEESQEAWKETLASFKEEALKMQSISQEAYEVYSKKAMVILKETSEQLKIQADKARSDLAVLAKDVTGETKEFLSVAADNYPEPVKEVVETLVSTTDDLVDFSQFHDFHLGIPYGFLLSVGGFLSFMLTGSISAVRFGIILGGALLALSVLNLKSYKKEGQPSLSLLKGETGIAAIIFLREFRLLFQKASLLTFFSTVISGAMLAFFIYRIIVNGQQNRGSDMGQRAEN >Manes.01G078600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28144045:28144664:-1 gene:Manes.01G078600.v8.1 transcript:Manes.01G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAASIALLLTLNLLFFTMGSAATCPVDALKFKVCANVLGLIKIPPDAPCCSLIANLVDLEAALCLCTAIKANVLGINLTIPVDLSLVLNNCGKKVPEGFQCP >Manes.05G160350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27327677:27330351:-1 gene:Manes.05G160350.v8.1 transcript:Manes.05G160350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGALAWQVKKLNKNLLYWVFEGGRWHGNICFILPGSPPSVCVSGRSFLFSSLFPSFPFLSLCWTVYQTNILCNQDKQGNNYAAIENVHEFDHQRMSSMYLMFRSCYTWYGVYFAVCAVSTRGAVMSPTTSDDYRFLMTCFKLAGVFFHFGTI >Manes.11G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3659375:3662859:-1 gene:Manes.11G037900.v8.1 transcript:Manes.11G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIGVLMTCPPFFPYLLEQLEKRFTLYKLQNAPDKTQFINSHKNSIRAIVGSGGFGADAKLIDQLPKLEIISSHSVGLDKVDLPKCKEKGIRVTNTPDVLTDDVADLAIGLMLAVLRRLCESDRYVRSGKWKKGVYKLTTKFTGKSVGIIGLGRIGMAIAKRAEAFSCPISYYSRTEKRDVKYKYYPSVVELAANCQILVIACALTEETRHIVNREVINALGPMGVLINIGRGPHIDEPEMVSALLESRLGGAGLDVFEDEPNVPEQLFRLENVVLLPHVGTATVETRKAMADLVVGNLEAHFSNKPLLTPVV >Manes.01G258100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41475994:41478232:-1 gene:Manes.01G258100.v8.1 transcript:Manes.01G258100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNQPKGTVAVGTTRCHGKPEYVSEGEEVVVVVVEVEELEKLESEATEMAKRILEYRVTLPDQLRATLSSMLSAQRLILPETDSGLNFVPRGECNPGLGEQVNSSKPALVTEGDQKIAEKVRFLKDKISSNISAMPIVLKRMKECTSKIENLDSYDGIIPSAFKKKKTS >Manes.16G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4620958:4626685:1 gene:Manes.16G036400.v8.1 transcript:Manes.16G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANQLGSTAHPSSKPAVVHASAFTGTLGCHLARRLVEIGVSDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCIQAVVNNLDDAHEQIDTAISTALKESKPAYISISCNLPGIPHPTFIREPVPFLLAPKVSNQLGLEAAVEATAEFLNKAVKPVIVGGPKLRVAKAQKAFVELADASGYPVAVMPAGKGLVPEHHPHFIGTYWGAVSTCFCGEIVESSDAYVFVGPIFNDYSSVGYSLLVKKEKAIIVQPNRVTIGNGPSFGCIFMADFLSALAKKLKKNSTAMENYRRIFVPPGVPLKCENNEPLRVNVLFKHIQQLLSGETAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAARNKRVIACIGDGSFQVTAQDVSTMICSGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGFVNAIHNGEGKCWTAKVHTEDELTEAIATATGEQKDSLCFIEVLVHMDDTSKELLEWGSRVSSANCRPPNPQ >Manes.01G067500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26870048:26870749:-1 gene:Manes.01G067500.v8.1 transcript:Manes.01G067500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISAQFYDPLPFYSRQESSSPSDASSSTEAHGNSDEEVVLLASNRPKRRGGRRIFKETRHPVFRGVRRRNGNRWVCELREPNKKSRIWLGTYPTPEMAARAHDVAALALRGKSACLNFADSAWRPLPVPASLDARDIRKAAKEAAELFPTQVFACDDQVTVKGSNVMEDSIEASSDEGKVFEENGLFIDEEAVFEMPRLLEEMAQGLLLPPLHFDSDATVLETYVDISLWNY >Manes.01G216500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38553702:38553956:1 gene:Manes.01G216500.v8.1 transcript:Manes.01G216500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSLSGDHASLTHESKRTFGVAEKLKLQACDAGRNNGVVGKGNTSTSSCSLLFSNENQRRNWSYVAEDPIRTMMFFASWGHT >Manes.02G215120.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35795282:35849710:-1 gene:Manes.02G215120.v8.1 transcript:Manes.02G215120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIELSILTITSSLALLLLSAFNLALILGRNQPITLLSVALHTPIAVQLLKIKYVFNYSANIHNLEEEVEKLSHAKQRVEHTVEVARRNPLEQIEADVQQWLAKADSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPTIVGVREGGNFPRVSYRAPPQGIVAVKECEAFESRTSVVDEILNALKDADVNLIAVYGMGGVGKTTLVKHIGTLVRERGIFKLVVIATVTLNADLKSVQQEIAEWLDFKLDAETIAVRAARLSERIKQEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRKQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAERCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEHIKDLLKYVVGFGLFNQHITLKATRNRLLTVISDLKLSSLLLEDGDHKRVKMHDVVHSFAASFVSKHDQVLTAANEAELEEWPNEDFFKQCTSISLQDCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSRMKELKVLDLTGIYLSPLPSSLQSLENLQTLCLDGCDLEDIAAIGELKQLQVLSLMGSKIIRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSILAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKEIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKGLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIDWMKMNHFTAFPKLKSLFLHNLNNLEKIYGGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFISFCSQVKVHSTSQRAGNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAHLKVLEICDCKSMEEVILAAGEGERMSKILLPKLDSLKLKGLPKLVRFCRAKLIECPSLKVLKMGNCPRLQSFVSTQVNIALFDEKVWFPELEKLHVEDMHMLKMIWCDEVLADSFGRLKVLNVLNGKQLLEIFPSKLLEKFLVNLESLTVRHCDSVKEVFDLQAIIKEREAHVVRHSQLRTLEVKNLPNLIQLWNRDPHDILSFYNLRVVRAWDCPNLKKLFPFSVAQCLPHLELLSIMDCGMEEIVTKKERAEALTIIPKFAFRGLKIMFLSKLHELKYFYSGKHTLECPQLKLLNVGICAKLQTYNFESQEIQEMSMDEQEDELKLQIPQPLFSFREIIGNLEGLVINDQDAAMIQQSQFPMDLFVKLKFLHLQSFGYSFLNVPLNLLQKFPNLGRLDLTNCYFKELLQHGHGHDPVLSQIRCLELLWLPNIRHVWNQDSPFLQNLETLKIRGCDGLTNLAPSSAAFKNLTILHVWNCNGLSSLVSSSNAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNFTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPQINSIILESLPRLINFSSGSGTVHCPSLKEIAVFDCPTTFTCSFFWEADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWHAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLFHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCKKLLKIFPSMFQNVEDLIIGNCDSLEEVFDLQEIIRLKETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKVVSNLEKLTLTRKDAAMILECQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMKNAREY >Manes.11G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32258252:32261324:1 gene:Manes.11G155900.v8.1 transcript:Manes.11G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENEPLSSQKRLSCTTCFDALWFCYSPVHQMQQYYRLGVLDNCSAKWSALVDCLILKTKKSSEMQEILETREKDKAHIWTFRTPEEASSHWKELFGHLDEME >Manes.06G149002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27667109:27693970:-1 gene:Manes.06G149002.v8.1 transcript:Manes.06G149002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTILAILLNCGSFCICAPPQRLLVKMTMVTNAPALGAFCLDGSLPAYHLHRGFGAGASNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNDTKLNPDFYNWNRVKLRYCDGASFGGDAMFKDGSSVLYFRGQKIWEAIIQDLLPKGLGQARQALLSGCSAGGLSSFLHCDDLAKVLPNAGVKCLSDAGFFLDEKDVSLKYAMRSFYAKLVALQGVEKNLNKKCTNSFSKNPELCIFPQHALKFITPPFFILNAAYDVFQINHILVPPSADMRGLWKRCKNNTAQCNEKQIDTLQGFRQNMLAALGSFSKNFNQWGMYINSCFAHCQSESQDTWLAVDSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDNTCHNLITTAPVP >Manes.06G149002.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27688234:27693969:-1 gene:Manes.06G149002.v8.1 transcript:Manes.06G149002.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTILAILLNCGSFCICAPPQRLLVKMTMVTNAPALGAFCLDGSLPAYHLHRGFGAGASNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNDTKLNPDFYNWNRVKLRYCDGASFGGDAMFKDGSSVLYFRGQKIWEAIIQDLLPKGLGQARQALLSGCSAGGLSSFLHCDDLAKVLPNAGVKCLSDAGFFLDEKDVSLKYAMRSFYAKLVALQGVEKNLNKKCTNSFSKNPELCIFPQHALKFITPPFFILNAAYDVFQINHILVPPSADMRGLWKRCKNNTAQCNEKQIDTLQGFRQNMLAALGSFSKNFNQWGMYINSCFAHCQSESQDTWLAVDSPRIHDVTIAKAVGDWYFNRNGTNEIDCPFPCDNTCHNLITTAPVP >Manes.09G132801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33377753:33378100:-1 gene:Manes.09G132801.v8.1 transcript:Manes.09G132801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPSNFTAKLRSLFLKKYSTQGDDYRNSYERLAATDLNNDDRKPRRSRRGCLAVYVGKEGKRYEVPVEYLSMRSFQEMILRFKLDNLDTKIEGPLKLSCSTTFFEEQLGKVARH >Manes.09G024600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4953747:4959604:1 gene:Manes.09G024600.v8.1 transcript:Manes.09G024600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPPISLPCLSKPQHLFLPFKPESSKTIFTGFSSCKPLPNCSVQNPKLKVTQCCTSSGVFPSEDTFLFSDWPELVKISTEFRDFLLGQAIHAYLVKSGSLNDAFVGNNLISLYVKFNRLDLAQRVFDKMPVRNTITWTSLMKGYLADNDFQSAFCIAGDMFEFGEKFNEHTCTVILQACSTSGDKILGEQMHSFVIKSGFVHNVYVGTSLIAMYARSGPFGDVEKVFDCMACKDVRCLNFMILECGKAGNGKKAFKVFINLLNSGLEPNDYTFTNIISSCNGDLGVEEGKQLQGLAFKYGFLNETSVGNAIISLYGKNGMADEAKRMFSLMTERNVISWTALVSGYTRSGYGEKAVDAFMELHCLGVNFDSSLLTTILDCCSEYNKLQLGLQIHGLVIKLGYKSDVNIVTALIDLYSKSGKLQSARILFNGLSSKSTASFNAILAGFIEDRGNDEQDSMVLFNQLRIAGIKPDFVTFSRFLCLSSNQASLQKGRSFHAYAIKTGLEADFSVANAVITMYAKCGSIEDSHKMFMAMNGRDFISWNAMISAYALHGQGQKALLLFEEMKKKGVAPDELTILSILQACTYSGLWQDGLGLFNVMGPKYGIKPLLEHYACMVDLLGRAGHLSEAMDIINKSPFPNSTLLWRTLVNVCKLCGDLNFGKLASKRLLDLSPSEAGSYILVSNMYAGERILDEAAKVRTVMNDLKLSKEVGCSWIEIENKVHHFVASDKDHPKSREIYAGLDLLRDEMKWNYDRADIHLISELV >Manes.09G024600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4953742:4959616:1 gene:Manes.09G024600.v8.1 transcript:Manes.09G024600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPPISLPCLSKPQHLFLPFKPESSKTIFTGFSSCKPLPNCSVQNPKLKVTQCCTSSGVFPSEDTFLFSDWPELVKISTEFRDFLLGQAIHAYLVKSGSLNDAFVGNNLISLYVKFNRLDLAQRVFDKMPVRNTITWTSLMKGYLADNDFQSAFCIAGDMFEFGEKFNEHTCTVILQACSTSGDKILGEQMHSFVIKSGFVHNVYVGTSLIAMYARSGPFGDVEKVFDCMACKDVRCLNFMILECGKAGNGKKAFKVFINLLNSGLEPNDYTFTNIISSCNGDLGVEEGKQLQGLAFKYGFLNETSVGNAIISLYGKNGMADEAKRMFSLMTERNVISWTALVSGYTRSGYGEKAVDAFMELHCLGVNFDSSLLTTILDCCSEYNKLQLGLQIHGLVIKLGYKSDVNIVTALIDLYSKSGKLQSARILFNGLSSKSTASFNAILAGFIEDRGNDEQDSMVLFNQLRIAGIKPDFVTFSRFLCLSSNQASLQKGRSFHAYAIKTGLEADFSVANAVITMYAKCGSIEDSHKMFMAMNGRDFISWNAMISAYALHGQGQKALLLFEEMKKKGVAPDELTILSILQACTYSGLWQDGLGLFNVMGPKYGIKPLLEHYACMVDLLGRAGHLSEAMDIINKSPFPNSTLLWRTLVNVCKLCGDLNFGKLASKRLLDLSPSEAGSYILVSNMYAGERILDEAAKVRTVMNDLKLSKEVGCSWIEIENKVHHFVASDKDHPKSREIYAGLDLLRDEMKWNYDRADIHLISELV >Manes.09G024600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4953747:4959604:1 gene:Manes.09G024600.v8.1 transcript:Manes.09G024600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPPISLPCLSKPQHLFLPFKPESSKTIFTGFSSCKPLPNCSVQNPKLKVTQCCTSSGVFPSEDTFLFSDWPELVKISTEFRDFLLGQAIHAYLVKSGSLNDAFVGNNLISLYVKFNRLDLAQRVFDKMPVRNTITWTSLMKGYLADNDFQSAFCIAGDMFEFGEKFNEHTCTVILQACSTSGDKILGEQMHSFVIKSGFVHNVYVGTSLIAMYARSGPFGDVEKVFDCMACKDVRCLNFMILECGKAGNGKKAFKVFINLLNSGLEPNDYTFTNIISSCNGDLGVEEGKQLQGLAFKYGFLNETSVGNAIISLYGKNGMADEAKRMFSLMTERNVISWTALVSGYTRSGYGEKAVDAFMELHCLGVNFDSSLLTTILDCCSEYNKLQLGLQIHGLVIKLGYKSDVNIVTALIDLYSKSGKLQSARILFNGLSSKSTASFNAILAGFIEDRGNDEQDSMVLFNQLRIAGIKPDFVTFSRFLCLSSNQASLQKGRSFHAYAIKTGLEADFSVANAVITMYAKCGSIEDSHKMFMAMNGRDFISWNAMISAYALHGQGQKALLLFEEMKKKGVAPDELTILSILQACTYSGLWQDGLGLFNVMGPKYGIKPLLEHYACMVDLLGRAGHLSEAMDIINKSPFPNSTLLWRTLVNVCKLCGDLNFGKLASKRLLDLSPSEAGSYILVSNMYAGERILDEAAKVRTVMNDLKLSKEVGCSWIEIENKVHHFVASDKDHPKSREIYAGLDLLRDEMKWNYDRADIHLISELV >Manes.09G024600.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4953747:4959604:1 gene:Manes.09G024600.v8.1 transcript:Manes.09G024600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPPISLPCLSKPQHLFLPFKPESSKTIFTGFSSCKPLPNCSVQNPKLKVTQCCTSSGVFPSEDTFLFSDWPELVKISTEFRDFLLGQAIHAYLVKSGSLNDAFVGNNLISLYVKFNRLDLAQRVFDKMPVRNTITWTSLMKGYLADNDFQSAFCIAGDMFEFGEKFNEHTCTVILQACSTSGDKILGEQMHSFVIKSGFVHNVYVGTSLIAMYARSGPFGDVEKVFDCMACKDVRCLNFMILECGKAGNGKKAFKVFINLLNSGLEPNDYTFTNIISSCNGDLGVEEGKQLQGLAFKYGFLNETSVGNAIISLYGKNGMADEAKRMFSLMTERNVISWTALVSGYTRSGYGEKAVDAFMELHCLGVNFDSSLLTTILDCCSEYNKLQLGLQIHGLVIKLGYKSDVNIVTALIDLYSKSGKLQSARILFNGLSSKSTASFNAILAGFIEDRGNDEQDSMVLFNQLRIAGIKPDFVTFSRFLCLSSNQASLQKGRSFHAYAIKTGLEADFSVANAVITMYAKCGSIEDSHKMFMAMNGRDFISWNAMISAYALHGQGQKALLLFEEMKKKGVAPDELTILSILQACTYSGLWQDGLGLFNVMGPKYGIKPLLEHYACMVDLLGRAGHLSEAMDIINKSPFPNSTLLWRTLVNVCKLCGDLNFGKLASKRLLDLSPSEAGSYILVSNMYAGERILDEAAKVRTVMNDLKLSKEVGCSWIEIENKVHHFVASDKDHPKSREIYAGLDLLRDEMKWNYDRADIHLISELV >Manes.09G024600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4953742:4959604:1 gene:Manes.09G024600.v8.1 transcript:Manes.09G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPPISLPCLSKPQHLFLPFKPESSKTIFTGFSSCKPLPNCSVQNPKLKVTQCCTSSGVFPSEDTFLFSDWPELVKISTEFRDFLLGQAIHAYLVKSGSLNDAFVGNNLISLYVKFNRLDLAQRVFDKMPVRNTITWTSLMKGYLADNDFQSAFCIAGDMFEFGEKFNEHTCTVILQACSTSGDKILGEQMHSFVIKSGFVHNVYVGTSLIAMYARSGPFGDVEKVFDCMACKDVRCLNFMILECGKAGNGKKAFKVFINLLNSGLEPNDYTFTNIISSCNGDLGVEEGKQLQGLAFKYGFLNETSVGNAIISLYGKNGMADEAKRMFSLMTERNVISWTALVSGYTRSGYGEKAVDAFMELHCLGVNFDSSLLTTILDCCSEYNKLQLGLQIHGLVIKLGYKSDVNIVTALIDLYSKSGKLQSARILFNGLSSKSTASFNAILAGFIEDRGNDEQDSMVLFNQLRIAGIKPDFVTFSRFLCLSSNQASLQKGRSFHAYAIKTGLEADFSVANAVITMYAKCGSIEDSHKMFMAMNGRDFISWNAMISAYALHGQGQKALLLFEEMKKKGVAPDELTILSILQACTYSGLWQDGLGLFNVMGPKYGIKPLLEHYACMVDLLGRAGHLSEAMDIINKSPFPNSTLLWRTLVNVCKLCGDLNFGKLASKRLLDLSPSEAGSYILVSNMYAGERILDEAAKVRTVMNDLKLSKEVGCSWIEIENKVHHFVASDKDHPKSREIYAGLDLLRDEMKWNYDRADIHLISELV >Manes.09G024600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4953747:4959604:1 gene:Manes.09G024600.v8.1 transcript:Manes.09G024600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPPISLPCLSKPQHLFLPFKPESSKTIFTGFSSCKPLPNCSVQNPKLKVTQCCTSSGVFPSEDTFLFSDWPELVKISTEFRDFLLGQAIHAYLVKSGSLNDAFVGNNLISLYVKFNRLDLAQRVFDKMPVRNTITWTSLMKGYLADNDFQSAFCIAGDMFEFGEKFNEHTCTVILQACSTSGDKILGEQMHSFVIKSGFVHNVYVGTSLIAMYARSGPFGDVEKVFDCMACKDVRCLNFMILECGKAGNGKKAFKVFINLLNSGLEPNDYTFTNIISSCNGDLGVEEGKQLQGLAFKYGFLNETSVGNAIISLYGKNGMADEAKRMFSLMTERNVISWTALVSGYTRSGYGEKAVDAFMELHCLGVNFDSSLLTTILDCCSEYNKLQLGLQIHGLVIKLGYKSDVNIVTALIDLYSKSGKLQSARILFNGLSSKSTASFNAILAGFIEDRGNDEQDSMVLFNQLRIAGIKPDFVTFSRFLCLSSNQASLQKGRSFHAYAIKTGLEADFSVANAVITMYAKCGSIEDSHKMFMAMNGRDFISWNAMISAYALHGQGQKALLLFEEMKKKGVAPDELTILSILQACTYSGLWQDGLGLFNVMGPKYGIKPLLEHYACMVDLLGRAGHLSEAMDIINKSPFPNSTLLWRTLVNVCKLCGDLNFGKLASKRLLDLSPSEAGSYILVSNMYAGERILDEAAKVRTVMNDLKLSKEVGCSWIEIENKVHHFVASDKDHPKSREIYAGLDLLRDEMKWNYDRADIHLISELV >Manes.09G024600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4953740:4959604:1 gene:Manes.09G024600.v8.1 transcript:Manes.09G024600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLPPISLPCLSKPQHLFLPFKPESSKTIFTGFSSCKPLPNCSVQNPKLKVTQCCTSSGVFPSEDTFLFSDWPELVKISTEFRDFLLGQAIHAYLVKSGSLNDAFVGNNLISLYVKFNRLDLAQRVFDKMPVRNTITWTSLMKGYLADNDFQSAFCIAGDMFEFGEKFNEHTCTVILQACSTSGDKILGEQMHSFVIKSGFVHNVYVGTSLIAMYARSGPFGDVEKVFDCMACKDVRCLNFMILECGKAGNGKKAFKVFINLLNSGLEPNDYTFTNIISSCNGDLGVEEGKQLQGLAFKYGFLNETSVGNAIISLYGKNGMADEAKRMFSLMTERNVISWTALVSGYTRSGYGEKAVDAFMELHCLGVNFDSSLLTTILDCCSEYNKLQLGLQIHGLVIKLGYKSDVNIVTALIDLYSKSGKLQSARILFNGLSSKSTASFNAILAGFIEDRGNDEQDSMVLFNQLRIAGIKPDFVTFSRFLCLSSNQASLQKGRSFHAYAIKTGLEADFSVANAVITMYAKCGSIEDSHKMFMAMNGRDFISWNAMISAYALHGQGQKALLLFEEMKKKGVAPDELTILSILQACTYSGLWQDGLGLFNVMGPKYGIKPLLEHYACMVDLLGRAGHLSEAMDIINKSPFPNSTLLWRTLVNVCKLCGDLNFGKLASKRLLDLSPSEAGSYILVSNMYAGERILDEAAKVRTVMNDLKLSKEVGCSWIEIENKVHHFVASDKDHPKSREIYAGLDLLRDEMKWNYDRADIHLISELV >Manes.01G200600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37405339:37408835:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37401764:37408921:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQPPDDGGRHVDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37401763:37408922:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37401764:37408921:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQPPDDGGRHVDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37404439:37408839:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37404503:37408835:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37401989:37408744:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQPPDDGGRHVDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37401589:37408744:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQPPDDGGRHVDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37405548:37408835:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.01G200600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37401862:37408840:1 gene:Manes.01G200600.v8.1 transcript:Manes.01G200600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQPPDDGGRHVDDNSKPTFTQIFLASTIGLIIAAAMHYRFRQTRYRKIIPRLKLKGDGRGEKLESFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFSDEIEADSLFVKLLEELERCILSYFAFHWSHADLLMHQVLTADAEPKKKFKNIIMAATREQRFERVTKNLKVARVFNTLVEEMKAMGLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGASGNAVIIEADAFKESDVIYRALSSRGHADMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRKRYRMGAGYKVNDDGTVTENYWELIEEEDQQDGTKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVRSQLKSHKRFANAFLTYCQLVDTARLYSTNALEGAPKLIGWKERDKTLLVDPDEIDCLNRVGRLNEEADSIYQLYKPPNPNMARGSIWKDIVLSPSRLNIQKELKYCIQKVEGSKS >Manes.02G028875.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2545156:2547674:-1 gene:Manes.02G028875.v8.1 transcript:Manes.02G028875.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSVIGYVSSLLFTLAFVASLIFVCKGSKKGSPSKLPPGPAALPILGNLLHLGDQPHKSLAKLAKLHGPLISLKLGRVTAVVISSAPLAKEVLQTLDLTFADRSIVQAVEAHEHHRVSLAWLPVGAPWRNLRKICNSYIFASQKLDANQDLRHKKIQQLLVNVHESCRVGAAVDIGQMAFNTSLNVLSTIIFSLDLTDSSLDIVRELKEVSRCIMDELGKQNLADYFPMLRKFDLQGIMCRTSNYFARIFDLFDRIIDRRLQLRRKQGYIPNNDLLDTLLTLMNEHNEEEMDRNCMKHLFLDLIVAGTDTTSSTLEWAMTELLRNPKSLLKAREELEQTIGRDRFVQESDIARLPYLKAIIKETFRLHPAAPLLLPHKAGADVEICGFTVPKGAKVFVNVWAIDRDPILWENPEYFMPERFLGSDMDVRGRDFELIPFGAGRRICPGLPLAMRMLHLMLGSLIYSFDWKLEEGITPESMDMEDRFGLTLQKAQPLRVIPMQL >Manes.02G028875.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2545156:2547468:-1 gene:Manes.02G028875.v8.1 transcript:Manes.02G028875.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSVIGYVSSLLFTLAFVASLIFVCKGSKKGSPSKLPPGPAALPILGNLLHLGDQPHKSLAKLAKLHGPLISLKLGRVTAVVISSAPLAKEVLQTLDLTFADRSIVQAVEAHEHHRVSLAWLPVGAPWRNLRKICNSYIFASQKLDANQDLRHKKIQQLLVNVHESCRVGAAVDIGQMAFNTSLNVLSTIIFSLDLTDSSLDIVRELKEVSRCIMDELGKQNLADYFPMLRKFDLQGIMCRTSNYFARIFDLFDRIIDRRLQLRRKQGYIPNNDLLDTLLTLMNEHNEEEMDRNCMKHLFLDLIVAGTDTTSSTLEWAMTELLRNPKSLLKAREELEQTIGRDRFVQESDIARLPYLKAIIKETFRLHPAAPLLLPHKAGADVEICGFTVPKGAKVFVNVWAIDRDPILWENPEYFMPERFLGSDMDVRGRDFELIPFGAGRRICPGLPLAMRMLHLMLGSLIYSFDWKLEEGITPESMDMEDRFGLTLQKAQPLRVIPMQL >Manes.06G078600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21487414:21489521:-1 gene:Manes.06G078600.v8.1 transcript:Manes.06G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAASSMAAKLAFYPPSPPSYNIVIDEETGKLRISNVCRRDDVDVLKLSTKKRNEIVAIYVKNPSALLTVLYSHGNAADIGQMYHLFSELSLNLNVNLMGYDYSGYGQSSGKPSEQHTYADIEAAYKCLKETYGVKEEDVILYGQSVGSGPTLELATHLPQLRAVILHTPILSGIRVMYPVKKTYRFDIYKNIDKIPLINCPVLVIHGTEDEVVDFSHGKQLWELCKEKYEPLWLKGGNHSNLELYPEYLRHLRRFISTIEKLNSHLIIASEQSSDQPNQPSNSPHDNMEKSRPSTDHGDKGPSTGHKEITGLSTNGREKGRASRDRREKSRKSIDRATQARNSFERLGDMFKSVGFCNVDCLKQTASKA >Manes.14G107300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8998775:9007311:1 gene:Manes.14G107300.v8.1 transcript:Manes.14G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNDSGNSSGIGVEVEVNGLFIGAGCNRIVNNVSWGACDLVSFGAQNAVAIFCPKTAQILITLPGHKASVNCTHWIPNNKFAFRGKHLEQHYLLSGDADGAIILWEFSLADKKWRQVLQLPQSHKKGVTCISGIMVSQTEAIFASTASDGTVYVWELILPSSSGGECKLSCLETLFVGSKPMVALSLAELPGSSGGIVLAMGGLDSKVHLYCGERTGKFIRACELKAHTDWIRSLDFSLPIFMDGEANSILLVSSSQDKGIRIWKMTLRGSIASNEGTYKKEEISLASYIEGPVLVSGSSSYQISLESLLIGHEDWVYSVEWQPPSTASAEGTTYHQPQSILSASMDKTMMIWQPERKTGIWMNVVTVGELSHSALGFYGGHWSSDGKSILAHGYGGAFHMWKNVGVDMDNWQPQKVPSGHFAGVTDIAWAKSSEFMLSVSHDQTTRMFAPWKNEASSPNRESWHEIARPQIHGHDVNCVSIIQGKGNHRFISGADEKVSRVFEAPLSFLKTLNHATSPTSSFPEDLQVDVQVLGANMSALGLSQKPIYVQSVHETLDRNRNDGLDTLESVPDAVPVVLTEPPIEDQLAYNTLWPESHKLYGHGNELFSLCCDHEGKLVASSCKAQSAAVAEIWLWQVGSWKGVGRLQSHSLTVTQMEFSHDDSMLLAVSRDRQFSIFTIQRTGEDEVGYQLLARQEAHKRIIWSCSWNPFSHEFATGSRDKTVKIWAVERESSVKQLMTLPQFKSSVTALSWVGIDRQRNLGFLAVGMEDGLIELWSLTIRRSEEAMPAAAATLSIRLDPYMCHVSSVNRLSWRNHEKIEDFKSLQLASCGADHCVRVFEVIVN >Manes.02G177601.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14016623:14017878:1 gene:Manes.02G177601.v8.1 transcript:Manes.02G177601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMASIIATILVATLSLSFPSETSANYPYHSPSPPKKSPLPPVHPPSYHYKSPPPPVYSPPPSPPYKYKSPPPPPPVYKYKSPPPPPPVYSPPPPKKPYKYKSPPPPPPVYKYKSPPPPPPVYSPPPPPPYKYKSPPPPPPIYKYKSPPPPPPVYSPPPPKKPYKYKSPPPPPPVYSPPKRPYKYKSPPPPPPVYKYKSPPPPPPVHKSPPPPVKPYKYKSPPPPPPVYKYKSPPPPPPVHKSPPPPPPKKPYKYKSPPPPPPVYKYKSPPPPPRY >Manes.02G177601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14016623:14017878:1 gene:Manes.02G177601.v8.1 transcript:Manes.02G177601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMASIIATILVATLSLSFPSETSANYPYHSPSPPKKSPLPPVHPPSYHYKSPPPPVYSPPPSPPYKYKSPPPPPPVYKYKSPPPPPPVYSPPPPKKPYKYKSPPPPPPVYKYKSPPPPPPVYSPPPPPPYKYKSPPPPPPIYKYKSPPPPPPVYSPPPPKKPYKYKSPPPPPPVYSPPKRPYKYKSPPPPPPVYKYKSPPPPPPVHKSPPPPVKPYKYKSPPPPPPVYKYKSPPPPPPVHKSPPPPPPKKPYKYKSPPPPPPVYKYKSPPPPPRY >Manes.08G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1614105:1616677:1 gene:Manes.08G015800.v8.1 transcript:Manes.08G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIPRTLLSSSSSLITLVKKSTADNSVTYAHCVSRPRWNSSSSSSSKPDKKITNRLSTVIDAVNDRKLPPELRGQRNSVRSETDIINVVEQRIWHSMEEGQFENLPGKGKPLNLNTNPHADPAEDTLYRILSKNGCAPEWVELNKEIRTKVSEWRVSLKKAWTNKCSGDHSKWTEKTEVLKMQMADINDKIFRYNLIVPFGRQMFGLKWEKELDRLNE >Manes.06G073500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20938929:20941519:-1 gene:Manes.06G073500.v8.1 transcript:Manes.06G073500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASSSSSSLLTLLSLARVSGFIVAALVISWALLFNSSFLPHSSSHEGLIYAVLHPLLMVIGFILISGEAILVHRWLPGSRSLKKSVHLCLQGVALASGIFGIWTKFQGRDGIVANFFSLHSWMGLICICLFGAQWLMGFLSFWQRGEMRAVRVKVLPWHIFVGLYTYGLAVATAETGLLEKLTFLQTNRNVSKRCPESMIVNCLGLSLALLSGMVIFAAVSPKSQSLRTKLLFTESSTKPE >Manes.06G073500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20938929:20941519:-1 gene:Manes.06G073500.v8.1 transcript:Manes.06G073500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASSSSSSLLTLLSLARVSGFIVAALVISWALLFNSSFLPHSSSHEGLIYAVLHPLLMVIGFILISGEAILVHRWLPGSRSLKKSVHLCLQGVALASGIFGIWTKFQGRDGIVANFFSLHSWMGLICICLFGAQWLMGFLSFWQRGEMRAVRVKVLPWHIFVGLYTYGLAVATAETGLLEKLTFLQTNRNVSKRCPESMIVNCLGLSLALLSGMVIFAAVSPKSQSLRTKLLFTESSTKPE >Manes.06G073500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20938929:20941519:-1 gene:Manes.06G073500.v8.1 transcript:Manes.06G073500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASSSSSSLLTLLSLARVSGFIVAALVISWALLFNSSFLPHSSSHEGLIYAVLHPLLMVIGFILISGEAILVHRWLPGSRSLKKSVHLCLQGVALASGIFGIWTKFQGRDGIVANFFSLHSWMGLICICLFGAQWLMGFLSFWQRGEMRAVRVKVLPWHIFVGLYTYGLAVATAETGLLEKLTFLQTNRNVSKRCPESMIVNCLGLSLALLSGMVIFAAVSPKSQSLRTKLLFTESSTKPE >Manes.06G073500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20938929:20941519:-1 gene:Manes.06G073500.v8.1 transcript:Manes.06G073500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASSSSSSLLTLLSLARVSGFIVAALVISWALLFNSSFLPHSSSHEGLIYAVLHPLLMVIGFILISGEAILVHRWLPGSRSLKKSVHLCLQGVALASGIFGIWTKFQGRDGIVANFFSLHSWMGLICICLFGAQWLMGFLSFWQRGEMRAVRVKVLPWHIFVGLYTYGLAVATAETGLLEKLTFLQTNRNVSKRCPESMIVNCLGLSLALLSGMVIFAAVSPKSQSLRTKLLFTESSTKPE >Manes.06G073500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20938929:20941519:-1 gene:Manes.06G073500.v8.1 transcript:Manes.06G073500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGFILISGEAILVHRWLPGSRSLKKSVHLCLQGVALASGIFGIWTKFQGRDGIVANFFSLHSWMGLICICLFGAQWLMGFLSFWQRGEMRAVRVKVLPWHIFVGLYTYGLAVATAETGLLEKLTFLQTNRNVSKRCPESMIVNCLGLSLALLSGMVIFAAVSPKSQSLRTKLLFTESSTKPE >Manes.13G108100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31107222:31110275:1 gene:Manes.13G108100.v8.1 transcript:Manes.13G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSLSWTLQTFRHLLKASISNRDLQTGKALHALYLKSLIPFSTYLSNHFIILYSKCNRLSAAHHAFNQTHQPNVFSFNVLLDAYAKNSLTHIAHRLFDQIPQRDVVSYNTLITAYADCGNILPALSLFREMSEVGLDVNGFTLSGVISACCNDVNLIKQLHSLAISAGLDSYVSVNNSLVTCYGKNGLLEEAERIFYDMGEENRDKVSWNTMIVAYGQHRQGLKALAFFQEMIRRGFEVDIFTQASVLTAFTCLEDLFGGLQFHAKLIKTGFYQNCHVGSGLIDLYAKCGGSMLDCRKIFEEISAPDSVLWNTMISGYSLDEELCEDALDCFRQMQRAGYKPDDCSFVCVIRACSNLSSPSQGKQIHALAMKSKIPSNQISVDNAFVAMYSKSGNLQDARRLFDRMPEHNVVSLNSILAGYAQHGNGMESLLLFEQMLENGVAPTRITFISVLSACAHTGKVEEGKRYFNMMKEKFGIEPESEHYSCMIDLLGRAGKLREAEELIETMPFSPGSIGWAALLGACRKHGNMELAEKAANELLQLEPTNATPYVLLANMYCNAGKWEEVTRVRKLMRARGVKKKPGCSWIELNNRIHVFVAEDRYHPMIKEIYGYLEDMSMKMKQAGYVPDVRWALVKDDETGEEEREFKIGHHSEKLAVAFGLLSTKDGEPILVMKNLRICGDCHNAIKFISAICGRTITVRDTHRFHCFMEGQCSCGDFW >Manes.14G029100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2643706:2645346:-1 gene:Manes.14G029100.v8.1 transcript:Manes.14G029100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRQPNSSALSSRCPCLLSIKDPAESDIQTFLIPKSPAPKQQQQEEHELPPELQELPHKTHISLAIEEAMSIAKIALPLILTGLVLYSRSMISMMFLGRLGDLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQSFGAQKHTLLGLTLQRTILLLLMTSLPISFLWLNMKRILLFCGQDLAIATEAQSFLLYSLPDLLAQSLLHPLRIYLRTQSITLPLTFCAILSILLHIPINYLLVTHLNLGIKGVALSGVWTNFNFVGSLIIYIFISGVQEKTWGGFSRECLKEWKTLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPRATVASIGILIQTTSLIYIFPSSLGFSVSTRVSNEMGGNQPKKAKLAAIVGLSCSFVLGFSALSFTITVRKIWASMFTQDKEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVAVWLAFFVGFDFEGLWLGLLAAQGSCVVTMLVVIYCTDWDFQAQRAKKLTGNLGVVDAGKEIEENNSSKPEIKVDSLYYFGDQSPV >Manes.04G106200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31121770:31129615:-1 gene:Manes.04G106200.v8.1 transcript:Manes.04G106200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIADGGKSPYIYSTNNFLGRQIWEFDPKAGTSEELAQVEDARLNFWENRFLVRPGSDLLWQLQFLRDKEFKQTIPQVKVEDGEEITVETATAALRRSVHLLSALQASDGHWVAENGGLMFYMPALVFSLHITGHLNNVFSAEHRKETLRYIYCHQNEDGGWGIHIDGGSTMFGTVLNYICMRILGEERDGGKDNACERGRKWILDHGGAIATSSWGKTWLSILGVYEWDGTNPMPPEFWAFPNIFPLHPAKMFCYTRLTYMPMSYLYAKKFVGPITPLILQIRAEIYNEPYHKINWKSVRHLCAKEDNYYPHTMLQKLMWDALYTFSEPLLTHWPLNKLRKKALKITMEHIHYEDESSRYINIGCVEKPLFMLACWVEDPNGDAFKKHLARIEDYIWIGEDGMKLQSFGSQTWDTSLALQVLIASNLCDGLGPTLKEGHNFLKNSQLTENPSGDYKRMFRHTSKGAWTFSDKDHGWQVSDCTAESLKCCLLFSMMPPEIVGEKMEPEKMYDSVNVILSLQSKNGGFSAWEPAGGGSWLEWLNPVEFLEDLVIEHEYVECTSSSIQALVLFKKLYPGHRKQEIESSIASAAGFIEDIQKPDGSWYGNWGICFTYGTWFGLGGLAASGRTYENCAAIRRGVDFLLKSQRDDGGWAESYFSCRKKVYVPYEGNRSNLVHTACALMGLIHGGQAKRDPTALHRAAKLLINSQTELGDFPQQELTGVFMRNCMMHYALYRNTLPMLALAEYRKHVPLPSGII >Manes.04G106200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31121840:31128244:-1 gene:Manes.04G106200.v8.1 transcript:Manes.04G106200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAKGSKSPYIYSTNNFLGRQTWEFDPEAGSPEELSQVEDARQNFWENRFVVQPGSDLFWQFQFLRDKEFKQTIPQVKVEDGEEITVETATAALRRSVHLLSALQASDGHWVAENGGLMFYMPALVFSLHITGHLNNVFSAEHRKETLRYIYCHQNEDGGWGIHIDGGSTMFGTVLNYICMRILGEERDGGKDNACERGRKWILDHGGAIATSSWGKTWLSILGVYEWDGTNPMPPEFWAFPNIFPLHPAKMFCYTRLTYMPMSYLYAKKFVGPITPLILQIRAEIYNEPYHKINWKSVRHLCAKEDNYYPHTMLQKLMWDALYTFSEPLLTHWPLNKLRKKALKITMEHIHYEDESSRYINIGCVEKPLFMLACWVEDPNGDAFKKHLARIEDYIWIGEDGMKLQSFGSQTWDTSLALQVLIASNLCDGLGPTLKEGHNFLKNSQLTENPSGDYKRMFRHTSKGAWTFSDKDHGWQVSDCTAESLKCCLLFSMMPPEIVGEKMEPEKMYDSVNVILSLQSKNGGFSAWEPAGGGSWLEWLNPVEFLEDLVIEHEYVECTSSSIQALVLFKKLYPGHRKQEIESSIASAAGFIEDIQKPDGSWYGNWGICFTYGTWFGLGGLAASGRTYENCAAIRRGVDFLLKSQRDDGGWAESYFSCRKKVYVPYEGNRSNLVHTACALMGLIHGGQAKRDPTALHRAAKLLINSQTELGDFPQQELTGVFMRNCMMHYALYRNTLPMLALAEYRKHVPLPSGII >Manes.04G106200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31121840:31129615:-1 gene:Manes.04G106200.v8.1 transcript:Manes.04G106200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAKGSKSPYIYSTNNFLGRQTWEFDPEAGSPEELSQVEDARQNFWENRFVVQPGSDLFWQFQFLRDKEFKQTIPQVKVEDGEEITVETATAALRRSVHLLSALQASDGHWVAENGGLMFYMPALVFSLHITGHLNNVFSAEHRKETLRYIYCHQNEDGGWGIHIDGGSTMFGTVLNYICMRILGEERDGGKDNACERGRKWILDHGGAIATSSWGKTWLSILGVYEWDGTNPMPPEFWAFPNIFPLHPAKMFCYTRLTYMPMSYLYAKKFVGPITPLILQIRAEIYNEPYHKINWKSVRHLCAKEDNYYPHTMLQKLMWDALYTFSEPLLTHWPLNKLRKKALKITMEHIHYEDESSRYINIGCVEKPLFMLACWVEDPNGDAFKKHLARIEDYIWIGEDGMKLQSFGSQTWDTSLALQVLIASNLCDGLGPTLKEGHNFLKNSQLTENPSGDYKRMFRHTSKGAWTFSDKDHGWQVSDCTAESLKCCLLFSMMPPEIVGEKMEPEKMYDSVNVILSLQSKNGGFSAWEPAGGGSWLEWLNPVEFLEDLVIEHEYVECTSSSIQALVLFKKLYPGHRKQEIESSIASAAGFIEDIQKPDGSWYGNWGICFTYGTWFGLGGLAASGRTYENCAAIRRGVDFLLKSQRDDGGWAESYFSCRKKVYVPYEGNRSNLVHTACALMGLIHGGQAKRDPTALHRAAKLLINSQTELGDFPQQELTGVFMRNCMMHYALYRNTLPMLALAEYRKHVPLPSGII >Manes.01G271900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42515598:42518940:1 gene:Manes.01G271900.v8.1 transcript:Manes.01G271900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPYPYTQYYPQSTSTIMAQPGEIPMNPVPPVPLPLPEVSSTAKRRRDEFDVAAAPAAGEMEGSAPKRAKAQDVIFRIVVPSRQIGKVIGKEGCRIQKIREDTKATIKIADAIARHEERVIIISSKESDIAFSDAEIALKQIATLILKEDDSPVEASKVVAPGHVAANTIRMLIAGSQAGSLIGISGQNIEKLRNSSGATITVLAPNQLPLCASAHESDRVVQISGDVPVVLKAIEEIGCQLRENPPKQVISISPTYNYGAVRPTQPYVDPTSADYVTLEMMVSETLVGGLIGRCGSNISRIRNESGAMIKVYGGKGEQKHRHIQFGGTAQQVALAKQRVDEYIYSQLVQAAGAQAQQSFLQR >Manes.01G271900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42515598:42518940:1 gene:Manes.01G271900.v8.1 transcript:Manes.01G271900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPYPYTQYYPQSTSTIMAQPGEIPMNPVPPVPLPLPEVSSTAKRRRDEFDVAAAPAAGEMEGSAPKRAKAQDVIFRIVVPSRQIGKVIGKEGCRIQKIREDTKATIKIADAIARHEERVIIISSKESDIAFSDAEIALKQIATLILKEDDSPVEASKVVAPGHVAANTIRMLIAGSQAGSLIGISGQNIEKLRNSSGATITVLAPNQLPLCASAHESDRVVQISGDVPVVLKAIEEIGCQLRENPPKQVISISPTYNYGAVRPTQPYVDPTSADYVTLEMMVSETLVGGLIGRCGSNISRIRNESGAMIKVYGGKGEQKHRHIQFGGTAQQVALAKQRVDEYIYSQLVQAAGAQAQQSL >Manes.01G271900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42515598:42518940:1 gene:Manes.01G271900.v8.1 transcript:Manes.01G271900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPYPYTQYYPQSTSTIMAQPGEIPMNPVPPVPLPLPEVSSTAKRRRDEFDVAAAPAAGEMEGSAPKRAKAQDVIFRIVVPSRQIGKVIGKEGCRIQKIREDTKATIKIADAIARHEERVIIISSKESDIAFSDAEIALKQIATLILKEDDSPVEASKVVAPGHVAANTIRMLIAGSQAGSLIGISGQNIEKLRNSSGATITVLAPNQLPLCASAHESDRVVQISGDVPVVLKAIEEIGCQLRENPPKQVISISPTYNYGAVRPTQPYVDPTSGQPILQLIM >Manes.11G144550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30912565:30913523:1 gene:Manes.11G144550.v8.1 transcript:Manes.11G144550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IENPKVRIEKFDGTNFSFWKMHIEDYLYQNNLHEPLSGEKLETMEHEVWNLKDRKTLGLIRLTLTRNIAFNIVKETTTAGLLVALANMYEKSLAINKLDSMGYIIVFEKSS >Manes.17G084733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29135051:29139654:1 gene:Manes.17G084733.v8.1 transcript:Manes.17G084733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLMAIVELFLCVIDWCAHKLCNYLFKLIEHKMAKTISLYGFPSVENPEDIKEFLEEYTGEGTVLAVEVALPKSSGSRTHANVQFTDVEAAETIKSLAEDYLWYENSYLKARDAHHDIVPMPRNFVQCIDNITLNFGCQISKEIFSLLWKQENVSVKFGFGLRKFFFFFSFLSTDYKLELSYENIWQIDVRRPHGGAKKFLIIQLYGVPKIYKKDTSALCYFKEAADDKWVREVDFTPSCCVGQSSALCLELPLRGRLPNFQQNFAHYKENESQFHLVTGSAFSCNSDLVPIVSSPNGLHLPYEILFKVNSLVQHGCLPGPALDADFFRLVDPHRVEIAYIEHALEKLRHLNECCYDPVRWLSEQYMKYITSGRLPGPPVAALDDGLVYVYRVQITPAKVYFCGPEVNLSNRVLRKYPDDIKNFIRVSFVDENLDRLYSTNLSSRTSSVNDERRTGIYERILSVLRNGVVIGDKKFEFLGFSSSQLRENSVWMFASRPGLTAEDIRKWMGEFREIKNVAKYAARLGQSFSSSRETFNVYRHETEIIPDIEVNNNGVNYVFSDGIGKISSELAHSIAQKLGFRNYTPSAFQIRYGGYKGVVAVDPTSPMKLSLRKSMSKFKSNNTSLDVLGWSKYQACFLNREVITLLSTLGVRDRDFERKQKEAVAQLDAILTDPLQAEEALKMMAPGENTNILKEMFSCGYKASTEPFLSMMLQTFRAAKLFDLRTKTRIFIPKGRVMMGCLDETGTLEYGQVFVQYSCASNRQFISCNETDELHQIFQGKVVVAKNPCLHPGDVRVLKAVDVPALHHMVDCIVFPQKGKRPHTNECSGSDLDGDVYFVCWDPDLIPPSRYPPMDYTAAKSMILDHDVTIEEVQDYFADYILNDSLGIICHAHIVFADKEPSKAKSKECIELAKLSSIAVDFPKTGVPAKIPPHLRVKKYPDFMEKPDKLKYESQRIIGKLFRAVKDIAPSTSPIRSFTMQVAVQCYDPDMEVEGYMNYINDAFYYKSQYDNKLGNMMDYYGIKTEAEIISGWIVSTWKSFDKKRDFDTIMFSARSLRNQARAWFNETETDDLYAKASAWYYVTYHPSFWGRYNEGLDRDHFLSFPWCVHDKLIEIKRGRAGDGSNTVSPDLSSLAHQFSDSVSLNWYSEIED >Manes.11G105451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24300255:24300771:1 gene:Manes.11G105451.v8.1 transcript:Manes.11G105451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENSVRRCCNWVSTSGKEETEFRLTGCLMARFWIPCNSILVPEIEKAIRSQYHINTA >Manes.15G132500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10603178:10604755:1 gene:Manes.15G132500.v8.1 transcript:Manes.15G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQNQDHYGKHSNSSSAAPVFQPPPATGIPVNPSPQYYNENPQFPLHDYHHHGHRDAAWSSGLCDCCSDCKVCCLTYWCPCITFGRIAEIVDKGTSSCGTTGGIYALLAFFTWCGCIYSCTYRSKLRKQYMLPESPCNDCLVHCCCETCALCQEYKELQSRGFDMSIGWHGNVDRHNSGTAMATATAPVFEGAMSR >Manes.06G102400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23556281:23557342:1 gene:Manes.06G102400.v8.1 transcript:Manes.06G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALYEVKQRYGFSINSSPLSSPSSNSTPCNSFNYEKQSSSSSSSFNRINPALLLVIIILAVIFFVSGILHLLIRLFMKRSHFSPVFHSNRFPESSRSHSLQRQLQQLFRQHDSGLDQACVDALPVFYYKEIMGLKEPFDCAVCLCEFSDKDKLRLLPSCSHAFHIDCIDTWLLSNSTCPLCRGTLLSSSLPMENPSFNFDVLREVPNGISSDGSSNGPKPGLPEESAGEKRVFSVRLGKFRSLNEGESSIEIGQGETSRCSLDARRCYSMGTVQYVVGDSNLQVALSHQSLTERRDNEYPSIDGDVEDKKIRNRTTGDSFSVSKIWLWSKKNTYPTSSSSTNMDMSSIIVS >Manes.08G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37874607:37876910:1 gene:Manes.08G142400.v8.1 transcript:Manes.08G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSSSSSFTCWIMPGLLVLAMASFAPQAEARAFFVFGDSLVDNGNNNYLATTARADAPPYGIDYPTHRATGRFSNGLNIPDLISEAIGSEPTLPYLSPALTGERLLVGANFASAGIGILNDTGIQFLNIIRIYKQLEYFQQYQQRVSALIGAEQTERLVNEGLVLMTLGGNDFVNNYYLVPYSARSRQFTLPDYVVYLISEYRKILIRVYELGARRVLVTGTGPLGCVPAEIAMRGRNGECSEELQRAAGLFNPQLVQLINELNAEIGSDIFVAANAYEMNMDFVNNPQTYGFVTSKVACCGQGPYNGIGLCTVVSNLCPNRDIYAFWDPFHPSERANRIIVQQIVTGSTKYMHPMNLSTIMLLDSRT >Manes.14G142600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13731422:13732297:-1 gene:Manes.14G142600.v8.1 transcript:Manes.14G142600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQMLGKVLNKGSCASGGDKLRALFYVPITGFHNGQVYCAPRSFFGVEDFLDDDNSRPYTYQKEKKSKNPNKHMSFKQRTKAYMEPFTLDILISKRFVTASLTHRVTSKQVAVAGTNSKDIKAALKSRSDIPACLAIGRILADRAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDVKVYLD >Manes.02G022900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2025022:2028799:1 gene:Manes.02G022900.v8.1 transcript:Manes.02G022900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVYGHRVSQPSRAIMIFCKANNIEFEEVSVDLSKGEHKSPEFKEINPVGQVPAIIHGELKLFESHAILIYLASAFPGVADHWYPADLVKRAKLHSVLDWHHSNLRRGAATYVFNSRLAAVFGLPLNPQAAAEAEKCLISSLSTIESFWLEDSGKFLLGANQPSIADLSLVCEIMQLELLDENDRNRILGPYKKVQQWIENTKNAINPQFDELHGALYHLSATLRKQ >Manes.02G022900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2025022:2028799:1 gene:Manes.02G022900.v8.1 transcript:Manes.02G022900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVYGHRVSQPSRAIMIFCKANNIEFEEVSVDLSKGEHKSPEFKEINPVGQVPAIIHGELKLFESHAILIYLASAFPGVADHWYPADLVKRAKLHSVLDWHHSNLRRGAATYVFNSRLAAVFGLPLNPQAAAEAEKCLISSLSTIESFWLEDSGKFLLGANQPSIADLSLVCEIMQLEVSSLRQVICLFYS >Manes.12G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34009990:34012090:1 gene:Manes.12G133000.v8.1 transcript:Manes.12G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLASEIPPHAVLVPYPAQGHVNPLMQLGKLLHSRGFYITFVNTEHNHRRLIRSRGQEFIDGLPDFKFEAIPDGLPYTDRDATQHVPSLSDSTRKHCLAPFIDLIAKLKASPDVPPITCIISDGVMAFAIDAARHFGIPEIQFWTTSACGFMAYLHHIELVRRGIVPFKDESFLHDGTLDQPVDFIPGMPNMKLRDMPSFIRVTDVNDIMFDFMGSEAHKSLKADAIILNTYDELEQEVLDAIAARYSKNIYTVGPFILLEKGIPEIKSKAFRSSLWKEDLSCIEWLDKREPDSVVYVNYGCVTTITNKQLNEFAWGLANSKHPFLWIVRPDVVMGESAVLPEEFYEEIKDRGLLVSWVPQDRVLQHPAVGVFLSHCGWNSTIECISGGKPMICWPFFAEQQTNCKYACDVWKTGVELSTNLKREELVSIIKEMMETEIGRERRRRAVEWRKKAEEATSVGGVSYNNFDRFIKEAILQHKTK >Manes.05G113600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21616905:21621174:1 gene:Manes.05G113600.v8.1 transcript:Manes.05G113600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDMDSLFEGMVLFTPSQLTDEQKLQQQQQQQNDHNHDHDHDHSNNESHSNVDTSTDTINDTAPSPTSLHKVQEPLDENLFSDLTLQTLTTQLDLVPTSLASTAPSIAAQAPISRQISRKKKKAASLRIGYGREASFDDLSSQSHPPPHPPLPPLPNPHLSADAVNNQNLSLKHDASSVDITQPDKASQSPTSTDLADGDDAELDSSDASIPDSQPLSKDDEFEHVKALISEKLQRSRQLAASVSAASKDAVRRRRKAADDLNLASATHRDLELQLEKACEAEDFEAAERISDSLATVDKERQALHTILKDAEAQCDAIDSKMRDVLESHIVVEQECASLLSNFAKDAENKADSVLKQAQELSSKEMDEWFSANEALEAKKIELDIESHFINEARQAVNVSIEHSIEDCRKEQEILHKQRDVLTDELQKLLALVKDKEKEIAENDTKIKAVEERIADVVSGFQDSKSSIDIKYDNLQAKLSQMHLQSEALTTKRKEIDKFLAEGEGDGAKLRELAKVSEDEAKAYQEVVDLRKSLKLSILKSMEDKVRLAKTEEKLTEDVQILHQEVSTLRASLQELSSTKSNIQQTVASFKQRIFFIDKRIPELEAEKKVAAAARNFKEAARVAAEAKSLTIEKDGVQVDLEGATSKLEKLEEDMKSTVSRLLETEQLISSKEKEVAMARFERLLLIAGAATAERFTALELGDTEEANLLLAEAEAANTEAKKLQPIFNFNEEQFPNLPKHFLSMELVYNLGRRQLEELAASVGLHHND >Manes.05G113600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21616904:21621174:1 gene:Manes.05G113600.v8.1 transcript:Manes.05G113600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDMDSLFEGMVLFTPSQLTDEQKLQQQQQQQNDHNHDHDHDHSNNESHSNVDTSTDTINDTAPSPTSLHKVQEPLDENLFSDLTLQTLTTQLDLVPTSLASTAPSIAAQAPISRQISRKKKKAASLRIGYGREASFDDLSSQSHPPPHPPLPPLPNPHLSADAVNNQNLSLKHDASSVDITQPDKASQSPTSTDLADGDDAELDSSDASIPDSQPLSKDDEFEHVKALISEKLQRSRQLAASVSAASKDAVRRRRKAADDLNLASATHRDLELQLEKACEAEDFEAAERISDSLATVDKERQALHTILKDAEAQCDAIDSKMRDVLESHIVVEQECASLLSNFAKDAENKADSVLKQAQELSSKEMDEWFSANEALEAKKIELDIESHFINEARQAVNVSIEHSIEDCRKEQEILHKQRDVLTDELQKLLALVKDKEKEIAENDTKIKAVEERIADVVSGFQDSKSSIDIKYDNLQAKLSQMHLQSEALTTKRKEIDKFLAEGEGDGAKLRELAKVSEDEAKAYQEVVDLRKSLKLSILKSMEDKVRLAKTEEKLTEDVQILHQEVSTLRASLQELSSTKSNIQQTVASFKQRIFFIDKRIPELEAEKKVAAAARNFKEAARVAAEAKSLTIEKDGVQVDLEGATSKLEKLEEDMKSTVSRLLETEQLISSKEKEVAMARFERLLLIAGAATAERFTALELGDTEEANLLLAEAEAANTEAKKLQPIFNFNEEQFPNLPKHFLSMELVYNLGRRQLEELAASVGLHHND >Manes.05G113600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21616904:21621174:1 gene:Manes.05G113600.v8.1 transcript:Manes.05G113600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDMDSLFEGMVLFTPSQLTDEQKLQQQQQQQNDHNHDHDHDHSNNESHSNVDTSTDTINDTAPSPTSLHKVQEPLDENLFSDLTLQTLTTQLDLVPTSLASTAPSIAAQAPISRQISRKKKKAASLRIGYGREASFDDLSSQSHPPPHPPLPPLPNPHLSADAVNNQNLSLKHDASSVDITQPDKASQSPTSTDLADGDDAELDSSDASIPDSQPLSKDDEFEHVKALISEKLQRSRQLAASVSAASKDAVRRRRKAADDLNLASATHRDLELQLEKACEAEDFEAAERISDSLATVDKERQALHTILKDAEAQCDAIDSKMRDVLESHIVVEQECASLLSNFAKDAENKADSVLKQAQELSSKEMDEWFSANEALEAKKIELDIESHFINEARQAVNVSIEHSIEDCRKEQEILHKQRDVLTDELQKLLALVKDKEKEIAENDTKIKAVEERIADVVSGFQDSKSSIDIKYDNLQAKLSQMHLQSEALTTKRKEIDKFLAEGEGDGAKLRELAKVSEDEAKAYQEVVDLRKSLKLSILKSMEDKVRLAKTEEKLTEDVQILHQEVSTLRASLQELSSTKSNIQQTVASFKQRIFFIDKRIPELEAEKKVAAAARNFKEAARVAAEAKSLTIEKDGVQVDLEGATSKLEKLEEDMKSTVSRLLETEQLISSKEKEVAMARFERLLLIAGAATAERFTALELGDTEEANLLLAEAEAANTEAKKLQPIFNFNEEQFPNLPKHFLSMELVYNLGRRQLEELAASVGLHHND >Manes.11G060207.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7839358:7840932:-1 gene:Manes.11G060207.v8.1 transcript:Manes.11G060207.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEMWTQLGSVIAGLMFGWAMFRQYFPDQLRGSFNRYTQRLVGFVYPYLQITFHEYTGERLKRSEVYSAIQSYLSANSSMRANKLKADVVNESHSVVLSMDDHEEITDDFNGIKIWWSSNKITPQTQSFSFYPITEGRRYFKLTVHRRYRNVITNSYIDHVIKEGKAVAVKNRQRKLYTNNPSNNWYGWKATKWSHVVFEHPASFDTLAMATKEKEEIVKDLVKFSKGKSYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFLNYDVYDLELTTVKDNSELRKLLIETTSKSIIVIEDIDCSLDLTGQRKPKEKEEDKDGEGQDPISKKEKEEEAENKKSSKVTLSGLLNFIDGIWSACGGERIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCCFEAFKVLAENYLDVESHELFAKIQNLLEETKMTPADVAENLMPKSEDEDEETCLKKLIAALEEAKEEEGRKKSEEEAKLKAEQDKEKDQPAKEDEKGKEIGIAKENGLISNGKEEISIESTKQGDS >Manes.04G081800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28321071:28322179:1 gene:Manes.04G081800.v8.1 transcript:Manes.04G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHAKQILRRSNLIPNYQSASSFTDVPKGHLVVYVGEGQKKRFIVPVSLLNKPSFQELLRKAEEEFGFHHPMGGITIPCREDVFIDLTSR >Manes.10G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4395509:4395818:-1 gene:Manes.10G042500.v8.1 transcript:Manes.10G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETFVEVILAIILPPIGVFLRYGCGIEFWIDLLLTFLGYLPGVVYAIYVLVV >Manes.10G076205.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13854902:13858265:-1 gene:Manes.10G076205.v8.1 transcript:Manes.10G076205.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFLKSEGVDLWDIVENGPFFPTRFIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSIAKEIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLTKVQLDELLGNLIDYEMTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKRFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDSEEEEVEESSDEVTTLDDFTLNDDDVEFSYDELVGALKLMNDELEKSHRKSKILKCELASFKKESENSLKEPLPSNDSLQKSLDELSLENKNLKNEILELKNSLSKFLKGKDKLYEILDSQRSPSIKYGLGYDKSTQANFSKTVFVKATNSHEPKVSSSNGNVPKVSSSDMSMRNAPTRNAHVHQSTSYNTHIRHTPRQFAYKRNDHYRTHTSSSQNHHSNHISCSHAFNKQRRNGHMRTQTHSLTYGPRVRLKSSKIESKWYLDSGCSRHMTGNSNHFISLEKKDGSGQVTFGDNGKGKIVGIGKVVLLVDGLKHNLLSPKSCFVSRMLDNKILFVGERVENIYLIDLQAMTNQDMKCFVSISDNSWIWHRRLSHASMDLLKNLSKDELVDGLPKIKYEKDKVCDACQMGKQVKSSFKAINKVISSRPLQLLHMDLFGPTRVAIDDYSRYTWVVFLAHKDDCFDAFKSFTKKVQNEKGFQISSIRSDHGREFENEKFETFCNKTPQQNDMGRTMLREYNLPTYFWAEAINTACYVSNRLWNGRKPRVSYFRVFGCKCFILNNKDNLGKFDSKTDEGIFLGYSISSKSYRVFNKRTLIVEESMHVVFDESNPFASRKEVSCDDDLVGGFNRGKGR >Manes.13G015200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1909242:1912184:-1 gene:Manes.13G015200.v8.1 transcript:Manes.13G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKKIKIGINGFGRIGRLVARVALQRNDIELVAVNDPFISTEYMTYMFKYDTVHGHWKHTDVKVKDEKTLLFGDKPVTVFGVRNPEEIPWGQTGAEFVVESTGVFTDKEKAAAHLKGGAKKVVISAPSKDAPMFVVGVNENEYKPELDIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYEDIKNAIKEESEGKLKGILGYTEEDVVSTDFIGDSRSSIFDAKAGIALNENFVKLVSWYDNEWGYSTRVLDLIRHIASTLP >Manes.12G115500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31700160:31700564:-1 gene:Manes.12G115500.v8.1 transcript:Manes.12G115500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQQPAVGVPPPQGYPKDAYPPPGYPVEGYPQGYPPQGYPPAYAQPPRKENGFVEGCLAALCCCCLLDACF >Manes.17G112300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31884906:31885235:-1 gene:Manes.17G112300.v8.1 transcript:Manes.17G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFSKKTQVDSGLDSKGKKWVIAGIAIRTSLKPISTKPRGKSSDEGEDDEECSTTPTAKEARIPERLPCPPAPRKRRPSSTCKNNGAREFFTPPDLESVFKCYVEKAN >Manes.07G097600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30197339:30208155:-1 gene:Manes.07G097600.v8.1 transcript:Manes.07G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVEIPKWLKDLPLAPEFRPTDTEFADPIAYISKIEKEASAFGICKIIPPLPKPSKRYVFFNLNKSLSRCPELGDVDLSNASSSMNTGLADCGNEGGVRAVFTTRHQELGQGMKRTKGMVKEGTQLSVHKQVWQSGEIYTLEQFESKSKAFARSLLGMIKEVNPLVIEALFWKAASEKPIHVEYANDVPGSAFGEPEGQFRYFHRRRRKRASYKSYRRSTESSDFQKKEMNNVNNSCNDEIKDATCKNDPSTSSGPISGSSITSSTSSLEDNLRSSKRKNVNAITDMEGTAGWKLSNSPWNLQVIARSPGSITRFMPDDIPGVTSPMIYIGMLFSWFAWHVEDHELHSMNFLHTGSPKTWYAVPGDYAFAFEEVIRTEAYGGSIDRLGALTLLGEKTTLLSPEVVVSASIPCCRLIQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLQVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGARSSRLRDRLKEERELSVKKAFIQDMLKENSILSALLGKDSTYNVVIWNADLLPCASKESQLPNSVATIAEENVLHTHSEDKSNAIGNDLLKEMSLYMESLNDLYVDDDDDLSCDFQVDSGTLACVACGILGFPFMCVVQPSGRASIELLQGDHPLVQEGSRVENFDSYHSSAACDGSIKCSVPDNLHPVSDLFLPLTNLPMPRGWDNSSKFLRPRIFCLEHGVQIEEMLQSKGGANMLVICHSDYQKIKAHAAAISEDIGTPFNYNEVLLESASQEDLNLICLAIDNEDHDESGQEWTSKMGINLRFCLKVRKNAPSSKAQHALALGGLFSDGSSSDFLNIKWQSRRSRSRTKLNWPAHCKPCQNVKPNKNDALGKRSGRVNIEKGQKLIQYTRRKYKVKIDCSSNWSQCCPGNHVVEEVSVANCEDLVKHTGKTCKIGPTVEISGRDSAGLDFSPLGTSGVLHEVKVLEATGNMSLNSAPLHVAGSLLTANVAVEHTEKVENQTLEESNGYEIVCNTAACGNLEMQHKEKVTGGTSEVEDSNAIECLSPCVVPDDKRSGVQEEHQIMEKNNFRNETYNMVSEGQHKFLASRDVLENEVTDLATAASVHACAPVGQMENLVVEQSSMNCEVCDCATLDNEVQVALQTTGKSTGSRSILYDDTPINQLGASGEEMAEFSQGTCFSKDKCYGFNKLQHEVQFTGRTNVEELIPINARLVNHPNQVSEDEFSEIFRDPCDSVKLWDGATSENVVQQAIEATNESKAEHISFSVAQMEIDQPTIASTEGFPEVIGRITASKDLCTTTMSSSDSKKVLKIPTANTSSVEELVLHSPTQMQDTSNMEDPAEEYSEAPREKYASEDTVGVNLDTEVQQEIHSDDGMNKDDEGPQICETTSAIDKEEHLSGRVTQINQSNPDPFTKCSTTDEESCTEENMLKGQEVCSSCEQESIKSTVVEPGSTAGKGRKRKNEVDQLTDKKLNCNGFIKSPCEGLRPRARKDARSRNGINIRKSALDNPPRKKARKPSDVSVPCTKKEEITKRSHKCDLEGCTMSFETKAELQLHKRNQCPYEGCRKRFSTHKYAIIHQRVHEGDRPLKCPWNGCSMSFKWAWARTEHIRVHTGEKPYKCKVEGCGLSFRFVSDFSRHRRKTGHYVNTPA >Manes.05G151800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26115514:26118305:1 gene:Manes.05G151800.v8.1 transcript:Manes.05G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.05G151800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26113717:26118305:1 gene:Manes.05G151800.v8.1 transcript:Manes.05G151800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.10G005300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:719340:723136:-1 gene:Manes.10G005300.v8.1 transcript:Manes.10G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCICSISSISSFSALSSSSRKSVPKPSIVHCSLPGTVVEPKAASVAEPLLLNAVRGEDVERPPVWLMRQAGRYMKSYQIICEKYPSFRERSENVDLVVEISLQPWNVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPVIFNPLRTATDVDQVREFVPEESVPYVGESLTILRKEVDNKAAVLGFVGAPFTLASYVVEGGSSKHFSKIKRLAFSEPKVLHALLQKFAISMAKYIQYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVNTVRETHPTLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRRRLGPDMAVQGNVDPGVLFGSKEFITNRINDVVRKAGKGKHILNLGHGIVVGTPEENVAHFFEIAKGIRY >Manes.12G156600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36478642:36484319:1 gene:Manes.12G156600.v8.1 transcript:Manes.12G156600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISINGLSLSIAKPKIAFTKNPFLIPLFFSNPKTSSSSSSQVALRPVFSSMSYDKELAAAKKAASLSARLCQKVQKALLQSDVQSKSDKSPVTVADYGSQALVSFVLQKELPSEPFSLVAEEDSGDLRKDEGQQTLERITKLVNDTLASDESYATSTLSTEDVLRAIDSGKSDGGSRGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGALACPNLPLASISSTSQHPSQGEVGCLFFANIGAGTYIQLLNSSSASKVQVTAIDNPEEASFFESYEAAHSLHDLSSLIAKKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGCIVVTEAGGVVTDAAGNPLDFSKGRYLDLDTGIIVTNQKLMPSLLKAVRESLEEKKASSL >Manes.14G093400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7799862:7801626:1 gene:Manes.14G093400.v8.1 transcript:Manes.14G093400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPLLHSKLFQVVLLVSVFIFSSGLYASDDSHLSLDYYASTCPTVFDIIRKEMECEVLSDPRNAALVVRLHFHDCFVQGCDGSVLLDDTISLRGEKKSSPNINSLKGFGIIDKIKNKVESECPEIVSCADILTIAARDAVILVGGPYWDVPVGRKDSKTASYELASANIPTADEGLVSIISKFLYQGLSVTDMVALSGAHTIGMARCANFRARIYGDFETASDRSPMSETYLSNLKSICPAAGGGDNNVSAMDNITPNLFDNSYYQILLKGEGLLNSDQELYSSILGIQTKQLVVKYAHDPIGFFQQFSDSMVKLGNITNSDSFANGEVRKNCRFVNT >Manes.01G138900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33087683:33090003:1 gene:Manes.01G138900.v8.1 transcript:Manes.01G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSETNLSTAKTFLTTAASVAATVMLARSIAQDYLPYEIHDYFLFNIRKFFNRFSSQLTMVIDEFDGFVHNQVYEAAQTYLGSRTSPSIQRFKVSKPEKEKNFIVTMDGNEEIFDVFQGVKFKWIFVCRQVDSTRHFQRFDRQYSTSEVRYFEVSFPKKQKEMALQSYLPYIIKQAKSMEQERKTLKIFTLDQEHMYGNLTDAWKPVNLDHPATFETLAMDPEQKDTIIKDLESFVKRKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTELSCNSQLRRLLIATANRSILVVEDIDCTIEFQDRSAAEASSAECCGYPPRKQVTLSGLLNFIDGLWSSCGDERIIIFTTNHKEKLDPALLRPGRMDVHVLMSYCTPSGFRLLAANYLGIKDHKLFGEIVELISTAQVTPAEVAEQLMRSDEVETVLNDLIQFLRAKLKEHEEEKAKQINGELNVSKDDENRKKDVK >Manes.14G163433.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25574099:25574935:-1 gene:Manes.14G163433.v8.1 transcript:Manes.14G163433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLRNLSRKSQHELEKSYNPINLDYIFEEDDPLNPWLEERENPVLDGEENPWLEEDEPITTQQVNAPSHGHNIGGSGDAEPEDSFILSSSSDDDDGGSGQGGRGEGHGATSSLQSHDDPSSYQRHSPSPSPSPAPTLQHTYHRSRGSDGSSDKGKGVAHGECSMDTDNYGYGTYGTSESSMEATSTSDYGYRGNFQWEYSNPYPYQPPPSYSDLSLSEQSFSHTQTQSNPSDQFGMGSFFSFDPSQYYQYHQDQSSQSQDEGGGSTQEPARRSFWW >Manes.14G007380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1825361:1831449:1 gene:Manes.14G007380.v8.1 transcript:Manes.14G007380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTELSFPAVTNQGTKLHRAVQSIDILGSALSNLKVKPSNLPCRFQRRQWHPSCSNQLLAFHRRNSTPRTLAFAIPNESDQVESGSCYGFADDQTDLSLEENDCLNHSDAEVVSSLSYSEADLAHTVELLGEQGSLLDKIKAIQLHVLASEQWNASQLQLCHRNYLVSATNLIHYLALRCLDVEQLKDDLALIGLLNLETINAHVLASLAASIQILENLKSNSLNPEEHVTGELSSKKGFEQQKYAASTLQMIRKKASFNRELLLGPTQEGRTTHIMVTVGVEAIESKTFITDLINAGMSIIRINCAHGNPCIWSEIITRVRKSSQMLEKPCRILMDLAGPKLRTGKLKPGPAVMKISPKKTAAGDVIFPAQVWLAHKEAGPPPSHLSLDAVIFIDDQEFLPKLEVDDTLRFRDARGKKRRLKIVRKFHVFSSTGYVAECSRTAYVQSGTRLYVKGKIGRSPVGQVVDVPATAPSIRLRVGDLLIISRGNSSGQDELSTSMSGAHRVTCSSGYLFDSVKPGEPIAFDDGKIWGVIRGTSMSEIVVSITHAGPKGAKLGSEKSINIPESNVRFEGLTSKDLMDLEFVAAHADMVGVSFVRDTRDIAVLRQELEKRKLQNLGIVLKIETRSGFEKLSLVLLEAMRSSNPLGVMIARGDLAVECGWERLADMQEEILYLCGAAHIPVIWATQVLESLVKSGLPTRAEITDAANGRRVSCVMLNKGKHVVEAVSTLDKILHPKATRMRADLKPILLCSHLL >Manes.14G007380.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1825361:1831449:1 gene:Manes.14G007380.v8.1 transcript:Manes.14G007380.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTELSFPAVTNQGTKLHRAVQSIDILGSALSNLKVKPSNLPCRFQRRQWHPSCSNQLLAFHRRNSTPRTLAFAIPNESDQVESGSCYGFADDQTDLSLEENDCLNHSDAEVVSSLSYSEADLAHTVELLGEQGSLLDKIKAIQLHVLASEQWNASQLQLCHRNYLVSATNLIHYLALRCLDVEQLKDDLALIGLLNLETINAHVLASLAASIQILENLKSNSLNPEEHVTGELSSKKGFEQQKYAASTLQMIRKKASFNRELLLGPTQEGRTTHIMVTVGVEAIESKTFITDLINAGMSIIRINCAHGNPCIWSEIITRVRKSSQMLEKPCRILMDLAGPKLRTGKLKPGPAVMKISPKKTAAGDVIFPAQVWLAHKEAGPPPSHLSLDAVIFIDDQEFLPKLEVDDTLRFRDARGKKRRLKIVRKFHVFSSTGYVAECSRTAYVQSGTRLYVKGKIGRSPVGQVVDVPATAPSIRLRVGDLLIISRGNSSGQDELSTSMSGAHRVTCSSGYLFDSVKPGEPIAFDDGKIWGVIRGTSMSEIVVSITHAGPKGAKLGSEKSINIPESNVRFEGLTSKDLMDLEFVAAHADMVGVSFVRDTRDIAVLRQELEKRKLQNLGIVLKIETRSGFEKLSLVLLEAMRSSNPLGVMIARGDLAVECGWERLADMQEEILYLCGAAHIPVIWATQVLESLVKSGLPTRAEITDAANGRRVSCVMLNKGKHVVEAVSTLDKILHPKATRMRADLKPILLCSHLL >Manes.01G137600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32966809:32974911:1 gene:Manes.01G137600.v8.1 transcript:Manes.01G137600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCFLTYRAPAEAENLSDESDHSVKDQSLSNGDSHDSVDGNMEFNISYSKVGQRRNENLSTGITHIAQLDSDSGYTFLVDKMSSLPKTDHEYQNLHPSNDDRLNIEYTGEMLRGRHLKKVMSYPANNTNNVLFFGEDVRAQKEFVRNGSLPKETFVTISDVSLRTRPSHLPPPTRPPPPALDVLKGDSGKVTSNCQNVVFEETAGDSSPPYFDVEVDASSSAAAMKEAMDKAQAKLKSAKESIERKRESLQNRVKSDTKKDRKDKEEKLTKIVNGLVSRRDEREQGISQIEENGMEFSVLEKQKVKKTTQPILDLLEGKKHRNGAKRSTEENHGRESSSSQGSDRIDVAGEWKEAAQFFELITDKSRKASDQENNENVLLHNSNFHERVEKEKKAIVKASQHPQKNDKKVKAVRADDELVDCEKKTEDPKEAVEQNRSSGRSVAANRQKGNDKKVQVAVEASKQEGNEKKFNMDLKPVETEKPQARLDDLQKHENYVEVQERESKIVARQTKKHKEKALWLKEDNKIMEDVKKFTRENGDSERRQRKVFVLEENDEKLNAPLEQAENDRRLKKVVHEQEENENINSEASEIEANGKRLREVLRREENERRLKEALEKKGNKRILKDTREKEERLRREREAVEWEENEKRHREAREREENEKRHRETREREENEKRQTEACEREENEKRQREAREREDNEKRHREAREREENEKRHKEAREREENEKRQREAGEREENEKRHREACEREENEKRQREAREREENEKRKREASEREEGEKRKRDAREREENEKRQREAREREENEKRQREAREREENEKRLREAHEREETENRQREAHEREETEKRIKEVLEKEENERRMKETVEKEERQRRQREAVEQEENAKRGREENEKRLKEAEKKENGRLKAVESEENERRQRDAHEKEESEKRCEETFEREEIEQEASGREVGRSLKEVREQQKNCMASRRAQEADGIEAALKVDNEPEDIGTTSQATCEWEETEAKHTDIGESGKEKALNNMAKDHSVMKQACELCDDKSLGATKLAGKNEGSSKKLDLTKEIAIEETSKIVDQLRNDEKKVVSGIAQGNLKQEKSQFLMEDSTDIEQNNIGKVRSNFQVDPVFGNQGKKFPYEKSDKGKHIEQSQVSLNPEISNVTFMSAKVVKESVDTARKIGGAQQIILEVKGSTQRTAQQVNATQSTERKVKNSYETLSSEDKEAERMKRERDLEMERLRKMEEEREREREREKDRMAVDKATLDTREGAYAEARERAERAAVERATAEARQRALNESRERLEKACAEAREKSLPEKASMEARLRAERAAVERATAEARERAFEKAMAERAASGARERVERSVSDKFSASSRSSGMRATSSSSDLQDLQSQGSGTFTGSRYQYSSVYSERFEGVEGESAQRYKARLERHQRTAERAAKALAEKNMRDLFAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITAAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >Manes.01G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32966809:32974911:1 gene:Manes.01G137600.v8.1 transcript:Manes.01G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSHSRQPNMLSKKSCNGTTTKSIYDDEFGGPPRFGAPTLSPRVEDYREIFGGFHASRASSIPVLDLPLVDEADEVFCDARSSGFDYGEVFGGLNDDDFAVYYDELMMEQSNGIGDDSSSDEAWAPAEAENLSDESDHSVKDQSLSNGDSHDSVDGNMEFNISYSKVGQRRNENLSTGITHIAQLDSDSGYTFLVDKMSSLPKTDHEYQNLHPSNDDRLNIEYTGEMLRGRHLKKVMSYPANNTNNVLFFGEDVRAQKEFVRNGSLPKETFVTISDVSLRTRPSHLPPPTRPPPPALDVLKGDSGKVTSNCQNVVFEETAGDSSPPYFDVEVDASSSAAAMKEAMDKAQAKLKSAKESIERKRESLQNRVKSDTKKDRKDKEEKLTKIVNGLVSRRDEREQGISQIEENGMEFSVLEKQKVKKTTQPILDLLEGKKHRNGAKRSTEENHGRESSSSQGSDRIDVAGEWKEAAQFFELITDKSRKASDQENNENVLLHNSNFHERVEKEKKAIVKASQHPQKNDKKVKAVRADDELVDCEKKTEDPKEAVEQNRSSGRSVAANRQKGNDKKVQVAVEASKQEGNEKKFNMDLKPVETEKPQARLDDLQKHENYVEVQERESKIVARQTKKHKEKALWLKEDNKIMEDVKKFTRENGDSERRQRKVFVLEENDEKLNAPLEQAENDRRLKKVVHEQEENENINSEASEIEANGKRLREVLRREENERRLKEALEKKGNKRILKDTREKEERLRREREAVEWEENEKRHREAREREENEKRHRETREREENEKRQTEACEREENEKRQREAREREDNEKRHREAREREENEKRHKEAREREENEKRQREAGEREENEKRHREACEREENEKRQREAREREENEKRKREASEREEGEKRKRDAREREENEKRQREAREREENEKRQREAREREENEKRLREAHEREETENRQREAHEREETEKRIKEVLEKEENERRMKETVEKEERQRRQREAVEQEENAKRGREENEKRLKEAEKKENGRLKAVESEENERRQRDAHEKEESEKRCEETFEREEIEQEASGREVGRSLKEVREQQKNCMASRRAQEADGIEAALKVDNEPEDIGTTSQATCEWEETEAKHTDIGESGKEKALNNMAKDHSVMKQACELCDDKSLGATKLAGKNEGSSKKLDLTKEIAIEETSKIVDQLRNDEKKVVSGIAQGNLKQEKSQFLMEDSTDIEQNNIGKVRSNFQVDPVFGNQGKKFPYEKSDKGKHIEQSQVSLNPEISNVTFMSAKVVKESVDTARKIGGAQQIILEVKGSTQRTAQQVNATQSTERKVKNSYETLSSEDKEAERMKRERDLEMERLRKMEEEREREREREKDRMAVDKATLDTREGAYAEARERAERAAVERATAEARQRALNESRERLEKACAEAREKSLPEKASMEARLRAERAAVERATAEARERAFEKAMAERAASGARERVERSVSDKFSASSRSSGMRATSSSSDLQDLQSQGSGTFTGSRYQYSSVYSERFEGVEGESAQRYKARLERHQRTAERAAKALAEKNMRDLFAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITAAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >Manes.08G137200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37383301:37391465:1 gene:Manes.08G137200.v8.1 transcript:Manes.08G137200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNESQHHLSSYFATTTTTPSPTNGLMPPHPNSTSDSGGGPHMLYPHSVGPSSAAVATAPVEPPRRKRGRPRKYGTPEQALAAKKTASSSNSVPKEKREGATSYSGSSRKSQQLFALGNAGQGFIPHVITVAAGEDVAQKLMMFMQQSKREMCILSASGSISNASLRQPATSGGNITYEGRFEIISISGSYVRTDIGGRTGGLSVCLSNTDGQLIGGGVGGPLTAGGPVQVIVGTFLLDNKKDASGGVKVDASTNKLPSPVGGASISNIGFLSPVESSGRNPVTGNDDHANIGGNPFMIHPRGMHVAPSRTPDWLSGPDPRVNAGFELTGRVGHGAYQSPENGDYEQLPD >Manes.08G137200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37383301:37391294:1 gene:Manes.08G137200.v8.1 transcript:Manes.08G137200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNESQHHLSSYFATTTTTPSPTNGLMPPHPNSTSDSGGGPHMLYPHSVGPSSAAVATAPVEPPRRKRGRPRKYGTPEQALAAKKTASSSNSVPKEKREGATSYSGSSRKSQQLFALGNAGQGFIPHVITVAAGEDVAQKLMMFMQQSKREMCILSASGSISNASLRQPATSGGNITYEGRFEIISISGSYVRTDIGGRTGGLSVCLSNTDGQLIGGGVGGPLTAGGPVQVIVGTFLLDNKKDASGGVKVDASTNKLPSPVGGASISNIGFLSPVESSGRNPVTGNDDHANIGGNPFMIHPRGMHVAPSRTPDWLSGPDPRVNAGFELTGRVGHGAYQSPENGDYEQLPD >Manes.08G137200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37383301:37391294:1 gene:Manes.08G137200.v8.1 transcript:Manes.08G137200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNESQHHLSSYFATTTTTPSPTNGLMPPHPNSTSDSGGGPHMLYPHSVGPSSAAVATAPVEPPRRKRGRPRKYGTPEQALAAKKTASSSNSVPKEKREGATSYSGSSRKSQQLFALGNAGQGFIPHVITVAAGEDVAQKLMMFMQQSKREMCILSASGSISNASLRQPATSGGNITYEGRFEIISISGSYVRTDIGGRTGGLSVCLSNTDGQLIGGGVGGPLTAGGPVQVIVGTFLLDNKKDASGGVKVDASTNKLPSPVGGASISNIGFLSPVESSGRNPVTGNDDHANIGGNPFMIHPRGMHVAPSRTPDWLSGPDPRVNAGFELTGRVGHGAYQSPENGDYEQLPD >Manes.10G109423.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26800338:26802078:1 gene:Manes.10G109423.v8.1 transcript:Manes.10G109423.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVQVGVALHRVFLLQLAGKDISVEDVRDADPSFYNNKANKEPFHDDDQIQNEFIKSISEQIRFFKNGFDSVFGKSIFQQLSDNGVEPEDLNLVLKGSIELEFNSDENLDDKQNDPLMPQDDESDPLTYRYFKVNLQNLNIPEWQQGKRLGEGKFGKVFEGYAPGGFFFAIKEIKIEPEANIEQIYDEIRLLCQLRHPNIVKYYSMEKDEGNLNIFLELVTKGSLKDVYGTFELEDSQVSHYTKQILEGLKYLHERNVVHRDIKCANILVNEKGRIKIADFGLAKVMELNTLMKSSYYGTPGWMAPEVAKSGDYGPKADIWSLGCTVLEMLTRKTPHVMEGGKLLDLPDLPSQHSRDFIKECLQDNKVDRPSAAELLQHPFVKGFGL >Manes.18G138580.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17868254:17897748:-1 gene:Manes.18G138580.v8.1 transcript:Manes.18G138580.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANREMAVYCFDTLVAHYNSEEAPPPAFDEGQHPLFVTWKKVVNGGEPRLRGCIGTLEARHLINGFRDYALTSALKDRRFPPIQPKELSSLECTVSILTDYETANNYLDWEIGKHGLIIEFIDPNSNMRRSATYLPEVAAHEGWTKVEAIDSLMHKAGYTGHITESLRKSIQLTRYQSTLFTMTYSDYVSYVKATRGAAPSINGVKPSNH >Manes.15G171400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15446760:15448264:1 gene:Manes.15G171400.v8.1 transcript:Manes.15G171400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWSRRPRFVEECDFASLSDMKAGFSGNHRCHHHHPFFSQSLCYGRTGCFSNLPSFVDSPRSTRFYDARFENYQPHFLEACFLCKKPLGNNKDIFMYRGDTPFCSEECRQEQIEIDEAKDLSSSMKALRKKDQKKTLSPTKTQDYPSRTGAVAAA >Manes.15G171400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15446760:15448264:1 gene:Manes.15G171400.v8.1 transcript:Manes.15G171400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWSRRPRFVEECDFASLSDMKAGFSGNHRCHHHHPFFSQSLCYGRTGCFSNLPSFVDSPRSTRFYDARFENYQPHFLEACFLCKKPLGNNKDIFMYSEECRQEQIEIDEAKDLSSSMKALRKKDQKKTLSPTKTQDYPSRTGAVAAA >Manes.05G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11949381:11950703:1 gene:Manes.05G116500.v8.1 transcript:Manes.05G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLTNCIFFMLNIMLMMFAIATLSSSLYLRQHSGSDCQKVLQNPFMIIGVFTFVMALIGLMGSFWRLIIFLWMYSFVAFVMVIGLMAYMAFAFVVTNENAGKALSGLGFKEYRVGDYSNWLRNQFEQGKNWEEIRSCLVDAQVCKNLGLDINQDAYDYYKQQFSPVQSGCCKPPRYCGFGFKNATFWIMPESGPQVEDRDCSRWRNTGDKLCFDCKSCKRGVLENIKIEWRSLAVMNACLLAFVILVYSTSCCTRRNLQCHTTCYKDYCP >Manes.03G127300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25210528:25231229:1 gene:Manes.03G127300.v8.1 transcript:Manes.03G127300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDRLKSFLAQLKAECGVFERIVYKNKNQHRRSSYFQYLLKVRRDLRLLQSAKLDELLDSCFHVITGRKPQQKLHFLESLKWRKVDRGVPNFMERLLGAARILSQILLDVVSVFNTVYSLSQKKQSIKITKEGIEVFREYYPTNKEFVTLECVWDTDKFVLLERTQKSDTESQVGTLGEASIETAALQYKSIESFLGDDSDFEDLNEGPAKDGVDRKEVEGGVDLGDNPDNAGTPDEKLPPEGDMLPISSSSPSSKTLTPRSSSASVAFVSVKRPAPSTAAFISVKRPVTSTSSTTGTDLHSAESEMDSGNKEESFFNLLTGGSLKDSLF >Manes.03G127300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25210529:25231229:1 gene:Manes.03G127300.v8.1 transcript:Manes.03G127300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDRLKSFLAQLKAECGVFERIVYKNKNQHRRSSYFQYLLKVRRDLRLLQSAKLDELLDSCFHVITGRKPQQKLHFLESLKWRKVDRGVPNFMERLLGAARILSQMVEPMLKAATEVSVLLARSFFMGFSLTILALLARLRVLVQQILLDVVSVFNTVYSLSQKKQSIKITKEGIEVFREYYPTNKEFVTLECVWDTDKFVLLERTQKSDTESQVGTLGEASIETAALQYKSIESFLGDDSDFEDLNEGPAKDGVDRKEVEGGVDLGDNPDNAGTPDEKLPPEGDMLPISSSSPSSKTLTPRSSSASVAFVSVKRPAPSTAAFISVKRPVTSTSSTTGTDLHSAESEMDSGNKEESFFNLLTGGSLKDSLF >Manes.03G127300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25226555:25231229:1 gene:Manes.03G127300.v8.1 transcript:Manes.03G127300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEIEIAEDRLKSFLAQLKAECGVFERIVYKNKNQHRRSSYFQYLLKVRRDLRLLQSAKLDELLDSCFHVITGRKPQQKLHFLESLKWRKVDRGVPNFMERLLGAARILSQMVEPMLKAATEVSVLLARSFFMGFSLTILALLARLRVLVQQILLDVVSVFNTVYSLSQKKQSIKITKEGIEVFREYYPTNKEFVTLECVWDTDKFVLLERTQKSDTESQVGTLGEASIETAALQYKSIESFLGDDSDFEDLNEGPAKDGVDRKEVEGGVDLGDNPDNAGTPDEKLPPEGDMLPISSSSPSSKTLTPRSSSASVAFVSVKRPAPSTAAFISVKRPVTSTSSTTGTDLHSAESEMDSGNKEESFFNLLTGGSLKDSLF >Manes.14G014000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1196223:1197361:1 gene:Manes.14G014000.v8.1 transcript:Manes.14G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFFSLFFKRSLSVWLAAPSGRDHKMACGKPISSFSIFMTIFMVVFVSVVHSSRTRLQGGVPADDKAIPTQDLESHLVKSLVKGASSVVAEDSAREVPTGPDPLHHNNHPTKP >Manes.17G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22780162:22784637:-1 gene:Manes.17G032400.v8.1 transcript:Manes.17G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSLFVFCFFYSLFSLLLFCHGTDRITPGHSIKDGETLVSDNKIFELGFFSPENSSSRYVGIRYHKIQGQAVIWVANRDKPISDTKGVFTFGEDGNLTVTDGNNISLWWSNSSVPSKDVVLFIENGGNLKISSSDNRTIHWESFKHPTDTFLPNMRVLVSSGEQKVFTSWKSASDPSPGNFSMGVDSSGALQLVMWKDSRRWWRSGYWDEHIFQGVPNMTAVANFKFGFKYFSEGPNSYFMYTPSNISELVRFHLQWDGIEKQLRWNESENKWDLLLEQPANDCEFYNFCGDFGICTESANPKCTCMEGFAPKNKEQWNQKDWSDGCVRKAELQCQGNKSTAEEDDFKELRCGKLPDFAVVHPAVSLEDCEKRCLSNCSCNAYAVVENIGCMIWIRDLIDMQNFGKAEHVLHLRLAHSEFDHKRLSTAEIALIVAAGIVFLAISVWLLWCLKRKLKASVLPAATSTSLMRKNGIQISDMSKSQEYSLEMSGPADLVIEGGPVNGPDLPLFNFNSVAAATNNFSEENKLGEGGFGHVYMGMLPGGEEIAVKRLSRISGQGLEEFKNEIIVIAKLQHRNLVRLLGCCIQGEEKMLLYEYMPNKSLDFFLFDPAKQALLDWNKRFNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNQNELNTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRLTDYVSLIGYAWELWHEDKAIELIDPLIRDSCCTNEVLKCIHVGMLCVQDSAVHRPTMSSVILMLESHSPTLPLPRQPTYTSMRASIDTSEIYLEGQENQENSSNSNDLGFEHRPGQ >Manes.17G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22780162:22784637:-1 gene:Manes.17G032400.v8.1 transcript:Manes.17G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSLFVFCFFYSLFSLLLFCHGTDRITPGHSIKDGETLVSDNKIFELGFFSPENSSSRYVGIRYHKIQGQAVIWVANRDKPISDTKGVFTFGEDGNLTVTDGNNISLWWSNSSVPSKDVVLFIENGGNLKISSSDNRTIHWESFKHPTDTFLPNMRVLVSSGEQKVFTSWKSASDPSPGNFSMGVDSSGALQLVMWKDSRRWWRSGYWDEHIFQGVPNMTAVANFKFGFKYFSEGPNSYFMYTPSNISELVRFHLQWDGIEKQLRWNESENKWDLLLEQPANDCEFYNFCGDFGICTESANPKCTCMEGFAPKNKEQWNQKDWSDGCVRKAELQCQGNKSTAEEDDFKELRCGKLPDFAVVHPAVSLEDCEKRCLSNCSCNAYAVVENIGCMIWIRDLIDMQNFGKAEHVLHLRLAHSEFDHKRLSTAEIALIVAAGIVFLAISVWLLWCLKRKLKVLPAATSTSLMRKNGIQISDMSKSQEYSLEMSGPADLVIEGGPVNGPDLPLFNFNSVAAATNNFSEENKLGEGGFGHVYMGMLPGGEEIAVKRLSRISGQGLEEFKNEIIVIAKLQHRNLVRLLGCCIQGEEKMLLYEYMPNKSLDFFLFDPAKQALLDWNKRFNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNQNELNTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRLTDYVSLIGYAWELWHEDKAIELIDPLIRDSCCTNEVLKCIHVGMLCVQDSAVHRPTMSSVILMLESHSPTLPLPRQPTYTSMRASIDTSEIYLEGQENQENSSNSNDLGFEHRPGQ >Manes.17G032400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22780162:22784637:-1 gene:Manes.17G032400.v8.1 transcript:Manes.17G032400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSLFVFCFFYSLFSLLLFCHGTDRITPGHSIKDGETLVSDNKIFELGFFSPENSSSRYVGIRYHKIQGQAVIWVANRDKPISDTKGVFTFGEDGNLTVTDGNNISLWWSNSSVPSKDVVLFIENGGNLKISSSDNRTIHWESFKHPTDTFLPNMRVLVSSGEQKVFTSWKSASDPSPGNFSMGVDSSGALQLVMWKDSRRWWRSGYWDEHIFQGVPNMTAVANFKFGFKYFSEGPNSYFMYTPSNISELVRFHLQWDGIEKQLRWNESENKWDLLLEQPANDCEFYNFCGDFGICTESANPKCTCMEGFAPKNKEQWNQKDWSDGCVRKAELQCQGNKSTAEEDDFKELRCGKLPDFAVVHPAVSLEDCEKRCLSNCSCNAYAVVENIGCMIWIRDLIDMQNFGKAEHVLHLRLAHSEFDHKRLSTAEIALIVAAGIVFLAISVWLLWCLKRKLKASVLPAATSTSLMRKNGIQISDMSKSQEYSLEMSGPADLVIEGGPVNGPDLPLFNFNSVAAATNNFSEENKLGEGGFGHVYMGMLPGGEEIAVKRLSRISGQGLEEFKNEIIVIAKLQHRNLVRLLGCCIQGEEKMLLYEYMPNKSLDFFLFDPAKQALLDWNKRFNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNQNELNTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRLTDYVSLIGYAWELWHEDKAIELIDPLIRDSCCTNEVLKCIHVGMLCVQDSAVHRPTMSSVILMLESHSPTLPLPRQPTYTSMRASIDTSEIYLEGQENVSSNDVTVTMIVGR >Manes.17G032400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22780162:22784637:-1 gene:Manes.17G032400.v8.1 transcript:Manes.17G032400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSLFVFCFFYSLFSLLLFCHGTDRITPGHSIKDGETLVSDNKIFELGFFSPENSSSRYVGIRYHKIQGQAVIWVANRDKPISDTKGVFTFGEDGNLTVTDGNNISLWWSNSSVPSKDVVLFIENGGNLKISSSDNRTIHWESFKHPTDTFLPNMRVLVSSGEQKVFTSWKSASDPSPGNFSMGVDSSGALQLVMWKDSRRWWRSGYWDEHIFQGVPNMTAVANFKFGFKYFSEGPNSYFMYTPSNISELVRFHLQWDGIEKQLRWNESENKWDLLLEQPANDCEFYNFCGDFGICTESANPKCTCMEGFAPKNKEQWNQKDWSDGCVRKAELQCQGNKSTAEEDDFKELRCGKLPDFAVVHPAVSLEDCEKRCLSNCSCNAYAVVENIGCMIWIRDLIDMQNFGKAEHVLHLRLAHSEFDHKRLSTAEIALIVAAGIVFLAISVWLLWCLKRKLKVLPAATSTSLMRKNGIQISDMSKSQEYSLEMSGPADLVIEGGPVNGPDLPLFNFNSVAAATNNFSEENKLGEGGFGHVYMGMLPGGEEIAVKRLSRISGQGLEEFKNEIIVIAKLQHRNLVRLLGCCIQGEEKMLLYEYMPNKSLDFFLFDPAKQALLDWNKRFNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNQNELNTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRLTDYVSLIGYAWELWHEDKAIELIDPLIRDSCCTNEVLKCIHVGMLCVQDSAVHRPTMSSVILMLESHSPTLPLPRQPTYTSMRASIDTSEIYLEGQENVSSNDVTVTMIVGR >Manes.05G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20893591:20894459:-1 gene:Manes.05G122400.v8.1 transcript:Manes.05G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSFGLLLLLFIVLASQEMVLPIEARVCESKSHHFRGLCTIAHNCAMVCRNEGFSGGKCRGFRRRCFCTRLC >Manes.13G093504.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:25326645:25326931:1 gene:Manes.13G093504.v8.1 transcript:Manes.13G093504.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHFQLFILLQLSPLLWCLNFESWESYVPTFQFILPIFHLFSSLIYEKIN >Manes.08G167700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40241961:40246135:1 gene:Manes.08G167700.v8.1 transcript:Manes.08G167700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGITRASTFKEEIYLRPEDDSDNNDTKRSNMLKPSYTFHSSSSLAAQAIKASAAHRESSLSSADGGDSSPQRSKVFDAYEDSSARSDSKGFWGVLARKAKAILEEDNMSSQLGTPDRTMFQISETSVDGQEGRTIVENKTADIIQETRKLQIMRKGSSSEAQNQAFEAINSQQQPMKHHQQNQMNHETQLKASRDVALATAAKAKLLLRELKTIKADLAFAKQRCSQLEEENKILREGCEKGDNPADDDLIRLQLETLLAEKARLAHENSIFARENRFLREIVEYHQLTMQDVVYLHEGSEEETEVYSSTGMLFISPLSPSEITASASPPAAKKISPVPNLPQEMQEASGNDVTQRSDTPVIEEENATPSSVTPVNKEEDAKSVVLEENAKTNTKSSP >Manes.02G188400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15093752:15104208:1 gene:Manes.02G188400.v8.1 transcript:Manes.02G188400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMCHYCGAQLPKSEDEKRKPVNANSLKLNGDGHNWSCRFCREKQEQGYLKGDGWSLASPMISPTTSLSSTDRSVSSCSDLSVDINSYDRGSHEEGGLHRVQEDVSFGLSGQLHKPRLEAPVNGLHRSYEMTENNLVESHNGRDREIVRDIDIMQTANGQETQEPKDNSLQNPVESFDEGSGASCSGGDEINAQVWDPPEAEDPEDDLEDSVARNDDDDDECGDGTKWGKPSSLSCCRDEESGSFRFKEDKQKTMEEVANGKFKAIVRQLLKNANVATMVKDFESWVDIVSVLSWEAASFLKPDAIDGKRMDPDGYVKVKCIATGSRNESQLVKGLVFKKRAAHKHMPTNYKNPRLLLIRGVLGQSSSGLSSFKSMDLEKDNLKSLMDMIEMCHPNVVLVEKSVSRDLQESILAKGITLVYDMKLHRLERVARCTGSPILSSETLAGQKLKQCDSFHIEKFVEEHAGLGEGGKKPSKTLMFIEGCPTRLGCTILLKGSNSDELKGIKYVVQIAVIMAYHLILETSFLFDWKKMLSAVMLPGARDHYSSVLENADSRISSPKESVAETGPVTVDIPISNGYHVEDSHNLDMGLVGNSMSYVPYNPVIFSGFSSLSASLKKVIGDSFPLASSTPYQSLASYFGLNGKEANDQSIEEVPVIETLEASNHCDMESKDGLDEVKPLDDGERQSLSCSKPVDGGNDVDVDNKYRGQNKDDVNVVSDSQSILVLISSRNALRGTICEQSHFSHIMFYRHFDFPLGKFLWDNLLNQRCQCTTCGELPEAHFYYYAHHNKQLTIRVKRLLKPLPGEAEGKLWMWSRCGKCKHQNGVSKCTKRVLISTAARCLSFGKFLELSFSQHTSFGRSACGHSLERDFLYFFGLGQLAAMFKYSPVTTYTVSLPPQKLEFSHSIRYEGLMREFENVYTKGRLLFSGITDTLNKLRSQFEGSNLNLRGPSKEFSDIEDMLKQEIYEFEATVNNAFDKNGNTNKDNYQFLSINRLLWELLLESWVWEQRLHSLLSPDHSLVRAGAIEKTVDNQVKSNMGGATHERNEGDETVLENSSHESKDMLGNSVEANGFIIKENSLDGARAEDHLPSSSPPTEDVERSNMDDLHQAENIALSRDLEVERTIPIASDVGNSNSVIDSDESRKGTSLHSVVSSLENSNGWFWMPFSEIRQIYMEDLERGFMPKFQSVSSYVQEHISVAYKLISEEGPRLHIPLGPDNYIVRDYDGELSSIIACALAVLKDAPLSLEFFSDDGQKEGGISAKSTDSLYISSVFPNKVSSHWSSNSSSDSDSFQPTLSVSPEESRLSSFDGFNLLESLIPPENVSPEVSLGITKSLGKGKYSVICLYAKQFRDLRSCCCRSEVDYIASLSRCRNWDAKGGKSKSFFAKTLDERFIIKEIKKTEFESFVKFAPDYFKYMKESFELGNQTCLAKVLGIYQVIIRQTKNGKEMRHDLMVMENLSFGRNITRQYDLKGALYARYNSAGDGLGDVLLDQNFVNDMNSSPLYVSNKAKRLLQRAVWNDTTFLNSINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSKHFMCVPDDWCLQRSCDACQLCGVSETGSSQTKPQSKDD >Manes.02G188400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15094090:15104208:1 gene:Manes.02G188400.v8.1 transcript:Manes.02G188400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMCHYCGAQLPKSEDEKRKPVNANSLKLNGDGHNWSCRFCREKQEQGYLKGDGWSLASPMISPTTSLSSTDRSVSSCSDLSVDINSYDRGSHEEGGLHRVQEDVSFGLSGQLHKPRLEAPVNGLHRSYEMTENNLVESHNGRDREIVRDIDIMQTANGQETQEPKDNSLQNPVESFDEGSGASCSGGDEINAQVWDPPEAEDPEDDLEDSVARNDDDDDECGDGTKWGKPSSLSCCRDEESGSFRFKEDKQKTMEEVANGKFKAIVRQLLKNANVATMVKDFESWVDIVSVLSWEAASFLKPDAIDGKRMDPDGYVKVKCIATGSRNESQLVKGLVFKKRAAHKHMPTNYKNPRLLLIRGVLGQSSSGLSSFKSMDLEKDNLKSLMDMIEMCHPNVVLVEKSVSRDLQESILAKGITLVYDMKLHRLERVARCTGSPILSSETLAGQKLKQCDSFHIEKFVEEHAGLGEGGKKPSKTLMFIEGCPTRLGCTILLKGSNSDELKGIKYVVQIAVIMAYHLILETSFLFDWKKMLSAVMLPGARDHYSSVLENADSRISSPKESVAETGPVTVDIPISNGYHVEDSHNLDMGLVGNSMSYVPYNPVIFSGFSSLSASLKKVIGDSFPLASSTPYQSLASYFGLNGKEANDQSIEEVPVIETLEASNHCDMESKDGLDEVKPLDDGERQSLSCSKPVDGGNDVDVDNKYRGQNKDDVNVVSDSQSILVLISSRNALRGTICEQSHFSHIMFYRHFDFPLGKFLWDNLLNQRCQCTTCGELPEAHFYYYAHHNKQLTIRVKRLLKPLPGEAEGKLWMWSRCGKCKHQNGVSKCTKRVLISTAARCLSFGKFLELSFSQHTSFGRSACGHSLERDFLYFFGLGQLAAMFKYSPVTTYTVSLPPQKLEFSHSIRYEGLMREFENVYTKGRLLFSGITDTLNKLRSQFEGSNLNLRGPSKEFSDIEDMLKQEIYEFEATVNNAFDKNGNTNKDNYQFLSINRLLWELLLESWVWEQRLHSLLSPDHSLVRAGAIEKTVDNQVKSNMGGATHERNEGDETVLENSSHESKDMLGNSVEANGFIIKENSLDGARAEDHLPSSSPPTEDVERSNMDDLHQAENIALSRDLEVERTIPIASDVGNSNSVIDSDESRKGTSLHSVVSSLENSNGWFWMPFSEIRQIYMEDLERGFMPKFQSVSSYVQEHISVAYKLISEEGPRLHIPLGPDNYIVRDYDGELSSIIACALAVLKDAPLSLEFFSDDGQKEGGISAKSTDSLYISSVFPNKVSSHWSSNSSSDSDSFQPTLSVSPEESRLSSFDGFNLLESLIPPENVSPEVSLGITKSLGKGKYSVICLYAKQFRDLRSCCCRSEVDYIASLSRCRNWDAKGGKSKSFFAKTLDERFIIKEIKKTEFESFVKFAPDYFKYMKESFELGNQTCLAKVLGIYQVIIRQTKNGKEMRHDLMVMENLSFGRNITRQYDLKGALYARYNSAGDGLGDVLLDQNFVNDMNSSPLYVSNKAKRLLQRAVWNDTTFLNSINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSKHFMCVPDDWCLQRSCDACQLCGVSETGSSQTKPQSKDD >Manes.02G188400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15094153:15104208:1 gene:Manes.02G188400.v8.1 transcript:Manes.02G188400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMCHYCGAQLPKSEDEKRKPVNANSLKLNGDGHNWSCRFCREKQEQGYLKGDGWSLASPMISPTTSLSSTDRSVSSCSDLSVDINSYDRGSHEEGGLHRVQEDVSFGLSGQLHKPRLEAPVNGLHRSYEMTENNLVESHNGRDREIVRDIDIMQTANGQETQEPKDNSLQNPVESFDEGSGASCSGGDEINAQVWDPPEAEDPEDDLEDSVARNDDDDDECGDGTKWGKPSSLSCCRDEESGSFRFKEDKQKTMEEVANGKFKAIVRQLLKNANVATMVKDFESWVDIVSVLSWEAASFLKPDAIDGKRMDPDGYVKVKCIATGSRNESQLVKGLVFKKRAAHKHMPTNYKNPRLLLIRGVLGQSSSGLSSFKSMDLEKDNLKSLMDMIEMCHPNVVLVEKSVSRDLQESILAKGITLVYDMKLHRLERVARCTGSPILSSETLAGQKLKQCDSFHIEKFVEEHAGLGEGGKKPSKTLMFIEGCPTRLGCTILLKGSNSDELKGIKYVVQIAVIMAYHLILETSFLFDWKKMLSAVMLPGARDHYSSVLENADSRISSPKESVAETGPVTVDIPISNGYHVEDSHNLDMGLVGNSMSYVPYNPVIFSGFSSLSASLKKVIGDSFPLASSTPYQSLASYFGLNGKEANDQSIEEVPVIETLEASNHCDMESKDGLDEVKPLDDGERQSLSCSKPVDGGNDVDVDNKYRGQNKDDVNVVSDSQSILVLISSRNALRGTICEQSHFSHIMFYRHFDFPLGKFLWDNLLNQRCQCTTCGELPEAHFYYYAHHNKQLTIRVKRLLKPLPGEAEGKLWMWSRCGKCKHQNGVSKCTKRVLISTAARCLSFGKFLELSFSQHTSFGRSACGHSLERDFLYFFGLGQLAAMFKYSPVTTYTVSLPPQKLEFSHSIRYEGLMREFENVYTKGRLLFSGITDTLNKLRSQFEGSNLNLRGPSKEFSDIEDMLKQEIYEFEATVNNAFDKNGNTNKDNYQFLSINRLLWELLLESWVWEQRLHSLLSPDHSLVRAGAIEKTVDNQVKSNMGGATHERNEGDETVLENSSHESKDMLGNSVEANGFIIKENSLDGARAEDHLPSSSPPTEDVERSNMDDLHQAENIALSRDLEVERTIPIASDVGNSNSVIDSDESRKGTSLHSVVSSLENSNGWFWMPFSEIRQIYMEDLERGFMPKFQSVSSYVQEHISVAYKLISEEGPRLHIPLGPDNYIVRDYDGELSSIIACALAVLKDAPLSLEFFSDDGQKEGGISAKSTDSLYISSVFPNKVSSHWSSNSSSDSDSFQPTLSVSPEESRLSSFDGFNLLESLIPPENVSPEVSLGITKSLGKGKYSVICLYAKQFRDLRSCCCRSEVDYIASLSRCRNWDAKGGKSKSFFAKTLDERFIIKEIKKTEFESFVKFAPDYFKYMKESFELGNQTCLAKVLGIYQVIIRQTKNGKEMRHDLMVMENLSFGRNITRQYDLKGALYARYNSAGDGLGDVLLDQNFVNDMNSSPLYVSNKAKRLLQRAVWNDTTFLNSINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSKHFMCVPDDWCLQRSCDACQLCGVSETGSSQTKPQSKDD >Manes.02G188400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15093777:15104208:1 gene:Manes.02G188400.v8.1 transcript:Manes.02G188400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTTSLSSTDRSVSSCSDLSVDINSYDRGSHEEGGLHRVQEDVSFGLSGQLHKPRLEAPVNGLHRSYEMTENNLVESHNGRDREIVRDIDIMQTANGQETQEPKDNSLQNPVESFDEGSGASCSGGDEINAQVWDPPEAEDPEDDLEDSVARNDDDDDECGDGTKWGKPSSLSCCRDEESGSFRFKEDKQKTMEEVANGKFKAIVRQLLKNANVATMVKDFESWVDIVSVLSWEAASFLKPDAIDGKRMDPDGYVKVKCIATGSRNESQLVKGLVFKKRAAHKHMPTNYKNPRLLLIRGVLGQSSSGLSSFKSMDLEKDNLKSLMDMIEMCHPNVVLVEKSVSRDLQESILAKGITLVYDMKLHRLERVARCTGSPILSSETLAGQKLKQCDSFHIEKFVEEHAGLGEGGKKPSKTLMFIEGCPTRLGCTILLKGSNSDELKGIKYVVQIAVIMAYHLILETSFLFDWKKMLSAVMLPGARDHYSSVLENADSRISSPKESVAETGPVTVDIPISNGYHVEDSHNLDMGLVGNSMSYVPYNPVIFSGFSSLSASLKKVIGDSFPLASSTPYQSLASYFGLNGKEANDQSIEEVPVIETLEASNHCDMESKDGLDEVKPLDDGERQSLSCSKPVDGGNDVDVDNKYRGQNKDDVNVVSDSQSILVLISSRNALRGTICEQSHFSHIMFYRHFDFPLGKFLWDNLLNQRCQCTTCGELPEAHFYYYAHHNKQLTIRVKRLLKPLPGEAEGKLWMWSRCGKCKHQNGVSKCTKRVLISTAARCLSFGKFLELSFSQHTSFGRSACGHSLERDFLYFFGLGQLAAMFKYSPVTTYTVSLPPQKLEFSHSIRYEGLMREFENVYTKGRLLFSGITDTLNKLRSQFEGSNLNLRGPSKEFSDIEDMLKQEIYEFEATVNNAFDKNGNTNKDNYQFLSINRLLWELLLESWVWEQRLHSLLSPDHSLVRAGAIEKTVDNQVKSNMGGATHERNEGDETVLENSSHESKDMLGNSVEANGFIIKENSLDGARAEDHLPSSSPPTEDVERSNMDDLHQAENIALSRDLEVERTIPIASDVGNSNSVIDSDESRKGTSLHSVVSSLENSNGWFWMPFSEIRQIYMEDLERGFMPKFQSVSSYVQEHISVAYKLISEEGPRLHIPLGPDNYIVRDYDGELSSIIACALAVLKDAPLSLEFFSDDGQKEGGISAKSTDSLYISSVFPNKVSSHWSSNSSSDSDSFQPTLSVSPEESRLSSFDGFNLLESLIPPENVSPEVSLGITKSLGKGKYSVICLYAKQFRDLRSCCCRSEVDYIASLSRCRNWDAKGGKSKSFFAKTLDERFIIKEIKKTEFESFVKFAPDYFKYMKESFELGNQTCLAKVLGIYQVIIRQTKNGKEMRHDLMVMENLSFGRNITRQYDLKGALYARYNSAGDGLGDVLLDQNFVNDMNSSPLYVSNKAKRLLQRAVWNDTTFLNSINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSKHFMCVPDDWCLQRSCDACQLCGVSETGSSQTKPQSKDD >Manes.02G188400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15093751:15104208:1 gene:Manes.02G188400.v8.1 transcript:Manes.02G188400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMCHYCGAQLPKSEDEKRKPVNANSLKLNGDGHNWSCRFCREKQEQGYLKGDGWSLASPMISPTTSLSSTDRSVSSCSDLSVDINSYDRGSHEEGGLHRVQEDVSFGLSGQLHKPRLEAPVNGLHRSYEMTENNLVESHNGRDREIVRDIDIMQTANGQETQEPKDNSLQNPVESFDEGSGASCSGGDEINAQVWDPPEAEDPEDDLEDSVARNDDDDDECGDGTKWGKPSSLSCCRDEESGSFRFKEDKQKTMEEVANGKFKAIVRQLLKNANVATMVKDFESWVDIVSVLSWEAASFLKPDAIDGKRMDPDGYVKVKCIATGSRNESQLVKGLVFKKRAAHKHMPTNYKNPRLLLIRGVLGQSSSGLSSFKSMDLEKDNLKSLMDMIEMCHPNVVLVEKSVSRDLQESILAKGITLVYDMKLHRLERVARCTGSPILSSETLAGQKLKQCDSFHIEKFVEEHAGLGEGGKKPSKTLMFIEGCPTRLGCTILLKGSNSDELKGIKYVVQIAVIMAYHLILETSFLFDWKKMLSAVMLPGARDHYSSVLENADSRISSPKESVAETGPVTVDIPISNGYHVEDSHNLDMGLVGNSMSYVPYNPVIFSGFSSLSASLKKVIGDSFPLASSTPYQSLASYFGLNGKEANDQSIEEVPVIETLEASNHCDMESKDGLDEVKPLDDGERQSLSCSKPVDGGNDVDVDNKYRGQNKDDVNVVSDSQSILVLISSRNALRGTICEQSHFSHIMFYRHFDFPLGKFLWDNLLNQRCQCTTCGELPEAHFYYYAHHNKQLTIRVKRLLKPLPGEAEGKLWMWSRCGKCKHQNGVSKCTKRVLISTAARCLSFGKFLELSFSQHTSFGRSACGHSLERDFLYFFGLGQLAAMFKYSPVTTYTVSLPPQKLEFSHSIRYEGLMREFENVYTKGRLLFSGITDTLNKLRSQFEGSNLNLRGPSKEFSDIEDMLKQEIYEFEATVNNAFDKNGNTNKDNYQFLSINRLLWELLLESWVWEQRLHSLLSPDHSLVRAGAIEKTVDNQVKSNMGGATHERNEGDETVLENSSHESKDMLGNSVEANGFIIKENSLDGARAEDHLPSSSPPTEDVERSNMDDLHQAENIALSRDLEVERTIPIASDVGNSNSVIDSDESRKGTSLHSVVSSLENSNGWFWMPFSEIRQIYMEDLERGFMPKFQSVSSYVQEHISVAYKLISEEGPRLHIPLGPDNYIVRDYDGELSSIIACALAVLKDAPLSLEFFSDDGQKEGGISAKSTDSLYISSVFPNKVSSHWSSNSSSDSDSFQPTLSVSPEESRLSSFDGFNLLESLIPPENVSPEVSLGITKSLGKGKYSVICLYAKQFRDLRSCCCRSEVDYIASLSRCRNWDAKGGKSKSFFAKTLDERFIIKEIKKTEFESFVKFAPDYFKYMKESFELGNQTCLAKVLGIYQTDKKWERDEA >Manes.02G188400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15093777:15104208:1 gene:Manes.02G188400.v8.1 transcript:Manes.02G188400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMCHYCGAQLPKSEDEKRKPVNANSLKLNGDGHNWSCRFCREKQEQGYLKGDGWSLASPMISPTTSLSSTDRSVSSCSDLSVDINSYDRGSHEEGGLHRVQEDVSFGLSGQLHKPRLEAPVNGLHRSYEMTENNLVESHNGRDREIVRDIDIMQTANGQETQEPKDNSLQNPVESFDEGSGASCSGGDEINAQVWDPPEAEDPEDDLEDSVARNDDDDDECGDGTKWGKPSSLSCCRDEESGSFRFKEDKQKTMEEVANGKFKAIVRQLLKNANVATMVKDFESWVDIVSVLSWEAASFLKPDAIDGKRMDPDGYVKVKCIATGSRNESQLVKGLVFKKRAAHKHMPTNYKNPRLLLIRGVLGQSSSGLSSFKSMDLEKDNLKSLMDMIEMCHPNVVLVEKSVSRDLQESILAKGITLVYDMKLHRLERVARCTGSPILSSETLAGQKLKQCDSFHIEKFVEEHAGLGEGGKKPSKTLMFIEGCPTRLGCTILLKGSNSDELKGIKYVVQIAVIMAYHLILETSFLFDWKKMLSAVMLPGARDHYSSVLENADSRISSPKESVAETGPVTVDIPISNGYHVEDSHNLDMGLVGNSMSYVPYNPVIFSGFSSLSASLKKVIGDSFPLASSTPYQSLASYFGLNGKEANDQSIEEVPVIETLEASNHCDMESKDGLDEVKPLDDGERQSLSCSKPVDGGNDVDVDNKYRGQNKDDVNVVSDSQSILVLISSRNALRGTICEQSHFSHIMFYRHFDFPLGKFLWDNLLNQRCQCTTCGELPEAHFYYYAHHNKQLTIRVKRLLKPLPGEAEGKLWMWSRCGKCKHQNGVSKCTKRVLISTAARCLSFGKFLELSFSQHTSFGRSACGHSLERDFLYFFGLGQLAAMFKYSPVTTYTVSLPPQKLEFSHSIRYEGLMREFENVYTKGRLLFSGITDTLNKLRSQFEGSNLNLRGPSKEFSDIEDMLKQEIYEFEATVNNAFDKNGNTNKDNYQFLSINRLLWELLLESWVWEQRLHSLLSPDHSLVRAGAIEKTVDNQVKSNMGGATHERNEGDETVLENSSHESKDMLGNSVEANGFIIKENSLDGARAEDHLPSSSPPTEDVERSNMDDLHQAENIALSRDLEVERTIPIASDVGNSNSVIDSDESRKGTSLHSVVSSLENSNGWFWMPFSEIRQIYMEDLERGFMPKFQSVSSYVQEHISVAYKLISEEGPRLHIPLGPDNYIVRDYDGELSSIIACALAVLKDAPLSLEFFSDDGQKEGGISAKSTDSLYISSVFPNKVSSHWSSNSSSDSDSFQPTLSVSPEESRLSSFDGFNLLESLIPPENVSPEVSLGITKSLGKGKYSVICLYAKQFRDLRSCCCRSEVDYIASLSRCRNWDAKGGKSKSFFAKTLDERFIIKEIKKTEFESFVKFAPDYFKYMKESFELGNQTCLAKVLGIYQVIIRQTKNGKEMRHDLMVMENLSFGRNITRQYDLKGALYARYNSAGDGLGDVLLDQNFVNDMNSSPLYVSNKAKRLLQRAVWNDTTFLNSINVMDYSLLVGVDTERRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSKHFMCVPDDWCLQRSCDACQLCGVSETGSSQTKPQSKDD >Manes.17G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24264551:24265859:-1 gene:Manes.17G046000.v8.1 transcript:Manes.17G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSSFSIICILHSLIAITSGSLMMFHMKEIYTFTHGLDIAIKLMGSTPHDQLLIRTSDSFSGLLLFAIGFLIFMVSFVKDRDFQSFFAKGCTVLHFFMALWRVHVERRVDVLAWDWMRQTVGDILLALSWVFFLVYSWRENSVD >Manes.06G006300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1092707:1094260:-1 gene:Manes.06G006300.v8.1 transcript:Manes.06G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFGTIEKVATFPSIVFLIFLWLWWWNRRRSSIIIDWPVFGMIPSLLCNFYRIHDYATYILQRSGGTFSFEGPWFSGMNFIIVSDPINVHYILSKNFSNYHKGPEFKQIFEPLGEGIFNSDSDSWRIQRQIFHSLLIKNKKFELAVEVTLKQKILHGLFPILENVSQVDIQDLFQRFTFDNICQLVLGFDSNSLSIEFPQIPYQKAFDDMEEAIIYRHAVPNSIWKLQKWLQIGKEHKLKKAWKIFDDFLEQCITRKREQSGQSCRAQMEGEDFDLLTYFLVEGDEFAEAAARGGIHIKSNKFLRDMATSLLVAGRDTVGASLVWLFWLVGTHASVEKKILEEIKSNIGEKTGEKWRAFSIEEVRKLVYLHAVICEVLRLYPPIPFEHKVSIEKDIFPSGHSVPRNMRILFSFYSMGRMEEIWGKDCLEFKPERWISEGGGIKHVPSYKFIAFNAGPRSCLGKELSFIQTKIIAASVIWNYSLKVVENHPVSPNVSVVLYMKKGLKVNVFKRFAA >Manes.01G246300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40642705:40645782:-1 gene:Manes.01G246300.v8.1 transcript:Manes.01G246300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVALTPIHNSSVLSSDCAKAQPFPCSDEYILIYLSVGGSMMPMRVLESDSIESVKLRIQSCKGFVVKNQKLVCGGRELSRSNSLIRDYGVTDGNVLHLVLRLSDLQVIKVKTTSGKEYTFCVERGRDVGYVKQQVAKKEREFDDLDKQEVVCDGEPLDDQSLIHDICKHSNDTVIHFLVRKSAKVRTRPVEKNLELSIVAPQLNDEGNPRKQCDVGEANDRRSYEVTILRKPPERDFLLKPIVVNPKIEFPSVIWNMINATFDGLECGNSPIRSMEGTGGAYFMQDSCGQKFVSVFKPIDEEPMGVNNPRGLPLSSDGEGLKKGTRVGEGAFREVAAYILDHPESGRRSLFGDEKGFAGVPPTVMVKCFHKGFNHPDDVVVKVGSLQMFMDNNGSCEDMGPGAFPVKEVHKISVLDIRMANADRHAGNILLSRDSEDGQTVLIPIDHGYCFPESFEDCTFEWLYWPQARRPFDSSTINYIKSLDAEEDISLLKFHGWDMPVECARILRISTMLLKKGVEMGLTPFDIGGIMCRETLKKASIIEEIVQEARDSVLPGTSEVAFLEAVSHIMDRRLDEIAGSLP >Manes.03G126301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25444111:25445362:1 gene:Manes.03G126301.v8.1 transcript:Manes.03G126301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLLLIFALFTATVLKGSHASVPPELYWQSMLPNTPFPKTLKDFLHPADIGKKITFSFQEDYMPENSLEIPRTTYDVAYWPDNRKFVKKSISNATTVYFLYHDLLPGKNMRIIFTKSTNGSNFLPRKIAESIPFSSNKFPEILNYFSIKSTSKESEIMKQTIEECEAPPIRGEDKYCATSLESLVDLVAAKFGQNVQAIYNEAEEENKKQEYTILQGIKMMGENHMVCHKQKYAYAVFYCHRIKDTKVYKVPLMGVDGSKAEAAVVCHMDTSAWHPHHYAFQILNVKPGGPPICHFLNSDAIVWISSS >Manes.01G179700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35886170:35892018:-1 gene:Manes.01G179700.v8.1 transcript:Manes.01G179700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVDQAENRTQLEATSDIVDALLEAARYDDIDDIRSLASEGVSLDSKDSLGRTALHMAAANGNLDIVEYLISQGVDLNASNEEKNTPLHWACLNGCIEVVKKLILAGASLSTLNCHERTPIDEAVSQGKMEIVDAINASVAQLELAGVQVS >Manes.01G179700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35886170:35892018:-1 gene:Manes.01G179700.v8.1 transcript:Manes.01G179700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVDQAENRTQLEATSDIVDALLEAARYDDIDDIRSLASEGVSLDSKDSLGRTALHMAAANGNLDIVEYLISQGVDLNASNEEKNTPLHWACLNGCIEVVKKLILAGASLSTLNCHERTPIDEAVSQGKMEIVDAINASVAQLELAGVQVS >Manes.01G179700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35886170:35892018:-1 gene:Manes.01G179700.v8.1 transcript:Manes.01G179700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVDQAENRTQLEATSDIVDALLEAARYDDIDDIRSLASEGVSLDSKDSLGRTALHMAAANGNLDIVEYLISQGVDLNASNEEKNTPLHWACLNGCIEVVKKLILAGASLSTLNCHERTPIDEAVSQGKMEIVDAINASVAQLELAGVQVS >Manes.05G133800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23538287:23546027:1 gene:Manes.05G133800.v8.1 transcript:Manes.05G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SBE1 MLGSLGLFPAPDFGSLSPSLAKNSKRAVERNCQIVKQKQIELTGCRKLPGCSRFLFLPRISIDKRVKQGLAISAAVADEKKTITSFEEDMEITGLLSIDPGLESFKDHFRYRMQRFTNQKQLIEKYEGGLEEFSKGYLKFGFNREAGGIVYREWAPAAQEAQVIGDFNGWDGSNHRMEKNEFGVWSINIPDSGGNPAIHHNSRVKFRFKHGDGVWVDRIPAWIRYATVDPTKFGAPYDGVYWDPPPPERYQFKYPRPPKAQAPRIYEAHVGMSSSEPRINTYREFADDVLPRIRANNYNTVQLMAVMEHSYYGSFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLSVLMDVVHSHASNNITDGLNGFDVGQSTQDSYFHTGDRGYHKLWDSRLFNYANWEVIRFLLSNLRWWLEEYKFDGFRFDGVTSMLYHHHGINMAFTGDYNEYFSEATDIDAVVYLMLANSLIHNILPDATVIAEDVSGMPGLGRSVSEGGIGFDYRLAMAIPDKWIDYLKNKSDEEWSMKEISWSLTNRRYTEKCVAYAESHDQAIVGDKTVAFLLMDKEMYYGMSCLTDASPMVDRGVALHKMVHLLTMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYDKCRRQWNLVDTEHLRYRFMNAFDKAMNLLDEKYSFLASTKQIVSSTNEEDKVIVFERGDLVFVFNFHPENTYDGYKVGCDLPGKYRVALDSDAWEFGGRGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFKILSAARTCVVYYRVEEKEGNHNSSDIGAANETLTDIAKLGDFEGINETSPADAVAKQEDLKAAQPSLIADDIATKANTETEEIEEETSDDK >Manes.09G098300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29666938:29673776:1 gene:Manes.09G098300.v8.1 transcript:Manes.09G098300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKSVMAVIRAARPSFKNNADKVVFAVHASFLAAGYVLTATGPPAFSDTALYSSSTDEVGIEHWNDSDNEYAFVYLNPEKGGNKVLVKCLVMDDKLLIDALADGASEPVHLEINVIDFVAENGGGNYSAQFKNMEKLVKNLDAEILTKLDGSSSKARSSSNKSKTKTSDGSSRGRGINEPGVRITEPAGPQIHPSGIIYPPVNPVGGSDLFPGPGAGMYPTRGGFGGGSMLLGPNDPQWLGGEQNFPGGQPRGVPPGARFDPFGPPGVPGFEPNRFVRNPRRPGRDTHPDLEFFSSGSDFI >Manes.09G098300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29666938:29673776:1 gene:Manes.09G098300.v8.1 transcript:Manes.09G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKSVMAVIRAARPSFKNNADKVVFAVHASFLAAGYVLTATGPPAFSDTALYSSSTDEVGIEHWNDSDNEYAFVYLNPEKGGNKVLVKCLVMDDKLLIDALADGASEPVHLEINVIDFVAENGGGNYSAQFKNMEKLVKNLDAEILTKLDGSSSKARSSSNKSKTKTSDGSSRGRGINEPGVRITEPAGPQIHPSGIIYPPVNPVGGSDLFPGPGAGMYPTRGGFGGGSMLLGPNDPQWLGGEQNFPGGQPGVPPGARFDPFGPPGVPGFEPNRFVRNPRRPGRDTHPDLEFFSSGSDFI >Manes.09G098300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29666938:29671799:1 gene:Manes.09G098300.v8.1 transcript:Manes.09G098300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKSVMAVIRAARPSFKNNADKVVFAVHASFLAAGYVLTATGPPAFSDTALYSSSTDEVGIEHWNDSDNEYAFVYLNPEKGGNKVLVKCLVMDDKLLIDALADGASEPVHLEINVIDFVAENGGGNYSAQFKNMEKLVKNLDAEILTKLDGSSSKARSSSNKSKTKTSDGSSRGRGINEPGVRITEPAGPQIHPSGIIYPPVNPVGGSDLFPGPGAGMYPTRGGFGGGSMLLGPNDPQWLGGEQNFPGGQPGVPPGARFDPFGPPGVPGFEPNRFVRYITFFSLKMNKIYR >Manes.11G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23925408:23927146:-1 gene:Manes.11G104700.v8.1 transcript:Manes.11G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFAPYEVHECRGVLRVYSDGSIWRSTEPSFKVPVHDDGSVLWKDVLFDPVNNLHLRLYKPASSSTKLPIFYYIHGGGFCIGSRTWPNCQNYCFKLCSELQAVIVAPDYRLAPENRLPAAIDDGFLAVKWLQRQAVSEEADTWLTEVADFGKVFISGDSAGGNIAHHLAVRLEAGSPELAPIQVRGYVLLAPFFGGTARAKSEAEGPKDAFLNLELIDRFWKLSIPIGETTDHPLVNPFGPDSKSLESVKLDPILVVVGGSDLLKDRAKDYAERLKTWGTKIEYVEFEEQQHGFFTIYPNDEAASMLMLVIKTFISENSC >Manes.10G025500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2556156:2562317:-1 gene:Manes.10G025500.v8.1 transcript:Manes.10G025500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGTGRDERLNMEEMAKEKFVFMWGYLPGALPQRAPILSPVVVRPDEYTWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTESSIVKAAAGWAHCVAATDSGEVYTWGWKECVPSGKVFGESSVPGAVERDVIPRQSPFLTEQVSPRSQGSRSTGGIDSRGIGDESTKRRRISSVKQTAESSSSNDETLSAFPCLVALNPGVRIATVAAGGRHTLALSVSDIGQVWGWGYGGEGQLGLGSRVRMVSSPHPVHCINSSYGKDITGALSRGGMASEGHGYRVPGSYIKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPICVSALLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGTDQAETTPRLLDAPCLEDAHAKIISCGARHTAIITEDEKVFCWGWNKYGQLGLGDVIDRNIPSPVTIEGCVPRNVACGWWHTLLLAESPA >Manes.07G101000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30601894:30602959:1 gene:Manes.07G101000.v8.1 transcript:Manes.07G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNQKLCFFLLFFFHHCSNFSTSSAEASNANHQLPKRFFDITAPGSAPAPTPDVPKPAPGSAPAPTPDVPKPAPHSAPAPSPNTTNVHPPPPPPPPVVLPPPPSDSPLPSFETGQEKGSSSKLSGGQKAGIVIGTLAGTGILAFGGCIYMKRRNNVNRARLASSARIPVL >Manes.10G077600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17941400:17951542:-1 gene:Manes.10G077600.v8.1 transcript:Manes.10G077600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPQPGSVTICEINRDLITADSLSDDRAKDTYGKILGMVFSPIPFQADQLASTSAELETQEQGRPCGENAETNGLSKGFKSFAIDSIKRIFHPNYVNMLPEVDFKGLSWHQHKHIIAFISGRNQVIVRDYEDSDGKDACILTCDSQRDIKVLEWRPNGGKSLSVACKGGICIWAASYPGNVASVRSGTASYLGTLSRGAGTRWTLVDFLQSHNDEQISALSWSPDGRYLASASYQSSSFTIWDVAQGLGTPIRRGLGCISMLKWSPIGDYFFAAKFDGTFYLWETNTWTSETWSSTSGFVTGATWDPDGRMILIAFSGSATLGSIHFASKPPSLDAHLLPVDLPEIMSLTGSHGIEKIAWDASGERLAVSYKGGDDVYKGLIAIYDARRTPLISASLIGFIRGPGDNPKPIAFSFHDKFKQGPLLSVCWSSGFCCTYPLIFHSHSLS >Manes.10G077600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17941516:17951532:-1 gene:Manes.10G077600.v8.1 transcript:Manes.10G077600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPQPGSVTICEINRDLITADSLSDDRAKDTYGKILGMVFSPIPFQADQLASTSAELETQEQGRPCGENAETNGLSKGFKSFAIDSIKRIFHPNYVNMLPEVDFKGLSWHQHKHIIAFISGRNQVIVRDYEDSDGKDACILTCDSQRDIKVLEWRPNGGKSLSVACKGGICIWAASYPGNVASVRSGTASYLGTLSRGAGTRWTLVDFLQSHNDEQISALSWSPDGRYLASASYQSSSFTIWDVAQGLGTPIRRGLGCISMLKWSPIGDYFFAAKFDGTFYLWETNTWTSETWSSTSGFVTGATWDPDGRMILIAFSGSATLGSIHFASKPPSLDAHLLPVDLPEIMSLTGSHGIEKIAWDASGERLAVSYKGGDDVYKGLIAIYDARRTPLISASLIGFIRGPGDNPKPIAFSFHDKFKQGPLLSVCWSSGFCCTYPLIFHSHSLS >Manes.10G077600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17941796:17951532:-1 gene:Manes.10G077600.v8.1 transcript:Manes.10G077600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPQPGSVTICEINRDLITADSLSDDRAKDTYGKILGMVFSPIPFQADQLASTSAELETQEQGRPCGENAETNGLSKGFKSFAIDSIKRIFHPNYVNMLPEVDFKGLSWHQHKHIIAFISGRNQVIVRDYEDSDGKDACILTCDSQRDIKVLEWRPNGGKSLSVACKGGICIWAASYPGNVASVRSGTASYLGTLSRGAGTRWTLVDFLQSHNDEQISALSWSPDGRYLASASYQSSSFTIWDVAQGLGTPIRRGLGCISMLKWSPIGDYFFAAKFDGTFYLWETNTWTSETWSSTSGFVTGATWDPDGRMILIAFSGSATLGSIHFASKPPSLDAHLLPVDLPEIMSLTGSHGIEKIAWDASGERLAVSYKGGDDVYKGLIAIYDARRTPLISASLIFEFEYSGFIRGPGDNPKPIAFSFHDKFKQGPLLSVCWSSGFCCTYPLIFHSHSLS >Manes.15G141900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11504101:11505548:-1 gene:Manes.15G141900.v8.1 transcript:Manes.15G141900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVLNILASPAKAAAFMLVVLLLNTACHCQAQLTSTFYETSCPNALGTIRTSIRNSIAAERRMAASLVRLHFHDCFVQGCDASILLDETPSVESEKTALPNKDSARGFQVIEKAKAEVEKICPGVVSCADVVAVAARDASAYVGGPSWTVMLGRRDSTTASRTLANSELPSFKASLDSLISSFQKKGLSARDMVALSGSHTLGQAQCFTFRDRIYSNGSDIDAGFASTRKRSCPEVGGDSNLAPLDLVTPNAFDNTYFKNLIQRKGLLESDQILFSGGSTDSIVSEYSRIPATFSSDFASAMIKMGNINPLTGTAGEIRKICNAVN >Manes.07G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3258600:3259191:-1 gene:Manes.07G030100.v8.1 transcript:Manes.07G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMMKLLVILSLIAVGSISFSFGAEFEKLTSKEEKKGKFGYLHSKNHPRRTRNSDEMDSESIEIDNLEAISPQFVDGNFRNIHSPRILTEAETSDSRRAGQPGH >Manes.02G138400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10583827:10588353:1 gene:Manes.02G138400.v8.1 transcript:Manes.02G138400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSHLSPIISPTFFALKLSLIPIFSLSSYSSSSSSHVHEISPPKTRKPYSLSLGFKPLPTMVGHPCPKASFSSGSVSVDAREILVQHLLVKEDDLNLLVELQKRISAGEDLSDLALEYSMCPSKAEGGMLGWVRKGDMVPEFEEAAFNAPLNKVVKCKTKFGWHLLQVLSEREESSLQDIQPDELHVKMQDPKFVEEVQLIDVREPDEVFSARF >Manes.02G138400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10583827:10588353:1 gene:Manes.02G138400.v8.1 transcript:Manes.02G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSHLSPIISPTFFALKLSLIPIFSLSSYSSSSSSHVHEISPPKTRKPYSLSLGFKPLPTMVGHPCPKASFSSGSVSVDAREILVQHLLVKEDDLNLLVELQKRISAGEDLSDLALEYSMCPSKAEGGMLGWVRKGDMVPEFEEAAFNAPLNKVVKCKTKFGWHLLQVLSEREESSLQDIQPDELHVKMQDPKFVEEVQLIDVREPDEVAKASLPGFEVLPLRQFGSWGPEITTKLDPQKDTYLMCHHGMRSLQVAKWLQTQGFRRVFNVAGGIHAYAMTADPSIPTY >Manes.02G138400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10583827:10586560:1 gene:Manes.02G138400.v8.1 transcript:Manes.02G138400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSHLSPIISPTFFALKLSLIPIFSLSSYSSSSSSHVHEISPPKTRKPYSLSLGFKPLPTMVGHPCPKASFSSGSVSVDAREILVQHLLVKEDDLNLLVELQKRISAGEDLSDLALEYSMCPSKAEGGMLGWVRKGDMVPEFEEAAFNAPLNKVVKCKTKFGWHLLQVLSEREESSLQDIQPDELHVKMQDPKFVEEVQLIDVREPDEVAKASLPGFEVLPLRQFGSWGPEITTKLDPQKDTYLMVCTSIQFQ >Manes.18G003400.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732433:737852:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGVQPLNLIFCP >Manes.18G003400.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732437:737851:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWFSLSTLFFAHRENTCSNYFLL >Manes.18G003400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732420:737866:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWFSLSTLFFAHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLFSPISGIDSLKESDEPIGYQVGSFAEYYLSQELGISKSRLVPLGSPEAYARALELGPKKGGVAAVVDELPYVELFLSTQCTFRIVGQEFTKSGWGFAFPRDSPLAIDMSTAILALSENGDLQRIHDKWLMHSGCSSDSTELESDRLQLKSFSGLFLICGLACFLALFIYFLQIIRQLYSAPTVEAASPLQGSSRSGRLHRLLSLMDEKEDQSRQKNKRRKVERSLSENDGDAKSGSNTKRKGIEMTSGSNINPIS >Manes.18G003400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:731522:737824:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWFSLSTLFFAHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLFSPISGIDSLKESDEPIGYQVGSFAEYYLSQELGISKSRLVPLGSPEAYARALELGPKKGGVAAVVDELPYVELFLSTQCTFRIVGQEFTKSGWGFAFPRDSPLAIDMSTAILALSENGDLQRIHDKWLMHSGCSSDSTELESDRLQLKSFSGLFLICGLACFLALFIYFLQIIRQLYSAPTVEAASPLQGSSRSGRLHRLLSLMDEKEDQSRQKNKRRKVERSLSENDGDAKSGSNTKRKGIEMTSGNHLNHVISHDHGQ >Manes.18G003400.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732433:737853:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGVQPLNLIFCP >Manes.18G003400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732430:737852:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWFSLSTLFFAHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLFSPISGIDSLKESDEPIGYQVGSFAEYYLSQELGISKSRLVPLGSPEAYARALELGPKKGGVAAVVDELPYVELFLSTQCTFRIVGQEFTKSGWGFAFPRDSPLAIDMSTAILALSENGDLQRIHDKWLMHSGCSSDSTELESDRLQLKSFSGLFLICGLACFLALFIYFLQIIRQLYSAPTVEAASPLQGSSRSGRLHRLLSLMDEKEDQSRQKNKRRKVERSLSENDGDAKSGSNTKRKGIEMTSGSNINPIS >Manes.18G003400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732437:737851:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWGKHLQQLFSPISGIDSLKESDEPIGYQVGSFAEYYLSQELGISKSRLVPLGSPEAYARALELGPKKGGVAAVVDELPYVELFLSTQCTFRIVGQEFTKSGWGFAFPRDSPLAIDMSTAILALSENGDLQRIHDKWLMHSGCSSDSTELESDRLQLKSFSGLFLICGLACFLALFIYFLQIIRQLYSAPTVEAASPLQGSSRSGRLHRLLSLMDEKEDQSRQKNKRRKVERSLSENDGDAKSGSNTKRKGIEMTSGSNINPIS >Manes.18G003400.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732433:737853:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGVQPLNLIFCP >Manes.18G003400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732430:737851:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWFSLSTLFFAHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLFSPISGIDSLKESDEPIGYQVGSFAEYYLSQELGISKSRLVPLGSPEAYARALELGPKKGGVAAVVDELPYVELFLSTQCTFRIVGQEFTKSGWGFAFPRDSPLAIDMSTAILALSENGDLQRIHDKWLMHSGCSSDSTELESDRLQLKSFSGLFLICGLACFLALFIYFLQIIRQLYSAPTVEAASPLQGSSRSGRLHRLLSLMDEKEDQSRQKNKRRKVERSLSENDGDAKSGSNTKRKGIEMTSGSNINPIS >Manes.18G003400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732437:737824:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWGKHLQQLFSPISGIDSLKESDEPIGYQVGSFAEYYLSQELGISKSRLVPLGSPEAYARALELGPKKGGVAAVVDELPYVELFLSTQCTFRIVGQEFTKSGWGFAFPRDSPLAIDMSTAILALSENGDLQRIHDKWLMHSGCSSDSTELESDRLQLKSFSGLFLICGLACFLALFIYFLQIIRQLYSAPTVEAASPLQGSSRSGRLHRLLSLMDEKEDQSRQKNKRRKVERSLSENDGDAKSGSNTKRKGIEMTSGSNINPIS >Manes.18G003400.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732433:737826:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWGKHCEHLGSLCANYMAICGFNNQLKLHCKSDINSHSAAIIFSYKRD >Manes.18G003400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:732433:737853:-1 gene:Manes.18G003400.v8.1 transcript:Manes.18G003400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVLLSFFFAVCGLFSSIHSRNFTSRPAVVNIGAIFSFETTIGRVAKIAIEEAVKDVNANSSILRGSKLVVSMHSSNCSGFTGMVEALRFMETDAVAIIGPQSSVVAHIISQVVNELRVPLLSFAATDPTLDSLQFPFFVRTTRSDLYQMAAIAEIVDYYGWKQVIAIFIDDDNGRNGILALSDKLAERRSKISYKVGIPPDSGVTRGDIMDILVKVALMEPRVVVLHVNPKLGFSIFSVAKYLGMMSNGYVWIATDWLSSYLDSSSPLPSETMNIMQGVLALRQYTPDSDRKRSFSSRWSNLTGGSLGLNSYGLYAYDSVWLVAHAIDAFFEQGGVISFSNDSRLRSEGGDLHLDAMSIFDDGLLLLKNVLRSDLVGLTGPLKFDSDRSLILPAYDVINVIGTGYRQIGYWSNYSGLSTVPPETFYMRPPNRSSANQQLYSVIWPGETVLKPRGWVFPNNGKQLRIGVPIRVSFKEFVTKVQGTDMFKGFCIDVFTAAVSLLPYAVPYQFIPFGNGKENPSYTELVNLITTGFFDAVVGDIAIVTNRTKIVDYTQPFVASGLVVVAPFRKLNSGAWAFLLPFSPLMWIVTACFFIGVGTVVWILEHRINDEFRGPPKRQIITILWGKHCEHLGSLCANYMAICGFNNQLKLHCKSDINSHSAAIIFSYKRD >Manes.09G028751.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6136841:6137083:1 gene:Manes.09G028751.v8.1 transcript:Manes.09G028751.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVCFSPSLSLAFGDFTSSLHLACMQLVRSSFCWRRFDLLTIYMCSTP >Manes.09G028751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6136363:6137261:1 gene:Manes.09G028751.v8.1 transcript:Manes.09G028751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVCFSPSLSLAFGDFTSSLHLACMQLVRSSFCWRRFDLLTIYMCSTP >Manes.05G156800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26921339:26921828:-1 gene:Manes.05G156800.v8.1 transcript:Manes.05G156800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLTSSFLQINPLSPPPTIKKVKFSAVGNSALQVTCRKKDIHPQFYEDAKVYCNGELVMTTGGTKKEYVVDVWSGNHPFYLGNRSAVVVDADQVEKFRKKFGELSQIMEIPVLKGEIVLPSRRKSAGKGKKK >Manes.08G163900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39885089:39896567:1 gene:Manes.08G163900.v8.1 transcript:Manes.08G163900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENYHVIELVGEGSFGKVYKGRRKFTGQTVAMKFIMKHGKSEKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDDMSPNFKSFLKGLLNKVPQNRLTWPALLEHPFIKETLDEMEARETRAATAAARGCDAAWRGEGNIIQASADVAVSSPEGRSNSVAAVQNGNSPKLHNDCRLNSPNAATGNSSPHEEFPGFASPNDVKQPGSQALDRLENNSRTVKGAQIIGQDNEALALVLLPLKRWSKESQHSCRDQDLPTSNQSLRILSNLAAAGAIQSCRLLDEILCVLLDFTGIIISLKSLELNDLIAKSFAITKLLLDNKGDGFGASYFTHWVALSENFVQVVGSNEDTSGRVLYEASACITVVLSRVAQGLQATSLNSSPEAVSTPVMSETMKRILDHAKTCGLVEHLCLSLATSGSSLISGSSNMLRAACEACRAIWSLIDAVETLFMKSNVYSFPLNALWSHSLTRLEIRDQERGSLTGTDSSRIIDAVTRAFLKSKAVQVAIYYCLHQRLEAVLSASIQLLSRCCLHSAIVPGVLCGLPSSLPVTTVVSGGGDGTIVSEIFSILSLCPSSNKDNVMGETNNFKSKLVNPSALIMHSCLILATIAQCLKSTGRNSALFMLTTSPKKQLSRLSVIAHQFSHDDRTKNSLQPHCASAMLALASILSLESGASVESSISETSLPLIPRTGTICEHLKISTGNESELGPNKANSVISYWHGLRDGCVGLLESRLKWGGPLAVQQLCASGIPLLLIDLLTNNHLTASPQGMDGTKDRVGLSPLGVIWTISSICYCLPGGTSIFRQVLVRSEHVKLIAELISDVHLKLVKCWSGPGGGNDGVRDITNAVIDLLAFPFVAIQSAPGLPSATASVNSGFLLSMGSPGGRICMEDKNMVKAIEEDMGKYLKILLEVGVPSIILRCIEHLELKDLGRPVAFLAKMVAHRPLAVQLVGKGLLDPNRMRRLLDNSSPREVMLDAVMIVSDLARMDKGFYDHINAASILEFLKEFLVHEDPNVRAKACSALGNMCRHSSYFYGSLATHQIIGLLIDRCADPDKRTRKFACFAIGNAAYHNDMLYDELRRSIPQLANLLLSTEEDKTKANAAGALSNLVRNSNKLCEDIVSKGAMQALLKLVADCSAMALNPSRRDAVNESPLKIALFSLAKMCAHAPCRQFLRSSELFPVIGQLRQSPESTIANYASVIMSKVANA >Manes.08G163900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39885140:39896567:1 gene:Manes.08G163900.v8.1 transcript:Manes.08G163900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENYHVIELVGEGSFGKVYKGRRKFTGQTVAMKFIMKHGKSEKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEILEDDKCLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDDMSPNFKSFLKGLLNKVPQNRLTWPALLEHPFIKETLDEMEARETRAATAAARGCDAAWRGEGNIIQASADVAVSSPEGRSNSVAAVQNGNSPKLHNDCRLNSPNAATGNSSPHEEFPGFASPNDVKQPGSQALDRLENNSRTVKGAQIIGQDNEALALVLLPLKRWSKESQHSCRDQDLPTSNQSLRILSNLAAAGAIQSCRLLDEILCVLLDFTGIIISLKSLELNDLIAKSFAITKLLLDNKGDGFGASYFTHWVALSENFVQVVGSNEDTSGRVLYEASACITVVLSRVAQGLQATSLNSSPEAVSTPVMSETMKRILDHAKTCGLVEHLCLSLATSGSSLISGSSNMLRAACEACRAIWSLIDAVETLFMKSNVYSFPLNALWSHSLTRLEIRDQERGSLTGTDSSRIIDAVTRAFLKSKAVQVAIYYCLHQRLEAVLSASIQLLSRCCLHSAIVPGVLCGLPSSLPVTTVVSGGGDGTIVSEIFSILSLCPSSNKDNVMGETNNFKSKLVNPSALIMHSCLILATIAQCLKSTGRNSALFMLTTSPKKQLSRLSVIAHQFSHDDRTKNSLQPHCASAMLALASILSLESGASVESSISETSLPLIPRTGTICEHLKISTGNESELGPNKANSVISYWHGLRDGCVGLLESRLKWGGPLAVQQLCASGIPLLLIDLLTNNHLTASPQGMDGTKDRVGLSPLGVIWTISSICYCLPGGTSIFRQVLVRSEHVKLIAELISDVHLKLVKCWSGPGGGNDGVRDITNAVIDLLAFPFVAIQSAPGLPSATASVNSGFLLSMGSPGGRICMEDKNMVKAIEEDMGKYLKILLEVGVPSIILRCIEHLELKDLGRPVAFLAKMVAHRPLAVQLVGKGLLDPNRMRRLLDNSSPREVMLDAVMIVSDLARMDKGFYDHINAASILEFLKEFLVHEDPNVRAKACSALGNMCRHSSYFYGSLATHQIIGLLIDRCADPDKRTRKFACFAIGNAAYHNDMLYDELRRSIPQLANLLLSTEEDKTKANAAGALSNLVRNSNKLCEDIVSKGAMQALLKLVADCSAMALNPSRRDAVNESPLKIALFSLAKMCAHAPCRQFLRSSELFPVIGQLRQSPESTIANYASVIMSKVANA >Manes.05G063900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5327314:5335592:-1 gene:Manes.05G063900.v8.1 transcript:Manes.05G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQDVKRRTKDADQPDTTTDAKNEDMRTKREKRMAMAKRGIKSLGVAVALPLALSLFNIYFFGSRNGYGNISKPFWFPPMWALHFACLSSTFLMGLSAWLVWAEGGFHKEPTTLSLYLAQLGLSFAWEPIVFRMGATWFGLVVCLAMFGALVGCSRKFMKLNPIAGDLVKPSLAWATVVAIVNLKLALV >Manes.01G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31818741:31823450:-1 gene:Manes.01G122400.v8.1 transcript:Manes.01G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASESDVSVHSTFASRYVRASLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPAKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLLDKNKQTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWKTKDDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNIMENCRDNMLVLKEGLEKTGRFNIVSKDNGVPLVAFSLKDNSCHNEFEISEMLRKFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDTLPSRVAAKISAAEEIQKDGTTLVKKKSDLETQREITTIWRKFVRERKKTNGVC >Manes.06G008000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1285772:1294644:1 gene:Manes.06G008000.v8.1 transcript:Manes.06G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNLVDGVRRWFQRRNTSSSSVSSSNTVNCGDNNNNISGQPQSSATVVRERLSEEGVGEQQLEEENQLKVIEDFDFSGLKHIKIPKRNTHFAFGFAATTTTTMDAHKKGSAEADFFTEYGEASRYQVQEVVGKGSYGVVASATDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLKHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKARRYLSNMRKKQPVPFTQKFPNVDPLALSLLEKLLAFDPKDRPTAEAALAYPYFQGLANVDREPSSTQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLQEYLQGGEQTSFMYPSGVDRFKRQFAHLEENYGQGGKGGKGGKGERGTPLLRQHASLPRERVPAPKEKTSEKNNDSSSQTSSSVATNGSENAVVTQNGSSTPNRTNRSLLKSASISASKCIGVQSQMDIQETIDELNSEFESDSSEKIANENLHA >Manes.06G008000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1285772:1294644:1 gene:Manes.06G008000.v8.1 transcript:Manes.06G008000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNLVDGVRRWFQRRNTSSSSVSSSNTVNCGDNNNNISGQPQSSATVVRERLSEEGVGEQQLEEENQLKVIEDFDFSGLKHIKIPKRNTHFAFGFAATTTTTMDAHKKGSAEADFFTEYGEASRYQVQEVVGKGSYGVVASATDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLKHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKIRNEKARRYLSNMRKKQPVPFTQKFPNVDPLALSLLEKLLAFDPKDRPTAEAALAYPYFQGLANVDREPSSTQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLQEYLQGGEQTSFMYPSGVDRFKRQFAHLEENYGQGGKGGKGGKGERGTPLLRQHASLPRERVPAPKEKTSEKNNDSSSQTSSSVATNGSENAVVTQNGSSTPNRTNRSLLKSASISASKCIGVQSQMDIQETIDELNSEFESDSSEKIANENLHA >Manes.06G008000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1285772:1294644:1 gene:Manes.06G008000.v8.1 transcript:Manes.06G008000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNLVDGVRRWFQRRNTSSSSVSSSNTVNCGDNNNNISGQPQSSATVVRERLSEEGVGEQQLEEENQLKVIEDFDFSGLKHIKIPKRNTHFAFGFAATTTTTMDAHKKGSAEADFFTEYGEASRYQVQEVVGKGSYGVVASATDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLKHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESIARIRNEKARRYLSNMRKKQPVPFTQKFPNVDPLALSLLEKLLAFDPKDRPTAEAALAYPYFQGLANVDREPSSTQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLQEYLQGGEQTSFMYPSGVDRFKRQFAHLEENYGQGGKGGKGGKGERGTPLLRQHASLPRERVPAPKEKTSEKNNDSSSQTSSSVATNGSENAVVTQNGSSTPNRTNRSLLKSASISASKCIGVQSQMDIQETIDELNSEFESDSSEKIANENLHA >Manes.15G173066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15258411:15259451:-1 gene:Manes.15G173066.v8.1 transcript:Manes.15G173066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVVVSQELVQIAELEEQVQMLLESTQKNGEEFKEFKEEVRKNSQHTNTILDELRALMIEHVARKGKETGSSSEGGSRSKNRILQLDSMDSKGMLSNQKENQPMPANDKGILGTLPNSFCYNEMTQMLSKIELVSFEGKEPRAWLRKCVKYFEIYRVPAEQRNRGGEHAREEFERGICNKFGDEGLDDIIEGFMKLRQDNTVEEYQDERFVFSLDLLGDLKDKIRLTVKMIKPVSLAQAVEIARLQEQLVDKNQLKGNPNSFKPFKPSNISQTTHVNPANTYSKPYQTYQYSSRPLKTTKH >Manes.11G035700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3469422:3472102:1 gene:Manes.11G035700.v8.1 transcript:Manes.11G035700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACFRPKNKDKKAVEETCLMINGRTLLETSIAFNNGRGSNPTRCFSVKELNSATDNFHPSKIFWDDGPYKLYSGVLQDRPIILKKFEEQRAREYSIREIVFASEFSSHKNVLKLLGCCLETEIPFLVFESEKNGTLHDHIYDPYASNFRPLTWKTRLKIALGVANAIAFLHTAFPKPIIHKDIKPSNILLDEDYVPKLVDFTLSESIPEGHFYVEHALRRENVPAGVLGFLAPEYFMKRLINEKVDIYGFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETLVDPAVLEEEPWPGKELQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.11G035700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3469547:3471548:1 gene:Manes.11G035700.v8.1 transcript:Manes.11G035700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACFRPKNKDKKAVEETCLMINGRTLLETSIAFNNGRGSNPTRCFSVKELNSATDNFHPSKIFWDDGPYKLYSGVLQDRPIILKKFEEQRAREYSIREIVFASEFSSHKNVLKLLGCCLETEIPFLVFESEKNGTLHDHIYDPYASNFRPLTWKTRLKIALGVANAIAFLHTAFPKPIIHKDIKPSNILLDEDYVPKLVDFTLSESIPEGHFYVEHALRRENVPAGVLGFLAPEYFMKRLINEKVDIYGFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETLVDPAVLEEEPWPGKELQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.11G035700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3469602:3471460:1 gene:Manes.11G035700.v8.1 transcript:Manes.11G035700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACFRPKNKDKKAVEETCLMINGRTLLETSIAFNNGRGSNPTRCFSVKELNSATDNFHPSKIFWDDGPYKLYSGVLQDRPIILKKFEEQRAREYSIREIVFASEFSSHKNVLKLLGCCLETEIPFLVFESEKNGTLHDHIYDPYASNFRPLTWKTRLKIALGVANAIAFLHTAFPKPIIHKDIKPSNILLDEDYVPKLVDFTLSESIPEGHFYVEHALRRENVPAGVLGFLAPEYFMKRLINEKVDIYGFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETLVDPAVLEEEPWPGKELQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.11G035700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3469443:3471595:1 gene:Manes.11G035700.v8.1 transcript:Manes.11G035700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACFRPKNKDKKAVEETCLMINGRTLLETSIAFNNGRGSNPTRCFSVKELNSATDNFHPSKIFWDDGPYKLYSGVLQDRPIILKKFEEQRAREYSIREIVFASEFSSHKNVLKLLGCCLETEIPFLVFESEKNGTLHDHIYDPYASNFRPLTWKTRLKIALGVANAIAFLHTAFPKPIIHKDIKPSNILLDEDYVPKLVDFTLSESIPEGHFYVEHALRRENVPAGVLGFLAPEYFMKRLINEKVDIYGFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETLVDPAVLEEEPWPGKELQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.11G035700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3469602:3471460:1 gene:Manes.11G035700.v8.1 transcript:Manes.11G035700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRTLLETSIAFNNGRGSNPTRCFSVKELNSATDNFHPSKIFWDDGPYKLYSGVLQDRPIILKKFEEQRAREYSIREIVFASEFSSHKNVLKLLGCCLETEIPFLVFESEKNGTLHDHIYDPYASNFRPLTWKTRLKIALGVANAIAFLHTAFPKPIIHKDIKPSNILLDEDYVPKLVDFTLSESIPEGHFYVEHALRRENVPAGVLGFLAPEYFMKRLINEKVDIYGFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETLVDPAVLEEEPWPGKELQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.11G035700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3469422:3472102:1 gene:Manes.11G035700.v8.1 transcript:Manes.11G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRTLLETSIAFNNGRGSNPTRCFSVKELNSATDNFHPSKIFWDDGPYKLYSGVLQDRPIILKKFEEQRAREYSIREIVFASEFSSHKNVLKLLGCCLETEIPFLVFESEKNGTLHDHIYDPYASNFRPLTWKTRLKIALGVANAIAFLHTAFPKPIIHKDIKPSNILLDEDYVPKLVDFTLSESIPEGHFYVEHALRRENVPAGVLGFLAPEYFMKRLINEKVDIYGFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETLVDPAVLEEEPWPGKELQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.05G021200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1897177:1897434:-1 gene:Manes.05G021200.v8.1 transcript:Manes.05G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSCSFISCDKLDRVANWVGSNVASAFFASLERCSCINLNTTDLDDDDDEEAKDRPLMLSKPTVHDNPEAYLTAAATTTSVAH >Manes.01G056900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24306991:24313440:-1 gene:Manes.01G056900.v8.1 transcript:Manes.01G056900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELNYLFDVAAPKLMGSDGFSRDRVTLMEVEAAACESSRELCTSLLSQKERTSSANFLESTPELCQHARQLSCFPSEIMSKQHHCSGANGLSRVPRLEKTHVQKKSGQISRNGSGCHKRLQLNLLEHTKGPAAVDDMKDPADKLGSLPTKNDTQEKAHLSRQKNNFNGKRGDRRNFKVSTKAKYDSFSVKASLASFSLAAAGNNFFGVHGLKTDIHDITKLVDDLSLNDLLQGTYECPSLAKDGGKKAANTTEHILHSVRKACSILQLYSSAQIQSFAEIDSCSNEKMPTCQSSSISFVGNGGNGDSTTDLSLSNKDSSSNSDTPANLLSFSFYQPKDMLERLKLPLPKDLESLLLDAAKASVSSRNTSDSRPGKQISRWPSLPPFPWSHTFSGHCRTNSDAVKLLTSRSTCQGRWVKMTYTFSSLRISSNCYANLECFTYDETLVPSSGRKLAVLDSNFGSSTSVAQCEWGSSKAAASMVCHTPLGNTCLKESGVDLKNQGKVEHCPRVLAAAQTLYGIATCSSRLNQDGRMKWPKKPSQKVMKARKSRSNDKHEIKFAQSASQRNVDQRVTTSKRPKMSTSEDKEDFAHINGVQKGPINWSTPKSSRSSPNKSDRDSTAYVVKHSCMMPPPAKVINRTCNSQQKVRRLMRMDWSREE >Manes.01G056900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24306991:24313440:-1 gene:Manes.01G056900.v8.1 transcript:Manes.01G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELNYLFDVAAPKLMGSDGFSRDRVTLMEVEAAACESSRELCTSLLSQKERTSSANFLESTPELCQHARQLSCFPSEIMSKQHHCSGANGLSRVPRLEKTHVQKKSGQISRNGSGCHKRLQLNLLEHTKGPAAVDDMKDPADKLGSLPTKNDTQEKAHLSRQKNNFNGKRGDRRNFKVSTKAKYDSFSVKASLASFSLAAAGNNFFGVHGLKTDIHDITKLVDDLSLNDLLQGTYECPSLAKDGGKKAANTTEHILHSVRKACSILQLYSSAQIQSFAEIDSCSNEKMPTCQSSSISFVGNGGNGDSTTDLSLSNKLQDSSSNSDTPANLLSFSFYQPKDMLERLKLPLPKDLESLLLDAAKASVSSRNTSDSRPGKQISRWPSLPPFPWSHTFSGHCRTNSDAVKLLTSRSTCQGRWVKMTYTFSSLRISSNCYANLECFTYDETLVPSSGRKLAVLDSNFGSSTSVAQCEWGSSKAAASMVCHTPLGNTCLKESGVDLKNQGKVEHCPRVLAAAQTLYGIATCSSRLNQDGRMKWPKKPSQKVMKARKSRSNDKHEIKFAQSASQRNVDQRVTTSKRPKMSTSEDKEDFAHINGVQKGPINWSTPKSSRSSPNKSDRDSTAYVVKHSCMMPPPAKVINRTCNSQQKVRRLMRMDWSREE >Manes.02G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7747786:7754030:1 gene:Manes.02G099600.v8.1 transcript:Manes.02G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSVVKLLSLICLAMFQLINCSVSYDRKAIVINGQRRILFSGSIHYPRSTPDMWEDLIQKAKDGGVDVIETYVFWNVHEPTQGNYDFEGRYDLVRFIKTIHKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVSLMKSENLFESQGGPIILSQIENEYGSQSKLLGAAGYNYMTWAANMAVQTGTGVPWVMCKEEDAPDPIINTCNGFYCDSFSPNKPYKPTIWTEAWSGWFTEFGGPIHQRPVQDLAFAVARFVQKGGSFVNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRSIKMCERALVSTDPIVTELGSSQQAHLYSSESRDCAAFLANYDTQSAARVLFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPANAEMLPWESYDEDISSTDDSSTFTAFGLLEQINVTRDATDYLWYITSVDIGSSESFLHGGELPTLIVRSAGHAVHIFINGELSGSAFGTRKNRRFTYTGKVNLRAGKNKIALLSVTVGLQNVGGHFETWNTGIVGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLVSPNSVSSVGWMEASLVAKRQQPLTWHKAYFNAPDGDEPLALDMEGMGKGQIWINGQSLGRYWTAYATGNCNRCSYAGTFHPPKCQLGCGQPTQRWYHVPRSWLKPTQNLLVVFEELGGNPSRISLVKRSMTSVCAEVAEVHPTIKNWHIESYGRAEELPRPKVHLRCSPGQSISSIKFASFGTPLGTCGSYQQGPCHASTSYDIVEKKCIGKQRCTVTISNSNFGQDPCPNVLKRLSVEAVCAPTNWRG >Manes.08G086533.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:27022305:27022972:-1 gene:Manes.08G086533.v8.1 transcript:Manes.08G086533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGKRRHKKKENKYQRPAAPGGAYPTNKAYRSGAGKGDYSSNKDGGMVIMTNMIAGTAAATDSCGSTCGGGSSACDGGGGGGGCGGGGCGGGRGC >Manes.12G156400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36469400:36477419:-1 gene:Manes.12G156400.v8.1 transcript:Manes.12G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAQMSIPHLFICPISLDLFKDPVTLCTGQTYDRSAIEKWLAAGNLTCPVTMQKLHDLSMVPNHTLRHLIDEWLQMGPQFDPDYSTTVDCLSTLKHNLASQEATLEMKLQALERIEALSEESNPRNSYLLQFGFLPLLLELIFEQVESKLSQQSIEFAEKALSCVLKLLCWGEYKSLNMLKNQTKLKSFNILLEQGTSSIKVSLCHLVEAISSSLETIELYSMLGENRQLLQGLVLLVQQACRASEAGVKAISALCSLESNREKLVEEGVIDGLLTYISNAERHERNMAPKATATIELLLGLEGAKEAVINNPNGVTALVKMVFRVSDHEGSESAVRSLMVICSDSLQAREEAICAGVLTQLLLLLQSQCSGRTKIKARMLLKLLRSK >Manes.15G078550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6031667:6034674:1 gene:Manes.15G078550.v8.1 transcript:Manes.15G078550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQISASAHPPNAKTADVKRRSAGYQPSIWGDHFLSNNYHSLQNTNDGMYEHHAKLKQEVRSVLMMNVDKLSHKIDLIDSIQRLGVSYHFETEIDEILKEISSESDDHINDLYAIALKFRLVRQQGYNMSSDVFNKFKDSQGNFKDALVNDHRGMLSLYEATHLRVHGEDILEEALAFTTAHLESMVTPGMPFAPQITHALKQPIRKGLPRLEARRYFSIYEGESSCNPVLLSFAKLDFNILQKQHQKELSDIAKWWKELDFANKLPFARDRIVECYFWILGVYFEPEYSPARRILTKVIAMTSIMDDIYDVYGTPEELELFTAAVERWDISAIDQLPEYMKEYYKAFLNVYTEIEKNLFDQEKLYRFYYAKEAMKYQVRAYFMESIWFHQKHLPTTEEYMSIALTTSGYALMAVTSLVGMGDIVTKDSFDWLFTEPKMVTASEIIARLMDDIVSHEFEQKRGHSASSIECYMKQYRATKEEAVQEFKKWVVSAWKDINEECLYPTSVPMHVLTRILNLSRVMDVVYKNEDGYTHAGVLKDFVSSLLVDPV >Manes.03G157900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28593137:28606836:-1 gene:Manes.03G157900.v8.1 transcript:Manes.03G157900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGISHSARGYLIVSVLETMKTYSSEDGLTEEALVAKLRTCRYHHLFLNTSLRHNSSGTCRWGEYGEGGLLWGECNARHFEWFEGDPVTELLIKVRELYGLDDGVVFRNVTVLSENRPCPLHLGTATQIGVIPTEGIPCLLKVLLPSSCAGLPVLYIRDLLLNPPAYEIASTIQATCKLMSNVTCSIPEFTCVSSAKLVKLLELREANHIEFCRIKNVLDEILHMYRSSELCEILKSLMDPTWVATGLKVDFETLVNECEWAYGRIHEMISVDDEIDRKLSSCSVIPSEFFEDMECLWKGRVKRVHIEEEFAEVGRAAQALSLAVTEDFLPIISRIKATTAPLGGPKGEILYAREHEAVWFKGKRFAPSIWAGTPGEEQIKQLKPAIDSKGRKVGEEWFTTIKVEDALMRYHDASDRAKAKVLELLRGLSAELQSKINILVFAAMLLVIAKALFAHVSEGRRRKWVFPTLCRFNKLKDAKSLDGANRMKLIGLSPYWLEAAEGSAVQNTVDMQSLVLLTGPNGGGKSSLLRSICASALLGICGFMMPAESAVIPHFDSIMLHMKSYDSPADGKSSFQMEMSEIRSLITGASSRSLVLVDEICRGTETAKGTCIAGSIVETLDKIGCLGIVSTHLHGIFDLPLDTKNAEYKAMATEYVDGQTKPTWRLIDGICRESLAFETAKREGIPETIIQRAEDLYFSAYAEEVSPERVEERKKVSYSGGILNSSHEAHIPPRGTTAGALDHNTNSGKVEVIERKDVESAITIICQRKLIELYKQKSTSELLAVQCVTIGAREQPPPSTIGASCVYVMLRPDKRLYVGVTDDLEGRVRAHRSKEGMHNASFLYFIVQGKSIACQLETLLINQLPNKGFKLTNVADGKHRNFGTTNLSLESMPVH >Manes.03G157900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28593137:28611820:-1 gene:Manes.03G157900.v8.1 transcript:Manes.03G157900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEFIASKNVKCLQERLQQCRKPSTIQLIKRLIYSNLLGLDVNLKNGSLKEGNLNWEMLQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRTDSIPRAGCPVMNLRQTLDDLTRNGYSVSIVEEVQGPTQARSRKGRFISGHAHPGNPYVFGLVGVDHDLDFPEPMPVVGISHSARGYLIVSVLETMKTYSSEDGLTEEALVAKLRTCRYHHLFLNTSLRHNSSGTCRWGEYGEGGLLWGECNARHFEWFEGDPVTELLIKVRELYGLDDGVVFRNVTVLSENRPCPLHLGTATQIGVIPTEGIPCLLKVLLPSSCAGLPVLYIRDLLLNPPAYEIASTIQATCKLMSNVTCSIPEFTCVSSAKLVKLLELREANHIEFCRIKNVLDEILHMYRSSELCEILKSLMDPTWVATGLKVDFETLVNECEWAYGRIHEMISVDDEIDRKLSSCSVIPSEFFEDMECLWKGRVKRVHIEEEFAEVGRAAQALSLAVTEDFLPIISRIKATTAPLGGPKGEILYAREHEAVWFKGKRFAPSIWAGTPGEEQIKQLKPAIDSKGRKVGEEWFTTIKVEDALMRYHDASDRAKAKVLELLRGLSAELQSKINILVFAAMLLVIAKALFAHVSEGRRRKWVFPTLCRFNKLKDAKSLDGANRMKLIGLSPYWLEAAEGSAVQNTVDMQSLVLLTGPNGGGKSSLLRSICASALLGICGFMMPAESAVIPHFDSIMLHMKSYDSPADGKSSFQMEMSEIRSLITGASSRSLVLVDEICRGTETAKGTCIAGSIVETLDKIGCLGIVSTHLHGIFDLPLDTKNAEYKAMATEYVDGQTKPTWRLIDGICRESLAFETAKREGIPETIIQRAEDLYFSAYAEEVSPERVEERKKVSYSGGILNSSHEAHIPPRGTTAGALDHNTNSGKVEVIERKDVESAITIICQRKLIELYKQKSTSELLAVQCVTIGAREQPPPSTIGASCVYVMLRPDKRLYVGVTDDLEGRVRAHRSKEGMHNASFLYFIVQGKSIACQLETLLINQLPNKGFKLTNVADGKHRNFGTTNLSLESMPVH >Manes.03G157900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28593136:28611820:-1 gene:Manes.03G157900.v8.1 transcript:Manes.03G157900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIYFIHCHIFYHFQLLLLQNLRQTLDDLTRNGYSVSIVEEVQGPTQARSRKGRFISGHAHPGNPYVFGLVGVDHDLDFPEPMPVVGISHSARGYLIVSVLETMKTYSSEDGLTEEALVAKLRTCRYHHLFLNTSLRHNSSGTCRWGEYGEGGLLWGECNARHFEWFEGDPVTELLIKVRELYGLDDGVVFRNVTVLSENRPCPLHLGTATQIGVIPTEGIPCLLKVLLPSSCAGLPVLYIRDLLLNPPAYEIASTIQATCKLMSNVTCSIPEFTCVSSAKLVKLLELREANHIEFCRIKNVLDEILHMYRSSELCEILKSLMDPTWVATGLKVDFETLVNECEWAYGRIHEMISVDDEIDRKLSSCSVIPSEFFEDMECLWKGRVKRVHIEEEFAEVGRAAQALSLAVTEDFLPIISRIKATTAPLGGPKGEILYAREHEAVWFKGKRFAPSIWAGTPGEEQIKQLKPAIDSKGRKVGEEWFTTIKVEDALMRYHDASDRAKAKVLELLRGLSAELQSKINILVFAAMLLVIAKALFAHVSEGRRRKWVFPTLCRFNKLKDAKSLDGANRMKLIGLSPYWLEAAEGSAVQNTVDMQSLVLLTGPNGGGKSSLLRSICASALLGICGFMMPAESAVIPHFDSIMLHMKSYDSPADGKSSFQMEMSEIRSLITGASSRSLVLVDEICRGTETAKGTCIAGSIVETLDKIGCLGIVSTHLHGIFDLPLDTKNAEYKAMATEYVDGQTKPTWRLIDGICRESLAFETAKREGIPETIIQRAEDLYFSAYAEEVSPERVEERKKVSYSGGILNSSHEAHIPPRGTTAGALDHNTNSGKVEVIERKDVESAITIICQRKLIELYKQKSTSELLAVQCVTIGAREQPPPSTIGASCVYVMLRPDKRLYVGVTDDLEGRVRAHRSKEGMHNASFLYFIVQGKSIACQLETLLINQLPNKGFKLTNVADGKHRNFGTTNLSLESMPVH >Manes.03G157900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28593136:28611820:-1 gene:Manes.03G157900.v8.1 transcript:Manes.03G157900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGISHSARGYLIVSVLETMKTYSSEDGLTEEALVAKLRTCRYHHLFLNTSLRHNSSGTCRWGEYGEGGLLWGECNARHFEWFEGDPVTELLIKVRELYGLDDGVVFRNVTVLSENRPCPLHLGTATQIGVIPTEGIPCLLKVLLPSSCAGLPVLYIRDLLLNPPAYEIASTIQATCKLMSNVTCSIPEFTCVSSAKLVKLLELREANHIEFCRIKNVLDEILHMYRSSELCEILKSLMDPTWVATGLKVDFETLVNECEWAYGRIHEMISVDDEIDRKLSSCSVIPSEFFEDMECLWKGRVKRVHIEEEFAEVGRAAQALSLAVTEDFLPIISRIKATTAPLGGPKGEILYAREHEAVWFKGKRFAPSIWAGTPGEEQIKQLKPAIDSKGRKVGEEWFTTIKVEDALMRYHDASDRAKAKVLELLRGLSAELQSKINILVFAAMLLVIAKALFAHVSEGRRRKWVFPTLCRFNKLKDAKSLDGANRMKLIGLSPYWLEAAEGSAVQNTVDMQSLVLLTGPNGGGKSSLLRSICASALLGICGFMMPAESAVIPHFDSIMLHMKSYDSPADGKSSFQMEMSEIRSLITGASSRSLVLVDEICRGTETAKGTCIAGSIVETLDKIGCLGIVSTHLHGIFDLPLDTKNAEYKAMATEYVDGQTKPTWRLIDGICRESLAFETAKREGIPETIIQRAEDLYFSAYAEEVSPERVEERKKVSYSGGILNSSHEAHIPPRGTTAGALDHNTNSGKVEVIERKDVESAITIICQRKLIELYKQKSTSELLAVQCVTIGAREQPPPSTIGASCVYVMLRPDKRLYVGVTDDLEGRVRAHRSKEGMHNASFLYFIVQGKSIACQLETLLINQLPNKGFKLTNVADGKHRNFGTTNLSLESMPVH >Manes.03G157900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28593137:28611820:-1 gene:Manes.03G157900.v8.1 transcript:Manes.03G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWVTTRSVIVLIPKWRSLSLLLHSPASRYISFSRSPLLLRSRHVERIHCFKERKVSARGIKKLKASSVVLNDKDLSHIIWWKERLQQCRKPSTIQLIKRLIYSNLLGLDVNLKNGSLKEGNLNWEMLQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRTDSIPRAGCPVMNLRQTLDDLTRNGYSVSIVEEVQGPTQARSRKGRFISGHAHPGNPYVFGLVGVDHDLDFPEPMPVVGISHSARGYLIVSVLETMKTYSSEDGLTEEALVAKLRTCRYHHLFLNTSLRHNSSGTCRWGEYGEGGLLWGECNARHFEWFEGDPVTELLIKVRELYGLDDGVVFRNVTVLSENRPCPLHLGTATQIGVIPTEGIPCLLKVLLPSSCAGLPVLYIRDLLLNPPAYEIASTIQATCKLMSNVTCSIPEFTCVSSAKLVKLLELREANHIEFCRIKNVLDEILHMYRSSELCEILKSLMDPTWVATGLKVDFETLVNECEWAYGRIHEMISVDDEIDRKLSSCSVIPSEFFEDMECLWKGRVKRVHIEEEFAEVGRAAQALSLAVTEDFLPIISRIKATTAPLGGPKGEILYAREHEAVWFKGKRFAPSIWAGTPGEEQIKQLKPAIDSKGRKVGEEWFTTIKVEDALMRYHDASDRAKAKVLELLRGLSAELQSKINILVFAAMLLVIAKALFAHVSEGRRRKWVFPTLCRFNKLKDAKSLDGANRMKLIGLSPYWLEAAEGSAVQNTVDMQSLVLLTGPNGGGKSSLLRSICASALLGICGFMMPAESAVIPHFDSIMLHMKSYDSPADGKSSFQMEMSEIRSLITGASSRSLVLVDEICRGTETAKGTCIAGSIVETLDKIGCLGIVSTHLHGIFDLPLDTKNAEYKAMATEYVDGQTKPTWRLIDGICRESLAFETAKREGIPETIIQRAEDLYFSAYAEEVSPERVEERKKVSYSGGILNSSHEAHIPPRGTTAGALDHNTNSGKVEVIERKDVESAITIICQRKLIELYKQKSTSELLAVQCVTIGAREQPPPSTIGASCVYVMLRPDKRLYVGVTDDLEGRVRAHRSKEGMHNASFLYFIVQGKSIACQLETLLINQLPNKGFKLTNVADGKHRNFGTTNLSLESMPVH >Manes.15G192300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31676951:31682461:1 gene:Manes.15G192300.v8.1 transcript:Manes.15G192300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCLSSLLLLCLLLFCVGNNEAFNLSTISFDEGYTPLFGDGNLVRSPNGRSVRLLLDRFTGSGFISKKMYKYGFFSASIKLPSDYTAGICVAFYTSNANVFEKRHDELDFEFLGNIEGKPWRFQTNLYGNGSTSRGREERYRLWFDPSKEFHRYSILWTKYKIIFYIDNIPIREVLRNNAMGSEYPSKPMSLYATIWDASNWATSGGKYKVNYKYSPFASEYKDLVLDGCSVDPIQRIPTAFTCSESNFHLETADYSIITLKQRLAMQKFRQHYMYYSYCYDTLRYSVPPSECVIDSAEKERFKDTGRLKFGGSHQSHKRRSRRRSRTYVASGNDNHIMWS >Manes.15G191900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31466806:31477004:-1 gene:Manes.15G191900.v8.1 transcript:Manes.15G191900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKPAKEKEAKALSGTTAQEQTSTTSAGTVNPEWTGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGLYAHPSIPPGSYPFSPFAMPSPNGINEASGYTPGSTEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNEIGKTSGASANGAYSKSAESASEGTSEGSDANSQNDSQMKSGGRQDSAEADASQNGGSVHGPQNVGQSMPHTIMNQSMPVVPIPATGAPGALPGPTTNLNIGMDYWGPPASSAIPGIHGKVPNTPVAGGIVSTGSRDTVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNRIKSDYEQLLAENASLKEKLGEFTGHEDFRTVRNDQHLNNDAQKTGQAEVAQSGH >Manes.15G191900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31466806:31477004:-1 gene:Manes.15G191900.v8.1 transcript:Manes.15G191900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKPAKEKEAKALSGTTAQEQTSTTSAGTVNPEWTGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGLYAHPSIPPGSYPFSPFAMPSPNGINEASGYTPGSTEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNEIGKTSGASANGAYSKSAESASEGTSEGSDANSQNDSQMKSGGRQDSAEADASQNGGSVHGPQNVGQSMPHTIMNQSMPVVPIPATGAPGALPGPTTNLNIGMDYWGPPASSAIPGIHGKVPNTPVAGGIVSTGSRDTVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNRIKSDYEQLLAENASLKEKLGEFTGHEDFRTVRNDQHLNNDAQKTGQAEVAQSGH >Manes.02G071500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5454242:5486070:1 gene:Manes.02G071500.v8.1 transcript:Manes.02G071500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRALDDRRRIGTVKAAVSLYGERILEGSSSSSSLKKPQMDLHENSSSTARELHMAKRDVVRYKESRRAAQSVKTRAESELSNARKTVKDLAFQIEESTSKSKTRMRDMETLKKSSKREDKALKDRSFESHRYAEMMRELERVKKELSKLKLDMNSVLEEKKRAEKEIEGSSSKLMSDLDSAEALRKEIEQVNEEQVLVELARIEALKEFGEIEAQREKEANEFSLEKEKTRNRMKHVVEEIDSSKELESKLAVTLSDVNVLQNELKLVKEIEKKVLRNDSLKHSGGSSQKSEELEDSPSLRSVTDELEAAKQELASIKEESFQFMSSMDIIRNELKHVKEETSMLKKTEEKADLTVQNLNSKLLRAKSKLEAVSAAEEKAKSIVSNLSLTLEQLKAEAEAAKKEKELVSTETSNIKAEIQKTESEIGKTKERLQAAMQELEAAKASEALALDNLQNLIENTMRARASACQQSSSITISKFEYEYLTGRAVRADEIADKKVAAAQAWVEALKANEKEILMKIEIAHEEIRETRVEEEQQVYRTERSLSAKRAVEGEIRNWRHKREKIPQAEYRERPLQRKSMKNNVNLTPRKSMKGNANWTPSKRGKVRNSASPGVGMTPGSTSFIIRKKKKVMPNLAKFFSGKNAGKHP >Manes.02G071500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5454291:5457208:1 gene:Manes.02G071500.v8.1 transcript:Manes.02G071500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRALDDRRRIGTVKAAVSLYGERILEGSSSSSSLKKPQMDLHENSSSTARELHMAKRDVVRYKESRRAAQSVKTRAESELSNARKTVKDLAFQIEESTSKSKTRMRDMETLKKSSKREDKALKDRSFESHRYAEMMRELERVKKELSKLKLDMNSVLEEKKRAEKEIEGSSSKLMSDLDSAEALRKEIEQVNEEQVLVELARIEALKEFGEIEAQREKEANEFSLEKEKTRNRMKHVVEEIDSSKELESKLAVTLSDVNVLQNELKLVKEIEKKVLRNDSLKHSGGSSQKSEELEDSPSLRSVTDELEAAKQELASIKEESFQFMSSMDIIRNELKHVKEETSMLKKTEEKADLTVQNLNSKLLRAKSKLEAVSAAEEKAKSIVSNLSLTLEQLKAEAEAAKKEKELVSTETSNIKAEIQKTESEIGKTKERLQAAMQELEAAKASEALALDNLQNLIENTMRARASACQQSSSITISKFEYEYLTGRAVRADEIADKKVAAAQAWVEALKANEKEILMKIEIAHEEIRETRVEEEQQVYRTERSLSAKRAVEGEIRNWRHKREKIPQAEYRERPLQRKSMKNNVNLTPRKSMKGFISYASTSRSTVVNCKL >Manes.02G071500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5454294:5457139:1 gene:Manes.02G071500.v8.1 transcript:Manes.02G071500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRALDDRRRIGTVKAAVSLYGERILEGSSSSSSLKKPQMDLHENSSSTARELHMAKRDVVRYKESRRAAQSVKTRAESELSNARKTVKDLAFQIEESTSKSKTRMRDMETLKKSSKREDKALKDRSFESHRYAEMMRELERVKKELSKLKLDMNSVLEEKKRAEKEIEGSSSKLMSDLDSAEALRKEIEQVNEEQVLVELARIEALKEFGEIEAQREKEANEFSLEKEKTRNRMKHVVEEIDSSKELESKLAVTLSDVNVLQNELKLVKEIEKKVLRNDSLKHSGGSSQKSEELEDSPSLRSVTDELEAAKQELASIKEESFQFMSSMDIIRNELKHVKEETSMLKKTEEKADLTVQNLNSKLLRAKSKLEAVSAAEEKAKSIVSNLSLTLEQLKAEAEAAKKEKELVSTETSNIKAEIQKTESEIGKTKERLQAAMQELEAAKASEALALDNLQNLIENTMRARASACQQSSSITISKFEYEYLTGRAVRADEIADKKVAAAQAWVEALKANEKEILMKIEIAHEEIRETRVEEEQQVYRTERSLSAKRAVEGEIRNWRHKREKIPQAEYRERPLQRKSMKNNVNLTPRKSMKGNANWTPSKRGKVRNSASPGVGMTPGSTSFIIRKKKKVMPNLAKFFSGKNAGKHP >Manes.05G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9557218:9558685:1 gene:Manes.05G102400.v8.1 transcript:Manes.05G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSFSILIKLFILQYLSVLCVSQDFDFFYFVQQWPGSYCDTRHSCCYPKTGKPVADFGIHGLWPNYNNGGYPSNCNPDSVFDKSQISDLKSSLEKNWPTLACPSGDGYKFWTHEWEKHGTCAESELDQHGYFEAALKLKEKANLLQVLKNADIKPDDEFYDLDSIEQAIEDAIGYAPGIECNVDGSRNTQLFQIYLCIDTSGSEFIKCPLLPRGRCASRVQFPKF >Manes.14G173900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28948916:28953524:1 gene:Manes.14G173900.v8.1 transcript:Manes.14G173900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITASPSTLHLSFHFTITPTPTTSSTRHALPVLNTLGNRRILGLVRCSHGGILEKGQGAVDPDLRPVLELATDSELYELERILFGPSYFSPLLKSITGRRVDVDYATIEEDLAEREAFIEALESRFLFLAADARSTIRGWRPSYRNVLLAVRKKLNIPCSRKLSTEDLEAEIFLHLVQDYSSEESGTFPSLWESSMISNDQSSLELGPRQWKGPALAALKLGLVELQSMVLKSGGMFTLSSFYQLFSRKLSGKVFLEAANYQVKREIIKKGGQLAAINLESRAALLAAKQVIISG >Manes.14G173900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28948757:28957241:1 gene:Manes.14G173900.v8.1 transcript:Manes.14G173900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTITASPSTLHLSFHFTITPTPTTSSTRHALPVLNTLGNRRILGLVRCSHGGILEKGQGAVDPDLRPVLELATDSELYELERILFGPSYFSPLLKSITGRRVDVDYATIEEDLAEREAFIEALESRFLFLAADARSTIRGWRPSYRNVLLAVRKKLNIPCSRKLSTEDLEAEIFLHLVQDYSSEESGTFPSLWESSMISNDQSSLELGPRQWKGPALAALKLGLVELQSMVLKSGGMFTLSSFYQLFSRKLSGKVFLEAANYQVKREIIKKGGQLAAINLESRAALLAAKQGFAGAATRYLGFRSMISLLGPLMWGTFLADVVIQMLGTDYARILRAIYAFAEIRVTRTYRLPSDID >Manes.14G151900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17666839:17667282:1 gene:Manes.14G151900.v8.1 transcript:Manes.14G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLRILVSSVVIMATMRESMGFLYQEMHIHIVNWLENKGTLMMHCWSKDNSLGTHYLEYKENFQWQFSNELFFGHTKYECYLEFYNGSLPNRGHFVVYDSKKKTRRRDCFKHCMWGVGVYGLYAFDEIDQRWDYEIPWPSKNTFE >Manes.10G074100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15009263:15013994:-1 gene:Manes.10G074100.v8.1 transcript:Manes.10G074100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGKIVSRLAKQFLAKCKVRILMVGLDASGKTTILYKLKLGEMVSTVPTIGFNVETVDYKNISFSVWDVGGQKKIRPLWKHYLKNIEGLIFVVDSNDRDRISEARNELHQILGDSELRDATILVFANKQDIQDAMRVDEVADKLGLHALRQHCWYIQSCSALAGQGLYEGLAWLANNIANKAT >Manes.02G210020.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:24819429:24820016:-1 gene:Manes.02G210020.v8.1 transcript:Manes.02G210020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCGILLGRPWQFDVDALHKGKENSYMFTWNQKKITILPFDSAKHSKLEGKNVVAASTGVQKLSDAVEKSGGTLALLVRTKGIMEDASSLPPPVKELLKEFPKIVKESSKLPPLWDIQHQIDLIPESKLPNLPHYKMSPKDSEILQEQVEELLKKG >Manes.04G077800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27929805:27940438:-1 gene:Manes.04G077800.v8.1 transcript:Manes.04G077800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNSKGLILAVASSAFIGSSFILKKKGLKRAGAAGTRAGVGGYTYLLEPLWWAGMVTMFVGEIANFVAYVYAPAVLVTPLGALSIIISAILAHFMLRERLQKMGIVGCVSCIVGSVVIVIHAPQEHTPNSVQEIWTFATQPAFLIYVAASLSVVLALILHFEPRCGQTNILVYLGICSLIGSITVVSIKAIGIAIKLTLEGTSQIAYPQTWFFLTVAVICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTIIASAIMFKDWSGQNVSSITSELCGFITVLSGTIILHATREQEPPPPIGTVTWYVNEDSVKCLEEHLINIQSSDEQ >Manes.04G077800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27929805:27940438:-1 gene:Manes.04G077800.v8.1 transcript:Manes.04G077800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNSKGLILAVASSAFIGSSFILKKKGLKRAGVGGYTYLLEPLWWAGMVTMFVGEIANFVAYVYAPAVLVTPLGALSIIISAILAHFMLRERLQKMGIVGCVSCIVGSVVIVIHAPQEHTPNSVQEIWTFATQPAFLIYVAASLSVVLALILHFEPRCGQTNILVYLGICSLIGSITVVSIKAIGIAIKLTLEGTSQIAYPQTWFFLTVAVICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTIIASAIMFKDWSGQNVSSITSELCGFITVLSGTIILHATREQEPPPPIGTVTWYVNEDSVKCLEEHLINIQSSDEQ >Manes.04G077800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27929805:27940438:-1 gene:Manes.04G077800.v8.1 transcript:Manes.04G077800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNSKGLILAVASSAFIGSSFILKKKGLKRAGAAGTRAGVGGYTYLLEPLWWAGMVTMFVGEIANFVAYVYAPAVLVTPLGALSIIISAILAHFMLRERLQKMGIVGCVSCIVGSVVIVIHAPQEHTPNSVQEIWTFATQPAFLIYVAASLSVVLALILHFEPRCGQTNILVYLGICSLIGSITVVSIKAIGIAIKLTLEGTSQIAYPQTWFFLTVAVICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTIIASAIMFKDWSGQNVSSITSELCGFITVLSGTIILHATREQEPPPPIGTVTWYVNEDSVKCLEEHLINIQSSDEQ >Manes.04G077800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27930050:27940439:-1 gene:Manes.04G077800.v8.1 transcript:Manes.04G077800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSDNSKGLILAVASSAFIGSSFILKKKGLKRAGVGGYTYLLEPLWWAGMVTMFVGEIANFVAYVYAPAVLVTPLGALSIIISAILAHFMLRERLQKMGIVGCVSCIVGSVVIVIHAPQEHTPNSVQEIWTFATQPAFLIYVAASLSVVLALILHFEPRCGQTNILVYLGICSLIGSITVVSIKAIGIAIKLTLEGTSQIAYPQTWFFLTVAVICVITQLNYLNKALDTFNAAIVSPVYYVMFTTLTIIASAIMFKDWSGQNVSSITSELCGFITVLSGTIILHATREQEPPPPIGTVTWYVNEDSVKCLEEHLINIQSSDEQ >Manes.02G166900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13080508:13085042:1 gene:Manes.02G166900.v8.1 transcript:Manes.02G166900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRPSVLHQFLLTSISLKWMPCQSWGFLRWPGLDGFFRLLVLVLLWSMCSEIRFIPSSSMYPTLRVGDRVFIEKASYYIRSPAINDIVIFRAPKQPGTSEEVVFIKRIVAKAGDYVQVHHGSLYVNGKAQREDFIAARPTYTSELTVCPYLLHIYSSISLHSFKAFFQTTKKCSRTTLQGCKLGKWLIK >Manes.02G166900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13080508:13085042:1 gene:Manes.02G166900.v8.1 transcript:Manes.02G166900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRPSVLHQFLLTSISLKWMPCQSWGFLRWPGLDGFFRLLVLVLLWSMCSEIRFIPSSSMYPTLRVGDRVFIEKASYYIRSPAINDIVIFRAPKQPGTSEEVVFIKRIVAKAGDYVQVHHGSLYVNGKAQREDFIAARPTYTSELTGCKLGKWLIK >Manes.02G166900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13080508:13085042:1 gene:Manes.02G166900.v8.1 transcript:Manes.02G166900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRPSVLHQFLLTSISLKWMPCQSWGFLRWPGLDGFFRLLVLVLLWSMCSEIRFIPSSSMYPTLRVGDRVFIEKASYYIRSPAINDIVIFRAPKQPGTSEEVVFIKRIVAKAGDYVQVHHGSLYVNGKAQREDFIAARPTYTSELTYVPEGHIYVLGDNRNNSYDSHDWYYSQSCTSYLKIPFLF >Manes.02G166900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13080508:13085042:1 gene:Manes.02G166900.v8.1 transcript:Manes.02G166900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRPSVLHQFLLTSISLKWMPCQSWGFLRWPGLDGFFRLLVLVLLWSMCSEIRFIPSSSMYPTLRVGDRVFIEKASYYIRSPAINDIVIFRAPKQPGTSEEVVFIKRIVAKAGDYVQVHHGSLYVNGKAQREDFIAARPTYTSELTYVPEGHIYVLGDNRNNSYDSHDWGPLPVKNIIGRFVMCWHRPSSCR >Manes.02G166900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13080508:13085042:1 gene:Manes.02G166900.v8.1 transcript:Manes.02G166900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRPSVLHQFLLTSISLKWMPCQSWGFLRWPGLDGFFRLLVLVLLWSMCSEIRFIPSSSMYPTLRVGDRVFIEKASYYIRSPAINDIVIFRAPKQPGTSEEVVFIKRIVAKAGDYVQVHHGSLYVNGKAQREDFIAARPTYTSELTVCPYLLHIYSSISLHSFKAFFQTTKKCSRTTLQGCKLGKWLIK >Manes.02G166900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13080508:13085042:1 gene:Manes.02G166900.v8.1 transcript:Manes.02G166900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRPSVLHQFLLTSISLKWMPCQSWGFLRWPGLDGFFRLLVLVLLWSMCSEIRFIPSSSMYPTLRVGDRVFIEKASYYIRSPAINDIVIFRAPKQPGTSEEVVFIKRIVAKAGDYVQVHHGSLYVNGKAQREDFIAARPTYTSELTVCPYLLHIYSSISLHSFKAFFQTTKKCSRTTLQGCKLGKWLIK >Manes.01G114700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31209866:31210324:1 gene:Manes.01G114700.v8.1 transcript:Manes.01G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSLFSSSALPPLSFPYKKSHTQKTKFQNRKRCSRSGIALAAGRRPDSYGEMLVDESMIVLRKRIHEMKMIERNYQPPAEWMEWEKQFYTRYDEFICKFVGLVQLQLMNTRPSLALGMLLLVMMSVPLSTATIAMQIMEAASGAISTLHL >Manes.18G101800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9498261:9500690:-1 gene:Manes.18G101800.v8.1 transcript:Manes.18G101800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKEKMKDVLVQPAKELIQKIEFINLLCRFGVSYHFDNEIEEQLNHIFILLPKLLDNNNHDFYSITLLFRVMRQYGYKISPDVFNKFKDKDGGFKKTITSDVKGLLSLYEATFLSIHGEDILDEALAFTRQQLEILIPQLSPRVANHVRKALRQPNHHDIDRRQAREYICFYEGEESRDESLLKFAKLDFIRLQLLYKQELASLSRWWKDLNLVEKLPYIRDRIAESYMWAVGIHFEPQYALSRLMLAKYIQLLTLIDDTYDAYGTIDELQTFTAAIERWNIGEINKLPDYMKVLYIFILKFFDEIENNGEIENFGYRTSYAKEKLKEIVKGYFVEAQWFNDGYIPPFDEFMHNGLYTSGHGAIPAISFIRLENIVGNKEYEWVESNPKIIKSVKLLSRLINDITKRKVYF >Manes.18G145100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27826004:27831757:1 gene:Manes.18G145100.v8.1 transcript:Manes.18G145100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPLFNCFDFLTEEIIFIILDHLNNDPFAQKSFSLTCKAFYSIESRHRRTLKPLRAELLPRILHRFPCIDRLDLYHCPSIEDSTLNVISQACKATLLSINLSKSRSFSNVGLSSLVLSCSNLVEIDLSNGVKLNDLAAAAIAEAKNLEKLWLARCKLITDMGIGCIAVGCRKLRLICLKWCLKIGDLGVELIALKCKEIRNLDLSYLPITEKCLSSIMQLDHLEDLVLEGCLGIDDDGLSTLQQTFKSLKVTRDLAKCLHTFSGLQSIKLDGCLVTCSGLEAIGNCHHSFKELSLSKCSGVTDESLSFLVKSHKDLRKLDITCCRKITYDSINNITSSCTSLTSLRMESCSLVSRKAFVLFGQRCQLLEELDVTDNEIDDEGLESISRCTKLFSLKLGICLNITDDGLRYIGSSCSKLKDLDLYRSTGITDVGITAVAVGCPALEIINLAYNDKATDASLRSLSTCLNLRALEIRGCRRVSSEGLSAIATGCRKLMVLDLKKCFNINDNAMLPLAQFSKNLKQINLSYCSVTDVGLLALTGFNCLQNMTILHLRGLTPNGLAAALLACGGLTKVKLQASFKPLLPKHLLNFMEARGCLFQWRDKAFQVEMDPKGW >Manes.18G145100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27826004:27831757:1 gene:Manes.18G145100.v8.1 transcript:Manes.18G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPLFNCFDFLTEEIIFIILDHLNNDPFAQKSFSLTCKAFYSIESRHRRTLKPLRAELLPRILHRFPCIDRLDLYHCPSIEDSTLNVISQACKATLLSINLSKSRSFSNVGLSSLVLSCSNLVEIDLSNGVKLNDLAAAAIAEAKNLEKLWLARCKLITDMGIGCIAVGCRKLRLICLKWCLKIGDLGVELIALKCKEIRNLDLSYLPITEKCLSSIMQLDHLEDLVLEGCLGIDDDGLSTLQQTFKSLKTLNMSNCQNVTHVGFLSLTNGAKNLQELSLAFGFAVTRDLAKCLHTFSGLQSIKLDGCLVTCSGLEAIGNCHHSFKELSLSKCSGVTDESLSFLVKSHKDLRKLDITCCRKITYDSINNITSSCTSLTSLRMESCSLVSRKAFVLFGQRCQLLEELDVTDNEIDDEGLESISRCTKLFSLKLGICLNITDDGLRYIGSSCSKLKDLDLYRSTGITDVGITAVAVGCPALEIINLAYNDKATDASLRSLSTCLNLRALEIRGCRRVSSEGLSAIATGCRKLMVLDLKKCFNINDNAMLPLAQFSKNLKQINLSYCSVTDVGLLALTGFNCLQNMTILHLRGLTPNGLAAALLACGGLTKVKLQASFKPLLPKHLLNFMEARGCLFQWRDKAFQVEMDPKGW >Manes.05G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1211749:1214585:1 gene:Manes.05G005900.v8.1 transcript:Manes.05G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLKNAVIAFLVPLPSIFFYLSLLNHHHANISDGTGLSPLWAWCVDHPLLLVNILFFFNVNVLFWFISHIQSSHWMIDLYWTVIPILLVYYYATYPFAQYNWQRSRIVIAITWVWSLRLTHNYFRREKWQWGAREDWRFTDMRGRYGKHWWWISFFSVYFSQQIFLIGVCLPFYIVHSVDKPLNVWDFVAVAVCLCGVVIAYFADTQLHEFVTRNNKLKELGKPMVPNLDRGLWCYSRHPNYFGEQLWWWGLVLFAWNLGHGWTFVGALVNSLCLAYVTVLVEQRMLKQQYRAEAYRLYQKTTSVWIPWFKSSSFANEDKKN >Manes.08G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1866956:1868985:-1 gene:Manes.08G018300.v8.1 transcript:Manes.08G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHSNLRTFVSRPDNSFNFLYDYRYDQFNNQGIDVKQHPAMAETPQVMVPAAMDKLSFGIHDKKKRLTSDQLDSLERSFQEEIKLDPDRKMKLSRELGLQPRQIAVWFQNRRARWKAKQLERLYDALKQEFDVVSVEKQKLQEEVMKLKAILREQATRKQVSTGYTEISGEETVESTPVAIRSSNGKLAECNYVDEYNPVTSPYWAVLPSYP >Manes.06G010625.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1661654:1666126:1 gene:Manes.06G010625.v8.1 transcript:Manes.06G010625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCSTTMETQKLFLQSFLLILHFTFSSSMHTITINQTIEDGNLLISKGDHFALGFFSPGNSRYRYLGIWYYKVPEQTVVWVANRNNPINDSSGVLLMDRLGNLILYSKNNQEVVWSTNVSVKVTDFCAARLLDSGNLILQDRSERILWQSFDQPTDTLLPGMKLGLNRNTGMFWFLTSWRSADDPGTGNFSVQLNTMGAPQFLLYRGTTYYWQYTHWPMKTNPDMWTYYSIVNNQDEIHMAYSPVDASVIFRLMLDYSGTLKKLAWHEKVGRWKEFWSAPSSVCDIYGHCGTYGICYPYLIGRFECDCLPGYEPKYPREWNIMRDGSGGCVRKRLESSSVCEHGEGFVKVGKVKLPDTSTAVWKGMNTSAVDCEKECKKNCLCSAYASIDVYGEGTGCLTWYGELIDTADNVKEGYDINIRVDALELAEIARKSNGFLKRKDMLAILIVSVASSWFVIILFVHLWLKKKRKIVRNQWNERLLDAIGDVRKKDALVTSEVQGSMSHQDIALFSLNTILVATTKFSQANKLGEGGFGLVYKGQLSNGQEVAVKKLSKNSSQGIEEFKTEVALIAKLQHKNLVKLLGCCIEGDEPMLIYEYLPNKSLDSFLFDANKRVVLNWRKRFNIIVGIARGILYIHQDSRLGIIHRDLKTSNILLDVEMNPKISDFGLARIFKDGQIQEKTKKIVGTFGYMAPEYVIFGKFSTKSDVFSFGIILLEIITGKKNNNYCHEGSSVSMIGHIWHSWKENRAFEIVDSFLKESSPSDEVLRCIQIGLLCVQEDALDRPMMSTVVLMLNSEITLPSPKQPGFNFSKFDDISIFSSKKEKFCSVDEKTITEVICR >Manes.08G117100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35674700:35676867:1 gene:Manes.08G117100.v8.1 transcript:Manes.08G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPCKEIENGMLLEVEGKWVVQGAIDVDIGANPSAEGGGEDEGVDDQAVKVVDIVDTFRLQEQPSFDKKQFVTYMKRYIKLLTPKLEPEKQELFKKHIEGATKFLLAKLSDLQFFVGESMHDDGSLVFAYYKEGSADPTFLYFAYGLKEVKC >Manes.01G189500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36550575:36556866:1 gene:Manes.01G189500.v8.1 transcript:Manes.01G189500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGIGLSRIIILAGAGYTGTVLFKNGKLSDVIGELQSLVKGLEKSGEHADGDSDYSDAIAQQVKRLAMEVRQMASARQITVLNGSSGQMGNLASLIVPVTALGALGYGYMWLKGLKFSDLMYVTKRSMANAVSNLTKHLEQVTEALSAAKVHLTQRIQLLDDKMESQKEISKAIQNDVNAASENISQIGSELWHLQCLVSGLDGKIGSLEEKQDLANMGVLYLCNFVGGKKMQMPKALEDQLRPSGRTRSITYSEAGLSQGLKELSENLYRTISEPTTDVIMQDGIDKLEGQPRAPQSDQSRTLLRFNSAKC >Manes.01G189500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36550525:36557037:1 gene:Manes.01G189500.v8.1 transcript:Manes.01G189500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGIGLSRIIILAGAGYTGTVLFKNGKLSDVIGELQSLVKGLEKSGEHADGDSDYSDAIAQQVKRLAMEVRQMASARQITVLNGSSGQMGNLASLIVPVTALGALGYGYMWLKGLKFSDLMYVTKRSMANAVSNLTKHLEQVTEALSAAKVHLTQRIQLLDDKMESQKEISKAIQNDVNAASENISQIGSELWHLQCLVSGLDGKIGSLEEKQDLANMGVLYLCNFVGGKKMQMPKALEDQLRPSGRTRSITYSEAGLSGLKELSENLYRTISEPTTDVIMQDGIDKLEGQPRAPQSDQSRTLLRFNSAKC >Manes.11G056500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6891278:6892668:-1 gene:Manes.11G056500.v8.1 transcript:Manes.11G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLVVDSGFIYEEEKHLTVLKTSLFFANDGFTAYDCQGQLVFRVDSYGPDARDTGEVVLMDAHGRCLLTVRKKRPSLHHRWEGYIGERTEGQKPIFSVRRSSIIGRCSVAVDVYDNPGEEYQIEGSFANRCCTILNTEKVSVAEIRRKVDASTNVVLGKDVFSLCIKQGFDGAFAMGLVLVLDQINGVDTVEDGTEVYPASEE >Manes.08G116200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35576344:35578571:1 gene:Manes.08G116200.v8.1 transcript:Manes.08G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGFIFGIIGNIISFFVFTSPIKTFWEVVKNKSTEDYEAFPYITTLLGTSLWTFYGCLKPGGLLVVTVNGIGASFQLVYVTLFLIYAPKKRKVKAAKLVALLNVGFLGAVIAVTLLAMHGKLRITFVGLICVGMATIVYGSPLSALRTVIKTKSVEYMPFLLSFSLFLNAGIWLIYAAVIRDYFMMVPSLLGVLLGIVQLSLYAMYRNNTKSTKSTDGMKQDKALENVVKTDLEMQVCNKDGGDCKSNPN >Manes.09G000600.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:451736:456301:1 gene:Manes.09G000600.v8.1 transcript:Manes.09G000600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKEIAERKFTERDFAGANKFALKAQSLYPGLEGLSQMLMTFDVHASAQKRTVTGEVDWYSVLAVSPSADDETVRKQYRKRALMLHPDKNKSLGADGAFKLVSEAWSMLSDKSKRLAYNDKLNVVGFQQKVSTQAKDPSTPSTVNGFHNGDSAVKSDARTQNRNTRTGPTFVPPPHKKPDTFWTICNRCKTQYEYLRIYLNHTLLCPNCHEAFFAAEKDPPPNVMKPSNYSSRPKHQNSRYRAANTTLFNVGRNCGVAQSSGPDGLGVNSSHSPDPQWNHFSRMASTGAAVPSPSTSAQAASTVQQAHLHVKREHEAAKADQLLKRRRSDERNYVNSYGTGYGRSGLVTASEQRMGCLETERVHGFSSVDNKVNSKRELLPVELRNMLMEKARLDICKKLEEWNSEQVKLEEKRKSMVMNDAKHDDSTIMNDAKRSKKSFRGFSSDDSIKDAPGPMSINVPDPDFHNFDLDRTENAFRDDQVWAAYDEDDGMPRYYARIHKVISLNPFKMKISWLNSRSNSEFSSVDWVVSGFPKTCGDFRAGKHEITETLNSFSHKVKWTKGTRGVICILPGKGDIWALYRNWSADWDEHTPDEVVHQYDMVEVLDDYTEEHGVSVVPLVKVAGFKTVFHRHMDPKEIRRIPKEEMFRFSHQVPDHLLSGEEAHNAPKGCRELDPAATPLELLQVINEANEAQMVEITGESKEEMAPKFTATKVDQMVDDTSKFKEVEVIDSDEQAKDDK >Manes.09G000600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:451736:456301:1 gene:Manes.09G000600.v8.1 transcript:Manes.09G000600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKEIAERKFTERDFAGANKFALKAQSLYPGLEGLSQMLMTFDVHASAQKRTVTGEVDWYSVLAVSPSADDETVRKQYRKRALMLHPDKNKSLGADGAFKLVSEAWSMLSDKSKRLAYNDKLNVVGFQQKVSTQAKDPSTPSTVNGFHNGDSAVKSDARTQNRNTRTGPTFVPPPHKKPDTFWTICNRCKTQYEYLRIYLNHTLLCPNCHEAFFAAEKDPPPNVMKPSNYSSRPKHQNSRYRAANTTLFNVGRNCGVAQSSGPDGLGVNSSHSPDPQWNHFSRMASTGAAVPSPSTSAQAASTVQQAHLHVKREHEAAKADQLLKRRRSDERNYVNSYGTGYGRSGLVTASEQRMGCLETERVHGFSSVDNKVNSKRELLPVELRNMLMEKARLDICKKLEEWNSEQVKLEEKRKSMVMNDAKHDDSTIMNDAKRSKKSFRGFSSDDSIKDAPGPMSINVPDPDFHNFDLDRTENAFRDDQVWAAYDEDDGMPRYYARIHKVISLNPFKMKISWLNSRSNSEFSSVDWVVSGFPKTCGDFRAGKHEITETLNSFSHKVKWTKGTRGVICILPGKGDIWALYRNWSADWDEHTPDEVVHQYDMVEVLDDYTEEHGVSVVPLVKVAGFKTVFHRHMDPKEIRRIPKEEMFRFSHQVPDHLLSGEEAHNAPKGCRELDPAATPLELLQVINEANEAQMVEITGESKEEMAPKFTATKVDQMVDDTSKFKEVEVIDSDEQAKDDK >Manes.09G000600.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:451736:456301:1 gene:Manes.09G000600.v8.1 transcript:Manes.09G000600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKEIAERKFTERDFAGANKFALKAQSLYPGLEGLSQMLMTFDVHASAQKRTVTGEVDWYSVLAVSPSADDETVRKQYRKRALMLHPDKNKSLGADGAFKLVSEAWSMLSDKSKRLAYNDKLNVVGFQQKVSTQAKDPSTPSTVNGFHNGDSAVKSDARTQNRNTRTGPTFVPPPHKKPDTFWTICNRCKTQYEYLRIYLNHTLLCPNCHEAFFAAEKDPPPNVMKPSNYSSRPKHQNSRYRAANTTLFNVGRNCGVAQSSGPDGLGVNSSHSPDPQWNHFSRMASTGAAVPSPSTSAQAASTVQQAHLHVKREHEAAKADQLLKRRRSDERNYVNSYGTGYGRSGLVTASEQRMGCLETERVHGFSSVDNKVNSKRELLPVELRNMLMEKARLDICKKLEEWNSEQVKLEEKRKSMVMNDAKHDDSTIMNDAKRSKKSFRGFSSDDSIKDAPGPMSINVPDPDFHNFDLDRTENAFRDDQVWAAYDEDDGMPRYYARIHKVISLNPFKMKISWLNSRSNSEFSSVDWVVSGFPKTCGDFRAGKHEITETLNSFSHKVKWTKGTRGVICILPGKGDIWALYRNWSADWDEHTPDEVVHQYDMVEVLDDYTEEHGVSVVPLVKVAGFKTVFHRHMDPKEIRRIPKEEMFRFSHQVPDHLLSGEEAHNAPKGCRELDPAATPLELLQVINEANEAQMVEITGESKEEMAPKFTATKVDQMVDDTSKFKEVEVIDSDEQAKDDK >Manes.09G000600.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:451736:456301:1 gene:Manes.09G000600.v8.1 transcript:Manes.09G000600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKEIAERKFTERDFAGANKFALKAQSLYPGLEGLSQMLMTFDVHASAQKRTVTGEVDWYSVLAVSPSADDETVRKQYRKRALMLHPDKNKSLGADGAFKLVSEAWSMLSDKSKRLAYNDKLNVVGFQQKVSTQAKDPSTPSTVNGFHNGDSAVKSDARTQNRNTRTGPTFVPPPHKKPDTFWTICNRCKTQYEYLRIYLNHTLLCPNCHEAFFAAEKDPPPNVMKPSNYSSRPKHQNSRYRAANTTLFNVGRNCGVAQSSGPDGLGVNSSHSPDPQWNHFSRMASTGAAVPSPSTSAQAASTVQQAHLHVKREHEAAKADQLLKRRRSDERNYVNSYGTGYGRSGLVTASEQRMGCLETERVHGFSSVDNKVNSKRELLPVELRNMLMEKARLDICKKLEEWNSEQVKLEEKRKSMVMNDAKHDDSTIMNDAKRSKKSFRGFSSDDSIKDAPGPMSINVPDPDFHNFDLDRTENAFRDDQVWAAYDEDDGMPRYYARIHKVISLNPFKMKISWLNSRSNSEFSSVDWVVSGFPKTCGDFRAGKHEITETLNSFSHKVKWTKGTRGVICILPGKGDIWALYRNWSADWDEHTPDEVVHQYDMVEVLDDYTEEHGVSVVPLVKVAGFKTVFHRHMDPKEIRRIPKEEMFRFSHQVPDHLLSGEEAHNAPKGCRELDPAATPLELLQVINEANEAQMVEITGESKEEMAPKFTATKVDQMVDDTSKFKEVEVIDSDEQAKDDK >Manes.09G000600.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:451736:456301:1 gene:Manes.09G000600.v8.1 transcript:Manes.09G000600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAVRAKEIAERKFTERDFAGANKFALKAQSLYPGLEGLSQMLMTFDVHASAQKRTVTGEVDWYSVLAVSPSADDETVRKQYRKRALMLHPDKNKSLGADGAFKLVSEAWSMLSDKSKRLAYNDKLNVVGFQQKVSTQAKDPSTPSTVNGFHNGDSAVKSDARTQNRNTRTGPTFVPPPHKKPDTFWTICNRCKTQYEYLRIYLNHTLLCPNCHEAFFAAEKDPPPNVMKPSNYSSRPKHQNSRYRAANTTLFNVGRNCGVAQSSGPDGLGVNSSHSPDPQWNHFSRMASTGAAVPSPSTSAQAASTVQQAHLHVKREHEAAKADQLLKRRRSDERNYVNSYGTGYGRSGLVTASEQRMGCLETERVHGFSSVDNKVNSKRELLPVELRNMLMEKARLDICKKLEEWNSEQVKLEEKRKSMVMNDAKHDDSTIMNDAKRSKKSFRGFSSDDSIKDAPGPMSINVPDPDFHNFDLDRTENAFRDDQVWAAYDEDDGMPRYYARIHKVISLNPFKMKISWLNSRSNSEFSSVDWVVSGFPKTCGDFRAGKHEITETLNSFSHKVKWTKGTRGVICILPGKGDIWALYRNWSADWDEHTPDEVVHQYDMVEVLDDYTEEHGVSVVPLVKVAGFKTVFHRHMDPKEIRRIPKEEMFRFSHQVPDHLLSGEEAHNAPKGCRELDPAATPLELLQVINEANEAQMVEITGESKEEMAPKFTATKVDQMVDDTSKFKEVEVIDSDEQAKDDK >Manes.06G105200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23808648:23811422:1 gene:Manes.06G105200.v8.1 transcript:Manes.06G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVALVRSVHARFTLHEVTGPLQVRFFQHDFVPRDPKAKPKKYKYPAFYDPYGPRPPPSEKIIELAERIAALPPEERCQIGPTLQYKLKHPKLQTISTEGMDLGVQGSPAAGATKVEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKDLVEKVPVLLKQGVTKEEANGIIEKIKAAGGVAVME >Manes.04G151400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34730569:34731499:1 gene:Manes.04G151400.v8.1 transcript:Manes.04G151400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPVYPNYEGSDYGGYEFDPQVDFTQFLEEARQHARQMNLQNSQSNAEEARKTRTGGEEKKSKKSWKNSLLKWWKSDNKKKTEPANSSHISNPRKGHVSGPIYGSGRAVEPKHRRQTSGPLTNLFNPTKRTGNEIPYMCLDQLNSPHGVKAYGPVYLVT >Manes.14G090900.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7511769:7515695:1 gene:Manes.14G090900.v8.1 transcript:Manes.14G090900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLDSPVQTQMAVAVFKSPLSGEYHGNRKMEGKQPAGRRRIFVQTETGCVLGMELDRGDNAHTVKRRLQLALKVPTEESSLTFGDMVLNNDLSAVRNDCPLLLTRNCLHKSSSTPCLSPTAKEIQQRDRSGLVEILGQSNSFAKMKQLVKESIKAIKMGVDPIPVHSGLGGAYYFRNSKGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKQHKKNQVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDRVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDEVEYIEKLDPDRDCDMLRRELPMIREACLRVLVLCTIFLKEAAAAGLCLAEIGEMMSREFRAGEEEPSELEVVCLEARRLIAEREVLSPGTDLGNEEFQFDIDYEETEFDFTPKMTTEDYMTRTPIQHGFGASNSRLPLSRLEESIEEEEEEEVEEEEEEEESMENGVGKDRVGGLPSPARLPIMSKLSMSLKNTTLGDKKQKCQKFSGVKPENGYFANTSSGHRSANEQLPASLSFVKLADMSEGEWTLFLDKFLELLPPAFAKRKSVTLGQRQMQRLGTSCQF >Manes.14G090900.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7511769:7515695:1 gene:Manes.14G090900.v8.1 transcript:Manes.14G090900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLDSPVQTQMAVAVFKSPLSGEYHGNRKMEGKQPAGRRRIFVQTETGCVLGMELDRGDNAHTVKRRLQLALKVPTEESSLTFGDMVLNNDLSAVRNDCPLLLTRNCLHKSSSTPCLSPTAKEIQQRDRSGLVEILGQSNSFAKMKQLVKESIKAIKMGVDPIPVHSGLGGAYYFRNSKGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKQHKKNQVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDRVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDEVEYIEKLDPDRDCDMLRRELPMIREACLRVLVLCTIFLKEAAAAGLCLAEIGEMMSREFRAGEEEPSELEVVCLEARRLIAEREVLSPGTDLGNEEFQFDIDYEETEFDFTPKMTTEDYMTRTPIQHGFGASNSRLPLSRLEESIEEEEEEEVEEEEEEEESMENGVGKDRVGGLPSPARLPIMSKLSMSLKNTTLGDKKQKCQKFSGVKPENGYFANTSSGHRSANEQLPASLSFVKLADMSEGEWTLFLDKFLELLPPAFAKRKSVTLGQRQMQRLGTSCQF >Manes.14G090900.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7511663:7515695:1 gene:Manes.14G090900.v8.1 transcript:Manes.14G090900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLDSPVQTQMAVAVFKSPLSGEYHGNRKMEGKQPAGRRRIFVQTETGCVLGMELDRGDNAHTVKRRLQLALKVPTEESSLTFGDMVLNNDLSAVRNDCPLLLTRNCLHKSSSTPCLSPTAKEIQQRDRSGLVEILGQSNSFAKMKQLVKESIKAIKMGVDPIPVHSGLGGAYYFRNSKGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKQHKKNQVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDRVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDEVEYIEKLDPDRDCDMLRRELPMIREACLRVLVLCTIFLKEAAAAGLCLAEIGEMMSREFRAGEEEPSELEVVCLEARRLIAEREVLSPGTDLGNEEFQFDIDYEETEFDFTPKMTTEDYMTRTPIQHGFGASNSRLPLSRLEESIEEEEEEEVEEEEEEEESMENGVGKDRVGGLPSPARLPIMSKLSMSLKNTTLGDKKQKCQKFSGVKPENGYFANTSSGHRSANEQLPASLSFVKLADMSEGEWTLFLDKFLELLPPAFAKRKSVTLGQRQMQRLGTSCQF >Manes.14G090900.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7511663:7515695:1 gene:Manes.14G090900.v8.1 transcript:Manes.14G090900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLDSPVQTQMAVAVFKSPLSGEYHGNRKMEGKQPAGRRRIFVQTETGCVLGMELDRGDNAHTVKRRLQLALKVPTEESSLTFGDMVLNNDLSAVRNDCPLLLTRNCLHKSSSTPCLSPTAKEIQQRDRSGLVEILGQSNSFAKMKQLVKESIKAIKMGVDPIPVHSGLGGAYYFRNSKGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKQHKKNQVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDRVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDEVEYIEKLDPDRDCDMLRRELPMIREACLRVLVLCTIFLKEAAAAGLCLAEIGEMMSREFRAGEEEPSELEVVCLEARRLIAEREVLSPGTDLGNEEFQFDIDYEETEFDFTPKMTTEDYMTRTPIQHGFGASNSRLPLSRLEESIEEEEEEEVEEEEEEEESMENGVGKDRVGGLPSPARLPIMSKLSMSLKNTTLGDKKQKCQKFSGVKPENGYFANTSSGHRSANEQLPASLSFVKLADMSEGEWTLFLDKFLELLPPAFAKRKSVTLGQRQMQRLGTSCQF >Manes.14G090900.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7511769:7515695:1 gene:Manes.14G090900.v8.1 transcript:Manes.14G090900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLDSPVQTQMAVAVFKSPLSGEYHGNRKMEGKQPAGRRRIFVQTETGCVLGMELDRGDNAHTVKRRLQLALKVPTEESSLTFGDMVLNNDLSAVRNDCPLLLTRNCLHKSSSTPCLSPTAKEIQQRDRSGLVEILGQSNSFAKMKQLVKESIKAIKMGVDPIPVHSGLGGAYYFRNSKGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKQHKKNQVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDRVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDEVEYIEKLDPDRDCDMLRRELPMIREACLRVLVLCTIFLKEAAAAGLCLAEIGEMMSREFRAGEEEPSELEVVCLEARRLIAEREVLSPGTDLGNEEFQFDIDYEETEFDFTPKMTTEDYMTRTPIQHGFGASNSRLPLSRLEESIEEEEEEEVEEEEEEEESMENGVGKDRVGGLPSPARLPIMSKLSMSLKNTTLGDKKQKCQKFSGVKPENGYFANTSSGHRSANEQLPASLSFVKLADMSEGEWTLFLDKFLELLPPAFAKRKSVTLGQRQMQRLGTSCQF >Manes.18G059200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5252694:5260204:1 gene:Manes.18G059200.v8.1 transcript:Manes.18G059200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNITARQVTFSKRRRGLFKKAQELSVLCDAEVALIIFSATGKLFEYCSSSMRETLARYYLHSNKLDKLDRPSLELELQNSNRMLLSKEVAEKTHQLRQMRGEDLQGLNIEELQQLEKMLEVGLSRVLETKGDKIMNEISALEKKGALLLEENKQLKEKMMTLCKGKRPVILESDVAIQQEEGVSSESATNVCSCSSGPPLEDDSSDTSLKLGLPF >Manes.11G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21450999:21453281:-1 gene:Manes.11G098600.v8.1 transcript:Manes.11G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLSFSPSKLGAPTFVSVPIRAPSALPLKVYCQKTEAETTTKKLPPGPPKLPFIGNLHNLIGGLPHRTLTDLAKEHGPLMHLQLGEVPAVVVSSAKMAQEVMKTHDHVFAQRPELIASKILSYNSTDLVFAKGEYWKQMRKICLTELLGVKKVKSFAPIREDEVSNLLESIKLARGSPVNLTEKIFWMTSVIACRAAFGNKWEDQNDVITIARESLTLSGGFDLADLYPKRNFLHVITNMKPKLEKMRDKLDKVLDRIVNEHKEKLETRSDGPADDEVLVDVLLRLQGSGRLETPITTDNVKAIIWDMFVAGTDTSSNTTEWALSEMIRNPRVLKKAQAEIRQALKGKETVNEEDIQGLQYLKLVIKEALRIHPPVPLCLPRESKESCEIDGYEIPEKTKVIVNAWAIGRDPSYWKDPEKFIPERFSENSIDYKGTNFNYIPFGAGRRICPGMTFGLANVELPLAKLIYHFDWELPNGMRPEDLDMSEYFGATVGRKNNLDLIATPYVPSSSSHVDSINRVEAMEVLN >Manes.15G055800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4287550:4291086:1 gene:Manes.15G055800.v8.1 transcript:Manes.15G055800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWGLNCLEGNMTNSQRRTLDLDLDLNYPLPPPMRALDLSLGLSIHSSSQEVQTQMRGWSHHQTTNVIRAIDDEVTIISPRTFSQARENSERNHSHGMHEVIVEDTVTVRETAMTSLCTDCKRRLSDGCDPYLKPGTSSKKKSVPMPDEPTFSCPICMSPFTEPTATRCGHIFCKECLLKSLKSLHNKCPTCRQKVGKRGIFRIYLPSTN >Manes.15G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4287550:4291086:1 gene:Manes.15G055800.v8.1 transcript:Manes.15G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWGLNCLEGNMTNSQRRTLDLDLDLNYPLPPPMRALDLSLGLSIHSSSQEVQTQMRGWSHHQTTNVIRAIDDEVTIISPRTFSQARENSERNHSHGMHEVIVEDTVTVRETAMTSLCTDCKRRLSDGCDPYLKPGTSSKKKATNVSMIQKLSQSVPMPDEPTFSCPICMSPFTEPTATRCGHIFCKECLLKSLKSLHNKCPTCRQKVGKRGIFRIYLPSTN >Manes.08G038300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3763780:3769757:1 gene:Manes.08G038300.v8.1 transcript:Manes.08G038300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLTKPTASLFSSASKPPPPICTFLFFLLCILGFYALYHPHPAPGSPQSYIGYRKLFLSLSTNSTVASYLRSLTLHPHLAGTQQSLNTTDYVYYHFKDFNLETHRVEYTALLSYPVHASLIALFANGSTVSFSLSEISGKVGPDVVPPYHAYSPSGSAHAKVVFINYGREEDYRSLGALGVSVNGCVVLARKGGGLSRGGVVKLAESKGALAVLLYAEEDGKRGGRGGVERGTVMRGVGDPLSPGWPRVEGGERLGLEDSEVVKRFPKIPSMPLSFENADVILRSLGGPMVPRDWRDSGLTRVGPGPTMVNFTYQGERKERTIHNVFAVIRGSEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDIARRYSLLMRMGWTPRRTIILCSWDAEEFGMLGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFAGATPQLDNLLREVTKKVKDPDSEGATIFENWAATNQAINIQRLSGVDSDFAPFLQHAGVPSVDIYYGKDFPVYHTAFDSYDWMINYGDPLFRRHVAVAGVWGLVALHLSDDSILPFDYLSYAEQLLGHKDVLSTLLDQSISLDPLITSIQGLALAAKEAEDEARQLSGQASGGDNVALKLRALNDRLMLAERGFLDADGLQGRHWHKHLIYGPPGDYKSKLDFFPGIADAISGSASMSRKDRHAAIQHEIWRVARAIQRATSALKGQLT >Manes.10G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2246625:2250425:-1 gene:Manes.10G023300.v8.1 transcript:Manes.10G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIVTFAIERIADAVVREASSLYGVRQGVEQLQTELKRIRCFLKDADSKQDQDERVRNCIAEIRDIAYEAEDIIDTFILKAETGSRKRVWRLIKRVTSMVIKVPYLHEIGNQIKSIQDKIGSVSTSMLTYNIKLVAEGEGSRSTSEMQRRLRRSFPHDEEDEDVIRLEASLRDVQSQLMVEEEQRRVVSIVGMGGLGKTTLAKALYNDNHVKQHFDCHSWSFISQQFSARDVLVGILTEVTSKQDKFDLVKMEEMSEEKLVETLFQVLKKKRYFVVLDDIWQNEVWDSLKHAFPAKGKKGSKVLLTTRNKEVAAYADPWSSPVEPPLLTNDEAWELLSRKAFPKDILIKDGCQREHESLGREMVKKCGGLPLAIVVLGGLLATKKTLKEWEVVRSNINAQFVLWERHHQYGGVYGILALSYHDLPFYLKPFFLYFSQFPEDWEIHKRMLIRMWAAEGFLSRALLGGNEAMEDMGERYLEELANRCMVQVSQRDYTGMGIKTCRIHDLMRDMCVLMARKENFLGISEHYHENIVARRIAVHPKISPDSDQLHSITLMPSNSRHRSFFYFLKEQRYEMKFDHRSLNFDECRLLRVLNLWGLKVEYIPNEIGDLIHLRYLGLRNTKVSMEAALPTSIGNLRSLCTLDVRNNQSLRLPDVVWKLKNLRHLFVDLLKILEYCRMDTLRNLETLKWAHPASLIRKNAMHKLTNLRNIAIGFNKREEIDLVMKSPIFSKGSLHSLNILARESSFPSLEPLSHCQSLKKLELRGEIPEHPSSLHHNLEFLPVSLNKLILSNSGLNQDPMSFLQKLPNLSFLHLEDKSYKGTKMGCSAHGFPQLETLKLEGLGVQEWKIEEGAMPCLKILHLEKLQELEKIPEGIEFITKLRELKVINMKKKFARKIQVIHGVEGEDFDKVKHISSISVSTTI >Manes.16G074400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27677338:27684743:-1 gene:Manes.16G074400.v8.1 transcript:Manes.16G074400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDWSESRYKRHKEKDGLDDSPRKQHLDGAYDEDGTEKLSKHSSRDKDRRKSSRGEDRDTDHRNKDRERSKRTTEDLEKEQEDYVDKERGKDRSRDSKAKDKDHDRDKSREKDRERDKDRKERGMEKERERERDIDKERGKEKNRERERERERGKEKEREKYKDREKEREIDKDRDREKGREKTKEREREADHEKERLREKDKLSKRVYSEDYDRSKDDIVELSYENSKDRDDSKQANASFDDDYEQKVEGKSGEGYASALALEGRISKMKEERLKKNSEGSEVLAWVNRSRKLEEKKNSEKEKAKQLSKIFEEQDNIVQGDSEDEEEAEHLTHDLAGVKVLHGLEKVMEGGAVVLTLKDQSILADGDINEEVDKLENVEIGEQKRRDEAYKAAKKKTGIYDDKFNDDPTAEKKILPQYDDSAVDEGVTLDARGRFTGEAEKKLEELRRRLQGSSTNNRFEDLTAFGRISSDYYTQEEILQFKKPKKKKSLRKKEKLDINALEAEAVSAGLGVGDLGSRNDGRRQAIREEKERSEAEMRSNAYQSAYSKADEASKSLRLEQTLPAKLDEDENPVFADDDEDLYKSLERARKLALKKQEEEASGPQAIARLAATTTTGSNIVDDQNLTTAESQENKIVFTEMEEFVWGLQLDEEAHKPDNDDVFMDEDEAPSRAADQERKDEPCGWTEVKEIDEDENPVNENQEDIVPDLTIHEAPVGKGLSGALSLLKERGTLKESIEWGGRNMDKKKSKLVGIVDNDVDKNKDRFKDIRIERTDEFGRIMTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDLPGGLTPMLGDKKVEHFLGIKRQAEAGNSGMPKKPRT >Manes.16G074400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27677338:27684730:-1 gene:Manes.16G074400.v8.1 transcript:Manes.16G074400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDWSESRYKRHKEKDGLDDSPRKQHLDGAYDEDGTEKLSKHSSRDKDRRKSSRGEDRDTDHRNKDRERSKRTTEDLEKEQEDYVDKERGKDRSRDSKAKDKDHDRDKSREKDRERDKDRKERGMEKERERERDIDKERGKEKNRERERERERGKEKEREKYKDREKEREIDKDRDREKGREKTKEREREADHEKERLREKDKLSKRVYSEDYDRSKDDIVELSYENSKDRDDSKQANASFDDDYEQKVEGKSGEGYASALALEGRISKMKEERLKKNSEGSEVLAWVNRSRKLEEKKNSEKEKAKQLSKIFEEQDNIVQGDSEDEEEAEHLTHDLAGVKVLHGLEKVMEGGAVVLTLKDQSILADGDINEEVDKLENVEIGEQKRRDEAYKAAKKKTGIYDDKFNDDPTAEKKILPQYDDSAVDEGVTLDARGRFTGEAEKKLEELRRRLQGSSTNNRFEDLTAFGRISSDYYTQEEILQFKKPKKKKSLRKKEKLDINALEAEAVSAGLGVGDLGSRNDGRRQAIREEKERSEAEMRSNAYQSAYSKADEASKSLRLEQTLPAKLDEDENPVFADDDEDLYKSLERARKLALKKQEEEASGPQAIARLAATTTTGSNIVDDQNLTTAESQENKIVFTEMEEFVWGLQLDEEAHKPDNDDVFMDEDEAPSRAADQERKDEPCGWTEVKEIDEDENPVNENQEDIVPDLTIHEAPVGKGLSGALSLLKERGTLKESIEWGGRNMDKKKSKLVGIVDNDVDKNKDRFKDIRIERTDEFGRIMTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDLPGGLTPMLGDKKVEHFLGIKRQAEAGNSGMPKKPRT >Manes.16G074400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27677338:27684730:-1 gene:Manes.16G074400.v8.1 transcript:Manes.16G074400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDWSESRYKRHKEKDGLDDSPRKQHLDGAYDEDGTEKLSKHSSRDKDRRKSSRGEDRDTDHRNKDRERSKRTTEDLEKEQEDYVDKERGKDRSRDSKAKDKDHDRDKSREKDRERDKDRKERGMEKERERERDIDKERGKEKNRERERERERGKEKEREKYKDREKEREIDKDRDREKGREKTKEREREADHEKERLREKDKLSKRVYSEDYDRSKDDIVELSYENSKDRDDSKQANASFDDDYEQKVEGKSGEGYASALALEGRISKMKEERLKKNSEGSEVLAWVNRSRKLEEKKNSEKEKAKQLSKIFEEQDNIVQGDSEDEEEAEHLTHDLAGVKVLHGLEKVMEGGAVVLTLKDQSILADGDINEEVDKLENVEIGEQKRRDEAYKAAKKKTGIYDDKFNDDPTAEKKILPQYDDSAVDEGVTLDARGRFTGEAEKKLEELRRRLQGSSTNNRFEDLTAFGRISSDYYTQEEILQFKKPKKKKSLRKKEKLDINALEAEAVSAGLGVGDLGSRNDGRRQAIREEKERSEAEMRSNAYQSAYSKADEASKSLRLEQTLPAKLDEDENPVFADDDEDLYKSLERARKLALKKQEEEASGPQAIARLAATTTTGSNIVDDQNLTTAESQENKIVFTEMEEFVWGLQLDEEAHKPDNDDVFMDEDEAPSRAADQERKDEPCGWTEVKEIDEDENPVNENQEDIVPDLTIHEAPVGKGLSGALSLLKERGTLKESIEWGGRNMDKKKSKLVGIVDNDVDKNKDRFKDIRIERTDEFGRIMTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDLPGGLTPMLGDKKVEHFLGIKRQAEAGNSGMPKKPRT >Manes.05G086480.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7774575:7774899:1 gene:Manes.05G086480.v8.1 transcript:Manes.05G086480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGKTTWPELVGANGDSAAATIEEENKNVKATVLKEGTPVTKDFRTNRVRVWVDENNVVTQAPTIG >Manes.03G075916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12824139:12824691:-1 gene:Manes.03G075916.v8.1 transcript:Manes.03G075916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFICYLIFFSLTSSLFFFFLFLFYISLFFYFFIFLIILFISLSFFFLLIFFLFCYFLHLLFFYHLFFSSLIFLILFYTFLFLLFFFSLSFSIIFFNSLSSLIFFPFIYLFFLITSFFSLIFYFPYFILLTILFS >Manes.09G050500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8518697:8520240:1 gene:Manes.09G050500.v8.1 transcript:Manes.09G050500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSTASATRRLEGKVALITGGASGIGESTARLFIKHGAKVLIADVQDDLGHSLCQEFDSQETLSYIYCDVTCDSDVQNAVDTAVSRYGKLDIMFSNAGISGKPETRILSTENEEFKKVLDVNAFGAFLGAKHAARVMIPAKKGCILFTSSLVSVCCMGSPYPYIASKYAVVGLAKNLCVELGKYGIRVNSISPFGVATQMVRDAFGNREKKEIEEIISSVANLKEVVLEADDIAEAALYLASDESKYISGINLAVDGGYSLTNPTFSSAMKSLFS >Manes.11G086000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:12750478:12752545:-1 gene:Manes.11G086000.v8.1 transcript:Manes.11G086000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTRSFLNYVELKKEGIKDLESEPDLEMGQLDTADEQNLSKFFEEVNEIKIVMEEIANLLHDLQGLNEDSKSTHSMKVLKGIRDRINSDMVAILRKAKIIKSRLESLDRSNMDNRNLSVAYKEGSPIDRTRVSVTNGLRINLRNMMHDFQSLRAQILKDHKEGFKRRYYNATGEQLSDEMLDKMVFEGGQEKVFEGKADLVMENQERHEALKEIQRSLTELHQLFLDMAVLVEKQGDEINNIEENVACAGTYINGGTNGLYYAKQMKKNRRNWSCWIGLLLLVLLVILVSTLAS >Manes.11G086000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:12750478:12752545:-1 gene:Manes.11G086000.v8.1 transcript:Manes.11G086000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTRSFLNYVELKKEGIKDLESEPDLEMGQLDTADEQNLSKFFEEVNEIKIVMEEIANLLHDLQGLNEDSKSTHSMKVLKGIRDRINSDMVAILRKAKIIKSRLESLDRSNMDNRNLSVAYKEGSPIDRTRVSVTNGLRINLRNMMHDFQSLRAQILKDHKEGFKRRYYNATGEQLSDEMLDKMVFEGGQEKVFEGKADLVMENQERHEALKEIQRSLTELHQLFLDMAVLVEKQGDEINNIEENVACAGTYINGGTNGLYYAKQMKKNRRNWSCWIGLLLLVLLVILVSTLAS >Manes.11G086000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:12750478:12752545:-1 gene:Manes.11G086000.v8.1 transcript:Manes.11G086000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTRSFLNYVELKKEGIKDLESEPDLEMGQLDTADEQNLSKFFEEVNEIKIVMEEIANLLHDLQGLNEDSKSTHSMKVLKGIRDRINSDMVAILRKAKIIKSRLESLDRSNMDNRNLSVAYKEGSPIDRTRVSVTNGLRINLRNMMHDFQSLRAQILKDHKEGFKRRYYNATGEQLSDEMLDKMVFEGGQEKVFEGKADLVMENQERHEALKEIQRSLTELHQLFLDMAVLVEKQGDEINNIEENVACAGTYINGGTNGLYYAKQMKKNRRNWSCWIGLLLLVLLVILVSTLAS >Manes.11G086000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:12750478:12752545:-1 gene:Manes.11G086000.v8.1 transcript:Manes.11G086000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTRSFLNYVELKKEGIKDLESEPDLEMGQLDTADEQNLSKFFEEVNEIKIVMEEIANLLHDLQGLNEDSKSTHSMKVLKGIRDRINSDMVAILRKAKIIKSRLESLDRSNMDNRNLSVAYKEGSPIDRTRVSVTNGLRINLRNMMHDFQSLRAQILKDHKEGFKRRYYNATGEQLSDEMLDKMVFEGGQEKVFEGKADLVMENQERHEALKEIQRSLTELHQLFLDMAVLVEKQGDEINNIEENVACAGTYINGGTNGLYYAKQMKKNRRNWSCWIGLLLLVLLVILVSTLAS >Manes.10G133692.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30028435:30030126:1 gene:Manes.10G133692.v8.1 transcript:Manes.10G133692.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAMDDAVVMFPSPAIGHFISMVELGKLILTFQPSLSIHILIVSAPYSAGSTASYIADVAATTPSISFHRLPTITLPSSTNTHYETLIFEVLRLSNPHVHQALLSISKTYKIKAFIMDFFCFFSLSIASQLNIPGYFFFTSGAGCLAASMYFPTLHQTTTKSFKDMNTFLNLPGIPPISSSNFSSAVSDRNNKAYEYFLDMAYCFPKSAGVIVNTFAVLEARALKAISDGLCIPDSTTPPVYCTGPLIVTNNQTDGDTECLNWLESQLSQSVIFLCFGSLGLFSMEQLREIASGLERSGQRFLWVVRNPPSDSQSLDISALPEPDLNSLLPDGFLDRTKEKGLVVKSWAPQVAVLNHKSVGGFVTHCGWNSVLEAVSAGVPMVAWPLYAEQKFNRLMLVEEMKIALPMKEDEKGFVTGLEVEKGVNELMQSDSGKSVRERTIAMKNAAKAALSEGGSSRVAMSRLVESWKH >Manes.03G123600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25089347:25089763:-1 gene:Manes.03G123600.v8.1 transcript:Manes.03G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTLLAATFIAFLLLVEASRVTTVTKEDDEKGNPKMKSCQKQVQRQKYLRNCQEYMKEKADYNKQLNHEKDEEYPEECCEELRKMDYRCCCEGLKQAILQLQTKGELEGEDLSHAYWIARDLPLTCGLKAGQCRLQ >Manes.13G145810.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35928102:35935675:-1 gene:Manes.13G145810.v8.1 transcript:Manes.13G145810.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKDSRTNSPKERQSRKGSLDRRVAHVNSWRRDDGMRSKSKSDSGEVKVMLIDKKTNGSNVLYDDQIEKKQIENQIERKNIENCEVAIISHPQIEINKTEKRDVSVCSHPGWGRVPKSLEAEQIAVGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.06G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26328222:26330704:1 gene:Manes.06G135400.v8.1 transcript:Manes.06G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHTAPVLTDPAPISKSRMGVHSSLLPYTPGAAFSSNLFLTIPRKKTGVLDDFRSSSWVDTMKSSSPPHKKMTKDLSNEISFADTDVAYRTWTLKYPSALTSFEQIANFAKGKRIALFLDYDGTLSPIVDNPDCAFMSNAMRSAVKKVAKCFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVRQSVSDDQPNCMRSADKQGKEVNLFQPASEFLPMIDEVYSSLVDSTKDIKGAKVENNKFCVSVHYRNVDEKSWKSVAQCVYDVIKNYPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGLSNCDDVLPIYVGDDRTDEDAFKVLRERNCGYGVLVTSVPKESNAFYSLRDPSEVMEFLKSLVMWKKSSAL >Manes.05G072300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5974217:5981438:-1 gene:Manes.05G072300.v8.1 transcript:Manes.05G072300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGIAAPTDRAPAVGPTVVPVQTPPMMVADAFAKDAILAWFRGEFAAANAIIDALCSHLAQLSGGTGSDYEEVFAAIHRRRLNWIPVLQMQKYHSIADVSVELKRLAERKLNEKNGESEKKSEVVLKELCLAEEEKVIEKATESTENGVDEGGDGYEIVEEDDSPDSDITDSGSQEVQPTSDSIDICSNHEDCNVRPDQIKLTKGFTAKEQVKGHMVNVVKGLKLYEDVFTDSELSKLTDFVNELRVAGQNGELAGETFILFNKQMKGNKRELIQLGVPIFGHIKEEASSNNQTSNIEPIPVLLEGVINHLVQWQLIPEYKKPNGCIIHFFDEDEYSQPFQKPPHLDQPVSTLLLSESTMAFGRTLVSDNDGNYRGPLMLSLKEGKRW >Manes.05G072300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5974217:5981438:-1 gene:Manes.05G072300.v8.1 transcript:Manes.05G072300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGIAAPTDRAPAVGPTVVPVQTPPMMVADAFAKDAILAWFRGEFAAANAIIDALCSHLAQLSGGTGSDYEEVFAAIHRRRLNWIPVLQMQKYHSIADVSVELKRLAERKLNEKNGESEKKSEVVLKELCLAEEEKVIEKATESTENGVDEGGDGYEIVEEDDSPDSDITDSGSQEVQPTSDSIDICSNHEDCNVRPDQIKLTKGFTAKEQVKGHMVNVVKGLKLYEDVFTDSELSKLTDFVNELRVAGQNGELAGETFILFNKQMKGNKRELIQLGVPIFGHIKEEASSNNQTSNIEPIPVLLEGVINHLVQWQLIPEYKKPNGCIIHFFDEDEYSQPFQKPPHLDQPVSTLLLSESTMAFGRTLHFISGC >Manes.05G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5974217:5981438:-1 gene:Manes.05G072300.v8.1 transcript:Manes.05G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGIAAPTDRAPAVGPTVVPVQTPPMMVADAFAKDAILAWFRGEFAAANAIIDALCSHLAQLSGGTGSDYEEVFAAIHRRRLNWIPVLQMQKYHSIADVSVELKRLAERKLNEKNGESEKKSEVVLKELCLAEEEKVIEKATESTENGVDEGGDGYEIVEEDDSPDSDITDSGSQEVQPTSDSIDICSNHEDCNVRPDQIKLTKGFTAKEQVKGHMVNVVKGLKLYEDVFTDSELSKLTDFVNELRVAGQNGELAGETFILFNKQMKGNKRELIQLGVPIFGHIKEEASSNNQTSNIEPIPVLLEGVINHLVQWQLIPEYKKPNGCIIHFFDEDEYSQPFQKPPHLDQPVSTLLLSESTMAFGRTLVSDNDGNYRGPLMLSLKEGSLLVMRGNSADVARHVMCPSPSKRVSITFFKVRPDANPGQSSPTNSVAGAMTLWQPNIPSPYAMPNGALGGYETMDTMTKWGVLRTPVVMLAPVRPMVLSPKRIPRGGTGVFLPWTVGSRKPAKHLPPRAQRGRLLALASIETHATESASETGVSVEGK >Manes.11G148600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31314563:31316690:-1 gene:Manes.11G148600.v8.1 transcript:Manes.11G148600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSMEIALESASDRAPLLLDKMRTQDLRQVFSRIFGWETSVMDKQWLKRRIVFGLQNRGELVDSLNLLEFDKTSNADEEKAVVLLNTALSGSACNSTDILDNQLNSREKHVKRARLAGCNSLKSVSSPLREVGFCSVSESNTAEALVTQKQTRRPTRICTKGLQEQNSRYHHRKCGASYKNARDDFLNVKTHKHHCRRGTGTGQLNCQEESLKGTSSCTEVPLGLAVQIGESTKKSHLVNDFDNCKDNEVSVSDEDFDRETSSAESQDDVSEVDSVTRRKRGRKRKQRHRRWTPSEVMKLIEGVSMYGVGKWTHIKKLLFSSSSHRTSVNLKDKWRNLLKACNNEMQKKRKGEQGETQLSHQLSESIWCQVRELAVIYSYPKESKSKVSCNTVASSTPSYYC >Manes.11G148600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31313549:31321858:-1 gene:Manes.11G148600.v8.1 transcript:Manes.11G148600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESKDALVDENASIFLHSHAEVDQDLSYLTTFEDEVTRLQHYLPECNMDCFDGTVGFETFNSLKGFNVDSFPCAFDYDHINFDSDALDLYLAQEAEETKGDSNTLPATNKKVLGDFRIDSACKGTFCAEPADEASNSSYGSCEKTCLGNVALKSQSSHFQKRECHSEISSMYPSMEIALESASDRAPLLLDKMRTQDLRQVFSRIFGWETSVMDKQWLKRRIVFGLQNRALSGSACNSTDILDNQLNSREKHVKRARLAGCNSLKSVSSPLREVGFCSVSESNTAEALVTQKQTRRPTRICTKGLQEQNSRYHHRKCGASYKNARDDFLNVKTHKHHCRRGTGTGQLNCQEESLKGTSSCTEVPLGLAVQIGESTKKSHLVNDFDNCKDNEVSVSDEDFDRETSSAESQDDVSEVDSVTRRKRGRKRKQRHRRWTPSEVMKLIEGVSMYGVGKWTHIKKLLFSSSSHRTSVNLKDKWRNLLKACNNEMQKKRKGEQGETQLSHQLSESIWCQVRELAVIYSYPKESKSKVSCNTVASSTPSYYC >Manes.11G148600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31313549:31321858:-1 gene:Manes.11G148600.v8.1 transcript:Manes.11G148600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESKDALVDENASIFLHSHAEVDQDLSYLTTFEDEVTRLQHYLPECNMDCFDGTVGFETFNSLKGFNVDSFPCAFDYDHINFDSDALDLYLAQEAEETKGDSNTLPATNKKVLGDFRIDSACKGTFCAEPADEASNSSYGSCEKTCLGNVALKSQSSHFQKRECHSEISSMYPSMEIALESASDRAPLLLDKMRTQDLRQVFSRIFGWETSVMDKQWLKRRIVFGLQNRALSGSACNSTDILDNQLNSREKHVKRARLAGCNSLKSVSSPLREVGFCSVSESNTAEALVTQKQTRRPTRICTKGLQEQNSRYHHRKCGASYKNARDDFLNVKTHKHHCRRGTGTGQLNCQEESLKGTSSCTEVPLGLAVQIGESTKKSHLVNDFDNCKDNEVSVSDEDFDRETSSAESQDDVSEVDSVTRRKRGRKRKQRHRRWTPSEVMKLIEGVSMYGVGKWTHIKKLLFSSSSHRTSVNLKDKWRNLLKACNNEMQKKRKGEQGETQLSHQLSESIWCQVRELAVIYSYPKESKSKVSCNTVASSTPSYYC >Manes.11G148600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31313549:31321858:-1 gene:Manes.11G148600.v8.1 transcript:Manes.11G148600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESKDALVDENASIFLHSHAEVDQDLSYLTTFEDEVTRLQHYLPECNMDCFDGTVGFETFNSLKGFNVDSFPCAFDYDHINFDSDALDLYLAQEAEETKGDSNTLPATNKKVLGDFRIDSACKGTFCAEPADEASNSSYGSCEKTCLGNVALKSQSSHFQKRECHSEISSMYPSMEIALESASDRAPLLLDKMRTQDLRQVFSRIFGWETSVMDKQWLKRRIVFGLQNRGELVDSLNLLEFDKTSNADEEKAVVLLNTALSGSACNSTDILDNQLNSREKHVKRARLAGCNSLKSVSSPLREVGFCSVSESNTAEALVTQKQTRRPTRICTKGLQEQNSRYHHRKCGASYKNARDDFLNVKTHKHHCRRGTGTGQLNCQEESLKGTSSCTEVPLGLAVQIGESTKKSHLVNDFDNCKDNEVSVSDEDFDRETSSAESQDDVSEVDSVTRRKRGRKRKQRHRRWTPSEVMKLIEGVSMYGVGKWTHIKKLLFSSSSHRTSVNLKDKWRNLLKACNNEMQKKRKGEQGETQLSHQLSESIWCQVRELAVIYSYPKESKSKVSCNTVASSTPSYYC >Manes.11G148600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31313549:31321858:-1 gene:Manes.11G148600.v8.1 transcript:Manes.11G148600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESKDALVDENASIFLHSHAEVDQDLSYLTTFEDEVTRLQHYLPECNMDCFDGTVGFETFNSLKGFNVDSFPCAFDYDHINFDSDALDLYLAQEAEETKGDSNTLPATNKKVLGDFRIDSACKGTFCAEPADEASNSSYGSCEKTCLGNVALKSQSSHFQKRECHSEISSMYPSMEIALESASDRAPLLLDKMRTQDLRQVFSRIFGWETSVMDKQWLKRRIVFGLQNRGELVDSLNLLEFDKTSNADEEKAVVLLNTALSGSACNSTDILDNQLNSREKHVKRARLAGCNSLKSVSSPLREVGFCSVSESNTAEALVTQKQTRRPTRICTKGLQEQNSRYHHRKCGASYKNARDDFLNVKTHKHHCRRGTGTGQLNCQEESLKGTSSCTEVPLGLAVQIGESTKKSHLVNDFDNCKDNEVSVSDEDFDRETSSAESQDDVSEVDSVTRRKRGRKRKQRHRRWTPSEVMKLIEGVSMYGVGKWTHIKKLLFSSSSHRTSVNLKDKWRNLLKACNNEMQKKRKGEQGETQLSHQLSESIWCQVRELAVIYSYPKESKSKVSCNTVASSTPSYYC >Manes.11G148600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31313549:31321858:-1 gene:Manes.11G148600.v8.1 transcript:Manes.11G148600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESKDALVDENASIFLHSHAEVDQDLSYLTTFEDEVTRLQHYLPECNMDCFDGTVGFETFNSLKGFNVDSFPCAFDYDHINFDSDALDLYLAQEAEETKGDSNTLPATNKKVLGDFRIDSACKGTFCAEPADEASNSSYGSCEKTCLGNVALKSQSSHFQKRECHSEISSMYPSMEIALESASDRAPLLLDKMRTQDLRQVFSRIFGWETSVMDKQWLKRRIVFGLQNRGELVDSLNLLEFDKTSNADEEKAVVLLNTALSGSACNSTDILDNQLNSREKHVKRARLAGCNSLKSVSSPLREVGFCSVSESNTAEALVTQKQTRRPTRICTKGLQEQNSRYHHRKCGASYKNARDDFLNVKTHKHHCRRGTGTGQLNCQEESLKGTSSCTEVPLGLAVQIGESTKKSHLVNDFDNCKDNEVSVSDEDFDRETSSAESQDDVSEVDSVTRRKRGRKRKQRHRRWTPSEVMKLIEGVSMYGVGKWTHIKKLLFSSSSHRTSVNLKDKWRNLLKACNNEMQKKRKGEQGETQLSHQLSESIWCQVRELAVIYSYPKESKSKVSCNTVASSTPSYYC >Manes.03G096216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16412291:16414134:-1 gene:Manes.03G096216.v8.1 transcript:Manes.03G096216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSQDPVISKQQSSQRFWSRMTEAYEVAKNEFWEFRNPRSLQCQMQVIEKVIRKLNGCYRQLNQAKTLLIHNPNYKKGFKFDHVWSMMKDAEKFKNGSSTKKVQNQNSSYVFSESNNPTSGSPMVPSSNLSSFSIYLNEDIKGRLMRSRALDLKEFNEENKILLLDLNSISDPIAREMFR >Manes.08G012300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1397015:1398587:1 gene:Manes.08G012300.v8.1 transcript:Manes.08G012300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGAALQKDVPWRASSSKPLPKIHHSPVLRVSQTPYSTYALSVMKHPNPVGTGLATEAVVEAAGPDCIVPGQITPIRLLGVKVWPIDVDLKFMEPVGRELKLLGKVMLLFF >Manes.08G012300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1396737:1400425:1 gene:Manes.08G012300.v8.1 transcript:Manes.08G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGAALQKDVPWRASSSKPLPKIHHSPVLRVSQTPYSTYALSVMKHPNPVGTGLATEAVVEAAGPDCIVPGQITPIRLLGVKVWPIDVDLKFMEPVGRELKLLGKFMDDAVNLMNKSFIDR >Manes.03G024400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1995663:1998215:1 gene:Manes.03G024400.v8.1 transcript:Manes.03G024400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGSSRTLLAALFVFLTAFDLSPVDANFVKSMYFYWGAQHSTVLGTGDELQLVLDQTSGSGIKSKRSFLFGSVQMLIKLVPGNSAGTVTAYYVSSGGDRHDEIDFEFLGNVSGQPYIIHTNIYTQGNGSREQQFYPWFDPTADFHNYTIHWNPSEIVWYVDSVPIRVFRNYESEGIAYPNKQGMRAYSSLWNADNWATRGGLVKIDWNSAPFIARYRTFRARACKWNGPVSISECASITPANWWTSPTYSQLSYAKQGQMKWVRDNYMIYDYCKDFKRFNGQMPPECFKPQF >Manes.03G024400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1996076:1998215:1 gene:Manes.03G024400.v8.1 transcript:Manes.03G024400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGSSRTLLAALFVFLTAFDLSPVDANFVKSMYFYWGAQHSTVLGTGDELQLVLDQTSGSGIKSKRSFLFGSVQMLIKLVPGNSAGTVTAYYVSSGGDRHDEIDFEFLGNVSGQPYIIHTNIYTQGNGSREQQFYPWFDPTADFHNYTIHWNPSEIVWYVDSVPIRVFRNYESEGIAYPNKQGMRAYSSLWNADNWATRGGLVKIDWNSAPFIARYRTFRARACKWNGPVSISECASITPANWWTSPTYSQLSYAKQGQMKWVRDNYMIYDYCKDFKRFNGQMPPECFKPQF >Manes.03G024400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1995663:1998215:1 gene:Manes.03G024400.v8.1 transcript:Manes.03G024400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGSSRTLLAALFVFLTAFDLSPVDANFVKSMYFYWGAQHSTVLGTGDELQLVLDQTSGSGIKSKRSFLFGSVQMLIKLVPGNSAGTVTAYYVSSGGDRHDEIDFEFLGNVSGQPYIIHTNIYTQGNGSREQQFYPWFDPTADFHNYTIHWNPSEIVWYVDSVPIRVFRNYESEGIAYPNKQGMRAYSSLWNADNWATRGGLVKIDWNSAPFIARYRTFRARACKWNGPVSISECASITPANWWTSPTYSQLSYAKQGQMKWVRDNYMIYDYCKDFKRFNGQMPPECFKPQF >Manes.03G024400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1995963:1998215:1 gene:Manes.03G024400.v8.1 transcript:Manes.03G024400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGSSRTLLAALFVFLTAFDLSPVDANFVKSMYFYWGAQHSTVLGTGDELQLVLDQTSGSGIKSKRSFLFGSVQMLIKLVPGNSAGTVTAYYVSSGGDRHDEIDFEFLGNVSGQPYIIHTNIYTQGNGSREQQFYPWFDPTADFHNYTIHWNPSEIVWYVDSVPIRVFRNYESEGIAYPNKQGMRAYSSLWNADNWATRGGLVKIDWNSAPFIARYRTFRARACKWNGPVSISECASITPANWWTSPTYSQLSYAKQGQMKWVRDNYMIYDYCKDFKRFNGQMPPECFKPQF >Manes.13G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34149202:34153523:1 gene:Manes.13G132200.v8.1 transcript:Manes.13G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEAVVSTSSLTMTEHTVTEAPTDVEIQTSNEATIESNVQGGTESTCNNISNSGEASALTSDGDGDGDGEKSLEFADVLTDRGTKALKESDYGEAAECFSRALEIKVSHYGELAYECLNAYYQYGRALLYKAQEEADPLATVPKKDSESKQDSDKDGSVKNSINGESSTASVSSNVEEDGKHNSNHQGGAADDASGGKDQEEEGGDSEDEDLAEADEDESDLDLAWKMLDVARAIAEKHSGDTMDKVDVLSALAEVALEREDIETSLSDYQKALSILERLVEPDSRLIAELSFRICLCLEIGSKPQEAIPYCQKAISICKSRLQRLVNEVKSSSESAMSSTVSELSEGVQQSSNGLESDKAVADKESEIETLTGLSGELEKKLEDLQQLALNPKSILSEILGMASAKAIRSEKSAAPAVLSSSQMATANSSGGFDSPTVSTAHTNGAAVTHLGVVGRGVKRVLMSTASAESSLMKKPTLDPSVADKEDGN >Manes.13G132200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34149202:34153523:1 gene:Manes.13G132200.v8.1 transcript:Manes.13G132200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEAVVSTSSLTMTEHTVTEAPTDVEIQTSNEATIESNVQGGTESTCNNISNSGEASALTSDGDGDGDGEKSLEFADVLTDRGTKALKESDYGEAAECFSRALEIKVSHYGELAYECLNAYYQYGRALLYKAQEEADPLATVPKKDSESKQDSDKDGSVKNSINGESSTASVSSNVEEDGKHNSNHQGGAADDASGGKDQEEEGGDSEDEDLAEADEDESDLDLAWKMLDVARAIAEKHSGDTMDKVDVLSALAEVALEREDIETSLSDYQKALSILERLVEPDSRLIAEFFRICLCLEIGSKPQEAIPYCQKAISICKSRLQRLVNEVKSSSESAMSSTVSELSEGVQQSSNGLESDKAVADKESEIETLTGLSGELEKKLEDLQQLALNPKSILSEILGMASAKAIRSEKSAAPAVLSSSQMATANSSGGFDSPTVSTAHTNGAAVTHLGVVGRGVKRVLMSTASAESSLMKKPTLDPSVADKEDGN >Manes.17G014801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7184130:7187133:1 gene:Manes.17G014801.v8.1 transcript:Manes.17G014801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPNNILITGAAGFIASHVCNRLHRIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.02G029775.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2570575:2576422:-1 gene:Manes.02G029775.v8.1 transcript:Manes.02G029775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMEMDVDDADAELVFEAREIDLDYEFDAAMFFDLTREESHAEAREAERWFERAQSYPPSPFVAKLVLREGSLLEDVATSPNSRDVDDTATFRSDDCSVGLGPEAPAVEVDNKEHEERYRGIFTNLQSGNLQKLSHRQLELGTGLSYYNHASSDTSRIKVKSTFKPNLPRTSTLMKPTASILAKQNQPPQADGSRFQLLPAQKEKSIYKSSAVESQAAKRQKLEGGRSQKVGDAKQQTSFVHKAPKKDGTVDKGSANPKLRLTIPREPDLETAHRAQRIRPKNSTEVETVEVASRRFRARPLNRKILEAPSLPLPKKSTPKLPEFQEFRLKTLERAMQHISTVSSSLLQRSDPEKGLDKPGTISVAENGKRESRRPSAMDSQELDESSTAHVFKARPLDKKIFTSKGDIGVFRNSKREATVPMEFNFHTEKRIQHNPPIDLFSKLSLTSELQPNNGSQLQLPRPSCISLKGSKENRLNPLQTEHKMAHLAIGKPPIFGGKQAQCNEVGNQLSELGCSVIETSNGLLPIII >Manes.02G029775.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2570575:2576586:-1 gene:Manes.02G029775.v8.1 transcript:Manes.02G029775.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMEMDVDDADAELVFEAREIDLDYEFDAAMFFDLTREESHAEAREAERWFERAQSYPPSPFVAKLVLREGSLLEDVATSPNSRDVDDTATFRSDDCSVGLGPEAPAVEVDNKEHEERYRGIFTNLQSGNLQKLSHRQLELGTGLSYYNHASSDTSRIKVKSTFKPNLPRTSTLMKPTASILAKQNQPPQADGSRFQLLPAQKEKSIYKSSAVESQAAKRQKLEGGRSQKVGDAKQQTSFVHKAPKKDGTVDKGSANPKLRLTIPREPDLETAHRAQRIRPKNSTEVETVEVASRRFRARPLNRKILEAPSLPLPKKSTPKLPEFQEFRLKTLERAMQHISTVSSSLLQRSDPEKGLDKPGTISVAENGKRESRRPSAMDSQELDESSTAHVFKARPLDKKIFTSKGDIGVFRNSKREATVPMEFNFHTEKRIQHNPPIDLFSKLSLTSELQPNNGSQLQLPRPSCISLKGSKENRLNPLQTEHKMAHLAIGKPPIFGGKQAQCNEVGNQLSVRSLGVR >Manes.02G029775.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2569985:2576734:-1 gene:Manes.02G029775.v8.1 transcript:Manes.02G029775.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMEMDVDDADAELVFEAREIDLDYEFDAAMFFDLTREESHAEAREAERWFERAQSYPPSPFVAKLVLREGSLLEDVATSPNSRDVDDTATFRSDDCSVGLGPEAPAVEVDNKEHEERYRGIFTNLQSGNLQKLSHRQLELGTGLSYYNHASSDTSRIKVKSTFKPNLPRTSTLMKPTASILAKQNQPPQADGSRFQLLPAQKEKSIYKSSAVESQAAKRQKLEGGRSQKVGDAKQQTSFVHKAPKKDGTVDKGSANPKLRLTIPREPDLETAHRAQRIRPKNSTEVETVEVASRRFRARPLNRKILEAPSLPLPKKSTPKLPEFQEFRLKTLERAMQHISTVSSSLLQRSDPEKGLDKPGTISVAENGKRESRRPSAMDSQELDESSTAHVFKARPLDKKIFTSKGDIGVFRNSKREATVPMEFNFHTEKRIQHNPPIDLFSKLSLTSELQPNNGSQLQLPRPSCISLKGSKENRLNPLQTEHKMAHLAIGKPPIFGGKQAQCNEVGNQLSVRSLGVR >Manes.02G029775.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2570575:2576422:-1 gene:Manes.02G029775.v8.1 transcript:Manes.02G029775.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDMEMDVDDADAELVFEAREIDLDYEFDAAMFFDLTREESHAEAREAERWFERAQSYPPSPFVAKLVLREGSLLEDVATSPNSRDVDDTATFRSDDCSVGLGPEAPAVEVDNKEHEERYRGIFTNLQSGNLQKLSHRQLELGTGLSYYNHASSDTSRIKVKSTFKPNLPRTSTLMKPTASILAKQNQPPQADGSRFQLLPAQKEKSIYKSSAVESQAAKRQKLEGGRSQKVGDAKQQTSFVHKAPKKDGTVDKGSANPKLRLTIPREPDLETAHRAQRIRPKNSTEVETVEVASRRFRARPLNRKILEAPSLPLPKKSTPKLPEFQEFRLKTLERAMQHISTVSSSLLQRSDPEKGLDKPGTISVAENGKRESRRPSAMDSQELDESSTAHVFKARPLDKKIFTSKGDIGVFRNSKREATVPMEFNFHTEKRIQHNPPIDLFSKLSLTSELQPNNGSQLQLPRPSCISLKKID >Manes.12G072500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7880482:7885678:-1 gene:Manes.12G072500.v8.1 transcript:Manes.12G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSESQSRASISFSHADHQYPDMDTMVQLIQALQNSETRERALLLLSKNRIIREELAPLLWHSFGTITTLLQEIILVYHSLSSAHLTERVSNRVCNALALLQGVAAHPDTKMLFIKAKIPSYLLPFLNNTSKEKSHEYLRLASLGVIGALVKVDDAEVVHYILNSELFPSFLCCMEVGAELSKTVATFIVHRILVHEEGLKYCCILADRFFVIVNVLGTMIEKLAEDGRLVEDHSKRLLKHIIWCYKRLSEHPRACDALRSCLPMKLKHPSFINILRDDETAMQCIQKLLDNVAAGHWSRQIAGQPVAQLLGRLIRGQIG >Manes.18G012901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1468244:1468644:-1 gene:Manes.18G012901.v8.1 transcript:Manes.18G012901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSIVSFHPPDVSAACLTTIKRTISRLYEVLGIQIGATFQEIKAAYRRLARVLHPDIATNGQKEETMFEFIKILKAYETLSDPDKRADYDRLLLWRGATRIARSSASRLSRYIRRNWETDHCW >Manes.08G101000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33618256:33622638:-1 gene:Manes.08G101000.v8.1 transcript:Manes.08G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLRLISSSSTGHHFRAGLSSRHQLSAQLSTLIHPLKSHSPPMAATEDSLRKALAEKQSAVEGQGNAVRALKAAKVSKAEIDAAIGTLNALKLEKSSIEKQLQAVVSGNGPDSSVSKEAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEKLQKDLSITAEKAAELKHVLAVLDDLSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLIPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYPEVADLEFLMFPREEQMSGQSAKRIRLGEAVSKGIVNNETLGYFIGRVYLFLTRLGIDKKRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFSEPREVEKLVIAPVKKELGLAFKGNQKMVVEALEAMNEKEAMEMKASLETKGEVEFYVCTLEKNVCIKKNMVTISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKAGDEQLNVFQFPPLVAPIKCTVFPLVQNQQYEEVAKVISRSLTAAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTSSVTIRERDSKDQIRVNVEEAASVVKSVTDGQRTWDDVWSNFPHHSSGTTED >Manes.12G129100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33647964:33649398:1 gene:Manes.12G129100.v8.1 transcript:Manes.12G129100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.13G079162.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:11790602:11792683:1 gene:Manes.13G079162.v8.1 transcript:Manes.13G079162.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFNGAIPLPPSYTFTASSSSTTSANNITNPWMDSRIWGKLPQRLLDRVIAFLPPPAFFRARCVCRRWYALLFSNSFLELYIQLSPRHHWFLFFKHKSLKSYIYRNNSSSGNGQSRTNFEGYLFDPNDIAWYRISFALVPPGFSPAASSGGLICWVSDEAGTKNLVLCNPLVGSLSQLPPTLRPRLFPSIGLTVGPSSIDVAVAGDDLISPFAVKNLSTECFHIDAGGFYSLWGTTSSLPRLCSLESGQMIYAGDQFYCMNYSPFSVLAYDVATNNWRNIQAPMRRFLRSPSLVESTGKLILVAAVEKSKLNVPKSLRLWGLQSCGTTWVEIERMPQQLYIQFSELEAGRGFNCVGHGEFIAIMIRGSDKSLLFDICRKRWQWIPPCPYVYGGGVSIGDDSGELHGFAYQPRLAVPVTALLDQLTLPFQSFN >Manes.16G112200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTDCT >Manes.16G112200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTGKNLSSDNHVDKNTVPDAHIPSTSFSLVGTSKRNAPKPVLPAEAATPGQGHSSKIGPSSGWISSLQRIHSAK >Manes.16G112200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTGKNLSSDNHVDKNTVPDAHIPSTSFSLVGTSKRNAPKPVLPAEAATPGQGHSSKIGPSSGWISSLQRIHSAK >Manes.16G112200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTDCT >Manes.16G112200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTGKNLSSDNHVDKNTVPDAHIPSTSFSLVGTSKRNAPKPVLPAEAATPGQGHSSKIGPSSGWISSLQRIHSAK >Manes.16G112200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTGKNLSSDNHVDKNTVPDAHIPSTSFSLVGTSKRNAPKPVLPAEAATPGQGHSSKIGPSSGWISSLQRIHSAK >Manes.16G112200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTGKNLSSDNHVDKNTVPDAHIPSTSFSLVGTSKRNAPKPVLPAEAATPGQGHSSKIGPSSGWISSLQRIHSAK >Manes.16G112200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTDCT >Manes.16G112200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31770185:31776870:-1 gene:Manes.16G112200.v8.1 transcript:Manes.16G112200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGKKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYHLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHILYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKSRSQPRSSPVPGASSSHIVPTDMENPQGGNNALYSSDIMRSGGPSVRMQFKSTTDCT >Manes.15G031800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2477636:2479090:-1 gene:Manes.15G031800.v8.1 transcript:Manes.15G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSSCLSQVLWVVFTIMLFFNQSHQHFPPPISIPNPRLMNAYFALQAWKQAITSDPNNFTANWNGPDVCHYNGVYCATAPDGSHTLTVAGIDLNHANIAGCLPDELGLLTDLSLFHLNSNRFSGTIPASFINLHLLYELDVSNNQFSGPFPCVVLYLPSLKYLDIRFNEFNGDIPEQLFELELDALFLNNNKFESSLPQNLGNSPLSVFVVANNNIRGCIPPSLAKMGGTLEELILSNLGLTDSLRQDIGMLKGLKVLDLSFNQLCGPLPESIGEMRNLEQLNVAHNKLSGQVPKSICSLRNLQNFTYSFNYIAGEPPVCIMSPAKDDRSNCIPSRPLQRSPEECRSFYANPINCGAITCSRS >Manes.05G105600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9964405:9969632:-1 gene:Manes.05G105600.v8.1 transcript:Manes.05G105600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGVQVRCEKAGSGGPPVIGRTRLQVWFIRICSSILLWTGLVQLLTVGELWQPHFFTNITYKITQITPFPVKVQLQAQPPPPPLLPARNYTSNGYLKVSCNGGLNQMRSAICDMVAVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIFSLRDEVRIVKRVPKRFRKYGYKVFKMPPVSWSNEKYYLQQILPLFGKFKVLHFNKTDARLANNGVSLDLQKLRCRVNFQALKFTPQIESLGQKLIRILQDRGAFVALHLRYEMDMLAFSGCTHGCTKDEAEELKVLRYAYPWWREKEIVSEERRTQGLCPLTPEETALVLQALGFEKETQIYIAAGEIYGSERRLAALRAAFPRLVRKEMLLDPEELQQFQNHSSQMAALDFMVSVASNTFVPTYDGNMAKVVAGILGSKRQFY >Manes.05G105600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9964405:9969628:-1 gene:Manes.05G105600.v8.1 transcript:Manes.05G105600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEGVQVRCEKAGSGGPPVIGRTRLQVWFIRICSSILLWTGLVQLLTVGELWQPHFFTNITYKITQITPFPVKVQLQAQPPPPPLLPARNYTSNGYLKVSCNGGLNQMRSAICDMVAVARLLNLTLVVPELDKTSFWADPSNFEDIFNVKHFIFSLRDEVRIVKRVPKRFRKYGYKVFKMPPVSWSNEKYYLQQILPLFGKFKVLHFNKTDARLANNGVSLDLQKLRCRVNFQALKFTPQIESLGQKLIRILQDRGAFVALHLRYEMDMLAFSGCTHGCTKDEAEELKVLRYAYPWWREKEIVSEERRTQGLCPLTPEETALVLQALGFEKETQIYIAAGEIYGSERRLAALRAAFPRLVRKEMLLDPEELQQFQNHSSQMAALDFMVSVASNTFVPTYDGNMAKVVAGHRRYLGFKKTILLDRKRLVEVLDLHQNGTLSWNEFAVAVQAAHEKRMGQPTGRRVISDKPKEEDYFYANPRECLCEGTNCDYLLGLSNLSSVQ >Manes.04G009200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1181612:1186367:1 gene:Manes.04G009200.v8.1 transcript:Manes.04G009200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADNGNVQPVVENTKPAKAKANVSKPDSSVKQKAKPVDPKKEIKAEDESDDDESDEQDSSDEEGDSDEEMSEDSEEEGDSESEDEETPKKAEKGKKRPNESATKTPVPSKKIKSVTPQKTDGKKGGHTATPHPAKGGGKAAANGSNTKAQTPKSGGQFACKSCERSFASDVALQSHSKAKHGGK >Manes.04G009200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1181506:1186367:1 gene:Manes.04G009200.v8.1 transcript:Manes.04G009200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADNGNVQPVVENTKPAKAKANVSKPDSSVKQKAKPVDPKKEIKAEDESDDDESDEQDSSDEEGDSDEEMSEDSEEEGDSESEDEETPKKAEKGKKRPNESATKTPVPSKKIKSVTPQKTDGKKGGHTATPHPAKGGGKAAANGSNTKAQTPKSGGQFACKSCERSFASDVALQSHSKAKHGGK >Manes.02G064900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4939149:4941809:1 gene:Manes.02G064900.v8.1 transcript:Manes.02G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPLSKAHKLQKTPVILVVVGTFAMILLTFIPICYPLLGYPLYLLQNFSNSPPSSSHGYKDAKKCDIFSGDWVPNPEAPYYTNTTCWAIHEHQNCMKYGRPDTEFMKWRWKPDGCELPVFDPAQFLEIVRGKSMAFVGDSVGRNHMQSLICLLSRVEYPIDVSYTPDEQFKRWRYPSYNFTMATFWSPHLVKAEEADANGPTQTGLFNLYLDQFNQEWTTQIQEFDYLIVNAGHWFFRPSVYYENHQIVGCRYCLLHNVTDLPMSYGYKKAFRTAFRAINSLEHFKGITFLRTFAPSHFENGLWNQGGNCLRTRPFRANEIKLEGINLELYMTQLEEFKIAEIEGRKRGLKFRLLDTTQAMLLRPDGHPSTYGHWPHENVTLYNDCVHWCLPGPIDTWNDFLMEMLKMEGDGHDETLNQAKLHSNDRKMKFR >Manes.12G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10172216:10173883:1 gene:Manes.12G085900.v8.1 transcript:Manes.12G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTAVDKNGLKKGSWNKEEDDKLRDYIQKYGHWNWRELPKYAGLSRCGKSCRLRWVNYLRPGVKHGNFSKEEDDLIIQLHQELGNKWSRIATKLPGRTDNDIKNHWHTHLKKRGKQSRASKAEVEAQSTETPESLTSPSKKLEAADQSDVVNKTPSLPTILESFPLSKESSYRSSASAGLNFFAEDSLTSMEIFGESGEDFWTRPFVADNAYDQDDYISSYTLYYDDNLDFFYQVLQELPDNYM >Manes.09G067800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11350337:11354839:1 gene:Manes.09G067800.v8.1 transcript:Manes.09G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASASSLVLPSLGPKTLSPCNPNTPTSLFFVSPSSSLRLHAKPISIPPSIFHSLGALRQPFSSRFVPNVAVSSEFGQEEEVASDGHDAALSPDLKLFVGNLPFSVDSSQLAGLFESAGNVEMVEVIYDKVTGRSRGFGFVTMSTIEEVEAAVQQFNGYELEGRALRVNSGPPPQREASFSRGPRGGDTFDSANRLYVGNLSWSVDSMALESFFSEQGKVLDAKVVYDRESGRSRGFGFVTYSSAEEVENAIESLNGTELDGRAIRVSVAEARPRRQF >Manes.06G005200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:949007:975064:1 gene:Manes.06G005200.v8.1 transcript:Manes.06G005200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRLGGDGAGIVGFVGLDELSLEMAAALVRSGYKVQAFEIEGALVDKFSNLGGVRCASPHEIGKDVAALIILLSHVDQINDVIFGQQGALKGLQKGAVIILRSTIFPSHVQTLEKSLVADGVKAYLVDAYVSRGMSEVLDGRIVISSSGTSEAIAKARPILSVMCEKLYTFEGEVGAGSKIKMVNELLEGIHLVASVEAISLGCQAATNPWMVYDIISNAAGNSWVFKNHIPQLLRGDIKPHFLNIVVQKLGVILDLAKSLPFPLPLLAAAHQQLIAGSTYAAGDDNSITLLKVWENVFQVNIQEAASAEPYIPEQLACQITSKSVAVKRIGFIGLGAMGFGMATHLLKSNFCVIGYDVYKPTLTRFANAGGLIGNTPAEVCKDVDVLVVMVTNETQAESVLFGDHGAISALSSGSSIILSSTVSPGFVIQLERRLQNEGKDLKLVDAPVSGGVQRASDGTLTIMASGTEEALLHTGSVLSALSERLCVIKGGCGAGSGVKMVNQLLAGVHIASAAEAMAFGARLGLNTRMLFNFIANSGGTSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVSHECSTHKVPLHVATVAHQLFLAGSAAGWGRQDDAGVVKYYESLTGVRVEGKLPILNKETVLKCLPAEWPLDPIDDIRRLNQSNSKVLVVLDDDPTGTQTVHDIEVLTEWSVGSLVEKFKKKPTCFFILTNSRALSSEKASALIKDICRNLSDAAKSIEDVDYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTIEDVHYVADSDRLVPAGDTEFAKDAAFGFKSSNLREWVEEKTKGRIPASSVTSVSIQLLRKGGPNAVCKLLCGLQKGSTCIVNAASERDMAVFAAGMIQAELKGKSFLCRTAASFVSTRIGIIPKAPILPKDLGINKDKNGGLIVVGSYVPKTTKQVEELKIQCGAILRTIEVSVDKLAMKSQEEREEEISRASEMADFFLGARKDTLIMTSRELITGKSPSESLEINFKVSSALVEIVQRISTRPRYILAKGGITSSDLATKALEAKCAKIVGQALAGVPLWLLGPESRHPQVPYIVFPGNVGDSKALAEVVKSWARPSRLSSTKELLLNAEKGGYAVGAFNVYNMEGAEAVVDAAEKENSPAILQIHPSALQQGGIPLVAACVSAAEQANVPITVHFDHGTSKQELVEAIDLGFDSVMVDGSHLSLKDNISYTKYISALAHSRDLMVEAELGRLSGTEDDLTVEDYEARLTDVNQAEKFIDETGIDALAVCIGNVHGKYPASGPNLRLDLLKDLHALSSKKGVILVLHGASGLPKELVKACIERGVRKFNVNTEVRKAYMESLSSPKKDLVHVIASAKEAMEAVISEKMRLFGSSGKA >Manes.04G163700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35727102:35733101:1 gene:Manes.04G163700.v8.1 transcript:Manes.04G163700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNKVLVFGSFTEDETKSWLQKPSTENHEKPVEKKELQFGSLNFATGITFGDFNSESSRKPGSANGKASFQTSNPVNKEKDVKIAQKVHDFPGVLGSLKQNGSIDNFTQDISLNNGVKDLKKESIDLTSLHISKNEEGSRNQSRSSNFDVLHSEDVRDENMEGTNYDSPVYVKREDIQKQKDEPVAAAKIHLPCGLINSGNLCFLNASLQALLSCSPFVRLLQDLRIRNIPKVGFPTLTAFAEFVSNFDIPSGFSLKKDTTVVETGRPFSPAMFEGVLKNFTPDVPHSISGRPRQEDAQEFLSFIMDQMHDELLKFEGQSVGVNGAKSALVSSTEDDEWETVGAKNKSAVTRTQSFIPSELSDIFGGQFKSVVKARGNKASATVQPFLLLHLDIYHEAVHTIEDALHLFSAPENLEGYRTAPGKAGVVSASKSVKIQKLSKILILHLMRFSYGSQGSTKLHKPVRFPFELVLSRDLLVSPLTESRKYELVATITHHGGEPSKGHYTADARYFNGQWLRFDDASVTGIGTSKVLHDQAYVLFYKQV >Manes.12G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33687180:33690809:1 gene:Manes.12G129500.v8.1 transcript:Manes.12G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMVMETVGLQQDLFGYGYRDICTSLGGAGEEEEVSFNETMSGFNSNHWDSSPFSMGPNSVIDWDTNSSSQENCARDDGFLAGGFSPAGTVGRRKRQRNRSVKNQKEVEHQRMTHITVERNRRKQMNDYLAVLRSMMPPSYVQRGDQASIVGGAINFVKELEQLLQSLEAHKRLKKDPSDTDDFSRVFSDFFTFPQYSTHSTTTAEDHEQSSMAAKRQSISAEVEVTMIESHANLKILTRRHPKQLLKMVVGLYSLCLSILHLNITSVDQMVLYSFSVKVEEECQLASVNDIAAAVYELVVRMQEEAISNYSYDR >Manes.12G058205.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5635272:5637588:1 gene:Manes.12G058205.v8.1 transcript:Manes.12G058205.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRSINIVNLDPAAENFDYPVAMDIKELISLDDVMEELGLGPNGALIYCMEELEYNLDGWFAEELENYRDDDYLVF >Manes.10G021375.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2101788:2102300:1 gene:Manes.10G021375.v8.1 transcript:Manes.10G021375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEWVVLGDFNAVVSIEEQYGYRTYNASGSREFQDWLFDTTLVDMGYEGVPFTWSRSDGRDGIKMVKLDRGVCTTAWRWRFAEARIVHPPKFHSDHCPIILSLGEQPLPNGNFFRCQAAWFAHPDFVDSVRTIWNHSNELWTILRVYNKD >Manes.01G173900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35545841:35547940:-1 gene:Manes.01G173900.v8.1 transcript:Manes.01G173900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNMLQGLDGLPEEDQRRMAAVIEQLQVRDSMRMYNSVVERCFNDCVDNFTRKSLQKQEETCVMRCAEKFLKHSMRVGLRFAELNNLAATPDQSN >Manes.09G082300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19378017:19383226:-1 gene:Manes.09G082300.v8.1 transcript:Manes.09G082300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILSRNSSGSVHGSPGPVCTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGYNYSPSRAGSLLNGYAQTQGYIPNSPGGLGVRMDGRFSPVTVGRNNFSPFGPGFGMGLNFDQELIPSYGGNSNLSYNLGYGRMSPYSGNSSRYDSPVGYKGVNDGNSSALNSTSRPLWGNGSINHASDSAKSSTFMGSGGGNSSMGSFGSIGGLWGFSANSGQGEGAGSAYSNCNLSYNSGDFNVGLGELGYGRNSGTSAVPVSSHATSHDVYGGPYADVYSNGPLYGHSALQSSPLELKGSGSFGFGHRNAATDVVTKNSAGYVGGYSVANS >Manes.09G082300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19377223:19383226:-1 gene:Manes.09G082300.v8.1 transcript:Manes.09G082300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILSRNSSGSVHGSPGPVCTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGYNYSPSRAGSLLNGYAQTQGYIPNSPGGLGVRMDGRFSPVTVGRNNFSPFGPGFGMGLNFDQELIPSYGGNSNLSYNLGYGRMSPYSGNSSRYDSPVGYKGVNDGNSSALNSTSRPLWGNGSINHASDSAKSSTFMGSGGGNSSMGSFGSIGGLWGFSANSGQGEGAGSAYSNCNLSYNSGDFNVGLGELGYGRNSGTSAVPVSSHATSHDVYGGPYADVYSNGPLYGHSALQSSPLELKGSGSFGFGHRNAATDVVTKNSAGYVGGYSVANS >Manes.09G082300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19377223:19383263:-1 gene:Manes.09G082300.v8.1 transcript:Manes.09G082300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILSRNSSGSVHGSPGPVCTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGYNYSPSRAGSLLNGYAQTQGYIPNSPGGLGVRMDGRFSPVTVGRNNFSPFGPGFGMGLNFDQELIPSYGGNSNLSYNLGYGRMSPYSGNSSRYDSPVGYKGVNDGNSSALNSTSRPLWGNGSINHASDSAKSSTFMGSGGGNSSMGSFGSIGGLWGFSANSGQGEGAGSAYSNCNLSYNSGDFNVGLGELGYGRNSGTSAVPVSSHATSHDVYGGPYADVYSNGPLYGHSALQSSPLELKGSGSFGFGHRNAATDVVTKNSAGYVGGYSVANS >Manes.09G082300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19377223:19383226:-1 gene:Manes.09G082300.v8.1 transcript:Manes.09G082300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILSRNSSGSVHGSPGPVCTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGYNYSPSRAGSLLNGYAQTQGYIPNSPGGLGVRMDGRFSPVTVGRNNFSPFGPGFGMGLNFDQELIPSYGGNSNLSYNLGYGRMSPYSGNSSRYDSPVGYKGVNDGNSSALNSTSRPLWGNGSINHASDSAKSSTFMGSGGGNSSMGSFGSIGGLWGFSANSGQGEGAGSAYSNCNLSYNSGDFNVGLGELGYGRNSGTSAVPVSSHATSHDVYGGPYADVYSNGPLYGHSALQSSPLELKGSGSFGFGHRNAATDVVTKNSAGYVGGYSVANS >Manes.09G082300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19377875:19383226:-1 gene:Manes.09G082300.v8.1 transcript:Manes.09G082300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILSRNSSGSVHGSPGPVCTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGYNYSPSRAGSLLNGYAQTQGYIPNSPGGLGVRMDGRFSPVTVGRNNFSPFGPGFGMGLNFDQELIPSYGGNSNLSYNLGYGRMSPYSGNSSRYDSPVGYKGVNDGNSSALNSTSRPLWGNGSINHASDSAKSSTFMGSGGGNSSMGSFGSIGGLWGFSANSGQGEGAGSAYSNCNLSYNSGDFNVGLGELGYGRNSGTSAVPVSSHATSHDVYGGPYADVYSNGPLYGHSALQSSPLELKGSGSFGFGHRNAATDVVTKNSAGYVGGYSVANS >Manes.09G082300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19377223:19383262:-1 gene:Manes.09G082300.v8.1 transcript:Manes.09G082300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILSRNSSGSVHGSPGPVCTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGYNYSPSRAGSLLNGYAQTQGYIPNSPGGLGVRMDGRFSPVTVGRNNFSPFGPGFGMGLNFDQELIPSYGGNSNLSYNLGYGRMSPYSGNSSRYDSPVGYKGVNDGNSSALNSTSRPLWGNGSINHASDSAKSSTFMGSGGGNSSMGSFGSIGGLWGFSANSGQGEGAGSAYSNCNLSYNSGDFNVGLGELGYGRNSGTSAVPVSSHATSHDVYGGPYADVYSNGPLYGHSALQSSPLELKGSGSFGFGHRNAATDVVTKNSAGYVGGYSVANS >Manes.09G082300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19377223:19383235:-1 gene:Manes.09G082300.v8.1 transcript:Manes.09G082300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILSRNSSGSVHGSPGPVCTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGYNYSPSRAGSLLNGYAQTQGYIPNSPGGLGVRMDGRFSPVTVGRNNFSPFGPGFGMGLNFDQELIPSYGGNSNLSYNLGYGRMSPYSGNSSRYDSPVGYKGVNDGNSSALNSTSRPLWGNGSINHASDSAKSSTFMGSGGGNSSMGSFGSIGGLWGFSANSGQGEGAGSAYSNCNLSYNSGDFNVGLGELGYGRNSGTSAVPVSSHATSHDVYGGPYADVYSNGPLYGHSALQSSPLELKGSGSFGFGHRNAATDVVTKNSAGYVGGYSVANS >Manes.11G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30856435:30857978:-1 gene:Manes.11G144000.v8.1 transcript:Manes.11G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKSCVLLAFFLVFVSWEASIVEGANGKKDPLDPAITHYVMRPPLSSGHEQALCQARGKCFNKILVCPDQCKVRKPVKNKKQKGCFMDCSSRCEATCKWRRPRCNGYGSLCYDPRFVGGDGVMFYFHGEKGGNFAIVSDESLQINAHFIGTRPQGRTRDFTWVQALSIMFDTHTLVIAAKRVSLWDDNVDALLVKWDGNTVDIPADGDSEWRTNGEEREVLVERTDDINTIRVAVSDLVELNIKVRPIGKEENRIHNYQLPAEDAFAHLETQFKFFNLTDVVEGILGKTYRPDYVSPVKVGVAMPMMGGEDKYKTPSLFSNLCSVCRFQREYSSETTQGISEY >Manes.03G087300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17316138:17335842:-1 gene:Manes.03G087300.v8.1 transcript:Manes.03G087300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKAWTLSSKPFRHLLHFASSTTRTRPCYSTLVLRSSTKPSAPASTSSRVAGRNRRSSSSSSSSSTSDREAVRAIRLKKVEELRSKGLEPYAYKWDRTHTANQLQEIYKHLANGEESSDVSDPVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLLNGQFEQLKTHVDIGDILGASGSMKRTEKGELSVCVNSFTILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRKRAKIVSEIRKTVESLGFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAFSDYQSMMNMAEEIVTRCALAVHGKLTIEYQGIEICLEQPWRRETMHNLVKEVTGIDFSELSNNLKQAKDATLKTLGSVLENKDKSAIELSPSVGHLLNEVFEIVVEPKLVQPTFVLDYPIEISPLAKPHRRHIGLTERFELFICGRELANAFSELTDPMDQRGRLEEQVRQHNEKRAAAISESERAEESKNEHEDDSYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTNSPSIRDVIAFPVLKVQQ >Manes.03G087300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17316138:17335842:-1 gene:Manes.03G087300.v8.1 transcript:Manes.03G087300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKAWTLSSKPFRHLLHFASSTTRTRPCYSTLVLRSSTKPSAPASTSSRVAGRNRRSSSSSSSSSTSDREAVRAIRLKKVEELRSKGLEPYAYKWDRTHTANQLQEIYKHLANGEESSDVSDPVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLLNGQFEQLKTHVDIGDILGASGSMKRTEKGELSVCVNSFTILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRKRAKIVSEIRKTVESLGFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAFSDYQSMMNMAEEIVTRCALAVHGKLTIEYQGIEICLEQPWRRETMHNLVKEVTGIDFSELSNNLKQAKDATLKTLGSVLENKDKSAIELSPSVGHLLNEVFEIVVEPKLVQPTFVLDYPIEISPLAKPHRRHIGLTERFELFICGRELANAFSELTDPMDQRGRLEEQVRQHNEKRAAAISESERAEESKNEHEDDSYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTNSPSIRDVIAFPVLKVQQ >Manes.03G087300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17316138:17335842:-1 gene:Manes.03G087300.v8.1 transcript:Manes.03G087300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKAWTLSSKPFRHLLHFASSTTRTRPCYSTLVLRSSTKPSAPASTSSRVAGRNRRSSSSSSSSSTSDREAVRAIRLKKVEELRSKGLEPYAYKWDRTHTANQLQEIYKHLANGEESSDVSDPVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKERLLNGQFEQLKTHVDIGDILGASGSMKRTEKGELSVCVNSFTILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRKRAKIVSEIRKTVESLGFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAFSDYQSMMNMAEEIVTRCALAVHGKLTIEYQGIEICLEQPWRRETMHNLVKEVTGIDFSELSNNLKQAKDATLKTLGSVLENKDKSAIELSPSVGHLLNEVFEIVVEPKLVQPTFVLDYPIEISPLAKPHRRHIGLTERFELFICGRELANAFSELTDPMDQRGRLEEQVRQHNEKRAAAISESERAEESKNEHEDDSYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTNSPSIRDVIAFPVLKVQQ >Manes.13G126700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33407590:33412186:-1 gene:Manes.13G126700.v8.1 transcript:Manes.13G126700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRVLQDTIIIKPPKKSPILLRMIVLVFAMVCGVFICSVCLKQISTHSKIKIEDIQVIERPFSDNPPTQMRIPIMHFPHPETFSRAECVHNPVRYFAILSMQRSGSGWFETLLNSHVNVSSNGEIFSVLDRRRNASSIIKTLDKLYNLDWFTSASKNECSAAVGFKWMLNQGLIENHEAVVEYFNARGVSALFLLRRNLLRRMVSVLSNSYDRHAKLLNGTHKSHVHSAEEAEALSKYKPTINSTLLVADLKKMEIMAARALEYFNSTRHIVLYYEDLVKNCTKLKDVQEFLGLPYMELTSRQVKIHKGPLSDHIENWEDVNKTLAGTEYENFLKADY >Manes.13G126700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33407590:33412186:-1 gene:Manes.13G126700.v8.1 transcript:Manes.13G126700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRVLQDTIIIKPPKKSPILLRMIVLVFAMVCGVFICSVCLKQISTHSKIKIEDIQVIERPFSDNPPTQMRIPIMHFPHPETFSRAECVHNPVRYFAILSMQRSGSGWFETLLNSHVNVSSNGEIFSVLDRRRNASSIIKTLDKLYNLDWFTSASKNECSAAVGFKWMLNQGLIENHEAVVEYFNARGVSALFLLRRNLLRRMVSVLSNSYDRHAKLLNGTHKSHVHSAEEAEALSKYKPTINSTLLVADLKKMEIMAARALEYFNSTRHIVLYYEDLVKNCTKLKDVQEFLGLPYMELTSRQVKIHKGPLSDHIENWEDVNKTLAGTEYENFLKADY >Manes.13G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33407590:33412186:-1 gene:Manes.13G126700.v8.1 transcript:Manes.13G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYICWFSKDTIIIKPPKKSPILLRMIVLVFAMVCGVFICSVCLKQISTHSKIKIEDIQVIERPFSDNPPTQMRIPIMHFPHPETFSRAECVHNPVRYFAILSMQRSGSGWFETLLNSHVNVSSNGEIFSVLDRRRNASSIIKTLDKLYNLDWFTSASKNECSAAVGFKWMLNQGLIENHEAVVEYFNARGVSALFLLRRNLLRRMVSVLSNSYDRHAKLLNGTHKSHVHSAEEAEALSKYKPTINSTLLVADLKKMEIMAARALEYFNSTRHIVLYYEDLVKNCTKLKDVQEFLGLPYMELTSRQVKIHKGPLSDHIENWEDVNKTLAGTEYENFLKADY >Manes.04G018700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2242767:2246170:1 gene:Manes.04G018700.v8.1 transcript:Manes.04G018700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAAAVGEIDAKTGKSLKDKVLCRVFSEDYERTRNKILDPRGQSIHQWSKIFLAACLVSLFIDPLFFYLPIANDDMCINIGLSLETTLTIIRSVVDVFYVIHIFVRFHTAYVAPSSRVFGRGELVIEPSKIAVRYLHKTFWIDVIAALPLPQILIWLIIPNLGGSMVTDTRTVLPFVILFQYLPRLYLIFPLTSQIVEATGVVTETAWAGAAYNLLLYMLASHVSGAIYYLLSVQREIDCWKSVCKVENCQNGYFDCHSVQDPARVAWLNSSNVTNICNPNNNFYQWGIYVTALQAGATSSAFPNKYFYSLWWGLQQLSSVGQNLNTSSYVGEIIFTIITSTQGLVLLSLLIGNMQRYLQATTMRLEEWRVKRNDTEQWMHHRQLPPELRDSIRKYDQYKWLATKGVDEEAILHGLPMDLRREIKRHLCFDLVRKVPLFDQMDETMLDAICERLKPALCTKGLFLVRESDPVNQMLFIIRGHLDSYTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVTLPSSTRSVKAITEVEAFALIADDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRHKKLKETAHLTVHEPGVPQRGSFWSSYAESLVASTRLSIKCKQKSGTDSGTVSSLEKPDEPDFFLHER >Manes.04G018700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2242767:2246170:1 gene:Manes.04G018700.v8.1 transcript:Manes.04G018700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINIGLSLETTLTIIRSVVDVFYVIHIFVRFHTAYVAPSSRVFGRGELVIEPSKIAVRYLHKTFWIDVIAALPLPQILIWLIIPNLGGSMVTDTRTVLPFVILFQYLPRLYLIFPLTSQIVEATGVVTETAWAGAAYNLLLYMLASHVSGAIYYLLSVQREIDCWKSVCKVENCQNGYFDCHSVQDPARVAWLNSSNVTNICNPNNNFYQWGIYVTALQAGATSSAFPNKYFYSLWWGLQQLSSVGQNLNTSSYVGEIIFTIITSTQGLVLLSLLIGNMQRYLQATTMRLEEWRVKRNDTEQWMHHRQLPPELRDSIRKYDQYKWLATKGVDEEAILHGLPMDLRREIKRHLCFDLVRKVPLFDQMDETMLDAICERLKPALCTKGLFLVRESDPVNQMLFIIRGHLDSYTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVTLPSSTRSVKAITEVEAFALIADDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRHKKLKETAHLTVHEPGVPQRGSFWSSYAESLVASTRLSIKCKQKSGTDSGTVSSLEKPDEPDFFLHER >Manes.04G018700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2242767:2246170:1 gene:Manes.04G018700.v8.1 transcript:Manes.04G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAAVGEIDAKTGKSLKDKVLCRVFSEDYERTRNKILDPRGQSIHQWSKIFLAACLVSLFIDPLFFYLPIANDDMCINIGLSLETTLTIIRSVVDVFYVIHIFVRFHTAYVAPSSRVFGRGELVIEPSKIAVRYLHKTFWIDVIAALPLPQILIWLIIPNLGGSMVTDTRTVLPFVILFQYLPRLYLIFPLTSQIVEATGVVTETAWAGAAYNLLLYMLASHVSGAIYYLLSVQREIDCWKSVCKVENCQNGYFDCHSVQDPARVAWLNSSNVTNICNPNNNFYQWGIYVTALQAGATSSAFPNKYFYSLWWGLQQLSSVGQNLNTSSYVGEIIFTIITSTQGLVLLSLLIGNMQRYLQATTMRLEEWRVKRNDTEQWMHHRQLPPELRDSIRKYDQYKWLATKGVDEEAILHGLPMDLRREIKRHLCFDLVRKVPLFDQMDETMLDAICERLKPALCTKGLFLVRESDPVNQMLFIIRGHLDSYTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVTLPSSTRSVKAITEVEAFALIADDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRHKKLKETAHLTVHEPGVPQRGSFWSSYAESLVASTRLSIKCKQKSGTDSGTVSSLEKPDEPDFFLHER >Manes.04G018700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2242767:2246170:1 gene:Manes.04G018700.v8.1 transcript:Manes.04G018700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAVGEIDAKTGKSLKDKVLCRVFSEDYERTRNKILDPRGQSIHQWSKIFLAACLVSLFIDPLFFYLPIANDDMCINIGLSLETTLTIIRSVVDVFYVIHIFVRFHTAYVAPSSRVFGRGELVIEPSKIAVRYLHKTFWIDVIAALPLPQILIWLIIPNLGGSMVTDTRTVLPFVILFQYLPRLYLIFPLTSQIVEATGVVTETAWAGAAYNLLLYMLASHVSGAIYYLLSVQREIDCWKSVCKVENCQNGYFDCHSVQDPARVAWLNSSNVTNICNPNNNFYQWGIYVTALQAGATSSAFPNKYFYSLWWGLQQLSSVGQNLNTSSYVGEIIFTIITSTQGLVLLSLLIGNMQRYLQATTMRLEEWRVKRNDTEQWMHHRQLPPELRDSIRKYDQYKWLATKGVDEEAILHGLPMDLRREIKRHLCFDLVRKVPLFDQMDETMLDAICERLKPALCTKGLFLVRESDPVNQMLFIIRGHLDSYTTDGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVTLPSSTRSVKAITEVEAFALIADDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRHKKLKETAHLTVHEPGVPQRGSFWSSYAESLVASTRLSIKCKQKSGTDSGTVSSLEKPDEPDFFLHER >Manes.02G151600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11596452:11601318:-1 gene:Manes.02G151600.v8.1 transcript:Manes.02G151600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTEEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACTDPNPVIDGRRANCNIASLGRPRPSPPRGRPQGGTPYQGSPSYSGAAAPLPPPFPPPPPPPPPPPPVIYPHFGYPPYTPDYGYQQAIYNAQAQQPQYYQQVYGTSSSAMGSPYYYHGYSLQPIRGARSAPHPQRLLGPSFLYYPTSTFDQSFSTYPPPPAMQPTRHPFTSSTASDSQQTPQHPATETEAGVVTSETSNP >Manes.02G151600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11596386:11601318:-1 gene:Manes.02G151600.v8.1 transcript:Manes.02G151600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTEEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACTDPNPVIDGRRANCNIASLGRPRPSPPRGRPQGGTPYQGSPSYSGAAAPLPPPFPPPPPPPPPPPPVIYPHFGYPPYTPDYGYQQAIYNAQAQQPQYYQQVYGTSSSAMGSPYYYHGYSLQPIRGARSAPHPQRLLGPSFLYYPTSTFDQSFSTYPPPPAMQPTRHPFTSSTASDSQQTPQHPATETEAGVVTSETSNP >Manes.02G151600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11596452:11601318:-1 gene:Manes.02G151600.v8.1 transcript:Manes.02G151600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTEEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACTDPNPVIDGRRANCNIASLGRPRPSPPRGRPQGGTPYQGSPSYSGAAAPLPPPFPPPPPPPPPPPPVIYPHFGYPPYTPDYGYQQAIYNAQAQQPQYYQQVYGTSSSAMGSPYYYHGYSLQPIRGARSAPHPQRLLGPSFLYYPTSTFDQSFSTYPPPPAMQPTRHPFTSSTDSQQTPQHPATETEAGVVTSETSNP >Manes.02G151600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11596386:11601318:-1 gene:Manes.02G151600.v8.1 transcript:Manes.02G151600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTEEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACTDPNPVIDGRRANCNIASLGRPRPSPPRGRPQGGTPYQGSPSYSGAAAPLPPPFPPPPPPPPPPPPVIYPHFGYPPYTPDYGYQQAIYNAQAQQPQYYQQVYGTSSSAMGSPYYYHGYSLQPIRGARSAPHPQRLLGPSFLYYPTSTFDQSFSTYPPPPAMQPTRHPFTSSTDSQQTPQHPATETEAGVVTSETSNP >Manes.11G103300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23400318:23420024:-1 gene:Manes.11G103300.v8.1 transcript:Manes.11G103300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKGQHRPIAATTKSRMISAEDALATVLTVAQRLPPITLPLHDALGKVLAEDIRAPDPLPPYPASIKDGYAVVASDGPGEYPIITESRAGNDGLGVTVTPGTVAYVTTGGPIPNGADAVVQVEDTEVIEDGSVESRKVRILVKTSEGVDIRPVGSDIEKDAIVLKSGERLGASEIGLLATVGVLMVKVYPTPTIAVLSTGDELVEPTTACLSRGQIRDSNRAMLLAAAIQQQCKVLDLGIARDDKEDLDRVMDKAFSAGIHILLTSGGVSMGDRDFVKPLLERKGTVHFNKVLMKPGKPVTFAEINSKPVENVMSEKILAFGLPGNPVSCLVCFHLFVIPAIRHLSGWANPRPLRLQARLHKSIKADPIRPEFHHAIIRWEANDGSGNPGFVAESTGHQRSSRLLSMKSANVLLELPATGSVIPAGTSVSATVISDLSSTASVETGLASAVLGHRYSSGGTSKHESENAEFRVAVLTVSDTVALGAGPDRSGPRAVSVVNSSSEKLGGARVVSTAVVADDVSEIKDVLQRWSDIDKMDLILTLGGTGFTPRDVTPEATKELIERETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLLQNAWMLCCLHLSTH >Manes.11G103300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23400318:23420024:-1 gene:Manes.11G103300.v8.1 transcript:Manes.11G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKGQHRPIAATTKSRMISAEDALATVLTVAQRLPPITLPLHDALGKVLAEDIRAPDPLPPYPASIKDGYAVVASDGPGEYPIITESRAGNDGLGVTVTPGTVAYVTTGGPIPNGADAVVQVEDTEVIEDGSVESRKVRILVKTSEGVDIRPVGSDIEKDAIVLKSGERLGASEIGLLATVGVLMVKVYPTPTIAVLSTGDELVEPTTACLSRGQIRDSNRAMLLAAAIQQQCKVLDLGIARDDKEDLDRVMDKAFSAGIHILLTSGGVSMGDRDFVKPLLERKGTVHFNKVLMKPGKPVTFAEINSKPVENVMSEKILAFGLPGNPVSCLVCFHLFVIPAIRHLSGWANPRPLRLQARLHKSIKADPIRPEFHHAIIRWEANDGSGNPGFVAESTGHQRSSRLLSMKSANVLLELPATGSVIPAGTSVSATVISDLSSTASVETGLASAVLGHRYSSGGTSKHESENAEFRVAVLTVSDTVALGAGPDRSGPRAVSVVNSSSEKLGGARVVSTAVVADDVSEIKDVLQRWSDIDKMDLILTLGGTGFTPRDVTPEATKELIERETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMDALLPALKHALKQIKGDKREKNPRHVPHAQASPTDTWERSYTLAYGNVPEPSCPCSH >Manes.12G061900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6221276:6224977:-1 gene:Manes.12G061900.v8.1 transcript:Manes.12G061900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLYNQPMQEVEDYCMPQFQTLDHQLCHSGGSQGSNLSTLTSREQYCTLESYSANGSCTIYRSPSTISFSPNGSPVSQQESRSYPPDTRHSPDNNNGSPLSGSCITDDVHDFQDKLKELENGMFGPDSDIIESTFQNGAIIKSPEMDGLRQIMEAISRADLKHVLVVCAQAVSENDLLKAQWLMDELRQMVSVSGGPIQRLGAYMLEGLVARLASSGSSIYRALRCREPANAELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENKVHIIDFQIGQGSQWVTLIQAFAARPGGPPHIRITGIDGSTSEYARGGGLTIVGKRLSKLAEIVKVPFEFHAAAMSGCEVQVENLGVRPGEALAVNFAFVLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLIEQESNTNTAAFFPRFLETLNYYMAMFESIDVTLPRNHKERINVEQHCLARDVVNIIACEGTERVERHELLGKWRSRFRMAGFTPYPLSSLVNATIKTLLENYCDKYRLEERDGALYLGWMNRDLVASCAWK >Manes.12G061900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6221258:6224986:-1 gene:Manes.12G061900.v8.1 transcript:Manes.12G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLYNQPMQEVEDYCMPQFQTLDHQLCHSGGSQGSNLSTLTSREQYCTLESYSANGSCTIYRSPSTISFSPNGSPVSQQESRSYPPDTRHSPDNNNGSPLSGSCITDDVHDFQDKLKELENGMFGPDSDIIESTFQNGAIIKSPEMDGLRQIMEAISRADLKHVLVVCAQAVSENDLLKAQWLMDELRQMVSVSGGPIQRLGAYMLEGLVARLASSGSSIYRALRCREPANAELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENKVHIIDFQIGQGSQWVTLIQAFAARPGGPPHIRITGIDGSTSEYARGGGLTIVGKRLSKLAEIVKVPFEFHAAAMSGCEVQVENLGVRPGEALAVNFAFVLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLIEQESNTNTAAFFPRFLETLNYYMAMFESIDVTLPRNHKERINVEQHCLARDVVNIIACEGTERVERHELLGKWRSRFRMAGFTPYPLSSLVNATIKTLLENYCDKYRLEERDGALYLGWMNRDLVASCAWK >Manes.12G061900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6221215:6225043:-1 gene:Manes.12G061900.v8.1 transcript:Manes.12G061900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLYNQPMQEVEDYCMPQFQTLDHQLCHSGGSQGSNLSTLTSREQYCTLESYSANGSCTIYRSPSTISFSPNGSPVSQQESRSYPPDTRHSPDNNNGSPLSGSCITDDVHDFQDKLKELENGMFGPDSDIIESTFQNGAIIKSPEMDGLRQIMEAISRADLKHVLVVCAQAVSENDLLKAQWLMDELRQMVSVSGGPIQRLGAYMLEGLVARLASSGSSIYRALRCREPANAELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENKVHIIDFQIGQGSQWVTLIQAFAARPGGPPHIRITGIDGSTSEYARGGGLTIVGKRLSKLAEIVKVPFEFHAAAMSGCEVQVENLGVRPGEALAVNFAFVLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLIEQESNTNTAAFFPRFLETLNYYMAMFESIDVTLPRNHKERINVEQHCLARDVVNIIACEGTERVERHELLGKWRSRFRMAGFTPYPLSSLVNATIKTLLENYCDKYRLEERDGALYLGWMNRDLVASCAWK >Manes.12G061900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6221215:6224986:-1 gene:Manes.12G061900.v8.1 transcript:Manes.12G061900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLYNQPMQEVEDYCMPQFQTLDHQLCHSGGSQGSNLSTLTSREQYCTLESYSANGSCTIYRSPSTISFSPNGSPVSQQESRSYPPDTRHSPDNNNGSPLSGSCITDDVHDFQDKLKELENGMFGPDSDIIESTFQNGAIIKSPEMDGLRQIMEAISRADLKHVLVVCAQAVSENDLLKAQWLMDELRQMVSVSGGPIQRLGAYMLEGLVARLASSGSSIYRALRCREPANAELLSYMHILYEVCPYFKFGYMSANGAIAEAMKDENKVHIIDFQIGQGSQWVTLIQAFAARPGGPPHIRITGIDGSTSEYARGGGLTIVGKRLSKLAEIVKVPFEFHAAAMSGCEVQVENLGVRPGEALAVNFAFVLHHMPDESVSTENHRDRLLRLVKSLSPKVVTLIEQESNTNTAAFFPRFLETLNYYMAMFESIDVTLPRNHKERINVEQHCLARDVVNIIACEGTERVERHELLGKWRSRFRMAGFTPYPLSSLVNATIKTLLENYCDKYRLEERDGALYLGWMNRDLVASCAWK >Manes.01G250000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40904695:40907591:1 gene:Manes.01G250000.v8.1 transcript:Manes.01G250000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLWKCRAWKLLLVCVAAMAMSTALCYSKCKYEAIFNFGDSNSDTGGFWAAFPAQSGPFGMTFFKRPAGRASDGRLIVDFLAQALGFPFLSPYLQSIGSDYRHGANYATLASTVLLPNTSLFVTGISPFSLAIQLNQMKEFKVKVDEFHATNQTGSTLLPAPDVFGKSIYTLYIGQNDFTSNLGAIGISGVKQYLPQVVGQILWTIKELYALGGRTFLVLNLAPVGCYPSLLVGLPHNSSDIDTFGCLISYNSAVVDYNNMLQQELKEARKELPNASLVYVDIHAILLELFQHPTSHGFKYGPKACCGHGGGAYNFDSQVFCGNTKVINGTNVTASACDDPYNYVSWDGIHATEAANKFITMAIINGSYSDPPFSLQHCDLHHIDKSIN >Manes.11G155200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32189793:32197741:-1 gene:Manes.11G155200.v8.1 transcript:Manes.11G155200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHLLQFPIHTSSPGPDYVLFYPSPLQSSSPIFIQKTKIPLIPIALKVSARVRCLTKSSTEEDRWSESESFVSDGGDGGEAARDDTKGQHHQQRIATSYGDSLSLGIREPVYEVVEVKSNGIVSTRKINRRQLLKSSGLRPRDIRSVDPSLFLTNSMPSLLVREHAILLNLGTLRAIAMQERVLIFDYNCEGGKAFLDTLLLRLNPRNNNGGPCMPFELEVVEAALLSRVQRLEQRLMNVEPRVQALLEVLPNRLTAEVLEELRISKQTLVELGSRAGALRQMLLDLLEDTQEIRRICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLRFGLRMLL >Manes.11G155200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32189793:32197741:-1 gene:Manes.11G155200.v8.1 transcript:Manes.11G155200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSHLLQFPIHTSSPGPDYVLFYPSPLQSSSPIFIQKTKIPLIPIALKVSARVRCLTKSSTEEDRWSESESFVSDGGDGGEAARDDTKGQHHQQRIATSYGDSLSLGIREPVYEVVEVKSNGIVSTRKINRRQLLKSSGLRPRDIRSVDPSLFLTNSMPSLLVREHAILLNLGTLRAIAMQERVLIFDYNCEGGKAFLDTLLLRLNPRNNNGGPCMPFELEVVEAALLSRVQRLEQRLMNVEPRVQALLEVLPNRLTAEVLEELRISKQTLVELGSRAGALRQMLLDLLEDTQEIRRICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVGVGALVAGIFGMNLRSYLEQHVFAFWLTTAGIIVGGVVAFFMMYSYLRIRKIL >Manes.01G256400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41336812:41343088:-1 gene:Manes.01G256400.v8.1 transcript:Manes.01G256400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIENGILELISGHGIRKLVMGAAADKRYSRNMMDVKSKKAISVCQQAPAYCHIWFICKQHLIYTKEGALDANGTECRQSSQQASQNTETGQSNHSRSQSFTLGQKNHPKLTNPAQDLLRRACSVTFGRQGGKSPALALPDNDGRPSAPHNMSDAEGASNVSSASSDECDTLSRSTSRGSLLSTYSSSGMVNVGLVSFDRTEGSEIGSELLTLPHRKEDPIHSTPPSVLAKASEGLYAEELRQRKETEEALAKGKEELENIKNEKDEVMEELHIALDQKKSLERQIADNDQMVKELEQKIISAVELLQNYKNERDELQLERDNALKEAEELRKSRTEASSSHMPQFFSDFSFSEIEEATQNFNPSLKVGEGGYGSIYKGLLRHTQVAIKVLHSHSLQGPAEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLLNGSLEDRLSCRGNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDANFVSKLSDFGICRLLSQNEDASVNTTICCRTDPKGTFAYMDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDKGNLKILLDPLAGDWPFVQAEQLAHMALRCCDMNRKSRPDLASEVWRVLEPMKASCGGSSYFQFGSEEHCQPPPYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHETSPMTNLKLAHTNLVPNHALRSAIQEWLQQQ >Manes.01G256400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41336901:41343087:-1 gene:Manes.01G256400.v8.1 transcript:Manes.01G256400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIENGILELISGHGIRKLVMGAAADKRYSRNMMDVKSKKAISVCQQAPAYCHIWFICKQHLIYTKEGALDANGTECRQSSQQASQNTETGQSNHSRSQSFTLGQKNHPKLTNPAQDLLRRACSVTFGRQGGKSPALALPDNDGRPSAPHNMSDAEGASNVSSASSDECDTLSRSTSRGSLLSTYSSSGMVNVGLVSFDRTEGSEIGSELLTLPHRKEDPIHSTPPSVLDRNIEDPLYDQLEQALSEAVNLRKEAFEAAVRRAKAERDAIEAIRRAKASEGLYAEELRQRKETEEALAKGKEELENIKNEKDEVMEELHIALDQKKSLERQIADNDQMVKELEQKIISAVELLQNYKNERDELQLERDNALKEAEELRKSRTEASSSHMPQFFSDFSFSEIEEATQNFNPSLKVGEGGYGSIYKGLLRHTQVAIKVLHSHSLQGPAEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLLNGSLEDRLSCRGNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDANFVSKLSDFGICRLLSQNEDASVNTTICCRTDPKGTFAYMDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDKGNLKILLDPLAGDWPFVQAEQLAHMALRCCDMNRKSRPDLASEVWRVLEPMKASCGGSSYFQFGSEEHCQPPPYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHETSPMTNLKLAHTNLVPNHALRSAIQEWLQQQ >Manes.01G256400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41336901:41343087:-1 gene:Manes.01G256400.v8.1 transcript:Manes.01G256400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPAPAITQQMSTINFPEYRVPGNMAATTEIVDEPVARVIEEKIFVAVGKNLKENKSLLIWALQNSGGRKICIIHVHQPAQMIPLMGTKFHASSLKEQEVRAYREIERQEMHKILDGYLFICRKMGVQAEKLYIEMESIENGILELISGHGIRKLVMGAAADKRYSRNMMDVKSKKAISVCQQAPAYCHIWFICKQHLIYTKEGALDANGTECRQSSQQASQNTETGQSNHSRSQSFTLGQKNHPKLTNPAQDLLRRACSVTFGRQGGKSPALALPDNDGRPSAPHNMSDAEGASNVSSASSDECDTLSRSTSRGSLLSTYSSSGMVNVGLVSFDRTEGSEIGSELLTLPHRKEDPIHSTPPSVLDRNIEDPLYDQLEQALSEAVNLRKEAFEAAVRRAKAERDAIEAIRRAKASEGLYAEELRQRKETEEALAKGKEELENIKNEKDEVMEELHIALDQKKSLERQIADNDQMVKELEQKIISAVELLQNYKNERDELQLERDNALKEAEELRKSRTEASSSHMPQFFSDFSFSEIEEATQNFNPSLKVGEGGYGSIYKGLLRHTQVAIKVLHSHSLQGPAEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLLNGSLEDRLSCRGNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDANFVSKLSDFGICRLLSQNEDASVNTTICCRTDPKGTFAYMDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDKGNLKILLDPLAGDWPFVQAEQLAHMALRCCDMNRKSRPDLASEVWRVLEPMKASCGGSSYFQFGSEEHCQPPPYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHETSPMTNLKLAHTNLVPNHALRSAIQEWLQQQ >Manes.01G256400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41336812:41343088:-1 gene:Manes.01G256400.v8.1 transcript:Manes.01G256400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIENGILELISGHGIRKLVMGAAADKRYSRNMMDVKSKKAISVCQQAPAYCHIWFICKQHLIYTKEGALDANGTECRQSSQQASQNTETGQSNHSRSQSFTLGQKNHPKLTNPAQDLLRRACSVTFGRQGGKSPALALPDNDGRPSAPHNMSDAEGASNVSSASSDECDTLSRSTSRGSLLSTYSSSGMVNVGLVSFDRTEGSEIGSELLTLPHRKEDPIHSTPPSVLDRNIEDPLYDQLEQALSEAVNLRKEAFEAAVRRAKAERDAIEAIRRAKASEGLYAEELRQRKETEEALAKGKEELENIKNEKDEVMEELHIALDQKKSLERQIADNDQMVKELEQKIISAVELLQNYKNERDELQLERDNALKEAEELRKSRTEASSSHMPQFFSDFSFSEIEEATQNFNPSLKVGEGGYGSIYKGLLRHTQVAIKVLHSHSLQGPAEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLLNGSLEDRLSCRGNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDANFVSKLSDFGICRLLSQNEDASVNTTICCRTDPKGTFAYMDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDKGNLKILLDPLAGDWPFVQAEQLAHMALRCCDMNRKSRPDLASEVWRVLEPMKASCGGSSYFQFGSEEHCQPPPYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHETSPMTNLKLAHTNLVPNHALRSAIQEWLQQQ >Manes.01G256400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41336812:41343088:-1 gene:Manes.01G256400.v8.1 transcript:Manes.01G256400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIENGILELISGHGIRKLVMGAAADKRYSRNMMDVKSKKAISVCQQAPAYCHIWFICKQHLIYTKEGALDANGTECRQSSQQASQNTETGQSNHSRSQSFTLGQKNHPKLTNPAQDLLRRACSVTFGRQGGKSPALALPDNDGRPSAPHNMSDAEGASNVSSASSDECDTLSRSTSRGSLLSTYSSSGMVNVGLVSFDRTEGSEIGSELLTLPHRKEDPIHSTPPSVLQDRNIEDPLYDQLEQALSEAVNLRKEAFEAAVRRAKAERDAIEAIRRAKASEGLYAEELRQRKETEEALAKGKEELENIKNEKDEVMEELHIALDQKKSLERQIADNDQMVKELEQKIISAVELLQNYKNERDELQLERDNALKEAEELRKSRTEASSSHMPQFFSDFSFSEIEEATQNFNPSLKVGEGGYGSIYKGLLRHTQVAIKVLHSHSLQGPAEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLLNGSLEDRLSCRGNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDANFVSKLSDFGICRLLSQNEDASVNTTICCRTDPKGTFAYMDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDKGNLKILLDPLAGDWPFVQAEQLAHMALRCCDMNRKSRPDLASEVWRVLEPMKASCGGSSYFQFGSEEHCQPPPYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHETSPMTNLKLAHTNLVPNHALRSAIQEWLQQQ >Manes.01G256400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41336901:41343087:-1 gene:Manes.01G256400.v8.1 transcript:Manes.01G256400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIENGILELISGHGIRKLVMGAAADKRYSRNMMDVKSKKAISVCQQAPAYCHIWFICKQHLIYTKEGALDANGTECRQSSQQASQNTETGQSNHSRSQSFTLGQKNHPKLTNPAQDLLRRACSVTFGRQGGKSPALALPDNDGRPSAPHNMSDAEGASNVSSASSDECDTLSRSTSRGSLLSTYSSSGMVNVGLVSFDRTEGSEIGSELLTLPHRKEDPIHSTPPSVLQDRNIEDPLYDQLEQALSEAVNLRKEAFEAAVRRAKAERDAIEAIRRAKASEGLYAEELRQRKETEEALAKGKEELENIKNEKDEVMEELHIALDQKKSLERQIADNDQMVKELEQKIISAVELLQNYKNERDELQLERDNALKEAEELRKSRTEASSSHMPQFFSDFSFSEIEEATQNFNPSLKVGEGGYGSIYKGLLRHTQVAIKVLHSHSLQGPAEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLLNGSLEDRLSCRGNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDANFVSKLSDFGICRLLSQNEDASVNTTICCRTDPKGTFAYMDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDKGNLKILLDPLAGDWPFVQAEQLAHMALRCCDMNRKSRPDLASEVWRVLEPMKASCGGSSYFQFGSEEHCQPPPYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHETSPMTNLKLAHTNLVPNHALRSAIQEWLQQQ >Manes.01G256400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41336901:41343087:-1 gene:Manes.01G256400.v8.1 transcript:Manes.01G256400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPAPAITQQMSTINFPEYRVPGNMAATTEIVDEPVARVIEEKIFVAVGKNLKENKSLLIWALQNSGGRKICIIHVHQPAQMIPLMGTKFHASSLKEQEVRAYREIERQEMHKILDGYLFICRKMGVQAEKLYIEMESIENGILELISGHGIRKLVMGAAADKRYSRNMMDVKSKKAISVCQQAPAYCHIWFICKQHLIYTKEGALDANGTECRQSSQQASQNTETGQSNHSRSQSFTLGQKNHPKLTNPAQDLLRRACSVTFGRQGGKSPALALPDNDGRPSAPHNMSDAEGASNVSSASSDECDTLSRSTSRGSLLSTYSSSGMVNVGLVSFDRTEGSEIGSELLTLPHRKEDPIHSTPPSVLQDRNIEDPLYDQLEQALSEAVNLRKEAFEAAVRRAKAERDAIEAIRRAKASEGLYAEELRQRKETEEALAKGKEELENIKNEKDEVMEELHIALDQKKSLERQIADNDQMVKELEQKIISAVELLQNYKNERDELQLERDNALKEAEELRKSRTEASSSHMPQFFSDFSFSEIEEATQNFNPSLKVGEGGYGSIYKGLLRHTQVAIKVLHSHSLQGPAEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLLNGSLEDRLSCRGNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDANFVSKLSDFGICRLLSQNEDASVNTTICCRTDPKGTFAYMDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDKGNLKILLDPLAGDWPFVQAEQLAHMALRCCDMNRKSRPDLASEVWRVLEPMKASCGGSSYFQFGSEEHCQPPPYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHETSPMTNLKLAHTNLVPNHALRSAIQEWLQQQ >Manes.01G256400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41336812:41343088:-1 gene:Manes.01G256400.v8.1 transcript:Manes.01G256400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSPAPAITQQMSTINFPEYRVPGNMAATTEIVDEPVARVIEEKIFVAVGKNLKENKSLLIWALQNSGGRKICIIHVHQPAQMIPLMGTKFHASSLKEQEVRAYREIERQEMHKILDGYLFICRKMGVQAEKLYIEMESIENGILELISGHGIRKLVMGAAADKRYSRNMMDVKSKKAISVCQQAPAYCHIWFICKQHLIYTKEGALDANGTECRQSSQQASQNTETGQSNHSRSQSFTLGQKNHPKLTNPAQDLLRRACSVTFGRQGGKSPALALPDNDGRPSAPHNMSDAEGASNVSSASSDECDTLSRSTSRGSLLSTYSSSGMVNVGLVSFDRTEGSEIGSELLTLPHRKEDPIHSTPPSVLAKASEGLYAEELRQRKETEEALAKGKEELENIKNEKDEVMEELHIALDQKKSLERQIADNDQMVKELEQKIISAVELLQNYKNERDELQLERDNALKEAEELRKSRTEASSSHMPQFFSDFSFSEIEEATQNFNPSLKVGEGGYGSIYKGLLRHTQVAIKVLHSHSLQGPAEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLLNGSLEDRLSCRGNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDANFVSKLSDFGICRLLSQNEDASVNTTICCRTDPKGTFAYMDPEFLSSGELTPKSDVYSFGIILLRLLTGRPALGITKEVQYALDKGNLKILLDPLAGDWPFVQAEQLAHMALRCCDMNRKSRPDLASEVWRVLEPMKASCGGSSYFQFGSEEHCQPPPYFICPIFQEVMQDPHVAADGFTYEAEALRGWLDSGHETSPMTNLKLAHTNLVPNHALRSAIQEWLQQQ >Manes.18G092900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8605385:8607278:-1 gene:Manes.18G092900.v8.1 transcript:Manes.18G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLSAYSSFVLIMAMVSLLKNSSADPTDGFSEVALTEDNFLIQKPYDKPLNERYSYKNGIRRFWVYENDKPFKVGSPTRPRTEVRIKGHDYSSGVWQFEGQLYVPEGTSGVTVMQVFGAVTKSTSLQLRVYNGQLKPYRSDPVATDIYNKWLRLNVIHNVGKGEIKVYVNGKQKVVVYDDGPAEHYFKCGVYAAPDSSSHYMESRWKNIKLYKKRTTDLIMAV >Manes.06G067400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20391841:20394562:-1 gene:Manes.06G067400.v8.1 transcript:Manes.06G067400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERNPLDLNNLPDDYTRDSKQVLDASSSSGYRKKKSGAKDGKDECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSSDNLAAQGHLGCHPMAPGSYPSGIADPYRSVYPTRLFSGSSSGLLPPAPPQPPHQPYLYTSPSRLTPYPSQCSHQQPINDYYIGHVLNNNSSQSYPSHQSMTYNMVGQESNYTCIGAPVGHGGLSGGSSRGPEGSGRDGSLSSQEEGLNWGRS >Manes.06G094500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22936443:22937239:-1 gene:Manes.06G094500.v8.1 transcript:Manes.06G094500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRSSGALTEDDINELIFKLQALLPQLNQRQASRKICALLLQASASRVLKETCRYIRKLRTEVDGLSERLSQLLDSMDISNIDIESLVISILQQ >Manes.06G094500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22936443:22937255:-1 gene:Manes.06G094500.v8.1 transcript:Manes.06G094500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRSSGALTEDDINELIFKLQALLPQLNQRQASRASASRVLKETCRYIRKLRTEVDGLSERLSQLLDSMDISNIDIESLVISILQQ >Manes.18G035700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3175771:3183842:1 gene:Manes.18G035700.v8.1 transcript:Manes.18G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRLITRGVSTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVYISVNGTDANFHMYLDPRGEAYFLREVQGDGAESVSSSSSDEKDEQSQNGTKPMKSNTCDFDGNTSDKINGNNGKIVARTNSRQSRIFGLVFGRRSKKEGGYQDGINNDGAGMVRISSLDRAELAANLLDVKWSTKLTTSESMKDNTSQFSASDTYDGKGVRDRLTNDGQSQVGSSVQDASETSVGHHMFSEEIGSCNVQMGNSSHSGFESGKFSVQQSSVEVSGFGCTEQVVETDKLDESAPEKKLEELSSISRNISETGLHNADQYDNSVGVISDVTCSDSQIVDVYGAFPSIKSDQEWVSGKRNVALAGFGISKESGCNGIQSLIYCGKSENSPVDLDGSDEQAEETLCLTGGVRGDVNFYAETLHVKLPEDSVTLQAEEIELETIFTKSCDNDPQLANSSPPSVRGHDELNLEVKSIVPKSHTQMITMEMDPLLGLTEAESKNIRSSISSFTNSDCQFENAKNFGDKISRDELQPSLESVSGSEQLNGDCELEKAVSVPVSENSEEEQFIFSDLDDNRETQGNLNFPDGVVEENNPSFSTEDTDEENEPLSRNDELFSSEEFIFQKNQLTDIEMPMGNSKGTASPISIPNLQSTADMKVGWVGESLPNMWSCSDNTDSDVLHHPLSHSLDSDSRPLEWKLPSKDESSCINSGGEKESQSSPESSNREDSHLPEDIKDSANPAVGDQSKAIETTGGSWRLWPFTFTRSRSRKTVQPTITDAKSSDAENAASHSNIDMNYNQTVVKPEVSKRMVRTIAPTSEELVSLNLKDGSNTVTFTFSTSMLGMQKVDARIYLWKWKTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAHLTRQFLVNLKQNGKALPDGPIVISPDGLFPSLFREVIRRAPHEFKIACLEEIKALFPPDCHPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEVAVNRCVDTKSYTSLHALVHGMFPVSSPEQEDFNSWNFWKLPPPVVDI >Manes.18G035700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3175771:3184250:1 gene:Manes.18G035700.v8.1 transcript:Manes.18G035700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRLITRGVSTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVYISVNGTDANFHMYLDPRGEAYFLREVQGDGAESVSSSSSDEKDEQSQNGTKPMKSNTCDFDGNTSDKINGNNGKIVARTNSRQSRIFGLVFGRRSKKEGGYQDGINNDGAGMVRISSLDRAELAANLLDVKWSTKLTTSESMKDNTSQFSASDTYDGKGVRDRLTNDGQSQVGSSVQDASETSVGHHMFSEEIGSCNVQMGNSSHSGFESGKFSVQQSSVEVSGFGCTEQVVETDKLDESAPEKKLEELSSISRNISETGLHNADQYDNSVGVISDVTCSDSQIVDVYGAFPSIKSDQEWVSGKRNVALAGFGISKESGCNGIQSLIYCGKSENSPVDLDGSDEQAEETLCLTGGVRGDVNFYAETLHVKLPEDSVTLQAEEIELETIFTKSCDNDPQLANSSPPSVRGHDELNLEVKSIVPKSHTQMITMEMDPLLGLTEAESKNIRSSISSFTNSDCQFENAKNFGDKISRDELQPSLESVSGSEQLNGDCELEKAVSVPVSENSEEEQFIFSDLDDNRETQGNLNFPDGVVEENNPSFSTEDTDEENEPLSRNDELFSSEEFIFQKNQLTDIEMPMGNSKGTASPISIPNLQSTADMKVGWVGESLPNMWSCSDNTDSDVLHHPLSHSLDSDSRPLEWKLPSKDESSCINSGGEKESQSSPESSNREDSHLPEDIKDSANPAVGDQSKAIETTGGSWRLWPFTFTRSRSRKTVQPTITDAKSSDAENAASHSNIDMNYNQTVVKPEVSKRMVDARIYLWKWKTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAHLTRQFLVNLKQNGKALPDGPIVISPDGLFPSLFREVIRRAPHEFKIACLEEIKALFPPDCHPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEVAVNRCVDTKSYTSLHALVHGMFPVSSPEQEDFNSWNFWKLPPPVVDI >Manes.18G035700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3175771:3184250:1 gene:Manes.18G035700.v8.1 transcript:Manes.18G035700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRLITRGVSTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVYISVNGTDANFHMYLDPRGEAYFLREVQGDGAESVSSSSSDEKDEQSQNGTKPMKSNTCDFDGNTSDKINGNNGKIVARTNSRQSRIFGLVFGRRSKKEGGYQDGINNDGAGMVRISSLDRAELAANLLDVKWSTKLTTSESMKDNTSQFSASDTYDGKGVRDRLTNDGQSQVGSSVQDASETSVGHHMFSEEIGSCNVQMGNSSHSGFESGKFSVQQSSVEVSGFGCTEQVVETDKLDESAPEKKLEELSSISRNISETGLHNADQYDNSVGVISDVTCSDSQIVDVYGAFPSIKSDQEWVSGKRNVALAGFGISKESGCNGIQSLIYCGKSENSPVDLDGSDEQAEETLCLTGGVRGDVNFYAETLHVKLPEDSVTLQAEEIELETIFTKSCDNDPQLANSSPPSVRGHDELNLEVKSIVPKSHTQMITMEMDPLLGLTEAESKNIRSSISSFTNSDCQFENAKNFGDKISRDELQPSLESVSGSEQLNGDCELEKAVSVPVSENSEEEQFIFSDLDDNRETQGNLNFPDGVVEENNPSFSTEDTDEENEPLSRNDELFSSEEFIFQKNQLTDIEMPMGNSKGTASPISIPNLQSTADMKVGWVGESLPNMWSCSDNTDSDVLHHPLSHSLDSDSRPLEWKLPSKDESSCINSGGEKESQSSPESSNREDSHLPEDIKDSANPAVGDQSKAIETTGGSWRLWPFTFTRSRSRKTVQPTITDAKSSDAENAASHSNIDMNYNQTVVKPEVSKRMVRTIAPTSEELVSLNLKDGSNTVTFTFSTSMLGMQKVDARIYLWKWKTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAHLTRQFLVNLKQNGKALPDGPIVISPDGLFPSLFREVIRRAPHEFKIACLEEIKALFPPDCHPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEVAVNRCVDTKSYTSLHALVHGMFPVSSPEQEDFNSWNFWKLPPPVVDI >Manes.18G035700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3175661:3184250:1 gene:Manes.18G035700.v8.1 transcript:Manes.18G035700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRLITRGVSTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVYISVNGTDANFHMYLDPRGEAYFLREVQGDGAESVSSSSSDEKDEQSQNGTKPMKSNTCDFDGNTSDKINGNNGKIVARTNSRQSRIFGLVFGRRSKKEGGYQDGINNDGAGMVRISSLDRAELAANLLDVKWSTKLTTSESMKDNTSQFSASDTYDGKGVRDRLTNDGQSQVGSSVQDASETSVGHHMFSEEIGSCNVQMGNSSHSGFESGKFSVQQSSVEVSGFGCTEQVVETDKLDESAPEKKLEELSSISRNISETGLHNADQYDNSVGVISDVTCSDSQIVDVYGAFPSIKSDQEWVSGKRNVALAGFGISKESGCNGIQSLIYCGKSENSPVDLDGSDEQAEETLCLTGGVRGDVNFYAETLHVKLPEDSVTLQAEEIELETIFTKSCDNDPQLANSSPPSVRGHDELNLEVKSIVPKSHTQMITMEMDPLLGLTEAESKNIRSSISSFTNSDCQFENAKNFGDKISRDELQPSLESVSGSEQLNGDCELEKAVSVPVSENSEEEQFIFSDLDDNRETQGNLNFPDGVVEENNPSFSTEDTDEENEPLSRNDELFSSEEFIFQKNQLTDIEMPMGNSKGTASPISIPNLQSTADMKVGWVGESLPNMWSCSDNTDSDVLHHPLSHSLDSDSRPLEWKLPSKDESSCINSGGEKESQSSPESSNREDSHLPEDIKDSANPAVGDQSKAIETTGGSWRLWPFTFTRSRSRKTVQPTITDAKSSDAENAASHSNIDMNYNQTVVKPEVSKRMVRTIAPTSEELVSLNLKDGSNTVTFTFSTSMLGMQKVDARIYLWKWKTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAHLTRQFLVNLKQNGKALPDGPIVISPDGLFPSLFREVIRRAPHEFKIACLEEIKALFPPDCHPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEVAVNRCVDTKSYTSLHALVHGMFPVSSPEQEDFNSWNFWKLPPPVVDI >Manes.18G035700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3175771:3184250:1 gene:Manes.18G035700.v8.1 transcript:Manes.18G035700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRLITRGVSTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVYISVNGTDANFHMYLDPRGEAYFLREVQGDGAESVSSSSSDEKDEQSQNGTKPMKSNTCDFDGNTSDKINGNNGKIVARTNSRQSRIFGLVFGRRSKKEGGYQDGINNDGAGMVRISSLDRAELAANLLDVKWSTKLTTSESMKDNTSQFSASDTYDGKGVRDRLTNDGQSQVGSSVQDASETSVGHHMFSEEIGSCNVQMGNSSHSGFESGKFSVQQSSVEVSGFGCTEQVVETDKLDESAPEKKLEELSSISRNISETGLHNADQYDNSVGVISDVTCSDSQIVDVYGAFPSIKSDQEWVSGKRNVALAGFGISKESGCNGIQSLIYCGKSENSPVDLDGSDEQAEETLCLTGGVRGDVNFYAETLHVKLPEDSVTLQAEEIELETIFTKSCDNDPQLANSSPPSVRGHDELNLEVKSIVPKSHTQMITMEMDPLLGLTEAESKNIRSSISSFTNSDCQFENAKNFGDKISRDELQPSLESVSGSEQLNGDCELEKAVSVPVSENSEEEQFIFSDLDDNRETQGNLNFPDGVVEENNPSFSTEDTDEENEPLSRNDELFSSEEFIFQKNQLTDIEMPMGNSKGTASPISIPNLQSTADMKVGWVGESLPNMWSCSDNTDSDVLHHPLSHSLDSDSRPLEWKLPSKDESSCINSGGEKESQSSPESSNREDSHLPEDIKDSANPAVGDQSKAIETTGGSWRLWPFTFTRSRSRKTVQPTITDAKSSDAENAASHSNIDMNYNQTVVKPEVSKRMVRTIAPTSEELVSLNLKDGSNTVTFTFSTSMLGMQKVDARIYLWKWKTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAHLTRQFLVNLKQNGKALPDGPIVISPDGLFPSLFREVIRRAPHEFKIACLEEIKALFPPDCHPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEVAVNRCVDTKSYTSLHALVHGMFPVSSPEQEDFNSWNFWKLPPPVVDI >Manes.18G035700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3175771:3184250:1 gene:Manes.18G035700.v8.1 transcript:Manes.18G035700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRLITRGVSTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVYISVNGTDANFHMYLDPRGEAYFLREVQGDGAESVSSSSSDEKDEQSQNGTKPMKSNTCDFDGNTSDKINGNNGKIVARTNSRQSRIFGLVFGRRSKKEGGYQDGINNDGAGMVRISSLDRAELAANLLDVKWSTKLTTSESMKDNTSQFSASDTYDGKGVRDRLTNDGQSQVGSSVQDASETSVGHHMFSEEIGSCNVQMGNSSHSGFESGKFSVQQSSVEVSGFGCTEQVVETDKLDESAPEKKLEELSSISRNISETGLHNADQYDNSVGVISDVTCSDSQIVDVYGAFPSIKSDQEWVSGKRNVALAGFGISKESGCNGIQSLIYCGKSENSPVDLDGSDEQAEETLCLTGGVRGDVNFYAETLHVKLPEDSVTLQAEEIELETIFTKSCDNDPQLANSSPPSVRGHDELNLEVKSIVPKSHTQMITMEMDPLLGLTEAESKNIRSSISSFTNSDCQFENAKNFGDKISRDELQPSLESVSGSEQLNGDCELEKAVSVPVSENSEEEQFIFSDLDDNRETQGNLNFPDGVVEENNPSFSTEDTDEENEPLSRNDELFSSEEFIFQKNQLTDIEMPMGNSKGTASPISIPNLQSTADMKVGWVGESLPNMWSCSDNTDSDVLHHPLSHSLDSDSRPLEWKLPSKDESSCINSGGEKESQSSPESSNREDSHLPEDIKDSANPAVGDQSKAIETTGGSWRLWPFTFTRSRSRKTVQPTITDAKSSDAENAASHSNIDMNYNQTVVKPEVSKRMVDARIYLWKWKTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAHLTRQFLVNLKQNGKALPDGPIVISPDGLFPSLFREVIRRAPHEFKIACLEEIKALFPPDCHPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEVAVNRCVDTKSYTSLHALVHGMFPVSSPEQEDFNSWNFWKLPPPVVDI >Manes.18G035700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3175771:3184250:1 gene:Manes.18G035700.v8.1 transcript:Manes.18G035700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRLITRGVSTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVYISVNGTDANFHMYLDPRGEAYFLREVQGDGAESVSSSSSDEKDEQSQNGTKPMKSNTCDFDGNTSDKINGNNGKIVARTNSRQSRIFGLVFGRRSKKEGGYQDGINNDGAGMVRISSLDRAELAANLLDVKWSTKLTTSESMKDNTSQFSASDTYDGKGVRDRLTNDGQSQVGSSVQDASETSVGHHMFSEEIGSCNVQMGNSSHSGFESGKFSVQQSSVEVSGFGCTEQVVETDKLDESAPEKKLEELSSISRNISETGLHNADQYDNSVGVISDVTCSDSQIVDVYGAFPSIKSDQEWVSGKRNVALAGFGISKESGCNGIQSLIYCGKSENSPVDLDGSDEQAEETLCLTGGVRGDVNFYAETLHVKLPEDSVTLQAEEIELETIFTKSCDNDPQLANSSPPSVRGHDELNLEVKSIVPKSHTQMITMEMDPLLGLTEAESKNIRSSISSFTNSDCQFENAKNFGDKISRDELQPSLESVSGSEQLNGDCELEKAVSVPVSENSEEEQFIFSDLDDNRETQGNLNFPDGVVEENNPSFSTEDTDEENEPLSRNDELFSSEEFIFQKNQLTDIEMPMGNSKGTASPISIPNLQSTADMKVGWVGESLPNMWSCSDNTDSDVLHHPLSHSLDSDSRPLEWKLPSKDESSCINSGGEKESQSSPESSNREDSHLPEDIKDSANPAVGDQSKAIETTGGSWRLWPFTFTRSRSRKTVQPTITDAKSSDAENAASHSNIDMNYNQTVVKPEVSKRMVRTIAPTSEELVSLNLKDGSNTVTFTFSTSMLGMQKVDARIYLWKWKTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAHLTRQFLVNLKQNGKALPDGPIVISPDGLFPSLFREVIRRAPHEFKIACLEEIKALFPPDCHPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEVAVNRCVDTKSYTSLHALVHGMFPVSSPEQEDFNSWNFWKLPPPVVDI >Manes.18G035700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3175771:3184250:1 gene:Manes.18G035700.v8.1 transcript:Manes.18G035700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRLITRGVSTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVYISVNGTDANFHMYLDPRGEAYFLREVQGDGAESVSSSSSDEKDEQSQNGTKPMKSNTCDFDGNTSDKINGNNGKIVARTNSRQSRIFGLVFGRRSKKEGGYQDGINNDGAGMVRISSLDRAELAANLLDVKWSTKLTTSESMKDNTSQFSASDTYDGKGVRDRLTNDGQSQVGSSVQDASETSVGHHMFSEEIGSCNVQMGNSSHSGFESGKFSVQQSSVEVSGFGCTEQVVETDKLDESAPEKKLEELSSISRNISETGLHNADQYDNSVGVISDVTCSDSQIVDVYGAFPSIKSDQEWVSGKRNVALAGFGISKESGCNGIQSLIYCGKSENSPVDLDGSDEQAEETLCLTGGVRGDVNFYAETLHVKLPEDSVTLQAEEIELETIFTKSCDNDPQLANSSPPSVRGHDELNLEVKSIVPKSHTQMITMEMDPLLGLTEAESKNIRSSISSFTNSDCQFENAKNFGDKISRDELQPSLESVSGSEQLNGDCELEKAVSVPVSENSEEEQFIFSDLDDNRETQGNLNFPDGVVEENNPSFSTEDTDEENEPLSRNDELFSSEEFIFQKNQLTDIEMPMGNSKGTASPISIPNLQSTADMKVGWVGESLPNMWSCSDNTDSDVLHHPLSHSLDSDSRPLEWKLPSKDESSCINSGGEKESQSSPESSNREDSHLPEDIKDSANPAVGDQSKAIETTGGSWRLWPFTFTRSRSRKTVQPTITDAKSSDAENAASHSNIDMNYNQTVVKPEVSKRMVDARIYLWKWKTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAHLTRQFLVNLKQNGKALPDGPIVISPDGLFPSLFREVIRRAPHEFKIACLEEIKALFPPDCHPFYAGFGNRDTDEISYLKVGIPKGKIFIINPRGEVAVNRCVDTKSYTSLHALVHGMFPVSSPEQEDFNSWNFWKLPPPVVDI >Manes.13G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5269344:5273758:-1 gene:Manes.13G044600.v8.1 transcript:Manes.13G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILADFTEFTGNFTSIAAQCLQKLPATNNKFTYNCDGHTFNYLVDNGFTYCVVAVESVGRQVPIAFLERIKEEFTKKYGGGKAATAVANSLNKEFGPKLKEQMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWLQNMKIKLIVLGILIALILIIVLSVCGGFKCH >Manes.07G140200.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGSLSSCSSSTTSLDLYPLSIDSELWLMAEQRTQEILWIIQPAMASEQKRKEVIDYIQRLIKGYYATEVFSFGSVPLKTYLPDGDIDLTALVQQNMEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKGSDSKHKPLVEGKRVVDGR >Manes.07G140200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKVQGQTANTNPWLRGKGLWMEDETRNGKKKG >Manes.07G140200.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGSLSSCSSSTTSLDLYPLSIDSELWLMAEQRTQEILWIIQPAMASEQKRKEVIDYIQRLIKGYYATEVFSFGSVPLKTYLPDGDIDLTALVQQNMEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKVQGQTANTNPWLRGKGLWMEDETRNGKKKG >Manes.07G140200.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGSLSSCSSSTTSLDLYPLSIDSELWLMAEQRTQEILWIIQPAMASEQKRKEVIDYIQRLIKGYYATEVFSFGSVPLKTYLPDGDIDLTALVQQNMEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKVQGQTANTNPWLRGKGLWMEDETRNGKKKG >Manes.07G140200.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGSLSSCSSSTTSLDLYPLSIDSELWLMAEQRTQEILWIIQPAMASEQKRKEVIDYIQRLIKGYYATEVFSFGSVPLKTYLPDGDIDLTALVQQNMEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKGSDSKHKPLVEGKRVVDGR >Manes.07G140200.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGSLSSCSSSTTSLDLYPLSIDSELWLMAEQRTQEILWIIQPAMASEQKRKEVIDYIQRLIKGYYATEVFSFGSVPLKTYLPDGDIDLTALVQQNMEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKGSDSKHKPLVEGKRVVDGR >Manes.07G140200.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGSLSSCSSSTTSLDLYPLSIDSELWLMAEQRTQEILWIIQPAMASEQKRKEVIDYIQRLIKGYYATEVFSFGSVPLKTYLPDGDIDLTALVQQNMEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKGSDSKHKPLVEGKRVVDGR >Manes.07G140200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKGSDSKHKPLVEGKRVVDGR >Manes.07G140200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKGSDSKHKPLVEGKRVVDGR >Manes.07G140200.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGSLSSCSSSTTSLDLYPLSIDSELWLMAEQRTQEILWIIQPAMASEQKRKEVIDYIQRLIKGYYATEVFSFGSVPLKTYLPDGDIDLTALVQQNMEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKVQGQTANTNPWLRGKGLWMEDETRNGKKKG >Manes.07G140200.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34177381:34193894:-1 gene:Manes.07G140200.v8.1 transcript:Manes.07G140200.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQGSLSSCSSSTTSLDLYPLSIDSELWLMAEQRTQEILWIIQPAMASEQKRKEVIDYIQRLIKGYYATEVFSFGSVPLKTYLPDGDIDLTALVQQNMEEDLAREVCNILKYKEQDPNSEIKDVQYIQAQVKIVKCCVKDISVDISFNQLAGLCALCFLEQVDQLIGRDHLLKQSIILIKAWCFYESRILGAHHGLIATYALEILVLYIINIFHASLPGPLAVLYRFLDYYSTFDWENYCVSINGPVAISSLPEIAAESRDNHGNELLISPEFLKNCRETFSIPIKAVENGVHEFAVKHINILDPLKDSNNLGRSVSKGNFHRIKYALAYGAQRLGEILMLPGESMGARLEKFFVNTLDRNGRGERLDTQVPVPAFGTGRSEVSNLTGDYDNDYIGLLQGQWYHNYSMPVSPQISPPSSPSQIQQRSTWDIMSQFLQHKQNAFFQRGKNVYIPRLVPYHPYGLHLYATTSGINEMGKSRGTGTYIPDVSNHPYKDLLSCTRVRNPDSSTHSPVKLSRKAKEVEVEDDPEAEKSKNVRHLDLSLDEFKPHREKGENSSSMNVSLDQFPHLPCLKKSTSSETSQSCQPISNSPQAKECFAALRNINFGTYRHSSSRLSSTSSMATKKVDSGVPSTLEITSSAIPKMGKLKQQESLETDKVQGQTANTNPWLRGKGLWMEDETRNGKKKG >Manes.10G082100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21201852:21204723:1 gene:Manes.10G082100.v8.1 transcript:Manes.10G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTSGALVRFLQEMNIGGNSLADDKPVLLQIRSIIPVLREGDLWPNQGFFLRVSDLSHAIYVSLPQEQDDMVLCDKLHIGQLLFVEKLEAAYPVPLLKGIRTLPGRYPCNGSPKDLIPMQSLEKFLGVSKLDSINDEKKNPIEKPQPLSNSKGKLIEGTGRIGSMSCVFEDNNHMFKEKQREKSLYLSPSGVSSNETSSDEKTVRTESPSMKKEENDKIMEKQIENSHSATASKGSSSDGTRKTTEKSCKVEESRSNEQTKKKQTEKSKSNAWNSSRGSMNERLGRRGSIYKPRQSDVESNLSYKPKRSEAESYHKAIRRQKPRYPRDSDMESTISSASTSLMVKRRSWTVKEAKRVEDFLGTAVLKHEVKPDSSYPSIPISPVRLYRSDSSDDNASSITKCTVTSITTKSSKTPSKSKISMLGKISEDSTNQMGTFSSTNDIVSTDISIAWDLLPSNLVKLGQKVQKQREIALLAAVEALLEASAAEKLLKCLSIYSEIHSVKKEEQHPSVDKFFNLQDDLVQAKLISQSTTNTFPLRRSNSDPIASFSDGEESKLAFDRKLNAITWIKSALESDLNPVSDNIKATNISMESTSTPKISTKTSPGNLRGGTLLFKKQTSKTEMLDLENQQDWVKGSALSASSELASCLNKECRTWFLSYVEDYLDLVSTKTSSIQSDSQQTAEIMYQLVGE >Manes.07G068100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12672953:12673996:1 gene:Manes.07G068100.v8.1 transcript:Manes.07G068100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLEGLPMLCEGGVADNTTVEEVKFADSEGVKVADKSIGGDVEEGSDGGDVEEGSDGDDVEESSDGEEDSSDSENTTKRILFRNKDEPYGYDDPDYEGNQRDVYINYRRQYEESDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDAEFTIGCKEALAYAIEEQNKKGANLRLLDIIKANAESVALYHITFRAEDVSLGEVKVYQTRVFHSLVPAHKETVVRIFRLKEPTNKGN >Manes.S007848.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:52575:52949:1 gene:Manes.S007848.v8.1 transcript:Manes.S007848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.03G069316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10721411:10723448:1 gene:Manes.03G069316.v8.1 transcript:Manes.03G069316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKKIECVEKVVYYIILALVGKLAKWLIILFEFDIVYTTQKMIKEEVEIEVEFSNKKLSLIESGVEIGVVLIVPNGEQLWISKRLHFPTTNNVTEYEACIYRLEALIMVGAKKVEVTGNSLLIISHIQEEWEDKEDRLRLYYIELKHVLRSQNQLVDALTTLSLLWKNSDKKILQQMVLIKRKILSYKGPMITHLELEDNKWLERIRRQLYKRYYEGMLLLCANNKQAKQIKEEVHAGSCCSLMSGKSTKEVDYARFLRRCHECLNHVPSSELHSIDIIRNITPIASNGHKFIIEAINYFSKEVEVESYKTVEGQKKVQFYHKEYNMLTWIPHETILDNGIFPRGVVRLSNLDGNKL >Manes.18G011700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1342586:1352290:1 gene:Manes.18G011700.v8.1 transcript:Manes.18G011700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGIEDEEKWLAEGIAAIQHNAFYLHRALDANNLREALKYSAQMLSELRTSKLSPHRYYELYMRAFDELRGLEIFFKDESRHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGVQHPIRGLFLRSYLAQVSRDKLPDIGSEYKGDADTAMDAVEFVLQNFTEMNKLWVRMHYQGPGRVREKQEKERSELRDLVGKNLHVLGQIEGVDLEMYRDTVLPRVLEQVVNCKDELAQYYLLDCIIQVFPDEYHLQTLDTLLGACPQLQVLPEFLQVEAFAKLSSAIGKVTEAHVEMPIVGAVTLYLSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKPKLEDSRATKQIVALLSAPLEKYNDVVTALTLSNYPRVMDRLDNETNKLMAMVIIQSIMKNYTCISSADKVEVLFELIKGLIKDLDGTTGDELDEEDFKEEQNSVARLIHMLYNGEPEEMLKIICTVKKHIMTGGPKRLPYTVPPLIFSALRLVRKLLCQDGDAVGEELPATPKKIFQLLNQTIEALSSVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMATVTRGSSGPVILFVEILNKYLYFFEKANPQITSSAIQSLIELINTELQSDSTTPDPAASAFFASTLRYIQFQKQKGGVMGEKYNPIKV >Manes.18G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1342586:1352290:1 gene:Manes.18G011700.v8.1 transcript:Manes.18G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGIEDEEKWLAEGIAAIQHNAFYLHRALDANNLREALKYSAQMLSELRTSKLSPHRYYELYMRAFDELRGLEIFFKDESRHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGVQHPIRGLFLRSYLAQVSRDKLPDIGSEYKGDADTAMDAVEFVLQNFTEMNKLWVRMHYQGPGRVREKQEKERSELRDLVGKNLHVLGQIEGVDLEMYRDTVLPRVLEQVVNCKDELAQYYLLDCIIQVFPDEYHLQTLDTLLGACPQLQPTVDVKTVLSQLMERLSNYASSSEDVLPEFLQVEAFAKLSSAIGKVTEAHVEMPIVGAVTLYLSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKPKLEDSRATKQIVALLSAPLEKYNDVVTALTLSNYPRVMDRLDNETNKLMAMVIIQSIMKNYTCISSADKVEVLFELIKGLIKDLDGTTGDELDEEDFKEEQNSVARLIHMLYNGEPEEMLKIICTVKKHIMTGGPKRLPYTVPPLIFSALRLVRKLLCQDGDAVGEELPATPKKIFQLLNQTIEALSSVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFVLYEEEIADSKAQVTAIHLIIGTLQRMNVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQMATVTRGSSGPVILFVEILNKYLYFFEKANPQITSSAIQSLIELINTELQSDSTTPDPAASAFFASTLRYIQFQKQKGGVMGEKYNPIKV >Manes.06G093400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22836193:22840605:1 gene:Manes.06G093400.v8.1 transcript:Manes.06G093400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGQWLENALVDLCKRIETGLDLDREIISGLVSYCELAQPRDAKEYLDNIIGQEAGKSVIEEYLRRRGHSDVGSGAPAVQTSKFHAYVKPSTNNGSESGTKKPVRSAKGVANSAYQNEPRKVTVPSYQAEPKKNAYQENKVSTEVSESSQKGIQGNSRKKKAGKVISLAEAAKGSIVFQQGKPCQCQARQHGLVSNCLSCGKIVCEQEGEGPCSFCGSLVLKEGSTYAGLEGNLAPLSDAEAAAEAYAKRLVDYDRNSAARTTVIDDQSDYYEIEGNSWLSKEEKELLRKKQQAIEEAEREKRSKVVVTFDLVGRKVLVNQDEVSELESENRILRPQDEREREMNRIKPNPTLAIQPIFMDPGPSTKPVKGKQRDKVLPNGLCLEVTGRVQHDSNQLKYFMIDT >Manes.06G093400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22836193:22840605:1 gene:Manes.06G093400.v8.1 transcript:Manes.06G093400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNIIGQEAGKSVIEEYLRRRGHSDVGSGAPAVQTSKFHAYVKPSTNNGSESGTKKPVRSAKGVANSAYQNEPRKVTVPSYQAEPKKNAYQENKVSTEVSESSQKGIQGNSRKKKAGKVISLAEAAKGSIVFQQGKPCQCQARQHGLVSNCLSCGKIVCEQEGEGPCSFCGSLVLKEGSTYAGLEGNLAPLSDAEAAAEAYAKRLVDYDRNSAARTTVIDDQSDYYEIEGNSWLSKEEKELLRKKQQAIEEAEREKRSKVVVTFDLVGRKVLVNQDEVSELESENRILRPQDEREREMNRIKPNPTLAIQPIFMDPGPSTKPVKGKQRDKVLPNGLCLEVTGRVQHDSNQLKYFMIDT >Manes.04G017500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2178719:2185757:1 gene:Manes.04G017500.v8.1 transcript:Manes.04G017500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSFRPGESHVAQQSRRDKLRVQASSASAAHHLDDFSNNLEQLSVHSGLNPDLVQVRNVRNASILYDPTTTVFSSEMLNFATRSTGVLPAQRHAMADQELAAMTHNMSHPVSSNIKANSSDPQACSNWRSSDTQQCYDWMVNYASGSSVGRENNQKPIFVGDVLSNNARVTDISTPTQYVKPIYDGYQSVQSSLAIPSSEIHGQGSQRQHREMQFASHMHPFYHNTLADVVTSASNAYGNHSTALCFDNANTWMNRPVESCHQWSSEMGLVTRKNSQELRTLARDPNTQVLSLSLSSNPPSRGNITQFEEGYESEHMQSKPGELKESHNQDSKILKSSNYLCSMSKPAIISRSAGKSLSDMVGTSNYNVLQNAGPLGPFTGYATILKSSKFLKPAQQLLDEFCRAAGLKLLKTCEGSARISGDCAETGAKVNNTTFYSSNEVSGDVAVAVASSTCESLRSEYQQKKAKLLYLQEEVCHRYKQYHQQMQMVASSFESVAGLSTATPYVSLALKTVSRNFRCVRHAISDQLKHVAKALGEDLLSPNTGTSSSKGDTSTSRLKYTDQNFQRYRCGGANAGFFESQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPSDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGLAENQTYANLEGKSVEGTSHPLQEQSSNNIGADSMLNKQLECSGTGSSSGSGEHLDAEQWSQEKRSRIEFQAPTSMDGSVMNFLPYQRTSGVDIGGLGAVSLTLGLRHGVENAQHQQPHQQHPQLQQREDQHRRRFGGQMIHDFVG >Manes.04G017500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2179026:2185757:1 gene:Manes.04G017500.v8.1 transcript:Manes.04G017500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQELAAMTHNMSHPVSSNIKANSSDPQACSNWRSSDTQQCYDWMVNYASGSSVGRENNQKPIFVGDVLSNNARVTDISTPTQYVKPIYDGYQSVQSSLAIPSSEIHGQGSQRQHREMQFASHMHPFYHNTLADVVTSASNAYGNHSTALCFDNANTWMNRPVESCHQWSSEMGLVTRKNSQELRTLARDPNTQVLSLSLSSNPPSRGNITQFEEGYESEHMQSKPGELKESHNQDSKILKSSNYLCSMSKPAIISRSAGKSLSDMVGTSNYNVLQNAGPLGPFTGYATILKSSKFLKPAQQLLDEFCRAAGLKLLKTCEGSARISGDCAETGAKVNNTTFYSSNEVSGDVAVAVASSTCESLRSEYQQKKAKLLYLQEEVCHRYKQYHQQMQMVASSFESVAGLSTATPYVSLALKTVSRNFRCVRHAISDQLKHVAKALGEDLLSPNTGTSSSKGDTSTSRLKYTDQNFQRYRCGGANAGFFESQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPSDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGLAENQTYANLEGKSVEGTSHPLQEQSSNNIGADSMLNKQLECSGTGSSSGSGEHLDAEQWSQEKRSRIEFQAPTSMDGSVMNFLPYQRTSGVDIGGLGAVSLTLGLRHGVENAQHQQPHQQHPQLQQREDQHRRRFGGQMIHDFVG >Manes.04G017500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2178624:2185757:1 gene:Manes.04G017500.v8.1 transcript:Manes.04G017500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQELAAMTHNMSHPVSSNIKANSSDPQACSNWRSSDTQQCYDWMVNYASGSSVGRENNQKPIFVGDVLSNNARVTDISTPTQYVKPIYDGYQSVQSSLAIPSSEIHGQGSQRQHREMQFASHMHPFYHNTLADVVTSASNAYGNHSTALCFDNANTWMNRPVESCHQWSSEMGLVTRKNSQELRTLARDPNTQVLSLSLSSNPPSRGNITQFEEGYESEHMQSKPGELKESHNQDSKILKSSNYLCSMSKPAIISRSAGKSLSDMVGTSNYNVLQNAGPLGPFTGYATILKSSKFLKPAQQLLDEFCRAAGLKLLKTCEGSARISGDCAETGAKVNNTTFYSSNEVSGDVAVAVASSTCESLRSEYQQKKAKLLYLQEEVCHRYKQYHQQMQMVASSFESVAGLSTATPYVSLALKTVSRNFRCVRHAISDQLKHVAKALGEDLLSPNTGTSSSKGDTSTSRLKYTDQNFQRYRCGGANAGFFESQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPSDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGLAENQTYANLEGKSVEGTSHPLQEQSSNNIGADSMLNKQLECSGTGSSSGSGEHLDAEQWSQEKRSRIEFQAPTSMDGSVMNFLPYQRTSGVDIGGLGAVSLTLGLRHGVENAQHQQPHQQHPQLQQREDQHRRRFGGQMIHDFVG >Manes.04G017500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2178624:2185757:1 gene:Manes.04G017500.v8.1 transcript:Manes.04G017500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSFRPGESHVAQQSRRDKLRVQASSASAAHHLDDFSNNLEQLSVHSGLNPDLVQVRNVRNASILYDPTTTVFSSEMLNFATRSTGVLPAQRHAMADQELAAMTHNMSHPVSSNIKANSSDPQACSNWRSSDTQQCYDWMVNYASGSSVGRENNQKPIFVGDVLSNNARVTDISTPTQYVKPIYDGYQSVQSSLAIPSSEIHGQGSQRQHREMQFASHMHPFYHNTLADVVTSASNAYGNHSTALCFDNANTWMNRPVESCHQWSSEMGLVTRKNSQELRTLARDPNTQVLSLSLSSNPPSRGNITQFEEGYESEHMQSKPGELKESHNQDSKILKSSNYLCSMSKPAIISRSAGKSLSDMVGTSNYNVLQNAGPLGPFTGYATILKSSKFLKPAQQLLDEFCRAAGLKLLKTCEGSARISGDCAETGAKVNNTTFYSSNEVSGDVAVAVASSTCESLRSEYQQKKAKLLYLQEEVCHRYKQYHQQMQMVASSFESVAGLSTATPYVSLALKTVSRNFRCVRHAISDQLKHVAKALGEDLLSPNTGTSSSKGDTSTSRLKYTDQNFQRYRCGGANAGFFESQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPSDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGLAENQTYANLEGKSVEGTSHPLQEQSSNNIGADSMLNKQLECSGTGSSSGSGEHLDAEQWSQEKRSRIEFQAPTSMDGSVMNFLPYQRTSGVDIGGLGAVSLTLGLRHGVENAQHQQPHQQHPQLQQREDQHRRRFGGQMIHDFVG >Manes.04G017500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2179026:2185757:1 gene:Manes.04G017500.v8.1 transcript:Manes.04G017500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQELAAMTHNMSHPVSSNIKANSSDPQACSNWRSSDTQQCYDWMVNYASGSSVGRENNQKPIFVGDVLSNNARVTDISTPTQYVKPIYDGYQSVQSSLAIPSSEIHGQGSQRQHREMQFASHMHPFYHNTLADVVTSASNAYGNHSTALCFDNANTWMNRPVESCHQWSSEMGLVTRKNSQELRTLARDPNTQVLSLSLSSNPPSRGNITQFEEGYESEHMQSKPGELKESHNQDSKILKSSNYLCSMSKPAIISRSAGKSLSDMVGTSNYNVLQNAGPLGPFTGYATILKSSKFLKPAQQLLDEFCRAAGLKLLKTCEGSARISGDCAETGAKVNNTTFYSSNEVSGDVAVAVASSTCESLRSEYQQKKAKLLYLQEEVCHRYKQYHQQMQMVASSFESVAGLSTATPYVSLALKTVSRNFRCVRHAISDQLKHVAKALGEDLLSPNTGTSSSKGDTSTSRLKYTDQNFQRYRCGGANAGFFESQQHVWRPQRGLPERSVAILRAWLFEHFLHPYPSDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGLAENQTYANLEGKSVEGTSHPLQEQSSNNIGADSMLNKQLECSGTGSSSGSGEHLDAEQWSQEKRSRIEFQAPTSMDGSVMNFLPYQRTSGVDIGGLGAVSLTLGLRHGVENAQHQQPHQQHPQLQQREDQHRRRFGGQMIHDFVG >Manes.18G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6297331:6300968:1 gene:Manes.18G069300.v8.1 transcript:Manes.18G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSHQQLTMRIKIQSLCLVTLLFILADSSQPPFSCDSSNPSTKSYPFCQTSLPISQRVQDLVSRLTLDEKISQLVDTAPAIPRFGIPAYEWWSEALHGVAFLPEVSQRQGIHFNGTIKSATSFPQVILTAASFDAYLWYSIGQVTGIEARAIYNAGQAIGMTFWAPNINIFRDPRWGRGQETPGEDPLVTGKYAVSFVRGVQGDSFQGGVLGEQLQASACCKHFTAYDLDKWNGTNRFIFNAQVTLQDLADTYQPPFRSCIQEGKASGIMCAYNQVNGVPNCADYNLLSKTARGQWNFNGYITSDCDAVSIIFDDQGYAKSPEDAVADVLKAGMDVNCGDYLKKYTKSAVEKKKLTESDIDRALQNLFSIRMRLGLFNGNPAKLPYGKIGSDQVCSQKHQALALEAARDGIVLLKNSDQLLPLSKSKTTSLAVIGPNAHNSTILLGNYAGPPCKAVTPLQGLKSYIKNTRYHPGCSTVACSSAAIYEAVKLAKEADQVVLVMGLDQTQETEEHDRVDLILPGNQQKLITSVARAAKKPVVLVLLCGGPVDISFAKYDKNIGSILWAGYPGEAGGIALAEIIFGDHNPGGRLPMTWYPQEFTKVPMTDMRMRPQPSSGYPGRTYRFYKGKKVFEFGHGLSYSNYTYELVSPTQNNIHLTSFVDKMVRNSNPISYRAISELGTELCEKSKFSVIVRVKNQGMMSGKHPVLLFLKQPKLGEGRPMKKLIGFQSVKLNAGESGEIEYKLSPCEHLSRANEEGLMVIDEGSQFLLVGGREYPINITI >Manes.17G008100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2943582:2945913:1 gene:Manes.17G008100.v8.1 transcript:Manes.17G008100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKASRKSTLQVSSPSSTTPKSIILENRNSKKKPTTTSVLRSKPQNSLASISDLKDLASSRFQHLKSDLIDHSHSEIIKDLEAFQSRLHKRFKMQSQICQQVMDEADKDFKKMSKDINESCEAMKESYEELIADAQATATRVCKTSIPELLKSHEKAIGIIRSRFGIPSA >Manes.17G008100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2943583:2946824:1 gene:Manes.17G008100.v8.1 transcript:Manes.17G008100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKASRKSTLQVSSPSSTTPKSIILENRNSKKKPTTTSVLRSKPQNSLASISDLKDLASSRFQHLKSDLIDHSHSEIIKDLEAFQSRLHKRFKMQSQICQQVMDEADKDFKKMSKDINESCEAMKESYEELIADAQATATLCKTSIPELLKSHEKAIGIIRSRFGIPSA >Manes.17G008100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2943583:2946824:1 gene:Manes.17G008100.v8.1 transcript:Manes.17G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKASRKSTLQVSSPSSTTPKSIILENRNSKKKPTTTSVLRSKPQNSLASISDLKDLASSRFQHLKSDLIDHSHSEIIKDLEAFQSRLHKRFKMQSQICQQVMDEADKDFKKMSKDINESCEAMKESYEELIADAQATATRVCKTSIPELLKSHEKAIGIIRSRFGIPSA >Manes.03G174100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29828589:29833594:-1 gene:Manes.03G174100.v8.1 transcript:Manes.03G174100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSASSASAEGNGGDEVSLKEKGNEFFKAGNYLKAAALYTQAIKLDPSNPALYSNRAAAFLQLVKLNKALADAETTITLNPQWEKGYFRKGCVLEAMERYDDALAAFQTSLQYNPQSTEVSRKIRRISQLAKDKKRAQEVDSIRSNVDLAKHLGTLKSEMSEKIGSEECLKEIFSFLIETMETAVKSWHETSKVDPRVYFLLHKEKTETDEYAPVVNIDKAFESPHTHSDCFTFLRQYAEKSFSKAACLVVPKSIISYPQVKFHFCINIVDKASS >Manes.03G174100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29828589:29833594:-1 gene:Manes.03G174100.v8.1 transcript:Manes.03G174100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSASSASAEGNGGDEVSLKEKGNEFFKAGNYLKAAALYTQAIKLDPSNPALYSNRAAAFLQLVKLNKALADAETTITLNPQWEKGYFRKGCVLEAMERYDDALAAFQTSLQYNPQSTEVSRKIRRISQLAKDKKRAQEVDSIRSNVDLAKHLGTLKSEMSEKIGSEECLKEIFSFLIETMETAVKSWHETSKVDPRVYFLLHKEKTETDEYAPVVNIDKAFESPHTHSDCFTFLRQYAEKSFSKAACLVVPKSIISYPQVWKGQGSRKWKYGQHDGFFVQLESPFLRKLWFIPSTSEKGQTLCRDPEPLDISAHEVLPRLFKEKLPNS >Manes.17G060900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25925745:25930166:-1 gene:Manes.17G060900.v8.1 transcript:Manes.17G060900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGMRKTKTDIQATGELNYAKEDAFTPAQFPSSTQSLPPSSLIPVLPLILFSVSLCLLLIFEFSHRVFFLVLPFSFSICRILLLPLFDMEGNSLNFEPHLEVEGIGNLETRVSNSLPDNGGLESSTDFYNSAATIGLGTHEIPTPAENDARNNEKKGTKTSEKKLGKYFFYDSPLSEETGVWIPVSVPPMVENDHEEWSRGFHSNGGYFPEGDMGWNQYLGEEKDLTMWDVIVEMLLAANGKVRAIASGDIRSSNFSWISSHLLEQAWQEMAQTLTEVNFGNVTEILEAEPPKWLADSAASACMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPVKFRIADPQRVCDVCCVRLETVQPYLMDQVSHAAQLPTHDLTDLSTLRSWVNFPWGQTMEYEIYKAANTIQGYNKAVCLKPEKSIPDAILGRAKGLAIITVVKVGVMVTYNVGTGLVIARREDGSWSPPSAVSSFGMGWGAQAGGELTDFVIVLRTNDAVKTFCSNAHVSLGAGLSAAVGIIGRAVEADLRAGDRGHAACYTYSCSKGAFVGCSLEGSIVTTRAKENSRFYGSQSISASDILLGCLPSPPAAAILYRALAELSQKLER >Manes.12G146400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35339812:35343520:1 gene:Manes.12G146400.v8.1 transcript:Manes.12G146400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTNSSNFDNLLLQTLMGRLQIHPPNSTNNPFLSESLEDLLFDAANSYSDDDSSDSRTQLAKEESKLEREIIKLILSGKGDSLKPNSGQAVTIGEHHICVGIHEETGSDYRVWEWHGHIMLFDEENGYAPEYIYGNYFERLLGKVYKEEEEEKEEKKMATLGLRELIDGGDSGGAHILHRNINAGSPRT >Manes.12G146400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35339812:35343432:1 gene:Manes.12G146400.v8.1 transcript:Manes.12G146400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTNSSNFDNLLLQTLMGRLQIHPPNSTNNPFLSESLEDLLFDAANSYSDDDSSDSRTQLAKEESKLEREIIKLILSGKGDSLKPNSGQAVTIGEHHICVGIHEETGSDYRVWEWHGHIMLFDEENGYAPEYIYGNYFERLLGKVYKEEEEEKEEKKMATLGLRELIDGGDSGGAHILHRNINAGSPRT >Manes.12G146400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35339800:35344341:1 gene:Manes.12G146400.v8.1 transcript:Manes.12G146400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTNSSNFDNLLLQTLMGRLQIHPPNSTNNPFLSESLEDLLFDAANSYSDDDSSDSRTQLAKEESKLEREIIKLILSGKGDSLKPNSGQAVTIGEHHICVGIHEETGSDYRVWEWHGHIMLFDEENGYAPEYIYGNYFERLLGKVYKEEEEEKEEKKMATLGLRELIDGGDSGGAHILHRNINAGSPRT >Manes.12G146400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35339800:35344341:1 gene:Manes.12G146400.v8.1 transcript:Manes.12G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTNSSNFDNLLLQTLMGRLQIHPPNSTNNPFLSESLEDLLFDAANSYSDDDSSDSRTQLAKEESKLEREIIKLILSGKGDSLKPNSGQAVTIGEHHICVGIHEETGSDYRVWEWHGHIMLFDEENGYAPEYIYGNYFERLLGKVYKEEEEEKEEKKMATLGLRELIDGGDSGGAHILHRNINAGSPRT >Manes.15G087000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6650243:6660546:-1 gene:Manes.15G087000.v8.1 transcript:Manes.15G087000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYSPLHQHAGAASGSSEHPCNKFALPPPPPPVGRQLGPRPCSVCYIPLEQARARMPRSPSVSPVLRNLTYVVDENPLKTEPHGGSNFGGYPSLKRRSDSFDIRESMTVHCGFVKGRKPGHQTGFDIDEADLMELEQFHEVIVVSAIFGNYDIIQQPKNISEASRKNVPFYMFVDEETETYMKNSTVLDSSMKVGLWRIIVVHNIPYNDTRRNGKVPKLLLHRILPNVRYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNTSIDYQIEFYKREGLTSYYSAKFPITSDVPEGCVIIREHVPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMEKVKWSINMILDCERRNFVIQAYHRDLLEHRSPPVTPVIPRRPPLNRDSGTGRTPGKNPRHGRSRRSNPRHHRKSVAGNRDKQVISQ >Manes.15G087000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6650302:6660528:-1 gene:Manes.15G087000.v8.1 transcript:Manes.15G087000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYSPLHQHAGAASGSSEHPCNKFALPPPPPPVGRQLGPRPCSVCYIPLEQARARMPRSPSVSPVLRNLTYVVDENPLKTEPHGGSNFGGYPSLKRRSDSFDIRESMTVHCGFVKGRKPGHQTGFDIDEADLMELEQFHEVIVVSAIFGNYDIIQQPKNISEASRKNVPFYMFVDEETETYMKNSTVLDSSMKVGLWRIIVVHNIPYNDTRRNGKVPKLLLHRILPNVRYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNTSIDYQIEFYKREGLTSYYSAKFPITSDVPEGCVIIREHVPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMEKVKWSINMILDCERRNFVIQAYHRDLLEHRSPPVTPVIPRRPPLNRDSGTGRTPGKNPRHGRSRRSNPRHHRKSVAGNRDKQVISQERTDKHSKVILSVLPSPL >Manes.15G087000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6650265:6660556:-1 gene:Manes.15G087000.v8.1 transcript:Manes.15G087000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSLSLRGSYGSSQSSAKFPAIARKSSSKMLIPSLREKERVLPVICGYLGQRRVAMILLVALALLVFIWGSITVSKESTNSNAKESNDPSLDVHTVLKFKMSDYSPLHQHAGAASGSSEHPCNKFALPPPPPPVGRQLGPRPCSVCYIPLEQARARMPRSPSVSPVLRNLTYVVDENPLKTEPHGGSNFGGYPSLKRRSDSFDIRESMTVHCGFVKGRKPGHQTGFDIDEADLMELEQFHEVIVVSAIFGNYDIIQQPKNISEASRKNVPFYMFVDEETETYMKNSTVLDSSMKVGLWRIIVVHNIPYNDTRRNGKVPKLLLHRILPNVRYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNTSIDYQIEFYKREGLTSYYSAKFPITSDVPEGCVIIREHVPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMEKVKWSINMILDCERRNFVIQAYHRDLLEHRSPPVTPVIPRRPPLNRDSGTGRTPGKNPRHGRSRRSNPRHHRKSVAGNRDKQVISQ >Manes.15G087000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6650330:6660528:-1 gene:Manes.15G087000.v8.1 transcript:Manes.15G087000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSLSLRGSYGSSQSSAKFPAIARKSSSKMLIPSLREKERVLPVICGYLGQRRVAMILLVALALLVFIWGSITVSKESTNSNAKESNDPSLDVHTVLKFKMSDYSPLHQHAGAASGSSEHPCNKFALPPPPPPVGRQLGPRPCSVCYIPLEQARARMPRSPSVSPVLRNLTYVVDENPLKTEPHGGSNFGGYPSLKRRSDSFDIRESMTVHCGFVKGRKPGHQTGFDIDEADLMELEQFHEVIVVSAIFGNYDIIQQPKNISEASRKNVPFYMFVDEETETYMKNSTVLDSSMKVGLWRIIVVHNIPYNDTRRNGKVPKLLLHRILPNVRYSIWIDGKLQLVVDPYQVLERFLWSQNANFAISRHYRRFDVFEEAEANKAAGKYDNTSIDYQIEFYKREGLTSYYSAKFPITSDVPEGCVIIREHVPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIMEKVKWSINMILDCERRNFVIQAYHRDLLEHRSPPVTPVIPRRPPLNRDSGTGRTPGKNPRHGRSRRSNPRHHRKSVAGNRDKQVISQERTDKHSKVILSVLPSPL >Manes.06G041200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12818782:12827366:1 gene:Manes.06G041200.v8.1 transcript:Manes.06G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDHVSHEMAFQSSLAVSASSAASAGPSWLSNAALRLNDDVLIQNRSEKGDRNDNKNSGEDELIDGGGIGGDNWERAKCKAEILGHPLYEQLLAAHVACLRIATPVDQLARIDAQLAQSQEVVAKYSLLGNGQVVDEKELDQFMTQYVLLLCTFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGASLGEGSGATMSDDDDDLADSDTNLYDGSLDGPDCMGFGPLVLTESEKSLMEHVRKELKHELKQGYKEKIADVREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSSPSGSTTKSKRKK >Manes.06G041200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12818782:12827366:1 gene:Manes.06G041200.v8.1 transcript:Manes.06G041200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDHVSHEMAFQSSLAVSASSAASAGPSWLSNAALRLNDDVLIQNRSEKGDRNDNKNSGEDELIDGGGIGGDNWERAKCKAEILGHPLYEQLLAAHVACLRIATPVDQLARIDAQLAQSQEVVAKYSLLGNGQVVDEKELDQFMTQYVLLLCTFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGASLGEGSGATMSDDDDDLADSDTNLYDGSLDGPDCMGFGPLVLTESEKSLMEHVRKELKHELKQGYKEKIADVREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSSPSGSTTKSKRKNFAGESSDE >Manes.S052716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1808899:1809642:1 gene:Manes.S052716.v8.1 transcript:Manes.S052716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKCHVPC >Manes.07G143900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34440629:34441411:-1 gene:Manes.07G143900.v8.1 transcript:Manes.07G143900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGGSCSSMEKVMGNYGDQPHVLAVDDNLIDRKLVEKLLKNSSCKVTTVENGLKALEYLGLGVDGRNKLDTNVSKVNLIITDYCMPGMTGYELLKKIKESSIMKEVPVVIMSSENIPTRITK >Manes.07G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34440307:34441411:-1 gene:Manes.07G143900.v8.1 transcript:Manes.07G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGGSCSSMEKVMGNYGDQPHVLAVDDNLIDRKLVEKLLKNSSCKVTTVENGLKALEYLGLGVDGRNKLDTNVSKVNLIITDYCMPGMTGYELLKKIKESSIMKEVPVVIMSSENIPTRITKCLEEGAQMFMLKPLKQSDVKKLRSDLMSCRR >Manes.01G050800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9844279:9847912:-1 gene:Manes.01G050800.v8.1 transcript:Manes.01G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSFEINIPSMSTKAKLPGAETGKKDGIGGFSCKAWIWSVWAFAKEDTNRVTFSFKVGLSVLLVSLLILFQAPYDIFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSLLAGILAIAVAQLALRSGRVAEPIIIGISIFLIGAITSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIGTAMDRLYSIAIGGFVAVLVNVLVFPIWAGEQLHKEIVSSFNSVADSLEECVKKYLADDGLENPEFSKTVLDEFPDEPAYKKCRSTLNSSAKLESLANSAKWEPPHGRFRHFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPYNLRVTFQSEILDAATHAAELVRDLGKDIGNMKRSLKTSLLKKVHISTERLQRAMDLHSYLLISNIESTDNFSKPFPKLSQTLSTAPFDLSSQFPELDSNNLVKNSDPTNQTTPSDTIALGHPVESYHEMMRKQSRRQYSWPSREVDAFEEEGGVAVDFVPRMRALESTAALSLATFTSLLIEFVARLDHLVEAVDELSNMAKFKHESV >Manes.02G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10398664:10402932:1 gene:Manes.02G137000.v8.1 transcript:Manes.02G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYANAKLQTLNEMETFKIRPQILQSPKPQFMNSLLPRLKANFSLIPSISYLKPFCTTNSLTSPHGLRRSSCSSDGGTSRDSVKARATIAEAARRLKEDWLDSLSCPCPDNQTQLSACGGTDPVPNNVGPEWVIGVDPDVSGALALLKIDESGCSAQVFDAPHLNVLVGKRIRKRLDAKSIVQLLRSFDAPIGTTAYIEQSMPFPKDGKQGWWSGGFGYGLWIGILVASGYSVVPVPSVTWKNEYELSGSSCTKDESRRVASTLFPSLSSVLTRKKDHGRAEALLIAAYGKGLKLNSGTSCISDELP >Manes.18G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4569252:4573141:-1 gene:Manes.18G054100.v8.1 transcript:Manes.18G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASSSIFLLCFLCLFSAIISQSDQLQILLNLKTALQKSNTNVFDSWDSSRFICNFTGITCNSGNSVTEIQLSNQNLAGVVPFDSICKLQSLEKLSFGFNSLSGRVTEDLNKCTNLKYLDLGNNPFTGPFPEISSLNQLQYLFLNQSGFSGVFPWKSLENITGLVTLSLGDNTFDPTPFPTEIFKLTKLNWLYLSNCSIGGTIPSDIGNLSELVSLELSDSNITGEIPSQIGMLKNLWQLELYNNSLTGKLPVEFGNLTKLEKFDASMNYLEGGLSELRFLTNLVTLQLFDNELSGEIPVEFGQFKKLVNLSLYGNMLTGPLPPQLGSWANFIYIDVSENFLTGLIPPDMCKQGTMKQLLMLQNNLTGEIPASYASCTTLTRFRVSKNSLSGTLPAGIWGLPNMNIIDIEFNQFEGPLTPDIRNAKALGQLFLGNNRLSGELPEEISEATSLVSISLNDNQFSGKIPEGIGELKQLSSLHLENNMFSGSIPESLGSCNALSDLNIAHNLLSGEIPSSLGSLQTLNSLNLSENLLSGQIPDTLSSLRLSLLDLTHNRLTGLIPQSLSIEAYNGSFAGNSGLCSETVNTFQRCKPESGMSRDVRTLVACFAVGAAILLVSLIYFLYLKKKEKDHERSLKEESWDVKSFHVLSFGEDEILDSIKEENVIGKGGSGNVYKVALANGKELAVKHIWNTDSGNRKNSWSTTPMLAKRGGKSKEFDAEVQTLSSIRHVNVVKLYCSITSEDSSLLVYEYMPNGSLWDRLHSSRKMELDWETRYEIAVGAAKGLEYLHHGCDRPVIHRDVKSSNILLDEFLKPRIADFGLAKIVQANNGGKDSTHVIAGTHGYIAPEYGYTYKVNEKSDVYSFGVVLMELVSGKRPIEPEYGENKDIVDWVSSNLKSKEKLFSIVDSRIPEVFKEDAVKVLRIAILCTARVPSLRPTMRSVVQMLEEAEPCKLVGIVISKDKEKFSPET >Manes.15G042100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3262823:3264089:1 gene:Manes.15G042100.v8.1 transcript:Manes.15G042100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFLKHVKKQASFFLQEKYKNARLALTDVSPAELLAEEATKNDPSSPDAKTMTKIAEAAYGVDDYWRIVDVLHRRFDKNDLKEWRQAYNTLVLLEFLLTHGPEEFAEEFQCESDIIEGLGAFQYVDERGIDWGANMQKRSEYVLQLLDGGETLKDARFKALKISKEIQGFGSFPSSPCSSSSPSSATSESSRASSFGSYSTTSSTFIEALSLTKEDLDNCEMHDLKKHIDNYLKKDLDNCEIQDTKTTSPKSTKEMEGSHVWDCSSIQEMGSLIDSEDDQKKDSFINGVCSKLAGINPARKIQGEKELFRSISDVGRLIKKKYKRQFSVGY >Manes.08G145800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38728605:38732767:-1 gene:Manes.08G145800.v8.1 transcript:Manes.08G145800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSESFEIPRGQGSRGGDIEMGMTSTETGLENFFKKVQEIEKQNEKLDKLLKKLQDANEESKAVTKAPAMKAIKQRMEKDVDEVGKIARSLKSKIEELDKENLANRQKPGCGKGTGVDRSRTSTTMALKKKLKDKMAEFQNLRETIHQEYREVVERRVFTVTGTRADEETIDRLIETGDSEQIFQNAIHEQGRGQCKYEWCINSFGEAFFLPQCH >Manes.08G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38725358:38732767:-1 gene:Manes.08G145800.v8.1 transcript:Manes.08G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSESFEIPRGQGSRGGDIEMGMTSTETGLENFFKKVQEIEKQNEKLDKLLKKLQDANEESKAVTKAPAMKAIKQRMEKDVDEVGKIARSLKSKIEELDKENLANRQKPGCGKGTGVDRSRTSTTMALKKKLKDKMAEFQNLRETIHQEYREVVERRVFTVTGTRADEETIDRLIETGDSEQIFQNAIHEQGRGQIMDTLAEIQERHDAVRDLERKLLDLQQVFLDMAVLVDAQGEMLDNIESQVSSAVDHVQSGNTALQRAKSLQKSSRKWMCIAIIILLIIVAIIVVAVIKPWSSNKGA >Manes.03G116600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24217355:24231849:1 gene:Manes.03G116600.v8.1 transcript:Manes.03G116600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASAASAVRSSLEAMLESLRRRDEALEKAKELPPALPARPTSRARLPSARHSLPTDFKVDANGQMEGEVEIEVKKQEEDTKKKEKELGHSTGSFGSKKMKKDQNCVDSSPYAEEKNEPAKELEISSTPNTEEPEWEDNIGYFIKKKLSIWCQLANGRWGSGNIHSTSGDEAVVSLSGGNFVKVSTADLLPANPDILEGVDDLIKLSYLNEPSVFHNLKCRYSQDMIYSKAGPALIVVNPFKAVPFYGNEIIKAYRQKLMDSPHVYALADTAYNDMMRDEKNQSLVISGESGAGKTETAKHAMKYLATLGGGTGGIEHEILQTNSILEAFGNAKTSRNSNSSRFGKLIEIHFSTEGKICGAKIQTFLLEKSRVVQLAKGERSYHIFYLLCAGAPSILRERLNLKMASEYNYLNQSECLVIDGVDDSLNFHKLMEALDIVQICKADQEEIFALLAAILWLGNISFHVIGDENHVEVLTDEAITNAARLMGSSIQDLTLLLSTHKIRCGKDDIVKKLTWQQAIDRRDALAKFIFASLFDWLVDQINKSLGLEKLHTGRSINILDIYGFESFRNNSFEQFCINYANERLQQHFNRHLFKLEQEDYEVDGIDWTKVDFADNQECLNLFEKVAYDTNGFLEKNRDLLHSDFLQLLSSCNSQLLELFASPLSQSGGLESSTQSVGTKFKGQLFKLMQQLEDTTPHFIRCIKPNTKQLPGVYEDNTVSQQLRCCGVLEVVRISRSGYPTRMTHQDFARRYGFLLLETNASQDPLSISVAVLQQCNVAPEMYQVGYTKVFLRTGQIARLEDQRKQVLQGILGIQKCFRGSHARRDFNKLKQGVTILQSFVRGENARRKYMSMRRSCTVSAPITVDIDNKLLAIVYLQSVIRGWLARKQFNERRKLNPNAKRKLGKKISELKVIPREQIELQTSILADLQRRVTKAEATIVQKEEENAALREQLQQFERRWSEYEAKMKKMEETWQMQMDSLQTSLAAARKSPAPDNTVGQPGKLSDPFATPGCYDSEDNLSSGLRTPGGSTPTKMPSSVHDGRVVVGSETNSPMIGVNVLAREFEQRRQNFDDDAKALNDTKAAQPASDAEFRKLKLRFETWKKEYKARLQETRTRLSKLGNGDIERRTRKWWGKIGAKGLQRSPA >Manes.03G116600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24217356:24231850:1 gene:Manes.03G116600.v8.1 transcript:Manes.03G116600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASAASAVRSSLEAMLESLRRRDEALEKAKELPPALPARPTSRARLPSARHSLPTDFKVDANGQMEGEVEIEVKKQEEDTKKKEKELGHSTGSFGSKKMKKDQNCVDSSPYAEEKNEPAKELEISSTPNTEEPEWEDNIGYFIKKKLSIWCQLANGRWGSGNIHSTSGDEAVVSLSGGNFVKVSTADLLPANPDILEGVDDLIKLSYLNEPSVFHNLKCRYSQDMIYSKAGPALIVVNPFKAVPFYGNEIIKAYRQKLMDSPHVYALADTAYNDMMRDEKNQSLVISGESGAGKTETAKHAMKYLATLGGGTGGIEHEILQTNSILEAFGNAKTSRNSNSSRFGKLIEIHFSTEGKICGAKIQTFLLEKSRVVQLAKGERSYHIFYLLCAGAPSILRERLNLKMASEYNYLNQSECLVIDGVDDSLNFHKLMEALDIVQICKADQEEIFALLAAILWLGNISFHVIGDENHVEVLTDEAITNAARLMGSSIQDLTLLLSTHKIRCGKDDIVKKLTWQQAIDRRDALAKFIFASLFDWLVDQINKSLGLEKLHTGRSINILDIYGFESFRNNSFEQFCINYANERLQQHFNRHLFKLEQEDYEVDGIDWTKVDFADNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQHLSSNPCFKAERGRTFVIHHYAGEVAYDTNGFLEKNRDLLHSDFLQLLSSCNSQLLELFASPLSQSGGLESSTQSVGTKFKGQLFKLMQQLEDTTPHFIRCIKPNTKQLPGVYEDNTVSQQLRCCGVLEVVRISRSGYPTRMTHQDFARRYGFLLLETNASQDPLSISVAVLQQCNVAPEMYQVGYTKVFLRTGQIARLEDQRKQVLQGILGIQKCFRGSHARRDFNKLKQGVTILQSFVRGENARRKYMSMRRSCTVSAPITVDIDNKLLAIVYLQSVIRGWLARKQFNERRKLNPNAKRKLGKKISELKVIPREQIELQTSILADLQRRVTKAEATIVQKEEENAALREQLQQFERRWSEYEAKMKKMEETWQMQMDSLQTSLAAARKSPAPDNTVGQPGKLSDPFATPGCYDSEDNLSSGLRTPGGSTPTKMPSSVHDGRVVVGSETNSPMIGVNVLAREFEQRRQNFDDDAKALNDTKAAQPASDAEFRKLKLRFETWKKEYKARLQETRTRLSKLGNGDIERRTRKWWGKIGAKGLQRSPA >Manes.03G116600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24217355:24231849:1 gene:Manes.03G116600.v8.1 transcript:Manes.03G116600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASAASAVRSSLEAMLESLRRRDEALEKAKELPPALPARPTSRARLPSARHSLPTDFKVDANGQMEGEVEIEVKKQEEDTKKKEKELGHSTGSFGSKKMKKDQNCVDSSPYAEEKNEPAKELEISSTPNTEEPEWEDNIGYFIKKFVKVSTADLLPANPDILEGVDDLIKLSYLNEPSVFHNLKCRYSQDMIYSKAGPALIVVNPFKAVPFYGNEIIKAYRQKLMDSPHVYALADTAYNDMMRDEKNQSLVISGESGAGKTETAKHAMKYLATLGGGTGGIEHEILQTNSILEAFGNAKTSRNSNSSRFGKLIEIHFSTEGKICGAKIQTFLLEKSRVVQLAKGERSYHIFYLLCAGAPSILRERLNLKMASEYNYLNQSECLVIDGVDDSLNFHKLMEALDIVQICKADQEEIFALLAAILWLGNISFHVIGDENHVEVLTDEAITNAARLMGSSIQDLTLLLSTHKIRCGKDDIVKKLTWQQAIDRRDALAKFIFASLFDWLVDQINKSLGLEKLHTGRSINILDIYGFESFRNNSFEQFCINYANERLQQHFNRHLFKLEQEDYEVDGIDWTKVDFADNQECLNLFEKVAYDTNGFLEKNRDLLHSDFLQLLSSCNSQLLELFASPLSQSGGLESSTQSVGTKFKGQLFKLMQQLEDTTPHFIRCIKPNTKQLPGVYEDNTVSQQLRCCGVLEVVRISRSGYPTRMTHQDFARRYGFLLLETNASQDPLSISVAVLQQCNVAPEMYQVGYTKVFLRTGQIARLEDQRKQVLQGILGIQKCFRGSHARRDFNKLKQGVTILQSFVRGENARRKYMSMRRSCTVSAPITVDIDNKLLAIVYLQSVIRGWLARKQFNERRKLNPNAKRKLGKKISELKVIPREQIELQTSILADLQRRVTKAEATIVQKEEENAALREQLQQFERRWSEYEAKMKKMEETWQMQMDSLQTSLAAARKSPAPDNTVGQPGKLSDPFATPGCYDSEDNLSSGLRTPGGSTPTKMPSSVHDGRVVVGSETNSPMIGVNVLAREFEQRRQNFDDDAKALNDTKAAQPASDAEFRKLKLRFETWKKEYKARLQETRTRLSKLGNGDIERRTRKWWGKIGAKGLQRSPA >Manes.03G116600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24218185:24231849:1 gene:Manes.03G116600.v8.1 transcript:Manes.03G116600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASAASAVRSSLEAMLESLRRRDEALEKAKELPPALPARPTSRARLPSARHSLPTDFKVDANGQMEGEVEIEVKKQEEDTKKKEKELGHSTGSFGSKKMKKDQNCVDSSPYAEEKNEPAKELEISSTPNTEEPEWEDNIGYFIKKKLSIWCQLANGRWGSGNIHSTSGDEAVVSLSGGNFVKVSTADLLPANPDILEGVDDLIKLSYLNEPSVFHNLKCRYSQDMIYSKAGPALIVVNPFKAVPFYGNEIIKAYRQKLMDSPHVYALADTAYNDMMRDEKNQSLVISGESGAGKTETAKHAMKYLATLGGGTGGIEHEILQTNSILEAFGNAKTSRNSNSSRFGKLIEIHFSTEGKICGAKIQTFLLEKSRVVQLAKGERSYHIFYLLCAGAPSILRERLNLKMASEYNYLNQSECLVIDGVDDSLNFHKLMEALDIVQICKADQEEIFALLAAILWLGNISFHVIGDENHVEVLTDEAITNAARLMGSSIQDLTLLLSTHKIRCGKDDIVKKLTWQQAIDRRDALAKFIFASLFDWLVDQINKSLGLEKLHTGRSINILDIYGFESFRNNSFEQFCINYANERLQQHFNRHLFKLEQEDYEVDGIDWTKVDFADNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQHLSSNPCFKAERGRTFVIHHYAGEVAYDTNGFLEKNRDLLHSDFLQLLSSCNSQLLELFASPLSQSGGLESSTQSVGTKFKGQLFKLMQQLEDTTPHFIRCIKPNTKQLPGVYEDNTVSQQLRCCGVLEVVRISRSGYPTRMTHQDFARRYGFLLLETNASQDPLSISVAVLQQCNVAPEMYQVGYTKVFLRTGQIARLEDQRKQVLQGILGIQKCFRGSHARRDFNKLKQGVTILQSFVRGENARRKYMSMRRSCTVSAPITVDIDNKLLAIVYLQSVIRGWLARKQFNERRKLNPNAKRKLGKKISELKVIPREQIELQTSILADLQRRVTKAEATIVQKEEENAALREQLQQFERRWSEYEAKMKKMEETWQMQMDSLQTSLAAARKSPAPDNTVGQPGKLSDPFATPGCYDSEDNLSSGLRTPGGSTPTKMPSSVHDGRVVVGSETNSPMIGVNVLAREFEQRRQNFDDDAKALNDTKAAQPASDAEFRKLKLRFETWKKEYKARLQETRTRLSKLGNGDIERRTRKWWGKIGAKGLQRSPA >Manes.03G116600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24218185:24231849:1 gene:Manes.03G116600.v8.1 transcript:Manes.03G116600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASAASAVRSSLEAMLESLRRRDEALEKAKELPPALPARPTSRARLPSARHSLPTDFKVDANGQMEGEVEIEVKKQEEDTKKKEKELGHSTGSFGSKKMKKDQNCVDSSPYAEEKNEPAKELEISSTPNTEEPEWEDNIGYFIKKKLSIWCQLANGRWGSGNIHSTSGDEAVVSLSGGNFVKVSTADLLPANPDILEGVDDLIKLSYLNEPSVFHNLKCRYSQDMIYSKAGPALIVVNPFKAVPFYGNEIIKAYRQKLMDSPHVYALADTAYNDMMRDEKNQSLVISGESGAGKTETAKHAMKYLATLGGGTGGIEHEILQTNSILEAFGNAKTSRNSNSSRFGKLIEIHFSTEGKICGAKIQTFLLEKSRVVQLAKGERSYHIFYLLCAGAPSILRERLNLKMASEYNYLNQSECLVIDGVDDSLNFHKLMEALDIVQICKADQEEIFALLAAILWLGNISFHVIGDENHVEVLTDEAITNAARLMGSSIQDLTLLLSTHKIRCGKDDIVKKLTWQQAIDRRDALAKFIFASLFDWLVDQINKSLGLEKLHTGRSINILDIYGFESFRNNSFEQFCINYANERLQQHFNRHLFKLEQEDYEVDGIDWTKVDFADNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQHLSSNPCFKAERGRTFVIHHYAGEVAYDTNGFLEKNRDLLHSDFLQLLSSCNSQLLELFASPLSQSGGLESSTQSVGTKFKGQLFKLMQQLEDTTPHFIRCIKPNTKQLPGVYEDNTVSQQLRCCGVLEVVRISRSGYPTRMTHQDFARRYGFLLLETNASQDPLSISVAVLQQCNVAPEMYQVGYTKVFLRTGQIARLEDQRKQVLQGILGIQKCFRGSHARRDFNKLKQGVTILQSFVRGENARRKYMSMRRSCTVSAPITVDIDNKLLAIVYLQSVIRGWLARKQFNERRKLNPNAKRKLGKKISELKVIPREQIELQTSILADLQRRVTKAEATIVQKEEENAALREQLQQFERRWSEYEAKMKKMEETWQMQMDSLQTSLAAARKSPAPDNTVGQPGKLSDPFATPGCYDSEDNLSSGLRTPGGSTPTKMPSSVHDGRVVVGSETNSPMIGVNVLAREFEQRRQNFDDDAKALNDTKAAQPASDAEFRKLKLRFETWKKEYKARLQETRTRLSKLGNGDIERRTRKWWGKIGAKGLQRSPA >Manes.03G116600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24217355:24231849:1 gene:Manes.03G116600.v8.1 transcript:Manes.03G116600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASAASAVRSSLEAMLESLRRRDEALEKAKELPPALPARPTSRARLPSARHSLPTDFKVDANGQMEGEVEIEVKKQEEDTKKKEKELGHSTGSFGSKKMKKDQNCVDSSPYAEEKNEPAKELEISSTPNTEEPEWEDNIGYFIKKFVKVSTADLLPANPDILEGVDDLIKLSYLNEPSVFHNLKCRYSQDMIYSKAGPALIVVNPFKAVPFYGNEIIKAYRQKLMDSPHVYALADTAYNDMMRDEKNQSLVISGESGAGKTETAKHAMKYLATLGGGTGGIEHEILQTNSILEAFGNAKTSRNSNSSRFGKLIEIHFSTEGKICGAKIQTFLLEKSRVVQLAKGERSYHIFYLLCAGAPSILRERLNLKMASEYNYLNQSECLVIDGVDDSLNFHKLMEALDIVQICKADQEEIFALLAAILWLGNISFHVIGDENHVEVLTDEAITNAARLMGSSIQDLTLLLSTHKIRCGKDDIVKKLTWQQAIDRRDALAKFIFASLFDWLVDQINKSLGLEKLHTGRSINILDIYGFESFRNNSFEQFCINYANERLQQHFNRHLFKLEQEDYEVDGIDWTKVDFADNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQHLSSNPCFKAERGRTFVIHHYAGEVAYDTNGFLEKNRDLLHSDFLQLLSSCNSQLLELFASPLSQSGGLESSTQSVGTKFKGQLFKLMQQLEDTTPHFIRCIKPNTKQLPGVYEDNTVSQQLRCCGVLEVVRISRSGYPTRMTHQDFARRYGFLLLETNASQDPLSISVAVLQQCNVAPEMYQVGYTKVFLRTGQIARLEDQRKQVLQGILGIQKCFRGSHARRDFNKLKQGVTILQSFVRGENARRKYMSMRRSCTVSAPITVDIDNKLLAIVYLQSVIRGWLARKQFNERRKLNPNAKRKLGKKISELKVIPREQIELQTSILADLQRRVTKAEATIVQKEEENAALREQLQQFERRWSEYEAKMKKMEETWQMQMDSLQTSLAAARKSPAPDNTVGQPGKLSDPFATPGCYDSEDNLSSGLRTPGGSTPTKMPSSVHDGRVVVGSETNSPMIGVNVLAREFEQRRQNFDDDAKALNDTKAAQPASDAEFRKLKLRFETWKKEYKARLQETRTRLSKLGNGDIERRTRKWWGKIGAKGLQRSPA >Manes.03G116600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24217383:24231849:1 gene:Manes.03G116600.v8.1 transcript:Manes.03G116600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSASAASAVRSSLEAMLESLRRRDEALEKAKELPPALPARPTSRARLPSARHSLPTDFKVDANGQMEGEVEIEVKKQEEDTKKKEKELGHSTGSFGSKKMKKDQNCVDSSPYAEEKNEPAKELEISSTPNTEEPEWEDNIGYFIKKKLSIWCQLANGRWGSGNIHSTSGDEAVVSLSGGNFVKVSTADLLPANPDILEGVDDLIKLSYLNEPSVFHNLKCRYSQDMIYSKAGPALIVVNPFKAVPFYGNEIIKAYRQKLMDSPHVYALADTAYNDMMRDEKNQSLVISGESGAGKTETAKHAMKYLATLGGGTGGIEHEILQTNSILEAFGNAKTSRNSNSSRFGKLIEIHFSTEGKICGAKIQTFLLEKSRVVQLAKGERSYHIFYLLCAGAPSILRERLNLKMASEYNYLNQSECLVIDGVDDSLNFHKLMEALDIVQICKADQEEIFALLAAILWLGNISFHVIGDENHVEVLTDEAITNAARLMGSSIQDLTLLLSTHKIRCGKDDIVKKLTWQQAIDRRDALAKFIFASLFDWLVDQINKSLGLEKLHTGRSINILDIYGFESFRNNSFEQFCINYANERLQQHFNRHLFKLEQEDYEVDGIDWTKVDFADNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQHLSSNPCFKAERGRTFVIHHYAGEVAYDTNGFLEKNRDLLHSDFLQLLSSCNSQLLELFASPLSQSGGLESSTQSVGTKFKGQLFKLMQQLEDTTPHFIRCIKPNTKQLPGVYEDNTVSQQLRCCGVLEVVRISRSGYPTRMTHQDFARRYGFLLLETNASQDPLSISVAVLQQCNVAPEMYQVGYTKVFLRTGQIARLEDQRKQVLQGILGIQKCFRGSHARRDFNKLKQGVTILQSFVRGENARRKYMSMRRSCTVSAPITVDIDNKLLAIVYLQSVIRGWLARKQFNERRKLNPNAKRKLGKKISELKVIPREQIELQTSILADLQRRVTKAEATIVQKEEENAALREQLQQFERRWSEYEAKMKKMEETWQMQMDSLQTSLAAARKSPAPDNTVGQPGLRTPGGSTPTKMPSSVHDGRVVVGSETNSPMIGVNVLAREFEQRRQNFDDDAKALNDTKAAQPASDAEFRKLKLRFETWKKEYKARLQETRTRLSKLGNGDIERRTRKWWGKIGAKGLQRSPA >Manes.05G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6668154:6668868:1 gene:Manes.05G081300.v8.1 transcript:Manes.05G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAMTSQSSEVVKPILTTQLPVFEAGNISTKPISMNTPTKPLFIISPIVEGNYPVFLFFHGICTSNSVYTHLFNRIDFHGCMVVAPQLYTCLLKIPTTSENDELSYAAETANGLLSGLHTLMGTWTSLLFHTMAEMERQLLHLLLDPVARWRKGCRCDPY >Manes.01G196900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37096719:37101519:1 gene:Manes.01G196900.v8.1 transcript:Manes.01G196900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCSDCFTDLLCSEDSSGIFSGESPECSSDLESPACIEESIASFIEDERNFVPGFDYLSRFQSRSLDASAREESVAWILKVQAYYRFRPLTAYLTVNYLDRFLYSRSLPQTSGWPMQLLSVACLSLAAKMEEALVPSLLDLQVEGAKYVFEPRTIRRMELLVLGVLDWRLRSVTPFSFLAFFACKIDSTGEYIGFLISRATEIILSNMQEASFLEYWPSSIAAAAILCAANEMPNLSLVNPEHAESWCDGLSKEKINSCYQLMQDLVLDNGRRKSPKVLPMLRVTIRARMRSCDSSASPSSSSSSSSSFYKRRKLNNCLWVNDDKVNTE >Manes.16G063900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24968869:24970526:-1 gene:Manes.16G063900.v8.1 transcript:Manes.16G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISESRNNPILLNHIIPLDFNNVLDLPDSHAWTLFSDDSLTHHSIPLIDLAHPHSLSLIRQACETWGMFQVTNHCIPVTLFHQIESQTQRLFALPANRKLLTLRSPDGFTGYGLARISSFFPKQMWYEGFTIMGSPEQHACQLWPNDHTIFCDVMEEYQQEMKKLSHRLSGLMFKSLGLNPEDISWFRTINHPQHHQAVLQLNSYPVCPDPSRAMGLPSHTDSSFITVLHQSNVTGLQVLGDGGIGWVPVHPVEGALVVNVGDLMHIISNGRFKSAQHRALVNNTRHRTSIAYFFGPPRDVKISPLMKLIDLDHPKIYQPVTWKEYLDIKATHFDKALELIQYDAFSGME >Manes.01G092000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29337099:29339468:-1 gene:Manes.01G092000.v8.1 transcript:Manes.01G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHSSISVCNSVDQSTKLMANSVNFNELNSKSRHINHVHKIRKTNNHSNCASIPVCDRSRSAVIDVVILIAVIGACGFLLFPYIKFVTLNFIEFVGTVHYVVKEELMRNPMIYASIGVSTFCAAIAAWIVLICTARKCGNPNCKGLRKAAEFDIQLETEECVKNSNGTLVKDGVKRGLFELPRDHHRELEAELKKMAPPNGRAVLVFRARCGCSVGRLEVPGPKKQKKIKK >Manes.12G060200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5186897:5188217:1 gene:Manes.12G060200.v8.1 transcript:Manes.12G060200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRETPDESPPVGNDGDWKKEKLEKLEKSKQVQLSALDDLVSVNSLFTIAIFLGLAFASPSQQSLDNRPECSPDVKMEKRLVLYEVLSFACFLLSSLVAKSLKLFINVQDTKETIRIDRAHEYKVIKPRRGFMILLSVLASTIGVVFLTISMVDVVQIKIGKMSCGIYETRAAVISLCAVVALALVIYLPSTMITILRCMYNF >Manes.04G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1593277:1597241:-1 gene:Manes.04G011700.v8.1 transcript:Manes.04G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLLFQWFLFLSILLTSATALLNIPRLSPIGPRFLEDPAEVLSEKTSDDLQTFFYNQTLDHFNYKPESYNTFEQRFVISSKHWGGANSNAPILVYFGAEASLDSDLAAVGFLSDNAFRFNALLLYIEHRYYGKSIPFGSRDEALKNGSTRGYFNSAQAIADYAEIIIHVKKSFRAENSPVIVVGGSYGGMLASWFRLKYPHIALGALASSAPVLYFDDITPQDGYYSIVSKDFREASETCYKTIQKSWAEIDEIASKPNGLSILSKKFKTCKPLADSDELKDYLDSMYSGAAQYNKPPTYPVNRVCSGVDGDSGNDTLTKIFAGVFAYRGNRSCYINAPTNVSETKLGWRWQTCSEMVIPIGRGNETMFPPDPFDLNTYVQDCKNSYGVSPRPHWVTTYYGGHSIKLILQRFGSNIIFSNGLKDPYSSGGVLENLSETITAVYTVNGSHCLDILVANKTTDPVWLVAQREVEIKTIEGWINKYYDDLRNQQ >Manes.11G041000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4434255:4470278:1 gene:Manes.11G041000.v8.1 transcript:Manes.11G041000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRDPNESALSPSASENYDRIGPKPYSYGELAKATGLFSHNNLIGRGGFGHVFKASLDGETRAIKRLDYPGIESEGDLEREIMVVKSISHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLDGKENVLDWKKRMNIAIGSARGLEYLHEHCEPKIIHLDIKPDNILLDEHFEPKITDFGLAHVFTDVATHISKSSVMGTRVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRKPLDNGTDIVTWANLRIKKVLDGKYANFVDSKLHSFDAEQMHRMVSCINSCLNQPPNSRPTMEKIRLVLEGKSPLEELYGHEGLQLSMIYKEPNHHKGFGPKQYSFDELAMATDHFSFNNLIDEGGLGQIFIGSLNGEIRAINKLKNFPDLPEGDFERQIRVFHSIRHKNLIELLGYCIDGPNRFLILEYFPNGSLKYKLHESQNVLDWKKRMKIAIGSARGLEYLHEQCNPKIIHLDIKPNNIILDHNFEPKISDFGLSTFFTDDDSDIDESYIGWTPGYTDPAIFQSKKYSDKFDVYSFGITLLELITGRKPIHKGLDIVTWVNPLIKKALDGEYVNFVDSRLQSFDHNEMHRMIFCANSCINQSPKSRPSMKKIFLALEGLLPLEVLWDEKNNYLLERAANEGDPVGVRAGNIPLKNLKN >Manes.11G041000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4434255:4470278:1 gene:Manes.11G041000.v8.1 transcript:Manes.11G041000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRDPNESALSPSASENYDRIGPKPYSYGELAKATGLFSHNNLIGRGGFGHVFKASLDGETRAIKRLDYPGIESEGDLEREIMVVKSISHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLDGKENVLDWKKRMNIAIGSARGLEYLHEHCEPKIIHLDIKPDNILLDEHFEPKITDFGLAHVFTDVATHISKSSVMGTRVYANLRIKKVLDGKYANFVDSKLHSFDAEQMHRMVSCINSCLNQPPNSRPTMEKIRLVLEGKSPLEELYGHEGLQLSMIYKEPNHHKGFGPKQYSFDELAMATDHFSFNNLIDEGGLGQIFIGSLNGEIRAINKLKNFPDLPEGDFERQIRVFHSIRHKNLIELLGYCIDGPNRFLILEYFPNGSLKYKLHESQNVLDWKKRMKIAIGSARGLEYLHEQCNPKIIHLDIKPNNIILDHNFEPKISDFGLSTFFTDDDSDIDESYIGWTPGYTDPAIFQSKKYSDKFDVYSFGITLLELITGRKPIHKGLDIVTWVNPLIKKALDGEYVNFVDSRLQSFDHNEMHRMIFCANSCINQSPKSRPSMKKIFLALEGLLPLEVLWDEKNNYLLERAANEGDPVGVRAGNIPLKNLKN >Manes.08G162600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39782650:39790315:-1 gene:Manes.08G162600.v8.1 transcript:Manes.08G162600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPEQPSLSSIEKVGKSSSEIGGAEEPLLNGGTSSENYSVLAAILPFLFPALGGLLYGYDIGATSCATISIESSTTSGISWYNLNSVEVGLITSGSLYGALIGSVLAFNIADFLGRRRELILAALLYLVGAFVTALAPDLVIMVIGRFIFGIGIGLAMHAAPMYIAETAPSKIRGQLISLKEFFIVLGMVAGYGIGSLLVDTVAGWRYMYGASTPLAVVMGIGMWWLPPSPRWILLCAIQGKGNMQDLKETAVRCLCRLRGEAIGDTAPTQVEEILSELTFVGEEKEASLGEMFRGKCLKALTIGAGLVLFQQITGQPSVLYYAASIFQSAGFSAASDATRVSILLGFFKLIMTGTAVLAVDRLGRRPLLLGGVSGMIISLFLLGSYYLFLDNAPVVAVVALLLYVGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFGANALVTFAFSPLKALLGAGVLFYAFGVIAVLSLVFIFFIIPETKGLTLEEIEAKCL >Manes.03G177900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30067189:30068664:1 gene:Manes.03G177900.v8.1 transcript:Manes.03G177900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPQRPQEGGLDQPPGQQGGPGGRTSQGQEPIKYGDVFNVSGDLASMTVAPEDANMMQTAETMVFGKTQKGGVAASMQAAATQNERSGLVGHRDATDAASDRGVSVTETDLLGARVITERVAGQVVGQYVEPTPVPGMTAGSVQNAITIGEALEAAAQTAGNKPVEQSDAAAIQAAEVRATGSNVIVPGGLAAAAQSAASYNAGISNTDDKIKLTDVLTDATSKLPADKVVTRADAERVVSAELRNNPNLTMHPGGVASSLTAAARLNDNVDV >Manes.11G037600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3631908:3641789:-1 gene:Manes.11G037600.v8.1 transcript:Manes.11G037600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSSSEASGLWPGAWNSDEDSNTESSAKPGSSEKFSRVEEDETQNSANEKPTVERENQVLKNEKEDENLKIAGKEDAVISDTVQPESESQFVPVEHREPVIHDVQTLDSVGNSQEKQILEMGPAESSESVEVKTGSVQVDQDEDDTVMHDESYTVVDRNESKDEHKMQMEEVVKEGSPVLAEISNDAQSEASSDTQAEVAINPSGFPVTAEETQMASEVSFPTAVLSDEASETVSDESDVNVKTVGDVRQVNDGEIDAKEQRLSSERLSSASNVSDSLDSLVELEKVKMEMKMMETALQGAARQAQAKADEIAKMMNENEHLKSMIDDLKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAALLLKEKDEIISQVMAEGEELSKKQAAQESTIRKLRSQIRELEEEKKGLMTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEYYTSALTAAKEAEALAEARANSEARTELENRLREAGERESMLVQALEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELVSQVPESTRPLLRQIEAMQETMARRAEAWSAVERSLNSRLQEAEAKAAAAEERARSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRVNQLEEEIKELRQKHKQELHDALMHRELLQQEIEREKAARLDLEKTACIHSTAASDQTPKSNSGFENGNMKQKLSSASSLGSMEESYFLQASLDTSDSFSERRNPGEVTMSPYYMKSMTPGAFEAALRQKEGELASYMSRLTSMESIRDSLAEELVKMTAQCEKLRAESALLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMSPSMVNT >Manes.11G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3631937:3641789:-1 gene:Manes.11G037600.v8.1 transcript:Manes.11G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSSSEGLWPGAWNSDEDSNTESSAKPGSSEKFSRVEEDETQNSANEKPTVERENQVLKNEKEDENLKIAGKEDAVISDTVQPESESQFVPVEHREPVIHDVQTLDSVGNSQEKQILEMGPAESSESVEVKTGSVQVDQDEDDTVMHDESYTVVDRNESKDEHKMQMEEVVKEGSPVLAEISNDAQSEASSDTQAEVAINPSGFPVTAEETQMASEVSFPTAVLSDEASETVSDESDVNVKTVGDVRQVNDGEIDAKEQRLSSERLSSASNVSDSLDSLVELEKVKMEMKMMETALQGAARQAQAKADEIAKMMNENEHLKSMIDDLKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAALLLKEKDEIISQVMAEGEELSKKQAAQESTIRKLRSQIRELEEEKKGLMTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEYYTSALTAAKEAEALAEARANSEARTELENRLREAGERESMLVQALEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELVSQVPESTRPLLRQIEAMQETMARRAEAWSAVERSLNSRLQEAEAKAAAAEERARSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRVNQLEEEIKELRQKHKQELHDALMHRELLQQEIEREKAARLDLEKTACIHSTAASDQTPKSNSGFENGNMKQKLSSASSLGSMEESYFLQASLDTSDSFSERRNPGEVTMSPYYMKSMTPGAFEAALRQKEGELASYMSRLTSMESIRDSLAEELVKMTAQCEKLRAESALLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMSPSMVNT >Manes.11G037600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3631907:3641843:-1 gene:Manes.11G037600.v8.1 transcript:Manes.11G037600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSSSEASGLWPGAWNSDEDSNTESSAKPGSSEKFSRVEEDETQNSANEKPTVERENQVLKNEKEDENLKIAGKEDAVISDTVQPESESQFVPVEHREPVIHDVQTLDSVGNSQEKQILEMGPAESSESVEVKTGSVQVDQDEDDTVMHDESYTVVDRNESKDEHKMQMEEVVKEGSPVLAEISNDAQSEASSDTQAEVAINPSGFPVTAEETQMASEVSFPTAVLSDEASETVSDESDVNVKTVGDVRQVNDGEIDAKEQRLSSERLSSASNVSDSLDSLVELEKVKMEMKMMETALQGAARQAQAKADEIAKMMNENEHLKSMIDDLKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAALLLKEKDEIISQVMAEGEELSKKQAAQESTIRKLRSQIRELEEEKKGLMTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEYYTSALTAAKEAEALAEARANSEARTELENRLREAGERESMLVQALEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELVSQVPESTRPLLRQIEAMQETMARRAEAWSAVERSLNSRLQEAEAKAAAAEERARSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRVNQLEEEIKELRQKHKQELHDALMHRELLQQEIEREKAARLDLEKTACIHSTAASDQTPKSNSGFENGNMKQKLSSASSLGSMEESYFLQASLDTSDSFSERRNPGEVTMSPYYMKSMTPGAFEAALRQKEGELASYMSRLTSMESIRDSLAEELVKMTAQCEKLRAESALLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMSPSMVNT >Manes.11G037600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3631932:3641345:-1 gene:Manes.11G037600.v8.1 transcript:Manes.11G037600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSSSEASGLWPGAWNSDEDSNTESSAKPGSSEKFSRVEEDETQNSANEKPTVERENQVLKNEKEDENLKIAGKEDAVISDTVQPESESQFVPVEHREPVIHDVQTLDSVGNSQEKQILEMGPAESSESVEVKTGSVQVDQDEDDTVMHDESYTVVDRNESKDEHKMQMEEVVKEGSPVLAEISNDAQSEASSDTQAEVAINPSGFPVTAEETQMASEVSFPTAVLSDEASETVSDESDVNVKTVGDVRQVNDGEIDAKEQRLSSERLSSASNVSDSLDSLVELEKVKMEMKMMETALQGAARQAQAKADEIAKMMNENEHLKSMIDDLKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAALLLKEKDEIISQVMAEGEELSKKQAAQESTIRKLRSQIRELEEEKKGLMTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEYYTSALTAAKEAEALAEARANSEARTELENRLREAGERESMLVQALEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELVSQVPESTRPLLRQIEAMQETMARRAEAWSAVERSLNSRLQEAEAKAAAAEERARSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRVNQLEEEIKELRQKHKQELHDALMHRELLQQEIEREKAARLDLEKTACIHSTAASDQTPKSNSGFENGNMKQKLSSASSLGSMEESYFLQASLDTSDSFSERRNPGEVTMSPYYMKSMTPGAFEAALRQKEGELASYMSRLTSMESIRDSLAEELVKMTAQCEKLRAESALLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMSPSMVNT >Manes.11G037600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3631608:3641789:-1 gene:Manes.11G037600.v8.1 transcript:Manes.11G037600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSSSEASGLWPGAWNSDEDSNTESSAKPGSSEKFSRVEEDETQNSANEKPTVERENQVLKNEKEDENLKIAGKEDAVISDTVQPESESQFVPVEHREPVIHDVQTLDSVGNSQEKQILEMGPAESSESVEVKTGSVQVDQDEDDTVMHDESYTVVDRNESKDEHKMQMEEVVKEGSPVLAEISNDAQSEASSDTQAEVAINPSGFPVTAEETQMASEVSFPTAVLSDEASETVSDESDVNVKTVGDVRQVNDGEIDAKEQRLSSERLSSASNVSDSLDSLVELEKVKMEMKMMETALQGAARQAQAKADEIAKMMNENEHLKSMIDDLKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAALLLKEKDEIISQVMAEGEELSKKQAAQESTIRKLRSQIRELEEEKKGLMTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEYYTSALTAAKEAEALAEARANSEARTELENRLREAGERESMLVQALEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELVSQVPESTRPLLRQIEAMQETMARRAEAWSAVERSLNSRLQEAEAKAAAAEERARSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRVNQLEEEIKELRQKHKQELHDALMHRELLQQEIEREKAARLDLEKTACIHSTAASDQTPKSNSGFENGNMKQKLSSASSLGSMEESYFLQASLDTSDSFSERRNPGEVTMSPYYMKSMTPGAFEAALRQKEGELASYMSRLTSMESIRDSLAEELVKMTAQCEKLRAESALLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMSPSMVNT >Manes.11G037600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3631711:3641789:-1 gene:Manes.11G037600.v8.1 transcript:Manes.11G037600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSSSEASGLWPGAWNSDEDSNTESSAKPGSSEKFSRVEEDETQNSANEKPTVERENQVLKNEKEDENLKIAGKEDAVISDTVQPESESQFVPVEHREPVIHDVQTLDSVGNSQEKQILEMGPAESSESVEVKTGSVQVDQDEDDTVMHDESYTVVDRNESKDEHKMQMEEVVKEGSPVLAEISNDAQSEASSDTQAEVAINPSGFPVTAEETQMASEVSFPTAVLSDEASETVSDESDVNVKTVGDVRQVNDGEIDAKEQRLSSERLSSASNVSDSLDSLVELEKVKMEMKMMETALQGAARQAQAKADEIAKMMNENEHLKSMIDDLKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAALLLKEKDEIISQVMAEGEELSKKQAAQESTIRKLRSQIRELEEEKKGLMTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEYYTSALTAAKEAEALAEARANSEARTELENRLREAGERESMLVQALEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELVSQVPESTRPLLRQIEAMQETMARRAEAWSAVERSLNSRLQEAEAKAAAAEERARSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRVNQLEEEIKELRQKHKQELHDALMHRELLQQEIEREKAARLDLEKTACIHSTAASDQTPKSNSGFENGNMKQKLSSASSLGSMEESYFLQASLDTSDSFSERRNPGEVTMSPYYMKSMTPGAFEAALRQKEGELASYMSRLTSMESIRDSLAEELVKMTAQCEKLRAESALLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMSPSMVNT >Manes.11G037600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3631937:3641789:-1 gene:Manes.11G037600.v8.1 transcript:Manes.11G037600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSSSEASGLWPGAWNSDEDSNTESSAKPGSSEKFSRVEEDETQNSANEKPTVERENQVLKNEKEDENLKIAGKEDAVISDTVQPESESQFVPVEHREPVIHDVQTLDSVGNSQEKQILEMGPAESSESVEVKTGSVQVDQDEDDTVMHDESYTVVDRNESKDEHKMQMEEVVKEGSPVLAEISNDAQSEASSDTQAEVAINPSGFPVTAEETQMASEVSFPTAVLSDEASETVSDESDVNVKTVGDVRQVNDGEIDAKEQRLSSERLSSASNVSDSLDSLVELEKVKMEMKMMETALQGAARQAQAKADEIAKMMNENEHLKSMIDDLKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAALLLKEKDEIISQVMAEGEELSKKQAAQESTIRKLRSQIRELEEEKKGLMTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEYYTSALTAAKEAEALAEARANSEARTELENRLREAGERESMLVQALEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELVSQVPESTRPLLRQIEAMQETMARRAEAWSAVERSLNSRLQEAEAKAAAAEERARSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRVNQLEEEIKELRQKHKQELHDALMHRELLQQEIEREKAARLDLEKTACIHSTAASDQTPKSNSGFENGNMKQKLSSASSLGSMEESYFLQASLDTSDSFSERRNPGEVTMSPYYMKSMTPGAFEAALRQKEGELASYMSRLTSMESIRDSLAEELVKMTAQCEKLRAESALLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMSPSMVNT >Manes.11G037600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3631937:3641789:-1 gene:Manes.11G037600.v8.1 transcript:Manes.11G037600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDSALGLEEKPDSSSEGLWPGAWNSDEDSNTESSAKPGSSEKFSRVEEDETQNSANEKPTVERENQVLKNEKEDENLKIAGKEDAVISDTVQPESESQFVPVEHREPVIHDVQTLDSVGNSQEKQILEMGPAESSESVEVKTGSVQVDQDEDDTVMHDESYTVVDRNESKDEHKMQMEEVVKEGSPVLAEISNDAQSEASSDTQAEVAINPSGFPVTAEETQMASEVSFPTAVLSDEASETVSDESDVNVKTVGDVRQVNDGEIDAKEQRLSSERLSSASNVSDSLDSLVELEKVKMEMKMMETALQGAARQAQAKADEIAKMMNENEHLKSMIDDLKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAALLLKEKDEIISQVMAEGEELSKKQAAQESTIRKLRSQIRELEEEKKGLMTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAGQKEYYTSALTAAKEAEALAEARANSEARTELENRLREAGERESMLVQALEELRQTLSRKEQQAVFREDMLRRDIEDLQKRYQASERRCEELVSQVPESTRPLLRQIEAMQETMARRAEAWSAVERSLNSRLQEAEAKAAAAEERARSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRVNQLEEEIKELRQKHKQELHDALMHRELLQQEIEREKAARLDLEKTACIHSTAASDQTPKSNSGFENGNMKQKLSSASSLGSMEESYFLQASLDTSDSFSERRNPGEVTMSPYYMKSMTPGAFEAALRQKEGELASYMSRLTSMESIRDSLAEELVKMTAQCEKLRAESALLPGVRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQRMSPSMVNT >Manes.11G159700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32522964:32527946:-1 gene:Manes.11G159700.v8.1 transcript:Manes.11G159700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKRLQDSERKLGLSMPLDEAKERVSQLESEITSLDRRLILASGIEGIEGFRQRWSLHGRLTDTKCTWLLNGL >Manes.11G159700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32522583:32528080:-1 gene:Manes.11G159700.v8.1 transcript:Manes.11G159700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKRLQDSERKLGLSMPLDEAKERVSQLESEITSLDRRLILASGIEGIEGFRQRWSLHGRLTDTKRRLESLKQGIQNRKKDVNKDEPAPSSTTKRWFFW >Manes.11G159700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32522964:32527946:-1 gene:Manes.11G159700.v8.1 transcript:Manes.11G159700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKRLQDSERKLGLSMPLDEAKERVSQLESEITSLDRRLILASGIEGIEGFRQRWSLHGRLTDTK >Manes.11G159700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32522964:32527946:-1 gene:Manes.11G159700.v8.1 transcript:Manes.11G159700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKRLQDSERKLGLSMPLDEAKERVSQLESEITSLDRRLILASGIEGIEGFRQRWSLHGRLTDTKRRLESLKQGIQNRKKDVNKDEPAPSSTTKRWFFW >Manes.11G159700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32523400:32525813:-1 gene:Manes.11G159700.v8.1 transcript:Manes.11G159700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKRLQDSERKLGLSMPLDEAKERVSQLESEITSLDRRLILASGIEGIEGFRQRWSLHGRLTDTKRRLESLKQGIQNRKKDVNKDEPAPSSTTKRWFFW >Manes.11G159700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32523400:32525813:-1 gene:Manes.11G159700.v8.1 transcript:Manes.11G159700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKRLQDSERKLGLSMPLDEAKERVSQLESEITSLDRRRLESLKQGIQNRKKDVNKDEPAPSSTTKRWFFW >Manes.11G159700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32522964:32526661:-1 gene:Manes.11G159700.v8.1 transcript:Manes.11G159700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKRLQDSERKLGLSMPLDEAKERVSQLESEITSLDRRLILASGIEGIEGFRQRWSLHGRLTDTK >Manes.11G159700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32522964:32526612:-1 gene:Manes.11G159700.v8.1 transcript:Manes.11G159700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQPTMEETILVGDDLMMGPPSPLVPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELVLYRQCAEKRDMEIRKRLQDSERKLGLSMPLDEAKERVSQLESEITSLDRRLILASGIEGIEGFRQRWSLHGRLTDTKCTWLLNGL >Manes.12G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30183192:30185354:-1 gene:Manes.12G114400.v8.1 transcript:Manes.12G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPKMEDHMLLYSSLLILFLLLAFKLLSNSSRNKNLPPSPPSLPILGHLHLLKQPLHRNLQTLSKIYGPIISLRFGPRLVVLVSSPLAVKECFTKNDIIFANRPKTLAGKYVGYNNTMLGTDSYGEHWRNLRRIGTLEIFSSHRLNLCLDARRDEIKILLCKLYRASCHGFAKVEMRSMFMELTYNILMRMISGKRYYGKEVDGVEEARRFKKIVEETSKCSEVANIEDFFPILKWIDCRGLIKRMKRVGKESDMVLQALLDEQRSGDSKTRDNMISHLLSLQELQPQYYTDEIIKGLILIIMLAGTDTSAATLEWTMSNLLNHPRVLQKARAEITAEVGQGRLIDESDLSKLPYLQAIISETLRLYPVAPLLLPHMSSENCSIGGYDVPKDTMLLINAWAIHRDPEIWDDPESFIPERLENGAGADSCKILPFGFGRRACPGMGLANRVMGLALGSLIQCFEWERIGGEEIDMKEASGLSMHKAQPLVAMCRPWHFVDGVFIGEEI >Manes.09G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31305833:31310508:-1 gene:Manes.09G110600.v8.1 transcript:Manes.09G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTFLRAVSAFSLSARQRDAAFSSSNGLSSSSSSSSSSLSALKLSPGRPRNSRVVSAAKESGLQLTGVVFQPFEEVKKEAYVVPISPQVSLARQSYGDECESALNEQINVEYNASYVYHAMFAYFDRDNVALRGLAKFFWESSEEEREHAEKLMKYQNTRGGRVKLHSMVMPPTEFEHVEKGDALYAMELALSLEKLTNEKLLSLYSVADQSNDPEMTEFIAREFLKEQVEDIKRIAEYVTQLRMVGKGHGVWHFDQMLLHEDDAV >Manes.13G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3162192:3168223:1 gene:Manes.13G022100.v8.1 transcript:Manes.13G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICFFISLFLFFLAFSLHRVSSEIERASFPPRGWNSYDSFCWTISEEEFLQNAEIISQRLKAHGYEYVVVDYLWYRRKVPGAYVDSLGFDVIDQWGRMMPDPERWPSSRGGKGFTEVAKKVHSMGLKFGIHVMRGISTQAYNANTPILNSVKGNAYEDFGRQWRARDIGIKERACAWMQNGFMSVNTKLEAGRAFLRSLYEQYAEWGVDLVKHDCVFGDDMDLEEITFVSEVLKRLDRPILYSLSPGTSVTPAMAKDVNGLVNMYRITGDDWDTWGDVAAHFDISRDFSAASMIGAKGLLGKSWPDLDMLPLGWLTDPGSNQGPHRTCNLNIDEQKTQMTLWAMAKSPLMFGGDVRRLDDTTYNLITNPTILEINYFSSNNREFPYVTRRKVSNTKTPTRRSKRFPKEVSTSSGHVLGLNSCKDPSVNGWSIEALDQDMEQICWMENLGSHGPVCLYKRKPLLDLDQGIIYGQRKLHSFASDGLEFCLDASARQKLTSKELKSRSFSPCRWDANQMWELKYNGALVSNYSGLCAKVNSVRAKTIPGGARSWVAAGRKGEIYLAFFNLNPERTVISAKISNMGKVFPGKNWNQTSCKCREVWSGKDFGVVKGYISMAVEMHGCALFVLNCD >Manes.13G022100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3162192:3168223:1 gene:Manes.13G022100.v8.1 transcript:Manes.13G022100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICFFISLFLFFLAFSLHRVSSEIERASFPPRGWNSYDSFCWTISEEEFLQNAEIISQRLKAHGYEYVVVDYLWYRRKVPGAYVDSLGFDVIDQWGRMMPDPERWPSSRGGKGFTEVAKKVHSMGLKFGIHVMRGISTQAYNANTPILNSVKGNAYEDFGRQWRARDIGIKERACAWMQNGFMSVNTKLEAGRAFLRSLYEQYAEWGVDLVKHDCVFGDDMDLEEITFVSEVLKRLDRPILYSLSPGTSVTPAMAKDVNGLVNMYRITGDDWDTWGDVAAHFDISRDFSAASMIGAKGLLGKSWPDLDMLPLGWLTDPGSNQGPHRTCNLNIDEQKTQMTLWAMAKSPLMFGGDVRRLDDTTYNLITNPTILEINYFSSNNREFPYVTRRKVSNTKTPTRRSKRFPKEVSTSSGHVLGLNSCKDPSVNGWSIEALDQDMEQICWMENLGSHGPVCLYKRKPLLDLDQGIIYGQRKLHSFASDGLEFCLDASARQKLTSKELKSRSFSPCRWDANQGI >Manes.05G092900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8508347:8515140:1 gene:Manes.05G092900.v8.1 transcript:Manes.05G092900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKGRVTITLGRTGQVVKRAAAVSGVDSDDPLPGASNKRSVRDRLGSSLESFSSHGSLVNNKRQRGDSYLTGLSGNRVEDGRIGKDDLRFKLMQKNVTRRAQRDYGKKNMDLREKLSRTVHQHSGPPPSTVDTLQHMPDPKNTSILGRLPPARSVDNLPRIDSSTSSYSPWTLDHIRRRSPDRIIGSSRGLSPPRNVGELQRRPLSRTLDDGITVPYMNKDVLDASRPVSSSTPFMSKTVLPAVATKPTAPLLGQLPPSASGILQKSSYAAEEQQTVEGLLHSLGLGKYAIIFKAEEVDMTALKQMGESDLKELGIPMVITPPQPYPHKKSKKSSMVLACTLPVELTFIVLVYFLIFNLLHLSY >Manes.05G092900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8508347:8515139:1 gene:Manes.05G092900.v8.1 transcript:Manes.05G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKGRVTITLGRTGQVVKRAAAVSGVDSDDPLPGASNKRSVRDRLGSSLESFSSHGSLVNNKRQRGDSYLTGLSGNRVEDGRIGKDDLRFKLMQKNVTRRAQRDYGKKNMDLREKLSRTVHQHSGPPPSTVDTLQHMPDPKNTSILGRLPPARSVDNLPRIDSSTSSYSPWTLDHIRRRSPDRIIGSSRGLSPPRNVGELQRRPLSRTLDDGITVPYMNKDVLDASRPVSSSTPFMSKTVLPAVATKPTAPLLGQLPPSASGILQKSSYAAEEQQTVEGLLHSLGLGKYAIIFKAEEVDMTALKQMGESDLKELGIPMDV >Manes.05G092900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8508347:8515139:1 gene:Manes.05G092900.v8.1 transcript:Manes.05G092900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKGRVTITLGRTGQVVKRAAAVSGVDSDDPLPGASNKRSVRDRLGSSLESFSSHGSLVNNKRQRGDSYLTGLSGNRVEDGRIGKDDLRFKLMQKNVTRRAQRDYGKKNMDLREKLSRTVHQHSGPPPSTVDTLQHMPDPKNTSILGRLPPARSVDNLPRIDSSTSSYSPWTLDHIRRRSPDRIIGSSRGLSPPRNVGELQRRPLSRTLDDGITVPYMNKDVLDASRPVSSSTPFMSKTVLPAVATKPTAPLLGQLPPSASGILQKSSYAAEEQQTVEGLLHSLGLGKYAIIFKAEEVDMTALKQMGESDLKELGIPMGPRKKILLALLPRSKRQAR >Manes.10G124600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28833505:28834436:1 gene:Manes.10G124600.v8.1 transcript:Manes.10G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSKVLTSSDILRRLSMPENTLKAFPPAQKGHEIILPVKDDAGILWSFRCRIPAIGFSKPVVFGNWFKFVRSKDLKPGDTIVLYKEMDKSSGAQYKIEVKKRD >Manes.15G021200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1701823:1704416:-1 gene:Manes.15G021200.v8.1 transcript:Manes.15G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKRCKQVIPSDVLFDILLFLPAKSLVRFKTVCKVWYSYITSSYFIKKQLDGYHQKLVLSSSDSFQLVDYKGSQVEAMQLDFPLEDKDGLKDISSCNGLLCITLKDGKIVLWNPSTREFKQVQLPRDRGDFRYTFGGFGYDNSHDDYKIVALGHGRQILLGTTVEIFSLRNNSWKQMPNLPWYIMFLATPQPGILVRGDHQSRNRTLYWQVDYVQKYEPIIGRYSYHKDDHIKAFLCLDLMKEEFCTLTMPDDICSKASKFELGNFGGCLSIYKYSDEGYADIWVHELKEVKKWTELITIPPMKDLLPEMFAPICIMNNGEVLLNLKEENKLCLFNPEDKALRAFEIQTLLPFDRVIMHTETLVSPNAIIDGEDRR >Manes.05G196800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32116184:32124396:-1 gene:Manes.05G196800.v8.1 transcript:Manes.05G196800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLASCPFCHVTLPQSQLQRHANSHFEEADNEQFTEDMELAQELASASSSSTRFSEENMDERVSCLIAMQVRSSFYHVKVEFGGGLMALLRRRLELDTNNASTSAVFLSGYIDHFQSLPSEDVGWGCGWRNIQMLSSHLLSLREDARQILFGGSGFVPDIPYLQRWLEIAWERGFDALGAHQFNHSVYGSKKWIGATECAALFRSFGFRARIVDFGPKELESSFLSVPGAHVGSQVVTASARIKRKAFQVYGPMDRYLVGRNNDFSPPDFDNGVNSTSHLAGGSNQTAASKFSRNNEGHQVLVDWAWNYFSQGRSSRAHAHRCHVTITDRTFGIAQLHCFWI >Manes.05G196800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32116184:32124542:-1 gene:Manes.05G196800.v8.1 transcript:Manes.05G196800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLASCPFCHVTLPQSQLQRHANSHFEEADNEQFTEDMELAQELASASSSSTRFSEENMDERVSCLIAMQVRSSFYHVKVEFGGGLMALLRRRLELDTNNASTSAVFLSGYIDHFQSLPSEDVGWGCGWRNIQMLSSHLLSLREDARQILFGGSGFVPDIPYLQRWLEIAWERGFDALGAHQFNHSVYGSKKWIGATECAALFRSFGFRARIVDFGPKELESSFLSVPGAHVGSQVVTASARIKRKAFQVYGPMDRYLVGRNNDFSPPDFDNGVNSTSHLAGGSNQTAASKFSRNNEGHQVLVDWAWNYFSQGRSSRAHAHRCHVTITDRTPLYFQHDGHSRTIVGIEVRHQENGKLQFNLLILDPGHRTAALEKSLKENTGWKKLIKRGVHTLKKPQYQLCYIDPGVASGVEMEKLKSIDSAFIEL >Manes.05G196800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32116184:32124542:-1 gene:Manes.05G196800.v8.1 transcript:Manes.05G196800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLASCPFCHVTLPQSQLQRHANSHFEEADNEQFTEDMELAQELASASSSSTRFSEENMDERVSCLIAMQVRSSFYHVKVEFGGGLMALLRRRLELDTNNASTSAVFLSGYIDHFQSLPSEDVGWGCGWRNIQMLSSHLLSLREDARQILFGGSGFVPDIPYLQRWLEIAWERGFDALGAHQFNHSVYGSKKWIGATECAALFRSFGFRARIVDFGPKELESSFLSVPGAHVGSQVVTASARIKRKAFQVYGPMDRYLVGRNNDFSPPDFDNGVNSTSHLAGGSNQTAASKFSRNNEGHQVLVDWAWNYFSQGRSSRAHAHRCHVTITDRTPLYFQHDGHSRTIVGIEVRHQENGKLQFNLLILDPGHRTAALEKSLKENTGWKKLIKRGVHTLKKPQYQLCYIDPGVASGVEMEKLKSIDSAFIEL >Manes.04G004800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:677373:680435:1 gene:Manes.04G004800.v8.1 transcript:Manes.04G004800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLNYHQDEANNLCFLSPTNFIEWLKPSSSSSSSSSVTQQIQLTNPITMLKLPLLYPQPQRQESVKETIQCLPLLSRLTEENKGLKETSTVGVKEEKITVSLHIGLPNISEDSQVETKVFDFNKEEQQPIKKIFHGNCSFNKENRFWIPTPTQILVGPMQFECSICNKTFNRYNNMQMHMWGHGSEFRRGPDSLKGTQPAAMLRLPCYCCATGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCGKSFAVKGDWRTHEKNCGKLWYCRCGSDFKHKRSLKDHIRSFGKGHSPHPSLEGFQDDKECITTGSEEDEHLH >Manes.10G013000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1349582:1349935:-1 gene:Manes.10G013000.v8.1 transcript:Manes.10G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTANPKATKRSHKHIIDVDDDERDTEVWATFNSTFGQVQSVLDRNRNLIRQVNENHQSGTPDSMVKNVALIQELNGNISRVVSLYSDLSSNFSTSYQQRNGDFSGNSNSNSSGRS >Manes.08G094500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32020706:32024266:1 gene:Manes.08G094500.v8.1 transcript:Manes.08G094500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCNRLQMKIPFFLWLLLLPLSKLLFGIHASLASGVCLSGQQSLLIQLHRSLVFNRLISTKLVGWNFSADCCEWAGVICDGGGLGRVTGLNLSNESISGGLENSNALFSLQYLQNLDLSVNNFNTSIPESFASLPSLVSLNLSNTGFVGQIPVAISRMTTLATLDLSSGFCFFGHGSLKLENPDLPTLVQNLTRLTDLHLDCVNISSHGNEWCQALSSSLPNLQVLSLSNCFLSGPFDSSLEKLLSLSVIRLNGNNLSAPVPQFFANFSNLTTLSLSGCNLQEKFPPEVLQGSTLEILDLSYNPELWGYLPDGLQNASSLRILVLSNTNFSGSLPDSIGTLGNLSRIELAACNFSGLIPMSMAKLTELVYLDFSSNSFTGPIPSFSRSKQLVYVDFSHNQLSGEILSTHFEGLWNLLYIDFRFNSLSGSIPPSLFAIPSLQKIQLSFNQFTGQLPEFSGASSSSLDTLDLSSNKLEGPIPISIFDIKRLNVLLLSSNKFNGTIQLDRIQKLCNLTSIDLSYNNLTVESASNSTSSSFPQISTLRLASCKLRVFPDLSNQSFLTTLDLSDNQITGVIPRWIWKVGNGSLLYLNLSHNLLEDLEQPHYAPNLLVLDLHYNRLKGQIPTFPPFSTYVDYSSNNFTSVIPDNFGTNLSVAIFFSLSNNSLTGVIPESICNATSLQVLDLSDNGLNGRIPSCLIERSKNLGVLNLRRNNFRGNIPDNFPANCNLKTLDVSRNLLEGKVPQSLIKCTTLEVLNLGNNKFKDTFPCLLMNISSLRVLVLRQNTFHGDITCQQTNVTWTRLQIIDIASNNFSGRLPNTILSSWKAMMGDGNGISDHLKVTVARLSELYYQDSITVISKGLEMNLVKILTIFTSIDVSHNKFEGLIPENLGQLNSLIVLNLSHNALVGQIPSALGNISHLESLDLSDNKLTGEIPQQLADLTFLSVLNLSYNKLVGKIPTSTQLQSFSASSFANNKGLCGPPLTKTCTNISTVSDFPPIHGAEIKWNYLSIELGYIFGLGIVVLPLMLWKRWRICYYKHIDGIIVKMFPKLGDRTRNQRKRC >Manes.05G146400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24874499:24877159:-1 gene:Manes.05G146400.v8.1 transcript:Manes.05G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSLQSLSYSSAHFNGSRLPVDRLRSPPSRVSFRPLRVSAACATTAERTTVSDIASPGSLYEVLGIQMGATCQEIKAAYRRLARVLHPDVATTSQKEETTYEFIKIHEAYETLSDPEKRADYDRSLFWRRRQMSSPFVTSAAATKMSSSSVSGFSGYTRQRWETDQCW >Manes.12G062501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5991559:5993805:-1 gene:Manes.12G062501.v8.1 transcript:Manes.12G062501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYVENHGKRRRKMKINPDQDDPPSTAMEHLPHEIIHDILSRLPISSLVQFKCVCKAWRALAKDPHLVRFYLSTTTNNDPCLILHCDFPIRNQIYFVDFAAAPDQGKDKVKRINVPFWPMMPEFDVVGSCNGLLCLADSLYNDAVYIYNPFTRNHVELPKSLKYPDQEVVFGFGFHPKTQEYKVVKIVYYRNGHSTYPRARRLVYPQSEVQILTLGSPKWRSLGKISYQLVRRPSEALVNGRLHWVSRPRRYNPARRLVSFDLADEQFREVPKPDCGGLSRCNYHLVVLRGCLAAAVYCSYGRLEIWVMKEYNVKETWVKEYSIGTYMPKGLKQNLDRPSKIWKNSLNGRVVRVLGLLQNGEVLLEYKSRVLVTYDPNHGKFKELSLQGIPKWFQAVVHAGSLSWINTPIDT >Manes.05G052600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4363854:4364680:-1 gene:Manes.05G052600.v8.1 transcript:Manes.05G052600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGSRRVDADGNVIPGWSWWALASCTQFVWGMSSYRKGYAGDSRLMPFKAFAVASLFVGSAASASFAALQASGIHKVEDLVEMGANLRTGLGIPPRSREE >Manes.02G162100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12733290:12737004:1 gene:Manes.02G162100.v8.1 transcript:Manes.02G162100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAVVQFVRGPPRQLECPSAYVKENTTRRRYLKRRYSQANATCFRVLRTKRHAMLGSPSHARVYHLKTNDISFLGRRIPFLLLKAGFFVCIPHPHRLSETKINTRRASARVYRGLGEIEPMGHHGAIETAKTVIEVADVAWKAMEFTQHHQLHSQNHENHEKDAKNPTIDDELESLRSENQRLRNQLEKNLQLLRNLSESPCLLNDCPPDLYTRLVATVDSENFLARLKSLQQASANKTMIEFPFKEATGDDIHSAEILINVSHEEPSWWVWVTEEMVPNHVEEPSGIDDENYVVVTEEHVVDGVANFMVKCILSNPKALNMTPEELQKTVAKALEGVSKFEKILDIWHAGQLFYTLATWGLALWGLYRSRAVLRVAAKGVHATGKVILKAL >Manes.05G014500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:628813:629436:1 gene:Manes.05G014500.v8.1 transcript:Manes.05G014500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPNPKSQPQTPRRPTIFHRASPLHRHHRNCSKTFIISPPPNQDDHKIILCFTSLGIVRKTFEDCRTVRSILRGFHVPIDERDLSMDAGYLDEIQMITASKKVRLPAVFLGGKYVGGAEEIKNMNESGELSKLIGGLPFVGNNIKIKFNSVCDVCEGLRYVLCAQCNGSHKIYSEKHGFRTCTSCNVNGLIKCGLCYPVQCKRSD >Manes.18G022200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2189371:2193052:1 gene:Manes.18G022200.v8.1 transcript:Manes.18G022200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQLISTPKPSLNPISNSPPPLNPTFNLLSTSPAHTRHLIRRRSRRRHSDSLRCSASSFSEKHHTNHPNSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAASGTAEVGCVGEIVKHERLVDDRFFLICKGQERFRITNLVRTKPYLVAEVTWLEDRPSGDEDVEALATEVETYMKDVIRLSNRLNGKPEKEAQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKREKETLRNTLNYLTAASAVKDVFPSS >Manes.18G022200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2189315:2193051:1 gene:Manes.18G022200.v8.1 transcript:Manes.18G022200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQLISTPKPSLNPISNSPPPLNPTFNLLSTSPAHTRHLIRRRSRRRHSDSLRCSASSFSEKHHTNHPNSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAASGTAEVGCVGEIVKHERLVDDRFFLICKGQERFRITNLVRTKPYLVAEVTWLEDRPSGDEDVEALATEVETYMKDVIRLSNRLNGKPEKEAQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKREKETLRNTLNYLTAASAVKDVFPSS >Manes.18G022200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2189371:2191089:1 gene:Manes.18G022200.v8.1 transcript:Manes.18G022200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQLISTPKPSLNPISNSPPPLNPTFNLLSTSPAHTRHLIRRRSRRRHSDSLRCSASSFSEKHHTNHPNSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAASGTAEVGCVGEIVKHERLVDDRFFLICKGQERFRITNLVRTKPYLVAEVTWLEDRPSGDEDVEALATEVETYMKDVIRLSNRLNGKPEKEAQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKREKETLRNTLNYLTAASAVKDVFPSS >Manes.06G103300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23632706:23635461:1 gene:Manes.06G103300.v8.1 transcript:Manes.06G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRIVRTDRRILTFPAVHPCEDISPGTLLSSLICLSQNVCNYQSNFFATQRRNAREAIRQIGILLIFFEEIRDHQLVLSDSLVLCFSELHLAFQKIQFLFEDCTREGARLWILMKSKFIATQFRVLIRAIATALDVLPLNRINVGREVKELVELVSKQARKAKFELDPEDEWASKQVLLILNYFEKGIEPEVSFIKRFLDYLDIRNWSDSAKEIKFLEEEIDSQFSDCDEREVPFLSSLLGLMSYCRGVIFETLDYRISDQIDVGCNMEILSCINPEDFRCPISLELMLDPVTVTTGQTYDRSSIEKWFKAGNTICPKTGEKLKNTGLVPNTAFRKVIQQFCSDNGISLSKSGSRSRDITRTIIPGSPAAEEATKFLSRFLARRLVFGSIEQKNKAAYEIRLLAKWNIFNRSCLIEAGAVLPIINLLSSSDKCMQENAIGALLKLSKHTTGKKVAVDSGGLKPIVAVLAKGLSFEAKQLAAATIFYLASVKGYRKLIGEIPEAIPALVELIKERPTCGKKNAVAAIFALLLYPGNHQKVLASGTVPLLIDILCSSDKDELIADSLAVVATLAENVDGAVEILQASALSVITRNLQSSASRAGKEYCVSILLCLCKHGVQVVEVLAKDPALMSELYSVVTEGTSRASSKARSLIKILLKFRETSSSGSIASAPCERPVHVCVQSIKLCVMHFVPVSIY >Manes.11G135200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30029601:30031738:1 gene:Manes.11G135200.v8.1 transcript:Manes.11G135200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDIDLFPRLAKKEFGGDGGSYFAWCPSELAMLREGNIGAAKLALEKNGFALPRYSDSAKVAYVLQGNGVAGIVLPEKEEKVVPIKKGDAIALPFGVVTWWFNKEDTELVVLLLGDTSKGHKAGEFTDFFLTGSSGIFTGFSPEFVSRAWDVDEKTVSTLIGNQTGKGIVKLPASSKMPEPKKETRSGLVYNCEEAPLDVDIKNGGRVVVLNTKNLPLVAEVGLGADLVRLDCGAMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGRRVLDTTVKAGNLFIVPRFYVVSKICDPDGMDWFSIITTPK >Manes.11G135200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30029601:30031738:1 gene:Manes.11G135200.v8.1 transcript:Manes.11G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDIDLFPRLAKKEFGGDGGSYFAWCPSELAMLREGNIGAAKLALEKNGFALPRYSDSAKVAYVLQGNGVAGIVLPEKEEKVVPIKKGDAIALPFGVVTWWFNKEDTELVVLLLGDTSKGHKAGEFTDFFLTGSSGIFTGFSPEFVSRAWDVDEKTVSTLIGNQTGKGIVKLPASSKMPEPKKETRSGLVYNCEEAPLDVDIKNGGRVVVLNTKNLPLVAEVGLGADLVRLDCGAMCSPGFSCDSALQVTYIVRGSGRVQVVGVDGRRVLDTTVKAGNLFIVPRFYVVSKICDPDGMDWFSIITTPNPIFTHLAGRTSVWKALSPEVLEASFNVSSEVEKLFRSKRTSDEIFFPPPK >Manes.07G003050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:565177:570916:1 gene:Manes.07G003050.v8.1 transcript:Manes.07G003050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSHGVKLVAWLVRRSPSFNLFEESANSVYKNLLNGSCRRLSTGVCNHGRVIGNYSPKNVNHKHWLLLGSWNANSGTTRSIHGSAHMSSDYYDILGVSKNAGSSEIKKAYYALAKKLHPDTNKDDPEAEKKFQEVSKAYEVLKDDEKRAQYDEVGHDAYERNLNGDFHPGGPGFDNPFDSFFRMDDIFSNIFKQKLGGQDVKVAIELSFMEAVQGCTKTITFQTDTPCEACRGEGIPPGVKPQMCKRCKGTGMVFTQKGFVSLQHTCNQCGGTGQTVSSFCRSCNGSKVVRGTKSVKLDIVPGVDDNETIKVPRSGGADPERNQPGDLFVTIRVREDPVFRREGSNIHVDAVLSVTQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKKKGENILMLDIILCLLRIIVCAIYHYGLRIIVCAIYRYIL >Manes.07G003050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:564972:576910:1 gene:Manes.07G003050.v8.1 transcript:Manes.07G003050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSHGVKLVAWLVRRSPSFNLFEESANSVYKNLLNGSCRRLSTGVCNHGRVIGNYSPKNVNHKHWLLLGSWNANSGTTRSIHGSAHMSSDYYDILGVSKNAGSSEIKKAYYALAKKLHPDTNKDDPEAEKKFQEVSKAYEVLKDDEKRAQYDEVGHDAYERNLNGDFHPGGPGFDNPFDSFFRMDDIFSNIFKQKLGGQDVKVAIELSFMEAVQGCTKTITFQTDTPCEACRGEGIPPGVKPQMCKRCKGTGMVFTQKGFVSLQHTCNQCGGTGQTVSSFCRSCNGSKVVRGTKSVKLDIVPGVDDNETIKVPRSGGADPERNQPGDLFVTIRVREDPVFRREGSNIHVDAVLSVTQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKKKGIKARGSYTFGDQFVHFTVSIPSNLTPRQRELIEEFAKEEQGEYDKRAAGASG >Manes.09G096000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29017587:29022257:1 gene:Manes.09G096000.v8.1 transcript:Manes.09G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEILFFQLNTGAKIPSVGLGPWLAEPGLVGDAVAAAIKIGYRHIDCAQAYNNEKEIGSVLKKLFEDGVVKREDLFIASKLWCYNHEPEDVVRALEGTLQDLQIDYVDLYLIHWPVKFKKGSVGFKPENFDQPDIPSTWKAMEALYDSGKARAIGVSNFSSRKLGDLLEVARVPPAVNRVECHPSWQQTKLRGFCQSKGVHLSGYSPLGSPGTTWLKSDVLKNPIVIAVAEKLGKSPAQVALRWGLQMGHSVLPKSVNEVRIKENFDVFQWSIPEDLFAKFSEIEQARLVKGTSFVHDTLGPYRTIEELWDGEI >Manes.14G123021.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9731495:9731854:-1 gene:Manes.14G123021.v8.1 transcript:Manes.14G123021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWALWQNRNNVVWKGQSQTASGVFFIALNFLQQWKAACVISPVSTIVDSARPGWSPLPHSWIKANIDASLNLQRDSIGFRCVVKNDDGSFVAARAGYKLNVKCAKVMTFREALSLIK >Manes.16G135100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33594277:33595971:1 gene:Manes.16G135100.v8.1 transcript:Manes.16G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVGPTFSIEVSPSPPFVIPSSQDNHHASASSCIFFNEDDDDDDDGGRGSLISNRIRPDKSLDDSSDSSSSIGAPDDSEEEEQEGEEEVDSAVSRKGALVSLDSLEDSLPIKRGLSNHFSGKSKSFANLSEMSCVNRVKDLEKPENPFNKRRRLIMANKWARKSSLYNWPNPKSMPLLALNEDEEEEAAASASASNEQEENQQSSPSSSSEQEGQNQRHKTPKISKLQERKLKSCLKSQSCFSLADLQEQEYQ >Manes.17G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32546801:32549511:1 gene:Manes.17G120700.v8.1 transcript:Manes.17G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNESSETLRNKCAACFRQFNRMEHLVEHMRISYHSVHEPMCGICKKHCRSFESLREHLIGPLPKQECKNIFSIRGCKFCLNIFDSYSSRMIHQERCQLSNLSTGLIARMSSLGLRDHLMIDNGYAVGNQVVALACKMVGGGSDGSLDLCGRVCLIDENENIIFHTYVKPPIPVTNYRYESTGIRPEYLRDAMPLKMVQSKIQNFLCNGEPMWKIRPRGGKARILVGHGLDHDLDRLQLEYPAVMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGIQDPYEDCVATMRLYMRMRYQRHAVEAYPFASDPQNRNNFASWRQAELERVSPEELLAISRSDYYCWCLDS >Manes.10G009200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1041832:1045500:-1 gene:Manes.10G009200.v8.1 transcript:Manes.10G009200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASLLLLLLTVISFPLTQSSAPDHFFRPSSAKPKPTLSGSEYKQFFELNHPQPSDHLKPSCSLHIIHHTFGNTINRPPFSTPYSPPSDCPPPWLHVALEFRANSRGDQYDRISGLWLDGAELLRTSTAEPTESGIFWIVRKDITRYSYLLSKRNVNFTIMLENVVNSIYTGVYHVDVVIFFYKDAAVRASVTRGDHISISPNRGGFGAKGVYESPADLIIPISSFRNDKGYWFIIDGDSDVHFRKLRFPANTRRAVLELYVSFHGNDEFWYSNPSNTYIRMNNLTTLRGNGAFREVFVTIDGMFVASEVPFPVIFTGGINPLFWEPAVAIGAFDLPTYDFDLTPTLGVLLDGKDHVFGIGVNDGISYWLVDANLHLWLDTGAASVEAKSVHYQNPGSSIKRREEFRNLDGSFAIKGKRKTHLTGWIKSSAGYGVY >Manes.15G126300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10075409:10077675:1 gene:Manes.15G126300.v8.1 transcript:Manes.15G126300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRFLCLLSRSLCAESCLIRSLPCSQECFKEKFFTFNSTTNSHNLSTPLLNPYFIFHRSIHANLEQSQVLINNDDQNPEILTCKIIEDTEKICRLLSKNPTPGIQSLLDKASIEVSPALVLEVLKRLSNAGVLALSFFRWAEKQKGFVYSTESYNALIESLGKIKQFNMMWNLVSDMKRKKLLCKETFALISRRYARARKVKEAIDAFEKMEKFGLKIEPSDFNRLIDTLSKSRQVQSAQDVFDKMKKRRFEPDIKSYTILLEGWGQEKNLLKLDEVYREMKDDGFEPDVITYGILINAYCKAKKYDIAIELFNEMEAKKCPPNPHIFCTLINGLGSEKRLSEALEFFELSKARGFVPEAPTYNAIVGAYCWSMRMDDAYKIVDEMRKCGIGPNARTYDIILHHLIKAKKTTEAFSVFEKMSSKEGFEPTVSTYEIIIRMFCNMGRVDRAINIWDQMKAKGILPGMHMFSTLVNSLCHEDKLDMACKYFEEMLDVGIRPPAALFSNLKQALLDKGKKDTAVLLAKKIDKLRKTPFVV >Manes.01G246600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40676276:40680451:1 gene:Manes.01G246600.v8.1 transcript:Manes.01G246600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLRRGRSICSCKSPRPSYHASPGSSFNWFEKDLWTEIAKFLDGKSLMKLAVTSRWFHSVIMHDSIWRFVCLRDLQVPEPGHVAFNWNKLYASVVDGSHSYTFREKEKHLDWMRIGAFHIESTAALLSERLDLPLEIRQQNATEKMFESCGASLLNNIKTGIWIADLQLVRCPVCELDKCDGTMQTLDVRHIELFHYKGFQEGSWKYELIGNHKVENPMKAASGGIFDLQHLNDRASAGIFDLKLWTGEPTDFQPKAMITFHAVAINTNLQVNDGLLTKYYMMRAGPDGEVVSIRISQQLL >Manes.03G004000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:393934:394575:-1 gene:Manes.03G004000.v8.1 transcript:Manes.03G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLGHVKRAVKKLKFLLSFNLRRWRLDSIIHNASKRRRRLSFNDRLGLHGCIEDVETDENQSVRALQRTRSYASEEDIDQRAEIFIENFRRQLLLEKQVSFQLRYCRGNSFTRDY >Manes.15G100700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7873179:7877177:1 gene:Manes.15G100700.v8.1 transcript:Manes.15G100700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATRMNSRFCQHLYRHKSSFLAIYYSNFSPHVPLKRIQKSKKGDKFLVYCNSLIMKHGMDGNIEASESIFNRMPHKNIISHTSMLTVYANNGQIAKARKLFDEIPERSTASYNAMITAYIRNSCMVDEAFSLFSRINERNAVSYGAMITGFLLAGMFDKAEKLYGEMPVKWRDPVCSNAMINGLLKAGKLVEAIRVFEGMMDKDVVSWSSMVDGYCKKGRIVEARGLFDSMPMRNVVAWTAMIDGYMNAGSFEEGWSLFLSMRSEGVGAVNSTTLTVMFEACGHFGRYREGIQVHGLVLRMGFVFDIFLGNSIITMYCRFGYMAEANRIFQMMNKKDVVSWNSLIAGYIQHDEVEEAFRLFEEMPGKDVVSWTTVIAGFSAVGRVEKAIQLFKMMPEKDDVAWTALISGFVNNGDYEEAFHWFFEMLKGAVKPNSLTISSLLSASAGLATLNQGSQIHAHVLKTDMQFDLSIQNSLVSMYSKCGSVAEAYQVFTSIGAPNLISFNSMITGLSQNGYGKEALHLFSKMQEEGWEPNEITFLGILSACTHVGLVEEGWKYFNLMKYVYKFEPWLDHYACMVDLLGRAGLLDEAINLIHSMPFEPHAGVWGALLGASRIHFRVDLAKLAAQHLMKLEPNSATPYVVLSDLYNNVGKKKDGDRVRMAKESKGIKKSPGCSWIIMKGNVHLFLAGDQSHIDMEEIKLTLRSIRREMSGSDFHDN >Manes.09G009500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2036966:2037682:1 gene:Manes.09G009500.v8.1 transcript:Manes.09G009500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYYFFPTDFYYPRPPSSTTTTTSKPAVVHMQTQREDGKKQTNDMQEKEKSRSLPQLSSSISTVPSSPSIIVKSQIKHNTVLENIANSQISTAILHLSVRSKTLLLFCGWLKHLVFAKFQTGSAS >Manes.17G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20813156:20816785:1 gene:Manes.17G038400.v8.1 transcript:Manes.17G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHSQPLLQSFRSGHEAVSSELEDILNDTHTPTFKRLRSASCVELKLLFKLAAPAVIVYLLNNVVSMSTQIFCGHLGTLQLAAVSLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKFEMLGIYLQRSTILLMATGIPLTLVYIFSKPILILLGEPNDIAAAAAVFVYGLIPQIFAYAANFPIQKFLQSQSIIAPSAYISLVALGVHVLFTWLGVFKWNWGLLGAALILSLSWWFIVIAQFLYIVKSKKCRKTWAGFSVQAFFGLWGFFKLSAASAVMLCLETWYFQVLVLIAGLLENAEIALDSLSVCMTISGWVYMISVGFNAAASVRVSNELGAGHPKSAAFSVIMVNLCSFVIAVIAAILVMMLRDYLSYAFTEGEVVSKAVSQLSPFLAVTIILNGVQPVLSGVAVGCGWQAFVAYVNVGCYYLIGVPLGVLLGFKFKLGAQGIWSGMIGGTFLQTIILLWVTFRTDWNKEVENAKNRLTMWDEKKEPLLEDKREESEN >Manes.05G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1121095:1124143:1 gene:Manes.05G007600.v8.1 transcript:Manes.05G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVGIFMAAFTFLLLPKIWQVLKISLWRPYALSRSFRKQGVKGPSYSLFSGSLHEMKRLKMDAAQIVMDTTSNDITPRVLPQYHKWCPLYGETILYWFGTRPVVSISDPDLAKQILSNKFGFYVKPKCSPAIQALTGNGVALVNGGEWARRRRIVNPAFSMDKLKVMVKRMATCTVAMLEAWKDEANAAMDQCKTIEMNGEFRKLTAEIIAYTAFGSSYSQGKEAFEAQVELQHYCAASLLDVLIPGSHYLPTPSNLQLWKLDRRIRNSLRDIIKSRLKPKNLDCNYGDDLLGLIMSASENSDIKEGPKLNMDEIVEECKTFFFAGHETSSNLLTWTVFLLSLHQDWQTRLREEVLKECGLEIPDSDMLARLRLVNMVLLEALRLYCPVVEMFREASKDMKLGNMMIPKDAWVSIPLAKIHRSKEYWGEDANEFNPIRFKNGISKAANHPNAFLAFGIGPRTCVGQNFAMLEAKAVLAMVLQRFCFSLSSEYKHAPVDNLALQPQYGLPIVVKPLLAQNI >Manes.05G007600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1121095:1124143:1 gene:Manes.05G007600.v8.1 transcript:Manes.05G007600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLSWIQPQTTLLPEFCLSTTNGALYMAGETILYWFGTRPVVSISDPDLAKQILSNKFGFYVKPKCSPAIQALTGNGVALVNGGEWARRRRIVNPAFSMDKLKVMVKRMATCTVAMLEAWKDEANAAMDQCKTIEMNGEFRKLTAEIIAYTAFGSSYSQGKEAFEAQVELQHYCAASLLDVLIPGSHYLPTPSNLQLWKLDRRIRNSLRDIIKSRLKPKNLDCNYGDDLLGLIMSASENSDIKEGPKLNMDEIVEECKTFFFAGHETSSNLLTWTVFLLSLHQDWQTRLREEVLKECGLEIPDSDMLARLRLVNMVLLEALRLYCPVVEMFREASKDMKLGNMMIPKDAWVSIPLAKIHRSKEYWGEDANEFNPIRFKNGISKAANHPNAFLAFGIGPRTCVGQNFAMLEAKAVLAMVLQRFCFSLSSEYKHAPVDNLALQPQYGLPIVVKPLLAQNI >Manes.06G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9546633:9548368:1 gene:Manes.06G034900.v8.1 transcript:Manes.06G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINIKESSLIHPAEDLPNNLHLWLSNLDRTHERNNIPTVYFYKAADHPNTFEVKVLKDALSKVLVLFYPAAGRLGRDNKGRLEIVCNNEGALFIEAETDYELDELGDLMLTQVSQLIPSIDYSQGISSFPLFTAQVTKFKCGGLSLGLRNHHTIADGFAALHLVNTWCDVARGLSITTPPFIDRTILRCRDPPTPKFQHVEYDKPLSMSSDAQILTSQQNCIEIFKITPQQLQTLKNKVKNVDGKTKYTTYETLTAHIWRCTCKARALPYHQLTKLLVPVDGRSRLHPPLPPGFFGNVVFTATLVVLSGEILAETLKDTVERIHNELKRMDDEYMRSAIDYLEVLDDLTPTFRGANTCRCPNLSIVSWMRLPFYDADFGMGKPIFVRPANPLEGKGNIMRTQSDDGSCQLAICLQEDHMQSFQKLFYEF >Manes.14G143500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:13616330:13618597:1 gene:Manes.14G143500.v8.1 transcript:Manes.14G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTDKAIGIDLGTTYSCVGAWLNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPENTVFDAKRLIGRRFSDPSVQNDMKLWPFKVIPGPGDKPMIVVRYKGEQKQFSPEEISSMVLTKMRETAEAFLGVSIKNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKATRKGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVSEFRRKHKKDISGNARALRRLRTACERAKRTLSSTTQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCTETVEKCLLDAKIDKRQVHEVVLVGGSTRIPKVQQMLSDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGSEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVTAEDKTAGVKNKITITNDKGRLSKDEIEKMVQDAEKYKAEDEEVKKKVEAKNGLENYAYNMRNTVKDEKFAGKLGPADKEKIEKAVEETLEWLDRNQLAEVDELEDRLKELEGVCNPIISKMYQGGAGAGDDHMGGGEMPGGGSSSAAGPKIEEVD >Manes.05G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1179058:1182655:-1 gene:Manes.05G006500.v8.1 transcript:Manes.05G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEADEILTKRMKLGMEEKELAVRDGTALEIVGKEETETNTLGSEEMELNIAHIVEKIEHFTQMVSELLESGKTMFKEMSHEFEERLIMIHKEKMEKWQEEIRELRMLDASNEEDNAILHNARYLLQNPQIDS >Manes.05G006500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1179058:1182655:-1 gene:Manes.05G006500.v8.1 transcript:Manes.05G006500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKELAVRDGTALEIVGKEETETNTLGSEEMELNIAHIVEKIEHFTQMVSELLESGKTMFKEMSHEFEERLIMIHKEKMEKWQEEIRELRMLDASNEEDNAILHNARYLLQNPQIDS >Manes.05G006500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1179432:1182589:-1 gene:Manes.05G006500.v8.1 transcript:Manes.05G006500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKELAVRDGTALEIVGKEETETNTLGSEEMELNIAHIVEKIEHFTQMVSELLESGKTMFKEMSHEFEERLIMIHKEKMEKWQEEIRELRMLDASNEEDNAILHNARYLLQNPQIDS >Manes.05G006500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1179432:1182589:-1 gene:Manes.05G006500.v8.1 transcript:Manes.05G006500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEADEILTKRMKLGMEEKELAVRDGTALEIVGKEETETNTLGSEEMELNIAHIVEKIEHFTQMVSELLESGKTMFKEMSHEFEERLIMIHKEKMEKWQEEIRELRMLDASNEEDNAILHNARYLLQNPQIDS >Manes.16G027700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2983932:2989555:-1 gene:Manes.16G027700.v8.1 transcript:Manes.16G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRCLHTLSRQATALLSSSPITLHQSFTVSPPFTNPNSVKALLIARSSHIFSNADSTWGSIWSHHHPRYLSSDSRDTRENDEEDEETEGEESDGEEEMSSDLKREYSEEEKEAEAAAIGYKVVGPLQKSDLGFKPYEPVFAVVQIGSHQFKVSNGDCIFTEKLKFCEVNDKLILNKVLLLGSSTQTIVGRPTLPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITDIQGIEKPEMKVDPKPKKSGVKQQEKVAVAA >Manes.10G116300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28170511:28176695:-1 gene:Manes.10G116300.v8.1 transcript:Manes.10G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERFLPGSYFQYTPSGAHQASPHRSSSLPSDRERYLAELLAEKQKLVPFIQVLPQCSRLLNQEIRRASAFSQGFVDRERYEHESLYRSLGQQPNGRSMDLEAWSGIQTEENGHLQRMASFQAASMGWPGVPGVQSTPVIKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDIINSRLDHAIAILETLMKPVDESSDHYKKQQLRELAMLNGTLREESPSMSPSMSPSMSPFNTTGMKRAKTGR >Manes.10G065901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10070565:10101824:1 gene:Manes.10G065901.v8.1 transcript:Manes.10G065901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVPVQHYNLRSANSFIGSSLHDLNTVDSRPNNLDAIGDAVDRHADDSLDADDDSNAAVDCMHESYRNSLPLHGVGVEEDRTSLENNGSSRGAYDMLTIEGTNVSPIESARARFLQIIVDHFISDHVIEVADNEAEYVGLSGQDKLNKRKSGDVQYEGDPRFALPLMYVANLYETLVHDVNMRLASLNGIRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPNNVPVEDAEWFKRLTGRNDVIVSARDYKFYSPRHKYRRVVSNIPGLPTLPATDNSSTIATAQGFRSPQNEQQTPSKHHVDSLSHQPQFHPIHQNHHQVHQGQHATQFSQNQQCGPSSHLSEITHANQSPGIPQHMAYLQPLTGGHVAGRLHLMPASPAKFCDECGAPYLRETSKFCSECGTKRLGM >Manes.10G065901.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10070565:10101824:1 gene:Manes.10G065901.v8.1 transcript:Manes.10G065901.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVPVQHYNLRSANSFIGSSLHDLNTVDSRPNNLDAIGDAVDRHADDSLDADDDSNAAVDCMHESYRNSLPLHGVGVEEDRTSLENNGSSRDVSPIESARARFLQIIVDHFISDHVIEVADNEAEYVGLSGQDKLNKRKSGDVQYEGDPRFALPLMYVANLYETLVHDVNMRLASLNGIRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPNNVPVEDAEWFKRLTGRNDVIVSARDYKFYSPRHKYRRVVSNIPGLPTLPATDNSSTIATAQGFRSPQNEQQTPSKHHVDSLSHQPQFHPIHQNHHQVHQGQHATQFSQNQQCGPSSHLSEITHANQSPGIPQHMAYLQPLTGGHVAGRLHLMPASPAKFCDECGAPYLRETSKFCSECGTKRLGM >Manes.10G065901.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10070565:10101824:1 gene:Manes.10G065901.v8.1 transcript:Manes.10G065901.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVPVQHYNLRSANSFIGSSLHDLNTVDSRPNNLDAIGDAVDRHADDSLDADDDSNAAVDCMHESYRNSLPLHGVGVEEDRTSLENNGSSRGAYDMLTIEDVSPIESARARFLQIIVDHFISDHVIEVADNEAEYVGLSGQDKLNKRKSGDVQYEGDPRFALPLMYVANLYETLVHDVNMRLASLNGIRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPNNVPVEDAEWFKRLTGRNDVIVSARDYKFYSPRHKYRRVVSNIPGLPTLPATDNSSTIATAQGFRSPQNEQQTPSKHHVDSLSHQPQFHPIHQNHHQVHQGQHATQFSQNQQCGPSSHLSEITHANQSPGIPQHMAYLQPLTGGHVAGRLHLMPASPAKFCDECGAPYLRETSKFCSECGTKRLGM >Manes.10G065901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10070565:10101824:1 gene:Manes.10G065901.v8.1 transcript:Manes.10G065901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVPVQHYNLRSANSFIGSSLHDLNTVDSRPNNLDAIGDAVDRHADDSLDADDDSNAAVDCMHESYRNSLPLHGVGVEEDRTSLENNGSSRGAYDMLTIEDVSPIESARARFLQIIVDHFISDHVIEVADNEAEYVGLSGQDKLNKRKSGDVQYEGDPRFALPLMYVANLYETLVHDVNMRLASLNGIRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPNNVPVEDAEWFKRLTGRNDVIVSARDYKFYSPRHKYRRVVSNIPGLPTLPATDNSSTIATAQGFRSPQNEQQTPSKHHVDSLSHQPQFHPIHQNHHQVHQGQHATQFSQNQQCGPSSHLSEITHANQSPGIPQHMAYLQPLTGGHVAGRLHLMPASPAKFCDECGAPYLRETSKFCSECGTKRLGM >Manes.03G032201.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2685114:2703043:1 gene:Manes.03G032201.v8.1 transcript:Manes.03G032201.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKIRRPIGSFYLQRFSSLLTYASNEKRHEASSLENPNFIHSSAIVHPNALIGQGVSIGPFCTVGSSARLGNGCQLYPGSHIFGNTELGERCVLMTGAVVGDDLPGLTVLGNNNIIGHHAVVGVKCQDMKYKPGDECFLDIGDNNEIREHASIHRSSKPSDRTIIGNNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIAEDYTHTAGAIVVHQFCHIGSFSFIGGGSVVSQDVPKYAMVAGERAELRGLNLEGLRRHGFTATEGRIQLPV >Manes.03G032201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2685001:2707933:1 gene:Manes.03G032201.v8.1 transcript:Manes.03G032201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKIRRPIGSFYLQRFSSLLTYASNEKRHEASSLENPNFIHSSAIVHPNALIGQGVSIGPFCTVGSSARLGNGCQLYPGSHIFGNTELGERCVLMTGAVVGDDLPGLTVLGNNNIIGHHAVVGVKCQDMKYKPGDECFLDIGDNNEIREHASIHRSSKPSDRTIIGNNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIAEDYTHTAGAIVVHQFCHIGSFSFIGGGSVVSQDVPKYAMVAGERAELRGLNLEGLRRHGFTATELRSLRMAYRKIFMSVDANSVGIEERLTELEQDKELGQVPVVCSMVQSLRDSFAENRRGICKFRHWSGS >Manes.03G032201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2684804:2709019:1 gene:Manes.03G032201.v8.1 transcript:Manes.03G032201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKIRRPIGSFYLQRFSSLLTYASNEKRHEASSLENPNFIHSSAIVHPNALIGQGVSIGPFCTVGSSARLGNGCQLYPGSHIFGNTELGERCVLMTGAVVGDDLPGLTVLGNNNIIGHHAVVGVKCQDMKYKPGDECFLDIGDNNEIREHASIHRSSKPSDRTIIGNNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIAEDYTHTAGAIVVHQFCHIGSFSFIGGGSVVSQDVPKYAMVAGERAELRGLNLEGLRRHGFTATELRSLRMAYRKIFMSVDANSVGIEERLTELEQDKELGQVPVVCSMVQSLRDSFAENRRGICKFRHWSGS >Manes.03G032201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2685001:2707933:1 gene:Manes.03G032201.v8.1 transcript:Manes.03G032201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKIRRPIGSFYLQRFSSLLTYASNEKRHEASSLENPNFIHSSAIVHPNALIGQGVSIGPFCTVGSSARLGNGCQLYPGSHIFGNTELGERCVLMTGAVVGDDLPGLTVLGNNNIIGHHAVVGVKCQDMKYKPGDECFLDIGDNNEIREHASIHRSSKPSDRTIIGNNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIAEDYTHTAGAIVVHQFCHIGSFSFIGGGSVVSQDVPKYAMVAGERAELRGLNLEGLRRHGFTATELRSLRMAYRKIFMSVDANSVGIEERLTELEQDKELGQVPVVCSMVQSLRDSFAENRRGICKFRHWSGS >Manes.03G032201.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2685001:2695874:1 gene:Manes.03G032201.v8.1 transcript:Manes.03G032201.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKIRRPIGSFYLQRFSSLLTYASNEKRHEASSLENPNFIHSSAIVHPNALIGQGVSIGPFCTVGSSARLGNGCQLYPGSHIFGNTELGERCVLMTGAVVGDDLPGLTVLGNNNIIGHHAVVGVKCQDMKYKPGDECFLDIGDNNEIREHASIHRSSKPSDRTIIGNNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIAEDYTHTAGAIVVHQFCHIGSFSFIGGGSVVSQDVPKYAMVAGERAELRGLNLEGLRRHGFTATEDNAVQSR >Manes.03G032201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2685114:2692185:1 gene:Manes.03G032201.v8.1 transcript:Manes.03G032201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKIRRPIGSFYLQRFSSLLTYASNEKRHEASSLENPNFIHSSAIVHPNALIGQGVSIGPFCTVGSSARLGNGCQLYPGSHIFGNTELGERCVLMTGAVVGDDLPGLTVLGNNNIIGHHAVVGVKCQDMKYKPGDECFLDIGDNNEIREHASIHRSSKPSDRTIIGNNNLIMGSCHIAHDCKIGNNNIFANNTLLAGHVIAEDYTHTAGAIVVHQFCHIGSFSFIGGGSVVSQDVPKYAMVAGERAELRGLNLEGLRRHGFTATEVGSYFLSDGNIR >Manes.02G050600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4066853:4069785:1 gene:Manes.02G050600.v8.1 transcript:Manes.02G050600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCKIITLIPTSISSIGTSKQHFFHPKPFFPFLSLNFHSLSQSLAGSSSCRLLTRHFGTLQGAVSFESTEFAADESQDNSEKSDTVEHLLNHRDDVSGLMKMERRTSPIIDSGFELAQRVRRWFPYLDRFKCGSGVHLTSGEVLEAVGPYIMEERKERFRSAVKNRSYSVCLVVEGLSDFGNVSATFRSADALGFQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWDSTQECFEVLKSRGYRIATTHVGMDAVSVYDMDWSCPTAIVVGNENRGISDEALELSDLHCSIPMKGMVDSFNVSVAAGILMHHAVCDRSSRLGCHGDLTSEESQILLAEFSLRHSKSAISIAHEYAKRKAATPMPKL >Manes.16G075500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:27867203:27869505:1 gene:Manes.16G075500.v8.1 transcript:Manes.16G075500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGDDEVASILNWVYDTKDRKSSSQVCKQWLRGEGETRLSIRVLEPDLLYSFLPRFPYLLTFKSSKLITDAHLDFIAQTCPKIEFLNLSVRKSRPTVTFDGFDESTDSEDVGNDGICAIANGCRKLTEVLLRRRKNVGNVGVIVLVNLAKSLTSLDLGRCGLIDDRSLEAIGNMNSIRILKMEACSLITDCGLEFLATGSSSRTLKKLVLAECDRITDFGATLLQQMHRLEELNLADCGPKLTDYTGLAIASIPSLKRLNISWLINVSDLALIAIAENCRNLVALDLTGCEMITGTGVSAFAHHKCLEELVLASCYNVCGYAVDRVLKCESLSYIVLDKRLRMILPDGIHRKIGLSCHWR >Manes.15G166800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14168933:14170545:1 gene:Manes.15G166800.v8.1 transcript:Manes.15G166800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKAQDLVSSNSVVVFSKTYCPFCTTVKKLFDEVGAKYKTVELDTENDGSEIQAALGEWTGQKTVPNVFIGGKHIGGCDTTTGIHKEGKLIPLLTEAGAVAKASA >Manes.05G153700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26505854:26506849:-1 gene:Manes.05G153700.v8.1 transcript:Manes.05G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSITTPSLVEKKQLRKPAQASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHEAAMAYDAAARKLYGPDAKLNLPELHLNNNRFPAPSGHTQVSQVYMGNESQLLDDSDATCSSSNPIIRSSEMKSTYFRKSIMSFADENVEPDDKVAENEGNIDELWANLNVNLPLFDDSIWAEAAMSIDFPAIDNPGIIGGNLMEGTGWDALQTPWCM >Manes.04G112850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31682583:31684216:1 gene:Manes.04G112850.v8.1 transcript:Manes.04G112850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGADRLRADMNRLLALLFHQGVLDEQFLQLQQLQDESSPNFVSEVVNIYFHESEKLLRNLRGLLMDREFSDYKKMGIHLNQFMGSSSSIGAKRVRNVCVAFRAASEQNNRAGCFRALELLEHEYCYLKNKMHELFQLEQQRLLAAGARYPMQN >Manes.13G094000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:26708776:26709491:1 gene:Manes.13G094000.v8.1 transcript:Manes.13G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIEALAMAGVDCGKCSIDLAERERRDMEKTPLYLIADENPGKETQRNEKDNKVVVEKWGVKIKMEAWAKAVASSVNNVTSNINAKGKNM >Manes.02G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5267002:5270114:-1 gene:Manes.02G068800.v8.1 transcript:Manes.02G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTEYLQRLRPSQPFMEMDMNAQMFKQLPEINSSPLENFSVPDFSVDSFLAHHQQPPPDQFPASYDHCGFSSTFHQPDILNSAPVVHAVTSTSSQNVLTNSCKKRKADAQSISSSSKNISPTASTTNTKKKNKLGRGRKGKNKEKEVDEAEEVVHVRAKRGQATDSHSIAERVRREKINNKLRCLQDLVPGCHKSMGMAVMLEEIINYVHSLQNQVEFLSMELAAASCSYDFNLETESSRKAQGTNSPGAQERQKWWREGNGELGYFQSTWSI >Manes.02G068800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5267002:5270114:-1 gene:Manes.02G068800.v8.1 transcript:Manes.02G068800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTEYLQRLRPSQPFMEMDMNAQMFKQLPEINSSPLENFSVPDFSVDSFLAHHQQPPPDQFPASYDHCGFSSTFHQPDILNSAPVVHAVTSTSSQNVLTNSCKKRKADAQSISSSSKNISPTASTTNTKKKNLGRGRKGKNKEKEVDEAEEVVHVRAKRGQATDSHSIAERVRREKINNKLRCLQDLVPGCHKSMGMAVMLEEIINYVHSLQNQVEFLSMELAAASCSYDFNLETESSRKAQGTNSPGAQERQKWWREGNGELGYFQSTWSI >Manes.09G117000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31956866:31960551:1 gene:Manes.09G117000.v8.1 transcript:Manes.09G117000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPDTSDIENGEIIVLKDGAYNEDTMRDREETQSLQGLLRRLPLTGNVGRPPLSEVGEEMLALGKIAGPIILTTMLIYSRSVISMLFLSRMGKKELAGGSLALGFANITGLSVMKGLAMGMDPICGQAYGAKRWSVISQTYLRTLCLLLLVALPISLLWLNVEPILLRLGQDPDITNVAKVYMVFCIPELIAQAVLHPIRSFLRIQGLTAPLTVSAVAAVILHAPINYFFAIYLKLGVKGVALAIAFNTINMNIGLLIYVAVSKKPLKPWHGITASSIFYGWRPLLSLALPSVISVCLEWWWYEIMLFLCGLLTNPKANVAATGILIQTAGLIYSFPFSLSCSLSTRVGHALGAGEPARAQWTAIIGVVLGFACGVMATIFTFFFSSIWGKLYTDEPQVLELISIGLPLLGLCEIGNSPQTAACGVLTGTARTKDGARINLYAFYLVGLPVAVHLTFKLKMGFRGLWFGLLAAQISCVSMMLYTLFRTDWKYQAERADELTLAAGERNDLEKCLLTTDQ >Manes.06G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8358651:8363090:-1 gene:Manes.06G031800.v8.1 transcript:Manes.06G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMKSGNLPAEPETTSSSGNKVPVKLEIVEDPLEEEHGSLNKRSKPSQAVQQQWGAGANAFPVPPAQYNPLDEPSPLGLRLRKSPSLLDLIQMRLSQGGSSVPGAQRTENNNLEVKKESKTTIASGTTDKLKASNFPASILRIGSWEYKSRYEGELVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDSAPGTLTVVLARQPLFFRETNPQPRKHTLWQATADFTNGQASIHRQHFLQCPQGLLNKHFEKLIQCDMRLNFLSRQPEIILDSPYFEQRSSVFEDPDESKSHDFNQVETGKGSSLSGFQDIASPSAAHSSSLEFEKGDHAATSSEHISRGAPSPSSVMDTRAIEGGGVCEAVDSKGPRNWDQIKVPGLKSSMSMSDLMNHIGNCISEQMTSGNAQFSADGSECQDILEDIAQYLLSDTQLTTSSDEKRLMARVNSLCCLLQKDPVSTQNLQVNEESCIEESDTGKGVQVNDTSELLHENKSKGDLKDPEGNINDVSGSKHTPGMSRKDSFGELLLHLPRIASLPKFLFNISEEDGESQAR >Manes.06G031800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8358651:8363090:-1 gene:Manes.06G031800.v8.1 transcript:Manes.06G031800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMKSGNLPAEPETTSSSGNKVPVKLEIVEDPLEEEHGSLNKRSKPSQAVQQYKSRYEGELVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDSAPGTLTVVLARQPLFFRETNPQPRKHTLWQATADFTNGQASIHRQHFLQCPQGLLNKHFEKLIQCDMRLNFLSRQPEIILDSPYFEQRSSVFEDPDESKSHDFNQVETGKGSSLSGFQDIASPSAAHSSSLEFEKGDHAATSSEHISRGAPSPSSVMDTRAIEGGGVCEAVDSKGPRNWDQIKVPGLKSSMSMSDLMNHIGNCISEQMTSGNAQFSADGSECQDILEDIAQYLLSDTQLTTSSDEKRLMARVNSLCCLLQKDPVSTQNLQVNEESCIEESDTGKGVQVNDTSELLHENKSKGDLKDPEGNINDVSGSKHTPGMSRKDSFGELLLHLPRIASLPKFLFNISEEDGESQAR >Manes.06G031800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8358651:8363090:-1 gene:Manes.06G031800.v8.1 transcript:Manes.06G031800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMKSGNLPAEPETTSSSGNKVPVKLEIVEDPLEEEHGSLNKRSKPSQAVQQWGAGANAFPVPPAQYNPLDEPSPLGLRLRKSPSLLDLIQMRLSQGGSSVPGAQRTENNNLEVKKESKTTIASGTTDKLKASNFPASILRIGSWEYKSRYEGELVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDSAPGTLTVVLARQPLFFRETNPQPRKHTLWQATADFTNGQASIHRQHFLQCPQGLLNKHFEKLIQCDMRLNFLSRQPEIILDSPYFEQRSSVFEDPDESKSHDFNQVETGKGSSLSGFQDIASPSAAHSSSLEFEKGDHAATSSEHISRGAPSPSSVMDTRAIEGGGVCEAVDSKGPRNWDQIKVPGLKSSMSMSDLMNHIGNCISEQMTSGNAQFSADGSECQDILEDIAQYLLSDTQLTTSSDEKRLMARVNSLCCLLQKDPVSTQNLQVNEESCIEESDTGKGVQVNDTSELLHENKSKGDLKDPEGNINDVSGSKHTPGMSRKDSFGELLLHLPRIASLPKFLFNISEEDGESQAR >Manes.05G149800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25320299:25323750:-1 gene:Manes.05G149800.v8.1 transcript:Manes.05G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSVSDANLVVYIHPSQSKNVSQAILRELSSLLFKYNETFDGVVLAYAVNSLDKQARILSGVHPYFGVRLKANLLLFSPKPNMLLEGKVVKLMRESIHVIVLGFSSAVIVDEDIRDEFKYKSKRGEAKYVSRSHKRHAIKVGTTIRFSVKSLDEEILHISGSLIPAHTGSVSWLDGDGGNPTTESSKKRKQPDGETEVQELGIAGGDTCSVNNDQKIKKSKKRRNAE >Manes.05G149800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25320299:25323750:-1 gene:Manes.05G149800.v8.1 transcript:Manes.05G149800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSVSDANLVVYIHPSQSKNVSQAILRELSSLLFKYNETFDGVVLAYAVNSLDKQARILSGVHPYFGVRLKANLLLFSPKPNMLLEGKVVKLMRESIHVIVLGFSSAVIVDEDIRDEFKYKSKRGEAKYVSRSHKRHAIKVGTTIRFSVKSLDEEILHISGSLIPAHTGSVSWLDGDGGNPTTESKKRKQPDGETEVQELGIAGGDTCSVNNDQKIKKSKKRRNAE >Manes.04G107800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31225111:31226234:1 gene:Manes.04G107800.v8.1 transcript:Manes.04G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFKLNSLMILPSFIVFLVFCSLATVSFASLRVGFYRSSCPSAEAIVRKAVNKAVSQNPGLGAGLIRMHFHDCFVRGCDASVLLKSTLGNPSEREHVANNPSLRGFEVIDEAKAEIEALCPQTVSCADILAFAARDSSYKLGGINYVVPAGRRDGRVSREDEVGQNLPPFFFNAQQLADNFARKGMSVDEMVTLSGAHSIGVSHCSSFSSRLYNFNATHAQDPSMDPKYAAFLKTTCPPPSANGVGGGSTVALDPTPNRMDNKYYMELKKNRGLLTSDQTLMNSASTQRMVVNNARKAGTWAAKFAKAMVHMGSLDVLTGTQGEIRRQCSAVN >Manes.07G020500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2277248:2277996:1 gene:Manes.07G020500.v8.1 transcript:Manes.07G020500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIIPINDPKTVVLFSKLLKKTDLEHQLIVPSEVLKKYPILDQNGHVSKFIISFDKNGKRWEFPLATRNTGIYPKPSVPPASWHPFVAEYGLRAGDSVLFYTRRDDPANKIQVRGLRKTILFKGEESWVEV >Manes.13G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36762442:36768612:1 gene:Manes.13G153700.v8.1 transcript:Manes.13G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPLKRMKRRVTADLCDFLTFPSGFEPPRGPFRTNIKAFLMEHALVPPPSSLFPHLMTWQISFRVGDLKEGLDSAPAVVCLDIVEEDVAKSRSVYCDQCRVVGWSGHPVCCKRYHFIIKADGSSIGGYHKPCTCCGDILHISELRCKTCNHVTTTDDVEDWIYHQLEDTTHLLHGVVHANGYGHLLRVNGREGGSKILSGCHIMDFWDRLCKTLGVRKVSVMDVSKKYGLEYRLLHAITKGRPWYGDWGYEFGAGSFALTVDAYKSAVETLSSIPLSIFLSQGRKPRTRLQDVISFYQSLSDHELVNTRDLFCYLISLIQNAHKSPPSVEDSTCKKRRSCTEIIPSWSKTDVERVEEAMLRVLRAVSGSTWVSWRTLRGAVCKVAPPELLDLCLKELGGKPAADGMVVGTRCNPDSGAFEYRLEPGNPPPSNGVNIGIGSSIITCPSEENLMQDLRFLYECLLHPRTMVSYGTEATRDLAIGSAEKLLDCKQFVKEYMAEKVSSATSPPVICLSCDVEIIDQTEENAPNHPPELIILPPNATVSDLKLEASRAFQEVYLMFKKFHAEELLGYSGVDDSTQVKLLLGSTEFVRLRGRCLGKNGLCKFRMERGIERWTVDCSCGAKDDDGERMLACDVCGVWQHTRCSGIPDSESVPAKFVCHRCRVSSQVTNSSG >Manes.02G212300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:26649895:26651237:-1 gene:Manes.02G212300.v8.1 transcript:Manes.02G212300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSPRALDENRRSNKEVPYDGKTTRNQVQPPQSRPPNTNMGTKKRKRVLAGRQYAHKYRLKQLHHILQLEQEVKALQANVAISIPRIMYVDRENSLLKIKNGLMKQRLSTFSNDLMFKEAQYEKLEMERDTLKQLHMVNHQQLPKILRIKPVWNNQLLNMNLNHSTLNLFMEPVVVGGSQTMMNQNINQFGTGQIMNKDFI >Manes.02G212300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:26649895:26651148:-1 gene:Manes.02G212300.v8.1 transcript:Manes.02G212300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQMLNNNWALDENRRSNKEVPYDGKTTRNQVQPPQSRPPNTNMGTKKRKRVLAGRQYAHKYRLKQLHHILQLEQEVKALQANVAISIPRIMYVDRENSLLKIKNGLMKQRLSTFSNDLMFKEAQYEKLEMERDTLKQLHMVNHQQLPKILRIKPVWNNQLLNMNLNHSTLNLFMEPVVVGGSQTMMNQNINQFGTGQIMNKDFI >Manes.03G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4402608:4411175:-1 gene:Manes.03G048500.v8.1 transcript:Manes.03G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSPRVVHGLLRFRYCLHVSSSKPSCPSTSSVASRIRSSLTRPYSNGFSNSTLLNTQIPSRSSFSSTPTSNGGLSQVLSWAKPHFYSSFRTSHAFAKQSCFYGVRQFSFKTSSNFGKTANGNFAKKVFEKPAKAVRAAISRYRDAIGLQIDAFWKRNLLILLGAGGVVLCALLWRVMFGIANTFIGLSEGMAKYGFLALSSAIVAFAGLYIRSRITINPDRVYRMAMRKLNTSAGILEVMGAPLTGTELRAYVMSGGGITLKNFKPRLRSKRCFLIFPIRGSEKKGLVSVEIKKKKGQYDMKLLAVDIPMASGPDQRLFLIGDEDEYKVGGGLISELRDPVVKAMAASKEFDDLDRIEEEEDAARELQEAERKHREEIEKLERDGS >Manes.03G048500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4402608:4411175:-1 gene:Manes.03G048500.v8.1 transcript:Manes.03G048500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSPRVVHGLLRFRYCLHVSSSKPSCPSTSSVASRIRSSLTRPYSNGFSNSTLLNTQIPSRSSFSSTPTSNGGLSQVLSWAKPHFYSSFRTSHAFAKQSCFYGVRQFSFKTSSNFGKTANGNFAKKVFEKPAKAVRAAISRYRDAIGLQIDAFWKRNLLILLGAGGVVLCALLWRVMFGIANTFIGLSEGMAKYGFLALSSAIVAFAYDMKLLAVDIPMASGPDQRLFLIGDEDEYKVGGGLISELRDPVVKAMAASKEFDDLDRIEEEEDAARELQEAERKHREEIEKLERDGS >Manes.03G048500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4402608:4411175:-1 gene:Manes.03G048500.v8.1 transcript:Manes.03G048500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSPRVVHGLLRFRYCLHVSSSKPSCPSTSSVASRIRSSLTRPYSNGFSNSTLLNTQIPSRSSFSSTPTSNGGLSQVLSWAKPHFYSSFRTSHAFAKQSCFYGVRQFSFKTSSNFGKTANGNFAKKVFEKPAKAVRAAISRYRDAIGLQIDAFWKRNLLILLGAGGVVLCALLWRVMFGIANTFIGLSEGMAKYGFLALSSAIVAFAGLYIRSRITINPDRVYRMAMRKLNTSAGILEVMGAPLTGTELRAYVMSGGGITLKNFKPRLRSKRCFLIFPIRGSEKKGLVSVEIKKKKGQYDMKLLAVDIPMASGPDQRLFLIGDEDEYKVGGGLISELRDPVVKAMAASKEFDDLDRIEEEEDAARELQEAERKHREEIEKLERDGS >Manes.14G126000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9998009:10007645:-1 gene:Manes.14G126000.v8.1 transcript:Manes.14G126000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGHWTSMDTDLYAAAHIVRPLFPSKVGVFPPSYHLVSTGVCIHYPVKASQMEVAGSDSDGREFRNADEMWREQTGDDNKKTQWYRDGVAYWEGVEASVDGVLGGYGQVNDADVKGSEAFLQTLLSELFVDSGIGRHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDAARESLVNENHMASDTHKATNFVCTPLQEFTPDAGRYDVIWVQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENTARSGFVLDKEDRSITRSDAYFKELFSRCGLHLYKSKVNSSHM >Manes.14G126000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9998597:10005753:-1 gene:Manes.14G126000.v8.1 transcript:Manes.14G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLYAAAHIVRPLFPSKVGVFPPSYHLVSTGVCIHYPVKASQMEVAGSDSDGREFRNADEMWREQTGDDNKKTQWYRDGVAYWEGVEASVDGVLGGYGQVNDADVKGSEAFLQTLLSELFVDSGIGRHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDAARESLVNENHMASDTHKATNFVCTPLQEFTPDAGRYDVIWVQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENTARSGFVLDKEDRSITRSDAYFKELFSRCGLHLYKSKDQRGLPKELFTVKMYALTPDMPKKIGRTRSRTQANRPGIIK >Manes.14G126000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9998921:10006049:-1 gene:Manes.14G126000.v8.1 transcript:Manes.14G126000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGHWTSMDTDLYAAAHIVRPLFPSKVGVFPPSYHLVSTGVCIHYPVKASQMEVAGSDSDGREFRNADEMWREQTGDDNKKTQWYRDGVAYWEGVEASVDGVLGGYGQVNDADVKGSEAFLQTLLSELFVDSGIGRHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDAARESLVNENHMASDTHKATNFVCTPLQEFTPDAGRYDVIWVQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENTARSGFVLDKEDRSITRSDAYFKELFSRCGLHLYKSKVNSSHM >Manes.14G126000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9998004:10006193:-1 gene:Manes.14G126000.v8.1 transcript:Manes.14G126000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGHWTSMDTDLYAAAHIVRPLFPSKVGVFPPSYHLVSTGVCIHYPVKASQMEVAGSDSDGREFRNADEMWREQTGDDNKKTQWYRDGVAYWEGVEASVDGVLGGYGQVNDADVKGSEAFLQTLLSELFVDSGIGRHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDAARESLVNENHMASDTHKATNFVCTPLQEFTPDAGRYDVIWVQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENTARSGFVLDKEDRSITRSDAYFKELFSRCGLHLYKSKVNSSHM >Manes.14G126000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9998374:10007860:-1 gene:Manes.14G126000.v8.1 transcript:Manes.14G126000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGHWTSMDTDLYAAAHIVRPLFPSKVGVFPPSYHLVSTGVCIHYPVKASQMEVAGSDSDGREFRNADEMWREQTGDDNKKTQWYRDGVAYWEGVEASVDGVLGGYGQVNDADVKGSEAFLQTLLSELFVDSGIGRHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDAARESLVNENHMASDTHKATNFVCTPLQEFTPDAGRYDVIWVQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENTARSGFVLDKEDRSITRSDAYFKELFSRCGLHLYKSKRICLKLEKRTEDSKEIQIVENSISVTELDAFV >Manes.14G126000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9998007:10006482:-1 gene:Manes.14G126000.v8.1 transcript:Manes.14G126000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGHWTSMDTDLYAAAHIVRPLFPSKVGVFPPSYHLVSTGVCIHYPVKASQMEVAGSDSDGREFRNADEMWREQTGDDNKKTQWYRDGVAYWEGVEASVDGVLGGYGQVNDADVKGSEAFLQTLLSELFVDSGIGRHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLDAARESLVNENHMASDTHKATNFVCTPLQEFTPDAGRYDVIWVQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENTARSGFVLDKEDRSITRSDAYFKELFSRCGLHLYKSKVNSSHM >Manes.02G092200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7234912:7239620:1 gene:Manes.02G092200.v8.1 transcript:Manes.02G092200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYCSSAQSRCATTSSGRSITECTSCGRVVEERQFQPYHLFHIRAQDNPLCLVTSDLASLHHQALHARQGDEEDPFEPTGFITAFSTWSLEPSPLSLRSSLSFSGHLAELERTLELTNSSSNPTSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTISSGRASTPRVDPVELTSSSSDKDKQPENKPNKTNDVLLRGKEDAESNSNSRGARAPAWPIFRQPWLQFGSSGARTSGEKIQNTNRGDVNELQSDCQELEEKIDKLKMDKDSTAVARPNQISSSPASCVSTITWPFRATPSSGSSPIVQPPPKLAPGYAELKGVGYQNGGKNANVSGENK >Manes.02G092200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7234912:7239688:1 gene:Manes.02G092200.v8.1 transcript:Manes.02G092200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYCSSAQSRCATTSSGRSITECTSCGRVVEERQFQPYHLFHIRAQDNPLCLVTSDLASLHHQALHARQGDEEDPFEPTGFITAFSTWSLEPSPLSLRSSLSFSGHLAELERTLELTNSSSNPTSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTISSGRASTPRVDPVELTSSSSDKDKQPENKPNKTNDVLLRGKEDAESNSNSRGARAPAWPIFRQPWLQFGSSGARTSGEKIQNTNRGDVNELQSDCQELEEKIDKLKMDKDSTAVARPNQISSSPASCVSTITWPFRATPSSGSSPIVQPPPKLAPGYAELKGVGYQNGGKNANVSGENK >Manes.02G092200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7234912:7239620:1 gene:Manes.02G092200.v8.1 transcript:Manes.02G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYCSSAQSRCATTSSGRSITECTSCGRVVEERQFQPYHLFHIRAQDNPLCLVTSDLASLHHQALHARQGDEEDPFEPTGFITAFSTWSLEPSPLSLRSSLSFSGHLAELERTLELTNSSSNPTSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTISSGRASTPRVDPVELTSSSSDKDKQPENKPNKTNDVLLRGKEDAESNSNSRGARAPAWPIFRQPWLQFGSSGARTSGEKIQNTNRGDVNELQSDCQELEEKIDKLKMDKDSTAVARPNQISSSPASCVSTITWPFRATPSSGSSPIVQPPPKLAPGYAELKGVGYQNGGKNANVSGENK >Manes.02G092200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7234912:7239687:1 gene:Manes.02G092200.v8.1 transcript:Manes.02G092200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPYCSSAQSRCATTSSGRSITECTSCGRVVEERQFQPYHLFHIRAQDNPLCLVTSDLASLHHQALHARQGDEEDPFEPTGFITAFSTWSLEPSPLSLRSSLSFSGHLAELERTLELTNSSSNPTSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTISSGRASTPRVDPVELTSSSSDKDKQPENKPNKTNDVLLRGKEDAESNSNSRGARAPAWPIFRQPWLQFGSSGARTSGEKIQNTNRGDVNELQSDCQELEEKIDKLKMDKDSTAVARPNQISSSPASCVSTITWPFRATPSSGSSPIVQPPPKLAPGYAELKGVGYQNGGKNANVSGENK >Manes.04G148100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34505868:34510356:-1 gene:Manes.04G148100.v8.1 transcript:Manes.04G148100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFYSKAYSLKSTLLGVVVSRERSLVKVHYSAVSAMLLEDHVVNYIPMSESDIFGKASKPFNQRKRGLFPFVVTVFKTLNWELTKDTSFSKTVSCHGVSHSINAFRIIVHAFASAGLQMEVQFLLRDIISYYKKLHLDVSQLHSALLSSPHDARMAKKFGLEANILSCNYLLKCSLEANQFEFASRLFKELKDFGPSPNVYTYTIMMNYYCKENLGQNIDIVRATGFLEEMELKGMDPTIVTYGAYIHGLCKAGYVEFALGFIQDLRIRNQHLNCYSYNAIIHGFCQKGEPYKALKLLQDMRNHGPFPDLYSYTILIDGFCKNGDVEKAVDLIEEMVHHNLKPSLVTYSALFTGLCKYRLTDVCLDMFRKLGASGYEYDIMSYNILVDGFVLHGDMESASKLVCEITRNGLVPNTFSFGRLIYGFCKRGLLNKALEVLSIMLQSGVPPTTFTCNVIADEYCRGGLFMEALKFINKMQNFGFVPDTYTYNIVIKWLCKGKKSDKAWEVLPVMIKNNVFPGVVHYSTIIDGFVKQSNPTKALLFYTKMLRGGILPSVVTYTILINMFSCRNNMHEACNLFKEMTERGLFPDKITYSCLIDGFCRVENMKRAWALYKEMLQQGQSPNVVTYTCLIDGFCKLKRMDMANLLMDEMKRNNVKPDVLTYTALISGYQRLGYGDKAQGLFDEMKEKGIVPDHIAYAASGRASPRFGCQRSFGGNDVTATKWLK >Manes.01G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13135851:13139274:-1 gene:Manes.01G048900.v8.1 transcript:Manes.01G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQIFRSASKAARSLLSASKTPRFYSESRNAAASAAVVFSGKAHLLASAYARTYSTNASRQWISGAFAVPAAVYMLQEQEVHAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKIVVPSKGFAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAIVVGRNIIHGSDGPETAKDEIKLWFKPEELVSYSSNAEKWIYGVN >Manes.01G048900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13135851:13139162:-1 gene:Manes.01G048900.v8.1 transcript:Manes.01G048900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTDHIIAWICLFPDFKSPIVTLPFFLLYIFVVYMLQEQEVHAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKIVVPSKGFAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAIVVGRNIIHGSDGPETAKDEIKLWFKPEELVSYSSNAEKWIYGVN >Manes.01G048900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13135851:13138731:-1 gene:Manes.01G048900.v8.1 transcript:Manes.01G048900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTDHIIAWICLFPDFKSPIVTLPFFLLYIFVVYMLQEQEVHAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKIVVPSKGFAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAIVVGRNIIHGSDGPETAKDEIKLWFKPEELVSYSSNAEKWIYGVN >Manes.11G146500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31083852:31089188:-1 gene:Manes.11G146500.v8.1 transcript:Manes.11G146500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEKSLDPQLWHVCAGSMVQIPPVNSRVFYFPQGHAEHAQSPVDFSSSSRIPALILCRVASVKYLADLETDEVYAKISLLPLSKNELDFGEEMGLSDNSNGNNTEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVVAKDVHGEMWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGIGSGPESSSTPSGWTTNSSYVNPYGGFSLFLKEDENKGLRNGGLRGKGKVRPEEVMDAAALAANSQPFEVVYYPRASTPEFCVKASSVRAAMRIQWCSGMRFKMAFETEDSSRISWFMGTVSSVQVFDPIRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSNMPVIHLSPFSPPRKKLRQYLDFPLDSQFQWPSFSGNPLGPSSPLCYLSDNSPAGIQGARHAQFGISLSDVQLNNKLQSGLFLSGLQQFNSHSRKSESFMKDHTNSSDNLSCLLTMGNSNTNSEKSDHVKRHQILLFGQRIVTEQQISHSCSTDADSQVRSGKVSSNESPEKTKKRDVLGCTPEKQTSPEKSVSAGLSWQSLHTAETGLDAGHCKVFLESEDVGRTLDLSVIGSYEELHSRLANMFGVERSDILGHVLYQDATGSVKQNEPFSVFTKTAKKLTILMNPTSRDNTARPWITRMQSAENGLEVASNKTGPLSIFA >Manes.11G146500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31083852:31089188:-1 gene:Manes.11G146500.v8.1 transcript:Manes.11G146500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEKSLDPQLWHVCAGSMVQIPPVNSRVFYFPQGHAEHAQSPVDFSSSSRIPALILCRVASVKYLADLETDEVYAKISLLPLSKNELDFGEEMGLSDNSNGNNTEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVVAKDVHGEMWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGIGSGPESSSTPSGWTTNSSYVNPYGGFSLFLKEDENKGLRNGGLRGKGKVRPEEVMDAAALAANSQPFEVVYYPRASTPEFCVKASSVRAAMRIQWCSGMRFKMAFETEDSSRISWFMGTVSSVQVFDPIRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSNMPVIHLSPFSPPRKKLRQYLDFPLDSQFQWPSFSGNPLGPSSPLCYLSDNSPAGIQGARHAQFGISLSDVQLNNKLQSGLFLSGLQQFNSHSRKSESFMKDHTNSSDNLSCLLTMGNSNTNSEKSDHVKRHQILLFGQRIVTEQQISHSCSTDADSQVRSGKVSSNESPEKTKKRDVLGCTPEKQTSPEKSVSAGLSWQSLHTAETGLDAGHCKVFLESEDVGRTLDLSVIGSYEELHSRLANMFGVERSDILGHVLYQDATGSVKQNEPFSVFTKTAKKLTILMNPTSRDNTARPWITRMQSAENGLEVASNKTGPLSIFA >Manes.16G057426.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17457424:17460486:-1 gene:Manes.16G057426.v8.1 transcript:Manes.16G057426.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFSKRLAKLGLKQDGKMKAIAPLTMESEEEEKTNTDHELMQLENMLRETEPAEVNRIKYPKARATMELKPYYPRPSPINLQFEDTSYNYMQYDGTSIVEWNIDGLSDYQIKNVLQYMTMYTTASRAKGNDDPSTAKALIAGFGGQLKGWWDFAVSSEGKEIIFKMVKQEGTQQVPDVVNTLLYTIGLHFIGSVNMLIDRAQEQLINLRCPYLSHFKWYKDTFFSLVFIREDSNNSVWKEKFLAGLPALFAERVKDQIRSKHNGNIPYHQYTYGELASEIVSTGITLCNELKIHKQMQKERFHGRQILGSFCEQYGLQPLKFPTTKFTGGREELKPHKKPKYYRKQILGVKRQSGENALKTAKKCQIWKVPKKESFQIRKVPNKESNLKFKFQILQKIQNSNSRFNLSRKVS >Manes.13G051540.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5994804:5999899:-1 gene:Manes.13G051540.v8.1 transcript:Manes.13G051540.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHALQYDKYGGGADGLKLQHVEVPVPTPRKDEILLKLEALSINPIDWKIQKGLLRPLLPRKFPHIPGTDVAGEVLEVGPGVKNFKVGDKVVAKISHFVGGGLAEFAVVKENLTVARPPEVSAAEGASLPVAGLTAHQALTQPAGIKLDGSGKQANILITAASGGVGHIAVQLAKLGNTHVTATCGARNIEFVKSLGADEVIDYRTPEGAALKSPSGRKYDAVIHCATGIPWSTFEPNLSEKGKVIDITPGPSTLLTFALKKVTFSKKQLIPLLLFPKVDNLEYLVKLVKEGKLKIVIDSQHPLSKAEEAWARSISGRATGKIIVEP >Manes.13G051540.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5994804:5999899:-1 gene:Manes.13G051540.v8.1 transcript:Manes.13G051540.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHALQYDKYGGGADGLKHVEVPVPTPRKDEILLKLEALSINPIDWKIQKGLLRPLLPRKFPHIPGTDVAGEVLEVGPGVKNFKVGDKVVAKISHFVGGGLAEFAVVKENLTVARPPEVSAAEGASLPVAGLTAHQALTQPAGIKLDGSGKQANILITAASGGVGHIAVQLAKLGNTHVTATCGARNIEFVKSLGADEVIDYRTPEGAALKSPSGRKYDAVIHCATGIPWSTFEPNLSEKGKVIDITPGPSTLLTFALKKVTFSKKQLIPLLLFPKVDNLEYLVKLVKEGKLKIVIDSQHPLSKAEEAWARSISGRATGKIIVEP >Manes.13G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30533372:30538369:1 gene:Manes.13G104700.v8.1 transcript:Manes.13G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGVEEEGPSNVSLVASSSIGCISQNGYSLKERNYLALSDCSSVDSSAVPSLSEENKNNLNLKATELRLGLPGSQSPGRDTNVSLLSMGKLDEKPLFPMLPLKDEMCSSLQKHAVSGNKRGFSDTMDESSEVKGSRHSEKNWLFHSAGTESDSPQSVGQGTFPGSSGMSALLSSRPSVAQSDVMKEVPQKALQDRSRAASGTNLNQTGTSNNSSSAPAAKAQVVGWPPIKSFRKNTLASSKNNDEVDGKPSPGALFVKVSMDGAPYLRKVDLRTYSTYQELSRALEKMFSCFTIGQCGSHGVPGKDRLSESKLRDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKSSDAIGLAPRAMEKFKTKA >Manes.10G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2815201:2817580:-1 gene:Manes.10G028000.v8.1 transcript:Manes.10G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSKPESLILVSRDPNGIATVTINRPKSLNSLTRAMMTRLAKEIKALGLDDSVHVIILCGTGRAFCSGVDLTAAEDVFKGDVKDVESDPVAQLERCKKPVIGAINGFAVTAGFEIALACDILVAAKGAKFMDTHARFGIFPSWGLSQKLPRIIGPGRAREASLTAMPITAEQAEKWGLVNYVVEESELLKKARSIAEAMIKNNQDLVLRYKSVINDGLKLDLGHALSLEKERAYEYYNGMTKEQFKKMQEFIAGRNAKKPPSKL >Manes.S034716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:888848:888970:1 gene:Manes.S034716.v8.1 transcript:Manes.S034716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.01G237500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39929992:39934498:1 gene:Manes.01G237500.v8.1 transcript:Manes.01G237500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIIREWVGFQQFPSATQSKLVELFGKLKEEGVSTLTILVMGKGGVGKSSTVNSLIGERVANVNSFSSEVSRPVMVSRTRAGFTLNIIDTPGLVEGGYVSYQALDLIKRFLLNKTIDVLLYVDRLDAYRVDDLDKQIISAISDSFGKEIWRKSLLVLTHAQLCPPDDLNYDVFSGRRSEAVLKTIHAGSRMRKRKFEVTAIPVGLVENSGRCNKNENDEKILPNGEAWIPSLVKEIIGVATNGNKSIFVDKKLIDGSESNDRGKVLIPLILGVQWLIVKWIQRAIKNDIAKGGKAL >Manes.01G237500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39930068:39934000:1 gene:Manes.01G237500.v8.1 transcript:Manes.01G237500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIIREWVGFQQFPSATQSKLVELFGKLKEEGVSTLTILVMGKGGVGKSSTVNSLIGERVANSEVSRPVMVSRTRAGFTLNIIDTPGLVEGGYVSYQALDLIKRFLLNKTIDVLLYVDRLDAYRVDDLDKQIISAISDSFGKEIWRKSLLVLTHAQLCPPDDLNYDVFSGRRSEAVLKTIHAGSRMRKRKFEVTAIPVGLVENSGRCNKNENDEKILPNGEAWIPSLVKEIIGVATNGNKSIFVDKKLIDGSESNDRGKVLIPLILGVQWLIVKWIQRAIKNDIAKGGKAL >Manes.01G237500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39930068:39934000:1 gene:Manes.01G237500.v8.1 transcript:Manes.01G237500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIIREWVGFQQFPSATQSKLVELFGKLKEEYLQGVSTLTILVMGKGGVGKSSTVNSLIGERVANVNSFSSEVSRPVMVSRTRAGFTLNIIDTPGLVEGGYVSYQALDLIKRFLLNKTIDVLLYVDRLDAYRVDDLDKQIISAISDSFGKEIWRKSLLVLTHAQLCPPDDLNYDVFSGRRSEAVLKTIHAGSRMRKRKFEVTAIPVGLVENSGRCNKNENDEKILPNGEAWIPSLVKEIIGVATNGNKSIFVDKKLIDGSESNDRGKVLIPLILGVQWLIVKWIQRAIKNDIAKGGKAL >Manes.03G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28018476:28027116:-1 gene:Manes.03G152200.v8.1 transcript:Manes.03G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLKVSQLSFGAWVSFGNQLDVKEAKSLLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGSGPNDKGLSRKHIVEGTKASLKRLDMDYVDVIYCHRPDSSTPIEETVRAINYVIDKGWAFYWGTSEWSAQQITEAWGIAERLDLVGPIVEQPEYNLLSRHKVESEYLPLYNNYGLGLTTWSPLASGVLTGKYSKGAIPPDSRFALENYKNLASRSLVDDVLKKVNGLKPIADELGVPLSQLAIAWCAANPNVSSVITGATKESQIQENMKAIDVIPLLTPAVMEKIEAVVQSKPKRPDSYSF >Manes.06G071400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20714158:20721364:1 gene:Manes.06G071400.v8.1 transcript:Manes.06G071400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQYRDLAKLKTKKIVFEDVIAVRDSVSLEHLKELSSKRRVIEDSINQTSFITEAIAREMSGGSTSRCEQERLRLENYLPLLENFISHADIVSNNSQMIRWTSQLKIQWSSVLSSSSFFNLTGPKFFQIDNLRFELGMALFLYGAVLRERALEFLPSDLKQSAFIYREAAGVFHYLAHEVLPSLHSAISAERPPEATSSVTSAISLICLAEAQAVYIRKAEETGNTGFGVLAKLHLGVAELLSEAVGALNSGIGEYKAISSRFLEFISSCKALHELRGHTYFSKGLKHDDQIGIAIGVLRDALSNVKRKMPGDDSWKTFFRKEIEHSADTLQKFEHENEFVWHEKIPSGDELPLLQGNEIVKIEPYRPKKWERELAFKT >Manes.06G071400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20714158:20720114:1 gene:Manes.06G071400.v8.1 transcript:Manes.06G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQYRDLAKLKTKKIVFEDVIAVRDSVSLEHLKELSSKRRVIEDSINQTSFITEAIAREMSGGSTSRCEQERLRLENYLPLLENFISHADIVSNNSQMIRWTSQLKIQWSSVLSSSSFFNLTGPKFFQIDNLRFELGMALFLYGAVLRERALEFLPSDLKQSAFIYREAAGVFHYLAHEVLPSLHSAISAERPPEATSSVTSAISLICLAEAQAVYIRKAEETGNTGFGVLAKLHLGVAELLSEAVGALNSGIGEYKAISSRFLKFCFQIFKISTPCIFFFFQNIHKEFISSCKALHELRGHTYFSKGLKHDDQIGIAIGVLRDALSNVKRKMPGDDSWKTFFRKEIEHSADTLQKFEHENEFVWHEKIPSGDELPLLQGNEIVKIEPYRPKKWERELAFKT >Manes.06G071400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20714158:20721364:1 gene:Manes.06G071400.v8.1 transcript:Manes.06G071400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSTSRCEQERLRLENYLPLLENFISHADIVSNNSQMIRWTSQLKIQWSSVLSSSSFFNLTGPKFFQIDNLRFELGMALFLYGAVLRERALEFLPSDLKQSAFIYREAAGVFHYLAHEVLPSLHSAISAERPPEATSSVTSAISLICLAEAQAVYIRKAEETGNTGFGVLAKLHLGVAELLSEAVGALNSGIGEYKAISSRFLKFCFQIFKISTPCIFFFFQNIHKEFISSCKALHELRGHTYFSKGLKHDDQIGIAIGVLRDALSNVKRKMPGDDSWKTFFRKEIEHSADTLQKFEHENEFVWHEKIPSGDELPLLQGNEIVKIEPYRPKKWERELAFKT >Manes.06G071400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20714158:20720035:1 gene:Manes.06G071400.v8.1 transcript:Manes.06G071400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSTSRCEQERLRLENYLPLLENFISHADIVSNNSQMIRWTSQLKIQWSSVLSSSSFFNLTGPKFFQIDNLRFELGMALFLYGAVLRERALEFLPSDLKQSAFIYREAAGVFHYLAHEVLPSLHSAISAERPPEATSSVTSAISLICLAEAQAVYIRKAEETGNTGFGVLAKLHLGVAELLSEAVGALNSGIGEYKAISSRFLEFISSCKALHELRGHTYFSKGLKHDDQIGIAIGVLRDALSNVKRKMPGDDSWKTFFRKEIEHSADTLQKFEHENEFVWHEKIPSGDELPLLQGNEIVKIEPYRPKKWERELAFKT >Manes.06G071400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20714158:20721364:1 gene:Manes.06G071400.v8.1 transcript:Manes.06G071400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQYRDLAKLKTKKCCFKNSKWCPSSKGKNFQYLEALCLSYIVFEDVIAVRDSVSLEHLKELSSKRRVIEDSINQTSFITEAIAREMSGGSTSRCEQERLRLENYLPLLENFISHADIVSNNSQMIRWTSQLKIQWSSVLSSSSFFNLTGPKFFQIDNLRFELGMALFLYGAVLRERALEFLPSDLKQSAFIYREAAGVFHYLAHEVLPSLHSAISAERPPEATSSVTSAISLICLAEAQAVYIRKAEETGNTGFGVLAKLHLGVAELLSEAVGALNSGIGEYKAISSRFLEFISSCKALHELRGHTYFSKGLKHDDQIGIAIGVLRDALSNVKRKMPGDDSWKTFFRKEIEHSADTLQKFEHENEFVWHEKIPSGDELPLLQGNEIVKIEPYRPKKWERELAFKT >Manes.06G071400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20714158:20720115:1 gene:Manes.06G071400.v8.1 transcript:Manes.06G071400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSTSRCEQERLRLENYLPLLENFISHADIVSNNSQMIRWTSQLKIQWSSVLSSSSFFNLTGPKFFQIDNLRFELGMALFLYGAVLRERALEFLPSDLKQSAFIYREAAGVFHYLAHEVLPSLHSAISAERPPEATSSVTSAISLICLAEAQAVYIRKAEETGNTGFGVLAKLHLGVAELLSEAVGALNSGIGEYKAISSRFLEFISSCKALHELRGHTYFSKGLKHDDQIGIAIGVLRDALSNVKRKMPGDDSWKTFFRKEIEHSADTLQKFEHENEFVWHEKIPSGDELPLLQGNEIVKIEPYRPKKWERELAFKT >Manes.11G080050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12183180:12185385:-1 gene:Manes.11G080050.v8.1 transcript:Manes.11G080050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNFVAHGGIKLPVGYRFHPTDEELLLHYLRRKVFGVPLPASVIPELDVFQADPWSLPGDLKEKRYFFSRKWGNDSENRCKRAAGSGYWKPIGKGRQIVASISNHLIGIKKSLIFCEGKNSNDSTTQWIMHEYHLVGSGTIPNITQVAKMKLGDWVVYRVFQRKRRPRKQGNLSKSPNTKNIQNTHEMIRSSFMGIRTEPSSPCCSGVTEVSSNGVYEEEISSSISFSCHSCMKKT >Manes.08G043300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4252449:4255194:1 gene:Manes.08G043300.v8.1 transcript:Manes.08G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSQLIKCFLLFAILVSCLIEVRCLTFTYPKFQPENETDLIRHNSYIVLNAIQVTPDVSGGSITNLSGRAFYKEPFKLWGKRKHGGRIRSSFNSTFVLNISPRSFPGGEGVAFVLAADSNLPENSQGQWLGIVNANTNGNPQAEIVAVEFDTRKSYPGDVDGNHVGLDVNSIYSIKQVPLGSFGINLSAGVDVKVRIQYEGEELAVFVGEDARSPVFSEPIDLSAYLPTEVFVGFSASTSNYTELNCVRSWEFYSSHVNEDHSLLWVKIVVPIVAALLIGIGFFSCWKWKKSRNYQPNDAYPNIEEEIKGSSMAPVKFTFKELRQATGKFNLQNMLGKGGFGTVYKGILKNKEVAVKRISRESSQGKQEFIAEVTTIGNFHHKNLVKLIGWCYERSEFLLVYEYMPNGSFDKLIFSDENTELQENELNWGRRLTVISGVAQALDYLHNGCEKRVLHRDIKASNIMLDAEFNAKLGDFGLARIVKQREQTHHSTKDLAGTLGYMAPECFFTRRATAETDVYAFGVLVLEVACGRKTGNQNEKSDYNSRIVSWVWELHRIGRLLDAVDQKLNGEFSEEEMECVLILGLACCKPNPEQRPSMKIVLQVLTGEVHVPEVPAEMPAFVWPTMAAHVKQSDCSLIGSQLTPFSEVSGR >Manes.06G105100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23795693:23798224:1 gene:Manes.06G105100.v8.1 transcript:Manes.06G105100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPSGDIFTCTRPLIERRLRPQHHQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSKKSNDTTNQNPRSSSSSHSPTDLHLSFPAVQLSHLNNLLGTHQGTFANPAFMESKYNIAMLENPGPIDFMESKLEAIVGSSRNYDFMGNREMGMVSGLGEMNHHGLAPDFHDLRSPFGISIDGSNGTLMETCQRLMLPYDHQGNDEQNTVDVKPNAELLSLEWQDQGHSGGGKDTFGYVNNLGSWTGMMNGYGSSTTTNPMV >Manes.06G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23795693:23798224:1 gene:Manes.06G105100.v8.1 transcript:Manes.06G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSLQVCMDSTNWLQGTIHDESGMDSSSPSGDIFTCTRPLIERRLRPQHHQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSKKSNDTTNQNPRSSSSSHSPTDLHLSFPAVQLSHLNNLLGTHQGTFANPAFMESKYNIAMLENPGPIDFMESKLEAIVGSSRNYDFMGNREMGMVSGLGEMNHHGLAPDFHDLRSPFGISIDGSNGTLMETCQRLMLPYDHQGNDEQNTVDVKPNAELLSLEWQDQGHSGGGKDTFGYVNNLGSWTGMMNGYGSSTTTNPMV >Manes.05G129000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22257102:22259603:-1 gene:Manes.05G129000.v8.1 transcript:Manes.05G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEPTATGAGSRYIHQLLRPELHLQRPSISDQPSPDSKDNTSPQAKDHRAVDTDAAATSSGSNRRPRGRPPGSKNKPKPPIIVTRDSPNALRSHVLEVSTGSDIMESVSNYARKRGRGVCVLSGNGTVANVTLKQPASPAGSVVTLHGRFEILSLSGTVLPPPAPPGAGGLSIFLSGGQGQVVGGSVVGPLVASGPVVLMAASFANAVFERLPLDEEEGNVQVQSTASQSSGVTGGGGGQLGDGVGGGSGSGSGNGGAFFNMGGNVGNYPFSGDLFGWGGGSGARPPF >Manes.13G060000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6975239:6977185:1 gene:Manes.13G060000.v8.1 transcript:Manes.13G060000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLPAPSEGVLCVLLVNTALSISIFKGIVRSILHIVGIRLSSFSSSSSSTPSSDYSEDSSESFEFRLTPSDAYIKEFRNRSPATRFDAVSSCKQPENVCSVCLTEFEPESEINCLSCGHLFHKVCLEKWLDYWNVTCPLCRSPVMPEEEDTSSFF >Manes.13G060000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6975248:6977056:1 gene:Manes.13G060000.v8.1 transcript:Manes.13G060000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLPAPSEGVLCVLLVNTALSISIFKGIVRSILHIVGIRLSSFSSSSSSTPSSDYSEDSSESFEFRLTPSDAYIKEFRNRSPATRFDAVSSCKQPENVCSVCLTEFEPESEINCLSCGHLFHKVCLEKWLDYWNVTCPLCRSPVMPEEEDTSSFF >Manes.08G020900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2099863:2103123:-1 gene:Manes.08G020900.v8.1 transcript:Manes.08G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVKTPKKGRIKRELDKRAPKLVETGKKTLILQGTKTSSVLNSVLADLYHLKRDNAIRYTRKNDNIRPFESGGESSLEFFSQKTDCSIFVYGSTSKKRPNNIVIGRTYDHHIYDLVEVGVENFKPMSSFTYDKKVAPHIGSKPFIAFIGEGFESVDELKHLKEVLLDLLRGEVVENLNLIGLDRAYVCTAVSSNRVFLTHCAIRLKKSGTVVPRVELVEVGPSMDLVARRHRLPNESLRKEAMKTAKDRPKKKIKNVSADAVQGKVGKIYIPDQKIGDMALPDKSKGVKRERREAKMKNGDKDRAPKKQKEDSE >Manes.11G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25530979:25535890:1 gene:Manes.11G110500.v8.1 transcript:Manes.11G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICWGSPATNPTPTTTGHLSSAGISQTTSSTISSRISNTTSSRSTNTASSQGSNVSGNSRFSGRGSDEASSNGQILPTDNLRIFSFAELKAATKNFRPDTVLGEGGFGRVFKGWLDEKSTGKSGSGNVIAVKKLNSESLQGFEEWQTEVQFLGRLSHPNLVRLIGYCWEEEELLLVYEFMQKGSLENHLFGRGSTVQPLPWDIRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGSYTAKISDFGLAKFGPSASQSHVTTRVMGTYGYAAPEYVTTGHLYVKSDVYGFGVVLIEILTGLRALDQNRPSGRHNLVDWIKPYLYDRRKLKNIMDSRLEGKYPSKAALKIAQLALNCIESEHRHRPSMKEVVETLEKIEASDEIPREPKARSNRQQTQQNLQQRSPLHPRPDKNRAYQQPLRAR >Manes.12G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1919841:1923373:-1 gene:Manes.12G022200.v8.1 transcript:Manes.12G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWMMSENGGHYCSKKTDDICGDVCGQDSGRVLSMSRLRCILRGIDLKTMLFLFILVPTCVCVIYVHGQKISYFLRPLWEKPPRTFNEISHYYHENVSMENLCKLHGWKTREFPRRVYDAVLFSNELDILKIRWKELYPYVTQFILLESNSTFTGTEKLLYFANHRDEFKFVEPRLTYGTVGGRFRKGENPFVEEAYQRVALDQLIKVAGISDDDLLIMSDVDEIPSRHTINLLRWCDDIPSVLHLRLKNYLYSFEFLMDNNSWRASIHRYQSGKTRYAHYRQADDILADAGWHCSFCFRRISEFTFKMKAYSHYDRVRFKRFLNPERVQQKICEGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLENADKYKFLLPGNCMRESG >Manes.18G065800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5932072:5933982:-1 gene:Manes.18G065800.v8.1 transcript:Manes.18G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAIHCFLKLFLVISVFQVSFAARKLDELVQDQPQLLSYHNGPLLSGKISINLIWYGKFKPSQKAIISDFVTSLSAPSSQNVQPSVATWWKTTEKYYHLSSSKKSTLSIALGNQIIDETYSLGKSLTNKQIVQLASKGDQMNAVNVVLTASDVAVEGFCLNRCGTHGSASGSQTGHIKGKNYKFAYIWVGNSETQCPGYCAWPFHQPIYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYFQGPKEAPLEAASACPGVYGKGAYPGYAGNLLVDSTTGASYNANGVDGRKYLLPALYDPSTSSCSTLV >Manes.03G176800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29959669:29960184:1 gene:Manes.03G176800.v8.1 transcript:Manes.03G176800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRISLRPFNCSDVDEFLKWASDDRVTRYLRWNTIASREEALEYIEKVAIPHPWRQSICLDDRSIGYVSIRPESGDCRCRAPVGYAVSAEHWGQGIATIALKIAVSSVFKDFPDLVRLEALVEVENKGSQRVLEKVGFLKEGFLRKYGYCKGEIRDMFIYSFLSSDMFL >Manes.05G075950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6204365:6205157:1 gene:Manes.05G075950.v8.1 transcript:Manes.05G075950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLPGTWITDLTEISAYSYLKIFIYEIYETTYVERAKKIIHEETKGSVRVNKQQVMKR >Manes.17G005350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2435799:2436594:1 gene:Manes.17G005350.v8.1 transcript:Manes.17G005350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSVVMPKTAMALTIGASILGQVIGSRAERHRLKAVAERNSIAAETAIVAAVAATGYSTTQVSCSAGGQCGKEGMTWDPLYIKAA >Manes.17G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29283893:29285389:-1 gene:Manes.17G085600.v8.1 transcript:Manes.17G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGYNDLEKQKPLLQEHEEKHFTSSEIVRDIIIGVSDGLTVPFALAAGLSGANVTSSIILIAGIAEVAAGAISMGLGGYLAAKSEADHYTRELKREQEEIINVPDIEAAECGEILAGYGVEPHEYEPVVNALRRNPQHWLNFMMKFELGLEKPDPMRALQSALTIAISYIIGGLIPLSPYMIVPVAREAVVASIIVTILALLIFGFIKGHFTGDQSFKSAIQTAFIGAIASVAAYSIARVFRV >Manes.11G052200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6547267:6552464:1 gene:Manes.11G052200.v8.1 transcript:Manes.11G052200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVLSLQLKTLASHRSASAASRFASSNRAFISPSPSASLFHRYFTADAGAAVKKRVEDVMPIATGHEREELEAQLEGKDVLEINHPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFALEVVGPGGPPDGHGDDDHH >Manes.15G011550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1021308:1022767:1 gene:Manes.15G011550.v8.1 transcript:Manes.15G011550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGYAGIRFSNSLEFLPSTLQWRRRAPSCAKDPNVCLDPEKNPHAVTKDTLKDPNNCGACGQTCAYGLVCCNGREESNKVYNINSSLEENQKKVAIAFIWGMSQVLSGSS >Manes.09G101700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29985102:29993402:1 gene:Manes.09G101700.v8.1 transcript:Manes.09G101700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSHSPAALSGTSASDLLRSSSNGVSGIPLRTLGRARFSSKKRDCSVVAKIRKVKKQEYPWPDNPDPNVTGGILSHLSPFKPLKEKPKPVTLDFEKPLVDFEKKIIDVRKMANETGLDFTDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFSMTDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIISIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITATELSKLQIADGVIPEPLGGAHADPSWTSQQIKNAINEAMDELTKMDTQELLKHRMLKFRKIGGFQEGIPIDPERKINMKKKEEPVAGKTPVPELEGKVKKLKQKSSKAKKSSSKPTKLALNEIEKLKKEIDLEFSEAVKAMGYKDRFETLREEFLKANSRGQLMNPILMDKLEKLKNEFTQNLSAAPNYASLNHKLDMLKEFSKAKSISEKNLKAVKLKEEINKKLKYVIDRPDIQEKIEALKAEVQTAGASSEGELDGETKESILKMRKEIELELMNVLKSTGLDVEIVSAKAKKASELTPFLDFKAKMESLEEQTNQKIEDLINSSDLKNLIELLKLEVAKAGNKPSVATKNKIEALEQQIKQRLSAAINSSGLKEKHEELKVEISEAVGFAAGLDGSLKNDDAKEESSKRHESRVEINLGANRSFA >Manes.09G101700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29984926:29993402:1 gene:Manes.09G101700.v8.1 transcript:Manes.09G101700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSHSPAALSGTSASDLLRSSSNGVSGIPLRTLGRARFSSKKRDCSVVAKIRKVKKQEYPWPDNPDPNVTGGILSHLSPFKPLKEKPKPVTLDFEKPLVDFEKKIIDVRKMANETGLDFTDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFSMTDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIISIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITATELSKLQIADGVIPEPLGGAHADPSWTSQQIKNAINEAMDELTKMDTQELLKHRMLKFRKIGGFQEGIPIDPERKINMKKKEEPVAGKTPVPELEGKVKKLKQKSSKAKKSSSKPTKLALNEIEKLKKEIDLEFSEAVKAMGYKDRFETLREEFLKANSRGQLMNPILMDKLEKLKNEFTQNLSAAPNYASLNHKLDMLKEFSKAKSISEKNLKAVKLKEEINKKLKYVIDRPDIQEKIEALKAEVQTAGASSEGELDGETKESILKMRKEIELELMNVLKSTGLDVEIVSAKAKKASELTPFLDFKAKMESLEEQTNQKIEDLINSSDLKNLIELLKLEVAKAGNKPSVATKNKIEALEQQIKQRLSAAINSSGLKEKHEELKVEISEAVGFAAGLDGSLKNDDAKEESSKRHESRVEINLGANRSFA >Manes.09G101700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29985686:29993402:1 gene:Manes.09G101700.v8.1 transcript:Manes.09G101700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSHSPAALSGTSASDLLRSSSNGVSGIPLRTLGRARFSSKKRDCSVVAKIRKVKKQEYPWPDNPDPNVTGGILSHLSPFKPLKEKPKPVTLDFEKPLVDFEKKIIDVRKMANETGLDFTDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFSMTDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFIGQQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIISIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITATELSKLQIADGVIPEPLGGAHADPSWTSQQIKNAINEAMDELTKMDTQELLKHRMLKFRKIGGFQEGIPIDPERKINMKKKEEPVAGKTPVPELEGKVKKLKQKSSKAKKSSSKPTKLALNEIEKLKKEIDLEFSEAVKAMGYKDRFETLREEFLKANSRGQLMNPILMDKLEKLKNEFTQNLSAAPNYASLNHKLDMLKEFSKAKSISEKNLKAVKLKEEINKKLKYVIDRPDIQEKIEALKAEVQTAGASSEGELDGETKESILKMRKEIELELMNVLKSTGLDVEIVSAKAKKASELTPFLDFKAKMESLEEQTNQKIEDLINSSDLKNLIELLKLEVAKAGNKPSVATKNKIEALEQQIKQRLSAAINSSGLKEKHEELKVEISEAVGFAAGLDGSLKNDDAKEESSKRHESRVEINLGANRSFA >Manes.18G097100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8978147:8995019:1 gene:Manes.18G097100.v8.1 transcript:Manes.18G097100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGVEAKEAAVREVAKLLPLPELLQSIASIKADYIARQQANDAQLSTMVAEQVEQAQTGLEALASAQKTINELRENFISIEKLCQECQNLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEARNSLSDEKELVNTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDQTWETFEKTLWGHINNFFKLAKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGGGAMATVANPRSSAVKSTPKMAASKNLIQQKLKVQGKGYKDKCYEQIRKSVEGRFNRLLTELVFQDLKAALEEARTIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELSNIEILKVTGWVVEYQDNLIGLGVDESLAQVCSESGAMDPLMNAYVERMQATTRKWYLNILEADKVQPPKKTEDGKLYTPAAVDLFRILGEQVQIVRENSTDLMLYRISLAIIQVMIDFQAAERKRFEEPASDIGLEPLCAMINNNLRCYDLSMELSNNTIEALPQNYAEQVNFEDTCKGFLEVAKEAVHLTVRVIFEDPGVQELLVKLYQKEWCDGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYIDHLLTQRNYIKEETIERMRLDEEVIMDFFREYISVSKVESRVRILSDLRELASAESLDTFTLIYTNILEHQPDCPPEVVEKLVALREGIPRKDAKEVVQECKEIYENLLVDGNPPKSGFVFPRVKFLSASKGSLWRKLT >Manes.13G052700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6153248:6157023:1 gene:Manes.13G052700.v8.1 transcript:Manes.13G052700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFVSSPFLFLFLSVVLLISPAHSLNCTSQKFTNNKVFDNCTDLPTLDAYLHYTYNSSNSSLSIAFKAPPAETDGWVGWGVNLNGTGMAGAQALVALKNGTVVVVKKYNLASYTDIEETSKLTVDVWDLSAESDSTGNFVIFASVKVPAGPSVNQIWQVGPSVKDGFPEKHGFASANLQAMGKLELVASKSSGGKTTGGTVGAQSSTHLVGAQSSTHLAEEIADDHPTN >Manes.06G165000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29144417:29149856:1 gene:Manes.06G165000.v8.1 transcript:Manes.06G165000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGAVRPVMVSLKPLLPSFFPQKAPLFSAISRRVSLLSSSAWRAHSFTSRASNPLHNVSVEPCYLSCCMPDRRLRVAVLLSGGVDSSVALRLLHAAGHQCTAFYLKIWFQEDFENFWSECPWEEDLKYAKAVCDQVDVPLEVVHLSDEYWKYVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAINSMEFDYVASGHYANVIHPSADQINKPSVLELSKDMVKDQTYFLSHLSQTQLKRLIFPLGGISKDEVRNLAAQFDLPNKDRKDSQGICFLGKIKFSEFVARHIGEMEGIILEAETGDFLGSHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYFSFDKRRRLFRVGSLRWFSGLPPKQMHELQCKVRHGPGFYDCSVEIKSGNVGGEDVAVVHLCEDDQGLAAGQFAAFYKGKTCIGSGVILESWDDQGFPVCEKALELARMEDKSKLGKPVKIKVKTEIPEGSQGKEGIERNRLVAESQAAIMS >Manes.06G165000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29145231:29149856:1 gene:Manes.06G165000.v8.1 transcript:Manes.06G165000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVYFENFWSECPWEEDLKYAKAVCDQVDVPLEVVHLSDEYWKYVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAINSMEFDYVASGHYANVIHPSADQINKPSVLELSKDMVKDQTYFLSHLSQTQLKRLIFPLGGISKDEVRNLAAQFDLPNKDRKDSQGICFLGKIKFSEFVARHIGEMEGIILEAETGDFLGSHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYFSFDKRRRLFRVGSLRWFSGLPPKQMHELQCKVRHGPGFYDCSVEIKSGNVGGEDVAVVHLCEDDQGLAAGQFAAFYKGKTCIGSGVILESWDDQGFPVCEKALELARMEDKSKLGKPVKIKVKTEIPEGSQGKEGIERNRLVAESQAAIMS >Manes.06G165000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29144417:29149859:1 gene:Manes.06G165000.v8.1 transcript:Manes.06G165000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGAVRPVMVSLKPLLPSFFPQKAPLFSAISRRVSLLSSSAWRAHSFTSRASNPLHNVSVEPCYLSCCMPDRRLRVAVLLSGGVDSSVALRLLHAAGHQCTAFYLKIWFQEDFENFWSECPWEEDLKYAKAVCDQVDVPLEVVHLSDEYWKYVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAINSMEFDYVASGHYANVIHPSADQINKPSVLELSKDMVKDQTYFLSHLSQTQLKRLIFPLGGISKIKFSEFVARHIGEMEGIILEAETGDFLGSHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYFSFDKRRRLFRVGSLRWFSGLPPKQMHELQCKVRHGPGFYDCSVEIKSGNVGGEDVAVVHLCEDDQGLAAGQFAAFYKGKTCIGSGVILESWDDQGFPVCEKALELARMEDKSKLGKPVKIKVKTEIPEGSQGKEGIERNRLVAESQAAIMS >Manes.01G219200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38794395:38796317:-1 gene:Manes.01G219200.v8.1 transcript:Manes.01G219200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLNGVVPCSSLAVDSILRVGTAGGIWGSCIGPYEARKRGLSGAARASFVAKSIGKFSFQCGLVAGVFTFTHCGIQRYRKKNDWVNSVIAGAVAGAAVAAGTRSWPQVVWMAGLVSAFSAAADYSKTF >Manes.02G131600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9974295:9976085:-1 gene:Manes.02G131600.v8.1 transcript:Manes.02G131600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFVGLKGKPMIGKGHNKFWYFVLASFHLWFLLLYFYFSGLKIDYNHASLPRENSKNFYVRNSNSVNARGKETRDVARSSKDTNVTGNPIDNFFIGGDWDKYLDEMSKVNKELKQVNKEHLTENEAQSKEESDKAVGMEEKIEEVSDPSSDSDSGPCSGRYIYVHDLPSQFNDDLIKHCESLSEWTNMCFFMSNLGLGPRLGNSEKVFSNTGWYDTNQFMLEVIFHNRMKQYKCLTNDSSLASAIFVPYYAGLDVVRYLWNSHRSVRDFCSLNLVKWLRETPEWKRLWGRDHFLVAGRITWDFRRITKSADWGNRLMLLPESKNMTMLTIEASPWSQNDFAIPYPTYFHPSRDGEVFQWQHKMRRTKRRFLFSFAGGARPNLTNSIRGEIINQCLATRRRCKLLDCVSRSNGCYKPVYVMKIFQMSTFCLQPSGDSYTRRSTFDSILAGCIPVFFHPGSAYVQYLWHFPKDYTKYSVFIPANKVKKGKVSIERTLSRIPKAKVLAMRDQVIKLIPGVVYANPRSSLETLEDAFDITIDGVLEKVKKTRRDIKQGKDVSDNGEEFTWKKRLFGTVGKHEWDPFFDRS >Manes.11G008702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:926585:927931:1 gene:Manes.11G008702.v8.1 transcript:Manes.11G008702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGVRDSQCAANSVEINALGRFAVDEHNKKEAKEQVVAGTLHHLTIEAIEAGKKKLYEANVWVKPGLNFKELQEFKHAGDVDADGPGSGWKEVPAHDPAVQDAANHAVKTIQQRSNSLFLVGDDYAKFNMLLKVKRGSSEEKFKVEVHKKNEGTFLLNQMEPHA >Manes.14G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8106149:8112792:-1 gene:Manes.14G097700.v8.1 transcript:Manes.14G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPGGAAILPIKLHSHRPRPSSPFLGKKLSPRYSPRSIISAHRALSVVASGGEFFDSFHNFFLGVGVGLPCTVMECGDIIYRSTLPKSNGLTITAPGVALALAAVSYLWATPGVAPGFFDMFFLAFVERVIRPSLRKDDIVLGKKLGEGAFGVVYRVSSSKKPSSKREGDLVLKKATEYGAVEIWMNERVRRACASSCADFVYGFLERSSNKGGEYWLIWRFEGEATLYDLMQSKEFPYNVEALILREVQDLPRGLERENRIIQTIMRQLLFALDGLHSTGIVHRDIKPQNIIFSEGSRAFKIIDLGAAADLRVGINYIPNEFLLDPRYAAPEQYIMSTQTPSAPSPPVATILSPVLWQMNLPDRFDIYSAGLIFLQMAFPGLRTDSGLIQFNRQLKRCDYDLAAWRKSVEPRAGPELRRGFELLDLDGGIGWELLTSMVRYKARQRISAKAALAHPYFDQEGLLALSFMQKLRLQLFRATQQDYGEAANWTIQLMAKSGTEKEGGFTEAQLQDLREKEEPRQKASAQRNVLASALRLQRKIIRTLNESMDELSRRRKSVWWSRWIPREE >Manes.13G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8135109:8141903:-1 gene:Manes.13G064800.v8.1 transcript:Manes.13G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSWRRLSSPSRFHFIRYLSSSSCPLFDPPPPITPRRVVVTGLGMVTPLGCGVDATWRRLIEGKCGIRAITPDDLKMNNFDKETQLYTFEQLTSKVAAIVPCGTGPGEFNEELWLNSKEHRSISRFIGYALCAADEALKDAKWVPDEQEQKERTGVSIGGGIGSITDILDASQMICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAARMIQFGDSDVMVAGGTESSIDALSIAGFCRSRALTTKYNSMPLEASRPFDCDRDGFVIGEGSGVVVLEELEHARERGAKIYAEVRGYGMSGDAYHITQPHTDGRGAVLAMTRALKQSGLHPNQVDYINAHATSTPLGDAVEASAIKTIFCEHATSGALTFSSTKGAVGHLLGAAGSVEAIFSVLAIHHGIAPLTRNLAKPDPIFKDKFVPLSASKEMPVRAALSNSFGFGGTNTSLLFTSVL >Manes.13G064800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8136067:8141904:-1 gene:Manes.13G064800.v8.1 transcript:Manes.13G064800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSWRRLSSPSRFHFIRYLSSSSCPLFDPPPPITPRRVVVTGLGMVTPLGCGVDATWRRLIEGKCGIRAITPDDLKMNNFDKETQLYTFEQLTSKVAAIVPCGTGPGEFNEELWLNSKEHRSISRFIGYALCAADEALKDAKWVPDEQEQKERTGVSIGGGIGSITDILDASQMICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAARMIQFGDSDVMVAGGTESSIDALSIAGFCRSRALTTKYNSMPLEASRPFDCDRDGFVIGEGSGVVVLEELEHARERGAKIYAEVRGYGMSGDAYHITQPHTDGRGAVLAMTRALKQSGLHPNQVDYINAHATSTPLGKLVGPSHFTSLCKSRCIENAKLVLKLVYMWFLLRYYLIQVMLWKPVLSKLYSVNMQHQVP >Manes.13G064800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8135109:8141903:-1 gene:Manes.13G064800.v8.1 transcript:Manes.13G064800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSWRRLSSPSRFHFIRYLSSSSCPLFDPPPPITPRRVVVTGLGMVTPLGCGVDATWRRLIEGKCGIRAITPDDLKMNNFDKETQLYTFEQLTSKVAAIVPCGTGPGEFNEELWLNSKEHRSISRFIGYALCAADEALKDAKWVPDEQEQKERTGVSIGGGIGSITDILDASQMICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAARMIQFGDSDVMVAGGTESSIDALSIAGFCRSRALTTKYNSMPLEASRPFDCDRDGFVIGEGSGVVVLEELEHARERGAKIYAEVRGYGMSGDAYHITQPHTDGRGAVLAMTRALKQSGLHPNQVDYINAHATSTPLGDAVEASAIKTIFCEHATSGALTFSSTKGAVGHLLGAAGSVEAIFSVLAIHHHG >Manes.13G064800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8135109:8141903:-1 gene:Manes.13G064800.v8.1 transcript:Manes.13G064800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYGLILSTVLRSAMFSIKCTITICVSQEHRSISRFIGYALCAADEALKDAKWVPDEQEQKERTGVSIGGGIGSITDILDASQMICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAARMIQFGDSDVMVAGGTESSIDALSIAGFCRSRALTTKYNSMPLEASRPFDCDRDGFVIGEGSGVVVLEELEHARERGAKIYAEVRGYGMSGDAYHITQPHTDGRGAVLAMTRALKQSGLHPNQVDYINAHATSTPLGDAVEASAIKTIFCEHATSGALTFSSTKGAVGHLLGAAGSVEAIFSVLAIHHGIAPLTRNLAKPDPIFKDKFVPLSASKEMPVRAALSNSFGFGGTNTSLLFTSVL >Manes.13G064800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8135109:8141904:-1 gene:Manes.13G064800.v8.1 transcript:Manes.13G064800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSWRRLSSPSRFHFIRYLSSSSCPLFDPPPPITPRRVVVTGLGMVTPLGCGVDATWRRLIEGKCGIRAITPDDLKMNNFDKETQLYTFEQLTSKVAAIVPCGTGPGEFNEELWLNSKEHRSISRFIGYALCAADEALKDAKWVPDEQEQKERTGVSIGGGIGSITDILDASQMICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAARMIQFGDSDVMVAGGTESSIDALSIAGFCRSRALTTKYNSMPLEASRPFDCDRDGFVIGEGSGVVVLEELEHARERGAKIYAEVRGYGMSGDAYHITQPHTDGRGAVLAMTRALKQSGLHPNQVDYINAHATSTPLGKLVGPSHFTSLCKSRCIENAKLVLKLVYMWFLLRYYLIQVMLWKPVLSKLYSVNMQHQVP >Manes.05G038600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3179766:3180767:1 gene:Manes.05G038600.v8.1 transcript:Manes.05G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISASSSSSSPTVSKDLPPHYADAAVGFLIDMGISDEYHVKDLYSDLFRSLLKTDHVGRGHVSCVFSVLPAVANFYDGLHGGAIGAIAERVAIACARTVVAKDKELFLGELGISYLSAAPLTEVVVVDGSVVRSGRNLTVVAIEFRIKKSKKLLYIARATLYHMPIAKL >Manes.14G111700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12627587:12639674:-1 gene:Manes.14G111700.v8.1 transcript:Manes.14G111700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDEFGDLYTDVLQPFSSSSSSFPQPQQPSIAPSSIHRPIDLILQNHRNDVDDDEILHGVSGRNPPASSDQTLPNSLQNSIPTDNNSSTRPRVVSSSEVKLPNNASQVTNFQSDNQDKEVTFDIEEGNTGIIEDSGPMIPGLAVDTEDSRKDEADIDVGLAGGEVNEDWEEDSDSEDDLQIVLNDTNHGPMGMERGTMGDGDDDDDDEDGDPLVIVADGDPNQPIEEQEWGVGEDATTAAGAEGERKEGSEAAGKGNAVAGPKIGYSNHGYHHPFHSQFKYVRPGAAPMPGATSVGPGGAPGQVRPSINIASTAGRGRGDWRPPGIKNAPQIQKGYHPGFGMPVWGNNVAGRGFGGGLEFTLPSHKTIFDVDIDSFEEKPWKYPGVDISDFFNFGLNEESWKEYCKQLEQHRLETTMQSKIRVYESGRAEQEYDPDLPPELAAAAAGIHDIPTDNSKLVKSDVGQSDLTKGPARVRPPLPTGRAIQVETGYGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSPTGNDGLNGANSDPPRDDFRGSHVPEDDTAQAETEYYSDFPQGYNNRKGGRRAEYNDSGRNNIPEDDESLPFHPEAQSQYRGGSKGQPVSSGGDFGPPHEERRGPGRTSDISPRVTLSGTRDKRLPDNVEEDSTESMDGKHSPVVSSPTAVRDARELSLEDKDVAAPDEPVLAEGSPAMEKDEIPENEVTSNDSTRDANAHYSAKKQKLSSLVEQSALQEPDDGEDSKAARSSENSKARSGSSKDYQKWQDGIEEEVVQDGRARRPGSIRRHHDESEHNFRRKERDARQEMERNHMVIKGREGSYPRRDLDLSFAHHLHMRNDSYDRQKDRENPDGSWQRREEDPHSRKSRNEDTRKRERGDEMGSRHRNKIREGERSEREEHLHPRKQLDNGSYRIHHDKEGSSRRREREDNLKSRYDMVDDYHSKRRKDEEYLRRDHTDKEEILHGHRENTSRRRRERDDVLDPRKRDDQQRIRDNHDDYHSVRHKDEIWLQRERGERQREREELYRLKQSHEENLSKREKEEGRGSLRTGRGADDKAWVGQARAKDEYRGSDKEYQLKDAARNSEQQKRRDRMEEESYSHHRGRDDVYARGNQLSNEERRSRQERSSTRIDRAIDTPDYQRVHEKKHKDNTRKNKESEGGDHSTLGPSRRNQEDHSGHTDEMCSEKCTITRLGAKAQ >Manes.14G111700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12627587:12639674:-1 gene:Manes.14G111700.v8.1 transcript:Manes.14G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDEFGDLYTDVLQPFSSSSSSFPQPQQPSIAPSSIHRPIDLILQNHRNDVDDDEILHGVSGRNPPASSDQTLPNSLQNSIPTDNNSSTRPRVVSSSEVKLPNNASQVTNFQSDNQDKEVTFDIEEGNTGIIEDSGPMIPGLAVDTEDSRKDEADIDVGLAGGEVNEDWEEDSDSEDDLQIVLNDTNHGPMGMERGTMGDGDDDDDDEDGDPLVIVADGDPNQPIEEQEWGVGEDATTAAGAEGERKEGSEAAGKGNAVAGPKIGYSNHGYHHPFHSQFKYVRPGAAPMPGATSVGPGGAPGQVRPSINIASTAGRGRGDWRPPGIKNAPQIQKGYHPGFGMPVWGNNVAGRGFGGGLEFTLPSHKTIFDVDIDSFEEKPWKYPGVDISDFFNFGLNEESWKEYCKQLEQHRLETTMQSKIRVYESGRAEQEYDPDLPPELAAAAAGIHDIPTDNSKLVKSDVGQSDLTKGPARVRPPLPTGRAIQVETGYGERLPSIDTRPPRIRDSDAIIEIVLQDSLDDDSPTGNDGLNGANSDPPRDDFRGSHVPEDDTAQAETEYYSDFPQGYNNRKGGRRAEYNDSGRNNIPEDDESLPFHPEAQSQYRGGSKGQPVSSGGDFGPPHEERRGPGRTSDISPRVTLSGTRDKRLPDNVEEDSTESMDGKHSPVVSSPTAVRDARELSLEDKDVAAPDEPVLAEGSPAMEKDEIPENEVTSNDSTRDANAHYSAKKQKLSSLVEQSALQEPDDGEDSKAARSSENSKARSGSSKDYQKWQDGIEEEVVQDGRARRPGSIRRHHDESEHNFRRKERDARQEMERNHMVIKGREGSYPRRDLDLSFAHHLHMRNDSYDRQKDRENPDGSWQRREEDPHSRKSRNEDTRKRERGDEMGSRHRNKIREGERSEREEHLHPRKQLDNGSYRIHHDKEGSSRRREREDNLKSRYDMVDDYHSKRRKDEEYLRRDHTDKEEILHGHRENTSRRRRERDDVLDPRKRDDQQRIRDNHDDYHSVRHKDEIWLQRERGERQREREELYRLKQSHEENLSKREKEEGRGSLRTGRGADDKAWVGQARAKDEYRGSDKEYQLKDAARNSEQQKRRDRMEEESYSHHRGRDDVYARGNQLSNEERRSRQERSSTRIDRAIDTPDYQRVHEKKHKDNTRKNKESEGGDHSTLGPSRRNQEDHSGHTDEMGSKGVAEQGNVENDVTMQRNSSKKHKEDASSEDEQQDSRRGRSKLERWTSHKERDYSIGSKPSTSLTFKEIDSNNNSGSSEAKKLPSELPKRPQAIEKHSLAEGKDAAELENKDTDTKPLEDRHLDTVEKLKKRSERFKLPMPSEKDALAIKKMESEALPSVKTDTPVDTEIKPERPARKRRWISN >Manes.15G192700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31766800:31775949:-1 gene:Manes.15G192700.v8.1 transcript:Manes.15G192700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREEESWRELVRKMLPPGAPLPDDDTKLDYSIAIEYQGPPVPYKVPKVEPLDVSSHSIPTAEPLSESQRSAENPCTPVIEPIPLPVSCIAGITSSPTRSPRVSASSESEVSVLQNPDFSSASASASPGSVHIPSNHPPKQVANEGKRMPVVTFSTVDRSEKKDIDVEKPFYPDYVGVSKGKKKKKSRFCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEAIDESKRFKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGHWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVCALFSLPVPHGQPLGQRDEASNYTTVPNYLEQKKVQKLLLLGLQGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEALSRIKELGTQDDSETGEEVDSSETCQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPAIQATYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPSERDILYAEGVTKGNGLAFIEFSLDDRSPMSETYTDNMEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRAVVFCVALSDYDQMCLAPESGSNGSLLQNKMMQSKELFETMIRHPCFKNTPFVLILNKYDLFEEKVNRVHLSSCEWFNDFSPVQPHHNNQTLAHQAYYYVAMKFKDLYASLTGSKLFVWQARARDRVTIDEAFKYTREVLKWDEEKGDDYYGGVEDSFYSTDMSSSPFVRQE >Manes.15G192700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31766803:31776683:-1 gene:Manes.15G192700.v8.1 transcript:Manes.15G192700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREEESWRELVRKMLPPGAPLPDDDTKLDYSIAIEYQGPPVPYKVPKVEPLDVSSHSIPTAEPLSESQRSAENPCTPVIEPIPLPVSCIAGITSSPTRSPRVSASSESEVSVLQNPDFSSASASASPGSVHIPSNHPPKQVANEGKRMPVVTFSTVDRSEKKDIDVEKPFYPDYVGVSKGKKKKKSRFCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEAIDESKRFKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGHWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVCALFSLPVPHGQPLGQRDEASNYTTVPNYLEQKKVQKLLLLGLQGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEALSRIKELGTQDDSETGEEVDSSETCQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPAIQATYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPSERDILYAEGVTKGNGLAFIEFSLDDRSPMSETYTDNMEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRAVVFCVALSDYDQMCLAPESGSNGSLLQNKMMQSKELFETMIRHPCFKNTPFVLILNKYDLFEEKVNRVHLSSCEWFNDFSPVQPHHNNQTLAHQAYYYVAMKFKDLYASLTGSKLFVWQARARDRVTIDEAFKYTREVLKWDEEKGDDYYGGVEDSFYSTDMSSSPFVRQE >Manes.15G192700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31766803:31776683:-1 gene:Manes.15G192700.v8.1 transcript:Manes.15G192700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREEESWRELVRKMLPPGAPLPDDDTKLDYSIAIEYQGPPVPYKVPKVEPLDVSSHSIPTAEPLSESQRSAENPCTPVIEPIPLPVSCIAGITSSPTRSPRVSASSESEVSVLQNPDFSSASASASPGSVHIPSNHPPKQVANEGKRMPVVTFSTVDRSEKKDIDVEKPFYPDYVGVSKGKKKKKSRFCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEAIDESKRFKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGHWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVCALFSLPVPHGQPLGQRDEASNYTTVPNYLEQKKVQKLLLLGLQGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEALSRIKELGTQDDSETGEEVDSSETCQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPAIQATYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPSERDILYAEGVTKGNGLAFIEFSLDDRSPMSETYTDNMEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRAVVFCVALSDYDQMCLAPESGSNGSLLQNKMMQSKELFETMIRHPCFKNTPFVLILNKYDLFEEKVNRVHLSSCEWFNDFSPVQPHHNNQTLAHQAYYYVAMKFKDLYASLTGSKLFVWQARARDRVTIDEAFKYTREVLKWDEEKGDDYYGGVEDSFYSTDMSSSPFVRQE >Manes.15G192700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31766523:31776717:-1 gene:Manes.15G192700.v8.1 transcript:Manes.15G192700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREEESWRELVRKMLPPGAPLPDDDTKLDYSIAIEYQGPPVPYKVPKVEPLDVSSHSIPTAEPLSESQRSAENPCTPVIEPIPLPVSCIAGITSSPTRSPRVSASSESEVSVLQNPDFSSASASASPGSVHIPSNHPPKQVANEGKRMPVVTFSTVDRSEKKDIDVEKPFYPDYVGVSKGKKKKKSRFCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEAIDESKRFKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGHWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVCALFSLPVPHGQPLGQRDEASNYTTVPNYLEQKKVQKLLLLGLQGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEALSRIKELGTQDDSETGEEVDSSETCQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPAIQATYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPSERDILYAEGVTKGNGLAFIEFSLDDRSPMSETYTDNMEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRAVVFCVALSDYDQMCLAPESGSNGSLLQNKMMQSKELFETMIRHPCFKNTPFVLILNKYDLFEEKVNRVHLSSCEWFNDFSPVQPHHNNQTLAHQAYYYVAMKFKDLYASLTGSKLFVWQARARDRVTIDEAFKYTREVLKWDEEKGDDYYGGVEDSFYSTDMSSSPFVRQE >Manes.15G192700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31766523:31776715:-1 gene:Manes.15G192700.v8.1 transcript:Manes.15G192700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREEESWRELVRKMLPPGAPLPDDDTKLDYSIAIEYQGPPVPYKVPKVEPLDVSSHSIPTAEPLSESQRSAENPCTPVIEPIPLPVSCIAGITSSPTRSPRVSASSESEVSVLQNPDFSSASASASPGSVHIPSNHPPKQVANEGKRMPVVTFSTVDRSEKKDIDVEKPFYPDYVGVSKGKKKKKSRFCYRCGKGKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGEAIDESKRFKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGHWGKEGEKPDRIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVCALFSLPVPHGQPLGQRDEASNYTTVPNYLEQKKVQKLLLLGLQGSGTSTIFKQAKFLYGNKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEALSRIKELGTQDDSETGEEVDSSETCQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPAIQATYRRKDELHFLPDVAEYFLSRAVEVSSNEYEPSERDILYAEGVTKGNGLAFIEFSLDDRSPMSETYTDNMEAPPPPLTKYQLIRVNAKGMNEGCKWVEMFEDVRAVVFCVALSDYDQMCLAPESGSNGSLLQNKMMQSKELFETMIRHPCFKNTPFVLILNKYDLFEEKVNRVHLSSCEWFNDFSPVQPHHNNQTLAHQAYYYVAMKFKDLYASLTGSKLFVWQARARDRVTIDEAFKYTREVLKWDEEKGDDYYGGVEDSFYSTDMSSSPFVRQE >Manes.18G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1293570:1295276:-1 gene:Manes.18G010700.v8.1 transcript:Manes.18G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKKITLRSTDGETFEVDEAVALESQTIKHLIEDDCADNGIPLPNVTSKILSKVIEYCKKHVETPKSEDRPSSVDDELKAWDAEFVKVDQSTLFDLILAANYLNIKSLLDHTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Manes.01G187400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36413008:36413571:-1 gene:Manes.01G187400.v8.1 transcript:Manes.01G187400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSKRLRDEAQIEDVVVDETNKRHKSYNHILSLLEEEEDEPTQDLSSLITTLQQELSSESTFDDPFSCPTTATVQENPTTAVTTSVEDCPSSSSSSSSSSTLFKEDEEDDKERVIRHLLEASDDELGIPNREAVCSVEDVYGEALFSSGNEFNEVDGFSLCNGLWEFEDANANYYALLQSELFL >Manes.15G189400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30425415:30427805:-1 gene:Manes.15G189400.v8.1 transcript:Manes.15G189400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYLQSIKMKRKELELDDVSDDFSDFSLSSPARKIRRLDAELPPIMEEEEADIHPMLGVTSGSAGQAQELSSLGSGSNQEKAIVLFKPVNTNPLLHSPSNFSVSVDSNFFSGFKNQFLRMNSSANIKPVEEEEAAKECMAVVPWVPSQLPVVQCRDVSQAEAPELMEAEQVGEATMDIEENIGESIEQEHGNELSELRGTQGLPQWQQQHCMMPLPPHNASTPITWFR >Manes.01G027350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5721811:5722282:1 gene:Manes.01G027350.v8.1 transcript:Manes.01G027350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLGDYFFTQESPRALVCRVQSRKRENLRRRILIAISGGAETRAEPLRILCPKRIGRCFTVIHSAHYSGLTERGLRSHGCIFFAIEHLTTFDIQLIIEPYNPAVSQLIFEKIII >Manes.09G024872.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4993945:4997847:1 gene:Manes.09G024872.v8.1 transcript:Manes.09G024872.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVIGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLEKLKQVLYDAEDVVDEFECEALRRKVVKSGNTTPKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDKPVIHREREMTHSFVDASDVIGRDQARDNIIEMLQHVDGENVSIISIVGIGGLGKTTLAKLVYNDPRVATHFELTLWVCVSDVFELDKVIIKILTCADPGKKCMDMGIEQLQRSLREALNERKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNMSLLPHRDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWELIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYKLNEIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEYYGIVRCKMHDLIHDLALSITQNECSMFLDSTQQIAKSIRHVSFPHPESLPKDVPKSLQNLECMRTICFMNERGEGISIEMFIKTCCSRFQYLRVLDLSDSSFEELPASIGNLKHLKYLNLWMNSNIKRLPNSICKLQSLQTLLLCGCWNLQELPKDIRCMINLRFLCITTQQMYFPTGGIGCLKSLRFLSVTGCSNLENLFEDMQGLKKLRRLVISDCRRLISLPQSIKCLTTLDTLCIARCENLELRMEEGEETQFSLQRLELGRLPKIVDFPEWLIRGSTNSLKVLKVEGCNNLRELPNCLQNMASHPEVQIIRCPKLNNNPYKKQKRPVQAHH >Manes.07G056008.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8118696:8127716:1 gene:Manes.07G056008.v8.1 transcript:Manes.07G056008.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLFESLSGRSMSSSSSKSSSKKSTKNKEILLAPDLDTSLNNWELPPISSDLIYKSNFMYKSDYVIKTVEHVTLVHGDMQSLNLLSEELVKKHQEKYLFLHIGMIQVAVKPATRLGLNIAAMLCVRDKRHLKFNDSLLGVVESSLCDGPIFFQCYPNLILSLTDPHILQTLILDVKTMGYDMLPGSENLILIYRIHYKAMNTIVPNLRDKATKLISPKGTTTLFVTNMSKGNLIIPKSIQWDQVNLADSWILEEAAPPKKEESTTVQSRSLGGRRSYSGASLMPEPLPPWSSVYTPIQRSNSVIGVRRTEEQVANPVYEMPQSPTPSDMGYDTESVLFRSFKIMILEKKKHHSKNGSVWRFPIENRNYGGENMVCIRIRSRELSRVENQVSKIAAQPSGVDILPQKEKAESSDTKMEEKVLFKPMDSKSINIKLDKKEEMLEELTKRLAKLGLKEDTKKKSIVPLTMESEIEIEEKEKQNEEEELAQLESMLQEIEPAEVNRIKYPKAQATMDLKPYYPRPSPINLQYEDVSYNPVQVDGSSIIEWNIDGLSDYQIKNVFQYMTMHATACRAKGNDDPATARALISGFSGQLKGWWDFSVSNEGKAQIFNMNKQEGERQVPDVVNTLLYTIGLHFIGSVSMFTDGAQEQLINLRCPDLSHFKWYKDAFFSLVFTREDSQNNHNGNIPYHSYTYGELASEVVTAGILLCNELKIHKQMQKEQFYGKQILGSFCEQYGLPPLKFPPTKFTGGRRKEDNIRHRHQTKHFHRDKGGNSQLFKLVSRSGANKTSLFFVDFLDEDLEELELLDLPERDSNSLSILALDHCQRFTPNTPTPSVFLANGYATWSHKPKEKRKPNQGKAEKTIVCYRCGKVGHYANKCRVKQHIQALTIEEDLKEALAKILLNETDSEQEVVALNALDYTTEEEESSTEEDEEQKEGCEGNCDYYKSLCAMNGLLVLTREDNLILDLIDNIENPEKKREKLETYINLYKDKDSSTSTYNPIEKKIDSKQSPYDLKEILERVKNSKRQKEPTVVELRSKLNSVKIEIKELKERINILELLNEQQQLAIEEPEEEHSKAEVKGVNNLHYINMTDRVITHKWHTKITIVVHKEYLFETNALIDSGADLNCINEGLVLSKYFSKTVEELHIADGSKMTVRYKLKDTAIYNQGICFEIPFLMVKGLSHHVILGNPFLHMLYPIQQVTKEGISTVINRKVITFHFTAQPKVREIDVLKSTIESKTKFINSLKQEVVHKSIEERLKEPKIQQRIKIIQEAMLNSICAESPYAFWTRKKHVAIPTKARRIAMGPRHLEICKKEIADCPAFYVENAAELERGVPRLVINYKPLNKALRWVRYPLPNKRDLLNRLYEATIFSKFDMKSGYWQIQIVEEDKYKTVFTVPFGHYEWNVMPFGLKNAHSEFQKIMNEIFNAYSTFSIVYSPRFLGSERTQVDSNEGPNMLELNSKNIPQKPPKTPQNNHAKHTKEG >Manes.05G068900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5683657:5690200:1 gene:Manes.05G068900.v8.1 transcript:Manes.05G068900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSASGNSSPSEPTFKVNEKRNWAASPSKVIYHFTTSGISVAVATGVTHPLDVLKVRLQMQLVGQKGPLTGMGRLFVQLFKNEGPKALYLGLTPALTRSVLYGGLRLGLYEPSKYVCDLSFGSTNILVKIASGAFAGAIATALTNPVEVLKVRLQMNTNPSQGGPVAEMRRIVSEEGIRALWKGIGPAMARAAALTASQLATYDETKRVLIRWTPLEEGFHLHLLLCSQKALVHFTRGALPFLQDWVLKLQSHLYYVRNCASSLD >Manes.05G068900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5683657:5690200:1 gene:Manes.05G068900.v8.1 transcript:Manes.05G068900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSASGNSSPSEPTFKVNEKRNWAASPSKVIYHFTTSGISVAVATGVTHPLDVLKVRLQMQLVGQKGPLTGMGRLFVQLFKNEGPKALYLGLTPALTRSVLYGGLRLGLYEPSKYVCDLSFGSTNILVKIASGAFAGAIATALTNPVEVLKVRLQMNTNPSQGGPVAEMRRIVSEEGIRALWKGIGPAMARAAALTASQLATYDETKRVLIRWTPLEEGFHLHLLSSTVAGTVSTLVTAPMDMIKTRLMLQRESKRVQSYKNGFHCAYQVMLTEGSSALYKGGFAIFARLGPQTTITFILCEKLRKLAGLNAI >Manes.05G068900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5683657:5690200:1 gene:Manes.05G068900.v8.1 transcript:Manes.05G068900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSASGNSSPSEPTFKVNEKRNWAASPSKVIYHFTTSGISVAVATGVTHPLDVLKVRLQMQLVGQKGPLTGMGRLFVQLFKNEGPKALYLGLTPALTRSVLYGGLRLGLYEPSKYVCDLSFGSTNILVKIASGAFAGAIATALTNPVEVLKVRLQMNTNPSQGGPVAEMRRIVSEEGIRALWKGIGPAMARAAALTASQLATYDETKRVLIRWTPLEEGFHLHLLSSTVAGTVSTLVTAPMDMIKTRLMLQRESKRVQSYKNGFHCAYQVMLTEGSSALYKGGFAIFARLGPQTTITFILCEKLRKLAGLNAI >Manes.05G068900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5684142:5686655:1 gene:Manes.05G068900.v8.1 transcript:Manes.05G068900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSASGNSSPSEPTFKVNEKRNWAASPSKVIYHFTTSGISVAVATGVTHPLDVLKVRLQMQLVGQKGPLTGMGRLFVQLFKNEGPKALYLGLTPALTRSVLYGGLRLGLYEPSKYVCDLSFGSTNILVKIASGAFAGAIATALTNPVEVLKVRLQMNTNPSQGGPVAEMRRIVSEEGIRALWKGIGPAMARAAALTASQLATYDETKRVLIRWTPLEEGFHLHLLSSTVAGTVSTLVTAPMDMIKTRLMLQRESKRVQSYKNGFHCAYQA >Manes.02G113500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8753048:8753605:-1 gene:Manes.02G113500.v8.1 transcript:Manes.02G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLSPYALAALLLVLSLKATSAAHHHRHQLKSLHFSLYQHETINKTGYIIVNGVAGANLSQVALPFGTLFVFEDPMTVTANRTSKVVGIAEGTSITSSLDGLTSVSTAKITLNLKKHKGSISIVGGTHNVKPADHPVVGGTGDFLFVQGYVTSSPVDLVGITVVYKIEFHLYWPPYAEQVHPA >Manes.08G142800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37894030:37905099:1 gene:Manes.08G142800.v8.1 transcript:Manes.08G142800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQTGPRASLGSSARDDAAFQVKLEPSSSSLSVVPFKGRDSHHEVDEDMHLSLAHKMYKAGNYKQALEHSNAVYERSPLRTDNLLLLGAIYYQLHDYDMCIAKNEEALRIEPRFAECYGNMANAWKEKGDIDLAIRYYLVAIELRPNFVDAWSNLASAYMRKGRLNEAAQCCRQALALNPLLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFLESGDLNRALQYYKEAVKLKPTFPDAYLNLGNVYRALGMPQDAIVCYQRAVQTRPNYAVAYGNLASTYYERGQLDLAILHYKQAISCDGRFLEAYNNLGNALKDVGRVDEAIQCYTQCLALQPTHPQALTNLGNIYMEWNMVSTAASYYKATLAVTTGLSAPFNNLAVIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYVRAITIRPNMAEAHANLASAYKDSGHVEAAIKSYRQALHLRPDFPEATCNLLHTLQCVCSWEDRDKMFAEVEGIIRRQISMSILPSVQPFHAIAYPIDPMLALDISRKYAAHCSIIASRFGLPPFNHPPPILVKRDRSERLRIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRQRIQSEAEHFVDVSAMSSDMIAKLINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPIRYSHIYSEKLVHMPHCYFVNDYKQKNLDVLDPTCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRSYAVAQGVHPEQIIFTDVAMKHEHIRRSALADLFLDSPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVSSMKEYEERAVSLALNKPKLQALTNKLKAVRMTCPLFDTARWVRNLERAYFKMWNIHCSGQQPQHFKVTERDSEFPYDR >Manes.08G142800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37894066:37905099:1 gene:Manes.08G142800.v8.1 transcript:Manes.08G142800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQTGPRASLGSSARDDAAFQVKLEPSSSSLSVVPFKGRDSHHEVDEDMHLSLAHKMYKAGNYKQALEHSNAVYERSPLRTDNLLLLGAIYYQLHDYDMCIAKNEEALRIEPRFAECYGNMANAWKEKGDIDLAIRYYLVAIELRPNFVDAWSNLASAYMRKGRLNEAAQCCRQALALNPLLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFLESGDLNRALQYYKEAVKLKPTFPDAYLNLGNVYRALGMPQDAIVCYQRAVQTRPNYAVAYGNLASTYYERGQLDLAILHYKQAISCDGRFLEAYNNLGNALKDVGRVDEAIQCYTQCLALQPTHPQALTNLGNIYMEWNMVSTAASYYKATLAVTTGLSAPFNNLAVIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYVRAITIRPNMAEAHANLASAYKDSGHVEAAIKSYRQALHLRPDFPEATCNLLHTLQCVCSWEDRDKMFAEVEGIIRRQISMSILPSVQPFHAIAYPIDPMLALDISRKYAAHCSIIASRFGLPPFNHPPPILVKRDRSERLRIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRQRIQSEAEHFVDVSAMSSDMIAKLINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPIRYSHIYSEKLVHMPHCYFVNDYKQKNLDVLDPTCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRSYAVAQGVHPEQIIFTDVAMKHEHIRRSALADLFLDSPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVSSMKEYEERAVSLALNKPKLQALTNKLKAVRMTCPLFDTARWVRNLERAYFKMWNIHCSGQQPQHFKVTERDSEFPYDR >Manes.13G136600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34465729:34467969:1 gene:Manes.13G136600.v8.1 transcript:Manes.13G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMKPHVTLLASPGMGHLIPVLELGKRLVSDHGFIATIFVVTTNTSLSQSQLLKSPIPQLLEIVLLPPVDISALINPSTGILTQLAIMMRQALPSLRQAISAMRFRPTALIVDLFGTEAFAIAEELNMLKYVFITSTAWFLALTIHLPALDAKVVEEEHVKNHEPLLIPGCSSLRFDDTFEPVLDRNNQMYVEYIRMGIEMPTADGILVNTWQDLEPKTLGALGDNMKLGWVTQAPVYPIGPLIRPAEPGLSSEVLAWLDMQPQQSVVYVSFGSGGTLSAKQTTELAWGLELSEQRFIWVVRPPVEDDAAAAVFKTGKRSDDTPDFLPDCFLTRTQKTGLVILKWAPQAEILSHPSVGGFLSHCGWNSTLESIANGVPMIAWPLYAEQRMNAAMLTEDIGVAVRSTANPSEVVGREEIESMIREIMEKKGNARRARAEALKLSGENALRKGASSYNSLSHVANDCVMSLKHLKAKAHGG >Manes.17G058050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25694334:25696217:1 gene:Manes.17G058050.v8.1 transcript:Manes.17G058050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSNNTLRGTLPSSLSSLNLSWNALTGTIPPQISALNKLSILDLSHNELEGDLMALAGLENLVSLNISHNNFTGYLPDNKLFQQLSETEMAGNQGLCSKGRDSCFLRKATSMSMSNNSKLKRSHRLKLVIALLITLTIAMAVFGAIAVFRARKLMRDDCESEMGGDSWPWHFTPFQKLNFSVEQVLKCLVEANVIGKGYSGIVYRAELENGEVIAVKKLWPTTMAAEKDSQNDRLDIRGVRDSFSTEVKTLGSIRHKNIVRFLGCCWNRNTRLLIYNYMPNGSLGSLLHERSGGCLEWEEEDREEKWVF >Manes.10G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29759936:29763564:-1 gene:Manes.10G132200.v8.1 transcript:Manes.10G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRAVSLTKTKKKGREHKETIVNSIREAVESYDSIYVFTFENMRNLKFKEFREQLKSTSRFFLGSNKVMQVSLGRSVADEIRPGIHKVSKLLRGDAGLFLTKLPKEEVERLFNEYEEYDFARTGSIATEKMELKEGPLEQFTHEMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKHLSPESARILRLLGIKMATFRLHLICRWSPEDFELYREGQDESDVESA >Manes.15G143300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11744663:11746667:-1 gene:Manes.15G143300.v8.1 transcript:Manes.15G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKQISIFPILLLLALSSTQLATPFPSAYSQLDYYFYDSSCPRLEMIVKYGVWAAIQNETRIAASLLRLHFHDCFVNGCDASILLDDTDKLKGEKNARPNRNSARGFEIIESIKADVERACPSTVSCADIVALVAREAVVLSGGPFWYVPLGRRDGLTASQQAANQNLPGPFDSLQNLTAKFIAKGLDVKDLVVLSGAHTIGFAQCFTFKSRLFNFKGSGKPDPRLDSSSLTNLQGMCPNKDTSNSNLAPLDSSTIYSFDNSYYTNLVSNSVLLESDQALMDDDTTAALVNSYSAYPYLFSNDFAASMVKMGKVGVLTGENGEIRKKCGSVN >Manes.09G095600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857742:28862223:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGEIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTEDGQVYCWGWNKYGQVDPDILLTEINSQWPTALFDRDPFFVYHCTELQLGLGDSMDRNIPSRVSIESCRPKNVACGWWHTLLLTETPR >Manes.09G095600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857742:28862224:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTGITLRILEFPIRGNIRYFLYITRNLDRSFSNMKIYIRECHL >Manes.09G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857727:28862593:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGEIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTEDGQVYCWGWNKYGQLGLGDSMDRNIPSRVSIESCRPKNVACGWWHTLLLTETPR >Manes.09G095600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857742:28862594:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTEDGQVYCWGWNKYGQLGLGDSMDRNIPSRVSIESCRPKNVACGWWHTLLLTETPR >Manes.09G095600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857742:28862224:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGEIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTGITLRILEFPIRGNIRYFLYITRNLDRSFSNMKIYIRECHL >Manes.09G095600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857742:28862582:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTEDGQVYCWGWNKYGQLGLGDSMDRNIPSRVSIESCRPKNVACGWWHTLLLTETPR >Manes.09G095600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857742:28862223:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTEDGQVYCWGWNKYGQVDPDILLTEINSQWPTALFDRDPFFVYHCTELQLGLGDSMDRNIPSRVSIESCRPKNVACGWWHTLLLTETPR >Manes.09G095600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857742:28862582:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGEIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTEDGQVYCWGWNKYGQLGLGDSMDRNIPSRVSIESCRPKNVACGWWHTLLLTETPR >Manes.09G095600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857727:28862593:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTEDGQVYCWGWNKYGQLGLGDSMDRNIPSRVSIESCRPKNVACGWWHTLLLTETPR >Manes.09G095600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28857742:28862594:1 gene:Manes.09G095600.v8.1 transcript:Manes.09G095600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKETAVYMCGYLPGVYPEKSPILSPVPVPLPASSTHCGDLWKDVCGGGCGFAMAISGSGKFITWGSTDDEGQSYMTSGKHGEIPEPFPLPHEASVVKAAAGGAHCVSVTATGEVYTWGWKECVPSVKIIHVSAAAESFQKDNNEKQNALPTEQGRTVSQSDNRKAEEESIKKRKVSTNKEEFENLSSGDDLFTVAPSIVTLGPGVRITNVAAGGRHTLALSDMGQVWGWGYGGEGQLGLGSRVKMVASPHLIPCLDTSVSREDQSLIVPQGSLSKFPGNYVKEIACGGRHSAVVTDAGALFTFGWGLYGQCGQGSTNDQLRPTNVPSLSEIQVERVAAGLWHTICITANGRVYAFGGNQFGQLGTGADQAETQPTQLDASILESKCAKLVSCGARHSAILTEDGQVYCWGWNKYGQLGLGDSMDRNIPSRVSIESCRPKNVACGWWHTLLLTETPR >Manes.14G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7934617:7936225:-1 gene:Manes.14G095500.v8.1 transcript:Manes.14G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTNCSFCRATYLFNPTLRPHQPINSLSGANFFKPISSPSSYPNFSLHRQKISRTKGAYRLVEVACLVDDNSETYPEAEPSYSNTGANIDIKLPRRSLLVQFSCNQCGERTERLINRLAYERGLVYVQCAGCEQYHKLADNLGLAIEYNLMEDETSESD >Manes.13G090600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22205629:22216204:1 gene:Manes.13G090600.v8.1 transcript:Manes.13G090600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVDGKFLDSESPTPHSTMKMGLRDRSSSSMEDPDGILASVAQCIEQLRQSSASVQEKEYSLRQLLELIETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIHAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSVEGQIAAAKTLYAVSQGGARDHVGSKIFSTEGVVPVLWELLQKGLKTDNLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSGTQANVCFLLACMMMEDETICSKILAEQATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKEARREIANSNGIPALINATIAPSKESMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDNNAESTRASDPVIIEQTLVKQFNPRLPFLVQECTIEALASLYGNAILSIKLANCEAKQLLVGLITMATNEVQDELIRALLTLCNSEGSLWHALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSELPESKVYVLDALRSMLSVVPLSDILREGSAANDAIETVVKLLSSTKEESQAKSASTLAGIFEVRKDLRESVIAVKTLWSVMKLLNVESENILVESSHCLAAIFLSIKENRDVAAVARDALSSLVMLTNSSALEVAEKATCALANLILDGEASEKAVPEEIILPATRVLREGTESGKTHAAAAIARLLHSRRIDYAITDCVNRAGSILALVSFLESANGGSVASSEALDALAILSRSEGANGHIKPAWAVLAEFPRSITPIVSSIADATPLLQDKAIEIVSRLCRDQPVVLGDTIIAATGCISSVARRVINSTNKKVKIGGSALLICAAKVSHQRVMEDLNQSNSCAYLIQSLVVMLNSAEPSTLGTQGDDGKEVISIYRHTTEAAGNGESNTGTAVIYGYNLAIWLLSVLACHDERSKTVIMEAGAVEVLTDRISHCLQYSQTDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPVLANLLKSEEAANRYFAAQAIASLVCNGSRGTLLSVANSGAPGGLISLLGCADVDISDLLEISEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLAKDCPQNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARFSAAKALESLFSTDHIRNADNARQAVKPLVEILNTGVEKEQHAASAALIRLLSENPSRALAVADVEMNAVDVLCRILSSNSSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVSEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGRNFMLHEAISRALVKLGKDRPACKMEMVKAGVIEGILDILHEAPDFLCASFAELLRILTNNASIAKGPSASKVVEPLFLLLTRPEFGPEGQHSALQVLVNILEHPQCRTDYNLTANQAIEPLIPLLDSLAPAVQQLAAELLSHLLLEEHLQKDPVTQQVIGPLVRVLGSGIHILQQRAVKALLSIALTWPNEIAKEGGVSELSKVILHADLSLPHALWESAASVLASILQFSSEFYLEVPIAVLVRLLHSGSESTTIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGAVNDEYLKSLNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDSLFLMRQAWSACPAEVSRAQSLAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEWDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNKPTNNDAPTD >Manes.13G090600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22205629:22216204:1 gene:Manes.13G090600.v8.1 transcript:Manes.13G090600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVDGKFLDSESPTPHSTMKMGLRDRSSSSMEDPDGILASVAQCIEQLRQSSASVQEKEYSLRQLLELIETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIHAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSVEGQIAAAKTLYAVSQGGARDHVGSKIFSTEGVVPVLWELLQKGLKTDNLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSGTQANVCFLLACMMMEDETICSKILAEQATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKEARREIANSNGIPALINATIAPSKESMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDNNAESTRASDPVIIEQTLVKQFNPRLPFLVQECTIEALASLYGNAILSIKLANCEAKQLLVGLITMATNEVQDELIRALLTLCNSEGSLWHALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSELPESKVYVLDALRSMLSVVPLSDILREGSAANDAIETVVKLLSSTKEESQAKSASTLAGIFEVRKDLRESVIAVKTLWSVMKLLNVESENILVESSHCLAAIFLSIKENRDVAAVARDALSSLVMLTNSSALEVAEKATCALANLILDGEASEKAVPEEIILPATRVLREGTESGKTHAAAAIARLLHSRRIDYAITDCVNRAGSILALVSFLESANGGSVASSEALDALAILSRSEGANGHIKPAWAVLAEFPRSITPIVSSIADATPLLQDKAIEIVSRLCRDQPVVLGDTIIAATGCISSVARRVINSTNKKVKIGGSALLICAAKVSHQRVMEDLNQSNSCAYLIQSLVVMLNSAEPSTLGTQGDDGKEVISIYRHTTEAAGNGESNTGTAVIYGYNLAIWLLSVLACHDERSKTVIMEAGAVEVLTDRISHCLQYSQTDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPVLANLLKSEEAANRYFAAQAIASLVCNGSRGTLLSVANSGAPGGLISLLGCADVDISDLLEISEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLAKDCPQNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARFSAAKALESLFSTDHIRNADNARQAVKPLVEILNTGVEKEQHAASAALIRLLSENPSRALAVADVEMNAVDVLCRILSSNSSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVSEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGRNFMLHEAISRALVKLGKDRPACKMEMVKAGVIEGILDILHEAPDFLCASFAELLRILTNNASIAKGPSASKVVEPLFLLLTRPEFGPEGQHSALQVLVNILEHPQCRTDYNLTANQAIEPLIPLLDSLAPAVQQLAAELLSHLLLEEHLQKDPVTQQVIGPLVRVLGSGIHILQQRAVKALLSIALTWPNEIAKEGGVSELSKVILHADLSLPHALWESAASVLASILQFSSEFYLEVPIAVLVRLLHSGSESTTIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGAVNDEYLKSLNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDSLFLMRQAWSACPAEVSRAQSLAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEWDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNKPTNNDAPTD >Manes.13G090600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22205629:22216204:1 gene:Manes.13G090600.v8.1 transcript:Manes.13G090600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVDGKFLDSESPTPHSTMKMGLRDRSSSSMEDPDGILASVAQCIEQLRQSSASVQEKEYSLRQLLELIETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIHAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSVEGQIAAAKTLYAVSQGGARDHVGSKIFSTEGVVPVLWELLQKGLKTDNLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSGTQANVCFLLACMMMEDETICSKILAEQATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKEARREIANSNGIPALINATIAPSKESMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDNNAESTRASDPVIIEQTLVKQFNPRLPFLVQECTIEALASLYGNAILSIKLANCEAKQLLVGLITMATNEVQDELIRALLTLCNSEGSLWHALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSELPESKVYVLDALRSMLSVVPLSDILREGSAANDAIETVVKLLSSTKEESQAKSASTLAGIFEVRKDLRESVIAVKTLWSVMKLLNVESENILVESSHCLAAIFLSIKENRDVAAVARDALSSLVMLTNSSALEVAEKATCALANLILDGEASEKAVPEEIILPATRVLREGTESGKTHAAAAIARLLHSRRIDYAITDCVNRAGSILALVSFLESANGGSVASSEALDALAILSRSEGANGHIKPAWAVLAEFPRSITPIVSSIADATPLLQDKAIEIVSRLCRDQPVVLGDTIIAATGCISSVARRVINSTNKKVKIGGSALLICAAKVSHQRVMEDLNQSNSCAYLIQSLVVMLNSAEPSTLGTQGDDGKEVISIYRHTTEAAGNGESNTGTAVIYGYNLAIWLLSVLACHDERSKTVIMEAGAVEVLTDRISHCLQYSQTDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPVLANLLKSEEAANRYFAAQAIASLVCNGSRGTLLSVANSGAPGGLISLLGCADVDISDLLEISEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLAKDCPQNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARFSAAKALESLFSTDHIRNADNARQAVKPLVEILNTGVEKEQHAASAALIRLLSENPSRALAVADVEMNAVDVLCRILSSNSSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVSEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGRNFMLHEAISRALVKLGKDRPACKMEMVKAGVIEGILDILHEAPDFLCASFAELLRILTNNASIAKGPSASKVVEPLFLLLTRPEFGPEGQHSALQVLVNILEHPQCRTDYNLTANQAIEPLIPLLDSLAPAVQQLAAELLSHLLLEEHLQKDPVTQQVIGPLVRVLGSGIHILQQRAVKALLSIALTWPNEIAKEGGVSELSKVILHADLSLPHALWESAASVLASILQFSSEFYLEVPIAVLVRLLHSGSESTTIGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGAVNDEYLKSLNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDSLFLMRQAWSACPAEVSRAQSLAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEWDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNKPTNNDAPTD >Manes.15G124000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9878626:9879744:1 gene:Manes.15G124000.v8.1 transcript:Manes.15G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAKKTSGLRMASSVAINSEPPTSKAMNQFDNPYEIVRHLASSNAVVLFSMSGCCMCTVAKRLLFGLGVGPTIIELDHHSAGADIQAVLFQLASDGQQPVPAIFVGGKFLGGIETLMACHINGTLVPLLKDAGALWL >Manes.12G089674.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:15349796:15353561:1 gene:Manes.12G089674.v8.1 transcript:Manes.12G089674.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAEEAINENDCNIEDKLPVEIYCSSDTLVGSNDGPCNGFDCNICMDSVEDPVVTLCGHLYCWPCIYKWLHLQSISSENEDLQMQQQCPVCKAEVSKETLIPIFGGGQITKPSKSKVPNIGIIIPRRPFGLACGFDSPRSSFRSSTPSPRQQIYHGGYLHSPSMLSPSEATINMYNPMIGMFGEMIYGRVFGNSITNIYGHPGSYNIAGSNSPRMRRRILEVDKSLSRICFFLFCCVFLCFLSF >Manes.05G140700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23950881:23958797:1 gene:Manes.05G140700.v8.1 transcript:Manes.05G140700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYSNDGSSTSNISGESSDATVELNIKTLDSQIYSFQVDKNMLVAAFKEKIANEIGVPVGQQRLIFRGKVLKDEHHLSEYQVENGHTLHLVARQPTQSQPSTDTSSGDTNANNGTRGNDPSAGTPQNRVGQISHSVVLGTFNVGDQGEGIVPDLTRVIGAVLNSFGVGGQTAAHSIGGMQSSTMPNFSGQTPQGNETADALRSNVGGQSHVGNQTQSGQAFPGQPFQSLPQVMQIPVTAAVPVPSLRLPIPDSLSTLSEFMTRMEQALAQNGYQPNTSSSSTRDAPRVELPSNVQGLQALTVILRHAEQLLGGHVVTALSHIAECLERDGASSDPSIRGQIQTESAQVGLAMQHVGSLLLELGRTILTLRMGQSPADSSVNPGPAVYISPSGPNPLMVQPFPLQANSIFGGSALQPNPTNFSHVGIGSAPRNVNIHIHAGTSLAPVVSAIGTRASNGEGTQGERGNGTGSGGSGSVRVLPVRNVIAAAVPSHSTGAAVSVSNAAQPGLGVSISQPQSDPTSLSSVIAEVNSRLRNLVGTMQGENQHASGSVSSGASNGASSEQPSSMVINGAGESAVTLPVLTSEGDDQKNQNDHVRGSNEEATESLLSSNDVSSCSVGCSNGETSLKSKESSKNAPCSSEKPEVPEGAQAVPLGLGMGSLERKSKTRQPKSVVRSEPSGNHGTSNAPVSQNLNTGMIGQQLLQSLASRSSGTNRVGANEMHSGQGPPSLGRNPENIPLGEQGSVSQFDSSSVMSQVIHSPALNGLLAGVSEQTGVGSPNVLRNMLQQLTQNPQIMSTVSQIAQQVESQDLGDMFSGLGSGQGSGIDLSRMVQQMMPVVSQVLGRGSSTQPFSPVEPEPQLQYNESRSSENEKPNVQIDLQEVAHRIEHSDAPGDIFRAVAENAGRLNGNESRSLDIVHELSNNADLVNDYMEMLQRDIHQLLQSDPVNDES >Manes.05G140700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23949560:23958797:1 gene:Manes.05G140700.v8.1 transcript:Manes.05G140700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYSNDGSSTSNISGESSDATVELNIKTLDSQIYSFQVDKNMLVAAFKEKIANEIGVPVGQQRLIFRGKVLKDEHHLSEYQVENGHTLHLVARQPTQSQPSTDTSSGDTNANNGTRGNDPSAGTPQNRVGQISHSVVLGTFNVGDQGEGIVPDLTRVIGAVLNSFGVGGQTAAHSIGGMQSSTMPNFSGQTPQGNETADALRSNVGGQSHVGNQTQSGQAFPGQPFQSLPQVMQIPVTAAVPVPSLRLPIPDSLSTLSEFMTRMEQALAQNGYQPNTSSSSTRDAPRVELPSNVQGLQALTVILRHAEQLLGGHVVTALSHIAECLERDGASSDPSIRGQIQTESAQVGLAMQHVGSLLLELGRTILTLRMGQSPADSSVNPGPAVYISPSGPNPLMVQPFPLQANSIFGGSALQPNPTNFSHVGIGSAPRNVNIHIHAGTSLAPVVSAIGTRASNGEGTQGERGNGTGSGGSGSVRVLPVRNVIAAAVPSHSTGAAVSVSNAAQPGLGVSISQPQSDPTSLSSVIAEVNSRLRNLVGTMQGENQHASGSVSSGASNGASSEQPSSMVINGAGESAVTLPVLTSEGDDQKNQNDHVRGSNEEATESLLSSNDVSSCSVGCSNGETSLKSKESSKNAPCSSEKPEVPEGAQAVPLGLGMGSLERKSKTRQPKSVVRSEPSGNHGTSNAPVSQNLNTGMIGQQLLQSLASRSSGTNRVGANEMHSGQGPPSLGRNPENIPLGEQGSVSQFDSSSVMSQVIHSPALNGLLAGVSEQTGVGSPNVLRNMLQQLTQNPQIMSTVSQIAQQVESQDLGDMFSGLGSGQGSGIDLSRMVQQMMPVVSQVLGRGSSTQPFSPVEPEPQLQYNESRSSENEKPNVQIDLQEVAHRIEHSDAPGDIFRAVAENAGRLNGNESRSLDIVHELSNNADLVNDYMEMLQRDIHQLLQSDPVNDES >Manes.05G140700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23949560:23958797:1 gene:Manes.05G140700.v8.1 transcript:Manes.05G140700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYSNDGSSTSNISGESSDATVELNIKTLDSQIYSFQVDKNMLVAAFKEKIANEIGVPVGQQRLIFRGKVLKDEHHLSEYQVENGHTLHLVARQPTQSQPSTDTSSGDTNANNGTRGNDPSAGTPQNRVGQISHSVVLGTFNVGDQGEGIVPDLTRVIGAVLNSFGVGGQTAAHSIGGMQSSTMPNFSGQTPQGNETADALRSNVGGQSHVGNQTQSGQAFPGQPFQSLPQVMQIPVTAAVPVPSLRLPIPDSLSTLSEFMTRMEQALAQNGYQPNTSSSSTRDAPRVELPSNVQGLQALTVILRHAEQLLGGHVVTALSHIAECLERDGASSDPSIRGQIQTESAQVGLAMQHVGSLLLELGRTILTLRMGQSPADSSVNPGPAVYISPSGPNPLMVQPFPLQANSIFGGSALQPNPTNFSHVGIGSAPRNVNIHIHAGTSLAPVVSAIGTRASNGEGTQGERGNGTGSGGSGSVRVLPVRNVIAAAVPSHSTGAAVSVSNAAQPGLGVSISQPQSDPTSLSSVIAEVNSRLRNLVGTMQGENQHASGSVSSGASNGASSEQPSSMVINGAGESAVTLPVLTSEGDDQKNQNDHVRGSNEEATESLLSSNDVSSCSVGCSNGETSLKSKESSKNAPCSSEKPEVPEGAQAVPLGLGMGSLERKSKTRQPKSVVRSEPSGNHGTSNAPVSQNLNTGMIGQQLLQSLASRSSGTNRVGANEMHSGQGPPSLGRNPENIPLGEQGVSEQTGVGSPNVLRNMLQQLTQNPQIMSTVSQIAQQVESQDLGDMFSGLGSGQGSGIDLSRMVQQMMPVVSQVLGRGSSTQPFSPVEPEPQLQYNESRSSENEKPNVQIDLQEVAHRIEHSDAPGDIFRAVAENAGRLNGNESRSLDIVHELSNNADLVNDYMEMLQRDIHQLLQSDPVNDES >Manes.05G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23949560:23958797:1 gene:Manes.05G140700.v8.1 transcript:Manes.05G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQYSNDGSSTSNISGESSDATVELNIKTLDSQIYSFQVDKNMLVAAFKEKIANEIGVPVGQQRLIFRGKVLKDEHHLSEYQVENGHTLHLVARQPTQSQPSTDTSSGDTNANNGTRGNDPSAGTPQNRVGQISHSVVLGTFNVGDQGEGIVPDLTRVIGAVLNSFGVGGQTAAHSIGGMQSSTMPNFSGQTPQGNETADALRSNVGGQSHVGNQTQSGQAFPGQPFQSLPQVMQIPVTAAVPVPSLRLPIPDSLSTLSEFMTRMEQALAQNGYQPNTSSSSTRDAPRVELPSNVQGLQALTVILRHAEQLLGGHVVTALSHIAECLERDGASSDPSIRGQIQTESAQVGLAMQHVGSLLLELGRTILTLRMGQSPADSSVNPGPAVYISPSGPNPLMVQPFPLQANSIFGGSALQPNPTNFSHVGIGSAPRNVNIHIHAAIGTRASNGEGTQGERGNGTGSGGSGSVRVLPVRNVIAAAVPSHSTGAAVSVSNAAQPGLGVSISQPQSDPTSLSSVIAEVNSRLRNLVGTMQGENQHASGSVSSGASNGASSEQPSSMVINGAGESAVTLPVLTSEGDDQKNQNDHVRGSNEEATESLLSSNDVSSCSVGCSNGETSLKSKESSKNAPCSSEKPEVPEGAQAVPLGLGMGSLERKSKTRQPKSVVRSEPSGNHGTSNAPVSQNLNTGMIGQQLLQSLASRSSGTNRVGANEMHSGQGPPSLGRNPENIPLGEQGSVSQFDSSSVMSQVIHSPALNGLLAGVSEQTGVGSPNVLRNMLQQLTQNPQIMSTVSQIAQQVESQDLGDMFSGLGSGQGSGIDLSRMVQQMMPVVSQVLGRGSSTQPFSPVEPEPQLQYNESRSSENEKPNVQIDLQEVAHRIEHSDAPGDIFRAVAENAGRLNGNESRSLDIVHELSNNADLVNDYMEMLQRDIHQLLQSDPVNDES >Manes.14G133100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10631600:10635139:1 gene:Manes.14G133100.v8.1 transcript:Manes.14G133100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNYSGDDEFYLGGSFRTNPIDLGQLYSIGAHVDIYSPPCKRARISSPFLYGISDLEQNKRPSIDVLPDECLFEIFRRISGGKERSVCASVSKRWLMLLSSIRRSEICKTNSTASDSEVTVSVSDEIEMVSCDEDGEICSDGYLTRSLEGKKATDMRLAAISVGTSGHGGLGKLFIRGSNSVRGVTNLGLSAIAHGCPSLKALSLWDVPSVGDEGLFEVAEECHLLEKLDLCNCPSISNKGLIAIAENCPNLISLNVESCPKIGNEGLQAIGKFCPKLQSISIKDCPLVGDNGVSSLLSSSTSALSKVKLQALNITDFSLAVIGHYGKAVTNLVLSSLQYVSEKGLWVMGNARGLQKLLSLTVSSCRGITDVSLEAIAKGCANLKHMCLRKCCFVSDNGLVSFVKAAGSLESLQLEECNRVSQSGIVGAVSNCGTKLKALSLVKCVGIRDVPSGMLASSPCSSLRSLSIRNCPGFGSASLALVGKLCPQLQHLDLSGLCAITDAGLLPLLESCEVGLVKVNLSGCMSLTDRVVSSLARLHGGTLELLNLEGCRKITDASLVSIAENCLFLSDLDMSKCTVTDSGIAVLSSADHLNLQVLSLSGCSEVSNKSFPFLKKLGRTLVGLNLQNCNSISSSAAELLVESLWRCDILS >Manes.08G052200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5564828:5571333:1 gene:Manes.08G052200.v8.1 transcript:Manes.08G052200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIETMNVHQNGWSIHNGNGLEEKLDELRSLLGKADGDPLRIVGVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGVPSTETSEVFEEISHYWMERITMPIIISLAKGIEAALQPVPHIITPTQMINRATGVSMENVLYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGDSISGKGMIQGVSAVKAFYELLSQPSLSVLHPDGKKPVAPVELCPILKTLYKILISREHSSQAILQALRDETLNDPRERIEIAQSHAFYRPSLLGQP >Manes.08G052200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5564873:5570952:1 gene:Manes.08G052200.v8.1 transcript:Manes.08G052200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIETMNVHQNGWSIHNGNGLEEKLDELRSLLGKADGDPLRIVGVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGVPSTETSEVFEEISHYWMERITMPIIISLAKGIEAALQPVPHIITPTQMINRATGVSMENVLYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGDSISGKGMIQGVSAVKAFYELLSQPSLSVLHPDGKKPVAPVELCPILKTLYKILISREHSSQAILQALRDETLNDPRERIEIAQSHAFYRPSLLGQP >Manes.S029552.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2411513:2412325:1 gene:Manes.S029552.v8.1 transcript:Manes.S029552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G099300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33188040:33193905:-1 gene:Manes.08G099300.v8.1 transcript:Manes.08G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSGNPPSTPDSPTSAGFNTDQLPPNTSRTSENLTDDDEASVDPEIIRDEPEEPEEEEEGEDLYNDNFLDDYRRMDEHDQYESVGLDDSLEDERDLDQIMQDRRAADVELDARDARLTDRKLPRLLHDHDTDDDNYRPSKRSRADFRPPASHRSYDDTDGMQSSPGRSQQGHSREDVPMTDQTDDYPYEDEDGDEGEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNGHGDFEYVRLINEMVSANKCSLEIDYKQFIYVHPNIAIWLADAPQSVLEVMEEVARNVVFSLHPNYKNIHQKIYVRVTNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVIEANYVTKKQDLFSAYKLTQEDKEEIEKLAKDPRIGEMIIKSVAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDILCVVKDVVDPVADEMLAKFVVDSHFKSQPKGTNIDDRSLNESQDDIQASARPTDPEILPQVLLKKYITYAKLNIFPRLHDSDMEKLTQVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVTEEDVDMAIRVLLNSFISTQKYGVQRALQKSFRKYITYKMDYNRMLLNLLQELVNRALRFEEIMSGSISGLAHVEVKVEDLQSMAEERGISDLNPFFSSNDFSAANFELDNDRRVIKHKLPRH >Manes.13G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4274127:4278004:1 gene:Manes.13G034800.v8.1 transcript:Manes.13G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVHLIAKNLPGCGPSEGWYLPCSGISGKAVAVSHSFSHGSHRIHSHIKFGSIKCAAGASSFVCRASSGGPRRNSDFSKQSKQGFSRNRNRPNEERDSFENLDESDLLSSKNGPLLSFSNTPKFQSTAAPGPREKEIVELFRKVQARLRERAAVKEEKKVETSKGKGKESETVDSLLKLLRKHSIEQGKKKVSSQDFAVDQQEQRGSHSEGKSKVFLNRNNKERSGVLEPNSSSFTRPPSNFRRKSPVPRGKFQPVYSNEDPANSTPYLNLNGEEKKKLEEIPDAVQQTELEAEEAELELEQEPESSFPNGDVFNELSEEESSNIIDAEADSDIQEEIEHEDLSSLKLPELRAIAKSRGVKGFSKMKKSELVELLSGGVV >Manes.09G164600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35839535:35840845:-1 gene:Manes.09G164600.v8.1 transcript:Manes.09G164600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSSSSLLLLLIFFAFSFVVFASELHESEPFRVRPSIRPSQKLVLPVRKDGATNLHVATVLKRTPQVPLKLLVDLNGRFLSVECDEEYQSSTYFAPRCHSTQCSRAHSHTCYSCSSSSVRPGCHNNTCALTTVNPVSQETDIGELAQDALSIRTIRDKSAIDPSAPGPTVTVPQFLFVCARRLLNLVPKYVQGVAGLGHTSIALPTQLASHFGFRPNFVLCLANSLRSPGFVVFGEDPYTLAPNYVSPRLHYAPLSVGRQGEYYIQQLSQAGRAQPVGPFRVCFDSRRIPNTIAGPGVPRVDFVVGDQSVAWTLFGANTMAVVHPLVYCLAFIDGGTNPADPIVIGAHQLEENLVHFDLHQSRVGFSSSLLNQRTNCSATSYRNRPGNP >Manes.09G070442.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11523180:11523503:-1 gene:Manes.09G070442.v8.1 transcript:Manes.09G070442.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFLKSEGVYLWDIIENGPFFPTRVIYGNQKQKPKSEWSELEKRRVALNDKAIHILFCALSRNEYNKVCMKSTAKEIWDALVVTHEGTNQVKENKMESLIYQYELF >Manes.08G090411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30198836:30201101:1 gene:Manes.08G090411.v8.1 transcript:Manes.08G090411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRHPKNLVLSRDNMHAIFDALRAGRSVPEVAAEVAQVASSKKVSRPPSKASSRDPKLSSRGSKSSRPSSRGKSALTIKPIEESKSAQAELSRTRRVLQESDERTAEVEVRCVEVLKQLSSMTAALQERDEAVSQRDEVQCQYEALKADSEGLQVRLNEVNAQKERALARVELNHEVRTLERKCSALLEVVKHAEGKVLLERERCLAEYQESEELKRKIELACEAHLQDYKDSPELKAFIAEACETHLDEYLASADMKAAVLRKAFRMYVTGYNRGIREARHAPDTPLAKLRMAEVDSDGEPVLYGEDDFPMPRGDCRVGGPSAVSSEEESESDGEDLGALESEGGDPDSEGKDLNPELEIAPVVDTKTSDPKDAGLPPDTVVNKHNVGEDVLTNVSPLRTIFPSTSSDK >Manes.09G113900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31597674:31609202:-1 gene:Manes.09G113900.v8.1 transcript:Manes.09G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAFSSKLHFLRGGIRLYDTVGWKSSFAPRMSFSDSPNSLEMIWMLNVSSSCLKTRCFGVGVPRSTIEVVDDRVVEDEEKQQEAGRVLRVGLICGGPSAERGISLNSARSVLDHIQGEDLHVSCYYIDYELNAYAISSAQLYSNTPADFDFKLESLALGFSSLSEFAEHLAASVDIVFPVIHGRFGEDGGIQELLEKYNIPFVGTGSKECRQAFDKHYASLELSKQGFITVPSFLVKGSKTDESELSKWFASNQLDPNSGKVVVKPAVAGSSIGVTVAYGVADSLKKANDLILEGVDDKVLVEIFLEGGSEFTAIVFDVGSGFDCHPVVLLPTEVELQFHGNVDVREKDAIFNYRRKYLPTQQVAYHTPPRFPVDVIRKIREGASLLFQRLHLRDFARIDGWFLPPSSNVFLTSDGKFGRTDFGPVLFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIIHHACLRFPNLVSKNSISNHLPRRSKSFQETETFRKREGTRKVFVIFGGDTSERQVSLMSGTNVWLNLQAFNDLDVTPCLLAPSNGSSSDASSRAVWSLPYSLVLRHTTEEVLDACIEAIEPARAALTSHLRNQVTNDLMEGLKKHSWFKGFDIADDLPTRFSLEEWIKLAKEVEATVFIAVHGGIGEDGTLQSLLEAEGVPYTGPGVAASKTCMDKVATSLALRNLADQGVLTINKEVCKKEDLLNKPALEFWNNLTSTLQCETLCVKPARDGCSTGVARLCCADDLVVYAKALRDCLLRIPPDSLSKAHGMIEMPSPPPELLIFEPFIETDEIVFSSKSVSETAHCLMWKGHSRWVEITVGVIGKCGSMHSLSPSITVKESGAILSLEEKFQGGTGINLTPPPTSIVSNEALENCKHHIELIANTLQLEGFSRIDAFLNVDSGEVLVIEVNTVPGMTPSTVLVHQALAEQPPMYPHRFFRKLLDLALERSK >Manes.05G150102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25379920:25381833:-1 gene:Manes.05G150102.v8.1 transcript:Manes.05G150102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIFLLLLPIFLLFLLKIHIRKPRLPPGPKGLPLAGNLFQLDNSNIQKHLWQLSKQYGPLMSLRLGFKQTLIVSSAKMAKEVLKTQDLEFCSRPSLLGLQRLSYNGLDLAFAPYDAYWREMRKICVVYLFNSNRVQGFRPIREDEVSRMLENILKVADASKPVNLTEAMMALTSAAICRVAFGKRFEEGGNEAKRFHELLNETQAMFVGFFFSDYFPYIGRIVDKFSGLLSRLEKNFHDFDAFYQELIDEHLDPKRHKPQHEDILDVLLQLWRDRSFKVQLTFEHIKAILMNVFVAGTDTSAAAVIWAMSFLMKNPKTMKKVQDEIRSLIGKRGFVDEDDIQQLPYLKAVVKEMMRLQPTVPLLVPRETVHKCTLGEYEIAEKTLVYVNAWAIGRDPEAWEKPLEFRPERFLDTCIDMKGQDYELIPFGAGRRICPGIFMGIANVELSLANLLYKFDWEMPDGMKREDIDTDNVLPGIAVHKREHLCLMAKKYI >Manes.08G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35083497:35086247:-1 gene:Manes.08G111300.v8.1 transcript:Manes.08G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDFQKNRVQILIFIVGIVALSITADKCRQLVGEDSSSQSGKFTIFNCFDMSTGTLACAVKEGVKLYFYNIRSSHVEKARTRAIEHALLDALGQGMSAKDAAKQAQTEGAKAAKLAIRQARRIIGPIVSSGWDFFEAVYYGGTITEGFLRGSGTLFGAYTGGFLGEAKLGRPGYLVGSHLGSWVGGRIGLMVYDVVNGVHLLLQIFQGVGEVHEKLESFVSEDSEVHEKIESFVSEDGKVHENLESFVSEDSNVYEPPAYTSSAVSEDSNSYESPPYESSESYENSEFR >Manes.15G183710.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29378223:29381386:1 gene:Manes.15G183710.v8.1 transcript:Manes.15G183710.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSQRAIDEEVESHAPSEAAAPAATPPPAAAGGLGQDALFHQIAELLRRVTQNVPEVPPPPVAVQVPPPVVARPPIEKLRKYGATDFRGKKEDDPSAAEFWLESTERVLQQLQCSPVESLMCAVSLLKDEAYRWWTTLTQMVRPERQTWEFFLSEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCKRFEQGLHADIRMYLTAMHIRELSVLVETAHSLERIKEEEQSRRQKGQQKRSQSQYQRQSSASQTSSKRQREFQQTGQRGPPRQIQRPGQSSVVRSGQQTTSVSSTRGPDRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVPPIQTERSLPTGSRGRGRGRGESNSAQSHRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAGEGTSKGKEIARD >Manes.18G013000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1468996:1472307:1 gene:Manes.18G013000.v8.1 transcript:Manes.18G013000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPFLMTWNGVQLRRTSWQDGVEGTNCPIPPGKNWTYSFQVKDQIGSFFYYPSLLLQKSAGGYGAIRINNPFDVPIPFPQPFEDFDLLIGDWYNADYQDLRTSLDNGEILPSPDGILINGLPPYQAVLDFEPGVTYRLRISNVGLRTSLNFRIYDHMLLLVETEGSYTVKQYLDSLDIHVGQSYSVLVTAKNVSGESYYMVASSRFTDFELFGIGIIRYPDSAGVPDGPLPVGPFFRDYQFSVDQARSIRWDLSVGAARPNPQGSYHYGSINVTRTLVLENGVMFNGNKKAFTINGVSFLQPDTPLKLADYFQISDVFSPGVIPDIPNSNYPPVFGTSVIYANQRDFYHIVFQNPAEFLQSWHLDGYNFFVVGMDAGMWDESKIATYNMIDAVSRSTVQVYPFSWTSILVMLDNRGMWNLRSQDAVNSYLGQQLYIRVEGPEKDPSEISARDESPIPQNALLCGKAVYP >Manes.12G097020.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23097683:23098150:-1 gene:Manes.12G097020.v8.1 transcript:Manes.12G097020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVLQSHAHGLKMIGYIEKLARLGFVMDHELSVDLVLQPLPPSFTQFIMNFNMHKLDAELLELVSMFVTAKKSLKKEKQNKKKANTTLKPTRGVKKDKGIKKDKGICHHCLKEGHWRRNCKEYLAIVKARKLGEAST >Manes.03G081700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15061939:15070064:-1 gene:Manes.03G081700.v8.1 transcript:Manes.03G081700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPAAAPPPPPAAAAAPPPPPVHHPSPVLVDNSNRGPTHDELRTIFITGLPEDVKERELQNLLRWLPGYEASQVNFKGEKPMGFALFSTAQLAIAAKDALQDMVFDAESKSVLHTEMAKKNLFVKRGIVADSSVYDQSKRLRTGGDYSHSAYTAPSPFHPPPAPVWGPHGYMAHAPPPYDPYGGYPVPQVPMPTPAPMPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKILRQERHTVCFIEFEDVNSATNVHLSFQGAVIPSSGSVGMRIQYSKNPFGKRKDGSHAAASPSANGAPPAITYQ >Manes.03G081700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15065053:15070064:-1 gene:Manes.03G081700.v8.1 transcript:Manes.03G081700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPAAAPPPPPAAAAAPPPPPVHHPSPVLVDNSNRGPTHDELRTIFITGLPEDVKERELQNLLRWLPGYEASQVNFKGEKPMGFALFSTAQLAIAAKDALQDMVFDAESKSVLHTEMAKKNLFVKRGIVADSSVYDQSKRLRTGGDYSHSAYTAPSPFHPPPAPVWGPHGYMAHAPPPYDPYGGYPVPQVPMPTPAPMPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKILRQERHTVCFIEFEDVNSATNVHLSFQGAVIPSSGSVGMRIQYPF >Manes.03G081700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15061939:15070064:-1 gene:Manes.03G081700.v8.1 transcript:Manes.03G081700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPAAAPPPPPAAAAAPPPPPVHHPSPVLVDNSNRGPTHDELRTIFITGLPEDVKERELQNLLRWLPGYEASQVNFKGEKPMGFALFSTAQLAIAAKDALQDMVFDAESKSVLHTEMAKKNLFVKRGIVADSSVYDQSKRLRTGGDYSHSAYTAPSPFHPPPAPVWGPHGYMAHAPPPYDPYGGYPVPQVPMPTPAPMPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKILRQERHTVCFIEFEDVNSATNVHLSFQGAVIPSSGSVGMRIQYSKNPFGKRKDGSHAAASPSANGAPPAITYQ >Manes.03G081700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15061939:15070064:-1 gene:Manes.03G081700.v8.1 transcript:Manes.03G081700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPAAAPPPPPAAAAAPPPPPVHHPSPVLVDNSNRGPTHDELRTIFITGLPEDVKERELQNLLRWLPGYEASQVNFKGEKPMGFALFSTAQLAIAAKDALQDMVFDAESKSVLHTEMAKKNLFVKRGIVADSSVYDQSKRLRTGGDYSHSAYTAPSPFHPPPAPVWGPHGYMAHAPPPYDPYGGYPVPQVPMPTPAPMPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEEVRGLFSVQPGFKQMKILRQERHTVCFIEFEDVNSATNVHLSFQGAVIPSSGSVGMRIQYSKNPFGKRKDGSHAAASPSANGAPPAITYQ >Manes.17G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30111645:30113249:-1 gene:Manes.17G092800.v8.1 transcript:Manes.17G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVHNVLTSPAKAAAVVFAVLLLSTVCQAQLTSTFYDNSCPNALSTIRTSIRNSIAAERRMAASLIRLHFHDCFVQGCDASILLEETPTIESEQTALPNKDSARGYRVIEKAKSEVEKICPGVVSCADILAVAARDASAYVGGPSYTVRLGRRDSTTASRTLANSQLPSFKDGLDRLISSFQNKGLSPRDLVALSGAHTLGHAQCFTFRDRIYSNVSIDAGFASTRKRTCPAVGGDANLAPFDLVTPNSFDNNYFKNLIQLKGLLESDQVLFSGGSTDSIVREYSRSPAAFNSDFATAMIKMGNIDILTGTAGEIRKICSAIN >Manes.16G098000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30385267:30389026:1 gene:Manes.16G098000.v8.1 transcript:Manes.16G098000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVSALLYGVGGIVVAGMALLVAFQERLVYVPVLPGLTKSYPITPARLRLTYEDVWLRSSDGVRLHAWFIKLFPECRGPTILFFQENAGNIAHRLEMIRIMIQRLQCNVFMLSYRGYGASDGYPSQQGITKDSQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLTTNNPDKVAGLILENTFTSILDMAGVLLPFLKWFIGSRSTHSNGPKILNFLVRSPWSTIDVVSQIRQPILFLSGLQDEMVPPSHMALLYAKAAAHNKECIFVEFPTGMHMDTWLAGGDHYWRTIQQFLEKHVSEKKEHESYNTDQGIPFASENLLKPSLGKGIENGI >Manes.11G134050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29843063:29843926:1 gene:Manes.11G134050.v8.1 transcript:Manes.11G134050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHQQRQKPRPADHEAPQPHDRHHQQEHQILCCQLMPDQQTTSDISDDHAGEWISSLDYSRLTSFLEFDDSFLSPWNWNSDFLFVENGEKQRMMFLQGSFFSHYSYN >Manes.06G076400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21258519:21287781:-1 gene:Manes.06G076400.v8.1 transcript:Manes.06G076400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVDQDQQWLLNCLTATLDPNQEVRSFAEASLNEASLQPGFGGALSKVAANRELSLGLRQLAAVLLKQFIKKHWQEGEDTFEHPVVSMEEKEVIRRLLLASLDDSHRKICTAISMAIASIATYEWPEGWPDLLPSLLKLITDQTNMNRVHGALRCLALLSGDLDDTMVPTLVPVLFPCLHTIVSSPQIYNNYLRAKALSIVYSCTSMLGTMSGVYKTETSALMTTMLKPWMDQFSTILGQSVQPEDPDDWSMRMEVLKCLNQFVQNFPSLTESEFVVVVGPLWQTFVTSLRVYVRSSVEGTEDPYEGSYDSDGVEISLDSFVIQLFEFLLTVVGSAKLMKVVRNNIKELVYYTIAFLQMTEQQVHTWSRDANQFVADEDDATYSCRVSGVLLLEEVVNSFGGEGTNAIMDAVRERFNESRQEKAAGSLVWWRMRESTLFALASLSDQLLEAEASGLTNISLGNLVEQMITEDVGTVLLFCCAGVHEYSFLYARIFTSVAKLSTVISHGVLEHYLSAAIRAVDMDVPPPVKVGACRALSQLLPEANKGLVQPHMMSLFTSLANLLRQASEETLHLVLETLQAAIKAAHEASAVVESIVSPVILHTWALHISDPFISIDAIDVLEAIKNSPGCIRPLVSRILPDIGPILNNPYQQPDGLVAGSLDLVTMLLKNAPNDVVKAVYDVCFNDVIRIVLQSEDHSEMQNATECLAAFVSSGRQEILAWAADAGFTMRSLLDAASRLLDPDLESSGSLFVGRFILQLILHLPLQMAQHIRDLVAALVKRMQSAQIAGLRSSLLLIFARLVHMSVPNIEQFIDMLISIPAEGYENSFVYVLSEWTKQQVEIQGAYQIKVSTTALALLLSTRHAELGKVNVRGHLLKSNAGITTRSKAKLAPDQWTVVPLPEKIMALLADVLIEIQEQVTVGDEEESDWEEIQGDMESDKNLFSAASTSCGRTTYDQLEAMAQAFSENQEDDDEDDDDDLFHVADPLNEINLASYLAEFFAKFSHSDRGLFDHLCQGLTQAQQNAIRTVVDR >Manes.13G094300.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006379:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006407:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006408:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLGNVPIIKLGHLFSLELALGNETLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26989129:26994150:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIEILLVVGKIFKQFSLGKMLIYIGYIVCRTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006418:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006408:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLGNVPIIKLGHLFSLELALGNETLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006392:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006408:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLGNVPIIKLGHLFSLELALGNETLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988783:27006409:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006393:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26989129:26994150:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIEILLVVGKIFKQFSLGKMLIYIGYIVCRTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006407:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006407:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006407:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLGNVPIIKLGHLFSLELALGNETLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006408:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLGNVPIIKLGHLFSLELALGNETLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006407:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006404:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988783:27006417:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006405:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLTLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006418:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLMLDSNHLNGQIPERLFQIPKYKYEQCFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.13G094300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26988784:27006406:-1 gene:Manes.13G094300.v8.1 transcript:Manes.13G094300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMKLIFGALLLACLYHLVLSNLQGDALYELKLSLGAPVNQLTDWNPNHVDPCTWSNVYCDNNAQVTSITLSGMNFSGTLSPKVGILKTLTTLGNVPIIKLGHLFSLELALGNETLKGNGITGAIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGDLKRLQFLTLSQNNLTGAIPESLSGLQNLINIMLDSNHLNGQIPERLFQIPKYNFTGNRLNCGRNFPQLCESDNGDSGASNKPKIGIIVGIVGGTIILLVLVGLLFFVCKGRQKGYKREMFVDVAGEVDGRITFGQLKRYAYRELQLATDSFNEKNVLGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRDRKPEEPVLDWATRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRTTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKGLDAIVDRNLNENYGMQEVEMMIQVALLCTQASPEDRPVMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFEWGEDSLYQQVAIGLSGGR >Manes.09G009201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2010051:2011654:1 gene:Manes.09G009201.v8.1 transcript:Manes.09G009201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPDCGTCLLSAKGHRGVLFIYLFIFLNGGNSLDKSLTRPKCRYKSKLTQGIHPKRVISVFITKVYFPRGLELPYASLEGNYQNLSKNCWKLSEMLKKIRNFIKDLMVVGSPSAVQWVGWSLSVILFLC >Manes.05G012004.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:829802:831308:1 gene:Manes.05G012004.v8.1 transcript:Manes.05G012004.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKPLSFPSNLRYATKPQLPLLCRLFFSTNPTSVDQDVEVVYRIITSSSSPEDLKQSINSSGIFLNNDLIDKVLKRVRFGHGNPLQALEFFKFTANRKGFYHTPNPLDTMLYILGRSRQFDHIWDVLIKIVAQVCSVRQTVESFRRFKELVPVFDTISFNALLRTLCQEKTMADARNVYHTLKKEFRPNLQAFNMLLSGWKSSEEAESFFEEMKELGVKPDVVSYNSLIDVYCKGREMEKAYKVLEKMREEDISPVITYTSIIGVLGLIGQPDKARDVLKEMKEYGCYPDVAAYNAAIRNYCIAKRLGDASSLMEEMVSQGFSPNATTYNLFFRVFYWSNDLRRSWSLYRRMMDAGCLPNSQSCMFLVRLFKKHEKVDLALLLWNDMVEKDFGSYTLVYDVLFDLLLFLADDWKGQKPSNVAFRRIKILMELANKHDGLQNLSEKMAIFGSSIQVPKGEEHVDRTLCYRLS >Manes.02G215425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36022321:36043319:-1 gene:Manes.02G215425.v8.1 transcript:Manes.02G215425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKLVVDPIVSKVFELLVNPVVRQINYVFNYSANIHNLEEEVEKLSHAKQRVKHTVEEAGRNPLKQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGVREGGNFPRVSYCAPPQGIVAVKECEAFESRTSVVDEILNALKDADVNLIGVYGMGGVGKTTLVKRIATLVRELGIFKLVVIATVTHSVVVTSVQQEIAEWLDFKLGAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSESKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEQLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEDIRDLLKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDGGHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFLLLNQDPSLKISGNLFSKMKELKVLDLTKINLSPLPSSLQSLENLQTLCLHFCDLEDTAAIGELKQLQVLSLVGSTIVRLPNEVRKLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLERFRVFIGDGWDWANDEHETSKSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLRVQNSLDIQYIIDWMKMNHFIAFPKLESLFLHNLNNLEKIYQEPYSVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCKIIQVIVAKEGEDDEECELTQLRSLTLENLPRFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNEKIRFPNLADMKLVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVWFPKLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGKQLLEIFPSKLLEKFLVNLESVTVRHCDSVKEVFDLQAIIKEREAHVVRHSQLRTLEIQNLPNFIQIWNRDPHGILSFYNLREVYAWNCPSLKKLLPFSVAQCLPHLEFLSIGRCGMEEIVTKEERAEALAIIPKFVFRGLKAMFLWGLDELKYFYSGKHTLECPQLKHLSVNLCGKLQTFNFESQEIEEMLMDKQEDELKLQIPQPLFSCREIIGNLKRLAINDQDAAMIQQGQFPMDLFVKLKILYLQSFGYSFLNLPLNLLQKFPNLEELVLMNCYFKELLQHGHGHDPLLSQIRSLQLDSLPNIRHVWNQDSPFFQNLETLQMWRCNGLTNLAPSSATFQNLTTLLVMRCNGMSSLVSSSTAESMHNLATMTIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLRCLKSLETFCSSARCTLKFPALEVVYLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLRHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSVVVPSNLLPILNDLKKLEVRNCDSVEQVFGLEWPNFDGPFGNLFNLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVKEIITEESMDEIRFPQLNSIILESLPRLINFSSGSGTVHCPSLEEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKISSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCEELLKIFPSMLLRRLQNLEDLIIGNCDSLEKVFDLQEIIKLKETLTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVCDCPNLKAIFPATIAKNLLQLETLDVESCGVESCGGVEEIVAQDQGTEATIEFRFPCLEFLKLQELNELKCFYSGIHTLESPLLKHLTVYHCEKLNIFCPKSENLLETDTESQAMIQDPQPLFSFRKVVSNLEKLTLTRKDATMILEGQFPADFFHKLTTIGIHCFHDESAVFPFDLLERFQPMESLVMGCSQFKELLPCDGSVGRKKYAEVLRLIRGFTLNNLPDLTDIWNQDSQLDQVLQSLELLHVERCNSLAALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTIMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSSSSLLGVQKDDQWHWNGNLNAAIQQLFAEMA >Manes.09G092700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28418495:28422953:-1 gene:Manes.09G092700.v8.1 transcript:Manes.09G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRPTTVLVTGAGGRTGSIVYKKLKERSDEYVARGLVTTQDSKEKIGGAEDVFVGDIREAESITPAFQGIDALIILTSAVPKMKPGFDPSKGGRPEFYYEDGAYPEQVDWIGQKNQIDAAKAVGVKQIVLVGSMGGTNPNHPLNSLGNGNILIWKRKAEQYLADSGIPYTIIRAGGLQDKEGGVRELLVAKDDVLLQTDTKSITRSDVAEVCIQALAFEEAKFKAFDLASKPEGTGTPTKDFKALFAQVTSRF >Manes.09G092700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28418495:28422953:-1 gene:Manes.09G092700.v8.1 transcript:Manes.09G092700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRPTTVLVTGAGGRTGSIVYKKLKERSDEYVARGLVTTQDSKEKIGGAEDVFVGDIREAESITPAFQGIDALIILTSAVPKMKPGFDPSKGGRPEFYYEDGAYPEQVDWIGQKNQIDAAKAVGVKQIVLVGSMGGTNPNHPLNSLGNGNILIWKRKAEQYLADSGIPYTIIRAGGLQDKEGGVRELLVAKDDVLLQTDTKSITRSDVAEVCIQYFTSSPLLNRH >Manes.01G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30111359:30117705:1 gene:Manes.01G100500.v8.1 transcript:Manes.01G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKRTLSVDDASPVLDDSYSPPRLAVNGHCSVGSSKCSSGYLNFEQKHKKDKNDGSRPKKSNIDIDNNNDEEVKEDRDTNLQGKKIKRRSSTRKSGPINLKLGFSQRHVGAEQIAAGWPSWLSSAAAEAINGWVPLRADAFEKLEKIGQGTYSSVFRAREVETGRMVALKKVRFDNFHPESIRFMAREILILRRLDHPNIIKFEGIITSRLSSSIYLVFEYMEHDLAGLSSSPDIKFTESQVKCYMKQLLQGIEHCHSRGVMHRDIKVSNILVNNEGILKLGDFGLANVLDTKNKNQLTSRVVTLWYRPPELLMGSTSYGVSVDLWSVGCVFAELLLGRPLLKGRTEVEQLHKIFKLCGSPSDEYWKQSKLPNATMFRPQHVYESSLRERCKDFQKTAVDLIETLLSVEPEKRGTASTALMSQYFNSTPLACDPSSLPKYTPNKEMDAKHREEVRRRIAGSRTRDRDTGAQRKPRKAHRKLQERNSSNRFAPKEEVKENTELVRKINDSYSQKKKGGEIMNKKLNSSFDTSSVSGPLIASSGFAWTKRQKDDVTSTLSFTQYISTSQISALDSSFKFAKSSSFNLVKEGDEGHDSQEITAKHVKQTQQHRFDSSDTFDTSKLYQFYDSKETDEADALKSNPDFKPREKVEFSGPLFSKSNKIDELLQRNESQIRKAARRSRLDREI >Manes.17G076650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27670537:27671038:1 gene:Manes.17G076650.v8.1 transcript:Manes.17G076650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLYRDEFSSANYKSSLNKTVLAVPSFTFTLSISPALDCSTTRNIALAYVSNPVDAASANVASRSTRENGYHLLHICNGAGGSTCVGVRKEGEKEAFPSAAALKGGYAG >Manes.09G110700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31312211:31315875:-1 gene:Manes.09G110700.v8.1 transcript:Manes.09G110700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWLGQKANKELNSVGNDINSLSSSIEGGAKWLVNKMKGKMQQPLPELLKEYDLAVGIFPRDATNYEFNEETGKLTVFIPSVCEVGYKDSSVLRFSTAVTGYLEKGKLSDIEGIKTKIMIWVKVSCITSDGSKLYFTAGIKKTRSREAYEVLRDGVSVEKF >Manes.11G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2452410:2453310:-1 gene:Manes.11G024300.v8.1 transcript:Manes.11G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLCCFVLALQIMSFVSGTSRPFAPNNGVAVDQPGQSQSPQVILSSNLGTQMGSKQDSKSMGEEGGGLNEDACTKGLNKIGSSPPSCEHKCFGCRPCQAIQVPTTSKTHSHLGVNYANYEPEGWKCKCGPSFYSP >Manes.18G049300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4237636:4250659:-1 gene:Manes.18G049300.v8.1 transcript:Manes.18G049300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSQSSGSQFRPVGPPHQGQPFIPVAPQQFRPVGQGIPAGQSQSLQFSQPMQQLPQWPNQPGHATPSSQGVPLPYVHPNRPLTAGPPQLQQTAPSAVPLSSPYTFTPSSFGQPQNNVSASSQFQPMPQMHAAAVPGGGQPWLAPGSNGVSVATAVQPTGQQPSVSSSSDAAVNVQQSSSDWQEHTASDGRRYYYNKRTKQSSWEKPFELMTAIERADASTVWKEFTTPEGKKYYYNKVTKQSKWSIPDELKLAREQAQQAYSQGTQSLADAASHAPAAVAVTMAETSTTATPVSSSSPGIFGVASSPLPVTPVVPVSNPAVVVSGSSALPVAQSNITNAAGAQPPVVNMTALPTGVSGSAGVTAASVNAKTASLSGLDNVSSQVAASSVDGVSMLDAEEVRKGTAVAGKSDPIPLEEKALDDEPLVFASKQTMREIINDKRYSALKTPGERKQAFNEYLGQRKKIEAEERRMRQKRARVEFTKMLEESKELTSSMKWSKAVSLFENDERFKAVEKTRDREDLFDNYIVELERKEREKAAEEHRRNVAEYKKFLESCDFIKVNSPWRKVQDRLEDDERCLRLEKLDRLLIFQDYIRDLEKEEEQQKKIQKEQLRRAERKNRDQFRKLLEDHVADGFLTAKTHWLDYCSKVKDLPQYHAVATNTSGSTPKDLFDDVAEELEKQYHDDKTRIKDALKTGKIMVSSTWTFEDFKAAISDDVSSPPISEINLKLLYDELLERAKEKEEKEAKKRQRLADDFTKLLYTYKEINASSSWDDCKHLFEESQEYRSIGEESFSREVFEEYVSHLQEKAKEKERKREEEKAKKEKEREEKEKRKEKERKEKDKEREREKGKERTKKDETDSENFDPTENYSHKEEKKREKDKERRHRRRHQSGTDDVSSDKDEKEESKKSRRHGSDRKKSRKHSRTPESDGESRHKRHKRDHRDGSRRNGGYEELEDGEVGEDGEIH >Manes.18G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4237636:4250650:-1 gene:Manes.18G049300.v8.1 transcript:Manes.18G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSQSSGSQFRPVGPPHQGQPFIPVAPQQFRPVGQGIPAGQSQSLQFSQPMQQLPQWPNQPGHATPSSQGVPLPYVHPNRPLTAGPPQLQQTAPSAVPLSSPYTFTPSSFGQPQNNVSASSQFQPMPQMHAAAVPGGGQPWLAPGSNGVSVATAVQPTGQQPSVSSSSDAAVNVQQSSSDWQEHTASDGRRYYYNKRTKQSSWEKPFELMTAIERADASTVWKEFTTPEGKKYYYNKVTKQSKWSIPDELKLAREQAQQAYSQGTQSLADAASHAPAAVAVTMAETSTTATPVSSSSPGIFGVASSPLPVTPVVPVSNPAVVVSGSSALPVAQSNITNAAGAQPPVVNMTALPTGVSGSAGVTAASVNAKTASLSGLDNVSSQVAASSVDGVSMLDAEEVRKGTAVAGKSDPIPLEEKALDDEPLVFASKQEAKNAFKALLESANVQSDWTWEQTMREIINDKRYSALKTPGERKQAFNEYLGQRKKIEAEERRMRQKRARVEFTKMLEESKELTSSMKWSKAVSLFENDERFKAVEKTRDREDLFDNYIVELERKEREKAAEEHRRNVAEYKKFLESCDFIKVNSPWRKVQDRLEDDERCLRLEKLDRLLIFQDYIRDLEKEEEQQKKIQKEQLRRAERKNRDQFRKLLEDHVADGFLTAKTHWLDYCSKVKDLPQYHAVATNTSGSTPKDLFDDVAEELEKQYHDDKTRIKDALKTGKIMVSSTWTFEDFKAAISDDVSSPPISEINLKLLYDELLERAKEKEEKEAKKRQRLADDFTKLLYTYKEINASSSWDDCKHLFEESQEYRSIGEESFSREVFEEYVSHLQEKAKEKERKREEEKAKKEKEREEKEKRKEKERKEKDKEREREKGKERTKKDETDSENFDPTENYSHKEEKKREKDKERRHRRRHQSGTDDVSSDKDEKEESKKSRRHGSDRKKSRKHSRTPESDGESRHKRHKRDHRDGSRRNGGYEELEDGEVGEDGEIH >Manes.18G049300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4237636:4250650:-1 gene:Manes.18G049300.v8.1 transcript:Manes.18G049300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSQSSGSQFRPVGPPHQGQPFIPVAPQQFRPVGQGIPAGQSQSLQFSQPMQQLPQWPNQPGHATPSSQGVPLPYVHPNRPLTAGPPQLQQTAPSAVPLSSPYTPQNNVSASSQFQPMPQMHAAAVPGGGQPWLAPGSNGVSVATAVQPTGQQPSVSSSSDAAVNVQQSSSDWQEHTASDGRRYYYNKRTKQSSWEKPFELMTAIERADASTVWKEFTTPEGKKYYYNKVTKQSKWSIPDELKLAREQAQQAYSQGTQSLADAASHAPAAVAVTMAETSTTATPVSSSSPGIFGVASSPLPVTPVVPVSNPAVVVSGSSALPVAQSNITNAAGAQPPVVNMTALPTGVSGSAGVTAASVNAKTASLSGLDNVSSQVAASSVDGVSMLDAEEVRKGTAVAGKSDPIPLEEKALDDEPLVFASKQEAKNAFKALLESANVQSDWTWEQTMREIINDKRYSALKTPGERKQAFNEYLGQRKKIEAEERRMRQKRARVEFTKMLEESKELTSSMKWSKAVSLFENDERFKAVEKTRDREDLFDNYIVELERKEREKAAEEHRRNVAEYKKFLESCDFIKVNSPWRKVQDRLEDDERCLRLEKLDRLLIFQDYIRDLEKEEEQQKKIQKEQLRRAERKNRDQFRKLLEDHVADGFLTAKTHWLDYCSKVKDLPQYHAVATNTSGSTPKDLFDDVAEELEKQYHDDKTRIKDALKTGKIMVSSTWTFEDFKAAISDDVSSPPISEINLKLLYDELLERAKEKEEKEAKKRQRLADDFTKLLYTYKEINASSSWDDCKHLFEESQEYRSIGEESFSREVFEEYVSHLQEKAKEKERKREEEKAKKEKEREEKEKRKEKERKEKDKEREREKGKERTKKDETDSENFDPTENYSHKEEKKREKDKERRHRRRHQSGTDDVSSDKDEKEESKKSRRHGSDRKKSRKHSRTPESDGESRHKRHKRDHRDGSRRNGGYEELEDGEVGEDGEIH >Manes.10G074500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13385325:13387265:1 gene:Manes.10G074500.v8.1 transcript:Manes.10G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKFEEDDVPLKYQSVALIVGVTGIVGNSLAEILPFADTPGGPWKVYGVARRPRPIWQADHLIEYIQCDVSNEQETIEKLSTLTDVTHIFYVTWTSKPTEAENCLANAAMLRNVLKAVIPNAANLQHICLQTGRKHYLGSFESWGKIIPHESPFHEDLPRLNAINFYYSLEDLLFDEVRKREGLTWSIHRPGMIFGSSPSSLMNLVGTLCVYAAICKHQGLPLIFPGDRAAWDGYWDASDADLIAEHQIWAAVDPNAKNEAFNCSNGDVFKWKHLWHVLAEKFEIENYGFEEKSLSLTEKMKDMGPVWDEIVKEKKLVGTRLEEVAAWWIADMIHGASYLDTMNKSKEHGFVGFRNSTTSFGHWIDKLKAHKIVP >Manes.10G019100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1874831:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNESKLAAILASFIIHKPRQHLPFTKAAITTLESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVMAFIKACKEQIDFLKNSINDEEANTKGWLGIRGHIANTDTVAHKHGVVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.10G019100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1875333:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNELESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.10G019100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1875333:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNELESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.10G019100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1875333:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNELESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVMAFIKACKEQIDFLKNSINDEEANTKGWLGIRGHIANTDTVAHKHGVVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.10G019100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1875330:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNESKLAAILASFIIHKPRQHLPFTKAAITTLESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVMAFIKACKEQIDFLKNSINDEEANTKGWLGIRGHIANTDTVAHKHGVVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.10G019100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1875333:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNESKLAAILASFIIHKPRQHLPFTKAAITTLESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.10G019100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1874831:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNESKLAAILASFIIHKPRQHLPFTKAAITTLESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVMAFIKACKEQIDFLKNSINDEEANTKGWLGIRGHIANTDTVAHKHGVVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.10G019100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1875333:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNELESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVMAFIKACKEQIDFLKNSINDEEANTKGWLGIRGHIANTDTVAHKHGVVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.10G019100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1875333:1880190:1 gene:Manes.10G019100.v8.1 transcript:Manes.10G019100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDRTEDFKDAVRQAAVSLGYNESKLAAILASFIIHKPRQHLPFTKAAITTLESIGTLQQFIIKHRKDYVDLHRTTEQERDSIEHEVLILSEKLHSVTAQFDQLRAIRFQDAINKRMPRRKPNRVANPSSTDSSKMNNSELGKPDEIQSEPLRVQQQLLDDETRALQVELSSLLDAVQQTETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Manes.03G115900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24163315:24170324:-1 gene:Manes.03G115900.v8.1 transcript:Manes.03G115900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLPQELLLDIFHRLPNTSIGRCMCVCKYWLSLIKNPSFVSSHIHHTILPKKAPMFLLKLCSNQIQYSLHFDNKKFSNYKRLGVPLKHDNRSFSVIGSSNGLVCLMHNLYTYNYTFVLWNPLIRKSLTLPKPNVTFESHGAFEALVGFGFDACSEDYKVVRVVRLLEYENESEEDEELAIEVEIFSLNRNSWNNITGKAPQYDIVERGSQAFVNGTVHWIATQRGRTGESNNLILGLDLVKYG >Manes.05G107400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10208291:10210554:-1 gene:Manes.05G107400.v8.1 transcript:Manes.05G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRIRHYEITIVFLLFLVFCFIFQINFSFISRSNDILPGKEELKLKAAFKQNPLSLQSPSPSPSFSESPQPTEINCDRSHKSYDLCTINGPTVLDPTIATFYTLGHQDHVPPSYKVEKMKPYPRKWESSTMKRIREITLTSGPPGPSCQVQHNVPALVFSAGGYTGNFFHDFNDGIIPLFITVHSIFTDDQEFVLVISKARDWWVNKYADLLQSFSKHPIVNLDNDSSTHCFTSATIGLISHGLMAIDPKLLPNSQTFFHFRAFLDRAYGRHHGENHPIKSNSSKQRPRLLLISRNGDVGRVILNQIQVKKLAKKIGFDVTIFEPTTETSLWKAYTLINSTHAMVGVHGAALTHSLFLRPGSVFMQVVPLGNEWVAKYCFGNSGRTMGLEYMQYKIGVEESTLVDKYDRNSLLLKDPVAFQGKNWSNDIMNIYLKEQNIKFDLVRFRQYLKNAYRKAKRFMSKKG >Manes.05G195400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31934922:31935495:-1 gene:Manes.05G195400.v8.1 transcript:Manes.05G195400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAMEKRFIRVTRGSIVGGMRLGMFTAAFYGLQNLLAEKRGVHDVFNVVGAGSATSATFGLILPGSLRWRARNVMLGSILGAAFCFPLGWIHLKLIEKANEGNPALNPDLDGRGEVKSGVGAAIERLEGSLKA >Manes.05G195400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31934395:31938131:-1 gene:Manes.05G195400.v8.1 transcript:Manes.05G195400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAMEKRFIRVTRGSIVGGMRLGMFTAAFYGLQNLLAEKRGVHDVFNVVGAGSATSATFGLILPGSLRWRARNVMLGSILGAAFCFPLGWIHLKLIEKANEGNPALNPDLDGRGEVKSGVGAAIERLEGSLKA >Manes.15G102650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8060725:8064591:-1 gene:Manes.15G102650.v8.1 transcript:Manes.15G102650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISTVEAELPPGFRFHPKDDELVCDYLLKKITCNSDSLLIIEVDLNKCEPWDIPEIACVGGKEWYFYSQRDRKYATGLRTNRATASGYWKATGKDRPVLRKNTLVGMRKTLVFYQGRAPKGRKTDWVMHEFRLEGSLSSSNNTSPKKEDWVLCRVFYKNREVTIQPSMGSCYDETASPSLPLLMDSYITFDQTQLNLNLDEYEQVPCFSTFPQSQIFPHTLSMTTCPNLDTFSCDKKVIKAVLNQLNQVETNPKVHGSPSLGEGSSESYLSEEGISKIWTNHY >Manes.15G102650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8060501:8067187:-1 gene:Manes.15G102650.v8.1 transcript:Manes.15G102650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISTVEAELPPGFRFHPKDDELVCDYLLKKITCNSDSLLIIEVDLNKCEPWDIPEIACVGGKEWYFYSQRDRKYATGLRTNRATASGYWKATGKDRPVLRKNTLVGMRKTLVFYQGRAPKGRKTDWVMHEFRLEGSLSSSNNTSPKKEDWVLCRVFYKNREVTIQPSMGSCYDETASPSLPLLMDSYITFDQTQLNLNLDEYEQVPCFSTFPQSQIFPHVTQMEPTIMPTKTTASFGQTPMTMTTCPNLDTFYDHLP >Manes.15G102650.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8060350:8064780:-1 gene:Manes.15G102650.v8.1 transcript:Manes.15G102650.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISTVEAELPPGFRFHPKDDELVCDYLLKKITCNSDSLLIIEVDLNKCEPWDIPEIACVGGKEWYFYSQRDRKYATGLRTNRATASGYWKATGKDRPVLRKNTLVGMRKTLVFYQGRAPKGRKTDWVMHEFRLEGSLSSSNNTSPKKEDWVLCRVFYKNREVTIQPSMGSCYDETASPSLPLLMDSYITFDQTQLNLNLDEYEQVPCFSTFPQSQIFPHVTQMEPTIMPTKTTASFGQTPMTMTTCPNLDTFYDHLP >Manes.08G032200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3078302:3093906:1 gene:Manes.08G032200.v8.1 transcript:Manes.08G032200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLWRSIDRFSLQHFKHVINELRKIRVVDKHNRELVIDLLQSVAEIVTYGDRQDPLIFECFMEYQLLAEFVRVLKISRNSRIEAPLLQYLSIMIQNMDSEHAIYYCLSNGYINSIISHEYKFDGGDLAPYYISFLRAVSSKINRDTLCLLVKVDGDVVVSFPLYSEALKFAQHGEKMIQTAVRALTLNIYNVSDDMVYQFVSTPPASNYFSDLVHSLKEQCVHLDSLVLATVGTCTDQKRKELLLETDKIVDDLYYFKDILCVGESRLSDVVTQNLLNLFVFPILLPLLQLRRNEGSNLSAITSLYIVSLLLEVVGGKTITNAVAEIVLYPFMSSLRDTIEGSTEKLFSNHLNNVHKLVYSGSEYGGGGIINGNLCGKPVPSYSHSINFSVDGSVCQERGGILAFVFSENHSLLLASLFLLFTLAGSKDIEHLLASMIGLHAMQCRMDHDISASQVVDKIIFVAFMPQILNSLLKVLASEPPTPVQIQWHTGWFLQKLLVLQGKKLDDHNLQLFNTSYKQSRERFQKELDGCWFDCMLDTLRNEWTSCKAALEKPSQSKDLLFMLEHAVFQEIAAGNATSAYFSWQRMVDIVKAFILHVQLKAFICKGELLENPLLASLSSPTGSGITHALDLSTASFGSEISLGAGISCRIAFSNAGIRDIYLIPLACETSGKLLLAEKHPFRSQRGVIIAIAPLAELSPKIDEDHPTWLHLRTREFDPKLNASKTRGYNLKGLNHAAEGRWTLGFPNTKACEAARLAILEQINKQKSCVRSTLAPLLQDNSDCSDEGSSNMRICR >Manes.08G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3078302:3093906:1 gene:Manes.08G032200.v8.1 transcript:Manes.08G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLWRSIDRFSLQHFKHVINELRKIRVVDKHNRELVIDLLQSVAEIVTYGDRQDPLIFECFMEYQLLAEFVRVLKISRNSRIEAPLLQYLSIMIQNMDSEHAIYYCLSNGYINSIISHEYKFDGGDLAPYYISFLRAVSSKINRDTLCLLVKVDGDVVVSFPLYSEALKFAQHGEKMIQTAVRALTLNIYNVSDDMVYQFVSTPPASNYFSDLVHSLKEQCVHLDSLVLATVGTCTDQKRKELLLETDKIVDDLYYFKDILCVGESRLSDVVTQNLLNLFVFPILLPLLQLRRNEGSNLSAITSLYIVSLLLEVVGGKTITNAVAEIVLYPFMSSLRDTIEGSTEKLFSNHLNNVHKLVYSGSEYGGGGIINGNLCGKPVPSYSHSINFSVDGSVCQERGGILAFVFSENHSLLLASLFLLFTLAGSKDIEHLLASMIGLHAMQCRMDHDISASQVVDKIIFVAFMPQILNSLLKVLASEPPTPVQIQWHTGWFLQKLLVLQGKKLDDHNLQLFNTSYKQSRERFQKELDGCWFDCMLDTLRNEWTSCKAALEKPSQSKDLLFMLEHAVFQEIAGNATSAYFSWQRMVDIVKAFILHVQLKAFICKGELLENPLLASLSSPTGSGITHALDLSTASFGSEISLGAGISCRIAFSNAGIRDIYLIPLACETSGKLLLAEKHPFRSQRGVIIAIAPLAELSPKIDEDHPTWLHLRTREFDPKLNASKTRGYNLKGLNHAAEGRWTLGFPNTKACEAARLAILEQINKQKSCVRSTLAPLLQDNSDCSDEGSSNMRICR >Manes.04G135800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33604520:33609588:1 gene:Manes.04G135800.v8.1 transcript:Manes.04G135800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLLKPPVDLQYSLSLYVGDLDPEVTEMDLRTAFCSVCPIYTLRLCRCAYTGKSLCYGYVNFYSHNQASEALRKLNHMYLKGKPMRLMWCQRDPYARKSGTGNLFVKNLDFSIDSARLESMFCKFGTILSCKVVEEYGKSKGFGFVQFDSENSALAARTALHDTMLEGKKLYVSKFIKRSERAATTLYEESNFTNLYVKNLSDNVTEDTLHNMFSEFGKVCNVVIMKDHDGTSRGFGFVNFHSPQGAKKAVDALNGSLLGSRTLFVGRAQKKAERIKILQHAHKDTFDNHSEKLKSNVYVKNLDFRIDDNKLRNMFSTCGRIVSAKVMRYDNGVSRRFGFVCFSSPEEAKKALHTFNGIMFEGKPLYVAIAQCRKDRRLELEKYIATCQPQSLYPSSSNVIAPPIGSLYYNFSTVHPAIPFLQHPFSYQNFGANMGVQYPLGAENYQQQFYFRLGQMHQSTQNLSTQVCQPHVSLRKSLEDMLSQIFVIEI >Manes.04G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33604520:33609588:1 gene:Manes.04G135800.v8.1 transcript:Manes.04G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLLKPPVDLQYSLSLYVGDLDPEVTEMDLRTAFCSVCPIYTLRLCRCAYTGKSLCYGYVNFYSHNQASEALRKLNHMYLKGKPMRLMWCQRDPYARKSGTGNLFVKNLDFSIDSARLESMFCKFGTILSCKVVEEYGKSKGFGFVQFDSENSALAARTALHDTMLEGKKLYVSKFIKRSERAATTLYEESNFTNLYVKNLSDNVTEDTLHNMFSEFGKVCNVVIMKDHDGTSRGFGFVNFHSPQGAKKAVDALNGSLLGSRTLFVGRAQKKAERIKILQHAHKDTFDNHSEKLKSNVYVKNLDFRIDDNKLRNMFSTCGRIVSAKVMRYDNGVSRRFGFVCFSSPEEAKKALHTFNGIMFEGKPLYVAIAQCRKDRRLELEKYIATCQPQSLYPSSSNVIAPPIGSLYYNFSTVHPAIPFLQHPFSYQNFGANMGVQYPLGAENYQQQFYFRLGQMHQSTQNLSTQVCQPHSGRHAISNLRDRDLNYGYAGIQSYGPTKRWNKKGGAAGSTSRGSQATTGFAAAESNDKSKKDNYQQPLVENIECAGKMNEMMVNAFQVGRAAQVLKEANTLKSGDIGGMCPKSPKSACCLRF >Manes.04G135800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33604520:33609588:1 gene:Manes.04G135800.v8.1 transcript:Manes.04G135800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPLLKPPVDLQYSLSLYVGDLDPEVTEMDLRTAFCSVCPIYTLRLCRCAYTGKSLCYGYVNFYSHNQASEALRKLNHMYLKGKPMRLMWCQRDPYARKSGTGNLFVKNLDFSIDSARLESMFCKFGTILSCKVVEEYGKSKGFGFVQFDSENSALAARTALHDTMLEGKKLYVSKFIKRSERAATTLYEESNFTNLYVKNLSDNVTEDTLHNMFSEFGKVCNVVIMKDHDGTSRGFGFVNFHSPQGAKKAVDALNGSLLGSRTLFVGRAQKKAERIKILQHAHKDTFDNHSEKLKSNVYVKNLDFRIDDNKLRNMFSTCGRIVSAKVMRYDNGVSRRFGFVCFSSPEEAKKALHTFNGIMFEGKPLYVAIAQCRKDRRLELEKYIATCQPQSLYPSSSNVIAPPIGSLYYNFSTVHPAIPFLQHPFSYQNFGANMGVQYPLGAENYQQQFYFRLGQMHQSTQNLSTQVCQPHSGRHAISNLRDRDLNYGYAGIQSYGPTKRWNKKGGAAGSTSRGSQATTGFAAAESNDKSKKDNYQQPLVENIEGR >Manes.07G097300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30162096:30162992:1 gene:Manes.07G097300.v8.1 transcript:Manes.07G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGISLSFILLVIVSLLVGSEAGGIAIYWGQNGNEGTLAETCATGNYDFVNIAFLPTFGNGQTPVINLAGHCDPYSNGCTGLSSDIKSCQAKGIKVMLSIGGGAGSYYLASTEDAKQVATYLWNNFLGGHSSSRPLGPAVLDGIDFDIEGGTNLHWDDLARFLSAYSKKGKKVYLTAAPQCPFPDSWVGNALKTGLFDYVWVQFYNNPPCQYSSGSITNLEDAWKQWTSDIPADKIFLGLPAAPDAAGSGFIPVADLTSKVLPAIKGSGKYGGVMLWSKYYDDQTGYSKAIKSSV >Manes.01G190901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36651709:36653905:-1 gene:Manes.01G190901.v8.1 transcript:Manes.01G190901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLTFRSVSKHSILSLVDSRSSLRQLKQIHNQLLINGYLNDAHFLGQFVAAIALKSPNHLEYSNLVLHQCHTPTLFALNCMIRAHSKSSTPQKSFHFFNKIFHCGNCISPDNYTFNFLVRASAQLLARRIGPAVHGSLIKYGFEFDPHVQSGLIFMYSELGFLCSCHQVFESIPNPDLVCQTAMVSACAKCGDVGFARKLFDSMPRRDPIAWNAVISGYAQCGQSRDALRLFHFMQLEGVKVSEVTMVSVLSACSQLGALEHGRWAHAYVQKNKIPVAVTLGTALIDMYAKCGDMNEAMQVFWGMKEKNVYTWSSAMNGLAMNGAGDKCLELFSLMQNEGVLPNEITFLSILRACCVVGLVEDGRKYLVSMRKDYGIEPLVEHYGCIVDLYGRAGRLDEALNIINEMPLKPHNGAWGALLNACKMYKNMELGELASRKIIELEGKNHGAYVLLSNIYADSKKWEMVDNVRQAMKVNGVRKQPGCSVIEIDGEAHEFFVGDNSHPKYGDIEVILEEISMRLKSYATNSNSLLSDIGEEEKEPL >Manes.15G067000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5084237:5085993:-1 gene:Manes.15G067000.v8.1 transcript:Manes.15G067000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASFTFSLSCFLVLLSFFVFADAAGIIGINYGRVANDLPTPDKVVELLKSQGINRIKLYDTDSTVLTALANSGISVVVALPNELLASTATDQSFADKWVQANISQYYPKTQIEAIAVGNEVFVDPKNTTKFLVPAMKNVYNSLVKLNLSSIKISSPIALSALQNSYPSSAGSFKPELIEPVMKPMLEFLRQSNSYLMINAYPFFAYSANSKEISLDYTLFKENPGVVDSGNGLKYYSLLEAQLDAVFAAMSAIQYSDIKMVVTETGWPSLGDENEIGASEENAASYNGNLVNRVLTGNGTPLRPQDPLNVYLFALFNENQKPGPTSERNYGLFYPNEQKVYNIPLTLKELENRQSTPVNGNKSEVPVNGTSGEVSKTSVGQTWCVASGNVGTDKLQDAIDYACGEGGADCRPIQPGATCYNPNTLEAHASYAFNSYYQKKARGAGTCDFGGAAYVVTQPPSK >Manes.15G067000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5083370:5085993:-1 gene:Manes.15G067000.v8.1 transcript:Manes.15G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASFTFSLSCFLVLLSFFVFADAAGIIGINYGRVANDLPTPDKVVELLKSQGINRIKLYDTDSTVLTALANSGISVVVALPNELLASTATDQSFADKWVQANISQYYPKTQIEAIAVGNEVFVDPKNTTKFLVPAMKNVYNSLVKLNLSSIKISSPIALSALQNSYPSSAGSFKPELIEPVMKPMLEFLRQSNSYLMINAYPFFAYSANSKEISLDYTLFKENPGVVDSGNGLKYYSLLEAQLDAVFAAMSAIQYSDIKMVVTETGWPSLGDENEIGASEENAASYNGNLVNRVLTGNGTPLRPQDPLNVYLFALFNENQKPGPTSERNYGLFYPNEQKVYNIPLTLKELENRQSTPVNGNKSEVPVNGTSGEVSKTSVGQTWCVASGNVGTDKLQDAIDYACGEGGADCRPIQPGATCYNPNTLEAHASYAFNSYYQKKARGAGTCDFGGAAYVVTQPPRFGNCEFPTGY >Manes.06G081700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21701922:21703521:-1 gene:Manes.06G081700.v8.1 transcript:Manes.06G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRTRSPKICISRSVARIRVRSPSLRGKPSSYSVDKDQKIEFLGNGMEDFGGENGLGNGNKVMVVVDSSLEAKGALEWALSHTVQSKDTVILMYVSKSSNSKQGPECNLKVKRAYELLHSMKNMCQRKRPGVQVEVAIREGKEKGPIIVEEAKKQRVSLLVLGQRKRSIMWRLMNRWTGKRKGGGAVEYCIQNSSCMAIAVRRKGKKLGGYLITTKRHKNFWLLA >Manes.05G090900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8299910:8305275:1 gene:Manes.05G090900.v8.1 transcript:Manes.05G090900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYRSASMLHFHKLSPPPKLLLSRLKHSCSYISSSPLSSLSSPTSSLRIRLFWLNQSSRRGNRFSTAAAAALSDKVGNDTFFADEGVSWASLGLSDRLCRAISNARIERPSLVQAACIPSILSGKDVVVAAETGSGKTHTYLVPLIDKLCNPLDHPKESDSDQGLVPSHRLSLVLCPNVLLCEQVVRMASYLCDDNGEPLLKVTAVCGRQGWPVDQPDIVVSTPAALLNYIDPKKQRKLNFVRGVKYVVFDEADMLLCGSFQNQVIRLINMLRFDEKQLSQLSKSAADSPREFFSEDDKPQNESTFEENEDFEDDNEAEDLEEGGEAGSISRKDWRRVRKDYVRSKQYIFVAATLPVNGKKTAGALLKHMFPDANWISGNYLHRHNPRLQQRWVEVTVDTQVDKLIDAVNQGSRSGVDVSRTMIFANTVDAVEAVAKILERAGTECYRYHKDTSLEERAKTLVDFREKGGIFVCTDAAARGVDVPNVSHVIQADFATSAVDFLHRIGRTARAGQYGLVTSLYTESNRNLVDAIRQAKKLGQPVESAFSRKRSFRNKLKKRAGSSEVRDASANEMVRV >Manes.05G090900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8299910:8305275:1 gene:Manes.05G090900.v8.1 transcript:Manes.05G090900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYRSASMLHFHKLSPPPKLLLSRLKHSCSYISSSPLSSLSSPTSSLRIRLFWLNQSSRRGNRFSTAAAAALSDKVGNDTFFADEGVSWASLGLSDRLCRAISNARIERPSLVQAACIPSILSGKDVVVAAETGSGKTHTYLVPLIDKLCNPLDHPKESDSDQGLVPSHRLSLVLCPNVLLCEQVVRMASYLCDDNGEPLLKVTAVCGRQGWPVDQPDIVVSTPAALLNYIDPKKQRKLNFVRGVKYVVFDEADMLLCGSFQNQVIRLINMLRFDEKQLSQLSKSAADSPREFFSEDDKPQNESTFEENEDFEDDNEAEDLEEGGEAGSISRKDWRRVRKDYVRSKQYIFVAATLPVNGKKTAGALLKHMFPDANWISGNYLHRHNPRLQQRWVEVTVDTQVDKLIDAVNQGSRSGVDVSRTMIFANTVDAVEAVAKILERAGTECYRYHKDTSLEERAKTLVDFREKGGIFVCTDAAARGVDVPNVSHVIQADFATSAVDFLHRIGRTARAGQYGLVTSLYTESNRNLVDAIRQAKKLGQPVESAFSRKRSFRNKLKKRGSSEVRDASANEMVRV >Manes.17G118696.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33333303:33333599:1 gene:Manes.17G118696.v8.1 transcript:Manes.17G118696.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKTLLTIIAISFACSLLLAVAVQAQVGTPGLQPAPSPTPLPSPPTTLPPPPPPPSTPTTPTPSPATNASSLTSFPSKFMGLVGLVVSFFLLKLLP >Manes.14G003400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1510603:1515368:1 gene:Manes.14G003400.v8.1 transcript:Manes.14G003400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSFGAANTAVLKDPKIQIRSFDGLRSSNSSLALTRHVNVFPVSSSRLSLIRAVSTPVKPQTETKRSKVEIIKEQSNYIRYPLNEELLTDTPNINESATQLIKFHGSYQQYNRDERGAKSYSFMLRTKNPCGKVPNKLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKQNLKTVMSSIIHSMGSTLGACGDLNRNVLAPAAPFARKDYQFAQKTAEDIAALLAPQSGFYYDMWVDGEKIMTAEPPEVVKARNDNSHGTNFPDSPEPIYGAQFLPRKFKIAVTVPTDNSVDILTNDVGIVVVTDVNGEPQGFNIYVGGGMGRTHRLETTFPRLAEPLGYVPKADILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPFRELPEWEFKSYLGWHEQGNGVLFCGLHVDNGRIGGKMKKTLREIIEKYNLDVQLTPNQNIILCGIRKAWKRPITATLAQAGLLQPKYVDPLNLTAMACPAMPLCPLAITEAERGIPDILKRVRAVFEKVGLKYNESVVIRITGCPNGCARPYMAELGFVGDGPNSYQIWLGGAPNQTALARSFMNKVKVQELEKVLEPLFYHWKRKRQSKESFGDFTTRMGFEKLQEWVDKWEGVVSTPPKYNLRLFADKDTYDKIDELAKLQNKTAHQLAMEIIRNYAAAQQNGKGE >Manes.14G003400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1510603:1515368:1 gene:Manes.14G003400.v8.1 transcript:Manes.14G003400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSFGAANTAVLKDPKIQIRSFDGLRSSNSSLALTRHVNVFPVSSSRLSLIRAVSTPVKPQTETKRSKVEIIKEQSNYIRYPLNEELLTDTPNINESATQLIKFHGSYQQYNRDERGAKSYSFMLRTKNPCGKVPNKLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKQNLKTVMSSIIHSMGSTLGACGDLNRNVLAPAAPFARKDYQFAQKTAEDIAALLAPQSGFYYDMWVDGEKIMTAEPPEVVKARNDNSHGTNFPDSPEPIYGAQFLPRKFKIAVTVPTDNSVDILTNDVGIVVVTDVNGEPQGFNIYVGGGMGRTHRLETTFPRLAEPLGYVPKADILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPFRELPEWEFKSYLGWHEQGNGVLFCGLHVDNGRIGGKMKKTLREIIEKYNLDVQLTPNQNIILCGIRKAWKRPITATLAQAGLLQPKYVDPLNLTAMACPAMPLCPLAITEAERGIPDILKRVRAVFEKVGLKYNESVVIRITGCPNGCARPYMAELGFVGDGPNSYQIWLGGAPNQTALARSFMNKVKVQELEKVLEPLFYHWKRKRQSKESFGDFTTRMGFEKLQEWVDKWEGVVSTPPKYNLRLFADKDTYDKIDELAKLQNKTAHQLAMEIIRNYAAAQQNGKGE >Manes.09G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4555167:4559729:1 gene:Manes.09G022300.v8.1 transcript:Manes.09G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLCLFYLLLVGFLSKFQTVAAQVTDQDTMLAINKELEVPGWGDNGTNFCNWRGIGCNLNHSLVEGIALSRLGLRGNVTLISELKALKQLDLSGNNFHGPIPLAFGNLSQLEFLDLSLNKFEGLIPRELGGLRSLKSLNLSNNLLVGEIPNELQGLDMLEEFQISSNSFNGSIPSWVGNLTSLRVFTAYENELGGEIPDNLGSVSELKLLNLHSNQLTGQIPSNIFAMGKLEVLVLTQNRLSGRIPELVGNCKGLSNIRIGNNDLEGVIPKAIGNISSLTYFEADDNHLSGDIISEFAQCSNLTLLNLASNGFSGVIPPQLGQLVNLQELILSGNSLFGDIPEPILGCRSLNKLDLSNNRLNGTIPNDICNMSRLQFLLLGQNSIKGEIPREIGNCMKLLELQMGSNYLSGSIPSEIGRIRNLQIALNFSYNHLHGSLPPELGKLDKLVSLDVSNNQLSGTIPQSFKGMLSLIEVNFSNNLLSGPIPAFVLFQKSPNSSFWGNKGLCGEPLSFSCGNSDASGHEYYHHKVSYRIILAVIGSGLAVFVSVTVVVLLFMMRERQEKAAKSAGVADDVANDMPAIIAGHVFVENLRQSIDFDAIVKATLKDSNKLMNGTFSAVYKAVMPSGMILSVRKLKSMDRTIIHHQNKMIRELERLSKLCHDNLVRPFGYVIFEDVALLLHHYLPNGTLAQLVHGCSKQSAYEPDWPTRLSIAIGVAEGLAFLHHVAIIHLDISSGNVLLDANFRPLVGEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHGAPARGETPEQILDAKLSTVSFGWRREMLAALKVALLCTDSTPAKRPKMKKVVEMLQEIKQT >Manes.09G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34567914:34587526:1 gene:Manes.09G148100.v8.1 transcript:Manes.09G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMRFFVKDENDGVPSAPTGFESLAAFTLKRVQDGEKQETQNVLTRSASIRTSELQLVKMEMDTDTCADTNITRSLRHRAWINYGQLDTNSSEDESGSAKLNQNLSLRPCLPKGVIRGCAQCRNCQKIIAKWQPECARRPDLENAPVFYPTEEEFKDTLKYIASIRAKSEPYGICRIVPPPCWKPPCPLKEKSIWEGSTFATRVQRIDKLQNRDSVKKMSKLYNHTRKKRRRCMRMAVDCGTDTGNITGCNDAGICDSESFGFEPGPEYTLNTFQKYADDFKARYFRKNDNIMDKGGDTDVLHDNWEPMVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFLELFGKAGSDTNECYAKSGWNLNNFPRLPGSILSYEAGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGDPKMWYGVPGKDAIKLEEAMRKHLPDLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNPGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAVELYREQGRRTSISHDKLLLGAAREAVRAQWELNLLKKNTMDNLKWKDVCGKDGILAKAVKERVEMERVRRDFLCKSSPALKMESNFDATNERECSVCLFDLHLSAAGCHCSPDKYSCLNHAKQMCSCSWDTKFFLFRYEISELNILVEALEGKLSAIYRWARLDLGLALTSYISKDDLEDCKLSSLPDRRADKEMRSKPSIELLKDLDSTGTTIEVTVTSLDIFGETASVEKKTPPGAADLKGMETSSISCLSFQAIKNQNHDPEVDTKCILSFTNLATSECQLSQVDMSHAEDLASSESGMKNPSTLGHDNISLSVYHGDKSKETVVERETAISLGKHSELSRGSSSFDDCPCNDNKDSILIVPLTDAEVVRKNDVISSLDPDGQRNNCLLDPVKVKDDQHQHGKIGLALNEADISCHAGCTSAGCGKNVQGSSNMGETNKDQNISNAKSPQPQQCGIANTNDGDKLGANATLSPVDNSRTMAGSLSGSQNNLDRYFRQKGPRLAKVVRRINCNAEPLEFGVVLPGKLWCDGQAIFPKGFRSRVRYINVLEPTNMCYYASEILDAGQNRPLFMVSLEHRPSEVFIHLSAARCWEMVRERVNQEITKQHKLGRMNLPPLQPPGSLDGFEMFGFSSPAIVQAIAALDRNRVCTDYWDSRSYSRPQGQIPQPSQPKENASYIQGTSKEHNNRGTSWSNLQSERVDLIIQGLFKKANPEELYSLSRILNDSKPTVDQSIITLVNEEIQSRLR >Manes.03G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25727585:25733912:1 gene:Manes.03G131100.v8.1 transcript:Manes.03G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVKRKKKGRPSKADLAQRSSGQNAVNTEHHRRRSLRRQNVRYNNFIDYDDYVDEFEEYEESEEEEKRKEKKLKLVLKLNSQEQSRNKATRVEHARGDAASSSEDDESEKKPLRKRKIDSGDDSEAENESENDHGIEDDNNEEERERKVDTKRQDSVPGTPTDHPNGLPLPDKKSLELILDKLQKKDTYGVYAEPVDVEELPDYFDVIAHPMDFATVRKKLAEESYWNFEQFESDVFLICSNAMQYNSPETIYHKQARAIQELARKKFEKLRIDLERSEKELKSELKTKPNFLVKKQMKKPLSRTVQEPVGSDFSSGATLATAGDYQSGFVAAQSSGCDRPSNIDGPIEGTSSLVDNTLEKAEELPSGKGLLSKYGRKSSMLDENRRATYNISSQPAARSESIFTTFEGEIKQLVAVGLHADYSYARSLACFAAMLGPVAWKVACQRIEQALPPGCKFGRGWVGEYEPLPTPVLMVKTHAQKEYTSFTKLRGAADAIKSESTSRTPVSDKENHVNTLILEGKPSLIRPTCGHIAEGRSSSFSSSRSKPSIPLPVNPTYQQQNLPPRKYAEAQNKASKQVELNLPPSSYQNDADVAEKHLPGTSETANTKPREIPRTLGLMQSVPSKQPDDNEVGSGGLPNGKVTSSLISRSSDGVPNQMARAPTFLAQHQEHFLHDPVEAMRISAERAQKQQKPSNQSSVDTSPVIPSLPPVRKDSNNAAAVAARAWMSIGSGGFKVPSENFPTPTNQISAESLYNQTWQLHPQFPQVHGQFPLPLGSKFHTEKNSMPFQAFMQPPVHAGNGQFQNRLMVFPQFVATSLSGIQMQSSRQGLSPHSQPKQKQEALPPDLNICFQSQGSPVKQSSGVMVESQQPDLALQL >Manes.08G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33697209:33706245:1 gene:Manes.08G101100.v8.1 transcript:Manes.08G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAQLPCDGDGICMICHNEPLPEETLTCTTCITPWHVTCLSSRPQILADTLHWECPDCSLLNLTTAGGSQSSSSGLIAAIRAIESDDSLSELEKAKRRQQLMSGSVSSSEAADSTSDKGRINGDNDIADFLDGGFNCSFCMQLPDRPVTTPCGHNFCLKCFQRWIGQGKRTCANCRSQIPHKMASQPRINSALVVAIRMAKMSKSSTAGGTPKVYHFVHNQNRPDKAFTTERAKKAGKANACSGKIFVTVPPDHFGPILAENDPVRNQGVLVGELWEDRLECRQWGAHLPHVAGIAGQSTHGAQSVALSGGYIDDEDHGDWFLYTGSGGRDLSGNKRTNKAQSFDQKFEKLNEALRVSCREGYPVRVVRSHKEKRSSYAPEKGVRYDGIYRIEKCWRKKGMQGYKVCRYLFVRCDNEPAPWTSDNLGDRPRPLPFIKEIENAIDITERRGTPSWDYDEDKGCWMWKKPQPNSRKQVNGGNQDDGTKMKKVRRQGQNVLVRQKLLKELSCQICRQVMTNPLTTPCAHNFCKACLEDFFAGQSFVRQRTCEGRRTLRVQKNVMKCPACTNDIADFLQNPQVNRELMGVIESLQRRNSECDNVDDSTEENDISNEKTQAIADIEIRNTNSEIQEEDKAKNFMHQTETDPQQAYKEEASDGNDKSSTGQKEATLLEQPDNLNVEIEINTSNSEMADGSEQTTATVEQTHKRTADEGSHLAGVQDGSKKAKLTMEQ >Manes.12G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4922514:4924013:1 gene:Manes.12G052500.v8.1 transcript:Manes.12G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIKLCNLLFLLFCLILTAFVTQGSITHQEKLPNNPRTSLSTGVSHLKIGSEAQSSRNARRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPIHSAYHYKCVCHR >Manes.12G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26203854:26205781:-1 gene:Manes.12G099400.v8.1 transcript:Manes.12G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTFCVDSSSIYGRNADKEAIVQRLLSVDESNSNALQVISITGMGGIGKTLLTKLVYGDCRVQEWFDVKAWVCAAAKFDLLKLAKDILDELNSNAKRTCDQHLVLDELKEKFMGKRLFLVLDDVWNDNEAEWKLLLVHLQAAGAQGSKIIVTTRSESVASALGAVQSHVLSELSDDECWRLFAKHAFNDDNENQRGLEMIGREIVRKCGGLPLIAKMLGDVLCCENNVEEWQKISDNMELCSNYIFMILKLTHIDLLFHLKQCLAYGIKSPKDYAFVKEKLVLSWIEGVRSQENKRFDYFGSRDIIIQDLAISVQCPILGRENEVVFEWGAALTNIILETLLLIFDQIASPRKPHYALMCVVVSLAAIFICITELVYKGQKQKITLMRRGMRCWLHFPSSDNNPYTIMDVLSFVSAISQFIYSSIGYSYLRRGSQNPIKVCFVPVIFIICTASSNLVRKPNETRLPISRED >Manes.05G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6124434:6127846:1 gene:Manes.05G074600.v8.1 transcript:Manes.05G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTLVKYFVADAFADSAFKGNPGVVCILEDERDEKWMQAVAAEFNIPTTGFLTLVTDSDDVSSNPRFRLRWFTPVAEVKLCGHVTLAASHALFSNGLVNSSVIDFETLSGILTAKKIPDIFTATRNDETEESFAIELNLPTVPIAEFNSADVALVSRALNGATIIDIKRTTTADDLFVVLGSGKDVAELQPRFDEVLKFPGRGIIVSGVAPPESGFDIYSRFFCPKYTVNEDPVCGSAHCALAPYWSKKLGKHDLMAYAASRRSGKLSVHLDVQNDRVLLRGKAVNVMEGSLLV >Manes.01G035100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6739816:6747028:1 gene:Manes.01G035100.v8.1 transcript:Manes.01G035100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNLQNQNMNLVLSTDPKPRLKWTPELHHRFVEALRQLGGEDKATPKSLMRVMGVPRLTLYHLKSHLQKYRLAKRHPTELQSEFQSQSQSQSQGSIENKKVLLDADYKEIQISNPALRGGISEGNQSPLNESFQIAQVLQMQMEVQRKIHEQIEVQRHLQVRIEAQGKYLQSVLKKAQETLSGYSSSSLGIELAKAELSRLVSMVNNECRSPSISELTEIEGSSLKGTERKKMRGTVCSMESSLTSSESSGRKEDKQLTNEFGNTHKSNPASIELPLMDIHPREKPWNSGTSDQVKKRTCCTISDGICVEQPPVKRSKIGDRLRIFDLNSHCQNEFESGSKTIDLNCKGIEQVNGQL >Manes.01G035100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6743991:6745554:1 gene:Manes.01G035100.v8.1 transcript:Manes.01G035100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNLQNQNMNLVLSTDPKPRLKWTPELHHRFVEALRQLGGEDKATPKSLMRVMGVPRLTLYHLKSHLQKYRLAKRHPTELQSEFQSQSQSQSQGSIENKKVLLDADYKEIQISNPALRGGISEGNQSPLNESFQIAQVLQMQMEVQRKIHEQIEVQRHLQVRIEAQGKYLQSVLKKAQETLSGYSSSSLGIELAKAELSRLVSMVNNECRSPSISELTEIEGSSLKGTERKKMRGTVCSMESSLTSSESSGRKEDKQLTNEFGNTHKSNPASIELPLMDIHPREKPWNSGTSDQVKKRTCCTISDGICVEQPPVKRSKIGDRLRIFDLNSHCQNEFESGSKTIDLNCKGIEQVNGQL >Manes.01G035100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6739816:6747028:1 gene:Manes.01G035100.v8.1 transcript:Manes.01G035100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNLQNQNMNLVLSTDPKPRLKWTPELHHRFVEALRQLGGEDKATPKSLMRVMGVPRLTLYHLKSHLQKYRLAKRHPTELQSEFQSQSQSQSQGSIENKKVLLDADYKEIQISNPALRGGISEGNQSPLNESFQIAQVLQMQMEVQRKIHEQIEVQRHLQVRIEAQGKYLQSVLKKAQETLSGYSSSSLGIELAKAELSRLVSMVNNECRSPSISELTEIEGSSLKGTERKKMRGTVCSMESSLTSSESSGRKEDKQLTNEFGNTHKSNPASIELPLMDIHPREKPWNSGTSDQVKKRTCCTISDGICVEQPPVKRSKIGDRLRIFDLNSHCQNEFESGSKTIDLNCKGIEQVNGQL >Manes.01G035100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6744165:6745554:1 gene:Manes.01G035100.v8.1 transcript:Manes.01G035100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIFFHDCLWAEATPKSLMRVMGVPRLTLYHLKSHLQKYRLAKRHPTELQSEFQSQSQSQSQGSIENKKDYKEIQISNPALRGGISEGNQSPLNESFQIAQVLQMQMEVQRKIHEQIEVQRHLQVRIEAQGKYLQSVLKKAQETLSGYSSSSLGIELAKAELSRLVSMVNNECRSPSISELTEIEGSSLKGTERKKMRGTVCSMESSLTSSESSGRKEDKQLTNEFGNTHKSNPASIELPLMDIHPREKPWNSGTSDQVKKRTCCTISDGICVEQPPVKRSKIGDRLRIFDLNSHCQNEFESGSKTIDLNCKGIEQVNGQL >Manes.01G035100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6743615:6747028:1 gene:Manes.01G035100.v8.1 transcript:Manes.01G035100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNLQNQNMNLVLSTDPKPRLKWTPELHHRFVEALRQLGGEDKATPKSLMRVMGVPRLTLYHLKSHLQYRLAKRHPTELQSEFQSQSQSQSQGSIENKKDYKEIQISNPALRGGISEGNQSPLNESFQIAQVLQMQMEVQRKIHEQIEVQRHLQVRIEAQGKYLQSVLKKAQETLSGYSSSSLGIELAKAELSRLVSMVNNECRSPSISELTEIEGSSLKGTERKKMRGTVCSMESSLTSSESSGRKEDKQLTNEFGNTHKSNPASIELPLMDIHPREKPWNSGTSDQVKKRTCCTISDGICVEQPPVKRSKIGDRLRIFDLNSHCQNEFESGSKTIDLNCKGIEQVNGQL >Manes.01G035100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6739816:6747028:1 gene:Manes.01G035100.v8.1 transcript:Manes.01G035100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNLQNQNMNLVLSTDPKPRLKWTPELHHRFVEALRQLGGEDKATPKSLMRVMGVPRLTLYHLKSHLQKYRLAKRHPTELQSEFQSQSQSQSQGSIENKKDYKEIQISNPALRGGISEGNQSPLNESFQIAQVLQMQMEVQRKIHEQIEVQRHLQVRIEAQGKYLQSVLKKAQETLSGYSSSSLGIELAKAELSRLVSMVNNECRSPSISELTEIEGSSLKGTERKKMRGTVCSMESSLTSSESSGRKEDKQLTNEFGNTHKSNPASIELPLMDIHPREKPWNSGTSDQVKKRTCCTISDGICVEQPPVKRSKIGDRLRIFDLNSHCQNEFESGSKTIDLNCKGIEQVNGQL >Manes.01G035100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6743991:6745554:1 gene:Manes.01G035100.v8.1 transcript:Manes.01G035100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNLQNQNMNLVLSTDPKPRLKWTPELHHRFVEALRQLGGEDKATPKSLMRVMGVPRLTLYHLKSHLQKYRLAKRHPTELQSEFQSQSQSQSQGSIENKKDYKEIQISNPALRGGISEGNQSPLNESFQIAQVLQMQMEVQRKIHEQIEVQRHLQVRIEAQGKYLQSVLKKAQETLSGYSSSSLGIELAKAELSRLVSMVNNECRSPSISELTEIEGSSLKGTERKKMRGTVCSMESSLTSSESSGRKEDKQLTNEFGNTHKSNPASIELPLMDIHPREKPWNSGTSDQVKKRTCCTISDGICVEQPPVKRSKIGDRLRIFDLNSHCQNEFESGSKTIDLNCKGIEQVNGQL >Manes.01G035100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6744165:6745554:1 gene:Manes.01G035100.v8.1 transcript:Manes.01G035100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIFFHDCLWAEATPKSLMRVMGVPRLTLYHLKSHLQKYRLAKRHPTELQSEFQSQSQSQSQGSIENKKVLLDADYKEIQISNPALRGGISEGNQSPLNESFQIAQVLQMQMEVQRKIHEQIEVQRHLQVRIEAQGKYLQSVLKKAQETLSGYSSSSLGIELAKAELSRLVSMVNNECRSPSISELTEIEGSSLKGTERKKMRGTVCSMESSLTSSESSGRKEDKQLTNEFGNTHKSNPASIELPLMDIHPREKPWNSGTSDQVKKRTCCTISDGICVEQPPVKRSKIGDRLRIFDLNSHCQNEFESGSKTIDLNCKGIEQVNGQL >Manes.04G122500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32397865:32401639:-1 gene:Manes.04G122500.v8.1 transcript:Manes.04G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEHALPPSKKRAAGREISKDNPGLDDEEDSGEQETGTFKRASDEVLASRRIVKVRRNQISSTPSSNPFAGIQLVPPTEPTTATSVAATKEVTTSERVSEEGKTDSVEEAEKGKDETSKESESKVEEPVAETAAKEETTEDKENDNVVNEATKSTLDKEKAEEDDKIQNEKAVGGEETLNKKAAEGDKESEEKKDSGNEKIDPSSESTRLSSFQQLSSSQNAFTGLAGTGFSTSTFTFGSIPNKDGSPSGPGTGSLFGQKTDQASFGFGLCNNGNSSIFQTTGPSIVSKNEGAGFPSMQEVPVETGEENERVVFSADSVLFEFFNGVWKERGKGELKINVSTTGTERARLLMRAKGNYRLILNASLYSDMKLTNMDKRGITFACINSTGENKDGLSTFALKFKDGSIVEEFRTVVEAHKGKTATDLKTPENSPKASDD >Manes.13G043450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5102822:5103376:1 gene:Manes.13G043450.v8.1 transcript:Manes.13G043450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALASTIALPNCCRICALLTESPWSSRVFVHAAWRHFRQKSAQDVKNKAASAAEGSEKREAAEEASKMPQDVTEKIKQNKTKNTTEHNRLRTKVSGKDQESKQFLKQTKCCVCQALHQN >Manes.11G021800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2290914:2292964:-1 gene:Manes.11G021800.v8.1 transcript:Manes.11G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWEKPVRAEDRFRHHHRENPSFSSTLLDAIYRSIDESNGKVEEELIFAMRKKHSNGLQKACMIEKWMEEKVSYEKVAVGRKSMDDFDKNTRKDFSRKPPVLLNSSSSSSESSCGGGFSSSESESIYGLSSSRPSSTYAMQKPKPIRTSVSARPERYERAVDEIAVYQYNHHRDTNYAPTQKPKHEGSFVKTKSKALKIYGDLKKVKQPISPGGRLASFLNSLFTAGNAKKAKISSSSVYEESKIKSEQTSTCSSASSFSRSCLSKTSSSRGNKLSNGTKRSVRFYPVSVIVDEDSRPCGHKSLYGNHEETLMAVTATRNLTNEELKFHVMNESRRVEEVARDLLKNYQKKKQEELAVRDLCTGNSELLEEDDDDDEDDAASCSSSDLFELDNLSAIGIERYREELPVYETTHLDTNLAIANGLIL >Manes.18G012100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1375842:1382430:1 gene:Manes.18G012100.v8.1 transcript:Manes.18G012100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKDGLTAPSRVHELVAVMQKEKDCIVKNVGDATRHWAAVASTIAATENKDCLDLFIQLDGLWFIDRWLKDAQKFGNDTADGFVEESITALLKALEKLQVDKESSVSSGIWITINNLLDHSSSRVQEGARALFDSWKQGRVSDTIHHDVQSAGAVCDAIVLANENNKAQNAAVDVTLFKGNADVENNAARDGDLHSKIPNCHPSEKDEDVPLTTSLMSNSVEESPQLNEKSPRGDVEGTALTETHSVPIPKGQKNEPELDASRKLVSFSDKGIAASLSGKVGPGASSPNVDVAIAKEILAEPAYENNVDAKEAESGQNSTAFGDAGISVSPSKAGTDDVGFIDHSNAHNSMVKDDGSPDSLQDSSDSDKRLEKTEYIGTPFSRTAEIGAMDDDQQHSSDGAEDLRNDSQFSKPKMDTQGPGPIDRRRSDVELEYGIVDALEVARQVAQEVEREVVGFREPSCSSSSEKTRERSVGEPGTPDSVNVKLDPHFPIEDIPTGQNQSSEAYHGEEGRLINSNNVENEAENGTHELESSQVTEVAPEPEVNTEKGLCDFDLNEEVCSDDMDRPLNPISTPISVVSASRPAAASGSPSAPLQFEGILGWKGSAATSAFRPASPRKISDGDKNLETGRISRSSRQRQDSLDIDLNVAEDGDEKVMDLISGRPIPVSSGMHSGESSLEVGPRRSGRPNLDLNRISDDGDAPPPGLRMEGQLFHPRNGHRSPSPASSSSSMQPSLRNFDLNDRPLFHNDSSDQGLYLRNQSASAFGGSKSGDPVISIMGTRVEVGSRTDAVRKDFAPQNPSLPNGKPLDHALDANVARMGGVLRIPTVSYGHSPVLGYNGLTTAPTMSISSAVYGSGAPVPYMVDSRGAPVVPQILGSTSVVPPAYSQPSFMMSMTNAPLSLNGAGPSRLNFDLNSGFAIEGGPGGLRQLFMTGQGRSMEEHLRTNVQPSSSSVVGGKRREPDGGWEPYSLQYKHPQPPWR >Manes.18G012100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1375843:1382201:1 gene:Manes.18G012100.v8.1 transcript:Manes.18G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKDGLTAPSRVHELVAVMQKEKDCIVKNVGDATRHWAAVASTIAATENKDCLDLFIQLDGLWFIDRWLKDAQKFGNDTADGFVEESITALLKALEKLQVDKESSVSSGIWITINNLLDHSSSRVQEGARALFDSWKQGRVSDTIHHDVQSAGAVCDAIVLANENNKAQNAAVDVTLFKGNADVENNAARDGDLHSKIPNCHPSEKDEDVPLTTSLMSNSVEESPQLNEKSPRGDVEGTALTETHSVPIPKGQKNEPELDASRKLVSFSDKGIAASLSGKVGPGASSPNVDVAIAKEILAEPAYENNVDAKEAESGQNSTAFGDAGISVSPSKAGTDDVGFIDHSNAHNSMVKDDGSPDSLQDSSDSDKRLEKTEYIGTPFSRTAEIGAMDDDQQHSSDGAEDLRNDSQFSKPKMDTQGPGPIDRRRSDVELEYGIVDALEVARQVAQEVEREVVGFREPSCSSSSEKTRERSVGEPGTPDSVNVKLDPHFPIEDIPTGQNQSSEAYHGEEGRLINSNNVENEAENGTHELESSQVTEVAPEPEVNTEKGLCDFDLNEEVCSDDMDRPLNPISTPISVVSASRPAAASGSPSAPLQFEGILGWKGSAATSAFRPASPRKISDGDKNLETGRISRSSRQRQDSLDIDLNVAEDGDEKVMDLISGRPIPVSSGMHSGESSLEVGPRRSGRPNLDLNRISDDGDAPPPGLRMEGQLFHPRNGHRSPSPASSSSSMQPSLRNFDLNDRPLFHNDSSDQGLYLRNQSASAFGGSKSGDPVISIMGTRVEVGSRTDAVRKDFAPQNPSLPNGKPLDHALDANVARMGGVLRIPTVSYGHSPVLGYNGLTTAPTMSISSAVYGSGAPVPYMVDSRGAPVVPQILGSTSVVPPAYSQPSFMMSMTNAPLSLNGAGPSRLNFDLNSGFAIEGGPGGLRQLFMTGQGRSMEEHLRTNVQPSSSSVVGGKRREPDGGWEPYSLQYKHPQPPWR >Manes.15G058300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4469837:4474641:1 gene:Manes.15G058300.v8.1 transcript:Manes.15G058300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMIGIDAAIANSFRRILISELPTMAIEKVLIANNTSLIQDEVLSHRLGLIPISADPRLFEYLSANDTPNEKNTIVFKLHVRCKRGEPRRTVYSEELKWLPNGSEFIKESEKVDTKPSTYTSFTCSQDSLQNSSSKPIGPAEDKIILAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVVLLEDVEDETAEELKKKCPVNVFDIEDIGNGKKRATVARPRACTLCRECIRGEEWDKRVALRRVKDHFIFTIESAGALPPEVLFPEAVKILEDKCERVITELS >Manes.15G058300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4469837:4474641:1 gene:Manes.15G058300.v8.1 transcript:Manes.15G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQENTENKKFSIWDLPDVPMGQLPPHLQLQRTRVVCKADAPIHTDNIIYSGAYASMGIDNSVHLGSFRDNFKVEVIRLTKDDMEFDMIGIDAAIANSFRRILISELPTMAIEKVLIANNTSLIQDEVLSHRLGLIPISADPRLFEYLSANDTPNEKNTIVFKLHVRCKRGEPRRTVYSEELKWLPNGSEFIKESEKVDTKPSTYTSFTCSQDSLQNSSSKPIGPAEDKIILAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVVLLEDVEDETAEELKKKCPVNVFDIEDIGNGKKRATVARPRACTLCRECIRGEEWDKRVALRRVKDHFIFTIESAGALPPEVLFPEAVKILEDKCERVITELS >Manes.09G130300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33225060:33225725:1 gene:Manes.09G130300.v8.1 transcript:Manes.09G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASSDMKSFYRQRKTNRGGITKSSSKSTSKSKKKSPKDAAAIGSDITQPRALISHGAPDLQDDYDKHEEALRQFDMNMAFGPCLGMTRLARWERAQRLGLNPPVEIEGLLKGGKVNSDCLWDGRV >Manes.18G055300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4720275:4725806:1 gene:Manes.18G055300.v8.1 transcript:Manes.18G055300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEAEARRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYNGAVADVWSCGVILYVLMAGYLPFDELDLTTLYGKIENADFSCPSWFPVGAKSLIHRILDPKPETRITIEQIRNDEWFNKGYVPARLIEYEDVNLDDVDAAFNDPEEQKTDEQCGNEDTGPLILNAFDMIILSQGLNLATLFDRGKDSMKYQTRFISRKPARVVLSSMEVVAQSMGFKTHIRNYKMRVEGLSANKTAHFSVILEVFEVAPTFLMVDIQKAAGDASDYLKFYKSFCSNLDDIIWKPPTESSKSKITKSKSRRR >Manes.18G055300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4720275:4725806:1 gene:Manes.18G055300.v8.1 transcript:Manes.18G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEAEARRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGVSLLRTTCGTPNYVAPEVLSHKGYNGAVADVWSCGVILYVLMAGYLPFDELDLTTLYGKIENADFSCPSWFPVGAKSLIHRILDPKPETRITIEQIRNDEWFNKGYVPARLIEYEDVNLDDVDAAFNDPEEQKTDEQCGNEDTGPLILNAFDMIILSQGLNLATLFDRGKDSMKYQTRFISRKPARVVLSSMEVVAQSMGFKTHIRNYKMRVEGLSANKTAHFSVILEVFEVAPTFLMVDIQKAAGDASDYLKQS >Manes.15G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12208766:12209911:1 gene:Manes.15G148100.v8.1 transcript:Manes.15G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSLSLTALSSISPSKTLNSITLSSSSPSSSSASLHSPLYLRFRTNNHENLRYLKAIGVIDPNTKPHLLPSPDAITQMLSTIDFFKSKGFHDTDFSRLAYISPQLFSYDFDLTDVEPVFQFLATDLQASVEESKGVAKLNVASKLNANLLNIREERLRSKVKFLKSIGLSHKEAASFCARIPAIFGYSIENNLRPKLEYLLEEMERSMEELKEFPQYFGFSLKKRIVPRHLHLKKRNVRIKLNRMLMWSDERFYAKWK >Manes.01G124801.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32011422:32018699:-1 gene:Manes.01G124801.v8.1 transcript:Manes.01G124801.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKLYKPPKDLFYVILLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNGVFLSHVRDISCGFDLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKDLEYDTYGIGDIVLFGNQERMKIDNQSELVDVFLDNRVEILTSCFAPNSGWNHSLASMISLLENPEEQYFIYLQKYTAEKEKEDKNGEKEKIINQERKDENYDQNCKGKNKRYGKKVSVNENKSKGKQMASLHIQKHQQKLEDKEEELGNGCSKTENKKIEEDEVKKCNPLTKEEFVQKSFKSIGERLKFCIVNLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKQVLKEYEDVESRIGNYTKLRNKIKECLVTIKLLSQTFPVPNFANNTYAIREFCLQNASLLFCTTSSSVKLHGKGRKPLHFLVIDEAAQLKECESAIPLQLFGLQHAILVGDQKQLPAMVNSKISEEAGFGRSLFERLVKLGYKQHLLNIQYRMHPSISLFPNREFYGKQILDAPKVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIGRKKKISIGVVSPYKAQVHAIQDKTLKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARYCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFRRSMARIEDVDIRKQVISLVAKLSNGWRPPGKKNKISMRDETSQLLELYGVKEQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYQCLERNLVVPMRWPVNPSGAEGSSSGADLLQLPKSFASLTIRDGSSSSGTAHKNQFKYKMKKKNSR >Manes.01G124801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32011914:32019607:-1 gene:Manes.01G124801.v8.1 transcript:Manes.01G124801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKMDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKLYKPPKDLFYVILLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNGVFLSHVRDISCGFDLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKDLEYDTYGIGDIVLFGNQERMKIDNQSELVDVFLDNRVEILTSCFAPNSGWNHSLASMISLLENPEEQYFIYLQKYTAEKEKEDKNGEKEKIINQERKDENYDQNCKGKNKRYGKKVSVNENKSKGKQMASLHIQKHQQKLEDKEEELGNGCSKTENKKIEEDEVKKCNPLTKEEFVQKSFKSIGERLKFCIVNLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKQVLKEYEDVESRIGNYTKLRNKIKECLVTIKLLSQTFPVPNFANNTYAIREFCLQNASLLFCTTSSSVKLHGKGRKPLHFLVIDEAAQLKECESAIPLQLFGLQHAILVGDQKQLPAMVNSKISEEAGFGRSLFERLVKLGYKQHLLNIQYRMHPSISLFPNREFYGKQILDAPKVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIGRKKKISIGVVSPYKAQVHAIQDKTLKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARYCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFRRSMARIEDVDIRKQVISLVAKLSNGWRPPGKKNKISMRDETSQLLELYGVKEQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYQCLERNLVVPMRWPVNPSGAEGSSSGADLLQLPKSFASLTIRDGSSSSGTAHKNQFKYKMKKKNSR >Manes.01G124801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32011422:32018736:-1 gene:Manes.01G124801.v8.1 transcript:Manes.01G124801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKMDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKLYKPPKDLFYVILLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNGVFLSHVRDISCGFDLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKDLEYDTYGIGDIVLFGNQERMKIDNQSELVDVFLDNRVEILTSCFAPNSGWNHSLASMISLLENPEEQYFIYLQKYTAEKEKEDKNGEKEKIINQERKDENYDQNCKGKNKRYGKKVSVNENKSKGKQMASLHIQKHQQKLEDKEEELGNGCSKTENKKIEEDEVKKCNPLTKEEFVQKSFKSIGERLKFCIVNLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKQVLKEYEDVESRIGNYTKLRNKIKECLVTIKLLSQTFPVPNFANNTYAIREFCLQNASLLFCTTSSSVKLHGKGRKPLHFLVIDEAAQLKECESAIPLQLFGLQHAILVGDQKQLPAMVNSKISEEAGFGRSLFERLVKLGYKQHLLNIQYRMHPSISLFPNREFYGKQILDAPKVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIGRKKKISIGVVSPYKAQVHAIQDKTLKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARYCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFRRSMARIEDVDIRKQVISLVAKLSNGWRPPGKKNKISMRDETSQLLELYGVKEQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYQCLERNLVVPMRWPVNPSGAEGSSSGADLLQLPKSFASLTIRDGSSSSGTAHKNQFKYKMKKKNSR >Manes.01G124801.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32011641:32018334:-1 gene:Manes.01G124801.v8.1 transcript:Manes.01G124801.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKMDNKKKGKTIMGRGLVDLVLSWSIEDVLNKDLYKPQVNKIPETFTSTSHYLKTFIPPLVEEAHADLLSNIESLPRAPTAEIYSVHISSKLYKPPKDLFYVILLKRRGTKVDAGAYQPQVGDVIALTYVRPKCTDDLCRSPQSYLVAYIHGVKSEDSDKLSILSSKPIMIEQDTQKNNKKQTLFAVYLMNLTTNVRIWRALNSELEGKNRNIIDKVLQSNSSGCEKCTICSSVEKNGVFLSHVRDISCGFDLNDSQKAAVLSCIAASGCSHQNTVKLIWGPPGTGKTKTVGFLIYALFQMKCRTLTCAPTNIAVLEVAGRVLNSVVKDLEYDTYGIGDIVLFGNQERMKIDNQSELVDVFLDNRVEILTSCFAPNSGWNHSLASMISLLENPEEQYFIYLQKYTAEKEKEDKNGEKEKIINQERKDENYDQNCKGKNKRYGKKVSVNENKSKGKQMASLHIQKHQQKLEDKEEELGNGCSKTENKKIEEDEVKKCNPLTKEEFVQKSFKSIGERLKFCIVNLYTHLPTSFIPLELVKNMIRALGLLRSLEASLLSVNTDNQGLKQVLKEYEDVESRIGNYTKLRNKIKECLVTIKLLSQTFPVPNFANNTYAIREFCLQNASLLFCTTSSSVKLHGKGRKPLHFLVIDEAAQLKECESAIPLQLFGLQHAILVGDQKQLPAMVNSKISEEAGFGRSLFERLVKLGYKQHLLNIQYRMHPSISLFPNREFYGKQILDAPKVKEINHKRCFLKGNMYGSYSFINITHGKEEFDEQQSLKNMVEVAVVADIVANLFEEFIGRKKKISIGVVSPYKAQVHAIQDKTLKYSSNTEGEFSVNVRSVDGFQGGEEDVIIFSTVRSNNKGSVGFLSNCQRANVALTRARYCLWILGNETTLSKSGTIWRKLVSDAKKRGCFHNADEDKRLAQSIITALLELNQLDTLLQMDSLLFRMARWKVFVSDDFRRSMARIEDVDIRKQVISLVAKLSNGWRPPGKKNKISMRDETSQLLELYGVKEQLTVAWNIDICQENSFQHQVLKFWDVLPSSDIPKLSQSLDNLFKTYTKEKMNLCKYQCLERNLVVPMRWPVNPSGAEGSSSGADLLQLPKSFASLTIRDGSSSSGTAHKNQFKYKMKKKNSR >Manes.05G160700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27378028:27382164:1 gene:Manes.05G160700.v8.1 transcript:Manes.05G160700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRDMEIEGDLELLIPVSEIPQNSKCKTSTSSSPVASPSHRLSGVEAISKVIRSWTSKKFMTGCVILLPIAVTFYITWGFIRFVDGFFSPVYDHLGINIFGLGFATSITFIFLVGIFMSSWLGASVLTLGEWFIKKMPLVSYIYSASKQISAAISPDQTTNAFKEVAIIRHPRIGEYAFGFITSTVILQKNMGEEELCCVYVPTNHLYVGDIFLISTKDIIRPNLSVREGIEIIISGGMSVPQIMTTMDAQTISAEPLCKYPSSKV >Manes.02G203601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17515316:17516211:1 gene:Manes.02G203601.v8.1 transcript:Manes.02G203601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDTYNAQYPSFTAKTTIISNPIHSPSFPLSVSVLTVALGFDGELKKPPTNTLRPTILDNACILCITTAANTYLADAYFPDIVIASFPRKEVHDPVANHPLGLTTNHCLGKLLLHQLANQTQVPSRVYSFFCSSAYRILAIIFNCCSPSKGIFLHVTHSSATVNTTFHPTCMC >Manes.17G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11784210:11789219:1 gene:Manes.17G020800.v8.1 transcript:Manes.17G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLLKQASTCRHFLSNGAATSISLPLHRSHSSYSSSSHSESPNATFAEHLENQNAQSTTTISVDRSGLYNPSEHSHEPTSESELIKHLKGIIKFRGGPITVAEYMEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMVGVWAMCLWEQMGQPKEVNLVELGPGRGTLMADLLRGVSKFKGFTESLHIHMVECSPVLQKLQHGNLKCVEEHTTCEGVERRNISTLAGTPISWHAELEQVPPGSPVIIIAHEFYDALPVHQFQRASRGWCEKMVDISEDSTFRFVLSPQPTPATLYLMKRCKWAATEEEIEKLNHIEVCPKAMDLTHTIAKRISSDGGGALIIDYGLNGVVSDSLQAIRKHKFVDILDNPGSADLSAYVDFAAIRHSAEEASEDVSVHGPITQSHLLGSLGINFRVEALLQNCTDEQAESLRTGYWRLVGEGEAPFWEGPDEQVPIGMGTRYLAMAIVKKKQGVPVPFQ >Manes.16G134000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33470452:33471662:-1 gene:Manes.16G134000.v8.1 transcript:Manes.16G134000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVSERDLEDEFRRFGVIRSVWVARRPPGYAFIDFDDKRDAEDAIHELDGKNGWRVEPSHNSRGGGGGGRGGGRGRFGGSDLKCYECGEPGHFARECRLRVGGGRRRSRSPRYRRSPSYGRKSYSPRGRSPRRRSLSPRGRSYSRSPPYRGRDELPYANGNGIRDRRRSRS >Manes.01G008250.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2400126:2403310:-1 gene:Manes.01G008250.v8.1 transcript:Manes.01G008250.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKSTSVLSHSHLYNRLCKPKQPFFTYFSSLAKTSRSPNPALFHYLISTFNFSETQALTISSRISSSKSIENAESVFHFFKNLGFSNSQIQSAARRFPRIIFSNIDRCLKPKIKVFQDLGLEGYDLGMFISKSSSVLGASLKKKLVPCIEILKKYLLNQKNNKHVVKVLTKCAWVVTQKNPESRLLSGIAYWESCGIVGSQLSMLLIRQPRLLCCPESVLRDLVSRTLNMGFSANSGMLIHGLCAVYSLSDKTRERKYGIFRSFGFSEYQYREIFRKAPYSLVRSDEQLKFRINFFLNTAKLEKETLICNPAILMQSMEERVIPRFKVFEILKSKKLFKKEPSFIRLLFLTEEVFVQRFISSFSDEAEELLLAYKGHTLDSSSKKEKS >Manes.01G008250.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2400126:2403306:-1 gene:Manes.01G008250.v8.1 transcript:Manes.01G008250.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKSTSVLSHSHLYNRLCKPKQPFFTYFSSLAKTSRSPNPALFHYLISTFNFSETQALTISSRISSSKSIENAESVFHFFKNLGFSNSQIQSAARRFPRIIFSNIDRCLKPKIKVFQDLGLEGYDLGMFISKSSSVLGASLKKKLVPCIEILKKYLLNQKNNKHVVKVLTKCAWVVTQKNPESRLLSGIAYWESCGIVGSQLSMLLIRQPRLLCCPESVLRDLVSRTLNMGFSANSGMLIHGLCAVYSLSDKTRERKYGIFRSFGFSEYQYREIFRKAPYSLVRSDEQLKFRINFFLNTAKLEKETLICNPAILMQSMEERVIPRFKVFEILKSKKLFKKEPSFIRLLFLTEEVFVQRFISSFSDEAEELLLAYKGHTLDSSSKKEKS >Manes.01G008250.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2400126:2403306:-1 gene:Manes.01G008250.v8.1 transcript:Manes.01G008250.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKSTSVLSHSHLYNRLCKPKQPFFTYFSSLAKTSRSPNPALFHYLISTFNFSETQALTISSRISSSKSIENAESVFHFFKNLGFSNSQIQSAARRFPRIIFSNIDRCLKPKIKVFQDLGLEGYDLGMFISKSSSVLGASLKKKLVPCIEILKKYLLNQKNNKHVVKVLTKCAWVVTQKNPESRLLSGIAYWESCGIVGSQLSMLLIRQPRLLCCPESVLRDLVSRTLNMGFSANSGMLIHGLCAVYSLSDKTRERKYGIFRSFGFSEYQYREIFRKAPYSLVRSDEQLKFRINFFLNTAKLEKETLICNPAILMQSMEERVIPRFKVFEILKSKKLFKKEPSFIRLLFLTEEVFVQRFISSFSDEAEELLLAYKGHTLDSSSKKEKS >Manes.01G008250.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2400126:2403306:-1 gene:Manes.01G008250.v8.1 transcript:Manes.01G008250.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKSTSVLSHSHLYNRLCKPKQPFFTYFSSLAKTSRSPNPALFHYLISTFNFSETQALTISSRISSSKSIENAESVFHFFKNLGFSNSQIQSAARRFPRIIFSNIDRCLKPKIKVFQDLGLEGYDLGMFISKSSSVLGASLKKKLVPCIEILKKYLLNQKNNKHVVKVLTKCAWVVTQKNPESRLLSGIAYWESCGIVGSQLSMLLIRQPRLLCCPESVLRDLVSRTLNMGFSANSGMLIHGLCAVYSLSDKTRERKYGIFRSFGFSEYQYREIFRKAPYSLVRSDEQLKFRINFFLNTAKLEKETLICNPAILMQSMEERVIPRFKVFEILKSKKLFKKEPSFIRLLFLTEEVFVQRFISSFSDEAEELLLAYKGHTLDSSSKKEKS >Manes.01G008250.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2400126:2403306:-1 gene:Manes.01G008250.v8.1 transcript:Manes.01G008250.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKSTSVLSHSHLYNRLCKPKQPFFTYFSSLAKTSRSPNPALFHYLISTFNFSETQALTISSRISSSKSIENAESVFHFFKNLGFSNSQIQSAARRFPRIIFSNIDRCLKPKIKVFQDLGLEGYDLGMFISKSSSVLGASLKKKLVPCIEILKKYLLNQKNNKHVVKVLTKCAWVVTQKNPESRLLSGIAYWESCGIVGSQLSMLLIRQPRLLCCPESVLRDLVSRTLNMGFSANSGMLIHGLCAVYSLSDKTRERKYGIFRSFGFSEYQYREIFRKAPYSLVRSDEQLKFRINFFLNTAKLEKETLICNPAILMQSMEERVIPRFKVFEILKSKKLFKKEPSFIRLLFLTEEVFVQRFISSFSDEAEELLLAYKGHTLDSSSKKEKS >Manes.01G008250.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2400126:2403306:-1 gene:Manes.01G008250.v8.1 transcript:Manes.01G008250.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKSTSVLSHSHLYNRLCKPKQPFFTYFSSLAKTSRSPNPALFHYLISTFNFSETQALTISSRISSSKSIENAESVFHFFKNLGFSNSQIQSAARRFPRIIFSNIDRCLKPKIKVFQDLGLEGYDLGMFISKSSSVLGASLKKKLVPCIEILKKYLLNQKNNKHVVKVLTKCAWVVTQKNPESRLLSGIAYWESCGIVGSQLSMLLIRQPRLLCCPESVLRDLVSRTLNMGFSANSGMLIHGLCAVYSLSDKTRERKYGIFRSFGFSEYQYREIFRKAPYSLVRSDEQLKFRINFFLNTAKLEKETLICNPAILMQSMEERVIPRFKVFEILKSKKLFKKEPSFIRLLFLTEEVFVQRFISSFSDEAEELLLAYKGHTLDSSSKKEKS >Manes.01G008250.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2400126:2403310:-1 gene:Manes.01G008250.v8.1 transcript:Manes.01G008250.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKSTSVLSHSHLYNRLCKPKQPFFTYFSSLAKTSRSPNPALFHYLISTFNFSETQALTISSRISSSKSIENAESVFHFFKNLGFSNSQIQSAARRFPRIIFSNIDRCLKPKIKVFQDLGLEGYDLGMFISKSSSVLGASLKKKLVPCIEILKKYLLNQKNNKHVVKVLTKCAWVVTQKNPESRLLSGIAYWESCGIVGSQLSMLLIRQPRLLCCPESVLRDLVSRTLNMGFSANSGMLIHGLCAVYSLSDKTRERKYGIFRSFGFSEYQYREIFRKAPYSLVRSDEQLKFRINFFLNTAKLEKETLICNPAILMQSMEERVIPRFKVFEILKSKKLFKKEPSFIRLLFLTEEVFVQRFISSFSDEAEELLLAYKGHTLDSSSKKEKS >Manes.14G064700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5356687:5359550:1 gene:Manes.14G064700.v8.1 transcript:Manes.14G064700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNNANSLLPISHGENRLPGEVNPLPQLQLFGDYQVRSIGGPLNYMGNEHTAIFERPAKGSREGESFNRQQKHQLYLNNNFLHYEAGQLGMNLDPNLLSIGLKVSHEEEECNSSVKHASDQKTSVLPLMLSLGDSLKAEIDRQKAEFDQHIRLMEENMIKEMREVGHRHTVALLSAIETGIGRKLHEKELELQNMNRKNNELAERIKQISTEVHSWQCRAKYNESLVNALKSNLKQVMTQGINHWKEGCGDSEVDNTPSNANQNHMNVMGANSISFESQMTCTACKSKEASMLLLPCRHLCLCKDCAGSIDVCPICHIRKAAGVEVFLS >Manes.14G064700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5356726:5359102:1 gene:Manes.14G064700.v8.1 transcript:Manes.14G064700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMNITTPIPTPPPTTLSTTLTNPLINGILDFLLHLYLPFSHPITTLFIILPIFPTTIPYFSLPYSLPRSFFPTITPISDQVRSIGGPLNYMGNEHTAIFERPAKGSREGESFNRQQKHQLYLNNNFLHYEAGQLGMNLDPNLLSIGLKVSHEEEECNSSVKHASDQKTSVLPLMLSLGDSLKAEIDRQKAEFDQHIRLMEENMIKEMREVGHRHTVALLSAIETGIGRKLHEKELELQNMNRKNNELAERIKQISTEVHSWQCRAKYNESLVNALKSNLKQVMTQGINHWKEGCGDSEVDNTPSNANQNHMNVMGANSISFESQMTCTACKSKEASMLLLPCRHLCLCKDCAGSIDVCPICHIRKAAGVEVFLS >Manes.13G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6638369:6640184:1 gene:Manes.13G057400.v8.1 transcript:Manes.13G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQADVSYSCGSCGYPLNLTSSNRITSNIGSEYHKSIKKGFISFLSVDLSRFTQVDEVNCLPFYWGRYRSKTKLLCRKCGVHIGYGYGDSPALCGFDSSNTSSSAYKKFAIKIRALQPSQEC >Manes.03G063225.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7050355:7063317:-1 gene:Manes.03G063225.v8.1 transcript:Manes.03G063225.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCIAIGSAVAGEIAKTLLAPITGSIGHLFSCNTDIKKLEKELKKLVDKKATEVDPRVREAERNLEIISVSVIWWQKEVDDISQKGKEFLENDIEVIGKCFNGHCPDPKLRYSLSRKAKKMTKKVLALLQEAAKFGEIAHPGPPAKIGSIFITEGIRDFESRESIKNEVWEALKDDKLTMISICGTGGVGKTTMVKKLVQRIEREKLFDEVVMAVVSQTPNIKKIQYDIASCLSLKLCDESELTSAGKLRQRFINCGKRILLILDDVWSELDFERIGLPPRGERKGHTIMLTSRNKDVCNKLGSEKNFPMDVLTNEEAWDLFEEIASISIDQDLHHTATEIANECGGLPIAIVTVAKALKNKMRNIWDDALQQLKNSNLQGVSGDAFSKIELSYKFLEHEEAKLCFLLCSLFPEDFNIRVEDLVRYGMGLRLFKNVDNVHQARDRVYALIYELKESFLLLEGDHKWYDSVKMHDIVRDVAISIASRDKQWYMLQTDARIKEWQEKAGYKNCTAISLVCEKIIERPNDFECPKLELLQLSYDCQSQSLPNNIFGGMKELKVLALALGIPSLPPSLDVLKNLRTLHLEKFDSEEMHTIGTLVKLEILEIATCYLHELPGEIGLLKNLRLLDLRGVINLRYIPPGLLLGLSRLEELYVTDKFMMKWQSKEDGKKTNASLSELETHHITALEITVPKASILPKDLVFRNLIRFKIFIGHKFAYGSLIDRDSVNVLHLEGDASDIKGTEICAWLMRKAEVLNLIEVQNLKKVLYELEDYDFPDMKRTPFHECVGQEFLVDALEIVPRSREIQLSYFRNLREVNIRSCGKLKYFIPVSMARGLSQLHRILIAGCQEMEAVFHKTEADDEIEFLELVALKLDHLPKFLGFVINPSLTSKDMEQPGTSQMDNRTETKYPQNQERTGLVEMISTLFSSLCPRLPNLQELNLHSCGLLKIVFPPSVAQQLVQLKKLIIRGCPEMEYIVAEPQEEEKNKRISKIVFPNLILLDFHELPKLVAFCPDSHISFDWLSLKELTLICCPEMKTICATIPGSSALNKSFDQSDIIGGKKIMPRGGLFNQALVRRGREQQNFSSRKDIYQPGTFQMNNENLHSSIEPVDMISIFLPSNRLRLQNLQMLHLGGCDSVKVIFPPSVAQQLVQLQYLNIRMCSAMEYIVAETEEQEKNKGTNKIVFPNLSLIELVRLPKLVAFCPDVHVSFACPLLKRLNLYSCPNMKTLCFAIPSSTVLNGSVDHIPSNNGLDGKPIRSSIVRGVLRRGREQKYVSRNEVFRITNHLEYRSTHGKDMLHHYYSLFK >Manes.03G063225.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7051069:7063314:-1 gene:Manes.03G063225.v8.1 transcript:Manes.03G063225.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCIAIGSAVAGEIAKTLLAPITGSIGHLFSCNTDIKKLEKELKKLVDKKATEVDPRVREAERNLEIISVSVIWWQKEVDDISQKGKEFLENDIEVIGKCFNGHCPDPKLRYSLSRKAKKMTKKVLALLQEAAKFGEIAHPGPPAKIGSIFITEGIRDFESRESIKNEVWEALKDDKLTMISICGTGGVGKTTMVKKLVQRIEREKLFDEVVMAVVSQTPNIKKIQYDIASCLSLKLCDESELTSAGKLRQRFINCGKRILLILDDVWSELDFERIGLPPRGERKGHTIMLTSRNKDVCNKLGSEKNFPMDVLTNEEAWDLFEEIASISIDQDLHHTATEIANECGGLPIAIVTVAKALKNKMRNIWDDALQQLKNSNLQGVSGDAFSKIELSYKFLEHEEAKLCFLLCSLFPEDFNIRVEDLVRYGMGLRLFKNVDNVHQARDRVYALIYELKESFLLLEGDHKWYDSVKMHDIVRDVAISIASRDKQWYMLQTDARIKEWQEKAGYKNCTAISLVCEKIIERPNDFECPKLELLQLSYDCQSQSLPNNIFGGMKELKVLALALGIPSLPPSLDVLKNLRTLHLEKFDSEEMHTIGTLVKLEILEIATCYLHELPGEIGLLKNLRLLDLRGVINLRYIPPGLLLGLSRLEELYVTDKFMMKWQSKEDGKKTNASLSELETHHITALEITVPKASILPKDLVFRNLIRFKIFIGHKFAYGSLIDRDSVNVLHLEGDASDIKGTEICAWLMRKAEVLNLIEVQNLKKVLYELEDYDFPDMKRTPFHECVGQEFLVDALEIVPRSREIQLSYFRNLREVNIRSCGKLKYFIPVSMARGLSQLHRILIAGCQEMEAVFHKTEADDEIEFLELVALKLDHLPKFLGFVINPSLTSKDMEQPGTSQMDNRTETKYPQNQERTGLVEMISTLFSSLCPRLPNLQELNLHSCGLLKIVFPPSVAQQLVQLKKLIIRGCPEMEYIVAEPQEEEKNKRISKIVFPNLILLDFHELPKLVAFCPDSHISFDWLSLKELTLICCPEMKTICATIPGSSALNKSFDQSDIIGGKKIMPRGGLFNQALVRRGREQQNFSSRKDIYQPGTFQMNNENLHSSIEPVDMISIFLPSNRLRLQNLQMLHLGGCDSVKVIFPPSVAQQLVQLQYLNIRMCSAMEYIVAETEEQEKNKGTNKIVFPNLSLIELVRLPKLVAFCPDVHVSFACPLLKRLNLYSCPNMKTLCFAIPSSTVLNGSVDHIPSNNGLDGKPIRSSIVRGVLRRGREQKYVSRNEVLLIKNEEEDPSLSHIDEKREACYAFPSKLIEGFPNLENLRVENSDTLEVIFSFEGLILQEYHTSTGILNSLKELQLSSLSKLMHIWFKIPLEVSAFQNLQVLKIISCDNLTYLFSPYLVKLLVMLQQIEVTSCQRMMEIIAKEDEEEEQEANMNKIVFPQLRSLIFANLPNLKSFYSGTYALELPKLEKLKIRDENYPLIGDLNATVKEAMLTRILTHCKKTLRRIEDGQESEGHLSNIRVLEVEKCENLVNLIPFNFVECLQKLEKLIVCNCGSLMEMFEYQGMDTEGGNLVTFPCLEEVHLADLPKLMHIFNKIPENFIGFQKLIKLQFHTCGSLRNVFSVIVAKGLVQLQELDIKSCNMLEEIIVAEEDEKEDQSNKEKIVLPQLRSLRLRNLPNLKSFYNGIYALEFPLLEILNFWVCNGMKTFSYGSLSMPKLKEVKINYGFHQLTGSPDLNATMSQLLYMKKEELDVTMEEPDTRCYYGRT >Manes.03G063225.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7050483:7061977:-1 gene:Manes.03G063225.v8.1 transcript:Manes.03G063225.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCIAIGSAVAGEIAKTLLAPITGSIGHLFSCNTDIKKLEKELKKLVDKKATEVDPRVREAERNLEIISVSVIWWQKEVDDISQKGKEFLENDIEVIGKCFNGHCPDPKLRYSLSRKAKKMTKKVLALLQEAAKFGEIAHPGPPAKIGSIFITEGIRDFESRESIKNEVWEALKDDKLTMISICGTGGVGKTTMVKKLVQRIEREKLFDEVVMAVVSQTPNIKKIQYDIASCLSLKLCDESELTSAGKLRQRFINCGKRILLILDDVWSELDFERIGLPPRGERKGHTIMLTSRNKDVCNKLGSEKNFPMDVLTNEEAWDLFEEIASISIDQDLHHTATEIANECGGLPIAIVTVAKALKNKMRNIWDDALQQLKNSNLQGVSGDAFSKIELSYKFLEHEEAKLCFLLCSLFPEDFNIRVEDLVRYGMGLRLFKNVDNVHQARDRVYALIYELKESFLLLEGDHKWYDSVKMHDIVRDVAISIASRDKQWYMLQTDARIKEWQEKAGYKNCTAISLVCEKIIERPNDFECPKLELLQLSYDCQSQSLPNNIFGGMKELKVLALALGIPSLPPSLDVLKNLRTLHLEKFDSEEMHTIGTLVKLEILEIATCYLHELPGEIGLLKNLRLLDLRGVINLRYIPPGLLLGLSRLEELYVTDKFMMKWQSKEDGKKTNASLSELETHHITALEITVPKASILPKDLVFRNLIRFKIFIGHKFAYGSLIDRDSVNVLHLEGDASDIKGTEICAWLMRKAEVLNLIEVQNLKKVLYELEDYDFPDMKRTPFHECVGQEFLVDALEIVPRSREIQLSYFRNLREVNIRSCGKLKYFIPVSMARGLSQLHRILIAGCQEMEAVFHKTEADDEIEFLELVALKLDHLPKFLGFVINPSLTSKDMEQPGTSQMDNRTETKYPQNQERTGLVEMISTLFSSLCPRLPNLQELNLHSCGLLKIVFPPSVAQQLVQLKKLIIRGCPEMEYIVAEPQEEEKNKRISKIVFPNLILLDFHELPKLVAFCPDSHISFDWLSLKELTLICCPEMKTICATIPGSSALNKSFDQSDIIGGKKIMPRGGLFNQALVRRGREQQNFSSRKDIYQPGTFQMNNENLHSSIEPVDMISIFLPSNRLRLQNLQMLHLGGCDSVKVIFPPSVAQQLVQLQYLNIRMCSAMEYIVAETEEQEKNKGTNKIVFPNLSLIELVRLPKLVAFCPDVHVSFACPLLKRLNLYSCPNMKTLCFAIPSSTVLNGSVDHIPSNNGLDGKPIRSSIVRGVLRRGREQKYVSRNEVLLIKNEEEDPSLSHIDEKREACYAFPSKLIEGFPNLENLRVENSDTLEVIFSFEGLILQEYHTSTGILNSLKELQLSSLSKLMHIWFKIPLEVSAFQNLQVLKIISCDNLTYLFSPYLVKLLVMLQQIEVTSCQRMMEIIAKEDEEEEQEANMNKIVFPQLRSLIFANLPNLKSFYSGTYALELPKLEKLKIRDENYPLIGDLNATVKEAMLTRILTHCKKTLRRIEDGQESEGHLSNIRVLEVEKCENLVNLIPFNFVECLQKLEKLIVCNCGSLMEMFEYQGMDTEGGNLVTFPCLEEVHLADLPKLMHIFNKIPENFIGFQKLIKLQFHTCGSLRNVFSVIVAKGLVQLQELDIKSCNMLEEIIVAEEDEKEDQSNKEKIVLPQLRSLRLRNLPNLKSFYNGIYALEFPLLEILNFWVCNGMKTFSYGSLSMPKLKEVKINYGFHQLTGSPDLNATMSQLLYMKKEELDVTMEEPDTRCYYGRT >Manes.03G063225.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7051213:7061977:-1 gene:Manes.03G063225.v8.1 transcript:Manes.03G063225.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCIAIGSAVAGEIAKTLLAPITGSIGHLFSCNTDIKKLEKELKKLVDKKATEVDPRVREAERNLEIISVSVIWWQKEVDDISQKGKEFLENDIEVIGKCFNGHCPDPKLRYSLSRKAKKMTKKVLALLQEAAKFGEIAHPGPPAKIGSIFITEGIRDFESRESIKNEVWEALKDDKLTMISICGTGGVGKTTMVKKLVQRIEREKLFDEVVMAVVSQTPNIKKIQYDIASCLSLKLCDESELTSAGKLRQRFINCGKRILLILDDVWSELDFERIGLPPRGERKGHTIMLTSRNKDVCNKLGSEKNFPMDVLTNEEAWDLFEEIASISIDQDLHHTATEIANECGGLPIAIVTVAKALKNKMRNIWDDALQQLKNSNLQGVSGDAFSKIELSYKFLEHEEAKLCFLLCSLFPEDFNIRVEDLVRYGMGLRLFKNVDNVHQARDRVYALIYELKESFLLLEGDHKWYDSVKMHDIVRDVAISIASRDKQWYMLQTDARIKEWQEKAGYKNCTAISLVCEKIIERPNDFECPKLELLQLSYDCQSQSLPNNIFGGMKELKVLALALGIPSLPPSLDVLKNLRTLHLEKFDSEEMHTIGTLVKLEILEIATCYLHELPGEIGLLKNLRLLDLRGVINLRYIPPGLLLGLSRLEELYVTDKFMMKWQSKEDGKKTNASLSELETHHITALEITVPKASILPKDLVFRNLIRFKIFIGHKFAYGSLIDRDSVNVLHLEGDASDIKGTEICAWLMRKAEVLNLIEVQNLKKVLYELEDYDFPDMKRTPFHECVGQEFLVDALEIVPRSREIQLSYFRNLREVNIRSCGKLKYFIPVSMARGLSQLHRILIAGCQEMEAVFHKTEADDEIEFLELVALKLDHLPKFLGFVINPSLTSKDMEQPGTSQMDNRTETKYPQNQERTGLVEMISTLFSSLCPRLPNLQELNLHSCGLLKIVFPPSVAQQLVQLKKLIIRGCPEMEYIVAEPQEEEKNKRISKIVFPNLILLDFHELPKLVAFCPDSHISFDWLSLKELTLICCPEMKTICATIPGSSALNKSFDQSDIIGGKKIMPRGGLFNQALVRRGREQQNFSSRKDIYQPGTFQMNNENLHSSIEPVDMISIFLPSNRLRLQNLQMLHLGGCDSVKVIFPPSVAQQLVQLQYLNIRMCSAMEYIVAETEEQEKNKGTNKIVFPNLSLIELVRLPKLVAFCPDVHVSFACPLLKRLNLYSCPNMKTLCFAIPSSTVLNGSVDHIPSNNGLDGKPIRSSIVRGVLRRGREQKYVSRNEVLLIKNEEEDPSLSHIDEKREACYAFPSKLIEGFPNLENLRVENSDTLEVIFSFEGLILQEYHTSTGILNSLKELQLSSLSKLMHIWFKIPLEVSAFQNLQVLKIISCDNLTYLFSPYLVKLLVMLQQIEVTSCQRMMEIIAKEDEEEEQEANMNKIVFPQLRSLIFANLPNLKSFYSGTYALELPKLEKLKIRDENYPLIGDLNATVKEAMLTRILTHCKKTLRRIEDGQESEGHLSNIRVLEVEKCENLVNLIPFNFVECLQKLEKLIVCNCGSLMEMFEYQGMDTEGGNLVTFPCLEEVHLADLPKLMHIFNKIPENFIGFQKLIKLQFHTCGSLRNVFSVIVAKGLVQLQELDIKSCNMLEEIIVAEEDEKEDQSNKEKIVLPQLRSLRLRNLPNLKSFYNGIYALEFPLLEILNFWVCNGMKTFSYGSLSMPKLKEVKINYGFHQLTGSPDLNATMSQLLYMKKEELDVTMEEPDTRCYYGRT >Manes.03G063225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7050477:7063314:-1 gene:Manes.03G063225.v8.1 transcript:Manes.03G063225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCIAIGSAVAGEIAKTLLAPITGSIGHLFSCNTDIKKLEKELKKLVDKKATEVDPRVREAERNLEIISVSVIWWQKEVDDISQKGKEFLENDIEVIGKCFNGHCPDPKLRYSLSRKAKKMTKKVLALLQEAAKFGEIAHPGPPAKIGSIFITEGIRDFESRESIKNEVWEALKDDKLTMISICGTGGVGKTTMVKKLVQRIEREKLFDEVVMAVVSQTPNIKKIQYDIASCLSLKLCDESELTSAGKLRQRFINCGKRILLILDDVWSELDFERIGLPPRGERKGHTIMLTSRNKDVCNKLGSEKNFPMDVLTNEEAWDLFEEIASISIDQDLHHTATEIANECGGLPIAIVTVAKALKNKMRNIWDDALQQLKNSNLQGVSGDAFSKIELSYKFLEHEEAKLCFLLCSLFPEDFNIRVEDLVRYGMGLRLFKNVDNVHQARDRVYALIYELKESFLLLEGDHKWYDSVKMHDIVRDVAISIASRDKQWYMLQTDARIKEWQEKAGYKNCTAISLVCEKIIERPNDFECPKLELLQLSYDCQSQSLPNNIFGGMKELKVLALALGIPSLPPSLDVLKNLRTLHLEKFDSEEMHTIGTLVKLEILEIATCYLHELPGEIGLLKNLRLLDLRGVINLRYIPPGLLLGLSRLEELYVTDKFMMKWQSKEDGKKTNASLSELETHHITALEITVPKASILPKDLVFRNLIRFKIFIGHKFAYGSLIDRDSVNVLHLEGDASDIKGTEICAWLMRKAEVLNLIEVQNLKKVLYELEDYDFPDMKRTPFHECVGQEFLVDALEIVPRSREIQLSYFRNLREVNIRSCGKLKYFIPVSMARGLSQLHRILIAGCQEMEAVFHKTEADDEIEFLELVALKLDHLPKFLGFVINPSLTSKDMEQPGTSQMDNRTETKYPQNQERTGLVEMISTLFSSLCPRLPNLQELNLHSCGLLKIVFPPSVAQQLVQLKKLIIRGCPEMEYIVAEPQEEEKNKRISKIVFPNLILLDFHELPKLVAFCPDSHISFDWLSLKELTLICCPEMKTICATIPGSSALNKSFDQSDIIGGKKIMPRGGLFNQALVRRGREQQNFSSRKDIYQPGTFQMNNENLHSSIEPVDMISIFLPSNRLRLQNLQMLHLGGCDSVKVIFPPSVAQQLVQLQYLNIRMCSAMEYIVAETEEQEKNKGTNKIVFPNLSLIELVRLPKLVAFCPDVHVSFACPLLKRLNLYSCPNMKTLCFAIPSSTVLNGSVDHIPSNNGLDGKPIRSSIVRGVLRRGREQKYVSRNEVLLIKNEEEDPSLSHIDEKREACYAFPSKLIEGFPNLENLRVENSDTLEVIFSFEGLILQEYHTSTGILNSLKELQLSSLSKLMHIWFKIPLEVSAFQNLQVLKIISCDNLTYLFSPYLVKLLVMLQQIEVTSCQRMMEIIAKEDEEEEQEANMNKIVFPQLRSLIFANLPNLKSFYSGTYALELPKLEKLKIRDENYPLIGDLNATVKEAMLTRILTHCKKTLRRIEDGQESEGHLSNIRVLEVEKCENLVNLIPFNFVECLQKLEKLIVCNCGSLMEMFEYQGMDTEGGNLVTFPCLEEVHLADLPKLMHIFNKIPENFIGFQKLIKLQFHTCGSLRNVFSVIVAKGLVQLQELDIKSCNMLEEIIVAEEDEKEDQSNKEKIVLPQLRSLRLRNLPNLKSFYNGIYALEFPLLEILNFWVCNGMKTFSYGSLSMPKLKEVKINYGFHQLTGSPDLNATMSQLLYMKKEELDVTMEEPDTRCYYGRT >Manes.15G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7401587:7402195:-1 gene:Manes.15G095000.v8.1 transcript:Manes.15G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTNRIVIFLAFAICISSLFHLTHGEHIFMVKGNVYCDICRVQLITKTAKFIQGAKVRLECKNRKSGAITFTADAETNKDGEYQIKVYGEHLQKDELCEMIPIFSPDPNCNEISRDPSVRKANQITLAELKGMSSNTRTVTSIGFLTKKVPPECDEILKKTSVTAFGLVP >Manes.01G009500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3059769:3061511:-1 gene:Manes.01G009500.v8.1 transcript:Manes.01G009500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEHPKSDKRFSRSIINLQDHCSSNIMEGRSRKVTFTNQTPATSQRVERRKMEYQRSISHGNGKSWLFSASYFSLESFVLLICLTASLLILPLILPPLPPPPFSLLLLPIGILAVLMILAFMPTNARDMSFTYV >Manes.01G009500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3059769:3061545:-1 gene:Manes.01G009500.v8.1 transcript:Manes.01G009500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEHPKSDKRFSRSIINLQDHCSSNIMEGRSRKVTFTNQTPATSQRVERRKMEYQRSISHGNGKSWLFSASYFSLESFVLLICLTASLLILPLILPPLPPPPFSLLLLPIGILAVLMILAFMPTNARDMSFTYV >Manes.06G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12871713:12877033:-1 gene:Manes.06G041800.v8.1 transcript:Manes.06G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKDSEEVTPEIESLVDNSNINKKQSWPLHCEILHAQMDNWDKDSSLISSGDGISLCNSFPLDSICEDRVALERKHNIFPVLRSGEWSDIGGRPYMEDTHICISDLAKEFGYNLLREEPVSFYGVFDGHGGKNAAHFVRDHLPRVIVEDADFPLELEKAVTRSFVETDTAFAETCTLESGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAVEMSNDHRPCCVNERTRIESLGGYVEDGYLNGLLAVTRALGDWHLEGMKVKGGDRGGPLSAEPELKLITLTKDDEFLIIGSDGIWDVFSSQNSVSFARRRLQEHNDVKLCCKEIVEEAIKRGATDNLTVVVISFHLEPPPQVAVERGRVRRSISAEGLQSLKCLLQG >Manes.06G041800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12871715:12876996:-1 gene:Manes.06G041800.v8.1 transcript:Manes.06G041800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKDSEEVTPEIESLVDNSNINKKQSWPLHCEILHAQMDNWDKDSSLISSGDGISLCNSFPLDSICEDRVALERKHNIFPVLRSGEWSDIGGRPYMEDTHICISDLAKEFGYNLLREEPVSFYGVFDGHGGKNAAHFVRDHLPRVIVEDADFPLELEKAVTRSFVETDTAFAETCTLESGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAVEMSNDHRPCCVNERTRIESLGGYVEDGYLNGLLAVTRALGDWHLEGMKVKGGDRGGPLSAEPELKLITLTKDDEFLIIGSDGIWDVFSSQNSVSFARRRLQEHNDVKLCCKEIVEEAIKRGATDNLTVVVISFHLEPPPQVAVERGRVRRSISAEGLQSLKCLLQGLHASSKENLHGIMHEKFLAD >Manes.09G088666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25231014:25236290:-1 gene:Manes.09G088666.v8.1 transcript:Manes.09G088666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSTNNSHNGLDMTTKTPSDCNITWCPALRAPQVFMVPGPSGSSGLH >Manes.04G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30898878:30902582:-1 gene:Manes.04G103900.v8.1 transcript:Manes.04G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMEQIEKSQLNMLRLVEEEKKEREEILNKMHQVVKEMEAMQELSMVNEELQGKIQAMEEMNKQLKEKVEEFVEVETLHKGNHELQEARKELIEALKHTWSSTGRANIGIKEMGKIDEKPFLRACKQIYRPCKAQLQATTQCSLWQENLKDQDWYPFKTIFISDCEGNISKMEEVVDEEDEKLKILKEEWGCDVYMAVATALKELNEYNPTGRSVVPELWNFKEQRKATLKEVIIAYMVKNMATLKRKRGTEVYCQ >Manes.04G103900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30898878:30902582:-1 gene:Manes.04G103900.v8.1 transcript:Manes.04G103900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFVYNCPSERMKVKQLERDNCVGKLLKEVKNLKDELKAKKRSIRECRNKELVEREALIELERLKLDEDKRMIEKSQLNMLRLVEEEKKEREEILNKMHQVVKEMEAMQELSMVNEELQGKIQAMEEMNKQLKEKVEEFVEVETLHKGNHELQEARKELIEALKHTWSSTGRANIGIKEMGKIDEKPFLRACKQIYRPCKAQLQATTQCSLWQENLKDQDWYPFKTIFISDCEGNISKMEEVVDEEDEKLKILKEEWGCDVYMAVATALKELNEYNPTGRSVVPELWNFKEQRKATLKEVIIAYMVKNMATLKRKRGTEVYCQ >Manes.04G103900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30898878:30902520:-1 gene:Manes.04G103900.v8.1 transcript:Manes.04G103900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKMSNLHYFRSKERMKVKQLERDNCVGKLLKEVKNLKDELKAKKRSIRECRNKELVEREALIELERLKLDEDKRMIEKSQLNMLRLVEEEKKEREEILNKMHQVVKEMEAMQELSMVNEELQGKIQAMEEMNKQLKEKVEEFVEVETLHKGNHELQEARKELIEALKHTWSSTGRANIGIKEMGKIDEKPFLRACKQIYRPCKAQLQATTQCSLWQENLKDQDWYPFKTIFISDCEGNISKMEEVVDEEDEKLKILKEEWGCDVYMAVATALKELNEYNPTGRSVVPELWNFKEQRKATLKEVIIAYMVKNMATLKRKRGTEVYCQ >Manes.04G103900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30898878:30902582:-1 gene:Manes.04G103900.v8.1 transcript:Manes.04G103900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFVYNCPSERMKVKQLERDNCVGKLLKEVKNLKDELKAKKRSIRECRNKELVEREALIELERLKLDEDKRMNYERNVLLMLASMEQIEKSQLNMLRLVEEEKKEREEILNKMHQVVKEMEAMQELSMVNEELQGKIQAMEEMNKQLKEKVEEFVEVETLHKGNHELQEARKELIEALKHTWSSTGRANIGIKEMGKIDEKPFLRACKQIYRPCKAQLQATTQCSLWQENLKDQDWYPFKTIFISDCEGNISKMEEVVDEEDEKLKILKEEWGCDVYMAVATALKELNEYNPTGRSVVPELWNFKEQRKATLKEVIIAYMVKNMATLKRKRGTEVYCQ >Manes.04G103900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30898878:30902520:-1 gene:Manes.04G103900.v8.1 transcript:Manes.04G103900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKMSNLHYFRSKERMKVKQLERDNCVGKLLKEVKNLKDELKAKKRSIRECRNKELVEREALIELERLKLDEDKRMNYERNVLLMLASMEQIEKSQLNMLRLVEEEKKEREEILNKMHQVVKEMEAMQELSMVNEELQGKIQAMEEMNKQLKEKVEEFVEVETLHKGNHELQEARKELIEALKHTWSSTGRANIGIKEMGKIDEKPFLRACKQIYRPCKAQLQATTQCSLWQENLKDQDWYPFKTIFISDCEGNISKMEEVVDEEDEKLKILKEEWGCDVYMAVATALKELNEYNPTGRSVVPELWNFKEQRKATLKEVIIAYMVKNMATLKRKRGTEVYCQ >Manes.02G013500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1332121:1336782:-1 gene:Manes.02G013500.v8.1 transcript:Manes.02G013500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWYLVAALLTVLTSSQGILTTLSQSEGRYLYDYATVPFLAEFFKLVVSGLLLWREHRTSPSVRMTTDWRTVRLYPIPSVIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGILFRLFLRRKLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSLFSAPIQGYLLGILSACLSALAGVYTEFLMKKNNDSFYWQNVQLYTFGVIFNMAWLLLDDFRSGFENGAWWQHLLNGYGITTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSIYLFSFKPTLQLFLGIIICMMSLHMYFAPPNMLVDLPTTIKAPHPPEGLKEVSIEQRTDS >Manes.18G119500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12600143:12600679:1 gene:Manes.18G119500.v8.1 transcript:Manes.18G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQHLHHRSSSPNAANQSKYKGVRRRKWGKWVSEIRVPNTHERLWLGTYSTPEAAAVAFDLASYCLRGGEQFTSLNGRLNFPQLLPSHVRDNMSPKSIQKAASNAGMAIDAHMILNMTRSSSNESIRNEGSSDSSVDVGLSEVEINWENVCSCGSCEGTQTKYDRESLNISVEDYL >Manes.13G019200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2874069:2874951:-1 gene:Manes.13G019200.v8.1 transcript:Manes.13G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMATMAMLNAKCLSINSTKNKPSTKPISLLSMQNLPKGLTISKPADNTALAGTAIAGAIFSTLSSCDPALAAQQIAEIAAEGDNRGTALLLPIIPAIAWVLFNILQPALNQLNRMRETKGVIIGVGLGGLAASGLMLAPDASAGEIAMIADATSDNRGQLLLFVVAPAILWVLYNILQPALNQLNRMRSE >Manes.06G053300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16288187:16290876:1 gene:Manes.06G053300.v8.1 transcript:Manes.06G053300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRRDRSVSFDRCKASPYTCSSSCSRQSSPKIHSETEENLKEWEEARCPVCMEHPHNAVLLICASHEKGCRPYMCDTSYRHSNCLDQFCKSFTEASLTAPQPEESGITTANLASVGSSEPMVNVEQSEEEPLSARTIPYEKEKQPILVCPLCRGQIKEWIAMEPARRFMNAKSRSCACESCDFSGTYSDLRKHARLEHPLVRPSQADPLRQRNWRMLERQRDLGDLISTLHSSFGEERDDDNIMPIDDGGWLTVFFLIRVFRPGSSTRSSSWSGSSRARGQLNFRRRSTRLWGETHDVETGSSSRDEENDSSDGGSALWRHSERIQRQTTPDHL >Manes.06G053300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16288153:16291216:1 gene:Manes.06G053300.v8.1 transcript:Manes.06G053300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRRDRSVSFDRCKASPYTCSSSCSRQSSPKIHSETEENLKEWEEARCPVCMEHPHNAVLLICASHEKGCRPYMCDTSYRHSNCLDQFCKSFTEASLTAPQPEESGITTANLASVGSSEPMVNVEQSEEEPLSARTIPYEKEKQPILVCPLCRGQIKEWIAMEPARRFMNAKSRSCACESCDFSGTYSDLRKHARLEHPLVRPSQADPLRQRNWRMLERQRDLGDLISTLHSSFGEERDDDNIMPIDDGGWLTVFFLIRVFRPGSSTRSSSWSGSSRARGQLNFRRRSTRLWGETHDVETGSSSRDEENDSSDGGSALWRHSERIQRQTTPDHL >Manes.06G053300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16288187:16290876:1 gene:Manes.06G053300.v8.1 transcript:Manes.06G053300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRRDRSVSFDRCKASPYTCSSSCSRQSSPKIHSETEENLKEWEEARCPVCMEHPHNAVLLICASHEKGCRPYMCDTSYRHSNCLDQFCKSFTEASLTAPQPEESGITTANLASVGSSEPMVNVEQSEEEPLSARTIPYEKEKQPILVCPLCRGQIKEWIAMEPARRFMNAKSRSCACESCDFSGTYSDLRKHARLEHPLVRPSQADPLRQRNWRMLERQRDLGDLISTLHSSFGEERDDDNIMPIDDGGWLTVFFLIRVFRPGSSTRSSSWSGSSRARGQLNFRRRSTRLWGETHDVETGSSSRDEENDSSDGGSALWRHSERIQRQTTPDHL >Manes.06G163200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29038091:29041558:-1 gene:Manes.06G163200.v8.1 transcript:Manes.06G163200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATIITTLTARRPKRQYPPAPPAPRILQLPRRKPPAKASAAKSGLQRNRKGKLETLFDQEREFTRGVMPIVTVSGGGDDQSEERRERVERRESVVMEEEKWKFQAEMLRAECNLLRMEREIAVKKMERRRVHVERALRSAVQTLLSGREKMCDGKNARVVLEEGIIELVEKLDKLQRRSRNKDLDVRKCSNFDKQASLLQRRLEKFSGGSDEIYVKEIQEMAEASLSINTSSSTKENFVSTRSSSSNNVQMEVLRRKMEGLSNGSLLERMEFEYGLMLSTEATSSNFAATSKQIESSELSSSSVRQPCKEREPYEERACSGCCKAIVQRVVEQVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDYDHQIQLLHSAVKEWRRKALSSEAKANDLKAQAILLRAELEKLRKEKTREETSRTKSSAANLHDSPNEMEKRVLVCRLKENRQPNDDCCKQESLGDRRKKQPTCSSRINETKRSPFRDLGNSPTLVRQNSRAVFPLHCPLPANVMRDS >Manes.06G163200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29038331:29041418:-1 gene:Manes.06G163200.v8.1 transcript:Manes.06G163200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATIITTLTARRPKRQYPPAPPAPRILQLPRRKPPAKASAAKSGLQRNRKGKLETLFDQEREFTRGVMPIVTVSGGGDDQSEERRERVERRESVVMEEEKWKFQAEMLRAECNLLRMEREIAVKKMERRRVHVERALRSAVQTLLSGREKMCDGKNARVVLEEGIIELVEKLDKLQRRSRNKDLDVRKCSNFDKQASLLQRRLEKFSGGSDEIYVKEIQEMAEASLSINTSSSTKENFVSTRSSSSNNVQMEVLRRKMEGLSNGSLLERMEFEYGLMLSTEATSSNFAATSKQIESSELSSSSVRQPCKEREPYEERACSGCCKAIVQRVVEQVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDYDHQIQLLHSAVKEWRRKALSSEAKANDLKAQAILLRAELEKLRKEKTREETSRTKSSAANLHDSPNEMEKRVLVCRLKENRQPNDDCCKQESLGDRRKKQPTCSSRINETKRSPFRDLGNSPTLVRQNSRAVFPLHCPLPANVMRDS >Manes.06G163200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29038331:29041418:-1 gene:Manes.06G163200.v8.1 transcript:Manes.06G163200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATIITTLTARRPKRQYPPAPPAPRILQLPRRKPPAKASAAKSGLQRNRKGKLETLFDQEREFTRGVMPIVTVSGGGDDQSEERRERVERRESVVMEEEKWKFQAEMLRAECNLLRMEREIAVKKMERRRVHVERALRSAVQTLLSGREKMCDGKNARVVLEEGIIELVEKLDKLQRRSRNKDLDVRKCSNFDKQASLLQRRLEKFSGGSDEIYVKEIQEMAEASLSINTSSSTKENFVSTRSSSSNMEVLRRKMEGLSNGSLLERMEFEYGLMLSTEATSSNFAATSKQIESSELSSSSVRQPCKEREPYEERACSGCCKAIVQRVVEQVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDYDHQIQLLHSAVKEWRRKALSSEAKANDLKAQAILLRAELEKLRKEKTREETSRTKSSAANLHDSPNEMEKRVLVCRLKENRQPNDDCCKQESLGDRRKKQPTCSSRINETKRSPFRDLGNSPTLVRQNSRAVFPLHCPLPANVMRDS >Manes.06G163200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29038091:29041558:-1 gene:Manes.06G163200.v8.1 transcript:Manes.06G163200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATIITTLTARRPKRQYPPAPPAPRILQLPRRKPPAKASAAKSGLQRNRKGKLETLFDQEREFTRGVMPIVTVSGGGDDQSEERRERVERRESVVMEEEKWKFQAEMLRAECNLLRMEREIAVKKMERRRVHVERALRSAVQTLLSGREKMCDGKNARVVLEEGIIELVEKLDKLQRRSRNKDLDVRKCSNFDKQASLLQRRLEKFSGGSDEIYVKEIQEMAEASLSINTSSSTKENFVSTRSSSSNMEVLRRKMEGLSNGSLLERMEFEYGLMLSTEATSSNFAATSKQIESSELSSSSVRQPCKEREPYEERACSGCCKAIVQRVVEQVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDYDHQIQLLHSAVKEWRRKALSSEAKANDLKAQAILLRAELEKLRKEKTREETSRTKSSAANLHDSPNEMEKRVLVCRLKENRQPNDDCCKQESLGDRRKKQPTCSSRINETKRSPFRDLGNSPTLVRQNSRAVFPLHCPLPANVMRDS >Manes.11G040408.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:4119943:4120839:1 gene:Manes.11G040408.v8.1 transcript:Manes.11G040408.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTTGTGKGGGSLSVIKKTVNLSLIMSITFSYNFSYLDMKYQIELKTLILLFCILFFFRKSKKTSPLYRVILHDDDYHTVDFVIQKLMKFIPGMTRENADNIARDVHYKGSADVIVCAQADAEGYCMQLKGTGLGSTIEPASGWR >Manes.04G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:658895:661120:-1 gene:Manes.04G004500.v8.1 transcript:Manes.04G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLISSLCILGMLAVAAAVILVCIAAYGYKRVKPPPPKICGSPNGPPITSPRIKLSDGRHLSYRERGVPKEIAKYKVILVHGFDSSKDIYLPLSQEVMEELSVYVLTFDRAGYGESDPNPKRSVKSEAFDIQELADQLHLGPKFHVIGVSIGTYSIWACLKYIPHRLAGVTLVVPVINFWWPSFPPKLAKEVFRKQLKRDQVKLTIAHHFPALVYWWMTQKLFPYSSIMQRHPILLNKRDLETIKQMSQVPNPHEHKVRQQGVHESLHRDMIVHFGKWEFDPMKLKNPFPDNEACVYLWEGHNDKLVPFELQRYVAEKLPWIKYHEVPDGGHLMIHEKGLCEAIFRELLLGEESSF >Manes.08G022400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2249546:2280356:-1 gene:Manes.08G022400.v8.1 transcript:Manes.08G022400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYTVSGIRFPCAPSLYKSQLTSFHGGRRTSSGLSFLLKKELFPRKIFAGKSSYESDSSNLTVSASEKVLVPDDQIDGSSSSTYQLETTGTVLEESQVLGDAESLVMEDDKNVEEDEVKKESVPLHETISIGKSESKPRSIPPPGSGQRIYDIDPSLAGFRQHLDYRYSQYKRLREEIDKYEGGLDAFSRGFEKFGFLRSETGITYREWAPGATWAALIGDFNNWNPNADVMTRNEFGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNAIYYDPPKEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDMLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLLVLMDVVHSHASNNTLDGLNMFDGTDSHYFHSGSRGHHWLWDSRLFNYGSWEVLRFLLSNARWWLEEYRFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVDAVIYLMLVNDMIHGLFPEAVTIGEDVSGKPTFCIPVEDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGDIVHTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMARDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDRHLPNGKVIPGNNHSYDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEAYVHDF >Manes.08G022400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2249546:2280356:-1 gene:Manes.08G022400.v8.1 transcript:Manes.08G022400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYTVSGIRFPCAPSLYKSQLTSFHGGRRTSSGLSFLLKKELFPRKIFAGKSSYESDSSNLTVSASEKVLVPDDQIDGSSSSTYQLETTGTVLEESQVLGDAESLVMEDDKNVEEDEVKKESVPLHETISIGKSESKPRSIPPPGSGQRIYDIDPSLAGFRQHLDYRYSQYKRLREEIDKYEGGLDAFSRGFEKFGFLRSETGITYREWAPGATWAALIGDFNNWNPNADVMTRNEFGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNAIYYDPPKEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDMLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLLVLMDVVHSHASNNTLDGLNMFDGTDSHYFHSGSRGHHWLWDSRLFNYGSWEVLRFLLSNARWWLEEYRFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVDAVIYLMLVNDMIHGLFPEAVTIGEDVSGKPTFCIPVEDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGDIVHTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMARDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDRHLPNGKVIPGNNHSYDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEAYGFMTSEHQYISRKDEGDRIIVFERGNLVFVFNFHWTNSYSDYRVGCFKSGKYKIVLDSDDGLFGGFNRLSHDAEHFTFDGWYDNRPRSFMVYAPSRTAVVYALVEDEENEAKNEVESEVKPASG >Manes.08G022400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2249546:2280356:-1 gene:Manes.08G022400.v8.1 transcript:Manes.08G022400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKNVEEDEVKKESVPLHETISIGKSESKPRSIPPPGSGQRIYDIDPSLAGFRQHLDYRYSQYKRLREEIDKYEGGLDAFSRGFEKFGFLRSETGITYREWAPGATWAALIGDFNNWNPNADVMTRNEFGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNAIYYDPPKEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDMLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLLVLMDVVHSHASNNTLDGLNMFDGTDSHYFHSGSRGHHWLWDSRLFNYGSWEVLRFLLSNARWWLEEYRFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVDAVIYLMLVNDMIHGLFPEAVTIGEDVSGKPTFCIPVEDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGDIVHTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMARDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDRHLPNGKVIPGNNHSYDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEAYGFMTSEHQYISRKDEGDRIIVFERGNLVFVFNFHWTNSYSDYRVGCFKSGKYKIVLDSDDGLFGGFNRLSHDAEHFTFDGWYDNRPRSFMVYAPSRTAVVYALVEDEENEAKNEVESEVKPASG >Manes.08G022400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2249546:2280356:-1 gene:Manes.08G022400.v8.1 transcript:Manes.08G022400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRRNGEITFYQEMSSCGQVLGDAESLVMEDDKNVEEDEVKKESVPLHETISIGKSESKPRSIPPPGSGQRIYDIDPSLAGFRQHLDYRYSQYKRLREEIDKYEGGLDAFSRGFEKFGFLRSETGITYREWAPGATWAALIGDFNNWNPNADVMTRNEFGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNAIYYDPPKEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDMLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLLVLMDVVHSHASNNTLDGLNMFDGTDSHYFHSGSRGHHWLWDSRLFNYGSWEVLRFLLSNARWWLEEYRFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVDAVIYLMLVNDMIHGLFPEAVTIGEDVSGKPTFCIPVEDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGDIVHTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMARDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDRHLPNGKVIPGNNHSYDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEAYGFMTSEHQYISRKDEGDRIIVFERGNLVFVFNFHWTNSYSDYRVGCFKSGKYKIVLDSDDGLFGGFNRLSHDAEHFTFDGWYDNRPRSFMVYAPSRTAVVYALVEDEENEAKNEVESEVKPASG >Manes.08G022400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2250584:2267861:-1 gene:Manes.08G022400.v8.1 transcript:Manes.08G022400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNEFGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNAIYYDPPKEEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDMLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLLVLMDVVHSHASNNTLDGLNMFDGTDSHYFHSGSRGHHWLWDSRLFNYGSWEVLRFLLSNARWWLEEYRFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVDAVIYLMLVNDMIHGLFPEAVTIGEDVSGKPTFCIPVEDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGDIVHTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMARDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDRHLPNGKVIPGNNHSYDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEAYGFMTSEHQYISRKDEGDRIIVFERGNLVFVFNFHWTNSYSDYRVGCFKSGKYKIVLDSDDGLFGGFNRLSHDAEHFTFDGWYDNRPRSFMVYAPSRTAVVYALVEDEENEAKNEVESEVKPASG >Manes.08G022400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2249546:2280356:-1 gene:Manes.08G022400.v8.1 transcript:Manes.08G022400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYTVSGIRFPCAPSLYKSQLTSFHGGRRTSSGLSFLLKKELFPRKIFAGKSSYESDSSNLTVSASEKVLVPDDQIDGSSSSTYQLETTGTVLEESQVLGDAESLVMEDDKNVEEDEVKKESVPLHETISIGKSESKPRSIPPPGSGQRIYDIDPSLAGFRQHLDYRYSQYKRLREEIDKYEGGLDAFSRGFEKFGFLRSETGITYREWAPGATWAALIGDFNNWNPNADVMTRNEFGVWEIFLPNNADGSPPIPHGSRVKEKYVFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDMLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLLVLMDVVHSHASNNTLDGLNMFDGTDSHYFHSGSRGHHWLWDSRLFNYGSWEVLRFLLSNARWWLEEYRFDGFRFDGVTSMMYTHHGLQVAFTGNYNEYFGYATDVDAVIYLMLVNDMIHGLFPEAVTIGEDVSGKPTFCIPVEDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGDIVHTLTNRRWLEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMARDRPSTPLIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDRHLPNGKVIPGNNHSYDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEAYGFMTSEHQYISRKDEGDRIIVFERGNLVFVFNFHWTNSYSDYRVGCFKSGKYKIVLDSDDGLFGGFNRLSHDAEHFTFDGWYDNRPRSFMVYAPSRTAVVYALVEDEENEAKNEVESEVKPASG >Manes.04G154900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35012474:35016639:-1 gene:Manes.04G154900.v8.1 transcript:Manes.04G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKSKLKSKDKASAKVTKEQQKVSTKPAGSTNMVSGSPASAYNPISGTFHTLDMQSVASSPPFHDNGRFRNIDDTDEHSSSPHGTVSEYDSVSNNGSCSGESEDPKEKITNSARHESVPGLDNDRREKIRLKNEKKHQRQRERRAQELHERCTGYLMSRKLEALSQQLVAMGFSHEQATLALVLNEGRVEESVNWLFEGSEEDTRSKDAKLGSSGNLKIDISEELAQIAAMEVRYKCSKQEVERAVVTCEGDLVKAEEILQAQKLEQPATALATEEVTDANNIKITPEKPISSASVAIQQRRGERDYNCVKTSIAVPMYSEPGSRNLQSLNQPKSLAEKRWATTGSSPSFSLAMASPVQTTSPSAKLEVRLAVPGNEGKNRPHTLREPVVMMQRPQSINAKQNLVSSASASPSVTAGWYSSNVSSVENARSLLPNQSGGSHNLVNQSSEQFYHPASYKESPFLFNGSADSTSAGLGGSWSMMGKCPSLAVPYEPQGSYGTTNASSPSLAAPSSLGLFSGWGSAGTLGPSHVDWNTGGLMPEFDYTSIDWTLDSNLSSSKPNGLWLGLSSLLRNTTGTRSGMNGSCISGLRDGGATKETAPSAGLHEWTSPFAGKDIFSLPRQFVTSPSP >Manes.11G161400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32676630:32687409:1 gene:Manes.11G161400.v8.1 transcript:Manes.11G161400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGNNLSRGSATMSSDVPPLTQCLPLEPIALGNQKYTRSGEVRRVLGVPLGSISEDHPFGVSHPKPPPPVATEELKHFKESVQDASRMARDRAKMLRDSLFKLDKYRETLSSKKRQRSELSLNERSNGAKMGSQIHRNPHDIMAQRLEERSKNVGMNKRVRTSVADVRSDGRSSSASRQHLIMEKGGDILQDAGGVIRRLPAGGEGWDTKNKKKRSVGLVGSRVINGDRDLKRAIHPKIGADSKLRSCDAQGFRSKSSPGVGGINKLDSTLEPSGSDTSTVLRNEMDTGTLSRDRLSPLEQKVVTKGSNKPNIHEDNLGSSPSTMIKPKVSRGPRTMVLDSSLRVQSSSTSLQGSASMAQWVGQRPHKNSRTRRANIVAPVSNNVETQIPSQGFQANDMTARTSLGANGSIVANSADNTIPKFKREIDSVPSPFGLSESEESGAGENKTKDKGIDSGEVALTATQKAGPFLMPARNNKLPTSEIGDGIRRQGRSGRGSLTRPAVHTVRDNLENLPTMKPLQSVKPTSDRNKSKTGRPPSKKLKERKSLARVGPLVNSGSVDFTGESDDDHEELFLAANSARNSSNRACCGPFWKKMESVFSSLSSVDMSYLKQQLSFAEELDESLSQMLGGGYNLLGVLVHKEISDYSGERQGNDSNQESFRNASLCGKIDIGKLEKGAPLYQRVLSALIEEEESEEFYFHGEGKNMPLHYASDDSHCGSCNLIDIEPKDRDRVESEVESKVNFQTQKNSFLERFSYDKSVASNTIRNPSMPNSLHSNEQWPGDDDFSQLESGHTSEISSNDVGQRQTKELNMSGFSSSDLKYQLMCLDDRVMLELQSIGICPETLPDLAEGEEVINQDIIGLKEGLYEQIGRKKRKLGKIGKAIQKGKEVEKRDIEQVAMDQLIEMAYRKRLACRGNNSSKSAVRKVSRQVALAFIKRTLARCRKFEDTGSSCFSEPALQEVIFATPPSNNDAKSVDCVGSGTASNTCNEVSNHHAEARGSGAVSSALERDDSHGDYFDRGKKREVLIDDVIGSASSRVTSTLDSGGLGGVKGRRSDRDRDQNKDAFKSERKTKSKPKQKINHFSTSGNGPRGSAGHSAANASNKTDREISLGNVPRDASKEADEGVDLGNLQLNELDTIGLDVPNELGGPPDLYSWLNFDDDGLQDHDSIGLAIPMDDLTELNMIM >Manes.11G161400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32676650:32687160:1 gene:Manes.11G161400.v8.1 transcript:Manes.11G161400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGNNLSRGSATMSSDVPPLTQCLPLEPIALGNQKYTRSGEVRRVLGVPLGSISEDHPFGVSHPKPPPPVATEELKHFKESVQDASRMARDRAKMLRDSLFKLDKYRETLSSKKRQRSELSLNERSNGAKMGSQIHRNPHDIMAQRLEERSKNVGMNKRVRTSVADVRSDGRSSSASRQHLIMEKGGDILQDAGGVIRRLPAGGEGWDTKNKKKRSVGLVGSRVINGDRDLKRAIHPKIGADSKLRSCDAQGFRSKSSPGVGGINKLDSTLEPSGSDTSTVLRNEMDTGTLSRDRLSPLEQKVVTKGSNKPNIHEDNLGSSPSTMIKPKVSRGPRTMVLDSSLRVQSSSTSLQGAEQPTSSNKVAVPGVVNNHKRQISAGSASMAQWVGQRPHKNSRTRRANIVAPVSNNVETQIPSQGFQANDMTARTSLGANGSIVANSADNTIPKFKREIDSVPSPFGLSESEESGAGENKTKDKGIDSGEVALTATQKAGPFLMPARNNKLPTSEIGDGIRRQGRSGRGSLTRPAVHTVRDNLENLPTMKPLQSVKPTSDRNKSKTGRPPSKKLKERKSLARVGPLVNSGSVDFTGESDDDHEELFLAANSARNSSNRACCGPFWKKMESVFSSLSSVDMSYLKQQLSFAEELDESLSQMLGGGYNLLGVLVHKEISDYSGERQGNDSNQESFRNASLCGKIDIGKLEKGAPLYQRVLSALIEEEESEEFYFHGEGKNMPLHYASDDSHCGSCNLIDIEPKDRDRVESEVESKVNFQTQKNSFLERFSYDKSVASNTIRNPSMPNSLHSNEQWPGDDDFSQLESGHTSEISSNDVGQRQTKELNMSGFSSSDLKYQLMCLDDRVMLELQSIGICPETLPDLAEGEEVINQDIIGLKEGLYEQIGRKKRKLGKIGKAIQKGKEVEKRDIEQVAMDQLIEMAYRKRLACRGNNSSKSAVRKVSRQVALAFIKRTLARCRKFEDTGSSCFSEPALQEVIFATPPSNNDAKSVDCVGSGTASNTCNEVSNHHAEARGSGAVSSALERDDSHGDYFDRGKKREVLIDDVIGSASSRVTSTLDSGGLGGVKGRRSDRDRDQNKDAFKSERKTKSKPKQKINHFSTSGNGPRGSAGHSAANASNKTDREISLGNVPRDASKEADEGVDLGNLQLNELDTIGLDVPNELGGPPDLYSWLNFDDDGLQDHDSIGLAIPMDDLTELNMIM >Manes.11G161400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32676650:32687160:1 gene:Manes.11G161400.v8.1 transcript:Manes.11G161400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGNNLSRGSATMSSDVPPLTQCLPLEPIALGNQKYTRSGEVRRVLGVPLGSISEDHPFGVSHPKPPPPVATEELKHFKESVQDASRMARDRAKMLRDSLFKLDKYRETLSSKKRQRSELSLNERSNGAKMGSQIHRNPHDIMAQRLEERSKNVGMNKRVRTSVADSDGRSSSASRQHLIMEKGGDILQDAGGVIRRLPAGGEGWDTKNKKKRSVGLVGSRVINGDRDLKRAIHPKIGADSKLRSCDAQGFRSKSSPGVGGINKLDSTLEPSGSDTSTVLRNEMDTGTLSRDRLSPLEQKVVTKGSNKPNIHEDNLGSSPSTMIKPKVSRGPRTMVLDSSLRVQSSSTSLQGAEQPTSSNKVAVPGVVNNHKRQISAGSASMAQWVGQRPHKNSRTRRANIVAPVSNNVETQIPSQGFQANDMTARTSLGANGSIVANSADNTIPKFKREIDSVPSPFGLSESEESGAGENKTKDKGIDSGEVALTATQKAGPFLMPARNNKLPTSEIGDGIRRQGRSGRGSLTRPAVHTVRDNLENLPTMKPLQSVKPTSDRNKSKTGRPPSKKLKERKSLARVGPLVNSGSVDFTGESDDDHEELFLAANSARNSSNRACCGPFWKKMESVFSSLSSVDMSYLKQQLSFAEELDESLSQMLGGGYNLLGVLVHKEISDYSGERQGNDSNQESFRNASLCGKIDIGKLEKGAPLYQRVLSALIEEEESEEFYFHGEGKNMPLHYASDDSHCGSCNLIDIEPKDRDRVESEVESKVNFQTQKNSFLERFSYDKSVASNTIRNPSMPNSLHSNEQWPGDDDFSQLESGHTSEISSNDVGQRQTKELNMSGFSSSDLKYQLMCLDDRVMLELQSIGICPETLPDLAEGEEVINQDIIGLKEGLYEQIGRKKRKLGKIGKAIQKGKEVEKRDIEQVAMDQLIEMAYRKRLACRGNNSSKSAVRKVSRQVALAFIKRTLARCRKFEDTGSSCFSEPALQEVIFATPPSNNDAKSVDCVGSGTASNTCNEVSNHHAEARGSGAVSSALERDDSHGDYFDRGKKREVLIDDVIGSASSRVTSTLDSGGLGGVKGRRSDRDRDQNKDAFKSERKTKSKPKQKINHFSTSGNGPRGSAGHSAANASNKTDREISLGNVPRDASKEADEGVDLGNLQLNELDTIGLDVPNELGGPPDLYSWLNFDDDGLQDHDSIGLAIPMDDLTELNMIM >Manes.11G161400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32676639:32687364:1 gene:Manes.11G161400.v8.1 transcript:Manes.11G161400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSGNNLSRGSATMSSDVPPLTQCLPLEPIALGNQKYTRSGEVRRVLGVPLGSISEDHPFGVSHPKPPPPVATEELKHFKESVQDASRMARDRAKMLRDSLFKLDKYRETLSSKKRQRSELSLNERSNGAKMGSQIHRNPHDIMAQRLEERSKNVGMNKRVRTSVADSDGRSSSASRQHLIMEKGGDILQDAGGVIRRLPAGGEGWDTKNKKKRSVGLVGSRVINGDRDLKRAIHPKIGADSKLRSCDAQGFRSKSSPGVGGINKLDSTLEPSGSDTSTVLRNEMDTGTLSRDRLSPLEQKVVTKGSNKPNIHEDNLGSSPSTMIKPKVSRGPRTMVLDSSLRVQSSSTSLQGSASMAQWVGQRPHKNSRTRRANIVAPVSNNVETQIPSQGFQANDMTARTSLGANGSIVANSADNTIPKFKREIDSVPSPFGLSESEESGAGENKTKDKGIDSGEVALTATQKAGPFLMPARNNKLPTSEIGDGIRRQGRSGRGSLTRPAVHTVRDNLENLPTMKPLQSVKPTSDRNKSKTGRPPSKKLKERKSLARVGPLVNSGSVDFTGESDDDHEELFLAANSARNSSNRACCGPFWKKMESVFSSLSSVDMSYLKQQLSFAEELDESLSQMLGGGYNLLGVLVHKEISDYSGERQGNDSNQESFRNASLCGKIDIGKLEKGAPLYQRVLSALIEEEESEEFYFHGEGKNMPLHYASDDSHCGSCNLIDIEPKDRDRVESEVESKVNFQTQKNSFLERFSYDKSVASNTIRNPSMPNSLHSNEQWPGDDDFSQLESGHTSEISSNDVGQRQTKELNMSGFSSSDLKYQLMCLDDRVMLELQSIGICPETLPDLAEGEEVINQDIIGLKEGLYEQIGRKKRKLGKIGKAIQKGKEVEKRDIEQVAMDQLIEMAYRKRLACRGNNSSKSAVRKVSRQVALAFIKRTLARCRKFEDTGSSCFSEPALQEVIFATPPSNNDAKSVDCVGSGTASNTCNEVSNHHAEARGSGAVSSALERDDSHGDYFDRGKKREVLIDDVIGSASSRVTSTLDSGGLGGVKGRRSDRDRDQNKDAFKSERKTKSKPKQKINHFSTSGNGPRGSAGHSAANASNKTDREISLGNVPRDASKEADEGVDLGNLQLNELDTIGLDVPNELGGPPDLYSWLNFDDDGLQDHDSIGLAIPMDDLTELNMIM >Manes.03G130950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25720866:25724257:-1 gene:Manes.03G130950.v8.1 transcript:Manes.03G130950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSASNGGSEGGGGGGDDDVAAAAATNQGAGRDMHANVHHGGSGKGGNGNGDGNGETHLKRGPWTAEEDAILAEYVRKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFSLEEERLIAGFHAKWGNKWARMASLLPGRTDNEIKNYWNTRVKRHQRRGIPLYPPDIQPQHPSSPHFHHHRSSSSCITPTTPTSSFTFPTPHPLAPTSATPPHLSLTTPSTSFPTLPLFDFSQPPHHHHTSHSTPTTPTSSFSFHTQLPSPSHAHIQNAASSVSPLSSPSTNASTNFPTLPLFDFSIPRTLPVLQTPMRFKRFSSSPNMATVTTTNSISPDSHFSLPLSPLPPNSTSNMPPLSHQMHSCFGSLVTSNSSSEFYDGLQEENQDMCSLLAGVTQPELPSNQYFLKTNQNRNLGIGVTTPISKFGRRASKKKISNSIKDNFNGNLGLTLEDLLQEFGQSSTEDSSLVLQEQKPKLLSSDGFGLHCDQSSPLALSSGLEPKDDVAGQFNAMPDDFSKVFETIPSPVQAELYNDSADISNGPSSDVTDDNIGFEMQHIASLFPPADNGRSTFGSCSWDNLPGIC >Manes.04G150100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34634366:34638343:-1 gene:Manes.04G150100.v8.1 transcript:Manes.04G150100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPATAAGRSSRRLTADFLWPDLKKPIGKIVGDLDDDFEADFQEFKDESDVDEEDDVLFDVKPFSFSATASPPPRNRSPSRGSTAVKSVEFNGLAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRASPKRTMKANPQKPLPKRNATESMSYLNNPDQDYFNTLGSVDEKPLVSQFDLMDSFPANGDATVKSIPPCDNVPTFFNSDQGSNSFECSDFGWGEQASKTPEISSVLSATPEIDESLFMDDANPKKKMKSDSENAVPIEESNGKSLSEELLAFDNQMNFQMPYLEGSWEASLDGFLNGDVTQDGGNPMDLWSFDDLPNMVGGVY >Manes.04G150100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34634366:34638343:-1 gene:Manes.04G150100.v8.1 transcript:Manes.04G150100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPATAAGRSSRRLTADFLWPDLKKPIGKIVGDLDDDFEADFQEFKDESDVDEEDDVLFDVKPFSFSATASPPPRNRSPSRGSTAVKSVEFNGLAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRASPKRTMKANPQKPLPKRNATESMSYLNNPDQDYFNTLGSVDEKPLVSQFDLMDSFPANGDATVKSIPPCDNVPTFFNSDQGSNSFECSDFGWGEQASKTPEISSVLSATPEIDESLFMDDANPKKKMKSDSENAVPIEESNGKSLSEELLAFDNQMNFQMPYLEGSWEASLDGFLNGDVTQDGGNPMDLWSFDDLPNMVGGVY >Manes.14G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3119694:3125518:-1 gene:Manes.14G035300.v8.1 transcript:Manes.14G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSNHTAPVLTDPAPISKSRLGVHSSLLPYSPGTAFSSNLFLTIPWKKTGVLDDVRSSSWLDAMKSSSPTHKRITKENASADNDVAYATWTLKYPSAIASFEQIANFAKGKRIALFLDYDGTLSPIVDNPDRAFMSDAMRSAVEKVAKCFPTAIISGRSRDKVHEFVGLKELYYAGSHGMDIMGPVRQYISDDQPNSVRSTDEQGKEVNLFQPASEFLPMIDEVYSSLVENTKYIKGVKVENNKFCVSVHYRNVDDKSWKSVAQCVYDVIKNYPRLRLTHGRMVLEVRPVINWNKGKAVTFLLESLGLSNCDDVLPIYVGDDRTDEDAFKVLRERNCGLGILVSPVPKETNAFYSLRDPSEVMEFLEYLVMWKK >Manes.04G059480.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14742030:14746391:-1 gene:Manes.04G059480.v8.1 transcript:Manes.04G059480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYVLYLDCDTAFQVLFYIINYTYWHANCRFFYKLSKLFILDCDTVFQVLFYIINYTYWDANCRFFYKLSKLFILGTSVCSYSML >Manes.06G168250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29348893:29350250:-1 gene:Manes.06G168250.v8.1 transcript:Manes.06G168250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSQHGRSRQNDESNYRGREDRVMPHMVICRHTTSPLMVTL >Manes.03G198900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSDSPFTTILESVQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKADIMVKSPSCNLILLCLPKLEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVQDILSTYKIELVSLLSRYVAQGKGILQPHHLVCELAEVIKNDEAMEKLSDSPFTTILESVQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31578121:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMVHLLLDILQAPDPSSLESFLGMIPMVFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKADIMVKSPSCNLILLCLPKLEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMVHLLLDILQAPDPSSLESFLGMIPMVFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVQDILSTYKIELVSLLSRYVAQGKGILQPHHLVCELAEVIKNDEAMEKLSDSPFTTILESVQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMVHLLLDILQAPDPSSLESFLGMIPMVFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKADIMVKSPSCNLILLCLPKLEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMVHLLLDILQAPDPSSLESFLGMIPMVFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVQDILSTYKIELVSLLSRYVAQGKGILQPHHLVCELAEVIKNDEAMEKLSDSPFTTILESVQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVQDILSTYKIELVSLLSRYVAQGKGILQPHHLVCELAEVIKNDEAMEKLSDSPFTTILESVQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMVHLLLDILQAPDPSSLESFLGMIPMVFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKADIMVKSPSCNLILLCLPKLEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKADIMVKSPSCNLILLCLPKLEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMVHLLLDILQAPDPSSLESFLGMIPMVFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKADIMVKSPSCNLILLCLPKLEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.03G198900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31571955:31579077:-1 gene:Manes.03G198900.v8.1 transcript:Manes.03G198900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSDSPFTTILESVQEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVDSLSIAEYLRFKEELVDGQCDDRYVLELDFEPFNATFPRPTRSKSIGNGVQFLNRHLSSIMFRNRESLEPLLDFLRTHKYNGHALMLNDRIQSIPKLQSSLARAEEHLSKFPPDTPYSEFEFDLQGMGFERGWGDSAQRVSEMVHLLLDILQAPDPSSLESFLGMIPMVFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVSRIQKQGLDVTPKILIVTRLIPFAQGTTCNHKLERVSGTEHTYILRVPFRTENGILRKWISRFDVWPYLETFADDASNEIAAELQGIPDLIIGNYSDGNLVASLLSYKLGITQCNIAHALEKIKYPDSDIYWRKYEDKYHFASQFTADLIAMNHADFIITSTYQEIAGSKNNVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMSIYFPYSERERRLTALHGSIEKLLYDPEQNEEHVGFLSNRSMPIIFSMARLDRVKNLTGLVECYAKSSRLRELVNLVVVGGYMDVKKSRDREEMEEIEKMQSLIKQYNLHGQFRWIAAQMNRARNGELYRYIADAKGAFVQPAFYEAFGLTVVEAMTCGLPCFATCHGGPAEIIEHGISGFHIDPYQPDKVTALLINFFEHCREDPSHWHKISDGGLKRIYERYTWKIYSERLLTLTGVYGFWKHVSKLERRETKRYLEMFYMLKFRDLVKSIPVAIDEQ >Manes.11G120900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28128322:28132181:-1 gene:Manes.11G120900.v8.1 transcript:Manes.11G120900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRHLVRDFLTWVFKFCTFGNAGVLVQLVVLSDLWWMVSGLGSMSTIAISYGENGPVFCGLKSDGSHLVTCYGSNSAIIYGTPARFPFIGLTAGDGFVCGLLMGSNQPYCWGSSGYIQMGVPQPMVKEAEYIEISAGDYHLCGLRKPLTGRHRNNSLVDCWGYNMTKNYAFDGQIQSISAGSEFNCGLFSQNRSVFCWGDETSSHVISLIPEQLRFQRISAGGYHVCGILELDSKSFCWGRSLDLEEEISVAYSSQGNVDLPPSDPMLSIVGGKFHACGIKSYDHGVICWGFIVKPSTPAPSGIKVYEIAAGNYFTCGILAEKSLVPVCWGLGFPSSLPLAVSPGLCKTTPCSPGSYEFNNENAPCKSPNSHVCLPCSNGCPAEMYKKTECSLKSDRQCDYNCSSCYSAECFSNCSALYSDAAKGKKDDRFWSLQLPVIIVEIGFAVFLVIVVSATAILYVRYRLRNCQCSETESKSKKNKVGGASFPKDNGKIRPDLDELKIRRAQMFSYEELERATNGFKDESLVGKGSFSCVYKGVLKNGTVVAVKKAIVSSDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNKALKEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKSGDISMILDPVLKPPPDLEALKRIANVACKCVRMKGKERPSMDKVTTALERALAQLMGSPCNEQPILPTEVVLGSSRLHKKSSQRSSNRSASETDAVEAEDQRFEFRAPSWITFPSVTSSQRRKSSVSEADVDGKNSEAKNQGYIGNAGDGLRSLEEEIGPASPGERLFLQHNF >Manes.06G172200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29615785:29618103:1 gene:Manes.06G172200.v8.1 transcript:Manes.06G172200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEARHLNLFPPQLLGNREIMNHVEANPNIFNTHMGYQVPLSGTTTETQLPMYSSIITDSIPQKTPIKSESGLTYNLPMPRKRPRESINTLLSYPNSQPNLKTASPFSFLGQDLSLHIEQQQLDVDRLISQHMEKVRMELEDKRKRQARRIIEAIEEGMLKRLRAKEEEIEKMGKLNWALEERVKSLCIENQIWRDLAQTNEATANALRTNLEQVLAAQVKDERIRGETAAEMDDAQSCCGSSGEGEMKRFSERCMMASGVQDKDTSRLCRNCRKEESCVLLLPCRHLCLCTVCGSSLNTCPICKATKNASFHVNMS >Manes.01G180500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35940909:35944347:1 gene:Manes.01G180500.v8.1 transcript:Manes.01G180500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSCLARVTAGVAVGGAVGGAVGAVYGTYEAIRYKVPGLLKIRHIGQTTLGSAAIFGLFLGAGSLIHCGKSY >Manes.15G153300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12731186:12734559:1 gene:Manes.15G153300.v8.1 transcript:Manes.15G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKQLPASMQMEMAKQLPASMQKLIKGDKLIFSSADDNAMTKQIQGTHSPDGREFDVKPLLHLVEDIFNRAAPTIDAIALPATQQARTADSLDEKTYQGSFIAILESLAFVIDRVATEIAYKCTGGGDAHATTMSILNMLSNYSWDAKLVIALAAFSMNYGEFWLLAQSYTSNQLAKSVAILKQLPDILEHSSMLKPRFDAVKNLIKAMIDIAKCIVELKELPPQYISLDITAMSTAMAHIPITVYWTIRSIVACASQITGLIGLGHEYISTTEAWELSSLAHKLSNMHSHLATQLGICYKHIDEKKHLESYQNLLHLFEMAHIDNMRVLKALISAKDDQLPLIEGTTKRRVNIDVLRRKNVLLLISDLDILQEEIAILEQIYNESRLHPTKHESQYEIVWLPILEPTVWNENMQKKFESLQTGMTWYSVYHPSLIDRAVIKFVKQEWHFGKKPILVVLDPQGRVACPNALHMMWIWGSLAFPFTTTREEALWREESWRLELLVDGIDPIVMNWMSEGRYICLYGGEDMDWIRKFTNTARAVAQASGIPLGMVYVGKSNPKERIRKNIATIIVEKLSHYWQDLTSIWYFWVRIESMWRSKNQLGKTAENDSIMKEIMQMLSFDSSQGGWAIFTRGSDEMVKAKGVPFLTCLSNYSNWKDQIQVKGFMPTLSDELKNLHTEHHCNRLVLPGAAGLIPERIICSECGRTMERFIMYQCCDE >Manes.13G127900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33530798:33537604:-1 gene:Manes.13G127900.v8.1 transcript:Manes.13G127900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLHNISFSSSSSSSSPSSSASNPSTPAPFSSVDACPLRSNYSASSSSSAVGDGTFSPTKKHRYYRSYIRAGLGFRFGGGSGNRLARQKKLPQLTANEVTNQGSSSSASATAAKPNTEPVESVRLLRSQSGSSAAVPLRLSSSAPVPVPLPLPLPLHDNCNYGDGELRLKSPKEKDRDGVKEREREREKERERSDGAAREGFSASTSPIKSVFLGRETRKMVEHLDIRSPRRVHPDFVMDNCGENIKANDPTRSAPTSPFASPVRSPQRLTHVADLLPYYQMMAKGNVWSAPEMATIDIPGLPPPAFFDYTAFSSESSPLHSPQSRSPRLNPRSPTGPAPSLNAKMPIETSTPCRETNATFEVHPLPLPPGAARPSPSAPIPQVVAKAESTPLKSQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVDIFPDDPKSAESIKQLEQEIKILSHLKHPNIVQYYGSEIVEDHFYIYLEYVHPGSINKYVREHCGAITENVVRSFTRHILSGLAYLHSMKTIHRDIKGANLLVDASGVVKLADFGMSKHLTGQAAELSLKGSPYWMAPELMQAVMQKDSNSDLALAVDIWSLGCTIIEMFTGKPPWSDYEGAAAMFKVMRDTPPIPELLSHDGKDFLSCCFQRNPADRPSASVLLEHRWLKNLMGLDFTSSTQSINGIKLIDKTQCHSSNTSEVKADQSSILSQSTKGKVASDSGTAQGSHRETPNLTVMAASCNSPRSILEFLPSLSPLCSGHSVKHSSSSSSVPNLIKHGAKK >Manes.13G127900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33530798:33537604:-1 gene:Manes.13G127900.v8.1 transcript:Manes.13G127900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLHNISFSSSSSSSSPSSSASNPSTPAPFSSVDACPLRSNYSASSSSSAVGDGTFSPTKKHRYYRSYIRAGLGFRFGGGSGNRLARQKKLPQLTANEVTNQGSSSSASATAAKPNTEPVESVRLLRSQSGSSAAVPLRLSSSAPVPVPLPLPLPLHDNCNYGDGELRLKSPKEKDRDGVKEREREREKERERSDGAAREGFSASTSPIKSVFLGRETRKMVEHLDIRSPRRVHPDFVMDNCGENIKANDPTRSAPTSPFASPVRSPQRLTHVADLLPYYQMMAKGNVWSAPEMATIDIPGLPPPAFFDYTAFSSESSPLHSPQSRSPRLNPRSPTGPAPSLNAKMPIETSTPCRETNATFEVHPLPLPPGAARPSPSAPIPQVVAKAESTPLKSQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVDIFPDDPKSAESIKQLEQEIKILSHLKHPNIVQYYGSEIVEDHFYIYLEYVHPGSINKYVREHCGAITENVVRSFTRHILSGLAYLHSMKTIHRDIKGANLLVDASGVVKLADFGMSKHLTGQAAELSLKGSPYWMAPELMQAVMQKDSNSDLALAVDIWSLGCTIIEMFTGKPPWSDYEGAAAMFKVMRDTPPIPELLSHDGKDFLSCCFQRNPADRPSASVLLEHRWLKNLMGLDFTSSTQSINGIKLIDKTQCHSSNTSEVKADQSSILSQSTKGKVASDSFMHYSFSSAGQPKDLIAKLPT >Manes.13G116400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32300183:32302069:1 gene:Manes.13G116400.v8.1 transcript:Manes.13G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAICFPAPPPQSYINTNRSSTNVYHPPPEKLAIVIDKSKSIHHLHQIHAFLYRHNLHGDQILNFKLQRSYSSLGRLNYSVTLFNQNQNPNVFFYSAIIYAHTIHNLHDQALLFYAQMLSQDVAPNAFTFSSILKSCPLEPAKVIHAHAIKFGLDSELYVQTCLIDVYARGTDVPSARKLFDAMPEKSLISLTAMVSCYANCGMVKEARELFDGLQERDLVCWNVMINGYVQHGLPNEGLTLFRQMLKARGRPNEVTVVAITSACGQIGALESGRWIHSYIQNNGIEINAHVGAALIDMYSKCGSLKDAQLVFERIRKKDVVVWNSMIVGYATHGFSQDAMHLFNEMCKLGYRPTDITFIGILSAYGHAGLVSEGWQIFHSMKDKYGIQPKIEHYGCMVNLLGRAGHLKEAYELVKNMEINPDPVIWGSLLGACRLHGDLALGEEIAELLINQNLANSGTYILLSNIYAAGGNWEGVARMRTLLKDRGVEKEPGCSSIEVSNKVHEFLAGDLRHPKTKEIYMMLEEMDGWLKAHGYSPQTDIVLHDLDDAQKEQSLNVHSEKLAIAFGLISTKQGATIKIVKNLRVCSDCHTVTKLISKITGRKIVMRDRNRFHHFTNGACSCGDYW >Manes.05G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20575467:20578931:-1 gene:Manes.05G121700.v8.1 transcript:Manes.05G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGDEVVSNKQVILKEYVSGSPKESNLQVRISSINLKIPQGCNGILVKNLYLSCDPYMITRMKNLQLKQDYVHSFLLGSPISGYGVAKVIESEHPNFKKGDLIWGMTGWEEYSFITATETFFKIQDKDVPLSYYTGILGMPGVSAYAGFYEICSPKKGEYVFVSAASGAVGQLVGQFAKLSGCYVVGSAGSKEKVDLLKNKLGFDEAFNYKEEQDLNAALQRYFPEGIDIYFENVGGKMLDAVLLNMRLRGRIAVCGMISQYNLDQPEGVHYLSNIILKRIRMEGFMVFDHYHLYPKYLEMILPRIRQGKIVYVEDKDEGLESGPAALIGLFAGCNQGKKVVVVAHE >Manes.01G058450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24585630:24585926:1 gene:Manes.01G058450.v8.1 transcript:Manes.01G058450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGRDGLLPKSFHLPYAGIDLLEVASVGPSGGNERRSWTFPIPFLLACFRVYVV >Manes.03G038900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3411136:3413156:-1 gene:Manes.03G038900.v8.1 transcript:Manes.03G038900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSGLGNGTQIDGKILQTFQKNFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSMEASSEGDSSGALKSDAKAGHKRNRPA >Manes.03G038900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3411181:3413144:-1 gene:Manes.03G038900.v8.1 transcript:Manes.03G038900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSGLGNGTQIDGKILQTFQKNFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSMEASSEGDSSGALKSDAKAGHKRNRPA >Manes.03G038900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3411156:3413144:-1 gene:Manes.03G038900.v8.1 transcript:Manes.03G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSGLGNGTQIDGKILQTFQKNFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTKSMEASSEGDSSGALKSDAKAGHKRNRPA >Manes.11G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3489115:3491384:1 gene:Manes.11G036000.v8.1 transcript:Manes.11G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIKIICVENNALKFKSPHFKIEKKDYLNCLRSSGHQSENCRHFSKRYLECRMEKNLMARQDVSELGFEKETDLETSGEKEKKQEDQ >Manes.06G102900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23573880:23575583:-1 gene:Manes.06G102900.v8.1 transcript:Manes.06G102900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFTVFTLLVLAAFFFLQVLAVNDLDYMRIKAKPSASPFELALELLQKKINYTFLKIDLLRRAMTHPSFSEENNKALSILGVNVINTFVSMISLRKDIDISPKELNRLISEISKVETSCAADGMRLGLHKMVRISPKTNATAPSVVCGAFRAIFGAVAIDSGKSDDAGSIFWGVHTGEARTALPLKVEIIFMKEQNTDRNRANN >Manes.06G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23574728:23575583:-1 gene:Manes.06G102900.v8.1 transcript:Manes.06G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRFTVFTLLVLAAFFFLQVLAVNDLDYMRIKAKPSASPFELALELLQKKINYTFLKIDLLRRAMTHPSFSEENNKALSILGVNVINTFVSMISLRKDIDISPKELNRLISEISKVETSCAADGMRLGLHKMVRISPKTNATAPSVVCGAFRAIFGAVAIDSGKSDDAGSIFWGVHTGEARTALPL >Manes.05G205900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33233489:33250924:-1 gene:Manes.05G205900.v8.1 transcript:Manes.05G205900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLMSNSIVLCQNLVVIDQNPHRNSLSSHASSNCLLKFNRARRGFCEIVPNARKNNKRHSKKRSWWQRFFFDDDGNWLGLKDDDMLEDEMLESSSDEELSEEQKFEAWKRRAEAIVELREAQEDMLNEESRRWEDWIVDDTNNNLNGSWWSQEFNGNGAAEDVRSDPSDLVPVKGFVESVRDLVLGREEDDLLYEDRVFRYASLNSAKFLAILIFIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRRNQKLEMVKELKTESARLRLEVEIGKSPPLSDDEMWWELRHKALELRDEWRLENRRAFANIWSDMVFGISLFILLWFNQSKVALLKFTGYKILNNVSDTGKAFLIILITDIFLGYHSESGWQTLMEIIVEHYGLEVDQSAITIFICLVPVVIDACVKLWLFKFLPRLSPRVSNIFREMERH >Manes.05G205900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33233914:33250919:-1 gene:Manes.05G205900.v8.1 transcript:Manes.05G205900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLMSNSIVLCQNLVVIDQNPHRNSLSSHASSNCLLKFNRARRGFCEIVPNARKNNKRHSKKRSWWQRFFFDDDGNWLGLKDDDMLEDEMLESSSDEELSEEQKFEAWKRRAEAIVELREAQEDMLNEESRRWEDWIVDDTNNNLNGSWWSQEFNGNGAAEDVRSDPSDLVPVKGFVESVRDLVLGREEDDLLYEDRVFRYASLNSVSIIKKNEGRKKLQLVLLHDGPDNAKFLAILIFIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRRNQKLEMVKELKTESARLRLEVEIGKSPPLSDDEMWWELRHKALELRDEWRLENRRAFANIWSDMVFGISLFILLWFNQSKVALLKFTGYKILNNVSDTGKAFLIILITDIFLGYHSESGWQTLMEIIVEHYGLEVDQSAITIFICLVPVVIDACVKLWLFKFLPRLSPRVSNIFREMERH >Manes.05G205900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33232946:33250953:-1 gene:Manes.05G205900.v8.1 transcript:Manes.05G205900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLMSNSIVLCQNLVVIDQNPHRNSLSSHASSNCLLKFNRARRGFCEIVPNARKNNKRHSKKRSWWQRFFFDDDGNWLGLKDDDMLEDEMLESSSDEELSEEQKFEAWKRRAEAIVELREAQEDMLNEESRRWEDWIVDDTNNNLNGSWWSQEFNGNGAAEDVRSDPSDLVPVKGFVESVRDLVLGREEDDLLYEDRVFRYASLNSAKFLAILIFIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRRNQKLEMVKELKTESARLRLEVEIGKSPPLSDDEMWWELRHKALELRDEWRLENRRAFANIWSDMVFGISLFILLWFNQSKVALLKFTGYKILNNVSDTGKAFLIILITDIFLGYHSESGWQTLMEIIVEHYGLEVDQSAITIFICLVPVVIDACVKLWLFKFLPRLSPRVSNIFREMERH >Manes.15G089700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6867659:6870449:-1 gene:Manes.15G089700.v8.1 transcript:Manes.15G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYRHFDNGDAHLPPGFRFHPTDEELITYYLLKKVLDSNFTGRAIAEVDLNKCEPWELPDKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKSGGATSSSSAKKGRCNNSINLYPEASSPSSVSLPPLFDPTATSATAASTATLNDRDCCSYDSHAQTEHVSCFSTIAAAASAAANQNNLDFAPPPLPPADPFGCFPRNLGVSAFPSLRSLQENLQLPLFFSSPSQAAPTPYNGGGATAMNWLAGSDDGSVNGGGGGGGRAAAGPTELDCMWTY >Manes.14G053600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4543915:4547635:-1 gene:Manes.14G053600.v8.1 transcript:Manes.14G053600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQIALNFDIETSIRRTNFPSDFLFGASTAALQIEGSTKSEGRARSIWDTYLENHRDKVIDGSNMDTAIDSYKRYKEDMEHLKNLGVDAYRFSISWTRILPDGTLSGGVNQEAIDHYNKMIDMLIEYGIKPFATLFHFDSPQALDEKYGGFLSSSIVGDFQDYSEVCFRTFGDRVKNWITINEPLMIAQLGYDLGIAPPGRCSGRANCEAGNSSTEPYIVSHNLLLAHAAAARLYKNKYQAKQGGEIGISLVGQYYEPYSESLDDKAAQERALDFQLGWYMEPLVYGDYPNIMRELVKDRLPIFTEHEKKLVKDSFDFIGINYYTSIYAKAIPIDPNATHTSYTDDQFIYATESKDGELIGPKFEGMNIYVYPEGLQKVLELIKEKYQSPKIYITENGITEARNDNRGLVEALDDLHRIEYIQRHLYRVHKAIKNGVNVRGYFYWSLFDSFEWLGGYTIRFGLYYIDYKDNLKRIPKVSSMWYHHFLQGGRKQAY >Manes.14G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4543915:4547635:-1 gene:Manes.14G053600.v8.1 transcript:Manes.14G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTNSNWVLHILVRICAMLSLACTFSVLATASRALGLPLMVESQIALNFDIETSIRRTNFPSDFLFGASTAALQIEGSTKSEGRARSIWDTYLENHRDKVIDGSNMDTAIDSYKRYKEDMEHLKNLGVDAYRFSISWTRILPDGTLSGGVNQEAIDHYNKMIDMLIEYGIKPFATLFHFDSPQALDEKYGGFLSSSIVGDFQDYSEVCFRTFGDRVKNWITINEPLMIAQLGYDLGIAPPGRCSGRANCEAGNSSTEPYIVSHNLLLAHAAAARLYKNKYQAKQGGEIGISLVGQYYEPYSESLDDKAAQERALDFQLGWYMEPLVYGDYPNIMRELVKDRLPIFTEHEKKLVKDSFDFIGINYYTSIYAKAIPIDPNATHTSYTDDQFIYATAESKDGELIGPKFEGMNIYVYPEGLQKVLELIKEKYQSPKIYITENGITEARNDNRGLVEALDDLHRIEYIQRHLYRVHKAIKNGVNVRGYFYWSLFDSFEWLGGYTIRFGLYYIDYKDNLKRIPKVSSMWYHHFLQGGRKQAY >Manes.14G053600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4543915:4547635:-1 gene:Manes.14G053600.v8.1 transcript:Manes.14G053600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTNSNWVLHILVRICAMLSLACTFSVLATASRALGLPLMVESQIALNFDIETSIRRTNFPSDFLFGASTAALQIEGSTKSEGRARSIWDTYLENHRDKVIDGSNMDTAIDSYKRYKEDMEHLKNLGVDAYRFSISWTRILPDGTLSGGVNQEAIDHYNKMIDMLIEYGIKPFATLFHFDSPQALDEKYGGFLSSSIVGDFQDYSEVCFRTFGDRVKNWITINEPLMIAQLGYDLGIAPPGRCSGRANCEAGNSSTEPYIVSHNLLLAHAAAARLYKNKYQAKQGGEIGISLVGQYYEPYSESLDDKAAQERALDFQLGWYMEPLVYGDYPNIMRELVKDRLPIFTEHEKKLVKDSFDFIGINYYTSIYAKAIPIDPNATHTSYTDDQFIYATESKDGELIGPKFEGMNIYVYPEGLQKVLELIKEKYQSPKIYITENGITEARNDNRGLVEALDDLHRIEYIQRHLYRVHKAIKNGVNVRGYFYWSLFDSFEWLGGYTIRFGLYYIDYKDNLKRIPKVSSMWYHHFLQGGRKQAY >Manes.14G053600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4543915:4547635:-1 gene:Manes.14G053600.v8.1 transcript:Manes.14G053600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQIALNFDIETSIRRTNFPSDFLFGASTAALQIEGSTKSEGRARSIWDTYLENHRDKVIDGSNMDTAIDSYKRYKEDMEHLKNLGVDAYRFSISWTRILPDGTLSGGVNQEAIDHYNKMIDMLIEYGIKPFATLFHFDSPQALDEKYGGFLSSSIVGDFQDYSEVCFRTFGDRVKNWITINEPLMIAQLGYDLGIAPPGRCSGRANCEAGNSSTEPYIVSHNLLLAHAAAARLYKNKYQAKQGGEIGISLVGQYYEPYSESLDDKAAQERALDFQLGWYMEPLVYGDYPNIMRELVKDRLPIFTEHEKKLVKDSFDFIGINYYTSIYAKAIPIDPNATHTSYTDDQFIYATAESKDGELIGPKFEGMNIYVYPEGLQKVLELIKEKYQSPKIYITENGITEARNDNRGLVEALDDLHRIEYIQRHLYRVHKAIKNGVNVRGYFYWSLFDSFEWLGGYTIRFGLYYIDYKDNLKRIPKVSSMWYHHFLQGGRKQAY >Manes.14G053600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4543915:4547635:-1 gene:Manes.14G053600.v8.1 transcript:Manes.14G053600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTASRALGLPLMVESQIALNFDIETSIRRTNFPSDFLFGASTAALQIEGSTKSEGRARSIWDTYLENHRDKVIDGSNMDTAIDSYKRYKEDMEHLKNLGVDAYRFSISWTRILPDGTLSGGVNQEAIDHYNKMIDMLIEYGIKPFATLFHFDSPQALDEKYGGFLSSSIVGDFQDYSEVCFRTFGDRVKNWITINEPLMIAQLGYDLGIAPPGRCSGRANCEAGNSSTEPYIVSHNLLLAHAAAARLYKNKYQAKQGGEIGISLVGQYYEPYSESLDDKAAQERALDFQLGWYMEPLVYGDYPNIMRELVKDRLPIFTEHEKKLVKDSFDFIGINYYTSIYAKAIPIDPNATHTSYTDDQFIYATAESKDGELIGPKFEGMNIYVYPEGLQKVLELIKEKYQSPKIYITENGITEARNDNRGLVEALDDLHRIEYIQRHLYRVHKAIKNGVNVRGYFYWSLFDSFEWLGGYTIRFGLYYIDYKDNLKRIPKVSSMWYHHFLQGGRKQAY >Manes.14G053600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4543915:4547635:-1 gene:Manes.14G053600.v8.1 transcript:Manes.14G053600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQIALNFDIETSIRRTNFPSDFLFGASTAALQIEGSTKSEGRARSIWDTYLENHRDKVIDGSNMDTAIDSYKRYKEDMEHLKNLGVDAYRFSISWTRILPDGTLSGGVNQEAIDHYNKMIDMLIEYGIKPFATLFHFDSPQALDEKYGGFLSSSIVGDFQDYSEVCFRTFGDRVKNWITINEPLMIAQLGYDLGIAPPGRCSGRANCEAGNSSTEPYIVSHNLLLAHAAAARLYKNKYQAKQGGEIGISLVGQYYEPYSESLDDKAAQERALDFQLGWYMEPLVYGDYPNIMRELVKDRLPIFTEHEKKLVKDSFDFIGINYYTSIYAKAIPIDPNATHTSYTDDQFIYATAESKDGELIGPKFEGMNIYVYPEGLQKVLELIKEKYQSPKIYITENGITEARNDNRGLVEALDDLHRIEYIQRHLYRVHKAIKNGVNVRGYFYWSLFDSFEWLGGYTIRFGLYYIDYKDNLKRIPKVSSMWYHHFLQGGRKQAY >Manes.14G053600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4543915:4547635:-1 gene:Manes.14G053600.v8.1 transcript:Manes.14G053600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQIALNFDIETSIRRTNFPSDFLFGASTAALQIEGSTKSEGRARSIWDTYLENHRDKVIDGSNMDTAIDSYKRYKEDMEHLKNLGVDAYRFSISWTRILPDGTLSGGVNQEAIDHYNKMIDMLIEYGIKPFATLFHFDSPQALDEKYGGFLSSSIVGDFQDYSEVCFRTFGDRVKNWITINEPLMIAQLGYDLGIAPPGRCSGRANCEAGNSSTEPYIVSHNLLLAHAAAARLYKNKYQAKQGGEIGISLVGQYYEPYSESLDDKAAQERALDFQLGWYMEPLVYGDYPNIMRELVKDRLPIFTEHEKKLVKDSFDFIGINYYTSIYAKAIPIDPNATHTSYTDDQFIYATESKDGELIGPKFEGMNIYVYPEGLQKVLELIKEKYQSPKIYITENGITEARNDNRGLVEALDDLHRIEYIQRHLYRVHKAIKNGVNVRGYFYWSLFDSFEWLGGYTIRFGLYYIDYKDNLKRIPKVSSMWYHHFLQGGRKQAY >Manes.09G184000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37161096:37163528:1 gene:Manes.09G184000.v8.1 transcript:Manes.09G184000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPTALEIIEYEEDSLALVHEEEDEKIESHVPLVSSYNDHIRPLLDAVDKLRHLNVTKEGIQLPTIVVVGDQSSGKSSVLESLAGINLPRGQGICTRVPLVMRLQHHPSPTPELFLEFSGKIVATDEAHVADAINLATDEIAGDGKGISNTPLTLVVKKKGVPDLTMVDLPGITRVPVHGQPEDIYEQIAGIIMEYIRPEESIILNVLSATVDFPTCESIRMSQQVDKTGERTLAVVTKSDKAPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEEAREEEAKLFKNHHLLSRIDKYMVGIPVLAQKLTQIQATIIARCLPDIVRKIDDKLKASISDLNRMPKTLASPAEAMAAFMGIVGSAKESLRKILIRGEFDEYVDDYHMHCTARLVEMLNLYSDELHKCSESDPRRNFLVEEILVLEEARGIELPNFLPRAAFLGILQRKVEGISRMPIDFFEKVWAYIESVVVSVLMHHSENYHQLQFSTRRAGHNLISKMKEQSINWIAEIVQMEKMTDYTCSPEYMNEWNKLMGQLDTFKNKILTHGYSKAEIAGIGEVEAGNIREHQNVLHQAFDLKMKMTAYWKIVLRRLVDYMALHLQFSVQNLVNKEMEKEIFSELMSSHGGAVERMLEESPSVAAKREKLNVSIKLLGESKKVLGNIMDKIATYND >Manes.01G171400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35329682:35332759:1 gene:Manes.01G171400.v8.1 transcript:Manes.01G171400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEGNQPQDLAKYECLLFDIDDTLYPTSSGLSQHVKNNIEEYMIQKLGIEESKVSELCVSLYKYYGTTLAGLRAIGYKFDYDDFHSFVHGRLPYDILKPDPVLRNLLHSVAVRKVIFTNADKNHAARVLDRLGLEDCFEGVICFETLNNPNKGNDRPKKDENAVFDINEYSAASDADADIALPKSPVVCKPFEEAFDEVFKIASINPQRTLFFDDSIRNLQTGKRMGLNTVWIGSSHRIEGVDYALESIHNIKEALPELWEANEKSADARYSGKVAIETSVRA >Manes.14G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1500250:1504119:-1 gene:Manes.14G003200.v8.1 transcript:Manes.14G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGGAEKKRVRRSSGAVHNGARDPNSVTLPRKQSAKKDVFQLFAEKVRDHKDLVSRWAVLQETRVEYFRGKDFVSFLKNHPELKDILESNKSLEVEEIANTLLRKHLLVRCDRVVKTARPGKKKVWPAHLEIFPDQVFSENDAFFAWTFVKRQPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCAGVLLLILSLLLLRATIFGVLYIILGKRVWFFPNILAEEATLGELFRFCPKKDEEERPKWTARLFYAVVAVMVILLLRHHAPDEAARARYQKRMSNIIDDVLEWSPGLALSGMMEKQQTVVNATEPNNSFADGSKTNSEHLNPSWDEVLGNIEDMHQPQHQDHI >Manes.14G003200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1500250:1504119:-1 gene:Manes.14G003200.v8.1 transcript:Manes.14G003200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGGAEKKRVRRSSGAVHNGARDPNSVTLPRETRVEYFRGKDFVSFLKNHPELKDILESNKSLEVEEIANTLLRKHLLVRCDRVVKTARPGKKKVWPAHLEIFPDQVFSENDAFFAWTFVKRQPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCAGVLLLILSLLLLRATIFGVLYIILGKRVWFFPNILAEEATLGELFRFCPKKDEEERPKWTARLFYAVVAVMVILLLRHHAPDEAARARYQKRMSNIIDDVLEWSPGLALSGMMEKQQTVVNATEPNNSFADGSKTNSEHLNPSWDEVLGNIEDMHQPQHQDHI >Manes.15G169800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14710203:14711772:-1 gene:Manes.15G169800.v8.1 transcript:Manes.15G169800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRIWASSTANALRISVASKAQLSPYSLSRCFSTVLDGLKYATSHEWVKHEGSVATIGITDHAQDHLGEVVFVDLPEVGGSATQGKSFGAVESVKATSDVNSPISGEIVEVNTKLSEIPGAINTSPYEDGWMIKVKPSNPSELKSLLGPKEYTKFCEEEDAAH >Manes.03G191300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31020972:31024924:1 gene:Manes.03G191300.v8.1 transcript:Manes.03G191300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPFQVSASSSMGAPNEELKGASSGLVGENDLLIVGPGVLGRLVAEKWRQEYPGCQVYGQTVTTDHHDELIKIGIRPSLKGTKLNYRFPYVIFCAPPLQTSDYPGDVREAALSWNGEGSFLFTSSSAPYDCNDNGQCIEDSPVVPIGRSPRTDVLLKAEKEVLERGGSVLRLAGLYKADRGAHAYWLEKGTVELRPDHILNLIHYEDAASLSVAILKKKFHDRIFLGCDNHPLSRQEVMDLVAKSGKFTKKFEGFTGTSDPLGKKLNNTKTREEVGWEPKYASFAHFLGVSN >Manes.16G126500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32781577:32785252:1 gene:Manes.16G126500.v8.1 transcript:Manes.16G126500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVILLLLFLYIPSWISHFCPVRAVPLHEQYVSSSASSPSSTVPASMAPFSPGIQSQQGTEEDHHKMKLDKKIPLLLMVACGILAIIVLVSLFACCIYCWKIYSRKKNAQFSDVEKGVSVTPFLGKFNSLRMVSNRGSVPLIDYKILEKSTKSFGDDNLLGKGGFGRVYKALLEDNKHVAVKKLDCEAEDARKEFENELDFLSKIRHPNIISLVGYSVHEDMGFIVYELMQNGSLEDLLHGPRGSSLSWHMRLKIALDTARGLEYLHEFCKPLVIHRDLKSSNILLDSNFNAKLSDFGLAVADSSQNRNKLKLSGTVGYLAPEYMLDGELTEKSDVYAFGVVLLELLLRRRPVEKLTPDHCQSIVTWAMPQLTNRALLPNIVDPVIKDTVNEKYLFQVAAVAVLCVQPEPTYRPLITDVVHSLVPLVPVELGGTLKVATQAAPPGRTPEWLNKEKRF >Manes.08G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38617208:38625687:1 gene:Manes.08G143900.v8.1 transcript:Manes.08G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLDDLQTLQQPINPAENWIQPTQNKTRRRVGGRGRGGNATGVAKGALPAIPTRLTAGGRGRGIRLIDLDPEPCDGEAAALGAAEPGYNRVEVVADKDIAMEGGSAEKAIGVEEEGSTTPVPERVQVGNSPMYKIERKLGKGGFGQVYVGRRVSGGTDRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGSADEKKLYLIDLGLASRWKDTSSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPEMMCCFCPPPFKQFLEAVTNMKFDEEPNYSKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKIRLGSPATQWISVYNARRPMKQRYHYNVADARLRQHVDKGNEDGLYISCVASSANLWALIMDAGTGFTSQVYELSAVFLHKDWIMEQWEKNFYISSIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPKRKMVDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >Manes.08G143900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38617208:38625687:1 gene:Manes.08G143900.v8.1 transcript:Manes.08G143900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIERKLGKGGFGQVYVGRRVSGGTDRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGSADEKKLYLIDLGLASRWKDTSSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPEMMCCFCPPPFKQFLEAVTNMKFDEEPNYSKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKIRLGSPATQWISVYNARRPMKQRYHYNVADARLRQHVDKGNEDGLYISCVASSANLWALIMDAGTGFTSQVYELSAVFLHKDWIMEQWEKNFYISSIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWESGYRITSMAATADQAAFILSIPKRKMVDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >Manes.02G099300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7717568:7719818:-1 gene:Manes.02G099300.v8.1 transcript:Manes.02G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRFTWQSPPAASHFTFNFRFVFFLYFDCQWRWKFFAFSMNVLLKNQQLTIPKSIQFYLIQSIFHLNSLRQFRRTAVHRRARRTNSEDDENNRNAESDLERNLYLHKSKGQHLLTNPRVLDKIVRISRIQPDDTVLEIGPGTGNLTLRLLEVAKKVVAVEIDKRMLEILRKRVSESGRQDKLDLLCEDALKAKFPQFDLVVANIPYGISSPLVTKLVYGANPFRSITFLLQKEFARRLLADPGDSEYNRLAVNVKLMAEVEFVMDVSKRDFLPCPKVDSSVVIIRPKAEIPTVNLHEWWAFTRACFSNKNKTMAAIFKQKKKVMELFRLSKMSGSNGEHGITSRNYDFAAAAADDDEAEEGETSHEQSCRACSCSEMDMCSYMERINEVLKANGFEGKRPSKLSNEELLNLLSLLNQAGIHFHGQTRPQNAENEARPAAVRR >Manes.14G138600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12183625:12184985:1 gene:Manes.14G138600.v8.1 transcript:Manes.14G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYSPIMEHDYEEERSTPSGCNCFQLFSFKWHQNDNEYEDRYLLNQQRGENQNGESWVANQLKKMKEASEVVAGPKWKTFIRKVSGYMKNMKKQKNNQFQYDPESYALNFDGGNGREDDDLLLPVFSSRLASAEQKRQDGL >Manes.18G080700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7419091:7420191:-1 gene:Manes.18G080700.v8.1 transcript:Manes.18G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKKPCTSVESRNNNIHADLKDIIRENALRYLPAKSLHRFSCVCRGWKNYISTSLFAHIQSNYFHQISGFFRRSRSSLFPSFISLDPMAFGVPDPSLRFLPEPVDVRCSSNGLLCCQAQGTANGYKPYYICNPVNQQWKKLPKPRANHGSDPALVLVFEPALEKFVVDYRLICAFKDTVGYKFDIYSSDEESWRTSREIRLGNGVMIIPDTGVYVNDCVYWRSRINEKMVIAFDLTTEKASLLFSHFPARCLGNVNGKLYSGFLHDSDHFAFDMYNAVDAFMRGGKCRTAKDWSTKELTLGDSDIIWPTEDAGRVLFIGGETMVIYAGATFISQNKTTNDIKPLATEEDDGRGMIPYVNSLVEL >Manes.04G091241.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29652827:29653348:-1 gene:Manes.04G091241.v8.1 transcript:Manes.04G091241.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQALWSFLQSSPETSVPFPWERCFDVRTQVLFYKNAINGTMVVDLRRQVNLGGGLFHASRMWNTLAGYCYNHRPLLFANEYEQEPPFLIGAGCCGPLVYLLVPEKVHCCPICDSFVFYMG >Manes.08G054900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5977457:5985379:1 gene:Manes.08G054900.v8.1 transcript:Manes.08G054900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSTGAATKLSSILLNNNGSSQEVSSTARTNFTGFSIETKTHYKRLSFVNLAVRNQTSVELKQGNRAKIAPRKGGRDLVLSEGREDDDKYGPICPGCGIFMQDKDPNLPGYYQKRKVITKEIELAEGDEEEIEDDFVGFEDGIEGEDEEFENRIVSNSEGSYGDKDNLEDDEEFDWDSDEFEAILQNKDDSLDFDGFTPAGVGYGNITEEIIEKERKKKEKGKVSKAEKKRMAREAKKDKDDVTVCARCHSLRNYGQVKNQTAENLIPDFDFDRFIANRLIKSSGSGSATVVIMVVDCVDFDGSFPRRAAMSLFKTLEGAKNDPKASKKLPKLVLAATKVDLLPSQISPTRLDRWVRQRARAGGAPKLSGVYLVSARKDLGVRNLLSFVKELAGPRGSVWVVGSQNAGKSTLINAFAKKGGAKITKLTEAAVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELQPRTYRMKVGQAVHVGGLLRLDLNQASVETIYVTVLASPSVSLHLGKIENADDFWTNHVGVRLQPPVGKERATELGEWEGREIKVTGTSWDANSIDIAVAGLGWLSLGLKGEATTTLWTYDGVEITLREPLVLDRAPFLERPGFWLPKAISDAIGNQSKLESQKSKMLQEKSVESLSELSA >Manes.08G054900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5977350:5981741:1 gene:Manes.08G054900.v8.1 transcript:Manes.08G054900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSTGAATKLSSILLNNNGSSQEVSSTARTNFTGFSIETKTHYKRLSFVNLAVRNQTSVELKQGNRAKIAPRKGGRDLVLSEGREDDDKYGPICPGCGIFMQDKDPNLPGYYQKRKVITKEIELAEGDEEEIEDDFVGFEDGIEGEDEEFENRIVSNSEGSYGDKDNLEDDEEFDWDSDEFEAILQNKDDSLDFDGFTPAGVGYGNITEEIIEKERKKKEKGKVSKAEKKRMAREAKKDKDDVTVCARCHSLRNYGQVKNQTAENLIPDFDFDRFIANRLIKSSGSGSATVVIMVVDCVDFDGSFPRRAAMSLFKTLEGAKNDPKASKKLPKLVLAATKVDLLPSQISPTRLDRWVRQRARAGGAPKLSGVYLVSARKDLGVRNLLSFVKELAGPRGSVWVVGSQNAGKSTLINAFAKKGGAKITKLTEAAVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELQPRTYRMKVGQAVHVGGLLRLDLNQASVETIYVTVLASPSVSLHLGKIENADDFWTNHVGVRLQSEVCKTYLE >Manes.08G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5977283:5982786:1 gene:Manes.08G054900.v8.1 transcript:Manes.08G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSTGAATKLSSILLNNNGSSQEVSSTARTNFTGFSIETKTHYKRLSFVNLAVRNQTSVELKQGNRAKIAPRKGGRDLVLSEGREDDDKYGPICPGCGIFMQDKDPNLPGYYQKRKVITKEIELAEGDEEEIEDDFVGFEDGIEGEDEEFENRIVSNSEGSYGDKDNLEDDEEFDWDSDEFEAILQNKDDSLDFDGFTPAGVGYGNITEEIIEKERKKKEKGKVSKAEKKRMAREAKKDKDDVTVCARCHSLRNYGQVKNQTAENLIPDFDFDRFIANRLIKSSGSGSATVVIMVVDCVDFDGSFPRRAAMSLFKTLEGAKNDPKASKKLPKLVLAATKVDLLPSQISPTRLDRWVRQRARAGGAPKLSGVYLVSARKDLGVRNLLSFVKELAGPRGSVWVVGSQNAGKSTLINAFAKKGGAKITKLTEAAVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELQPRTYRMKVGQAVHVGGLLRLDLNQASVETIYVTVLASPSVSLHLGKIENADDFWTNHVGVRLQPPVGKERATELGEWEGREIKVTGTSWDANSIDIAVAGLGWLSLGLKGEATTTLWTYDGVEITLREPLVLDRAPFLERPGFWLPKAISDAIGNQSKLESQKSKMLQEKSVESLSELSA >Manes.08G054900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5977350:5981741:1 gene:Manes.08G054900.v8.1 transcript:Manes.08G054900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSTGAATKLSSILLNNNGSSQEVSSTARTNFTGFSIETKTHYKRLSFVNLAVRNQTSVELKQGNRAKIAPRKGGRDLVLSEGREDDDKYGPICPGCGIFMQDKDPNLPGYYQKRKVITKEIELAEGDEEEIEDDFVGFEDGIEGEDEEFENRIVSNSEGSYGDKDNLEDDEEFDWDSDEFEAILQNKDDSLDFDGFTPAGVGYGNITEEIIEKERKKKEKGKVSKAEKKRMAREAKKDKDDVTVCARCHSLRNYGQVKNQTAENLIPDFDFDRFIANRLIKSSGSGSATVVIMVVDCVDFDGSFPRRAAMSLFKTLEGAKNDPKASKKLPKLVLAATKVDLLPSQISPTRLDRWVRQRARAGGAPKLSGVYLVSARKDLGVRNLLSFVKELAGPRGSVWVVGSQNAGKSTLINAFAKKGGAKITKLTEAAVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELQPRTYRMKVGQAVHVGGLLRLDLNQASVETIYVTVLASPSVSLHLGKIENADDFWTNHVGVRLQVSLYILLQLFCS >Manes.12G040959.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3556857:3558728:1 gene:Manes.12G040959.v8.1 transcript:Manes.12G040959.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFSMENCKSVATPLVMNEKLHKDNGEPKVEGSTFRSLNGSLLYLTATRLDLMFSASLLSRFIQSPSHKQFGVTKRVLRYLKGIANYGIYYTNVEDAALVGYSNSDYAGCLDDYKSTSDYVFSFGSGAFTWNLRKQDIVAQSTA >Manes.03G168600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29405297:29410287:1 gene:Manes.03G168600.v8.1 transcript:Manes.03G168600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVTDICMDKEPDCVIVYANGVSHDSNHENVPNHHDVLESYEPINGVPEVQSSEESSEAKEYEVKECTTEISVETTEVPHDERSKDSNVISSKFEAGLKKEKVKSEKEKTKDTNKSQPCKKQASKAAPSGVVRTKHTVPQPFALATEKRASCGTRPTGPELDVPAGMNKSSNANNVLLPNTIKQNQPLGVSRKPLQPNNKKHPDEEDTCSVTSITAASARTNRSRTTVASAPVFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARTKEEREASIKQLRKSLLFKANPMPSFYHEGPPPKIELKKLPPTRAKSPKLGRRKSSNDTVNPSLEDKVKGACGEGNFQSLGSCVE >Manes.03G168600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29405287:29410344:1 gene:Manes.03G168600.v8.1 transcript:Manes.03G168600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDVTDICMDKEPDCVIVYANGVSHDSNHENVPNHHDVLESYEPINGVPEVQSSEESSEAKEYEVKECTTEISVETTEVPHDERSKDSNVISSKFEAGLKKEKVKSEKEKTKDTNKSQPCKKQASKAAPSGVVRTKHTVPQPFALATEKRASCGTRPTGPELDVPAGMNKSSNANNVLLPNTIKQNQPLGVSRKPLQPNNKKHPDEEDTCSVTSITAASARTNRSRTTVASAPVFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARTKEEREASIKQLRKSLLFKANPMPSFYHEGPPPKIELKKLPPTRAKSPKLGRRKSSNDTVNPSLEDKVKGACGEGNFQSLGSCVE >Manes.03G168600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29405297:29410287:1 gene:Manes.03G168600.v8.1 transcript:Manes.03G168600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRKLLKSCAMGVDVTDICMDKEPDCVIVYANGVSHDSNHENVPNHHDVLESYEPINGVPEVQSSEESSEAKEYEVKECTTEISVETTEVPHDERSKDSNVISSKFEAGLKKEKVKSEKEKTKDTNKSQPCKKQASKAAPSGVVRTKHTVPQPFALATEKRASCGTRPTGPELDVPAGMNKSSNANNVLLPNTIKQNQPLGVSRKPLQPNNKKHPDEEDTCSVTSITAASARTNRSRTTVASAPVFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARTKEEREASIKQLRKSLLFKANPMPSFYHEGPPPKIELKKLPPTRAKSPKLGRRKSSNDTVNPSLEDKVKGACGEGNFQSLGSCVE >Manes.03G047600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4313688:4318838:-1 gene:Manes.03G047600.v8.1 transcript:Manes.03G047600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQVKAIATEFTRNIGKSTLYLALTIASVKFSHFLFRFFRSRKMSSSSSSTSPTADAATLRRNRILSSKLYFDVLPSKVPLIYSSAYDISFLGIEKLHPFDSSKWGRVCRFLIVDGVLNKNSIVEPLEASKDDLLVVHSESYLSSLKSSPNVAMIIEVPPVALFPNCLVQQKVLFPFRKQVGGTILAAKLAKEQGWAINVGGGFHHCSSEKGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFSNDSRVYILDMYNPGIYPFDFEARRYIDQKVEVASGTTTNVYLRKLDEALELADQKKFDPELVVYNAGTDILDGDPLGRLKVSPDGITARDEKVFRFARERNAPLVMLTSGPMIFLRILKLASILESCICFYLSSHVPYPSSGEKMAHNKELSFELDIYSLVLINLADQILAS >Manes.03G047600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4313688:4318838:-1 gene:Manes.03G047600.v8.1 transcript:Manes.03G047600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQVKAIATEFTRNIGKSTLYLALTIASVKFSHFLFRFFRSRKMSSSSSSTSPTADAATLRRNRILSSKLYFDVLPSKVPLIYSSAYDISFLGIEKLHPFDSSKWGRVCRFLIVDGVLNKNSIVEPLEASKDDLLVVHSESYLSSLKSSPNVAMIIEVPPVALFPNCLVQQKVLFPFRKQVGGTILAAKLAKEQGWAINVGGGFHHCSSEKGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFSNDSRVYILDMYNPGIYPFDFEARRYIDQKVEVASGTTTNVYLRKLDEALEI >Manes.03G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4313081:4318837:-1 gene:Manes.03G047600.v8.1 transcript:Manes.03G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQVKAIATEFTRNIGKSTLYLALTIASVKFSHFLFRFFRSRKMSSSSSSTSPTADAATLRRNRILSSKLYFDVLPSKVPLIYSSAYDISFLGIEKLHPFDSSKWGRVCRFLIVDGVLNKNSIVEPLEASKDDLLVVHSESYLSSLKSSPNVAMIIEVPPVALFPNCLVQQKVLFPFRKQVGGTILAAKLAKEQGWAINVGGGFHHCSSEKGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFSNDSRVYILDMYNPGIYPFDFEARRYIDQKVEVASGTTTNVYLRKLDEALELADQKKFDPELVVYNAGTDILDGDPLGRLKVSPDGITARDEKVFRFARERNAPLVMLTSGGYMKSSARVIADSIVNLSKKCLIDLNRCR >Manes.03G047600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4313081:4318837:-1 gene:Manes.03G047600.v8.1 transcript:Manes.03G047600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQVKAIATEFTRNIGKSTLYLALTIASVKFSHFLFRFFRSRKMSSSSSSTSPTADAATLRRNRILSSKLYFDVLPSKVPLIYSSAYDISFLGIEKLHPFDSSKWGRVCRFLIVDGVLNKNSIVEPLEASKDDLLVVHSESYLSSLKSSPNVAMIIEVPPVALFPNCLVQQKVLFPFRKQVGGTILAAKLAKEQGWAINVGGGFHHCSSEKGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFSNDSRVYILDMYNPGIYPFDFEARRYIDQKVEVASGTTTNVYLRKLDEALEI >Manes.18G054900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4684458:4690832:-1 gene:Manes.18G054900.v8.1 transcript:Manes.18G054900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGVVEEGGQSNVTLLASSTSAESICQNSSNLKERNYMGLSDCSSVDSSVVPAASDDSKTRLNLKATELRLGLPGSQSPRRNSDLSLLSSTQLDEKPFFPLHPSNDVHCSSAQKNVVLGNKRGFSDTMDGFSEGKFISNSEVNVMLSPRPSPNLGLKPGSALESFGTQAAKVKDIASPKVPQERPRGVNDNRPSHNASANNNSSAPATKAQVVGWPPIRSFRKNSLATTSKNTEEVDGKAGSGALFVKVSMDGAPYLRKVDLTNYSAYQELSSALEKMFSCFTIGAINKVSF >Manes.18G054900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4684458:4690832:-1 gene:Manes.18G054900.v8.1 transcript:Manes.18G054900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGVVEEGGQSNVTLLASSTSAESICQNSSNLKERNYMGLSDCSSVDSSVVPAASDDSKTRLNLKATELRLGLPGSQSPRRNSDLSLLSSTQLDEKPFFPLHPSNDVHCSSAQKNVVLGNKRGFSDTMDGFSEGKFISNSEVNVMLSPRPSPNLGLKPGSALESFGTQAAKVKDIASPKVPQERPRGVNDNRPSHNASANNNSSAPATKAQVVGWPPIRSFRKNSLATTSKNTEEVDGKAGSGALFVKVSMDGAPYLRKVDLTNYSAYQELSSALEKMFSCFTIGQYGSHGALGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIMKSSDAIGLAPRAVEKCKNRN >Manes.18G054900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4684458:4689549:-1 gene:Manes.18G054900.v8.1 transcript:Manes.18G054900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGVVEEGGQSNVTLLASSTSAESICQNSSNLKERNYMGLSDCSSVDSSVVPAASDDSKTRLNLKATELRLGLPGSQSPRRNSDLSLLSSTQLDEKPFFPLHPSNDVHCSSAQKNVVLGNKRGFSDTMDGFSEGKFISNSEVNVMLSPRPSPNLGLKPGSALESFGTQAAKVKDIASPKVPQERPRGVNDNRPSHNASANNNSSAPATKAQVVGWPPIRSFRKNSLATTSKNTEEVDGKAGSGALFVKVSMDGAPYLRKVDLTNYSAYQELSSALEKMFSCFTIGQYGSHGALGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIMKSSDAIGLAPRAVEKCKNRN >Manes.16G084800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29093621:29098242:-1 gene:Manes.16G084800.v8.1 transcript:Manes.16G084800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAVAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPMTPLPDLVTIHPPKEEEEYIPPPVLTANIEIPVA >Manes.06G122100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25279629:25279850:1 gene:Manes.06G122100.v8.1 transcript:Manes.06G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRGMAVVTLVALVLGLMILGANHAEGAFSIQLNPCTLAQCIAECKKALQEKYLSATCATGSQGKFCICLG >Manes.06G122100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25279060:25280176:1 gene:Manes.06G122100.v8.1 transcript:Manes.06G122100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRGMAVVTLVALVLGLMILGANHAEGAFSIQLNPCTLAQCIAECKKALQEKYLSATCATGSQGKFCICLG >Manes.02G223300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37135265:37143816:1 gene:Manes.02G223300.v8.1 transcript:Manes.02G223300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNTSRSGLRSRLMGICYSIDDKQVSQEQRQFSSKKGVGCTLTWSKRMKIALDAAKGLAFLHGAERSIIYRDFKTSNILLDGDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLLGRRALDKGRPSREHNMVEWARPLLNHNKKVLKILDPRMEGQYSAKIAMKVANLAYQCLSQNPKGRPLMSQVVELLEGVQSKDENEMLQSNDKGVTLYEDRGHSPCTPQKRNPSSSNERSKPANGRSNSEPSPESDLYNPYPNVAVSSLESNEKLAST >Manes.02G223300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37135241:37143815:1 gene:Manes.02G223300.v8.1 transcript:Manes.02G223300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNTSRSGLRSRLMGICYSIDDKQVSQEQRQFSSKKGQDLDQSAGKSRRDSFDVKSSSNTQLVPKNVTDLRQNSGFSNVDIFTYEEMTLATKHFRPDFILGEGGFGIVYKGVIDKSIRPDYETIVVAIKELNPDGLQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCTLTWSKRMKIALDAAKGLAFLHGAERSIIYRDFKTSNILLDGDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLLGRRALDKGRPSREHNMVEWARPLLNHNKKVLKILDPRMEGQYSAKIAMKVANLAYQCLSQNPKGRPLMSQVVELLEGVQSKDENEMLQSNDKGVTLYEDRGHSPCTPQKRNPSSSNERSKPANGRSNSEPSPESDLYNPYPNVAVSSLESNEKLAST >Manes.02G223300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37136093:37143815:1 gene:Manes.02G223300.v8.1 transcript:Manes.02G223300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQDLDQSAGKSRRDSFDVKSSSNTQLVPKNVTDLRQNSGFSNVDIFTYEEMTLATKHFRPDFILGEGGFGIVYKGVIDKSIRPDYETIVVAIKELNPDGLQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCTLTWSKRMKIALDAAKGLAFLHGAERSIIYRDFKTSNILLDGDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLLGRRALDKGRPSREHNMVEWARPLLNHNKKVLKILDPRMEGQYSAKIAMKVANLAYQCLSQNPKGRPLMSQVVELLEGVQSKDENEMLQSNDKGVTLYEDRGHSPCTPQKRNPSSSNERSKPANGRSNSEPSPESDLYNPYPNVAVSSLESNEKLAST >Manes.08G004105.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:696017:701755:-1 gene:Manes.08G004105.v8.1 transcript:Manes.08G004105.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHLFSTSQMFEGEQDQLVVKYLRKHTSYFPHLPLHFLALHLHLCCQILVQLLMNPHGFCSLLTPTLKNITHLRHESKVHFGGRVALFKCWQNRVFRTHLQKHLILLGWVLACLERLTLTCPMQVTFGEEGSWVSLAPFFFLTNIGLERDLPLLLLLTLLLKNLINGGG >Manes.18G136804.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22371346:22371633:1 gene:Manes.18G136804.v8.1 transcript:Manes.18G136804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVFSLSFRVLEVSKFPCQPHTSPNFKVRQVSQLRKTLLLCLLIASASHSTKLLLIYKASNNENSDK >Manes.06G015100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAGAFVWSCKALVSEVSNPVFHSFY >Manes.06G015100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAGAFVWSCKALVSEIKSSHKEMERMLQEQRCFPFE >Manes.06G015100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAIKSSHKEMERMLQEQRCFPFE >Manes.06G015100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAIKSSHKEMERMLQEQRSSSFQGRLLKHNLDSEVVDALKDLRNKLAQNESKNRLI >Manes.06G015100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAVCLLLIVHNCCIEFLWFAKPFFSVSICFRNNHF >Manes.06G015100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAGAFVWSCKALVSEIKSSHKEMERMLQEQRSSSFQGRLLKHNLDSEVVDALKDLRNKLAQNESKNRLI >Manes.06G015100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAVCLLLIVHNCCIEFLWFAKPFFSVSICFRNNHF >Manes.06G015100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAIKSSHKEMERMLQEQRCFPFE >Manes.06G015100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2523841:2531730:-1 gene:Manes.06G015100.v8.1 transcript:Manes.06G015100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTLPYPFSSYSGWHCSSSSSSSSSSSSSSYCNNIIIVMGKSKRPRPSSPKGSEPAPPRITTNLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVDKEDLPEDTEIYRDPTLSLYYTNQVIDNAAPVLLVDGYNVCGYWAKLKKHFMNGRLDIARQKLIDELVTFSMLREVKVVVVFDAMMSGLPTHKENFTGVDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDHMQQHAAHGAGAFVWSCKALVSEIKSSHKEMERMLQEQRCFPFE >Manes.18G064400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5830768:5835308:-1 gene:Manes.18G064400.v8.1 transcript:Manes.18G064400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQCYFGATTIQTLRMAVIPSSSSSSCLIFGKPLSKILTLNSLSRRYNSIPYLKRPPFTLLTKALSATAVQTAPSTESVDNRSVVKPQWKAAIDFKWIRDNKDAVALNIKNRNSNANLELVLELYEKMLAVQKEVERLREERNVVANKMKGKLEPSERQKLIEEGKNLKDGLITLEEDLLKLSNELQQEAQFIPNMTHPEAPIGEEDCSTLRKMVGSPREFSFPVKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALINWTLSEVMKRGFTPLTTPELVRSSIVEKCGFQPRGDNTQVYSVEGSDQCLIGTAEIPVGGIHMDSIIAESMLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCQPEESDSYHEELIKIEEDLFSSLGLHYKTIDMASGDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPSEPTSANPKKGKGKLAPTKFVHTLNATACAVPRMIICLLENYQQKDGSVIIPEPLRPFIGGLELIAAKFPQDE >Manes.07G114900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32029190:32034002:1 gene:Manes.07G114900.v8.1 transcript:Manes.07G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKATVLTLAEKCKNILASNWQARLNTIKADAKGSKEDLHTSKVKYILKKGRPYIWIPEKDVHNVNTIIDERGSLAVACPFPGPLANLLRSIKKLPERIALLGDVCPLKDGMAQLAMGSIKEVIQSEQRAMNESTYTVSSVLNSSNLITTSRSESLKELLDGGGKYRVYRFNIRSCMFVDGYGRTHEVESEDFERSKVDPLASFSAKLIDGINRSEARRRALILFCLIYLNANARDAFMVSVDRKGFDVLGKVLSAVIKDGQGEYLWKEFRFTFKEEAPDVETFCHQLVQMEEEAVKKVSSYSGLT >Manes.07G114900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32029330:32033970:1 gene:Manes.07G114900.v8.1 transcript:Manes.07G114900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKATVLTLAEKCKNILASNWQARLNTIKADAKGSKEDLHTSKVKYILKKGRPYIWIPEKDVHNVNTIIDERGSLAVACPFPGPLANLLRSIKKLPERIALLGDVCPLKDGMAQLAMGSIKEVIQSEQRAMNESTYTVSSVLNSSNLITTSRSESLKELLDGGGKYRVYRFNIRSCMFVDGYGRTHEVESEDFERSKVDPLASFSAKLIDGINRSEARRRALILFCLIYLNANARGTLSALSLPSTILLRPRGETGEKIRGRMHLWYLLIARDLMCWGRFLVPS >Manes.07G114900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32029408:32033911:1 gene:Manes.07G114900.v8.1 transcript:Manes.07G114900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKATVLTLAEKCKNILASNWQARLNTIKADAKGSKEDLHTSKVKYILKKGRPYIWIPEKDVHNVNTIIDERGSLAVACPFPGPLANLLRSIKKLPERIALLGDVCPLKDGMAQLAMGSIKEVIQSEQRAMNESTYTVSSVLNSSNLITTSRSESLKELLDGGGKYRVYRFNIRSCMFVDGYGRTHEVESEDFERSKVDPLASFSAKLIDGINRSEARRRALILFCLIYLNANARGTLSALSLPSTILLRPRGETGEKIRGRMHLWYLLIARDLMCWGRFLVPS >Manes.07G114900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32029188:32034002:1 gene:Manes.07G114900.v8.1 transcript:Manes.07G114900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKATVLTLAEKCKNILASNWQARLNTIKADAKGSKEDLHTSKVKYILKKGRPYIWIPEKDVHNVNTIIDERGSLAVACPFPGPLANLLRSIKKLPERIALLGDVCPLKDGMAQLAMGSIKEVIQSEQRAMNESTYTVSSVLNSSNLITTSRSESLKELLDGGGKYRVYRFNIRSCMFVDGYGRTHEVESEDFERSKVDPLASFSAKLIDGINRSEARRRALILFCLIYLNANARGTLSALSLPSTILLRPRGETGEKIRGRWFTPWMLENSRLQHID >Manes.07G114900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32029408:32033911:1 gene:Manes.07G114900.v8.1 transcript:Manes.07G114900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKATVLTLAEKCKNILASNWQARLNTIKADAKGSKEDLHTSKVKYILKKGRPYIWIPEKDVHNVNTIIDERGSLAVACPFPGPLANLLRSIKKLPERIALLGDVCPLKDGMAQLAMGSIKEVIQSEQRAMNESTYTVSSVLNSSNLITTSRSESLKELLDGGGKYRVYRFNIRSCMFVDGYGRTHEVESEDFERSKVDPLASFSAKLIDGINRSEARRRALILFCLIYLNANARDAFMVSVDRKGFDVLGKVLSAVIKDGQGEYLWKEFRFTFKEEAPDVETFCHQLVQMEEEAVKKKGYEFQARNC >Manes.05G014758.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1362349:1363550:-1 gene:Manes.05G014758.v8.1 transcript:Manes.05G014758.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEYLKHFSTNLENNFVEEDADFILSLPVGVCKQNNRLSALSEKLPTSLAIHKRIQYIHPECMVCSQVEYIKHIFLESINNLVFRHIHQQPQDIIASALNHQDRFKAANTPSPHLFKILIASVLQVNFDAASDKFRNMDALAVILKDHNSRIIDWLCVPVTHILDPLILESMACREAVKSMACREAVSLARNRNINNLIVEGNCKVLFDGLCSGKEPL >Manes.17G011900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4833758:4843332:1 gene:Manes.17G011900.v8.1 transcript:Manes.17G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREQQPPPHISPHHHHHHQQQPQHQHQQQPNILLGGPTSSYSNATAPHPAMSMINPNIPPPAAAGFPFNSVCPPRPQSKPPSSDGLFDGSSPPSSTGMRFSMEPAKKKRGRPRKYTPDGNIALGLSPTPISSSPNSLVHADSGGGTGTPGVASEPSSKRNRGRPPGSGKKQLDALGGVGGVGFTPHVITVKAGEDIASKIMAFSQQGPRTVCILSANGAICNVTLRQPAMSGGTVTYEGRFEIISLSGSFLLSENDGSRNRTSALSVSLAGSDGRVLGGGVAGVLTAASPVQVIVGSFIADGKKSNSTTSKSGPSSAPTTQMLHFGAPLTTSSPTHGPSSESSDDNGSSPSPLNRDHGIYNNASQPIHGMNMYQLWASHNPH >Manes.01G230200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39496445:39502719:1 gene:Manes.01G230200.v8.1 transcript:Manes.01G230200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDIDDDDDFSEIYKEYTGPPGSISNNAQDRLKANKRSNAGSDEEEEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREKHVKALFTEKVIQRIENDIGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEVDQKGSSSSQRSRSRSPERSPVGSRLRRSESQRSYAGHPRDTSQYQQRFGRQDKNVEDRVREDLQKFSRGSPQAYGNDGGRSRASHSKSPALAPYAGNSYGSYDGHNHSLRGYRSDGWDTERQGPDLQSGRQFENSAFPQMLEELELEYKREAMELVRIRDKEEDEENYKHRETIREMRENYMKKLAMLRGTHTRQWEEFLQLDAQRRQQQARQQMPTSGFSGYKQHTFSDYDGSSTNPHYTGAGLPMDSRARYPNHVESYSARPHDSYGEFQRQRREDFGKAYNRY >Manes.01G230200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39496437:39502719:1 gene:Manes.01G230200.v8.1 transcript:Manes.01G230200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDIDDDDDFSEIYKEYTGPPGSISNNAQDRLKANKRSNAGSDEEEEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREKHVKALFTEKVIQRIENDIGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEVDQKGSSSSQRSRSRSPERSPVGSRLRRSESQRSYAGHPRDTSQYQQRFGRQDKNVEDRVREDLQKFSRGSPQARAYGNDGGRSRASHSKSPALAPYAGNSYGSYDGHNHSLRGYRSDGWDTERQGPDLQSGRQFENSAFPQMLEELELEYKREAMELVRIRDKEEDEENYKHRETIREMRENYMKKLAMLRGTHTRQWEEFLQLDAQRRQQQARQQMPTSGFSGYKQHTFSDYDGSSTNPHYTGAGLPMDSRARYPNHVESYSARPHDSYGEFQRQRREDFGKAYNRY >Manes.01G230200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39496445:39502719:1 gene:Manes.01G230200.v8.1 transcript:Manes.01G230200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDIDDDDDFSEIYKEYTGPPGSISNNAQDRLKANKRSNAGSDEEEEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREKHVKALFTEKVIQRIENDIGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEVDQKGSSSSQRSRSRSPERSPVGSRLRRSESQRSYAGHPRDTSQYQQRFGRQDKNVEDRVREDLQKFSRGSPQAYGNDGGRSRASHSKSPALAPYAGNSYGSYDGHNHSLRGYRSDGWDTERQGPDLQSGRQFENSAFPQMLEELELEYKREAMELVRIRDKEEDEENYKHRETIREMRENYMKKLAMLRGTHTRQWEEFLQLDAQRRQQQARQQMPTSGFSGYKQHTFSDYDGSSTNPHYTGAGLPMDSRARYPNHVESYSARPHDSYGEFQRQRREDFGKAYNRY >Manes.01G230200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39496445:39502719:1 gene:Manes.01G230200.v8.1 transcript:Manes.01G230200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDIDDDDDFSEIYKEYTGPPGSISNNAQDRLKANKRSNAGSDEEEEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREKHVKALFTEKVIQRIENDIGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEVDQKGSSSSQRSRSRSPERSPVGSRLRRSESQRSYAGHPRDTSQYQQRFGRQDKNVEDRVREDLQKFSRGSPQARAYGNDGGRSRASHSKSPALAPYAGNSYGSYDGHNHSLRGYRSDGWDTERQGPDLQSGRQFENSAFPQMLEELELEYKREAMELVRIRDKEEDEENYKHRETIREMRENYMKKLAMLRGTHTRQWEEFLQLDAQRRQQQARQQMPTSGFSGYKQHTFSDYDGSSTNPHYTGAGLPMDSRARYPNHVESYSARPHDSYGEFQRQRREDFGKAYNRY >Manes.01G230200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39496445:39502719:1 gene:Manes.01G230200.v8.1 transcript:Manes.01G230200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDIDDDDDFSEIYKEYTGPPGSISNNAQDRLKANKRSNAGSDEEEEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREKHVKALFTEKVIQRIENDIGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEVDQKGSSSSQRSRSRSPERSPVGSRLRRSESQRSYAGHPRDTSQYQQRFGRQDKNVEDRVREDLQKFSRGSPQARAYGNDGGRSRASHSKSPALAPYAGNSYGSYDGHNHSLRGYRSDGWDTERQGPDLQSGRQFENSAFPQMLEELELEYKREAMELVRIRDKEEDEENYKHRETIREMRENYMKKLAMLRGTHTRQWEEFLQLDAQRRQQQARQQMPTSGFSGYKQHTFSDYDGSSTNPHYTGAGLPMDSRARYPNHVESYSARPHDSYGEFQRQRREDFGKAYNRY >Manes.01G230200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39496445:39502719:1 gene:Manes.01G230200.v8.1 transcript:Manes.01G230200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDIDDDDDFSEIYKEYTGPPGSISNNAQDRLKANKRSNAGSDEEEEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREKHVKALFTEKVIQRIENDIGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEVDQKGSSSSQRSRSRSPERSPVGSRLRRSESQRSYAGHPRDTSQYQQRFGRQDKNVEDRVREDLQKFSRGSPQAYGNDGGRSRASHSKSPALAPYAGNSYGSYDGHNHSLRGYRSDGWDTERQGPDLQSGRQFENSAFPQMLEELELEYKREAMELVRIRDKEEDEENYKHRETIREMRENYMKKLAMLRGTHTRQWEEFLQLDAQRRQQQARQQMPTSGFSGYKQHTFSDYDGSSTNPHYTGAGLPMDSRARYPNHVESYSARPHDSYGEFQRQRREDFGKAYNRY >Manes.01G230200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39496437:39502719:1 gene:Manes.01G230200.v8.1 transcript:Manes.01G230200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPDIDDDDDFSEIYKEYTGPPGSISNNAQDRLKANKRSNAGSDEEEEPRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREKHVKALFTEKVIQRIENDIGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEVDQKGSSSSQRSRSRSPERSPVGSRLRRSESQRSYAGHPRDTSQYQQRFGRQDKNVEDRVREDLQKFSRGSPQAYGNDGGRSRASHSKSPALAPYAGNSYGSYDGHNHSLRGYRSDGWDTERQGPDLQSGRQFENSAFPQMLEELELEYKREAMELVRIRDKEEDEENYKHRETIREMRENYMKKLAMLRGTHTRQWEEFLQLDAQRRQQQARQQMPTSGFSGYKQHTFSDYDGSSTNPHYTGAGLPMDSRARYPNHVESYSARPHDSYGEFQRQRREDFGKAYNRY >Manes.06G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25376309:25377638:-1 gene:Manes.06G123600.v8.1 transcript:Manes.06G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAHHPWIFTFGILGNIISIIMFLAPLPTFLRVYRKKSTEGFHSIPYVVALFSAMIWIYYATLKPGTDFLLLTINSVGCLVETIYIVVYIVYAPKQARILTLKLLLVMNIGGFCAIVLLTHFFAKGSTRLHIVGWFCVAFSAVVFAAPLSVMRLVIRTKSVEFMPFTLSFSLTLNAIMWLLYGILLKDLYIALPNIFGVILGIFQMTLYAIYKDGRKVIQKQNESEINKINCEVHAVSVPICEESEVGNKHENSYDHHHHTKPNGDSIESHNPSPTK >Manes.07G082900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26443981:26453627:-1 gene:Manes.07G082900.v8.1 transcript:Manes.07G082900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHYNSFPSQNSNQIQSNNSIAPVQGFPTNPSLVNLCNMLQNSMPVQPQLGIVNPQIPIPFNNSSTIMPNMPPLIIQQPGFVNQLGIPQMGFAPQTNMNAIPMFVNQLIPGQPQGQPFAFNLPQQHHHNMVFPSLQNIMQNLNPIVPMQMPNPSQADGPQNPSLFANSLFVGQQGNLNQQNFVEDKRTQQLQGSLLTMPKTQNSRPSTSSCRLGVPVNVGPNNHSTSNWKNFPSKDFKKNPRKEASKMGYQKSQFHLMNNGKRKFVLSNEHKGKGFGYERTTKYGRTNPIEKTRENKRSLALIYTEQEIKQWREERRKNYPSNANIEKKRNGRLTNHGVFDTEAKQRREQLKEILAKQAELGVEVAEIPSHYLSDSEKQVNERQDNGRFPSKKGRPLNKHDRRGRFNKNDRFVKQKTLENKDSSNTFSLNQKKPTLLQKLLSADIRRDKHHLLQVFRLMAINSFFEDWPEKPLRFPLVVVKEDGRVDNQLVAENSSCTGKDVDGDKQDEKVEQGKCYAGGKCSLVQEIDRTEGEEGEIID >Manes.07G082900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26443981:26453627:-1 gene:Manes.07G082900.v8.1 transcript:Manes.07G082900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHYNSFPSQNSNQIQSNNSIAPVQGFPTNPSLVNLCNMLQNSMPVQPQLGIVNPQIPIPFNNSSTIMPNMPPLIIQQPGFVNQLGIPQMGFAPQTNMNAIPMFVNQLIPGQPQGQPFAFNLPQQHHHNMVFPSLQNIMQNLNPIVPMQMPNPSQADGPQNPSLFANSLFVGQQGNLNQQNFVEDKRTQQLQGSLLTMPKTQNSRPSTSSCRLGVPVNVGPNNHSTSNWKNFPSKDFKKNPRKEASKMGYQKSQFHLMNNGKRKFVLSNEHKGKGFGYERTTKYGRTNPIEKTRENKRSLALIYTEQEIKQWREERRKNYPSNANIEKKRNGRLTNHGVFDTEAKQRREQLKEILAKQAELGVEVAEIPSHYLSDSEKQVNERQDNGRFPSKKGRPLNKHDRRGRFNKNDRFVKQKTLENKDSSNTFSLNQKKPTLLQKLLSADIRRDKHHLLQVFRLMAINSFFEDWPEKPLRFPLVVVKEDGRVDNQLVAENSSCTGKDVDGDKQDEKVEQGKCYAGGKCSLVQEIDRTEGEEGEIID >Manes.07G082900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26443981:26453627:-1 gene:Manes.07G082900.v8.1 transcript:Manes.07G082900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHYNSFPSQNSNQIQSNNSIAPVQGFPTNPSLVNLCNMLQNSMPVQPQLGIVNPQIPIPFNNSSTIMPNMPPLIIQQPGFVNQLGIPQMGFAPQTNMNAIPMFVNQLIPGQPQGQPFAFNLPQQHHHNMVFPSLQNIMQNLNPIVPMQMPNPSQADGPQNPSLFANSLFVGQQGNLNQQNFVEDKRTQQLQGSLLTMPKTQNSRPSTSSCRLGVPVNVGPNNHSTSNWKNFPSKDFKKNPRKEASKMGYQKSQFHLMNNGKRKFVLSNEHKGKGFGYERTTKYGRTNPIEKTRENKRSLALIYTEQEIKQWREERRKNYPSNANIEKKRNGRLTNHGVFDTEAKQRREQLKEILAKQAELGVEVAEIPSHYLSDSEKQVNERQDNGRFPSKKGRPLNKHDRRGRFNKNDRFVKQKTLENKDSSNTFSLNQKKPTLLQKLLSADIRRDKHHLLQVFRLMAINSFFEDWPEKPLRFPLVVVKEDGRVDNQLVAENSSCTGKDVDGDKQDEKVEQGKCYAGGKCSLVQEIDRTEGEEGEIID >Manes.07G082900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26443981:26453627:-1 gene:Manes.07G082900.v8.1 transcript:Manes.07G082900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHYNSFPSQNSNQIQSNNSIAPVQGFPTNPSLVNLCNMLQNSMPVQPQLGIVNPQIPIPFNNSSTIMPNMPPLIIQQPGFVNQLGIPQMGFAPQTNMNAIPMFVNQLIPGQPQGQPFAFNLPQQHHHNMVFPSLQNIMQNLNPIVPMQMPNPSQADGPQNPSLFANSLFVGQQGNLNQQNFVEDKRTQQLQGSLLTMPKTQNSRPSTSSCRLGVPVNVGPNNHSTSNWKNFPSKDFKKNPRKEASKMGYQKSQFHLMNNGKRKFVLSNEHKGKGFGYERTTKYGRTNPIEKTRENKRSLALIYTEQEIKQWREERRKNYPSNANIEKKRNGRLTNHGVFDTEAKQRREQLKEILAKQAELGVEVAEIPSHYLSDSEKQVNERQDNGRFPSKKGRPLNKHDRRGRFNKNDRFVKQKTLENKDSSNTFSLNQKKPTLLQKLLSADIRRDKHHLLQVFRLMAINSFFEDWPEKPLRFPLVVVKEDGRVDNQLVAENSSCTGKDVDGDKQDEKVEQGKCYAGGKCSLVQEIDRTEGEEGEIID >Manes.07G082900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26443981:26453627:-1 gene:Manes.07G082900.v8.1 transcript:Manes.07G082900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHYNSFPSQNSNQIQSNNSIAPVQGFPTNPSLVNLCNMLQNSMPVQPQLGIVNPQIPIPFNNSSTIMPNMPPLIIQQPGFVNQLGIPQMGFAPQTNMNAIPMFVNQLIPGQPQGQPFAFNLPQQHHHNMVFPSLQNIMQNLNPIVPMQMPNPSQADGPQNPSLFANSLFVGQQGNLNQQNFVEDKRTQQLQGSLLTMPKTQNSRPSTSSCRLGVPVNVGPNNHSTSNWKNFPSKDFKKNPRKEASKMGYQKSQFHLMNNGKRKFVLSNEHKGKGFGYERTTKYGRTNPIEKTRENKRSLALIYTEQEIKQWREERRKNYPSNANIEKKRNGRLTNHGVFDTEAKQRREQLKEILAKQAELGVEVAEIPSHYLSDSEKQVNERQDNGRFPSKKGRPLNKHDRRGRFNKNDRFVKQKTLENKDSSNTFSLNQKKPTLLQKLLSADIRRDKHHLLQVFRLMAINSFFEDWPEKPLRFPLVVVKEDGRVDNQLVAENSSCTGKDVDGDKQDEKVEQGKCYAGGKCSLVQEIDRTEGEEGEIID >Manes.06G033901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9961951:9963418:1 gene:Manes.06G033901.v8.1 transcript:Manes.06G033901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.08G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3327134:3331450:1 gene:Manes.08G034400.v8.1 transcript:Manes.08G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRSRSGEASPDHHVIQMSETPPSSDGVNLDKFFKDVDSVKDELKELEKLNENLQSAHEQSKTLHNAKAVKDLRSRMDTDVAQALKKAKLIKVRLEALDSSNATNRSLPGCGPESSSDRTRTSIVNGLRKKLKDSMESFNRLREKISSEYRETVQRRYFTVTGENPDEKTLDRLISTGESETFLQKAIQEQGRGRILDTINEIQERHGAVKEMEKNLKELHQVFLDMAVLVEAQGEQLDDIESNMQRASSFVRGGTQQLQTARAYQKNTRKWTCYAIILLLIIILVVLFTVRPWE >Manes.10G145900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31320962:31322485:1 gene:Manes.10G145900.v8.1 transcript:Manes.10G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAGKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKQVWSRGIRSVPRRVRVRIARKRNDEEDAKEEFYSLVTVAEIPPEGLKGLGTKVIDEED >Manes.16G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:264325:269712:1 gene:Manes.16G000900.v8.1 transcript:Manes.16G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGNSFKLLSQQKTESWTPSGAYAYPKTPARRVQRLNLGGLGDGSISKIKEMLHKVELSVSPYDTAWVAMVPAGDSSSQSRFPECLNWILENQNPDGSWAFHPSDPLLVKDSLSSTLACILALQKWNVGQRLVNRGLDFIGSNKWAATDSKQKSPIGFDVIFPGMIERAEHMGLALPINTSSLDAMLNKRDLEVQSALRSGLEGKKKYLAYVAEGFNKLDDWKDVMKYQRSNGSLFNSPATTAAALLHLNDDKCFTYLNSLLQRYRNAVPTIYPLDIYARLCTIDNLERLGIDQYFRIEIQTILDEIYRCWMQGDDEIFSDITCLALGFRLLRMNGYDVSSDVFAGLDEQEQFFNSVSEQYKGTNTILELYKASLMLILPSEPILEKLYVWTSSFLKLALGKGAVGEKKLHKEVEYALKYPHASLERLENRKSIELHEVDNVQLLKTAYRCSNSDNKYLLELSLKDFNACQYIHKKELNILERWVKEYRMDELKFARQKITYGFFAAAAVLFSPEHSDARIAWAKFTVLVTLIDDLFDVGGSEEELLNMFELVKKWDSHSEVGFCSEQIEIIFSAVYDTTNEFAVKAGIEQGRSVKDHLIEIWLILLETMWREFEWARGTKSLPSVDDYMSSAYVSVALGPVALVPLYFLGAKLSEEAVKSKEYDDLFMHMSVISRLLNDLTTVKRESEQGKLNSLSLRVIHGNGSISEEDAISETKRLIESHRRELLRMVVQTEGSVVPKVCKDVFWKTSKIVHLFYMGKDGFSSPHEMISAINTVIHNPILLPPY >Manes.16G000900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:264325:269711:1 gene:Manes.16G000900.v8.1 transcript:Manes.16G000900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGNSFKLLSQQKTESWTPSGAYAYPKTPARRVQRLNLQGGLGDGSISKIKEMLHKVELSVSPYDTAWVAMVPAGDSSSQSRFPECLNWILENQNPDGSWAFHPSDPLLVKDSLSSTLACILALQKWNVGQRLVNRGLDFIGSNKWAATDSKQKSPIGFDVIFPGMIERAEHMGLALPINTSSLDAMLNKRDLEVQSALRSGLEGKKKYLAYVAEGFNKLDDWKDVMKYQRSNGSLFNSPATTAAALLHLNDDKCFTYLNSLLQRYRNAVPTIYPLDIYARLCTIDNLERLGIDQYFRIEIQTILDEIYRCWMQGDDEIFSDITCLALGFRLLRMNGYDVSSDVFAGLDEQEQFFNSVSEQYKGTNTILELYKASLMLILPSEPILEKLYVWTSSFLKLALGKGAVGEKKLHKEVEYALKYPHASLERLENRKSIELHEVDNVQLLKTAYRCSNSDNKYLLELSLKDFNACQYIHKKELNILERWVKEYRMDELKFARQKITYGFFAAAAVLFSPEHSDARIAWAKFTVLVTLIDDLFDVGGSEEELLNMFELVKKWDSHSEVGFCSEQIEIIFSAVYDTTNEFAVKAGIEQGRSVKDHLIEIWLILLETMWREFEWARGTKSLPSVDDYMSSAYVSVALGPVALVPLYFLGAKLSEEAVKSKEYDDLFMHMSVISRLLNDLTTVKRESEQGKLNSLSLRVIHGNGSISEEDAISETKRLIESHRRELLRMVVQTEGSVVPKVCKDVFWKTSKIVHLFYMGKDGFSSPHEMISAINTVIHNPILLPPY >Manes.02G023200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2062133:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASGYVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWVAGAAMAASSVSVVMCSLLLKNYKRPKILENLEIRGIRIE >Manes.02G023200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2062133:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASGYVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWVAGAAMAASSVSVVMCSLLLKNYKRPKILENLEIRGIRIE >Manes.02G023200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2062133:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASGYVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWVAGAAMAASSVSVVMCSLLLKNYKRPKILENLEIRGIRIE >Manes.02G023200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2062133:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASGYVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWVAGAAMAASSVSVVMCSLLLKNYKRPKILENLEIRGIRIE >Manes.02G023200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2061368:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASGYVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWVAGAAMAASSVSVVMCSLLLKNYKRPKILENLEIRGIRIE >Manes.02G023200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2062133:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLVLACVRNESYGDLSPRPRYPSMPKYPKGFSASENIVKGSEAKAVFSVIGMTCAACAGSVEKAVKRLPGIREAAVDFLNNRAQVLFYPTFVNEETIRETIEDAGFEAKLIQDETSDRSTQVCRMLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASGYVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWVAGAAMAASSVSVVMCSLLLKNYKRPKILENLEIRGIRIE >Manes.02G023200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2062140:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASQ >Manes.02G023200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2062279:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASGYVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWVAGAAMAASSVSVVMCSLLLKNYKRPKILENLEIRGIRIE >Manes.02G023200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2056926:2062140:-1 gene:Manes.02G023200.v8.1 transcript:Manes.02G023200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLVLACVRNESYGDLSPRPRYPSMPKYPKGFSASENIVKGSEAKAVFSVIGMTCAACAGSVEKAVKRLPGIREAAVDFLNNRAQVLFYPTFVNEETIRETIEDAGFEAKLIQDETSDRSTQVCRMLINGMTCTSCSNTVEQALQATQGVQKAQVALATEEVEVHYDPKVLSHNQLLQSIEDIGFEAILISTGEDIDKIHLKVDGIRNDHSIEAIENSLRALPGVQNIDIDTALNKFSISYKPEMTGPRNFIKVIQSIGAGRLKAMIFPEGSGGRESHRREEIKQYYRSFLWSLVFTIPVFLISMVFMYIPVIEHRLETKIVNMLTTGAILRWVLSTPVQFVIGRRFYTGAYKALRHHSANMDVLIALGTNAAYFYSFYSVLRAAASPDFMGTDFFETSSMLISFILLGKYLEVLAKGKTSEAIAMLMDLAPETAILLTLDDEGNVIKEEEIDGRLIQKNDVIKILPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTVNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIIISFSTWLAWFLAGKFHVYPKSWIPNSIDSFELALQFGIAVIVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLGDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPVWPEAQDFVSITGHGVKAIVRNREIIVGNRSLMLDNNIIIPVDAEEMLAETEEMAQTGILISIDREVIGLLAISDPLKPGAREVISILKSMKVRSIMVTGDNYGTANSIAREVGIETVMAETKPEQKAEKVKELQASQ >Manes.07G019000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2153702:2159348:-1 gene:Manes.07G019000.v8.1 transcript:Manes.07G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLSLGFASSTMNSHRAQIADDSISLQLDSSFRDPSVPVSSVPLQLLEPFVENHHQHRSNENGRIDGQNHNDGKTEEEEEEEDEKEVRILGYSMCFKRRRDSESSSNSSKRAATSGELDLEERKKLVKSWGNQSICMSDPEIFDMMEKERERQYKGIELIASENFVCRAVMEALGSHLTNKYSEGMPGSRYFCGNQYIDEIETLCWKRALDAFGLDSENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDNPSGGNTSHGYYMSSGRKVSCASIFFESLPYKVNPQTGYIDFDKLEERALDFRPKILICGGSSYPREWDYARFRQIADRCGAVLLCDMAQISGLVAAKECVNPFDYCDIVTSTTHKSLRGPRGGIIFYRKGVKPRKRGMLLGQGDDSDQYDFEEKINFAVHPSLQGGPHNNHIAALAIALKQVATPEYKVYMQQVKKNAQALACALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKTYEKVCELCLISVNKIAIFGDNGTITPGGVRIGTPAMTSRGCLESDFETIADFLLRAAQIASMMQRERGKASLKCLQSNKDILELRNRVETFATQFAMPGFDL >Manes.04G143100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34168230:34175932:1 gene:Manes.04G143100.v8.1 transcript:Manes.04G143100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRSTADSRKNYENLSQGTKFHTFSDRNARQGGYTRTPVPGNAGVSREFRVVRDNRVNQNTTGEPKPALQQGCSEQCIAIVTQKGSSGTGTSVIVKPSGVRSSTQASNGPADVQSRNTRDATLKTDGKLVFEEKRTVVSSSASRVQVIKPNSQNHSATLASSNSVIGVYSSSTDPVHVPSPESRSSAAVGAIKREVGVVGGRRQSSENAMKSSSVSSSSFSNSVLGRDGSMSESFQPFPVISKMDQASQTVATESVMPSISVSRSLVSNQYSRPHQAAVGHQKAAQHNKEWKPKSSQKSTVVSPGVIGTPTKPSSPPSDNSKDLESETSDLQDKLMRVNVFENQNVIIAQHIRVPEIDRCRLTFGSFGTEFDSSRDAISGFQSIEDSNAECAASISVSTPESSSDDASGNKQVELLDEQVRNSGSDSPASGAVSEHQLPDKSSSPLNLDNYADIGLVQDNSPSYTPSESQQQQQDPPELPSFSAYDPQTVYDISYFRPPLDETVRGQGLPSPQEALTSHMANSMPASTITMVQQQQQPPMAQMYPQVHVSHFANLMPYRQFLSPVYVPQMAMPGYSSNPAYPHPSNGSSYLLMPGGSSHLSANGLKYGIQQFKPVPGSTPTGFGNFTSPSGYAINAPGVVGSASGLEDSSRIKYKDGNLYVPNPQAETSEIWVQNPRELPGLQSGPYYNMPGQTPHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPPPTPAAMANPHHLGPVMGGNVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >Manes.04G143100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34166875:34175932:1 gene:Manes.04G143100.v8.1 transcript:Manes.04G143100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVSTSAAAATTTGTQSQTHILSARVRKMIQSIKEIVGNFSDDFIYTVLKENNMDPNETTQKLLNQDPFHEVKRKRDKKKESMGYRSTADSRKNYENLSQGTKFHTFSDRNARQGGYTRTPVPGNAGVSREFRVVRDNRVNQNTTGEPKPALQQGCSEQCIAIVTQKGSSGTGTSVIVKPSGVRSSTQASNGPADVQSRNTRDATLKTDGKLVFEEKRTVVSSSASRVQVIKPNSQNHSATLASSNSVIGVYSSSTDPVHVPSPESRSSAAVGAIKREVGVVGGRRQSSENAMKSSSVSSSSFSNSVLGRDGSMSESFQPFPVISKMDQASQTVATESVMPSISVSRSLVSNQYSRPHQAAVGHQKAAQHNKEWKPKSSQKSTVVSPGVIGTPTKPSSPPSDNSKDLESETSDLQDKLMRVNVFENQNVIIAQHIRVPEIDRCRLTFGSFGTEFDSSRDAISGFQSIEDSNAECAASISVSTPESSSDDASGNKQVELLDEQVRNSGSDSPASGAVSEHQLPDKSSSPLNLDNYADIGLVQDNSPSYTPSESQQQQQDPPELPSFSKAYDPQTVYDISYFRPPLDETVRGQGLPSPQEALTSHMANSMPASTITMVQQQQQPPMAQMYPQVHVSHFANLMPYRQFLSPVYVPQMAMPGYSSNPAYPHPSNGSSYLLMPGGSSHLSANGLKYGIQQFKPVPGSTPTGFGNFTSPSGYAINAPGVVGSASGLEDSSRIKYKDGNLYVPNPQAETSEIWVQNPRELPGLQSGPYYNMPGQTPHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPPPTPAAMANPHHLGPVMGGNVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >Manes.04G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34166875:34175932:1 gene:Manes.04G143100.v8.1 transcript:Manes.04G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVSTSAAAATTTGTQSQTHILSARVRKMIQSIKEIVGNFSDDFIYTVLKENNMDPNETTQKLLNQDPFHEVKRKRDKKKESMGYRSTADSRKNYENLSQGTKFHTFSDRNARQGGYTRTPVPGNAGVSREFRVVRDNRVNQNTTGEPKPALQQGCSEQCIAIVTQKGSSGTGTSVIVKPSGVRSSTQASNGPADVQSRNTRDATLKTDGKLVFEEKRTVVSSSASRVQVIKPNSQNHSATLASSNSVIGVYSSSTDPVHVPSPESRSSAAVGAIKREVGVVGGRRQSSENAMKSSSVSSSSFSNSVLGRDGSMSESFQPFPVISKMDQASQTVATESVMPSISVSRSLVSNQYSRPHQAAVGHQKAAQHNKEWKPKSSQKSTVVSPGVIGTPTKPSSPPSDNSKDLESETSDLQDKLMRVNVFENQNVIIAQHIRVPEIDRCRLTFGSFGTEFDSSRDAISGFQSIEDSNAECAASISVSTPESSSDDASGNKQVELLDEQVRNSGSDSPASGAVSEHQLPDKSSSPLNLDNYADIGLVQDNSPSYTPSESQQQQQDPPELPSFSAYDPQTVYDISYFRPPLDETVRGQGLPSPQEALTSHMANSMPASTITMVQQQQQPPMAQMYPQVHVSHFANLMPYRQFLSPVYVPQMAMPGYSSNPAYPHPSNGSSYLLMPGGSSHLSANGLKYGIQQFKPVPGSTPTGFGNFTSPSGYAINAPGVVGSASGLEDSSRIKYKDGNLYVPNPQAETSEIWVQNPRELPGLQSGPYYNMPGQTPHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPPPTPAAMANPHHLGPVMGGNVGVGVAPAAPGAQVGAYQQPQLGHLNWTTNF >Manes.04G085200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28666974:28677568:1 gene:Manes.04G085200.v8.1 transcript:Manes.04G085200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKLELDQLKKGMLAGVSHEELLILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGLTSDIPGHQHSHSIGDDAKLDALRDGALLLENENHKDFLSSASVIPSDASHEFKHRSSSSKWNEELSYASSAITESAQVGEITSASKLPAVALTQDQMDLLVEQVKMLAEEIAFSTSTLKRLVEQSGKDPDSSKIQIQNLECEIQKKRRQMRIIEQHIIESGEASIANASLVDMQQTVMRLMTQCNEKSFELEIKSADNRVLQEQLQTKCSENKELQEKVHTLEQQLASFSGDKMSHTPEHAVSEEYVDELRKKIQSQEIENEKLKIEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESLHSRVGTQNINGVNRKYNDSMRPGRRGRFSGRPNEISGMHSDEFDSWSLDPEDLKIELQARKQREASLETALAEKELIEEEYRKNAEEAKKREEALENDLANMWVLVAKLKKEGGSIAEVNTDERHSERIDVSEPKINEVDENTILKERQVSNTSRPPDENLEEPLVVRLKARMQEIKEKEIKYLGNGDANSHMCKVCFESATAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFT >Manes.04G085200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28664552:28677568:1 gene:Manes.04G085200.v8.1 transcript:Manes.04G085200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRVRSSSPFSYRKPSSPYSSSSSYSGNRLMPRSCSSSASSFFNSGGGRSMTPGSDSMYSGPRGYGGCTPVAFGSDELIAEPVDVPRNGDSISVTIRFRPLSEREFQRGDEIAWYADGDKIVRNEYNPAAAYAFDRVFGPHTTSQEVYDVAAKPVVQAAMEGVNGTVFAYGVTSSGKTHTMHGDQDSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKLELDQLKKGMLAGVSHEELLILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGLTSDIPGHQHSHSIGDDAKLDALRDGALLLENENHKDFLSSASVIPSDASHEFKHRSSSSKWNEELSYASSAITESAQVGEITSASKLPAVALTQDQMDLLVEQVKMLAEEIAFSTSTLKRLVEQSGKDPDSSKIQIQNLECEIQKKRRQMRIIEQHIIESGEASIANASLVDMQQTVMRLMTQCNEKSFELEIKSADNRVLQEQLQTKCSENKELQEKVHTLEQQLASFSGDKMSHTPEHAVSEEYVDELRKKIQSQEIENEKLKIEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESLHSRVGTQNINGVNRKYNDSMRPGRRGRFSGRPNEISGMHSDEFDSWSLDPEDLKIELQARKQREASLETALAEKELIEEEYRKNAEEAKKREEALENDLANMWVLVAKLKKEGGSIAEVNTDERHSERIDVSEPKINEVDENTILKERQVSNTSRPPDENLEEPLVVRLKARMQEIKEKEIKYLGNGDANSHMCKVCFESATAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFT >Manes.04G085200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28664552:28677568:1 gene:Manes.04G085200.v8.1 transcript:Manes.04G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRVRSSSPFSYRKPSSPYSSSSSYSGNRLMPRSCSSSASSFFNSGGGRSMTPGSDSMYSGPRGYGGCTPVAFGSDELIAEPVDVPRNGDSISVTIRFRPLSEREFQRGDEIAWYADGDKIVRNEYNPAAAYAFDRVFGPHTTSQEVYDVAAKPVVQAAMEGVNGTVFAYGVTSSGKTHTMHGDQDSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKLELDQLKKGMLAGVSHEELLILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGLTSDIPGHQHSHSIGDDAKLDALRDGALLLENENHKDFLSSASVIPSDASHEFKHRSSSSKWNEELSYASSAITESAQVGEITSASKLPAVALTQDQMDLLVEQVKMLAEEIAFSTSTLKRLVEQSGKDPDSSKIQIQNLECEIQKKRRQMRIIEQHIIESGEASIANASLVDMQQTVMRLMTQCNEKSFELEIKSADNRVLQEQLQTKCSENKELQEKVHTLEQQLASFSGDKMSHTPEHAVSEEYVDELRKKIQSQEIENEKLKIEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESLHSRVGTQNINGVNRKYNDSMRPGRRGRFSGRPNEISGMHSDEFDSWSLDPEDLKIELQARKQREASLETALAEKELIEEEYRKNAEEAKKREEALENDLANMWVLVAKLKKEGGSIAEVNTDERHSERIDVSEPKINEVDENTILKERQVSNTSRPPDENLEEPLVVRLKARMQEIKEKEIKYLGNGDANSHMCKVCFESATAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFT >Manes.13G155500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36890121:36894989:-1 gene:Manes.13G155500.v8.1 transcript:Manes.13G155500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANASPSYAKKLQAKGKSPGWAAFDLKQRQKQGLPQVGNDPFPPLPTTSTTSFRPCGNPPSNNGYFEGAYSSVLLPSADFPSIAEDSNCNKYTRVSDYGQVEVIEEKKPAFVLNHLKELHSWADKSLIEDVMAAVNGDIDKATVFLEEIISTDNSEENGEAKYFSNCDDFQCDTEEDESVLLGRNSDLAADIADLSSTLEDALKGNYKQSKNVHAACGHRLSEAAAANMKLILGHIRSFPVEPEWEEHDVYLSHRRNALRMMRLAARHSRAATNAFLRGDHFSAQQNSLKARKEWLNAERLNAKAAKEILSIRNSENNPWKLDLHGLHAAEAVKALQEHLNKIETLLLKDRPVSPGRFKTKNGIVSSSLKPFISIDMENLDKQQAGLRHRTVSLQVITGIGNHSRGQAAIPTAVRSFLSENGYHFDEARPGVISVRPKFRHR >Manes.11G061200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8546624:8558043:-1 gene:Manes.11G061200.v8.1 transcript:Manes.11G061200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHSGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPSTVTHPAVSGGGAIGLGAPSIAAALKHPRTPPTNPSVDYPSGDSDHVAKRTRPMGISDEVNLPVNVLPVSFPGHGHGHGQTFNAPDDLPKTLSRTLNQGSSPMSMDFHPVQQTILLVGTNVGDVGLWEVGSRERLVLRNFKVWDLSTCSMPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSTPHKQLCVITCGDDKTIKVWDAGTGSKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESHIVEWNESEGNVKRSYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDNVQLLTSIDADGGLPASPRIRFNKDGTLLAVSANDNGIKILANSDGLRLLRSFENLSYDASRASETVTKPIINPISAAAAAAAATSAGLADRNASVVTIPGMNGDARNMGDVKPRINEESNDKSKIWKLTEINEQSQCRSLRLPENLRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATASMSPQLWQPSSGILMTNDFTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKTRFLQVPPGRTTTGQSDTRVQFHQDQIQFLVVHDTQLAMYEATKLECTKQWVTRESSAPISHATFSCDSQLVYASFLDATVCVFSAQNLRLRCRINPSSYLPANVSISNVHPLVIAAHPQESNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPAAPSVGPSGSEQAQR >Manes.11G061200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8548657:8558043:-1 gene:Manes.11G061200.v8.1 transcript:Manes.11G061200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHSGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPSTVTHPAVSGGGAIGLGAPSIAAALKHPRTPPTNPSVDYPSGDSDHVAKRTRPMGISDEVNLPVNVLPVSFPGHGHGHGQTFNAPDDLPKTLSRTLNQGSSPMSMDFHPVQQTILLVGTNVGDVGLWEVGSRERLVLRNFKVWDLSTCSMPLQAALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSTPHKQLCVITCGDDKTIKVWDAGTGSKQYTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESHIVEWNESEGNVKRSYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDNVQLLTSIDADGGLPASPRIRFNKDGTLLAVSANDNGIKILANSDGLRLLRSFENLSYDASRASETVTKPIINPISAAAAAAAATSAGLADRNASVVTIPGMNGDARNMGDVKPRINEESNDKSKIWKLTEINEQSQCRSLRLPENLRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATASMSPQLWQPSSGILMTNDFTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKTRFLQVPPGRTTTGQSDTRVQFHQDQIQFLVVHDTQLAMYEATKLECTKQWVTRESSAPISHATFSCDSQLVYASFLDATVCVFSAQNLRLRCRINPSSYLPANVSISNVHPLVIAAHPQESNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPAAPSVGPSGSEQAQR >Manes.01G066600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26721080:26723793:1 gene:Manes.01G066600.v8.1 transcript:Manes.01G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLSSVFIDPNVLSPCGSLYSQSPSSNLTLGDLPESCVASILAYLDPPEICKLARLNRAFRGASWADFVWESKLPANYVHLIQRVFGDDLTREISKREIYTRLCRPNTFDGGTKKAWLDKITGGICLSISSKGLAITGIDDRRYWNHIPTEESRFDSVAYLQQIWWLEVDGQFEFPFPEGTYSLFFRLQLGKAAKRFGRRICNIEHVHGWHLKPVRFQLWTSDGQYASSQCFLSDPGKWNLFHVGDFVVDTSNPPMNIKFSMTQIDCTHTKGGLCLDSVIVYPCKIKERLKHF >Manes.04G146976.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34433517:34434002:-1 gene:Manes.04G146976.v8.1 transcript:Manes.04G146976.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTVRKPRQGPIVIISEGIEIKNVTDVQLEKELNNGPVLGILSVDPEFENLKKGLLSLEC >Manes.04G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31724765:31726516:-1 gene:Manes.04G113600.v8.1 transcript:Manes.04G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARLALRNLSQRLSSSTSCVTKRSGVDMQRQKWNDELLKRFMATAGETSDGKEIAVNDGNKKSKLFPRKKGRRGLWRSNGREFVPQLYEFFPSGLGNALLQATDNINRLFENLNLSPTNLMGRFKEKDECYKLRYEVPGLSKEELKITIDDGVLTIKGEHKEEEEEGSDDEHWSMRSYGYYNTSVLLPDDAKADDIKAELKNGVLHITIPRTEQPKKDLKEVQIH >Manes.14G023900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2264580:2266695:1 gene:Manes.14G023900.v8.1 transcript:Manes.14G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTITSAKDLKNVNWRHGPLRPYAVVWIDPNHKCSTTVDEEGDACPLWDQTLVIPLPSAPIEDQTLYIDIVHAGREEDTKPLIGSARLKLVDVLDEVGIGERVKRSLQLKRPSGRPQGKVDVKVTIRNPQYRAPDAYYAPPHGVPPPAASRDYPYGSPYSAAPPPPNPYYSAAPPSGYPYGGYNAPSPPGPYGQPSYGYGQQPVYVQEEKKSKFGGMGTGVAVGAVAGVLGGLALAEGVDALEDHIADDVAEKVEDDLGYDDDDF >Manes.08G046100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4573197:4576415:1 gene:Manes.08G046100.v8.1 transcript:Manes.08G046100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVGYPSGGRGRGGSIKGESRRDFLPTQNAFTKKVSDDIELFNTETLVQEVEKVFALNHPDPHELEKAKRMLKVRHAYIVLCVYPSFLAACEFTKWTTFCTIKEHEQALVEAIEGLQMHLMVKVVTSNSCMAKQWMGIEVERKNLAENFNDDQRKGSWLNC >Manes.08G046100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4575241:4576217:1 gene:Manes.08G046100.v8.1 transcript:Manes.08G046100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVGYPSGGRGRGGSIKGESRRDFLPTQNAFTKKVSDDIELFNTETLVQEVEKVFALNHPDPHELEKAKRMLKEHEQALVEAIEGLQMHLMVKVVTSNSCMAKQWMGIEVERKNLAENFNDDQRKGSWLNC >Manes.08G046100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4573156:4576489:1 gene:Manes.08G046100.v8.1 transcript:Manes.08G046100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVGYPSGGRGRGGSIKGESRRDFLPTQNAFTKKVSDDIELFNTETLVQEVEKVFALNHPDPHELEKAKRMLKEHEQALVEAIEGLQMHLMVKVVTSNSCMAKQWMGIEVERKNLAENFNDDQRKGSWLNC >Manes.08G046100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4573197:4575945:1 gene:Manes.08G046100.v8.1 transcript:Manes.08G046100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVGYPSGGRGRGGSIKGESRRDFLPTQNAFTKKVSDDIELFNTETLVQEVEKVFALNHPDPHELEKAKRMLKEHEQALVEAIEGLQMHLMVKVVMNICMHEIDLNQVVLEMTIASVLNLC >Manes.08G046100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4573167:4576441:1 gene:Manes.08G046100.v8.1 transcript:Manes.08G046100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVGYPSGGRGRGGSIKGESRRDFLPTQNAFTKKVSDDIELFNTETLVQEVEKVFALNHPDPHELEKAKRMLKEHEQALVEAIEGLQMHLMVKVVTSNSCMAKQWMGIEVERKNLAENFNDDQRKGSWLNC >Manes.16G117400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32165390:32171168:-1 gene:Manes.16G117400.v8.1 transcript:Manes.16G117400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEDDAGFPPKAHSFNRSRKLPAYSLYDQDYVVDDDDDDEFDEDQDSDDSYSSRRYSHNLDKQNNDFDRYPKRQKLKSSVSNYELVPRSGRLLSYEEGNFSPDWSEHEKFVLLEVWGDRFLQLGRNSLRSEDWVEVAEKVSESSKIKRTETQCKLMMDALKRKYKKEKAKGVNNSKWAYFRKMDMLMNQELGGGSGFSLACGVDSGEFVFMDTHVYLDRANGNDEMRDSPCESENEEEEEEGAGNGGSDGVKGLKVLADSVQKFGEIYEKIESSKREQMMELERMRVEFQRELELQKKQILERAQAEIAKIREGDDDVDEEEDTDDEDDSGEDVSE >Manes.16G117400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32165390:32171168:-1 gene:Manes.16G117400.v8.1 transcript:Manes.16G117400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEDDAGFPPKAHSFNRSRKLPAYSLYDQDYVVDDDDDDEFDEDQDSDDSYSSRRYSHNLDKQNNDFDRYPKRQKLKSSVSNYELVPRSGRLLSYEEGNFSPDWSEHEKFVLLEVWGDRFLQLGRNSLRSEDWVEVAEKVSESSKIKRTETQCKLMMDALKRKYKKEKAKGVNNSKWAYFRKMDMLMNQELGGGSGFSLACGVDSGEFVFMDTHVYLDRANGNDEMRDSPCESENEEEEEEGAGNGGSDGVKGLKVLADSVQKFGEIYEKIESSKREQMMELERMRVEFQRELELQKKQILERAQAEIAKIREGDDDVDEEEDTDDEDDSGEDVSE >Manes.08G087800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:29455433:29459521:1 gene:Manes.08G087800.v8.1 transcript:Manes.08G087800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTEPSQLQPPQPPQPHRPSTSCDRHPDEHFTGFCPSCLCERLAVLEPSSSSASSSRKPPIPTTSTATAALKAIFKPSGGTGSSKPSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRSTLWTLFYQDDDRNPSKRDSFKGPEIEVESRISSSSVRGPVFESKEEEEIETDSDNEKDNESGDIIRVLDEPILTARNSNANPIEEIIEEDEAIVIEPEQVQEEELKPMKDHIDLDSQTKKPSGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRRNGGPGSATLPVEKPIGRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTVPRMPTMVSVVEDAPVNVVLRSDTQIPVEEPQSMPMNSIHEDETVPGGSAQTRDYYSDSSSRRRKSLDRSSSIRKTAAAVVAEIDELKSASASASASASNAKVSPATVDYIHGPKLVIPDRDSRDSNSNSLRDDCSETFEMGFRDHAPIVGNGERKGPKKSRRWSKAWNIWGFIHRRSVNKDEDEDRCSRVNGVERSFSESWPELRGERNEARGAFNPKMLRSNSSVSWRNSNGLGGVSFDSARKSNVETNGYGRKKRDEFVLERNRSARYSPNNIDNGLLRFYLTPMRSSRRVGWGKSKSSHAQSIARSVLRLY >Manes.15G044500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3425689:3430393:1 gene:Manes.15G044500.v8.1 transcript:Manes.15G044500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELSMACFERASPALKGILLKLYRAEKHVEIDHHLYEFGSVEYHVQSSAADPNCIYLSISTPLLSQGVEHSYGLSKQMLKEVCSDAVEIVEPPRQGYQLTLKLDFSKIPTEKESEKVIRQISSVQAVILSSQLKEMLENVNSQDASQGMYKPIKLVYHPREPFYVIKQPQKITAVFPMRFKEPSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPIEDLSTNGGFVSFDISSRHVEGKKLDKTVWSLLNFYAYVKNHIKCTRGFIQRRMQKCLERLVEVLNKENKEEDGDVIKVKENAGCKYVRKLVKFPKSAMLKQRCGEFTKKMKRIRSRIKIHGFGRFQRRWLTTSKFPSPMRYTKLD >Manes.15G044500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3425430:3431050:1 gene:Manes.15G044500.v8.1 transcript:Manes.15G044500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELSMACFERASPALKGILLKLYRAEKHVEIDHHLYEFGSVEYHVQSSAADPNCIYLSISTPLLSQGVEHSYGLSKQMLKEVCSDAVEIVEPPRQGYQLTLKLDFSKIPTEKESEKVIRQISSVQAVILSSQLKEMLENVNSQDASQGMYKPIKLVYHPREPFYVIKQPQKITAVFPMRFKEPSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPIEDLSTNGGFVSFDISSRHVEGKKLDKTVWSLLNFYAYVKNHIKCTRGFIQRRMQKCLERLVEVLNKENKEEDGDVIKVKENAGCKYVRKLVKFPKSAMLKQRCGEFTKKMKRIRSRIKIHGFGRFQRRWLTTSKFPSPMRYTKLD >Manes.15G044500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3425689:3431041:1 gene:Manes.15G044500.v8.1 transcript:Manes.15G044500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELSMACFERASPALKGILLKLYRAEKHVEIDHHLYEFGSVEYHVQSSAADPNCIYLSISTPLLSQGVEHSYGLSKQMLKEVCSDAVEIVEPPRQGYQLTLKLDFSKIPTEKESEKVIRQISSVQAVILSSQLKEMLENVNSQDASQGMYKPIKLVYHPREPFYVIKQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPIEDLSTNGGFVSFDISSRHVEGKKLDKTVWSLLNFYAYVKNHIKCTRGFIQRRMQKCLERLVEVLNKENKEEDGDVIKVKENAGCKYVRKLVKFPKSAMLKQRCGEFTKKMKRIRSRIKIHGFGRFQRRWLTTSKFPSPMRYTKLD >Manes.15G044500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3425689:3431043:1 gene:Manes.15G044500.v8.1 transcript:Manes.15G044500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELSMACFERASPALKGILLKLYRAEKHVEIDHHLYEFGSVEYHVQSSAADPNCIYLSISTPLLSQGVEHSYGLSKQMLKEVCSDAVEIVEPPRQGYQLTLKLDFSKIPTEKESEKVIRQISSVQAVILSSQLKEMLENVNSQDASQGMYKPIKLVYHPREPFYVIKQPQKITAVFPMRFKEPSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPIEDLSTNGGFVSFGILLSCPESKTMHSMVKKTLALT >Manes.15G044500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3425689:3431016:1 gene:Manes.15G044500.v8.1 transcript:Manes.15G044500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELSMACFERASPALKGILLKLYRAEKHVEIDHHLYEFGSVEYHVQSSAADPNCIYLSISTPLLSQGVEHSYGLSKQMLKEVCSDAVEIVEPPRQGYQLTLKLDFSKIPTEKESEKVIRQISSVQAVILSSQLKEMLENVNSQDASQGMYKPIKLVYHPREPFYVIKQPQKITAVFPMRFKEPSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPIEDLSTNGGFVSFDISSRHVEGKKLDKTVWSLLNFYAYVKNHIKCTRGFIQRRMQKCLERLVEVLNKENKEEDGDVIKVKGMLLAFEHC >Manes.15G044500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3425430:3431012:1 gene:Manes.15G044500.v8.1 transcript:Manes.15G044500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELSMACFERASPALKGILLKLYRAEKHVEIDHHLYEFGSVEYHVQSSAADPNCIYLSISTPLLSQGVEHSYGLSKQMLKEVCSDAVEIVEPPRQGYQLTLKLDFSKIPTEKESEKVIRQISSVQAVILSSQLKEMLENVNSQDASQGMYKPIKLVYHPREPFYVIKQPQKITAVFPMRFKEPSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPIEDLSTNGGFVSFDISSRHVEGKKLDKTVWSLLNFYAYVKNHIKCTRGFIQRRMQKCLERLVEVLNKENKEEDGDVIKVKENAGCKYVRKLVKFPKSAMLKQRCGEFTKKMKRIRSRIKIHGFGRFQRRWLTTSKFPSPMRYTKLD >Manes.15G044500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3425689:3429691:1 gene:Manes.15G044500.v8.1 transcript:Manes.15G044500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELSMACFERASPALKGILLKLYRAEKHVEIDHHLYEFGSVEYHVQSSAADPNCIYLSISTPLLSQGVEHSYGLSKQMLKEVCSDAVEIVEPPRQGYQLTLKLDFSKIPTEKESEKVIRQISSVQAVILSSQLKEMLENVNSQDASQGMYKPIKLVYHPREPFYVIKQPQKITAVFPMRFKEPSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPIEDLSTNGGFVSFDISSRHVEGKKLDKTVWSLLNFYAYVKNHIKRIHTKKDAEVFGTLG >Manes.03G026000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2102759:2107475:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFRSTLHRVVGNGRERYSVSSNQMWDLS >Manes.03G026000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2103386:2107474:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFSYNM >Manes.03G026000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2099631:2107474:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFRSTLHRVVGNGRERYSVSPYLFFLLILGSEVL >Manes.03G026000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2104727:2107474:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFSYNM >Manes.03G026000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2099631:2107475:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFRSTLHRVVGNGRERYSVSSNQMWDLS >Manes.03G026000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2103419:2107474:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFSYNM >Manes.03G026000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2099631:2107474:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFRSTLHRVVGNGRERYSVSSNQMWDLS >Manes.03G026000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2099631:2107475:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFRSTLHRVVGNGRERYSIAYFVEPSHDCLVECLPTCKSEENPPKFPPIKCGTYLSQRYKDTHADLNVYSKPQT >Manes.03G026000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2099631:2107474:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFRSTLHRVVGNGRERYSIAYFVEPSHDCLVECLPTCKSEENPPKFPPIKCGTYLSQRYKDTHADLNVYSKPQT >Manes.03G026000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2103419:2107474:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFSYNM >Manes.03G026000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2099631:2107474:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFSYNM >Manes.03G026000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2102759:2107477:-1 gene:Manes.03G026000.v8.1 transcript:Manes.03G026000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQRHGTEEIPTRDQKFSVLNCIDLSSSDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFFESKKFFQLPLDEKMNLLRNEKHRGYTPVLDELLDPDNQLHGDYKEGYYIGVEVPEDDPQAEKPFYGQNVWPADYLLPGWRQTMERFHKEALEVARAVARIIALALNLEADFFDRPEMLGQPITVLRLLHYGGQISDPSKGIFGAGAHSDYGLITLLATDDVVALQICKDKNAQPQVWEYVAPIRGAFVVNLGDMLERWSNCIFRSTLHRVVGNGRERYSIAYFVEPSHDCLVECLPTCKSEENPPKFPPIKCGTYLSQRYKDTHADLNVYSKPQT >Manes.12G028500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2476060:2476278:1 gene:Manes.12G028500.v8.1 transcript:Manes.12G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFILGLALINLIMLNHHVRVEAVRYLEPGVLDPCIRSGNKLPGCDPNKNQPRKEANPYQRGCSAITRCRN >Manes.15G063200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4799160:4804625:-1 gene:Manes.15G063200.v8.1 transcript:Manes.15G063200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLYPFNPTLLSKISAQPHLFVHPVLKRSRIGEMVTDDGRRRSKLSRFLTLSMHSNAKVLKSNRKSRYGQTISLYDSTEEEEEEEEDEDDDDVSEDDWLLDDEFAEPAEFEVNGKTLKARKKINERRGGQRQLDVNRNTQEKRIVGNFYHTKNKMKGADSSHMDNKAKLVPKAPNEKKYQKVSEEIDLDEKWIPLFDYLITFGLKESDFIQMYERHMPSLQINVSSAQERLEYLLSIGVKHRDIRRILLRQPQILEYTVENNLKSHVAFLRGLGIPNSKIGQIIAVAPSLFSYSVENSLKPTVRYLVKEVGINEKNIGKVVQLSPQILVQRIDISWNTRYIFLSKELGASRDNVVKMVTKHPQLLHYSIDDGFVPRINFLRSIGMQNSDILKILTSLTQVLSLSLEDNLKPKYMYLINELRNEVHSLTKYPMYLSLSLDQRIRPRHKFLVALKKAPKGPFPLSSFVPTDECFCQQWAGTSLDKYLAFRQQLLLKKFALKYERQG >Manes.11G077600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11480743:11482479:1 gene:Manes.11G077600.v8.1 transcript:Manes.11G077600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFKKFSASAVAAMSVVLLFSNVLALSSNPTITASPAVLPDVTAPNMSSFFPAPSDQWPLNSADPPVPEALAPVPSSGEFIGKSSSGSAKLSGHSALFGVGICIILVIRLVSFV >Manes.10G081800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21141359:21146163:-1 gene:Manes.10G081800.v8.1 transcript:Manes.10G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRSSGSIFDAPDLRREFEKVDISLNFIPFIWKYVIKNPNCKWDDIPNLPSAAYPLLHSKFRTLSSSLHSVVESNDGVTTKLLIRLQNGAFVEAVIMRYDTRLGKYGGKPRPGGLRSTLCVSSQVGCKMGCKFCATGSMGFKNNLSSGEIVEQLVHASYLSQIRNVVFMGMGEPLNNYAALVEAIHVMLGPPFQLSPKKITVSTVGVIHAINKLQKDVPGLNLAVSLHAPVQDIRCHIMPAARAFPLEKLMDALQVYQKNSQQKIFIEYIMLDGVNDEEQHAHQLGKLLENFEVVVNLIPFNPIGNLSEFRSSSEEKVSRFQIILRGVHNIRTTVRKQMGQDISGACGQLVVNLPDNSSINGLTDIEDLHLR >Manes.01G000341.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1057522:1058947:1 gene:Manes.01G000341.v8.1 transcript:Manes.01G000341.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLDRAFKSIISAIAYCYFQFRDDHRDINYNMPKGRPLSLQTVELKVRMCCSGCERVVKNAIHKLRGVDSVEVDLEMEKVTVVGYVDRNKVLKAVRRAGKRAEFWPYPNPPLYFTSANHYFKDTTNEFKESYNYYKHGYNVGERYGNIPVTHRGDDKVSNMFNDDNVNACCLM >Manes.01G000341.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1057522:1058947:1 gene:Manes.01G000341.v8.1 transcript:Manes.01G000341.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLQTVELKVRMCCSGCERVVKNAIHKLRGVDSVEVDLEMEKVTVVGYVDRNKVLKAVRRAGKRAEFWPYPNPPLYFTSANHYFKDTTNEFKESYNYYKHGYNVGERYGNIPVTHRGDDKVSNMFNDDNVNACCLM >Manes.16G001101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:336458:351369:1 gene:Manes.16G001101.v8.1 transcript:Manes.16G001101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGPATVFNGPVRKWKKSWVHVSPSNNSNHSQNHHIHATANATTNGNNGSHLLLYKWTPLTQSNKDNANNSNGDNTNGDKNSLKEDAAPLPEEPPRRKFKYIPVYLLEEQKKEAAEKAEDEAKLSDTEPIAKGDGFNEKPDINDVPMEETQDDSQVVRQDLNESTLDLSLGLKSHDDDFDSEPDRSKDGQLERLNSSSVGT >Manes.06G007550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1228954:1230904:1 gene:Manes.06G007550.v8.1 transcript:Manes.06G007550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAYLSNQSQLDDRTIHLLYSANQWEKSPLMQTKLKSGTTLVVDWYSYSGVAFSSAKGLGFDWYKAPEIGLLAPDLVLYLDITPEKAVKRGGYRGERYEKLEFQRKVAESYQALHDPSWKMIDGSKSMEEVQKQLKEIVLDHVLAWKTSFPPLVMQMLILPAKRIWVTINLEEKCWTIL >Manes.02G166500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13055219:13062383:1 gene:Manes.02G166500.v8.1 transcript:Manes.02G166500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSDGRGKWKRRKREPQITRKQQPKQEDPDDEDEDDAFEDDNNNNDHLDDDTEDPNPNPNSNPNLPQSAALPDANNLETEVFADGGVRICDFPSVTKLAVNRPHESIFAMVALERANLIGESSGSGRGQAPNLENVSYGQLQALSAVPAEGLGSDQERNDGGNSAYVVTPPPIMEGKGVVKRFGSRVHVVPMHSDWFSPAMVNRLERQVVPHFFSGKSPDHTPEKYMECRNYIVAKYMENPEKRITVSDCQGLVVGIENEDLARTVRFLDHWGIINYCAAPPICESWNGGSYLREDPNGEVHVPSAALKSIDSLIKFDRPRCRLKATDVYSSLACHDDGFSDLDNRIRERLSENRCTYCSQPLPGVYYQSQKEIDVLLCSDCFHEGRFVTSHSSLDFIKMDPSKDYGDFDGESWSDQETLLLLEAMELYNENWNEVAEHVGTKSKSQCILHFLRLPMEDGLLENIEVPSVSKAPDLSSKDYHGQLHSQSNGDLPGFCLQDADAESRIPFANSGNPVMSLVAFLASAVGPRVAAACAHASLAALSEDNRVNSERLHGREGNFHGEVANSIQQKDNLHGSWGPSEAEGVPLSAEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERTRILSSRMGPAGATSPMNLAGASPAMVNNNIGTSRQQVMPTSSSQPTISGYGNNQQVHPHMSFMQRGQPQPMFPLGPRLPLSAIQPSSSASSNAMFNPSSNSQPNLNQMLRSVSGPSSGLG >Manes.02G166500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13055219:13062383:1 gene:Manes.02G166500.v8.1 transcript:Manes.02G166500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPSDGRGKWKRRKREPQITRKQQPKQEDPDDEDEDDAFEDDNNNNDHLDDDTEDPNPNPNSNPNLPQSAALPDANNLETEVFADGGVRICDFPSVTKLAVNRPHESIFAMVALERANLIGESSGSGRGQAPNLENVSYGQLQALSAVPAEGLGSDQERNDGGNSAYVVTPPPIMEGKGVVKRFGSRVHVVPMHSDWFSPAMVNRLERQVVPHFFSGKSPDHTPEKYMECRNYIVAKYMENPEKRITVSDCQGLVVGIENEDLARTVRFLDHWGIINYCAAPPICESWNGGSYLREDPNGEVHVPSAALKSIDSLIKFDRPRCRLKATDVYSSLACHDDGFSDLDNRIRERLSENRCTYCSQPLPGVYYQSQKEIDVLLCSDCFHEGRFVTSHSSLDFIKMDPSKDYGDFDGESWSDQETLLLLEAMELYNENWNEVAEHVGTKSKSQCILHFLRLPMEDGLLENIEVPSVSKAPDLSSKDYHGQLHSQSNGDLPGFCLQDADAESRIPFANSGNPVMSLVAFLASAVGPRVAAACAHASLAALSEDNRVNSERLHGREGNFHGEVANSIQQKEDNLHGSWGPSEAEGVPLSAEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERTRILSSRMGPAGATSPMNLAGASPAMVNNNIGTSRQQVMPTSSSQPTISGYGNNQQVHPHMSFMQRGQPQPMFPLGPRLPLSAIQPSSSASSNAMFNPSSNSQPNLNQMLRSVSGPSSGLG >Manes.04G083800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28510846:28513618:-1 gene:Manes.04G083800.v8.1 transcript:Manes.04G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLKSSPVLDKSEFVKGQSLRQPSASIIRCHPTAPSALTIRAGSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGSILFEETLYQSTTDGKKMVDVLVEQNIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAVSQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLNLLKRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQGPNPWHVSFSYARALQNTCLKTWAGRPENVQAAQEALLIRAKANSLAQLGKYTGEGESEEAKKGMFVKGYVY >Manes.02G212660.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:26541720:26542334:-1 gene:Manes.02G212660.v8.1 transcript:Manes.02G212660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFSFVIDVLEYIGENGNDNLHRAAAIDLLDIMSCFEFVFVLLLMRKNLRITHNLLQVLKRRDQDIINTMHLVKVFKYHLQIVRDDGWESLLLEIVQFCGKHDVVILEMDDLYTIRGRSRRRTEKIINLHFYHVELFYSIIDMEFLELDNYFDELNTNLLLYMACLNPKDSFFAFNSSKLIEFAKFYPCEFFSIALLELRIST >Manes.07G118800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32378512:32383123:1 gene:Manes.07G118800.v8.1 transcript:Manes.07G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNVSLCTKIPPKPPLSFSSTKPLFLSLSRSSLKSQVAVSKHLLEDLAKTSLLAALSASLFFIDPALAFKGGGPYGAEVTRGQDLTGRDFSGKNLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVTKANLSNANLEGALATGNTSFRGSNITGADFTDVPLRDDQREYLCKVADGVNPTTGNATRDTLLCS >Manes.16G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3910254:3914490:1 gene:Manes.16G032400.v8.1 transcript:Manes.16G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFLSFLFLLFPSPSLSLNQEGLYLRQLKLFLSDPDSALSSWSDRDSTPCAWFGVGCDPVTHSVTSIDLSNSNLAGPFPSLLCRLQNLTSISFYNNSINDTLPLDISSCQNLQHLDLAQNYLTGTLPHTLADVPNLRYLDLTGNNFSGDIPESFGRFQKLEVVSLVYNLFDGIIPPFLGNITTLKMLNLSYNPFSPGRIPPELGNLTNLEILWLTECNLVGEIPDSLSRLKNLKDLDLAVNNLVGKIPISLTELTSLFQIELYNNSLTGELPRSLGNLTSLRLLDASMNDLSGPIPDELCRLPLESLNLYENQFEGNLPASIANSPRLYELRLFHNRLTGVLPQNLGKNSPLRWLDVSSNRFTGEIPASLCEKGELEELLIIYNAFSGQIPESLSECRSLARVRLGYNRLSGEVPSGFWGLPHVYLVELVNNSLSGPIAKTIASAANLSLLILDNNRFNGSIPEEIGWLENLGSFSGSGNEFSGQLPVSIVNLKQLGSLDLHGNLLSGELPTGIDSWKKINELNLANNDFSGKIPEGIGRLPVLNYLDLSSNRFSGKIPLSLQNLKLNRLNLSNNRLSGDIPPLFAKEMYKSSFLGNPGLCGDIEGLCDRRGEGKREGYAWLLKSIFILAAFVLVVGVVWFYFKYRTFKNARATDKSKWILMSFHKLGFSEYEILASLDEDNVIGSGSSGKVYKVVLSSGEAVAVKKLWGGAKKESDENDVEKGQFQDDVFEAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHGSKGGLLDWPTRYKILLDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVDSTTGKPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTRRLPVDPEFGEKDLVKWVCTTLDQKGVDHVIDPKLDSCFKEDICKVLNIGIHCTSPLPINRPSMRRVVKLLQEVGPENMPKTAKKDGKLTPYYYEDASDHGSIA >Manes.16G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3910254:3914490:1 gene:Manes.16G032400.v8.1 transcript:Manes.16G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFLSFLFLLFPSPSLSLNQEGLYLRQLKLFLSDPDSALSSWSDRDSTPCAWFGVGCDPVTHSVTSIDLSNSNLAGPFPSLLCRLQNLTSISFYNNSINDTLPLDISSCQNLQHLDLAQNYLTGTLPHTLADVPNLRYLDLTGNNFSGDIPESFGRFQKLEVVSLVYNLFDGIIPPFLGNITTLKMLNLSYNPFSPGRIPPELGNLTNLEILWLTECNLVGEIPDSLSRLKNLKDLDLAVNNLVGKIPISLTELTSLFQIELYNNSLTGELPRSLGNLTSLRLLDASMNDLSGPIPDELCRLPLESLNLYENQFEGNLPASIANSPRLYELRLFHNRLTGVLPQNLGKNSPLRWLDVSSNRFTGEIPASLCEKGELEELLIIYNAFSGQIPESLSECRSLARVRLGYNRLSGEVPSGFWGLPHVYLVELVNNSLSGPIAKTIASAANLSLLILDNNRFNGSIPEEIGWLENLGSFSGSGNEFSGQLPVSIVNLKQLGSLDLHGNLLSGELPTGIDSWKKINELNLANNDFSGKIPEGIGRLPVLNYLDLSSNRFSGKIPLSLQNLKLNRLNLSNNRLSGDIPPLFAKEMYKSSFLGNPGLCGDIEGLCDRRGEGKREGYAWLLKSIFILAAFVLVVGVVWFYFKYRTFKNARATDKSKWILMSFHKLGFSEYEILASLDEDNVIGSGSSGKVYKVVLSSGEAVAVKKLWGGAKKESDENDVEKGQFQDDVFEAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHGSKGGLLDWPTRDVKSNNILLDGDFGARVADFGVAKVVDSTTGKPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTRRLPVDPEFGEKDLVKWVCTTLDQKGVDHVIDPKLDSCFKEDICKVLNIGIHCTSPLPINRPSMRRVVKLLQEVGPENMPKTAKKDGKLTPYYYEDASDHGSIA >Manes.16G043500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7002042:7007711:1 gene:Manes.16G043500.v8.1 transcript:Manes.16G043500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRTPTWKERENNKRRERRRRAIAAKIYAGLRMYGNYKLPKHCDNNEVLKALCSEAGWTVEEDGTTYRKGCKPVERMDIIGGSASASPCSSYHPSPCASYNPSPGSSSFPSPVSSRYTANTNGNADANSLIPWLKNLSSVSVSSKHPHHLFIHSGSISAPVTPPLSSPTARTPRTKNDWDDPAGGPSWAGQNYPFPSSMPSSTPPSPGRQVIPDSGWLAGIEIPQSGPSSPTFSLVSRNPFGFRDEPLSGTGSRMWTPGQSGTCSPAVPAGADQTADVPMPDSMAAEFAFGNHTTWLVKAWEGERIHEECVPDDLELTLGNSKTR >Manes.16G043500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7003353:7007711:1 gene:Manes.16G043500.v8.1 transcript:Manes.16G043500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKPVERMDIIGGSASASPCSSYHPSPCASYNPSPGSSSFPSPVSSRYTANTNGNADANSLIPWLKNLSSVSVSSKHPHHLFIHSGSISAPVTPPLSSPTARTPRTKNDWDDPAGGPSWAGQNYPFPSSMPSSTPPSPGRQVIPDSGWLAGIEIPQSGPSSPTFSLVSRNPFGFRDEPLSGTGSRMWTPGQSGTCSPAVPAGADQTADVPMPDSMAAEFAFGNHTTWLVKAWEGERIHEECVPDDLELTLGNSKTR >Manes.16G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7002042:7007711:1 gene:Manes.16G043500.v8.1 transcript:Manes.16G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRTPTWKERENNKRRERRRRAIAAKIYAGLRMYGNYKLPKHCDNNEVLKALCSEAGWTVEEDGTTYRKGCKPVERMDIIGGSASASPCSSYHPSPCASYNPSPGSSSFPSPVSSRYTANTNGNADANSLIPWLKNLSSVSVSSKHPHHLFIHSGSISAPVTPPLSSPTARTPRTKNDWDDPAGGPSWAGQNYPFPSSMPSSTPPSPGRQVIPDSGWLAGIEIPQSGPSSPTFSLVSRNPFGFRDEPLSGTGSRMWTPGQSGTCSPAVPAGADQTADVPMPDSMAAEFAFGNHTTWLVKAWEGERIHEECVPDDLELTLGNSKTR >Manes.05G108900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10772316:10774395:1 gene:Manes.05G108900.v8.1 transcript:Manes.05G108900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDKERETQVYMAKLAEQAERYEEMVEYMKKIARLDCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEEAKGNENNVKLIKSYRQKVEEELSGICSDILSIIDKHLIPSSASGEATVFYYKMKGDYYRYLAEFKADQERKEAAEHSLKAYEAASAAASTDLPSTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNLKSEESKPTEEKASADKN >Manes.16G053115.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15460731:15492927:1 gene:Manes.16G053115.v8.1 transcript:Manes.16G053115.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKKFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTKEEDVGDEVANMCFMALEESSNEVIILDDSTLCDDVVEFSYDELVGALKLMNDELEKSHKKNKILKYELASLKRESENSPKEPLPSSDPLQKSLDKLSLENKNLKNEILELKNSLSKFLKGKDKLDEILDSQRSPSIKYGLGYDKSTQANSSKTVFVKATNSNEPKVSSSNGNMPKVCLKSSKIESKWYLDSGCSRHMTGNSSHFISSGITISLEKKDGSGQVTFGDNGKDKIVGIGKEVSCDDDLVGNLDELTIEDPQPHGDQSQPKEDSIEAIEDESRSPT >Manes.10G108200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26110236:26110715:1 gene:Manes.10G108200.v8.1 transcript:Manes.10G108200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVKKLKKLWPKKKRRKKTHQEPHYLPPPPCPCCCSYSSPVQPSAPPLPPWLVPDQTNETVTAPGVQQYLPEVSYQSRIQFQSQEIIVETNPICPTLPIETTPLTYQQYTVPNPVYGVPVVETPRRESSGGFFGCLISYGVDLIRCFCPCFRIREVD >Manes.02G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4742952:4747331:-1 gene:Manes.02G061400.v8.1 transcript:Manes.02G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNQVPKEDRKSRKSKPVNENDPLLPKRQEEDAGFDEFDGASFTGAVFNLSTTIVGAGIMALPATMKVLGLGLGVAMIIFMAFLTEASIDLLLRFSRAGKNSSYGGLMGDAFGKYGRILLQVAVLINNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWTGRFLILLITTLAIFSPLACFKRIDSLSFTSALSVALAVVFLVITVGITTVKLINGSIMMPRLLPDITDLTSFWKLFTVVPVLVTAYICHYNVHSIDNELEDSTQIKAVVRSSLALCSTVYIMTSIFGFLLFGDGTLDDVLANFDTDLGIPYSSLLNDAVRISYAAHLMLVFPIVFYPLRLNLDGLLFPSARPLYQENGRFASITIGLIALIFLGANFIPSIWDAFQFTGATAAVCLGFIFPASITLRDRHNIATKKDKILCVFMIGLAVFSNLVAIYSDAYALIKKNSSPRA >Manes.11G051400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5884265:5892847:-1 gene:Manes.11G051400.v8.1 transcript:Manes.11G051400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTEEAGVASKAKASNKDGKKEKISVAALLVNMDQKPDKPKKGSTSSLSTAKARAPKVSAYTDGIDLPPSDEEDDSDFEDEQQQAGAKRQSSRQQRGEPKLLDISVTDKELKKREKKDLIAAHSAELAKKEALKDDHDAFTVVIGSRASVLEGEDEADANVKDIAIENFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLIAWRKIPVPKNIDVLLVEQEVIGDDKTALEAVVSANEELVKIRQEVAALQNSTSAAGDEDGDDDINGNDAGEKLAELYEKLQIMGSDAAEAQASKILAGLGFTREMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNEIIHLHDLKLHVYRGNFDDFESGYEQRRKEMNKKFEIYDKQVKAARRSGNRAQQEKVKDRAKFAAAKEASKSKAKGKVNEDEPLSEAPKKWKDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPEQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSRPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEERSEIWVVENGTVNAYPGTFEEYKEELQKEIKAEVDD >Manes.03G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28028914:28033673:-1 gene:Manes.03G152300.v8.1 transcript:Manes.03G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKVFTLAQVSEHNNPKDCWLVIGGKVYDVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSTSAREMMDQYYVGEIDPSTIPKKTAYKPPNQPHYNQDKTSEFIIKLLQFLVPLAILGLAFGIRFYTKST >Manes.12G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33929087:33936104:1 gene:Manes.12G131600.v8.1 transcript:Manes.12G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEHEVYGGEIPDMEGDMDPHNADVDMSAADEDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPASAAANQANREEVDSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFAYVEFLEVEAVQEALVLNESELHGRQLKVLPKRTNVPGMKQYRPRRFNPYMGHRFRRPYVPPYFYSPYGYGKVPRFRRPMRYMPYY >Manes.01G199300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37313742:37315706:-1 gene:Manes.01G199300.v8.1 transcript:Manes.01G199300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTCLGSEGDNSSGFLWPQRNYTCSFCKRQFNSAQALGGHMNVHRRDRAMLIHLPSWVFDECPNPKPNLSSSSSLPSPRSTSTKLASSCPCTHHPLLSPTATSFSSSPSYQEKASTALECPQRYCSTPLSEALTKKKKKRSIRAVVEVEEIKKGFAQKCELEVLKKSEVISLELEIGCKDPKEVLDLELRLGCF >Manes.10G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2087292:2088790:-1 gene:Manes.10G021200.v8.1 transcript:Manes.10G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKRQQSGASSFDHLFGPKDSSSASSSSSVFGSIFSSPSTGLGRDSSGIMGNQGGGGKYGNPGNSSQSNKAGSSTGILGKDASSIYQNETAEPCYFSSSIYYGGQENYSPRTNNPESHHSLKKDDKSDDSNGNNSNSASRGNWWQGSLYY >Manes.17G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27724333:27727426:-1 gene:Manes.17G077200.v8.1 transcript:Manes.17G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPTSSSSLELTISMPGFSSSLSLPSSAGEGGCGVRDLDINQVPSTAVEEWITASMDDDEEITNGAPPRKKLRLSKEQSRLLEESFRHHHTLNPRQKEALAMQLKLKPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVISPHSCEPLPASTLTMCPSCERVTTTVTIDKAPTRTTTTTNGTTRATAATLSSKVGTPLLQSRQSSAAC >Manes.17G077200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27724333:27727426:-1 gene:Manes.17G077200.v8.1 transcript:Manes.17G077200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPTSSSSLELTISMPGFSSSLSLPSSGEGGCGVRDLDINQVPSTAVEEWITASMDDDEEITNGAPPRKKLRLSKEQSRLLEESFRHHHTLNPRQKEALAMQLKLKPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVISPHSCEPLPASTLTMCPSCERVTTTVTIDKAPTRTTTTTNGTTRATAATLSSKVGTPLLQSRQSSAAC >Manes.13G121400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33011701:33014001:1 gene:Manes.13G121400.v8.1 transcript:Manes.13G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIEYVSATTVKPTTNTNSPQKIESTPFDLPFLVVDYTHRGILFLKPEQQKQHLGKNNVIDHLKISLSRTLDIFAPFAGRLATVENEDGTTSFFIHCNGAGAMFVHAVADGVSVADILEPSCVPTSIVHSFFLMNGVYNFEGISKPLLAVQVTELGDGAVFLGCSYNHAVADGTSFWNFVNTWSEISRSYGEILQPPPVLGYDWFLHGIDHPIRVPFSYDETICNRFISPPLIEKVFHFSKEKIAALKAKANAEMSTSKISSLQAILGLLWRSTIRTRNLDADQEIYCRVLVNMRQRLQPPLPEEYFGNAVVFGTATTTAGELLKNGLGFAALQINKMVAQQTDEVVRKNLENWVKRPVLKKLSSAASNALIVASSPRFNVFGNDFGWGRPVAVRGGAGNNYDGKMSVFPGVREGSVDIQACLLPETLQSMEKDEEFMEALSA >Manes.15G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2224706:2227571:-1 gene:Manes.15G028200.v8.1 transcript:Manes.15G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETFHDCTVMTPMSPCFKTLLFLLLFILSIIPGHQSQYSHPLDPLTPAEITLLQAIVHYSYPTSFHIVNFHYVGLEEPHKSSVLSWLRNPLKEIPHRQAFVIARIDHVTREIIVDLSLYQIISDQVYDGYGYPLLVFEELKAAVNLTRTYGPFLESIKKRGLEIEEVACGSYAAGWFGEKEASKRIVRVLCYYLNGTVNLYMRPIEGISVTVDLEKSIITHFRDRLMVPVPKAEGTDYRESMQMPPFGPPMKQITVVQPQGPNFEIYGHRVRWANWDFHLSFDARAGPVISLAAIFDVEKQQYREVLYKGFVSELFVPYMDLTEEWYYRTFFDAGEYGFGLSATPLQPLRDCPPNAYFIDAYFAAQNGLPVQMPNVFCIFERYSGDIMWRHTETIIQDQEVREVRPDVSLVVRMIATVGNYDYINDWEFKQTGSIKVTVGLTGLLLVRGSIYTHTDQIEGEAYGTLLSENTLGTHHDHYLTYHLDLDVDGYANSFVKSRMQMTQANDDKLPRKSYWRVVSETAKTESDARIKLSLEQADLLVVNPNKKTHVGNSIGYRLIPGSIISPLLSNDDYEQIRAAFTNYNVWVTPYNKSEKWAGGLFTDRSRGDDTLARWSHRNRTIENEDIVLWYTVGIHHIPSQEDFPVMPTLSCGFELRPTNFFERNPALKVKPASPTQWLNCSAYG >Manes.02G142350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10936886:10938277:-1 gene:Manes.02G142350.v8.1 transcript:Manes.02G142350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALTLLYFCLMLVLVVCIFSEFENAKEEKINLISCGPLAHVSDIKLIRTDTTLDLSQKAEKGMLCIVFQPSFYRAFTFLSPLPCVDVGYVLCISSIL >Manes.18G062732.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528546:5532334:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMTVITQAFAGHLGDLNLAAISIATTVIISFSFGFLLGMASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLIPFHFSLPFHFTLQRFLQSQLKTGIIAWICGVALLIHVLVSWFFVYRLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEVTMIKIFFSNPFIVIFVV >Manes.18G062732.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528569:5531822:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMTVITQAFAGHLGDLNLAAISIATTVIISFSFGFLLGMASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEAEKALTPYK >Manes.18G062732.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528546:5532334:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLIPFHFSLPFHFTLQRFLQSQLKTGIIAWICGVALLIHVLVSWFFVYRLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEVTMIKIFFSNPFIVIFVV >Manes.18G062732.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528572:5532304:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMTVITQAFAGHLGDLNLAAISIATTVIISFSFGFLLGMASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEYHLIHTYGCIRISLHAKRPFNFSGAYAGRLRSDRACPLSFDHIIRLDFL >Manes.18G062732.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528527:5532453:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMTVITQAFAGHLGDLNLAAISIATTVIISFSFGFLLGMASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLIPFHFSLPFHFTLQRFLQSQLKTGIIAWICGVALLIHVLVSWFFVYRLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEAEKALTPYK >Manes.18G062732.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528572:5532304:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLIPFHFSLPFHFTLQRFLQSQLKTGIIAWICGVALLIHVLVSWFFVYRLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEYHLIHTYGCIRISLHAKRPFNFSGAYAGRLRSDRACPLSFDHIIRLDFL >Manes.18G062732.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528572:5532304:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLIPFHFSLPFHFTLQRFLQSQLKTGIIAWICGVALLIHVLVSWFFVYRLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEYHLIHTYGCIRISLHAKRPFNFSGAYAGRLRSDRACPLSFDHIIRLDFL >Manes.18G062732.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528527:5532453:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLIPFHFSLPFHFTLQRFLQSQLKTGIIAWICGVALLIHVLVSWFFVYRLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEAEKALTPYK >Manes.18G062732.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528572:5532304:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMTVITQAFAGHLGDLNLAAISIATTVIISFSFGFLLGMASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLIPFHFSLPFHFTLQRFLQSQLKTGIIAWICGVALLIHVLVSWFFVYRLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEYHLIHTYGCIRISLHAKRPFNFSGAYAGRLRSDRACPLSFDHIIRLDFL >Manes.18G062732.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5528572:5531821:1 gene:Manes.18G062732.v8.1 transcript:Manes.18G062732.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMTVITQAFAGHLGDLNLAAISIATTVIISFSFGFLLGMASALETLCGQAYGAKQYQMLGIYLQRSWIVLFLWSILLLPMFLFATPILKLIGQPAEVAEQTGLVAIWLKAGIVATAITLDFSWWVSVLGMLCYCVCGGCPLTWTGFSTQAFVGLWSFFKLSLASGVMLLLENFYYRVLIIVSGYMHNTEVAVDALSICIGIYAWESMIPLGFLAATGVRVANELGAGNAKGAKFATKVSVLTSLAVGFFFWLIVIAFPEKLAMIFTSSSSIISMVKDLAVLLSFTILLNCIQPVLSGVAVGSRWQALVAYINIGSYYIVGVPLGACLGWLLHFGFTGIWAGMLIGTVVQTLILIIITMKCEWEKEVTMIKIFFSNPFIVIFVV >Manes.09G164100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35793225:35795944:-1 gene:Manes.09G164100.v8.1 transcript:Manes.09G164100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYQQDLFAHTSKELYNLLGAGTWTYDEVSLGKDDYDHKLCSFDNFLQNQAENFLHGDWKFSSSPPPPPSSMFPHFSEMLQANNPSPDAENANNGLTTVASATDHLLDHSSSSTVAAPRAKRRRSRSRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPDSYVQRGDQASIIGGAINFVKELEQRLQLLGGKKETKEKSSGGGEATSLPFSEFFTFPQYSITSPTQSENSAAAANETMSATQSSASIADIEVTMVESHANLKIRSKRRPKQLLKIVSGLHTMRLTILHLNVTTLHQMVLYSLSVKVEDDCKLSSVDEIATAVYEMLGRIQEEYSVMN >Manes.09G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6145059:6159941:-1 gene:Manes.09G028900.v8.1 transcript:Manes.09G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNQKWKCSWSMTASIASGVALISIVHLFLFPVVPSFDYFSVRQVQNSCVPVNGSDGGRSGRDWENLRPIIALESRFPVDSHGAVVYRNTPWKAEIGQWLAGCDSITKEVNITEIIGGRSCQNDCSGQGVCNHEFGKCRCFHGFSGEDCSGRLHLECNHPKTPELPYGRWVVSICPAYCDTTRAMCFCGEGTKYPNRPVAEACGFQINLPSEPGSPKLSDWSKHDLDNIFTTNGSKPGWCNVDPKEAYASKVKFKEECDCKYDCLLGQFCEVPVQCVCINQCSGHGHCRGGFCQCENGWYGADCSIPSVASSVSEWPKWLRPAQLDVPDNAHLTNKTVNLNAVVKKKRPLIYVYDLPPEFNSLLLEGRHFKFECVNRIYDERNATYWTDQLYGAQMALYESLLASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLAMQEHKGLRSSLTLEYYRKAYDHIVEHHSYWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKIPSDKRGTHPCFDPDKDLVLPAWKRPDTSALSTKLWDRPLDKRKTLFYFNGNLGPAYPNGRPEASYSMGIRQKLAEEFGSSPTKNGTLGKQHAQDVIVTPDRSQNYHEDLASSVFCGVLPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENVLNYESFAVRIREDEIPNLIKILRGFNDTEKAFKLANVQKIWQRFLYRDNVLLEAERQKTAFSRAEDWAVEFLQLVEDDVFTTFVQVLHYKLHNDPWRRQLSYLKKDFRLPQECLGTN >Manes.09G028900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6145059:6159942:-1 gene:Manes.09G028900.v8.1 transcript:Manes.09G028900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNQKWKCSWSMTASIASGVALISIVHLFLFPVVPSFDYFSVRQVQNSCVPVNGSDGGRSGRDWENLRPIIALESRFPVDSHGAVVYRNTPWKAEIGQWLAGCDSITKEVNITEIIGGRSCQNDCSGQGVCNHEFGKCRCFHGFSGEDCSGRLHLECNHPKTPELPYGRWVVSICPAYCDTTRAMCFCGEGTKYPNRPVAEACGFQINLPSEPGSPKLSDWSKHDLDNIFTTNGSKPGWCNVDPKEAYASKVKFKEECDCKYDCLLGQFCEVPVQCVCINQCSGHGHCRGGFCQCENGWYGADCSIPSVASSVSEWPKWLRPAQLDVPDNAHLTNKTVNLNAVVKKKRPLIYVYDLPPEFNSLLLEGRHFKFECVNRIYDERNATYWTDQLYGAQMALYESLLASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLAMQEHKGLRSSLTLEYYRKAYDHIVEHHSYWNRSSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKIPSDKRGTHPCFDPDKDLVLPAWKRPDTSALSTKLWDRYSMGIRQKLAEEFGSSPTKNGTLGKQHAQDVIVTPDRSQNYHEDLASSVFCGVLPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENVLNYESFAVRIREDEIPNLIKILRGFNDTEKAFKLANVQKIWQRFLYRDNVLLEAERQKTAFSRAEDWAVEFLQLVEDDVFTTFVQVLHYKLHNDPWRRQLSYLKKDFRLPQECLGTN >Manes.13G028100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3723037:3724088:1 gene:Manes.13G028100.v8.1 transcript:Manes.13G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVEDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNKAGGD >Manes.05G199300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32403395:32420107:1 gene:Manes.05G199300.v8.1 transcript:Manes.05G199300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKWDNQKSFSPSKQFPELNLQALNRLQNHCSAFLQNLSQFTFFNPNSSCFQTHLQTSLCNLQNFPRHVIENTISRFNPKPPSASNNPLWARIPHESKTQFEPLRQSPTALSTETIEERLAGVPVYALSNSNEEFVLVSGFSTGKSLGLLCFKKEDAEALLEQMKSMDPGMRKSGSKVVPVALNKVFQLKVDGVAFRLIPEPSQVRNALREREKAGVFDDGFSGVPVFQSRSLVLRSQNKSYRPVFFRKEDLDKSLMRASRQQRKLNPALRQGDIQVAVFEEIIKSMKESSTSMWDDVVFIPPGFDVSTDPAQL >Manes.03G203100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31877614:31879362:-1 gene:Manes.03G203100.v8.1 transcript:Manes.03G203100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISCAPYILSTPNKMAHNPRPKSELRRPDPAISLLQLCKKVEELKQVHTLLVKTSLIREKHAFGRLLLSFASFDNLGTLDYAQKLFDTVDIPRNSFMYNTMIRAYVNCGNPREAFVVYSEMVCEDSARPDDFTFTFVFSACSKFNAVSEGKQAHAQMIKCAVKFGPHSWNSLMDFYMKIGEIGTVIHRLFDRIENPDIVSWNCLIDGYVKSSNLGQARRVFDEMPQRDVVSWTIMLVGYVNAGLLSEASYLFNEMPERNLVSWTALINGYLKMGNYGRALDIFKEMQMAEVEMDEITITTLLSACAKLGALDQGRWLHTYLDKSGVKADAHLSTALIDMYSKCGRIDLARKVFKETEDKKVFVWNSMLGGLAMHSFGEEAVELFDKMIKYGIEPNEITYICILAACNHSGLVSVGLSLFNQLIEDHKLQPKMEHYGCLVDLLGRAGLLYEAFRLVKTMPLQADGTVWRALLGACKLHGNVKMADQVGRILIKLEPLNHMNYVLLSNIYATVNRWEIVGELRREMKVKGLTKMPGCSMIELNGVVHEFVARDSSHPRSREIYELLNIMTNHAKESMNCYDDL >Manes.06G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7883669:7884334:1 gene:Manes.06G030000.v8.1 transcript:Manes.06G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYLSNVCVAKELQRHGLGHQLVAKSKIIAQEWGISDLYVHVAVDNEPARKLYTKSGFVFENDEPAWQARFLDRPRRLLLWIGLSSGHVL >Manes.06G030000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7830634:7884610:1 gene:Manes.06G030000.v8.1 transcript:Manes.06G030000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSYPSTLTISLSFTYQNLCSSLNSTGFLQQSTSRRFHIRTVGASQVCTPHEVDKSSLIIAETEAEDELWAASCLRVRSFYEFTPSSYGIPDHRRYLAEREFEAVKERIAGKRTGFRRVSCINASLPLSQISSLSEELCAECKDLSTKQIIGRGSKLEGLYVLDQQLPRSPRSLYTRNGEDRVVVGTLDLNQCLRLPDEITGKKPEGIGADFMRAYLSNVCVAKELQRHGLGHQLVAKSKIIAQEWGISDLYVHVAVDNEPARKLYTKSGFVFENDEPAWQARFLDRPRRLLLWIGLSSGHVL >Manes.12G100622.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:26698083:26698650:-1 gene:Manes.12G100622.v8.1 transcript:Manes.12G100622.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRKRTLNFCNVPPPHIGVVICDVLQKCLVEWGIEDKVWTISVDNASYNDIAVRMLKDNLAYKNSLALHGKLFHVRCCQYILNLLVQDDLSEIADIIKNVRESVKHLVASESHCLILQSN >Manes.07G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2593362:2599923:-1 gene:Manes.07G024100.v8.1 transcript:Manes.07G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTELEKPESVPTGLKAEPVRKLSGHGVASGKDGIPSHAKVEVDGNSCIKGEADQESVGEHSVCNPPTSSYNYYYPELFVAGYSVPFSQLDDHGYFQADGSHMGIQSDNGSLVYYLPGYNPYASGAVVGVDGQSVCQQPYFSSPGYLSHPVSYGSETMPCYSWDSTYSGDVSNGNAGSANGKYSSGPISSQSNGLNSKKSNDNIGGKSSNAYSQPTTPLNKMSTFGSDFSSGLLKGYNPVGNLSSYSTRKQGPFLHNGPMNYRQNGRIWNGNDRNKSRDRFYKKSDFETSTELTCGPRASNKSSPLDTAVKEDLRITVQKDQYNQPDFETEYTNAKFYVIKSYNEDDIHKSIKYDVWASTPNGNKKLDAAFRDAEQRSDETGSKCPIFLFFSVNGSGQFVGIAEMVGQVDFDKDMDFWQLDKWNGFFPVKWHVIKDIPNSHLRHIILENNERRPVTFSRDTQEIGLKQGLEMLNIFKSYSVKSSLLDDFNFYENREKTLHTKKINKPASLRMEIEKNDDFMHTRAGDRKPDGDLSIKKTTNPPPVSLMKNLSLHGYNPKSDSVKKPIENSIPVVPAT >Manes.07G024100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2593264:2599923:-1 gene:Manes.07G024100.v8.1 transcript:Manes.07G024100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTELEKPESVPTGLKAEPVRKLSGHGVASGKDGIPSHAKVEVDGNSCIKGEADQESVGEHSVCNPPTSSYNYYYPGYSVPFSQLDDHGYFQADGSHMGIQSDNGSLVYYLPGYNPYASGAVVGVDGQSVCQQPYFSSPGYLSHPVSYGSETMPCYSWDSTYSGDVSNGNAGSANGKYSSGPISSQSNGLNSKKSNDNIGGKSSNAYSQPTTPLNKMSTFGSDFSSGLLKGYNPVGNLSSYSTRKQGPFLHNGPMNYRQNGRIWNGNDRNKSRDRFYKKSDFETSTELTCGPRASNKSSPLDTAVKEDLRITVQKDQYNQPDFETEYTNAKFYVIKSYNEDDIHKSIKYDVWASTPNGNKKLDAAFRDAEQRSDETGSKCPIFLFFSVNGSGQFVGIAEMVGQVDFDKDMDFWQLDKWNGFFPVKWHVIKDIPNSHLRHIILENNERRPVTFSRDTQEIGLKQGLEMLNIFKSYSVKSSLLDDFNFYENREKTLHTKKINKPASLRMEIEKNDDFMHTRAGDRKPDGDLSIKKTTNPPPVSLMKNLSLHGYNPKSDSVKKPIENSIPVVPAT >Manes.05G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2991112:2993833:-1 gene:Manes.05G036000.v8.1 transcript:Manes.05G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHAAPFESPLPKFSLSSNFFPKIILNLHLTSFPSKHQRLALSSKTLCSRSSIPPDPPKTVNPISLLRAIPDWADRVKERGMQQNRSLYTHQKWVEHRSSLRHIRHLLSSLQSRVILSLIPPVLAFTSVAAIIAIYNSSVEMQLLPGFFPLLRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVISGANDFARQVIATVDSSRDAALKNALLHYIMAFPVALKCHVMYGSDIVRDLRNLLEVDDLAVVLNSRHRPRCIIEFISQCLQLLNLEESKKIQLESKISCLQEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCGQVRSNVQEAIGAEKAIQTQLIAKRKNHPCERSTNGWPNS >Manes.18G057015.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4866037:4884450:1 gene:Manes.18G057015.v8.1 transcript:Manes.18G057015.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSSFPSRLRQLLSGESVIGPSIKLDTEPPAKIKAFIDKVIQSPLQDIAIPLSGFHWEYSKGNFHHWRPLFLHFDTYFKTYLSSRNDLLLTHNVSENDSPFPKQAVLQILRAMQIILENCHNKSSFDGIEHFKLLLSSTDPEVLIATLETLSALVKINPSKLHGTGKLIGCGSLNSYLLSLAQGWGSKEEGLGLYSCVMANERSQEEGLCLFPSEVENDHDKSQYRIGSTLYFELHGFSAEKMRDSSGNANSSGLRVIHIPDLHLRKEDDQQLMKQCIEQYNVPPDLQFSLLTRIRYARAFRSPRVCRMYSRICLLAFIVLVQSSDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYSASHERARILSGSSISFAVGNRMILLNVLQRAVLSLKNSSDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDADPNHMHLVYLAVKALQKLMDYNSSAVSLLRELGGAELLSQRLKIEVHRVIGLAGENENSMVISECSRYNDDHTYSQKRLIKFLLKALGSATQTSSNNTRSQNSHDSSLPSTLSLIYGNANKFGGDIYYSAVTVMSEIIHKDPTYFPTLHEMGLPDAFLSSIVAGLLPSSKALTCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVMAMNEAIVPLANAIEELLRHVSSLRGTGVDIIIEIVDRIASFGDNNSAGPSENVAEMEMDSGVKENDGHCCLDGGVDLGAEGISNEQFVQLCIFHLMVLLHRTMENSETCRLFVEKSGIEALLKLLLQPNIVQSPEGMSIALHSTMVFKGFTQHHSAPLARAFCFSLRDHLKKALAGFGANSGSFLLDPRTTPDTEIFPSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGRVHREVLWHIAMLEDAHLEIEEGGTGSGSELQQTELNIDETEEQRFNSFRQFLNPLLRRRTSGWRVESQVFDLINLYRDLGRATGFPQRLSTDGLSSRFGSIHQSCHSETSDAAGAMSKKDYDKQRSYYTSCCDMVRSLSFHIMHLSQELGKAMLLPSRRRDDTVNVSPSSKVVSGIFASISLDHMNFGGHACPPGSEVSITTKCRYFRKVIDFIDGILLDRPDSCNPILLNCLYGHGVVQSVLTTFEATGQLLFAVNRATASPMETDDGNVKQDEDHSWIYGPLASYGKLMDHLVTSSSILSPFTKHLLAQPLANRASPFPRDAETFVKVLQSMVLKAVLPIWTHPQLTNCSNDFIVTVISIIRHVYSGVEVKNVNNNVSARITGPPPNEATISTIVEMGFSRMRAEEALRQVGSNSVELAMEWLFSHPEETPEDDELARALAMSLGNSESDIKEEDANANGQQVEEEIVQLPPVDELLSTCIKLLQVKEPLAFSVCDLLLLICTQSNGQYRSSVISFILDQVKDQSLNSDARNSTTLSALLHVFALILHEDGPAREIALKDGLVKIVSDLLYQWDSGSVDKEKHQVPKWVTAAFLAVDRILQVDQKLNSEIVEQLKRDELNSQQTSINIDEDKENRLQSALRSPTEKIEAEEQKRLIQIACHCIKNQLPSETMHAVLQLCSTLTRNHSIAVCFLEAEGVSSLLNLPTSSLFTGFDNIAATIVRHVLEDPQTLQQAMESEIKHSLVAAANRHSNGRVTPRNFLLILSSVIARDPLIFMRAAQSVCQVEMVGERPYIVLLKDREKDKSKEKEKEKDKMLEKDKSHTNDGKATWGNMHTLATGYVQGKLHDSNSKSAKVHRKFPQSFITVIELLLGVVSSFVPPSKDEAVVDVPQDVSSSTNMDVDVAAIKGKGKGKAIATVCEEKESSSQEASASLAKVVFILKLLTEIVLMYSSSVHVLLRRDTEISSLRGQKGSTCLWSGGIFHHILGKYIPYSRNVKKDKKLDGDWRHKLATRASQLLVASCVRSTEARKRVFTEISYILNDFVDSCNGSRPPTNDIHTNIDLLNDVLAARTPTGSYISPEASATFVDVGLVKSLTRTLEVLDLDHTDSPKVVTAVIKALELVTKEHVNIDIGKNENSTKPPVQSTLGRAENIVDITQSAEIVPESNHDSVSADHAESFNGVQNFGPSEALADDMEHDQDPNGGYAPANEDDYMQETSQDMRGLENGMDTVGIRFEIQPHGQETLDEDEDEEMSGDDGDEVDGEDDDDDDDDDNDDDGEEDDEDHNDLEEDEVHHLPHPDIDQDDHDIDDDEFEEELLEEDDEEEEEDEDGVILRLEEGINGINVFDHIEVFGRDHNFSNETLHVMPVEVFGSRRQGRTTSIYSLLGRNGDTAVPSRHPLLAGPSASRSASGRQSDRNLENTSSQLDSIFRSLRNGRHGHRLNLWSDDNQQSGGSSASVPQGLEELLVSQLRQPAPSPKKYSDQNTSTVEPKINGEIGQLPGTDAVPDTPVESNVNNGSNNVPPPSSAAVSRSSNIEMRPLTSDSHLHSVEVQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGERPGSTDRMHLDSQPCRMRRTNVPFMNSTAVSGRDASLHSVTEVSENSSREAEQEGPSVEQQVGGEAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEQQNTGDIDPEFLAALPPDIRAEVIAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAVLANLTPALVAEANMLRERFAHRYHNRPLFGMYPRSRRGESSRRGEGIGYSLERAGTASRRSINAKVIEADGSPLVETESLQAMIRVLRIVQPLYKGPFQRLLLNLCAHGDTRTALVKILMDMLMLDRRRSAHYLNAAVPSYRLYACQSSVMYSRPQSFDGVPPLVSRRILETLTYLARNHPYVAKILLQFRLPLPTLQQRENSDQSRGKAVMIVEEYEKDSKQHLEGYISIALLLSLLNQPLYSRSIAHLEQLLNLLEVIIDSAECKGSSSDKSGAGTEQPSTPQNSTSDVKTNMEVSIAIAGSSSIAIDSSKSATPGPNNECDTEAVLLNLPQTELRLLCSFLAREGLSDNAYTLVAEVIKKLVAIAPTHCQLFITELADAVQNLTKSAMNELRLFGEEVKALLRTTSSDGAAILRVLQALSSLVSSLVDKEKSQQIIPEEQQSAALPQLCNINGALEPLWLELSTCISKIESYSDSVPDLLLPRTSTSKPSGVTPPLPAGSHNILPYIESFFVMCEKLHPAQPGSSQDYGAVSEVEDVITPTAHQRTSVPVIKVDEKHMAFVKFSEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFVDFDNKRSHFRSKIKHQHDHHQSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDHELIPGGRNVKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELVHRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGTPDRLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >Manes.18G057015.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4866037:4884450:1 gene:Manes.18G057015.v8.1 transcript:Manes.18G057015.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSSFPSRLRQLLSGESVIGPSIKLDTEPPAKIKAFIDKVIQSPLQDIAIPLSGFHWEYSKGNFHHWRPLFLHFDTYFKTYLSSRNDLLLTHNVSENDSPFPKQAVLQILRAMQIILENCHNKSSFDGIEHFKLLLSSTDPEVLIATLETLSALVKINPSKLHGTGKLIGCGSLNSYLLSLAQGWGSKEEGLGLYSCVMANERSQEEGLCLFPSEVENDHDKSQYRIGSTLYFELHGFSAEKMRDSSGNANSSGLRVIHIPDLHLRKEDDQQLMKQCIEQYNVPPDLQFSLLTRIRYARAFRSPRVCRMYSRICLLAFIVLVQSSDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYSASHERARILSGSSISFAVGNRMILLNVLQRAVLSLKNSSDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDADPNHMHLVYLAVKALQKLMDYNSSAVSLLRELGGAELLSQRLKIEVHRVIGLAGENENSMVISECSRYNDDHTYSQKRLIKFLLKALGSATQTSSNNTRSQNSHDSSLPSTLSLIYGNANKFGGDIYYSAVTVMSEIIHKDPTYFPTLHEMGLPDAFLSSIVAGLLPSSKALTCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVMAMNEAIVPLANAIEELLRHVSSLRGTGVDIIIEIVDRIASFGDNNSAGPSENVAEMEMDSGVKENDGHCCLDGGVDLGAEGISNEQFVQLCIFHLMVLLHRTMENSETCRLFVEKSGIEALLKLLLQPNIVQSPEGMSIALHSTMVFKGFTQHHSAPLARAFCFSLRDHLKKALAGFGANSGSFLLDPRTTPDTEIFPSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGRVHREVLWHIAMLEDAHLEIEEGGTGSGSELQQTELNIDETEEQRFNSFRQFLNPLLRRRTSGWRVESQVFDLINLYRDLGRATGFPQRLSTDGLSSRFGSIHQSCHSETSDAAGAMSKKDYDKQRSYYTSCCDMVRSLSFHIMHLSQELGKAMLLPSRRRDDTVNVSPSSKVVSGIFASISLDHMNFGGHACPPGSEVSITTKCRYFRKVIDFIDGILLDRPDSCNPILLNCLYGHGVVQSVLTTFEATGQLLFAVNRATASPMETDDGNVKQDEDHSWIYGPLASYGKLMDHLVTSSSILSPFTKHLLAQPLANRASPFPRDAETFVKVLQSMVLKAVLPIWTHPQLTNCSNDFIVTVISIIRHVYSGVEVKNVNNNVSARITGPPPNEATISTIVEMGFSRMRAEEALRQVGSNSVELAMEWLFSHPEETPEDDELARALAMSLGNSESDIKEEDANANGQQVEEEIVQLPPVDELLSTCIKLLQVKEPLAFSVCDLLLLICTQSNGQYRSSVISFILDQVKDQSLNSDARNSTTLSALLHVFALILHEDGPAREIALKDGLVKIVSDLLYQWDSGSVDKEKHQVPKWVTAAFLAVDRILQVDQKLNSEIVEQLKRDELNSQQTSINIDEDKENRLQSALRSPTEKIEAEEQKRLIQIACHCIKNQLPSETMHAVLQLCSTLTRNHSIAVCFLEAEGVSSLLNLPTSSLFTGFDNIAATIVRHVLEDPQTLQQAMESEIKHSLVAAANRHSNGRVTPRNFLLILSSVIARDPLIFMRAAQSVCQVEMVGERPYIVLLKDREKDKSKEKEKEKDKMLEKDKSHTNDGKATWGNMHTLATGYVQGKLHDSNSKSAKVHRKFPQSFITVIELLLGVVSSFVPPSKDEAVVDVPQDVSSSTNMDVDVAAIKGKGKGKAIATVCEEKESSSQEASASLAKVVFILKLLTEIVLMYSSSVHVLLRRDTEISSLRGQKGSTCLWSGGIFHHILGKYIPYSRNVKKDKKLDGDWRHKLATRASQLLVASCVRSTEARKRVFTEISYILNDFVDSCNGSRPPTNDIHTNIDLLNDVLAARTPTGSYISPEASATFVDVGLVKSLTRTLEVLDLDHTDSPKVVTAVIKALELVTKEHVNIDIGKNENSTKPPVQSTLGRAENIVDITQSAEIVPESNHDSVSADHAESFNGVQNFGPSEALADDMEHDQDPNGGYAPANEDDYMQETSQDMRGLENGMDTVGIRFEIQPHGQETLDEDEDEEMSGDDGDEVDGEDDDDDDDDDNDDDGEEDDEDHNDLEEDEVHHLPHPDIDQDDHDIDDDEFEEELLEEDDEEEEEDEDGVILRLEEGINGINVFDHIEVFGRDHNFSNETLHVMPVEVFGSRRQGRTTSIYSLLGRNGDTAVPSRHPLLAGPSASRSASGRQSDRNLENTSSQLDSIFRSLRNGRHGHRLNLWSDDNQQSGGSSASVPQGLEELLVSQLRQPAPSPKKYSDQNTSTVEPKINGEIGQLPGTDAVPDTPVESNVNNGSNNVPPPSSAAVSRSSNIEMRPLTSDSHLHSVEVQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGERPGSTDRMHLDSQPCRMRRTNVPFMNSTAVSGRDASLHSVTEVSENSSREAEQEGPSVEQQVGGEAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEQQNTGDIDPEFLAALPPDIRAEVIAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAVLANLTPALVAEANMLRERFAHRYHNRPLFGMYPRSRRGESSRRGEGIGYSLERAGTASRRSINAKVIEADGSPLVETESLQAMIRVLRIVQPLYKGPFQRLLLNLCAHGDTRTALVKILMDMLMLDRRRSAHYLNAAVPSYRLYACQSSVMYSRPQSFDGVPPLVSRRILETLTYLARNHPYVAKILLQFRLPLPTLQQRENSDQSRGKAVMIVEEYEKDSKQHLEGYISIALLLSLLNQPLYSRSIAHLEQLLNLLEVIIDSAECKGSSSDKSGAGTEQPSTPQNSTSDVKTNMEVSIAIAGSSSIAIDSSKSATPGPNNECDTEAVLLNLPQTELRLLCSFLAREGLSDNAYTLVAEVIKKLVAIAPTHCQLFITELADAVQNLTKSAMNELRLFGEEVKALLRTTSSDGAAILRVLQALSSLVSSLVDKEKSQQIIPEEQQSAALPQLCNINGALEPLWLELSTCISKIESYSDSVPDLLLPRTSTSKPSGVTPPLPAGSHNILPYIESFFVMCEKLHPAQPGSSQDYGAVSEVEDVITPTAHQRTSVPVIKVDEKHMAFVKFSEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFVDFDNKRSHFRSKIKHQHDHHQSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDHELIPGGRNVKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELVHRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGTPDRLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >Manes.18G057015.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4865942:4882938:1 gene:Manes.18G057015.v8.1 transcript:Manes.18G057015.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSSFPSRLRQLLSGESVIGPSIKLDTEPPAKIKAFIDKVIQSPLQDIAIPLSGFHWEYSKGNFHHWRPLFLHFDTYFKTYLSSRNDLLLTHNVSENDSPFPKQAVLQILRAMQIILENCHNKSSFDGIEHFKLLLSSTDPEVLIATLETLSALVKINPSKLHGTGKLIGCGSLNSYLLSLAQGWGSKEEGLGLYSCVMANERSQEEGLCLFPSEVENDHDKSQYRIGSTLYFELHGFSAEKMRDSSGNANSSGLRVIHIPDLHLRKEDDQQLMKQCIEQYNVPPDLQFSLLTRIRYARAFRSPRVCRMYSRICLLAFIVLVQSSDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYSASHERARILSGSSISFAVGNRMILLNVLQRAVLSLKNSSDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDADPNHMHLVYLAVKALQKLMDYNSSAVSLLRELGGAELLSQRLKIEVHRVIGLAGENENSMVISECSRYNDDHTYSQKRLIKFLLKALGSATQTSSNNTRSQNSHDSSLPSTLSLIYGNANKFGGDIYYSAVTVMSEIIHKDPTYFPTLHEMGLPDAFLSSIVAGLLPSSKALTCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVMAMNEAIVPLANAIEELLRHVSSLRGTGVDIIIEIVDRIASFGDNNSAGPSENVAEMEMDSGVKENDGHCCLDGGVDLGAEGISNEQFVQLCIFHLMVLLHRTMENSETCRLFVEKSGIEALLKLLLQPNIVQSPEGMSIALHSTMVFKGFTQHHSAPLARAFCFSLRDHLKKALAGFGANSGSFLLDPRTTPDTEIFPSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGRVHREVLWHIAMLEDAHLEIEEGGTGSGSELQQTELNIDETEEQRFNSFRQFLNPLLRRRTSGWRVESQVFDLINLYRDLGRATGFPQRLSTDGLSSRFGSIHQSCHSETSDAAGAMSKKDYDKQRSYYTSCCDMVRSLSFHIMHLSQELGKAMLLPSRRRDDTVNVSPSSKVVSGIFASISLDHMNFGGHACPPGSEVSITTKCRYFRKVIDFIDGILLDRPDSCNPILLNCLYGHGVVQSVLTTFEATGQLLFAVNRATASPMETDDGNVKQDEDHSWIYGPLASYGKLMDHLVTSSSILSPFTKHLLAQPLANRASPFPRDAETFVKVLQSMVLKAVLPIWTHPQLTNCSNDFIVTVISIIRHVYSGVEVKNVNNNVSARITGPPPNEATISTIVEMGFSRMRAEEALRQVGSNSVELAMEWLFSHPEETPEDDELARALAMSLGNSESDIKEEDANANGQQVEEEIVQLPPVDELLSTCIKLLQVKEPLAFSVCDLLLLICTQSNGQYRSSVISFILDQVKDQSLNSDARNSTTLSALLHVFALILHEDGPAREIALKDGLVKIVSDLLYQWDSGSVDKEKHQVPKWVTAAFLAVDRILQVDQKLNSEIVEQLKRDELNSQQTSINIDEDKENRLQSALRSPTEKIEAEEQKRLIQIACHCIKNQLPSETMHAVLQLCSTLTRNHSIAVCFLEAEGVSSLLNLPTSSLFTGFDNIAATIVRHVLEDPQTLQQAMESEIKHSLVAAANRHSNGRVTPRNFLLILSSVIARDPLIFMRAAQSVCQVEMVGERPYIVLLKDREKDKSKEKEKEKDKMLEKDKSHTNDGKATWGNMHTLATGYVQGKLHDSNSKSAKVHRKFPQSFITVIELLLGVVSSFVPPSKDEAVVDVPQDVSSSTNMDVDVAAIKGKGKGKAIATVCEEKESSSQEASASLAKVVFILKLLTEIVLMYSSSVHVLLRRDTEISSLRGQKGSTCLWSGGIFHHILGKYIPYSRNVKKDKKLDGDWRHKLATRASQLLVASCVRSTEARKRVFTEISYILNDFVDSCNGSRPPTNDIHTNIDLLNDVLAARTPTGSYISPEASATFVDVGLVKSLTRTLEVLDLDHTDSPKVVTAVIKALELVTKEHVNIDIGKNENSTKPPVQSTLGRAENIVDITQSAEIVPESNHDSVSADHAESFNGVQNFGPSEALADDMEHDQDPNGGYAPANEDDYMQETSQDMRGLENGMDTVGIRFEIQPHGQETLDEDEDEEMSGDDGDEVDGEDDDDDDDDDNDDDGEEDDEDHNDLEEDEVHHLPHPDIDQDDHDIDDDEFEEELLEEDDEEEEEDEDGVILRLEEGINGINVFDHIEVFGRDHNFSNETLHVMPVEVFGSRRQGRTTSIYSLLGRNGDTAVPSRHPLLAGPSASRSASGRQSDRNLENTSSQLDSIFRSLRNGRHGHRLNLWSDDNQQSGGSSASVPQGLEELLVSQLRQPAPSPKKYSDQNTSTVEPKINGEIGQLPGTDAVPDTPVESNVNNGSNNVPPPSSAAVSRSSNIEMRPLTSDSHLHSVEVQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGERPGSTDRMHLDSQPCRMRRTNVPFMNSTAVSGRDASLHSVTEVSENSSREAEQEGPSVEQQVGGEAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEQQNTGDIDPEFLAALPPDIRAEVIAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAVLANLTPALVAEANMLRERFAHRYHNRPLFGMYPRSRRGESSRRGEGIGYSLERAGTASRRSINAKVIEADGSPLVETESLQAMIRVLRIVQPLYKGPFQRLLLNLCAHGDTRTALVKILMDMLMLDRRRSAHYLNAAVPSYRLYACQSSVMYSRPQSFDGVPPLVSRRILETLTYLARNHPYVAKILLQFRLPLPTLQQRENSDQSRGKAVMIVEEYEKDSKQHLEGYISIALLLSLLNQPLYSRSIAHLEQLLNLLEVIIDSAECKGSSSDKSGAGTEQPSTPQNSTSDVKTNMEVSIAIAGSSSIAIDSSKSATPGPNNECDTEAVLLNLPQTELRLLCSFLAREGLSDNAYTLVAEVIKKLVAIAPTHCQLFITELADAVQNLTKSAMNELRLFGEEVKALLRTTSSDGAAILRVLQALSSLVSSLVDKEKSQQIIPEEQQSAALPQLCNINGALEPLWLELSTCISKIESYSDSVPDLLLPRTSTSKPSGVTPPLPAGSHNILPYIESFFVMCEKLHPAQPGSSQDYGAVSEVEDVITPTAHQRTSVPVIKVDEKHMAFVKFSEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFVDFDNKRSHFRSKIKHQHDHHQSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDHELIPGGRNVKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELVHRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGTPDRLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >Manes.18G057015.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4866037:4884450:1 gene:Manes.18G057015.v8.1 transcript:Manes.18G057015.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSSFPSRLRQLLSGESVIGPSIKLDTEPPAKIKAFIDKVIQSPLQDIAIPLSGFHWEYSKGNFHHWRPLFLHFDTYFKTYLSSRNDLLLTHNVSENDSPFPKQAVLQILRAMQIILENCHNKSSFDGIEHFKLLLSSTDPEVLIATLETLSALVKINPSKLHGTGKLIGCGSLNSYLLSLAQGWGSKEEGLGLYSCVMANERSQEEGLCLFPSEVENDHDKSQYRIGSTLYFELHGFSAEKMRDSSGNANSSGLRVIHIPDLHLRKEDDQQLMKQCIEQYNVPPDLQFSLLTRIRYARAFRSPRVCRMYSRICLLAFIVLVQSSDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYSASHERARILSGSSISFAVGNRMILLNVLQRAVLSLKNSSDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDADPNHMHLVYLAVKALQKLMDYNSSAVSLLRELGGAELLSQRLKIEVHRVIGLAGENENSMVISECSRYNDDHTYSQKRLIKFLLKALGSATQTSSNNTRSQNSHDSSLPSTLSLIYGNANKFGGDIYYSAVTVMSEIIHKDPTYFPTLHEMGLPDAFLSSIVAGLLPSSKALTCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVMAMNEAIVPLANAIEELLRHVSSLRGTGVDIIIEIVDRIASFGDNNSAGPSENVAEMEMDSGVKENDGHCCLDGGVDLGAEGISNEQFVQLCIFHLMVLLHRTMENSETCRLFVEKSGIEALLKLLLQPNIVQSPEGMSIALHSTMVFKGFTQHHSAPLARAFCFSLRDHLKKALAGFGANSGSFLLDPRTTPDTEIFPSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGRVHREVLWHIAMLEDAHLEIEEGGTGSGSELQQTELNIDETEEQRFNSFRQFLNPLLRRRTSGWRVESQVFDLINLYRDLGRATGFPQRLSTDGLSSRFGSIHQSCHSETSDAAGAMSKKDYDKQRSYYTSCCDMVRSLSFHIMHLSQELGKAMLLPSRRRDDTVNVSPSSKVVSGIFASISLDHMNFGGHACPPGSEVSITTKCRYFRKVIDFIDGILLDRPDSCNPILLNCLYGHGVVQSVLTTFEATGQLLFAVNRATASPMETDDGNVKQDEDHSWIYGPLASYGKLMDHLVTSSSILSPFTKHLLAQPLANRASPFPRDAETFVKVLQSMVLKAVLPIWTHPQLTNCSNDFIVTVISIIRHVYSGVEVKNVNNNVSARITGPPPNEATISTIVEMGFSRMRAEEALRQVGSNSVELAMEWLFSHPEETPEDDELARALAMSLGNSESDIKEEDANANGQQVEEEIVQLPPVDELLSTCIKLLQVKEPLAFSVCDLLLLICTQSNGQYRSSVISFILDQVKDQSLNSDARNSTTLSALLHVFALILHEDGPAREIALKDGLVKIVSDLLYQWDSGSVDKEKHQVPKWVTAAFLAVDRILQVDQKLNSEIVEQLKRDELNSQQTSINIDEDKENRLQSALRSPTEKIEAEEQKRLIQIACHCIKNQLPSETMHAVLQLCSTLTRNHSIAVCFLEAEGVSSLLNLPTSSLFTGFDNIAATIVRHVLEDPQTLQQAMESEIKHSLVAAANRHSNGRVTPRNFLLILSSVIARDPLIFMRAAQSVCQVEMVGERPYIVLLKDREKDKSKEKEKEKDKMLEKDKSHTNDGKATWGNMHTLATGYVQGKLHDSNSKSAKVHRKFPQSFITVIELLLGVVSSFVPPSKDEAVVDVPQDVSSSTNMDVDVAAIKGKGKGKAIATVCEEKESSSQEASASLAKVVFILKLLTEIVLMYSSSVHVLLRRDTEISSLRGQKGSTCLWSGGIFHHILGKYIPYSRNVKKDKKLDGDWRHKLATRASQLLVASCVRSTEARKRVFTEISYILNDFVDSCNGSRPPTNDIHTNIDLLNDVLAARTPTGSYISPEASATFVDVGLVKSLTRTLEVLDLDHTDSPKVVTAVIKALELVTKEHVNIDIGKNENSTKPPVQSTLGRAENIVDITQSAEIVPESNHDSVSADHAESFNGVQNFGPSEALADDMEHDQDPNGGYAPANEDDYMQETSQDMRGLENGMDTVGIRFEIQPHGQETLDEDEDEEMSGDDGDEVDGEDDDDDDDDDNDDDGEEDDEDHNDLEEDEVHHLPHPDIDQDDHDIDDDEFEEELLEEDDEEEEEDEDGVILRLEEGINGINVFDHIEVFGRDHNFSNETLHVMPVEVFGSRRQGRTTSIYSLLGRNGDTAVPSRHPLLAGPSASRSASGRQSDRNLENTSSQLDSIFRSLRNGRHGHRLNLWSDDNQQSGGSSASVPQGLEELLVSQLRQPAPSPKKYSDQNTSTVEPKINGEIGQLPGTDAVPDTPVESNVNNGSNNVPPPSSAAVSRSSNIEMRPLTSDSHLHSVEVQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGERPGSTDRMHLDSQPCRMRRTNVPFMNSTAVSGRDASLHSVTEVSENSSREAEQEGPSVEQQVGGEAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEQQNTGDIDPEFLAALPPDIRAEVIAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAVLANLTPALVAEANMLRERFAHRYHNRPLFGMYPRSRRGESSRRGEGIGYSLERAGTASRRSINAKVIEADGSPLVETESLQAMIRVLRIVQPLYKGPFQRLLLNLCAHGDTRTALVKILMDMLMLDRRRSAHYLNAAVPSYRLYACQSSVMYSRPQSFDGVPPLVSRRILETLTYLARNHPYVAKILLQFRLPLPTLQQRENSDQSRGKAVMIVEEYEKDSKQHLEGYISIALLLSLLNQPLYSRSIAHLEQLLNLLEVIIDSAECKGSSSDKSGAGTEQPSTPQNSTSDVKTNMEVSIAIAGSSSIAIDSSKSATPGPNNECDTEAVLLNLPQTELRLLCSFLAREGLSDNAYTLVAEVIKKLVAIAPTHCQLFITELADAVQNLTKSAMNELRLFGEEVKALLRTTSSDGAAILRVLQALSSLVSSLVDKEKSQQIIPEEQQSAALPQLCNINGALEPLWLELSTCISKIESYSDSVPDLLLPRTSTSKPSGVTPPLPAGSHNILPYIESFFVMCEKLHPAQPGSSQDYGAVSEVEDVITPTAHQRTSVPVIKVDEKHMAFVKFSEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFVDFDNKRSHFRSKIKHQHDHHQSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDHELIPGGRNVKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELVHRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGTPDRLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >Manes.18G057015.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4866037:4884450:1 gene:Manes.18G057015.v8.1 transcript:Manes.18G057015.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSSFPSRLRQLLSGESVIGPSIKLDTEPPAKIKAFIDKVIQSPLQDIAIPLSGFHWEYSKGNFHHWRPLFLHFDTYFKTYLSSRNDLLLTHNVSENDSPFPKQAVLQILRAMQIILENCHNKSSFDGIEHFKLLLSSTDPEVLIATLETLSALVKINPSKLHGTGKLIGCGSLNSYLLSLAQGWGSKEEGLGLYSCVMANERSQEEGLCLFPSEVENDHDKSQYRIGSTLYFELHGFSAEKMRDSSGNANSSGLRVIHIPDLHLRKEDDQQLMKQCIEQYNVPPDLQFSLLTRIRYARAFRSPRVCRMYSRICLLAFIVLVQSSDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYSASHERARILSGSSISFAVGNRMILLNVLQRAVLSLKNSSDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDADPNHMHLVYLAVKALQKLMDYNSSAVSLLRELGGAELLSQRLKIEVHRVIGLAGENENSMVISECSRYNDDHTYSQKRLIKFLLKALGSATQTSSNNTRSQNSHDSSLPSTLSLIYGNANKFGGDIYYSAVTVMSEIIHKDPTYFPTLHEMGLPDAFLSSIVAGLLPSSKALTCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVMAMNEAIVPLANAIEELLRHVSSLRGTGVDIIIEIVDRIASFGDNNSAGPSENVAEMEMDSGVKENDGHCCLDGGVDLGAEGISNEQFVQLCIFHLMVLLHRTMENSETCRLFVEKSGIEALLKLLLQPNIVQSPEGMSIALHSTMVFKGFTQHHSAPLARAFCFSLRDHLKKALAGFGANSGSFLLDPRTTPDTEIFPSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGRVHREVLWHIAMLEDAHLEIEEGGTGSGSELQQTELNIDETEEQRFNSFRQFLNPLLRRRTSGWRVESQVFDLINLYRDLGRATGFPQRLSTDGLSSRFGSIHQSCHSETSDAAGAMSKKDYDKQRSYYTSCCDMVRSLSFHIMHLSQELGKAMLLPSRRRDDTVNVSPSSKVVSGIFASISLDHMNFGGHACPPGSEVSITTKCRYFRKVIDFIDGILLDRPDSCNPILLNCLYGHGVVQSVLTTFEATGQLLFAVNRATASPMETDDGNVKQDEDHSWIYGPLASYGKLMDHLVTSSSILSPFTKHLLAQPLANRASPFPRDAETFVKVLQSMVLKAVLPIWTHPQLTNCSNDFIVTVISIIRHVYSGVEVKNVNNNVSARITGPPPNEATISTIVEMGFSRMRAEEALRQVGSNSVELAMEWLFSHPEETPEDDELARALAMSLGNSESDIKEEDANANGQQVEEEIVQLPPVDELLSTCIKLLQVKEPLAFSVCDLLLLICTQSNGQYRSSVISFILDQVKDQSLNSDARNSTTLSALLHVFALILHEDGPAREIALKDGLVKIVSDLLYQWDSGSVDKEKHQVPKWVTAAFLAVDRILQVDQKLNSEIVEQLKRDELNSQQTSINIDEDKENRLQSALRSPTEKIEAEEQKRLIQIACHCIKNQLPSETMHAVLQLCSTLTRNHSIAVCFLEAEGVSSLLNLPTSSLFTGFDNIAATIVRHVLEDPQTLQQAMESEIKHSLVAAANRHSNGRVTPRNFLLILSSVIARDPLIFMRAAQSVCQVEMVGERPYIVLLKDREKDKSKEKEKEKDKMLEKDKSHTNDGKATWGNMHTLATGYVQGKLHDSNSKSAKVHRKFPQSFITVIELLLGVVSSFVPPSKDEAVVDVPQDVSSSTNMDVDVAAIKGKGKGKAIATVCEEKESSSQEASASLAKVVFILKLLTEIVLMYSSSVHVLLRRDTEISSLRGQKGSTCLWSGGIFHHILGKYIPYSRNVKKDKKLDGDWRHKLATRASQLLVASCVRSTEARKRVFTEISYILNDFVDSCNGSRPPTNDIHTNIDLLNDVLAARTPTGSYISPEASATFVDVGLVKSLTRTLEVLDLDHTDSPKVVTAVIKALELVTKEHVNIDIGKNENSTKPPVQSTLGRAENIVDITQSAEIVPESNHDSVSADHAESFNGVQNFGPSEALADDMEHDQDPNGGYAPANEDDYMQETSQDMRGLENGMDTVGIRFEIQPHGQETLDEDEDEEMSGDDGDEVDGEDDDDDDDDDNDDDGEEDDEDHNDLEEDEVHHLPHPDIDQDDHDIDDDEFEEELLEEDDEEEEEDEDGVILRLEEGINGINVFDHIEVFGRDHNFSNETLHVMPVEVFGSRRQGRTTSIYSLLGRNGDTAVPSRHPLLAGPSASRSASGRQSDRNLENTSSQLDSIFRSLRNGRHGHRLNLWSDDNQQSGGSSASVPQGLEELLVSQLRQPAPSPKKYSDQNTSTVEPKINGEIGQLPGTDAVPDTPVESNVNNGSNNVPPPSSAAVSRSSNIEMRPLTSDSHLHSVEVQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGERPGSTDRMHLDSQPCRMRRTNVPFMNSTAVSGRDASLHSVTEVSENSSREAEQEGPSVEQQVGGEAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEQQNTGDIDPEFLAALPPDIRAEVIAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAVLANLTPALVAEANMLRERFAHRYHNRPLFGMYPRSRRGESSRRGEGIGYSLERAGTASRRSINAKVIEADGSPLVETESLQAMIRVLRIVQPLYKGPFQRLLLNLCAHGDTRTALVKILMDMLMLDRRRSAHYLNAAVPSYRLYACQSSVMYSRPQSFDGVPPLVSRRILETLTYLARNHPYVAKILLQFRLPLPTLQQRENSDQSRGKAVMIVEEYEKDSKQHLEGYISIALLLSLLNQPLYSRSIAHLEQLLNLLEVIIDSAECKGSSSDKSGAGTEQPSTPQNSTSDVKTNMEVSIAIAGSSSIAIDSSKSATPGPNNECDTEAVLLNLPQTELRLLCSFLAREGLSDNAYTLVAEVIKKLVAIAPTHCQLFITELADAVQNLTKSAMNELRLFGEEVKALLRTTSSDGAAILRVLQALSSLVSSLVDKEKSQQIIPEEQQSAALPQLCNINGALEPLWLELSTCISKIESYSDSVPDLLLPRTSTSKPSGVTPPLPAGSHNILPYIESFFVMCEKLHPAQPGSSQDYGAVSEVEDVITPTAHQRTSVPVIKVDEKHMAFVKFSEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFVDFDNKRSHFRSKIKHQHDHHQSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDHELIPGGRNVKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELVHRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGTPDRLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >Manes.18G057015.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4866037:4884450:1 gene:Manes.18G057015.v8.1 transcript:Manes.18G057015.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSSFPSRLRQLLSGESVIGPSIKLDTEPPAKIKAFIDKVIQSPLQDIAIPLSGFHWEYSKGNFHHWRPLFLHFDTYFKTYLSSRNDLLLTHNVSENDSPFPKQAVLQILRAMQIILENCHNKSSFDGIEHFKLLLSSTDPEVLIATLETLSALVKINPSKLHGTGKLIGCGSLNSYLLSLAQGWGSKEEGLGLYSCVMANERSQEEGLCLFPSEVENDHDKSQYRIGSTLYFELHGFSAEKMRDSSGNANSSGLRVIHIPDLHLRKEDDQQLMKQCIEQYNVPPDLQFSLLTRIRYARAFRSPRVCRMYSRICLLAFIVLVQSSDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYSASHERARILSGSSISFAVGNRMILLNVLQRAVLSLKNSSDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDADPNHMHLVYLAVKALQKLMDYNSSAVSLLRELGGAELLSQRLKIEVHRVIGLAGENENSMVISECSRYNDDHTYSQKRLIKFLLKALGSATQTSSNNTRSQNSHDSSLPSTLSLIYGNANKFGGDIYYSAVTVMSEIIHKDPTYFPTLHEMGLPDAFLSSIVAGLLPSSKALTCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVMAMNEAIVPLANAIEELLRHVSSLRGTGVDIIIEIVDRIASFGDNNSAGPSENVAEMEMDSGVKENDGHCCLDGGVDLGAEGISNEQFVQLCIFHLMVLLHRTMENSETCRLFVEKSGIEALLKLLLQPNIVQSPEGMSIALHSTMVFKGFTQHHSAPLARAFCFSLRDHLKKALAGFGANSGSFLLDPRTTPDTEIFPSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGRVHREVLWHIAMLEDAHLEIEEGGTGSGSELQQTELNIDETEEQRFNSFRQFLNPLLRRRTSGWRVESQVFDLINLYRDLGRATGFPQRLSTDGLSSRFGSIHQSCHSETSDAAGAMSKKDYDKQRSYYTSCCDMVRSLSFHIMHLSQELGKAMLLPSRRRDDTVNVSPSSKVVSGIFASISLDHMNFGGHACPPGSEVSITTKCRYFRKVIDFIDGILLDRPDSCNPILLNCLYGHGVVQSVLTTFEATGQLLFAVNRATASPMETDDGNVKQDEDHSWIYGPLASYGKLMDHLVTSSSILSPFTKHLLAQPLANRASPFPRDAETFVKVLQSMVLKAVLPIWTHPQLTNCSNDFIVTVISIIRHVYSGVEVKNVNNNVSARITGPPPNEATISTIVEMGFSRMRAEEALRQVGSNSVELAMEWLFSHPEETPEDDELARALAMSLGNSESDIKEEDANANGQQVEEEIVQLPPVDELLSTCIKLLQVKEPLAFSVCDLLLLICTQSNGQYRSSVISFILDQVKDQSLNSDARNSTTLSALLHVFALILHEDGPAREIALKDGLVKIVSDLLYQWDSGSVDKEKHQVPKWVTAAFLAVDRILQVDQKLNSEIVEQLKRDELNSQQTSINIDEDKENRLQSALRSPTEKIEAEEQKRLIQIACHCIKNQLPSETMHAVLQLCSTLTRNHSIAVCFLEAEGVSSLLNLPTSSLFTGFDNIAATIVRHVLEDPQTLQQAMESEIKHSLVAAANRHSNGRVTPRNFLLILSSVIARDPLIFMRAAQSVCQVEMVGERPYIVLLKDREKDKSKEKEKEKDKMLEKDKSHTNDGKATWGNMHTLATGYVQGKLHDSNSKSAKVHRKFPQSFITVIELLLGVVSSFVPPSKDEAVVDVPQDVSSSTNMDVDVAAIKGKGKGKAIATVCEEKESSSQEASASLAKVVFILKLLTEIVLMYSSSVHVLLRRDTEISSLRGQKGSTCLWSGGIFHHILGKYIPYSRNVKKDKKLDGDWRHKLATRASQLLVASCVRSTEARKRVFTEISYILNDFVDSCNGSRPPTNDIHTNIDLLNDVLAARTPTGSYISPEASATFVDVGLVKSLTRTLEVLDLDHTDSPKVVTAVIKALELVTKEHVNIDIGKNENSTKPPVQSTLGRAENIVDITQSAEIVPESNHDSVSADHAESFNGVQNFGPSEALADDMEHDQDPNGGYAPANEDDYMQETSQDMRGLENGMDTVGIRFEIQPHGQETLDEDEDEEMSGDDGDEVDGEDDDDDDDDDNDDDGEEDDEDHNDLEEDEVHHLPHPDIDQDDHDIDDDEFEEELLEEDDEEEEEDEDGVILRLEEGINGINVFDHIEVFGRDHNFSNETLHVMPVEVFGSRRQGRTTSIYSLLGRNGDTAVPSRHPLLAGPSASRSASGRQSDRNLENTSSQLDSIFRSLRNGRHGHRLNLWSDDNQQSGGSSASVPQGLEELLVSQLRQPAPSPKKYSDQNTSTVEPKINGEIGQLPGTDAVPDTPVESNVNNGSNNVPPPSSAAVSRSSNIEMRPLTSDSHLHSVEVQFEQNDAAVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGERPGSTDRMHLDSQPCRMRRTNVPFMNSTAVSGRDASLHSVTEVSENSSREAEQEGPSVEQQVGGEAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEQQNTGDIDPEFLAALPPDIRAEVIAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAVLANLTPALVAEANMLRERFAHRYHNRPLFGMYPRSRRGESSRRGEGIGYSLERAGTASRRSINAKVIEADGSPLVETESLQAMIRVLRIVQPLYKGPFQRLLLNLCAHGDTRTALVKILMDMLMLDRRRSAHYLNAAVPSYRLYACQSSVMYSRPQSFDGVPPLVSRRILETLTYLARNHPYVAKILLQFRLPLPTLQQRENSDQSRGKAVMIVEEYEKDSKQHLEGYISIALLLSLLNQPLYSRSIAHLEQLLNLLEVIIDSAECKGSSSDKSGAGTEQPSTPQNSTSDVKTNMEVSIAIAGSSSIAIDSSKSATPGPNNECDTEAVLLNLPQTELRLLCSFLAREGLSDNAYTLVAEVIKKLVAIAPTHCQLFITELADAVQNLTKSAMNELRLFGEEVKALLRTTSSDGAAILRVLQALSSLVSSLVDKEKSQQIIPEEQQSAALPQLCNINGALEPLWLELSTCISKIESYSDSVPDLLLPRTSTSKPSGVTPPLPAGSHNILPYIESFFVMCEKLHPAQPGSSQDYGAVSEVEDVITPTAHQRTSVPVIKVDEKHMAFVKFSEKHRKLLNSFIRQNPGLLEKSFSLMLKVPRFVDFDNKRSHFRSKIKHQHDHHQSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDHELIPGGRNVKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFSELVHRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGTPDRLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >Manes.12G119100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32225897:32228817:1 gene:Manes.12G119100.v8.1 transcript:Manes.12G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSPRRSQRSKGFKVKHALQICLLVGVGIWLLYQVRHSHDKKAAAFEDSVNTGNELIKLGRKDLPEFQETIIRDKRHKEEEDEEKKNEEESKPRNNMGTGGEDGDIQDHFHDQTEEGSDRREDSADGEKSGEENKENVGEEREREDSNDDHQTEKEEIKDNVSEDNGGEENKVNDVEDKENVENKETDNGESKETDNGESKETDNGESKETDNGESKETNNEESKETDNGESKEVENEDKENPDENKENEESKETENHDMANEASHENTSEAKENAEESQGTEIKQVVEESKGAETKEAEDKEENIENSGSVEDQVPDGNSEHSTETREEHYKGDDASSAVVHDTQNVTSGEEQGDPEKTSEAEHVESKEKNESDFDSKTKISKVVDSSQNEVPNTEPAENNNATNTANEDSGNENSKQGSAEVSHDTSTIATESNNQQPVDSNSIPVASESHDPTPQNQNEATDNTTSKGEDGTENDVVHVQTEKLDPDASGQQSDSNAEPSTTTENVDSTNQESTASSNSESVSSDGQTVDSNRPSSASQNYNEVQGEKSDSISGEGNQETVLSSNTNENADPGQDKDTTSNTNNNVDAGQKEQVNSSESRKDDLSSNRNNNEDSGQNQDESSNTNSNGDSGQNQDESSNTNSNGDSGQNQDENHLSSNTNNNGDSGQNQDENRLSSNTNNNGDSRQKQDENSVHNNTNDNKEASQKEQIDSNSGNNGQDENNNAVERNTNDDTSTKGKTEASQGQVVSSESSTSQEEKDAPSNTDSKTDVSQNDSNTSPQNDSVDSSNSSVSQEEKEARTDLGTLPDTGSQGITRGDTAAA >Manes.10G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:345116:348041:1 gene:Manes.10G003900.v8.1 transcript:Manes.10G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSFISRFALLLFILSTVPQIIADLNSDTQSLLDFAAAVPHVRKLNWNSSISVCSSWVGITCNSNGTRVIAVHLPGVGLYGPIPANTIGKLDALRILSLRSNYLNENLPSDVTSIPSLQYLYLQHNNFSGAFPASLSPQLTVLDLSFNSFTSSIPPILQNLRQLSALYLQNNSFSGAIPNISLPKLKILNLSFNHLDGSIPHSLQKFPYHSFDGNSLLCGPPLKNCPTIIPSPSPTYLASSPTKSNIHVATSKKKLGLYSIIAIAVGGSAILLLIALAIFICCLKRKDNRTGVLKGKAESEKPKDFGSGVQEPEKNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTTVVVKRLKEVAVGKKEFEQQMEVVGRTGQHPNVAPLRAYYYSKDEKLLVYNYMTTGSFSAVLHGSTGTGRSPLDWEARVKICLGAARGIAHIHSESGVKFVHGNIKASNVLLTPDLDGLISDVGLTPLMNFPATISRTIGYVAPEVMETRKVNQKSDVYSFGVVLLEMLTGKAPLQARGRNDVVDLPRWVRSVVREEWTAEVFDVELMKYQNIEEEMVQMLQIALACVAKLPDMRPTMDEVVRMMEGIQEPESRNQLSSETESNTQTP >Manes.14G057972.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4885688:4887752:-1 gene:Manes.14G057972.v8.1 transcript:Manes.14G057972.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSHPLLMLIFNVFLASFMLLLTIKHVKQSLRARKLPPGPRRLPLVGNLHQLVNPIHRSLLHLAKQHGPIMFLQLGSIPTVIISSADMVKEIFRKHDIVFSGRPVLYSANKLAYKCSDISFSTYGETWREMRKIATTELLSAKRVQSFQAVREEEVNLMLDSVARSSGPINLSDLLIVLTNNVICRVALGRKYKGGFAGEIAGVDELLREVEELLGGFCIADFFPWLGWLCKFNGLEARVEKIFTELDNIYDKVIQEHLDPRRPKPQREDLVDVLLRLQKDPSREVALSNDSIKGALMDMFIAGTDTSSATLVWTMTELIRNPHAMRRVQEEVRSVCEGKKRVQEKDLPQLVYLKSVVKESLRVHPPAPLLLPRETIEDCKIGDYEIPARTRVYINALAVSMDPKSWENPSEFRPERFLDSSIDFSGQHYELVPFGVGRRGCPGMNFSVVLIELALANLLHNYDWELPHGMSREDLDMQEAFGVTMHKKAPLWLVASPVPYCGAGH >Manes.08G099800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:33285416:33286698:-1 gene:Manes.08G099800.v8.1 transcript:Manes.08G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNSSSPSPSPSKTKRKQQNEQQEPHQEVRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGFKARTNFVYSDMPAGSSVTSIISPDETQHEISALFAPARQYNQNSNTNQNQQVLFTQDCTFNASFLYANSCGLVTGGDGWVQGAEAGGSFQPVSATMDASGSNNCSGDSIELPPLPPDMTSSCYYGSEVSDGFWKETNFFGFSEEVSNAASGPYLGFDSNEFVQQGPFPGRAPSVSDTVTEGLDLGSSSAFFF >Manes.11G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6159492:6163114:-1 gene:Manes.11G049100.v8.1 transcript:Manes.11G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITGKSTLNNKDKDKKKPVSRSSRAGLQFPVGRVHRLLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Manes.07G043891.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5108295:5113461:-1 gene:Manes.07G043891.v8.1 transcript:Manes.07G043891.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGDIITKLGSRALHEIGLWWGVKGELKKLEATVSSIRNVLLDAEEQQKLNRQVKCWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVSLFFSSSNKLVYGFKMGHKVKAIRERLADIEADRKFNLEVRTDQESIVWRDQTTSSLPEVVIGREGDKKAITQLVLSSNGEECVSVLSIVGIGGLGKTTLAQIILNDEMIKNSFEPRIWVCVSEPFDVKMTVGKILESATGNKSEDLGLEALKSRLEKIISGKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKKVADISGTTAPHVLEGLSLDESWSLFLHVALKGQEPKHANVREMGKEILKKCHGVPLAIKTIASLLYAKNPETEWLPFLTKELSRISQDGNDIMPTLKLSYDHLPSHLKHCFAYCAIYPKDYVIDVKTLIHLWIAQGFIESPSTSDCLEDIGLEYFMKLWWRSFFQEVERDRCGNVESCKMHDLMHDLATTVGGKRIQLVNSDAPNIDEKTHHVALNLVVAPQEILNNAKRVRSILLSEEHNVDQLFIYKNLKFLRVFTMHSYRIMDNSIKMLKYLRYLDVSDNEKLKALSNSITDLLNLQVLDVSYCDELKELPKDIKKLVNLRHLYCEGCNSLTHMPRGLGQLTSLQTLSLFVVGKGHISSKDVGKINELNKLNNLRGRLEIRNLGCVDDEIVNVNLKEKPLLQSLKLRWEESWEDSNVDRDEMAFQNLQPHPNLKELLVFGYGGRRFPSWFSSLTNLVYLCIWNCKRYQHLPPMDQIPSLQYLEIWGVDDLEYMEIEGQPTSFFPSLKTLVLYGCPKLKGWQKKKEDDSTALELLQFPCLSYFVCEDCPNLKSIPQFPSLDDSLHLLHASPQLVHQIFTPSISSSSSIIPPLSKLKNLWIRDIKELESLPPDGLRNLTCLQRLTIEICPAIKCLPQEMRSLTSLRELDIDDCPQLKERCGNRKGADWAFISHIPNIEVDNQRIQREGRYLLDDEASINEG >Manes.07G010600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1434091:1442389:1 gene:Manes.07G010600.v8.1 transcript:Manes.07G010600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQPGLEKESELTEKEEEVERKNEGNEGKKEPKGERDLEKGEISFKQKVMESNNVNDEDQRNYQESMLQRLNPSNPLRIVINSSTRVATASPSQTSLPLSAPTPQPSIATLNSRRYTNKISLFLFVLHMVLVFGLVCFLVFKGIQGLLAASDSVKRKEKRILKYFLPQVEVASLLSIALALAWQKAIRVWPRFMVHFILWCSFFLSLSAGILLICFQKAPTDGVGICLIVFAIGNGLYACWVYQKIKFSTKVLIKSLESVRKFGDLNHPTYWVLGVGFLWMSMWILALIGALNFYFPPLTIIALVLSLAWTAEVMRNVVNLTVSRVISLYYLRGMQANTKFCFQRAVTRNLGSACLGSLFVPAIEALRIVARALNLLEGEDEFMFSCAHCCLGTIESIFRHGNGWAFVQIAAYGKCFVQASQDTWALFQRQDMVTIVDSDITSSIFFLAGICSACTCVIVVAAWTAKVHEPFTATISLLAFFIGYLMTRIAMALPHACVSCYYVCYAENPENRLFDKTIKDHQNLTKS >Manes.07G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1433668:1438017:1 gene:Manes.07G010600.v8.1 transcript:Manes.07G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQPGLEKESELTEKEEEVERKNEGNEGKKEPKGERDLEKGEISFKQKVMESNNVNDEDQRNYQESMLQRLNPSNPLRIVINSSTRVATASPSQTSLPLSAPTPQPSIATLNSRRYTNKISLFLFVLHMVLVFGLVCFLVFKGIQGLLAASDSVKRKEKRILKYFLPQVEVASLLSIALALAWQKAIRVWPRFMVHFILWCSFFLSLSAGILLICFQKAPTDGVGICLIVFAIGNGLYACWVYQKIKFSTKVLIKSLESVRKFGDLNHPTYWVLGVGFLWMSMWILALIGALNFYFPPLTIIALVLSLAWTAEVMRNVVNLTVSRVISLYYLRGMQANTKFCFQRAVTRNLGSACLGSLFVPAIEALRIVARALNLLEGEDEFMFSCAHCCLGTIESIFRHGNGWAFVQIAAYGKCFVQASQDTWALFQRQDMVTIVDSDITSSIFFLAGICSACTCVIVVAAWTAKVHEPFTATISLLAFFIGYLMTRIAMALPHACVSCYYVCYAENPENRLFDKTIKDHQNLTKS >Manes.07G010600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1433738:1437874:1 gene:Manes.07G010600.v8.1 transcript:Manes.07G010600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQPGLEKESELTEKEEEVERKNEGNEGKKEPKGERDLEKGEISFKQKVMESNNVNDEDQRNYQESMLQRLNPSNPLRIVINSSTRVATASPSQTSLPLSAPTPQPSIATLNSRRYTNKISLFLFVLHMVLVFGLVCFLVFKGIQGLLAASDSVKRKEKRILKYFLPQVEVASLLSIALALAWQKAIRVWPRFMVHFILWCSFFLSLSAGILLICFQKAPTDGVGICLIVFAIGNGLYACWVYQKIKFSTKVLIKSLESVRKFGDLNHPTYWVLGVGFLWMSMWILALIGALNFYFPPLTIIALVLSLAWTAEVMRNVVNLTVSRVISLYYLRGMQANTKFCFQRAVTRNLGSACLGSLFVPAIEALRIVARALNLLEGEDEFMFSCAHCCLGTIESIFRHGNGWAFVQIAAYGKCFVQASQDTWALFQRQDMTRIAMALPHACVSCYYVCYAENPENRLFDKTIKDHQNLTKS >Manes.14G048800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4247122:4247721:-1 gene:Manes.14G048800.v8.1 transcript:Manes.14G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQLRKIHAVKRSKMHQIIDRFFLHVILLTSSLFCTSPLWFPSLFSSTKLYLFVSIPKISSVLLSAKFIFVVGNLIIILLIGESKFFASNSLPAAADVYYDEYINRKRGLQASSSLMEKKERRGEILFKENASKTCGGRKFEVKVWDETKLEVENQREDLNAEEELSLPAEELNKRADDFIARVNRQRRLEARLLLA >Manes.01G090200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29169846:29179097:-1 gene:Manes.01G090200.v8.1 transcript:Manes.01G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLACNSWSLQNTIPHGIIIVPKRFFMGRRQLLSSVSLCSDGVQHDIVSTHSIFISRAVRDGTKHVYEEICKNSPITTRRMLLTSLFMYLFYYPTRYLPAQALGDPSVTIEEVTPPVFPSGALFPTEERIVQLFEKNTYSVVNIFDVTLRPQLNVTGVVEIPEGNGSGVVWDAQGHIVTNYHVIGNALSRNPSPGQVVARVNILASEGVQKNFEGKLVGADRAKDLAVLKVEASKDLLRPIKVGQSSSLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLKIVPQLIQFGKVVRAGLNVEIAPDLVANQLNVRNGALILQVPGNSLAAKAGLLPTTRGFAGNIVLGDIIIAVDDKPVKSKAELYKVLDDYNVGDKVLLKIQRGGENLELPIILEEKSS >Manes.01G090200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29169846:29179097:-1 gene:Manes.01G090200.v8.1 transcript:Manes.01G090200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLACNSWSLQNTIPHGIIIVPKRFFMGRRQLLSSVSLCSDGVQHDIVSTHSIFISRAVRDGTKHVYEEICKNSPITTRRMLLTSLFMYLFYYPTRYLPAQALGDPSVTIEEVTPPVFPSGALFPTEERIVQLFEKNTYSVVNIFDVTLRPQLNVTGVVEIPEGNGSGVVWDAQGHIVTNYHVIGNALSRNPSPGQVVARVNILASEGVQKNFEGKLVGADRAKDLAVLKVEASKDLLRPIKVGQSSSLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLKIVPQLIQFGKVVRAGLNVEIAPDLVANQLNVRNGALILQVKSKAELYKVLDDYNVGDKVLLKIQRGGENLELPIILEEKSS >Manes.01G090200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29171200:29179143:-1 gene:Manes.01G090200.v8.1 transcript:Manes.01G090200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLACNSWSLQNTIPHGIIIVPKRFFMGRRQLLSSVSLCSDGVQHDIVSTHSIFISRAVRDGTKHVYEEICKNSPITTRRMLLTSLFMYLFYYPTRYLPAQALGDPSVTIEEVTPPVFPSGALFPTEERIVQLFEKNTYSVVNIFDVTLRPQLNVTGVVEIPEGNGSGVVWDAQGHIVTNYHVIGNALSRNPSPGQVVARVNILASEGVQKNFEGKLVGADRAKDLAVLKVEASKDLLRPIKVGQSSSLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLKIVPQLIQFGKVVRAGLNVEIAPDLVANQLNVRNGALILQVPGNSLAAKAGLLPTTRGFAGNIVLGDIIIAVDDKPVIFHLLKLLFCLFVY >Manes.17G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30831443:30835656:1 gene:Manes.17G101100.v8.1 transcript:Manes.17G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARKSLSALRARQLAVSLQALQGSNQYALQSCARLYSTKKDDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLDNGDRWETEIAENLRSESLYR >Manes.01G129100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32300413:32305645:-1 gene:Manes.01G129100.v8.1 transcript:Manes.01G129100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATA MLSTLQPPRSLSRFPFRLSSIKPRHITFAVSSSSSLPVATSAVTDQSLQSSQILTIRHSLLSRHLTATQLVESYLTRLRLTEPQLKCFLHISDNVLKQAQELDDKINKGEDVGPLAGVLVGVKDNICTSDMPSTGGSRILENYRAPYDATAVRRMKEKGAIVVGKTNLDEFGMGSTTEGSAFQVTSNPWDVSRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGTSVADTGILLHAISGHDRYDATSSIREVPNFTPQFTSANLVESRPLKGLRVGIIRETLDDGVDNGVKSAISCAASHLEELGCTVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGKQAVADELNMLYGDSRAQGFGPEVKMRILMGTYALSAGYYDAYYKRAQQVRTLIQKSFKAALDANDILISPAAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVEEGPAGLPVGVQMIGAAFDEATLLKVGHIFEQTLQGFKFVPPMVADGAS >Manes.12G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:659717:660012:-1 gene:Manes.12G005400.v8.1 transcript:Manes.12G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREKSPGLKILWLWTIGTAAILVTTVVRTRLRDMEQLMNAEQQQQQTQSTLRDSLIVDSSPEPQEGIIREVK >Manes.17G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32506701:32510325:-1 gene:Manes.17G120600.v8.1 transcript:Manes.17G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVAMDAISRDRIEDDADGEFSPYKGSFSHQRSPRSTLSPHSPRSDSIDLSIDGLIDTSIEQLYHNVCEMQSSDPSPSRTSFLSYGEESRIDSELRHLVGDIGEVEVITKEVVTENKEDGGVDDFTPKKATNGDTDQRSANKDRIRAASLKKQLFRLQSDMEASAKSNPKSRSPHQKPSLDRRFDKNMRRPNASFHMKKHKNFGSIASKFQSETADPLETGLDNPDLGPFLLQQTKYMISSGENPQKTLEFALRATKSFEVCANGKPNLDLVMSLHVLAAIYCSLGRYNDAIPVLERSIEIPVIEDGQNHALAKFAGCMQLGDTYAMLGQIENSILCYTAGLEIQRQVLGDTDPRVGETCRYVAEAHVQALQFDEAEKLCQVALDIHREKGGPASLEEAADRRLMGLISEAKGEYETALEHYVLASMSMAANGHDIDVASIDCSIGDAYLSLARYDEAIFSYQKGLTVFKSKKGENHPTVASVFVRLADLYNRIGKFRDSKTFCENAFRIYEKHNPGIAAEEIASGLIDIAAIYQAMNEFDQALKLLKKALKIYGNSPGQQSTIAGIEAQMGVMYYMMGNYGDSYNTFKSAVLKFRGSGEKKSALFGIALNQMGLACVQRYAINEAADLFEEARSILEKEYGPCHPDTLGVYSNLAGTYDAMGRLDDAIEILDYVVGMREEKLGTANPDVDDEKRRLAELLKDAGKVRNRKSRSLVTLLDTQLQIIKDDAIQVS >Manes.11G164551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32909869:32910302:1 gene:Manes.11G164551.v8.1 transcript:Manes.11G164551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFGSSSTVVGLGSNAVSSPTRISSSLGSGFVKSRVAARNPMRQKGAEGGRFMCFERDWLRKDLNVIGFGLIGWIAPSSLRRSTGRA >Manes.01G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32072719:32078993:-1 gene:Manes.01G125400.v8.1 transcript:Manes.01G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFTGIIGLNNHDNNYDLSQGFYHKLGEHSNMSIDSYDSLQTSNGGGSVAMSIESIGSNDSHTRILNHQGLRRRANDNYSVQQSVNRRGRVTHALNDDALAHALMDNTSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEDVAIKILERPGNDPERAKFMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLMRRQNRAVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPALGEIMTRCWDANPDVRPLFIEVVKMLENAETEIMTSVRKARFRCCMTLPMTLD >Manes.08G069560.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:13273193:13274713:1 gene:Manes.08G069560.v8.1 transcript:Manes.08G069560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEIVGFKQLKELCVDDEDFRKIWRKCFCGYPVDNFYVLDGHLMHENQLCTLRTSLREKVIHDLHGRLARHLERDKSIAVMKERSYWPQLRKDVTRFIMKCCTCQRSKG >Manes.02G212352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:26849734:26850545:1 gene:Manes.02G212352.v8.1 transcript:Manes.02G212352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLPPSFSSSSILLLLLLLLLLLLLLLLLLLLHLLLLLLLLLLLLLLFLLSLLLLLLILLLLLFLLSLLLLLLLLLLLLLLFLLSLLLLLLLLLLLLLLLLLLLLLLLLLLLLLSLLLLFLLLLFRRRRRRRRTKEKTGTISLTKRNDKDVLIDLRKDRDYLVDIKKR >Manes.02G216400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31576444:31598990:-1 gene:Manes.02G216400.v8.1 transcript:Manes.02G216400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRLPPNAFKQRQPPIDDSRFLGNRLPFSVVGNPTTVRAHHPSTILEDRIAIQHREIQSLLLDNQQLAVTHVALKQELALAQDELRRLSAAAADVKAERDDQVRKVYGRSLQMDSEVRSIDALRVELAQVRMDVEKLAVHRQELTAELRAINSDLLKARTEAQQVSVIKAEIETMQQEIQRGRAAIEYEKKTYASNLEHGKTMEQNMLAVAREIEKLQAELSSMEKRARAAAAVNPTPGYAGTYSNSEAAYGGNPCPDPYVMHQVQGGNDGGPPFVSG >Manes.02G216400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31587694:31598990:-1 gene:Manes.02G216400.v8.1 transcript:Manes.02G216400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRLPPNAFKQRQPPIDDSRFLGNRLPFSVVGNPTTVRAHHPSTILEDRIAIQHREIQSLLLDNQQLAVTHVALKQELALAQDELRRLSAAAADVKAERDDQVRKVYGRSLQMDSEVRSIDALRVELAQVRMDVEKLAVHRQELTAELRAINSDLLKARTEAQQVSVIKAEIETMQQEIQRGRAAIEYEKKTYASNLEHGKTMEQNMLAVAREIEKLQAELSSMEKRARAAAAVNPTPGYAGTYSNSEAAYGGNPCPDPYVMHQVQGGNDGGPPFVSG >Manes.18G097800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037091:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNERLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGTVEVPISNRLNEEGSHNLNMGLEDNSMSYLPYNPVIFSGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037091:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNERLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGTVEVPISNRLNEEGSHNLNMGLEDNSMSYLPYNPVIFSGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGTVEVPISNRLNEEGSHNLNMGLEDNSMSYLPYNPVIFSGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGTVEVPISNRLNEEGSHNLNMGLEDNSMSYLPYNPVIFSGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049803:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGTVEVPISNRLNEEGSHNLNMGLEDNSMSYLPYNPVIFSGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9037092:9049713:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGTVEVPISNRLNEEGSHNLNMGLEDNSMSYLPYNPVIFSGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.18G097800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9036784:9049803:1 gene:Manes.18G097800.v8.1 transcript:Manes.18G097800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANEQEAKHNSTQNPVESLNEGNVTSCSGDDEINAQIWEPPEAEDPEDDLEDSVACNDDDDDEFGDGMKWCKPSSFSCCRDEGNRSYTFKQEKQKAMEEVANGKFKVIVSQLLKTASVANMLNDCESWVDIVSVLSWEAASFFKPDAVDGKGMDVDGYVKVKCIATGSNNESQVVKGLVFKKRAAHKHMPTNYKNPRLLLIQGELGQSSSELSSFNSMDQEKDNLKSLMDMIEMCHPNVVLVEKSVSRDVQESILAKGITLVYDMKLHRLERVARCTGSPILSSDTLVGQTLKQCDSFHIEKFLEDHAGLGERGKKSSKTLMFIEGCPTRLGSTILLKGSHSDELKRIKYVVQIAVIVAYHLILETSFLVDRKMMFSTVMLHGARDHNLSVLGTEDSSIPSIEESSAETGTVEVPISNRLNEEGSHNLNMGLEDNSMSYLPYNPVIFSGFSSLSASLKKVIGDGLPLASSLPYQSMVDYFGFNGQEANEKNTEQVPVLETLEASNEDNLLDGGQSQSLSCSKPVDRGNDVDNKVQVQNKDGVDAVLDSQSILVLVSSQNALSGTICEQSHFSHIMFYRNFDVPLGKFLRNNLLNQRRQCTTCGELPEAHLYYYAHHNKQLTIQVKWLLNPLPGEAEGKLWMWSRCVKCKHQNGDRKCTKRVLISNAARFLSFGKFLELTFSQHSSFGRWSCCGHSLERDFLYFFGLGTLAAMFKYSPVATYTVSLPPKKLEFSHSIVYDGLKREFDNVHLKGKSLFSGIEDILKEFKSQFEGSNLNLQRPLEEYSDIEDMLKQESSEFEASIDNGGNTDKANYKFLCLNHLLWELLLESCIWERRLNSLLLPDRSLGCTGIIEKPANNQVKSNMNGTTNGRNEGTETVSESSNVYLKDIVGNPFDANDCSVEEIAVDGALQQSRVQDHLDRSSPFAEDVEVLNVDGLSMNRSPSPALFVKPKSFVDSDASRQRNSLHSVFSRLENSIEWFWMPFSDIRQIYMEDLERGFMPKFQSSSSYIQERLSAAHQLINEEESRLHIPLGTDNYIVRDYDGELSSIIACALAVMKDIPVTSEFFSDNSCKEGSASADSTDRLQILTGISTKISSYWSSNGSPDSDSLHSTSSVSPEESRFSSFDGFNLLESLILPENISPEVSLGFTESFGKCKYSVICLYAKLFRNLRSLCCVSEVDYIASLSRCRNWDAKGGKSKSLFFKTLDDRFIIKEIKKTEFESFIKFAPHYFKYMNESFELGNQTCLAKVLGIYQVIIRQAKTGKEMRHDLMVMENLSFGRNIIRQYDLKGALHARYNLAGDGSGDVLLDQNFVDDMNSSPLYVSNKAKHLLERAVWNDTAFLNSINVMDYSLLVGVDTWRQELVCGIIDYLTEYTWDKQLETWVKSSLVPKNVLPTVISPKEYKKRFRKFMSTHFLSVPDNWCSRESSDRCRLCGIGENGSQAKSQKQGRLNGFSN >Manes.09G004500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1146101:1158661:-1 gene:Manes.09G004500.v8.1 transcript:Manes.09G004500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTADVTGQNDNNALTEMPSFVPSIPNSNPMGTEGNSIRSRISSFGTLEQSLGFRIEDAVDLSRNPVFNQLKSSSQAIGTDVQFGTLNKSPAPSDVNLSSAVVRTQTLPLQKESQSNPVTMLGSHRENWGETNVGDGSPRTDTSTDDTNDKNQRFERGQSTAVMASDSSDKSKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVIAHFNDIFRLKGVAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMEALQQSLAETLANGTPGSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLEQMHRVLTTRQSARALLAINDYFSRLRALSSLWLARPRE >Manes.09G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1145489:1158661:-1 gene:Manes.09G004500.v8.1 transcript:Manes.09G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTADVTGQNDNNALTEMPSFVPSIPNSNPMGTEGNSIRSRISSFGTLEQSLGFRIEDAVDLSRNPVFNQLKSSSQAIGTDVQFGTLNKSPAPSDVNLSSAVVRTQTLPLQKESQSNPVTMLGSHRENWGETNVGDGSPRTDTSTDDTNDKNQRFERGQSTAVMASDSSDKSKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVIAHFNDIFRLKGVAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMEALQQSLAETLANGTPGSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLEQMHRVLTTRQSARALLAINDYFSRLRALSSLWLARPRE >Manes.09G004500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1145489:1158661:-1 gene:Manes.09G004500.v8.1 transcript:Manes.09G004500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTADVTGQNDNNALTEMPSFVPSIPNSNPMGTEGNSIRSRISSFGTLEQSLGFRIEDAVDLSRNPVFNQLKSSSQAIGTDVQFGTLNKFERGQSTAVMASDSSDKSKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVIAHFNDIFRLKGVAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMEALQQSLAETLANGTPGSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLEQMHRVLTTRQSARALLAINDYFSRLRALSSLWLARPRE >Manes.09G004500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1146615:1155655:-1 gene:Manes.09G004500.v8.1 transcript:Manes.09G004500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSDKSKEKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMSGNGALAFDVEYARWLEEHNRQINELRAAVNSHAGDTELRTIVDNVIAHFNDIFRLKGVAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMEALQQSLAETLANGTPGSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLEQMHRVLTTRQSARALLAINDYFSRLRALSSLWLARPRE >Manes.02G216190.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:33323246:33325672:-1 gene:Manes.02G216190.v8.1 transcript:Manes.02G216190.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFLTPFLGMTLVHCSMLTLENLSKLWNQPKLNSETLVICSTFLLTIIILYFMRKPRKVYLVDFACYKPEPSYKCSKEDCLKIAESARVFTKESLEFVKKILERSGIGEDAYVSNGMLQHPQDFSIAEARRESEMVIFGAIDELLAKTGVKLPDIGILVVNCSLFNPQPSLSAMIINRYKLRANILSFNLAGMGCSAGLISIQLAKDLLQVHPDSYALVVSTENITSSWYAGNERSMIVTDCLFRIGGASILLSNLPSDRRHSKYQLMYSVRTHNGADDKSYNSVMQQEDEKNIVGVSLSKELVRVAGDTLKANITALGPLVLPLSEQLKFLANLIMKRIFKMKIKSYIPDFKLAIEHFCIHPGGRAVLDEVEKSLGLSEWHMEPSRMSLFRFANTSSSSLWYELAYTEAKGRIKKGDKVLQLSFGSGFKCNSVVWHAIRPINPANEKNPWIDEINDFPVVVPKVTPVIY >Manes.05G113700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:21614638:21615779:-1 gene:Manes.05G113700.v8.1 transcript:Manes.05G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVRKLWGCGARSLHQRSADVPEGYIRVYVGKDIHLQCKFQMEANYLNHPLFEDLLRVSQEEFGYSYDGALRIACDIQLFHYLMHLLKTSNPSAHYMQLPDLISSFHKSTQHLLSHHPTH >Manes.16G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31682369:31686315:-1 gene:Manes.16G111300.v8.1 transcript:Manes.16G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITAQFRKLCLVEIRKLLMVTCVAIIIAALFQCFALPYGKRWSLLTADEGSIVVLISNDTFSNNLRLRPINVSSIMVNDTFYPSDLDGEVGDENDTKGTSTHNLSTDKSERGELTFKMDAMLGKSLIMGYEARTGDSSIQATSLENGHGKVKHAEKVDDKEAAVGLTYGGVQIQAGIVPVVLPGISAKNGEKMDTESSTWNAFLPANLISMDNVTGIAEVGPQTLVSVTSDKNSKRDRISTLKRWETQPTSISQMNSQLLQNIVSSHYARRQLYSVRDRELLSAKLKIQNAPFVRSPPGLYASAFRNFSIFKRSYELMERMLRIYIYKEGEKPIFHQSKMRGIYASEGWFIKLLEGNKKFIVRDPRKAHLFFLPFSSQMLRTTLFEQNFWSQKDLEEYLKNYVDLVARKYSFWNRTGGADHFLVGCHDWASRITRQYMKNCIRVLCNANVAKGFKIGKDTTLPVTYVRSAENPLKDLGGTPPSERHILAFFAGGMHGYLRPILLQYWQNKESDMKIFGPMRRDIEGKNAYREYMKSSKYCISARGYEVHTPRIIEAIFYECVPVIISDNYVPPFFEVLNWEAFSVFVQEKDIPKLRNILLSIPEERYLAMQLRVKMIQQHFLWHKNPVKYDLFHMILHSVWYNRIFQMSSK >Manes.16G111300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31682369:31686315:-1 gene:Manes.16G111300.v8.1 transcript:Manes.16G111300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITAQFRKLCLVEIRKLLMVTCVAIIIAALFQCFALPYGKRWSLLTADEGSIVVLISNDTFSNNLRLRPINVSSIMVNDTFYPSDLDGEVGDENDTKGTSTHNLSTDKSERGELTFKMDAMLGKSLIMGYEARTGDSSIQATSLENGHGKVKHAEKVDDKEAAVGLTYGGVQIQAGIVPVVLPGISAKNGEKMDTESSTWNAFLPANLISMDNVTGIAEVGPQTLVSVTSDKNSKRDRISTLKRWETQPTSISQMNSQLLQNIVSSHYARRQLYSVRDRELLSAKLKIQNAPFVRSPPGLYASAFRNFSIFKRSYELMERMLRIYIYKEGEKPIFHQSKMRGIYASEGWFIKLLEGNKKFIVRDPRKAHLFFLPFSSQMLRTTLFEQNFWSQKDLEEYLKNYVDLVARKYSFWNRTGGADHFLVGCHDWASRITRQYMKNCIRVLCNANVAKGFKIGERYSKTEEHSALNPRREIPCNAVKS >Manes.16G111300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31682369:31686315:-1 gene:Manes.16G111300.v8.1 transcript:Manes.16G111300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITAQFRKLCLVEIRKLLMVTCVAIIIAALFQCFALPYGKRWSLLTADEGSIVVLISNDTFSNNLRLRPINVSSIMVNDTFYPSDLDGEVGDENDTKGTSTHNLSTDKSERGELTFKMDAMLGKSLIMGYEARTGDSSIQATSLENGHGKVKHAEKVDDKEAAVGLTYGGVQIQAGIVPVVLPGISAKNGEKMDTESSTWNAFLPANLISMDNVTGIAEVGPQTLVSVTSDKNSKRDRISTLKRWETQPTSISQMNSQLLQNIVSSHYARRQLYSVRDRELLSAKLKIQNAPFVRSPPGLYASAFRNFSIFKRSYELMERMLRIYIYKEGEKPIFHQSKMRGIYASEGWFIKLLEGNKKFIVRDPRKAHLFFLPFSSQMLRTTLFEQNFWSQKDLEEYLKNYVDLVARKYSFWNRTGGADHFLVGCHDWASRITRQYMKNCIRVLCNANVAKGFKIGKDTTLPVTYVRSAENPLKDLGGTPPSERHILAFFAGGMHGYLRPILLQYWQNKESDMKIFGPMRRDIEGKNAYREYMKSSKYCISARGYEVHTPRIIEAIFYECVPVIISDNYVPPFFEVLNWEAFSVFVQEKDIPKLRNILLSIPEERYLAMQLRVKMIQQHFLWHKNPVKYDLFHMILHSVWYNRIFQMSSK >Manes.10G053200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6340692:6341370:-1 gene:Manes.10G053200.v8.1 transcript:Manes.10G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLELGQDLLSIEVPPIKIQTPGSCSNSKDINVDVIQQENGDECCRTPTSEEHKIPDILCCPPAPRKPRRRMILCKRKLLEFDEFFEIVNRQEVESLFRSSSEVIAAAKKRSCLRK >Manes.10G117100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28232049:28235280:1 gene:Manes.10G117100.v8.1 transcript:Manes.10G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEAVASGGAGSGGGANEVGPVSGVLSPKSRMKFLCSYGGKILPISADGHLKYVGGETRFVAVPRDITFSELMKKLSSEFEADMVLKYQVIPEDFDVLVSVRTNEDVKHMFDEYDRHGSEATAKLRAFLFPSNPVILENQNIPADPRVIEQCYIDALNNTVRSFSNLRLPHINANHPSFSISACTSPRGTSPDNIAVDAVPHEPTLMNSCHNRNTRLRMHKVHSSPSLYNLNALHHQTNNHRQHHHQYYQHHQQHHLHGYQSSRLAHEPHCLTPSSSHGCPETGRAPVTPLKKYYSNVHNVGSGNSSKHGNHDDHSPYGCRTTERLESHPTSPKNKILE >Manes.10G117100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28232049:28235092:1 gene:Manes.10G117100.v8.1 transcript:Manes.10G117100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEAVASGGAGSGGGANEVGPVSGVLSPKSRMKFLCSYGGKILPISADGHLKYVGGETRFVAVPRDITFSELMKKLSSEFEADMVLKYQVIPEDFDVLVSVRTNEDVKHMFDEYDRHGSEATAKLRAFLFPSNPVILENQNIPADPRVIEQCYIDALNNTVRSFSNLRLPHINANHPSFSISACTSPRGTSPDNIAVDAVPHEPTLMNSCHNRNTRLRMHKVHSSPSLYNLNALHHQTNNHRQHHHQYYQHHQQHHLHGYQSSRLAHEPHCLTPSSSHGCPETGRAPVTPLKKYYSNVHNVGSGNSSKHGNHDDHSPYGCRTTERLESHPTSPKNKILE >Manes.05G153200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26446013:26448456:1 gene:Manes.05G153200.v8.1 transcript:Manes.05G153200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWFYLSGREGASSKVQEENKDPENNFFLYRSNEEIDNKGFEIWQQDYQQQQNMNSYSSYGVGLSRISLSDESSRSGFMVMRQSGVGGGMNCQDCGNQAKKDCVHMRCRTCCKSRGFPCQTHVKSTWVPASKRRERQQQLSSLQEQQQQQQQQQFRGENPKRQRENQGDAPSLACTRLATTTTGLEIEHFPPEVNSPAVFRCVRVSPMDEPDEQYAYQTAVNIGGHVFKGILYDQGPDGRYTSGGESSSGGGQQLNFMTAATTSAAATTTNANNPGAGALLDPSLYPAPFNAFIAGTQFFPPPRS >Manes.05G153200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26446013:26449889:1 gene:Manes.05G153200.v8.1 transcript:Manes.05G153200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWFYLSGREGASSKVQEENKDPENNFFLYRSNEEIDNKGFEIWQQDYQQQQNMNSYSSYGVGLSRISLSDESSRSGFMVMRQSGVGGGMNCQDCGNQAKKDCVHMRCRTCCKSRGFPCQTHVKSTWVPASKRRERQQQLSSLQEQQQQQQQQQFRGENPKRQRENQGDAPSLACTRLATTTTGLEIEHFPPEVNSPAVFRCVRVSPMDEPDEQYAYQTAVNIGGHVFKGILYDQGPDGRYTSGGESSSGGGQQLNFMTAATTSAAATTTNANNPGAGALLDPSLYPAPFNAFIAGTQFFPPPRS >Manes.05G153200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26445828:26449818:1 gene:Manes.05G153200.v8.1 transcript:Manes.05G153200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWFYLSGREGASSKVQEENKDPENNFFLYRSNEEIDNKGFEIWQQDYQQQQNMNSYSSYGVGLSRISLSDESSRSGFMVMRQSGVGGGMNCQDCGNQAKKDCVHMRCRTCCKSRGFPCQTHVKSTWVPASKRRERQQQLSSLQEQQQQQQQQQFRGENPKRQRENQGDAPSLACTRLATTTTGLEIEHFPPEVNSPAVFRCVRVSPMDEPDEQYAYQTAVNIGGHVFKGILYDQGPDGRYTSGGESSSGGGQQLNFMTAATTSAAATTTNANNPGAGALLDPSLYPAPFNAFIAGTQFFPPPRS >Manes.14G018966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1931625:1932070:1 gene:Manes.14G018966.v8.1 transcript:Manes.14G018966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCLLYISFLLPQPLQLYSLTNLHLQAAPSDARPAKDVHFEFLLAEINLQVFI >Manes.17G041100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23280789:23286097:-1 gene:Manes.17G041100.v8.1 transcript:Manes.17G041100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRRRPLWRGMARKLNGMNLGGALDDELALFESIIEIDLSNNHIGGNIPSNLPLTLRSFSLAGNQFNGSIPDTLSSLTLLSYLSLNNNHLNGGIPDAFQQMTSLTHLDLSGNNLSGQLPPSLGNLSSLSSLHLRNNKLIGVLDVLQDLPLQDLNVENNLFSGPIPAKLLSIPNFRKDGNPFNTTIIPPPPLALPPSPAMPPSSAQTPEKKGRGPPASETLKAESSRPLFTTNRAILIGLSVVAVVIILGVCVLMSTCFRRRQVKEDAERQGEGACRGHIDKPSNKSSLQQNSRGKVQKESIVKLQDEFQLDNRKMETSPKLQDDQGLDLTRMSAWSRKNTDHEKDMNFMHLHPPPPPPPCLPVDKVIVNPVVPAEVYTRSSSTKILKSSSSISVFTIATLQQFTDSFSEENFVGEGTLGSVYKAVLPDGQLLAVKKMNNMATRQQTDEEFVDLVSTISRIRHANIVELVGYCNEHGQRLLVYEYYEMGTLHDALHFDEKIHKKLSWDARIRLALGAARALQYLHEVCQPPIVHRNFKSSNILLDEKLAACVSDCGLATLQSSGSASRLSGRLLSAYGYGAPEFELGTYTCKSDVYSFGVVMLELLTGRKSYDRSRSRGEQSLVRWAIPRLHDIDSLSGMVDPSLNGAYPVKSLSRFADIISRCVQWEPEFRPAMSEVVQDLLHMI >Manes.17G041100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23279775:23286138:-1 gene:Manes.17G041100.v8.1 transcript:Manes.17G041100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTKWGMFSGLVVVVVVVVACMVSFGVALTDPRDVAAMNSLFLSLNFPPLLGWVPVGGDPCGEEWQGVSCVFFNITALKLNGMNLGGALDDELALFESIIEIDLSNNHIGGNIPSNLPLTLRSFSLAGNQFNGSIPDTLSSLTLLSYLSLNNNHLNGGIPDAFQQMTSLTHLDLSGNNLSGQLPPSLGNLSSLSSLHLRNNKLIGVLDVLQDLPLQDLNVENNLFSGPIPAKLLSIPNFRKDGNPFNTTIIPPPPLALPPSPAMPPSSAQTPEKKGRGPPASETLKAESSRPLFTTNRAILIGLSVVAVVIILGVCVLMSTCFRRRQVKEDAERQGEGACRGHIDKPSNKSSLQQNSRGKVQKESIVKLQDEFQLDNRKMETSPKLQDDQGLDLTRMSAWSRKNTDHEKDMNFMHLHPPPPPPPCLPVDKVIVNPVVPAEVYTRSSSTKILKSSSSISVFTIATLQQFTDSFSEENFVGEGTLGSVYKAVLPDGQLLAVKKMNNMATRQQTDEEFVDLVSTISRIRHANIVELVGYCNEHGQRLLVYEYYEMGTLHDALHFDEKIHKKLSWDARIRLALGAARALQYLHEVCQPPIVHRNFKSSNILLDEKLAACVSDCGLATLQSSGSASRLSGRLLSAYGYGAPEFELGTYTCKSDVYSFGVVMLELLTGRKSYDRSRSRGEQSLVRWAIPRLHDIDSLSGMVDPSLNGAYPVKSLSRFADIISRCVQWEPEFRPAMSEVVQDLLHMI >Manes.17G041100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23280038:23286061:-1 gene:Manes.17G041100.v8.1 transcript:Manes.17G041100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTKWGMFSGLVVVVVVVVACMVSFGVALTDPRDVAAMNSLFLSLNFPPLLGWVPVGGDPCGEEWQGVSCVFFNITALKLNGMNLGGALDDELALFESIIEIDLSNNHIGGNIPSNLPLTLRSFSLAGNQFNGSIPDTLSSLTLLSYLSLNNNHLNGGIPDAFQQMTSLTHLDLSGNNLSGQLPPSLGNLSSLSSLHLRNNKLIGVLDVLQDLPLQDLNVENNLFSGPIPAKLLSIPNFRKDGNPFNTTIIPPPPLALPPSPAMPPSSAQTPEKKGRGPPASETLKAESSRPLFTTNRAILIGLSVVAVVIILGVCVLMSTCFRRRQVKEDAERQGEGACRGHIDKPSNKSSLQQNSRGKVQKESIVKLQDEFQLDNRKMETSPKLQDDQGLDLTRMSAWSRKNTDHEKDMNFMHLHPPPPPPPCLPVDKVIVNPVVPAEVYTRSSSTKILKSSSSISVFTIATLQQFTDSFSEENFVGEGTLGSVYKAVLPDGQLLAVKKMNNMATRQQTDEEFVDLVSTISRIRHANIVELVGYCNEHGQRLLVYEYYEMGTLHDALHFDEKIHKKLSWDARIRLALGAARALQYLHEVCQPPIVHRNFKSSNILLDEKLAACVSDCGLATLQSSGSASRLSGRLLSAYGYGAPEFELGTYTCKSDVYSFGVVMLELLTGRKSYDRSRSRGEQSLVRWAIPRLHDIDSLSGMVDPSLNGAYPVKSLSRFADIISRCVQWEPEFRPAMSEVVQDLLHMI >Manes.17G041100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23279864:23286097:-1 gene:Manes.17G041100.v8.1 transcript:Manes.17G041100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRRRPLWRGMARKLNGMNLGGALDDELALFESIIEIDLSNNHIGGNIPSNLPLTLRSFSLAGNQFNGSIPDTLSSLTLLSYLSLNNNHLNGGIPDAFQQMTSLTHLDLSGNNLSGQLPPSLGNLSSLSSLHLRNNKLIGVLDVLQDLPLQDLNVENNLFSGPIPAKLLSIPNFRKDGNPFNTTIIPPPPLALPPSPAMPPSSAQTPEKKGRGPPASETLKAESSRPLFTTNRAILIGLSVVAVVIILGVCVLMSTCFRRRQVKEDAERQGEGACRGHIDKPSNKSSLQQNSRGKVQKESIVKLQDEFQLDNRKMETSPKLQDDQGLDLTRMSAWSRKNTDHEKDMNFMHLHPPPPPPPCLPVDKVIVNPVVPAEVYTRSSSTKILKSSSSISVFTIATLQQFTDSFSEENFVGEGTLGSVYKAVLPDGQLLAVKKMNNMATRQQTDEEFVDLVSTISRIRHANIVELVGYCNEHGQRLLVYEYYEMGTLHDALHFDEKIHKKLSWDARIRLALGAARALQYLHEVCQPPIVHRNFKSSNILLDEKLAACVSDCGLATLQSSGSASRLSGRLLSAYGYGAPEFELGTYTCKSDVYSFGVVMLELLTGRKSYDRSRSRGEQSLVRWAIPRLHDIDSLSGMVDPSLNGAYPVKSLSRFADIISRCVQWEPEFRPAMSEVVQDLLHMI >Manes.17G041100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23279785:23286138:-1 gene:Manes.17G041100.v8.1 transcript:Manes.17G041100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTKWGMFSGLVVVVVVVVACMVSFGVALTDPRDVAAMNSLFLSLNFPPLLGWVPVGGDPCGEEWQGVSCVFFNITALKLNGMNLGGALDDELALFESIIEIDLSNNHIGGNIPSNLPLTLRSFSLAGNQFNGSIPDTLSSLTLLSYLSLNNNHLNGGIPDAFQQMTSLTHLDLSGNNLSGQLPPSLGNLSSLSSLHLRNNKLIGVLDVLQDLPLQDLNVENNLFSGPIPAKLLSIPNFRKDGNPFNTTIIPPPPLALPPSPAMPPSSAQTPEKKGRGPPASETLKAESSRPLFTTNRAILIGLSVVAVVIILGVCVLMSTCFRRRQVKEDAERQGEGACRGHIDKPSNKSSLQQNSRGKVQKESIVKLQDEFQLDNRKMETSPKLQDDQGLDLTRMSAWSRKNTDHEKDMNFMHLHPPPPPPPCLPVDKVIVNPVVPAEVYTRSSSTKILKSSSSISVFTIATLQQFTDSFSEENFVGEGTLGSVYKAVLPDGQLLAVKKMNNMATRQQTDEEFVDLVSTISRIRHANIVELVGYCNEHGQRLLVYEYYEMGTLHDALHFDEKIHKKLSWDARIRLALGAARALQYLHEVCQPPIVHRNFKSSNILLDEKLAACVSDCGLATLQSSGSASRLSGRLLSAYGYGAPEFELGTYTCKSDVYSFGVVMLELLTGRKSYDRSRSRGEQSLVRWAIPRLHDIDSLSGMVDPSLNGAYPVKSLSRFADIISRCVQWEPEFRPAMSEVVQDLLHMI >Manes.16G133800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413111:33422148:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.16G133800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413111:33422148:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.16G133800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413056:33422218:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.16G133800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413107:33422148:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGQLQRSSSSCLSGECRKTR >Manes.16G133800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413111:33422148:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.16G133800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413106:33422207:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.16G133800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413104:33422220:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.16G133800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413056:33422218:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGQLQRSSSSCLSGECRKTR >Manes.16G133800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413106:33422214:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGQLQRSSSSCLSGECRKTR >Manes.16G133800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413104:33422219:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.16G133800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413104:33422251:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.16G133800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33413083:33422214:1 gene:Manes.16G133800.v8.1 transcript:Manes.16G133800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITVDLNWFLQLIFTLFIIALGLLHLVKNTASKYFEVDANFEGGGGGSTYRNRSVPTIHMETEGSSCANCGNHGTKKCSRCKSVRYCSTECQQADWKSGHNSKCKDFVRLNSAQNSKSNFGLKASGVGSRTFSGIALVPATGSTKLIKKPREVLFPYDVFIKLYNSNKEAFPPCGLLNCGNSCFANVVLQCLTFTRPLVGYLLEKGHQRECKRNDWCFLCEFQDHVERVSKSSHPFSPMSILSRLPNIGGNLGYGRQEDAHEFMRFAIDMMQSVCLDEFGGEKAVHPASQETTFIQHVFGGHLQSQVMCTKCDKISNLFENMMDLNVEIHGDAASLEECLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLSPYTSEGDGTDVYKLYAVVVHVDMLNASFFGHYICYIKDFHGNWYRVDDCKVQSVELEEVLSQGAYMLLYSRVSVRPSCLRTMEPSKEQPTTETELGSCKKVDCFSVVDAVKATSTTQSLASESNSEFVNELELASSSAVSVDVSSLENELSSRVGQDMNVDKSLHSSGTVEVDCDRSITIALNSEAAAEDSGNMNAIHSDSSTPFPMEISDWEKDSSCATNSKAVAIEDSVNAHPVNGESSDIFKNVKVNGTIYSFPGMTASTNPHKLKRESPLSGLDDDNRNRGVKRAEITDSY >Manes.05G033700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2832281:2840506:-1 gene:Manes.05G033700.v8.1 transcript:Manes.05G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYGPLHIIVAVLALSPCFASAGDIVHQDDVAPKRPGCDNNFVLVKVPTWVDGVEDIEYVGVGARFGPTLESKEKHANKTRLVLADPPDLCRPHKNKLNREVILVHRGNCSFTTKSNIADGANASAILIINNRTELFKMVCEANETDVNIGIPAIMLPQDAGASLENFIKTSSTVSVQLYSPQRPLVDVAEVFLWLMAVGTILAASYWSAWSAREVAIEQDKLLKDGSDDFTHTENVASSGVVNINTTSAILFVVIASCFLVMLYKLMSLWFMDVLVVLFCIGGIEGLQTCLVALLSCFRCFQHAGESFVKVPFFGAVSYLTLAVSPFCIAFAVVWAVYRRVSFAWIGQDILGIALIITVLQIVHIPNLKVGTVLLSCAFLYDIFWVFVSKLWFKESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFALRYDWLTNKNLRSGYFLWAMTAYGLGLLITYIALNMMDGHGQPALLYIVPFTLGTFLTLGKKRGELKALWRRGAPERPCPHVQFQPSQSQ >Manes.02G162900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12793734:12796615:1 gene:Manes.02G162900.v8.1 transcript:Manes.02G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHRDENSCCYFHPKQVLVGVCPLCLNERLLVLAAKQGQLPSTLRPQSFTTHARKPSISFPKIFAFDSLLNRLEFRHGKSSHKSDASTSQEESFISIKFEDNGAALWEKGTVSNKVTVKQCTNSGNHNLNNQNKDFKQPEDTMETISEMHNTKPRSLMRWRKRIGHVFQVIRWKSSKRRNDVGHVSTEVEGVKERKSWIRTLTKRRT >Manes.08G101600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884733:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIMPSEAKSSPGLSSLSFFSEDASLASERRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPLGHNVRTRTGIPKTITHEENEQGCLLSLANREDPLNGDASSGSGKESD >Manes.08G101600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884733:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIMPSEAKSSPGLSSLSFFSEDASLASERRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMIAPSQIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPLGHNVRTRTGIPKTITHEENEQGCLLSLANREDPLNGDASSGSGKESD >Manes.08G101600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33883228:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFWGLWIFRCQSSCHLKQRVHQRRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMIAPSQIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPLGHNVRTRTGIPKTITHEENEQGCLLSLANREDPLNGDASSGSGKESD >Manes.08G101600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884733:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFWGLWIFRCQSSCHLKQRVHQRRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPLGHNVRTRTGIPKTITHEENEQGCLLSLANREDPLNGDASSGSGKESD >Manes.08G101600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884733:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFWGLWIFRCQSSCHLKQRVHQRRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMIAPSQIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPLGHNVRTRTGIPKTITHEENEQGCLLSLANREDPLNGDASSGSGKESD >Manes.08G101600.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884733:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFWGLWIFRCQSSCHLKQRVHQRRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKASEIYSL >Manes.08G101600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884733:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIMPSEAKSSPGLSSLSFFSEDASLASERRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKASEIYSL >Manes.08G101600.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884733:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFWGLWIFRCQSSCHLKQRVHQRRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKASEIYSL >Manes.08G101600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884728:33892870:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFWGLWIFRCQSSCHLKQRVHQRRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPLGHNVRTRTGIPKTITHEENEQGCLLSLANREDPLNGDASSGSGKESD >Manes.08G101600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884728:33892870:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIMPSEAKSSPGLSSLSFFSEDASLASERRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPLGHNVRTRTGIPKTITHEENEQGCLLSLANREDPLNGDASSGSGKESD >Manes.08G101600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33884733:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIMPSEAKSSPGLSSLSFFSEDASLASERRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKASEIYSL >Manes.08G101600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33883228:33892860:-1 gene:Manes.08G101600.v8.1 transcript:Manes.08G101600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIMPSEAKSSPGLSSLSFFSEDASLASERRIGFGKLDTMPDHHGHATGKSVAVLPLENLGAVGCQTAKSLGLHHSFLVRDQKLNHSLIRQAAGTGMAMSQSSTLLRPVVHETRSSFNGQSASCFPEGGKVDMIATQYENSLFSSSLSDLFSRQVRLSSSNIPHVHSVGTIASHFEDGEPFESLEEIEAQTIGNLLPSDDDLFRGITDKLDNINQSNSKDDMEELDFFNSVGGLDLGDDRPATQNDIEFPGAFSNGQPGLSNGSKAGQHPHGEHPSRTLFVRNLDSNVEDSELRALFEQYGDIHTLYTSCKHRGFVMISYYDIRAARIAMKALQDRTLGHRKLDIHYSIPKDNPSDKDINKGTLIAFNLDSSISNDELHQIFGVYGEIKVIRGSPHRSHYKFIEFYDLRAAEGALHALNRSNIAGKQIKLEPCYTGGSRCWLEHDKYGPHAHQSSAPNNSAEYGPVISRSMNNASTLGLPSATQAPFLESECSSVPNSLSSLLRVESAGNQTGLAEPGRMQGQLNFDFQGTQIFHPRSLPEYHNGLKSVLHCNSLGSMTTNTSQKPLERIDNRQSHKTGTDGRSIEFNDGVFGSTVNGSPSLPGHNCAWDNSYHPHSPGLIWPSSPSFVNGISMAHPRLRLHGPPGAPPPVLNSILPINNHHVGSAPTVNSSLWERRAYAAESLKTSGFHPGSLGSLRVSHNSLQSMELLSPTMFPNVAGNYVDLSNPPEHVGFQPHHQRSPVFSGRSRMIPTTNSFDSPNERGRSRRNEVSSNQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLATIDEHHKGTYDFIYLPIDFKNKCNVGYAFINMIAPSQIIPFYQVFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPLGHNVRTRTGIPKTITHEENEQGCLLSLANREDPLNGDASSGSGKESD >Manes.01G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33172193:33174693:1 gene:Manes.01G140100.v8.1 transcript:Manes.01G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSVSFLLVLTTLLAFAPFTFGGHLYPQFYDHSCPKAQQIVKSIVAKAVAKEARMAASLLRLHFHDCFVKGCDASLLLDSSGSIVSEKRSNPNRNSARGFEVIDEIKTALEKECPQTVSCADILALAARDSTVLTGGPSWKVPLGRKDSRGASLSGSNNNIPAPNNTFQTILTKFKLNGLNVVDLVALSGSHTIGNSRCTSFRQRLYNQSGNGQPDYTLHPSYAAQLRTRCPRSGGDQNLFVLDFVSPTKFDNSYFKDILASKGLLNSDQVLLTKSEASMDLVKKYAENNELFFEQFAKSMIKMGNVSPLTGPRGEVRKNCRKINT >Manes.12G023250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1999452:2000036:-1 gene:Manes.12G023250.v8.1 transcript:Manes.12G023250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKACIHLLGQSRGSDEVTGRKTEIGKGLSVRIVHAGGQEELHQHAVAASHLMEKYPGMCVARPEVFRNPQQSLLFPDEKLLPGHKYLIIPHTTAQKLKRKQMQKANVKGYAEGKDEMSDVNITWEAGRDISEESVCSAKEFYTSKGRWSRDPKRSARKGIKPRKPFVPPLLKARILQGPGWEPSLTSVQELSP >Manes.11G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30870978:30879704:1 gene:Manes.11G144200.v8.1 transcript:Manes.11G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKNYSLARVMGCCSCFGFTWKPRQKTTPISVRNHNLSQEFLLDEEIDDDYDGSYNGDITDTAYGEDGELPTPVKHSEEILRFREQNGMVCRQFPVKETQKLVRSEDEDGNKMVNEYVRVCKIGAGSYGKVVLYRSTVDGKNYAIKAFHKSHLLKLRVAPSETAMSDVLREVLIMKMLDHPNIVNLIEVIDDPDADHFYMVLEYVDGKWVWEGSGPPGGIGENTARKYLRDIVSGLMYLHAHNIVHGDIKPDNLLVTQSGTVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCLGLTYHGKAADTWAVGVTLYCMILGQYPFLGETLQDTYDKIVNNPLVIPNEMNPQLKDLLEGLLCKDPKQRMTLDSVAIHSWVIGEDGPIPQYLCWCKRNSLQTKFETHMTLTESDETRTD >Manes.01G234300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39736847:39739601:1 gene:Manes.01G234300.v8.1 transcript:Manes.01G234300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVIRTSRCLVRPLEPTPSETLDLSVIDRLPVLRCNARTLHVFRNGPEAARIIREALSKALVPYYPLAGRLKESSQGQLQIECSGQGVWFVEASTTSNLESVNYFDNVTTIPCDELLPDYVPETDKDIEPLVQMQVTQFASGGFVIGLIFCHSICDGLGAAQFLNAVGELARGVEQLSVSPVWCRDFAPTPPQQANVTALPILPPPMPNYRLEHANIDISIDQITRLKKEFHESTGKTCSTFEVVAATFWRHRTIAINLKHNTKMKLVFFANCRQVLDPALPKGFYGNCFFPVTITVQAESLAQASNIEVIKLIQESKSKLPTEFGKYLKGEYLKDGEDPFAPPLIYSTLFISEWGRLGFNQVDYGWGPPVHIVPIQGSSIIPVGIVGSLPLPEKGIRLMTWCVEEVHRQHFIDQMTKAT >Manes.04G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32581873:32598800:-1 gene:Manes.04G125700.v8.1 transcript:Manes.04G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSKITTEADRRTGTKDDDRSKLPAPLPHQSIDPIESRSSSDDDNQSHPPATLPLRSPALDFFEYSQFSDEENRNLAHPPPLHQSPVLDPIESSSSSDEEDRNTDPIGSGSPNSNKENGIDAAASEDTERNKMMEYGFKELAKATDYFSNNCLLGEGGFGQVFKATLDCGEVAIKKLKKINFEDKLEEGEYLRCVSHPNIVKMIGHCSEGADRLLVLEFVPNHSLADHLHVEKTKVLEWPTRMNIAIQSAKGLLYLHECRPKIIHRDIKSDNILLDNDFQPKVADFSLAYFLPNASNVNHITSILRGTNVYADPEYGDIQRVSEKSDVYSFGVVLLELITGRRPMELITGRRPMDKQGDTIINWARYRIGRVLENSEYNDLVDPKLQQAYDEAEMLRMITCAAASVYKPSRSRPIMKQIIEVLEGNMSHMKIMKRKDVETLQGRATTNLESVLGVERIQIAPQKIFTYKELAKATGGFSNANLIGEGGFGQVFKGILPNGEVVAIKKFKSSPNQDEGDFPAEITTLNRVYHKHLVKMIGFCSDKANRLLILEFIPNGSLRYNLNREDKVIIDWPTRMKIAIGSAKGLAYLHEICNPKIIHRGVKSNDILLDQNFEPKISDFGLAKELPNPYTHVTTMIKGTMGYLCPEYFRTNQLCDKSDVYSFGVVLLELITGKPAILREHNVFIGSLTSWVAPQLRQALDTHNYNDIIDSKLQNNYKITEVIRMIHCATACVYKPINYRPKISQIVEVLQGNLASESIWLNSDNAFLQDPYGHY >Manes.07G052645.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6291108:6294625:1 gene:Manes.07G052645.v8.1 transcript:Manes.07G052645.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLECRNLELAIPGTYLADSPVVTIASFARQLVVMTSKQQPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQNSRKTSEKDLSIQRYSVIPLSPNSGLIGSVPYCDTLHQLIREYRDARKVCYGSQRDYLEPRAQVLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIGFGDCFQASMNREKFPEKVAFRLTRMLVKAMEVSGIEGNFQSTCENVMQVLRTNKDSVMAMMEAFVHDPLMNWRLFNFNEVPQMSMFANTHASAVVNAEESAASRELPQPQRSACERELLEVLNERAVIVMALSWLGRDFSTSSSVFASSNQHAVDHSSLIVGDSREVEHGLFVKLQVQKLINQARSHENLCQNYVGIS >Manes.02G120700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9223295:9226703:1 gene:Manes.02G120700.v8.1 transcript:Manes.02G120700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVATTCFQWSQPIIPHSPSSSQTLASAISSPSSKRRCRSDVTGAATLMCRYVHRLDRFALLGTSSTKLHRSRSCEHPESRARTITRACSAGLDAFSDEEFSKKIQELALRFQLSDDDGDGDYNSSEVDLGSERVSDSRDIHGMSSAESYNSITQESSSLQNQRQFPLDSVEPPWPEIGQVEPDWSGSDDTLPANIERKANSVDLPLSLRMIKRKMQWQEGFREAGESAYCSVKKAFSSMVFIIRELHSYSLQMRELLFTEDLQGIIVRVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVYSMGSNAAIAASPPPASYAATTGSVSVVEIQDQKNQKFDSSSLKTFSISSTSGKSTSIGGNSGGGGKVRPVASGTDGDGLSDESDELKTFVPDGASQLTSLGTTGEAESVSRQGTIEEEDVWKSTVDEASKMQVLDHETMQRFVSPIKTNVEPDDYSDYFRTDLLYQTGLAQDPNSPLLLANYAQFLYLVAHDYDRAEDYFKRAVGVEPADAEAYSKYACFLWRVRNDLWAAEETFLEAINADPTNSYHAANYAHFLWNTGAEDTCFPLGSQDNAQEL >Manes.02G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9223315:9226627:1 gene:Manes.02G120700.v8.1 transcript:Manes.02G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVATTCFQWSQPIIPHSPSSSQTLASAISSPSSKRRCRSDVTGAATLMCRYVHRLDRFALLGTSSTKLHRSRSCEHPESRARTITRACSAGLDAFSDEEFSKKIQELALRFQLSDDDGDGDYNSSEVDLGSERVSDSRDIHGMSSAESYNSITQESSSLQNQRQFPLDSVEPPWPEIGQVEPDWSGSDDTLPANIERKANSVDLPLSLRMIKRKMQWQEGFREAGESAYCSVKKAFSSMVFIIRELHSYSLQMRELLFTEDLQGIIVRVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVYSMGSNAAIAASPPPASYAATTGSVSVVEIQDQKNQKFDSSSLKTFSISSTSGKSTSIGGNSGGGGKVRPVASGTDGDGLSDESDELKTFVPDGASQLTSLGTTGEAESVSRQGTIEEEDVWKSTVDEASKMQVLDHETMQRFVSPIKTNVEPDDYSDYFRTDLLYQTGLAQDPNSPLLLANYAQFLYLVAHDYDRAEDYFKRAVGVEPADAEAYSKYACFLWRVRNDLWAAEETFLEAINADPTNSYHAANYAHFLWNTGAEDTCFPLGSQDNAQEL >Manes.14G050900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4355972:4359103:1 gene:Manes.14G050900.v8.1 transcript:Manes.14G050900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSQQVQSASYPPQPPASGAPAPSAPTPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAGLGGMVGATASGVPYYYPPIGHPAGPGPGGMMIGRPAMDPTGVYVQPPSQAWQSVWQRAAAEDGSYGSGGSSGQGNLDGQGLWQSWDTVVYGKTHLDF >Manes.14G050900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4355947:4359694:1 gene:Manes.14G050900.v8.1 transcript:Manes.14G050900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSQQVQSASYPPQPPASGAPAPSAPTPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEAGLGGMVGATASGVPYYYPPIGHPAGPGPGGMMIGRPAMDPTGVYVQPPSQAWQSVWQRAAAEDGSYGSGGSSGQGNLDGQG >Manes.11G007250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:821493:834920:1 gene:Manes.11G007250.v8.1 transcript:Manes.11G007250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALENKETIQRSCEASLKCLPSGLPCGNSVDGFPELKEETNACSGGDVVEPVHSISSEFLEHPSEFQSKPTYHHDFGSWSTFYPESQKAQQCQMNCFENQVYPFPMETRFQYAPSNIYSQGYPYEFQFQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFCEVFGGVRCNLKEAVEMAGLTWQGRAHCGLDDAKNTARLLALLMCRGIKFSITNSLIWQTTDGSLSWKPSVDHLSPLQQPYKLREMHIPVFQYHPFCYCGVKSSKGMVRKPGPKQGSLFFGCGNWSAARGARCHYFEWAST >Manes.16G024250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2611121:2611596:1 gene:Manes.16G024250.v8.1 transcript:Manes.16G024250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSWLASSILPPAQPPIRQVGLTEEQAMKGYGDINSAQQISGR >Manes.07G136300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33880809:33881585:1 gene:Manes.07G136300.v8.1 transcript:Manes.07G136300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIARRSSLNPNAPLFVPLAYRAVEDFSDQWWTLVHSSPWFRDYWLRECFQDPQCDSLINDVCDFDSLFFDSGVEDTGILKPEEEEEHSKDLVSMGLMKWQKGRAQLAQAPRHVEKAPKIVNVKVSPRMIQQPR >Manes.07G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33880778:33881641:1 gene:Manes.07G136300.v8.1 transcript:Manes.07G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIARRSSLNPNAPLFVPLAYRAVEDFSDQWWTLVHSSPWFRDYWLRECFQDPQCDSLINDVCDFDSLFFDSGVEDTAEEEEEHSKDLVSMGLMKWQKGRAQLAQAPRHVEKAPKIVNVKVSPRMIQQPR >Manes.07G136300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33880775:33881641:1 gene:Manes.07G136300.v8.1 transcript:Manes.07G136300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIARRSSLNPNAPLFVPLAYRAVEDFSDQWWTLVHSSPWFRDYWLRECFQDPQCDSLINDVCDFDSLFFDSGVEDTEEEEEHSKDLVSMGLMKWQKGRAQLAQAPRHVEKAPKIVNVKVSPRMIQQPR >Manes.07G042083.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4869334:4869579:-1 gene:Manes.07G042083.v8.1 transcript:Manes.07G042083.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSALSTSIVAFCLIRALAYSMRSASQGSVSGPSLCNPNSSSAMLNSSLMISLLRYSRGRRKRLFFICRVHNKITFLSY >Manes.04G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28621107:28626365:1 gene:Manes.04G084700.v8.1 transcript:Manes.04G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKSMALSSPTTPLQNPFLKKKFISSFIFKNPPYFSLPLPLSPPSLRISYRTSQHFSQFRTACTAGSASEVTDDELGNVSEYGGSEALVSGSEREYEKVEVVRSKRKELAGKSFWKQIKEIMMFSGPATGLWICGPLMSLISTAVIGRGSSTELAALGPGTVFCDNMNLLFMFLSIATSNMVATSLAKRDKKEVQHQISILLLVGLICGILMLLFTQFLGSWALTAFAGPKNLHIVPAASKYVQIRGLAWPAILYGLVCQSSSLGMKDSLGPLNALVVASAVNAIGHLVLCSWLGYGIIGAAWATMTSQVIAAYMMTEALNKKGYNAFAISIPSTDEFSQIFSIAAPVFVTMFSKVAFYSLMTYFATAKGTFTVAAHQVMIQMYGMCVVFGEPLSQTAQSFMPELLYGVERSLEKAQMLLKSLMIVGAILGVVIASAGAFIPWLFPNIFTHDLNVIQEMHKVLILFFIALSPTPCTHSLEGTLLAGRDFKFISLSMSGCFSLGGLLLLLVSSRGYGLPGCWCALVAFQWGRFFFVLQRLLSPRGMLSSNDTTEFKLGRLKAA >Manes.15G113800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9029233:9030288:-1 gene:Manes.15G113800.v8.1 transcript:Manes.15G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKALVLHLIMLQTCKIAATNATDEEWKTATATYTKEQFGSITIEGACGYGDIHRATYGKYSAGLSSMLFNKGSTCGACFEVRCVDHILWCLQGSPSIILTATDFCPPNYGLSADYGGWCNFPKEHFEMSEAAFTEIAERKADLIPIQYRRVKCERKGGVRFTASGSFNFLQVLITNVGVDGEVVAVKVKGSRTGWIPMARNWGQNWQSNVNLVGQPLSFEVTTSSRTLTAYNVAPANWQFGQTFEGKQF >Manes.18G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3380386:3384998:-1 gene:Manes.18G038300.v8.1 transcript:Manes.18G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSYDDEAFCHNELLLDPEEASVFDLICLLFSSDIKSRRFVECPEEQRRRDFSHRWLIFISVVAQKFLLYNRKPLAQIGDAIETWLNLLSSNGGLFTLMLKFLTGKVTWPDRSSAMFTSVVGNLDRRVELDRSIKAGERKYKPALALMAAKLSYENEAFINSIVTDHWNMEFLGFYNFWNEYQRLPSTKAFILQDTNSDPNLIVVAFRGTNPFDANAWCTDVDISWYELQGIGKIHRGFMNALGLQNNGWPNEITQPNGRLYAYYEIRRVLRDLLSKNEKAKFIVTGHSLGGALAILFVGVLAMHKEELLLDKMEGVYTFGQPRVGDRLFGSFMEDGLKKNDVRYLRFVYSNDMVPRLPYDDSTLLYKHFGPCLYYNSCYQGKVLWEEPNKNYFNLFWVIPKNLNAVWELIRSFIIPCVKGRNYREGWFMKLFRIIGLVIPGLSAHVLQDYNNSARLGSLPQLELHQH >Manes.18G141951.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24903809:24919112:-1 gene:Manes.18G141951.v8.1 transcript:Manes.18G141951.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGGSAKALSVLVFLLLLISFSLSASSDSGRVSLALYYESLCPYSANFIVNDLVELFEDEELFSIVDLHLSPWGNAKLKSNDSFVCQHGPSECLLNTVEACAIHVWPQLEDHFPFIYCIEALVYDRKFTQWESCFETLGLDPKPIADCYSSGYGKELELKYAAETNALRPPHQYVPWVVVDGQPLYEDYENFISYICKAYNSTAHATACSEASHYTTQKGKGRPDAPVSYKERKMSTLSEQIISAISLWMKKTLGHDSI >Manes.09G009700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2040088:2040809:1 gene:Manes.09G009700.v8.1 transcript:Manes.09G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYYFFPTDFFYPRPQSSVHADTAQKSAVSLQIQKPDISKDLKNPTSLSLVLYTNNHPHKASAAINITKSS >Manes.03G035050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2950905:2955821:-1 gene:Manes.03G035050.v8.1 transcript:Manes.03G035050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRNRGNRRNNARRNQQGQGRNGDEINVDGIEQISEEGNNSSQPSVNVEHGSEGGTGESSGSYSVTGTLRLPPEDGIIGTAANPCHVIRVREGFEYRIKYCDVIWKFIMLILAIGAVAIMFNNMELNGVHYEQDVKPSMGSNITFNDVKGVDEAKAELEEIVHCFRDPERFTRLGAKLPKGILLAGPPGTGKTMLARALAAEVGVPFFSSSGREFQDYKREGARRVRKLFSAAKKQSPCIIFIDELDAIGGARSSNEPQQMRMTLNQLLFEMDGFKQNEGIVVLAATNFLQSLDKALIRPGRFDRHVVVRKPDFEGRRQILEVHMSKVPREGDVDLTIIARGTPGFSGAELANLVNSAAFKAAIDGAEEVAMAHLEYAKDKIIMGTERRSAMISEKHRNLTAIHESGHAIAAIHVDGALPVHKVTITRRGNSFGMVALLPDKDEINISKKQMFARVVVSMGGRAAEEVIFGEDEVTSSASSDFEQATALAIVMVTEYGMSKETGLAYLNYDDDATKLSPDSRLVIEKEVRKLLEKAYSTAKRIVTTHRKELSALANALLEHETLTGSQIEALLSQKL >Manes.02G033000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2729785:2745894:-1 gene:Manes.02G033000.v8.1 transcript:Manes.02G033000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSDSSSYGAEYKNFRQISRERLLHEMLRSAKAGDSKSTWKVLIMDKLTVKVMSYACKMADITQEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVVMFLSDMSGKSPLYKKAFVFFCSPISRELVAHIKKDTSALPRIKALREMNLEYFAIDSQGFITDNERALEELFGDEEDSRKGDACLNVMATRIATVFASLREFPSVRYRAAKSLDVTTMTTFRDLIPTKLAARVWDRLMHYKQKIENFPQSETCELLILDRSVDPIAPIIHEWTYDAMCHDLLNMEGNKYVYEVPGKTGGPPEKKEVLLEEHDPVWLELRHAHIADASERLHEKMTNFVSKNKAAQIQHSSRDGELSTRDLQKMVQALPQYSEQIEKISLHVEIAGKINRIIRDLGLRDIGQLEQDLVFGDAGTKDVIKFLTTKEDATRENKLRLLMILAAIYPEKFDSEKGLNIMRLAKLPEDDMNAVNNMRLLGGSPESKKSSTGAFSLKFDIHKKKRAVRKDRTGEQETWQLSRFYPMVEELVEKLSKGELSKDEYPCLNDPSSTFHGASHAASMNQPPAPHSMRSRRTPTWARPRNSDDGYSSDSVLRHASSDFKKMGRRIFVFIVGGATRSELRVCHKLTNKLQREVVLGSSSLDDPPQFITKLKLLTAHELSLDDLQI >Manes.08G082633.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28596850:28597611:1 gene:Manes.08G082633.v8.1 transcript:Manes.08G082633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYRNAMALVFLFGFQSAILVLLSLFMVRWVFIWLLCFFSYLSSYSSFEIVFSFCFVGFVGLTSILGVYGGRRCMVASGLFWLVACRCRGARRGGGGTKWWNVLEQS >Manes.03G068098.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9611027:9612934:1 gene:Manes.03G068098.v8.1 transcript:Manes.03G068098.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKPHVVCVPYPLQGHIIPMLRLAKLLHYKGFHVTFVNTEFNHDRILESRGHSALDGLPDFNFATIPLQTPPSNSHTSLAVNCLALLETCRKNFLPLFRELFTKLNDTSSSSSSNPPISCILSDAFLSYSLELSQELHIPNVLVWNMGASAVLSFKHVHEQIKKCLAFLIDPSNEAATNMDLDSVMEWIPGTKEAQLRDLSKFIKTKDQVDSSGVHLERASKASAVIFHTFDALDSEVLNSLSPMFQGVYSIGPLQLLLSQISDDCYDSIECNLWNEDFECIKWLDSKEPNSVIYVNFGSTTVMTMEQLVELAWGLANTNHNFLWITRPDLIIGDSAVLPPEFLLAIEERGFIVSWCPQVQVLNHPSTGGFITHCGWNSIEESISAGIPMICWPFFGEHFVNCRKSCNEWGIGVELSSNFQRDEVEKLVEELLNGQKGKMMKEKAMEWKKLSEEATSPNGSSFLSLNNLVNEVLLSKNNNNFS >Manes.14G126700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10048846:10061630:1 gene:Manes.14G126700.v8.1 transcript:Manes.14G126700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNDIKKSKSTIGTKYGLPSSKILPKLSERKGKAFEREEVGARGNKLGGRLVRNHAQIDKNTYNEIVQKKRFSSGSNQAFLDDSDDDLEIERAAFKSFEEHKDVSIRPRASRMEMEERIQKLAKCLNGADVDMPEWMFSKMMRSAKIKYTDHTILRVIQILGKLGNWRRVLQVIEWLQMRERFKSYRLRHIYTTALDVLGKAQRPTEALNIFHAMLQQMSSYPDLVAYHCIAVTLGQAGHMEQLFDVIDSMRSLPKKKFKMALLDKWDPRLEPDIVVFNAVLNACVQRKQWEGAFWVLQQLKQQGLQPSVSTYGLIMEVMYACGKYNLVHEFFRKAQKSSIPNAIVYKVLVSTLWKEGRIDEAVLAVQDMERRGIVGSAALYYDLARCLCSAGRCQEALLQVEKISRVANKPLVVTYTGLIQACLDSGNIQNAVYIFNQMKQFCSPNLVTCNIMLKAYIDHGLFADAKEIFHNMSEDSNSIRSRSDCKLRVMPDIYTFNTMLDACIAEKSWDDFEYVYGRMLQHGFHFNGKRHLRMILEASRAGKEEPLELTWKHLARTDRIPPPPLVKERFCRMLEKCNSSSALACIATTPSNPIEELHAFSKKSWLNFLKDNAQRFRRDTLIHLAHEASVLVARSNPPNPVLQNLLTACNDFLKTPGKVPEGNLAEIVCAVQS >Manes.14G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10048846:10061630:1 gene:Manes.14G126700.v8.1 transcript:Manes.14G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVITMTNGQMGFCSFEGSPYFNCGYSWKPHFNSRRPLFCFPLNTISSKKTRVFGFGLRIKAMSNEDLDNRLVGVGFLEKEFEFKPAFDEYLKAMESVKTAQEKKQVRKLSGRKLKDDSRGSSTTSLGKTERSAKLRYKGFMDQENMSKVRKSDELGDNVDGAIGQRDVNSHELDNKERETIESSVRLAGRESRADASVKRRERRVTEDRQWLLDQTHSTDAELEDLYQGTSKKTQSGQRIHVVVNDIKKSKSTIGTKYGLPSGKILPKISERKGNAFEREEVGARGNKLGGRLVRNHAQIDKNTYNEIVQKKRFSSGSNQTFLDDSDDDLETERAAFKSFEEHKDVSIRPRASRMEMEERIQKLAKCLNGADVDMPEWMFSKMMRSAKIKYTDHTILRVIQILGKLGNWRRVLQVIEWLQMRERFKSYRLRHIYTTALDVLGKAQRPTEALNIFHAMLQQMSSYPDLVAYHCIAVTLGQAGHMEQLFDVIDSMRSLPKKKFKMALLDKWDPRLEPDIVVFNAVLNACVQRKQWEGAFWVLQQLKQQGLQPSVSTYGLIMEVMYACGKYNLVHEFFRKAQKSSIPNAIVYKVLVSTLWKEGRIDEAVLAVQDMERRGIVGSAALYYDLARCLCSAGRCQEALLQVEKISRVANKPLVVTYTGLIQACLDSGNIQNAVYIFNQMKQFCSPNLVTCNIMLKAYIDHGLFADAKEIFHNMSEDSNSIRSRSDCKLRVMPDIYTFNTMLDACIAEKSWDDFEYVYGRMLQHGFHFNGKRHLRMILEASRAGKEEPLELTWKHLARTDRIPPPPLVKERFCRMLEKCNSSSALACIATTPSNPIEELHAFSKKSWLNFLKDNAQRFRRDTLIHLAHEASVLVARSNPPNPVLQNLLTACNDFLKTPGKVPEGNLAEIVCAVQS >Manes.02G096000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7493066:7499931:1 gene:Manes.02G096000.v8.1 transcript:Manes.02G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFPHSRQPNMLSKKSCNGSTTKSIYDDVFGGPPRFGTPTLSPRVEDYREIFGGFHASRASSIPVLDLPLVDEAAEVFFDVRSSGFDYGEVFGGFNDHDFAVSYNELAMKEQSNGLGDDSSSDEAWAPAEAENLSDESNNSAKDQCLLNGDSYDSPDGNMGFNLSCNKVSQSSNGNLSNGITHMTELHSVSGYTFVVDKTSSLPNTDYEYHHMQASNDDHLNIHYSGEMLSRRHLRKVMSHPANGTNTDVLFFGNDVRPHREFVRNGSLPNEMFVTISDVSLRTHPSHLPPPSRPPPALDVFRTGSGKTTTNYRSVASEGTAGDSSPPYFDVEVDASSPAAASAAAMKEAMDKAQAKLKSAKETMERKRDGFQNRVKSGSKTDRKDKEEKLTNIFNGSCSRKDEKGQGIIKKEQSGMEFSILEDMQKVKKTMQPISDSLGGKKYNMAKKSAEDNHGAESSSSQGSDGDGDGEWNEATQCFELLTNNSRKAFDQENDENVLVNNSTFHEHGKNEKEGAMEALQQPQRNDKKVKAVRTDHGLVDYKKKLEESKEAFEWDKSRGRSEVVNGQKGLHKKVQGAQEAFKQEGNEKKFNMDLNPVETEKQQPRADDLQEHENYVQVQRIESKIAVRQTMKHKEKGSKLKEDTKSMENVKKFTCEKDGSERRERKAFKLEENEKKLNAPVEQADNGRRLNKELEEEKENMIEAVHELEENEKIQGEPYEREEKEKRLRDTLRQEEKGRRLKEGLDLEEKERKWKETHEKERLRRQRKAVEWEENEKRQREVHEREENEKRLREAQEKEKSERKLKENVEKEERQRRLREAVEWEENVKREREENEKRLKEILEEENEGRVKAAVECEESERRQRKAHERKETKKREAQEKEENEKRCKETSEKEEIEQEASEREDGKRLEEVCEKQECTTSRCAHKAEGSEAALKEVCWAEEIGTSSQSAHKWDETEAKHTSLGESEKQKALKMVEDHSILNQACKLDDILSVASTQLAGENEGNSKKLEVTKEIANEETSKTMNELRNGEQEVASGIAQPNLEHEKSHFLMEDATDIEWKTIGKIRSEEDHWKNFGVDPDIGNQGKNFPCDKNEGRKNIEQYQASLNPEINKVNIKSARAVQESVDTGRKTEGSQPAILEVKRSTCRTAQQVHATRSNERKVNNSHETILSEDKDAERMKRERELEKEHLRKMEEEREREREKDRMAVDRATLDTREGANAEAHERADRAAMERATAEARQRALNEARERLEKACAEAREKSLSNKASMEARFQAEGAAIERATAEARERAFEKAMADRAAFEAGERVDISVSDKFSASSRNNGMRASTSSSDLHDLQSRGSGSFSSSRYQSSSVYSEGFEGVEGESAQRYKARLERHRRTAERAAKALAEKNMRDLLVQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGSGSGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGANIQQKYICEKVFDLLKEAWNKFNSEER >Manes.13G125800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33316153:33320063:1 gene:Manes.13G125800.v8.1 transcript:Manes.13G125800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSVSESNPRSVDRPYTEIVVVRHGETEWNADGRIQGHLDVDLNEVGRQQASKVADRLSRESKISAVYSSDLKRACETAKTIAACCGGVEVKFQVIRDADLRERNLGDLQGLVLHEAAKVSPAAYQAFLSHRTNQDIPGGGESLDQLYRRSTSALQRIARKHRGERVVVVTHGGVIRALYRRACPNNKPTAKVLNTSINIFHLFDGDKWTVQIWGDVSHLDQTEYLASGFGGDRTSG >Manes.13G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33316138:33320394:1 gene:Manes.13G125800.v8.1 transcript:Manes.13G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSVSESNPRSVDRPYTEIVVVRHGETEWNADGRIQGHLDVDLNEVGRQQASKVADRLSRESKISAVYSSDLKRACETAKTIAACCGGVEVIRDADLRERNLGDLQGLVLHEAAKVSPAAYQAFLSHRTNQDIPGGGESLDQLYRRSTSALQRIARKHRGERVVVVTHGGVIRALYRRACPNNKPTAKVLNTSINIFHLFDGDKWTVQIWGDVSHLDQTEYLASGFGGDRTSG >Manes.18G031533.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2633150:2638544:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIDLSLVGTAMLIFGVGLHVILVGPNHSKAKRQWLPESNFFGLFYLKFLPSWVQMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGRISNKGTSYS >Manes.18G031533.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2632725:2636250:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIDLSLVGTAMLIFGVGLHVILVGPNHSKAKRQWLPESNFFGLFYLKFLPSWVQMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGR >Manes.18G031533.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2635141:2636242:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIDLSLVGTAMLIFGVGLHVILVGPNHSKAKRQWLPESNFFGLFYLKMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGR >Manes.18G031533.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2635141:2636242:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIDLSLVGTAMLIFGVGLHVILVGPNHSKAKRQWLPESNFFGLFYLKFLPSWVQMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGR >Manes.18G031533.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2632725:2636250:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIDLSLVGTAMLIFGVGLHVILVGPNHSKFLPSWVQMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGR >Manes.18G031533.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2633150:2636250:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIDLSLVGTAMLIFGVGLHVILVGPNHSKFLPSWVQMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGR >Manes.18G031533.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2633150:2636250:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIDLSLVGTAMLIFGVGLHVILVGPNHSKAKRQWLPESNFFGLFYLKFLPSWVQMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGRISNKGTSYS >Manes.18G031533.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2633150:2636250:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIDLSLVGTAMLIFGVGLHVILVGPNHSKFLPSWVQMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGRISNKGTSYS >Manes.18G031533.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2635141:2636242:-1 gene:Manes.18G031533.v8.1 transcript:Manes.18G031533.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKAIIGCGFFTLFAIAGSLLSSVLCFLEGCSLILESYFHYFSSLSQSSDQGQMVQLLIEAIGPNHSKAKRQWLPESNFFGLFYLKFLPSWVQMESVTQAKYRIGYAAIMILQVGLLEKFKKVPLVTSLDLACFAGAVLVSSASIFDLSKLSVGDIGGEGR >Manes.01G012200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3571467:3572755:1 gene:Manes.01G012200.v8.1 transcript:Manes.01G012200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLKRLILDQNLSFGKEEKKQPSHLLSTKKIRIICHDPEATDSSSDEDEGQNERSNRLMGSKLFVREIKISLPPHESTAENSSQENNTNRGKITSNSNQKKTRILSSNYKGVRRRPWGKFSAEIRDPFRKVRLWLGTYTTAEEAAAAYRKKKQEFEMMMEARKLKKLSINTKLESEESSGLFSYPSPSSVLDVPTRTSLSHGIEAPIKEENNEEKTHEEISELKMVEERQSVLDLWEEPALSPSISQELLSGDYCSELGKFLNNVNNAEDFSMEVVDFFPMEGVMDLPDIELETLAFVEESLNFTCP >Manes.02G065700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5014979:5015891:1 gene:Manes.02G065700.v8.1 transcript:Manes.02G065700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFMIIIVCLLCANIFFSSSFIVARELKEIKDPGKRSNVPFNCGRGKRYCIPGSPPCGIYKRCGPRAPPPPPKK >Manes.03G203800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31920007:31921755:1 gene:Manes.03G203800.v8.1 transcript:Manes.03G203800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSENFNFHEVPDELSTTNKSSESIEEGNQGQLFGGEDWGESAGTDSNCADYVSLKANSSKEHLIFFSRHQESFLDSDILCGLEDDIFSPPLQKSLGDISRLGEIQNDAQDQPKKTKHDQFTLTSLGLLKSYVKGLKRLSSERIVKPTNDTPIIEVASQGFSTEGIMRIAAERFIQSFIKTVDVVSMLDSPFDISFSGLPDDEAKKLELAELLLASAEKVSNQQYDCARTLLNQCDCLSSNTGNAVERVVHYFCKALGRRIDQETGKIAFQGLEKQQFFNIDEAIMAPTSNILACYQEVPFSQVSHFAGIQAVVENVTEAKRIHVIDLGIRTGVQWTGLMQVLVSQSDFSLELLKITAVGTTLKRLIEDTGERLTSFAESIGLHFSFNTVMVSDILELKEDLFELDADETVVVYCEYLLRSLIPSPDRLDSMMKVIRNLNPTIMVVTEPEFNSTSPYFLNRFIKSLFYFSAYFDCLECCMRDNPNRMTIESLHFAEAIRKTVTTEGEEMKIQNATLEVWRAFFARFGMEETELSTSSLYLAELVAKNFACGSACTLGMDGKSLLIGWKGTAMHSLSAWKFI >Manes.03G195400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31354364:31367094:-1 gene:Manes.03G195400.v8.1 transcript:Manes.03G195400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKSLAFSPTEATIAMIQSSSSKVMSQRTCSSFSNAGLFYHRGSSLVKGFSFWNRRHNCTYSSGEFGSSKRRSRGPMMAAKKASEGEKQDGKYKHTVDLPKTTFGMRANALTREPEIQKLWEENQVFKRVVDKNDGGIFVLHDGPPYANGDLHIGHALNKILKDIINRYKILQNHKVHYVPGWDCHGLPIELKVLQSLDEDARKDLTPLKLRAKAAKFAKATVKTQMTSFKRYGVWADWDNPYLTLDPEYEAAQIEVFGQMALHGYIYRGRKPVHWSPSSRTALAEAELEYPEGHVSRSIYAIFRMVSATPTSSGLLEEFFPNLFLAIWTTTPWTVPANAAVAVNAKLQYAVVEMQFLEDVFTSSGNKKRRIGSVLREQKKPFLIVASDLVPTLEVKWGVKLVIKKTLSGSDLENCRYLHPIDNRECQVVIGGDYITTESGTGLVHTAPGHGQEDYVTGMKYGLPLLSPVDDDGKFTEEAPQFCGLDVLGDGNAAVVKYLDEQSSIVMEESYEHKYPYDWRTKKPTIFRATEQWFASVEGFRLAAMDAIGHVKWIPPQAENRISAMTSSRSDWCISRQRTWGVPIPVFYHVESKEPLMNAQTIDHIKSIIAQKGSDAWWYMKVEDLLPEKYRDRASDYEKGMDTMDVWFDSGSSWAAVLGKRNGLSYPADIYLEGSDQHRGWFQSSLLTSIATKGKAPFSSVITHGFVLDEKGFKMSKSMGNVVDPRSVIEGNAGGAPAYGADVLRLWVSSVDYTGDVMIGPQTLKQLSEIYRKLRGTLRYLLGNLHDWKPDNAVPYDDLPMIDKHALFQLENVVKHIRESYENYQFSKIFQIIQRFVIVDLSNFYFDVAKDRLYVGGSTTFTRRSCQTVLAEHLLSIVKVIAPILPHLAEDVWQNLPFPSAEDGSIAKFVFESKWPALNEKWLSFPNEEIDFWGKILEMRTEVNKVLEAARVGKLIGPSLEAKVCLHTPDASLASRLHEMCAASNEADALHRIFITSQAEVLTRLDNKLIETIPYTGEFLIQGSNRVWIGVSRAEGLKCERCWNYTAQVGSFAEHPTLCSRCYKVVAVQPEPAVAAVS >Manes.18G006885.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1017525:1017863:-1 gene:Manes.18G006885.v8.1 transcript:Manes.18G006885.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLTVLSDVVRKWTRGKRGHFVVYTKEGKRFVVPIYYLTHPIFKVLLEMAEEEFGTTAHGPLQVPCEEEFMEYIFTLLRKNPSAEVKTALIAMNSCEGISKSSLLSPPSS >Manes.01G054300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:22454770:22456851:-1 gene:Manes.01G054300.v8.1 transcript:Manes.01G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIASPSSSSSFMSFYQDSSPPLQQRLQFILQSRPEWWVYAILWQVSKDVTGRLVLSWADGHFRGAKEFAAKACNKQNQPKFGFNLERKMINKESQTPFSDDMDMDRFADVDVIDYEWFYTVSVTRSFHVEDGILGSTFGSGAFIWLTGSHELQMYECERVKEARMHGLQTLACISTSCGVIELGSSITIDRDWSLVQLCKSLFGGETACFVSKDLSHESQLHIPNTSFLDISVFSASQKETSTEKQNEAAKKKDATGQGRSSSDSARSDSDGNFAAGNTDRFKKRGRKQLNGKELPLNHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLADAVTYIKELKAKVDELESKLLAVSNKTQSTNVTDNQSTDSMIDHLGSSSMYKAKAVELEVKIVGSEAMIRFLSPDVNDPAARLMDVLREVEFKVYHASMSSIKEMVLQDVVARVPDGLTNEEVVRSAILQRMQS >Manes.09G076319.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12930187:12934089:1 gene:Manes.09G076319.v8.1 transcript:Manes.09G076319.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVGAASSTKIMGLDEQSNEEVSETKATEKTSPDGEEEHQHDEPKTGGISRQMSDGSVCPTEEEEEDEERKIELGPQYTLKEQLEKDKDDESLRRWKEQLLGSVDFNSVGETLDPEVKILSLAIKSPGRSDIVLSIPEDGNPKGIWFTLKEGSRYSLQFTFQVGNNIVSGLKYTNTVWKTGVKVDSAKEMLGTFSPQAEPYTHEMPEETTPSGMFARGNYTAKSKFVDDDNKCYLEINYTFDIRKEWLST >Manes.08G159800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39590673:39592405:-1 gene:Manes.08G159800.v8.1 transcript:Manes.08G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWFILLITISISVLTKILFNLLFSTKISSHKLPPGPPKFPVIGSLLWLTTSLYDLHLAISSLHSKFGPILTLHIGSLPAVFVADRRLAHQALVQNGAVFADRPPARGIEKINTSNQRTISSASYGSTWRFLRRNLASEILHPLRVKSYSHSRKWVLQLLKDRLESEFRGSGRICVMNHLQHAMFCLLAVMCLGDKVGEDDIEKIEVAHRRFLSNFVGFNIFSFCPSVTMVVFRNIWKKYLQIRQDQENTLIPLIRARKELKEEGLSKIKEGEFVLCYVDSLIDLQLPVEKRKLEEGEIVSLCSEFLSGGAETTTTALQWIMANLVKYPQIQEKLFRKIKEVVGEGEELIKEEDLQKMPYLKAVILEGLRRHPPAHMVVPHVVTEDTVIDKYLVPKNGTINFMVAEMGRDPKVWEDPMEFKPERFMSCDGEMVDVTGVKEIKMMPFGSGRRICPGYSLAMFHLEYFVANLVWSYEWKKLKGDEVDLSEQLEFSVGMQYPLQACISPRSIQMSTTSSVPDGFIFNKLHLS >Manes.09G008101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1863373:1864126:-1 gene:Manes.09G008101.v8.1 transcript:Manes.09G008101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKKREEARRRSDCEYARSGRSCSNHRWGGVYAGFWFGGSCIVVVGVPYFQVMWFHCEGAACLLMNLVR >Manes.11G145500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30995478:30996664:1 gene:Manes.11G145500.v8.1 transcript:Manes.11G145500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKSYFARQNYRFLSSDQNLHAPLTHDSAFELDESDVYNNSVATRSNSPEFHKAVQSSRLNKKSTATVATRLTDSGDRTAGTPSSLPVNIPDWSKILKEEYRENRKTDIHDDDDDVDGEDYFDGGARVPPHEFLARQMARTRIASFSVHEGVGRTLKGRDLSRVRNAIWEKTGFQD >Manes.09G126050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32895650:32897155:1 gene:Manes.09G126050.v8.1 transcript:Manes.09G126050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSRKTWGFELSVVILGLLALTQQSMQQSSKHPMHELIQRIHNQGPFFGLVLGSDADERALLANNAFKNIGEIIELAGRKFNAGTINGSSVIYVKAGDGPSINAAITAQLLVIFFAPKGIIHIGRAGTVNDSLSIGDVVVPKEVGFLGNWKWLRGKRGQLVFGEYNTPAPGGNLLGSISFQPTTLYATGKNKTTIFWLPLTSDWLEAASQLENLELEQCISKDKCLPKAPVILNGLRASSSDIYIQNKAYREFIYKQFKASTVDTQSAAEILVALSSDVPIIVFTGISNTAGGSSSHTSFSDLASVNAVKAAVAFIAAVGNSNSSLEVADN >Manes.08G005400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:943824:949362:1 gene:Manes.08G005400.v8.1 transcript:Manes.08G005400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDVICKKYDKYDLEKHKDLNVSGDDAFARLYAVVESDIEAALQKADLASKEKNKASAVALNAEIRRTKAKLLEEIPKLQRLAIKKVKGLSTEELAARNDLVLALPDRIQAIPDGTAAASKQTGGWGASASRTEIKFDSDGHFDSEYFQENETSSQFRQEYEMRRMKQDQGLDMISEGLDTLKNMAHDMNEELDKQVPLMDEIDTKVDKATADLKNTNVRLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYK >Manes.08G005400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:943874:948638:1 gene:Manes.08G005400.v8.1 transcript:Manes.08G005400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDVICKKYDKYDLEKHKDLNVSGDDAFARLYAVVESDIEAALQKADLASKEKNKASAVALNAEIRRTKAKLLEEIPKLQRLAIKKVKGLSTEELAARNDLVLALPDRIQAIPDGTAAASKQTGGWGASASRTEIKFDSDGHFDSEYFQENETSSQFRQEYEMRRMKQDQGLDMISEGLDTLKNMAHDMNEELDKQVPLMDEIDTKVDKATADLKNTNVRLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYKPIPANSTPFGAK >Manes.08G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:943584:950146:1 gene:Manes.08G005400.v8.1 transcript:Manes.08G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDVICKKYDKYDLEKHKDLNVSGDDAFARLYAVVESDIEAALQKADLASKEKNKASAVALNAEIRRTKAKLLEEIPKLQRLAIKKVKGLSTEELAARNDLVLALPDRIQAIPDGTAAASKQTGGWGASASRTEIKFDSDGHFDSEYFQENETSSQFRQEYEMRRMKQDQGLDMISEGLDTLKNMAHDMNEELDKQVPLMDEIDTKVDKATADLKNTNVRLKDTVNQLRSSRNFCIDIILLCIILGIAAYLYNVLKK >Manes.06G152100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27945039:27945677:-1 gene:Manes.06G152100.v8.1 transcript:Manes.06G152100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIACNKVQPVVRKVKKKQVKGELDRLKQAEKKKRRLEKALATSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDPCKIVLNEEDGFNTWHCAGSINLLMGEQRACLPHQDCLSHSHERVKWISNACGTGSEWSKMENGNWAFSCGSFRRDFHAPCLEDASWGTTEFSADVIAAQAVSSLQIAEDAHVDTIVFDGMVG >Manes.11G022200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2333723:2341901:1 gene:Manes.11G022200.v8.1 transcript:Manes.11G022200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTKDVSFRGQKAETLSKAPSKTLIIPGKEVVQVLAKDVSVTMDGINHEFQYGKQQELMIDSFISQSRHVEVERELEPWVPDGDDPQCPDLENIFNGPQNSRGWDQFETNEMLFGVKSTFDEELYTTKLQRGPQMRELEKKAMRMAREIEGEDTQDLHLAEERGIQLHEDFDIDEETRFSSVYRGIGIDDSGYDETEDIMLDSLNDETFGGTSAPSTMKYADLTHGKSNEGARCISSSSLDEAQCSQSSTSVDRHSGSYEHASQLASELPSKSVSTSQSESRILENFHGEQGANDSMGECIEEQNQEDAQLPTREDALISLNGKKEGSDKEFLSPTETAYASSSNVSSKTCEKTSSAEPLEVTPSAKGVGEVQPINSHGPPVSSASSNSDCVGTVSVSKGPGLSPSSSVGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPPSPDGSFYFQPNVPSLPHMHGMPMGIGIGPSFTSHQPVIFNPQVASLQTPQAYFHPGGPQYAQNMLVGHPRQVLYMPSYQPEMPYKGREF >Manes.11G022200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2331026:2341902:1 gene:Manes.11G022200.v8.1 transcript:Manes.11G022200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKYANGFGRRRVEKEGGVRLENKLQSGKSSLSRSMAGAKVGIHESPSRDRLVYLSACLIGHPVEVHLKNGSIYSGTCYTTNVEKEFAIILKMARLTKDVSFRGQKAETLSKAPSKTLIIPGKEVVQVLAKDVSVTMDGINHEFQYGKQQELMIDSFISQSRHVEVERELEPWVPDGDDPQCPDLENIFNGPQNRGWDQFETNEMLFGVKSTFDEELYTTKLQRGPQMRELEKKAMRMAREIEGEDTQDLHLAEERGIQLHEDFDIDEETRFSSVYRGIGIDDSGYDETEDIMLDSLNDETFGGTSAPSTMKYADLTHGKSNEGARCISSSSLDEAQCSQSSTSVDRHSGSYEHASQLASELPSKSVSTSQSESRILENFHGEQGANDSMGECIEEQNQEDAQLPTREDALISLNGKKEGSDKEFLSPTETAYASSSNVSSKTCEKTSSAEPLEVTPSAKGVGEVQPINSHGPPVSSASSNSDCVGTVSVSKGPGLSPSSSVGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPPSPDGSFYFQPNVPSLPHMHGMPMGIGIGPSFTSHQPVIFNPQVASLQTPQAYFHPGGPQYAQNMLVGHPRQVLYMPSYQPEMPYKGREF >Manes.11G022200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2330966:2342351:1 gene:Manes.11G022200.v8.1 transcript:Manes.11G022200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKYANGFGRRRVEKEGGVRLENKLQSGKSSLSRSMAGAKVGIHESPSRDRLVYLSACLIGHPVEVHLKNGSIYSGTCYTTNVEKEFAIILKMARLTKDVSFRGQKAETLSKAPSKTLIIPGKEVVQVLAKDVSVTMDGINHEFQYGKQQELMIDSFISQSRHVEVERELEPWVPDGDDPQCPDLENIFNGPQNRGWDQFETNEMLFGVKSTFDEELYTTKLQRGPQMRELEKKAMRMAREIEGEDTQDLHLAEERGIQLHEDFDIDEETRFSSVYRGIGIDDSGYDETEDIMLDSLNDETFGGTSAPSTMKYADLTHGKSNEGARCISSSSLDEAQCSQSSTSVDRHSGSYEHASQLASELPSKSVSTSQSESRILENFHGEQGANDSMGECIEEQNQEDAQLPTREDALISLNGKKEGSDKEFLSPTETAYASSSNVSSKTCEKTSSAEPLEVTPSAKGVGEVQPINSHGPPVSSASSNSDCVGTVSVSKGPGLSPSSSVGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPPSPDGSFYFQPNVPSLPHMHGMPMGIGIGPSFTSHQPVIFNPQVASLQTPQAYFHPGGPQYAQNMLVGHPRQVLYMPSYQPEMPYKGREF >Manes.11G022200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2330966:2342351:1 gene:Manes.11G022200.v8.1 transcript:Manes.11G022200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKYANGFGRRRVEKEGGVRLENKLQSGKSSLSRSMAGAKVGIHESPSRDRLVYLSACLIGHPVEVHLKNGSIYSGTCYTTNVEKEFAIILKMARLTKDVSFRGQKAETLSKAPSKTLIIPGKEVVQVLAKDVSVTMDGINHEFQYGKQQELMIDSFISQSRHVEVERELEPWVPDGDDPQCPDLENIFNGPQNSRGWDQFETNEMLFGVKSTFDEELYTTKLQRGPQMRELEKKAMRMAREIEGEDTQDLHLAEERGIQLHEDFDIDEETRFSSVYRGIGIDDSGYDETEDIMLDSLNDETFGGTSAPSTMKYADLTHGKSNEGARCISSSSLDEAQCSQSSTSVDRHSGSYEHASQLASELPSKSVSTSQSESRILENFHGEQGANDSMGECIEEQNQEDAQLPTREDALISLNGKKEGSDKEFLSPTETAYASSSNVSSKTCEKTSSAEPLEVTPSAKGVGEVQPINSHGPPVSSASSNSDCVGTVSVSKGPGLSPSSSVGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPPSPDGSFYFQPNVPSLPHMHGMPMGIGIGPSFTSHQPVIFNPQVASLQTPQAYFHPGGPQYAQNMLVGHPRQVLYMPSYQPEMPYKGREF >Manes.11G022200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2333723:2341902:1 gene:Manes.11G022200.v8.1 transcript:Manes.11G022200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTKDVSFRGQKAETLSKAPSKTLIIPGKEVVQVLAKDVSVTMDGINHEFQYGKQQELMIDSFISQSRHVEVERELEPWVPDGDDPQCPDLENIFNGPQNRGWDQFETNEMLFGVKSTFDEELYTTKLQRGPQMRELEKKAMRMAREIEGEDTQDLHLAEERGIQLHEDFDIDEETRFSSVYRGIGIDDSGYDETEDIMLDSLNDETFGGTSAPSTMKYADLTHGKSNEGARCISSSSLDEAQCSQSSTSVDRHSGSYEHASQLASELPSKSVSTSQSESRILENFHGEQGANDSMGECIEEQNQEDAQLPTREDALISLNGKKEGSDKEFLSPTETAYASSSNVSSKTCEKTSSAEPLEVTPSAKGVGEVQPINSHGPPVSSASSNSDCVGTVSVSKGPGLSPSSSVGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPPSPDGSFYFQPNVPSLPHMHGMPMGIGIGPSFTSHQPVIFNPQVASLQTPQAYFHPGGPQYAQNMLVGHPRQVLYMPSYQPEMPYKGREF >Manes.11G022200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2332110:2341901:1 gene:Manes.11G022200.v8.1 transcript:Manes.11G022200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKYANGFGRRRVEKEGGVRLENKLQSGKSSLSRSMAGAKVGIHESPSRDRLVYLSACLIGHPVEVHLKNGSIYSGTCYTTNVEKEFAIILKMARLTKDVSFRGQKAETLSKAPSKTLIIPGKEVVQVLAKDVSVTMDGINHEFQYGKQQELMIDSFISQSRHVEVERELEPWVPDGDDPQCPDLENIFNGPQNSRGWDQFETNEMLFGVKSTFDEELYTTKLQRGPQMRELEKKAMRMAREIEGEDTQDLHLAEERGIQLHEDFDIDEETRFSSVYRGIGIDDSGYDETEDIMLDSLNDETFGGTSAPSTMKYADLTHGKSNEGARCISSSSLDEAQCSQSSTSVDRHSGSYEHASQLASELPSKSVSTSQSESRILENFHGEQGANDSMGECIEEQNQEDAQLPTREDALISLNGKKEGSDKEFLSPTETAYASSSNVSSKTCEKTSSAEPLEVTPSAKGVGEVQPINSHGPPVSSASSNSDCVGTVSVSKGPGLSPSSSVGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPPSPDGSFYFQPNVPSLPHMHGMPMGIGIGPSFTSHQPVIFNPQVASLQTPQAYFHPGGPQYAQNMLVGHPRQVLYMPSYQPEMPYKGREF >Manes.11G022200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2332110:2341902:1 gene:Manes.11G022200.v8.1 transcript:Manes.11G022200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKYANGFGRRRVEKEGGVRLENKLQSGKSSLSRSMAGAKVGIHESPSRDRLVYLSACLIGHPVEVHLKNGSIYSGTCYTTNVEKEFAIILKMARLTKDVSFRGQKAETLSKAPSKTLIIPGKEVVQVLAKDVSVTMDGINHEFQYGKQQELMIDSFISQSRHVEVERELEPWVPDGDDPQCPDLENIFNGPQNRGWDQFETNEMLFGVKSTFDEELYTTKLQRGPQMRELEKKAMRMAREIEGEDTQDLHLAEERGIQLHEDFDIDEETRFSSVYRGIGIDDSGYDETEDIMLDSLNDETFGGTSAPSTMKYADLTHGKSNEGARCISSSSLDEAQCSQSSTSVDRHSGSYEHASQLASELPSKSVSTSQSESRILENFHGEQGANDSMGECIEEQNQEDAQLPTREDALISLNGKKEGSDKEFLSPTETAYASSSNVSSKTCEKTSSAEPLEVTPSAKGVGEVQPINSHGPPVSSASSNSDCVGTVSVSKGPGLSPSSSVGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQTPVRPPSPDGSFYFQPNVPSLPHMHGMPMGIGIGPSFTSHQPVIFNPQVASLQTPQAYFHPGGPQYAQNMLVGHPRQVLYMPSYQPEMPYKGREF >Manes.08G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26108829:26112692:1 gene:Manes.08G084500.v8.1 transcript:Manes.08G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGILSSKEKRWSLKGMTALVTGGTRGIGHAIVEELAEFEVAVHTCSRNQEELDQCLQEWKNKGFKVTGSVCDMIHRNQREKLMQTVSSIFHGKLNILVNNAGICITKDAVDHTDEDIFSTMSINFESVFHVCQLSYPLLKASGYGSIVNISSNSSAMAIPLLSVYEASKGAVNQITKHLACEWAKDNIRVNAISPGLIRTTLYDIGQEYPKIAGFLNRYVTQTPISRPGEPHEISSMVAFLCFPTASFITGQVIVIDGGFTVNGFCRPNI >Manes.06G152150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27945870:27946028:-1 gene:Manes.06G152150.v8.1 transcript:Manes.06G152150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCKGCGKLGRMVPRDESVNVYHFSLLLSPVVSVWDCVVRKMRYSFRPEWV >Manes.10G137511.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30565096:30567432:-1 gene:Manes.10G137511.v8.1 transcript:Manes.10G137511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSITERVKYMWEHWNIRVVVQFSLALQILLIGLAPSRKWTSNKIVNLLVWSSYLLADATANFAVGLIFNGKSSPPDDVSPAENSQLLAFWAPFLLLHLGGPDTITAFALEDNQLWLRHLLAFIVQVATTGYVFFRNLRKNKVIIPTALLFLAGTIKYLERTYSLYLASKDKFRASMLRKPDPGINFAKFAEAVASKKPEERPEVKTENPEEIPEVKTENQREATDFCLHNRKLEDLDVLQEAHKYFKIFKGLIVDIIYSFQERDKSRYVFNSISAEDAFKVIAAELNFLYDVLYTKVVVVHSMLGLDGVSILMAIFSNWTIVAVLESLPSPEDRSHSVLRLFSKFLIHWVLRVFRNFLILKRPKMYVCEPDKHTTLRTCIPFRSWSESVPGYNLIRYCLKQRPRKIHNVMHSIQRVICYLGIGKIASQCINISSRKVIQFLCIDKVIQFLCIDKVIQCLNSLKRGLIDLVGLKDFLDELKYASSKPLTPELWAFIFGELQMKSRLIEDPETARKICSARGEWVLQSHGLDKNGSDLMDYVVDVRYDESVLMWHIATELLCNDKKGIDNCSNEREFSKILSDYMLYLLIMQPTMMAAVAGISKLRFEDTCADAKRFFKKRGIRSNNLKKACRLILEVNTEFKPAELKRDGSLSVLFTASMLAKELRKLREQKWKILSQVWVEMLSYAASHCNATSHAVQLSKGGELVTFVWLLMSQFGVGNQFQSNYSLLLQG >Manes.10G137511.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30612051:30614602:-1 gene:Manes.10G137511.v8.1 transcript:Manes.10G137511.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSISERVKYMWEHWNIRVAVQFSLALQILLIWLAPSRKWTSNKFVNLLVWSSYLLADATADFAVGLIFNGRSSPPDDVSPAENSKLLAFWAPFLLLHLGGPDTITAFALEDNQLWLRHLLAFIVQVAATGYVFFRNLRKNKVIIPTALLFLAGTIKYLERTYSLYLASKDKFRDSMLRKPDPGINFAKFAEVFASEKPEELPAMEAENQREATVTDGLNKRKLEDLDVVQEAHKYFKIFKGLIVDIIYSFEERDKSRDFFNSISAEDAFKVIAAELNFLYDVLYTKVVVVHSMLGLDGVSILMAIFSNWTIVAVLECMPRPEDRSHWVLHLFSKFLKHTTLLTCIPFRSWSESVPGYNVIRYCLKQRPRKIHNVMHSIQRVICYLGIDKIANQCCVIINKVGQCINISSRKVIQFLFIHYQVYEYIEDNQVFEYIQAWFDKVIPCLNSFKKGLIDLVGLKDFLDELKYASSEPLTVELWAFIFSELQMKSWLIDDPETARKICSARGEWVLQRHGLDKNGSDLMAYVVDVTYDESVLMWHIATELLCNDKKGIDNCSNEREFSKILSDYMLYLLIMQPTMMAAVAGISKLRFEDTCADAKRFFKKRGIRPNNLKKACRLILEVNTEFKPAEVKRDGSLSVLFTASMLAKELRKLREQKWKILSQVWVEMLSYAASHCNATSHAVQLSKGGELVTFVWLLMSQFGVGNQFQSNYSLLLQG >Manes.10G137511.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30565096:30614441:-1 gene:Manes.10G137511.v8.1 transcript:Manes.10G137511.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSISERVKYMWEHWNIRVAVQFSLALQILLIWLAPSRKWTSNKFVNLLVWSSYLLADATADFAVGLIFNGRSSPPDDVSPAENSKLLAFWAPFLLLHLGGPDTITAFALEDNQLWLRHLLAFIVQVAATGYVFFRNLRKNKVIIPTALLFLAGTIKYLERTYSLYLASKDKFRDSMLRKPDPGINFAKFAEVFASEKPEELPAMEAENQREATVTDGLNKRKLEDLDVVQEAHKYFKIFKGLIVDIIYSFEERDKSRDFFNSISAEDAFKVIAAELNFLYDVLYTKVVVVHSMLGLDGVSILMAIFSNWTIVAVLECMPRPEDRSHWVLHLFSKFLKHTTLLTCIPFRSWSESVPGYNVIRYCLKQRPRKIHNVMHSIQRVICYLGIDKIANQCCVIINKVGQCINISSRKVIQFLCIDKVIQFLCIDKVIQCLNSLKRGLIDLVGLKDFLDELKYASSKPLTPELWAFIFGELQMKSRLIEDPETARKICSARGEWVLQSHGLDKNGSDLMDYVVDVRYDESVLMWHIATELLCNDKKGIDNCSNEREFSKILSDYMLYLLIMQPTMMAAVAGISKLRFEDTCADAKRFFKKRGIRSNNLKKACRLILEVNTEFKPAELKRDGSLSVLFTASMLAKELRKLREQKWKILSQVWVEMLSYAASHCNATSHAVQLSKGGELVTFVWLLMSQFGVGNQFQSNYSLLLQG >Manes.11G158900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32463492:32469514:1 gene:Manes.11G158900.v8.1 transcript:Manes.11G158900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRRAYRPYTEPGSSPRQASRGRDPLVQETWQCSRSYRSLGPSWPEHDRSRFIHGSEEQLQTGDVRTRSPPQPRNPEYENIGGNRFSSVRNWSKDPVPVETGRFVDMGLVRRGEYGEERNRQKQPQSGLSESLLGTRRESTSTKFQWDKLLAYKKPTNASTNGNNIGGFCDSDVVPNSMSMERGGGGSSRTCFSPRMDPTRSRRNYGLIDGGYTSPSPLGIFEVSRKNTSIVDSIVNRIEGNNDIYYHPSLRDNMMRERKILLENGTFLGEDEKNLDCKSLNLNPENLQFVSESAFERHTGGNGSYGDGFESLPISYEEFYPIGSSSQRPFTPDKASEMRINSSLDIEMNSDDRRIMLSCDQNAFDEIPDSSCGDGDWTYEDMEQLPMLENSGWEEYQGSSTRRMIDEMAIYGNLSSGSALSSKYLVLPKQRRSSKSVKPSKNDIKKRLGPARRSVKQRLGPAPNAGKSLGLSPKVKKKLPWFKIQKEMTQEKFEENLNVQELKSLEVKKQNARTEPPEDSEDFMLRVQNAFLKFVKVLNENSTNRRKYLDQGGAGSMKCSICGSTKEFADTISLAKHAFTSNRVGYRYEHLGFHKALCVLMGWNHTGGPNGQWALDVLPSTEALSLKEDLIIWPPVVLIHNSSISNYNLSERIIVSIEGLKDILSGMGCDQGMMNLYRGKAAKQSTMVVSFTGTFSGLREAEKLHRLFGEKKHGRAELEQFGSSCCKNYRQETLLLAVDKVESVLYGYLGIASDLDKLDFETKKRCVVKSKKDIEAIADASQINAEG >Manes.11G158900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32463492:32469513:1 gene:Manes.11G158900.v8.1 transcript:Manes.11G158900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRRAYRPYTEPGSSPRQASRGRDPLVQETWQCSRSYRSLGPSWPEHDRSRFIHGSEEQLQTGDVRTRSPPQPRNPEYENIGGNRFSSVRNWSKDPVPVETGRFVDMGLVRRGEYGEERNRQKQPQSGLSESLLGTRRESTSTKFQWDKLLAYKKPTNASTNGNNIGGFCDSDVVPNSMSMERGGGGSSRTCFSPRMDPTRSRRNYGLIDGGYTSPSPLGIFEVSRKNTSIVDSIVNRIEGNNDIYYHPSLRDNMMRERKILLENGTFLGEDEKNLDCKSLNLNPENLQFVSESAFERHTGGNGSYGDGFESLPISYEEFYPIGSSSQRPFTPDKASEMRINSSLDIEMNSDDRRIMLSCDQNAFDEIPDSSCGDGDWTYEDMEQLPMLENSGWEEYQGSSTRRMIDEMAIYGNLSSGSALSSKYLVLPKQRRSSKSVKPSKNDIKKRLGPARRSVKQRLGPAPNAGKSLGLSPKVKKKLPWFKIQKEMTQEKFEENLNVQELKSLEVKKQNARTEPPEDSEDFMLRVQNAFLKFVKVLNENSTNRRKYLDQGGAGSMKCSICGSSTKEFADTISLAKHAFTSNRVGYRYEHLGFHKALCVLMGWNHTGGPNGQWALDVLPSTEALSLKEDLIIWPPVVLIHNSSISNYNLSERIIVSIEGLKDILSGMGCDQGMMNLYRGKAAKQSTMVVSFTGTFSGLREAEKLHRLFGEKKHGRAELEQFGSSCCKNYRQETLLLAVDKVESVLYGYLGIASDLDKLDFETKKRCVVKSKKDIEAIADASQINAEG >Manes.13G057800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6660519:6663006:-1 gene:Manes.13G057800.v8.1 transcript:Manes.13G057800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRLLWFAMGFSVSAAAIGHFVWRDLLAERYTLPYQMQQAFDSLEVRVSNLESGSPMNSNPPQVEG >Manes.11G067100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9564194:9571472:1 gene:Manes.11G067100.v8.1 transcript:Manes.11G067100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPSSGKTTLLLALAGKLDPKLKFSGRVIYNGHEMNEFVPQRTAAYISQYDTHIGEMTVRETLAFSARCQGVGHRYEMLTELLRREKESKIKPDSDIDVFMKAIATQGQETSVITDYVLKILRLEVCADIRVGNEMLRGVSGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSIKQYIQILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREHVLEFFEFMGFKCPERKGVADFLQEVTSRKDQQQYWARKDEPYNFVRIKEFAEAFQSFHVGRHLQNDLSTPFDKAKSHPAALTTKRYGVGKMELLKACFSREILLMKRNSFVYTFKLTQLAIMALVAMTLFLRTEMHRDSVTDGGVYVGSLFFSVAFNMFNGLSDISMTIAKLPVFYKQKNLLFYPAWAYSIPPWITRIPLTLAQVSIWVFLTYYVIGYDPNVGRLYRQYLLLVLVSQMASALFRFIAAAGRTMIVANTFGSFALLVLFALGGFILSPDDIKKWWTWGYWISPLMYGQNAIVVNEFTSKSWSQVLPNSTEPLGIQVLKSRGFFTNAYWYWIGVGALVGFTLLFNLCFTLALTFLGPLRKPQAVISEESQCNEPGIGRKTTKESGRGSSTLWAEAIDNDKHQNKRGMVLPFEPHSITFDEIRYSVDMPQEMKNQGVTEDKLELLRGVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIKGNITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLCSAWLRLSHEVSSETRKMFIEEVMQLMELQPLRQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKKGGQEIYVGPLGPHSCHLIKYFEGIEGVPKIKDGCNPATWMLEVTSSAQESVFGVDFTAIYGNSELYRRNKGLIERFSTPSPESTELYFPTQYSQSFFTQCMACLCKQHWSYWRNPPYTAVRLLFTTVIALMFGTMFWDLGSKKTKRQDLFNAMGSMYAAIVFLGIQNASSVQPVVAVERTVFYRERAAGMYSPLPYAFAQVLIELPYVFIQSVVYGLIVYAMIGFEWTAAKFFWYLFFMYFTLLYYTFYGMMSVAVTPNQHIASIVSSAFYSIWNLFSGFIIPRPRIPVWWRWYAWACPVAYTLYGLVSSQFGDIKHTLESGETVEDFVRSYFGFKHELLGAVAVAVFGFATLFAFIFAISIKFFNYQRR >Manes.11G067100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9563892:9571472:1 gene:Manes.11G067100.v8.1 transcript:Manes.11G067100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPSSGKTTLLLALAGKLDPKLKFSGRVIYNGHEMNEFVPQRTAAYISQYDTHIGEMTVRETLAFSARCQGVGHRYEMLTELLRREKESKIKPDSDIDVFMKAIATQGQETSVITDYVLKILRLEVCADIRVGNEMLRGVSGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSIKQYIQILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREHVLEFFEFMGFKCPERKGVADFLQEVTSRKDQQQYWARKDEPYNFVRIKEFAEAFQSFHVGRHLQNDLSTPFDKAKSHPAALTTKRYGVGKMELLKACFSREILLMKRNSFVYTFKLTQLAIMALVAMTLFLRTEMHRDSVTDGGVYVGSLFFSVAFNMFNGLSDISMTIAKLPVFYKQKNLLFYPAWAYSIPPWITRIPLTLAQVSIWVFLTYYVIGYDPNVGRLYRQYLLLVLVSQMASALFRFIAAAGRTMIVANTFGSFALLVLFALGGFILSPDDIKKWWTWGYWISPLMYGQNAIVVNEFTSKSWSQVLPNSTEPLGIQVLKSRGFFTNAYWYWIGVGALVGFTLLFNLCFTLALTFLGPLRKPQAVISEESQCNEPGIGRKTTKESGRGSSTLWAEAIDNDKHQNKRGMVLPFEPHSITFDEIRYSVDMPQEMKNQGVTEDKLELLRGVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIKGNITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLCSAWLRLSHEVSSETRKMFIEEVMQLMELQPLRQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKKGGQEIYVGPLGPHSCHLIKYFEGIEGVPKIKDGCNPATWMLEVTSSAQESVFGVDFTAIYGNSELYRRNKGLIERFSTPSPESTELYFPTQYSQSFFTQCMACLCKQHWSYWRNPPYTAVRLLFTTVIALMFGTMFWDLGSKKTKRQDLFNAMGSMYAAIVFLGIQNASSVQPVVAVERTVFYRERAAGMYSPLPYAFAQVLIELPYVFIQSVVYGLIVYAMIGFEWTAAKFFWYLFFMYFTLLYYTFYGMMSVAVTPNQHIASIVSSAFYSIWNLFSGFIIPRPRIPVWWRWYAWACPVAYTLYGLVSSQFGDIKHTLESGETVEDFVRSYFGFKHELLGAVAVAVFGFATLFAFIFAISIKFFNYQRR >Manes.11G067100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9564194:9571472:1 gene:Manes.11G067100.v8.1 transcript:Manes.11G067100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPSSGKTTLLLALAGKLDPKLKFSGRVIYNGHEMNEFVPQRTAAYISQYDTHIGEMTVRETLAFSARCQGVGHRYEMLTELLRREKESKIKPDSDIDVFMKAIATQGQETSVITDYVLKILRLEVCADIRVGNEMLRGVSGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSIKQYIQILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREHVLEFFEFMGFKCPERKGVADFLQEVTSRKDQQQYWARKDEPYNFVRIKEFAEAFQSFHVGRHLQNDLSTPFDKAKSHPAALTTKRYGVGKMELLKACFSREILLMKRNSFVYTFKLTQLAIMALVAMTLFLRTEMHRDSVTDGGVYVGSLFFSVAFNMFNGLSDISMTIAKLPVFYKQKNLLFYPAWAYSIPPWITRIPLTLAQVSIWVFLTYYVIGYDPNVGRLYRQYLLLVLVSQMASALFRFIAAAGRTMIVANTFGSFALLVLFALGGFILSPDDIKKWWTWGYWISPLMYGQNAIVVNEFTSKSWSQVLPNSTEPLGIQVLKSRGFFTNAYWYWIGVGALVGFTLLFNLCFTLALTFLGPLRKPQAVISEESQCNEPGIGRKTTKESGRGSSTLWAEAIDNDKHQNKRGMVLPFEPHSITFDEIRYSVDMPQGVTEDKLELLRGVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIKGNITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLCSAWLRLSHEVSSETRKMFIEEVMQLMELQPLRQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKKGGQEIYVGPLGPHSCHLIKYFEGIEGVPKIKDGCNPATWMLEVTSSAQESVFGVDFTAIYGNSELYRRNKGLIERFSTPSPESTELYFPTQYSQSFFTQCMACLCKQHWSYWRNPPYTAVRLLFTTVIALMFGTMFWDLGSKKTKRQDLFNAMGSMYAAIVFLGIQNASSVQPVVAVERTVFYRERAAGMYSPLPYAFAQVLIELPYVFIQSVVYGLIVYAMIGFEWTAAKFFWYLFFMYFTLLYYTFYGMMSVAVTPNQHIASIVSSAFYSIWNLFSGFIIPRPRIPVWWRWYAWACPVAYTLYGLVSSQFGDIKHTLESGETVEDFVRSYFGFKHELLGAVAVAVFGFATLFAFIFAISIKFFNYQRR >Manes.17G045300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24212608:24216969:1 gene:Manes.17G045300.v8.1 transcript:Manes.17G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFHGNETLRSTPHTPTHHFLLQAGPRLKPLQQVHAHIIVSGSGRSRSLLTKLLNLACAAGSIGYTHRIFLSVTKPDSFLFNSLIKSTSKFHFSIYSLYLYSCMLLCNISPSNYTFTSVIKSCADMSFLKLGKVIHGHVLVNGFGLDVYVQAALVAFYGKSGDLGNARKVFDKMPVRSIVAWNSMISGYEQNGYGKNAITLFKKMREAGIEPDSTTLVSLSSACAQLGALGMGCWVHEYIARHGLNLNVVLGTSLINMYTRCGDVGRAREVFDSMNERNVVAWTAMISGYGMNGFAHQAVELFDEMRRRGPCPNSITFVAALSACAHAGLVKEGRRVFASMREEYHLMPGVEHHVCLVDMLGRAGLLDEAYSFINEEVPKEPAAAIWTAMLGACKMHKNLDLGVQVAEQLLNVEPENPGHYVMLSNIYALAGRMDRVEMVRNIMIKKSLKKQVGYSTIEIDNKTYFFSMGDKSHPETNEIYRYLDELMSRCREAGYAAVPESVLHELEEEEREFALRYHSEKLAIAFGLLKIRHEAPIRIIKNLRMCEDCHWAIKYISVVGNREIIVRDKLRFHHFKDGSCSCQDYW >Manes.12G115000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31658257:31664599:1 gene:Manes.12G115000.v8.1 transcript:Manes.12G115000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNITIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLIGIPLMVLGNKIDKPGALSKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Manes.12G115000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31662418:31664149:1 gene:Manes.12G115000.v8.1 transcript:Manes.12G115000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTVGFNMRKVTKGNITIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLIGIPLMVLGNKIDKPGALSKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Manes.12G115000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31660455:31665479:1 gene:Manes.12G115000.v8.1 transcript:Manes.12G115000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNITIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLIGIPLMVLGNKIDKPGALSKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Manes.12G115000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31658510:31664599:1 gene:Manes.12G115000.v8.1 transcript:Manes.12G115000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNITIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLIGIPLMVLGNKIDKPGALSKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Manes.12G115000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31660455:31665479:1 gene:Manes.12G115000.v8.1 transcript:Manes.12G115000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNITIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLIGIPLMVLGNKIDKPGALSKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Manes.12G115000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31662033:31664599:1 gene:Manes.12G115000.v8.1 transcript:Manes.12G115000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNITIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLIGIPLMVLGNKIDKPGALSKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Manes.12G115000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31658257:31664599:1 gene:Manes.12G115000.v8.1 transcript:Manes.12G115000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNITIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLIGIPLMVLGNKIDKPGALSKQALTDEMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Manes.01G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28023434:28033441:-1 gene:Manes.01G076900.v8.1 transcript:Manes.01G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASGFFAPSVPSLRRRIKPSIRITASGFFSSGNISKRVFCSSSIEGAEKLSNSQCQVPRLISKGCKLLGSGSAVPTLQVSNDDLAKIVDTNDEWISVQTGIRNRRVLTGKDSLVSLAVEAAKKALQMAEVEPDDVDLILMCTSTPENLFGSAPQIQKALGCKTNPLAYDITAACSGFVLGLVSAACHIRGGAFQNVLVIGADSLSRFVDWTDRGTCILFGDAAGAVLVQACDSEDDGFFSFDLHSDGDGQRHLNANIKANEVDALGSTVSGFPPRRPAYSCIQMNGKEVFRFAVRYVPKSIESALEKAGLTGSSIDWLLLHQANQRILDAVATRLEVPRERIISNLANYGNTSAASIPLALDEAVRSGKVKQGHTIATAGFGAGLTWGSAIIRWG >Manes.11G129716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29373308:29376657:1 gene:Manes.11G129716.v8.1 transcript:Manes.11G129716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLISPLVDDILILIISHLSLKEAITTSVLSHRWRGLWRFTSRLDFDITKIKNNLKPKMPLPSLNYSYGFFYGLAEYHSEARRLSLISRSRLIDSINGVLQSHQAVMLDEFTLSFDRDCKDHIDSWLTYALERRVKKLHLNFQSMNCRYTFSPHFLHNNKLDSITVIRFNQVSVADDVVDLILRLCPFLEVLSLRSSATLSHLKVFGPLKHLEILFCRNVKSVEISAKDLVSFKYYGPCAYIIVNDAPRLVEASIGDNCAFYFLKYMTVFSDSLSRLQTFKMDLRSIKIIRSYPKFPMFSDLKHLDLVIDAYDAYDLLCCTSFLMMCPFLHGLKMKIVVLTRWLTGLGIGRKMKVQKAKPHHYLKMIELGGFLGSAINWKLAEHLLKRAVSLEKLIIDPRVASMKYEEECKNLEKMLAARARAKHLETSLPPKAELVIL >Manes.11G012900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1237691:1242004:-1 gene:Manes.11G012900.v8.1 transcript:Manes.11G012900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGSAECRGFLEVPTQRSREGVDSVTQRRVEAPSTPGRLIFSNSIGSLAKKSFPSKWDDAEKWLMSSSCHESLAFKLSPESSKMQKENGNFKHQMEVFAEKSRVTEEKVSKLVSSFQGSVALDQHNPGVTVRGFSASSDVLLKDKLIDEVEPVLPNFRYSETSKEGFLFRNSANEVMKDVGTEVIHEVKHRDIGTEMTPLGSSTTSRCHTPFKSSSPARHNTPANRSGPLPLGNSDSTNSIIDISQLQACHLAKLQFGSHYDSVASNWSSREEEEEEISKSLRHFETGITCRRSVSDSRAATWEEEEKTKCCLRYQREEAKIQAWLNLQTAKAEAQSRKLEVKVQKMRSNLEEKLMKRMAVVHRKAEEWRAAARQQRPEQTKMMINRRCSSHASCGCFPCNTYP >Manes.11G012900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1237691:1241727:-1 gene:Manes.11G012900.v8.1 transcript:Manes.11G012900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSPEYFQAFASTGEPALETNTSLYGQGKDNLFADTFQDPLCKLNLKETSEFVKSFPMPHGSAECRGFLEVPTQRSREGVDSVTQRRVEAPSTPESSKMQKENGNFKHQMEVFAEKSRVTEEKVSKLVSSFQGSVALDQHNPGVTVRGFSASSDVLLKDKLIDEVEPVLPNFRYSETSKEGFLFRNSANEVMKDVGTEVIHEVKHRDIGTEMTPLGSSTTSRCHTPFKSSSPARHNTPANRSGPLPLGNSDSTNSIIDISQLQACHLAKLQFGSHYDSVASNWSSREEEEEEISKSLRHFETGITCRRSVSDSRAATWEEEEKTKCCLRYQREEAKIQAWLNLQTAKAEAQSRKLEVKVQKMRSNLEEKLMKRMAVVHRKAEEWRAAARQQRPEQTKMMINRRCSSHASCGCFPCNTYP >Manes.11G012900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1237691:1242004:-1 gene:Manes.11G012900.v8.1 transcript:Manes.11G012900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSPEYFQAFASTGEPALETNTSLYGQGKDNLFADTFQDPLCKLNLKETSEFVKSFPMPHGSAECRGFLEVPTQRSREGVDSVTQRRVEAPSTPESSKMQKENGNFKHQMEVFAEKSRVTEEKVSKLVSSFQGSVALDQHNPGVTVRGFSASSDVLLKDKLIDEVEPVLPNFRYSETSKEGFLFRNSANEVMKDVGTEVIHEVKHRDIGTEMTPLGSSTTSRCHTPFKSSSPARHNTPANRSGPLPLGNSDSTNSIIDISQLQACHLAKLQFGSHYDSVASNWSSREEEEEEISKSLRHFETGITCRRSVSDSRAATWEEEEKTKCCLRYQREEAKIQAWLNLQTAKAEAQSRKLEVKVQKMRSNLEEKLMKRMAVVHRKAEEWRAAARQQRPEQTKMMINRRCSSHASCGCFPCNTYP >Manes.11G012900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1237691:1241727:-1 gene:Manes.11G012900.v8.1 transcript:Manes.11G012900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSPEYFQAFASTGEPALETNTSLYGQGKDNLFADTFQDPLCKLNLKETSEFVKSFPMPHGSAECRGFLEVPTQRSREGVDSVTQRRVEAPSTPGRLIFSNSIGSLAKKSFPSKWDDAEKWLMSSSCHESLAFKLSPESSKMQKENGNFKHQMEVFAEKSRVTEEKVSKLVSSFQGSVALDQHNPGVTVRGFSASSDVLLKDKLIDEVEPVLPNFRYSETSKEGFLFRNSANEVMKDVGTEVIHEVKHRDIGTEMTPLGSSTTSRCHTPFKSSSPARHNTPANRSGPLPLGNSDSTNSIIDISQLQACHLAKLQFGSHYDSVASNWSSREEEEEEISKSLRHFETGITCRRSVSDSRAATWEEEEKTKCCLRYQREEAKIQAWLNLQTAKAEAQSRKLEVKVQKMRSNLEEKLMKRMAVVHRKAEEWRAAARQQRPEQTKMMINRRCSSHASCGCFPCNTYP >Manes.11G012900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1237691:1242326:-1 gene:Manes.11G012900.v8.1 transcript:Manes.11G012900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSPEYFQAFASTGEPALETNTSLYGQGKDNLFADTFQDPLCKLNLKETSEFVKSFPMPHGSAECRGFLEVPTQRSREGVDSVTQRRVEAPSTPGRLIFSNSIGSLAKKSFPSKWDDAEKWLMSSSCHESLAFKLSPESSKMQKENGNFKHQMEVFAEKSRVTEEKVSKLVSSFQGSVALDQHNPGVTVRGFSASSDVLLKDKLIDEVEPVLPNFRYSETSKEGFLFRNSANEVMKDVGTEVIHEVKHRDIGTEMTPLGSSTTSRCHTPFKSSSPARHNTPANRSGPLPLGNSDSTNSIIDISQLQACHLAKLQFGSHYDSVASNWSSREEEEEEISKSLRHFETGITCRRSVSDSRAATWEEEEKTKCCLRYQREEAKIQAWLNLQTAKAEAQSRKLEVKVQKMRSNLEEKLMKRMAVVHRKAEEWRAAARQQRPEQTKMMINRRCSSHASCGCFPCNTYP >Manes.02G222900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEVVIFTDTNIGTRIALAVSPDVTAADFKSKCLFFGEVERTHKSIFPQLGEIEAHGLMVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEVVIFTDTNIGTRIALAVSPDVTAADFKREVERTHKSIFPQLGEIEAHGLMVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEVVIFTDTNIGTRIALAVSPDVTAADFKREVERTHKSIFPQLGEIEAHGLMVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEVVIFTDTNIGTRIALAVSPDVTAADFKSKCLFFGEVERTHKSIFPQLGEIEAHGLMVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36971436:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEVVIFTDTNIGTRIALAVSPDVTAADFKREVERTHKSIFPQLGEIEAHGLMVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36974660:36975708:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEVVIFTDTNIGTRIALAVSPDVTAADFKREVERTHKSIFPQLGEIEAHGLMVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.02G222900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36970230:36976314:1 gene:Manes.02G222900.v8.1 transcript:Manes.02G222900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEVVIFTDTNIGTRIALAVSPDVTAADFKSKCLFFGEVERTHKSIFPQLGEIEAHGLMVKRKSCFYHLAGSLPIKYAFQGLKGTWLLHVEVQPSNEGDKPDLSHCLAAKNGTHFSDGSNINDSFVPNTEKNNTHNYSNERIKGITSIKPLTEELWKTAHHFNKKRKKKKNTIHLEHKVDVTEKECPIIIKETPESADNGSVQMSKNKIKPVLGSSSPLVQTPIERSPKVLSERDVLDNEGNSSELNSLSRFYDPQNCAVASIANESGVEKQLKGGRNGNCSNIQAYSFPRFATRTPSRTRPSLLPADSSPGRSGSKLEKTAAVGKRILIAAKSLRASGNKQRPVISFQRFRGASNFPFVSRIPVFEISDNDD >Manes.01G172700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35425242:35427560:1 gene:Manes.01G172700.v8.1 transcript:Manes.01G172700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSVISIAILAMSVMWIAEARIPGVYTGGAWQSAHATFYGGADASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCANDPQWCHSGSPSIFITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRSGIVPVAYRRVPCRKRGGMRFTINGFRYFNLVLISNVAGAGDIVKASVKGSRTGWMSMSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNLVPANWQFGQTFTGKNFRV >Manes.03G205400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:32090564:32091709:1 gene:Manes.03G205400.v8.1 transcript:Manes.03G205400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRFNKHARVIVVVFALVLGLVECRAFQKDQFTGKNADNLGLDGGFGGGVGGGFGGGAGGGIGGGVGGGGGAGGGIGGGGGAGGGFGGGGGIGGGKGGGVGGGGGGGAGGGLGGGGGIGGGAGGGLGGGGGVGGGNGGGLGGGAGGGLGGGGGVGGGNGGGVGGGAGGGLGGGAGGGVGGGGGVGGGKGGGVGGGSGGGLGGGGGAGGGLGGGGGIGGGKGGGVGGGAGGGLGGGGGIGGGAGGGLGGGKSGGIGGGGGFGSGGGGGIGGRH >Manes.12G049600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4650921:4660177:-1 gene:Manes.12G049600.v8.1 transcript:Manes.12G049600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKILEELGDGTCGSVFKAIHMETYEIVAVKKMKRKFYFWEECMNLREVKALRKMNHPNIIKLKEVVRENNELFFIFEYMEYNLYQIMRERERPFTEEEIRSFMSQVLQGLAHMHKNGYFHRDLKPENLLVTNDVLKIADFGLAREVSSLPPYTEYVSTRWYRAPEVLLQSSTYTPAIDMWAVGTILAELFTLSPIFPGESEIDQLYKICCVLGTPDWTTFPGATNVSRLMNICYSEILPANLSDVIPNASLEAIDLIMRLCSWDPLKRPTADQSLQHPFFHVGMWVPYPIRDPLELKLNNIGAKPNLELNLWDFGAEPDDCFLGLTLAVKPSISNLEAVHDVSQGMEENILLCSDFKNHQEQSVLWSFISPDQNGIHPPVESSLSLSFSSIQHPSVVPQSSGFPITSLQPNILDCPLLAMSSPFQQSHYH >Manes.12G049600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4650921:4660177:-1 gene:Manes.12G049600.v8.1 transcript:Manes.12G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKILEELGDGTCGSVFKAIHMETYEIVAVKKMKRKFYFWEECMNLREVKALRKMNHPNIIKLKEVVRENNELFFIFEYMEYNLYQIMRERERPFTEEEIRSFMSQVLQGLAHMHKNGYFHRDLKPENLLVTNDVLKIADFGLAREVSSLPPYTEYVSTRWYRAPEVLLQSSTYTPAIDMWAVGTILAELFTLSPIFPGESEIDQLYKICCVLGTPDWTTFPGATNVSRLMNICYSEILPANLSDVIPNASLEAIDLIMRLCSWDPLKRPTADQSLQHPFFHVGMWVPYPIRDPLELKLNNIAGAKPNLELNLWDFGAEPDDCFLGLTLAVKPSISNLEAVHDVSQGMEENILLCSDFKNHQEQSVLWSFISPDQNGIHPPVESSLSLSFSSIQHPSVVPQSSGFPITSLQPNILDCPLLAMSSPFQQSHYH >Manes.12G049600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4650920:4660185:-1 gene:Manes.12G049600.v8.1 transcript:Manes.12G049600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKILEELGDGTCGSVFKAIHMETYEIVAVKKMKRKFYFWEECMNLREVKALRKMNHPNIIKLKEVVRENNELFFIFEYMEYNLYQIMRERERPFTEEEIRSFMSQVLQGLAHMHKNGYFHRDLKPENLLVTNDVLKIADFGLAREVSSLPPYTEYVSTRWYRAPEVLLQSSTYTPAIDMWAVGTILAELFTLSPIFPGESEIDQLYKICCVLGTPDWTTFPGATNVSRLMNICYSEILPANLSDVIPNASLEAIDLIMRLCSWDPLKRPTADQSLQHPFFHVGMWVPYPIRDPLELKLNNIAGAKPNLELNLWDFGAEPDDCFLGLTLAVKPSISNLEAVHDVSQGMEEYCGPLFRLIKMESTRQSSHHCHCHSVQFSIHQLFHNHLGSQLHHYSLTS >Manes.12G049600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4650920:4660185:-1 gene:Manes.12G049600.v8.1 transcript:Manes.12G049600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKILEELGDGTCGSVFKAIHMETYEIVAVKKMKRKFYFWEECMNLREVKALRKMNHPNIIKLKEVVRENNELFFIFEYMEYNLYQIMRERERPFTEEEIRSFMSQVLQGLAHMHKNGYFHRDLKPENLLVTNDVLKIADFGLAREVSSLPPYTEYVSTRWYRAPEVLLQSSTYTPAIDMWAVGTILAELFTLSPIFPGESEIDQLYKICCVLGTPDWTTFPGATNVSRLMNICYSEILPANLSDVIPNASLEAIDLIMRLCSWDPLKRPTADQSLQHPFFHVGMWVPYPIRDPLELKLNNIGAKPNLELNLWDFGAEPDDCFLGLTLAVKPSISNLEAVHDVSQGMEEYCGPLFRLIKMESTRQSSHHCHCHSVQFSIHQLFHNHLGSQLHHYSLTS >Manes.03G166800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29256880:29259744:1 gene:Manes.03G166800.v8.1 transcript:Manes.03G166800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFLGRVLFASLFILSAWQMFNEFGEDGGPAAKELIPKLAIVKGHLLSKLGVRLPDIDPISIVAGIIVLKGLGGFLFVFGTPFGAYLLLLHLAITSPILYDFYNYGQNKPEYFILLNEFLQSVALFGALLFFIGMKNLIPKRQIKKRAPKAKVG >Manes.11G001300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270956:277398:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270590:278004:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270585:277398:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270594:277398:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270590:278004:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270956:277699:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270956:277398:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270590:278198:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270956:278004:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.11G001300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:270956:277692:1 gene:Manes.11G001300.v8.1 transcript:Manes.11G001300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEAGCSSLWTREQDKAFENALATYPEDALDRWEKIAADVPGKTLEEIKIHYELLIEDLNQIEAGSVPLPNYSSSEGSVSHVGDEGTSKKGGNLGHHNNESGHGNKASRTDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGSGDISATQGPITGQTNGSVAGGSAGKAVKQPSQHPTGPPGVGVYGPPTIGQPVGGPLVSAVGTPVNLPGPAHMAYGLRAPIPGAMVPGAPMNMGPMTYPMPPTTAHR >Manes.12G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5016121:5022956:1 gene:Manes.12G054300.v8.1 transcript:Manes.12G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYVGILVSDPWLQNQFTQVELRSLKSHFMSMRRESGKLTLRDLASRMSRLKVVGENLTEEERASLIQDLYQNLDDEVDFEFFLKVYLQLHAHASARTGNVAKNSSAFLKAATTTLLHTISEPEKASYVAHINNYLGEDHFLKKYLPIDPSTNDLFEIAKDGVLLCKLINLAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELLSLPPEKILLRWMNFQLKKAGYKKIVTNFSSDVKDAEAYAHLLNVLAPEYSNPSTLTVKDHLERAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDTQISREEKAFRFWMNSLGNSTFIDNVFEDLRNGWVLLETLDKVSPGIVNWKIANKPPIKLPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRCNMLQLLKNLRFHSHGKEITDADILKWANNKVRDAGSQSRMDSFKDKSLSDGIFFLDLLSAVQPRAVNWSLVTKGVTDEEKKMNATYIISIARKLGCSIFLLPEDITEVNQKMMLTLTASIMYWFLKQPVEEKPNGTSDSETISNSTVDDSASESSLEENGHL >Manes.15G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9687418:9694856:1 gene:Manes.15G121700.v8.1 transcript:Manes.15G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSLTRWSFQDFKIFYDAKFGRKKIPEKQNGESAADKSVPNGSSSSSASSNGNGQVKNIPDMAIYEQYRNQDRSTNHSNGVSSNGIGAKPQKPLLPTFDSAETRSLAEGLCRDIVRGNPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLVKVLFELARHHAPSTIFIDEIDAIISQRGEGRSEHEASRRLKTELLIQMDGLMRTEELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRAMFEELLPSQPDEIELPYDLLVGSTEGYSGSDIRLLCKEAAMQPLRRLMALLEDRREVVPEDELPKVGPITADDIETALKNTRPSAHLHAHRYEKFNADYGSQILQ >Manes.15G121700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9687418:9694856:1 gene:Manes.15G121700.v8.1 transcript:Manes.15G121700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSLTRWSFQFGRKKIPEKQNGESAADKSVPNGSSSSSASSNGNGQVKNIPDMAIYEQYRNQDRSTNHSNGVSSNGIGAKPQKPLLPTFDSAETRSLAEGLCRDIVRGNPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLVKVLFELARHHAPSTIFIDEIDAIISQRGEGRSEHEASRRLKTELLIQMDGLMRTEELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRAMFEELLPSQPDEIELPYDLLVGSTEGYSGSDIRLLCKEAAMQPLRRLMALLEDRREVVPEDELPKVGPITADDIETALKNTRPSAHLHAHRYEKFNADYGSQILQ >Manes.08G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5435115:5436635:-1 gene:Manes.08G051300.v8.1 transcript:Manes.08G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVFFPEENSAAAASSAITPSNPIVGKVTPHSTPNSIGRTTIKRINPNSPILTRTQSTISICVLLLFLTLLLFTLSTFEPTIPNPTTAIKAPRRFLSDNPRNSFIKTHQKKSNLSWFYSIWPSRYQSRNGKRTEFLSSFALQGMGKLYSRGTRAMRDLVVAHAVEDTNEDESGLTARADLVFLFDLIREENNSFLRLIHLYRKSNNKSHDSVSFVKRESKEICEPLWGKKIRVDNHSDSKENEGESTHLSYGSVVGFEASELDPENSLSGFLDHIPMSLRRWACYPMLLGRVRRNFKHIMLVDVKRLLLLSDPLGRFRNRSSESVYITTKQETISSTKHAKRKDKNNNSNKSASHSQVNPAILMGGTRGIRRFSNAMLTEIVRVAMKHKGKSTTESAILSQLVDNGHILKSIDLIKLSESIPAASELSESNSSEERDNYRIIQQGNDNNNALNSIIMENICSCEVDSGVYRDC >Manes.08G033200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3163319:3167484:1 gene:Manes.08G033200.v8.1 transcript:Manes.08G033200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNALMQQQEPQAVAIQNPNQASSADAPPKQVAMAMERLTQAARLIADVRLGADRLLEALFIAAQPHQSNKPLQLFAKEDAAMRQHLQDLRAVGRQLEESGVLNDSLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDGHDSQSTESASKKHCSSQALPASLQEDLSDCKTLSDVLTRLEKEMPNFKVFTYERLDWLKRASSLPNSTNESPVETSKGHTFHTLNKLRTGSQGPVTTDKAAVIELLFPSVFRAIVSLHPAGSIDPDAVAFFSPDEGGSYVHARGFSVHHVFRQITEHAAMALQHFLGIGTETALYFLLHWICSYQTLFTKVCSKCGRLLAMDRESSLLLPPVRRPYRHFSPLKVSSTPVTSSTKDQFPGAYHIGCFSEGL >Manes.08G033200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3163796:3167491:1 gene:Manes.08G033200.v8.1 transcript:Manes.08G033200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDGHDSQSTESASKKHCSSQALPASLQEDLSDCKTLSDVLTRLEKEMPNFKVFTYERLDWLKRASSLPNSTNESPVETSKGHTFHTLNKLRTGSQGPVTTDKAAVIELLFPSVFRAIVSLHPAGSIDPDAVAFFSPDEGGSYVHARGFSVHHVFRQITEHAAMALQHFLGIGTETALYFLLHWICSYQTLFTKVCSKCGRLLAMDRESSLLLPPVRRPYRHFSPLKVSSTPVTSSTKDQFPGAYHIGCFSEGL >Manes.08G033200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3163319:3167029:1 gene:Manes.08G033200.v8.1 transcript:Manes.08G033200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNALMQQQEPQAVAIQNPNQASSADAPPKQVAMAMERLTQAARLIADVRLGADRLLEALFIAAQPHQSNKPLQLFAKEDAAMRQHLQDLRAVGRQLEESGVLNDSLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDGHDSQSTESASKKHCSSQALPASLQEDLSDCKTLSDVLTRLEKEMPNFKVFTYERLDWLKRASSLPNSTNESPVETSKGHTFHTLNKLRTGSQGPVTTDKAAVIELLFPSVFRAIVSLHPAGSIDPDAVAFFSPDEGGSYVHARGFSVHHVFRQITEHAAMALQHFLGIGTETALYFLLHWICSYQTLFTKVCSKCGRLLAMDRESSLLLPPVRRPYRHFSPLKVSSTPVTSSTKDQFPGAYHIGCFSEGL >Manes.08G033200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3163319:3167029:1 gene:Manes.08G033200.v8.1 transcript:Manes.08G033200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDGHDSQSTESASKKHCSSQALPASLQEDLSDCKTLSDVLTRLEKEMPNFKVFTYERLDWLKRASSLPNSTNESPVETSKGHTFHTLNKLRTGSQGPVTTDKAAVIELLFPSVFRAIVSLHPAGSIDPDAVAFFSPDEGGSYVHARGFSVHHVFRQITEHAAMALQHFLGIGTETALYFLLHWICSYQTLFTKVCSKCGRLLAMDRESSLLLPPVRRPYRHFSPLKVSSTPVTSSTKDQFPGAYHIGCFSEGL >Manes.07G103102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30903415:30909336:-1 gene:Manes.07G103102.v8.1 transcript:Manes.07G103102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMEEDDLLQRSVRRKRKDGEMGVSLNEICDDPDSSADHPMIETENNPVNLGFVNGPSFKDVVTNRNGPGLQGASGSDMAPEDDEFHFVSDGEEDDEDDRCPVIRLSSVDKRRLCRPWKNSLIVKLFGRSIGYTYLCRRLKELWKPYAPMDVIALDNNFFLVRFANSGDLDFARSEGPWIIADHYLTIRQWCPEFDPFQDVLERLTVWVRFPCLPIEYYDEELLLRIARKIGEPVKVDSNTSLVTRGHFARVCVELDLTKPLLSKFKLHRRVRRIEYEGLHMVCFECGKYGHMKETCPSIKPMQVNQPYSVNQQGAVVGHELNRETYKMPESLPVNPAATEDFGDWMLVRRDRRRDNRQSIRPGPKRVDRDEVRRVVADGSKGNRFDSLLNLEDEVIPEEQNNGSLGTQEIHMAVHDERPSNRANNVVSRGGGIQRESRGGRGLFRGGRGGQAVANNANVEIIPSSATTSREVRPNTRIGLSRKAAAEVEHTVVVGNGKERVESWVVSSEDQVGLSVLNHVPPNPPGFRNPSFPHPSSLNIVPGQKTVNGSEDDVTLIVAGTILNELNKATSASLVAPLEHQQPSSTFRNAFQEYKRLYHPNIFCLVEPRISGEATDEVCGLLGYENWIRVEAIGFSGGIWLLWSEDGFRIELVVTDPQFITVAINFSTGEKWLFSVVYASPDIYLRRKLWQSLSGENSLSISKWIVAGDFNSVVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVGSGFTWQRSGENVPYQAARLDRCFVSTDWRLDYVDAIVEHPPKLHSDHVPIVIKCQGVLAFRVRPFRFLTAWTLHAQFDQVVACSWDPNRSLIHNLSTLKIQLGEWNRTQFGNIFDNKRRLLRRLGGVQRDLAESRTRSLVKLEFKLKRRLEEILRQEEMYWFQKSKEEWIANGERNSHFYHLNDLGEVVTDPSLLDIPFQYAHPEVKLALDQMASGKAAGDDVGTQVIDFVLFCLQGNELPSMINETVLVLIPKVATPEFITQFRPISLCNVIYKLITKVLVNRLQQNSFVPGRQITDNVVIYQEALRTMGKMNEKAYDRLEWSFIDWSLEKANFSSLWRTNVRHCKLESFLPTRGQLSNIIKHSVEQGEWHPLPISNNATEEQLDVNFLKSSLFVSPNVSTSTASRLSSKSGIPLVSNLGRYLGVQFRGLAGALSRAGRITLEMERLCRNFIWHGNSRDFAQNGGLAMLGKLCWRAFMNPSDLWISCLLWKYNNGRISWNLRALCWGLQLVSSGFWQDDWLSVGPLFRLVSAPIDPLELEVVVRYYWIPGYGWDWNRLSLWLPDFVLAFLLPICLHDDANSRDGIIWRHSISGQYSVKTGYSLLVEKCGLPVALPLWKLIWKAPGTQRMRVFLWEVAHEKIMVNVQRFRRGWINSDLCMSCGTQSESVLHVLRDCNFIKSCWLNLLPASEVQTFFGFVDIREWLSWCLKSSFCIGELPWPVVFMVTVWWAWKFRNEWSFNLGVQRSVPRPLILGKAKEIYQAWNSYFPSSIQRQCRVIRVAWTPAAMGWITINTDGSVRQNSGAATCAGSFRDSFGKWLLGFRCVLGVCGVVEAELWAIYHALNVAWSRGWRKIVIQTDSQIAVQLLQGQGSGVYRLQSLFINCRALIRREWEVKVEQIYREANMVADYLANSAHGGVFGMSLIDLPSSSIKLLLDADCMNIFHNRIIN >Manes.03G140633.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26840953:26842960:-1 gene:Manes.03G140633.v8.1 transcript:Manes.03G140633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFLLFLSLFLSSLLLAAAHGGGHDDEDADAAGGDFHVNLREKSLILVKIWCLILVFVGTFVAGVSPYFMKWDEVFLVLGTQFAGGVFLGTALMHFLSDANETFEELTKKQYPFAFMLASAGYLMTMLADCVISYVYGKKTGEANGDLERQDTIEHGKTSHHGTSQPHFQMHNGSDAASAKSSLASVSSFGDSVLLIVALCFHSIFEGIAIGVAETKADAWKALWTICLHKIFAAIAMGIALLRMIPDRPFLSCVAYAFAFAISSPVGVAIGIVIDATTQGPVADWIYAISMGLACGVFIYVAINHLLAKGYRPQQAASVDTPHHKFLAVLLGVAVIAVVMIWDT >Manes.15G168800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14533060:14533410:-1 gene:Manes.15G168800.v8.1 transcript:Manes.15G168800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAMAKISNMASAAREHMSICRAKVEEKVEKATARTHDEKKIAKERRKAKEARAKMELHQAKARHAAEKSSAK >Manes.05G111800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11368762:11369910:1 gene:Manes.05G111800.v8.1 transcript:Manes.05G111800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGTRLPSFCLKRIRPQVRVRSPPIQSKVNVNISTTTAPTNYQNTTSENVAGNTSGEEKSSEGVKPSIGRKIMIVVDSSFEAKGALQWALSHTVQSQDLLILLHVAKPSNKQASTSEESSPRDYELVNSLKNMCQMKRPEIQIEIAVVEGKEKGPLIVEEAKRQGVALLVLGQKKRSMTWRLIMMWASNRVTGGVVEYCIQNADCMAIAVRRKSRKHGGYLITTKRHRDFWLLA >Manes.05G111800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11368740:11370119:1 gene:Manes.05G111800.v8.1 transcript:Manes.05G111800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGTRLPSFCLKRIRPQVRVRSPPIQSKVNVNISTTTAPTNYQNTTSENVAGNTSGEEKSSEGVKPSIGRKIMIVVDSSFEAKGALQWALSHTVQSQDLLILLHVAKPSNKQASTSEESSPRDYELVNSLKNMCQMKRPEIQIEIAVVEGKEKGPLIVEEAKRQGVALLVLGQKKRSMTWRLIMMWASNRVTGGVVEYCIQNADCMAIAVRRKSRKHGGYLITTKRHRDFWLLA >Manes.14G005700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1650767:1659745:1 gene:Manes.14G005700.v8.1 transcript:Manes.14G005700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPTTCTSLSHLNSSMFIPSFNLQSLLSVSYSFNLPIRPHSRRRFCILASSANATIESGNGAVLAPTVKLEPSSYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGRRGTAKTVMARGLHAILPPIDVVVGSIANADPACPEEWEDGLAARVEYDSSGSIKTQVVRSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFKHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMNFEDRVAAVGIATQFQERSNEVFQMVEEETEFAKTQIILAREYLKDVTISREQLKYLVMEALRGGCQGHRAELYAARVAKCLAALEGREKVNVDDLKKAVELVILPRSIINESPPEQQNQQPPPPPPPPQNQDSGDEQNEEEDEEDNDEENEQQQEQIPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDTQKSRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVIVFLFTLEFYYLLLLLTKSAIVYKIYWNVHAIK >Manes.14G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1650767:1659744:1 gene:Manes.14G005700.v8.1 transcript:Manes.14G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPTTCTSLSHLNSSMFIPSFNLQSLLSVSYSFNLPIRPHSRRRFCILASSANATIESGNGAVLAPTVKLEPSSYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGRRGTAKTVMARGLHAILPPIDVVVGSIANADPACPEEWEDGLAARVEYDSSGSIKTQVVRSPFVQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFKHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMNFEDRVAAVGIATQFQERSNEVFQMVEEETEFAKTQIILAREYLKDVTISREQLKYLVMEALRGGCQGHRAELYAARVAKCLAALEGREKVNVDDLKKAVELVILPRSIINESPPEQQNQQPPPPPPPPQNQDSGDEQNEEEDEEDNDEENEQQQEQIPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDTQKSRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVAIIPFRGDSAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSTDPEVAASDAPRPSAQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKEALSALKSS >Manes.05G184000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30240404:30244307:1 gene:Manes.05G184000.v8.1 transcript:Manes.05G184000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVNDNNNGSNNNWLGFSLSPHMKMEVASDPQHHHHQYHHEPQAPSAVSSAVPTGFYLSSSHFNSSGICYGVGENVGFHSPLSVMPLKSDGSLCIMEALNRSRPEGMVPSSSPKLEDFLGGATMETQRYSSHEREAMTLSLDSMYYHQNSDLQFSVQNHPYYSGMACHGMYQPSLEEATKDAHFADCDSQIPQIANDEMPLLNNWVARQYPTHNALEQQLNSGMVDDGGASVSVGPIGCGDSQSLSLSMSPSSQSSCVTAPIQISSPRGTECMAMETKKRGSAKVGQKQTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSAHINFPLENYREELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEGAAEAYDVAAIKFRGVNAVTNFDITRYDVERIMASNTLLAGELARRKIETETSNGAIVYNPYAQTGGETIQLPTSHGNGSDWKMAFYESPQQPQSSACVDSLDQKSTCVGNYRSSSFSMATQDIMGIDSVNSCQPVMDESAARLGTHYSNNSSLVTSLSSSREASPDKTGNTMLFSKPPLASKLITSTNGAWFQTAAELRPSTTSMSHLPVFAAWNDT >Manes.01G122900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31855861:31860057:1 gene:Manes.01G122900.v8.1 transcript:Manes.01G122900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRGSSLEFSMAGFPIQRLGSPALDLELNPGIADHMSMPFQQFKGIPDMEKALMSETAASSMEELIRLLRINEPLWIRTQPDGKYTIHRDSYDKIFPRTNHFKGSNARTESSKDSGMVTVTGMNLVEMFLDTNKWVDLFPTIVTKASIIQVLETGMVGNRNGALQLMYEQMHILSPLVPPREFCFLRQCQQIEADTWVIADVSYDCLKENGSPSRSWRLPSGCMIQDMANGCCKVTWVEHVEVDDKTQTHRLYRDLICGSPAYGAERWIVTLQRMCERFAFSSEETIPSWELGGAITSSEGRKSIMKLGHRIVKNFCSTLSMSDKLDFPQLSEVNNSGVRISVRKSMELGQPSGMIVSAATSLWLPLLPQDVFSFFRDEKSRPQWDILSSGNPVHELTQISNGVHPGNCISIIRPFAPSENNMVILQESCTDPLGSLLVYAPIDIPTMNMAINGEDSSMIPVLPSGFVISGDGRPDTGNGASTSANAGRSSGGSLLTVAFQILVSTPCSTSSKELNMESVATVNTLISSTVQKIRAALNCSGLD >Manes.05G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5441493:5453839:1 gene:Manes.05G065400.v8.1 transcript:Manes.05G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLSPTRQVDSLCLHSDMEDTRDEYAKQSSSSSPCEMEESFGDPMVLPRVGDEYQAEIPLLIAEYDHLQLIKISRNSDMMVNIHKSFLLGLPIPLMWSQTGVENINGTVELENSESQVTSNNEFPEVKVEPLDTISGYGKILGVHSNIQQTAGNDNMELDSVLLQESETKTDLVERGFCPLPGSLCESWTDVECDSFLLGLYVFGKNLVAVKKFVNSKEMGDILAFYYGKFYRSDGYRRWSECRKLRSRRSIHGQKIFTGWRQQELLSRLLSHVSQECQSVLLELCRTFTEGKISFEEYVFTLKGAVGINMLIEAVGIGKGRHDLTGIAMEPVKPNNTISFRPEIPTGKACSSLTSSDIIKFLTGNFRLSKARSGDLFWEAVWPRLLARGWHSEQPKDDGFSGSKHSLVFLIPGVKKFSRRRLVKGNHYFDSVSDVLNKVALDPGLLELDIEAAKGGQHKEECGWDPPLKQDQDDVSNKQCHRYLQPRTANYNRHVQKFTVVDTSLAHGAERPKVRELRSLPAETVSISTSSSLSTETEEDTSEDSQEEAEKTHTSNPEEDVTERKTCVDSSYVSNSVLNIGTHINPDPSIAAEENHENIKRSLINAKEERKTMKFQFSRKVKSGCSKYLVPIAKQQGPIACDVGRSNWSTKNMSADRKLNKDESHYMSNSPDACEGRILQVGPTQILSSASSLAKDSPDDISEGVGENCPVREASPEKSQSPKLIDLNVPRVSPDFVVESVLTDMVNNDSSSFLSGTGQQPEPFTLCNNGADPQQQSLASNRRQSTRNRPLTTKALEALELGFFSTKKKRKGADISESNLVSRPSRRVRGRTGIKATPKEGPMNKVTDSTTGELLDGFHDNINMINESQTRRRAGTPTEVR >Manes.06G036167.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10537307:10537741:-1 gene:Manes.06G036167.v8.1 transcript:Manes.06G036167.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEIITANGRQKQVIKLMNQTCTCGKFQEIRISCSHAIVACMSHSIDYEQFVSDYYKLDRTIQCYAYTFQPLGHPDYWPAADGLPLVPDISRTRKKERLRSSRIRNEMDWRMGHNKKTCMSKESSR >Manes.14G028700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2607574:2611117:1 gene:Manes.14G028700.v8.1 transcript:Manes.14G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGFSAFAYSSSNGGPSLLRPTLDDVPESCVSSILMYLDPPEICKLAGLSRTFHGASLADFVWETKLPSNYKTLVKKFLQESPENLSKKQIYARLCETNCFDGGTKQLWLYKSSGKICLSLSYKALKITGIDDRRYWNHISSEESRFHMIAYLQQIWWFEVIGEIEFEFPPDTYSLFFRLQLGKASKRFGRRVCNMDQVHGWNNKPVQFQLSTSNGQRASSECYLHEQGNWFYYKVGEFTVEKLHTQIKIKFSMTQIDCTHTKGGVCLDSVLICPSELRHKLNQSQAVKTNALRSGDSRIHLGLGL >Manes.13G097500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:28870422:28870832:-1 gene:Manes.13G097500.v8.1 transcript:Manes.13G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.09G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31765644:31767137:1 gene:Manes.09G115400.v8.1 transcript:Manes.09G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSNFFLYGYLFLVLAATASSSTLSPSFYDRVCPNALQTIKRVVEDAVSRERRMGASLLRLHFHDCFVNGCDASILLDPSPTIDSEKNAIPNANSARGFEVIDQIKYEVDKACGGAVVSCADILAVAARDSVVALGGPTWKVQLGRRDSTTASRIAAETDLPSPFADLPVLINGFKKQGLDEKDLVVLSGAHTLGFSQCGAFKKRIYNETNIDPYFAQKRRATCPPDGGNTNLAPLDPTPARFDIAYFTNLRKKRGLLHSDQELFNGGSTDELVNIYSYNPRAFWKDFANSMIKMGNIKTLTGNQGQVRLNCKMVNW >Manes.17G009250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3283986:3349624:1 gene:Manes.17G009250.v8.1 transcript:Manes.17G009250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSQCKGQILTREEKVLRRSPRLSPSVVRTKSSCSKRTLQNHSAQLRASVELDAEPLLKWHGDDNCRLSDSLDEQPFKRVKTFSANSDLEMLEDDYLSPRSTNSAFGVENGKKSSLIELPESAEKQPPENKSGKLHLTEKIKLLSSEKEQKFPCTVIGDPIPNEEAQKRWCWRYEMKSQRSNCGRLTSDDDDEDKIVWNVECHYAQAKIDGSIFDLGDCAYIKGEGEEMHIGRIVEFFKTTDGEEYFRVQWFYRAEDTVIKEEASFHDKKRLFYSTVMNDNPIDCIISKVSVVQISPMIGSKSHSIPPSDFYFDMEYSVDYSSFRTLLIDVALNRHNLLTTSVAEPLSKTSKNTSMENMLNCESYKAELALLDLFSGCGGMSTGLCLGAKLSCVELVTRWALDSDKSACESLKLNHPETHVRNEAAEDFLELLKEWEKLCEKYVVKDIESIDQLRSSTSTMANENENSPEDIDISSGEYEVSRLVDICFGDPTGTGKRGLKFKVHWKGYCSNDDTWEPIEGLSNCQECIRAFVRHGFKTKILPLPGDVDVICGGPPCQGISGYNRFRNVKSPLADERNRQIVVFMDIVQFLKPKFVLMENVVDILRFDKASFARYALSRLVHMKYQARLGTIAAGCYGLPQFRLRVFLWGAHPKEKLPQFPLPTHDVVVRYWPPPEFERNTVAFDEDQPRELEKASVLQDAISDLPYVTSHETREEMVYDKPPETEFQRFIRSTEYEMTGFSHGGTTKTKNLLYDHRPYTLTEEDYARVCLIPKRKGANFRDLPGVVVGSDNVVRRDPEKEQELLPSGKPLVPDFAFTFEQGKSKRPYARLWWDETVSTVVTYPDLHNQAVVHPEQDRVLTIRECARLQGFPDYYKFSGTVKQRYRQIGNAVAVPVGRALGYALGMAFQKLSSGNEPLFKLPPKFSHSTNLQLTKSLFHKTH >Manes.06G001700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:487447:509498:-1 gene:Manes.06G001700.v8.1 transcript:Manes.06G001700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGKKLINNPNDVVTEYIEGLIETYPGLQYLDGFPEVKVVLRADVSSATYDKVAIISGGGSGHEPAQAGFVGEGMLTAAICGEVFASPQVDSILAGIRAVTGPKGCLLIVTNYTGDRLNFGLAAEQAKSEGYKVETVIVGDDCALPPTQGIAGRRGLAGTILVHKVAGAAAAAGLSLNEVAAEAKYASEIVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAVVADLQPVEVVVSYVLKQILSQETNYVPITRGNRVVLMVNGLGGTPIMELMIAAGKAVPQLQLEHGLAVERVYTGSFMTSLDMAGFSISIMKADDAILQRLDAATKAPCWPAGVDGNRPPAKIPIPLPLNRLTKSDEMMSRPLQLSEQGQILEAAIEAAANAIINLRDDLNEWDSKVGDGDCGSTMYRGATAILEDMKKFYPLNDAAETVNEIGSSIRRVMGGTSGIIYNIFCTAAHAQLKANSQSVVTSKQWAEALEASIAAVSKYGGASAGYRTLLDALIPASAVLQERLTAGDDPITAFIQSSEAALAGAESTRHMQAQAGRSTYVSAEILASVPDPGAMAAASWYRAAALAIKNKLEPS >Manes.06G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21531153:21535990:1 gene:Manes.06G079000.v8.1 transcript:Manes.06G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGNQNQDEEEYEEEDYNSRKEGPCSNVTINNSNRNNNTNKDGKNIDKANAIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVQKYEGSYQGWSSEPTKLMPWRNSHWRVQSFISHPQAIKNGSAPGPTFPGKFDENNIAVTPTLLVGTQSQVEPEPSRDVACKAVERQATIPIHVQSDDLVAHPLQQHVSDAQLAEFPVTNETMDQQEELTIEGGTISISSVYSQGLLNNLTQALQSAGVDLSQANISVQIDLGKSANRGPTSRTSAAKDPQNHPSSDQLMTHLRDVGRGEDSDQAQKRLKT >Manes.06G079000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21531185:21535856:1 gene:Manes.06G079000.v8.1 transcript:Manes.06G079000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGNQNQDEEEYEEEDYNSRKEGPCSNVTINNSNRNNNTNKDGKNIDKANAIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVQKYEGSYQGWSSEPTKLMPWRNSHWRVQSFISHPQAIKNGSAPGPTFPGKFDENNIAVTPTLLVGTQSQVEPEPSRDVACKAVERQATIPIHVQSDDLVAHPLQQHVSDAQLAEFPVTNETMDQQEELTIEGGTISISSVYSQGLLNNLTQALQSAGVDLSQANISVQIDLGKSANRGPTSRTSAAKSFQDPQNHPSSDQLMTHLRDVGRGEDSDQAQKRLKT >Manes.03G090316.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20011925:20018337:-1 gene:Manes.03G090316.v8.1 transcript:Manes.03G090316.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKYMPLFETKRAKGRVLYRLFAGTLFTGICFIWAYRVGNIPKRGEDGRWVWIGLLGAELWFGFYWVLTQALRWNRVYRCIFKDRLSQRYGEEDLPGVDIFVCTADSTVEPPIMVMNTVLSVMAYDYPAKKLSVYLSDDGGSKLTFYALLEASKFARHWIPYCKKFKVEHRSPAAYFASLSKSNHHGDTRNLADIKKLYEEMEDRIETATKLGRIPEEAYLKHRGFSQWDSYSSRHDHDTIIQILIDGKDPNATDVDGCALPTLVYLAREKRPQHPHHFKAGAMNALIRVSSNISNGQIILTLDCDMYSNNSLCIWDALCFFMDIEKSHDIAFVQFPQAFENTTKNDTYGGSLLVPYNVELHGMDGLGGPLYIGTCCFHRRDVLCGRKFTKGCKFQWKIDDDNKGRKSIQELEEETKPLASCTYEQNTEWGNEMGLKYGCAVEDVVTGLSIQCKGWKSVYFNPEKKAFLGVAPTTLAQALVQHKRWSEGHLQILLSKHSLERFVQAKISLGLQLGYICYNSWSVNCLATLYYSIIPSLCLLKGISLYPQVSDPWFMPFACVISAKYIYSLIEFLCCGGTILGWWNEQRIWLYKRTSSYLFAFIDAILKTLAFTNVTFLITPKVTDEDASQRYEKEIMDFGASTPMFTIIATLAMLNFFCFAGMVMKVIMDANVFKLFEEMPLQILLCGALVLINLPLYQGLFLRKDKGKVPSSITVKSFVLALLACSSFTFLY >Manes.03G090316.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20011122:20018279:-1 gene:Manes.03G090316.v8.1 transcript:Manes.03G090316.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKYMPLFETKRAKGRVLYRLFAGTLFTGICFIWAYRVGNIPKRGEDGRWVWIGLLGAELWFGFYWVLTQALRWNRVYRCIFKDRLSQRYGEEDLPGVDIFVCTADSTVEPPIMVMNTVLSVMAYDYPAKKLSVYLSDDGGSKLTFYALLEASKFARHWIPYCKKFKVEHRSPAAYFASLSKSNHHGDTRNLADIKKLYEEMEDRIETATKLGRIPEEAYLKHRGFSQWDSYSSRHDHDTIIQILIDGKDPNATDVDGCALPTLVYLAREKRPQHPHHFKAGAMNALIRVSSNISNGQIILTLDCDMYSNNSLCIWDALCFFMDIEKSHDIAFVQFPQAFENTTKNDTYGGSLLVPYNVELHGMDGLGGPLYIGTCCFHRRDVLCGRKFTKGCKFQWKIDDDNKGRKSIQELEEETKPLASCTYEQNTEWGNEMGLKYGCAVEDVVTGLSIQCKGWKSVYFNPEKKAFLGVAPTTLAQALVQHKRWSEGHLQILLSKHSLERFVQAKISLGLQLGYICYNSWSVNCLATLYYSIIPSLCLLKGISLYPQVSDPWFMPFACVISAKYIYSLIEFLCCGGTILGWWNEQRIWLYKRTSSYLFAFIDAILKTLAFTNVTFLITPKVTDEDASQRYEKEIMDFGASTPMFTIIATLAMLNFFCFAGMVMKVIMDANVFKLFEEMPLQILLCGALVLINLPLYQGLFLRKDKGKESAFVLALDRSCPFVCNNRKFSYVTWKHSSTCCLKLLICSHKLLSRHKYKGYNLYPICCFHNQLGATSRQCERWVTKKN >Manes.03G090316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20011122:20018252:-1 gene:Manes.03G090316.v8.1 transcript:Manes.03G090316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKYMPLFETKRAKGRVLYRLFAGTLFTGICFIWAYRVGNIPKRGEDGRWVWIGLLGAELWFGFYWVLTQALRWNRVYRCIFKDRLSQRYGEEDLPGVDIFVCTADSTVEPPIMVMNTVLSVMAYDYPAKKLSVYLSDDGGSKLTFYALLEASKFARHWIPYCKKFKVEHRSPAAYFASLSKSNHHGDTRNLADIKKLYEEMEDRIETATKLGRIPEEAYLKHRGFSQWDSYSSRHDHDTIIQILIDGKDPNATDVDGCALPTLVYLAREKRPQHPHHFKAGAMNALIRVSSNISNGQIILTLDCDMYSNNSLCIWDALCFFMDIEKSHDIAFVQFPQAFENTTKNDTYGGSLLVPYNVELHGMDGLGGPLYIGTCCFHRRDVLCGRKFTKGCKFQWKIDDDNKGRKSIQELEEETKPLASCTYEQNTEWGNEMGLKYGCAVEDVVTGLSIQCKGWKSVYFNPEKKAFLGVAPTTLAQALVQHKRWSEGHLQILLSKHSLERFVQAKISLGLQLGYICYNSWSVNCLATLYYSIIPSLCLLKGISLYPQVSDPWFMPFACVISAKYIYSLIEFLCCGGTILGWWNEQRIWLYKRTSSYLFAFIDAILKTLAFTNVTFLITPKVTDEDASQRYEKEIMDFGASTPMFTIIATLAMLNFFCFAGMVMKVIMDANVFKLFEEMPLQILLCGALVLINLPLYQGLFLRKDKGKVPSSITESAFVLALDRSCPFVCNNRKFSYVTWKHSSTCCLKLLICSHKLLSRHKYKGYNLYPICCFHNQLGATSRQCERWVTKKN >Manes.03G090316.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20011122:20018280:-1 gene:Manes.03G090316.v8.1 transcript:Manes.03G090316.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKYMPLFETKRAKGRVLYRLFAGTLFTGICFIWAYRVGNIPKRGEDGRWVWIGLLGAELWFGFYWVLTQALRWNRVYRCIFKDRLSQRYGEEDLPGVDIFVCTADSTVEPPIMVMNTVLSVMAYDYPAKKLSVYLSDDGGSKLTFYALLEASKFARHWIPYCKKFKVEHRSPAAYFASLSKSNHHGDTRNLADIKKLYEEMEDRIETATKLGRIPEEAYLKHRGFSQWDSYSSRHDHDTIIQILIDGKDPNATDVDGCALPTLVYLAREKRPQHPHHFKAGAMNALIRVSSNISNGQIILTLDCDMYSNNSLCIWDALCFFMDIEKSHDIAFVQFPQAFENTTKNDTYGGSLLVPYNVELHGMDGLGGPLYIGTCCFHRRDVLCGRKFTKGCKFQWKIDDDNKGRKSIQELEEETKPLASCTYEQNTEWGNEVSDPWFMPFACVISAKYIYSLIEFLCCGGTILGWWNEQRIWLYKRTSSYLFAFIDAILKTLAFTNVTFLITPKVTDEDASQRYEKEIMDFGASTPMFTIIATLAMLNFFCFAGMVMKVIMDANVFKLFEEMPLQILLCGALVLINLPLYQGLFLRKDKGKESAFVLALDRSCPFVCNNRKFSYVTWKHSSTCCLKLLICSHKLLSRHKYKGYNLYPICCFHNQLGATSRQCERWVTKKN >Manes.10G137502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30559147:30560124:-1 gene:Manes.10G137502.v8.1 transcript:Manes.10G137502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKEERKVPIVAYKLKGGAAAWWNSIQNMKQMFEQRFLPSDHAQVLYNRYHNCVQGNRRVDEYTDEFLRLQARCENCENEAQQVAHYQRGLNHEIRCMMGVAAIFTLADAIEMAKRAKERVDWQPRQQQYNRNFNYRNFGSTGTQQYRGNYSGQPSKVVNYGNPPNTMEERRESKGKAVTTTTNKGGRINPYQKPMGDICYRCRQSGHRSNNCPERRGINTDRRQVNIVEKVAETDEEEDDDDGSIAGSEDGEVTYVVKKILCSTKQEDETQRRKIFQAKCRVGEAICRLIIDSCSCENLIAKQLVEKL >Manes.14G103000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8533763:8536658:1 gene:Manes.14G103000.v8.1 transcript:Manes.14G103000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPERNPLDLNNLPDDYSRDGKQVFDDSSSSGCRKKKSGAKDGKDECDKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSSDNLAAQGGHLGCHPMAPGSYHPSGIGDPYRSVYPPRLFSGSPSTILTPAPPQPPHQPYLYTSPSRLPHYSSQYLHQQPINDYCIGHVLNNSLQAYPSCQSNYNIGGQESNYTCIGAPVGHGGFGPGSSRGSEASGRDGSVSNQEEGLNWERSCYGAGGTGSQQRLDAPPSINRFQDGF >Manes.05G117400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12107515:12113001:1 gene:Manes.05G117400.v8.1 transcript:Manes.05G117400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCSREEKRTIAPEHVLKALQVLGFGEYIEEVYTAYEQHKIETMDSLRGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAIAPKQPESDRSLES >Manes.05G117400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12107515:12113001:1 gene:Manes.05G117400.v8.1 transcript:Manes.05G117400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCSREEKRTIAPEHVLKALQVLGFGEYIEEVYTAYEQHKIETMQDSLRGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAIAPKQPESDRSLES >Manes.05G117400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12107515:12113001:1 gene:Manes.05G117400.v8.1 transcript:Manes.05G117400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCSREEKRTIAPEHVLKALQVLGFGEYIEEVYTAYEQHKIETMQDSLRGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAIAPKQPESDRSLES >Manes.05G117400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12107515:12113001:1 gene:Manes.05G117400.v8.1 transcript:Manes.05G117400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCSREEKRTIAPEHVLKALQVLGFGEYIEEVYTAYEQHKIETMDSLRGGKWSNGAEMTEEEAVAEQQRMFAEARARMNGGAIAPKQPESDRSLES >Manes.10G064500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9115200:9125163:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQGNVSHGLMILHLVDWVMFGFIKSHSTEKLQKFCEENLETPNLKHVPFALVMAAAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9120277:9125164:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQGNVSHGLMILHLVDWVMFGFIKSHSTEKLQKFCEENLETPNLKHVPFALVMAAAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9115200:9125228:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9121318:9125163:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQGNVSHGLMILHLVDWVMFGFIKSHSTEKLQKFCEENLETPNLKHVPFALVMAAAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9115200:9125228:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9115200:9125163:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQGNVSHGLMILHLVDWVMFGFIKSHSTEKLQKFCEENLETPNLKHVPFALVMAAAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9115200:9125163:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQGNVSHGLMILHLVDWVMFGFIKSHSTEKLQKFCEENLETPNLKHVPFALVMAAAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9115200:9125163:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQGNVSHGLMILHLVDWVMFGFIKSHSTEKLQKFCEENLETPNLKHVPFALVMAAAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9121375:9125228:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.10G064500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9115200:9125163:-1 gene:Manes.10G064500.v8.1 transcript:Manes.10G064500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQANNTLFLEEWLRSNSGSNSPSISITTSHSSSSSARAIIQAWSELRDSLQHQSFLPNHLQALKILLQSQTSLHVADPQAKLLLSILSSKNLFLPVEAYPLLLRLLYIWVRKSFRPASVLVNLAVEVLTKVLDSNFDATKSPELFAEGVLLLGAFAFVPSAPESSKTVCLELLCRLLDKEYMLISSVHELIPDVLAGIGYALCSSINAYYIRIFDALLGIWGKEDGPQGNVSHGLMILHLVDWVMFGFIKSHSTEKLQKFCEENLETPNLKHVPFALVMAAAGALRALNRSISGGRDLQILSRLRSSAESRIESVAQDLITRTGSFSNIGNDCKTSLLLQCISLALARCGAVSSRAPLLISLASALLMEIFPLQHLYTRILELRQGSSSKMNLGEVKEHLNSVPFKEAGAISGVFCNQYVSIDEENRAIVENMIWRFCQDLYLGHRRVALLIGGKEDELLRDIEKIAESAFLMVVVFALTVTKQKINPNFSIEAQIETSVSILVSFSCVEYFRRMRLPEYIETIRCVVVSVQENETACKSFVESMPSYADLTNFQEFLKKVEYKWFDDEVQTARILFYLRVIPTCIERLPGPVFSRVVAPTMFLYMGHPIGKVARASHSIFVAFVSSGKDSNGNERALLKEQLSFYYMQRSLEGYPGITAFDGMASGVAALVRNLPAGSPATFYCIHNLVEKVNILCSDVSTQDADTWKDPLGDSEPCKKIMELLLRLIFLVDIQVLPNLMKLSAQLIIQLPKDGQNVVLNDLYNQVAESDDVTRKPTLVSWLQSLSYLCSQATSRSTSFEGNKSEEISALPLPNPSKWDRIKACL >Manes.14G164800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26021548:26026923:-1 gene:Manes.14G164800.v8.1 transcript:Manes.14G164800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELFLLQFFVLLFACAVLQFAVSETIANDTVHAPLVASKNEISKAWCRSGWDISPDKKKCLKYLENSWSWFESEAFCESYSGHLAAVTSSQELIFTKQLCGQSANGCWVGGRGINSSVGFDWKWSDNSSYWNKSLFAGTSSNSNCSSLSCRNNTGADFCTLLNNRTTYLMEERCNKSHAFICMIDVENKCYHMHCHREYLIILAVVSGLILCTTLAVVIWLLAYRRSRKRRKSRKLSNPAASALVPLSWKVFTNEELRSITKNFSEGNRLLGDAKTGGTYSGLLPDGSRVAVKRLKRSSFQRKKEFYSEIGKVARLHHPNLVAIKGCCYDHGDRYIVYEFIVNGPLDRWLHHIPRGGRSLDWAMRMKIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLIGVGLSKFVPWEVMQERTVMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVTGRRPAQAVDSVGWQSIFEWATPLVQANRYPELLDPLISSSSSEIPEAGVIQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQLA >Manes.05G191000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31388983:31395269:-1 gene:Manes.05G191000.v8.1 transcript:Manes.05G191000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSITANPAARRRPVVIGEKKTNNIDFLPTEAQINGGDLAMNNVIGNDKLAASHSKDVSHHSIRGEAVLERSSKDLAQVKKNNALAHSTVSPRRTRKVVGKPEKPRWQTVLRIFTKNFVLLLVLVGLVQMVRRLALKSGDSSLSGTQLGLSEFEARIAEVESFLKTTVKMIQVQVEVVDAKMENEAEGLRREMDKKIDAKGIFWESELKQLVARHDALDKSLGELKAVDWLSKEDFKKFYEELKKGQGSVLGESNLSLDDIRAYAREIVGREIEKHAADGLGRVDYALASGGAMVVKHSDPYIVGKGSNWFLMSSRSGIHPDAVKMLKPSFGEPGQCFPLKGSRGFVQIRLRTAILPEAVTLEHVAKSVAYDRSSAPKDCRVSGWLQGDDVDHAIDSEKMFLLTEFTYDLEKSNAQTFGVLDSAASGIVDTVRLDFLSNHGSSSHTCIYRLRVHGFEPDSVSMVTMES >Manes.07G095606.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29999735:30000360:1 gene:Manes.07G095606.v8.1 transcript:Manes.07G095606.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQLKGNYCICKGHEKRITKVLSKNKGLFIKNLDLENGFVHIDGDIDIEKVIKELQKKFKSMQVEVVEETDSDEEIDSDKCESLSQPLENGGGYSASRLQWPDVGGQSRLGLRPYGGLNQLRYDGYGGFETPSTYGYSGQNYQIDNANYYNEVNDLTKIIRDENPSICSTM >Manes.S095210.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251182.1:4987:5361:1 gene:Manes.S095210.v8.1 transcript:Manes.S095210.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLGIVMTLKYLLSFSLPFNVFFSIVIPFKFRNFHLYGAQVTINCVGLVCLGVIIISAKSSISTSIPPYASSSTSMNFSSPPSLSDSIFCIINFTSNLRFSDLWSIGVKNSMGHSCRSGSL >Manes.08G116000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35558209:35560932:1 gene:Manes.08G116000.v8.1 transcript:Manes.08G116000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLWLAHFLCFFLFHFHFQASPCICLSLNSSSSAMLCQQGQSLALLQLKDALYMISAPSSSNSLSPKTDSWKQGTDCCKWDGVSCDNTTGNVISLDLSYNDFNNSQLSFNNFSDQFPSSIENLQELSSLYLSNSNFNGQVPFSLGNLKKLTVLDLSHNNFSGQIPSSFENLQELSSLYLSNNNFSGQVPYFLGNLSKLTMLDLSHNNFNDEIPSSFGNLQELSSLYLSTNNFNGQVPYSFGNLKNLTVLDLSDNNFSGQIPSSLGNLRDLSSLYLNFNNFIGQIPSSLENLTELSMLDLSHNNLSDLNPSFLVNLKNLTSLYLNYNNFSDQIPPSLGSLSQLRKLDLSYNNFSSQIPSSLENLKQLTSLYLNNNNFNGQIPSSLGSLMRLSALDVSFNNFNGQIPSSFANLTRIYYLRMGNNNLNGQIPGSLFEYNQLTYIDLRNNKFEGPIPNSVFKRANLSVLIFSSNNLIGEVPSTICNLKVLQIIDLSNNSLNGFIPQCLGNFTNSLSVLHLGMNNFHGTIPEVFSVGNSLRYLNFNGNQLQKTIPSSISNCKDLQILDLGNNVINDTFPHFLGTLPKLQVLVLQSNKLHGLVNDSSANYSFSMLRIFDLSNNMFSGPLPAEYFNSFKAMMNFDVKMGYMGEPNIAYDYSVSLTVKGLEIVLVKIQTLLTTIDLSSNEFSGIIPQTIGGLKSLKLLNLSHNQLTGNIQPSLGELSNLESLDLSSNLLTGRIPVQLADLTFLQVFRVSDNKLEGPIPQGNQFNTFDSSSYEGNLGLCGFPLEKCDNGERQQQPTTSESESENGFGWKQVLLGYGCGVLFGITMGYVVFKTRRPAWFVMMVEGYQKSRRYKKNN >Manes.17G016000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:6021148:6024137:-1 gene:Manes.17G016000.v8.1 transcript:Manes.17G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYRISSTMNLWTDDNASVMEAFMNSDLAALWPPPQSAASTSTPAPPNADASRTLINQSQQLFNQETLQQRLQTLIEGARESWTYAIFWQSSYDYSGASVLGWGDGYYKGEEDKGKGKSKGSSSSVAEQEHRKKVLRELNSLISGPTAVTDDAVDEEVTDTEWFFLVSMTQSFVNGSGLPGQAFFNGNPVWVAGPDRLSASPCDRARQGQVFGLQTLVCIPSANGVVELGSTEVIYQSSDLMNKVRVLFNFNSLEVGSWPMGTNPDQGENDPSSMWISDPSQSGIEMKDGNSTVPSSGGTTTANNSNNNNHNGSKGIQFGNPNSSSVTENPSGIQLQNHQQGNQQQQQMTQTQTQTQTQSFFTRELNFGEYSAFDGSSARNGNSNLLKPESGEILNFGESKRSSCSANGNFFSGHSQFAVEENNKKKRSPNSRGSNEEGMLSFTSGVILPSSGVVKSSGGTGDSDHSDLEASVVRQTETSKVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYSLRAVVPNVSKMDKASLLGDAIAYIKELRSKLQASESDKEELEKQVESMKKEFASKDTRQEPPPRDQELKMSNTQGSKPIEVDVDVKIIGWDAMIRIQCSKKNHPAARLMAALKELDLDVHHASVSVVNDLMIQQATVKMGSRFYTQEQLRVALSTKVGDT >Manes.15G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:842647:847646:-1 gene:Manes.15G009300.v8.1 transcript:Manes.15G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLSFPPPFFHASPRPCRAYSRQSPIILLPLNSSPICSLRLSSNSFHQRIIRRLPPIMSSAISQSGITELSDESDFHSLLSPSGLISICGFGSLLSERSARSTFPDLANFRVARLNGFRRVFAHVAPIFFERGIAKPETKEISSLSVEPCEGETLIVTVFEIDKSEIPAFMERELEFRFLAVVPETIDGKPFDSRAVLCAHYSDEEFFQIRCQGSREIYFQHYGRYDIHKIWRDDILPCRTYLRHCVLAAKNLGRVAHDNFLDHTFLGDRKTTIREYLATTGAGIMEEEPPESLKTRYGG >Manes.16G042250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6055274:6056475:-1 gene:Manes.16G042250.v8.1 transcript:Manes.16G042250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEFIGLFDSCWFEMEILKNQSRLLKSSDADANPVHQNQEEASKPELYRVPTIISRSMSDQLWPKASFGSGLSLSPDSVLPTSKLQTILSDMEIEEEGIQTQRPYVQESHTRKTRSRRPEKKTLSKSLSELEFEELKGFMDLGFVFSEEDKDSSLVSIIPGLQRLGKKDSEEESGGVDEAAVSRPYLSEAWHGLERRKKEDSLINWRLPALRNEMDMKDNLRWKILHLFNCLGWFGFSDSLGD >Manes.04G073300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27732402:27737341:-1 gene:Manes.04G073300.v8.1 transcript:Manes.04G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEELNKPNSYLDSSSPSEPLLSKPLFSPSLALLPPIEETTEPLQDESDPTLYLPISYNSGPRPFKDIPFLILFALCVLCTFAFGIFSVSQRNPDYTNLSSFTYEFNSTSCVKDSLSSSSVWFLETHVYYYLLSLSSSGFGKALIWNLVVTFILSSPICFLFFLLLKHYTKQIVYISLPFFIVLPIFFNVYWFVACTVSSTCIDAFPLVYRILVLVFVFLVIGVIMWILVANWHRIELTVMIIGVASDALSKNLALFVALPLLTFGLVLYYAPIVVFLVFARLNGKIVPKESSGEYTCVWKQDSWVPAYYALAILAMLWSLTAMVETQVYVISGTIAEWYFTKEDSSPKRGIRSSLRNAFGPSSGTICLSGLLICAVRLVRAAVDSTREEDVPGMVNFILRCCVNALLSAVDFLNKFTINFTAITGEAYCISARMTYELLKRNLLSAVFVETVSSRLLTGIAFVLSAIYAIVVCAILKGVSNLGVDSYFVSVLASLLLMVVLCYFVHVLDNVRDTIYVCYAIDKDRGEVYKQEIHRVYAHLPTSRNHRSPIVPKTPVV >Manes.17G100700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30779130:30785911:-1 gene:Manes.17G100700.v8.1 transcript:Manes.17G100700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLIARLESAVARLEALSTSAFRDRGVSDFSGADVTIDPSIVAFDDLLGQFFGRVLAAAEKIGGQVLEVTKIVQEAFSVQKELLIKAKQTQKPDLVGLAEFLKPLNEVIMKANAMTEGRRSDFFNHLKSAADGLTALAWIAYIGKDLGMSMPIAHVEESWQMAEFYNNKILVEYKSKDPNHVEWAKAMKELYLPGLRDYVKSHYPLGPTWGVSGKAPVSAPSKAPAPPPPPPASLFSAESSQPSSSKPKEGMAAVFQDINSSKLVTAGLRKVTADMKTKNRADRTGVVSASEKESRTSSPSFSKTGPPKLELQMGRKWVVENQIGRKNLVIDDCDAKQSVYIFGCKDSVLQIQGKVNNITVDKCTKMGVLFVDVVAACEIVNCNGVEVQCQGSSPTISVDNTSGCQLYLSKDSLGASITTAKSSEINVLVPGAEPNGDWVEHALPQQFINVFKDGRFETTPISHTGG >Manes.05G166200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27807272:27809294:1 gene:Manes.05G166200.v8.1 transcript:Manes.05G166200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALPSPYLQSQFQPLRSSSKPSSRRSFVLPIKASISDKPSIAASPPSVSPVEPSKLPLRKIPGDYGLPFIGPIKDRLDYFYNQGKEEYFKSRAQKYQSTVFRANMPPGPLIASNPHVVVLLDGKSFSVLFDVTKVEKKDLFTGTFMPSTDLTGGYRILSYLDPSEPKHTQLKNFLFYLLKARRDHVIPEFSSTYTGLFESLEKDLASKGKVGFNDPGEQAAFSFLGRCYFGVDPVNTKVGTDGPSLIAKWVLFQLAPILTLGLPAFIEEPTIHTFRLPPQLVKKDYQRLYDYFYSSAGSLLDEAEKMGLSREEACHNILFATCFNTFGGLKIFFPNILKWIGRAGVKLHTQLAQEIRSVLKSNGGQITMASLEQMPLMKSAVYEAFRIEPPVPAQYGKAKRDLVIESHDAAFEVKEGEMIFGYQPFATRDPKIFDRPDEYIPDRFVGDGEKLLKHVLWSNGPETEHPTVGNKQCAGKDFVVFISRLFVVELFRRYDSFEIEVGSSALGSSITITSLKRASF >Manes.11G126566.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28908734:28912097:-1 gene:Manes.11G126566.v8.1 transcript:Manes.11G126566.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENDNHYLPLYKAALHGDWITAKRIFDSDSNALTAKILGLHETALHVSISAGHSIEFVKKLVDRMSADELGIKNKYGYTPLHYAGIAGNTAAAKLLVNKNPKLTQERNSDNDTPLHRAAAYAHEDTVQYLLLVTEDEEDPIRSSPFTDEDGVRLLNLLIIADFYSIALTVLKRYPGLARKTDYNGFSALDRLAEKPHAFLSGSRLGFFQRFLYHYFAVTSADKASVHQGKDVETPGGSFGEYKNESLHFQFLQQIQKTKVMHKQAMELLRFLISEALKGSVLEADNLLGPPTRIAAILGIQEFVTEMIKSYPVTVWLENMAGQNIFLLAVKHRQEKIFNLLYQMGTHNIFAASFSDDLGNNMLHLAGKLEPSIKISGAALQMQRELQWFKEVEKVVQPSYKEMKNKDMQTPRMVFTAEHKDLVEKGEKWMKDTATSCATVAALVVTVVFAAAFTVPGGNNSDVGIPIYLNETSFMIFAVSDALGLFSSSTSLLMFLGILTSRYSEEDFLRALPMRLSIGLITLFFSIASMLTAFTAAFHLVLFHRVRWITIPIGLLACAPVTLFALLQFPLLVEIVSSTFGPSIFYKQSEEIIF >Manes.05G050900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4253560:4256254:-1 gene:Manes.05G050900.v8.1 transcript:Manes.05G050900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYEWGNPSTMMLTGDEPTQDPDQNRQIFDHYTAQSFNDNLVQQPTTLFHHPATHQVNSLYDPRAYAGAASYTSPHPSLLSLDPITNPAGAGPSYFLVPKSEEVSRPPDYTARIGLNLGGRTYFSSAEDDFVNRLYRRARPVEAGSSNAPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSHQINQETHKSQTESARNSSSENLTRSPPDSGVHNPSSSVTVAVSPPRMFLDCFKQRTYQATPSSSSASSSSLFFSSG >Manes.05G050900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4253625:4256052:-1 gene:Manes.05G050900.v8.1 transcript:Manes.05G050900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYEWGNPSTMMLTGDEPTQDPDQNRQIFDHYTAQSFNDNLVQQPTTLFHHPATHQVNSLYDPRAYAGAASYTSPHPSLLSLDPITNPAGAGPSYFLVPKSEEVSRPPDYTARIGLNLGGRTYFSSAEDDFVNRLYRRARPVEAGSSNAPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSHQINQETHKSQTESARNSSSENLTRSPPDSGVHNPSSSVTVAVSPPRMFLDCFKQRTYQATPSSSSASSSSLFFSSG >Manes.06G118000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24837273:24841324:1 gene:Manes.06G118000.v8.1 transcript:Manes.06G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFMLASRYSKSSSSSVYIKEEILFMGYCSWLLLPCFIWSSLILGSHQLQPSQTQVLLQLRKHLEYPNQLEIWKDHSVDFCFISSTAQVNVTCQDNFVTELRIRGDKPAKIDNFVGFVIPNQTLSENFSMDSFVVTLARLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNYLSGSVPPKISTMVKLQTLILDDNFFNDTVPNWFASLSNLTILRMRNNQLKGPFPTSLQRVTTLTDVVLSSNEISGNLPSLDSLSNLHLLDLSGNNLDSNLPSMPKGLVSISLSNNSFSGEIPHPYGQLSQLQHLDMSFNKLSGRPPATIFSLPNISFLNLTSNMLSGSLPDHLSCGRKLQFVDISNNSFTGGLPQCLRLESDDRVVKFGGNCLSIDLHHQRTESSCMAMPVKEKQSGVKNIGRLVGVTIGILMVLVLLAFGFLVLCRRYCPRGTSEQHLLHKAVQENSATGLSSEILTNARFISQAAKLGSQGLPMCRSFTLREIKAATRNFDQSTILGEGSYGKLYKGRLEDGTQVAIRCLSSSNKYTIRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYKVNRIFLVFEYISNGNFRTRLYEDNSGKLLNWSERLTVLIGVAKAVHFLHTGVIPGFFNNQLKTNNILLNEYGIAKLSDYGSSIISEELANSGEGGEGFKSRQMTRLEDDVYSFGFILLESLVGPSVSGRRDKLLLDELASLNSPDGHRKLVNPIVLATCFQESLSTVISITNKCICSESWSRPSFEDILWNLQYAAQIQATADGTKT >Manes.18G070933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6391208:6393983:1 gene:Manes.18G070933.v8.1 transcript:Manes.18G070933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREDFIELKFRLADGTDIGPSKYSPTTTVANLKEKIIAQWPKDKENVPKTINDVKLINAGKILENNRTLAESRLPVSELPGGVITMHVVLRPPIPDKKSDKLRKDSTKKSGCSCSIL >Manes.17G012700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:5236575:5237980:1 gene:Manes.17G012700.v8.1 transcript:Manes.17G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGLLSLNNFHHRKLPQTQQNHNHQRHNSSSTSWMWNPKQTQEEDDSWEIRAFEEDTGNVMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTVQPPGSINHPNSSSTSTSSSTFLIPTQEFSTTNGGLCLLYQLPNPNGIFTSTMNACAIDSPSTLLSISPYPHNHLSGQSLNYPVASPVINSSHFYSSKAESSASIDKYKDLGNEELDLELRLGHRSTSSSSSAS >Manes.14G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2053085:2056561:1 gene:Manes.14G020600.v8.1 transcript:Manes.14G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYFFKFWRPNKNYLPSNGNSDTTEMATTGVDTDDELNEEEDSFFELEFTVPDFDNNKCENKNGIPLDSDSNTFDSKQKTLHNSANKAGNIEHKFPPPTVSLSPTDLLSKRKILPVEPISKPQSPISPLKSAPSFRVLMFKKSKSMAEHEAGKTGEAELKGVFVDTPKNKKQESKLFTVKFKLKEAANVPMFTRENSLRKQISDDSFPNDSSRRFSKEVIQKYLKLIKPLYIKVSKRQSNKPKFSGELSAPSPSSSPATLPSGSPKEKQGSIPAGIRVVCKHLGKSKSASAATTVLPSTVTRRDDSLLLQHDGIQSAILHCKRSFNSYSSRDSSFLSQYEGDTLNDKSMDSPRISHEEKGVH >Manes.15G187901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27664480:27666247:1 gene:Manes.15G187901.v8.1 transcript:Manes.15G187901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKPPYPTFNQFVLALQGYEQTLNTQKEEEKDHVEYNQAFVSQRGRGRANRGNRGGFSSRGRGFTPAGRYNANSNNFMKEKGANGKQQEKGDKPTCQICGKMNHTALECWYRFDYSYQTENLPQALATLTTNDYDSSQYMDSAATSHMTNNPGSQQADNREGN >Manes.15G187901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27665350:27666527:1 gene:Manes.15G187901.v8.1 transcript:Manes.15G187901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKPPYPTFNQFVLALQGYEQTLNTQKEEEKDHVEYNQAFVSQRGRGRANRGNRGGFSSRGRGFTPAGRYNANSNNFMKEKGANGKQQEKGDKPTCQICGKMNHTALECWYRFDYSYQTENLPQALATLTTNDYDSSQYMDSAATSHMTNNPV >Manes.03G139900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26759708:26765449:-1 gene:Manes.03G139900.v8.1 transcript:Manes.03G139900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDLHKVWEVKALKKPGEEEAKKVLEKIAKQVQPIMRNHKWRVKLLSEFCPNNPSLLGLNVGGGVHVKLRLRRPNRDWDFIPFDQVLDTMLHELCHNAHGPHNANFYKLWDELRKECEELLSKGISGTGEGFDLPGRRLGGFSRQPPLSSLPKTALQAAEKRAKLGSMLPSGPKRLGGDSTIMVALSPIQAAAMAAERRLQDEIWCGSQSAEVFEEGESSSDIIKDLSSMGQITGSSRPDIGKKRSREQNNSTTFLSFNGHSGSNIIDLSTEVPTSGSILDYGTSTKKRISNSYKNSSSDSNFVDSTSGSSLGWKPNHSTVHNSEERDMWECGACTLLNPSLAPICKLCSTEKPKDASTKHTTWSCKFCTLHNSVKLDKCSACNQWRYSYGPPVSTRTPNLGT >Manes.01G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2081172:2084190:-1 gene:Manes.01G003800.v8.1 transcript:Manes.01G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIIPDKTNNSLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDASGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEEKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEISKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILYAPKRAPFDLFDTRKKPNNIKLYVRRVFIMDNCEELIPEYLGFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNKTKLAELLRYHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEFEGKKLVSATKEGLKIDESEDEKKRKEELKEKFEGLCKVIKDVLGDRVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGEGDADMPALEDADADAEGSKMEEVD >Manes.12G101000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26815554:26819284:1 gene:Manes.12G101000.v8.1 transcript:Manes.12G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSVPAPFLTKTYQLVDDPSTDDVISWNETGNTFVVWKTADFAKDLLPNCFKHNNFSSFVRQLNTYGFRKIVPDKWEFANENFRRGHKELLAEIRRRKTVTPTPTPTQTPAACKSGGGGLATSPSNSGEDLGSTSTSSPDSKNPGSVETAATMTTQFAELSGENEKLKKDNELLSSELAQAKKQCDELIAFLTEYVKVGPDQINRIMRQGSYCGSTLDGIMSAAAGVDDHDVDAGNVENDDGEDCGGTSESFKLFGVLLKGNTKKRGRGENLGFAGPHAKEIKICN >Manes.13G058500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6713383:6716227:-1 gene:Manes.13G058500.v8.1 transcript:Manes.13G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIRAVLQRGQKLINSIAGNPTRRCLSSNSSCNYGGCTKVMSFGDGSHGAIGLPTSLTGLGTDAYEPICIPGLPSDIVGVSAGHYHSLVITSQGELWAWGRNHEAQLGHSLLASSRDTWNEPKRVEGLNQVQVLSAFASGVVSAAIGDDGSLWIWGKSKRGQLGLGKGIKEALVPARVEALAGEKIAKVSFGWGHALALTEEGKLFGWGYSADGRLGKITGAVEASPLDSRADMVKSNQQLSKSILEAVERHVLEGMENEKDMPIVWDPCLVEELHGTEVVDIACGLDHSLVLCRDGTLLSSGSNIYGQLGRANHEIGLFPVDINFQLSSIAAGLGHSLAISGVVSSEGNATSIVSWGWNQNSQLGRIGPENLPLEVEGLAGESIVSVSAGRVHSLALTSKREVWVWGCGKNGRLGLGSSTDETEPVLLDNLDSYEVLQAVSGFDHNLVLIAQ >Manes.13G058500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6713383:6716227:-1 gene:Manes.13G058500.v8.1 transcript:Manes.13G058500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIRAVLQRGQKLINSIAGNPTRRCLSSNSSCNYGGCTKVMSFGDGSHGAIGLPTSLTGLGTDAYEPICIPGLPSDIVGVSAGHYHSLVITSQGELWAWGRNHEAQLGHSLLASRDTWNEPKRVEGLNQVQVLSAFASGVVSAAIGDDGSLWIWGKSKRGQLGLGKGIKEALVPARVEALAGEKIAKVSFGWGHALALTEEGKLFGWGYSADGRLGKITGAVEASPLDSRADMVKSNQQLSKSILEAVERHVLEGMENEKDMPIVWDPCLVEELHGTEVVDIACGLDHSLVLCRDGTLLSSGSNIYGQLGRANHEIGLFPVDINFQLSSIAAGLGHSLAISGVVSSEGNATSIVSWGWNQNSQLGRIGPENLPLEVEGLAGESIVSVSAGRVHSLALTSKREVWVWGCGKNGRLGLGSSTDETEPVLLDNLDSYEVLQAVSGFDHNLVLIAQ >Manes.12G134500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34155215:34161027:1 gene:Manes.12G134500.v8.1 transcript:Manes.12G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAISFDQEIPAVVDGNCDLPVAGSCFQFVKPSFDEVNQQCTLDVLPLLFEETSFPMEEKCAFQTTHGQDVYSISMVSEEDKTDPNCTSQLAFLSFVELPVSPKKQMCLDTQLNCQNFIGFQMESADAYSPCIVDIDIEMENFEKNKSSNEAVGSIKSEGLLTGVLQRQASLKTCGRIMQLFTNHGSTLLKLLSKEKSFNERVYDTPNNRWRKCKRAASFDSRKVVLLFSILSSLGTLILIYLTLKVRQTADGFVNV >Manes.12G134500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34155215:34161027:1 gene:Manes.12G134500.v8.1 transcript:Manes.12G134500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAISFDQEIPAVVDGNCDLPVAGSCFQFVKPSFDEVNQQCTLDVLPLLFEETSFPMEEKCAFQTTHGQDVYSISMVSEEDKTDPNCTSQLAFLSFVELPVSPKKQMCLDTQLNCQNFIGFQMESADAYSPCIVDIDIEMENFEKNKSSNEAVGSIKSEGLLTGVLQRQASLKTCGRIMQLFTNHGSTLLKLLSKEKSFNERVYDTPNNRWRKCKRAASFDSRKVVLLFSIL >Manes.12G134500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34156666:34161027:1 gene:Manes.12G134500.v8.1 transcript:Manes.12G134500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEEDKTDPNCTSQLAFLSFVELPVSPKKQMCLDTQLNCQNFIGFQMESADAYSPCIVDIDIEMENFEKNKSSNEAVGSIKSEGLLTGVLQRQASLKTCGRIMQLFTNHGSTLLKLLSKEKSFNERVYDTPNNRWRKCKRAASFDSRKVVLLFSILSSLGTLILIYLTLKVRQTADGFVNV >Manes.01G224800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39063859:39076909:-1 gene:Manes.01G224800.v8.1 transcript:Manes.01G224800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVWSEDWYSQLGATTHIRKLLSMGRSPPINDVVQSGVVPRFIEFLAREGFPQLQFEAAWALTNIASGTSENTKVLIDHGAVPIFVKLLSSPLDAVREQAVWALGNIAGDSPQCRDLVLGHGALMPLLAQFDKHAKLSILRNATWTLSNFCRGKPQPLFEQIKLAFPALERLIHSNDEEVLTDACWALSYLSNGANDKIQAVIEAGVCPRLVELLLHPSPTVVFPALRTVGNIVTGDDMQTQYMINYQGLPCLLNLLTSNKGKIKREACWTISNITAGNANQIQAVIEAGIIGPLVDLLQNAEFEVKKEAAWAISNATSGGTYEQIKFLASQGCIKPLCDLLICPDPTIVTVCLEGLGNILKVGKADKNLGSTGEANFYAQMIGDAEGLEKVENLQNHDNNDIYEKAVNILETYWLEEDDDESEPEGDGSPTGFNFGGNNSTVHSGGFNFS >Manes.01G224800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39063859:39076909:-1 gene:Manes.01G224800.v8.1 transcript:Manes.01G224800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVWSEDWYSQLGATTHIRKLLSMGRSPPINDVVQSGVVPRFIEFLAREGFPQLQFEAAWALTNIASGTSENTKVLIDHGAVPIFVKLLSSPLDAVREQAVWALGNIAGDSPQCRDLVLGHGALMPLLAQFDKHAKLSILRNATWTLSNFCRGKPQPLFEQIKLAFPALERLIHSNDEEVLTDACWALSYLSNGANDKIQAVIEAGVCPRLVELLLHPSPTVVFPALRTVGNIVTGDDMQTQYMINYQGLPCLLNLLTSNKGKIKREACWTISNITAGNANQIQAVIEAGIIGPLVDLLQNAEFEVKKEAAWAISNATSGGTYEQIKFLASQGCIKPLCDLLICPDPTIVTVCLEGLGNILKVGKADKNLGSTGEANFYAQMIGDAEGLEKVENLQNHDNNDIYEKAVNILETYWLEEDDDESEPEGDGSPTGFNFGGNNSTVHSGGFNFS >Manes.01G224800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39063859:39076909:-1 gene:Manes.01G224800.v8.1 transcript:Manes.01G224800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVWSEDWYSQLGATTHIRKLLSMGRSPPINDVVQSGVVPRFIEFLAREGFPQLQFEAAWALTNIASGTSENTKVLIDHGAVPIFVKLLSSPLDAVREQAVWALGNIAGDSPQCRDLVLGHGALMPLLAQFDKHAKLSILRNATWTLSNFCRGKPQPLFEQIKLAFPALERLIHSNDEEVLTDACWALSYLSNGANDKIQAVIEAGVCPRLVELLLHPSPTVVFPALRTVGNIVTGDDMQTQYMINYQGLPCLLNLLTSNKGKIKREACWTISNITAGNANQIQAVIEAGIIGPLVDLLQNAEFEVKKEAAWAISNATSGGTYEQIKFLASQGCIKPLCDLLICPDPTIVTVCLEGLGNILKVGKADKNLGSTGEANFYAQMIGDAEGLEKVENLQNHDNNDIYEKAVNILETYWLEEDDDESEPEGDGSPTGFNFGGNNSTVHSGGFNFS >Manes.01G224800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39063859:39076909:-1 gene:Manes.01G224800.v8.1 transcript:Manes.01G224800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADVWSEDWYSQLGATTHIRKLLSMGRSPPINDVVQSGVVPRFIEFLAREGFPQLQFEAAWALTNIASGTSENTKVLIDHGAVPIFVKLLSSPLDAVREQAVWALGNIAGDSPQCRDLVLGHGALMPLLAQFDKHAKLSILRNATWTLSNFCRGKPQPLFEQIKLAFPALERLIHSNDEEVLTDACWALSYLSNGANDKIQAVIEAGVCPRLVELLLHPSPTVVFPALRTVGNIVTGDDMQTQYMINYQGLPCLLNLLTSNKGKIKREACWTISNITAGNANQIQAVIEAGIIGPLVDLLQNAEFEVKKEAAWAISNATSGGTYEQIKFLASQGCIKPLCDLLICPDPTIVTVCLEGLGNILKVGKADKNLGSTGEANFYAQMIGDAEGLEKVENLQNHDNNDIYEKAVNILETYWLEEDDDESEPEGDGSPTGFNFGGNNSTVHSGGFNFS >Manes.04G016900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2134706:2141438:-1 gene:Manes.04G016900.v8.1 transcript:Manes.04G016900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHEQDSDVVQWGLQLFESNPYSNYMYGDNATQDDVDYYAEQLFKEDYYDAEYCTVENDELIALALQEELTQLSVAEAPESPHGENKHLQVSSFQPDWNDQSMENYGSGHEGGQEEANDVGPSSSCSSPRENSHSAEDWSYSLELAEEYAFDGEVGKWLNEMVPIPHVPRINGEIPSVDEATLDHQRLLDRLQVYDLVERKVQGDGNCQFRALSDQFYLTPEHHEFVRQEVVNQLKSYPETYEGYVPMAYGDYLEKMSRSGEWGDHVTLQAAADSYGVKIIVITSFKDTCCIEILPNVQQSKRVILLSFWAEVHYNSIFAQGDWPTYETKKKKKWRMFRNKHLESVDNTDDCYYRV >Manes.06G146800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27317911:27320779:-1 gene:Manes.06G146800.v8.1 transcript:Manes.06G146800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEPKKLESETPSEPPPPAPATEAVEPPKDVAEEKSVIPPPTEEKGDDSKVLVAVEKAPESAEAKSTEGSVNRDAVLARVETEKRISLIKAWEESEKSKAENKAHKKLSAIASWENSKKASVEAELRHIEEKLEKTKAEYVEKMKNKIALIHKAAEEKRAMIEAKRGEDLLKAEEIAAKYRATGTAPKKLLGCF >Manes.01G261000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41677344:41683591:-1 gene:Manes.01G261000.v8.1 transcript:Manes.01G261000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRTSISSDPDGDSLTKRVKVDQETEQKIDGELYLDERSATGMEQHIASTSLENAASTSNTSSMARIEKSVYDKLPKEMNEMSMRDEKPTNHKEKDVEATMVSGNGTETGHVIATTVGGRNGKPKQVISFMAERVVGTGSFGVVYQAKCLETGEAVAIKKVLQDRRYKNRELQIMRILNHPNIVELKHCFFSTTNKDELYLNLVLEYIPETVYRVSRHFNKMNHQHMPILYVQLYTYQICRGLSYLHHVVGVCHRDIKPQNLLVNPHSHQVKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATAYTTAIDMWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKQLPLEAVDLVSRLLQYSPNLRCSALEACAHPFFDDLRNPNACLPNGRALPPLFNFTAQELAGASAELRQRLIPEHARKEDC >Manes.01G261000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41677794:41683591:-1 gene:Manes.01G261000.v8.1 transcript:Manes.01G261000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRTSISSDPDGDSLTKRVKVDQETEQKIDGELYLDERSATGMEQHIASTSLENAASTSNTSSMARIEKSVYDKLPKEMNEMSMRDEKPTNHKEKDVEATMVSGNGTETGHVIATTVGGRNGKPKQVISFMAERVVGTGSFGVVYQAKCLETGEAVAIKKVLQDRRYKNRELQIMRILNHPNIVELKHCFFSTTNKDELYLNLVLEYIPETVYRVSRHFNKMNHQHMPILYVQLYTYQICRGLSYLHHVVGVCHRDIKPQNLLVNPHSHQVKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATAYTTAIDMWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKQLPLEAVDLVSRLLQYSPNLRCSALEACAHPFFDDLRNPNACLPNGRALPPLFNFTAQELAGASAELRQRLIPEHARKEDC >Manes.10G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:896527:899986:1 gene:Manes.10G007600.v8.1 transcript:Manes.10G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNKRFNNFCRYPFRSFADRRCISNKKKEKWKIQLEFKLPFLQHTQVFFLFLQLLIFLIQPVASQDWDGVVVTQADFQALQAFKAELVDTKGFLKSWNDSGYGACSGGWIGIKCAQGQVIVIQLPWKGLGGRITDKIGQLQALRKLSLHDNLIGGSIPKTLGLIPSLRGVQLFNNRLSGSIPSSLGSCPLLQTLDLSNNSLTGTIPESLANSSKIFRLNLSYNSLSGSIPLNLTRSFSLIFLDLQYNNLSGTIPASLGKLSELQEISLAHNQISGAIPVEIGGLSRLRTLDISNNAINGSLPISLSNLSSLVLLNLENNNLDNQIPEAVGGLHNLSVLNLKRNRFIGNIPATLGNISKIIQLDLSQNKLSGEIPDSLANLQNLVSFNVSYNNLSGPVPTPLSKKFNSSSFVGNIQLCGFSGAAPCPSQAPSQSVAPPPETPKKHHRKLSTKDIILIAAGALLLVLIILCCILLFCLIRKRAASKAKDGQATARAAAAAGVGKGAPPVAGEVEAGGEAGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKSQREFENEVNALGKIRHPNLLALRAYYMGPKGEKLLVFDYMPKGSLATFLHARGPDTPIDWPTRMRIAQGMTRGLFYLHNHENIIHGNLTSSNVLLDENANARIADYGLSRLMTAAANTNVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMKDASTIGDELLNTLKLALHCVDPSPSARPEVQQVLQHLEEIRPDTSAAASSVPSGGEDGAGVPSTSD >Manes.10G007600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:896527:899986:1 gene:Manes.10G007600.v8.1 transcript:Manes.10G007600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNKRFNNFCRYPFRSFADRRCISNKKKEKWKIQLEFKLPFLQHTQVFFLFLQLLIFLIQPVASQDWDGVVVTQADFQALQAFKAELVDTKGFLKSWNDSGYGACSGGWIGIKCAQGQVIVIQLPWKGLGGRITDKIGQLQALRKLSLHDNLIGGSIPKTLGLIPSLRGVQLFNNRLSGSIPSSLGSCPLLQTLDLSNNSLTGTIPESLANSSKIFRLNLSYNSLSGSIPLNLTRSFSLIFLDLQYNNLSGTIPASLGKLSELQEISLAHNQISGAIPVEIGGLSRLRTLDISNNAINGSLPISLSNLSSLVLLNLENNNLDNQIPEAVGGLHNLSVLNLKRNRFIGNIPATLGNISKIIQLDLSQNKLSGEIPDSLANLQNLVSFNVSYNNLSGPVPTPLSKKFNSSSFVGNIQLCGFSGAAPCPSQAPSQSVAPPPETPKKHHRKLSTKDIILIAAGALLLVLIILCCILLFCLIRKRAASKAKDGQATARAAAAAGVGKGAPPVAGEVEAGGEAGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGNQVAVKRLREKITKSQREFENEVNALGKIRHPNLLALRAYYMGPKGEKLLVFDYMPKGSLATFLHARGPDTPIDWPTRMRIAQGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMKDASTIGDELLNTLKLALHCVDPSPSARPEVQQVLQHLEEIRPDTSAAASSVPSGGEDGAGVPSTSD >Manes.09G011898.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2803298:2807553:1 gene:Manes.09G011898.v8.1 transcript:Manes.09G011898.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLYQQAESLPFPILYFLSSFPISDPSLKTTDERSMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDALFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTLINGLCMEDKIDKAVEFFDDMVARGYQPDVYTYNTIINGICKFGKINVAIGLLKGMADRGCEPDVVTYGAIIDALCKDELVGEALELVSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNTLIDTLCKKGLVSNAENIIKIMIQRGVEPNVVTYSSLMDGYCLCNQIDKARKVFDLMVTNEIANIFSYTILINGYCKCKMIDDAKELFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAQELFKNMCFHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEKSQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCRQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILSKLRNRSEASKAVQ >Manes.05G192400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31529885:31530817:1 gene:Manes.05G192400.v8.1 transcript:Manes.05G192400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSDYPLFSCRNCRNPLAFHSDLISKTYKAKSGQAYMFSNAMNIALGRREDRQMITGVYTIADVYCRNCGEALGWMYLRASDPKQKYKEGNFVLEKLKIFQENY >Manes.04G095800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30166533:30172701:-1 gene:Manes.04G095800.v8.1 transcript:Manes.04G095800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQILKTTTSHFSHVESISKLSAAGKISGVNAVVLGEALASEEDELVFPNDEFSRQALVPSPKKYLEMYKRSVEDPAGFWSDIASQFYWKQKWGRPVFSENFDVRKGNVKIEWFKGGITNICYNCLDRNVESGNADKIAIYWEPNDPGSQDSLTYFQLLQRVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVLTCNAVKRGSKVITLKEIVDAALVESAKSGILVDLCLTYENKSAMKRESTKWREGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATIVVFEGAPNYPDSGRCWDIVDKFKVTIFYTAPTLVRSLMRDGDEYVTRYPRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGIEHEVTVTLCVCV >Manes.04G095800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30166533:30172732:-1 gene:Manes.04G095800.v8.1 transcript:Manes.04G095800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGIAAAQSPVIFGASFVKSSLTVARPSASASASASVSYSRLCCRPHCCRGLPIGIGMANQILKTTTSHFSHVESISKLSAAGKISGVNAVVLGEALASEEDELVFPNDEFSRQALVPSPKKYLEMYKRSVEDPAGFWSDIASQFYWKQKWGRPVFSENFDVRKGNVKIEWFKGGITNICYNCLDRNVESGNADKIAIYWEPNDPGSQDSLTYFQLLQRVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVLTCNAVKRGSKVITLKEIVDAALVESAKSGILVDLCLTYENKSAMKRESTKWREGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATIVVFEGYVTRYPRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGIEHEVKGQGIYAFVTLVDGVPYSDELRKSLIHKVRNQIGAFAAPDKVHWAPGLPKTRSGKIMRRILRKIASRQLNELGDTSTLADPNVVEQLIALADR >Manes.04G095800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30166533:30172730:-1 gene:Manes.04G095800.v8.1 transcript:Manes.04G095800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGIAAAQSPVIFGASFVKSSLTVARPSASASASASVSYSRLCCRPHCCRGLPIGIGMANQILKTTTSHFSHVESISKLSAAGKISGVNAVVLGEALASEEDELVFPNDEFSRQALVPSPKKYLEMYKRSVEDPAGFWSDIASQFYWKQKWGRPVFSENFDVRKGNVKIEWFKGGITNICYNCLDRNVESGNADKIAIYWEPNDPGSQDSLTYFQLLQRVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVLTCNAVKRGSKVITLKEIVDAALVESAKSGILVDLCLTYENKSAMKRESTKWREGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATIVVFEGAPNYPDSGRCWDIVDKFKVTIFYTAPTLVRSLMRDGDEYVTRYPRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGIEHEVKGQGIYAFVTLVDGVPYSDELRKSLIHKVRNQIGAFAAPDKVHWAPGLPKTRSGKIMRRILRKIASRQLNELGDTSTLADPNVVEQLIALADR >Manes.04G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30166507:30172738:-1 gene:Manes.04G095800.v8.1 transcript:Manes.04G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFGIAAAQSPVIFGASFVKSSLTVARPSASASASASVSYSRLCCRPHCCRGLPIGIGMANQILKTTTSHFSHVESISKLSAAGKISGVNAVVLGEALASEEDELVFPNDEFSRQALVPSPKKYLEMYKRSVEDPAGFWSDIASQFYWKQKWGRPVFSENFDVRKGNVKIEWFKGGITNICYNCLDRNVESGNADKIAIYWEPNDPGSQDSLTYFQLLQRVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVLTCNAVKRGSKVITLKEIVDAALVESAKSGILVDLCLTYENKSAMKRESTKWREGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATIVVFEGAPNYPDSGRCWDIVDKFKVTIFYTAPTLVRSLMRDGDEYVTRYPRKSLRVLGSVGEPINPSAWRWFFNVVGGSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGIEHEVKGQGIYAFVTLVDGVPYSDELRKSLIHKVRNQIGAFAAPDKVHWAPGLPKTRSGKIMRRILRKIASRQLNELGDTSTLADPNVVEQLIALADR >Manes.10G060050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8308473:8310033:-1 gene:Manes.10G060050.v8.1 transcript:Manes.10G060050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVIKVIGRTGDSKGQLTQVKVEFINHFKRHIIRNVKGPIRGVGDILSLLESERETRRLL >Manes.10G143600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31166530:31166739:1 gene:Manes.10G143600.v8.1 transcript:Manes.10G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQLFFTVAFSAAPLTLYVPPVRSLNLFVETMEDLFRGSREYTHGIYPRARLFFSRFLDLLLCNLRLD >Manes.06G065700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20164948:20171030:1 gene:Manes.06G065700.v8.1 transcript:Manes.06G065700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGRRRRDNLVPLAALISREMRNEKMEKPTVRYGFAAQSRKGEDYFLIKTDCQRVPGNSSSTFSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDSRCILDAQGGSISTLTVDHRLEENVEERERVTASGGEVGRLSIVGGAELSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRKRGLKDDTTCIVVDVIPPDNSSQPSTPPKKQNKLKAFLFRKKPHDSANKLSKKLSAVGIVEELFEEGSAMLAERLGNDDTTTHSPSGLFTCAVCQVDLAPSEGISVHAGSIFSASSKPWQGPFLCADCRDKKDAMEGKRPSGVKVA >Manes.06G065700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20164804:20171030:1 gene:Manes.06G065700.v8.1 transcript:Manes.06G065700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGRRRRDNLVPLAALISREMRNEKMEKPTVRYGFAAQSRKGEDYFLIKTDCQRVPGNSSSTFSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDSRCILDAQGGSISTLTVDHRLEENVEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRKRGLKDDTTCIVVDVIPPDNSSQPSTPPKKQNKLKAFLFRKKPHDSANKLSKKLSAVGIVEELFEEGSAMLAERLGNDDTTTHSPSGLFTCAVCQVDLAPSEGISVHAGSIFSASSKPWQGPFLCADCRDKKDAMEGKRPSGVKVA >Manes.06G065700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20165010:20169715:1 gene:Manes.06G065700.v8.1 transcript:Manes.06G065700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGRRRRDNLVPLAALISREMRNEKMEKPTVRYGFAAQSRKGEDYFLIKTDCQRVPGNSSSTFSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDSRCILDAQGGSISTLTVDHRLEENVEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRKRGLKDDTTCIVVDVIPPDNSSQPSTPPKKQNKLKAFLFRKKPHDSANKLSKKLSAVGIVEELFEEGSAMLAERLGNDDTTTHSPSGLFTCAVCQVDLAPSEGISVHAGSIFSASSKPWQGPFLCADCRDKKDAMEGKRPSGVKVA >Manes.06G065700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20165064:20169621:1 gene:Manes.06G065700.v8.1 transcript:Manes.06G065700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGRRRRDNLVPLAALISREMRNEKMEKPTVRYGFAAQSRKGEDYFLIKTDCQRVPGNSSSTFSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDSRCILDAQGGSISTLTVDHRLEENVEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRKRGLKDDTTCIVVDVIPPDNSSQPSTPPKKQNKLKAFLFRKKPHDSANKLSKKLSAVGIVEELFEEGSAMLAERLGNDDTTTHSPSGLFTCAVCQVDLAPSEGISVHAGSIFSASSKPWQGPFLCADCRDKKDAMEGKRPSGVKVA >Manes.06G065700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20165769:20171030:1 gene:Manes.06G065700.v8.1 transcript:Manes.06G065700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGRRRRDNLVPLAALISREMRNEKMEKPTVRYGFAAQSRKGEDYFLIKTDCQRVPGNSSSTFSVFAIFDGHNGNAAAIFTRENLLNHVLGALPRGLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVASVGDSRCILDAQGGSISTLTVDHRLEENVEERERVTASGGEVGRLSIVGGAEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCRGLPAELAARQVVKEALRKRGLKDDTTCIVVDVIPPDNSSQPSTPPKKQNKLKAFLFRKKPHDSANKLSKKLSAVGIVEELFEEGSAMLAERLGNDDTTTHSPSGLFTCAVCQVDLAPSEGISVHAGSIFSASSKPWQGPFLCADCRDKKDAMEGKRPSGVKVA >Manes.02G224200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37403219:37409332:1 gene:Manes.02G224200.v8.1 transcript:Manes.02G224200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASVPSTIISPHSTPSFFISLSSFPLSDGNHRARRTPIRRQLPCLRLGTPAFNGCGRVLACLPSPSSSASLPQSPKTRLYISGLSFRTTEESLRNAFKNYGQLVEVNLVMDKIANRPRGFAFLRYATEEESQKAIEGMHGKLKVCKSGLESC >Manes.02G224200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37403219:37409332:1 gene:Manes.02G224200.v8.1 transcript:Manes.02G224200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASVPSTIISPHSTPSFFISLSSFPLSDGNHRARRTPIRRQLPCLRLGTPAFNGCGRVLACLPSPSSSASLPQSPKTRLYISGLSFRTTEESLRNAFKNYGQLVEVNLVMDKIANRPRGFAFLRYATEEESQKAIEGMHGKFLDGRVIFVEFAKSRAELRQGLKHRQF >Manes.03G083400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:20444788:20448915:-1 gene:Manes.03G083400.v8.1 transcript:Manes.03G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKVNIFCGKFPHVIIFQRNKQTLRFFSSSCRTSSILVELVAKQHWSKLKTHLQNTKPNALLHQLLTSEADPELTLRYLTWSQKEFKLSHSLELTFRTLYSLACARKYSKIRSFLDNFVKNEKNYPVSSIFHAISVGGDSFCANSIIVDMLVLAYVKSLKTHLGYEAFRRAGDYGFKLSVISCNPLLHALVKERKIGNMEFVYKEMIKRRIGPNLISFNVVVNGLCKAGKLNKASDVIEDMKVWGVSPNVITYNTLVDGYCKMGKIGKMYKADAVLKDMVAKGICPNEVTFNILIDGFCKDGNVSAAMKVLAEMQSQGLNPNVVTYNSLINGLCSDGKVDEATVLRDQMIGSGLKPNVITHNALINGLCKNKMVNEASDSFNDMPKQGIAHNVTTYNILIDGYCKAGRIEDAFELRNAMLGRGICPNVSTYNCLIAGLCRKGDMEAAWHLMDEMVGKDLRADVVTYNILIDLLCNKGESRRAMKLFDEMSRKALNPSHVTYNSLMDGYCKEGNLRAALNVRTRMEKGGRRANVVTYNVLIKGFCKKGKLEDANKLLNEMLEKGLVPNRTTYEIVREEMMDKGFVPDIEGHLYNV >Manes.09G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3875952:3880806:1 gene:Manes.09G018200.v8.1 transcript:Manes.09G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYCSDCKRQTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLTDGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMNNQAVKAAQEAVQKSEQFDIRRSPISIAAAVIYIITQLSNEKKPSLKEISGATGVAEGTIRNSYKDLYPHVLKIIPSWFAKEEDLKNLSSP >Manes.02G126200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9586671:9587918:-1 gene:Manes.02G126200.v8.1 transcript:Manes.02G126200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEVKLIGMWASPFNRRVELALKLKGIQYQYIEEDLSNKSPLLLKYNPIHKKIPVLVHNGISVAESLVILEYIDETWQNNPILPKDPCIRATARFWAKFVDEKILQTGHKISMAEGEDKEQLIEELDQNLKLLENELKGEELFGGEKIGYLDIVAFFVAHWFQVASEELTQMEVISEEKLPFLHKWLRKIREIDVVKECLPPRDKHVAFIKARIEAAKSAPK >Manes.10G136780.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30433923:30436161:-1 gene:Manes.10G136780.v8.1 transcript:Manes.10G136780.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFPFLWVICLLSGEVFSGGVAQSVNCNAADREALLDLRRGLNDSWSRLSSWHGTNCCAWSGIACHNTTGAVLAVDLPNSSGLQPLGGEIRPSLAKLKSLKHLDLSGNNFHGKIPHFLSDLHNLHYLNVSIAGFSGAIPPNLGNLSSLQFLDVSCGGLTVENLEWLSGLLSLKHLAMNGFIYSLPSVNFTSLEVLSLTGGFFKAKLPAWFVNISSLVSVDISYSMLTGRIPLGFGELPNLQALKLNYNIELSASCFQLFARGWKKIRVLDLSINEIHGRLPAHLGNMTSLTDFDLHFNNIEGGFPSSIGKLSNLQYIDLSLNKLTGSLPNSIGQLKNLVELRLDNYLLQGSIPYSIGNLQHLTILKLSSNNINGSLPDSIGLLSELSTVDVSLNNLTGIISEAHFHRLENLEQIILSDNSVILNVSSHWVPPFQVIFLQMSSCHVGPSFPYWLRSQKKIEVLEFSRAGVSGSIPNWFWNMTSILAFLNVSFNSLEGHIPNPFNITPYANVDLSSNQFKGPIPLLNVFLLDLSSNKLIGEIPTSVGELSLDILDLSKNNLTGSIPSSIGNWAGELNFADLGLANFNLPIWGSPATWRAKRESGASLRSARPWRLFERRQNAPGPPLWRLFERRQSCLAACMSHAWRLFERRQACPMHAWRLFERRHACPMHAWRLSKRRHACPMHGLTPGVA >Manes.01G157400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34336131:34341812:-1 gene:Manes.01G157400.v8.1 transcript:Manes.01G157400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIGIQEKCQERESYGGGGERNQSPTITPTSNMNLAFRADGVTDVYKPIMPITNDDTLHHVQSIPPHHHEMMLMGCGGIGIGVGGDGLDMNTAEPIKRKRGRPRKYSPPHGNVNLNLTSPLSHHHQHHEPHQSPLLHSGFQSPSSPSSTSKKARGRPPGSGRKNQLTLGSGVGFAPHVITVKAGEDVLLKIMSFSQNGPRGVCILSANGAVSNVTLHQPATSGGTVTYEGRFEILSLSGSFLPSESSGQRGRTGGLSVLLAGPDGRVLGGVAVLLTAASSVQVIVGSFISEDWKESSLGINQPETLYAPGASIAGSPTSRGTFSESSVGLGSPPNHSTGGCNNSTLLGMPNVPWK >Manes.01G157400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34336172:34341810:-1 gene:Manes.01G157400.v8.1 transcript:Manes.01G157400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIGIQEKCQERESYGGGGERNQSPTITPTSNMNLAFRADGVTDVYKPIMPITNDDTLHHVQSIPPHHHEMMLMGCGGIGIGVGGDGLDMNTAEPIKRKRGRPRKYSPPHGNVNLNLTSPLSHHHQHHEPHQSPLLHSGFQSPSSPSSTSKKARGRPPGSGRKNQLTLGSGVGFAPHVITVKAGEDVLLKIMSFSQNGPRGVCILSANGAVSNVTLHQPATSGGTVTYEGRFEILSLSGSFLPSESSGQRGRTGGLSVLLAGPDGRVLGGVAVLLTAASSVQVIVGSFISEDWKESSLGINQPETLYAPGASIAGSPTSRGTFSESSVGLGSPPNHSTGGCNNSTLLGMPNVPWK >Manes.01G157400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34336172:34341810:-1 gene:Manes.01G157400.v8.1 transcript:Manes.01G157400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAFRADGVTDVYKPIMPITNDDTLHHVQSIPPHHHEMMLMGCGGIGIGVGGDGLDMNTAEPIKRKRGRPRKYSPPHGNVNLNLTSPLSHHHQHHEPHQSPLLHSGFQSPSSPSSTSKKARGRPPGSGRKNQLTLGSGVGFAPHVITVKAGEDVLLKIMSFSQNGPRGVCILSANGAVSNVTLHQPATSGGTVTYEGRFEILSLSGSFLPSESSGQRGRTGGLSVLLAGPDGRVLGGVAVLLTAASSVQVIVGSFISEDWKESSLGINQPETLYAPGASIAGSPTSRGTFSESSVGLGSPPNHSTGGCNNSTLLGMPNVPWK >Manes.01G157400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34336172:34341810:-1 gene:Manes.01G157400.v8.1 transcript:Manes.01G157400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIQFPIEKCQERESYGGGGERNQSPTITPTSNMNLAFRADGVTDVYKPIMPITNDDTLHHVQSIPPHHHEMMLMGCGGIGIGVGGDGLDMNTAEPIKRKRGRPRKYSPPHGNVNLNLTSPLSHHHQHHEPHQSPLLHSGFQSPSSPSSTSKKARGRPPGSGRKNQLTLGSGVGFAPHVITVKAGEDVLLKIMSFSQNGPRGVCILSANGAVSNVTLHQPATSGGTVTYEGRFEILSLSGSFLPSESSGQRGRTGGLSVLLAGPDGRVLGGVAVLLTAASSVQVIVGSFISEDWKESSLGINQPETLYAPGASIAGSPTSRGTFSESSVGLGSPPNHSTGGCNNSTLLGMPNVPWK >Manes.01G157400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34336171:34341499:-1 gene:Manes.01G157400.v8.1 transcript:Manes.01G157400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIGIQEKCQERESYGGGGERNQSPTITPTSNMNLAFRADGVTDVYKPIMPITNDDTLHHVQSIPPHHHEMMLMGCGGIGIGVGGDGLDMNTAEPIKRKRGRPRKYSPPHGNVNLNLTSPLSHHHQHHEPHQSPLLHSGFQSPSSPSSTSKKARGRPPGSGRKNQLTLGSGVGFAPHVITVKAGEDVLLKIMSFSQNGPRGVCILSANGAVSNVTLHQPATSGGTVTYEGRFEILSLSGSFLPSESSGQRGRTGGLSVLLAGPDGRVLGGVAVLLTAASSVQVIVGSFISEDWKESSLGINQPETLYAPGASIAGSPTSRGTFSESSVGLGSPPNHSTGGCNNSTLLGMPNVPWK >Manes.04G007000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:930251:936813:-1 gene:Manes.04G007000.v8.1 transcript:Manes.04G007000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRISYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYELHKKMEIYRPHKAYPVELAQFHSADYVEFLHRIAPDTQHLFANELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDVKDVGEREGKFYAINVPLKDGIDDTSFNRLFKTIISKVVETYLPGVIVLQCGADSLARDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPDNEYIKYFAPEYSLKIPGGQIVPPDFYIPDFDEDEQNPDERMDQHTQDKHIQRDDEYYEGDNDNDHNMDAP >Manes.04G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:930251:936813:-1 gene:Manes.04G007000.v8.1 transcript:Manes.04G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDRISYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYELHKKMEIYRPHKAYPVELAQFHSADYVEFLHRIAPDTQHLFANELARYNLGEDCPVFENLFEFCQIYAGGTIDAARRLNNQLCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDVKDVGEREGKFYAINVPLKDGIDDTSFNRLFKTIISKVVETYLPGVIVLQCGADSLARDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPDNEYIKYFAPEYSLKIPGGQIENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFYIPDFDEDEQNPDERMDQHTQDKHIQRDDEYYEGDNDNDHNMDAP >Manes.08G089811.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29625030:29646817:1 gene:Manes.08G089811.v8.1 transcript:Manes.08G089811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLECVWHLIRVHLCRIGPEGSRRPSVLAVAESVQRLPECCR >Manes.05G134300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23563114:23564441:1 gene:Manes.05G134300.v8.1 transcript:Manes.05G134300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEMEVQIISKKNIKPSSPTPSHLRIFKFSLLDQLSPSSYSPLILFYPMNNNSTHPDVSRRLSLLKSSLSRTLTLFYPLAGNIKNELSIDCYDEGAYFAEARVSSCSLHEFLTQPDLLLIYKLLPCEFPFMELAPGSYVMCIQANVFSCDHFDGAALGTFLKGWTSAARSCNQRISPNFLSASLFPPNDELWFKNASFSISGEKCPTRVEVVSAFLWKSMMAASAELHGFQRPYLLTHLVNLRRRMEPSLTENSLGNSCEFSDLVGEVKKAISRIDAEFFKQIKGDEGKSVMSEFFKTVAEMGSGDEVDCFGFSSWSHFGYYGADFGWGKPVWVSSIGLTASVFMNVIVLVETRLSDGIEAWVTLDEPDMAILASNPELLKLALLIDDSV >Manes.18G142650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20399914:20401230:1 gene:Manes.18G142650.v8.1 transcript:Manes.18G142650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRDRFDEIGKKIKRDTDLSSEMGRRHILTPGILNTVTPCAACKLLRRKCAEECPFSPYFSPHEPQKFAAVHKVFGASNVSKMLMEVPESQRADTANSLVYEANLRLRDPVYGCMGAISALQQQIQSLQEEINSIRSEIIKHKYREANTSIISSTEHHHPAALVSSGTLSSIVVPQNIPPLSPAPPPPPPPPPPPPPPPPLSAPSSIVVSSSSSSSISSLYTPPTSSTGYSSISSDNVPYFD >Manes.18G142650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20399914:20401230:1 gene:Manes.18G142650.v8.1 transcript:Manes.18G142650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRFDEIGKKIKRDTDLSSEMGRRHILTPGILNTVTPCAACKLLRRKCAEECPFSPYFSPHEPQKFAAVHKVFGASNVSKMLMEVPESQRADTANSLVYEANLRLRDPVYGCMGAISALQQQIQSLQEEINSIRSEIIKHKYREANTSIISSTEHHHPAALVSSGTLSSIVVPQNIPPLSPAPPPPPPPPPPPPPPPPLSAPSSIVVSSSSSSSISSLYTPPTSSTGYSSISSDNVPYFD >Manes.03G158800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28655983:28658240:-1 gene:Manes.03G158800.v8.1 transcript:Manes.03G158800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPKVKVRTQGQGDQRAEHHHNWNSLLSFKDIQFLLLQDSCFPVKEYRDVFAPLTARIPETYVPKVLPPTLIESASEELDKKSNSDEEDRPNIRASAVPRPRAVVSSPDNDAVIGNKNRVKAVRPSALMNHHSVQSRHAQCKVAPSQAVDGSPLNTWKPKDTVDNNLKGKKLSATEISSQRRNITNNKPSSARIPEFCHTH >Manes.03G158800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28655983:28658065:-1 gene:Manes.03G158800.v8.1 transcript:Manes.03G158800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFSSANFLSVYPKVKVRTQGQGDQRAEHHHNWNSLLSFKDIQFLLLQDSCFPVKEYRDVFAPLTARIPETYVPKVLPPTLIESASEELDKKSNSDEEDRPNIRASAVPRPRAVVSSPVIGNKNRVKAVRPSALMNHHSVQSRHAQCKVAPSQAVDGSPLNTWKPKDTVDNNLKGKKLSATEISSQRRNITNNKPSSARIPEFCHTH >Manes.03G158800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28656460:28658065:-1 gene:Manes.03G158800.v8.1 transcript:Manes.03G158800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFSSANFLSVYPKVKVRTQGQGDQRAEHHHNWNSLLSFKDIQFLLLQDSCFPVKEYRDVFAPLTARIPETYVPKVLPPTLIESASEELDKKSNSDEEDRPNIRASAVPRPRAVVSSPDNDAVIGNKNRVKAVRPSALMNHHSVQSRHAQCKVAPSQAVDGSPLNTWKPKDTVDNNLKGKKLSATEISSQRRNITNNKPSSARIPEFCHTH >Manes.03G178300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30076808:30077242:-1 gene:Manes.03G178300.v8.1 transcript:Manes.03G178300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAEGDRKEPINEQAIINAYTAMRSELNQIYSKITELEMEVSEHSLVINAIQPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGIEEVITRLNEALEKKKKEITEFETKYKIKIRKPENEVQDDGGKKEGSSQGVLVGPA >Manes.05G054600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4525638:4531537:1 gene:Manes.05G054600.v8.1 transcript:Manes.05G054600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAADSRSNRAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAAFRKLLYQFLDCEKNANEGGHIKKQILSLGAGFDTTYFQLQDEGKAPSLYVELDFKEVTSKKAAIIESYSQLRDKVGASASISREKGEVLSDQYKLLPVDLRDIQKLDDIITLANMDPSLPTFIIAECVLIYLDPDSTCAIVGWAAKTFSTSVFFLYEQIHPDDAFGQQMIKNLESRGCGLLGIYATPTLLAKKKLFLDQGWQRAVAWDMLRVYSDFIEAQERHRIERLELFDEFEEWHMMQEHYCVTYAINDAMGLFGNFGFPTHQQHVINNPIVASP >Manes.05G054600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4525638:4531537:1 gene:Manes.05G054600.v8.1 transcript:Manes.05G054600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAADSRSNRAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAAFRKLLYQFLDCEKNANEGGHIKKQILSLGAGFDTTYFQLQDEGKAPSLYVELDFKEVTSKKAAIIESYSQLRDKVGASASISREKGEVLSDQYKLLPVDLRDIQKLDDIITLANMDPSLPTFIIAECVLIYLDPDSTCAIVGWAAKTFSTSVFFLYEQIHPDDAFGQQMIKNLESRGCGLLGIYATPTLLAKKKLFLDQGWQVYVEENFTGIKLYSYFFLDKKRI >Manes.05G054600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4525637:4531557:1 gene:Manes.05G054600.v8.1 transcript:Manes.05G054600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTAADSRSNRAAVQATNDDASASKLSCVKKGYMKDDYIHLFVRRPVRRSPIINRGYFARWAAFRKLLYQFLDCEKNANEGGHIKKQILSLGAGFDTTYFQLQDEGKAPSLYVELDFKEVTSKKAAIIESYSQLRDKVGASASISREKGEVLSDQYKLLPVDLRDIQKLDDIITLANMDPSLPTFIIAECVLIYLDPDSTCAIVGWAAKTFSTSVFFLYEQIHPDDAFGQQMIKNLESRGCGLLGIYATPTLLAKKKLFLDQGWQRAVAWDMLRVYSDFIEAQERHRIERLELFDEFEEWHMMQEHYCVTYAINDAMGLFGNFGFPTHQQHVINNPIVASP >Manes.12G025800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2276101:2283228:-1 gene:Manes.12G025800.v8.1 transcript:Manes.12G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKLALPDQVLSPRRKVVSGLVLWVGASLFTLSLLLLSSSFKGRVFAPLLQGFDTVSDNSSFVPWRFSFPTSHSPSSVTSNDSDAKEMHSSEDLIANAPEADALQVQVLLKNFHLVNSTENVKNGSMTVGEGTVIEKTQEAKVGNFLESSGNGSVLESTHLGSASDMVENGSLPCAEGISIRNFSLTGDGCIDANRSTEGKSVLNSSTVNNTVTNYRVNGSLLYKEEVDTSLGGEEENRNASFETCNIFDGQWVRDDSKPYYPAGSCPHIDRDFNCHLNGRPDDGFVKWKWQPNGCKIPSLNATDFLERLRGQKLVFVGDSLNRNMWESLVCILRHSIRNKNRVYEISGRSEFKKKGFYAFRFEDYNCTVDFVGSPFLVRESSFSSKNGTFETLRLDLMDHTTKMYQDADIIVFNTGHWWTHEKTSRGEDYYQEGNHVHPRLKVLEAYKRALLSWARWVDKNIDSNRTLVFFRGYSVTHFRGGQWNSGGQCHKETEPIFNAAYLPKYPSKMRAFEHVLLGMKTPVTYLNISRLTGYRKDGHPSIYRMQYKTAEEQIAAERSQDCSHWCLPGVPDTWNELLYVSLLKAGRGSWKM >Manes.03G127100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25240137:25241633:1 gene:Manes.03G127100.v8.1 transcript:Manes.03G127100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSIPKSAAKSTSKPKPSSESNTVSQNSGAVKEYVTEFDPSKTLPSSNRNLIIPPKENEWTPHKRMKNLDLLPTLKSDNEGLRFEIATDGHGEDDKSMSYGLNIRQQSSTDGDSGDGDEGVKSYQKVETTENLLLEKLKYDLQRLPEDRGFEEFKDVPVEGFGAALLAGYGWHEGRGIGRNAKEDVKVKQYHKRTDKEGLGFVPPASNATSTSVKDRDGQDERKRERVKDGHSDGFFVGKDVRVIAGGKGILGSKGRISKRLDDGRVVVKLSETTEELKLRVSDIADLGSKEEEKCLMKLKTLQIEGKQSKDRDNGKRIIEPTRESGESMRRDSGQAKDDRKRWLSNHIRVRIISKDLKGGRFYLKKGEVVDVVGPYVCDISIDETKELVQGIDQDLLETALPRRGGPVLVLYGRHKGVYGKLVQRDLDQETGIVQDSDTQELLNVKLEQIAEYVGDPSYIGY >Manes.17G048900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24637234:24641528:-1 gene:Manes.17G048900.v8.1 transcript:Manes.17G048900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEENETFNGWPLGLEIMTGRLRVMESIQAAPAEPYSNTHLRSPSFSSFTSSNFDTESTASFFQDRSVSLGRLIGIRPGKGNGDFYFPSREGKSVRAVSCEVSRGHRPEMSQGNCIPLLVGTLEKMSRSKSMKFNGYKH >Manes.03G043500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3794557:3795761:1 gene:Manes.03G043500.v8.1 transcript:Manes.03G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFSLLPFNAHTNSTTTLTIHYLQTNMNVLHSPLEASAFDYISFGIFTDNLWTWIAVVAAAVSFWRIKNAGSSPLFSVKSETLSSANHIDRLRDVNDPKPVVETSVSESATQPLPLLTASSASSAPFESILEDDRVTRGKFVMYYEDERESNGNVDDELTAIGEWRYGSGSDGGYGVGCEEWWERVLRKSKGDLGWYRFQDLTAINGNVVRLWDVKNKYSYGGVW >Manes.07G087200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:27555681:27556109:1 gene:Manes.07G087200.v8.1 transcript:Manes.07G087200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSMIPLKDIVPSAQTNIKTQFILLDKGRTATEGQNKTCLALVADETAAVHFQLWGDECDAFEPGDIIHLTNGIFSYNRKSLVLRAGKRGNIEKVGEFTMAYVETPNMSEIRWAPDPNNSKKYVHEAVISTHSRIFPPLA >Manes.14G007105.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:338816:343634:-1 gene:Manes.14G007105.v8.1 transcript:Manes.14G007105.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSGSDLIKGDVLFILHKRASLPLCALEQLKHVIPEAISYPTPVIGRSRLVHTSDDSDRSPVDFCNMPSVGNSNVVPPENENVMVRDDSCIKNLLHFKRNQSDFTCKNSSQDFQESQDCMHDGDLHVNAKRHKQNSSCATQSVKQISVPQHGNQLAENLSERIGGDTGKGSQDAERECRVGKLDECRSLENDDGRFFSTNRLGQSPDASAIDQFQHNPFENTDSANKMQLDTSGDGSHLYFQLEEVNEDGHGALNKAPVMNIQQKVSFDELKMTVNSVCN >Manes.14G007105.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:341301:342284:-1 gene:Manes.14G007105.v8.1 transcript:Manes.14G007105.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHGLLQSQTSGSDLIKGDVLFILHKRASLPLCALEQLKHVIPEAISYPTPVIGRSRLVHTSDDSDRSPVDFCNMPSVGNSNVVPPENENVMVRDDSCIKNLLHFKRNQSDFTCKNSSQDFQESQDCMHDGDLHVNAKRHKQNSSCATQSVKQISVPQHGNQLAENLSERIGGDTGKGSQDAERECRVGKLDECRSLENDDGRFFSTNRLGQSPDASAIDQFQHNPFENTDSANKMQLDTSGDGSHLYFQLEEVNEDGHGALNKAPVMNIQQKVSFDELKMTVNSVCN >Manes.14G007105.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:340157:343634:-1 gene:Manes.14G007105.v8.1 transcript:Manes.14G007105.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSGSDLIKGDVLFILHKRASLPLCALEQLKHVIPEAISYPTPVIGRSRLVHTSDDSDRSPVDFCNMPSVGNSNVVPPENENVMVRDDSCIKNLLHFKRNQSDFTCKNSSQDFQESQDCMHDGDLHVNAKRHKQNSSCATQSVKQISVPQHGNQLAENLSERIGGDTGKGSQDAERECRVGKLDECRSLENDDGRFFSTNRLGQSPDASAIDQFQHNPFENTDSANKMQLDTSGDGSHLYFQLEEVNEDGHGALNKAPVMNIQQKVSFDELKMTVNSVCN >Manes.14G007105.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:338816:343634:-1 gene:Manes.14G007105.v8.1 transcript:Manes.14G007105.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSGSDLIKGDVLFILHKRASLPLCALEQLKHVIPEAISYPTPVIGRSRLVHTSDDSDRSPVDFCNMPSVGNSNVVPPENENVMVRDDSCIKNLLHFKRNQSDFTCKNSSQDFQESQDCMHDGDLHVNAKRHKQNSSCATQSVKQISVPQHGNQLAENLSERIGGDTGKGSQDAERECRVGKLDECRSLENDDGRFFSTNRLGQSPDASAIDQFQHNPFENTDSANKMQLDTSGDGSHLYFQLEEVNEDGHGALNKAPVMNIQQKVSFDELKMTVNSVCN >Manes.14G007105.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:338816:343634:-1 gene:Manes.14G007105.v8.1 transcript:Manes.14G007105.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSGSDLIKGDVLFILHKRASLPLCALEQLKHVIPEAISYPTPVIGRSRLVHTSDDSDRSPVDFCNMPSVGNSNVVPPENENVMVRDDSCIKNLLHFKRNQSDFTCKNSSQDFQESQDCMHDGDLHVNAKRHKQNSSCATQSVKQISVPQHGNQLAENLSERIGGDTGKGSQDAERECRVGKLDECRSLENDDGRFFSTNRLGQSPDASAIDQFQHNPFENTDSANKMQLDTSGDGSHLYFQLEEVNEDGHGALNKAPVMNIQQKVSFDELKMTVNSVCN >Manes.06G042133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13076703:13079939:-1 gene:Manes.06G042133.v8.1 transcript:Manes.06G042133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWCSDGCLENERIALLQIKSHFNSSSSSFMSAWGITADCCSWVRVDCNSTTGHVVQLSLYDVKSTEGDYWYLNASLFLPFQQLNSLTLWGNNIAGCIKNEGFERLSALGNLEHLDLGGNSFHKSILSSLSGLSSLKILSLYGNRLKGIINIEEFNHLRSLKELSLSENAIEGFISSNGNEEVLKLSNLEYLSLSSNHVNISLLSPLTRLPSLKYLDLEYNQLEGPFNFKELGKSKLVTLNLSGNNITKFVDSRDHITITKGSKLLESLGAFSHLKSLFLESCKFEG >Manes.16G068101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:25336188:25336571:1 gene:Manes.16G068101.v8.1 transcript:Manes.16G068101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRPWVCMGDFIDILANHEKKGGRRQPNALIQGFRNAVMAAGLCDFPMSGYKFTWETGRGSDRWVEEKLDRVLTSPSFNSLFSHARAASLEASSSDHLPILLEIRLFIPTQLARRFKFENSWRREP >Manes.10G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1836701:1839152:-1 gene:Manes.10G018000.v8.1 transcript:Manes.10G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLYPSLYMSNSNWFTQSTEWTREENKKFERALAIYDEHEPDRWIKVAAMIPGKTVFDVIKQYKDLEEDVCDIEAGKVAIPGYLSSAFTLDCFENRHCDAYRKRPLATKNGDHERKKGVPWTEDEHRRFLLGLLKHGKGDWRNISRNFVVSKTPTQVASHAQKYFIRQQLSGVKDKRRPSIHDITTVNLTDNSSSDGNKPPSLDQSNALLSQDQSAMLIDWNQSKHGSFMVFDSTHGNFFVSSPSEIASTSFKLQEQNLYAGAHINPHNLVFQRHQIHG >Manes.S051816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1763303:1764115:1 gene:Manes.S051816.v8.1 transcript:Manes.S051816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G046500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4601667:4604522:1 gene:Manes.08G046500.v8.1 transcript:Manes.08G046500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIASSCLTLSSTRTSWLDFGTHPARNIPGKTNSWRKLGIRAEVSYVNSEEAKKLVETEGYVVVDVRDRTQYERAHIKSCHHVPLFIENKDNDLGTIIKRTVHNNFSGLFFGLPFTKPNPEFVEAVKSQFSPESKLLLVCQEGLRSTAAANKLEQAGFQNIACITSGLQSVKPGTFDSEGSTELQNAGKAGLITIQGKISAVLGTVLICAYLFITFFPDQAEKLFQLAPAS >Manes.08G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4601667:4604522:1 gene:Manes.08G046500.v8.1 transcript:Manes.08G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIASSCLTLSSTSKFRTSWLDFGTHPARNIPGKTNSWRKLGIRAEVSYVNSEEAKKLVETEGYVVVDVRDRTQYERAHIKSCHHVPLFIENKDNDLGTIIKRTVHNNFSGLFFGLPFTKPNPEFVEAVKSQFSPESKLLLVCQEGLRSTAAANKLEQAGFQNIACITSGLQSVKPGTFDSEGSTELQNAGKAGLITIQGKISAVLGTVLICAYLFITFFPDQAEKLFQLAPAS >Manes.14G134400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9521667:9566271:1 gene:Manes.14G134400.v8.1 transcript:Manes.14G134400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEDVEGCLEDEFQASRGGNKYHPVVANDSAVLEMSSIDPGSSSSHVSNQSKHLKVNLAASENMHSNASQGGIPANGGVKSSEREHKLELFGFDSLVNILGLKSMTAEQVTPASSPKDGVDASVAYERPRVNAVKLGTMMGVFVPCLQNIMGIIYYIRFTWIVGMAGVGDSLLLVAFCGLCTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGIFRESVTKVNGQATPEPIESPSSHDLQIYGIVVTLILCFIVFGGVKMINRVAPAFLIPVLFSLCCIFIGIFSAKKNDPTTGITGLSMESFKDNWSSDYQFTNDAGIPDPKGQTYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLAATLTTTVLYLISVLFFGAMATRDKLLTDRLLTATIAWPFPAIVHIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNSFKVAEGNEPHVATLFTAFICVGCVIIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSVSLLGASLCIVIMFLISWSFTIVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGQLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHDNEHVEDAKAAYKQLSAYIDYKNCEAVAEIVVAPNMSEGFRGIVQSMGLGNLKPNIVVMRYPEIWRRENLTEIPATFVTIINDCIIANKAVVIVKGLDEWPNAYQRQYGTIDLYWIVRDGGLMLLLSQLLLARESFESCKIQVFCIAEEDSDAEELKADVKKFLYDLRMQAEVIVISMKSWDMQAVGGSQQDESLEAFTAAQRRIASYLTEMKSTAEGKGSALMADGKPVVVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVNHPGYFYMEYMDLLVENVPRLLIVRGYRRDVVTIFT >Manes.16G077700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28247659:28255633:-1 gene:Manes.16G077700.v8.1 transcript:Manes.16G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSLKSNNYVNTNNTHKTGGDDLLRAVSETTKQTTSDFVLQWGNRKRLRCMKVLVKDDSHSPVHRTTVRVDRRVVRADKEASNQPSTTKNTNQSNGYLNLRQRPSSPTPPQPQPQRVLRNSENSSAMRGQGNGGVRSLASPDKGAHDRRGITTNYNHNHSSNHDNNNKSGASSETAHDSKKGGSSSGSGEAAPPVWPPKFVIALTNKEKEEDFIAFKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKVTVRPRGLKAMGNMESDSE >Manes.01G013100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3429962:3431758:1 gene:Manes.01G013100.v8.1 transcript:Manes.01G013100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETTTMGTTTKDSTKGDDHEAHSKWTTGLCGCREDFKNCLITCFCPCITFGRNAEIIDKNVISCGCSGLVLFALSYVGVPCLYSFPYRRKLRAQYSLPAEPCSDFWVHCCCLHCALCQEYRELKNRGLDPSLGWEANAEKMYKGGAMAPPAVPQDMPR >Manes.14G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10092435:10094714:-1 gene:Manes.14G127100.v8.1 transcript:Manes.14G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFLTPWILFITLIVAFLPYFLVFAFKLQSSGDKTLPPGSMGWPIMGETIEFLFGNPENFVFKRMKKYSPHIFKTKILGEKTAVICGPKGHKFLFSNEQKLFTVFRPHSMQKLFRSYQAKAPAPSEPEAKILRSPSFLKPEALVRYLEKMDSITQQQMQSYWEGKDTVKVSPLAKTLTLSLACRFFLGTDDPERIAKLVRNFDDITVGMHSITVNFPGTIFHRAKKAAAAIRKELIAVIKEKRAAISISGSPLQDILSHMIVASDTSGKHMTEAEISDKMMGLLTAGYSTIATAITFFMKYVGERPDIYKKILDEQMGVASAKKDGELLQWEDIQKMKYSWNVVYEVMRLTPPLQGTFREAIADFTYAGYTIPKGWKIYWTVSTTNKNPEYFPNPERFDPSRYEDENEIPPFTFVPFGGGPRMCPGKEYARLAILTFIHNVMKRFKWELEFPKERVIGDMMPIPENGLPVRLATL >Manes.06G011350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1814320:1817303:-1 gene:Manes.06G011350.v8.1 transcript:Manes.06G011350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERSFLLPFLLMIIHFAVSASMDTIAINQTIEDGGFLISEGNNFVLGFFSPGNPKYRYLGIWYYKVREQTVVWVANRNHPINGSSGVLSVNQYGNLVLYSNHSQKVPVWSANVTREVTKTDTCCLAQLQDSGNLILVQERSRRVLWESFDYPTDTQLPGMKFGLNRETGIHQFLTSWRSADDPGTGDYVLELNLKGSPQGILYKGTKRYWRVLVPWPGKNNVLNNISFVQNQKETFFTFFPVDASLILRTRLEYSGLVSHLIWHENEGKWKELRSAPKYLCEFYGHCGPYSMCNPLYLYPKFECDCLPGYEPQSPRDWNFLMDGSGGCVRKRKESSSLCNQGEGFVKVTDVKVPDTSEAVWLGLNMSSVDCELQCKRNCTCSAYSSISISGKETGCLAWYGELMDTEIDIAEGIDIYVRVDALELAEITQKSNGFLEKKHLLAILLVSIVSAWFVIILFAYLWFKKKRKTGKKNFICLCKFVPF >Manes.15G126900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10107731:10111792:1 gene:Manes.15G126900.v8.1 transcript:Manes.15G126900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPALGDLSPAAFLLNLVGEIVGMSTATTINDRNFVVRKDCTDLLRRISLLTHFLEEIRDYKGESHSGHLEASNYSSSSSGDWCSDLVGALQAAKRLLLAAATFKPINDSDEATKKIAFQFHCVTWKLEKALASIPYDQFDISEEVHEQVALVRSQLRRATERYGSMNSRMISFSLAESVDKEVDHVQRGNRLTKMGHTEKSAIINHEVTEGLETVSGSNGSKSHSTDQVTSEPNEVDGSKKNILASKKSEDMKKPDAPTIPDDFLCPISLELMRDPVIVATGQTYERSYIQRWIDTGNVTCPKTQQKLEHLTLTPNYVLRSLISQWCAKHNIEQPTGLANGRIKKSDGSFRDVSGDIAAIQALVRKLSSRSLEERRTAVSEIRSLSKRSTDNRILIAEAGAIPVLVNLLAAEDVSIQENAVTAILNLSIYENNKGLIMLAGAIPSIVQILRAGSVEARENAAATLFSLSLGDENKIIIGASGAIPALVELLENGSPRGKKDAATALFNLCIYQGNKGRAVRAGIVPALLKMLTDSRNSMADEALTILSVLASNQDAKVAIVKASTIPVLIDLLRTGQPRNKENAVAILLSLCKRDPENLACMSRLGAVIPLMELAKSGTERAKRKATSLLEQFRKLQQL >Manes.15G126900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10107731:10111792:1 gene:Manes.15G126900.v8.1 transcript:Manes.15G126900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRMISFSLAESVDKEVDHVQRGNRLTKMGHTEKSAIINHEVTEGLETVSGSNGSKSHSTDQVTSEPNEVDGSKKNILASKKSEDMKKPDAPTIPDDFLCPISLELMRDPVIVATGQTYERSYIQRWIDTGNVTCPKTQQKLEHLTLTPNYVLRSLISQWCAKHNIEQPTGLANGRIKKSDGSFRDVSGDIAAIQALVRKLSSRSLEERRTAVSEIRSLSKRSTDNRILIAEAGAIPVLVNLLAAEDVSIQENAVTAILNLSIYENNKGLIMLAGAIPSIVQILRAGSVEARENAAATLFSLSLGDENKIIIGASGAIPALVELLENGSPRGKKDAATALFNLCIYQGNKGRAVRAGIVPALLKMLTDSRNSMADEALTILSVLASNQDAKVAIVKASTIPVLIDLLRTGQPRNKENAVAILLSLCKRDPENLACMSRLGAVIPLMELAKSGTERAKRKATSLLEQFRKLQQL >Manes.15G126900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10108516:10111792:1 gene:Manes.15G126900.v8.1 transcript:Manes.15G126900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRMISFSLAESVDKEVDHVQRGNRLTKMGHTEKSAIINHEVTEGLETVSGSNGSKSHSTDQVTSEPNEVDGSKKNILASKKSEDMKKPDAPTIPDDFLCPISLELMRDPVIVATGQTYERSYIQRWIDTGNVTCPKTQQKLEHLTLTPNYVLRSLISQWCAKHNIEQPTGLANGRIKKSDGSFRDVSGDIAAIQALVRKLSSRSLEERRTAVSEIRSLSKRSTDNRILIAEAGAIPVLVNLLAAEDVSIQENAVTAILNLSIYENNKGLIMLAGAIPSIVQILRAGSVEARENAAATLFSLSLGDENKIIIGASGAIPALVELLENGSPRGKKDAATALFNLCIYQGNKGRAVRAGIVPALLKMLTDSRNSMADEALTILSVLASNQDAKVAIVKASTIPVLIDLLRTGQPRNKENAVAILLSLCKRDPENLACMSRLGAVIPLMELAKSGTERAKRKATSLLEQFRKLQQL >Manes.11G164500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32907559:32908976:1 gene:Manes.11G164500.v8.1 transcript:Manes.11G164500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSNVDGTVSISSLHLDVLHHHILSRLDGPSLAALSCTSSELNALSSYDKLWQQICTSTWPSVNHPLVTHAVSTFPSAHRSLFSDSYPLLLHRHSCHDLDRPFPATRELISAVDIYYQNVPIFSTVEATETVTGWFLSSPFRVDLVGPEEFIPTRIQQIGEKNSWLRQLQENITLSWILIDPQKKRAMNMSSQRAVSVRRHWLTGEVQVKFASILAGDGGMGSERESVQCEIMVTCGGEEGGEVHVRDVSMVMEDMEGKVLSGKESLVIVDGAMERGERRKEKSGEEGKERYNEFVGRRRDRRERKHRREKIWDLVCIASGFSSLMAFCSFLLLS >Manes.04G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2230608:2231111:1 gene:Manes.04G018300.v8.1 transcript:Manes.04G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPSTPLLPPAPSGGGFLDSCLWFLCCCGLFTSCCPPLFEPGPPPP >Manes.12G111700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30923065:30929500:1 gene:Manes.12G111700.v8.1 transcript:Manes.12G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVQNSATLPRFLLACTLIALRAPVAHQPDPRNLTTFPPSPITNHASSVILDNNGLETDKTVASTDPIDEISVSCFDSDLLSMSKARVYADVNVLRPKEYWDYESLSVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIARVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHHDRLTAKEAMAHPYFSQVRAAESSRMRTQ >Manes.12G111700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30923075:30929500:1 gene:Manes.12G111700.v8.1 transcript:Manes.12G111700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVQNSATLPRFLLACTLIALRAPVAHQPDPRNLTTFPPSPITNHASSVILDNNGLETDKTVASTDPIDEISVSCFDSDLLSMSKARVYADVNVLRPKEYWDYESLSVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIARVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHHDRLTAKEAMVINFGHVCCVCSFFLVCEWMGCLIRNNDWG >Manes.09G172600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37683996:37688171:1 gene:Manes.09G172600.v8.1 transcript:Manes.09G172600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWWKLAVVVGIVGIFRELSKQLGWDKDAALQVFAEWSDRLGVWAMPVYVGIHTVSLALCLPYSVFFEAGASLLFGFFPAVLCVFSAKVFGASLSFWIGRLVFRSSSSAMEWAQRNKIFHLLSRGVERDGWRFVLLARFSPMPSYVINYALAATKVGFLVDFLLPTVIGCLPMILQNTSIGSLAGAAVASTSGSQKSQVWSYIFPILGIVSSVLISLRIRKYSNDITTVASSPSNHASDCNDVDSSQTLSGRTGGDRPKKSR >Manes.11G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4741683:4745505:1 gene:Manes.11G043500.v8.1 transcript:Manes.11G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGMKWEEGRWVKIRDNESSSYSGEENQRNNLKHYSYGELVEATEFFSNNRLVGEGASGAVYRGKLGREVVAIKKFKIIVNEDQENLEDQFEEIDVLRKIRHPNVVKLVGYCNEGSNRLLVLEYLMNKSLNSYLHGKKFLYWSSRLNIAIGSAKGILYLHKCGIIHRDVKTDNILLDDNLEPKIADFSLSKFLPNTDNISHITSVLKGTNIYVDPEYSSIQKVSAKSDIYSFGVVLLELITGRKLIDQQQNLDIVTWAWREIEQVFGNGEYRAVVNSILQSYDKEKIKRMIMALVDSKLGKNYDEEQMKRMVFCAIACLNKYSKSRPRMQKIIEVLEGKIIPPQNILDGK >Manes.13G145856.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36041918:36049174:-1 gene:Manes.13G145856.v8.1 transcript:Manes.13G145856.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILFLQIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.13G145856.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36041918:36049174:-1 gene:Manes.13G145856.v8.1 transcript:Manes.13G145856.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKDSRTNSPKERQSRKGSLDRRVAHVNSSRRDDGMRSKSKSDSGEVKVMLIDKKTNGSNVLYDDQIEKKQIENQIERKNVENCEVAVISHPQIEINKTEKRDVSVCSHPGWGRVPKSLEAEQIAVGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.13G145856.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36041918:36049541:-1 gene:Manes.13G145856.v8.1 transcript:Manes.13G145856.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKDSRTNSPKERQSRKGSLDRRVAHVNSSRRDDGMRSKSKSDSGEVKVMLIDKKTNGSNVLYDDQIEKKQIENQIERKNVENCEVAVISHPQIEINKTEKRDVSVCSHPGWGRVPKSLEAEQIAVGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.14G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16829480:16829974:1 gene:Manes.14G149500.v8.1 transcript:Manes.14G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGSRRTNVFDPFSLDFWDPFYDFPFPSTSLSVSAPRSESANKETPEAHVFKADLPGIKKEEVKVEVEEGRVLQISGERSKEKEEKNDKWHRVERSSGKFLRRFRLPENAKVDEVKASMENGVLTVTVPKEEVKKPDVNAIEISG >Manes.17G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3928811:3935182:-1 gene:Manes.17G011100.v8.1 transcript:Manes.17G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLCTKVRSLAGQSTQILTAFSSSRRFIHTSQLRQPLCFVSASRKCNLSGQLLNTSSSPSLFQSPSVAFQSPLPPSSALLSLVHVRHVSSRERRKKRKPMTPVTSKVKKVKIKFYSSYKDRFRTMNDGTIRRWREGKNHNAHLKSKKSKRRLRKPSTVPAAYAKVMKKLNFCG >Manes.06G094900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22974214:22975471:1 gene:Manes.06G094900.v8.1 transcript:Manes.06G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADTTYQCEAWLGEAEDMGDSLPEAVFLIQMYCTSSADVTILQEFRVKREHLTHDTSSWSTITNMLSEMNMPLYVHPIMIQKIAECAQESRNMERKVIPMIVHLKTIQSTNINTSFDEAGASLESFNSQRSRFVGACWAAIEALEKVMINKDSSKQCVICLEDISIGVEATRMPCSHIYHASCISNWLEKSNFCPLCRFQI >Manes.09G150501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34782966:34785600:1 gene:Manes.09G150501.v8.1 transcript:Manes.09G150501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGDLLGSPHLLVCPSFHIVHSESRGGYLFLYYKYQGRFCQFSWSYPSLQFWGLGVTYTLRELREMVNPDQLQVGDHIYTWRAVGYSHHGIYVGEMNQVKYVIHFVTTGSVFSSISSVSRPKHQACQVCGYAENVNRGVVKTCLDCFLSGDKLEVRQYNGKTKPCDEVVEMAYKLLEKGFGQYDLVVNNCEHFATFCKIGDPSSAQVGVFVGIGNLAPGTPFQLFSAYHLIRKWTFRR >Manes.14G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3683980:3685389:-1 gene:Manes.14G041800.v8.1 transcript:Manes.14G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHLDFLSFAQYIFFAIILAAIPARSDDETPIPDTPDGVKTWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTITDAVKSIPAKNTQRIIVDIGPGTYTEKVIIEEDKPFITFLGPEGGERPTLAFGDTAAKTVAPYTATLEVDSDFFVASNLIIQNTAPRPKGERKPAQAAVAFRIGGNMGAFYNVKMIGFLNTLCDDKGSHFFKDCFIEGTYDFIFGNGKSIYLQTEINVLADVPKELNVITAQARESEDQDVGFSIVHCKVGGKGSGAYLGEPLRPMPKVVFAYCAMSDVVNVKGWINNNFVPKAEGDFFFGEYKNTGPGADPAGRVKFAKQLTEEEVKPFLNLGYIKGTSWLLPPPKV >Manes.02G175600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13847581:13853702:1 gene:Manes.02G175600.v8.1 transcript:Manes.02G175600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSAVRDYINRMLQDISGMKMLILDSQTVRIVSVVYSQSELLQKEVFLVELVDSISKSKESMSHLKAVCFLRPTSDNIQHLRWQLANTRFGEYHLFFSNMLKDTQIHILADSDEHEVVQQVQEFFSDFVALDPYHFTLNIPSNHIYMLPAVVDPSGLQHFSDRVVDGIAAVFLAMKRRPVIRYQRTSDIAKRIGQEIAKLMYQQESGLFDFRRTEVSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIKDNKVDLRSIGKFPKDQEEIVLSSEQDAFFKANMYENFGDIGMNIKRLVDDFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMNKIVEEGKLMLVSETEQELACNGGQVAAFEAVMKLLNNESVSDFDSQRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGVTINPE >Manes.02G175600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13847580:13856022:1 gene:Manes.02G175600.v8.1 transcript:Manes.02G175600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSAVRDYINRMLQDISGMKMLILDSQTVRIVSVVYSQSELLQKEVFLVELVDSISKSKESMSHLKAVCFLRPTSDNIQHLRWQLANTRFGEYHLFFSNMLKDTQIHILADSDEHEVVQQVQEFFSDFVALDPYHFTLNIPSNHIYMLPAVVDPSGLQHFSDRVVDGIAAVFLAMKRRPVIRYQRTSDIAKRIGQEIAKLMYQQESGLFDFRRTEVSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIKDNKVDLRSIGKFPKDQEEIVLSSEQDAFFKANMYENFGDIGMNIKRLVDDFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMNKIVEEGKLMLVSETEQELACNGGQVAAFEAVMKLLNNESVSDFDSQRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGIVQFLLKQAGVDKRTGDLYGKRDLLNIARNMARGLKGVENVYTQHQPLLFQIMESITKGRMRDVDYPFVGTHFQQGRPQDVLIFVVGGTTYEECRSIALQNASNSGIRFVLGGSTVLDSKSFLKDLEEAQRISRSSTSVV >Manes.02G175600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13847600:13855817:1 gene:Manes.02G175600.v8.1 transcript:Manes.02G175600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKMVLVSAVRDYINRMLQDISGMKMLILDSQTVRIVSVVYSQSELLQKEVFLVELVDSISKSKESMSHLKAVCFLRPTSDNIQHLRWQLANTRFGEYHLFFSNMLKDTQIHILADSDEHEVVQQVQEFFSDFVALDPYHFTLNIPSNHIYMLPAVVDPSGLQHFSDRVVDGIAAVFLAMKRRPVIRYQRTSDIAKRIGQEIAKLMYQQESGLFDFRRTEVSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIKDNKVDLRSIGKFPKDQEEIVLSSEQDAFFKANMYENFGDIGMNIKRLVDDFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMNKIVEEGKLMLVSETEQELACNGGQVAAFEAVMKLLNNESVSDFDSQRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGIVQFLLKQAGVDKRTGDLYGKRDLLNIARNMARGLKGVENVYTQHQPLLFQIMESITKGRMRDVDYPFVGTHFQQGRPQDVLIFVVGGTTYEECRSIALQNASNSGIRFVLGGSTVLDSKSFLKDLEEAQRISRSSTSVV >Manes.02G175600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13847595:13855817:1 gene:Manes.02G175600.v8.1 transcript:Manes.02G175600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSAVRDYINRMLQDISGMKMLILDSQTVRIVSVVYSQSELLQKEVFLVELVDSISKSKESMSHLKAVCFLRPTSDNIQHLRWQLANTRFGEYHLFFSNMLKDTQIHILADSDEHEVVQQVQEFFSDFVALDPYHFTLNIPSNHIYMLPAVVDPSGLQHFSDRVVDGIAAVFLAMKRRPVIRYQRTSDIAKRIGQEIAKLMYQQESGLFDFRRTEVSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIKDNKVDLRSIGKFPKDQEEIVLSSEQDAFFKANMYENFGDIGMNIKRLVDDFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMNKIVEEGKLMLVSETEQELACNGGQVAAFEAVMKLLNNESVSDFDSQRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGVTINPE >Manes.02G175600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13847580:13856022:1 gene:Manes.02G175600.v8.1 transcript:Manes.02G175600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSAVRDYINRMLQDISGMKMLILDSQTVRIVSVVYSQSELLQKEVFLVELVDSISKSKESMSHLKAVCFLRPTSDNIQHLRWQLANTRFGEYHLFFSNMLKDTQIHILADSDEHEVVQQVQEFFSDFVALDPYHFTLNIPSNHIYMLPAVVDPSGLQHFSDRVVDGIAAVFLAMKRRPVIRYQRTSDIAKRIGQEIAKLMYQQESGLFDFRRTEVSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIKDNKVDLRSIGKFPKDQEEIVLSSEQDAFFKANMYENFGDIGMNIKRLVDDFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMNKIVEEGKLMLVSETEQELACNGGQVAAFEAVMKLLNNESVSDFDSQRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGLRLQSCGKKLLSFKVIVQFLLKQAGVDKRTGDLYGKRDLLNIARNMARGLKGVENVYTQHQPLLFQIMESITKGRMRDVDYPFVGTHFQQGRPQDVLIFVVGGTTYEECRSIALQNASNSGIRFVLGGSTVLDSKSFLKDLEEAQRISRSSTSVV >Manes.02G175600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13847581:13853064:1 gene:Manes.02G175600.v8.1 transcript:Manes.02G175600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSAVRDYINRMLQDISGMKMLILDSQTVRIVSVVYSQSELLQKEVFLVELVDSISKSKESMSHLKAVCFLRPTSDNIQHLRWQLANTRFGEYHLFFSNMLKDTQIHILADSDEHEVVQQVQEFFSDFVALDPYHFTLNIPSNHIYMLPAVVDPSGLQHFSDRVVDGIAAVFLAMKRRPVIRYQRTSDIAKRIGQEIAKLMYQQESGLFDFRRTEVSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIKDNKVDLRSIGKFPKDQEEIVLSSEQDAFFKANMYENFGDIGMNIKRLVDDFQQVAKSNQNIQTVEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMNKIVEEGKLMLVSETEQELACNGGQVAAFEAVMKLLNNESVSDFDSQRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGLRLQSCGKKLLSFKVC >Manes.13G026050.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3466479:3469754:1 gene:Manes.13G026050.v8.1 transcript:Manes.13G026050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFDFGHVLLLDLLSQLLVLLLIKSSHATELVSSQSRTDEVAGLLAFKRSSVELDPNNFLANWTANSSSPCSWVGLSCSLDGHVTALNLNNAGLIGSLHLPDLIAALPFLRHLSLQGNLFSAGNLSVSTATPCALEFLDLSSNNISDPLPSKSFTLFCDRLAYVNLSCNSIPSGTLHFGPSLLQLDLSRNRISDSTLLNYFLISCQNLIFLNFSDNKLAGRLEDTPMSCRNLSVLDLSYNLLSGEIPPGFIADSQSSLKHIDLSHNNFSGKFSSLDFGNCSNLTFLSLSTNMLSGGGFPTSMSNCEVLETLDLSHNELQLSIPGALLGRLKNLRQLSLAENQFFGYIPPELGQACGNLQKLDLSVNKLTGGLPLNFISCSSLESLKLGNNLLSGDFLTTVVSSLQNLKYLYVPFNNITGSVPLSLTNLTQIQVLDLSSNGFTGRIPSEFFSFSNPSNLQKLLLANNYLSGEVPSEIGNCKNLNVIDFSFNHLTGLIPREIWTLPNLSELIMWANNLTGEIPESICTNGSKLEMLILNNNRITGSIPQSIGSCTDMIWISLFSNQLSGEIPPSIGNLSNLAILQVGSNSLTGQIPPELGKCQSLVWLDLNSNNLAGYLPPEFADQAGLIVPGTVSGKQFAFVRTADEASCKGATAIVEFGGIRVERLENFLMDQSCSSIRIYSGKTIYTFVSNGSMIYINLAYNSLSGTIPDKFGSMSYLQVLILSHNKMTGNIPDALGGLKEIGVLDLSHNNLHGFIPASLGNLSFLSDFDVSNNNLSGLIPSRGQLSTFPASRYENNSGLCGVPLPPCGFPLPPCGSAGSSRNSFSLRKKQSLTEEMGFGVQFFITFSATFFISFLVAFFISIKMGVLL >Manes.08G000300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:288930:290691:1 gene:Manes.08G000300.v8.1 transcript:Manes.08G000300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSCAITPPSSINACISAAHRPWHSGKNKARPQAKTNEQLWRCVEACGACCKLAKGPSFATPEEIFTDPSDIELYRSLIGPDGWCIHFDKSTRKCSIYPDRPYFCRVEPDVFLSLYGIGKKKFNKEACRCCRDTIKAVYGSQSKELDNFNSSLNR >Manes.08G000300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:289018:290691:1 gene:Manes.08G000300.v8.1 transcript:Manes.08G000300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSCAITPPSSINACISAAHRPWHSGKNKARPQAKTNEQLWRCVEACGACCKLAKGPSFATPEEIFTDPSDIELYRSLIGPDGWCIHFDKSTRKCSIYPDRPYFCRVEPDVFLSLYGIGKKKFNKEACRCCRDTIKAVYGSQSKELDNFNSSLNR >Manes.07G109500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31490616:31492146:-1 gene:Manes.07G109500.v8.1 transcript:Manes.07G109500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHRIRSFKIRISSILAPREKADCVVIGAGVVGVALARELALKGREVLVVDSASKFGANTSSCNSQVIHAGIYYLPNSLKALFCVRGRKLLYSYCPEHGILYKHMGKLIVATSPAEIPNLYQLMNRAAQNGVDGLRMLEGFEAMKMKPQLQCLKALFSPVSGTVDVHSLMLSLMYLEGYEAILIQIFACCGEFEFWVLSRSEGS >Manes.08G017600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1796184:1814089:-1 gene:Manes.08G017600.v8.1 transcript:Manes.08G017600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPRKHQVSLRGASAREISRDALLEKVSHERELRSYARRATAAALFVQRVWRRYNVTKKVALQLQEEWENMLHHHDGSMTTSWVSSRVLRPFLFFIACLSTRHGKTCSRDVNCMLDCLKILLESINSTDSRHNFCSLALGTFEERRTWTYQSKKLISLCSFLLAKCDKSLAGGQDIIVLTSLALRFLVVLTDLKGWKSITKNSLKDADAAVNDLVKFMGRCESGLYISIRRYINKLDIPLSSQTKSMVQKDDKFLITATAVTLALRPFHATNFLSTGLDLLDMHSAPVQYCLYMLTIPNLTQRLPAVLVSALKHNTIFSPCLQTLLILRDNILTEMSRIDHLKIQHSLKIIPPVGWTLANIICLASGSENDFVDPGGLNQSLDYAFYVHVVIILAESLLSWLDDSGWTEKESQFPQIDAETSAEPVGEVSYENETTCALKMSYVDLLRSVCQQWHLTKLLAISKTDAYIHTDEALTVQNLKYSRKLELVDIAYFYSYILRIFSILNPLLGPLPVLNMLSFTPGYLVTLWEALESLLLPRKRDISADHDFFTSKISGNKKDSGGDKKEKQLNKEGSNKWANMLHKIAGKSQAGVDYTDSVDGQSMAQVDEDLQDVWDVEPLRCGPQKLSKDISCLLHLFCATYSHLLLVLDDIEFYEKQVPFKLEQQRRISSVLNTLVYNGLANGTVQQNRPLMDSAIRCLHLMYERDCRHQFCPPVLWLSPARKGRPPIAVAARTHENVLSSTTGSVITTIPHVYSFEERVQMFREFINMDKVSRKMAGEVTGPGSRAVDIVVRRGHIVEDGFRQLNTLGSRLKSSIHVSFVSECGVPEAGLDYGGLSKEFLTDISKAAFSPEYGLFSQTSTSDRFLIPNPTARYLENGLQMIEFLGRVVGKALYEGILLDYSFSHAFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDLKDLSLDFTVTEESFGKHHVIELKPGGKDVCVTNENKMQYVHAMADYKLNRQILPYSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGDFDIDVDDLINNTRYTGGYSEGSRTIKLFWEVIKGFEPNERCMLLKFVTSCSRAPLLGFKHLQPSFTIHKVACDTSLWATLGGKDVERLPSASTCYNTLKLPTYKRASTLRAKLLYAISSNTGFELS >Manes.08G017600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1796184:1814089:-1 gene:Manes.08G017600.v8.1 transcript:Manes.08G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPRKHQVSLRGASAREISRDALLEKVSHERELRSYARRATAAALFVQRVWRRYNVTKKVALQLQEEWENMLHHHDGSMTTSWVSSRVLRPFLFFIACLSTRHGKTCSRDVNCMLDCLKILLESINSTDSRHNFCSLALGTFEERRTWTYQSKKLISLCSFLLAKCDKSLAGGQDIIVLTSLALRFLVVLTDLKGWKSITKNSLKDADAAVNDLVKFMGRCESGLYISIRRYINKLDIPLSSQTKSMVQKDDKFLITATAVTLALRPFHATNFLSTGLDLLDMHSAPVQYCLYMLTIPNLTQRLPAVLVSALKHNTIFSPCLQTLLILRDNILTEMSRIDHLKIQHSLKIIPPVGWTLANIICLASGSENDFVDPGGLNQSLDYAFYVHVVIILAESLLSWLDDSGWTEKESQFPQIDAETSAEPVGEVSYENETTCALKMSYVDLLRSVCQQWHLTKLLAISKTDAYIHTDEALTVQNLKYSRKLELVDIAYFYSYILRIFSILNPLLGPLPVLNMLSFTPGYLVTLWEALESLLLPRKRDISADHDFFTSKISGNKKDSGGDKKEKQLNKEGSNKWANMLHKIAGKSQAGVDYTDSVDGQSMAQVDEDLQDVWDVEPLRCGPQKLSKDISCLLHLFCATYSHLLLVLDDIEFYEKQVPFKLEQQRRISSVLNTLVYNGLANGTVQQNRPLMDSAIRCLHLMYERDCRHQFCPPVLWLSPARKGRPPIAVAARTHENVLSSTTGSVITTIPHVYSFEERVQMFREFINMDKVSRKMAGEVTGPGSRAVDIVVRRGHIVEDGFRQLNTLGSRLKSSIHVSFVSECGVPEAGLDYGGLSKEFLTDISKAAFSPEYGLFSQTSTSDRFLIPNPTARYLENGLQMIEFLGRVVGKALYEGILLDYSFSHAFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDLKDLSLDFTVTEESFGKHHVIELKPGGKDVCVTNENKMQYVHAMADYKLNRQILPYSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGDFDIDVDDLINNTRYTGGYSEGSRTIKLFWEVIKGFEPNERCMLLKFVTSCSRAPLLGFKHLQPSFTIHKVACDTSLWATLGGKDVERLPSASTCYNTLKVF >Manes.13G075604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25831139:25833313:-1 gene:Manes.13G075604.v8.1 transcript:Manes.13G075604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVTLKSLSTLKSTAALPSPIRPLRHSSGTAVRLPPIVPLLHAVNVVLRPVPASAGLLASLLFSAASSAPVLPSGAAPRRVLCHCNVIVEALKVDCLQKL >Manes.08G117600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35717760:35721159:-1 gene:Manes.08G117600.v8.1 transcript:Manes.08G117600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSFSLRFAVFLSFSVAASSSSQFFFQKLGSPFSSTPPIPKAMPSDLLSVLGPTKQSSKINPLVSRELKSCFKFLVPFSPSNTKPQNQHSYSNRKLAYIIQFRNQGEENELIWWPPEPVLELARLALDSGGDPDVIHRALDPSILPELNSYLKFLFEVIAARGRSIGLNVSLNRYDFFHGHLFIATKTGRLGILFHAKEYPAYDKEVFPCNMGYCQKGSTVTYDDSMNFRNILWLAPFPSNSSKAWAAPGVLVVLDAHPGGIIYRDIIPEYVNFARTIYEDDFGDVVVDVNYLNVGGIDPSYEIFIC >Manes.08G117600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35717756:35721159:-1 gene:Manes.08G117600.v8.1 transcript:Manes.08G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSFSLRFAVFLSFSVAASSSSQFFFQKLGSPFSSTPPIPKAMPSDLLSVLGPTKQSSKINPLVSRELKSCFKFLVPFSPSNTKPQNQHSYSNRKLAYIIQFRNQGEENELIWWPPEPVLELARLALDSGGDPDVIHRALDPSILPIPDVEGSKENRCELTRTPYGRRFISQELNSYLKFLFEVIAARGRSIGLNVSLNRYDFFHGHLFIATKTGRLGILFHAKEYPAYDKEVFPCNMGYCQKGSTVTYDDSMNFRNILWLAPFPSNSSKAWAAPGVLVVLDAHPGGIIYRDIIPEYVNFARTIYEDDFGDVVVDVNYLNVGGIDPSYEIFIC >Manes.08G117600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35717756:35721159:-1 gene:Manes.08G117600.v8.1 transcript:Manes.08G117600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSFSLRFAVFLSFSVAASSSSQFFFQKLGSPFSSTPPIPKAMPSDLLSVLGPTKQSSKINPLVSRELKSCFKFLVPFSPSNTKPQNQHSYSNRKLAYIIQFRNQGEENELIWWPPEPVLELARLALDSGGDPDVIHRALDPSILPIPDVEGSKENRCELTRTPYGRRFISQELNSYLKFLFEVIAARGRSIGLNVSLNRYDFFHGHLFIATKTGRLGILFHAKEYPAYDKEVFPCNMGYCQKGSTVTYDDSMNFRNILWLAPFPSNSSKAWAAPGVLVVLDAHPGGIIYRDIIPEYVNFARTIYEAWYYWAGR >Manes.08G117600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35717760:35721159:-1 gene:Manes.08G117600.v8.1 transcript:Manes.08G117600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSFSLRFAVFLSFSVAASSSSQFFFQKLGSPFSSTPPIPKAMPSDLLSVLGPTKQSSKINPLVSRELKSCFKFLVPFSPSNTKPQNQHSYSNRKLAYIIQFRNQGEENELIWWPPEPVLELARLALDSGGDPDVIHRALDPSILPELNSYLKFLFEVIAARGRSIGLNVSLNRYDFFHGHLFIATKTGRLGILFHAKEYPAYDKEVFPCNMGYCQKGSTVTYDDSMNFRNILWLAPFPSNSSKAWAAPGVLVVLDAHPGGIIYRDIIPEYVNFARTIYEDDFGDVVVDVNYLNVGGIDPSYEIFIC >Manes.08G117600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35717760:35721159:-1 gene:Manes.08G117600.v8.1 transcript:Manes.08G117600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSFSLRFAVFLSFSVAASSSSQFFFQKLGSPFSSTPPIPKAMPSDLLSVLGPTKQSSKINPLVSRELKSCFKFLVPFSPSNTKPQNQHSYSNRKLAYIIQFRNQGEENELIWWPPEPVLELARLALDSGGDPDVIHRALDPSILPIPDVEGSKENRCELTRTPYGRRFISQELNSYLKFLFEVIAARGRSIGLNVSLNRYDFFHGHLFIATKTGRLGILFHAKEYPAYDKEVFPCNMGYCQKGSTVTYDDSMNFRNILWLAPFPSNSSKAWAAPGVLVVLDAHPGGIIYRDIIPEYVNFARTIYEDDFGDVVVDVNYLNVGGIDPSYEIFIC >Manes.04G084600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28600521:28615829:-1 gene:Manes.04G084600.v8.1 transcript:Manes.04G084600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSCFREILEHTDELLLRIKLKMEEKKAEKQIAEKPFHWGFCYSNLRKLSQSYYFLILRRGTKTRDAICIFYLVLRALDTVEDDTSIPTDVKVPILIAFHRHIYDREWHFSCGTKDYKVLMDQFHHVSTAFLELGKSYQEAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGLEDLAPTVLSNSMGLFLQKTNIIRDYLEDIDEIPKSRMFWPHEIWSKYVNKLEDLKYEENSIKAVQCLNDMVTNALIHVDDCLKYMSALRDPDIFRFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDQTKTMADIYGAFFDFSCMLKSKVDRSDPNAEKTISWVESIEKTCWESGLLNKRKSYIIRDKPRYNSALIVLLFIILSIILAYRSGNQPSN >Manes.04G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28600521:28611451:-1 gene:Manes.04G084600.v8.1 transcript:Manes.04G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGAIWRHPDDFYPLLKLKMAARHAEKQIPPEPHWGFCYSMLHKVSRSFALVIQQLGTQLRDAICIFYLVLRALDTVEDDTSIPTDVKVPILIAFHRHIYDREWHFSCGTKDYKVLMDQFHHVSTAFLELGKSYQEAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGLEDLAPTVLSNSMGLFLQKTNIIRDYLEDIDEIPKSRMFWPHEIWSKYVNKLEDLKYEENSIKAVQCLNDMVTNALIHVDDCLKYMSALRDPDIFRFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDQTKTMADIYGAFFDFSCMLKSKVDRSDPNAEKTISWVESIEKTCWESGLLNKRKSYIIRDKPRYNSALIVLLFIILSIILAYRSGNQPSN >Manes.04G084600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28600521:28615829:-1 gene:Manes.04G084600.v8.1 transcript:Manes.04G084600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLIMGNLGAIWRHPDDFYPLLKLKMAARHAEKQIPPEPHWGFCYSMLHKVSRSFALVIQQLGTQLRDAICIFYLVLRALDTVEDDTSIPTDVKVPILIAFHRHIYDREWHFSCGTKDYKVLMDQFHHVSTAFLELGKSYQEAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGLEDLAPTVLSNSMGLFLQKTNIIRDYLEDIDEIPKSRMFWPHEIWSKYVNKLEDLKYEENSIKAVQCLNDMVTNALIHVDDCLKYMSALRDPDIFRFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDQTKTMADIYGAFFDFSCMLKSKVDRSDPNAEKTISWVESIEKTCWESGLLNKRKSYIIRDKPRYNSALIVLLFIILSIILAYRSGNQPSN >Manes.08G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36566279:36574259:-1 gene:Manes.08G125500.v8.1 transcript:Manes.08G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRVSSMHSWQRSSAESPLASSSPLASPIHPRHVRTGSAGAPGVRKAQTKAAAQRLAQVMSHQPADDEDSEEEDLSVDYKNTPTSIGTIGLAGGRRMPRPQSPKPNPKVQSPVVRKKQQLQTQPQLVDEYNDTDDDYELMTGPVTVGRAGGKSIRPRSPMLVRNKQEQSLSAHSSPGSRPLPSFISVEQSSPIPFRSVSPPSHATSPMEEPLSARSLMVGRSSMNFVEQPSSVRSSMSARSSLNSTEQPPSARSTSAARTNVKTAPIPSSVPISLRPVSPVISPENSVDNRRDKRYSVDFGTTNLRDTGSHHSASALQDEVDMLQEENDSLIEKLRLAEERYEEADARARQLEKQVATLGEGVTLEARLLSRKEAALQQREAALRVAEQSSKPEEIAALRTEAETAKDEATSALVQLNEAVSEVKSLRTLTHRMILTEEEMEEVVLKRCWLARYWSLSFLHGIHGDLAGAKYEYWSSFAPLPFEVVLAAGQRAKEENLSTNSNASEREKVLRDMHELSGVGTIESMLLVDKGLRELSALKVEDAVALAMAQKRRPNLLKTDDVKLPAEGQFEAFELSQEETEDVLFKQAWLSYFWRRAKSHGLEPDIAEERLEFWINQNNRSFTSIDAVDVERGLLELKRLGIEHQLWHESRRGLDVDSNSRSIAESKF >Manes.16G130000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33099645:33100738:-1 gene:Manes.16G130000.v8.1 transcript:Manes.16G130000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLTKPSLPRVNLNVAKFSSHGLYAARSCLKLQGTRGGMRPTHNMNPEEVKAAGFEGSKSTPGHNPGGFLHQRGKLPFSPTTMTITGLVIAASVGYMVWYAKKKPEASPRDVAKVSTNSADPKDTHPRK >Manes.03G090200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19893067:19894806:1 gene:Manes.03G090200.v8.1 transcript:Manes.03G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSDCFSSYPFGLNLADDPMDHLLSVNSNGLSLADDPMDQLLPVNSNPGQNHVNETGSQLGLNGVVQTSQIPQRNPLMVALGQLGLGQKMTEMRSTYVSPYRVLVHRDHGHPQQPKFSLGEVAVTEVVTTTTRFLTPFSPREFQSRVMMNHDNNLNLCLNQNGLNFHDFFDSNVSNRSQEKKPAVPESELMKKYTAESELMKKSATESELRKKYAAESELMKKSAAESELMKKSAAESELMKKSAAESERKKKSAAESRPSGADAERPIIDRTRKKGDGRTHSLPHKKHGPYTCPRCLKVFLTSQNFAAHTTTHYKHETLDERRKRWAAKYRKKYLHFVHQSTAGRRLTSFPNFSRVPLKRKQGIQMSNKKDMQLEDEYGQRTMSPLPNQPTAGGLTSLATTSRVPLKGNEGIKRPYGKEVKLEDKYRQRTMSPLRSQPTVGGLTILPTFSRVLLKRNEGIKMSNGKAVKLEDEHGQRTVSPLPNQPTAGGLISLATSSRVPLEKNQGIKMSKEKDVELEDEDEQWIISPEAPSNSLVDVRIKNKAPSNSLVDVRIKNKAPSNSLVNARIKKEKTAI >Manes.02G026400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2241377:2242737:1 gene:Manes.02G026400.v8.1 transcript:Manes.02G026400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKKRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELMAKTQKTQGKGSVPKGAVPKGPKLGGGGGKR >Manes.S027152.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2269700:2269861:1 gene:Manes.S027152.v8.1 transcript:Manes.S027152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.06G021000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3776979:3786328:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3776157:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMINSSDLHRTMAVKAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3775753:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQYLPRSSVLPLQGFKCHQRKPASSISVNMMVGMINSSDLHRTMAVKAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADIVLGRGLLL >Manes.06G021000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3776979:3786328:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3776157:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGMINSSDLHRTMAVKAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVASKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3775546:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQYLPRSSVLPLQGFKCHQRKPASSISVNMMVGMINSSDLHRTMAVKAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3775546:3786328:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNITAYYCSFCSNGNPTESYNSVLAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3775546:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQYLPRSSVLPLQGFKCHQRKPASSISVNMMVGMINSSDLHRTMAVKAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVASKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3776898:3786328:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3775546:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQYLPRSSVLPLQGFKCHQRKPASSISVNMMGMINSSDLHRTMAVKAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3775546:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3775546:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKAISGSASSADTDGADVKEDKEKSDIYSHNMTEAMGAVLIYRHDLGMNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVASKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.06G021000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3777270:3786299:1 gene:Manes.06G021000.v8.1 transcript:Manes.06G021000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNFICPDLIVGSCIQIPEDVDSLRKIGVKTIFCLQQDPDLEYFGVDINVIREYAKKCGDIQHLRAEIRDFDAFDLRIQFPTVVRKLYRAINRNGGVTYIHCTAGLGRAPAVALAYMFWVQGYKLNEAHDLLLSKRPCFPKMDAIKSATADILTGLRKRLVTLTWKDDNCSTVEISGLDIGWGQRITLNFNEEKGLWILERELLEGRYEYKYIIDGEWTLNQDEPISAPNKDGHVNNYIQVLADNTDSINAEIRKRLTGDDPELTPDERLKIRQFLETFPDDDGEE >Manes.13G047300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5500920:5507383:-1 gene:Manes.13G047300.v8.1 transcript:Manes.13G047300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGETISVQENERAEGVDVETFEEEKRRTRSRSLKKKAVSASTRLTHSLRKRGKRVADCRYAAISINDVRDAEEEAAVNAFRQALIAKDLLPSRLDDYHTLLRFLKARKFDLDKTLLMWSEMLKWRKEQRIDSIIQDFIYDEYEEVQQYYPHGFHGVDKEGRPVYIERLGKIEPSKLMGVTTVDRFLKYHIQGFEKTFAEKFPACSIAAKRHIDSTITILDVHGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKGFLDPRTTAKINVLGNKFQNKLLEVIDSSQLPEFLGGSCSCPNEGGCLRSDKGPWNDPEIMKLVHAGEAIYLRKMKSFSDEDDLEIKSFPSRVSRSEIYSANLGLDVRPNTSGFIQEMPNTSGFIQEMPLSEEGRMVDHASICSLVDSPARVEDSSSTNDSTNNVGTTMVQKKFIPHITSFIHFMLKLLAWIYLLLPAVGRIFASRHADRQLPNQQNPPVEDSISQEQHISQDIKEDSLHPCWQRLKNLETMVDELVNKPTKIPPEKEDMLLESLSRIRSIEHDLQKTKKALLATASKQIELAESLENLKGVNSCWRRKFITFPPER >Manes.13G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5500920:5507383:-1 gene:Manes.13G047300.v8.1 transcript:Manes.13G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGETISVQENERAEGVDVETFEEEKRRTRSRSLKKKAVSASTRLTHSLRKRGKRVADCRYAAISINDVRDAEEEAAVNAFRQALIAKDLLPSRLDDYHTLLRFLKARKFDLDKTLLMWSEMLKWRKEQRIDSIIQDFIYDEYEEVQQYYPHGFHGVDKEGRPVYIERLGKIEPSKLMGVTTVDRFLKYHIQGFEKTFAEKFPACSIAAKRHIDSTITILDVHGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKGFLDPRTTAKINVLGNKFQNKLLEVIDSSQLPEFLGGSCSCPNEGGCLRSDKGPWNDPEIMKLVHAGEAIYLRKMKSFSDEDDLEIKSFPSRVSRSEIYSANLGLDVRPNTSGFIQEMPNTSGFIQEMPLSEEGRMVDHASICSLVDSPARVEDSSSTNDSTNNVGTTMVQKKFIPHITSFIHFMLKLLAWIYLLLPAVGRIFASRHADRQLPNQQNPPVEDSISQEQHISQDIKEDSLHPCWQRLKNLETMVDELVNKPTKIPPEKEDMLLESLSRIRSIEHDLQKTKKALLATASKQIELAESLENLKVSALAGVNSCWRRKFITFPPER >Manes.13G047300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5500920:5507383:-1 gene:Manes.13G047300.v8.1 transcript:Manes.13G047300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGETISVQENERAEGVDVETFEEEKRRTRSRSLKKKAVSASTRLTHSLRKRGKRVADCRYAAISINDVRDAEEEAAVNAFRQALIAKDLLPSRLDDYHTLLRFLKARKFDLDKTLLMWSEMLKWRKEQRIDSIIQDFIYDEYEEVQQYYPHGFHGVDKEGRPVYIERLGKIEPSKLMGVTTVDRFLKYHIQGFEKTFAEKFPACSIAAKRHIDSTITILDVHGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKGFLDPRTTAKINVLGNKFQNKLLEVIDSSQLPEFLGGSCSCPNEGGCLRSDKGPWNDPEIMKLVHAGEAIYLRKMKSFSDEDDLEIKSFPSRVSRSEIYSANLGLDVRPNTSGFIQEMPNTSGFIQEMPLSEEGRMVDHASICSLVDSPARVEDSSSTNDSTNNVGTTMVQKKFIPHITSFIHFMLKLLAWIYLLLPAVGRIFASRHADRQLPNQQNPPVEDSISQEQHISQDIKEDSLHPCWQRLKNLETMVDELVNKPTKIPPEKEDMLLESLSRIRSIEHDLQKTKKALLATASKQIELAESLENLKVSALAGVNSCWRRKFITFPPER >Manes.03G066629.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8604521:8612120:-1 gene:Manes.03G066629.v8.1 transcript:Manes.03G066629.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLAGSNWPAIGLCFFFFFLIASSAKIPTSKIPSTTKKSSALGVQLQVTDKQVVIDNGIVQVNFSSPGGDVIGIKYKEMDNVLETKNYENNRGNSWSLDTGMWYGARPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVLLTNPVDDKYQYSCENKDNKVHGNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKA >Manes.01G162400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34704659:34707420:-1 gene:Manes.01G162400.v8.1 transcript:Manes.01G162400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSPLLSLPRSPNPPVPTVKNDHSFARYQQTLSLIDQCTNLKHLKQFHAQMLRSGVFFHPYSASKLFAMASLSSFSSLDYARKMFDYIPQPNLYTWNTCIRAFASSPEPIHSLFIFIRMLYESLDFPNKFTFPFVIKAAAGISSLPVAQAIHGMVIKASLSSDLFILNSLIHCYASCGDMDSAYLVFLKIDEKDVVSWNSMITGFVQGGCPDKALELFQRMEAENVSPNDVTMVGILSACTKKLDLEFGRWVCQYIKRNKIHLTLTLSNVMLDMYVKNGSMEDARKLFDKMEDKDDFSWTTMIDGYAKGGDFDAARSIFYAMPRPEIAAWNVLISAYEQNGKPKEALAIFHELQLSKTAKPDEVTLLSTLSACSQLGAIDIGGWIHVYIKKQGIKLNGHLTTALIDMYSKCGDLEKALDIFYSAEVRDVFVWSAMIAGLAMHGRGRAAIDLFVKMQGSKVMPNAVTFTNLLSACSHTGLVDEGRTFFNQMESVYGVVPGTKHYTCMVDILGRAGHLEEAVELIRKMPIVPSASAWGALLGACRIHGNVELAEIACGNLLEIDPGNHGAYVLLSNIYAKTGKWDSVSGLRRHMKVSGIKKEPGCSSVEVDGIVHEFIVGDNSHPLSREIYAKLDEILAGLKSAGYVPNASHLLQFVEEEDMKEKALNLHSEKLAIAFGLIRLGPSQPIRIVKNLRVCGDCHSVAKLISKLYDRDIILRDRYRFHHFSGGICSCKDYW >Manes.12G061551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5900783:5900977:-1 gene:Manes.12G061551.v8.1 transcript:Manes.12G061551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNMKQRWNKKFCHWLKGFYYDDYNICFYS >Manes.16G086300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29324324:29325518:-1 gene:Manes.16G086300.v8.1 transcript:Manes.16G086300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFFQSSAEVEESSSSTSSSIGKNSDLWGTETESSDSEDCKEENEVQSAYKGKLNAIDALEEALPIRRGISDFYNGRSKSFLCLATASSFSSIKDIGKPEDAYTRERRNRLAHQIWSKNLRDRCGISKRPVSPKPGRAALSRSSSISSTNSNSNSNSCSNSKFPPHLNLRSRASHNNLAVLSSLQPSRKSLSLPDLHRHSMLDEINH >Manes.03G130600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25696995:25697693:1 gene:Manes.03G130600.v8.1 transcript:Manes.03G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMLKLFDCSLRLFVIPLTAATIWLTVTNQEDNSSYGNLKYSNLMGLKYMVCISAICGGYAFVAAVSLWIRFLVNKVWLFFVSDQIITYLMITSGAALIDLIYLAYNGDQTVTWSEVCSSYGKFCRRMKLALILHAMAVFCFIVLAVISSYRAFSKFEPPFSRKEVEGDAT >Manes.15G067166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5092587:5095371:1 gene:Manes.15G067166.v8.1 transcript:Manes.15G067166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTTNQEEDKKPADQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEMNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGAIA >Manes.06G177500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30061647:30069012:-1 gene:Manes.06G177500.v8.1 transcript:Manes.06G177500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKKIGEGDLVTGGDLYATVFENSLMQHHVALPPDAMGKITYVAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPERNGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYDQFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQSVERAAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEAALVAKFSKLHEDLTAGFRALEDETR >Manes.17G025700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20020984:20024120:-1 gene:Manes.17G025700.v8.1 transcript:Manes.17G025700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAKKGLQQYLLQLQHHPLRTKAITAGVLSAISDIVAQKLSGIQKLQLRRLLLKVLFGFAYLGPFGHFLHTILDKMFKGKKDTNTVAKKVALEQLTSSPWNNMLFMIYYGVVVERRPWMHVKTKIRKEYPTVQFTSWTFWPVVGWVNHQYVPLQFRVIFHSVVACCWGIFLNLRARSMTLTKG >Manes.02G163500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12819179:12824165:1 gene:Manes.02G163500.v8.1 transcript:Manes.02G163500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWLWKKKSSERSPGETESSGSLSSHSERFSDEQDASKASPNTDNQSPEVTSKAYVRNEDVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAENEVAALKKQLEAAIQQNSSLEDRVSHLDGALKECVRQLRQAREEHEEKVHEAVVRKTLEWESTKSELENQLLELKRKAEAAELESLPQIDPELCYKLEYLEKDNASLKLELLSLSEELEVRTIERDLSTQAAETASKQHLESIKKMAKLEAECRRVKAIGCKSTPLNDHKTSTASSFYVDSLTDSQSDSGERLNAVELETRKMSCSEPYRCEASCADSWASALIAELDQFKNEKSVKRSLPSSAIEIDLMDDFLEMERLAALPETERESHHPETKAVAEKSIDVESSLRAELESMVHQTAELEEKLQKMEVEKVELEEKLQKMEVEKVKLEEKIQKMEAEKVESEEMLEKSQVERNELEMVLTVCQEKNEEFQIQLKEAELKLEALQQELSIANESKQQIESQLVNVEVDARTMASKVNSLEAVIQKEKDLSAGIAVKCRALEGELSEKNKEVERQKLASSNSELKIKQEDLAVAAGRLAECQKTIASLGKQLRSLATLEDFLIDTASLPEFNAGGLLMHRASGESWKDHCSDTLSPKRDSSSSRIASENSCPLVNKNEGHSPPSSSLSTSSATSNHSSSDKNRNGFAKFFSRSKNGIQLEI >Manes.02G163500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12819179:12824165:1 gene:Manes.02G163500.v8.1 transcript:Manes.02G163500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWLWKKKSSERSPGETESSGSLSSHSERFSDEQDASKASPNTDNQSPEVTSKAYVRNEDVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAENEVAALKKQLEAAIQQNSSLEDRVSHLDGALKECVRQLRQAREEHEEKVHEAVVRKTLEWESTKSELENQLLELKRKAEAAELESLPQIDPELCYKLEYLEKDNASLKLELLSLSEELEVRTIERDLSTQAAETASKQHLESIKKMAKLEAECRRVKAIGCKSTPLNDHKTSTASSFYVDSLTDSQSDSGERLNAVELETRKMSCSEPYRCEASCADSWASALIAELDQFKNEKSVKRSLPSSAIEIDLMDDFLEMERLAALPETERESHHPETKAVAEKSIDVESSLRAELESMVHQTAELEEKLQKMEVEKVELEEKLQKMEVEKVKLEEKIQKMEAEKVESEEMLEKSQVERNELEMVLTVCQEKNEEFQIQLKEAELKLEALQQELSIANESKQQIESQLVNVEVDARTMASKVNSLEAVIQKEKDLSAGIAVKCRALEGELSEKNKEVERQKLASSNSELKIKQEDLAVAAGRLAECQKTIASLGKQLRSLATLEDFLIDTASLPEFNAGGLLMHRASGESWKDHCSDTLSPKRDSSSSRIASENSCPLVNKNEGHSPPSSSLSTSSATSNHSSSDKNRNGFAKFFSRSKNGIQLEI >Manes.02G163500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12819060:12824187:1 gene:Manes.02G163500.v8.1 transcript:Manes.02G163500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWLWKKKSSERSPGETESSGSLSSHSERFSDEQDASKASPNTDNQSPEVTSKAYVRNEDVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAENEVAALKKQLEAAIQQNSSLEDRVSHLDGALKECVRQLRQAREEHEEKVHEAVVRKTLEWESTKSELENQLLELKRKAEAAELESLPQIDPELCYKLEYLEKDNASLKLELLSLSEELEVRTIERDLSTQAAETASKQHLESIKKMAKLEAECRRVKAIGCKSTPLNDHKTSTASSFYVDSLTDSQSDSGERLNAVELETRKMSCSEPYRCEASCADSWASALIAELDQFKNEKSVKRSLPSSAIEIDLMDDFLEMERLAALPETERESHHPETKAVAEKSIDVESSLRAELESMVHQTAELEEKLQKMEVEKVELEEKLQKMEVEKVKLEEKIQKMEAEKVESEEMLEKSQVERNELEMVLTVCQEKNEEFQIQLKEAELKLEALQQELSIANESKQQIESQLVNVEVDARTMASKVNSLEAVIQKEKDLSAGIAVKCRALEGELSEKNKEVERQKLASSNSELKIKQEDLAVAAGRLAECQKTIASLGKQLRSLATLEDFLIDTASLPEFNAGGLLMHRASGESWKDHCSDTLSPKRDSSSSRIASENSCPLVNKNEGHSPPSSSLSTSSATSNHSSSDKNRNGFAKFFSRSKNGIQLEI >Manes.02G163500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12819004:12824187:1 gene:Manes.02G163500.v8.1 transcript:Manes.02G163500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWLWKKKSSERSPGETESSGSLSSHSERFSDEQDASKASPNTDNQSPEVTSKAYVRNEDVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAENEVAALKKQLEAAIQQNSSLEDRVSHLDGALKECVRQLRQAREEHEEKVHEAVVRKTLEWESTKSELENQLLELKRKAEAAELESLPQIDPELCYKLEYLEKDNASLKLELLSLSEELEVRTIERDLSTQAAETASKQHLESIKKMAKLEAECRRVKAIGCKSTPLNDHKTSTASSFYVDSLTDSQSDSGERLNAVELETRKMSCSEPYRCEASCADSWASALIAELDQFKNEKSVKRSLPSSAIEIDLMDDFLEMERLAALPETERESHHPETKAVAEKSIDVESSLRAELESMVHQTAELEEKLQKMEVEKVELEEKLQKMEVEKVKLEEKIQKMEAEKVESEEMLEKSQVERNELEMVLTVCQEKNEEFQIQLKEAELKLEALQQELSIANESKQQIESQLVNVEVDARTMASKVNSLEAVIQKEKDLSAGIAVKCRALEGELSEKNKEVERQKLASSNSELKIKQEDLAVAAGRLAECQKTIASLGKQLRSLATLEDFLIDTASLPEFNAGGLLMHRASGESWKDHCSDTLSPKRDSSSSRIASENSCPLVNKNEGHSPPSSSLSTSSATSNHSSSDKNRNGFAKFFSRSKNGIQLEI >Manes.10G107020.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25851382:25887408:1 gene:Manes.10G107020.v8.1 transcript:Manes.10G107020.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADKWQTFACERTLLSIKERLSRNGRVAQHSQAPPSTCEGQASRHQRIYCIEGKFWENCRLKRANTIAVVLGELGSALSLKEKTWMSMTPDELTTIIQSVEDALKVGFKLDCLKPVVEKAKTVLCSFNIRCRLEALQKEKSSLETQLQTLISQLQSLELDRTPKDLI >Manes.10G107020.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25851382:25887416:1 gene:Manes.10G107020.v8.1 transcript:Manes.10G107020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFYEDFYGFQVLKSHVSDLNRIYCIEGKFWENCRLKRANTIAVVLGELGSALSLKEKTWMSMTPDELTTIIQSVEDALKVGFKLDCLKPVVEKAKTVLCSFNIRCRLEALQKEKSSLETQLQTLISQLQSLELDRTPKDLI >Manes.15G122300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9731760:9739255:-1 gene:Manes.15G122300.v8.1 transcript:Manes.15G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLVTGKKKAKKHADAHSCFYCKKVFSNHQALGGHLRVHQGEIKSKKGLNSSGFSSNSIEIMGTHLNPLTNLQPENSSEARKSLPFFDKEASQFSFYKSCCLHENSLVNTSKFTSNNLANGKYNLIMSPNLPTTGGSAEIYHPSCLIMAAIPSIRNGTTSSNTFASPLTIGFPSESSFCSGTGEVSRFNSDEFLTWRDAMPFISENALQNLECYDLSKFPYPAFAVMNTFPGVGSNQFPGFGFGIFPWGPCQCLGRKIVGQCDGIDALYCEGNKEPYMSDVPGDAPATNTSKRLKPTFSSLVQTEKPQKELLFFRDLEHSSSGLGVPSDAKEEVQVDLDLTLHL >Manes.15G021100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1699206:1701283:-1 gene:Manes.15G021100.v8.1 transcript:Manes.15G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNDLVAGSCRKDQSSTPSSSTRSNPSAPHRDGLYDSLVHEIRMPPQNPKKAKTSNQSDHPPYLSTLPDEIIAEILSWLPVKSLIRFRCVCKSFKALFSDPQFIKTHLRKITSSSESKPNHYEKIVLTCVRPPYTLVKSCSLYSIYNESQTDAVELDHFSLRDKYHYTWLVGSCDGLLCMAAYNTAEQNYVALWNPSTRVVYRLPGLGFGNKSEGYTCFGFGYDSNICDYKVVAVFCFRNWGDSEHKTRVKICTLGTKSWRRIEDFGYGVPYDVSGKYVNGSLSWPAMCERDSRLAWIIVSLDLAKETYKEILQPDYGEDDYDSISLGVVNGCLCMMCDIADSADLWVMKDFGVRQSWTKLLSIPYLDDPGVMQYSIPYYIADNGEVLMEGKDVLLIYNPKDGTFRYSVINGARRLVEAELYIETLVSP >Manes.18G125500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281594:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQPSLKNP >Manes.18G125500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281594:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSDCADKFFNLAMKCCQDETDSRPSMADVVRELETIWEMMPESDKKTTDEVTTDPEKIVRSLSSVSMVKHPYVSSDVSGSDLESGTIPSIHPR >Manes.18G125500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281717:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQPSLKNP >Manes.18G125500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281717:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPIRVMFIALVSYFWSC >Manes.18G125500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281534:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSDCADKFFNLAMKCCQDETDSRPSMADVVRELETIWEMMPESDKKTTDEVTTDPEKIVRSLSSVSMVKHPYVSSDVSGSDLESGTIPSIHPR >Manes.18G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281535:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSDCADKFFNLAMKCCQDETDSRPSMADVVRELETIWEMMPESDKKTTDEVTTDPEKIVRSLSSVSMVKHPYVSSDVSGSDLESGTIPSIHPR >Manes.18G125500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281717:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQPSLKNP >Manes.18G125500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14284874:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPVSLIYLCSLVTLLNSKFVFIRKLILVSSLSFYHWHYLVTCMSMFG >Manes.18G125500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281594:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGYLHVRKLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSDCADKFFNLAMKCCQDETDSRPSMADVVRELETIWEMMPESDKKTTDEVTTDPEKIVRSLSSVSMVKHPYVSSDVSGSDLESGTIPSIHPR >Manes.18G125500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281595:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQPSLKNP >Manes.18G125500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281717:14295078:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKIFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSDCADKFFNLAMKCCQDETDSRPSMADVVRELETIWEMMPESDKKTTDEVTTDPEKIVRSLSSVSMVKHPYVSSDVSGSDLESGTIPSIHPR >Manes.18G125500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281693:14295286:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQPSLKNP >Manes.18G125500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281693:14295286:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPIRVMFIALVSYFWSC >Manes.18G125500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281717:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLQLLNMNLSGTLSPSLGSLSHLKILDFMWNNISGSIPKEIGKIKSLELLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSDCADKFFNLAMKCCQDETDSRPSMADVVRELETIWEMMPESDKKTTDEVTTDPEKIVRSLSSVSMVKHPYVSSDVSGSDLESGTIPSIHPR >Manes.18G125500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14281594:14295285:-1 gene:Manes.18G125500.v8.1 transcript:Manes.18G125500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDSGGFCIPGMSISRTPTYGAILIMWLCWSSVLIEAQDSITDPVEVSALQNIRRSLIDINRNLSNWNRGDPCTSNWTGVLCFNITMDDGYLHVRKLLLNGNQLIGPLPEELGYLPNLDRIQIDQNHISGPIPVSFANLNKTMHFHMNNNSISGQIPPELSRLPNLIHFLLDNNNLSGYLPPQLSELPNLLILQLDNNNFDGATIPDSYGNMTKLLKLSLRNCSLKGPIPDLSRISNLGYLDLSINQLNGSLPPERLSGNITTIDLSNNDLAGSIPEYFSGLLHLQRLSVANNSLNGSLPSTLWQSRNLNASERLILDFENNRLESISANGSIILPQNVSLRLQGNPVCSNSNLVQFCGSHNEDLYKQGWTNTTDNCHIQSCPYVYSPTSPVNCFCAAPLYVGYRLKSPGFSNFQPYRDAFEKHLASGLNLELYQLYIENFQWEVGPRLRMQLQLFPIYDASNKSSHVFNTSEVLRIMSKLTGWDIPDNDIFGPYELLYFPLLDPYDNVILPSSSSAGTSKGALAGVILGAIASTAALCVIVSLLLMRVFLRNYHLRDYREISKRRQASKASMKIDGMKDFTYTELALATNKFSSSTQVGQGGYGKVYKGILADGIVVAIKCAQEHSLQGEKEFLTEIEFLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDKLSAKSKEPLSFRLRLRIALGSAKGIFYLHKEANPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGAVPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSDCADKFFNLAMKCCQDETDSRPSMADVVRELETIWEMMPESDKKTTDEVTTDPEKIVRSLSSVSMVKHPYVSSDVSGSDLESGTIPSIHPR >Manes.03G123501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25087951:25088418:-1 gene:Manes.03G123501.v8.1 transcript:Manes.03G123501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTVLVAIFIATLFVVDASIYRATVIFDDVDENTDENQSMRRCSHQIQQQQNLRQCKEYIRQSVRGYRGRGRGLPPADETENQSDQFRRCCSQLQQLDSMCRCEGLKIAFQQLQGQGMLRGQDIRQAYFLAQNLPKQCRVSPRQCLIRWSWGL >Manes.17G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32187610:32200904:-1 gene:Manes.17G114300.v8.1 transcript:Manes.17G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLARIRLTFMPGATMLSSSKSSVALKSSTYSFSTTSSKLFNGGALRSRVAFSSHFSRSVSLRCFASSSGFDRVKVQNPIVEMDGDEMTRIIWKMIKDKLIYPYLDLDVKYFDLGILNRDATDDKVTVESAEATLKYNVAVKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCQNIPRIVPGWKKPICIGRHAFGDQYRATDTVIEGPGKLKMIFVPEDGNTPVELDVYDFKGPGVALAMYNVDQSIRAFAESSMSLAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEESWKQKFEEHSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNEKLLDFVHKLEASCIETVEAGKMTKDLAILIHGPKVTREFYLNTEEFIVAVAQNLEAKLREPAVV >Manes.06G093180.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22815953:22818733:1 gene:Manes.06G093180.v8.1 transcript:Manes.06G093180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLINQNLQTKIVPISFTKFISSVSIIRTLSFHANKYEQQHPVPFLDPFQLLSNYTKSTHHAFKDTKIIHSHLLKTAVLHSDIVIANCLLNRYCNSGAMLYAVKLFDTIPLLNVISWNIMISGYIHNMLFEDSWRFFCSMHFSGFQPNDFTYGSAVSACAALQSPLLGELVYSLAIKNGFYANGYVRARMIDLFAKTSKLDDALKVFCDVSCENVVCWNSIICGAVRNGEYWVALDLFSQMCCKSLMPNSFTFSSILTACATLEEIEIGKGVQGWVIKCGKKDVFVGTAIVDMYAKCGDIGEAVKEFSRMPVHNVVSWTAIISGFVKRDDSISALRFFKEMRMIKEEINNFTVTSVLNACAKPDMIKEAIQIHTWILKTGFYLDPVVQAALVNVYAKLHAIDLSELVFREMEDVKNPGIWSIMISSLAQNKSSQRAIELLQIMLQESLRPDSFCFSSVLSVIDCLNLGRQIHGYILKTGFVFDLSVGSSLFTMYSKCGSIEDSYKVFEHIPVRDNISWTSMISGFTEHGCTNQAFELFRNMLAEGTRPDQMIFIAILAACSGLRSLKKGKEIHGYVFRAGMGREALVGGALVTMYSKCAALKLARKVFDMLPEKDQVSCSSLVSGYAQNGLPEEAVFLFHEMLMSNFATDSFTVSSVLGAIALLNRLDIGTQLHAHIIKMGLDSNVSIGSSLVTMYSKCGNIEDCGKAFDQVDEPDLVCWSAMIASYAQHGKGVEALKMYEQMRKQGIRPDSVAFVGVLSACSHANLVEEGYFHFNSMTKDFGIKPNNRHYACMVDLLGRSGKLKEAEKLIKSMPTEPDALLWATLLAACKLHGEVELGKIAAKMVMELNPSDDGAYVLLSNIYANVGQWEEVQQIRSRMKGAGLGRKLLGVSRDI >Manes.07G068060.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16633706:16635629:1 gene:Manes.07G068060.v8.1 transcript:Manes.07G068060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLLLLLSSLSTTSSTAAYPTVPGTADTSVYASVKDNLIPIRREVYGDGRIFDISHRYTSSLPAYGSDSGLGQFLHHATSMKNGSIANVSEMKLSVHTGTHVDAPGHFYDHYFDAGFDVDSLDLGVLNGLGLLIDVPRDSNITAEVMRSLSIPKGVTRVLFRTLNTDRKLMFKSQFDSSYMGFTKDGAEWLVKNTDIKLVGIDYLSIGAWTDMISTHLVFLESKEIIVAEALKLDDIEAGIYYVNCLPLRLVGAEGSPARCVLIK >Manes.04G019800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2425616:2430770:1 gene:Manes.04G019800.v8.1 transcript:Manes.04G019800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEKSLDPQLWQACSGSMVHIPPVNCRVFYFPQGHAEHSLSPVDFSSSPRIPAVILCRISAVKYLADLETDEVYAKISLVPLPNRELDFGEEMGICDNSNVKGTEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGQIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLKAENGDLFVGIRRAKRGNGPESSSPPSGWATNASFVKLYGGFSLFQKEDENKGTRNGGLKGRGKVRPGEVMEAAELAANGQPFEVVYYPRASTPEFCVKASSVMAAMRIQWRSGMRFKMAFETEDSSRISWFMGTVTSVQVSDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLIELVSNMPVINLLPFSPQRKKLRLSQQLDFPLNGQFQWPSFSGNPLGPSRPFCCLSDNTPVGIQGARHAQFGISLSALQLNNKLQSGQFLSSFQQFNSHSRISESFMKGHTNSYENLSCSLTIGNSNKNSEKSESDSVKGHHFLLFGQPILTEQQISHSYSTDGVSQVLNGKFSSAESPEKPKSCDVLRYAPEKQTSPEKSTSTGLSWQSLHATETSLDAGLCKVFLESEDVGRTLDLSILGSYEELHNRLTKMFGIDRSYSFSCVLYQDATGAVKQMGDEPFSVFTKTAKMLTIQMNLTSSDNIGRPWITGMRNTENGLESSNKTGPLSIFA >Manes.04G019800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2427115:2430799:1 gene:Manes.04G019800.v8.1 transcript:Manes.04G019800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEKSLDPQLWQACSGSMVHIPPVNCRVFYFPQGHAEHSLSPVDFSSSPRIPAVILCRISAVKYLADLETDEVYAKISLVPLPNRELDFGEEMGICDNSNVKGTEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGQIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLKAENGDLFVGIRRAKRGNGPESSSPPSGWATNASFVKLYGGFSLFQKEDENKGTRNGGLKGRGKVRPGEVMEAAELAANGQPFEVVYYPRASTPEFCVKASSVMAAMRIQWRSGMRFKMAFETEDSSRISWFMGTVTSVQVSDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLIELVSNMPVINLLPFSPQRKKLRLSQQLDFPLNGQFQWPSFSGNPLGPSRPFCCLSDNTPVGIQGARHAQFGISLSALQLNNKLQSGQFLSSFQQFNSHSRISESFMKGHTNSYENLSCSLTIGNSNKNSEKSESDSVKGHHFLLFGQPILTEQQISHSYSTDGVSQVLNGKFSSAESPEKPKSCDVLRYAPEKQTSPEKSTSTGLSWQSLHATETSLDAGLCKVFLESEDVGRTLDLSILGSYEELHNRLTKMFGIDRSYSFSCVLYQDATGAVKQMGDEPFSVFTKTAKMLTIQMNLTSSDNIGRPWITGMRNTENGLESSNKTGPLSIFA >Manes.04G019800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2426981:2430798:1 gene:Manes.04G019800.v8.1 transcript:Manes.04G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEKSLDPQLWQACSGSMVHIPPVNCRVFYFPQGHAEHSLSPVDFSSSPRIPAVILCRISAVKYLADLETDEVYAKISLVPLPNRELDFGEEMGICDNSNVKGTEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVIAKDVHGQIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLKAENGDLFVGIRRAKRGNGPESSSPPSGWATNASFVKLYGGFSLFQKEDENKGTRNGGLKGRGKVRPGEVMEAAELAANGQPFEVVYYPRASTPEFCVKASSVMAAMRIQWRSGMRFKMAFETEDSSRISWFMGTVTSVQVSDPIRWPNSPWRLLQVTWDEPDLLQNVKRVSPWLIELVSNMPVINLLPFSPQRKKLRLSQQLDFPLNGQFQWPSFSGNPLGPSRPFCCLSDNTPVGIQGARHAQFGISLSALQLNNKLQSGQFLSSFQQFNSHSRISESFMKGHTNSYENLSCSLTIGNSNKNSEKSESDSVKGHHFLLFGQPILTEQQISHSYSTDGVSQVLNGKFSSAESPEKPKSCDVLRYAPEKQTSPEKSTSTGLSWQSLHATETSLDAGLCKVFLESEDVGRTLDLSILGSYEELHNRLTKMFGIDRSYSFSCVLYQDATGAVKQMGDEPFSVFTKTAKMLTIQMNLTSSDNIGRPWITGMRNTENGLESSNKTGPLSIFA >Manes.01G174850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35596408:35597048:1 gene:Manes.01G174850.v8.1 transcript:Manes.01G174850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQTNRERNMKMMRSTTTQKEMDGAVDLLNHPGSSRNPLCFEVSQIYPKNRIIKLTTEIGFGKRQRRNKLKNCNGLRKLRSTQIVKGQYPSHLNNRNWEGY >Manes.14G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9485928:9489202:-1 gene:Manes.14G135000.v8.1 transcript:Manes.14G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECGHRDSSDGDLSFKTTNSTNSSMSSDSCSSYSRISFELLASRSSPENLSLKPHHSSDLAYSAIRSATFRRKSGLTHRDFRLIRRIGSGDIGTVYLCSLTREHNKKYREEDYDEEEGEEEDDRLCFYAMKVVDQEALAVKKKVHRAEMEKKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHRQPYKRFSLSSARFYTAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSEAIPAVESPSFSPNSTSPSAFPYTRSHSSKTFSCPLNRLFRSKKIQTLGPNRLFVAEPVAARSCSFVGTHEYVAPEVASGGSHGNAVDWWALGIFIYELIYGRTPFAAASNELTLRNIVKKPLSFPTHSPSSSLELHARDLISGLLNKDPNNRLGSKRGSADVKSHPFFKGLNFALIRTVTPPEIPGLRRQKTATFHQAKPKSTAFDYF >Manes.02G015200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1434852:1441144:1 gene:Manes.02G015200.v8.1 transcript:Manes.02G015200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIKTPRKHVLLLAYQSFGIVFGDLSTSPLYVYRSIFSGKLRHYQTEDTIFGAFSLIFWTLTFFSLIKYVVFMLCADDNGEGGIFALYSLLCRHAKFSLLPNQQAADEELSTYHGEGRSNRNVLSSAFKKLVERRKKVKTALLLVVLFCASMVITMGVLTPAISILSSIEGLQVQAKNLHHGMVVLIACIVLIGLFVLQYRGTHRVAFMFAPIVIIWLLSIAIIGAYNVIHWNTRVYQALSPYYVYQFFRETGKDGWISLGGILLCVTGTEVIYAELGQFTASSVRLAFSFVVYPCLVLQYMGQAAFISKNFSTVSLSFYSSIPDSLFWPVLVMAILSTIVASQAVVCATFSIVKQCHAYGCFPRIKIVHKPKWIDRQMYIPEINWILMVLCLAITIGSHDTNRIGNAYGIAFMTMIFMTTCLMSLVINFIWHKSVGFALFYFLFFGIIEFIFLSSSFMRIPKGGWVPLVLSAVFTFIMFVWHYGSRKKYLYDVHNKVPMKWILTLGSDLGIVRIPGIGLIYTELASGVPATFSHFLTNLPAFYKVVVFVCVKIVPVPYVPQSERYLIGRIGPKSYRLYRCIIRNGYKDVQEKETEYDVENALVMSIAEFIQLEAEGCGSADGSVDGRMAVVRTSEMFGKRFIVSESDGYGESSSSIFPTTVGCNSRSAALQKLQSMYEQETPQLKQRRRIQLKLSDTKCKDLQAKDELLELLEAKHAGVAYIIGHSHVKAKWNSSFLKRLSIDIFYSFLRKNCRSPSVFLDIPRISLIEVGMNYYL >Manes.02G015200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1434922:1441024:1 gene:Manes.02G015200.v8.1 transcript:Manes.02G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIKTPRKHVLLLAYQSFGIVFGDLSTSPLYVYRSIFSGKLRHYQTEDTIFGAFSLIFWTLTFFSLIKYVVFMLCADDNGEGGIFALYSLLCRHAKFSLLPNQQAADEELSTYHGEGRSNRNVLSSAFKKLVERRKKVKTALLLVVLFCASMVITMGVLTPAISILSSIEGLQVQAKNLHHGMVVLIACIVLIGLFVLQYRGTHRVAFMFAPIVIIWLLSIAIIGAYNVIHWNTRVYQALSPYYVYQFFRETGKDGWISLGGILLCVTGTEVIYAELGQFTASSVRLAFSFVVYPCLVLQYMGQAAFISKNFSTVSLSFYSSIPDSLFWPVLVMAILSTIVASQAVVCATFSIVKQCHAYGCFPRIKIVHKPKWIDRQMYIPEINWILMVLCLAITIGSHDTNRIGNAYGIAFMTMIFMTTCLMSLVINFIWHKSVGFALFYFLFFGIIEFIFLSSSFMRIPKGGWVPLVLSAVFTFIMFVWHYGSRKKYLYDVHNKVPMKWILTLGSDLGIVRIPGIGLIYTELASGVPATFSHFLTNLPAFYKVVVFVCVKIVPVPYVPQSERYLIGRIGPKSYRLYRCIIRNGYKDVQEKETEYDVENALVMSIAEFIQLEAEGCGSADGSVDGRMAVVRTSEMFGKRFIVSESDGYGESSSSIFPTTVGCNSRSAALQKLQSMYEQETPQLKQRRRIQLKLSDTKCKDLQAKDELLELLEAKHAGVAYIIGHSHVKAKWNSSFLKRLSIDIFYSFLRKNCRSPSVFLDIPRISLIETLHRVRFFVRVLGYSS >Manes.02G015200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1434922:1441024:1 gene:Manes.02G015200.v8.1 transcript:Manes.02G015200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMGVLTPAISILSSIEGLQVQAKNLHHGMVVLIACIVLIGLFVLQYRGTHRVAFMFAPIVIIWLLSIAIIGAYNVIHWNTRVYQALSPYYVYQFFRETGKDGWISLGGILLCVTGTEVIYAELGQFTASSVRLAFSFVVYPCLVLQYMGQAAFISKNFSTVSLSFYSSIPDSLFWPVLVMAILSTIVASQAVVCATFSIVKQCHAYGCFPRIKIVHKPKWIDRQMYIPEINWILMVLCLAITIGSHDTNRIGNAYGIAFMTMIFMTTCLMSLVINFIWHKSVGFALFYFLFFGIIEFIFLSSSFMRIPKGGWVPLVLSAVFTFIMFVWHYGSRKKYLYDVHNKVPMKWILTLGSDLGIVRIPGIGLIYTELASGVPATFSHFLTNLPAFYKVVVFVCVKIVPVPYVPQSERYLIGRIGPKSYRLYRCIIRNGYKDVQEKETEYDVENALVMSIAEFIQLEAEGCGSADGSVDGRMAVVRTSEMFGKRFIVSESDGYGESSSSIFPTTVGCNSRSAALQKLQSMYEQETPQLKQRRRIQLKLSDTKCKDLQAKDELLELLEAKHAGVAYIIGHSHVKAKWNSSFLKRLSIDIFYSFLRKNCRSPSVFLDIPRISLIEVGMNYYL >Manes.07G123000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32674173:32676979:1 gene:Manes.07G123000.v8.1 transcript:Manes.07G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLQDLESWLPTEFLTQEELLMGKDDFKNKGFCSEFKPGLSFPTEFPYEFESFGSSVSLSSPDDSVVGSIETESSDEDDFLAGLTRRLTQQLTVKPEKWVMAGSPESTLSGIGSWSVSSNGSPNGGLSPPTTPFTAKNDTWDLIYAAAGQVARLKMNNEGNKYNNHQRMGLLGPVRSQHADANLKHQNPGLYSAQSFNHPVSQMNQYHSQVRQEQLLKPQCPLVWGKQQVKVGWQAQPQRQHPRLQTQSHVQHQQQQIQSKGTSVVGYENGRCVRPLGIPQSAWPPLQVQPNQQQQQQQPQQHSNAGMRAVFLGGSGVKRECTGTGVFLPRRYGNPPDSKKKSACSTVLLPAKVVQALNLNFEDMNMNSHAQQRINSSFASDYDALMARRNALLAQQKRNLRAEGVLNHEVHLPQEWTY >Manes.06G034003.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10009872:10011339:1 gene:Manes.06G034003.v8.1 transcript:Manes.06G034003.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.10G122700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28998256:28999825:1 gene:Manes.10G122700.v8.1 transcript:Manes.10G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQRLKRASRGRSEAHSCIQVKAMEYFKKELSSTDINKRLAVPTRFFQLIRPRFKGNHFLDLKVKYKNQKMGLRCCRRKEGTHPKPVLTKGWVEFVRREKLKAGDRIVLEEEDEATAGVEFRIEVQKKPFRLFGKDI >Manes.13G018901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2850765:2851955:-1 gene:Manes.13G018901.v8.1 transcript:Manes.13G018901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFEFSVCYISLLIMFSSFSVAHINSAPSSPASAFGPAGASSLAGASGPASASSSDFVSASGPAGASDFASASGPAGASDFASASGPAGASDFASASGPAGASDFASASGPAGASDFASASGPAGASDFASASGPAGASDFASASGPAGASDFASASGPAGASDFASASGPAGASDFASASSSSPASASVPVSASDFASASSPASASGSTGAFDSASAFGPASASSPTSASGVAAPSEKETKIKCPFAAKREAEAKKKAEKEAEDKKEAEKEDEDKKEAKKEDEDEKESKSEVDKAKEAVKEAKKEVEKAKDAHKEAKKEAEKAKDAVKEAKQEVKKEKEAEKEAKKQAKKEKEAEKKAEKEAKKQAKKEKEAKEEAKKEAKKEKEEEKEAKKEA >Manes.10G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15261366:15264986:1 gene:Manes.10G076300.v8.1 transcript:Manes.10G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLLPAVSSAAVAAATTVTVGSGLPPSQRLRLTDYRLCCPSNYPCKFTQSMTFSSSSLFYNPLETRELKLSFTKTNASSPVSPAFTLSNDEAERAKLAQVAKRLERTSRYFKRLGSAGFWGQLICTLVAAVILSFSIVVTGKISSPASFYTTAGGIVAALISVFWSFGYIRLSDKLRKTVNDPSKAPPRADVVKSLKNGIVVNLLGMGAAILGMQATVGLLVAKSLTSTANPYYQGISPGYSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPATVSIPVPGVA >Manes.06G095100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22978485:22987272:-1 gene:Manes.06G095100.v8.1 transcript:Manes.06G095100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSHGPASFWTQADALLRKNLTYQKRNAKTNCRLILFPFILCILLVITQRLIDNELNKPSRKCGCTTVDTNGDGQPEKVCGLQYSTLDQVATCSIPSPPQWPPLLQIPAPQYRAVRSEVIPFTDLPNDSCRSTGSCPVIILFTGNNQSLGENLAGNIFPSSFTINSSNFLESLANNALGSDTEPKRDSFLDPAFIEDSPLYYIQHQCTSNSRFSVSVQSIIDFQKEAACVQDLRLWRNSSSEINEQLYKGYRKGNSDEKINEILAAYDFLNSNANNFNVSIWYNSTYEDGEVPGQFNFLRVPRSVNLVSNAYLQFFQRPGTKMLFEFVKEMPKAASKINVDLASLLGTLFFTWVILQLFPVVLTSLVYEKQQKLRIMMKMHGLGDGPYWMISYTYFLSISLMYMLVFIIFGSIIGGWIIVLELYPGFSLYRGLYEFSQYAFTGNAMGTHGMRWGDLSDSKNGMRQVLIIIFVEWLVVLFVAYYVDQVSASGSGKSPLFFLKNFGKKRPSSFRKPSFQRQGSKVFVDMDKPDVIQEREKVEQLLLEPSTTHAIICDNLQKVYPGRDGNPEKLAVRGISLALPPGECFGMLGPNGAGKTSFISMMIGLTKPTSGTAYVQGLDIRTHMEWIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSALLQAVEESLRSVNLFNGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRSNLWNVVKRAKQDRAIILTTHSMEEAEALCDRLGVFVDGSLQCIGNPKELKARYGGSYVFTMTTSLDHEEEVVKMVQQLSPKAERTYHTSGTQKFEMPKNEIRIGDVFQAVELAKTRFPVFAWGLSDTTLEDVFIKVASSSGA >Manes.06G095100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22978486:22987271:-1 gene:Manes.06G095100.v8.1 transcript:Manes.06G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSHGPASFWTQADALLRKNLTYQKRNAKTNCRLILFPFILCILLVITQRLIDNELNKPSRKCGCTTVDTNGDGQPEKVCGLQYSTLDQVATCSIPSPPQWPPLLQIPAPQYRAVRSEVIPFTDLPNDSCRSTGSCPVIILFTGNNQSLGENLAGNIFPSSFTINSSNFLESLANNALGSDTEPKRDSFLDPAFIEDSPLYYIQHQCTSNSRFSVSVQSIIDFQKEAACVQDLRLWRNSSSEINEQLYKGYRKGNSDEKINEILAAYDFLNSNANNFNVSIWYNSTYEDGEVPGQFNFLRVPRSVNLVSNAYLQFFQRPGTKMLFEFVKEMPKAASKINVDLASLLGTLFFTWVILQLFPVVLTSLVYEKQQKLRIMMKMHGLGDGPYWMISYTYFLSISLMYMLVFIIFGSIIGLKFFTLNDYGIQSVFYFIYINLQISLAFLVAAMFSNVKTATVVSYICVFGTGLLGGFLFQNFVEDSSFPRGWIIVLELYPGFSLYRGLYEFSQYAFTGNAMGTHGMRWGDLSDSKNGMRQVLIIIFVEWLVVLFVAYYVDQVSASGSGKSPLFFLKNFGKKRPSSFRKPSFQRQGSKVFVDMDKPDVIQEREKVEQLLLEPSTTHAIICDNLQKVYPGRDGNPEKLAVRGISLALPPGECFGMLGPNGAGKTSFISMMIGLTKPTSGTAYVQGLDIRTHMEWIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSALLQAVEESLRSVNLFNGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRSNLWNVVKRAKQDRAIILTTHSMEEAEALCDRLGVFVDGSLQCIGNPKELKARYGGSYVFTMTTSLDHEEEVVKMVQQLSPKAERTYHTSGTQKFEMPKNEIRIGDVFQAVELAKTRFPVFAWGLSDTTLEDVFIKVASSSGA >Manes.15G054600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4176769:4181927:-1 gene:Manes.15G054600.v8.1 transcript:Manes.15G054600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRTLSSESSKGSKSEKVKLIDWEDYEHEVARLWSLSSALKEAKEKKLTLQQKLDSFIQVEAKSLSRLNELEEMRQRLEARKLAMVSISASSKVLAEDAKKEEERLSMEVRSLLVAGTALSIASKQLQESKRSLSGERGYGRFKNLQKKLRMRQQYMISQVSLLYPVKISAGPSEEQELDSFPSTSKSGNHTGSKPTNEGSLTVLGLHLTMLPFTKMSFFTDKKEVQKFATAIGYVAHAVSLIASYLEVPLRYPVRLGGSRSFITDYAPSVEPASSDLLLSSSSYVNTKAVEFPLFLEGQDTTRAAYAVFLLNKDLEQLLNYIGVKSLGPRHVLANLKELTRTIQSAEFLET >Manes.02G061800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4759598:4760813:1 gene:Manes.02G061800.v8.1 transcript:Manes.02G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSNLSHPNFSVSFDSDRVSGSHSCSDSYSSVRAYPLEPHQPFSHGDREFDLGLDVITESMDDLDSWVPKDNNNVDDLSSYMGELGSGFEVEVEVELPRNEARADGLRVSRVDTELEPDAGGEIVDLSAGGDEPGSPGLWDSFIDNHMGLANPNEGFEWDELEQAIDESESLSMAIDRVEESLIPSSSISAVTEDEEPMDDIERELLMAFDDNVNSIEDSYMFDADYDTLMEQLADSDVHWRGSPPAAKTVVKNLPLVVLGKEDFAVCAICKDEVEEGEMVNKLPCGHYYHGDCIVPWLRMRSTCPVCRYELPTDEEDYESRKRRRTGY >Manes.13G013100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2001156:2004182:-1 gene:Manes.13G013100.v8.1 transcript:Manes.13G013100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQKQPNKSSPFRESLKVLEADIQHANNLAATLPGDYGGDRVHMRLSYSPLAPFILFLVEWMDYSCTDALPNYLGLIHILVYKVYVDGMPTLSSKERKATLREFYATIYPSLRLLEGEFIELEDISRRSRGSEVLSRKRVEDMRKQFDNEFERDHECGICMEDSAKMVLPNCGHSLCISCFRDWNARSQSCPFCRGSLKRVNSRDLWVVINNGDIIDTLTLARENLRRFYLYIEQLPLLMPETHAIVSDYMI >Manes.13G013100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2001156:2004182:-1 gene:Manes.13G013100.v8.1 transcript:Manes.13G013100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSYSPLAPFILFLVEWMDYSCTDALPNYLGLIHILVYKVYVDGMPTLSSKERKATLREFYATIYPSLRLLEGEFIELEDISRRSRGSEVLSRKRVEDMRKQFDNEFERDHECGICMEDSAKMVLPNCGHSLCISCFRDWNARSQSCPFCRGSLKRVNSRDLWVVINNGDIIDTLTLARENLRRFYLYIEQLPLLMPETHAIVSDYMI >Manes.13G013100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2000722:2004182:-1 gene:Manes.13G013100.v8.1 transcript:Manes.13G013100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQKQPNKSSPFRESLKVLEADIQHANNLAATLPGDYGGDRVHMRLSYSPLAPFILFLVEWMDYSCTDALPNYLGLIHILVYKVYVDGMPTLSSKERKATLREFYATIYPSLRLLEGEFIELEDISRRSRGSEVLSRKRVEDMRKQFDNEFERDHECGICMEDSAKMVLPNCGHSLCISCFRDWNARSQSCPFCRGSLKRVNSRDLWVVINNGDIIDTLTLARENLRRFYLYIEQLPLLMPETHAIVSDYMI >Manes.13G013100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2002169:2003815:-1 gene:Manes.13G013100.v8.1 transcript:Manes.13G013100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQKQPNKSSPFRESLKVLEADIQHANNLAATLPGDYGGDRVHMRLSYSPLAPFILFLVEWMDYSCTDALPNYLGLIHILVYKVYVDGMPTLSSKERKATLREFYATIYPSLRLLEGEFIELEDISRRSRGSEVLSRKRVEDMRKQFDNEFERDHECGICMEDSAKMVLPNCGHSLCISCFRDWNARSQSCPFCRGSLKRVNSRDLWVVINNGDIIDTLTLARENLRRFYLYIEQLPLLMPETHAIVSDYMI >Manes.15G189000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30732792:30741647:1 gene:Manes.15G189000.v8.1 transcript:Manes.15G189000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLDSLRPIYGLVFLFKWHPGEKDERPVLKDSNSNLFFASQVINNACATQAILSILMNCPDIDIGPELSKLKEFTRNFPPELKGLAINNSEVIRAAHNSFARPEPFVPEEQKAAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCTGGHNDMDWLQMVQPVIQERIERYSKSEIRFNLMAIIKNRREIYTAELKELQRRRERILQQLASLQSDRMVDSSNFEALNKSLSEVNTGIETATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKT >Manes.15G189000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30732818:30740229:1 gene:Manes.15G189000.v8.1 transcript:Manes.15G189000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLDSLRPIYGLVFLFKWHPGEKDERPVLKDSNSNLFFASQVINNACATQAILSILMNCPDIDIGPELSKLKEFTRNFPPELKGLAINNSEVIRAAHNSFARPEPFVPEEQKAAGKDDDVYHFISYLPVDGVLYELDGLKEGPISLGQCTGGHNDMDWLQMVQPVIQERIERYSKSEIRFNLMAIIKNRREIYTAELKELQRRRERILQQLASLQSDRMVDSSNFEALNKSLSEVNTGIETATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKT >Manes.10G014200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1452777:1455224:-1 gene:Manes.10G014200.v8.1 transcript:Manes.10G014200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNEKKMKILCLHGFRTSGSFLKKQLSKWDPSIFHQFHLEFPDGIFPAKGKSDIEGIFPPPYFEWFQYNEEFTEYTNLEECISYLCEYITSNGPFDGLLGFSQGATLSALLIGYQIQKKVLKEHPPMKLLVSISGTKFRDPTICEVAYKDIIKVKSVHFIGAKDWLRLPSEELATAFDNPLIIRHPQGHTVPRLDEEATEKLRAWATEIIECNSKVLEKEEAKVNEEKKPEMPDKIDKQEQTAASSFNQRATEIIKEAKVDEEKKQEMAEKTI >Manes.10G014200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1452777:1455224:-1 gene:Manes.10G014200.v8.1 transcript:Manes.10G014200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQNEKKMKILCLHGFRTSGSFLKKQLSKWDPSIFHQFHLEFPDGIFPAKGKSDIEGIFPPPYFEWFQYNEGATLSALLIGYQIQKKVLKEHPPMKLLVSISGTKFRDPTICEVAYKDIIKVKSVHFIGAKDWLRLPSEELATAFDNPLIIRHPQGHTVPRLDEEATEKLRAWATEIIECNSKVLEKEEAKVNEEKKPEMPDKIDKQEQTAASSFNQRATEIIKEAKVDEEKKQEMAEKTI >Manes.11G000650.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:162354:165003:-1 gene:Manes.11G000650.v8.1 transcript:Manes.11G000650.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKDGTFFSRCEECKKDVPVALVSVHSCCLVARIKMNLEAQDVENPAEVKKKTERKKATLTEPKAKKAKKEKKRNNPNALPLLSSYSWMNSERPSRKQIQTIRPLRGLK >Manes.11G000650.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:162354:165003:-1 gene:Manes.11G000650.v8.1 transcript:Manes.11G000650.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKDGTFFSRCEECKKDVPVALVSVHSCCLVARIKMNLEAQDVENPAEVKKKTERKKATLTEPKAKKAKKEKKRNNPNALPLLSSYSWMNSERPSRKQIQTIRPLRG >Manes.11G000650.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:162354:165003:-1 gene:Manes.11G000650.v8.1 transcript:Manes.11G000650.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKDGTFFSRCEECKKDVPVALVSVHSCCLVARIKMNLEAQDVENPAEVKKKTERKKATLTEPKAKKAKKEKKRNNPNALPLLSSYSWMNSERPSRKQIQTIRPLRGLK >Manes.11G000650.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:163687:164784:-1 gene:Manes.11G000650.v8.1 transcript:Manes.11G000650.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKDGTFFSRCEECKKDVPVALVSVHSCCLVARIKMNLEAQDVENPAEVKKKTERKKATLTEPKAKKAKKEKKRNNPNALPLLSSYSWMNSERPSRKQIQTIRPLRG >Manes.11G000650.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:162354:165003:-1 gene:Manes.11G000650.v8.1 transcript:Manes.11G000650.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKDGTFFSRCEECKKDVPVALVSVHSCCLVARIKMNLEAQDVENPAEVKKKTERKKATLTEPKAKKAKKEKKRNNPNALPLLSSYSWMNSERPSRKQIQTIRPLRGLK >Manes.01G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6442993:6453063:1 gene:Manes.01G032800.v8.1 transcript:Manes.01G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKLQSGIKAIEEEPEDCDSSYSNKATLACMINAEVGAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSLKALRKQIFSWQHPWHTINPSVYLQPFLDVIRSDETGAPITGVALSSVYKILTLDVIDQNTVNVEDAMHLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKGKASVTLSNQHVCTIVNTCFRIVHQAGTKGELLQRIARHTMHELVRCIFSHLPDIENTEHALINGVSPAKQEIAGLDNDYTFVSKQIENGSSELEGQTSSVSYGSSASTGLVATVMEESTIGGSSGKDALPYDLQLMTEPYGVPCMVEIFHFLCSLLNVVEHMGMGPRSNTIAFDEDVPLFALGLINSAIELGGPSIHRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRNELKLQLEAFFSCVILRLAQSKYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEELANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSFNSEQAPVNLEEYTPFWMVKCDNYSDPNHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQGMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSELYHSICKNEIRTTPEQGAGFPEMTPSRWIDLMLKSKKTAPFIVSDSKAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSLLEEPVLAFGDDPKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESEVSADPGHGKPISNSLSSAHVQSMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHVDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSLMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLVPANFVLCVDAARQFAESRVAQSERSVRALDLMAGSVDFLTRWSHEAKETMAEEEAAKLSQDIGEMWLRVVQGLRKVCLDQREDVRNHALLSLQKCLRGVEGINLPHGLWLQCFDLVIFTMLDDLLEIAQGHSQKDYRNMEGTLIIAVKLLSKVFLQLLHDLAQLTTFCKLWLGVLSRMEKYLKVKVRGKKSEKLQEVVPELLKNTLLVMKAKGVLVQRSALGGDSLWELTWLHVNNIAPSLQSEVFPDQDWEQSEHKPAETVGNLVLDETGSVPSNGSVASEGSGAGG >Manes.01G032800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6443002:6453063:1 gene:Manes.01G032800.v8.1 transcript:Manes.01G032800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKLQSGIKAIEEEPEDCDSSYSNKATLACMINAEVGAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSLKALRKQIFSWQHPWHTINPSVYLQPFLDVIRSDETGAPITGVALSSVYKILTLDVIDQNTVNVEDAMHLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKGKASVTLSNQHVCTIVNTCFRIVHQAGTKGELLQRIARHTMHELVRCIFSHLPDIENTEHALINGVSPAKQEIAGLDNDYTFVSKQIENGSSELEGQTSSVSYGSSASTGLVATVMEESTIGGSSGKDALPYDLQLMTEPYGVPCMVEIFHFLCSLLNVVEHMGMGPRSNTIAFDEDVPLFALGLINSAIELGGPSIHRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRNELKLQLEAFFSCVILRLAQSKYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEELANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSFNSEQAPVNLEEYTPFWMVKCDNYSDPNHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQGMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSELYHSICKNEIRTTPEQGAGFPEMTPSRWIDLMLKSKKTAPFIVSDSKAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSLLEEPVLAFGDDPKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESEVSADPGHGKPISNSLSSAHVQSMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHVDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSLMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLVPANFVLCVDAARQFAESRVAQSERSVRALDLMAGSVDFLTRWSHEAKETMAEEEAAKLSQDIGEMWLRVVQGLRKVCLDQREDVRNHALLSLQKCLRGVEGINLPHGLWLQCFDLVIFTMLDDLLEIAQGHSQKDYRNMEGTLIIAVKLLSKVFLQLLHDLAQLTTFCKLWLGVLSRMEKYLKVKVRGKKSEKLQEVVPELLKNTLLVMKAKGVLVQRSALGGDSLWELTWLHVNNIAPSLQSEVFPDQDWEQSEHKPAETVGNLVLDETGSVPSNGSVASEGSGAGG >Manes.18G085000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7873814:7879227:1 gene:Manes.18G085000.v8.1 transcript:Manes.18G085000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMAKVCWPYFDPEYENLSTRINPPRVSVDNTSCSDCTLIKVDSMNKPGILLEVVQILTDLDLIITKAYISSDGGWFMDIFHVTDQQGKKIVDSKTIDYIEKALGPKEYNKGELKAWPGKRVGVHSVGDYTAIELIGRDRPGLLSEITAVLANLHFNVVGAEVWTHNRRIACVVYVNDDTTCRAVDDPNRLSVMEEQLKNILRGHEDDEKEARTSFSMGFTHIDRRLHQMFFADRDYEGSGMASEVDYPPSFKPKITVERCGEKEYSVVSVWCKDRAKLLFDIVCTLTDMQYVVFHATISSDGPYALQEYYIRHMDGCTLDTEGEKERVIKCLEAAIRRRVCEGLSLELCAKDRVGLLSEVTRVLRENGLSVSRAGVTTVGEQAMNVFYVRDASGNPVDMKTIEALRKEIGHTVMLNVKRPTASAREPESKGWAKTSFFFGNLFEKFLA >Manes.18G085000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7873797:7879232:1 gene:Manes.18G085000.v8.1 transcript:Manes.18G085000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMAKVCWPYFDPEYENLSTRINPPRVSVDNTSCSDCTLIKVDSMNKPGILLEVVQILTDLDLIITKAYISSDGGWFMDIFHVTDQQGKKIVDSKTIDYIEKALGPKEYNKGELKAWPGKRVGVHSVGDYTAIELIGRDRPGLLSEITAVLANLHFNVVGAEVWTHNRRIACVVYVNDDTTCRAVDDPNRLSVMEEQLKNILRGHEDDEKEARTSFSMGFTHIDRRLHQMFFADRDYEGSGMASEVDYPPSFKPKITVERCGEKEYSVVSVWCKDRAKLLFDIVCTLTDMQYVVFHATISSDGPYALQEYYIRHMDGCTLDTEGEKERVIKCLEAAIRRRVCEGLSLELCAKDRVGLLSEVTRVLRENGLSVSRAGVTTVGEQAMNVFYVRDASGNPVDMKTIEALRKEIGHTVMLNVKRPTASAREPESKGWAKTSFFFGNLFEKFLA >Manes.18G085000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7875456:7879227:1 gene:Manes.18G085000.v8.1 transcript:Manes.18G085000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMAKVCWPYFDPEYENLSTRINPPRVSVDNTSCSDCTLIKVDSMNKPGILLEVVQILTDLDLIITKAYISSDGGWFMDIFHVTDQQGKKIVDSKTIDYIEKALGPKEYNKGELKAWPGKRVGVHSVGDYTAIELIGRDRPGLLSEITAVLANLHFNVVGAEVWTHNRRIACVVYVNDDTTCRAVDDPNRLSVMEEQLKNILRGHEDDEKEARTSFSMGFTHIDRRLHQMFFADRDYEGSGMASEVDYPPSFKPKITVERCGEKEYSVVSVWCKDRAKLLFDIVCTLTDMQYVVFHATISSDGPYALQEYYIRHMDGCTLDTEGEKERVIKCLEAAIRRRVCEGLSLELCAKDRVGLLSEVTRVLRENGLSVSRAGVTTVGEQAMNVFYVRDASGNPVDMKTIEALRKEIGHTVMLNVKRPTASAREPESKGWAKTSFFFGNLFEKFLA >Manes.17G003183.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1322689:1324432:-1 gene:Manes.17G003183.v8.1 transcript:Manes.17G003183.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSMKLRSVVWDHFAKFTDDTGTQKGKCNHCEKEFYCDPKKNGTSALRNHMSACIKNPHIVTTRQSQLSLHPLSSSTQEEGGNDQLGMLSSWHFDQDVARRKLAKMTIIDELPFMFVEGEGFKEWVKCIQPRFQIPPRWIVSRDCYDLYLAERKKLKSYFQKSSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKILNFYPIGSHKGDDIVMTIESCLLNWGNKRIFTVTVDNTSLNDVVVTYSKKKINGWGFGILMCKYLHMRCIAHIINLVVVDGLKENIEAVKRVREAVRYMRQSPARLQKFKSCCEMEGIQSKCHLSLDVSTNSTYLIFGTINSCFKFDLVSGKECDGVPDSLDWKYIRKIVDFLGHFYYLTLKISGSIYKMKVKFDKYCGDSDKMNKLIYIVVVMDSRYKMEFMGFALSTVYGNEKGLDLTHKIKSVVYELFDEYKRMFANENANINDGHTHSIATENLDEEGSKKISRMNLGSQFLKHKIEIGEAKNKSYLDCYLNESIQVVDEKNEFDILF >Manes.18G062200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5469709:5475085:-1 gene:Manes.18G062200.v8.1 transcript:Manes.18G062200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETEACEDIQNELTPGHDKKSSNIRSHYSLEDYNRLKKRCKEDAGCEPVSSCKSRLAGIATAPPCGASSSLVPPGRGLKRKIGCIDKATQTGRKNKIEDDFFSGDTLGRGKFGSVWLCRSKATGVEFACKTLRKGEETVHREVEIMQHLSGHPGVVTLHAVYQETECFHLVMELCSGGRLIDQMVEEGQYSEQRAANIFKDVMSVIKYCHDMGVVHRDVKPENVLLMSSGKIKLADFGLAMRISNCQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSMEAVFEAIKNVKLDFHSGIWESISKPARDLVSRMLIRDVSARITADEVLRHPWILFYTERMLKTVSIKSKMKNQVGLTSCHPASAPVPEMYGYKVENGSIVEDSNHHSSADSWSSKSEEQDEMGLVDALTVAVSHVKISEPKRSKLCGSTSPAEQQHSSNLTANNLCRAF >Manes.18G062200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5469709:5475085:-1 gene:Manes.18G062200.v8.1 transcript:Manes.18G062200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETEACEDIQNELTPGHDKKSSNIRSHYSLEDYNRLKKRCKEDAGCEPVSSCKSRLAGIATAPPCGASSSLVPPGRGLKRKIGCIDKATQTGRKNKIEDDFFSGDTLGRGKFGSVWLCRSKATGVEFACKTLRKGEETVHREVEIMQHLSGHPGVVTLHAVYQETECFHLVMELCSGGRLIDQMVEEGQYSEQRAANIFKDVMSVIKYCHDMGVVHRDVKPENVLLMSSGKIKLADFGLAMRISNCQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSMEAVFEAIKNVKLDFHSGIWESISKPARDLVSRMLIRDVSARITADEVLRHPWILFYTERMLKTVSIKSKMKNQVGLTSCHPASAPVPEMYGYKVENGSIVEDSNHHSSADSWSSKSEEQDEMGLVDALTVAVSHVKISEPKRSKLCGSTSPAEQQHSSNLTANNLCRAF >Manes.18G062200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5469709:5475085:-1 gene:Manes.18G062200.v8.1 transcript:Manes.18G062200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETEACEDIQNELTPGHDKKSSNIRSHYSLEDYNRLKKRCKEDAGCEPVSSCKSRLAGIATAPPCGASSSLVPPGRGLKRKIGCIDKATQTGRKNKIEDDFFSGDTLGRGKFGSVWLCRSKATGVEFACKTLRKGEETVHREVEIMQHLSGHPGVVTLHAVYQETECFHLVMELCSGGRLIDQMVEEGQYSEQRAANIFKDVMSVIKYCHDMGVVHRDVKPENVLLMSSGKIKLADFGLAMRISNCQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSMEAVFEAIKNVKLDFHSGIWESISKPARDLVSRMLIRDVSARITADEVLRHPWILFYTERMLKTVSIKSKMKNQVGLTSCHPASAPVPEMYGYKVENGSIVEDSNHHSSADSWSSKSEEQDEMGLVDALTVAVSHVKISEPKRSKLCGSTSPAEQQHSSNLTANNLCRAF >Manes.18G062200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5469709:5475085:-1 gene:Manes.18G062200.v8.1 transcript:Manes.18G062200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETEACEDIQNELTPGHDKKSSNIRSHYSLEDYNRLKKRCKEDAGCEPVSSCKSRLAGIATAPPCGASSSLVPPGRGLKRKIGCIDKATQTGRKNKIEDDFFSGDTLGRGKFGSVWLCRSKATGVEFACKTLRKGEETVHREVEIMQHLSGHPGVVTLHAVYQETECFHLVMELCSGGRLIDQMVEEGQYSEQRAANIFKDVMSVIKYCHDMGVVHRDVKPENVLLMSSGKIKLADFGLAMRISNCQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSMEAVFEAIKNVKLDFHSGIWESISKPARDLVSRMLIRDVSARITADEVLRHPWILFYTERMLKTVSIKSKMKNQVGLTSCHPASAPVPEMYGYKVENGSIVEDSNHHSSADSWSSKSEEQDEMGLVDALTVAVSHVKISEPKRSKLCGSTSPAEQQHSSNLTANNLCRAF >Manes.18G062200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5469709:5475085:-1 gene:Manes.18G062200.v8.1 transcript:Manes.18G062200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETEACEDIQNELTPGHDKKSSNIRSHYSLEDYNRLKKRCKEDAGCEPVSSCKSRLAGIATAPPCGASSSLVPPGRGLKRKIGCIDKATQTGRKNKIEDDFFSGDTLGRGKFGSVWLCRSKATGVEFACKTLRKGEETVHREVEIMQHLSGHPGVVTLHAVYQETECFHLVMELCSGGRLIDQMVEEGQYSEQRAANIFKDVMSVIKYCHDMGVVHRDVKPENVLLMSSGKIKLADFGLAMRISNCQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSMEAVFEAIKNVKLDFHSGIWESISKPARDLVSRMLIRDVSARITADEVLRHPWILFYTERMLKTVSIKSKMKNQVGLTSCHPASAPVPEMYGYKVENGSIVEDSNHHSSADSWSSKSEEQDEMGLVDALTVAVSHVKISEPKRSKLCGSTSPAEQQHSSNLTANNLCRAF >Manes.18G062200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5469709:5475085:-1 gene:Manes.18G062200.v8.1 transcript:Manes.18G062200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETEACEDIQNELTPGHDKKSSNIRSHYSLEDYNRLKKRCKEDAGCEPVSSCKSRLAGIATAPPCGASSSLVPPGRGLKRKIGCIDKATQTGRKNKIEDDFFSGDTLGRGKFGSVWLCRSKATGVEFACKTLRKGEETVHREVEIMQHLSGHPGVVTLHAVYQETECFHLVMELCSGGRLIDQMVEEGQYSEQRAANIFKDVMSVIKYCHDMGVVHRDVKPENVLLMSSGKIKLADFGLAMRISNCQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGVLPFQGDSMEAVFEAIKNVKLDFHSGIWESISKPARDLVSRMLIRDVSARITADEVLRHPWILFYTERMLKTVSIKSKMKNQVGLTSCHPASAPVPEMYGYKVENGSIVEDSNHHSSADSWSSKSEEQDEMGLVDALTVAVSHVKISEPKRSKLCGSTSPAEQQHSSNLTANNLCRAF >Manes.17G015155.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7863279:7867703:1 gene:Manes.17G015155.v8.1 transcript:Manes.17G015155.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.15G099100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7734671:7739522:-1 gene:Manes.15G099100.v8.1 transcript:Manes.15G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAPNLECRMFEAKYPEVDIAVMIQVKNIADMGAYVSLLEYNNIEGMILLSELSRRRIRSVSSLIKVGRIEPVMVLSVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLYIDLEELYTHICWPLYRKHGHAFERLACRTFKIIVTDPDSILSSLTREVKEIGPDGQELTKTVPAVTEEVKEALVKNIRRRMTPQPLKIRADMKLNVFNLMDAMRKAEAAGNKDCPVKVKLVAPPLYVLTTQTLDTEQGISVLNKAIAACAEAIEQHKGKLLVKEPARAVSERDDKLLAEHMAKLRNDNEEVSGDEDSEKDEGMGDVDVENSGPGITE >Manes.14G075200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6279395:6286058:-1 gene:Manes.14G075200.v8.1 transcript:Manes.14G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSSKHFLARFKDLESAKCSISIQPICCRQSFHIFHHRYKSCSLSMNGCKGDPRVPIGTIETRTFPAVASPALAADSLDSAICNLKANPPVFTSGIIRLQVPIQQRIEAIDWLHAQHQLLPRCFFSSKSRRKDDDPLFTDFTNGNGHAHALNLVSVAGIGSAVTFRHIHQFSYSDWKSIKRFLSAKCPLIRAYGAIRFDARANISSEWESFGSFYFIVPQVEFDELGGSSMLAATIAWDDALSWTWGQAIDALQLTMSQVSSTIVKLRKEVARTLILSNNQIPNKSYWDLAVKRALQIINRSSSPLIKVVLARSSKVVTATDIDPITWLACLQVEGENAYQFYLQPPNAPAFIGNTPEQLFHRKWLGVTSEALAGTRARGESKALDLQIELDLISSPKDHLEFTIVRDSIRRKMEAVCNRVVMEPKKAVRKFPRVQHLYARLAGTLRSEDDEFDILSSLHPTPAVCGFPTEEARLLIAEIEVLDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGALIYAGTGIVEGSEPSLEWDELELKTSQFTKLLKLEVPSREKIENLGIIN >Manes.14G075200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6279395:6286059:-1 gene:Manes.14G075200.v8.1 transcript:Manes.14G075200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSSKHFLARFKDLESAKCSISIQPICCRQSFHIFHHRYKSCSLSMNGCKGDPRVPIGTIETRTFPAVASPALAADSLDSAICNLKANPPVFTSGIIRLQVPIQQRIEAIDWLHAQHQLLPRCFFSSKSRRKDDDPLFTDFTNGNGHAHALNLVSVAGIGSAVTFRHIHQFSYSDWKSIKRFLSAKCPLIRAYGAIRFDARANISSEWESFGSFYFIVPQVEFDELGGSSMLAATIAWDDALSWTWGQAIDALQLTMSQVSSTIVKLRKEVARTLILSNNQIPNKSYWDLAVKRALQIINRSSSPLIKVVLARSSKVVTATDIDPITWLACLQVEGENAYQFYLQPPNAPAFIGNTPEQLFHRKWLGVTSEALAGTRARGESKALDLQIELDLISSPKDHLEFTIVRDSIRRKMEFDILSSLHPTPAVCGFPTEEARLLIAEIEVLDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGALIYAGTGIVEGSEPSLEWDELELKTSQFTKLLKLEVPSREKIENLGIIN >Manes.14G075200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6279395:6286058:-1 gene:Manes.14G075200.v8.1 transcript:Manes.14G075200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSSKHFLARFKDLESAKCSISIQPICCRQSFHIFHHVPIQQRIEAIDWLHAQHQLLPRCFFSSKSRRKDDDPLFTDFTNGNGHAHALNLVSVAGIGSAVTFRHIHQFSYSDWKSIKRFLSAKCPLIRAYGAIRFDARANISSEWESFGSFYFIVPQVEFDELGGSSMLAATIAWDDALSWTWGQAIDALQLTMSQVSSTIVKLRKEVARTLILSNNQIPNKSYWDLAVKRALQIINRSSSPLIKVVLARSSKVVTATDIDPITWLACLQVEGENAYQFYLQPPNAPAFIGNTPEQLFHRKWLGVTSEALAGTRARGESKALDLQIELDLISSPKDHLEFTIVRDSIRRKMEAVCNRVVMEPKKAVRKFPRVQHLYARLAGTLRSEDDEFDILSSLHPTPAVCGFPTEEARLLIAEIEVLDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGALIYAGTGIVEGSEPSLEWDELELKTSQFTKLLKLEVPSREKIENLGIIN >Manes.18G005201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:896546:900518:1 gene:Manes.18G005201.v8.1 transcript:Manes.18G005201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQESPGGHSFVALFKRFKLNKKLFGPGSNVELSNPNSRPHCYTAFPFGLPINVIKLKQLYSAGEYSDVNMYIEGHGLVAQPHRVILSLWSVPFAKMFTNGMSESSSSDVSLTDVSLEAFKIMLDFMYSGEISLEDSKDFGNLLLQLLLLADKFGVTLLYQECCKTLLECLSEESVCPILLAVSSFPSCKLIEETCKRKFATHFDYCTTASLDFILLDETTFCSIIQHQDLTVTSEERVLNAILRWCMGAKEWYGWEMVDELLANSTPDLIFRERFQSLNELLPFVRFPLLPYHLLKKLGKSNVSKHIHVFDNLVREGISYVEFGLERAEGDRNIRFQHKRSSYKEFQYICDGDSNGVLYFAGTFYGQHKWVNPVLSKRITITASSPT >Manes.18G112320.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11262506:11270352:1 gene:Manes.18G112320.v8.1 transcript:Manes.18G112320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRKQWRLLLRMVLSRGWQSKGGANHLLRMSCKVILCCGIREMVRLICLMMTPIVRVMADDDCPIIRVSKPEKCLLRQSWQSTLIIKLLSRSIGFSYLQKRLLKL >Manes.09G080547.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11886974:11890286:1 gene:Manes.09G080547.v8.1 transcript:Manes.09G080547.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAERSKKKVQLWKKAVVHFALCFVMGFFTGFAPTEATPQPAKNASFVAETPVQIPASSAESEREKFLEKREEEEEDGTEAKWIPRRLVVIITPVSNKDEYQGVFLRRLANTIRLVPPPLMWIVVEGQKDSNEASEILRKTGIMYRHLVSKENFTDPQAELDHQRNVALRHIEQHRLSGIVHFASLSNIYDLAFFEELREIEVFGAWPIALVSGNKNKVKIEGPVCDSSQVIGWHLKKMSNETEQVTPPPIHISSFAFNSSILWDPERWGRPSSVPQTSQNSVKFVKQVALEDETKLKGIPAEDCSKIMLWQLKFPTEKQLTTAVDSNHR >Manes.09G080547.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11886974:11890286:1 gene:Manes.09G080547.v8.1 transcript:Manes.09G080547.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSAIGIRKMGSAERSKKKVQLWKKAVVHFALCFVMGFFTGFAPTGKASIFNTQLTSSNKSHLSSQSVEMIHLEATPQPAKNASFVAETPVQIPASSAESEREKFLEKREEEEEDGTEAKWIPRRLVVIITPVSNKDEYQGVFLRRLANTIRLVPPPLMWIVVEGQKDSNEASEILRKTGIMYRHLVSKENFTDPQAELDHQRNVALRHIEQHRLSGIVHFASLSNIYDLAFFEELREIEVFGAWPIALVSGNKNKVKIEGPVCDSSQVIGWHLKKMSNETEQVTPPPIHISSFAFNSSILWDPERWGRPSSVPQTSQNSVKFVKQVALEDETKLKGIPAEDCSKIMLWQLKFPTEKQLTTAVDSNHR >Manes.09G080547.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11886974:11890286:1 gene:Manes.09G080547.v8.1 transcript:Manes.09G080547.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSAIGIRKMGSAERSKKKVQLWKKAVVHFALCFVMGFFTGFAPTEATPQPAKNASFVAETPVQIPASSAESEREKFLEKREEEEEDGTEAKWIPRRLVVIITPVSNKDEYQGVFLRRLANTIRLVPPPLMWIVVEGQKDSNEASEILRKTGIMYRHLVSKENFTDPQAELDHQRNVALRHIEQHRLSGIVHFASLSNIYDLAFFEELREIEVFGAWPIALVSGNKNKVKIEGPVCDSSQVIGWHLKKMSNETEQVTPPPIHISSFAFNSSILWDPERWGRPSSVPQTSQNSVKFVKQVALEDETKLKGIPAEDCSKIMLWQLKFPTEKQLTTAVDSNHR >Manes.09G080547.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11887588:11890310:1 gene:Manes.09G080547.v8.1 transcript:Manes.09G080547.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAERSKKKVQLWKKAVVHFALCFVMGFFTGFAPTGKASIFNTQLTSSNKSHLSSQSVEMIHLEATPQPAKNASFVAETPVQIPASSAESEREKFLEKREEEEEDGTEAKWIPRRLVVIITPVSNKDEYQGVFLRRLANTIRLVPPPLMWIVVEGQKDSNEASEILRKTGIMYRHLVSKENFTDPQAELDHQRNVALRHIEQHRLSGIVHFASLSNIYDLAFFEELREIEVFGAWPIALVSGNKNKVKIEGPVCDSSQVIGWHLKKMSNETEQVTPPPIHISSFAFNSSILWDPERWGRPSSVPQTSQNSVKFVKQVALEDETKLKGIPAEDCSKIMLWQLKFPTEKQLTTAVDSNHR >Manes.14G166600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26719434:26722889:1 gene:Manes.14G166600.v8.1 transcript:Manes.14G166600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKLKKLDAYPKISEDFYRRTFSGGLITLFSFLIMLFLFISEFRLYMHTVTETKLLVDTSRGETVKINFDLTFHAIPCSLISLDAKDTMGEEHFDITHDITKRRINADGNVIEVTQSRIGAHRVENPLQKHGGRLKYGEIYCGSCYGAEQSDEQCCNSCEQLRDAYIKKGWAARNLDETDQCKRERFFDKVVDQQGEGCNIYGSLEVNKVAGNFHFVPGKGFHHSDVKVHDLLSVNLYTYNISHKINRLALGDYFPGVVNPLDGVHREQGKPNGLHQYLLMAVPTIYTDIKGEIIKTNQYSVTEHHHLESANYDAPGVFFIYDFYPIKVTFKEDHIPFLHFVTSICAVFGGIFTIAGILDSFIYHGQRAIKKKAEIGKYT >Manes.12G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4831206:4836211:1 gene:Manes.12G051300.v8.1 transcript:Manes.12G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGVFDSDPTVMAKATELKKELQKLVRTIVDDDDYLTETIDQARNTLCALKELKMNKRSLSLKLCQTLSCPDEFKCPLSKELMRDPVILATGQTYDRPFIQKWLKAGNRTCPLTQQVLSHTFLTPNHLIREMISQWCKSQGLEFPDPVHYDNEEGITEADRDHFLSLLEKMSSAVPEQKESARELRLLTKRMPSFRALFGETIGAIPQLLNPLSASKSGSDIPPDLQEDVITTLLNLSIHDNNKKLVAETPMVIPLLMEALRSGTIETRTNAAAALFTLSALDSNKALIGKSGAIKPLLDLLEEGNPSTMKDVASAIFSLCFVQENKARAVRDGALKVILNNIMNGVLVDELLAILAILSSHQRAVEEMGELGAVPCLLRIIRESTCERNKENCIAILHTICLYDRTKWKALREEEHSYGSISKLARDGTSRAKRKASGILERLNRAVNLTHTA >Manes.12G051300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4831206:4836211:1 gene:Manes.12G051300.v8.1 transcript:Manes.12G051300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQWCKSQGLEFPDPVHYDNEEGITEADRDHFLSLLEKMSSAVPEQKESARELRLLTKRMPSFRALFGETIGAIPQLLNPLSASKSGSDIPPDLQEDVITTLLNLSIHDNNKKLVAETPMVIPLLMEALRSGTIETRTNAAAALFTLSALDSNKALIGKSGAIKPLLDLLEEGNPSTMKDVASAIFSLCFVQENKARAVRDGALKVILNNIMNGVLVDELLAILAILSSHQRAVEEMGELGAVPCLLRIIRESTCERNKENCIAILHTICLYDRTKWKALREEEHSYGSISKLARDGTSRAKRKASGILERLNRAVNLTHTA >Manes.09G038550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7196665:7197992:-1 gene:Manes.09G038550.v8.1 transcript:Manes.09G038550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNGWGETKRICRGEVSPYGQEASFTNIIKAQSCSQNASLFTFYALFALATGLPSIGPVIGQGTAPNQNVKGIIRQLETEKKIRNTLLLSLNFMEIIMIYGLVVVLILLFANLLFNFTVLL >Manes.S022752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2030530:2030910:1 gene:Manes.S022752.v8.1 transcript:Manes.S022752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.09G070538.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:15050935:15052514:1 gene:Manes.09G070538.v8.1 transcript:Manes.09G070538.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPELVFIPMPAAGHLVSTVEAAKLLLDRHHLLSITILIIKPSSDSIISSLANSISKSDRLQFIDLPNEDDDFKDLGFIDKQKAHVKEAVSKLTACSDSSLAGFVLDMFCTSMIDVAKEFGVPYYIFFTSGAAFLGFLFYVQLIHDEQDADLTQFKDSDAELSVPSLANSLPARVLPASMLVKDRFSAFIRIIRGLREAKGIMENDVGPEGSEIIEWLDDQPPSSVVFLCFGSMGGFDMDQAKEIACALEQSRHRFLWSLRRPPPKGKIETSTDYENLQEILPVGFSERTAGMGKVVGWAPQVAILEHPAIGGFVSHCGWNSILESIWFSVPIATWPLYAEQQFNAFTMVTELGLAVEIKMDYKKESEIILSADDIERGIKSVMEHHSEIRKRVKEMSDKSRKALMDDESSSFWLDRLIEDVINNLS >Manes.13G097101.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28805678:28807451:1 gene:Manes.13G097101.v8.1 transcript:Manes.13G097101.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHLCSSSLSLSSLRGYTSLHCLYPFTLQGGFTDLVLSNPARKLSFKLTGVDRLRASLMSGEGDILSCAENNGVSAQDTLIGKGLNQPSGIELQPDGIGFGKLSAEITPTTTAFSPNDDEYDLDRPTDGFASISEAIEDIHQGKLVIVVDNEDRENAGDIIMAASKATPEAMAFIVKHGTGIVCVSMKGEDLERLELPLMVTQKENEEKLC >Manes.13G097101.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28805678:28819789:1 gene:Manes.13G097101.v8.1 transcript:Manes.13G097101.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHLCSSSLSLSSLRGYTSLHCLYPFTLQGGFTDLVLSNPARKLSFKLTGVDRLRASLMSGEGDILSCAENNGVSAQDTLIGKGLNQPSGIELQPDGIGFGKLSAEITPTTTAFSPNDDEYDLDRPTDGFASISEAIEDIHQGKLVIVVDNEDRENAGDIIMAASKATPEAMAFIVKHGTGIVCVSMKGEDLERLELPLMVTQKENEEKLC >Manes.13G097101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28805678:28819789:1 gene:Manes.13G097101.v8.1 transcript:Manes.13G097101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHLCSSSLSLSSLRGYTSLHCLYPFTLQGGFTDLVLSNPARKLSFKLTGVDRLRASLMSGEGDILSCAENNGVSAQDTLIGKGLNQPSGIELQPDGIGFGKLSAEITPTTTAFSPNDDEYDLDRPTDGFASISEAIEDIHQGKLVIVVDNEDRENAGDIIMAASKATPEAMAFIVKHGTGIVCVSMKGEDLERLELPLMVTQKENEEKLC >Manes.13G097101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28806031:28818222:1 gene:Manes.13G097101.v8.1 transcript:Manes.13G097101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCTITITLVLEPWATLFSVNHYAACTFVTNCFLSFLCRGYTSLHCLYPFTLQGGFTDLVLSNPARKLSFKLTGVDRLRASLMSGEGDILSCAENNGVSAQDTLIGKGLNQPSGIELQPDGIGFGKLSAEITPTTTAFSPNDDEYDLDRPTDGFASISEAIEDIHQGKLVIVVDNEDRENAGDIIMAASKATPEAMAFIVKHGTGIVCVSMKGEDLERLELPLMVTQKENEEKLC >Manes.13G097101.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28805678:28818222:1 gene:Manes.13G097101.v8.1 transcript:Manes.13G097101.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHLCSSSLSLSSLRGYTSLHCLYPFTLQGGFTDLVLSNPARKLSFKLTGVDRLRASLMSGEGDILSCAENNGVSAQDTLIGKGLNQPSGIELQPDGIGFGKLSAEITPTTTAFSPNDDEYDLDRPTDGFASISEAIEDIHQGKLVIVVDNEDRENAGDIIMAASKATPEAMAFIVKHGTGIVCVSMKGEDLERLELPLMVTQKENEEKLC >Manes.13G097101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28805678:28820904:1 gene:Manes.13G097101.v8.1 transcript:Manes.13G097101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIHLCSSSLSLSSLRGYTSLHCLYPFTLQGGFTDLVLSNPARKLSFKLTGVDRLRASLMSGEGDILSCAENNGVSAQDTLIGKGLNQPSGIELQPDGIGFGKLSAEITPTTTAFSPNDDEYDLDRPTDGFASISEAIEDIHQGKLVIVVDNEDRENAGDIIMAASKATPEAMAFIVKHGTGIVCVSMKGEDLERLELPLMVTQKENEEKLC >Manes.01G213800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38376804:38379162:-1 gene:Manes.01G213800.v8.1 transcript:Manes.01G213800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPVVIIVGAGPSGLATTACLNQHSIPHIILEREDCFASLWKKFTYDRLHLHLKKQFCELPHLPFPASFPTYPSKDHFIKYLDHYVSHFKISPIYKRCVESTSYDEATKKWTVIARNVSSGETEEYAARFLVVATGEASNAFIPEVGGLNTFTGDVLHSTQFRTGKAYKDKNVLVVGSGNSGMEIALDLANNGARTSIVVRSPVHVLSREMVYLGLVMLKYFSLGMVDSSMVLLSKIVYGDLRNYGMSRATEGPFFMKVAYGKYPIFDVGTFSKIKSGQIQVLPAIQNIRGNEVVFENGKSHSFDTIIFCTGFKRSTNKWLKGDDYLLNEDGIPKPRYPNHWKGKKGLYCIGLSRRGLYGASADAQNTSDDIKSLL >Manes.15G094200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7331249:7332734:-1 gene:Manes.15G094200.v8.1 transcript:Manes.15G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLHQLLLSLCLSFAIAQASVPPSATFKYVNEGEFGDYVVEYGPDYRVLDPFAQPFQLCFYNTTPNAYTLALRMGTVSSRSLMRWVWEANRGNPVGENATLTFGTDGNLVLADADGRIAWQTNTANKGVVGFKLLSNGNMVLHDSKGRFIWQSFDHPTDTILVGQSLKLGAATKLVSRASYEQNANGAYSLVMEDKTLVMYYKSPNSPKSLLYFSFSELLSVLEGPLNNVTFTSGLSLEYQGPTSSSGGTLTLRRPKYNTTLSYLRLEIDGNLRILTYEDNADWSAWQVTYTLFSKDSWETQCQLPEKCGNFGLCQDDQCIACPSPKGLLGWSKNCQAPKLSSCGVKDFYYYKLEGVDHFNSKYTNGDGPMKQNACSSKCSKDCKCLAYFYHTQSSKCWIAYDLKTLTKVDNSTHLAFIKTPNK >Manes.11G149200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31350712:31355641:-1 gene:Manes.11G149200.v8.1 transcript:Manes.11G149200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNAVSSASILCSPKQGRLRRRVNHQHNGRQNYGQSVRRFIVRANAKDIAFDQNSRTTLQSGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDPMENAGGEVIESKKVLKEVHTGVGKKTSLQKDDKMDVESNYEVGRKNKPKKKKKKDKNKTGRDHLDEIIVDYVESPMKERKKKKQKHNLDVESKSPKLMEEDGDNIDLAEDENVAAQALYAEDGRKDEKKRKKRKREKDVSDTEIMIAESDQKNVANENKLDGDVMEEVEHSRKKSKKKKKDDVYLEAASQEGMDGKLGNQNNLIGENEGNEYSIESEASGGKIKDHAIKKVKKKKKRKSAENGLKGKGSERKQRIGKGSEANNPSERSTPKGTSKRVSFSEDVEKDVSDTKIIIDGSNQKYIANGNIMEEVEHSRKKSKKKKKDNFCLETASQEGMDGKLGNKNNPIGENEGNEYAIESEASGGKIEDHAVKKVKKKKKKVKSAENGLERKGSERKQRVGKGFKANNPSERSTPKGTSKRVSFSEEVEVFPLSDCQSGETVQKKELVQGKRFSHEEDEMVKEAVLNYINARGLGEEGLKMVLNCKKHPEIKNCWKEIGEALPWRPYISVYYRAHILFERDERRSWTPEEYEIVHKFHEKYGAEWKTLAEALGKHRIHVKDAWRRIKLANRKRGRWSQEEYQTLFDLVNMDLRMKAFEEVRSSKHGMLRDNICWTAISDKLGSRTTPMCCMKWYNQLTSPMVAEGQWLDVDDYRLVIALYDLDACCMEDVDWDNLLEHRSGDVCRKRWNQMVKHLGDHGNKSFADQVEVLMQRYCPDVLEAREAYYSKPLVT >Manes.11G149200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31350712:31355641:-1 gene:Manes.11G149200.v8.1 transcript:Manes.11G149200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGGEVIESKKVLKEVHTGVGKKTSLQKDDKMDVESNYEVGRKNKPKKKKKKDKNKTGRDHLDEIIVDYVESPMKERKKKKQKHNLDVESKSPKLMEEDGDNIDLAEDENVAAQALYAEDGRKDEKKRKKRKREKDVSDTEIMIAESDQKNVANENKLDGDVMEEVEHSRKKSKKKKKDDVYLEAASQEGMDGKLGNQNNLIGENEGNEYSIESEASGGKIKDHAIKKVKKKKKRKSAENGLKGKGSERKQRIGKGSEANNPSERSTPKGTSKRVSFSEDVEKDVSDTKIIIDGSNQKYIANGNIMEEVEHSRKKSKKKKKDNFCLETASQEGMDGKLGNKNNPIGENEGNEYAIESEASGGKIEDHAVKKVKKKKKKVKSAENGLERKGSERKQRVGKGFKANNPSERSTPKGTSKRVSFSEEVEVFPLSDCQSGETVQKKELVQGKRFSHEEDEMVKEAVLNYINARGLGEEGLKMVLNCKKHPEIKNCWKEIGEALPWRPYISVYYRAHILFERDERRSWTPEEYEIVHKFHEKYGAEWKTLAEALGKHRIHVKDAWRRIKLANRKRGRWSQEEYQTLFDLVNMDLRMKAFEEVRSSKHGMLRDNICWTAISDKLGSRTTPMCCMKWYNQLTSPMVAEGQWLDVDDYRLVIALYDLDACCMEDVDWDNLLEHRSGDVCRKRWNQMVKHLGDHGNKSFADQVEVLMQRYCPDVLEAREAYYSKPLVT >Manes.11G149200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31350881:31355641:-1 gene:Manes.11G149200.v8.1 transcript:Manes.11G149200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNAVSSASILCSPKQGRLRRRVNHQHNGRQNYGQSVRRFIVRANAKDIAFDQNSRTTLQSGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDPMENAGGEVIESKKVLKEVHTGVGKKTSLQKDDKMDVESNYEVGRKNKPKKKKKKDKNKTGRDHLDEIIVDYVESPMKERKKKKQKHNLDVESKSPKLMEEDGDNIDLAEDENVAAQALYAEDGRKDEKKRKKRKREKDVSDTEIMIAESDQKNVANENKLDGDVMEEVEHSRKKSKKKKKDDVYLEAASQEGMDGKLGNQNNLIGENEGNEYSIESEASGGKIKDHAIKKVKKKKKRKSAENGLKGKGSERKQRIGKGSEANNPSERSTPKGTSKRVSFSEDVEKDVSDTKIIIDGSNQKYIANGNIMEEVEHSRKKSKKKKKDNFCLETASQEGMDGKLGNKNNPIGENEGNEYAIESEASGGKIEDHAVKKVKKKKKKVKSAENGLERKGSERKQRVGKGFKANNPSERSTPKGTSKRVSFSEEVEVFPLSDCQSGETVQKKELVQGKRFSHEEDEMVKEAVLNYINARGLGEEGLKMVLNCKKHPEIKNCWKEIGEALPWRPYISVYYRAHILFERDERRSWTPEEYEIVHKFHEKYGAEWKTLAEALGKHRIHVKDAWRRIKLANRKRGRWSQEEYQTLFDLVNMDLRMKAFEEVRSSKHGMLRDNICWTAISDKLGSRTTPMCCMKWYNQLTSPMVAEGQWLDVDDYRLVIALYDLDACCMEDVDWDNLLEHRSGDVCRKRWNQMVKHLGDHGNKSFADQVEVLMQRYCPDVLEAREAYYSKPLVT >Manes.06G158201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28385938:28386366:-1 gene:Manes.06G158201.v8.1 transcript:Manes.06G158201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding CNIVVLSWLTNTLDKELQGTAAHTETTREVWKDLEDRFTQGIALRVYELKRAIALLQQEKSIVALHYGKLMSVWGELQSLNPTLAYTCGCTCGAAKKIHNTREEEKVFDFLIGLDEAYPTVCSQILSIDPLPNIGRAYALAA >Manes.05G201000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32541172:32547915:1 gene:Manes.05G201000.v8.1 transcript:Manes.05G201000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPGRWESLVVSPSPKVANLLQTWLLQMLIAEVKGRVKMASVAALSSIHVHHLIFSKPSLSLLRPLRTLPRISCSSSLRFNISFAPSKPKPNPPFQLDFPNHHADDDDDDGVVADSFSGTGQLFIPWIVRGEDGNLKLQSHPPARLIHALADANTQNAKKKKKKQSKEKLMKESSVLANPAGERNLSKAARRFYNENFRQPPQRLSKVLAAAGVASRRNSEELIFEGKVTVNGSVCNIPQTRVDPARDVIYVNGNRLPKKLPPKLYLALNKPKGYICSSGDKESKSVMSLFDDYLKSWEKRNQGLPKPRLFTVGRLDVATSGLIIVTNDGDFAQALAHPSFKLSKEYIATVEGVVSKRHLIVISEGTIIDGVHCTPDSVELLPRQPDISRPRLRIVVHEGRNHEVRELVKNAGLEVYSLKRVRVGGYRLPSDLGIGKHVELKQNDLKAMGWKG >Manes.02G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8755009:8760588:-1 gene:Manes.02G113600.v8.1 transcript:Manes.02G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVGFSVMALLVILGLILGSSSASSDDRRQRWRKTMLSGEMMSSMMLNRIGSSIVFPLHGNVYPIGYYNVTLNIGQPSRPYFLDIDTGSDLTWLQCDAPCRQCTEAPHPLYRPSNNLVICKDPICASLQPPGEHNCQDPEQCDYEVEYADGGSSLGVLVKDVFLLNCTNGKRLNPLLALGCGYDQFPGRDHHPIDGILGLGRGISSIPSQLSSQGLVQNVIGHCLSGRGGGFLFFGEDIYDSSRVAWTPMSRELSKYYSPGFAELIFNGKSTGIINLLAVFDSGSSYTYLNSRAYEGLLFSLKRELSGKPLGETPDQTLPLCWKGKKPFKSIRDVKKYFKTFALSFISGGRTKTKFEFSPEAYLIISSKGNACLGILNGTEVGLRDLNVIGDISMQDRLVVYNNEKQVIGWVAANCDRLPKSKISFF >Manes.02G113600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8755009:8760588:-1 gene:Manes.02G113600.v8.1 transcript:Manes.02G113600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEMMSSMMLNRIGSSIVFPLHGNVYPIGYYNVTLNIGQPSRPYFLDIDTGSDLTWLQCDAPCRQCTEAPHPLYRPSNNLVICKDPICASLQPPGEHNCQDPEQCDYEVEYADGGSSLGVLVKDVFLLNCTNGKRLNPLLALGCGYDQFPGRDHHPIDGILGLGRGISSIPSQLSSQGLVQNVIGHCLSGRGGGFLFFGEDIYDSSRVAWTPMSRELSKYYSPGFAELIFNGKSTGIINLLAVFDSGSSYTYLNSRAYEGLLFSLKRELSGKPLGETPDQTLPLCWKGKKPFKSIRDVKKYFKTFALSFISGGRTKTKFEFSPEAYLIISSKGNACLGILNGTEVGLRDLNVIGDISMQDRLVVYNNEKQVIGWVAANCDRLPKSKISFF >Manes.17G015171.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8007146:8008607:1 gene:Manes.17G015171.v8.1 transcript:Manes.17G015171.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.11G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2623446:2628992:1 gene:Manes.11G026700.v8.1 transcript:Manes.11G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKMGTVSAILFFAFFLISSAYSFYLPGVAPRDFHRGDILSVKVNKLSSTKTQLPYDYYYLKYCKPKTIVNSAENLGEVLRGDRIENSVYNFEMMEEQPCKVACGVTLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHKDPETDSARIVGFEVIPNSINHEYKEWDDKNPQVTTCNKDTKKLIQGSTVPQEVDSGKRIVFSYDVSFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRAPINYGLLCVYVGTGVQIFGMTLVTMIFALLGFLSPSNRGGLTTAMVLLWVFMGILAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAIFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLITCVEITIVLCYFQLCSEDYNWWWRSYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMIIISYAFFVLTGTIGFYACFWFVRKIYSSVKID >Manes.12G130600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33841956:33845030:1 gene:Manes.12G130600.v8.1 transcript:Manes.12G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYENLKCIGSGNFGVAKLVKDKWTGELYAVKYIERGLKIDEHVQREIMNHRSLKHPNIIRFKEVFLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFYFQQLISGVSYCHSMQICHRDLKLENTLLDGSSAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIQRILSVHYSIPDYVRVSKECKHLLSRIFVANPEKRITISEIRKHPWFLKNLPVEFMEGEEDSLQDNSKCEESQSTEEIVSFIQEARKSGAGPKIDGLLIGGSMDIDDSDIDDIETSGDFVCAL >Manes.05G035500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2974850:2975410:-1 gene:Manes.05G035500.v8.1 transcript:Manes.05G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQVLSSILLLGLLFLVISIAESQTCKPSGKIRGRKPPPGQCNQENDSDCCVDGKLYTTYKCSPPVSSHTKAKLTVNSFEPGGDGGAPSECDNKYHSDKELVVALSTGWFNNKSRCLNYITIYGNGNSVKAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWNALGISDPDDVGEMDIYWTDA >Manes.05G104500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9854806:9868591:1 gene:Manes.05G104500.v8.1 transcript:Manes.05G104500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGLFDLEKHFAFYGAYHSNPINIVIHMIFVWPIFFTALLLLYFTPSLFNLKFSLFGYDAFLLFNFGFLFAFIYAVFYICLDAKAGSLAALLCAFCWVASSFLARWLGFSLAWKVVLVAQIVCWTGQFIGHGVFEKRAPALLDNLTQALVMAPFFVLLEALQAFFGYEPYPGFHKNVQAKIKAELNEWQEKKQKLLK >Manes.07G001800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:437994:441942:1 gene:Manes.07G001800.v8.1 transcript:Manes.07G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSLLLVFTACLLLGSFAPTTKSALANNIIPDNFSRSYFSDDFIFGTATSAYQIEGEANTKGRGPSIWDHFTHEFPERIMDGSNGDVAVDFYNRYLEDIKRMKAMGFESFRFSISWSRIIPSGKVHEGVNEQGIKFYNNLINALLKNGMEPYVTIFHWDTPQALEEKYGGFLSSKIVDDFHDFARLCFEKFGDRVKYWVTLNEPWSVALFAYDLGVHAPGRCSSWMNQACQAGNSSTEPYIISHNLLLSHATTVQLYRQKYQAIQKGKIGITLNSMWFEPYSNSTVDKKAAKTAIDFMFGWYMNPVTYGNYPRSMRKLVGDRLPKFKPKESKLLKGSYDFLGLNYYTSNYAKGNANVDPHFSSYSTDNHVNQTPFDQNGNLIGPQAHSPWLYIYPKGIRYLLNYIKDTYKNPIIYITENGVDELNNKTLTLKQALDDRVRKEYYETHLWNVHRSIKEYNVKVKGYFAWSYSDNFEWNIGYTSRFGLIYIDYERNLTRHLKQSAIWFQKFLKKQ >Manes.04G057464.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:13846323:13847269:1 gene:Manes.04G057464.v8.1 transcript:Manes.04G057464.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLWEVVHEKIMVNVQRFRRGWINLDLCMSCGTQSESVLHVLRDCNFIKGCWLNLLPASEVQTFFGFVDIREWLSWCLKSSFCIGELPWPVVFMVTVVIRVAWIPAAMGWITINSSVRQNSGAATCASSFRDSYGKWLLGFRCVLRVCGVVEAKLWAIYHALNVAWIAVQLLQGQDSGVYRLQSLIINCRALIRFRVVKIYREANMVADYLASSAHGGVFGMSLIDLPSSSIKLLLDADCMNVFHDRIIN >Manes.12G067700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7003184:7004299:1 gene:Manes.12G067700.v8.1 transcript:Manes.12G067700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKIVIKLCMPDHKSRSKAMKTAVSFSGVASVALAKDDQMEVKGIGVDATELINCLRKKFATKTCCLKKKKGHATLVTVEEIKKQQPTKPPEKPKEPPKPTVCGQCGCCIPCPCRRPMFVCVDEYPGSYCSKDPCSIM >Manes.12G067700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7003184:7004299:1 gene:Manes.12G067700.v8.1 transcript:Manes.12G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIVIKLCMPDHKSRSKAMKTAVSFSGVASVALAKDDQMEVKGIGVDATELINCLRKKFATKTCCLKKKKGHATLVTVEEIKKQQPTKPPEKPKEPPKPTVCGQCGCCIPCPCRRPMFVCVDEYPGSYCSKDPCSIM >Manes.07G061700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:8919909:8921551:-1 gene:Manes.07G061700.v8.1 transcript:Manes.07G061700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTTATPFSYEDTWTKRKRSKRPRSESPPTEDEYLALCLIMLARGGAGGCTTKEAEFSSPPPPQPPALTLSYKCTVCNKAFPSYQALGGHKASHKKSSSETPTANDNPSSSNISSTTTNTASGRTHECSICHRTFPTGQALGGHKRRHYEGPIGGGNNNSSSAITSSEGGASQSQSQSQSRGGGGGFEFDLNLPAVPESNDLSINQRAFREQEVESPLPGKKPRLSLLLKQEETEMIVSLQN >Manes.16G033751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4105582:4107279:1 gene:Manes.16G033751.v8.1 transcript:Manes.16G033751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIWNMDTNEKIVIGQRFSYPSSQATDDWILKSLNKKWRDYKGDLKQENYGLSKTKDEIIENAPEGVMEDQWATLVNSWFTEKSQKLSEINKANAKKKKNAHTCGRKSFARKKFEMEKARALISERSQDASIDSSELNEQVFQEVMGEEHNGRVSGVGFGPTPTSYYGRKRARNESSDFVQSKKIEFLEKQLEEVTKNYDTVTTTLNGLKAWISKTFPGALEEINEEAINQDHCSPNNISSHSSHNPGHNPGDDI >Manes.05G205700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33220187:33221513:-1 gene:Manes.05G205700.v8.1 transcript:Manes.05G205700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSDAEEQTIVNLHSVVGNRWSLIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKTFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRIDFQLQQPNSNAAPGNTSLPYIVTKRDDNEDTIEKIKLGLSRAMQEPSMIPPNKTWESAGATSANFAGECSGFPASITGFQCGPSSFGNEGAVSAWSQSMCTGSTFTAGDQQGQLHEKLEDENGEDSEGRKEIRNGPSIFNTDCVVWDLPSDDLMNPIV >Manes.13G086500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16024258:16030538:-1 gene:Manes.13G086500.v8.1 transcript:Manes.13G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVTNPSNCLIAPRPSTKAKPLKSIFTVKCSASLYDVASGVAERPWKISDARLVLEDGSVWKAKSFGARGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGINFDDEESNQCFLAGLVIRSLSISTSNWRCTETLGDYLSGRNIMGIYDVDTRAITRRLRQDGSLIGVLSTEDSKTDEELLEMSRSWDIVGVDLISGVSCTAPYEWVDKTKPEWEFNSCGGEIYHVVAYDFGIKHNILRRLASYGCKITVVPSKWPASETLKMKPDGVLFSNGPGDPSAVPYAVETVKELLGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNIQCSRVEISAQNHNYAVDPASLPEGVEVTHINLNDGSCAGLAYPQLNVMSLQYHPEASPGPHDSDTVFGEFVELMKRAKQKA >Manes.16G082350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28833470:28834924:-1 gene:Manes.16G082350.v8.1 transcript:Manes.16G082350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVGFEVIGILVNLDLDLTSTANLLQPAKISLMVDMLNEGSIETKINSTKLIAMLIEGKDFDSENVSSLSLLAGLLRLVKDKRHPNGIKIGLGLLKTLYSFESVRNSVLSIGAIPHLMELLPSLNNECLEFALYILEILSTLSDGILALKDCPKTIPNMVRLLMKVSEKCTRLALSILCAVCQLAPEECAAVAVEAGLAAKLLLVIQSGCSPELKQRSAQLLKLCSLNYTATIFISKCKLTKTIQ >Manes.02G156400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12234386:12236737:-1 gene:Manes.02G156400.v8.1 transcript:Manes.02G156400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLEIKKIENNTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRLSHFSGKKRIEDVFARYVNLPDQEREQYVYAIKINLMQYLLRTLQQLKSENDIALQLANPSAINSDVEELQHEVASLQQQLQIAEEQMRLYEPDPLKISSMGELESCEKNLVDTLQSVMQRKEYLLSNQIAYDPSSMQQGMTTSFENEVIGWMPDGGQNHTQIFDASASLNNLRDLSSTMYDPLLQGSSSNGEAHSMAECHVTNPNDHNFSTWPQPYSSTALHSNPMPAPSLYPQIQHGMVGPNITEMMPREQMEIPMSGPHSHLENEAANYDNQIPQLNGQ >Manes.14G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8078512:8086103:1 gene:Manes.14G097200.v8.1 transcript:Manes.14G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQRRVTMSWRRVANSLLSLVAHSLLFSFTLLLAFKLDRAVSYSWWIIFAPLWLFHAVVARYRFSLPAPSMPNDRHWAPSHAVVATPLLVAFELLLCIRLERNYVVDLKIVFLPLLIFEIAILIDNIRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFIAATIFTLLKLCGDVVALGWWDLFINYGIAECFAFLVCTKWYNPAIHRDSHIGGSGSSMTITYIDWNRGLVVSSDEDQNQNSRMCNLQDIGGHFMKIPFIGFQIMLFMHLEGTPPGAKNIPFAVLFAPLLTVQGAGTLFAAYRLLEKIVILLHGGAGSSTYLAIVSKARDFLGFLHHGTKFLGWWSIDEGSREEQARLYYGGSSGYNTFSPDTVKRMPKSELVEEIWRLQAALGEQTEISKISQQECERLQNEKILCRVCFEEQINVVLLPCRHHVLCSTCCEKCKKCPICRVAIEERLAVYDV >Manes.14G097200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8078512:8086103:1 gene:Manes.14G097200.v8.1 transcript:Manes.14G097200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRALMPGDEESMSDEAIWETLPHFWVAISMVFFIAATIFTLLKLCGDVVALGWWDLFINYGIAECFAFLVCTKWYNPAIHRDSHIGGSGSSMTITYIDWNRGLVVSSDEDQNQNSRMCNLQDIGGHFMKIPFIGFQIMLFMHLEGTPPGAKNIPFAVLFAPLLTVQGAGTLFAAYRLLEKIVILLHGGAGSSTYLAIVSKARDFLGFLHHGTKFLGWWSIDEGSREEQARLYYGGSSGYNTFSPDTVKRMPKSELVEEIWRLQAALGEQTEISKISQQECERLQNEKILCRVCFEEQINVVLLPCRHHVLCSTCCEKCKKCPICRVAIEERLAVYDV >Manes.14G097200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8078512:8086103:1 gene:Manes.14G097200.v8.1 transcript:Manes.14G097200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLLSHGQWAPSHAVVATPLLVAFELLLCIRLERNYVVDLKIVFLPLLIFEIAILIDNIRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFIAATIFTLLKLCGDVVALGWWDLFINYGIAECFAFLVCTKWYNPAIHRDSHIGGSGSSMTITYIDWNRGLVVSSDEDQNQNSRMCNLQDIGGHFMKIPFIGFQIMLFMHLEGTPPGAKNIPFAVLFAPLLTVQGAGTLFAAYRLLEKIVILLHGGAGSSTYLAIVSKARDFLGFLHHGTKFLGWWSIDEGSREEQARLYYGGSSGYNTFSPDTVKRMPKSELVEEIWRLQAALGEQTEISKISQQECERLQNEKILCRVCFEEQINVVLLPCRHHVLCSTCCEKCKKCPICRVAIEERLAVYDV >Manes.14G097200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8078512:8086103:1 gene:Manes.14G097200.v8.1 transcript:Manes.14G097200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQRRVTMSWRRVANSLLSLVAHSLLFSFTLLLAFKLDRAVSYSWWIIFAPLWLFHAVVARYRFSLPAPSMPNDRHWAPSHAVVATPLLVAFELLLCIRLERNYVVDLKIVFLPLLIFEIAILIDNIRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFIAATIFTLLKLCGDVVALGWWDLFINYGIAECFAFLVCTKWYNPAIHRDSHIGGSGSSMTITYIDWNRGLVVSSDEDQNQNSRMCNLQDIGGHFMKIPFIGFQIMLFMHLEGTPPGAKNIPFAVLFAPLLTVQGAGTLFAAYRLLEKIVILLHGGAGSSTYLAIVSKARDFLGFLHHGTKFLGWWSIDEGSREEQARLYYGGSSGYNTFSPDTVKRMPKSELVEEIWRLQAALGEQTEISKISQQECERLQNAPCPLQYLL >Manes.02G085100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6697960:6699980:-1 gene:Manes.02G085100.v8.1 transcript:Manes.02G085100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEKNPFQYNPFNYNEQRGIPAGSVFPFLNDGPSPVQTIQGSDPLYMSFTESLSMDYNTLSRAFCMPAICSSSEVISPIQAYFSREIGAPAGADENTSTPDSSSISSPSNDAATQQGAAGKSKEKQQPKEYEDAKENSNNKVKKKKKEKKEREARFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCTVKKRVERSFQDPSMVITTYEGKHNHQCPATLRANYAAAAILSPNSLLASTSSMTSISHQSFPQELLLPPYDINNQCEPSADMLYPSQQQLHLLPADDFVP >Manes.02G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6697960:6699980:-1 gene:Manes.02G085100.v8.1 transcript:Manes.02G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEKNPFQYNPFNYNEQRGIPAGSVFPFLNDGPSPVQTIQGSDPLYMSFTESLSMDYNTLSRAFCMPAICSSSEVISPIQAYFSREIGAPAGADENTSTPDSSSISSPSNDAATQQGAAGKSKEKQQPKEYEDAKENSNNKVSKKKKKEKKEREARFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCTVKKRVERSFQDPSMVITTYEGKHNHQCPATLRANYAAAAILSPNSLLASTSSMTSISHQSFPQELLLPPYDINNQCEPSADMLYPSQQQLHLLPADDFVP >Manes.02G085100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6697960:6699980:-1 gene:Manes.02G085100.v8.1 transcript:Manes.02G085100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTESLSMDYNTLSRAFCMPAICSSSEVISPIQAYFSREIGAPAGADENTSTPDSSSISSPSNDAATQQGAAGKSKEKQQPKEYEDAKENSNNKVSKKKKKEKKEREARFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCTVKKRVERSFQDPSMVITTYEGKHNHQCPATLRANYAAAAILSPNSLLASTSSMTSISHQSFPQELLLPPYDINNQCEPSADMLYPSQQQLHLLPADDFVP >Manes.08G139600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37592867:37599462:1 gene:Manes.08G139600.v8.1 transcript:Manes.08G139600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIIGGKFKMGRKIGGGSFGELFLGVNVQNGEEVGIKLEPAKTKHPQLHYESKIYMLLQGGTGIPQLKWYGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPPEFISYFHYCRAMRFEDKPDYSYLKRLFRDLFVREGYQFDFIFDWTILKYPQIGSSSRQRQSSGKAGTKPRQSVEQTEKAPVVKQETRDRSSGALEAFGRRTASGAIQQGDRLKHRTSEDASASKDARSHTERARNTRNGSVSKKAVASSSRLSTVAGSESRSSWLFSNNSRLSTTHRIHSRPESKVSQAAATKDTPDNLLRSFEKLNTGMDKSKR >Manes.08G139600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37592824:37599483:1 gene:Manes.08G139600.v8.1 transcript:Manes.08G139600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIIGGKFKMGRKIGGGSFGELFLGVNVQNGEEVGIKLEPAKTKHPQLHYESKIYMLLQGGTGIPQLKWYGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPPEFISYFHYCRAMRFEDKPDYSYLKRLFRDLFVREGYQFDFIFDWTILKYPQIGSSSRQRSSGKAGTKPRQSVEQTEKAPVKQETRDRSSGALEAFGRRTASGAIQQGDRLKHRTSEDASASKDARSHTERARNTRNGSVSKKAVASSSRLSTVAGSESRSSWLFSNNSRLSTTHRIHSRPESKVSQAAATKDTPDNLLRSFEKLNTGMDKSKR >Manes.08G139600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37592822:37599524:1 gene:Manes.08G139600.v8.1 transcript:Manes.08G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIIGGKFKMGRKIGGGSFGELFLGVNVQNGEEVGIKLEPAKTKHPQLHYESKIYMLLQGGTGIPQLKWYGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSKGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPPEFISYFHYCRAMRFEDKPDYSYLKRLFRDLFVREGYQFDFIFDWTILKYPQIGSSSRQRQSSGKAGTKPRQSVEQTEKAPVKQETRDRSSGALEAFGRRTASGAIQQGDRLKHRTSEDASASKDARSHTERARNTRNGSVSKKAVASSSRLSTVAGSESRSSWLFSNNSRLSTTHRIHSRPESKVSQAAATKDTPDNLLRSFEKLNTGMDKSKR >Manes.08G026200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2554600:2561061:-1 gene:Manes.08G026200.v8.1 transcript:Manes.08G026200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGNATAEAADKQDEPENPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEIEEEEEVEEEVEEEEEIEEDVNEDDAQKGSDSEEEEDDLKKRAELMALPPHGSEVYLGGIPNDATEEDLKRFCESVGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEDLNNTELQGKKIRCSTSQANHRLFIGNVPRSWEEEDMKNVVMKIGPGVISVELLKDPQNTSRNRGFAFIEYYNHACAEYSRKKMSNPKFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPKDITQDHLRQLFEHHGKVTKVVLPPAKAGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSFGGPNSQKSVLHPTFPPRLGYGLIGGTYGALGAGYGATGFAQVRG >Manes.08G026200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2554600:2561061:-1 gene:Manes.08G026200.v8.1 transcript:Manes.08G026200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGNATAEAADKQDEPENPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEIEEEEEVEEEVEEEEEIEEDVNEDDAQKGSDSEEEEDDLKKRAELMALPPHGSEVYLGGIPNDATEEDLKRFCESVGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEDLNNTELQGKKIRCSTSQANHRLFIGNVPRSWEEEDMKNVVMKIGPGVISVELLKDPQNTSRNRGFAFIEYYNHACAEYSRKKMSNPKFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPKDITQDHLRQLFEHHGKVTKVVLPPAKAGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSFGGPNSQKSVLHPTFPPRLGYGLIGGTYGALGAGYGATGFAQATAWNATTTHPSTTASGWQGWWCW >Manes.08G026200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2554600:2561061:-1 gene:Manes.08G026200.v8.1 transcript:Manes.08G026200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGNATAEAADKQDEPENPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEIEEEEEVEEEVEEEEEIEEDVNEDDAQKGSDSEEEEDDLKKRAELMALPPHGSEVYLGGIPNDATEEDLKRFCESVGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEDLNNTELQGKKIRCSTSQANHRLFIGNVPRSWEEEDMKNVVMKIGPGVISVELLKDPQNTSRNRGFAFIEYYNHACAEYSRKKMSNPKFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPKDITQDHLRQLFEHHGKVTKVVLPPAKAGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSFGGPNSQKSVLHPTFPPRLGYGLIGGTYGALGAGYGATGFAQATAWNATTTHPSTTASGWQGWWCW >Manes.08G026200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2554600:2561061:-1 gene:Manes.08G026200.v8.1 transcript:Manes.08G026200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGNATAEAADKQDEPENPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEIEEEEEVEEEVEEEEEIEEDVNEDDAQKGSDSEEEEDDLKKRAELMALPPHGSEVYLGGIPNDATEEDLKRFCESVGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEDLNNTELQGKKIRCSTSQANHRLFIGNVPRSWEEEDMKNVVMKIGPGVISVELLKDPQNTSRNRGFAFIEYYNHACAEYSRKKMSNPKFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPKDITQDHLRQLFEHHGKVTKVVLPPAKAGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSFGGPNSQKSVLHPTFPPRLGYGLIGGTYGALGAGYGATGFAQATAWNATTTHPSTTASGWQGWWCW >Manes.08G026200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2554600:2561061:-1 gene:Manes.08G026200.v8.1 transcript:Manes.08G026200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGNATAEAADKQDEPENPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEIEEEEEVEEEVEEEEEIEEDVNEDDAQKGSDSEEEEDDLKKRAELMALPPHGSEVYLGGIPNDATEEDLKRFCESVGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEDLNNTELQGKKIRCSTSQANHRLFIGNVPRSWEEEDMKNVVMKIGPGVISVELLKDPQNTSRNRGFAFIEYYNHACAEYSRKKMSNPKFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPKDITQDHLRQLFEHHGKVTKVVLPPAKAGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSFGGPNSQKSVLHPTFPPRLGYGLIGGTYGALGAGYGATGFAQPMIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPQHTPPPQPRAGRGGGAGSSSGGRRSNDSNRGRSRYNPY >Manes.08G026200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2554600:2561061:-1 gene:Manes.08G026200.v8.1 transcript:Manes.08G026200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGNATAEAADKQDEPENPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEIEEEEEVEEEVEEEEEIEEDVNEDDAQKGSDSEEEEDDLKKRAELMALPPHGSEVYLGGIPNDATEEDLKRFCESVGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEDLNNTELQGKKIRCSTSQANHRLFIGNVPRSWEEEDMKNVVMKIGPGVISVELLKDPQNTSRNRGFAFIEYYNHACAEYSRKKMSNPKFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPKDITQDHLRQLFEHHGKVTKVVLPPAKAGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSFGGPNSQKSVLHPTFPPRLGYGLIGGTYGALGAGYGATGFAQPMIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPQHTPPPQPRAGRGGGAGSSSGGRRSNDSNRGRSRYNPY >Manes.08G026200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2554600:2561061:-1 gene:Manes.08G026200.v8.1 transcript:Manes.08G026200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGNATAEAADKQDEPENPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEIEEEEEVEEEVEEEEEIEEDVNEDDAQKGSDSEEEEDDLKKRAELMALPPHGSEVYLGGIPNDATEEDLKRFCESVGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEDLNNTELQGKKIRCSTSQANHRLFIGNVPRSWEEEDMKNVVMKIGPGVISVELLKDPQNTSRNRGFAFIEYYNHACAEYSRKKMSNPKFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPKDITQDHLRQLFEHHGKVTKVVLPPAKAGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSFGGPNSQKSVLHPTFPPRLGYGLIGGTYGALGAGYGATGFAQPMIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQPQHTPPPQPRAGRGGGAGSSSGGRRSNDSNRGRSRYNPY >Manes.08G026200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2554600:2561061:-1 gene:Manes.08G026200.v8.1 transcript:Manes.08G026200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTRGNATAEAADKQDEPENPVESEEGVDLDGDNEQEEIMEEEVEYEEVEEEEEVEEIEEEEEVEEEVEEEEEIEEDVNEDDAQKGSDSEEEEDDLKKRAELMALPPHGSEVYLGGIPNDATEEDLKRFCESVGEVTEVRIMKGKDSGEAKGYAFVTFRSKELASKAIEDLNNTELQGKKIRCSTSQANHRLFIGNVPRSWEEEDMKNVVMKIGPGVISVELLKDPQNTSRNRGFAFIEYYNHACAEYSRKKMSNPKFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPKDITQDHLRQLFEHHGKVTKVVLPPAKAGHENSRFGFVHFAERSSAMKALKNTEKYEIDGQVLECSLAKPQADQKSFGGPNSQKSVLHPTFPPRLGYGLIGGTYGALGAGYGATGFAQVRG >Manes.03G162650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28928053:28930023:-1 gene:Manes.03G162650.v8.1 transcript:Manes.03G162650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFLKGFRYVSHMFDFADEKEPEIQIGFPTDVKHVAHIGCDGPSASKPSWMNEFQSAPQISNGTANCMEELQNLSVVQRSLDVSQGEKPKRKSRRSTNTADSPLGSPDRRSNEGSRHSRRETFSVDTNSPFSSPPRNSRRNRSSNISMDSPGRDSPIGNHTSKRQTNSNLPLESPARDQPSIPKHSRAKKSKESRTKDKKHPEDVPDRRAHKSETASAT >Manes.03G162650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28928053:28930023:-1 gene:Manes.03G162650.v8.1 transcript:Manes.03G162650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFLKGFRYVSHMFDEKEPEIQIGFPTDVKHVAHIGCDGPSASKPSWMNEFQSAPQISNGTANCMEELQNLSVVQRSLDVSQGEKPKRKSRRSTNTADSPLGSPDRRSNEGSRHSRRETFSVDTNSPFSSPPRNSRRNRSSNISMDSPGRDSPIGNHTSKRQTNSNLPLESPARDQPSIPKHSRAKKSKESRTKDKKHPEDVPDRRAHKSETASAT >Manes.16G017100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1602493:1608185:-1 gene:Manes.16G017100.v8.1 transcript:Manes.16G017100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNQNRPPRSPSTKDGVGAGGGGIPLDKRRRIGIGRIGGPTSTDRKPFGSVNKRQDVTASGDTGGTDGSEIENIDFTKEEVEALVNERPKMKKFDHKGNMELVNELNTRLKLCIKWFQKRDEANVDEQGKLRNTLESSEKKCADMEVEMKNKEENFNGVISDLRKENTSLQEKLTKEESEKLEAIDCHRREKEARTALETLQASLSKELEKAQQDILVANQRATSLDDMYKRLQEYNLSLQQYNSKLHAELETARESLKRVEKEKATIVENLSTLRGHYNSLQEQLTLSRASQDEAMNQKESLVNEVKCLRGELQQVRDDRDRQTGQVQVLTAEVLKYKESTGKSFAEIDNLMAKTKSLEETCSTQRERIHLLEHQLTAANEKLKMADFSTAEARTEFEELRRTTRDLQERLMEAEHQLIDGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSVGTEAPVISYPTSVENLDRGIDLTQSGQKYPFTFDKVFSHDASQQDVFVEISQLIQSALDGYKVCIFAYGQTGSGKTYTMMGKTETPDQKGLIPRSLEQIFQASQSLLAQGWKYKMQASMLEIYNENIRDLLLTNKSSAAENTGKQYTIKHDANGNTYVTDLTIVDVCSIQEISSLLRQAAQSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGATGDRLKETQAINKSLSCLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEPTSVGESLCSLRFAARVNACEIGIPRRQTTARIVDSRVS >Manes.16G017100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1602493:1608185:-1 gene:Manes.16G017100.v8.1 transcript:Manes.16G017100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFDHKGNMELVNELNTRLKLCIKWFQKRDEANVDEQGKLRNTLESSEKKCADMEVEMKNKEENFNGVISDLRKENTSLQEKLTKEESEKLEAIDCHRREKEARTALETLQASLSKELEKAQQDILVANQRATSLDDMYKRLQEYNLSLQQYNSKLHAELETARESLKRVEKEKATIVENLSTLRGHYNSLQEQLTLSRASQDEAMNQKESLVNEVKCLRGELQQVRDDRDRQTGQVQVLTAEVLKYKESTGKSFAEIDNLMAKTKSLEETCSTQRERIHLLEHQLTAANEKLKMADFSTAEARTEFEELRRTTRDLQERLMEAEHQLIDGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSVGTEAPVISYPTSVENLDRGIDLTQSGQKYPFTFDKVFSHDASQQDVFVEISQLIQSALDGYKVCIFAYGQTGSGKTYTMMGKTETPDQKGLIPRSLEQIFQASQSLLAQGWKYKMQASMLEIYNENIRDLLLTNKSSAAENTGKQYTIKHDANGNTYVTDLTIVDVCSIQEISSLLRQAAQSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGATGDRLKETQAINKSLSCLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEPTSVGESLCSLRFAARVNACEIGIPRRQTTARIVDSRVS >Manes.03G098912.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18445377:18451091:-1 gene:Manes.03G098912.v8.1 transcript:Manes.03G098912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKRVCVFCGSNLGNKKIFSDAALDLGIQLVERKMDLVCGGGSFGLMGLVSQTVHDGGCHVLGVIPTALIPLEISGDAVGEVLIVSDMHERKAEMAKRADAFIALPGGYGTLEELLEMITWSQLGIHDKPVGLLNVDGYYDSLLGLFDKGVEEGFIKPCARNIVISAKNAQELLQKMEDFIPLHDQVAPRHSWIIEESNANF >Manes.03G098912.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18446977:18451091:-1 gene:Manes.03G098912.v8.1 transcript:Manes.03G098912.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKRVCVFCGSNLGNKKIFSDAALDLGIQLVERKMDLVCGGGSFGLMGLVSQTVHDGGCHVLGVIPTALIPLEISGDAVGEVLIVSDMHERKAEMAKRADAFIALPGGYGTLEELLEMITWSQLGIHDKPVGLLNVDGYYDSLLGLFDKGVEEGFIKPCARNIVISAKNAQELLQKMEDFIPLHDQVAPRHSWIIEESNANF >Manes.03G190900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31003180:31007035:1 gene:Manes.03G190900.v8.1 transcript:Manes.03G190900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRSMIIRSRSHRVVQDGSAAAPEVDEWHEEDTSCWANMPEELLREVLLRIEASESSWPPRKSVVACAGVCRTWRQITKELVKVPEVSGRLTFPISVKQPGPRDFLLQCFIKRCRSTQTYYLYLSLTNALADDGKFLLAAHKCRRPTFTDYLISLDAGNMSKGSSAYVGKLRSNFLGTKFTVFDGQRPHAGAKMTKSRSTKLVNLKQISPRVPAGSYPVAHISYELNVLGSRGPRRMQCIMDAIPFTSIEPGGVAPTQIEFSHHNVGSFPSLPFFRSKSNHTDNFLSGPLPSEKDGALVLKNKAPRWHEQLHCWCLNFHGRVTVASVKNFQLVASPENGRAAGPEHEKVILQFGKVGKDLFTMDYRYPISAFQAFAICISSFDTKIACE >Manes.03G190900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31003180:31007035:1 gene:Manes.03G190900.v8.1 transcript:Manes.03G190900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIRSMIIRSRSHRVVQDGSAAAPEVDEWHEEDTSCWANMPEELLREVLLRIEASESSWPPRKSVVACAGVCRTWRQITKELVKVPEVSGRLTFPISVKQPGPRDFLLQCFIKRCRSTQTYYLYLSLTNALADDGKFLLAAHKCRRPTFTDYLISLDAGNMSKGSSAYVGKLRSNFLGTKFTVFDGQRPHAGAKMTKSRSTKLVNLKQISPRVPAGSYPVAHISYELNVLGSSRGPRRMQCIMDAIPFTSIEPGGVAPTQIEFSHHNVGSFPSLPFFRSKSNHTDNFLSGPLPSEKDGALVLKNKAPRWHEQLHCWCLNFHGRVTVASVKNFQLVASPENGRAAGPEHEKVILQFGKVGKDLFTMDYRYPISAFQAFAICISSFDTKIACE >Manes.11G072833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10541349:10542368:-1 gene:Manes.11G072833.v8.1 transcript:Manes.11G072833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELKLLLLLFIKTELCRNFSKTMDISEEVEDEEKELEHSSLQEKLDRKLQELDKRLEQKEEEDLHPENVYYSEMKDAGFFDADWE >Manes.03G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26148023:26156482:-1 gene:Manes.03G135800.v8.1 transcript:Manes.03G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENRIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKRFRVFEAAPQESRGTPAKKPAPDYFL >Manes.17G096300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30389443:30392578:1 gene:Manes.17G096300.v8.1 transcript:Manes.17G096300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSEMEPNANRRLRWLPERPSQHFFKIILPSTIHEKKLRIPNKFVSKFGDELSDFANIIVPNGCAWKVRLTKDQKGIWFDDGWQNFVDHYSINSGYFLVFGYRGFSNFSIVILDVSASEIEYPQNDDGSIYGEKCLVHHQIEMENNNSILDSTLPSPHSSSLKSNVCGVSETKGESGRRYTPLKNRGRKKRFEAVPSMGSPYFKNIYNRRSKKIKMEELLEIESVDANESGRGKFDKFAISNSHGPLVETNDSTKSEVELGENELLAKCEEDVEIITSEMFGFKKTFPESEKAIEAARKFKPKCPSFMVVLRQYNFNNMLYVPSRFAKTYLNRFHKHIKLQGSDGKEWIVLLLWKSWGRVDFGKGWNAFCRENNLQGGEVCVFELIKSDVLKVSLFGAVQDVKPEN >Manes.17G096300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30389443:30392578:1 gene:Manes.17G096300.v8.1 transcript:Manes.17G096300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSEMEPNANRRLRWLPERPSQHFFKIILPSTIHEKKLRIPNKFVSKFGDELSDFANIIVPNGCAWKVRLTKDQKGIWFDDGWQNFVDHYSINSGYFLVFGYRGFSNFSIVILDVSASEIEYPQNDDGSIYGEKCLVHHQIEMENNNSILDSTLPSPHSSSLKSNVCGVSETKGESGRRYTPLKNRGRKKRFEAVPSMGSPYFKNIYNRRSKKIKMEELLEIESVDANESGRGKFDKFAISNSHGPLVETNDSTKSEVELGENELLAKCEEDVEIITSEMFGFKKTFPESEKAIEAARKFKPKCPSFMVVLRQYNFNNMLYVPSRFAKTYLNRFHKHIKLQGSDGKEWIVLLLWKSWGRVDFGKGWNAFCRENNLQGGEVCVFELIKSDVLKVSLFGAVQDVKPEN >Manes.17G096300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30389443:30392578:1 gene:Manes.17G096300.v8.1 transcript:Manes.17G096300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSEMEPNANRRLRWLPERPSQHFFKIILPSTIHEKKLRIPNKFVSKFGDELSDFANIIVPNGCAWKVRLTKDQKGIWFDDGWQNFVDHYSINSGYFLVFGYRGFSNFSIVILDVSASEIEYPQNDDGSIYGEKCLVHHQIEMENNNSILDSTLPSPHSSSLKSNVCGVSETKGESGRRYTPLKNRGRKKRFEAVPSMGSPYFKNIYNRRSKKIKMEELLEIESVDANESGRGKFDKFAISNSHGPLVETNDSTKSEVELGENELLAKCEEDVEIITSEMFGFKKTFPESEKAIEAARKFKPKCPSFMVVLRQYNFNNMLYVPSRFAKTYLNRFHKHIKLQGSDGKEWIVLLLWKSWGRVDFGKGWNAFCRENNLQGGEVCVFELIKSDVLKVSLFGAVQDVKPEN >Manes.10G070900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11165130:11186487:1 gene:Manes.10G070900.v8.1 transcript:Manes.10G070900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNQTKQLENIVHVNGGDGIHSYARNSSLQRTVIKRVKPILEDTVKAFYTKSLYDKFAIVDMGCSSGPNALEAISIIINKIFTLSKEKGQTFPELLVFLNDLPGNDFNNIFKSLPQFYKKLKEQTGLDLGTCFISGMPGTFYGRLFPIETLDFVHSSCSLHWLSQVPEGIENNKGNIYISKTSPKNVFEAYLDQFQKDFSLFLCCRAKELKSKGQMILTLLGRSTSDPACNDCIQFWYLLAQSLLEISREGLIEEANVDSFNIPFYTPFSGEVTDIVGKEGSFKINNLKTFELNWDSNGNDENQDYAFDMKASGEFVACCVRAASESTLASHFGEAIIDELFLRYARNVGDHLSKEKTKYILLVISMSKKS >Manes.10G070900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11165125:11186487:1 gene:Manes.10G070900.v8.1 transcript:Manes.10G070900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNQTKQLENIVHVNGGDGIHSYARNSSLQRTVIKRVKPILEDTVKAFYTKSLYDKFAIVDMGCSSGPNALEAISIIINKIFTLSKEKGQTFPELLVFLNDLPGMPGTFYGRLFPIETLDFVHSSCSLHWLSQVPEGIENNKGNIYISKTSPKNVFEAYLDQFQKDFSLFLCCRAKELKSKGQMILTLLGRSTSDPACNDCIQFWYLLAQSLLEISREGLIEEANVDSFNIPFYTPFSGEVTDIVGKEGSFKINNLKTFELNWDSNGNDENQDYAFDMKASGEFVACCVRAASESTLASHFGEAIIDELFLRYARNVGDHLSKEKTKYILLVISMSKKS >Manes.07G004500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:693614:694126:-1 gene:Manes.07G004500.v8.1 transcript:Manes.07G004500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNETGCQVPLDAPKLCANGCGFFGTAATMNLCSKCHKDFVLKQEQAKFASSSLKFDANGNSSSSGNEPFVGGDLPDILTESLVSSSLASSASTLNMGGDTSVKAGPTRCSTCKKRVGLTGFSCRCGSIFCSVHRYSDKHGCTYNYQTAGRDAIAKANPVVKAEKLDKI >Manes.07G004500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:693043:695977:-1 gene:Manes.07G004500.v8.1 transcript:Manes.07G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNETGCQVPLDAPKLCANGCGFFGTAATMNLCSKCHKDFVLKQEQAKFASSSLKFDANGNSSSSGNEPFVGGDLPDILTESLVSSSLASSASTLNMGGDTSVKAGPTRCSTCKKRVGLTGFSCRCGSIFCSVHRYSDKHGCTYNYQTAGRDAIAKANPVVKAEKLDKI >Manes.14G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10639392:10640812:1 gene:Manes.14G121900.v8.1 transcript:Manes.14G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAHYPNGLGLGITVTVMLIFSLTFFPSISVGSSVFKRDEDVKQRKMVLGSRPPLCINKCLSCRPCMAALVTSPHHKTALARGDESYYLLSWKCKCGDKYFQP >Manes.10G048400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5313760:5314814:-1 gene:Manes.10G048400.v8.1 transcript:Manes.10G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSILVLFFLSVILTLLLGSDAGGIAIYWGQNGNEGTLAETCATGNYQFVNLAFLPTFGNGQTPMLNLAGHCDPYSNGCTGLSSDIKSCQAKGIKVMLSIGGGAGSYYLASTEDARQVATYLWNNFLGGHSSSRPLGPAVLDGIDFDIEGGTNQHWDDLARFLSAYGKKGKKVYLTAAPQCPFPDAWVGNALKTGLFDYVWVQFYNNPPCQYSSGNITNLEDAWKQWTSDIPANKIFLGLPAAPDAAGSGFIPVADLISKVLPPMKGSAKYGGVMLWSKYYDDQTGYSKAIKGSI >Manes.05G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5368908:5371555:-1 gene:Manes.05G064800.v8.1 transcript:Manes.05G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKVHGSPLSTATQRVIVSLHEKDLEFEFVPVNMATGDHKKEPFISLNPFGQVPALEDGELKLFESRAITQYIARDYSDKGTQLLCPGKKMALVSVWMEVEAQQFEPAASKLNWEIFYKPFFGMTTDPAAVAENETKLAQVLDIYESRLAQSKYLGGDSFTLADLHHLPNLHLLLVTQSKKLIESRPHVSAWAADITARPAWAKVLAMQKN >Manes.11G068300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9722757:9733487:1 gene:Manes.11G068300.v8.1 transcript:Manes.11G068300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSSPKTEKVSEDGENHRLRYGLSSMQGWRATMEDAHAAYPDLDSSTSFFGVYDGHGGKAVAKFCAKYLHQQVLKNVACSAGDLGTSAQKAFLRMDEMMRGQRGWRELAILGDKIDKVSGMIEGLIWSPRSGEANGHIDDWSSEEGPHSDFHGPNSGSTACIAMIRNTQLVVANAGDSRCVISRKGQAYNLSKDHKPDLEVEKDRILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKSLPAEKQIVTANPDINTVELCDDDEFLVLACDGIWDCMSSQQLVDYVREQLNNVRKVSFQQSVRKFLTDVWHLLLVARDVTT >Manes.11G068300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9722757:9733487:1 gene:Manes.11G068300.v8.1 transcript:Manes.11G068300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSSPKTEKVSEDGENHRLRYGLSSMQGWRATMEDAHAAYPDLDSSTSFFGVYDGHGGKAVAKFCAKYLHQQVLKNVACSAGDLGTSAQKAFLRMDEMMRGQRGWRELAILGDKIDKVSGMIEGLIWSPRSGEANGHIDDWSSEEGPHSDFHGPNSGSTACIAMIRNTQLVVANAGDSRCVISRKGQAYNLSKDHKPDLEVEKDRILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKSLPAEKQIVTANPDINTVELCDDDEFLVLACDGIWDCMSSQQLVDYVREQLNNVRKVSFQQSVRKFLTDVWHLLLVARDVTT >Manes.11G068300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9722757:9733487:1 gene:Manes.11G068300.v8.1 transcript:Manes.11G068300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSSPKTEKVSEDGENHRLRYGLSSMQGWRATMEDAHAAYPDLDSSTSFFGVYDGHGGKAVAKFCAKYLHQQVLKNVACSAGDLGTSAQKAFLRMDEMMRGQRGWRELAILGDKIDKVSGMIEGLIWSPRSGEANGHIDDWSSEEGPHSDFHGPNSGSTACIAMIRNTQLVVANAGDSRCVISRKGQAYNLSKDHKPDLEVEKDRILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKSLPAEKQIVTANPDINTVELCDDDEFLVLACDGIWDCMSSQQLVDYVREQLNNESKLSAICEKVFDRCLAPAVGGEGCDNMTMIIVQFKKPINPSLGQQSLASAQRSESNTTAVKIGEGSKEIKE >Manes.11G068300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9722757:9733487:1 gene:Manes.11G068300.v8.1 transcript:Manes.11G068300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSSPKTEKVSEDGENHRLRYGLSSMQGWRATMEDAHAAYPDLDSSTSFFGVYDGHGGKAVAKFCAKYLHQQVLKNVACSAGDLGTSAQKAFLRMDEMMRGQRGWRELAILGDKIDKVSGMIEGLIWSPRSGEANGHIDDWSSEEGPHSDFHGPNSGSTACIAMIRNTQLVVANAGDSRCVISRKGQAYNLSKDHKPDLEVEKDRILKAGGFIQVGRVNGSLNLARAIGDAEFKQNKSLPAEKQIVTANPDINTVELCDDDEFLVLACDGIWDCMSSQQLVDYVREQLNNESKLSAICEKVFDRCLAPAVGGEGCDNMTMIIVQFKKPINPSLGQQSLASAQRSESNTTAVKIGEGSKEIKE >Manes.01G182501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36058296:36061088:1 gene:Manes.01G182501.v8.1 transcript:Manes.01G182501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNIGEEEPIISEREIFSLSGPVHLTSVDWNNFHHRTSIAASLVKGVYVLERDRQQGRQGPQAHAPPWWEFFHFQLNHVLIDDVDGSNFGAIYEFKNFAYPSAQNAPRYVIALRGTIKTRISIIRDLKLDLLCLCNKIHESSRFQLAMQALQNMVALAGAANVWLAGHSLGSAIALLGGKNMAKMGILIESYLFNPPFLSSPIERIKNQKLKRGISMVKAGLAVALKGHQPRPQQGDSFVALPPWLPYLFVNPADPICSEYIGHFGEKNKVEGIGTGKSVQISEALHLIPSAYLTVNLSESPSFKQAHGIHQWWNPIYRFQRELHNHKCS >Manes.02G109900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8525802:8530860:1 gene:Manes.02G109900.v8.1 transcript:Manes.02G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSKQDEEDDVVSLCRERKRLLKLAMERRYAFADAQFRYTQSLYAVAMALRLFVARHSSPSSPFLITFPSTTSANVDTNETFATNTMFLQQRPTEPNHETIACQPSDSKGSLGFKLEKKVQETQEDNNDNADHEEMESGEGEDESESEEGEELCKHFYDEDGPPVPSPQREFGWDFFYPFDEMRSEVLNGFSQSSEEDLRAVREKEGIPELEEDGGRVINECETVNLKNCDVGHKKNGIIDMRSEDNGNVVGEGESKRLRVIDEPANGRELLEALKDIEDHFFRVYDSGLDISRMLEANRVQLQSGLEEIKESSNKLIRSITRSRSTSSRSSSCKSLLSSSSTSSSMWSEFKTDLYDDYGLEAGSHSLTLGRLYAWEKKLYMEVKAGDQTRKIYQRKCSRLRHLDATVDDFGSRDKSATEVKELHSKISVAIRSVESISNRIEKLRDEELQPQLLELLHGLIRNWKIMLESHETQTRVMLEVKFFNCPAYGKFCNDSHRLATLQLEAELDNWRACFAAYVTTQKAYIEALGGWLSKFIAPEVEFYSSGKSSFPPCRINGPALLVTCHNWLAWLEKLPDKAVTYAMKSFVKDIHALWNQQGKEQQQKRKVDGLATELERKALAFQRAERRILGCKISEQESQVIIRNHIEYLAEGKNLLDMFRKSLDEEKEKHLTNMQETQQIAVSAFQTGFSSVFESLAEFSEASMKMYADLITYSADPKTAEKNDSDLSYMDGLYS >Manes.12G046867.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3623463:3625133:-1 gene:Manes.12G046867.v8.1 transcript:Manes.12G046867.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWQNNFHGTIPGEIGNCKSSIVIDLSLNFFSGAIPQSFGNLSNLEELMLSNNNISGSIPPVLSNATKLLQLQLDTNEISGTIPAELGKLTQLTVFFAWENNNGCGQQQWLQRKTLKMTG >Manes.03G200800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31680010:31683403:-1 gene:Manes.03G200800.v8.1 transcript:Manes.03G200800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSWSSRLSTSSRRYFPRSDLYEENDVDEDLKAEFLCPFCAEDFDVVGLCCHIDEEHPVEAKNGVCPVCAKRVGMDIVGHITMQHGNFFKVQRKRRLRKGGSNSAFSLLRKELREGSLQSLLGGSSCFVSSSNIEPDPLLSSFILNPPSLDEPLNIQPVSSAEVGSVKGSTNEEFRERKVQQSLLSDEDQEEKSQRCRFVQGLLLSTILEDEL >Manes.03G186900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30698116:30701104:-1 gene:Manes.03G186900.v8.1 transcript:Manes.03G186900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPSSIVIFLRQSPYSTLQNSSTQIRKLTVEPKYFEFTNEGIKPNQNHEVPQSKDQHFTDIVREVTLIMRTKSKWEQSLLSDFPSSNFSDPQFFLQLLKQQNDAFLSLKFFHWLRSRSNFVPDQVSCKVLFDSLLEANACNAAKYFLEVSGFIPEQGSLERYICCLCDGGMVQDALGVLVRFKDVGICPSIVTWNSALRGCLSIGKTDLVWKLYHDMLESGLVADIETVEYLIRAFCSDGQFMKGYELLRQVLENGLVPRNIAFNALISGFCKQKNFVRVSELLHTMIAKNCAPDIYTYHEVINGLCKNKMLLESLRIFYDLKDRGYAPDRVMYTTIIHGLCEKGWLRDAQKLWFEMINMGILPNEYTYNSLINGTSKRGYFKEAMKLYDEMHDKGYGENTASYNVMISCLCLHGRTNEGFELFEKMAQKGISRDVISYNAVIRGFCEKGKIVESKNLLNELLAHGIQPSTSSYFPLIKKLFEVGDMKEAKRLWNDMQNKGLKPKAHHYDNFITALCIQGHVIDGLDWLAVMLKNKLRPEKATVKRLIQCLSQYERLDDSLFALGVMSRICYFVKLGICYYLVSELERITLTTKKI >Manes.03G186900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30698121:30701040:-1 gene:Manes.03G186900.v8.1 transcript:Manes.03G186900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPSSIVIFLRQSPYSTLQNSSTQIRKLTVEPKYFEFTNEGIKPNQNHEVPQSKDQHFTDIVREVTLIMRTKSKWEQSLLSDFPSSNFSDPQFFLQLLKQQNDAFLSLKFFHWLRSRSNFVPDQVSCKVLFDSLLEANACNAAKYFLEVSGFIPEQGSLERYICCLCDGGMVQDALGVLVRFKDVGICPSIVTWNSALRGCLSIGKTDLVWKLYHDMLESGLVADIETVEYLIRAFCSDGQFMKGYELLRQVLENGLVPRNIAFNALISGFCKQKNFVRVSELLHTMIAKNCAPDIYTYHEVINGLCKNKMLLESLRIFYDLKDRGYAPDRVMYTTIIHGLCEKGWLRDAQKLWFEMINMGILPNEYTYNSLINGTSKRGYFKEAMKLYDEMHDKGYGENTASYNVMISCLCLHGRTNEGFELFEKMAQKGISRDVISYNAVIRGFCEKGKIVESKNLLNELLAHGIQPSTSSYFPLIKKLFEVGDMKEAKRLWNDMQNKGLKPKAHHYDNFITALCIQGHVIDGLDWLAVMLKNKLRPEKATVKRLIQCLSQYERVSIKSQDRSCRG >Manes.03G186900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30697656:30701054:-1 gene:Manes.03G186900.v8.1 transcript:Manes.03G186900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPSSIVIFLRQSPYSTLQNSSTQIRKLTVEPKYFEFTNEGIKPNQNHEVPQSKDQHFTDIVREVTLIMRTKSKWEQSLLSDFPSSNFSDPQFFLQLLKQQNDAFLSLKFFHWLRSRSNFVPDQVSCKVLFDSLLEANACNAAKYFLEVSGFIPEQGSLERYICCLCDGGMVQDALGVLVRFKDVGICPSIVTWNSALRGCLSIGKTDLVWKLYHDMLESGLVADIETVEYLIRAFCSDGQFMKGYELLRQVLENGLVPRNIAFNALISGFCKQKNFVRVSELLHTMIAKNCAPDIYTYHEVINGLCKNKMLLESLRIFYDLKDRGYAPDRVMYTTIIHGLCEKGWLRDAQKLWFEMINMGILPNEYTYNSLINGTSKRGYFKEAMKLYDEMHDKGYGENTASYNVMISCLCLHGRTNEGFELFEKMAQKGISRDVISYNAVIRGFCEKGKIVESKNLLNELLAHGIQPSTSSYFPLIKKLFEVGDMKEAKRLWNDMQNKGLKPKAHHYDNFITALCIQGHVIDGLDWLAVMLKNKLRPEKATVKRLIQCLSQYERVSIKSQDRSCRG >Manes.03G186900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30697474:30701491:-1 gene:Manes.03G186900.v8.1 transcript:Manes.03G186900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPSSIVIFLRQSPYSTLQNSSTQIRKLTVEPKYFEFTNEGIKPNQNHEVPQSKDQHFTDIVREVTLIMRTKSKWEQSLLSDFPSSNFSDPQFFLQLLKQQNDAFLSLKFFHWLRSRSNFVPDQVSCKVLFDSLLEANACNAAKYFLEVSGFIPEQGSLERYICCLCDGGMVQDALGVLVRFKDVGICPSIVTWNSALRGCLSIGKTDLVWKLYHDMLESGLVADIETVEYLIRAFCSDGQFMKGYELLRQVLENGLVPRNIAFNALISGFCKQKNFVRVSELLHTMIAKNCAPDIYTYHEVINGLCKNKMLLESLRIFYDLKDRGYAPDRVMYTTIIHGLCEKGWLRDAQKLWFEMINMGILPNEYTYNSLINGTSKRGYFKEAMKLYDEMHDKGYGENTASYNVMISCLCLHGRTNEGFELFEKMAQKGISRDVISYNAVIRGFCEKGKIVESKNLLNELLAHGIQPSTSSYFPLIKKLFEVGDMKEAKRLWNDMQNKGLKPKAHHYDNFITALCIQGHVIDGLDWLAVMLKNKLRPEKATVKRLIQCLSQYERVSIKSQDRSCRG >Manes.18G107400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10582012:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGNGNIFLIYGNFLWLLRCLSSYRKWFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLGAWESIRASFGGSVGEGWELRTGQNDVDDFFRKSLM >Manes.18G107400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10582012:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGNGNIFLIYGNFLWLLRCLSSYRKWFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLGAWESIRASFGGSVGEGWELRTGQNDVDDFFRKSLM >Manes.18G107400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10582012:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCCDAVKMRPEPEPFTIMHCGRVKDWNVELKWFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLGAWESIRASFGGSVGEGWELRTGQNDVDDFFRKSLM >Manes.18G107400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10582012:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGIFFSSMGIFCGCCDVCLVIGNILFILGFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLGAWESIRASFGGSVGEGWELRTGQNDVDDFFRKSLM >Manes.18G107400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10581992:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGIFFSSMGIFCGCCDVCLVIGNILFILGFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLGAWESIRASFGGSVGEGWELRTGQNDVDDFFRKSLM >Manes.18G107400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10581640:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGIFFSSMGIFCGCCDVCLVIGNILFILGFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLFFDTYQGKRCQCDSGILSNAWEL >Manes.18G107400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10581640:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGNGNIFLIYGNFLWLLRCLSSYRKWFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLFFDTYQGKRCQCDSGILSNAWEL >Manes.18G107400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10581640:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGIFFSSMGIFCGCCDVCLVIGNILFILGFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLFFDTYQGKRCQCDSGILSNAWEL >Manes.18G107400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10581640:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGIFFSSMGIFCGCCDVCLVIGNILFILGFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLFFDTYQGKRCQCDSGILSNAWEL >Manes.18G107400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10582012:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGNGNIFLIYGNFLWLLRCLSSYRKWFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLGAWESIRASFGGSVGEGWELRTGQNDVDDFFRKSLM >Manes.18G107400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10581640:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGNGNIFLIYGNFLWLLRCLSSYRKWFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLFFDTYQGKRCQCDSGILSNAWEL >Manes.18G107400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10581640:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGNGNIFLIYGNFLWLLRCLSSYRKWFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLFFDTYQGKRCQCDSGILSNAWEL >Manes.18G107400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10582012:10584238:-1 gene:Manes.18G107400.v8.1 transcript:Manes.18G107400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGIFFSSMGIFCGCCDVCLVIGNILFILGFCLTFGLKFSIQFFMQRQNFKGTVSFGAGFYFITIGWPIFGVILEAYGFIILFSFFWPALAVFPEKIPILGWVFRQPFVRLGAWESIRASFGGSVGEGWELRTGQNDVDDFFRKSLM >Manes.05G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3799795:3801089:-1 gene:Manes.05G045600.v8.1 transcript:Manes.05G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKGKVCVTGGTGFLASWLIMRLLEHRYSVHATIRPHPEHKRDVSFLTSLPGASEKLQIFEADLSVPESFEAAIKGCIGVFHVATPVDFENKEPENVIVRRTIDGTLGILKACLNSKTVKRVVYTSSASAVAFIDKAVDTMDESFWSDVDFIKSLKSPFGPYLISKTLTEKTTLEFAEEHGLDLVTVIPSFIVGPFICPKFPGSVHTAMSMILGEREQYAALLNVSMVHTDDVARAHIFLFEYPDAKGRFICSSHTITIEEMSKFLSAKYSEFPIPEVESLKDIKGIRTPGLSSQKLLDSGFEFKYGLDEMFDGAIKCCKEKGYLQ >Manes.08G093300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:31620849:31623778:-1 gene:Manes.08G093300.v8.1 transcript:Manes.08G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSRTRTAGSGDPNDAAADSFYQFFEGWLVRQEHFLDELISVEQHCHESREEDLKDLINRVLFHYEQYYEEKSRIAQRNIFLVFSPYWFTPLESSFLWIAGFKPALAFRVINESVNDLSGEQSQRISRLIEETKVSERMMNDELARIHESIASPPLLDLARRKGRMDDVNEAGDQSAIETLGSTLESLVARADLLRTDTVSKLVEILNPVQNVKFLTAVTQLYLRIRNLGQRHGQSRRSK >Manes.18G025380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5705922:5706321:-1 gene:Manes.18G025380.v8.1 transcript:Manes.18G025380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCNQIIHCKAAVSWEAGKPLVIEEVEVAPPQAMEVRIKILFTSLCRSDVHF >Manes.12G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2110377:2114183:-1 gene:Manes.12G024900.v8.1 transcript:Manes.12G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWWVSALQLTELFVSVAVHLLYGLYIFSSAVAGDFSQTMYGWFFKPNMNLVVKEDEPRGTSKSTTNGDDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDEKVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEQGHYSEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYENTSENWVLSLTSLSGAFNGTTRTYLDGMQPEDGRTMKPICLLQILRLGVIIYDWLDIGMLKDYYNFGFDHFNMTWKKMGILGLFHCLLGNTGPFASGDWILPDLTIQGSMQLNCHLQTFPNTYYFNYATKRTTKIMGFTVPSSIFGIHPLLFIRVLQMSQWSFPPDVSPPYKGYRDEDWHENDGALNTISTTHPRIPIEHPSCHITNDSECLPLQSGIWYYKIVEADHIFFIVNRERAGVQFDLIYDSIFERCRKHVFRKTPQNLPNEAHPNQ >Manes.12G067301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6963070:6966680:-1 gene:Manes.12G067301.v8.1 transcript:Manes.12G067301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQTMRVPVGFLAKLCSFLYFLPFFFLLLILGLVKASIICPLVVGIIVIGNSAVIIGLWIAHFIWTYYCVARTKRLGLVLKLVVLLLLPLPLILWPVFGIAASFLGGVGYGFFAPLLATFEAVEENVRNKFYHCFADGCFSTLNGSCTVVRDFVDFCFHSYFSYMDELSEKVPEDENPMDVKLSRLPSCLLVVLIAVPVDMLLITVVALWKSPYMLFQGWKRLLEDLMGREGPFLETVCVPFAGLAILLWPLAVIGSVTGAIISSLFLGLYSAVIVHQEDSLLMGLAYVVAVVSLFDEYVNDLLYLSEGSCLPRPRYRKKMGSETDDGDKGMKNRRENSLEVKLSSERSRTLKWAIHQYKPVHVWDWLFKSCEVNGRILLRDGLVNIKDIEACALKGDCKKLSIKLPAWSILQCLLTSAKSDSCGLIISDGVELTRFNAPRDKLFEWLIGPLLVMKEQIKKLQLDENEEYCLRRLILSSKNENPEDWDGSGFPSNDNVRRAQLQGIIRRRYCDLHDPHTNFPASLYEFGEGAVFRGNPGCCFIPTNWKSIKIQSCRQKLSQGCKRKRQR >Manes.12G067301.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6963070:6966680:-1 gene:Manes.12G067301.v8.1 transcript:Manes.12G067301.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQTMRVPVGFLAKLCSFLYFLPFFFLLLILGLVKASIICPLVVGIIVIGNSAVIIGLWIAHFIWTYYCVARTKRLGLVLKLVVLLLLPLPLILWPVFGIAASFLGGVGYGFFAPLLATFEAVEENVRNKFYHCFADGCFSTLNGSCTVVRDFVDFCFHSYFSYMDELSEKVPEDENPMDVKLSRLPSCLLVVLIAVPVDMLLITVVALWKSPYMLFQGWKRLLEDLMGREGPFLETVCVPFAGLAILLWPLAVIGSVTGAIISSLFLGLYSAVIVHQEDSLLMGLAYVVAVVSLFDEYVNDLLYLSEGSCLPRPRYRKKMGSETDDGDKGMKNRRENSLEVKLSSERSRTLKWAIHQYKPVHVWDWLFKSCEVNGRILLRDGLVNIKDIEACALKGDCKKLSIKLPAC >Manes.12G067301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6963070:6966680:-1 gene:Manes.12G067301.v8.1 transcript:Manes.12G067301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQTMRVPVGFLAKLCSFLYFLPFFFLLLILGLVKASIICPLVVGIIVIGNSAVIIGLWIAHFIWTYYCVARTKRLGLVLKLVVLLLLPLPLILWPVFGIAASFLGGVGYGFFAPLLATFEAVEENVRNKFYHCFADGCFSTLNGSCTVVRDFVDFCFHSYFSYMDELSEKVPEDENPMDVKLSRLPSCLLVVLIAVPVDMLLITVVALWKSPYMLFQGWKRLLEDLMGREGPFLETVCVPFAGLAILLWPLAVIGSVTGAIISSLFLGLYSAVIVHQEDSLLMGLAYVVAVVSLFDEYVNDLLYLSEGSCLPRPRYRKKMGSETDDGDKGMKNRRENSLEVKLSSERSRTLKWAIHQYKPVHVWDWLFKSCEVNGRILLRDGLVNIKDIEACALKGDCKKLSIKLPAWSILQCLLTSAKSDSCGLIISDGVELTRFNAPRDKLFEWLIGPLLVMKEQIKKLQLDENEEYCLRRLILSSKNENPEDWDGSGFPSNDNVRRAQLQGIIRRLDGIVTFMTRIPTFRRRFTNLVKVLYLEAIQAAASSQQIGRALKFNLAGKSSVKDANGKDKDETEAQAWHRALDSGILL >Manes.12G067301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6963070:6966680:-1 gene:Manes.12G067301.v8.1 transcript:Manes.12G067301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQTMRVPVGFLAKLCSFLYFLPFFFLLLILGLVKASIICPLVVGIIVIGNSAVIIGLWIAHFIWTYYCVARTKRLGLVLKLVVLLLLPLPLILWPVFGIAASFLGGVGYGFFAPLLATFEAVEENVRNKFYHCFADGCFSTLNGSCTVVRDFVDFCFHSYFSYMDELSEKVPEDENPMDVKLSRLPSCLLVVLIAVPVDMLLITVVALWKSPYMLFQGWKRLLEDLMGREGPFLETVCVPFAGLAILLWPLAVIGSVTGAIISSLFLGLYSAVIVHQEDSLLMGLAYVVAVVSLFDEYVNDLLYLSEGSCLPRPRYRKKMGSETDDGDKGMKNRRENSLEVKLSSERSRTLKWAIHQYKPVHVWDWLFKSCEVNGRILLRDGLVNIKDIEACALKGDCKKLSIKLPAWSILQCLLTSAKSDSCGLIISDGVELTRFNAPRDKLFEWLIGPLLVMKEQIKKLQLDENEEYCLRRLILSSKNENPEDWDGSGFPSNDNVRRAQLQGIIRRLDGIVTFMTRIPTFRRRFTNLVKAKAQSRMQTEKTKMKLKLKLGTGH >Manes.04G037351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5052724:5054100:1 gene:Manes.04G037351.v8.1 transcript:Manes.04G037351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTIISDRDPIFVSHFWREFFKMSGTQLKMSSAYHPQIDGQSEVVNRCIEQYLRSFVYQQSRKWFSLLPWAEFWYNTTFHISTGMTPFQALYGRLPPTIPLYHEGSSSVHEVDKNLLSRDALLSQLKSNLAAATNRMKQYADSKRRDVQYEVGDWVFLKLHPYRQHSVSVRTYPKLACKFYGPYQIEENIGPVAYKLQLPPGSRIHPVFHVSLLKKKIGEAALSSNEMPPVTNDGELLLEPKSVLDTRWVKKGSKIVEQLLVKWKKLQEEDATWEDSQSFTVRFPTINLEDKVAVRGGGNDRPRRSSRLPKINSKYLD >Manes.08G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4579095:4585790:-1 gene:Manes.08G046300.v8.1 transcript:Manes.08G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAATPSTHMATINPCLSSSNKVFMSSIARFNTESKEVSWTRLKSSSHITSKLPFSRSLTSVPVTYKRVVTRAMSAPNEDKPLPGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEIIVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVFDSPEDVPEDVKTNKRYAGASKWTVQELVGSVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAAISASSYSYVSLLKHFVPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSAEEVGNAAAFLASPLASAITGAVVYVDNGLNAMGVGVDSPIFANLDIPKDN >Manes.17G052700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24934208:24937533:1 gene:Manes.17G052700.v8.1 transcript:Manes.17G052700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHLSLQTFLILLVVGNGQGLRGIRLSSEEPVSARVVDHLDLNSSTPSSNTLNSTKHACSHPYGFLPCASNIPGYIFQIIVLEYLLLVGDKILTKGRQQLFSILGVGIYGATVFRILAVLPTIVLLLASGLAQNKEAAQARIENGVGTLAGSTVFYLTLQWGICVLLGRTKITKESSPLPQQESSKASTPAGCLWVKRRLSILKEYGIETDKKTRHTAGIMLLSLIPFIFVEIASNFKSQPWSLIVTLTVSVAGLVSYFVFQSRHQWIQERSLEYSRDQLLLAGFLDHLHKFAKKTLVNDEGHVDASCINRAFRKIDADQDDYISKAELREFLATMNSGHHLDEKFAIEELMKHFDQDSNHLITKDEFLGGCQRYVQNAKKLVADKTDSSKKYFPDIHRMLKPWINRSKKKLLETEEHLSKVLNTTNNEQLACLFTDGKPDEDKIRSLFVEFDKDGDKKLTLKELKELIVSKFKSEHGDLEEKIMKAFDTDKDRVLQEEEFLDGFKKRLCDGTTTSQFFNECIQKEKSSISKMSKSSLIKAVIRVGVGIAIVSSLGIPLVNNTQLLSERLGIPPFFISFVVLPFAVNFKTAMATIYPASQKKENASSIMFSEIYGAVFMNNILGLLTLVGLIWARGFSWNFSAEVLVVILVSAIIGVMGYWQLTYPFWSCILAFSFYPLSLVFFYVIRVLAGWK >Manes.09G166100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35900992:35909704:1 gene:Manes.09G166100.v8.1 transcript:Manes.09G166100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNVDDEGFGDFKMSPLNSTIHSNPTMVDGRDSPAAAANDDDDWGDFVNSGGLPHALSLPEIWKPLDPLGFATDQKMKRNDSESNQLGSAPGRVNSGIAEWEKPKGALPLSLFGDLEEEEEKESGAAGDPSFGDGGASLFSSKNIDSVNKGSSVIVNELVANLYKQNDPRNGSIADLNGSNSVEKTNKNETCSDLNLSSLNLGVVEPIRNAHPSDSSWDRVNPNANVLNANGKDELKLSSHWSNFDWGLNLNTNVINSNKDGANLVTEGVKSSTKGANSISVADTAKCDNGGDGWEFEDTQAKNPASDEISKTNHIKTSNGPVPNLTEVNSSWNELSSGFNECNSNFNSVNSSINSMNPSLVGENGDGDNDDDDGWEFRGADSKPQVGDDKDGQIKDEPVPTVKFDGTGLSWDMLHSDSSELNTKEVKLDEKHFTASLTNAEEDFGDADGWDFKGAEPELQVKHSKGNKVRTENHKGALPLFLFGDEETEADDPVIHEDFSTQKSTSDQRVGIMKPHLNISINDLISSLYSQAEQSTSVNDEQSLNDNGLNSTKIVIPSNLANANHDIDDDSWEFQDASTGARNEDQTSVLGLEQSHAKYSTKIELNDFVEFFSNLKKELHYIALCHLENLKKTQSAAALSGEDAKVQALDKEIQDLNSELHQYSIFSGVVHSDDCSPGNVCLNIFVEVLQEPKFQAFESECRLTKKLSLAESNFRSANELLKYVDLTLKILTSASREDQFSYISAWSKMLSVCAQELRHGAFIWKQSLQESVHEQILSKPQGKKYVLALGEIYRVVEVLGSSVKLYKPWMLASSTDTMDIFALLSECSSLWSSSGLEEALHSILISPDFEYDESLKALLESIKYIHDLDAFELYNHAFSGQGPICKLSALTIRTVPGMKTVVWNGEKCFLTLANLWTNLVSSDPPNLPCIHVG >Manes.09G166100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35900993:35909704:1 gene:Manes.09G166100.v8.1 transcript:Manes.09G166100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNVDDEGFGDFKMSPLNSTIHSNPTMVDGRDSPAAAANDDDDWGDFVNSGGLPHALSLPEIWKPLDPLGFATDQKMKRNDSESNQLGSAPGRVNSGIAEWEKPKGALPLSLFGDLEEEEEKESGAAGDPSFGDGGASLFSSKNIDSVNKGSSVIVNELVANLYKQNDPRNGSIADLNGSNSVEKTNKNETCSDLNLSSLNLGVVEPIRNAHPSDSSWDRVNPNANVLNANGKDELKLSSHWSNFDWGLNLNTNVINSNKDGANLVTEGVKSSTKGANSISVADTAKCDNGGDGWEFEDTQAKNPASDEISKTNHIKTSNGPVPNLTEVNSSWNELSSGFNECNSNFNSVNSSINSMNPSLVGENGDGDNDDDDGWEFRGADSKPQVGDDKDGQIKDEPVPTVKFDGTGLSWDMLHSDSSELNTKEVKLDEKHFTASLTNAEEDFGDADGWDFKGAEPELQVKHSKDDGRIPENFEFDFGNGVHGQLNFGFDFNSSNENKQNDIENKLHYPQVDAKVGSDENSWTIKDAFSKGGSNDKEETKVAEVSLGVEPLVFDDEVQGNKVRTENHKGALPLFLFGDEETEADDPVIHEDFSTQKSTSDQRVGIMKPHLNISINDLISSLYSQAEQSTSVNDEQSLNDNGLNSTKIVIPSNLANANHDIDDDSWEFQDASTGARNEDQTSVLGLEQSHAKYSTKIELNDFVEFFSNLKKELHYIALCHLENLKKTQSAAALSGEDAKVQALDKEIQDLNSELHQYSIFSGVVHSDDCSPGNVCLNIFVEVLQEPKFQAFESECRLTKKLSLAESNFRSANELLKYVDLTLKILTSASREDQFSYISAWSKMLSVCAQELRHGAFIWKQSLQESVHEQILSKPQGKKYVLALGEIYRVVEVLGSSVKLYKPWMLASSTDTMDIFALLSECSSLWSSSGLEEALHSILISPDFEYDESLKALLESIKYIHDLDAFELYNHAFSGQGPICKLSALTIRTVPGMKTVVWNGEKCFLTLANLWTNLVSSDPPNLPCIHVG >Manes.09G166100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35900993:35910205:1 gene:Manes.09G166100.v8.1 transcript:Manes.09G166100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNVDDEGFGDFKMSPLNSTIHSNPTMVDGRDSPAAAANDDDDWGDFVNSGGLPHALSLPEIWKPLDPLGFATDQKMKRNDSESNQLGSAPGRVNSGIAEWEKPKGALPLSLFGDLEEEEEKESGAAGDPSFGDGGASLFSSKNIDSVNKGSSVIVNELVANLYKQNDPRNGSIADLNGSNSVEKTNKNETCSDLNLSSLNLGVVEPIRNAHPSDSSWDRVNPNANVLNANGKDELKLSSHWSNFDWGLNLNTNVINSNKDGANLVTEGVKSSTKGANSISVADTAKCDNGGDGWEFEDTQAKNPASDEISKTNHIKTSNGPVPNLTEVNSSWNELSSGFNECNSNFNSVNSSINSMNPSLVGENGDGDNDDDDGWEFRGADSKPQVGDDKDGQIKDEPVPTVKFDGTGLSWDMLHSDSSELNTKEVKLDEKHFTASLTNAEEDFGDADGWDFKGAEPELQVKHSKDDGRIPENFEFDFGNGVHGQLNFGFDFNSSNENKQNDIENKLHYPQVDAKVGSDENSWTIKDAFSKGGSNDKEETKVAEVSLGVEPLVFDDEVQGNKVRTENHKGALPLFLFGDEETEADDPVIHEDFSTQKSTSDQRVGIMKPHLNISINDLISSLYSQAEQSTSVNDEQSLNDNGLNSTKIVIPSNLANANHDIDDDSWEFQDASTGARNEDQTSVLGLEQSHAKYSTKIELNDFVEFFSNLKKELHYIALCHLENLKKTQSAAALSGEDAKVQALDKEIQDLNSELHQYSIFSGVVHSDDCSPGNVCLNIFVEVLQEPKFQAFESECRLTKKLSLAESNFRSANELLKYVDLTLKILTSASREDQFSYISAWSKMLSVCAQELRHGAFIWKQSLQESVHEQILSKPQGKKYVLALGEIYRVVEVLGSSVKLYKPWMLASSTDTMDIFALLSECSSLWSSSGLEEALHSILISPDFEYDESLKALLESIKYIHDLDAFELYNHAFSGQGPICKLSALTIRTVPGMKTVVWNGEKCFLTLANLWTNLVSSDPPNLPCIHVG >Manes.05G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24513726:24518354:1 gene:Manes.05G143700.v8.1 transcript:Manes.05G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKAKADSPIDENEIRITSQGRMRSYISYAMSLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQITAIGSTDITDIWEPLEEGLLPLETTRHVSMVTITLSKKELNTSAVGYQPPLPAELVKGSVEFDFEGEGSPNGRGRGRGGRGRGRGRGSGFIAEYEDGGWDRNRGPNRGRGRGRGFSSRGRGRGGNNGPRSDMQQDGGYSYDAPAQSSGRGRGRGYRGRPRGFRSSNGLIQVAA >Manes.16G032700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3992575:3993373:-1 gene:Manes.16G032700.v8.1 transcript:Manes.16G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAIPFRTYIPATATAGSNRRLPPLVVAATGVGNVLVFANGENYVQKLVSENSVIVFGKRGCCMCHVVKKLLLGLGVNPTVFEVDEKEEAAVINELANISGDKDGSDVQFPVVFVGGKLFGGLERVMATHISGELVPILKDAGALWL >Manes.04G131900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33311081:33311776:1 gene:Manes.04G131900.v8.1 transcript:Manes.04G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENTSIYSSSPPTSTSSTTNAAAAPSKSKAQDPEDRTPKRARDSKHPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFHTAEMGARAHDVAALSIKGNAAILNFPELVDLLPRPVSLMPRDIQAAAAKAASMVEFNSLPSSSSSSVISESSSSVSVFEDVSESEELSEIVELPNIEGSFDLAEPQTEFILFDSVDGWVYPAEMMSGEFSDQLLELENPVSNNFGASVWN >Manes.14G003700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1524771:1528776:1 gene:Manes.14G003700.v8.1 transcript:Manes.14G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASTITTLTARRSKWQYPPPPPTPRILHLPRRPRRKQPAKPSATKSCSKRDRKDKLETLFDQERVFTRVVMPVLTVSGGGDDQCEEERRERVEERESVVMEEEKWKFQAEMLRAECNLLRMERDIAVKKMERRRVQVERALRSAVQTLLSGRERMCSGQNANMVLEEEIIELADKLEKLQRRSRNREVDVQKCCNFDKQASLLQRRLQKFAGESDEICVKEIQEMAEASLSSNTTSGNKENFVSNSSSNMEALRRKVEGLSRGSPLESMEVEYRTMLSTANSSASGSSYAATSKRIELSEISSSSMRQTYKERACSGCCKAIVQRVVEQVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDSDQQIQLLHSAVKERRQRALSSDAKANELQAQVSTLRAELEKLRKEKTRETNRNKNPAANSHESPNEMEKRVLVCRLKENRQANDDCCKQQESLDNGRKKTNTRGSGLNALKRSPFREIGNSSSLATQNSKGVLPLPCPLASNVQRDS >Manes.01G188350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36500475:36504263:-1 gene:Manes.01G188350.v8.1 transcript:Manes.01G188350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRRRAHDPNQQVDNVPKPLSAGVDGIQLSHHKKNSMSVQRNTSSNTLKVVTNQCKNEASHHTLQKKKATLSASSLHRERQSKTEKDGYSVSPKDWSVSIVNKKDEVFGPYKLNHFSYCALKSATQKFSDKNLIGQGGFGDVYKGYINFRTKDAAKPNDRGLAVAVKRLRSNRTQGHNEWENEVKFLSRLNHPNIVKLIGCCCEDKHRMLVYEYMIRGSLEAHLLRVFFLFQTENGTELHWRRRISIALGVARGLEYLHTRRKPVIHRDLKASNVLLGKDFNAKLSDFGLSKFGPQDGETSLITRVVGTRGYFAPEYFATGHLTLKTDVFSFGVVLLEIFSGCEAIKKHSDGVARDLAQWTKPHLSNKVELHRVVDKKLGSIPMEEALDFAKVILRCLSSNPRTRPTMAEVVADLEKLQRRMDSYNSNQLHYLRTRR >Manes.01G188350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36500475:36504263:-1 gene:Manes.01G188350.v8.1 transcript:Manes.01G188350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRRRAHDPNQQVDNVPKPLSAGVDGIQLSHHKKNSMSVQRNTSSNTLKVVTNQCKNEASHHTLQKKKATLSASSLHRERQSKTEKDGYSVSPKDWSVSIVNKKDEVFGPYKLNHFSYCALKSATQKFSDKNLIGQGGFGDVYKGYINFRTKDAAKPNDRGLAVAVKRLRSNRTQGHNEWENEVKFLSRLNHPNIVKLIGCCCEDKHRMLVYEYMIRGSLEAHLLRENGTELHWRRRISIALGVARGLEYLHTRRKPVIHRDLKASNVLLGKDFNAKLSDFGLSKFGPQDGETSLITRVVGTRGYFAPEYFATGHLTLKTDVFSFGVVLLEIFSGCEAIKKHSDGVARDLAQWTKPHLSNKVELHRVVDKKLGSIPMEEALDFAKVILRCLSSNPRTRPTMAEVVADLEKLQRRMDSYNSNQLHYLRTRR >Manes.01G188350.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36500475:36504263:-1 gene:Manes.01G188350.v8.1 transcript:Manes.01G188350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRRRAHDPNQQVDNVPKPLSAGVDGIQLSHHKKNSMSVQRNTSSNTLKVVTNQCKNEASHHTLQKKKATLSASSLHRERQSKTEKDGYSVSPKDWSVSIVNKKDEVFGPYKLNHFSYCALKSATQKFSDKNLIGQGGFGDVYKGYINFRTKDAAKPNDRGLAVAVKRLRSNRTQGHNEWENEVKFLSRLNHPNIVKLIGCCCEDKHRMLVYEYMIRGSLEAHLLRENGTELHWRRRISIALGVARGLEYLHTRRKPVIHRDLKASNVLLGKDFNAKLSDFGLSKFGPQDGETSLITRVVGTRGYFAPEYFATGHLTLKTDVFSFGVVLLEIFSGCEAIKKHSDGVARDLAQWTKPHLSNKVELHRVVDKKLGSIPMEEALDFAKVILRCLSSNPRTRPTMAEVVADLEKLQRRMDSYNSNQLHYLRTRR >Manes.01G188350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36500475:36504263:-1 gene:Manes.01G188350.v8.1 transcript:Manes.01G188350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRRRAHDPNQQVDNVPKPLSAGVDGIQLSHHKKNSMSVQRNTSSNTLKVVTNQCKNEASHHTLQKKKATLSASSLHRERQSKTEKDGYSVSPKDWSVSIVNKKDEVFGPYKLNHFSYCALKSATQKFSDKNLIGQGGFGDVYKGYINFRTKDAAKPNDRGLAVAVKRLRSNRTQGHNEWENEVKFLSRLNHPNIVKLIGCCCEDKHRMLVYEYMIRGSLEAHLLRVFFLFQTENGTELHWRRRISIALGVARGLEYLHTRRKPVIHRDLKASNVLLGKDFNAKLSDFGLSKFGPQDGETSLITRVVGTRGYFAPEYFATGHLTLKTDVFSFGVVLLEIFSGCEAIKKHSDGVARDLAQWTKPHLSNKVELHRVVDKKLGSIPMEEALDFAKVILRCLSSNPRTRPTMAEVVADLEKLQRRMDSYNSNQLHYLRTRR >Manes.02G224000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:37301916:37302107:1 gene:Manes.02G224000.v8.1 transcript:Manes.02G224000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGGRMCMLCTCIILVVILIGMLFGFGVFKNGFHKLKDTVHLDVDPNSRPFFGFHLAPPPAF >Manes.08G022501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2281577:2288194:-1 gene:Manes.08G022501.v8.1 transcript:Manes.08G022501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNSTTAAKPRVVICIGDIHGYLAKLQNLWSNLQSVINPEEFSSALVIFLGDYCDRGPDTKKVIDFLLNLPSAYPNQKHVFLSGNHDLAFAAFLGVLPEPGNGASFKEGWKEYEVNEEREGWYKGAGYENMYLQGRRWAGTIKVRFNATKGTEYKGSIYDAGPTFESYGVPHGSADLMKVVPDEHKRFLADLVWVHEEENVCIESEEGIKHCKLIAVHAGLEKAKNVEEQLKFLKAKDTRVPKVEALSGRKNVWDIPKVMLHLPCVKHPSTLRQIWN >Manes.08G022501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2281576:2288195:-1 gene:Manes.08G022501.v8.1 transcript:Manes.08G022501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNSTTAAKPRVVICIGDIHGYLAKLQNLWSNLQSVINPEEFSSALVIFLGDYCDRGPDTKKVIDFLLNLPSAYPNQKHVFLSGNHDLAFAAFLGVLPEPGNGASFKEGWKEYEVNEEREGWYKGAGYENMYLQGRRWAGTIKVRFNATKGTEYKGSIYDAGPTFESYGVPHGSADLMKVVPDEHKRFLADLVWVHEEENVCIESEEGIKHCKLIAVHAGLEKAKNVEEQLKFLKAKDTRVPKVEALSGRKNVWDIPKELTEKPTIVVSGHHGKLHIEGLRLIIDEGGGLENNPVAAIVLPSMKLVRDTDDLTK >Manes.05G072700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5997028:6004848:-1 gene:Manes.05G072700.v8.1 transcript:Manes.05G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWGGISCCLSAAALYLLGRSSGRDAEVLKSVTRVNQLKELAKLLDIESNISPSVVSISGRVGSETPINCEYSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTDRVYVVGARGASGFVLTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGTSLTVVGEAVKDDIGTVRVQRPHKGPFYVSPRTIDELIGNLGKWARWYRYASVSLTVFGAFLIAKHAIQYIMERRRRWELQSRVLAAAAAKRQGQDSEGSNGKAENGSDNSKRERPVPDLCVICLEQEYNAVFLPCGHMCCCTTCSSHLTNCPLCRRRIEQVVKTFRH >Manes.07G087800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27456434:27463806:1 gene:Manes.07G087800.v8.1 transcript:Manes.07G087800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVVEDLGAPVSWEVADLDEAMSRFMLRDPKKDSKQPQELSHDDSFGSGSASGYESLDSSTGSGEKVSDDIINQVDQFLREALQNPRERLSILRMEQDVEKFIRDPTQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLPDASGSRIIVRKTSECRLPLIRLADIPVTLPSEDSGALKVAIKQRPQKRPQSMNNSNSNSMKTNSSKSVEERKEEYNRARERIFNSSGLSSGTSGKAEGELRLQDGSQHGLLGVLKTEEKSVSRNSDINSGRGSLESSTNSSRSARTRTEKEPISRYKPNNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFAGGPYTIQPMYTPALNYNTEFPQLGSSCRPQISTEHQTRPLPQHLPGPWTAPSTPAGIGYGHPETMMSPFNPNHVGAHSPSALYLHSSQYPCQRPGMPFIHPHEHVQPFAQSHQQQPDTSFGLARPR >Manes.07G087800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27456434:27463805:1 gene:Manes.07G087800.v8.1 transcript:Manes.07G087800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVVEDLGAPVSWEVADLDEAMSRFMLRDPKKDSKQPQELSHDDSFGSGSASGYESLDSSTGSGEKVSDDIINQVDQFLREALQNPRERLSILRMEQDVEKFIRDPTQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLPDASGSRIIVRKTSECRLPLIRLADIPVTLPSEDSGALKVAIKQRPQKRPQSMNNSNSNSMKTNSSKSVEERKEEYNRARERIFNSSGLSSGTSGKAEGELRLQDGSQHGLLGVLKTEEKSVSRNSDINSGRGSLESSTNSSRSARTRTEKEPISRYKPNNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFAGGPYTIQPMYTPALNYNTEFPQLGSSCRPQISTEHQTRPLPQHLPGPWTAPSTPAGIGYGHPETMMSPFNPNHVGAHSPSALYLHSSQYPCQRPGMPFIHPHEHVQPFAQSHQQQPDTSFGLARPR >Manes.03G139872.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26752553:26755516:-1 gene:Manes.03G139872.v8.1 transcript:Manes.03G139872.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSIATTLIPPLATSPSFATKPATPTKLFSSSSLTLLSNSVNGAFSSLKLSPSRVFAAPETLDSDDTLDPPPETLDEPETETFQVGDSATPTSPSLGIGADADKMAPKQKIRIKLRSYWVPLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Manes.08G126500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36628130:36640550:-1 gene:Manes.08G126500.v8.1 transcript:Manes.08G126500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNNSNSVRARKEKRLTYVLSDADDTKHCAGINCLAVLKSPVSDGSDHLFTGSRDGTLKRWALTEDSASHSATFESHVDWVNDAVLVGGSTLVSCSSDTTLKTWNCLSDGVCTRTLRQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDLEAALAPVSKSNDTMEEDCSNGVNGSGNQLPMTSLRTISSSNNISAHTTQSHGYVPIAAKGHKESVYALAMNDSGTILVSGGTEKVVRVWDSRTGSKTMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFGHVYSGGRDLSLYLTDLATRESLLLCTKEHPILQLALHDDSIWVATTDSSVHRWPAEGRNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPVPVYKEPTLSIPGTSAIVQHEILNNRRHVLTKDTTGSVKLWEITRGVVVEDYGKVSFEEKKEQLFEMVSIPGWFTVDTRLGSLSIHLDTPQCFSAEMYSADLNIAGKPEDDKVNLARETLKGLLAHWLAKRRQRHGSQSSANGDVLSGKDITPRSLARSRIEVDGGAENDSMVYPPFEFSINSPPSIITEGSQGGPWRKKVTDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDNPDVTFAPGLGGGQLQHSTVGDGSYRPGLKPWQKLRPSIEILCNNQVLSPDMSLATVRAYIWKKPEDLVLNYRVVQGR >Manes.05G196400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32058986:32061038:-1 gene:Manes.05G196400.v8.1 transcript:Manes.05G196400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Manes.05G196400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32056239:32061134:-1 gene:Manes.05G196400.v8.1 transcript:Manes.05G196400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANKRAKCMEEGRYYTGDKESKRHNSGKIHRKRHHRPHKCSIL >Manes.S025816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:374046:374204:1 gene:Manes.S025816.v8.1 transcript:Manes.S025816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.11G099000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21081402:21082490:-1 gene:Manes.11G099000.v8.1 transcript:Manes.11G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTFFFSLSLLFFGLYWFLCLLGSAEQTGKNAAQLSGGSISAEKVQDKYRQYWSFFHSPKQIETIDKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHRDATRLHEEFAVDLLKVKPGDRVLDAGCGVGGPMRSIASHSGANVVGITINEYQVNRARSHNKKAGLDKLCEVVCGNFLQMPFDDNSFDGAYSIEATCHAPRLEDVYAEIYRVLKPGSLYVSYEWVTTDLYKSEDPTHVEIIQGIERGDALPGLRSYYDIAKIAKKVGFQVLREKDLAKPPSRPWWSRLKMGRIAYWRNHILVTVLAWLGIAPKGVVDVHEMLYHTANYLTKGGETGIFTPMHMILCKKPESTTGHES >Manes.15G176900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16449068:16452612:-1 gene:Manes.15G176900.v8.1 transcript:Manes.15G176900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFCLVFCISILVAFGEVINGYQEEEKIGVYELKQGDISLKLTNYGARIISLFLPDKNGKLDDVVLGYDSAQDYKNDTSYFGATVGRVANRIAGAQFTLNGTQYKLVPNEGKNMLHGGPKGFSKVVWKVKKYKPDSRSPHIIFIYHSLDGDQGFPGNLRVAVGYTLIGNKLSITMKAKAKNKATPVNLAQHAYWNLAGQNSGDILSQELQLFASHYTPVDNQLIPTGKIVSVKGTPYDFLKPYTIGSRIKQLANGYDINYAIDDSEKKKKTMMKKVAIVKDKKSGRAFELFSNQPGVQFYTSNSLTQKGKGGFVYKPHAALCLETQGFPDAVNHPNFPSQIVNPGESYNHYMLFKFSVY >Manes.05G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:299025:306757:-1 gene:Manes.05G003100.v8.1 transcript:Manes.05G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCM7 MKDFDFNADKVLAKDFLSNFADANGEAKYINILQDVANHKSRAVQIDLEDLLNYKDLDEEFFRRVTENTRRYIGIFASAIDDVMPEPTEPFPDDDHDILMTQRTEDATENTDDSDPQQKMPAEIKRYYEVYIRAPSKGRPFTIREVKASYIGQLVRISGIVTRCSDVKPLMQVAVYTCEDCGFEIYQEVTARVFMPLFECPSKRCKTNKANGNLILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTVHFRGELTRKVAPGDVVELSGIFLPIPYTGFRALRAGLVADTYLEAMSVTHFKKKYEEYELRGDEEEQIARLAEDGDIYNKLARSLAPEIYGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHLCLMGDPGVAKSQLLKHIINVAPRAVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLEMARHVVYVHQNKESPALGFTPLEPSILRAYISAARRLSPYVPKELEEYIATAYSSIRQEEAKSNAPHSYTTVRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQRSGLDAISDIYSILRDEAARTNTLDVSYAHALNWISRKGYSEAQLKECLEEYAALNVWQIHPHTFDIRFIDA >Manes.02G032611.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2681865:2682032:-1 gene:Manes.02G032611.v8.1 transcript:Manes.02G032611.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFIINLNASLPASQKFIIHVIDSTHLFVQPHVSEMIRSAIADFRDQNSYEKPN >Manes.02G032611.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2681865:2682207:-1 gene:Manes.02G032611.v8.1 transcript:Manes.02G032611.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNATKGLFISCDIPMAQFIINLNASLPASQKFIIHVIDSTHLFVQPHVSEMIRSAIADFRDQNSYEKPN >Manes.12G039800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3500475:3502866:1 gene:Manes.12G039800.v8.1 transcript:Manes.12G039800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFRLADHEDFFSRRCIWVNGPVIVGAGPSGLATAACLREQGVPFVVLDREECIASLWQKRTYDRLKLHLPKQFCQLPKLPFPEDFPEYPTKKQFIEYLESYAKQFEINPRFNECVQSARYDETSGLWRVKTTSGSARTEFEYICRWLVVATGENAECVMPDIEGLNEFRGDVIHACSYKSGEKFRGKRVLVVGCGNSGMEVSLDLSNHNASPSMVVRSSVHVLPREVLGKSTFELAVLLMQWLPLWLVDKILLILAWIFLGSLEKYGLKRPSMGPLELKNTMGKTPVLDVGALKKIRSGDIKVVPGIKRFSRGQVELVNGETLDIDSVVLATGYRSNVPSWLQEGEFFSKNGFPKAPFPNGWKGNAGLYAVGFNRRGLSGASSDAMRIAQDIGKVWKDETKHQKKRTTACHRRCISQF >Manes.16G081200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28751580:28754708:-1 gene:Manes.16G081200.v8.1 transcript:Manes.16G081200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKKYVSQAELQKHNRPEDLWISIQGKVYNVTDWSKVHPGGDLPLLQLAGQDATDAFVAYHPGTVWKYLDKFFTGYYLEDYSVSEVSKDYRKLVAEFSKMGLFEKKGHITFISLCFMAMLLVLIFYGIFCSNSTWVHLLCAAFTGCLWIQSGWLGHDSGHYQIMTSRGFNRAVQIITGNCVAGISIGWWKRNHNAHHIACNSLDFDPDLQHMPFFAVSSKFFSSLTSYFYERKMNFDSVTRFLVSYQHWTFYPVMCVARINLFAQSILLLLSKRKVPNRVQEIVGVLVFWIWYPLLLSCLPNWGERVMFVLASFTVTGIQHVQFCLNHFSSNVYVGSPNGNDWFEKQTKGTLNINCSSWMDWFHGGLQFQIEHHLFPRLPRCHLRKVSPFVRELCKKHNLPYHSASFWNANVMTIRTLRAAALQARDFANPVPKNLVWEAVNTHG >Manes.03G018200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1532470:1534838:-1 gene:Manes.03G018200.v8.1 transcript:Manes.03G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNLKSPPFVPSSSSRKLPDFKQSVKLKYVKLGYHYLITHGMYLCLSPLLAIVAAQLSTLSGQNLVDVWDQLKFNFISVILCSTLLVFLSTVYFLTRPRPVYLVDFSCYKPDDLRKCTRQIFMERSQLTGTFTEQSLEFQRKILERSGLGESTYLPEAVLNVPPNPCLAEARKEAEIVMFGAVDQLFEKTSLRPKDIGILIVNCSLFNPTPSLSAMIINHYRLRGNVISYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVISMENITLNWYFGNDRSMLLSNCLFRMGGAAILLSNKRSEGWRSKYRLVHTVRTHKGSDDKCFSCVTQREDSTGRIGVSLSKDLMGVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFNMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRMKRGDRMWQIAFGSGFKCNSAVWKALRTINPDKEKNPWMDEIDQFPVDVPKVSSI >Manes.15G113200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9001711:9003063:-1 gene:Manes.15G113200.v8.1 transcript:Manes.15G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIIQTFHKACTSNHGLPLSHTDSSSSSQDQGLRRRLSSLSLKIHPITSPATSWSFPRSKSMSSMGQYAGSSIRKLWDWGWSWILSRKPVFAKDLEMNEEETRILGCHNKGSWRHVFYKVRSEIRKIVRSNDKVGLPQTYRYDSFSYSKNFDDGIKISQ >Manes.14G137056.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11958704:11961044:1 gene:Manes.14G137056.v8.1 transcript:Manes.14G137056.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNRLKGPFDLKELNTMNNLEELDLGGNEIIKFVDSKGTRSLRNLRTLYLDRIITIKGSSTLLESLGALAHLEILDLSGSNFEGATLSLGASTNLNILHMRGSDLKGTRFAQDSNFTNLKELYLDSSSVDENFHQSLETLPSLEILSMQYCGLSGILPVNLGICKLKYLQRLDISYNDISGNLPLCLANLTSLRQLDLTFNHFIGNISSSPLEGLTNLEYLSISDNFFQIPISLSPFFNHSKLEYMKSRDNKIFAETDSRYLNSRFQLERLVLSSGGYCGAFPKFLYFQHNLQIVDLSHNQMREGFPSWLL >Manes.08G110200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34912806:34918842:-1 gene:Manes.08G110200.v8.1 transcript:Manes.08G110200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSINNPLPRSKNSRTESKILSMVEETKTYRYNESRAKGVDKKGHPQKFQLSGRTVHNPNTTAYVQVLGTGMDTHDTLPSILLFFDKQRFIFNAGEGLQRFCAEHKIKLSKIDHICLSRVCSETAGGLPGLLLTLAGMGNGLSVNIWGPPSLELLINAMKSFIPHGSVVNAKEIGLTTCSSSAALLDTSNSAEPFVVVENELVKISAILLLPSSLEGAGKKPSDISVIYVCELHEILGKFDKEKADALGLKERKKYGLLQKGECVKSDCLDIMVHPSDVMDPPIPGPVVFIVDCPTNSHAKELLSIQTLNGYYPDFVGNSPKSSKAVNCIIHLTPPPVINSPNYEKWMKKFPTVQHIMAGHSMKHVEIPILKSSTRMAARLNYLCPQFFPVPAVGSFQQHNDAAQGSITSSEVLISQLCESTSAENLMKFTLRPHNHLGLDKSNVPSLMAPSEVIDELLSENPEIVDAAQLVSQFWSEPGEMEDTSITDDTTISEKPLLDGNTVPSFLQNIRRDDLEIVLLGTGSSQPSKYRNVSSIYINLFSKGSLLLDCGEGTLAQLKRRYGMEGAENAVRNLRCIWISHIHADHHAGIARILALRRDLLKGVPHERLLVIGPMQLELFLDAYQRLEDLDMQFLDCRSTMDTSWNALECDAESKSESCLQGCSKRMKLSMPVENDSLLRSLRNVLWGAGLEGLISFPVVHCPEAFGVVLKAAERTNAVGEIIQGWKIVYSGDTRPCSEVIEASHGATVLIHEATFEDCMVDEAVEKNHSTTKEAIEVGDSAGAYRVILTHFSQRYPKIPALDEISMKKTCIAFDLMSVNIADLPMLPKILPYLKLLFRTDIAS >Manes.08G110200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34912806:34918842:-1 gene:Manes.08G110200.v8.1 transcript:Manes.08G110200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETKTYRYNESRAKGVDKKGHPQKFQLSGRTVHNPNTTAYVQVLGTGMDTHDTLPSILLFFDKQRFIFNAGEGLQRFCAEHKIKLSKIDHICLSRVCSETAGGLPGLLLTLAGMGNGLSVNIWGPPSLELLINAMKSFIPHGSVVNAKEIGLTTCSSSAALLDTSNSAEPFVVVENELVKISAILLLPSSLEGAGKKPSDISVIYVCELHEILGKFDKEKADALGLKERKKYGLLQKGECVKSDCLDIMVHPSDVMDPPIPGPVVFIVDCPTNSHAKELLSIQTLNGYYPDFVGNSPKSSKAVNCIIHLTPPPVINSPNYEKWMKKFPTVQHIMAGHSMKHVEIPILKSSTRMAARLNYLCPQFFPVPAVGSFQQHNDAAQGSITSSEVLISQLCESTSAENLMKFTLRPHNHLGLDKSNVPSLMAPSEVIDELLSENPEIVDAAQLVSQFWSEPGEMEDTSITDDTTISEKPLLDGNTVPSFLQNIRRDDLEIVLLGTGSSQPSKYRNVSSIYINLFSKGSLLLDCGEGTLAQLKRRYGMEGAENAVRNLRCIWISHIHADHHAGIARILALRRDLLKGVPHERLLVIGPMQLELFLDAYQRLEDLDMQFLDCRSTMDTSWNALECDAESKSESCLQGCSKRMKLSMPVENDSLLRSLRNVLWGAGLEGLISFPVVHCPEAFGVVLKAAERTNAVGEIIQGWKIVYSGDTRPCSEVIEASHGATVLIHEATFEDCMVDEAVEKNHSTTKEAIEVGDSAGAYRVILTHFSQRYPKIPALDEISMKKTCIAFDLMSVNIADLPMLPKILPYLKLLFRTDIAS >Manes.08G110200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34912782:34918842:-1 gene:Manes.08G110200.v8.1 transcript:Manes.08G110200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETKTYRYNESRAKGVDKKGHPQKFQLSGRTVHNPNTTAYVQVLGTGMDTHDTLPSILLFFDKQRFIFNAGEGLQRFCAEHKIKLSKIDHICLSRVCSETAGGLPGLLLTLAGMGNGLSVNIWGPPSLELLINAMKSFIPHGSVVNAKEIGLTTCSSSAALLDTSNSAEPFVVVENELVKISAILLLPSSLEGAGKKPSDISVIYVCELHEILGKFDKEKADALGLKERKKYGLLQKGECVKSDCLDIMVHPSDVMDPPIPGPVVFIVDCPTNSHAKELLSIQTLNGYYPDFVGNSPKSSKAVNCIIHLTPPPVINSPNYEKWMKKFPTVQHIMAGHSMKHVEIPILKSSTRMAARLNYLCPQFFPVPAVGSFQQHNDAAQGSITSSEVLISQLCESTSAENLMKFTLRPHNHLGLDKSNVPSLMAPSEVIDELLSENPEIVDAAQLVSQFWSEPGEMEDTSITDDTTISEKPLLDGNTVPSFLQNIRRDDLEIVLLGTGSSQPSKYRNVSSIYINLFSKGSLLLDCGEGTLAQLKRRYGMEGAENAVRNLRCIWISHIHADHHAGIARILALRRDLLKGVPHERLLVIGPMQLELFLDAYQRLEDLDMQFLDCRSTMDTSWNALECDAESKSESCLQGCSKRMKLSMPVENDSLLRSLRNVLWGAGLEGLISFPVVHCPEAFGVVLKAAERTNAVGEIIQGWKIVYSGDTRPCSEVIEASHGATVLIHEATFEDCMVDEAVEKNHSTTKEAIEVGDSAGAYRVILTHFSQRYPKIPALDEISMKKTCIAFDLMSVNIADLPMLPKILPYLKLLFRTDIAS >Manes.08G110200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34912806:34918887:-1 gene:Manes.08G110200.v8.1 transcript:Manes.08G110200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETKTYRYNESRAKGVDKKGHPQKFQLSGRTVHNPNTTAYVQVLGTGMDTHDTLPSILLFFDKQRFIFNAGEGLQRFCAEHKIKLSKIDHICLSRVCSETAGGLPGLLLTLAGMGNGLSVNIWGPPSLELLINAMKSFIPHGSVVNAKEIGLTTCSSSAALLDTSNSAEPFVVVENELVKISAILLLPSSLEGAGKKPSDISVIYVCELHEILGKFDKEKADALGLKERKKYGLLQKGECVKSDCLDIMVHPSDVMDPPIPGPVVFIVDCPTNSHAKELLSIQTLNGYYPDFVGNSPKSSKAVNCIIHLTPPPVINSPNYEKWMKKFPTVQHIMAGHSMKHVEIPILKSSTRMAARLNYLCPQFFPVPAVGSFQQHNDAAQGSITSSEVLISQLCESTSAENLMKFTLRPHNHLGLDKSNVPSLMAPSEVIDELLSENPEIVDAAQLVSQFWSEPGEMEDTSITDDTTISEKPLLDGNTVPSFLQNIRRDDLEIVLLGTGSSQPSKYRNVSSIYINLFSKGSLLLDCGEGTLAQLKRRYGMEGAENAVRNLRCIWISHIHADHHAGIARILALRRDLLKGVPHERLLVIGPMQLELFLDAYQRLEDLDMQFLDCRSTMDTSWNALECDAESKSESCLQGCSKRMKLSMPVENDSLLRSLRNVLWGAGLEGLISFPVVHCPEAFGVVLKAAERTNAVGEIIQGWKIVYSGDTRPCSEVIEASHGATVLIHEATFEDCMVDEAVEKNHSTTKEAIEVGDSAGAYRVILTHFSQRYPKIPALDEISMKKTCIAFDLMSVNIADLPMLPKILPYLKLLFRTDIAS >Manes.08G110200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34912752:34918865:-1 gene:Manes.08G110200.v8.1 transcript:Manes.08G110200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETKTYRYNESRAKGVDKKGHPQKFQLSGRTVHNPNTTAYVQVLGTGMDTHDTLPSILLFFDKQRFIFNAGEGLQRFCAEHKIKLSKIDHICLSRVCSETAGGLPGLLLTLAGMGNGLSVNIWGPPSLELLINAMKSFIPHGSVVNAKEIGLTTCSSSAALLDTSNSAEPFVVVENELVKISAILLLPSSLEGAGKKPSDISVIYVCELHEILGKFDKEKADALGLKERKKYGLLQKGECVKSDCLDIMVHPSDVMDPPIPGPVVFIVDCPTNSHAKELLSIQTLNGYYPDFVGNSPKSSKAVNCIIHLTPPPVINSPNYEKWMKKFPTVQHIMAGHSMKHVEIPILKSSTRMAARLNYLCPQFFPVPAVGSFQQHNDAAQGSITSSEVLISQLCESTSAENLMKFTLRPHNHLGLDKSNVPSLMAPSEVIDELLSENPEIVDAAQLVSQFWSEPGEMEDTSITDDTTISEKPLLDGNTVPSFLQNIRRDDLEIVLLGTGSSQPSKYRNVSSIYINLFSKGSLLLDCGEGTLAQLKRRYGMEGAENAVRNLRCIWISHIHADHHAGIARILALRRDLLKGVPHERLLVIGPMQLELFLDAYQRLEDLDMQFLDCRSTMDTSWNALECDAESKSESCLQGCSKRMKLSMPVENDSLLRSLRNVLWGAGLEGLISFPVVHCPEAFGVVLKAAERTNAVGEIIQGWKIVYSGDTRPCSEVIEASHGATVLIHEATFEDCMVDEAVEKNHSTTKEAIEVGDSAGAYRVILTHFSQRYPKIPALDEISMKKTCIAFDLMSVNIADLPMLPKILPYLKLLFRTDIAS >Manes.08G110200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34912752:34918894:-1 gene:Manes.08G110200.v8.1 transcript:Manes.08G110200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETKTYRYNESRAKGVDKKGHPQKFQLSGRTVHNPNTTAYVQVLGTGMDTHDTLPSILLFFDKQRFIFNAGEGLQRFCAEHKIKLSKIDHICLSRVCSETAGGLPGLLLTLAGMGNGLSVNIWGPPSLELLINAMKSFIPHGSVVNAKEIGLTTCSSSAALLDTSNSAEPFVVVENELVKISAILLLPSSLEGAGKKPSDISVIYVCELHEILGKFDKEKADALGLKERKKYGLLQKGECVKSDCLDIMVHPSDVMDPPIPGPVVFIVDCPTNSHAKELLSIQTLNGYYPDFVGNSPKSSKAVNCIIHLTPPPVINSPNYEKWMKKFPTVQHIMAGHSMKHVEIPILKSSTRMAARLNYLCPQFFPVPAVGSFQQHNDAAQGSITSSEVLISQLCESTSAENLMKFTLRPHNHLGLDKSNVPSLMAPSEVIDELLSENPEIVDAAQLVSQFWSEPGEMEDTSITDDTTISEKPLLDGNTVPSFLQNIRRDDLEIVLLGTGSSQPSKYRNVSSIYINLFSKGSLLLDCGEGTLAQLKRRYGMEGAENAVRNLRCIWISHIHADHHAGIARILALRRDLLKGVPHERLLVIGPMQLELFLDAYQRLEDLDMQFLDCRSTMDTSWNALECDAESKSESCLQGCSKRMKLSMPVENDSLLRSLRNVLWGAGLEGLISFPVVHCPEAFGVVLKAAERTNAVGEIIQGWKIVYSGDTRPCSEVIEASHGATVLIHEATFEDCMVDEAVEKNHSTTKEAIEVGDSAGAYRVILTHFSQRYPKIPALDEISMKKTCIAFDLMSVNIADLPMLPKILPYLKLLFRTDIAS >Manes.16G052800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15169379:15222935:-1 gene:Manes.16G052800.v8.1 transcript:Manes.16G052800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFISDDELARHSNDVGYVAAKADDFIRGLQAELETVRAAADAAAITAEQTCSLLEQKFLSLSSEFSKLESQNAQLQSSLDARLSELAEVQAQKHQLHLQSIGKDGEIERLTMEVSEVHKSKRQLIELMEQKNSEISEKNTTISSYLDRIVNLTDNVAQKEARVSEIEAELARSVANCARLSQEKELIERHNTWLNEELTAKVDSLIELRRTHADFDKEMSAKLVDAERQFNDCSSSLKWNKERVKELELKLTSLQEELCSSKDAAAANEKRFSAEISTINKLVELYKESSEEWSRKAGELEGVIKALETHLSQVENDYKERLEREVSERNQLEKEAADLKNKLEKCESEVESNRKANELNLLPFGGLTVERWKDPYDSSNIVDDNNTLVPRIPVGVSGTALAASLLRDGWSLAKMYAKYQEAVDALRHEQLGRKESEAILQRVLCELEEKAGIILDERAEYDRMAESYSVINQKLQHSISEQANLEKTIQELKADVRRHERENNLAQKEIIDLQKQVTILLKECRDIQLRCGSIGHDEVDGSNAIVAVGMDVESDTEKVISERLLTFNDINGLVEQNVRLRSLVRDLSDQIENKEMEFKEKLEMELKKHTDEAACKVAAVLQRAEEQGRMIESLHTSVAMYKRLYEEEHKLHSSYSRSSDAPPVADDGRKDLLLLLEGSQESAKVAQEKASERLRSLEEELTKSRSEIISLRSERDKMELDAKFTKERLDSYLKKHEQQENELISIKARNAEFTQLVVDFQRKLYESSEALHASEDLSRKLNMEVSILKHEKEMLSNAEKRACDEVRSLSERVYRLQASLDTIHSAQEVREEARAAERRKQEEHIRQIEREWAEAKKELEQERNNVRALTSDREETLKSAMRQVEEMGKELANALRAVSAAETRAAVAEAKLSDVEKKIKPSSVKVSNNDDSGISSSISTTEVVTDLLMAKEEIEKLKVEAQANKEHMLQYKSIAQVNEAALKQMEAAHENFKLESEKLKESLEAELRSLRERMSELDNELKLKSEEVASAASGKEEAIASTLTEITCLKEENLSKISQIMALETQVSALKEDLEKEHQRWRSAQANYERQVVLQSETIQELTKASQALALLQQEVSDLRKLTDAQKTENNELKAKWKAEKSLLEELKEAAEKKSNELNEQNKILHTRLEALHIQLAEKDRNYVGVSSGSTVPDSEGDSAMQNVINYLRRSKEIAETEISLLKQEKLRLQSQLENALKAAENAQAELHAERANSRALLLSEEEIKSLQLQVREMNLLRESNVQLREENKHNFEECQKLREVVQKARSQSDNLESLLREKQIEIEACKKEIEMERMQKDHLEKRVSELLERCRNIDVEDYDRMKDDLQQMQEKMKERESEIEGINSLLSKQQETMSKLEQDLGKSELELSQKEQKINDVLQTEASLKSELEKQRKLTIQWKKKFESSLKEKEDFSKEKQALSKQIEELKQGKRSIGNVSGEQVMKEKEEKEHRIQILEKTLERQREELRKEKEDHRLEKAKRLTREKAIMDKVKNVEQEKTEFTNKLEKHKEALRRLSDELEKIKHAETNLPEGTSVVQILSGTILDDISATYVLAVENFERTATSVAIELGAGPAPAETSTPDAPPTNFAGQSAISSSVAPITSHVASKAAEEKERRVPIPKTNIETRKTGRKLVRPRLVKPDEPQGDVDMPDVDGSNTQAKVAPSHEPETQRNLNLPSQPTARKRLASSASELSEQPLNQGETSSDTGVPAVKKPKGSNFSLESTEGQTVTPTESRVIPPAVEEASIAVAVADVTNEEGGAEKDEGETIGEKNEVPKDSEQLDDPIESQNEKNNVGEETLENPSGIGGEFDGNSKDQAVEENQQSVLEFESEKEEGELVPDIAEAEEGTDTSNAVGSPEVGEVPEGSTTPVASPARIDDEAVVSAGVELGEINSPEAVNEEKNDEGDVVEETVEGSDKSNDGNDQITGESDQIPETALVPAENTTATMNAEVDVSKQATETEEVKQVSPASNTSTVVNLAERAKERAMLRQSGSAVLSPPGSRGRGRQVRGRAVRGARGGRTGRGHTPGQQG >Manes.16G052800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15169379:15222935:-1 gene:Manes.16G052800.v8.1 transcript:Manes.16G052800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFISDDELARHSNDVGYVAAKADDFIRGLQAELETVRAAADAAAITAEQTCSLLEQKFLSLSSEFSKLESQNAQLQSSLDARLSELAEVQAQKHQLHLQSIGKDGEIERLTMEVSEVHKSKRQLIELMEQKNSEISEKNTTISSYLDRIVNLTDNVAQKEARVSEIEAELARSVANCARLSQEKELIERHNTWLNEELTAKVDSLIELRRTHADFDKEMSAKLVDAERQFNDCSSSLKWNKERVKELELKLTSLQEELCSSKDAAAANEKRFSAEISTINKLVELYKESSEEWSRKAGELEGVIKALETHLSQVENDYKERLEREVSERNQLEKEAADLKNKLEKCESEVESNRKANELNLLPFGGLTVERWKDPYDSSNIVDDNNTLVPRIPVGVSGTALAASLLRDGWSLAKMYAKYQEAVDALRHEQLGRKESEAILQRVLCELEEKAGIILDERAEYDRMAESYSVINQKLQHSISEQANLEKTIQELKADVRRHERENNLAQKEIIDLQKQVTILLKECRDIQLRCGSIGHDEVDGSNAIVAVGMDVESDTEKVISERLLTFNDINGLVEQNVRLRSLVRDLSDQIENKEMEFKEKLEMELKKHTDEAACKVAAVLQRAEEQGRMIESLHTSVAMYKRLYEEEHKLHSSYSRSSDAPPVADDGRKDLLLLLEGSQESAKVAQEKASERLRSLEEELTKSRSEIISLRSERDKMELDAKFTKERLDSYLKKHEQQENELISIKARNAEFTQLVVDFQRKLYESSEALHASEDLSRKLNMEVSILKHEKEMLSNAEKRACDEVRSLSERVYRLQASLDTIHSAQEVREEARAAERRKQEEHIRQIEREWAEAKKELEQERNNVRALTSDREETLKSAMRQVEEMGKELANALRAVSAAETRAAVAEAKLSDVEKKIKPSSVKVSNNDDSGISSSISTTEVVTDLLMAKEEIEKLKVEAQANKEHMLQYKSIAQVNEAALKQMEAAHENFKLESEKLKESLEAELRSLRERMSELDNELKLKSEEVASAASGKEEAIASTLTEITCLKEENLSKISQIMALETQVSALKEDLEKEHQRWRSAQANYERQVVLQSETIQELTKASQALALLQQEVSDLRKLTDAQKTENNELKAKWKAEKSLLEELKEAAEKKSNELNEQNKILHTRLEALHIQLAEKDRNYVGVSSGSTVPDSEGDSAMQNVINYLRRSKEIVKTEISLLKQEKLRLQSQLENALKAAENAQAELHAERANSRALLLSEEEIKSLQLQVREMNLLRESNVQLREENKHNFEECQKLREVVQKARSQSDNLESLLREKQIEIEACKKEIEMERMQKDHLEKRVSELLERCRNIDVEDYDRMKDDLQQMQEKMKERESEIEGINSLLSKQQETMSKLEQDLGKSELELSQKEQKINDVLQTEASLKSELEKQRKLTIQWKKKFESSLKEKEDFSKEKQALSKQIEELKQGKRSIGNVSGEQVMKEKEEKEHRIQILEKTLERQREELRKEKEDHRLEKAKRLTREKAIMDKVKNVEQEKTEFTNKLEKHKEALRRLSDELEKIKHAETNLPEGTSVVQILSGTILDDISATYVLAVENFERTATSVAIELGAGPAPAETSTPDAPPTNFAGQSAISSSVAPITSHVASKAAEEKERRVPIPKTNIETRKTGRKLVRPRLVKPDEPQGDVDMPDVDGSNTQAKVAPSHEPETQRNLNLPSQPTARKRLASSASELSEQPLNQGETSSDTGVPAVKKPKGSNFSLESTEGQTVTPTESRVIPPAVEEASIAVAVADVTNEEGGAEKDEGETIGEKNEVPKDSEQLDDPIESQNEKNNVGEETLENPSGIGGEFDGNSKDQAVEENQQSVLEFESEKEEGELVPDIAEAEEGTDTSNAVGSPEVGEVPEGSTTPVASPARIDDEAVVSAGVELGEINSPEAVNEEKNDEGDVVEETVEGSDKSNDGNDQITGESDQIPETALVPAENTTATMNAEVDVSKQATETEEVKQVSPASNTSTVVNLAERAKERAMLRQSGSAVLSPPGSRGRGRQVRGRAVRGARGGRTGRGHTPGQQG >Manes.02G176900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13959044:13960276:-1 gene:Manes.02G176900.v8.1 transcript:Manes.02G176900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELYITVPNLFRCPISLDVMKSPVSLCTGVTYDRSSIQHWLDSGHDTCPATMQVLATKDYVPNLTLHRLINLWAQSSTRRPDSSHSTPTATPVSDQQVEIWIEDVKRQRVESLVKIVDFLSYSEDNRRFLVRFDDLMEAIVVVLSTDGVEIQILELIVRVLDLILLQNGVREKLHSSVLKSNQNCLSSFLSIIQNGKSQSKIQAVKVLESISTNNESKRLVAETQNLMPVLFHLLKTENDQALHEAVSSLLISIAITRSIKNHFVQLGLVQVLSKTLSDKNTAVSLVEKSLTLSSIISTCADGRKAISEDPKCAGSIVERLMKVSKTATEDAVVVLWSLCCLFRDGRVQEKVMRSNGLTKLLVVMQSEGEGNVRRMCADLVKVLRVGYKDGWKVMSYETKTTHIMPY >Manes.17G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29973586:29977812:1 gene:Manes.17G091500.v8.1 transcript:Manes.17G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEEQTFLLEDGLLEQNKSNGLYTGDGSVDLHGNPILKEKTGNWKACPFILGTECCERLAYYGISTNLVTYLTNKLHEGNVSAARNVTTWSGTCYITPLIGAVLADAYWGRYWTIAGFSTIYLIGMCTLTLSASVPALKPPECTGSLCPPASPAQYAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDSKERVKKGSFFNWFYFSINIGALVSSSFLVYIQDNAGWGLGFGIPALFMGIAIASFFSGTPLYRFQKPGGSPITRMCQVLVASFHKRNLEVPKDSSLLYEAQDGSSAIEGSRKLEHSNELKYLDKAAVISDTEAKNEDFSNPWRLCTVTQVEELKILVRMFPIWATGIVFSAVYAQMSTMFVEQGMLMDTTIGSFTIPPASLSTFDVISVICWVPIYDRFIVPIARKFTGKERGFSDLQRMGIGLFISVLSMSAAAVVEIRRLQLAKELGVVDENATVPLCIFWQIPQYMLVGAAEVFTFIGQLEFFYEQSPDAMRSLCSALSLLTTSLGNYLSAFILTMVTYFTTVGGKTGWIPDNLNKGRLDYYFWLLAGLSVVNMFIYIVWAKKYKQKKAS >Manes.14G123900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9807593:9815823:-1 gene:Manes.14G123900.v8.1 transcript:Manes.14G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAKPLADTNMLLKCATEQLGCPHFCSQGIKIPVYCFGTQYLIDNSLFGGVSHISDEEQSLLDSLLLAQWEERMWKGHFEYDITASEIKVIRGRRKFLAQLNSDGGIDCLQDPDKHKMCHQKDLPVFDQTKHCEELLFCITNSDKADSELIPSAAVPNGAILIVINVNPIEYGHVFLVPHGFDRLYQVVDARYLEMVVRVAVEINNFSFRVFYNWPRHSHLYFQACYFPDLLPVEHMPVDILFDAGQKGIQISTVIDYPIKTLLFESNCNIRMMVEIVSETTRYLLDKEIPYNLMISDCGKKVFLFLQAQTLSSSCNLSAWECGGYFLFKSRQEFEEVTEAALLKRLSTVSLDDEGFATVKQFCCTMASKIVF >Manes.16G073300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27549969:27554023:-1 gene:Manes.16G073300.v8.1 transcript:Manes.16G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPLTFLNFFLFFFLTSIPSSVISQNVITEQAILLKLKQQLGNPPLLQSWNSSSSQCNWTEITCTDGKVTGISLQTKNITTTIPATICDLRNLTVLILSDNFIPGGFPRVLYNCSKLQVLDLSQNNLVGPIPDDIDQGLSTLKYLQVAGNNFSGDIPPAIGNLMELQSLFLNSNQFNGTFPKEIGNLANLEELGLAYNLFVPSTIPVEFGKLSKLKFLWITDANLIGTIPEHLANLSSLVKLDLARNNLEGSIPDGLFSLKNLSYLYLFHNKLSGEIPQKVEALNLVEIDLAMNGLSGSIPEDFGKLQNLQLLNLYNNKLSGEIPPSMGRISTLRTFKLFTNNLSGILPPELGLHSKLEFFEVSTNHFSGQLPENLCAGGVLLGVVAYSNNLTGKVPQSLGNCQTLRTVQLYDNNFFGEIPSGIWTAFNMTYLMLSDNSFSGELPSSLACNLSRLELKNNKFSGPIPTGISSWVNLIVFEASNNLFSGAIPEEMTSLSHLTTLLLDGNQLSAQLPSKMISWKSLTTLNLSRNALSGQIPAAMGSLPDLLDLDLSENHFSGKIPSELGQLRLVSLNLSFNQLFGQIPDQFDNLAYENSFLNNSNLCAVNPVLNLPNCYFRPRSSNKLSSKFLAMILVLAITIFIVTAILTMFAVRDYLTKKHKRELALWKLTSFQKVGFTQANILPSLTENNLIGSGGSGKVYRVSVNRAGEFVAVKRIWNIRKVDEKLDKEFVAEVEILGTIRHSNIVKLLCCISREDSKLLVYEYMENQSLDRWLHGKNRRSSSGTNSVHNVSLDWPRRMQIAIGAAKGLCYMHHDCSPPIIHRDVKSSNILLDSEFTARIADFGLAKMLAKQGEAYTMSAVAGSFGYIAPEYAYTTKVNEKIDVYSFGVVLLELVTGREPNNGDENTSLAEWAWRRNAEGKPIVDCLDEEIKELCYIEEMTTVFKLGLICTSTAPSTRPSMKDVLQILRRTSLRNNGEKLGIEFDFAPLLGHATYLSTYKGSEKLSDDEYDSSLYSV >Manes.15G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10060215:10064978:1 gene:Manes.15G126100.v8.1 transcript:Manes.15G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKTRGRLDTSVGIMGAEKRWLFTLFSAAFLSLLFLLFYSISAFSSTKPFPSAVHYGTHYPPAFAYYISGGRGDGNRIFRLLLAVYHPRNHYLLHLNADASDKERVRLLGAINAVPAIRSFANVDVVGKPNRLSNLGSSYAAATLRAAAILLRMHSGWNWFVALSASDYPLLTQDDLSHVFSSFGRDLNFIDHTSDLGWKESQRFQPIVVDPAIYLARRTQIFRATEKRPTPDAFKVFTGSPWVILSRSFLEFCILGWDNLPRTVLMYFNNVILPEEGYFHSVICNAPEFKNTTVNSDLRYMVWDNPPKMEPQFLNVSDYDQMVQSGAAFARQFKRNDPVLEMVDEKILKRGHNQAAPGAWCTGRRSWWIDPCSQWGDVNVVNPGPQAKKIEESIKNLLDQMNQCK >Manes.02G098400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7670532:7678220:1 gene:Manes.02G098400.v8.1 transcript:Manes.02G098400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSISILLLTTVLAFAPFISCAKTTGGYLYPQFYDHSCPNAQQIVESIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSSGRIISEKNSNPNRNSARGFEVIDEIKTALEKECPQTVSCADILALAARDSTVLAGGPSWEVPLGRKDSRGASLSGSNNNIPAPNNTFQTILTKFKLQGLNVVDLVALSGSHTIGNARCTSFRQRLYNQSGNGQPDYTLNQAYAATLRGRCPRSGGDQNLFFLDFVTPTKFDNSYFKNILASKGLLSSDQVLLTKNEASMELVKEYAENNKVFFEQFAKSMIKMGNISPLTGPRGEVRKNCRKINS >Manes.02G098400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7669502:7678220:1 gene:Manes.02G098400.v8.1 transcript:Manes.02G098400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSISILLLTTVLAFAPFISCAKTTGGYLYPQFYDHSCPNAQQIVESIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSSGRIISEKNSNPNRNSARGFEVIDEIKTALEKECPQTVSCADILALAARDSTVLAGGPSWEVPLGRKDSRGASLSGSNNNIPAPNNTFQTILTKFKLQGLNVVDLVALSGSHTIGNARCTSFRQRLYNQSGNGQPDYTLNQAYAATLRGRCPRSGGDQNLFFLDFVTPTKFDNSYFKNILASKGLLSSDQVLLTKNEASMELVKEYAENNKVFFEQFAKSMIKMGNISPLTGPRGEVRKNCRKINS >Manes.02G098400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7676686:7678020:1 gene:Manes.02G098400.v8.1 transcript:Manes.02G098400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSISILLLTTVLAFAPFISCAKTTGGYLYPQFYDHSCPNAQQIVESIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSSGRIISEKNSNPNRNSARGFEVIDEIKTALEKECPQTVSCADILALAARDSTVLAGGPSWEVPLGRKDSRGASLSGSNNNIPAPNNTFQTILTKFKLQGLNVVDLVALSGSHTIGNARCTSFRQRLYNQSGNGQPDYTLNQAYAATLRGRCPRSGGDQNLFFLDFVTPTKFDNSYFKNILASKGLLSSDQVLLTKNEASMELVKEYAENNKVFFEQFAKSMIKMGNISPLTGPRGEVRKNCRKINS >Manes.02G098400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7670532:7678220:1 gene:Manes.02G098400.v8.1 transcript:Manes.02G098400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSISILLLTTVLAFAPFISCAKTTGGYLYPQFYDHSCPNAQQIVESIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSSGRIISEKNSNPNRNSARGFEVIDEIKTALEKECPQTVSCADILALAARDSTVLAGGPSWEVPLGRKDSRGASLSGSNNNIPAPNNTFQTILTKFKLQGLNVVDLVALSGSHTIGNARCTSFRQRLYNQSGNGQPDYTLNQAYAATLRGRCPRSGGDQNLFFLDFVTPTKFDNSYFKNILASKGLLSSDQVLLTKNEASMELVKEYAENNKVFFEQFAKSMIKMGNISPLTGPRGEVRKNCRKINS >Manes.13G102550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30066634:30069122:1 gene:Manes.13G102550.v8.1 transcript:Manes.13G102550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDHRNPSIQGISNVDEKSVSNISFSETFHSSVHIIHRYNLNLCCNFMFCCKIYHLLRLQLHSSNATLPSYPSCS >Manes.15G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10387532:10388319:1 gene:Manes.15G129800.v8.1 transcript:Manes.15G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGNLETVVELKSSPDKFLSVWKSQAHQVPNHTPINIQGVHVHEGDWVKSGSIKIWKYTIAGRSEVFKDKIIVDDEKKTLTGIGLEGDVFKSYKVFNLIWQLTPKDEGSLAKVIIEYEKLNENVPTPDIYLDFIIKITTDVDESISKN >Manes.14G060200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5017813:5025633:-1 gene:Manes.14G060200.v8.1 transcript:Manes.14G060200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISDLQESILSTSLLCKLLDTTLVNQQTKLPKNMANYAENSEGQNPGEEFFKICLDLSKLQKTSDELEALRWLFSNERTNVLNAKSEPSSQNSNLLIKSSGSSKSKHLQDRKNILVSNIYHANGVEECDEKLKEETSFSEGQTQMNLLQILCSLHFLAVEPIHTCEEASKMNLPIEQPIQKALRYIHVMEVKQWAESSICSTVDFLKPSSKAFLVFHVNIQKKLHHSSFTT >Manes.02G225100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37733648:37737083:-1 gene:Manes.02G225100.v8.1 transcript:Manes.02G225100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVGLTGLTFWSPNVNIFRDPRWGRGQETPGEDPLLSSKYASSYVRGLQQRDDGDQNRLKVAACCKHYTAYDLDHWNGTDRTNFNAVVTRQDMEDTFQPPFRSCVLDGNVASIMCSYNQVNGKPTCADPNLLSGVIRGEWKLNGYIVSDCDSVYEFFNRQHYTKTTEEAAATAILAGLDLNCGSFLGQHTEAAVKAGLVDMSNIDKAVSNNFATMMRLGFFDGDPTKQIYGNLGPKDVCTAENQDLAREAARQGIVLLKNNPGSLPLSPTSIKMLAVIGPNANATLTMIGNYQGIPCNYTTPLQGLTALVATAYHAGCYNVACDKAQVDDAKKVAASADAVVLVMGANGSIETEGFDRVDLLLPGQQSLLVTEVASVSKAPVILVIMSGGGMDVSFAKNNDKITSILWVGYPGEAGGAAIADVIFGYHNPSKCFYFTVFLIRMSETRKLKYYFSGGRLPMTWYPQSYVDKVPMTNMNMRADPSTGYPGRTYRFYTGETVYSFGDGLSYSTFEHKLVQAPEVVSVPLEEDHPCRFSKCISIDAVEKSCEDLAFDVHLKVENTGIMRGSHVVLLFYMPPSVHNSPRKHLVDFEKVLLDAKTATMVQFKVDVCKHLSINDEFGSRKVALGEHVLHIGSLNHSFTVRI >Manes.02G225100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37734290:37737083:-1 gene:Manes.02G225100.v8.1 transcript:Manes.02G225100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVGLTGLTFWSPNVNIFRDPRWGRGQETPGEDPLLSSKYASSYVRGLQQRDDGDQNRLKVAACCKHYTAYDLDHWNGTDRTNFNAVVTRQDMEDTFQPPFRSCVLDGNVASIMCSYNQVNGKPTCADPNLLSGVIRGEWKLNGYIVSDCDSVYEFFNRQHYTKTTEEAAATAILAGLDLNCGSFLGQHTEAAVKAGLVDMSNIDKAVSNNFATMMRLGFFDGDPTKQIYGNLGPKDVCTAENQDLAREAARQGIVLLKNNPGSLPLSPTSIKMLAVIGPNANATLTMIGNYQGIPCNYTTPLQGLTALVATAYHAGCYNVACDKAQVDDAKKVAASADAVVLVMGANGSIETEGFDRVDLLLPGQQSLLVTEVASVSKAPVILVIMSGGGMDVSFAKNNDKITSILWVGYPGEAGGAAIADVIFGYHNPSGRLPMTWYPQSYVDKVPMTNMNMRADPSTGYPGRTYRFYTGETVYSFGDGLSYSTFEHKLVQAPEVVSVPLEEDHPCRFSKCISIDAVEKSCEDLAFDVHLKVENTGIMRGSHVVLLFYMPPSVHNSPRKHLVDFEKVLLDAKTATMVQFKVDVCKHLSINDEFGSRKVALGEHVLHIGSLNHSFTVRI >Manes.02G225100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37733648:37737280:-1 gene:Manes.02G225100.v8.1 transcript:Manes.02G225100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTFQPPFRSCVLDGNVASIMCSYNQVNGKPTCADPNLLSGVIRGEWKLNGYIVSDCDSVYEFFNRQHYTKTTEEAAATAILAGLDLNCGSFLGQHTEAAVKAGLVDMSNIDKAVSNNFATMMRLGFFDGDPTKQIYGNLGPKDVCTAENQDLAREAARQGIVLLKNNPGSLPLSPTSIKMLAVIGPNANATLTMIGNYQGIPCNYTTPLQGLTALVATAYHAGCYNVACDKAQVDDAKKVAASADAVVLVMGANGSIETEGFDRVDLLLPGQQSLLVTEVASVSKAPVILVIMSGGGMDVSFAKNNDKITSILWVGYPGEAGGAAIADVIFGYHNPSKCFYFTVFLIRMSETRKLKYYFSGGRLPMTWYPQSYVDKVPMTNMNMRADPSTGYPGRTYRFYTGETVYSFGDGLSYSTFEHKLVQAPEVVSVPLEEDHPCRFSKCISIDAVEKSCEDLAFDVHLKVENTGIMRGSHVVLLFYMPPSVHNSPRKHLVDFEKVLLDAKTATMVQFKVDVCKHLSINDEFGSRKVALGEHVLHIGSLNHSFTVRI >Manes.16G020800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2324703:2328559:-1 gene:Manes.16G020800.v8.1 transcript:Manes.16G020800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMANHFKKVVSAVILDLDGTLLNTDGVVNDVLKGFLVKYGKQWDGREANKIVGKTPLEAAATIVEDYGLPCAAEAFIAEITPVFSDQLCKIKALPGANRLIKHLSGNNIPMALASNSPKASVESKISYQQGWQKSFSVIIGGDEVRAGKPSPEIFLEAAKRLNVKPSSCLVIEDSLPGVMGGKAAGMEVVAVPSLPKQSHLYTAADEVINSLLDLQPENWGLPAFKDWIEGTLPMEPWHVGGPVIKGFGRGSKVLGIPTANLSTEGYSEMLSEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNAEKTIEPWLLHEFEEDFYGEELRLVIVGYIRPEANFKSLESLIAKIHEDGRCAERALDLPLYSKYRDDPYLRGSSLW >Manes.16G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2324703:2328312:-1 gene:Manes.16G020800.v8.1 transcript:Manes.16G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMANHFKKVVSAVILDLDGTLLNTDGVVNDVLKGFLVKYGKQWDGREANKIVGKTPLEAAATIVEDYGLPCAAEAFIAEITPVFSDQLCKIKALPGANRLIKHLSGNNIPMALASNSPKASVESKISYQQGWQKSFSVIIGGDEVRAGKPSPEIFLEAAKRLNVKPSSCLVIEDSLPGVMGGKAAGMEVVAVPSLPKQSHLYTAADEVINSLLDLQPENWGLPAFKDWIEGTLPMEPWHVGGPVIKGFGRGSKVLGIPTANLSTEGYSEMLSEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNAEKTIEPWLLHEFEEDFYGEELRLVIVGYIRPEANFKSLESLIAKIHEDGRCAERALDLPLYSKYRDDPYLRGSSLW >Manes.16G081151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28722783:28726389:1 gene:Manes.16G081151.v8.1 transcript:Manes.16G081151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRNIWISFFFCLFVSGFASSSNIDLSALLSFKESLLLPSQVLSSWVNSSNPCLDSWFGVKCNPITQRVTRLVLDNLNLTASIHPLAQLTQLRLLSLNNNHLSSSFNLNLSSWPNLKHLYLSYNRLSGEFPSGVSRLRHLHRLDLSHNFFSGNIPVGELVMLPHLLTLRLEANSFVGTIDFVNPLSSSILEFNVSKNHLAGEIPAWLTRFPASSFSGNDHLCGKPLRECSNQTVGSQPVQSGSHMTEKKASNWVVFMIVGIDAAAIVAAIAAITCCCYHRRTRNSGTRLEVIKRKDGSNPKIGGYYYGGGGGRRESEEMVLFEGCKGFNGVNDLLKSSAELLGKGSVGTTYKVEMDGGDVVVVKRVRERRRKKEVSGWLRMVGGLRHSNIVNLRAYHNSKDEMLLVYDYLPNGSLHSLLHGNRGPGRTPLDWTTRLKLASDSAQGLAFLHGYNKAKLFHGNLTSSNILVDQFSNACISEICLRQLLHSPTSFSNNSYKSPELMPYSSNSIVHGNGKFTQKCDVYSFGVILLEILTGKMPTGEGETNLVSWVQRVKQEEWSWEVFDFELYRSKEMEEQMVALMQVALLCLAPLPRDRPKMSMVHRMIEDISTKGVKESGSAHSILNDLSSDSSPSLSENAINFTSSS >Manes.02G001700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:207473:209407:-1 gene:Manes.02G001700.v8.1 transcript:Manes.02G001700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKVMMIGSVENSTNESIPEYPITTTTCPTWKLYENPFYNSHHHHQHRHHKHYQSKKHFHPPSARKIAASFWDLTFFRPIMETELDIARAQIIELKAELEHERKARKKGETMNKILAKELAEERRGREALGRVCEQLAREISSDKAEIDRIKKEIEEERKMLRVAEVLREERVQMKLAEAKVLFEEKLLELEGSKTSEPDENPTLKKEQEDEATAINLPRKFSRLMVGEKSCYYNCGADLTRAVLSEKSSCNDNVSSVSSVTVENPHIKRGIKGFVEFPRVVRAIGSKSRHWGTKLECQKAQLRILLRTKNAIRSNNLIIS >Manes.13G143800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35756745:35757335:1 gene:Manes.13G143800.v8.1 transcript:Manes.13G143800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYPNCYDVAWGFLPCVNFLVGFQETPSYCCNQIKFLNKIAKQNNGQKRICKCIEEVVYECGHRLVSSRVDSLANICGVKPGFPISNSMDCNT >Manes.03G018400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1540598:1543045:-1 gene:Manes.03G018400.v8.1 transcript:Manes.03G018400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAMVGDASSWSRALVKISPYTFSAVGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTAQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGVIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKAV >Manes.15G110900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8877710:8879857:1 gene:Manes.15G110900.v8.1 transcript:Manes.15G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGVKIKINAKVIEVEGPRGKLTRNFKHLNLDFHLIKDEDTGKRKLKIEAWFGSRKTSASIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKRVRKVDMLEGVTVVRSDKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVVEEE >Manes.03G086800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17244270:17248957:1 gene:Manes.03G086800.v8.1 transcript:Manes.03G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVSTSFYISSYSSSTLPSPGIQCKYSAQSVRALPTRIITVGKKRSHGIQLLVDEYIGKLRHYCSVEDIQIRSNPKNARDSRVQVDDEDMAAMNLIRSDDWVVMLDEYGLDIGSEQMAELVADAGNTGALRLSFCIGGPYGHGQRMRKRANKSIRLSSMVLNHQIALVVLLEQLYRSWTILKGQNYHH >Manes.03G150500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27808160:27815441:-1 gene:Manes.03G150500.v8.1 transcript:Manes.03G150500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETPDRSGHHFYGDMTKVFSGNEAAEERDVNDICLQTGEEFSTEFLRDRIALRRVADQNQLPQGMSTYNDKQMVYEDLSSIHEIHRKDSEYNALGYVPRTGYAAKVENRLYSDRISRCQWEYSPSGQHPGKYVGELNSVRVNMGSTTPHKYVVESPLSYHPYGTAIWESAFSGKMKFLCSFCGRILPRPSDGKLRYVGGETRIISIRKNVTWEELAKKTLAICNQPHTIKYQLPGEDLDALISVCSNEDLHHMIEEYQEIERNGGSQRLRIFLISSTEPDTPNSFEGMTPQQSECDCQYVFAVNGMPDLSSQRSSSGQNLASLPMQMGNISDHGPTFHLDSPTPIFALDNDCSPNISNVMGTLPNPAVQFVTKLQISGKSFNQSPPLSPGPIRHKDQKNSSIQFYIDPSCTESNESTNNFALDKIPFDNSYHPQASMYYNKISQRPLTLMNYHQHHQYLTEINKPSEMHFHNRSPSGDFISHPLHLQSDINSGKRRLKERALSDSRLQEHDEGSKYYEEVVNTLFMWNYGREKSPSLAMSSSSQEPIMWEGLTGEKHQNECSFDTMKNTYMDQVLLKRVDGTTNCSAESRQCVGNISSDNSMEYKGLQNSDNLPSIHHREQDSQVSGRMVCTTGVNAFENSVDNMREHPLSCQSGKARPAPDIFFMNQNAAIDQQCTIAESCQHNPDVYPGYHHPPSEGVQSSASQKVAKTAASSKLFSFYDEEPLSYPDYKVESVSFNGKPYKESKIIDGPEQLDYHNENKMLESIVIVEDVTGTTPPDIPFSSRVFPHVEEEVTDEFPSHRDTETESTAQGSESEADGRDTDESFSDAAMAEIEAGIYGLQIIRNADIEELQDLGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQERLTKDFWREARILSNLHHPNVVAFYGVVPDGPGGTRATVTEYMVNGSLRHVLQKKDKTLDRRKKLIIAMDAAFGMEYLHLKDIVHFDLKCDNLLVNLRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLDGSSNRVSEKVDVYSFGIAMWEILTGEEPYANMHCGAIIGGIVSNTLRPAIPEYCDPKWRKLMEECWSFNPAARPSFREITNRLRVMSVALQPKRRNR >Manes.03G150500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27809570:27815441:-1 gene:Manes.03G150500.v8.1 transcript:Manes.03G150500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETPDRSGHHFYGDMTKVFSGNEAAEERDVNDICLQTGEEFSTEFLRDRIALRRVADQNQLPQGMSTYNDKQMVYEDLSSIHEIHRKDSEYNALGYVPRTGYAAKVENRLYSDRISRCQWEYSPSGQHPGKYVGELNSVRVNMGSTTPHKYVVESPLSYHPYGTAIWESAFSGKMKFLCSFCGRILPRPSDGKLRYVGGETRIISIRKNVTWEELAKKTLAICNQPHTIKYQLPGEDLDALISVCSNEDLHHMIEEYQEIERNGGSQRLRIFLISSTEPDTPNSFEGMTPQQSECDCQYVFAVNGMPDLSSQRSSSGQNLASLPMQMGNISDHGPTFHLDSPTPIFALDNDCSPNISNVMGTLPNPAVQFVTKLQISGKSFNQSPPLSPGPIRHKDQKNSSIQFYIDPSCTESNESTNNFALDKIPFDNSYHPQASMYYNKISQRPLTLMNYHQHHQYLTEINKPSEMHFHNRSPSGDFISHPLHLQSDINSGKRRLKERALSDSRLQEHDEGSKYYEEVVNTLFMWNYGREKSPSLAMSSSSQEPIMWEGLTGEKHQNECSFDTMKNTYMDQVLLKRVDGTTNCSAESRQCVGNISSDNSMEYKGLQNSDNLPSIHHREQDSQVSGRMVCTTGVNAFENSVDNMREHPLSCQSGKARPAPDIFFMNQNAAIDQQCTIAESCQHNPDVYPGYHHPPSEGVQSSASQKVAKTAASSKLFSFYDEEPLSYPDYKVESVSFNGKPYKESKIIDGPEQLDYHNENKMLESIVIVEDVTGTTPPDIPFSSRVFPHVEEEVTDEFPSHRDTETESTAQGSESEADGRDTDESFSDAAMAEIEAGIYGLQIIRNADIEELQDLGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQERLTKDFWREARILSNLHHPNVVAFYGVVPDGPGGTRATVTEYMVNGSLRHVLQKKDK >Manes.03G150500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27808178:27815441:-1 gene:Manes.03G150500.v8.1 transcript:Manes.03G150500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETPDRSGHHFYGDMTKVFSGNEAAEERDVNDICLQTGEEFSTEFLRDRIALRRVADQNQLPQGMSTYNDKQMVYEDLSSIHEIHRKDSEYNALGYVPRTGYAAKVENRLYSDRISRCQWEYSPSGQHPGKYVGELNSVRVNMGSTTPHKYVVESPLSYHPYGTAIWESAFSGKMKFLCSFCGRILPRPSDGKLRYVGGETRIISIRKNVTWEELAKKTLAICNQPHTIKYQLPGEDLDALISVCSNEDLHHMIEEYQEIERNGGSQRLRIFLISSTEPDTPNSFEGMTPQQSECDCQYVFAVNGMPDLSSQRSSSGQNLASLPMQMGNISDHGPTFHLDSPTPIFALDNDCSPNISNVMGTLPNPAVQFVTKLQISGKSFNQSPPLSPGPIRHKDQKNSSIQFYIDPSCTESNESTNNFALDKIPFDNSYHPQASMYYNKISQRPLTLMNYHQHHQYLTEINKPSEMHFHNRSPSGDFISHPLHLQSDINSGKRRLKERALSDSRLQEHDEGSKYYEEVVNTLFMWNYGREKSPSLAMSSSSQEPIMWEGLTGEKHQNECSFDTMKNTYMDQVLLKRVDGTTNCSAESRQCVGNISSDNSMEYKGLQNSDNLPSIHHREQDSQVSGRMVCTTGVNAFENSVDNMREHPLSCQSGKARPAPDIFFMNQNAAIDQQCTIAESCQHNPDVYPGYHHPPSEGVQSSASQKVAKTAASSKLFSFYDEEPLSYPDYKVESVSFNGKPYKESKIIDGPEQLDYHNENKMLESIVIVEDVTGTTPPDIPFSSRVFPHVEEEVTDEFPSHRDTETESTAQGSESEADGRDTDESFSDAAMAEIEAGIYGLQIIRNADIEELQDLGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQERLTKDFWREARILSNLHHPNVVAFYGVVPDGPGGTRATVTEYMVNGSLRHVLQKKDKTLDRRKKLIIAMDAAFGMEYLHLKDIVHFDLKCDNLLVNLRDSQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLDGSSNRVSEKVDVYSFGIAMWEILTGEEPYANMHCGAIIGGIVSNTLRPAIPEYCDPKWRKLMEECWSFNPAARPSFREITNRLRVMSVALQPKRRNR >Manes.13G076069.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11367533:11369833:-1 gene:Manes.13G076069.v8.1 transcript:Manes.13G076069.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKIVLRLNIINCLKCKMEVLKAVAKLEGINEVSVDGNKGELTVIGNVDPVLLTKQLRKKKKGAVIISVGPPKKETPKKEAQKLCESLPSYCKQCQLVAVGFSPYDDGQLCSIL >Manes.04G120000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32103527:32105041:1 gene:Manes.04G120000.v8.1 transcript:Manes.04G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKAPIDLPVIDFSKLGLNPGSNIEDQWDSVKSQVLKAAEEYGCFKVMSTKISSELKNGIMSNLEELFALPLETKMNNTSEIPNAGYIGKTPFTPLFESIGIVDPVNLAKVESLANALWPEGNPSFSKNIQCYSEQVLEIEKIIRRMVVEGLGLEKYLDEHLNSSDSCIRVIKYECPKTTEAEIGLAAHTDHGMIAILYQNQVDGLEVETKTGEWIDVKLEPDQFIVIIGESFLAWTNGRIYAPNHRVTMAGKDVRYSVGTFTAFKAGYIVKAPVELVDEEHPLLYKPFDFLEVLKRHQEEAQKSSEKPEKAFAPLKAYYGA >Manes.03G204500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31987553:31989249:1 gene:Manes.03G204500.v8.1 transcript:Manes.03G204500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKLEKKVHIREYNENRDIKVVGKLDKNCGIGSKMTPYVAELSENGELVGVVRGCIKCVGTRFGATYVRLGYILGLRVSPKHRMGIGLKLVKLSVEEWLVRNGPHNTFLATDNCNYINFSSLVIFVQPASLPVKGLSQDSKIEKLQMSQAISLYNNRLRGKDIYPTDIDAVLMEKLSLEWIILHSKNNEEDIISQTPSSWEIFSVWNSCEAYKLHIRKSHHNPLKFFHATLSHARDKIFPCVKLPICESLQKPLGFLFLYGLYGEGERLQELMKSIWSFASRLAENAKDCKMIVTEKVNGLTGTVEDNEQQVVMEQVGNAFVDLKDF >Manes.08G167900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40257672:40260632:1 gene:Manes.08G167900.v8.1 transcript:Manes.08G167900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRSLVMMLLLICFLWLPGYRAQLPNSAHELDVLLQDYAYRALVRPRTGLPYDGAVPSDLDGIKIAALRLRSGSLRRKGVAMYKEFQIPVGVIEQPYVERLVLVYQNLGNWSQRYYSLDGYTYLAPVLGLLAYNASNLSATNLPLLDIRASGNPITIKFSDVKLAPDGYVAMCVWFDLQGNPNFSNVASGNECSTIQQGHFAIVVNSTALPPAPVSPPPRERRKKKTSKVWIIVGSVLGGLLLLVLLSFLVLWVHKLKERKKMQQMERAAEVGEALQMTSIGETKAPAAMVTRTQPTLENEYVP >Manes.08G130400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37970766:37971839:-1 gene:Manes.08G130400.v8.1 transcript:Manes.08G130400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFHFLGLLAFALALSFASAFDPSPLQDFCVATPEPENAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDNYTVEKLQKLFANA >Manes.06G060500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19410862:19426145:-1 gene:Manes.06G060500.v8.1 transcript:Manes.06G060500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDVESGVEDDFQTQHGGRKYRPVVAHDRAVLEMSSIDPGSSSDPAAYQSNPKKVKVVAPENMHSNASEGGIPANGGVNGSEREHKLELFGFDSLVNILGLKSMTAEQVAAPSSPRDGEDGSIAYERARVNDVKLGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGIGESLLLVAFCGLCTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGIFRETVTKVNGTMISEPIESPSSHDLQIYGIVVTVILCFIVFGGVKMINRVAPAFLIPVLFSLFCIFIGVFAARKNNPTTGITGLSLESFKDNWSSDYQFTNDAGVPDPEGKTYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLAATLTTTALYLISVLFFGALATRDKLLTDRLLTATIAWPFPAIVYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFRAADGHEPHIATLFTAFICICCVVIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGASLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHERAEDAKAACKQLGTYIDYKNCEGVAEIVVAPSMFEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDSDAEELKTDVKKFLYDLRMQAEVIVVSMRSWDAQAEGGSQQDESLEAFTAAQRRIASYLTEMKSGAEGEGNVLMADGKPVVVNEQQVEKFLFTTLKLNSTILRYSRMAAVVLVSLPPPPVNHPAYFYMEYMDLLVENVPRLLMVRGYRRDVVTLFT >Manes.06G060500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19410862:19426145:-1 gene:Manes.06G060500.v8.1 transcript:Manes.06G060500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNASEGGIPANGGVNGSEREHKLELFGFDSLVNILGLKSMTAEQVAAPSSPRDGEDGSIAYERARVNDVKLGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGIGESLLLVAFCGLCTFLTSISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGIFRETVTKVNGTMISEPIESPSSHDLQIYGIVVTVILCFIVFGGVKMINRVAPAFLIPVLFSLFCIFIGVFAARKNNPTTGITGLSLESFKDNWSSDYQFTNDAGVPDPEGKTYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLAATLTTTALYLISVLFFGALATRDKLLTDRLLTATIAWPFPAIVYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFRAADGHEPHIATLFTAFICICCVVIGNLDLITPTVTMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGASLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHERAEDAKAACKQLGTYIDYKNCEGVAEIVVAPSMFEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLTEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDSDAEELKTDVKKFLYDLRMQAEVIVVSMRSWDAQAEGGSQQDESLEAFTAAQRRIASYLTEMKSGAEGEGNVLMADGKPVVVNEQQVEKFLFTTLKLNSTILRYSRMAAVVLVSLPPPPVNHPAYFYMEYMDLLVENVPRLLMVRGYRRDVVTLFT >Manes.14G128200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10212344:10219885:1 gene:Manes.14G128200.v8.1 transcript:Manes.14G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGVEMKQPESIMVDETCSAKAAGKQGEGLRQYYLQHIHELQLQVRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRLDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Manes.15G038700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3032108:3034423:1 gene:Manes.15G038700.v8.1 transcript:Manes.15G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLDPDYCRKNELKAFDDTKAGVKGLVDAGITRVPRIFHHPPDDHDKISHHAAEAKFTFPVIDLEGVNQGSALRKEIVDKVRHASETWGFFEVINHGISLSVLEEMKEGVRRFYEQDVEVKSEFFSRDFTKKVVYNSNFDLYTSQSANWRDTLTIQMAPDPPNPEELPAACRDIVLKYRKEALKLGDLLFQLLSEALGLNSNHLKDMDCAEGLVVLCHYYPACPQPELTMGTTKHSDNDFLTVLLQDHIGGLQVLHQNQWINVPPTPGALVVNIGDLLQLISNDKFISVEHRVLANNVGPKVSVACFFSTGLMPKSRIYGPIKELSSEENPPKYRETTVKEYVAHFHAKGLDGTSALLHFKL >Manes.18G078700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7192936:7198681:-1 gene:Manes.18G078700.v8.1 transcript:Manes.18G078700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVMMMTESVTEGGFHEPSSFLCNTEFLHTRRDRVSVEESKLLQSHLPSLPCSQISDPITHLHALFIVLLLNNKFNISVSSDSNSLLAWTVPSQSHQSLRRWHYHHHHESYTFYLSELRFLLGSDMGCGGSKVDDLPLVTRCRERKELIKAASDHRYALAAAHVLYFHSLRNVGEAIRRFVDEELVFASSSSPGSPVLTLPSREGKSKAKSKNLSSSTSISHSVDDTNLKKSINKEQEEIEDSHLHLSSESDLDSDSGHIHIHDIPEEEEAEEEIVQQREVPSSSYNFNDYPQGNWSYNYPGDNTYPYPFPFSNPYPNVYYMKRSATPAKTVVYEDPTINGYSSYYGNGGYFGYSIMGSPQKEPSPQRPPPVPPSPPRVSTWDFLNVFDAYDNGSGGLPSYYSVGRYGYGSTTSSPDSKEVREREGIPELEDETEQEVIKEIAKEKKKVKEEMNVNDKYKSSEEVIKNNGEGTSNSIPVQSSSGSTDSVKDNENEGSTSPDTFSSPDSIISSKSSAEDPVRKKGVSFEVEEASIRDVESSKPSSLTTLSVHGTRDLQEVVDEIKDGFETASSYGREVATLLEAGRLPYQPRTTFLRVIFSRILYLVSSHPPTRPSVQISSRATKMAKAYSEEPGNDFDMKARNLSSTLEKIYAWEKKLYKEVKDEERLRVDYEKLYKRLKSLDEHGAEPSKIDAAQASIRKLQTKINVTIRTIDSISSKIHRLRDEELQPQITELIHGLMRMWNSMLRCHQKQFQAIMESKARYLKANSGLQRDSGLKATLELETELINWCTCFNNWVNTQKSYVELLNQWLLRYLLIEPEETPDGIAPFSPSRMGAPPIFVVCNDWYQAMVRISEKGVENAMLNFASSLHQLLERQDEEHGQRIKADYISKDFEKRLHTLSMERGRMEALSDKAMSKVPSESGVSPLDDLKVELDSMKKKLEEERYSYKEAAKLVHDAASGSLQSGLVPIFEALGNFTSEVLKAHEQVRLENAGCS >Manes.15G183500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:29502351:29504547:1 gene:Manes.15G183500.v8.1 transcript:Manes.15G183500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSSSFLSTVKPSKFFLTPKISIANSFPFPHFNVSSARIEEKSQPTTTGRTTTKTQPKTTPPLSSSPSSSPVTTTRPPSSSRPLPSAAAVAKRGVEPTLPTKVFSALDDLINIFIDPPIRSSVDPRYTLSNNFAPVDELPPTECEVIEGSLPSCLDGAYIRNGPNPQYLPRGPYHLFDGDGMLHSIRITQGKATLCSRYVKTYKYTMERDAGAPLLPNVFSGFNGLAASAARGALSAARILSGQFNPANGIGLANTSLAFFGNKLYALGESDLPYAVRLTSNGDIETLGRDDFDGKLFLSMTAHPKIDFQTGETFAFRYGPVPPFLTYFRLDSNGNKQPDVPIFSMVNPSFIHDFAISKKYAIFVDIQIGMNPMEMIFGGGSPVGSNPAKVSRIGVIPRYATDETEMRWFDVPGFNVIHAINAWDEEDAVVILAPNILSVEHTLERMELVHALVEKVRIDLKTGIVTRNPISARNLDFGVINPEYVGKKNRFIYAAIGDPMPKISGVVKLDVSKGGRQECTVARRVFGPRCYGGEPFFVARQPENPEAEEDDGYVVSYVHDEIAGESKFLVMDAKSPNLDIVAAVKLPRRVPYGFHGLFVRDSDLKKL >Manes.06G038600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619005:11623555:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFASYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKNIDKIRLVNCPVLVMHGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.06G038600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619006:11623555:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFARFTLLYSHGNAADLGQMHDLFIELRAHLRVNIMSYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKLVYYLQNIDKIRLVNCPVLVMHGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.06G038600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619005:11623555:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFASYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKNIDKIRLVNCPVLVMHGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.06G038600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619005:11623555:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFASYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKLVYYLQNIDKIRLVNCPVLVMHGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.06G038600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619005:11623555:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFASYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKLVYYLQNIDKIRLVNCPVLVMHGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.06G038600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619005:11623555:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFARFTLLYSHGNAADLGQMHDLFIELRAHLRVNIMSYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKNIDKIRLVNCPVLVMHGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.06G038600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619005:11623555:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFARFTLLYSHGNAADLGQMHDLFIELRAHLRVNIMSYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKLVYYLQNIDKIRLVNCPVLVMHGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.06G038600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619006:11623555:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFARFTLLYSHGNAADLGQMHDLFIELRAHLRVNIMSYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKNIDKIRLVNCPVLVMHGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.06G038600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11619006:11623561:1 gene:Manes.06G038600.v8.1 transcript:Manes.06G038600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPPTYDVCREDDGRLVLPGVSADKNMDVHLLETKGGNQIVATFWKHPFARFTLLYSHGNAADLGQMHDLFIELRAHLRVNIMSYDYSGYGASSGKPSEFNTYYDIEAVYNCLKEYYEVKQEDLILYGQSVGSGPTLHLGSRLQKLRGVVLHSAILSGLRVLYPVKMTFWFDIYKGTNDDIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLGKFMNELEKASITKPAKQLTSDPSIDLKHNKCLRWKKGSNSN >Manes.03G145100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27294556:27296859:1 gene:Manes.03G145100.v8.1 transcript:Manes.03G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPRRKKWTEAEERTLIEKYGEMVSDGTLAKMKTREKKYKPIALYVNYVHHVRDPITYPWQWTWKDVSTKVQNMRHQYLLVKQKIKKPELSAAENSGSGECSNGDEFDWLEGLTHWSNFLLYKEVFGDVPMAYSSNGSNGNGLTAVLNEDREHDGGLLVACRGIEIAEFGQMGNSADGDFAGMLGLGFEYEGEEAEENYNSNDRVREEGDDGFMYEEADTNVSNLKKKRKVLKGLEKRVFSFLSNQIGQLREMEARFEQHEAERGRERQKRENIRTEREQEWERKLEEMEKEREEKEKAREKLRWQRYSELEAMEKESEERERRRKEEDLILEKEWEERMNGRRLEWKKRIDGMLNEHRAEMGQIQTRILHEQQSLTSQLLGFVSQWTGHPTGLSDHTGASNHYLSQMMQNLHHVNGMVHEHTRVDGDTQDDQFIVEG >Manes.16G052170.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:13079655:13080162:-1 gene:Manes.16G052170.v8.1 transcript:Manes.16G052170.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHAKEGWTGQVRRHLRRPKVPPEPKVRHFRRHLRRPKVPDRDESLFSGASFGSRMLPPQGGSAAESSFGCRTWFLPNGQKLGSNEPLASQNLKSCINLF >Manes.10G063200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8934881:8939619:1 gene:Manes.10G063200.v8.1 transcript:Manes.10G063200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPDSSCSSSSSSSYSSSASSDTPPHQLRPETRNSKRVKRIHKKQDKCQPNTTSPTSGGRRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQNKKGRQGAYDNEEAAARTYDLAALKYWGTETTLNFPIETYSKELEEMQKMSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAAIEYRGANAVTNFDISNYIDRLKMKGIPLDHILPADPLPNSSVESEEAEADVEQPPSPSPIPSSQPPPQEEDEEQQIASPQLQGTQLPTCIDTSTMINIDPIEDHDLTWSFCLDSGLVTLPVPDLPLENACELPNLFDDTGFEDNIDLIFDACYFGNDGNPEFMSENAGCGVQEFRISGSIEEENGKERLSSSSSSPFSTTTSVSCNYAL >Manes.10G063200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8934813:8939619:1 gene:Manes.10G063200.v8.1 transcript:Manes.10G063200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPDSSCSSSSSSSYSSSASSDTPPHQLRPETRNSKRVKRIHKKQDKCQPNTTSPTSGGRRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQNKKGRQVYLGAYDNEEAAARTYDLAALKYWGTETTLNFPIETYSKELEEMQKMSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAAIEYRGANAVTNFDISNYIDRLKMKGIPLDHILPADPLPNSSVESEEAEADVEQPPSPSPIPSSQPPPQEEDEEQQIASPQLQGTQLPTCIDTSTMINIDPIEDHDLTWSFCLDSGLVTLPVPDLPLENA >Manes.10G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8934746:8939619:1 gene:Manes.10G063200.v8.1 transcript:Manes.10G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPDSSCSSSSSSSYSSSASSDTPPHQLRPETRNSKRVKRIHKKQDKCQPNTTSPTSGGRRSSIYRGVTRHRWTGRFEAHLWDKSSWNNIQNKKGRQVYLGAYDNEEAAARTYDLAALKYWGTETTLNFPIETYSKELEEMQKMSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAAIEYRGANAVTNFDISNYIDRLKMKGIPLDHILPADPLPNSSVESEEAEADVEQPPSPSPIPSSQPPPQEEDEEQQIASPQLQGTQLPTCIDTSTMINIDPIEDHDLTWSFCLDSGLVTLPVPDLPLENACELPNLFDDTGFEDNIDLIFDACYFGNDGNPEFMSENAGCGVQEFRISGSIEEENGKERLSSSSSSPFSTTTSVSCNYAL >Manes.10G022100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2153655:2160889:-1 gene:Manes.10G022100.v8.1 transcript:Manes.10G022100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNITTGSTIYEPRDDMVFDSHEDAYSFYKEYAKSVGFGTAKLSSRRSRASREFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASLHVKRRPNGKWYIYSFVKEHNHELLPAQVHFFRSHRNADPLKNDARIRRRKNMTAVSKLFGAYQNVDCLDGYMRNQHDKGRSLVLEAGDAQILLELFMHMQEENPKFFYAVDFNEEHKLRNLFWVDAKGMEDYNNFGDVVCFDTTYFTNKYKIPLVLFIGVNHHIQPVLLGCALIADETIYTFFWLMQTWFTAMGERAPRVILTDQNNAIKTAIGAVFPQTRHCFCLWHILEKIPRQLEYLSPWLDSFMVKFNKCIFKSWTEEQFEKRWWKLLEKFNLREVEWVQSLYDDRKYWVPTFMRDVSFAGLSTIMRSESVNSSLDKYVRWETSMREFIEQYRLILEDMYEEEARADFDSWHETPELKSPSPFEKQMSFVYTHEIFKKFQFEVLGAAACHLKKEETEDETTTIYTVKDFEDNQNYMVEWNESKSEICCLCRSFEYKGYLCRHAIVVLQMSGVFRIPPKYVLQRWTNAALSRHSISERLDEVQTKVRRYNDLCRRAIILGEEGSLSEESYNIALCAIKEALKQCANLNNSVENCVGPTTLVSIEEENHSASTSKDVADAHSISANNSSRRVEAGKEKENDESNTFRKGKVPQSGSVNGGVPDSFHLVVCDTHILLTI >Manes.10G022100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2153655:2160889:-1 gene:Manes.10G022100.v8.1 transcript:Manes.10G022100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNITTGSTIYEPRDDMVFDSHEDAYSFYKEYAKSVGFGTAKLSSRRSRASREFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASLHVKRRPNGKWYIYSFVKEHNHELLPAQVHFFRSHRNADPLKNDARIRRRKNMTAVSKLFGAYQNVDCLDGYMRNQHDKGRSLVLEAGDAQILLELFMHMQEENPKFFYAVDFNEEHKLRNLFWVDAKGMEDYNNFGDVVCFDTTYFTNKYKIPLVLFIGVNHHIQPVLLGCALIADETIYTFFWLMQTWFTAMGERAPRVILTDQNNAIKTAIGAVFPQTRHCFCLWHILEKIPRQLEYLSPWLDSFMVKFNKCIFKSWTEEQFEKRWWKLLEKFNLREVEWVQSLYDDRKYWVPTFMRDVSFAGLSTIMRSESVNSSLDKYVRWETSMREFIEQYRLILEDMYEEEARADFDSWHETPELKSPSPFEKQMSFVYTHEIFKKFQFEVLGAAACHLKKEETEDETTTIYTVKDFEDNQNYMVEWNESKSEICCLCRSFEYKGYLCRHAIVVLQMSGVFRIPPKYVLQRWTNAALSRHSISERLDEVQTKVRRYNDLCRRAIILGEEGSLSEESYNIALCAIKEALKQCANLNNSVENCVGPTTLVSIEEENHSASTSKDVADAHSISANNSSRRVEAGKEKENDESNTFRKGKVPQSGSVNGGVPDSFHLVVCDTHILLTI >Manes.10G022100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2153656:2160889:-1 gene:Manes.10G022100.v8.1 transcript:Manes.10G022100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNITTGSTIYEPRDDMVFDSHEDAYSFYKEYAKSVGFGTAKLSSRRSRASREFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASLHVKRRPNGKWYIYSFVKEHNHELLPAQVHFFRSHRNADPLKNDARIRRRKNMTAVSKLFGAYQNVDCLDGYMRNQHDKGRSLVLEAGDAQILLELFMHMQEENPKFFYAVDFNEEHKLRNLFWVDAKGMEDYNNFGDVVCFDTTYFTNKYKIPLVLFIGVNHHIQPVLLGCALIADETIYTFFWLMQTWFTAMGERAPRVILTDQNNAIKTAIGAVFPQTRHCFCLWHILEKIPRQLEYLSPWLDSFMVKFNKCIFKSWTEEQFEKRWWKLLEKFNLREVEWVQSLYDDRKYWVPTFMRDVSFAGLSTIMRSESVNSSLDKYVRWETSMREFIEQYRLILEDMYEEEARADFDSWHETPELKSPSPFEKQMSFVYTHEIFKKFQFEVLGAAACHLKKEETEDETTTIYTVKDFEDNQNYMVEWNESKSEICCLCRSFEYKGYLCRHAIVVLQMSGVFRIPPKYVLQRWTNAALSRHSISERLDEVQTKVRRYNDLCRRAIILGEEGSLSEESYNIALCAIKEALKQCANLNNSVENCVGPTTLVSIEEENHSASTSKDVADAHSISANNSSRRVEAGKEKENDESNTFRKGKVPQSGSVNGGVPDSFHLVEMCDLSAVQSHNMVSAQLQNVVPTVFHSMTSTQFHSMAPSHLPEARLPR >Manes.10G022100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2154070:2160889:-1 gene:Manes.10G022100.v8.1 transcript:Manes.10G022100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNITTGSTIYEPRDDMVFDSHEDAYSFYKEYAKSVGFGTAKLSSRRSRASREFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASLHVKRRPNGKWYIYSFVKEHNHELLPAQVHFFRSHRNADPLKNDARIRRRKNMTAVSKLFGAYQNVDCLDGYMRNQHDKGRSLVLEAGDAQILLELFMHMQEENPKFFYAVDFNEEHKLRNLFWVDAKGMEDYNNFGDVVCFDTTYFTNKYKIPLVLFIGVNHHIQPVLLGCALIADETIYTFFWLMQTWFTAMGERAPRVILTDQNNAIKTAIGAVFPQTRHCFCLWHILEKIPRQLEYLSPWLDSFMVKFNKCIFKSWTEEQFEKRWWKLLEKFNLREVEWVQSLYDDRKYWVPTFMRDVSFAGLSTIMRSESVNSSLDKYVRWETSMREFIEQYRLILEDMYEEEARADFDSWHETPELKSPSPFEKQMSFVYTHEIFKKFQFEVLGAAACHLKKEETEDETTTIYTVKDFEDNQNYMVEWNESKSEICCLCRSFEYKGYLCRHAIVVLQMSGVFRIPPKYVLQRWTNAALSRHSISERLDEVQTKVRRYNDLCRRAIILGEEGSLSEESYNIALCAIKEALKQCANLNNSVENCVGPTTLVSIEEENHSASTSKDVADAHSISANNSSRRVEAGKEKENDESNTFRKGKVPQSGSVNGGVPDSFHLVEMCDLSAVQSHNMVSAQLQNVVPTVFHSMTSTQFHSMAPSHLPEARLPR >Manes.10G022100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2154070:2160889:-1 gene:Manes.10G022100.v8.1 transcript:Manes.10G022100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNITTGSTIYEPRDDMVFDSHEDAYSFYKEYAKSVGFGTAKLSSRRSRASREFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASLHVKRRPNGKWYIYSFVKEHNHELLPAQVHFFRSHRNADPLKNDARIRRRKNMTAVSKLFGAYQNVDCLDGYMRNQHDKGRSLVLEAGDAQILLELFMHMQEENPKFFYAVDFNEEHKLRNLFWVDAKGMEDYNNFGDVVCFDTTYFTNKYKIPLVLFIGVNHHIQPVLLGCALIADETIYTFFWLMQTWFTAMGERAPRVILTDQNNAIKTAIGAVFPQTRHCFCLWHILEKIPRQLEYLSPWLDSFMVKFNKCIFKSWTEEQFEKRWWKLLEKFNLREVEWVQSLYDDRKYWVPTFMRDVSFAGLSTIMRSESVNSSLDKYVRWETSMREFIEQYRLILEDMYEEEARADFDSWHETPELKSPSPFEKQMSFVYTHEIFKKFQFEVLGAAACHLKKEETEDETTTIYTVKDFEDNQNYMVEWNESKSEICCLCRSFEYKGYLCRHAIVVLQMSGVFRIPPKYVLQRWTNAALSRHSISERLDEVQTKVRRYNDLCRRAIILGEEGSLSEESYNIALCAIKEALKQCANLNNSVENCVGPTTLVSIEEENHSASTSKDVADAHSISANNSSRRVEAGKEKENDESNTFRKGKVPQSGSVNGGVPDSFHLVEMCDLSAVQSHNMVSAQLQNVVPTVFHSMTSTQFHSMAPSHLPEARLPR >Manes.10G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2153656:2160911:-1 gene:Manes.10G022100.v8.1 transcript:Manes.10G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNITTGSTIYEPRDDMVFDSHEDAYSFYKEYAKSVGFGTAKLSSRRSRASREFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASLHVKRRPNGKWYIYSFVKEHNHELLPAQVHFFRSHRNADPLKNDARIRRRKNMTAVSKLFGAYQNVDCLDGYMRNQHDKGRSLVLEAGDAQILLELFMHMQEENPKFFYAVDFNEEHKLRNLFWVDAKGMEDYNNFGDVVCFDTTYFTNKYKIPLVLFIGVNHHIQPVLLGCALIADETIYTFFWLMQTWFTAMGERAPRVILTDQNNAIKTAIGAVFPQTRHCFCLWHILEKIPRQLEYLSPWLDSFMVKFNKCIFKSWTEEQFEKRWWKLLEKFNLREVEWVQSLYDDRKYWVPTFMRDVSFAGLSTIMRSESVNSSLDKYVRWETSMREFIEQYRLILEDMYEEEARADFDSWHETPELKSPSPFEKQMSFVYTHEIFKKFQFEVLGAAACHLKKEETEDETTTIYTVKDFEDNQNYMVEWNESKSEICCLCRSFEYKGYLCRHAIVVLQMSGVFRIPPKYVLQRWTNAALSRHSISERLDEVQTKVRRYNDLCRRAIILGEEGSLSEESYNIALCAIKEALKQCANLNNSVENCVGPTTLVSIEEENHSASTSKDVADAHSISANNSSRRVEAGKEKENDESNTFRKGKVPQSGSVNGGVPDSFHLVEMCDLSAVQSHNMVSAQLQNVVPTVFHSMTSTQFHSMAPSHLPEARLPR >Manes.07G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26410286:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDQHEDDEMLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHAFEKPVKIYN >Manes.07G083000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26413255:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDHEDDEMLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQP >Manes.07G083000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26410286:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDQHEDDEMLVPHNDLADGPQPMEATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHAFEKPVKIYN >Manes.07G083000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26410286:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDQHEDDEMLVPHNDLADGPQPMEATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHAFEKPVKIYN >Manes.07G083000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26410286:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDHEDDEMLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHAFEKPVKIYN >Manes.07G083000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26410286:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHAFEKPVKIYN >Manes.07G083000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26410286:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHAFEKPVKIYN >Manes.07G083000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26410286:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDHEDDEMLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHAFEKPVKIYN >Manes.07G083000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26410286:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDQHEDDEMLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHAFEKPVKIYN >Manes.07G083000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26413255:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDQHEDDEMLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQP >Manes.07G083000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26413255:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDQHEDDEMLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQP >Manes.07G083000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26413255:26439691:-1 gene:Manes.07G083000.v8.1 transcript:Manes.07G083000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDHEDDEMLVPHNDLADGPQPMEVATAETASADAQSVDDPPSARFTWTIDNFSRLNTKKLYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLSVVNQIHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLQQIGKDIYFDLVDHDKVRSFRIQKQMPFNLFKEEVAKEFGVPVQFQRFWLWAKRQNHTYRPNRPLTAQEEAQSVGQLREVSNKANNAELKLFLEVEFGQDLRPIPPPEKTKEDILLFFKLYDPLKEELRYVGRLFVKGSGKPLEILTKLNKMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPQVGSGEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFCLELSKLHNYDDVVERVARHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLSPNDRLIHVYHFMKDPAQNQQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDTDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQP >Manes.16G094502.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30109428:30111751:1 gene:Manes.16G094502.v8.1 transcript:Manes.16G094502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPKGFHGNRRSQFSGILNGICLSVLLFLLYNQGNSLQNPFLLNSPSPLTLTQQRSLRGRKISEINGTTSRNDATVIPHTDPKLCAGLFEHKDYSNHCEYLIAHPECTSGGFFNYIRFFFCDCHKFAVLGYIILGLWLVALFYLLGNTAADYFCCSLEKLSYLLKLPPTVAGVSLLPLGNGAPDVFASIAAFAGKDTGEVGLNSVLGGAVFVTCVVVGTVSLCVAERRIQIDRTCFIRDICFFVFALVSLGIILIVGKVTVGGAVAFVSIYVIYALAVAANEILKKHAKRLRLDAVIPLLPVLESTLSHGSEDDESVYASLLDSDSKSDVPHLQNKLPHWMWSSHVAIYSNEFVKENPDGPKDVWGWNEEETVNDKFSCSCFRFFCLLEMPLTLLRRLTIPVVEEERWSKGYAVASATLAPIVLAILWNTQDDIGMLNREISYFLGVVSGGVLGVLAHLYTEDDQPPRKFLLPWVLGGFFMSIIWFYIVANELVALLVASGVILGISPSLLGITVLAWGNSMGDLMSSFALAINGGDSVQIAMSGCYAGPMFNTLVGLGLPMLLGAWFSRPESYRVPEDGSLLYTIGFLVSGLIWSLIVLPRNDMRPNKMLGFGLLTIYLMFLIFRLSTSVGDESVAGLS >Manes.08G153100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38955082:38970488:-1 gene:Manes.08G153100.v8.1 transcript:Manes.08G153100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGFYHETQILSWVLSYDGLHSPDCLAVTAAGIAVALSEVPTTKAIAGVRVGLVGDRFIVNPTTKEMEESELDLVLAGTDSAILMIEGYCDFLPEEKLLEAVQVGQDAVRAICNEIEALVKKCGKPKMLDAIKLPPPELYKHVEEIAGDELVNVLQIRNKVPRRKALASLEEKVICILTEKGYVSKDASFGTTETVADLLEDEEEDEEVVVDGEVDEGDVHIKPVSRKPSPLLFSEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRNPEGIRPINSMCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDVDEFKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPSEDDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGVAMGLVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPPPSKRLSKYAPLIHVMKVRPEKVNMIIGSGGKKVRSIIEETGVEAIDTDDDGIVKITAKDLSSLEKSKSIISNLVMVPTVGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELTSSFLPKAEDAFKVGDRVDVKLIEVNEKGQLRLSRKALLPEPTVEMPSAKQDANDQKTLASQKATDKSNPRRTVNSPKDGVNEEATEKLKDKTSDAVEDAAVLQKKVYKRLASSARDGPNVNKDKPKKSSNKVVTGVASEDGSTLVNGEAKIG >Manes.08G153100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38955083:38970481:-1 gene:Manes.08G153100.v8.1 transcript:Manes.08G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANPSSLHRRPHCLHSSAFSLSNTRFKLSLSSNCPRFTSSRKSKFPSLSLLFPNQRSNRFIARALEDSEVSESVIIDGLQAFSQAVSVKIPFGDRHILVETGHIGRQASGSVMVTDGETIVYTSACMDDIPSEPSDFFPLSVNYQERFSAAGRTSGGFFKREGRAKDHEVLICRLIDRPLRPTMLKGFYHETQILSWVLSYDGLHSPDCLAVTAAGIAVALSEVPTTKAIAGVRVGLVGDRFIVNPTTKEMEESELDLVLAGTDSAILMIEGYCDFLPEEKLLEAVQVGQDAVRAICNEIEALVKKCGKPKMLDAIKLPPPELYKHVEEIAGDELVNVLQIRNKVPRRKALASLEEKVICILTEKGYVSKDASFGTTETVADLLEDEEEDEEVVVDGEVDEGDVHIKPVSRKPSPLLFSEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRNPEGIRPINSMCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDVDEFKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPSEDDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGVAMGLVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPPPSKRLSKYAPLIHVMKVRPEKVNMIIGSGGKKVRSIIEETGVEAIDTDDDGIVKITAKDLSSLEKSKSIISNLVMVPTVGDIYRNCEIKSIAPYGVFVEIAPGREGLCHISELTSSFLPKAEDAFKVGDRVDVKLIEVNEKGQLRLSRKALLPEPTVEMPSAKQDANDQKTLASQKATDKSNPRRTVNSPKDGVNEEATEKLKDKTSDAVEDAAVLQKKVYKRLASSARDGPNVNKDKPKKSSNKVVTGVASEDGSTLVNGEAKIG >Manes.18G114201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11565362:11566438:-1 gene:Manes.18G114201.v8.1 transcript:Manes.18G114201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKFEAVEEDSFDNQGVAWWRGRLLGKGGCGSVYLASLKPESSNAFYPPHMAVKSAEFSLSRSLRKEKEVFDHLRDCPYILECYGEEITVNNKGQMVYNLLLEYASGETLFDLIRRSGDRGLPESDVKRYIRSIPKGIDYIHSHEYVHCDLKPENVLLVRSGSGDFVPKIGDFGLAKKVQKKKRRTFDSSIAGTILYMAPETLADHIQESPSDIWALGCIVYEMFTGKPLWGLNLAESTEELRERIADRFELPEIPCGISKDRKDFLKGCLVKNHKLRFTIKKLLNHRFVSGIDDKKSTSLLASLAFIGLSCLISKSYCKRTILESFYKFLALYTLLCKIIRY >Manes.02G106300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8291129:8294964:-1 gene:Manes.02G106300.v8.1 transcript:Manes.02G106300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSFFDRMIKHLRASCKYYTGYPKDLDPSRVVHFTSEREFVQLLHEGRPVVVAFTIRGNYTKHLDRVLEEAAAEFYPHVKFVRVECPKYPGFCITRQKKEYPFIEIFHSPAQATIQGRVVDPGVTRYSVKVLPFNYDLSAYGFREFFKRQNIQSSEAK >Manes.03G182000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30393994:30399608:-1 gene:Manes.03G182000.v8.1 transcript:Manes.03G182000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFGSVRRSLVFKSTSGEDGGFSGLVEKIGSSIRKSRIGLFAKQPPLPALPPCKKDDTPPIRWRKGELIGCGAFGRVYMGMNLDSGELLAVKQVLIASNSASKEKTQAHIRELEEEVKLLKNLSHPNIVRYLGTAREDDSLNILLEFIPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSVEAKDFLLKCLQKEPNLRPTASELLQHPFVTGEYQELHIAPGNSVMESGHPTVTTGMNVKNSMNSVFRGSTCAGLKDVCEMGSMRSSTIYPQNLPSSGSYWAEANFDDDMCQIDDKDDFVVSASARFKSAFASADLSKSFNPMCEPTDAWSCKFDESPESKRGGINFSSNQSIHDIAVSPVESGKTEGDFTFPCGPVATEDDEEVTESKIRAFLDEKALDLKKLQTPLYEEFYNTLNAVGLPSAVGTANNENSTNLPNLPPKSRSPKRLPSRRLSVVDAINSVSPSNTNNKVSTGSIHKRALQEIHQPQVTEWKDPLLDAQQETITPSASFSERQRKWKEELDEELERKRELMRQAGIGGKTSSPKDRILTQHRDRLQFMFPRK >Manes.16G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29035287:29037161:1 gene:Manes.16G084200.v8.1 transcript:Manes.16G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAGNSQTKKSQLFSPRQSPVANYPQKQGKPTATANGSRGFCRSLNDCMSMGSVASTSQNQPQPPPKLQQQVADLEREVLKQRELRIIYRKRMERTHDYLKYCLQVAQDNGFLNLIIKNKDSDFDRQDCPFPSTLISTETSPQLSPPLHQHPDLAGLICQAKMNGWYIDHPEIELQEKIGQGSTAEIYRAVWRGLDVAVKCISPSFFESNENGESFFAQELDTLSRQRHRFVLQLMGACLDPPDHAWVVTEYLGMTLKEWLHGPGNSRRKERLVPLPPIEERIIKALEISQAMQYLHQQKPKLIHRDLKPSNIFLDDANHVRVADFGHARFLSDEEMAFTGETGTYVYMAPEVIRYEPYNEKCDVYSFAIILNEIMTGTHPYIETDFGPTKIAMEVAEGYLRPMLPEDDGQLGEIINLICLSWDQDASVRPSFAKITSTLKKFHQKITESSEIDYCI >Manes.14G007000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:334950:337059:1 gene:Manes.14G007000.v8.1 transcript:Manes.14G007000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCRMLGVERIWPPKFNSFPTETAAQPSPGIRSASPDLSLSCVSEMGTIVSKAANGLGGVLANVFSAPFKTILGASCEDVCAGPWDVVCFIEHLCVSDLVKLLMILGLCYIRRSICKMCWAGCETYWFSLQYVTCFLWHKLKNTKRVHRRRFRDIEKGITSTSESDYSDNCHHVVRKKREGRNFHLQSSRYASSHSRYRHHHHVTLKTGKISAHVKGGSQRLRNSRRLKINKVKNHRKDLGIMRRRRRGMR >Manes.03G183700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30499157:30500429:1 gene:Manes.03G183700.v8.1 transcript:Manes.03G183700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPIPINATLLEFHKTGVGGSRITTVQPYTTLETSIYKSLAKAFDEQMLVTTGNRTVRKVAAVEPFKDCYLKQDLDMSLLGISVPDINLVFENLDVNWAIFGANSMVEVSNDVVCLGFVDRGPDTILTTTSIDIGAHQLEDNLLQFDLAASRLAFTSTLLLQEVECSNFKF >Manes.14G161575.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24196819:24197372:-1 gene:Manes.14G161575.v8.1 transcript:Manes.14G161575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLAWGNENLKIKNSLLTAATDSFSLMIHSVFNFCPLSKGEAPTIKSNQKQTSWLDDASCGKGIPSILTWKMRLKIMLTRTFKKLKSYTKIRLQIFKVNNIYVIYKF >Manes.16G044700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7482591:7491414:1 gene:Manes.16G044700.v8.1 transcript:Manes.16G044700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKFHRVLDTIFGSTMILLLILIATGSINEVKAQAGRLPSQEVEALQEIATQLGKKDWNFKIDPCSNDTNWLTPISKDRPYFSNRVNCNCSFLGGECHVESIFLKAQDLAGVLPRAIVKLPFLKKLDLTRNYLTGNIPSEWASTKLEFLSLSVNRLTGQIPSYLGNITTLTALGIENNMFSGTVPPQLGNLVNMEILYLGANNLTGNLPLALANWTKLIELRISGNNFTGKIPSFIQGWKNLQKLEIQASGFEGPIPSGISALNNLTELRISDLHGEGSEFPNLENMTGIKLLMLRNCNISGSIPPYIAARPTLKILDLSFNRLGGNISRDLEGLTELENVYLTSNLLSGPIPDWLKNGDTRAEIDLSRNNFTEISLPNTCRDTLNLFKSTSGGNDSKAAVECLKNFACTKDRYSLHINCGGGATTIEDISYVADEESGAAAKYVPTRESWEISNSGHFWDKNRSVSDYIAQNVSILRMKNSELYTRARVSPLSLTYYIRCLAKGNYNVKLHFAEIVFRDNSSYYSLGRRIFDVYIQENRVLKDFDITKEAGGVDKVSIQNFKALVKDGTIEIHLRWAGKGTTAVPIRGTYGPLISAINVESELKPPSSDGGKRKKFIVAGAIVLPLFLILIVVGTLWWKGCLGGRAAKEQELLGLDLQTGIFTFRQIKAATNNFDPANKIGQGGFGSVYKGTLSDGTIVAVKQLSSKSKQGHREFLNEIGMISALQHPNLVRLYGCCAEGNQLLLVYEYMENNSLAHALFGTEEIQLKMDWRTRHRICVGIAKGLAFLHEESALKIVHRDIKAANILLDRDLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVVGKSNMKYRPDENFVCLMDWALVLQQKGDLTELIDPRLGSNFKKEEAIRMIKVALLCTFSSPALRPTMSEVVKMLEGRAPVHELVVNPAMHGEQLELGGLRSKFDHISLHSSSESRSLVHSSGAPWTASSSSSGQDLSSINPDLQ >Manes.18G145282.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28131740:28133716:-1 gene:Manes.18G145282.v8.1 transcript:Manes.18G145282.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVRETVEENAVDGLEANSYFKNSLYQQRLAANVTMDIINEAITKKLDVKSFSSTSNNTICVADFGCAVGPNTFISLQALIDIIKKKYLSQFPNEPMPEFQVFFNDMPSNDFNTLFRSLPSDREYFAAGVPGSFHGRVFPSSSLHVVQSNYALHWLSRVPESLEDKNSPAWNKGKIHYAGASDEVLKAYAERWAEDLNNFLNARAEEIMPGGTLIVIMPSIPDGMPYSELANGILYSCFESILLDMAKKGTISEKQVDAFNLPIYAATPGEFAAAVEKNGYFNIEAIGLTNPAPWLTDTMHVEMKEYVRHIRAPMEGMFTKHFPEEIVDEIFEELLVNQLPKVFAKMERAYKDKIQSHYVLQRK >Manes.18G145282.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28131740:28133716:-1 gene:Manes.18G145282.v8.1 transcript:Manes.18G145282.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVRETVEENAVDGLEANSYFKNSLYQRLAANVTMDIINEAITKKLDVKSFSSTSNNTICVADFGCAVGPNTFISLQALIDIIKKKYLSQFPNEPMPEFQVFFNDMPSNDFNTLFRSLPSDREYFAAGVPGSFHGRVFPSSSLHVVQSNYALHWLSRVPESLEDKNSPAWNKGKIHYAGASDEVLKAYAERWAEDLNNFLNARAEEIMPGGTLIVIMPSIPDGMPYSELANGILYSCFESILLDMAKKGTISEKQVDAFNLPIYAATPGEFAAAVEKNGYFNIEAIGLTNPAPWLTDTMHVEMKEYVRHIRAPMEGMFTKHFPEEIVDEIFEELLVNQLPKVFAKMERAYKDKIQSHYVLQRK >Manes.11G115700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27225295:27235058:1 gene:Manes.11G115700.v8.1 transcript:Manes.11G115700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLSALVYKGSIPEAILESKKQKKLFVVYISGSDEKSIELEKSTWTDPKVAESLSKYCIFLRIPESSTDAANFCAIYPQKSVPSIAAIGYNGVQLWQSEGIVSGEVLASSLEKAWLGLHIQETTATVLTATLASKKPELSTAESSDISSSQQGSSSGTVVPPPSVDKHVESSDVGPPGASNSVEESNIGEHMVEGNGTNFDDKALSKSSNADKSLSIEAEQAASHGDEAKELTSPPRLDPDSSVAGGMSSSTEDKHPAEEKIINQYLGIPGGDSHLVTSEKNEAEQNERDKCVDAIKADIVGNNKSVNAASEVHLNIRLPSGVSLQDKFSVTSTLGMIKDYVDSNQASGIGPYDLAIPYPRKVFSNQDLSKSLSELGLFNRQALIVVPHQRATNYHRGGSSSDQTSTITDSDSVARNGGYFAYVKTMLSYINPLSYLGGNTSSSSPGQAQSGMWEYSPNPALQSNNLARTDRPYSSNSPNQSTSATGRNDNRSRQPTTSPFGSNIHTLKHDEDDSQFGDRNPFWNGNSTQYGGNSDGK >Manes.11G115700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27225318:27234576:1 gene:Manes.11G115700.v8.1 transcript:Manes.11G115700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLSALVYKGSIPEAILESKKQKKLFVVYISGSDEKSIELEKSTWTDPKVAESLSKYCIFLRIPESSTDAANFCAIYPQKSVPSIAAIGYNGVQLWQSGIVSGEVLASSLEKAWLGLHIQETTATVLTATLASKKPELSTAESSDISSSQQGSSSGTVVPPPSVDKHVESSDVGPPGASNSVEESNIGEHMVEGNGTNFDDKALSKSSNADKSLSIEAEQAASHGDEAKELTSPPRLDPDSSVAGGMSSSTEDKHPAEEKIINQYLGIPGGDSHLVTSEKNEAEQNERDKCVDAIKADIVGNNKSVNAASEVHLNIRLPSGVSLQDKFSVTSTLGMIKDYVDSNQASGIGPYDLAIPYPRKVFSNQDLSKSLSELGLFNRQALIVVPHQRATNYHRGGSSSDQTSTITDSDSVARNGGYFAYVKTMLSYINPLSYLGGNTSSSSPGQAQSGMWEYSPNPALQSNNLARTDRPYSSNSPNQSTSATGRNDNRSRQPTTSPFGSNIHTLKHDEDDSQFGDRNPFWNGNSTQYGGNSDGK >Manes.11G115700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27225318:27234576:1 gene:Manes.11G115700.v8.1 transcript:Manes.11G115700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLSALVYKGSIPEAILESKKQKKLFVVYISGSDEKSIELEKSTWTDPKVAESLSKYCIFLRIPESSTDAANFCAIYPQKSVPSIAAIGYNGVQLWQSEGIVSGEVLASSLEKAWLGLHIQETTATVLTATLASKKPELSTAESSDISSSQQGSSSGTVVPPPSVDKHVESSDVGPPGASNSVEESNIGEHMVEGNGTNFDDKALSKSSNADKSLSIEAEQAASHGDEAKELTSPPRLDPDSSVAGGMSSSTEDKHPAEEKIINQYLGIPGGDSHLVTSEKNEAEQNERDKCVDAIKADIVGNNKSVNAASEVHLNIRLPSGVSLQDKFSVTSTLGMIKDYVDSNQASGIGPYDLAIPYPRKVFSNQDLSKSLSELGLFNRQALIVVPHQRATNYHRGGSSSDQTSTITDSDSVARNGGYFAYVKTMLSYINPLSYLGGNTSSSSPGQAQSGMWEYSPNPALQSNNLARTDRPYSSNSPNQSTSATGRNDNRSRQPTTSPFGSNIHTLKHDEDDSQFGDRNPFWNGNSTQYGGNSDGK >Manes.14G066600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5548280:5556823:-1 gene:Manes.14G066600.v8.1 transcript:Manes.14G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPNMWGMGQAASTLPHLTSFCCLDFSAKKSNNFSFVYGWGFTPLLDYRTNKNKMPSFFSNKNRVNSNFHCCSCSNTNNNANNNISGSASSSLDWDWNRWSRHFSEIEQAESFASVLKFQLEDAIENEDFQEAAKLKTAIAEATSRDNVAEIMSELQNAIDEERYHDASRLCKYTGSGLVGWWVGYSTDSDDPFGRLVRITPGVGRFIGRSYSPRQLVTASPGTPLFEIFVVKDAEERYVMQVVYLRRAKGVSTNSTGSPSTGKSPSAAEVERASAIDVQRNEVKAEKSEEKGINIEGATEEGIKSVINFLKDKIPGLKIKVMNVNATEEVIEDNDPVKQLMQEDEEKTTSIENSEDETGKLEEIQPDGVSLEGGSDPSEDAKDLDMKLFIGGVVHNDEDSPNKDEYVRLPAHLNDMERDSFVLHIPEKSLDYDSKESKASRIKVAAIAAKGVSELMPPDVAKAFWSADKVSSKVSKDVREIVKLAVSQAQKQSRLSKYTNFSRIITSNNNFDPFDGLYVGAFGPYGTEVVQLRRKFGHWNGVDDKSSDVEFFEYVEAVKLTGDLNVPSGQVTFRAKIGRGSRTSNRGMYPDELGVVSSYKGQGRIAEFGFRNPQWVDGELLQLNGKGIGPYVKGADLGFLYVIPEQSFLVLFSRLKLPD >Manes.13G080360.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16563461:16564501:1 gene:Manes.13G080360.v8.1 transcript:Manes.13G080360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPCKDLLLVAFILLCFVSIGARGRSLQVAKSNNEVEKVKDHQENSFTSKGNGVTPDADELLRMDYSGPQKKPPIHN >Manes.07G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8694149:8696843:-1 gene:Manes.07G059400.v8.1 transcript:Manes.07G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSIGAYWVLVFLYILLSSGNSILAFSYDYSATTECLKEPQRAQYGGGIIANPEFTHTLEGWNVHGEGTIREGISKNGNRYIIAHGRTQSLDGASQTVQFVEGNLYTFSVWVQINRGSESVAAVLRTAAGELIRVGQVIARDGCWSFLKGGVFANFSGPAELLFQCKNTNVEIWIDNVSLQPFTMEQWRSHQDEIIQKEHKRKVTFQVKFANKTAVKGAVVSIKQTQSSLPFGCGMNHHILESEAYKNWFTSRFKYTTFTNEMKWYSTEIIQGREDYTVADTMVRFAKRHGISIRGHNILWDNPKYQPDWVKNLSPNDLRKAAAKRINSVVSRYAGKLIAWDVMNENLHFSFYEDKLGANASAEYFSRAFQLDPKTGLFMNEFNTIEDRRDEAASPTNYKRRLEQILSYPGNKGILAGIGVQGHFIYGQPDLAYMRASLDILASNGLPIWLTEVSLEAGPNQAEYFEQVLREGHSHPGVEGIIMFAGPEIAGFNATTLADKDFKNTPAGDVVDKLINEWKATTAELTTDSEGSIEVSLFHGDYNITVKDPLSNSSTSWNYNLRKDDKGGNVHILINA >Manes.10G124912.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28835622:28836463:1 gene:Manes.10G124912.v8.1 transcript:Manes.10G124912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLEVLFTKKLTDTDFKHRMAVPMETYQLGVFSIPEGEFSKEFDFIDVDDNSVKKFTCCKRIKGHPKPEFRKGWTCYVKEKHLVEGDEVIFYKEEDETGRITFKIQAKRNQCLLFGQDLRNRVRASTYSR >Manes.17G081300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:28625523:28626530:-1 gene:Manes.17G081300.v8.1 transcript:Manes.17G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQTQRPLILSLLFATFLLHLQPIATVASAYPAPAPQSNSTDYIRTSCGATLYPEICFTSLSRYASAVQQDPARLARVAIGVSLSRARHMAVYVSNISREADYGSDHRAAAALHDCYSNFGDAVDEIHGSLKQMRQIGAAGSSAEAFRFQMSNVQTWMSAALTDEETCTDGFEDVPDGPVKSDVCERAADVKKFTSNALALVNSYAAKGMP >Manes.01G123400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31890588:31898016:1 gene:Manes.01G123400.v8.1 transcript:Manes.01G123400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKVLVSRQFVDMSRMRIEGLLAAFPKLIGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYSMSLDEEGICKTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKTRIEKNRGDKGGFMSLQSMGSGRIESKFSDMSISSNEGGFGGGSGFGLTTDIDSFSSKSKGRQPSSATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILDEVQPKAGQSRSAASPPTDPVTLTVEEKLNVTLRRDGGMNNFDVQGQLSLQILNQDDGLIQVQIETGGNPSILFKTHPNMNKELFANENILGLKDPNRPFPTGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETFVSIEYEASSLFDLRNVVISVPLPALREAPSVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSAFFPISVQFSATSTYSDLKVANVLPLRGGPPPKFSQRTQLVTENYQVV >Manes.01G123400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31890308:31898960:1 gene:Manes.01G123400.v8.1 transcript:Manes.01G123400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKVLVSRQFVDMSRMRIEGLLAAFPKLIGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYSMSLDEEGICKTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKTRIEKNRGDKGGFMSLQSMGSGRIESKFSDMSISSNEGGFGGGSGFGLTTDIDSFSSKSKGRQPSSATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILDEVQPKAGQSRSAASPPTDPVTLTVEEKLNVTLRRDGGMNNFDVQGQLSLQILNQDDGLIQVQIETGGNPSILFKTHPNMNKELFANENILGLKDPNRPFPTGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETFVSIEYEASSLFDLRNVVISVPLPALREAPSVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSAFFPISVQFSATSTYSDLKVANVLPLRGGPPPKFSQRTQLVTENYQVV >Manes.01G123400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31890495:31898166:1 gene:Manes.01G123400.v8.1 transcript:Manes.01G123400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIVSKSGKVLVSRQFVDMSRMRIEGLLAAFPKLIGTGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILEDLETLRLLSKLVPEYSMSLDEEGICKTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKTRIEKNRGDKGGFMSLQSMGSGRIESKFSDMSISSNEGGFGGGSGFGLTTDIDSFSSKSKGRQPSSATAPPKGLGMKLGKTQRTNQFLESLKAEGEVILDEVQPKAGQSRSAASPPTDPVTLTVEEKLNVTLRRDGGMNNFDVQGQLSLQILNQDDGLIQVQIETGGNPSILFKTHPNMNKELFANENILGLKDPNRPFPTGDAAGVGLLKWRMQSADESMVPLTINCWPSVSGNETFVSIEYEASSLFDLRNVVISVPLPALREAPSVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSAFFPISVQFSATSTYSDLKVANVLPLRGGPPPKFSQRTQLVTENYQVV >Manes.16G072901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:27517023:27518243:-1 gene:Manes.16G072901.v8.1 transcript:Manes.16G072901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSGVFLIILCFFFFFHVEAQNTLNSHSGLLNPLHPSLVAVILIISIMLSATFLIFAYAKFCHRNTADDQNFLGLSRSRSRFSGIDRAVIDSLPFFRFSSLKGSKQGLECAVCLSTFEESEILRLLPKCKHAFHKNCIDQWLQNHSSCPLCRYKFDPMDLKSFSFSNSWRFLQNPSNLTEDPNVEIFVQREQNYHGSSRFNLGTNDKEEEFLLVQEGGKNQKLLHKFKHRIIVSDVIIKNRWSDVNSSDLLSLNSEMLNFLSSNRFSPSNSSSSAGISMKENIEKIKEDIERKRFYESKISKADKSDSFSSSNVPSTSFQEESSRKIINPGEKRSMSEITICSRFKELNLKNKIKESAVSPNRSDEQEHRIRKLWLPMARRTVQWFAGGERNLQELEYNRQTSNV >Manes.08G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12851529:12853218:-1 gene:Manes.08G068400.v8.1 transcript:Manes.08G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVETAATVPEVIKTEETKTEEPAAPPASEPVAADAKPAEEPAAAPTEPEVEAPAEAETENVEEVEKVEKTEEPAPEVDQETEKPAEAEAEPEAEAGAEPEPEPVAEEKPTETVTETPAAPAEEEKPAEEEKPVTEAPETATTEVPVEKTEE >Manes.09G118000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32073503:32083132:1 gene:Manes.09G118000.v8.1 transcript:Manes.09G118000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGMLVSVFLLFFIVADVSNASLLSAFRRFVAVASTGTNQVNLSLSVFVLFFFRENNLIFVCLFVYKISPSPSPDPLLVGNSTTSNGTTSKDKKVPDDSNKVDSGSKGSIAGPPPQNKKEDVKANGTTNKNDNKTDSQSEVGQNCTGMTRRCKDQDKLVACILNFQTGHTKFVVLVQNEGESNLKVNLSAPNPSDNVVLEILKHQTIKINLTVGNGNEVILKTGKGKCILHVDLPASQENNFLHLPSYDKLITPINGAYFVILTVLIFGGLWLCCLFKKKKQQDGIPYQELEMGLPESSLNNVETAEGWDEGWDDDWDEENAVKSPAAHRTGSISANGLTSRSPKKDQWENDWDD >Manes.09G118000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32073503:32083132:1 gene:Manes.09G118000.v8.1 transcript:Manes.09G118000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGMLVSVFLLFFIVADVSNASLLSAFRRFVAVASTGTNQISPSPSPDPLLVGNSTTSNGTTSKDKKVPDDSNKVDSGSKGSIAGPPPQNKKEDVKANGTTNKNDNKTDSQSEVGQNCTGMTRRCKDQDKLVACILNFQTGHTKFVVLVQNEGESNLKVNLSAPNPSDNVVLEILKHQTIKINLTVGNGNEVILKTGKGKCILHVDLPASQENNFLHLPSYDKLITPINGAYFVILTVLIFGGLWLCCLFKKKKQQDGIPYQELEMGLPESSLNNVETAEGWDEGWDDDWDEENAVKSPAAHRTGSISANGLTSRSPKKDQWENDWDD >Manes.09G118000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32073503:32083225:1 gene:Manes.09G118000.v8.1 transcript:Manes.09G118000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNGMLVSVFLLFFIVADVSNASLLSAFRRFVAVASTGTNQISPSPSPDPLLVGNSTTSNGTTSKDKKVPDDSNKVDSGSKGSIAGPPPQNKKEDVKANGTTNKNDNKTDSQSEVGQNCTGMTRRCKDQDKLVACILNFQTGHTKFVVLVQNEGESNLKVNLSAPNPSDNVVLEILKHQTIKINLTVGNGNEVILKTGKGKCILHVDLPASQENNFLHLPSYDKLITPINGAYFVILTVLIFGGLWLCCLFKKKKQQDGIPYQELEMGLPESSLNNVETAEGWDEGWDDDWDEENAVKSPAAHRTGSISANGLTSRSPKKDQWENDWDD >Manes.02G110400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8559480:8560710:-1 gene:Manes.02G110400.v8.1 transcript:Manes.02G110400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTNLETESGSTKRKPSIPPPPPPPPFPRFWVRKTAPESVTNQEIAKFWRQKHLKEEDHLLAAIKAAARLRARNLTEEDYKQFEESLKDENGCKDGILVSAKDENKKEVRVGIKDWWTKSKYAYLNQPAIESMDPAKRRSSNYVPNCFSFKPTPLYPTSLGVF >Manes.02G110400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8559480:8560710:-1 gene:Manes.02G110400.v8.1 transcript:Manes.02G110400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTNLETESGSTKRKPSIPPPPPPPPFPRFWVRKTAPESVTNQEIAKFWRQKHLKEEDHLLAAIKAAARLRARNLTEEDYKQFEESLKDENGCKDGILVSAKDENKKEVRVGIKDWWTKSKYAYLNQPAIESMDPAKRRSSNYVPNCFSFKPTPLYPTSLGVF >Manes.09G043100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747047:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738592:7747253:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICFSQQLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738663:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738593:7747047:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738593:7747047:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICFSQQLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICFSQQLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738663:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICFSQQLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738593:7747047:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738593:7747047:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICFSQQLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738663:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738657:7747253:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICFSQQLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747047:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747047:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVSRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.09G043100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7738706:7747048:-1 gene:Manes.09G043100.v8.1 transcript:Manes.09G043100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASQELTDNDALKTLVRSQLLRSSPDENEGCTENVIEKKTSEVSYFLDMLRSASVSEHEESKTSQTSHAEWKLKDDNEEYRVMYQPGPHGTPLHTLLVEGYVDGPLDTCLCISWESTLYKKWWPQISFPPFKITNCKCLQKVQIGEQISLVRVKVTWPLSAREAVVHYFLFEYLKDGLVVVLLNTISDLESVDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTKDRSYFRTIATVDLKLDFVPPSLINFISRQLVGSGFKLYQKAVASVSTHDEDYRKALEDPMYARIRESLYSTDETNGTTEGKELENDACLPLQEHSPKDMEKNLEDMKPKVTGGEGASESMLENAQVTDKKIFCEIEEDESEELIQLKDEIDDTELKVHSNGSVTEILQNITVTTDRKAFDEIEEEESDVSVEVENCGESIGPPLTDKFVTKSLGNCKRNILVSPEVEEALETLEKAISLVRECGFNSPGKFSPGVISDNSPNLQKGAEKDSPLADDRVSSDSEVSAEVSEKVTAVERTSHESRNKSSNRDVRRVGSNSYTREVNHNKIAPASPEQYLPIATESIQVLRTSKDVNADLPITDRTLRNEKQMDIEVNGIHENVLQVEKKSSWRRKHRLCCFSPSRP >Manes.16G083100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28968289:28968770:1 gene:Manes.16G083100.v8.1 transcript:Manes.16G083100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIKREKKASSSSTSTSPCAHLRAAYQNCFNRWYSEKFVKGQWEKEECVSEWQKYRACLSEHLDDKHLSRFLEAEIIPADLGNPVDGAAAGVPQ >Manes.13G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6331118:6335236:-1 gene:Manes.13G054700.v8.1 transcript:Manes.13G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSITPTLPMTTISKLSIYAKPPNFVCLPCTFTNCSVSKPTKPRACNNGGLFTFACSTSPFIGRVGLQRREGNISLLYFGINPNGEVSKTDASQVLSAMLPFVVAATAIAALAQPSTFTWVSKEVYAPALGGIMLSIGIKLSIDDFALAFKRPLPLSVGFLAQYALKPALGVLIAKAFGMSRMFYAGFVLTSCVAGAQLSSYASFLSKGDVAVSILLTSSTTIASALVTPLLTGLLIGSVVPVDAIAMSKSILQVVLVPVTLGLVLNTYAKPVVNVIQPVMPFVAIICTSICIGSPLAINRSQILSSEGLRLVLPVLAFHTVAFTFGYWVSRIPALRLDEEVSRTISLCTGMQSSTMAGLLASQFLGTTHAVPPACSVVAMAIMGLSLASFWGNGSRIRDLPSRLFPRFGTTVKA >Manes.01G000310.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1047224:1048148:-1 gene:Manes.01G000310.v8.1 transcript:Manes.01G000310.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFLISATNGGPTTSSSAATTTAICTLHQEGSSSQETEATNQLLGKRKIQDLVSQVLLAIQCCAVISSLYCYVVLFKFFRLSFSFSCKNVTELLLSYSYNDKYVAVLYL >Manes.03G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:723699:733773:-1 gene:Manes.03G009000.v8.1 transcript:Manes.03G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVDAMEEDIGTSSKGEKLPNGLDMEMDFEDDDEDDEEEDEFIDLTTSLSDLGEGFLKDFCKRAATLFFNEYGLISHQINSYNDFIHNGLQKAFDSFGELIVEPGYDPSKKGENEWRYASIRFGKVTLDKPSFWAGSDGGEHNMLPRHARLQNMTYSSRMKVNVNVEVYTRKIVRSDKFKTGKDQFVDKVVLTTDNRDIIVGRMPVMVKSDLCWMKTVEKGDCDFDHGGYFLIKGAEKVFIAQEQICLKRLWISNTQGWTVAYKSEVKRNRLIVRLVGLSKDDNIKGETKGLTVYFLSTEIPIWILFFALGVKSDKEVVDLIDYNTENASIMNIFFASILDADEKCEGFRTERTALDYVIKQIRGTRFPPGENEDFISLYLFPHLHSPRQKARFIGYMVKCLLQAYSGQRKCDNRDSFRNKRFELAAELLERELKVHIAHARRRMAKVLQKDLYGDRDVRPIEHYLDASIVTNGLSRAFSTGAWSHPFKRMERISGVVANLGRTNPLQTMVDLRKTRQLVQYTGKVGDARYPHPSHWGRVCFLSTPDGENCGLVKNLAATGLVSTNISEPLVDKLFDCGMEKLVDDTNTKLHRKYRVFLNGEWVGVCEDSHLFVAEFRRLRRRKRFHQQVEIKRDEQQREVRIFSDAGRILRPLVVVQNLTKIKAFKGGNYTFQSLLDKGIVEFVGTEEEEDCSIAWGVKFLLAGPDGKQSLKYTHCELDLSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFPTTNPNIRVDTLSHQLHYPQRPLFRTMTSDCLGKPGYRRGHNGMLPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRSSLERGMFRSEHIRSYKADVDNKELVDKRRRFDDPVNFGKIQSKIGRVDSLDDDGFPFIGANLQSGDIVIGRCSESGADHSVKLKHTERGMVQKVVLSSNDEGKNFAVVSLRQVRAPCLGDKFSSMHGQKGVLGFLESQENFPFTRQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSMRHATPFSTLSVEAITDQLHRAGFSRWGSERVYNGRTGEMVRSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSHMHICQKCKNVANVIQRGVPGGRKIRGPYCRVCESADDIVRVNVPYGAKLLCQELFSMGINLKFETRLC >Manes.03G160850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28784604:28785324:-1 gene:Manes.03G160850.v8.1 transcript:Manes.03G160850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLIANNSASKSNKKRGWWTRLLFTATFASAKLVYKHVLRQNIFTQAHRNISLTLRPGIISLFVCARIKLHALIKISPLEA >Manes.03G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6999092:7003775:1 gene:Manes.03G061000.v8.1 transcript:Manes.03G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRRIGVLARHLGVEMDSQSHSANVISASPTSGFQANSVFSHVVRAPEDPILGVTVAYNKDPSPVKLNLGVGAYRTDEGKPLVLNVVRKAEQQLVNDRSRVKEYLPITGLADFNKLSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGAEFLARHYHQRTIYIPQPTWGNHPKVFTLAGLSVKTYRYYDPATRGLNFQGLLEDIGSAPSGAIVLLHACAHNPTGVDPTLQQWENIRKLMRSKGLLPFFDSAYQGFASGSLDSDAKPVRMFVADGGELLVAQSYAKNMGLYGERVGALSIVCRNADVAGRVESQLKLVIRPMYSNPPIHGASIVAFILKDRNLYNEWTIELKAMADRIISMRRQLFDALRARGTPGDWSHIIKQIGMFTFTGLNSAQVAFMTKEYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTRAAYN >Manes.03G045900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4033577:4041138:1 gene:Manes.03G045900.v8.1 transcript:Manes.03G045900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDAPWGPHGTALAEIAQATKKFTECRMVMNVLWTRLGETGKEWRLVYKALSVIEYLVAHGSERAVDDIIEHTFQISSLTSFEYTEPSGKDAGLNVRKKAENIVALLNDKEKIQETRNKAAANREKYVGLSSTGITYKSGSASFGGSSFQSSSRYGGFSGTRDSDGFRDSYRDRDRYGEEKTEKDTYRKSRQGDVNDDQGNTWKKGSPRTGSRDQNNASANASKSSSKLNDPHKNNSVPSQHTSAPSSNYEGDLDDDFDPRGSSSSKPAAATSNQVDLFGESLIGDLMDAPSVPAETLATNGNSSEVDLFADATFVSAPPQVEKGASSQSQTQVDLFASESVTSAPVDFFSSADPVMQPETKTEKSDSTKNNIVDPFAAVPLNSFDGSDLFGAFSSSSNSASTEPAKDPINDGSLNDLNMNASDAKPTQKKETFQVKSGIWADSLSRGLIDLNISAPKKVSLVDVGVVGDLGDGQNEKDKGPPPTSFYMGRAMGTGSGLGRSGFASSESQALDADFFSSLGSQQYQFGSFKK >Manes.03G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4033577:4041138:1 gene:Manes.03G045900.v8.1 transcript:Manes.03G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDAPWGPHGTALAEIAQATKKFTECRMVMNVLWTRLGETGKEWRLVYKALSVIEYLVAHGSERAVDDIIEHTFQISSLTSFEYTEPSGKDAGLNVRKKAENIVALLNDKEKIQETRNKAAANREKYVGLSSTGITYKSGSASFGGSSFQSSSRYGGFSGTRDSDGFRDSYRDRDRYGEEKTEKDTYRKSRQGDVNDDQGNTWKKGSPRTGRDQNNASANASKSSSKLNDPHKNNSVPSQHTSAPSSNYEGDLDDDFDPRGSSSSKPAAATSNQVDLFGESLIGDLMDAPSVPAETLATNGNSSEVDLFADATFVSAPPQVEKGASSQSQTQVDLFASESVTSAPVDFFSSADPVMQPETKTEKSDSTKNNIVDPFAAVPLNSFDGSDLFGAFSSSSNSASTEPAKDPINDGSLNDLNMNASDAKPTQKKETFQVKSGIWADSLSRGLIDLNISAPKKVSLVDVGVVGDLGDGQNEKDKGPPPTSFYMGRAMGTGSGLGRSGFASSESQALDADFFSSLGSQQYQFGSFKK >Manes.10G134500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30221044:30222315:-1 gene:Manes.10G134500.v8.1 transcript:Manes.10G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEISKFLKVWLIVFASLFYCYAIGNKFPIGKTRFLLLLPIIFLFLYLPLNLSTIHLGGITAFFIAWLANFKLLLFAFGKGPLSSQYPSISLPSFIAIACFPIKIKENPSPKSQNNQNPSSEISIITKKSPLNYAVKGVLLAVLIRIYDYNDYIHPKILLCLYCLHIYFFLEIVLAMVASLIQTMFGFELEPPFNDPYMSTSLQDFWGKRWNLMVSSILRSTVYEPTRIICARVLGRSWALIAGVLASFFVSAIMHELMFYYLGRVKPTWEVTRFFILHGFCVVVEIVFKKAINGRWRLPKVVSGILAVAFVVVTGFWLFFPPFLNQCRADVRALDEYAALGAFIRNSSRALFSF >Manes.S052316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1800733:1801113:1 gene:Manes.S052316.v8.1 transcript:Manes.S052316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.18G144828.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21503336:21504809:1 gene:Manes.18G144828.v8.1 transcript:Manes.18G144828.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVEVADINLTYGGKEGPVKSLCANVKPTLKGN >Manes.04G113800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31735059:31736213:-1 gene:Manes.04G113800.v8.1 transcript:Manes.04G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLSLTSQGVVFATAMVVSSTVLFLAFSKQKPSPSIQNLRSCLSSDGKKKEKKKKNRRVRFAENVKDTKENGDEYRRKKENSLFGKQEKEKEKGKSIRRIDGVCKNEIQGMPANRIALYNGILRDRLHRMECSY >Manes.18G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6449436:6453616:1 gene:Manes.18G071800.v8.1 transcript:Manes.18G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRTICRPHTVITSFMCCRHQARSRVRVSFRNPDFKPPSSSPSLFSPWLLGNEPWFRVNQRRTAVRASSWTDQKSPYETLELERGADEEQIKVAYRRLAKFYHPDVYDGRGTLEEGETAEARFIKIQAAYELLMDDEKRRPYDMDHRVNPMKASQAWMEWLMKKRKAFDQRGDMAIAAWAEQQQREMNLRARRLSRSKVDPEEERRILAREKKASAEYFTNTMRRHTLVLKKRDLMRKKAEEEKKKVINQLLAAEGLELDTDDDGDDAL >Manes.16G050528.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:11123195:11124145:1 gene:Manes.16G050528.v8.1 transcript:Manes.16G050528.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPINPISSALVVQPSYPRPRSPRPNFTSLNKFSPLQSPTRLIEPVTPSTFKQAVTGPSTSSPITSQELAQSEYKYKPIEDYVLTIEPEYWAQNPNLNVYQFCESIFPKTHYYIPDNFHKSQQYYEAILINTNSILIHNNFDPKFPNKLRYCNVRILKIWKISDWGQEPHKTKEMTLTNGQMRQIVKYNYYDYQNAWERAFLKQNDQLSVSFFFFFSDNFVYPIPYWFHQWWNKFGINKDIIPDQIQNAQDQFFEKNQLPDTINCSPKWLIYCHYFHIPWILMIEYQIKDQSIDNFQIPVLIRKYKIKWWIKTDL >Manes.13G106500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30921358:30924967:1 gene:Manes.13G106500.v8.1 transcript:Manes.13G106500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKKDHKIAPATTHELDSNDLLSKEEQNQPTVAFAKKSMGATSPSHDDAPSLNQQFPSILQWPYTSQNNGKQPPSSSQPCVSTQSPPSISVSQWHQFSHLPLNPASHQGHPLPHQAQSTAPFWLPQRPGYHMPGVNAPAIFPPFTPSGVVNASWQASGLIGGATSSKDHNQVPNFCYQVGYPYPGFPGPWDPSSWWGHIQPSQPPSNYAFPGAYGYFSLQHPTLPDCSAPFGQSSQRGIIRPPAKLSQKHQQLWEAQSAENVQLWNVVGHLQSEIADYKSHITKLESEVSSLKQAMEEFTAHVTGTAVSRQTSKRGRPRRSVASVDALPSPDGSQPQTRGRKARTFEKVILNKAEDKVKSSNPTAVPQEEDEKVSNVITNSSNNMVINGSNVMVPSFPNQVPQDISQMQMSGVEKNDAKTENTNNNFTVMNQQAKGMDHRSVLLASFLGARNNGSLGWPYAIIPEGSGTNDLNTGTQSFYNYGSVIREDGKVIPGWSFVNEEDASEELEDAVATSAKNENEEEMGDDATSGGEEITCSKGEGEDLPQFQ >Manes.05G206300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33303354:33305324:1 gene:Manes.05G206300.v8.1 transcript:Manes.05G206300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGGRMCMFCTCIILVVILIGLLFGFGVFKNGFHKLKDTIHVGDASYPNFNARPFFGFDGAPAPF >Manes.18G039100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4457303:4460819:-1 gene:Manes.18G039100.v8.1 transcript:Manes.18G039100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWWLMLVGSLRLASVWFGFFDIWALRLAVFSKTTMTEIHGRTFGVWTLLTCTLCFLCAFNLDNKPLYLATLLSFIYAFGHFLTEYLIYQTMAIGNLTTVGIFAGTSIVWMLIQWNAHQKSHTKHS >Manes.01G252300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41041700:41044006:1 gene:Manes.01G252300.v8.1 transcript:Manes.01G252300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALSTSKHPKLIRVFESSTVGYSLLPRRLSTEPTALPPPSRQQNDDSIVKRAVQLLKISYREWDTTQLIHLLFSDPAPSSRVLFQIARRLPSSSHALKFLQFLQEKSPFPDTQALSSTFQAILELASREPDSRTNLYDLYKVSKEWNIPLTINSATLLLRCFGRNGLVEESVILFNELEHSSKNTHVRNVLISVLLRAGHVDHAFKVVDEMLQPEFDYRPSDVTGDIIFSGLMKRGRLGRTVELEEIVELVLKFGQFGVFPNSIGITQIIAMLCRNGKTNKACDLFLELMNLGAALKVATCNALLTGLGKDRYIDRMNEVMARMKEMDIEPNVITYGILINHLCKFRRVDEALEVFQRMNGGQEGDGVSVEPDIVIFNTLIDGLCKVGRQEEGLGLLERMKLQRDCAPNTVTYNCLIDGFCKAGETESGLELFDEMTREGAVPNAVTVNTLVDGMCRNGRINSAVKFLDEMRMKGLKGDVRAYTSLINAFCNVNNIGKAMEIFYQMLREGCSPDAMAYYNLISGLTQARRMDDATSVLSKLKEAGFHPDIVCYNHMISGFCNKNRMDKVQEMLNDMEEAGVNPDIITYNTLISYFSKTGDFKIAHRMLNKMSKDGVWPTVVTYGALIHAYCLNGHVDEAMKIFRGMDATRKVAPNTVIYNILIDSLCKNNDVELALTLMDDMKVKAVRPTTTTYNAMFKGLRDKGLLKQTFELMDRMIEQACNPDYITMEILTEWLSAVGETEKLKQFVHGQKVSASGAFVSDSLS >Manes.01G228600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39364592:39368883:-1 gene:Manes.01G228600.v8.1 transcript:Manes.01G228600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLVSSSASSIRFSSLAFSPNPSSNLDSQKHFLPFEPLRSRNLRKFSSTRKNFQLSPAKAVYSGEFWAPERSSRQGIWSIRDDLEIPSSPYFPAYANGQGQAQGPPPMVHERFQSVISQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQSDIDIQANEMLHHKANLNGYLAYHTGQTLEKINQDTDRDYFMSAKEARDYGLIDGVITNPLKAFQPLAAAAAADQQ >Manes.09G103800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30514380:30521256:-1 gene:Manes.09G103800.v8.1 transcript:Manes.09G103800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSVLSFRSRPNLKTSQCTLIELTDHQPLWKSAHLSCSVSGGGGGYGNFGNFASVSMAEKGDKFEFAPSSAQLLKHPLVVLSYVPRDAAIFVAGAIAGAAAKTVTAPLDRIKLLMQTHGVRAGREGAKKAIGFIEAITLVGKEEGLKGYWKGNLPQVIRIIPYSAVQLFAYETYKKLFVGKDGKLSVAGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYRTMSEIALNMLREEGIASFYYGLGPSLIGIAPYIAVNFCIFDLVKKSLPEKYRQTTQASLLTAVASAAVATLTCYPLDTIRRQMQMKGTPYRTVLDAFQGIIQSDGVTGLYRGFLPNALKNLPNSRFSSATILFI >Manes.09G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30514381:30521256:-1 gene:Manes.09G103800.v8.1 transcript:Manes.09G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSVLSFRSRPNLKTSQCTLIELTDHQPLWKSAHLSCSVSGGGGGYGNFGNFASVSMAEKGDKFEFAPSSAQLLKHPLVVLSYVPRDAAIFVAGAIAGAAAKTVTAPLDRIKLLMQTHGVRAGREGAKKAIGFIEAITLVGKEEGLKGYWKGNLPQVIRIIPYSAVQLFAYETYKKLFVGKDGKLSVAGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYRTMSEIALNMLREEGIASFYYGLGPSLIGIAPYIAVNFCIFDLVKKSLPEKYRQTTQASLLTAVASAAVATLTCYPLDTIRRQMQMKGTPYRTVLDAFQGIIQSDGVTGLYRGFLPNALKNLPNSSIRLTTFDMMKRLIATGEIEFQKIVEENREKQSQNANI >Manes.09G103800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30514381:30521257:-1 gene:Manes.09G103800.v8.1 transcript:Manes.09G103800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSVLSFRSRPNLKTSQCTLIELTDHQPLWKSAHLSCSVSGGGGGYGNFGNFASVSMAEKGDKFEFAPSSAQLLKHPLVVLSYVPRDAAIFVAGAIAGAAAKTVTAPLDRIKLLMQTHGVRAGREGAKKAIGFIEAITLVGKEEGLKGYWKGNLPQVIRIIPYSAVQLFAYETYKKLFVGKDGKLSVAGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYRTMSEIALNMLREEGIASFYYGLGPSLIGIAPYIAVNFCIFDLVKKSLPEKYRQTTQASLLTAVASAAVATLTCYPLDTIRRQMQMKGTPYRTVLDAFQALGLLHLI >Manes.14G067700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5640362:5643653:1 gene:Manes.14G067700.v8.1 transcript:Manes.14G067700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNGFASAESEEINQTTHLFSPPYAIEMENHKSLNIPGWYADVPYGWPGEAHLFKVEKVLFHGKSEYQDLFIFQSSAHGKIVILNGAIQLTEKDEFVYQEMLTHLPLCSIPNPKKVLLIGGGDGGILKEISRHSSVDRIDICEIDKMVIDAYKRFFPDIAIGYKDPRVNLHIGNGVEFLKTVPQGTYDAILLDAFQCMGPEEEEVGDKWFLESVARALRPGGVMCCPADSLWHAEFSLSDYIARCRKAFKGSVNYAWCTTPAYASGMIGFMLCSTEGPPVDFKHPVNILNPENYGVAKGPPLFYNSEIHTAAFCLPSFAKKKMFGSKI >Manes.08G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34157792:34159726:-1 gene:Manes.08G103100.v8.1 transcript:Manes.08G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPNMATITASLERSLQNCSLNHHRSRGVLGVGERTSSSDDTDADAEAEARVPQQNHLLPTSDTTLELNSHLSLPYHWEQCLDLKTGEIYYINWRNGMKAKEDPRVTQYNGDFYSEDDSSYDSEESSSESSPPSSSREHYRVQRENHVLVVAGCKSCFMYFMVPKQVEDCPKCNGQLLHFDRSENGSP >Manes.10G040400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4168339:4173025:-1 gene:Manes.10G040400.v8.1 transcript:Manes.10G040400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ADK1 MAVSLRATRAIPFSSLISRALSSPSLNSEADLKSSPFFAHNKSLPLRTDHKDKNVQWVFLGCPGVGKGTYASRLSSLLGVPHIATGDLVREELNSSGPLASQLKEIVNHGQLVSDEIIINLLSKRLEAGEAKGESGFILDGFPRTIRQAEILEGVTDIDLVVNLKLQEEALLAKCLGRRICSECGGNYNIASIDIKGENGKPGMYMAPLLPPPHCATKLIQRSDDTEEVVKERLRVYNEMSQPVEEFYRQRGKLLEFNLPGGIPESWPKLLQALNIEDHEVKQSAAA >Manes.10G040400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4168319:4173046:-1 gene:Manes.10G040400.v8.1 transcript:Manes.10G040400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ADK1 MAVSLRATRAIPFSSLISRALSSPSLNSEADLKSSPFFAHNKSLPLRTDHKDKNVQWVFLGCPGVGKGTYASRLSSLLGVPHIATGDLVREELNSSGPLASQLKEIVNHGQLVSDEIIINLLSKRLEAGEAKGESGFILDGFPRTIRQAEILEGVTDIDLVVNLKLQEEALLAKCLGRRICSECGGNYNIASIDIKGENGKPGMYMAPLLPPPHCATKLIQRSDDTEEVVKERLRVYNEMSQPVEEFYRQRGKLLEFNLPGGIPESWPKLLQALNIEDHEVKQSAAA >Manes.10G040400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4168339:4173046:-1 gene:Manes.10G040400.v8.1 transcript:Manes.10G040400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ADK1 MAVSLRATRAIPFSSLISRALSSPSLNSEADLKSSPFFAHNKSLPLRTDHKDKNVQWVFLGCPGVGKGTYASRLSSLLGVPHIATGDLVREELNSSGPLASQLKEIVNHGQLVSDEIIINLLSKRLEAGEAKGESGFILDGFPRTIRQAEILEGVTDIDLVVNLKLQEEALLAKCLGRRICSECGGNYNIASIDIKGENGKPGMYMAPLLPPPHCATKLIQRSDDTEEVVKERLRVYNEMVPHGSSSLEFFFPPLHFFYFSSQSCHSLKIDLTFVFVF >Manes.07G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34122423:34124823:1 gene:Manes.07G138800.v8.1 transcript:Manes.07G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNLLLFFLVAACAFAVANGKLSPDYYSSMCPKASSIVQKGVVAAIKKETRMGASLLRLHFHDCFVNGCDGSVLLDDNATFIGEKTAVPNNNSIRGFDVIDDIKAKVEKACPGVVSCADIVALAARDSTVYLGGPFWEVELGRRDSLTASRALANTSIPAPTSNLSDQIKSYAAQGLSLKDLVALSGSHTVGLARCTTFRGHIYNDSDIDPCFAKSLQQICPRSGKDSVLAPLDLQTPTCFDNLYYKNLLERKGLLHSDQELFNGNSADSLVRKYASNPSAFFKDFARAMVKMGNIKPLTGSQGEVRKNCRKVN >Manes.01G109100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30782841:30789225:-1 gene:Manes.01G109100.v8.1 transcript:Manes.01G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDAGGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKNYHPTVICRAYNKALEDAVAVLDKIAMSIDVNDRAMMLGLVKSCIGTKFTSQFGDLIADLAIDATSTVGIDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPLEYKKGENQTNAELVKEEDWGVLLKMEEEYIESMCMQILKFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFSFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKNPKLVPGGGATELTVSATLKQKSSAIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWVGIDGNTGEITDMKERKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGQAPSKPQIETEGDADGEQILPD >Manes.14G097400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8093437:8100366:1 gene:Manes.14G097400.v8.1 transcript:Manes.14G097400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSWIVDGHRFATKIKSASNPERVIWESNPSKACPKCQHVIDNSDATQKWPGLPRGVKFDPTDQEIIWHLLAKVGGEGLKPHPFVDEFIPTVNKDDGICYAHPQNLPSVRQDGSVSHFFHRAIKAYNTGTRKRRKIQGDDFGDVHWHKTGRTKPVILDGVQRGCKKIMVLYMTAVKGGKAEKTNWVMHQYHLGTGEDEREGEYVVSKIFYQQQQTNKVDKVEDDSLENIDIMIAKADPVTPKSVTPVPPRTERRIPDFYLGEESTDINDCIHPCTQFVQYSPKHVEVQTEPESEYPNNDAQFKMENLSKETVDNSDNDIEEVPNCWDSELQGLLDSQQLVEGLSLCDELLQSQSPNRYGNGNERANEKPCLSDYAQLGPEHLKKDLEECQNLVLDPANIELDTPPEFRLSQLEFGSQESFLAWGGGKVID >Manes.14G097400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8093905:8099071:1 gene:Manes.14G097400.v8.1 transcript:Manes.14G097400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGENYPLMLNDSGWGICARSTLTFWPSWIVDGHRFATKIKSASNPERVIWESNPSKACPKCQHVIDNSDATQKWPGLPRGVKFDPTDQEIIWHLLAKVGGEGLKPHPFVDEFIPTVNKDDGICYAHPQNLPSVRQDGSVSHFFHRAIKAYNTGTRKRRKIQGDDFGDVHWHKTGRTKPVILDGVQRGCKKIMVLYMTAVKGGKAEKTNWVMHQYHLGTGEDEREGEYVVSKIFYQQQQTNKVDKVEDDSLENIDIMIAKADPVTPKSVTPVPPRTERRIPDFYLGEESTDINDCIHPCTQYSPKHVEVQTEPESEYPNNDAQFKMENLSKETVDNSDNDIEEVPNCWDSELQGLLDSQQLVEGLSLCDELLQSQSPNRYGNGNERANEKPCLSDYAQLGPEHLKKDLEECQNLVLDPANIELDTPPEFRLSQLEFGSQESFLAWGGGKVID >Manes.14G097400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8093905:8099071:1 gene:Manes.14G097400.v8.1 transcript:Manes.14G097400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGENYPLMLNDSGWGICARSTLTFWPSWIVDGHRFATKIKSASNPERVIWESNPSKACPKCQHVIDNSDATQKWPGLPRGVKFDPTDQEIIWHLLAKVGGEGLKPHPFVDEFIPTVNKDDGICYAHPQNLPSVRQDGSVSHFFHRAIKAYNTGTRKRRKIQGDDFGDVHWHKTGRTKPVILDGVQRGCKKIMVLYMTAVKGGKAEKTNWVMHQYHLGTGEDEREGEYVVSKIFYQQQQTNKVDKVEDDSLENIDIMIAKADPVTPKSVTPVPPRTERRIPDFYLGEESTDINDCIHPCTQFVQYSPKHVEVQTEPESEYPNNDAQFKMENLSKETVDNSDNDIEEVPNCWDSELQGLLDSQQLVEGLSLCDELLQSQSPNRYGNGNERANEKPCLSDYAQLGPEHLKKDLEECQNLVLDPANIELDTPPEFRLSQLEFGSQESFLAWGGGKVID >Manes.14G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8093437:8100366:1 gene:Manes.14G097400.v8.1 transcript:Manes.14G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSWIVDGHRFATKIKSASNPERVIWESNPSKACPKCQHVIDNSDATQKWPGLPRGVKFDPTDQEIIWHLLAKVGGEGLKPHPFVDEFIPTVNKDDGICYAHPQNLPSVRQDGSVSHFFHRAIKAYNTGTRKRRKIQGDDFGDVHWHKTGRTKPVILDGVQRGCKKIMVLYMTAVKGGKAEKTNWVMHQYHLGTGEDEREGEYVVSKIFYQQQQTNKVDKVEDDSLENIDIMIAKADPVTPKSVTPVPPRTERRIPDFYLGEESTDINDCIHPCTQYSPKHVEVQTEPESEYPNNDAQFKMENLSKETVDNSDNDIEEVPNCWDSELQGLLDSQQLVEGLSLCDELLQSQSPNRYGNGNERANEKPCLSDYAQLGPEHLKKDLEECQNLVLDPANIELDTPPEFRLSQLEFGSQESFLAWGGGKVID >Manes.14G050300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4336889:4340294:1 gene:Manes.14G050300.v8.1 transcript:Manes.14G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRTSPPLYSSIFLLFLTLSCVSTTQLQNPIKTIVVLVMENRSFDHMLGWMKKAVNPAINGVTGKECNPVSTKNPKRQSICFTDDAEFVDPDPGHSFEAVELQVFGNGSIPSMTGFVEQALTMSQNLSQTVMKGFRPESVPVYATLVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLSRGYPQKTIFDSLHENGKNFGIYFQNIPTTLFYRNMRKLKYIFKFHQFDLKFKKDARNGKLPSLTVIEPRYFDLKGMPANDDHPSHDVANGQKLVKEVYEALRSSPQWNETLFVITYDEHGGFYDHVETPYINVPNPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISGPKGPFPNSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEGVVGELASPRTDCPVTLPDVAPLRSTEAKENGNLSEFQSEVVQLAAVLNGDHFLSSFPDEMGKKMNVREARDYVTGAVTRFIRASKEAINLGADASAIVDMRSSLTTRSSLHY >Manes.09G151000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34830166:34831978:-1 gene:Manes.09G151000.v8.1 transcript:Manes.09G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETVAPAPATAPTEMSDAAQAQQKKNRIQVSNTKKPLFFYVNLAKRYIQQHDEVELSALGMAITTVVTIAEILKNTGLATEKKVLTSTVGMKDENKGKLVQKAKIEIVLGKSEKFDSLMEAANTATEESPKDNE >Manes.02G042800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3509021:3509986:-1 gene:Manes.02G042800.v8.1 transcript:Manes.02G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERNWDSRDVISANHPNTENDSSCARGTSSKFRGVIWLRSGKWGARIAFKYKAYWLGTYDMEQEAAMAYDRAAIKLQRSDAPLNFPMSIYTVQETKFQSQYSNEEILDMIKDKTYLSKFSNYLADQSLLREYATMNLAHQQDISYQMLFRKELTQTDVTHIKGFHIPKEHAMEYFPPLAGVNSSGGDENGNKSMELTFFDRHRRPWTFRYSYWKSTQTFVFTKGWRHFLKMNNLKPKDCVFFYRCEQQRETQGRVFYMIDAQRCSVESDAVTWNIEKEKEAKKRANHEVDGEEKEPDNESVKLFGVQISKRRPSFKLFF >Manes.12G060112.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5275256:5277962:-1 gene:Manes.12G060112.v8.1 transcript:Manes.12G060112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLEAKAIDTPKEKKVLDTIEIHQKALDDLVNVNSLFTIAVFVGLSLAHPGEHSLENRAECDADSVLAKRLVVNEVLSFAFFLLSSLVAKTLKVHLNIYREKDFRKTKFKIIRGGMLLMSAWGSILGCVFLTASMVDVIQIRVGKISCGSEYAFRAAGSLVAIVTLALSIYVPFMMHAILSP >Manes.10G086600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22245744:22246603:-1 gene:Manes.10G086600.v8.1 transcript:Manes.10G086600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFGLWRLGPFKGILPMVNQPTPPTFPYKRLKQPQRKGKSTVSCKRKPENKKQMGKENQYWLLKTEPGEWSWEDQASNGGMTNWDGVKNKQAQKHLKAMKLNDLCFFYHSGASARRVVGVVSVVKEWYQSGEHEVVVDVQAIGEMRRPVDLKEMKDNDKLKGLTLFRQPRLSVVPISKEVWDIVCELGGGYEGDGNAADGDDDEEEDDNGGED >Manes.18G047600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4138938:4153472:1 gene:Manes.18G047600.v8.1 transcript:Manes.18G047600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGESCSVEKELEPTTAINAVYQDKTNILFSGTVVVAGRARAVVVGVGANTAMGSIRDSMLQTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSLHHRPMIAEYNVSGTTYAPEGIIFDSSGIRLDFPAQLPCLLHVAMCSALCNESVLQYNPDKGNYEKIGESTEVALRVLAEKVGIPGFDSMPSALHMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSRKQTEIMFSKGAPESILYRCSNILCNDDGYTVPLSAAIRDEIESKFHSFAGKETLRCLALAMKQMPVGRQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLEDFVGRSYTASEFDELPSLQQTLALQRMALFTRVEPAHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVYCDSGPKLPYSELMNFDSCSTREPTYPCSIFEDKHPSTVAMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVASIILTMLFHILILYVHPLSVLFSVTPLSWAEWTVVLYLSFPVIIIDEILKFFSRNLNGMRLRFRFRRPDLLPKRELRDK >Manes.18G047600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4133296:4153473:1 gene:Manes.18G047600.v8.1 transcript:Manes.18G047600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYARSVAEVLDFFSVDPNKGLSDSQVVLNAKIHGKNVLPEEKRTPFWKLVLKQFDDLLVKILIAAAIVSFILALINGETGITAFLEPFVILLILAANAAVGVITETNAERALEELRAYQADIATVLRNGCFSILPATDLVPGDIVEVSVGSKVPADMRMIEMLSDQLRVDQAILTGESCSVEKELEPTTAINAVYQDKTNILFSGTVVVAGRARAVVVGVGANTAMGSIRDSMLQTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSLHHRPMIAEYNVSGTTYAPEGIIFDSSGIRLDFPAQLPCLLHVAMCSALCNESVLQYNPDKGNYEKIGESTEVALRVLAEKVGIPGFDSMPSALHMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSRKQTEIMFSKGAPESILYRCSNILCNDDGYTVPLSAAIRDEIESKFHSFAGKETLRCLALAMKQMPVGRQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLEDFVGRSYTASEFDELPSLQQTLALQRMALFTRVEPAHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVYCDSGPKLPYSELMNFDSCSTREPTYPCSIFEDKHPSTVAMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVASIILTMLFHILILYVHPLSVLFSVIIIDEILKFFSRNLNGMRLRFRFRRPDLLPKRELRDK >Manes.18G047600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4133296:4153472:1 gene:Manes.18G047600.v8.1 transcript:Manes.18G047600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDYQGTVVVAGRARAVVVGVGANTAMGSIRDSMLQTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSLHHRPMIAEYNVSGTTYAPEGIIFDSSGIRLDFPAQLPCLLHVAMCSALCNESVLQYNPDKGNYEKIGESTEVALRVLAEKVGIPGFDSMPSALHMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSRKQTEIMFSKGAPESILYRCSNILCNDDGYTVPLSAAIRDEIESKFHSFAGKETLRCLALAMKQMPVGRQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLEDFVGRSYTASEFDELPSLQQTLALQRMALFTRVEPAHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVYCDSGPKLPYSELMNFDSCSTREPTYPCSIFEDKHPSTVAMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVASIILTMLFHILILYVHPLSVLFSVTPLSWAEWTVVLYLSFPVIIIDEILKFFSRNLNGMRLRFRFRRPDLLPKRELRDK >Manes.18G047600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4133296:4151993:1 gene:Manes.18G047600.v8.1 transcript:Manes.18G047600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYARSVAEVLDFFSVDPNKGLSDSQVVLNAKIHGKNVLPEEKRTPFWKLVLKQFDDLLVKILIAAAIVSFILALINGETGITAFLEPFVILLILAANAAVGVITETNAERALEELRAYQADIATVLRNGCFSILPATDLVPGDIVEVSVGSKVPADMRMIEMLSDQLRVDQAILTGESCSVEKELEPTTAINAVYQDKTNILFSGTVVVAGRARAVVVGVGANTAMGSIRDSMLQTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSLHHRPMIAEYNVSGTTYAPEGIIFDSSGIRLDFPAQLPCLLHVAMCSALCNESVLQYNPDKGNYEKIGESTEVALRVLAEKVGIPGFDSMPSALHMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSRKQTEIMFSKGAPESILYRCSNILCNDDGYTVPLSAAIRDEIESKFHSFAGKETLRCLALAMKQMPVGRQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLEDFVGRSYTASEFDELPSLQQTLALQRMALFTRVEPAHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVYCDSGPKLPYSELMNFDSCSTREPTYPCSIFEDKHPSTVAMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVASIILTMLFHILILYVHPLSVLFSVTPLSWAEWTVVLYLSFPV >Manes.18G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4133296:4153472:1 gene:Manes.18G047600.v8.1 transcript:Manes.18G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYARSVAEVLDFFSVDPNKGLSDSQVVLNAKIHGKNVLPEEKRTPFWKLVLKQFDDLLVKILIAAAIVSFILALINGETGITAFLEPFVILLILAANAAVGVITETNAERALEELRAYQADIATVLRNGCFSILPATDLVPGDIVEVSVGSKVPADMRMIEMLSDQLRVDQAILTGESCSVEKELEPTTAINAVYQDKTNILFSGTVVVAGRARAVVVGVGANTAMGSIRDSMLQTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSLHHRPMIAEYNVSGTTYAPEGIIFDSSGIRLDFPAQLPCLLHVAMCSALCNESVLQYNPDKGNYEKIGESTEVALRVLAEKVGIPGFDSMPSALHMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSRKQTEIMFSKGAPESILYRCSNILCNDDGYTVPLSAAIRDEIESKFHSFAGKETLRCLALAMKQMPVGRQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLEDFVGRSYTASEFDELPSLQQTLALQRMALFTRVEPAHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVYCDSGPKLPYSELMNFDSCSTREPTYPCSIFEDKHPSTVAMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVASIILTMLFHILILYVHPLSVLFSVTPLSWAEWTVVLYLSFPVIIIDEILKFFSRNLNGMRLRFRFRRPDLLPKRELRDK >Manes.18G047600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4133296:4153473:1 gene:Manes.18G047600.v8.1 transcript:Manes.18G047600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYARSVAEVLDFFSVDPNKGLSDSQVVLNAKIHGKNVLPEEKRTPFWKLVLKQFDDLLVKILIAAAIVSFILALINGETGITAFLEPFVILLILAANAAVGVITETNAERALEELRAYQADIATVLRNGCFSILPATDLVPGDIVEVSVGSKVPADMRMIEMLSDQLRVDQAILTGESCSVEKELEPTTAINAVYQDKTNILFSGTVVVAGRARAVVVGVGANTAMGSIRDSMLQTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSLHHRPMIAEYNVSGTTYAPEGIIFDSSGIRLDFPAQLPCLLHVAMCSALCNESVLQYNPDKGNYEKIGESTEVALRVLAEKVGIPGFDSMPSALHMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSRKQTEIMFSKGAPESILYRCSNILCNDDGYTVPLSAAIRDEIESKFHSFAGKETLRCLALAMKQMPVGRQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLEDFVGRSYTASEFDELPSLQQTLALQRMALFTRVEPAHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKMNFDSCSTREPTYPCSIFEDKHPSTVAMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVASIILTMLFHILILYVHPLSVLFSVTPLSWAEWTVVLYLSFPVIIIDEILKFFSRNLNGMRLRFRFRRPDLLPKRELRDK >Manes.18G047600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4133296:4153473:1 gene:Manes.18G047600.v8.1 transcript:Manes.18G047600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDYQGTVVVAGRARAVVVGVGANTAMGSIRDSMLQTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSLHHRPMIAEYNVSGTTYAPEGIIFDSSGIRLDFPAQLPCLLHVAMCSALCNESVLQYNPDKGNYEKIGESTEVALRVLAEKVGIPGFDSMPSALHMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSRKQTEIMFSKGAPESILYRCSNILCNDDGYTVPLSAAIRDEIESKFHSFAGKETLRCLALAMKQMPVGRQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLEDFVGRSYTASEFDELPSLQQTLALQRMALFTRVEPAHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVYCDSGPKLPYSELMNFDSCSTREPTYPCSIFEDKHPSTVAMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVASIILTMLFHILILYVHPLSVLFSVIIIDEILKFFSRNLNGMRLRFRFRRPDLLPKRELRDK >Manes.18G047600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4133296:4153473:1 gene:Manes.18G047600.v8.1 transcript:Manes.18G047600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYARSVAEVLDFFSVDPNKGLSDSQVVLNAKIHGKNVLPEEKRTPFWKLVLKQFDDLLVKILIAAAIVSFILALINGETGITAFLEPFVILLILAANAAVGVITETNAERALEELRAYQADIATVLRNGCFSILPATDLVPGDIVEVSVGSKVPADMRMIEMLSDQLRVDQAILTGESCSVEKELEPTTAINAVYQDKTNILFSGTVVVAGRARAVVVGVGANTAMGSIRDSMLQTDDEATPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSLHHRPMIAEYNVSGTTYAPEGIIFDSSGIRLDFPAQLPCLLHVAMCSALCNESVLQYNPDKGNYEKIGESTEVALRVLAEKVGIPGFDSMPSALHMLSKHERASYCNHYWENQFKKVSVLEFSRDRKMMSVLCSRKQTEIMFSKGAPESILYRCSNILCNDDGYTVPLSAAIRDEIESKFHSFAGKETLRCLALAMKQMPVGRQSLSFDDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCRKIGAFDHLEDFVGRSYTASEFDELPSLQQTLALQRMALFTRVEPAHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKMNFDSCSTREPTYPCSIFEDKHPSTVAMTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVASIILTMLFHILILYVHPLSVLFSVIIIDEILKFFSRNLNGMRLRFRFRRPDLLPKRELRDK >Manes.13G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6008543:6011814:-1 gene:Manes.13G051700.v8.1 transcript:Manes.13G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSFSTRAINSLHFSPSAVKSRFLPSIFTPSFTLEFAGRSLSSTGAFFRREVRLPLVLVARAGSSHCEPSSSSLNTPLEPRSAAGKFLISVFQNQRQLFHVAVADELKRLADDRDAAVSRMFLSSASDEALLHRRIAQLKEHECQIAVEDIMYMLIIFKFSEIRVPLVPKLSRCIYNSRLEIWPSKDWELESVYSFEVLEMIREHVFTVIGLRANSSVTDSWATTEIQLLQLGHVYAASVLYGYFLKSASLRHNLEWCLAVPHHDVHVCCRTMLQFPDSFPRGLSNLVLGHITNTQSISSGQGSSKQELKREKLKGYVMGFDAETLQRCAKLKSTEALNLIEKHSCALFGDYKNGLEENDEVVLTSFSSLRRLVLEAVAFGSFLWDTEEYVNSVFKLSEN >Manes.16G044600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7402152:7409042:-1 gene:Manes.16G044600.v8.1 transcript:Manes.16G044600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPTDFRRPVKRRCSNVVWWTLCGIVVLLFIIILSKESQIESRPPHSKKPFYHDRIMEGLNITDEMLSPNSVTRQLTDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQVLLSSAATGRAPLTIRESETAIRDMALLLFQAQQLHYDSATMIMRLKAKIQALEEQMSSVTEKSSKYGQIAAEEVPKGLYCLGIKLTTEWFGNLNLQRKINERMRMESKLRDNNLYHFCVFSDNVLATSVVVNSTALNSKNPDMVVFHLVTDEINYAAMKAWFAMNDFGGVTVVVQKFEDFKWLNASYVPVLKQLQDSETQNYYFSGHTDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSALFSIDLDGNVNGAVETCMETFHRYHKYLNYSHPLIRDHFDPDACGWAFGMNVFDLVEWRRRNVTKIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTQPLDPSWHVLGLGYTNVDHHVIEKGAVLHFNGNSKPWLKIGMEKYKPLWEKYVDYSHPLLQQCNFH >Manes.16G044600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7402152:7409042:-1 gene:Manes.16G044600.v8.1 transcript:Manes.16G044600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPTDFRRPVKRRCSNVVWWTLCGIVVLLFIIILSKESQIESRPPHSKQKPFYHDRIMEGLNITDEMLSPNSVTRQLTDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQVLLSSAATGRAPLTIRESETAIRDMALLLFQAQQLHYDSATMIMRLKAKIQALEEQMSSVTEKSSKYGQIAAEEVPKGLYCLGIKLTTEWFGNLNLQRKINERMRMESKLRDNNLYHFCVFSDNVLATSVVVNSTALNSKNPDMVVFHLVTDEINYAAMKAWFAMNDFGGVTVVVQKFEDFKWLNASYVPVLKQLQDSETQNYYFSGHTDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSALFSIDLDGNVNGAVETCMETFHRYHKYLNYSHPLIRDHFDPDACGWAFGMNVFDLVEWRRRNVTKIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTQPLDPSWHVLGLGYTNVDHHVIEKGAVLHFNGNSKPWLKIGMEKYKPLWEKYVDYSHPLLQQCNFH >Manes.16G044600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7402150:7409089:-1 gene:Manes.16G044600.v8.1 transcript:Manes.16G044600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPTDFRRPVKRRCSNVVWWTLCGIVVLLFIIILSKESQIESRPPHSKKPFYHDRIMEGLNITDEMLSPNSVTRQLTDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQVLLSSAATGRAPLTIRESETAIRDMALLLFQAQQLHYDSATMIMRLKAKIQALEEQMSSVTEKSSKYGQIAAEEVPKGLYCLGIKLTTEWFGNLNLQRKINERMRMESKLRDNNLYHFCVFSDNVLATSVVVNSTALNSKNPDMVVFHLVTDEINYAAMKAWFAMNDFGGVTVVVQKFEDFKWLNASYVPVLKQLQDSETQNYYFSGHTDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSALFSIDLDGNVNGAVETCMETFHRYHKYLNYSHPLIRDHFDPDACGWAFGMNVFDLVEWRRRNVTKIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTQPLDPSWHVLGLGYTNVDHHVIEKGAVLHFNGNSKPWLKIGMEKYKPLWEKYVDYSHPLLQQCNFH >Manes.16G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7402150:7409089:-1 gene:Manes.16G044600.v8.1 transcript:Manes.16G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPTDFRRPVKRRCSNVVWWTLCGIVVLLFIIILSKESQIESRPPHSKQKPFYHDRIMEGLNITDEMLSPNSVTRQLTDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQVLLSSAATGRAPLTIRESETAIRDMALLLFQAQQLHYDSATMIMRLKAKIQALEEQMSSVTEKSSKYGQIAAEEVPKGLYCLGIKLTTEWFGNLNLQRKINERMRMESKLRDNNLYHFCVFSDNVLATSVVVNSTALNSKNPDMVVFHLVTDEINYAAMKAWFAMNDFGGVTVVVQKFEDFKWLNASYVPVLKQLQDSETQNYYFSGHTDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSALFSIDLDGNVNGAVETCMETFHRYHKYLNYSHPLIRDHFDPDACGWAFGMNVFDLVEWRRRNVTKIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTQPLDPSWHVLGLGYTNVDHHVIEKGAVLHFNGNSKPWLKIGMEKYKPLWEKYVDYSHPLLQQCNFH >Manes.07G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34077353:34079888:-1 gene:Manes.07G138200.v8.1 transcript:Manes.07G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPRTRKRVHAVRRAPDGSAFEKCENCGVLAAIALADMHECEAEPKKAVKRFKGLNGKQNIVEGCNSDQPRSPFRFFMEDFMNTCKKGKLIEIDQKGFEIWKNMSKEERQPYVNKAQKVNSAYVKSLIQEVDYSSEVDEEVDSATVGKFDPLYEVDGCNDYSDSFDGLRSFNTWELEMVKPWITGKWSSRSL >Manes.01G260800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41665716:41666714:1 gene:Manes.01G260800.v8.1 transcript:Manes.01G260800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPKRKTQTFPLCINRNLVLKLSDWNKVSNVYKKYPSCFFFLIYSFTCFAISKSFFNLSVSLYINLYTAASLSTSPSTMRSTCRTLEITLLSCEDLRIHRKWVKKNTYVMVRTDHLNYCTSKLDTEGGAYPSWNQKLTLDMPVHEPFITLEVHCKTASGDRTIGTARMSSTDFMGGYLPLNYLNFLSYRLRDAKGERNGIINVSVKVIKLPEYMSSDYENKMSENNVCSMMSKSKLIPAVSVDGGKNLGFVTGIPVWGGNHE >Manes.06G055700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19184453:19207148:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQLYSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGRGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.06G055700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19170658:19208505:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSVPSIEELVTKVQNSVEESSVTSVETKKKVTKTTKPTIAATSSLLAPTGSIRKKTEPKSSLHSSSNVTKTSLPASSPNLNSVPGARRNSTGGVVEKSSTIKKRNSVANVTGKKATSASDPVRLSLPELRRSSLPSASTKSLTLSSVSEARKMLPVSLKDNSLRSSTGSSISKSESSKKPSIKPTLSVSSSSKRLPSTSLDSAGSRVSRKTVSQVSSPSASSPSVSSGLRTGSLSTSLDRSSNLSGRRRMGTPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGIGILKRVKVLDLSFNEFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNKITTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGSTLKKFNDRDLSREEVAIAKRYPPCTALCIRDGWEFCRPENAADSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.06G055700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19170658:19207148:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSVPSIEELVTKVQNSVEESSVTSVETKKKVTKTTKPTIAATSSLLAPTGSIRKKTEPKSSLHSSSNVTKTSLPASSPNLNSVPGARRNSTGGVVEKSSTIKKRNSVANVTGKKATSASDPVRLSLPELRRSSLPSASTKSLTLSSVSEARKMLPVSLKDNSLRSSTGSSISKSESSKKPSIKPTLSVSSSSKRLPSTSLDSAGSRVSRKTVSQVSSPSASSPSVSSGLRTGSLSTSLDRSSNLSGRRRMGTPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGIGILKRVKVLDLSFNEFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNKITTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGSTLKKFNDRDLSREEVAIAKRYPPCTALCIRDGWEFCRPENAADSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.06G055700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19184453:19207148:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQLYSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.06G055700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19170626:19208505:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSVPSIEELVTKVQNSVEESSVTSVETKKKVTKTTKPTIAATSSLLAPTGSIRKKTEPKSSLHSSSNVTKTSLPASSPNLNSVPGARRNSTGGVVEKSSTIKKRNSVANVTGKKATSASDPVRLSLPELRRSSLPSASTKSLTLSSVSEARKMLPVSLKDNSLRSSTGSSISKSESSKKPSIKPTLSVSSSSKRLPSTSLDSAGSRVSRKTVSQVSSPSASSPSVSSGLRTGSLSTSLDRSSNLSGRRRMGTPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGIGILKRVKVLDLSFNEFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNKITTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGSTLKKFNDRDLSREEVAIAKRYPPCTALCIRDGWEFCRPENAADSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGRGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.06G055700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19170658:19208505:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSVPSIEELVTKVQNSVEESSVTSVETKKKVTKTTKPTIAATSSLLAPTGSIRKKTEPKSSLHSSSNVTKTSLPASSPNLNSVPGARRNSTGGVVEKSSTIKKRNSVANVTGKKATSASDPVRLSLPELRRSSLPSASTKSLTLSSVSEARKMLPVSLKDNSLRSSTGSSISKSESSKKPSIKPTLSVSSSSKRLPSTSLDSAGSRVSRKTVSQVSSPSASSPSVSSGLRTGSLSTSLDRSSNLSGRRRMGTPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGIGILKRVKVLDLSFNEFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNKITTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGSTLKKFNDRDLSREEVAIAKRYPPCTALCIRDGWEFCRPENAADSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGRGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.06G055700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19170626:19208505:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSVPSIEELVTKVQNSVEESSVTSVETKKKVTKTTKPTIAATSSLLAPTGSIRKKTEPKSSLHSSSNVTKTSLPASSPNLNSVPGARRNSTGGVVEKSSTIKKRNSVANVTGKKATSASDPVRLSLPELRRSSLPSASTKSLTLSSVSEARKMLPVSLKDNSLRSSTGSSISKSESSKKPSIKPTLSVSSSSKRLPSTSLDSAGSRVSRKTVSQVSSPSASSPSVSSGLRTGSLSTSLDRSSNLSGRRRMGTPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGIGILKRVKVLDLSFNEFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNKITTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGSTLKKFNDRDLSREEVAIAKRYPPCTALCIRDGWEFCRPENAADSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.06G055700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19170658:19207148:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSVPSIEELVTKVQNSVEESSVTSVETKKKVTKTTKPTIAATSSLLAPTGSIRKKTEPKSSLHSSSNVTKTSLPASSPNLNSVPGARRNSTGGVVEKSSTIKKRNSVANVTGKKATSASDPVRLSLPELRRSSLPSASTKSLTLSSVSEARKMLPVSLKDNSLRSSTGSSISKSESSKKPSIKPTLSVSSSSKRLPSTSLDSAGSRVSRKTVSQVSSPSASSPSVSSGLRTGSLSTSLDRSSNLSGRRRMGTPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGIGILKRVKVLDLSFNEFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNKITTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGSTLKKFNDRDLSREEVAIAKRYPPCTALCIRDGWEFCRPENAADSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGRGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.06G055700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19171503:19207148:1 gene:Manes.06G055700.v8.1 transcript:Manes.06G055700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSVPSIEELVTKVQNSVEESSVTSVETKKKVTKTTKPTIAATSSLLAPTGSIRKKTEPKSSLHSSSNVTKTSLPASSPNLNSVPGARRNSTGGVVEKSSTIKKRNSVANVTGKKATSASDPVRLSLPELRRSSLPSASTKSLTLSSVSEARKMLPVSLKDNSLRSSTGSSISKSESSKKPSIKPTLSVSSSSKRLPSTSLDSAGSRVSRKTVSQVSSPSASSPSVSSGLRTGSLSTSLDRSSNLSGRRRMGTPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGIGILKRVKVLDLSFNEFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNKITTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGSTLKKFNDRDLSREEVAIAKRYPPCTALCIRDGWEFCRPENAADSTLRFLFEQWKDHFPPGYVLKDAFVDQPFEEDACRCHFAFVQDSTMITDQQLVLKYQWFVGERPLSNFVAIPDAIGEVYWPKYEDIDKCLKVECTPILGETQYPTVFAISSPVLRGSGIPKVVNLEVHGSLVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYQLTLDDIDSSLVFMYTPVTEQGAKGEPQYKYTDFVKAAPPSVSNVQIVGDVIEGSVIKGVGEYFGGREGPSKFEWLRENNETGGFQLVSMGTSEYTLTKEDVGRCIAFVYIPINFEGQEGESVLILSSVVRQAPPNVTNVEIIGDIRESNKVTVTGIVSGGTEGSSRVQWFRTSSSTLDSENGLEALSASKIAKAFRIPLGAVGCYIVAKYTPMTPDGESGEPVYAISERAVETLPPSLNFLSITGDYVEGGMLTASYGYIGGHEGKSVYNWYLHEAETDSGTLIPEGSGVLQYRVTRDTVGKFISFQCVPVRDDGIVGEPRTFMGQERVRPGSPRLLSLQIVGSAVEGTPLSVDKKYWGGEEGDSVVRWFRTSPDGTQNEIRDATAMSYMLSIDDIGFFISVSCEPVRSDWARGPVVVSEKIGPIIPGPPTCRSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVRSDGVREKLSADEFLDLTLEDVGKCVELVYTPMRKDDVKGKPRSIKSNVIAPADPMGLELVIGNCCEDREVIPRKTYFGGQQGVGDYIWYRTKDKLHESALMDVFTACEDVLICSKELRYTPSLDDVGAYLALCWLPTRADGKCGKPLVAISNSPVNPALPVVSNVQVKELHPGVYSGEGKYFGGYEGASLFSWYRETSDGTIILINGANSRTYEVTDADYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPKVEMLALTGNAIEGVVLTAVEVIPKSAMQQSVWSKYKKDVKYQWFCSSVIGDRDSFEPLPSQHSCSYKVRLEDVGRSLRCECIVTDVFGRSSELAYAETAAVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAVYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKDLSLKKVPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDPHRLKIVVDSENEVDIMVHSRHLRDVVVLVIRGLAQRFNSTSLNSLLKIDT >Manes.03G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24861776:24865378:-1 gene:Manes.03G122300.v8.1 transcript:Manes.03G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVLPGKGVREFIKVNVGGKRVSYRMLFYALLFFTFLLRFVFVLSTVDTIDGETKCSTLGCLGKRLGPRILGRRLDSVPEVIYKVLKEPLGQDDLKGRNDIPQTLDEFMAEVKDSNLDAKTFALKLREMVTLLEQRTRTAKIQEYLYRHVASSSIPKQLHCLALRLANEHSTNAAARLQLPTPELVPALVDNSYFHFVLASDNVLAAAVVANSLVQNALRPQKLVLHIITDRKTYSPMQAWFSLHPLSPAIIEVKALHHFDWFTKGKVPVLEAMEKDQRVRSQFRGGSSAIVANNTEKPVVIAAKLQALSPKYNSVMNHIRIHLPELFPSLNKVVFLDDDIVVQTDLSPLWDIDMNGKVNGAVETCRGKDKFVMSKRFKSYLNFSHPLIASNFNPNECAWAYGMNIFDLGAWRRTNISLTYHYWVEQNLKSDLSLWQLGTLPPGLIAFHGHVRVIDPFWHMLGLGYQDNTSLADAESAGVIHFNGRAKPWLDIAFPQLRPLWAKYINFSDKFIKGCHIRAS >Manes.14G042600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3750066:3756567:1 gene:Manes.14G042600.v8.1 transcript:Manes.14G042600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohA MQDMGREDQHHRSDTELISGERAPYSGPLSGPLNKRGTRKSARFNIPESSSAQDEQYVEVTLDVRDDSVAVRSVKAAEMEEDPEATLLGKGLEKRSTSKVMRNASTRIRQVSQEIKRLTSFSKRPPPPGRLDRTRTAAAHALKGLKFISKTDGGAGWAAVEKRFDEITASTDGLLPRSRFCECIGMKESKEFAGELFDALARKRNIQGDSISKAQLQDFWEQISNQSFDSRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSNIQKQAEEYAALIMEELDPDNHGYIMVEDLEVLLLQGPSQSVRVGDSKNLSKMLSQKLKATLDENPIRRCCRSINYFIFDNWQRAWVLALWIGVMAGLFAWKYVQYRRRAAYVVMGECVCIAKGGAESLKLNMALILLPVCRNTITWLRNKTKLGAVVPFDDNLNFHKVIAVGIIIGVGLHGISHLACDFPRLLQADEEKWKLMQPFFGDQPSSYWHFVKSIEGVTGIIIVILMAIAFTLATPWFRRNRLNLPPFLKKLTGFNAFWYSHHLFIIVYALLIVHGHFLYLTDKWHKKTTWMYLAIPIILYASERLTRALRSSIKPVTIKKVAIYPGNVLALHMSKPQGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYISVHIRTLGDWTRQLKTVFSQVCRPPDTGKSGLLRADDFQGNNNQIGFPRVLIDGPYGAPAQDYHNYDVVLLVGLGIGATPMISIVKDIVSNIRAMEEEGESSLENGTVPRTPSPNTLKRKENFKTTRAYFYWVTREQGSFDWFKGVMNEVAELDHNNVIELHNYCTSVYEEGDARSALIAMLQSLHHAKNGVDIVSGTRVKSHFAKPNWRTVYKRIALNHPNSRVGVFYCGAPALTKELRQLASDFSHKTNTKFDFHKENF >Manes.14G042600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3750066:3756575:1 gene:Manes.14G042600.v8.1 transcript:Manes.14G042600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohA MQDMGREDQHHRSDTELISGERAPYSGPLSGPLNKRGTRKSARFNIPESSSAQDEQYVEVTLDVRDDSVAVRSVKAAEMEEDPEATLLGKGLEKRSTSKVMRNASTRIRQVSQEIKRLTSFSKRPPPPGRLDRTRTAAAHALKGLKFISKTDGGAGWAAVEKRFDEITASTDGLLPRSRFCECIGMKESKEFAGELFDALARKRNIQGDSISKAQLQDFWEQISNQSFDSRLQTFFDMVDKDADGRITEEEVKEIITLSASANKLSNIQKQAEEYAALIMEELDPDNHGYIMVEDLEVLLLQGPSQSVRVGDSKNLSKMLSQKLKATLDENPIRRCCRSINYFIFDNWQRAWVLALWIGVMAGLFAWKYVQYRRRAAYVVMGECVCIAKGGAESLKLNMALILLPVCRNTITWLRNKTKLGAVVPFDDNLNFHKVIAVGIIIGVGLHGISHLACDFPRLLQADEEKWKLMQPFFGDQPSSYWHFVKSIEGVTGIIIVILMAIAFTLATPWFRRNRLNLPPFLKKLTGFNAFWYSHHLFIIVYALLIVHGHFLYLTDKWHKKTTWMYLAIPIILYASERLTRALRSSIKPVTIKKVAIYPGNVLALHMSKPQGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYISVHIRTLGDWTRQLKTVFSQVCRPPDTGKSGLLRADDFQGNNNQIGFPRVLIDGPYGAPAQDYHNYDVVLLVGLGIGATPMISIVKDIVSNIRAMEEEGESSLENGTVPRTPSPNTLKRKENFKTTRAYFYWVTREQGSFDWFKGVMNEVAELDHNNVIELHNYCTSVYEEGDARSALIAMLQSLHHAKNGVDIVSGTRVKSHFAKPNWRTVYKRIALNHPNSRVGVFYCGAPALTKELRQLASDFSHKTNTKFDFHKENF >Manes.06G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24686801:24689607:1 gene:Manes.06G115800.v8.1 transcript:Manes.06G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIWRKNTRVAKGRSEACCGRKWEKTHPFRLSAPFIGGWPGHVRGPNALPHPCNKSHRLKTSLSKTLTHYYPFAGRIKDAFSIDCNDKGASFIEANVAGKMSVILDEPDIDKLEKLLPCNPYDVSPERSSQVILAAQVNHFDCGGMAVSVCFWHIITDISAASSFLTSWAAIARGSGDDIEGVIFDCTSLFPPLDLQGFSYCESRKEDLSNIMVKRFVFDSSKLAALREEIASRPCLDPPTRFEAIAALIWRAVIAEIEEKNKNNGTSIASMPVGMRKRMNPQLPKLAIGNMYEVALAYFSKNDEELPDYNGLARKLHESIGNVNNDYVKKIHSDGEYMQRLSKIGREFGENPTFAFSSWCKQPLYEVDFGWGKPSWVVTPLKLYKVAIFIDTKDGEGVEAWVSLPKEDMVKFEQNPGIRAYSSFKPSTK >Manes.03G208600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32407304:32420659:1 gene:Manes.03G208600.v8.1 transcript:Manes.03G208600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPTTRKRVDLGGRSSKERDRQKLIEQTRLERNRRLWLRQQNAAAIKIQKCFRGRKAVEAEHNKLREQFHGTYGKSCLNISRHSFGPDSEFLRNLFFFFNSQNYGDFTVLVETCRLLLQLVRDNGDIISLFGGVDYLANHALVDYRVKQLAYYCIQAVYHNREQLKDQLLMTPWESSEPAVVLLEVVVLLIDQKLPWACKIVSYLLQRNAFTLFREIVLVGKENKRPDSCGKVPSLERMLSLIISHVGQKPCICPHIDPQCSFSSQMLTIPFLWRVFPSLKEVFATRGLSQHYIHQMALCVQGHTNVLPNDVSAEYPGYACLLGNMLETAGVSLAQPDCSFEMAIDLAAVTTFLLETLPPIKSSSRETKESSTLGEDDASLPDEMEIILNIGLEQQIINGINSRFLLQLTNVLFGEISLSGSHYGLDEKEVKAVGAACSFLHVTFNTLPLERIMTILAYRTDLVRVLWNFMKQCHEKQKWSSLPEQLSHLPADAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDIRCLIIILRQALWQLLWVNPMAHNNAVKQITNSPASKRNPVESIKQRVSDVASELLSQLQDWNNRRQFTPPSDFHADGVDDFFISQAVIDGTKANDIMKRAPFLVPFTSRVKIFNSQLLAARQRHGAHGVFTRNRFRIRRDRILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKFNYLNDLPSLDPELYRHLIFLKHYQGDISELELYFVIVNNEYGEQTEEELLPGGRNLRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRLHTNYAGGYHSEHYVIEMFWEVLKGFSLENQKKFLKFVTGCSRGPLLGFKFLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYRSKQQLETKLLYAINAEAGFDLS >Manes.03G208600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32410136:32420659:1 gene:Manes.03G208600.v8.1 transcript:Manes.03G208600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWESSEPAVVLLEVVVLLIDQKLPWACKIVSYLLQRNAFTLFREIVLVGKENKRPDSCGKVPSLERMLSLIISHVGQKPCICPHIDPQCSFSSQMLTIPFLWRVFPSLKEVFATRGLSQHYIHQMALCVQGHTNVLPNDVSAEYPGYACLLGNMLETAGVSLAQPDCSFEMAIDLAAVTTFLLETLPPIKSSSRETKESSTLGEDDASLPDEMEIILNIGLEQQIINGINSRFLLQLTNVLFGEISLSGSHYGLDEKEVKAVGAACSFLHVTFNTLPLERIMTILAYRTDLVRVLWNFMKQCHEKQKWSSLPEQLSHLPADAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDIRCLIIILRQALWQLLWVNPMAHNNAVKQITNSPASKRNPVESIKQRVSDVASELLSQLQDWNNRRQFTPPSDFHADGVDDFFISQAVIDGTKANDIMKRAPFLVPFTSRVKIFNSQLLAARQRHGAHGVFTRNRFRIRRDRILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKFNYLNDLPSLDPELYRHLIFLKHYQGDISELELYFVIVNNEYGEQTEEELLPGGRNLRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRLHTNYAGGYHSEHYVIEMFWEVLKGFSLENQKKFLKFVTGCSRGPLLGFKFLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYRSKQQLETKLLYAINAEAGFDLS >Manes.03G208600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32410136:32420659:1 gene:Manes.03G208600.v8.1 transcript:Manes.03G208600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWESSEPAVVLLEVVVLLIDQKLPWACKIVSYLLQRNAFTLFREIVLVGKENKRPDSCGKVPSLERMLSLIISHVGQKPCICPHIDPQCSFSSQMLTIPFLWRVFPSLKEVFATRGLSQHYIHQMALCVQGHTNVLPNDVSAEYPGYACLLGNMLETAGVSLAQPDCSFEMAIDLAAVTTFLLETLPPIKSSSRETKESSTLGEDDASLPDEMEIILNIGLEQQIINGINSRFLLQLTNVLFGEISLSGSHYGLDEKEVKAVGAACSFLHVTFNTLPLERIMTILAYRTDLVRVLWNFMKQCHEKQKWSSLPEQLSHLPADAPGWLLPLAVFCPVYKHMLMIVDNEEFYEQEKPLSLKDIRCLIIILRQALWQLLWVNPMAHNNAVKQITNSPASKRNPVESIKQRVSDVASELLSQLQDWNNRRQFTPPSDFHADGVDDFFISQAVIDGTKANDIMKRAPFLVPFTSRVKIFNSQLLAARQRHGAHGVFTRNRFRIRRDRILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGMIHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKFNYLNDLPSLDPELYRHLIFLKHYQGDISELELYFVIVNNEYGEQTEEELLPGGRNLRVTNENVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLDSLDVDDLRLHTNYAGGYHSEHYVIEMFWEVLKGFSLENQKKFLKFVTGCSRGPLLGFKFLEPLFCIQRAAGSASEEALDRLPTSATCMNLLKLPPYRSKQQLETKLLYAINAEAGFDLS >Manes.11G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3088026:3092430:-1 gene:Manes.11G031400.v8.1 transcript:Manes.11G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMVKDKTDFSPVLVKFGMAFALSFAGFLYSRTLCRRLAFSKPSPPVHVSEADEHEGGTTAMKKKHNLASIKPVSAEKHEDTHIVKIATDDPTVLSASCRHNGGKDGYLLPEFNDLVKEFDYAATNTAISTKKDLETPRSYVGTSRELKNMNDEYEQEIRHLNTMVRVLQEREKNLEVQLLEFYGHKEQETAMIELQNRLKINNMESHLFRLKIESLQVDNQRLQAQVSDHAKSIADLDAAKAKIKLLKRALRSEAQQNKEQILTLQKTVNRLQEQELKAAATDSEIQLKLQKLKDLEAEAEDLRESNFRLHLENSELASQLESTQILANSVVENPEREELRELSNRLGQENGDLAKELERLQADRSTDVEELVYLRWVNACLRYELRSFQPLHGKTVERDLSRSLSPETEGKAKQLIFECANTEGKVEKGIDIMDFESDQWSSSNTSCIADSPDRDDPSVSSKNSNWKKVKIFNKLRRLIRGKDVPHRNRGSSTGKNVGTEDSDSSRGSSSISTTTDAATDRQSSSVQNPSLQFCWHSSGKSAGIRRLKSANIDEIKDIEIGRSNHSESSSEHRKCLSGRTASSDFSLKNQLDKHPHPIEKSELLDMAEALNNSKIGTFHRKAASLGSY >Manes.09G088000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:24746156:24748844:-1 gene:Manes.09G088000.v8.1 transcript:Manes.09G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQQFFLPLSLLLILALSTLTTAEIKTLKITNDARPMILFEKFGFTHTGRVTISVSSVSVTSSVNAPNPIPSRLGFFLLSEESLLQVLLEIQQNPNFCVLESHYTLHLFTFRDLSPPPLSSFKQSYPVTAPNEYSLFFANCAPETRVSMNVRTEVYNLEHDGSKDFLSAGLTQLPSLFFIYSIAYLAFLGFWIYICVTNKRSVHRIHLLMGGLLLMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMIVVPLQVLANVASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLQLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSNAAEETASLLFYAVMFYMFRPVEKNEYFVLDEEDEEAAELALKDEEFEL >Manes.06G087200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22371275:22374449:1 gene:Manes.06G087200.v8.1 transcript:Manes.06G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQQFKHVCKFCSKSFSCGRSLGGHMRSHMINDISAQADGSKLTKKKLPSLPSNNGANNNTNSTETAGYGLRENPKKTWRLADHSSEDTSLLEKFCKECGKVFQSWKALFGHMKCHSLEKEKFSNNNSLEEQQDSWTSGNNQKLVMDSQSDNEIAAPNRRKRSQRRIRYMGAANSSSLSFANNASSSVSEIEQEQEEVAMCLMMLSRDVGQWGGLNSVAAESSDNNAAFLETNLVSSKTEDKPSVCTGAETLKMKKLGEKLEMGKLEDYDDLKVENRGFTKNKPKKCQLDDECETENSGVKLGKNLTKETGLEQSEMVPSKCSSSKRKLRDSFDPELKSDYLKKLRTNASDSEVCKNSDRSSRFECTTCNKVFHSYQALGGHRASHKKTKGCFASRIDSSENSIETELSPDSTTESKIIKSIKNEISSDHLAIDCDSKAEISYGAKKSKGHECPICLKVFPSGQALGGHKRSHLVGANEAKNNQTITIQEAIPPIRDFLDLNLPAPVEEESNGLVGFNPWWIGSGHNHESLVSLISNQVL >Manes.13G067401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8617652:8623052:1 gene:Manes.13G067401.v8.1 transcript:Manes.13G067401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKLPRKPSKSSNNDSNNDAAVNAFSSLNSSHGPNSINASKSSSVSAKSNSGSGAARMNNGTIAPQNKSNQGKKSSTVAGQVGPISASGVYEPLPNFRDVPSSEKQNLFIRKLNMCCVVFDFSDPSKNLKEKDIKRQTLLELVDYISSVTSKFSEVTMQEITKMVAANLFRTLPSANHDTKILETYDPEEDEPTMEPAWPHLQIVYEFLLRFVASTETDAKLAKRYIDHSFVLKLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETERHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQAAEFQRCMIPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLITQNRKAILPIIFPALERNTRGHWNQAVQSLTLNVRKIFSDADQELFDECLVKIPRG >Manes.01G255500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41292196:41293677:1 gene:Manes.01G255500.v8.1 transcript:Manes.01G255500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPNSFFIILIPLIFTGMATFFRFADGGKRRIHIEDDLDDKSILSSDEFDPAPSDLSNMDMSQIQELMRKQHWAGFWVRKLQFGVRGTPEMVAAIAMTWTKVLIIEAIKVKFMGVDTSTIKFTEKGQNMVELKEFILNEPEAYEIKIRDQVFRKPGGPTLEEVIEKLQSKKETTLHPQSSTFFPLICFSNLTTLLI >Manes.18G014800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1564349:1568553:1 gene:Manes.18G014800.v8.1 transcript:Manes.18G014800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKSGGCCGWLIVALVLLLVVIAVVYGVKKKLEPDDVIVVPGPPGAVEKKYANALKVAVQFFDVQKSGKLEDNKISWRGDSALQDGSEAKLDLSKGMYDAGDHMKFGFPMAFTATVLSWAILEYGDQMDAVNQLEAAQDSLQWITDYLINAHAEANVLYIQVGDPETDHGCWERPEDMKEKRPLTQVNTSSPGTEVAAETAAAMASASLVFKKSDPIYSTTLLEHAEQLFSFADKHRASYSKSIPDVKPYYNSTGYGDELLWAASWLYHATGDKLYLHYVSGKNGKYFANWGSPSWFSWDDKLAGTQVLLSRLTFFGEIDSSNSVLQMYRKTAEAVMCGLIPDSPSATTSRTESGLLWVSEWNALQHPVASAFLAVLFSDYMLTSRTTELLCDGESYKPADLRKFAKSQADYVLGKNPLKMSFLVGYGDKYPEYVHHRGASIPDDATTGCKDGWKWLDSEDPNPNIAVGALVGGPFLNETYIDSRNNSMQGEPSTYNSALLVGLLSSLVTTSSAVQSFT >Manes.18G014800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1564349:1568553:1 gene:Manes.18G014800.v8.1 transcript:Manes.18G014800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSKSGGCCGWLIVALVLLLVVIAVVYGVKKKLEPDDVIVVPGPPGAVEKKYANALKVAVQFFDVQKSGKLEDNKISWRGDSALQDGSEAKLDLSKVNQLEAAQDSLQWITDYLINAHAEANVLYIQVGDPETDHGCWERPEDMKEKRPLTQVNTSSPGTEVAAETAAAMASASLVFKKSDPIYSTTLLEHAEQLFSFADKHRASYSKSIPDVKPYYNSTGYGDELLWAASWLYHATGDKLYLHYVSGKNGKYFANWGSPSWFSWDDKLAGTQVLLSRLTFFGEIDSSNSVLQMYRKTAEAVMCGLIPDSPSATTSRTESGLLWVSEWNALQHPVASAFLAVLFSDYMLTSRTTELLCDGESYKPADLRKFAKSQADYVLGKNPLKMSFLVGYGDKYPEYVHHRGASIPDDATTGCKDGWKWLDSEDPNPNIAVGALVGGPFLNETYIDSRNNSMQGEPSTYNSALLVGLLSSLVTTSSAVQSFT >Manes.14G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11430459:11432931:1 gene:Manes.14G118900.v8.1 transcript:Manes.14G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEDVVIVGAGIAGLATAVALKRVGIRALILERSETLRSTGSALTLFPNAWLALDALGVSHKLTSLYTPSSRGSVTTVATGAVQEIFFSGNGSKAQGPRSVHRKALLEALAQELPEDSIRYSSKFTAIEKQEIGDASICVLHLEDGSTIKSKVLIGCDGVNSVVANWLGLSAPIHSGRAAVRGLSVFPQGHGMKQEINQFVDVGKRAGLIPLNDKEVYWFLTCPEGENMARDPELIQKQVIEEYAENFPSKYLDVVRQADLSTLTWAPLMFRTPWNLIFRNISKGNITVAGDAMHPMTPDLGQGGCSALEDAVVLGRHIGSCFIKNGDVLVAEDIARAIDGYVKERRWRAAWLITGSYFSGWVQEGGSKWWMRFLRDVIFYGFLFSKVFNAASYDCGILPSVSVSASGDLQYSSNKSD >Manes.03G026800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2155824:2159969:-1 gene:Manes.03G026800.v8.1 transcript:Manes.03G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKITPVPLSIYFFTLFLYLLTLAKSQSFDQQEQAILLRLRQHWKNPPSLNQWYPSVSSSHCTWPEVNCTDGSVTGLHFSNMKIVGTIPPFICDLKNLTVLDFYNNSFIGIFPAALYNCSKLQYLDLSQNDFVDVIPDDIDRFSRLSFLNLSGNNFTGNIPAAIGRLQQLKELALDQNQLNGTFPPEIGNLSNLEMLSMAYNGFLPSKLPSNFTKLKKLRWLWISNANLIGEIPEMIGDMVALEHLDLAENNLTGNIPSSLFMLKNLSVLYLYKNQLSGEIPRVVEALNLVELDLSDNNLRGTIPDDFGKLQKLSRLNLFFNQLSGEIPQSIGRLPALINFVLFSNYLSGVLPPDMGRYSKLKIFDVSSNRLIGRLPEFLCSGGNLVGVVTFDNNLDGELPKSLGNCNSLLMVSISRNAFYGIIPAGLWTASDLQYLMLSDNLFTGELPNEVSGNLSWLEVSNNRFSGKLPTGASWKNLVVFNASNNFFSGPVPQELTSLSLLTTLLLDRNQLGGSLPSDIVSWNSLTTLNMSRNQISGQIPEEFGSLPKLLELDLSENQLTGQIPSQFNSERFTFLNLSSNHLTGEIPISLENAAFNSSFLNNPGLCTRSSLLSLNVCNFNAQKSSKNSNKPIALVSSILATAFVLALLLSFLVIRVYQKKRHVLHSEWKLTSFQKLDFTVSDILSGLTDTNLIGSGGSGKVYHVPMHRSGLIVAVKRICNDRKLDHKLEKEFQAEVQILGRIRHLNIVKLLCCICNDDSKLLVYEYMEKRSLDQWLHAKKRSRSVELDWPMRFQIALGAAQGLSYLHHDCSPPIIHRDIKSSNILLDSAFNAKIADFGLARLLVKKGEATVSAVAGSFGYMAPEYAHTARMNEKVDVYSFGAVLLELTTGKEANFGDENTCLAEWAWRHLNEGRPIVDALDKEITESSCLDEMSLVFQLGVKCTSKLPSNRPSMREVLQILLQHSQPLAYGVKNMGRDGDVIPFLLNSKLGYASDSDENV >Manes.01G049375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:14392080:14395427:-1 gene:Manes.01G049375.v8.1 transcript:Manes.01G049375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNRFLYLGMILLLLTVDFSFVDSKATVSAKNDLDPQSNNTTGSTEQAGETGSVSDSNGSHKEQKDKGNQENKLKEGIDDGKKIHENMNGQSGSKKTDNVVKDVNNLNEKLHGESDDSKKKTKPKESGDGEEPGHTTKGSHVEECDPSNKCVDEDSKLVACLRVPGNGAQYSLLVQNKGKKPLTVTISAPDFVQLEKTQLQLQEKQDEKVKVSITDGGSDNLIVLKAGKGHCNLDIRHLIADNFDKKLDNSHKSSYLNFVLRTPTIVVLAIAALLILAAGCTCISIRRKQFGTGSKYQRLNMDLPVSGGGKPESEVSDGWDNSWGDDWDDEEAPKTPSLPVTPSSNLSLKGLATRRLNKEGWKD >Manes.01G049375.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:14392080:14395427:-1 gene:Manes.01G049375.v8.1 transcript:Manes.01G049375.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQSGSKKTDNVVKDVNNLNEKLHGESDDSKKKTKPKESGDGEEPGHTTKGSHVEECDPSNKCVDEDSKLVACLRVPGNGAQYSLLVQNKGKKPLTVTISAPDFVQLEKTQLQLQEKQDEKVKVSITDGGSDNLIVLKAGKGHCNLDIRHLIADNFDKKLDNSHKSSYLNFVLRTPTIVVLAIAALLILAAGCTCISIRRKQFGTGSKYQRLNMDLPVSGGGKPESEVSDGWDNSWGDDWDDEEAPKTPSLPVTPSSNLSLKGLATRRLNKEGWKD >Manes.01G049375.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:14392080:14395427:-1 gene:Manes.01G049375.v8.1 transcript:Manes.01G049375.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQSGSKKTDNVVKDVNNLNEKLHGESDDSKKKTKPKESGDGEEPGHTTKGSHVEECDPSNKCVDEDSKLVACLRVPGNGAQYSLLVQNKGKKPLTVTISAPDFVQLEKTQLQLQEKQDEKVKVSITDGGSDNLIVLKAGKGHCNLDIRHLIADNFDKKLDNSHKSSYLNFVLRTPTIVVLAIAALLILAAGCTCISIRRKQFGTGSKYQRLNMDLPVSGGGKPESEVSDGWDNSWGDDWDDEEAPKTPSLPVTPSSNLSLKGLATRRLNKEGWKD >Manes.16G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31970840:31971941:-1 gene:Manes.16G114400.v8.1 transcript:Manes.16G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGKAMVKETDMPVKMQLQAMACASQALDLYDVSDCVSIAAHIKREFDKMYGGGWQCVVGSNFGCFFTHTQGTFIYFAVESLNFLIFKGASS >Manes.10G095200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24248745:24250271:1 gene:Manes.10G095200.v8.1 transcript:Manes.10G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIIFFVLISPANALSFNFTSFNDSIRDLKYEGDASLVDSAIQLTENLPELTGHVTYLEPLHLWDETSGNLASFIINFTFSIDDQNNASHSDGIALYLAHLDYQIPDIQQGSGIGLASGNQTLNSTDNPFLAVEFDTFHNAWDEDDGDHVGIDISSLRSSQHTRWYSSLDGRITEAGISYNSSSKNLCVYFTGITEGIIIPQVLCLEIDLRNHLPEWVVVGFSAATGMFSEFHTIHSWSCNSYFSSLPLPPSSSSPPQPPSSSSPPPSQIPLRPPKNDRYKVLMIVGWSVAGLFLAILIVGVILFFIFKNRPNTIEGQNPVPGHYGGGGTEPGPPQNQFSEIENDTAINQNREAAGNAAATTQIARNLENGSATVQSRSIENEVDSAQSRIEIQNREETQIDSSSAQSTREIGVDSSSVRSSDIC >Manes.10G056233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7215499:7225446:-1 gene:Manes.10G056233.v8.1 transcript:Manes.10G056233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYFTKLPKNSEPLNSKPKEKVAFVEKESLASDDDIIGDPGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTLSREKNRRFQVAWFKDYEWLEYSVSKDKAYCLYCYLFANNNRSGGNVFTEIGFNNWKDERRAFVNHEGSPGSSHSGCRMKVEQYYNQRGNVNQLLTRQTAAMEDDYRTRLSMVVSVARILLEEGLPFRGHDESAESLHRGNFLEHISWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIECCAMETRKIILNELGEKKFALLVDEARDCSVKEQMSLVLRFVNDKGMVLERFLGLVHVNETSAKHDLSLAKLRGQGYDGAANMSGEFNGLKTLILKENKNAHYIHCFAHQLKLVVVTASHESESVGDFFETLSMIEVLNQVEIGEISTGRVERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFCEGHSIDVPNMENFVHGRSQKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTETNTKLLRCVMCLDPSNSFANFDHVRLLQLAKLYSNDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKIDLRNRLGDDLLSDCLVCYFEKEIFRSIDDEVIMQSFQNLASRRNQLRSLKIRRPNPC >Manes.09G025646.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5141808:5145513:1 gene:Manes.09G025646.v8.1 transcript:Manes.09G025646.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLTLEEFFLAWGLESDLEKIKENLKVIKAVLSDAEQQLSQNPRIEIWLENLKQVLYDAEDVVDEFKCEALRRKVVKSGNTTRKVRRFLSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFSLTERIFDRPVIHREREMTHSFVYASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDPRVANHFELTLWVCVSDVFELDKVIIKILTCADPGKKFMDMGIEQLQRSLREALNGRKYLFILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSSRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSKIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEEFGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERRERISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWRHFNIKRLPNSICKLQSLQTLLLCRCWNLQELPKDIRSMINLRFLWITTEQKYFPTGGIGCLKSLRFLFITECGNLEYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLATLDTICIDDCENLDLRMKEGEETQFSLQRLELRRLPKIVDFPEWLIRRSTNSLKVLKVQECNNLKELPNCLQNMASHPEKRLVEAHP >Manes.09G025646.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5141808:5145513:1 gene:Manes.09G025646.v8.1 transcript:Manes.09G025646.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKMWWMSLNVKLCEGKWSSLGTPLERPVIHREREMTHSFVYASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDPRVANHFELTLWVCVSDVFELDKVIIKILTCADPGKKFMDMGIEQLQRSLREALNGRKYLFILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSSRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSKIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEEFGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERRERISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWRHFNIKRLPNSICKLQSLQTLLLCRCWNLQELPKDIRSMINLRFLWITTEQKYFPTGGIGCLKSLRFLFITECGNLEYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLATLDTICIDDCENLDLRMKEGEETQFSLQRLELRRLPKIVDFPEWLIRRSTNSLKVLKVQECNNLKELPNCLQNMASHPEKRLVEAHP >Manes.09G025646.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5141808:5145513:1 gene:Manes.09G025646.v8.1 transcript:Manes.09G025646.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLTLEEFFLAWGLESDLEKIKENLKVIKAVLSDAEQQLSQNPRIEIWLENLKQVLYDAEDVVDEFKCEALRRKVVKSGNTTRKVRRFLSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFSLTERIFDRPVIHREREMTHSFVYASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDPRVANHFELTLWVCVSDVFELDKVIIKILTCADPGKKFMDMGIEQLQRSLREALNGRKYLFILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSSRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSKIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEEFGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERRERISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWRHFNIKRLPNSICKLQSLQTLLLCRCWNLQELPKDIRSMINLRFLWITTEQKYFPTGGIGCLKSLRFLFITECGNLEYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLATLDTICIDDCENLDLRMKEGEETQFSLQRLELRRLPKIVDFPEWLIRRSTNSLKVLKVQECNNLKELPNCLQNMASHPEVRIIRCPKLNNNPLQKAEEAGRSTSLS >Manes.09G025646.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5141808:5145513:1 gene:Manes.09G025646.v8.1 transcript:Manes.09G025646.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLTLEEFFLAWGLESDLEKIKENLKVIKAVLSDAEQQLSQNPRIEIWLENLKQVLYDAEDVVDEFKCEALRRKVVKSGNTTRKVRRFLSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFSLTERIFDRPVIHREREMTHSFVYASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDPRVANHFELTLWVCVSDVFELDKVIIKILTCADPGKKFMDMGIEQLQRSLREALNGRKYLFILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSSRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSKIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEEFGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERRERISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWRHFNIKRLPNSICKLQSLQTLLLCRCWNLQELPKDIRSMINLRFLWITTEQKYFPTGGIGCLKSLRFLFITECGNLEYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLATLDTICIDDCENLDLRMKEGEETQFSLQRLELRRLPKIVDFPEWLIRRSTNSLKVLKVQECNNLKELPNCLQNMASHPEVRIIRCPKLNNNPLQKAEEAGRSTSLS >Manes.09G025646.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5141808:5145513:1 gene:Manes.09G025646.v8.1 transcript:Manes.09G025646.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKMWWMSLNVKLCEGKWSSLGTPLERPVIHREREMTHSFVYASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDPRVANHFELTLWVCVSDVFELDKVIIKILTCADPGKKFMDMGIEQLQRSLREALNGRKYLFILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSSRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSKIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEEFGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERRERISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWRHFNIKRLPNSICKLQSLQTLLLCRCWNLQELPKDIRSMINLRFLWITTEQKYFPTGGIGCLKSLRFLFITECGNLEYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLATLDTICIDDCENLDLRMKEGEETQFSLQRLELRRLPKIVDFPEWLIRRSTNSLKVLKVQECNNLKELPNCLQNMASHPEVRIIRCPKLNNNPLQKAEEAGRSTSLS >Manes.09G025646.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5141808:5145513:1 gene:Manes.09G025646.v8.1 transcript:Manes.09G025646.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLTLEEFFLAWGLESDLEKIKENLKVIKAVLSDAEQQLSQNPRIEIWLENLKQVLYDAEDVVDEFKCEALRRKVVKSGNTTRKVRRFLSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFSLTERIFDRPVIHREREMTHSFVYASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDPRVANHFELTLWVCVSDVFELDKVIIKILTCADPGKKFMDMGIEQLQRSLREALNGRKYLFILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSSRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSKIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEEFGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERRERISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWRHFNIKRLPNSICKLQSLQTLLLCRCWNLQELPKDIRSMINLRFLWITTEQKYFPTGGIGCLKSLRFLFITECGNLEYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLATLDTICIDDCENLDLRMKEGEETQFSLQRLELRRLPKIVDFPEWLIRRSTNSLKVLKVQECNNLKELPNCLQNMASHPEVRIIRCPKLNNNPLQKAEAGRSTSLS >Manes.09G025646.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5141808:5145513:1 gene:Manes.09G025646.v8.1 transcript:Manes.09G025646.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLTLEEFFLAWGLESDLEKIKENLKVIKAVLSDAEQQLSQNPRIEIWLENLKQVLYDAEDVVDEFKCEALRRKVVKSGNTTRKVRRFLSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFSLTERIFDRPVIHREREMTHSFVYASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDPRVANHFELTLWVCVSDVFELDKVIIKILTCADPGKKFMDMGIEQLQRSLREALNGRKYLFILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSSRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSKIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEEFGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERRERISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWRHFNIKRLPNSICKLQSLQTLLLCRCWNLQELPKDIRSMINLRFLWITTEQKYFPTGGIGCLKSLRFLFITECGNLEYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLATLDTICIDDCENLDLRMKEGEETQFSLQRLELRRLPKIVDFPEWLIRRSTNSLKVLKVQECNNLKELPNCLQNMASHPEKRLVEAHP >Manes.09G025646.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5141808:5145513:1 gene:Manes.09G025646.v8.1 transcript:Manes.09G025646.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLTLEEFFLAWGLESDLEKIKENLKVIKAVLSDAEQQLSQNPRIEIWLENLKQVLYDAEDVVDEFKCEALRRKVVKSGNTTRKVRRFLSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFSLTERIFDRPVIHREREMTHSFVYASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDPRVANHFELTLWVCVSDVFELDKVIIKILTCADPGKKFMDMGIEQLQRSLREALNGRKYLFILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSSRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSKIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEEFGNVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERRERISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWRHFNIKRLPNSICKLQSLQTLLLCRCWNLQELPKDIRSMINLRFLWITTEQKYFPTGGIGCLKSLRFLFITECGNLEYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLATLDTICIDDCENLDLRMKEGEETQFSLQRLELRRLPKIVDFPEWLIRRSTNSLKVLKVQECNNLKELPNCLQNMASHPEVRIIRCPKLNNNPLQKAEAGRSTSLS >Manes.05G059700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4977583:4980453:1 gene:Manes.05G059700.v8.1 transcript:Manes.05G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPGILTDSPWKSLGSFKYLILGPFVAHSFYSFMVKGERDLLNFLILPSILLKLLHNQMWISYSRYRTAKGNNRILDKTIEFDQVDRESNWDDQVLMHGLVFYGVNLAIPGASHVPMWRTDGAVLAILLHAGPVEFLYYWFHRALHHHYLYTRYHSHHHSSIVTQPITAVVHPFAEIVVYFFLFAIPLMGVVLTGTASLVVIFGYVFYIDVMNNLGHCNFEIIPKSLFSVLPPLKYLFYTASFHSLHHTKFRTNYSLFMPFYDYIYDTMDKTSDEVHETALKKPADSPTHVHLTHFTTPDSIYHLRLGFTSLAATPQTSAWFLWILTPFTYFFMLLTSLFGRTFIVESNTLDNQFQSQTWLIPRYKIQYFLKWQRAVINYFVEEAILEADRRGTKVLSLGLLNQEEELNRCGELYIEKYPKLKVKLVDGSSLAAAIILNNIPKGTTQVLLRGNITKVAKAVALALHERGIQVAVFRENESKMPRLDNYAVVTKSYDHKVWLIGEGFTDKEQLKAPEGTIFIPMTQFPPKRLRKDCFYHNTPAMLAPSLCKLDSCEDWLPRRAMSACRVAGIVHTLEDWKVNECGDTLFCMDKVWQASLRHGFLPLSTAR >Manes.02G215010.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35581301:35589950:-1 gene:Manes.02G215010.v8.1 transcript:Manes.02G215010.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASLVVDPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDAKQRVKHTVEVAGRNPLEQIEQDVQHWLAKVDSVAEDADKILLQYKDGGKRRCFMGLCPNLITRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDAHVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVKANVTHMVDLRSVQQEIAEWLHFELGAVSIEVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDLRLEALEHQEAWNLFEKKVGDLKDSNLQSIAMEVAKRCAGLPILIVTVATALKNKQLFEWKDTLESLKKFDGKGYEERLYSALELSYNFLRNEEKSLLLLLGQLRPVVLIQDLLKYVVGLGLYNELITVEATRNRLLKVISDLKLSCLLLEDGDHKRVKMHDVVHSFAASFVSKHDQVLAAAYEAELEEWPNEDFFKQCTSISLAYCKIPKLPEVFECPKLKSFFLFNQDLTIKISENLFSRMKELKVLDLTEINLSPLPSSLQSLENLQTLCLDFCDLEDIAAIGELKQLQVLSLMGSKIIQLPNEVRKLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIVDWMKMNYFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPRFTSFCSQVKVHSTSQRARNQEIASTASNEIVCEADAEVLVALFNEKIRFPNLADMNLVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAHLKVLEICDCKSMEEVILAAGEGETMNKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMGNCPRLQTFVSTQVNAALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGKQLLEIFPSKLLEKFLVNLESLTVKNCDSVKEVFDLQAIIKEREVHVVRHTQLRTLDIENFPNLIQIWNRDPHGILSFYNLREVCAWYCPNLKKLFPFSVAQCLPHLEFLSIGDCGMEEIVTKEERAEALAIIPKFEFRGLKTMVLVGLDEMKYFYSGKHTLDCPQLKHLNVFSAQICKLSILNLKKYKKCSWISKRTNWNFKFHNHFSHLERLLAIWRN >Manes.08G156300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39319177:39324400:-1 gene:Manes.08G156300.v8.1 transcript:Manes.08G156300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLPQFKCQPDTFSIYFKTNHFHNPNFSQSPKRDPICFRAQCILSSTSSTSTAVLDLEKLRLPSFEAHSDSVAANSPWTYLGAIGPRKEETFRSNLATETLITSDEAVIAAAAAEAVALARAAVKFAKEAATLVKSSPSAETESKLATPQSTADALSSRLPQFTETERACILGDSVTAETGQREEYSMQYPVKESDDLEPTHEELALLQKQLSDGITVRSWRQAERKARRARAAEKSAATVMPVKSGSTSKKKRASLQEVDYSDPLRYLRGTTSTSRLLTANEELELSEGIQDLLKLEGLHEELAKRCGGEPTFAQWAAAAGVHQRTLRERLNHGTFCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVKGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARRQLYSENGRHPDDEEVAEAAGLSMKRLSAVLLTPKAPRSLDQKMGLNMDLKPSEVIADPDAETAEDLIMKQFMKRDLEKVLDSLSPRENQVIRWRFGLDDGRMKTLQEIGEMMGVSRERIRQIESSAFRKLTNKKRIKQLKQYLVS >Manes.08G156300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39319177:39324396:-1 gene:Manes.08G156300.v8.1 transcript:Manes.08G156300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLPQFKCQPDTFSIYFKTNHFHNPNFSQSPAKRDPICFRAQCILSSTSSTSTAVLDLEKLRLPSFEAHSDSVAANSPWTYLGAIGPRKEETFRSNLATETLITSDEAVIAAAAAEAVALARAAVKFAKEAATLVKSSPSAETESKLATPQSTADALSSRLPQFTETERACILGDSVTAETGQREEYSMQYPVKESDDLEPTHEELALLQKQLSDGITVRSWRQAERKARRARAAEKSAATVMPVKSGSTSKKKRASLQEVDYSDPLRYLRGTTSTSRLLTANEELELSEGIQDLLKLEGLHEELAKRCGGEPTFAQWAAAAGVHQRTLRERLNHGTFCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVKGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARRQLYSENGRHPDDEEVAEAAGLSMKRLSAVLLTPKAPRSLDQKMGLNMDLKPSEVIADPDAETAEDLIMKQFMKRDLEKVLDSLSPRENQVIRWRFGLDDGRMKTLQEIGEMMGVSRERIRQIESSAFRKLTNKKRIKQLKQYLVS >Manes.09G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:866363:870918:1 gene:Manes.09G002800.v8.1 transcript:Manes.09G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLEYWRNYFRTANCDIFRVIDNAIIVAASDCPKEFRLRRDRIAERLFCYRLTRCSACNRVELAVPAHEGENDDDGACKRRDGDCGNGVDDDDDEDIDIDGCEFHGGALKESKVNSRNRDGNDSDNGEVNVNDHLMSNYSYGEAEALTDEIEEESQVVGEVLRIKEILLNSRDETDSVLFESLRRLQLMVLTVDTLKATEIGKAVNGLRKHASKQIHHLARSLIDGWKVLVDEWYSATKAFGGDEGTSESVNPSVVDEEEGLPSPPLDEGAFFATQTTGIELSEFFDGMDDFGNPRNSGEFIKNRENGRKQSLENQNITKGKQQIPNEAIVVAKDNKSKQIRKKEAVLKPSGLLSADLGPARPPKQSVERKANSDTKVMRKTEQVVSQRKPPSGQQDKFKCSDEVAVRMKLEATKRKLQECYQQAENAKRQRTIQVMELHDLPKQGLAKKNPYMRPGSRNRHWAHAHGRRQLS >Manes.09G002800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:866363:870918:1 gene:Manes.09G002800.v8.1 transcript:Manes.09G002800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLEYWRNYFRTANCDIFRVIDNAIIVAASDCPKEFRLRRDRIAERLFCYRLTRCSACNRVELAVPAHEGENDDDGACKRRDGDCGNGVDDDDDEDIDIDGCEFHGGALKESKVNSRNRDGNDSDNGEVNVNDHLMSNYSYGEAEALTDEIEEESQVVGEVLRIKEILLNSRDETDSVLFESLRRLQLMVLTVDTLKATEIGKAVNGLRKHASKQIHHLARSLIDGWKVLVDEWYSATKAFGGSNLQSDEGTSESVNPSVVDEEEGLPSPPLDEGAFFATQTTGIELSEFFDGMDDFGNPRNSGEFIKNRENGRKQSLENQNITKGKQQIPNEAIVVAKDNKSKQIRKKEAVLKPSGLLSADLGPARPPKQSVERKANSDTKVMRKTEQVVSQRKPPSGQQDKFKCSDEVAVRMKLEATKRKLQECYQQAENAKRQRTIQVMELHDLPKQGLAKKNPYMRPGSRNRHWAHAHGRRQLS >Manes.04G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31304042:31308061:1 gene:Manes.04G109200.v8.1 transcript:Manes.04G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEQNMEEDDDYVEYVPVAKRRAIEAQKILQRKGKLSALEDELEKSKLAEAKPSLLVKVSQLKRDQPEISPTEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLQIRRMSRKQCDAIRKQWHIIVDGEDIPPPIKNFKDMRFPEPVLKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMIALQEEIMMPIAPGEGPFGLIICPSRELARQTYEVVEQFLIPMKEAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTITNASGVKGCAYCGGLGHRIRDCPKLEHQRSQQLANSRRDYFGSGGYRGEI >Manes.04G109200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31304182:31307860:1 gene:Manes.04G109200.v8.1 transcript:Manes.04G109200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEQNMEEDDDYVEYVPVAKRRAIEAQKILQRKGKLSALEDELEKSKLAEAKPSLLVKVSQLKRDQPEISPTEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLQIRRMSRKQCDAIRKQWHIIVDGEDIPPPIKNFKDMRFPEPVLKKLKAKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMIALQEEIMMPIAPGEGPFGLIICPSRELARQTYEVVEQFLIPMKEAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTITNASGVKGCAYCGGLGHRIRDCPKLEHQRSQQLANSRRDYFGSGGYRGEI >Manes.04G065800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26194920:26196361:1 gene:Manes.04G065800.v8.1 transcript:Manes.04G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAFIISSFLLTSFLLSISAEQCGYQAGGALCPEGQCCSQWGWCGTTVEYCCDGCQSQCNPDDICGSGGGGGGGGGGGGGGGGGGGGGEGGGDLSRIISKSLFEGMLIHRNDAACPARGFYTYEAFITAAQAFPTFGTTGDDATRKREIAAFFGQTSHETTGGWPTAPGGPYAWGYCFLEELSPSDYCSSSAKYPCAPGKQYYGRGPIQLTWNYNYGQCGNALGIDLLNNPDLVEKDPVISFKTAIWFWMTPQSPKPSCHDVITGEWSPNPADSAAGRVPGYGLITNIINGGLECGGGPDPRVEDRIGFYKRYCDIFGVDYGDNLDCSNQKPFGNGLVDSM >Manes.11G120800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQIYLMKDNNPGSLSEGTLLAVGGRYDYLLRQMWDHKYRTNPPGAVGTSLALETIIQHSPVDFRPLRNEACTNILVCSRGGGGLLVERMELVAELWEANIKAEFVPVPDPSLTEQYEYASEHDIRCLVLITDAGLSQKGFVKVRHLELKKEKEVEREKLVSFLLNAMATQFRNPSVWI >Manes.11G120800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPAGTDFFFFSFFSFSAFIASKIKNENYPYLWHI >Manes.11G120800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAEELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQIYLMKDNNPGSLSEGTLLAVGGRYDYLLRQMWDHKYRTNPPGAVGTSLALETIIQHSPVDFRPLRNEACTNILVCSRGGGGLLVERMELVAELWEANIKAEFVPVPDPSLTEQYEYASEHDIRCLVLITDAGLSQKGFVKVRHLELKKEKEVEREKLVSFLLNAMATQFRNPSVWI >Manes.11G120800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQRTNPPGAVGTSLALETIIQHSPVDFRPLRNEACTNILVCSRGGGGLLVERMELVAELWEANIKAEFVPVPDPSLTEQYEYASEHDIRCLVLITDAGLSQKGFVKVRHLELKKEKEVEREKLVSFLLNAMATQFRNPSVWI >Manes.11G120800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAEELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQIYLMKDNNPGSLSEGTLLAVGGRYDYLLRQMWDHKYRTNPPGAVGTSLALETIIQHSPVDFRPLRNEACTNILVCSRGGGGLLVERMELVAELWEANIKAEFVPVPDPSLTEQYEYASEHDIRCLVLITDAGLSQKGFVKVRHLELKKEKEVEREKLVSFLLNAMATQFRNPSVWI >Manes.11G120800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28072307:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQIYLMKDNNPGSLSEGTLLAVGGRYDYLLRQMWDHKYRTNPPGAVGTSLALETIIQHSPVDFRPLRNEACTNILVCSRGGGGLLVERMELVAELWEANIKAEFVPVPDPSLTEQYEYASEHDIRCLVLITDAGLSQKGFVKVRHLELKKEKEVEREKLVSFLLNAMATQFRNPSVWI >Manes.11G120800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQRTNPPGAVGTSLALETIIQHSPVDFRPLRNEACTNILVCSRGGGGLLVERMELVAELWEANIKAEFVPVPDPSLTEQYEYASEHDIRCLVLITDAGLSQKGFVKVRHLELKKEKEVEREKLVSFLLNAMATQFRNPSVWI >Manes.11G120800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067999:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQIYLMKDNNPGSLSEGTLLAVGGRYDYLLRQMWDHKYRTNPPGAVGTSLALETIIQHSPVDFRPLRNEACTNILVCSRGGGGLLVERMELVAELWEANIKAEFVPVPDPSLTEQYEYASEHDIRCLVLITDAGLSQKGFVKVRHLELKKEKEVEREKLVSFLLNAMATQFRNPSVWI >Manes.11G120800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQIYLMKDNNPGSLSEGTLLAVGGRYDYLLRQMWDHKYRTNPPGAVGTSLALETIIQHSPVDFRPLRNEACTNILVCSRGGGGLLVERMELVAELWEANIKAEFVPVPDPSLTEQYEYASEHDIRCLVLITDAGLSQKGFVKVRHLELKKEKEVEREKLVSFLLNAMATQFRNPSVWI >Manes.11G120800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQV >Manes.11G120800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPADKPTRKALDELSDLIVYLRVWKIEDHVYINALMPPTENYHRDLFFQV >Manes.11G120800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28067014:28102868:1 gene:Manes.11G120800.v8.1 transcript:Manes.11G120800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSKKKKKGGGTGRRSKGRTPSKDLASSSGDDNELLAEEITALCAIFQEDCKIVSESPPQILIKLRPYSKDMGYEDLDVSALLSVRCIPGYPYKCPKLQITPEKGLTKSDVDNLLSLLHDQANSNAREGRVMIFNLVEAAQEFLSEIVPVGPVPESALLSTMDGSDQLFKDIAVSSNKNCSSCEPFVSGFIDLFSGSGESWDWGLAVDDTRAMNSSIKSHSLDGSKVGYEVLEKKLDKATRPLTVQDAKQSPLLFPVAKLGTLEEESEDDNRSISTDSSTSVTEESVGNELESKKEDDFFEEKGPEDDGNSESEPWDLLSSASLDHDQATQTIEKDLVMVHMLRLACASKGGLADALSQITTELCNLGVLSEWARDLASKPSSVFNKTFDHIFHQHAVSSKISQFWKPTSDLGGTNMSLSNSRYLSDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKSLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVAGSFGDTTWGSTTATSSTFSYRGANSADVGQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDQELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDHDTTLPTDTTGVSLDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGVVFFELWHPFGTAMERHIILSDLKQKGVLPPSWVSQFPEQTSLLQRLMSPSPSDRPSATDLLKHAFPPRMESELLDNILRTMKTSEDRTVYDKVVSSIFDEEMLSMKSQHQLSGRLGMGGGDTSSVQYADLDTELRDYIVEATREVFKQHSAKHLEIVSMRLLDDCPQFSRSTVKLLTHGGDLLELCHELRLPFVSWLVANQKSSFKRYEISPVYRRAIGHSPPNRYLQGDFDIVGGVSALMEAEVIKVSMDIVTQFFVPGSCDIHLNHGDILDAIWSWIGIKPEHRQKVAELLSLMGSLRPQSSERKLKWGVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQAVPRLRGALPAGTDFFFFSFFSFSAFIASKIKNENYPYLWHI >Manes.18G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2229447:2231271:-1 gene:Manes.18G023000.v8.1 transcript:Manes.18G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVPDPKSVKYRKNWSFTKEEIDTYWKLKKKIEEEHLRAIATPSETINKDDGSHDEDYGVKLKRSSSLPEAKTKQSLMDMETDTNLEELIKKSGWWTRSNWAFLNEPPVLDRASNTYVAQYHIAKLATSKANNTGISA >Manes.02G194400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15863828:15868335:-1 gene:Manes.02G194400.v8.1 transcript:Manes.02G194400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGLRSASKLLSASESILSKSVNRGFHSTGVKRMGGHGHDEPYYLHAKHMYNLDKMKYQKIKIPLAVFTVFMIGATVPVWAVNFQQKKTASA >Manes.02G194400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15863858:15868335:-1 gene:Manes.02G194400.v8.1 transcript:Manes.02G194400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGLRSASKLLSASESILSKSVNRGFHSTGVKRMGGHGHDEPYYLHAKHMYNLDKMKYQKIKIPLAVFTVFMIGATVPVWAVNFQQKKTASA >Manes.17G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20325794:20332957:-1 gene:Manes.17G026400.v8.1 transcript:Manes.17G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGTIRVSTMRPLSIMGGSDLVYKRCGFRFDLRCNRDFNRFSGRECCRLAVFPQRAITPVEDEKPFIPEVEPSGAIDRVQDTESSVIHKDLNLLPKPLSAADLSASQDDNIKVRVAYQGIAGAYSEAAALKAYPTCETVPCEHFEAAFKAVELWLVDKAVLPIENSVGGSIHRNYDLFLQHRLHIVGEVQMAVNHCLLGLPGVQKEELKRVLSHPQALSHCAMILSELGVVKVSTDDTAGAAQTVAICGIRETGAIASARAAEIYGLEMLAEKIQDDDDNITRFLILAREPIIPGTDRPHKTSIVFSLEEGPGVLFKALAVFALRGINLSKIESRPQKNRPLRVVDGSNKGSAKYFDYLFYIDFEASMAEPRAQNALGHLQEFARFLRVLGCYPMDTAV >Manes.17G026400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20325794:20332957:-1 gene:Manes.17G026400.v8.1 transcript:Manes.17G026400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLVLLARPLLSHPVEIDDDVDGEDEEMEPLSAADLSASQDDNIKVRVAYQGIAGAYSEAAALKAYPTCETVPCEHFEAAFKAVELWLVDKAVLPIENSVGGSIHRNYDLFLQHRLHIVGEVQMAVNHCLLGLPGVQKEELKRVLSHPQALSHCAMILSELGVVKVSTDDTAGAAQTVAICGIRETGAIASARAAEIYGLEMLAEKIQDDDDNITRFLILAREPIIPGTDRPHKTSIVFSLEEGPGVLFKALAVFALRGINLSKIESRPQKNRPLRVVDGSNKGSAKYFDYLFYIDFEASMAEPRAQNALGHLQEFARFLRVLGCYPMDTAV >Manes.17G026400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20326474:20332957:-1 gene:Manes.17G026400.v8.1 transcript:Manes.17G026400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGTIRVSTMRPLSIMGGSDLVYKRCGFRFDLRCNRDFNRFSGRECCRLAVFPQRAITPVEDEKPFIPEVEPSGAIDRVQDTESSVIHKDLNLLPKPLSAADLSASQDDNIKVRVAYQGIAGAYSEAAALKAYPTCETVPCEHFEAAFKAVELWLVDKAVLPIENSVGGSIHRNYDLFLQHRLHIVGEVQMAVNHCLLGLPGVQKEELKRVLSHPQALSHCAMILSELGVVKVSTDDTAGAAQTVAICGIRETGAIASARAAEIYGLEMLAEKIQDDDDNITRFLILAREPIIPGTDRPHKTSIVFSLEEGPGVLFKALAVFALRGINLSKIESRPQKNRPLRVVDGSNKGSAKYFDYLFYIDFEASMAEPRAQNALGHLQVSPFDNVWYLSKQLSYI >Manes.13G122200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33033492:33036611:1 gene:Manes.13G122200.v8.1 transcript:Manes.13G122200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFHLRSISLPSRSHPLAVNIEEQLCKLRASQSSSIGHKLSGLKNLFESVDDFLQLSFAQRTISHDRQSQSLENAMNGSLELLDICDTTRDLFSQMKECLQELELSLRRRNSSLTREVDAYMLSRKKLNKAICKCLRNLKKKERNCTTATLENNSNLENMISMLREVQKISLVAFESILSFISQPKVKSSPSGWFAIPKLLQPKRVSYEVEIEVNEAEKIDAELLHLKSSKDINISQLQNLLKELEAFESSIKEAEEELECIYRRMVKTRVSLLNILNH >Manes.13G122200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33033656:33036611:1 gene:Manes.13G122200.v8.1 transcript:Manes.13G122200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFHLRSISLPSRSHPLAVNIEEQLCKLRASQSSSIGHKLSGLKNLFESVDDFLQLSFAQRTISHDRQSQSLENAMNGSLELLDICDTTRDLFSQMKECLQELELSLRRRNSSLTREVDAYMLSRKKLNKAICKCLRNLKKKERNCTTATLENNSNLENMISMLREVQKISLVAFESILSFISQPKVKSSPSGWFAIPKLLQPKRVSYEVEIEVNEAEKIDAELLHLKSSKDINISQLQNLLKELEAFESSIKEAEEELECIYRRMVKTRVSLLNILNH >Manes.18G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1462529:1466955:-1 gene:Manes.18G012800.v8.1 transcript:Manes.18G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVNAAVSLPTTKSSSLPSRIANINPEKVSFSKGFLYSRNVYVVGRKVTIRAQVTTQAPAKVEKVSKKNEEGIVVNKFKPKNPYTGTCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGNSKTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKAPDNFRVDYAVSREQTNEQGEKMYIQTRMAQYAEELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAAKDGIDWIEYKRSLKKSEQWNVEVY >Manes.08G071733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13486981:13488469:-1 gene:Manes.08G071733.v8.1 transcript:Manes.08G071733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTRSHDLRKELPNLVFESEQRQEASKQQADLVSSRNEANASSNVEGLYNSPWGNNAKLEFPSFNGEVVDGWLLRCEYYFEVGRVSAENRVKLATLYLEGKAIQWHQGYVQVKGREAYFSWEEYLMASTARFGAQAYDAPIADLGNLRQVGIPEGNASSFFLSGLSDELQIAIRMFKPTMLAEAYSLARLQELHVAAIRQKPKTPTKLTNSTSLNIQNTHNRNSPTTKDQIGLLPTPNIPKSTTSTSKMPTTLTSKEIDEKRANNLCYWCDEKYSSTHKCQKKKLYVLQIKEVIDEEVLETMVEEEVDCDDQAMLLEGNVGKQRMHILVDTWSTHNFMSQKLIAKLKQPVTEIKGTWGHSFIADVYVLPLETYDLILCIRWLKMLGKIQWDFNDMSMSFM >Manes.05G123347.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21078882:21093217:1 gene:Manes.05G123347.v8.1 transcript:Manes.05G123347.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKLKLLTARTLSSRSLLKLKQSSLLLTKQSYSSNPYSFSATAFGETGRPVETESNDIPTSGISRPLLEILKELNKRVPDSLVKERREGDFSVKYIPWHIVNRIMNLHAPEWSGEVRNITYSADGKSVSVVYRVTLYGTDAEIYRESTGTASMDDAGFGDPVQKAEAMAFRRACARFGLGLHLYHEDLS >Manes.01G025600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5380894:5384818:-1 gene:Manes.01G025600.v8.1 transcript:Manes.01G025600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRGGLLKKAHEISVLCDAEVALIVFSHKGKLFEYSTDSCMEKILERYERYSYAERQLTATDLNSQENWTLEYNRLKAKVELLQRNHRHYMGEDIDSLSMKELQNLEQQLDTALKHIRTRKNQLMYESISELQKKEKAIQEQNNMLAKQIKENEKAVAQQALWEQHNHGTNMSPFLLQQPPLPCLNIGGNYQEEAPEAARRNELDLTLEPIYSCHLGCFTT >Manes.01G025600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5381477:5384819:-1 gene:Manes.01G025600.v8.1 transcript:Manes.01G025600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRGGLLKKAHEISVLCDAEVALIVFSHKGKLFEYSTDSCMEKILERYERYSYAERQLTATDLNSQENWTLEYNRLKAKVELLQRNHRHYMGEDIDSLSMKELQNLEQQLDTALKHIRTRKNQLMYESISELQKKEKAIQEQNNMLAKQIKENEKAVAQQALWEQHNHGTNMSPFLLQQPPLPCLNIG >Manes.16G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9103852:9113406:1 gene:Manes.16G046200.v8.1 transcript:Manes.16G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFDSFTADGDESTNNIQSDQISPNSHPFDYNGNTGYDSSFPAPTSQDDMFAVQPPPPIADPPVNIMSPNNLNDNDQPYSEEGYGFKLSASNQEFSSPFETMGTPETDGNINGYGEDGGGIFASDGPLLPDPGQMREEGFQRREWRRQNALHLEEKENREKEMRNQIINEAEEYKKEFYEKRRLNSETNKAHNREREKLYLSNQEKFHKEAYKHYWKAIAEFIPREVPNIEKRNRKDPDRKPSIMVIQGPKPGKPIDLSRMRQIFAKLKQNPPAHMMPPPPTKDSKDAKDGKDGKDEKGGKDTKNEKDGKDTNEKKDSKDAKGREDGKDANEGENGKNEKNSATVAAVDINNQSPPVKDAATNAAPDSSKIETPIVVEGEQIGAIKSTTKE >Manes.01G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31237582:31240493:1 gene:Manes.01G115100.v8.1 transcript:Manes.01G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNSHDFLKIEKHVIKVHINCEGCKEKVRKLLKKVEGVYKIDIDTEHQVVIVSGCVDSSTLIKRLVKSGKRAELWYPTSKHKLKREATMDQMHFLSNDLNASKNQFMFPASFVNEDVRGFENLTNQNTGKKVADAETEQDLMVAKRMGNIYMDEDNFAGNTGVENDMTPLGDQADYQENKAGFLGLGGHEFDGMPIYKHNHLPSLIMSNIQQGPHYNYPSMAMQKNFVYMHDGHANNNMISDFCMHQPHVMNHALEMPPPYTGYSFSAAPPHSY >Manes.14G147600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:15566501:15568447:-1 gene:Manes.14G147600.v8.1 transcript:Manes.14G147600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLEEELFPSTPGKFKIDRAHTMGRHFHRCFASTSTMFLWALFLIALTASYLSFQSFIDSGSKYLSASWGGIQWEKQVRNSAQIQRAGGMSVLVTGAAGFVGTHVSLALKKRGDGVVGLDNFNNYYDPSLKKARKSLLAKHGIFIVEGDVNDARLLTKLFDVVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEVCKSADPQPAIVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFTFTRSILQGKPITIYRGKNRVDLARDFTFIDDIVKGCVGSLDTAGKSTGSGGKKRGPAQYRIFNLGNTSPVTVPTLVSILEKHLKMKAKRKIVDMPGNGDVPFTHANISLARRELGYKPTTDLQTGLKKFVKWYLTYYGYNHGKVVN >Manes.05G172500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28714939:28728305:1 gene:Manes.05G172500.v8.1 transcript:Manes.05G172500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGRLGSYITRGVYTVSGSFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKIVNICVNGIDANFHMYLDNRGEAYFLREVEGDEGESVLSSYSDEADEPSQKRMPLKSKSCNFDLNQSNTCGQIDGNNGKIVARTSSRRSRIFGLVFGQSSMKEDGYRDENNGDDDGVVRMSSLDRAEIAANLLDVKWSTNLASSRSRKGNASQFSASYTSEGTGVRDRPNNDGQSQVGSSVQVVTENSMGHYMLAEETGSCNMQMGTSSHSGFENGKFSVEESSVAVSSLGATEQIVETFVLDESSLEEISGISRGANEPGLQNPDENSHGMISEVACSDSQIQDVIGESPSKKFDQEQFSDERNVAVAEVGIYEETGSNRTQSFIYCENSENSLVGLDGSKEQSAETLYLASGGPGDINFFAETLHVTTEFLLEDTVTQQAEEIELETLCTESCDNHPQLANPSPPLVRGHDEVNLEVSLAEPKSYTQMVTVDPACGLTEVQSTSSSFTNSVCQFENGINFGDKITSYELQPSLESVGGSEQLDGDRELEKAVSVPSSGSLEQLDGDRELEKAVSVPASGISGQLDGDHELAKAVSVPASESSEQLDGDHELAKAVSIPASESSEQLDGDCKLAKAVSVPSSESSEQLDGDRELAKAVSVPSSESSEQLDGYCELAEAVSVPASESSEQLDGDRELAKAVSVPASESSEQPDGDHELARAVSVTSSESSEEEQFIFGDLDDFKHKEIQGKLNFSDGVVEENNPSCCPEGTDEVNGPLSVNDESFSSGDSFFQKNQFADDETLMGNSREASSPISIPNLNGTADVRAERLAESLPNMWSCSDNMDAEDLQHPLSHSVGSDSKSLEWKLNSKDELCCINSDIDKENQSSPEPSNNEDSPHPEIKNSVANPAVGDPSKDIATTGGNWSLWPFNFRRSGSRIPTPPTVTDNKSSDVENVPDSNIDTENDKNIVKPELSEKVVTAVTDNRSSDVENVSDSNIDTENDKNIVKPKLSKKMVRAISPISEELASLNLKDGSNTVTFTFSTSMLGKQKVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAYHTRQFLVNLKQVNQC >Manes.05G172500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28715293:28727958:1 gene:Manes.05G172500.v8.1 transcript:Manes.05G172500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGRLGSYITRGVYTVSGSFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKIVNICVNGIDANFHMYLDNRGEAYFLREVEGDEGESVLSSYSDEADEPSQKRMPLKSKSCNFDLNQSNTCGQIDGNNGKIVARTSSRRSRIFGLVFGQSSMKEDGYRDENNGDDDGVVRMSSLDRAEIAANLLDVKWSTNLASSRSRKGNASQFSASYTSEGTGVRDRPNNDGQSQVGSSVQVVTENSMGHYMLAEETGSCNMQMGTSSHSGFENGKFSVEESSVAVSSLGATEQIVETFVLDESSLEEISGISRGANEPGLQNPDENSHGMISEVACSDSQIQDVIGESPSKKFDQEQFSDERNVAVAEVGIYEETGSNRTQSFIYCENSENSLVGLDGSKEQSAETLYLASGGPGDINFFAETLHVTTEFLLEDTVTQQAEEIELETLCTESCDNHPQLANPSPPLVRGHDEVNLEVSLAEPKSYTQMVTVDPACGLTEVQSTSSSFTNSVCQFENGINFGDKITSYELQPSLESVGGSEQLDGDRELEKAVSVPSSGSLEQLDGDRELEKAVSVPASGISGQLDGDHELAKAVSVPASESSEQLDGDHELAKAVSIPASESSEQLDGDCKLAKAVSVPSSESSEQLDGDRELAKAVSVPSSESSEQLDGYCELAEAVSVPASESSEQLDGDRELAKAVSVPASESSEQPDGDHELARAVSVTSSESSEEEQFIFGDLDDFKHKEIQGKLNFSDGVVEENNPSCCPEGTDEVNGPLSVNDESFSSGDSFFQKNQFADDETLMGNSREASSPISIPNLNGTADVRAERLAESLPNMWSCSDNMDAEDLQHPLSHSVGSDSKSLEWKLNSKDELCCINSDIDKENQSSPEPSNNEDSPHPEIKNSVANPAVGDPSKDIATTGGNWSLWPFNFRRSGSRIPTPPTVTDNKSSDVENVPDSNIDTENDKNIVKPELSEKVVTAVTDNRSSDVENVSDSNIDTENDKNIVKPKLSKKMVRAISPISEELASLNLKDGSNTVTFTFSTSMLGKQKVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAYHTRQFLVNLKQNGKALPDGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKALFPPDSNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHDIVHDIFPVMTSSHEQEDYNSWNFWKLPPLAID >Manes.05G172500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28714939:28728305:1 gene:Manes.05G172500.v8.1 transcript:Manes.05G172500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGRLGSYITRGVYTVSGSFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKIVNICVNGIDANFHMYLDNRGEAYFLREVEGDEGESVLSSYSDEADEPSQKRMPLKSKSCNFDLNQSNTCGQIDGNNGKIVARTSSRRSRIFGLVFGQSSMKEDGYRDENNGDDDGVVRMSSLDRAEIAANLLDVKWSTNLASSRSRKGNASQFSASYTSEGTGVRDRPNNDGQSQVGSSVQVVTENSMGHYMLAEETGSCNMQMGTSSHSGFENGKFSVEESSVAVSSLGATEQIVETFVLDESSLEEISGISRGANEPGLQNPDENSHGMISEVACSDSQIQDVIGESPSKKFDQEQFSDERNVAVAEVGIYEETGSNRTQSFIYCENSENSLVGLDGSKEQSAETLYLASGGPGDINFFAETLHVTTEFLLEDTVTQQAEEIELETLCTESCDNHPQLANPSPPLVRGHDEVNLEVSLAEPKSYTQMVTVDPACGLTEVQSTSSSFTNSVCQFENGINFGDKITSYELQPSLESVGGSEQLDGDRELEKAVSVPSSGSLEQLDGDRELEKAVSVPASGISGQLDGDHELAKAVSVPASESSEQLDGDHELAKAVSIPASESSEQLDGDCKLAKAVSVPSSESSEQLDGDRELAKAVSVPSSESSEQLDGYCELAEAVSVPASESSEQLDGDRELAKAVSVPASESSEQPDGDHELARAVSVTSSESSEEEQFIFGDLDDFKHKEIQGKLNFSDGVVEENNPSCCPEGTDEVNGPLSVNDESFSSGDSFFQKNQFADDETLMGNSREASSPISIPNLNGTADVRAERLAESLPNMWSCSDNMDAEDLQHPLSHSVGSDSKSLEWKLNSKDELCCINSDIDKENQSSPEPSNNEDSPHPEIKNSVANPAVGDPSKDIATTGGNWSLWPFNFRRSGSRIPTPPTVTDNKSSDVENVPDSNIDTENDKNIVKPELSEKVVTAVTDNRSSDVENVSDSNIDTENDKNIVKPKLSKKMVRAISPISEELASLNLKDGSNTVTFTFSTSMLGKQKVDARIYLWKWNTRIVISDVDGTITKKMGINCFF >Manes.05G172500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28715293:28728363:1 gene:Manes.05G172500.v8.1 transcript:Manes.05G172500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGRLGSYITRGVYTVSGSFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKIVNICVNGIDANFHMYLDNRGEAYFLREVEGDEGESVLSSYSDEADEPSQKRMPLKSKSCNFDLNQSNTCGQIDGNNGKIVARTSSRRSRIFGLVFGQSSMKEDGYRDENNGDDDGVVRMSSLDRAEIAANLLDVKWSTNLASSRSRKGNASQFSASYTSEGTGVRDRPNNDGQSQVGSSVQVVTENSMGHYMLAEETGSCNMQMGTSSHSGFENGKFSVEESSVAVSSLGATEQIVETFVLDESSLEEISGISRGANEPGLQNPDENSHGMISEVACSDSQIQDVIGESPSKKFDQEQFSDERNVAVAEVGIYEETGSNRTQSFIYCENSENSLVGLDGSKEQSAETLYLASGGPGDINFFAETLHVTTEFLLEDTVTQQAEEIELETLCTESCDNHPQLANPSPPLVRGHDEVNLEVSLAEPKSYTQMVTVDPACGLTEVQSTSSSFTNSVCQFENGINFGDKITSYELQPSLESVGGSEQLDGDRELEKAVSVPSSGSLEQLDGDRELEKAVSVPASGISGQLDGDHELAKAVSVPASESSEQLDGDHELAKAVSIPASESSEQLDGDCKLAKAVSVPSSESSEQLDGDRELAKAVSVPSSESSEQLDGYCELAEAVSVPASESSEQLDGDRELAKAVSVPASESSEQPDGDHELARAVSVTSSESSEEEQFIFGDLDDFKHKEIQGKLNFSDGVVEENNPSCCPEGTDEVNGPLSVNDESFSSGDSFFQKNQFADDETLMGNSREASSPISIPNLNGTADVRAERLAESLPNMWSCSDNMDAEDLQHPLSHSVGSDSKSLEWKLNSKDELCCINSDIDKENQSSPEPSNNEDSPHPEIKNSVANPAVGDPSKDIATTGGNWSLWPFNFRRSGSRIPTPPTVTDNKSSDVENVPDSNIDTENDKNIVKPELSEKVVTAVTDNRSSDVENVSDSNIDTENDKNIVKPKLSKKMVRAISPISEELASLNLKDGSNTVTFTFSTSMLGKQKVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAYHTRQFLVNLKQNGKALPDGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKALFPPDSNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHDIVHDIFPVMTSSHEQEDYNSWNFWKLPPLAID >Manes.05G172500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28714940:28728321:1 gene:Manes.05G172500.v8.1 transcript:Manes.05G172500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGRLGSYITRGVYTVSGSFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKIVNICVNGIDANFHMYLDNRGEAYFLREVEGDEGESVLSSYSDEADEPSQKRMPLKSKSCNFDLNQSNTCGQIDGNNGKIVARTSSRRSRIFGLVFGQSSMKEDGYRDENNGDDDGVVRMSSLDRAEIAANLLDVKWSTNLASSRSRKGNASQFSASYTSEGTGVRDRPNNDGQSQVGSSVQVVTENSMGHYMLAEETGSCNMQMGTSSHSGFENGKFSVEESSVAVSSLGATEQIVETFVLDESSLEEISGISRGANEPGLQNPDENSHGMISEVACSDSQIQDVIGESPSKKFDQEQFSDERNVAVAEVGIYEETGSNRTQSFIYCENSENSLVGLDGSKEQSAETLYLASGGPGDINFFAETLHVTTEFLLEDTVTQQAEEIELETLCTESCDNHPQLANPSPPLVRGHDEVNLEVSLAEPKSYTQMVTVDPACGLTEVQSTSSSFTNSVCQFENGINFGDKITSYELQPSLESVGGSEQLDGDRELEKAVSVPSSGSLEQLDGDRELEKAVSVPASGISGQLDGDHELAKAVSVPASESSEQLDGDHELAKAVSIPASESSEQLDGDCKLAKAVSVPSSESSEQLDGDRELAKAVSVPSSESSEQLDGYCELAEAVSVPASESSEQLDGDRELAKAVSVPASESSEQPDGDHELARAVSVTSSESSEEEQFIFGDLDDFKHKEIQGKLNFSDGVVEENNPSCCPEGTDEVNGPLSVNDESFSSGDSFFQKNQFADDETLMGNSREASSPISIPNLNGTADVRAERLAESLPNMWSCSDNMDAEDLQHPLSHSVGSDSKSLEWKLNSKDELCCINSDIDKENQSSPEPSNNEDSPHPEIKNSVANPAVGDPSKDIATTGGNWSLWPFNFRRSGSRIPTPPTVTDNKSSDVENVPDSNIDTENDKNIVKPELSEKVVTAVTDNRSSDVENVSDSNIDTENDKNIVKPKLSKKMVRAISPISEELASLNLKDGSNTVTFTFSTSMLGKQKVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGMDWSQTGVAPLFSAIKENGYQLLFLSARAISQAYHTRQFLVNLKQNGKALPDGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKALFPPDSNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHDIVHDIFPVMTSSHEQEDYNSWNFWKLPPLAID >Manes.03G005200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:454460:459116:-1 gene:Manes.03G005200.v8.1 transcript:Manes.03G005200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNYSIVEINGPDAAFQPVEKSRGKNAKQVRWVLLLKAHRAVGCVAWLATFFWSLLGAIKKRLIFRQGVTVASEKLGKGKLVLRVIRVFLVTSLAILAFEVVAYLKGWHYFDNANLHIPRTLDLQGLLHLVYVSWLTFRADYIAPPIQALSKFCVVLFLIQSADRLILSLGCFWIKYKKIKPRISGDPFKSDDAEAPGYEYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRLLIQVLDDSDEENTQRLINAEVSKWSQKGINIIYRHRVVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFNGNPELGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSKIAIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPMWVICYVPVLMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKSGRSSESDLLAAAEKDLKATNQPQMQRVVSESELGELNRLKEQKESASKPVKKVNKIYRKELALAFLLLTAAVRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >Manes.03G005200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:454460:459116:-1 gene:Manes.03G005200.v8.1 transcript:Manes.03G005200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSERWTKDSKKGTPVVVKMENPNYSIVEINGPDAAFQPVEKSRGKNAKQVRWVLLLKAHRAVGCVAWLATFFWSLLGAIKKRLIFRQGVTVASEKLGKGKLVLRVIRVFLVTSLAILAFEVVAYLKGWHYFDNANLHIPRTLDLQGLLHLVYVSWLTFRADYIAPPIQALSKFCVVLFLIQSADRLILSLGCFWIKYKKIKPRISGDPFKSDDAEAPGYEYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRLLIQVLDDSDEENTQRLINAEVSKWSQKGINIIYRHRVVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFNGNPELGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSKIAIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPMWVICYVPVLMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKSGRSSESDLLAAAEKDLKATNQPQMQRVVSESELGELNRLKEQKESASKPVKKVNKIYRKELALAFLLLTAAVRSLLSAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >Manes.07G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21985392:21987881:-1 gene:Manes.07G074000.v8.1 transcript:Manes.07G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAPKPILVVLLLYIISVGAAMSGNDPRRKLEEEHGMRFDYSFNSGFIIGYIFSLVSVVTIFISYCFPWFDFKKINEKKTPLSKTLLMAKPRRICYQEVNRQSLISDLEKLITRMSFTSLKKATGSFGKHNFIGLGKKGKLYKAKFPYNCLTAVKRIHNSQHLVDQFFSELMILGKFKHMNIVPVLGFCIESHEKLIVYKYMPNGNLYNWLHPMNHASKTLDFHSRINIAIGIARGLAWLHHNNFIIVHSNLCSSCILLDKNLEPKISNLEGSISFSNIDNIRSTEKRLIQSDIYKFGVLILEIILGQDFYMPKETFKERISHSSTSISTLYNAVDKSLISGRGDNAKIFSVLSIACSCIDQVPDQRPTMLQIYKRLLAVKKINNCMEDSKTSIQTDISTTDFIDIDFEITEI >Manes.04G071500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27095329:27100211:-1 gene:Manes.04G071500.v8.1 transcript:Manes.04G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMNFLKACFRPRSDRYVHTNSDSNGRQDGLLWYKDHGQHFNGEFSMAVVQANNLLEDQSQIESGNLSLHESGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFSAEQQSMSVDVIRKAFQATEEGFLSVVTKQWPMKPQIAAVGSCCLVGVISGGILYIANLGDSRAVLGRAVKATGEVLSIQLSSEHNASRESVRQELQSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYTKFRLRERFKKPILSAEPSISMQQLQPHDQFIIFASDGLWEHLSNQEAVDIVQKHPRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGSNISVRGGGINLPPYTLAPCTTPTEAGGT >Manes.04G002000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:521565:524128:-1 gene:Manes.04G002000.v8.1 transcript:Manes.04G002000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFKVCFLHCVAELAGERCRGDTEQWFFFTPRQEREARGGRPNRTTETGYWKATGSPGYVYSSDNRVIGVKKTMVFYKGKAPAGRKTKWKMNEYRAIEEVADSYNTAVPMLRHEFSLCRVYVISGSFRAFDRRPLEAAAIDNRLVRNTATSAQDTRIVDNTSSSETSYSGGDHAYPSGAEGSANWEMVEDLVQPLWDWEQLNWP >Manes.04G002000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:521565:524128:-1 gene:Manes.04G002000.v8.1 transcript:Manes.04G002000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPPGLRFYPTEEELVSFYLHNKLEGKRQELDRVIPVINIYNQEPWNLPKLAGERCRGDTEQWFFFTPRQEREARGGRPNRTTETGYWKATGSPGYVYSSDNRVIGVKKTMVFYKGKAPAGRKTKWKMNEYRAIEEVADSYNTAVPMLRHEFSLCRVYVISGSFRAFDRRPLEAAAIDNRLVRNTATSAQDTRIVDNTSSSETSYSGGDHAYPSGAEGSANWEMVEDLVQPLWDWEQLNWP >Manes.08G021550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2180946:2182091:1 gene:Manes.08G021550.v8.1 transcript:Manes.08G021550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPNFNFEIIHKLYVIAKHAIQLIRTQSQIFVFILIFSSNAARQPATSTATRLLPLSRSPNIQRYYPHLETSWVPFMYLSKVGRDTAHKPTMPK >Manes.08G021550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2180946:2182091:1 gene:Manes.08G021550.v8.1 transcript:Manes.08G021550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPNFNFEIIHKLYVIAKHAIQLIRTQSQIFVFILIFSSNAARQPATSTATRLLPLSRSPNIQRYYPHLETSWVPFMYLSKVGRDTAHKPTMPK >Manes.08G021550.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2180946:2182091:1 gene:Manes.08G021550.v8.1 transcript:Manes.08G021550.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPNFNFEIIHKLYVIAKHAIQLIRTQSQIFVFILIFSSNAARQPATSTATRLLPLSPNIQRYYPHLETSWVPFMYLSKVGRDTAHKPTMPK >Manes.18G020700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2042883:2045169:-1 gene:Manes.18G020700.v8.1 transcript:Manes.18G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSPELPDCFYHNHPALISPSIPTPNHSLYLSNLDDQKFLRFSIKYLYLFKKSVSSDILKYSLSKVLADYYPLAGRLRTSKEEDQKLEIDCNGEGAVFAEAFMDITAEQFLEISRKPNRSWRKLLYRVEAQSFLDIPPLVVQVTNLRCGGMILSTGVNHCVCDGIGTSQFLQAWAHITTKPNHDLPVTPFHFRYVLKPRNPPHVTFTHPSYVRHDDTELQPSVGLNHYLQSQPLVPISLTFTASHILHLKRQCIPSLKCTTFETLAAHTWQCWVRSLDLQSSLNVKLLFSVNVRKKLIPEMPPGYYGNGFVLGCAQTYVKDLISSNLHHGIKLVQHAKSCLNDDYVRSMIDLLEDKTVKTDLSASFVISQWSKLGLEDLEFGEGKPLHMGSLTSDIYCLFLPVIGDTEAVTVLVSLPESAAVKFEYYMKEDFWDKEANGNGYHLEENGFI >Manes.18G025312.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5722304:5724277:-1 gene:Manes.18G025312.v8.1 transcript:Manes.18G025312.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLSGEIDCIGRKSAFMVHQDLFELRSLDEMLQMDHVPARIEWAKFQGNCDLSETITPYINCTSDSKYCWAQINTRQLCICRNCQDDSKCTDGGNYNCDLFCMHTPGGYDCPCPTENYENISNIGYPSHLFSNQKSHSKFIIIGCASGLGFLLLLIGLWLLYKLMKRRQAMKLKQKFFKRNGGLLLQQQLSSEGNNVEQTKLFACNELENATDHYHENRILGQGGQGTVYKGMLTDGRVVAIKKSKVVDEDKLEQFINEVLILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQYIHNQNEELPLTWEMRLRIATEVAGALSYLHSAASVPIYHRDIKSSNILLDDKFRAKVADFGTSKSISIDQTHVTTRVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVIVELLTGQKPINPLRSVEARSLATYFLDSMEANRLFEIVDVRVLNEGGKEEIIAVAKLA >Manes.14G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1688991:1689629:1 gene:Manes.14G006000.v8.1 transcript:Manes.14G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSRLSWVALAVLVVAGILFSGEHASAISCEDDVIGLVTKCKEFVKKEGPIVKPSEDCCAVVKKANVPCVCSLVTKQIEDLISMEKVFYVAKSCGKKVSPGTKCGSYTVPRA >Manes.04G008575.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1105625:1106611:-1 gene:Manes.04G008575.v8.1 transcript:Manes.04G008575.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKVPEEMSFLTQLQVLNLGRNLFLGGIPSSIGNISSLKDFILGTNALSGPIPSDLSHLQNLKLLDLTINNITGNVPSTIYNMSSLVYLALSANQLQGKIPADVGYRLPKLLGFSISFNKFTRTIPGSLHNLTHIRIIRMACNLLEGTVSAGLGNLLFLEMYNIGFNRIVSSGDNGLGFITSLTNTTRLKFLAFGGNLLQGIIPESIGNLSKVLERLHMGGNHIYGTIPASIGYLSTLTLLNLSNNAITGEIPHEIGKLENLQVLHLAGNRIFGRIPDFLGNLHVIPGSSPASAFLSSGGISGVGTLEKGNAYVFQGIFTCFHVPKT >Manes.03G136600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26096452:26108278:1 gene:Manes.03G136600.v8.1 transcript:Manes.03G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCIRSRALLNWNQQAPQFLGKSSLSANLKTQFLQFNKKKSNPLSLHKLPSFSRRTHHSAFPKCARKSTASASSSSVAVGPEKDRLPADIGITETVEPNSRLKLAVEVPPAVCDDCYKRVMNEFMKQAKVPGFRPGKNVPESILLSYVGKQNVLKATVESVLKRTLPHAMASVTGRALRDSVRIVTKFSDMEKAYSSHNSLRYDVIVDVAPEVKWIPDNGYKNLKIVVEIDSDINAKVASEKELRQRQKSLGALKIVTDRGLQIGDVVVLDISATKIDEDKSNAQNIPDAESKGFHFDTEDGDKVLPGFLDSIIGIQQGEKKSFPLVFPESWSQPSLRGVNAQFTVQCKELFYRDMPELDDSLADKLLPGCTTLEQVKESLFQKCLEVEQMAKDRATDNAILDQLCKMVEVDIPQSLFEEQGRQLYGARLLEIQANMKLNEQQLASLSSPKAVNEYLENQKENIANMMKQNLAVGDIFERENLQFSTDELVKEVENSIAEFKRHKQEYDEDRIREQVQEVLEGAKVLEWLREHAEIQYITR >Manes.02G026300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2235830:2237542:-1 gene:Manes.02G026300.v8.1 transcript:Manes.02G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFSFTFMVLTIVLMVLSCLPCQAQLSSNFYDDTCPNALSTIRTVIRSAVSRERRMAASLVRLQFHDCFVQGCDGSILLDDTPSMAGEKFARNNNQSVRGFEVIDDAKAEVESICPGVVSCADIVAIAARDASVAVGGPSWTVKLGRRDSTSASRAEANRNLPGFSDSLESLISSFEGKGLSERDLVALSGSHTIGQASCLTFRDRIYDNASDIDAGFASTRRRQCPATGGDRNLAPLDLVTPNSFDNNYFKNLLQKKGLLQSDQVLFSGGSTDSIVDEYSRSPSAFNSDFASAMVKMGDIDPKTGSQGEIRRLCNVVNN >Manes.01G150300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33908518:33912815:-1 gene:Manes.01G150300.v8.1 transcript:Manes.01G150300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLKFVFFLLPILFFPQCSHGRIFTFKMHHRFSDSLKNWSHSAYNHFPLGNWPSKGSFEYYAELAHRDQILRGRKLANVDAPLAFSDGNSTFRISSLGFLHYTTVELGTPGVKFMVALDTGSDLFWVPCDCSKCAPTQGAAYASDFELSIYNPKQSSTSKKVTCNNNLCAHRNRCLGTFSSCPYMVSYVSAQTSTSGILVEDVLHLTTEDSDPESVKAYITFGCGQVQSGSFLNTAAPNGLFGLGMEQISVPSILSRQGLTADSFSMCFGSDGVGRISFGDKGSPDQEESPFNVNPSHPTYNITVTQIRVGTTLVDVDFTALFDSGTSFTYLVDPTYATVSENFHSQAKDRLRPPDPRIPFEYCYDMSLIPSLRLTMKGGGHFAVSDPVIVISTQSELVYCLAVVKSAELNIIGQNFMTGYRVVFDREKLVLGWKKTNCYDIEDYNMFPMEPHASRVPPAVAAGLANYSIPQSTKEARNGSHSHSSVASPFHCSNSYFVISFIFFFTLYNLL >Manes.01G150300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33908518:33912815:-1 gene:Manes.01G150300.v8.1 transcript:Manes.01G150300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFLKFVFFLLPILFFPQCSHGRIFTFKMHHRFSDSLKNWSHSAYNHFPLGNWPSKGSFEYYAELAHRDQILRGRKLANVDAPLAFSDGNSTFRISSLGFLHYTTVELGTPGVKFMVALDTGSDLFWVPCDCSKCAPTQGAAYASDFELSIYNPKQSSTSKKVTCNNNLCAHRNRCLGTFSSCPYMVSYVSAQTSTSGILVEDVLHLTTEDSDPESVKAYITFGCGQVQSGSFLNTAAPNGLFGLGMEQISVPSILSRQGLTADSFSMCFGSDGVGRISFGDKGSPDQEESPFNVNPSHPTYNITVTQIRVGTTLVDVDFTALFDSGTSFTYLVDPTYATVSENFHSQAKDRLRPPDPRIPFEYCYDMSPDANASLIPSLRLTMKGGGHFAVSDPVIVISTQSELVYCLAVVKSAELNIIGQNFMTGYRVVFDREKLVLGWKKTNCYDIEDYNMFPMEPHASRVPPAVAAGLANYSIPQSTKEARNGSHSHSSVASPFHCSNSYFVISFIFFFTLYNLL >Manes.01G271700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42507534:42511618:-1 gene:Manes.01G271700.v8.1 transcript:Manes.01G271700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSASKPHQTSPHRDAIKFTDMASSTLKSHQPLHNFPLQDLKWSMNHTNNHRFRKLAADSSHKSPHRDAAESDGAKTGNSVAFPDRMNEKSEKKYGAVDTAVDNSDKKSKIFIRIRTKHSKCAEDSADAGDQTSVMDDAEETITKTWNLRPRRAVTKASNGNGGALKIGGAVAPETKAQEPSRPELARSRNANDAKVAEKKENEKEKKQKLSIPLTKEEIEEDVYALTGSKPARRPKKRPKLVQKQLDCLFPGLWLSSITPDVYKVSDTPPKG >Manes.01G271700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42507534:42511616:-1 gene:Manes.01G271700.v8.1 transcript:Manes.01G271700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSASKPHQTSPHRDAIKFTDMASSTLKSHQPLHNFPLQDLKWSMNHTNNHRFRKLAADSSHKSPHRDAAESDGAKTGNSVAFPDRMNEKSEKKYGAVDTAVDNSDKKSKIFIRIRTKHSKCAEDSADAGDQTSVMDDAEETITKTWNLRPRRAVTKASNGNGGALKIGGAVAPETKAQEPSRPELARSRNANDAKVAEKKENEKEKKQKLSIPLTKEEIEEDVYALTGSKPARRPKKRPKLVQKQLDCLFPGLWLSSITPDVYKVSDTPPKVQY >Manes.01G271700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42507598:42511593:-1 gene:Manes.01G271700.v8.1 transcript:Manes.01G271700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSASKPHQTSPHRDAIKFTDMASSTLKSHQPLHNFPLQDLKWSMNHTNNHRFRKLAADSSHKSPHRDAAESDGAKTGNSVAFPDRMNEKSEKKYGAVDTAVDNSDKKSKIFIRIRTKHSKCAEDSADAGDQTSVMDDAEETITKTWNLRPRRAVTKASNGNGGALKIGGAVAPETKAQEPSRPELARSRNANDAKVAEKKENEKEKKQKLSIPLTKEEIEEDVYALTGSKPARRPKKRPKLVQKQLDCLFPGLWLSSITPDVYKVSDTPPKG >Manes.01G271700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42507534:42511617:-1 gene:Manes.01G271700.v8.1 transcript:Manes.01G271700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSASKPHQTSPHRDAIKFTDMASSTLKSHQPLHNFPLQDLKWSMNHTNNHRFRKLAADSSHKSPHRDAAESDGAKTGNSVAFPDRMNEKSEKKYGAVDTAVDNSDKKSKIFIRIRTKHSKCAEDSADAGDQTSVMDDAEETITKTWNLRPRRAVTKASNGNGGALKIGGAVAPETKAQEPSRPELARSRNANDAKVAEKKENEKEKKQKLSIPLTKEEIEEDVYALTGSKPARRPKKRPKLVQKQLDCLFPGLWLSSITPDVYKVSDTPPKG >Manes.01G271700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42507579:42511609:-1 gene:Manes.01G271700.v8.1 transcript:Manes.01G271700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSASKPHQTSPHRDAIKFTDMASSTLKSHQPLHNFPLQDLKWSMNHTNNHRFRKLAADSSHKSPHRDAAESDGAKTGNSVAFPDRMNEKSEKKYGAVDTAVDNSDKKSKIFIRIRTKHSKCAEDSADAGDQTSVMDDAEETITKTWNLRPRRAVTKASNGNGGALKIGGAVAPETKAQEPSRPELARSRNANDAKVAEKKENEKEKKQKLSIPLTKEEIEEDVYALTGSKPARRPKKRPKLVQKQLDCLFPGLWLSSITPDVYKVSDTPPKG >Manes.11G060474.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8453051:8464478:1 gene:Manes.11G060474.v8.1 transcript:Manes.11G060474.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDADHLLPSWIDDPTSNCCEWERVMCNSTTGHVTELSLNNTRQYDIESDSFYNENSWYVNLSMFQQLKELKTLNLSYNHFDCSIDNQGCERLSKLKKLEVLDLTWNGFNNNILPSLGALISLKTLILRDNRMEGSLPIQGFQRLEELDLSSNSFNNSILSSLAALPSLNTLILSFNDMEGSFPNQGFERLEKLDISWNRFNKSILSSLGALTSLNTLIISSMYDTMNGSFPIQELKNLKNLTFLDISNNHFNGTISFKEFSTFKRLETLNLKGNAFTGSIPKGLCGLKDLQHLDLSYNEFGGTLPQCLGNLTSLTFLDLSGNQLTGYLPSFWPPKLQSLDLSHNHLEGIFSFNYSSLENGWIPSFQLKTLIMQDYGLESIPEFLFHQFKLELLDLSHNNLKGRFPYWLLQNNGGLEILNLMNNSFNGQLEIGAKMLPSMTYLNLARNHFEGDLFSAGDACKLVALDLSHNNFSGEVPERLLSNCIFLSYLRLSHNNFHGQIALFNLTRIADLQLNDNQFEGTLSSLVTNFSHQSYGPLVLHLSNNRLHGEIPHWIGNITGLKYLNLRNNLFQGQISCQHLSTGIEYLDLSYNSFSGLLPSCFNGNSLRQINLQGNRFSGSIPKALLNISTLNSLDLSDNELSGTILNKSGENLSSLRVLLLRGNYFSGFISNWLCQLNNVSLLDLSRNSFSGSIPHCLYNLSFGREGEGHLYDLPFSDTLFGWGIEYGGRSETYFDNIVSFDAEVDEESEFVTKYRVHTYKNKALNLMSGLDLSDNNLTGEIPDEFGVLFQIHALNLSHNQLTGSIPRSFSNLSQIESLDLSYNILSGQIPVELIDLNFLEVFSVAHNNLSGRIPDMKEQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPKSPQEAHGNWYKIDREIFLASFSVTFIIFFLSVITILYVNSYWQERLIYRTRQYLFSYYYFLYDNLVK >Manes.10G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5443101:5447933:-1 gene:Manes.10G049400.v8.1 transcript:Manes.10G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLKFLSIPVCSVFLLMGFIYYFTLFIFIEDWVGLQSSAGTLNALIFTLIASLCLFSFSACVLTDPGHVPYSYVPDVEGNDASDQESLNNSSQLRQCDKCCAHKPPRAHHCRICRRCVLRMDHHCLWINNCVGYWNYKAFFILVLHATVASVYSMVIIICCAFQKNWDFGGRIPLKIFYAVSGALMVALSGTLGTLLGWHIYLITHNMTTIEYYEGIRASWLARKSGQIYRHPFDLSAYKNITMVLGPNMLKWLCPTATSHLKDGMNYPTSHDS >Manes.10G049400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5443101:5447933:-1 gene:Manes.10G049400.v8.1 transcript:Manes.10G049400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLKFLSIPVCSVFLLMGFIYYFTLFIFIEDWVGLQSSAGTLNALIFTLIASLCLFSFSACVLTDPGHVPYSYVPDVEGNDASDQESLNNSSQLRQCDKCCAHKPPRAHHCRICRRCVLRMDHHCLWINNCVGYWNYKAFFILVLHATVASVYSMKNWDFGGRIPLKIFYAVSGALMVALSGTLGTLLGWHIYLITHNMTTIEYYEGIRASWLARKSGQIYRHPFDLSAYKNITMVLGPNMLKWLCPTATSHLKDGMNYPTSHDS >Manes.15G026300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2068183:2069777:-1 gene:Manes.15G026300.v8.1 transcript:Manes.15G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDKEVEVEIAPFVRVYKDGSVERLMGAPIVPPSSQDPETGVSSKDVTISQNPPISARLYLPKLIEPTQQKLPILVYFHGGGFCIDSAFSLNETKYMNRLVSQAEVVAISVEYRLAPEHLLPTAYEDCWAALQWVASHFADKGINTKDPWISNYGDFGRLYIGGDSAGANIAHNLIMRASNEALPGDVKILGAYLTHPYFWGAEPVGSECREEREKLVTNLLWALVYPSAPGGIDNPMMNPVALGAPNLAGLGCSRLLISVAEKDLLRDRGILYYEAVKESGWKGEVEFNEAEGEGHAFHILNCETESAKNLIKSLASFLLK >Manes.05G104200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9844564:9846980:-1 gene:Manes.05G104200.v8.1 transcript:Manes.05G104200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMEEKVKEQELGEDTLKDQVVFDGGDVDHSVEELKDSDQNGENSVAMPSPQQEEEAIKKKYGGILPKKKPLISKDQDRAFFDSADWALGKQGAQKPKGPLEALRPKLQPSPQHQVRSRRSAYAPADDCDGVDDGHNRPSEDEKSTLDAGNDKNTEL >Manes.05G104200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9844507:9847037:-1 gene:Manes.05G104200.v8.1 transcript:Manes.05G104200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMEEKVKEQELGEDTLKDQVVFDGGDVDHSVEELKDSDQNGENSVAMPSPQQEEEAIKKKYGGILPKKKPLISKDQDRAFFDSADWALGKQGAQKPKGPLEALRPKLQPSPQHQVRSRRSAYAPADDCDGVDDGHNRPSEDEKSTLDAGNDKNTEL >Manes.05G104200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9844517:9846980:-1 gene:Manes.05G104200.v8.1 transcript:Manes.05G104200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMEEKVKEQELGEDTLKDQVVFDGGDVDHSVEELKDSDQNGENSVAMPSPQQEEEAIKKKYGGILPKKKPLISKDQDRAFFDSADWALGKQGAQKPKGPLEALRPKLQPSPQHQVRSRRSAYAPADDCDVDDGHNRPSEDEKSTLDAGNDKNTEL >Manes.05G104200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9843703:9846982:-1 gene:Manes.05G104200.v8.1 transcript:Manes.05G104200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMEEKVKEQELGEDTLKDQVVFDGGDVDHSVEELKDSDQNGENSVAMPSPQQEEEAIKKKYGGILPKKKPLISKDQDRAFFDSADWALGKQGAQKPKGPLEALRPKLQELMMAIIVLLRMRKAHWMLEMIRTLSFKAAIVHQDQTRLYPLFL >Manes.05G104200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9844438:9847007:-1 gene:Manes.05G104200.v8.1 transcript:Manes.05G104200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMEEKVKEQELGEDTLKDQVVFDGGDVDHSVEELKDSDQNGENSVAMPSPQQEEEAIKKKYGGILPKKKPLISKDQDRAFFDSADWALGKQGAQKPKGPLEALRPKLQPSPQHQVRSRRSAYAPADDCDVDDGHNRPSEDEKSTLDAGNDKNTEL >Manes.05G104200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9843703:9847037:-1 gene:Manes.05G104200.v8.1 transcript:Manes.05G104200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMEEKVKEQELGEDTLKDQVVFDGGDVDHSVEELKDSDQNGENSVAMPSPQQEEEAIKKKYGGILPKKKPLISKDQDRAFFDSADWALGKQGAQKPKGPLEALRPKLQPSPQHQVRSRRSAYAPADDCDGVDDGHNRPSEDEKSTLDAGNDKNTEL >Manes.09G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21755101:21759308:1 gene:Manes.09G082500.v8.1 transcript:Manes.09G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKEEEKKDEVKDEGKKKEEQKEKKVEEPPEIVLKVDMHCEACARKVARALKGFQGVEEVTTDSKARKVVVKGKAADPLKIYERLKKKTRRKVVLISPLPEPPEQNNQDPSPPPKEEKMVEPPPVVTVVLSIRMHCEACAQALQKRVLKIRGVESVETNVATSQVIVKGIVDPTELISDVYKKTGKQAFIVKGEEKKKEEEKKEEVNKEEEKKEEEKKEEKKDDDEEEKAYTNRNEYWPSKSYLEYACCAPEMFSEDNPNACYVM >Manes.08G112300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35147982:35149436:-1 gene:Manes.08G112300.v8.1 transcript:Manes.08G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTCREIERKILRLLHGHDTRTQLRQIHAHFLRHGLHQLNHILAHFVSVCGSQNKMAYAHRIFSQSQQPNILLFNSMIKGYSLCGPFEESFNLFSSMTNRGIWPDEYTFAPLLKACSNLGDLKLGRCVHKDVIAVGFHCFSSIGIGVVELYTTCGIMEDAEKVFDEMCDMDVVVWNLMIRGYCKRGDFDTGLHLFRRMSERSVVSWNTIISSLAHSGRDSEALELFHEMQDQGFKPDEATLVIVLPVCARLGAVEVGQWIQSYAESSGFYRHSVSVGNALVDFYSKCGLLETAKNVFNEMPEKNVISWNVMISAMAFNGKGQPGVDLFEEMTNKGIAPNDATFVGVLSCCAHAGLVERGRTLFASMTENHQIVPKLDHYGCLVDLLGRSGCVREAYGLIRSMPEKPNASLWGSLLGACRTHGEVELAQLAVEELINLEPWNSGNYVLLSNIYAEEERWDKVEEVRTMMKERSVEKAPGQSSVG >Manes.S052516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1801370:1801744:-1 gene:Manes.S052516.v8.1 transcript:Manes.S052516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.07G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22195666:22197511:1 gene:Manes.07G074800.v8.1 transcript:Manes.07G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLSFLAFTLFSIFLASSSPSIAGSAQYSVQSYGAKPDGTTDSTKAFLAAWAQVCGSSEPASLYVPSGRFSLGKVTFQGPCKNSAILVTIDGTLVAPSDYSAIGQEKNWLMFEHVDGVTVSGGILDGQGTALWSCKASRKSCPSGATSIEFSNSNNIEINGLASQDSQKFHIVINGCKNVKVHDVKVSAAGNSPNTDGIHVEQSTGVTILNSKIGTGDDCVSIGPGTTNLWIENVACGPGHGISIGSLGKELEEDGVQYVTVKSCTFSGTENGVRIKSWGRPSNGFARNILFQHAVMTNVQNPILIDQNYCPDDKNCPNQQSGIKISDVTYQDIHGSSATEVAVKLDCSKKNPCTGIELEDVKLTYNNRPAEASCTNAAGTVSGFVQPSSCL >Manes.04G063300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:23167545:23169788:1 gene:Manes.04G063300.v8.1 transcript:Manes.04G063300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQISLFGCSPRDNMATSLSSIRIFTFSSPSIFPTPSPNNQFLVEFPLRSNFSTLTHRSPHLRPICAVSAPEKIEKLGAEISSLTLEEARTLVDYLQDKLGVSAAAFAPAAAVVAAPGAGGDAGAAAVEEKTEFDVVIEEVPSSARIAVIKAVRALTSLALKEAKELIEGLPKKFKEGVSKDEAEDAKKQLEAAGAKVNIV >Manes.04G063300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:23167545:23169581:1 gene:Manes.04G063300.v8.1 transcript:Manes.04G063300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQISLFGCSPRDNMATSLSSIRIFTFSSPSIFPTPSPNNQFLVEFPLRSNFSTLTHRSPHLRPICAVSAPEKIEKLGAEISSLTLEEARTLVDYLQDKLGVSAAAFAPAAAVVAAPGAGGDAGAAAVEEKTEFDVVIEEVPSSARIAVIKAVRALTSLALKEAKELIEGLPKKFKEGVSKDEAEDAKKQLEAAGAKVNIV >Manes.04G063300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:23167545:23169581:1 gene:Manes.04G063300.v8.1 transcript:Manes.04G063300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQISLFGCSPRDNMATSLSSIRIFTFSSPSIFPTPSPNNQFLVEFPLRSNFSTLTHRSPHLRPICAVSAPEKIEKLGAEISSLTLEEARTLVDYLQDKLGVSAAAFAPAAAVVAAPGAGGDAGAAAVEEKTEFDVVIEEVPSSARIAVIKAVRALTSLALKEAKELIEGLPKKFKEGVSKDEAEDAKKQLEAAGAKVNIV >Manes.04G063300.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:23167545:23169507:1 gene:Manes.04G063300.v8.1 transcript:Manes.04G063300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQISLFGCSPRDNMATSLSSIRIFTFSSPSIFPTPSPNNQFLVEFPLRSNFSTLTHRSPHLRPICAVSAPEKIEKLGAEISSLTLEEARTLVDYLQDKLGVSAAAFAPAAAVVAAPGAGGDAGAAAVEEKTEFDVVIEEVPSSARIAVIKAVRALTSLALKEAKELIEGLPKKFKEGVSKDEAEDAKKQLEAAGAKVNIV >Manes.09G063036.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10544846:10548949:1 gene:Manes.09G063036.v8.1 transcript:Manes.09G063036.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVELGMSNSCYEDASKLDLGFGHFGCSHYRRRCKIRAPCCDEIFDCRHCHNESKNSMEVNPLDRHDVPRHELKRVICSLCSTEQNIQQYCIQCGVCMGKYFCSKCNFFDDDVSKQQYHCDECGICRTGGEKNFFHCNRCGCCYSILLKDSHNCVERAMHHNCPVCFEFLFDTTKDITVLPCGHTIHLECVKQMKRHFQYACPVCSKSYCDMSCVWEKLDQEVASTPMPQIYQNKMIWILCNDCGEKSEVIFHIVAQKCMRCNSYNTRQTRGSPTSCSSGF >Manes.09G063036.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10544101:10550005:1 gene:Manes.09G063036.v8.1 transcript:Manes.09G063036.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVELGMSNSCYEDASKLDLGFGHFGCSHYRRRCKIRAPCCDEIFDCRHCHNESKNSMEVNPLDRHDVPRHELKRVICSLCSTEQNIQQYCIQCGVCMGKYFCSKCNFFDDDVSKQQYHCDECGICRTGGEKNFFHCNRCGCCYSILLKDSHNCVERAMHHNCPVCFEFLFDTTKDITVLPCGHTIHLECVKQMKRHFQYACPVCSKSYCDMSCVWEKLDQEVASTPMPQIYQNKMIWILCNDCGEKSEVIFHIVAQKCMRCNSYNTRQTRGSPTSCSSGF >Manes.09G063036.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10544405:10550005:1 gene:Manes.09G063036.v8.1 transcript:Manes.09G063036.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVELGMSNSCYEDASKLDLGFGHFGCSHYRRRCKIRAPCCDEIFDCRHCHNESKNSMEVNPLDRHDVPRHELKRVICSLCSTEQNIQQYCIQCGVCMGKYFCSKCNFFDDDVSKQQYHCDECGICRTGGEKNFFHCNRCGCCYSILLKDSHNCVERAMHHNCPVCFEFLFDTTKDITVLPCGHTIHLECVKQMKRHFQYACPVCSKSYCDMSCVWEKLDQEVASTPMPQIYQNKMIWILCNDCGEKSEVIFHIVAQKCMRCNSYNTRQTRGSPTSCSSGF >Manes.09G063036.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10544710:10550005:1 gene:Manes.09G063036.v8.1 transcript:Manes.09G063036.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVELGMSNSCYEDASKLDLGFGHFGCSHYRRRCKIRAPCCDEIFDCRHCHNESKNSMEVNPLDRHDVPRHELKRVICSLCSTEQNIQQYCIQCGVCMGKYFCSKCNFFDDDVSKQQYHCDECGICRTGGEKNFFHCNRCGCCYSILLKDSHNCVERAMHHNCPVCFEFLFDTTKDITVLPCGHTIHLECVKQMKRHFQYACPVCSKSYCDMSCVWEKLDQEVASTPMPQIYQNKMIWILCNDCGEKSEVIFHIVAQKCMRCNSYNTRQTRGSPTSCSSGF >Manes.12G014100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1386718:1389905:1 gene:Manes.12G014100.v8.1 transcript:Manes.12G014100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVENPKVGADQKGPEASSPVSEIQPTWYSGLLHQTSIYGVAAGYCISASLLSIINKWAVMKFPFPGALTALQYFTSAAGVFICGWFKLVERDPLDLLTMWRFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAVGETMFLHQPWPAMKTWLSLATIFGGSVLYVLTDYQFTVTAYSWALAYLISMAVDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISDESDWYSLEVVLPVGLSCLFGLSISFFGFSCRKAISATGYTVLGVVNKMLTVIINLVIWDKHSSFIGTIGLLICMLGGIMYQQSTSKPKSVPEVKVEEAEEEQQKLLEMQSKIESNNNEK >Manes.12G014100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1386529:1390442:1 gene:Manes.12G014100.v8.1 transcript:Manes.12G014100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVENPKVGADQKGPEASSPVSEIQPTWYSGLLHQTSIYGVAAGYCISASLLSIINKWAVMKFPFPGALTALQYFTSAAGVFICGWFKLVERDPLDLLTMWRFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAVGETMFLHQPWPAMKTWLSLATIFGGSVLYVLTDYQFTVTAYSWALAYLISMAVDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISDESDWYSLEVVLPVGLSCLFGLSISFFGFSCRKAISATGYTVLGVVNKMLTVIINLVIWDKHSSFIGTIGLLICMLGGIMYQQSTSKPKSVPEVKVEEAEEEQQKLLEMQSKIESNNNEK >Manes.11G014800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1591917:1595477:-1 gene:Manes.11G014800.v8.1 transcript:Manes.11G014800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVADTNVFDAVSHLFNIPESIEKFIINSRSNDTNENKSIGNIPADILDTPKEYIFYMDVPGLSKSDIQVTVEDENTLVIRSGGKRKREDGEEEGCKYIRLERRTPQRLIRKFRLPENANVSAITAKCENGVLTVVVEKHPPPPKAKKVELKSSFGAWRPKELDFSHLQVRIVSPLDLQEVRTRGTEDPSSEIAASVSFQS >Manes.11G014800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1594599:1595996:-1 gene:Manes.11G014800.v8.1 transcript:Manes.11G014800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVADTNVFDAVSHLFNIPESIEKFIINSRSNDTNENKSIGNIPADILDTPKEYIFYMDVPGLSKSDIQVTVEDENTLVIRSGGKRKREDGEEEGCKYIRLERRTPQRLIRKFRLPENANVSAITAKCENGVLTVVVEKHPPPPKAKKVEVTVS >Manes.01G217201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38602914:38607846:-1 gene:Manes.01G217201.v8.1 transcript:Manes.01G217201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPSVPETPSHLSNTSDFKMETEKPVALPETPQNPFQWDGAGSNFVETVEFPVLKSEELANEEDDDDNVYAVGQSKLGTMEKGELDGDGDKGLELSWAQDSGDKNGIEVVDNQGKEVSLEMGKGVDTNLGDMETEKLGREKSQLDAEPVEGKKAEVYGGKAVEEGEFFSGGNEKVDSLISELENDKLVEEGDSVLESVRAPLRGLGVAVGGGMEGGNYSEVRGAEAPVDGSLSPNNCFDKTSSDMEQPVNFNAESKKNVESVAGFKPEIAEVDSNGKEIVVSGLGEGEHSEIIDVFDDAVAKGSWDIMNVSVDKAEAAGATNENEKTEKEMEPAVDKGIEQIQTAVVVDVGDRDGTLATGSIEETARTHVAHDVEIYMSEASKNLEDELSTKAGLPESAESIAVTNAQLKLNDEIEEKNNLGVEGENDGSVTDGETDGIIFGNSEAAKQFLEGLERGSGIGSYSDVETSRDHSDRIDGQIVTDSDEEVDTDEEGEGKELFDSAALAALLKAATRAGSESDTVTVTSQDGSRLFSVERPAGLGSSLRPVKPAAGPNRPSIFSSSSLTNGGDLNTSLSEEEKKKLEKLQQIRVKFLRLVHRLGLSPEESVAAQVLYRLALLAGKQTNQLFSLDAAKRTALQLEAERKDDLDISLNILVLGKTGVGKSATINSIFGEEKSPIHAFEPATNSAREITGVAGGVKIRVIDSPGLKSSGSEQGLNRKVLTSVKKLMKSCPPDVVLYVDRLDTQTRDLNDLPLLRSITSSLGSSIWRNAVVTLTHGASAPPDGPSGSPLSYEVFVAQRSHVVQQSIGQAVGDLRLMNPSMMNPVSLVENHPSCRKNRDGQKVLPNGQSWRPQLLLLCFSMKILSEASSLSKPQDPFDHRKLFGFRTRAPPLPYLLSWMLQSRSHPKLSNDQGGDNVDSDIDLADLSDSDQEEEGDEYDQLPPFKPLRKTQLAKLSKEQKKAYFEEYDYRVKLLQKKQWTEELRRMREIKKKGKVVADEYDYNGEDVDPENGAPAAVPVPLPDMVLPPSFDGDNPAYRYRFLEQTSQFLARPVLDTHGWDHDCGYDGINVENNLAVANRFPAAVTVQVTKDKKEFSIHLDSSISAKHGENGSSMAGFDIQNVGKQLAYILRGETKLKNFKANKTTAGVSVTFLGQNVAGGFKLEDQIAVGKRLMLVGSTGTVRSLGDAAYGANLEVRLREADYPIGQDQSSLGLSLVKWRGDLALGANLQSQISIGRSSKVAVRAGLNNKMSGQISVRTSSSEQLQLALVGLLPIAMSIYKSIRPGVSDNYSMY >Manes.08G051400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5446294:5463911:1 gene:Manes.08G051400.v8.1 transcript:Manes.08G051400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNYLCHYAPLLSPSPTLSNSKILCKKKKNTTAFEEKKSVFVDYDAGQHKVTTHVTGLRKADLQKRYRLKVEGDRFQKDWSLSQVVDKILELDPKYDEVEGLLNHWVGRFARKNFPRLIKELTQRGSLEHSNRVFSWMKHQKNYCARNDIYNMMIRLHARHNRTDQARGLFFEMQEWRCKPDAETYNALINAHGRAGQWRWAMNIMEDMLREAIPPSRSTYNNLINACGSTGNWREALKICQKMTENGVGPDLVTHNIILSAYKSGGQHLKALSYYELMKGTNIRPDTTTLNIVIYCLVKLGQYEKAIDIFSSMRERRAECHPDIVTFTSIIHLYSVSGQIENCKAVFNIMLAEGLKPNIVSYNALIGAYASHGMSEEAFSIFNEIKSSGFRPDVVSYTSLLSSYGRSKQPIKARKVFNMMKRDKLKPNVVSYNALIDAYGSNGFLAEAVEVLREMEQDSVHPNTVSICTLLAACGRCGQKANVDAVLSAAKMRGIKFNTVAYNSAIGSYMNLGEYEKAVALYKSMRKKKVTTDSVTYTVLISGCCKMSKYDEALEFYADMMDMKIPLTREAYSSVICAYSKQGQIKEAESIFNMMKTAGCYPDVITYTMMLHAYNAAEYWEKAYNLLQEMEEHNIQPDTIACSALMRAFNKGGKPKQVLILADFMKEKEIPFSDAIFFEMVSACSLLCDWRRTIDLAKLMEPSFSVVSIGLLNQLLHLLGKSGKIESMMKLFYKITKSGAEINLNTHSILLKNLLAVGNWRKYVEVLEWMIDAGIEPSNQMYHDVFSYAQKSVGAEYAAIIQERVETLRRKCENQNFSPKV >Manes.08G051400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5446293:5463911:1 gene:Manes.08G051400.v8.1 transcript:Manes.08G051400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNYLCHYAPLLSPSPTLSNSKILCKKKKNTTAFEEKKSVFVDYDAGQHKVTTHVTGLRKADLQKRYRLKVEGDRFQKDWSLSQVVDKILELDPKYDEVEGLLNHWVGRFARKNFPRLIKELTQRGSLEHSNRVFSWMKHQKNYCARNDIYNMMIRLHARHNRTDQARGLFFEMQEWRCKPDAETYNALINAHGRAGQWRWAMNIMEDMLREAIPPSRSTYNNLINACGSTGNWREALKICQKMTENGVGPDLVTHNIILSAYKSGGQHLKALSYYELMKGTNIRPDTTTLNIVIYCLVKLGQYEKAIDIFSSMRERRAECHPDIVTFTSIIHLYSVSGQIENCKAVFNIMLAEGLKPNIVSYNALIGAYASHGMSEEAFSIFNEIKSSGFRPDVVSYTSLLSSYGRSKQPIKARKVFNMMKRDKLKPNVVSYNALIDAYGSNGFLAEAVEVLREMEQDSVHPNTVSICTLLAACGRCGQKANVDAVLSAAKMRGIKFNTVAYNSAIGSYMNLGEYEKAVALYKSMRKKKVTTDSVTYTVLISGCCKMSKYDEALEFYADMMDMKIPLTREAYSSVICAYSKQGQIKEAESIFNMMKTAGCYPDVITYTMMLHAYNAAEYWEKAYNLLQEMEEHNIQPDTIACSALMRAFNKGGKPKQVLILADFMKEKEIPFSDAIFFEMVSACSLLCDWRRTIDLAKLMEPSFSVVSIGLLNQLLHLLGKSGKIESMMKLFYKITKSGAEINLNTHSILLKNLLAVGNWRKYVEVLEWMIDAGIEPSNQMYHDVFSYAQKSVGAEYAAIIQERVGMHILISLSREFY >Manes.08G051400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5446292:5463936:1 gene:Manes.08G051400.v8.1 transcript:Manes.08G051400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNYLCHYAPLLSPSPTLSNSKILCKKKKNTTAFEEKKSVFVDYDAGQHKVTTHVTGLRKADLQKRYRLKVEGDRFQKDWSLSQVVDKILELDPKYDEVEGLLNHWVGRFARKNFPRLIKELTQRGSLEHSNRVFSWMKHQKNYCARNDIYNMMIRLHARHNRTDQARGLFFEMQEWRCKPDAETYNALINAHGRAGQWRWAMNIMEDMLREAIPPSRSTYNNLINACGSTGNWREALKICQKMTENGVGPDLVTHNIILSAYKSGGQHLKALSYYELMKGTNIRPDTTTLNIVIYCLVKLGQYEKAIDIFSSMRERRAECHPDIVTFTSIIHLYSVSGQIENCKAVFNIMLAEGLKPNIVSYNALIGAYASHGMSEEAFSIFNEIKSSGFRPDVVSYTSLLSSYGRSKQPIKARKVFNMMKRDKLKPNVVSYNALIDAYGSNGFLAEAVEVLREMEQDSVHPNTVSICTLLAACGRCGQKANVDAVLSAAKMRGIKFNTVAYNSAIGSYMNLGEYEKAVALYKSMRKKKVTTDSVTYTVLISGCCKMSKYDEALEFYADMMDMKIPLTREAYSSVICAYSKQGQIKEAESIFNMMKTAGCYPDVITYTMMLHAYNAAEYWEKAYNLLQEMEEHNIQPDTIACSALMRAFNKGGKPKQVLILADFMKEKEIPFSDAIFFEMVSACSLLCDWRRTIDLAKLMEPSFSVVSIGLLNQLLHLLGKSGKIESMMKLFYKITKSGAEINLNTHSILLKNLLAVGNWRKYVEVLEWMIDAGIEPSNQMYHDVFSYAQKSVGAEYAAIIQERVETLRRKCENQNFSPKTMATLPCVMQ >Manes.08G051400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5446294:5463911:1 gene:Manes.08G051400.v8.1 transcript:Manes.08G051400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNYLCHYAPLLSPSPTLSNSKILCKKKKNTTAFEEKKSVFVDYDAGQHKVTTHVTGLRKADLQKRYRLKVEGDRFQKDWSLSQVVDKILELDPKYDEVEGLLNHWVGRFARKNFPRLIKELTQRGSLEHSNRVFSWMKHQKNYCARNDIYNMMIRLHARHNRTDQARGLFFEMQEWRCKPDAETYNALINAHGRAGQWRWAMNIMEDMLREAIPPSRSTYNNLINACGSTGNWREALKICQKMTENGVGPDLVTHNIILSAYKSGGQHLKALSYYELMKGTNIRPDTTTLNIVIYCLVKLGQYEKAIDIFSSMRERRAECHPDIVTFTSIIHLYSVSGQIENCKAVFNIMLAEGLKPNIVSYNALIGAYASHGMSEEAFSIFNEIKSSGFRPDVVSYTSLLSSYGRSKQPIKARKVFNMMKRDKLKPNVVSYNALIDAYGSNGFLAEAVEVLREMEQDSVHPNTVSICTLLAACGRCGQKANVDAVLSAAKMRGIKFNTVAYNSAIGSYMNLGEYEKAVALYKSMRKKKVTTDSVTYTVLISGCCKMSKYDEALEFYADMMDMKIPLTREAYSSVICAYSKQGQIKEAESIFNMMKTAGCYPDVITYTMMLHAYNAAEYWEKAYNLLQEMEEHNIQPDTIACSALMRAFNKGGKPKQVLILADFMKEKEIPFSDAIFFEMVSACSLLCDWRRTIDLAKLMEPSFSVVSIGLLNQLLHLLGKSGKIESMMKLFYKITKSGAEINLNTHSILLKNLLAVGNWRKYVEVLEWMIDAGIEPSNQMYHDVFSYAQKSVGAEYAAIIQERVETLRRKCENQNFSPKTMATLPCVMQ >Manes.08G051400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5446294:5463912:1 gene:Manes.08G051400.v8.1 transcript:Manes.08G051400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPSRSTYNNLINACGSTGNWREALKICQKMTENGVGPDLVTHNIILSAYKSGGQHLKALSYYELMKGTNIRPDTTTLNIVIYCLVKLGQYEKAIDIFSSMRERRAECHPDIVTFTSIIHLYSVSGQIENCKAVFNIMLAEGLKPNIVSYNALIGAYASHGMSEEAFSIFNEIKSSGFRPDVVSYTSLLSSYGRSKQPIKARKVFNMMKRDKLKPNVVSYNALIDAYGSNGFLAEAVEVLREMEQDSVHPNTVSICTLLAACGRCGQKANVDAVLSAAKMRGIKFNTVAYNSAIGSYMNLGEYEKAVALYKSMRKKKVTTDSVTYTVLISGCCKMSKYDEALEFYADMMDMKIPLTREAYSSVICAYSKQGQIKEAESIFNMMKTAGCYPDVITYTMMLHAYNAAEYWEKAYNLLQEMEEHNIQPDTIACSALMRAFNKGGKPKQVLILADFMKEKEIPFSDAIFFEMVSACSLLCDWRRTIDLAKLMEPSFSVVSIGLLNQLLHLLGKSGKIESMMKLFYKITKSGAEINLNTHSILLKNLLAVGNWRKYVEVLEWMIDAGIEPSNQMYHDVFSYAQKSVGAEYAAIIQERVETLRRKCENQNFSPKTMATLPCVMQ >Manes.08G051400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5446294:5463912:1 gene:Manes.08G051400.v8.1 transcript:Manes.08G051400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPSRSTYNNLINACGSTGNWREALKICQKMTENGVGPDLVTHNIILSAYKSGGQHLKALSYYELMKGTNIRPDTTTLNIVIYCLVKLGQYEKAIDIFSSMRERRAECHPDIVTFTSIIHLYSVSGQIENCKAVFNIMLAEGLKPNIVSYNALIGAYASHGMSEEAFSIFNEIKSSGFRPDVVSYTSLLSSYGRSKQPIKARKVFNMMKRDKLKPNVVSYNALIDAYGSNGFLAEAVEVLREMEQDSVHPNTVSICTLLAACGRCGQKANVDAVLSAAKMRGIKFNTVAYNSAIGSYMNLGEYEKAVALYKSMRKKKVTTDSVTYTVLISGCCKMSKYDEALEFYADMMDMKIPLTREAYSSVICAYSKQGQIKEAESIFNMMKTAGCYPDVITYTMMLHAYNAAEYWEKAYNLLQEMEEHNIQPDTIACSALMRAFNKGGKPKQVLILADFMKEKEIPFSDAIFFEMVSACSLLCDWRRTIDLAKLMEPSFSVVSIGLLNQLLHLLGKSGKIESMMKLFYKITKSGAEINLNTHSILLKNLLAVGNWRKYVEVLEWMIDAGIEPSNQMYHDVFSYAQKSVGAEYAAIIQERVETLRRKCENQNFSPKTMATLPCVMQ >Manes.10G110800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134813:27142896:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCPVFRDCLKAYHSRCVEKDDSFLESDVPWRCKWHFCFICKNTPKFHCFCCAKAVCGRCFCNAEFAVITGKRGFCNHCLTLIGLIEGIENPNFNEGKIDFNDRDTYEFVFKSYWEMIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAEQKQQSEEPESKEMVQQHHTSPASGDDGSHQIESCESALEVKQKYSKASGRLVASIPRGPSNAPGSENQHHPSTSGESHEKPVDGDHEKVKNKRTGPQVELIELSDDEEQDTNVAKTNQTPDDLNSSIWYCVSPHGIKRGPYSMSVLKQWSDTSYSQLKFKVWKTDQSPEEAVFLTDAICQFFSGKN >Manes.10G110800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134814:27144471:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRMKNVNKEEIAEDWCFVCKDGGSLRVCDYKDCLKAYHSRCVEKDDSFLESDVPWRCKWHFCFICKNTPKFHCFCCAKAVCGRCFCNAEFAVITGKRGFCNHCLTLIGLIEGIENPNFNEGKIDFNDRDTYEFVFKSYWEMIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRRDFRVHG >Manes.10G110800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134814:27144482:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRMKNVNKEEIAEDWCFVCKDGGSLRVCDYKDCLKAYHSRCVEKDDSFLESDVPWRCKWHFCFICKNTPKFHCFCCAKAVCGRCFCNAEFAVITGKRGFCNHCLTLIGLIEGIENPNFNEGKIDFNDRDTYEFVFKSYWEMIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAEQKQQSEEPESKEMVQQHHTSPASGDDGSHQIESCESALEVKQKYSKASGRLVASIPRGPSNAPGSENQHHPSTSGESHEKPVDGDHEKVKNKRTGPQVELIELSDDEEQDTNVAKTNQTPDDLNSSIWYCVSPHGIKRGPYSMSVLKQWSDTSYSQLKFKVWKTDQSPEEAVFLTDAICQFFSGKN >Manes.10G110800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134815:27144482:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRMKNVNKEEIAEDWCFVCKDGGSLRVCDYKDCLKAYHSRCVEKDDSFLESDVPWRCKWHFCFICKNTPKFHCFCCAKAVCGRCFCNAEFAVITGKRGFCNHCLTLIGLIEGIENPNFNEGKIDFNDRDTYEFVFKSYWEMIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRRDFRVHG >Manes.10G110800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134815:27144482:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAEQKQQSEEPESKEMVQQHHTSPASGDDGSHQIESCESALEVKQKYSKASGRLVASIPRGPSNAPGSENQHHPSTSGESHEKPVDGDHEKVKNKRTGPQVELIELSDDEEQDTNVAKTNQTPDDLNSSIWYCVSPHGIKRGPYSMSVLKQWSDTSYSQLKFKVWKTDQSPEEAVFLTDAICQFFSGKN >Manes.10G110800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134815:27144482:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAEQKQQSEEPESKEMVQQHHTSPASGDDGSHQIESCESALEVKQKYSKASGRLVASIPRGPSNAPGSENQHHPSTSGESHEKPVDGDHEKVKNKRTGPQVELIELSDDEEQDTNVAKTNQTPDDLNSSIWYCVSPHGIKRGPYSMSVLKQWSDTSYSQLKFKVWKTDQSPEEAVFLTDAICQFFSGKN >Manes.10G110800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134815:27144482:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRMKNVNKEEIAEDWCFVCKDGGSLRVCDYKDCLKAYHSRCVEKDDSFLESDVPWRCKWHFCFICKNTPKFHCFCCAKAVCGRCFCNAEFAVITGKRGFCNHCLTLIGLIEGIENPNFNEGKIDFNDRDTYEFVFKSYWEMIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAEQKQQSEEPESKEMVQQHHTSPASGDDGSHQIESCESALEVKQKYSKASGRLVASIPRGPSNAPGSENQHHPSTSGESHEKPVDGDHEKVKNKRTGPQVELIELSDDEEQDTNVAKTNQTPDDLNSSIWYCVSPHGIKRGPYSMSVLKQWSDTSYSQLKFKVWKTDQSPEEAVFLTDAICQFFSGKN >Manes.10G110800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134815:27144482:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRMKNVNKEEIAEDWCFVCKDGGSLRVCDYKDCLKAYHSRCVEKDDSFLESDVPWRCKWHFCFICKNTPKFHCFCCAKAVCGRCFCNAEFAVITGKRGFCNHCLTLIGLIEGIENPNFNEGKIDFNDRDTYEFVFKSYWEMIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAGLITKAAI >Manes.10G110800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134815:27144482:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCPVFRDCLKAYHSRCVEKDDSFLESDVPWRCKWHFCFICKNTPKFHCFCCAKAVCGRCFCNAEFAVITGKRGFCNHCLTLIGLIEGIENPNFNEGKIDFNDRDTYEFVFKSYWEMIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAEQKQQSEEPESKEMVQQHHTSPASGDDGSHQIESCESALEVKQKYSKASGRLVASIPRGPSNAPGSENQHHPSTSGESHEKPVDGDHEKVKNKRTGPQVELIELSDDEEQDTNVAKTNQTPDDLNSSIWYCVSPHGIKRGPYSMSVLKQWSDTSYSQLKFKVWKTDQSPEEAVFLTDAICQFFSGKN >Manes.10G110800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134815:27144482:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRMKNVNKEEIAEDWCFVCKDGGSLRVCDYKDCLKAYHSRCVEKDDSFLESDVPWRCKWHFCFICKNTPKFHCFCCAKAVCGRCFCNAEFAVITGKRGFCNHCLTLIGLIEGIENPNFNEGKIDFNDRDTYEFVFKSYWEMIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAEQKQQSEEPESKEMVQQHHTSPASGDDGSHQIESCESALEVKQKYSKASGRLVASIPRGPSNAPGSENQHHPSTSGESHEKPVDGDHEKVKNKRTGPQVELIELSDDEEQDTNVAKTNQTPDDLNSSIWYCVSPHGIKRGPYSMSVLKQWSDTSYSQLKFKVWKTDQSPEEAVFLTDAICQFFSGKN >Manes.10G110800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27134815:27144123:-1 gene:Manes.10G110800.v8.1 transcript:Manes.10G110800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSKEGLASKHVHKLLNKGADTEYIDSNDIFEGEEAISEFEVGNELTSDNEDLNNRKRHEGIKRWKSNKGKQSVINKKVKSNKREFDGWGSKLLFEFLASIGEETKQELSQHDVTAIVIRYCEKHKLFHPEKKKKKIICDPMLKSLLGRKSVNKNSIHKLLTPHFAENFEQSEDDFGYSSEGKGRNVSMACKRQRISSTDRNSQKKEAILDVQTSCFASVVAENIKLVYLKRSLVEELSKQSEVFDDKVMGSYVRIKSDPYDYSQKNSHQLVEVTGIKRTSRSGLVNADILLQVSNTPKDIPICKLSDDNFSKEECEDLQQRVKEGQLERPTVVEFKEKAKSLHEVITKHWILKEMALLQNLINQANEKGWRREFSAYMDKMLLLQTPAEQSRLLHDIPEIIADDAEVETADNELCRKDEKENNASPESASRGMSKPGRKSSSSNRISYNLNDGANFAEQKQQSEEPESKEMVQQHHTSPASGDDGSHQIESCESALEVKQKYSKASGRLVASIPRGPSNAPGSENQHHPSTSGESHEKPVDGDHEKVKNKRTGPQVELIELSDDEEQDTNVAKTNQTPDDLNSSIWYCVSPHGIKRGPYSMSVLKQWSDTSYSQLKFKVWKTDQSPEEAVFLTDAICQFFSGKN >Manes.15G152900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12686439:12689861:-1 gene:Manes.15G152900.v8.1 transcript:Manes.15G152900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTVEVPPMGGFSFDLCRRNDMLAKKGVNPPSFRKTGTTIVGIVFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVITALTLLKKHLFNYQGHVSAALVLGGVDCTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAIFESKYREGLNRDEGIKLVCEAICSGVFNDLGSGSNVDVCVITKGHKEYLRNHMLPNPRTYISSRGYTFPKKTEVLLTKITPLKGKEEVAERGDAMEE >Manes.15G152900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12686439:12689693:-1 gene:Manes.15G152900.v8.1 transcript:Manes.15G152900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTVEVPPMGGFSFDLCRRNDMLAKKGVNPPSFRKTGTTIVGIVFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVITALTLLKKHLFNYQGHVSAALVLGGVDCTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAIFESKYREGLNRDEGIKLVCEAICSGVFNDLGSGSNVDVCVITKGHKEYLRNHMLPNPRTYISSRGYTFPKKTEVLLTKITPLKGKEEVAERGDAMEE >Manes.15G152900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12686439:12689861:-1 gene:Manes.15G152900.v8.1 transcript:Manes.15G152900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTVEVPPMGGFSFDLCRRNDMLAKKGVNPPSFRKTGTTIVGIVFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVITALTLLKKHLFNYQGHVSAALVLGGVDCTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAIFESKYREGLNRDEGIKLVCEAICSGVFNDLGSGSNVDVCVITKGHKEYLRNHMLPNPRTYISSRGYTFPKKTEVLLTKITPLKGKEEVAERGDAMEE >Manes.15G059350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4554376:4554815:-1 gene:Manes.15G059350.v8.1 transcript:Manes.15G059350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVLGISFHRWHHYFSPTILSKLEIQTQFCLAELNKNCSFIHMIDDVTEDGAKTSELAFS >Manes.01G078950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28200448:28200952:1 gene:Manes.01G078950.v8.1 transcript:Manes.01G078950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMVWFGGIHRSESFFGEAVPRTGDPKLSGQDLFIEGRRTWDDGKVCSLFGERDRRAILSISLGRSNVDDRLIWIKEKRYLYTVNSAYYVCLEFPGKGKRFLMGQWVNWSCIWRSHNYI >Manes.15G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:718543:720157:1 gene:Manes.15G007100.v8.1 transcript:Manes.15G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPLEKGSENEDTSSRSSEGENQQKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKRRDNLALNRAGNEKKAKKGNNHHSSSNNSNKLGDGLKQRLLALGREVLMQRSTVEKQRRKLGEEEQAAVLLMALSYGSVYA >Manes.16G124200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32600040:32602004:1 gene:Manes.16G124200.v8.1 transcript:Manes.16G124200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAEVKNKHSLLSPLEALKNACKSLQNNSFFITQNPQPAIEALLELHAQAGNIFSTYPNLSKLSQLLCSLKTLLQNHRTCQDYSLKSLLRRQITNYRIYQLAVAIEAEVQACIDRDCVQNLVRVSREIDSEEEKLRVLKEFEKRLSQGFDSDFQELVLKAKGFSILESLLCDSTCSKRIREQTGLCIVALVRFNRNVFVGLVLMSPIVQSLISTASACLLQVLCSLIRLIKTPLIDEIELDGEIPRILSLLSSEDLSIQAAALDCICEIAFSGRKEVIEAMIDQGLIEKLVELQRSIHGDNFVMSDQENWNENGSGVICEGSVELGAKRGCEEGQISRDRPFASCVARFAVPIEVGEGLNQRERKEFKREILRRVREASASEAEAASVVAEEYCGTDDA >Manes.16G124200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32600027:32604510:1 gene:Manes.16G124200.v8.1 transcript:Manes.16G124200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAEVKNKHSLLSPLEALKNACKSLQNNSFFITQNPQPAIEALLELHAQAGNIFSTYPNLSKLSQLLCSLKTLLQNHRTCQDYSLKSLLRRQITNYRIYQLAVAIEAEVQACIDRDCVQNLVRVSREIDSEEEKLRVLKEFEKRLSQGFDSDFQELVLKAKGFSILESLLCDSTCSKRIREQTGLCIVALVRFNRNVFVGLVLMSPIVQSLISTASACLLQVLCSLIRLIKTPLIDEIELDGEIPRILSLLSSEDLSIQAAALDCICEIAFSGRKEVIEAMIDQGLIEKLVELQRSIHGDNFVMSDQENWNENGSGVICEGSVELGAKRGCEEGQISRDRPFASCVARFAVPIEVGEGLNQRERKEFKREILRRVREASASEAEAASVVAEGIFTDYKQPFAVTYLGASLMVVYLPIAFLKDWICKILKHRSSSSAKAEGSINEPSDGFNSPLSQKIFEIELQGSLTRKDSEADLSLQAEGKPLIRRHKNDLHLLKHEKGLTSREIATYGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVFLGQDTLNAAKVVAVLVSMAGVVMTTLGKTWAADESQLSASM >Manes.16G124200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32600027:32607160:1 gene:Manes.16G124200.v8.1 transcript:Manes.16G124200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAEVKNKHSLLSPLEALKNACKSLQNNSFFITQNPQPAIEALLELHAQAGNIFSTYPNLSKLSQLLCSLKTLLQNHRTCQDYSLKSLLRRQITNYRIYQLAVAIEAEVQACIDRDCVQNLVRVSREIDSEEEKLRVLKEFEKRLSQGFDSDFQELVLKAKGFSILESLLCDSTCSKRIREQTGLCIVALVRFNRNVFVGLVLMSPIVQSLISTASACLLQVLCSLIRLIKTPLIDEIELDGEIPRILSLLSSEDLSIQAAALDCICEIAFSGRKEVIEAMIDQGLIEKLVELQRSIHGDNFVMSDQENWNENGSGVICEGSVELGAKRGCEEGQISRDRPFASCVARFAVPIEVGEGLNQRERKEFKREILRRVREASASEAEAASVVAEGIFTDYKQPFAVTYLGASLMVVYLPIAFLKDWICKILKHRSSSSAKAEGSINEPSDGFNSPLSQKIFEIELQGSLTRKDSEADLSLQAEGKPLIRRHKNDLHLLKHEKGLTSREIATYGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVFLGQDTLNAAKVVAVLVSMAGVVMTTLGKTWAADESQLSASINGKRSLAGDLFGLLSAVSYGLFTVLLKKFAGEEGERVDVQKLFGFIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKMDEVVLANGFIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAIYILGSVQVFAGFVIANLSGWFSRKLGL >Manes.17G036900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21148414:21150103:1 gene:Manes.17G036900.v8.1 transcript:Manes.17G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDLLLLGFSAVFLALWWRSSVSSGKSNKLPPGPPGWPLVGNLFQVILQRRHFIFLIRDLRAKYGPMFTMRMGQRTLVIVTSPELIHEALVQRGSVFANRPPDSPIRLVFSVGKCAVNSAEYGPLWRTLRRNFVTELISPVRIKQCSWIREWAMENHMKKLQNEAFENGYVDVMDICRFTVCSILVCICFGAKIQEQWISDIDSITKEVMLITTPQLPDFFPILTSLFRRQMKRAKELRKTQIDCLIPLIRSRRAYVEKGENTGSMMVSPVGAAYVDSLFGLEAPGRGRLGEEELVTLCSEVFVAGIDTSTSVLQWAFLELVVNQEIQEKLYREIVDTVGKNGLITEDHVEKMSYLNAVVKETLRTHSPAHFTLSHAAIEETQLGGYKIPTNVNVEFYIEWMTEDPTLWKDPSVFRPERFMEGDGVGVDLTGTKGTVKMLPFGAGRRTCPGLALGILHVNLMLARMVQAFKWVPTPRFPPDPTETFAFTVVMKNPLKAVILPR >Manes.09G150800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34795258:34800135:-1 gene:Manes.09G150800.v8.1 transcript:Manes.09G150800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLYRAAISDDRDFFRRLTSSDANILLQVTSLQQDTILHVAAKFRRKEIAAMIMNLLPSLVYKKNSKGDTPLHITARLGSLEVVQVLISYACCERLELEANENLLRTVNMDRNTALHEAARKGHFEVVVSLIQKDPDLAMLTNNAGESPLFLAVDKMHFRIASYILETVPGCSFQGRNKMNALHAAVIRSYVHGKEMNTHVKQLRNPLFFVVHLSVRDCVRFIRFGVATLLRRDIQQDHVTDFIKQLIKKHPSTIIEANNIGWTPFHYAAYAGQEAIVKELLLADNSTACTKNGEGMAGLHVAAREGHIPVLKMIAETCPDIWDLKDNNGRTALHVAAESGKAKAVKFILKQVAEDNINDQDNEGNTALHLATLQGHGKIFLLLTNDKRADKTIMNEDFLAVVDIIDSRRAINFFRQGWMIFQLSIAGGLASLEQGRAKKIEARTKPERGIVKNHGDEEVEDKRLKTEGEEVLSFNHLRNMAGTNILVSTLIATVSFAAAFTLPGGYKNDGPDEGLPVFIKKASFRAFVVSNTIAFSCSIASLIFHNFTALLVKRNTIAFWTYFAMVFSSFAICGMFIAFIAGSFAVLGDCTALIMAIFLICCFMFALQFPPLLSIDLLTI >Manes.09G150800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34795980:34799650:-1 gene:Manes.09G150800.v8.1 transcript:Manes.09G150800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLYRAAISDDRDFFRRLTSSDANILLQVTSLQQDTILHVAAKFRRKEIAAMIMNLLPSLVYKKNSKGDTPLHITARLGSLEVVQVLISYACCERLELEANENLLRTVNMDRNTALHEAARKGHFEVVVSLIQKDPDLAMLTNNAGESPLFLAVDKMHFRIASYILETVPGCSFQGRNKMNALHAAVIRSYVHGKEMNTHVKQLRNPLFFVVHLSVRDCVRFIRFGVATLLRRDIQQDHVTDFIKQLIKKHPSTIIEANNIGWTPFHYAAYAGQEAIVKELLLADNSTACTKNGEGMAGLHVAAREGHIPVLKMIAETCPDIWDLKDNNGRTALHVAAESGKAKAVKFILKQVAEDNINDQDNEGNTALHLATLQGHGKIFLLLTNDKRADKTIMNEDFLAVVDIIDSRRAINFFRQGWMIFQLSIAGGLASLEQGRAKKIEARTKPERGIVKNHGDEEVEDKRLKTEGEEVLSFNHLRNMAGTNILVSTLIATVSFAAAFTLPGGYKNDGPDEGLPVFIKKASFRAFVVSNTIAFSCSIASLIFHNFTALLVKRNTIAFWTYFAMVFSSFAICGMFIAFIAGSFAVLGDCTALIMAIFLICCFMFALQFPPLLSIDLLTI >Manes.17G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24382299:24384545:1 gene:Manes.17G046800.v8.1 transcript:Manes.17G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKQLDVSSAKAVLLGALAPGVNGPTWNTLKSAFLMLGSCLAVMLGLAFSSSDSSLILHVGFLVLIAATLFVLLSWFLSQIGLVSVEQQMREMDLIPDDHRN >Manes.06G173700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29769908:29775866:-1 gene:Manes.06G173700.v8.1 transcript:Manes.06G173700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGSTNNAGGGPDFHLPDEILAVMPTDPYDQLDLARKITSMAIASRVSKLEAETGRMRQKIYEQDRIIYELEEKVSNMQRAYLEAESRLKISLDENMKLSKERDSLVMSVKKLNRDLTKLETFKRQLMQSLNDENSHDETVDIGTCDQSVPKAYPDKDQGMNGYAAQNSHSGSTDTVNTTDNASRPAGQRFSITPYITPRLTPMGTPKIISTIVSPRGFSAAGSPQQTSGATSPTKPQYEGRTPLSSWYPSSQQSSAANSPPCGRSLPARTPRIDGKEFFRQARGRLSYEQFSAFLANIKELNSQKQTREETLRKAEEIFGMDNKDLYLSFQGLLNRNIH >Manes.06G173700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29769908:29775866:-1 gene:Manes.06G173700.v8.1 transcript:Manes.06G173700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGSTNNAGGGPDFHLPDEILAVMPTDPYDQLDLARKITSMAIASRVSKLEAETGRMRQKIYEQDRIIYELEEKVSNMQRAYLEAESRLKISLDENMKLSKERDSLVMSVKKLNRDLTKLETFKRQLMQSLNDENSHDETVDIGTCDQSVPKAYPDKASRPAGQRFSITPYITPRLTPMGTPKIISTIVSPRGFSAAGSPQQTSGATSPTKPQYEGRTPLSSWYPSSQQSSAANSPPCGRSLPARTPRIDGKEFFRQARGRLSYEQFSAFLANIKELNSQKQTREETLRKAEEIFGMDNKDLYLSFQGLLNRNIH >Manes.03G171000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29615451:29618904:-1 gene:Manes.03G171000.v8.1 transcript:Manes.03G171000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLMYSVVVILGNRSSMGHQSMPLISLSVHERNLLADTAMIVDKNKNHKQKDDLRKIVADGLISLGYDSSICKSKWDKSPSHPAGEYEYIDVNIEGERLLIDIDFRSEFEIARSTGTYRAILQSLPYIFVGKSDRLGQIVSIVSEAAKQSLKKKGMHFPPWRRAEYMRAKWLSSFTRQNEIISNTKSKIDEDECVATESSDECGELELIFGEKTAQVGTDSSSPAKLSGDDDKVNIDPATWQPPAVKPKSVDRGARMVTGLASLLKEKP >Manes.03G171000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29615451:29618904:-1 gene:Manes.03G171000.v8.1 transcript:Manes.03G171000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPIDIDCQTMVPARAEPLKPVLKSRLKRLFDRQFPSVLRISSVEKPSVGEAQNGTKDGVGAGTEFEPSSVCLAKMVQNYIEESNEKPFRGRHRCNCFNGNINDSSDDEFDVFGGGNFGESIINGSSVDASDILKSLIPCASVHERNLLADTAMIVDKNKNHKQKDDLRKIVADGLISLGYDSSICKSKWDKSPSHPAGEYEYIDVNIEGERLLIDIDFRSEFEIARSTGTYRAILQSLPYIFVGKSDRLGQIVSIVSEAAKQSLKKKGMHFPPWRRAEYMRAKWLSSFTRQNEIISNTKSKIDEDECVATESSDECGELELIFGEKTAQVGTDSSSPAKLSGDDDKVNIDPATWQPPAVKPKSVDRGARMVTGLASLLKEKP >Manes.15G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10837395:10845362:1 gene:Manes.15G134400.v8.1 transcript:Manes.15G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSGDGTGPAEVNGAAAATVTPAQQLTISGSFKEGAKSSSRRRTSVRRSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRLSERLREKAVEELTEELSKVEEKLKLTESLLETKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEITKLQDDNKALDRLTKSKEAALLEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLKVLEESLRGSSSSNRSAPEGRSVSNGPSRRQSIGGADNLSRLTSNGFLSKRMSTSQLRSSLSSSTSSVLKHAKGTSKSFDGGTRSLDRGSRVLLNGTSPNRSFNQPCDGTKAAEAPNSWKENPDEKPNEFPAAETEDSVPAVLYDLLQKEVIALRKGSHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKDHENRAKRFGNSKGPVSAAQLLPTRHVSRGGLTRSTQ >Manes.06G175500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29888505:29893533:1 gene:Manes.06G175500.v8.1 transcript:Manes.06G175500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINQIMRGVLLTTSKYNGFHLTLLKQHVHSLGNPATLSETGNLKLIRLTHLDYSECMSILRCCTEMKDLKRGLLVHSHIIRNGLDSNVSLSTKLVVFYVKLGDTVTARKVFDRMPERTVVSWTAQISGYTQNGYYQDALLIFSEMSRAGVRANQFTYGSVLRASTGLRCLYRGMQIQGCIQKSRFLGNLFVQSALVDLHSKCGNMEDACYLFGTMAERDVVSWNAMIGGYAVHGLADDSFRMFRAMMGEGVIPDCFTMGSVLKASSRANDLIKVWQIHGIIIQLGLGSHVDLNGSLIDAYSKCESIESAYDLYKNMVKKDVISFTALTTGYALSSSYSWKALYLFKEIQLIHMQIDDVTFCAMLNICANSACLIMGRQIHAFALKYKRSYDVATGNALVDMYAKSGEIEDATYAFYEMEEKNVISWTSLITGYGKHGYGHEAIALYKKMEYEGLNPNDITFLSLLFACSHSGLTDEGWECFHNMINKYHILPRDEHFSCLIDLFARGGHLEAAYNMICKMNIKPNASLWGAILGACSIYGSMSLGEAAATHLFNMDPENSANYVALAGIYAAVGAWDNVWKMRNLMEERNLKKNPGYSYIHSMKKNTVLLQPA >Manes.06G175500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29888505:29893532:1 gene:Manes.06G175500.v8.1 transcript:Manes.06G175500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINQIMRGVLLTTSKYNGFHLTLLKQHVHSLGNPATLSETGNLKLIRLTHLDYSECMSILRCCTEMKDLKRGLLVHSHIIRNGLDSNVSLSTKLVVFYVKLGDTVTARKVFDRMPERTVVSWTAQISGYTQNGYYQDALLIFSEMSRAGVRANQFTYGSVLRASTGLRCLYRGMQIQGCIQKSRFLGNLFVQSALVDLHSKCGNMEDACYLFGTMAERDVVSWNAMIGGYAVHGLADDSFRMFRAMMGEGVIPDCFTMGSVLKASSRANDLIKVWQIHGIIIQLGLGSHVDLNGSLIDAYSKCESIESAYDLYKNMVKKDVISFTALTTGYALSSSYSWKALYLFKEIQLIHMQIDDVTFCAMLNICANSACLIMGRQIHAFALKYKRSYDVATGNALVDMYAKSGEIEDATYAFYEMEEKNVISWTSLITGYGKHGYGHEAIALYKKMEYEGLNPNDITFLSLLFACSHSGLTDEGWECFHNMINKYHILPRDEHFSCLIDLFARGGHLEAAYNMICKMNIKPNASLWGAILGACSIYGSMSLGEAAATHLFNMDPENSANYVALAGIYAAVGAWDNVWKMRNLMEERNLKKNPGYSYIHSMKKNTVLLQPA >Manes.14G007600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:400862:406621:-1 gene:Manes.14G007600.v8.1 transcript:Manes.14G007600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAASHSGPIMPNAAARAAYTTSGAASSGGISGSASIKKSNSGPLNRHGDPVKKSSGPQSGGVTPSGRQNSGPLPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKNPGSAVVHNQAVTVLSQEDDFSFRKNFPKPILWSLILLFVMGFIAGGFILGAVHNAILLIVVVVLFGAVAGLFIWNTCFGRSAIMGFIASYPDAELRHAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGLRSLERRAVDFYISDFQSGLRALVKTGYGARVSPYVDDSLVIDVNPSTEELSPEFVRWLGERNLSRDDRIMRMKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPITTGCQWAKCIFPASLEGIVLRCEDTSKNDVIPV >Manes.03G136900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26085846:26087648:-1 gene:Manes.03G136900.v8.1 transcript:Manes.03G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETMWFWLVHLLLQVFTVRGKVPAIIVFGDSSVDSGNNNHVSTVLKSNFAPYGRDFYDGKPTGRFSNGRIPTDFISEAFGNKPIVPAYLDPTYDIKDFATGVCFASAGTGYDNATSDVLSVIPLWKELEYYKEYQEKLRGYLGKERANEVLEEALYLISIGTNDFLENYYIFPGRSSQFSVAEYQNFLVQIARNFVSELHHLGARRISLSGLPPMGCLPLERTTNIFFGSECIEEYNNVAKDFNEKLKGMVTDLNKEVAGIKLVLSNPFDILSQIIENPSSFGFEDATKACCGTGLFEMSYMCNKRNPFTCFDANKYVFWDSFHPTERTNQLVADYVVKNCLAQFM >Manes.16G004700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:578064:579356:-1 gene:Manes.16G004700.v8.1 transcript:Manes.16G004700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQASSVLLSASSSSSSCLHRGMIRAAIKEPNFRITPLSFLKLSNRGLMEELSFRGDFSIPKTANTQMEKESNSGINVNYSTNKSDPLVIAKLYALMEAVADRVEMHKNIGEQRDNWNHLLLNSINMITLTAATMCGLAATSPALKVSSTILYLAATGLLAIMNSIQPSQLAEEQRNATRLFKQLHSQIHTLLSIGNPNIDDVNEAMEKVLALDRAYPLPLLGAMLEKFPSTVDPAVWWPQQRRKQAKGFSRIMDGNGWNRELEDEMRWIVRILKNKDKEEYLKLGEKALKVHKVLAISGPLLTGLGALGAAFMGTNPWAAIVGVASGALATIVNTMEHGGQVGMVFEMYRSNAGFFKLMEEIIESNIRERMGRENGQVLEMKVAVSLGRSLSELKHLATSYSRNEVDIEEFGSKLF >Manes.03G167050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29273702:29274956:1 gene:Manes.03G167050.v8.1 transcript:Manes.03G167050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISRSSSSSATPSAPQRSRLQRRRPASLQIYPPVSSRWNAAIPLLSPLLTSPTVIAEMTSREEKQQPSQHRNQETDPEKADASFSFNKWDHPASPNCHEIETPSLAPKFCLACIDVECKGFSI >Manes.07G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31956556:31959552:1 gene:Manes.07G114200.v8.1 transcript:Manes.07G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPVNTVFDAKRLIGRRFSDASVQGDMKHWPFKVIAGPGDKPMIVVTYKGEEKQFSAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKAGSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISVNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKIDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMRNTVKDEKISSKLAPDDKKKIEDAIEQAIQWLDTNQLAEADEFEDKMKELESICNPIIAKMYQGAGPDMGGGMDDDVPSAGGGGAGPKIEEVD >Manes.08G075974.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:15597334:15597498:-1 gene:Manes.08G075974.v8.1 transcript:Manes.08G075974.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTVLSLFCLKNTLCCLLLNCQTILQHFVCINRLSREIDGVSLVLLKISKPMN >Manes.06G099800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23400926:23405907:1 gene:Manes.06G099800.v8.1 transcript:Manes.06G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAVAYYDELTRKGEGAARFKQGLGFSSNNEPAPSKGSALPSYSSFLSSFVKSSSPSQTSKFEKQAQLESIQNKLKKKPKDDSSSRVSERSSRESSGRHRSRSRERDKDRDRGRHHRRRSTSRSRERYRGRDRDRRRSRSRSKSRSLSPRRDRKSERRNRSRSLSPRGRRRSEKSRSNDVERERGGKERNESVDYSQLIPGFEKMTPAERVKARMKLQLDETAEKDTAKGMGSGWERFEFNKDAPLDDEELEAAEDDARLVKHIGQSFRFSAVEARKEEAIKAAHDEAMFGAPVLPGSMISDSEPEASNNEKESNRAEVTKGLLSEKVLAKQQGSWRDRARKA >Manes.01G044801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9009357:9025312:-1 gene:Manes.01G044801.v8.1 transcript:Manes.01G044801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERKIKTNGRISKIGSYAIASSMPMRDHRQQPSITCTTFNILAPMYKRINLGRNQNSRESDCRVYWLARSNRILDSLLRERSCIICLQEFWLGNEELVSMYEKRLGDAGYVNFQLGRTNNRGDGLLTAVRKDYFRVINYRELLFNDCGDRVAQLLHVELASPFSQFLNNNDICLEILIVSTHLLFPHDSSLCLVRLHQVYKILQYLEFVSERMQAQPNADYTLRWVSHRNHCGNICGVDFIWLLNPNKYRKLLKTSWTDAVFGMFKYLLRRASLTEEDAFAFLKADINGDCITYYGFCEALRQLNLTGRCHGLSVEETKDLWVQADVDGNGLDYKEFQHRIWNPESAEQNDQINNEDQNGFPEDGQEQAIGLRVESAVLFPPEVEKGRWPENYFLSDHARLTVVFSPITMPC >Manes.01G044801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9009347:9025312:-1 gene:Manes.01G044801.v8.1 transcript:Manes.01G044801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERKIKTNGRISKIGSYAIASSMPMRDHRQQPSITCTTFNILAPMYKRINLGRNQNSRESDCRVYWLARSNRILDSLLRERSCIICLQEFWLGNEELVSMYEKRLGDAGYVNFQLGRTNNRGDGLLTAVRKDYFRVINYRELLFNDCGDRVAQLLHVELASPFSQFLNNNDICLEILIVSTHLLFPHDSSLCLVRLHQVYKILQYLEFVSERMQAQPNADYTLRYLLRRASLTEEDAFAFLKADINGDCITYYGFCEALRQLNLTGRCHGLSVEETKDLWVQADVDGNGLDYKEFQHRIWNPESAEQNDQINNEDQNGFPEDGQEQAIGLRVESAVLFPPEVEKGRWPENYFLSDHARLTVVFSPITMPC >Manes.10G049900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5503813:5503977:1 gene:Manes.10G049900.v8.1 transcript:Manes.10G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQENTSFCNRHVRDPCRSFGQKCSRLVKEQRAKFYILRRCIVMLICWNEYGDS >Manes.10G007700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:901667:905278:-1 gene:Manes.10G007700.v8.1 transcript:Manes.10G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYEGEPIYSRRGSVLHETICFSYDDEHTSHGIFFKEDRPLKFILPVFMVQIIMAFILSRLVYFVLRPLRQPRFVCNVLAGIVLGPSVFGRNKKFMETLYPAKEMLVFNTLVKLGISYFIFLTAVKRDLASMLKKAKNVWAIAISSYLFPIVFSHFFPNSMKNQILRCLRNMPFSFIGFSLTQSLFSAVANNLEEQDLITTELGQLAVSCSMLTEAFTALLSNIYVLVLMFSTAGTYFTALKYLLQQCTVIFFAIYVIRPALLQISKKTPEGQSVNEFFVIAILVGALIMVLISDILWISFVPGALIMGFLVPDGPPLGSTIVQKSELMITQFFLPLFYIQVGFLTDVSSIKDMEAFFGFFFYLFMLNLSKTLAIALASVLFDIRFKNAFLLGLILNFKGIEDFTLYERWRADKLMNNQNYATLILFCLFSNSITFPLTEILYKPHVSLTTAYLHGKAMRLRTLQSTPKDVELRVLSCVFHEGNVHGLITLLKVFNPSKNRAVCAYITHLVDLVGRSAPLLIPYNKYKKRFVPKCSHHIMRAFNNFAADNNKYSGAITVQPFIAVAPYKSMHNIICNLAQDRQVPLIIVPFGGHQEVITIQSCVRNFNAMLQLQASCTIGILIDRGLSLEMINSNHSFNVAVLFAGGADDREALALASRMSGNPKVGVTVFKIRCKQEEGLMFEMERQLDEIAMNEFKEKTAGNASVVCSEMAANNGLQMMEVIRSLRKNYNLVIVGKNIRIPQFQKEMKQWVEYVELGLLGDVLASSDFRDSSLSVLVVKRSELDDGYNHQQFCIKTIESCNPYISCREEIMR >Manes.18G124900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14155813:14159274:-1 gene:Manes.18G124900.v8.1 transcript:Manes.18G124900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILHHSEIAFFAVVLHHSSCYVAKCSCTTAEQLAVVQKLLGSICTTAACCGAKLHPAWHILHHIAPQQPALLWSKCSYTTAEQPAVVQNAPWHHGAKAIGCNLHHSRAGTTAACCGAELHPVWCILHHSSLLGAICTTTACSAVVLTQQLLWCKMLCTIAEQPAVVQNCSQQIAVVQKLLWWVQSESQEATAAVVQNCTQQPAVVHDCTIAVVW >Manes.01G132300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32514368:32523109:-1 gene:Manes.01G132300.v8.1 transcript:Manes.01G132300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDIFSMPSMTKEDIKKKVESLNSRIEKAANLRQIVEERSKLPIASFRDVITSSVDSHQVVLVSGETGCGKTTQVPQFLLDHKWGKGEACKIVCTQPRRISATSVAERISYERGGNIGDDIGYKIRLESKGGRNSSVVFCTNGVLLRVLVSRGTTRSKREASNKSAKDDVSNITHIIVDEIHERDRYSDFMLAIIRDILPLHPHLRLIMMSATLDAERFSQYFGGCPIISVPGFTYPVKSFYLEDVLSILKSADNNHIDSAMPSATNKSHELTEDDKAALDEAINLAWTNDEFDPLLDLVYTEGTPEVYNYHDSLTGLTPLMVFAGKGRVGDVCMLLSVGVDCHLQDKNGLTALDWAKQENQQETAELLKGHVESALSDSLEQKQLVDKYLATVNPELIDVVLIEQLLRKICIDSKDGAILVFLPGWDDINKTRERLFANPFFKDSSRFMIISLHSMVPSMEQKKVFKRPPQGCRKIILSTNIAESAITIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWVSKASSRQREGRAGRCQPGICYHLFSKLRAASLPDFQVPEIRRMPIEELCLQVKLIDPNCKIEDFLRKTLDPPVPETIHNAIIVLQDIGALSVDEQLTELGEKLGCLPVHPLTSKMLFFAILMNCLDPALTLACASDYRDPFTLPVLPNEKKRANAAKFDLASLYGGNSDQLAVIAAFECWKNAKGRGQEAWFCSQYFISSSTMNMLHGMRKQLQSELIRNGFIQEDVSRYSTNAHDPGILHAVLVAGLYPMVGRFLPPRNGKRFHVETATGAKVRLHPHSLIFKLSFKKTDDCPLIVYDEITRGDGGMHIRNCTVVGPLPLLLLATEIVVAPPEDDDEEDDEGDDDDNDGSDAAGEDESDEDEMETDGKLGGNNVEKIMSSPDNSVTTVVDRWLYFGSTALDVAQIYCLRERLSAAVLFKVQHPREVLPPALEASMHAIAHVLSYDGLSSVALPSESVDSLTSMIRATGIDNSAPGRRRGPGQNSNGFLKSLMSHNTQHATPHYHRARLQGFKGKSYGNGTSSQDVGKIPHQRPPMRGPNAVGYNSGTCEQWNPRGDSSKRQRGNASKKQ >Manes.01G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32514369:32523108:-1 gene:Manes.01G132300.v8.1 transcript:Manes.01G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRQKKAEQQENPSVAEATRIRISQILDQFRAAKDQVYTFEANLSNRERAVVHEVCKKMGMKSKSSGRGNQRRVSVYKNTKKADTAKAKENLTCLKFSEESKLVLQELFANYPPEDGEFGAKVVGNRKGKDSKVRGMKDDIFSMPSMTKEDIKKKVESLNSRIEKAANLRQIVEERSKLPIASFRDVITSSVDSHQVVLVSGETGCGKTTQVPQFLLDHKWGKGEACKIVCTQPRRISATSVAERISYERGGNIGDDIGYKIRLESKGGRNSSVVFCTNGVLLRVLVSRGTTRSKREASNKSAKDDVSNITHIIVDEIHERDRYSDFMLAIIRDILPLHPHLRLIMMSATLDAERFSQYFGGCPIISVPGFTYPVKSFYLEDVLSILKSADNNHIDSAMPSATNKSHELTEDDKAALDEAINLAWTNDEFDPLLDLVYTEGTPEVYNYHDSLTGLTPLMVFAGKGRVGDVCMLLSVGVDCHLQDKNGLTALDWAKQENQQETAELLKGHVESALSDSLEQKQLVDKYLATVNPELIDVVLIEQLLRKICIDSKDGAILVFLPGWDDINKTRERLFANPFFKDSSRFMIISLHSMVPSMEQKKVFKRPPQGCRKIILSTNIAESAITIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWVSKASSRQREGRAGRCQPGICYHLFSKLRAASLPDFQVPEIRRMPIEELCLQVKLIDPNCKIEDFLRKTLDPPVPETIHNAIIVLQDIGALSVDEQLTELGEKLGCLPVHPLTSKMLFFAILMNCLDPALTLACASDYRDPFTLPVLPNEKKRANAAKFDLASLYGGNSDQLAVIAAFECWKNAKGRGQEAWFCSQYFISSSTMNMLHGMRKQLQSELIRNGFIQEDVSRYSTNAHDPGILHAVLVAGLYPMVGRFLPPRNGKRFHVETATGAKVRLHPHSLIFKLSFKKTDDCPLIVYDEITRGDGGMHIRNCTVVGPLPLLLLATEIVVAPPEDDDEEDDEGDDDDNDGSDAAGEDESDEDEMETDGKLGGNNVEKIMSSPDNSVTTVVDRWLYFGSTALDVAQIYCLRERLSAAVLFKVQHPREVLPPALEASMHAIAHVLSYDGLSSVALPSESVDSLTSMIRATGIDNSAPGRRRGPGQNSNGFLKSLMSHNTQHATPHYHRARLQGFKGKSYGNGTSSQDVGKIPHQRPPMRGPNAVGYNSGTCEQWNPRGDSSKRQRGNASKKQ >Manes.04G160900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35526951:35530181:-1 gene:Manes.04G160900.v8.1 transcript:Manes.04G160900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQSEVASAQEIDVDQIQSQLSSSMTPHNSQPATPMKSLIAEAVAYGNNENESLDEKAQKALECPCIADLRNGPCGIQFSDAFLCFLKSAAEEQGSDCVHPFVALQNCIKANPDAFSKDILEEEVKKEEEPAQEYRIIPPKWSRESPRANPKL >Manes.04G160900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35527111:35530135:-1 gene:Manes.04G160900.v8.1 transcript:Manes.04G160900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHNSQPATPMKSLIAEAVAYGNNENESLDEKAQKALECPCIADLRNGPCGIQFSDAFLCFLKSAAEEQGSDCVHPFVALQNCIKANPDAFSKDILEEEVKKEEEPAQEYRIIPPKWSRESPRANPKL >Manes.02G119700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9143095:9145133:1 gene:Manes.02G119700.v8.1 transcript:Manes.02G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCLILNFLIPMEYAIIISPLPPSIYSCCKLQKQIMSATMTARESNEATEVATTNVAVIFGVTGLVGRELLKSLLSKSKWKVYGIARRFESFTIQNPNYHFISCDLLNAQETQKKLSIMQDVTHVFWITWAGQFPLDSRECCEQNLAMMSNALNAILPKAKTLKHVSLQTGMKHYVSLQSTQNAKRRACIFDEDSPRASEGHNFYYVLEDLLKERLAGKVAWSVLRPGLIMGSSCRTLYNVMGCLCVYGAICKYLNLPFVFGGTREVWEEVCMDGSDANLVAEQHIWAATNDEISSPEGQAFNAINGSSFTWKEIWPVLGKKFDVEAPEDMFMEDFRFATAMSDKRKVWEEITKKEGLVETEMKDLANWEFLDVLFRCPVKLLGSREKAGGLGFSMRCKTMESILYWIDSMRSDKLIP >Manes.05G105000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9914881:9915674:-1 gene:Manes.05G105000.v8.1 transcript:Manes.05G105000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPEMSLFKPETDEISSKTNKELDKVKMMSILDVNALVKDSVSAKVLGKNEDYYGVGLWVLASFINHSCNPNARRLHVGDYVLVHASRDVKTGEGITFAYFGVLLPLEKRREMSRTWGFQCHCKRCKFEEQFCSKQEIKEIEMGLQRGLDAVCAVFRKREGYLRASFWAAYCETHGSEKVVKRWRRRLPAVDVVVDSAAEATGSDERIVKVLIEGLNRSDNLMEMERVMRLGRGICCKVVKKQAIKSLLGISY >Manes.12G118802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32129984:32130838:1 gene:Manes.12G118802.v8.1 transcript:Manes.12G118802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAAMMIILVFLNLGAVATAHQEVSNFARLFSTSSNLSSSTTLDDKRGVPTGANPLHNR >Manes.14G014600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1113826:1116968:1 gene:Manes.14G014600.v8.1 transcript:Manes.14G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTYLCFSFLLITTICSSEAITTISNYDTSLLNRSSFPAGFLFGTASSAYQYEGAAKKGGRGPSIWDTYAHMYPDRIKDGSNGDVAIDSYHRYKEDVGIMKEMGLDAYRFSISWSRILPKGNLKGGMNREGIKYYNNLINELLTRGIQPFITLFHWDLPQALQDEYGGFLSPKIVNDFKDYAEICFKNFGDRVKHWITLNEPWGFSNGGYITGIFAPGRCSSWQQLNCTGGDSATEPYIVAHNLLLAHAAAVNSYKTKFQATQKGKIGITLVTHWFVPFANVKHDRDAAKRALDFMFGWFMDPLINGDYPHTLKSLVGNRLPKFSEEQSKLVRGSIDFLGLNYYTANYAAHAPSSNAIQLSYLTDARAKLSTYRNGIPIGPQAASDWLHVYPRGIKDILLYTKKKYKNPLIYITENGVDEYNNDKLTLEEALKDTMRVDFYFRHLSFLKTAIKEGVNVKGYFAWSLLDNYEWEMGYSVRFGINYVDYQDGLKRHPKLSARWFKKFLKR >Manes.01G221900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38918042:38926237:-1 gene:Manes.01G221900.v8.1 transcript:Manes.01G221900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIVLPPFVAIAIRPRPGVWEYVRVNVYELGVEQLSVSEYLRFKEELVDGPSNDPYVLELDFEPFNADVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLNDFLRAHKYKGHALMLNDRIQSISRLQSALSKAEDYISKLPSDTPYTDFEYTLQGLGFERGWGDTAARVLENMHLLLDILQAPDPASLETFLGRLPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQKQGLDFKPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSEKGILRKWISRFDVWPYLENFAEDVASEIVAELQGIPDFIIGNYSDGNLVASLLAYKMGITQCTIAHALEKTKYPDSDIYWKNFDEKYHFSCQFTADLLAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSDKQKRLTALHGSIEKMLYDPEPTDEWIGTLSDKSKPLIFSMARLDRVKNITGLVEMYGKNTKLRELVNLVVVAGYIDVKKSKDREEIAEIEKMHELMKKYNLDGQFRWITAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGKSGFHIDPYHPDQAAEIMVDFFQQCKENPSCWNKISDAGLQRIYERYTWKIYSERLLTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLAIDDQH >Manes.01G221900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38917750:38926237:-1 gene:Manes.01G221900.v8.1 transcript:Manes.01G221900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKLGRIPSMRERVEDTLSVHRNELVSLLCRYVDQGKGILQPHTLIDELDNIVGEDEAGLGLRNGPFSEIIKSAQEAIVLPPFVAIAIRPRPGVWEYVRVNVYELGVEQLSVSEYLRFKEELVDGPSNDPYVLELDFEPFNADVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLNDFLRAHKYKGHALMLNDRIQSISRLQSALSKAEDYISKLPSDTPYTDFEYTLQGLGFERGWGDTAARVLENMHLLLDILQAPDPASLETFLGRLPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQKQGLDFKPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSEKGILRKWISRFDVWPYLENFAEDVASEIVAELQGIPDFIIGNYSDGNLVASLLAYKMGITQCTIAHALEKTKYPDSDIYWKNFDEKYHFSCQFTADLLAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSDKQKRLTALHGSIEKMLYDPEPTDEWIGTLSDKSKPLIFSMARLDRVKNITGLVEMYGKNTKLRELVNLVVVAGYIDVKKSKDREEIAEIEKMHELMKKYNLDGQFRWITAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGKSGFHIDPYHPDQAAEIMVDFFQQCKENPSCWNKISDAGLQRIYERYTWKIYSERLLTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLAIDDQH >Manes.01G221900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38918042:38926237:-1 gene:Manes.01G221900.v8.1 transcript:Manes.01G221900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKLGRIPSMRERVEDTLSVHRNELVSLLCRYVDQGKGILQPHTLIDELDNIVGEDEAGLGLRNGPFSEIIKSAQEAIVLPPFVAIAIRPRPGVWEYVRVNVYELGVEQLSVSEYLRFKEELVDGPSNDPYVLELDFEPFNADVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLNDFLRAHKYKGHALMLNDRIQSISRLQSALSKAEDYISKLPSDTPYTDFEYTLQGLGFERGWGDTAARVLENMHLLLDILQAPDPASLETFLGRLPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIQKQGLDFKPRILIVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSEKGILRKWISRFDVWPYLENFAEDVASEIVAELQGIPDFIIGNYSDGNLVASLLAYKMGITQCTIAHALEKTKYPDSDIYWKNFDEKYHFSCQFTADLLAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSDKQKRLTALHGSIEKMLYDPEPTDEWIGTLSDKSKPLIFSMARLDRVKNITGLVEMYGKNTKLRELVNLVVVAGYIDVKKSKDREEIAEIEKMHELMKKYNLDGQFRWITAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGKSGFHIDPYHPDQAAEIMVDFFQQCKENPSCWNKISDAGLQRIYERYTWKIYSERLLTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLAIDDQH >Manes.11G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:743684:745223:1 gene:Manes.11G006500.v8.1 transcript:Manes.11G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHNQQQAPVVYPPPPTSYPPPPGSYYPPSEVQVHHQGPFVAPPPVGYPMKNSTGHPQQTTPAPSKTQHKGGFGRGCCAGLCCCCLLDACF >Manes.02G122000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9282146:9284515:1 gene:Manes.02G122000.v8.1 transcript:Manes.02G122000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFLKKFFPTVYVKKHQAKANNYCKYNNQYLQLFASSLYFAAIVASFFASVISKKFGRKPTIQVASILFLSGAVLNAAAQNLAMLIAGRMLLGAGVGFGNQAVPLFISEIAPPRNRGGLNVIFQLMITFGVLIANIINYGTSKLHPYGWRISLGGAVGPALILLIGSIIIVETPTSLIERGRKEKGLSTLKKIRGVDNVDKEYAEILSAVEMAKQYKNPFRNLLSRYNRPQLICGSLLQFFQQFTGITAVMFYAPVLFLTMGFGDDASLLSAVMANTVKPIGTVVAILVVDRVGRRVLLVEAAIQMFISQCAIGGILAAHLKATNIVPKHYSIAVICLICLFLAGFAWSWGPLGWLIPSETFPLETRSSALFITVSMNMLFTFIIAQSFLTMLCHMRSGIFFFFAFWLVVMGLFAIFMLPETKGIPIDEMIDRAWKKHWFWKRYLKDYDAGKGQQELQDKPLEKSIE >Manes.02G122000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9281702:9284515:1 gene:Manes.02G122000.v8.1 transcript:Manes.02G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRESKDSTMLDLSQGEDAAAGGGGSGGGDFPAKLTKQVVVCTIIAAVGGLMFGYDIGISGGVTSMDSFLKKFFPTVYVKKHQAKANNYCKYNNQYLQLFASSLYFAAIVASFFASVISKKFGRKPTIQVASILFLSGAVLNAAAQNLAMLIAGRMLLGAGVGFGNQAVPLFISEIAPPRNRGGLNVIFQLMITFGVLIANIINYGTSKLHPYGWRISLGGAVGPALILLIGSIIIVETPTSLIERGRKEKGLSTLKKIRGVDNVDKEYAEILSAVEMAKQYKNPFRNLLSRYNRPQLICGSLLQFFQQFTGITAVMFYAPVLFLTMGFGDDASLLSAVMANTVKPIGTVVAILVVDRVGRRVLLVEAAIQMFISQCAIGGILAAHLKATNIVPKHYSIAVICLICLFLAGFAWSWGPLGWLIPSETFPLETRSSALFITVSMNMLFTFIIAQSFLTMLCHMRSGIFFFFAFWLVVMGLFAIFMLPETKGIPIDEMIDRAWKKHWFWKRYLKDYDAGKGQQELQDKPLEKSIE >Manes.08G121100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36112298:36114115:1 gene:Manes.08G121100.v8.1 transcript:Manes.08G121100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEIYSSLGLYESRGRVSGIPRVLVLLASVLERCIQKNERFLDGSRKKDVVTVFHGSRSPSLSIRLYIERIFKYSKCSNSCFVVAYIYMERFLHQMDACLTSFNVHRLLITSIMVAAKFLDDECYNNAYYAKIGGVSTAEMNRMEMKLLFNLDFRVQVTVEGFRNYCVKLERECGGEYQIERPILASVPKGEWQNRSDTQNTSTFAAYRHRPI >Manes.05G032500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2735515:2739532:1 gene:Manes.05G032500.v8.1 transcript:Manes.05G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASVSAAATATVMISISFFFYLAVGASSNLVFTTQKPINSLGDYTGHNISKQLESTNVIISGNFTFPSENRTFLLGFFSPHGGSKWYLGIWYASTPTPTYVWVANRETPITSLASSKLQITETGKLAIMESPNSVIWQSTNGERATDFRFLDNGNLVLLSATRSILWQSFDYPTDTWLPGMNITKEQSLTSWRSIADPSPGLYSLRLSPRGYNEFDLVYNRSFSYWSTGNWTGSRFAGVPEMTVPYIYNFHFANPYTPAASFWYTEIALDKALSPPLTRFQVDVDGQLKQYTWSTQAENWNMFWSQPENRCKVYRLCGDLGFCKSSSTSPKPCFCVPGFTPVSDSDWEFGDYSSGCRRDSEDSCDKTDGFMEVGVMEYEGAVTVSFPGSRSFCERSCLGNCSCIGLLHDKRTNLCKNLYGTLSYLRNLSSDSTYQDVLFVRVPEEGTAKKAESKSVLLIVSILGSIALVGLAIGVLLTLQAKRRRSKGRREDGGFHLLNLKVFSYKELYAATRGFSDKLGHGGFGAVFQGELSDSTPVAVKRLERPGSGEREFRAEVCTIGNIQHVNLVRLRGFCSENSHRLLVYDYMPNGPLSVYLRQGGPSLSWDVRFRVAVGTARGIAYLHEECRDCIIHCDIKPDNILLDSDYTAKVSDFGLAKLVGRDFSRVLATMRGTWGYVAPEWISGVAITTKADVYSYGMTLLELLGGRRNVEAPASPAGGNGGREGDKGEKWFFPPYAAQQIIEGNVSAVVDYRLGSAYKIEEAKRVALVAIWCIQDNEDMRPTMGMVVKMLEGVVEVASPPAPKLLQALISGDSYHGIQMDSGNGVSITGDGSCDNMGSI >Manes.01G195600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36989725:36991421:1 gene:Manes.01G195600.v8.1 transcript:Manes.01G195600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQLFAIAVLLLLESPSISAILLPNISSIPPGFLPNVTAPAWDSFKKFLGCRPGEKFNGLAKIKQYFHYFGYIPNSPSNFTDDFDDVLESALRTYQQNFNLKVTGELDQQTLNKIVQPRCGNADIINGTTTMNSGKWTAFNTTSPFHTVGHYSFFPGNPPPRWPDSKRDLTYSFWPGNGLTDQDKSVFTRAFARWSTVIPMTFTETDSFNSDIRIGFFSGDHGDGEPFDGVLGTLAHAFSPPSGLLHLDSEEEWVVSGDVSTSSILSAVDLESVVVHEIGHLLGLGHSSIEEAIMYPSISSRTRKVELAQDDIEGIQKLYGKNPNYNGSSPLTPAIQERETNGGQYVHSLPSWLLISLLMPVGFFSLLF >Manes.14G021600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2114645:2119822:1 gene:Manes.14G021600.v8.1 transcript:Manes.14G021600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDETVLCCELEFWAYLIVCFILASFAGITSGLALGLLSFTKVDLEVLIKAGQPQHRKNAAKILSIVKNEHLVLCTLLIVKSLAIEALPLFLESILPPWAAILMSVTLVIAFGEIIPQAVCSRHGLTLGANLSPLVHFLLLFLYPIAYPISKLLDWMLGKEHSALLRRAELKTLVDLHADEAGKGGELSHHETTIISGALDLTQKSAKDAMTPISEIFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPSNLIGIILVKHLIFCHPEDETPIKHMNIRRIPRYATCISHLTVFRVHEDWPLYNILSQFQKGHSHMAFVMKRKNNVKITGTNEVGKATVLTVDTDSNSRQTEEERKETSPLCDQIASINVSPNTSPLHSTDTEFQSPTLKGVIEQGKQLDPQSKIWKQGVGDISYKDLEYPPNNLDEEVLGIITMEDVMEELLQGEILDETDEYVAVHSKIRINLLPSRTSSGSSGRVSLSDRHWKTPQPSPLSSYTPILRSPIPPHTRPPLERPILYASPAKSTVNSPADLLVLDTAHHLLIKQANT >Manes.14G021600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2114645:2120143:1 gene:Manes.14G021600.v8.1 transcript:Manes.14G021600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDETVLCCELEFWAYLIVCFILASFAGITSGLALGLLSFTKVDLEVLIKAGQPQHRKNAAKILSIVKNEHLVLCTLLIVKSLAIEALPLFLESILPPWAAILMSVTLVIAFGEIIPQAVCSRHGLTLGANLSPLVHFLLLFLYPIAYPISKLLDWMLGKEHSALLRRAELKTLVDLHADEAGKGGELSHHETTIISGALDLTQKSAKDAMTPISEIFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPSNLIGIILVKHLIFCHPEDETPIKHMNIRRIPRVHEDWPLYNILSQFQKGHSHMAFVMKRKNNVKITGTNEVGKATVLTVDTDSNSRQTEEERKETSPLCDQIASINVSPNTSPLHSTDTEFQSPTLKGVIEQGKQLDPQSKIWKQGVGDISYKDLEYPPNNLDEEVLGIITMEDVMEELLQGEILDETDEYVAVHSKIRINLLPSRTSSGSSGRVSLSDRHWKTPQPSPLSSYTPILRSPIPPHTRPPLERPILYASPAKSTVSRKP >Manes.14G021600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2114645:2119822:1 gene:Manes.14G021600.v8.1 transcript:Manes.14G021600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLVIAFGEIIPQAVCSRHGLTLGANLSPLVHFLLLFLYPIAYPISKLLDWMLGKEHSALLRRAELKTLVDLHADEAGKGGELSHHETTIISGALDLTQKSAKDAMTPISEIFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPSNLIGIILVKHLIFCHPEDETPIKHMNIRRIPRYATCISHLTVFRVHEDWPLYNILSQFQKGHSHMAFVMKRKNNVKITGTNEVGKATVLTVDTDSNSRQTEEERKETSPLCDQIASINVSPNTSPLHSTDTEFQSPTLKGVIEQGKQLDPQSKIWKQGVGDISYKDLEYPPNNLDEEVLGIITMEDVMEELLQGEILDETDEYVAVHSKIRINLLPSRTSSGSSGRVSLSDRHWKTPQPSPLSSYTPILRSPIPPHTRPPLERPILYASPAKSTVNSPADLLVLDTAHHLLIKQANT >Manes.14G021600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2114645:2120143:1 gene:Manes.14G021600.v8.1 transcript:Manes.14G021600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDETVLCCELEFWAYLIVCFILASFAGITSGLALGLLSFTKVDLEVLIKAGQPQHRKNAAKILSIVKNEHLVLCTLLIVKSLAIEALPLFLESILPPWAAILMSVTLVIAFGEIIPQAVCSRHGLTLGANLSPLVHFLLLFLYPIAYPISKLLDWMLGKEHSALLRRAELKTLVDLHADEKSAKDAMTPISEIFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPSNLIGIILVKHLIFCHPEDETPIKHMNIRRIPRVHEDWPLYNILSQFQKGHSHMAFVMKRKNNVKITGTNEVGKATVLTVDTDSNSRQTEEERKETSPLCDQIASINVSPNTSPLHSTDTEFQSPTLKGVIEQGKQLDPQSKIWKQGVGDISYKDLEYPPNNLDEEVLGIITMEDVMEELLQGEILDETDEYVAVHSKIRINLLPSRTSSGSSGRVSLSDRHWKTPQPSPLSSYTPILRSPIPPHTRPPLERPILYASPAKSTVSRKP >Manes.14G021600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2114645:2120143:1 gene:Manes.14G021600.v8.1 transcript:Manes.14G021600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDETVLCCELEFWAYLIVCFILASFAGITSGLALGLLSFTKVDLEVLIKAGQPQHRKNAAKILSIVKNEHLVLCTLLIVKSLAIEALPLFLESILPPWAAILMSVTLVIAFGEIIPQAVCSRHGLTLGANLSPLVHFLLLFLYPIAYPISKLLDWMLGKEHSALLRRAELKTLVDLHADEAGKGGELSHHETTIISGALDLTQKSAKDAMTPISEIFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPSNLIGIILVKHLIFCHPEDETPIKHMNIRRIPRYATCISHLTVFRVHEDWPLYNILSQFQKGHSHMAFVMKRKNNVKITGTNEVGKATVLTVDTDSNSRQTEEERKETSPLCDQIASINVSPNTSPLHSTDTEFQSPTLKGVIEQGKQLDPQSKIWKQGVGDISYKDLEYPPNNLDEEVLGIITMEDVMEELLQGEILDETDEYVAVHSKIRINLLPSRTSSGSSGRVSLSDRHWKTPQPSPLSSYTPILRSPIPPHTRPPLERPILYASPAKSTVSRKP >Manes.14G021600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2114645:2119822:1 gene:Manes.14G021600.v8.1 transcript:Manes.14G021600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDETVLCCELEFWAYLIVCFILASFAGITSGLALGLLSFTKVDLEVLIKAGQPQHRKNAAKILSIVKNEHLVLCTLLIVKSLAIEALPLFLESILPPWAAILMSVTLVIAFGEIIPQAVCSRHGLTLGANLSPLVHFLLLFLYPIAYPISKLLDWMLGKEHSALLRRAELKTLVDLHADEAGKGGELSHHETTIISGALDLTQKSAKDAMTPISEIFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPSNLIGIILVKHLIFCHPEDETPIKHMNIRRIPRVHEDWPLYNILSQFQKGHSHMAFVMKRKNNVKITGTNEVGKATVLTVDTDSNSRQTEEERKETSPLCDQIASINVSPNTSPLHSTDTEFQSPTLKGVIEQGKQLDPQSKIWKQGVGDISYKDLEYPPNNLDEEVLGIITMEDVMEELLQGEILDETDEYVAVHSKIRINLLPSRTSSGSSGRVSLSDRHWKTPQPSPLSSYTPILRSPIPPHTRPPLERPILYASPAKSTVNSPADLLVLDTAHHLLIKQANT >Manes.14G021600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2114645:2120143:1 gene:Manes.14G021600.v8.1 transcript:Manes.14G021600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDETVLCCELEFWAYLIVCFILASFAGITSGLALGLLSFTKVDLEVLIKAGQPQHRKNAAKILSIVKNEHLVLCTLLIVKSLAIEALPLFLESILPPWAAILMSVTLVIAFGEIIPQAVCSRHGLTLGANLSPLVHFLLLFLYPIAYPISKLLDWMLGKEHSALLRRAELKTLVDLHADEAGKGGELSHHETTIISGALDLTQKSAKDAMTPISEIFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPSNLIGIILVKHLIFCHPEDETPIKHMNIRRIPRVHEDWPLYNILSQFQKGHSHMAFVMKRKNNVKITGTNEVGKATVLTVDTDSNSRQTEEERKETSPLCDQIASINVSPNTSPLHSTDTEFQSPTLKGVIEQGKQLDPQSKIWKQGVGDISYKDLEYPPNNLDEEVLGIITMEDVMEELLQGEILDETDEYVAVHSKIRINLLPSRTSSGSSGRVSLSDRHWKTPQPSPLSSYTPILRSPIPPHTRPPLERPILYASPAKSTVSRKP >Manes.14G021600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2114645:2119822:1 gene:Manes.14G021600.v8.1 transcript:Manes.14G021600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLVIAFGEIIPQAVCSRHGLTLGANLSPLVHFLLLFLYPIAYPISKLLDWMLGKEHSALLRRAELKTLVDLHADEAGKGGELSHHETTIISGALDLTQKSAKDAMTPISEIFSLDINSKLDMHTMGLIMSKGHSRVPIYSGSPSNLIGIILVKHLIFCHPEDETPIKHMNIRRIPRVHEDWPLYNILSQFQKGHSHMAFVMKRKNNVKITGTNEVGKATVLTVDTDSNSRQTEEERKETSPLCDQIASINVSPNTSPLHSTDTEFQSPTLKGVIEQGKQLDPQSKIWKQGVGDISYKDLEYPPNNLDEEVLGIITMEDVMEELLQGEILDETDEYVAVHSKIRINLLPSRTSSGSSGRVSLSDRHWKTPQPSPLSSYTPILRSPIPPHTRPPLERPILYASPAKSTVNSPADLLVLDTAHHLLIKQANT >Manes.05G208400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33590814:33592619:1 gene:Manes.05G208400.v8.1 transcript:Manes.05G208400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHTESGTKFSRSIINLQDRCSSNIMDMRSRKLSVSSPSTPHHRVEKKKVEYQRSLSQGSGRKLFPASYFSLESLLLLICLTASLLILPLILPPLPPPPFLLLLLPIGILAVLMILAFMPANARDITYTYV >Manes.09G033000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6628940:6633284:-1 gene:Manes.09G033000.v8.1 transcript:Manes.09G033000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLYKLRTIPILKWVSLNSTDSYKILFWPGGSLFCSNPRFYRTKRVVLSENGNNLDETLTDDRKISDYTRKNAQAALLEYLHSTRSLQFMDAEHISKNSPNFLKKLLQKVDTEADVGRSVTRFLRYHPINEFEPFFESSGLRPHEYTPLLQRDLMFLSDDDLLLENYHILCNYGIPRNKMGKVYKEAGEIFRYDYGALAMKLKAYEELGLDRSFMAKMIVFFPSLLIGDVNVDFIESLEILRKGGIKLHWIEEHLSENSSYNWSHLHATLYLFSKTGYSYEQIGVLISQHPGIIFEGSGDRTLSLVGFLFKFGSSMQQITSLFLQFPKMQVGKFLMNLRQCFLFLTEVEMEVMEIGKIMQTHSLFMGSCKLKKTNSLLSALSVGKKRICNIILQNPQEMKNWVMGSKVGPLPKSGERQRSKMLKTKFLSDLGFVENSKEMEKALKVFRGRGAELQERFDCIMQAGLDKKDVCEMIRIYPQILNQTKENAALLLGAQRGGLSRGEWPSGQKRRTVNLLKFFYVLDSNMTKP >Manes.16G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29687921:29691754:-1 gene:Manes.16G090500.v8.1 transcript:Manes.16G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERDTQKPQQQQQQQQQVSFTVEQLVAVNPYNPDILPDLENYVNEQVSLQTYSLDANLCLLRLYQFEPERMSTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEAAKSRHIVEAVPGFEQAIQSYAIHLLSLTYQKVPRSVLAEAINIEGLSLDKFLEQQVANCGWSIEKGHGKGQLITLPTNEFNRPELKKNTADSVPLEHITRIFPILG >Manes.09G147400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34490274:34497231:1 gene:Manes.09G147400.v8.1 transcript:Manes.09G147400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPVLPTPLEDKYPKLPDSFQIASERELMRDPVNQQSSPLGPNSGTIKHLLSSSSTFPNELNDSLVSPRGGQSQNSPFIYLSSRNRGTLPVARSHSSHPEELSIALINHSEEHKDMSWSMDPLHDLLDFPENVTVENGQVESNIGVITSEDLSKRTDWHEWADQLISVDDNLEPNWSDLLNDSNATDVKQKVLKLSSEIPVHQPQIHQHQHLNNGEPYTVANPTCTAPPTKPRMRWTPELHETFVEAVNKLGGSERATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPEASEGTSENKLSSIDEMKSLDLKTSIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEQQGRYLQMMFEKQRKMEDEKSNPSSSSMDDDPLVPQSNAVQPSDNNKIQVSEPDHAKLVGDRSESRVALEESSPSVSRKHKSLANTTDKSLDPKDDESSPASAKRPRADETAL >Manes.09G147400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34491217:34497239:1 gene:Manes.09G147400.v8.1 transcript:Manes.09G147400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPVLPTPLEDKYPKLPDSFQIASERELMRDPVNQQSSPLGPNSGTIKHLLSSSSTFPNELNDSLVSPRGGQSQNSPFIYLSSRNRGTLPVARSHSSHPEELSIALINHSEEHKDMSWSMDPLHDLLDFPENVTVENGQVESNIGVITSEDLSKRTDWHEWADQLISVDDNLEPNWSDLLNDSNATDVKQKVLKLSSEIPVHQPQIHQHQHLNNGEPYTVANPTCTAPPTKPRMRWTPELHETFVEAVNKLGGSERATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPEASEGTSENKLSSIDEMKSLDLKTSIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEQQGRYLQMMFEKQRKMEDEKSNPSSSSMDDDPLVPQSNAVQPSDNNKIQVSEPDHAKLVGDRSESRVALEESSPSVSRKHKSLANTTDKSLDPKDDESSPASAKRPRADETAL >Manes.09G147400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34489863:34497245:1 gene:Manes.09G147400.v8.1 transcript:Manes.09G147400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSMDPLHDLLDFPENVTVENGQVESNIGVITSEDLSKRTDWHEWADQLISVDDNLEPNWSDLLNDSNATDVKQKVLKLSSEIPVHQPQIHQHQHLNNGEPYTVANPTCTAPPTKPRMRWTPELHETFVEAVNKLGGSERATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPEASEGTSENKLSSIDEMKSLDLKTSIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEQQGRYLQMMFEKQRKMEDEKSNPSSSSMDDDPLVPQSNAVQPSDNNKIQVSEPDHAKLVGDRSESRVALEESSPSVSRKHKSLANTTDKSLDPKDDESSPASAKRPRADETAL >Manes.09G147400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34489863:34497245:1 gene:Manes.09G147400.v8.1 transcript:Manes.09G147400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSMDPLHDLLDFPENVTVENGQVESNIGVITSEDLSKRTDWHEWADQLISVDDNLEPNWSDLLNDSNATDVKQKVLKLSSEIPVHQPQIHQHQHLNNGEPYTVANPTCTAPPTKPRMRWTPELHETFVEAVNKLGGSERATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPEASEGTSENKLSSIDEMKSLDLKTSIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEQQGRYLQMMFEKQRKMEDEKSNPSSSSMDDDPLVPQSNAVQPSDNNKIQVSEPDHAKLVGDRSESRVALEESSPSVSRKHKSLANTTDKSLDPKDDESSPASAKRPRADETAL >Manes.09G147400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34489849:34497612:1 gene:Manes.09G147400.v8.1 transcript:Manes.09G147400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPVLPTPLEDKYPKLPDSFQIASERELMRDPVNQQSSPLGPNSGTIKHLLSSSSTFPNELNDSLVSPRGGQSQNSPFIYLSSRNRGTLPVARSHSSHPEELSIALINHSEEHKDMSWSMDPLHDLLDFPENVTVENGQVESNIGVITSEDLSKRTDWHEWADQLISVDDNLEPNWSDLLNDSNATDVKQKVLKLSSEIPVHQPQIHQHQHLNNGEPYTVANPTCTAPPTKPRMRWTPELHETFVEAVNKLGGSERATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPEASEGTSENKLSSIDEMKSLDLKTSIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEQQGRYLQMMFEKQRKMEDEKSNPSSSSMDDDPLVPQSNAVQPSDNNKIQVSEPDHAKLVGDRSESRVALEESSPSVSRKHKSLANTTDKSLDPKDDESSPASAKRPRADETAL >Manes.09G147400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34489849:34497612:1 gene:Manes.09G147400.v8.1 transcript:Manes.09G147400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPVNQQSSPLGPNSGTIKHLLSSSSTFPNELNDSLVSPRGGQSQNSPFIYLSSRNRGTLPVARSHSSHPEELSIALINHSEEHKDMSWSMDPLHDLLDFPENVTVENGQVESNIGVITSEDLSKRTDWHEWADQLISVDDNLEPNWSDLLNDSNATDVKQKVLKLSSEIPVHQPQIHQHQHLNNGEPYTVANPTCTAPPTKPRMRWTPELHETFVEAVNKLGGSERATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPEASEGTSENKLSSIDEMKSLDLKTSIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEQQGRYLQMMFEKQRKMEDEKSNPSSSSMDDDPLVPQSNAVQPSDNNKIQVSEPDHAKLVGDRSESRVALEESSPSVSRKHKSLANTTDKSLDPKDDESSPASAKRPRADETAL >Manes.02G132001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10031637:10032151:-1 gene:Manes.02G132001.v8.1 transcript:Manes.02G132001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLILKFPPNFVRQLSTKSRRNCSNIDVAQVVAASWSDNSATGIPSAAAAGSAAIPATPVDLIDGDEATVVRVWLG >Manes.04G030450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3785621:3787104:-1 gene:Manes.04G030450.v8.1 transcript:Manes.04G030450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKRALSSSNSSSTSNITTVNSSTFPETGELASFYDTGGLESSPSGGKTSEAVQGCEKGYSLDDIWKDIESSIDGFSEEGCNFSCLSTASNPSWEFCSDTLWKMDEDENKMLLPYECGTMFLTG >Manes.04G030450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3785621:3787104:-1 gene:Manes.04G030450.v8.1 transcript:Manes.04G030450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVQEEFRKGPWTEQEDLLLINFVHLFGDRRWDSIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKRALSSSNSSSTSNITTVNSSTFPETGELASFYDTGGLESSPSGGKTSEAVQGCEKGYSLDDIWKDIESSIDGFSEEGCNFSCLSTASNPSWEFCSDTLWKMDEDENKMLLPYECGTMFLTG >Manes.04G030450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3785621:3787104:-1 gene:Manes.04G030450.v8.1 transcript:Manes.04G030450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHRQSFRFEGGGRQIIGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKRALSSSNSSSTSNITTVNSSTFPETGELASFYDTGGLESSPSGGKTSEAVQGCEKGYSLDDIWKDIESSIDGFSEEGCNFSCLSTASNPSWEFCSDTLWKMDEDENKMLLPYECGTMFLTG >Manes.05G029701.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498317:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2500813:2521286:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2501011:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2500813:2521286:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498317:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498317:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498317:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2500813:2521286:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2501011:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498227:2521285:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498227:2521285:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498317:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498227:2521285:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498317:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2501011:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.05G029701.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2498317:2521276:-1 gene:Manes.05G029701.v8.1 transcript:Manes.05G029701.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVKSDSRVPKSPSALILDIDDFKGEFSFDALFGNLVNELLPSFQEEEADSAEGHRNIGGSDILANGHARASSDVAKLAQGQSALFPEVDALLSLFRDSCSELIDLRKQIDGKLSNLRKDVSAQDSKHRKTLAELEQGVDGLFGSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRNFAEEDMGRQGISVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMDADARLVLGDQVSQASPSNVARGLSSLYKGITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRITALLDKLLVKPSLVTLPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSLHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCNLFTSQPSILAANVKAVFTCLLDQVAQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGFNPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKELLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSSLFEGTPSIRKDAQRFIELREDYKSAKLASKLSSLWTSSS >Manes.17G015101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7638156:7642359:1 gene:Manes.17G015101.v8.1 transcript:Manes.17G015101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEVLRSSVEGRAHWIERVIGDKPILDVNCMCCDAFHESMIYDMFYGSAHGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLISSKNLTFQQFSVKASGHSSNTDGIHIGRLSEQINIINSNIITDDDCISIGRGSRQVQITNVRCGLGHGISIGSLGKYEMEEPVSEIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIHFEHIVMQTVSNPIIIDQMYCAHNLCNRKPSKVKISDVSFKNIRGSSRTPTADQLTCSSSVPCKNVELSNVNLRYTGSKGPANSVCTNVKPKIIEKLIPRGC >Manes.05G132600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:15166323:15166998:-1 gene:Manes.05G132600.v8.1 transcript:Manes.05G132600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSYVAICSLMIVLLVEAQVTVAVTCSPTELSSCVGAITSSSPPSKQCCSKIKEQKPCLCQYLKNPNLKKFINTPNARKVASTCGTPFPKC >Manes.05G156300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26848840:26851952:1 gene:Manes.05G156300.v8.1 transcript:Manes.05G156300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPKTETVLSTAASAIVFCSIARNLIPQAVEEYLFSTLRNISARLKSQLTVVIEEFDGLTANQMFQAANLYLGSNLSSKTRRIKVTKPEKEKELAITIDRNQELVDVFKGVKLKWILMSSDIEMPAAASNKKRHDSALSRSELRCFELSFHEKYRNMVLSSYLPYILQKAKEIREEKKTLKLHMIDYNGTDYWGSINFHHPANFDTIAMDSEMKKRLIEDLDRFVARKEFYSRVGKAWKRGYLLYGPPGTGKTSLVAAMANYLKFDVYDLDLKEVQCNSDLRRLLIGTGNQSILVVEDIDRSFESVEDNKVTLSGLLNFIDGLWSSCGDERIIVFTTNHKDQLDPVLLRPGRMDMQLHMSYCSFTAFKTLAFNYLNIQEHQLFEEIEQLLKKVQITPAEVAGELMKHKDADVGLGELIEFLQNKLTSVLMKAKPRRPQASTVL >Manes.03G212200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32858221:32863074:-1 gene:Manes.03G212200.v8.1 transcript:Manes.03G212200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVAGNLTAHDRQILTSVNAGASSLSFVGSSFIVLCYVLFKELRKFSFKLVFNLALSDMLCSFFSIVGDPSKGFFCIAQGYSTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEALFHLYVWGTSLVMTVIRSIGNNHGHLGAWCWAEIGRTRKAIHFITFYAPLWGAILYNGFTYFQVIRMLNNATRMAVGMSDRAYQFDARPDMKALNRWGYYPLILIGSWAFGTINRIHDFVAPGHNILWLSVLDVGTAALMGLFNSIAYGLNSSVRRAIYERLDLVWPDRLRRWFPNSLKFRNQGQESELVSLKIQDQQ >Manes.08G011900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1365095:1367908:-1 gene:Manes.08G011900.v8.1 transcript:Manes.08G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHCFLSSISLSPCIYIHNHSILSHTISPHPAMALLLLLLLTVMLPSSSFAQWPPSPGYWPSSRFRSMSFYQGYRNLWGYTHQRVDQDALTIWLDSTSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGRIIGREMKFHLWFDPTQRFHHYAILWSPKEIIFLVDDVPIRRYPRKSADTFPLRPMWVYGSIWDASSWATEDGKYKADYRYQPFVARYTNFKAGGCSAYSPAWCRPVSASPFRSGGLSRQQYRAMRWVQSHHMVYNYCMDPKRDHSLTPECWR >Manes.03G015200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1330685:1339644:-1 gene:Manes.03G015200.v8.1 transcript:Manes.03G015200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFKNRCKSVFSVRKSFLNQTERCFENKPCCSFVLFCGACFQAFLFVTMESFKSKCNALFSSRGCFGCCAKLSTLLTVDDPSKGLASQGRTVKRASTVDDIWSTSAGEMDLSGEQSQRSFSSIMTLNQPFHPYTNDGSTSNSFEFLNPGLLLWKQTRQQWLANKRSPNNTQVQEPTISSLLTTELCRGNATYESLVGTNKPFPRRIPLTEMVDFLVDIWEHEGLHD >Manes.08G083570.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21135392:21135818:1 gene:Manes.08G083570.v8.1 transcript:Manes.08G083570.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTQMEGFIFFHYSFFSFPYIAWFGSFSRERERERGKGEW >Manes.02G125900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9532267:9533012:-1 gene:Manes.02G125900.v8.1 transcript:Manes.02G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEVKLLGTWASPFSHRMKLALKLKGIQYEYIEQGLSNKSLLLLRSNPVHKKIPVFLHNGKPIAESLVILEYIDETWQNNLFLPKEPRHRAIARFWAAFVDQKILQTALKGSAATGEEKEHIMEEIGEQLRLLENQLKENDFFGGEGVGYLDIVAFSVLYFFQIRHEVMRIELISEEKFPVLWKWMGKLSEIDGIKESLPPRDKRFAYTVDASKSAVK >Manes.16G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32497920:32501853:-1 gene:Manes.16G122900.v8.1 transcript:Manes.16G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSAILSNLPADTYPKLFRLRFNVKQNRVNFITTGKIIRPSKWIVKSVLNCSKSSINDNGATEPARILLERLFAQTKKLEEEMTSDSQLPRDVQPGFNLEILESDLLAVLDALRKKEEDLQHAEKQVLSEHNDLNFAKQELQQREKEIAVAHSKHEKLEGELREANLNLASQARQIEDIKLQLKEREEEVAAGQSALLIKQHEIEKKISELTKKSEEVAKMDSELQYKAQLLDEANEVVKKQEIEIQRLKKGLQEKEKELEVSVALRKVEEEKLKVVQTNLEKQAMEWLIAQEGLKRLANETSKRMVETNETMKDFKRVKKLLVDVRSELVSSQKSLAFSRKRMEEQDLLLKKQLLELEEERESVMSYMTSLKDAQMEVESEKGKLRAAEARNKELEQKLSLEKEIMEEIREELNKEKSSLEQMVQEMSYLQQELAAKNTEFGEMHDILQFKESELVEAKLEIQHLKSVQCSLQLLSEEKDLQLLDAKKKLEELDQEVAELKMLLSSKEDQLIQATNMLMEKEERVQMMQDELNDARLKISEAETVVERIVDLTNKLVITVKDEDYNAVRPSGSMDLELIRQPLDKTSDDFSLQKKQLEGELKLTRESLRMKEMEVLAAQRSLTIKDEELKVVLGRLDSKEKELKRIKDEMLEDANDLNKLYSLAQERIGEKSIGDWAIEKLQLEAAQLEVEAATCALQKLAGMSRELLNKANLSVEADDNVDMFTQSSSDSKISIFENNECLKEVKTGVARLSALTEQLVKEAGVVVGEA >Manes.03G000100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11535:13646:-1 gene:Manes.03G000100.v8.1 transcript:Manes.03G000100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVRQMSLIVATLGAISFIFGIIAENKKPAAGTPITGKGVVICKYPSDPTVVLGYLSFGFLVASAVAGFLSLFYPYKGKSVPQSAIFRSTSFLVFFNIALFTAGLAAALLLWPTITEHFHLNRKVHHNLETDCPTAKTGLLGGGAFISLDSTLFWLVSLMLADNAREDYFDEVEKDYKGEHELVVTDDYHVPAHLKGAA >Manes.03G000100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11535:13646:-1 gene:Manes.03G000100.v8.1 transcript:Manes.03G000100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVRQMSLIVATLGAISFIFGIIAENKKPAAGTPITGKGVVICKYPSDPTVVLGYLSFGFLVASAVAGFLSLFYPYKGKSVPQSAIFRSTSFLVFFNIALFTAGLAAALLLWPTITEHFHLNRKVHHNLETDCPTAKTGLLGGGAFISLDSTLFWLVSLMLADNAREDYFDEVEKDYKGEHELVVTDDYHVPAHLKGAA >Manes.09G079049.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24292584:24303066:1 gene:Manes.09G079049.v8.1 transcript:Manes.09G079049.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELTGGDGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKSCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.15G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:758753:763811:-1 gene:Manes.15G007600.v8.1 transcript:Manes.15G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGVSTARTRGEDRFYVSPAMRKKQQLQQQQEQQQQQKHHQRQKQGSLVSKNRTAVMEKGAESDQCGSLSSSSTMSNCSVQGLVAAEGNSSNLDRFLKYTTPVVPAQYLPKTSIRGWRTREAECQPYFVLGDLWESFKEWSAYGAGVPFLLNGSETVMQYYVPFLSGIQLYIDPSRPSPRLRRPGGESDTESSRETSSDGSSDYRAERGVNNGVQGPGAETDAGSSRETSSDGSSNYRAKRGVDNGVQGPWTQQTITDANIQSLNRISLRNKPVGGSSSDEYEISNPPGRLIFEYMEHAPPFTREPLADKISVLVSQFPELKTYRSCDLSHSSWISVAWYPIYRIPMGPTLQNLDACFLTFHSLSARQNTDDMHLHGSSVREVSGADMSFKLPLPTFGLASYKFKVSFWNPSGVYECQKANSLLRAADNWLRHLQVNHPDFAFFISHNSNWR >Manes.10G102700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25078241:25080207:-1 gene:Manes.10G102700.v8.1 transcript:Manes.10G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVNRCQIQEWYPKFKSVSIRTIIHELPESFVEYLLDDSGPFILPISVSNEDALPNRIHNPIDEEDYQVSEGSGDEAEQPKSPPSFPELELKIKESIEMLGGAVFPKLNWSSPKDSAWISTSGTLRCTSFSEIVLLLRSSDSLVHDLCHAYDSCSEKTLSRPPSFFLALRKWYPSLLPEMEFRCFVQGHHLVGISQREVTTFYPFLLEKKHDFQMLIEGFFTDNVRLNFESENYTFDVYITRDERVKILDFNPWGAFTLPLLFTWEELEQNVREENSVDFRIVESQCGIRPGLKTAVPKDYLDTSPGSGWDQFLKKVDAEFKQQGITPTSGSDA >Manes.10G102700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25078241:25080251:-1 gene:Manes.10G102700.v8.1 transcript:Manes.10G102700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVNRCQIQEWYPKFKSVSIRTIIHELPESFVEYLLDDSGPFILPISVSNEDALPNRIHNPIDEEDYQVSEGSGDEAEQPKSPPSFPELELKIKESIEMLGGAVFPKLNWSSPKDSAWISTSGTLRCTSFSEIVLLLRSSDSLVHDLCHAYDSCSEKTLSRPPSFFLALRKWYPSLLPEMEFRCFVQGHHLVGISQREVTTFYPFLLEKKHDFQMLIEGFFTDNVRLNFESENYTFDVYITRDERVKILDFNPWGAFTLPLLFTWEELEQNVREENSVDFRIVESQCGIRPGLKTAVPKDYLDTSPGSGWDQFLKKVDAEFKQQGITPTSGSDA >Manes.10G102700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25078241:25080251:-1 gene:Manes.10G102700.v8.1 transcript:Manes.10G102700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVNRCQIQEWYPKFKSVSIRTIIHELPESFVEYLLDDSGPFILPISVSNEDALPNRIHNPIDEEDYQVSEGSGDEAEQPKSPPSFPELELKIKESIEMLGGAVFPKLNWSSPKDSAWISTSGTLRCTSFSEIVLLLRSSDSLVHDLCHAYDSCSEKTLSRPPSFFLALRKWYPSLLPEMEFRCFVQGHHLVGISQREVTTFYPFLLEKKHDFQMLIEGFFTDNVRLNFESENYTFDVYITRDERVKILDFNPWGAFTLPLLFTWEELEQNVREENSVDFRIVESQCGIRPGLKTAVPKDYLDTSPGSGWDQFLKKVDAEFKQQGITPTSGSDA >Manes.01G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4810792:4820214:-1 gene:Manes.01G020600.v8.1 transcript:Manes.01G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDNNLEENKLPELKLDAKQAQGFLSFFKTLPHDTRAVRIFDRRDYFTSHGENATFIAMTYYHTTTALRQLGSGPDGLSSVSISKTMFETIARDILLDRRDHTLEVYEGSGSNWRLVKSGSPGNLGSFEDVLFANNEMQDSPVVVAIIPNFRENGCTIGLSYVDLTKRTLGLAEFVDDSHFTNVESALVALGCKECLLPAESGKTSEYRTLHDALTRCGVMLTVRKKNEFKTRDLVQDLSRLVKGSIEPVRDLVSGFEFASGALGALLSYAELLADESNYGNYTIRRYNLDSYMRLDSAAVRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVNEINSRLDLVQTFVEDTALRQDLRQHLKRISDIERLMHNLEKKRAGLQHIVKLYQSSIRLPYIRSALERYDGQFSSLIKERYLDPLESLTDDDHLNKFVALVEASVDLDQLENGEYMISPNYDPALSALKDEQESLERQIHDLHKQTAHDLDLPQDKGLKLDKGTQFGHVFRITKKEEPKIRKKLTTQFIVLETRKDGVKFTNTKLKKLGDQYQKIVEEYKNCQKELVNRVVETAATFCEVFESLAGILSELDVLLSFADLASSCPTPYTRPDITSSDAGDIILEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKATISVRDCIFARVGAGDCQLLGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVQVIKAPTLFATHFHELTALADEKANSHANDIVGVANYHVSAHIDSSNCKLTMLYKVEPGACDQSFGIHVAEFANFPKSVVALAREKAAELEDFSPNPIFSNDTAEEVGSKRKRSSDLDDMSRGAAHAHRFLKAFSDLPLETMDLKEALHQVGKLKDDLEKDAANCHWLKQFF >Manes.06G087100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22358230:22363991:1 gene:Manes.06G087100.v8.1 transcript:Manes.06G087100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYISHPKEYFSPEHPIRTAAHHEDRYSLLLRCPPQKAVPIGLNHQASIPEWSPCYSETISNTSGAPETTLDANLVFVEEDVDRLMGTCVIPMPDLELTGEVVNGRIDCNCLDEGSIRCVRQHIVEAREKLKRHLGGEKFEEMGFHDMGETVADKWSEEEEQVYHDLVFSNPMSLGKNFWDHLSAVFPSRTKKDIVCYYFNVFMLRRRTEQNRYDSVSIDDSDDDEWQGDDDYDNELGMTEEDEDSGIESPVHLDVCTRNHYRKYDLHDAVNEISDFACDRDISKVLGTCPRISLNFCNSNSHLLDVPSDKRVDQEGQDDSCTSSDTVASSQGTEVKVEIDDHWPCSFNEPCGSGGDHVLEPCDVKVWDNDYMICPKSKVDFLPTCSMIEEVFGDGSWNYKAKDY >Manes.06G087100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22358231:22363991:1 gene:Manes.06G087100.v8.1 transcript:Manes.06G087100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPLYDEEPHDIICKHPRQVEYKNRLVSFSEFVSSEVDSPTSEVPGECGLTENDTEGHERLANDIVARFPVSAEKDVETIVPGRSISSFATISACHEFSLPDMPVYISHPKEYFSPEHPIRTAAHHEDRYSLLLRCPPQKAVPIGLNHQASIPEWSPCYSETISNTSGAPETTLDANLVFVEEDVDRLMGTCVIPMPDLELTGEVVNGRIDCNCLDEGSIRCVRQHIVEAREKLKRHLGGEKFEEMGFHDMGETVADKWSEEEEQVYHDLVFSNPMSLGKNFWDHLSAVFPSRTKKDIVCYYFNVFMLRRRTEQNRYDSVSIDDSDDDEWQGDDDYDNELGMTEEDEDSGIESPVHLDVCTRNHYRKYDLHDAVNEISDFACDRDISKVLGTCPRISLNFCNSNSHLLDVPSDKRVDQEGQDDSCTSSDTVASSQGTEVKVEIDDHWPCSFNEPCGSGGDHVLEPCDVKVWDNDYMICPKSKVDFLPTCSMIEEVFGDGSWNYKAKDY >Manes.06G087100.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22358231:22363991:1 gene:Manes.06G087100.v8.1 transcript:Manes.06G087100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYISHPKEYFSPEHPIRTAAHHEDRYSLLLRCPPQKAVPIGLNHQASIPEWSPCYSETISNTSGAPETTLDANLVFVEEDVDRLMGTCVIPMPDLELTGEVVNGRIDCNCLDEGSIRCVRQHIVEAREKLKRHLGGEKFEEMGFHDMGETVADKWSEEEEQVYHDLVFSNPMSLGKNFWDHLSAVFPSRTKKDIVCYYFNVFMLRRRTEQNRYDSVSIDDSDDDEWQGDDDYDNELGMTEEDEDSGIESPVHLDVCTRNHYRKYDLHDAVNEISDFACDRDISKVLGTCPRISLNFCNSNSHLLDVPSDKRVDQEGQDDSCTSSDTVASSQGTEVKVEIDDHWPCSFNEPCGSGGDHVLEPCDVKVWDNDYMICPKSKVDFLPTCSMIEEVFGDGSWNYKAKDY >Manes.06G087100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22358231:22363991:1 gene:Manes.06G087100.v8.1 transcript:Manes.06G087100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPLYDEEPHDIICKHPRQVEYKNRLVSFSEFVSSEVDSPTSEVPGECGLTENDTEGHERLANDIVARFPVSAEKDVETIVPGRSISSFATISACHEFSLPDMPVYISHPKEYFSPEHPIRTAAHHEDRYSLLLRCPPQKAVPIGLNHQASIPEWSPCYSETISNTSGAPETTLDANLVFVEEDVDRLMGTCVIPMPDLELTGEVVNGRIDCNCLDEGSIRCVRQHIVEAREKLKRHLGGEKFEEMGFHDMGETVADKWSEEEEQVYHDLVFSNPMSLGKNFWDHLSAVFPSRTKKDIVCYYFNVFMLRRRTEQNRYDSVSIDDSDDDEWQGDDDYDNELGMTEEDEDSGIESPVHLDVCTRNHYRKYDLHDAVNEISDFACDRDISKVLGTCPRISLNFCNSNSHLLDVPSDKRVDQEGQDDSCTSSDTVASSQGTEVKVEIDDHWPCSFNEPCGSGGDHVLEPCDVKVWDNDYMICPKSKVDFLPTCSMIEEVFGDGSWNYKAKDY >Manes.06G087100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22358231:22363991:1 gene:Manes.06G087100.v8.1 transcript:Manes.06G087100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPLYDEEPHDIICKHPRQVEYKNRLVSFSEFVSSEVDSPTSEVPGECGLTENDTEGHERLANDIVARFPVSAEKDVETIVPGRSISSFATISACHEFSLPDMPVYISHPKEYFSPEHPIRTAAHHEDRYSLLLRCPPQKAVPIGLNHQASIPEWSPCYSETISNTSGAPETTLDANLVFVEEDVDRLMGTCVIPMPDLELTGEVVNGRIDCNCLDEGSIRCVRQHIVEAREKLKRHLGGEKFEEMGFHDMGETVADKWSEEEEQVYHDLVFSNPMSLGKNFWDHLSAVFPSRTKKDIVCYYFNVFMLRRRTEQNRYDSVSIDDSDDDEWQGDDDYDNELGMTEEDEDSGIESPVHLDVCTRNHYRKYDLHDAVNEISDFACDRDISKVLGTCPRISLNFCNSNSHLLDVPSDKRVDQEGQDDSCTSSDTVASSQGTEVKVEIDDHWPCSFNEPCGSGGDHVLEPCDVKVWDNDYMICPKSKVDFLPTCSMIEEVFGDGSWNYKAKDY >Manes.06G087100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22358231:22363991:1 gene:Manes.06G087100.v8.1 transcript:Manes.06G087100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYISHPKEYFSPEHPIRTAAHHEDRYSLLLRCPPQKAVPIGLNHQASIPEWSPCYSETISNTSGAPETTLDANLVFVEEDVDRLMGTCVIPMPDLELTGEVVNGRIDCNCLDEGSIRCVRQHIVEAREKLKRHLGGEKFEEMGFHDMGETVADKWSEEEEQVYHDLVFSNPMSLGKNFWDHLSAVFPSRTKKDIVCYYFNVFMLRRRTEQNRYDSVSIDDSDDDEWQGDDDYDNELGMTEEDEDSGIESPVHLDVCTRNHYRKYDLHDAVNEISDFACDRDISKVLGTCPRISLNFCNSNSHLLDVPSDKRVDQEGQDDSCTSSDTVASSQGTEVKVEIDDHWPCSFNEPCGSGGDHVLEPCDVKVWDNDYMICPKSKVDFLPTCSMIEEVFGDGSWNYKAKDY >Manes.15G117800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9404533:9408310:1 gene:Manes.15G117800.v8.1 transcript:Manes.15G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTMGLNLLLLLAMVATNILSLYHLSAPTLQSPKPPAPLQVPDHLLHQLQAIRATINHLTRHQPSPSTTSQSKPTIPSDLLLYSQLSPIASSCHNHPDLLHKYMSYTPYSLCPLDSDLAESLILRGCHPLPRRRCFSKTPSKPPSSLPRNPFPSSFIDSNVIWAQYSCKSFSCLAKQSPNTGFDMSAEISRFMTYKTELDLPIPQLLQVAKSASSLIRLGIDIGGGTGTFAARMKQYNVTVITTTMNFNAPNNEVAAIRGLVPLHVPLQQRLPVFDGVVDLVRCGHAINRWIPLKMMEFLFYDVDRVLRGGGYFWLDHFFSKKVDLDKIYGPLIGKLGYKKVKWAVGNKTDSSGLKNGEVYLTALLQKPVSR >Manes.07G025100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2732867:2736214:1 gene:Manes.07G025100.v8.1 transcript:Manes.07G025100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVTLNLVPSINLNGRNASVNSARLSNSLSCVFGNSSSSSFSFLGYLSSSDSKNRCDSRRVIKGCRSFSSVFKCVSQKSEPSVSINARGNGASERVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISNSGNATCIPDLDISDSSAVISFCRQWNVGLVVVGPEAPLVAGLANDLGKAGILTFGPSAEASALEGSKNFMKSLCDKYGIPTAKYQTFTDPSAAKQYIQNQGAPIVIKADGLAAGKGVIVAMTLEEAYEAVDSMLVKGAFGSAGCRVIAEEYLEGEEASFFALVDGETAIPLESAQDHKRVGDGDVGPNTGGMGAYSPAPVLTKELQSLVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAACRGELRGVSLNWSPGSAMVVVMASKGYPGSYEKGTVIKNLEEAENVAPTVQIFHAGTALDADGNFIATGGRVLGVTAKGSDLQEARDRAYQAVEEINWPGGFYRRDIGWRALPQKQFAARK >Manes.07G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2732867:2736214:1 gene:Manes.07G025100.v8.1 transcript:Manes.07G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVTLNLVPSINLNGRNASVNSARLSNSLSCVFGNSSSSSFSFLGYLSSSDSKNRCDSRRVIKGCRSFSSVFKCVSQKSEPSVSINARGNGASEERVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISNSGNATCIPDLDISDSSAVISFCRQWNVGLVVVGPEAPLVAGLANDLGKAGILTFGPSAEASALEGSKNFMKSLCDKYGIPTAKYQTFTDPSAAKQYIQNQGAPIVIKADGLAAGKGVIVAMTLEEAYEAVDSMLVKGAFGSAGCRVIAEEYLEGEEASFFALVDGETAIPLESAQDHKRVGDGDVGPNTGGMGAYSPAPVLTKELQSLVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAACRGELRGVSLNWSPGSAMVVVMASKGYPGSYEKGTVIKNLEEAENVAPTVQIFHAGTALDADGNFIATGGRVLGVTAKGSDLQEARDRAYQAVEEINWPGGFYRRDIGWRALPQKQFAARK >Manes.04G055676.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:16488641:16490772:-1 gene:Manes.04G055676.v8.1 transcript:Manes.04G055676.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKDPDETSKISLRQKTNETQRINVNLFSGQSSRPNVNASSSGLSPRQNTYLHKPMFTSSTSIINRPLSPMSSALITRPSSPQSSSQFTLLNKFSPLQPQKLITPSTFKQVVTGQSLSPTYSPTQSLQINQPEYSYKTIEDVILTIEPEYWSQNPNLNVYQLCESIFPKTHYYIPDNFAKNQSFYETILVQTNSILMYNNFDPHIKHKIRYCKVRIIRVLTISDWGQEPHKSKDISLSHGQVTKFNYYDYQTAWERTFLKQNDQLSISFFFYISDDFSYPIPYWFHQWWNKFGLDLTIIPEPIVSAQDQFFENSQLPENILLSPKWLIYSHLFHIPWIYMSEYQIKDYTLNNFQIPNLVRKHKIKWWPKTDLANCGPKAVDHFFNSQPQYAKKLSPMQVTKQETFFARKQQMMAQMAKCVSEEEYDKLIEEIKETRSSVSSPVDLSIDNDDFFTQAEP >Manes.S035516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:900618:900785:1 gene:Manes.S035516.v8.1 transcript:Manes.S035516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.11G016900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1776759:1778609:1 gene:Manes.11G016900.v8.1 transcript:Manes.11G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPENSNACGGEVAVEDAQKIILRWDSTASEEARERMIFEGDREEVDRYLKAVDDIQKSMSSTSISDDQDKVNSNTIQIAMARLEDEFRNILLSHTTPVELDSLAAADPSSSVHSSAAVEYEEDDHVGDDEIQDQIQRVDSSASNSTTSYRSTSSIREIDLIPQEAVGDLQSIAKRMISAGYLRECIQVCGSVRKSVVDANFRRLGIEKLSIGDIQRLEWDALETKIRRWIRAAKVCIRILFASEKRLCEQIFDGIGTAVDDACFMETIKGPAIQLFNFAEAISISRRSPEKMFKILDLHDALMDLMPDIEVVFESKSADSIRVQAAEILSRLAEAARGILSEFENAVLREPSLVPVPGGTIHPLTRYVMNYISLISDYKQTLIELILSKPSTGSRYSGDSTTPDMEFAELEGKTPLALHMIWIIVILQFNLDGKSKHYKDVSLAHLFMMNNVHYIVQKVKGSPELREMIGDDYLRKLTGKLRQAATSYQRATWVRVLYCLRDEGLHVSGGFSSGVSRSALRERFKTFNAMFEEVHRTQATWLVPDNQLREELRISISEKLIPAYRSFLGRFRSHIESGKHPENYMKYSVEDLESAVLDFFEGYTVSQHLKRRSQ >Manes.09G107200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30906495:30911875:1 gene:Manes.09G107200.v8.1 transcript:Manes.09G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSRSADHFFNARRFTSLRFLSQCRPSVPPPPPPPVPSPAPVLENRNLPPFRSAVPVDIGVHSIWIHQRFLSSASANAGTVSSETSRKAEDAKSDGGEKSGDSQQDSDAGKPVRGGPISWLSFLLLMATGVGIILYYDKEKKRHIEEINKASETVKEGPSVGKAAIGGPFNLINHEGKQVTEKDFLGKWTIIYFGFTHCPDICPDELIKLAAAVDKIKEKAGFEIVPVFISVDPERDTVEQVREYVNEFHPNLIGLTGNPDEIKKAARAYRVYYMKTAEEDSDYLVDHSIVMYLMDPGMQFVKFFGKNNDVDSLADGVIKEIKQYKSKK >Manes.09G107200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30906495:30911875:1 gene:Manes.09G107200.v8.1 transcript:Manes.09G107200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSRSADHFFNARRFTSLRFLSQCRPSVPPPPPPPVPSPAPVLENRNLPPFRSAVPVDIGVHSIWIHQRFLSSASANAGTVSSETSRKAEDAKSDGGEKSGDSQQDSDAGKPVRGGPISWLSFLLLMATGVGIILYYDKEKKRHIEEINKASETVKEGPSVGKAAIGGPFNLINHEGKQVTEKDFLGKWTIIYFGFTHCPDICPDELIKLAAAVDKIKEKAGFEIVPVFISVDPERDTVEQVREYVNEFHPNLIGLTGNPDEIKKAARAYRVYYMKTAEEDSDYLVDHSIVMYLMDPGMQFVKFFGKNNDVDSLADGVIKEIKQYKSKK >Manes.09G107200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30906838:30911875:1 gene:Manes.09G107200.v8.1 transcript:Manes.09G107200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVRASAASAASTITGAGSRESKSPSISLDIGVHSIWIHQRFLSSASANAGTVSSETSRKAEDAKSDGGEKSGDSQQDSDAGKPVRGGPISWLSFLLLMATGVGIILYYDKEKKRHIEEINKASETVKEGPSVGKAAIGGPFNLINHEGKQVTEKDFLGKWTIIYFGFTHCPDICPDELIKLAAAVDKIKEKAGFEIVPVFISVDPERDTVEQVREYVNEFHPNLIGLTGNPDEIKKAARAYRVYYMKTAEEDSDYLVDHSIVMYLMDPGMQFVKFFGKNNDVDSLADGVIKEIKQYKSKK >Manes.03G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:703195:709297:1 gene:Manes.03G008600.v8.1 transcript:Manes.03G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQAEGKSVRYVSSELATDVTINVAQVKFYLHKFPLLSKSNRLQKLVLKATQENSDEIDLLDFPGGPWAFEICAKFCYGMTVTLNAYNVAAARCAAEYLEMAEDIDRGNLIFKIEVFLNSSIFRSWKDSIVVLQTTESLLPWSEDLKIVGRCIDSIASKTSADPANITWSYTYNRKLSVPDKIVEDGAKLRDKIESIPRDWWVEDICALEMDVYKQVILAIKSKGRVDSTIIGEALKHYAVRWLPDSLDELVSDGCTRSYKYLVETLVCLLPLDKGVGCPCSFLLKLLKIALFVGVDDSSREDLVNRISLKLYEASVKDLLIPAQSPQTTLYDVELVQCLVNRYVSYSRDLSVEKNDETNDFVLLHRHRYMLSVGKTIDEYLSEIAHDPNLTLASFVDLSQSIPESARPTHDGLYKAVDTYLKKHPSLTKAERKKICALMDVKKMTTNASMHAAQNDLLPLRVVVQVLFFEQVRACSGVQALNSNACNASNSMTNSDEEWEKTASDDNNVKKQMSQLKVKEEEFQKNGKLMKKNSKNNKSGIQLLPSRSRRIFDRLWVMGKGHGENRSSDTSGSSQSPTSMVPGDAKSSGSSSRQRRHSIS >Manes.02G153100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11835950:11839432:1 gene:Manes.02G153100.v8.1 transcript:Manes.02G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARENLALHISLVLFMLLPCSLTVASDSTEEANALLKWAATLHNPQDSNISSWPLLPQNATNSIPRTSPCNWVGLSCNINSRVERLNLTNAGLNGTLHELYFSAFSDLAYIDLSINMLSGKIPLGITKLSKLIYLDLAYNLLSGTIPPEIGLLTNLDTLHLSANQLSGPIPSTIGQLSSLTELALYTNNLDGPIPPSMGNLTKMARLLLYKNQISDLIPPEMGNLTSLVQLYMDTNNLSGPIPSTFGKLKNLTVLHMFHNQLSGSIPLEIGNMKSLTKLSLFENNLSGQVPATLGGLTNLELLRLNQNKLSGPIPDELGNLTSMFDLGLSENQLNGSIPSSLSNLKMLEQLHLRDNQLSGTIPEQIASLPNLSVLQLDTNKLIGQLPQTICQNEKLQNFTVSNNRLDGPIPTSFKDCKSFVRVRLEGNQFTGNVSEDFGVYPQLQYIDLSSNNFYGEISSNWGECPNLTTLAMAGNNISGTIPPEIGNATQLQALTLSSNKLSGRIPMEFGKLDLLKVILNDNQLSGGIPSEFGLLADLEYLDLSANKLNQSIPENIGNLPKLIYLNLSRNEFSQKIPIRLGKLTHLSKLDLSRNLLKGEIPSELSSLESLEVLNLSHNNLSGSIPDSFGGMNGLLTIDVSYNELEGPIPSNKAFQNASIEAFQGNKGLCGDVPGLQPCKILTNKSTCKKSHKMLFLIIFLPICGVFSLLGFLGVFFFLRKRKEDADAQQGSQEDDESIFISSSDGRIMHDEIIKSTDCFNSVYCIGKGGHGSVYKVNLQSGSTVAVKKIHQFQDGEKTCRKEFLNEIRALTKIRHRNIVKLFGFCSYSRYSFLVYEYLDGGNLATILGNDEEAKELDWSKRINIVKGVANALFYMHHNCSPPIVHRDITSKNILLDSEYEAHVSDFGTAKLLNADSSHWTALAGTYGYVAPELAYTMKVSEKCDVYSFGVVALEMINGKHPGEIVFSVASPSAQKLVLEDFLDQRLPTPSAQVQDELRKIMKIAIACLHSNPQSRPTMHMISQVLAVQTPPFSSLG >Manes.12G124200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33031656:33037764:1 gene:Manes.12G124200.v8.1 transcript:Manes.12G124200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGKLQQQASKYMCSLKSSLLTLAILTLISFTYLSINSLQSSSSSSSSFSPIVSSSVLKQLQRGTERVTEEKGNSFDNEIPDLYHQPQIFKLNYEAMERNFKVYIYPDGDPNTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDPNQAHLFFIPISCHKMRGKGTSYENMTIIVQNYVESLIAKYPYWNRTLGADHFFVTCHDVGVRATEGVPLLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTALGFWAGHRNSKIRVILARIWENDTELDISNNRISRATGHLVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCVPVILSNYYDLPFNDILDWRKFSVILKEQDVYQLKQVLKNISDEEFVTLHKNLVEVQKHFQWNSPPIKYDAFHMVMYDLWLRHHVIKY >Manes.12G124200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33031620:33037764:1 gene:Manes.12G124200.v8.1 transcript:Manes.12G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGKLQQQASKYMCSLKSSLLTLAILTLISFTYLSINSLQSSSSSSSSFSPIVSSSVLKQLQRGTERVTEEKGNSFDNEIPDLYHQPQIFKLNYEAMERNFKVYIYPDGDPNTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDPNQAHLFFIPISCHKMRGKGTSYENMTIIVQNYVESLIAKYPYWNRTLGADHFFVTCHDVGVRATEGVPLLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTALGFWAGHRNSKIRVILARIWENDTELDISNNRISRATGHLVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCVPVILSNYYDLPFNDILDWRKFSVILKEQDVYQLKQVLKNISDEEFVTLHKNLVEVQKHFQWNSPPIKYDAFHMVMYDLWLRHHVIKY >Manes.07G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21820277:21822724:-1 gene:Manes.07G073700.v8.1 transcript:Manes.07G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGRKKVRAAMEEAIAGLSAKEEVKAVMEEEISEIAVKEQTEIITELRDLPPAHYIFKIENFSHLSAAKVESYDSSDFEVGGYKWRLSLYPKGNKKVNENEHVSLYLVLSSSNTLPLHREVNVYFKLFVYNQILDKYLVVQDAKEKIRRFRGAKTEWGFDKLVSLNAFNDASNGYLVDDCCVFGAEIFVIERTGKGECVSMVKELANSTTYTWKIQKFSDLNQECYTSQVFVIGGYKWILLLYPNGNSNEKGKSLSMYLQLEGSETVDSGKKLHTEYILRVIDQLSGVHHEQKGNHKFCSSAKRMGFAKLIPLQHLNDSSKGFMLGNTVIVEVQIPLMTVVKEFS >Manes.S026252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2212518:2212892:1 gene:Manes.S026252.v8.1 transcript:Manes.S026252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.15G168150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14379983:14380640:1 gene:Manes.15G168150.v8.1 transcript:Manes.15G168150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQKVRALPTIFLLFLEKADLWVFHFQVSVEDVEKLCIVGGNLIGIHENLEDVSETPRALPSTSFAYFW >Manes.09G100200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29857997:29861229:-1 gene:Manes.09G100200.v8.1 transcript:Manes.09G100200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNKSKTNQSLGKGKVTPMQVAFIVDRYLYDNNFSETRSVFRNEASSLISKSPVQEAPKSLLSLGAMLNEYICLKEQKVMVDQERVRLEQEKFRVQTLLQGMQDVMNAYNASGSAPTPMIQTSTTRPAAMVPQLGLTGGSLPGCPVYTPPTVMPVSMPSNVIKEHGNPSSSVTNCPLTRKRSGSNVATEAPQISKKSRGKLPYRRTPNTGTCNQSDNAAITQETAQLDNAATTKGADRSSVTGSSTHNRTTSGPIVYGSSVAKSLFNQPPFSSPANSTGPKTPPQAVSFHNDKSISPVGISSNAHGNNNNAPQETPTNCTVITSERVIVSPCKHVAYTMERNHCISSSSPVKTTFKRLSKRENVKGRLDFDGSDVTANLDKPNIDETSTSESDKEGDIFDIDLPNLDAFGANFFSEFLVDLDLGFEGIGCPCQPALGATDTISGS >Manes.09G100200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29857997:29861229:-1 gene:Manes.09G100200.v8.1 transcript:Manes.09G100200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNKSKTNQSLGKGKVTPMQVAFIVDRYLYDNNFSETRSVFRNEASSLISKSPVQEAPKSLLSLGAMLNEYICLKEQKVMVDQERVRLEQEKFRVQTLLQGMQDVMNAYNASGSAPTPMIQTSTTRPAAMVPQLGLTGGSLPGCPVYTPPTVMPVSMPSNVIKEHGNPSSSVTNCPLTRKRSGSNVATEAPQISKKSRGKLPYRRTPNTGTCNQSDNAAITQETAQLDNAATTKGADRSSVTGSSTHNRTTSGPIVYGSSVAKSLFNQPPFSSPANSTGPKTPPQAVSFHNDKSISPVGISSNAHGNNNNAPQETPTNCTVITSERVIVSPCKHVAYTMERNHCISSSSPVKTTFKRLSKRENVKGRLDFDGSDVTANLDKPNIDETSTSESDKEGDIFDIDLPNLDAFGANFFSEFLVDLDLGFEGIGCPCQPALGATDTISGSSHESKDGNLGVDQVTSEFSSTVTEVISEKDMNIQGHDTLTAVKSITKCIILSPAKNHRSSMEQENHAERN >Manes.S024016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:309305:309802:1 gene:Manes.S024016.v8.1 transcript:Manes.S024016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVHRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.09G021000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4315257:4330391:-1 gene:Manes.09G021000.v8.1 transcript:Manes.09G021000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKAFDPAFQGVGQKLGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTSPGKGGAYLYDIHFWIGKDTSQDESGTAAIKTVELDAVLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKTPEEEVFETRLYICKGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQFLKEKYHDGICDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDVVPEMMPGKLYSIIDGEVKAVEGQLSKGLLENNKCYLLDCGAEVFIWVGRVTQVEERKAASKAAEEFIVSQNRPKATRITRVIQGYETHSFKSNFGTWPAGSAPGAEEGRGKVAALLKQQGGGVKGAAKSAPVNEEVPPLLEGGGKMEVWCINDSAKTPVAKEDIGKFYSGDCYIILYTYHSGDRKEDFLLYCWFGKDSIEEDQQMAFRLTNTMSNSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGLSSGYKKYIQEKGVTDETYALDTVALFRISGTLVHNNKAVQVDSVATSLNSTECFLLQSGSSVFTWHGSQSTFEQQQLAAKIAEFLKPGVTLKYAKEGTESSAFWFPLGGKQSYSSKKAPPEIARDPHLFTFSFNKGKFQVEEVYNFSQDDLLTEDILILDTHAEVFAWVGQSVDPKEKQNTFDIAQKYIEMAASLDGLAPKVPLYKVTEGNEPSFFTTFFSWDSTKAVIAGNSFQKKAALLFGVGHNAAESQDRSNGGPTQRASALAALNSAFSSSSGPKTPSRTPGQGSQRAAAVAALSSVLTAEKKKTPEGSPSATPPPGTSPHAAGKSDNSLADEVKETEEDTSVSEGIGEDSEPKEDTEQDDGTTDSTFSYDQLKVHSENPVTGIDFKRREAYLSVEDFETIFGMAKAEFYKMPKWKQDIQKKKADLF >Manes.09G021000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4315257:4330391:-1 gene:Manes.09G021000.v8.1 transcript:Manes.09G021000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKAFDPAFQGVGQKLGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTSPGKGGAYLYDIHFWIGKDTSQDESGTAAIKTVELDAVLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKTPEEEVFETRLYICKGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQFLKEKYHDGICDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDVVPEMMPGKLYSIIDGEVKAVEGQLSKGLLENNKCYLLDCGAEVFIWVGRVTQVEERKAASKAAEEFIVSQNRPKATRITRVIQGYETHSFKSNFGTWPAGSAPGAEEGRGKVAALLKQQGGGVKGAAKSAPVNEEVPPLLEGGGKMEVWCINDSAKTPVAKEDIGKFYSGDCYIILYTYHSGDRKEDFLLYCWFGKDSIEEDQQMAFRLTNTMSNSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGLSSGYKKYIQEKGVTDETYALDTVALFRISGTLVHNNKAVQVDSVATSLNSTECFLLQSGSSVFTWHGSQSTFEQQQLAAKIAEFLKPGVTLKYAKEGTESSAFWFPLGGKQSYSSKKAPPEIARDPHLFTFSFNKGKFQVEEVYNFSQDDLLTEDILILDTHAEVFAWVGQSVDPKEKQNTFDIAQKYIEMAASLDGLAPKVPLYKVTEGNEPSFFTTFFSWDSTKAVIAGNSFQKKAALLFGVGHNAAEDKSNGNQGGHTQRASALAALSSAFNPSSGKSSPRSQDRSNGGPTQRASALAALNSAFSSSSGPKTPSRTPGQGSQRAAAVAALSSVLTAEKKKTPEGSPSATPPPGTSPHAAGKSDNSLADEVKETEEDTSVSEGIGEDSEPKEDTEQDDGTTDSTFSYDQLKVHSENPVTGIDFKRREAYLSVEDFETIFGMAKAEFYKMPKWKQDIQKKKADLF >Manes.09G021000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4315257:4330391:-1 gene:Manes.09G021000.v8.1 transcript:Manes.09G021000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKAFDPAFQGVGQKLGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTSPGKGGAYLYDIHFWIGKDTSQDESGTAAIKTVELDAVLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKTPEEEVFETRLYICKGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQFLKEKYHDGICDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDVVPEMMPGKLYSIIDGEVKAVEGQLSKGLLENNKCYLLDCGAEVFIWVGRVTQVEERKAASKAAEEFIVSQNRPKATRITRVIQGYETHSFKSNFGTWPAGSAPGAEEGRGKVAALLKQQGGGVKGAAKSAPVNEEVPPLLEGGGKMEVWCINDSAKTPVAKEDIGKFYSGDCYIILYTYHSGDRKEDFLLYCWFGKDSIEEDQQMAFRLTNTMSNSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGLSSGYKKYIQEKGVTDETYALDTVALFRISGTLVHNNKAVQVDSVATSLNSTECFLLQSGSSVFTWHGSQSTFEQQQLAAKIAEFLKPGVTLKYAKEGTESSAFWFPLGGKQSYSSKKAPPEIARDPHLFTFSFNKGKFQVEEVYNFSQDDLLTEDILILDTHAEVFAWVGQSVDPKEKQNTFDIAQKYIEMAASLDGLAPKVPLYKVTEGNEPSFFTTFFSWDSTKAVIAGNSFQKKAALLFGVGHNAAESQDRSNGGPTQRASALAALNSAFSSSSGPKTPSRTPGQGSQRAAAVAALSSVLTAEKKKTPEGSPSATPPPGTSPHAAGKSDNSLADEVKETEEDTSVSEGIGEDSEPKEDTEQDDGTTDSTFSYDQLKVHSENPVTGIDFKRREAYLSVEDFETIFGMAKAEFYKMPKWKQDIQKKKADLF >Manes.09G021000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4315257:4330391:-1 gene:Manes.09G021000.v8.1 transcript:Manes.09G021000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKAFDPAFQGVGQKLGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTSPGKGGAYLYDIHFWIGKDTSQDESGTAAIKTVELDAVLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKTPEEEVFETRLYICKGKRVVRMKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQFLKEKYHDGICDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDVVPEMMPGKLYSIIDGEVKAVEGQLSKGLLENNKCYLLDCGAEVFIWVGRVTQVEERKAASKAAEEFIVSQNRPKATRITRVIQGYETHSFKSNFGTWPAGSAPGAEEGRGKVAALLKQQGGGVKGAAKSAPVNEEVPPLLEGGGKMEVWCINDSAKTPVAKEDIGKFYSGDCYIILYTYHSGDRKEDFLLYCWFGKDSIEEDQQMAFRLTNTMSNSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGLSSGYKKYIQEKGVTDETYALDTVALFRISGTLVHNNKAVQVDSVATSLNSTECFLLQSGSSVFTWHGSQSTFEQQQLAAKIAEFLKPGVTLKYAKEGTESSAFWFPLGGKQSYSSKKAPPEIARDPHLFTFSFNKGKFQVEEVYNFSQDDLLTEDILILDTHAEVFAWVGQSVDPKEKQNTFDIAQKYIEMAASLDGLAPKVPLYKVTEGNEPSFFTTFFSWDSTKAVIAGNSFQKKAALLFGVGHNAAEDKSNGNQGGHTQRASALAALSSAFNPSSGKSSPRSQDRSNGGPTQRASALAALNSAFSSSSGPKTPSRTPGQGSQRAAAVAALSSVLTAEKKKTPEGSPSATPPPGTSPHAAGKSDNSLADEVKETEEDTSVSEGIGEDSEPKEDTEQDDGTTDSTFSYDQLKVHSENPVTGIDFKRREAYLSVEDFETIFGMAKAEFYKMPKWKQDIQKKKADLF >Manes.16G121000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32372415:32373257:-1 gene:Manes.16G121000.v8.1 transcript:Manes.16G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITLEELHTYHAIDREIFNRLVINLLRDPAESLLVMATWLWLEEKGYPNVVVKMVDLSDALVNAVADEAVLCLKCLESNSLPLLLNGGIPLTARIMEKNISLEMFHHNKFSAISGIKNFLTTVCARIFTDILQRVLATTSSAQSVPNQPLIIPGFPHPLFGGLAIVPRSMDSDFLIGGLWGWGPNNNVSEDERTMFLTFSRGFPVTKDEVTQLFTRLHGDCVVSVQMQENVSSNEQPLFARMVLDSIAAVDRILNGRRIAKFRINGKHIWARKYERRD >Manes.13G145813.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35949398:35953726:-1 gene:Manes.13G145813.v8.1 transcript:Manes.13G145813.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQLDQRISTKQRSSFGVAHGMDVHSDYSLLVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYMLETLHDVGIIHGDFKPDDLLVRYSRDDLSEDGFEDRTGSWGDQGLCLVDWGKGIDLHLFPDDTEFEGDCRTSGFRCIQMQENKPWRFQVDTYGLCVIVHLMLHNSYMEIEKKATSDGGYIYLPKKPFKRYSYNCIYAGISVRIESFLGKGSRIFSKLIKYGCS >Manes.10G084701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21769337:21772846:-1 gene:Manes.10G084701.v8.1 transcript:Manes.10G084701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQYLHERFKEWVPIREYITFDHFADSTGFVAWALCNWRGLTHLGASANWGVAELCCVWRSFELMMQYLHERFKEWVPIREYITFDHFADSTGFVAWALCNWRGLTHLGASANWGVAELCCVWRSFGELLHS >Manes.15G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11809099:11815395:-1 gene:Manes.15G144000.v8.1 transcript:Manes.15G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLFNSVYFDRGCSWKGEVKSSKLGKRNCHRLYVGRSTALSPRSLKIRNSKQEKDVRVALLGASGYTGAEIIRLLANHPFFGITLMTADRNAGKSMESVFPHFITQKDLPILVSSNDASFSDVDAVFCCLPHGTTQEIIKALPKGIKIVDLSADFRLRDISEYQEWYGQPHRATDLQVEAVYGLTEIFREEIKNARLVANPGCYPTSIQLPLVPLLKAKLIEYKNIIIDSKSGVSGAGRGAKVANLYTELTEGIMSYGVTRHRHVPEIEQGLSDAARSKVTVSFTPHLMPMSRGMQSTIYVEMASGVTAEDLYQQLKVSYEDEEFVKLLEKGVVPRTHDVRGSNYCYMNVFPDRISGRAIIISVIDNLVKGASGQALQNLNIMMGFPENTGLRYLPLFP >Manes.18G079200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264482:7277817:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264482:7277817:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRSKNKRHRPDNVNITSEILRKIHATGEISNDDVNQLYMVQKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKLSDIIQALGPDPCKDLRASADSPAGLTNLGATCYANSILQYLYMNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPSILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264478:7277884:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRSKNKRHRPDNVNITSEILRKIHATGEISNDDVNQLYMVQKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKLSDIIQALGPDPCKDLRASADSPAGLTNLGATCYANSILQYLYMNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPSILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264482:7277817:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRSKNKRHRPDNVNITSEILRKIHATGEISNDDVNQLYMVQKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKLSDIIQALGPDPCKDLRASADSPAGLTNLGATCYANSILQYLYMNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264478:7277884:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRSKNKRHRPDNVNITSEILRKIHATGEISNDDVNQLYMVQKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKLSDIIQALGPDPCKDLRASADSPAGLTNLGATCYANSILQYLYMNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264482:7277817:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPSILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264482:7277817:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRSKNKRHRPDNVNITSEILRKIHATGEISNDDVNQLYMVQKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKLSDIIQALGPDPCKDLRASADSPAGLTNLGATCYANSILQYLYMNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264478:7277884:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRSKNKRHRPDNVNITSEILRKIHATGEISNDDVNQLYMVQKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKLSDIIQALGPDPCKDLRASADSPAGLTNLGATCYANSILQYLYMNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPSILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264482:7277817:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRSKNKRHRPDNVNITSEILRKIHATGEISNDDVNQLYMVQKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKLSDIIQALGPDPCKDLRASADSPAGLTNLGATCYANSILQYLYMNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPSILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.18G079200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7264478:7277884:1 gene:Manes.18G079200.v8.1 transcript:Manes.18G079200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTTRSKNKRHRPDNVNITSEILRKIHATGEISNDDVNQLYMVQKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKLSDIIQALGPDPCKDLRASADSPAGLTNLGATCYANSILQYLYMNTSFREGVFSVEPEVLKQHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLLLSLLERCLNHSNVLKARTIVQDLFRGSVSHVTTCSKCGRDSEASSKMEDFYELELNVKGLKSLDESLDDYLSVEELHGENQYFCELCKARVDAIRSIKLRTLPEVLNFQLKRCVFLPKTTTKKKITSAFCFPGVLDMQQRLSEPSQLDWVYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGFHPFGEGSSSSTSKVVHSEPPANMEEKHALANGNHVDSVQLHSLKSSTDSHVEVFSSCDAYMLMYNLRRTKKVNEKIHVVFGASKNEIEGCESSSHDTSLPSHLFEEINKLNASYLEACEQYKLKKDSEMNHITERRQEVRSVLSEAPVQSFEEPTYWVSMDWLRQWADNLTPPILDNTPIQCSHGKVPVSKVGSMKRMSAAAWTKLFSKYEGGPPLTNNDYCMACLIDGAQSVVFADSYRDRRTLMRDLASDVLAGKRLDGTYYVSKTWLQQWVRRKNLDAPSEADAGPTLSIRCTHGQLLPEQAPGAKRLLIPEELWLFIYEDAIAVKPDDPLGCTTFPSDSEQCPQCCDELSEVACLEDSLRAVKLKQRQNHEKLAMGKSIPLSLQCSYYLVPSSWLTKWRNYVTASGKNVSSSVEPDTLDFVIDSLKCEKHSRLLERPPDLMSKRGILFQKGSMTDGLTIITENDWNNFCEEWGGNKDKGISAIIEPDNVAQSNLAGSCEETITEEHQNPQDEVNKETETRQPIIRTCPEICEDCIGERESCKLMQKLNYSDGDIYVSLVRGKEAPRSILEASETATEPDRRASKRSRKTSYGNSVNLKVSGSTSIYQLKMMIWESLGVVKENQILHKGSRILDQECATLADLNIFPGDKLWVQDSEIHEHRDIADELAEQKMTSQHAEEGFRGTLLTSNIS >Manes.11G072700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10534408:10535992:-1 gene:Manes.11G072700.v8.1 transcript:Manes.11G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFLWTLCLSFLLLAFGSRAAAPRKPIDVPFGRNYIPTWAFDHIKYFNGGSEIQLILDKYTGTGFQSKGSYLFGHFSMHIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFSGGKGDREQRIYLWFDPTTEYHTYSILWNLYQIVFFVDDVPIRVFKNCKDLGVRFPFNQPMKLYSSLWNADDWATRGGLEKTDWSKAPFIASYKGFHIDGCEASVNANFCETQGKRWWDQKEFQDLDAAQYQNLGWVRQKYTIYNYCTDRARFPTVAPECQRDHDI >Manes.03G131951.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25854126:25863981:1 gene:Manes.03G131951.v8.1 transcript:Manes.03G131951.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGILRRRVATGGSSYTILRQSLQSIQTAASTPRVFSLPEKEILLHPRGFGHVCNFSHLTSPGRSVSSASMRDFASIELVAPKQLWSRPFSSDGGDSIDVVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVASPEAGVIKEFVAKEGETVEPGTKVAVISRSGEDVAHVAPSEKIAEKAVPKNASAPQEKKDEKPKPTMEKSKTTAPPPPKPSATEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFIKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRNADRMNFAEIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVTRPMVVGGNIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDIVEDPRRLLLDI >Manes.03G131951.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25854100:25864045:1 gene:Manes.03G131951.v8.1 transcript:Manes.03G131951.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGILRRRVATGGSSYTILRQSLQSIQTAASTPRVFSLPEKEILLHPRGFGHVCNFSHLTSPGRSVSSASMRDFASIELVAPKQLWSRPFSSDGGDSIDVVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVASPEAGVIKEFVAKEGETVEPGTKVAVISRSGEDVAHVAPSEKIAEKAVPKNASAPQEKKDEKPKPTMEKSKTTAPPPPKPSATEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFIKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRNADRMNFAEIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVTRPMVVGGNIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDIVEDPRRLLLDI >Manes.10G130800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29661980:29666953:1 gene:Manes.10G130800.v8.1 transcript:Manes.10G130800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTAIGGAILSASFLELFDRMTSMELLKYAQEGNVLVELKKWERMLKKLYLVLDDAEEKQWENPLVKMWVSDLRDLAYDAEDVLDELATEAQRRKLKVEPLARASKSWKIISTVFACINPNTIKFNAAMVSKIERISTRLDEIVKEKNNLHIVESRGSTSQVRTRLPTTSLVNESKIYGRENDKNKILDLLKKKGIGGNVSVIPIVGMGGVGKTTLAQLIFNDATLVFDLKSWVFVGEDFDVLTMTKTILQSVVCEGTYGEDLNLLQIKLKDKLSQKKFLIVLDDVWTENYDNWTLFCSPFAVGAPGSIIIITTRNESVSSMMGTIRGYSLKQLSHEDCFSVFAQHALGAINFDEHSELEDIGKEIVKRCHGLPLAAKALGGLLRGTANRNIWEEVLNNNIWDLPDYKNNIIPALRLSYLHLPSHLKRCFAYCSIFPKDYEFDLDELVLLWMAEGFLYISKKMKQLKDLGCQYFHDLLSRSFFQQSTRNKSRYVIHDLMHDLAQSVTEETCFHLGEKMDDTNLCTKVRHSSFTTHWYDTWLRFEGFHKVTGLRTFLALPKHYGHLTGKVLQDLMPKLKCLRALSLSGYWIEELPSSISALKHLRYLNLSCTLIKRLPKSVAELLNLQTLILSGCVCLIELPSCIGNLINLQCLDISGTDELNEMPLQIGNLANIHTLTKFIVGKDNGLNIKELKKFPHISGFLHISRIENVLNIREAELANLMEKQRLQELDLEWAELDLNSYGSRDPSDEVQVLNSLRPPQNLLRLSIKSFGGTELPLWIGDPTFSNMARLKLCNCGNITSLPPLGQLPSLEELSIQGMNRVKKVGVEFHGNGLSFACLKTLTIQDMLEWEQWSWSRCGNEEAAVTFPNLHKLELRNCPKLSSKLPSCLQSLQELSIEYCQEMVLRSLSDLTSLTILRIHEVSGLVSLHEAHIETLVALEDLRIEACHQLKYLWKYGTSLYKLACLTHLGVNGCEQLVSLIEGEEGQLPCNLQVLKIIGCHNLDKLPNGLHSLTSLRDLIISFCTKLLSFPATRLPYSLRCLEIQGCDSLESLPEGIMCDVNDIKQTSVLETLKICTCRSLMSCPIGEFPASLKTLKIKCCNWPTQLLQSAFHGLSQLRYLSIVDCQQLLSFQETGLPMHSLISLTIGQCENLRLLPNPMHDLHSLKYLYINNCEGLVSFPEGGLPVNLTELSISSCGGLESFPQRGLPPKLTQLSIQYCKNIKQPMREWGLHKLTSLRHFRIYGSSPSTKMFDSFPDADGLLLPTSLTYVKICELENLKSISKGLQKVTSLQCLCFESCQNLLYLPEEGFPDTLEHLIIIGCPHLAERCCKNKGVYWPIISHLPYVET >Manes.10G130800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29661980:29666926:1 gene:Manes.10G130800.v8.1 transcript:Manes.10G130800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTAIGGAILSASFLELFDRMTSMELLKYAQEGNVLVELKKWERMLKKLYLVLDDAEEKQWENPLVKMWVSDLRDLAYDAEDVLDELATEAQRRKLKVEPLARASKSWKIISTVFACINPNTIKFNAAMVSKIERISTRLDEIVKEKNNLHIVESRGSTSQVRTRLPTTSLVNESKIYGRENDKNKILDLLKKKGIGGNVSVIPIVGMGGVGKTTLAQLIFNDATLVFDLKSWVFVGEDFDVLTMTKTILQSVVCEGTYGEDLNLLQIKLKDKLSQKKFLIVLDDVWTENYDNWTLFCSPFAVGAPGSIIIITTRNESVSSMMGTIRGYSLKQLSHEDCFSVFAQHALGAINFDEHSELEDIGKEIVKRCHGLPLAAKALGGLLRGTANRNIWEEVLNNNIWDLPDYKNNIIPALRLSYLHLPSHLKRCFAYCSIFPKDYEFDLDELVLLWMAEGFLYISKKMKQLKDLGCQYFHDLLSRSFFQQSTRNKSRYVIHDLMHDLAQSVTEETCFHLGEKMDDTNLCTKVRHSSFTTHWYDTWLRFEGFHKVTGLRTFLALPKHYGHLTGKVLQDLMPKLKCLRALSLSGYWIEELPSSISALKHLRYLNLSCTLIKRLPKSVAELLNLQTLILSGCVCLIELPSCIGNLINLQCLDISGTDELNEMPLQIGNLANIHTLTKFIVGKDNGLNIKELKKFPHISGFLHISRIENVLNIREAELANLMEKQRLQELDLEWAELDLNSYGSRDPSDEVQVLNSLRPPQNLLRLSIKSFGGTELPLWIGDPTFSNMARLKLCNCGNITSLPPLGQLPSLEELSIQGMNRVKKVGVEFHGNGLSFACLKTLTIQDMLEWEQWSWSRCGNEEAAVTFPNLHKLELRNCPKLSSKLPSCLQSLQELSIEYCQEMVLRSLSDLTSLTILRIHEVSGLVSLHEAHIETLVALEDLRIEACHQLKYLWKYGTSLYKLACLTHLGVNGCEQLVSLIEGEEGQLPCNLQVLKIIGCHNLDKLPNGLHSLTSLRDLIISFCTKLLSFPATRLPYSLRCLEIQGCDSLESLPEGIMCDVNDIKQTSVLETLKICTCRSLMSCPIGEFPASLKTLKIKCCNWPTQLLQSAFHGLSQLRYLSIVDCQQLLSFQETGLPMHSLISLTIGQCENLRLLPNPMHDLHSLKYLYINNCEGLVSFPEGGLPVNLTELSISSCGGLESFPQRGLPPKLTQLSIQYCKNIKQPMREWGLHKLTSLRHFRIYGSSPSTKMFDSFPDADGLLLPTSLTYVKICELENLKSISKGLQKVTSLQCLCFESCQNLLYLPEEGFPDTLEHLIIIGCPHLAERCCKNKGVYWPIISHLPYVET >Manes.01G136100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32900732:32904312:-1 gene:Manes.01G136100.v8.1 transcript:Manes.01G136100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELKILFLFFIFSFLPKIELNSQFSRYSLKKLNLRRDHRSSWSLGDSVKEVKMIKAVMAINTQGKPRLTKFYDFLTVEKQQELIRSVFGVLCSRAENVSNFMEADSIFGPDSRLVYKHYATLYFVFVFDTSENELAVLDLIQVFVETLDKCFRNVCELDIVYNYSKLHTILDEIIFGGQVLETSSSEVMKAVEAISKLESSSNSITLVPKTVSGWRNR >Manes.16G013800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1338129:1341450:1 gene:Manes.16G013800.v8.1 transcript:Manes.16G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFKLSISLSLLLSLAVTAKAQPKYIHHFCSNTTIFSTNSTYQANLYVLFSFLSTNASRPLGFHYTSAGQNPDDVYGSFLCRGDYSPDVCQDCVSFATQVDLARRCPVEEEAMIWYDQCFVRYSNSSFFSTMEQEPMIYNRNVNNVTDVGLLRYLLNTTMSVAAAEAASIPSEAKKFAVKEVDFEESQKLYYLVQCTPDLSGSDCNSCLQIAISLLLEYCDRSKGGRMWCPSCGVRYEMYIFFNTTVLGAPPFSPVDVLPPATRRTTEIGTSPPEMIYTGKRGISTVTIVAIVAAIFVSVVLSVLRFCLARKKCNGIEDDKEEGGEEISSLQFDLSTLTVATKDFSDDNKLGEGGFGQVYKGTLPDGQEIAVKRLSRSSVQGAGEFKNEVLILAKLQHRNLVKLMGYCLEAHEKILVYEFVPNKSLDYFLFDPEKRGQLDWRRRYKIIEGIATGCLYLHEDSRPRVIHRDLKASNILLDKDMNPKISDFGMARIFGVDQSHADTSRIAGTLGYMPPEYAMHGLFSVKSDAYSFGVLVLEIISGKKINSFIQTDSGTDGLVGYAWKHWRNGTPMEVLDPNLVDSYSRNEVLTCIQIGLLCVQKDPAERPTMKTVVLMLKSYSATLPVPQEPAFFIHGASRWRFTLNGLESDQSASKTVSCSVDEASITEVHPR >Manes.16G013800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1338129:1341450:1 gene:Manes.16G013800.v8.1 transcript:Manes.16G013800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFKLSISLSLLLSLAVTAKAQPKYIHHFCSNTTIFSTNSTYQANLYVLFSFLSTNASRPLGFHYTSAGQNPDDVYGSFLCRGDYSPDVCQDCVSFATQVDLARRCPVEEEAMIWYDQCFVRYSNSSFFSTMEQEPMIYNRNVNNVTDVGLLRYLLNTTMSVAAAEAASIPSEAKKFAVKEVDFEESQKLYYLVQCTPDLSGSDCNSCLQIAISLLLEYCDRSKGGRMWCPSCGVRYEMYIFFNTTVLGAPPFSPVDVLPPATRRTTEIGTSPPEMIYTGKRGISTVTIVAIVAAIFVSVVLSVLRFCLARKKCNGIEDDKEEGGEEISSLQFDLSTLTVATKDFSDDNKLGEGGFGQVYKGTLPDGQEIAVKRLSRSSVQDPEKRGQLDWRRRYKIIEGIATGCLYLHEDSRPRVIHRDLKASNILLDKDMNPKISDFGMARIFGVDQSHADTSRIAGTLGYMPPEYAMHGLFSVKSDAYSFGVLVLEIISGKKINSFIQTDSGTDGLVGYAWKHWRNGTPMEVLDPNLVDSYSRNEVLTCIQIGLLCVQKDPAERPTMKTVVLMLKSYSATLPVPQEPAFFIHGASRWRFTLNGLESDQSASKTVSCSVDEASITEVHPR >Manes.05G078900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6459495:6462064:-1 gene:Manes.05G078900.v8.1 transcript:Manes.05G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGKFSLVVLLLSLLVLCAGLVLATTDPELKQCKDQCKRQRQYDEDQKRECLDRCEKYYKEKEEQERKGEGRKEEGRGTGRRDEKMQELCESPCEWLFGEGRALCRLRCRMRYEKDHHEREEEKEGRKENPYVFEERHFTSKARSEHGRLDVLQKFTEESGVLRGIENYRVAVVEAAPQTFVSPTHWDSDAVLFVASGRGTITGIQEEKRRSFNIEEGDVMRVSAGTPVYLINRDEDERLFIVSFLRPVNIPGETEAFRAPGSQDHESFYSAFSWELLEAALKTDRRRLERILNPNQEIFTKASKEQIQGMSQPEEGAGIWPFRGESSGPYNLLHQRPVQSNNHGQLYEVDRRYYEQQLEDLDISVSFANITRGSMIGPYYNSRATKVAVVVDGEGYFEMACPHVGRESQTGSTYQKISSRLRRGTVFIVPAGHPVASVASRNSNLQVLCFEVNARGNTRYPLAGKNNIVNKMQREAKELAFGVREREVDQVFGSQSGQWFFPGPRQRQWEGRADA >Manes.14G055900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4778822:4788295:-1 gene:Manes.14G055900.v8.1 transcript:Manes.14G055900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGLVSEPSSQQEVFQWLKNLPLAPEYHPTLAEFQDPIAYIFKIEKEAAKYGICKIVPPVLAAPRKAAIANLNRSLAARAGSSSSKSPPTFTTRQQQIGFCPRKPRPVQKPVWQSGENYTFQEFETKAKSFERSYLKKCSKKGAPSPLEIETLYWKATVDKPFSVEYANDMPGSAFSPKKTGGKEVAEGVTVGETDWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYVAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPREAAVAFEEVVRVHGYGGEMNPLVTFAILGEKTTVMSPEVFVGAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEAANIATPEWLRVAKHAAIRRASINYPPMVSHFQLLYDLALELCTRMPLSISAKPRSSRLKDKQKGEGETLVKELFIKNVIHNNGLLHILGKGSSIVLLPRSSSDISVCSNLRVGSQLRASPALGSWSNKGIMKSSKDSVSDEIMLERNNRINHAKGLFSVKEKFASLCGRNRFSSLDGNDSMNSTETGNENRESIHGDKLSDQRLFSCVTCGILSFDCIAVIQPREAAARYLMSADCSFFNDWMVGSGVTKDGFTIAHGDTNTSEQNSSTKWVEKNNVDGLYDVPVQSANYQIQMMDQNIVASNVETQRATSALGLLALNYGNSSDSEDDQVEPDVSHQATEIDMTNCSSESKHQYQISALPSFKQEFHHDTTGSHIVSLSRHDNGHEVTLQTLDGHAEHGHGHMPANFKDGSDQTLDSSVEFETDNLASLESNGLEHTFKDSMLTSLKTSSCSPVIHDTEKVVVPRENTDESFAQRSDEDSSRMHVFCLEHAVEVEQQCRPIGGVHILLLCHPEYPRIEAEAKLVTEELGIDYFWNDITFRDATKEDKDNIQSALDSEEAIPGNGDWAVKLGINLFYSANLGRSSLYSKQMPYNSVIYKAFGRVSPASLPTKFNVYRRKPSKQKKVVAGRWCGKVWMSNQVHPFLTKQDSDDQDQEQEQDRSFRGWTRPDEKLERKSENIYKTETTLAARKSGRKRRMTVPSGPDNKINKQYSRIQRTRTNQAKYAQTVREISDDSLEGDIHEWHGRVPKSTLAKFTREDAVSDDSLEESSRRSVKRVHRSERATYFEMEDAISDDSLENDSLQQNRTSGGSQAKFIERDGEVSDDVLEENAYQQHTGSRRSRESKFIDRESAVSDDQLEGNTYQQRMRIFRTKQAKISKTENAISDDSSEENVQQQRRGIPRRKRAKFVGSEDAVSDDLLEDDTPLEHRRRTPRSRKAKVVGREDAVSDDLLEDNTHLEHRRMPRSRKAKVVGTEGVSDDLQDNRQWQPRKTPRGKQAQFIDSEDVSDDQLEEDAHWQPRKLSRCKQAVSIEREDVSIDLEEGNTHWQPKMTPSRKQAKFSESEDVSDDLQEDDNHWQPRKTPRGKQPKLIEREDAVLDDLVEEYSNKQQQRILRSKQKKPVALSKMKRGAIELVKQGSSRPKKKENFRSIKQEKQMPETPRLRNGKAKHNARRVESRDEELEGGPSTRLRKRPSKASKESETKLKEKLQNSRKKVKNASSVKPLSGQKNVKNKVEEAEYQCDIEGCSMSFGTKQELTVHKRNICPVKGCGKKFFSHKYLVQHRRVHLDERPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYICAEEGCGQTFRFVSDFSRHKRKTGHSVKKSRG >Manes.14G055900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4778822:4788295:-1 gene:Manes.14G055900.v8.1 transcript:Manes.14G055900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGLVSEPSSQQEVFQWLKNLPLAPEYHPTLAEFQDPIAYIFKIEKEAAKYGICKIVPPVLAAPRKAAIANLNRSLAARAGSSSSKSPPTFTTRQQQIGFCPRKPRPVQKPVWQSGENYTFQEFETKAKSFERSYLKKCSKKGAPSPLEIETLYWKATVDKPFSVEYANDMPGSAFSPKKTGGKEVAEGVTVGETDWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYVAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPREAAVAFEEVVRVHGYGGEMNPLVTFAILGEKTTVMSPEVFVGAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEAANIATPEWLRVAKHAAIRRASINYPPMVSHFQLLYDLALELCTRMPLSISAKPRSSRLKDKQKGEGETLVKELFIKNVIHNNGLLHILGKGSSIVLLPRSSSDISVCSNLRVGSQLRASPALGSWSNKGIMKSSKDSVSDEIMLERNNRINHAKGLFSVKEKFASLCGRNRFSSLDGNDSMNSTETGNENRESIHGDKLSDQRLFSCVTCGILSFDCIAVIQPREAAARYLMSADCSFFNDWMVGSGVTKDGFTIAHGDTNTSEQNSSTKWVEKNNVDGLYDVPVQSANYQIQMMDQNIVASNVETQRATSALGLLALNYGNSSDSEDDQVEPDVSHQATEIDMTNCSSESKHQYQISALPSFKQEFHHDTTGSHIVSLSRHDNGHEVTLQTLDGHAEHGHGHMPANFKDGSDQTLDSSVEFETDNLASLESNGLEHTFKDSMLTSLKTSSCSPVIHDTEKVVVPRENTDESFAQRSDEDSSRMHVFCLEHAVEVEQQCRPIGGVHILLLCHPEYPRIEAEAKLVTEELGIDYFWNDITFRDATKEDKDNIQSALDSEEAIPGNGDWAVKLGINLFYSANLGRSSLYSKQMPYNSVIYKAFGRVSPASLPTKFNVYRRKPSKQKKVVAGRWCGKVWMSNQVHPFLTKQDSDDQDQEQEQDRSFRGWTRPDEKLERKSENIYKTETTLAARKSGRKRRMTVPSGPGKKVKCLDAEDAASDESEEDVSHKQHTRVYSRKQTKRIEREVSLDSLEDDFHLHYEKRTHRNKQAKSVDREDAISDDSLRCNTNQHRRTLRSKQAKYIESENDISYAFADNKINKQYSRIQRTRTNQAKYAQTVREISDDSLEGDIHEWHGRVPKSTLAKFTREDAVSDDSLEESSRRSVKRVHRSERATYFEMEDAISDDSLENDSLQQNRTSGGSQAKFIERDGEVSDDVLEENAYQQHTGSRRSRESKFIDRESAVSDDQLEGNTYQQRMRIFRTKQAKISKTENAISDDSSEENVQQQRRGIPRRKRAKFVGSEDAVSDDLLEDDTPLEHRRRTPRSRKAKVVGREDAVSDDLLEDNTHLEHRRMPRSRKAKVVGTEGVSDDLQDNRQWQPRKTPRGKQAQFIDSEDVSDDQLEEDAHWQPRKLSRCKQAVSIEREDVSIDLEEGNTHWQPKMTPSRKQAKFSESEDVSDDLQEDDNHWQPRKTPRGKQPKLIEREDAVLDDLVEEYSNKQQQRILRSKQKKPVALSKMKRGAIELVKQGSSRPKKKENFRSIKQEKQMPETPRLRNGKAKHNARRVESRDEELEGGPSTRLRKRPSKASKESETKLKEKLQNSRKKVKNASSVKPLSGQKNVKNKVEEAEYQCDIEGCSMSFGTKQELTVHKRNICPVKGCGKKFFSHKYLVQHRRVHLDERPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYICAEEGCGQTFRFVSDFSRHKRKTGHSVKKSRG >Manes.17G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26332553:26334194:1 gene:Manes.17G063600.v8.1 transcript:Manes.17G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLSVSIVFLFAFFVGPFMVAAAGDFNQDVDLTWGGDRAKILEGGNILSLTLDKGSGSGFQSKREYVFGRIDMEIKLVAGNSAGTVTSYYLSSQGPYHDEIDFEFLGNLSGDPYTVHTNVYTQGKGNREQQFHLWFDPTKNFHLYSIVWNPQRIIFLVDNIPIRVYENDESVGVPFPKNQPMKLYSSLWNADQWATRGGLVKTDWSKAPFTAYYRNFNANACFLSTSSGLSSCGSKNSDNLMRSHDWRTQELDANGRRMLRWVQRYFMIYNYCADVKRFPQGRPRECRRFRFF >Manes.15G084300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6489771:6489977:-1 gene:Manes.15G084300.v8.1 transcript:Manes.15G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKIRRSKPVAGSCSRCGRGASVADMRTATRFCCIPFYWKSWKAIMCTFCGAILKSYR >Manes.10G023801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2276163:2279396:1 gene:Manes.10G023801.v8.1 transcript:Manes.10G023801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVWLASFLLLFHFHFQASVSFSLNSNSSAMLCQRDQSLALLQFKKTFSIAKAVFVSPYYPKPYLKTKYWKEGTDCCWWDGITCDMETGNVIGLHLSNSFLYGPIYSNNPLFSLRHLRKLDLSFNDFNHSRIVPQFGQFSKLTHLNLSYSRFVGQIPSEITYLSGLLSLDLSWNNGLISETTIFTNLVQNLTQLRELDLSDVNMSVVAPSSLMNSSSSLTSLKLEICEMQGKIPDISRLSKLVSLDISWNYGGLSIEPMIFDKLVRNLTKIRELHLSYVNMSMVEPSSLMNISSYLSSLELPYCELKGKFPDNIIQRSNLQLLYLSGNGDLNGSLPRHNWNNSLRSLSLSFTQFPIYLDYDFISNLKSLETLGLSSCNFRVSNLELLGKLTRLIALDISSNNFSGQISSSIGSLKRLFYLDLSYNNFSGEIPSSFKNLKQLQILKLQNNHCSGPIPHYFTNFTLLYFLGLSNNLLNATIPPSVFILPQLRSLFLDNNQLTGRLGPFQENPLVHIDLRNNKLYGFIPSSIFKLVDLNVLILSSNKLIGEVSSAVCKLNSLQILDLSNNSLNGFIPQCLGNFSNDLLVLHLGMNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMGQPNYSYHYSVSLTLKGVEIELVRIQTFLTTIDLSGNKFTGEIPQSIGKLKALKLLNLSHNQLTGNIQPSLRKLSNLESLDLSSNFLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDKSSYEGNLGLCGFPLEKCNNGERQKPEISKEDDSNSKFGFGWQPVVAGYGCGVIFGIAMGYRVFKTRKPIWFVRIFEAQRRPKPKRFKN >Manes.02G215130.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:35742603:35743414:-1 gene:Manes.02G215130.v8.1 transcript:Manes.02G215130.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFVVDVIVIVFLGLTENLPGGRSGRRRRRRRGGRRRRSEKRRRRRRGGRGRKENGYFSLFN >Manes.03G167100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29277609:29280815:1 gene:Manes.03G167100.v8.1 transcript:Manes.03G167100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWILKMGNQVSANIKHALLEPYKKKNPKRPDTKPRETIGILSFEVANVMSKTVNLHKSLTDSEVSKLKAEILKSEGVNNLVSTDETYLLQLALSEKLDDLSRVATVVSRLGKKCSEPALQGFEHVYGDIASGIIDVKHLGFLVKDMEGMVKKMERYVNSTSNLFAEMEVLNELEQATKKFQQNQHEESRRAFEQKLIWQKQDVKHLKEISLWNQTYDKVVELLARTVCTIYARICEVLGESALRKESFWTNGEIGSSTSVKGDFGEFSGQIMISGHLRRAISRKSSNGFQSGSTEKPVMLKRETSVKSRTDSRRCEELLFRTEETIFPCGTSPRRLFVNCLNLSSSASKLDNDEGDVIDNEDRRSQISGCCSFGNGSMKSENPLISACSDQILSGFSFSGDHRQARLTLHASTSTVGGSALALRYANVIIVIEKLLRYPHLVGDEARDDLYQMLPTSLRMCLRTNLKSYVKGLAIYDALLAHDWKETLDGILRWLAPLAHNMIRWQSERNFEQHQILKRTNVLLLQTLYFADRGKTEAAICELLGGLNYICRYEHQQNALFDCASSFDFEDCMQWQMQCRSAFVD >Manes.05G086318.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7486419:7486736:1 gene:Manes.05G086318.v8.1 transcript:Manes.05G086318.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMCPRKNAWPKLVRKNRDSAVSIIEKENKNVNAIVLKDGMPVTKDFRCNRVWIWVNKNKMVIRVLTIG >Manes.01G097500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29893872:29896721:-1 gene:Manes.01G097500.v8.1 transcript:Manes.01G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVPCPKNSLVARVGSNATNPHPKSTISFTSRASNLLGFQWPDRKQSLVIKVQAQLNEAIFEKSSNSAPVLDTNSKATSPEKKDEPAENIQDASSVLAFMTQVSDLVKLVDSRDITELQLKQLDCELIIRKKEALQPPPAAAPVTFVQPHYQNPTLPSPPPAAPASPPPSSTPPAPVLALPSPAKTSTSSHAPLKCPMAGTFYRSPAPGEPPFVKVGDRVQKGQVVCIIEAMKLMNEIEADQSGTIAEILVEDGKPVSVDMPLFVIAP >Manes.01G012000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3592880:3595128:1 gene:Manes.01G012000.v8.1 transcript:Manes.01G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINERKAANALAGKIVRPCDGCFSKRARWFCAADDAFLCRSCEESVHSANQLAGRHERVRLESVVSPPAWLQGFNRKARTPRHKNNKSLLARQQLEHEEEFLMNPLLLVPEIGNEEESNIVADEDEDQVPCRVPVFDPFAAELCVDDMITYEGTEIAAMGNEEGNVIFDDSGHGGTASDLDNLPEFLPSDMDLGEFAADIENLLGSGLEDSSPDTKASRVLDSKDEGDEKFSYEYKVVKVKDEQDQLEAIFDWDFNYESPTTVEEMNCHGNKKEMKRNVFLRLNYEAIINAWASHGSPWTTGPRPELNPDGCWPHCMGMCCNARGRHPYVAGVGGGAGGGNAGREARVLRYKEKRRTRLFSKKIRYEVRRLNAEKRPRMKGRFVKRTSFMGTAFPYINR >Manes.09G023600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4770397:4771452:1 gene:Manes.09G023600.v8.1 transcript:Manes.09G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGTSLHNQLPAVMEAPPAVADPSRYSHRSIEKLVVVLAVITIVGVIAGIIARLCGGRHFGSNGEHDIEGWIERRCRSCIDGGIPTAPPPLPPAEEAKPATEEAKK >Manes.16G048201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9045819:9048227:1 gene:Manes.16G048201.v8.1 transcript:Manes.16G048201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDAPALDLHRNAGSSSVMMSRSMNEMQMEVQRRLHEQLEVQRHLQLRIEAHGKYMQNMLEKAYQTLASENMASGSYKGIGNQGVPDMGGGMKDFGAINFPQFQDLNIYGGDQLDLHQHNMERPSSLEGFMPNSDNICLGKKRSSPYGGSGKSPLIWPDDLRLQDLGSAPTCLGPQDDHLFKGDHHQIQISPSSMDRGTDLDSISDIYETKPPVIQSDSMSDKNAKLERPSPRRAPLPADRMSPMMNATGAMQQERNPNFG >Manes.11G147000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31151314:31154306:-1 gene:Manes.11G147000.v8.1 transcript:Manes.11G147000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFFSLHCAHRFNMFESKSIDMKTVILFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKFSLFLLLVGVGIASVTDLQLNFVGTILSMLAIVTTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAVLFVSGPLVDQFLTKKNVFAYKYSSIVLAFIILSCLISVAVNFSTFMVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTVRNIIGILVAIFGMGLYSYFCTQENKKKQSVDLSLGPQMKEKDSTPLIAVQDKESHEAKKSLV >Manes.11G147000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31151314:31154306:-1 gene:Manes.11G147000.v8.1 transcript:Manes.11G147000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFFSLHCAHRFNMFESKSIDMKTVILFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKFSLFLLLVGVGIASVTDLQLNFVGTILSMLAILTNTIQKRLNVSSTQLLYQSAPFQAAVLFVSGPLVDQFLTKKNVFAYKYSSIVLAFIILSCLISVAVNFSTFMVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTVRNIIGILVAIFGMGLYSYFCTQENKKKQSVDLSLGPQMKEKDSTPLIAVQDKESHEAKKSLV >Manes.11G147000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31151314:31154306:-1 gene:Manes.11G147000.v8.1 transcript:Manes.11G147000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFFSLHCAHRFNMFESKSIDMKTVILFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKFSLFLLLVGVGIASVTDLQLNFVGTILSMLAIVTTCVGQILLYQSAPFQAAVLFVSGPLVDQFLTKKNVFAYKYSSIVLAFIILSCLISVAVNFSTFMVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTVRNIIGILVAIFGMGLYSYFCTQENKKKQSVDLSLGPQMKEKDSTPLIAVQDKESHEAKKSLV >Manes.11G147000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31151314:31154306:-1 gene:Manes.11G147000.v8.1 transcript:Manes.11G147000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFFSLHCAHRFNMFESKSIDMKTVILFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKFSLFLLLVGVGIASVTDLQLNFVGTILSMLAIVTTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAVLFVSGPLVDQFLTKKNVFAYKYSSIVLAFIILSCLISVAVNFSTFMVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTVRNIIGILVAIFGMGLYSYFCTQENKKKQSVDLSLGPQMKEKDSTPLIAVQDKESHEAKKSLV >Manes.11G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16646935:16649558:-1 gene:Manes.11G089200.v8.1 transcript:Manes.11G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVQDEESLSVNKIQPLASTPMAEYLKDREGKKQCNATRLKKVLGVEDFFSLMVWRASMSEFLGTAVLVFAIDTIVISTIESETKLPNLILSILVAITITILLLATYPISGGHINPLVTFSALLTGLISISKAFIYILAQCAGGVVGALALKAVVNNNIESTFSLGGCTLHIVAPGPNGPTVIGLETGQALWLEIICGFVFLFASVLMAFDHRQAKALGHVTIFTIVGIVLGLLVYVSTSVTTAKGYAGAGLNPARCLGPALVRGGHLWDGHWVFWLGPAISAVAFSLYKKIIPPQLSHTVL >Manes.08G100500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447098:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVIPAFEEAVSGMALGGVRSDAG >Manes.08G100500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33449357:33451528:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEVRNASPFLE >Manes.08G100500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447098:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVKTYFLLV >Manes.08G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447098:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVIPAFEEAVSGMALGGVRRIIVPPELGYPESDFNRSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIMPS >Manes.08G100500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447078:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVIPAFEEAVSGMALGGVRRIIVPPELGYPESDFNRSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIMPS >Manes.08G100500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447078:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVIPAFEEAVSGMALGGVRSDAG >Manes.08G100500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447078:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVIPAFEEAVSGMALGGVRRIIVPPELGYPESDFNRSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIMPS >Manes.08G100500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447098:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVIPAFEEAVSGMALGGVRRIIVPPELGYPESDFNRSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIMPS >Manes.08G100500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447098:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVIPAFEEAVSGMALGGVRRIIVPPELGYPESDFNRSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIMPS >Manes.08G100500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33447098:33451690:-1 gene:Manes.08G100500.v8.1 transcript:Manes.08G100500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISVLGIPSVTAGRASTAAATTPTTRWSRPIASQPSGFPNSTLCVQSSSMSYRRDIGRREAVILSVGLVSGALWNATIDGGALASEFADMPAIRGKDYGKSKMRYPDYTETDSGLQYKDLRVGDGPKPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGNEKDFFKFKLGSGEVIPAFEEAVSGMALGGVRSDAG >Manes.09G033100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6635858:6638867:-1 gene:Manes.09G033100.v8.1 transcript:Manes.09G033100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSHKRRTLSMLKWVSSNFVDSLETPQWPMGSLFCTANNPRFYGRKRIVRNENGDNVANASLDNGQSTANFSRATRKAAQSALLEYLHLTRNIPFMDAQDMSLNSPHFLGNLLQKVDIDTDIWGSVARFLRYHPINEFEPFFESLGLKPYEYTPLLPRDLMFIKDDDLMLENFHVLCDYGIPRNKIGRIYKEAMQIFRYNHGVLGLKLQAYQQLGLSQSFVGKVVACSPYLLIGDVNIDFMKVLEILRKGGIELSWIEGQLLENRYNWSQMLSLLNLFIKIGYSEEQLGGVISQHPGIIFEGSGYKTLSLIGFLFKFGSSMDQICSIFLHFSHMQIGKFVSNLRRCFLFLTEIEMDMNEIGKIVRSHPLSLGSCALKKTNTILCSLNVGKKRVRKIIQENPQEMMKWVMGSRIEQIPSSGEESRMLKLKFLLDMGLVENPNKMENALKVYRGRGTELQERFDCIMKAGVDRKDVCEMIKLSPQILNQTKEVIENKIDFLVNDLGYPVSCLVNFPSYLSYTRQRVELRLAMYNWLKEQGSFDSLLALSTIVACTENYFIREYVNQHPRGLEVWQDLKEKLCS >Manes.S031316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:753779:754591:-1 gene:Manes.S031316.v8.1 transcript:Manes.S031316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.12G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3067605:3073141:-1 gene:Manes.12G034800.v8.1 transcript:Manes.12G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLNKLFSRSLCVAGKWQQQQLRRLNIHEYQGADLMSKYGINVPKGVAVSSVDEVRKAIQEVFPNESELVVKSQILAGGRGLGKFKDGFQGGVHIVKVDKVEETAGKMLGQILVTKQSGPQGKVVSKVYLCEKLSLVNEMYFAIMLDRQTAGPLMIACKKGGTSIEDLAEKFPDLIIKVPIDVFKGITDEDAEKVVDGLAPKVADRKDSIEQVKKLYKLFCECDCTLLEINPIAETSDNLLVAADAKLNFDDNAAFRQKEIFNLRDPTQEDAREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDDKVKSILVNIFGGIMRCDVIASGIVNAAKQVALKVPVVVRLEGTNVDLGKRILKESGMELITAEDLDDAAEKAVKAAAS >Manes.16G085250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29158278:29159499:-1 gene:Manes.16G085250.v8.1 transcript:Manes.16G085250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCSKEGLNRGAWTAMEDRILTAYVTANGEGKWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLRKKASAQSTSPQSNYSRQKRLATEPNSSPQPAKVIRTRATRCTKVLIPSQSPPLLPEFHPSQDLDSSPPLHCGAVTNEEVDQDLDLLNFLDCRGFQDSHGDGALLDFQSKDLALEDPPMFKDLANTASLEDNANLDLDSLVYLLDSEEWPLH >Manes.08G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2312686:2318241:-1 gene:Manes.08G023000.v8.1 transcript:Manes.08G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSRTCEIVEAREELNSAKHSKRTFESHYGINVGDNERESPVLKMGYRNSLEDDINQLFEAISLKNSSKGLILSSQAGPSSSPLRKSAMKRPITVPHSPRTGNSEQVSLKQALRELCISKASEMAAMRRLTKSTGSPGASEAGRIKSLYNSVVVETSGSDLHVDEGKGTMVEISLLPEETKSNSYEKMLHQLQVPKSSNQSAHTSSQSLISKYQHQSAHSYPRFAVPATQTGSGTGTASMEGEIASASRKVGTEALKSPDQSTSSSPRFSVPKMHNDIGTTSIQNEVGSSTRKVGSQATKSLSQSAHSSNRSAVPFTKSGTGTTSLQNDINSTSTKIGTQALKTEVVQKEKSMPATSLSCSDSVNNTPDEKTVSTSIKVAKKTSTPRTGRKGKLYSLSSSSSINGNRVNKFTRNTPRLARPVMRNKSSVKKKIKQSAASTACISNEGNNSLAPSTGQLVCQKCQCALRNETEDLKQDLSPSFSASFSAEVSSNHVNAGASKPDFSSSNSNGNRSIGKARKNTKSKEKGEFSQSSKSSLGEYSTSTSNSDESNASRPSCCNRPHMSKDVRWEVIRHVKMQDKVLSLSHFNILKKLGCGDIGTVYLAELIGSNCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYAQFTSDNLSCLVMEYCPGGDLHVLRQKQPGGSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLTDFDLSLRCSVSPTLLKSTDADPVRISGPCTESSCIQPFCIEPACQVPCFSPRFSPAVAKTRKLKAEMASQIRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGRGHGAAVDWWTFGIFLYELLYGRSPFKGSCNEETLDNVISQSLKFPDSPLVSFQARDLIWGLLSKDPENRLGTEKGAAEIKQHPFFEGLNWALIRCAIPPELPESYDFGVSCENSSKYLEYKTTGEHLEFELF >Manes.11G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3383143:3391810:1 gene:Manes.11G034600.v8.1 transcript:Manes.11G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCKDGKQPANFDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQFIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTTLATKDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVICERSLKNTQNGPSMPPVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEVSQSSVTNWGRRPAALRALSQRLSRGFNEALNGFTDEGWSMMGNDGMDDVTILVNSSPEKLMGLNLPFTNGFPAVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYSAAAIKVGPSGLPGSRVGSFGGQVILPLAHTIEHEEFLEVIKLEGVSHSPEDPMMPRDMFLLQLCSGMDENAIGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPAGNKSSTDYSANSGCVRSVMTIAFEFAFESHMQDHVASMARQYVRSIISSVQRVALALSPSHLGSHAGLRSPLGTPEAQTLARWICQSYRCYLGVELLKSSSEGSETILKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDVTLEKIFDDHGRKTLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEETAHCVCFMFINWSFV >Manes.11G060085.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8405867:8406456:-1 gene:Manes.11G060085.v8.1 transcript:Manes.11G060085.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRILSHYFTTLPEKLRKKKICHHSTLVISQISSTILMASTKH >Manes.12G041000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3886971:3899808:1 gene:Manes.12G041000.v8.1 transcript:Manes.12G041000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYAPSTSCVKLHMWTTCVREKSYLIRDSHFRTSQRKYSCGSCKVVKLPFWKTSSTGLSNLKPSRCKREISSRCSCLGALVDPDGATASGLVSISDQLLLMASIALTYMAGVIPTDRPNLNSWRNMADDNVVHETAASSGSAKKNQDHANVKYAWDSVKEKLLDALHSIEHKSNIGNRIREIEQQRAKQPLSLYAISEGPKFRLLWASFKQLEDEVNTIFGDCEDFNLDDWPTVFPEIILKSCNCICMAWLVEELRLENKKLDKELLSLIIQKLKGDETVLQTIRKSGKEGLYGELLYFLRFGSLRKNCCYNQVLFTLHGDSILEDLVITLADGIASMYLELISVDGNLTNEMNNLGMIMCSLSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCTLQSMIIEETSQNQTGNPSWWKNLTRRKSGMMPSSFCYVVISQFPISVKRTKELKALTGWRYYFSLYLELSDISMPLIRAVIDKVGSAVSFFLRTLIGRSLGLIYTGIRQSLRWK >Manes.03G039100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3449855:3453423:1 gene:Manes.03G039100.v8.1 transcript:Manes.03G039100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVLYSVGLSLLLLLVLYGAGRVSYSIWWKPKLLERKLKQQGIKGTHYKVLLGDMKDYIRMITEAWSKPMNLTHQIVQRVDPFTLHVVQNYGKTSMFWNGKTPRLIISNPELMKEILLNKLGHIQKPPINPLILILARGLTVLEGEKWAIHRRLINPAFHLEKLKGMVPVFAMSCKEMIDQWKKKLSDEEICEVDVWPELQRTAKDIISRAAFGSNYEQGKKIFQLQHELMMLTMEAMQTLYIPGFRFVPTKKNQRRNKLNIEITSMLRDMVEKKQNEMRTGRSRVDDLLSLLLHSSEQQNSSGTANSTVNNGLTIEEIIEECKVFYLAGQETTSCLLTWTMIVLAMHPDWQEKAREEVLQICGNEEPDFEALTHLKIVTMILNEVLRLYPPAIALYQHTCKETKIGDITIPAGVDLILPTMLIHRDPEFWGEDAEEFRPDRFSEGISKASKDHLAFFPFGWGPRICIGQNFAMLEAKVALAMILQNFSLELSPSYTHAPCTVMLLQPQHGAQIFIRRL >Manes.02G221966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36805657:36807205:1 gene:Manes.02G221966.v8.1 transcript:Manes.02G221966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKMTLKREQVEELSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKKFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKACKATWDESSDTEEEEVGDEIANMCFMALEESSNEVTILDDSTLCDDVVEFSYDELVGALKLMNDELEKSHKKNKILKCELASFKKESENSPKEPLPSNDSLQKSLDELSLENKNLKNEILELKNSLSKFLKGKDKLDEILDS >Manes.17G094400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30251862:30256059:-1 gene:Manes.17G094400.v8.1 transcript:Manes.17G094400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPHRNIEGEEEEEQHEKISLHDVELKVSIKSKSCETVSSSSSTSSPFKFNVQAPEFVPRSHTPAPAAQTQMPISGYFYPCFHYLGPTAGSDWFFLGEQDPHAYLISNPNLALPNSSNKTTLLTDDLRQKIVKQVEYQFSDMSLLANESMSKHISKDPEGYVLISIIASTKKMKSLVNNNHLLAQALQSSSKLVVSEDGKKVKRKIPFTEKDREELQCRTVVVENLPEDHSHQNLEKIFSVVGSVRTIRICHPQESNSSRSKSDFFMSNKLHALVEFEDPDTAEKAAEKLNDERNWRKGLRVRLLPRSSPKSVLKSRKSEFDGLLDEEELPVPESNGDSSQMHNAESVTETNVEENSGALKKGWARGRGKGRGRGQIHGGRGLLASSPQPVSSPQCEASGKSTFRGPRMPDGTRGFTMGRGKPVGSPALASPMVE >Manes.17G094400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30251481:30256303:-1 gene:Manes.17G094400.v8.1 transcript:Manes.17G094400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPHRNIEGEEEEEQHEKISLHDVELKVSIKSKSCETVSSSSSTSSPFKFNVQAPEFVPRSHTPAPAAQTQMPISGYFYPCFHYLGPTAGSDWFFLGEQDPHAYLISNPNLALPNSSNKTTLLTDDLRQKIVKQVEYQFSDMSLLANESMSKHISKDPEGYVLISIIASTKKMKSLVNNNHLLAQALQSSSKLVVSEDGKKVKRKIPFTEKDREELQCRTVVVENLPEDHSHQNLEKIFSVVGSVRTIRICHPQESNSSRSKSDFFMSNKLHALVEFEDPDTAEKAAEKLNDERNWRKGLRVRLLPRSSPKSVLKSRKSEFDGLLDEEELPVPESNGDSSQMHNAESVTETNVEENSGALKKGWARGRGKGRGRGQIHGGRGLLASSPQPVSSPQCEASGKSTFRGPRMPDGTRGFTMGRGKPVGSPALASPMVE >Manes.10G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31329332:31333213:1 gene:Manes.10G146200.v8.1 transcript:Manes.10G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKIKFISNFIIYYSNLLLGKADQWARMIVPGPNRCPTTSPLKASPPSFTLKLIENFENPPTPQPKREETERSRFHLSSEKLIPANAFGSVMEVGLQYSPKPGCPAEDDDLKYVSKLSTILVATIQEAKDRISQIEYIFCSQLYSNFQMKSKSLQKLYLEAKKEAEDSWKEKEKNLQLQIEKLLLEKQELLEEKLEKEKSLGELDNKINSLVLKETSLQVRVDELEQKVREKSKEVDDGMELHNRLLQLVQTKSSMILEKEKQLNDYEEKTNGLLAKLKSLEKKVEELEGELKRETLKVAEKKEIEKDLLKRINSLLSHLSDSAEKSERLEEDVSKLQRQLQKKTEEVEEGRVSKAQLLQQIDMDKLDILKQKQQLEKSENDKKLLLETVNALEEKMNELKENLSSCSKVAEEKDSYEKRLQQIALKETQLLAEKKKTKNIFDAYKRLKSQYKFLCAKSGLTEENMLPQIKLVAEIGSIMHQNNLTTSPDFASRHPDTSTAACEIKKVKIENDVSDLLEEDKVVKSIPTPSIHSPSSIHIAPKCLPTAKSAPVIGTKRPASRWIATRSHQNRDGPDPHDDFLNTPLQNLRRTLNKTMEEDHDLQIPIPVQKDLHSDSSDDETQDMNVVPSPEKQQMPLSMAGQKSFKYVEPVRKKAERDNLKGVECKQCKKFYDAVLPNGGKDADGNKQNFRCEHHDGVSRHRYKYVPPLTPEGFWNIGFESEM >Manes.01G173300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35471285:35476214:1 gene:Manes.01G173300.v8.1 transcript:Manes.01G173300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHFTNGERREDEEGGSGGSGGSDVVSRVVSRVSWARSLSVASSSVDTRRSEFDSECNSSRDLSDSLGFHEFLSQRRANDLRVFTFAELKSATRGFSRALLIGEGGFGCVYKGVVKVPDYEKDGIDSKMDVAIKQLNRHGFQGHKEWINEVNLLGVVKHPNLVKLVGYCAEDDERGMQRLLVYELMPNKSLEDHLLGRVPTPLLWMTRLKIAQDAARGLAYLHEEMDFQLIFRDFKTSNVLLDEDFNAKLSDFGLARQGPPEGLGHISTSVVGTVGYAAPEYVQTGRLTAKSDVWSFGVVLYELITGRRALERNLPRAEQKLLEWIRPYVSDSKKFHLIVDPRLEWQYCIKSAQKLAVLANKCLTKQPKSRPKMSEVVEMLGSIISETSSQDEVACEPVSEAEEVKEETSVGTDESIKQGKAYRKKVLDLREIVNLRNRSIGKLDWRNWTPGLVRTSE >Manes.16G043250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6962119:6963592:-1 gene:Manes.16G043250.v8.1 transcript:Manes.16G043250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQATVKAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGEVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCEDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATPLTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.16G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31965916:31970839:1 gene:Manes.16G114300.v8.1 transcript:Manes.16G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSFVTCDDPKGVVECGTIRRSKSASQKMEDKVNNHRTQKKSNTSLAYKEKREEMVPKEIIEEHHSPSSFQLREVSRGAQKLNQLIDSLSKGLSCDGQSKDIAKELLKGALDLQDSLTMLGKLQEASQYMAQLKKKQKEKPERRKFEEVGSERTSSHLSVDHNHQLGFQKPRHSTDGSSKDCIEELRNAIRDSFARQNLLPNTSSQERTKFDTRKLDSISHVPSTSSSQLSVVQSNYIPSSESTASQIALEKKGKGPSLIAKLMGLEDMPSKKLTQPPERQLDMEKNLSQQRPVFDIEMPKLKKPQPMVQKVDSEGRTLKELLETVQFQGLLKSTSVKELKSQSHQSSDFHSKQTSIHGIAPIVLIKPLRVPCFESEEAPAPMVWEEGSMNTRMMLRKMKIKGPFSSSSIDNKDSKMHRRTEADEARIKKVIKEEAKDHIEVVGLPEEREIRTIEQKEVAVKVKKVHKKLEAEKAPMKRFSNEERAKDQKRAVTGAEEKEVKENLKDSSLIKGYNPAHHQRQRKETTDKKVDKSRNLVANSRKPVEREIVKAKIVSTSQDQPRITSTKLRKPENGSVTTNHHIPEHRVTTRKSISKLTTHTNNHNSNDQKQKEKQASEHTAAKPNTDNLKGREDDERNDLIYSDHSEKEGSPMTRADQLSTEEEANDSQLQSEGYDGGDQSSLCTVTVPTSENGENAKPAEQVDDQMTRIRTDDTGFKSAYQVKYMLSCSSSFINLAKDLFHLNMSYPKILPTFGIHDSEVTDVKLSLDYAHEFIERRSLPNAQTWRSPLYYYTGDSRIHLSLDHLAEEICRGIETLRSYQKFAGDYLLTDNLYATFEKDMRCKDVVSGIWDLGWRNGFSMEEIEQALNDLEKSLVSELIEEVFS >Manes.06G007150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1198848:1200484:-1 gene:Manes.06G007150.v8.1 transcript:Manes.06G007150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSTSTWKIPATTAKRLSSADPSSSSSATSIKHLVDSKKPIKSAETSDGSLSMLYSDEEVEIVSEHVKKKQPFWKEILFGSDNPTITRDPLHSKPIPLLDGMLGAIIPSPTWFGVLMSALGVAMLECSGSPPNSMTFFRTEHFSRTTKKENFFLLLGYEILYKFLKKLHDWIAEQDLSPWTWTVMWDWIVAFPWIPALYTGAFSTELCLWVEIAAMHDVSATETAIIYGLEPLWGAGFAWFLLAKSLMAGGSLMVQTFGILTPN >Manes.09G059072.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9555054:9558493:-1 gene:Manes.09G059072.v8.1 transcript:Manes.09G059072.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILCLYVDDLIYTGNDEGMVEDFKRKMMNEFEMSDLGLMHFFLGIEVKQSSVGIFISQKKYALEILDTMNLSPIALYISISYHNKSTILYARGDTATPGLHILYARGDTATPGLLMLYARGDTATPGLLISYHIVHAISYHSTSCHNILRARGSSSIHPHHYHRTVDFGIIYKRGVKENLFGFCDSNYAGDVDDWKSTSGFVFIMSSGAISWSSKKQQIVTLSTTEAEFISAATCSCQVIWLRRLLEMLQCQQQGPTKVFCDNVSAIKISKNPVLHGRSKHIDVRYHFLRDLCNDSTVDLLFCRSEDQVADIMTKPLKQAVFVKLRGLLGVCSVDQTFT >Manes.08G074200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14716114:14719875:-1 gene:Manes.08G074200.v8.1 transcript:Manes.08G074200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTRPDIFYTEEATRSVISDLPCDLVIQINNITYLLHKFPLLPKCGLLQRLCSNSDDSSTITLELHDIPGGEEAFELCAKFCYGITINVSAHNFVPAFCAAKFLQMTESVEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTAKLTEWSENLGIIRKCIDSIVEKIPTPPAKVTWSYTYTRPGYKKTQQSAPRDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYASRWLPDTTRTRSPESSMSQTDDVTEKNRRILEAIVSMIPADKGSVSVGFLLRLLSIANYLGASPVVKTELIRKSSLQIEEATVSDLVFPSRASSSQHVYDIDLVVQVIESFLMRWRRQTPETVENTQLLRAIRKTGKLIDTYLQVVARDKNMPVPKVVSLVEALPDIARKDHDDLYKAINIYLKEHPDLSKADKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFEQDKGSRTTDPRMLTQELFSRGKQMPIPVDDLNKLHLGGDEQSVRTDGTRRTPVPESSSRDYRKKKRSDRNSQQLEPEIEEVEGKKGKDAREEGSSGSKLDPKKIIQSRSRSDHGRDKGRDR >Manes.08G074200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14716196:14723645:-1 gene:Manes.08G074200.v8.1 transcript:Manes.08G074200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTRPDIFYTEEATRSVISDLPCDLVIQINNITYLLHKLQFPLLPKCGLLQRLCSNSDDSSTITLELHDIPGGEEAFELCAKFCYGITINVSAHNFVPAFCAAKFLQMTESVEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTAKLTEWSENLGIIRKCIDSIVEKIPTPPAKVTWSYTYTRPGYKKTQQSAPRDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYASRWLPDTTRTRSPESSMSQTDDVTEKNRRILEAIVSMIPADKGSVSVGFLLRLLSIANYLGASPVVKTELIRKSSLQIEEATVSDLVFPSRASSSQHVYDIDLVVQVIESFLMRWRRQTPETVENTQLLRAIRKTGKLIDTYLQVVARDKNMPVPKVVSLVEALPDIARKDHDDLYKAINIYLKEHPDLSKADKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFEQDKGSRTTDPRMLTQELFSRGKQMPIPVDDLNKLHLGGDEQSVRTDGTRRTPVPESSSRDYRKKKRSDRNSQQLEPEIEEVEGKKGKDAREEGSSGSKLDPKKIIQSRSRSDHGRDKGRDR >Manes.08G074200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14716113:14719835:-1 gene:Manes.08G074200.v8.1 transcript:Manes.08G074200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTRPDIFYTEEATRSVISDLPCDLVIQINNITYLLHKLQFPLLPKCGLLQRLCSNSDDSSTITLELHDIPGGEEAFELCAKFCYGITINVSAHNFVPAFCAAKFLQMTESVEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTAKLTEWSENLGIIRKCIDSIVEKIPTPPAKVTWSYTYTRPGYKKTQQSAPRDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYASRWLPDTTRTRSPESSMSQTDDVTEKNRRILEAIVSMIPADKGSVSVGFLLRLLSIANYLGASPVVKTELIRKSSLQIEEATVSDLVFPSRASSSQHVYDIDLVVQVIESFLMRWRRQTPETVENTQLLRAIRKTGKLIDTYLQVVARDKNMPVPKVVSLVEALPDIARKDHDDLYKAINIYLKEHPDLSKADKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFEQDKGSRTTDPRMLTQELFSRGKQMPIPVDDLNKLHLGGDEQSVRTDGTRRTPVPESSSRDYRKKKRSDRNSQQLEPEIEEVEGKKGKDAREEGSSGSKLDPKKIIQSRSRSDHGRDKGRDR >Manes.08G074200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14716196:14723645:-1 gene:Manes.08G074200.v8.1 transcript:Manes.08G074200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTRPDIFYTEEATRSVISDLPCDLVIQINNITYLLHKLQFPLLPKCGLLQRLCSNSDDSSTITLELHDIPGGEEAFELCAKFCYGITINVSAHNFVPAFCAAKFLQMTESVEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTAKLTEWSENLGIIRKCIDSIVEKIPTPPAKVTWSYTYTRPGYKKTQQSAPRDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYASRWLPDTTRTRSPESSMSQTDDVTEKNRRILEAIVSMIPADKGSVSVGFLLRLLSIANYLGASPVVKTELIRKSSLQIEEATVSDLVFPSRASSSQHVYDIDLVVQVIESFLMRWRRQTPETVENTQLLRAIRKTGKLIDTYLQVVARDKNMPVPKVVSLVEALPDIARKDHDDLYKAINIYLKEHPDLSKADKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFEQDKGSRTTDPRMLTQELFSRGKQMPIPVDDLNKLHLGGDEQSVRTDGTRRTPVPESSSRDYRKKKRSDRNSQQLEPEIEEVEGKKGKDAREEGSSGSKLDPKKIIQSRSRSDHGRDKGRDR >Manes.08G074200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14716196:14723645:-1 gene:Manes.08G074200.v8.1 transcript:Manes.08G074200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKIGTRPDIFYTEEATRSVISDLPCDLVIQINNITYLLHKFPLLPKCGLLQRLCSNSDDSSTITLELHDIPGGEEAFELCAKFCYGITINVSAHNFVPAFCAAKFLQMTESVEKGNFVLKLEAFFNSCILEGWKDSIVTLQTTAKLTEWSENLGIIRKCIDSIVEKIPTPPAKVTWSYTYTRPGYKKTQQSAPRDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYASRWLPDTTRTRSPESSMSQTDDVTEKNRRILEAIVSMIPADKGSVSVGFLLRLLSIANYLGASPVVKTELIRKSSLQIEEATVSDLVFPSRASSSQHVYDIDLVVQVIESFLMRWRRQTPETVENTQLLRAIRKTGKLIDTYLQVVARDKNMPVPKVVSLVEALPDIARKDHDDLYKAINIYLKEHPDLSKADKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLFFEQDKGSRTTDPRMLTQELFSRGKQMPIPVDDLNKLHLGGDEQSVRTDGTRRTPVPESSSRDYRKKKRSDRNSQQLEPEIEEVEGKKGKDAREEGSSGSKLDPKKIIQSRSRSDHGRDKGRDR >Manes.06G118400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24859774:24872414:-1 gene:Manes.06G118400.v8.1 transcript:Manes.06G118400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLSGNSNIKRSSSSEDRPPSPKRQKGENGGTAEKPIPAVENSKESCPPAAADPAECEPGDAQIAGDAAGEAVSSGKDEVSPAVAVVTPIAEGSTPLVVDKPRSSFPSWSLYHKQSSTFETSMPWCKLLSQSAQNPNVVICTSSFTIGSNRNCNFSLKDQSGILCKIKHTQREGSAVAVLESTGSKGSVQVNGEVVKKNNCRPLQSGDEVVFGLMGNNAYIFQQVMTDLTVKGAEVQSSLGKLLQLERRSGDPSAVAGASILASLSSLRQDISRYKSPGQNTGKIHQGTEAPAHSVVCDGTEAEHDGLEVNSMPDVWSDKAADIGAVGNNLPHDLNQNSGKEVLEERNEWTKDSRLASTSGMTLRCAVFKEDIHAGILDGKNIEVSFDDFPYYLSESTKNVLIAASFIHLRHKEHVKYTADLTTVNPRILLAGPAGSEIYQEMLAKALANYFGAKLLIFDTHTFLGGLSSKEAEFLRDGFNTEKSCTCAKQSPIVADLSKSVNPSGVEADTPSSSNAPSSSGHESQPMMDSDVFPSSGSSRNLLFRIGDRVRYISGGLYPSASSSRGPTNGIRGKVVLVFEGNPSSKIGVRFDKPVPDGVDLGGLCEGGHGYFCNVTDLHLDNVEDLDKLLINTLFEAVHNESRNSPFILFMKDAEKSIAGNPDTCSTFKSRLEKLPDNVVAIASLTQTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKATKVLTKLFPNKVVIHMPQDEALLGSWKHQLDRDAETLKMKGNLNHLRAVLSRSGMESEGLETLCIKDQTLTHESAEKVIGWALSHHLMQNPDVETDARLVLSSESIHYGIGILQAIQNESKSLKKSLKDVITENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKETERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVVLAKEDLSPDVDFDAIASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAAVAEGKPAPTLSSGADIRPLNIDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Manes.06G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24859774:24872414:-1 gene:Manes.06G118400.v8.1 transcript:Manes.06G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLSGNSNIKRSSSSEDRPPSPKRQKGENGGTAEKPIPAVENSKESCPPAAADPAECEPGDAQIAGDAAGEAVSSGKDEVSPAVAVVTPIAEGSTPLVVDKPRSSFPSWSLYHKQSSTFETSMPWCKLLSQSAQNPNVVICTSSFTIGSNRNCNFSLKDQSGILCKIKHTQREGSAVAVLESTGSKGSVQVNGEVVKKNNCRPLQSGDEVVFGLMGNNAYIFQQVMTDLTVKGAEVQSSLGKLLQLERRSGDPSAVAGASILASLSSLRQDISRYKSPGQNTGKIHQGTEAPAHSVVCDGTEAEHDGLEVNSMPDVWSDKAADIGAVGNNLPHDLNQNSGKEAGNVLEERNEWTKDSRLASTSGMTLRCAVFKEDIHAGILDGKNIEVSFDDFPYYLSESTKNVLIAASFIHLRHKEHVKYTADLTTVNPRILLAGPAGSEIYQEMLAKALANYFGAKLLIFDTHTFLGGLSSKEAEFLRDGFNTEKSCTCAKQSPIVADLSKSVNPSGVEADTPSSSNAPSSSGHESQPMMDSDVFPSSGSSRNLLFRIGDRVRYISGGLYPSASSSRGPTNGIRGKVVLVFEGNPSSKIGVRFDKPVPDGVDLGGLCEGGHGYFCNVTDLHLDNVEDLDKLLINTLFEAVHNESRNSPFILFMKDAEKSIAGNPDTCSTFKSRLEKLPDNVVAIASLTQTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKATKVLTKLFPNKVVIHMPQDEALLGSWKHQLDRDAETLKMKGNLNHLRAVLSRSGMESEGLETLCIKDQTLTHESAEKVIGWALSHHLMQNPDVETDARLVLSSESIHYGIGILQAIQNESKSLKKSLKDVITENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKETERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVVLAKEDLSPDVDFDAIASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAAVAEGKPAPTLSSGADIRPLNIDDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Manes.07G053110.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7796839:7798034:-1 gene:Manes.07G053110.v8.1 transcript:Manes.07G053110.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPYSILFFHLLLFMFGHYSNGVRDVPKQENFHGNTNEAMKNTSVQLYYKILDELFKRPAILVATASKFGKEFDNFVLDFVQASYIGLFTPDDVYFGKIMLFTFHKPKSLLIINRRNVKSLLLKLRPKSALEIWNQCLIMFIESSVQTASSRLSKRSTPRYQLHYYKTILCWKILRRLRVLEL >Manes.14G078400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6551528:6554288:1 gene:Manes.14G078400.v8.1 transcript:Manes.14G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHISPFFKSNSYYLFPLRPDLFFSRFTTATAPKPPQQHLISLIKSCTQRSHLLQIHAQLIRNSLLQEFAFSFPLLSRVALSPFQDISYSRQIFSQTPNPSVFHYNTMIRAYSRSNSPIEGFLLYQDMRQRGLRADPLSLSFVIKCFVRVCSLMGGVQVHARILRDGHQSDSVLLTNLMDLYSLSEKGNEACKVFDEIPQRDIVAWNVLISCFIRNHRTRDVLVLFDGMVSGEFLCEPDDVTCLLLLQACANLGAHEFGEKVHGYIQEHGYGDAMNLCNSLIAMYSRCGCLDKAYGVFKSTRNKNVITWSAMISGFAMNGHGREAIEAFREMLRIGILPDHQTFTGVLSACSHCGLVEEGMLFFDRMTRDFGIAPNIHHYGCVVDLLGRAGELDRAYQVIMSMKVKPDSTIWRTLLGACKIHRHVTLGERVVGHLTELKAQEAGDYVLLLSIYSSIGNWEKVTELRKFMKEKGIQTTPGCSTIVLKGEVHEFVVDDVSHPRKGEIYDMLDEINEQLKIAGYVVEPTSELHNLGAEEKKYVLSYHSEKLAIAFGVLATPPGTTIRVAKNLRICVDCHNFAKFVSGVYNRQVIIRDRTRFHHFSEGSCSCNDYW >Manes.09G076510.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:13472327:13472716:1 gene:Manes.09G076510.v8.1 transcript:Manes.09G076510.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPFFFHFLIFFLFFFHFSPFSSFFLVLHFLFTFNSYHFLFTFDSYHFIFLFSFTFYFSFLLCFFLNFSLIFSFSLIFFYFLFILVFFTLSHLFSISLGISSLSFPFLSFPSIFYNFLLFSFHFTLSL >Manes.02G206500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18298884:18300742:1 gene:Manes.02G206500.v8.1 transcript:Manes.02G206500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLPEQEHPQQSFGWAARDDSGVLSPFTFSRRATGEKDVCFKVLYCGMCHSDIHMVKNEWGSSTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGGMVGSCHSCHNCTNNLENYCPEVILTYGAKYYDGTTTYGGYSDIMVTDEHFIIRIPDTLPLDATAPLLCAGITVYSPLKHYGLDKPGTHVGVVGLGGLGHMAVKFAKAMGVKVTVISTSPSKKQEAIEHLGADSFLLSRDQDQMKTAMGTMNGIIDTVSAVHDLMPLIGLLKTSGKLILVGVPEKPFDLPAFALLMGRKMVGGSGGGGMKETQEMIDFAAKHNITADIEVIPTEYVNTAMDRIQKADVRYRFVIDIGNTINPIH >Manes.02G206500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18298893:18300776:1 gene:Manes.02G206500.v8.1 transcript:Manes.02G206500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLPEQEHPQQSFGWAARDDSGVLSPFTFSRRATGEKDVCFKVLYCGMCHSDIHMVKNEWGSSTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGGMVGSCHSCHNCTNNLENYCPEVILTYGAKYYDGTTTYGGYSDIMVTDEHFIIRIPDTLPLDATAPLLCAGITVYSPLKHYGLDKPGTHVGVVGLGGLGHMAVKFAKAMGVKVTVISTSPSKKQEAIEHLGADSFLLSRDQDQMKTAMGTMNGIIDTVSAVHDLMPLIGLLKTSGKLILVGVPEKPFDLPAFALLMGRKMVGGSGGGGMKETQEMIDFAAKHNITADIEVIPTEYVNTAMDRIQKADVRYRFVIDIGNTINPIH >Manes.04G063678.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23428069:23441003:1 gene:Manes.04G063678.v8.1 transcript:Manes.04G063678.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIALRAKDKLGFVTGKISKPNSDAPEYKKWITVDSMDLWNDIAERFGESNGPLFFQIKKELANISQGSMTLAAYYNKLKRCWDELSVLCPLPPCACGAAKELTTFEERERLIQFLMGLNHQYEHVSNQILLLDPLPSASKAYGMVQNVEKQKEIQVTFPESSDITTVMAAQRFNNSRRQSSGDSKFNSKNKAERYCDFCQTSRHLKEKCFKLHRYPEWFSDFMKQKYGAKSNNTVAFNTIAESPLDTETTNAPHTVTDNMTDSISRIVQFEISKALKGKSIQSSVEEVSTAHHASSFAGIASVNCSSNFKCMDKGTWIVDSDATDHMSGDLALFDSISKLKTPRHVRLPDGRTKLITHIGTIQLSPRITLFNTLYITDFHCNLLFVNYHAFTCKIFVTQYPDHCVLQDLQSKEEIDVGLVVGRLYHINKQSFSITKIQEPCSYKQATKEINWINAMQMELDALEKNKTWIMSALPKNKKPIGSKWVYRIKYKPDGSIDKFKARLVAKGFT >Manes.07G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30973896:30978623:1 gene:Manes.07G103900.v8.1 transcript:Manes.07G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSQHITRKLTLDNAYIPLVLLLSQLTTNKKHPSNTSNNFNSSIISIPIMCSSSHLLLLFTFASLLLSTIALASNHHHRLTDQERDRITRLPGQPPNVNFSQYSGYITVDPSAGRALFYWLIEVHKTVKPNLQPLVLWLNGGPGCSSVAYGASEEIGPFRVRSDGKTLHLNPYAWNKVANLLFLDSPAGVGFSYSNTSSDIYVVGDKRTAKDAYTFLINWLERFPQYKHRPFYIAGESYAGHYIPELSEIIVSRNKGIKNPVINFQGFLLGNPLIDDYHDNIGTHEYWWNHGLISDSTYEDLKKSCTNDTFLFPKNECYFALEQAYSEFGDINPYSIFSSPCLYTSTNLSQLPWKFRGNDECVVRNTRRYMNRPEVQKALHANVTQIPHPWVTCSSAIRGNWRDSPKSMLPIFKKLIAAGIRIWVFSGDIDAVLPLTATRYSINALNLRINKTWYPWYYDHRKVGGWSQVYEGLTYVTVRGAGHEVPLSQPRLAFLLFTQFLKNKPMPPSLS >Manes.03G149200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27632011:27649415:-1 gene:Manes.03G149200.v8.1 transcript:Manes.03G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKMLIDGELNQSNEQESHYDFDLFVIGAGSGGVRASRFSANYGAKVGICELPFHPISSEVVGGVGGTCVLRGCVPKKILVYGASFGGDIEDARNYGWEINDKVDFNWKKLLQKKTDEITRLNGIYKRLLSNAGVKLFEGEGKVVGPNEVEVIQLDGTKLSFSAKHILIATGNRAQRPNIPGQELAITSDEALSLEDMPKRAVILGGGYIAVEFASIWRGMGATVDLVFRKELPLRGFDDEMRAVVARNLEGRGINLHPRTTLTQLIKTEDGIKAFTDHGEELSADVVLFATGRMPYTKRLNLESAGVELDSTGAIKVDEYSRTNIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGGQPCKPDYRDVPCAVFSIPPLSVVGLSEEQAIEQAQNDILVFTSSFNPMKNTISGRQEKTVMKLVVDAETDRVLGASMCGPDAPEIIQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSLTRRVTAGTKPKTNL >Manes.03G149200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27632011:27649415:-1 gene:Manes.03G149200.v8.1 transcript:Manes.03G149200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKMLIDGELNQSNEQESHYDFDLFVIGAGSGGVRASRFSANYGAKVGICELPFHPISSEVVGGVGGTCVLRGCVPKKILVYGASFGGDIEDARNYGWEINDKVDFNWKKLLQKKTDEITRLNGIYKRLLSNAGVKLFEGEGKVVGPNEVEVIQLDGTKLSFSAKHILIATGNRAQRPNIPGQELAITSDEALSLEDMPKRAVILGGGYIAVEFASIWRGMGATVDLVFRKELPLRGFDDEMRAVVARNLEGRGINLHPRTTLTQLIKTEDGIKAFTDHGEELSADVVLFATGRMPYTKRLNLESAGVELDSTGAIKVDEYSRTNIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGGQPCKPDYRDVPCAVFSIPPLSVVGLSEEQAIEQAQNDILVFTSSFNPMKNTISGRQEKTVMKLVVDAETDRVLGASMCGPDAPEIIQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSLTRRVTAGTKPKTNL >Manes.18G012000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTVRKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTVRKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTVRKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRLVVSVIRQVTFITLITVYMSSIKVNVNF >Manes.18G012000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRLVVSVIRQVTFITLITVYMSSIKVNVNF >Manes.18G012000.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRLVVSVIRQVTFITLITVYMSSIKVNVNF >Manes.18G012000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTVRKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRLVVSVIRQVTFITLITVYMSSIKVNVNF >Manes.18G012000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTVRKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRLVVSVIRQVTFITLITVYMSSIKVNVNF >Manes.18G012000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTVRKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRLVVSVIRQVTFITLITVYMSSIKVNVNF >Manes.18G012000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLLTRYLRDYLEGKKRMALVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRRLLPASSILLRDITAFGIEDEPQKPKGNRGGKILPANEKTQGSISLRRLLQSNLRL >Manes.18G012000.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1364594:1372983:1 gene:Manes.18G012000.v8.1 transcript:Manes.18G012000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSPPPCPNTVTVRRNPHRRARPTPSTNPPQNIIPSSTTNKPDILPFPIEDIMSMEIPQNPQPDPPLSSPTPASVSENLRVYLRIRPLVSPKTSKDVIGQERNKNAWPQNPVAKKNSLKAKNTKKRSSNICISVNDPQSVTLSPPPALQESKRIKSVVYEGFSRVFAVDSSQNEVYENMVKPLVEDFINGKNGMLAALGPSGSGKTHTVFGTAKEPGMVPLALQHIFKQTKESDSKLSRSFYVSIFEIYSEGGKAERIFDLSPGGAMPQSTVKGLQQVAINDPAHAESLIASAMLKRSTATTNANSQSSRSQCIINIHGLLNEYDKELDVQSNNCMLTIVDLAGAERERRTRNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNPKKPLQKHFQNSLVLTVKPGEEDYLDTVYLLRQASPYMKIKFNNVDEQLNSVHQKRHIQSLCRVEAPKRKKYCALDSNETEGKSTGDKHQLLEEVTDGPQKSKFDSTNIALVKDDCVDLTIRDRNHQIMQNFAKALWNILKEYKEKLMVADKEIESLNENLGSEKSRYIKLEKEFEDFKSCCTCSKENSMEALKVDTDFHAKVYIAGNECSNFDEAKVKLHSPNLKVSECAGATHDQDVTSRMDESVHPPNLKEPKCSSTPTWDLVFSTQAGVELHSPNLEATECTSTPTHDQDVISLVCESVHSPNLTEYKCSSTPTMDSDISTQVEVRSHSPNLKASVCISTPEHDQCVTTQVDEGVHSPNVKESKCRSTPTWDQDIPSQTEVKSHSPSLKASECTITATHDQDVTSQMGESVHSPSLRESKYTKSPTWDQDISVQVDVNVHSPHSEASKYSSTQDQAQDFLTKKQLDLPPSEEDVASSKQCNLDLPDCEIRSDTKQLDLPPSEEDVVSSKQCNLDVPDCGIRSDNSCKSLNLKKPKRLVVSVIRQVTFITLITVYMSSIKVNVNF >Manes.08G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33970286:33974178:1 gene:Manes.08G102000.v8.1 transcript:Manes.08G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRYSSQLTSKVTALLLLLSFCFHPIVVQCQESNIKMTRHLSSETPPSRSPQFHTGLKRILLSIGLGVLTGLTGAVLCACVVRFFVRYMNRTPILKGPVTFSPNIAPKTLQSALASENQLLGSSSNGKYYRKVLDNDLTVAVKRLEPFENGSPERQSKAVKRRIQQELERLASLRHRNLMSLRAYVRESDRFSLVYDYVPTGSLEDAMNRVRDNQLQLGWEVRLRIAVGVVKGLRYLHFECVPQILHYNLKPTNVMLDAEFEPRLADSGLAKLMPNLDRTTSGYSAPECFQNCRYTEKSDIFSFGMILGVLLTGRDPTDPFFGEAASGGSLGHWLRHLQHAGEAREALDKSILGEEGEEDEMLMAVRIAVVCLSDLPADRPSSDELVLMLSQLHSF >Manes.08G102000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33970286:33974153:1 gene:Manes.08G102000.v8.1 transcript:Manes.08G102000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRYSSQLTSKVTALLLLLSFCFHPIVVQCQESNIKMTRHLSSETPPSRSPQFHTGLKRILLSIGLGVLTGLTGAVLCACVVRFFVRYMNRTPILKGPVTFSPNIAPKTLQSALASENQLLGSSSNGKYYRKVLDNDLTVAVKRLEPFENGSPERQSKAVKRRIQQELERLASLRHRNLMSLRAYVRESDRFSLVYDYVPTGSLEDAMNRVRDNQLQLGWEVRLRIAVGVVKGLRYLHFECVPQILHYNLKPTNVMLDAEFEPRLADSGLAKLMPNLDRTTSGYSAPECFQNCRYTEKSDIFSFGMILGVLLTGRDPTDPFFGEAASGGSLGHWLRHLQHAGEAREALDKSILGEEGEEDEMLMAVRIAVVCLSDLPADRPSSDELVLMLSQLHSF >Manes.08G047200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4686086:4689303:-1 gene:Manes.08G047200.v8.1 transcript:Manes.08G047200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSNHSYHHQNSQPGSGLLRFRSAPSSLLANFNDNGVTNDSVLNFQELEDKSAVRVREAPLSYANSQQTYFGLPPHYPRQSSATTTTMDSSYGLIGSMAMGHSEQAKRVDSNLARQNSTPAGLFSNLSVQNGYAAMKGIGNFAGAKATNGEASPRLKSQLSFPARIPSSLGTLLQISETESEAIDATDPHSGKLGDSNCDSQFYSSSGFPYGSWNDSHFAENYIGMKRDPDDNGKLYSNIQNGELGNRIHVLSHHLSLPKASVDMVAMEKFLHFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQYKTLVDNRANCKCLSS >Manes.08G047200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4686086:4689303:-1 gene:Manes.08G047200.v8.1 transcript:Manes.08G047200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSNHSYHHQNSQPGSGLLRFRSAPSSLLANFNDNGVTNDSVLNFQELEDKSAVRVREAPLSYANSQQTYFGLPPHYPRQSSATTTTMDSSYGLIGSMAMGHSEQAKRVDSNLARQNSTPAGLFSNLSVQNGYAAMKGIGNFAGAKATNGEASPRLKSQLSFPARIPSSLGTLLQISETESEAIDATDPHSGKLGDSNCDSQFYSSSGFPYGSWNDSHFAENYIGMKRDPDDNGKLYSNIQNGELGNRIHVLSHHLSLPKASVDMVAMEKFLHFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQYKTLVDNRANCKCLSKQQAVPNPIL >Manes.07G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1413600:1418349:-1 gene:Manes.07G010300.v8.1 transcript:Manes.07G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTTPSSLMLTYAASNVHPQDLTASILSFKPKTFSLSRLALGSYGERKSSKGISLYTQKEAKFGTCSVVVAASLAAEAEVAEEVEEKEEGDVPTATAAPPKPKKGKAALPLKRDRTRSKRFLEIQKLRENKQEYDIKTAIALLKQMANSKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGERFDEAKNAGADLVGGEDLIQQIKGGFMDFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVSTNIPQAIAEFKKGKVEYRADKTGIVHIPFGKADFSEEDLLENLLAAIRSVESNKPSGAKGVYWKSAHICSSMGPSIRLNIKEMLDYKLPLNV >Manes.06G040800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:12663373:12663960:1 gene:Manes.06G040800.v8.1 transcript:Manes.06G040800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAILGSQDYLHGRIRHETLTLASSFNPRLRNSEKHTNPTTRFSDSRRRKPRSVGLQSNSENFNHHNRSHTGSMVARLPAKNLVMGEVKILKRGESFAKTDKRVSKENRKPMVKLEKNADLVLGSTDRLGPDPETVQKQIRVDDFNKLVEGMYAGSAFVASPPPSSLPVPGFLGKSSNGAATNDLRRLLRLDVV >Manes.05G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10361928:10362896:-1 gene:Manes.05G107700.v8.1 transcript:Manes.05G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPGRMNTLFFQSPSQISSPETPNIIPQNKIQTVPNHKPRNVSWVSSLPSCQLILHKSLPLAVALLLSASPAKAGLMSGFSGLESIPGPQIPQIDFLNRFNEENQKKYAENDARFKSSPILKELLERSKQNKEKNRQQVLDKYCIRGAEWGVGDCSAEGMSPEEREKFISMLKEKAGMK >Manes.05G107700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10361928:10362896:-1 gene:Manes.05G107700.v8.1 transcript:Manes.05G107700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPGRMNTLFFQSPSQISSPETPNIIPQNKIQTVPNHKPRNVSWVSSLPSCQLILHKSLPLAVALLLSASPAKAGLMSGFSGLESIPGPQIPQIDFLNRFNENQKKYAENDARFKSSPILKELLERSKQNKEKNRQQVLDKYCIRGAEWGVGDCSAEGMSPEEREKFISMLKEKAGMK >Manes.01G201200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37437499:37444798:-1 gene:Manes.01G201200.v8.1 transcript:Manes.01G201200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQFVKVKRETIASCMTCPLCNKLLKDATTISECLHTFCRKCIYHKISDEGVDCCPICNIDLGCVPLEKLRPDHNLQDVRAKIFPFKRRKVEAPEVIPSVVLPVRRKERSLSSLVVCTPKVSTQVTTTRRRTKPVPRKATASRVSSFPIEKLIKKKEDAAKDCLENSSSPETINKFNQHLRQNSSSAKASQPALNNEADNGAELWDGKSDLWQPLNCLVEVANRTKSSKSSSQVSDAKFESNHDPDNETRVCKTKYRENKGKSEVEDDKSNNNTVPSESKGPKRLRRIRRKKASNFGYPGISSQAVLDSASANHEKRTGPVWFSLVASEDQEGDAPLPQIPANYLRIKDGNLPVSFIQKYLMKKFDLASEDEIEIKFIGQTVVPTLSLYNLVDLWIQTASTSERVPASIGSSAKDFVMVLAYARKVPDPE >Manes.01G201200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37437499:37444798:-1 gene:Manes.01G201200.v8.1 transcript:Manes.01G201200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQFVKVKRETIASCMTCPLCNKLLKDATTISECLHTFCRKCIYHKISDEGVDCCPICNIDLGCVPLEKLRPDHNLQDVRAKIFPFKRRKVEAPEVIPSVVLPVRRKERSLSSLVVCTPKVSTQVTTTRRRTKPVPRKATASRVSSFPIEKLIKKKEDAAKDCLENSSSPETINKFNQHLRQNSSSAKASQPALNNEADNGAELWDGKSDLWQPLNCLVEVANRTKSSKSSSQVSDAKFESNHDPDNETRVCKTKYRENKGKSEVEDDKSNNNTVPSESKGPKRLRRIRRKKASNFGYPGISSQAVLDSASANHEKRTGPVWFSLVASEDQEGDAPLPQIPANYLRIKST >Manes.01G201200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37437499:37444799:-1 gene:Manes.01G201200.v8.1 transcript:Manes.01G201200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQFVKVKRETIASCMTCPLCNKLLKDATTISECLHTFCRKCIYHKISDEGVDCCPICNIDLGCVPLEKLRPDHNLQDVRAKIFPFKRRKVEAPEVIPSVVLPVRRKERSLSSLVVCTPKVSTQVTTTRRRTKPVPRKATASRVSSFPIEKLIKKKEDAAKDCLENSSSPETINKFNQHLRQNSSSAKASQPALNNEADNGAELWDGKSDLWQPLNCLVEVANRTKSSKSSSQVSDAKFESNHDPDNETRVCKTKYRENKGKSEVEDDKSNNNTVPSESKGPKRLRRIRRKKASNFGYPGISSQAVLDSASANHEKRTGPVWFSLVASEDQEGDAPLPQIPANYLRIKDGNLPVSFIQKYLMKKFDLASEDEIEIKFIGQTVVPTLSLYNLVDLWIQTASTSERVPASIGSSAKDFVMVLAYARKVPDPE >Manes.11G158800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32459851:32462859:-1 gene:Manes.11G158800.v8.1 transcript:Manes.11G158800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQKLDQQQAQMHRLKNSCANNNNENSPVNDDKEEEMSRSALAMFRAKEEEIERKKMEVRNKVQAQMGRVEEATKRLAELREELEALTDPMRKEVSMVRKRIDIVNRELKPLGLTCQKKEKEYKEALEAFNEKNKEKSQLVSKLMELVGESEKLRLKKLEELSKNIETLR >Manes.15G030300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2390472:2391199:1 gene:Manes.15G030300.v8.1 transcript:Manes.15G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSAPITDLHEGGNELPNISLRPLEVSDIDDFMVWASDKRVTRFCRFCPYTSKEDGINYIKNTAVPHPWFRAICLNNRPIGSISVTKNSGIDICRGELGYVLAAQYWGKGIATKAVKMVAKTIFNQWPELERLEALVDVENVGSQRVLEKAGFMREGVLRKYCILKGRSRDMVIFSLLSTDPVN >Manes.02G217912.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30551354:30552764:-1 gene:Manes.02G217912.v8.1 transcript:Manes.02G217912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHCVDATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFQTNVKPWKSRKGTLDPALEAAEAKSKIITVSKDGKGKFKTVTDAIKSISSQNKERAIIKIGPGVYTEKIEIEKTKPFITFLGDPKAMPTLAFGGTARKYGTHYSGTVTVDSDYFMGVNIIFQNTAPKPQSNKPGGQAVALRINGDKAAFYNCKFLGFQDTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESQLNVVDNKDLTFITAQGKEEKSENFGYSFVQCKITGSGSGSFLGRAWRKMPQVIFSYTEMGAVVNPLGWSDNRQPDRDSTVFFAEYKNSGLGSNPKGRVKFTKQLKDAQAKNFLSLGYIQGSKWLLPPPK >Manes.05G030450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2601448:2610438:1 gene:Manes.05G030450.v8.1 transcript:Manes.05G030450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSYSSITPRLNSHHRPIIFPANHHGKINIIRCNGKNPSSNSPTRQESEPENAVLKLAWYGSELLGIAASFFRSPSTTEAPQRELKLGADELGSIDRAMVVETIKEDFQRSYFVTGNLTLNAYEEDCEFADPAGSFRGLARFKRNCTNFGLLIEKSNMNLTKWEDFEDKGIGHWRFSCIMSFPWRPILSATGYTEYYFDAQSGRVCRHVEHWNVPKMALLKQLLKPSQGSWFGKRNSS >Manes.05G030450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2601465:2603231:1 gene:Manes.05G030450.v8.1 transcript:Manes.05G030450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSYSSITPRLNSHHRPIIFPANHHGKINIIRCNGKNPSSNSPTRQESEPENAVLKLAWYGSELLGIAASFFRSPSTTEAPQRELKLGADELGSIDRAMVVETIKEDFQRSYFVTGNLTLNAYEEDCEFADPAGSFRGLTRFKRNCTNFGLLIEKSNMNLTKWEDFEDKGIGHWRFSCIMSFPWRPILSATGYTEYYFDAQSGRVCRHVEHWNVPKMALLKQLLKPSQGSWFGKRNSS >Manes.10G111800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26292774:26300640:-1 gene:Manes.10G111800.v8.1 transcript:Manes.10G111800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTVRAEEEDEEGETGKQEKSEVVSRKGKRKERKKMKRKQLRREIAEKEREDEERKMNDPEEQRRVKEMEEEERQRMERERMEFIERERKWLEEMERKRKEEEEEEERRKALEEEESKRLQVEKENECNEDDDWEYVEEGPAEIIWQGNEIIVRKKRVRVPKKSADQQNKNEDSDRPTSNPLPPQSEAFADYQNASMASAEQMLDNVAQQIPNFGTEQDKAHCPFHLKTGACRFGQRCSRVHFYPDKAYTLLMKNMYNGPGLAWEQDEGLEYTDEEVERSYEEFYEDVHTELLKYGEIVNFKVCKNGSFHLRGNVYVQYKSLDSAVLAYHSINGRYFAGKQVKCEFVNVTRWKVAICGDYMKSRFKTCSHGTACNFIHCFRNPGGDYEWADWDKPPPRYWVKRMAALYGYSDKSEYEMDKEKLEHRRMSRVPADTDRFRARSRSRGVDHLLPCSGKSSDHEDRVQQGTHWHRHRDDDRKRDRTLDEKSCEKGYYSSDHRSHDSNSDGSWSDRDFKLDRKQNKHRSYVRKRSKDQHEVSECSSDWAGGKSKQSIDEADSDRSRKRKKAHHAHNKSSKILEKEALLDDNRDMKNESHATDGYRSDRDRDREKCNRARSSRHQSKARSTDYHGDVTIGTGSMEELSHRDKNKGRLDHPIRKSSRHKSNFSDFTDDHWDDRHRTYDSDSNDEWLDKEIHHSHKRRRSKKIELEISDDGWVIAEKLKEKSYCEETETGKSHLKRKTLDRPLSRSSHSGRESNETNSHEEEFNYVDPYNERYMFDHDPRYKPIEEINGQDRWKPESPDRDGNSI >Manes.01G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5117551:5122103:1 gene:Manes.01G024000.v8.1 transcript:Manes.01G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTFSGLNALYDAVNGGADVWINENRFRIVRQLGEGGFAYVYLVKEVVNDSSASTSGGGGGLAKKVKETSHLSDDGTYAMKKVLIQNKEQLELVREEIRVSSLFCHPNLLPLLDHAIIAVKTNQEGSWNHEAYLLFPVQLDGTLLDNSNAMKAKKEFFSTSDVLQIFWQLCAGLKHMHNLEPPYAHNDLKPGNVLLTHRKGQPPLAILMDFGSARPARRQIRSRSEALQLQDWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAVVNAQIKWPAGPNPSYPEALRQFVTWMLQPQPAVRPCINDIIIHVDKLITKFSH >Manes.11G065200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9068987:9079232:-1 gene:Manes.11G065200.v8.1 transcript:Manes.11G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPLMAFSLSSLSAPSSSLPLLSFPRSTPLFSLSPTLPKLPFTFKIHCASTNKQQDSQSPATSSFDPKRGVAVYKPKSYEVLAADAANSLAFALQDGKIRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVIKKLQEKMETRACIVFPDKPEKRRASQLFKAALDSIDGISIGSLDDLPSGAVTTFFKSIRNTLDFDFEDDNEGRWQSNEPPSLYVFINCSTRELSVIEKYVEKFATSTPTLLFNLELDTLRADLGLLGFPPKALHFRFLSQFTPVFYIRIREYSKTVAVAPFIVNYSGALFRQYPGPWQVMLKQADGSYACVAESATRFTLGETKEELLRVLGLQEEQGSSLEFLRRGYKSATWWEEDVELEASSEWRS >Manes.11G065200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9068987:9079232:-1 gene:Manes.11G065200.v8.1 transcript:Manes.11G065200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPLMAFSLSSLSAPSSSLPLLSFPRSTPLFSLSPTLPKLPFTFKIHCASTNKQQDSQSPATSSFDPKRGVAVYKPKSYEVLAADAANSLAFALQDGKIRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVIKKLQEKMETRACIVFPDKPEKRRASQLFKAALDSIDGISIGSLDDLPSGAVTTFFKSIRNTLDFDFEDDNEGRWQSNEPPSLYVFINCSTRELSVIEKYVEKFATSTPTLLFNLELDTLRADLGLLGFPPKALHFRFLSQFTPVFYIRIREYSKTVAVAPFIVNYSGALFRQYPGITLSDIESLRFHFIMVNINGRTIEQGCNYVS >Manes.11G065200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9068987:9079232:-1 gene:Manes.11G065200.v8.1 transcript:Manes.11G065200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPLMAFSLSSLSAPSSSLPLLSFPRSTPLFSLSPTLPKLPFTFKIHCASTNKQQDSQSPATSSFDPKRGVAVYKPKSYEVLAADAANSLAFALQDGKIRLEIDFPPLPSNISSYKVFPDKPEKRRASQLFKAALDSIDGISIGSLDDLPSGAVTTFFKSIRNTLDFDFEDDNEGRWQSNEPPSLYVFINCSTRELSVIEKYVEKFATSTPTLLFNLELDTLRADLGLLGFPPKALHFRFLSQFTPVFYIRIREYSKTVAVAPFIVNYSGALFRQYPGPWQVMLKQADGSYACVAESATRFTLGETKEELLRVLGLQEEQGSSLEFLRRGYKSATWWEEDVELEASSEWRS >Manes.11G111600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25920608:25924312:-1 gene:Manes.11G111600.v8.1 transcript:Manes.11G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGKQSPEKYAVVTGANKGIGLETVRQLASQGVTVVLTARDEKRGMNATSSLHKMGLTNVVFHQLDVLDPLSIESLANFIRERFGRLDVLVNNAGASGVVVDEERLRALNIDPETWLSGNAINMIQEVIKTTYEKAEECLNTNYFGVRRLTEALLPLLLLSTSGARIVNVSSLRGELRRIRSEELRNELSDIETLTEEKLEAMLKRFLNDLKENTLEAGGWSLMLPSYSISKATLNAYTRFLAKRYPNMLINCVHPGYVNTDLNWHTGPLPVEEGAKGPVKCALLPNGGPTGCYFDQTVVAEF >Manes.13G059600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6936855:6937799:1 gene:Manes.13G059600.v8.1 transcript:Manes.13G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFQHPLKAFSLGAKSAANLAEKIADNPVTNKTPQCMVTSIYQMHVSAHCCNVTVLWCKNLMNHSLNLIFNNPEEGEIYYSCKIDLKPWFFWSKRGSKSFDLQGCQVDIHWDFRSARFFGSPEPCSDYYVALVSDGEVVLMLGDYKKKAYKRTKARPALVDPTLFYKKENVLAKKSFSTRAKFKQEMKQEHDIVVESSTTGPKDPEMWISIDGIVVIHVKNLQWKFRGNQTVMVNEQQVQILWDVHDWLFNAPGAGHGLFIFKPGAPEPDDDKDDSPKATSSDSSDLSKYFSTTSSAPTHEFCLFLYAWKME >Manes.10G033400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3359602:3361398:-1 gene:Manes.10G033400.v8.1 transcript:Manes.10G033400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMATEPHFFKIILHRTIREGKFIPGEFLRKCKEGLSSPVVLKLPDGAIWHLELLVCGHEVWLGNGWREFAGHYSLDFGHLILFKHLRDSIFNVVIFGRSATEIQYPCGRICAGKRRTQKPKREKNDYNQPPRKKRGKRSLFLQLPEGTRTDSAIYQGEKPMPVMYKMSDLALATLSRPFSCNFQALQAVNSFSSEYPSFKIVVRSYNWKHCNVTIPRRFFRRYIEHRAEHAMLQVADRMYPVQFKPATSSGSITLSIGWRAFAAGNFLEGGDVCIFELIKNNILKVTIFRDVYQNA >Manes.10G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3359602:3361398:-1 gene:Manes.10G033400.v8.1 transcript:Manes.10G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMATEPHFFKIILHRTIREGKFQIPGEFLRKCKEGLSSPVVLKLPDGAIWHLELLVCGHEVWLGNGWREFAGHYSLDFGHLILFKHLRDSIFNVVIFGRSATEIQYPCGRICAGKRRTQKPKREKNDYNQPPRKKRGKRSLFLQLPEGTRTDSAIYQGEKPMPVMYKMSDLALATLSRPFSCNFQALQAVNSFSSEYPSFKIVVRSYNWKHCNVTIPRRFFRRYIEHRAEHAMLQVADRMYPVQFKPATSSGSITLSIGWRAFAAGNFLEGGDVCIFELIKNNILKVTIFRDVYQNA >Manes.07G015600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1880864:1882076:1 gene:Manes.07G015600.v8.1 transcript:Manes.07G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALISQFTLLSDQALQDKNFDPTTIEDLMKLFEIEAYKSWAAMELEQESEVKEAEIAVQKAEEYLECVMESAMDEFRRFEEEIEKMAVEEVESLEKTAETARKMGKVMEKSATIASKKYIEAAVNSATASMKSAWKGFSSKKVHPS >Manes.02G020300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1820310:1836140:1 gene:Manes.02G020300.v8.1 transcript:Manes.02G020300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARVLALRGVHTIMAIRNLEAGKNVKEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGLPLNILINNAGVMARFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFDKINDESGYISFSAYGQSKLANILHASELSRRLKEDGVNISANSLHPGAIPTNLMRYHSIVNGIASFIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLASPNSQAKDAELAKKLWDFSLGLTNPK >Manes.02G020300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1820310:1836139:1 gene:Manes.02G020300.v8.1 transcript:Manes.02G020300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGWKGASGFSACSTAEQVTRGIDGTGLTAIITGASSGIGMETARVLALRGVHTIMAIRNLEAGKNVKEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGLPLNILINNAGVMARFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFDKINDESGYISFSAYGQSKLANILHASELSRRLKEDGVNISANSLHPGAIPTNLMRYHSIVNGIASFIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLASPNSQAKDAELAKKLWDFSLGLTNPK >Manes.02G020300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1820310:1827909:1 gene:Manes.02G020300.v8.1 transcript:Manes.02G020300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARVLALRGVHTIMAIRNLEAGKNVKEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGLPLNILINNAGVMARFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFDKINDESGYISFSAYGQSKLANILHASELSRRLKEDGVNISANSLHPGAIPTNLMRYHSIVNGIASFIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLASPNSQAKDAELAKKLWDFSLGLTNPK >Manes.02G020300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1820310:1827681:1 gene:Manes.02G020300.v8.1 transcript:Manes.02G020300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARVLALRGVHTIMAIRNLEAGKNVKEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGLPLNILINNAGVMARFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFDKINDESGYHFGSTFLENCPSMLLDDSEHRHKYISFSAYGQSKLANILHASELSRRLKEDGVNISANSLHPGAIPTNLMRYHSIVNGIASFIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLASPNSQAKDAELAKKLWDFSLGLTNPK >Manes.02G020300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1820310:1827681:1 gene:Manes.02G020300.v8.1 transcript:Manes.02G020300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGWKGASGFSACSTAEQVTRGIDGTGLTAIITGASSGIGMETARVLALRGVHTIMAIRNLEAGKNVKEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGLPLNILINNAGVMARFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFDKINDESGYHFGSTFLENCPSMLLDDSEHRHKYISFSAYGQSKLANILHASELSRRLKEDGVNISANSLHPGAIPTNLMRYHSIVNGIASFIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLASPNSQAKDAELAKKLWDFSLGLTNPK >Manes.02G020300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1820810:1836140:1 gene:Manes.02G020300.v8.1 transcript:Manes.02G020300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARVLALRGVHTIMAIRNLEAGKNVKEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGLPLNILINNAGVMARFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFDKINDESGYISFSAYGQSKLANILHASELSRRLKEDGVNISANSLHPGAIPTNLMRYHSIVNGIASFIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLASPNSQAKDAELAKKLWDFSLGLTNPK >Manes.02G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1820310:1827909:1 gene:Manes.02G020300.v8.1 transcript:Manes.02G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGWKGASGFSACSTAEQVTRGIDGTGLTAIITGASSGIGMETARVLALRGVHTIMAIRNLEAGKNVKEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGLPLNILINNAGVMARFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFDKINDESGYISFSAYGQSKLANILHASELSRRLKEDGVNISANSLHPGAIPTNLMRYHSIVNGIASFIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLASPNSQAKDAELAKKLWDFSLGLTNPK >Manes.04G026300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3066331:3069872:1 gene:Manes.04G026300.v8.1 transcript:Manes.04G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRPFNLLFCISPCNFHYQATIFVYFRRKDSSEAPQRVRPRAVCQLKTTGLESDSASSSSQVRTPKDRSPKVIDRRSPVSPVSEKKRPSRISELESQVSQLQEDLKKAKDQLSLSESWKKEALQDADESKKQLLAISSKLEESQTQLQECCATEEARVVELQKISQEQDKAWQLMLEAIQQQHPVELSALGSALSEIQRLKVQLEMVAESEADQSKLTESADVELQTLRANLMDTLALVENMKTQLRDSKDSEAQAQELASETLLQLESAKKSVEALRSDGTRAIEAYNSIASELDHSRDRVKLLEGLVRKLEADLHNANLYRGSADGHDTEEATVENQRSEEANQLEAELFALKSEVERLRSALEAAEIKCHEEQIHSAAQIRSAYEMVEQIKSQSVLREAELEAELKQAKIDIEELKADLMDKETELQGISEENEGLNMKLKHSLSSRREYAIENELKNLRDSVHELKASLMDRETELQNALEENEMLKVEIGKRETDEQNMNNEVVIQLEAARDAEREARAKLGFVMEEADRSNKRAARITEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAVAMLSTGNNGKFMERTGSLDSNYNPVTVKFGSPFNEEMDDDMLKKKNGNMLKKIGVLWKKPQK >Manes.04G026300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3064625:3069720:1 gene:Manes.04G026300.v8.1 transcript:Manes.04G026300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGSRKDSSEAPQRVRPRAVCQLKTTGLESDSASSSSQVRTPKDRSPKVIDRRSPVSPVSEKKRPSRISELESQVSQLQEDLKKAKDQLSLSESWKKEALQDADESKKQLLAISSKLEESQTQLQECCATEEARVVELQKISQEQDKAWQLMLEAIQQQHPVELSALGSALSEIQRLKVQLEMVAESEADQSKLTESADVELQTLRANLMDTLALVENMKTQLRDSKDSEAQAQELASETLLQLESAKKSVEALRSDGTRAIEAYNSIASELDHSRDRVKLLEGLVRKLEADLHNANLYRGSADGHDTEEATVENQRSEEANQLEAELFALKSEVERLRSALEAAEIKCHEEQIHSAAQIRSAYEMVEQIKSQSVLREAELEAELKQAKIDIEELKADLMDKETELQGISEENEGLNMKLKHSLSSRREYAIENELKNLRDSVHELKASLMDRETELQNALEENEMLKVEIGKRETDEQNMNNEVVIQLEAARDAEREARAKLGFVMEEADRSNKRAARITEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAVAMLSTGNNGKFMERTGSLDSNYNPVTVKFGSPFNEEMDDDMLKKKNGNMLKKIGVLWKKPQK >Manes.04G026300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3064200:3069812:1 gene:Manes.04G026300.v8.1 transcript:Manes.04G026300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGRKDSSEAPQRVRPRAVCQLKTTGLESDSASSSSQVRTPKDRSPKVIDRRSPVSPVSEKKRPSRISELESQVSQLQEDLKKAKDQLSLSESWKKEALQDADESKKQLLAISSKLEESQTQLQECCATEEARVVELQKISQEQDKAWQLMLEAIQQQHPVELSALGSALSEIQRLKVQLEMVAESEADQSKLTESADVELQTLRANLMDTLALVENMKTQLRDSKDSEAQAQELASETLLQLESAKKSVEALRSDGTRAIEAYNSIASELDHSRDRVKLLEGLVRKLEADLHNANLYRGSADGHDTEEATVENQRSEEANQLEAELFALKSEVERLRSALEAAEIKCHEEQIHSAAQIRSAYEMVEQIKSQSVLREAELEAELKQAKIDIEELKADLMDKETELQGISEENEGLNMKLKHSLSSRREYAIENELKNLRDSVHELKASLMDRETELQNALEENEMLKVEIGKRETDEQNMNNEVVIQLEAARDAEREARAKLGFVMEEADRSNKRAARITEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAVAMLSTGNNGKFMERTGSLDSNYNPVTVKFGSPFNEEMDDDMLKKKNGNMLKKIGVLWKKPQK >Manes.04G026300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3064554:3069872:1 gene:Manes.04G026300.v8.1 transcript:Manes.04G026300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGRKDSSEAPQRVRPRAVCQLKTTGLESDSASSSSQVRTPKDRSPKVIDRRSPVSPVSEKKRPSRISELESQVSQLQEDLKKAKDQLSLSESWKKEALQDADESKKQLLAISSKLEESQTQLQECCATEEARVVELQKISQEQDKAWQLMLEAIQQQHPVELSALGSALSEIQRLKVQLEMVAESEADQSKLTESADVELQTLRANLMDTLALVENMKTQLRDSKDSEAQAQELASETLLQLESAKKSVEALRSDGTRAIEAYNSIASELDHSRDRVKLLEGLVRKLEADLHNANLYRGSADGHDTEEATVENQRSEEANQLEAELFALKSEVERLRSALEAAEIKCHEEQIHSAAQIRSAYEMVEQIKSQSVLREAELEAELKQAKIDIEELKADLMDKETELQGISEENEGLNMKLKHSLSSRREYAIENELKNLRDSVHELKASLMDRETELQNALEENEMLKVEIGKRETDEQNMNNEVVIQLEAARDAEREARAKLGFVMEEADRSNKRAARITEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAVAMLSTGNNGKFMERTGSLDSNYNPVTVKFGSPFNEEMDDDMLKKKNGNMLKKIGVLWKKPQK >Manes.04G026300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3064959:3069464:1 gene:Manes.04G026300.v8.1 transcript:Manes.04G026300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGSRKDSSEAPQRVRPRAVCQLKTTGLESDSASSSSQVRTPKDRSPKVIDRRSPVSPVSEKKRPSRISELESQVSQLQEDLKKAKDQLSLSESWKKEALQDADESKKQLLAISSKLEESQTQLQECCATEEARVVELQKISQEQDKAWQLMLEAIQQQHPVELSALGSALSEIQRLKVQLEMVAESEADQSKLTESADVELQTLRANLMDTLALVENMKTQLRDSKDSEAQAQELASETLLQLESAKKSVEALRSDGTRAIEAYNSIASELDHSRDRVKLLEGLVRKLEADLHNANLYRGSADGHDTEEATVENQRSEEANQLEAELFALKSEVERLRSALEAAEIKCHEEQIHSAAQIRSAYEMVEQIKSQSVLREAELEAELKQAKIDIEELKADLMDKETELQGISEENEGLNMKLKHSLSSRREYAIENELKNLRDSVHELKASLMDRETELQNALEENEMLKVEIGKRETDEQNMNNEVVIQLEAARDAEREARAKLGFVMEEADRSNKRAARITEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAVAMLSTGNNGKFMERTGSLDSNYNPVTVKFGSPFNEEMDDDMLKKKNGNMLKKIGVLWKKPQK >Manes.04G026300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3066338:3069872:1 gene:Manes.04G026300.v8.1 transcript:Manes.04G026300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRPFNLLFCISPCNFHYQATIFVYFRSRKDSSEAPQRVRPRAVCQLKTTGLESDSASSSSQVRTPKDRSPKVIDRRSPVSPVSEKKRPSRISELESQVSQLQEDLKKAKDQLSLSESWKKEALQDADESKKQLLAISSKLEESQTQLQECCATEEARVVELQKISQEQDKAWQLMLEAIQQQHPVELSALGSALSEIQRLKVQLEMVAESEADQSKLTESADVELQTLRANLMDTLALVENMKTQLRDSKDSEAQAQELASETLLQLESAKKSVEALRSDGTRAIEAYNSIASELDHSRDRVKLLEGLVRKLEADLHNANLYRGSADGHDTEEATVENQRSEEANQLEAELFALKSEVERLRSALEAAEIKCHEEQIHSAAQIRSAYEMVEQIKSQSVLREAELEAELKQAKIDIEELKADLMDKETELQGISEENEGLNMKLKHSLSSRREYAIENELKNLRDSVHELKASLMDRETELQNALEENEMLKVEIGKRETDEQNMNNEVVIQLEAARDAEREARAKLGFVMEEADRSNKRAARITEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAVAMLSTGNNGKFMERTGSLDSNYNPVTVKFGSPFNEEMDDDMLKKKNGNMLKKIGVLWKKPQK >Manes.04G026300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3064959:3069733:1 gene:Manes.04G026300.v8.1 transcript:Manes.04G026300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGRKDSSEAPQRVRPRAVCQLKTTGLESDSASSSSQVRTPKDRSPKVIDRRSPVSPVSEKKRPSRISELESQVSQLQEDLKKAKDQLSLSESWKKEALQDADESKKQLLAISSKLEESQTQLQECCATEEARVVELQKISQEQDKAWQLMLEAIQQQHPVELSALGSALSEIQRLKVQLEMVAESEADQSKLTESADVELQTLRANLMDTLALVENMKTQLRDSKDSEAQAQELASETLLQLESAKKSVEALRSDGTRAIEAYNSIASELDHSRDRVKLLEGLVRKLEADLHNANLYRGSADGHDTEEATVENQRSEEANQLEAELFALKSEVERLRSALEAAEIKCHEEQIHSAAQIRSAYEMVEQIKSQSVLREAELEAELKQAKIDIEELKADLMDKETELQGISEENEGLNMKLKHSLSSRREYAIENELKNLRDSVHELKASLMDRETELQNALEENEMLKVEIGKRETDEQNMNNEVVIQLEAARDAEREARAKLGFVMEEADRSNKRAARITEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAVAMLSTGNNGKFMERTGSLDSNYNPVTVKFGSPFNEEMDDDMLKKKNGNMLKKIGVLWKKPQK >Manes.18G081100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7437112:7440439:1 gene:Manes.18G081100.v8.1 transcript:Manes.18G081100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQNRRPSFSSSTTSSLVKRRPSSSTASENVGKAASATKLARKRAPLGDITNQKNAPQKGSRTSIPSSTLAPCSNKIAKVKKGPPASAINTGFSGSSLTAALNAKSTAIDLCKVTHVSRSEESVPEIVANPAPCCMDVSPTKSDGISISLDETMSTCDSFKSPEVEYIDNNDIPAIDSINKKTLSNLYISDQVETAENECCRDILADMETDNKIVNLDDNYQDPQLCATIAYDIYKHLRESETKKRPSIDFMEKIQKDVNASMRAILIDWLVEVAEEYRLVPDTLFLTVNYIDRYLSGNVMNRQKLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFREEVLEMESNVLNYLKFEMTAPTAKCFLRRFVRAAQGIYEVPSMQLECLANYVTELSLPEYNMLCYAPSLIAASAIFLAKYILLPSRRPWNSTLQHYTLYQPSDLYDCVKDLHRLCCDSHNSTLPAIRDKYSQHKYKFAAKKYCPPSIPEGYFHNQSC >Manes.17G123400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32885061:32888170:-1 gene:Manes.17G123400.v8.1 transcript:Manes.17G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGMSERRENRWLMEHTHMRMSWIQYQIKQKHGYLTYSPPLSPSSMSPYATNFHKGSTPSSSSPPSSSTRISAAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSASSQSNRYPDVSGPDALQRQLQQLFHLHDSGLDQAFIDALPVFQYREIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFAPGFSIENPMFDFYDLREYDGCPSNGENGFSSRQKTVEIEESVVEKGVLPVRLGKFRRPNDGTGEAGGETSSSNLDARRCFSMGSYQYVLGDSDLRVPLGTDQHGRDAKLEKRNGDLSVDGDLEGKKISSGTKRESFSVSKIWLWSKKGKFLGSSDTQMDMPSSLNRELPWMVRTQEN >Manes.11G030800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2888245:2892580:1 gene:Manes.11G030800.v8.1 transcript:Manes.11G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDRRKALVSVAPWLIFALLYTTYGNLKLAAASNDDVLAERMKLAAKSSGSISIDCGADEDYVDENVGLLYKSDRDFISTGKNYVAQPDATRLQAFTERLCKNLRSFPTGKKNCYNLRPEQGKNHNYYIRALFCYGNYDGKNQPPEFDVYVGVNYWVTVNGLAGWAWTTREIFHFSSTDVIHVCLVNKDFGIPFISALELHPVNDSIYKSEFGSLSVARRSQLSYPTAMNFRYKDDVFHRIWTSYGLANSTWVNASATSDMQVHQTDDPYKPPVEIFRTAAQPQKGLHSLRYNISFICSPSCDFYVYFHFAEIVKIPQDQRREFTITLNGLNYGPVSLEYLKPQTIPLKSPAPGDINFTINPTSNSDLPPILSAFEILSVLKLPLSPTDQSDVDAIMDIMQLYNINGDELQGDPCVPRELSWNGLNCSYDSNPPRIISLDLSSRKLTGEIAPTLSVLKAIQSLDLSYNKLTGSLPNFLVELQNLTRLDLSYNDLTGPLPEFLAQLPNLNTLNLIGNKLSGSIPQSLIEKSNNGMLQLSIDKNPNLCPSGSGSCEKKKHFLLPVVASIISTLILLLLIAISWGYRRKKETQNSNTWAFSYSEIVSITEDFKTIIGGGGFGKVFFGTLKDGTQVAIKLLSQSSRQGYKEFQAEVQLLMIVHHRNLVSLIGYCRDSHNMALVYEFMVNGNLREHLSETSGSILTWKERIQIAVDAAYGLEYLHNGCKPPIIHRDLKASNILLNEKLQAKIADFGLSRAFTSESGTHVSTCPAGTFGYVDPEAQASGNFNKKSDVYSFGVILLELITGQPALIRNTAGNFICIHQWIRPIIDTGDIRTIVDARLQGQFNVNSAWEVMEIAFSCVSDVAIQRPDMSHVLAGLQECLTTLMAVEASQKLEAMTTRSSHSLRMRHLDIDTDMAPSAR >Manes.09G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2141652:2149720:1 gene:Manes.09G010600.v8.1 transcript:Manes.09G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPAVSQLSYFSSFNCNLHLYRQSSLLSTRIKLSIARSLEGDRGTESSHSEIKTRLSYAADESKPYVEGPAKPHDLEEKGITDPGKAYGAAKIHDFCFGIPYGGLVLSGGLLGFVFSRNPTTLSTGVLFGGALLALSVFSLKIWRQGKSSVPFVLGQAVLSAALLWKNFQAYSLTKKLIPTGLNAVISAAMLCFYSYVIISGGNPPPKKLRSSTVTS >Manes.09G010600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2141652:2149720:1 gene:Manes.09G010600.v8.1 transcript:Manes.09G010600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPAVSQLSYFSSFNCNLHLYRQSSLLSTRIKLSIARSLEGDRGTESSHSEIKTRLSYAADESKPYVEGPAKPHDLEEKGITDPGKAYGAAKIHDFCFGIPYGGLVLSGGLLGFVFSRNPTTLSTGVLFGGALLALSVFSLKIWRQGKSSVPFVLGQAVLSAALLWKNFQAYSLTKKLIPTGLNAVISAAMLCFYSYVIISGGNPPPKKLRSSTVTS >Manes.03G147000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27482368:27485172:1 gene:Manes.03G147000.v8.1 transcript:Manes.03G147000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVDKKDPSGAKVTKSAAKKGGK >Manes.03G147000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27482172:27485172:1 gene:Manes.03G147000.v8.1 transcript:Manes.03G147000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKSVDKKDPSGAKVTKSAAKKGGK >Manes.02G164300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12844699:12845756:1 gene:Manes.02G164300.v8.1 transcript:Manes.02G164300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGAAAAAQAEAPKIVWNENQRRFETEDKEAYIEYVLRNDGKVMDLVHTYVPRSKRGLGMASHLCVAALNHAKSHSISIVPTCSYVSDTFLPRNPSWNSVVYSEDLKSNTEATSEDVRSNM >Manes.07G114800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32025798:32029163:-1 gene:Manes.07G114800.v8.1 transcript:Manes.07G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDSSVQSDIKLWPFKVIPGPGDKPMIIVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKISSKLAAADKKKIEDAIDQAIQWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGGMDDDAPPAGGSGAGPKIEEVD >Manes.18G124354.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15327813:15329456:1 gene:Manes.18G124354.v8.1 transcript:Manes.18G124354.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIAIACGVPSVVLILILFLIFWMKKLRSNSSFASLALNHLLNVSYKDLYQATNGFSSCNLIGSGFFSSVYKGFLPQVERQVAIKVLNLEQTGAIKVLTGECKTLKPC >Manes.03G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1684069:1685037:-1 gene:Manes.03G019400.v8.1 transcript:Manes.03G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKLFGLPIIGLVFLALMQLSYGQGVAPSPAPEGPSSDGNAIDQGIAFILMLVALAVTYLIH >Manes.14G044800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3880144:3884074:-1 gene:Manes.14G044800.v8.1 transcript:Manes.14G044800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLDEQQFLGEASCVLSEILTRPNRSLTLDLVQKEDSTLSSHLRHGQLVVHAEECISSKTTTEMILRCSDLEHKDLFSRINPFLVISKTVESGIPISVCKTEVLKNDHNPIWKPIFLNVQQVGSKDSPLVIDCYNFNSSGKHDLIGKVRKSLADLERLRSSGEGENFFSPTAVGHDHKKVLKSQLFVDKFSESIQYTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVEGIQGIMMTYTSALMNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADNGERLESSTGRVASRDIVQFVPFRNVQSGQISVVQALLAELPSQFLTYMRSRDIQPSV >Manes.14G044800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3880635:3885195:-1 gene:Manes.14G044800.v8.1 transcript:Manes.14G044800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVYTKERDGQPSEVFRTEVVLNSLNPTWIMKYTMTFQFEVVQTLLFHVYDVDTQFHDIDVKMLKLDEQQFLGEASCVLSEILTRPNRSLTLDLVQKEDSTLSSHLRHGQLVVHAEECISSKTTTEMILRCSDLEHKDLFSRINPFLVISKTVESGIPISVCKTEVLKNDHNPIWKPIFLNVQQVGSKDSPLVIDCYNFNSSGKHDLIGKVRKSLADLERLRSSGEGENFFSPTAVGHDHKKVLKSQLFVDKFSESIQYTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVEGIQGIMMTYTSALMNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITISRKQKMP >Manes.14G044800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3879569:3889519:-1 gene:Manes.14G044800.v8.1 transcript:Manes.14G044800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDDRGGRAAVGGTFRGAASGAAAANDAVDYYLKSRGFHGIFSQIELSFSATNLRDRDVLSKSDPMVVVYTKERDGQPSEVFRTEVVLNSLNPTWIMKYTMTFQFEVVQTLLFHVYDVDTQFHDIDVKMLKLDEQQFLGEASCVLSEILTRPNRSLTLDLVQKEDSTLSSHLRHGQLVVHAEECISSKTTTEMILRCSDLEHKDLFSRINPFLVISKTVESGIPISVCKTEVLKNDHNPIWKPIFLNVQQVGSKDSPLVIDCYNFNSSGKHDLIGKVRKSLADLERLRSSGEGENFFSPTAVGHDHKKVLKSQLFVDKFSESIQYTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVEGIQGIMMTYTSALMNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADNGERLESSTGRVASRDIVQFVPFRNVQSGQISVVQALLAELPSQFLTYMRSRDIQPSV >Manes.14G044800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3879569:3889519:-1 gene:Manes.14G044800.v8.1 transcript:Manes.14G044800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDDRGGRAAVGGTFRGAASGAAAANDAVDYYLKSRGFHGIFSQIELSFSATNLRDRDVLSKSDPMVVVYTKERDGQPSEVFRTEVVLNSLNPTWIMKYTMTFQFEVVQTLLFHVYDVDTQFHDIDVKMLKLDEQQFLGEASCVLSEILTRPNRSLTLDLVQKEDSTLSSHLRHGQLVVHAEECISSKTTTEMILRCSDLEHKDLFSRINPFLVISKTVESGIPISVCKTEVLKNDHNPIWKPIFLNVQQVGSKDSPLVIDCYNFNSSGKHDLIGKVRKSLADLERLRSSGEGENFFSPTAVGHDHKKVLKSQLFVDKFSESIQYTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVEGIQGIMMTYTSALMNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITISRKQKMP >Manes.14G044800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3879569:3889519:-1 gene:Manes.14G044800.v8.1 transcript:Manes.14G044800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVYTKERDGQPSEVFRTEVVLNSLNPTWIMKYTMTFQFEVVQTLLFHVYDVDTQFHDIDVKMLKLDEQQFLGEASCVLSEILTRPNRSLTLDLVQKEDSTLSSHLRHGQLVVHAEECISSKTTTEMILRCSDLEHKDLFSRINPFLVISKTVESGIPISVCKTEVLKNDHNPIWKPIFLNVQQVGSKDSPLVIDCYNFNSSGKHDLIGKVRKSLADLERLRSSGEGENFFSPTAVGHDHKKVLKSQLFVDKFSESIQYTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVEGIQGIMMTYTSALMNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADNGERLESSTGRVASRDIVQFVPFRNVQSGQISVVQALLAELPSQFLTYMRSRDIQPSV >Manes.08G040832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4003400:4003842:-1 gene:Manes.08G040832.v8.1 transcript:Manes.08G040832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSFKHFLVLLLVFSGELIVYQTEGKYCNETLKPLPGSGGKCVIYGCRILCDMFYKGSNAECVDVSSGSRCVCSWEC >Manes.12G062400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5985751:5986911:1 gene:Manes.12G062400.v8.1 transcript:Manes.12G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVVSAQTALPEEKAEEVKVPEAAPVADEKPEEEPKEAEAVDQVSEEPVAPESDAPAEAVVETKEVVEVEEAKDVKEEPEVEKTAEEETPEEETSQEEPAPETVVEEAPKETTEPATDAEAPAPEAPVEAPKEEEGGEKKKPEAEEAAVEKPE >Manes.16G040600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5593050:5596522:-1 gene:Manes.16G040600.v8.1 transcript:Manes.16G040600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEVEKAENTSLISSSQKCSYFDLNEEASSEEDDDQKSAEASPSANNNSSNERNGHRTTVRQYNRSKKPRLRWSPDLHLSFVHAVEKLGGQERATPKLVLQLMNVRGLSVAHVKSHLQMYRSKKLDEAGQVLSHAYRYMQRRDGTLHQITTTAPQQHLRMENGGIVLPGNLHSSFSQSHKPLCFKASFSRDRHWSSKQLVRRRQTTTLTRKDLEHSRFLEERRWPPLEMFKNRWKVATDSSWLNACSEPDAHQISNPSRSAGATYNWKPDEEEVGNNTMVKQSLFNSHDSIRNFRFFKATFEPPFRLELNEEKVLKDNKEWLPDLQLRLSQSVGIDENKRSHCRSTQEISTKLSLS >Manes.16G040600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5593050:5603673:-1 gene:Manes.16G040600.v8.1 transcript:Manes.16G040600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEVEKAENTSLISSSQKCSYFDLNEEASSEEDDDQKSAEASPSANNNSSNERNGHRTTVRQYNRSKKPRLRWSPDLHLSFVHAVEKLGGQERATPKLVLQLMNVRGLSVAHVKSHLQMYRSKKLDEAGQVLSHAYRYMQRRDGTLHQITTTAPQQHLRMENGGIVLPGNLHSSFSQSHKPLCFKASFSRDRHWSSKQLVRRRQTTTLTRKDLEHSRFLEERRWPPLEMFKNRWKVATDSSWLNACSEPDAHQISNPSRSAGATYNWKPDEEEVGNNTMVKQSLFNSHDSIRNFRFFKATFEPPFRLELNEEKVLKDNKEWLPDLQLRLSQSVGIDENKRSHCRSTQEISTKLSLS >Manes.S029516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:635444:636256:-1 gene:Manes.S029516.v8.1 transcript:Manes.S029516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.09G056950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9245950:9246630:1 gene:Manes.09G056950.v8.1 transcript:Manes.09G056950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVFSSTTMAEKISFCCALMMTTLLVLSCCEVGGRGSDFNFIVNQKGVMNKGCDEIYVVGEGESLHTISEKCGDPYIVEENPHINDPDDVFPGLVIKITPFNLR >Manes.13G098500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29126048:29136805:1 gene:Manes.13G098500.v8.1 transcript:Manes.13G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDSISRAAIAGPKFTSLPRSTAYLHSYRSHGLFFHSPRVSRPNFSRIANRSGVFAQIRKDTPAIDFSDPDWKVKYQKDFERRFNIPHITDLFPEAEPIPSTFCLKMRTPVLEDFAGGYPSDEEWHGYINNNDRVLLKVIRYSSPTSAGAECIDPGCTWAEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFSDNDLSEMPLSRKVVQNVHLSGGSLLGVSRGGPSVSDMVDSMEERGINMLFVLGGNGTHAGANAIHNECCKRRLRVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMHAALASGQVDICLIPEVPFHLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNFLEKTNATDASGNIVFGDIGVHIQQETKKYFKEIGIHSDVKYIDPTYMIRACRANASDGILCAVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISYPRAVDPNSRMWHRCLTSTGQPDFI >Manes.13G098500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29126048:29136805:1 gene:Manes.13G098500.v8.1 transcript:Manes.13G098500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDSISRAAIAGPKFTSLPRSTAYLHSYRSHGLFFHSPRVSRPNFSRIANRSGVFAQIRKDTPAIDFSDPDWKVKYQKDFERRFNIPHITDLFPEAEPIPSTFCLKMRTPVLEDFAGGYPSDEEWHGYINNNDRVLLKVIRYSSPTSAGAECIDPGCTWAEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFSDNDLSEMPLSRKVVQNVHLSGGSLLGVSRGGPSVSDMVDSMEERGINMLFVLGGNGTHAGANAIHNECCKRRLRVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMHAALASGQVDICLIPEVPFHLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNFLEKTNATDASGNIVFGDIGVHIQQEVSDCIFK >Manes.08G048000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4838826:4839294:1 gene:Manes.08G048000.v8.1 transcript:Manes.08G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGASFPHIAMVVFALLVFTGGAIAQDIAPTPAMDTGVASALPVSVALTCFAVLVSLFAL >Manes.05G100100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9318702:9321026:-1 gene:Manes.05G100100.v8.1 transcript:Manes.05G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMNSDNGRPNFPLQLLESKHLQEPLPPPPPPPPQPSSSSSSSKKPPPKRTSTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKFDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSISASHHLRNTYSNPNFTAHQQLCMRNEWERNIVVNDASQQQRRILIPSQDSLCFSAINSSNNVGGNLNVLQGSSTANKQEVRGGGETSCLDVTDGETILERKRRLENELAHDQMGSYLLQSTSTGALAATHSPIPATFRMVSSNHNCNNQGISSDAMVAFPSFSANTNMYKGSMFGGLHFMNFPPPMALLPGQQLGGRDGGSVVGSSIVNESHLGMLAAFNACRPIMGSGGASESPASESNPQKENGGEDGDDTRRS >Manes.18G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1128763:1132654:1 gene:Manes.18G008500.v8.1 transcript:Manes.18G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAKKFTQDGGEGKHYPAPLTSHEEVVKDPTTFWDTLRRFHSIMGTKFMIPVIGGRELDLHVLYVEATKRGGYEKVVAEKKWREVGSVFNFSPTTTSASFVLRKHYFGLLYHYEQVHFFKVKGPVCSPTATSPVSNSLCRHELAIVEYSPKPIRDYPDPPAEGSSSFSAIGTIDGKFDCGYLVSVQVGSEVLSGVLYHPEHPDSSFSITQYSNALVPYTGNRKTRRSGRRRRSRRAGDPSYPKPNRSGYNFFFAEKHYKLKSLYPNREREFTKIIGQSWSNLSAEERLVYQNIGLKDKERYKRELKEYKERLKLKENMEVGRANY >Manes.08G095400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32270858:32272595:-1 gene:Manes.08G095400.v8.1 transcript:Manes.08G095400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESQLFHVPFLHDSNNEVELFLDDQYPNYALGINARVHQSELSPTFPSNAQFVDDMNLEFLLDELPFALEESDLFWKEMQDIFEWLKIADCSGGKNNDECCNHRPLIVSGCTSMDRLVQRPLTIPNEGFEISFQVSMNHLAKAYGEAMENNQAELAEVIMRRISEKVSPIGEIRERVLYYAFQQFSDNKKQADYIKQESCRNFVTAFMAFYQIFPYGMIAHFTANSAILEAKPEHFQVLHVVDFDMGEGVQWSSLIMSLPQHITLKLTAIKWREEDSDSGRKFTETRRQLQDFSGSIGIRLHVEEMEIQDLAKEMKRKTKNGGKREWLAFNCMWALPHMGKRRSSRQVMEFLAVAKDVIADSATNNKGIVTLGDGGDWQTMKNCNAFGPFFECCMGRYEALLESMELNLPVRLAEARLSMECLFISPYVSFVTLMQTWGDVKEGSCDFMKGLGFEGLMMNNRSLMEAKEMVRQGETPYGVRTDNNEIVLEWKGIPLVRVSSWR >Manes.12G017600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1609942:1613467:-1 gene:Manes.12G017600.v8.1 transcript:Manes.12G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGFSFQRYDAFRAGLRVLVVDDDPMWLKILEKMLKKCSYEVTTCALAREALHLLQEKKGGYDIVISDVNMPDMDGFELLADVGLEMDLPVIMMSVDGATSRVMKGVQLGACDYLLKPIRMKEIQNIWQHVVRKRIYEPRDIEILEGMETMQMTTNGSDQSDDGHLPCGEDPTSARKRKDVESKHDDEDPRDTSPTKKARVVWSVELHQKFIKAVNQIGFHEAGPRKILDLMNVPWLTREKVASHLQKYRLYLSRLDRVKDLRTCVGGIYYPESPALDSTASAETQYSCNMWHKGVSNASCGFSGDSLSLYYLDPRSYGKSYVSDEEETVSVPVIASKRSLTTYNSNTWKSRSSEPEFSSPSSESEVNFAVFDSTFLFAYSRDDDKNHRNDDEQTSSKNQ >Manes.10G091300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23556089:23569003:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRRVPLVLWRLFRDRARTLATTITSLIPPHSPLPPPSCHCKGRLCLNCCGTAENAMSFLLQPRDPSDYRKLLNHCFVVVNDDAPPFVTTEFRPRSNWPQHEIVVRTIEMIMREQSSSSNVICCAYDKCNHSSTVVELLTSSAWSLLLERVGDGIMFYLLKNTSIFLPLPPKKHQQVAGPPVSNLVLELTKHATGTEPQHQDPLFIHFGHEMKRDKDDSANPTSDIQQYTPLCIPGSIGCVCGNGGNSIKPFSRNTASIYGHMSVSGTAAPTVGADSTNYVGRPNEMVNPKKRSRPFRWQRRKKHKHSDTDESTSIKPSNDHPADGCIQMGVAEAAPLTTRACALKYVWFSNENFQGSNQVIVKPQKRSRLFQWQSCKKHRHLDAEELSDNTCHLNEDRTLRELQCDLNNNKNGFHEKMLQCSCFHVLQSAHLVSKGAQINRQPMFYNLENTSSVLPRKHLLNSLKPNLAGSKSLFRSIFGLSESDVNVSAPSVPCSHSSTFCITGSSCLYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYMYLLIKKKMRSANTGSYFHPVLQLAAEEVEWLGLNAFIKVLKRKQSRHKELLCMLNSKLLAHKINGTVSSQLSYAVDSSHSSVMWKIKY >Manes.10G091300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23557619:23569003:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYMYLLIKKKMRSANTGSYFHPVLQLAAEEVEWLGLNAFIKVLKRKQSRHKELLCMLNSKLLAHKINGTVSSQLSYAVDSSHSSVMWKIKY >Manes.10G091300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23556089:23569004:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRRVPLVLWRLFRDRARTLATTITSLIPPHSPLPPPSCHCKGRLCLNCCGTAENAMSFLLQPRDPSDYRKLLNHCFVVVNDDAPPFVTTEFRPRSNWPQHEIVVRTIEMIMREQSSSSNVICCAYDKCNHSSTVVELLTSSAWSLLLERVGDGIMFYLLKNTSIFLPLPPKKHQQVAGPPVSNLVLELTKHATGTEPQHQDPLFIHFGHEMKRDKDDSANPTSDIQQYTPLCIPGSIGCVCGNGGNSIKPFSRNTASIYGHMSVSGTAAPTVGADSTNYVGRPNEMVNPKKRSRPFRWQRRKKHKHSDTDESTSIKPSNDHPADGCIQMGVAEAAPLTTRACALKYVWFSNENFQGSNQVIVKPQKRSRLFQWQSCKKHRHLDAEELSDNTCHLNEDRTLRELQCDLNNNKNGFHEKMLQCSCFHVLQSAHLVSKGAQINRQPMFYNLENTSSVLPRKHLLNSLKPNLAGSKSLFRSIFGLSESDVNVSAPSVPCSHSSTFCITGSSCLYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYVYISKLLPLTSILSNDHVHCTL >Manes.10G091300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23556089:23569004:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIRKGHEMKRDKDDSANPTSDIQQYTPLCIPGSIGCVCGNGGNSIKPFSRNTASIYGHMSVSGTAAPTVGADSTNYVGRPNEMVNPKKRSRPFRWQRRKKHKHSDTDESTSIKPSNDHPADGCIQMGVAEAAPLTTRACALKYVWFSNENFQGSNQVIVKPQKRSRLFQWQSCKKHRHLDAEELSDNTCHLNEDRTLRELQCDLNNNKNGFHEKMLQCSCFHVLQSAHLVSKGAQINRQPMFYNLENTSSVLPRKHLLNSLKPNLAGSKSLFRSIFGLSESDVNVSAPSVPCSHSSTFCITGSSCLYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYVYISKLLPLTSILSNDHVHCTL >Manes.10G091300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23556089:23569003:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDKDDSANPTSDIQQYTPLCIPGSIGCVCGNGGNSIKPFSRNTASIYGHMSVSGTAAPTVGADSTNYVGRPNEMVNPKKRSRPFRWQRRKKHKHSDTDESTSIKPSNDHPADGCIQMGVAEAAPLTTRACALKYVWFSNENFQGSNQVIVKPQKRSRLFQWQSCKKHRHLDAEELSDNTCHLNEDRTLRELQCDLNNNKNGFHEKMLQCSCFHVLQSAHLVSKGAQINRQPMFYNLENTSSVLPRKHLLNSLKPNLAGSKSLFRSIFGLSESDVNVSAPSVPCSHSSTFCITGSSCLYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYMYLLIKKKMRSANTGSYFHPVLQLAAEEVEWLGLNAFIKVLKRKQSRHKELLCMLNSKLLAHKINGTVSSQLSYAVDSSHSSVMWKIKY >Manes.10G091300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23556089:23569003:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIRKGHEMKRDKDDSANPTSDIQQYTPLCIPGSIGCVCGNGGNSIKPFSRNTASIYGHMSVSGTAAPTVGADSTNYVGRPNEMVNPKKRSRPFRWQRRKKHKHSDTDESTSIKPSNDHPADGCIQMGVAEAAPLTTRACALKYVWFSNENFQGSNQVIVKPQKRSRLFQWQSCKKHRHLDAEELSDNTCHLNEDRTLRELQCDLNNNKNGFHEKMLQCSCFHVLQSAHLVSKGAQINRQPMFYNLENTSSVLPRKHLLNSLKPNLAGSKSLFRSIFGLSESDVNVSAPSVPCSHSSTFCITGSSCLYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYMYLLIKKKMRSANTGSYFHPVLQLAAEEVEWLGLNAFIKVLKRKQSRHKELLCMLNSKLLAHKINGTVSSQLSYAVDSSHSSVMWKIKY >Manes.10G091300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23556089:23569003:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGDGIMFYLLKNTSIFLPLPPKKHQQVAGPPVSNLVLELTKHATGTEPQHQDPLFIHFGHEMKRDKDDSANPTSDIQQYTPLCIPGSIGCVCGNGGNSIKPFSRNTASIYGHMSVSGTAAPTVGADSTNYVGRPNEMVNPKKRSRPFRWQRRKKHKHSDTDESTSIKPSNDHPADGCIQMGVAEAAPLTTRACALKYVWFSNENFQGSNQVIVKPQKRSRLFQWQSCKKHRHLDAEELSDNTCHLNEDRTLRELQCDLNNNKNGFHEKMLQCSCFHVLQSAHLVSKGAQINRQPMFYNLENTSSVLPRKHLLNSLKPNLAGSKSLFRSIFGLSESDVNVSAPSVPCSHSSTFCITGSSCLYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYMYLLIKKKMRSANTGSYFHPVLQLAAEEVEWLGLNAFIKVLKRKQSRHKELLCMLNSKLLAHKINGTVSSQLSYAVDSSHSSVMWKIKY >Manes.10G091300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23556568:23569003:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLRYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYMYLLIKKKMRSANTGSYFHPVLQLAAEEVEWLGLNAFIKVLKRKQSRHKELLCMLNSKLLAHKINGTVSSQLSYAVDSSHSSVMWKIKY >Manes.10G091300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23556089:23569004:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDKDDSANPTSDIQQYTPLCIPGSIGCVCGNGGNSIKPFSRNTASIYGHMSVSGTAAPTVGADSTNYVGRPNEMVNPKKRSRPFRWQRRKKHKHSDTDESTSIKPSNDHPADGCIQMGVAEAAPLTTRACALKYVWFSNENFQGSNQVIVKPQKRSRLFQWQSCKKHRHLDAEELSDNTCHLNEDRTLRELQCDLNNNKNGFHEKMLQCSCFHVLQSAHLVSKGAQINRQPMFYNLENTSSVLPRKHLLNSLKPNLAGSKSLFRSIFGLSESDVNVSAPSVPCSHSSTFCITGSSCLYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYVYISKLLPLTSILSNDHVHCTL >Manes.10G091300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23557619:23569003:1 gene:Manes.10G091300.v8.1 transcript:Manes.10G091300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLSGHEMKRDKDDSANPTSDIQQYTPLCIPGSIGCVCGNGGNSIKPFSRNTASIYGHMSVSGTAAPTVGADSTNYVGRPNEMVNPKKRSRPFRWQRRKKHKHSDTDESTSIKPSNDHPADGCIQMGVAEAAPLTTRACALKYVWFSNENFQGSNQVIVKPQKRSRLFQWQSCKKHRHLDAEELSDNTCHLNEDRTLRELQCDLNNNKNGFHEKMLQCSCFHVLQSAHLVSKGAQINRQPMFYNLENTSSVLPRKHLLNSLKPNLAGSKSLFRSIFGLSESDVNVSAPSVPCSHSSTFCITGSSCLYHSLVKLLKLLIRRTHCCKHMRLLDKHCVLSLAQITYLNSNSVLKDNHSKIDVPEKSRGLSTKHCKRTAETNDDQTEAIKSYCSKSQVVSFIWAACRNIVPPDLLGIPSNWRILRRNISKFIQLRRFEKFSLRQCMHKLKTSGFPFLSDKQSLCCLEAEVLNNVQGENLDMRMEFYRLNDATSNLKHMLLEKWILWFFSRLVVPLVQANFYVTESEHGKQDIFYYRKSIWEKLKDRTIGCLKDQNYHFLDASDVKRIISNRLFGFSKLRLCPKENGARMLANLKAPSRMLVQESSSIGMLGKAQPRCQSVKYKHFKSVNCVLRDTYAVLKGIQLKEPERLGSSVFDYNDIYKKLCPFIVGLKNELGSLPDVFIVAADVSKAFDTINQDKLLNVMKDVIHEDEYLLQRSSQVVCTKKSLWVHENLILRDPDISAGFIKSYSACFGSLQTVLVNQGSIRYMKKRELFFNLNEHVKRNVLQLDKTFYLQGIGIPQGSILSSLLCSLYYGHLERNVIFPFLDKNCELATEDLSRRHNCQDAPVPGNSSENRVSSSCYMLLRLIDDFCFISTSKRLAAAFYTRLQGGFPDYNCYMNEDKYCLNFDARHASGLPSNRVYVGEDGISFIRWSGLLLKSCTLEVQADYTRYLNKHLRSTLTVSWQGKPGHRLKTKLCDFMRPKCHPIFFDSNINSGSVVRLNIYQSFLLCAMKFHCYVSEMMYICKLHPISHLKIIGRSLRYMYLLIKKKMRSANTGSYFHPVLQLAAEEVEWLGLNAFIKVLKRKQSRHKELLCMLNSKLLAHKINGTVSSQLSYAVDSSHSSVMWKIKY >Manes.17G112700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31945962:31948188:1 gene:Manes.17G112700.v8.1 transcript:Manes.17G112700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSISPCAACKSLRRKCLPNCIFSPYFPPEEPQKFAIVHKIFGASNVNKLLNEVLPHQREDAVKSLAYEAEARLKDPVYGCVGAISVLQSQVIKLQKELDATQADLIRYASSSPSPPSSVLFGRSRMGHGGSSSASYDQNSVFYYPSPRSNETCGHTQERGDHGSI >Manes.17G112700.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31945962:31948188:1 gene:Manes.17G112700.v8.1 transcript:Manes.17G112700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSISPCAACKSLRRKCLPNCIFSPYFPPEEPQKFAIVHKIFGASNVNKLLNEVLPHQREDAVKSLAYEAEARLKDPVYGCVGAISVLQSQVIKLQKELDATQADLIRYASSSPSPPSSVLFGRSRMGHGGSSSASYDQNSVFYYPSPRSNETCGHTQERGDHGSI >Manes.17G112700.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31945962:31948188:1 gene:Manes.17G112700.v8.1 transcript:Manes.17G112700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSISPCAACKSLRRKCLPNCIFSPYFPPEEPQKFAIVHKIFGASNVNKLLNEVLPHQREDAVKSLAYEAEARLKDPVYGCVGAISVLQSQVIKLQKELDATQADLIRYASSSPSPPSSVLFGRSRMGHGGSSSASYDQNSVFYYPSPRSNETCGHTQERGDHGSI >Manes.17G112700.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31945962:31948188:1 gene:Manes.17G112700.v8.1 transcript:Manes.17G112700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYSISPCAACKSLRRKCLPNCIFSPYFPPEEPQKFAIVHKIFGASNVNKLLNEVLPHQREDAVKSLAYEAEARLKDPVYGCVGAISVLQSQVIKLQKELDATQADLIRYASSSPSPPSSVLFGRSRMGHGGSSSASYDQNSVFYYPSPRSNETCGHTQERGDHGSI >Manes.14G166700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26724658:26729320:-1 gene:Manes.14G166700.v8.1 transcript:Manes.14G166700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGFVSKENPVKAKDKKKKKKKRGGTKKKMTAEQTLAFKAVTEWVYLDQQSSPPLVSSASACVVDDFGVQKTMVRGGEKVVFELHSHSKFSDGFLSPSKLVERAHGNGVKVLALTDHDTMAGIPEATEAARRFGIKIIPGVEISTIYSPRNSEAEEPVHILAYYSSCGPAKFDELEKFLANIRDGRFLRAKDMILKLNKLKLPLKWEHVARIAGKGVAPGRLHVARAMVEAGYVENLKQAFAKYLYDGGPAYSTGSEPLAEEAVQLICETGGLAVLAHPWALKNPVAVIRRLKDAGLHGLEVYRSDGKLAVYSDLADVYGLLKLGGSDYHGRGGNGESELGSVNLPVLALHDFLKVARPIWCGAIRAILENYAKEPSDTNLARITRYGRTRISPSSCGMDLIDCCLSSWLTNEERQNSEFEAIKLKLSHISVNEGGLHVPIESK >Manes.04G143600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34197453:34203513:-1 gene:Manes.04G143600.v8.1 transcript:Manes.04G143600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVIGIDDVDKLARQPNSIVISCNLKDRGGCTVEDFCNHIHRSLVKDVKYVLVWGLSARHYPQHCGLSHVLQDEDVVQIVKKKEKEDGGRGRFKSHSTAPARISDREKKAPLKT >Manes.04G143600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34197453:34203513:-1 gene:Manes.04G143600.v8.1 transcript:Manes.04G143600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVIGIDDVDKLARQPNSIVISCNLKLNLDRLLAKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRSLVKDVKYVLVWGLSARHYPQHCGLSHVLQDEDVVQIVKKKEKEDGGRGRFKSHSTAPARISDREKKAPLKT >Manes.04G143600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34197453:34203513:-1 gene:Manes.04G143600.v8.1 transcript:Manes.04G143600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGDGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVIGIDDVDKLARQPNSIVISCNLKLNLDRLLAKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRSLVKDVKYVLVWGLSARHYPQHCGLSHVLQDEDVVQIVKKKEKEDGGRGRFKSHSTAPARISDREKKAPLKT >Manes.06G058401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15968092:15971451:1 gene:Manes.06G058401.v8.1 transcript:Manes.06G058401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLIEPNKGGDRPTKRPRLGDGDSSIDGAAGGDNKDAMTKEEIDFIKLLEDELEKFNTFFVEMEEEYIIRLKELQDRVAKAKDSNEEIIKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKECETMLDRLFPKYEPPSSVEAVDGDEGCDPSTSTTTKNDDLLRVPKELAEIEHMKSLYMKSTISALRVLKEIRSGSSTVSVFSLPPLQLGALDDTWKKVPILEQEAK >Manes.03G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27155607:27158642:-1 gene:Manes.03G143900.v8.1 transcript:Manes.03G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMACRAEFGPAQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEVYEKTGKLPDPSSTDNAEFQIVLTIIRDGLKTDPKRYHKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSAADIFVTTTGNKDIIMVDHMKKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETNSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWREKGTGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKDQADYISVPVEGPYKPPHYRY >Manes.16G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32576149:32578857:1 gene:Manes.16G123600.v8.1 transcript:Manes.16G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKVCWPYFDPDFENFPERIYGPTCRVCIDNESMEDYTLVMVDSVNKQGLLLEVVQVLTDLNLIISKSYISSDAGWFMDVFHVKDEHGKKLTDQKVIDYIRQALNTTRETPSPAKAKAYVNDFFDDHINEHTAIELSGTDRPGLFSEISAALVDLHCNIVEAHAWSHNARLACVAYISDQSTHTPIEDPLRLANIEDHLTTVIRSTGPQLPNNQEVKTGGGFVGGEGTAVTNVERRLHQLLLSVRDFDEPTGSPTMRSESGSVSDSEEGERKTVVLIENCDEKGYSIVSIECKDRPRLMFDTVCTLTDMQYVIFHASIGSGDDGYAFQEYFIRHVDGYALNTESEKERIIKCLEAAIERRVCEGVKLQLRAENRVGLLSDITRILRENGLTVVRADIATQGEKAVNAFYVKDISGNEVDLDFVECMKKEMGPIDLEVKNDYMMRPSSRERAHLSIGGMLKSHLERISQNFIPIK >Manes.13G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6647525:6651179:-1 gene:Manes.13G057600.v8.1 transcript:Manes.13G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSQRTGNNPPAKPWEQAGTSSSGPTPFKPSSPGSTSNVVEASGTAKPGEIVSAADRNTTAARNSLGRPVPTRPWEQQMNGSSYGGYGSGLNYNSGYGSGMHGSSYGGYGGTYGSGLYGNNMYRGGYGGLYGGGMYGGGMYNSGFGGPMGGYGMGMGPYGDQDPNNPYGAPSSPPGFWISFLQVMQGVVNFFGRISILIDQNTQAFHMFMTAMLQLFDRTGLLYGELARFVLRLLGIRTKPRKVQGQGPDGLPAPRNPHGNQNYIEGPKGAPSGAWDNVWGDN >Manes.03G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21675344:21680835:-1 gene:Manes.03G085500.v8.1 transcript:Manes.03G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSADCREVVLKMDEKDDPAARSFQPTNAEKIWRDSSYDFWKDKEEYKQILENGTEDFGFDFSQNNFPDARDNAASSSSMEDPPSKLIGQFLHKQEASGDFCLDMDLEMAELQNDGVVFHRSLTTVSESPTSMNRVTFDPNPPGSGEAVSRRHQNKDSPSKNSTGSASCGGVDGEILKCSSRNDGMFSNSASFKRKSHLLKERTKSRLMDPPPQPPEKSERVVGRSGQSGFTGKDSVIDDEEDDSLLEEDLPEEYKKDKLDIWILLEWVSLILIIAALVCTLVIPYWRKKNLWKLRLWKWEVLVLVLICGRLVSGWAIRIIVFFLERNFLLRKRVLYFVYGIKKAVQNCLWLGLVLIAWHCLFDKKVERKTRSRILRYVTKVLVCLVVGTLLWLVKTLVVKVLASCFHVSTYFDRIQESLFNQYVIEALSGPPLIEIKRNEDEEERIAVEILKLQNAGAALPASLKASTYPSPLRGKIISGRIQRSPRLSSPRLSHALSEKANEENDGITIDHLHKLNPKNVSAWNMKRLMNIIRYGTLSTLDEQIRDTSHDDDESATKIRSECEAKAAARKIFQNVARTGSRYIYLEDIMRFMQEEEALKVMSLFEGTSESKKISKSCLKKWVVNAFRERRALALTLDDTKTAVKKLHRVVNILVGILIVVIWLLILGIATSKLLIFISSQLVLVAFVFGNTCKTIFESIIFLFVIHPFDVGDRCEIDGVQMIVEEMNILTTVFLRYDNQKIIMTNSVLATKAISNYHRSPDMGDTVEFHIHIATPAEKIAAIKQRINSYIENNKEHWYPSSMIIFKDVDNLNSVKIAVWLTHRMNHQDMGERFSRRALLLEEIVKILKELDIQYRLLPLDINVRALPSVSSDRVPPSWMI >Manes.03G085500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21675344:21680835:-1 gene:Manes.03G085500.v8.1 transcript:Manes.03G085500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSADCREVVLKMDEKDDPAARSFQPTNAEKIWRDSSYDFWKDKEEYKQILENGTEDFGFDFSQNNFPDARDNAASSSSMEDPPSKLIGQFLHKQEASGDFCLDMDLEMAELQNDGVVFHRSLTTVSESPTSMNRVTFDPNPPGSGEAVSRRHQNKDSPSKNSTGSASCGGVDGEILKCSSRNDGMFSNSASFKRKSHLLKERTKSRLMDPPPQPPEKSERVVGRSGQSGFTGKDSVIDDEEDDSLLEEDLPEEYKKDKLDIWILLEWVSLILIIAALVCTLVIPYWRKKNLWKLRLWKWEVLVLVLICGRLVSGWAIRIIVFFLERNFLLRKRVLYFVYGIKKAVQNCLWLGLVLIAWHCLFDKKVERKTRSRILRYVTKVLVCLVVGTLLWLVKTLVVKVLASCFHVSTYFDRIQESLFNQYVIEALSGPPLIEIKRNEDEEERIAVEILKLQNAGAALPASLKASTYPSPLRGKIISGRIQRSPRLSSPRLSHALSEKANEENDGITIDHLHKLNPKNVSAWNMKRLMNIIRYGTLSTLDEQIRDTSHDDDESATKIRSECEAKAAARKIFQNVARTGSRYIYLEDIMRFMQEEEALKVMSLFEGTSESKKISKSCLKKWVRTESTGFDIG >Manes.10G031600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3195371:3198641:-1 gene:Manes.10G031600.v8.1 transcript:Manes.10G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAAAAAAATTAAATEGVVEPNGSAPQNIIKGKEPRYRGVRKRTWGRFAAEIRDPLKKTRVWLGTFDSAEDAARAYDAAALSLRGPKAKTNFVISSSHLSPFTYQNPPDSFMDHRLYTADGFDEHHVNPQRPTSSSQSSTVESFSGPRPPVSTTATKRSRILLSATAPKKTHQRTQPMVPEDCQSDCDSSSSVIDDGDIASSSSLSCRKPLPFDLNFPPMDQVDFVIDDLQCTGLCL >Manes.17G055300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25305796:25306209:-1 gene:Manes.17G055300.v8.1 transcript:Manes.17G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKEGGIVKKGHEEGIKMAVSILEEFGLPLGLLPLEDVIEVGFVRSSGYMWIHQKKKVEHNFKMISKLVSYDSEITGYVSKKLIKKLKGVKAKELMLWPPVSEIIVDDTPTGKIHFKSLAGITKTFPVEAFAAGQ >Manes.01G152900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34047734:34052057:-1 gene:Manes.01G152900.v8.1 transcript:Manes.01G152900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPSSSLSPKTVERAVNALLKWRAAKSKIQKPQLLEHDEFVYLILTLKKIPQKGVSRINAHKIPLPNPLINPQNDNSELCLIIDDRPKSGLTKDAVKKKIQNDDIPISKIIKLSKLKTDYRPFEAKRKLCDSYDMFFADKRIIPLLPKMLGKQFFKKKKIPVAVDLKHKNWKEQIEKACGSGLLFLRTGTCSVVKVGKVSMAREEIVKNIVAAIHGIAEIVPRKWGGIRSFNLKLLESLALPVYQALPDLKLKIEGVKEGEKVEQEVEKEVVEEEKVKKKKGRIHEIRYMDSNVNEDDIELNSDGEGDIDNADGGYNDNTSALEVKKRKKGEKGGNENKIKVKKEDRVKQKKNVEKAKNEDGIKQKKHKKTQLVSTKLK >Manes.10G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18314303:18316395:-1 gene:Manes.10G078800.v8.1 transcript:Manes.10G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNEQQNQAGRHQEVGHKSLLQSDELYQYILETSVYPREPEPMKELRELTAKHPWNIMTTSADEGQFLNMILKLMNAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIQKAGVAHKIDFKEGPALPVLDQMIAEGKYHGTFDFIFVDADKDNYLNYHKRLIELVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVKYYRDFVMELNKALPADPRIEICMLPVGDGITLCRRIK >Manes.09G098500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29697685:29700108:1 gene:Manes.09G098500.v8.1 transcript:Manes.09G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKQVASSSSFTSELFGSKDSTSSTGIFGSIFAPPSKVLGRGLLRSEVLGKKQGSVNEAWNTKPGTPDDSSKSNHGEGQNVPSRDTNSFYQEQRVQPCHLSSSIYYGGQDIYHHPQNSQNSNMTSMFKKDGTEDDSGSASRGNWWQGSLYY >Manes.09G098500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29697305:29701725:1 gene:Manes.09G098500.v8.1 transcript:Manes.09G098500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKQVASSSSFTSELFGSKDSTSSTGIFGSIFAPPSKVLGRGLLRSEVLGKKQGSVNEAWNTKPGTPDDSSKSNHGEGQNVPSRDTNSFYQEQRVQPCHLSSSIYYGGQDIYHHPQNSQNSNMTSMFKKDGTEDDSGSASRGNWWQGSLYY >Manes.09G098500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29698134:29700946:1 gene:Manes.09G098500.v8.1 transcript:Manes.09G098500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQVLGRGLLRSEVLGKKQGSVNEAWNTKPGTPDDSSKSNHGEGQNVPSRDTNSFYQEQRVQPCHLSSSIYYGGQDIYHHPQNSQNSNMTSMFKKDGTEDDSGSASRGNWWQGSLYY >Manes.09G098500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29697305:29701725:1 gene:Manes.09G098500.v8.1 transcript:Manes.09G098500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKQVASSSSFTSELFGSKDSTSSTGIFGSIFAPPSKVLGRGLLRSEVLGKKQGSVNEAWNTKPGTPDDSSKSNHGEGQNVPSRDTNSFYQEQRVQPCHLSSSIYYGGQDIYHHPQNSQNSNMTSMFKKDGTEDDSGSASRGNWWQGSLYY >Manes.09G098500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29697305:29701725:1 gene:Manes.09G098500.v8.1 transcript:Manes.09G098500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKQVASSSSFTSELFGSKDSTSSTGIFGSIFAPPSKVLGRGLLRSEVLGKKQGSVNEAWNTKPGTPDDSSKSNHGEGQNVPSRDTNSFYQEQRVQPCHLSSSIYYGGQDIYHHPQNSQNSNMTSMFKKDGTEDDSGSASRGNWWQGSLYY >Manes.02G019000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712607:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712607:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712607:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712121:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1711744:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712607:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712607:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712607:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712607:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712607:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.02G019000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1712580:1718429:-1 gene:Manes.02G019000.v8.1 transcript:Manes.02G019000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKTYGQKPLVNDRGLSLGSMHGVVGLKFSSLINSDLTWKKAAKGNRTSSRRARNSVSRSWNSGEDLIKMDSNTVDMSFSESEKLGVSVLGCRFSENADHVPIKKRKLKFKSPSLSFIAPSQHLEENERLLRSQPGVVDYAASASDLGQTVDIELDDKEKNLEKENKQLNEMEDFSGIFILAAAACRDSFGEVNEEGSEVEVSYVREGSLMKNQLHPLPERNFKDDLSSAKVSSQETGFSISMVHSEKLPSSLRMYEASTDDILQANNVQNKSMGDCSMAVVKDHLTKNFEETDGVCGFSSQDDRSFWDLNTSMDAWSCPVDDQCAESNSLDGIIEDVKGDNCSNIIGSSESGSMTRGIGGIRCHTEMELPPADPGGMVHEEKFVDGISCCGEKLSSSVSVDSVTVSVDRNKSASAQCLTFSPTSNSKCLSAHQIAELNSSEHNPQPSKTIPSLSTSISKAICDAGSSDGTSVKNEGGCGSIACETVSSGLQVEEVDLAPSVTSSVNTICKTDVLNEDSKCAKENSLSQFNDVEFLPSSCIDVEIQTLNGNVFEHDETDKIEYKEVEELVTKSSEMSTALSHIPSDAYQKSSKDIVNSFDNMAVEEPSDNGYNSESSHDAHACMKASRCEVDYDSQYEDGEVRESMEHTWQEHNGEHIEATQPDYSSDNENSGSAAEKIMTNTHRMDFKSCLSKLRGKDVINVVKTGCNNYSKFSIGDKEITDDRTYTRKEDSRIRARNDNVWKNDHTDGAVGPDAVDQTRVAESRKFRREFCSHIEERAFSDIHFRRDRGRYGQDPHARSRGDDRSTDPRASSRGLKHHRSTEYRVPASFHHRGRTKGLHHRVTRSRSPDARDEVLGRHQHIRSSRNLSPGWHVTLGKGTSMRYGLQVEARGPRRRYHRSEVDECCHSSIAHRHPFAKRERSFSPVKRRDPQVPQSHSKSSSRSRSQSSSSMNPCIRSRSKSPNFGSAVRMQRVRSSDRRPGLSVDHMRGFRTARRDHCSPHRNTRWAVDGKDSVFHFREQSYNTHSSLGRRSMGKFAQQDDRFVFADSSRSFRSVHPRRFMEMSGPGGRSLRYEESDDDRGKRRYRSGLAHSAKQNDMEGPVKRFRYNVADSYVSRYRNAPDFFGKDTPKCHGRIIDSQIGDIPGKFKEDRVPFTYQREAKYDVDSKSSGVQEGEDEMA >Manes.03G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1086057:1090091:-1 gene:Manes.03G012900.v8.1 transcript:Manes.03G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFRSQSVLLIFYIQFCLSLLAPALAISSGSGDGYTINGRVKITGMDAKGFGLPGKLSNVKVILNGGQGITSLRPDGYFSFNNVPAGTHLIEVAAVGYFFSPVRVDVSARFQGKIQAALTENRRGLSELVLEPLRDEQYYEIREPFSIMSVVKSPMGLMMGFMLVVMFVMPKLVENMDPEEIRRAQEEMRQQGVPSLANLIPGAQR >Manes.11G006200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:727813:729374:1 gene:Manes.11G006200.v8.1 transcript:Manes.11G006200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETNQESPSLLSNNNSIKEEPSRKQLPATGSSGFAAAGGDRLKRDEWSEGAVSSLLEAYESKWVLRNRAKLKGHDWEDVARYVSSRANYTKSPKTQTQCKNKIESMKKRYRSESATADASSWPLYPRLDLLLRGSAAATAAAAAAAVPPPQPPPQQQPSPLQVSNHSPPLMLLETSHLLLPQPNPQPPAPQPPAPPPPPPPPAIVTAQNSHGSNGVDRGAKEDGVGTKLSDHVSDKNAMDTDSSTPALYSDKEKLRFKKMKMKMDKKKRRRKEEWEIADSIRWLAEVVVRSEQARMETMREVEKMRIEAEAKRGEMDLKRTEIIANTQLEIAKLFAGVGKGVDSSLRIGRN >Manes.14G084550.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6993503:6994899:-1 gene:Manes.14G084550.v8.1 transcript:Manes.14G084550.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHNSSSSSANDPRQPSAAKPYVQPIVLPQDLPVDYAGFIAVVFGVAGVMFRYKLCSWLAVIFCAQSLANMRNIENDLKQVLMASM >Manes.01G187300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36406676:36408360:1 gene:Manes.01G187300.v8.1 transcript:Manes.01G187300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYGLLDESQNKLDYVLALTVENFLERHLQTLVFKSGMAKSIHLARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHNDFSLTSPFGGGRPGRVKRKNQRAANKKSSGGDGDEEDED >Manes.01G016100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4110870:4111855:1 gene:Manes.01G016100.v8.1 transcript:Manes.01G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNESATIIILKILAYQRLVVLFPLSSFLFFLESSSLVLCYPQSLPPPSTQWRLPSMPESLESFFSVFLLCMQASKPFRTKLQREIFFRYTSWWTRSRRFSLLFIGSRLDSLRRATSLCLLVP >Manes.15G108200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8665208:8666921:-1 gene:Manes.15G108200.v8.1 transcript:Manes.15G108200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSPSASAGEGPQPAKTPCCSKVGLKRGPWTPEEDELLVNYIKKEGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPCVKRGQIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNLESFDHQKASSSKANRKTSGLMSNNNNSTVAAPSSGVEETNSGGSTQIISNKENVCIENTSLDHHYQLTGNNNADPSHGYSSLLNCGNGTCGMHLISNAQGLSNEEDEDINYGTDDVFSSFLNSLINEEAFTSQQMQQEGNLIVASSDPLLSTSTSTFGYGPSWESVLMSPTNQNDPSKRVNDHLH >Manes.15G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9159497:9167044:1 gene:Manes.15G115400.v8.1 transcript:Manes.15G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSVRFTLGKQSSLAPERQPKDSDTEEEELEEIDPSVRLMYAANEGNLDGIRELLDLGIDVNFRDIDNRTALHVAACQGYADVVSLLLDNGAQVETKDRWGSTPLADAIYYKNHDVIKLLEKRGAKPLMAPMHVNHAREVPEYEINADELDFTNSVEITKGTFRIASWRGIQVAVKRLGEDVISDEEKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLRQFLKRKEALKPATAVRFALDIARGLNYLHENKPPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEEKPLTCQDTSCRYVAPEVFKNEEYDTKVDVFSFALILQEMIEGCAPFSAKQELDALKSYTAKERPPFRAPAKHYSRGLKELIQECWNENPAKRPTFRQIITRLESIYNSIGHKRRWKVRPLKCFQNLEAMLKKDLSLTDSSRSSRSTNSK >Manes.08G128200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36905433:36908944:-1 gene:Manes.08G128200.v8.1 transcript:Manes.08G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHSLVVDAWIREAQEVSVLVEDIENRIKNKDLAQGHRLRDNAQSKLLEVGVKLDRLESLLHNPPSKPILTKDDMKFRWEMLADFRLRTRVLVVSLYTSPSSKRAGRVTVSNAKETCKLTESGHQDHMMPFLSEDDPEMLKPLISEDASQSHMQMKRSGSCIPMSLLKKVCWIICLIIGAAALLFLLFIFCAAI >Manes.03G124300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25527017:25530463:1 gene:Manes.03G124300.v8.1 transcript:Manes.03G124300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLRSWPHKTLIKTPNSSPFSCFSRFLSHSFSNDPYDPPFAVTSKPTKSKHKPKRTPTKSTEPIVEPNDLKLPLKSDLPFEFRYSYSESNQAVEPIGYREPKRFSPFGPGRLDRKWTGTAAPVQSEVDMEKVIEERNRVLGEPLTEEEVDELVERYRHSDCSRQINIGKGGVTHNMLDDIHNHWRRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKIIYRHINILLLYRGRNYNPENRVVIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRNRGLNSPALMKLTRNGVYVNVVERVREAFKTEEVVRLDCTHVGTSDCKKIGVKLRDLVPCVPILFKDEQIILWRGTRDQQQDPSASLMSPLHA >Manes.05G123601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:21278373:21278714:1 gene:Manes.05G123601.v8.1 transcript:Manes.05G123601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSNSKNPHELPSFLTQAPKTLQQHQNQSQQQPQKASMGENKPAEIKDFQIVIADKEDQKKQLAPKRSSNKDRHTKVEGRGRRLRMPTLCAARIFQLTRELGHKSDGETI >Manes.03G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21861717:21864358:1 gene:Manes.03G091800.v8.1 transcript:Manes.03G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRLKVQAFSNGFEQQDLGSSSKDCQNYCAERRNGESLQENERVSAEKDKHVVYGATNEWNHEHADVSQVVNSPSEGCNQFSLDFLAFFAALLTKFLGFQFSLMVSFLTFPIWLSYFSFMFMMFPFRTLRHIRGYFIKKLLNFWGISGRNVTEKAQKSIGNIAVRFGCAIFWSSYVFFMLLGLLASGFVFGGLLMRNIVDKPVQAREMILNFDYTKTSPVAFVPVMPYSGVSDPSGLVDKDDLKAAMEVGERVIPHNQKLQLIISLTVPESDYNLKLGIFQVKVEFLSARGKVTASSSHPCILRYKSQPIRFVETIVKSPSFLAGFQSETQTLNVEMNQFIEGLEPTAYLKVMLESRAEYKSGAGIPEIYGASLSLESDLPRLKRLIWNWRKTIFVWTSIVLFFVELMFFLLFCRPVILPRGKPRIAYDQKEARCNIICWYRNR >Manes.12G150400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35874179:35878281:1 gene:Manes.12G150400.v8.1 transcript:Manes.12G150400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRLLSSLLSSSSILNPKISAKPVSHIPIPPFQSLQSLQCPNSSNYSSNGAFSVVGIRAYSLLSLNDLRDKVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPMRRRLPKRGFKNPFSLTFQPVGLGKIAKLINEGKIDSHELITMKTLKDTGAIGKQIKDGVRLMGRGADQIKWPIHLEVSRVTVRAKQAVEAAGGSVRRVHYNKLGFRALLKPEWFEKKGRLLPKPARPPPKLKDKVDSIGRLPAPTKPIPFYAEEKEAAPTAA >Manes.16G024200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2607384:2610563:1 gene:Manes.16G024200.v8.1 transcript:Manes.16G024200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVILNCLINIAKEKFDIMDEDEQWEHTIPASAFGKVLMASYSEINTSYGTSPVTPTSVLPSQFMGSLTSDEFGNISCSSPLLRSLRVQAVGKLNPVDMKRLSFHMPPNMGGRGYRTSNLKKNEDDEIMEDVEAESKSLNQRNNVDEEMVFEIEAGDNSEGTEVAKVNNESDCCMENSTPEIEMAEATLTPEAREFLNTPDILSHYVESAPPPTSTLISPMSWADVGAPLPRCDPLPAPMLQPNRAALPPPPLFVQQPNVKRQLPPSPLSLPPPMLQHNIAKAVAELSQLPLQILLPLLLPPPPMSLPNVAAQVGALKVLPPPTHTPMQSETLTGVLPLPPPPPPSSTISSNGSMSLMPAPPSAQAKRAAPPPPPPGATRSLCPKKAQTKLRRSKQMGNLYHILKRKVEGGNPNIRSAVRRKGSPPSCSGGKQGLADALAEMTKRSAYFRQIEEDIQKYSKAITELKTAIISFKNKDMAELIKFHKQVESVLEHLTDETQVLARFDGFPEKKLEALRTAAGLHSKLNRIVHELQNWEIVAPLGQLLEKTERYFSKIKGEMDTLERTKDEESKNFQSHNIHFNFHILVQIKESLVDVSSNCMELALKEKREARTANRNKTNGKMLWRAFQFAFRVYSFAGGHDDRADKLTRELAHEIETDPHHK >Manes.03G122700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25011884:25018909:-1 gene:Manes.03G122700.v8.1 transcript:Manes.03G122700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSGAQQQQQQKRPNNGFLPSSFRTISSYLRIVSSGASTVARSAASVAQSIVDRNGDGNNDQVNWAGFDKLEDEGDVIRRVLLLGCRSGFQVWDVEEADNVCDLVSRRDGPVSFLQMVPKPIASKRSADKFADSRPLLVVCTDVTLSGGSTFQDGLTTPYNGSTPDPHDLGNVSFVPTIVRFYSLRSQSYVHVLKLRSAVYSVRCSSRIVAISQAAQIHCFDVATLEREYTILTNPIVTGYPCPGGTVYGPLAVGPRWLAYSGSPIAVSNSGRVSPQHLTPSASFSGFNSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYYSELLPDSSGSLQSGSPGRKVNGSVNGHLLDADNIGMVVVRDIVSKCVIAQFRAHTSPISVLCFDPSGTLLITASVHGHNINVFKIMPGLLGSTVTGDAGTSYAHLYRLQRGFTNAVIRDIGFSDDSNWIVISSSRGTNHLFAINPFGGSVNFQTSDASHTSKNSGLGVMMKSSVRWPPNLGLQMHEQQSICAPGPPVTLSVISRIRNGSNCWRDTVTGAAVAAAGRSASLSGAIASAFHNFKDNNDLYADGATLKTKYDLLVFSPSGCMIQYVLRLSMGMDATTVVSGLGTAHESVPESDGRLAVEAIRKWNICQKLNRREQEDNVDIYGENGTSDSNKIYPEGKTKGNFLHPEGRGAITKAKISLEEKHHLYISEAELQMHLPRIPLWAKPEICFQLMMAEEIKMNGETGIQGEIELERIPTRLIEVRSKDLVPVVDYLQHARVPALGSNFKGRQHHQRSVPSESGRLSLRSSPGALDCMIDYAAVATPKHQNGVEEIGWNGPRMPVESMGFVNGSNSPKTDTWLENVNNTESLRTEAQLKFVNSNNSGPNAENHFEDEGDEFD >Manes.03G122700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25011884:25018909:-1 gene:Manes.03G122700.v8.1 transcript:Manes.03G122700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSGAQQQQQQKRPNNGFLPSSFRTISSYLRIVSSGASTVARSAASVAQSIVDRNGDGNNDQVNWAGFDKLEDEGDVIRRVLLLGCRSGFQVWDVEEADNVCDLVSRRDGPVSFLQMVPKPIASKRSADKFADSRPLLVVCTDVTLSGGSTFQDGLTTPYNGSTPDPHDLGNVSFVPTIVRFYSLRSQSYVHVLKLRSAVYSVRCSSRIVAISQAAQIHCFDVATLEREYTILTNPIVTGYPCPGGTVYGPLAVGPRWLAYSGSPIAVSNSGRVSPQHLTPSASFSGFNSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYYSELLPDSSGSLQSGSPGRKVNGSVNGHLLDADNIGMVVVRDIVSKCVIAQFRAHTSPISVLCFDPSGTLLITASVHGHNINVFKIMPGLLGSTVTGDAGTSYAHLYRLQRGFTNAVIRDIGFSDDSNWIVISSSRGTNHLFAINPFGGSVNFQTSDASHTSKNSGLGVMMKSSVRWPPNLGLQMHEQQSICAPGPPVTLSVISRIRNGSNCWRDTVTGAAVAAAGRSASLSGAIASAFHNFKDNNDLYADGATLKTKYDLLVFSPSGCMIQYVLRLSMGMDATTVVSGLGTAHESVPESDGRLAVEAIRKWNICQKLNRREQEDNVDIYGENGTSDSNKIYPEGKTKGNFLHPEGRGAITKAKISLEEKHHLYISEAELQMHLPRIPLWAKPEICFQLMMAEEIKMNGETGIQGEIELERIPTRLIEVRSKDLVPVVDYLQHARCANFFFLIYIFLLNLSFA >Manes.03G122700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25011884:25018909:-1 gene:Manes.03G122700.v8.1 transcript:Manes.03G122700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSGAQQQQQQKRPNNGFLPSSFRTISSYLRIVSSGASTVARSAASVAQSIVDRNGDGNNDQVNWAGFDKLEDEGDVIRRVLLLGCRSGFQVWDVEEADNVCDLVSRRDGPVSFLQMVPKPIASKRSADKFADSRPLLVVCTDVTLSGGSTFQDGLTTPYNGSTPDPHDLGNVSFVPTIVRFYSLRSQSYVHVLKLRSAVYSVRCSSRIVAISQAAQIHCFDVATLEREYTILTNPIVTGYPCPGGTVYGPLAVGPRWLAYSGSPIAVSNSGRVSPQHLTPSASFSGFNSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYYSELLPDSSGSLQSGSPGRKVNGSVNGHLLDADNIGMVIRDIGFSDDSNWIVISSSRGTNHLFAINPFGGSVNFQTSDASHTSKNSGLGVMMKSSVRWPPNLGLQMHEQQSICAPGPPVTLSVISRIRNGSNCWRDTVTGAAVAAAGRSASLSGAIASAFHNFKDNNDLYADGATLKTKYDLLVFSPSGCMIQYVLRLSMGMDATTVVSGLGTAHESVPESDGRLAVEAIRKWNICQKLNRREQEDNVDIYGENGTSDSNKIYPEGKTKGNFLHPEGRGAITKAKISLEEKHHLYISEAELQMHLPRIPLWAKPEICFQLMMAEEIKMNGETGIQGEIELERIPTRLIEVRSKDLVPVVDYLQHARVPALGSNFKGRQHHQRSVPSESGRLSLRSSPGALDCMIDYAAVATPKHQNGVEEIGWNGPRMPVESMGFVNGSNSPKTDTWLENVNNTESLRTEAQLKFVNSNNSGPNAENHFEDEGDEFD >Manes.03G122700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25011884:25018909:-1 gene:Manes.03G122700.v8.1 transcript:Manes.03G122700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSGAQQQQQQKRPNNGFLPSSFRTISSYLRIVSSGASTVARSAASVAQSIVDRNGDGNNDQVNWAGFDKLEDEGDVIRRVLLLGCRSGFQVWDVEEADNVCDLVSRRDGPVSFLQMVPKPIASKRSADKFADSRPLLVVCTDVTLSGGSTFQDGLTTPYNGSTPDPHDLGNVSFVPTIVRFYSLRSQSYVHVLKLRSAVYSVRCSSRIVAISQAAQIHCFDVATLEREYTILTNPIVTGYPCPGGTVYGPLAVGPRWLAYSGSPIAVSNSGRVSPQHLTPSASFSGFNSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYYSELLPDSSGSLQSGSPGRKVNGSVNGHLLDADNIGMVIRDIGFSDDSNWIVISSSRGTNHLFAINPFGGSVNFQTSDASHTSKNSGLGVMMKSSVRWPPNLGLQMHEQQSICAPGPPVTLSVISRIRNGSNCWRDTVTGAAVAAAGRSASLSGAIASAFHNFKDNNDLYADGATLKTKYDLLVFSPSGCMIQYVLRLSMGMDATTVVSGLGTAHESVPESDGRLAVEAIRKWNICQKLNRREQEDNVDIYGENGTSDSNKIYPEGKTKGNFLHPEGRGAITKAKISLEEKHHLYISEAELQMHLPRIPLWAKPEICFQLMMAEEIKMNGETGIQGEIELERIPTRLIEVRSKDLVPVVDYLQHARVPALGSNFKGRQHHQRSVPSESGRLSLRSSPGALDCMIDYAAVATPKHQNGVEEIGWNGPRMPVESMGFVNGSNSPKTDTWLENVNNTESLRTEAQLKFVNSNNSGPNAENHFEDEGDEFD >Manes.03G122700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25011884:25018909:-1 gene:Manes.03G122700.v8.1 transcript:Manes.03G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSGAQQQQQQKRPNNGFLPSSFRTISSYLRIVSSGASTVARSAASVAQSIVDRNGDGNNDQVNWAGFDKLEDEGDVIRRVLLLGCRSGFQVWDVEEADNVCDLVSRRDGPVSFLQMVPKPIASKRSADKFADSRPLLVVCTDVTLSGGSTFQDGLTTPYNGSTPDPHDLGNVSFVPTIVRFYSLRSQSYVHVLKLRSAVYSVRCSSRIVAISQAAQIHCFDVATLEREYTILTNPIVTGYPCPGGTVYGPLAVGPRWLAYSGSPIAVSNSGRVSPQHLTPSASFSGFNSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYYSELLPDSSGSLQSGSPGRKVNGSVNGHLLDADNIGMVVVRDIVSKCVIAQFRAHTSPISVLCFDPSGTLLITASVHGHNINVFKIMPGLLGSTVTGDAGTSYAHLYRLQRGFTNAVIRDIGFSDDSNWIVISSSRGTNHLFAINPFGGSVNFQTSDASHTSKNSGLGVMMKSSVRWPPNLGLQMHEQQSICAPGPPVTLSVISRIRNGSNCWRDTVTGAAVAAAGRSASLSGAIASAFHNFKDNNDLYADGATLKTKYDLLVFSPSGCMIQYVLRLSMGMDATTVVSGLGTAHESVPESDGRLAVEAIRKWNICQKLNRREQEDNVDIYGENGTSDSNKIYPEGKTKGNFLHPEGRGAITKAKISLEEKHHLYISEAELQMHLPRIPLWAKPEICFQLMMAEEIKMNGETGIQGEIELERIPTRLIEVRSKDLVPVVDYLQHARVPALGSNFKGRQHHQRSVPSESGRLSLRSSPGALDCMIDYAAVATPKHQNGVEEIGWNGPRMPVESMGFVNGSNSPKTDTWLENVNNTESLRTEAQLKFVNSNNSGPNAENHFEDEGDEFD >Manes.15G108800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8717778:8721722:-1 gene:Manes.15G108800.v8.1 transcript:Manes.15G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVAVTILSAVVQGLASAVAEGLVKALMKKPDCNFEEELQSMNRRLVDIKGLLEKNENCDEPAVKATLAAFRDVMYEADDILTDCLIRQEDQKKRHLCNLSLFNVFSGNSQAAARKIQDLNRGIDDTKNILGKHLTAGGSSGLHGGDTEELRRYYEQSDEIFGIGDQVEKLKGWIICKGSDEKSDYKLEKIVIVGMGGLGKTTIADVIFNDSDVMKHFDRNIKVVVSHFTEEDVGRSILEKLGEKSGEQPSELGGHIADEMLNQIISRLEQESCLIILDDIWDNDHFAWWKNKFFPRLSNSTLKKTCIIVTTRDHGVASKIGVHEDRVHEPPFLEENDGLLLFSKYALASIDVKNESRRKIFEEEGKKIVAKCGGLPLAIKTIGALLGSEPNSSLAGWKRIWESFREPISQKENIVMNSLELSYKALPLPLKQCLLYFSVFPEDYKVQAERLVHWWIGEGLVQGTKSKTATEMGFEHLSKLVSRCLVEAVERRGYDGAVYSCKMHDMVRDFTLLKAKDEQICSFDQNRQHFSDHSRWWGLISATEAKSFKASSKLRALLLMFGDQAIIDINLGSFHSLRALDLSNNDLDSNALKDLFKLIISLERLAYLNLSGAKGLKEIPKSISKLRVLQLLVLNGCVNLSKLSPSVTDLKRLLVLDLESCGLKYLPHGLGTLCKLQELSGFCLERPPLFRNSCSLHELGELSELRVLRMQLSEDSKVRNEDSAVISKLKKLKVLAIDFNKGQWKEDTMFQMLDKLSPPSELKELSLRHYYHNNLPKWFSPEHLCNLEYLCMEDGHMTDISTDACTSWKVEGLRLKVVPLLKMEWYELIEKKMPILRYAEVSGCYGLANFPYPMDDINVQAIWRRSKRPEISDLHQDTTPQTQNN >Manes.15G108800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8717792:8721450:-1 gene:Manes.15G108800.v8.1 transcript:Manes.15G108800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVAVTILSAVVQGLASAVAEGLVKALMKKPDCNFEEELQSMNRRLVDIKGLLEKNENCDEPAVKATLAAFRDVMYEADDILTDCLIRQEDQKKRHLCNLSLFNVFSGNSQAAARKIQDLNRGIDDTKNILGKHLTAGGSSGLHGGDTEELRRYYEQSDEIFGIGDQVEKLKGWIICKGSDEKSDYKLEKIVIVGMGGLGKTTIADVIFNDSDVMKHFDRNIKVVVSHFTEEDVGRSILEKLGEKSGEQPSELGGHIADEMLNQIISRLEQESCLIILDDIWDNDHFAWWKNKFFPRLSNSTLKKTCIIVTTRDHGVASKIGVHEDRVHEPPFLEENDGLLLFSKYALASIDVKNESRRKIFEEEGKKIVAKCGGLPLAIKTIGALLGSEPNSSLAGWKRIWESFREPISQKENIVMNSLELSYKALPLPLKQCLLYFSVFPEDYKVQAERLVHWWIGEGLVQGTKSKTATEMGFEHLSKLVSRCLVEAVERRGYDGAVYSCKMHDMVRDFTLLKAKDEQICSFDQNRQHFSDHSRWWGLISATEAKSFKASSKLRALLLMFGDQAIIDINLGSFHSLRALDLSNNDLDSNALKDLFKLIISLERLAYLNLSGAKGLKEIPKSISKLRVLQLLVLNGCVNLSKLSPSVTDLKRLLVLDLESCGLKYLPHGLGTLCKLQELSGFCLERPPLFRNSCSLHELGELSELRVLRMQLSEDSKVRNEDSAVISKLKKLKVLAIDFNKGQWKEDTMFQMLDKLSPPSELKELSLRHYYHNNLPKWFSPEHLCNLEYLCMEDGHMTDISTDACTSWKVEGLRLKVVPLLKMEWYELIEKKMPILRYAEVSGCYGLANFPYPMDDINVQAIWRRSKRPEISDLHQDTTPQTQNN >Manes.18G088000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8078588:8086634:1 gene:Manes.18G088000.v8.1 transcript:Manes.18G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAVRDYINRMLQDISGMKVLILDSQTVSILSVVYSQSELLQKEVFLVELVDSISKSKESMSHLKAVYFLRPTSENIQHLRQQLANSRFGEYHLFFSNMLKDTQIHILADSDENEVVQQVQEFYADFVAVDPYHFTLNIPSNHIYMLPAVVDPSGLQQFSDRVVDGLGAVFLAMKRRPVIRYQRTSDVAKRIAQETAKLMYQQESGLFDFRRTEVSPLLLIIDRRDDPVTPLLNQWTYQAMVHELIGIQDNKVDLRSIGKFPKDQEEVVLSSEQDAFFKANMYENFGDIGMNIKRMVDDFQQVAKSNQNIQTIEDMSKFIDSYPEYRKMQGNVSKHVTLVTEMSKIVEERKLMLVSETEQELACSGGQVAAFEAVTNLLNNESVSDIDHLRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYIQHQPLLLQTMESIIKGRMKDVDYPFVGNHFQQGRPQDVVIFIVGGTTYEESRTVALQNASNSGVRFILGGSVVLNSKRFLKDLEEAQRIARSSTNVV >Manes.13G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4348111:4357439:-1 gene:Manes.13G035300.v8.1 transcript:Manes.13G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTHRTTISSIARMARSLRGFPALLVAVLICSASMVSAASIFQPISDSHRSAALDLFAPLDGSFGSLEETYEALRTFEVLGIDKKTDISLPACHSVLETLGSSSSASKDLFYALKVNGILKCEINEEVFEGLVSSLHAAVSSTRLLLDFYHSIGSLVLIKDQTSKDDLHLGDAEGTFHSIKALSQSDGRWRYSFNNPESSTSAAGLALEALAGVVSLSSSEIDRSLIATTKNDILKLFDSIEKYDDGAFYFDEKLIDAREHQGPLSTTSSVVRGLTAFAAVTSGSLNLPGDRIVGLAKFFLGIGIPGDAKDLFNQVDSLACLESNRISIPLILSLPATVLSLTKKDTLKVKVNTVLGSNAPSLTVKLVRVLGSNSKATSIIENQELKFEPESAEYFLDALPKSIDIGQYIFIFETVLHEPEHKKIYATESQTQVPIFFKGVVKVDNPEIEVLDSDLEIVETKNKLDLAGENLVLLSANHLQKLHLSFQLTTPLGHAFKPHQAILKLKHQTGVEHIFLVGNSGKKFDIILDFLGLVEKFFYLSGEYDIQLTVGDAVMENSFLNAIGSIDLDLPEPPEKAPRPPPQPVEPYSIYGPKPEITHIFRAPEKHPPKELSLSFLGLTLLPFIGFSVGLLRLGVNLKNFPSSSLPAVFAILFHFGIAAVLLLYVLFWLKLDLFTTLKALGLLGAFLMFVGHRTLSYLASTSAKLKSA >Manes.13G035300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4348111:4357236:-1 gene:Manes.13G035300.v8.1 transcript:Manes.13G035300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLRGFPALLVAVLICSASMVSAASIFQPISDSHRSAALDLFAPLDGSFGSLEETYEALRTFEVLGIDKKTDISLPACHSVLETLGSSSSASKDLFYALKVNGILKCEINEEVFEGLVSSLHAAVSSTRLLLDFYHSIGSLVLIKDQTSKDDLHLGDAEGTFHSIKALSQSDGRWRYSFNNPESSTSAAGLALEALAGVVSLSSSEIDRSLIATTKNDILKLFDSIEKYDDGAFYFDEKLIDAREHQGPLSTTSSVVRGLTAFAAVTSGSLNLPGDRIVGLAKFFLGIGIPGDAKDLFNQVDSLACLESNRISIPLILSLPATVLSLTKKDTLKVKVNTVLGSNAPSLTVKLVRVLGSNSKATSIIENQELKFEPESAEYFLDALPKSIDIGQYIFIFETVLHEPEHKKIYATESQTQVPIFFKGVVKVDNPEIEVLDSDLEIVETKNKLDLAGENLVLLSANHLQKLHLSFQLTTPLGHAFKPHQAILKLKHQTGVEHIFLVGNSGKKFDIILDFLGLVEKFFYLSGEYDIQLTVGDAVMENSFLNAIGSIDLDLPEPPEKAPRPPPQPVEPYSIYGPKPEITHIFRAPEKHPPKELSLSFLGLTLLPFIGFSVGLLRLGVNLKNFPSSSLPAVFAILFHFGIAAVLLLYVLFWLKLDLFTTLKALGLLGAFLMFVGHRTLSYLASTSAKLKSA >Manes.02G198901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16655508:16685040:-1 gene:Manes.02G198901.v8.1 transcript:Manes.02G198901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQSLSPVPVPKDPPKIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDAHSTSINVVVKDGGLKLIQVSDDGHGIRYEDLPILCERHTTSKLSTYEDLQSIKSMGFRGEALASMTYVAHVTVTTITEGQLHGYRVSYRDGVMVEQPKACAAVKGTQIMIENLFYNMIARRKTLQNSSDDYSKIIDLLCRFSIHHINVSFSCRKHGAARADVHSVATSSRLDSIRSVYGVSVSRNLIKIEASDSDPSSSVFDMNGFISNSNYIAKKTTMVLFINDRLVECSALKRALEIVYAATLPKASKPFVYMSIVLPPEHIDVNVHPTKREVSLLNQEIIVDKIQMAVESKLRSSDEAKIFHEQMGDPSPSCHLGTSKDLNDDSSPSASKSQKVPVNKMVRTDVLDPAGRLYAYFEAKPLQRNVKNSTLTAVRSSVRQRRNPKETADLTSVQELINNIDLNCHSGLLDIVQHCTFIGMADDIFALLQHSTHLYLANVVNLSKELMHQQVLRRFAHFNAIQLSDPAPLPELIMLALKEEDLDPESNENDGLKAKIAEMNTELLKEKAEMLEEYFCIYIDSYGNLSRLPVVLDQHMPDMDRIPEFVLSLGNDVDWEDEKCCFQAIAAALGNFYAMNPPLLPNPSGDGLQFYKRRRPVISPNNDEDPTDIGDVSVEDEIEHGLLSEAKTAWAQREWSIQHVLFPAMRLFFKPQASLATNGTFVKVASLEKLYKIFERC >Manes.12G057200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5519543:5571765:1 gene:Manes.12G057200.v8.1 transcript:Manes.12G057200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVKSTLVHLQLPLLIFLTASFCMVEEVRATQGQREFDYFKLALQWPGTYCQSTRQCCSSNACCRGSNAPTEFTIHGLWPDYNDGTWPACCRRSDFDEKEISTLLDGLQKYWPTLSCGSSSTCHGTKGSFWAHEWEKHGTCSSPVVHDEYSYFVTVLNLFFKYNVTKVLNEAGYIPSNTEKYPLGGIVSAIENAFHTTPSLVCSRGAVKELYLCFYKDFKPRDCVVGSIMKDIYSSRSSCPKYVSLPLYFSSGLGAVKTAIPWMPDNEAL >Manes.12G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5519543:5526519:1 gene:Manes.12G057200.v8.1 transcript:Manes.12G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVKSTLVHLQLPLLIFLTASFCMVEEVRATQGQREFDYFKLALQWPGTYCQSTRQCCSSNACCRGSNAPTEFTIHGLWPDYNDGTWPACCRRSDFDEKEISTLLDGLQKYWPTLSCGSSSTCHGTKGSFWAHEWEKHGTCSSPVVHDEYSYFVTVLNLFFKYNVTKVLNEAGYIPSNTEKYPLGGIVSAIENAFHTTPSLVCSRGAVKELYLCFYKDFKPRDCVVGSIMKDIYSSRSSCPKYVSLPLYFSSGLGAVKTAIPWMPDNEAL >Manes.09G183950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37140930:37153124:1 gene:Manes.09G183950.v8.1 transcript:Manes.09G183950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPTALEIIGYEEDSLALVHEEDDEKIESHVPLVSSYNDHIRPLLDAVDKLRHLNVTKEGIQLPTIVVVGDQSSGKSSVLESLAGINLPRGQGICTRVPLVMRLQHHPSPTPELFLEFSGKIVATDEAHVADAINIATDEIAGDGKGISNTPLTLVVKKKGVPDLTMVDLPGITRVPVHGQPEDIYEQIAGIIMEYIRPEESIILNVLSATVDFPTCESIRMSRQVDKTGERTLAVVTKSDKAPEGLLEKVTADDLQFSTRRAGHNLISKMKEQSINWIAEIVQMEKMTDYTCSPEYMNEWNKLMGQLDTFKNRILTYGYSKAEIAGIGEVDAGNIREHQNVLHQAFDLKMKMTAYWKIVLRRLVDYMALQLQFSVQNLVNKEMEKEIVSELMSSHGGAVETMLEESPSVAAKREKLNMSIKLLGESKKVLGNIMDKIATYND >Manes.11G124200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28577266:28593451:-1 gene:Manes.11G124200.v8.1 transcript:Manes.11G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSGPRLALKMNVSLLLISNSKSAIFPFHSLFVIRSFSSNLNEHLSIISYLINSCGLTLKSAQSVSKYKFLRFQTPERPDSVLRLLREHGFTISQISKIVKTRPHLLLAHPEKTLLPKLEFLRSIGFSRTGLSVMVSRNPDFLARSLERWLIPTYEILKSVLVSDEKVVKTLNNRFISFLPKRFFNNYSLLREIGVSESSISYLLSQSPQAMSEEVSKFAVQVEKVMKLGFDPSKFNFVEAVRVFHGLSSKSWEQKMKVYKRFGLSEDEIWSMFRKYPTCMALSEKNVIDTMDFLVCKMGWQPAAVAKTPLMLGFNLERRIMPRCSVVRVLLLKGLIKADVHLSSVLIPSEKFFFERFVTKYQEHLPELLDIFHKKMGLTQLGFGFSFDDTFKISRLKNV >Manes.10G099500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24825674:24828249:-1 gene:Manes.10G099500.v8.1 transcript:Manes.10G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGYRIEAERWLTIAEKLLTARDFQGAKSFAIRARESDPRLMEFSDQIIAIADTLLAGELRIISYNGGGSHDYYAILQLPRLSQSMELVANQYRKLALLLNPTRNRLSFADHAFRLVSEAWMVFSNPSKKAMYDHELQMSQLGQLGQLGQLGQLVMPGQEFPQGQSSQGNARRSPKISRDGRVVVDEDDVAQPDPSQSTRLETQPRMTEPIRPIAQHRVTELNRPVPQAVPQAKSTEPIRPAPQPVATEPIRPAAQPKATEPSRPAPQPKVSEPSRPPSQPTGVESSRATRSTTQTSVTESEIPSFWTACPYCYILYEYPKGYEDCAIRCQKCKRAFHAVMIPSPPVTGKDTYFCSWGYFPLGFKGHGRGGGGGGFGINWSPVSAMFSTPHPGGGKSSQSNPSKRSEPKVIYKDDDFIDISDPSGDESDSDDDDWDGRRKKSKNARGKATHSKNVKKSQNERAKKVNVQNADGGNNVQGEVVGKGEGSSGKKRGTKDLGKLDLNVMFSNEVEEAVPGVSERHGAGNGEEDNIEGIGFFEGLDEFLSSLPILSVVGDDKVKAS >Manes.14G115800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10960472:10961182:1 gene:Manes.14G115800.v8.1 transcript:Manes.14G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPAMQLFLLLLLFLAGLLNFYFYVPSKKLCSWFQSFLFKNNPAASSKVSPQIERTCNTSSSCNAKNMGELRSVFATFDKNGDGFITKQELGESLKNIRIFMTEKEVEEMVLKFDSNGDGLIDYEEFCLLCDYSLGGGGSGGGQGLEDDGESGKEEAEVDLKEAFDVFDRDKDGLISVEELGLVLCSLGLKEGKRREDCREMIRKVDMDGDGMVNFDEFKRMMRNGTSNLISVC >Manes.10G148500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31445548:31446248:1 gene:Manes.10G148500.v8.1 transcript:Manes.10G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSAVFFSCFVPSSSSSSSSRVADDAAQVSSMKVPNAENKPKSKSKSSSTPIVVSHFPINSYLSRL >Manes.15G060200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4617779:4618366:-1 gene:Manes.15G060200.v8.1 transcript:Manes.15G060200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRFFLLLVFLALTFSNSFIVHADNASAPSLSKTISPSSSPSKSPTSSPASAPAKSPTTPPASAPVTSPSSSPLKSPSQSPKISPAMSPPPISTPAATPLAPIAKPPVVQGPATAATPEASTSVPSSSATPVEAPMVFPSSSSPPSASPANLSPETAESPMGNESGSRSLNEIRVVFRWLFIVFWGILGLAWAI >Manes.13G015600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1878695:1879809:1 gene:Manes.13G015600.v8.1 transcript:Manes.13G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSPHKNIKKCRKKNQHHWFKHVPKELVTEILARVASLSVTDLINAKTSCKEFFEAASEDYVFEHVNITSFPVIPWWINHGASSFLERCKKSENPEALFRQGMIDYFSTLKHDSGLKFLKKAASKGHVEATYVYGIILVCYGGKHQNKGVKLLSDLKRSKSSFIITECRRKVQKIVRMMWVKNYIVGIGQTEEKEKFLKKRKSCNCCNTKALCSLAGDQTRNYSRWKSDEDFEDDHFSCDSCLWDLEAMKFCKMLRTGSYR >Manes.11G073200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10571507:10572205:-1 gene:Manes.11G073200.v8.1 transcript:Manes.11G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELPSSLDTEGTKKMLKNKATRFLKRITLVLASMAKAKTLALKSKTHALKTRLMIFSLLRDKKVLMSSISRKLHSIMGQLEHDKDQEDGDNNTGEQSKAIVLYNHGSMLLPNAAQIELLENADEVDNVYGYGYGYGYGYGYGYSYEKEDGEEKFPDLTHSLFESEDLEFEDPGGSVIDLVKNSKKEGEEFRLEDEIDHVADLFIKRFHRQIRMQKQLSVKRHQEMLERSA >Manes.15G165100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13877479:13881538:-1 gene:Manes.15G165100.v8.1 transcript:Manes.15G165100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNTERDVNPRSQPAEKTLADFDPPEKPKRNKFALACAILASMTSTLLGYDIGVMSGASIYIKDELKISDVQVELLAGLINIYSLVGSAAAGRTSDWIGRRYTIVVAGAIFFVGALLMGFATNFSFLMVGRFVAGVGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINTGVLLGYVSNFAFSKLPKNLGWRFMLGIGAIPSVLLALMVLLMPESPRWLVLQGRLGDAKRVLDKTSDSKKEAQERLADIKSAAGIPLDCNDDIVQVEKKSHGEGVWRELLLHPTPSVRHIFVCVIGIHFFQQASGIDAVVLYSPRIFEKAGITSDNDKLLATVAVGFAKTIFILVATFLLDRIGRRPLLLSSVGGMIFSLAALGFTLTLIDHTKEKLTWAVALSIAMVLCFVGSFSIGMGPIAWVYSTEILPLRLRAQGASMGVAMNRLMSGLISTTFISLYKAITIGGAFFLFAAIASVAWIFFFTCLPETQGRTLEEMEGLFGNFIKWRSVLKEKKLKQQQQQQQQEVEEGNDGKSRADEN >Manes.11G119024.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27740450:27741255:1 gene:Manes.11G119024.v8.1 transcript:Manes.11G119024.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSDSSNKASFAGTFGYTAPELAYTTQMNEKCDVYSFGVITLELVMGTHPCNLISSLWSLSSSDDHDKLLKDVIDQRLLLSQNQVAESVVYITMLAFSCLHLNPKSRPTMQQISSKLTSKHPLVSKSVSTIKLEELLSNNIC >Manes.05G092400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8472904:8477846:-1 gene:Manes.05G092400.v8.1 transcript:Manes.05G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNSSISIPEVVLSSSGRRMPLVGMGTAVSPPVPPEETKTAILQAIELGYRHFDTAALYLTEEPLGQAIAEALSRGFIKSREELFITSKLWCSDAHSDLVLPALQKSLQTLQLEYIDLYLIHWPVSSRPGTFEFPIKQEDFLAMDFKGVWRAMEECQTIGLTKSIGVSNFSCKKLSEILSVAEIPPAVNQVEINPLWQQKKLLEFCKDNGIVLTAYASLGASGTIWGSNRVMENETLIQIANAKGKTLAQICLRWAYEQGISVLVKSFNKERMKQNLDIFNWELSEEESKMISEIPQSRGCRGEDYISEKGPFKTVEELWDGEI >Manes.08G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35885657:35888491:-1 gene:Manes.08G119900.v8.1 transcript:Manes.08G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVVSISFSMPLCARKFSIQKLQLRGVPLAGLSSQATIRTATNLPAFCHSNRDIFSVSGSSGNLTPLFHCGEFFPFCMNVSLCIHKIIVGYWVGPDIDDGWGYVEAFVNQIT >Manes.13G071600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:14879926:14880936:1 gene:Manes.13G071600.v8.1 transcript:Manes.13G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCRRPSLILLLWIFFLFIFFIGYCHASRAANVFDPKAKSQQLKGHFLNFLPRHLPIPSSGPSRRHNGIGLQSWRSP >Manes.05G191100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31402518:31410361:1 gene:Manes.05G191100.v8.1 transcript:Manes.05G191100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKDVSAAVNNDGITPGNHFKPPASPLPAGRSSETPLSTDINSFAASPFQSPLPAGVPPSPSPAGTPGRKFRWPLPPPSPAKPIMAIMRRRGQTKPPKEMPIPEDAEGGEGERQLDKSFGYAKNFPVKFELGKEVGRGHFGHTCWAKGKKGELKGQSVAVKIISKAKMTTAISIEDVRREVKIMKALSGHEHMIKFHDAFEDANNVYIVMEKRKRCSDLVIGRLCEGGELLDRILSRGGRYTEEDAKSIIVQILSVVAFCHLQGVVHRDLKPENFLFTTRNEDAPMKIIDFGLSDFIWPDQRLNDIVGSAYYVAPEVLHRSYSVEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPEVSPEAKDFVKRLLNKDHRKRMTAAQALTHPWLRDENRRVPLDIFIYKLIKSYVRATPFKRAALKALSKAIPESDLFYIKAQFNLLEPKDGFLSLNNFKTALMRHATDAMKESRVLDIFNMMEPLSYRKMGFEEFCAAAISTYQLEVREDWEDVARTAFDHFEEEGNRVISVQELALEMNLGPTAHSIVNDWIRSSDGKLSFLGYTKFLHGVTIRSSNTRPR >Manes.05G191100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31402518:31410362:1 gene:Manes.05G191100.v8.1 transcript:Manes.05G191100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKDVSAAVNNDGITPGNHFKPPASPLPAGRSSETPLSTDINSFAASPFQSPLPAGVPPSPSPAGTPGRKFRWPLPPPSPAKPIMAIMRRRGQTKPPKEMPIPEDAEGGEGERQLDKSFGYAKNFPVKFELGKEVGRGHFGHTCWAKGKKGELKGQSVAVKIISKAKMTTAISIEDVRREVKIMKALSGHEHMIKFHDAFEDANNVYIVMEKRKRCSDLVIGRLCEGGELLDRILSRGGRYTEEDAKSIIVQILSVVAFCHLQGVVHRDLKPENFLFTTRNEDAPMKIIDFGLSDFIWPDQRLNDIVGSAYYVAPEVLHRSYSVEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPEVSPEAKDFVKRLLNKDHRKRMTAAQALTHPWLRDENRRVPLDIFIYKLIKSYVRATPFKRAALKALSKAIPESDLFYIKAQFNLLEPKDGFLSLNNFKTALMRHATDAMKESRVLDIFNMVRFIYLSGRSLC >Manes.05G191100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31402518:31410362:1 gene:Manes.05G191100.v8.1 transcript:Manes.05G191100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKDVSAAVNNDGITPGNHFKPPASPLPAGRSSETPLSTDINSFAASPFQSPLPAGVPPSPSPAGTPGRKFRWPLPPPSPAKPIMAIMRRRGQTKPPKEMPIPEDAEGGEGERQLDKSFGYAKNFPVKFELGKEVGRGHFGHTCWAKGKKGELKGQSVAVKIISKAKMTTAISIEDVRREVKIMKALSGHEHMIKFHDAFEDANNVYIVMELCEGGELLDRILSRGGRYTEEDAKSIIVQILSVVAFCHLQGVVHRDLKPENFLFTTRNEDAPMKIIDFGLSDFIWPDQRLNDIVGSAYYVAPEVLHRSYSVEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPEVSPEAKDFVKRLLNKDHRKRMTAAQALTHPWLRDENRRVPLDIFIYKLIKSYVRATPFKRAALKALSKAIPESDLFYIKAQFNLLEPKDGFLSLNNFKTALMRHATDAMKESRVLDIFNMVRFIYLSGRSLC >Manes.05G191100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31402518:31410361:1 gene:Manes.05G191100.v8.1 transcript:Manes.05G191100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCCSKDVSAAVNNDGITPGNHFKPPASPLPAGRSSETPLSTDINSFAASPFQSPLPAGVPPSPSPAGTPGRKFRWPLPPPSPAKPIMAIMRRRGQTKPPKEMPIPEDAEGGEGERQLDKSFGYAKNFPVKFELGKEVGRGHFGHTCWAKGKKGELKGQSVAVKIISKAKMTTAISIEDVRREVKIMKALSGHEHMIKFHDAFEDANNVYIVMELCEGGELLDRILSRGGRYTEEDAKSIIVQILSVVAFCHLQGVVHRDLKPENFLFTTRNEDAPMKIIDFGLSDFIWPDQRLNDIVGSAYYVAPEVLHRSYSVEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPEVSPEAKDFVKRLLNKDHRKRMTAAQALTHPWLRDENRRVPLDIFIYKLIKSYVRATPFKRAALKALSKAIPESDLFYIKAQFNLLEPKDGFLSLNNFKTALMRHATDAMKESRVLDIFNMMEPLSYRKMGFEEFCAAAISTYQLEVREDWEDVARTAFDHFEEEGNRVISVQELALEMNLGPTAHSIVNDWIRSSDGKLSFLGYTKFLHGVTIRSSNTRPR >Manes.01G028700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5801540:5809027:-1 gene:Manes.01G028700.v8.1 transcript:Manes.01G028700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPWFSKLSLIFGLRLPHSWLLLCVICVLALIAILGSTSSTFDSVTNTPVPDIYSNYRRLKEQAAVDYLELRTLSLGASRQKELGLCGKERENFVPCYNVSANLLAGFKDGEELDRHCEVSRPRQWCLVRPPKDYKIPLRWPAGRDVIWSGNVMLTKDQFLSSGSMTKRLMLLEENQIAFQSEDGLVFDGVKDYSRQIAEMIGLGSDSEFLQAGVRTVLDIGCGFGSFGAHLVSLKLMAVCIAAYQATGSQVQLALERGLPAIIGNFNSRKLPYPSLSFDMVHCAQCGINWDEKDGMLLIEVDRLLKPGGYFVLTSPMSKPHGSALNSKRRNIVTVMEALTEKICWNLLAQQEETFVWQKTVDVNCYKSRKQDTPPLCNDGHDASPYYQPLVACITGTTSKRWIPIQKMSLGFHLNSAELQVHEVQPEDFFEDLQVWGSALRNYWSLLTPLIFSDHPKRPGDEDPLPPYNMIRNVMDMNAHYGGLNAAFLEEKKSVWVMNVVPVWAHNTLPLILDRGFAGVLHDWCEPFPTYPRTYDMLHANGLLSHLSSERCRMMDLLLEMDRILRPEGWIVLSDKLGAIEMARALATQIHWEARVIDLQNGSDQRLLVCQKPFVRK >Manes.06G159200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28479858:28480465:-1 gene:Manes.06G159200.v8.1 transcript:Manes.06G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNLGRLFSSSFLNRSNPKVATVYTGSAPVSRPFCNFMSRFISSSGQQPQQQSEGGLKKKEELEDAIKQNPEIKIEEEEEEEEDGFHVNKETGEIGGPRGPEPTRYGDWERNGRCSDF >Manes.S030416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:701166:701663:1 gene:Manes.S030416.v8.1 transcript:Manes.S030416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVHRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.02G108000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11523354:11526417:1 gene:Manes.02G108000.v8.1 transcript:Manes.02G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEIVKDIGFGNFGVAKLVRDRWTKELFAVKFIERGLKIDEHVKREIINHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSAAPRVKICDFGYSKSSVFHSQPKSTVGTPAYIAPEILSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVHYTIPDYVRVSRECRHLLSQLFVANPEKRITIPEIKNHPWFLKNLPLELMERGSWQSNDVNNPSQSIEEVLSIIQEARKPVELPKTVDDFLGGSMDLDDLDADVDYEDIDTSDDFVCHL >Manes.11G109600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25349986:25357332:1 gene:Manes.11G109600.v8.1 transcript:Manes.11G109600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILADIAKSQDSEVGDGTTTVVLLAAEFLKEAKPFVEDGVHPQNLIRSYRTACYLAIEKIKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFAQMVVDAVIAIGNDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYEKLDKCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTTVNNIIEEVLGACDIFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMELSRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPFGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLVLSVDETVKNPKVI >Manes.11G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25349986:25357332:1 gene:Manes.11G109600.v8.1 transcript:Manes.11G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILADIAKSQDSEVGDGTTTVVLLAAEFLKEAKPFVEDGVHPQNLIRSYRTACYLAIEKIKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFAQMVVDAVIAIGNDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYEKLDKCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTTVNNIIEEVLGACDIFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMELSRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPFGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLVLSVDETVKNPKSESAQGEAAASAMGGRGRGAAFRGRGRGMRRR >Manes.06G080701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21646706:21651273:-1 gene:Manes.06G080701.v8.1 transcript:Manes.06G080701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFMKEFNTIYADEKPGIESIAGRSNLNDFPVYESFEFVENSQELKELSKNQYSELKTNRKKELGEFRNRKGPDSHRCSEYSSNGEECSKSATESEADGRKKVYCKWNPADYDNPDVVAFLDDSSYDLFKDILSDTESISQEDFSIDKYKSDRFNLSSLLNSDSESCDSTKETLERLARIANDLEFMAHDDCINEQPNNFKRFLMEDKEEFNEEDDILINHPIKKIVNSIHLYEKGVKNQCGTEQHGDKRLSMKDEEDFQGRNEILFQLIIGAQREKEEIASSNLSLSSTTSAADCRKSNACITGDLGASMPDAAEDCQQILEPESTMSSNIESFRTSSEGESIASSTEANRPSWPIEKPEGTTSISIPSRIRKANAQSFAFPILTPELPGSPVRIGTGARQRLATANGLRQLRKTRRWKSIVCCKFVK >Manes.02G067200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5113114:5117873:-1 gene:Manes.02G067200.v8.1 transcript:Manes.02G067200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSEPSREEHVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVVGARRASWRIISSIEQKEESRGNEDHVTIIKEYRGKIEAELSKICGGILSLLDSHLIPAASSAESKVFYLKMKGDYYRYLAEFKTASERKEAAESTLLAYKSAQDIAIAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKEASKRESGEGQPQQ >Manes.10G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9693446:9698501:-1 gene:Manes.10G066500.v8.1 transcript:Manes.10G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDYPRLSRGEIVTVLAESQIAAVVENDIRNPNPDFLSDLYTRLLIHLDLLLEEDQGQVEFAALEQLENPDHHADSVRTMNMYIRIREMLGLVDCPLKFTLKDLLRPQGDRTEIFLSAILNFCLHKESKMNLLRPIGEELTLLDDQRREWEDKISQLNAQISDYNEARERELPLVQEVDTSVKELHQTIAGLNNHQLSLRASFRKLKEKTGEIDEEISKAEFDLVQSVQENASLRSKIVQSPDKLQRALEEKKSFREEARNAERLAIQSFQEKTSILEVYSKTSKKMAKHLNQMQAIHEQVNSAKSIEKDYKTLKAKLSDDVVLDKSLDAKLVERQAKAQQLDELRKMLEKEGNLKYEEATKECNSIKLEVESRRVDLAARQRKLEAVLSEVDAVKSKTNLVKESGAARVQDLVHKCEEVVEQFQQYKNSMEVLLQ >Manes.11G098100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21187133:21192895:-1 gene:Manes.11G098100.v8.1 transcript:Manes.11G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRARTTPDKAVLNVLQREVGDLSTRNFAHRLAASEDLVLRLDLYKKLDKHRGCVNTVSFNTDGDILVSGSDDRRVILWDWETGRIKLSFHSGHNNNVFQAKIMPYTDDRSIVTCAADGQVRHAQILERGAVETALLAKHQGRAHKLAIEPGSPHIFYTCGEDGLVQHFDLRTRRATELFTCQPTVDRSNYMSVVHLNAIAIDPRNPNLFAVAGSDEYARVYDIRMYKWDGSTEFGRPTDFFCPPHLIGDEKVGITGLSFSDQSELLVSYNDEFIYLFTQDMGLGPNPDPSSPVSAGSDTKDLEPMDADEKNAPQVYKGHSNRETVKGVSFFGPGCEYVASGSDCGRIFIWKKKGGTLIRVMEADKHVVNCIEPHPSTTALASSGIETDIKIWTPKAFERATLPANIEQKPKGRGWMFPVVSPQDLMLQLFSLRRRRTSSEQNEESSAASRELLELILTFNASADSDDGGDSISREDLFG >Manes.01G045195.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17628860:17635946:-1 gene:Manes.01G045195.v8.1 transcript:Manes.01G045195.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKLTEVSQLFERFKAAGMREDVDTCSDLLSKLKVMLTGFRSLPPLFEDTPNAVHELTLARDIYEHAVLLSVKIGDQDAFERDFFQLKPYYTDASRLPPSSQEYMILGLNLLRLLVQNRIAEFHTELELLSPAALENPCIKHAVELEQSFMEGAYNRVLSAKQTVPYKTYDYFMDLLAKTVRDEIAGCSEKAYDYLSISDASRMLLFSSDDELFQYIKEEHPEWEIKNGLVIFQKAKESAPCKEIPSLQLINQTLSYARELERIV >Manes.01G045195.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17630440:17631639:-1 gene:Manes.01G045195.v8.1 transcript:Manes.01G045195.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRMLDIIIIKLLMFMTYLFILQWRSSRLPPSSQEYMILGLNLLRLLVQNRIAEFHTELELLSPAALENPCIKHAVELEQSFMEGAYNRVLSAKQTVPYKTYDYFMDLLAKTVRDEIAGCSEKAYDYLSISDASRMLLFSSDDELFQYIKEEHPEWEIKNGLVIFQKAKESAPCKEIPSLQLINQTLSYARELERIV >Manes.01G045195.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17628860:17635946:-1 gene:Manes.01G045195.v8.1 transcript:Manes.01G045195.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKLTEVSQLFERFKAAGMREDVDTCSDLLSKLKVMLTGFRSLPPLFEDTPNAVHELTLARDIYEHAVLLSVKIGDQDAFERDFFQLKPYYTDASSRLPPSSQEYMILGLNLLRLLVQNRIAEFHTELELLSPAALENPCIKHAVELEQSFMEGAYNRVLSAKQTVPYKTYDYFMDLLAKTVRDEIAGCSEKAYDYLSISDASRMLLFSSDDELFQYIKEEHPEWEIKNGLVIFQKAKESAPCKEIPSLQLINQTLSYARELERIV >Manes.13G110600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31596294:31597832:1 gene:Manes.13G110600.v8.1 transcript:Manes.13G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQLSNIFNHLSHSSLTNTMPSSRVSFFFDLLSLALIFQTVVGTNPLLHFCSSNDNFTSHSPYETSLKKLMSNFYYLAPPSGFALGSLAQSTQERAYGLALCRGDVSASDCRTCVAEASNEIRKLCPYKKGGIIWYNNCVLKYSNKDFFGQIDKQNKFYLLNVQNASDPMAFNQRTKQLLSQLAQNASINPRMYAAGEMEVDEGSEKVYGMAQCTRDLSSDDCKKCLDGAIGELPSCCYGKQGGRVVGGSCTIRYEIYPFVNA >Manes.01G253600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151161:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.01G253600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151331:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKVQTVFVCSVFAAAFSGSSKNLLDLNVQNTILWAQAYCNLQTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.01G253600.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151163:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKVQTVFVCSVFAAAFSGSSKNLLDLNVQNTILWAQAYCNLQTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.01G253600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151161:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.01G253600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151088:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKVQTVFVCSVFAAAFSGSSKNLLDLNVQNTILWAQAYCNLQTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.01G253600.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151088:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKVQTVFVCSVFAAAFSGSSKNLLDLNVQNTILWAQAYCNLQTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.01G253600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151161:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.01G253600.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151088:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKVQTVFVCSVFAAAFSGSSKNLLDLNVQNTILWAQAYCNLQTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.01G253600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41148649:41151331:1 gene:Manes.01G253600.v8.1 transcript:Manes.01G253600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQEPHRPFFSFGNPFRMISPKGSQLSPRLLSLLNAFEETLAERLRKLNPKDKNDILSLTWMKSAMESLCETHTDIKTLITDLELPVTDWDEKWIDVYFDISVKLLDICIAFSSELARLNQGHLLLKCVLHNMESTTSKQFVQARSSLGSWRRHICSKNIRVQNCRAILDNLVESLDLPKVKNSAKGKVLMQAMYGVKVQTVFVCSVFAAAFSGSSKNLLDLNVQNTILWAQAYCNLQTNVNMVIRETFSCGKFTVLKELEAVDTIAEKLYPMIQDELGPVEVEAVQNSVSDLRTGAERLSEGLDFLAKEVDVFFKIVLSGRDALLCNLRASGAVTNPMLGTNVGEQIVR >Manes.13G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1006919:1009092:-1 gene:Manes.13G004600.v8.1 transcript:Manes.13G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIHFSISPTTSVRSSPSFFPSSPLHSFVPSSVAKDSFSISSSSKLFNSSSRSRFSLYFKDDVKRSGWVFTVRSSLDTVGPTATVGQVTEVTKDTFWPIVNSAGEKIVVLDMYTQWCGPCKVIAPKFKDLSEKYLDVVFLKLDCNQDNKPLAKELGIRVVPTFKILKDSKIVKEVTGAKFDDLVAAIEAVRSS >Manes.07G086050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:27092390:27092737:1 gene:Manes.07G086050.v8.1 transcript:Manes.07G086050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYTLIFLCHSIFVIIFFLNQIFVIIFVAICIYICVCLYICKNVLVCVYIVYVYVCICLSTYMNICLCMRIIHIYIHLFVYIYLCAYIFNYLFVISVFTSPFTFVYFCLCIYLFI >Manes.10G059950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8294273:8300012:1 gene:Manes.10G059950.v8.1 transcript:Manes.10G059950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAQSCLILGDGIHYATPIISSTRTSEQSLSSSLFFWDQIKQCFRVARSGIYVTHLSFSSLQNLLNQFLYSATCLQLVQLNVNKLESETHMPTLRAFASAVTQWLKRLRDIALKEEIKICNSDIGVTPTLLGLSSSLSSLCSGAECLLQIVHEAIPQAYFQPNTYIPASEMAVRILDYLYKKLDEVCLVQGGEEEAYLMVLHILVGSLLPYIEGLDSWLFKGSLDDPFAEMFFYDNRGISVDESEFWEKSYQFRQVQSQKFDVVNSLLNNKKEIGEKDSVSLSMSVKIKEQNNKELQVCPLFIKNIAKSIVSAGKSLQLIRHVPMSYSVVSGKSVDNEIDGFGGSVDDKDPHIRQEIIAGLALPEIFSISVAGLIGHGDHISKYLLQDDPCKSEIVHSLLSETVREMVRNGNWERWLTFKCSKNICREVLAKTVSHEKVLNVESTNKDEIGISDIEEECMTAGMVDELPLQSSYCPENPVITVCKNLLDEHKDFWKMLNLPKNFHLPPLNDEVLWESVFGCENGLLSAVNGTNYAFGFQFGKSEYDDICMSELLPFQKNSTLASRVLSWIQSIEPRIMPLPLVIIQECLTVYIKKQVDYIGHLILSKLMKDWRLMDELGVLRAIYLLGSGDLLQHFLTVIFGNLDRGETWDDDFELNLILQESIRNSADSMLLSAPDSLFVSITKNHGFDSDELPITPTLASTTHKSRPHSFGIDGLDSLKFTYKVPWPLELIANAEAINKYNQVMRFLLKVKRAKYALDKVRRWMWKGRGAVTNNCKHHWLVEQKLLHFVDAFHQYVMDRAYHSAWHELCEGMATAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWALIASRINIILGLALDFYSIQQTLSSGGATSAMKARCEMEVDRIEKRFDDCIGFLLRILSFKLNVGQFPHLADLVTRINYNYFYMSDNGSLMTATGSETVSSRLGKVFGARMD >Manes.01G136200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32905349:32906258:-1 gene:Manes.01G136200.v8.1 transcript:Manes.01G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLSTSRSSGSSWTPKQNKQFEKALALYDKDTPDRWQNVANAVGGKSPEEVKKHYEILIKDVKEIESGRVPFPNYRSTAGNAN >Manes.12G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:168844:182635:-1 gene:Manes.12G000700.v8.1 transcript:Manes.12G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLGSSVRAARKLCSSLITARYRNSSLLLGEGDSCPFVLNNVLSSPRGAAAKVATPYSFTSIASGDNRLTDAYSAIELALDSVVKIFTVSSSPNYFLPWQNKPQRETTGSGFVIPGKKILTNAHVVADHTFVLVRRHGSPTKYRAEVQAVGHECDLAILVVESEEFWEGMTFLELGDIPFLQEAVAVVGYPQGGDNISVTKGIVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMANKVAGIAFQNLSGAENIGYIIPVPVIKHFIAGVEENGKYVGFCTLGLSCQPTENIQLRMHFRMLPELTGVLISKINPLSNAHKLLKKDDIILGFDGIPVANDGTVPFRNRERITFDHLVSMKKPNEKALLRILRDGEEKEISITVKPLQPLVPVHQFDRLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRMCELALRELPKKPGEQLVILSQVLMDDINAGYERLAELQVKEVNGVEIENLKHLCQLVENCGEERLRFDLDDDRVIVLNYNSAKVATSRILKRHRIPSAMSSDLFDEQQKLESDPA >Manes.12G000700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:174297:182635:-1 gene:Manes.12G000700.v8.1 transcript:Manes.12G000700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLGSSVRAARKLCSSLITARYRNSSLLLGEGDSCPFVLNNVLSSPRGAAAKVATPYSFTSIASGDNRLTDAYSAIELALDSVVKIFTVSSSPNYFLPWQNKPQRETTGSGFVIPGKKILTNAHVVADHTFVLVRRHGSPTKYRAEVQAVGHECDLAILVVESEEFWEGMTFLELGDIPFLQEAVAVVGYPQGGDNISVTKGIVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMANKVAGIAFQNLSGAENIGYIIPVPVIKHFIAGVEENGKYVGFCTLGLSCQPTENIQLRMHFRMLPELTGVLISKINPLSNAHKLLKKDDIILGFDGIPVANDGTVPFRNRERITFDHLVSMKKPNEKALLRILRDGEEKEISITVKPLQPLVPVHQFDRLPSYYIFAGLVFVPLTQPYLHEYGEDWYNTSPRRMCELALRELPKKPGEQLVILSQVCMCFGQLKCDLYSSRKQFTKDSLI >Manes.17G035900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21503383:21505214:-1 gene:Manes.17G035900.v8.1 transcript:Manes.17G035900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNNCSPPRKMALSPNTTTCLLLLATLLSFFVSLTTSASDPEELVNELLSLQSQSKTGVIHLDDNSISRFLTSTKTPRPYSLLIFFDATHLHDKHELRLQYLHDEFSLVASSFILNNPDKSSASYAKLFFCDVEFKESESSFSLFGVDAVPNIRLVGPNVKNPKDSDEVEQGDVPGTAELMSDFVESSIKVSVGPIHRPPFLSTKQLGLLFVVFSIWTPFMVKKVLTGQTLLHDPKIWLGGALFVYFFSVSGSMHNIIRKIPMFLADPNDPSNLVFFYPGAAMQLGTEGFAIGFLYTIVGLLLAFMTHALVTVKNVAAQRLIMVGSLVVSFWAVNKVIYLDNWKTGYGVHAFWPSSWK >Manes.17G035900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21501236:21505214:-1 gene:Manes.17G035900.v8.1 transcript:Manes.17G035900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNNCSPPRKMALSPNTTTCLLLLATLLSFFVSLTTSASDPEELVNELLSLQSQSKTGVIHLDDNSISRFLTSTKTPRPYSLLIFFDATHLHDKHELRLQYLHDEFSLVASSFILNNPDKSSASYAKLFFCDVEFKESESSFSLFGVDAVPNIRLVGPNVKNPKDSDEVEQGDVPGTAELMSDFVESSIKVSVGPIHRPPFLSTKQLGLLFVVFSIWTPFMVKKVLTGQTLLHDPKIWLGGALFVYFFSVSGSMHNIIRKIPMFLADPNDPSNLVFFYPGAAMQLGTEGFAIGFLYTIVGLLLAFMTHALVTVKNVAAQRLIMVGSLVVSFWAVNKVIYLDNWKTGYGVHAFWPSSWK >Manes.03G210800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32628670:32629616:1 gene:Manes.03G210800.v8.1 transcript:Manes.03G210800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGINFGFDAFNGSPRNLKREDSPSSSAQQDDVAAGGLQVHCFTEIVDDTTLHFQIIRLHKQIYAWIGCNSAKFGHLYAAAPTRPSNTVSVACLLGGASDNTGSGIARRLALKTGLNIILACNIPKNAPMLEVNAEKKLVEKLTYLGYTKKKLLSS >Manes.17G095100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30315465:30318245:-1 gene:Manes.17G095100.v8.1 transcript:Manes.17G095100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVDPAFVQEQEHRPKLGITEAEGIPIIDLSILSSSDATPDHSQALEGLVKQVGNACRDWGFFQVINHGVSLEKREKIFNASKEFFAQPVEEKNKIRRDDKSMSGYYDTEHTKNVRDWKEVFDFASPNPILMYASCKPHEGEIIKWYNKWPEYYPELREVCEDYAKEIERLAFTLMELIALSLSLQPDRFHGFFKEQTTFIRLNHYPPCPAPHLALGVGRHKDPGALTILAQDDVGGLEVRRKSDGEWIFVKPTPNSYIINVGDVIQVWSNDAYESVEHRVKVNSEKERFSIPYFFNPAHDTLVKPLEEIANEKNPAKFRPYTWGEFLATRKRGNFQKLDVENIQTYHFRVSESADK >Manes.11G111300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25798089:25801847:1 gene:Manes.11G111300.v8.1 transcript:Manes.11G111300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVHGNTALHEAVMHHRHDVVLFLISADPAVWYCQNTVGWSPLYMAVRVNDMQMLRLLLRAPIEDRDALTRLEGNSPAHAAVLVENIAYGGDGEAVRFISSQFRWSMFEMDNKGFLPIHIASKKGYVEIVKELFNKWPYPKELLNREGQSILHVAAKSGRNNVVKYILKTPILKKKLLNTTDINGNTPLHLAAMHSHPAVVLTLTWQNEIEINLLNNESLTPFDVSPKFFSKAPRGQYNLTNSALWSAGALPSFDAKIQKQKEKTSKSRKAPEIEWVKERVGMLLMKETLVATATFAATFTVPGGFNSSENPEKGIATMLNNRMFLLFVVCNTIAFYSSIISIFSMLWTTTSDYFVVCRAYSFSQNLFVFALGMMSLAFMAAIHVAVSKVSWLAYYTVILGIISLAILVLMFTAFEFPLGHSPRFMRCISYYISYAIIPLLGDYDELPIDKAEESEHNEQEKLRKDEQQESLSEEKMRN >Manes.11G111300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25798090:25801847:1 gene:Manes.11G111300.v8.1 transcript:Manes.11G111300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVHGNTALHEAVMHHRHDVVLFLISADPAVWYCQNTVGWSPLYMAVRVNDMQMLRLLLRAPIEDRDALTRLEGNSPAHAAVLVENIDMLKEMATMNPELIRLKDGRGRNVLHLAAYGGDGEAVRFISSQFRWSMFEMDNKGFLPIHIASKKGYVEIVKELFNKWPYPKELLNREGQSILHVAAKSGRNNVVKYILKTPILKKKLLNTTDINGNTPLHLAAMHSHPAVVLTLTWQNEIEINLLNNESLTPFDVSPKFFSKAPRGQYNLTNSALWSAGALPSFDAKIQKQKEKTSKSRKAPEIEWVKERVGMLLMKETLVATATFAATFTVPGGFNSSENPEKGIATMLNNRMFLLFVVCNTIAFYSSIISIFSMLWTTTSDYFVVCRAYSFSQNLFVFALGMMSLAFMAAIHVAVSKVSWLAYYTVILGIISLAILVLMFTAFEFPLGHSPRFMRCISYYISYAIIPLLGDYDELPIDKAEESEHNEQEKLRKDEQQESLSEEKMRN >Manes.11G109300.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318807:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.23.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318863:25324363:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318807:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318820:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.26.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318820:25324338:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318807:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318863:25324363:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.21.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318865:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.25.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318863:25324363:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318819:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318807:25327140:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.20.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318865:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318819:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318807:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318807:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318865:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.22.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318865:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.27.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318864:25324304:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318807:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.28.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318864:25324304:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G109300.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25318819:25327137:-1 gene:Manes.11G109300.v8.1 transcript:Manes.11G109300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEGCSLLFKVHKPSIPFVLNTNPILNPNSKPQNEQNQTCLEECDVLKRLKSESSIVLALDYFKSIANSQAFRHTPLTYRTMIEKLGVQHDIDGVQYLLQQMKLEGISCSEDLFISVINTYRRVGLAEQALKMFYRIGEFGCKPTVKIYNHLLDALLSENRFQMINPIYSNMKRDGMEPNVYTYNILLKALCKNNRVDGACKLLVEISNKGCKPDVVSYTTIIASMCKFGQLEEARELAMKFQPSVPVYNALIKGFCREYKMKEVFQLLGLMADKGIDANVITYSTIIDSLSGVGNIELALAFWAKMLVTGCSPNVHTFTSLMKGYFMGGRVYEAINIWNCMIQEEIEPNIVAYNILIHGLCSHGKMGEALSVSCKMEGSSCSPNVITYGALIDGFAKTGDLVGASETWNKMMSNGCVPNVIAYTCMLDVLCKNSMFNEAQCLLEKMSTDVCPPNTITFNAFIKGLCRSGKVEWAIKVLNEMGQYGCSPNVVTYREILYGLLKAEKIKGAHELVREMEENSIKLNSATYNTILHGFCNTGLFEETLKLLGKMLVDGVKPDAITYNAVIHAYCKQGKVKTAIQLVDRVCAGGEWNPDIIACTNLLWGICNQIGVEEAFMYLDKLLIKGIYPNAATWNVLVRGLFNRLGHLGPVHLLDVILANG >Manes.11G029700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2835751:2838267:1 gene:Manes.11G029700.v8.1 transcript:Manes.11G029700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVNNSFLYTEILRGESKGSIICIKEDLQSNIFTLLAPLPFLSWLAAAGSGFIIESMDSLVWDDSQVLTNTASLWNNPPHASPLWSNQENEDSLIASNSNSGLFGGKMDLTEDIFNQFQELQKLQEITANPSTTGFCKSNQSRIFDGIPNGKAVGVSTAGSLESLDCLLSATNSNTNTSIEDDGISMIFSDCRNLWNFGASSAASSGESENNTSNARNKEMHCRVSELDETVSQSSSDKKISPIKPDSMKRSKNQSELKACCSNKHQYFDLLQTDCSTSEGGFRLISDNPPKPKRFRSDKLPGSSNINFQQPSSSASSSIEEVDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNIKISTDPQTVAARQRREKISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHKLDSVNCPPTNIAFSTLPFNNHSFFPMQAHHFSLQNPNHIHHPHS >Manes.11G029700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2835527:2838282:1 gene:Manes.11G029700.v8.1 transcript:Manes.11G029700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVWDDSQVLTNTASLWNNPPHASPLWSNQENEDSLIASNSNSGLFGGKMDLTEDIFNQFQELQKLQEITANPSTTGFCKSNQSRIFDGIPNGKAVGVSTAGSLESLDCLLSATNSNTNTSIEDDGISMIFSDCRNLWNFGASSAASSGESENNTSNARNKEMHCRVSELDETVSQSSSDKKISPIKPDSMKRSKNQSELKACCSNKHQYFDLLQTDCSTSEGGFRLISDNPPKPKRFRSDKLPGSSNINFQQPSSSASSSIEEVDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNIKISTDPQTVAARQRREKISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHKLDSVNCPPTNIAFSTLPFNNHSFFPMQAHHFSLQNPNHIHHPHS >Manes.11G029700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2835433:2838622:1 gene:Manes.11G029700.v8.1 transcript:Manes.11G029700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVWDDSQVLTNTASLWNNPPHASPLWSNQENEDSLIASNSNSGLFGGKMDLTEDIFNQFQELQKLQEITANPSTTGFCKSNQSRIFDGIPNGKAVGVSTAGSLESLDCLLSATNSNTNTSIEDDGISMIFSDCRNLWNFGASSAASSGESENNTSNARNKEMHCRVSELDETVSQSSSDKKISPIKPDSMKRSKNQSELKACCSNKHQYFDLLQTDCSTSEGGFRLISDNPPKPKRFRSDKLPGSSNINFQQPSSSASSSIEEVDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNIKISTDPQTVAARQRREKISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHKLDSVNCPPTNIAFSTLPFNNHSFFPMQAHHFSLQNPNHIHHPHS >Manes.11G029700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2835511:2838277:1 gene:Manes.11G029700.v8.1 transcript:Manes.11G029700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVNNSFLYTEILRGESKGSIICIKEDLQSNIFTLLAPLPFLSWLAAAGSGFIIESMDSLVWDDSQVLTNTASLWNNPPHASPLWSNQENEDSLIASNSNSGLFGGKMDLTEDIFNQFQELQKLQEITANPSTTGFCKSNQSRIFDGIPNGKAVGVSTAGSLESLDCLLSATNSNTNTSIEDDGISMIFSDCRNLWNFGASSAASSGESENNTSNARNKEMHCRVSELDETVSQSSSDKKISPIKPDSMKRSKNQSELKACCSNKHQYFDLLQTDCSTSEGGFRLISDNPPKPKRFRSDKLPGSSNINFQQPSSSASSSIEEVDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNIKISTDPQTVAARQRREKISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHKLDSVNCPPTNIAFSTLPFNNHSFFPMQAHHFSLQNPNHIHHPHS >Manes.09G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35070141:35072588:1 gene:Manes.09G154300.v8.1 transcript:Manes.09G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVPDQFHQFTVSRTSLPLPLSFPSLHGSSASFDLYTSHHQHLPLQQPTFLQQTAPSTSKNQDKQENCMVVVNLESERERSMHESIDPWSNDEVLALLRIRSSMENWFPEFTWEHVSRKLGELGFKRSAQKCKEKFEEENRYFNNNINYSKNYKVFGEIEELYRDDNHNSQGIALGKNKTMDKAAEEEGKMEQNVEEDSRIDQTVGNLDGENGKWVDKSKSKKRKRQQKFEMFMGFCEDIISKIMAQQEEMHNKLLEDMVKRDEEKLAREEAWKKQEMDKINKELELRAQAQALTGDRQATIVNFLKKFSPSTASCVQILGETSVQDLLKRPNNSNPSTSSSLVLPQNPNNKQSKSEAPMSTMIDFGHQNLSSLPENLAPQNPSSTLVRSSSTSSSQCPQTKTPSYPNSQSNRLQTVTVQRKPASNGKAEDLGKRWPKDEVLALINLRSSFYGSNEDKEATVKAPLWERISQGMLELGYKRSAKRCKEKWENINKYFRKTKDVNKKRSVDSRTCPYFHQLSTLYNQGTLGVPHSELPEKLPLAASSLEKPPYLEQANY >Manes.18G099700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9191306:9195670:1 gene:Manes.18G099700.v8.1 transcript:Manes.18G099700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEERKRKEEERKIPLFTVLKNGAILKNIFVINKQLSPSPELNSIAGIENLENPDGENEEILIVGRHPDCSIVLMHPSISRFHLQINSVPSEQKLFVTDLSSVHGTWVSEKKIEPGLRVELNEGGTLRVGGSTRVYRLHWVPLSRAYDLENPFVSEEDLAITEEKEEENIENLSQDEDLENKNAEEKDSLIMVGTAEETYQDMNSMSSENGEIQSTDSNLECIVSLFPDENCGLIVEKAIPSSPSMPEDMNSCFYKEKEELEGKSGSDHELSPNIVEGVIFQTSSLQFYECNQSPEYHSAKEAILEEYEYSDISETRLEPELNVELDKDASSSVAESDISKTRLQPESNVELNKDSSLSVAEVESNSASEIPKEIENQTLLRKGDEQGENTPKAFENKTFTIGDLSEHNNEQFNKENLTPEPSVALRQLLEEQIRENSTENLILNMLVSPQNYDPAAAEIREEMENQSPSRKDDVQNEFSSTCHCVPPLATESVNSSLPLAVLPEIVDSRESQTPQSNFPAVENTEKLRSPPIRSEKKSSSCSIWSRRGKPATPLQLQTSKSRARTKRIDADIGWENQEDVENKSITRALFSGSEAMDEEIFTPDKENHTPNTFVMKALKMKGTLQDTQLSKLCRSSSSKFTFSPNIVPEDMIASSDKENQTPQVLRQRKSAKPTLRKQVKLEEESVLKERRAERIPLQSLFANSPGKSISEASVLGAAARSSNSISCTQKINSVGDGERRWTMIADTTSLLDKESRKSLQLLQGLKGTHLVIPRMVITELDSLKRRGSLFRRTTEASLALKWIEECMVKTKWWIQVQSSIEDQRLIAPTPTAFPVSPSGTTSSVSFLAYGSLMEIVSPTAEDHILDCALSYRKMNVDGQLVFLSNDVTLKIKAKAEGLICETAEEFRDSLTNPFSERFLWADSSPRGQTWTVLDDVVLKEKYYRSPSKKSSSKGEGAKGLKLILLYNSQYGQQMGNTVP >Manes.18G099700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9191306:9195670:1 gene:Manes.18G099700.v8.1 transcript:Manes.18G099700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEERKRKEEERKIPLFTVLKNGAILKNIFVINKQLSPSPELNSIAGIENLENPDGENEEILIVGRHPDCSIVLMHPSISRFHLQINSVPSEQKLFVTDLSSVHGTWVSEKKIEPGLRVELNEGGTLRVGGSTRVYRLHWVPLSRAYDLENPFVSEEDLAITEEKEEENIENLSQDEDLENKNAEEKDSLIMDMNSMSSENGEIQSTDSNLECIVSLFPDENCGLIVEKAIPSSPSMPEDMNSCFYKEKEELEGKSGSDHELSPNIVEGVIFQTSSLQFYECNQSPEYHSAKEAILEEYEYSDISETRLEPELNVELDKDASSSVAESDISKTRLQPESNVELNKDSSLSVAEVESNSASEIPKEIENQTLLRKGDEQGENTPKAFENKTFTIGDLSEHNNEQFNKENLTPEPSVALRQLLEEQIRENSTENLILNMLVSPQNYDPAAAEIREEMENQSPSRKDDVQNEFSSTCHCVPPLATESVNSSLPLAVLPEIVDSRESQTPQSNFPAVENTEKLRSPPIRSEKKSSSCSIWSRRGKPATPLQLQTSKSRARTKRIDADIGWENQEDVENKSITRALFSGSEAMDEEIFTPDKENHTPNTFVMKALKMKGTLQDTQLSKLCRSSSSKFTFSPNIVPEDMIASSDKENQTPQVLRQRKSAKPTLRKQVKLEEESVLKERRAERIPLQSLFANSPGKSISEASVLGAAARSSNSISCTQKINSVGDGERRWTMIADTTSLLDKESRKSLQLLQGLKGTHLVIPRMVITELDSLKRRGSLFRRTTEASLALKWIEECMVKTKWWIQVQSSIEDQRLIAPTPTAFPVSPSGTTSSVSFLAYGSLMEIVSPTAEDHILDCALSYRKMNVDGQLVFLSNDVTLKIKAKAEGLICETAEEFRDSLTNPFSERFLWADSSPRGQTWTVLDDVVLKEKYYRSPSKKSSSKGEGAKGLKLILLYNSQYGQQMGNTVP >Manes.18G099700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9191306:9195670:1 gene:Manes.18G099700.v8.1 transcript:Manes.18G099700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEERKRKEEERKIPLFTVLKNGAILKNIFVINKQLSPSPELNSIAGIENLENPDGENEEILIVGRHPDCSIVLMHPSISRFHLQINSVPSEQKLFVTDLSSVHGTWVSEKKIEPGLRVELNEGGTLRVGGSTRVYRLHWVPLSRAYDLENPFVSEEDLAITEEKEEENIENLSQDEDLENKNAEEKDSLIMDMNSMSSENGEIQSTDSNLECIVSLFPDENCGLIVEKAIPSSPSMPEDMNSCFYKEKEELEGKSGSDHELSPNIVEGVIFQTSSLQFYECNQSPEYHSAKEAILEEYEYSDISETRLEPELNVELDKDASSSVAESDISKTRLQPESNVELNKDSSLSVAEVESNSASEIPKEIENQTLLRKGDEQGENTPKAFENKTFTIGDLSEHNNEQFNKENLTPEPSVALRQLLEEQIRENSTENLILNMLVSPQNYDPAAAEIREEMENQSPSRKDDVQNEFSSTCHCVPPLATESVNSSLPLAVLPEIVDSRESQTPQSNFPAVENTEKLRSPPIRSEKKSSSCSIWSRRGKPATPLQLQTSKSRARTKRIDADIGWENQEDVENKSITRALFSGSEAMDEEIFTPDKENHTPNTFVMKALKMKGTLQDTQLSKLCRSSSSKFTFSPNIVPEDMIASSDKENQTPQVLRQRKSAKPTLRKQVKLEEESVLKERRAERIPLQSLFANSPGKSISEASVLGAAARSSNSISCTQKVKNSTSINSVGDGERRWTMIADTTSLLDKESRKSLQLLQGLKGTHLVIPRMVITELDSLKRRGSLFRRTTEASLALKWIEECMVKTKWWIQVQSSIEDQRLIAPTPTAFPVSPSGTTSSVSFLAYGSLMEIVSPTAEDHILDCALSYRKMNVDGQLVFLSNDVTLKIKAKAEGLICETAEEFRDSLTNPFSERFLWADSSPRGQTWTVLDDVVLKEKYYRSPSKKSSSKGEGAKGLKLILLYNSQYGQQMGNTVP >Manes.18G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9191306:9195670:1 gene:Manes.18G099700.v8.1 transcript:Manes.18G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEERKRKEEERKIPLFTVLKNGAILKNIFVINKQLSPSPELNSIAGIENLENPDGENEEILIVGRHPDCSIVLMHPSISRFHLQINSVPSEQKLFVTDLSSVHGTWVSEKKIEPGLRVELNEGGTLRVGGSTRVYRLHWVPLSRAYDLENPFVSEEDLAITEEKEEENIENLSQDEDLENKNAEEKDSLIMVGTAEETYQDMNSMSSENGEIQSTDSNLECIVSLFPDENCGLIVEKAIPSSPSMPEDMNSCFYKEKEELEGKSGSDHELSPNIVEGVIFQTSSLQFYECNQSPEYHSAKEAILEEYEYSDISETRLEPELNVELDKDASSSVAESDISKTRLQPESNVELNKDSSLSVAEVESNSASEIPKEIENQTLLRKGDEQGENTPKAFENKTFTIGDLSEHNNEQFNKENLTPEPSVALRQLLEEQIRENSTENLILNMLVSPQNYDPAAAEIREEMENQSPSRKDDVQNEFSSTCHCVPPLATESVNSSLPLAVLPEIVDSRESQTPQSNFPAVENTEKLRSPPIRSEKKSSSCSIWSRRGKPATPLQLQTSKSRARTKRIDADIGWENQEDVENKSITRALFSGSEAMDEEIFTPDKENHTPNTFVMKALKMKGTLQDTQLSKLCRSSSSKFTFSPNIVPEDMIASSDKENQTPQVLRQRKSAKPTLRKQVKLEEESVLKERRAERIPLQSLFANSPGKSISEASVLGAAARSSNSISCTQKVKNSTSINSVGDGERRWTMIADTTSLLDKESRKSLQLLQGLKGTHLVIPRMVITELDSLKRRGSLFRRTTEASLALKWIEECMVKTKWWIQVQSSIEDQRLIAPTPTAFPVSPSGTTSSVSFLAYGSLMEIVSPTAEDHILDCALSYRKMNVDGQLVFLSNDVTLKIKAKAEGLICETAEEFRDSLTNPFSERFLWADSSPRGQTWTVLDDVVLKEKYYRSPSKKSSSKGEGAKGLKLILLYNSQYGQQMGNTVP >Manes.13G139100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34730819:34733493:-1 gene:Manes.13G139100.v8.1 transcript:Manes.13G139100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFLFKSCSIFLSLFLLITSCQAVSFNTIFFNSTAAAAADSDTSFSSRKLVASKCNWFQGKWVYDSSYPLYDSSTCPFIDSEFNCQRNGRPDSSYLKYRWQPFSCNLPRFNGLNFLEKWRAKKIMFVGDSLSLNQWQSLTCMLHVWVPNSKTTVIRRDGLSSVTFEEYGVTILLYRTPFLVDLVNEKDGVVLKLDSINGGRAWEGMDMLIFNSWHWWTHTGRSQPWKYMQEGNKKYTDMNRLIAFYKGLATWARWVNRNVDPSKTKVFFQGISPTHYEGRDWNQPAKSCPGETQPFFGQRYPAGTPMAWVVVNKVLSRIKKPVYLLDVTALSQYRKDAHPSAYNDDHSTDCSHWCLPGLPDTWNQLLYAFLFS >Manes.03G162100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28898819:28903070:1 gene:Manes.03G162100.v8.1 transcript:Manes.03G162100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRIDIPATTPTRTISAITSMLWEDLVNNPKKEAAPAGDFINKKKVQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVANQQASASYLKAVKRSHFISSDKVVRLMDEVESIFTKHFANNDRKKAMKFLRPQQQKESHMVTFFVGLFTGCFVSLFSVYAILAHLSGIFKPNTERSYVETVYPVFSVFALLSLHLFMYGCNLFMWKSTRINYNFIFEFQPTTALKYRDAFLICTTFMTSVVASMVIHLLLRANGFSPTHVDAIPGILLLIFMALLFCPFDIFYRSTRYCFLRVIRNIICSPFYKVLMVDFFMADQLTSQIPLMRHLESTACYFLAGSFRTHRYETCQNGRLYRELAYVISFLPYYWRAMQCARRWFDECDVNHLANMGKYVSAMVAAGARLTYARQENHLWFGIVLVTSALATVYQLYWDFVKDWGLLNPKSKNLWLRDDLILKNKSIYYISIALNVVLRVVWVETVMRFRFNIVESRMLDFFLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRETDSDG >Manes.03G162100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28896530:28903070:1 gene:Manes.03G162100.v8.1 transcript:Manes.03G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYWQLKKHIKKIKLSRKSKQTQQVLNHEFGVSIFDPVRFFACKFSNYFFRSDSKTEIIQVRRKTMEEGDHHEEIYQTELLQLFSEEDEVRVFFERLDEELNKVNQFYKDRESELLERGELLNKQLQILLDLKQILNNRRRKPNAAGVFNNLSSWSSSPRNSNYSETTNETNDNSGESSEADEVIAALEKNGVNFINSGSRSKTKKGKPKMAMRIDIPATTPTRTISAITSMLWEDLVNNPKKEAAPAGDFINKKKVQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVANQQASASYLKAVKRSHFISSDKVVRLMDEVESIFTKHFANNDRKKAMKFLRPQQQKESHMVTFFVGLFTGCFVSLFSVYAILAHLSGIFKPNTERSYVETVYPVFSVFALLSLHLFMYGCNLFMWKSTRINYNFIFEFQPTTALKYRDAFLICTTFMTSVVASMVIHLLLRANGFSPTHVDAIPGILLLIFMALLFCPFDIFYRSTRYCFLRVIRNIICSPFYKVLMVDFFMADQLTSQIPLMRHLESTACYFLAGSFRTHRYETCQNGRLYRELAYVISFLPYYWRAMQCARRWFDECDVNHLANMGKYVSAMVAAGARLTYARQENHLWFGIVLVTSALATVYQLYWDFVKDWGLLNPKSKNLWLRDDLILKNKSIYYISIALNVVLRVVWVETVMRFRFNIVESRMLDFFLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRETDSDG >Manes.14G004700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590069:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNECCGRVIEHGLPDSPFSSTKKSLGNQGEFSPPLAEVKESTEFSATSYSEISASLPNSTSDMAFIDNVKY >Manes.14G004700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590069:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRIGAASVMMGDEFHRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNECCGRVIEHGLPDSPFSSTKKSLGNQGEFSPPLAEVKESTEFSATSYSEISASLPNSTSDMAFIDNVKY >Manes.14G004700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590092:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNEWYGILFLKSLVLHQMPLDSCIIAL >Manes.14G004700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590069:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNECCGRVIEHGLPDSPFSSTKKSLGNQGEFSPPLAEVKESTEFSATSYSEISASLPNSTSDMAFIDNVKY >Manes.14G004700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590069:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNECCGRVIEHGLPDSPFSSTKKSLGNQGEFSPPLAEVKESTEFSATSYSEISASLPNSTSDMAFIDNVKY >Manes.14G004700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590087:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRIGAASVMMGDEFHRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNE >Manes.14G004700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590085:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNECCGRVIEHGLPDSPFSSTKKSLGNQGEFSPPLAEVKESTEFSATSYSEISASLPNSTSDMAFIDNVKY >Manes.14G004700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590087:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNE >Manes.14G004700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590087:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNE >Manes.14G004700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590092:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRIGAASVMMGDEFHRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNEWYGILFLKSLVLHQMPLDSCIIAL >Manes.14G004700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1590087:1595581:1 gene:Manes.14G004700.v8.1 transcript:Manes.14G004700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYEATNILLSKIKNIDPENASKIMGYILIQDFADKDLFSLASASETLLQNVVAKAKTHLGLSTNALSTLSAPSSPLPLNPISRPSYNHNPFSQSSAKANPFVDFTKNPCPHSWPASILTNNSTAASISPKSSPFLSYDNIRAGFALVPPFSKNGGNGGGDASSNSTDLFNEHQLDEYFSFLDDFSPKNEEFVDPRFQLDGLTMNNVDSQLHRRRFSESDACFGIEDGGFGIGHRPCMYFARGFCKNGDSCKFVHGGFDGDNIPEVSGGSVIGGSLREMNGLYLQQHEEMLRIKAAQQQQRLAYSKYMNFLLQQESDPQRIGAASVMMGDEFHRFGQFHSERNDLLVMAMEEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVHPETVKLILSRGNPHFICDSRVLVKPYKEKGKIANKRQQLMDRGDFSPCSSPSGLDPRELYDLQFGARVLYNTQEMMLRRKLEQQAELQQAIELQGRRLINLQLPDLRGDYIHHHQRSMSAGASVTLPPHASANINRTLTSDVKNQDVIEDKGDSSVAAIGSTIAVAEQTLQHEFNQACIQSKDTINGEDRLDPEKCGTNE >Manes.14G051700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4396625:4400212:1 gene:Manes.14G051700.v8.1 transcript:Manes.14G051700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSQPSDSNPTATDVSKFLSNLPSRGFLSSTVLSSNPGGMRVYICEHNTSPPEGQQIKTNQTNILIRSLQLKKQKGESSSKDMKGVTAAEGSRKRYSFYLCYEGMLAICAPERLQDGRSSSKRSNNQICSEQGGSDSRQADRELYSLTVEKLRALLKERGLSPKGKKDELVARLRNLNG >Manes.14G051700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4397981:4400212:1 gene:Manes.14G051700.v8.1 transcript:Manes.14G051700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYICEHNTSPPEGQQIKTNQTNILIRSLQLKKQKGESSSKDMKGVTAAEGSRKRAPERLQDGRSSSKRSNNQICSEQGGSDSRQADRELYSLTVEKLRALLKERGLSPKGKKDELVARLRNLNG >Manes.14G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4396613:4400212:1 gene:Manes.14G051700.v8.1 transcript:Manes.14G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSQPSDSNPTATDVSKFLSNLPSRGFLSSTVLSSNPGGMRVYICEHNTSPPEGQQIKTNQTNILIRSLQLKKQKGESSSKDMKGVTAAEGSRKRAPERLQDGRSSSKRSNNQICSEQGGSDSRQADRELYSLTVEKLRALLKERGLSPKGKKDELVARLRNLNG >Manes.09G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28969095:28971193:1 gene:Manes.09G095900.v8.1 transcript:Manes.09G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIVLLILIPMVGLLSCSRHTIAVQRCGVCGRTIVPYPLSTALDCGDQRYKIRCTAGTLWFDSLNGSSYMITSINPLSRRMIIRPANLDAKTCISSDFRSQGIQLSDNLPFNITSSNTILLLNCTDAVLHSQPPADCSAASICHGYIKDSAPTCMSAPLCCTFRTGGFQSTREIKVHGGGCGAYQSFVNLDVKNLARKKWPEPGVEIEWALPQEPVCRIPLDCRDLLYSKCLPDPMSLGQKRCFCDAGFKWDPINGLCQNLKCRPGKPCKKRKKKTALFAGVALAGGVIILAFVIGILFYKQHHNSRRAQKNLIKERKEMLNAKYSGKSARIFTGKEITKATNNFSKDNLIGSGGFGEVFKGILDDGTTIATKRAKLGNTKGTDQVLNEVRILCQVNHRSLVRLLGCCVELEQPIMIYEYIPNGTLFEHLHCHHSSKWTPLSWQRRLRIAHQTAEGLAYLHSAALPPIYHRDIKSSNILLDEKLNAKVSDFGLSRLVETSENNDSHIFTGAQGTLGYLDPEYYRNFQLTDKSDVYSFGVVLLEMLTSKKAIDFNREDEDVNLVVYMKKIMEDDRLIDVIDPVIKDSGSKLELEMMKALGSLAAACLNDKRQNRPSMKEVADEIEYIISITAEKGSKS >Manes.11G069900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9933012:9936481:1 gene:Manes.11G069900.v8.1 transcript:Manes.11G069900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAFSEGDLTTVFTDNKENNPIFGNDKENAIPKSSNANGSLPLVQKPLSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSNLWDSIESEKSASSLNIWDYSDSEAAPASSWSILPNRALLCRALPLDIGRCTCVILKEASPEGLNGGKLYSLYTNEGQGRQDRKLAIAHHERRNGKSVFTIAQNLKGVLSSSDDSFVGNVTANFMGSKYHVWDQGHLKSPSKCNPLQAVVTFMPTIVTCAGSYRSMRVYIHKHQPLQLKNTAQMQRINGLPKDWEGKMDKVLELHSKTPNYNNVSGQYELDYSDRGRAGLSIQRSVKNFQLKMEEHGKQTILQLGRVGKSKFLMDFRAVA >Manes.11G069900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9933012:9936453:1 gene:Manes.11G069900.v8.1 transcript:Manes.11G069900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKKPTIPSKYSYNSVYVNPLTDLKHHHGCSEGDLTTVFTDNKENNPIFGNDKENAIPKSSNANGSLPLVQKPLSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSNLWDSIESEKSASSLNIWDYSDSEAAPASSWSILPNRWVHGLYTSNSEFLSAALLRVFECLLLVCVFVFRALLCRALPLDIGRCTCVILKEASPEGLNGGKLYSLYTNEGQGRQDRKLAIAHHERRNGKSVFTIAQNLKGVLSSSDDSFVGNVTANFMGSKYHVWDQGHLKSPSKCNPLQAVVTFMPTIVTCAGSYRSMRVYIHKHQPLQLKNTAQMQRINGLPKDWEGKMDKVLELHSKTPNYNNVSGQYELDYSDRGRAGLSIQRSVKNFQLKMEEHGKQTILQLGRVGKSKFLMDFRAVA >Manes.11G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9933012:9936453:1 gene:Manes.11G069900.v8.1 transcript:Manes.11G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKKPTIPSKYSYNSVYVNPLTDLKHHHGCSEGDLTTVFTDNKENNPIFGNDKENAIPKSSNANGSLPLVQKPLSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSNLWDSIESEKSASSLNIWDYSDSEAAPASSWSILPNRALLCRALPLDIGRCTCVILKEASPEGLNGGKLYSLYTNEGQGRQDRKLAIAHHERRNGKSVFTIAQNLKGVLSSSDDSFVGNVTANFMGSKYHVWDQGHLKSPSKCNPLQAVVTFMPTIVTCAGSYRSMRVYIHKHQPLQLKNTAQMQRINGLPKDWEGKMDKVLELHSKTPNYNNVSGQYELDYSDRGRAGLSIQRSVKNFQLKMEEHGKQTILQLGRVGKSKFLMDFRYPLTGYQAFCICLASIDSKLCCRV >Manes.11G069900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9933012:9936453:1 gene:Manes.11G069900.v8.1 transcript:Manes.11G069900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAFSEGDLTTVFTDNKENNPIFGNDKENAIPKSSNANGSLPLVQKPLSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSNLWDSIESEKSASSLNIWDYSDSEAAPASSWSILPNRALLCRALPLDIGRCTCVILKEASPEGLNGGKLYSLYTNEGQGRQDRKLAIAHHERRNGKSVFTIAQNLKGVLSSSDDSFVGNVTANFMGSKYHVWDQGHLKSPSKCNPLQAVVTFMPTIVTCAGSYRSMRVYIHKHQPLQLKNTAQMQRINGLPKDWEGKMDKVLELHSKTPNYNNVSGQYELDYSDRGRAGLSIQRSVKNFQLKMEEHGKQTILQLGRVGKSKFLMDFRYPLTGYQAFCICLASIDSKLCCRV >Manes.11G069900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9933011:9936453:1 gene:Manes.11G069900.v8.1 transcript:Manes.11G069900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKKPTIPSKYSYNSVYVNPLTDLKHHHGCSEGDLTTVFTDNKENNPIFGNDKENAIPKSSNANGSLPLVQKPLSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSNLWDSIESEKSASSLNIWDYSDSEAAPASSWSILPNRWVHGLYTSNSEFLSAALLRVFECLLLVCVFVFRALLCRALPLDIGRCTCVILKEASPEGLNGGKLYSLYTNEGQGRQDRKLAIAHHERRNGKSVFTIAQNLKGVLSSSDDSFVGNVTANFMGSKYHVWDQGHLKSPSKCNPLQAVVTFMPTIVTCAGSYRSMRVYIHKHQPLQLKNTAQMQRINGLPKDWEGKMDKVLELHSKTPNYNNVSGQYELDYSDRGRAGLSIQRSVKNFQLKMEEHGKQTILQLGRVGKSKFLMDFRYPLTGYQAFCICLASIDSKLCCRV >Manes.11G069900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9933012:9936453:1 gene:Manes.11G069900.v8.1 transcript:Manes.11G069900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTAFSEGDLTTVFTDNKENNPIFGNDKENAIPKSSNANGSLPLVQKPLSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSNLWDSIESEKSASSLNIWDYSDSEAAPASSWSILPNRALLCRALPLDIGRCTCVILKEASPEGLNGGKLYSLYTNEGQGRQDRKLAIAHHERRNGKSVFTIAQNLKGVLSSSDDSFVGNVTANFMGSKYHVWDQGHLKSPSKCNPLQAVVTFMPTIVTCAGSYRSMRVYIHKHQPLQLKNTAQMQRINGLPKDWEGKMDKVLELHSKTPNYNNVSGQYELDYSDRGRAGLSIQRSVKNFQLKMEEHGKQTILQLGRVGKSKFLMDFRCTHNFYI >Manes.11G069900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9933012:9936453:1 gene:Manes.11G069900.v8.1 transcript:Manes.11G069900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKKPTIPSKYSYNSVYVNPLTDLKHHHGCSEGDLTTVFTDNKENNPIFGNDKENAIPKSSNANGSLPLVQKPLSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSNLWDSIESEKSASSLNIWDYSDSEAAPASSWSILPNRALLCRALPLDIGRCTCVILKEASPEGLNGGKLYSLYTNEGQGRQDRKLAIAHHERRNGKSVFTIAQNLKGVLSSSDDSFVGNVTANFMGSKYHVWDQGHLKSPSKCNPLQAVVTFMPTIVTCAGSYRSMRVYIHKHQPLQLKNTAQMQRINGLPKDWEGKMDKVLELHSKTPNYNNVSGQYELDYSDRGRAGLSIQRSVKNFQLKMEEHGKQTILQLGRVGKSKFLMDFRCTHNFYI >Manes.11G069900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9933012:9936453:1 gene:Manes.11G069900.v8.1 transcript:Manes.11G069900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKKPTIPSKYSYNSVYVNPLTDLKHHHGCSEGDLTTVFTDNKENNPIFGNDKENAIPKSSNANGSLPLVQKPLSNMKSLSTGRVLKPTSLQFCMQMNEPERALKSNLWDSIESEKSASSLNIWDYSDSEAAPASSWSILPNRALLCRALPLDIGRCTCVILKEASPEGLNGGKLYSLYTNEGQGRQDRKLAIAHHERRNGKSVFTIAQNLKGVLSSSDDSFVGNVTANFMGSKYHVWDQGHLKSPSKCNPLQAVVTFMPTIVTCAGSYRSMRVYIHKHQPLQLKNTAQMQRINGLPKDWEGKMDKVLELHSKTPNYNNVSGQYELDYSDRGRAGLSIQRSVKNFQLKMEEHGKQTILQLGRVGKSKFLMDFRAVA >Manes.16G068951.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27082133:27086170:1 gene:Manes.16G068951.v8.1 transcript:Manes.16G068951.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTGTLNNFVIAESRKGNSYSAETSPRRKPHNVVDVRNSFQKIRDLLKKDEVELSVSSYDTAWVAMVPSQNGSKQPLFPDCLNWIMENQLPDGSWAFDPAHPLLIKDSLSSTLACLLALHKWNVGDQLVNKGLDFMASNIWAATDKHQFSPLGFDIIFSGMIEHARDAGLNLPFNSSSVEGMLLKRDLEIKRFQGEINDLAYYAEGLTRLNDWQKLMKHQRSNGSLFNSPSATAAALIHLRDEKCLDYLHSLVIKFDKAVPTIYPLDISPRLDMIDSLAKLGIDRHFTEETATTLDYIYRSWKQRNEEIFSDPGCCALAFRLLRMNGYEISSDALINFDKQESVSVLASENVKSVLELYKASQLTIFHNEHVLERISSWTRTYLEEKIASTGAIQCKSLHNEVDYALKHPYANLERIESRRFIQSYNTDSIQLLKSSYRCFDIDKRDILRLSVQDFNDCQAIHRKELEYLERWIREYSLEKLKFARQKISYAYFSIAAVLCHPDLSDARISWAQNSVLTTVVDDFFDVAGSMEELHNLIELVQSWDEHSTVGFKSKDVEILFYAIYGTTNDLADKARSQQGRWVKKHLIDIWITLLDSWLKETEWARNKQVPTMYEYITNGYKSFALGPIVLISLYFVGSKLSEEVVQSQEYNDLFMHLSIIGRLVNDRVTVMKDGAQGKLNGAALPVIHGRGAITEKEAQEEVARIIESHRRALLRMVQQTKGSVVPKACKDMFWKMSKILHLFYMGDDGFSSPRKMVSAVNAIVNEPIYLPTYSNLD >Manes.16G068951.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27082134:27086170:1 gene:Manes.16G068951.v8.1 transcript:Manes.16G068951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCTGTLNNFVIAESRKGNSYSAVETSPRRKPHNVVDVRNSFQKIRDLLKKDEVELSVSSYDTAWVAMVPSQNGSKQPLFPDCLNWIMENQLPDGSWAFDPAHPLLIKDSLSSTLACLLALHKWNVGDQLVNKGLDFMASNIWAATDKHQFSPLGFDIIFSGMIEHARDAGLNLPFNSSSVEGMLLKRDLEIKRFQGEINDLAYYAEGLTRLNDWQKLMKHQRSNGSLFNSPSATAAALIHLRDEKCLDYLHSLVIKFDKAVPTIYPLDISPRLDMIDSLAKLGIDRHFTEETATTLDYIYRSWKQRNEEIFSDPGCCALAFRLLRMNGYEISSDALINFDKQESVSVLASENVKSVLELYKASQLTIFHNEHVLERISSWTRTYLEEKIASTGAIQCKSLHNEVDYALKHPYANLERIESRRFIQSYNTDSIQLLKSSYRCFDIDKRDILRLSVQDFNDCQAIHRKELEYLERWIREYSLEKLKFARQKISYAYFSIAAVLCHPDLSDARISWAQNSVLTTVVDDFFDVAGSMEELHNLIELVQSWDEHSTVGFKSKDVEILFYAIYGTTNDLADKARSQQGRWVKKHLIDIWITLLDSWLKETEWARNKQVPTMYEYITNGYKSFALGPIVLISLYFVGSKLSEEVVQSQEYNDLFMHLSIIGRLVNDRVTVMKDGAQGKLNGAALPVIHGRGAITEKEAQEEVARIIESHRRALLRMVQQTKGSVVPKACKDMFWKMSKILHLFYMGDDGFSSPRKMVSAVNAIVNEPIYLPTYSNLD >Manes.09G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7846926:7851195:1 gene:Manes.09G044400.v8.1 transcript:Manes.09G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVSSSPLHAKLSNLSFTPSPLPLPTHYSSKPFNPLKLKLNTHFPNSHLLLSPPHFHAAFAAFDSFEVAQDDDITAQDDPQSEGEDWEQEEEYEELKPIDSNEGGRLYVGNLPYAMTSSQLTEVFQEAGRVINVEIIYDRVTDRSRGFGFVTMANDEEAKEAIRMFNGSQIGGRTVRVNFPEVPRGGEKEVMAPKIRSSYKGFVDSPHKIYAGNLGWGLTSQGLIDAFANQPGLLSAKVIYERDTGRSRGFGFVSFESDENAEAALNAMNGAEVEGRPLRLNLAAERARSPPAIEANTVDNLDSSELLSSIGS >Manes.03G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27526200:27529842:1 gene:Manes.03G147700.v8.1 transcript:Manes.03G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLEMEANGIFDSVMKGRWDEVVEAYEKNPSFQEARITRSQDTALHVAVSNDQTNVVLKLVEMAGENSSVILQMKNDRGNTPLHLAARLGNVKLCHFLATKDRSLIRCRNSESETPLFLSALHGKKMAFLCLHFLYKEEYREIDYSLCRRSNGDTILHSAISGEYFSLAFQIILYYPYLVSSINEDGLSPLHILASHPKAFRSGCNLPPVSRLIYYCVLVDKLEEETHEPEACLDKLRVQTDPKYPQNYETCMDLFQATRSCFKVLTRTKENSLGHQVRQFLFPAKIEDENSDSPDEEMAQETCRLSHGRNQQRKESREKHLLPPNYSTIYHIFKFMVNSLLIILGFGISKIKNIRVKKQTHVWAVQIMTELVERASLYNYEDDDWNPRSSWPKRAGDPPEFLPEVDNKSQSTNAEYSDQNGRDEHRRGTEKNQKHTPTDAHPSANQELSPQHKNLVDLKFKDTQRCLKKETPLLVAAKMGVTEIVDKILDTFPIAIQDMDSENKNAVLMAVENRQTDVYNLLLKRPMLKESVFQQLDNGGNSALHLAAKYGDNRPWLIPGDALQMQWEIKWYKFVKKSMPQHFFVKRNGKGQTAKEIFTETHKDLVKKGSLWLTKTSESGSVVATLIATVAFATSATVPGGVDERNGEPTLKNEPAFSIFAIASIVALCFSVTALVFFLSILTSRYQESDFAMDLPRKLFIGLTSLFASIASMLLSFCAGHFFVLNENLRYVAFPLYAATCLPMGFFALAQLPLYFDLASAIFNKVPERSYKHKISLSRAIHS >Manes.07G030402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3328267:3328988:1 gene:Manes.07G030402.v8.1 transcript:Manes.07G030402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPEDLTRVRFGPVDKAIRFFPNDLLVVKILLNRYEVRRVLVDTGSSVNLLILNVFNKLGLDKGSLVRVSYPLVGLEDKTVAVLGTINLPLVLGDEKYKRELYAEFTVVDILFVYNVILNRPALNCHGIVINMEKLESHIKPKPADPIEEVRVGKEQKVQLGTMLIREIKA >Manes.08G091200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30806967:30809007:1 gene:Manes.08G091200.v8.1 transcript:Manes.08G091200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNGSFGDESPLNYSTRPFAGDGYIGYDSRIPSSRFESFSNFDNDSLKDSAGDSSPIFTSQAYSAGDDMFSSQPVSESLSSIYSGGGAGFPTFPLEQNGQGFNGGFGVSNGPILPPPAEMKPEDGFALREWRRQNAIRLEEKEKKEKEILQQIIEEAEEYKREFYRKRQLNVEKKKASNREKEKLFLANQEIFHTEAEKNYWKAIAELIPHEVPAIEKRGKKDQEKKKPSIVVIQGPKPGKPTDLSRMRQILVKLKHNPPPHMNPKPPPSAESSKVAKAAPPAATTTPNATSTKAAATPEAVAAA >Manes.08G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30806714:30809007:1 gene:Manes.08G091200.v8.1 transcript:Manes.08G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNGSFGDESPLNYSTRPFAGDGYIGYDSRIPSSRFESFSNFDNDSLKDSAGDSSPIFTSQAYSAGDDMFSSQPVSESLSSIYSGGGAGFPTFPLEQNGQGFNGGFGVSNGPILPPPAEMKPEDGFALREWRRQNAIRLEEKEKKEKEILQQIIEEAEEYKREFYRKRQLNVEKKKASNREKEKLFLANQEIFHTEAEKNYWKAIAELIPHEVPAIEKRGKKDQEKKKPSIVVIQGPKPGKPTDLSRMRQILVKLKHNPPPHMNPKPPPSAESSKVAKAAPPAATTTPNATSTKAAATPEAVAAA >Manes.02G126800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9618140:9620894:-1 gene:Manes.02G126800.v8.1 transcript:Manes.02G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDTVKLISAEGFEFVIDKEAAMVSQTIRNMLTSPGSFAEAQHGEVTFPEISTTILEKVCQYFYWSLQYAKGKETEFQIEPELTLELMMAANYLHT >Manes.02G126800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9620011:9620895:-1 gene:Manes.02G126800.v8.1 transcript:Manes.02G126800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDTVKLISAEGFEFVIDKEAAMVSQTIRNMLTSPGSFAEAQHGEVTFPEISTTILEKVCQYFYWSLQYAKCCSHPYLFLSFDYVTVSS >Manes.12G071166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7663190:7665083:1 gene:Manes.12G071166.v8.1 transcript:Manes.12G071166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSAGYSTNEDVLLCGVYLDISQDPIIGKQQSSQRFWSRVAEAYEIAKNECWEFCNPRSLQCRLQVIEKAIRKLNGCYRQVENLHPSGASEQDLLNQAKTLLMQDPSYKKGFKFDHVWSMMKDAEKFKDCSSKKK >Manes.18G141045.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26306181:26307187:1 gene:Manes.18G141045.v8.1 transcript:Manes.18G141045.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSTLSLRSILEKDKLKENGTNFIDWFRNLRIVLKQEKKSNVLDEGIPEPPPADATNAVKNKHKKYMDDSNDIGCLMLATMCPELQKDLEHLEAYEMSVHLKQAFQQQVSAHVLKTKGYIDHLARLSYPLSLKLSTDLILHSLPSSFSQFVMNYNMNNMEKSIPELHGMLKTAEEPGHWKRNCKLYLDECKKKKSSETTTSGIYVIDINLSISTSWVLDTGCGSHICTNVQGLKRSRKLKRAMWTYV >Manes.03G066662.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8684072:8688087:-1 gene:Manes.03G066662.v8.1 transcript:Manes.03G066662.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLAGSNWPAIGLCFFFFFLIASSAKIPTSKIPSTTKKSSALGVQLQVTDKQVVIDNGIVQVNFSSPGGDVIGIKYKEMDNVLETKNYENNRGYWDVVWSRPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVLLTNPVNPQQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKA >Manes.S040916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1192941:1198819:1 gene:Manes.S040916.v8.1 transcript:Manes.S040916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRHDGRAIRRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.07G080444.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24496566:24497913:1 gene:Manes.07G080444.v8.1 transcript:Manes.07G080444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLRFDFVTNSIVEDVTSIDSKQFRVNVLGSKNLTFQRFSVKASGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNSVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.03G140233.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26854960:26857018:1 gene:Manes.03G140233.v8.1 transcript:Manes.03G140233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNFFELGPYPVVDIQSENIQRLSPERNLGSWNRIFGLIFLDNPIGLGCSIAATPEEIPRNQPTVAKHLFAAITGFWTQISRIVHFISLVRVMLVSMFLQGYYILKQNMRLPEAQRVNLKGVAIGNGLTDPITQVKTHAINAYFSGFINERQRGELEKAQWKAVEFVKMGNWSEATNARS >Manes.15G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:448440:450455:-1 gene:Manes.15G000500.v8.1 transcript:Manes.15G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSNRNRAIWITSQVLTILALSEICIAVNFSANFLFGDSLVDAGNNNYIFTLAKANYVPNGIDFGRPTGRYTNGRTIFDIIGQAFNFEDFTPPYLAPTTVGSVVLRGVNYASGGGGILNQTGSIFGGRINLDTQIGNFANIRRYIISSIGAPAALNLFQNALFSVTIGSNDFIDNYFTPLVSLPEQNLIPPQVFVSTMIDRFRQQLTRLYNLGARKIVVANVGPIGCIPLERETNPSAGEDCVAFQNQLVQLYNKELKSLIAELRASLEGSMFIHVDVYHIVEDILQNYKSYGFENVNTSCCFMAGRFGGLAPCGPMSRVCGDRSKYFFWDLFHPTEAANVIIAKRLMDGDLNDISPMNIRQLSRV >Manes.06G000133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:129338:131698:-1 gene:Manes.06G000133.v8.1 transcript:Manes.06G000133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTELQKKIQELEKYRLSGASLLILANKQDLKGALTPDEIAKVLNLENMDKTRHWKIVGCSAYIGEGLLERFDWLVQDMMIP >Manes.06G000133.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:129338:132741:-1 gene:Manes.06G000133.v8.1 transcript:Manes.06G000133.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTELQKKIQELEKYRLSGASLLILANKQDLKGALTPDEIAKVLNLENMDKTRHWKIVGCSAYIGEGLLERFDWLVQDMMIP >Manes.08G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:886825:890073:1 gene:Manes.08G004600.v8.1 transcript:Manes.08G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNTRFRRAYLVPESQSSSLDLFSLSLSLSLSISLLFVSSLHFLFSDFSLSKMANNTTTTDSSLNNSGDCEESSPLLNKSLQEHDNKLKKISDTKFGASPDEKSAALGLDRPEYGWTANGLPLGHGSVVGEPMGRAQWDSSLFACLGRNDEFCSSDLEVCLLGGVAPCVLYGSNAERLGSSPGTFANHCLPYTGLYLIGNSFFGWNCLAPWLSYPSRTAIRRRFNLEGSCEALNRSCGCCGSCVDDELQREQCESACDFATHVFCHLCALCQEGREIRRRVPHPGFNAQPVLVMIPPGEQAMAREA >Manes.04G128400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33142348:33145126:1 gene:Manes.04G128400.v8.1 transcript:Manes.04G128400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFQCIAKNALKNEPEEEIYLPDTIDVASGGQQQRSTGCEC >Manes.13G102100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29963061:29965270:-1 gene:Manes.13G102100.v8.1 transcript:Manes.13G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPNNLQGKQATKEDNQTSGNRKTAATRPQEQASKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKSKKVKSSSRLSGDSKDSSVSSEIGGFKFFHGLSPAMDFNLGGLSFPRLNPSPSGLYNQLSSFGDISATSAAAISVTNPCFSLDPSGSSSASLMGFNYPISSPATGFSGAIQDMGGGSMNVHTNLASSIESLSSINQDLHWKLQQQRLAVLFGGEDQKDSSVSSVPIQSQAQKPQPILFQNLEISKPEVNGIGNSRREDGNGGDIATEWFFGNSFGQVTPTPATSNSNGNNNAAAGNWNGVQSWGDLHQCNGFP >Manes.05G197001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32129403:32129951:1 gene:Manes.05G197001.v8.1 transcript:Manes.05G197001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRMKNGANETQEPSSPKVTCIGQVIVKRSKQAKTQPSKIKCFYKWVRNTLFFLHSNRATLRPNCTLLSWRKRVMFFKVGVRRESKIREDSSKVEPKFGNISEDAGQESEVEDEENKMYVSYSISPPKNALLLTRSRSAL >Manes.03G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5273741:5276772:-1 gene:Manes.03G054300.v8.1 transcript:Manes.03G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFHAPSFAITSLPQSHCSSLHYSAFHRMSRFSVPLLFVFLLLVLIVGSVPCDASSRSRSDYLQNECLKVPISEFVGSARTTIVLIRNVASTISKFGNFLGNFRLTNAIADCLDLLDFSADELSWSMSASQNPKGKHNSTGDLSSDLRTWLSAALVNQDTCIDGFEGTSSILKNLVAGGLNKITSSVQQLLSKVDSKPNSKANGVALTGRKTSQNHKFPSWVQHRDRKLLVINGVTPDAIVAADGTGNFTKIMDAVSAAPDYSMGRYIIYIKKGFYNEYVDIKKKKWNLMMVGDGMGVTVISGNRSFIDGWTTFRSATFAVSGRGFIARDITFENTAGAAKHQAVALRSDSDLSVFYRCEIKGYQDTLYTHTMRQFYRECRISGTVDFIFGDATAVFQNCQILAKKGLPSQKNTITAQGRKDPNQPTGYSIQFCNISADIDLLPVTSSTYTYLGRPWKNFSRTVVMQSYMSDAIRPEGWLEWNGDQFLDTLYYGEYSNYGPGAGLANRVRWPGYHILNTSNEASNYTVAVFIEGNLWLPSTGVKYTAGLEV >Manes.02G136600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10372143:10377290:1 gene:Manes.02G136600.v8.1 transcript:Manes.02G136600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLGFEEQTLVVDADDQVKSSSSSSRSYKLVPWLNWDEWEWVRDSLFSDSPENIASAIKRISTWRSRGCLPVVIDVTASIIEIQQKDPFYRNDLPSDAIHSEQMLAMLYCMAILRLVNCVVEKTRKKTEISIAEAAGAIGIPRTLIDIRHEGSHRDLPALTLVRDSAVKAIHWLKSYYWEPQTEQIPFQRDGTAEIRKEIKAKLRELASCLKVRQNSLPGSSLIKGKCSKKHTVKTLKNLVHLYSSSSSEVLSVLLEFLLKALDSSNLVQLPRDDLIGQELHKQLDDWKLVITKLSNKEPELLPVLLKAILNMIETQEATKYETGTYLASMESSTGTGKIEQLSFLFIWLVGQLKLLKPFRHVHTKKTEVSATETYLSNPILIEVLRKCLVISCGNKQLMDSALHLAELTGNSRLMEKLSKLSFPSSSDLDVAEEIYSLKCSSNLLVQQDESIKQAANKLELVKHSLANRRIVKTTDGALGRVGRWSVVKSWNPCPIGMLPHDLGSSGCLPVLDHNDVSKKPVDSSERPQISEVKHSVAEEHSSDIQGDNPGAETRSKREASNDICLLDRSIVKKMRETLDSSESYEDVMLPADIRGCLMINGVWKKVGEEELLAYESVRILV >Manes.02G136600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10372143:10377290:1 gene:Manes.02G136600.v8.1 transcript:Manes.02G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLGFEEQTLVVDADDQVKSSSSSSRSYKLVPWLNWDEWEWVRDSLFSDSPENIASAIKRISTWRSRGCLPVVIDVTASIIEIQQKDPFYRNDLPSDAIHSEQMLAMLYCMAILRLVNCVVEKTRKKTEISIAEAAGAIGIPRTLIDIRHEGSHRDLPALTLVRDSAVKAIHWLKSYYWEPQTEQIPFQRDGTAEIRKEIKAKLRELASCLKVRQNSLPGSSLIKGKCGKHREQLCGRNKFFSLMASKLNLSKSGGSKKHTVKTLKNLVHLYSSSSSEVLSVLLEFLLKALDSSNLVQLPRDDLIGQELHKQLDDWKLVITKLSNKEPELLPVLLKAILNMIETQEATKYETGTYLASMESSTGTGKIEQLSFLFIWLVGQLKLLKPFRHVHTKKTEVSATETYLSNPILIEVLRKCLVISCGNKQLMDSALHLAELTGNSRLMEKLSKLSFPSSSDLDVAEEIYSLKCSSNLLVQQDESIKQAANKLELVKHSLANRRIVKTTDGALGRVGRWSVVKSWNPCPIGMLPHDLGSSGCLPVLDHNDVSKKPVDSSERPQISEVKHSVAEEHSSDIQGDNPGAETRSKREASNDICLLDRSIVKKMRETLDSSESYEDVMLPADIRGCLMINGVWKKVGEEELLAYESVRILV >Manes.01G236100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39847180:39849297:1 gene:Manes.01G236100.v8.1 transcript:Manes.01G236100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGEANGLEYECLLFDMDDTLYPLSLGLNLACRKNIEEFMLHHLHIEESQVPRMCLELYREYGTTMAGLKALGYEFDDDEFHAFAHGRLPYEKLKPDAVLRNLLLSIPQRKIIFTNADKVHAAEVLKRLGLEDCFEGVICYETLNPPVETANFKDTSDNDAVLAGGEAKLNDLNDADRIRPLILCKPSLEAFEAAIRIANVDPKRTIFFDDSARNIASGKAAGLHTVIVGSSVLVPGADHALSSIHNIREAIPQIIWEGEREQQEQIIQSTAVATVVLA >Manes.01G236100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39847180:39849297:1 gene:Manes.01G236100.v8.1 transcript:Manes.01G236100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAYVEMDTDGEANGLEYECLLFDMDDTLYPLSLGLNLACRKNIEEFMLHHLHIEESQVPRMCLELYREYGTTMAGLKALGYEFDDDEFHAFAHGRLPYEKLKPDAVLRNLLLSIPQRKIIFTNADKVHAAEVLKRLGLEDCFEGVICYETLNPPVETANFKDTSDNDAVLAGGEAKLNDLNDADRIRPLILCKPSLEAFEAAIRIANVDPKRTIFFDDSARNIASGKAAGLHTVIVGSSVLVPGADHALSSIHNIREAIPQIIWEGEREQQEQIIQSTAVATVVLA >Manes.12G107050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:29308708:29309043:1 gene:Manes.12G107050.v8.1 transcript:Manes.12G107050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYVKMNCFLLWFLLTGLFSSPLSPNPRLAGSEVVQEDDEIRLWLWHVIE >Manes.06G002600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:623796:637387:-1 gene:Manes.06G002600.v8.1 transcript:Manes.06G002600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMQRKQERRDSDSEEEEFVEEKSDNKPQWKKLLCLFRNDSIGGIGGEIVRDGNGSSVAGGSSSASHRGFIIRPDDWWYTAWTHFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIIVRFFVAYRDTHSHRLVYNRNLIAIRYLKSRFLVDILGCLPWDAIYKACGRKEAARYMLWIRLSRARRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTLPPSEEGYTWIGSLQMGDYHYSHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDKMAELIKYMNRNNLGKGISNEIKGHLRLQFDRSYTEAAVLQDIPSSLRAKISQKLYEPYIKEVHLFKGCSLGFIKQIAIKVHEEFFLPGEVIIEQGQIVDQLYFVCHGELVKEELGKEGNDETEEPMCLQAYSSFGEISFLCNTPQPHTVRVRELCRVLRLDKRSFTEILEIYFSDGRTILNNLLEGKDSNLQNELLESDVTLHIEKSESVLATRLNCAAYDGDFYRLKRLIGAGADPNKTDYDGRSPLHVAATKGHEDITLFLIEQGVDVNISDKFGNTPLLESVKGGHDEVGSALVRAGATLEIDDAGGFLCMAVARRDLGLLKRALANGMNPNAKNFDCRTPLHVAASEGLYPMAKLLLEAGASVFSKDRIVSPCLLSFLGHSHYCEFNSFEGQALKKK >Manes.06G002600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:623796:637387:-1 gene:Manes.06G002600.v8.1 transcript:Manes.06G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMQRKQERRDSDSEEEEFVEEKSDNKPQWKKLLCLFRNDSIGGIGGEIVRDGNGSSVAGGSSSASHRGFIIRPDDWWYTAWTHFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIIVRFFVAYRDTHSHRLVYNRNLIAIRYLKSRFLVDILGCLPWDAIYKACGRKEAARYMLWIRLSRARRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTLPPSEEGYTWIGSLQMGDYHYSHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDKMAELIKYMNRNNLGKGISNEIKGHLRLQFDRSYTEAAVLQDIPSSLRAKISQKLYEPYIKEVHLFKGCSLGFIKQIAIKVHEEFFLPGEVIIEQGQIVDQLYFVCHGELVKEELGKEGNDETEEPMCLQAYSSFGEISFLCNTPQPHTVRVRELCRVLRLDKRSFTEILEIYFSDGRTILNNLLEGKDSNLQNELLESDVTLHIEKSESVLATRLNCAAYDGDFYRLKRLIGAGADPNKTDYDGRSPLHVAATKGHEDITLFLIEQGVDVNISDKFGNTPLLESVKGGHDEVGSALVRAGATLEIDDAGGFLCMAVARRDLGLLKRALANGMNPNAKNFDCRTPLHVAASEGLYPMAKLLLEAGASVFSKDRWGNTPLDEARLGGNKNFINLFETARTSQISELSDCHGGIQAVAEKQRRKCTVYPFHPWDPTEKRREGVVLWVPETMEEIVKAAMEQLNCTSSCILSENGGKIIDVNMINDNQKLFLVSES >Manes.06G002600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:623796:637387:-1 gene:Manes.06G002600.v8.1 transcript:Manes.06G002600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMQRKQERRDSDSEEEEFVEEKSDNKPQWKKLLCLFRNDSIGGIGGEIVRDGNGSSVAGGSSSASHRGFIIRPDDWWYTAWTHFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIIVRFFVAYRDTHSHRLVYNRNLIAIRYLKSRFLVDILGCLPWDAIYKACGRKEAARYMLWIRLSRARRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTLPPSEEGYTWIGSLQMGDYHYSHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDKMAELIKYMNRNNLGKGISNEIKGHLRLQFDRSYTEAAVLQDIPSSLRAKISQKLYEPYIKEVHLFKGCSLGFIKQIAIKVHEEFFLPGEVIIEQGQIVDQLYFVCHGELVKEELGKEGNDETEEPMCLQAYSSFGEISFLCNTPQPHTVRVRELCRVLRLDKRSFTEILEIYFSDGRTILNNLLEGKDSNLQNELLESDVTLHIEKSESVLATRLNCAAYDGDFYRLKRLIGAGADPNKTDYDGRSPLHVAATKGHEDITLFLIEQGVDVNISDKFGNTPLLESVKGGHDEVGSALVRAGATLEIDDAGGFLCMAVARRDLGLLKRALANGMNPNAKNFDCRTPLHVAASEGLYPMAKLLLEAGASVFSKDRDSKKRLNRLAYSGGQDRCPPCDTVQQS >Manes.06G002600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:623796:637387:-1 gene:Manes.06G002600.v8.1 transcript:Manes.06G002600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMQRKQERRDSDSEEEEFVEEKSDNKPQWKKLLCLFRNDSIGGIGGEIVRDGNGSSVAGGSSSASHRGFIIRPDDWWYTAWTHFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIIVRFFVAYRDTHSHRLVYNRNLIAIRYLKSRFLVDILGCLPWDAIYKACGRKEAARYMLWIRLSRARRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTLPPSEEGYTWIGSLQMGDYHYSHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDKMAELIKYMNRNNLGKGISNEIKGHLRLQFDRSYTEAAVLQDIPSSLRAKISQKLYEPYIKEVHLFKGCSLGFIKQIAIKVHEEFFLPGEVIIEQGQIVDQLYFVCHGELVKEELGKEGNDETEEPMCLQAYSSFGEISFLCNTPQPHTVRVRELCRVLRLDKRSFTEILEIYFSDGRTILNNLLEGKDSNLQNELLESDVTLHIEKSESVLATRLNCAAYDGDFYRLKRLIGAGADPNKTDYDGRSPLHVAATKGHEDITLFLIEQGVDVNISDKFGNTPLLESVKGGHDEVGSALVRAGATLEIDDAGGFLCMAVARRDLGLLKRALANGMNPNAKNFDCRTPLHVAASEGLYPMAKLLLEAGASVFSKDRIVSPCLLSFLGHSHYCEFNSFEGQALKKK >Manes.06G002600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:623796:637387:-1 gene:Manes.06G002600.v8.1 transcript:Manes.06G002600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMQRKQERRDSDSEEEEFVEEKSDNKPQWKKLLCLFRNDSIGGIGGEIVRDGNGSSVAGGSSSASHRGFIIRPDDWWYTAWTHFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIIVRFFVAYRDTHSHRLVYNRNLIAIRYLKSRFLVDILGCLPWDAIYKACGRKEAARYMLWIRLSRARRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTLPPSEEGYTWIGSLQMGDYHYSHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDKMAELIKYMNRNNLGKGISNEIKGHLRLQFDRSYTEAAVLQDIPSSLRAKISQKLYEPYIKEVHLFKGCSLGFIKQIAIKVHEEFFLPGEVIIEQGQIVDQLYFVCHGELVKEELGKEGNDETEEPMCLQAYSSFGEISFLCNTPQPHTVRVRELCRVLRLDKRSFTEILEIYFSDGRTILNNLLEGKDSNLQNELLESDVTLHIEKSESVLATRLNCAAYDGDFYRLKRLIGAGADPNKTDYDGRSPLHVAATKGHEDITLFLIEQGVDVNISDKFGNTPLLESVKGGHDEVGSALVRAGATLEIDDAGGFLCMAVARRDLGLLKRALANGMNPNAKNFDCRTPLHVAASEGLYPMAKLLLEAGASVFSKDRDSKKRLNRLAYSGGQDRCPPCDTVQQS >Manes.06G002600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:623796:637387:-1 gene:Manes.06G002600.v8.1 transcript:Manes.06G002600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMQRKQERRDSDSEEEEFVEEKSDNKPQWKKLLCLFRNDSIGGIGGEIVRDGNGSSVAGGSSSASHRGFIIRPDDWWYTAWTHFILIWAIYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIIVRFFVAYRDTHSHRLVYNRNLIAIRYLKSRFLVDILGCLPWDAIYKACGRKEAARYMLWIRLSRARRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTLPPSEEGYTWIGSLQMGDYHYSHFRDIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDKMAELIKYMNRNNLGKGISNEIKGHLRLQFDRSYTEAAVLQDIPSSLRAKISQKLYEPYIKEVHLFKGCSLGFIKQIAIKVHEEFFLPGEVIIEQGQIVDQLYFVCHGELVKEELGKEGNDETEEPMCLQAYSSFGEISFLCNTPQPHTVRVRELCRVLRLDKRSFTEILEIYFSDGRTILNNLLEGKDSNLQNELLESDVTLHIEKSESVLATRLNCAAYDGDFYRLKRLIGAGADPNKTDYDGRSPLHVAATKGHEDITLFLIEQGVDVNISDKFGNTPLLESVKGGHDEVGSALVRAGATLEIDDAGGFLCMAVARRDLGLLKRALANGMNPNAKNFDCRTPLHVAASEGLYPMAKLLLEAGASVFSKDRWGNTPLDEARLGGNKNFINLFETARTSQISELSDCHGGIQVAEKQRRKCTVYPFHPWDPTEKRREGVVLWVPETMEEIVKAAMEQLNCTSSCILSENGGKIIDVNMINDNQKLFLVSES >Manes.03G058600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5929927:5935953:-1 gene:Manes.03G058600.v8.1 transcript:Manes.03G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGLSGDSMEKLKGVRIAPLDDDDDDFEGQENEEVQEPTFEEEEDDDDYDEEEEPVTLGFVEKPKHFWSLLRQLFPSKAGGVPAWLDPVNLPSGRSCACDTCGNPLQFLLQVYAPISEKESTFHRTLFVFMCPKMSCLRRDQHEQWKCRSKNSSRRSVKVFRCQLPHLNPFYSSEAPKLDGTDIPSGSGVALCNWCGTWKGDQFCSSCKKACYCLQQHQAMHRKINCQQLSLSSQLHNSSSSGGETTSMEIIKATSNALWPEYEILNEDESELDAEMSDDNAYDKLLISRKRTDDSMMSLVDCFKGNSDRKCWASFQERIAKAPEQVLRYCRNANARPLWPMSSGRPSKDDIPNCSYCGSPSDFEFQILPQLLYYFGVKNDDVDSLDWATIAVYTCRASCEASIAYKQEFAWVQL >Manes.10G120450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28534190:28537786:1 gene:Manes.10G120450.v8.1 transcript:Manes.10G120450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGKECEESSEAEKNSSNPYTLNSNDNPGNLITQVQLKGDNYEEWARAMRTALRAKKKMALSMDPSNNQRTMHRNSKIGEQLTPCWFSGQDGQSVVFYFGKLKILWDEINNYDQILVCACDGCKCNLTIKLKQKCEEERFHQFLMGLDEEGYGIVRFHILSTDSLPDLNRTYVMVIHKEERGNPISFAIQFSVQNLEGDKDKTCSHCNRNGHDASFSSFVLAIIYNSELPYSADVGLNPKQAADRYNLFSASCGARYLWIIVDDCSRTVWIYLVSNKSDVACVFKKFIAMVKCQFNKSVKVVKSDNDSEFVYFWDECVLTARYLINRMSSEVLHGKTPYEILFQQAPSYNHLQVFGCLCYAHNLQREKDKFASQIRRCLFVGYPFGQKGSELLGQGQQTKQISTRLKDYMTHAICISPSTCSFPPSVFSLGTPYPITHYVSYDKFSTQPRYFLGAITVGHEPNSYTEAFQDARWREAICMKI >Manes.07G064700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11497949:11501661:1 gene:Manes.07G064700.v8.1 transcript:Manes.07G064700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSNYPTQLHQQHKHHELSLFHSLLSTLGLLLSINAGCSNDTSYHISGLWLGGVELLRTITPKLTIDKSRNSWAVKKDITRYSSLLAKEDLNLTMILQSIVDNVSTGVYNVSVTVLFYKNSSITVSLNRNDLSLPILAEKEANGDGDSVLEGVLSFYDTPADLIIPISDDGDTGFWYRMKNEIDLPSKQILVPCNTHRAVLELYVSFHGNDESWYSNPPSSYLRMNNISLQGNGAYREVFVTIDGASVGSELPFPVVLTSVFNSLFWKPVVSIGAFNLPSYDFEVTPFLEKVLDGQVHEFGVGVGNVIPYWLVDANLHIWLDKGSSSVTAGTVVAHNPSLALKSRKEVKRLDGSFEVKGKGGSESKGWVISTAGNLTTLVLQEFRFQSFIQFQKNATRKFVKLKIKVNKEIQVLNDRGELLK >Manes.11G002800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:360004:364041:-1 gene:Manes.11G002800.v8.1 transcript:Manes.11G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKVAVKLGVQADHVKSEKRLGNLKPSPYQHHDGKNRGLDMKKKMKKSGSIKLSDIESLNASPLRKTMSRPGMSLSRNASTIAATPQKQQLMLRTSGGSPNYMKATSSSEARNERSQVSFFNTPTASDITNPHRRNSSNLLPSSASSNRLTRALTKSSSLKLVRTLTKTPSFKPARSSAKKCSSVVLCADMNVQKATCSSTLKDSKFPEYIMLNPGGTEAEGTSVLKVCPYNYCSLNGYNHTPLPPLKYFLKARRRSLKAHKSVKSEGLSPRTSDGTEEISSQLLILSDDKSPSEEADSIGSAKIPMVKEVGVDFFVEIYAKNIAVCPDATEKHIGKDVGTIGFAGEPNKCSSHGGQEEGAEQDNMKEVDQNLSDASPQLETDFEKIYGQSNYNHLVEIWTAEDFLQEKEDVSGDYAPILSQESRKERCASESHIEGECQISMEEYDNISEATDMQWEEGQFSTSGSDIEAQYLGKSYKKSCTDVECLSDIKKFNLTDEPEIIRSADIISNCTEEILADEVLQEFFGEETASFDIYYIGSDSETEGMLQNWETETTQDDGYLTYDDAFEYAFEEPTTVEEKSEDAEKDLTAALTASASMEELIMESAAVNENIQEDGIRDAEHFIFEKNPQLRDAEYDCSTNVTTEALNGYQEGKSLQVDDTIELIQDQIASCQSLDEMGNTEKNEGQISRETETDQNLEIRTCATEEQEEVVKAKSSMGIQISYSCHSSSEADQYDSVDNDNQTQIIAEACWLDKISEASSSSEELLNQNSLAKYGQNENLNLFREDQGGANESKIETSTDTEEQSDSRMHQTSLARHHIGEVEKMEVAVCNQSDIAETVFATDDGIRAGSESKFFSKGSNSGQELASSFNNRKWTVNCKKPIMDLEEERKFNPREPNFLPIAPDPEVEKVDLRHQIMDDRKSAEEWMLDYALRQAVTKLAPARKRKVALLVEAFETILPIPKYGTQIRPASAAFSHTRPIQACS >Manes.11G002800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:360011:363982:-1 gene:Manes.11G002800.v8.1 transcript:Manes.11G002800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKVAVKLGVQADHVKSEKRLGNLKPSPYQHHDGKNRGLDMKKKMKKSGSIKLSDIESLNASPLRKTMSRPGMSLSRNASTIAATPQKQQLMLRTSGGSPNYMKATSSSEARNERSQVSFFNTPTASDITNPHRRNSSNLLPSSASSNRLTRALTKSSSLKLVRTLTKTPSFKPARSSAKKCSSVVLCADMNVQKATCSSTLKDSKFPEYIMLNPGGTEAEGTSVLKVCPYNYCSLNGYNHTPLPPLKYFLKARRRSLKAHKSVKSEGLSPRTSDGTEEISSQLLILSDDKSPSEEADSIGSAKIPMVKEVGVDFFVEIYAKNIAVCPDATEKHIGKDVGTIGFAGEPNKCSSHGGQEEGAEQDNMKEVDQNLSDASPQLETDFEKIYGQSNYNHLVEIWTAEDFLQEKEDVSGDYAPILSQESRKERCASESHIEGECQISMEEYDNISEATDMQWEEGQFSTSGSDIEAQYLGKSYKKSCTDVECLSDIKKFNLTDEPEIIRSADIISNCTEEILADEVLQEFFGEETASFDIYYIGSDSETEGMLQNWETETTQDDGYLTYDDAFEYAFEEPTTVEEKSEDAEKDLTAALTASASMEELIMESAAVNENIQEDGIRDAEHFIFEKNPQLRDAEYDCSTNVTTEALNGYQEGKSLQVDDTIELIQDQIASCQSLDEMGNTEKNEGQISRETETDQNLEIRTCATEEQEEVVKAKSSMGIQISYSCHSSSEADQYDSVDNDNQTQIIAEACWLDKISEASSSSEELLNQNSLAKYGQNENLNLFREDQGGANESKIETSTDTEEQSDSRMHQTSLARHHIGEVEKMEVAVCNQSDIAETVFATDDGIRAGSESKFFSKGSNSGQELASSFNNRKWTVNCKKPIMDLEEERKFNPREPNFLPIAPDPEVEKVDLRHQIMDDRKSAEEWMLDYALRQAVTKLAPVMIKESLGQNILEISCWSMEY >Manes.05G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4191964:4201154:-1 gene:Manes.05G050400.v8.1 transcript:Manes.05G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAQPLDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYVDEKLAVKVEKLGKDSLVNCAKTSMSSKLIADDSDFFANLVVDAVQAVKMTNARGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVAPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIGKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATMVSTFADMEGEETFDQSLLGYADEVVEERIADDDVIMIKGTKSTSAVSLILRGANDYMLDEMERALHDALSIVKRTLESNMVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAEALLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHLSSMGLDLSKGTIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIRLVKDESQNDEA >Manes.01G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17707746:17716486:1 gene:Manes.01G049700.v8.1 transcript:Manes.01G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKIQRACTALGDHGEASALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVEILEGKAYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFASSPEYKHLAHRMGSEHLAKVLSKHLETVIKSRIPGIQSLINKTIAELESELSRLGKPIAADAGGKLYTIMEICRLFDQTYKEHLDGVRAGGEKIYNVFDTQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSLVTIRGPAEAVVEAVHGILKELVHKAINETPELKQYPALRVEVGNAAIESLDRMKEESRKATLKLVDMECSYLTVDFFRKLPQDVDKGGNPTHSIFDRYNDSYLRRIGTTVLSYVNMVCATLHNSIPKSVVYCQVREAKRSLLDHFFTELGKMEQKYLSSLLNEDPAVMERRSALAKRLELYRSAQVEIDTVAWSK >Manes.11G105200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24226739:24229161:1 gene:Manes.11G105200.v8.1 transcript:Manes.11G105200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKLVLVYSLFFLVLPLGSIAHILKACKFEAIYQLGDSLSDTGNVIIENPSSAYARLPYGQNFYKKATALSAGLPLLDAYLNPKASTSHGVNFAVAGSTALPVEFLAEKYSLVPPVTNSSLTVQLGWMLSHFNTTCYSRQDCSKKLEKSLFLVGEIGGNDYIYSLLEGKTIDEVKSVRSDVVKAIKDAILRVIDYGATRIVVPGNLPMGCLPAFLTEFDTNDSNAYDEFHCLKEVNSLSMNFNGHLQKAIEKIEKEHPNVTIIYGDFYNAYKWILQNAQLLGFSPNSLQKACCGSGGNYNYNVLRRCGASGVPVCPNPQQHISWDGIHLTQEAYRHLATWIICDIFQKLQCGV >Manes.11G105200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24226739:24228200:1 gene:Manes.11G105200.v8.1 transcript:Manes.11G105200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKLVLVYSLFFLVLPLGSIAHILKACKFEAIYQLGDSLSDTGNVIIENPSSAYARLPYGQNFYKKATGRCSNGLLMIDYIALSAGLPLLDAYLNPKASTSHGVNFAVAGSTALPVEFLAEKYSLVPPVTNSSLTVQLGWMLSHFNTTCYSRQDCSKKLEKSLFLVGEIGGNDYIYSLLEGKTIDEVKSVRSDVVKAIKDAILRVIDYGATRIVVPGNLPMGCLPAFLTEFDTNDSNAYDEFHCLKEVNSLSMNFNGHLQKAIEKIEKEHPNVTIIYGDFYNAYKWILQNAQLLGKSLAVILSISI >Manes.11G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24226739:24229161:1 gene:Manes.11G105200.v8.1 transcript:Manes.11G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKLVLVYSLFFLVLPLGSIAHILKACKFEAIYQLGDSLSDTGNVIIENPSSAYARLPYGQNFYKKATGRCSNGLLMIDYIALSAGLPLLDAYLNPKASTSHGVNFAVAGSTALPVEFLAEKYSLVPPVTNSSLTVQLGWMLSHFNTTCYSRQDCSKKLEKSLFLVGEIGGNDYIYSLLEGKTIDEVKSVRSDVVKAIKDAILRVIDYGATRIVVPGNLPMGCLPAFLTEFDTNDSNAYDEFHCLKEVNSLSMNFNGHLQKAIEKIEKEHPNVTIIYGDFYNAYKWILQNAQLLGFSPNSLQKACCGSGGNYNYNVLRRCGASGVPVCPNPQQHISWDGIHLTQEAYRHLATWIICDIFQKLQCGV >Manes.08G158600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39452983:39454961:-1 gene:Manes.08G158600.v8.1 transcript:Manes.08G158600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPASAMFKVHRRQPELLTPAKPTPHEFKPLSDIDDQESLRFHIPLLQVYRHHPSMQMKDPVKIIREALAKALVFYYPFAGRLREGHNRKLLVECTAEGILFIEADADVTLEQSGDALEPPFPCLEELLFDVPGSSEILNCPLLLVQVTRFKCGGFALALRVNHTVSDAPGFVQFMSGVAEMARGKQAPSVLPVWERHVLNARSPPRVTCIHREYDEVEDNKSTCSARIPFPLHDIVDKSFFIELSSLSTLRRFAPPHLQECSNFQILTACLWKCRTIALQPNPKQEMRMICLNNARRKFNPSILPEGYYGNGFVLSTTVATAEEITQNPIGFALKLVRKAATGMSREYLQSVADLMVIKGRPQMHEEGSYVVSDVRHAGFGEVDFGWGKAVYGGPVRAIPIIASFLVPFENKKGEKGIVIPICLPSQAMERFAKELNNLLEGIQLIHGHMSRSSHIISSL >Manes.15G143716.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11792746:11799095:-1 gene:Manes.15G143716.v8.1 transcript:Manes.15G143716.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELEPEQQQHEQKEFADGGKRKLDAFELAKQRAQEIASRIASDAELKRPRLVSENSSEPSLFSSSVSAPLSFPVPFAAQPSLYSHGMGKKITIPSGKVGVVIGKGGETIKHIQLQSGAKIQITKDQDADPHSLTRDVELMGTSEQISRAEELINDVITEADAGGSALSAEHGLNTKQSGAEQFAMKVPNDKVGLLIGKGGETIKYMQSRSGARMQIIPLHLPPGDTMAERTVYLNGSTEQIEAAKELVNDVISGKRIINPSGANSYAQPVYTAAVNWVQPGQPTMQQQPQYGYAQPGTQPTTSSYYGNYTQQPAWDQSNPLTMSQTPQQMTGYGYYGQPQLGSAPLNPSYSYNQTPYDHGYSQQTPSYGQNIPSQTPTQEQQKPYVTSSYGSATVSSQPDGAVSSQSQVAAPAYPPTAYSQPVANPQTYWTSSSYAGQPPQMGYDQTGYSQTAYGGMQPGQVPPPPPQPVYGQGGYPLQPSAAAVNYVQDTQPQSQPSNNGYSQSLAYGAETHDGNSNSAVQEPVPSQS >Manes.15G143716.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11792746:11799095:-1 gene:Manes.15G143716.v8.1 transcript:Manes.15G143716.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELEPEQQQHEQKEFADGGKRKLDAFELAKQRAQEIASRIASDAELKRPRLVSENSSEPSLFSSSVSAPLSFPVPFAAQPSLYSHGMGKKITIPSGKVGVVIGKGGETIKHIQLQSGAKIQITKDQDADPHSLTRDVELMGTSEQISRAEELINDVITEADAGGSALSAEHGLNTKQSGAEQFAMKVPNDKVGLLIGKGGETIKYMQSRSGARMQIIPLHLPPGDTMAERTVYLNGSTEQIEAAKELVNDVISGKRIINPSGANSYAQPVYTAAVNWVQPGQPTMQQQPQYGYAQPGTQPTTSSYYGNYTQQPAWDQSNPLTMSQTPQQMTGYGYYGQPQLGSAPLNPSYSYNQTPYDHGYSQQTPSYGQNIPSQTPTQEQQKPYVTSSYGSATVSSQPDGAVSSQSQVAAPAYPPTAYSQPVANPQTYWTSSSYAGQPPQMGYDQTGYSQTAYGGMQPGQVPPPPPQPVYGQGGYPLQPSAAAVNYVQDTQPQSQPSNNGYSQSLAYGAETHDGNSNSAVQEPVPSQS >Manes.06G055467.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19259495:19262688:1 gene:Manes.06G055467.v8.1 transcript:Manes.06G055467.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCNFKRELNKVLFEGDSFYREVILNRPTKLNSLDYEVISDMLKNFRDFESDSKVKFVILKANGRAFSAGGDVVSIVGSMMTGHWCFGARFYKKQFNLDYLLATYKKPLLPLIDGIVMGGGAGLCMNGKFRIVTEKAVFAMPEASIGLFPDVGASHFLSRLPGHFGEFLGLTGGRLNGAEMLACGLATHFLFSKDLPLLENTLKTSDMATICQVVNKFTQKPNLKQDTIYQTQRLETINKCFSKDTIEEILLALENEAKNNPEIWITEAINSMKAASHTSLKITLRSIKEGRLQNLKQCLVREYTICCNVLRATVSYDFYEGSRALLFDKDKKPKWEPSKLELVSKEMVNRCFNGIDDDDWKCLQIPDRSVSSGDVLKPKL >Manes.S029116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:597782:598301:1 gene:Manes.S029116.v8.1 transcript:Manes.S029116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDMGDQMDVDQRRDGSLGVSMSEEGMGNPKEALRPRDLFSHLTIRPTPQNPGYSMGGTSDYPSFNPLPHTDAIPTILPTISTVPSVPTSTILSKSSKPYLRGCCTSTTTSTYMPRYTDTSA >Manes.11G163100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32770160:32773136:1 gene:Manes.11G163100.v8.1 transcript:Manes.11G163100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRRVAALGLALSFISVHLTGQCHGQLQVGFYKGKCKFDVESIVRGVVEAKFITDKTITAALLRLHFHDCFVHGCDASILLEGSNSEKTAQPNLSVRGYDIIDAAKAAVEFFCPGLVSCADIIAMATRDSVLLAKGGWYNVQTGRRDGSVSSAQDVNLPSPSFNIPEAVAAFASKGLDVTDMVYLLGGHTVGLTHCSFFQDRLYNFQDTGKPDPTMDATLLSMLRQRCPQNSAGTNTTFLDQNPSSSFTVDNSFYQQILMHKGVLQVDQELALDPITKSIVATIANGKDFSYNFGRAMVKLGAVQVLTGNQGEIRRDCRSVNNPSNSSSNSNIEE >Manes.03G087500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17391638:17395527:1 gene:Manes.03G087500.v8.1 transcript:Manes.03G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGAYFGGGRGLTVVFSLLGLLSLTCAARLSVERQKLEVQKHLNRLNKPAIKSIESPDGDIIDCIHMAHQPAFDHPFLKDHKIQMRPSYHPEGLFDENKVATESKERTNPITQLWHINGKCPEGTIPIRRTKKDDVLRASSVKRYGKKKHRSIPKPRSADPDLTNESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLTDSASMIEWGGEVVNSEPGSQHTSTQMGSGHFPEEGFGKSSYFRNIQVVDDSNNLRAPKGIGTFTEQSNCYDVETGNNGDWGHYFYYGGPGRNSNCP >Manes.17G001600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932457:1939704:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRWNYETRAINCMMIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTKIAKAIGDWFYDENPFQKIDCPYPCNPTCPRSDLDKQHHLDTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1933210:1939754:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTKIAKAIGDWFYDENPFQKIDCPYPCNPTCPRSDLDKQHHLDTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1933856:1939704:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRWNYETRAINCMMIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTKIAKAIGDWFYDENPFQKIDCPYPCNPTCPRSDLDKQHHLDV >Manes.17G001600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932457:1939704:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1933210:1939754:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1933205:1939704:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRWNYETRAINCMMIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTKIAKAIGDWFYDENPFQKIDCPYPCNPTCPRSDLDKQHHLDTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932305:1939754:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRWNYETRAINCMMIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTKIAKAIGDWFYDENPFQKIDCPYPCNPTCPRSDLDKQHHLDTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932327:1939753:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRWNYETRAINCMMIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932457:1939704:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTKIAKAIGDWFYDENPFQKIDCPYPCNPTCPRSDLDKQHHLDTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932323:1939754:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTKIAKAIGDWFYDENPFQKIDCPYPCNPTCPRSDLDKQHHLDTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932323:1939753:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRWNYETRAINCMMIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTKIAKAIGDWFYDENPFQKIDCPYPCNPTCPRSDLDKQHHLDTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932305:1939754:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRWNYETRAINCMMIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.17G001600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1932323:1939754:-1 gene:Manes.17G001600.v8.1 transcript:Manes.17G001600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRMSQWMNLLICVLLLKKIEGLYVGITYVRNAVAKGAVCLDGSPPAYHLDKGFGTGINSWLVHFEGGGWCNNITTCLARKNTRLGSSKQMATPLAFSGIMNNMRQYNPDFYNWNRVKIRYCDGASFTGDVEAVNPATNLHFRGARIFLAVIEDLLAKGMKNAENALLSGCSAGGLASILHCDSFRALLPMGTKVKCLSDAGYFINVKDVSGAPHIQTYFNQVVSLHGSAKNLPLSCTSRLNPALCFFPQYVVQQIKTPLFVLNAAYDSWQIKNTLAPSAVDPSGTWKACKLDINTCSPIQLKTVQDFRTKFLNTLYRSTNSSSKGVYIDSCYVHCQTELQETWFMTGSPELGKTTGLGNGIAATVKLSLVTMVFCWLIMGMKISFKN >Manes.07G107200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31333258:31337422:-1 gene:Manes.07G107200.v8.1 transcript:Manes.07G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAATPVTHIEVETIAFSPAVKPPASDKTLFLSGAGARGLEIQGKFVKFTAIGVYLEDEAVPLLAVKWKGKSALELTDSVEFFRDIVTGPFEKFIRVSTILPLTGPQYSEKVSENCVAIWKSLGIYTDAEAKAIDKFLEVFKSQTFPPGSSILFTQLPNGSLAISFSKDGAIPEVENVVIQNKLLSEAVLESIIGKHGVSPETREIMATRLAELFENNSQINGINHTLQAIQRESIMSPATASVSQIEVENIAFPPAAKPPASNKTLFLGGAGDRGLEIQGKFVKFTAIGVYLEDEAVPLLAVKWKGKSGKDLVDSIEFFRDIVTGPFEKCVRVTMILPLTGQQYSEKVTENCVAIWKSLGIYTDAEAKAIDKFLEVFKAETFPPGSSIIFTLLPRGALAISFSKDGEIPEVENELIENKLLAEAVLESIIGKHGVSPAARESLATRLAELII >Manes.14G012600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:828157:832219:1 gene:Manes.14G012600.v8.1 transcript:Manes.14G012600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRYWIGLSLVLSCLIQVLDASAGDADPAYRACVAQCEQTGCVGQRCFSHCKFSSDGFSIDGPWYMQEPLYLRWKQWDCQSDCRYHCMLDREKERESFGHGPVKYHGKWPFKRVYGIQEPASVALSALNLAMHFHGWLSFFILLYYKLPLKQDKKAYYEYATLWHIYGCISMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLILAILRSFNVKDEAARVMVAAPLLAFVTTHILFINFYKLDYGWNMKVCGTMAVAQLLIWAIWAGVSRHPSRWKLWVVVVGGGLAMFLEIYDFPPYKGFLDAHALWHATTIPLTYIWWSFIRDDAEFRTSHLLKKAK >Manes.14G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:827889:832440:1 gene:Manes.14G012600.v8.1 transcript:Manes.14G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRYWIGLSLVLSCLIQVLDASAGDADPAYRACVAQCEQTGCVGQRCFSHCKFSSDGFSIDGPWYMQEPLYLRWKQWDCQSDCRYHCMLDREKERESFGHGPVKYHGKWPFKRVYGIQEPASVALSALNLAMHFHGWLSFFILLYYKLPLKQDKKAYYEYATLWHIYGCISMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLILAILRSFNVKDEAARVMVAAPLLAFVTTHILFINFYKLDYGWNMKVCGTMAVAQLLIWAIWAGVSRHPSRWKLWVVVVGGGLAMFLEIYDFPPYKGFLDAHALWHATTIPLTYIWWSFIRDDAEFRTSHLLKKAK >Manes.14G012600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:827897:832226:1 gene:Manes.14G012600.v8.1 transcript:Manes.14G012600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPLYLRWKQWDCQSDCRYHCMLDREKERESFGHGPVKYHGKWPFKRVYGIQEPASVALSALNLAMHFHGWLSFFILLYYKLPLKQDKKAYYEYATLWHIYGCISMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLILAILRSFNVKDEAARVMVAAPLLAFVTTHILFINFYKLDYGWNMKVCGTMAVAQLLIWAIWAGVSRHPSRWKLWVVVVGGGLAMFLEIYDFPPYKGFLDAHALWHATTIPLTYIWWSFIRDDAEFRTSHLLKKAK >Manes.13G031700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4055656:4063090:1 gene:Manes.13G031700.v8.1 transcript:Manes.13G031700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRIEESSVRLLSRPSSGGGVGESRWVDGSEVDSESPPWSLLDENDSREGYGSIRRRLIKKPKRADSFDVEAMEITGAKGHHSKDLSTWTTIALAFQTLGVVYGDLGTSPLYVFADVFSKVKIDSEVDILGALSLVMYTIALIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVSMLPNRQPADEQISSFRLKLPTPELERALNIKDALERKSSLKTILLLLVLMGTSMVIGDGILTPAISVMSAMSGLQGEIPGFGTTALVVVSIIILVALFSIQQFGTGKVSFLFAPVLALWFFSLASIGLYNLVTYDVSVLRAFNPAYIYLFFKKNSFDAWSALGGCVLCITGAEAMFADLGHFSVKAIQIAFSCVVFPCLLLAYMGQASYLMKHPESSGRIFYDSVPDSLFWPVFAIATVAAMIASQAMISATFSCVKQSMALGCFPRLKIVHTSRRLMGQIYIPVINYFLMIMCIVVVSIFRSTTDIANAYGIAEVGVMIVSTTLVTLVMLLIWQTNLFLALCFPLIFGSVELIYLSAVLSKIKEGGWLPLVFASCFLCVMYIWNYGSVLKYQSEVREKISMDFMHELGSTLGTVRVPGIGLLYNELVHGIPAILGQYLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEDHHAFEQFLVESLEKFLRREAQDLALETNLNELDMDSVSVISKDSEAPAGDGADELRIPLMRDQRSGEPGTSTSEEAQPALPSSVMSADEDPSLEYELAALKEAKDSGFTYLLAHGDVRAKKNSIFLKKLVINYFYAFLRKNCRGGAATMRVPHMNILQVGMTYMV >Manes.13G031700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4055656:4063090:1 gene:Manes.13G031700.v8.1 transcript:Manes.13G031700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRIEESSVRLLSRPSSGGGVGESRWVDGSEVDSESPPWSLLDENDSREGYGSIRRRLIKKPKRADSFDVEAMEITGAKGHHSKDLSTWTTIALAFQTLGVVYGDLGTSPLYVFADVFSKVKIDSEVDILGALSLVMYTIALIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVSMLPNRQPADEQISSFRLKLPTPELERALNIKDALERKSSLKTILLLLVLMGTSMVIGDGILTPAISVMSAMSGLQGEIPGFGTTALVVVSIIILVALFSIQQFGTGKVSFLFAPVLALWFFSLASIGLYNLVTYDVSVLRAFNPAYIYLFFKKNSFDAWSALGGCVLCITGAEAMFADLGHFSVKAIQIAFSCVVFPCLLLAYMGQASYLMKHPESSGRIFYDSVPDSLFWPVFAIATVAAMIASQAMISATFSCVKQSMALGCFPRLKIVHTSRRLMGQIYIPVINYFLMIMCIVVVSIFRSTTDIANAYGIAEVGVMIVSTTLVTLVMLLIWQTNLFLALCFPLIFGSVELIYLSAVLSKIKEGGWLPLVFASCFLCVMYIWNYGSVLKYQSEVREKISMDFMHELGSTLGTVRVPGIGLLYNELVHGIPAILGQYLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEDHHAFEQFLVESLEKFLRREAQDLALETNLNELDMDSVSVISKDSEAPAGDGADELRIPLMRDQRSGEPGTSTSEEAQPALPSSVMSADEDPSLEYELAALKEAKDSGFTYLLAHGDVRAKKNSIFLKKLVINYFYAFLRKNCRGGAATMRVPHMNILQVGMTYMV >Manes.13G031700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4055805:4063090:1 gene:Manes.13G031700.v8.1 transcript:Manes.13G031700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRIEESSVRLLSRPSSGGGVGESRWVDGSEVDSESPPWSLLDENDSREGYGSIRRRLIKKPKRADSFDVEAMEITGAKGHHSKDLSTWTTIALAFQTLGVVYGDLGTSPLYVFADVFSKVKIDSEVDILGALSLVMYTIALIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVSMLPNRQPADEQISSFRLKLPTPELERALNIKDALERKSSLKTILLLLVLMGTSMVIGDGILTPAISVMSAMSGLQGEIPGFGTTALVVVSIIILVALFSIQQFGTGKVSFLFAPVLALWFFSLASIGLYNLVTYDVSVLRAFNPAYIYLFFKKNSFDAWSALGGCVLCITGAEAMFADLGHFSVKAIQIAFSCVVFPCLLLAYMGQASYLMKHPESSGRIFYDSVPDSLFWPVFAIATVAAMIASQAMISATFSCVKQSMALGCFPRLKIVHTSRRLMGQIYIPVINYFLMIMCIVVVSIFRSTTDIANAYGIAEVGVMIVSTTLVTLVMLLIWQTNLFLALCFPLIFGSVELIYLSAVLSKIKEGGWLPLVFASCFLCVMYIWNYGSVLKYQSEVREKISMDFMHELGSTLGTVRVPGIGLLYNELVHGIPAILGQYLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEDHHAFEQFLVESLEKFLRREAQDLALETNLNELDMDSVSVISKDSEAPAGDGADELRIPLMRDQRSGEPGTSTSEEAQPALPSSVMSADEDPSLEYELAALKEAKDSGFTYLLAHGDVRAKKNSIFLKKLVINYFYAFLRKNCRGGAATMRVPHMNILQVGMTYMV >Manes.13G031700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4055845:4063090:1 gene:Manes.13G031700.v8.1 transcript:Manes.13G031700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIALIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVSMLPNRQPADEQISSFRLKLPTPELERALNIKDALERKSSLKTILLLLVLMGTSMVIGDGILTPAISVMSAMSGLQGEIPGFGTTALVVVSIIILVALFSIQQFGTGKVSFLFAPVLALWFFSLASIGLYNLVTYDVSVLRAFNPAYIYLFFKKNSFDAWSALGGCVLCITGAEAMFADLGHFSVKAIQIAFSCVVFPCLLLAYMGQASYLMKHPESSGRIFYDSVPDSLFWPVFAIATVAAMIASQAMISATFSCVKQSMALGCFPRLKIVHTSRRLMGQIYIPVINYFLMIMCIVVVSIFRSTTDIANAYGIAEVGVMIVSTTLVTLVMLLIWQTNLFLALCFPLIFGSVELIYLSAVLSKIKEGGWLPLVFASCFLCVMYIWNYGSVLKYQSEVREKISMDFMHELGSTLGTVRVPGIGLLYNELVHGIPAILGQYLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEDHHAFEQFLVESLEKFLRREAQDLALETNLNELDMDSVSVISKDSEAPAGDGADELRIPLMRDQRSGEPGTSTSEEAQPALPSSVMSADEDPSLEYELAALKEAKDSGFTYLLAHGDVRAKKNSIFLKKLVINYFYAFLRKNCRGGAATMRVPHMNILQVGMTYMV >Manes.05G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1173437:1175211:1 gene:Manes.05G006700.v8.1 transcript:Manes.05G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLSKYLLIFASISTTFAYDPDMLQDLCVADKSSGIKVNGFPCKAEANISEADFFFDGIANPGIVSNSVGSLVTGANVEKIPGLNTLGVSLARIDYAPDGLNPPHTHPRATEIIFVLEGELDVGFITTANKLISKTVKKGEIFVFPRGLVHFQKNNGDKAASVLSAFNSQLPGTQSLAMTLFTATPPVPDNVLTKAFQIGTKEVDKMKSKLAPKKS >Manes.05G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19933439:19935275:1 gene:Manes.05G120300.v8.1 transcript:Manes.05G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASKPHVLLVPYPGQGHVNPMIQFARRLVSKGLKATLVTSIFIAKSMKLGSSVGPVQLDVISDGYDNGGFPKADSVDTYLERLKVAGSRTLSELILKYQNTSNPIHCVIYEPFLPWALDVAKEFGLLGAAFFTQPCAVDYIYYNIQHKLLTLPISSTPVSIPGLPLLEFRDLPSFVRLPDSYPAYFEMLINQFSNADKADFILINTFYELEPEAVETMSKVCPVLTVGPTVPSIYLDKRIEDDDDYGVDLFPLDASISSNWLSTKPERSVVYVSFGSLSGLSEEQMEEILWGLKKSNFYFLWVIRATEETKLPKTFMEELGDKGLVVNWSCQVKLLTNKAVGCFLTHCGWNSTIEAISLGVPMVAMPLWTDQPANAKLVEDVWKVGIRVKVDEEKGIVTRDEIELCVREIMEGERGKEVKKNVEKWSELAIEAISEAGTSDKDIDEFVSKLVKT >Manes.03G148800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27592047:27599570:-1 gene:Manes.03G148800.v8.1 transcript:Manes.03G148800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKVKEQQQLQMQQLQLMRQAQLQRGGPNNPPLGGPVNAIGSEGIMGQSNASAMAAKMYEERMKHSNPMDSETSQPLLDARMPLLKQSTNHPGQLVQGNPGSITAALQQIQARTQQATDMKSEVNLGAAQRSLPMDPSSIYGQGIMHSKPGIVNTALNPGVSSLPLKGWPLTGIDQIRPSLGTQVQRPLLHGASQFQLLPQQQQQLLAQVQAQGNLPSSPVYGDMDPRKFRGLPRGGLQAKDGQPNANDGSIGSPMQSTSSKMNMPQMQQSSSQQQDPLQSQQAQNNRKRKVPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGISTAGNLQHVSSMSKGMMMYGADGTGALASSTNQLEDIEHFGDVGSLDDNVESFLSHDDGDGRDLFSTLKRNPSEPAAEASKGFSFSEVGSIRKSNGKVVCCHFSTDGKLLASGGHDKKVVLWNMETLQTECMPEEHNHIITDVRFRPNTTQLATSSFDTSVRLWDAVEPRYSLQTYTGHTSHVMSLDFHPKKNDLFCSCDGNNEIRFWNISQYSSTRISKGGTAQVRFQPRIGHLLAAAAENVVSIFDVETDRQTHSLQGHSTEVHSVCWDANGDFLASVSQESVRVWSLVSGECIHELSSSGNKFHSCVFHPSYPTLLVIGGYQSLELWNMTENKCMTIPAHECVISALAQSVVTGMVASASHDKCVKIWK >Manes.03G148800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27592056:27599518:-1 gene:Manes.03G148800.v8.1 transcript:Manes.03G148800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKVKEQQQLQMQQLQLMRQAQLQRGGPNNPPLGGPVNAIGSEGIMGQSNASAMAAKMYEERMKHSNPMDSETSQPLLDARMPLLKQSTNHPGQLVQGNPGSITAALQQIQARTQQATDMKSEVNLGAAQRSLPMDPSSIYGQGIMHSKPGIVNTALNPGVSSLPLKGWPLTGIDQIRPSLGTQVQRPLLHGASQFQLLPQQQQQLLAQVQAQGNLPSSPVYGDMDPRKFRGLPRGGLQAKDGQPNANDGSIGSPMQSTSSKMNMPQMQQSSSQQQDPLQSQQAQNNRKRKVPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGISTAGNLQHVSSMSKGMMMYGADGTGALASSTNQLEDIEHFGDVGSLDDNVESFLSHDDGDGRDLFSTLKRNPSEPAAEASKGFSFSEVGSIRKSNGKVVCCHFSTDGKLLASGGHDKKVVLWNMETLQTECMPEEHNHIITDVRFRPNTTQLATSSFDTSVRLWDAVEPRYSLQTYTGHTSHVMSLDFHPKKNDLFCSCDGNNEIRFWNISQYSSTRISKGGTAQVRFQPRIGHLLAAAAENVVSIFDVETDRQTHSLQGHSTEVHSVCWDANGDFLASVSQESVRVWSLVSGECIHELSSSGNKFHSCVFHPSYPTLLVIGGYQSLELWNMTENKCMTIPAHECVISALAQSVVTGMVASASHDKCVKIWK >Manes.03G148800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27592047:27599570:-1 gene:Manes.03G148800.v8.1 transcript:Manes.03G148800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKVKEQQQLQMQQLQLMRQAQLQRGGPNNPPLGGPVNAIGSEGIMGQSNASAMAAKMYEERMKHSNPMDSETSQPLLDARMPLLKQSTNHPGQLVQGNPGSITAALQQIQARTQQATDMKSEVNLGAAQRSLPMDPSSIYGQGIMHSKPGIVNTALNPGVSSLPLKGWPLTGIDQIRPSLGTQVQRPLLHGASQFQLLPQQQQQLLAQVQAQGNLPSSPVYGDMDPRKFRGLPRGGLQAKDGQPNANDGSIGSPMQSTSSKMNMPQMQQSSSQQQDPLQSQQAQNNRKRKVPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGISTAGNLQHVSSMSKGMMMYGADGTGALASSTNQLEDIEHFGDVGSLDDNVESFLSHDDGDGRDLFSTLKRNPSEPAAEASKGFSFSEVGSIRKSNGKVVCCHFSTDGKLLASGGHDKKVVLWNMETLQTECMPEEHNHIITDVRFRPNTTQLATSSFDTSVRLWDAVEPRYSLQTYTGHTSHVMSLDFHPKKNDLFCSCDGNNEIRFWNISQYSSTRISKGGTAQVRFQPRIGHLLAAAAENVVSIFDVETDRQTHSLQGHSTEVHSVCWDANGDFLASVSQESVRVWSLVSGECIHELSSSGNKFHSCVFHPSYPTLLVIGGYQSLELWNMTENKCMTIPAHECVISALAQSVVTGMVASASHDKCVKIWK >Manes.03G148800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27592047:27599570:-1 gene:Manes.03G148800.v8.1 transcript:Manes.03G148800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKVKEQQQLQMQQLQLMRQAQLQRGGPNNPPLGGPVNAIGSEGIMGQSNASAMAAKMYEERMKHSNPMDSETSQPLLDARMPLLKQSTNHPGQLVQGNPGSITAALQQIQDMKSEVNLGAAQRSLPMDPSSIYGQGIMHSKPGIVNTALNPGVSSLPLKGWPLTGIDQIRPSLGTQVQRPLLHGASQFQLLPQQQQQLLAQVQAQGNLPSSPVYGDMDPRKFRGLPRGGLQAKDGQPNANDGSIGSPMQSTSSKMNMPQMQQSSSQQQDPLQSQQAQNNRKRKVPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGISTAGNLQHVSSMSKGMMMYGADGTGALASSTNQLEDIEHFGDVGSLDDNVESFLSHDDGDGRDLFSTLKRNPSEPAAEASKGFSFSEVGSIRKSNGKVVCCHFSTDGKLLASGGHDKKVVLWNMETLQTECMPEEHNHIITDVRFRPNTTQLATSSFDTSVRLWDAVEPRYSLQTYTGHTSHVMSLDFHPKKNDLFCSCDGNNEIRFWNISQYSSTRISKGGTAQVRFQPRIGHLLAAAAENVVSIFDVETDRQTHSLQGHSTEVHSVCWDANGDFLASVSQESVRVWSLVSGECIHELSSSGNKFHSCVFHPSYPTLLVIGGYQSLELWNMTENKCMTIPAHECVISALAQSVVTGMVASASHDKCVKIWK >Manes.03G148800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27592047:27599570:-1 gene:Manes.03G148800.v8.1 transcript:Manes.03G148800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQIKVKEQQQLQMQQLQLMRQAQLQRGGPNNPPLGGPVNAIGSEGIMGQSNASAMAAKMYEERMKHSNPMDSETSQPLLDARMPLLKQSTNHPGQLVQGNPGSITAALQQIQDMKSEVNLGAAQRSLPMDPSSIYGQGIMHSKPGIVNTALNPGVSSLPLKGWPLTGIDQIRPSLGTQVQRPLLHGASQFQLLPQQQQQLLAQVQAQGNLPSSPVYGDMDPRKFRGLPRGGLQAKDGQPNANDGSIGSPMQSTSSKMNMPQMQQSSSQQQDPLQSQQAQNNRKRKVPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDGISTAGNLQHVSSMSKGMMMYGADGTGALASSTNQLEDIEHFGDVGSLDDNVESFLSHDDGDGRDLFSTLKRNPSEPAAEASKGFSFSEVGSIRKSNGKVVCCHFSTDGKLLASGGHDKKVVLWNMETLQTECMPEEHNHIITDVRFRPNTTQLATSSFDTSVRLWDAVEPRYSLQTYTGHTSHVMSLDFHPKKNDLFCSCDGNNEIRFWNISQYSSTRISKGGTAQVRFQPRIGHLLAAAAENVVSIFDVETDRQTHSLQGHSTEVHSVCWDANGDFLASVSQESVRVWSLVSGECIHELSSSGNKFHSCVFHPSYPTLLVIGGYQSLELWNMTENKCMTIPAHECVISALAQSVVTGMVASASHDKCVKIWK >Manes.11G079700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12018941:12021596:-1 gene:Manes.11G079700.v8.1 transcript:Manes.11G079700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAPLLPTIYSSVLLNPQVPCRSLHIHAHQSTRSLRTFVKHKPRFQRPSIFIDFRTPFHNSLTTTCSLPDEAATSAAEVAMDEPSLTVKKKAADISSDLKGTSIFLVGMKSSMKTSLGKLLAEALRYYYFDSDSLVEEAAGGASTAKSFRETDEKGFREYETEVLKQLSAMGRLVVCAGDSAVQSSTNLALMRHGISLWLDVPLDMVAREMNENSFQLTASEIVSGDHLEVLTRLVARYEEMKGGYATADAKISLQKVASNLGYDELDSVTTEDMTLEVLKELEKLTRLKKMMEEAARPF >Manes.14G174400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:29117130:29127197:-1 gene:Manes.14G174400.v8.1 transcript:Manes.14G174400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLAVGNRACFRPCRASAAEEEMEKSDKSNKCRKRKMSKYETPSVMDNTETIFALILATLCNHGNGPNSQSFLKTYINQLYASLFSKSLNSIPLYILSLFPILLSSKFPDIACRSAKIVGAASLLSLEMNERISLDGEIVKVLVSALGSSSRRVSMAACNALLDLSTTTIGRLRLLEFSALEKLIIKFLQVPLSSTLIFLCNEDNRSVACAKIAFKEDRHILSILHAALSLINTCNLERLEKVPGNLSETFLLFLKKLWTKVHRQMLLGDLLIFIPDRKLCISNITINNLAEGIFRLSSGANQFAPLPSLLVKRRIFGLSEDSFETFMLHHWEASPFIVRRPSTSLLEDDIFSSFTESLNYKELSYSFISLMLQSFISCLPIGSDELDILNFLEEVRNELGCPIIYQQDLRVLRTDKQSKREKHLFHENLDPFCIKTRKFFSIDDIMKCEEACKEGYTIAVRGMEFRYASIAAVADTLASLFGQPSVGANLYVTPPNSQGLARHCDDHCVFVCQLFGNKQWTVFSQPNYQLPRLYDPLDSQQYLDSESSLAGCRKFFLREGDVLYVPRGFAHEACTNDHAPGELEKPSLHITFGIEVEPLFEWAGFAHVALQRWNQNEKEPDHAVIEPLFGRCNVITVNLLHAMIELLGASDPTFRKACLVGAIPLPLDRKDWLYYNQKTTFSYLISKISTESRFLEALRLVEVAIGKKDPFQGMRWLHLLNNEKETIRGYGWNTSFTEIENLFPVYIQHQDLAEAAFMQIKTKFCDEISFEDVVDGYKMLFEKYKKARKQYMNGMLSLHCR >Manes.04G127800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33060825:33062648:-1 gene:Manes.04G127800.v8.1 transcript:Manes.04G127800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRLRKIRDKIKDGKSKLLSAWEKLFRTHYGLRRFTYKKLADATKNFSNNRLLGEGGFGQVYRGKIHGKTYAIKKLKRLPKKMEAEIKILSTVSHQNLVKLIGYCIEKADTLLVLEFAPNNSLKYHLHGNQGNQVLEWSNRMKIAIGSAKGLKYLHEDCPHKIIHRDIKTDNIVLDNNFEAKVTDFGLALFFPDDVSHLSITIAGTEVYIDPNSGGHTSQETDVYSFGVVLLELITGKNTRINGTTIVDWV >Manes.04G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33056329:33062578:-1 gene:Manes.04G127800.v8.1 transcript:Manes.04G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRLRKIRDKIKDGKSKLLSAWEKLFRTHYGLRRFTYKKLADATKNFSNNRLLGEGGFGQVYRGKIHGKTYAIKKLKRLPKKMEAEIKILSTVSHQNLVKLIGYCIEKADTLLVLEFAPNNSLKYHLHGNQGNQVLEWSNRMKIAIGSAKGLKYLHEDCPHKIIHRDIKTDNIVLDNNFEAKVTDFGLALFFPDDVSHLSITIAGTEVYIDPNSGGHTSQETDVYSFGVVLLELITGKNTRINGTTIVDWARDPIRQALAGDHTALVDLKLKEYNREEMNRMIGCAAACVYKPFNFRPSMNQIIQTLEANIPVTNIWNENDSNYIQIDT >Manes.05G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15016741:15022764:-1 gene:Manes.05G132300.v8.1 transcript:Manes.05G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLCFFISHKPFFTCSPAPFFFFFFFFFFTMAEQSLTPEQKFKACAPATCGKGPNISFPFRLSDSQDSFCGYPNFNLTCKHEYPVLRISNDDYIIKDVFYTNQIFLVASALAFEEDTCSIPLHNLTLDLTPFNVSPNYVDLFLLYNCTSKPREYPTYPISCATNSTAYSFAGFHVEDLELFSNYSLDSCNYFVNAPLHPSGDSSSFVGKNYTDILKMGFLLNWTAHNCSTCERSGGRCGFENHEFVCFCHYRTHPNSCDDGHPNVKLKVIIGVVAALVGAVITFILFFIYLRRKRKPYAPSSFVSQSTTSDFSSKSDIEKGHYFRVPEFTYSELEEATNNFDSAKELGEGGFGTVYYGKLRDGRAVAVKRLYENNYKRVEQFMNEIDILNRLHHQHLVSLYGCTSRHSRELLLVYEYIPNGTVADHLHGESAKPGALQWCTRMKIAVETASALAYLHASDIIHRDVKTNNILLDDNFCVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHECYQLTDKSDVYSFGVVLIELISSLPAVDITRHRHEINLSNMAINKIQSDALHELVDHNLGFESDYAVKSMITAVAEMAFQCLQSAKELRPSMEQVLETLKEIQNKDYSIEKKTQEVDIPSDDVGLLKSCQLPPSPDTVMMKWISTSTTPNFSG >Manes.06G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19620900:19628515:1 gene:Manes.06G061800.v8.1 transcript:Manes.06G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARFSFFFPLSFWVAVAFVFFCCFCSVSALPQNGRVSRDPLKFFLGQENLGPWGNGMSEAAAEAPGPSDDSTLVLAAKRTNRPNILHGFKRYRGGWDITSRHYWASVGFTGAAGFILAILWFFSFGLALALRYCCRWRINIKGKGSDRSQRICLIMLIVFTCAAAVGCILLSVGQDEFHGEALHTLKYVVNQSDFTVETLRNVTEYLSLAKTINVAHVFLPSNVMDDIDKLTIDLTTAANTLQEKTSENSGKIVKVFKAVRSALITVAAVMLILALLGFFLSILGHRHAIHIFVVSGWLLVAITFTLCGVFIILNNAISDTCMAMEEWVDHPHAVNALSSILPCVDQSTTNKTLIQSKEVINDMVNVVNTYIYTFANANPSQAEFNYYNQSGPSMPPLCYPFDSQLQDRQCGSQEVSLSNASAVWENYICKVSPFGLCTTVGRVTPEVYAQLVAAVSESYALEYYAPVLLSLQDCKFVRDTFEEITSNYCPPLEDYLKIVNAGLGLISVGVLLCLLLWVLYANHPQREEVFVKIPLSIKGINSCRSSKTSSRHNGNNEASL >Manes.04G146300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34366121:34374218:1 gene:Manes.04G146300.v8.1 transcript:Manes.04G146300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARKEHEFLSEIGLSEFNLGCYVNGTWKARGPVVTSLNPANNQAIAEVVEGSMEDYVEGMQACSEAAKIWMQVPAPKRGEIVRQIGDALRAKLQPLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSIIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAGVLEKNNLPPAIFTSFCGGADIGQAIAKDTRIPLVSFTGSSKVGLMVQQTVNQRYGKCLLELSGNNAIIVMDDADIQLAVRSILFAAVGTAGQRCTTCRRLLLHESIYQGVLDQLLDAYQQVKVGDPLEKGTLLGPLHTSESRKNFEKGIGIIKSQGGKILTGGSVIESEGNFVQPTIVEISSKADVVKEELFAPVLYVMKFQTFEEAIEINNSVPQGLSSSIFTRKPDIIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFGS >Manes.04G146300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34366122:34373954:1 gene:Manes.04G146300.v8.1 transcript:Manes.04G146300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARKEHEFLSEIGLSEFNLGCYVNGTWKARGPVVTSLNPANNQAIAEVVEGSMEDYVEGMQACSEAAKIWMQVPAPKRGEIVRQIGDALRAKLQPLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSIIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAGVLEKNNLPPAIFTSFCGGADIGQAIAKDTRIPLVSFTGSSKVGLMVQQTVNQRYGKCLLELSGNNAIIVMDDADIQLAVRSILFAAVGTAGQRCTTCRRLLLHESIYQGVLDQLLDAYQQVKVGDPLEKGTLLGPLHTSESRKNFEKGIGIIKSQGGKILTGGSVIESEGNFVQPTIVEISSKADVVKEELFAPVLYVMKFQTFEEAIEINNSVPQGLSSSIFTRKPDIIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFGS >Manes.04G146300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34366121:34374218:1 gene:Manes.04G146300.v8.1 transcript:Manes.04G146300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARKEHEFLSEIGLSEFNLGCYVNGTWKARGPVVTSLNPANNQAIAEVVEGSMEDYVEGMQACSEAAKIWMQVPAPKRGEIVRQIGDALRAKLQPLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSIIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAGVLEKNNLPPAIFTSFCGGADIGQAIAKDTRIPLVSFTGSSKVGLMVQQTVNQRYGKCLLELSGNNAIIVMDDADIQLAVRSILFAAVGTAGQRCTTCRRLLLHESIYQGVLDQLLDAYQQVKVGDPLEKGTLLGPLHTSESRKNFEKGIGIIKSQGGKILTGGSVIESEGNFVQPTIVEISSKADVVKEELFAPVLYVMKFQTFEEAIEINNSVPQGLSSSIFTRKPDIIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFGS >Manes.07G077600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23673517:23688629:-1 gene:Manes.07G077600.v8.1 transcript:Manes.07G077600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTKSNAAGRIVNSAKSESPTGLSRPKSVLPPGFFENQMKTSTTRSTHNADLGSKKLEPSAKLSKPQSSSVLPPNFFDNHEAKRQKSDTAKSLNPESRKKTGISSQNKVESLENEMDGLVTRNELQTKTSRHERGLIHTPTEITGSETKQLKGALPEGFFDDKEADMLARGIKPVKPDVKDEYKEFEKLIREDLQEVDDRLEEEEIDAAEMIEEAESLEQKTYREKVELLRNRRMELMAARSAKRSRDSNVAGKDYSNEESSSDDDNDDNFAVDWRAQHL >Manes.07G077600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23674559:23691039:-1 gene:Manes.07G077600.v8.1 transcript:Manes.07G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTKSNAAGRIVNSAKSESPTGLSRPKSVLPPGFFENQMKTSTTRSTHNADLGSKKLEPSAKLSKPQSSSVLPPNFFDNHEAKRQKSDTAKSLNPESRKKTGISSQNKVESLENEMDGLVTRNELQTKTSRHERGLIHTPTEITGSETKQLKGALPEGFFDDKEADMLARGIKPVKPDVKDEYKEFEKLIREDLQEVDDRLEEEEIDAAEMIEEAESLEQKTYREKVELLRNRRMELMAARSAKRSRDSNVAGKDYSNEESSSDDDNDDNFAVDWRAQHL >Manes.07G077600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23673518:23691050:-1 gene:Manes.07G077600.v8.1 transcript:Manes.07G077600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTKSNAAGRIVNSAKSESPTGLSRPKSVLPPGFFENQMKTSTTRSTHNADLGSKKLEPSAKLSKPQSSSVLPPNFFDNHEAKRQKSDTAKSLNPESRKKTGISSQNKVESLENEMDGLVTRNELQTKTSRHERGLIHTPTEITGSETKQLKGALPEGFFDDKEADMLARGIKPVKPDVKDEYKEFEKLIREDLQEVDDRLEEEEIDAAEMIEEAESLEQKTYREKVELLRNRRMELMAARSAKRSRDSNVAGKDYSNEESSSDDDNDDNFAVDWRAQHL >Manes.08G163500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39840396:39844063:1 gene:Manes.08G163500.v8.1 transcript:Manes.08G163500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIRKAKEEFQAIIKDPFMLNSVILVFANKQDMKGAMTPMEVCEGLGLFELKNRKWHIQGTCALRGDGLYEGLDWLSSTLKEMRAAGYSSVGTSSF >Manes.04G087700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29294762:29296969:1 gene:Manes.04G087700.v8.1 transcript:Manes.04G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNNISNENHPQEQQISNKLLLTCRRIQLQTDNKHPFLNPTHDPHLYPADTGTSNLESDSPEPEVKAEDNLEKTANPAFEVCQEIVDTTKKIQEAPPEIHCTLKSVSEDVDKFLLSLSSWKGRKETFVKDNIDGDEKRGENHGKVVDVDVPAFVEKFLDLVGELVVEYESNEGKGKWGKVQEDGSLLLDAVNRVSKLTNILPEFISDPNTLVNRIGGIYQRAMSCLEDEFRVLLEDYKSNKGADQSDPTKGTQQNDDAGCNLVESDDQQVTEEDNFAGYSEEVVANLNRIAKEMITGGYESECCQAYMITRRHALDECLDKMRFEKMSVDEVQRMTWEALESEIPAWIKTFKDCSTIYFAKERKLSEAVFSDFPSISSSLFSNLIRGVMIKLLIFTEGIAMTRHSTEKLFKFLDMYETLRDSIPAVDALFPQESENELKPEMITAKCRIGEAAISIFCDLENSIKSDTGRTTVPGGAVHPLTRYTMNYLKYACEYNATLEQVFKEHWKIEQADSTSRPHEGKTQDFHRNINNREGQSPFSVQLTTIMDLLDSNLEAKSKLYREIALGSIFMMNNGRYILQKIKGSKEIHQAVGDPWCRKKSSNLRNFHKNYQRETWVKLLNCLGHEGLLVHGKVVKPVLKERFKSFNSLFDEIHKTQSSWVVSDEQLQSELRVSITAVVIPAYRSFLGRFSQYLDPGRQTEKYIKYQPDDIENCIDELFDGNPLSGAKKKHNNP >Manes.07G124700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32791309:32796229:1 gene:Manes.07G124700.v8.1 transcript:Manes.07G124700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTASSYSSSSRVLNSINHFSCSTTPRLQKSSFFNPSNASIGLSAPSFRGDFSCKCTLKDTGRSIPKMATRDFELSNLTALSPLDGRYWGKVKDLSPHLSEYGLIYYRVLVEVKWLLKLSQIPEITEVPNFSEEAQIFLQGLINGFSMDDALEVKNIEKVTNHDVKAVEYFLKKKCQSHPEIAKVLEFFHFACTSEDINNLAHALMLKESMNKVIFPVMDELITAICNMAEDNASIPMLSRTHGQPASPTTLGKEMAVFAARLSEQRQEISQVKIKGKFAGAVGNYNAHIVAYPGIDWPLIAKEFVESLGLCFNPYVTQIEPHDYMARLFHAIMRFNTILIDFDRDIWGYISLAYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANGGLSHLSEKLPISRWQRDLTDSTVLRNMGEGLGHSLLAYKSALQGIGKLKVNESRLSEDLNQSWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVTKESIREFIEGLALPKETKSYLLELTPHTYVGAAIELGKSVNVTMNLINGVRAL >Manes.01G223400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38991499:38998037:-1 gene:Manes.01G223400.v8.1 transcript:Manes.01G223400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGALMSFSVASVVEDVLQQHGNRLGDLDLESRKAEEAASRRYEAAGWLRKMVGVVAARDLPAEPSEEEFRLGLRSGIILCNALNKVQPGAVPKVVEGPCDAALIPDGAALSAFQYFENVRNFLVAVQEIGLPTFEASDLEQGGKSARIMNSVLALKSYSEWKQTGGNGVWKFGGNVKPTISTKSFVRKNSEPFMNSLARNSSMNDQSLNALSTDLDQNKMSTSGSLSMLVRAVLLDKKPEEVPTLVESVLSKVVEEFEHRIASQYDLVKTTPKDAAVSQGNKFAFKSASGDKKIEDKSITVIKKEEWLHKNHIPEEERKNQNLKHQMIFDHQQRDIQELKHALRTTKAGMQFMQMKFLDEFNNLGMHIQGLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLSAQSNFLSTVDHIEDGNITINTPSKLGKGRKSFSFNKVFGPSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKNLGVNYRALGDLFLLAEQRKDIFCYNVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLVPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTMLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHMSPEPDAVGETISTLKFAERVATVELGAARVNKDDADVKELKEQIASLKAALARKEGEAEHFQHSVSGNSERYRRKENESSPLDSINSRPGDVNDSNSFRQPMGDVGNIEVHTNATLRQKRQSFDLDELLANSPPWPPVISPNKNSGEDEKEICSGEWVDKVMVNRQDVVNRVENPLGCWEAVNTHLPDVFQQKYLSDNSKIYTEQSYNMFVGNNRFNSSSTDDMDDAATSDSSEPDLLWQFNQAKLSGISSGIDSKTKKPNSKAAKNPDLSRNLNPMLGPSPSRKLPNGVGAPLHRSGRQPAPVDMKRRTGNRK >Manes.01G223400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38991896:38998037:-1 gene:Manes.01G223400.v8.1 transcript:Manes.01G223400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGALMSFSVASVVEDVLQQHGNRLGDLDLESRKAEEAASRRYEAAGWLRKMVGVVAARDLPAEPSEEEFRLGLRSGIILCNALNKVQPGAVPKVVEGPCDAALIPDGAALSAFQYFENVRNFLVAVQEIGLPTFEASDLEQGGKSARIMNSVLALKSYSEWKQTGGNGVWKFGGNVKPTISTKSFVRKNSEPFMNSLARNSSMNDQSLNALSTDLDQNKMSTSGSLSMLVRAVLLDKKPEEVPTLVESVLSKVVEEFEHRIASQYDLVKTTPKDAAVSQGNKFAFKSASGDKKIEDKSITVIKKEEWLHKNHIPEEERKNQNLKHQMIFDHQQRDIQELKHALRTTKAGMQFMQMKFLDEFNNLGMHIQGLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLSAQSNFLSTVDHIEDGNITINTPSKLGKGRKSFSFNKVFGPSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKNLGVNYRALGDLFLLAEQRKDIFCYNVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLVPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTMLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHMSPEPDAVGETISTLKFAERVATVELGAARVNKDDADVKELKEQIASLKAALARKEGEAEHFQHSVSGNSERYRRKENESSPLDSINSRPGDVNDSNSFRQPMGDVGNIEVHTNATLRQKRQSFDLDELLANSPPWPPVISPNKNSGEDEKEICSGEWVDKVMVNRQDVVNRVENPLGCWEAVNTHLPDVFQQKYLSDNSKIYTEQSYNMFVGNNRFNSSSTDDMDDAATSDSSEPDLLWQFNQAKLSGISSGIDSKTKKPNSKAAKNPDLRNLNPMLGPSPSRKLPNGVGAPLHRSGRQPAPVDMKRRTGNRK >Manes.01G223400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38991897:38998037:-1 gene:Manes.01G223400.v8.1 transcript:Manes.01G223400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGALMSFSVASVVEDVLQQHGNRLGDLDLESRKAEEAASRRYEAAGWLRKMVGVVAARDLPAEPSEEEFRLGLRSGIILCNALNKVQPGAVPKVVEGPCDAALIPDGAALSAFQYFENVRNFLVAVQEIGLPTFEASDLEQGGKSARIMNSVLALKSYSEWKQTGGNGVWKFGGNVKPTISTKSFVRKNSEPFMNSLARNSSMNDQSLNALSTDLDQNKMSTSGSLSMLVRAVLLDKKPEEVPTLVESVLSKVVEEFEHRIASQYDLVKTTPKDAAVSQGNKFAFKSASGDKKIEDKSITVIKKEEWLHKNHIPEEERKNQNLKHQMIFDHQQRDIQELKHALRTTKAGMQFMQMKFLDEFNNLGMHIQGLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLSAQSNFLSTVDHIEDGNITINTPSKLGKGRKSFSFNKVFGPSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKNLGVNYRALGDLFLLAEQRKDIFCYNVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLVPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTMLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHMSPEPDAVGETISTLKFAERVATVELGAARVNKDDADVKELKEQIASLKAALARKEGEAEHFQHSVSGNSERYRRKENESSPLDSINSRPGDVNDSNSFRQPMGDVGNIEVHTNATLRQKRQSFDLDELLANSPPWPPVISPNKNSGEDEKEICSGEWVDKVMVNRQDVVNRVENPLGCWEAVNTHLPDVFQQKYLSDNSKIYTEQSYNMFVGNNRFNSSSTDDMDDAATSDSSEPDLLWQFNQAKLSGISSGIDSKTKKPNSKAAKNPDLSRNLNPMLGPSPSRKLPNGVGAPLHRSGRQPAPVDMKRRTGNRK >Manes.01G223400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38991777:38998037:-1 gene:Manes.01G223400.v8.1 transcript:Manes.01G223400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGALMSFSVASVVEDVLQQHGNRLGDLDLESRKAEEAASRRYEAAGWLRKMVGVVAARDLPAEPSEEEFRLGLRSGIILCNALNKVQPGAVPKVVEGPCDAALIPDGAALSAFQYFENVRNFLVAVQEIGLPTFEASDLEQGGKSARIMNSVLALKSYSEWKQTGGNGVWKFGGNVKPTISTKSFVRKNSEPFMNSLARNSSMNDQSLNALSTDLDQNKMSTSGSLSMLVRAVLLDKKPEEVPTLVESVLSKVVEEFEHRIASQYDLVKTTPKDAAVSQGNKFAFKSASGDKKIEDKSITVIKKEEWLHKNHIPEEERKNQNLKHQMIFDHQQRDIQELKHALRTTKAGMQFMQMKFLDEFNNLGMHIQGLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLSAQSNFLSTVDHIEDGNITINTPSKLGKGRKSFSFNKVFGPSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEKNLGVNYRALGDLFLLAEQRKDIFCYNVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANLVPVSSTSDVIDLMNLGQRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTMLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHMSPEPDAVGETISTLKFAERVATVELGAARVNKDDADVKELKEQIASLKAALARKEGEAEHFQHSVSGNSERYRRKENESSPLDSINSRPGDVNDSNSFRQPMGDVGNIEVHTNATLRQKRQSFDLDELLANSPPWPPVISPNKNSGEDEKEICSGEWVDKVMVNRQDVVNRVENPLGCWEAVNTHLPDVFQQKYLSDNSKIYTEQSYNMFVGNNRFNSSSTDDMDDAATSDSSEPDLLWQFNQAKLSGISSGIDSKTKKPNSKAAKNPDLRNLNPMLGPSPSRKLPNGVGAPLHRSGRQPAPVDMKRRTGNRK >Manes.07G128400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33056463:33060897:-1 gene:Manes.07G128400.v8.1 transcript:Manes.07G128400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVVNLEGKVYSCKHCRTHLALYEDIVSKSFHCRHGKAYLFNKVSNVFVGVKEERPMMTGTHVVADIFCVGCGSIVGWKYETAYEKSQKYKEGKSVLERFKVSGPDGSSYWVNHEAHVGGSDADEV >Manes.03G076700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13464123:13464834:1 gene:Manes.03G076700.v8.1 transcript:Manes.03G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVVISLPLIIFSLLLGFGCYFLGRAKGRQDIRTNAQVFGVPTPPPGTDTAAPLSSYPSSPPPPLTKPDNLNNV >Manes.04G149800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34626803:34628688:-1 gene:Manes.04G149800.v8.1 transcript:Manes.04G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKLRTMILKPLSFPSNLRYATKAQLPLLCRLFFSTNPTSVDQDAEVVYRIITRSSSPEDLKQSINSSGIFPNNDLIDKVLKRVRFGHGNPLQALEFFKFTANRKGFYHTSNSLDTMLYILGRSRQFDHIWDVLIKMKRKDPSLISSRTMQVVLGRIAKVCSVRQTVESFRRFKKLVPVFDTISFNALLRTLCQEKSMADARNVYHTLKKEFRPNLQTFNILLSGWKSSEEAESFFEEMKELGVKPDVVSYNSLIDVYCKGREMEKAYKVLEKMREEDISPDVITYTSIIGGLGLIGQPDKARDVLKEMKEYGCYPDVAAYNAAIRNYCIAKRLGDASSLMEEMVSQGFNPNATTYNLFFRVFYWSNDLRRSWSLYRRMMDAGCLPNTQSCMFLVRLFKKHEKVDLALLLWNDMVEKGFGSYTLVSDVLFDLLCDFGRLAEAEKCFLQMIEKGQKPSNVAFTRIKVLMELANKHDALQNLSEKMASMTQQLIY >Manes.15G156000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12886262:12890141:1 gene:Manes.15G156000.v8.1 transcript:Manes.15G156000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRKRDVVSWSRFFWCTLFVVFSCVLFTGFSFSTFRILFGDKFHPEIVYAWRPPALRAITGESPGNSDTISIRETVLLPDEAVLFLKYPQSARLFTKEDLDCAYFSTDSSSSAQPQFKRPPNRIDSDDPDHQIVRCPLSPRGLVVALALKSGGYINSGPIHRWDSLVYEALLDRDNTTVVFVKGLNLRPDKLYNTSRFECLYGWDFRTPKFLLRSSVMSIAQEIVRCQTPLSILSNPQKVNNSIKVSIRVKGRGTLHSIARPRLQLDPNPDLKARKPHHMCICTMLRNQARFLGEWVMYHGRIGVQRWFIYDNNSEDNIDSVIESLMDSNYNISKYVWPWIKTQEAGFAHCALRARTSCEWVGFIDVDEFFHLPNGLNLLDVLRNQSESNSNVGELRVSCHSFGPSGLQHVPTEGVTVGYTCRMILPERHKSIVKPEALNATLINVVHHFHLRDGFRHVNADRGVLVINHYKYQVWEVFKEKFYRRVATYVVDWQNQQNVGSKDRVPGLGTRAVEPPDWASRFCEVTDTGLRDRVLEMFSDPITRLLPWQEDTGGLDVQN >Manes.15G156000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12886262:12890141:1 gene:Manes.15G156000.v8.1 transcript:Manes.15G156000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRKRDVVSWSRFFWCTLFVVFSCVLFTGFSFSTFRILFGDKFHPEIVYAWRPPALRAITGESPGNSDTISIRETVLLPDEAVLFLKYPQSARLFTKEDLDCAYFSTDSSSSAQPQFKRPPNRIDSDDPDHQIVRCPLSPRGLVVALALKSGGYINSGPIHRWDSLVYEALLDRDNTTVVFVKGLNLRPDKLYNTSRFECLYGWDFRTPKFLLRSSVMSIAQEIVRCQTPLSILSNPQKVNNSIKVSIRVKGRGTLHSIARPRLQLDPNPDLKARKPHHMCICTMLRNQARFLGEWVMYHGRIGVQRWFIYDNNSEDNIDSVIESLMDSNYNISKYVWPWIKTQEAGFAHCALRARTSCEWVGFIDVDEFFHLPNGLNLLDVLRNQSESNSNVGELRVSCHSFGPSGLQHVPTEGVTVGYTCRMILPERHKSIVKPEALNATLINVVHHFHLRDGFRHVNADRGVLVINHYKYQVWEVFKEKFYRRVATYVVDWQNQQNVGSKDRVPGLGTRAVEPPDWASRFCEVTDTGLRDRVLEMFSDPITRLLPWQEDTGGLDVQN >Manes.15G156000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12886262:12890141:1 gene:Manes.15G156000.v8.1 transcript:Manes.15G156000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRKRDVVSWSRFFWCTLFVVFSCVLFTGFSFSTFRILFGDKFHPEIVYAWRPPALRAITGESPGNSDTISIRETVLLPDEAVLFLKYPQSARLFTKEDLDCAYFSTDSSSSAQPQFKRPPNRIDSDDPDHQIVRCPLSPRGLVVALALKSGGYINSGPIHRWDSLVYEALLDRDNTTVVFVKGLNLRPDKLYNTSRFECLYGWDFRTPKFLLRSSVMSIAQEIVRCQTPLSILSNPQKVNNSIKVSIRVKGRGTLHSIARPRLQLDPNPDLKARKPHHMCICTMLRNQARFLGEWVMYHGRIGVQRWFIYDNNSEDNIDSVIESLMDSNYNISKYVWPWIKTQEAGFAHCALRARTSCEWVGFIDVDEFFHLPNGLNLLDVLRNQSESNSNVGELRVSCHSFGPSGLQHVPTEGVTVGYTCRMILPERHKSIVKPEALNATLINVVHHFHLRDGFRHVNADRGVLVINHYKYQVWEVFKEKFYRRVATYVVDWQNQQNVGSKDRVPGLGTRAVEPPDWASRFCEVTDTGLRDRVLEMFSDPITRLLPWQEDTGGLDVQN >Manes.15G156000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12886251:12890363:1 gene:Manes.15G156000.v8.1 transcript:Manes.15G156000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRRKRDVVSWSRFFWCTLFVVFSCVLFTGFSFSTFRILFGDKFHPEIVYAWRPPALRAITGESPGNSDTISIRETVLLPDEAVLFLKYPQSARLFTKEDLDCAYFSTDSSSSAQPQFKRPPNRIDSDDPDHQIVRCPLSPRGLVVALALKSGGYINSGPIHRWDSLVYEALLDRDNTTVVFVKGLNLRPDKLYNTSRFECLYGWDFRTPKFLLRSSVMSIAQEIVRCQTPLSILSNPQKVNNSIKVSIRVKGRGTLHSIARPRLQLDPNPDLKARKPHHMCICTMLRNQARFLGEWVMYHGRIGVQRWFIYDNNSEDNIDSVIESLMDSNYNISKYVWPWIKTQEAGFAHCALRARTSCEWVGFIDVDEFFHLPNGLNLLDVLRNQSESNSNVGELRVSCHSFGPSGLQHVPTEGVTVGYTCRMILPERHKSIVKPEALNATLINVVHHFHLRDGFRHVNADRGVLVINHYKYQVWEVFKEKFYRRVATYVVDWQNQQNVGSKDRVPGLGTRAVEPPDWASRFCEVTDTGLRDRVLEMFSDPITRLLPWQEDTGGLDVQN >Manes.04G137500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33704615:33713205:-1 gene:Manes.04G137500.v8.1 transcript:Manes.04G137500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTVGVGMNSAMDDMNLIQQAQRHHLVVREIGEEIDLEISHGDDDPSFANTPLITGPPREPSAEEHDEAKNMMVSLPNEDQDISKAQPVKRKKKVVKRWREEWADTYKWAYVDVKDGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQIASKDKIIVDKPIYVKALMSKTAGSIVEAALKRDPHEAEFIQSVQEAVHGLERVIAKNTHYVNIMERLLEPERMLLFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFAQLMLADMNKELKGLRCVVSGSGKIAMHVLEKLIAYGALPVTVSDSKGYLVDEEGFDYMKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWNERCDVAFPCASQNEIDQSDAISLVNSGCRILVEGSNMPCTPEAVEVLRKANVLIAPAMAAGAGGVVAGELELNHECNLMHWSPEDFESKLQEAMKQIYQRALKAASDFGYQKESPEALVHGAAISAFLTIAQAMTDQGCV >Manes.04G137500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33704474:33713240:-1 gene:Manes.04G137500.v8.1 transcript:Manes.04G137500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTVGVGMNSAMDDMNLIQQAQRHHLVVREIGEEIDLEISHGDDDPSFANTPLITGPPREPSAEEHDEAKNMMVSLPNEDQDISKAQPVKRKKKVVKRWREEWADTYKWAYVDVKDGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQIASKDKIIVDKPIYVKALMSKTAGSIVEAALKRDPHEAEFIQSVQEAVHGLERVIAKNTHYVNIMERLLEPERMLLFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLFGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFAQLMLADMNKELKGLRCVVSGSGKIAMHVLEKLIAYGALPVTVSDSKGYLVDEEGFDYMKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWNERCDVAFPCASQNEIDQSDAISLVNSGCRILVEGSNMPCTPEAVEVLRKANVLIAPAMAAGAGGVVAGELELNHECNLMHWSPEDFESKLQEAMKQIYQRALKAASDFGYQKESPEALVHGAAISAFLTIAQAMTDQGCV >Manes.10G122500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29004871:29006763:1 gene:Manes.10G122500.v8.1 transcript:Manes.10G122500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDC >Manes.13G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32583688:32586421:1 gene:Manes.13G119300.v8.1 transcript:Manes.13G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVQAGGALPGDNDSSLSSSPVSIFSRWTFAVSRGYQYLLDKTVPHILCRWIACLFIAFIYAVRVYFVQGFYIITYGLGIYMLNLLIGFLSPQIDPEIYDGPTLPTRGSDEFRPFVRRLPEFKFWYSITKAFFIAFLMTFFAVFDVPVFWPILLFYWLVLFVLTMRRQIMHMIKYKYVPFSFGKQRYDGKKVPSTDSTNPPRD >Manes.05G071500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5874530:5878182:-1 gene:Manes.05G071500.v8.1 transcript:Manes.05G071500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRILNLRLRRFCSRLRWSIRRRPRSKIIIRKFGKTNSFTRSDSTNGSAVVHPNGQLDNLKTEVKPIKIATFNAALFSMAPAVPKTLDFENEDHQMGTRSTDVMLRTKSANDRPKSILKQSPLHPNSMNSDDNLSRQKKFPKSRLRVSINLPDNEISLLRNRKLEFMEDKETIKGSNLTTILRGKAHMRSQSAIFANIVDGDNYWSTRTVVEVLKELDADVLALQDVKAEEEKEMKPLSDLAAALGMNYVFAESWAPEYGNAILSKWPIKRWKVQKIFDDTDFRNVLMATIDVPEKGEANFYCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLDETDYSAERWMDIVKYYEEMGKPTPKVEVMRFLKSKHYTDAKEFAGECEPVVMIAKGQNVQGTCKYGTRVDYILASSNSPYKFVPGSYSVLSSKGTSDHHIVKVDMIMVNSSSDEENVSRKRHQPKQRVVKITSSSPSKAVWKAPT >Manes.05G071500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5874530:5878217:-1 gene:Manes.05G071500.v8.1 transcript:Manes.05G071500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRILNLRLRRFCSRLRWSIRRRPRSKIIIRKFGKTNSFTRSDSTNGSAVVHPNGQLDNLKTEVKPIKIATFNAALFSMAPAVPKTLDFENEDHQMGTRSTDVMLRTKSANDRPKSILKQSPLHPNSMNSDDNLSRQKKFPKSRLRVSINLPDNEISLLRNRKLEFMEDKETIKGSNLTTILRGKAHMRSQSAIFANIVDGDNYWSTRTVVEVLKELDADVLALQDVKAEEEKEMKPLSDLAAALGMNYVFAESWAPEYGNAILSKWPIKRWKVQKIFDDTDFRNVLMATIDVPEKGEANFYCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLDETDYSAERWMDIVKYYEEMGKPTPKVEVMRFLKSKHYTDAKEFAGECEPVVMIAKGQNVQGTCKYGTRVDYILASSNSPYKFVPGSYSVLSSKGTSDHHIVKVDMIMVNSSSDEENVSRKRHQPKQRVVKITSSSPSKAVWKAPT >Manes.08G031900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3059136:3063756:-1 gene:Manes.08G031900.v8.1 transcript:Manes.08G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKSRFQRICVFCGSSTGKKASYQEAAIELGKELVEKRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVVPKTLMPREIIGHTVGEVRAVSNMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGFYNSLLSFIDKAVDEGFISPTARRIIVSAPTAKQLVRQLEEYEAEYDEVTSKLVWEEVDRLNYMPGSGVATS >Manes.09G022870.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4642823:4645303:1 gene:Manes.09G022870.v8.1 transcript:Manes.09G022870.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLSNLKFVHQDLYLKSTDLVDDGGRGNNSKEGKNTEMKDKSATKNLADPLTLQFSDHPGMILVSAPLVGTNFRSWYRAIRIALGAKQKLKFIEGAVTVPNRESDSYEQWKRCDFMVTSWILNSISRELVDGFIYTASTRDLWLKITERFSEYNTSTSVYFTKLKGLWDELGSMETLPPCTCGASKAIDELNNRNRLLQFLMGLNDAYRTVRDQILGMDPLPSVNKTYSLLLKFEQKRPDQKKGHCSYCDMDGHVKEDCFKIIGYPECC >Manes.07G062460.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10942002:10942376:-1 gene:Manes.07G062460.v8.1 transcript:Manes.07G062460.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGIFSYTQPLIPIFNGEKYEFWSIKMKTLFKFQDVWELVEKGVVDPATDEVRLKEIKKKDNKALFSIQQAIHETMFSQIAAASTFKKAWEILQKEYQGTNCWKESSAMIVNQSVVGKNPLP >Manes.09G050398.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10436416:10437706:1 gene:Manes.09G050398.v8.1 transcript:Manes.09G050398.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGALSAMLLLLVVALAKNCEATAMHSAIHAVRRHGHKLKPIKQNKSNFKSGPWRKAHATFYGGGTDSFGGACGYKDVVQQGYTLNTAAISDVLFKKGKGCGGCFELKCVNSPKWCKKGSLFVTATNLCPSNPSLPGKEHFDIAQPVFNKIADYIAGIIPIQYRRVPCNKKGGIRFTIMGNPWFNQVIVWNVGGAGDVVSLQVKGNDNVKWTKLERDWGVTWKTSTHLVGESLTFRVTTSDGRELTSWHVAPKNWQFGQTYEGKNFK >Manes.16G099900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30572006:30576188:1 gene:Manes.16G099900.v8.1 transcript:Manes.16G099900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAKHYDAKMNELLTTEGEEFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIRMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFVTKDDDRMLFDIQRFYNVVIEELPSNVADLL >Manes.16G099900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30571983:30576372:1 gene:Manes.16G099900.v8.1 transcript:Manes.16G099900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAKHYDAKMNELLTTEGEEFFTSYDEVYDSFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSSGVHVVVGTPGRVFDMLRRQSLRPDYIRMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFVTKDDDRMLFDIQRFYNVVIEELPSNVADLL >Manes.04G058472.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14492377:14493271:1 gene:Manes.04G058472.v8.1 transcript:Manes.04G058472.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYCLVKLPSGVEKLIDSQCRAIIGRVSNPGHNTRTLRKVGQSRWLGRRPVVHGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.06G099500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23350516:23354117:1 gene:Manes.06G099500.v8.1 transcript:Manes.06G099500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSIKVSIPSAPKDFGKKKRANRSAKLKQCKLDVRREQWLSQVKNKGSKEKPNGLGVSPCENERKNDMENLETRRIGRGNEEEKENGSIYHEFDSDSPSHSPTGSSVLSCTDVGTNFTGSSSSSSSSSGGCCSGSITEEEEEADDDCLDDWEAIADALAANDDSKEGSQNNNSCPVSESSPKFEPSVRSDSISPELEPSVRSDSISNNLDSSLEDLKQECPKTAPPRVAHGNSRAWRPDDAFRPQSLPNLSKQRSFPNTQRHYGQGGLPWHCSNPVNVPSCCPICCEELDVTDSSFLPCTCGFRLCLFCYNRILHVEDGRCPGCRKNYEGNAEEAELSVSGGSLTFRLSRSYSMIAR >Manes.06G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23350516:23352911:1 gene:Manes.06G099500.v8.1 transcript:Manes.06G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSIKVSIPSAPKDFGKKKRANRSAKLKQCKLDVRREQWLSQVKNKGSKEKPNGLGVSPCENERKNDMENLETRRIGRGNEEEKENGSIYHEFDSDSPSHSPTGSSVLSCTDVGTNFTGSSSSSSSSSGGCCSGSITEEEEEADDDCLDDWEAIADALAANDDSKEGSQNNNSCPVSESSPKFEPSVRSDSISPELEPSVRSDSISNNLDSSLEDLKQECPKTAPPRVAHGNSRAWRPDDAFRPQSLPNLSKQRSFPNTQRHYGQGGLPWHCSNPVNVPSCCPICCEELDVTDSSFLPCTCGFRLCLFCYNRILHVEDGRCPGCRKNYEGNAEEAELSVSGGSLTFRLSRSYSMIARGYDFYG >Manes.12G049502.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3924476:3927017:1 gene:Manes.12G049502.v8.1 transcript:Manes.12G049502.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCLSSQDKKAARSSGSSRRSRSLPPASTPRKKESSNAENPKPKPQADQPHNNSKEGGNNNIAAETFTFRELATATKNFRQECLIGEGGFGRVYKGKLETSNQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMAYGSVEDHLLDLLPEQKALDWFSRMRIALGAAKGLEYLHDNANPPVIYRDMKSSNILLDENYNAKLSDFGLAKLGPVGDSTHVSSRVMGTYGYCAPEYQRTGKLTTKSDVYSFGVVLLELITGRRVIDTTRPTDEQNLVAWAQPLFKEPNRYSEIADPLLLGEFPVKGLNQAVAVAAMCLQEEAGVRPLMSDVVSALSCLGGSPAEAGAVTHDSLPSPPSEHVGDDEDSTRERMQGLSMEVLLHCN >Manes.10G051300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5709001:5711300:1 gene:Manes.10G051300.v8.1 transcript:Manes.10G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAASGSAPVTANFTEMKSFAGQVITGRWFMIFASLLIMAAAGATYMFGLYSGDIKRTLGYDQTTITLLSFFKDLGANVGVLSGLINEVTPPWVVLSMGAVLNFFGYFMIWLAVTRKISGVHVWHMCLYICIGANSQAFANTGSLVTSVKNFPESRGVVLGILKGYVGLSGAIITQLYHAFYGDDTKALILFIGWLPAAISFIFLRTIRIMKVVRQPNELKVFYNFLYISLGLAGFLMIIIIVEKRVDFSQSEYGGSAAMVIFMLLLPLVIVAIEESKIWKTKKLAMDNPPPLKNVITDKPSQETTSALSDPSNNDKTLVTVPESDDKTKISCWKTAFRPPDRGDDYTILQALFSTDMLILFLATICGVGGTLTAIDNLGQIGTSLGYPKRSISTFVSLVSIWNYLGRVTSGFVSEHFLTKFKFPRPLMLTLILLFSCVGHLLIAFNVPSGLYVASIIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGAVASPIGSYILSVRVAGHLYDKEANKQLAAQGLERKPGQDLNCIGTECFRLSFLIITAATLFGTFVSLVLVVRTRKFYKSDIYKKFREAAQAAETEMAMAGNGVAGNGVAGKSSEGR >Manes.05G186753.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19062100:19125128:1 gene:Manes.05G186753.v8.1 transcript:Manes.05G186753.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVGGSRHTSVVVVTLDSGEVYIIASLSLRTDTQVIYIDPTTGALCYSGNLGVDVFKSEDEALYYITNGSRWLCRSTTYARAILGYAALGSFGLLLVATKLTASIPSLPGGGCVYTVTESQWIKISLQNPQQQGKGEIKNIQELTELDIDGKHYFCETRDITRPFPSQMPLQNPDDEFVWNGWFSTAFKDIGLPLHCVTLLQGFAECRSFGSLGQLEGLVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWVPKKTGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSQYYQRLGKRYDARSFDATFGEGKKKAFVPIVCINLLRNGEGKSESLLVQHFEESLNYIRSNGKLPCTRLHLINYDWHASVKLKGEQQTIEGLWKLLKAPTVAIDISEGDYLPSRQRLKDCRGEIICNDDVVGAFCLRTHQNGVIRFNCADSLDRTNAASYFGALQVFVEQCRRLSISLDSDMVYAYQSVDNYGGYSGPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMSFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEIFLGIRLFKHLPSILAKPLHVPSRPCGFFLKSVTNMFPSGSSPLSFKKKDLIWVCPQATDVVELFIYLGEPCHVCQVLLTISHGVDDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCANGTNLLIPLPGPISAEDMAITGAGARLHAQDTATLPLLYEFEELEGELDFLTRVVAITFYPAVCGRSPMTLGEIEVLGVSLPWSGVFNNEGSGARIAEAAKKSLKETKANPFLSGAESNPFSNTSLTNETVMPSMQNSSSANWLDLLTGEDKISEPVSEPFSHPLAQNNVQEGSDSLDFLDQAVIEYHGAERDNKFSSSHDANNVQKYINCLKTLAGPQMTRKFDFIEAMKLEIERLRLNLSAAERDRALLSIGIDPATINPNALIDELYMGRLCRVANTLALLGQASLEDKRNAAIGLGNVDDNIIDFWNITGIGGSCSGSICEVHAEATAPAYTSSVTSPVGASQSILICSECGRKVCEVCCAGRGALLLMNSNLRETTNYNGLASQGGSSHGSQIDSSTSRTVPLDSVICKQCCRDIVLDALILDYLRVLISLRRRDRADSAAYKALDHVIGSPLRGGVHEKISSSDSQQAAKALQKLLSGGESLAEFPLASFLHSVETAADSAPFFSLLAPLKSGSGQSYWKAPSTTNSVEFVIVLSTLSDVSGVILLVSPCGYSAADVPIVQIWASNKIQKEERSCMGKWDVQSLVPSSSEIYGPEKSGGDDRVPRHVNFSFKNPVRCRIIWITLRLQRPGSNSVNFERDFNLLSLEENPFAQVNRRASFGGSVENDLCLHARRILVVGTPVKKEMGLTSQGSDQMNFNSLLERTPQLNRFKIPIEAERQMDNDLALEQYLPPASPILAGFRFEAFTAIKPRVTHSPSSDVDTWDTSVTFLEDRHISPAVLYLQVSALQEPHSMVIIGEYRLPEAKSGTSMYFDFPRQIQTRRVSFKLLGDVTAFTDDPAEQDDNSLRAVPLAAGLSLSNRIKLYYYADPYELGKWASLSAI >Manes.05G186753.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19062100:19125128:1 gene:Manes.05G186753.v8.1 transcript:Manes.05G186753.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVGGSRHTSVVVVTLDSGEVYIIASLSLRTDTQVIYIDPTTGALCYSGNLGVDVFKSEDEALYYITNGSRWLCRSTTYARAILGYAALGSFGLLLVATKLTASIPSLPGGGCVYTVTESQWIKISLQNPQQQGKGEIKNIQELTELDIDGKHYFCETRDITRPFPSQMPLQNPDDEFVWNGWFSTAFKDIGLPLHCVTLLQGFAECRSFGSLGQLEGLVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWVPKKTGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSQYYQRLGKRYDARSFDATFGEGKKKAFVPIVCINLLRNGEGKSESLLVQHFEESLNYIRSNGKLPCTRLHLINYDWHASVKLKGEQQTIEGLWKLLKAPTVAIDISEGDYLPSRQRLKDCRGEIICNDDVVGAFCLRTHQNGVIRFNCADSLDRTNAASYFGALQVFVEQCRRLSISLDSDMVYAYQSVDNYGGYSGPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMSFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEIFLGIRLFKHLPSILAKPLHVPSRPCGFFLKSVTNMFPSGSSPLSFKKKDLIWVCPQATDVVELFIYLGEPCHVCQVLLTISHGVDDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCANGTNLLIPLPGPISAEDMAITGAGARLHAQDTATLPLLYEFEELEGELDFLTRVVAITFYPAVCGRSPMTLGEIEVLGVSLPWSGVFNNEGSGARIAEAAKKSLKETKANPFLSGAESNPFSNTSLTNETVMPSMQNSSSANWLDLLTGEDKISEPVSEPFSHPLAQNNVQEGSDSLDFLDQAVIEYHGAERDNKFSSSHDANNVQKYINCLKTLAGPQMTRKFDFIEAMKLEIERLRLNLSAAERDRALLSIGIDPATINPNALIDELYMGRLCRVANTLALLGQASLEDKRNAAIGLGNVDDNIIDFWNITGIGGSCSGSICEVHAEATAPAYTSSVTSPVGASQSILICSECGRKVCEVCCAGRGALLLMNSNLRETTNYNGLASQGGSSHGSQIDSSTSRTVPLDSVICKQCCRDIVLDALILDYLRVLISLRRRDRADSAAYKALDHVIGSPLRGGVHEKISSSDSQQAAKALQKLLSGGESLAEFPLASFLHSVETAADSAPFFSLLAPLKSGSGQSYWKAPSTTNSVEFVIVLSTLSDVSGVILLVSPCGYSAADVPIVQIWASNKIQKEERSCMGKWDVQSLVPSSSEIYGPEKSGGDDRVPRHVNFSFKNPVRCRIIWITLRLQRPGSNSVNFERDFNLLSLEENPFAQVNRRASFGGSVENDLCLHARRILVVGTPVKKEMGLTSQGSDQMNFNSLLERTPQLNRFKIPIEAERQMDNDLALEQYLPPASPILAGFRFEAFTAIKPRVTHSPSSDVDTWDTSVTFLEDRHISPAVLYLQVSALQEPHSMVIIGEYRLPEAKSGTSMYFDFPRQIQTRRVSFKLLGDVTAFTDDPAEQDDNSLRAVPLAAGLSLSNRIKLYYYADPYELGKWASLSAI >Manes.05G186753.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19062100:19125128:1 gene:Manes.05G186753.v8.1 transcript:Manes.05G186753.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVGGSRHTSVVVVTLDSGEVYIIASLSLRTDTQVIYIDPTTGALCYSGNLGVDVFKSEDEALYYITNGSRWLCRSTTYARAILGYAALGSFGLLLVATKLTASIPSLPGGGCVYTVTESQWIKISLQNPQQQGKGEIKNIQELTELDIDGKHYFCETRDITRPFPSQMPLQNPDDEFVWNGWFSTAFKDIGLPLHCVTLLQGFAECRSFGSLGQLEGLVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWVPKKTGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSQYYQRLGKRYDARSFDATFGEGKKKAFVPIVCINLLRNGEGKSESLLVQHFEESLNYIRSNGKLPCTRLHLINYDWHASVKLKGEQQTIEGLWKLLKAPTVAIDISEGDYLPSRQRLKDCRGEIICNDDVVGAFCLRTHQNGVIRFNCADSLDRTNAASYFGALQVFVEQCRRLSISLDSDMVYAYQSVDNYGGYSGPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMSFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEIFLGIRLFKHLPSILAKPLHVCPQATDVVELFIYLGEPCHVCQVLLTISHGVDDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCANGTNLLIPLPGPISAEDMAITGAGARLHAQDTATLPLLYEFEELEGELDFLTRVVAITFYPAVCGRSPMTLGEIEVLGVSLPWSGVFNNEGSGARIAEAAKKSLKETKANPFLSGAESNPFSNTSLTNETVMPSMQNSSSANWLDLLTGEDKISEPVSEPFSHPLAQNNVQEGSDSLDFLDQAVIEYHGAERDNKFSSSHDANNVQKYINCLKTLAGPQMTRKFDFIEAMKLEIERLRLNLSAAERDRALLSIGIDPATINPNALIDELYMGRLCRVANTLALLGQASLEDKRNAAIGLGNVDDNIIDFWNITGIGGSCSGSICEVHAEATAPAYTSSVTSPVGASQSILICSECGRKVCEVCCAGRGALLLMNSNLRETTNYNGLASQGGSSHGSQIDSSTSRTVPLDSVICKQCCRDIVLDALILDYLRVLISLRRRDRADSAAYKALDHVIGSPLRGGVHEKISSSDSQQAAKALQKLLSGGESLAEFPLASFLHSVETAADSAPFFSLLAPLKSGSGQSYWKAPSTTNSVEFVIVLSTLSDVSGVILLVSPCGYSAADVPIVQIWASNKIQKEERSCMGKWDVQSLVPSSSEIYGPEKSGGDDRVPRHVNFSFKNPVRCRIIWITLRLQRPGSNSVNFERDFNLLSLEENPFAQVNRRASFGGSVENDLCLHARRILVVGTPVKKEMGLTSQGSDQMNFNSLLERTPQLNRFKIPIEAERQMDNDLALEQYLPPASPILAGFRFEAFTAIKPRVTHSPSSDVDTWDTSVTFLEDRHISPAVLYLQVSALQEPHSMVIIGEYRLPEAKSGTSMYFDFPRQIQTRRVSFKLLGDVTAFTDDPAEQDDNSLRAVPLAAGLSLSNRIKLYYYADPYELGKWASLSAI >Manes.05G186753.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19062100:19125128:1 gene:Manes.05G186753.v8.1 transcript:Manes.05G186753.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVGGSRHTSVVVVTLDSGEVYIIASLSLRTDTQVIYIDPTTGALCYSGNLGVDVFKSEDEALYYITNGSRWLCRSTTYARAILGYAALGSFGLLLVATKLTASIPSLPGGGCVYTVTESQWIKISLQNPQQQGKGEIKNIQELTELDIDGKHYFCETRDITRPFPSQMPLQNPDDEFVWNGWFSTAFKDIGLPLHCVTLLQGFAECRSFGSLGQLEGLVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWVPKKTGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSQYYQRLGKRYDARSFDATFGEGKKKAFVPIVCINLLRNGEGKSESLLVQHFEESLNYIRSNGKLPCTRLHLINYDWHASVKLKGEQQTIEGLWKLLKAPTVAIDISEGDYLPSRQRLKDCRGEIICNDDVVGAFCLRTHQNGVIRFNCADSLDRTNAASYFGALQVFVEQCRRLSISLDSDMVYAYQSVDNYGGYSGPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMSFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEIFLGIRLFKHLPSILAKPLHVPSRPCGFFLKSVTNMFPSGSSPLSFKKKDLIWVCPQATDVVELFIYLGEPCHVCQVLLTISHGVDDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCANGTNLLIPLPGPISAEDMAITGAGARLHAQDTATLPLLYEFEELEGELDFLTRVVAITFYPAVCGRSPMTLGEIEVLGVSLPWSGVFNNEGSGARIAEAAKKSLKETKANPFLSGAESNPFSNTSLTNETVMPSMQNSSSANWLDLLTGEDKISEPVSEPFSHPLAQNNVQEGSDSLDFLDQAVIEYHGAERDNKFSSSHDANNVQKYINCLKTLAGPQMTRKFDFIEAMKLEIERLRLNLSAAERDRALLSIGIDPATINPNALIDELYMGRLCRVANTLALLGQASLEDKRNAAIGLGNVDDNIIDFWNITGIGGSCSGSICEVHAEATAPAYTSSVTSPVGASQSILICSECGRKVCEVCCAGRGALLLMNSNLRETTNYNGLASQGGSSHGSQIDSSTSRTVPLDSVICKQCCRDIVLDALILDYLRVLISLRRRDRADSAAYKALDHVIGSPLRGGVHEKISSSDSQQAAKALQKLLSGGESLAEFPLASFLHSVETAADSAPFFSLLAPLKSGSGQSYWKAPSTTNSVEFVIVLSTLSDVSGVILLVSPCGYSAADVPIVQIWASNKIQKEERSCMGKWDVQSLVPSSSEIYGPEKSGGDDRVPRHVNFSFKNPVRCRIIWITLRLQRPGSNSVNFERDFNLLSLEENPFAQVNRRASFGGSVENDLCLHARRILVVGTPVKKEMGLTSQGSDQMNFNSLLERTPQLNRFKIPIEAERQMDNDLALEQYLPPASPILAGFRFEAFTAIKPRVTHSPSSDVDTWDTSVTFLEDRHISPAVLYLQVSALQEPHSMVIIGEYRLPEAKSGTSMYFDFPRQIQTRRVSFKLLGDVTAFTDDPAEQDDNSLRAVPLAAGLSLSNRIKLYYYADPYELGKWASLSAI >Manes.05G186753.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19062100:19125128:1 gene:Manes.05G186753.v8.1 transcript:Manes.05G186753.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVGGSRHTSVVVVTLDSGEVYIIASLSLRTDTQVIYIDPTTGALCYSGNLGVDVFKSEDEALYYITNGSRWLCRSTTYARAILGYAALGSFGLLLVATKLTASIPSLPGGGCVYTVTESQWIKISLQNPQQQGKGEIKNIQELTELDIDGKHYFCETRDITRPFPSQMPLQNPDDEFVWNGWFSTAFKDIGLPLHCVTLLQGFAECRSFGSLGQLEGLVALIARRSRLHPGTRYLARGLNSCFSTGNEVECEQLVWVPKKTGQSVPFNTYIWRRGTIPIWWGAELKITAAEAEIYVSDRDPYKGSSQYYQRLGKRYDARSFDATFGEGKKKAFVPIVCINLLRNGEGKSESLLVQHFEESLNYIRSNGKLPCTRLHLINYDWHASVKLKGEQQTIEGLWKLLKAPTVAIDISEGDYLPSRQRLKDCRGEIICNDDVVGAFCLRTHQNGVIRFNCADSLDRTNAASYFGALQVFVEQCRRLSISLDSDMVYAYQSVDNYGGYSGPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMSFEEFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEIFLGIRLFKHLPSILAKPLHVCPQATDVVELFIYLGEPCHVCQVLLTISHGVDDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCANGTNLLIPLPGPISAEDMAITGAGARLHAQDTATLPLLYEFEELEGELDFLTRVVAITFYPAVCGRSPMTLGEIEVLGVSLPWSGVFNNEGSGARIAEAAKKSLKETKANPFLSGAESNPFSNTSLTNETVMPSMQNSSSANWLDLLTGEDKISEPVSEPFSHPLAQNNVQEGSDSLDFLDQAVIEYHGAERDNKFSSSHDANNVQKYINCLKTLAGPQMTRKFDFIEAMKLEIERLRLNLSAAERDRALLSIGIDPATINPNALIDELYMGRLCRVANTLALLGQASLEDKRNAAIGLGNVDDNIIDFWNITGIGGSCSGSICEVHAEATAPAYTSSVTSPVGASQSILICSECGRKVCEVCCAGRGALLLMNSNLRETTNYNGLASQGGSSHGSQIDSSTSRTVPLDSVICKQCCRDIVLDALILDYLRVLISLRRRDRADSAAYKALDHVIGSPLRGGVHEKISSSDSQQAAKALQKLLSGGESLAEFPLASFLHSVETAADSAPFFSLLAPLKSGSGQSYWKAPSTTNSVEFVIVLSTLSDVSGVILLVSPCGYSAADVPIVQIWASNKIQKEERSCMGKWDVQSLVPSSSEIYGPEKSGGDDRVPRHVNFSFKNPVRCRIIWITLRLQRPGSNSVNFERDFNLLSLEENPFAQVNRRASFGGSVENDLCLHARRILVVGTPVKKEMGLTSQGSDQMNFNSLLERTPQLNRFKIPIEAERQMDNDLALEQYLPPASPILAGFRFEAFTAIKPRVTHSPSSDVDTWDTSVTFLEDRHISPAVLYLQVSALQEPHSMVIIGEYRLPEAKSGTSMYFDFPRQIQTRRVSFKLLGDVTAFTDDPAEQDDNSLRAVPLAAGLSLSNRIKLYYYADPYELGKWASLSAI >Manes.06G100800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23463911:23466097:1 gene:Manes.06G100800.v8.1 transcript:Manes.06G100800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFLFIEREHELGHEKATTKGFVIILSTHTQRRGREREMARNTLDFSSSFQPHRPTAIIMPSSSSLRFSGTIHHHHHYPLTSTTSPCCFFRNPTAPSHPKSKTRQLTEKKKKKKKKKKKKWSRGFTHDDKKLASFT >Manes.05G131200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18151620:18174999:1 gene:Manes.05G131200.v8.1 transcript:Manes.05G131200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVCSATPCSSSHPQISFHGGPRLFCPHRNDSESRCIIDDRTVLGFLNGTHQQRISFKTQAAKSSSLIFLERNEHSIPMEVTENPSCINDLNDIKCKLYNELDLLGGEKVAYVESYSTYDVEGLTDFTGQSTENANGLTGPMEPDITSTSTITPDNPSIEPDSLNMDNDSLSSVKTSFDDLLDGVRGSINTSVNKGENVMKISLDTITSPITSITKSASEAVDNALSGVVSAVDQTRGLAGNRLDNFSSDLKEATSKATANSVDLLRGTVVAVEDLIVKGASFVVYSYGSAKGLLPPEIRDALILSEDKAVQILKPVGTSFQQVYVAIVELEKILGLDPHDPIVPFFLLVGTSATLWVFYRVWVYGGYSGDLSPQLTLELLNGKENSVLVDVRPELLREKDGVPDIRRAARFRYASVTLPKVDEPLRKLLKGGNDIDNTLIAAVIRNLKAVQDRSKVIVMDADGTSSKGIARSLRKLGVKRPYLVEGGFQSWVNQGLRVKELKAETALTVLNEEAEAILEDLSPSPVQVFGYGVGFVAALYALLEWEKTLQLIGVVGVAQSIYRRAASYEGPEDIRRDVRLLLAPVRIGAQAFSWVTGKLETNSIGLPTSPSSLDVQTRVLQAAAKHESQPSETEEVQNSSSESVAPISDNVDFSEA >Manes.05G131200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18151620:18160502:1 gene:Manes.05G131200.v8.1 transcript:Manes.05G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVCSATPCSSSHPQISFHGGPRLFCPHRNDSESRCIIDDRTVLGFLNGTHQQRISFKTQAAKSSSLIFLERNEHSIPMEVTENPSCINDLNDIKCKLYNELDLLGGEKVAYVESYSTYDVEGLTDFTGQSTENANGLTGPMEPDITSTSTITPDNPSIEPDSLNMDNDSLSSVKTSFDDLLDGVRGSINTSVNKGENVMKISLDTITSPITSITKSASEAVDNALSGVVSAVDQTRGLAGNRLDNFSSDLKEATSKATANSVDLLRGTVVAVEDLIVKGASFVVYSYGSAKGLLPPEIRDALILSEDKAVQILKPVGTSFQQVYVAIVELEKILGLDPHDPIVPFFLLVGTSATLWVFYRVWVYGGYSGDLSPQLTLELLNGKENSVLVDVRPELLREKDGVPDIRRAARFRYASVTLPKVDEPLRKLLKGGNDIDNTLIAAVIRNLKAVQDRSKVIVMDADGTSSKGIARSLRKLGVKRPYLVEGGFQSWVNQGLRVKELKAETALTVLNEVFIALLPDILFVYTSFYRSFVYFQRIIIHVIYDITCTLHQTG >Manes.05G131200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18151620:18160502:1 gene:Manes.05G131200.v8.1 transcript:Manes.05G131200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVCSATPCSSSHPQISFHGGPRLFCPHRNDSESRCIIDDRTVLGFLNGTHQQRISFKTQAAKSSSLIFLERNEHSIPMEVTENPSCINDLNDIKCKLYNELDLLGGEKVAYVESYSTYDVEGLTDFTGQSTENANGLTGPMEPDITSTSTITPDNPSIEPDSLNMDNDSLSSVKTSFDDLLDGVRGSINTSVNKGENVMKISLDTITSPITSITKSASEAVDNALSGVVSAVDQTRGLAGNRLDNFSSDLKEATSKATANSVDLLRGTVVAVEDLIVKGASFVVYSYGSAKGLLPPEIRDALILSEDKAVQILKPVGTSFQQVYVAIVELEKILGLDPHDPIVPFFLLVGTSATLWVFYRVWVYGGYSGDLSPQLTLELLNGKENSVLVDVRPELLREKDGVPDIRRAARFRYASVTLPKVDEPLRKLLKGGNDIDNTLIAAVIRNLKAVQVQGHSYGC >Manes.05G131200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18151620:18174999:1 gene:Manes.05G131200.v8.1 transcript:Manes.05G131200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVCSATPCSSSHPQISFHGGPRLFCPHRNDSESRCIIDDRTVLGFLNGTHQQRISFKTQAAKSSSLIFLERNEHSIPMEVTENPSCINDLNDIKCKLYNELDLLGGEKVAYVESYSTYDVEGLTDFTGQSTENANGLTGPMEPDITSTSTITPDNPSIEPDSLNMDNDSLSSVKTSFDDLLDGVRGSINTSVNKGENVMKISLDTITSPITSITKSASEAVDNALSGVVSAVDQTRGLAGNRLDNFSSDLKEATSKATANSVDLLRGTVVAVEDLIVKGASFVVYSYGSAKGLLPPEIRDALILSEDKAVQILKPVGTSFQQVYVAIVELEKILGLDPHDPIVPFFLLVGTSATLWVFYRVWVYGGYSGDLSPQLTLELLNGKENSVLVDVRPELLREKDGVPDIRRAARFRYASVTLPKVDEPLRKLLKGGNDIDNTLIAAVIRNLKAVQDRSKVIVMDADGTSSKGIARSLRKLGVKRPYLVEGGFQSWVNQGLRVKELKAETALTVLNEEAEAILEDLSPSPVQVFGYGVGFVAALYALLEWEKTLQLIGVVGVAQSIYRRAASYEGPEDIRRDVRLLLAPVRIGAQAFSWVTGKLETNSIGLPTSPSSLDVQTRVLQAAAKHESQPSETEEVQNSSSESVAPISDNVDFSEA >Manes.05G131200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18151565:18175133:1 gene:Manes.05G131200.v8.1 transcript:Manes.05G131200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVCSATPCSSSHPQISFHGGPRLFCPHRNDSESRCIIDDRTVLGFLNGTHQQRISFKTQAAKSSSLIFLERNEHSIPMEVTENPSCINDLNDIKCKLYNELDLLGGEKVAYVESYSTYDVEGLTDFTGQSTENANGLTGPMEPDITSTSTITPDNPSIEPDSLNMDNDSLSSVKTSFDDLLDGVRGSINTSVNKGENVMKISLDTITSPITSITKSASEAVDNALSGVVSAVDQTRGLAGNRLDNFSSDLKEATSKATANSVDLLRGTVVAVEDLIVKGASFVVYSYGSAKGLLPPEIRDALILSEDKAVQILKPVGTSFQQVYVAIVELEKILGLDPHDPIVPFFLLVGTSATLWVFYRVWVYGGYSGDLSPQLTLELLNGKENSVLVDVRPELLREKDGVPDIRRAARFRYASVTLPKVDEPLRKLLKGGNDIDNTLIAAVIRNLKAVQDRSKVIVMDADGTSSKGIARSLRKLGVKRPYLVEGGFQSWVNQGLRVKELKAETALTVLNEEAEAILEDLSPSPVQVFGYGVGFVAALYALLEWEKTLQLIGVVGVAQSIYRRAASYEGPEDIRRDVRLLLAPVRIGAQAFSWVTGKLETNSIGLPTSPSSLDVQTRVLQAAAKHESQPSETEEVQNSSSESVAPISDNVDFSEA >Manes.17G021600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14113047:14132558:-1 gene:Manes.17G021600.v8.1 transcript:Manes.17G021600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQTFVNTSKWIEEVRTERGSDVIIVLVGNKTDLADKRQVSIEEGEAKARDLNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKSSSGASSQSQAESGGCAC >Manes.13G081278.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16875678:16876468:1 gene:Manes.13G081278.v8.1 transcript:Manes.13G081278.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDVTHISLHAGSVWQKPAPHWLKLNVDIATTSIVGWTRVGMVDRDVDVSFVAAKILRMSSSFSPLIAEVMGMREALSWIKEKDCKSLIQEISGNLLVSHVFRSANKVAHALAQATRLFPNILEWSFIPPNFVIPLLN >Manes.S038816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1082153:1082311:1 gene:Manes.S038816.v8.1 transcript:Manes.S038816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.05G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11947425:11948587:1 gene:Manes.05G116400.v8.1 transcript:Manes.05G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSNTIVALLNTIFLLVSLAVISGGMYIHVHASATACQKSLENPLFILGVFMLLVSFLGLIGSCCRNNCLLWLYLILLFLMMVGLVVFTIFAFVVTNETAGQALSDKGFKKYRLGDYSHWLQNHFVKGKNWDVLRSCLIEAKVCDNNQNDFSKQKFSAIQGGCCNPPTGCGYQYRNGTTWTVAKYGLPPVDDSDCKTWSNDPKQLCYNCNSCKAGVVASIRKQWRILAIFLICIILFILVVFSIGSCAKRNNSLDRRYNWQRNHFA >Manes.07G113800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31921389:31928113:-1 gene:Manes.07G113800.v8.1 transcript:Manes.07G113800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQYLKNATLLNHPHFYNPHRAMHNRNKKAMEFIAKGWSALKEVDRVIDYCELNDSRLIPLLRTAKENFELALEADNSNTHARFWLSKLHLKYHVPGACKAIGAALLVEAADMGDPDAQYELGCRLRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDVASALWCFRRASEKGHSGAAIAYGSLLLRGAQVPESLTKFDLKRGSSAKKVRNPESSVKDPVEMAKEQFLVAAKAGCDLGLKWLQRLEEEEKRLLAESSSKDFSLA >Manes.07G113800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31921794:31928087:-1 gene:Manes.07G113800.v8.1 transcript:Manes.07G113800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQYLKNATLLNHPHFYNPHRAMHNRNKKAMEFIAKGWSALKEVDRVIDYCELNDSRLIPLLRTAKENFELALEADNSNTHARFWLSKLHLKYHVPGACKAIGAALLVEAADMGDPDAQYELGCRLRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDVASALWCFRRASEKGHSGAAIAYGSLLLRGAQVPESLTKFDLKRGSSAKKVRNPESSVKDPVEMAKEQFLVAAKAGCDLGLKWLQRLEEEEKRLLAESSSKDFSLA >Manes.07G113800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31921389:31928113:-1 gene:Manes.07G113800.v8.1 transcript:Manes.07G113800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQYLKNATLLNHPHFYNPHRAMHNRNKKAMEFIAKGWSALKEVDRVIDYCELNDSRLIPLLRTAKENFELALEADNSNTHARFWLSKLHLKYHVPGACKAIGAALLVEAADMGDPDAQYELGCRLRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDVASALWCFRRASEKGHSGAAIAYGSLLLRGAQVPESLTKFDLKRGSSAKKVRNPESSVKDPVEMAKEQFLVAAKAGCDLGLKWLQRLEEEEKRLLAESSSKDFSLA >Manes.06G167700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29316417:29320433:1 gene:Manes.06G167700.v8.1 transcript:Manes.06G167700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLPHHHHFFHDHHQKQMNPGLTRYQSAPSSYLCGFLDREFCEEFLNRPTSPETERIFARFLASSGGNTENVSNQNFGLIKQDSPVREAMPQVNQQAQILASVNSNDTTRLHQQQQQQTNYSVASQGFYQNSSKPPLPDKNSGCGMDYRMATSMGMERLPQMKSTTGGSNSNLVRHSSSPAGLFSNINVDVENGYAVLRGMGEHGAGNRETSYSTASRPPPAPGRMSPVAEIGNKNMGKSSTENSGFGEARSKNYVTSFPTASWDDTSAMSAGAGVKTLTEDDRTLSGLNASETQSGDAGNHPPMLAHHLSLPKTSAELSAIEKFLQLQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQRQVKVC >Manes.06G167700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29316417:29320433:1 gene:Manes.06G167700.v8.1 transcript:Manes.06G167700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLPHHHHFFHDHHQKQMNPGLTRYQSAPSSYLCGFLDREFCEEFLNRPTSPETERIFARFLASSGGNTENVSNQNFGLIKQDSPVREAMPQVNQQAQILASVNSNDTTRLHQQQQQQTNYSVASQGFYQNSSKPPLPDKNSGCGMDYRMATSMGMERLPQMKSTTGGSNSNLVRHSSSPAGLFSNINVDVENGYAVLRGMGEHGAGNRETSYSTASRPPPAPGRMSPVAEIGNKNMGKSSTENSGFGEARSKNYVTSFPTASWDDTSAMSAGAGVKTLTEDDRTLSGLNASETQSGDAGNHPPMLAHHLSLPKTSAELSAIEKFLQLQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQRQVKTLSEIRDNCTCTNKQQQQQQP >Manes.06G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26913777:26924326:-1 gene:Manes.06G141300.v8.1 transcript:Manes.06G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCFRVENVFSHTISKFKHSNVNGNGNATIISPPISSFSLLSSSHTFSPKFPLLKTSLSHSLSIKASSSTAIAEPEGIKINSVATKPIEGQKTGTSGLRKKVKVFMQENYLANWIQALFNSLPPEDYKNGLLVLGGDGRYFNKEASQIIIKIAAGNGVGKILVGKEGIMSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKFNYNSGQPAPESITDKIYGNTLSISEIKVADIPDVDLSRLGVTKYGNFIVEVVDPVSDYLELMEHVFDFELIRSLLLRPDFSFIFDAMHAVTGAYAKPIFVDKLGASPDSISNGVPLEDFGHGHPDPNLTYAKDLVKILYSENGPDFGAASDGDGDRNMILGRGFFVTPSDSVAIIAANSQGAIPYFKSGPKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAEKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGDKLVSVADVVKEHWAKFGRNFFSRYDYEECESEGANKMIECLRDLVSKSKPGDKYGSYALQYADDFKYTDPVDGSVVTKQGVRFVFTDGSRIIFRLSGTGSAGATVRMYIEQFEPDASKHDMDAQTALKPLIDLALSVSKLKDFTGREKPTVIT >Manes.12G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3565599:3570971:-1 gene:Manes.12G040500.v8.1 transcript:Manes.12G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNCFHISLAFLLKLFNFLQAFIGVCIILYSVWMLNQWNHRVPSPPPPLVLPSLDSSSLSRLPNSNSHSLRVLNLVTDVAYGIDDGLGLDFNSFKLPAPWFIYSFMGVGIILCTITFIGCIAAEAINGCCLCFYTILKTVLILLEAALVAFIAIDLSWQKDLPPDPTGELQSLRSFIEENADICKWVGITVITIQALALLLAIILRALVSTHRTDSEFEDYENVGGRNWDPLLNQSGQTSGSGIHSDIWSSRMREKYGLNGGDKANALNQNASMSMKSM >Manes.15G161300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13387819:13388721:-1 gene:Manes.15G161300.v8.1 transcript:Manes.15G161300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQQNSYSEMKNHRHLFSEKPWFIVFLVVASFTAGLLVAGSIAGSSTSSQPFLCSLSGTDKSPETDKCATTRTQLEAVLHYATSRVVPQQSRAEISLSFDVLKALAPCNLLVFGMGHDSLMWTALNPRGTTIFLEEDPKWVHKVLQRAPNLRAYVVKYQTQLQEADKLLTFYKREKDCMPPDVRLKGNTRCKLALSMLPNEVLDKEWDVIMLDAPRGYFAQAPGRMGAIFSAAVMARARTRPGVTHVYLHDVDRRVEKVYAKEFLCKKYLVKGVGRLWHFEIPSLANRNSSDDYSSFC >Manes.04G157700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35253076:35268031:1 gene:Manes.04G157700.v8.1 transcript:Manes.04G157700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPCCSVCQTRYNEEERVPLLLQCGHGFCKECLSRMFSASLDTTLSCPRCRHVSVVGNSVNALRKNYAVLALLHSPSAAAAAASAPNFDCDYTDDDEDEDNEEEEEERCSRGSHASSSGACGPLIELGVHQDVKLVRKIGEGRRAGVETWAAVIGGGVHGKCKHRVAVKKVEVGEDMEVEWVQGQLENLRRASMWCRNVCTFHGVVKMDGCLGLVMDRCSGSVQSEMQKNEGRLTLEQILRYGADIARGVAELHAAGVVCMNIKPSNLLLDSSGRAVVSDYGLAAILKKTACRKARSECESVKIHSCMDCTMLSPHYTAPEAWEPVRKSLNLFWDDAIGISAESDAWSFGCTLVEMCTGSIPWAGLSAEEIYRAVIKARKLPPQYASVVGVGMPRELWKMIGECLQFKAAKRPSFNAMLAIFLRHLQELPRSPPASPDNSFAKYPGSNVTEPSPTSDLEAFQDNPGHLHRLVSEGDVNGVRDLLAKAASGNGSSSISILLEAQNADGQTALHLACRRGSAELVQAILEYRQANVDVLDKDGDPPLVFALAAGSPECVRALIEKGANVRSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNGVDDEGETVLHRAVAKKYTDCALVILENGGCRSMAVQNSKNLTPLHLCVATWNVAVVKRWMEVAYPEEIANTIDIPSPVGTALCMAAAVKKDHEIDGRELVRILLAAGADPTAQDSQHGRTALHTAAMANDVELVKIILEAGVDVNIRNMHNTIPLHVALARGAKSCVGLLLSAGASCNMQDDEGDNAFHIAADAAKMICENLEWLIIMLRNPDAAVDVRNHSGKTLRDFLEALPREWISEDLMEALNNRGVHLSPTIFEVGDWVKFKRSVTAPTHGWQGAKHKSVGFVQNVVDKDNLIVSFCTGEAHVLASEVLKVIPLDRGQHVQLKPDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGLVTPGSIGIVYCIRPDSSLLLELSYLPNPWHCEPEEVEPVAPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPSDMEKVEDFKVRVAGRNNLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSVQETGYLELACCFRKGRWITHYTDVEKVPCFKVGQHVRFRTGLVEPRWGWRGAQPDSRGIITSVHADGEVRIAFYGLPALWRGDPADLEIAQMFEVGEWVRLKEDAGNWKSIGPASIGVVQGIGYDGDEWDGSTYVGFCGEQERWVGPTSHLERVEKLIVGQKVRVKLSVKQPRFGWSGHSHVSVGTISAIDADGKLRIYTPVGSKTWMLDPSEVELVEEEELHIGDWVRVRASVSTPTHQWGEANHSSIGVVHRMEDGELWVAFCFMERLWLCKAWEMERVRPFKVGDKVRIRDGLVTPRWGWGMETHASKGRVVGVDANGKLRIKFQWREGRPWIGDPADIVLDES >Manes.04G157700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35253076:35268030:1 gene:Manes.04G157700.v8.1 transcript:Manes.04G157700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPCCSVCQTRYNEEERVPLLLQCGHGFCKECLSRMFSASLDTTLSCPRCRHVSVVGNSVNALRKNYAVLALLHSPSAAAAAASAPNFDCDYTDDDEDEDNEEEEEERCSRGSHASSSGACGPLIELGVHQDVKLVRKIGEGRRAGVETWAAVIGGGVHGKCKHRVAVKKVEVGEDMEVEWVQGQLENLRRASMWCRNVCTFHGVVKMDGCLGLVMDRCSGSVQSEMQKNEGRLTLEQILRYGADIARGVAELHAAGVVCMNIKPSNLLLDSSGRAVVSDYGLAAILKKTACRKARSECESVKIHSCMDCTMLSPHYTAPEAWEPVRKSLNLFWDDAIGISAESDAWSFGCTLVEMCTGSIPWAGLSAEEIYRAVIKARKLPPQYASVVGVGMPRELWKMIGECLQFKAAKRPSFNAMLAIFLRHLQELPRSPPASPDNSFAKYPGSNVTEPSPTSDLEAFQDNPGHLHRLVSEGDVNGVRDLLAKAASGNGSSSISILLEAQNADGQTALHLACRRGSAELVQAILEYRQANVDVLDKDGDPPLVFALAAGSPECVRALIEKGANVRSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNGVDDEGETVLHRAVAKKYTDCALVILENGGCRSMAVQNSKNLTPLHLCVATWNVAVVKRWMEVAYPEEIANTIDIPSPVGTALCMAAAVKKDHEIDGRELVRILLAAGADPTAQDSQHGRTALHTAAMANDVELVKIILEAGVDVNIRNMHNTIPLHVALARGAKSCVGLLLSAGASCNMQDDEGDNAFHIAADAAKMICENLEWLIIMLRNPDAAVDVRNHSGKTLRDFLEALPREWISEDLMEALNNRGVHLSPTIFEVGDWVKFKRSVTAPTHGWQGAKHKSVGFVQNVVDKDNLIVSFCTGEAHVLASEVLKVIPLDRGQHVQLKPDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGLVTPGSIGIVYCIRPDSSLLLELSYLPNPWHCEPEEVEPVAPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGIIHSLEEDGDMGVAFCFRSKPFSCSVTDVEKVPPFEVGQEIHVLPSVTQPRLGWSNESPATVGKIVRIDMDGALNVRVAGRNNLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSVQETGYLELACCFRKGRWITHYTDVEKVPCFKVGQHVRFRTGLVEPRWGWRGAQPDSRGIITSVHADGEVRIAFYGLPALWRGDPADLEIAQMFEVGEWVRLKEDAGNWKSIGPASIGVVQGIGYDGDEWDGSTYVGFCGEQERWVGPTSHLERVEKLIVGQKVRVKLSVKQPRFGWSGHSHVSVGTISAIDADGKLRIYTPVGSKTWMLDPSEVELVEEEELHIGDWVRVRASVSTPTHQWGEANHSSIGVVHRMEDGELWVAFCFMERLWLCKAWEMERVRPFKVGDKVRIRDGLVTPRWGWGMETHASKGRVVGVDANGKLRIKFQWREGRPWIGDPADIVLDES >Manes.17G031800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22659936:22663993:-1 gene:Manes.17G031800.v8.1 transcript:Manes.17G031800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLLLYLFLLVLRFISSMSIHTITVNQIIKDGAFLISQNNTFALGFFSPGSSSYRYLGIWFHKVPGQTVVWVANRNNPINGSSGFLSINQYGNLVLYANRDQRSPVWSTNISVEATGDCEAQLLDSGNLVLFRGGTSKTIAWQSFDYPTNTLIRGMKFGWDKETGLNRFLTSWRSANDPGSGEYSVRLNPSGSPQFFQYRGANHYWRSVPWPWKKIANIYNYSFFNTEDEIYFRYSYSDPSVTIIMLVDEAGFVKWLTWHETEAQWKEFWSSPKYRCDWYGVCGANSKCEPNNVNTFECTCLPGYEPKFPKDWHLRDGSGGCVRKRLQSSSVCGHGEGFLKVEQVKVPDTAAAIWVDMSMSHLNCERQCKVNCSCSAYASIPIAGKGTGCLAWYGELMDIINFSDNSGYDLYIRVDALELAENRKKFNGFLQTKGMLIVVVLSFSSVWLVIIIFVYFWFCRRRKIGTRKKRLNRKLVDASVGSNYYEDSLGESGLRGSRSPPDLLFFHFSTILAATNNFCATNILGQGGFGTVYKGKLSNGQEIAVKRMSKSSRQGVEEFKNEVMLIAKLQHRNLVKLQGCCMKKKEQMLIYEYLPNKSLDSFLFDQEKRFFLDWTKRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDAEMNPKISDFGMARIFKSDQIQNKTNRVVGT >Manes.17G031800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22659936:22663993:-1 gene:Manes.17G031800.v8.1 transcript:Manes.17G031800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLLLYLFLLVLRFISSMSIHTITVNQIIKDGAFLISQNNTFALGFFSPGSSSYRYLGIWFHKVPGQTVVWVANRNNPINGSSGFLSINQYGNLVLYANRDQRSPVWSTNISVEATGDCEAQLLDSGNLVLFRGGTSKTIAWQSFDYPTNTLIRGMKFGWDKETGLNRFLTSWRSANDPGSGEYSVRLNPSGSPQFFQYRGANHYWRSVPWPWKKIANIYNYSFFNTEDEIYFRYSYSDPSVTIIMLVDEAGFVKWLTWHETEAQWKEFWSSPKYRCDWYGVCGANSKCEPNNVNTFECTCLPGYEPKFPKDWHLRDGSGGCVRKRLQSSSVCGHGEGFLKVEQVKVPDTAAAIWVDMSMSHLNCERQCKVNCSCSAYASIPIAGKGTGCLAWYGELMDIINFSDNSGYDLYIRVDALELAENRKKFNGFLQTKGMLIVVVLSFSSVWLVIIIFVYFWFCRRRKIGTRKKRLNRKLVDASVGSNYYEDSLGESGLRGSRSPPDLLFFHFSTILAATNNFCATNILGQGGFGTVYKGKLSNGQEIAVKRMSKSSRQGVEEFKNEVMLIAKLQHRNLVKLQGCCMKKKEQMLIYEYLPNKSLDSFLFDQEKRFFLDWTKRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDAEMNPKISDFGMARIFKSDQIQNKTNRVVGTYGYMSPEYAIFGKFSIKSDVFSFGVILLEIISGKRSNGFHQEDASLSLIGHVWELWREGRALEIVDSSLEESYNPYEVLRCIQIGLLCVQEGHLPTPAALE >Manes.17G031800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22659936:22663993:-1 gene:Manes.17G031800.v8.1 transcript:Manes.17G031800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLLLYLFLLVLRFISSMSIHTITVNQIIKDGAFLISQNNTFALGFFSPGSSSYRYLGIWFHKVPGQTVVWVANRNNPINGSSGFLSINQYGNLVLYANRDQRSPVWSTNISVEATGDCEAQLLDSGNLVLFRGGTSKTIAWQSFDYPTNTLIRGMKFGWDKETGLNRFLTSWRSANDPGSGEYSVRLNPSGSPQFFQYRGANHYWRSVPWPWKKIANIYNYSFFNTEDEIYFRYSYSDPSVTIIMLVDEAGFVKWLTWHETEAQWKEFWSSPKYRCDWYGVCGANSKCEPNNVNTFECTCLPGYEPKFPKDWHLRDGSGGCVRKRLQSSSVCGHGEGFLKVEQVKVPDTAAAIWVDMSMSHLNCERQCKVNCSCSAYASIPIAGKGTGCLAWYGELMDIINFSDNSGYDLYIRVDALELAENRKKFNGFLQTKGMLIVVVLSFSSVWLVIIIFVYFWFCRRRKIGTRKKRLNRKLVDASVGSNYYEDSLGESGLRGSRSPPDLLFFHFSTILAATNNFCATNILGQGGFGTVYKGKLSNGQEIAVKRMSKSSRQGVEEFKNEVMLIAKLQHRNLVKLQGCCMKKKEQMLIYEYLPNKSLDSFLFDQEKRFFLDWTKRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDAEMNPKISDFGMARIFKSDQIQNKTNRVVGT >Manes.17G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22659936:22663993:-1 gene:Manes.17G031800.v8.1 transcript:Manes.17G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLLLYLFLLVLRFISSMSIHTITVNQIIKDGAFLISQNNTFALGFFSPGSSSYRYLGIWFHKVPGQTVVWVANRNNPINGSSGFLSINQYGNLVLYANRDQRSPVWSTNISVEATGDCEAQLLDSGNLVLFRGGTSKTIAWQSFDYPTNTLIRGMKFGWDKETGLNRFLTSWRSANDPGSGEYSVRLNPSGSPQFFQYRGANHYWRSVPWPWKKIANIYNYSFFNTEDEIYFRYSYSDPSVTIIMLVDEAGFVKWLTWHETEAQWKEFWSSPKYRCDWYGVCGANSKCEPNNVNTFECTCLPGYEPKFPKDWHLRDGSGGCVRKRLQSSSVCGHGEGFLKVEQVKVPDTAAAIWVDMSMSHLNCERQCKVNCSCSAYASIPIAGKGTGCLAWYGELMDIINFSDNSGYDLYIRVDALELAENRKKFNGFLQTKGMLIVVVLSFSSVWLVIIIFVYFWFCRRRKIGTRKKRLNRKLVDASVGSNYYEDSLGESGLRGSRSPPDLLFFHFSTILAATNNFCATNILGQGGFGTVYKGKLSNGQEIAVKRMSKSSRQGVEEFKNEVMLIAKLQHRNLVKLQGCCMKKKEQMLIYEYLPNKSLDSFLFDQEKRFFLDWTKRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDAEMNPKISDFGMARIFKSDQIQNKTNRVVGTYGYMSPEYAIFGKFSIKSDVFSFGVILLEIISGKRSNGFHQEDASLSLIGHVWELWREGRALEIVDSSLEESYNPYEVLRCIQIGLLCVQEGAVDRPTMSAVVLMLSSETTLPSPKQPAFIFRTSSNTSSPRVGEGSSSVNELSITAVATR >Manes.17G031800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22659936:22663993:-1 gene:Manes.17G031800.v8.1 transcript:Manes.17G031800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLLLYLFLLVLRFISSMSIHTITVNQIIKDGAFLISQNNTFALGFFSPGSSSYRYLGIWFHKVPGQTVVWVANRNNPINGSSGFLSINQYGNLVLYANRDQRSPVWSTNISVEATGDCEAQLLDSGNLVLFRGGTSKTIAWQSFDYPTNTLIRGMKFGWDKETGLNRFLTSWRSANDPGSGEYSVRLNPSGSPQFFQYRGANHYWRSVPWPWKKIANIYNYSFFNTEDEIYFRYSYSDPSVTIIMLVDEAGFVKWLTWHETEAQWKEFWSSPKYRCDWYGVCGANSKCEPNNVNTFECTCLPGYEPKFPKDWHLRDGSGGCVRKRLQSSSVCGHGEGFLKVEQVKVPDTAAAIWVDMSMSHLNCERQCKVNCSCSAYASIPIAGKGTGCLAWYGELMDIINFSDNSGYDLYIRVDALELAENRKKFNGFLQTKGMLIVVVLSFSSVWLVIIIFVYFWFCRRRKIGTRKKRLNRKLVDASVGSNYYEDSLGESGLRGSRSPPDLLFFHFSTILAATNNFCATNILGQGGFGTVYKGKLSNGQEIAVKRMSKSSRQGVEEFKNEVMLIAKLQHRNLVKLQGCCMKKKEQMLIYEYLPNKSLDSFLFDQEKRFFLDWTKRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDAEMNPKISDFGMARIFKSDQIQNKTNRVVGT >Manes.09G077179.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11869592:11872946:-1 gene:Manes.09G077179.v8.1 transcript:Manes.09G077179.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTTNSLSDSCDHKESSPLLDKSIEEHNKKPTTISGTKVAASPPMEKEKSAALELGRPEYEWTANGLPLNHGSVVGEPMGRAQWDSSLFACLGRNDEFCSSDLEVCLLGSVAPCVLYGSNAERLGSSPGTFANHCLPYTGLYVIGNSLFGWNFLAPWFSYPSRTAIRRRFNLEGSCEALNRSCGCCGSCVDDELQREQCESACDFATHVFCHLCALCQEGREIRRRVPHPGFNAQPVLVMIPPGEQAMARGA >Manes.02G060500.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4695143:4699987:1 gene:Manes.02G060500.v8.1 transcript:Manes.02G060500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFREALNGDCCGNERLERKPSGRRRVFVQTETGFVLGMELDRSDNAHTVKRKLQLALNIPTEERSLIYGDTVLKNDLSTVRNDSPLLLTKNFMHRSSSTPCLSPTVKDVQQSDQSGPIEVLGFSDHFARVKELGKEIMEAMRIGVDPIPIHSGLGGAYYFKNCHGENVAIVKPTDEEPYAPNNPKGFVGQALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPSTALVKITHSIFNVNCGVKGNKQQHRKQVSKIASLQQFIPHDFDASDYGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGIGRFGQVELVPIDHGLCLPESLEDPYYEWIHWPQASIPFSEDELEYINCLDPLKDSDMLRRELPMIREACLRVLVVCTVFLKEAAAFGLCLAEIGEMMSREFRGHMEEPSELELICIQTRSLLGEIECLSSEVKAADSEECQFDIDCEELDSTLNIGEKLEMQPLCPGSRGVRVQTPLSKLMESPEEEKEEEEIEDGEANGLSLRADEYADSKQDHVPNNAEMSVLKNISIGKKSWQHDGMMLKSGYLAGTSSVNRSANEQLAASSTFVKLADLTEGEWMQFLEHFQRLLCPAFANRKSGNIGQRHRQRLGTSCQF >Manes.02G060500.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4695143:4699987:1 gene:Manes.02G060500.v8.1 transcript:Manes.02G060500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFREALNGDCCGNERLERKPSGRRRVFVQTETGFVLGMELDRSDNAHTVKRKLQLALNIPTEERSLIYGDTVLKNDLSTVRNDSPLLLTKNFMHRSSSTPCLSPTVKDVQQSDQSGPIEVLGFSDHFARVKELGKEIMEAMRIGVDPIPIHSGLGGAYYFKNCHGENVAIVKPTDEEPYAPNNPKGFVGQALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPSTALVKITHSIFNVNCGVKGNKQQHRKQVSKIASLQQFIPHDFDASDYGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGIGRFGQVELVPIDHGLCLPESLEDPYYEWIHWPQASIPFSEDELEYINCLDPLKDSDMLRRELPMIREACLRVLVVCTVFLKEAAAFGLCLAEIGEMMSREFRGHMEEPSELELICIQTRSLLGEIECLSSEVKAADSEECQFDIDCEELDSTLNIGEKLEMQPLCPGSRGVRVQTPLSKLMESPEEEKEEEEIEDGEANGLSLRADEYADSKQDHVPNNAEMSVLKNISIGKKSWQHDGMMLKSGYLAGTSSVNRSANEQLAASSTFVKLADLTEGEWMQFLEHFQRLLCPAFANRKSGNIGQRHRQRLGTSCQF >Manes.02G060500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4695143:4699987:1 gene:Manes.02G060500.v8.1 transcript:Manes.02G060500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFREALNGDCCGNERLERKPSGRRRVFVQTETGFVLGMELDRSDNAHTVKRKLQLALNIPTEERSLIYGDTVLKNDLSTVRNDSPLLLTKNFMHRSSSTPCLSPTVKDVQQSDQSGPIEVLGFSDHFARVKELGKEIMEAMRIGVDPIPIHSGLGGAYYFKNCHGENVAIVKPTDEEPYAPNNPKGFVGQALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPSTALVKITHSIFNVNCGVKGNKQQHRKQVSKIASLQQFIPHDFDASDYGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGIGRFGQVELVPIDHGLCLPESLEDPYYEWIHWPQASIPFSEDELEYINCLDPLKDSDMLRRELPMIREACLRVLVVCTVFLKEAAAFGLCLAEIGEMMSREFRGHMEEPSELELICIQTRSLLGEIECLSSEVKAADSEECQFDIDCEELDSTLNIGEKLEMQPLCPGSRGVRVQTPLSKLMESPEEEKEEEEIEDGEANGLSLRADEYADSKQDHVPNNAEMSVLKNISIGKKSWQHDGMMLKSGYLAGTSSVNRSANEQLAASSTFVKLADLTEGEWMQFLEHFQRLLCPAFANRKSGNIGQRHRQRLGTSCQF >Manes.02G060500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4695143:4699987:1 gene:Manes.02G060500.v8.1 transcript:Manes.02G060500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFREALNGDCCGNERLERKPSGRRRVFVQTETGFVLGMELDRSDNAHTVKRKLQLALNIPTEERSLIYGDTVLKNDLSTVRNDSPLLLTKNFMHRSSSTPCLSPTVKDVQQSDQSGPIEVLGFSDHFARVKELGKEIMEAMRIGVDPIPIHSGLGGAYYFKNCHGENVAIVKPTDEEPYAPNNPKGFVGQALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPSTALVKITHSIFNVNCGVKGNKQQHRKQVSKIASLQQFIPHDFDASDYGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGIGRFGQVELVPIDHGLCLPESLEDPYYEWIHWPQASIPFSEDELEYINCLDPLKDSDMLRRELPMIREACLRVLVVCTVFLKEAAAFGLCLAEIGEMMSREFRGHMEEPSELELICIQTRSLLGEIECLSSEVKAADSEECQFDIDCEELDSTLNIGEKLEMQPLCPGSRGVRVQTPLSKLMESPEEEKEEEEIEDGEANGLSLRADEYADSKQDHVPNNAEMSVLKNISIGKKSWQHDGMMLKSGYLAGTSSVNRSANEQLAASSTFVKLADLTEGEWMQFLEHFQRLLCPAFANRKSGNIGQRHRQRLGTSCQF >Manes.12G148634.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35604506:35607025:1 gene:Manes.12G148634.v8.1 transcript:Manes.12G148634.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYSHNNARREDLYATALEFRLLRQHGYKIPQEIFNSFQDEVGNFKKCLCEDWEGMLSLYEASFLSDESEDILQNARDFTTTCLRKFVQQSQDQNLSNLVSHALEIPLHWRMLRLETRWFIDVYERKQGMNPLLLELAKLDFNNVQMIHQNDLKHMSRWWRSTGLGEKLSFARDRLMENFFWSIGVIFKPQFSYCRRMLTKLTALITTIDDIYDVYGTLDELELFTDAVQRWDVNAVEQLPDYMKICYLSLHNSINEIAFDFLREQGIHIVPYLKRAWANLCKSYLLEARWYYNGYTPSLQEYIDNAWISISGPVILIHAFFLINSPISNDALKCLEEYSSIIRCSSMIFRFADDLGTSSDELKRGDVPKSIQCYMHETGASEKEARDHIRFLISETWKEMNEEKCTDSPFSETFISIAFNLARMAQCMYQHGDGHGIEDRETKDRVVSLLVQPIPCLNKVV >Manes.08G136911.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37368113:37368538:1 gene:Manes.08G136911.v8.1 transcript:Manes.08G136911.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDYMGSFFWCFLVIHDFSSPFINCYIEISSVLLTGYSFLYSFLITNKWVTAHFSVLTTLLLPVFSYSFCFFIHIYLLLFFFFFFYLTAPTSLLYSTFRFYCCCFFITSSSEFFFSLFVACAHVCWYYTTSSSYLSSLLS >Manes.12G138100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34451696:34455729:1 gene:Manes.12G138100.v8.1 transcript:Manes.12G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEREQENPPSSPKHFNQTMAPLAIESATSAQEMLDLEKNGHAERAQWVLNPPEPPSLWRELKDCMRETLLPQCSNFLSSRNQTGFKTVISVLQAMFPILTWSRNYKATKFKNDVLAGLTLASLCIPQGIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSREIAIGPVAVVSLLLSSMIQKVQDPESNPAAYRNLVLTTTFFAGIFQASFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLAITHFTNKTDVISVLKSTWISVHHYWNPHNFILGCSFLIFILSARFVGKRNKKLFWLPAIAPLVSVVLSTLIVFLTRADNHGVKIIKHIHGGLNPSSVHLLEFNNPHIGQVAKIGVIVAIVALTEAIAVGRSFASVKGYHIDGNKEMIAMGIMNIAGSFSSCYVATGSFSRSAVNFSAGCETAVSNIVMAITVIICLEFFTKLLYFTPVAILASVIISALPGLIDLNEIYNIWKVDKLDFLACIGAFFGVLFASVEIGLLAAVTISFVKIIIVSIRPGTEILGRLPGTDTFCDVDQYPMAIKTPPVLIIRIKSGFLCFANANFVKENIMKWATEGEAKDTKGIGKRTFQLVILDMSNLTNIDIAGIACLEELRKNLVSNGMELAITNPRWQVIHKLKLANFVGKLGEKIYLSVGEAVDACLNPKIAAI >Manes.12G138100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34451696:34455729:1 gene:Manes.12G138100.v8.1 transcript:Manes.12G138100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEREQENPPSSPKHFNQTMAPLAIESATSAQEMLDLEKNGHAERAQWVLNPPEPPSLWRELKDCMRETLLPQCSNFLSSRNQTGFKTVISVLQAMFPILTWSRNYKATKFKNDVLAGLTLASLCIPQGIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSREIAIGPVAVVSLLLSSMIQKVQDPESNPAAYRNLVLTTTFFAGIFQASFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLAITHFTNKTDVISVLKSTWISVHHYWNPHNFILGCSFLIFILSARFVGKRNKKLFWLPAIAPLVSVVLSTLIVFLTRADNHGVKIIKHIHGGLNPSSVHLLEFNNPHIGQVAKIGVIVAIVALTEAIAVGRSFASVKGYHIDGNKEMIAMGIMNIAGSFSSCYVATGSFSRSAVNFSAGCETAVSNIVMAITVIICLEFFTKLLYFTPVAILASVIISALPGLIDLNEIYNIWKVDKLDFLACIGAFFGVLFASVEIGLLAALQVTISFVKIIIVSIRPGTEILGRLPGTDTFCDVDQYPMAIKTPPVLIIRIKSGFLCFANANFVKENIMKWATEGEAKDTKGIGKRTFQLVILDMSNLTNIDIAGIACLEELRKNLVSNGMELAITNPRWQVIHKLKLANFVGKLGEKIYLSVGEAVDACLNPKIAAI >Manes.17G037200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21032930:21033322:-1 gene:Manes.17G037200.v8.1 transcript:Manes.17G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKARTITTTFPEKMSASTPVAIGTRGTVGSLLRKEIEYFTKIELDRCASSRRTRGQMVDMASRSEHSISRPSFWSLSLNWKRKKRRGNSSVFLPSICSAVEVADTNRLNGIPGFSYKILTNDEKGMTV >Manes.14G005600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1648093:1650482:-1 gene:Manes.14G005600.v8.1 transcript:Manes.14G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNGYQGNTPVAAPTGTPSKQTVPTAKTVDTQSVLKRLQSELMALMMSGESGISAFPEEDNIFCWKGTISGSKDTVFEGTEYKLSFSFPNDYPFKPPKVKFETSCFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKMVEKLYKPSSA >Manes.06G007200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1201218:1203686:-1 gene:Manes.06G007200.v8.1 transcript:Manes.06G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYDGDSELQLERVNVYYNEVSNGRYVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLISRGSQQYRSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEDVEFEVDDDEDVHEN >Manes.11G096000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18917375:18920203:1 gene:Manes.11G096000.v8.1 transcript:Manes.11G096000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLIYLGKGSFFLDGILGRPKAEWTPTRDAYLVELFIEQHNCGRTAYNEFKNEVIRSVTHDFNKKFGMSLEENQIKNRYNVMKKEYGVVKTLLSHTGFGWDETRQMVVADDKVWDSYIAVRSEARPFRRKSFPLYKQMSIIFEGERVGGKFLVPSGLPVETEEGNSNTETVRSSEPVNLATQVVDGTLDSDSIIRINDIQPRKRKFVAGSASGRKRRACDNVGETLDNALYEMFSAATMRAVQMNAMNEKTMYQKCLEDLQKLEELDDNEFINGVNVLKDDKNAIAFMTIKGPRRLMWLRSLWQV >Manes.08G099122.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33165879:33167639:1 gene:Manes.08G099122.v8.1 transcript:Manes.08G099122.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPSPADQSQAIIDPIADLSQKLFQLIQNSQNGNQKSANQFNLDSAQPPSDIKLNDSNYVVWAKMMEMFITGRGKSNHLTGTPSPPTETDPAIYLWQTNDSIVRGWLIQTHKTSKGLWDALKIRFNTGSNKLIIYELQSKAYKLTQQGSNLEDLYNDLQAIWAKIDERQPTRIEGDNNITIRNREIQEERLYLFLAGVQSDLDLVCREILNEEPLPTLDNAYSRLRGEKLRRAIHLPLPSPATAGSDLVGAGLLAKNRSDTDKSSLRDDKSGLKCTHCGGSRHTRDGCFKIIGYPEWWEENNIRKKKGKGQGAGNMATDIHTGTVLGRGTEKDGLYYVEEVSSTGSAHLAQGSSTRQLWLWHRRYGHPS >Manes.06G078066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21439286:21439742:1 gene:Manes.06G078066.v8.1 transcript:Manes.06G078066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKSISALKGGFSSEACQKKKKSIILEVQALAANYNLMLDDARQFSLEESF >Manes.05G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4266240:4268535:-1 gene:Manes.05G051000.v8.1 transcript:Manes.05G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDAIKILVTGAAGQIGYALVPMIARGIMLGLDQPVILHMLDVEPAAKALEGVKMELIDAAFPLLQGIIATTDVIEACRGVNIAVMVGGFPCKEGMERKDVMPRNVPIFRAQASALEQHAAPDCKVLVIANPANTNALILKESAPSIPAKSITCLTRLDHNRALSHIADRLNVQASNVKNVIIWGNHSSSQYPDAHHAIAITSIGEKSVKELIADDHWLDTEYVAFVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGIEPGLIYSFPVTCQKGEWSIVQGLNINEFSREKLDATAKELVEEKSLAYSCLDN >Manes.15G061900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4705398:4711802:1 gene:Manes.15G061900.v8.1 transcript:Manes.15G061900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSITTTLIPSLATSSSFSSKPTTPTKLFAASSSLSVISNSVNVAFSSLKLSPSIPRAFAAPETLDSQDTLDLPPEALDEPDSDTFQIEDSKTPTSPSLSIGADADKMAPKQKIRIKLRSYWVPLIEDSCKQIIDAARNTNANTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Manes.15G061900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4705393:4708062:1 gene:Manes.15G061900.v8.1 transcript:Manes.15G061900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSITTTLIPSLATSSSFSSKPTTPTKLFAASSSLSVISNSVNVAFSSLKLSPSIPRAFAAPETLDSQDTLDLPPEALDEPDSDTFQMAPKQKIRIKLRSYWVPLIEDSCKQIIDAARNTNANTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Manes.15G061900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4705348:4708671:1 gene:Manes.15G061900.v8.1 transcript:Manes.15G061900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSITTTLIPSLATSSSFSSKPTTPTKLFAASSSLSVISNSVNVAFSSLKLSPSIPRAFAAPETLDSQDTLDLPPEALDEPDSDTFQIEDSKTPTSPSLSIGADADKMAPKQKIRIKLRSYWVPLIEDSCKQIIDAARNTNANTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Manes.14G087800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7268613:7277477:-1 gene:Manes.14G087800.v8.1 transcript:Manes.14G087800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTPDGYVATVTMSNFQMYRHIMNPGWTLSWSWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVVAAWGQDPTASVSAFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTIFFTPDHRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETITPCPSCACGCQNKNNCIMSNSKEAHRKGVNTPKKDNSPLLQCTHHMCPIRVHWHVKINYREYWRAKVAVTNFNYRLNYTQWTLVIQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPFGNVQSEVLLRKDKNTFTLKEGWGFPRKVYFNGDECKMPPPDAYPYLPNSAYVNLVAFSTMAAPLVLTLLTIW >Manes.14G087800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7268613:7273172:-1 gene:Manes.14G087800.v8.1 transcript:Manes.14G087800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFCACGLNLGRTVNFHGCPFDAGDLELLKYVLKKGRIALISAAAYDPLDPNGNITIKWDVMSWTPDGYVATVTMSNFQMYRHIMNPGWTLSWSWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVVAAWGQDPTASVSAFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTIFFTPDHRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETITPCPSCACGCQNKNNCIMSNSKEAHRKGVNTPKKDNSPLLQCTHHMCPIRVHWHVKINYREYWRAKVAVTNFNYRLNYTQWTLVIQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPFGNVQSEVLLRKDKNTFTLKEGWGFPRKVYFNGDECKMPPPDAYPYLPNSAYVNLVAFSTMAAPLVLTLLTIW >Manes.14G087800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7268613:7277477:-1 gene:Manes.14G087800.v8.1 transcript:Manes.14G087800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKYSKLSYKVSFHSTKYQPWYLFAQMKFICVVSLVFMMLPNAGKLSCSAYESAVHDFDFHFSFSFFCFHMIAAAYDPLDPNGNITIKWDVMSWTPDGYVATVTMSNFQMYRHIMNPGWTLSWSWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVVAAWGQDPTASVSAFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTIFFTPDHRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETITPCPSCACGCQNKNNCIMSNSKEAHRKGVNTPKKDNSPLLQCTHHMCPIRVHWHVKINYREYWRAKVAVTNFNYRLNYTQWTLVIQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPFGNVQSEVLLRKDKNTFTLKEGWGFPRKVYFNGDECKMPPPDAYPYLPNSAYVNLVAFSTMAAPLVLTLLTIW >Manes.14G087800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7268613:7273172:-1 gene:Manes.14G087800.v8.1 transcript:Manes.14G087800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKYSKLSYKVSFHSTKYQPWYLFAQMKFICVVSLVFMMLPNAAAYDPLDPNGNITIKWDVMSWTPDGYVATVTMSNFQMYRHIMNPGWTLSWSWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVVAAWGQDPTASVSAFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTIFFTPDHRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETITPCPSCACGCQNKNNCIMSNSKEAHRKGVNTPKKDNSPLLQCTHHMCPIRVHWHVKINYREYWRAKVAVTNFNYRLNYTQWTLVIQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPFGNVQSEVLLRKDKNTFTLKEGWGFPRKVYFNGDECKMPPPDAYPYLPNSAYVNLVAFSTMAAPLVLTLLTIW >Manes.14G087800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7268613:7273172:-1 gene:Manes.14G087800.v8.1 transcript:Manes.14G087800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKYSKLSYKVSFHSTKYQPWYLFAQMKFICVVSLVFMMLPNAGKLSCSAYESAVHDFDFHFSFSFFCFHMIAAAYDPLDPNGNITIKWDVMSWTPDGYVATVTMSNFQMYRHIMNPGWTLSWSWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFTNCCKGGVVAAWGQDPTASVSAFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTIFFTPDHRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETITPCPSCACGCQNKNNCIMSNSKEAHRKGVNTPKKDNSPLLQCTHHMCPIRVHWHVKINYREYWRAKVAVTNFNYRLNYTQWTLVIQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPFGNVQSEVLLRKDKNTFTLKEGWGFPRKVYFNGDECKMPPPDAYPYLPNSAYVNLVAFSTMAAPLVLTLLTIW >Manes.04G156400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35122114:35138765:-1 gene:Manes.04G156400.v8.1 transcript:Manes.04G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRRAILQPGPPESFALQTVQEFIKPQRQTKLAQDENQLLENMLRTLLQELVSSAVQSGEEIMQYGQSIDDRENSQGQIPRLLDVVLYLCEREHVEGGMIFQLLEDLTEMSTMRNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKDPPAGMSVDFNFYKTLWSLQEHFCNPASLTLAPTKWQKFTSSLMVVLNTFEAQPLSEEEGDANNLEEEAATFNIKYLTSSKLMGLELKDPSFRRHILVQCLILFDYLKAPGKNDKDLTSDSMKEEIKTCEERVKKLLETTPPKGKDFLEKVEHILEREKNWVWWKRDGCPPFEKQPIESKMVQDGTKKRRPRWRLGNKELSQLWKWADQNPNALTDPHRVRTPAITEYWKPLAEDMDPSAGIEAEYHHKNNRVYCWKGLRFSARQDLDGFSRFTDHGIEGVVPLELLPPDVRSKYQAKPNDRSKRAKKEDAKGTSNLAEENQIATSASEIDGEGARADDASTAPMDNDAMATTVSTSQGGTPTPEELQKQSPDTDVGQEAGQLEADGEVEAGMIDGETDAEVDLEAVS >Manes.05G183400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30171687:30174011:1 gene:Manes.05G183400.v8.1 transcript:Manes.05G183400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAREPKPVKKLGELLQEQQEPFVLKLFLVERGYLRKSFNGGSSFPCCHGNSRKSLKSSFNLGLKSSKKGISHCPKILRNVYNQVMSFNQRLRIKTSNHRDENVDDAENKENRGGGELERFSSASSSTVFNSCSESDAEETSTSQQKDHISFTTNTPESFRLCNLIENEAVTDRKLPWKCIEESRQLRPVSVLEEVASHRGCPLYNKCFNTVKEKNPSRKVTEDSILSASLWKILFHSVTEKPTFPGISEIQELVQSQQLKSKSTLQQTRQLLFDCIREIVEKQRRKQKEKQQQEQRKYLASEELGKLVEEKIKLWGKQSGEESTLNEQLDLEFRDSAQEWNGYEPQRRNIGCEIGDAILEEISSEIVVEMIDFLLPIITG >Manes.10G127566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29093954:29095574:1 gene:Manes.10G127566.v8.1 transcript:Manes.10G127566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAQLVFVPTPGMGHLISAVELAKLLLSRDYRLSITVLIIDIPLLNSKLHNYLESLQDSSFVLSNRLRFIELPKDDPELSNFHSFFERQKPSVKEVVLKLTQAESNADSPRLVGFVLDMFCTPMMDLADEFGIPSYIFFASGAAFLELMLYVQKIHDDENFNPIEFKDSHTELIVPSLVNPFPTRILPSPILNKERFGQLLVLARKFRQAKGIIVNTFLELESSAIESFKVPPLYHVGPILEVKSDGTNTHPEIMQWRDDQPAGSVVFLCFGSMGSFSKDQLKEIAYALENSGHRFLWSIRRPPPPDKIASPTDYEDPREVLPEGFLERTVAVGKVIGWAPQVAVLAHPAIGGFVSHCGWNSVLESLWFGVPIATWPMYAEQQFNAFEMVVELGLAVEIDMGYRKESGLIVNSDKIERAIRNLMENSDEKRKKVTEMREKSKTALIDGGSSFISLGDFIKDAMEG >Manes.14G074500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6241286:6243681:-1 gene:Manes.14G074500.v8.1 transcript:Manes.14G074500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSNFSVLLQSQQRPSTSQPLDAFFLTGSSPSFLGSRSMVSFEDVHQINGSTRPFFRSFDHEENVDDELDEYFHQPEKKRRLSIDQVRFLEKSFEIENKLEPERKNQLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYEALQTSYNSLKADCDTLLKEKDKLNAEVNLLTEKLLLREEKVKLESSEKEILSEEPQKKPIGDSASEDEGSKISSVASEQSDLSQDEEDNLSKGLLPAYVFPKLEDADYSDPPASFEDNALWCWSY >Manes.14G074500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6241286:6243681:-1 gene:Manes.14G074500.v8.1 transcript:Manes.14G074500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSNFSVLLQSQQRPSTSQPLDAFFLTGSSPSFLGSRSMVSFEDVHQINGSTRPFFRSFDHEENVDDELDEYFHQPEKKRRLSIDQVRFLEKSFEIENKLEPERKNQLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYEALQTSYNSLKADCDTLLKEKDKLNAEVNLLTEKLLLREEKVKLESSEKEILSEEPQKKPIGDSASEDEGSKISSVACKQEDISSAKSDIFDSDSPHYTDGVHSSLFEAEQSDLSQDEEDNLSKGLLPAYVFPKLEDADYSDPPASFEDNALWCWSY >Manes.17G039800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23177575:23179548:-1 gene:Manes.17G039800.v8.1 transcript:Manes.17G039800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKREREMYDNFAELYAIIKATEKLEKAYIRDIISSSEYETECQKLIAHFKTLASTLKDIVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAATVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLSILPPDFEGKTKMKEWISRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >Manes.17G039800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23177575:23179548:-1 gene:Manes.17G039800.v8.1 transcript:Manes.17G039800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLWNDKREREMYDNFAELYAIIKATEKLEKAYIRDIISSSEYETECQKLIAHFKTLASTLKDIVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAATVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLSILPPDFEGKTKMKEWISRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >Manes.13G121700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33019982:33020833:-1 gene:Manes.13G121700.v8.1 transcript:Manes.13G121700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHLRSISLPSRSHPLAVNIEEQLGKLRASQYSSIGHKLSGLKNLFESVDDFLQLSFAQQTISNERQSQSIENAMNGSLELLDICDTTRDLFSQMKECLQELELSLRRRKVTDSSFTVEVDAYMVSRKKLNKAICKCLRNLKKKEKNCTTATLDNNSNLENMITMLRGVQEISLVVFESILSFISQPKVKSSSSGWFVIPKLLQSKRVSCEVEIEVNEVEKIDAELLILKSSKDIKVSQLQNLLKELEAFESSIKEAEEDLECVYRRLVKTRVSLLNILNH >Manes.11G011700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1171012:1174645:-1 gene:Manes.11G011700.v8.1 transcript:Manes.11G011700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDSSDEEDDRHTLIEQNDRKPNHHHNHSSPQRRSTSTFHIEETESFGGSIRRRFFRNFNKRYYYYLLAIFLPLLIVVIIFSADIRNMFSANLSALRLDSVSDRMREAELQALYLLGQQQLSLLSLLNQSFPFHNNNSNTLSNSNSNTSVNSNASFEFNNLEEQGLRFKIEDLRSALLKQISLNKQIQQVLLSPHESANVNVSAPDFVGSGFGYDRCRKVESRFSDRKTIDWKPRSDKFLFAICLSGQMSNHLICLEKHMFFAALLNRVLVMPSSKFDFQYSRVLDIEHINHCLGRKVVVTFEEFAQMRKNHVHIDRFICYFSSPVPCYVDEEHVKRLKGLGISMGKLESPWKEDVKKPSKKTVQDVQAKFTANNDVIAIGDVFFADVEKEWVLQPGGPLAHKCKTLIEPSRLIILTAQRFIQTFLGKNFIALHFRRHGFLKFCNVKKPSCFYPIPQAADCIARVVERANAPVMYLSTDAAESETDLLQSLILVNGKAVPLVKRPSHTSVEKWDALLSRHGIEGDSQVEAMLDKTICAMSSVFIGASGSTFTEDILRLRKDWESASLCDEYLCQGELPNFIAEDE >Manes.04G138500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33784634:33786464:-1 gene:Manes.04G138500.v8.1 transcript:Manes.04G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREDQKPLVRAKVPLSILGLPFQSGIVAGESKELTLNLATFFESGPSIKIAYRPNDAWNPFSLIVKTGTGPFGSPMSSSMLMSAEFNLLGRGNPSFMLHFKPRFGDFSIKKSQSSSVFEKSGNMFGHLPCNDDGSIEVVESPMINGGGIGVMNGISGKKVAVLPPPTARAIASAFSGVEVTASTKLPVRSRAAINFRWGVRVPAEFKNGTGGDSMAGINLRKIPFLVMNKIGIEHIDGDESKSKSKGMASAPTKLSSELTNSDMAEACLAVKRQLEVLQAENGLLKKAVEDLRQEIASGKLGLPDFNSGKYREIERNGMKNVNGSRIERRSNEKKSMEGDVNEELKKALKGTAGIGA >Manes.12G059000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5031918:5034018:1 gene:Manes.12G059000.v8.1 transcript:Manes.12G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRHLSSLNPSSSLKSRFTKAFLRSLLKINKKTPFPYRPKEVFHRCRRVKVAADKSLACAVGSRRAWSRAMLCKIRRRALRRGRKQRPSVRRIIVGNQTTNWGYCLKKKKMSDDQEVGIDQASKLRKLVPGGEAMDLCSLLDEAAHYIKCLNTQVQVMRRIANFYSP >Manes.12G059000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5031900:5034018:1 gene:Manes.12G059000.v8.1 transcript:Manes.12G059000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRHLSSLNPSSSLKSRVKVAADKSLACAVGSRRAWSRAMLCKIRRRALRRGRKQRPSVRRIIVGNQTTNWGYCLKKKKMSDDQEVGIDQASKLRKLVPGGEAMDLCSLLDEAAHYIKCLNTQVQVMRRIANFYSP >Manes.01G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32883433:32885825:-1 gene:Manes.01G135800.v8.1 transcript:Manes.01G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAAQKPLLHGLMKMAGVKSHLVEIEPGTVMNFWVPVETIKKQKPKKGEKTNQTVTKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSLYIPDLLFFGGSITDKTDRSPTFQAETLVKGLKQLGVEKCVVVGFSYGGMVAFKMAELYPELVEAMVVSGSILAMTDSISNSTLSSLGFKSSSELLLPSSVKGLKALLSVAVHKKLWFPNRLHKDFLEVMFANRKERAELLEGLIISNKDHTIPTFPQKIHLLWGENDQIFNLELAQNMKEQLGENATLEGIKKAGHLVHLERPCVYNRLLKHFLASLQAVQAHK >Manes.01G135800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32883433:32885825:-1 gene:Manes.01G135800.v8.1 transcript:Manes.01G135800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAAQKPLLHGLMKMAGVKSHLVEIEPGTVMNFWVPVETIKKQKPKKGEKTNQTVTKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSLYIPDLLFFGGSITDKTDRSPTFQAETLVKGLKQLGVEKCVVVGFSYGGMVAFKMAELYPELVEAMVVSGSILAMTDSISNSTLSSLGFKSSSELLLPSSVKGLKALLSVAVHKKLWFPNRLHKDFLEVMFANRKERAELLEGLIISNKDHTIPTFPQATR >Manes.01G135800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32883433:32885825:-1 gene:Manes.01G135800.v8.1 transcript:Manes.01G135800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAAQKPLLHGLMKMAGVKSHLVEIEPGTVMNFWVPVETIKKQKPKKGEKTNQTVTKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSLYIPDLLFFGGSITDKTDRSPTFQAETLVKGLKQLGVEKCVVVGFSYGGMVAFKMAELYPELVEAMVVSGSILAMTDSISNSTLSSLGFKSSSELLLPSSVKGLKALLSVAVHKKLWFPNRLHKDFLEATR >Manes.13G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1989761:1993609:1 gene:Manes.13G013300.v8.1 transcript:Manes.13G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTCLMRSFSHPSNASREAKEGDPLRALTESISFGRFMSESLAWEKWSTFSHNRYLEEVEQFSKPGSVAQKRAYFEAHYKKRAAMKAAALLEQANAAGNGDPQVEPAANDVPEVKNAEETQNDCPSDSASAETTSDVLINIQQEQDVLDLANSADANAFHPNSEKDNLQNATVERAEETIEEKVEGENLIQVENSKQLDTAEDCNKIAAAPEEKIPKHEAAEKENVALPNNKRQMNFLSKSSSHSRASKLPKSSTKQTSSTQLKGGTPNSKKSVVDLIDKRRLAPKSVHMSINLAPSSGETNKTSARISKESSTTTKNPTRASVYGISKLLPSINRQSEDKRTRSLFNKSVSGGTMSGGILQALSGDRAKSSTSSGSKARSPIISSPFSFRSEERAAKRKEFFQKLEEKNNPKEVEKTHVQAKSKQIPLTRPRSPKLGRKPSSSMIQELNSQVPRRPSVNAESSKRVVQKGNQSTTRSVALLPKKKAHENASPNIQR >Manes.13G013300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1989761:1993609:1 gene:Manes.13G013300.v8.1 transcript:Manes.13G013300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTCLMRSFSHPSNASREAKEGDPLRALTESISFGRFMSESLAWEKWSTFSHNRYLEEVEQFSKPGSVAQKRAYFEAHYKKRAAMKAAALLEQANAAGNGDPQVEPAANDVPEVKNAEETQNDCPSDSASAETTSDVLINIQQEQDVLDLANSADANAFHPNSEKDNLQNATVERAEETIEEKVEGENLIQVENSKQLDTAEDCNKIAAAPEEKIPKHEAAEKENVALPNNKRQMNFLSKSSSHSRASKLPKSSTKQTSSTQLKGGTPNSKKSVVDLIDKRRLAPKSVHMSINLAPSSGETNKTSARISKESSTTTKNPTRASVYGISKLLPSINRQSEDKRTRSLFNKSVSGGTMSGGILQALSGDRAKSSTSSGSKARSPIISSPFSFRSEERAAKRKEFFQKLEEKNNPKEVEKTHVQAKSKIPLTRPRSPKLGRKPSSSMIQELNSQVPRRPSVNAESSKRVVQKGNQSTTRSVALLPKKKAHENASPNIQR >Manes.07G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12842029:12848181:-1 gene:Manes.07G068500.v8.1 transcript:Manes.07G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRIDYISELPEHILHRIMSFLPTKQITRNSVLSKTWLKAWKTSPILEFDFYAIFSGNRYGFPDFETREKNKQELYNFVEQILLSRRKQMISLTKFTLIVPFIYRKPEMVSTMDRWIGYALETNVKHLKIKVGPTNDDEDYKYFEPQAVLNAVSIQILDLSHCKLHMPSMGSLSLPFLRKLSLSSVFADDNIINKLIAESPRIEDMSFINCYGIKSLQIFDLDNLIRFYAERIVHIELLTLEAQNLHSFTLRGSFWPSTLKVASLKNLKSLKISNAPITDKWLHEHLNKFHHLTSLSLFHCDMLESIKISSSSLHSLCIYSCGKVARLHIDTPHLHIFSYCGDIISFSSDNLFLPKVHLHLESNNMQISWYARLIELLDKLNQLFKTIALESDTGESYVVPSDLRQLLPSPLHNMKELKLSISCFRHSTVAQLVDAMLCICPHIETLHIDSIIKSYFKFSYQKPTCKTEKDCKSCPVFCWNHCIKEIKVKQISPASTMCWHFDAEDIKVKQVRNHEERNYTFNQDIWENIKSGILDWKI >Manes.06G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2850849:2851822:1 gene:Manes.06G017200.v8.1 transcript:Manes.06G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAEIEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >Manes.06G048800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16037651:16040641:1 gene:Manes.06G048800.v8.1 transcript:Manes.06G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYQRYCRALHSVVNQRSSLLKNLHTYDYARQLLDKNPQPSAVFIHRSMLDCIRKNIPFQAVEIFKKRLQLGFLNSIDEVTIALALKASGGDPLLGSQIHGFAITSGFDSHITVPNALMNMYCKSGQFSEALRIFENLTCPDIVSWNTVLSGFQRSEDALNFAWEMNSSGVLFDAVTYTTVLAFCSRDEEFLFGLQLHSAIMKVGLDSEIFVGNALVTMYSRWGRLLVARRVFEEMPTKDLVSWNAIISGYTQEGIYGLEAIWIFIQMVRRGIQLDHVSFTSAVSACGHEKHLELGRQIHGLSIKRGYGKHVSVGNVLISTYSKCEVIEDAKLVFKNMAECNVVSWTTMISIDEENAVSLFNEMRSDMVYPNDVTFVGLIHALTIGNLEESGKTVHGVCIKSGFLSESSVCNSFITMYAKFGSMQDSIKIFEELNYRETISWNALISGYTQNGSSLEAILTFLSALVESKPNEYTFGSILSAIGAAEDVSLKHGQRCHSQIIKLGFNINAIVSSALLDMYAKRGSICESQRVFAEAPQKTHLAWTAIISAYARHGDYESVMNWFEKMEREGVKPDSITFLSVIAACGRKGMVDMGRHLFDSMLKKYQIEPSSEHYSCMVDMLGRAGRLHEAEELVSRIPGQPGLSVLQSLLGACTIHGNVEMGERVADALIAMDPTESGSYVLMSNLYAEKGKWEEVAKVRKGMREKGVKKEVGFSWVDVGDIDASLTLHAFSSGDKSHPQSKEIYRMAECLGSEMKFLREREQLHYNLMKDTNFRAPHDGPIILHL >Manes.04G129200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33194253:33200015:1 gene:Manes.04G129200.v8.1 transcript:Manes.04G129200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDEETAKKLLHQVEFYFSDSNLPRDDFLMKKVSQSKDSMVSLALICSFSRVRAFLGLGKIRQDDIPHRVLKSVAEILRKSDFLKVSDDGKKVGRVKKLSKPEKIVQQMDERTIAASPFQYDVTMENVESFFAKFGKLNSVRLPRHAADKRVFCGTALVEFSTDGDVKDILKAKLVYDGAILELKPKKEFDAERARMTERIEKECSNHKSNASKTSNYPKGLMIAFSLKRKSTGKPVKNGGNVEPVANSGGVCKEDKDLDCNDHIVREIENVSEDNAEGAQKETCKIAEKSEQIVSQRIVNSRNSILKTAKKNCKEIISEESKAEYMQEAIRDQSPERVCQGSSEKVTGVKRCTAFSHEEKDILLCEDLKDVFQRFGAVKCVDYQEGAVSGYIHFHEPEGAIKACAAAEFIEGGLIVKNFIVSFEAVIGNVNPIKVEDSLKAEAPTSKKMIMQPGSQEKLKRSQRFRNSMFFFF >Manes.04G129200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33194257:33200015:1 gene:Manes.04G129200.v8.1 transcript:Manes.04G129200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDEETAKKLLHQVEFYFSDSNLPRDDFLMKKVSQSKDSMVSLALICSFSRVRAFLGLGKIRQDDIPHRVLKSVAEILRKSDFLKVSDDGKKVGRVKKLSKPEKIVQQMDERTIAASPFQYDVTMENVESFFAKFGKLNSVRLPRHAADKRVFCGTALVEFSTDGDVKDILKAKLVYDGAILELKPKKEFDAERARMTERIEKECSNHKSNASKTSNYPKGLMIAFSLKRKSTGKPVKNGGNVEPVANSGGVCKEDKDLDCNDHIVREIENVSEDNAEGAQKETCKIAEKSEQIVSQRIVNSRNSILKTAKKNCKEIISEESKAEYMQEAIRDQSPERVCQGSSEKVTGVKRCTAFSHEEKDILLCEDLKDVFQRFGAVKCVDYQEGAVSGYIHFHEPEGAIKACAAAEFIEGGLIVKNFIVSFEAVIGRLQTLRTCYLVQPEFIFLKLRVQILERHGYPCLMYPAFWIRLVGFIYPFSEKHGCILNSAKKLQSYIHFKYLCVCLDDLPLYQSQKIRS >Manes.04G129200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33194257:33200015:1 gene:Manes.04G129200.v8.1 transcript:Manes.04G129200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDEETAKKLLHQVEFYFSDSNLPRDDFLMKKVSQSKDSMVSLALICSFSRVRAFLGLGKIRQDDIPHRVLKSVAEILRKSDFLKVSDDGKKVGRVKKLSKPEKIVQQMDERTIAASPFQYDVTMENVESFFAKFGKLNSVRLPRHAADKRVFCGTALVEFSTDGDVKDILKAKLVYDGAILELKPKKEFDAERARMTERIEKECSNHKSNASKTSNYPKGLMIAFSLKRKSTGKPVKNGGNVEPVANSGGVCKEDKDLDCNDHIVREIENVSEDNAEGAQKETCKIAEKSEQIVSQRIVNSRNSILKTAKKNCKEIISEESKAEYMQEAIRDQSPERVCQGSSEKVTGVKRCTAFSHEEKDILLCEDLKDVFQRFGAVKVPWRSIFSF >Manes.04G129200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33194257:33200015:1 gene:Manes.04G129200.v8.1 transcript:Manes.04G129200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDEETAKKLLHQVEFYFSDSNLPRDDFLMKKVSQSKDSMVSLALICSFSRVRAFLGLGKIRQDDIPHRVLKSVAEILRKSDFLKVSDDGKKVGRVKKLSKPEKIVQQMDERTIAASPFQYDVTMENVESFFAKFGKLNSVRLPRHAADKRVFCGTALVEFSTDGDVKDILKAKLVYDGAILELKPKKEFDAERARMTERIEKECSNHKSNASKTSNYPKGLMIAFSLKRKSTGKPVKNGGNVEPVANSGGVCKEDKDLDCNDHIVREIENVSEDNAEGAQKETCKIAEKSEQIVSQRIVNSRNSILKTAKKNCKEIISEESKAEYMQEAIRDQSPERVCQGSSEKVTGVKRCTAFSHEEKDILLCEDLKDVFQRFGAVKCVDYQEGAVSGYIHFHEPEGAIKACAAAEFIEGGLIVKNFIVSFEAVIAKTEEEHWNMHNLNEEDCQESRDDRKRKRKSNKGRRQSEGRSSHFKENDHATRQPRKAQKVTTF >Manes.04G129200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33194257:33200015:1 gene:Manes.04G129200.v8.1 transcript:Manes.04G129200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDEETAKKLLHQVEFYFSDSNLPRDDFLMKKVSQSKDSMVSLALICSFSRVRAFLGLGKIRQDDIPHRVLKSVAEILRKSDFLKVSDDGKKVGRVKKLSKPEKIVQQMDERTIAASPFQYDVTMENVESFFAKFGKLNSVRLPRHAADKRVFCGTALVEFSTDGDVKDILKAKLVYDGAILELKPKKEFDAERARMTERIEKECSNHKSNASKTSNYPKGLMIAFSLKRKSTGKPVKNGGNVEPVANSGGVCKEDKDLDCNDHIVREIENVSEDNAEGAQKETCKIAEKSEQIVSQRIVNSRNSILKTAKKNCKEIISEESKAEYMQEAIRDQSPERVCQGSSEKVTGVKRCTAFSHEEKDILLCEDLKDVFQRFGAVKVPWRSIFSF >Manes.01G203200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37579616:37581980:1 gene:Manes.01G203200.v8.1 transcript:Manes.01G203200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKGNSVEILKREHEPCGSWFPGSIISVFGDYYIVRYDSLADSEGEPVMEKVHKEDVRPQPPLKRGKRWMVGDVADVFDIHCWRVGKIAKALKKNLFVIKLFGSIQLKEFHESNLRIRQVWHNNNWSVIWKVQNKESTENFMHSKSKLPGSLNCSSPLAELIGKGSCLAKRNEQRGLKDMHNNTKMCHPARMVKRSNMSCLDRSSKDLFSCVGRGRRPLTRNLHLFRSAGDVSSQQVKDEKFTKIDADLAKATSDWIYNSSRPLSTEDSDQCSVASCSSNDFALSSNHNYNKAFENTSDNSDAESSYPSSSAAYLEQKLEADIHELEFQAYKSTVQALYASGPLSWEQESLLTNLRLSLHISDEEHLLQLRHMLSTQVL >Manes.01G203200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37578849:37582330:1 gene:Manes.01G203200.v8.1 transcript:Manes.01G203200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKGNSVEILKREHEPCGSWFPGSIISVFGDYYIVRYDSLADSEGEPVMEKVHKEDVRPQPPLKRGKRWMVGDVADVFDIHCWRVGKIAKALKKNLFVIKLFGSIQLKEFHESNLRIRQVWHNNNWSVIWKVQNKESTENFMHSKSKLPGSLNCSSPLAELIGKGSCLAKRNEQRGLKDMHNNTKMCHPARMVKRSNMSCLDRSSKDLFSCVGRGRRPLTRNLHLFRSAGDVSSQQVKDEKFTKIDADLAKATSDWIYNSSRPLSTEDSDQCSVASCSSNDFALSSNHNYNKAFENTSDNSDAESSYPSSSAAYLEQKLEADIHELEFQAYKSTVQALYASGPLSWEQESLLTNLRLSLHISDEEHLLQLRHMLSTQVL >Manes.01G203200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37578850:37581980:1 gene:Manes.01G203200.v8.1 transcript:Manes.01G203200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKGNSVEILKREHEPCGSWFPGSIISVFGDYYIVRYDSLADSEGEPVMEKVHKEDVRPQPPLKRGKRWMVGDVADVFDIHCWRVGKIAKALKKNLFVIKLFGSIQLKEFHESNLRIRQVWHNNNWSVIWKVQNKESTENFMHSKSKLPGSLNCSSPLAELIGKGSCLAKRNEQRGLKDMHNNTKMCHPARMVKRSNMSCLDRSSKDLFSCVGRGRRPLTRNLHLFRSAGDVSSQQVKDEKFTKIDADLAKATSDWIYNSSRPLSTEDSDQCSVASCSSNDFALSSNHNYNKAFENTSDNSDAESSYPSSSAAYLEQKLEADIHELEFQAYKSTVQALYASGPLSWEQESLLTNLRLSLHISDEEHLLQLRHMLSTQVL >Manes.01G203200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37578850:37582540:1 gene:Manes.01G203200.v8.1 transcript:Manes.01G203200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKGNSVEILKREHEPCGSWFPGSIISVFGDYYIVRYDSLADSEGEPVMEKVHKEDVRPQPPLKRGKRWMVGDVADVFDIHCWRVGKIAKALKKNLFVIKLFGSIQLKEFHESNLRIRQVWHNNNWSVIWKVQNKESTENFMHSKSKLPGSLNCSSPLAELIGKGSCLAKRNEQRGLKDMHNNTKMCHPARMVKRSNMSCLDRSSKDLFSCVGRGRRPLTRNLHLFRSAGDVSSQQVKDEKFTKIDADLAKATSDWIYNSSRPLSTEDSDQCSVASCSSNDFALSSNHNYNKAFENTSDNSDAESSYPSSSAAYLEQKLEADIHELEFQAYKSTVQALYASGPLSWEQESLLTNLRLSLHISDEEHLLQLRHMLSTQVL >Manes.11G049940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6362100:6364020:1 gene:Manes.11G049940.v8.1 transcript:Manes.11G049940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKFIVILASVGVVAVLKLSDASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKLEPIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.07G082226.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25668451:25670954:1 gene:Manes.07G082226.v8.1 transcript:Manes.07G082226.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGSDKSNQDIGWNFATPTGKKGEMTCNFCGKKITGGITRLKQHLANIPGQVAGCQKVSVQVKKDMGNMLRGFEAAKRDKAKRARELEDEIIKMTEVEGSDSDEEDIELEIARRESMRQFDEDAYRRRASHYESGGSSHQAPPRSGISHSATVRERGREASRFQTSTPASRLAAAKIEIEKNRSLKQTKIKTKWLKSQKEKLLKAFGNFVIHNRLPFSVVESPWTKPLLRTATEVGPNVSPPSAYEISEVYLKNEYKEMKKYIASFEGMWNERGVTIMCDGWSGPTRISIINFLVYSPRGTVFYKSIDASNVERKDGEYYFKIMKEVVEEIGPSKIVQVVTDNEAAIKSGGKKLTDKFPNLYWTACSAHCIDLILKDFGKRKNIKAVIEQGKVITQFIYNHNWVENYMKKFTDGRDIIRPGITRFATNFIALESLLRCRTGLRNMFESEQWVGSKYGQATSGPAYEAKKIILSLDREGRNFWKKAEQIMKIQEPLLKVLRLVDGDKKPTMGFIYETMERAKLAIKQNSRSYIDYWKIIDAQAHKLNT >Manes.15G028800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2266009:2269706:1 gene:Manes.15G028800.v8.1 transcript:Manes.15G028800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPASLKPDSDEFVEIDPTGRYGRYNEILGKGASKTVYKAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHENIMKFYTSWVDAANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILKGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHPAQIYKKVISGKRPDALYKIKDPEVRQFVDKCLATVSSRLSARELLEDPFLQIDDYGYDLRYLDYQGDSNKTVALVRQPYYGINHTNNSLTNGYAHYLGYDPENELEYHNVEYEPSDIDLFSCQEDEHLENVTTIKGRRREDDGIFLRLRIADEEGRIRNIYFPFDIEVDTALSVAAEMASELDITDQDVLKIADMIDGEITSLVPEWKRRQEKEETQQCTNANFCQNCASHSYMLDYVSSNIPGAKNLQVLQCSKHGCANVHGRFEEITYQVEGPEKCSSTDCAPVESSQSNGINYTDIWAQRDAPESSSEGSREIHCDDEGNDAVDQLLLEKEETVINMGSICESNRTICISSSSSAAYAHWDDYENEIRKELRWLKAKYQMQLRDLRDQQLRVKDDQDHKIHNGVHVPSTLAKVKREKYKPSLKSLPSERHLSSTFLTDAEKRCANSEYQLVQSFEAINREHSPEQTVTAKSFYAGDLLPYPLHRATSLPVDALDV >Manes.15G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2266287:2269706:1 gene:Manes.15G028800.v8.1 transcript:Manes.15G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPASLKPDSDEFVEIDPTGRYGRYNEILGKGASKTVYKAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHENIMKFYTSWVDAANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILKGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHPAQIYKKVISGKRPDALYKIKDPEVRQFVDKCLATVSSRLSARELLEDPFLQIDDYGYDLRYLDYQGDSNKTVALVRQPYYGINHTNNSLTNGYAHYLGYDPENELEYHNVEYEPSDIDLFSCQEDEHLENVTTIKGRRREDDGIFLRLRIADEEGRIRNIYFPFDIEVDTALSVAAEMASELDITDQDVLKIADMIDGEITSLVPEWKRRQEKEETQQCTNANFCQNCASHSYMLDYVSSNIPGAKNLQVLQCSKHGCANVHGRFEEITYQVEGPEKCSSTDCAPVESSQSNGINYTDIWAQRDAPESSSEGSREIHCDDEGNDAVDQLLLEKEETVINMGSICESNRTICISSSSSAAYAHWDDYENEIRKELRWLKAKYQMQLRDLRDQQLRVKDDQDHKIHNGVHVPSTLAKVKREKYKPSLKSLPSERHLSSTFLTDAEKRCANSEYQLVQSFEAINREHSPEQTVTAKSFYAGDLLPYPLHRATSLPVDALDV >Manes.18G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11323796:11326500:-1 gene:Manes.18G113300.v8.1 transcript:Manes.18G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSFCLVFSLCFLLLGFVNGANPNYKEALAKSILFFQGQRSGRLPPSQQITWRSISGLSDGMLGHVDLTGGYYDAGDNVKFNFPMAFTTTMLSWGTLEYGKKMGPELQNARAAIRWATDYLLKCARATPGRLYVGVGDPNADHKCWERPEDMDTVRSVYFVSPSNPGSDVAGETAAALAAASMVFRKADPAYSKLLLSTAKDVLQFAVQHQGAYSDSLGSAVCPFYCSYSGYKDELLWGAAWLFRATNQMSYYNLLKSLGADDQPDLFSWDNKYAGAHVLLSRRAVLNNDKNFEQYKVEAENFMCKILPNSPSSSTKYTQGGLMYKLPQSNLQYVTSISFLLTTYAKYMKATKHTFNCGSLLVTPNSLLYVAKRQVDYILGVNPIQMSYMVGFGPHFPKRIHHRGSSLPSKASHPQAIGCDGGFQPFYYSPNPNPNILVGAIVGGPNESDGFPDDRSDYSHSEPATYINAAIVGPLAYFAGSLIR >Manes.09G077438.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18228959:18230432:-1 gene:Manes.09G077438.v8.1 transcript:Manes.09G077438.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLLINFSLVQAQPAVFDITKFGAAPDGKADASKAIADACKEACTAAGSSKILIPAGTFLAGVVNVTGPCKGAIEVEVQGTFNFNHIDQFTLSGKETLDGQGEVAWKGVSCDKDPKNCKKHPMGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPALFPNTATNIHFQDITMENVSNPIIVDQMYCPWKKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGIPCEKVELANIDLTYSGPEDPAKSECIDVKPTIVGKIPEGCK >Manes.17G004032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1424164:1428433:-1 gene:Manes.17G004032.v8.1 transcript:Manes.17G004032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFQDLQVKTPLPAQTEETIHDDPAIIQSHYIGVPLSSSASHGGKTLIESAQRQDMSALTSRGYPTSSASLQPVNHVSSTNLSNSIEDCEQSTSMSMYWQGYNGTTINRSHASQYSIPFQPHPWCQLVVTESDAKLNDSPIMELTKALEIISPVSSVASNNSIFTPVQNSLPRDVPSFSSNTSYGNINRLTTSLVPYFGEYTYITNVENVGKSISDAKIVYTAQSTPSLVSSYEWSQSHVLSSTVYLNLKNIDLLIPLSSTLPSLVPTPTSQAPLLPLPIEGLSHLSFLIQIHEKFLVVTFLSALCLINLALNLNLYNEVLCMFTEEFDFEVMNEKFKRDEVWIYLEKANQGENIIGDKEAPHLVPHFDAKTFSWFNQRYNMVYGGWDAGHVNYYHGRYNWGRGYDGRGNGNKNLPY >Manes.18G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7965521:7967786:-1 gene:Manes.18G086400.v8.1 transcript:Manes.18G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SWEET1b MDVLHFLFGVFGNAAALFLFLSPTFTFKRIIRSKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSKDNLLVSTINGTGMVIETIYVLIFLIFATRKEKGRILGLLTLALAIFGTVAFVSFFALQGNTRKLFCGLAATIFSIIMYASPLSIIRLVIRTKSVEFMPFFLSLFVFLCGTCWFIYGLLGRDPFLAIPNGFGCGLGAIQLILYFIYRKNKGEEEPVKKPTSQSMEMSSAKPQQEKKIWANGSPDEQV >Manes.18G086400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7965521:7966823:-1 gene:Manes.18G086400.v8.1 transcript:Manes.18G086400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SWEET1b MFLVIMTDLFIYFDRYGLPFVSKDNLLVSTINGTGMVIETIYVLIFLIFATRKEKGRILGLLTLALAIFGTVAFVSFFALQGNTRKLFCGLAATIFSIIMYASPLSIIRLVIRTKSVEFMPFFLSLFVFLCGTCWFIYGLLGRDPFLAIPNGFGCGLGAIQLILYFIYRKNKGEEEPVKKPTSQSMEMSSAKPQQEKKIWANGSPDEQV >Manes.09G045500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7967301:7970242:-1 gene:Manes.09G045500.v8.1 transcript:Manes.09G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCQPSSSLAMEIESANQEKARSFTRGWDWLKAFPCKSKDKVIRFAKSIRKLGHDDPRRVIHSLKVALALTLVSLLYYCRTLYDGFGVAGMWAVLTVVVVFEFTVGGTLSKSLNRSFATFLAGALGVGAQHLARLFGKKGEPIVLGFLVFLLAAASTFTRFFPRIKARYDYGVLIFILTFSMVSVSGVRVVELLDMAHQRLSTIIIGGATCIVVSMCICPVWAGEDLHNLVTSNIEKLASYLVGFGDEYFRYKSEDDEEGKIKVSNSDKPFLQGYRSVLNSKSTEDSMANLARWEPRHGRFGFRHPWKQYLKIGAISRQCAYHIEALNSYINSNIQVSEEFQSKIQEPCTKMSEESGKALRSLASAIKTMEDPSSAKIHVENSKTAINELKFAVKANPLEKTNLLDIVPAATVASTLIEIVKCVEKLSEAVHELADLAHFKPAMEPTVSPEKPQPLLHRGTVNPVLHGENNNHIIITIDANPTDSPETEKSEAPKPSQRQDLVV >Manes.09G110900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31326317:31328493:1 gene:Manes.09G110900.v8.1 transcript:Manes.09G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKHQLQILPAAAANAWGIRTTFHSLIALLVAVLVIITVYLTQNSGELTQDRTTGKSSGDLLSQCNLFSGKWVFDNKTYPLYKEQQCTFMSDQLACQKFGRKDLDYQNWRWQPHQCDLPRFNATALLERLRNKRLMFVGDSLNRGQWVSMVCLVDSSIPPAKKSMHQNGSLAAFKAFEYNATIEFYWAPLLVESNSDDPVNHRVPDRIVRVQAIEKHARHWTDADILVFNTYLWWRRSQMKVLWGSFESPDGIYKPVKMPRVYEMALKTWADWLEVHINRTKTQLFFVSISPTHQKAAEWGGAEDENCYGETEQVFKEGYRGKATCPEMMRVVDRVLDDLKTRGLNVQMINITQLSEYRKEGHPSIYRKQWEPLTEEQISNPKTYADCIHWCLPGVPDVWNQLLYAHIINL >Manes.S044316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1320850:1321275:1 gene:Manes.S044316.v8.1 transcript:Manes.S044316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGSGGGRWPPVCSAHAAGPKAESSAATATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.04G156350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35120734:35121635:1 gene:Manes.04G156350.v8.1 transcript:Manes.04G156350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMSGDVFELLTFKCAITRVKPCICTMPLKMEEGWNQIQLNLADFTRRPYGTNYVETLGVQIHANWEKKA >Manes.04G156350.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35120734:35121247:1 gene:Manes.04G156350.v8.1 transcript:Manes.04G156350.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMSGDVFELLTFKCAITRVKPCICTMPLKMEEGWNQIQLNLADFTRRPYGTNYVETLGVQIHANWEKVN >Manes.04G156350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35120802:35121247:1 gene:Manes.04G156350.v8.1 transcript:Manes.04G156350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFPDVLRFLFSFSFFFIFPRIILWAITRVKPCICTMPLKMEEGWNQIQLNLADFTRRPYGTNYVETLGVQIHANWEKVN >Manes.04G156350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35119853:35122113:1 gene:Manes.04G156350.v8.1 transcript:Manes.04G156350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNLEEGNEGWSNWEGLNLDQAITRVKPCICTMPLKMEEGWNQIQLNLADFTRRPYGTNYVETLGVQIHANWEKKA >Manes.04G156350.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35120802:35121635:1 gene:Manes.04G156350.v8.1 transcript:Manes.04G156350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFPDVLRFLFSFSFFFIFPRIILWAITRVKPCICTMPLKMEEGWNQIQLNLADFTRRPYGTNYVETLGVQIHANWEKKA >Manes.14G151654.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19399497:19404582:-1 gene:Manes.14G151654.v8.1 transcript:Manes.14G151654.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDLKKAAEEGIFDPFNEHLDHLDCIVTQNGNTILHVHLCKSLGKKSEEFMRRVVGNCPKLLTQQNCDGNAPLHIAARQGRTDVAEELIRLADDLHGGNVEAVREMLRKKNKKEETALHVAARNDKSVGVVKAILRKEDTRFDSYVNDSLETPLELAVENSCTHIVAELLNHFDSQSLCLEVLDVYIGSVMHKAVMRRNTEIVRLLLEKESGLAKIENDIGWTPLHIAALEGCSSMVSTLLDKDKSIACITTGYVDWTALHIAAPRGFKHVVTALHIAASRGFKHVVNEIITKCPECCEITDDRGWNVLHFAVMSENDELLKIILENSSLAYLIIGKDNAGHTPIEYYNSVRGLRSSDDLADTFKIVKHDSADTFKIVKHDSENIKEMRNKREETIILHLEKAKDSHLVVATLIATVTFAAAFTMPGGYISDKEDSEKGTPILIKNLAFKAFIISDAMAMALSTSSVFIYFIMVMLGSKPKYHWLIKTAFRFISLAMGAMVVAFVTGTYAVLAPSMELAIATCVTGLSFFLYVFYIFIRLLRDFLLHSLDDDDGRNLTL >Manes.03G078300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21178087:21181337:-1 gene:Manes.03G078300.v8.1 transcript:Manes.03G078300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLQKQGDKMTSFNMDFTLNAVLRPRGTHPVMSSVSSPSLDLQNLHLGFSSKRALRSLRRRTGTCICTSQEGSTLESKRRQQSSSGIGKTRSVAKDESLISKSHISQQDGLPPLVSALKASAAQNVASFHFPGHNRGHAAPSSLTQLIGLRPFVHDLPELPELDNLFSPEGPILEAQRLAAELFGSKETWFLVGGTTCGIQAAIMATCSPGEHIILPRNSHISAMSAMVLSGAIPKYIIPEYNCNWDIAGGITPSQVNKAIKELEMEGQKPAAVFVTSPTYHGICSNLSEISKLCHSCGIPVIVDEAHGAHLGLHPQMPRSALKLGADLVVQSTHKVLCSLTQSSMLHMSGDIVDRERICRSLQTLQSTSPSYLLLASLDAARAQLGENPETIFNEAMKLATEAKILIKKIPGITLLELSSFSKFPAIDPLRLTVGSWKLSLSGYEADDILDRNHGIVSELVGRRSITFAINLGTCKEHVQRLVSGLQELSACSLQIETTEAKVKDRGHEPFPDISMSLNPRDAFFANKRKVSIGESLGKVCGELICPYPPGIPVMIPGEIITERALDYLKAVRRKGVVITGASDPLLSSLVICDV >Manes.06G010675.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1700780:1704103:1 gene:Manes.06G010675.v8.1 transcript:Manes.06G010675.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKLCLQSILLILQFIFSSSLDTITINQTIEDGNLLISQGNNFALGFFSPKNFKYRYLGIWYHKIPEQTVVWIANRNNPINGSSGILSIDQYGNLILYSNHNKEVPVWHANITVEVTDTCVAQLLDSGNLILIQDRSKRIVWQSFDQPTDTLLPGMKLGLNRRTGMFWFLTSWRSTNDPGTGNFSLQVDAIRAPQFLLYRGKEYHWRCFAEPLTSYAQALNYSFVHNQDEIYASYNLDDASIIFRIVLDYSGTIKKLAWHEKVGKWKEFWSAPNSLCGTYNHCGTYGICYPDIFGNFECGCLPGYEPKAPNDWNILRDASSGCVKKQIESSLVCGQGEGFVKLAHVKLPDTSTAVWESMGTSPIDCERECKRNCSCSAYASLDIAGKGTGCLTWYGELKDTVDNWIMGCDIHIRVDALELAKAAQNSNGFQESKNMLVVLVVSVVSAWFIIILFAYFWLKKKKRKEVRNQWNERLIDAIGDTYFKNILGTNEIEGSMSHLDIAFFSLNTIVVATNNFSLANKIGEGGFGLVYKGKLSNGQEVAVKRLSKNSSQGIEEFKNEVMSIAKLQHKNLVKLLGCCIQGEELMLVYEYLPNKSLDSFLFDATNKIVLNWRKRFDIIIGIARGILYIHQDSRLSIIHRDLKTSNILLDAEMNPKISDFGLARIFKGDQIQEKTKRIAGTLGYMSPEYVAFGKLSPKSDVFSFGIILLEIIIGKKNNSYCEEDSFLSMTGHIWHLWRENRALEIIDSSLRDSCPSNEGLRCIQIGLLCVQEDAMDRPTMSTIVLMLNSEINLPPPKQPAFIFNKSYNNFSSLTRKQSVCSVDDKTITEVVCR >Manes.16G002000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:404285:407871:1 gene:Manes.16G002000.v8.1 transcript:Manes.16G002000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSPALYAISVSSINCKISTPLGSHNTLRYNPLSSHQLKATGKLFRVQATVLRENEEKVVVEETFQPKTFTDEKKGGAGKPPDDSSSSTLERWVIKLERSTNVFLTDSVIKILDALYRDRAYARFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNAWWFDQFLAQHIAIIYYIMTVFMYALSPRMAYHFSECVESHAYETYDKFIKEQGEELKKLPPPKVAVKYYTEGDLYLFDEFQTSRAPQSRRPKINNLYDVFLNIRDDEAEHCKTMKACQTHGNLRSPHSYEEDAVDVSGCILPQADCEGIVDCIKKSLKSPPSKQEIGGKSKELS >Manes.03G120500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24690354:24691773:1 gene:Manes.03G120500.v8.1 transcript:Manes.03G120500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLELASCCGANGGERSDKEAREPNRRRSEETRALMVHQTLETLNTRSRRRKRGRAGLAYPWSAVPMAEWKPTLCSIAEDNVVVVVEERPERVVKRKGSGGRRGGGSRGMATIPNYSEYYRRSNQFAVIPTFSATPFMI >Manes.03G097900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17622990:17629955:1 gene:Manes.03G097900.v8.1 transcript:Manes.03G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKPQSAGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAAQVTKTMLKEEGFGAFYKGLSAGLLRQATYTTARLGSFKILTNKAIEANDGKPLPLYQKALCGLTAGAIGASIGSPADLALIRMQADATLPAAQRRNYTNAFHALYRIVGDEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFRDSLGFGEAATVLGASSVSGFFASACSLPFDYVKTQIQKMQPDAEGKYPYTGSLDCAIKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLEKSVGL >Manes.10G024900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2524317:2529091:1 gene:Manes.10G024900.v8.1 transcript:Manes.10G024900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELFGSPGRVSGLGLRVGQFAFAAASIGVMVSARGFFNSTAFCYLIASMGLQVLWSFGLACLDLHALRSKRNLQNPVLVSLFVVGDWVTSILSLAGACASAGVTVLYTKDLHYCKGPPFFPCGRFQISIALAFISWFLLAISSHVMFWLLANV >Manes.02G208600.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25357006:25361286:-1 gene:Manes.02G208600.v8.1 transcript:Manes.02G208600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLSLPLQNHCYFSKSIFLPSTPLKRPRNHLLTSKKPVSISLSMCCSSPKMVRDASLDKHVVRQNKIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLPDRRSIRSMIHRYPSIFEVFTIPTPQLPFNATKPYSQLCVRLTSSAVVLADQEFKLHSAISDNLAAKLQKLLMLSSHRRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKTVDTSYGRALELVSWDAQLAVPLSRPDVSLGLIVDRPLKFKHLRLRKGLNLKRRHQDFLIKFEELPDVCPYNTSSEEFVKETIEAEKRACAVVREVLGMTVEKRTLIDHLTHFRKEFGFPNKLRGMIVRHPELFYVSVKGLRDSVFLTEGYDDKGRLLKNDETSALKDQLMGLVRESKRMRREKRIGDTVNGSDIRDSDDVSSDESDDIGDDYFDGLENVFDSECSGFDCDLNKVHKSYDLGDYREKGEFWTVDACTGGANKEGRFLEPW >Manes.02G208600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25357058:25361286:-1 gene:Manes.02G208600.v8.1 transcript:Manes.02G208600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLSLPLQNHCYFSKSIFLPSTPLKRPRNHLLTSKKPVSISLSMCCSSPKMVRDASLDKHVVRQNKIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLPDRRSIRSMIHRYPSIFEVFTIPTPQLPFNATKPYSQLCVRLTSSAVVLADQEFKLHSAISDNLAAKLQKLLMLSSHRRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKTVDTSYGRALELVSWDAQLAVPLSRPDVSLGLIVDRPLKFKHLRLRKGLNLKRRHQDFLIKFEELPDVCPYNTSSEEFVKETIEAEKRACAVVREVLGMTVEKRTLIDHLTHFRKEFGFPNKLRGMIVRHPELFYVSVKGLRDSVFLTEGYDDKGRLLKNDETSALKDQLMGLVRESKRMRREKRIGDTVNGSDIRDSDDVSSDESDDIGDDYFDGLENVFDSECSGFDCDLNKVHKSYDLGDYREKGEFWTVDACTGGANKEGRFLEPW >Manes.02G208600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25357006:25361286:-1 gene:Manes.02G208600.v8.1 transcript:Manes.02G208600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLSLPLQNHCYFSKSIFLPSTPLKRPRNHLLTSKKPVSISLSMCCSSPKMVRDASLDKHVVRQNKIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLPDRRSIRSMIHRYPSIFEVFTIPTPQLPFNATKPYSQLCVRLTSSAVVLADQEFKLHSAISDNLAAKLQKLLMLSSHRRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKTVDTSYGRALELVSWDAQLAVPLSRPDVSLGLIVDRPLKFKHLRLRKGLNLKRRHQDFLIKFEELPDVCPYNTSSEEFVKETIEAEKRACAVVREVLGMTVEKRTLIDHLTHFRKEFGFPNKLRGMIVRHPELFYVSVKGLRDSVFLTEGYDDKGRLLKNDETSALKDQLMGLVRESKRMRREKRIGDTVNGSDIRDSDDVSSDESDDIGDDYFDGLENVFDSECSGFDCDLNKVHKSYDLGDYREKGEFWTVDACTGGANKEGRFLEPW >Manes.02G208600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25357058:25361286:-1 gene:Manes.02G208600.v8.1 transcript:Manes.02G208600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLSLPLQNHCYFSKSIFLPSTPLKRPRNHLLTSKKPVSISLSMCCSSPKMVRDASLDKHVVRQNKIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLPDRRSIRSMIHRYPSIFEVFTIPTPQLPFNATKPYSQLCVRLTSSAVVLADQEFKLHSAISDNLAAKLQKLLMLSSHRRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKTVDTSYGRALELVSWDAQLAVPLSRPDVSLGLIVDRPLKFKHLRLRKGLNLKRRHQDFLIKFEELPDVCPYNTSSEEFVKETIEAEKRACAVVREVLGMTVEKRTLIDHLTHFRKEFGFPNKLRGMIVRHPELFYVSVKGLRDSVFLTEGYDDKGRLLKNDETSALKDQLMGLVRESKRMRREKRIGDTVNGSDIRDSDDVSSDESDDIGDDYFDGLENVFDSECSGFDCDLNKVHKSYDLGDYREKGEFWTVDACTGGANKEGRFLEPW >Manes.02G208600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25357058:25361286:-1 gene:Manes.02G208600.v8.1 transcript:Manes.02G208600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLSLPLQNHCYFSKSIFLPSTPLKRPRNHLLTSKKPVSISLSMCCSSPKMVRDASLDKHVVRQNKIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLPDRRSIRSMIHRYPSIFEVFTIPTPQLPFNATKPYSQLCVRLTSSAVVLADQEFKLHSAISDNLAAKLQKLLMLSSHRRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKTVDTSYGRALELVSWDAQLAVPLSRPDVSLGLIVDRPLKFKHLRLRKGLNLKRRHQDFLIKFEELPDVCPYNTSSEEFVKETIEAEKRACAVVREVLGMTVEKRTLIDHLTHFRKEFGFPNKLRGMIVRHPELFYVSVKGLRDSVFLTEGYDDKGRLLKNDETSALKDQLMGLVRESKRMRREKRIGDTVNGSDIRDSDDVSSDESDDIGDDYFDGLENVFDSECSGFDCDLNKVHKSYDLGDYREKGEFWTVDACTGGANKEGRFLEPW >Manes.02G208600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25357058:25361286:-1 gene:Manes.02G208600.v8.1 transcript:Manes.02G208600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLSLPLQNHCYFSKSIFLPSTPLKRPRNHLLTSKKPVSISLSMCCSSPKMVRDASLDKHVVRQNKIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLPDRRSIRSMIHRYPSIFEVFTIPTPQLPFNATKPYSQLCVRLTSSAVVLADQEFKLHSAISDNLAAKLQKLLMLSSHRRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKTVDTSYGRALELVSWDAQLAVPLSRPDVSLGLIVDRPLKFKHLRLRKGLNLKRRHQDFLIKFEELPDVCPYNTSSEEFVKETIEAEKRACAVVREVLGMTVEKRTLIDHLTHFRKEFGFPNKLRGMIVRHPELFYVSVKGLRDSVFLTEGYDDKGRLLKNDETSALKDQLMGLVRESKRMRREKRIGDTVNGSDIRDSDDVSSDESDDIGDDYFDGLENVFDSECSGFDCDLNKVHKSYDLGDYREKGEFWTVDACTGGANKEGRFLEPW >Manes.02G208600.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25357006:25361286:-1 gene:Manes.02G208600.v8.1 transcript:Manes.02G208600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLSLPLQNHCYFSKSIFLPSTPLKRPRNHLLTSKKPVSISLSMCCSSPKMVRDASLDKHVVRQNKIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLPDRRSIRSMIHRYPSIFEVFTIPTPQLPFNATKPYSQLCVRLTSSAVVLADQEFKLHSAISDNLAAKLQKLLMLSSHRRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKTVDTSYGRALELVSWDAQLAVPLSRPDVSLGLIVDRPLKFKHLRLRKGLNLKRRHQDFLIKFEELPDVCPYNTSSEEFVKETIEAEKRACAVVREVLGMTVEKRTLIDHLTHFRKEFGFPNKLRGMIVRHPELFYVSVKGLRDSVFLTEGYDDKGRLLKNDETSALKDQLMGLVRESKRMRREKRIGDTVNGSDIRDSDDVSSDESDDIGDDYFDGLENVFDSECSGFDCDLNKVHKSYDLGDYREKGEFWTVDACTGGANKEGRFLEPW >Manes.02G208600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25357006:25361286:-1 gene:Manes.02G208600.v8.1 transcript:Manes.02G208600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLSLPLQNHCYFSKSIFLPSTPLKRPRNHLLTSKKPVSISLSMCCSSPKMVRDASLDKHVVRQNKIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLPDRRSIRSMIHRYPSIFEVFTIPTPQLPFNATKPYSQLCVRLTSSAVVLADQEFKLHSAISDNLAAKLQKLLMLSSHRRLLLSKLVHLAPDLGLPPNFRSRLCNDHPDKFKTVDTSYGRALELVSWDAQLAVPLSRPDVSLGLIVDRPLKFKHLRLRKGLNLKRRHQDFLIKFEELPDVCPYNTSSEEFVKETIEAEKRACAVVREVLGMTVEKRTLIDHLTHFRKEFGFPNKLRGMIVRHPELFYVSVKGLRDSVFLTEGYDDKGRLLKNDETSALKDQLMGLVRESKRMRREKRIGDTVNGSDIRDSDDVSSDESDDIGDDYFDGLENVFDSECSGFDCDLNKVHKSYDLGDYREKGEFWTVDACTGGANKEGRFLEPW >Manes.12G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34835465:34835836:1 gene:Manes.12G142300.v8.1 transcript:Manes.12G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFAQDMKDLFLSILEAIKGSKLSSAGNEDEEEAESESMEEVIVEERALKDVKGPTRPTPPKGPPPQTD >Manes.05G117018.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14096464:14098875:1 gene:Manes.05G117018.v8.1 transcript:Manes.05G117018.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSTLSLRSILEKDKLKENGTNFQEKKSYVLDEAIPESPPADATNAVKNKHKKHMDDFNDIGCLMLATMCPELQKDLEHLEAYEMSVHLKQAFQQQARPDSKSVSAHILKMKGYIDHLARLGYPLSLEHSTDLILHSLPGSFSQIVMNYNMNNMEKSIPELHGMLKTAEVNIKKSPTQILNVNKGKPMKNKGKPKSKGGICFHWKEPGHWKRNCKLYLDECKKKKSSETTTSENKVFVARNGTFLEKEFISQRFSGSTVQLEETEAPQESIEPPIEPLSEPQTVVETERVTQVPRRSDRTRCNSPDVIPGTVTVHTQGYPSPGLLPPRAFH >Manes.18G126600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22024388:22027931:1 gene:Manes.18G126600.v8.1 transcript:Manes.18G126600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAPVYPGSLPIPVDLFVSKKHPGLAPSELGFADSLGNLVFRVSRTYSKKSSSRPWVLLDESKIPLFSWCRQDNRSWHVFTGGEEGEKEMVFRVQRTLNKLCRSELEVFLVGENSAESTSDFNVKGCSFQRSCTIYRGNSIVAQTSLMYKLHQIFVGRSRFRLTIFPGSVDHALVIALIVIFLYG >Manes.18G126600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22024388:22026872:1 gene:Manes.18G126600.v8.1 transcript:Manes.18G126600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAPVYPGSLPIPVDLFVSKKHPGLAPSELGFADSLGNLVFRVSRTYSKKSSSRPWVLLDESKIPLFSWCRQDNRSWHVFTGGEEGEKEMVFRVQRTLNKLCRSELEVFLVGENSAESTSDFNVKGCSFQRSCTIYRGNSIVAQVNLVITVVADYFGLRDIDIIIIRELFCLDFSFLPLGILHNQYVDLIFSSLLLQLVAATLVNDLLRMLA >Manes.11G087300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13860049:13873414:-1 gene:Manes.11G087300.v8.1 transcript:Manes.11G087300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCIVFQLNSEKSPFQRTYAAQIKKCGEMSRKLRFFKDQMEKAGVSPSSKPVTRTDIDMDGLDLKLGELEAELVEMNANNDKLQRTYNELIEYKLVLLKTGEFFSSALSSATAQQREIESHQVGEGSLETPLLADQEISTDSSKQVKLGFLSGLVPKEKSLAFERIIFRATRGNVYIRQAAIQEPVIDPVSGEKIEKNVFVVFYSGERAKTKIFKICEAFGANRYPFTEDLGKQNQMIDEVSGRVSELKTTIDAGILHRSNLLQTIGDQFVQWNSMVRKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFASKQIQEALQRAAFDSNSQVGAIFQVLHTKESPPTYFRTNKFTTAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLIFIIRERKLSGQKLGDITEMTFGGRYVILLMALFSIYTGLIYNEFFSVPFPLFGRSAYACRDLSCRDATTDGLIKVGSTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAVYFRNGLNIWFQFIPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDELGENQLFAGQKIAQQVLLLLALVSVPWMLLPKPLLLKKQHQDRHQGQSYTPLQTTEESLQVEVNHGSNGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGFNNVVILIVGIIIFIFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLSDEDD >Manes.11G087300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13860049:13873414:-1 gene:Manes.11G087300.v8.1 transcript:Manes.11G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVRRGCCPPMDLFRSEAMQLVQLIIPIESAHLTVSYLGDLGLLQFKDLNSEKSPFQRTYAAQIKKCGEMSRKLRFFKDQMEKAGVSPSSKPVTRTDIDMDGLDLKLGELEAELVEMNANNDKLQRTYNELIEYKLVLLKTGEFFSSALSSATAQQREIESHQVGEGSLETPLLADQEISTDSSKQVKLGFLSGLVPKEKSLAFERIIFRATRGNVYIRQAAIQEPVIDPVSGEKIEKNVFVVFYSGERAKTKIFKICEAFGANRYPFTEDLGKQNQMIDEVSGRVSELKTTIDAGILHRSNLLQTIGDQFVQWNSMVRKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFASKQIQEALQRAAFDSNSQVGAIFQVLHTKESPPTYFRTNKFTTAFQEIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATLIFIIRERKLSGQKLGDITEMTFGGRYVILLMALFSIYTGLIYNEFFSVPFPLFGRSAYACRDLSCRDATTDGLIKVGSTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAVYFRNGLNIWFQFIPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDELGENQLFAGQKIAQQVLLLLALVSVPWMLLPKPLLLKKQHQDRHQGQSYTPLQTTEESLQVEVNHGSNGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGFNNVVILIVGIIIFIFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLSDEDD >Manes.06G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23511711:23514629:1 gene:Manes.06G101800.v8.1 transcript:Manes.06G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLNNSAISSHFRSHTQKNQESLSRRAFHVEPQPREKALLAEDPALKRFKSHKKSVWRLKRVGDVLTIVVVAGCCYEIYVKAVMREEARKKAGESA >Manes.13G058200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6693771:6701270:1 gene:Manes.13G058200.v8.1 transcript:Manes.13G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKEPKGKRAAQPRKKRKLISKDDEGISDIEDIFSDQETHKIRESLLEWYDHNQRDLPWRTKETNPLQAEDEDKEKRAYGVWVSEVMLQQTRVQTVIDYYNRWVLKWPTLQHLALASLEEVNEMWAGLGYYRRARFLLEGAKLITSKGDGFPNTVSSLRKVPGIGDYTAGAIASIAFKEVVPVVDGNVIRVLARLKAISANPKDSITVKNFWKLAAQLVDPCRPGDFNQSLMELGATVCTPSNPSCTQCPVLSHCRALSISNQDKSVLVTDYPTKVVKVKQRHEFSAVCVVEILGTEGLIEGDQSDGGFLLVKRPENGLLAGLWEFPTVNLGKAADLTTRRKEIDHFLKQVFRLDPQRTCSMVQREDIGEFVHIFTHIRLKVYVELLVMHLKGGMSELLSKNKKGATTWKYVDKQALSNLGLTSGVKKVYTMVEKFKLSKSSTDSAPAKRRKKFEETKSASIN >Manes.13G058200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6693771:6701270:1 gene:Manes.13G058200.v8.1 transcript:Manes.13G058200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKEPKGKRAAQPRKKRKLISKDDEGISDIEDIFSDQETHKIRESLLEWYDHNQRDLPWRTKETNPLQAEDEDKEKRAYGVWVSEVMLQQTRVQTVIDYYNRWVLKWPTLQHLALASLEEVNEMWAGLGYYRRARFLLEVPGIGDYTAGAIASIAFKEVVPVVDGNVIRVLARLKAISANPKDSITVKNFWKLAAQLVDPCRPGDFNQSLMELGATVCTPSNPSCTQCPVLSHCRALSISNQDKSVLVTDYPTKVVKVKQRHEFSAVCVVEILGTEGLIEGDQSDGGFLLVKRPENGLLAGLWEFPTVNLGKAADLTTRRKEIDHFLKQVFRLDPQRTCSMVQREDIGEFVHIFTHIRLKVYVELLVMHLKGGMSELLSKNKKGATTWKYVDKQALSNLGLTSGVKKVYTMVEKFKLSKSSTDSAPAKRRKKFEETKSASIN >Manes.12G018800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1671922:1672843:-1 gene:Manes.12G018800.v8.1 transcript:Manes.12G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMATMAMLNAKCLSINSTKNINQNKPSTKPISLLSMQDLPKGLTISRPTNNTALASTAIAGAIFSTLSSCDPALAAQQIAEIAAEGDNRGLALLLPIIPAIGWVLFNILQPALNQLNRMRETKGVIIGLGLGGLAATGLMAASEASASEIAMIADATNDNRGQLLLFVVAPAILWVLYNILQPALNQLNRMRSE >Manes.S023616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:285633:285791:-1 gene:Manes.S023616.v8.1 transcript:Manes.S023616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.01G252600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41078957:41083966:1 gene:Manes.01G252600.v8.1 transcript:Manes.01G252600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINTNSFAKTICSICYEDLKPIVEDLQAISICGHVFHELCLQQWFEYCSNSRKCSCPVCKQSCTGINVARLYFQSLGDQNEPFMSQKVIDCKEDPELLRGEVRRLEVKVSGLTSNLERQGKEIQKLNEELCICKDQVKKEVILRNDAMEQKTSTQQLLVSKSEELHSLKLECLRLQDRNMALAKELAALKLVSDPNLDEDEILKLASFGNETNNKDTVDVLRKSLVIRNMSYKELMAKCNQLGRGEARSSKKLEKAKEKIKKLKTKVKELEMMVEEKDNTALRALKASTKIDCEEDAVNDINVNSDDFFTRPDFSEDQKEKLHKSVIKLDGTGNLNSDQGNFNIIKNAGASSTKERTTTTGLIKEINTCFIIDEVLSDTDSRHQISEDMKSALPKSEAISNINSKAKVDGLANPGGFSGTGPSINRDNGNTLAASTEEEVTLALDDVKHVQPMLKIKKEASTLVPVSSPGNICFSGGLLAPDGSNRYLGKWCKRGQSKGSLALQRPGTSASGSGDLIAVGSDGRGGRIKVLKSLNLSSLVGAQSFLHPTHLV >Manes.01G252600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41078957:41083966:1 gene:Manes.01G252600.v8.1 transcript:Manes.01G252600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINTNSFAKTICSICYEDLKPIVEDLQAISICGHVFHELCLQQWFEYCSNSRKCSCPVCKQSCTGINVARLYFQSLGDQNEPFMSQKVIDCKEDPELLRGEVRRLEVKVSGLTSNLERQGKEIQKLNEELCICKDQVKKEVILRNDAMEQKTSTQQLLVSKSEELHSLKLECLRLQDRNMALAKELAALKLVSDPNLDEDEILKLASFGNETNNKDTVDVLRKSLVIRNMSYKELMAKCNQLGRGEARSSKKLEKAKEKIKKLKTKVKELEMMVEEKDNTALRALKASTKIDCEEDAVNDINVNSDDFFTRPDFSEDQKEKLHKSVIKLDGTGNLNSDQGNFNIIKNAGASSTKERTTTTGLIKEINTCFIIDEVLSDTDSRHQISEDMKSALPKSEAISNINSKAKVDGLANPGGFSGTGPSINRDNGNTLAASTEEEVTLALDDVKHVQPMLKIKKEASTLVPVSSPGNICFSGGLLAPDGSNRYLGKWCKRGQSKGSLALQRPGTSASGSGDLIAVGSDGRGGRIKVLKSLNLSSLENSATAKRCKYGTKASISQSQGCLQIEHFFGKATH >Manes.05G186700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30675503:30677229:1 gene:Manes.05G186700.v8.1 transcript:Manes.05G186700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPKPSSQTSFLCPNKTCFAKFNNPMDLLSDDEEELHRLPTPSEVLEEIKALGKISGPTAITGVILYSRAMISMLFLGYLGELELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQWKLLGLTLQRTVLLLLSTSIPISFMWLNMKRILLWCGQDQEISSMAQTFILFSIPDLFFLSLLHPLRIYLRTQGITLPLTYCSAISVLLHIPLNFLLVVHFKLGIAGVAIAMVWTNLNVFLLLFSFVYFSGVYKDSWVSPSMDCLRGWSSLLSLAVPTCVSVCLEWWWYEFMIMLCGLLANPKATIASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGANRPAKARISMIVSLVCALALGLLAMLFTTLMRHQWGRFFTSDSEILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAILMGFVAKMGFSGLWLGLLAAQGSCAILMLYVLCRTDWIVEAERARELTSSSSSSSSKPEAITNNKKANLEEILCINDEPVKSTSLETDPLISTASTVH >Manes.15G154500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12818616:12819663:-1 gene:Manes.15G154500.v8.1 transcript:Manes.15G154500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRSKRFCRGGFKLCGNVKGSEKAETCGSLSEIKWELRPGGMLVQKRESGDSVAELITVRVSTLYSQFHDISIEATSTFGDMKMVLSLVTGLETREQRLLFKGKEREDNEYLHMVGVRDKDKVFLLEDPAIKERKLHGLVGGTPCRTISV >Manes.07G106104.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31247035:31249700:1 gene:Manes.07G106104.v8.1 transcript:Manes.07G106104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRSNRKTEPNPAQPNPTQPNPTQQASNPTPSIPFAESLPSIPFSTFSNLPIPPPLFSRKMRTTKTTNRFSRRTTTRMTMKMTMVKAETTMTMTMTTTTRRRKTVTRMKTVKKSLALDIQLFVYTVETAHTREGPDLSPSDPQWRSSTIPGPDEAKHSILLERLRLRHLKHSSKPSQAKTQFPPKPVVAIEKDEDGFKSKKGKKMVGRFEEIGLSEEVMGAVREMGIEVPTEIQCIGIPAVLDGKSVVLGSHTGSGKTLAYMLPLVQVNLLSCLIDFLVLLL >Manes.13G091300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23583997:23586187:-1 gene:Manes.13G091300.v8.1 transcript:Manes.13G091300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCEFCGVVRAVVYCKSDSARLCLNCDEFVHSANCLSQRHSRSLLCDKCNSQPAILRCLDDKLSFCQNCDWNNTNSCSSSGHHLQVLSCYTGRPSLAEFSRIWSFVLDASPSTITSYDPEGLGTTSLPSLQGNEDSMISCLKNKDNEGTFGLVNRKLNELEPWMRTSNVIPQDPNSLPCCRDQVSFLPEDPNMSKAGCSNFKELEIQDGEDLCQGLNMDDVALNFENGDDIFGCPQVQPRNQQGDIGKDCILMEKSLSVIESNGGRVDNTIEVTSSGQQDCITFQSPQVACSTSMIQAMYGSTSCLSMNPSSNRSINLGFPTTTGQIHPSISLSLSNITGESSAADYQDCGLSPVFLAKESPRELNLETSCPQAREKAKMRYKEKKKTRTFGKQIRYASRKALADTRKRVVKAGEAYDYDPFPHLYLICAKQFVDNLVKNTLLH >Manes.11G100928.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21668407:21669910:-1 gene:Manes.11G100928.v8.1 transcript:Manes.11G100928.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTSHIEFFVLFIAESSRYRLPANPVSVKSENCNTKESQNIQEIEDEEAQAEVETWKYVFGFSNIAAVKCAIELGVADVIENHEGPITLSELSSKLGCASSFLNRIMRFLVHHNIFKEKYTIHGTVGYVQTPLSHCLLGKGEKSLKPLFLLESSPVMLAPWHFLSKRVRQNGSTAAFEAAHGDDIWKYGAAFPDHSKLFNDAMACHARIAVPRMIEKCPEVFDGVKTLVDVGGGNGTSLSLLVKAFPWIQGINFDLPHVVSTAIECDGVTHVGGDMFESVPKADAVVLMWVLHDWNDEECIQILKNCKGAIPKENGKVIMVEVVVGEEKDDKLEFVRVMLDMVMMAHTNSGKERTYKEWDYILAEVGFSRHTVR >Manes.16G016200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1667766:1669821:1 gene:Manes.16G016200.v8.1 transcript:Manes.16G016200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICHEGVFDNFKDREGKFKQNVDYDIKGLLGLYEASQLSIGEEDHILDEAGDYSYRLLNSWVTQLDDNQARAVEKTLEYPHHKSLARFMAKHFIRDLQGGNIGWMNELQQLAKLDFTRVQSQCQQEILQISRWWKDLGLSAKLKFARNQPLKWYIWSMATLKDSNWSEQRIDLTKSISFIYLIDDIFDVHGTLDELILFTEVIKRWDISAAEQLPDYMRTCFKALDNVTNEISYKVYKQHGWNPVNSLRKAWGSLCSAFLVEGRWFASGQLPSAEEYLENGIVSSGVHVVLVHVFFLLGHGLTGEAVELVNSYPPIISSSATILRLWDDLGTAKDEDQDGHDGSYIEYYMKENEGCKVENAREQVKQKILEAWKQLNKECLFRKPFSSTFTDACLNLARMVPLMYNYDHKQRLPVLECLVNSLLTETVPQ >Manes.16G016200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1667135:1669554:1 gene:Manes.16G016200.v8.1 transcript:Manes.16G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAKATFTSSPNIPFPQDQTPKASKFFCQIEFKAALNPSNWSITHENSLPSTFSNQLIYLDDEQSSIIKVLLQDDFNMKNHKKKLRSLMHNVNKEGEDAAQGLAMVDAIQRLGIEYHFQEEIDMILQRHYMMHGTYNYNDLHEAALGFRLLRQEGYHVLAGVFDNFKDREGKFKQNVDYDIKGLLGLYEASQLSIGEEDHILDEAGDYSYRLLNSWVTQLDDNQARAVEKTLEYPHHKSLARFMAKHFIRDLQGGNIGWMNELQQLAKLDFTRVQSQCQQEILQISRWWKDLGLSAKLKFARNQPLKWYIWSMATLKDSNWSEQRIDLTKSISFIYLIDDIFDVHGTLDELILFTEVIKRWDISAAEQLPDYMRTCFKALDNVTNEISYKVYKQHGWNPVNSLRKAWGSLCSAFLVEGRWFASGQLPSAEEYLENGIVSSGVHVVLVHVFFLLGHGLTGEAVELVNSYPPIISSSATILRLWDDLGTAKDEDQDGHDGSYIEYYMKENEGCKVENAREQVKQKILEAWKQLNKECLFRKPFSSTFTDACLNLARMVPLMYNYDHKQRLPVLECLVNSLLTETV >Manes.02G186700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14940415:14951125:1 gene:Manes.02G186700.v8.1 transcript:Manes.02G186700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQHRCVFVGNIPYDATEEQLIDICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNADRNREQGRGGPGLAATADPQKQVGGPAILGESAQHQPIGLHIAITAATVMAGTLGGTQTGMQSNQNGLQSQSALASDPLTLHLARMSRSQLNDIMSELKVMASQNREQARQLLLARPQLPKALFQAQIMLGMVTPQVLQMPNIRQPPGQPALHTLQDTQQGKQSSIQTLPGLPPLAQRSQSGLVSKMQEGQFSVLPQNSLLQNQFSAPPQPMQPRTQIPQHANSNVPQQSSLPGQSGVPPLLPIHSIRTQTQVANSSSLNKQIPPSLLQHSGQRVGTANFGHTSQMVLPSASIQSSVASHPSADDAFQPGPPKSSGIPDATGAGVDRSVHAPDDTAPVHRSNAFLNMQRNVVNDSKEPITRPSKLVKLNDGRSMSSVSGTLGVSNAIVSGPSQALAVSSVPANPPSKPEELHHSEEQVPQLPPDVESALLQQVLNLTPEQLNSLPPEQRQQVIQLQQALRRDQQSS >Manes.02G186700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14940285:14952142:1 gene:Manes.02G186700.v8.1 transcript:Manes.02G186700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQHRCVFVGNIPYDATEEQLIDICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNADRNREQGRGGPGLAATADPQKQVGGPAILGESAQHQPIGLHIAITAATVMAGTLGGTQTGMQSNQNGLQSQSALASDPLTLHLARMSRSQLNDIMSELKVMASQNREQARQLLLARPQLPKALFQAQIMLGMVTPQVLQMPNIRQPPGQPALHTLQDTQQGKQSSIQTLPGLPPLAQRSQSGLVSKMQEGQFSVLPQNSLLQNQFSAPPQPMQPRTQIPQHANSNVPQQSSLPGQSGVPPLLPIHSIRTQTQVANSSSLNKQIPPSLLQHSGQRVGTANFGHTSQMVLPSASIQSSVASHPSADDAFQPGPPKSSGIPDATGAGVDRSVHAPDDTAPVHRSNAFLNMQRNVVNDSKEPITRPSKLVKLNDGRSMSSVSGTLGVSNAIVSGPSQALAVSSVPANPPSKPEELHHSEEQVPQLPPDVESALLQQVLNLTPEQLNSLPPEQRQQVIQLQQALRRDQQSS >Manes.02G051100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAFISCTLKAQSFAILPLPSLSIMSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4104043:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAFISCTLKAQSFAILPLPSLSIMSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4104043:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4104042:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4104043:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4104043:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAFISCTLKAQSFAILPLPSLSIMSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4104043:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4104043:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4104042:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.02G051100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4097665:4103851:1 gene:Manes.02G051100.v8.1 transcript:Manes.02G051100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRWLRLMGLASKPSDHCNGGTYDSVLGSTECYACTQVGVPAFHSISCDSATARHPHWQASAGSSLVPIHASATSPKCNPTRVLTCPFGPILDPRSKWVQWWNRVFLIARGVSLAVDPLFFYALTLSLGKGGAPCLYVDEGVAAFFTVARTCLDTVHLWHLWLQFRLAYVSKESLVFGCGKLVWDARAIAAHYVRSLKGFWLDVFVILPFPQAIFWLLLPKLIVQEKIELVLTIVLLTFLFQFLPKLYHSFCLMSRMRKVTGYIFGTIWWGFGLNLIAYLIASHATGGCWYVLATQNVVSCLRKQCERNGNCKLSLSCSMDVCYQFMYPEDKFGNQCGNHSKMIAKPLCLDDEGPFNHGIYTEGLLVVTSNSLAVRILYPIFWGLLNLSTFGNELNPTCNLVEVMFSIFIVLCGFTLFTLMVGNIQVFLSVILAKNKNMQLKRRDIEWWMSRRQLPSNLRRRVRHFDNQRWAIMGGEDDIKWIEELPDGLRRDIKRYICLDLIKKAPLFHNLDDLILDNICDRVKPLIFCKGEKIIREGDPVPRMYFIVRGRVKRSQGLSKGMVGTSVLEPGGFLGDELLSWCLRRPFVNRLPASSATFYCLEPTEAFGLDANHLRYITDHFRYTFASERLKRTLRYHSSNWRTWAAVNIQFAWRRYRMRTGGLVIPVVINGNVENLLRRYASMFMSLRPHDHLE >Manes.01G211000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38205628:38212794:1 gene:Manes.01G211000.v8.1 transcript:Manes.01G211000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAGIASPKDPAARPPVSPTVVPVQTPPMMVTDAFAKDAILAWFRGEFAAANAIIDALCSHLAQLSGGPGSDYESLFAAIHRRRLNWIPVLQMQKYHTIAGVAAELKRVVEKKFHENDGQSEKKSEVVLKDVCLVEEEKIDEQLTESMGTVVDDVGYGGEVVEEEEDSPDSDITDSGSQEVLPTRENIDICSNHEDCNLRPDQIKLTKGFTAKEQVKGHMVNVVKGLKLYEDVFTDSELSKLTDFVNELRVAGQNGELAGETFILFNKQVKGNKRELIQLGVPIFGHIKEEATSDNQTSNIEPIPALLQDVINHLVQWQLIPEYKKPNACIIHFFDEHEHSQPFQKPPHLDQPISTLLLSESTMAFGRTLVSDNDGNYRGPLMLSLKEGSLLVMRGNSADMARHVMCPSPNIRVSITFFKVRPDANQGQSPPTSPMAGAMTLWQPNIPSPYAMPNGALGGYEAMDAMTKWGVLRTPVVMLAPVRPMVLNPKRIPSGGTGVFLPWNVGSRKPAKHLPPRAQKGRLLTFPSIETHITDSASETGVIVEAKLAG >Manes.15G020500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1679005:1680057:1 gene:Manes.15G020500.v8.1 transcript:Manes.15G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWSSPSRLLLLGLLSLLHPFTIPAGSIRVGTVVRKPSPEIPIFREAPSFRNGDGCGLHETDIIHVAMTLDVNYLRGTMAAVLSMLQHSTCPENLSFHFLFVRYQPELFSSINSTFPFLNFKIYRFDSKRVRGKISRSIRKALDQPLNYARIYLADIIPPHVRRVIYLDSDIIVVDDIAKLWDVDMEDKVVAAPEYCHANFSQYFTDTFWSDPEMAKTFEGRNPCYFNTGVMVVDVDKWRKGEYSQKVEEWMAVQKQKRIYQLGSLPPFLLVFAGNIKAVDHRWNQHGLGGDNFQGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSINYLEE >Manes.14G067200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5625493:5625945:1 gene:Manes.14G067200.v8.1 transcript:Manes.14G067200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIIISAILLFAGIAVLVLIHVCTVGRAFRRSYENGQDIVEAGFNTSRNGTKKISNDDLKDLPCYDYKAADMRENGLVACVVCLENFNVGDKCRSLPNCNHSFHTQCIDSWLVKTPVCPICRTSVNPQEIGGILSQESSVSITVGVEL >Manes.14G029767.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2705361:2707005:1 gene:Manes.14G029767.v8.1 transcript:Manes.14G029767.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPSPADQSQAIIDPIADLSQKLFQLIQNSQNGNQKSANQFNLDSAQPPSDIKLNDSNYVVWAKMMEMFITGRGKSNHLTGTPSPPTETDPAIYLWQTNDNIVRGWLIQTVEQKLRPNLLQHKTSKGLWDALKIIFNTGSNKLIIYELQSKAYKLTQQGSNLEDLYNDLQAIWAEIDERQPTRIEGDNNIIIRNREIQEERLYLFLAGVQSDLDPVRREILNEEPLPTLDNAYSRLRGEKLRRAIHLPLPSPATAGLKCTHCSGSQHTRDGCFKIIGYPEWWEENNIRKNKGKGQGAGNTATVTTSGTKKAACDNNLIGQTEENSGNGQGSGVAAALQGAKKGGGTGVPYDREGGYSYEHGTGPWY >Manes.10G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3264727:3266609:1 gene:Manes.10G032200.v8.1 transcript:Manes.10G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPQPLRNGETPSPHTRIPPPHFHSTVSVQKLKRFNSLILVFRLATFCFSLTSAVFTLTNSRGSDSPHWYDFDAFRYVFAANAIVTLYSIFEMAASIWEISRGATLFPEVLQVWFDFGHDQVFAYMLLSADSAGTALARTLKGGDTCTVSNAFCVQSYIAIALGFAGFIFLGLSSLLSGFRVVCFIIYGSRFHL >Manes.06G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15200630:15202202:1 gene:Manes.06G048600.v8.1 transcript:Manes.06G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELRLGLALPAYGFDANKVSAGSSGQVIMIMNQGMLHSWLSTGTYSASAEDDFSTNNQKRSFYDAFEETNDVPRTLPLLLWNKQPNEEEDDEHKDLDKSFPFALNRADGDGDGIVGWPPIKFRRRKLSHRSKEKRPENDRAVDSGCVDCHGRPSKSMYVKVKMEGVAIGRKIDLSLCTSLQALEQTLHGMFGIRQENCSSYKLTFQDREGDWLLADDVSWRSFIRSVQRLKLIRNSR >Manes.01G077500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28072630:28074911:1 gene:Manes.01G077500.v8.1 transcript:Manes.01G077500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPPLRHILAFDTMFSHLLHSLFHSYLPASLLLVLELLADFRFSFPITLALCLSPLSPSLLPFFSPLLFGLLLDIAFVGLIKIIFRRPRPPYNPNMSAVVSADNFSFPSGHASRVFLVATLVSLSADAIEAALTDLRANDGFAHRWIAGDDTKVVGIVVLVVRVWAAVTALSRVLLGRHFFIDVLAGACLGVFEALLAFQFLQIEEYLSLSLYQKVGQGHS >Manes.01G077500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28072630:28073268:1 gene:Manes.01G077500.v8.1 transcript:Manes.01G077500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPPLRHILAFDTMFSHLLHSLFHSYLPASLLLVLELLADFRFSFPITLALCLSPLSPSLLPFFSPLLFGLLLDIAFVGLIKIIFRRPRPPYNPNMSAVVSADNFSFPSGHASRVFLVATLVSLSADAIEAALTDLRANDGFAHRWIAGDDTKVVGIVVLVVRVWAAVTALSRVLLGRHFFIDVLAGACLGVFEALLAFQFLQIEEYLR >Manes.01G009601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3090258:3092591:-1 gene:Manes.01G009601.v8.1 transcript:Manes.01G009601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLQGMASSSDDRQEESGGFFGNIKKFLDFIFKIIDKVFKIMPIASVTGIHIPSLSLEKLDLSADVLIKNRSPLSIPLVASDYLVESDGNKLISGEISDVGNIEARSEKTVTVEVCFIFEEIRNIGLEIRPGSVIPFRIKVDLNVHVPIFGKLTLPLEETGNIPIPDRPDIDLEKIQITEFSFEETVSVFHLKLENKNEFEMGLKGLEYEIWLCEISIGGAQIEQSADVGHKGVTLIEIPITFRPKDFGQAIWEAITEHSINYAIKGHVDVDTPFGTMKLPIDKEGGAPIHK >Manes.01G009601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3090315:3092587:-1 gene:Manes.01G009601.v8.1 transcript:Manes.01G009601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDDRQEESGGFFGNIKKFLDFIFKIIDKVFKIMPIASVTGIHIPSLSLEKLDLSADVLIKNRSPLSIPLVASDYLVESDGNKLISGEISDVGNIEARSEKTVTVEVCFIFEEIRNIGLEIRPGSVIPFRIKVDLNVHVPIFGKLTLPLEETGNIPIPDRPDIDLEKIQITEFSFEETVSVFHLKLENKNEFEMGLKGLEYEIWLCEISIGGAQIEQSADVGHKGVTLIEIPITFRPKDFGQAIWEAITEHSINYAIKGHVDVDTPFGTMKLPIDKEGGAPIHK >Manes.01G009601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3090183:3092649:-1 gene:Manes.01G009601.v8.1 transcript:Manes.01G009601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDDRQEESGGFFGNIKKFLDFIFKIIDKVFKIMPIASVTGIHIPSLSLEKLDLSADVLIKNRSPLSIPLVASDYLVESDGNKLISGEISDVGNIEARSEKTVTVEVCFIFEEIRNIGLEIRPGSVIPFRIKVDLNVHVPIFGKLTLPLEETGNIPIPDRPDIDLEKIQITEFSFEETVSVFHLKLENKNEFEMGLKGLEYEIWLCEISIGGAQIEQSADVGHKGVTLIEIPITFRPKDFGQAIWEAITEHSINYAIKGHVDVDTPFGTMKLPIDKEGGAPIHK >Manes.03G162600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28925056:28927878:1 gene:Manes.03G162600.v8.1 transcript:Manes.03G162600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSLTPSTQSDSTQQDEQMDLYLKIVKTVCLNLKGSETIKTLKELIEEKEGIGEKNQDLFFDGNLLRDGQRLVDCGVRKNCTVHLIVQNPVTIKLLVKIPSDPRIIMVEAKTCDTIHNVKLMIQSKEGILSDNFTLVHDGHLLEDESTLASLNIRSNSNIHLVFCQKEVLSIFVKAPNKDTVQLRVKVMFTVDDIKAICGSIIGVSVSGCNMFCAAKQLEGSKTLAFYDIKEGSLLELLPTSMQIFVKTWIGKTLALDVKEHDTVKHIKQQIFQKLKIPIDIQSIVYAGRRLENDWDLASYGIQRHSTLHMVFAPSSTLIEMPLTDLGFQLLSTTDIRSLKSTIQNKFSTPVKEILFKGKALHDDKTLAYYKIKNDSKLAVIF >Manes.11G008800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:928857:934490:-1 gene:Manes.11G008800.v8.1 transcript:Manes.11G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMSALHLKHCVQSYNVFDFLREIVSRVPDYSHGHSDNTADHRTHQKRKPIGEECNDSDEELKRSRMHEVMSHAGSSGRGRGRGRGRGRGRGARNVERESSHREIESEPSTTLQQNLKNNSNPGTVVDNDSEQKELLEEDMIVSDGANASERNFDLNADVNENEDTKAATVAAATAPVPAPAAAAPAAAPAAAVAATVIDNSSAAPAAATNHEEYPGWSLSEMDKMAIDPLQLANLGTRIDEEEEEDYDEEG >Manes.11G008800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:928808:934490:-1 gene:Manes.11G008800.v8.1 transcript:Manes.11G008800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMSALHLKHCVQSYNVFDFLREIVSRVPDYSHGHSDNTADHRTHQKRKPIGEECNDSDEELKRSRMHEVMSHAGSSGRGRGRGRGRGRGRGARNVERESSHREIESEPSTTLQQNLKNNSNPGTVVDNDSEQKELLEEDMIVSDGANASERNFDLNADVNENEDTKAATVAAATAPVPAPAAAAPAAAPAAAVAATVIDNSSAAPAAATNHEEYPGWSLSEMDKMAIDPLQLANLGTRIDEEEEEDYDEEG >Manes.16G097000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30279294:30282782:-1 gene:Manes.16G097000.v8.1 transcript:Manes.16G097000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLCSGAARSLFSSSVSLTFSSPKRHLKLSSFSFFKPYSHSSPHKSPIKMSRTTIEHIVLFKVKDNTDPTKVNTMLNSLNSLVSLDAVLHIAAGPLYRVKSSPISFTHMLHSRYSSKDNLNAYSAHPSHVTAVKESVLPICEDIMAVDWIADDLQGPVVPAPGSAIRLTFLKLKENLREDVKNEILAVIKGIKGNFGEINQITCGENFSPARAKGYSIASLAVFPTVSEMEAVDSKEELVNLQKEKVRDYLESVIVVDYVVPSPQSASL >Manes.16G097000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30279621:30282653:-1 gene:Manes.16G097000.v8.1 transcript:Manes.16G097000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLCSGAARSLFSSSVSLTFSSPKRHLKLSSFSFFKPYSHSSPHKSPIKMSRTTIEHIVLFKVKDNTDPTKVNTMLNSLNSLVSLDAVLHIAAGPLYRVKSSPISFTHMLHSRYSSKDNLNAYSAHPSHVTAVKESVLPICEDIMAVDWIADDLQGPVVPAPGSAIRLTFLKLKENLREDVKNEILAVIKGIKGNFGEINQITCGENFSPARAKGYSIASLAVFPTVSEMEAVDSKEELVNLQKEKYFLGS >Manes.03G098816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17894970:17899836:1 gene:Manes.03G098816.v8.1 transcript:Manes.03G098816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKITVVRQSSAASDHPIDPSYPEGSSINPSENLFSLDCNVRLDPSRPLSKRKHWVVAEILKKARIYVPPSADSFVGLDGKTEAQTSAAS >Manes.08G107400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34693439:34693708:-1 gene:Manes.08G107400.v8.1 transcript:Manes.08G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSRQTKKSISFSAFNIFKSRRPRRVDDCYEDVSSARRVYPSDEDGRGPWSVADPRIDIKTSAFIAHFHATRISESDRQIYQPAKAS >Manes.01G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5726803:5729320:-1 gene:Manes.01G027500.v8.1 transcript:Manes.01G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSALMSFYIPIFLCLICSISAANHPIPLNGTCHDTCGTIPVKFPFGTEFGCGHPEFSRYVRCNSGTLEFSTGTGIYTISSIDYPSNTLIVTDPLMSTCSSMQNSGSFSLDRASPFTLTGENIFVLLGCSTTSPVFDPKEDLCNTGSGSRVCKGLYSCKGVQGIGLPHNAPISTCCVYESPIQLAGYTLDLPKLQCSSYTSIYSFGGNEGDPMKWEFGISLQYNGSYYSNICKDCESSGGLCGFAGIDQSFACICRNGKNTTTSCFAQGVAWSGTVGSKVQTKFSLAGILLPWLVVSI >Manes.02G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12300637:12304020:1 gene:Manes.02G157300.v8.1 transcript:Manes.02G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFRKQFMKTLFSLFKILLRRLELPQESKTIINSHANHSTRFSSDQTIMVFDLEAALLKSSSLFPYFMLVAFEAGGLIRALFLLIAYPFVCSVGKELGLKIMTFICFVGIREDKFRAGSAVLPKFFLEDVGCEGFDLVMRCERKIGVSKLPRIMVEGFLKDYIGVEGVMGREMKVVCGYFLGLMEEKEVAFTSLNEILLEKKMGSFAAVVGCSFLMSPFGQLLRHCKEVYLVSESEKRNWRILPRERYPKPLIFHDSRLSFRPTPFATFAMFMWLPFAFLLSIFRITIGVLLPFEISNPILAFTGSTSIISVPKHPLTSAKSRGSLYVCNHKTLLDPIFISSSILEHVTAVTYSVSRFNEIISPIRTIRLARDRGRDRKLMDEKLSQGNLVVCPEGTTCREPYLLRFSPLFAEITDEIVPVAVNVQISMFYGSTATGSKCLDPFFHFMNPRPRYFVKVLEKLPGSQTHMVGGKSSFEVANYVQNEIAKALGFECTSLTRKEKYKILAGNNGII >Manes.04G100800.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532238:30539001:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGDLFSKSIRIQPANEGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532205:30539834:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGTTPVLGHNEVNGELRINNSFMAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532230:30539034:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532054:30539033:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532233:30539003:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532205:30539834:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGTTPVLGHNEVNGELRINNSFMAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532062:30539902:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532245:30538988:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSAGHPVQANNASTAKAAKGDLFSKSIRIQPANEGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532054:30539033:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSAGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532062:30539902:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGDLFSKSIRIQPANEGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532062:30539902:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532205:30539834:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGTTPVLGHNEVNGELRINNSFMAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSAGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532230:30539034:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSAGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532230:30539034:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532203:30539001:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGDLFSKSIRIQPANEGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532054:30539033:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532233:30539003:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSAGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532200:30538984:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSAGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532204:30539902:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSAGHPVQANNASTAKAAKGDLFSKSIRIQPANEGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532205:30539834:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLADLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGTTPVLGHNEVNGELRINNSFMAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSAGHPVQANNASTAKAAKGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.04G100800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30532244:30539002:1 gene:Manes.04G100800.v8.1 transcript:Manes.04G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPKQGGKSPMSSSFPQSNEELAPFHLQDGADNLAGIIDNMVQSATFFLAGDVHGMPQSHAQEMSFPVMKDDLAWNVNVNDFGQYHTLGDSVAAAANIHTTGLGNSQIERNNVTNGADVSSGLQMLKAGGEANDNEICRPWLIENQINHAGTMSLSGYIPSAGEFGMNISQMCTAGGEANGNNIPVPWVFGNQFIHAGVAQSNNPEMLDGNFLTLGYESNVETGSKYNVSSEDNSQGTKQIVLSMLNTYSSQNFARNSLNSSSKLADDSSSFCKYDSGFIRRALNESDCKRIGHVQNDDGFSSLGQNANGMSHQVCNANVFSSPVQNVVASYHQAENKDRSSSQTQNVGWLSKPSGDGRVGTQLPLVDKQHHNHTPTSWNSGLGEKKKATFANTNPHTSFQGLRTKSLMPPNSNQVFLPDTGWFGVTESSPPSSWVRSTIQPASDQLRSPHVGSVRNFSSNPSVVLPFNGVTRSIGLQGQSGHPVQANNASTAKAAKGDLFSKSIRIQPANEGQEIPAVNEPNQSSSVPSGPSLKRTASICPPSAPQPWCKKTRSIKPVSHPSASSLRRTGPPHVPLTSVDSLSSPIPQTVSSRPPLAPTSPHLPHLPRTSSPHHMKWQGRQGKHRTPQPSGRQCHICKRDLSFTPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPLNQAHDPPCIPCAIGD >Manes.05G009100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1035112:1040327:1 gene:Manes.05G009100.v8.1 transcript:Manes.05G009100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPQPSLGYPLTVTPTNPDKSTPQPEKTPISPSPPVLPGASIFPSRNLQQDQNSSPSIKTPNLLSPSNGIKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSSLPTSSPPHFSDGSLELQHQVPLAIEDSISLEELPHILFSAHKVLKQKKLANVSSLGFGALISPGRKISPGPQIILRDPHRCQNCGAYANLYCKILLGSGQWQCVICRKLNGSDGEYIAPSKEDLHNFPEMSSTMVDYVQTGNKRPGFVPVSDSRMSAPIVLVIDDCLDEPHLQHLQSSLHAFVDSLPPTARIGIILYGRTVSVYDFSEESMASADVLPGDKSPSQESLKALIYGTGVYLSPMHASKEVAHQIFSSLTPYKLNIAEASRDRCLGTAVEVALGIIQGPSAEMSRGIVKRAGGNSRIIVCAGGPSTYGPGSVPHSFSHPNYPHMEKMALKWMEHLGCEAHRQNTVVDLLCAGTCPVRVPVLQPLAKASGGVLVLHDDFGEAFGVNLQRASTRASGSQGLLEIRCSDDILITQVVGPGEEAHIDTHEAFKNDASLSIQMLSVEETQSFALSMETKGDIKTDWVFFQFAIQYSSLYQADISRVITVRLPTVDSVSSYLGSVQDEVAAVLIAKRTLLRAKNYSAAIDMRATIDERIKDIALKYGSQLQKSKLYRFPKELSLLPEFLFHLRRGPLLGNIVGHEDERSVLRNLFLNASFELSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDQGTDVFIWLGAELAADEGRSAAALAACRTLAEELTEVRFPAPRILAFKEGSSQSRYFVSRLIPAHKDPPYEQEARFPQLRSLTTERRTKLKCSFIHFDDPSFCEWMRSLKVVPPEPS >Manes.05G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1035402:1040327:1 gene:Manes.05G009100.v8.1 transcript:Manes.05G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPQPSLGYPLTVTPTNPDKSTPQPEKTPISPSPPVLPGASIFPSRNLQQDQNSSPSIKTPNLLSPSNGIKTGSPIPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSSLPTSSPPHFSDGSLELQHQVPLAIEDSISLEELPHILFSAHKVLKQKKLANVSSLGFGALISPGRKISPGPQIILRDPHRCQNCGAYANLYCKILLGSGQWQCVICRKLNGSDGEYIAPSKEDLHNFPEMSSTMVDYVQTGNKRPGFVPVSDSRMSAPIVLVIDDCLDEPHLQHLQSSLHAFVDSLPPTARIGIILYGRTVSVYDFSEESMASADVLPGDKSPSQESLKALIYGTGVYLSPMHASKEVAHQIFSSLTPYKLNIAEASRDRCLGTAVEVALGIIQGPSAEMSRGIVKRAGGNSRIIVCAGGPSTYGPGSVPHSFSHPNYPHMEKMALKWMEHLGCEAHRQNTVVDLLCAGTCPVRVPVLQPLAKASGGVLVLHDDFGEAFGVNLQRASTRASGSQGLLEIRCSDDILITQVVGPGEEAHIDTHEAFKNDASLSIQMLSVEETQSFALSMETKGDIKTDWVFFQFAIQYSSLYQADISRVITVRLPTVDSVSSYLGSVQDEVAAVLIAKRTLLRAKNYSAAIDMRATIDERIKDIALKYGSQLQKSKLYRFPKELSLLPEFLFHLRRGPLLGNIVGHEDERSVLRNLFLNASFELSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDQGTDVFIWLGAELAADEGRSAAALAACRTLAEELTEVRFPAPRILAFKEGSSQSRYFVSRLIPAHKDPPYEQEARFPQLRSLTTERRTKLKCSFIHFDDPSFCEWMRSLKVVPPEPS >Manes.13G092300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27393194:27394409:-1 gene:Manes.13G092300.v8.1 transcript:Manes.13G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREESSIIRKNTTAHFVLIHTICHGAWIWYKLKPQLEAAGHKVTALDLAASGTDSRQIEDIGSFDDYSEPLMTFMESLPEGNKVILVGESCGGLNIAIAAEKYSKKIAAGVFLNSLMPDIDHSPSYVVEKLMEVFPNWKDTEFFNFEKDGEIIQGLKLGLRLMRENLYTECPVEDYEMAKMLTKKGSLFQNILAKRKKFTKEGYGSIKRIYVWTEEDKIFLTEFQQWQIVNYKPSMVYEVKGGDHKLQLTKTKEIAKILQEVADIYTNL >Manes.01G205300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37834481:37837102:1 gene:Manes.01G205300.v8.1 transcript:Manes.01G205300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFQYTHVKLLAFDLLSLLQTPSFSSDPICFSRLGKSLSRAEILGLVTSREHKPNKFLKFTIDDGTGCVSCILWLNQLASPYFSRRSPPDVRLIADVATHFASDIKIGVVARVRGRITSYRGVVQITVSDVVIERDPNAETFHRLNCIKLARNYYGVAAPLNAAVETCY >Manes.01G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6174206:6188233:-1 gene:Manes.01G031400.v8.1 transcript:Manes.01G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTRTAPLNLPKTKPIRCIVKLGGAAITCKNELEKVNEENLEIVSSQLRQAMITGSGSQKVIGMDWSKRPGKSEISCEMDNFEDQTLMDSSSFVVVHGAGSFGHFQASKSGVHKGGLHKLLVRAGFVATRISVTTLNLEIVRVLAREGIPSIGMSPFSCGWSTSERNLKSADLSMVAKAIDSGFVPVLHGDAVLDVLQGCTILSGDVIIRHLAAYLKPEYVVFLTDVLGVYDRPPSEPNAVLLREIAVNEDGSWYVVKPARQDMNKQVEITVAAHDTTGGMETKISEAAMIAKLGIDVYIVKAATSHSLRALSGEVRSSIPDDWLGTVIRFVDKRNC >Manes.02G013100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1303891:1308047:1 gene:Manes.02G013100.v8.1 transcript:Manes.02G013100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGLFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYEATARSWTQKYAMG >Manes.17G115300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32265184:32268771:1 gene:Manes.17G115300.v8.1 transcript:Manes.17G115300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIGSIRGSIIVGLKTTIRRESLVWYSFLVQKEPNGSSFLQVTSVTPSLDYIPFVHLRSYGTALPFQETPNVSSPYFLRTQRFNAFAGHSFLANQIHGRFMSSASIELKTDSTVVRFSISKQHDKNGSSEKGKKTTKRVKMSRKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKFEVPKMPAETYDPEILTEEEKHYLKRTGEKKKNFILVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQIHEYAEELTRLSKGIVIDIKPNNTIIFYRGKNYVQPEVMSPQDTLSKQKALEKYRYEQSLEHTSHFIEKLENELQEYHDHVARYKKEKEAHDSSPLSTKNGNLSNSRIKS >Manes.17G115300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32265510:32268717:1 gene:Manes.17G115300.v8.1 transcript:Manes.17G115300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIGSIRGSIIVGLKTTIRRESLVWYSFLVQKEPNGSSFLQVTSVTPSLDYIPFVHLRSYGTALPFQETPNVSSPYFLRTQRFNAFAGHSFLANQIHGRFMSSASIELKTDSTVVRFSISKQHDKNGSSEKGKKTTKRVKMSRKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKFEVPKMPAETYDPEILTEEEKHYLKRTGEKKKNFILVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQIHEYAEELTRLSKGIVIDIKPNNTIIFYRGKNYVQPEVMSPQDTLSKQKALEKYRYEQSLEHTSHFIEKLENELQEYHDHVARYKKEKEAHDSSPLSTKNGNLSNSRIKS >Manes.17G115300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32265256:32268713:1 gene:Manes.17G115300.v8.1 transcript:Manes.17G115300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIGSIRGSIIVGLKTTIRRESLVWYSFLVQKEPNGSSFLQVTSVTPSLDYIPFVHLRSYGTALPFQETPNVSSPYFLRTQRFNAFAGHSFLANQIHGRFMSSASIELKTDSTVVRFSISKQHDKNGSSEKGKKTTKRVKMSRKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKFEVPKMPAETYDPEILTEEEKHYLKRTGEKKKNFILVGRRGVFGGVVLNMHLHWKKHETVKVICKPCKPGQIHEYAEELTRLSKGIVIDIKPNNTIIFYRGKNYVQPEVMSPQDTLSKQKALEKYRYEQSLEHTSHFIEKLENELQEYHDHVARYKKEKEAHDSSPLSTKNGNLSNSRIKS >Manes.13G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32599726:32602406:-1 gene:Manes.13G119500.v8.1 transcript:Manes.13G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISLSTLLFVVFFTLSSAMDMSIIRYNDHHHPKSWRSDNEIINMYNWWLSKHGKTYNKLGEREERFEIFKDNLRFIDEHNSKNRSYKVGLTRFADLTNEEYRAMFLGTKSDPKRRVIKAKNPSRRYAFKATDELPDSVDWRKEGAVNPIKDQGSCGSCWAFSTIAAVEGINKIVTGELISLSEQELVDCDRTYDAGCNGGLMDNAFQFILKNGGIDTEQDYPYLAVDGTCDPVKVNNKAVTIDGFEDVIPFDEKALQKAVANQPVSVAIEASGMPLQFYQSGVFSGECGSALDHGVAIVGYGTDETGMDYWIVRNSWGRDWGENGYIRIQRNVIDTYTGKCGIAMQSSYPIKNTQNPSQPSEPAEKKINSA >Manes.09G003200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:941286:943659:-1 gene:Manes.09G003200.v8.1 transcript:Manes.09G003200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTETFDYISELPKPILHNILSFLSTKDIARTSALSKTWLDAWKTFPILKIDLDWMLLTGVRQLPSNSEITQKIQELYKYSEQCLLSRRSQRTNLIKFKLKVPWVYDDLEMVSNMDRWVGYALESNVKHLKIVVAATTLSEKCTYSVPPAVFNAISVQILELRYCNLHILPSINEVRLPFLKKLSLKCVFADDIVICKLVAGSPSIEEMSFISCYGITKLQIFNLANLVKFHVEYTHLEHLEMEAPNLHSLSLEGSSLPSVLKVVSKNLKSLAITGAPITDAWMHEQLKRFPHLESLRLSECDMLETLKISSYRLHRLSIKSCQGVATIQIDTPNLHVLSYCGDIISFSSNGLILPEVHLNFESNTMEISWYARLIELLDKFNQSFRMVVLKGRTGKSYIIPSKMRRLLQPPMLKVKELKLSINSISNELPIALLVDALLWISPHLESIYINCPVKSFFKFSYKKPIDGESRCYKSLPVSCWNHCIKDVKIKQIFRGFYYHLHGNINPNSESIERNYIFNQDIWEKIRSGVLDWNPYLDRRKSLLMT >Manes.11G120575.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28001924:28004959:1 gene:Manes.11G120575.v8.1 transcript:Manes.11G120575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSGADCVYKPSELRPQIGQIIQVLKGTTELENIWRLSDNEIFLRDESSDSVHSN >Manes.06G052000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18200352:18201254:-1 gene:Manes.06G052000.v8.1 transcript:Manes.06G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISPHSDVETDHDHQLLAHNDNLITFSDFSINPLFEFDDDLFYYDSYTNLLPYFSSSPIDNVMSLSHDTSLLQEFEYCHYPKRRKSYADACHSSFSPIFFDGFIANSDLVIPDFPALLLMEEEVAAIALGKNQAEGALSAQSIAARERRRKITEMTQELGKLVPGGNKMNTAEMLQAASKYVKFMQAQVKLLQLMEPMHQELNLHMQELQILLASPTVQEKLYSQEKCLVPRELLQTIANNE >Manes.05G110301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10997549:11000487:1 gene:Manes.05G110301.v8.1 transcript:Manes.05G110301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGENRSREQSESKVKSIVNESDENRGSNFDEGNLKHGVVLGISEHMENATPAKENDDGLPPLGKNVNESRNASSSPASKVGANGISQSHHASKSRNTNNGFANQSVTFAIPDFIPESHHGHVATMMQSPPMQAMDRSSAGGEGYDPLRIPSAVFESNKTSPVDWSIASNDSLFSLQLAPSFSRDRHTAEVDSQVSSSSSVSVVDNETRVSAADADNQPSVPIEERREEITEIEKSEEAAGVADETTSKDATKPGDVEYPNEKKKKKSAGSVNSESSDESGESDNSFAFPVKRKCAWSPCYCTWPGCYCTWPGCYCTWPRCYCSKCTCSFCYCWNCSPGRLCWCSCCCRPSPTTYASIPSFHLREIIFWTRSIMYSRHRQNSLMLQHFLPVMSIITHLIACINSSKFNFFVCAVWQLRYILLQRDFVRLRIVVPHVVFHLTLVAVLHGTLVVAVPHVVLHLTLVAVLHVLVVLLVILAVVVHVSVVVVLHAVLLLVVPLALHAAAYVARLAVVRAICVVVLVVTPVTVLLVITRVVFLLHVAHVLVARVLLVLLTLLGLFHVSLLAFLHATLVTVLRVIDGVVLLVFVVVVDVVVLAVAVVIVVERRS >Manes.05G110301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10997549:11000487:1 gene:Manes.05G110301.v8.1 transcript:Manes.05G110301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGENRSREQSESKVKSIVNESDENRGSNFDEGNLKHGVVLGISEHMENATPAKENGKKLNSNVSDLVNGRDHLGSPSIKSSSSNSSSPAKEFSSPDVSLSFSSSTLPPDDGLPPLGKNVNESRNASSSPASKVGANGISQSHHASKSRNTNNGFANQSVTFAIPDFIPESHHGHVATMMQSPPMQAMDRSSAGGEGYDPLRIPSAVFESNKTSPVDWSIASNDSLFSLQLAPSFSRDRHTAEVDSQVSSSSSVSVVDNETRVSAADADNQPSVPIEERREEITEIEKSEEAAGVADETTSKDATKPGDVEYPNEKKKKKSAGSVNSESSDESGESDNSFAFPVKRKCAWSPCYCTWPGCYCTWPGCYCTWPRCYCSKCTCSFCYCWNCSPGRLCWCSCCCRPSPTTYASIPSFHLREIIFWTRSIMYSRHRQNSLMLQHFLPVMSIITHLIACINSSKFNFFVCAVWQLRYILLQRDFVRLRIVVPHVVFHLTLVAVLHGTLVVAVPHVVLHLTLVAVLHVLVVLLVILAVVVHVSVVVVLHAVLLLVVPLALHAAAYVARLAVVRAICVVVLVVTPVTVLLVITRVVFLLHVAHVLVARVLLVLLTLLGLFHVSLLAFLHATLVTVLRVIDGVVLLVFVVVVDVVVLAVAVVIVVERRS >Manes.11G159600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32519683:32521818:-1 gene:Manes.11G159600.v8.1 transcript:Manes.11G159600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAVLGGNTHPTAEDLKEILGSVGADADEDRIKLLLTEVEGKDVTELIAAGREKLASVPSGGGVAVAAAAAPAAGGGAAAPAAEAKKEEKVEEKEESDEDMGFSLFD >Manes.10G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4064035:4078484:-1 gene:Manes.10G039500.v8.1 transcript:Manes.10G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSLPNGNAAASIPAGTTRISPTHTTPSSKLTQLTESLKLEHQFLRVPFEHYKKTIRANHRTVEKEVSSVIAGVSDAADSDMSKDDAFQHLTSLVSRLQGLKRKLEEGSRTEHLQAQRCRARLDHLESVDAENFSEWSNTRLKRILVDYMLRMSYYDTGLKLAESSNMLDLVDIDVFQEARKVIDALQNREVAPALAWCADNKSRLKKSKSKFEFQLRLQEFIELVRAENNMRAIAYARKYLAPWGATHMKELQRVMATLAFKSHTECATYKVLFEPKQWDYLVDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPYCYEDDCTKEDPLSQESFRKLALPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSAKALEEMAKKNNGKITCPRTGFVCNYSEVVKAYIS >Manes.05G068000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5634292:5637936:1 gene:Manes.05G068000.v8.1 transcript:Manes.05G068000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMLKKDTSYWWWFDSHQSSRRSPWLQSTLGELDRKTKAMLKLIEEDADSFAQLAEMYYKKRPELISMVEDFYRTHRALAERYDQLKSDSGNRHLAMLGSQVSTKYQLQKFMNGMDQTYDNHSETYDSEDAAESEVEDPEPIEETELDEGMGEVEEPEHGMENSEVESSGAICNDEVMKLKEEIERLKEENKIQREQLLQKDEEKREVIRQLSLAMEVLKLENMQLRKCVARETPKKMSIFELERLKEVFSRKLFNGCSKSRGTILAL >Manes.05G068000.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5634292:5637936:1 gene:Manes.05G068000.v8.1 transcript:Manes.05G068000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDRKTKAMLKLIEEDADSFAQLAEMYYKKRPELISMVEDFYRTHRALAERYDQLKSDSGNRHLAMLGSQVSTKYQLQKFMNGMDQTYDNHSETYDSEDAAESEVEDPEPIEETELDEGMGEVEEPEHGMENSEVESSGAICNDEVMKLKEEIERLKEENKIQREQLLQKDEEKREVIRQLSLAMEVLKLENMQLRKCVARETPKKMSIFELERLKEVFSRKLFNGCSKSRGTILAL >Manes.05G068000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5634292:5637936:1 gene:Manes.05G068000.v8.1 transcript:Manes.05G068000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMLKKDTSYWWWFDSHQSSRRSPWLQSTLGELDRKTKAMLKLIEEDADSFAQLAEMYYKKRPELISMVEDFYRTHRALAERYDQLKSDSGNRHLAMLGSQVSTKYQLQKFMNGMDQTYDNHSETYDSEDAAESEVEDPEPIEETELDEGMGEVEEPEHGMENSEVESSGAICNDEVMKLKEEIERLKEENKIQREQLLQKDEEKREVIRQLSLAMEVLKLENMQLRKCVARETPKKMSIFELERLKEVFSRKLFNGCSKSRGTILAL >Manes.05G068000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5634292:5637936:1 gene:Manes.05G068000.v8.1 transcript:Manes.05G068000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMLKKDTSYWWWFDSHQSSRRSPWLQSTLGELDRKTKAMLKLIEEDADSFAQLAEMYYKKRPELISMVEDFYRTHRALAERYDQLKSDSGNRHLAMLGSQVSTKYQLQKFMNGMDQTYDNHSETYDSEDAAESEVEDPEPIEETELDEGMGEVEEPEHGMENSEVESSGAICNDEVMKLKEEIERLKEENKIQREQLLQKDEEKREVIRQLSLAMEVLKLENMQLRKCVARETPKKMSIFELERLKEVFSRKLFNGCSKSRGTILAL >Manes.05G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3160437:3165037:1 gene:Manes.05G038100.v8.1 transcript:Manes.05G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQHIAIFTTASLPWLTGTAVNPLFRAAYLAKDGGRKVTLVIPWLSLKHQKLVYPSNITFSSPSDQEAYIHQWLEDRIPFSSNFSICFYPGKFAVDKGSILGVGDISEVIPDEEADIAILEEPEHLTWFHHGKRWKTKFRLVIGIVHTNYLEYVKREKNGRLKALMLKYVNEWVVDIYCHKVIRLSAATQDYPKSIICNVHGVNPKFIEIGKKKLELQQREKQAFTKGNYYIGKMVWSKGYKELLKLLHTHQKELAGLEVDLYGNGEDSDQVKEAAKKLELVVRVNPGRDHADPVFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNDFFKQFPNCRTYDNSNEFVKVTCKALTEQPAELTDAQRHALSWEAATERFLRVADLDQASARKLEKSTTNNFASTSFNLRKNIEDASAYLHYVASGFEASRRAFGAFPGSLQPDEEQRQELGLAIPAGK >Manes.11G127500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29026975:29036694:1 gene:Manes.11G127500.v8.1 transcript:Manes.11G127500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINSSNINQDQHCWTKPAVDRLVIIVLDALRFDFVAPSTFFPEKKPWMDKLPVLQRLAFKQGSSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNFIHQLVINGKRVVMMGDDTWVQLFPHHFNKSYPYPSFNVKDLHTVDNGCIDHLFPSLYQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNLLLEKVIKVLESQSGPGGIHENTLLIVMGDHGQTLNGDHGGGSAEEVETSIFAMSFKQPPVSIPPELDTSSCEQDLDGRKMCTSSIQQLDFAVTVSALLGVSFPFGSIGRVNPELYALGASKWNLEDTGAGDCKNQAKLQEWVQNYVNVLCINAWQVKRYIDVYSASSMIGFSSEDLLHISDIYNQAEGNWSNTKNFLLYENEQGHSLLPALRRQIDAYSNFLSNVSELARSKWTEFNLRMMGIGLAIMLMSVFIIFLAIWQSNRPQIAFPLPSGDSRISFDVIFAFFIVAIRACSLFSNSYILEEGKVAIFLLATTGIVKLQCSIMKKKMLPEAVVFLLLVSVLRFTIEIGLSKQAATSLFLNVSSSWMLRFAPDHPLWTYMSESGPILALILLAWLLYRTISSIYCQGIWKYVIMGTISSYILIAVHWGSESSISSVSSLLQGVGRSYIPRMVYTVGLGQLFLMAFGQLFSKEKALDEKWSLIMKTTAMLSAWSSTIIILSGQQGSLIALASVIGGYCILRLDSMEEDAISGTVRKLNFNPLAVTQWNLLAVCLFFATGHWCAFDGLRYGAAFVGCI >Manes.11G127500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29026975:29036694:1 gene:Manes.11G127500.v8.1 transcript:Manes.11G127500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRWCYWVVTVVHVVGILIFTRGFLLTRTELPYYSHCSDVSQSPCFSPTNNDSLSSSSSSSDSSINSSNINQDQHCWTKPAVDRLVIIVLDALRFDFVAPSTFFPEKKPWMDKLPVLQRLAFKQGSSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNFIHQLVINGKRVVMMGDDTWVQLFPHHFNKSYPYPSFNVKDLHTVDNGCIDHLFPSLYQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNLLLEKVIKVLESQSGPGGIHENTLLIVMGDHGQTLNGDHGGGSAEEVETSIFAMSFKQPPVSIPPELDTSSCEQDLDGRKMCTSSIQQLDFAVTVSALLGVSFPFGSIGRVNPELYALGASKWNLEDTGAGDCKNQAKLQEWVQNYVNVLCINAWQVKRYIDVYSASSMIGFSSEDLLHISDIYNQAEGNWSNTKNFLLYENEQGHSLLPALRRQIDAYSNFLSNVSELARSKWTEFNLRMMGIGLAIMLMSVFIIFLAIWQSNRPQIAFPLPSGDSRISFDVIFAFFIVAIRACSLFSNSYILEEGKVAIFLLATTGIVKLQCSIMKKKMLPEAVVFLLLVSVLRFTIEIGLSKQAATSLFLNVSSSWMLRFAPDHPLWTYMSESGPILALILLAWLLYRTISSIYCQGIWKYVIMGTISSYILIAVHWGSESSISSVSSLLQGVGRSYIPRMVYTVGLGQLFLMAFGQLFSKEKALDEKWSLIMKTTAMLSAWSSTIIILSGQQGSLIALASVIGGYCILRLDSMEEDAISGTVRKLNFNPLAVTQWNLLAVCLFFATGHWCAFDGLRYGAAFVGCI >Manes.11G127500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29026975:29036694:1 gene:Manes.11G127500.v8.1 transcript:Manes.11G127500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRWCYWVVTVVHVVGILIFTRGFLLTRTELPYYSHCSDVSQSPCFSPTNNDSLSSSSSSSDSSINSSNINQDQHCWTKPAVDRLVIIVLDALRFDFVAPSTFFPEKKPWMDKLPVLQRLAFKQGSSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNFIHQLVINGKRVVMMGDDTWVQLFPHHFNKSYPYPSFNVKDLHTVDNGCIDHLFPSLYQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNLLLEKVIKVLESQSGPGGIHENTLLIVMGDHGQTLNGDHGGGSAEEVETSIFAMSFKQPPVSIPPELDTSSCEQDLDGRKMCTSSIQQLDFAVTVSALLGVSFPFGSIGRVNPELYALGASKWNLEDTGAGDCKNQAKLQEWVQNYVNVLCINAWQVKRYIDVYSASSMIGFSSEDLLHISDIYNQAEGNWSNTKNFLLYENEQGHSLLPALRRQIDAYSNFLSNVSELARSKWTEFNLRMMGIGLAIMLMSVFIIFLAIWQSNRPQIAFPLPSGDSRISFDVIFAFFIVAIRACSLFSNSYILEEGKVAIFLLATTGIVKLQCSIMKKKMLPEAVVFLLLVSVLRFTIEIGLSKQAATSLFLNVSSSWMLRFAPDHPLWTYMSESGPILALILLAWLLYRTISSIYCQGIWKYVIMGTISSYILIAVHWGSESSISSVSSLLQGVGRSYIPRMVYTVGLGQLFLMAFGQLFSKEKALDEKWSLIMKTTAMLSAWSSTIIILSGQQGSLIALASVIGGYCILRLDSMEEDAISGTVRKLNFNPLAVTQWNLLAVCLFFATGHWCAFDGLRYGAAFVG >Manes.11G127500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29026975:29036694:1 gene:Manes.11G127500.v8.1 transcript:Manes.11G127500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINSSNINQDQHCWTKPAVDRLVIIVLDALRFDFVAPSTFFPEKKPWMDKLPVLQRLAFKQGSSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNFIHQLVINGKRVVMMGDDTWVQLFPHHFNKSYPYPSFNVKDLHTVDNGCIDHLFPSLYQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNLLLEKVIKVLESQSGPGGIHENTLLIVMGDHGQTLNGDHGGGSAEEVETSIFAMSFKQPPVSIPPELDTSSCEQDLDGRKMCTSSIQQLDFAVTVSALLGVSFPFGSIGRVNPELYALGASKWNLEDTGAGDCKNQAKLQEWVQNYVNVLCINAWQVKRYIDVYSASSMIGFSSEDLLHISDIYNQAEGNWSNTKNFLLYENEQGHSLLPALRRQIDAYSNFLSNVSELARSKWTEFNLRMMGIGLAIMLMSVFIIFLAIWQSNRPQIAFPLPSGDSRISFDVIFAFFIVAIRACSLFSNSYILEEGKVAIFLLATTGIVKLQCSIMKKKMLPEAVVFLLLVSVLRFTIEIGLSKQAATSLFLNVSSSWMLRFAPDHPLWTYMSESGPILALILLAWLLYRTISSIYCQGIWKYVIMGTISSYILIAVHWGSESSISSVSSLLQGVGRSYIPRMVYTVGLGQLFLMAFGQLFSKEKALDEKWSLIMKTTAMLSAWSSTIIILSGQQGSLIALASVIGGYCILRLDSMEEDAISGTVRKLNFNPLAVTQWNLLAVCLFFATGHWCAFDGLRYGAAFVGFDEFVLVRQAILLTVESFGFSHILPILGLPFLAVCRYSPGQTNHGKSSIFSLLYPMYMMFGLITTTTVIATLMCVTIQRRHLMVWGLFAPKFVFDVVGLILTDLIICLALIFYSGKGEDRR >Manes.11G127500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29026975:29036694:1 gene:Manes.11G127500.v8.1 transcript:Manes.11G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRWCYWVVTVVHVVGILIFTRGFLLTRTELPYYSHCSDVSQSPCFSPTNNDSLSSSSSSSDSSINSSNINQDQHCWTKPAVDRLVIIVLDALRFDFVAPSTFFPEKKPWMDKLPVLQRLAFKQGSSARIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNFIHQLVINGKRVVMMGDDTWVQLFPHHFNKSYPYPSFNVKDLHTVDNGCIDHLFPSLYQEDWDVLIAHFLGVDHAGHIFGVDSVQMIEKLEQYNLLLEKVIKVLESQSGPGGIHENTLLIVMGDHGQTLNGDHGGGSAEEVETSIFAMSFKQPPVSIPPELDTSSCEQDLDGRKMCTSSIQQLDFAVTVSALLGVSFPFGSIGRVNPELYALGASKWNLEDTGAGDCKNQAKLQEWVQNYVNVLCINAWQVKRYIDVYSASSMIGFSSEDLLHISDIYNQAEGNWSNTKNFLLYENEQGHSLLPALRRQIDAYSNFLSNVSELARSKWTEFNLRMMGIGLAIMLMSVFIIFLAIWQSNRPQIAFPLPSGDSRISFDVIFAFFIVAIRACSLFSNSYILEEGKVAIFLLATTGIVKLQCSIMKKKMLPEAVVFLLLVSVLRFTIEIGLSKQAATSLFLNVSSSWMLRFAPDHPLWTYMSESGPILALILLAWLLYRTISSIYCQGIWKYVIMGTISSYILIAVHWGSESSISSVSSLLQGVGRSYIPRMVYTVGLGQLFLMAFGQLFSKEKALDEKWSLIMKTTAMLSAWSSTIIILSGQQGSLIALASVIGGYCILRLDSMEEDAISGTVRKLNFNPLAVTQWNLLAVCLFFATGHWCAFDGLRYGAAFVGFDEFVLVRQAILLTVESFGFSHILPILGLPFLAVCRYSPGQTNHGKSSIFSLLYPMYMMFGLITTTTVIATLMCVTIQRRHLMVWGLFAPKFVFDVVGLILTDLIICLALIFYSGKGEDRR >Manes.10G129900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29597253:29598848:-1 gene:Manes.10G129900.v8.1 transcript:Manes.10G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSQQNSSQEIKKRGRKPKKVPLVDGKEPRKANFIKKIIDGHKQTQAKSFDRRRPTLMKKARELQTLCDVDVCVVCFSPDGKVDVWPEDQTRVKDLIFKYKALDKNKKKESDYLDFLESKKVKLQNKKEKMMKLKIDGLISGLSKKLDSLSGDSLMDSVNELEAKIKNLKLKIELLRTEEEKAKAVQADKQIIINTQSSVLNSTSEIIHQEFDHNWPWKNYMNSDTNTPVDHGSCSSFPDIDSLIEENFELLSGVFCSEDPVNHLQAAVNDFPLQENADKPQENFGMVMGDNHGFLSEALPISVWAPQLSHFQSWKQV >Manes.03G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25799569:25803177:1 gene:Manes.03G131300.v8.1 transcript:Manes.03G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTFFLNFFIISIVLLTLSFKLSTAQMVPAVFVFGDSLVDVGNNNHLPVSIAKANFPHNGVDFPTKKATGRFSNGKNAADFLAEKLGLPTSPPYLSLSSKNVSAFMTGVSFASGGAGIFNGTDETLKQSMPLTQQVGDYEMVYEELVKKLGSSAAQNLLTKSLFALVIGSNDIFGYSNSSDPNKSTPQEYVDLMILTLKQLIKRIYGHGGRKFFVSGIGSIGCTPSRRVKTQNQTCNEEINSMAVMYNQKLRSMLQALNSELQGVSYSYFDSYSIFENIIQKPSTYGFTEVKAACCGLGTLKANVPCLPIATYCSNRKDHVFWDLFHPTEATARILVDTLFDGSSQYTIPMNVRKLVTI >Manes.02G056500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4458948:4459289:-1 gene:Manes.02G056500.v8.1 transcript:Manes.02G056500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFKIKNKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Manes.07G010000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1385170:1386238:-1 gene:Manes.07G010000.v8.1 transcript:Manes.07G010000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHIPQELLTDIFSRLPAKSLLRFRCVSKTWHCLISDPSFIALHLETARNGELLFLRYKLEGPRITDERFFLYPDDCLPENPVGVLDCPFKADDYVNIVGSCNGVFCLAERSFQFLFPYIHSHSLGFGFDSKTDDYKLVKLVYLADDDFDFTRPPLVEIFSLRSRGWRMVHHDLEFFTTAFSKAVLLNRACHWLAHKPQNGGEVIILFDLGEEVLGEIEVPDCLVNQYSFMDVAVFDGSLLLVPSHKRNGGEHRLSVWIMKEYGVAGSWTKLFNFPYLVWFSRLVAFRQNGKVLLAMGGKLFFYDPNTEELSDTRILAKTYSVYLDTFVDSLVLMDE >Manes.05G098700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9151890:9159742:-1 gene:Manes.05G098700.v8.1 transcript:Manes.05G098700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETKKKNNGGNEDSKKKERHIVTWTQQEDDILRQQISLHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENKNTFINSNNKRILFHNGFNADGTTENATPAKKTRRSHISAHSEYCKLADRSHPQCASQDSKTQGTFLRKDDPKIAVLMQQAELLSSLALKVNAENTEQSLENAWKVLQDFLNQKENDILRYRFTDMDFRLEDFKDLIEDLRSSNDGSRPSWRQPDLYEESPASSEYSTGSTLMPYTATDKTEKTPVEIGALHEDIPNELQSVHVKEQSDIDECEKESISCANMSQGEIFSSFDEQANNDIVVSASSSTEFSSPLQVTPLFRSLAAGIPSPKFSESERNFLLKTLGVESPCPNPSINPSQPPLCRRALLQSL >Manes.05G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9151890:9159742:-1 gene:Manes.05G098700.v8.1 transcript:Manes.05G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETKKKNNGGNEDSKKKERHIVTWTQQEDDILRQQISLHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENKNTFINSNNKRILFHNGFNADGTTENATPAKKTRRSHISAHSEYCKLADRSHPQCGNQQSRPPFAVLAQNLHNVNVAGQHQAKEVSGDASQDSKTQGTFLRKDDPKIAVLMQQAELLSSLALKVNAENTEQSLENAWKVLQDFLNQKENDILRYRFTDMDFRLEDFKDLIEDLRSSNDGSRPSWRQPDLYEESPASSEYSTGSTLMPYTATDKTEKTPVEIGALHEDIPNELQSVHVKEQSDIDECEKESISCANMSQGEIFSSFDEQANNDIVVSASSSTEFSSPLQVTPLFRSLAAGIPSPKFSESERNFLLKTLGVESPCPNPSINPSQPPLCRRALLQSL >Manes.05G070800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5825952:5831889:1 gene:Manes.05G070800.v8.1 transcript:Manes.05G070800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVPVRASIDEMGYAMSRLEIDSEHCDGAKTRDDITNGQRSHESLDNWDREISMITNSKSRPHERLARVTPGKRELPVSTVKMLAGRESNYSGRGRFSAADRCHMLGRYLPVNGPWLIDQMTSRAYVSQFSADGSLFVAGFQGSHIRVYNVEKGWKVQKNILAKSLRWTVTDTSLSPDQRFLVYGSMSPIVHIVDVGSATTDSLANITGVHDGLDFSANGDEGYSFGIFSVKFSTDGRELVAGSSDDSIYVYDIEQNKLSLQISAHASDVNTVCFADESGHLIYSGSDDNLCKVWDRRCFIARGKPAGVLVGHLEGITFIDSRGDGRYFISNGKDQTIKLWDIRKMASNASCHLGLRNYEWDYRWMDYPPQARGLKHPGDQSVVTYRGHSVLRTLIRCYFSPLYSTGQKYIYTGSHDSCVYIYDLVTGDQVAVLKHHKSPVRDCSWHPDYPMLVSSSWDGDVVKWEFPMHGEATIPATKKRIWRRQFY >Manes.05G070800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5826248:5831778:1 gene:Manes.05G070800.v8.1 transcript:Manes.05G070800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVPVRASIDEMGYAMSRLEIDSEHCDGAKTRDDITNGQRSHESLDNWDREISMITNSKSRPHERLARVTPGKRELPVSTVKMLAGRESNYSGRGRFSAADRCHMLGRYLPVNGPWLIDQMTSRAYVSQFSADGSLFVAGFQGSHIRVYNVEKGWKVQKNILAKSLRWTVTDTSLSPDQRFLVYGSMSPIVHIVDVGSATTDSLANITGVHDGLDFSANGDEGYSFGIFSVKFSTDGRELVAGSSDDSIYVYDIEQNKLSLQISAHASDVNTVCFADESGHLIYSGSDDNLCKVWDRRCFIARGKPAGVLVGHLEGITFIDSRGDGRYFISNGKDQTIKLWDIRKMASNASCHLGLRNYEWDYRWMDYPPQARGLKHPGDQSVVTYRGHSVLRTLIRCYFSPLYSTGQKYIYTGSHDSCVYIYDLVTGDQVAVLKHHKSPVRDCSWHPDYPMLVSSSWDGDVVKWEFPMHGEATIPATKKRIWRRQFY >Manes.05G070800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5825995:5831889:1 gene:Manes.05G070800.v8.1 transcript:Manes.05G070800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVPVRASIDEMGYAMSRLEIDSEHCDGAKTRDDITNGQRSHESLDNWDREISMITNSKSRPHERLARVTPGKRELPVSTVKMLAGRESNYSGRGRFSAADRCHMLGRYLPVNGPWLIDQMTSRAYVSQFSADGSLFVAGFQGSHIRVYNVEKGWKVQKNILAKSLRWTVTDTSLSPDQRFLVYGSMSPIVHIVDVGSATTDSLANITGVHDGLDFSANGDEGYSFGIFSVKFSTDGRELVAGSSDDSIYVYDIEQNKLSLQISAHASDVNTVCFADESGHLIYSGSDDNLCKVWDRRCFIARGKPAGVLVGHLEGITFIDSRGDGRYFISNGKDQTIKLWDIRKMASNASCHLGLRNYEWDYRWMDYPPQARGLKHPGDQSVVTYRGHSVLRTLIRCYFSPLYSTGQKYIYTGSHDSCVYIYDLVTGDQVAVLKHHKSPVRDCSWHPDYPMLVSSSWDGDVVKWEFPMHGEATIPATKKRIWRRQFY >Manes.05G202960.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32662949:32663529:1 gene:Manes.05G202960.v8.1 transcript:Manes.05G202960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRKGKFCLYNVLATLSVLMMFFHLHQPTSQFEVSAENGQCSDPMLEMRSEIVGEELSTESSRRILQPTKYISVGILRADQAICGKDARGNSYSKSCLPPSSNGYSRGCSRIYKCRSD >Manes.05G085002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7011689:7012129:1 gene:Manes.05G085002.v8.1 transcript:Manes.05G085002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSTGFLLMTISLPEEAHKAKSNPKGAREFIKEPSSSMSHRWELILIFLVGFSGHASSLVDGPVLFVRRHWC >Manes.12G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1153853:1156550:-1 gene:Manes.12G011800.v8.1 transcript:Manes.12G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSKLVHLSLLVFFLATSHQTPWIITLLFFSLLSSFAFSLSCWLVPGGFAWRNHHCRELGGPTGWPIFGILPQMGSLAHRKLAAMAWSLGATRLMAFSLGSTRVVISSHPNTAKEILSGSSFSDRPIKESARLLMFERAIGFAPSGNYWRQLRRIAANYMFSPRRISTLEPLRQRLADEMIVEVGNEMEGRGIVALRGILQQGSLSNVLKSVFGISHGCSERKELLSTMVKEGYELITKFNLEDYFPVKIMDFYGVKRRCCKLSAKVKSVAGEIVRERKATGEFSGGSDFLSALLSLPEQEQLSESDMVPVLWEMIFRGTDTVAILLEWIMARLVMHQEIQAKAHQELDTIIGFNRQVQDSDIPNLPYLQAIVKEVFRMHPPGPLLSWARLAVHDVHIDKIFIPAGTTAMVNMWAITHDPSIWKDPWEFNPDRFLEEDVPIMGSDLRLAPFGSGRRVCPGKALGLATVHLWLGRLLHRYKWVPAQPVDLSECLKLSLEMKTPLVCRAVDRLARL >Manes.09G089901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26645079:26650509:1 gene:Manes.09G089901.v8.1 transcript:Manes.09G089901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYSVDPRRWLMPLFILAILSLLLILTISYSRSPMPTGDSPNQPKSSFPDRNFDDPFGLPKLPRFAYLISGTKGEGAQVKRLLQAVYHPRNYYLLHLDLEASDAERLELAKYVKSEGLIGVFRNVMVIGNADLVTYKGPTTIASTLHAIAILLKQAGDWDWFVNLSASDYPLMPQDDILHIFSYLPRDLNFLEHTSSIGWKEHQRARPIIIDPGLYHSKKSGVYWAKEKRALPASFKLFMGSEWVVLTRSFLEFCVWGWDNLPRTLLMYYTNFLSSPEGYFHTAVCNHKDYQNTTVNHDLHYIKWDNPPKQHPISLALEYFEDMVESGAPFAREFAKDDPVLSKIDEKLLKRSYGRFTPGGWCVGNTLLGKDPCVAYGSPNAIKPTVSSKRLEKLILALLDSESFRSKQCK >Manes.01G193400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36795533:36799330:1 gene:Manes.01G193400.v8.1 transcript:Manes.01G193400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAIMNDGSYNLAEIWQFPINGNGRGQFGQSLGSQFGDSNRDVSGNDPMNLEHRGARGGGGAGVRKRRDFVEDDSAQVFSSSNANGNGMSDADAKRLKTSENRDENPDPKADAELSSGKPVEQNAQPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNPGIEAFPSKDFGQQTYDATGMAFGSQATRDYSRGPSPEWLHMQVGGGFERPS >Manes.10G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8437725:8438563:-1 gene:Manes.10G060600.v8.1 transcript:Manes.10G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFSVRFLIILALAASIVTVQTTEVSTVAEMKMRRLGGKTLPSPPPPPVPNTRMSHIPPVRPPPMALHV >Manes.10G060600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8437299:8438635:-1 gene:Manes.10G060600.v8.1 transcript:Manes.10G060600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFSVRFLIILALAASIVTVQTTEVSTEMKMRRLGGKTLPSPPPPPVPNTRMSHIPPVRPPPMALHV >Manes.08G031500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3036138:3036849:-1 gene:Manes.08G031500.v8.1 transcript:Manes.08G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGPTPALRRRNSIATSVVIPTKLTLPFHTSSLPPHHTNGNGNGVVSSPPLDFDLSAYTSLKDVLPSTAINSPTAATPTGASAFEISIRNRLVKQAAWAYLQPMSSSPDSSSQHFLRRLWLQISTCNPITSCIRFISLHVIPAITGAFDRILRAIRVPVDR >Manes.01G004860.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2196133:2199207:-1 gene:Manes.01G004860.v8.1 transcript:Manes.01G004860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKFGKEKNEEIWVKHYSSNHHILLVGEGDFSFSSSLARAFGSASNIVATSLDPYDILVKSYKNAKSNLENLVKLGASTLHGVDAIKMRLHSDLKMKWFDRIVFNFPHAGFHGKEDDIRLIEKHKRLVLGFFNNASGLLRGYGEIHITHKTSAPFSHWNIEELAWRCSLSLIECVSFKLEDYPGYKNKRGDGVRCDEPFPLRECSTFKFRFAPALKKNLKAPSNSNFAQERPMLYQDNLTQYWQTSFCHGHDLQPCMNDSLEHRQSPLAFRATSANILHSHFNHASARNERAIIRSHPFQGTSNKMQQHHQPTSYCHMDPQTSVNLNYSSEETWRQVMYGQISVRKWMVDIGRSVVSAQGRPLEETGRQWMLYGQRSVETWTSDFERSVLGIPRRTFHGESMNGRSAELKSLVLLYGKHG >Manes.15G178500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:23551267:23552422:-1 gene:Manes.15G178500.v8.1 transcript:Manes.15G178500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYENREKVRRDEEAVAIQEQLNREQSRKRDAEFRLERLRTARSLRPVNKSEANPRTGRESDSELAQSESKSNHINLFEGIKIFDPIKGLEKEGDAERDGSRRKKMKKEEVRIVTAEEEKYKFGYGVAGKGVKLPWYLEKHSDDVNKEKDEDDGSMRGKKEGKKSGKKTLQELREERLKREKQEQESARALLENKLGDRSVFRILRR >Manes.04G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31758021:31760050:1 gene:Manes.04G114300.v8.1 transcript:Manes.04G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTLGMSRLLGQKRKQTDSKSPAESLTEQERILYNVIRNKQDMGIWTRDMKRETSLPDNVVNKSLKVLQAKNLIKEVVNIQNKGRKHYMATEFEPSKEITGGTWYVEGNLDTEFIQILKEQCTKQIYKLKVATLEGITDSIKRSGVLNVELTKQQIDEIVKALVLDNEIIELKSNGMGEFASIPVGKICYKCISKGSGGGEPKIGAMASIPCGVCPRIHQCTPDGIISPQTCVYYNKWLDF >Manes.11G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23259575:23262199:-1 gene:Manes.11G102900.v8.1 transcript:Manes.11G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFAVQGPARNYEGGVTTFVIVTCFVAAFGGLIFGYDIGISGGVTSMDSFLKKFFPSVYHKESEKHNENMYCKFESHLLQLFTSSLYLAALVASFFASTVTRVFGRKVSMLFGGLVFLVGAVLNGVAMNVAMLIIGRLLLGVGVGFANQSVPVYLSEMAPAKIRGALNIGFQMAITIGILAANLINYGTSKIEGGWGWRISLALAAVPAIMITVGSLFLPDTPNSILERGHTEKARDMLKKIRGTNNVDEEFQDLVDASEAAKRVEHPWRNILKPQYRPQLVICSLIPCFQQLTGINVIMFYAPVLFKTLGFGDGASLMSAVITGVVNVLATVVSIYSADRFGRRVLFLEGGVQMIICQVLVGIMISLSFGTSGVGKLAGGTANFVLFLICVYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFFTFVIGQFFLSMLCHMKFGLFLFFAGWVVIMTIFIFFFLPETRNVPIEEMNRVWKAHWFWGSYIPDDAVIGGQSSNKQKP >Manes.06G013250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2193173:2196646:1 gene:Manes.06G013250.v8.1 transcript:Manes.06G013250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMANACFLCLLLSPVLSLLQATAKKTYIVHMNHNSKPHSYSTHSDWYQSLTSTSDAILYTYTTAFHGFAAYLEPEEAESLRNMDSVVNVFEDVLYSVQTTRTPHFLGLNSNFALAGGRKFQEIEQVTHDVIVGVLDTGIWPESKSFDDTGLPEIPKRWRGNCNSTLDFDHKFCNKKLIGANYFMEGHKKEAPRSKDIASPRDYDGHGTHTASTIAGSPVKNATLLGFARGTARGMAARARLAIYKVCWSSGCSGADILAGMERAVLDGVDILSVSIGMTSVEPLPYLHDPIALGALCAMLNGVLVSCSAGNDGPARSSVKNVAPWILTVGAGSIDRNFPAYALLGNKRRVTGVSLYKGPGMGRKPAKLVYLKGRNSYSNLCLPGTLEPAMVRGRVVICDIGVIIPEEKSLVVRKAGGFGMILVDSVAAKALDTNIFLVPAVTVAKKEGDLIKKYVKTEPNPTVLLSFGGTVVNVRPSPIVGSFSSRGPNPVTPQILKPDILAPGVNILAAWSEATSPSGLKEDNRVTKFNIISGTSMACPHASGIAALLKAAHPTWSLSAIRSALMTTAYSVDDTNSAIMDSATAASSNPWAYGSGHIDPKKALSPGLVYDLSTEDYITCMCTLNFPLSFLQAITENPNLNCSKKFSDLGELNYPSFSVLFGNKTVVKYTRELTNVEAAKSSYEVKVIRPANVAVRVKPSKLVFKKIGEKKRYEVKFAAKKNQKPMGGVAFGSIVWSNVKNQVSSPIAFTWT >Manes.03G119400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24554551:24559306:1 gene:Manes.03G119400.v8.1 transcript:Manes.03G119400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASLALLCTATNLLIFLLKISTALDTISPSESLTDGKTLVSRDGTFELGFFSPDDSEKRYLGIWYGNIPVRTVVWVANRNIPINGSSGLLTINSTGSPELLSENKTVVWSVSNFTKEAREPIMQLLDSGNLVLRNKIDEDSGIYLWQSFDYPCDTLLPGMKLGWDSKTRLNRHLSSWKSSTDPSLGDFMWEVQLNSNPELVMWKGTEKYYRSGPWNGISFSGGIALKPNPIFGYNFVSTEDEVYYIFNLKNNSLLTRIVMNQTTYTRQRYTWNDVNRSWVLYDNVPRDRCDAYGLCGAYGNCVASELPVCQCLRGFKPTSLERWNLMDWTQGCMRNKPLNCETGDGFVKFSGLKLPDTTHSWADKTMNLRECRTKCLQNCSCMAYSNLDVTQRSSGCAIWFGDLMDMRQLPADGGQELYIRMSASETEGKAKPVMKIAIITSATVAMVSGILMISFAIYKRKTKSPEQTANNTHNNQNNNYQNEDFELPLFELSTIVNSTDNFSVNNKIGEGGFGPVYRGTLTDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCIQGEEKMLVYEYMPNKSLDSFIFDQTRSKLLDWPKRFNIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDKEMNPKISDFGMAKTFGVDIWHRNMLPMGSSQTNLTFLVLAS >Manes.03G119400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24554550:24559306:1 gene:Manes.03G119400.v8.1 transcript:Manes.03G119400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASLALLCTATNLLIFLLKISTALDTISPSESLTDGKTLVSRDGTFELGFFSPDDSEKRYLGICTGSPELLSENKTVVWSVSNFTKEAREPIMQLLDSGNLVLRNKIDEDSGIYLWQSFDYPCDTLLPGMKLGWDSKTRLNRHLSSWKSSTDPSLGDFMWEVQLNSNPELVMWKGTEKYYRSGPWNGISFSGGIALKPNPIFGYNFVSTEDEVYYIFNLKNNSLLTRIVMNQTTYTRQRYTWNDVNRSWVLYDNVPRDRCDAYGLCGAYGNCVASELPVCQCLRGFKPTSLERWNLMDWTQGCMRNKPLNCETGDGFVKFSGLKLPDTTHSWADKTMNLRECRTKCLQNCSCMAYSNLDVTQRSSGCAIWFGDLMDMRQLPADGGQELYIRMSASETEGKAKPVMKIAIITSATVAMVSGILMISFAIYKRKTKSPEQTANNTHNNQNNNYQNEDFELPLFELSTIVNSTDNFSVNNKIGEGGFGPVYRGTLTDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCIQGEEKMLVYEYMPNKSLDSFIFDQTRSKLLDWPKRFNIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDKEMNPKISDFGMAKTFGGEQTEGNTNRVVGTYGYMAPEYATDGIFSDKSDVFSFGILMLEVISGKKSRGYHQPNHSHNLIGHAWRHWKEGKCLELIDSVLGESYHLLEAMRCYHISLLCAQHHAEDRPSMALVVLMLGSEIPLPEPKEPGFFNDKGGLEEQSSSSKMGSSSSNLMTISLLEAR >Manes.03G119400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24554551:24559306:1 gene:Manes.03G119400.v8.1 transcript:Manes.03G119400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASLALLCTATNLLIFLLKISTALDTISPSESLTDGKTLVSRDGTFELGFFSPDDSEKRYLGIWYGNIPVRTVVWVANRNIPINGSSGLLTINSTGSPELLSENKTVVWSVSNFTKEAREPIMQLLDSGNLVLRNKIDEDSGIYLWQSFDYPCDTLLPGMKLGWDSKTRLNRHLSSWKSSTDPSLGDFMWEVQLNSNPELVMWKGTEKYYRSGPWNGISFSGGIALKPNPIFGYNFVSTEDEVYYIFNLKNNSLLTRIVMNQTTYTRQRYTWNDVNRSWVLYDNVPRDRCDAYGLCGAYGNCVASELPVCQCLRGFKPTSLERWNLMDWTQGCMRNKPLNCETGDGFVKFSGLKLPDTTHSWADKTMNLRECRTKCLQNCSCMAYSNLDVTQRSSGCAIWFGDLMDMRQLPADGGQELYIRMSASETEGKAKPVMKIAIITSATVAMVSGILMISFAIYKRKTKSPEQTANNTHNNQNNNYQNEDFELPLFELSTIVNSTDNFSVNNKIGEGGFGPVYRGTLTDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCIQGEEKMLVYEYMPNKSLDSFIFDQTRSKLLDWPKRFNIICGIARGLLYLHQDSRLRIIHRDLKAMDIWHRNMLPMGSSQTNLTFLVLAS >Manes.03G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24554551:24559306:1 gene:Manes.03G119400.v8.1 transcript:Manes.03G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASLALLCTATNLLIFLLKISTALDTISPSESLTDGKTLVSRDGTFELGFFSPDDSEKRYLGIWYGNIPVRTVVWVANRNIPINGSSGLLTINSTGSPELLSENKTVVWSVSNFTKEAREPIMQLLDSGNLVLRNKIDEDSGIYLWQSFDYPCDTLLPGMKLGWDSKTRLNRHLSSWKSSTDPSLGDFMWEVQLNSNPELVMWKGTEKYYRSGPWNGISFSGGIALKPNPIFGYNFVSTEDEVYYIFNLKNNSLLTRIVMNQTTYTRQRYTWNDVNRSWVLYDNVPRDRCDAYGLCGAYGNCVASELPVCQCLRGFKPTSLERWNLMDWTQGCMRNKPLNCETGDGFVKFSGLKLPDTTHSWADKTMNLRECRTKCLQNCSCMAYSNLDVTQRSSGCAIWFGDLMDMRQLPADGGQELYIRMSASETEGKAKPVMKIAIITSATVAMVSGILMISFAIYKRKTKSPEQTANNTHNNQNNNYQNEDFELPLFELSTIVNSTDNFSVNNKIGEGGFGPVYRGTLTDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCIQGEEKMLVYEYMPNKSLDSFIFDQTRSKLLDWPKRFNIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDKEMNPKISDFGMAKTFGGEQTEGNTNRVVGTYGYMAPEYATDGIFSDKSDVFSFGILMLEVISGKKSRGYHQPNHSHNLIGHAWRHWKEGKCLELIDSVLGESYHLLEAMRCYHISLLCAQHHAEDRPSMALVVLMLGSEIPLPEPKEPGFFNDKGGLEEQSSSSKMGSSSSNLMTISLLEAR >Manes.03G119400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24554551:24559306:1 gene:Manes.03G119400.v8.1 transcript:Manes.03G119400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASLALLCTATNLLIFLLKISTALDTISPSESLTDGKTLVSRDGTFELGFFSPDDSEKRYLGIWYGNIPVRTVVWVANRNIPINGSSGLLTINSTGSPELLSENKTVVWSVSNFTKEAREPIMQLLDSGNLVLRNKIDEDSGIYLWQSFDYPCDTLLPGMKLGWDSKTRLNRHLSSWKSSTDPSLGDFMWEVQLNSNPELVMWKGTEKYYRSGPWNGISFSGGIALKPNPIFGYNFVSTEDEVYYIFNLKNNSLLTRIVMNQTTYTRQRYTWNDVNRSWVLYDNVPRDRCDAYGLCGAYGNCVASELPVCQCLRGFKPTSLERWNLMDWTQGCMRNKPLNCETGDGFVKFSGLKLPDTTHSWADKTMNLRECRTKCLQNCSCMAYSNLDVTQRSSGCAIWFGDLMDMRQLPADGGQELYIRMSASETEGKAKPVMKIAIITSATVAMVSGILMISFAIYKRKTKSPEQTANNTHNNQNNNYQNEDFELPLFELSTIVNSTDNFSVNNKIGEGGFGPVYRGTLTDGQEIAVKRLSRSSGQGLNEFKNEVILIAKLQHRNLVKLLGCCIQGEEKMLVYEYMPNKSLDSFIFDQTRSKLLDWPKRFNIICGIARGLLYLHQDSRLRIIHRDLKASNVLLDKEMNPKISDFGMAKTFGGEQTEGNTNRVVGT >Manes.15G040700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3157334:3159497:1 gene:Manes.15G040700.v8.1 transcript:Manes.15G040700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTPEEDKILISHIQKYGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTEEEEETIIKLHEMLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKKLKQKQDSNNQQLYVTVPKCEDLSISNPSESENSNIPALPGQYESPGQSAMSPQPSSSDLSTATYTSGATAETDDVKVETMDSSEIYFPVIDQDFWSEAELIDSSSMELASDMVAASGFNGNCLSIDDDMDFWYDLFVKAGDIEELL >Manes.02G025500.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2186952:2189450:-1 gene:Manes.02G025500.v8.1 transcript:Manes.02G025500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALISTRLLILFGESSRASRTAWCHRGLRFLTHAGRDQGPLTLASLGFKSEFERPDKIKTNKHEKLKLNSAIEVPKSKVKAVGRNGTRDVGVKKSLEIESAPFAAKSFSELGLPPLLLERLEKEGFQVPTEVQSAAIPTILKNHDVVIQSYTGSGKTLAYLLPILSEVGPFANKSFNGDEESRKKSEIDAVIVAPSRELGMQIVREFEKLLGPANKKVVQQLVGGANRSRQEEALKKNRPAIIVGTPGRIAEISAAGKLHTHNCRYLVLDEVDELLSFNFREDMHRILDHVGRRSGANPSGPKNLLARRAERQTILVSATVPFSVIRAARSWGSDPLLVQAKKVTPLESLPAPGPVNLSRPTSSSSSNQNLQPQAAIQSLPPALKHYYCVARLQHKVDTLRRCVHALDAKSVIAFMNHTKQLKDAVFKLEARGIKAAELHGDLGKLARSTILKKFKNGEVRVLVTNELSARGLDVPECDLVVNLGLPTDSIHYAHRAGRTGRLGRKGTVVTICEEPEVFVVKKVQKQLGIPIPACEFTEGKLVGVEEEKEPSESLK >Manes.02G025500.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2186963:2189443:-1 gene:Manes.02G025500.v8.1 transcript:Manes.02G025500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALISTRLLILFGESSRASRTAWCHRGLRFLTHAGRDQGPLTLASLGFKSEFERPDKIKTNKHEKLKLNSAIEVPKSKVKAVGRNGTRDVGVKKSLEIESAPFAAKSFSELGLPPLLLERLEKEGFQVPTEVQSAAIPTILKNHDVVIQSYTGSGKTLAYLLPILSEVGPFANKSFNGDEESRKKSEIDAVIVAPSRELGMQIVREFEKLLGPANKKVVQQLVGGANRSRQEEALKKNRPAIIVGTPGRIAEISAAGKLHTHNCRYLVLDEVDELLSFNFREDMHRILDHVGRRSGANPSGPKNLLARRAERQTILVSATVPFSVIRAARSWGSDPLLVQAKKVTPLESLPAPGPVNLSRPTSSSSSNQNLQPQAAIQSLPPALKHYYCVARLQHKVDTLRRCVHALDAKSVIAFMNHTKQLKDAVFKLEARGIKAAELHGDLGKLARSTILKKFKNGEVRVLVTNELSARGLDVPECDLVVNLGLPTDSIHYAHRAGRTGRLGRKGTVVTICEEPEVFVVKKVQKQLGIPIPACEFTEGKLVGVEEEKEPSESLK >Manes.02G025500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2186492:2189443:-1 gene:Manes.02G025500.v8.1 transcript:Manes.02G025500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALISTRLLILFGESSRASRTAWCHRGLRFLTHAGRDQGPLTLASLGFKSEFERPDKIKTNKHEKLKLNSAIEVPKSKVKAVGRNGTRDVGVKKSLEIESAPFAAKSFSELGLPPLLLERLEKEGFQVPTEVQSAAIPTILKNHDVVIQSYTGSGKTLAYLLPILSEVGPFANKSFNGDEESRKKSEIDAVIVAPSRELGMQIVREFEKLLGPANKKVVQQLVGGANRSRQEEALKKNRPAIIVGTPGRIAEISAAGKLHTHNCRYLVLDEVDELLSFNFREDMHRILDHVGRRSGANPSGPKNLLARRAERQTILVSATVPFSVIRAARSWGSDPLLVQAKKVTPLESLPAPGPVNLSRPTSSSSSNQNLQPQAAIQSLPPALKHYYCVARLQHKVDTLRRCVHALDAKSVIAFMNHTKQLKDAVFKLEARGIKAAELHGDLGKLARSTILKKFKNGEVRVLVTNELSARGLDVPECDLVVNLGLPTDSIHYAHRAGRTGRLGRKGTVVTICEEPEVFVVKKVQKQLGIPIPACEFTEGKLVGVEEEKEPSESLK >Manes.02G025500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2186672:2189443:-1 gene:Manes.02G025500.v8.1 transcript:Manes.02G025500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALISTRLLILFGESSRASRTAWCHRGLRFLTHAGRDQGPLTLASLGFKSEFERPDKIKTNKHEKLKLNSAIEVPKSKVKAVGRNGTRDVGVKKSLEIESAPFAAKSFSELGLPPLLLERLEKEGFQVPTEVQSAAIPTILKNHDVVIQSYTGSGKTLAYLLPILSEVGPFANKSFNGDEESRKKSEIDAVIVAPSRELGMQIVREFEKLLGPANKKVVQQLVGGANRSRQEEALKKNRPAIIVGTPGRIAEISAAGKLHTHNCRYLVLDEVDELLSFNFREDMHRILDHVGRRSGANPSGPKNLLARRAERQTILVSATVPFSVIRAARSWGSDPLLVQAKKVTPLESLPAPGPVNLSRPTSSSSSNQNLQPQAAIQSLPPALKHYYCVARLQHKVDTLRRCVHALDAKSVIAFMNHTKQLKDAVFKLEARGIKAAELHGDLGKLARSTILKKFKNGEVRVLVTNELSARGLDVPECDLVVNLGLPTDSIHYAHRAGRTGRLGRKGTVVTICEEPEVFVVKKVQKQLGIPIPACEFTEGKLVGVEEEKEPSESLK >Manes.17G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28463136:28463879:1 gene:Manes.17G079500.v8.1 transcript:Manes.17G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEAQFGINAPADQFHDVFSCRPHHISNMSPHNIHDCDLHEGEWGKEGAIVCWKYFHDGSAKVAKQVIETIDDVNLLTVFKVIEGDLLKEYKSFKLTVQAAPKGEGSVVHWTLEYEKIHENIQDPYSLLEFIVQFNKDVSAHLVKCPKK >Manes.12G065600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6568604:6573806:-1 gene:Manes.12G065600.v8.1 transcript:Manes.12G065600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKSSDGEGTSGGGYEQESMEFAAHGRASYVEAEPMAQPLPSSPARFLQPPLTFTPQVPMVPLPRPAEMMHVQNYALAHNVTDARDAFSEKLRAVMITWSYGGKQVAVTGSWDNWDKRENLHKVGKDFVILKMLPSSVFHYRFIVDDQLRYAPELPWECDDSGTAYNILDVQEYIPEAPESLSEFETPPSPVTSYNNESLDDYDFSKLPPDIPPQLQLTLLNSQASAVESHQSLPRPKHAVLNHLYIQNNRGQPVALGSTHRFLQKYVTVVLYKPTSR >Manes.12G065600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6568604:6573806:-1 gene:Manes.12G065600.v8.1 transcript:Manes.12G065600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKSSDGEGTSGGGYEQESMEFAAHGRASYVEAEPMAQPLPSSPARFLQPPLTFTPQVPMVPLPRPAEMMHVQNYALAHNVTDARDAFSEKLRAVMITWSYGGKQVAVTGSWDNWDKRENLHKVGKDFVILKMLPSSVFHYRFIVDDQLRYAPELPWECDDSGTAYNILDVQEYIPEAPESLSEFETPPSPVTSYNNESLDDYDFSKLPPDIPPQLQLTLLNSQASAVESHQSLPRPKHAVLNHLYIQNNRGQPVALGSTHRFLQKYVTVVLYKPTSR >Manes.12G065600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6568604:6573805:-1 gene:Manes.12G065600.v8.1 transcript:Manes.12G065600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGNASGKSSDGEGTSGGGYEQESMEFAAHGRASYVEAEPMAQPLPSSPARFLQPPLTFTPQVPMVPLPRPAEMMHVQNYALAHNVTDARDAFSEKLRAVMITWSYGGKQVAVTGSWDNWDKRENLHKVGKDFVILKMLPSSVFHYRFIVDDQLRYAPELPWECDDSGTAYNILDVQEYIPEAPESLSEFETPPSPVTSYNNESLDDYDFSKLPPDIPPQLQLTLLNSQASAVESHQSLPRPKHAVLNHLYIQNNRGQPVALGSTHRFLQKYVTVVLYKPTSR >Manes.12G065600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6568604:6573805:-1 gene:Manes.12G065600.v8.1 transcript:Manes.12G065600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKSSDGEGTSGGGYEQESMEFAAHGRASYVEAEPMAQPLPSSPARFLQPPLTFTPQVPMVPLPRPAEMMHVQNYALAHNVTDARDAFSEKLRAVMITWSYGGKQVAVTGSWDNWDKRENLHKVGKDFVILKMLPSSVFHYRFIVDDQLRYAPELPWECDDSGTAYNILDVQEYIPEAPESLSEFETPPSPVTSYNNESLDDYDFSKLPPDIPPQLQLTLLNSQASAVESHQSLPRPKHAVLNHLYIQNNRGQPVALGSTHRFLQKYVTVVLYKPTSR >Manes.02G006200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:818822:822791:1 gene:Manes.02G006200.v8.1 transcript:Manes.02G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSQSNPRFSASFCCKRAEFNPLSRCFSSVAFAHQTRPLSRPLFISSRLNSKHSDADGSVSPDNGDEFNQYEMPEGFGAAQRRKKGSPVFVVMPVDAVDEEGKVRRKKIMMHSLRALALAGVEGVVIEVWWGLVERDQPGAYNWQPYLELVQMAVKSGLKVRVVLAFHESGSGPGDPNRISLPNWVLNEIDKDPDLAYCDRFGRRSTEYISLGCDTLPVLRGRSPVQAYADFMRNFRDTFRSLLGEIITGIQVGMGPAGELRYPFCPPEQLAQASHSHLLGEFHCYDKYMLASLNASAHEVGMLREWGNGGPIGASSLVHDPENTEFFSSDGTWNKPYGKFFLEWYSGMLLLHGQRICMDVDNIFRGIEINKSAKVAVIHWHCGTQSHPAELTAGYYNTSTRNGYLPITEMFRRYGFSMCCTGFEMQDVEKKSLKSANSPEGFLRQLVWAARIYGIPLEGENATAIWDDESFQQVLKIRRFYSYGLKKPSFSFNFVRMDRNMFQEQNWIEFSNFVRKMSAGKLFGAKLGLGDGMPLSLMSDDAIWGKARILLRRFHPISSRKANLIC >Manes.12G052700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4937495:4939249:1 gene:Manes.12G052700.v8.1 transcript:Manes.12G052700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSDEESVSLNLLRQKMAEFAKERDWDRFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWEEEEKVHLGEELSDVLLYLVRLSDICGIDLGKAALRKVEVNAIKYPVGMCKGSSKKYNSSSNGNNGSTEN >Manes.01G026850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5541145:5544751:-1 gene:Manes.01G026850.v8.1 transcript:Manes.01G026850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVLHHLLLHASRRSLFSPSFRTTGRLHSFFTHNFKTLQFQKPRYHSSSNLLSFSLKRPISAVYHYPNRFLNTDSSKSSNYQENGTEENALRYETTEEGKTEEWEEEEEVEPQAGDGGDGGGVVLQGVPWGERALSIAREVLLQFGDEMELYAFKTTPRGYVYVRLDKLSNEYGCPTMEELESYSQEYMKRLEEVGALGEIPDDLALEVSSPGAERILKVPDDLCRFKEMPMRVYYTENAEPGPEKDGIFFLESIEKESENCVWKLADVKENRDPESKGRPLSRKMRDWRLKLPFKMHRRITLYLEF >Manes.01G177500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35750382:35750756:-1 gene:Manes.01G177500.v8.1 transcript:Manes.01G177500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEEIAKMQEKYGVSTKDK >Manes.03G084100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20995120:20998210:1 gene:Manes.03G084100.v8.1 transcript:Manes.03G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMETSSRSSSVAVAIILVIIVTLGWRVLNWVWFRPKKLDRFLRKQGLAGNPYRFFHGDLKQSVEMTKQARSQPFDFSQPLALRIAPFLLQTLNDYGKNSFIWIGPAPRVNITNPEHIKEVFAKINEFQKVKMNPQFQVLAPGLVSHEGDKWAKHRKIINPAFHLEKLKLMLPLFHECCIEMIEKWEKLISSKESCELDVWPYLQDLSRDCISRAAFGSNHEQGHRIFQLLDELTILVIQVAQSVYIPGWWFVPTKANRKTKEIDREIHTSLKRMINKREDAMKAGEAPNDDLLGLLIESNLQQAKERLSIQDVIDECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVTMILYEVLRLYPPASTLTRAIYEETRLGDLILPAGVQITLPVFILHQDPELWGKDASEFKPERFSEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAIILQHFSFQLSSSYSHAPRAFATLRPEYGAPLILRKI >Manes.03G006900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:586631:601340:-1 gene:Manes.03G006900.v8.1 transcript:Manes.03G006900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPNPNANAKPVSTIGSSVIPIINKLQDIIAPVGFESFNISLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLEHCSVSPDDDGAEWGEFRHLPARRFYDFSKIRREIQAETERVAGFNKGVSDKQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMIMAHITQENCIILAVTPANSDLANSDALQMAREADPKGSRTIGVITKLDIMDRGTDACNFLLGRVVPLRLGYIGVVNRSQEDINYNRSIQDALVREERFFHDHPVYNGLSDKCGIPQLAKKLNQILEQHIRMVLPNLKAELNARMVVVLKELRAYGEDMESKAEQGQGVILLSILRRYCEAFTAMVDGKSQEILTTELSGGARIHYIFQSIFLKGLEEVDPCEDLTDDDIQIAIQNANGPRSSLFVPEVPFEVLVRRQLARLLDPSLQCLRFVYDEMIKISHSVQATELQRYPYLRRRLDEVIGKFLHDGVKPAERMITNIIEIEMDYINSSHPRFIGGEKAVELATQQLKSSQEIRSQSNNDKTSTAGNTAGANSSRSWSIFGSKASSMENSSSRSVGRTFHDTEHSSDKSRSSSTIKLREPPSVLRPSEVTDHEAMKIIATKLLLRSYYDIVKESIQDLVPKVIMHYLVNHAKRELHNTLIQKLYRMWKHGRDLLSWDNIVMLA >Manes.03G006900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:588951:601198:-1 gene:Manes.03G006900.v8.1 transcript:Manes.03G006900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPNPNANAKPVSTIGSSVIPIINKLQDIIAPVGFESFNISLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLEHCSVSPDDDGAEWGEFRHLPARRFYDFSKIRREIQAETERVAGFNKGVSDKQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMIMAHITQENCIILAVTPANSDLANSDALQMAREADPKGSRTIGVITKLDIMDRGTDACNFLLGRVVPLRLGYIGVVNRSQEDINYNRSIQDALVREERFFHDHPVYNGLSDKCGIPQLAKKLNQILEQHIRMVLPNLKAELNARMVVVLKELRAYGEDMESKAEQGQGVILLSILRRYCEAFTAMVDGKSQEILTTELSGGARIHYIFQSIFLKGLEEVDPCEDLTDDDIQIAIQNANGPRSSLFVPEVPFEVLVRRQLARLLDPSLQCLRFVYDEMIKISHSVQATELQRYPYLRRRLDEVIGKFLHDGVKPAERMITNIIEIEMDYINSSHPRFIGGEKAVELATQQLKSSQEIRSQSNNDKTSTAGNTAGANSSRSWSIFGSKASSMENSSSRSVGRTFHDTEHSSDKSRSSSTIKLREPPSVLRPSEVTDHEAMKIIATKLLLRSYYDIVKESIQDLVPKVIMHYLVNHAKRELHNTLIQKLYRDNLFEELLQEEDDIVAKRKHARGLFHVLEQVIQTLNGVESEVSYRHSHSSIGSTDSITGLPR >Manes.03G006900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:587334:601198:-1 gene:Manes.03G006900.v8.1 transcript:Manes.03G006900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPNPNANAKPVSTIGSSVIPIINKLQDIIAPVGFESFNISLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLEHCSVSPDDDGAEWGEFRHLPARRFYDFSKIRREIQAETERVAGFNKGVSDKQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMIMAHITQENCIILAVTPANSDLANSDALQMAREADPKGSRTIGVITKLDIMDRGTDACNFLLGRVVPLRLGYIGVVNRSQEDINYNRSIQDALVREERFFHDHPVYNGLSDKCGIPQLAKKLNQILEQHIRMVLPNLKAELNARMVVVLKELRAYGEDMESKAEQGQGVILLSILRRYCEAFTAMVDGKSQEILTTELSGGARIHYIFQSIFLKGLEEVDPCEDLTDDDIQIAIQNANGPRSSLFVPEVPFEVLVRRQLARLLDPSLQCLRFVYDEMIKISHSVQATELQRYPYLRRRLDEVIGKFLHDGVKPAERMITNIIEIEMDYINSSHPRFIGGEKAVELATQQLKSSQEIRSQSNNDKTSTAGNTAGANSSRSWSIFGSKASSMENSSSRSVGRTFHDTEHSSDKSRSSSTIKLREPPSVLRPSEVTDHEAMKIIATKLLLRSYYDIVKESIQDLVPKVIMHYLVNHAKRELHNTLIQKLYRAIYF >Manes.03G006900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:586631:601340:-1 gene:Manes.03G006900.v8.1 transcript:Manes.03G006900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPNPNANAKPVSTIGSSVIPIINKLQDIIAPVGFESFNISLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLEHCSVSPDDDGAEWGEFRHLPARRFYDFSKIRREIQAETERVAGFNKGVSDKQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMIMAHITQENCIILAVTPANSDLANSDALQMAREADPKGSRTIGVITKLDIMDRGTDACNFLLGRVVPLRLGYIGVVNRSQEDINYNRSIQDALVREERFFHDHPVYNGLSDKCGIPQLAKKLNQILEQHIRMVLPNLKAELNARMVVVLKELRAYGEDMESKAEQGQGVILLSILRRYCEAFTAMVDGKSQEILTTELSGGARIHYIFQSIFLKGLEEVDPCEDLTDDDIQIAIQNANGPRSSLFVPEVPFEVLVRRQLARLLDPSLQCLRFVYDEMIKISHSVQATELQRYPYLRRRLDEVIGKFLHDGVKPAERMITNIIEIEMDYINSSHPRFIGGEKAVELATQQLKSSQEIRSQSNNDKTSTAGNTAGANSSRSWSIFGSKASSMENSSSRSVGRTFHDTEHSSDKSRSSSTIKLREPPSVLRPSEVTDHEAMKIIATKLLLRSYYDIVKESIQDLVPKVIMHYLVNHAKRELHNTLIQKLYRDNLFEELLQEEDDIVAKRKHARGLFHVLEQVIQTLNGVESEVSYRHSHSSIGSTDSITGLPR >Manes.03G006900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:587910:601198:-1 gene:Manes.03G006900.v8.1 transcript:Manes.03G006900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPNPNANAKPVSTIGSSVIPIINKLQDIIAPVGFESFNISLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLEHCSVSPDDDGAEWGEFRHLPARRFYDFSKIRREIQAETERVAGFNKGVSDKQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMIMAHITQENCIILAVTPANSDLANSDALQMAREADPKGSRTIGVITKLDIMDRGTDACNFLLGRVVPLRLGYIGVVNRSQEDINYNRSIQDALVREERFFHDHPVYNGLSDKCGIPQLAKKLNQILEQHIRMVLPNLKAELNARMVVVLKELRAYGEDMESKAEQGQGVILLSILRRYCEAFTAMVDGKSQEILTTELSGGARIHYIFQSIFLKGLEEVDPCEDLTDDDIQIAIQNANGPRSSLFVPEVPFEVLVRRQLARLLDPSLQCLRFVYDEMIKISHSVQATELQRYPYLRRRLDEVIGKFLHDGVKPAERMITNIIEIEMDYINSSHPRFIGGEKAVELATQQLKSSQEIRSQSNNDKTSTAGNTAGANSSRSWSIFGSKASSMENSSSRSVGRTFHDTEHSSDKSRSSSTIKLREPPSVLRPSEVTDHEAMKIIATKLLLRSYYDIVKESIQDLVPKVIMHYLVNHAKRELHNTLIQKLYRDNLFEELLQEEDDIVAKRKHARGLFHVLEQVIQTLNGVESEVSYRHSHSSIGSTDSITGLPR >Manes.03G006900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:588951:601198:-1 gene:Manes.03G006900.v8.1 transcript:Manes.03G006900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPNPNANAKPVSTIGSSVIPIINKLQDIIAPVGFESFNISLPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLEHCSVSPDDDGAEWGEFRHLPARRFYDFSKIRREIQAETERVAGFNKGVSDKQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMIMAHITQENCIILAVTPANSDLANSDALQMAREADPKGSRTIGVITKLDIMDRGTDACNFLLGRVVPLRLGYIGVVNRSQEDINYNRSIQDALVREERFFHDHPVYNGLSDKCGIPQLAKKLNQILEQHIRMVLPNLKAELNARMVVVLKELRAYGEDMESKAEQGQGVILLSILRRYCEAFTAMVDGKSQEILTTELSGGARIHYIFQSIFLKGLEEVDPCEDLTDDDIQIAIQNANGPRSSLFVPEVPFEVLVRRQLARLLDPSLQCLRFVYDEMIKISHSVQATELQRYPYLRRRLDEVIGKFLHDGVKPAERMITNIIEIEMDYINSSHPRFIGGEKAVELATQQLKSSQEIRSQSNNDKTSTAGNTAGANSSRSWSIFGSKASSMENSSSRSVGRTFHDTEHSSDKSRSSSTIKLREPPSVLRPSEVTDHEAMKIIATKLLLRSYYDIVKESIQDLVPKVIMHYLVNHAKRELHNTLIQKLYRMWKHGRDLLSWDNIVMLA >Manes.13G007000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1145070:1150419:-1 gene:Manes.13G007000.v8.1 transcript:Manes.13G007000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSREEETLNPQKESDDRKRSLPAESSNISKLSSASAWQYNHSFPTEFFDGVKFAGADRLRSNGRSKRELALPKDGLPGGNIAAQIFTFRELAAATKNFRPESFLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMRIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSSQPHGEQNLVSWARPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYEPNSAGHGHRGSADKDEKRNRDERGGQLSKSEEGGRSERRWDLDGSEKEDSPRETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDGSNG >Manes.13G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1145045:1150419:-1 gene:Manes.13G007000.v8.1 transcript:Manes.13G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSREEETLNPQKESDDRKRSLPAESSNISKLSSGADRLRSNGRSKRELALPKDGLPGGNIAAQIFTFRELAAATKNFRPESFLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMRIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSSQPHGEQNLVSWARPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYEPNSAGHGHRGSADKDEKRNRDERGGQLSKSEEGGRSERRWDLDGSEKEDSPRETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDGSNG >Manes.03G171800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29655473:29657214:1 gene:Manes.03G171800.v8.1 transcript:Manes.03G171800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILTEEQIVEFKEAFCLFDKDGDGCITAEELATVIRSLDQNPTEEELQDMISEVDADGNGTIEFAEFLNLMAKKMKETDAEEELKEAFKVFDKDQNGYISANELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMMTVG >Manes.03G171800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29655473:29657214:1 gene:Manes.03G171800.v8.1 transcript:Manes.03G171800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILTEEQIVEFKEAFCLFDKDGDEELATVIRSLDQNPTEEELQDMISEVDADGNGTIEFAEFLNLMAKKMKETDAEEELKEAFKVFDKDQNGYISANELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMMTVG >Manes.06G082800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21821154:21838689:1 gene:Manes.06G082800.v8.1 transcript:Manes.06G082800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERLQLQQTYPQQGAQQPLKSNGPGKRWGHTCNSVKGGRFLYVFGGYGRDNCQTNQVHVFDTAMQTWSQPVIKGTPPTPRDSHSCTTVGDNLFVFGGTDGMNPLKDLHILDTSSHTWISPTVRGEGPEAREGHSAALVGKRLFIFGGCGKSSNNNVEVYYNDIFILNTETFVWKQAATSGTPPSARDSHTCSSWNNKIVVVGGEDGHDYYLSDVHILDAETLVWKELNTTGQKLPPRAGHSTVAFGKNLFVFGGFTDAQNLYDDLYMLDVDTGIWTKVMTTGVGPSARFSVAGDCLDPQISGVLVFIGGCNKNLEALDDMFYLHTGLTSIRDERRLEKLSLRKQLKLKCQEQILNSPVNDKALVRIDTSTDFHHTVPTYAQPSVENLQMSQAQLHQGKKIFQAKVTESFPHGYTIETIIDGKPLRGILFANKPITTQMVNNNNTRKRVGGQIGSSMLNGDHNNKSKASRTLRQDALDHMQPDNALGKETATHEPKTEEAVTPDSKNLPSSDVSQICKAPANPESSVAPLNLNDDMISDAPNSSAKFPDVDGGSFTSSLSQGGRTTTVEVEGDPGNSVSFVNT >Manes.14G136200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9422721:9424695:1 gene:Manes.14G136200.v8.1 transcript:Manes.14G136200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLLLLLVLLSCSLSTSSYNVPAIFTFGDSIVDAGNNHFNKNCTVQADFPPYGSNFFHHPTGRFTNGRTVVDFISQHLGIEFQTPYLEARLAIMNGSRRNYPSNGINFASAGSGVLHQTNLDSGVIPIQDQLQQFQTLVQQKQIDKNLLNTSLFFFESGSNDIFNYFLPFSAPTLDPDAYVKAMLREVENLLGSIYRLGGRRIAVFSLGPVGCVPARVLLPKAPVDKCFGRMNVMVKKYNKGLESLVREMPIRYPGAVAVYGAVYDAVQRYRAIPARYGESSILSKSSEKAKVRNF >Manes.14G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9422721:9424695:1 gene:Manes.14G136200.v8.1 transcript:Manes.14G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLLLLLVLLSCSLSTSSYNVPAIFTFGDSIVDAGNNHFNKNCTVQADFPPYGSNFFHHPTGRFTNGRTVVDFISQHLGIEFQTPYLEARLAIMNGSRRNYPSNGINFASAGSGVLHQTNLDSGVIPIQDQLQQFQTLVQQKQIDKNLLNTSLFFFESGSNDIFNYFLPFSAPTLDPDAYVKAMLREVENLLGSIYRLGGRRIAVFSLGPVGCVPARVLLPKAPVDKCFGRMNVMVKKYNKGLESLVREMPIRYPGAVAVYGAVYDAVQRYRAIPARYGFTDLMGACCGDGPLRGSLQCGKEGYKICPNPETYLFWDYFHPTERTYKLISKVLWGGKNSAIRPFNLQTLASMV >Manes.08G097000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32671106:32674346:-1 gene:Manes.08G097000.v8.1 transcript:Manes.08G097000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSLDGVDTSAILSEATSTVAKLIGKPESYVMIVLKGSVPIAFGGTEQPAAYGELVSIGGLSPDVNKKLSAAIATILETKLSVPKSRFFLKFYDTKGSNFGWNGSTF >Manes.08G097000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32671220:32674343:-1 gene:Manes.08G097000.v8.1 transcript:Manes.08G097000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNLSTNVSLDGVDTSAILSEATSTVAKLIGKPESYVMIVLKGSVPIAFGGTEQPAAYGELVSIGGLSPDVNKKLSAAIATILETKLSVPKSRFFLKFYDTKAHQSQEYAQCLHALHQH >Manes.10G130400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29610631:29627341:1 gene:Manes.10G130400.v8.1 transcript:Manes.10G130400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIFSTLQYWLVNHPLILQFSWTPGHTLGSTPQFLFLTIFTYLSLTYLLSHSSFRNSLGSHFLKPITALHNLTLFLLSFIMAVGCTVSIIFHTPHLHHIICFPSHISPSGPLFFWAYIFYLSKILEFLDTLLIILSNSIQRLTFLHVYHHATVVIMCYLWLTTRQSLFPVALITNSVVHVIMYYYYLWCAMGVRPKWKRLVTDCQIVQFVFSFGISGVMLYYHFTGLGCSGIWGWCFNAVFNASLLLLFLDFHRKSYIKKRIHHDKDKRP >Manes.09G099100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29756802:29759955:1 gene:Manes.09G099100.v8.1 transcript:Manes.09G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFHDGEFWLPPQFLTDDDIFMDKKNNTLANNFSFKNGRDVFPSETDYSKPLFPLDFPYGVGSFGVSSDLSSPVESVVGSTEESDEEDYIAGLTCQMARSTLEDDFRGNDVTFGNESTKAWVVSGSPQSTLCGVGNGCGCRQASCRGRPNGFSKVSSAPATWDLLYAAAGEVARMKMNQEEYGFNSHNRGILGPPRKPSPVSVPMENSKPDAVLYPMQSLAYQKLQAAQFQQLRQQQMMKQQSSGVWGGHHKGAGLFQQPQTQSVVHKGVRNPVRSLGLSPSAWPPLQQHHQGGSGMRAVFLGNPGGKRECAGTGVFLPRRVTTVETRKKPACSTVLLPARVVQALNLNLDDMGAQPQLQPRFNVSFTQDTDVALRLHNNNLHSHQRRNIRPQPEMNGDVRLPQEWTY >Manes.08G126000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36607420:36612814:-1 gene:Manes.08G126000.v8.1 transcript:Manes.08G126000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKEVASGIVSESKGVNNLSVESRRKLDDISVTKTDNSSVVEIQNEETHKEEKLEGDKKSRGERRSRPNPRLSNPPKHLRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHLNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFSEPQVKCYMRQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGVLRIADFGLASFFDPNHKHPMTSRVVTLWYRPLELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDDYWKKSKLPNATLFRPREPYKRCVRETFKDFPPSSFPLIETLLAIDPAERQTATAALRSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAAAKPQGDNTKKARTRELVARAMPAPEANAELQYNIDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSQHIDPAFVPADIPYSSTFTYSKDAVQTWSGPLVDPAGLGALRRKKNGVGNARESSKTSTGNQKDKTGEIRFKEKKSIA >Manes.08G126000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36607020:36612852:-1 gene:Manes.08G126000.v8.1 transcript:Manes.08G126000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKEVASGIVSESKGVNNLSVESRRKLDDISVTKTDNSSVVEIQNEETHKEEKLEGDKKSRGERRSRPNPRLSNPPKHLRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHLNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFSEPQVKCYMRQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGVLRIADFGLASFFDPNHKHPMTSRVVTLWYRPLELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDDYWKKSKLPNATLFRPREPYKRCVRETFKDFPPSSFPLIETLLAIDPAERQTATAALRSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAAAKPQGDNTKKARTRELVARAMPAPEANAELQYNIDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSQHIDPAFVPADIPYSSTFTYSKDAVQTWSGPLVDPAGLGALRRKKNGVGNARESSKTSTGNQKDKTGEIRFKEKKSIA >Manes.08G126000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36607420:36612814:-1 gene:Manes.08G126000.v8.1 transcript:Manes.08G126000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKEVASGIVSESKGVNNLSVESRRKLDDISVTKTDNSSVVEIQNEETHKEEKLEGDKKSRGERRSRPNPRLSNPPKHLRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHLNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFSEPQVKCYMRQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGVLRIADFGLASFFDPNHKHPMTSRVVTLWYRPLELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDDYWKKSKLPNATLFRPREPYKRCVRETFKDFPPSSFPLIETLLAIDPAERQTATAALRSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAAAKPQGDNTKKARTRELVARAMPAPEANAELQYNIDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSQHIDPAFVPADIPYSSTFTYSKDAVQTWSGPLVDPAGLGALRRKKNGVGNARESSKTSTGNQKDKTGEIRFKEKKSIA >Manes.08G126000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36607350:36612828:-1 gene:Manes.08G126000.v8.1 transcript:Manes.08G126000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKEVASGIVSESKGVNNLSVESRRKLDDISVTKTDNSSVVEIQNEETHKEEKLEGDKKSRGERRSRPNPRLSNPPKHLRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHLNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFSEPQVKCYMRQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGVLRIADFGLASFFDPNHKHPMTSRVVTLWYRPLELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDDYWKKSKLPNATLFRPREPYKRCVRETFKDFPPSSFPLIETLLAIDPAERQTATAALRSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAAAKPQGDNTKKARTRELVARAMPAPEANAELQYNIDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSQHIDPAFVPADIPYSSTFTYSKDAVQTWSGPLVDPAGLGALRRKKNGVGNARESSKTSTGNQKDKTGEIRFKEKKSIA >Manes.08G126000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36607417:36612826:-1 gene:Manes.08G126000.v8.1 transcript:Manes.08G126000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKEVASGIVSESKGVNNLSVESRRKLDDISVTKTDNSSVVEIQNEETHKEEKLEGDKKSRGERRSRPNPRLSNPPKHLRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHLNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFSEPQVKCYMRQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGVLRIADFGLASFFDPNHKHPMTSRVVTLWYRPLELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDDYWKKSKLPNATLFRPREPYKRCVRETFKDFPPSSFPLIETLLAIDPAERQTATAALRSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAAAKPQGDNTKKARTRELVARAMPAPEANAELQYNIDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSQHIDPAFVPADIPYSSTFTYSKDAVQTWSGPLVDPAGLGALRRKKNGVGNARESSKTSTGNQKDKTGEIRFKEKKSIA >Manes.08G126000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36607394:36612814:-1 gene:Manes.08G126000.v8.1 transcript:Manes.08G126000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCILGKEVASGIVSESKGVNNLSVESRRKLDDISVTKTDNSSVVEIQNEETHKEEKLEGDKKSRGERRSRPNPRLSNPPKHLRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHLNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFSEPQVKCYMRQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGVLRIADFGLASFFDPNHKHPMTSRVVTLWYRPLELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDDYWKKSKLPNATLFRPREPYKRCVRETFKDFPPSSFPLIETLLAIDPAERQTATAALRSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAAAKPQGDNTKKARTRELVARAMPAPEANAELQYNIDRRRLITHANAKSKSEKFPPPHQDGALGYPLGSSQHIDPAFVPADIPYSSTFTYSKDAVQTWSGPLVDPAGLGALRRKKNGVGNARESSKTSTGNQKDKTGEIRFKEKKSIA >Manes.09G185000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37220263:37221208:1 gene:Manes.09G185000.v8.1 transcript:Manes.09G185000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKFGAAKQPTGTPSLGWSCVVVIISLLTGASAVHNIYKPDLRLPPENSVDTANKSQPETSK >Manes.07G011100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1454790:1456019:1 gene:Manes.07G011100.v8.1 transcript:Manes.07G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQLSIQMLARMFSSFPVKLLVKCRCVCKAWDFLITDPSFIDEHLKKTAARKSELLIFRYYINIAPFEGNEHYLLYKDESFPENPVEELDSPLRGLSTFVNIVGSCNGVICLFDYLNRMYTERAALWNPSVRKIVSIPCPNVTFDSRGPFFYSLGFGFDSTTDDYKLVRVAYTGDDHFNFVDIPPLVEIFSLRGMYWKMVYNNLNYVICGCSTSAFLNGACHWVGSAPRSAVGVGDVIVSFSLGDELFRVMKIPNCLVNEYLFLDVAAFDGSLLLVPFMKKNGEEDWFSVWIMREYGVARSWTKLFSISKEEGVERLVAFRQNGEVLLANEDGRLVSYDPNTEKITATGIVGSAQSFYLDTLVDSLVLIGESNEFTEMEYASSCGSVSSSLMAIDRASGESTEEEWGE >Manes.16G093600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29985915:29991792:-1 gene:Manes.16G093600.v8.1 transcript:Manes.16G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERPPLGRFQYHRLEFKRRVPAFLSSHKTLFTVLWITAFASVFVWQRNIVGSGFTVFWRVPMRPIPRLRPVVFNLTDFGGVGDGITLNTEAFERAVLAISKLGKKGGGQLNVPPGRWLTAPFNLTSHMTLFLAEDGVVLGIEDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLKDVVITGHNGTINGQGQTWWKKYRQKLLNHTRGPLVQIMWSSDILITNITLRDSPFWTLHPYDCKNVTVRDVTILAPIYEAPNTDGIDPDSCEDMVIENCYISVGDDGIAIKSGWDQYGIAYGRPSMNILIRNLVVRSMVSAGVSIGSEMSGGVSNVTVENLHVWSSRRAVRIKTAPGRGGYVRRITYRNLTFDNVRVGIIIKTDYNEHPDQGYDPKAVPKLEDISFTGVHGQGVRVPVRIHGSEEIPVRNVTFRDMSVGITYKKKHIFQCSFVQGRVIGTTFPAPCENLDHYDEQERPIKLAVSRNLTNVDYDF >Manes.06G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14508933:14510813:1 gene:Manes.06G045900.v8.1 transcript:Manes.06G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVFFQRKPKKMDFHQTPRSHDVVSPQKAYKNKDDEGLDLHNHGTLHKGIKRYDLKSFWELPDHMKDNEFILNYYRVCWPLKEALFSIFRWHNETLNVWTHLIGFLLFLGLTMANIMQVPQIADLLCLFTRSILTSAEANFSHNSEELFLGTRELVDLKLITSSKTITPPVTTVTRWPFFVFLGGSMFCLLSSSICHLFSCHSRTLNIFLLRIDYVGITTMIITSFFPPIYYIFLCDSHWQYIYLGGITAMGMFTILTLLSPSLSTGKFRAFRALLFSSMGFFGMIPAVHGTIVNWTNPKRNTLLAYECSMALFYLVGTGFYVSRIPERFKPGWFDLAGHSHQIFHVFVVLGALAHYGATLVFLEYRDHVGC >Manes.12G012951.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1302084:1302434:1 gene:Manes.12G012951.v8.1 transcript:Manes.12G012951.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTEIWAMCEARDYFRGLRSNLNKTTKRNYFKRKISGMEYESDWTRHRRTSAFTAQAIRSATSFMPWSCKIPIGDGYHEFL >Manes.05G020500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1843721:1848158:1 gene:Manes.05G020500.v8.1 transcript:Manes.05G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVKAVPPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSIFGCSPGMAWTIVNLAHFCITYHFFHWKKGTPFAEDQGIYNSLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTLAVTVLVIAKFPNMHKVRIFGINADK >Manes.01G034300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6625724:6632102:-1 gene:Manes.01G034300.v8.1 transcript:Manes.01G034300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRNDYGKRSNSHSDYGGGKRRNPGDDPDQPRTIGSDDTVYRYLCPLRKIGSIIGRGGEIAKQLRSESKSSIRISDSMPGFEERIVTIYSSSEETNLFGDTGEFVSPAQDALFMVHDRIVAEDLPPNNNNDGDDEFGDPQQVTVRMLVPSDQIGCVIGKGGQVIQNIRSETRAQIRILKDEHLPPLALSSDELLQIIGEPAIVRKALYQVSSRLHENPSRSQHLLLSSSTNMYQSGGMVMTANAGAPLMGLYGNYKGGWSSSFYSDQRDEASAKEFSLRMVCPIGNIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTLNAALRLQPRCSEKSERESGDSVITTRLLVPRSQIGCLMGKGGAIISEMRSITRASIRILSEDNLPKVASEDDEMVQITGSLDVSSNALLHVILRLKANLFGRDGALSAIPPTLPYIPVSMDVLDGQKYGSRDNQSRGRGYSSAGAYSSRDVPPIENYGNSGSSLSGGESGYGGLSSGRSGLSGQNSINQRKHHGY >Manes.01G034300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6625091:6632203:-1 gene:Manes.01G034300.v8.1 transcript:Manes.01G034300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRNDYGKRSNSHSDYGGGKRRNPGDDPDQPRTIGSDDTVYRYLCPLRKIGSIIGRGGEIAKQLRSESKSSIRISDSMPGFEERIVTIYSSSEETNLFGDTGEFVSPAQDALFMVHDRIVAEDLPPNNNNDGDDEFGDPQQVTVRMLVPSDQIGCVIGKGGQVIQNIRSETRAQIRILKDEHLPPLALSSDELLQIIGEPAIVRKALYQVSSRLHENPSRSQHLLLSSSTNMYQSGGMVMTANAGAPLMGLYGNYKGGWSSSFYSDQRDEASAKEFSLRMVCPIGNIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTLNAALRLQPRCSEKSERESGDSVITTRLLVPRSQIGCLMGKGGAIISEMRSITRASIRILSEDNLPKVASEDDEMVQITGSLDVSSNALLHVILRLKANLFGRDGALSAIPPTLPYIPVSMDVLDGQKYGSRDNQSRGRGYSSAGAYSSRDVPPIENYGNSGSSLSGGESGYGGLSSGRSGLSGQNSINQRKHHGY >Manes.15G171000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15486760:15493403:1 gene:Manes.15G171000.v8.1 transcript:Manes.15G171000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYTLPIARRTRSREALMYRKLHEELNGKCYEKKRNAAESTSFSGFSDVKGRSSSGSCQKDNGSENLGLVDYGVVKGKSSSGSCQMDNGSDNLGLGDDVVEFCDLGPYDCVDKCDMGASNFENLGFECAENDDSEEMGWRESGRVDVEESCSRSKSNGEDDVVVVSISDSDSEEDDISSEESVEDSDDEDEIETSSSGESDEDSDDDYDGYVPEIPDGSPGFEELSGESEGEDESNKEEESPVSVKEPGNRGKGEDGSNKEEESPVSVKESGNREKGEDRSNKEEESPISVKETASRGIGDEVEIRLKRKKLSDDCKNDISGNGKDDAVDEVQKKICVSKRTRSRCSSESSEKIVGVGTVSHPICVDNEDLNDFGIEEVEDEEEHVQDLCGGQPSRKRIRAYEDHEVVQILANSILDKTEIPGEEIDEPVIEPSLPLKFTFGTEEQVAVEKSEEEKELEKLWAEMNLALCADDATDGKEEAENAADVTPENELDTDALCQQGKHQYVLDEEIGIKCKFCSFVNVEIKYCTAPFGRRTSQYSERRVSYAVHLNVFEELRDQNCGHDSQPGCDPFSFHARGTVWNIIPGIEKDLHEHQREGFKFLWKNIAGGIYLDKLKKPTSSDSETGGCIISHAPGTGKTRLAIVFLQTHLKLYPTCRPVIIAPSSMLLSWEAEFKKWKVNIPFHNLNKPKFSGKENVAAMKLFKSRQHSLNSVRMLKLYSWKNDTSILGISYKLFEELVGEDKKRSAVRQKNEEGMVRQILLELPGLLVLDEGHTPRNDQSQIWKALSKIRTEKRIILSGTPFQNNFDELYNTLCLARPKFADRISCKFNAFFDTKRSRKVNGARRNWASLTNSLGRVADDRVRAERLEEVRAMIRPFVHVHRGNILQQSLPGLRDAMIILEPPHFQKSLLDKVELTANRTAFDLEYLVTLVSVHPSLLLNQSFDLDDFGGRAMLEKLRLNPDMGVKTKFLTELIRLSIATDEKVLIFSQYLHPLTFICKLLESRFNWIQGKEILRMNGQVDTVQRQSLIKDFNNRNSQAKVMLASTRACCEGINLVGASRVVLLDVVWNPSVERQAISRAYRLGQEKVVYIYHLITSGTKEEEKYCRQAEKERLSELVFYSSERAHTREKISSNVSEDEKDTILEEMIHRNKLTEMFKRIIYQPKDSNLVDSFGLVNL >Manes.15G171000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15486761:15493063:1 gene:Manes.15G171000.v8.1 transcript:Manes.15G171000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYTLPIARRTRSREALMYRKLHEELNGKCYEKKRNAAESTSFSGFSDVKGRSSSGSCQKDNGSENLGLVDYGVVKGKSSSGSCQMDNGSDNLGLGDDVVEFCDLGPYDCVDKCDMGASNFENLGFECAENDDSEEMGWRESGRVDVEESCSRSKSNGEDDVVVVSISDSDSEEDDISSEESVEDSDDEDEIETSSSGESDEDSDDDYDGYVPEIPDGSPGFEELSGESEGEDESNKEEESPVSVKEPGNRGKGEDGSNKEEESPVSVKESGNREKGEDRSNKEEESPISVKETASRGIGDEVEIRLKRKKLSDDCKNDISGNGKDDAVDEVQKKICVSKRTRSRCSSESSEKIVGVGTVSHPICVDNEDLNDFGIEEVEDEEEHVQDLCGGQPSRKRIRAYEDHEVVQILANSILDKTEIPGEEIDEPVIEPSLPLKFTFGTEEQVAVEKSEEEKELEKLWAEMNLALCADDATDGKEEAENAADVTPENELDTDALCQQGKHQYVLDEEIGIKCKFCSFVNVEIKYCTAPFGRRTSQYSERRVSYAVHLNVFEELRDQNCGHDSQPGCDPFSFHARGTVWNIIPGIEKDLHEHQREGFKFLWKNIAGGIYLDKLKKPTSSDSETGGCIISHAPGTGKTRLAIVFLQTHLKLYPTCRPVIIAPSSMLLSWEAEFKKWKVNIPFHNLNKPKFSGKENVAAMKLFKSRQHSLNSVRMLKLYSWKNDTSILGISYKLFEELVGEDKKRSAVRQKNEEGMVRQILLELPGLLVLDEGHTPRNDQSQIWKALSKIRTEKRIILSGTPFQNNFDELYNTLCLARPKFADRISCKFNAFFDTKRSRKVNGARRNWASLTNSLGRVADDRVRAERLEEVRAMIRPFVHVHRGNILQQSLPGLRDAMIILEPPHFQKSLLDKVELTANRTAFDLEYLVTLVSVHPSLLLNQSFDLDDFGGRAMLEKLRLNPDMGVKTKFLTELIRLSIATDEKVLIFSQYLHPLTFICKLLESRFNWIQGKEILRMNGQVDTVQRQSLIKDFNNRNSQAKVMLASTRACCEGINLVGASRVVLLDVVWNPSVERQAISRAYRLGQEKVVYIYHLITSGTKEEEKYCRQAEKERLSELVFYSSERAHTREKISSNVSEDEKDTILEEMIHRNKLTEMFKRIIYQPKDSNLVDSFGLVNL >Manes.15G171000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15486935:15492803:1 gene:Manes.15G171000.v8.1 transcript:Manes.15G171000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCVNSRCSFSILALEDRVFLCEKMMDYTLPIARRTRSREALMYRKLHEELNGKCYEKKRNAAESTSFSGFSDVKGRSSSGSCQKDNGSENLGLVDYGVVKGKSSSGSCQMDNGSDNLGLGDDVVEFCDLGPYDCVDKCDMGASNFENLGFECAENDDSEEMGWRESGRVDVEESCSRSKSNGEDDVVVVSISDSDSEEDDISSEESVEDSDDEDEIETSSSGESDEDSDDDYDGYVPEIPDGSPGFEELSGESEGEDESNKEEESPVSVKEPGNRGKGEDGSNKEEESPVSVKESGNREKGEDRSNKEEESPISVKETASRGIGDEVEIRLKRKKLSDDCKNDISGNGKDDAVDEVQKKICVSKRTRSRCSSESSEKIVGVGTVSHPICVDNEDLNDFGIEEVEDEEEHVQDLCGGQPSRKRIRAYEDHEVVQILANSILDKTEIPGEEIDEPVIEPSLPLKFTFGTEEQVAVEKSEEEKELEKLWAEMNLALCADDATDGKEEAENAADVTPENELDTDALCQQGKHQYVLDEEIGIKCKFCSFVNVEIKYCTAPFGRRTSQYSERRVSYAVHLNVFEELRDQNCGHDSQPGCDPFSFHARGTVWNIIPGIEKDLHEHQREGFKFLWKNIAGGIYLDKLKKPTSSDSETGGCIISHAPGTGKTRLAIVFLQTHLKLYPTCRPVIIAPSSMLLSWEAEFKKWKVNIPFHNLNKPKFSGKENVAAMKLFKSRQHSLNSVRMLKLYSWKNDTSILGISYKLFEELVGEDKKRSAVRQKNEEGMVRQILLELPGLLVLDEGHTPRNDQSQIWKALSKIRTEKRIILSGTPFQNNFDELYNTLCLARPKFADRISCKFNAFFDTKRSRKVNGARRNWASLTNSLGRVADDRVRAERLEEVRAMIRPFVHVHRGNILQQSLPGLRDAMIILEPPHFQKSLLDKVELTANRTAFDLEYLVTLVSVHPSLLLNQSFDLDDFGGRAMLEKLRLNPDMGVKTKFLTELIRLSIATDEKVLIFSQYLHPLTFICKLLESRFNWIQGKEILRMNGQVDTVQRQSLIKDFNNRNSQAKVMLASTRACCEGINLVGASRVVLLDVVWNPSVERQAISRAYRLGQEKVVYIYHLITSGTKEEEKYCRQAEKERLSELVFYSSERAHTREKISSNVSEDEKDTILEEMIHRNKLTEMFKRIIYQPKDSNLVDSFGLVNL >Manes.17G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25330827:25339000:-1 gene:Manes.17G055800.v8.1 transcript:Manes.17G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRFEVRNEYRLGQPELYKEANREDPKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQEQVTTTASRSHKLKVRVQKIEAKLPSLEKAVLAQTSHIHLAYTAGSEWHSRIHNGQNHFISNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPTFFRRASGNFNEPDVEKFPKEKKTRKTKKKRPSRRNADFLRSASMPNQSARMQYATTIVNGRTSSPTGTSSTNDMTLKSDLGDHSNSFDSRTGSAYVECVFHLSSSRQPEEHEPKEYSSRFMHHNDNIDSVFPDGQHSIETKNFPQSSSPEPTVPASSCDTWDEKAEIVETKGLNCDGSESPEMSTTDYDLGIHHEEIANYRDPDESGMVFDNEDAQKSSTDKNEIDEVESEPDNYEDALNTIESESENDLDCQTKRELEQLSSKVNDEGIEDEASKMAQHISVDFPAKSESYIASDISLKGMVSELPISVPSNTIVHEHASYISEEPSGSDISPKEGMVSEEPSGSDISPKEGMVSEEPSGSDISPKEGMVSEEPSGSDISPKEGIVSELPMPIPSDTVAHEHTSNTSKEPSVLDNIGSSTCADALDGSEVGSLVSDPSSSSDGISNLVEPLSEITASSACKPQESPKTQELAKSHESPESQKMAVSQESHKSQESQAELSSVLSVSFWTNGGLLGLEPSKPPDFAVSNASMQDSLARCKGEVKSSPNLGSMPSDNGERVRPGRLIKDDHFNSRCEDQDAESEKSGDFHHSNRFGSVHMGGLNGTSIAKPEKELCPDADVKSASRETSQENDENSGQMFGLGPRLLINGLRKTMSLIPDSKPEMASSQRSNALEQRNGHDSIAYHANPGKASNDKFGHKSIVDSLTSSPPLEHMKMSFHPIDGFEVSKLKLKVPDGNHSTGSFRDMFPSFQLVPEHCIPMQHAGSESDDDTFCRSSPYMSDDCLSHHSDSCSEQWEPGESPQNQDPGLYDALCRISSVESVSSSLQAGEMGKNGLHMDSGLKSEYTENGADPSLHSLLDLPSFDAVSPVLQEKENENLDPRNLIELHNPKECNPVPPPPPPVQWWVTKPASYVAEEKQNIVSDTVSEQHIVDLKLSGSIISQQHKPAPAKKHQTVEEALKFKPNNKDHWKLNAQGEVSVPPNGKGMDEKEDFLHQIKTKSFTLRRTVTAKPTFASGPAANDKVSAILEKANAIRQAVGSDDGEDDDTWSDT >Manes.17G055800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25330827:25339000:-1 gene:Manes.17G055800.v8.1 transcript:Manes.17G055800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPTFFRRASGNFNEPDVEKFPKEKKTRKTKKKRPSRRNADFLRSASMPNQSARMQYATTIVNGRTSSPTGTSSTNDMTLKSDLGDHSNSFDSRTGSAYVECVFHLSSSRQPEEHEPKEYSSRFMHHNDNIDSVFPDGQHSIETKNFPQSSSPEPTVPASSCDTWDEKAEIVETKGLNCDGSESPEMSTTDYDLGIHHEEIANYRDPDESGMVFDNEDAQKSSTDKNEIDEVESEPDNYEDALNTIESESENDLDCQTKRELEQLSSKVNDEGIEDEASKMAQHISVDFPAKSESYIASDISLKGMVSELPISVPSNTIVHEHASYISEEPSGSDISPKEGMVSEEPSGSDISPKEGMVSEEPSGSDISPKEGMVSEEPSGSDISPKEGIVSELPMPIPSDTVAHEHTSNTSKEPSVLDNIGSSTCADALDGSEVGSLVSDPSSSSDGISNLVEPLSEITASSACKPQESPKTQELAKSHESPESQKMAVSQESHKSQESQAELSSVLSVSFWTNGGLLGLEPSKPPDFAVSNASMQDSLARCKGEVKSSPNLGSMPSDNGERVRPGRLIKDDHFNSRCEDQDAESEKSGDFHHSNRFGSVHMGGLNGTSIAKPEKELCPDADVKSASRETSQENDENSGQMFGLGPRLLINGLRKTMSLIPDSKPEMASSQRSNALEQRNGHDSIAYHANPGKASNDKFGHKSIVDSLTSSPPLEHMKMSFHPIDGFEVSKLKLKVPDGNHSTGSFRDMFPSFQLVPEHCIPMQHAGSESDDDTFCRSSPYMSDDCLSHHSDSCSEQWEPGESPQNQDPGLYDALCRISSVESVSSSLQAGEMGKNGLHMDSGLKSEYTENGADPSLHSLLDLPSFDAVSPVLQEKENENLDPRNLIELHNPKECNPVPPPPPPVQWWVTKPASYVAEEKQNIVSDTVSEQHIVDLKLSGSIISQQHKPAPAKKHQTVEEALKFKPNNKDHWKLNAQGEVSVPPNGKGMDEKEDFLHQIKTKSFTLRRTVTAKPTFASGPAANDKVSAILEKANAIRQAVGSDDGEDDDTWSDT >Manes.12G026000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2300949:2305703:-1 gene:Manes.12G026000.v8.1 transcript:Manes.12G026000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKENFKRLSGYELPIKSAQVTESTDFNELAEKEPWLSSGKLVVKPDMLFGKRGKSGLVALNLDLAEVAVFVKERLGKEVEMSGCKGPITTFIVEPFIPHNEEFYLNIVSERLGSSISFSECGGIEIEENWDKVKTIYVPTGSSFTSETCAPLVAILPLEIKQEIEEFIKSVFALFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTASFKNFKKWGNIEFPMPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLRYARVVIDCATSSPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMHMFVRRGGPNYQRGLAKMRSLGEEIGIPIEVYGPEATMTGICKQAIECITAAA >Manes.12G026000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2300949:2306217:-1 gene:Manes.12G026000.v8.1 transcript:Manes.12G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKENFKRLSGYELPIKSAQVTESTDFNELAEKEPWLSSGKLVVKPDMLFGKRGKSGLVALNLDLAEVAVFVKERLGKEVEMSGCKGPITTFIVEPFIPHNEEFYLNIVSERLGSSISFSECGGIEIEENWDKVKTIYVPTGSSFTSETCAPLVAILPLEIKQEIEEFIKSVFALFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTASFKNFKKWGNIEFPMPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLRYARVVIDCATSSPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMHMFVRRGGPNYQRGLAKMRSLGEEIGIPIEVYGPEATMTGICKQAIECITAAA >Manes.02G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8316752:8321928:-1 gene:Manes.02G106800.v8.1 transcript:Manes.02G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDVVNAMKEAAGKDLLNASHDHHVYKKLLHDLIVQSLLRLKEPSVLLRCRKDDLRLVESVLDSAKKEYAEKVNVHAPEIIVDNHVYLPPAPSHHNAHGPYCSGGVVLASRDGKIVCENTLDARLDVVFRKKLPEIRKRLFGQVAA >Manes.11G055100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6750162:6756335:1 gene:Manes.11G055100.v8.1 transcript:Manes.11G055100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIYEVDKLHSGGGPNFLRQLIGNIEEKVGFGKGISKLYATIDLEKARVGRTRILENEQTNPRWYESFHIYCAHLASNVIFTIKDDNPIGATLIGRAYVPVEELLDGEEIDRWVEILDTDKNPVRGGPKIHVKLQYFDVSKDPNWGRGIRSPKYPGVPYTFYSQRQGCKVSLYQDAHVPDKFVPKIPLAGGKYYEPHRCWEDVFDAITNAKHFIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETEHYFQNTDVNCVLCPRNPDDGGSIIQDLQISTMFTHHQKIVVVDSALPNGDTQRRRIVSFVGGIDLCDGRYDSPFHSLFRTLDTAHHDDFHQPNFAGASIEKGGPREPWHDIHSKLEGPIAWDVLFNFEQRWRKQGGKDLLVQLRELEDIIIPPSPVVYPDDYETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDNIIDRSIQDAYIHAIRRAKDFIYIENQYFLGSSFGWAPDGIKPEDINALHVIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQKRTMEMMYKDVIQALQAKGIEEDPRNYLTFFCLGNREVKKSGEYEPSERPEADSDYMRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYQLSTRQPARGQVHGFRMALWYEHLGMLDDTFLFPESEECVRKVNQIADKYWDLYSSETLEHDLPGHLLRYPVGIASEGDVTELPGTEFFPDTKARILGARSDYLPPILTT >Manes.11G055100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6750736:6756335:1 gene:Manes.11G055100.v8.1 transcript:Manes.11G055100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHATIYEVDKLHSGGGPNFLRQLIGNIEEKVGFGKGISKLYATIDLEKARVGRTRILENEQTNPRWYESFHIYCAHLASNVIFTIKDDNPIGATLIGRAYVPVEELLDGEEIDRWVEILDTDKNPVRGGPKIHVKLQYFDVSKDPNWGRGIRSPKYPGVPYTFYSQRQGCKVSLYQDAHVPDKFVPKIPLAGGKYYEPHRCWEDVFDAITNAKHFIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETEHYFQNTDVNCVLCPRNPDDGGSIIQDLQISTMFTHHQKIVVVDSALPNGDTQRRRIVSFVGGIDLCDGRYDSPFHSLFRTLDTAHHDDFHQPNFAGASIEKGGPREPWHDIHSKLEGPIAWDVLFNFEQRWRKQGGKDLLVQLRELEDIIIPPSPVVYPDDYETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDNIIDRSIQDAYIHAIRRAKDFIYIENQYFLGSSFGWAPDGIKPEDINALHVIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQKRTMEMMYKDVIQALQAKGIEEDPRNYLTFFCLGNREVKKSGEYEPSERPEADSDYMRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYQLSTRQPARGQVHGFRMALWYEHLGMLDDTFLFPESEECVRKVNQIADKYWDLYSSETLEHDLPGHLLRYPVGIASEGDVTELPGTEFFPDTKARILGARSDYLPPILTT >Manes.15G132700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10705763:10707512:1 gene:Manes.15G132700.v8.1 transcript:Manes.15G132700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAALELERRSRFLNSLIEKKKAKEHQEQYDHLNVRVRASDMPIPMQIRAFKCARDQLDSMPGKLDSKRLALALKKEFDSAYGPAWHCIVGTSFGSYVTHSIGGFLYFSIDKVYILLFKTAVEPLDH >Manes.15G090700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7081490:7084801:1 gene:Manes.15G090700.v8.1 transcript:Manes.15G090700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMAPNWPPFPQQTMPSYLSNAHAATVTPAIVDNHQPSWVDEFLDFTSARRGVHRRSISDSIAFLETSTTPLAEDCSNNNSNNHNSSNAFMSGNLGFERLDDEQLMSMFSDDMSLSMPPSSSNPSTPSDQNSNNDEKPMMRLDKKYHHQQQQHKNEQQQQAKAEPEEVESSCKQEPPTQQPPTSCNGDPVIIDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQNLKKMNNNNGGSNVGGGAAANQETVQCTEKESFS >Manes.18G005800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:923839:929689:1 gene:Manes.18G005800.v8.1 transcript:Manes.18G005800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGASSGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLIRLSSGGTELICEGLFSHPNEIWDLSSCPFDQRIFSTVFSTGESYGAAVWQIPELYGQLNSPQLEKIASLDAHSGKINCILWWPSGRNDKLISIDEENLFLWSLDCSRKAAQVQSKESAGMLHYLSGGAWDPHDVNAVAATCESSIQFWDLRTMNKTNSIECAHVRNVDYDTKKKHILVTAEDESGIHVWDLRMPMVPIKELPGHAHWTWAIACNPEYDGLILSGGTDSTVNLWLAPTSTGDGMTSESMVESPTHLVDPLLNSYSDYEDSVYDLAWSSREPWIFASLSYDGRVVVESVKPFLSKKWTQ >Manes.18G005800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:923839:929689:1 gene:Manes.18G005800.v8.1 transcript:Manes.18G005800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGASSGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLIRLSSGGTELICEGLFSHPNEIWDLSSCPFDQRIFSTVFSTGESYGAAVWQIPELYGQLNSPQLEKIASLDAHSGKINCILWWPSGRNDKLISIDEENLFLWSLDCSRKAAQVQSKESAGMLHYLSGGAWDPHDVNAVAATCESSIQFWDLRTMNKTNSIECAHVRNVDYDTKKKHILVTAEDESGIHVWDLRMPMVPIKELPGHAHWTWAIACNPEYDGLILVSLSLPLTFIQMHGQTQKHA >Manes.S019948.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:200651:200773:1 gene:Manes.S019948.v8.1 transcript:Manes.S019948.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.03G052700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4882308:4887716:-1 gene:Manes.03G052700.v8.1 transcript:Manes.03G052700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFFNLLNLFAFNTTSHDLCVFGLRRRRLDASKWMRFVGMQCRCACLSATVYSSECIPSFSFLHQAEQGKLNDMGITRTTQLSSDPGPSGESSPSTSSPSGNGLRSFPLAAQPEIMRAAEKDDQYASFVYDACRDAFRHLFGTRVAVAYQSETRLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGPHGLPPTPARRALFILYQSAVPYIAERISSRVASRGIALADSVSGEPDSSFASGSSQIQSSLMIDLPSSSTTRTSVSLLSRMRQNFTGLWVHTVQRWPAALPVVREILQLILRTNLMFFYFEGLYYHISKRAAGIRYVFIGKPSNQRPRYQILGVFLLIQLCIIAAEGLRRSNISSIASSVHQTSFNPNQSSGRGFPVLNEEGNLIPVESGRGGWASDSASTSEANGASATSKCTLCLSNRQYPTATACGHVFCWNCIMEWCNEKPECPLCRTPITHSSLVCLYHSDF >Manes.12G076851.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8901133:8901888:1 gene:Manes.12G076851.v8.1 transcript:Manes.12G076851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLLYFGFPILDLTYLTQLLSQFMQALRRTQWLSQLYSTQCMSLVSKKMKKQKTMSGSFTEIEYRSVASTTYEVSNRASFAGLLHCDNKTTQQHRAANLIFYERMKHLEINCHIVHNLISYGFLRTMHVGSKQLLVDLFITPLEVLTFIFYCPRWILEVFSIFILRMGFILKMG >Manes.12G132600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33988507:33989699:-1 gene:Manes.12G132600.v8.1 transcript:Manes.12G132600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAHFVLIHTICHGAWVWHKLKPMLEAAGHKVTALDLVASGTDPRIIEQVDSFDAYSEPLLKFLETIPQGEKVILVGESCGGLNVAIAADKYPQKIAAAVFHNSLMPDTVHNPSYVLDKFMEVFPDWKDSVFETYTSGVNTITTLKLGHYLMENYIYTDCHPEDLELGKMLTRKGSPFRETLAKRKFFTNKGYGSIKKIYIYGDEDKIMTEEFHEWQIENYKPEKVYVVAGGGHKLMLSRVNDLFGILQEVAYTYAYL >Manes.06G091550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22691018:22693158:1 gene:Manes.06G091550.v8.1 transcript:Manes.06G091550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGRERPSTNQLLKSCNSEFFVKQNVHSLQCNSNWCVSVQIDKLLMMTRENKSWKVRMGRQKVLFLLLSLMLISI >Manes.03G149000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27611942:27617892:1 gene:Manes.03G149000.v8.1 transcript:Manes.03G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHIRSVLHLFIVSSLICSLAGAETSGSVFFIDGQNRQYLRTPSTNDVGQSHSMSPLELGAAVSVLLGFAPPATLSAAGSSKLNEVLLPNPFDRPHTVFMLEVTGVDDLVAPQNGMFSEAFRSKVILNSDEAQIELPDEEVSVFSLDETLADLNDEELSGLASWLGGSYTIDALEPMNGKLIVPVASGTNMNLYVSKKADKEFMASLLALFHNSRRAIEMHEDLSQATQGPAQLIVGRFDGIKALQEQYGHEVVQQGLDVLLATLSKMLDSFQAAYRGQIVGVIVCNEISSPQSGTILDLVSTSRPSARWLAEKVGSNATNATTIAEVALVRKTLAWVTGIILLISTLLGIYLLLNMPLTRDTLLYSNVKLD >Manes.03G149000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27611942:27616597:1 gene:Manes.03G149000.v8.1 transcript:Manes.03G149000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHIRSVLHLFIVSSLICSLAGAETSGSVFFIDGQNRQYLRTPSTNDVGQSHSMSPLELGAAVSVLLGFAPPATLSAAGSSKLNEVLLPNPFDRPHTVFMLEVTGVDDLVAPQNGMFSEAFRSKVILNSDEAQIELPDEEVSVFSLDETLADLNDEELSGLASWLGGSYTIDALEPMNGKLIVPVASGTNMNLYVSKKADKEFMASLLALFHNSRRAIEMHEDLSQATQGPAQLIVGRFDGIKALQEQYGHEVVQQGLDVLLATLSKMLDSFQAAYRGQIVGVIVCNEISSPQSGTILDLVSTSRPSARWLAEKVGSNATNATTIAEVALVRKTLAWVTGIILLISTLLGIYLLLNMPLTRDTLLYSNVKLD >Manes.14G076200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6357732:6360859:-1 gene:Manes.14G076200.v8.1 transcript:Manes.14G076200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAVVLTNNRENSFTYQQKTSGIAGVSDRNMYLSALSSPKLLANFEEEVIRTPPPPRSEGDILSSPHLKAFTLNDLKNATRSFRPDNLIGEGGFGDVYKGWIDEQTLGAASSGQGMVVAVKKLKPEGFQGHQEWLSEVNYLGQLHHPNLVKLIGYCLDGENRLLVYEYMPKGGAQPLSWALRIKVAIGAARGLSFLHDSEQQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRSHVSTRVLGTQGYAAPEYIATGRLTARCDVYSFGVVLLELLTGRRALDKTKVGLEQNLVDWTKPYLGDRRKLFRIMDTELEGQYPQREAFMVALLAFHCISEAKLRPPMSEVLATLEELPVITKQGTSPSRFLSQQQNPTARSPLRDNEPSPTHMNSPHLKFLSGC >Manes.14G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6357732:6360859:-1 gene:Manes.14G076200.v8.1 transcript:Manes.14G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAVVLTNNRENSFTYQQKTSGIAGVSDRNMYLSALSSPKLLANFEEEVIRTPPPPRSEGDILSSPHLKAFTLNDLKNATRSFRPDNLIGEGGFGDVYKGWIDEQTLGAASSGQGMVVAVKKLKPEGFQGHQEWLSEVNYLGQLHHPNLVKLIGYCLDGENRLLVYEYMPKGSLENHLFRRGAQPLSWALRIKVAIGAARGLSFLHDSEQQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRSHVSTRVLGTQGYAAPEYIATGRLTARCDVYSFGVVLLELLTGRRALDKTKVGLEQNLVDWTKPYLGDRRKLFRIMDTELEGQYPQREAFMVALLAFHCISEAKLRPPMSEVLATLEELPVITKQGTSPSRFLSQQQNPTARSPLRDNEPSPTHMNSPHLKFLSGC >Manes.04G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1800494:1802749:-1 gene:Manes.04G013500.v8.1 transcript:Manes.04G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRSSLLGWAYYCQGKTMEELKNSLLFTSLELEQTRVAAQEELRKRDDQLSHLKDLLNKITSERDEAREKCQRLLLEKVLYQQQQQQNDPLSGISSIEDEPRRAIDSNNGFSSSDCEESIVSSPVIDTIPQTPHLPPAAAPPPTIEVVPQKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPPPPPPPPPQLLHLDSLININGCNRKRVIFDGSDSPTETKHQRILLH >Manes.03G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22519304:22521261:1 gene:Manes.03G101100.v8.1 transcript:Manes.03G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYSTGSMIFLMFAICFSSLLHFTNGQSHFNVRGKIYCDTCGVQFITKKSFYLEGAKVRFECWDMKTNATIFSREVETNKNGKYELRVPGVHEEELCRVILISSPDPECSEINQDPFVNKASHIVLSRLNGLAGNTRTANPLGFLKKTPPPECSTLLKKMKVTASGLVP >Manes.01G077800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28094366:28098492:-1 gene:Manes.01G077800.v8.1 transcript:Manes.01G077800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDLPETLGKTIPDAWDYKGRPAERSKTGGWGSAAMILGGEACERLTTLGIIVNLVTYLTGTMHLGNATSANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFATVQALGITTLTISTAVPSFRPPKCVKDTDCIPANSKQLTILYLALYMYALGTGGLKSSVSGFGSDQFDETDDKEKKQMASFFHWFFFLINIGSLSAVTVLVYIQDNVGRSWGYGICACAIVLGLIVYLSGTRQYRFKKLAGSPLTQIVTVFVAAWRKRHLKMPSDQSFLSDVDFVEEGDKNKQKLPHSKQFHFLDRAAIKDPKINVVSKWNLSTLTDIEEVKLVLRMLPIWATTIMFWTVYAQMTTFSVAQATTMDRHIGKSFQIPAASLTVFFVGSILLTVPIYDRVVAPIAKKVLKNPYGMTPLQRIAVGLVFSMFSMIAAALSELKRLRAARSNGLTNDPTAAIPLSVFWLVPQFFFVGSGEALTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGCFFSSLLVSIVHKVTANEPWLADNLNQAKLYDFYWLLAILSALNFLMFLVCSKWYVYKDERLAELGIELEELDEPAMQEILV >Manes.02G024100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2103129:2105432:1 gene:Manes.02G024100.v8.1 transcript:Manes.02G024100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRESSEKCKACDKTVHFIDMITADAISYHKTCFKCSHCNGLLVMSSYSSMDGVLYCKPHFDQLFRETGSYSKKFPSSGDKKNALARAPSKVSSMFSGTQDKCVRCNKTAYPLEKVNVEGQSYHKTCFRCAHGGCYLTPSSYAALDGTLYCKTHFAQLFKEKGCYNNLGKSGSMRKNEANAPEEPEAEESKTEVVTEPDTQVEIETNSDAAPVQV >Manes.02G024100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2104257:2105391:1 gene:Manes.02G024100.v8.1 transcript:Manes.02G024100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSSMDGVLYCKPHFDQLFRETGSYSKKFPSSGDKKNALARAPSKVSSMFSGTQDKCVRCNKTAYPLEKVNVEGQSYHKTCFRCAHGGCYLTPSSYAALDGTLYCKTHFAQLFKEKGCYNNLGKSGSMRKNEANAPEEPEAEESKTEVVTEPDTQVEIETNSDAAPVQV >Manes.02G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2103687:2105380:1 gene:Manes.02G024100.v8.1 transcript:Manes.02G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGTTEKCKACDKTVHFIDMITADAISYHKTCFKCSHCNGLLVMSSYSSMDGVLYCKPHFDQLFRETGSYSKKFPSSGDKKNALARAPSKVSSMFSGTQDKCVRCNKTAYPLEKVNVEGQSYHKTCFRCAHGGCYLTPSSYAALDGTLYCKTHFAQLFKEKGCYNNLGKSGSMRKNEANAPEEPEAEESKTEVVTEPDTQVEIETNSDAAPVQV >Manes.03G185100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30595109:30602090:1 gene:Manes.03G185100.v8.1 transcript:Manes.03G185100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLINAVMATRMVTMLGHSNGVGEGIHFGSIWWFIYAGISCILVLFAGIMSGLTLGLMSLGLVELEILQRSGTSSEKKQAATILPVVQKQHQLLVTLLLCNAAAMEALPLYLDKLFNQYVAIILSVTFVLFFGEVIPQAICSRYGLAVGANLVWLVRILMIICYPIAYPIGKILDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKVLARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETLVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVNKTKAKVPLAAGDKLEENRATCGDSKLTTPLLDKQSDSVVVDINNFSRPSNVNKQITSQSNDAATNGLPQPSEETEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARLPSSRRLTVTKGAGGQSKLGQTPRKSDNDVSTPRLLGTAGEPFPVNKR >Manes.17G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32162073:32163099:1 gene:Manes.17G114000.v8.1 transcript:Manes.17G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANFLLALAFLALASSFAIAYDPKPLQDFCVATDQASSGAFVNGKFCKDPDHVTADDFFYSGLHVARETSRQLGARTNLLTVDSIPGLNTNGLSIVRIDYEANGGLNPPHHHPRASEILTVLEGTLFAGFITSNPDHRVFSKVLKAGDVFVFPLGLIHFQLNIGKEPAVALAALNSQNPGVVTAANTVFGASPSINPDVLTRAFHLDKDLVTKLQKQEWVNPSDLNSYS >Manes.15G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11726523:11731140:-1 gene:Manes.15G143100.v8.1 transcript:Manes.15G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRCFLDISIGGELEGRIVVELYYDVVPKTAENFRALCTGEKGIGPHTGVPLHYKGCRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGANTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGDGDCPTVDVVIADCGEIPEGADDGISNFFKDGDIYPDWPADLSESPNELAWWMDAVDSIKAFGNEHYKKQDFKMALRKYRKALRYLDICWEKDGIDEEKSSSLRKTKSQIFTNSAACKLKFGDLKGALLDTDFAMREGDNNVKALFRQGQAHMALNDIDAAVESFKKALQLEPNDAGIKKELAAARKKINDRRDQERKQYQKMFQ >Manes.15G143100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11726523:11731140:-1 gene:Manes.15G143100.v8.1 transcript:Manes.15G143100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRCFLDISIGGELEGRIVVELYYDVVPKTAENFRALCTGEKGIGPHTGVPLHYKGCRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGANTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGDGDCPTVDVVIADCGEIPEGADDGISNFFKDGDIYPDWPADLSESPNELAWWMDAVDSIKAFGNEHYKKQDFKMALRKYRKALRYLDICWEKDGIDEEKSSSLRKTKSQIFTNSAACKLKFGDLKGALLDTDFAMREGDNNVKALFRQGQAHMALNDIDAAVESFKKALQLEPNDAGIKKELAAARKKINDRRDQERKQYQKMFQ >Manes.15G143100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11726523:11731140:-1 gene:Manes.15G143100.v8.1 transcript:Manes.15G143100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRCFLDISIGGELEGRIVVELYYDVVPKTAENFRALCTGEKGIGPHTGVPLHYKGCRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGANTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGDGDCPTVDVVIADCGEIPEGADDGISNFFKDGDIYPDWPADLSESPNELAWWMDAVDSIKAFGNEHYKKQDFKMALRKYRKALRYLDICWEKDGIDEEKSSSLRKTKSQIFTNSAACKLKFGDLKGALLDTDFAMREGDNNVKALFRQGQAHMALNDIDAAVESFKKALQLEPNDAGIKKELAAARKKINDRRDQERKQYQKMFQ >Manes.13G089060.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23012886:23013263:-1 gene:Manes.13G089060.v8.1 transcript:Manes.13G089060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIAIEKIENPTKRQVTFSKRRSSFIKKANEISILCAVDVALIAFSSFGRISKFSSRSRIENLIESDDRWIPSPRARGLTTAQSP >Manes.10G132700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29794802:29801459:1 gene:Manes.10G132700.v8.1 transcript:Manes.10G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEHALFPEWLKSGSSVPAGGSANHLSASASLHTDDHLVSKHVGNKSSISTTDRDIRRLSVQERTSSAYFPRSSNSNGSAQLRPTSSFGRSIHDRDWKDENDYQEKDKLALGEFRHHEHFDRLDNIVPSKFDKDKLRRSQSMITGKQDNTWSKKVSGDQTNNKSKLSNSKDNGLLARVGVGSVHDNAFEQDFPSLGAEERQGGIGRVSSPGLSMPTQTGTSAIVVSENWKSALAEVPIVMGSVASAQQAVPSPSASVLPNNNTGLNMAEALAQGPPRARTPPQPTSGIQRFEELAIRQSRLIPMTPSMPKTLVVSPSEKSKPKIGSLQQSVHFVNHTRVPARPDSTKISSEGRLQVLKPSRELNGISSAAKDNSSPTIGSKPVNSLHGITPLASTSVPLRTSGNPNHPTAERQPSVLRPNIEKKPSFQIKSRNDFFNDLKKKSSTNSTPAVSDPSPVSLSFTSEKMSCESVTGGTAASVTEHDGDDSSSEISVTGLSSDNRGKTHSGDAYYGAYYGTQFDDGDKDSNSDITPNPDEEEAAFLRSLGWDENAGEEGLTEEEISAFFEKYMKLRPSSKFLHGIQTRVAVNSESSSCGISSSALSSSESESDS >Manes.06G108000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24014512:24019059:1 gene:Manes.06G108000.v8.1 transcript:Manes.06G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLICDREIPLALQKVHSFAASFSHSLDSTTSTVEETLQYQGKLGKMKSSLREAEDDFVKVLSVKTRKEAKQLAIRDSISATRARIEELKRTLQVQKARRDEYAAIMSQQSFEKGNRDIEHKGVIQEAILWYNRVLGFHIEGGRGVKFTFNYINMSNPYEEYSFTIRHEEDTYSLLACKPHLNDTKDLIRELNRTNGLFKFVRIMREKFQEVTSLGRNFPQSPTLHQESAAVSMSAPVTSVSTDTSDSSLKENEYPHEVNRQSKKVNLGRGTKPSILSPASVRRSPRFVKRKE >Manes.06G108000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24014512:24019059:1 gene:Manes.06G108000.v8.1 transcript:Manes.06G108000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRLICDREIPLALQKVHSFAASFSHSLDSTTSTVEETLQYQGKLGKMKSSLREAEDDFVKVLSVKTRKEAKQLAIRDSISATRARIEELKRTLQVQKARRDEYAAIMSQQSFEKGNRDIEHKGVIQEAILWYNRVLGFHIEGGRGVKFTFNYINMSNPYEEYSFTIRHEEDTYSLLACKPHLNDTKDLIRELNRTNGLFKFVRIMREKFQEVTSLGRNFPQSPTLHQESAAVSMSAPVTSVSTDTSDSSLKENEYPHEVNRQSKKVNLGRGTKPSILSPASVRRSPRFVKVLSF >Manes.11G015700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1649130:1652274:1 gene:Manes.11G015700.v8.1 transcript:Manes.11G015700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYNYDLTLSLVHHSAFQIWDKERNLVGKVHIRERKSMASLTPGVLLRLLQSMNSNVKVRGEYRSVLLQVISIVPALTGSELWPNQGFFVKVSDSSHSTYVSLSKEDNDLIMNNKLQLGQFFYVDRIEAGTPVPILVGVRPVPGRNPFVGNPKDLMQMLVPSEGPVPVESEGTNGSKLKELLEGKEESPRQRIVIKEEKAAVASRYMQGVLTASPKVSGTESSGGGRINEMENNGAGNKKVGLVKEKQQENKGQAQPTTPHRNRPDSVLLKPDVGLSNAKETQMLSRSMSAKCSSNKQETTSLNADKKSSPEISTSWTSLPPGLLKSGKGMVRRRYLASLVAAEAQKEASTAAVLIKCLNMFADLRASASQENPHLSLTKFFTLQQLIDQPNVTIPLKDKSLKISTQFSFSDTEKTSKKTGLSHVKSTLKSPKSSMELGVPEKLEWAKGDGTKEIKELRETVLSETRNWFLKFLEGALDTGFRVAIQEKKGKDIAGRRMEPENNHIAVTLSQLKHANEWLDKQRNNLISENNNGLLESVDRLKQKVYACLLAHVDSAASALENRSDRVR >Manes.05G020600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1851248:1853338:-1 gene:Manes.05G020600.v8.1 transcript:Manes.05G020600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSNACTRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSASSSSSSSAAVSSSSSASSKLPDLNPPSLSQFSSPNPKTHEGQDLNLAFPAIQENQGISHFLEVPKTENNNNSQHNSSSSPSSSPYTSSPLSALELLRTGIDSRGLNSFIPTLMPESNTLYSSGFPMQEFKPTLSFSVEGLGSRYGVQENGGRTLFPFGEMKQLSSTTEVDQNKGQGTSSNGYWNGMFGGGGGSW >Manes.05G020600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1851199:1853353:-1 gene:Manes.05G020600.v8.1 transcript:Manes.05G020600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSNACTRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSASSSSSSSAAVSSSSSASSKLPDLNPPSLSQFSSPNPKTHEGQDLNLAFPAIQENQALELLRTGIDSRGLNSFIPTLMPESNTLYSSGFPMQEFKPTLSFSVEGLGSRYGVQENGGRTLFPFGEMKQLSSTTEVDQNKGQGTSSNGYWNGMFGGGGGSW >Manes.05G020600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1851199:1853353:-1 gene:Manes.05G020600.v8.1 transcript:Manes.05G020600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASWPQDVKLVKPMEEISSNACTRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSASSSSSSSAAVSSSSSASSKLPDLNPPSLSQFSSPNPKTHEGQDLNLAFPAIQENQALELLRTGIDSRGLNSFIPTLMPESNTLYSSGFPMQEFKPTLSFSVEGLGSRYGVQENGGRTLFPFGEMKQLSSTTEVDQNKGQGTSSNGYWNGMFGGGGGSW >Manes.05G020600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1851201:1852615:-1 gene:Manes.05G020600.v8.1 transcript:Manes.05G020600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSNACTRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSASSSSSSSAAVSSSSSASSKLPDLNPPSLSQFSSPNPKTHEGQDLNLAFPAIQENQALELLRTGIDSRGLNSFIPTLMPESNTLYSSGFPMQEFKPTLSFSVEGLGSRYGVQENGGRTLFPFGEMKQLSSTTEVDQNKGQGTSSNGYWNGMFGGGGGSW >Manes.05G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1851248:1853335:-1 gene:Manes.05G020600.v8.1 transcript:Manes.05G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASWPQDVKLVKPMEEISSNACTRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSASSSSSSSAAVSSSSSASSKLPDLNPPSLSQFSSPNPKTHEGQDLNLAFPAIQENQGISHFLEVPKTENNNNSQHNSSSSPSSSPYTSSPLSALELLRTGIDSRGLNSFIPTLMPESNTLYSSGFPMQEFKPTLSFSVEGLGSRYGVQENGGRTLFPFGEMKQLSSTTEVDQNKGQGTSSNGYWNGMFGGGGGSW >Manes.07G068040.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16639216:16646048:1 gene:Manes.07G068040.v8.1 transcript:Manes.07G068040.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRSLLLLLTLLLSITSSSSNAAYPTVVDDCGCSASSTDDDLLLIPERNEVYGNGRIIDISHRYVSDMPSWNSKEGIGPFIRLFESLKNGSIANFSEFKLSVHSGTHVDAPGHVYDHYYEAGFDVDTLDLEILNGPALLVDVPRESNITAEVMKSLKIPKGVRRVLFRTLNTDRKLMLKKEFDSSYVGFMEDGAKWLVENTDIKFVGNHSGGGPET >Manes.07G068040.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16639102:16646093:1 gene:Manes.07G068040.v8.1 transcript:Manes.07G068040.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRSLLLLLTLLLSITSSSSNAAYPTVVDDCGCSASSTDDDLLLIPERNEVYGNGRIIDISHRYVSDMPSWNSKEGIGPFIRLFESLKNGSIANFSEFKLSVHSGTHVDAPGHVYDHYYEAGFDVDTLDLEILNGPALLVDVPRESNITAEVMKSLKIPKGVRRVLFRTLNTDRKLMLKKEFDSSYVGFMEDGAKWLVENTDIKFVGLDYLSVAAYAEAIPTHLIFLKSREIILVEGLKLDNVKPGLYNVLCLPLRLLGAEGSPARCILIN >Manes.07G068040.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16638588:16646030:1 gene:Manes.07G068040.v8.1 transcript:Manes.07G068040.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRSLLLLLTLLLSITSSSSNAAYPTVVDDCGCSASSTDDDLLLIPERNEVYGNGRIIDISHRYVSDMPSWNSKEGIGPFIRLFESLKNGSIANFSEFKLSVHSGTHVDAPGHVYDHYYEAGFDVDTLDLEILNGPALLVDVPRESNITAEVMKSLKIPKGVRRVLFRTLNTDRKLMLKKEFDSSYVGFMEDGAKWLVENTDIKFVGLDYLSVAAYAEAIPTHLIFLKSREIILVEGLKLDNVKPGLYNVLCLPLRLLGAEGSPARCILIN >Manes.07G068040.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16638588:16646093:1 gene:Manes.07G068040.v8.1 transcript:Manes.07G068040.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRSLLLLLTLLLSITSSSSNAAYPTVVDDCGCSASSTDDDLLLIPERNEVYGNGRIIDISHRYVSDMPSWNSKEGIGPFIRLFESLKNGSIANFSEFKLSVHSGTHVDAPGHVYDHYYEAGFDVDTLDLEILNGPALLVDVPRESNITAEVMKSLKIPKGVRRVLFRTLNTDRKLMLKKEFDSSYVGFMEDGAKWLVENTDIKFVGLDYLSVAAYAEAIPTHLIFLKSREIILVEGLKLDNVKPGLYNVLCLPLRLLGAEGSPARCILIN >Manes.05G002400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:259650:262686:-1 gene:Manes.05G002400.v8.1 transcript:Manes.05G002400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSSATTKIATMATSPCLSGIEKKYWWLSNRKIVDKYIKDARNLIATQEPSDIASALNLLDAALSLSPRFEVALELKARSLLYLRRFKDVADMLQDYIPSLKIGNDESGSVSSENSSQQLSRERVKLLPSDNSSSDTAEKDPSFKCFSVSDLKKKVMAGLCKNCDKEGQWRYLVLGQACCRLGLMEDAMALLQTGKRLSTAALRRESISWSDDSFSISNFPLSGDISTSSAPPTPPRTLTESESVSQLLSHIKLLLRRRAAAIAALDAGLYSEAIRHFTKIVEGRRGAPQGFLAECYMHRAVAYKSSGRIAESIGDCNKTLALDPMCIQALEARASLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALATKIQELKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLCLRHKPDKATNFMERCEFADDRDLDSVKDRAKMSALLLYRLLQKGYSSVMATIMDDEAAEKQRKKAAAACKAAAAIQVQQTTQNEKTEPNVSKMEKPCPKRINATENKLATSSGTSNPSVFQGVFCRDLAAVGNLLSQVGFNRPIPVKYEALSC >Manes.05G002400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:259470:262726:-1 gene:Manes.05G002400.v8.1 transcript:Manes.05G002400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSSATTKIATMATSPCLSGIEKKYWWLSNRKIVDKYIKDARNLIATQEPSDIASALNLLDAALSLSPRFEVALELKARSLLYLRRFKDVADMLQDYIPSLKIGNDESGSVSSENSSQQLSRERVKLLPSDNSSSDTAEKDPSFKCFSVSDLKKKVMAGLCKNCDKEGQWRYLVLGQACCRLGLMEDAMALLQTGKRLSTAALRRESISWSDDSFSISNFPLSGDISTSSAPPTPPRTLTESESVSQLLSHIKLLLRRRAAAIAALDAGLYSEAIRHFTKIVEGRRGAPQGFLAECYMHRAVAYKSSGRIAESIGDCNKTLALDPMCIQALEARASLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALATKIQELKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLCLRHKPDKATNFMERCEFADDRDLDSVKDRAKMSALLLYRLLQKGYSSVMATIMDDEAAEKQRKKAAAACKAAAAIQVQQTTQNEKTEPNVSKMEKPCPKRINATENKLATSSGTSNPSVFQGVFCRDLAAVGNLLSQVGFNRPIPVKYEALSC >Manes.05G002400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:259454:263020:-1 gene:Manes.05G002400.v8.1 transcript:Manes.05G002400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSSATTKIATMATSPCLSGIEKKYWWLSNRKIVDKYIKDARNLIATQEPSDIASALNLLDAALSLSPRFEVALELKARSLLYLRRFKDVADMLQDYIPSLKIGNDESGSVSSENSSQQLSRERVKLLPSDNSSSDTAEKDPSFKCFSVSDLKKKVMAGLCKNCDKEGQWRYLVLGQACCRLGLMEDAMALLQTGKRLSTAALRRESISWSDDSFSISNFPLSGDISTSSAPPTPPRTLTESESVSQLLSHIKLLLRRRAAAIAALDAGLYSEAIRHFTKIVEGRRGAPQGFLAECYMHRAVAYKSSGRIAESIGDCNKTLALDPMCIQALEARASLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPAWKRHNVRYREIPGKLCALATKIQELKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLCLRHKPDKATNFMERCEFADDRDLDSVKDRAKMSALLLYRLLQKGYSSVMATIMDDEAAEKQRKKAAAACKAAAAIQVQQTTQNEKTEPNVSKMEKPCPKRINATENKLATSSGTSNPSVFQGVFCRDLAAVGNLLSQVGFNRPIPVKYEALSC >Manes.09G114900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31703248:31708350:-1 gene:Manes.09G114900.v8.1 transcript:Manes.09G114900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIAKRLLSRTNSDSFLSPARCFSQLAQKENNDVLLPKMPPFDYSPPPYTGPSADEILAKRKEFLSPSLFHFYKNPLNIVDGRMQYLFDENGRRYLDAFGGIATVCCGHCHPDVVESILNQVKRLQHSTVLYLNHAIADFAEALASKMPGNLKVVFFTNSGTEANELAMMIARLYTGCNDIISLRNAYHGNAAGTMGATAQSIWKFNVIQSGVHHALNPDPYRGVFGSDGEKYAKDIQDIIDFGTTGHVAGFISEAIQGVGGIVELAPGYLPAAYKSIKKAGGLCIADEVQAGFARTGSDFWGFEAQGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRSYFNTFGGNPVCTAAGLAVLKVIEKEKLQENALVVGSYLKQRLIELREKYESK >Manes.09G114900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31703248:31708350:-1 gene:Manes.09G114900.v8.1 transcript:Manes.09G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIAKRLLSRTNSDSFLSPARCFSQLAQKENNDVLLPKMPPFDYSPPPYTGPSADEILAKRKEFLSPSLFHFYKNPLNIVDGRMQYLFDENGRRYLDAFGGIATVCCGHCHPDVVESILNQVKRLQHSTVLYLNHAIADFAEALASKMPGNLKVVFFTNSGTEANELAMMIARLYTGCNDIISLRNAYHGNAAGTMGATAQSIWKFNVIQSGVHHALNPDPYRGVFGSDGEKYAKDIQDIIDFGTTGHVAGFISEAIQGVGGIVELAPGYLPAAYKSIKKAGGLCIADEVQAGFARTGSDFWGFEAQGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRSYFNTFGGNPVCTAAGLAVLKVIEKEKLQENALVVGSYLKQRLIELREKYEIIGDVRGRGLMLGVELVTDRQEKTPAKAETLHVMDEMKDIGVLVGKGGFYGNVFRITPPLCFTKEDADFFVDAMDYTMSKM >Manes.08G144100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38627578:38632175:-1 gene:Manes.08G144100.v8.1 transcript:Manes.08G144100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPTDLGGSLGRDAATGRGVLFATEALLNEHGMSISGQRFVIQGFGNVGSWAAQLINEQGGKIVAVSDISGAIKNKNGIDIPSLVKHVQEHKGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPEADEILSKKGVAILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Manes.08G144100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38627579:38630824:-1 gene:Manes.08G144100.v8.1 transcript:Manes.08G144100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPTDLGGSLGRDAATGRGVLFATEALLNEHGMSISGQRFVIQGFGNVGSWAAQLINEQGGKIVAVSDISGAIKNKNGIDIPSLVKHVQEHKGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPEADEILSKKGVAILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Manes.08G144100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38627579:38632175:-1 gene:Manes.08G144100.v8.1 transcript:Manes.08G144100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPTDLGGSLGRDAATGRGVLFATEALLNEHGMSISGQRFVIQGFGNVGSWAAQLINEQGGKIVAVSDISGAIKNKNGIDIPSLVKHVQEHKGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPEADEILSKKGVAILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Manes.14G054600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4625151:4628584:-1 gene:Manes.14G054600.v8.1 transcript:Manes.14G054600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISVEYMTYMFKYDTVHGQWKHHELKVKDDKTLLFGEKPVTVFGVRNPEEIPWAETGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLHIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYDQIKAAIKEESEGKLKGILGYTEDDVVSTDFIGDNRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSTRVVDLIRYIASTQ >Manes.14G054600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4625151:4628584:-1 gene:Manes.14G054600.v8.1 transcript:Manes.14G054600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISVEYMTYMFKYDTVHGQWKHHELKVKDDKTLLFGEKPVTVFGVRNPEEIPWAETGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLHIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYDQIKAAIKEESEGKLKGILGYTEDDVVSTDFIGDNRLAPSVCRTLCVYKCVKLTQL >Manes.15G057400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4424635:4427463:-1 gene:Manes.15G057400.v8.1 transcript:Manes.15G057400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYDDPNSKPESSTVPWKDMFRSASFRKPTTTNPEEPPPNSSKAPPRSSNANNPDHNSTFSGDPQVRLALYIAMAHAGLAFTIFVLYFVCKLLQGYLRPIQWAVLCSIPLRGIQETLVDFWSEPLKLGLAETVLAVPVAIFKVFVGTITDIMEVFLRVFLKRSKRRGPRRTRRSGFSKLVRWLVSFGVFLIAYESLGGMGSLLILGLGFMCSTQTAGSTFSAVSSFKSNSFKHTAISAFFTRGVLKKLNTIVAIGLIFCMIVGFLTGLIFFSYKIGVEGKDAVISLKSHVEENNYAERFGIKKWMDENDVPGMVDKYTTTIYETVSEQIDSLAMQYNLTELVTGIKHFVISSPANSSQQSSAMMRPSPYTAKLVSLRTKVTNHEWGEIYFELDAIFRELIITRVDLVEKAKEFAVRGMDVAQHVLASSASVLGGGVKLMFSVGNSIISGAAEVFNFVSQSMVFFWVLYYLITSESGGVTEQVICMLPIAKEARRRCVDVLDNAISGVLLATAEIAFFQGCVTWLLFRLYKIHFLYMSTVLAFISPLLPIFPPWFATIPVALQLVLESRYILAISLSIIHIVVMDYGASEIQVDIPGYSEYLTGLSIIGGMTLFPSPVEGAIMGPLITTVVIGLKDLYAEFVLEEPKKSD >Manes.01G111900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31022283:31023026:-1 gene:Manes.01G111900.v8.1 transcript:Manes.01G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTQPSTSLNGDHRPLRPPQSSNTHHHHPYYPTSSSSKSASLKGCCCCCLFLLFSFLALLVLAIFLIIILTVKPKKPEFDLQQVGVQYMGIPASNLNSLDPTIGTTTMTTGATTTSLSLTIHMLFTAVNPNKVGIKYSESNFTVMYHGIPLGKASVPGFYQEAHSERQVEATISVDRYSLIQANAVDLIRDASLNDRVEPRVLGEVGAKIRVVDFDSPGVAILLLFSIFQHQLFFFTSKIGFTLH >Manes.18G031150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2612316:2612949:1 gene:Manes.18G031150.v8.1 transcript:Manes.18G031150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPFHFASVFCESKIYDSLLFIYISYKNEKLNMNLMCFQVHVFVLINLWIVLAICLTYLVFPVLNRMNTVNISLLVRILLYDGLIIVLLL >Manes.14G158100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21888481:21899424:1 gene:Manes.14G158100.v8.1 transcript:Manes.14G158100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNSFRKAYGALKDSTKVGLANLNSEFKELDVAIVKATNHEERPPKERHVRKIFLATSATRPRVDVAYCIHALARRLSKTRSWIVAVKTLIVIHRTLREGDPTFRGEFLNYAYRGNVLQIPNFRDDSSTLAWDCSAWVRTYALFLEERLECFRVLKYDIEAEHLTKSSPAATKVHNRTRLLDRDELLHQLPALQQLLFRLICCQPERGAYCSYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMSRHDAAKALNIYKRAGKQAENLAELYEYCKSLELARKFQFPILRQPPPSFLTTMEEYIKEAPQTSSVNRRLEYIQSEESLSDKEEEEPSEPIKQEEDEKIDDNEAIVNTEEEEVQPEEPVEESPPLISNNDVIGDLLGLNNEVNPKAIELEESNALALAIIPPGGVHPSSSNNALSELGMPNATGWELALVTAPSSNASHVVESKLAGGFDKLLLDSLYEDDSARRQIELQKAGYGYGEMGVENNPFEQQDPFIMSNNIAPPPSVQMAMMAQQQYHLQHQQQHQSMMMVPYQYQSQNPQPQMQPMSASNPFGDPFPSLPQNSMPQQGNHMLL >Manes.14G158100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21886689:21899319:1 gene:Manes.14G158100.v8.1 transcript:Manes.14G158100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNSFRKAYGALKDSTKVGLANLNSEFKELDVAIVKATNHEERPPKERHVRKIFLATSATRPRVDVAYCIHALARRLSKTRSWIVAVKTLIVIHRTLREGDPTFRGEFLNYAYRGNVLQIPNFRDDSSTLAWDCSAWVRTYALFLEERLECFRVLKYDIEAEHLTKSSPAATKVHNRTRLLDRDELLHQLPALQQLLFRLICCQPERGAYCSYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMSRHDAAKALNIYKRAGKQAENLAELYEYCKSLELARKFQFPILRQPPPSFLTTMEEYIKEAPQTSSVNRRLEYIQSEESLSDKEEEEPSEPIKQEEDEKIDDNEAIVNTEEEEVQPEEPVEESPPLISNNDVIGDLLGLNNEVNPKAIELEESNALALAIIPPGGVHPSSSNNALSELGMPNATGWELALVTAPSSNASHVVESKLAGGFDKLLLDSLYEDDSARRQIELQKAGYGYGEMGVENNPFEQQDPFIMSNNIAPPPSVQMAMMAQQQYHLQHQQQHQSMMMVPYQYQSQNPQPQMQPMSASNPFGDPFPSLPQNSMPQQGNHMLL >Manes.15G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6844395:6848133:-1 gene:Manes.15G089300.v8.1 transcript:Manes.15G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSSKRKRSKNSSQGRTKKRSKTKRSISKKLWRHDDSDSYSSDDTRSPSSVSSSAEDTYQGRRSQARSCARKDVKGTKRRARSCSYSSEDSRHLRKQKGSRRQDDSKARKKTSRNKKKNKSRRNASVSSRSSGSWSCSTCQSSSSSSDESEYENHRGRSKRKDNNIRKLKNVKSGTKRSRYRSRSCSSCSRHDGRSDYQSEVKMTGGNISKRLRSIITLTKEDEEGRELDWDGHKEEIIYDHDDYPSSKSNDSNDGENKAASAHQSHVAFDKKRSLETEEIESTFGLNIKTAKLTDSYEEGDDHYVSSQPAWDGIATNDAVEEKENDICKASGNVNSDDLESILRQKALENLRRFQTNAKGAVNQKDTSIVTLKSPSTAKAELSETESPKDDGAQGDKRNFSSSVQKDEKIVVVKSGRNESAPAKNNAYLPNHVGASGREKVSMSFGSGINKPKLDTSAMKQALSNATTAAVAMPASQVSNKPKLVNASRIGKHNATPVSVNDDINIVNNISGVASAKSSSCHAPTGKDVGLIKLQEEVKEVSLLENKSVSSVTRLNSSGQGVTVNNASSSTSAALSSHLATAVRDTSSNKVQDDGKDGSQLEQKTMSVMRGGEMVQVSYKVYIPKKAPALARRQLKR >Manes.04G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34068571:34071852:-1 gene:Manes.04G142200.v8.1 transcript:Manes.04G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLEAQLADPKARSQASPHKKAKKEEWENTEAIRSQESPQTATQKSKKKINREEEENNDGTVLIQNEQPLASDEPNEQPPVCVKFEVIYDHSDKTPPIVGYFPSGYKPHNYNGYNNNEENLSPPPHPPTVSFYRSAQRIKIEKSFSEKNDKRSSSERMELVVSPDGSNVNFVGKNYKGEAMAAQLCTYALGVLDKNTQTLKIMPIAGNKIFRLEPKVRGLDTADKEPSCMENEEASEENKADKIMALNVKYGSKRSIVQYKKAQALKQGDDPESQKDLSKKIDNIVVNKEALESASTHIARNIPPHNSSATTPQEAYPLNRIILTGERDFLEDVYEILQVGTEARSNAYPTFVRNRIHKLLEIQDEAEKKTLSRIFSYITHLIKFKDLHSLDGASSAKSHKIPSILRQKFVEMFTPESRRLPVEKIDLLISYILVLTLHADDFRTNPTDIAKDLKVSSVSLRVHFANLGCKLVRENKLSLATLPVPLKFPTQRQKQRR >Manes.10G029800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3044221:3047254:-1 gene:Manes.10G029800.v8.1 transcript:Manes.10G029800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSVRIKAESPLHNDPKYGAKSGNDAGGSSRVSNVTVPSTPRTEGEILQSSNLKIFSYSELKAATRNFRPDSLLGEGGFGCVYKGWIDEHSLTPAKPGAGAIIIAVKRLNQESFQGHQEWLTEINFLGQFYHPNLVKLIGYCLEDDQRLLVYEFMPKGSLENHLFRRASYVEPLSWKLRIKIALDAAKGLSFLHKAKVIYRDLKASNILLDSNYNAKLSDFGLAKDGPTGSESHVSTRVMGTYGYAAPEYMATGHLTKKSDIYSFGVVLLEILSGRRAIDKSKPSREQNLVDWARPYLGRKRKIFQVMDARLEGQYSLKDALKAANLAVQCLSTEPRFRPNVEEVVKALEQLLESNDNEGSSSQSGNPRKVSRSSSNGPKYRRRSANGTFDGKAASYPPSASPLHT >Manes.09G084000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22071881:22078033:1 gene:Manes.09G084000.v8.1 transcript:Manes.09G084000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASKTGIKASTKQQKSYGFQSGEANTLRPLPLQVSKMSKSEVIDHEQVTRSVEKAPQEVMLDASENEKLPNSNKKGTADSLINKLELSLSLTTTEQASTEADSSISGTRGSQDVSIDQEKKASEYGTVKNSSVSAKVSDGTSSLAKTSGSAKNSDRVDYVESGKSSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRAKDGVLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFPEQVVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKTSSLESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFSPRLFSSKSKKDRKPKSELRNQVSSLPELMAEPTEARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPEAPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEVERMPVLTSTSEKAVGTAAAPDKKGSDNYLEFDFF >Manes.14G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12038889:12042242:1 gene:Manes.14G137600.v8.1 transcript:Manes.14G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDRKCPFTGTVSIRGRILAGTCHSAKMMRTIIVRRNYLHFIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGSGKKAFTGM >Manes.18G061600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5428540:5430795:1 gene:Manes.18G061600.v8.1 transcript:Manes.18G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHEDQEEDMPMAASPVYDTISDSSRLKTGPAGGEGAPATATANTRKSASSVRYRECLKNHAVSIGRHTVDGCGEFMAAGEEGTLDALICAACNCHRNFHRKETDGASEGIYHHHQQHAKFSPLYRAPPPAGYLHLTPAPQIRPLALPAASAGGAGGAIIGGGYSREEEDVSNPSSSGGGGGACGSSKKRFRTKFTQEQKDKMLDFAEKLGWRIQKHDEAAVEQFCAEYGVKRRVLKVWMHNNKHTVGKKP >Manes.09G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32904703:32906761:1 gene:Manes.09G126100.v8.1 transcript:Manes.09G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSRRIWGFELAVVVLGLLAMAQQSMQQSSKRPMHGLIQRINDNGPYFGLVLASDSDEKALLASNVFKTEGEISSVDVAGRKFNVGTINGTNVIYVKTGKGPSINAAATVQILVDLFEPEGIIHIGPAGAVNYSLFIGDVAVPKQVAFTGSWKWLERRAKGGQLVFGEYNKPVRGGNLLGSISFQPTTLYATGKNKTTVFWLPLTSNWLDVASQLKNVELEQCISKNKCLPKAPVMVNDLRVSSSDIYVQNAAYREFICTQFKASTVDRETAAVTLVALSNDVPVIAFRGVSDTAGGSTAYKSYSYLASVNVVKAAVAFIGAVGNSNSSLAVAAY >Manes.04G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30975381:30981938:-1 gene:Manes.04G104700.v8.1 transcript:Manes.04G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSLLPVPEDSNKLWNTICNAHFGISCGFDCEVGSSSLQTQCKKRRISPDNDKSCGILRQIVSSLPILRSTDYYMEPSLTYLAARELMDPGYICRVPDFTVGRLGYGRVKFLGMTDIRWLDLDEIVKFHRHEIVVYEDETAKPPVGQGLNKPSEVTLTLHIKLSEFHDGQLKNFEEKLRGSTERQGAYFISFDPAIREWKFSVCHFSRFGLSDDDEEDIIMDDIVAVEEPAEMNGGETLETNEKTQVELEPTGTMLYHSLPAHLGLDPVKMKEMRMLMFPVEEDVEVGSFNGHSLQKPSSSKEHARHPLQNSAQKMSHRSSIPVARKTPLALLEYNPGSFDSSSPGTILMTQQNKGLPLKAMRGEGFRLDLNHETPITGSHSRNIVDAGLFMGRSFRVGWGPNGVLVHPGALVGSNGSQRFLSSVINVEKVAIDRVIKDEDNNTKKDLIEFAFDSPLNLHKTINHETKEVEVGFFKLKLQKVLSNRLTLSEICRSYIDIIEKQLEVPGLSSSARLISMHQVMIWELIKVLFSERENSGQSKSVGADNEEDMMQDMKEGSLEIDQESLPLIRRAEFSCWLQESVCHRVQEEVSSLNDSSYLEHIFSLLTGRQLDGAVEMAVSKGDVRLACLLSQAGGSTVNRTDVARQLDLWRINGLDFKFIEKERIRLYELLSGNVHDALNDVKIDWKRFLGLLMWYRLAPHTSLPIIFQTYQHLLDDDKAPYPLPIYIDEGPAEEAVNFTGRHFDLSYYLMLLHANGEGEFGFLRTMFSAFSSTNDPLDYHMIWHQRAVLEAVGVFSSNDLQVLDMGFVSQLLCIGQCHWAIYVVLHMPYRDDYPYLQATLIREILFQYCETWSSDESQRQFIENLDIPLAWLHEAMAVYFSYRGDLLKALEHYLECANWQKAHYIFITSVSHKLFLSGNHSEIWRLATSMEDHKSQIENWDLGAGIYISFYLIRSSFQENDNTMSELDSLESKNSTCRDFLGHLNESLAVFGDRLPIDARVAYSKMAEEISELLLSDISEGSTRDAQLSCFHTVFDAPVQEDLRSNHLQDAVSLFTLYLSEMAA >Manes.05G129400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22234457:22238536:1 gene:Manes.05G129400.v8.1 transcript:Manes.05G129400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQLNVMEFDDLGGSGREDEVTALDLEHPDGFDLEYNDNHNNQELLEGPSTGPSTSNFDANLEPYEGMEFDSEQSARIFYNSYARRIGFSTRVSVYQRSRRDGSIICRQIVCSREGFRREGNENRSKRQRTITRVGCKAQMTVKKQSSGKWVVTKLIKEHNHDLVPPDKVHCLRSHRHVSGPARSLIDTLQAAGMGPSGVMSVLIKESGGINNVGFTKVDCQNYMSSSRQRTLGSGGQVVFDYLKQMQAEDSGFFYAVQGDFDNSTGNIFWADANSRMNYTYFGDTVTFDTTYRTNRYRVPFAPFTGWNHHGQPVLFGCALLLNESESSFVWLFETWLAAMSGRHPISITTDQDRIIRAAVSQVFPGTRHRFCKWNIFKEAQEKLSDVYHSHPSFEVEFQRCINLTETVDEFESCWESLVQRYDLGDREWLQSMYSARQQWVPVYLMDTFFGEMSVMQGSDNINSYFDGYINASTNIQMLIKQHERAIANRYEKEVKADYDTMNIPPILKTPSPMEKQAANFYTRKIFMKFQEELVETLAYPATVIDDMGSTITYRVAKFGEDCKAHFVRFHVFEKRASCTCQMFEFSGIICRHILAVFRVTNVLTLPSHYILKRWTRNAKSQVLLDERALGLPTNSQESFAVRCENLSQEALKYVDDGAESIHIYNVAIDALHEASRKVAAAKKQGPVPMHNTLVNGCQQLQPRCLDQDKKIQELTDELKHASKKCEAYQSKLLAVVKDMEEQKLKISVKIQNVRLNLKD >Manes.09G012900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2967152:2970194:1 gene:Manes.09G012900.v8.1 transcript:Manes.09G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTRLHDAIASFNHVIHMNPLPSRVHFNRFLSALVKMKQYHTVLSMSKTIEFLGISHDVYSLSILINCFCHLHLGDFGFSVFGKMLKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPNVYTYNVIVNGMCKFGKTSVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELLSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFNVLIDALCKDGMVAEAQNTFNVMIQRGVEPNVVTYSSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSSAENIIKIMIQRGVEPSVVTYSSLMDGYCLCREIDKARKVFDLTNEIADIFSYSILINGYCKCKMIDDAKELFDEMSDKGLVPDVVTYSTLIEGMFQARRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCREGLMDKAYQIFRDMEKGGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVHLSQNNDLILSKLRNRSEASKGVQ >Manes.18G000152.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:200562:205038:-1 gene:Manes.18G000152.v8.1 transcript:Manes.18G000152.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKGAKRNRQRSSSSMAGYFHDPCQNFSAPRDHFCQSSNFTHATSPKATAVEQPLKHGRDCYYIQSSWPPSISKGLAASPGKIDKIKTSHNSQRSGWSVAWQWSRMRQSIIRKNNATDFLSTRSFNGYPQEITFEANCSQENSRKEIDPINPDNFSQSGYSYGYFSNDIQHSHSQQKRLSMSEHRSSTSLSHKYQPKSFQQLVGHEINIKVISNAIQGNKVAQLYLFHGPSGTGKTSIARVFAMALLCESTSPEKPCWTCRGCSRSLYMMKLCSGTRTTGFQRISTLLQRTSFAQAVPGFKVFIIEESHSLTVEAWDDLLGILENINSATFIFVLIADDANTIPESISSKCQKFSFPKLNNKDVALKLARIVAQEAITIEKDAVELIVAKAEGSLKEAEHILGQLILLGPRITGSMVQQLVGLVPKSKLINLLKAALSGDARKTVITAKELIASGVEAEVIVYQLTSLIINILTITSPAHSGIDGPSKDEESLETESQFKDTQSENLCHALKILLEAEKQHRSSFANITWVYRALLQIASRDISEGISSGISFSKRTVQSSGDTIQSHSRNLASHHCNFETCVQQSTRSRDLKMKSKGKGVESELYLANTKDMDEIWLNILERIESKDMKEFLSSHVKLASLTVSTANVIVHLMFKKAEDKLAAQMSEESISKALETAIGCLVLVNMSLEPVDVGIIKEDTDSSKNYQPAECNHPRERHKNSFPEILHNKSPGATLHQSIYRKLEPPLVNNAQHAELKDNTLISEMQATRETKTRSQILPCFGPLMQENQMRTSTAK >Manes.18G000152.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:200562:205038:-1 gene:Manes.18G000152.v8.1 transcript:Manes.18G000152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKGAKRNRQRSSSSMAGYFHDPCQNFSAPRDHFCQSSNFTHATSPKATAVEQPLKHGRDCYYIQSSWPPSISKGLAASPGKIDKIKTSHNSQRSGWSVAWQWSRMRQSIIRKNNATDFLSTRSFNGYPQEITFEANCSQENSRKEIDPINPDNFSQSGYSYGYFSNDIQHSHSQQKRLSMSEHRSSTSLSHKYQPKSFQQLVGHEINIKVISNAIQGNKVAQLYLFHGPSGTGKTSIARVFAMALLCESTSPEKPCWTCRGCSRSLYMMKLCSGTRTTGFQRISTLLQRTSFAQAVPGFKVFIIEESHSLTVEAWDDLLGILENINSATFIFVLIADDANTIPESISSKCQKFSFPKLNNKDVALKLARIVAQEAITIEKDAVELIVAKAEGSLKEAEHILGQLILLGPRITGSMVQQLVGLVPKSKLINLLKAALSGDARKTVITAKELIASGVEAEVIVYQLTSLIINILTITSPAHSGIDGPSKDEESLETESQFKDTQSENLCHALKILLEAEKQHRSSFANITWVYRALLQIASRDISEGISSGISFSKRTVQSSGDTIQSHSRNLASHHCNFETCVQQSTRSRDLKMKSKGKGVESELYLANTKDMDEIWLNILERIESKDMKEFLSSHVKLASLTVSTANVIVHLMFKKAEDKLAAQMSEESISKALETAIGCLVLVNMSLEPVDVGIIKEDTDSSKNYQPAECNHPRERHKNSFPEILHNKSPGATLHQSIYRKLEPPLVNNAQHAELKDNTLISEMQATRETKTRSQILPCFGPLMQENQMRTSTGPTNNSLGKDQFLLDIAQILRNEEPEHKWLSLSFFQQNDASVEPYSQDILYENANGDKENRAKKDPELRKNSSKVHEVNNLHKNRESMGLFRSWSCKEVFCQKKTRRKNRPLA >Manes.02G139500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10725028:10727239:-1 gene:Manes.02G139500.v8.1 transcript:Manes.02G139500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFHPRPSTEEDSVILFSTSEESTSRSSSFRLSSPPPPPLPLPPHHHQLQIPYKLNVRNLSYTICPSGSICTFFHLVQKPKPVNILQSVSFQARSSEILAIVGPSGTGKSSLLRIVSGRVREKDFDPKSVWINDRCVSSPAQLRKICGFVAQEDNLLPLLTVKETLMYSAKFRLKEMSSKDREKRVESLMLELGLSGVADSFVGDEENRGISGGERKRVSIGVDVIHDPPILLLDEPTSGLDSTSALQVIELLSSMAKSRQRTVVLSIHQPSYRILGYIHNFVILSHGSVVHNGSLESLEETIYKQGFQIPLQLNALEFAMEIIQTLENHSNSKTCISPLEYAIWNGEGIGEAQQGIIKSKKMGNCCFFNLVEIMFLCSRFWKVIYRTKQLFLARTMQALVGGFGLASVYMKVRKDEGGVAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKETSRGAYRISSYMIANTIIFFPFLFAVAVLFSVPVYWLVGLNPSISAFTFFTFVVWIIVLMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPKQNIPKYWMFMYYVSLYRYPLDSLLTNEYWSVRSECFSWHVEDHSQCLLTGNDVLKSRGLEKDTRWINVGIMLGFFVLYRLLCWIILARRASKTTI >Manes.01G255900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41312184:41315949:-1 gene:Manes.01G255900.v8.1 transcript:Manes.01G255900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPKSDQKYPSITACSGSKYQSIAADLDGTLLVSSSSFPYFMLVAVEAGSLFRGLVLLLSLPLVIISYFFISEAVGIQILIFISFAGLKIRDIELASRAVLPRFYAADVRKESYEVFDMCKRKVVVTANPTIMVEPFVKDFLGGDKVLGTEIEVNSKTKRATGFVKKPGVLVGKWKKLAILKEFGEEAPDLGIGDRKTDHDFMSICKEGYMVHRSKSATPLPMDRLKSRIIFHDGRFVQRPDPVNALITYLWLPFGFILSIIRVYFNLPLPERIVRYTYEMLGIHLVIRGTPPPAPSPGTPGNLYVCNHRTALDPIVIAIALGRKVSTVTYSVSRLSRFLSPIPAIALTRDRVADAARISELLQKGDLVVCPEGTTCREPFLLRFSALFAEMSDRIVPVAVNCKQNMFYGTTVRGIKFWDPYFFFMNPRPTYEVTFLDRLPEEMSVKSGGKSSIEVANYVQKVLGDVLRFECTGLTRKDKYMLLGGNDGKVESMYNSKK >Manes.08G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39551522:39554588:1 gene:Manes.08G159000.v8.1 transcript:Manes.08G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVIGLSSGKRLLSSTFYYSDLTEKPFNVYDHGLTYYQIASTKNGIAAKKSSNYGPRFPSSNRNTRSIKALKEHVDAASASSTAVTWSKTFNDIEEDSSDLDYSVEALLLLQKSLLEKQWNLSFERTISSDSSSINSQKKIPVTCSGLSARKRRVNTRRKTLSQSKSIVQASTFKHLKSAVSPELLQNRLKGYVKGVVSEELLTHKEVVHLSKIIKAGLSMEEHKSRLKERLGCEPSDKQLATSLRISRTELQSKLIACSLAREKLAMSNVRLVMSIAQRYDNMGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSKALVENSRTLRLPNHLHERLGLIRIAKIRLEDKGVTPSIDRIAESLNISQKKVRNATEAISKVFSLDREAFPSLNGFPGETHHSYIADNCIENNPWHGVDEWALKDEVNKLIDSTLREREREIIRLYHGLGNECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKKKLEAMLVKQ >Manes.04G042325.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6834765:6839356:1 gene:Manes.04G042325.v8.1 transcript:Manes.04G042325.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAGIGYALLALGPSLSLFVSVISKKPFLILTVLSSTLLWLVSLIVLSGVWRAFLPLKSTIWWPYALLVVSSVSFQEGLRILFWKVYKRLEDILDAFADRVSKPRLFLTDKMLIALAGGLGHGVAHAVFFCLSLLTPAFGPATFFVDSCSQIPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQFFVPVVHLVAGLVTLANLASGGCIVGIPLLYLMAILTLLHCGKMVWRRLSENVSTQ >Manes.04G042325.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6834765:6838998:1 gene:Manes.04G042325.v8.1 transcript:Manes.04G042325.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAGIGYALLALGPSLSLFVSVISKKPFLILTVLSSTLLWLVSLIVLSGVWRAFLPLKSTIWWPYALLVVSSVSFQEGLRILFWKVYKRLEDILDAFADRVSKPRLFLTDKMLIALAGGLGHGVAHAVFFCLSLLTPAFGPATFFVDSCSQIPFFLVSDTGQFSIWGLHCWDSSSLSYGNIDLIALWENGVEKIIRKCKHTMINSENIFVKSHHLYSRR >Manes.04G042325.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6834765:6838788:1 gene:Manes.04G042325.v8.1 transcript:Manes.04G042325.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAGIGYALLALGPSLSLFVSVISKKPFLILTVLSSTLLWLVSLIVLSGVWRAFLPLKSTIWWPYALLVVSSVSFQEGLRILFWKVYKRLEDILDAFADRVSKPRLFLTDKMLIALAGGLGHGVAHAVFFCLSLLTPAFGPATFFVDSCSQIPFFLVSEGNKVDQFFVPVVHLVAGLVTLANLASGGCIVGIPLLYLMAILTLLHCGKMVWRRLSENVSTQ >Manes.04G042325.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6834765:6838484:1 gene:Manes.04G042325.v8.1 transcript:Manes.04G042325.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAGIGYALLALGPSLSLFVSVISKKPFLILTVLSSTLLWLVSLIVLSGVWRAFLPLKSTIWWPYALLVVSSVSFQEGLRILFWKVYKRLEDILDAFADRVSKPRLFLTDKMLIALAGGLGHGVAHAVFFCLSLLTPAFGPATFFVDSCSQIPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQFFVPVVHLVAGLVTLANLASGGCIVGIPLLYLMAILTLLHCGKMVWRRLSENAVV >Manes.04G042325.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6834765:6839357:1 gene:Manes.04G042325.v8.1 transcript:Manes.04G042325.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAAGIGYALLALGPSLSLFVSVISKKPFLILTVLSSTLLWLVSLIVLSGVWRAFLPLKSTIWWPYALLVVSSVSFQEGLRILFWKVYKRLEDILDAFADRVSKPRLFLTDKMLIALAGGLGHGVAHAVFFCLSLLTPAFGPATFFVDSCSQIPFFLVSAIIALAFVTIHTFSMVIAFNGYAEGNKVDQFFVPVVHLVAGLVVKQKTLFFAYPFHILCMNLSGFKFGMCMAS >Manes.10G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31253968:31256676:1 gene:Manes.10G144400.v8.1 transcript:Manes.10G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPCSNLFNGWFNFIPIHSNYTSVFTSYSNPPPYNFNLYSNNHLFNNKSPIQYQTYHPPPPPQKEAPPPLIEYLTPPRQQEEISHESSSNNSMEDDKNMNKKDADDDDDHATVALHIGLPSHSSNLGSRVFSHPSTEEGSSAVSEGYPLQKLSKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNLQMHMWGHGSQYRKGPDSLRGTQPTGMLRLPCYCCAPGCKHNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCSKPFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKAFGHGHAAVGIDNSFEEDDDLASELEHEEESSP >Manes.12G071400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7674731:7683398:1 gene:Manes.12G071400.v8.1 transcript:Manes.12G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKLPRKPSKSSNTDSNNDGGVNAFSSLNSSHGPNSTSKSSSISSKSTNSGLGASRMNNGTIAPQNKSNQGKKSASVAGQVDPVLASGVYEPLPNFRDVPSSEKQNLFVRKLNMCCVVFDFSDPSKNVKEKDIKRQTLHELVDYISSATSKFNEVTMQEITKMVAANLFRTLPSVNHDNKILEMFDPEEDEPTMEPAWPHLQMVYEFLLRFVASTETDAKLAKRYIDHSFVLKLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKSINNIFYRFIFETERHNGVAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVAMYHQQLSYCITQFVEKDVKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQAAEFQRCMIPLFRQIGHCLNSSHFQVAERALFLWNNDHIRNLITQNSKVILPIIFPALERNTRGHWNQAVQSLTLNVRKIFSDADQELFDECLVKFQEDEVKEREIQEKRESIWKRLEDVAASKAISNEAVLVSRFASVAITTGTSPRATAGS >Manes.09G092500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28473044:28484049:-1 gene:Manes.09G092500.v8.1 transcript:Manes.09G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSRIVHSLSRSSRSALQRGNAKNVIASSCNARTMPVENTCISGVDGGLGIIRGYLTSAGGVRQLLSKTNLFNSKSLLAKPRARLFFSSQAPKKRKYENYFPKDRKQNPKENESKFEYKEDSGAAGGSGGGGGGNSQNFMKQFQNFIAPVLLIAFLYSSVLFGPHDQKQISFQEFKTKLLEPGLVDRIIVSNKSVAKVYVKSSPKNGNQTSDDIVQVPANSTPARRDTSLYKYYFNIGSIESFEEKLEEAQEALGIDPHDYVPVTYVNEVNWYQELMRFAPTVLLLGTLWFMGRGMQSGLGVGGTGGRGGRGIFNMGKAPFTKMDKNAKDKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRSLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRNQIFQIYLKKLKLDNDPSYYSQRLAALTPGFAGADIANVCNEAALIAARNESAQVTMEHFEAAIDRVIGGLEKKNKVISKLERKTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLLDVTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDTFEMTKPYSSKTGAIIDSEVREWVTTAYERTVKLIEEHKEQVAQIAELLLEKEVLHQDDLVRVLGERPFKSSEPTNYDRFKEGFQDDNEPKETTKAETSEEDGSSNLEPPVVPA >Manes.13G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:957001:957894:1 gene:Manes.13G003800.v8.1 transcript:Manes.13G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHFLLLVFVSLFGTGNAKIITMQNKCDFTIWPGYQIVIPLAEGGRRNVDSGFELSAGKSVNFTVVDYATIWGRTGCSFNESEFGSCITGDCEGRLRCGDIFGRQFIHQQPVTYAYLEFGKNEYPDFFAINVGAGYNAPISIIPYGGSDAGDNKCKATSCSIDLKESCPDELKQRFNGITVACRNPCFHYPSVEPQFCCPYYGYEKDDCKPTNYFQVFEAACPSAGSTPEFDISRSSMCSNANNYLISFC >Manes.03G188500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30797424:30798645:-1 gene:Manes.03G188500.v8.1 transcript:Manes.03G188500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSSKKSIQDKTFAGVGNLIKLLPTGTVFLFQFLNPVFTNNGHCHTVNKYLSGFLIGICGFSCAFSCFTDSYIGSDGITHYGIATVKGLWPSTNAGSVDLSNYKLQFGDFVHALFSVIVFAVLSLLDSNTVECFYPSFESNEKVLLMVLPPVIGAISGTLFMLFPNKRHGIGYPSSSGLIQKVEENLYAILPSENEISLY >Manes.16G059300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22437126:22445227:1 gene:Manes.16G059300.v8.1 transcript:Manes.16G059300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKYLAFLRMSEEVVEMEHELIELQKHISTHGILVQDLMTGVCRDLDEWTHVIGQDDDSQQNSEINELQNPLPSGSDDLKTIFLENIDILLAEHKVEEAIEALDAEERNNPELKGSGDATSTDASSYKSAFLKRKSVVEDQLIEIAERPSVGILELKKALSGLIKCGKGPLAHQLLLKSYGSHLEKSIEVLLPSCSLCPKTFPVTLSRLVFSKISLTTKESGSIFGDNPLYTNRVVQWAEWEIEYFVRLVKENAPPSETVSALGAASNCVQASLNYCLMLESQGLKLSKLLLVLIRPYIEEVLELNFRRARRVILDMAETDDSLLLSLHSGSPLSMFATATDNVLVDSGMRFMDIIEDILAQLTPLAVLHFGGNVLTRISQLFDKYMEALIKSLPGPSDDDNPTELKEVVHFRVETDSEQLALLGMAFTILDELLPFSVTKVWNLKNESNELASENAVPSTGVTGELKDWKRHLQHSFDKLRDHFCRQYVLSFIYSREGKTRLNAQIYINGDGEDLLFEDPLPSLPFQALFAKLQQLATVAGDVLLGKEKIQKILLARLTETVVMWLSDEQEFWGVFEDESIPLKPLGLQQLILDMHFTVEIARFAGYPSRHIHQIASAIIARAIRTFSARGIDPQSALPEDEWFVETAKSAINKLLLGTSGSEASEIDEDHIILHDQVVSDSEDTASSLSTVESFESFVSASMGELDSPVYFTDPEG >Manes.16G059300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22426549:22445227:1 gene:Manes.16G059300.v8.1 transcript:Manes.16G059300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEEDDDFPSIESITPQSKIDSLYQSHTEKGIRKLCCELLDLKDAVENLCGNMQTKYLAFLRMSEEVVEMEHELIELQKHISTHGILVQDLMTGVCRDLDEWTHVIGQDDDSQQNSEINELQNPLPSGSDDLKTIFLENIDILLAEHKVEEAIEALDAEERNNPELKGSGDATSTDASSYKSAFLKRKSVVEDQLIEIAERPSVGILELKKALSGLIKCGKGPLAHQLLLKSYGSHLEKSIEVLLPSCSLCPKTFPVTLSRLVFSKISLTTKESGSIFGDNPLYTNRVVQWAEWEIEYFVRLVKENAPPSETVSALGAASNCVQASLNYCLMLESQGLKLSKLLLVLIRPYIEEVLELNFRRARRVILDMAETDDSLLLSLHSGSPLSMFATATDNVLVDSGMRFMDIIEDILAQLTPLAVLHFGGNVLTRISQLFDKYMEALIKSLPGPSDDDNPTELKEVVHFRVETDSEQLALLGMAFTILDELLPFSVTKVWNLKNESNELASENAVPSTGVTGELKDWKRHLQHSFDKLRDHFCRQYVLSFIYSREGKTRLNAQIYINGDGEDLLFEDPLPSLPFQALFAKLQQLATVAGDVLLGKEKIQKILLARLTETVVMWLSDEQEFWGVFEDESIPLKPLGLQQLILDMHFTVEIARFAGYPSRHIHQIASAIIARAIRTFSARGIDPQSALPEDEWFVETAKSAINKLLLGTSGSEASEIDEDHIILHDQVVSDSEDTASSLSTVESFESFVSASMGELDSPVYFTDPEG >Manes.16G059300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22435504:22445227:1 gene:Manes.16G059300.v8.1 transcript:Manes.16G059300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKYLAFLRMSEEVVEMEHELIELQKHISTHGILVQDLMTGVCRDLDEWTHVIGQDDDSQQNSEINELQNPLPSGSDDLKTIFLENIDILLAEHKVEEAIEALDAEERNNPELKGSGDATSTDASSYKSAFLKRKSVVEDQLIEIAERPSVGILELKKALSGLIKCGKGPLAHQLLLKSYGSHLEKSIEVLLPSCSLCPKTFPVTLSRLVFSKISLTTKESGSIFGDNPLYTNRVVQWAEWEIEYFVRLVKENAPPSETVSALGAASNCVQASLNYCLMLESQGLKLSKLLLVLIRPYIEEVLELNFRRARRVILDMAETDDSLLLSLHSGSPLSMFATATDNVLVDSGMRFMDIIEDILAQLTPLAVLHFGGNVLTRISQLFDKYMEALIKSLPGPSDDDNPTELKEVVHFRVETDSEQLALLGMAFTILDELLPFSVTKVWNLKNESNELASENAVPSTGVTGELKDWKRHLQHSFDKLRDHFCRQYVLSFIYSREGKTRLNAQIYINGDGEDLLFEDPLPSLPFQALFAKLQQLATVAGDVLLGKEKIQKILLARLTETVVMWLSDEQEFWGVFEDESIPLKPLGLQQLILDMHFTVEIARFAGYPSRHIHQIASAIIARAIRTFSARGIDPQSALPEDEWFVETAKSAINKLLLGTSGSEASEIDEDHIILHDQVVSDSEDTASSLSTVESFESFVSASMGELDSPVYFTDPEG >Manes.16G059300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22426549:22445227:1 gene:Manes.16G059300.v8.1 transcript:Manes.16G059300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRALGYHTTVEQGIRKLCCELLDLKDAVENLCGNMQTKYLAFLRMSEEVVEMEHELIELQKHISTHGILVQDLMTGVCRDLDEWTHVIGQDDDSQQNSEINELQNPLPSGSDDLKTIFLENIDILLAEHKVEEAIEALDAEERNNPELKGSGDATSTDASSYKSAFLKRKSVVEDQLIEIAERPSVGILELKKALSGLIKCGKGPLAHQLLLKSYGSHLEKSIEVLLPSCSLCPKTFPVTLSRLVFSKISLTTKESGSIFGDNPLYTNRVVQWAEWEIEYFVRLVKENAPPSETVSALGAASNCVQASLNYCLMLESQGLKLSKLLLVLIRPYIEEVLELNFRRARRVILDMAETDDSLLLSLHSGSPLSMFATATDNVLVDSGMRFMDIIEDILAQLTPLAVLHFGGNVLTRISQLFDKYMEALIKSLPGPSDDDNPTELKEVVHFRVETDSEQLALLGMAFTILDELLPFSVTKVWNLKNESNELASENAVPSTGVTGELKDWKRHLQHSFDKLRDHFCRQYVLSFIYSREGKTRLNAQIYINGDGEDLLFEDPLPSLPFQALFAKLQQLATVAGDVLLGKEKIQKILLARLTETVVMWLSDEQEFWGVFEDESIPLKPLGLQQLILDMHFTVEIARFAGYPSRHIHQIASAIIARAIRTFSARGIDPQSALPEDEWFVETAKSAINKLLLGTSGSEASEIDEDHIILHDQVVSDSEDTASSLSTVESFESFVSASMGELDSPVYFTDPEG >Manes.08G079611.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:26668370:26669392:1 gene:Manes.08G079611.v8.1 transcript:Manes.08G079611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESMASAIQSLNQRVDLMATSRSNFETQGKLPSQTETNPRQNVSAITLRSGKEFQNARYEEEKQIAPKPAPSETFPVHSETQVAPKPAQPEAPPAQKTDQKVRFHIPPPFPKRFERIQKEKEEKEILETFRKVEINIPLLDAVKQISRYVKFLKELCTNRRKLAEREKVSVDEVVTVVIKRELPTKCKDKGMFGISCKIGNVGIKKAMCDLGASINVMPLSIYKSLNACALKDTRVVIQLADRSVVYPIGVLEDVLVQVDELVFPADFYVIDTKEDICNASSDILLGRPFLSTARTKIDVHDGTLTMEFEGEVIKFNVYDAMKYPHDMSLFMVLILLIA >Manes.09G025083.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5451155:5456317:-1 gene:Manes.09G025083.v8.1 transcript:Manes.09G025083.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.09G025083.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5451155:5456317:-1 gene:Manes.09G025083.v8.1 transcript:Manes.09G025083.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.10G058650.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8005675:8029316:1 gene:Manes.10G058650.v8.1 transcript:Manes.10G058650.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIRTLILTPPSPHTRSFSHPQPNFRPIGNSYFTRSVSSTRSRSISIPIRIRACERPVEEDGSGSEAAKGLVLGKPLLNFVDRNFLPLALVGGVVLGLANPTLGCLADKYYLSKVGTFGIFLISGLTLQNGEIGAAIEAWPVGILGLCSILFFTPYLSRIILQIQLQPQEFVTGLALFCCMPTTLSSGVALTQLAGGNSALALAMTVISNLLGILIIPFSISKFIAAGVGVSVPTKQLLRSLVLMLLVPLLMGKVFRESFKGVADFVDQKRKLFSKMSAICLSLISAYHPICF >Manes.10G058650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8005675:8029942:1 gene:Manes.10G058650.v8.1 transcript:Manes.10G058650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIRTLILTPPSPHTRSFSHPQPNFRPIGNSYFTRSVSSTRSRSISIPIRIRACERPVEEDGSGSEAAKGLVLGKPLLNFVDRNFLPLALVGGVVLGLANPTLGCLADKYYLSKVGTFGIFLISGLTLQNGEIGAAIEAWPVGILGLCSILFFTPYLSRIILQIQLQPQEFVTGLALFCCMPTTLSSGVALTQLAGGNSALALAMTVISNLLGILIIPFSISKFIAAGVGVSVPTKQLLRSLVLMLLVPLLMGKVFRESFKGVADFVDQKRKLFSKMSAICLSLAPWIQVSRSSSLLLLVKPEVFLVAVGMGVFLHIILFAFNAVAIQGLSTVSGGNQSVFAKKENANAFVLVSSQKTLPVMVAVAEQLGGAFGETGLLVLPCVAAHLNQIIVDSFLVNFWLRGDLASKHAKVA >Manes.10G058650.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8005675:8025421:1 gene:Manes.10G058650.v8.1 transcript:Manes.10G058650.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIRTLILTPPSPHTRSFSHPQPNFRPIGNSYFTRSVSSTRSRSISIPIRIRACERPVEEDGSGSEAAKGLVLGKPLLNFVDRNFLPLALVGGVVLGLANPTLGCLADKYYLSKVGTFGIFLISGLTLQNGEIGAAIEAWPVGILGLCSILFFTPYLSRIILQIQLQPQEFVTGLALFCCMPTTLSSGVALTQLAGGNSALALAMTVISNLLGILIIPFSISKFIAAGVGVSVPTKQLLRSLVLMLLVPLLMGKVKILNFLCGTS >Manes.12G086600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13379308:13386727:-1 gene:Manes.12G086600.v8.1 transcript:Manes.12G086600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFPFEALINLASMTTLNPITSHQLSVLINPVTQFHKHKSFSLLSTRRFRKPEPLNCSFLSTHNRNRWAIGSITEDREAVPFKDSPPKDQDNPSLLTGSKGKGEVDDDNDKLLSRAINATIVLGFGTVAVSKLLTVDHDYWHGWTLYEVLRYAPEHNWIAYEQALKTNPVLAKMAISGIVYSIGDWIAQCYEGKPLFEFDLTRMFRSGLVGFTLHGSLSHYYYQFCEALFPFEEWWVVPAKVAFDQTIWSAVWNSIYFTVLGFLRLESPENIFSELRATFWPMLTAGWKLWPFAHLITYGLIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEATLEESANSTSNPNEVSSPFHLQVMRHFGRENS >Manes.14G101300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8382060:8386938:-1 gene:Manes.14G101300.v8.1 transcript:Manes.14G101300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVKTLKGTTFDLEVKPEDTIADVKKSIETTQGVDVYPAGQQMLIYQGKVLKDNTTIDENKVAENSFVVIMLTKNKSSAGEGSTASTASTTKARQTSTPVSTAPQAPVPTFAPPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPSPAPALAPAPAPAPAPVLSANAVSETDVYGQAASNLVAGSNLEGAIQQILDIGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEVPPVTRVPVSGQPANPPAQPQQPVQPSPVPSAGPNANPLDLFPQGVPNVGSGAAGAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLVRLIQEHQADFLRLINEPVEGGEGNILGQLQAAMPQTVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFED >Manes.14G101300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8381354:8386940:-1 gene:Manes.14G101300.v8.1 transcript:Manes.14G101300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVKTLKGTTFDLEVKPEDTIADVKKSIETTQGVDVYPAGQQMLIYQGKVLKDNTTIDENKVAENSFVVIMLTKNKSSAGEGSTASTASTTKARQTSTPVSTAPQAPVPTFAPSETDVYGQAASNLVAGSNLEGAIQQILDIGGGTWDRDTVVRALRAAYNNPERAVEYLYSGIPEQAEVPPVTRVPVSGQPANPPAQPQQPVQPSPVPSAGPNANPLDLFPQGVPNVGSGAAGAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLVRLIQEHQADFLRLINEPVEGGEGNILGQLQAAMPQTVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFED >Manes.15G025700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1992072:1993130:-1 gene:Manes.15G025700.v8.1 transcript:Manes.15G025700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVCQGLQSCLESQVFESRTMRLRLSSPKPHFSQSLELALKPCSLDSTTKELSDRRHDEDKHTSSIPTPDMGGWSFLQALSNTSQGPKESMEKENTYVHPVVKRYPSTLSEKSLELCTENLGSETGTDTFERGIFELSSFESEAGNSPTRGQQKPRQLFGARKANSRSFPPPLTTMSGSESLRVRPHREDGRLIIKAVKAPSTHYNFQAERSHGRLRLCFVKDSASNFDSTDVASAEETEAIIEDENDKEEFESDVNKEEGRDIEEEDEEEEEEQEEEEDFEQEAREENTASTGKEKENSGYIEKEVEENHLNVGAEMGMEEFQRPSRCKEGELENKGLLNWEPFWVATS >Manes.04G163400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35711491:35715615:-1 gene:Manes.04G163400.v8.1 transcript:Manes.04G163400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVGKYQGWKQMGGPMKLKSCADNSQRKDTCILNRIWFYLFLEAHSYQQMKLIMKKMNYCINIRTYPPRSLPTPEFTIHFALCDFSFLRKKRLYSSLSFSSFTITSLGLWCEEHLVICVQVKSSSVLWNCSSQVSKTIFFLFHSLNHRISGDGNGDLMAKKRSWFNLVRKFFISDTQSNQEKKDKRRKWIFFGRLKVKSRLASISAPSPPRERTTLSESEQEQSKRALNVALASAAAAEAAVAAAHAAAEVVLLTGVPHSTTHQCDKETEQVSSMKIQADTLHSTHLCVRAIQEIAALKIQAAFRGYLVDTNSQKRWDGSILTKEEVDTLFLSKKEAAVKRERIKEYAFNHRNSAENERNKVNGRWRYWLDQWVDSQVSNKSKELEDLDTVLTSTPKPRVEYRGKQLKLRGLQRQYQVEGLESPITAPRRSFHRKQCSLGEDNSFSRSPVIPTYMAATESAKAKARSISSPKLRPGSFDAYSDSYSPCKNKLSLIASNATEVPNNCSFGRPSPYQQQQRSPSFKGLPVPVKSSRTWKDLSLNSEYSLRTWDHQSAFH >Manes.04G163400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35711491:35715615:-1 gene:Manes.04G163400.v8.1 transcript:Manes.04G163400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVGKYQGWKQMGGPMKLKSCADNSQRKDTCILNRIWFYLFLEAHSYQQMKLIMKKMNYCINIRTYPPRSLPTPEFTIHFALCDFSFLRKKRLYSSLSFSSFTITSLGLWCEEHLVICVQVKSSSVLWNCSSQVSKTIFFLFHSLNHRISGDGNGDLMAKKRSWFNLVRKFFISDTQSNQEKKDKRRKWIFFGRLKVKSRLASISAPSPPRERTTLSESEQEQSKRALNVALASAAAAEAAVAAAHAAAEVVLLTGVPHSTTHQCDKETEQVSSMKIQADTLHSTHLCVRAIQEIAALKIQAAFRGYLARKALRALKGIVKLQAIIRGRNVRRQAMNTLKCLQSIVNIQSQVCAKRIQMAEGTCACDENKQFQSDKIIRVDTNSQKRWDGSILTKEEVDTLFLSKKEAAVKRERIKEYAFNHRNSAENERNKVNGRWRYWLDQWVDSQVSNKSKELEDLDTVLTSTPKPRVEYRGKQLKLRGLQRQYQVEGLESPITAPRRSFHRKQCSLGEDNSFSRSPVIPTYMAATESAKAKARSISSPKLRPGSFDAYSDSYSPCKNKLSLIASNATEVPNNCSFGRPSPYQQQQRSPSFKGLPVPVKSSRTWKDLSLNSEYSLRTWDHQSAFH >Manes.04G163400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35711491:35715615:-1 gene:Manes.04G163400.v8.1 transcript:Manes.04G163400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVGKYQGWKQMGGPMKLKSCADNSQRKDTCILNRIWFYLFLEAHSYQQMKLIMKKMNYCINIRTYPPRSLPTPEFTIHFALCDFSFLRKKRLYSSLSFSSFTITSLGLWCEEHLVICVQVKSSSVLWNCSSQVSKTIFFLFHSLNHRISGDGNGDLMAKKRSWFNLVRKFFISDTQSNQEKDKRRKWIFFGRLKVKSRLASISAPSPPRERTTLSESEQEQSKRALNVALASAAAAEAAVAAAHAAAEVVLLTGVPHSTTHQCDKETEQVSSMKIQADTLHSTHLCVRAIQEIAALKIQAAFRGYLARKALRALKGIVKLQAIIRGRNVRRQAMNTLKCLQSIVNIQSQVCAKRIQMAEGTCACDENKQFQSDKIIRVDTNSQKRWDGSILTKEEVDTLFLSKKEAAVKRERIKEYAFNHRNSAENERNKVNGRWRYWLDQWVDSQVSNKSKELEDLDTVLTSTPKPRVEYRGKQLKLRGLQRQYQVEGLESPITAPRRSFHRKQCSLGEDNSFSRSPVIPTYMAATESAKAKARSISSPKLRPGSFDAYSDSYSPCKNKLSLIASNATEVPNNCSFGRPSPYQQQQRSPSFKGLPVPVKSSRTWKDLSLNSEYSLRTWDHQSAFH >Manes.13G005200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1069898:1074880:1 gene:Manes.13G005200.v8.1 transcript:Manes.13G005200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRRNILAIFSSASAFRSFRFRQDIEMSIVQLPGLLFTNSIACVSSSTFTFRYKDTSFVDYLRDKCENGSFSNLDDALASFDHMLRLNPLPSIKQFNQLLTALMRMKHYDTVVSLSKKIELLGISYNVYTLTILINCYCHLHLVDFGFSVLGKIIKLGFHPTVVTLNTLINGLCIEGKIVQAVELLDEMVSRGYQPTVYTHSMIVNGLCKIRKTTTAIGWMKKMVERGCEPEVVAYSTIIDTLCKDRLVVEALELFSQMKSIGISPTVVTYNSLIYGACNLGQWKQASILYIEMPEWNIAPDVVTFSILVDALCKEGKVLAAQGVYGKMIQRGMKLDVIAYSSLIHGLCNSSQWKESSTLLNEMLMQNITPDVVTFSILVDVFCKKGMVSEAQGIFNIMIQRGIKPNVVTYNSLIDGNCLQNQIDEARKVFDIMVCKGSEPDVLSYNILIKGYCKSYRIEEAKQLFDEMPCKGLIPNSITYTTLIRGLCQVGRPHSAEKLFREMSSLGCPPDIITHSTLLDGFCKQGYIDEALTVYEAMKKSQLKPNDVIYNILIGGMCKAGRLEDAKELVSGLSVKGLHPDV >Manes.16G066801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26685279:26686182:1 gene:Manes.16G066801.v8.1 transcript:Manes.16G066801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEVVGSRASDILLHSYHRSFNGFVAKLTEEEKQKLAAMEGVVSMFPSQKKKLHTTRSWTFMGFHQNVTRSTKESDIIIGVLDIGIWPESESFNDEGFGPPPAKWKGTCQTSSNFTCNNKIIGARYYGSEGNLPQGNLFPPEI >Manes.06G132100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073168:26079750:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073160:26080651:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073160:26080651:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073160:26080651:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073166:26079146:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073168:26079750:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073160:26080651:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073168:26079750:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073168:26079750:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073168:26079750:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073168:26079750:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.06G132100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26073166:26079146:-1 gene:Manes.06G132100.v8.1 transcript:Manes.06G132100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEKIETERVFGLPPPLSLTVGIAINGKTKSKYVVKWALEKFIQEEKVLFKLLHVRPKITTVPTAMGNLIPISQVREDVAAAYKKEMEWQTNQLLLPYKNICTQTKVQVDVIMIESDDVANAIAEEVAKCTIKKLVIGASSCGMFTRKLKGNDLSSRISTCTPKFCTVYAISKGKLKSIRPSDLETNGSTRDDSSVTSSTNSSSSQTLSSQTDAASVSSYSQFHSPSLPMQRFQALSCINHGLFHSTTNSIETNHSRHQSLDVDVQAISTINQGLLHSRTNSVETINSRCQSLDIEEGNYVASSWPSTSEIGHPISQSSSCKSLPTDYQSWVSDQASTSDMLTECSSSDSQANINFELEKLRIELRHVRGIYAMAQNEAIDASRKLNDLSNRRFEEATKLKEIHCREEKVKELARQEKERSKSATKEAEYTRTCAEREASQRQEAELKAMRDAKEKERLQNALVGPVQQYQKFTWEEIVSATSSFCNDFKIGMGAYGTVYKCSLHHTTAAVKVLHSKDNKNSKQFQQELEILSKIHHPHLLILLGACYDHGCLVYEYMENGSLEDRLLRVNNTPPIPWFERYRIAWEVASALVFLHSSKPKPIIHRDMKPANILLDRNFVSKIGDVGLSTMLNTDASSATSMYKDTALVGTLCYIDPEYQRSGLVSPKSDVYAFGMVILQLLTAKPAKALTHIMETAIDDDRLLEVLDSEAGNWPLEETKELALLGLSCAELRRGDRPDLKDEVLPALERLKEIADKARDTISCIHPTPPNHFICPILKDVMMDPCVAADGYTYDRKAIEKWLEENDKSPMTNLPLPNKNLLPNYTLLSAIVEWKSNKQ >Manes.08G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9811304:9819151:-1 gene:Manes.08G066200.v8.1 transcript:Manes.08G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIASETSRPEVFPPQNSDTHIFRSKLPDIPISTHLSLYAYCFEKLFSFFDRPCLISGSTGKKYSFAESHRISQKTAAGLSNLGIKKGDVIMILLHNCPEFVFSFLGASMIGAVTTTANPSYTPNEIFKQFTASHAKLIITQSQYVDKLRDSHENHPKLSKDFTVITIDDPPENCLPLTVLTEANESEVPDVTINPDDPVALPFSSGTTGLPKGVILTHKNLITNVAQQVDGENPNLYLKEEDVVLCILPLFHMFALDTVLLCSLRSGAAVLLMQQFEMGALLELIQKHKVSVAALVPPLVVALAKNPMVAAFDLSTIRVVISGAAPLGKEVEDAFRSRVPQATLGQGYGMTEAAAAITLCLGFAKQPFPTKLGSCGTVLRNAELKVIDPETGCSLGYNQPGEICIRGQQIMKAYLDDLETTLNTIDVEGWLHTGDIGYVDDDEEVFIVDRIKEIIKFKGFQVSPTELEALLLNHPSIADAAVVPQKDEVAGEVPVAFVVPSDGSELTEETVKEYIEKQVVFYKKLSKVYFVHAIPKSPSGKILRKDLKARLAAASLLP >Manes.05G104750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9872187:9873918:-1 gene:Manes.05G104750.v8.1 transcript:Manes.05G104750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKTSSDLPPGFRFHPTDEELIMYYLRNQASSKPLPVSIIPEVDIYKFDPWQLPEKAEFGENEWYFFSPRDRKYPNGVRPNRATVSGYWKATGTDKAIYSGSKYVGVKKALVFYKGRPPKGIKTDWIMHEYRLNDSRKQAKQQNGSMRLDDWVLCRIYKKRNVGRNLEEKAEITNTQLEKSVANDASEQQLLKFPRTCSLSHLLEFEYMGPISHLLNDSTNNPGLDFQNIMSNSGNDYTENFQLGDMGTQYTDSGKFQVNQGSILNQSLFMNPMVYEFQ >Manes.13G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33674458:33675753:-1 gene:Manes.13G128800.v8.1 transcript:Manes.13G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTWITCWDSDRSAGYSDESTSIADDVFGFLEEQEESPNSSRDSIDYVEEDEDEISCSVDENRKFWETQNQLLQATLYRTRSLETRIRQATKAAVKEIKLTGLQCTCQKAVAGECRNCLQREISLQLQAEGFDCAICKSKWKSSEKIPSGEHTYMEVVDKLRSKEGEVRVIIELNFQAEFKMAKASEEYNQLINRLPEIFVGKEERLKALIKILCSAGKQCMKEKKLHLGPWRKHKYMQSKWFGTRERTTILTPLPAGFSDRLAKPKASMLTYDLLESLPVLHLTAVKVL >Manes.02G130000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9877308:9881259:1 gene:Manes.02G130000.v8.1 transcript:Manes.02G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTSYWCYRCTRFVTVLLSPDNNDVTCPHCHGGFIEEIEANSQSDNPHRRFMYMLSDNNGSNRQDSDRVPTLRFRRNRRNAGDRSPFNPVIVLRGTASEENEEGSSYEFYYDDGTGSGLRPVPASMSEFFMGSGFDRLLDQLAQIEVNGFGRVGNPPASKAVVESMPTVEINQTHVLAEAHCAVCKEAFELGGEAREMPCAHIYHSDCILPWLAVRNSCPVCRHELPADQQESENSGESDEIVGLTIWRLPGGGFAVGRFSGGRRGGEREFPGVFTEMDGGFSGSGGAPRRVSWVSRTSRRRESSGGGGFRRVFRGLVSFLRRIRSNSSSSSTTSSSLHPDPESGSISRSSSHSSSGFGRYVSRRRRGLDLEVENGTERW >Manes.08G138601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37477759:37480340:-1 gene:Manes.08G138601.v8.1 transcript:Manes.08G138601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVVHLASNIEQEISRPLANFPPTVWGYDFASLPSFNSEIETYTKKVEVLKEMVKDMLLFSKEDLIKNIEFINLLCRLGVSYHFEKEVEKQVDYIFNVFHGAIDNNYDLHTVALLFRVLRQHGYKISCDVFKRFKDSDGKFSETVSNDVKGILSLYEATFVSMRGEDILDEALAFARPRLESLAMQSSPHLKKHIENALNMPFHRGLPRVEARKFICFYEKEEPHNETLLKFAKLDFNRVQLMHKQELGVVSRWWKELDLAKDLPYARDRIVEGYFESAGLQFEPKFALSRIRLTKCIQILALVDDTYDSYGTLEELKCFTDALERGNAEQLPIDYLKIVYKAVLDFFAELENDEGNEGRSYCLNYTKERFKEVVRSYLKESQWFYDRHLPPFNEYMHNALVSSCFALLPPVFFLGVEKLAGVKEFEWLETNPKLVEACKFFGRVVNDMVARKDEEKEGHCLAGNCYMKEYSVSKEKAMEELRRMCDNAWKDVNEESMRPTVVPMPLITSIVNLARVMEVVFQYDDGYTVATSLKDNVILMFVEPLSED >Manes.06G001101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:373253:376202:1 gene:Manes.06G001101.v8.1 transcript:Manes.06G001101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCTYIDAQLHEAAIKGKLNPFKVYQGHQLETLLTPNENTILHIYLTSQTKRSTLSRTRFIKEVLAICPSLLWKVNVDGNTLLHIAARYGLADVAEELIQWAPKASAGDEILDLESGGEEPREREMVAVRRMLRMTNKYKETALHEAARNKGSLDVVKAILGHEDGEFTYSANDGGKTPLYLAAENGSAKTVLELLSNPNSKSLAYGGPRGKTALHAAAINSKTSPGMIDKLLDKWSSLTRETDEKGWTPLHYAVYKGYTSMVEKLLEKDESSAYISDKDWKRTPLHIAACRGLHHQVDKIISRCPNCCELLDIRGWNVLHYAVISQSDKLLRTLLKHSSLVYLLYGKDIKGNMPVHLYKAYHPLTVPFDLQLFTLFHQIKLGFDKPNLFFHWGELYSQVPGYSSSEKSEYLEWMNSTGTGPLGEIENEEEKRRENWIIELEKEKDSLGGINTYSNYNFCRSIHNTWRIYK >Manes.05G106600.21.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115326:10117964:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115365:10117961:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115183:10119117:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.22.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115334:10117962:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115326:10117976:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.20.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115333:10117975:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115184:10119116:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115183:10119117:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115365:10117983:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.05G106600.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10115365:10118116:1 gene:Manes.05G106600.v8.1 transcript:Manes.05G106600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPSPINLCTVLTESKHIINAHSRHFLALSVLFLLPLSFYLSVFPTLQNLLAPSSTLNSKVLLSTSIFLHQHPSNLFTINNLVFSLIFSLFVLVFAPLAVGSITYSVLHGFYGRPVKLLAAIKSAFTSFLPLLITIILTQIIVSVIFFVSGFFLFLVFKAIHLAGFQVEISSPYFIGFSGVVLIVLILVLVYLQLNWSLVGVLVVVESSWWLEPLRRSSYLINGMRGVGLALLLFFEFLVGLLLVISLVSGMTLGIGATNGWKSCAFVVQIVVTSTLLMVLFLYNVAANTVLYMYCKAVHGELAWEIAEELAREYISLPFDDAKVPHLVSVAYT >Manes.01G233300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39678161:39678831:-1 gene:Manes.01G233300.v8.1 transcript:Manes.01G233300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARPPLAFLSLAFALLLSCAVCVESRYHGRNQYRMANDGRRMWCIAKPSTEAGTLFRNIDFSCGQSGVDCTPIQPGGSCFNPDTPVSHASYAMNLFYKFAGKHPWDCNFNGTGVTVPQNPSFGTCIYPL >Manes.04G158900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35326638:35327661:1 gene:Manes.04G158900.v8.1 transcript:Manes.04G158900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIQVIKVAALSGSLRKASFNTGLIRSAIELSKESVNGIQIEEIDISQLPLLNTDLIVNGNYPSAVEAFRQKILKADSVLFASPENNYSFSAPLKNAMDWASLPPNCWGDKAAAVVSAGGGFGGGRSHYHLRQIGVYLDLHFINKPELFLNAFQPPAKFDDDGNLIDAETKERLKEILVALRDFTLRLHK >Manes.07G068232.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12702646:12704309:-1 gene:Manes.07G068232.v8.1 transcript:Manes.07G068232.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATPYYQKVSRATARKDCFSTYEIEKKKVKALLKDTDKVSVTMDLWKSGQHISYMVVTAHFVDSEWKLQKRTLNFCDVPPPHTGVVICDVLQKCLVEWGIEDKVWTISVDNVSYNDVAVRMLKDNLAYKNSLALHGKLFHVRCCAHILNLLVQDGLSEIAYIIKNVRESVKHLVDSESRRLIFSEIAKLLKLPSKKLLLDCGTRWNATYFMLSVALKDSSYTYLPNEDDWQKVKEVCSFLEEFNEVTNVISDEAHENENIYMKAMVGKMKCKFDKYWEDVHICQDDSNFDALEWWKMNNMKFRILSKMARDILSIPITTVASKSAFSAGGRIIDPHRASLGVETVQDKDDIQEVILP >Manes.07G009944.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1205668:1208418:1 gene:Manes.07G009944.v8.1 transcript:Manes.07G009944.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSLHLTVMLIFFFISLLAITKPTMANDADDIPVDFDRSYFPDDFIFGTATSAYQIEGAANILGKGPSVWDRFTHEYPERIKDNSNGDVAVDFYHRYQEDIQNVKNMGFNAFRFSISWSRVIPSGRRREGVNEEGIEFYDRVINETIKQGLQPFVTIFHWDTPQALEDKYSGFLSRNIVEDFREYADILFKRFGDRIKYWMTFNEPWALSGFAYDDGLFAPGRCSSWINNQCRAGNSATEPYIVAHHLLLSHSEAVQVYRKNYQTTQKGKIGIMLFTFWFEPLSNRRTDIEASRTALDFMFGLWMDPLTYGQYPRTVQNLVGDKLVNFTNEETELLRGSYDFIGLQYYTSYYAKPNASIDSDRVRYKTDSNMTETPYDYDGNLIGPQAYSPWFYIYPKGIRHLLNYTKDRYNNPEIYITENGVDNLNDENQPIEEALKDEFRIDYYQKHMWNTLGSLKEYIVNIKGYFAWSYLDNFEWNIGYTSRFGLYYVNYKNNLTRIAKESAIWFTKFLNPPN >Manes.15G010700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:903152:906224:-1 gene:Manes.15G010700.v8.1 transcript:Manes.15G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPRNPNNGSFTNAKGYALSGRIMLSAIVILFFVVILMVCLHLYARWYLLQARRRQIRRAARNRRTNLVFYVDPSNPTANHVIQPTRGLDSSLLKSLPVFVYSSKTHPDAIECAVCLSEFDENEKGRTLPKCNHSFHIECIDMWFHSHSTCPLCRSPVEPVPERPAQKDSSSEITEIGSSSDLCATRQQEGDNVVASTSAFMGRRKPAELVGVTIDVPRRNGNFEDESGTESTAYRSPMSRMLSFRRILSRERRENMSPCAGNPTSCGGSVSESDLERGRDEIQQTREKIGSNSTV >Manes.15G183101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25597822:25598574:-1 gene:Manes.15G183101.v8.1 transcript:Manes.15G183101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKNISDFEIVIENGYNDVNPWGVIKKYYPENWYFTLKDFSKSQEYYHSILEDTESVKIKHNFDNKNIIAYSSLQIKRVIHPKDWPTSNLYTALTFKTLKKYFTSYNYFDYIDASKNIFSIRNPNHTHSWLIYFDQSKIKETTRFPNWFLKWWQYRVSQIYQYFKNNYKPNLNETYIPPLMVYQWFFDFQYMAGTSIPAIVKKHKIKWWGSFRNTTTEMVVKKWIIKKA >Manes.17G028601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19809220:19810557:-1 gene:Manes.17G028601.v8.1 transcript:Manes.17G028601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDGDDGTLPCSLHCRPLLYWQLSDILVATLSPSSSSLYCPPCSCDCSSQPLLPLTDGFSNNSFTDCTKPDPEVSEEMEKSFTDLLSEEVRLKEAEALKQQHRADVALLEAKKMASQYQKDSDKCNAGMETCEGDRKS >Manes.15G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9818102:9823051:1 gene:Manes.15G123300.v8.1 transcript:Manes.15G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASANTSNEGMQLCVFDLRRGQLEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAPCEVIEAERHSHVFYEAEPDIWMVMVVEKSKGLEVIWRIDALREVLKEVHSLFMMFNGSIRAMLEREPSGGVIRSHLYPFIMDYLSDFLVGKKLQLPSFRDCLTERGTVQMLTVGREAAIEVQSLVRVIESCAEAAPCYSLIFFQDLLVSTTVSPDDTINLFTYAVLRLTPRALSSGVSSWSYLRKGSTASHVAAGSTLAQSASVSEHLCRSHDNSPGQDDSYHVKRPLQHGRWAKGKDGFLITDIWGTDITSLITASPTIWLRQTGERMYLCAYQYKGLTLILLLPVSSILNGEQGVSLVKQRVLENASAKMLKVEEKLSKGWGGENAYHVSGYRYLLLDDDRNVSRASPPVKVTTLTKESLLAMNKLREEVDSIKSRAKRDGSEQEKDLEICIRAKNNAWVIAHTTRGKELYMVLEKANETLLYASDAVEKFSNRYCGGTFSLD >Manes.11G026000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2569900:2571544:1 gene:Manes.11G026000.v8.1 transcript:Manes.11G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPRIGNGNGNGTSQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIKNLNQTDKDEAMRTIIFQSDVRANDPVGGCYRIIRELQRQIEYHKAELDLVLHQLAICRAQAQQQTHFQMLETDDSTTLGCEILNPDSLDVYDPTMIQYHYPQTQEEEEQGFVIQDHNQKLQENVDEALPIQDSTSSPSLHDFKQHFVKECDDIKPLLDINDVKFEPEELVERQLVPLTQLVISS >Manes.04G129300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33201460:33201934:1 gene:Manes.04G129300.v8.1 transcript:Manes.04G129300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKALIEDTDMPVKMQIQAMASASKALDLYDVLDCKSIAGHIKKEFDKKYGGGWQCVVGSNFGCFFTHSKGTFIYFTLETLNFLIFKGDSSPSAA >Manes.08G094300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31878667:31884711:1 gene:Manes.08G094300.v8.1 transcript:Manes.08G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRFTLSLTVVLSLVLLFGEAKTIDPYKVLGVEKNAGQREIQKAFHKLSLQYHPDKNKNKGAQEKFAQINNAYEILSDEEKRKNYDLYGDEKGNPGFDAGYPGDQGGYTYFKGGGQGQSHFSFRPDEWQNMGGQGGSQSFSFSFGGPSSQNSFGFGMNDIFSNLFGGDGGRNQFGGFSGSSRSQSGFGFRSSPKSFKPINSKIFKKEIAEQGMTWLLLSYTPSLRGSHYYESIIQEVADSFQGALKVGTINCETEKSFCIELGIHPRQVPRVFVYSYKASDKGSLVEYNGDLVARNLKTFCQEHLPRFSKRIDLKHLETSSGSKEKLPRVLLLSTKKDTPVIWRVLSGLYHNRFNFNDAEVHGVSDPLVKKLGVDALPAIVGWLSNGEKHVLKAGISVKDLQSAVQDLSTLLDGFDKKNKKTASSQARKRDDPVEEQLPLLTGLNFEALCGEKNPVCIVGAFRNSKAREKLESILSEVSQKSFSRQRNAASGAGDSVTYTLLDANKQPAFLNAFEKSGFKSSDKLLLAYKPRKGKFAILKSEMTAEEVERFISSVLNGDVQFTKTRQKPVIK >Manes.08G047400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4788631:4794518:1 gene:Manes.08G047400.v8.1 transcript:Manes.08G047400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTGPVARSSFTGPQPDETGLDSLQFGEDIQHLISVPPENASSFTALLELPPNQAVELLHSPDSTIRTRNVIQTHHQKQYLQPQFNAANLTFPTNSGLIERAARFSVFAGDNLHNITYNSPETSSVPSNSSANLEKVVKSEPTEAESFLNPLQPLVSDPTTVENGAQNQRPVKRKEREKKGKGSAKKNRSCANENSEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVESGSLMDGNFPSMVMPMMWAEVQDSGNRQNSQQLWQFDALNQPVWGREDGGYNFITPDNSLLSYDSSANSASMRSNQLKMEL >Manes.08G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4788631:4794518:1 gene:Manes.08G047400.v8.1 transcript:Manes.08G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTGPVARSSFTGPQPDETGLDSLQFGEDIQHLISVPPENASSFTALLELPPNQAVELLHSPDSTIRTRNVIQTHHQKQYLQPQFNAANLTFPTNSGLIERAARFSVFAGDNLHNITYNSPETSSVPSNSSANLEKVVKSEPTEAESFLNPLQPLVSDPTTVENGAQNQRPVKRKEREKKGKGSAKKNRSCANENSEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEVLSMRLAAVNPGIDFNLDSILAAESGSLMDGNFPSMVMPMMWAEVQDSGNRQNSQQLWQFDALNQPVWGREDGGYNFITPDNSLLSYDSSANSASMRSNQLKMEL >Manes.18G041000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3631441:3634027:-1 gene:Manes.18G041000.v8.1 transcript:Manes.18G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMMGFSGDSFAAKMEELAVREAATAGIQNVEEVIKMLKQNQLQQPQYYPDFTSSPTNNPPGTDNIMAVTDAAVNSFKKVISLLGRTTRTGHARFRRAPVSPASANQEQQQQAQDLGASVRPISSHPTEPVSAFRVYQPTPVHRLPPLPNSHHQQQKTPSLVAKNGFSERNEMRSINFSNSPSISASTSFMSSLTGETDSVKRSMSSGFQFAEPSHVSSAGKPPLSSSSLKRKCNSMDDAALKCGSTSGRCHCSKKRKSRVKRVIRVPAISNKLADIPHDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERAVDDPMMLIVTYEGDHNHSNSINDNDAPAAVQVHESS >Manes.01G062500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25960417:25964238:1 gene:Manes.01G062500.v8.1 transcript:Manes.01G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVYGHRASQPSRAIMIFCKANGIEFEEVTVDISKGENRSPEFKEINPMGQVPAIIHGELKLFESHAILIYLASAFPGVADHWYPTDLVKRAKVHSVLDWHHSNLRRGSATYVFYSRLAPVFGLPLNPQAAAEDEKILISSLSIIESYWLQDSGLFLLGENQPSIADLSLVCEIMQLELLDENDRNRFLEPHKKIQQWIENTKNAIKPYFEELHDVLYQLSATLRKK >Manes.03G110000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23258077:23270188:-1 gene:Manes.03G110000.v8.1 transcript:Manes.03G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCICCKPSAIEDKESPRERLASKPSSDLRLSRATSSRREEAYRVKDRYDSNDGRTTLIDKHSNGSARLHGGESVDRKRETMEYAVAQHPGTGNIPKATEGEHVAAGWPSWLAAVAGEAIRGWLPRRADSFEKLDKIGQGTYSNVYRARDLDQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTESQVKCYMQQLLQGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPTHVQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYKRCVAETFKEFPAAALALMETLLSIDPVDRGTAVSALKSEFFTTKPLPCDPSSLPKYPPSKEFDAKLRDEEARRQGATGGKGQKPDIERRGTRESRAIPAPDANAELVLSMQKRQGQSNSKSRSEKFNPHPEEVASGFPIDPPRPSQAVESSMDPHVHQHKRASHSGPLAQRSAWTKAGRNLDDAPKVSTGADLSTMSGLVAARRSLLSEDRRERSGPSQPEVPKLMSRFPGSFKEASESLVQDQKHHSQGVASSHQKDDGRSSNNDPALVGYGSKGHKIHYSGPLLVPSGKMDQMLKDHDRQIQEAVRRARIDKAKVRKVQVDGNQISSNSIFVSGR >Manes.02G052000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4146185:4146979:-1 gene:Manes.02G052000.v8.1 transcript:Manes.02G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPTIMLNFFLTNIYEQYVLLADSDDPLYVSEETFIVDERFIEIAGCDYGESTRKLVCETLTTMGVSEDDHESVWRRVDSDVKRMLEARRTTTTTILLSIEMASLYSEEYVDEDADTRQPIPASESSIDDLTRIVFAVGVQDPENCIVCLEEFEDGDDLIQMPCSHLYHQHCIVEWLMTSHLCPLCRYQMPTTQLSEHCHNSLPQDQNVIN >Manes.05G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6823088:6825543:-1 gene:Manes.05G083000.v8.1 transcript:Manes.05G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAANKDKDKDKKRPISRSSRAGIQFPVGRIHRHLKQRTSANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKD >Manes.08G065900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:9671524:9672231:-1 gene:Manes.08G065900.v8.1 transcript:Manes.08G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSENLASFEPFLFRPTFTDSWISEAYARDTEALTKALQKSLSNSINSNSSFFVSETLSTDSLFNLVSGSQTPPPATPTASNVSGSDPETPAPKRQRNGIGIPGAAAKVSKRKSRASKRSQTTFITADPANFRQMVQQITGVKFGNNQLPAVPVLKPEPQRLGSRMHGTGGCLPTLDTSAFLLDHHHQQQQEMVVGPGSGPVIGSETVSFPQPVVSDGLDFDSYSSFPTLESWN >Manes.08G075355.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16864605:16867082:1 gene:Manes.08G075355.v8.1 transcript:Manes.08G075355.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDDEQLVAPPDSGPKPTPIIQGRAQVVSKNKSTVPLEETKLRVLLEITGGDSSNDRPGLDLVAVLDVSGSMAGEKLAKVKTAMLFVIKKLSPIDRLSVVTFAGDARRLCPLRQITEDSQKFLENLVNGLNADGATNITAGLQTGLKIINDRNLSGGRSVGIMLMSDGEQNRGGDAAQVPVGKVPVHTFGFGVNHDPRVLKAIADNSIEGTFSDVQNTDNLSIAFSQCLAGLLTRVVEDLKLTVTPYEDESTIEQVIAGSYPQSKDDADGSVTVSFGGLYAKEVRKVMVDLLLPAVSKERGADVLDITLSYSFQGRLFEAPPVTLNVSRTGASADERERPEVRNEETRLLTANMIKEARVMADGNKLDDARDKLVEAQNSLEDVDDESNPLIEMLRSELQQLLKLMKSQEIYEKQGRPFALSSETSHNRQRFAARGDIESLRLFATPRMDKYLEQAKSFDEDPSKPLPSVDEDVKEEIAANPLGPIAGALSFYIQSAIQSLQAIEKIINRGL >Manes.03G066749.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8892121:8894048:-1 gene:Manes.03G066749.v8.1 transcript:Manes.03G066749.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAERESRYKEREGSRRAEEEPAQTHAVLTEICVTNYPISTFIVKVIEPTEPAESAGSLPAKFYRLNYHVCTSLPYQ >Manes.06G065000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20015342:20016123:-1 gene:Manes.06G065000.v8.1 transcript:Manes.06G065000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKQSFDLCYWLSTLKNNSRLLLSRERQRLDMVRELKGRSGGGGEGLSSEALLLWWGAIITLSIITALIFSCAGGASKDKASATHTDKYGSTCAGGCGGACGA >Manes.15G118802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9466595:9472423:-1 gene:Manes.15G118802.v8.1 transcript:Manes.15G118802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTYTLPFLSLLLVHSFFNLEENLKMANGQKIWCVVNPSTPHDELLANLDYACTQVGCSQIQLGGSCFYPNTYLHHASFATNLYYQRMGRHEMDCNFANSGLISLSDPSSGSCTYESGGEGNGISEDKLSETWCVAKPATEDDKLQENINFSCNYVECSPMQDGRSSTSCEFQGTGLVVTKNPGYGNCSF >Manes.04G063756.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23460295:23478473:-1 gene:Manes.04G063756.v8.1 transcript:Manes.04G063756.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNRHRITILSVAIIQSRIYGCTYLFTIIKPPFNSLLELVLIGPWYRIRAINMGDATPFKFTWRINNFSTLTQKVYSEVFYAGGCQWCLSVYPKGNKVDYLSIYLEVADSTSLAQGWSRDAKFSLAVINQINNSLTVRRGGDAQNVFKAFYTNWGYTSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVNHYSKPEPKKEEAKDETKPSEPVAAPPTSQVPSSEKEVVDTKAKVDTKPLNQTKEGIQAAATPTSDKEVIKSSPPPSVTVETKIPPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQQQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESAPMVITTAETAQARRTSLSGKTDELDAKLAQTHEELSSKDAEFLRLSTEEEKLEAQIQLLIKQKEDVVAHKKSVLVELEKSNKEVSKDLEEWKKLESEIKQANVNWVGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.04G063756.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23475730:23479171:-1 gene:Manes.04G063756.v8.1 transcript:Manes.04G063756.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPFKFTWRINNFSTLTQKVYSEVFYAGGCQWCLSVYPKGNKVDYLSIYLEVADSTSLAQGWSRDAKFSLAVINQINNSLTVRRGGDAQNVFKAFYTNWGYTSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVNHYSKPEPKKEEAKDETKPSEPVAAPPTSQVPSSEKEVVDTKAKVDTKPLNQTKEGIQAAATPTSDKEVIKSSPPPSVTVETKIPPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQQQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESAPMVITTAETAQARRTSLSGKTDELDAKLAQTHEELSSKDAEFLRLSTEEEKLEAQIQLLIKQKEDVVAHKKSVLVELEKSNKEVSKDLEEWKKLESEIKQANVNWVGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.04G063756.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23460295:23468781:-1 gene:Manes.04G063756.v8.1 transcript:Manes.04G063756.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGSYTRRIWVLESLTFRIRAINMGDATPFKFTWRINNFSTLTQKVYSEVFYAGGCQWCLSVYPKGNKVDYLSIYLEVADSTSLAQGWSRDAKFSLAVINQINNSLTVRRGGDAQNVFKAFYTNWGYTSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVNHYSKPEPKKEEAKDETKPSEPVAAPPTSQVPSSEKEVVDTKAKVDTKPLNQTKEGIQAAATPTSDKEVIKSSPPPSVTVETKIPPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQQQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESAPMVITTAETAQARRTSLSGKTDELDAKLAQTHEELSSKDAEFLRLSTEEEKLEAQIQLLIKQKEDVVAHKKSVLVELEKSNKEVSKDLEEWKKLESEIKQANVNWVGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.12G069700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7442542:7445167:-1 gene:Manes.12G069700.v8.1 transcript:Manes.12G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFISYLLLLLCIFTFSDAGSIGVNYGRIADNIPSAVKVVQLLKSQGLERVKVFDADPTVLRALSGSGIKVTVDLPNELLYSAAKTQSFAFSWVQRNVASYYPSTQIEAIAVGNEVFVDPHNTTKLLIPAMKNIHQALVKLNLHSAIKVSSPIALSALQSSYPSSAGAFRSELVEPVFKPMLDFLRETGSFLMVNAYPFFAYESNSDVISLDYALFRENPGVVDSGNGLRYFSLFDAQIDAVFAALSALKYDDIKMVVTETGWPSKGDENEIGASVENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNEDEKNGPTSERNYGLFYPNEQKVYDIPFTVEGLKNYTDRRSPVSGGQKVNPPVNGGVSKSTTGNTWCVGNPEAGKEKLQAALDYACGEGGADCRPIQPGATCYDPNTVVAHASFAFNSYYQKKGREIGTCYFGGAAYIVTQAPKYGKCEFPTGY >Manes.02G077700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5925611:5927909:-1 gene:Manes.02G077700.v8.1 transcript:Manes.02G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSDLLLIFIFISCSLSSLPIASPSSSTDDFVFGGCTQQRYAPGSPYESNINSLLTSLVNSATYSSYNNYTIMGSTPQDVVYGLFQCRGDLSMPDCATCVARAVSQLGSLCSQTCGGAVQLQGCYVKYDNTTFLGVEDKTVVLKKCGPSIGYDTDAMSVRDAVMAGLARAGGPYRVGGSGEVQGVAQCVGDLGFGQCQDCVSEAISRLKNDCGTAIYGDMFLAKCYARYSTGGAHAYTKTHNDKSINEGEKTFAIIIGLLAGVALIIIFLTFIRKVFVNGK >Manes.18G121000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12881077:12888459:1 gene:Manes.18G121000.v8.1 transcript:Manes.18G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLSQKTRLSLPLPNPTSTNFLSFPHGCCLKSGINIKLSTKKHFKGLSESRRFHVVEISKPKVLCSNKHYLIHVVKRGETFNSISRLYGVSIPSLAAANENVLDADLLLKGQLLYIPAFATTDTQMYQIKKRRFPSFSHQGRLKGSLNILGGVLNQKSFVMLTTHALPHAKSTGYFLVLVPLIAFCIRCIVGAFHTGVFRDTRQQTLDKSRSHDGPRGMRWKYALSDIEDPKLDPGSSIESNNTSEDQEQNLYEDMSRAYSKLEHDYEKFLSECGMSKWGYRRGGFRR >Manes.18G121000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12881077:12888459:1 gene:Manes.18G121000.v8.1 transcript:Manes.18G121000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLSQKTRLSLPLPNPTSTNFLSFPHGCCLKSGINIKLSTKKHFKGLSESRRFHVVEISKPKVLCSNKHYLIHVVKRGETFNSISRLYGVSIPSLAAANENVLDADLLLKGQLLYIPAFATTDTQMYQIKKRRFPSFSHQGRLKGSLNILGGVLNQKSFVMLTTHALPHAKSTGYFLVLVPLIAFCIRCIVGAFHTGVFRDTRQQTLDKSRSHDGPRGMRWKYALSDIEDPKLDPGSSIESNEFDGRLDRKSTRHISGMKYVFLLHYLFLDKLY >Manes.18G121000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12881172:12883885:1 gene:Manes.18G121000.v8.1 transcript:Manes.18G121000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLSQKTRLSLPLPNPTSTNFLSFPHGCCLKSGINIKLSTKKHFKGLSESRRFHVVEISKPKVLCSNKHYLIHVVKRGETFNSISRLYGVSIPSLAAANENVLDADLLLKGQLLYIPAFATTDTQMYQIKKRRFPSFSHQGRLKGSLNILGGVLNQKSFVMLTTHALPHAKSTGYFLVLVPLIAFCIRCIVGAFHTGVFRDTRQQTLDKSRSHDGPRGMRWKYALSDIEDPKLDPGSSIESNVSTLMCFLYHLLLPLILQSSKYVKLWTLCINKAGI >Manes.18G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7812543:7814830:1 gene:Manes.18G084300.v8.1 transcript:Manes.18G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLHLQGQRQRSIFGGSFLHKQSTLSTEFLWPKDELVSAHKELMEPAVDLAGFFRGDEEETWKAATMIKAACMNHGFFQVINHGVDLNLINTAQYHMDHFFKLPISQKLRARKMPGSLWGYSGAHADRYLCKLSWKETLSFSYHENNSDPVVLDFFRSTLGNDFEQTGMVYQKYCEAMKELSLSIVELLETSLGLDQPHYKKFFQESCSIMRCNFYPTCQEPGLALGTGPHCDPTSLTILHRSC >Manes.15G102900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8085592:8089630:1 gene:Manes.15G102900.v8.1 transcript:Manes.15G102900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEVVLKGLVLLSLSSWIFLIKPSGCGDEVVACTPASPVGIAIFYLSTYLVAFGYGGHQPTIATFGADQFDEQNPKEKSSKAAFFCYFYFALNFGSLFSNTILVYYEDSGEWTLGFLVSLGSAVIALVSFLLGTPGYRYVIPCGNPLPRVAQVFIASAKKWDVIPSNADDLYEVEGPESAIKGSRKILHSAEFEFLDKAATITEDDLMRQNDPWRLCTVTQVEEAKCVLKMLPIWLCTIIYSVVFTQMASLFVEQGNVMSSNIGNFHIPAASMSAFDICSVLICTGIYSQILVPLAGKLRGNPKGLTELQRMGIGLIIGMLAMVAAGATEIERLKHVTPGQKISSLSIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSLLVNMVMGITARGKNPGWIPDDLNAGHMDRFYFLIAVLTAFDFVIYLLCANWYKPINLHGSEKETEMEKQEDDVLGKV >Manes.15G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8085592:8089630:1 gene:Manes.15G102900.v8.1 transcript:Manes.15G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEVVLKEREENFSPTDATAVNTNSDGNRQRKATLKIKNCLTWKTSGGWKAASILLANQVLATLAFFGVGVNLVLFLTRVLGQDNAVAANNVSKWTGTVYLCSLIGAFLSDSYWGRYLTCALFQLIFVLGLVLLSLSSWIFLIKPSGCGDEVVACTPASPVGIAIFYLSTYLVAFGYGGHQPTIATFGADQFDEQNPKEKSSKAAFFCYFYFALNFGSLFSNTILVYYEDSGEWTLGFLVSLGSAVIALVSFLLGTPGYRYVIPCGNPLPRVAQVFIASAKKWDVIPSNADDLYEVEGPESAIKGSRKILHSAEFEFLDKAATITEDDLMRQNDPWRLCTVTQVEEAKCVLKMLPIWLCTIIYSVVFTQMASLFVEQGNVMSSNIGNFHIPAASMSAFDICSVLICTGIYSQILVPLAGKLRGNPKGLTELQRMGIGLIIGMLAMVAAGATEIERLKHVTPGQKISSLSIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSLLVNMVMGITARGKNPGWIPDDLNAGHMDRFYFLIAVLTAFDFVIYLLCANWYKPINLHGSEKETEMEKQEDDVLGKV >Manes.14G061900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5161960:5168335:1 gene:Manes.14G061900.v8.1 transcript:Manes.14G061900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLTSPKIRPFIPYPIQNFLHTCPTSLKFSLNPPSNCRRSYPIAAKSQQTHRSNPLTKSPDDGIPIEDIKIIAKFKSRYNYIRVLEVSRKADHPLAGSRLLLLDAPGNIHSISFRFKLLTDTYFDVFATLPPILPPGPVAVLGFGAGSAARLILELYPDVAVHGWELDPSVIAVGREYFGLEKLEKQHPDRLFVYIGNALLLKSVVKDGFSGIIVDLFSEGSVIPELQDPNTWEELIRCLRKGGRIMVNVGGRCVEAEDKRRDGDVVMEDTLKAMHQVFGDDVFVLSLGNRKDDSSVALTGKLPDLDEWKKVLPKSLRFYVDMWAPFRC >Manes.14G061900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5162004:5162999:1 gene:Manes.14G061900.v8.1 transcript:Manes.14G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLTSPKIRPFIPYPIQNFLHTCPTSLKFSLNPPSNCRRSYPIAAKSQQTHRSNPLTKSPDDGIPIEDIKIIAKFKSRYNYIRVLEVSRKADHPLAGSRLLLLDAPGNIHSISFRFKLLTDTYFDVFATLPPILPPGPVAVLGFGAGSAARLILELYPDVAVHGWELDPSVIAVGREYFGLEKLEKQHPDRLFVYIGNALLLKSVVKDGFSGIIVDLFSEGSVIPELQDPNTWEELIRCLRKGGRIMVNVGGRCVEAEDKRRDGDVVMEDTLKAMHQVFGDDVFVLSLGNRKDDSSVALTGKLPDLDEWKKVLPKSLRFYVDMWAPFRC >Manes.05G131700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19228912:19232289:1 gene:Manes.05G131700.v8.1 transcript:Manes.05G131700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKAQKTKAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFSNKDIVAQIISASIAGDMVLASAYSHELPRYGLEVGLTNYAAAYCTGLLLARRTLKMLEMDEEYEGNVEATGEDFSVEPAESRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDGKQLDAEVHRKYIYGGHVAAYMRTLMEDEPEKYQLHFSEYLKRGIEADGMEEMYKKVHAAIRADPTAKKSEKQPPKEHKRYNLKKLTYEERKAKLIERLQALNSAADDEDDE >Manes.S027216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:445196:446008:-1 gene:Manes.S027216.v8.1 transcript:Manes.S027216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.12G079300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:10023058:10024294:1 gene:Manes.12G079300.v8.1 transcript:Manes.12G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFEDLLPVIAKNLGGEGLIKELCNGFQLLMDKDKGVITPESLRRTSTLLGLPDLRDDEIMSMVKEGDLDGDGALNQMEFCVLMFRLSPDLMEESLLRLQKESTTEVDFDGRD >Manes.02G184500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14768271:14769736:-1 gene:Manes.02G184500.v8.1 transcript:Manes.02G184500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYFACLLLISSTLMGFSFSVIQAQSRPPIVNGLSWTFYQTTCPQLEAIIRSELQQVFATNIGQAAGLLRLQFHDCFVQGCDGSILLERGSERTEIPNRTLRPEAFQILENLRQIVHAQCGLVVSCSDILTIAARDSVVLSGGPDYEVPLGRRDGVTFAPVNQTLIDLIPATANVSTIISQFSRKNLNVTDVVALSGAHTIGISSCSSFTNRLYPTIDPTMDPAFAASLMQICPSLDSTNVAVLDVRSPNTFDNQYYANLFSRQGLLNSDQNIRRDARTLGYVTRFFTNQTFFFRMFVDSMVKMGQVDVLTGTQGEIRANCFRRNSDNLDLLSVAKENLGSSSTMR >Manes.14G003500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1517978:1518304:-1 gene:Manes.14G003500.v8.1 transcript:Manes.14G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTMTASFLAGSSLAKQPITTPRRGLIVAKASRATEEERVSVEMKKKEESSSGRRDLVFSAAAAAAAFSIAKVAMAEGEPKAGTPEAKKKYASICVTMPTARICRN >Manes.09G016738.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3574017:3577132:-1 gene:Manes.09G016738.v8.1 transcript:Manes.09G016738.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALLKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKIGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPNVYSYSVIINGMCKFGKKSVAIRLLKGVADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPSVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISNQFKEALALLKEMVGRNISLSVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVNYSSLMDGYCLGSQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKQIFDKMSHKGLVPDAITYHTLIKGIFQAGRPQNAKELFKDMCFHGQQPNIVTFSIMIDGLCRQGDLDEALTLLKAMEKSQLKPNLVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYYAIMKGLCQQGLIDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDRGFSADDATTELVVHLSRNNDIILRLLKVRNEGSAN >Manes.01G010800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2409841:2412548:-1 gene:Manes.01G010800.v8.1 transcript:Manes.01G010800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILKSTSVLSHSHLYNRLCKPKQPFFTSFSSLAKTSRSPNPAHFHYLINTFNFSETQALTISSRISSSKSIENAESVFHFFKNLGFSNSQIQSAARRFPRIIFSNIDRCLKPKIKVFQDLGLEGYDLGMFISKSSSVLGASLKNKLVPCIEILKKHLLNQKNNKHVVKVLTRCAWVVTKKNPESRLLSGIAYWESCGIVGSQLSMLLVRQPRLLCCPESVLRDLVSRTLNMGFSANSGMLIHGLCAVYSLSDKTIERKYGIFRSFGFSEYQYREIFRKAPYSLLMSDEQLKFRINFFLNTAKLEKETLICNPAILMQSMEERVIPRFKVFEILKSKKLFKKEPSFVRLLFLTEEVFVQRFISSFSDKAEELLLAYKGHTLDSSSKKEKS >Manes.02G173400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13691173:13695019:1 gene:Manes.02G173400.v8.1 transcript:Manes.02G173400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLQQPIGQKRLTNVAVVRLKKHGIRFEIACYKNKVLSWRSGVEKDLDEVLQSHTVYSNVSKGILAKSKDLMLAFKTDDQTKICLEILEKGELQVAGKERESQLSSQFRDIATIVMQKTINSETQRPYTISMIERLMHEIHFAVDPHSSSKKQALEVIRELQKHFPVKRSPMRLRLIIAEPNLFTLLEKLNAWNANIVSKDESGSQISVICELDPGFFRECDVMVRNLQGRLEILAMSVHFEGDTSVDDYDDHEDVQPSLPKESTDSVAQLSEKLQKQAVSTEKNTEEEVKQHKCNTCNVFVGDAKQYRDHFKSEWHRHNLKRKSKQLPPLTKEECLADVDLDDSRADLKDYSF >Manes.16G041700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5830493:5834289:1 gene:Manes.16G041700.v8.1 transcript:Manes.16G041700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDTHENKAIVRSLGHLNRGTSGNSSGDSSNSDNGVVLENQVVLNPGDTVNGREESRDFHVNINGLELAKRMGDKDAGNGKGIGESLDEGTSENSNNLVNEVVLERMIVINSGEIVSISRENNDLPVKIDELGLNGRAIQVGDQEACIRREKGEALDRVEQESHQNSSISVNGVVLETVIIVNSEDNAANRGGNEQLEVKGSELGPSKVMVGNSKTKISKGEKQSCVIDMKCGVGGGSGGGVKDWDGEMVCRICHLSSEGLAETTATTSSMDLIQLGCGCKDDLGIAHVYCAEAWFKLKGNRVCEICGETAKNITGVGDNRFMEQWNQRRSIGSSSTSSERGGGCWRGQPFCNFLMACLVIAFVLPWFFRVNMF >Manes.18G012300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1407585:1422170:-1 gene:Manes.18G012300.v8.1 transcript:Manes.18G012300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFIFEAPSDEEPELSHHEEEEEEEEEDYVDDEQEEEEEEEAASKKKPSDRHTQSPWDFASYSESVAEEHARRSTTSVDYKISRALQHRSVPITAPSADAASASDSEPDKQEDYKSEEDVDGASNADGSKSFFAPAEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVQAIRVLILTPTRELAVQVHSMIEKLSQYTDIRCCLIVGGLSTKVQESALRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIQELVKLCPKRRQTMLFSATMTEEINELIKLSLTKPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLALCSKTFTSKVIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQVQRLDALELFRKQQVDFLIATDVAARGLDIIGVQTVINYACPRDLTSYVHRVGRTARAGREGYAVTFVSDNDRSLLKAIAKRAGSKLKSRIVAEQSIAKWSQIIEQMEDQVAAILQEEREERALRKAEMEATKAENLITHKDEIFARPKRTWFVTEKEKKLVAKAAKASKEKEKSSGNEVMSAQQAEELKLKEKRKREREVFFCYKTFS >Manes.18G012300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1406685:1416124:-1 gene:Manes.18G012300.v8.1 transcript:Manes.18G012300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFIFEAPSDEEPELSHHEEEEEEEEEDYVDDEQEEEEEEEAASKKKPSNRHTQSPWDFASYSESVAEEHARRSTTSVDYKISRALQHRSVPITAPSADAASDSDSEPDKQEDYKSEEDVDGASNADGSKSFFAPAEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVQAIRVLILTPTRELAVQVHSMIEKLSQYTDIRCCLIVGGLSTKVQESALRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIQELVKLCPKRRQTMLFSATMTEEINELIKLSLTKPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLALCSKTFTSKVIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQVQRLDALELFRKQQVDFLIATDVAARGLDIIGVQTVINYACPRDLTSYVHRVGRTARAGREGYAVTFVSDNDRSLLKAIAKRAGSKLKSRIVAEQSIAKWSQIIEQMEDQVAAILQEEREERALRKAEMEATKAENLITHKDEIFARPKRTWFVTEKEKKLVAKAAKASKEKEKSSGNEVMSAQQAEELKLKEKRKREREKILPRKKRRKLQAAREMLEDEDQIEKSEGSGKNKKVKAGMSLVDLGYRRAKAAKAVKRALDSGKIVHKAGKKSKRLPERTQSRTEEMRELFQSDMTEKKRTKSSGGTRSKKSKNSFKSKSRYKRR >Manes.18G012300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1407585:1415920:-1 gene:Manes.18G012300.v8.1 transcript:Manes.18G012300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFIFEAPSDEEPELSHHEEEEEEEEEDYVDDEQEEEEEEEAASKKKPSNRHTQSPWDFASYSESVAEEHARRSTTSVDYKISRALQHRSVPITAPSADAASDSDSEPDKQEDYKSEEDVDGASNADGSKSFFAPAEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVQAIRVLILTPTRELAVQVHSMIEKLSQYTDIRCCLIVGGLSTKVQESALRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIQELVKLCPKRRQTMLFSATMTEEINELIKLSLTKPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLALCSKTFTSKVIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQVQRLDALELFRKQQVDFLIATDVAARGLDIIGVQTVINYACPRDLTSYVHRVGRTARAGREGYAVTFVSDNDRSLLKAIAKRAGSKLKSRIVAEQSIAKWSQIIEQMEDQVAAILQEEREERALRKAEMEATKAENLITHKDEIFARPKRTWFVTEKEKKLVAKAAKASKEKEKSSGNEVMSAQQAEELKLKEKRKREREVFFCYKTFS >Manes.18G012300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1406685:1416124:-1 gene:Manes.18G012300.v8.1 transcript:Manes.18G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFIFEAPSDEEPELSHHEEEEEEEEEDYVDDEQEEEEEEEAASKKKPSNRHTQSPWDFASYSESVAEEHARRSTTSVDYKISRALQHRSVPITAPSADAASDSDSEPDKQEDYKSEEDVDGASNADGSKSFFAPAEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVQAIRVLILTPTRELAVQVHSMIEKLSQYTDIRCCLIVGGLSTKVQESALRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIQELVKLCPKRRQTMLFSATMTEEINELIKLSLTKPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLALCSKTFTSKVIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQVQRLDALELFRKQQVDFLIATDVAARGLDIIGVQTVINYACPRDLTSYVHRVGRTARAGREGYAVTFVSDNDRSLLKAIAKRAGSKLKSRIVAEQSIAKWSQIIEQMEDQVAAILQEEREERALRKAEMEATKAENLITHKDEIFARPKRTWFVTEKEKKLVAKAAKVASKEKEKSSGNEVMSAQQAEELKLKEKRKREREKILPRKKRRKLQAAREMLEDEDQIEKSEGSGKNKKVKAGMSLVDLGYRRAKAAKAVKRALDSGKIVHKAGKKSKRLPERTQSRTEEMRELFQSDMTEKKRTKSSGGTRSKKSKNSFKSKSRYKRR >Manes.18G012300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1407585:1422096:-1 gene:Manes.18G012300.v8.1 transcript:Manes.18G012300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFIFEAPSDEEPELSHHEEEEEEEEEDYVDDEQEEEEEEEAASKKKPSDRHTQSPWDFASYSESVAEEHARRSTTSVDYKISRALQHRSVPITAPSADAASASDSEPDKQEDYKSEEDVDGASNADGSKSFFAPAEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRVQAIRVLILTPTRELAVQVHSMIEKLSQYTDIRCCLIVGGLSTKVQESALRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIQELVKLCPKRRQTMLFSATMTEEINELIKLSLTKPLRLSADPSAKRPATLTEEVVRIRRMREVNQEAVLLALCSKTFTSKVIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQVQRLDALELFRKQQVDFLIATDVAARGLDIIGVQTVINYACPRDLTSYVHRVGRTARAGREGYAVTFVSDNDRSLLKAIAKRAGSKLKSRIVAEQSIAKWSQIIEQMEDQVAAILQEEREERALRKAEMEATKAENLITHKDEIFARPKRTWFVTEKEKKLVAKAAKASKEKEKSSGNEVMSAQQAEELKLKEKRKREREVFFCYKTFS >Manes.10G146100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31326970:31328914:1 gene:Manes.10G146100.v8.1 transcript:Manes.10G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAGKGRKEEVITREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKQVWSRGIRSVPRRVRVRIARKRNDEEDAKEEFYSLVTVAEIPPEGLKGLGTKVIDEED >Manes.15G083500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6452753:6455486:1 gene:Manes.15G083500.v8.1 transcript:Manes.15G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAIKMGEACNLKERDRRDYKIGWILSNLTKFAVDSNVNKALKGVTCHQKLHRFVQESLQNPPTSLLLNNCKKPEVEKLAEEMQMKVEDMLEDMVKLRQQNETSAKYMEVTEPLKKGPNEDSRKFSVLKPEKKRIFIRSRL >Manes.02G217100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31316329:31317311:1 gene:Manes.02G217100.v8.1 transcript:Manes.02G217100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLSGYVEEITHPTTAGEILKANPNHVLTKPSSQGVVRKILILSPESELKRGSIYFLIPASSLPSEKKKIAGGNKKNSSSRKTKKCNTEYLPDCDRYLTDIISSNNKSSRRDRRTCRVGAWRPHLESISED >Manes.17G091100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29918477:29939435:1 gene:Manes.17G091100.v8.1 transcript:Manes.17G091100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVIGESSSWGGIAKFLVEVCLGAVGIGLAFGIASVLWLGYIFNDTVIEIALTLAVSYIAYFTAQEGAGVSGVLAVMTLGMFYSAAARAAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSENIFHNHGNSWGYLFLLYIYVQVSRLVVVGVLYPFLQYFGYGLDWKEATILVWSGLRGAVALSLSLSVKFVFFTGGIVFLTLIVNGSATQFILCLLDMDKLSAAKRRILEYTKYEMLNKALAAFGDLGDDEELGPADWPNVKRYIASLDNLEGCVDPKNATESENNLDPTNLEDIRVRLLNGVQSAYWRMLDEGRITQITANILMQSIDEAIDLAIGPLCDWKGLKANVHFPSYYRSCPRKLITYFIIGRLESACYICAAFLRAHRIARWQLHDFLGDNELASKVINESEAEGKEAREFLEDVRGTFPEVLRVLKTRQVTHSVLRHLINYVQSLGMVGLLEEKEMLHLHDAVQADLKRLLRDPPLVNIPKITDLISNHPLLGALPPTVCEPLVGSTKRTMKPRGSRLYKQGSKSTGVWLISNGVVKWSNNTRNRHSLHPTFTHGSTLGIYEVLARKPYICDMITDSVVLCFFVKSEKILSAAESDANVEDFMWKESAIILAKILLPQVFEEMSMQDLRTLVSERSMIYTHLGGEILEIPNNSIGFLLEGRVRTHGFQEELITSPAALLPPRRNQSFGNANGIHSIQNEEIDGAETASLSHQRSWYQVDEKVRMITFDMAAFEADRALLRRSSSLVPHTGDHPHKPLSREHGLMSWPENFYKSKLHQRNLISGVVSSLPARAMQLSIFGSMVDWEWRSQRSSSSLVNRSHSMPFSRTASLQGRPVVSVRSEGSTTLSKNLQVRRFSRYVLAPPPKRTDANESHALDDSSDESGDEDNHVIRIDSPSRLSFHLAP >Manes.17G091100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29918477:29939435:1 gene:Manes.17G091100.v8.1 transcript:Manes.17G091100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIEGQFPYRILAQKTSSSSSENANPADAVIFFGLCLVLGIASRHLLRGTRVPYTVALLVIGIALGSLEYGTSHRLGKIGDSIRIWAHIDPDLLLAVFLPALLFESSFSMEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKDLGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVIGESSSWGGIAKFLVEVCLGAVGIGLAFGIASVLWLGYIFNDTVIEIALTLAVSYIAYFTAQEGAGVSGVLAVMTLGMFYSAAARAAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSENIFHNHGNSWGYLFLLYIYVQVSRLVVVGVLYPFLQYFGYGLDWKEATILVWSGLRGAVALSLSLSVKFVFFTGGIVFLTLIVNGSATQFILCLLDMDKLSAAKRRILEYTKYEMLNKALAAFGDLGDDEELGPADWPNVKRYIASLDNLEGCVDPKNATESENNLDPTNLEDIRVRLLNGVQSAYWRMLDEGRITQITANILMQSIDEAIDLAIGPLCDWKGLKANVHFPSYYRSCPRKLITYFIIGRLESACYICAAFLRAHRIARWQLHDFLGDNELASKVINESEAEGKEAREFLEDVRGTFPEVLRVLKTRQVTHSVLRHLINYVQSLGMVGLLEEKEMLHLHDAVQADLKRLLRDPPLVNIPKITDLISNHPLLGALPPTVCEPLVGSTKRTMKPRGSRLYKQGSKSTGVWLISNGVVKWSNNTRNRHSLHPTFTHGSTLGIYEVLARKPYICDMITDSVVLCFFVKSEKILSAAESDANVEDFMWKESAIILAKILLPQVFEEMSMQDLRTLVSERSMIYTHLGGEILEIPNNSIGFLLEGRVRTHGFQEELITSPAALLPPRRNQSFGNANGIHSIQNEEIDGAETASLSHQRSWYQVDEKVRMITFDMAAFEADRALLRRSSSLVPHTGDHPHKPLSREHGLMSWPENFYKSKLHQRNLISGVVSSLPARAMQLSIFGSMVDWEWRSQRSSSSLVNRSHSMPFSRTASLQGRPVVSVRSEGSTTLSKNLQVRRFSRYVLAPPPKRTDANESHALDDSSDESGDEDNHVIRIDSPSRLSFHLAP >Manes.08G032100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3070730:3072731:1 gene:Manes.08G032100.v8.1 transcript:Manes.08G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPASWLFPLLFLCSVFPFAFPATITIPLSPSTQHFPSSDPWIILNHLASLSLSRAHHIKSPNTNFSSIIKTPLFSRSYGGYSMSLSFGTPPQTIQFIMDTGSSLVWFPCTSRYLCAGCNFPNTDLTKIPKFMPKLSSSSKLVGCSNPKCAWIFGSNVHSKCQSCDPSNKNCTESCPPYIIQYGLGSTAGRLLLESLDFPNKTIADFLVGCSIISTRQPEGIAGFGRSSESLPLQLGLKKFSYCLLSRRFDDTQVSSNLILDMGPDTGEANTPGLSYTPFAKNTARSNAAFQEYYYVTLRKIIVGDHHVKIPYNFLVPQSDGNGGTIVDSGSTFTFMEGPVFELVAKAFEKQMANYTIASGVQNQTGLRPCFDISDGKSVIVPELIFKFKGGAKMKLPLANYFAFVDMGVICLTIVSDNAGAPGGPNGGEGPAIILGNFQQQNFYMEYDLENERFGFKQQSCA >Manes.10G141500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30910168:30913551:1 gene:Manes.10G141500.v8.1 transcript:Manes.10G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLASIASEEVSLVHGFKKDLRKLQTTLSTIKAILVDAEEKQEESLAVKNWVRRLREVVYDADDLFDDVATEGLRRKVEGEGRMVRKVCDFFSSSNQIAFRFKMGHRIKDIRERLDEVAKEMSDFGFIIRKEVGVTMGIKNSWRETDSFVLKSEIIGRDDDKERIIESLMCPVNQTNISVVVIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVETLVKLILKSASNNEVPNLSLEQLQIRLRQCLEGKKYLLVLDDVWNVNNRIWSHLRKYLMVGAIGSRILVTSRSKRVALAMGVDSPYALQGLTEDQSWELFEKLTFREGTGRVNSNLIEIGKEMAKKCKGVPLAIKALGGIMQLRSSESEWLSVLENELWKVFESDGDISQVLKLSYDVLPYHLKQCFAYCAMFPKDYHFGKDRLIQLWMAQGYVQSQGQSENENAEEIGEGYFNELLFRSFFQKDEYCYKMHDLIHDLAQSIAGDSCFAVGDNTKHIPDRVQHVFSENLSFEECFRQLTNKGLRTLYYPYFGDGLSLNLDNIFLNCRSIRALRFESDINGLPDSIGKLKHLRYLELFRNTKISSLPNCICSLYNLQTLILWECRVLKELPTDMRKLICLGQLINKGCSSLEFMPLGLGRLTNLQTLSTFVVGSDQGRRCSSLNELNSLNRLRGEISIKGLENVKNAALESSRVNLKEKKYLLCLRLRWVGKGDSNSGNSELLLDNLHPHPNLKELNVVCYKGVRFSNWLSSIRNLVNITLYKCPKCEHLPPLDNLPYLEILNLSYFDSLEYISDEDNLFSSLSASTITFFPSLKILKLEVCPNLKGWWRTCMEAKMVPQFPCLSKLTIANCRNLTVMPTFPSLDMELHLAYAHIRPLHYTLQMSATASGVPSTSSLVTSPFSKLKTLWLQGFENLASLPGEWMQNLSFLEELFLSNCMEISDEDERGIFKWRYLVSLRDLSLYNLSNLVSLPRELQYVTTLQRLTIYDCSNLRALPDWIGNLTALENLHIDGCPKLESVPRGMHQITTLQQFSVRRCAHLSERCGHDTAADWPNISHIPNIRINGNDIQKEGRYLL >Manes.17G012200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:4923124:4924002:-1 gene:Manes.17G012200.v8.1 transcript:Manes.17G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTTSRYVHQLHHRPDLHLQHQPEPEDHDSNRHGGGGVAAHFQSDHQHHDDASHQGLDLVAAAANTGPGDLVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGNGCDVFECVANYARRRQRGICILSGAGTVTNVSIRQPAAAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLEEDEQLQMQSGGGSSGGGVGNNPFPDGAATSGGLPFFNLPLNMPPNVQLPVDGWAGNSGNRAPF >Manes.01G047820.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12978140:12995366:-1 gene:Manes.01G047820.v8.1 transcript:Manes.01G047820.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLGSPWRSRLSYPPHLPKLSESSPKSNFALLSLLLAASAGFKNSIHSSSRAFTARASLSEGNSVPELLLSDDEELLSRVSAAKDANEALEIIADISNTGSGVVGISDCCRIITAALERNNAELALSVFYAMRSSLDQGVSENGPVIERWKWSRPDVSVYIALVQGLASSLKVSDALKTIDYICRVGVSPGEEVPFGKVVRCPTCMVAVAVAQPQHGIQIASCAECRYQYELVSGDIITINSEEISKDLPAWEKGLRFLQLMNRSIPAAVHSIVVQTPSGMARTHRFATETVDLPAQEGERVTIASAPSDVYRSVGPFKFSPKAPNTYNGEPLCLTNHRNGRESLLLRAPVKDGKISLLNPTVLIPLLAVLATGDAASGIIDPSLPQFLSVAAIASLGVGATLNTLILPQLNQLPQRSVDAVAIKQQLLSQYDVLQSRIKDLKEAAEKEVWLLARMCQLENKILAVGEPAYRARRTRVKKVREGLESSLKGRIELIDSYARISSMIEIEVEMDSDVLAAESVSDAESIGKQIEQIMELENLEERWRLQAEANDEAERLLSSQQIPTERV >Manes.05G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3548469:3552151:1 gene:Manes.05G043600.v8.1 transcript:Manes.05G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSQEQEADNNKRQWSLQDFEIGKPLGKGKFGRVYLAREVKSKYIVALKVIFKEQIEKYRIHHQLKREMEIQTSLRHPNILRLYGWFHDDERIFLILEYAFGGELYKELKKKGHLSEKQAATYIASLTNALAYCHKKDVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVESKAHDYAVDNWTLGILCYEFLYGAPPFEAESQKDTFKRIVKVDLTFPSKPPVSADAKDLISRLLVKDSSKRLSLQKITEHPWIIKNADPMGISKKRLWGC >Manes.05G043600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3548569:3552404:1 gene:Manes.05G043600.v8.1 transcript:Manes.05G043600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSQEQEADNNKRQWSLQDFEIGKPLGKGKFGRVYLAREVKSKYIVALKVIFKEQIEKYRIHHQLKREMEIQTSLRHPNILRLYGWFHDDERIFLILEYAFGGELYKELKKKGHLSEKQAATYIASLTNALAYCHKKDVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVESKAHDYAVDNWTLGILCYEFLYGAPPFEAESQKDTFKRIVKVDLTFPSKPPVSADAKDLISRLLVKDSSKRLSLQKITEHPWIIKNADPMGISKSTKQS >Manes.05G043600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3548299:3552151:1 gene:Manes.05G043600.v8.1 transcript:Manes.05G043600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSQEQEADNNKRQWSLQDFEIGKPLGKGKFGRVYLAREVKSKYIVALKVIFKEQIEKYRIHHQLKREMEIQTSLRHPNILRLYGWFHDDERIFLILEYAFGGELYKELKKKGHLSEKQAATYIASLTNALAYCHKKDVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVESKAHDYAVDNWTLGILCYEFLYGAPPFEAESQKDTFKRIVKVDLTFPSKPPVSADAKDLISRLLVKDSSKRLSLQKITEHPWIIKNADPMGISK >Manes.03G006500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:573308:575595:-1 gene:Manes.03G006500.v8.1 transcript:Manes.03G006500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYFIFPISFSLRFFLFANFISLCFFFSFAILQERNLAERILLHHSHTIEVSSLLPSHSCKPSTVKVEKKTSLRVVHKHGPCSQLNQGKAPNHTEILLQDESRVNFIQSRLSSSRDVKETDAAATLPVKDGKSIGTGNYVVTVGLGTPRKDLSLIFDTGSDLNWTQCEPCVRSCYDQIEPIFDPSRSTSYTNISCGSSLCDSLASATGNSLRCASSTCVYGIQYGDSSFSIGFFGKEKLTLSPTDVFDNFYFGCGQNNQGLFRGSAGLLGLGRDPLSLVSQTAEKYNKLFSYCLPSSTSSTGFLTFGGSTSKSAKFTPLASFPTSSSFYALDFIDIKVGGRSLSISQSVFSTAGAIIDSGTVITRLPPAAYSALRSTFRQLMNKYPRAPALSILDTCYDFSNYNTITVPKIALFFNGGVSVDVDVRGILYANGASQVCLAFAGNSDATDVLIYGNSQQKTLEVVYDAAAGKIGFAPASCS >Manes.03G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:573308:575595:-1 gene:Manes.03G006500.v8.1 transcript:Manes.03G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYFIFPISFSLRFFLFANFISLCFFFSFAILQERNLAERILLHHSHTIEVSSLLPSHSCKPSTVKAVEKKTSLRVVHKHGPCSQLNQGKAPNHTEILLQDESRVNFIQSRLSSSRDVKETDAAATLPVKDGKSIGTGNYVVTVGLGTPRKDLSLIFDTGSDLNWTQCEPCVRSCYDQIEPIFDPSRSTSYTNISCGSSLCDSLASATGNSLRCASSTCVYGIQYGDSSFSIGFFGKEKLTLSPTDVFDNFYFGCGQNNQGLFRGSAGLLGLGRDPLSLVSQTAEKYNKLFSYCLPSSTSSTGFLTFGGSTSKSAKFTPLASFPTSSSFYALDFIDIKVGGRSLSISQSVFSTAGAIIDSGTVITRLPPAAYSALRSTFRQLMNKYPRAPALSILDTCYDFSNYNTITVPKIALFFNGGVSVDVDVRGILYANGASQVCLAFAGNSDATDVLIYGNSQQKTLEVVYDAAAGKIGFAPASCS >Manes.12G143800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34999672:35011612:-1 gene:Manes.12G143800.v8.1 transcript:Manes.12G143800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKLRHRSMFVIIGDKSRDQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHDRFRTESHSEAAGRFNERFLLSLASCKACVVMDDELNVLPISSHIRSITPVPVKEDAEGLSEAERDLKNLKEQLHDDFPVGPLIKKCCTLDQEHIDYDIVKSANPEFKKATVRINIYKQHRQTIQYIQPHEHQKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEDQSHMTAKNVEGSISGRLFKKIELSESIRYASGDPIESWLNALLCLDVANSIPSISRLPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNKLPDILCVIQVSLEGQISRKSAIKSLSDGHQPFGDQIPWKFCEQFRDTVFPSLSGARVVRIATHPSAMRLGYASAAMELLTRYYEGQLTPISEVDFENNEDSPQIRVTEAAEQVSLLEENIKPRTDLPHLLVHLRERRAEKLHYLGVSFGLTLDLFRFWRKHKFAPFYIGQIPSTVTGEHTCMVLKPLNSEEIEVNGSDDWGFFGPFYQDFKLRFARLLESSFRTMEYKLAMSVLDPKINYADTDAGTALSTPEGFWRSLKFDLSPYDMERLKVYTENLADFHLILDIVPILARLYFQGKLPITMSYVQASVLLCIGLQHQNITYMEDQMKLERTQILSLFIKVMKKFHKYLYGIATNEIESTLPRLKERTLEPHSISVEDDLNEAAKQVEDGMKTKMEGMLNPELLQQYAIVDREGDFENALRSGGKITSGGLISVKSSKTKVEKHGKHDGHKSGKKRKGDNSSKSNKKSKS >Manes.12G143800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34999672:35011612:-1 gene:Manes.12G143800.v8.1 transcript:Manes.12G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKLRHRSMFVIIGDKSRDQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQIKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHDRFRTESHSEAAGRFNERFLLSLASCKACVVMDDELNVLPISSHIRSITPVPVKEDAEGLSEAERDLKNLKEQLHDDFPVGPLIKKCCTLDQGKAVITFLDAILDKTLRSTLALLAARGRGKSAALGLAVSGAIAAGYSNIFVTAPSPENLKTLFEFICKGFDALEYKEHIDYDIVKSANPEFKKATVRINIYKQHRQTIQYIQPHEHQKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEDQSHMTAKNVEGSISGRLFKKIELSESIRYASGDPIESWLNALLCLDVANSIPSISRLPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNKLPDILCVIQVSLEGQISRKSAIKSLSDGHQPFGDQIPWKFCEQFRDTVFPSLSGARVVRIATHPSAMRLGYASAAMELLTRYYEGQLTPISEVDFENNEDSPQIRVTEAAEQVSLLEENIKPRTDLPHLLVHLRERRAEKLHYLGVSFGLTLDLFRFWRKHKFAPFYIGQIPSTVTGEHTCMVLKPLNSEEIEVNGSDDWGFFGPFYQDFKLRFARLLESSFRTMEYKLAMSVLDPKINYADTDAGTALSTPEGFWRSLKFDLSPYDMERLKVYTENLADFHLILDIVPILARLYFQGKLPITMSYVQASVLLCIGLQHQNITYMEDQMKLERTQILSLFIKVMKKFHKYLYGIATNEIESTLPRLKERTLEPHSISVEDDLNEAAKQVEDGMKTKMEGMLNPELLQQYAIVDREGDFENALRSGGKITSGGLISVKSSKTKVEKHGKHDGHKSGKKRKGDNSSKSNKKSKS >Manes.09G165901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35896751:35897605:1 gene:Manes.09G165901.v8.1 transcript:Manes.09G165901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLVVRPFVLALLHAIFLFSSSVLSDGDVAGMVLAGLNSHRTFLGLPAFTDNENADCLAGKIALNVLMDQQCDTASDNSVELDKYPELLSDCGIYINQTKDGVILPVCVATLVPTLVLTNYTYTHFANYINDWRFTGAGIGSGGDWMVVVLSTNTPEGSFGLFTKGSIL >Manes.02G189600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15141323:15144489:1 gene:Manes.02G189600.v8.1 transcript:Manes.02G189600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGSLDTSANSHPLGFSFSTHSFMTTSFSDLLASGADDEPVNTKTQNHRRGLSDRIAERTGSGVPKFKSIPPPSLPLSPPAVSPSSYFAIPPGLSPTELLDSPVLLNTSNILPSPTTGTFPALPAIWKTNSDRNQQNVKQEDPNYYDFSFQPQTRPSTNSSTMFQSSNTTIQSAQQQGWSFQESVKQDDLAMGKNVRSFSPEIAAIQTLREQQQNQSVRDQKRSEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCLTKKKVERSLDGQITEIVYKGTHNHPKPQSTRRSSSSFSSASNQAMIASNLASSEMQAQSLITHGSRQMDSVPTPENSSISMEDDDFDSQKSRSRSDELDEDEPVAKRWKAEGENEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCPVRKHVERASHDLRAVITTYEGKHNHDIPAARGSNSVNRPLPENYNGRSNSNNNAAAMATRPSSVNHPQAPFSLEMFQNPENFAFSGFGDPVGSYTNQSLSEHVFSRAKEEPRDDIFLESLLC >Manes.12G047700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4275654:4277622:1 gene:Manes.12G047700.v8.1 transcript:Manes.12G047700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSLTSTTSKKTHFSISSLLLSDFFLFCSFIFSHPLYFFYLIFLFPYLLKLLSFLSPLFVTTFLLLLVLLTISPNLLLENLSHELSESKVSFVLGTYQAVVERLQPKADEGSNDEFHQFEELEAYKIVFDTSDFDIGENPVGVSELEAKDIGLESNDTEVHNSSSHQEPVAGVSEVLKVDFDGSSALITSQLIAEGNKIEVVSHQNQKFEEFAIQNGDKEVKPQSENSNKVEEQKEKTTEIDLPRMNSQKLEANAWSKADDYQSMGSNLGSFGSMRKEKEWRRTLACKLFEERHNVDGSEGMDLLWETYETDSMKMLAKNKTKKGNKGSIEYYDDEDEEEEENEGQLCCLQALKFSAGKMNLGIGRPNLVKISKAIKGIGWLHHVTKKKSYR >Manes.18G001400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:602000:607385:-1 gene:Manes.18G001400.v8.1 transcript:Manes.18G001400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSPVFGVVDLPNNIIMEDVKHKSRKEDSKRKEEDSKRSHHDRERERDKERNGERHRDREKRDRESRRSEREKSTDSDNKFERERDKDKRSRDNERERPKHRKRDRDREDRDREREEKRDRTREKERERERERDKDRDKRDRDREERERERDRRERDREEVEREKEIDREKERERRERERERRTREKERRREFISEDVSDDDSRERDRKRRRDDDDYRDRAHEQSTSGRSKRHREDSEGSPRKRSGEDDSYRKEKRTREEELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESEREKHGEVANMDEPKTGKTWTLEGESDDEEAPPTGKLEMDKELDENSNPDKEVGDASVVDFKSGTSVSENGVDGVVGDEEIDPLDAFMNSMVLPEVEKLNNAVSTQTFHDNKVELKKKDKKENGNNGEQLKKGFSKSLGRIIPGENSDSDYGDLENHEHPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKKISKMTPEEVAAYRKQLELKIHGKDVPKPVKTWHQTGLANKILEAIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLAIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPGRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESERFLRLLELLGEWYEKGKILIFVQSQEKCDALFGDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPEDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKTGGDISRQAAFAQQLASLAAASKGSSFSTPTPISAAQLLPAAGLPVSLPGVMGLTIPGAATVLPGAGVPVVNNDNTVKAIAAALNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGKYFPPGRILGPGERKLYLFFEGPSETSVKKAKTELKRVLEDITNQALSLPSGAQPGRYLVI >Manes.18G001400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:602005:607385:-1 gene:Manes.18G001400.v8.1 transcript:Manes.18G001400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSPVFGVVDLPNNIIMEDVKHKSRKEDSKRKEEDSKRSHHDRERERDKERNGERHRDREKRDRESRRSEREKSTDSDNKFERERDKDKRSRDNERERPKHRKRDRDREDRDREREEKRDRTREKERERERERDKDRDKRDRDREERERERDRRERDREEVEREKEIDREKERERRERERERRTREKERRREFISEDVSDDDSRERDRKRRRDDDDYRDRAHEQSTSGRSKRHREDSEGSPRKRSGEDDSYRKEKRTREEELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESEREKHGEVANMDEPKTGKTWTLEGESDDEEAPPTGKLEMDKELDENSNPDKEVGDASVVDFKSGTSVSENGVDGVVGDEEIDPLDAFMNSMVLPEVEKLNNAVSTQTFHDNKVELKKKDKKENGNNGEQLKKGFSKSLGRIIPGENSDSDYGDLENHEHPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKKISKMTPEEVAAYRKQLELKIHGKDVPKPVKTWHQTGLANKILEAIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLAIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPGRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESERFLRLLELLGEWYEKGKILIFVQSQEKCDALFGDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPEDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKTGGDISRQAAFAQQLASLAAASKGSSFSTPTPISAAQLLPAAGLPVSLPGVMGLTIPGAATVLPGAGVPVVNNDNTVKAIAAALNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGKYFPPGRILGPGERKLYLFFEGPSETSVKKAKTELKRVLEDITNQALSLPSGAQPGRYLVI >Manes.18G001400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:602005:607385:-1 gene:Manes.18G001400.v8.1 transcript:Manes.18G001400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSPVFGVVDLPNNIIMEDVKHKSRKEDSKRKEEDSKRSHHDRERERDKERNGERHRDREKRDRESRRSEREKSTDSDNKFERERDKDKRSRDNERERPKHRKRDRDREDRDREREEKRDRTREKERERERERDKDRDKRDRDREERERERDRRERDREEVEREKEIDREKERERRERERERRTREKERRREFISEDVSDDDSRERDRKRRRDDDDYRDRAHEQSTSGRSKRHREDSEGSPRKRSGEDDSYRKEKRTREEELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESEREKHGEVANMDEPKTGKTWTLEGESDDEEAPPTGKLEMDKELDENSNPDKEVGDASVVDFKSGTSVSENGVDGVVGDEEIDPLDAFMNSMVLPEVEKLNNAVSTQTFHDNKVELKKKDKKENGNNGEQLKKGFSKSLGRIIPGENSDSDYGDLENHEHPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKKISKMTPEEVAAYRKQLELKIHGKDVPKPVKTWHQTGLANKILEAIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLAIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPGRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESERFLRLLELLGEWYEKGKILIFVQSQEKCDALFGDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPEDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKTGGDISRQAAFAQQLASLAAASKGSSFSTPTPISAAQLLPAAGLPVSLPGVMGLTIPGAATVLPGAGVPVVNNDNTVKAIAAALNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGKYFPPGRILGPGERKLYLFFEGPSETSVKKAKTELKRVLEDITNQALSLPSGAQPGRYLVI >Manes.18G001400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:602000:607385:-1 gene:Manes.18G001400.v8.1 transcript:Manes.18G001400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSPVFGVVDLPNNIIMEDVKHKSRKEDSKRKEEDSKRSHHDRERERDKERNGERHRDREKRDRESRRSEREKSTDSDNKFERERDKDKRSRDNERERPKHRKRDRDREDRDREREEKRDRTREKERERERERDKDRDKRDRDREERERERDRRERDREEVEREKEIDREKERERRERERERRTREKERRREFISEDVSDDDSRERDRKRRRDDDDYRDRAHEQSTSGRSKRHREDSEGSPRKRSGEDDSYRKEKRTREEELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESEREKHGEVANMDEPKTGKTWTLEGESDDEEAPPTGKLEMDKELDENSNPDKEVGDASVVDFKSGTSVSENGVDGVVGDEEIDPLDAFMNSMVLPEVEKLNNAVSTQTFHDNKVELKKKDKKENGNNGEQLKKGFSKSLGRIIPGENSDSDYGDLENHEHPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKKISKMTPEEVAAYRKQLELKIHGKDVPKPVKTWHQTGLANKILEAIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLAIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPGRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESERFLRLLELLGEWYEKGKILIFVQSQEKCDALFGDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPEDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKTGGDISRQAAFAQQLASLAAASKGSSFSTPTPISAAQLLPAAGLPVSLPGVMGLTIPGAATVLPGAGVPVVNNDNTVKAIAAALNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGKYFPPGRILGPGERKLYLFFEGPSETSVKKAKTELKRVLEDITNQALSLPSGAQPGRYLVI >Manes.18G001400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:602000:607385:-1 gene:Manes.18G001400.v8.1 transcript:Manes.18G001400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKSPVFGVVDLPNNIIMEDVKHKSRKEDSKRKEEDSKRSHHDRERERDKERNGERHRDREKRDRESRRSEREKSTDSDNKFERERDKDKRSRDNERERPKHRKRDRDREDRDREREEKRDRTREKERERERERDKDRDKRDRDREERERERDRRERDREEVEREKEIDREKERERRERERERRTREKERRREFISEDVSDDDSRERDRKRRRDDDDYRDRAHEQSTSGRSKRHREDSEGSPRKRSGEDDSYRKEKRTREEELEDEQKRLDEEMEKRRRRVQEWQELRRKKEESEREKHGEVANMDEPKTGKTWTLEGESDDEEAPPTGKLEMDKELDENSNPDKEVGDASVVDFKSGTSVSENGVDGVVGDEEIDPLDAFMNSMVLPEVEKLNNAVSTQTFHDNKVELKKKDKKENGNNGEQLKKGFSKSLGRIIPGENSDSDYGDLENHEHPLDDEDDDEFMKRVKKTKAEKLSVVDHSKIDYKPFRKNFYIEVKKISKMTPEEVAAYRKQLELKIHGKDVPKPVKTWHQTGLANKILEAIKKLNYEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGDGPIGLIMAPTRELVQQIHSDIKKFAKVLAIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPGRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESERFLRLLELLGEWYEKGKILIFVQSQEKCDALFGDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEEDARYAPDLVKALELSEQVVPEDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRIAAKKAQAKEYGFEEDKSDSEDEDEGVRKTGGDISRQAAFAQQLASLAAASKGSSFSTPTPISAAQLLPAAGLPVSLPGVMGLTIPGAATVLPGAGVPVVNNDNTVKAIAAALNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGKYFPPGRILGPGERKLYLFFEGPSETSVKKAKTELKRVLEDITNQALSLPSGAQPGRYLVI >Manes.12G157700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36552661:36554282:-1 gene:Manes.12G157700.v8.1 transcript:Manes.12G157700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIARDDELAGLHVFDSSLLQKQPNLPTQYIWPNGDLVPNQDELNETLIDLNGFFKGDKMETAHAAQLLRAACLNHGFFQVTNHGVDPSLIRAAHQEIDTIFNLPLHKKLSLRRQSGGLYGYSGAHADRFSSKLPWKETFTFGYHENDSHPIVVDYFKNFLGEDFQPTGWVYQRYCEEMRRLSLVILELLEVSLGVERFHYRKFFEGGSSIMRCNLYPPCNSPGLTLGTGPHCDPTSLTILHQDEVGGLQVFADNKWQAIRPRPDAFVINIGDTFMALSNGKYKSCMHRAVVNRERERRSLVFFVCPKEDKVVRPPQDLVRREGTRKYPDFTWSDLLDFTQNHYRADVATLQSFFQWLLSSKSF >Manes.05G189900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31256294:31261426:-1 gene:Manes.05G189900.v8.1 transcript:Manes.05G189900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKASKPEDEEASAAKGEKLRALQSQLLSNHRHKIYTKEAVELSTKLLEINPECYTAWNYRKLAVEHNLTQSDSDPNSVKSILEEELRMVEIALRQNFKSYSAWHHRKWVLSKGHSSIEKELKLLEKFQSADPRNFHAWSYRRFVAALMNRSEEDELEYTQSLIDKNISNYSAWHNRSFLLSNLMKKNVEGFTKKDEVLIKEYELVREALFTDEDDQSGWFYHLWLLEQTVKAKCPVLVATWPVHGSDLILLRDRYLDASPSSPFSAFQFDSGTFPLILYFSQAVEGVNSSTVNVDFGSNLNQDLVWKPLSTINSHAAQVWITQLSLPNADLHSLEAYPVEISLGHCQGIISSSGIHYSQSSHFSFTVRVQPVKKESTEVSGVEKMSWTDENFYLCEPYSGESNLVAWFQQVGLGNEHELEANAWQAKIIEEEMEHFRLLSDCKIGKLTLARLLTAHDSLMSSGKLVHSEEILALYSDLMKSDPSHYWYYKDQHSMVLLQQVMSSREYLSHCFHYRDLTSSIRGYPICLRLNKLSLSRIGSVEKLLWVQMLDLSHNELQSIEGLDAMQLLTHLNLSKNKLSSFTALEPLRQLKSLKVLDISYNEIGAHSIDTTRYLCSSPLSHSVGNEWEGDKILIDGVSLTNYWEAFFVLKGLKLTQLDVVGNAIADENFTLFLVKVLPTLKWLDGVQLN >Manes.13G005100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1064053:1069173:-1 gene:Manes.13G005100.v8.1 transcript:Manes.13G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKERPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIAKSIESSDLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGDHHPYSIIHCDPKREVILPSVIYIQKILRRKPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVGKGLVLSFITDFFKEYLVDNSLDDLISILKRGKVEDNLLDFFPSAKRSAECFSEHFTKEGLVPLVEYNEKKTFEVTLKEMKSALTTQIAEEADISEVIDAVKQRIRDAKLPDIEVVRVLWDVLMDAVQWSGKNQQQNANSALRQVKTWAELLNTFCTNGKLELELMYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >Manes.03G193200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31136093:31142234:-1 gene:Manes.03G193200.v8.1 transcript:Manes.03G193200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYQESFEEYITSTVLPSLREKHDEFMLRELVKRWTNHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMEYYENDFEAAMLKDTAAYYSRKASSWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSIFKQHVTAEGTALVKQAEDAASNKKADKKDIVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNSHINPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQTKTKHRKLTWIYSLGTCNLIGKFEPKTVELIVTTYQASALLLFNTSDRLSYSEIMSQLNLTDDDVVRLLHSLSCAKYKILSKEPNTKSISSTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNLFRYLA >Manes.18G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32158186:32163492:-1 gene:Manes.18G145400.v8.1 transcript:Manes.18G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSVAVVLISLLSVVSTVSGIGANWGTQATHPLPPDTVVRLLRDNGIQKVKLFDADYDTLRALGGSNIEVMVGIPNDMLLTLATSMKAAEKWVAKNVSTHISTNNVNIRYVAVGNEPFLQTYNGSFLKTTYPALQNVQSALVKAGLSNQVKVTVPLNADVYESSTGVPSGGDFRADIHDLMITIVKFLSDSGSPFTVNIYPFISLYSDPNFPVEYAFFDGNATPLNDGGTSYYNMFDANYDTLAHALQKNGFGNLPIIVGEIGWPTDGDKNANGFYAQRFNQGFMSHIAGGKGTPMRPEPIDAYLFSLIDEDAKSIDPGNFERHWGIFTFDGRAKYALNLGTTNSGVLIEAKNVHYLERKWCVMKPSAKIDDPNVAPSVSYACGLADCTSLGYGTSCGSLDTRGNISYALNSYYQIQNQLDSACKFENISMITKNDPSTGTCRFAIMIEAYYGGAERTVGCSQKALRLAAGLILFFLTI >Manes.18G145400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32153396:32163488:-1 gene:Manes.18G145400.v8.1 transcript:Manes.18G145400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSVAVVLISLLSVVSTVSGIGANWGTQATHPLPPDTVVRLLRDNGIQKVKLFDADYDTLRALGGSNIEVMVGIPNDMLLTLATSMKAAEKWVAKNVSTHISTNNVNIRYVAVGNEPFLQTYNGSFLKTTYPALQNVQSALVKAGLSNQVKVTVPLNADVYESSTGVPSGGDFRADIHDLMITIVKFLSDSGSPFTVNIYPFISLYSDPNFPVEYAFFDGNATPLNDGGTSYYNMFDANYDTLAHALQKNGFGNLPIIVGEIGWPTDGDKNANGFYAQRFNQGFMSHIAGGKGTPMRPEPIDAYLFSLIDEDAKSIDPGNFERHWGIFTFDGRAKYALNLGTTNSGVLIEAKNVHYLERKWCVMKPSAKIDDPNVAPSVSYACGLADCTSLGYGTSCGSLDTRGNISYALNSYYQIQNQLDSACKFENISMITKNDPSTGTCRFAIMIEAYYGGAERTVGCSQKALRLAAGLILFFLTI >Manes.04G163800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35733590:35736000:-1 gene:Manes.04G163800.v8.1 transcript:Manes.04G163800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPPMSQTNPSTPLLYAASSNLRLSFSCSCSTTTSSSEVSDDSPPTSFRFELQNVDYHHYPGKSLSGSYFFRPLALLSDHVGSVSCLALCGEFVLSASQGKDIIVWQQPDLNLFTKFGQGDGSVKALVTVGNKVFTAHQDSRIRVWKVSRSSENVFRLVDTLPTTKDYLGKFMKQSNYVQTRRHHKKLWIEHADSISCLTVYNGFIYSGSWDKTLKVWRISDLKCLESIKAHDDAINGLVACKGIVYSASADGKIKAWEKEGKGSHSLKGILQGHKDVSFNSVIVSEDGRWVYGGGSDGFVMGWEASGGFVSWKLVSETKAHQMAVLCMCLMGDFLCSGSADKSISIWKREAYGKLCKIGVICGHEGPVKCLQASPNSVGGGFLLYSGGLDKSVRVWWVPKHCKKIQDASTMQSSEENQ >Manes.13G115900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32265805:32270170:-1 gene:Manes.13G115900.v8.1 transcript:Manes.13G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHHRQPLLLNRGEEAKAEQETAYDSDEKVIIGIDAGYDSEYTPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAMGLLVQLLAARLGVATGRHLAELCREEYPSWARMVLWVMAELALIGADIQEVIGSAIAIKILSNGVLPLWSGVVITACDCFIFLFLENYGVRKLEAVFAVLIATMALSFAWMFGDAKPSGKELLLGILVPKLSSKTIQQAVGVVGCIIMPHNIFLHSALVQSRDVDHTKKGRVAEALRYYSIESTIALMVSFIINLFVTTVFAKGFYGTELANSIGLVNAGRYLQEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTMIVALVFDTSEDTLDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGTFKIGTVLKMISWLVACLVIVINGYLLVDFFSNEAKGVIFITGICIFTGAYVAFIVYLVSRGINFSSWCCPPKHAEGIE >Manes.06G038551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11678179:11679539:1 gene:Manes.06G038551.v8.1 transcript:Manes.06G038551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSTLSLRSILEKDKLKENGTNFIDWFRNLRIVLKQEKKSYVLDEAVPEPPPADATNAVKNKHKKHMDDSNDIGCLMLATMCPELQKDLEHLEAYEMSVHLKQAFQQQARQDRYETTIALHDCKMAEGDSVSAHVLKMKGYIDHLARLGYPLSLELSTDLILHSLPSSFSQFVMNYNMNNMEKSIPELHGMLKTAEVNIKKRPTQILNVNKGKPMKNKGKPKSKGGNGPKGRGKPKWQSKAKVPKEIVPKEGICFHCKEPGHWKRNCKLYLDECKKKKSSETTTSDTGCGSHICTNVKGLKRSRKLKKGDVDLRVGNGARVAAIAVGTYELVLPNGLLLVLNNCFYVPTLSRNIISVSVLDDEGFSFLIKDKKCSINKDDLLYCIANSYDGLYPYK >Manes.07G009080.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1055535:1058498:1 gene:Manes.07G009080.v8.1 transcript:Manes.07G009080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGDIITKLGSRALDEIGLWWGVKGELKKLEATVSSIRNVLLDAEEKQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVCLFFSSSNQLVYGFKMGHKIKAIRERLADIGGDRTFMLEVRTDQERIAWRDQTESSLPEVVIGREGDKKAITELVLSSNGEECVSVLSIVGMGGLGKTALAQIIFNDELIKNSFERRIWVCVSDPFDVKMIVRKILESATKKKPEDLELEALKSQLGGIIDGKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKKVADISSTMAPHVLEGLSPDESWSLFLHVALEGQEPKHANVREIGEEILKKCCGVPLAIKTIASLLYNKNPETEWPLFLRNELSKISQDDNNILPTLKLSYDHLPSHLKHCFAYCALYPKDYEIDVKTLIHLWVAQGFVEAPITSDRLEDIGLEYFMKLWWRSFFQEVERDEFGNVKSCKMHDLIHDLAITVGGTRIQLVNSDAPNINEKTHHVALNSNVASQEILNNAKRLRSFLLLEKHNYKEFLIHKNLRCLRAYSMIEYSIEYSIVKVDSLMKMLKHLRYLDVSWNEKLKALPNSIMDLLNLQVLNVSYCFGLKELSKDVKKLVNLRHLYCEDCKSLTHMPRGLGQLTSLQTLSHFVVAKRHIFSKDVGKINELNKLNNLRGRLVIRNLRCVDNEIVNVNLKEKTLLQSLELNWEDSNVDRDEMAFQNLQPHPNLKELHVYGYGGRRFPSWFSSLTNLVNLYISDANGCQHLPPMDQIPSLQYLQIVGLDDLEYMVIEGQPASFFPSLKNLTLHDCPKLKGWQKKRDDSTALELLQFPCLSYFSCDNCPNLTSIPQFPSLDESLDLEEVSPQLVHQIFTPSISSSSSILPPLSKLKLLSISHIEELESLPPDGLRNLTCLQTLSIGTCPALKCLPQELHSLTSLRRLYIKNCPQLKERCGNKKGVDWEFISHIQNIEVE >Manes.05G155100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26617113:26620702:1 gene:Manes.05G155100.v8.1 transcript:Manes.05G155100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYHALASVPLPVHNFQRYFPSTSLLCSAAVVSSSSRSDKIESECRNRMFILGMGFVGQFFAQSLRKEGWVVTGTCTSPLKKEELEERGFDVCLFDANEPELGILNLMTSYTHLLVSIPSVVGIGDPVLQHEDLRNTMMDGNLQWLSYLSSTSVYGNCGGAWVDEDYPADPASEVAKSRLAAEEGWLNLGSSLGFSTQVFRLGGIYGPGRSAVDTIIKQEVLSKNQKMRASRQYTSRVHVEDICQALKASISMSPRSRIYNIVDDDPAPREEVFAYAEDLIEKKWPGWVKQSTSSERAISSNKDSSRGEKRVCNERMKRELGVRLLHPSYKSGLLSIIDQMKNPFQSIPSKS >Manes.05G155100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26617113:26620702:1 gene:Manes.05G155100.v8.1 transcript:Manes.05G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCSMKCLRKSRSIVLSSIRVVTGTCTSPLKKEELEERGFDVCLFDANEPELGILNLMTSYTHLLVSIPSVVGIGDPVLQHEDLRNTMMDGNLQWLSYLSSTSVYGNCGGAWVDEDYPADPASEVAKSRLAAEEGWLNLGSSLGFSTQVFRLGGIYGPGRSAVDTIIKQEVLSKNQKMRASRQYTSRVHVEDICQALKASISMSPRSRIYNIVDDDPAPREEVFAYAEDLIEKKWPGWVKQSTSSERAISSNKDSSRGEKRVCNERMKRELGVRLLHPSYKSGLLSIIDQMKNPFQSIPSKS >Manes.05G155100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26617113:26620702:1 gene:Manes.05G155100.v8.1 transcript:Manes.05G155100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYHALASVPLPVHNFQRYFPSTSLLCSAAVVSSSSRSDKIESECRNRMFILGMGFVGQFFAQSLRKEGWVVTGTCTSPLKKEELEERGFDVCLFDANEPELGILNLMTSYTHLLVSIPSVVGIGDPVLQHEDLRNTMMDGNLQWLSYLSSTSVYGNCGGAWVDEDYPADPASEVAKSRLAAEEGWLNLGSSLGFSTQVFRLGGIYGPGRSAVDTIIKQEVLSKNQKMRASRQYTSRVHVEDICQALKASISMSPRRIYNIVDDDPAPREEVFAYAEDLIEKKWPGWVKQSTSSERAISSNKDSSRGEKRVCNERMKRELGVRLLHPSYKSGLLSIIDQMKNPFQSIPSKS >Manes.14G151600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19386071:19392686:-1 gene:Manes.14G151600.v8.1 transcript:Manes.14G151600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASKNCLHKKCPSMEDDLKKAAEQGIFDPFNEHLDHLDCIVTENGNTILHVHLRKLLGKKSEEFMRRVVGNCPKLLTQENCDGNAPLHIAARQGRTDVAEELIRLADDLYGGNVEAVREMLRKKNNKEETALHVAARNDKSVGVVKAILRKEDTQFDCYVNDKSVGVVKAILRKEDTQFDCYVNDSLETPLELAIENSCTHIVAELLNHFDSQSLYTYTQVLDMFDGSVMHKAVKRWNTEIVRLLLEKESGLAKIENDMGWTPLHIAAFEGCSSMVSTLLNKDKSIACITTGWTALHIAALRGFKHVVNEIITKCPECCEITDDRGWNVLHFAVMSENDELLKMILENSSLAYLIIGEDNAGHTPVHLFKSLNIPLPSFILDGDTDGFILWKDSYNEIREDFTMKDMAVMKNITRADKEIDGEDAEIEYYHSVSHLTSSDNSADTFKIVKHDSENIKKMRKKREERIILHLEKAKDSHLVVATLIATVTFAAAFTVPGGYISDKEDSEKGTPILIKNLAFKAFIISDAMAMVLSTSSVFIYFIMVMLGSKPKYHWLIKTAFRFIFLAMGAMVVAFLVVKLRKMVVEELYIKKVVGEQ >Manes.02G184000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14733863:14740507:1 gene:Manes.02G184000.v8.1 transcript:Manes.02G184000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLQPCKSVPLFNSSLPLGINNCLLKYNGPIHTTCLKFSSFHLFPVTGSLKALSFSENFPLWRSTHISFCCQNDAFDDFSSTQIPEGAQNYRIQENEELELLNKPSPVAINNGVGLEVEKESETNNKEEALAPFLKFFKPRDSLEEVNEEEDDSSVVEGKSNLNNEDKEAKKVKVDYYEPKPGDFVVGVVVSGNENKLDLNVGADLLGTMLTKEVLPLYDKEMEYLLCDMDKDAERFMVRGKTGIVKDEAAVSGGGGPGRPVVETGTILFAEVLGRTLSGRPLLSTRRLFRRIAWHRVRQIKELNEPIEVKITEWNTGGLLTRIEGLRAFLPKAELMNRVNNFKELKENVGRRINVLITRINEANNDLILSEREAWEMLNLKEGTLLEGTVKKIFSYGAQVRIGETNRSGLLHISNISRSRITAVNELLKVDEKVKALVVKSMFPDKISLSIADLESEPGLFISNKERVFAEAEEMAKKYRQKLPAVLTTRKSETPLNNAFPFESEATMYANWKWFRFERE >Manes.11G089600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16719624:16735933:1 gene:Manes.11G089600.v8.1 transcript:Manes.11G089600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACIYWSVYSSDQINFTVPSMVLTGKTTTVKTRYVVMLDEILKEYPKLAIEGFPTVKQHLDICNNAVTQMAIEASQICINNWGRAMSDITHLVYVSSSEVRLSGGDLYLARALGLNPGTQRVLLYFMGCSGGVAGLHVAKDIAENNLRSRVLLATSKTTIIGFKPLSAERPYDLVGVALFGDGDGTGAGAMIIGTDPVSDIEHPLFELHTAVQSFLPETDKIIDGKLIEEGISFKLSRELPHVIEDNVEDFYRKLMGIAGVTEKEYNELFWAVHPGEPAILNRIEKRLDLVPEKLNASRQALMDYGNASSNTIVFVLEYMIEEESLKMKKNNESCEWGLILAFGPEITLEGILVRNLTV >Manes.01G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27376824:27381450:1 gene:Manes.01G072100.v8.1 transcript:Manes.01G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYSSLHEATAALGRNLTFAETFWFNYSANKSDYYLYCHNILFLFVIFSFVPLPLVFVELLRSAGFDKYKIQPKVKLSFSEMLKCYKDVMRMFFLIVGPLQLLSYPSIKMIGIRTGLPLPSGWEIFLQLLVYFTVEDYTNYWIHRFLHCKWGYEKIHRVHHEYPAPIGFAAPYAHWAEILILGIPSFLGPAMVPGHMITFWLWIALRQIEAIETHSGYDFPWNPTKYIPFYGGADYHDYHHYVGEQSQSNFASVFTYCDFIYGTDKGYRYQKKLLWKLKEGVKSGDEHNGGSYYAPTQDLKSD >Manes.15G175800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20166175:20170986:1 gene:Manes.15G175800.v8.1 transcript:Manes.15G175800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHNLIVLSLCFLVLFPCFSFSSDQIPGWVGENRILKKGILSNAVDPTRVIQLSWHPRAFIYKGFLSNEECDHLINLARDNLEKSMVADNESGKSVESEVRTSSGMFLSKAQDEIVADIEARIAAWTFLPVENGESIQILHYENGQKYEPHFDYFHDKANQELGGHRVATVLMYLSNVERGGETVFPNAEAKMSQPKDDSMSDCAKNGYTVKPFKGDALLFFSLHPDATTDPMSLHGSCPVIEGEKWSATKWIHVRAFEKSLIQSKTGDCVDENENCSLWAKAGECEKNSLYMVGSNNADGYCRKSCNVCTS >Manes.06G026001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5883821:5886386:1 gene:Manes.06G026001.v8.1 transcript:Manes.06G026001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFILIVFFIIRSPSSASSSSSTFPTDSIILNQRSSLSVERPGHVLTSPNAIFTAGFYPVGDNAYSFAIWFTEPSCSNSCTVVWMANRDLPVNGRNSKLSLLKNGNLILTDAGKSVVWESNTFSLSSSYLQLYDTGNLVLITSRERVILWQSFDSPTDTLLPLQPLTRDSLLVSSRSLTNFSSGFYKLSFHDDNVLRLVYDGPEFSSAFWPDHGLLSWEAGRSSYNSTRIALLDTFGKFTSSDNFSFFSADYGQQLQRRFTLDFDGNLRLYSRENENGSWVISVQVFSQLCKIHGACGPNSVCKYVPSFGRKCSCLPGYKIKNPADLSLGCEPEIMVSSVETEATFIGLPNVEMYGYDFRRFENYSVKNCEKECLGRYDCKGFVFRFFYHNHPDNIPYCFLKLQLLNGQITPNLKGDFYLKVPKISPSKHWSAKELTLLCPAGAAKQLDRRYVKSYGKSSLKFLLGFVIATGIVEILSMVLVWLYFIKSRQMIAATSEEYFLAATGFRKFSYSELKEATRNFIEEIGRGATGIVYKGVLADQRVAAIKRLNNASQGEAEFLAEVSTVGKLNHMNLIEMWGYCADKKHRLLVYENMEKGSLAKNLSSIELDWEKRFKIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDANYEPKVSDFGLSRLLSRGHELHNSSFSKIRGTRGYMAPEWIFNLPITSKVDVYSYGVVVLEIVTGRSPLMDGHDAENGCGAAENKRVVEWVRDKKLEASTSSCWVEEIIDPAIGLDYDRRKLEVLVGVALKCVEECKDARPTMSQVAEMLEKHENDH >Manes.S055616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1954521:1956016:1 gene:Manes.S055616.v8.1 transcript:Manes.S055616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKQSPRRRSPRLSVVGRPSDTAVGERLPNGTPRPPSVPNGTLRPRPQVRREHPLSLSISISGGKETYQDSPSNGERTGKSPA >Manes.04G104500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30964493:30966453:1 gene:Manes.04G104500.v8.1 transcript:Manes.04G104500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSFSFSFLAFLLDNEYAVHGVEYAFGAHEYPTTGIFEGEPKQCDGFTYRKTILIGKTEMGPEEVRAVMEELAEEYRGNAYNLITKNCNHFCNDACVRLTGNPIPSWVNRLARIGFLCNCVLPANVNSTKVRHLKMEEKVDETENEKLTSGSNRFASASISSSSSSSSSSSSSPSANQIRGRSRSRRALPPSSPLLSLSPS >Manes.04G104500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30964493:30966452:1 gene:Manes.04G104500.v8.1 transcript:Manes.04G104500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKNFSSVDDNGGSVPVHLNVYDLTSINGYAYWLGLGVYHSGVQGIFEGEPKQCDGFTYRKTILIGKTEMGPEEVRAVMEELAEEYRGNAYNLITKNCNHFCNDACVRLTGNPIPSWVNRLARIGFLCNCVLPANVNSTKVRHLKMEEKVDETENEKLTSGSNRFASASISSSSSSSSSSSSSPSANQIRGRSRSRRALPPSSPLLSLSPS >Manes.04G104500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30964493:30966452:1 gene:Manes.04G104500.v8.1 transcript:Manes.04G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKNFSSVDDNGGSVPVHLNVYDLTSINGYAYWLGLGVYHSGVQVHGVEYAFGAHEYPTTGIFEGEPKQCDGFTYRKTILIGKTEMGPEEVRAVMEELAEEYRGNAYNLITKNCNHFCNDACVRLTGNPIPSWVNRLARIGFLCNCVLPANVNSTKVRHLKMEEKVDETENEKLTSGSNRFASASISSSSSSSSSSSSSPSANQIRGRSRSRRALPPSSPLLSLSPS >Manes.16G039101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5042659:5059128:1 gene:Manes.16G039101.v8.1 transcript:Manes.16G039101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLIQVPSGYSPRFSNSFRNGAYGVPLGSNSYSPSSSCCSCCSSQGLNFSSGINSYWKNQGLNAQAMNTATQGNSASTREIVKGNSEPDHLLVLVHGILASPSDWTYVEAELKKRLGKNFLIHASESNTYTKTFYGIDGAGKRLADEVMQVVKKTDSLKRISFLAHSLGGLFARYAMAVLYSENALSTSESNDHVDSTLPNSARRCAIAGLDPINFVTLATPHLGVRGRKQLPFLLGIPILEKLAPQMAPILAGLTGSQLFLTDGKPEKPPLLLRMASNCEDGKFLSALGAFRCRTLYANVSFDHMVGWRTSSIRREKELVKPPQRSLDGYKHVVDVEYCPPISSAAPHFPPEAAKAKEAAQSKPNVQNTTEYHEIIEVC >Manes.16G039101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5042660:5059128:1 gene:Manes.16G039101.v8.1 transcript:Manes.16G039101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLIQVPSGYSPRFSNSFRNGAYGVPLGSNSYSPSSSCCSCCSSQGLNFSSGINSYWKNQGLNAQAMNTATQGNSASTREIVKGNSEPDHLLVLVHGILASPSDWTYVEAELKKRLGKNFLIHASESNTYTKTFYGIDGAGKRLADEVMQVVKKTDSLKRISFLAHSLGGLFARYAMAVLYSENALSTSESNDHVDSTLPNSARRCAIAGLDPINFVTLATPHLGVRGRKQLPFLLGIPILEKLAPQMAPILAGLTGSQLFLTDGKPEKPPLLLRMASNCEDGKFLSALGAFRCRTLYANVSFDHMVGWRTSSIRREKELVKPPQRSLDGYKHVVDVEYCPPISSAAPHFPPEAAKAKEAAQSKPNVQNTTEYHEIIEEEMIHGLQRLGWKKVDISFHSAFWPFFAHNNIHVKNEWLHNAGAGVIAHVADSFKQQECSSLMTASL >Manes.16G039101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5042660:5059128:1 gene:Manes.16G039101.v8.1 transcript:Manes.16G039101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLIQVPSGYSPRFSNSFRNGAYGVPLGSNSYSPSSSCCSCCSSQGLNFSSGINSYWKNQGLNAQAMNTATQGNSASTREIVKGNSEPDHLLVLVHGILASPSDWTYVEAELKKRLGKNFLIHGKRLADEVMQVVKKTDSLKRISFLAHSLGGLFARYAMAVLYSENALSTSESNDHVDSTLPNSARRCAIAGLDPINFVTLATPHLGVRGRKQLPFLLGIPILEKLAPQMAPILAGLTGSQLFLTDGKPEKPPLLLRMASNCEDGKFLSALGAFRCRTLYANVSFDHMVGWRTSSIRREKELVKPPQRSLDGYKHVVDVEYCPPISSAAPHFPPEAAKAKEAAQSKPNVQNTTEYHEIIEEEMIHGLQRLGWKKVDISFHSAFWPFFAHNNIHVKNEWLHNAGAGVIAHVADSFKQQECSSLMTASL >Manes.07G063900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11364546:11367423:-1 gene:Manes.07G063900.v8.1 transcript:Manes.07G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLLSFTLSFFLFFFSPSLCTNSEGNALHALRSRLSDPINVLQSWDPTLVNPCTWFHVTCDSNGHVIRLDLGNSNISGSLGPELAQLQHLKYLELYRNNIGGKIPKELGELKNLVSMDLYDNKFEGEIPKSLAKLKSLKFLRLNNNKLTGSIPRELTTLKDLKVFDVSNNDLCGTIPVDGPFSTFPMESFEHNERLNGPELKGLVPYDFGC >Manes.09G071800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12239846:12241104:1 gene:Manes.09G071800.v8.1 transcript:Manes.09G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSKRQESEALPTGVFELPGEPAIVINGLPEISPGDSSHVLSSTVSDAELQRDTSQGTEFLGNTVFGEWLEGREVKKLFGDHYYTGTVVQFDKENGWYRVVYEDGDFEDLDLNELEDVLLPLDITVPLKSLALKIIKKSPKTIITPGKCMTGCKISKAKSTASKGNEDRGP >Manes.01G087600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28919597:28922170:-1 gene:Manes.01G087600.v8.1 transcript:Manes.01G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANDPFASMAALCHISSSQEEHLSRCRFAGEPEDESFFSDEFGDIPVASTGIMIVSPPESLEENRNEDNVSRDNDVFITPPEESTLAASQEEQQQTVAINNRDNRVVEGVANNEETATVDGNYADDLRAVDLGRDTDLGFSTEMEMTERITIDSGSLSSPGADRDHENEVTRCESMNTGIMKRELASNDGLFESLMITRKSGDKSETEKTLARVEKSIDKLESSLKKSKILDENLVLDTSKNTLGTETENLGETVDISDLEGFSPSSCLKSLQKNQIEHEDDDGDREFHGDSSAKRKLNFYTEALESNCEENNAVLDISEGSARGNKEIEDDANRLCNISERYSDGNFPQKQKEGEAKSIDDIDKFRYVDPMGVNRCLDANVPEKQSDDKAKNIDDIDKFRYAGPLGANSMAKQRDVKAHRELPMSLCRNNSSVRGEPVPDDVMRSRNFKEVTIWDVLNILPEDVNYDPNLANVSVLEAAKRRGITFP >Manes.08G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3148280:3150997:-1 gene:Manes.08G032900.v8.1 transcript:Manes.08G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPPNLDDGELWLPSDIFLNEVPSKYNPYRLSCMEDLAGHFAALSLLQNHSSSSLSSPPPKPALNSQGLKLAVRDIYASHLPSGYLGFNGGAELGQRLNGYGTGSVLARSEPFYELQAQPQVDSYMETRPRVMQRHRNPLQNRLDPFQGSGFGVRGSGGGIVRESGGTGVFHPRIVNPTTTTPATTDVKRKQGMRSRQEIQATQQRNSVRRVGVNNCHLHPEMGLPQEWTY >Manes.15G167800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14268568:14273520:-1 gene:Manes.15G167800.v8.1 transcript:Manes.15G167800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLISTVFHFSSPLSRSPNRKLSLPLSNLKCLSLLHRNHNNNNNNNNNNSRILCFLNQFLHSRSLTPHNPLFAQNPSSSSSSSSSAFNSLLLLCTSLALSVSLFVADVDPASAFVVTTPRKLQTDELATVRLFQENTPSVVYITNLAVKQDAFTLDVLEVPQGSGSGFVWDKEGHIVTNYHVIRGASDLKVTLADQSTLDAKVVGFDQDKDVAVLRVDAPKEKLRPIPIGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGSLIGINTAIYSPSGASSGVGFSIPVDTVSGIVEQLVKFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPPNGPAGKAGLQSTKRDAYGRLILGDIITSVNGKKVTNGSDLYRILDQCKVGDQVIVEVLRGDHKEKIPVILEPKPEES >Manes.02G184400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14762728:14767931:-1 gene:Manes.02G184400.v8.1 transcript:Manes.02G184400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSRTLLSLSLSSATKHCHPFLRLPHSSRVLPGFNFRALCTASATTVSPFDTSTIPGPDEAKHSILLERLRLRHLKHSSKPSQAKTQSPPKPVVAIEKDEDGFKSKKGKKMVGSFEEIGLSEEVMGAVREMGIEVPTEIQCIGIPAVLDGKSVVLGSHTGSGKTLAYMLPLVQLLRRDEATLGMLMKPGRPRAVVLCPTRELSEQVFRVAKSISHHARFRSTMVSGGGWFRPQKDSLNNAIDMVVGTPGRVLQHIEDVNMVYGDIKYLVLDEADTMFDRGFGPEIRRFLRPLKNRASKPDGQGFQTILVTATMTKAVQKLIDEEFQGIIHLRTSTLHKKIASARHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLAENQISTVNYHGEVPAEQRVENLQKFKSDDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKRDVLLAARIEEAMRKNESLEALNKDNVRRDVARAQITEQKGKKEKLIKQSNQRNKTKAATVKSSSNLTKASSVNKSGKSSTLAKSAKGVKVVKTVRASSRTSLAGNKKTGSKKSTAVKSTASKLRVVPLRGRSSSSSKK >Manes.04G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28741066:28743270:-1 gene:Manes.04G085900.v8.1 transcript:Manes.04G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSPFAATIMVVILSFISWFIYSSSWTWKKTCMKRAPPEAAGAWPVIGHLHLLGGSQSPLSVLGKMADKYGPIFTIKLGVHRTLVVSNWEIAKECFTSNDKTFANRPKALAMEILGYDYSMFGFSPYGEYWCQIRKIVTLELLSNQRLEMLKHVREAEVKAAIKGLYKEWIKNKSNTDKLTAEMKRWFWDITLNVVLKIIVGKRYVEYENGSKGEDDAWREALREFMELLGKFVVSDALPYLRWLDLGGMERKMKKTLKNLDHVVQEWLEERKKKKGTDKTKGEEDFMEALMSILNDAKQFSSRDVDTINKATCLVLILAASETSTITMTWALCLLLNNRDVLKKAQNELDIHVGRERQVKESDTKSLIYLQAIIKETFRLYPAAPQLVPHESMEECVINGYHIQPKTRLLINVTKIHRDPSVWLNPEKFQPERFLITHKDVDFRGQNFELIPFGSGRRMCPGISFALQVLNLTLASFLHAFEIETLSDSPIDMTESGGITNYKVTPLEVVLTPRLPAHLY >Manes.02G222800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36964166:36967423:1 gene:Manes.02G222800.v8.1 transcript:Manes.02G222800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVIRYFSTKPKPKMKPIELKTPPEQTQTITRAIFDILKEHGPLTISETWERVQEVGLRGLTSKRHMKIVLRWMRERQKLRLICNHVGPHKQFLYTTWFTKPVVKQAKVDNGPSPPRFP >Manes.02G222800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36964166:36967423:1 gene:Manes.02G222800.v8.1 transcript:Manes.02G222800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVIRYFSTKPKPKMKPIELKTPPEQTQTITRAIFDILKEHGPLTISETWERVQEVGLRGLTSKRHMKIVLRWMRERQKLRLICNHVGPHKQFLYTTWFTKPVVKQAKVDNGPSPPRFP >Manes.17G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29035644:29047416:-1 gene:Manes.17G083900.v8.1 transcript:Manes.17G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEEDIVLRDVTNAGLVVSDRIGREVASQLDLEEALEASRYASHPYSTHPREWPPLIELVDTWELPPVLIERYNAAGGEGTALCGIFPEIRRAWASVDNFLFLWRFDKWDGQCPEYSGKEQAICAVGLAKSKPGVFVEAIQYLLVLATPVELILVGVCCSSGGDGTDPYAEVSLQPLPEYTVPSDGVTMTCITCTDKGRIFLAGRDGHIYELHYTTGSGWHKRCRKVCLTAGLGSVISRWVVPNVFKFGAVDPIVEMVFDNERQILYARTEEMKLQVFLLGPNGDGPLKKVAEERNLFSHRDVHYGGRQSTGPRAPNRSVKPSIVCISPLSTLESKWLHLVAVLSDGRRMYLSTSPSTGSNGTVGGLGRLNITHQRPNCLKVVTTRPSPPIGVSGGLTFGAISLASRTPNEDLTIKVETAYHSAGTLVLSDSSPPTMSSLVIVTKDSSSQSGTLGTSTRSSRALRELVSSLPIEGRMLFVADVLPLPDTAATVQSLYSEVEFFGFESLGESCEKASGRLWAKGDLFTQHILPRRKIVVFSTMGMMEVVFNRPVDILRRLFEANSPRSILEDFFNRFGAGEAAAMCLLLAARIVHSETLISNAVAEKAAETYEDPRVVGMPQLEGFNALSNTRTTTGGFSMGQVVQEAEPVFSGAHEGLCLCTSRLLFPLWELPVFVTRGGLGSSDVTSESGVVTCRLSVGALQILENKVRSLEKFLRSRRNQRRGLYGCVAGLGDVTGSILYGTGSDLGSGDRNMVRNLFGAHSRNIESTAGVTASKRQRLPYSPAELAAMEVRAMECIRQLLLRSGEALFLLQLISQHHVARLVQGFDANLLQALVQLTFHQLVCSEEGDRIATMLISALMEYYTGPDGRGTVDDVSGRLREGCPSYFKESDYKFFLAVECLERAAVTSDPVEKDNLAREAFNFLSKVPESADLRTVCKRFEDLRFYEAVVCLPLQKAQAFDPAGDAFNDQIDESIREHAIAQREQCYEIITSALRSLKGESSQREFGSPVRPAAMRPVLDQASRKKYICQIVQLGIQSPDRLFHEYLYRTMIELGLEDELLEYGGPDLVPFLQNAGREPLQEVRAVSKISTTSPFGHSKASITSTQAKYFDLLARYYVLKRQHMLAAHILLRLAERRSTDARDVPSLEQRRQYLSNAVLQAKNASDSGGLVGSTRGAGALDSGLLDLLEGKLVVLRFQIKIKEELEAIASVLQSSSSMSEPIQDGSVADNNANVEYAKVAQEKANELSLDLKSITQLYNEYAVPFELWEVCLEMLYFANYSGDADSSIVRETWARLMDKALSSGGIAEACSVLKRVGSHIYPGDGAVLPLDTLCLHLEKAALERLESGVESVGDEDVARALLAACKGATEPVLNAYDQLLSNGAILPSPNLRLRLLRSVLVVLREWAMSVFAQRMGTTTSGASLILGGTFSQEQTTVINQGIRDKITSAANRYMTEVRRLPLPQSKTEAVYRGFRELEESLISPFSFDRF >Manes.06G068200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18840365:18842365:1 gene:Manes.06G068200.v8.1 transcript:Manes.06G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHIPLILAIMITNVGDATARELRPSYHGLDYQNTPPAGKNLPPSAKEFFGASSSPPPPTSTSSNVALPKAMNSNDTTWWRSVNGGKGGGQGGDRLRHVLLVASLACGVTGVALLVVSGFIYYVKHKKQTTSCLDSGKSITAFTDK >Manes.15G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8092454:8098289:1 gene:Manes.15G103000.v8.1 transcript:Manes.15G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPEVVVKNRFLGFLIWQSITSSVIFIVFKTLLISPLTYTGLAPPSFLSLLAFLIFHLSQLFFSASLALLSSPLSYSPASPLQLAVSIIRFLFVSGDSFSSRDFRRRAKLSLGFFFFLAAAGISGFLSVICICEWPIESSDGMQMIGRVGFRGFTFGLLYACFYIYQQRWVLEFPIIQRPPFYSFKMSLPFAIKQTLKFSSSAYIFSAVVLVFLPNQFKTFVTMKKFIAEQIILYPGSFSVVLCWELTHHLHQVLHAKRFIFAPPKGSAATETNPSEPLLAALEESSPGSLPQFLAYLDLCMACENNVDAWRRAAFFEETGETYKRVIAVCLRPLEQLASTLGEGFETSVEKAYQQSNKQQDSKYYELFNSFQQFAWCAWTVAFLTARSRKEDRFGVAQLSGSNAAVISTLLSCLLAVEAFMGRKTNVQTPHQLMGPGGIKWATLNTGRRDVAVAKKRSCPIHSKAYAMADVLKTSIYYITSAFHDGMITSDKAGLLEKDWLISGKPLFGTRELLLQKLNLFLDFRAS >Manes.15G094100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7325568:7327563:-1 gene:Manes.15G094100.v8.1 transcript:Manes.15G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQQLFHSLCICFTFFSLIAQASVPPSATFKYVNEGEFGEYIVEYDANYRPLKPFARPFQLCFYNTTPNAYTLALRMGTVRSESLMRWVWEANRGNPVGENATFTFGTDGNLVLADADGRIAWQTNTANKGIVGFKLLSNGNMILHDSKGSFIWQSFDHPSDTLLVGQSLKFGAATKLTNRVSEKKNANGPYSLVLEDKTLAMYYRSPNSPKPLLYFSFSKFGVLKAPLRQATFGSGLSLEFHGPNLSSLGTLTFRKPRYNTTLSYLRLEMDGNLRIHTYEDNADWNAWQVTYTLFSRDSSESECYLPERCGNFGLCEDDQCVACPSPKGLVGWSKNCEVTKVSSCGVKDFYYYKLEGVDHFNSKYTNGDGPMKQGTCGSKCSKDCKCLGYFYHTQSSRCWIAYDLKTLTKIDNSTHLAFIKAPNK >Manes.15G169701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14698319:14699188:-1 gene:Manes.15G169701.v8.1 transcript:Manes.15G169701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELKFASPSVPAVSPFLMATTLLAKGRRTIISFSHSEYGGCSPRSVLHLCFPNWQEMVCSGSWSRFFKRAYCLRRKHLPPSASLD >Manes.10G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22736445:22736942:1 gene:Manes.10G089900.v8.1 transcript:Manes.10G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWKISAFVVCMVGLALVLPSHAQNSPQDYLDAHNAARSAIVGANIPALLYDTTLEADVQLYLSTLLGQCNINVDLSLNGINVKVKENVLTGLDAVNAWVRVCNHYTQVIWKSSVSVGCFRTQCLDNLNLWIVGCKYSPPGNILGQRPY >Manes.03G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16317322:16325348:-1 gene:Manes.03G096500.v8.1 transcript:Manes.03G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNEMEHAKEAGKDVEETVNINLNDALLEREAKGPPLSHSGDQEAQNLVKNGELRAEDKDGVTKDAMDKTVVQVEEQIPGGNVADIVGAKSPEAFNDNKAGNATSDSEVQENATSGGNIQAKAKANANADGINGDLLNVKFDSVDSADNGANEINNNADVKNEDSHIQLLNEKSLETKAEGYEKVDDHKNVEAVKDVVTKMDLSPSQTTKEMAKPSLDEEHGATMSTPNKSFLVEPTSSEGYESGTEEEQAAFMKEVETFYKENNFEFKAPKFYKEELNLLKLWRAVVKLGGYEQVTSCKLWRQVGESFRPPKTCTTVSWTFRIFYEKALLEYERHKMHCGELPFSDASLTEPIRVENQAVGSQAAGSGRAIRDAAARAMQGWHSQRLLGNGQVCDPIIKDKNSNSTPKSDKQLKSNGLLKRKKPSTVERAVHVPRMKTMKLQADTMVIDIGPPADWVKINVQRTNDCFEIYALVPGLLREEVHVQSDPAGRLIISGQPEQLDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSDI >Manes.03G096500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16317322:16325348:-1 gene:Manes.03G096500.v8.1 transcript:Manes.03G096500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNEMEHAKEAGKDVEETVNINLNDALLEREAKGPPLSHSGDQEAQNLVKNGELRAEDKDGVTKDAMDKTVVQVEEQIPGGNVADIVGAKSPEAFNDNKAGNATSDSEVQENATSGGNIQAKAKANANADGINDVKNEDSHIQLLNEKSLETKAEGYEKVDDHKNVEAVKDVVTKMDLSPSQTTKEMAKPSLDEEHGATMSTPNKSFLVEPTSSEGYESGTEEEQAAFMKEVETFYKENNFEFKAPKFYKEELNLLKLWRAVVKLGGYEQVTSCKLWRQVGESFRPPKTCTTVSWTFRIFYEKALLEYERHKMHCGELPFSDASLTEPIRVENQAVGSQAAGSGRAIRDAAARAMQGWHSQRLLGNGQVCDPIIKDKNSNSTPKSDKQLKSNGLLKRKKPSTVERAVHVPRMKTMKLQADTMVIDIGPPADWVKINVQRTNDCFEIYALVPGLLREEVHVQSDPAGRLIISGQPEQLDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSDI >Manes.08G119700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35858250:35867096:-1 gene:Manes.08G119700.v8.1 transcript:Manes.08G119700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPLNNGCSSVEELSLQQLLLLNSPEISGICGDPCVNPRVGDEYQAEIPPMMSEGVVDYSNSFLRGLPIPVTWVHDRISNKGDEGCRMRNTDDSVHANSSNKSRMNRKNSILKKKGSKQNVEPLDLGFDEGKEPKSAILGPLEAGEANLSQLPKSKSYVPVPGLPNHPWSDADIDCFVLSLYIFGKNFVQVERFMENKKMWNILSFYYGEFYRSDGYHRWSDCQKTKRKKCIYGQKIFTGWRQQELLSRLHPHVPLHSQNNILEVSTAFSEGKISLEDYVSNLRAIVGIQALVDAIGIGKGKEDLTSLAMEPAKSNPIFTVCPIGKACSSLTSGDIIKLLTGGFRLSKARCNDIFWEAVWPRLLARGWHSEQPNNQDDMGSNHHLVFLIPGVKKFSKRKLVKGNHYFDSVSDVLSKVASEPKLIELESEEARGSSCNEEEKAVLGAPSDHSDASIKQSFHYLKPRASNNYNLKLVRFTVVDCGLVDGGKLSKTREMRYAPEDLKVKSLRTTLSSRIKMIFLENSLNDNELDAVDMSLDDEKTINNAKCYGKTFDGHGSNHTKFTIVDTSLIHEGKSTKVREVRYSPVDITVTSKMTKSSRRKGRCSSEDSLDRQVPDAAKMLSHEDKNIGKSNHNKDIVDSSGSKQKVLNHDKLFEDHQGNNNVSDENQSTGTIKHRFSRRSKSGYSNNLDPVVKRRRLVACSSAELSQVIDNFSVSPGSKQEESYFALKLQGGSNNVHVNPPQKLPFNISLAEGSLQESTASLPSVTCFDIETSHGENGKHQTPSLIDLNLPQVSLDCENDGPALMDAESRRRASAGSKCLSNSDKTDLRAWNATIDASSAPVQPSLTPEDKAQDTDL >Manes.09G172801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37813842:37817926:-1 gene:Manes.09G172801.v8.1 transcript:Manes.09G172801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGEPFTSSNKSNLWFFIDEGTELKSLTICLNWVFQDQPNIWITALSWSIFLLLAIGVPIVSHFALLCSNCDSNHQRPYDAVVQLSLSTFAIVSFFSLFSWSRKYGVRRFLFLDKLDDQNERIRREYKQRLHRSMKLLCVFVLPCFAAESAYRIWWYVTGNTQIPYYGNMYASDAIVCILQLFSWVYRISIYILVCILYQLICYLQVLSLEEFAQVFQTGSDVGSILREHLRIRRNLRIISHRFRRFILLSLVLVTASQLMSLLVTTRSSAQNNIFEAGELALCSINLVTGLFICLRSATKITHRAQSVTSLATKWHVCATINSFDDIDGETPLDQTSACSHQVFPVDIDWVLDDEDDDGDEDTDNSTKMVPIFAHTISFQRRQALVTYLENNRAGMTVYGFMMDRTWLHTVFGIELALLLWLLNKTIVNWT >Manes.11G128800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29473303:29475456:1 gene:Manes.11G128800.v8.1 transcript:Manes.11G128800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWKTISNSKSTEISHPNPTLCKAVSNPTEIPTAHATLWKTISKSTTTTTELSSSITITTTTAAAAARTNPNRGKLRKCTSLRVASSFTRVCLCAPISSYNEVFKADVPPRRSYSYPRSKPLMPVASQERIIASHGRISTEGRRVFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRKRSMMRRKRLGPSPLSRMVMAETEEERQG >Manes.11G128800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29473303:29475456:1 gene:Manes.11G128800.v8.1 transcript:Manes.11G128800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSKNSHTRKPWYQRAIQMATQWKTISNSKSTEISHPNPTLCKAVSNPTEIPTAHATLWKTISKSTTTTTELSSSITITTTTAAAAARTNPNRGKLRKCTSLRVASSFTRVCLCAPISSYNEVFKADVPPRRSYSYPRSKPLMPVASQERIIASHGRISTEGRRVFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRKRSMMRRKRLGPSPLSRMVMAETEEERQG >Manes.11G128800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29473303:29475456:1 gene:Manes.11G128800.v8.1 transcript:Manes.11G128800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWKTISNSKSTEISHPNPTLCKAVSNPTEIPTAHATLWKTISKSTTTTTELSSSITITTTTAAAAARTNPNRGKLRKCTSLRVASSFTRVCLCAPISSYNEVFKADVPPRRSYSYPRSKPLMPVASQERIIASHGRISTEGRRVFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRKRSMMRRKRLGPSPLSRMVMAETEEERQG >Manes.10G011200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1197720:1209739:1 gene:Manes.10G011200.v8.1 transcript:Manes.10G011200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLFQKNNPQDPPSPKMVEGSVEKGVLRPQDINPRIDVHFGIPSTASILAFDPIQSLLAVGTLDGRIKVIGGDNIEGLLLSPKELPFKNLEFLQNQGFLVSITSENEIQVWDLEQRQLASTLKWESNLTALSVIGGSSYMYVGDEYGMVCVLKYDSEEGKLIQLPYYVPSDSIAEASGMSSPYNHSVVGLLPQPASQGKRILIAYDDGLITIWDVSEDKVILIKGNKDLQLKSKTLTDFQKGMGQELCDDVSEDEHMEKEISSLCWVSTDGTVLAVGYIDGDIMLWNLSTMASNNKTEKSSTDVVKLQLSSVDRRLPVIVLHWSADSSHNNSCGRIFVYGGDAIGSEEVLTILSIDWSSGIENLKCIGRIDLTLNGSFADLALLQNDGISKTRGAFILTNPGQLHFYDDACFASLMSQQQKQNSVSSLEYPAVIPVLEPCMTVGKLGFICRDEKFSKAFSKEAQTPRSTKWPLTGGIPSQPLNVENYQVERLYIAGYQDGSVRIWDATHPTFSLLYILGTGVKGINIAGANASVSALEFCPFTLSLAIGNELGMISLYKLMGSTDETHLYIVKETEREVHTLNKGDRPHCTAVFSFLNSPISTLQFANYGTRLAVGYHCGKVAMLDISALSVLFLTDSVSNSRSPVKSLAVTSMSDTISSKSNPEHIESKSNADYVKWELFATTKDAHFAIIDGNTGSLVCSQSLQPEKELSIISTHTLDGGNLISRASSKNDPLNSNQKNETKSEPDQGVTRSGSTPLEVDSETSPRTAYSRQRVENILLLLCCEDALHLYSMKSLKEGDINPIRKMNLLKPCCWTATFKKDDKECGLIVLYHTGVVEIRSLSDLEVVGESSLMSILKWNYKTNMEKTMCSSDTAQIILVNGCEFASVSLLPCENIFRIPESLPILHDKVLAAAAEATVSLSPSQKKTQVSPSGILGGFIKGLQAGKGEQNVDLPEVCNNNLAHLEIIFSSPPFLKPSLDITDNQKVLELNIDDIHIDEPLVVLPSSEMSKKDTKDKGTERDRLFEGTTSDSKPRLRTAEEIKAKYRKEDASAAAARARDKLAERGEKLERLSLQTEELESGAQDFASMAHELAKQMEKRKWWNI >Manes.10G011200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1198892:1209739:1 gene:Manes.10G011200.v8.1 transcript:Manes.10G011200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLFLQNQGFLVSITSENEIQVWDLEQRQLASTLKWESNLTALSVIGGSSYMYVGDEYGMVCVLKYDSEEGKLIQLPYYVPSDSIAEASGMSSPYNHSVVGLLPQPASQGKRILIAYDDGLITIWDVSEDKVILIKGNKDLQLKSKTLTDFQKGMGQELCDDVSEDEHMEKEISSLCWVSTDGTVLAVGYIDGDIMLWNLSTMASNNKTEKSSTDVVKLQLSSVDRRLPVIVLHWSADSSHNNSCGRIFVYGGDAIGSEEVLTILSIDWSSGIENLKCIGRIDLTLNGSFADLALLQNDGISKTRGAFILTNPGQLHFYDDACFASLMSQQQKQNSVSSLEYPAVIPVLEPCMTVGKLGFICRDEKFSKAFSKEAQTPRSTKWPLTGGIPSQPLNVENYQVERLYIAGYQDGSVRIWDATHPTFSLLYILGTGVKGINIAGANASVSALEFCPFTLSLAIGNELGMISLYKLMGSTDETHLYIVKETEREVHTLNKGDRPHCTAVFSFLNSPISTLQFANYGTRLAVGYHCGKVAMLDISALSVLFLTDSVSNSRSPVKSLAVTSMSDTISSKSNPEHIESKSNADYVKWELFATTKDAHFAIIDGNTGSLVCSQSLQPEKELSIISTHTLDGGNLISRASSKNDPLNSNQKNETKSEPDQGVTRSGSTPLEVDSETSPRTAYSRQRVENILLLLCCEDALHLYSMKSLKEGDINPIRKMNLLKPCCWTATFKKDDKECGLIVLYHTGVVEIRSLSDLEVVGESSLMSILKWNYKTNMEKTMCSSDTAQIILVNGCEFASVSLLPCENIFRIPESLPILHDKVLAAAAEATVSLSPSQKKTQVSPSGILGGFIKGLQAGKGEQNVDLPEVCNNNLAHLEIIFSSPPFLKPSLDITDNQKVLELNIDDIHIDEPLVVLPSSEMSKKDTKDKGTERDRLFEGTTSDSKPRLRTAEEIKAKYRKEDASAAAARARDKLAERGEKLERLSLQTEELESGAQDFASMAHELAKQMEKRKWWNI >Manes.07G047301.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5401830:5406467:-1 gene:Manes.07G047301.v8.1 transcript:Manes.07G047301.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLSGTNIAMIVLVVALIALMVTSADAQRGGGGGRGGGGGGGRGSAGGGAKGGGNSGGKSGSGNRGVGSGTRGRGILGGGGVIVGTGGATAAGGGGTSVNGGQHASSASVTCGPASKHGYIAGLPLMLVIFLMV >Manes.07G047301.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5401830:5406467:-1 gene:Manes.07G047301.v8.1 transcript:Manes.07G047301.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLSGTNIAMIVLVVALIALMVTSADAQRGGGGGRGGGGGGGRGSAGGGAKGGGNSGGKSGSGNRGVGSGTRGRGILGGGGVIVGTGGATAAGGGGTSVNGGQHASSASVTCGPASKHGYIAGLPLMLVIFLMV >Manes.07G047301.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5401830:5406467:-1 gene:Manes.07G047301.v8.1 transcript:Manes.07G047301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLSGTNIAMIVLVVALIALMVTSADAQRGGGGGRGGGGGGGRGSAGGGAKGGGNSGGKSGSGNRGVGSGTRGRGILGGGGVIVGTGGATAAGGGGTSVNGGQHASSASVTCGPASKHGYIAGLPLMLVIFLMV >Manes.07G047301.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5401830:5406467:-1 gene:Manes.07G047301.v8.1 transcript:Manes.07G047301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLSGTNIAMIVLVVALIALMVTSADAQRGGGGGRGGGGGGGRGSAGGGAKGGGNSGGKSGSGNRGVGSGTRGRGILGGGGVIVGTGGATAAGGGGTSVNGGQHASSASVTCGPASKHGYIAGLPLMLVIFLMV >Manes.07G043000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5047978:5050191:1 gene:Manes.07G043000.v8.1 transcript:Manes.07G043000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKQEKVLAEAKNAKATAGEALQNLTALKPEFEESKGVQKDISSVEMTENQKLALTKQPEFEVSKVVQKDISSMEITKSQESALTKQLQFGQLEPDFSQSGNRTTAPLDFTGTTPTWFAASGLPDVLPSNNIQKEWTCAVCQVTTTSEADLISHLHGRRHESACEKLKAYNQTSKSKVSSASAMENANAAESRGNSPDTKQTHKPWTCATCHVTTTNKADLVSHFQGLRHKDALEKLKAKFQTSEDKTHSALAAIAGRDVPNIPWTCAVCQLKITNLASLVSHLEGRRHEDACKSYQAKINSIKSLRCTICNISCSSEGNMKSHLMGSKHLTNTGAQQQT >Manes.07G043000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5047034:5050191:1 gene:Manes.07G043000.v8.1 transcript:Manes.07G043000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGSFFKFSGHCFDVLAWPLFALVYPLYASIQAIETNSISDTQKLISYWVCFSLILLFENAFSELLECLPWWTYIKVLIVGCLVTPHFEGSLYVYKHIVHPCLSIDLHVLLNELVNLMVVLKQEKVLAEAKNAKATAGEALQNLTALKPEFEESKGVQKDISSVEMTENQKLALTKQPEFEVSKVVQKDISSMEITKSQESALTKQLQFGQLEPDFSQSGNRTTAPLDFTGTTPTWFAASGLPDVLPSNNIQKEWTCAVCQVTTTSEADLISHLHGRRHESACEKLKAYNQTSKSKVSSASAMENANAAESRGNSPDTKQTHKPWTCATCHVTTTNKADLVSHFQGLRHKDALEKLKAKFQTSEDKTHSALAAIAGRDVPNIPWTCAVCQLKITNLASLVSHLEGRRHEDACKSYQAKINSIKSLRCTICNISCSSEGNMKSHLMGSKHLTNTGAQQQT >Manes.07G043000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5047025:5050218:1 gene:Manes.07G043000.v8.1 transcript:Manes.07G043000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGSFFKFSGHCFDVLAWPLFALVYPLYASIQAIETNSISDTQKLISYWVCFSLILLFENAFSELLECLPWWTYIKVLIVGCLVTPHFEGSLYVYKHIVHPCLSIDLHVLLNELVNLMVVLKQEKVLAEAKNAKATAGEALQNLTALKPEFEESKGVQKDISSVEMTENQKLALTKQPEFEVSKVVQKDISSMEITKSQESALTKQLQFGQLEPDFSQSGNRTTAPLDFTGTTPTWFAASGLPDVLPSNNIQKEWTCAVCQVTTTSEADLISHLHGRRHESACEKLKAYNQTSKSKVSSASAMENANAAESRGNSPDTKQTHKPWTCATCHVTTTNKADLVSHFQGLRHKDALEKLKAKFQTSEDKTHSALAAIAGRDVPNIPWTCAVCQLKITNLASLVSHLEGRRHEDACKSYQAKINSIKSLRCTICNISCSSEGNMKSHLMGSKHLTNTGAQQQT >Manes.05G185300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30508506:30514300:-1 gene:Manes.05G185300.v8.1 transcript:Manes.05G185300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAWCFSSRASNTTPRREHPQRSTVSMENPQSNNGNTKPEIVNQGMAGSNGNNASNIIMNHDFSGGLCSWHPNSCCCSVVPAELGHPGFFTKPGGNYAVVSNRTECWQGLEQDITSRVSPGSTYSVSAYVGVSGLIQRPADVLATLKLEYRDSPTGYLFIGKTSVSKEKWEKLEGTFSLSTMPDRVVFYLEGPSPAVDLLIQSVVIHCSSSSDFSYASNQCEDTGDGDGNIILNPKFEDGLNNWSGRGCKIVLHDSMADGKILPQSGKVFAAATERTQSWNGIQQEITGRVQRKLAYEAIAVVRIFGNNVTSADVRATLWVQTPDLREQYIGIANLQATDKEWVQLQGKFLLNSNPKRVVIYIEGPPAGTDILINSLVVRHAEKIPPSPRPVIENPAYGINIIQNSNLSDGTNGWFPLGNCTLSVATGSPRILPPMARDSLGPQEPLSGRYILITKRTQTWMGPAQMITDKIKLFLTYQVSAWVKISSGASGPQIVNVALGVDNQWVNGGQVEISDDRWHEIGGSFRIEKQPSKVMVYIQGPAPGVDLMVAGLHIFPVDREARFKHLRIQTDKIRKCDVTLKVSGMDSGGFLGAFLKVRQTQNAFPFGSCMSKTNLDNEDFVSFFVKNFNWAVFGNELKWYWTEAQKGNFNYRDADEMLDVCIKNNIETRGHCIFWEVEGAVQPWIKALNKNDLMTAVQNRLTGLLTRYKGKFRHYDVNNEMLHGSFYQDRLGKNIRAYMFKTANQLDPSATLFVNDYHVEDGNDTRSSPEKYIAQILDLQEQGAPVGGIGVQGHIDSPVGPIVCSALDKLGYLGLPIWFTELDVSSINEYIRGDDLEVMLREAFAHPAVEGIMLWGFWELFMSRDNAHLVNAEGEVNEAGKRYLGLKEEWLTGAHGHLNEQGEFTFRGFPGAYEVEIFTLCKKFTKTFVVDKGDTPVVVSIDLK >Manes.15G010950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:948838:949092:-1 gene:Manes.15G010950.v8.1 transcript:Manes.15G010950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSIFETCTCLIKLLYFLNSAFWCRESISEASTCLIDLLYFLNSAFWYRDLFPRPTPASLVFFILSTQLLVLRVYFRGRHLPH >Manes.02G190700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15314205:15316940:-1 gene:Manes.02G190700.v8.1 transcript:Manes.02G190700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKDRLCQETWAKASSSGNELAKKVCTKKPSFTNFNFHSHQYQQKWEDTSIFGIRTGPPFQEFNPQSENQSFIPSNPNDHIKIVDRDVSQSNETLQINKIPDWDPRAMLNNLSFLEQKIHQLQDLVHLIVGRRGQVLEQAEELLAQQQQLIIADLTSIIAQLISTSGSLLPSVKHTLSAITSPVGQLGGILFSSETVMSNSLQPQRSNGSKVSDQFNRMDVTGNCGTERNYSVGEHEMKDEEDAEEGENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNRETSSEPVLIKRYSCPFAGCKRNKDHKKFQALKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVIADLRTHEKHCGKDRWLCSCGTTFSRKDKLFGHIALFQGHTPAIPPDETKGPSGPSDKGEDNDVASKVASRNNSFGSSGATVSEAQNIMDVKGGVDDPASYLSPLNFDACNFGGFQEFSRPPFDDSESSLSFLISSSCNYNQKTGGESNSNNLH >Manes.01G241100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40268649:40273481:-1 gene:Manes.01G241100.v8.1 transcript:Manes.01G241100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKAPSTRTRVGKYELGRTLGEGTFAKVKFAKNVETGENVAIKIIDRDQILRLKMVEQLKREISTMKLIKHPNVIQIYEVMASKRKIYIVLEFVDGGELFDKIARNGRLKEDEARRYFHQLIDAVDYCHSRGVSHRDLKPENLLLDSYGTLKVSDFGLSAVLSKQVQGDGLLHTACGTPNYVAPEVLKDKGYDGTGSDIWSCGVILYVLMAGYLPFDEPSLMGLYKKICSADFTFPSCFSSGSRKLIKRILDPNPVTRITIPQILEDEWFKKGYKPPQFEQGDDVNLDDVDAAFNDSKEHLVTERKEKPVSMNAFELISKTQGFSLDNLFEKQAGLVKRETRFASRTPANEIMSKIEDAAKPLGFNVDKRNYKMKLKGDKNGRKGQLSVATEVFEVAPSLHIVELRKIGGDTLEFHKFYKTFSTGLKDVVWKSDGT >Manes.13G147900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36379541:36381037:-1 gene:Manes.13G147900.v8.1 transcript:Manes.13G147900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSFHFISVFGRSAMEQIKHTHVQVRGLKLHVAEIGIGTKVVLFLHGFPEIWYTWRHQMVAVAEAGYRAIAIDFRGYGLSDQPPAPEEGTFMDLVHDVVALLDTLGISKVFVVGKDFGAVPVSMLAALHPDRVSASVTLGVPFLLPGPNAVQNHLMPKGFYITRWQELGRAEVDFGRLDVKTVVRNIYILFSGVEPPVAGDDQEIMDLVDPSTPLPPWFSEEDLAVYASLYEKSGFRYPLRVPYRYICKILHA >Manes.13G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36378545:36381037:-1 gene:Manes.13G147900.v8.1 transcript:Manes.13G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSFHFISVFGRSAMEQIKHTHVQVRGLKLHVAEIGIGTKVVLFLHGFPEIWYTWRHQMVAVAEAGYRAIAIDFRGYGLSDQPPAPEEGTFMDLVHDVVALLDTLGISKVFVVGKDFGAVPVSMLAALHPDRVSASVTLGVPFLLPGPNAVQNHLMPKGFYITRWQELGRAEVDFGRLDVKTVVRNIYILFSGVEPPVAGDDQEIMDLVDPSTPLPPWFSEEDLAVYASLYEKSGFRYPLRVPYRSMGIDCGVTDPKVKCPALLIMGEKDYVLKFAGMEDYIRSGQVKQFVPELSILFLEEGCHFVHEQLPEKVNAILIEFLNKHSN >Manes.07G123800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32720613:32723326:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKKSGRTVPEVGRCRKRNSEAN >Manes.07G123800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32719717:32723325:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGLLSTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKKSGRTVPEVGRCRKRNSEAN >Manes.07G123800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32720454:32723325:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGLLSTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKKSGRTVPEVGRCRKRNSEAN >Manes.07G123800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32720536:32723325:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKNSSQKSGRTVPEVGRCRKRNSEAN >Manes.07G123800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32719717:32723326:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKKSGRTVPEVGRCRKRNSEAN >Manes.07G123800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32719717:32723326:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKHTFRILHRRAEELSQKLAGAEKEIQRLTEALAESHNVWQINRICCDWIMVFDHTV >Manes.07G123800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32720110:32723341:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGLLSTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKHTFRILHRRAEELSQKLAGAEKEIQRLTEALAESHSTTN >Manes.07G123800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32720536:32723326:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKHTFRILHRRAEELSQKLAGAEKEIQRLTEALAESHSTTN >Manes.07G123800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32720110:32723332:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGLLSTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKNSSQKSGRTVPEVGRCRKRNSEAN >Manes.07G123800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32719717:32723325:-1 gene:Manes.07G123800.v8.1 transcript:Manes.07G123800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELHYNGIDGNRQANLRVDTFWFIDALSRLLNFYRYDRYRCSAAATVMCQRLFSRQRPYYDHREVATACMMIAKRNYTPNDAQIDQICNYSFLLIHSGESFTKMVAPDGWKERMLWVERVVFSFLQHDDMKVDLPHDLFHYHTRFIQPALRHFCYQLLNDGLLSTAFLVFPRHYLVAGALYAGRTMFDLPFRYDWWEQCELNPDMVQEVGESFLESQEIRQQSRFILPSDKHTFRILHRRAEELSQKLAGAEKEIQRLTEALAESHNVWQINRICCDWIMVFDHTV >Manes.06G109700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24144532:24146829:1 gene:Manes.06G109700.v8.1 transcript:Manes.06G109700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTPSSNLLLYSFLAVSNKVFNPNCVWVPFLTSRSHPPPGPPPHFPYLYPPISLSFWFETQTILPLWSFHSPPPPPPYRNFFSHLLLESTRSFFFHCTLQREDMVSDVEPNRGTDNIKFLCSYGGKILPRSVDGKVRYVGGFTRILTVNPTISFTELMVKLGEFCGYSVELRCQLPNGNLEMLISIKSDEELALLIEEYDLRCPGSKVRAVLSPPASLKTVSPPPSSPASIDFYPVKSPFSAFSHRRSGNYSPLIGYPICVYKDSCRVRYSAFHGQVHPGLVYCDSYCNGQANPRLRCCDLCYSKNWQ >Manes.01G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31563815:31566017:-1 gene:Manes.01G119400.v8.1 transcript:Manes.01G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSQENVVALPLVSRTGRHLQRYTKAGRRQVVGCIPYKYKSGKQEFLDIEEGLEVLVISSQKGKGMLFPKGGWELDETIIEAASRETLEEAGVRGIVECALGEWSFKSKTHDTYYEGYMFPLLVQEELDFWPEKNFRQRQWMSVADAKECCQHWWMKEALDRLINRLRCQQQLEKEEAVSCTLSF >Manes.14G026300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2412601:2414320:-1 gene:Manes.14G026300.v8.1 transcript:Manes.14G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSSDKPKPKHRKGLWSPEEDQKLRNYVLKHGHGCWSSVPINAGLRRNGKSCRLRWINYLRPGLKRGTFSLQEEETILNLHRLLGNKWSQMAQHLPGRTDNEIKNYWHSHLKKKIHKADHGVLEEPNAHDTSSDNLESNNRDQSVVQLSDHSPKKAYRSSLPKLMFAEWLSLDSFSSLNEPIMGSLDQNNSRFQDNLMQGCVLNEGTFCNSEFHNSISDASAEEMFSSQFRFEWESSGNDQFVDFGSGDDICSEFTVNNDLMFIQNYKH >Manes.04G047800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5634864:5638488:1 gene:Manes.04G047800.v8.1 transcript:Manes.04G047800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYQTHLVLPIIFLVVILGSNFIAGQTLETNGFDPGTDLLETNNVSQSDDTVRVDPLDNFNKYRGGYDITNKHYWSSTIFTGVHGYAIGVLWLLAGIIYGSFLLANAYCCKSRRKQKHKKKNLPCNKQCFLWPIFLAILFTIFAVTASGLVLGGNVEFHSRARTVVNIIIDTADDASGTIYNTTGPMKEIRDNLQSSNESSEQASSFLTSTSQKLDDQAADIERQARKNRRLIEKGLKIVYVITTVTVSLNLAAVVALSVCGTLRLRRALNLLIIVCWILTTLCWMFFGVYFFLGKFSGDTCTALENFQKDPYNNSLSSILPCDELLSAKPILMDVSEGIYNIVNQVNENISVVQVCNPFSGPPEYQYQEDNCPSNTIRIGDIPKILEPLTCSGTNNGTCNPGEFISINDFRTVEDYTTSVQNLLNAYPGMESLVECQTVKNAFSDILKNHCKPLKKYVRIVWVSNLFLSLSMVFLVLIWTVQALHEQEHHSLDSSVKPHSAEVKEVESGETEGAKDCSN >Manes.04G047800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5634093:5638469:1 gene:Manes.04G047800.v8.1 transcript:Manes.04G047800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYQTHLVLPIIFLVVILGSNFIAGQTLETNGFDPGTDLLETNNVSQSDDTVRVDPLDNFNKYRGGYDITNKHYWSSTIFTGVHGYAIGVLWLLAGIIYGSFLLANAYCCKSRRKQKHKKKNLPCNKQCFLWPIFLAILFTIFAVTASGLVLGGNVEFHSRARTVVNIIIDTADDASGTIYNTTGPMKEIRDNLQSSNESSEQASSFLTSTSQKLDDQAADIERQARKNRRLIEKGLKIVYVITTVTVSLNLAAVVALSVCGTLRLRRALNLLIIVCWILTTLCWMFFGVYFFLGKFSGDTCTALENFQKDPYNNSLSSILPCDELLSAKPILMDVNENISVVQVCNPFSGPPEYQYQEDNCPSNTIRIGDIPKILEPLTCSGTNNGTCNPGEFISINDFRTVEDYTTSVQNLLNAYPGMESLVECQTVKNAFSDILKNHCKPLKKYVRIVWVSNLFLSLSMVFLVLIWTVQALHEQEHHSLDSSVKPHSAEVKEVESGETEGAKDCSN >Manes.04G047800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5634093:5638469:1 gene:Manes.04G047800.v8.1 transcript:Manes.04G047800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYQTHLVLPIIFLVVILGSNFIAGQTLETNGFDPGTDLLETNNVSQSDDTVRVDPLDNFNKYRGGYDITNKHYWSSTIFTGVHGYAIGVLWLLAGIIYGSFLLANAYCCKSRRKQKHKKKNLPCNKQCFLWPIFLAILFTIFAVTASGLVLGGNVEFHSRARTVVNIIIDTADDASGTIYNTTGPMKEIRDNLQSSNESSEQASSFLTSTSQKLDDQAADIERQARKNRRLIEKGLKIVYVITTVTVSLNLAAVVALSVCGTLRLRRALNLLIIVCWILTTLCWMFFGVYFFLGKFSGDTCTALENFQKDPYNNSLSSILPCDELLSAKPILMDVSEGIYNIVNQVNENISVVQVCNPFSGPPEYQYQEDNCPSNTIRIGDIPKILEPLTCSGTNNGTCNPGEFISINDFRTVEDYTTSVQNLLNAYPGMESLVECQTVKNAFSDILKNHCKPLKKYVRIVWVSNLFLSLSMVFLVLIWTVQALHEQEHHSLDSSVKPHSAEVKEVESGETEGAKDCSN >Manes.02G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9883476:9888902:1 gene:Manes.02G130100.v8.1 transcript:Manes.02G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNPLLPVFLVALLFAFCCFFGLPQVADACITRHYKFNIVSTNVTRLCRTKSMVTVNGQFPGPPVVVREGDHLLVKVVNHVSSNITIHWHGVRQLGNGWADGPSYITQCPIQTNNTYVYNFTITGQRGTLFWHAHFQWLRATVYGPLIILPQLNASYPFPKPYKEVTILLGEWYNTDPEAIISQALQTGAAPNVSDAYTINGLPGPLYNCSSKDTYRLKVKPGKTYLLRVINAAVDADLFFTIANHSLTVVEADANYVKPFETELLLISAGQTVNVLLKTKPIAPSAKFFILARPYSTSLGQIDNTTVVGILEYQTCSNFFKSNRLPVIRPSLPPISATNVAANYSSRLRRLVNAQFPLNMPQNVDRFFYFTIGLGTSPCPKNQTCQGPNGTKFAASMNNNSFSLPSKAILQAYYTKQSNGVYSTNFPRFPPNPFNYTGTPPNSTFVTQGTKVAVLPFNAGVEVVLQGTSIQGNESHPLHFHGYNFYVVGQGFGNFDPYNDPKNYNLVDPVELNTAAVPSGGWLAIRFFADNPGVWLMHCHFDVHLSWGMTMAWIVLDGELPNQKMLPPPFDLPNC >Manes.07G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33046639:33053168:-1 gene:Manes.07G128300.v8.1 transcript:Manes.07G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAQESQMQMAAAAVKQRQQLRASMMKEKEEELALFLEMKKREKEQNNLLLSNTEEFDAPLGSKPGTSPIFNISSTAIPARKATGVDDFLNSESDKNDYDWLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQLDPASRGNSVSKQSASSPGLNSSAAGTRRPSSSGGPGSRPATPTGRPTLTTTSKPARSSTPTSRATLLSSKSAASAAKSTVSAAKPTASAAKPTVPTRSSTPSRSSTPTARPSIPPSKSTSRASTPTRRPSTPSSGSTVSAPVKSTPSVTKTMPTTSTISAPVRSTPSVTKTTPTAARNPVPSRGTSPTAKSRPWKPSEMPGFSLDAPPNLRTSLPERPLSATRGRPGAPTARSSSVEPTPNGRPRRQSCSPARGRAPNGFIYPSGSSVPAIRGHSKINDSVSPVLIGTKMVERVINMRKLAPPKQDDKPSPHGNLSGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMANIPASSMYSVRSGSTRSRTVSVSDSPLATSSNASSEVSVNNNGICLDGIDLEDDIGSERGGRSPLRGR >Manes.09G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5962806:5963963:1 gene:Manes.09G026700.v8.1 transcript:Manes.09G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFAVAFSAVPLTLYVPPIRSLNPFVETIEDLLRQTAFYSLRAYPRFRLAFSRIFSNLFRLSRFAAPS >Manes.11G141000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30654867:30675470:1 gene:Manes.11G141000.v8.1 transcript:Manes.11G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILFTLSSTLPFSFPSLNLKSKSFIFLRSSPLFLALPRSSSLSISYLIMPQRRGGLKEKQWKLKPSPDQSSPCGQGASVAAVTDRIGGLSIAESSGQSNVASSVTAPFSNAPVANQDNLQGQKAIWKPKSYGTVSGASTVEVENVPSNGMPVDVQSSASGTDAVAAQKSSVTLSKFFKGNLLENFVVDNSTYSQAQIRATFYPKFENEKSDQEIRIRMIEMVSKGLATLEVTLKHSGSLFMYAGHKGGAYAKNSFGNIYTAVGVFVLGRMFHEAWGTAAAKKQAEFNEFLEENRMCISMELVTAVLGDHGQRPREDYVVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDALCEEGTATTVCRALDEVADISVPGSKDHIKVQGEILEGLVARVVSPDSSKHMENVLREYHPPPAEGADLNLGSSLREICAANRADEKQQIKALLQSIGSSFCPDNSDWFGVEVGGTHSRNADRSVVSKFLQAHPADYSTKKLQEMVRLLRERRFPTAFKCYHNFQKIDSVSNDNLFYKMVIHVHSDSGFRRYQKEMRHKPGLWPLYRGFFVDINLFKGNKERAAEIAKNNNKMEANINGNDAVSAKDGIADEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPSAYKAYYLRQMKIWGTSAGKQRELSKMLDEWAVYIRRKHGRKQLSSSIYLSEAEPFLEQYASRSLENQALIGSAGSLVRAEDFLAIIEGDRDEEGDLETEREVAPPSPVPSVKDTVQKNEGLIVFFPGIPGCAKSALCKELLNAPGGLGDDRPVHSLMGDLIKGRYWQKVAEERRRKPYSIVLADKNAPNEEVWRQIEDMCRSTRASAVPVIPDSEGTDSNPFSLDSLSVFIFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYDGKSQKEFESELIERFGSLVKMPLLKSDRSPLPDPVRLILEEGINLYRLHTNRHGRLESTKGSFAKEWANWEKRLREVLFSNAEYLNSIQVPFESAVKHVLEQLRKIAKGEYTTPIIEKRKLGTIVFAAINLPVAEISSSLNNLAQKNPKVEAFLQDKNMELNLKKAHLTLAHKKSHGVTAVASYGLFLNQKVPVELTALLFTDKMAALEAKPGSVDGEKVVSKNQWPHVTIWTGEGVAPKEANALPQLFSEGNATRVEISPPIIISGTVEFY >Manes.12G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:698114:702691:-1 gene:Manes.12G006400.v8.1 transcript:Manes.12G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQRLPSLHQEYAFFYHFSNKNEGLPFKYHSSFVPQPAASVNTKLHFPIESSFLKIFDRKMALKAVHVSDVPSLSDNAEFSLCSPRFTNKCVNNHEGDRDCTFKFPKFVVMGHRGSGMNMLQSSDKRMKSIKENSILSFNAAAKLPLDFIEFDVQVTKDDCPVIFHDSFILTEDQGSIVEKRVTDLTLAEFLTYGPQKEPGNVSKPLFRKTKDGRIFEWKVEEDDPLCTLQDVFQKVDGSVGFNIELKFDDQTIYKLEEFTHILQVILKVVLEHAKDRPVMFSSFQPDAAQLMRKLQNKYPVFFLTNGGSEIYTDTRRNSLDEAIKVCMEGGLQGIVSEVKAIFRNAEAVTKIKESKLSLISYGLLNNVPEVVYVQHLMGVEGVIVDLVREITEAVPDFSDPAKVGEELRLLGKEGKMKVEALCQTPGELSVDNLICSVIQ >Manes.09G142900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34118735:34121477:-1 gene:Manes.09G142900.v8.1 transcript:Manes.09G142900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSETRDCMAQRPRNNNKYNNSFLIPSPAEDQRILESKRCTQGVRAGMKAAAIACVVTAVPTLTAVRVIPWAKANLNYTAQALIISGASIASYFITADKTILECARRNAQYDKTA >Manes.09G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34118735:34121477:-1 gene:Manes.09G142900.v8.1 transcript:Manes.09G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSETRDCMAQRPRNNNKYNNSFLIPSPAEDQRILESKRCTQEGVRAGMKAAAIACVVTAVPTLTAVRVIPWAKANLNYTAQALIISGASIASYFITADKTILECARRNAQYDKTA >Manes.01G113700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31150287:31159781:-1 gene:Manes.01G113700.v8.1 transcript:Manes.01G113700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNTQIAPETLIDGNILVAKSFARCGVTHMFGVVGIPVTSLANRAVSLGIRFLAFHNEQSAGYAASAYGYLTGRPGLLLTVSGPGCVHGLAGLSNAMANAWPMVMISGSCDQKDAGRGDFQELDQIEAVKPFSKFSVKAKDIKDIPNCVVQVIDQAVSGRPGGCYLDLPSDVLHQTVTEPEAESLVTAAFNSSKSKSVANGSEVPTSEIEGAVELLRKAERPLIVFGKGAAYARAENELRKLVETTGIPFLPTPMGKGLLPDTHELAASAARSLAIGKCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDICKDEIELRKPHLGLVGDAKKVLELTNKEIKDDPFCLAKTHPWVEAISKKAKENVSRMEAQLAKDVVPFNFLTPMRIIRDAILGVSSPAPIVVSEGANTMDVGRAVLIQTEPRSRLDAGTWGTMGVGLGYCIAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNGGVYGGDRRSPEEITGPLKGYLVETPDELKSALAEAFSARKPTVINVVIDPYAGAESGRLQHKN >Manes.01G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31150287:31159781:-1 gene:Manes.01G113700.v8.1 transcript:Manes.01G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNTQIAPETLIDGNILVAKSFARCGVTHMFGVVGIPVTSLANRAVSLGIRFLAFHNEQSAGYAASAYGYLTGRPGLLLTVSGPGCVHGLAGLSNAMANAWPMVMISGSCDQKDAGRGDFQELDQIEAVKPFSKFSVKAKDIKDIPNCVVQVIDQAVSGRPGGCYLDLPSDVLHQTVTEPEAESLVTAAFNSSKSKSVANGSEVPTSEIEGAVELLRKAERPLIVFGKGAAYARAENELRKLVETTGIPFLPTPMGKGLLPDTHELAASAARSLAIGKCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDICKDEIELRKPHLGLVGDAKKVLELTNKEIKDDPFCLAKTHPWVEAISKKAKENVSRMEAQLAKDVVPFNFLTPMRIIRDAILGVSSPAPIVVSEGANTMDVGRAVLIQTEPRSRLDAGTWGTMGVGLGYCIAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNGGVYGGDRRSPEEITGPLKGDPAPTSFVPSAAYHILIEAFGGKGYLVETPDELKSALAEAFSARKPTVINVVIDPYAGAESGRLQHKN >Manes.08G135100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37222998:37224019:1 gene:Manes.08G135100.v8.1 transcript:Manes.08G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKSNICMFLLLCLTGGAIAEQCGSQAGGQLCPNNLCCSRYGWCGTTDEFCSDGCQSNCKGGGGGGGGGGGGGQIARNVRATYHLYNPQNHGWDLNAVSAYCSTWDANKPYSWRSKYGWTAFCGPVGAHGRPSCGKCLRVTNTRTKAQTIARIVDQCSNGGLDLDVNVFLKLDTDGVGYQQGHLIVDYQFVDCGNSLNPLFSVMDN >Manes.17G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26456965:26460847:1 gene:Manes.17G064400.v8.1 transcript:Manes.17G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKEQQANNNNNNSSSLDNSSPRGNEDPKSQDERESDGGEVGSPVSQDHHNEQHPFNEENEESEKSLASDCKPIDDAERIQEVGVGDDTVVRIERELNPEHCMESKDISVEHGESGKELYDGYEKNSSSGSSSSSSSSSSSSSDDEPQTLDKKRKEEVLDSALDNVEKVLTEEVTKVLGIEKPLKEANGNSIAETVPTVDLITQVVPKEANHVIDGAAFGKLDVLDVVEPGSKENEEKLLQESSGVTSSLVPEKNKDNLFHVLHENVGASTNMVSSAKNGNEDKALAVSGAHFSETITYAENIKESETVNGNVVVNEPKTLTSSVAHTFGTSNDADKAKAIETSEYAETQPLVPQAPQVSQRTSWMSCCGLFDLFTGSNR >Manes.16G125500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32701652:32709182:1 gene:Manes.16G125500.v8.1 transcript:Manes.16G125500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQRTNYSEATVEIKIKTLDSQTYTLRVDKCVPVPELKERIATVTGVLSEQQRLICRGRVLKDDQLLSAYHVEDGHTLHLVVRQPIPPSSDSLPNIDPETDHLSNAFHSQGIGGEPSVLVGAFNISENGDGAFPAFNQILSAFLNSIGVGSGSQVVTPWEPSNSGLGDFSGQQQHQATLQPPVVPDSLATLSQYLSQLRDEFGTSGGSRNNSHIAATHGINLQTADSALPSTAGQRGLSRPASLAEVLLSTRQLLIEQVADCLSHLAQQLEGQANVTDPTVRMSFQTNCLRYGALLQNLGALILELGRTTMTLRVGQTPADAMVNAGPPVFISTFGPNPMMVQPFPFQSGTSLGTTPVGTAEVTRNINVRIRTGSFMPNERDSNILQPSTRETNPAVSGVADSVSQATAASLGNPPSIRVSEVRLSSDQNPCVPSNASGNGDFQTLATISTTHEAAANSQEATPTVGSEGAFFSNVLRQMMPFITQNVALGSEVASSGRDAPNTEASTQASDSDVGSSSRPRGDTSFDGPSKRQKRD >Manes.16G125500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32701769:32709171:1 gene:Manes.16G125500.v8.1 transcript:Manes.16G125500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQRTNYSEATVEIKIKTLDSQTYTLRVDKCVPVPELKERIATVTGVLSEQQRLICRGRVLKDDQLLSAYHVEDGHTLHLVVRQPIPPSSDSLPNIDPETDHLSNAFHSQGIGGEPSVLVGAFNISENGDGAFPAFNQILSAFLNSIGVGSGSQVVTPWEPSNSGLGDFSGQQQHQATLQPPVVPDSLATLSQYLSQLRDEFGTSGGSRNNSHIAATHGINLQTADSALPSTAGQRGLSRPASLAEVLLSTRQLLIEQVADCLSHLAQQLEGQANVTDPTVRMSFQTNCLRYGALLQNLGALILELGRTTMTLRVGQTPADAMVNAGPPVFISTFGPNPMMVQPFPFQSGTSLGTTPVGTAEVTRNINVRIRTGSFMPNERDSNILQPSTRETNPAVSGVADSVSQATAASLGNPPSIRVSEVRVMPIRTVVTAIPASLSSDQNPCVPSNASGNGDFQTLATISTTHEAAANSQEATPTVGSEGAFFSNVLRQMMPFITQNVALGSEVASSGRDAPNTEASTQASDSDVGSSSRPRGDTSFDGPSKRQKRD >Manes.16G125500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32701769:32709176:1 gene:Manes.16G125500.v8.1 transcript:Manes.16G125500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQRTNYSEATVEIKIKTLDSQTYTLRVDKCVPVPELKERIATVTGVLSEQQRLICRGRVLKDDQLLSAYHVEDGHTLHLVVRQPIPPSSDSLPNIDPETDHLSNAFHSQGIGGEPSVLVGAFNISENGDGAFPAFNQILSAFLNSIGVGSGSQVVTPWEPSNSGLGDFSGQQQHQATLQPPVVPDSLATLSQYLSQLRDEFGTSGGSRNNSHIAATHGINLQTADSALPSTAGQRGLSRPASLAEVLLSTRQLLIEQVADCLSHLAQQLEGQANVTDPTVRMSFQTNCLRYGALLQNLGALILELGRTTMTLRVGQTPADAMVNAGPPVFISTFGPNPMMVQPFPFQSGTSLGTTPVGTAEVTRNINVRIRTGSFMPNERDSNILQPSTRETNPAVSGVADSVSQATAASLGNPPSIRVSEVRNPCVPSNASGNGDFQTLATISTTHEAAANSQEATPTVGSEGAFFSNVLRQMMPFITQNVALGSEVASSGRDAPNTEASTQASDSDVGSSSRPRGDTSFDGPSKRQKRD >Manes.16G125500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32701773:32709171:1 gene:Manes.16G125500.v8.1 transcript:Manes.16G125500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQRTNYSEATVEIKIKTLDSQTYTLRVDKCVPVPELKERIATVTGVLSEQQRLICRGRVLKDDQLLSAYHVEDGHTLHLVVRQPIPPSSDSLPNIDPETDHLSNAFHSQGIGGEPSVLVGAFNISENGDGAFPAFNQILSAFLNSIGVGSGSQVVTPWEPSNSGLGDFSGQQQHQATLQPPVVPDSLATLSQYLSQLRDEFGTSGGSRNNSHIAATHGINLQTADSALPSTAGQRGLSRPASLAEVLLSTRQLLIEQVADCLSHLAQQLEGQANVTDPTVRMSFQTNCLRYGALLQNLGALILELGRTTMTLRVGQTPADAMVNAGPPVFISTFGPNPMMVQPFPFQSGTSLGTTPVGTAEVTRNINVRIRTGSFMPNERDSNILQPSTRETNPAVSGVADSVSQATAASLGNPPSIRVSEVRVMPIRTVVTAIPASVRQTFSDSSHSSMGLFHPVLDGAQNVNSEILDNTSNSQLSSDQNPCVPSNASGNGDFQTLATISTTHEAAANSQEATPTVGSEGAFFSNVLRQMMPFITQNVALGSEVASSGRDAPNTEASTQASDSDVGSSSRPRGDTSFDGPSKRQKRD >Manes.16G125500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32701771:32709171:1 gene:Manes.16G125500.v8.1 transcript:Manes.16G125500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQRTNYSEATVEIKIKTLDSQTYTLRVDKCVPVPELKERIATVTGVLSEQQRLICRGRVLKDDQLLSAYHVEDGHTLHLVVRQPIPPSSDSLPNIDPETDHLSNAFHSQGIGGEPSVLVGAFNISENGDGAFPAFNQILSAFLNSIGVGSGSQVVTPWEPSNSGLGDFSGQQQHQATLQPPVVPDSLATLSQYLSQLRDEFGTSGGSRNNSHIAATHGINLQTADSALPSTAGQRGLSRPASLAEVLLSTRQLLIEQVADCLSHLAQQLEGQANVTDPTVRMSFQTNCLRYGALLQNLGALILELGRTTMTLRVGQTPADAMVNAGPPVFISTFGPNPMMVQPFPFQSGTSLGTTPVGTAEVTRNINVRIRTGSFMPNERDSNILQPSTRETNPAVSGVADSVSQATAASLGNPPSIRVSEVRVMPIRTVVTAIPASLSSDQNPCVPSNASGNGDFQTLATISTTHEAAANSQEATPTVGSEGAFFSNVLRQMMPFITQNVALGSEVASSGRDAPNTEASTQGES >Manes.16G125500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32701771:32709171:1 gene:Manes.16G125500.v8.1 transcript:Manes.16G125500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQRTNYSEATVEIKIKTLDSQTYTLRVDKCVPVPELKERIATVTGVLSEQQRLICRGRVLKDDQLLSAYHVEDGHTLHLVVRQPIPPSSDSLPNIDPETDHLSNAFHSQGIGGEPSVLVGAFNISENGDGAFPAFNQILSAFLNSIGVGSGSQVVTPWEPSNSGLGDFSGQQQHQATLQPPVVPDSLATLSQYLSQLRDEFGTSGGSRNNSHIAATHGINLQTADSALPSTAGQRGLSRPASLAEVLLSTRQLLIEQVADCLSHLAQQLEGQANVTDPTVRMSFQTNCLRYGALLQNLGALILELGRTTMTLRVGQTPADAMVNAGPPVFISTFGPNPMMVQPFPFQSGTSLGTTPVGTAEVTRNINVRIRTGSFMPNERDSNILQPSTRETNPAVSGVADSVSQATAASLGNPPSIRVSEVRLSSDQNPCVPSNASGNGDFQTLATISTTHEAAANSQEATPTVGSEGAFFSNVLRQMMPFITQNVALGSEVASSGRDAPNTEASTQGES >Manes.16G125500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32701764:32709171:1 gene:Manes.16G125500.v8.1 transcript:Manes.16G125500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNQRTNYSEATVEIKIKTLDSQTYTLRVDKCVPVPELKERIATVTGVLSEQQRLICRGRVLKDDQLLSAYHGHTLHLVVRQPIPPSSDSLPNIDPETDHLSNAFHSQGIGGEPSVLVGAFNISENGDGAFPAFNQILSAFLNSIGVGSGSQVVTPWEPSNSGLGDFSGQQQHQATLQPPVVPDSLATLSQYLSQLRDEFGTSGGSRNNSHIAATHGINLQTADSALPSTAGQRGLSRPASLAEVLLSTRQLLIEQVADCLSHLAQQLEGQANVTDPTVRMSFQTNCLRYGALLQNLGALILELGRTTMTLRVGQTPADAMVNAGPPVFISTFGPNPMMVQPFPFQSGTSLGTTPVGTAEVTRNINVRIRTGSFMPNERDSNILQPSTRETNPAVSGVADSVSQATAASLGNPPSIRVSEVRVMPIRTVVTAIPASVRQTFSDSSHSSMGLFHPVLDGAQNVNSEILDNTSNSQLSSDQNPCVPSNASGNGDFQTLATISTTHEAAANSQEATPTVGSEGAFFSNVLRQMMPFITQNVALGSEVASSGRDAPNTEASTQASDSDVGSSSRPRGDTSFDGPSKRQKRD >Manes.13G002900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:819240:820970:-1 gene:Manes.13G002900.v8.1 transcript:Manes.13G002900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >Manes.04G026500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3111517:3115899:1 gene:Manes.04G026500.v8.1 transcript:Manes.04G026500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAIRSHVAPLPSQITVKHSPIDQFPRIDIKSPLISVKRRRNTIVLASLSGENDRAIEADGKNTQAAALQLRDTPYDVVSRDALPKPLSSSQFSSSVSDGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKYAVRHCLLANHGVKVEDLKRVLSHPQALAQCEHTLTSLGLVKEAVDDTAGAAKHVALHKLKDTGAVASSVAGKIYGLNILAEDIQDDCDNVTRFLMLAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGFPNRYFDYLFYVDFEASMADQRAQNALKHLKEFATFLRVLGSYPVDTSMI >Manes.04G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3111517:3115899:1 gene:Manes.04G026500.v8.1 transcript:Manes.04G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAIRSHVAPLPSQITVKHSPIDQFPRIDIKSPLISVKRRRNTIVLASLSGENDRAIEADGKNTQAAALQLRDTPYDVVSRDALPKPLSSSQFSSSVSDGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKYAVRHCLLANHGVKVEDLKRVLSHPQALAQCEHTLTSLGLVKEAVDDTAGAAKHVALHKLKDTGAVASSVAGKIYGLNILAEDIQDDCDNVTRFLMLAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGFPKYFDYLFYVDFEASMADQRAQNALKHLKEFATFLRVLGSYPVDTSMI >Manes.04G026500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3111517:3115939:1 gene:Manes.04G026500.v8.1 transcript:Manes.04G026500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAIRSHVAPLPSQITVKHSPIDQFPRIDIKSPLISVKRRRNTIVLASLSGENDRAIEADGKNTQAAALQLRDTPYDVVSRDALPKPLSSSQFSSSVSDGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKYAVRHCLLANHGVKVEDLKRVLSHPQALAQCEHTLTSLGLVKEAVDDTAGAAKHVALHKLKDTGAVASSVAGKIYGLNILAEDIQDDCDNVTRFLMLAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGFPKYFDYLFYVDFEASMADQRAQNALKHLKEFATFLRVLGSYPVDTSMI >Manes.04G026500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3111517:3115939:1 gene:Manes.04G026500.v8.1 transcript:Manes.04G026500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFAIRSHVAPLPSQITVKHSPIDQFPRIDIKSPLISVKRRRNTIVLASLSGENDRAIEADGKNTQAAALQLRDTPYDVVSRDALPKPLSSSQFSSSVSDGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKYAVRHCLLANHGVKVEDLKRVLSHPQALAQCEHTLTSLGLVKEAVDDTAGAAKHVALHKLKDTGAVASSVAGKIYGLNILAEDIQDDCDNVTRFLMLAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDSNNGFPNRYFDYLFYVDFEASMADQRAQNALKHLKEFATFLRVLGSYPVDTSMI >Manes.06G125400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25521808:25522583:1 gene:Manes.06G125400.v8.1 transcript:Manes.06G125400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSASCLRFQPLLRQSNQVSSLKLVSASWLKSGFPSLRATRFRVSCAAKPETVQKVCDIVRKQLVLSPETQLTPETKFSELGADSLDTVEIVMGLEEEFDINVEEESSQNITTVKEAADLIEKLVQKKAESGA >Manes.06G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25521808:25522583:1 gene:Manes.06G125400.v8.1 transcript:Manes.06G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSASCLRFQPLLRQSNQAVQVSSLKLVSASWLKSGFPSLRATRFRVSCAAKPETVQKVCDIVRKQLVLSPETQLTPETKFSELGADSLDTVEIVMGLEEEFDINVEEESSQNITTVKEAADLIEKLVQKKAESGA >Manes.09G151500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34857217:34865110:1 gene:Manes.09G151500.v8.1 transcript:Manes.09G151500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASVALAVRQKVQQFLNSARTGNLDLLKNVAAQLDDGKGLEKTVADIKDANKRGALHFAAREGQTEVCKFLVEDLKLDVDTKDEDGDTPLLHAARQGHAVTAKFLIEHGADPSIPSDLGATALHHSAGIGNIELTTYLLSKGVEVDSESDAGTPLIWAAGHAQPDALKVLLEHHANPNAETEDNITPVLSAVAAGSLACIESLVQAGADVNVVAGGATPLHIAADNGSPEILNCLLKVGADPNVADEDGQKPIQVAAARGNRAAVEILFPLTAKVQTIPEWTVDGIIGYMQSETNKQLEEMRNLREVSAIEAAAIPRNVPPEVSPEAKARAAEAKSRGDDAFRRKDYCMAVDAYTQHEE >Manes.09G151500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34857217:34871380:1 gene:Manes.09G151500.v8.1 transcript:Manes.09G151500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASVALAVRQKVQQFLNSARTGNLDLLKNVAAQLDDGKGLEKTVADIKDANKRGALHFAAREGQTEVCKFLVEDLKLDVDTKDEDGDTPLLHAARQGHAVTAKFLIEHGADPSIPSDLGATALHHSAGIGNIELTTYLLSKGVEVDSESDAGTPLIWAAGHAQPDALKVLLEHHANPNAETEDNITPVLSAVAAGSLACIESLVQAGADVNVVAGGATPLHIAADNGSPEILNCLLKVGADPNVADEDGQKPIQVAAARGNRAAVEILFPLTAKVQTIPEWTVDGIIGYMQSETNKQLEEMRNLREVSAIEAAAIPRNVPPEVSPEAKARAAEAKSRGDDAFRRKDYCMAVDAYTQAIDLDRTDATLLSNRSLCWLRLGQPEHALTDAQACRALRPDWPKACYREGAALRLLQRFDEAANLFYKGVKLNPENKELVSAFRIGPEEPRRPTELAGSE >Manes.09G151500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34857217:34867279:1 gene:Manes.09G151500.v8.1 transcript:Manes.09G151500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASVALAVRQKVQQFLNSARTGNLDLLKNVAAQLDDGKGLEKTVADIKDANKRGALHFAAREGQTEVCKFLVEDLKLDVDTKDEDGDTPLLHAARQGHAVTAKFLIEHGADPSIPSDLGATALHHSAGIGNIELTTYLLSKGVEVDSESDAGTPLIWAAGHAQPDALKVLLEHHANPNAETEDNITPVLSAVAAGSLACIESLVQAGADVNVVAGGATPLHIAADNGSPEILNCLLKVGADPNVADEDGQKPIQVAAARGNRAAVEILFPLTAKVQTIPEWTVDGIIGYMQSETNKQLEEMRNLREVSAIEAAAIPRNVPPEVSPEAKARAAEAKSRGDDAFRRKDYCMAVDAYTQAIDLDRTDATLLSNRSLCWLRLGQPEHALTDAQACRALRPDWPKACYREGAALRLLQRFDEAANLFYKGVKLNPENKELVSAFRIGPEEPRRPTELAGSE >Manes.09G151500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34857217:34865110:1 gene:Manes.09G151500.v8.1 transcript:Manes.09G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASVALAVRQKVQQFLNSARTGNLDLLKNVAAQLDDGKGLEKTVADIKDANKRGALHFAAREGQTEVCKFLVEDLKLDVDTKDEDGDTPLLHAARQGHAVTAKFLIEHGADPSIPSDLGATALHHSAGIGNIELTTYLLSKGVEVDSESDAGTPLIWAAGHAQPDALKVLLEHHANPNAETEDNITPVLSAVAAGSLACIESLVQAGADVNVVAGGATPLHIAADNGSPEILNCLLKVGADPNVADEDGQKPIQVAAARGNRAAVEILFPLTAKVQTIPEWTVDGIIGYMQSETNKQLEEMRNLREVSAIEAAAIPRNVPPEVSPEAKARAAEAKSRGDDAFRRKDYCMAVDAYTQAIDLDRTDATLLSNRSLCWLRLGQPEHALTDAQACRALRPDWPKACYREGAALRLLQRFDEAANLFYKGVKLNPENKELVSAFREAVEAGRKFHGTDNKNHEILGDK >Manes.09G151500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34857217:34871379:1 gene:Manes.09G151500.v8.1 transcript:Manes.09G151500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASVALAVRQKVQQFLNSARTGNLDLLKNVAAQLDDGKGLEKTVADIKDANKRGALHFAAREGQTEVCKFLVEDLKLDVDTKDEDGDTPLLHAARQGHAVTAKFLIEHGADPSIPSDLGATALHHSAGIGNIELTTYLLSKGVEVDSESDAGTPLIWAAGHAQPDALKVLLEHHANPNAETEDNITPVLSAVAAGSLACIESLVQAGADVNVVAGGATPLHIAADNGSPEILNCLLKVGADPNVADEDGQKPIQVAAARGNRAAVEILFPLTAKVQTIPEWTVDGIIGYMQSETNKQLEEMRNLREVSAIEAAAIPRNVPPEVSPEAKARAAEAKSRGDDAFRRKDYCMAVDAYTQAIDLDRTDATLLSNRSLCWLRLGQPEHALTDAQACRALRPDWPKACYREGAALRLLQRFDEAANLFYKGVKLNPENKELVSAFRIGPEEPRRPTELAGSE >Manes.07G139800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34165656:34165889:-1 gene:Manes.07G139800.v8.1 transcript:Manes.07G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASALLLTLVLLMSLMFQCKVATRIGKDCAPACLVERRGTEKHLDMEDDSGDESGQGDYDYYRRYGDVPSPGIGH >Manes.S033216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:831869:832681:1 gene:Manes.S033216.v8.1 transcript:Manes.S033216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.14G034000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3045113:3047085:-1 gene:Manes.14G034000.v8.1 transcript:Manes.14G034000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIHLPSPLAKKSYHHLLQAPLLLCRSRPKFTIQSQKTTSNTESTNESPVPKKSTSPGLGFGTPSSVAPTKSSSTKAGPSTVSTKRKQRDKRERASIIRRTPVEKPAFVSQEDEAKAKEQSKNESAFLLAWLGLGGIILVEGIVLAASGFLPDKWDKFFVKYLYPSFTPTVALFVAGTVAYGVLKYLQNEELKDQK >Manes.05G016900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1572757:1577503:-1 gene:Manes.05G016900.v8.1 transcript:Manes.05G016900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQRQFQMVGGSNPGQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKEPDAAMRACQNPSPVIDGRRANCNLASLGAHKPRPPTLQQGAGRFRPAPGLVAPPAYHGSTSYIHQPTGQYPFPYSAYGYTGYSHDTLYPLNYYGVYGGQQFSPYYSTGASGTPGMFHNFYPLYTQFGQSSQGHGFGVQYPQMVQYPYLPQQYGSTGSLSIPSSMAMTTTATTGATTMTTPMIPTTTTTAAGVVAAGQGALQASGTASELNSST >Manes.05G016900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1572904:1576966:-1 gene:Manes.05G016900.v8.1 transcript:Manes.05G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIERKSAHTAKKMVGGSNPGQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKEPDAAMRACQNPSPVIDGRRANCNLASLGAHKPRPPTLQQGAGRFRPAPGLVAPPAYHGSTSYIHQPTGQYPFPYSAYGYTGYSHDTLYPLNYYGVYGGQQFSPYYSTGASGTPGMFHNFYPLYTQFGQSSQGHGFGVQYPQMVQYPYLPQQYGSTGSLSIPSSMAMTTTATTGATTMTTPMIPTTTTTAAGVVAAGQGALQASGTASELNSST >Manes.04G122600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32403624:32415606:-1 gene:Manes.04G122600.v8.1 transcript:Manes.04G122600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIFGGTLLAMCANDFICFYDWSECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDSGRPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANELLPSIPKEQHNSVARFLESRGMVENALEVATDPDYKFELAIQLGRLEIAKEIATEVQSESKWKQLGELAMSTGKLEMAEECMKRATDLSGLLLLYSSLGDAEGISKLASVAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVTETRGVYPPAGEYINHADKSQMTLVEAFRNMQIESLENGDYDHEAAEQNGEEQIAEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWVLTPHH >Manes.04G122600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32405253:32415606:-1 gene:Manes.04G122600.v8.1 transcript:Manes.04G122600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIFGGTLLAMCANDFICFYDWSECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDSGRPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANELLPSIPKEQHNSVARFLESRGMVENALEVATDPDYKFELAIQLGRLEIAKEIATEVQSESKWKQLGELAMSTGKLEMAEECMKRATDLSGLLLLYSSLGDAEGISKLASVAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVTETRGVYPPAGEYINHADKSQMTLVEAFRNMQIESLENGDYDHEAAEQNGEEQIAEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWGTNNEGTPSA >Manes.04G122600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32403624:32415606:-1 gene:Manes.04G122600.v8.1 transcript:Manes.04G122600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIFGGTLLAMCANDFICFYDWSECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDSGRPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANELLPSIPKEQHNSVARFLESRGMVENALEVATDPDYKFELAIQLGRLEIAKEIATEVQSESKWKQLGELAMSTGKLEMAEECMKRATDLSGLLLLYSSLGDAEGISKLASVAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVTETRGVYPPAGEYINHADKSQMTLVEAFRNMQIESLENGDYDHEAAEQNGEEQIAEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWGTNNEGTPSA >Manes.04G122600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32403624:32415606:-1 gene:Manes.04G122600.v8.1 transcript:Manes.04G122600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFAWSSDGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIFGGTLLAMCANDFICFYDWSECRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDLVSSYLDSGRPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANELLPSIPKEQHNSVARFLESRGMVENALEVATDPDYKFELAIQLGRLEIAKEIATEVQSESKWKQLGELAMSTGKLEMAEECMKRATDLSGLLLLYSSLGDAEGISKLASVAKEQGKNNVAFLCLFMLGKLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPDEYPNMFDDWQVALSVESKVTETRGVYPPAGEYINHADKSQMTLVEAFRNMQIESLENGDYDHEAAEQNGEEQIAEEHNGEEGSQEEAVVVDADSTDGAVLVNGNEAEEEWGTNNEGTPSA >Manes.16G050850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15733781:15738427:1 gene:Manes.16G050850.v8.1 transcript:Manes.16G050850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEFLCNPIIFTALSLIPALLIIKIFARKWMNKENQLRVKKYHPIGGTVFNQLLNFNRLHHYMTDLAAKHRTYRLLSPFRNEIYTSDPSNVEYILKTNFQNYGKGGHNYNNLRDLLGDGIFTVDGDKWRQQRKVSSYEFSTRMLRDFSSVVFRNNAVKLAVIVSEAATSNKSMDIQDLFMKSTLDSIFKVAFGVELDSMCGSNEEGVTFAKAFDNASAMTLWRYVDVFWKIKKFLNIGSEAALKKNVKVIDEFVYKLINRKIEQIHHFKDDISTKKDDILSRFLQVTETDKTYLRDIILNFVIAGKDTTAATLSWFIYVLCKHPTVQEKVAKEIREVSKVEEVKSFADFSATIDEEALEKMNYLHAAITETLRLYPAVPVDAKICFSDDTLPDGFNVNKGDMVSYQPYAMGRMKFIWGDDAEEYKPERWLSKDGVFQQESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLGCFIFKLSDEKKAVNYRTMINLHVDGGLHVCALHRCKT >Manes.16G050850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15733781:15738427:1 gene:Manes.16G050850.v8.1 transcript:Manes.16G050850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEFLCNPIIFTALSLIPALLIIKIFARKWMNKENQLRVKKYHPIGGTVFNQLLNFNRLHHYMTDLAAKHRTYRLLSPFRNEIYTSDPSNVEYILKTNFQNYGKGGHNYNNLRDLLGDGIFTVDGDKWRQQRKVSSYEFSTRMLRDFSSVVFRNNAVKLAVIVSEAATSNKSMDIQDLFMKSTLDSIFKVAFGVELDSMCGSNEEGVTFAKAFDNASAMTLWRYVDVFWKIKKFLNIGSEAALKKNVKVIDEFVYKLINRKIEQIHHFKDDISMQTKKDDILSRFLQVTETDKTYLRDIILNFVIAGKDTTAATLSWFIYVLCKHPTVQEKVAKEIREVSKVEEVKSFADFSATIDEEALEKMNYLHAAITETLRLYPAVPVDAKICFSDDTLPDGFNVNKGDMVSYQPYAMGRMKFIWGDDAEEYKPERWLSKDGVFQQESPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLGCFIFKLSDEKKAVNYRTMINLHVDGGLHVCALHRCKT >Manes.15G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10087973:10093184:-1 gene:Manes.15G126700.v8.1 transcript:Manes.15G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLETFACAPSTERGRGILISGDPKTNSILYTNGRSVIIRYLDNPLKVHVYGEHGYPATVARYSPNGEWIASADASGTIRIWGTHNDFVLHNEFRVLSGRIDDLQWSPDMLRIVASGDGKGKSLVRAFMWDTGSTVGDFDGHSKRVLSCAFKPTRPFRIVSCGEDFIVNFYEGPPFKFMLSHREHSNFVNCVRFSPDGSKCISVGSDKKGIIYDGKTGEIIGHFSQEDGHTSSIYAVSWSPDSKRVLTVSADKSAKIWEISENGSGNVTKTLECPASGGVEDMLVGCLWQNDYLVIVSLGGTIHLFSASDLDKAPVSFSGHLKNVNALAEIKGDPKLILTSSYDGHIFKWIQGHGYKGRVERKDNAQIKCLVAVEQEIIASGFDNKVRRIPLNGDECGPTEQIDIGSQPKDLSLATDSPGVALVSIDSGVVLLKDLKVVSNIKLGFTVTASVIAPDGSEAIVGGQDGKLHIFSISGDNLTEEAVLEKHRGAISVIRYSPDFSMFASGDLNREAVVWDRVSKEVKLNNMLYNTARINCLAWSPNSSMVATGSLDTCVIVYEVGKPASSRITIKRANLGGVYGVAFTDDSTLVSSGEDAFIRVWRLKPQ >Manes.15G126700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10089399:10093184:-1 gene:Manes.15G126700.v8.1 transcript:Manes.15G126700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLETFACAPSTERGRGILISGDPKTNSILYTNGRSVIIRYLDNPLKVHVYGEHGYPATVARYSPNGEWIASADASGTIRIWGTHNDFVLHNEFRVLSGRIDDLQWSPDMLRIVASGDGKGKSLVRAFMWDTGSTVGDFDGHSKRVLSCAFKPTRPFRIVSCGEDFIVNFYEGPPFKFMLSHREHSNFVNCVRFSPDGSKCISVGSDKKGIIYDGKTGEIIGHFSQEDGHTSSIYAVSWSPDSKRVLTVSADKSAKIWEISENGSGNVTKTLECPASGGVEDMLVGCLWQNDYLVIVSLGGTIHLFSASDLDKAPVSFSGHLKNVNALAEIKGDPKLILTSSYDGHIFKWIQGHGYKGRVERKDNAQIKCLVAVEQEIIASGFDNKVRRIPLNGDECGPTEQIDIGSQPKDLSLATDSPGVALVSIDSGVVLLKDLKVVSNIKLGFTVTASVIAPDGSEAIVGGQDGKLHIFSISGDNLTEEAVLEKHRGAISVIRYSPDFSMFASGDLNREAVVWDRVSKEVSWPQNWISLALTVFTHPFCIYK >Manes.02G188300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15067569:15071129:-1 gene:Manes.02G188300.v8.1 transcript:Manes.02G188300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYLLRRRKFLTLFTNPIAPQRFCAKPTSASLLIKTPRDPCHENPQLDSPFRVLVFGTSFSTQSKFPEYEMPSVTWGVVQGKREKLVNRVIICDYLKSLGIIPDELEDLELPSTVQVMKERVEFLQKLGLTIDDFNAYPLMLGCSVRKNIIPVLGYLEKNGISRSKMGEFVKNYPQVLHASVVVELMPVVKFLRGLDVEKQDLGYVLQKYPELLGFKLEGTMSTSVAYLVSIGVNPRDIGPMVTQFPYFLGMRVGTTIKPFVDFLVELGLPKKIVARMLEKRAYVLGYDLEETIKPNVDCLISFGVRRESLPSVIAQYPQILGLPLKAKLSSQQYFFNLKLKIDPDGFAQVIEKMPQITSLNQHVIMKPVEFLLGRGIPSEDVAKMVVKCPQLVALRVPLMKNSFYFFKSEMGRPINELVDFPEYFTYSLESRIKPRYQRLQSKGIRCSLNWFLNCSDQRFEERLQGDYIESENQGPSFCMGGKLELPGFEVMSDEEDESDDEVLYKRTVSL >Manes.09G187100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37389404:37394043:-1 gene:Manes.09G187100.v8.1 transcript:Manes.09G187100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVFLLGWVEVELLSASPNLVVMEIAETKCSSGYGKPPWIFKGSALYQLHLVKAETARAFIPQEFRLVEAFGYTLGGFFLANYEESPAGAFDELVVIAGIVWNPPTSCAWAARVLVSSNDACGHGRKEVGLPSHVAKFSKRIAAIPTQHMSKSNLFLKMIGLDTGHSRSKDRMDVQVTEITGSSATNMCHINLATLVPGLKYDKWVRAGPSIKLSLPSYSGRTKHNPNLLKYSCNIECRMRTVQPAKVSGPGPTSKHDTEESLGHHKCKSGDSTNGELLDDEQRLSISVMLSKPILALQFSCLTMQVEAPIAVPPCPRSSAGTSLVVS >Manes.09G187100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37389420:37393952:-1 gene:Manes.09G187100.v8.1 transcript:Manes.09G187100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVEVELLSASPNLVVMEIAETKCSSGYGKPPWIFKGSALYQLHLVKAETARAFIPQEFRLVEAFGYTLGGFFLANYEESPAGAFDELVVIAGIVWNPPTSCAWAARVLVSSNDACGHGRKEVGLPSHVAKFSKRIAAIPTQHMSKSNLFLKMIGLDTGHSRSKDRMDVQVTEITGSSATNMCHINLATLVPGLKYDKWVRAGPSIKLSLPSYSGRTKHNPNLLKYSCNIECRMRTVQPAKVSGPGPTSKHDTEESLGHHKCKSGDSTNGELLDDEQRLSISVMLSKPILALQFSCLTMQVEAPIAVPPCPRSSAGTSLVVS >Manes.09G187100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37389237:37394046:-1 gene:Manes.09G187100.v8.1 transcript:Manes.09G187100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVEVELLSASPNLVVMEIAETKCSSGYGKPPWIFKGSALYQLHLVKAETARAFIPQEFRLVEAFGYTLGGFFLANYEESPAGAFDELVVIAGIVWNPPTSCAWAARVLVSSNDACGHGRKEVGLPSHVAKFSKRIAAIPTQHMSKSNLFLKMIGLDTGHSRSKDRMDVQVTEITGSSATNMCHINLATLVPGLKYDKWVRAGPSIKLSLPSYSGRTKHNPNLLKYSCNIECRMRTVQPAKVSGPGPTSKHDTEESLGHHKCKSGDSTNGELLDDEQRLSISVMLSKPILALQFSCLTMQVEAPIAVPPCPRSSAGTSLVVS >Manes.09G187100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37389086:37393952:-1 gene:Manes.09G187100.v8.1 transcript:Manes.09G187100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVEVELLSASPNLVVMEIAETKCSSGYGKPPWIFKGSALYQLHLVKAETARAFIPQEFRLVEAFGYTLGGFFLANYEESPAGAFDELVVIAGIVWNPPTSCAWAARVLVSSNDACGHGRKEVGLPSHVAKFSKRIAAIPTQHMSKSNLFLKMIGLDTGHSRSKDRMDVQVTEITGSSATNMCHINLATLVPGLKYDKWVRAGPSIKLSLPSYSGRTKHNPNLLKYSCNIECRYLFFSAYK >Manes.18G033000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3074620:3076332:-1 gene:Manes.18G033000.v8.1 transcript:Manes.18G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQVSQEILPYLRLYTDGTVERFVGTEVTPPGLDAETDVLSKDISITTPQTTLSARLYRPNSINNSQKLTLLVYYHGGAFCIASPAEPKYQCCLNRLVSQAKIIAISVDYRLAPEDPLPTAYEDSWDCLKWVLAHVSGGTEEWLEHYADFERVFLAGDSAGANIAHHLALRINNDSNLQCPKMKKLQGIAMVHPYFWGKDPIGEEMNDSVRKSMVDNWWMFVCPSDKGCDDPYINPFVKEAPSLKGLASESVLVLVAEKDILRERGKLYYENLMKSGWQGKAEIVETKGEDHGFYIFNPDCENACLLIKRLASYINRA >Manes.13G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2893713:2896455:1 gene:Manes.13G019500.v8.1 transcript:Manes.13G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPISLSSLFLLALAASFISSSSSPVQDPELLVQEVHRRINASRRNLGFLSCGTGNPIDDCWRCDPKWEKNRQRLADCGIGFGKHAIGGRDGKIYIVTDSGDDDPVNPKPGTLRYGVIQDEPLWIIFAGDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNTYVRDSPGHYGWRTESDGDGVSIFGGSHVWIDHCTLSNCNDGLIDAIHGSTAITISNNYMTHHNKVMLLGHSDGYTRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFVAPNDRFSKEVTKHEDAPESEWKHWNWRSEGDLMLNGAFFTSSGAGASSSYARASSLGARPSSLVGSITAEAGALFCKKGKRC >Manes.01G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5760665:5765147:-1 gene:Manes.01G028100.v8.1 transcript:Manes.01G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQHHTGTPPHARPPPPNGDFSPILTVFFIFIAVISLIIIPSSSSIQNSLSILHQVPEGHVGVYWRGGALLKTITDPGFHLKLPLLTQYEPVQVTLQTDQVRDIPCGTKGGVMINFEKIEVVNRLRKDYVYETLINYGVNYDNTWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDEKMKEALQVDCTRYAPGIEIISVRVTKPTIPESIRRNFEQMEEERTKVLIAVERQKVVEKESETTKKMAISEAEKNANVSKILMEQKLMEKDSARRQQEIENQMYMAHEKSLADAAFYRVMKEAEANKLKLTPEFLELKFIEAIADNTKIFFGDKVPNMVLDQRLLGNFLQRFSGEMAKEGNLEEGPTGISEA >Manes.16G070900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25485768:25489075:-1 gene:Manes.16G070900.v8.1 transcript:Manes.16G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKGISTAMNARIVGSGSQDMVLAHGYGADQSLWDKILPDLAEHFRVVVFDWIFSGAVKEEHQHLFDPQKYSSYDAFADDLICLVEDMNLNSPVFVGHSMSGMIGCIASVKRPQLFQRIVLVGASPRYINTGDYEGGFDESEIDDIISNIESNFHNWCSEFPSLVVDARHPDSVEKLSKCLASMRPEVAVSVAKTVFYSDEREILDKVSAPCTIIRTTRDIVVPNSVAYYMQEKIKGKSTVEIIETDGHFPHLTAHQQLLDVLTRVGNLSA >Manes.18G079900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7319027:7324964:1 gene:Manes.18G079900.v8.1 transcript:Manes.18G079900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFCEQNKLSLVMGLLQEHHRMVPMVFYSVLAIIIISIQGQAEAVGENNVTYDGRSLIINGQHRILFSGSIHYPRSTPEYDFSGRKDIISFIKEIQAQGLYVSLRIGPFIEAEWTYGGLPFWLHDVPGIVFRSNNQPFKDHMQRFVSKIVNMMKSENLYASQGGPIIISQIENEYQTIESAFHGEGPRYVRWAAAMAVSLQTGVPWIMCKQMDAPDPVINTCNGMQCGETFAGPNSPNKPSMWTENWTSFYQVYGGETYMRSAQDIAFHVALFIAKNGSYVNYYMYHGGTNFGRTASAFVITSYYDQAPLDEYGLIRQPKWGHLKELHTTIKSCSKTLLNGVRDIFPLGELQQAYVFKENSGECVAFLVNNDLTQDVRVLFQDISFDLPHKSISILPDCKTITFNTAKVNVQSHIRSAMKHQQFNSIERWEEYKETVATFDLTSLRAQTLLDHMSTTKDTSDYLWYTFRFQNNFSNAQSVIKTISHGHVLHAYVNGVYAGSAHGNHKIPSFKMESSIYLRNGTNDVAFLSVTVGLPDSGAYLERKVAGLRTVKVQGKDFTNYEWGYQVGLLGEKLQIYTDNGTNSVQWNQFGSSTHQPLTWYKTLFDAPAGNDPVALNLGSMGKGEIWVNDQSIGRYWVSFQTPKGRTSQTRYHIPRSFLRPTGNLLVLLEEEGGYPPSITLDIISVRTMSRNVSESHPSSV >Manes.18G079900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7319027:7324964:1 gene:Manes.18G079900.v8.1 transcript:Manes.18G079900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFCEQNKLSLVMGLLQEHHRMVPMVFYSVLAIIIISIQGQAEAVGENNVTYDGRSLIINGQHRILFSGSIHYPRSTPEMWPSLISKAKEGGLDVIQTYVFWNLHEPVQGQYDFSGRKDIISFIKEIQAQGLYVSLRIGPFIEAEWTYGGLPFWLHDVPGIVFRSNNQPFKDHMQRFVSKIVNMMKSENLYASQGGPIIISQIENEYQTIESAFHGEGPRYVRWAAAMAVSLQTGVPWIMCKQMDAPDPVINTCNGMQCGETFAGPNSPNKPSMWTENWTSFYQVYGGETYMRSAQDIAFHVALFIAKNGSYVNYYMYHGGTNFGRTASAFVITSYYDQAPLDEYGLIRQPKWGHLKELHTTIKSCSKTLLNGVRDIFPLGELQQAYVFKENSGECVAFLVNNDLTQDVRVLFQDISFDLPHKSISILPDCKTITFNTAKVNVQSHIRSAMKHQQFNSIERWEEYKETVATFDLTSLRAQTLLDHMSTTKDTSDYLWYTFRFQNNFSNAQSVIKTISHGHVLHAYVNGVYAGSAHGNHKIPSFKMESSIYLRNGTNDVAFLSVTVGLPDSGAYLERKVAGLRTVKVQGKDFTNYEWGYQVGLLGEKLQIYTDNGTNSVQWNQFGSSTHQPLTWYKTLFDAPAGNDPVALNLGSMGKGEIWVNDQSIGRYWVSFQTPKGRTSQTRYHIPRSFLRPTGNLLVLLEEEGGYPPSITLDIISVRTMSRNVSESHPSSV >Manes.11G067700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9623299:9624554:1 gene:Manes.11G067700.v8.1 transcript:Manes.11G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIFPLWLIIFLCCHAMALEKKPSSKQEDFAISEPGKCGQFHGGLNDTGRLNGEDERKEYKGNHELFHSILMGKKGKGVYGGANIVHRPRPGEKNDAVLTAKASFVVSATMFSVSLALVVKFFPL >Manes.01G033300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6484500:6490050:-1 gene:Manes.01G033300.v8.1 transcript:Manes.01G033300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFTLSSSAFLPPLKPITPFSSLVKSRTLLVKRSIFISSSLQQSTEPAVSLQTFWKWLGDQGVVLKKSPARPGVVPEGLGLIAERDIAKNEVVLEIPKKLWINPDAVAASDIGSVCSGLKPWVSVALFLIREKLKEDSTWRPYLDILPESTNSTIYWSEEELAELQGSQLLRTTLGAKEFLQREFLKVEEEVLVPHKELFPSPVTLDDFMWAFGILRSRAFSRLRGQNLVLIPLADLINHSPDITTEDYAYEIKGGGLFSRDILFSLRSPISVKAGEQVLIQYDLNKSNAELALDYGFIERKSARNVYTLTLQISESDPFFGDKLDIAETNGLGETAEFDIVLGSALPPAMLPYLRLVALGGADAFLLESIFRNTIWGHLEMPISRANEELICRVVQDACKSALSGYHTTIEEDEKLEGADLNPRLEIAVGVRAGEKKVLKQIDEIFKQRESELDELEYYQERRLRELGLIGEQGEIIFWESK >Manes.01G033300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6484500:6490050:-1 gene:Manes.01G033300.v8.1 transcript:Manes.01G033300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFTLSSSAFLPPLKPITPFSSLVKSRTLLVKRSIFISSSLQQSTEPAVSLQTFWKWLGDQGVVLKKSPARPGVVPEGLGLIAERDIAKNEVVLEIPKKLWINPDAVAASDIGSVCSGLKPWVSVALFLIREKLKEDSTWRPYLDILPESTNSTIYWSEEELAELQGSQLLRTTLGAKEFLQREFLKVEEEVLVPHKELFPSPVTLDDFMWAFGILRSRAFSRLRGQNLVLIPLADLINHSPDITTEDYAYEIKGGGLFSRDILFSLRSPISVKAGEQVLIQYDLNKSNAELALDYGFIERKSARNVYTLTLQISESDPFFGDKLDIAETNGLGETAEFDIVLGSALPPAMLPYLRLVALGGADAFLLESIFRNTIWGHLEMPISRANEELICRVVQDACKSALSGYHTTIEEQQCFLHKLYARGYLKENPHTGFRMKS >Manes.15G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5807830:5808908:-1 gene:Manes.15G077200.v8.1 transcript:Manes.15G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGHGQVMKSRVVKVGSEKSWDFFITQATSKGCPVVVHFTACWCMPSVAMNPFFEELAVKYQDVLFLTVDVDEIKGVARKMEVKAMPTFMLIREGAEVDKLVGANPHEITKRINAFIHSINSPKT >Manes.04G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33631190:33634771:-1 gene:Manes.04G136400.v8.1 transcript:Manes.04G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPGANFDGNPPVAPQPPGTDMTGICFRDQLWLNTYPLDRNLIFDYFALSPFYDWTCNNEQLRLQSIHPLDISQLSKMTGIEYMLSEVMEPNLFVIRKQKRDSPEKVTPMLTYYILDGSIYQAPQLCNVFAARIGRALYYISKAFTAAASKLEKIGYVDEGEGVPSEPKAGKDLIDFKEVKRIDHILASLQRKLPPAPPPPPFPDGYVLPTTEAEKGAETQQGVESQPPVDPIIDQGPAKRMKF >Manes.17G079900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28502655:28507130:-1 gene:Manes.17G079900.v8.1 transcript:Manes.17G079900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTSSISLQSNAPRTSFPSSSSSATKQFQTNLKFSFSLHSTKVARIKSSSTTNYNEVVIDEEMDRIRRLQNGSDVRGVALQGEKGRTVDLTPPAVEAIVESFGEWVIQGLEKEQGRVVEDVRVSLGRDPRVSGASLSVAVFAGLARAGCMVFDMGLATTPACFMSTILPPFAFDASIMMTASHLPYTRNGLKFFTKKGGLTSPEVEEICDKAARKYANRLAKVSKMLNTPPTRVDFMSTYAKHLRDIIKERVNHPLHYDTPLEGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLNPDGMFPNHIPNPEDKTAMALTRTAVLENSADLGIVFDTDVDRSGVVDNKGNPINSDKLIALMSAIVLKEHPGTTIVTDARTSMALSRFITDRGGQHCMYRVGYRNVIDKGVQLNKDGIETPLMMETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLAGSDEGIGSLISDLEGPLESVELRMNIISEPRDAKARAVEAIEKFRSYIEEGKLEGWELDACGDCWVSDGCLVDSNETPAAVDAYMYRAKVSDKEHGEYGWIHMRQSIHNPNIAVNMQSMVPGGCLSMTKVLRDRFLVASGVDKFLDVSQIDKYAGTGLVK >Manes.07G104800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31061508:31069300:1 gene:Manes.07G104800.v8.1 transcript:Manes.07G104800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ADK2 MAVSLRATRTMSFSSLLSRTFSSASLNSQADLKSAPFFAQRKSLPLRPDPRDRNVQWVFLGCPGVGKGTYASRLSNLLGVPHIATGDLVREELNSSGPLASLLKEIVNQGQLVSDEIIINLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDVVVNLKIQEEALLAKCLGRRICSECGGNYNVASIDIKGENGKPGMYMAPLLPPPHCASKLIQRSDDTEEVVKERLRVYDEMVSCSM >Manes.07G104800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31061508:31070037:1 gene:Manes.07G104800.v8.1 transcript:Manes.07G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ADK2 MAVSLRATRTMSFSSLLSRTFSSASLNSQADLKSAPFFAQRKSLPLRPDPRDRNVQWVFLGCPGVGKGTYASRLSNLLGVPHIATGDLVREELNSSGPLASLLKEIVNQGQLVSDEIIINLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDVVVNLKIQEEALLAKCLGRRICSECGGNYNVASIDIKGENGKPGMYMAPLLPPPHCASKLIQRSDDTEEVVKERLRVYDEMSRPVEEFYHKRGKLLEFNLPGGIPESWPKLLEALNLEDHEIKQSAAA >Manes.07G104800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31061508:31077868:1 gene:Manes.07G104800.v8.1 transcript:Manes.07G104800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ADK2 MAVSLRATRTMSFSSLLSRTFSSASLNSQADLKSAPFFAQRKSLPLRPDPRDRNVQWVFLGCPGVGKGTYASRLSNLLGVPHIATGDLVREELNSSGPLASLLKEIVNQGQLVSDEIIINLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDVVVNLKIQEEALLAKCLGRRICSECGGNYNVASIDIKGENGKPGMYMAPLLPPPHCASKLIQRSDDTEEVVKERLRVYDEMSRPVEEFYHKRGKLLEFNLPGGIPESWPKLLEALNLEDHEIKQSAAA >Manes.07G104800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31061795:31063292:1 gene:Manes.07G104800.v8.1 transcript:Manes.07G104800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ADK2 MAVSLRATRTMSFSSLLSRTFSSASLNSQADLKSAPFFAQRKSLPLRPDPRDRNVQWVFLGCPGVGKGTYASRLSNLLGVPHIATGDLVREELNSSGPLASLLKEIVNQGQLVSDEIIINLLSKRLEAGEAKGESGFILDGFPRTVRQAEILEGVTDIDVVVNLKIQEEALLAKCLGRRICSECGGNYNVASIDIKGENGKPGMYMAPLLPPPHCASKLIQRSDDTEEVVKERLRVYDEMVFLLQRLINSNKIKYVLANLYDR >Manes.02G225800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36394511:36400427:-1 gene:Manes.02G225800.v8.1 transcript:Manes.02G225800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEVGDRKRLFMGFRKVDPDRWEFANEGFLRGQKHLLKSISRRKPAHGHAHQQTPQPHGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDGQLQTMVQRLQGMEQRQQQMMSFLAKAMQSPGFLSQFVQQQNESNRRITEANKKRRIKQDGISENENPSVPDGQIVKYQPMMNEAAQAMLRQIMKTDPSSGVESYNSGLEDFLMGSGSPSSSGMESGSSSTRISGVTLQEVPPTTGHSTYVPAVSGLSGHDPAASIPEIKPAPCIPSSGNITAQYPDISALVGSQEAPSISIPQSDVVMPTLDHIPEIVPESIVDIPGEGYMVPETGNDGFMDLTSIGIGNVSPDPDIDILLENSSFWDELVQSPVPEDIESTSMDGQTKGNDVQPVENGWDKTQHMDQLTEQMGLLTSDAKKL >Manes.02G225800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36394511:36400417:-1 gene:Manes.02G225800.v8.1 transcript:Manes.02G225800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVISNGGGGGGSGDASTSGSGSGGAQPPAAPVPISTQSNAPPPFLSKTYDMVDDPATDGIVSWSSTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSISRRKPAHGHAHQQTPQPHGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDGQLQTMVQRLQGMEQRQQQMMSFLAKAMQSPGFLSQFVQQQNESNRRITEANKKRRIKQDGISENENPSVPDGQIVKYQPMMNEAAQAMLRQIMKTDPSSGVESYNSGLEDFLMGSGSPSSSGMESGSSSTRISGVTLQEVPPTTGHSTYVPAVSGLSGHDPAASIPEIKPAPCIPSSGNITAQYPDISALVGSQEAPSISIPQSDVVMPTLDHIPEIVPESIVDIPGEGYMVPETGNDGFMDLTSIGIGNVSPDPDIDILLENSSFWDELVQSPVPEDIESTSMDGQTKGNDVQPVENGWDKTQHMDQLTEQMGLLTSDAKKL >Manes.02G225800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36396584:36399678:-1 gene:Manes.02G225800.v8.1 transcript:Manes.02G225800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEVGDRKRLFMGFRKVDPDRWEFANEGFLRGQKHLLKSISRRKPAHGHAHQQTPQPHGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDGQLQTMVQRLQGMEQRQQQMMSFLAKAMQSPGFLSQFVQQQNESNRRITEANKKRRIKQDGISENENPSVPDGQIVKYQPMMNEAAQAMLRQIMKTDPSSGVESYNSGLEDFLMGSGSPSSSGMESGSSSTRISGVTLQEVPPTTGHSTYVPAVSGLSGHDPAASIPEIKPAPCIPSSGNITAQYPDISALVGSQEAPSISIPQSDVVMPTLDHIPEIVPESIVDIPGEGYMVPETGNDGFMDLTSIGIGNVSPDPDIDILLENSSFWDELVQSPVPEDIESTSMDGQTKGNDVQPVENGWDKTQHMDQLTEQMGLLTSDAKKL >Manes.S027916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:496363:497175:-1 gene:Manes.S027916.v8.1 transcript:Manes.S027916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G074900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22223105:22224467:-1 gene:Manes.07G074900.v8.1 transcript:Manes.07G074900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVSFLAFTLFSVFLASSSPAFGGSARYSVQSYGAKPDGTTDSTKAFLAAWAQVCGSSEPASLYVPSGRFSLGKVTFQGPCKNSAILITIDGTLVAPSDYSAIGHEKNWLMFEHVDGVTVSGGILDGQGTALWSCKASGKSCPSGATSIEFSNSNNIQINGLASQDSQKFHIVINGCNNVRAQDVKVSAAGDSPNTDGIHVEQSNGVTILNSKIGTGDDCVSIGPGTTNLWIENVACGPGHGISIGSLGKELEEDGVQNVTVKTCTFSGTENGVRIKSWGRPSTGFAKNILFQHAVMTNVQNPIVIDQNYCPGDKNCPNQV >Manes.07G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22222611:22224467:-1 gene:Manes.07G074900.v8.1 transcript:Manes.07G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTVSFLAFTLFSVFLASSSPAFGGSARYSVQSYGAKPDGTTDSTKAFLAAWAQVCGSSEPASLYVPSGRFSLGKVTFQGPCKNSAILITIDGTLVAPSDYSAIGHEKNWLMFEHVDGVTVSGGILDGQGTALWSCKASGKSCPSGATSIEFSNSNNIQINGLASQDSQKFHIVINGCNNVRAQDVKVSAAGDSPNTDGIHVEQSNGVTILNSKIGTGDDCVSIGPGTTNLWIENVACGPGHGISIGSLGKELEEDGVQNVTVKTCTFSGTENGVRIKSWGRPSTGFAKNILFQHAVMTNVQNPIVIDQNYCPGDKNCPNQQSGIKLAMYPTKISMDHQQQKWQSN >Manes.16G066000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26959425:26963850:1 gene:Manes.16G066000.v8.1 transcript:Manes.16G066000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFGGKWRGLRSVLMVSFNFLIAFVLVSAERGLKREFTRAPETEEGLSSYFLKAADFLWQPDQSGYQHVWPEMKFSWQIVLGTIIGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSATAISKCMIMGAAASTVYYNLKLRHPTIDMPIIDYDLTLLIQPMLMLGISIGVAFNVIFADWMVTVLLIILFIGTSTKAFFKGVETWKKETIMKQEAAKRSETNSNEGGEVAYKPLPSGPTNGPEKETKEAEVTILENVYWKELGLLVFVWVSFLALQIAKNHMSACSTEYWIVNLLQIPVSVGVTLYEAVSLYKGRRIIASKGEAGTNFKVHQLVLYSACGVLAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYALYFVAVATFAALIGQHIVRRLIIMFGRASLIIFILAFTIFVSAISLGGVGISNMIWKIEHHEYMGFENLCKYEG >Manes.15G082101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6337526:6337995:1 gene:Manes.15G082101.v8.1 transcript:Manes.15G082101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSGAWIVAASIGMKNHGICTWNYVITSLHNNITSLLPPPPIFSSFSYLPSITHEMGDVQIKKLEDSFEKIMRLGCWGPNTIRF >Manes.12G085100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10753437:10775655:-1 gene:Manes.12G085100.v8.1 transcript:Manes.12G085100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDKVGWEKSESRYCGVETEFNEDIPQLLSYNISSGGFDFVVAPLMDPTYRPSLVGKDTGRSFVLPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDETLRKDSETTLNQEIAWANHLSLQACLLPCPKGASCANYARCVNQILQGLNSMQLWLRIPLMKTEQDSMDENSSNLIDSWELWNSFRLLCEHHSQLAIALDVLSSLPSVNSLGRWFGESVRAAIIHTDSFLTNARGYPCLSKRHQKLVTGFFDHSVQVVICGKLVHSSPKASSNVVANNFDNNVESVQGHPLRLYLDYVGFLFQKMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDSVKYIQYQRAISKALLDRVPDESSITTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWEDIVTIISCDMRHWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPVTASKLYNDVKSHKDLVHFETAYVVKLHNIARLAPSQPVFTFTHPNYTSKRSNQRYKKLQFEIANDAGSALVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWFAIFFPLRTPVCIQPGSPLEVHFWRCCGASKVWYEWSVTSPSPTAVHNSNGRSYWVGL >Manes.12G085100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10753437:10775655:-1 gene:Manes.12G085100.v8.1 transcript:Manes.12G085100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDKVGWEKSESRYCGVETEFNEDIPQLLSYNISSGGFDFVVAPLMDPTYRPSLVGKDTGRSFVLPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDETLRKDSETTLNQEIAWANHLSLQACLLPCPKGASCANYARCVNQILQGLNSMQLWLRIPLMKTEQDSMDENSSNLIDSWELWNSFRLLCEHHSQLAIALDVLSSLPSVNSLGRWFGESVRAAIIHTDSFLTNARGYPCLSKRHQKLVTGFFDHSVQVVICGKLVHSSPKASSNVVANNFDNNVESVQGHPLRLYLDYVGFLFQKMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDSVKYIQYQRAISKALLDRVPDESSITTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWEDIVTIISCDMRHWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPVTASKLYNDVKSHKDLVHFETAYVVKLHNIARLAPSQPVFTFTHPNYTSKRSNQRYKKLQFEIANDAGSALVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWFAIFFPLRTPVCIQPGSPLEVHFWRCCGASKVWYEWSVTSPSPTAVHNSNGRSYWVGL >Manes.12G085100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10753437:10775655:-1 gene:Manes.12G085100.v8.1 transcript:Manes.12G085100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDKVGWEKSESRYCGVETEFNEDIPQLLSYNISSGGFDFVVAPLMDPTYRPSLVGKDTGRSFVLPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDETLRKDSETTLNQEIAWANHLSLQACLLPCPKGASCANYARCVNQILQGLNSMQLWLRIPLMKTEQDSMDENSSNLIDSWELWNSFRLLCEHHSQLAIALDVLSSLPSVNSLGRWFGESVRAAIIHTDSFLTNARGYPCLSKRHQKLVTGFFDHSVQVVICGKLVHSSPKASSNVVANNFDNNVESVQGHPLRLYLDYVGFLFQKMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDSVKYIQYQRAISKALLDRVPDESSITTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWEDIVTIISCDMRHWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPVTASKLYNDVKSHKDLVHFETAYVVKLHNIARLAPSQPVFTFTHPNYTSKRSNQRYKKLQFEIANDAGSALVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWYGFF >Manes.12G085100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10753437:10775655:-1 gene:Manes.12G085100.v8.1 transcript:Manes.12G085100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDKVGWEKSESRYCGVETEFNEDIPQLLSYNISSGGFDFVVAPLMDPTYRPSLVGKDTGRSFVLPFAGSDLVLSPSQWSSHVVGKISSWIDLDSEDETLRKDSETTLNQEIAWANHLSLQACLLPCPKGASCANYARCVNQILQGLNSMQLWLRIPLMKTEQDSMDENSSNLIDSWELWNSFRLLCEHHSQLAIALDVLSSLPSVNSLGRWFGESVRAAIIHTDSFLTNARGYPCLSKRHQKLVTGFFDHSVQVVICGKLVHSSPKASSNVVANNFDNNVESVQGHPLRLYLDYVGFLFQKMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFEKDSVKYIQYQRAISKALLDRVPDESSITTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWEDIVTIISCDMRHWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPVTASKLYNDVKSHKDLVHFETAYVVKLHNIARLAPSQPVFTFTHPNYTSKRSNQRYKKLQFEIANDAGSALVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWYGFF >Manes.04G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31694377:31696373:-1 gene:Manes.04G113100.v8.1 transcript:Manes.04G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFFINLPTRHMGFSPWLLLIFSVIFSNVVTGNAFTGTYGVNYGKIADNLPSPHSVVTLLRAAKIKNTRIYDADHEVLKAFKGSGIEIIIGLGNEYLKEMSIGEDSAMNWIKTNVQPFLPGTKIVGIAVGNEILGGDDHELWEVLLPSVKNVYAALRRLGLQKSVQVTSPHSEAVFANSYPPSACIFREDVFIYMKPLLQFFSKIGSPFYINAYPFLAYKSDPEHIDINYALFKSNPGIVDAKTHLHYDNMFEAQIDAAYAALEKAGFPKMEVIVSETGWASNGDANEAGATKQNARIYNYNLRKLLAKKKGTPYRPKTVVRAYVFALFNENLKPGPTSERNFGLFKPDGSISYDIGFTGLKESSAVSSLVSFKGSFHSLVFATCMAILLLVLAS >Manes.17G055000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25257637:25261136:-1 gene:Manes.17G055000.v8.1 transcript:Manes.17G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYYYSSNSSSGCGYFNSLDPFSRSLDGFNGVSRGGSMVSQALVLDSEKGELVKAPATRVAKKGMSEAKALAALKSHSEAERRRRERINAHLATLRGLIPCAEKMDKATLLAEVINQVKELKKNAIEASKGLLIPMDDDEVKVETHDNGAGDGTLSFKASLCCDYRPELLSDIRQAVDSLQLKMVDAQISTLGCRLKVVTFLTCCRDKNADEPELLTNSIHQALNFVLEKGSILPEYSPRTTLQNKRRRVTFLESSSSTS >Manes.10G151600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31862573:31895941:-1 gene:Manes.10G151600.v8.1 transcript:Manes.10G151600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGSDQPPPLRRIQRTQTAGNLGESMLDSEVVPSSLVEIAPILRVANQVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEITMQGRKMSDAREMQKFYRDYYQKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNQTEAVPDEILEAHTKVEEKTKIYVPYNILPLDPDSQNQAIMRYPEIRATVSALRNTRGLPWPKGHNKSVNEDILDWLQAMFGFQKDNVANQREHLILLLANVHIRQFPKTDQQPKLDDRALTDVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGEDEAFLRKVVKPIYDTIAEEAKKSRGGRSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADASFFCPPPRELPFDKDEDKKWVIGDRWTGKVNFVEIRSFWHVFRSFDRMWSFFILCLQAMIIIAWNGSGKLSSIFEGDVFKKVLSIFITSAILNFVQAVIDVILSWKARQTMPFYVKLRYILKVLSAAAWVIFLPVTYAYSWKNPPGLGQTIKKWFGNSPSSPSLFILAILIYLSPNMLSSLLFLFPLVRRLLERSNYKIVMLIMWWSQPRLYVGRGMHESSLALFKYTLFWVLLILSKLAFSYYVEIKPLVGPTKAIMKVHIQTYQWHEFFPRAKNNIGVVIALWAPVVLVYFMDTQIWYAIYSTIFGGVYGAFRRLGEIRTLGMLRSRFQSLPGAFNWNLIPVEKSEKIKRKGLKATFSRKFTEIPSNKEEEEARFAQMWNKIITSFRDEDLINNREMDLMLVPYWADKGLDLIQWPPFLLASKIPIALDMAKDSNGKDRELKKRLSTDNYMHCAVRECYASFRSIIKYLVLGERERKVIDDIFSRVDEYIQNDTLIRELNMSALPILYEQFVNLIEYLMSNKKDDKDKVVILLLDMLEVVTRDIMEDEVPSLLDSSHGGSFGKHEGMTSLDQQYQFFGSLRFPVQETDALKEKIRRLHLLLTVKESAMDVPSNLEARRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYFDEDVLYSINQLENPNEDGVSILFYLQKIFPDEWTNFLEQVDCASEEQLRETEELKEKLRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMATNEELMKGYKAAESSSEEQSKSEKSLWAQCQAVADMKFTYVVSCQQYGIHKRSADARATDILKLMTNYPSLRVAYIDEVEETSKGKSNSNKMVEKVYYSTLVKAGPPTEPIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLHKHDGVRCPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFSSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTLLTVLTVYVFLYGRLYLALSGIEEVLITKPGIRDNQPLQVALASQSLVQIGFLMALPMMMEMGLERGFRNALSDFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAQYRGTGRGFVVFHAKFADNYRMYSRSHFVKGIELMILLIVYHIFGHSYRGVVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPPEKSWESWWEKEQEHLRYSGKRGIIVEILLALRFFIFQYGLVYHLSIINNTKSFLVYGVSWLVIIVILLLMKAMSVGRRKLSANFQLVFRLIKGLIFLTFVSIFITLIALPHMTLRDVLVCILAFMPTGWGLLLIAQACKPLIRHAGFWGSVRTLARGYEIIMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSKSEE >Manes.01G102300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30211102:30212012:1 gene:Manes.01G102300.v8.1 transcript:Manes.01G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKRGCVALYLAIMLQITSFSTVTSTSSTILLNGNINISLIGESVADESIKEEIFMESHASQRLLAGDNDHHINLKTLVKQPVCNEKIYGSCLKGLAGQKDAHCTYYNKCRGK >Manes.12G121100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32651894:32654049:-1 gene:Manes.12G121100.v8.1 transcript:Manes.12G121100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQHGFLEELLQAPRRDSSSWASFPSTGATEFFSNGWNFDSFDENTPNPSFIGFSTSPTEPTCTFDCPFSDQTYPFVDSFTVPSEINDHTPPFPAQDQDYPSMVEDEEFGLLTTHCSFEEISSNGCKVEIEQPASNNAQVFNMGLVTEKKSKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERVNKLQEEEEEEEEGEESKNEMNLRTKLKDLKPSEVVVRNSPKFNVERTEMDTRIDICCSAKPGLLLSTVNTLEALGLEIQQCVISCFNDFSMQASCSEAAEQRKLIGPEDIKQALFRTAGYGGRCL >Manes.16G018098.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1567454:1571135:1 gene:Manes.16G018098.v8.1 transcript:Manes.16G018098.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLSFHKSRAFLVLLMISALISSIDCQEATYNAHICLGAANDTASANFRSNLSAQLSSLSSKATVDSFYNDSSDGIYSLYLCRGDVSGSTCQNCVDTAAEEIQERCTSKKSAIIWYDQCMLRYSDTNFFGEEQTSPRLLMWNTKNTTSPDEPNFAALALIYNLIVQVPKSEEKFGTDESVTESRYALVQCTRDLNSSSCTSCLSELSKAIQGCCQGKIGWRILAPSCNLRYEQTLFYVQQSPPPTTPVPPQPDNGKGGSNTEIIAIVVAVSSVVVIGALLGFWYYCYRKKRRHSEGETSEVILLGNLEGSNRKQLMDGEMHVGNDDHNVEMHYFNLSTIRAATNNFSAANKLGEGGFGPVYKGKLPNGEEIAVKRLSITSKQGLDEFRNEVMVIVKLQHKNLVRLLGYCMEGDEKLLIYEYLANTSLDVFLFDPKRSRELDWAKRANIITGTARGLLYLHEDSRLKIIHRDMKASKVLLDNEMNPKISDFGTARIFGGNQLEANTDRVVGTYGYMAPEYALEGVISIKSDVYSFGILMLEIISGKKNRGFYNPDNDPSLLLHAWKLWNEGKGEDLIDQSIVHSCPKSEALRWIHIALLCVQDDPAERPTMSSVVLMLGSKSANLPPPSTAPYSMVRFAIISDQSSISGTGTGFLTSDQSTASVSR >Manes.18G077306.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7058657:7062231:-1 gene:Manes.18G077306.v8.1 transcript:Manes.18G077306.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCINTNYFIKYLIRNKSLIKISNSFRFKTSHLQRKGQPRSHHHHFLRCFDSSSSSPPPLHCVKPFYLLSRQELLAVNCGCFTLLWRDALAGNLLAAFEILPRLAVGEYSTFVLPVTVKTAIVAVDQGTSMEKSADVQENYLNGNQFDSFSSSSASLRHVNYSCGTCGYELNLSSSNRNTSTIGSKYGKSIKRGIISFFDIDESRFTQAEEFQCVPHFSKNSWGLFRRRTKLLCRKCGNNIGIAYEDETSAYPLVSDGSDSSSVNESKSRKYDVKIRALQPSSVDRSGIPLSA >Manes.08G072800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:13945429:13946532:-1 gene:Manes.08G072800.v8.1 transcript:Manes.08G072800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEQSYSCSHADDDDYIDMELMSSSQNFFCYSISSPPQSREFEFQMSLTSNDREITTFPADELFYKGKLLPLHLPPRLQMVQKLLQNPTTNTFEPFEENCSIPFVNLSCSPTTTPLESCNISPSESCRVSSELNPDEYFFEFSSELNSFIGNHPIKKSWTEKLKQSLLGQKLKASSAYVKSLFNKSGCTAESCAKPAHNAEPEAASKGNDCLSKCMNVAMKKNSFSEFDSGRRKISSSLVRSIEREMARDGFHSQRRSFSGAIQRHSTNKQLSSSSGSSSSSSSSSFSFSSSNGFCDLQLLKRSSSANSEIESSIEGAIAYCKKSHEQLFLSRNTATDHQAGVCSLSAASGTVTSGDQERVKICAI >Manes.06G064000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19914473:19925790:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19922124:19925790:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19912674:19925723:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19914473:19924819:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19920183:19925674:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19914434:19925674:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19912878:19925864:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19922124:19925864:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19914473:19925790:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19920183:19925674:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19912674:19924819:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19914473:19924819:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19914473:19925723:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19919416:19925864:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19914434:19925865:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19912674:19925723:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19922124:19925864:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19912674:19924819:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19912879:19925674:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19912740:19925864:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKVNYSKFSSSTTSEVGLSSKQAEISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.06G064000.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19914473:19925723:-1 gene:Manes.06G064000.v8.1 transcript:Manes.06G064000.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCAALRPVVYCEADAAYLCLPCDAKVHSANALSNRHMRTLLCDLCRNHPAFSRCLDHQMLVCRVCDQSIHEVSLQHQKCSVSCYMGCPSAKDFATLWGFELDELDESANQDQLISASCASVQPSLAGLGIPRESWKKIGSSSRTSKISGKGQQQQNNSFILQQILDVKRLQLTEMDNSSLVNCGREEKLIASSIFETFEKMDDNIVDHFQRSQDPNGSGCPHQDLKVDSLPLSFSQLERFPLSSTVGNPLPGDFWQYKSPVQSQLWSQNMQGLGVCEDGVSHDDFNIPDDTTFRNFEELFGTKQDHAPCYNVDDMSP >Manes.18G143000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:33030736:33032681:-1 gene:Manes.18G143000.v8.1 transcript:Manes.18G143000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPNRWCPETKTLQRPLRLADGSTSVIAFIAHTPGDICLRVQISGVKHLSEKDTAFIKVTNYILSEILEDFYKKHPAAKEKGFRLFRSPTLFEDAVKCILLCNCSWKKTLSMAESLCNLQHTLAYVLKNETYIAKRQGKKFIPHAEVILKGKTQPLNKRAKLELNPSIEGMANFPSSKELAMVDVDYLNMHCNLGLRAKVIIDLAISIESGKLNLKEYESPLLTIGSIDGDVSWFPYDDISRQLKKINGLGPVTCANIMMCIGFYHQIPTDTESIRFIREKYDRENCSSETIENDLKEIYGKYEPYQCLAYRFEVLNEYESTVGKLSELASSEYHTLTRRIKSKERVGESNGE >Manes.16G076300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28044001:28056343:1 gene:Manes.16G076300.v8.1 transcript:Manes.16G076300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MADSGESQMKIVNGEAGYVLEDVPHLTDHIPDLPTYPNPLQDNPAYSAVRQYFVNVDDTVAQKIVVHKDGPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVTKVLGIDGGYRGFYSKNTISLTPKFVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIQRRELKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESTENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGQGGLFEFIEKRLKENGHMVIVIAEGAGQDLLSKSLESMDQQDASGNKLLQDVGLWMSQRIKDHFSKEQKMNITLKYIDPTYMIRAIPSNASDNVYCTLLAHSAVHGAMAGYTGFTVGPVNADHREAEQGSNY >Manes.16G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28044001:28056343:1 gene:Manes.16G076300.v8.1 transcript:Manes.16G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MADSGESQMKIVNGEAGYVLEDVPHLTDHIPDLPTYPNPLQDNPAYSAVRQYFVNVDDTVAQKIVVHKDGPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVTKVLGIDGGYRGFYSKNTISLTPKFVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIQRRELKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESTENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGQGGLFEFIEKRLKENGHMVIVIAEGAGQDLLSKSLESMDQQDASGNKLLQDVGLWMSQRIKDHFSKEQKMNITLKYIDPTYMIRAIPSNASDNVYCTLLAHSAVHGAMAGYTGFTVGPVNGRHAYIPFNRITEKQNKVVITDRMWARLLSSTDQPSFLSARVASKAKAQKEPSTLSDGNNLKETKQ >Manes.16G076300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28044001:28056343:1 gene:Manes.16G076300.v8.1 transcript:Manes.16G076300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MADSGESQMKIVNGEAGYVLEDVPHLTDHIPDLPTYPNPLQDNPAYSAVRQYFVNVDDTVAQKIVVHKDGPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVTKVLGIDGGYRGFYSKNTISLTPKFVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIQRRELKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESTENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGQGGLFEFIEKRLKENGHMVIVIAEGAGQDLLSKSLESMDQQDASGNKLLQDVGLWMSQRIKVLIWTIFQKSKR >Manes.16G076300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28044001:28056343:1 gene:Manes.16G076300.v8.1 transcript:Manes.16G076300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MADSGESQMKIVNGEAGYVLEDVPHLTDHIPDLPTYPNPLQDNPAYSAVRQYFVNVDDTVAQKIVVHKDGPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVTKVLGIDGGYRGFYSKNTISLTPKFVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIQRRELKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESTENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGQGGLFEFIEKRLKENGHMVIVIAEGAGQDLLSKSLESMDQQDASGNKLLQDVGLWMSQRIKVLIWTIFQKSKR >Manes.06G160500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28679468:28681817:-1 gene:Manes.06G160500.v8.1 transcript:Manes.06G160500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLLPIFVLFSLVLAAMAVGRSDILLPDKNDNIKKEPHFCEFKRYEQPFVEEDTTDRRQKYGKRYEQSFAEDKDSFGPWYYDQSFAEDSFGPWSYDQSFAEDSFGPWRYDQKDSFGPWRYDQSFAEDTFDRPLWYRKRYEQSFAEDTLDRPPWYVKRYEQPFTEDTTYRRQKYGRRYELKFNKHALSNSNVQKDRVHITKSANKARILPRQVADSLPFSTNKLAEIMKRFSVDPESRQGKMLKQTVENCESPGIKGEDRFCPTSLESLVDFSVKHVGNKAQYTIKEVKFIGENYVVCHKLHYPYAVYYCHALKGTKVYTAQVVGADGTKAKAVAVCHTDTSAWNPGSLAFLVLKMKPGEGTVCHFIRSDTFVMVSN >Manes.07G130600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33247345:33251217:1 gene:Manes.07G130600.v8.1 transcript:Manes.07G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSKSKPFPSNPTMEVESGSRKDGIFCKCSVLLELSASDDLVGFKNEIEEKGLDVDEASYWYGRRIGSKKMGFEERTPIMIAAMFGSTNVLKYIIETGKVEVNRACGRDKVTALHCAVAGGSNSLVEIVKLLLDASADANCVDASGNKPSDLFTSSLKSPCNSRRKLVELLLKGESLSGDEEEKLILMSLPTKEGTEKKEYPIDVSLPDINNGIYGTDEFRMYCFKVKPCSRAYSHDWTECPFVHPGENARRRDPKKYPYSCVPCPEFRKGACQKGDACEYAHGVFESWLHPAQYRTRLCKDETGCSRKVCFFAHKPEELRPVYASTGSAMPSPKSISVSSMDMATMSPLSLGSSSLTLPTVSTPPMSPLSAASSTPKSGGLWQNKVNLTPPALQLPGSRLKTALCARDFDLEMELLGLENHSSQLQQQQLMDEISGLSSSSCWSKDFNRIGDLKPTNLDDVFGLLDPSLLSPLQGMSLKPSTPTQLQSPTGVQICQNMNQLRSSYPANLSSTVRKPASFGFDSSAAVAAAVMNSRSSAFAKRSHSFIDRGAATNRLGITAAANSVSMMSSNLSDWSSPDGKLDWGVQGDELNKLRKSASFGFRSNNRTTAADLTLSNINEPDVSWVNSLVKDVPPAGFGADKQYNLSKGVREPLQPWMEQLYIEQEQMVA >Manes.13G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34068869:34070938:-1 gene:Manes.13G131900.v8.1 transcript:Manes.13G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREDSDSDAPEEFTSEQGTQQDEEIRKVQKENKTRIVREGKERRRLWAQRKTPRPSKGDESVQDVMEAETEKESLGTEGMLPNDIVQLLAAREKKVFLSDSEDEKVDAKLPPRKKKPKSSGMETVILKEMSPPQCLQNSLDFLKKKKMQVSRSSSVLNNSNQALRLISTSGLLSKK >Manes.17G114600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32216034:32224578:-1 gene:Manes.17G114600.v8.1 transcript:Manes.17G114600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHNPEMKSSSLSCIRFLAVSATIPNIEDIAEWLSVPAQGIKRFGEEMRPVKLTTKVFGYTPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQTVMTFGYSNPFIKDKEQQERLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNLVEGLFLRGDIQILCATNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCELVESQLLSCVTEHLTAEIVQLTVSDIARAIEWMKCSYLYVRMKKNPEKYAVRKGISRDRIEKHVQEISVQKVNELSHHQMIKTGEDGFLLKPLEPGRLMTKYYLKFDTMKHIMQTPDNCRLEDALNVICRAEEIAWIQLRRTEKKLLNDINIDKDGRLRFHVNGDKGKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSICSNGCRIAKCMKEFFIFKKNYKGAVNSTILAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFDELAEADPRRIEIVTGRKFPFGNHIKDSLLSLPPKVSISIEETVCQRQGKSKLVVTFARLSQSIQSGKRHYADMIIGTEEENLIHFHEKIRVDEFTSPYSTTVLLSIPKGSKLTVKAELIFEEYIGLDIHKNLVLLKDNNSDMNYKRRQKRPSLFPPPAEICLIEDDNETISYASAEKLCNLTKSKTENSSMPTFKLIDEELEEGEHAVEIKKESHKVITEQAIFDHIREKAKNFPLLTPAKTSSSTSSEALISTRKHSYEKDLDLDTELIVIEEIEGSKIPQQIVPNPSSEIREVEQNLFNVNNHLTPKQGSMCGSLGTVNFLDYKGGHPSEPEKSILKCLTEETIFGHIRERANNFPVLSRTMQSESPMWTKEQATENQPDFCIAASNALIEIKSDNKIMRDVVIISDLEPGGAVMNECSSRAGAKGKENKSPRSPYAAYESLSISPKVPRINNNPPSMEILSFDISMIKDNTGSVGSASSLEYNRKHQHQHCSPNGSKRQCCSTAMADKTREVDTFHGFESVFSFL >Manes.17G114600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32216032:32224667:-1 gene:Manes.17G114600.v8.1 transcript:Manes.17G114600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYTLKSVSDLPAPFRSIFNFRYFNSLQSECFPVCFHSNVNMVISAPTGSGKTVLFELCILRLLSRFISEGRFIHIKGTLKTIYIAPSKALVQEKLRDWNQKFGSLGINCLELTGDNEFYSIRTVQEADIILTTPEKFDAVTRYRIKDGGLGFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKMLAHNPEMKSSSLSCIRFLAVSATIPNIEDIAEWLSVPAQGIKRFGEEMRPVKLTTKVFGYTPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQTVMTFGYSNPFIKDKEQQERLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNLVEGLFLRGDIQILCATNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCELVESQLLSCVTEHLTAEIVQLTVSDIARAIEWMKCSYLYVRMKKNPEKYAVRKGISRDRIEKHVQEISVQKVNELSHHQMIKTGEDGFLLKPLEPGRLMTKYYLKFDTMKHIMQTPDNCRLEDALNVICRAEEIAWIQLRRTEKKLLNDINIDKDGRLRFHVNGDKGKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSICSNGCRIAKCMKEFFIFKKNYKGAVNSTILAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFDELAEADPRRIEIVTGRKFPFGNHIKDSLLSLPPKVSISIEETVCQRQGKSKLVVTFARLSQSIQSGKRHYADMIIGTEEENLIHFHEKIRVDEFTSPYSTTVLLSIPKGSKLTVKAELIFEEYIGLDIHKNLVLLKDNNSDMNYKRRQKRPSLFPPPAEICLIEDDNETISYASAEKLCNLTKSKTENSSMPTFKLIDEELEEGEHAVEIKKESHKVITEQAIFDHIREKAKNFPLLTPAKTSSSTSSEALISTRKHSYEKDLDLDTELIVIEEIEGSKIPQQIVPNPSSEIREVEQNLFNVNNHLTPKQGSMCGSLGTVNFLDYKGGHPSEPEKSILKCLTEETIFGHIRERANNFPVLSRTMQSESPMWTKEQATENQPDFCIAASNALIEIKSDNKIMRDVVIISDLEPGGAVMNECSSRAGAKGKENKSPRSPYAAYESLSISPKVPRINNNPPSMEILSFDISMIKDNTGSVGSASSLEYNRKHQHQHCSPNGSKRQCCSTAMADKTREVDTFHGFESVFSFL >Manes.17G114600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32216034:32224548:-1 gene:Manes.17G114600.v8.1 transcript:Manes.17G114600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYTLKSVSDLPAPFRSIFNFRYFNSLQSECFPVCFHSNVNMVISAPTGSGKTVLFELCILRLLSRFISEGRFIHIKGTLKTIYIAPSKALVQEKLRDWNQKFGSLGINCLELTGDNEFYSIRTVQEADIILTTPEKFDAVTRYRIKDGGLGFFSDIALLLIDEVHLLNDPRGAALEAIVSRIKMLAHNPEMKSSSLSCIRFLAVSATIPNIEDIAEWLSVPAQGIKRFGEEMRPVKLTTKVFGYTPAKNDFLFEKRLQNYIFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQTVMTFGYSNPFIKDKEQQERLREASLSCSDKQMQSYILYGVGYHNGGLCLKDRNLVEGLFLRGDIQILCATNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSTILQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLNGCELVESQLLSCVTEHLTAEIVQLTVSDIARAIEWMKCSYLYVRMKKNPEKYAVRKGISRDRIEKHVQEISVQKVNELSHHQMIKTGEDGFLLKPLEPGRLMTKYYLKFDTMKHIMQTPDNCRLEDALNVICRAEEIAWIQLRRTEKKLLNDINIDKDGRLRFHVNGDKGKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSICSNGCRIAKCMKEFFIFKKNYKGAVNSTILAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFDELAEADPRRIEIVTGRKFPFGNHIKDSLLSLPPKVSISIEETVCQRQGKSKLVVTFARLSQSIQSGKRHYADMIIGTEEENLIHFHEKISRVDEFTSPYSTTVLLSIPKGSKLTVKAELIFEEYIGLDIHKNLVLLKDNNSDMNYKRRQKRPSLFPPPAEICLIEDDNETISYASAEKLCNLTKSKTENSSMPTFKLIDEELEEGEHAVEIKKESHKVITEQAIFDHIREKAKNFPLLTPAKTSSSTSSEALISTRKHSYEKDLDLDTELIVIEEIEGSKIPQQIVPNPSSEIREVEQNLFNVNNHLTPKQGSMCGSLGTVNFLDYKGGHPSEPEKSILKCLTEETIFGHIRERANNFPVLSRTMQSESPMWTKEQATENQPDFCIAASNALIEIKSDNKIMRDVVIISDLEPGGAVMNECSSRAGAKGKENKSPRSPYAAYESLSISPKVPRINNNPPSMEILSFDISMIKDNTGSVGSASSLEYNRKHQHQHCSPNGSKRQCCSTAMADKTREVDTFHGFESVFSFL >Manes.06G052700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16383633:16386434:-1 gene:Manes.06G052700.v8.1 transcript:Manes.06G052700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFIFYWLKLFFICFLILVFVLKMAVLIWWRPKRIEYHFSKQGIRSPPYRFFTGNLKELEELVVKASSQPMPFSHNIVPRVLSFYHHWKKIYGSTFLVWFGPKVRLTVSDPELIREIFTTKSEFYEKIEAHPLVKPLEGDGLLNLKGEKWAHHRKLITPTFHMQNLKMLVPVVAKSVMDMLEQWSALISDSEEVEVEVSEWYQTLTEHVVTRTAFGSSYEDGKAIFRLQAQQIALAAVAFHKVFIPGYRFIHTGRNVKSWKLEKKIKKSLIKLIDRKRENSTNGVSGPKDLLGLMIQASNSSSNVTVNDIMEECKGFFFAGKYTTSNLLTWTTVLLAMHPEWQVKAREEVLRVCGSRDVPTKNDIVKLKTLTMILNESLRLYPPTIAMIRRSRTDVELGGYKIPGGTELLIPILAVHHDQSLWGNDANEFNPRRFSGGVAKAAKHSLGFIPFGLGARNCIGRNLAILQAKLTLAIILQRFSFRLAPTYQHAPTVLLLLHPQYGAPIIFRRLPNSST >Manes.S092200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251175.1:4084:10463:-1 gene:Manes.S092200.v8.1 transcript:Manes.S092200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGANHGYELLWIIVIGLVFALIIQSLAANLGVSTGKHLAELCNLEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVRKLEMLITVLVFVMAACFFGELSYVKPPAVDVIKGMFIPKLSSQGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSITGINDACRYFLMESGIALFVALLINISIVSVTGTVCMANDLSSQDTDRCNDLTLNSASFLLKNVLGKSSSTIYAIALLASGQSSTITGTYAGQFIMQGFLNLKMRKWKRNLMTRCIAITPSLIVSIIGGSSGSGRLIIIASMILSFELPFSLIPLLKFSSSSTKMGPYKNSIYIIVFSWILGSLIIGINVYYLSTGFVDRLIHNNLPKVGNVFIGIIVFHILTFRKDRILTFIEPTKSDPIIQTSIESGLRKSGEELQMHQVNYREDLAHVPLPE >Manes.S092200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251175.1:4084:10634:-1 gene:Manes.S092200.v8.1 transcript:Manes.S092200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQNEEHLGVAVNLQGQTPPYSVDHDQDHLQDPAATHQKAGWRKFLAYVGPGFLVSLAYLDPGNLETDMQAGANHGYELLWIIVIGLVFALIIQSLAANLGVSTGKHLAELCNLEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVRKLEMLITVLVFVMAACFFGELSYVKPPAVDVIKGMFIPKLSSQGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSITGINDACRYFLMESGIALFVALLINISIVSVTGTVCMANDLSSQDTDRCNDLTLNSASFLLKNVLGKSSSTIYAIALLASGQSSTITGTYAGQFIMQGFLNLKMRKWKRNLMTRCITITPSLIVSIIGGSSGAGRLNIIASMILSFELPFSLIPLLKFSSSSTKMGPYKNSIYIIVFSWILGSLIIGINVYYLSTGFVDRLIHNNLPKVGNVFIGIIVFHILTFRKDRILTFIEPTKSDPIIQTSIESGLRKSGEELQMHQVNYREDLAHVPLPE >Manes.S092200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251175.1:4084:10634:-1 gene:Manes.S092200.v8.1 transcript:Manes.S092200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQNEEHLGVAVNLQGQTPPYSVDHDQDHLQDPAATHQKAGWRKFLAYVGPGFLVSLAYLDPGNLETDMQAGANHGYELLWIIVIGLVFALIIQSLAANLGVSTGKHLAELCNLEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVRKLEMLITVLVFVMAACFFGELSYVKPPAVDVIKGMFIPKLSSQGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSITGINDACRYFLMESGIALFVALLINISIVSVTGTVCMANDLSSQDTDRCNDLTLNSASFLLKNVLGKSSSTIYAIALLASGQSSTITGTYAGQFIMQGFLNLKMRKWKRNLMTRCIAITPSLIVSIIGGSSGSGRLIIIASMILSFELPFSLIPLLKFSSSSTKMGPYKNSIYIIVFSWILGSLIIGINVYYLSTGFVDRLIHNNLPKVGNVFIGIIVFHILTFRKDRILTFIEPTKSDPIIQTSIESGLRKSGEELQMHQVNYREDLAHVPLPE >Manes.07G037201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4121134:4121659:-1 gene:Manes.07G037201.v8.1 transcript:Manes.07G037201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSYLNPQQIVPLVLQQYLLTMASFNCFILAFFVFLSFLSMDVSIAARNLLQFPPLPSVPNLPKLAVPPIPAIPTLPQPSLPTLPTTQPSLPNPTLPPLPSLPTMPAAPKVTLPPMPSIPSIPSVPTTIPSIPFLSPPPAGN >Manes.05G091600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8351972:8360291:-1 gene:Manes.05G091600.v8.1 transcript:Manes.05G091600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRSRPEKHDEAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNANDPEAADMFKEVTFSYNILSDSDKRRQYDSAGFEAVESESQELELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVSICQLPLGQPLSRKVEKQCAHFYSVTITEEEAMAGFVCRVQSSDKSKFKLLYFEQEGNGGLNLSLQEDSAKTGKVTSAGMYFLRFPVYRLDRTNLIAAVKDADAAFFKKLEGFQPCEITELKPGSHIFAVYGDNFFKSASYSIEFLCAAPFVEEKENLRDVEAQILTKRVEISKFETEYREVLAQFTEMTSRYTKEMQEINELLKRRNEIHATYTTAPSTKRSTSKSRNKSPFRESKDGQLRDKKPSMRDRAKKKKWFNIRLKVDKRKPC >Manes.05G091600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8351513:8360291:-1 gene:Manes.05G091600.v8.1 transcript:Manes.05G091600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRSRPEKHDEAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNANDPEAADMFKEVTFSYNILSDSDKRRQYDSAGFEAVESESQELELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVSICQLPLGQPLSRKVEKQCAHFYSVTITEEEAMAGFVCRVQSSDKSKFKLLYFEQEGNGGLNLSLQEDSAKTGKVTSAGMYFLRFPVYRLDRTNLIAAVKDADAAFFKKLEGFQPCEITELKPGSHIFAVYGDNFFKSASYSIEFLCAAPFVEEKENLRDVEAQILTKRVEISKFETEYREVLAQFTEMTSRYTKEMQEINELLKRRNEIHATYTTAPSTKRSTSKSRNKSPFRESKDGQLRDKKPSMRDRAKKKKWFNIRLKVDKRKPC >Manes.05G091600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8351972:8360291:-1 gene:Manes.05G091600.v8.1 transcript:Manes.05G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRSRPEKHDEAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNANDPEAADMFKEVTFSYNILSDSDKRRQYDSAGFEAVESESQELELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVSICQLPLGQPLSRKVEKQCAHFYSVTITEEEAMAGFVCRVQSSDKSKFKLLYFEQEGNGGLNLSLQEDSAKTGKVTSAGMYFLRFPVYRLDRTNLIAAVKDADAAFFKKLEGFQPCEITELKPGSHIFAVYGDNFFKSASYSIEFLCAAPFVEEKENLRDVEAQILTKRVEISKFETEYREVLAQFTEMTSRYTKEMQEINELLKRRNEIHATYTTAPSTKRSTSKSRNKSPFRESKDGQLRDKKPSMRDRAKKKKWFNIRLKVDKRKPC >Manes.05G091600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8351357:8360291:-1 gene:Manes.05G091600.v8.1 transcript:Manes.05G091600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRSRPEKHDEAKQLRRDPYEVLGVSRNSTDQEIKSAYRKMALKYHPDKNANDPEAADMFKEVTFSYNILSDSDKRRQYDSAGFEAVESESQELELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVSICQLPLGQPLSRKVEKQCAHFYSVTITEEEAMAGFVCRVQSSDKSKFKLLYFEQEGNGGLNLSLQEDSAKTGKVTSAGMYFLRFPVYRLDRTNLIAAVKDADAAFFKKLEGFQPCEITELKPGSHIFAVYGDNFFKSASYSIEFLCAAPFVEEKENLRDVEAQILTKRVEISKFETEYREVLAQFTEMTSRYTKEMQEINELLKRRNEIHATYTTAPSTKRSTSKSRNKSPFRESKDGQLRDKKPSMRDRAKKKKWFNIRLKVDKRKPC >Manes.01G246900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40693427:40697511:-1 gene:Manes.01G246900.v8.1 transcript:Manes.01G246900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGNKFVSFEDWKSEKSYSSERQYSIDDGFYGRKVKPTANVVWDGIHRFWERSSDKFRSLRIPSSFHSGGAHPAKELGTGKKILDPHGSFLQKWNKIFVLACVVAVYVDPLFFYIPVIDGNNKCLDLDKALETTACVLRTFIDAFYILRIIFQFRTGFIAPSSRVFGRGELVEDPVIVAKRYMTSNFIIDALSILPLPQVVVLIIIPSLQGPVSLIAKDALKFVILSQYVPRLIRIYPLFKEVTCNSGILTETAWAGAAYNLFLYMLASHIIGAYWYLFSIEREHRCWRMVCKAPRCNTTDLYCGERRYANLSAFLKDSCPSIQPDEIKNSTAFNFGIFTDALESGIVESWDFPRKLFYCFWWGLRNLSALGQNLQTSTYVGEIIFAVFICISGLVLFSLLIGNMQKYLQSTTVRIEEMRVKRRDAERWMAHRMLPEDLRERIRRYEQYKWQETRGVEERTLIRTLPKDLRRDINRHLCFNLIMRVPMFAKMDGQILDAICDRLKPALYTKDSFIVREGDPVDEMLFIMRGDLVSVTTNGGRTGFFNAVYLKGGDFCGESLLTWALDPHPSSHFPISTRTVQALTEVEAFALVAEDLKSVASQFRRLHHKDIQHTFRFFSVQWRTWAACFIQAAWRRYCRKKQAKCLRQAEGRLQDTLANEAVTTTSFGATMYASQFAANALRNLRQNGGHTSRLPQRLALMPEKPAEPDFSAPNL >Manes.01G246900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40693223:40697668:-1 gene:Manes.01G246900.v8.1 transcript:Manes.01G246900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGNKFVSFEDWKSEKSYSSERQYSIDDGFYGRKVKPTANVVWDGIHRFWERSSDKFRSLRIPSSFHSGGAHPAKELGTGKKILDPHGSFLQKWNKIFVLACVVAVYVDPLFFYIPVIDGNNKCLDLDKALETTACVLRTFIDAFYILRIIFQFRTGFIAPSSRVFGRGELVEDPVIVAKRYMTSNFIIDALSILPLPQVVVLIIIPSLQGPVSLIAKDALKFVILSQYVPRLIRIYPLFKEVTCNSGILTETAWAGAAYNLFLYMLASHIIGAYWYLFSIEREHRCWRMVCKAPRCNTTDLYCGERRYANLSAFLKDSCPSIQPDEIKNSTAFNFGIFTDALESGIVESWDFPRKLFYCFWWGLRNLSALGQNLQTSTYVGEIIFAVFICISGLVLFSLLIGNMQKYLQSTTVRIEEMRVKRRDAERWMAHRMLPEDLRERIRRYEQYKWQETRGVEERTLIRTLPKDLRRDINRHLCFNLIMRVPMFAKMDGQILDAICDRLKPALYTKDSFIVREGDPVDEMLFIMRGDLVSVTTNGGRTGFFNAVYLKGGDFCGESLLTWALDPHPSSHFPISTRTVQALTEVEAFALVAEDLKSVASQFRRLHHKDIQHTFRFFSVQWRTWAACFIQAAWRRYCRKKQAKCLRQAEGRLQDTLANEAVTTTSFGATMYASQFAANALRNLRQNGGHTSRLPQRLALMPEKPAEPDFSAPNL >Manes.01G246900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40693427:40697550:-1 gene:Manes.01G246900.v8.1 transcript:Manes.01G246900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGNKFVSFEDWKSEKSYSSERQYSIDDGFYGRKVKPTANVVWDGIHRFWERSSDKFRSLRIPSSFHSGGAHPAKELGTGKKILDPHGSFLQKWNKIFVLACVVAVYVDPLFFYIPVIDGNNKCLDLDKALETTACVLRTFIDAFYILRIIFQFRTGFIAPSSRVFGRGELVEDPVIVAKRYMTSNFIIDALSILPLPQVVVLIIIPSLQGPVSLIAKDALKFVILSQYVPRLIRIYPLFKEVTCNSGILTETAWAGAAYNLFLYMLASHIIGAYWYLFSIEREHRCWRMVCKAPRCNTTDLYCGERRYANLSAFLKDSCPSIQPDEIKNSTAFNFGIFTDALESGIVESWDFPRKLFYCFWWGLRNLSALGQNLQTSTYVGEIIFAVFICISGLVLFSLLIGNMQKYLQSTTVRIEEMRVKRRDAERWMAHRMLPEDLRERIRRYEQYKWQETRGVEERTLIRTLPKDLRRDINRHLCFNLIMRVPMFAKMDGQILDAICDRLKPALYTKDSFIVREGDPVDEMLFIMRGDLVSVTTNGGRTGFFNAVYLKGGDFCGESLLTWALDPHPSSHFPISTRTVQALTEVEAFALVAEDLKSVASQFRRLHHKDIQHTFRFFSVQWRTWAACFIQAAWRRYCRKKQAKCLRQAEGRLQDTLANEAVTTTSFGATMYASQFAANALRNLRQNGGHTSRLPQRLALMPEKPAEPDFSAPNL >Manes.01G246900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40693426:40697668:-1 gene:Manes.01G246900.v8.1 transcript:Manes.01G246900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGNKFVSFEDWKSEKSYSSERQYSIDDGFYGRKVKPTANVVWDGIHRFWERSSDKFRSLRIPSSFHSGGAHPAKELGTGKKILDPHGSFLQKWNKIFVLACVVAVYVDPLFFYIPVIDGNNKCLDLDKALETTACVLRTFIDAFYILRIIFQFRTGFIAPSSRVFGRGELVEDPVIVAKRYMTSNFIIDALSILPLPQVVVLIIIPSLQGPVSLIAKDALKFVILSQYVPRLIRIYPLFKEVTCNSGILTETAWAGAAYNLFLYMLASHIIGAYWYLFSIEREHRCWRMVCKAPRCNTTDLYCGERRYANLSAFLKDSCPSIQPDEIKNSTAFNFGIFTDALESGIVESWDFPRKLFYCFWWGLRNLSALGQNLQTSTYVGEIIFAVFICISGLVLFSLLIGNMQKYLQSTTVRIEEMRVKRRDAERWMAHRMLPEDLRERIRRYEQYKWQETRGVEERTLIRTLPKDLRRDINRHLCFNLIMRVPMFAKMDGQILDAICDRLKPALYTKDSFIVREGDPVDEMLFIMRGDLVSVTTNGGRTGFFNAVYLKGGDFCGESLLTWALDPHPSSHFPISTRTVQALTEVEAFALVAEDLKSVASQFRRLHHKDIQHTFRFFSVQWRTWAACFIQAAWRRYCRKKQAKCLRQAEGRLQDTLANEAVTTTSFGATMYASQFAANALRNLRQNGGHTSRLPQRLALMPEKPAEPDFSAPNL >Manes.01G246900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40693427:40697526:-1 gene:Manes.01G246900.v8.1 transcript:Manes.01G246900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGNKFVSFEDWKSEKSYSSERQYSIDDGFYGRKVKPTANVVWDGIHRFWERSSDKFRSLRIPSSFHSGGAHPAKELGTGKKILDPHGSFLQKWNKIFVLACVVAVYVDPLFFYIPVIDGNNKCLDLDKALETTACVLRTFIDAFYILRIIFQFRTGFIAPSSRVFGRGELVEDPVIVAKRYMTSNFIIDALSILPLPQVVVLIIIPSLQGPVSLIAKDALKFVILSQYVPRLIRIYPLFKEVTCNSGILTETAWAGAAYNLFLYMLASHIIGAYWYLFSIEREHRCWRMVCKAPRCNTTDLYCGERRYANLSAFLKDSCPSIQPDEIKNSTAFNFGIFTDALESGIVESWDFPRKLFYCFWWGLRNLSALGQNLQTSTYVGEIIFAVFICISGLVLFSLLIGNMQKYLQSTTVRIEEMRVKRRDAERWMAHRMLPEDLRERIRRYEQYKWQETRGVEERTLIRTLPKDLRRDINRHLCFNLIMRVPMFAKMDGQILDAICDRLKPALYTKDSFIVREGDPVDEMLFIMRGDLVSVTTNGGRTGFFNAVYLKGGDFCGESLLTWALDPHPSSHFPISTRTVQALTEVEAFALVAEDLKSVASQFRRLHHKDIQHTFRFFSVQWRTWAACFIQAAWRRYCRKKQAKCLRQAEGRLQDTLANEAVTTTSFGATMYASQFAANALRNLRQNGGHTSRLPQRLALMPEKPAEPDFSAPNL >Manes.15G072500.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5471654:5478222:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRKYPRPILGHGRDVHASVDLNPNHGSSSHVPPGFVGVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVIAHMRVDLDCN >Manes.15G072500.20.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5471762:5478168:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRKYPRPILGHGRDVHASVDLNPNHGSSSHVPPGFVGVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVIAHMRVDLDCN >Manes.15G072500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471645:5478220:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVIAHMRVDLDCN >Manes.15G072500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471639:5478243:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQKVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471913:5478162:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRKYPRPILGHGRDVHASVDLNPNHGSSSHVPPGFVGVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471913:5478162:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRKYPRPILGHGRDVHASVDLNPNHGSSSHVPPGFVGVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQKVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471640:5479460:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5471641:5478215:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRKYPRPILGHGRDVHASVDLNPNHGSSSHVPPGFVGVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVIAHMRVDLDCN >Manes.15G072500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471808:5478206:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471827:5478241:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471640:5479460:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQKVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471913:5478162:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRKYPRPILGHGRDVHASVDLNPNHGSSSHVPPGFVGVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQKVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5471641:5478263:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRKYPRPILGHGRDVHASVDLNPNHGSSSHVPPGFVGVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVIAHMRVDLDCN >Manes.15G072500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471640:5479460:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5476264:5478162:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPGMPFGKLLTEVIKRTQVPSQSTKSDNGSAVNFSAKVSSLAAEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQKVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.15G072500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5471827:5478244:1 gene:Manes.15G072500.v8.1 transcript:Manes.15G072500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANQGNHPDISAIIPMKRKRGRPRVNGNQPCQVDRANFASDAMVGQIVHGVIEAAFDAGYLLSVRVSNSETTLRGVVFKPGRFVPVSTDNDVAPGVQMIRRNEIPLPQENYAQVHTPRSRERNGTVHTAQPVTSKGKQVPSIASQTPVISRGNVVPVVLQPVDLSNGNASEPSSIATQPADAVASKGKQVLDAAHPLNGSTPTNQVQAVENQLLHFQSQDKYQSMPSGTQKEPGVNQNLAQAQQEAEAQSMKLPEDDVDDTNQPLSVEPLQSVQPVLHNHPAVVSRTLDNYRTGKMTELLQKVLQENMTENQTTSMQDETNDARLGSLETELGDEDTDNSKKCA >Manes.14G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3792738:3796965:-1 gene:Manes.14G043300.v8.1 transcript:Manes.14G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKRVSLRLAIVFLVAFISFSQLRASDDDKIFYESFEESFEGRWTVSDKDEYKGVWKHSKSEGHDEYGLLVSEKARKYAIVKELKNPAIIKDGTIVLQFETRFQNGLECGGAYLKYLRPQDAGWTPKDFDNDSPYSIMFGPDKCGATNKVHFILKHKNPKSGEYNEHHLKFPPSVPSDKLTHVYTAILKPDNELRILIDGEEKKKANFLSSDDFEPPLIPAKTIPDPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDEDAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKVDNPKCETAPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPSYFELEEPDFEPIAAVGIEIWTMQDGILFGNILIADDEKVAESLRLTKWKPKFDLEKEKQKAEDAAAGTDGLTGIQKKVFDLLYQIADIPFLSQHKDKIFDIIEKAEKQPNLTLGILISIVVVIFSVLIKIIFGGKKPAKVEDKPAPVAETSNKEGSSGEKAEEKEDDGAAAAPRRRRREN >Manes.12G148500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35502692:35508273:-1 gene:Manes.12G148500.v8.1 transcript:Manes.12G148500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADSNNHPRYAVRQKRADAKRALKDLLFNSASSKPSSQDEESIWSFDSEHSHGTDKKRRLKSSSRHPRKSHHQKMKRKFRRESFSEDSDGPEKIFQATFGKRWYTWSFNESSHRGSTFGFEWREHPNWTNHRDNDCDARSESESDSESCSVGSSHDRTILGLPPTGPLRIEDVKDAFRVSALKWHPDKHQGPSQAMAEEKFKLCVNAYKSLCEALS >Manes.12G148500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35503792:35506113:-1 gene:Manes.12G148500.v8.1 transcript:Manes.12G148500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADSNNHPRYAVRQKRADAKRALKDLLFNSASSKPSSQDEESIWSFDSEHSHGTDKKRRLKSSSRHPRKSHHQKMKRKFRRESFSEDSDGPEKIFQATFGKRWYTWSFNESSHRGSTFGFEWREHPNWTNHRDNDCDARSESESDSESCSVGSSHDRTILGLPPTGPLRIEDVKDAFRVSALKWHPDKHQGPSQAMAEEKFKLCVNAYKSLCEALS >Manes.12G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35502692:35508273:-1 gene:Manes.12G148500.v8.1 transcript:Manes.12G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISRWRSVVILKNTLIPNLASSTTSITKTHFASIHSTPASCEKWKKKWNADVSRFQQPSKNYIRYAVRQKRADAKRALKDLLFNSASSKPSSQDEESIWSFDSEHSHGTDKKRRLKSSSRHPRKSHHQKMKRKFRRESFSEDSDGPEKIFQATFGKRWYTWSFNESSHRGSTFGFEWREHPNWTNHRDNDCDARSESESDSESCSVGSSHDRTILGLPPTGPLRIEDVKDAFRVSALKWHPDKHQGPSQAMAEEKFKLCVNAYKSLCEALS >Manes.05G080500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6624129:6628946:1 gene:Manes.05G080500.v8.1 transcript:Manes.05G080500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPVHRYVMKKIRLARQTDRARRSAHQEMELISKVRNPFIVEYRDSWVEKGCYVCIIIGYCEGGDMAGAIKKANGVHFPEEIVTFEEVFPFCCLYSDTELWAIYTLYFTVKEYAETLQLACSTANGTRLLACRPYTSS >Manes.05G080500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6624129:6628946:1 gene:Manes.05G080500.v8.1 transcript:Manes.05G080500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGSFGSALLVRHRHEKKKYVMKKIRLARQTDRARRSAHQEMELISKVRNPFIVEYRDSWVEKGCYVCIIIGYCEGGDMAGAIKKANGVHFPEEIVTFEEVFPFCCLYSDTELWAIYTLYFTVKEYAETLQLACSTANGTRLLACRPYTSS >Manes.05G080500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6624129:6628946:1 gene:Manes.05G080500.v8.1 transcript:Manes.05G080500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVPVHRYVMKKIRLARQTDRARRSAHQEMELISKVRNPFIVEYRDSWVEKGCYVCIIIGYCEGGDMAGAIKKANGVHFPEEIVTFEEVFPFCCLYSDTELWAIYTLYFTVKEYAETLQLACSTANGTRLLACRPYTSS >Manes.05G080500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6626975:6627688:1 gene:Manes.05G080500.v8.1 transcript:Manes.05G080500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISKVRNPFIVEYRDSWVEKGCYVCIIIGYCEGGDMAGAIKKANGVHFPEEIVTFEEVFPFCCLYSDTELWAIYTLYFTVKEYAETLQLACSTANGTRLLACRPYTSS >Manes.05G080500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6626891:6627688:1 gene:Manes.05G080500.v8.1 transcript:Manes.05G080500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYCLLSFLNLTIPKLLSLLFAIGSLLQMELISKVRNPFIVEYRDSWVEKGCYVCIIIGYCEGGDMAGAIKKANGVHFPEEIVTFEEVFPFCCLYSDTELWAIYTLYFTVKEYAETLQLACSTANGTRLLACRPYTSS >Manes.05G080500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6625250:6627688:1 gene:Manes.05G080500.v8.1 transcript:Manes.05G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEILEQIGKGSFGSALLVRHRHEKKKYVMKKIRLARQTDRARRSAHQEMELISKVRNPFIVEYRDSWVEKGCYVCIIIGYCEGGDMAGAIKKANGVHFPEEIVTFEEVFPFCCLYSDTELWAIYTLYFTVKEYAETLQLACSTANGTRLLACRPYTSS >Manes.01G125900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32098347:32099872:1 gene:Manes.01G125900.v8.1 transcript:Manes.01G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQKIHPVTQDVEAAQPPTVPLMPRNSSKSDKGDPVEHFPPLKRTIPVMHSKPPKRRSCCCRCLCWTLSLILLLIVIIGIVAGILYLVFRPKLPDYSVDKLQITQFNLSSDSSLSAAFDVTITAKNPNKKIGIYYEGGSHISVWYTGTKLCEGSLPKFYQGHRNTTVLIVPLTGQTQDANGLLTSLQQQQQETGIVPLNLRVKQPVRIKLGKLKLMKVSFLVKCRLDVDSLSANNAIRIRNSSCKFRLRL >Manes.05G063000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5281201:5285230:1 gene:Manes.05G063000.v8.1 transcript:Manes.05G063000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQGQQKELPGVGLKKLKKILKKCRTDFESHQELDRSPSAAHHCPHHCPVCDGTFFPSLLKEMSAVVGCFNERAQKLLELHLASGFRKYFIWFKGKLQGNHVALMQEGKDLVTYALINATAVRKILKKYDKIHYSNQGQAFRSQAQSMHIEILQSPWLCELMAFHINLRKTKIKSKKDVPALFEGCSLTFDDGDKPSLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYICACSAASVSIVDGLKAAEPKEKCPLCREAGVYEGAVHLEELNILLSQSCSEYWEERLQSERVERIRQTKQHWEYQCRAFMGV >Manes.02G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2854781:2856969:-1 gene:Manes.02G034500.v8.1 transcript:Manes.02G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTMSVVVMMVAVLLVSTSTTTTQAQNIANCAQKLIPCGEYLNTTTTPPESCCGSIKDAVKNDLTCLCNLYNTPGLLQSFNVNVTQAIALTKRCGVNADLTACGKDAAEPSAAIPPPPDAAEPTAAIPPPPGVPGNDGNKMAWTGFSGLLLLWASSLIF >Manes.02G034500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2854878:2856939:-1 gene:Manes.02G034500.v8.1 transcript:Manes.02G034500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTMSVVVMMVAVLLVSTSTTTTQAQNIANCAQKLIPCGEYLNTTTTPPESCCGSIKDAVKNDLTCLCNLYNTPGLLQSFNVNVTQAIALTKRCGVNADLTACGKDAAEPSAAIPPPPGKFLFP >Manes.02G034500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2854923:2856939:-1 gene:Manes.02G034500.v8.1 transcript:Manes.02G034500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTMSVVVMMVAVLLVSTSTTTTQAQNIANCAQKLIPCGEYLNTTTTPPESCCGSIKDAVKNDLTCLCNLYNTPGLLQSFNVNVTQAIALTKRCGVNADLTACGKDAAEPSAAIPPPPGVPGNDGNKMAWTGFSGLLLLWASSLIF >Manes.02G034500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2854781:2856969:-1 gene:Manes.02G034500.v8.1 transcript:Manes.02G034500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTMSVVVMMVAVLLVSTSTTTTQAQNIANCAQKLIPCGEYLNTTTTPPESCCGSIKDAVKNDLTCLCNLYNTPGLLQSFNVNVTQAIALTKRCGVNADLTACGKDAAEPTAAIPPPPGVPGNDGNKMAWTGFSGLLLLWASSLIF >Manes.14G088800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7342718:7350790:-1 gene:Manes.14G088800.v8.1 transcript:Manes.14G088800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQSQQRNDSQKRALERSKLKGKANNVIDIDADRNPNFIIIDVPETSEGKSPDTSMSGKGKKPACRNVICIDDDDDESGHCGSSLDGFEEGGSEDACFASSKRSQHSCNQGKKLSSKSYLYRQKFSSGTSCEDDDSDCDIMEDNQGNIRQQWQEASLRKKMFENSPKCQSVLWDKDGPAGFNINSQNNVDVRKTAKVPTPCSSYENHESGNFKPSACIDGDAGGSTNTSNGKNQHTDLEGTIWSEMCENPPSTRVENESASKPSTNTQLQVEVNPRLFISISDDPSDVQNYIIGNREMLKKTEAFKLAQEEEWTSRQRELQSQAEEAQRLRKRRKAETLRLLETERRQKQRVEEVREAQKKDEETLNLKEQLRIEVRRELDKLEANSKDMASLLHGLGINIKGGLYPLPSEVRAAYKQALLRFHPDRASRSDIRQQIEAEEKFKLISHAKEKFML >Manes.14G088800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7342718:7350790:-1 gene:Manes.14G088800.v8.1 transcript:Manes.14G088800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQSQQRNDSQKRALERSKLKGKANNVIDIDADRNPNFIIIDVPETSEGKSPDTSMSGKGKKPACRNVICIDDDDDESGHCGSSLDGFEEGGSEDACFASSKRSQHSCNQGKKLSSKSYLYRQKFSSGTSCEDDDSDCDIMEDNQGNIRQQWQEASLRKKMFENSPKCQSVLWDKDGPAGFNINSQNNVDVRKTAKVPTPCSSYENHESGNFKPSACIDGDAGGSTNTSNGKNQHTDLEGTIWSEMCENPPSTRVENESASKPSTNTQLQVEVNPRLFISISDDPSDVQNYIIGNREMLKKTEAFKLAQEEEWTSRQRELQSQAEEAQRLRKRRKAETLRLLETERRQKQRVEEVREAQKKFLVCIIFL >Manes.14G088800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7342718:7350790:-1 gene:Manes.14G088800.v8.1 transcript:Manes.14G088800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQSQQRNDSQKRALERSKLKGKANNVIDIDADRNPNFIIIDVPETSEGKSPDTSMSGKGKKPACRNVICIDDDDDESGHCGSSLDGFEEGGSEDACFASSKRSQHSCNQGKKLSSKSYLYRQKFSSGTSCEDDDSDCDIMEDNQGNIRQQWQEASLRKKMFENSPKCQSVLWDKDGPAGFNINSQNNVDVRKTAKVPTPCSSYENHESGNFKPSACIDGDAGGSTNTSNGKNQHTDLEGTIWSEMCENPPSTRVENESASKPSTNTQLQVEVNPRLFISISDDPSDVQNYIIGNREMLKKTEAFKLAQEEEWTSRQRELQSQAEEAQRLRKRRKAETLRLLETERRQKQRVEEVREAQKKDEETLNLKEQLRIEVRRELDKLEANSKDMASLLHGLGINIKGGLYPLPSEVSSALLLEN >Manes.14G088800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7342718:7349857:-1 gene:Manes.14G088800.v8.1 transcript:Manes.14G088800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQSQQRNDSQKRALERSKLKGKANNVIDIDADRNPNFIIIDVPETSEGKSPDTSMSGKGKKPACRNVICIDDDDDESGHCGSSLDGFEEGGSEDACFASSKRSQHSCNQGKKLSSKSYLYRQKFSSGTSCEDDDSDCDIMEDNQGNIRQQWQEASLRKKMFENSPKCQSVLWDKDGPAGFNINSQNNVDVRKTAKVPTPCSSYENHESGNFKPSACIDGDAGGSTNTSNGKNQHTDLEGTIWSEMCENPPSTRVENESASKPSTNTQLQVEVNPRLFISISDDPSDVQNYIIGNREMLKKTEAFKLAQEEEWTSRQRELQSQAEEAQRLRKRRKAETLRLLETERRQKQRVEEVREAQKKDEETLNLKEQLRIEVRRELDKLEANSKDMASLLHGLGINIKGGLYPLPSEVRAAYKQALLRFHPDRASRSDIRQQIEAEEKFKLISHAKEKFML >Manes.06G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14406528:14413299:1 gene:Manes.06G045600.v8.1 transcript:Manes.06G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP26-2 MGSVLMLLQLVLTFFLFWGLVKNGDAGITSSFIRTEWPSVDIPLDNEVFAVPDGHNAPQQVHITQGDYNGEAVIISWVTPDNPGSSKVQYGVSKAKYDFTAEGTVTNYTFYKYKSGYIHHCLLDGLEYDTKYYYKIGDGDSSREFWFQTPPMINPDAAYKFGIIGDLGQTYNSLSTLEHYMQSEAEAVLFVGDLSYADRYKYNDVGVRWDSWARFVERSAAYQPWMWSAGNHEIEYMPYMGEVLPFKSYLHRYPTPHSASKSSSPLWYAIRRASAHIIVLSSYSPFVKYTPQYIWLYNELEKVNREETPWLIVLMHVPIYNSNEAHFKEGESMRSVFEEWFVRYKVDIIFAGHVHAYERSYRISNIHYNVSTGNCYPVADKFAPVYITVGDGGNQEGLAAKFRDPQPDYSAFREASYGHSTLEIKNRTHAFYQWNRNDDGKQVATDAFVLHNQYWANNLRRRKLKKHHLRSVAGWIATQ >Manes.06G045600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14406528:14413299:1 gene:Manes.06G045600.v8.1 transcript:Manes.06G045600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP26-2 MGSVLMLLQLVLTFFLFWGLVKNGDAGITSSFIRTEWPSVDIPLDNEVFAVPDGHNAPQQVHITQGDYNGEAVIISWVTPDNPGSSKVQYGVSKAKYDFTAEGTVTNYTFYKYKSGYIHHCLLDGLEYDTKYYYKIGDGDSSREFWFQTPPMINPDAAYKFGIIGDLGQTYNSLSTLEHYMQSEAEAVLFVGDLSYADRYKYNDVGVRWDSWARFVERSAAYQPWMWSAGNHEIEYMPYMGEVLPFKSYLHRYPTPHSASKSSSPLWYAIRRASAHIIVLSSYSPFVKYTPQYIWLYNELEKVNREETPWLIVLMHVPIYNSNEAHFKEGESMRSVFEEWFVRYKVDIIFAGHVHAYERSYRISNIHYNVSTGNCYPVADKFAPVYITVGDGGNQEGLAAKDPQPDYSAFREASYGHSTLEIKNRTHAFYQWNRNDDGKQVATDAFVLHNQYWANNLRRRKLKKHHLRSVAGWIATQ >Manes.17G004000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2430533:2431090:1 gene:Manes.17G004000.v8.1 transcript:Manes.17G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLLPYALAALLLVLSLEAASAAQHHPHKLKSLHFSLYQHETINKTGYIIVNGVAGANLSQTALPFGTLFVFEDPMTVTANRTSKVIGIAEGTSITSSLDGLTSISTAKITLSLKNHKGSISIVGGTHNVKPADHPVVGGTGDFLFVQGYVTSSPVDLVGITVVYKIEFHLYWPPYAEQVHPA >Manes.08G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2166602:2170629:-1 gene:Manes.08G021500.v8.1 transcript:Manes.08G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEDHNHHNQYSIDLRQFISGRPTHFPAIPQQPPAECFSAAHRNLPPQSHHYDMMMLMPRVGGLHEFHSDSTPAACVPPPVAAVVTTANSANNTVAAAAAAATSTLSGLEADAGSFGGDGGTGRWPRQETLTLLEIRSRLDSKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETSNPASIPETQFVGNNLRFHTGANISTPANQEILHSQKLCDSLSLSNSSEFDTSYSEDNDLSTATLVENDSTEKRRKRRDGKSWKAKIKDFIDSQMKKLIDRQEAWLEKLTKTLEQKEQERIFREEEWRKQEAARIDREQKFWAKERAWIEARDAALMEALRKLTGRDANDSSPEDLIRAEIRNQSENGFENRSETAHNSLKCESWTEAECTRLMQLRSNMESRFQQSGCMEEEALWEEVAAKMACIGYERCPLMCKEKWESINNHTRKIKEGNSKKRKENSRGSCYFQSNESMYNPGGAYCEIREQEPETVRLQANGGSSPVNSNAGNAVSDNCFRFLMADGGENLWENYGLKLSKGDQNQ >Manes.13G051360.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5961971:5965691:-1 gene:Manes.13G051360.v8.1 transcript:Manes.13G051360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHAVQYNEYGGGAAGLKHVEVPVPTPQKDEILLKLEATSLNPIDWKIQKGMLRPLLPRKFPHIPGTDLGGEVLEVGPGVKNFKVGDKVVAMLSHATGGGLAKFAVAKERLTVARPPEVSAAEGAALPVAGLTAHQALTQPAGIKLDGSGKQANILITAASGGVGHLAVQLAKLGNTHVTATCGARNIKLVKSLGADEVLDYKTPEGAALKSPSGRKYDAVIHCGSGIPWSTFEPNLSENGKVIDITPGVNAMLTFAVKKLTFSKKQLIPLLLFPKAENLNYLVKLVREGKLKTVIDSKHPLSKAEDAWAKSIDGHATGKVIVEP >Manes.14G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12923724:12927681:1 gene:Manes.14G112400.v8.1 transcript:Manes.14G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPCSREMQGINSLLNPSSQIPLQDLQNQQIQTPHFDPSSSSNDDFLEQMLSTLPSCSWTDLKSPWDLNPTTNLNIPINNSSANPPGDLSDETPPSIPENVAFHNFDESAILASKLRQHQISGGPSPAAAAAAAAKLMLQQQFMMATRGGAMPQNDVVDGSSFKSPTQGGDGSVQALYSNGFGAGSMHGTGQASNHSQQHFHHPQAQNFSTPGGAAAMNQSQASGSTGGAPAQPKQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGGGRGGGDCIQATANGGSLPRTSTNASQTPSSNDNTLTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATSHSRNPVLNANNSNHHHNLLQSNGEGPSSPSMSVLTVQSATMGNGGGDASVKDAASVSKP >Manes.05G147400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24962091:24964928:-1 gene:Manes.05G147400.v8.1 transcript:Manes.05G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKRSQVDNVFDSLVKLLKNQQEQLKTLVQERKFLEDHIKVQHKRWISEIRLYEDHITQMKEALLEKEMTCLLEAAKSNLMIGLKHRDASLHKLKLGQTEDELADIKACFEYFSRTLERNSKETESGKEEGRHCDLNSAGSKRLHSEIKRLNFEYEKLSSEKNSEVSALLKEKNFVWHQYNVLESNLTNKLKSNQAEIDQGNEKIAKLLASVELLCSSNIEKDEMIGRLQVKLAEVEGDRNNWREKFSQISQELESVRKSKCSQVTYAPKHGSTGAKASSQGAKSSGKKGSNIVVKKELSPRKAVHSLEAAAKGTRSLKRKVDEAVTILETPRLFSSAFKFPKLKQGTFSTSVS >Manes.09G082700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21810223:21811691:-1 gene:Manes.09G082700.v8.1 transcript:Manes.09G082700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSIVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.09G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29450080:29452327:-1 gene:Manes.09G097200.v8.1 transcript:Manes.09G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMIIASASSSFSFSSREAALGAPPPPLDSSPKKAAGGWRSVKYILGNESFEKLASMGLIANLTVYLQTQYNMDGLLVVNVFNVWSGSCNLTPLAGAFVSDAYLGRFRTLLCGSISSFLGTVIITLTAGINDWRPASCHGYVDCEQPHRWQLAILYVGLALLAIGAGGIRPCNIAFGADQFDTRTESGRAQLTSFFNWWYFSFTVALVLALTVVVYVQTNISWVVGYAIPAGCLFFSILVFLIGRHVYIMKKPQGSVFIEIFKVIVAAFKKRSLDLASGHSLYDPPLSGWDQGEAKLDRTDKFKFYDKAAVIADPSELNEEGKPRNSWRLCSVHQVEQLKLLVGVVPVWFTGIGCFITMDQMSTFGLMQAIQSNNSVGKFKIPPGWMGLSSMIALSIWIFIYEKIYLPAKRNSKKDKRLTMRQRINIGIVMAILCMLVAAGVEKKRRELALKNGSLVSPLHLLLLIPQFSLSGLIEAFSAVAIMEFFTTHLPESMRTIAGAIFFLSSSAASYLNTVLVNIVHHWTSKNGQTPWLGGHDLNKIRLENYFYLTAGLAVLNLLYFNLFSCRYLNISDVPGSSGQEESDLELKATA >Manes.09G097200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29450080:29452327:-1 gene:Manes.09G097200.v8.1 transcript:Manes.09G097200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIICISLQIRKFLCEFCDSELRAFTGFILFLYKGTVIITLTAGINDWRPASCHGYVDCEQPHRWQLAILYVGLALLAIGAGGIRPCNIAFGADQFDTRTESGRAQLTSFFNWWYFSFTVALVLALTVVVYVQTNISWVVGYAIPAGCLFFSILVFLIGRHVYIMKKPQGSVFIEIFKVIVAAFKKRSLDLASGHSLYDPPLSGWDQGEAKLDRTDKFKFYDKAAVIADPSELNEEGKPRNSWRLCSVHQVEQLKLLVGVVPVWFTGIGCFITMDQMSTFGLMQAIQSNNSVGKFKIPPGWMGLSSMIALSIWIFIYEKIYLPAKRNSKKDKRLTMRQRINIGIVMAILCMLVAAGVEKKRRELALKNGSLVSPLHLLLLIPQFSLSGLIEAFSAVAIMEFFTTHLPESMRTIAGAIFFLSSSAASYLNTVLVNIVHHWTSKNGQTPWLGGHDLNKIRLENYFYLTAGLAVLNLLYFNLFSCRYLNISDVPGSSGQEESDLELKATA >Manes.05G180700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29745424:29750732:1 gene:Manes.05G180700.v8.1 transcript:Manes.05G180700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSPTINPKKTPATAAAAAQNHNLTRPPLLPSDPDNALAPRRPKSREVTSRYMSSSSSSTAKRSSSPSIPRPTGMMTPMPSASSTIKRSQSAERRRPTTPRSIDLRMGNGIVAELSNAQKMLITSTRSLSVSFQGESFSLQVSKAKPAPSPITSRKGTPERRKTTPMPVRGADQVENSRPVEHQRWPGRLRQPNSLNGSLDCTDDRKRLTVSGVDMNVIRALQSSMVDNRSSVESRLSSDSSSFDTEKHIDTNGSDALCDPPVVSDTESVYSGSTSEGISNVGGSGQSQRGPRGIMVPARFWQETNRFRRQPEPGSPVSKTIGLEAPQPTKLTSPKKLIDSPVSSPKGVVNARGQSSPIRGGALRPASAGKIGALSPMRGVSPSRIRNAAGAVVNSNLSNLNNTPSILSFAADIRRGKGGEHRIVEVHLLRILYNRLLQWRFVNARADTALSAQRLNAERSLYNAHITTSKLRESVKAKRMELQCLKQNLKLISILKGQMIYLEEFALIDLDFSRSFSGAIEALRASTLRLPVVGGARADIQNVKDSICSAVDVMQAMASSICILLSKVIFSPRQP >Manes.05G180700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29745424:29752506:1 gene:Manes.05G180700.v8.1 transcript:Manes.05G180700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSPTINPKKTPATAAAAAQNHNLTRPPLLPSDPDNALAPRRPKSREVTSRYMSSSSSSTAKRSSSPSIPRPTGMMTPMPSASSTIKRSQSAERRRPTTPRSIDLRMGNGIVAELSNAQKMLITSTRSLSVSFQGESFSLQVSKAKPAPSPITSRKGTPERRKTTPMPVRGADQVENSRPVEHQRWPGRLRQPNSLNGSLDCTDDRKRLTVSGVDMNVIRALQSSMVDNRSSVESRLSSDSSSFDTEKHIDTNGSDALCDPPVVSDTESVYSGSTSEGISNVGGSGQSQRGPRGIMVPARFWQETNRFRRQPEPGSPVSKTIGLEAPQPTKLTSPKKLIDSPVSSPKGVVNARGQSSPIRGGALRPASAGKIGALSPMRGVSPSRIRNAAGAVVNSNLSNLNNTPSILSFAADIRRGKGGEHRIVEVHLLRILYNRLLQWRFVNARADTALSAQRLNAERSLYNAHITTSKLRESVKAKRMELQCLKQNLKLISILKGQMIYLEEFALIDLDFSRSFSGAIEALRASTLRLPVVGGARADIQNVKDSICSAVDVMQAMASSICILLSKVGEVNSLVAELANVAGKERALLDQCKDLLSIIAAMQVKQCSLRTHFIQLKRVPSSLTTKV >Manes.01G202351.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37521777:37522067:1 gene:Manes.01G202351.v8.1 transcript:Manes.01G202351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMRLWIDQGDSNSPNSVIRPGRARQAECKTWPGQAD >Manes.14G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8215668:8221168:-1 gene:Manes.14G098900.v8.1 transcript:Manes.14G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLAALIVMTILSAVVGWAAPNLLSRTWTHHITTVLFFGFGLWSLWDGFTDKGEAEELAEVEAKLDADWKANTGITKEDVKADDELKKQRQPFLAQFFSPIFLKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIVGQALCTTAAVVGGKSLASQISEKIVALSGGILFIIFGMQSLLLTAES >Manes.02G023500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2073414:2079191:-1 gene:Manes.02G023500.v8.1 transcript:Manes.02G023500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHTSLQFLFVIYLVISVTCESFPKDEVWALITFKEAIFEDPILVMSNWNAIDADPCEWPGVSCSVARDHVVKINITQSAIKGFLPPELGRITHLQELNLQSNGLTGHLPPELGNLKHLEELRLDRNKLQGTVPAGGNSDFPSNVHGKYASSTNSTGLCRATQLKVADLSYNFFVGSIPKCLKYLPNTSFQGNCLQSKDLKQRSFAQCGGAPPAKTHQAFNPKHQPSEDVAKQHQEASKPAWLLALEIVTGTMVGLLFLVAVLTAFQRCNNKSSIIIPWKKSASQNDYTAVYIDSELLKDVVRFSRQDLEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEEHWTGYLELYFQKEVADLARLNHENTGRLLGYCRESTPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMKIVIGIARGLKYLHTELDQPFTISELNSSSVYLTEDFSPKLVDFESWKSILSRSEKNSGSIGSQGAICVLPNSMEGRHLDVQGNVYAFGVLLLEIISGRPPYCEDKGCLVDWAKDFLELPEVMSYVVDPELKHFTYDDLKVICEVVSLCIQPEPAKRPSMQEVSSMLESKIDTSVSLELKASSLAWAELALSS >Manes.02G023500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2073414:2079191:-1 gene:Manes.02G023500.v8.1 transcript:Manes.02G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHTSLQFLFVIYLVISVTCESFPKDEVWALITFKEAIFEDPILVMSNWNAIDADPCEWPGVSCSVARDHVVKINITQSAIKGFLPPELGRITHLQELILHGNKLIGSIPKELGMLKYLEVLDLGVNQLTGPIPPEIANLSSVMRINLQSNGLTGHLPPELGNLKHLEELRLDRNKLQGTVPAGGNSDFPSNVHGKYASSTNSTGLCRATQLKVADLSYNFFVGSIPKCLKYLPNTSFQGNCLQSKDLKQRSFAQCGGAPPAKTHQAFNPKHQPSEDVAKQHQEASKPAWLLALEIVTGTMVGLLFLVAVLTAFQRCNNKSSIIIPWKKSASQNDYTAVYIDSELLKDVVRFSRQDLEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEEHWTGYLELYFQKEVADLARLNHENTGRLLGYCRESTPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMKIVIGIARGLKYLHTELDQPFTISELNSSSVYLTEDFSPKLVDFESWKSILSRSEKNSGSIGSQGAICVLPNSMEGRHLDVQGNVYAFGVLLLEIISGRPPYCEDKGCLVDWAKDFLELPEVMSYVVDPELKHFTYDDLKVICEVVSLCIQPEPAKRPSMQEVSSMLESKIDTSVSLELKASSLAWAELALSS >Manes.05G056200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4696109:4699704:-1 gene:Manes.05G056200.v8.1 transcript:Manes.05G056200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPNDCHVLAMETKNLDILPVKRHKASKKSGGAVDRISNLPDAILHLILSFLQTIDAVKTSVLSKRWRYLWTSLGYLEFDHCYFWSRHIKFWYQREFSEKFTNFVTCVLSFRDGSDIKRFRLSSFNFCNKWVDKWIDVVISHNVRELDLSLCPEGAFLLPHSIGSSKYLTALKLNLFNHVLEIPKTMVFNKLTSLHLVSLRFSNDGLARLLFLGCPVLEILVLQRCVYDNIKVLDIYATKLKTLTIENLELDDAGDDGLRQTVLKVFAPNLLSFSFCALYLMDRYVSLHHFVI >Manes.05G056200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4696109:4699704:-1 gene:Manes.05G056200.v8.1 transcript:Manes.05G056200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPNDCHVLAMETKNLDILPVKRHKASKKSGGAVDRISNLPDAILHLILSFLQTIDAVKTSVLSKRWRYLWTSLGYLEFDHCYFWSRHIKFWYQREFSEKFTNFVTCVLSFRDGSDIKRFRLSSFNFCNKWVDKWIDVVISHNVRELDLSLCPEGAFLLPHSIGSSKYLTALKLNLFNHVLEIPKTMVFNKLTSLHLVSLRFSNDGLARLLFLGCPVLEILVLQRCVYDNIKVLDIYATKLKTLTIENLELDDAGDDGLRQTVLKVFAPNLLSFRYMGPVARDYILQDPLCIINVYIHLVNGFEKGSLKGLGYLMCKFIGGFYNAEVMKLSMIFLELLCSVLNGPTSDWKDDWQPEDEAVTCLSYHLKTVEISNFEGQDNGLEFVKFVLENGLVLERITITWSMNLKKPIEIILKAMTIMTFPRASSTVAIIFLEPEPLGNSFI >Manes.05G056200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4696109:4699704:-1 gene:Manes.05G056200.v8.1 transcript:Manes.05G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPNDCHVLAMETKNLDILPVKRHKASKKSGGAVDRISNLPDAILHLILSFLQTIDAVKTSVLSKRWRYLWTSLGYLEFDHCYFWSRHIKFWYQREFSEKFTNFVTCVLSFRDGSDIKRFRLSSFNFCNKWVDKWIDVVISHNVRELDLSLCPEGAFLLPHSIGSSKYLTALKLNLFNHVLEIPKTMVFNKLTSLHLVSLRFSNDGLARLLFLGCPVLEILVLQRCVYDNIKVLDIYATKLKTLTIENLELDDAGDDGLRQTVLKVFAPNLLSFRYMGPVARDYILQDPLCIINVYIHLVNGFEKGSLKGLGYLMCKFIGGFYNAEVMKLSMIFLELLCSVLNGPVCIPAPFCNLKLLKLNAGTDKRHLQVIIHLLKNSPNLKALHIDFMTSDWKDDWQPEDEAVTCLSYHLKTVEISNFEGQDNGLEFVKFVLENGLVLERITITWSMNLKKPIEIILKAMTIMTFPRASSTVAIIFLEPEPLGNSFI >Manes.05G056200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4696109:4699704:-1 gene:Manes.05G056200.v8.1 transcript:Manes.05G056200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPNDCHVLAMETKNLDILPVKRHKASKKSGGAVDRISNLPDAILHLILSFLQTIDAVKTSVLSKRWRYLWTSLGYLEFDHCYFWSRHIKFWYQREFSEKFTNFVTCVLSFRDGSDIKRFRLSSFNFCNKWVDKWIDVVISHNVRELDLSLCPEGAFLLPHSIGSSKYLTALKLNLFNHVLEIPKTMVFNKLTSLHLVSLRFSNDGLARLLFLGCPVLEILVLQRCVYDNIKVLDIYATKLKTLTIENLELDDAGDDGLRQTVLKVFAPNLLSFRYMGPVARDYILQDPLCIINVYIHLVNGFEKGSLKGLGYLMCKFIGGFYNAEVMKLSMIFLEVCNFLFIVSILH >Manes.02G134000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10227241:10229965:1 gene:Manes.02G134000.v8.1 transcript:Manes.02G134000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKIILHRRVLLPRLRKLSVKFKLPNNTTMESGGEGEGGGGEGSGSSGKREKHVCEFCGKKFSSGQALGGHKKHHLRIMRNNSKRTQEEEESNIKIKKEEHGGSKRCSTSKAGDVEIDSDGKPICYSVQGSPRNQINSAAEIEAADALLMLSLAPRHGPKTSGYATTSSEKAIRTEIESGSISDHNSKEDEDIIKETTAKKGKEMNYISNLTNSEIEAARNLLLLSRAGTYGHGHGHEPETSGYATTSSGKSIRTEIGSGSGSGIGPDHGSKEDEDITKETCGLITTKKGKKMNYISKLRNAERKPCESKCSNCGKSFRSRSPCRCKNNSKVQVAESALPDDDAITAKEIPASKPDKEAGKTGDNDSYHPVASTETSFRCDICNKTFSTAQGLGCHKRIHRKAPARPLLNEAALAQASNKEGEEDNTALNKRYLRQEGEEDNIDLNKPYLMKEGEEDW >Manes.02G134000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10227241:10229965:1 gene:Manes.02G134000.v8.1 transcript:Manes.02G134000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKIILHRRVLLPRLRKLSVKFKLPNNTTMESGGEGEGGGGEGSGSSGKREKHVCEFCGKKFSSGQALGGHKKHHLRIMRNNSKRTQEEEESNIKIKKEEHGGSKRCSTSKAGDVEIDSDGKPICYSVQGSPRNQINSAAEIEAADALLMLSLAPRHGPKTSGYATTSSEKAIRTEIESGSISDHNSKEDEDIIKETTAKKGKEMNYISNLTNSEIEAARNLLLLSRAGTYGHGHGHEPETSGYATTSSGKSIRTEIGSGSGSGIGPDHGSKEDEDITKETCGLITTKKGKKMNYISKLRNAERKPCESKCSNCGKSFRSRSPCRCKNNSKVQVAESALPDDDAITAKEIPASKPDKEAGKTGDNDSYHPVASTETSFRCDICNKTFSTAQGLGCHKRIHRKAPARPLLNEAALAQASNKVGSKTASSGEAEANQAGHGIFDIDLNKRYLMQEGEEDNTALNKRYLRQEGEEDNIDLNKPYLMKEGEEDW >Manes.02G134000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10227241:10229965:1 gene:Manes.02G134000.v8.1 transcript:Manes.02G134000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKIILHRRVLLPRLRKLSVKFKLPNNTTMESGGEGEGGGGEGSGSSGKREKHVCEFCGKKFSSGQALGGHKKHHLRIMRNNSKRTQEEEESNIKIKKEEHGGSKRCSTSKAGDVEIDSDGKPICYSVQGSPRNQINSAAEIEAADALLMLSLAPRHGPKTSGYATTSSEKAIRTEIESGSISDHNSKEDEDIIKETTAKKGKEMNYISNLTNSEIEAARNLLLLSRAGTYGHGHGHEPETSGYATTSSGKSIRTEIGSGSGSGIGPDHGSKEDEDITKETCGLITTKKGKKMNYISKLRNAERKPCESKCSNCGKSFRSRSPCRCKNNSKVQVAESALPDDDAITAKEIPASKPDKEAGKTGDNDSYHPVASTETSFRCDICNKTFSTAQGLGCHKRIHRKAPARPLLNEAALAQASNKVGSKTASSGEAEANQAGHGIFDIDLNKRYLMQEGEEDNTALNKRYLRQEGEEDNIDLNKPYLMKEGEEDW >Manes.02G134000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10227241:10229965:1 gene:Manes.02G134000.v8.1 transcript:Manes.02G134000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKIILHRRVLLPRLRKLSVKFKLPNNTTMESGGEGEGGGGEGSGSSGKREKHVCEFCGKKFSSGQALGGHKKHHLRIMRNNSKRTQEEEESNIKIKKEEHGGSKRCSTSKAGDVEIDSDGKPICYSVQGSPRNQINSAAEIEAADALLMLSLAPRHGPKTSGYATTSSEKAIRTEIESGSISDHNSKEDEDIIKETTAKKGKEMNYISNLTNSEIEAARNLLLLSRAGTYGHGHGHEPETSGYATTSSGKSIRTEIGSGSGSGIGPDHGSKEDEDITKETCGLITTKKGKKMNYISKLRNAERKPCESKCSNCGKSFRSRSPCRCKNNSKVQVAESALPDDDAITAKEIPASKPDKEAGKTGDNDSYHPVASTETSFRCDICNKTFSTAQGLGCHKRIHRKAPARPLLNEAALAQASNKEGEEDNTALNKRYLRQEGEEDNIDLNKPYLMKEGEEDW >Manes.S053016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1824340:1824714:-1 gene:Manes.S053016.v8.1 transcript:Manes.S053016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.13G093200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24710716:24714069:1 gene:Manes.13G093200.v8.1 transcript:Manes.13G093200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVVSDPKTTINLATTVHVSNSSIFPTAAQKPPAAPGGYISISRKKLLNHLEINEVARINAWVDSMRASSPTHIKSTPSITDDQGSWILRQPSALDMFEQIIDASKGKQIVMFLDYDGTLSPIVDDPDRAFMSKKMRATVRKLARCCPTAIVSGRCRDKVYNFVRLAELYYAGSHGMDIKGPAKGSKYKKESEALIFQPASEFLPMIDEVYKELVEKTKSTPGAKVENNKFCLSVHFRCVDEKKWSELGQVVRSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLEYLGFTNCTDVFPVYIGDDQTDEDAFKVLRERGQGFGILVSGIPKDTNASYYLQEPTQVMDFLQRLVEWKRVSMQRSR >Manes.13G093200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24710716:24714069:1 gene:Manes.13G093200.v8.1 transcript:Manes.13G093200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVVSDPKTTINLATTVHVSNSSIFPTAAQKPPAAPGGYISISRKKLLNHLEINEVARINAWVDSMRASSPTHIKSTPSITDDQGSWILRQPSALDMFEQIIDASKGKQIVMFLDYDGTLSPIVDDPDRAFMSKKMRATVRKLARCCPTAIVSGRCRDKVYNFVRLAELYYAGSHGMDIKGPAKGSKYKKESEALIFQPASEFLPMIDEVYKELVEKTKSTPGAKVENNKFCLSVHFRCVDEKKWSELGQVVRSVLKDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLEYLGFTNCTDVFPVYIGDDQTDEDAFKVLRERGQGFGILVSGIPKDTNASYYLQEPTQVMDFLQRLVEWKRVSMQRSR >Manes.12G038101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3298441:3300744:-1 gene:Manes.12G038101.v8.1 transcript:Manes.12G038101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLHIFFSILLFNFSFLHSNTLQTYIVQLHPHGITRSSFASKFHWHLSFLEKTISSEVDCSSRLLYSYRSAMEGFAAQLSDSEVESLQKLPDVIAIRPDMRLQVHTTYSYKFLGLNPTGEDAWYKSRFGHGTIIGVLDTGIWPESPSFNDEGMQPVPKKWRGICQEGQVFNSSNCNKKLIGARYFTKGHRVASTSTSSNTVQEYLSPRDSHGHGTHTSSTAGGAAVPMASVLGIGAGIARGMAPGAHIAVYKVCWSNGCYSSDILAAMDVAIRDGVDVLSLSLGGFPLPLFADSIAIGSFRAVEHGISVICAAGNNGPLQNSVANEAPWIATIGASTLDRKFPAIVQMGNGQFLYGESMYPGNQFSNSGQELELVYVTGGGTGSEFCFRGSLPREKVRGKMVVCDRGVNGRAEKGQAVKESGGAAMILANKEINLEEDSVDVHLLPATLIGFAEAVSLKAYINSTSKPRARIIFKGTVIRKSRAPEVAQFSARGPSLTNPSILKPDVIAPGVNIIAAWPQNLGPTGLPEDPRRVNFTVMSGTSMACPHVSGIAALIRSAHPGWTPAAVKSAIMTTADITDHSGNPILDGDKPAGLFAIGAGHINPERAINPGLIYDIRPEEYVTHLCTLGYTRSEIFTITHRNVSCNELLQMNKGFSLNYPSISVIFKQGMTSKMIRRRLTNVGNPKSIYSVEVTAPEGVKVTVKPQRLVFRHRNQSLSYTVRFMSRKTMVREEVSFAEGHLTWVHSQNNFSRVRSPISVTWKNK >Manes.06G038351.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11578729:11579602:-1 gene:Manes.06G038351.v8.1 transcript:Manes.06G038351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTFHGFKHDGPIGGSLKKKERKKERMKQQTQKVECFHGVIPRVSAAPAMNYMITQNLLLSLRFWRDASNFIMRVVPLRVSTCS >Manes.12G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7478277:7484557:-1 gene:Manes.12G070100.v8.1 transcript:Manes.12G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSFSLTLCLHFTLFLDPLPPFLVSSFSFLLIPHHFLCFLLSPSLSLSNKEVSVMADISHPPMEQLQDLEYCIDSNPPWAETIILAFQNYILMLGTSVMIPSLLVPAMGGSHEDKGLVIQTLLFVAGINTLLQALFGTRLPTVIGGSFAYVIPIAYIISDTPLQQITDHHERFIRTMRAIQGALIVASSIQIILGYSQLWGLLSRFFSPLGMAPVVGLVGFGLFQRGFPVLGNCMEIGLPMLFLVIGLSQYLKHVKIFRELPIFERFPVLICVTIVWIYSIILTASGAYMDKPNRTQLSCRTDRAHLISSAPWFKFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGLLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVIQISAGFMIFFSTLGKFGAVFASIPFPIFAALYCILFGLVASVGLSFLQFTNMNSMRNLMITGLSLFLGISVPQFFNEYWNPTRSGLVHTNAGWFNAIMNTIFSSPATVGLTVAVFLDNTLDVGKSEKDRGMQWWVKFRTFKGDNRNEEFYTLPFNLDRFFPPS >Manes.15G060800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4643697:4647565:-1 gene:Manes.15G060800.v8.1 transcript:Manes.15G060800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKTKGAVVAAISVSVAVLSYMLCKYKSKKKSFPLSKNKKTRNGLVYAVGNTPMIRINSLSEATGCEILGKCEFLNPGGSVKDRVAVKIIEEALESTQLSQGGVVTEGSAGSTAISLATVAPAYGCRCHVVIPDDVAIEKSQILEALGATVERVRPVSITHRDHYVNVARRRALEANELASKLRKVDQIDGDSGQLNGYRSDGERQGLVFSRYCNGGFFADQFENLANFRAHYEGTGPEIWEQTCGNLDAFVAAAGTGGTVAGVSRFLQEKNSNIKCFLIDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTQNFMMAQLDGAFRGTDKEAVEMSRYLLKNDGLFLGSSSAMNCVGAVRVAQSIGPGHTIVTILCDSGMRHLSKFYNAEYLSQHGLTPTANGLEFLGIK >Manes.02G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9912161:9914454:1 gene:Manes.02G130500.v8.1 transcript:Manes.02G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDQYQQSKYDTLLFDVDDTLYPLSTGFSNECAKNIQEYMVQKLGIQQNKVFQLNQELYKNYGTSMAGLKAIGYDFDNDEYHSFVHGRLPYGKLKPDHVLRNLLLSMPLRKVIFSNADNAHVSKTLRKLGLEDCFERIICFETLNPNDKTSCRFDDKNDVQFGSENFDNPCQSDIGSILPKTPILCKPFEDAFEQAFKLANINPQTTIFFDDSIRNIQKGKQLGLTTVLVGKSNRISGADYVLESIHNIKEALPELWEENDKKSETMKYTGNVAFGTSVTA >Manes.04G059650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:20287708:20312040:-1 gene:Manes.04G059650.v8.1 transcript:Manes.04G059650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTQSSNSMSDTECSYDKHKREDDFEESNLGLAKKQRTAENAMEEQATRRDSDVDKVSEEETNKNVCLKEAKQSMEGKKGFHIEADAAEDKGSRHSMEDAWVLLPDASFDSPGTLRCAHFAIYDGHGGRLAAEYAKKHLHRNVLSAGLPRELLDVKAAKKAILDGFRKTDESLLHESASGGWQDGATAVCVWVLGQTVFIANIGDAKAVLARSSEAGGSLNHSDGERLLKAIVLTREHKAIYPQERARIQKAGGSITSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDLTGREHFIILGCDGLWGVFGPSDAVEFVQRLLKEGLAVTSVSRRLIREAVIERRCKDNCTAIVIVFRRE >Manes.07G000800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:333166:336731:-1 gene:Manes.07G000800.v8.1 transcript:Manes.07G000800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIHISMSTQKGKVPQTNHQYSRKIPNSKFSSPSPHQPLNMRVFFQSDHLPIPLGCSTDGNPGASDIPSSAFCTMKGCMSFAQGDDYDFNSLSGSQATETLGLQSTVKSESNKNLTIKETMCQDLHPHSSGGDLFLPILQKSANELNQPNQSHINFKNHQNCENGYQLFSSQFTKPINYNFKPAAKQPKHPCYGIVSANSKSVTSGPAGTCKTRIRWTKDLHKRFVECVDILGGPVKATPKLILKLMGVEGLTIFHVKSHLQKYRISRYIPKSTEGKTNRNLTNTIALDPKAGMQIAETLRMQIDVQKRLQEQLEIQRNLQSRIEEQGRQLRKMLDLQLVTNKTLTETKNSSDLAFQEDPSNDPIEGLGDFH >Manes.07G000800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:333166:336709:-1 gene:Manes.07G000800.v8.1 transcript:Manes.07G000800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIHISMSTQKGKVPQTNHQYSRKIPNSKFSSPSPHQPLNMRVFFQSDHLPIPLGCSTDGNPGASDIPSSAFCTMKGCMSFAQGDDYDFNSLSGSQATETLGLQSTVKSESNKNLTIKETMCQDLHPHSSGGDLFLPILQKSANELNQPNQSHINFKNHQNCENGYQLFSSQFTKPINYNFKPAAKQPKHPCYGIVSANSKSVTSGPAGTCKTRIRWTKDLHKRFVECVDILGGPVKATPKLILKLMGVEGLTIFHVKSHLQKYRISRYIPKSTEGIFL >Manes.07G000800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:333166:336709:-1 gene:Manes.07G000800.v8.1 transcript:Manes.07G000800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIHISMSTQKGKVPQTNHQYSRKIPNSKFSSPSPHQPLNMRVFFQSDHLPIPLGCSTDGNPGASDIPSSAFCTMKGCMSFAQGDDYDFNSLSGSQATETLGLQSTVKSESNKNLTIKETMCQDLHPHSSGGDLFLPILQKSANELNQPNQSHINFKNHQNCENGYQLFSSQFTKPINYNFKPAAKQPKHPCYGIVSANSKSVTSGPAGTCKTRIRWTKDLHKRFVECVDILGGPVKATPKLILKLMGVEGLTIFHVKSHLQKYRISRYIPKSTEGKTNRNLTNTIALDPKAGMQIAETLRMQIDVQKRLQEQLESNLSQIQRNLQSRIEEQGRQLRKMLDLQLVTNKTLTETKNSSDLAFQEDPSNDPIEGLGDFH >Manes.15G075200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5685051:5689747:-1 gene:Manes.15G075200.v8.1 transcript:Manes.15G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTAFRTTTLPRFSSSIFASRLVSTLVVAEHEGGSIKGSSVSAVEAAKALGDGNSISVLLAGSGPSLQEAAAHAASCHPSISQVLVADSDKFTHSLAEPWAGLVHIVQQKGGYSHIIAAANSFGKNILPRAAALLDVSPITDVINIFGSSQFVRPIYAGNALCTVRYTGSSPCMLTIRSTSFPVPAVSANSRSNEAPISQVDLSTFGEDSVGKSRYVQHTSQDTERPDLGSARVVVTGGRGLKSAENFKMMEKLAEKLGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHIAGMRDSRVIVAVNKDSDAPIFQVADYGLVGDLFEVIPELLEKLPEKK >Manes.15G075200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5685050:5689747:-1 gene:Manes.15G075200.v8.1 transcript:Manes.15G075200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTAFRTTTLPRFSSSIFASRLVLVADSDKFTHSLAEPWAGLVHIVQQKGGYSHIIAAANSFGKNILPRAAALLDVSPITDVINIFGSSQFVRPIYAGNALCTVRYTGSSPCMLTIRSTSFPVPAVSANSRSNEAPISQVDLSTFGEDSVGKSRYVQHTSQDTERPDLGSARVVVTGGRGLKSAENFKMMEKLAEKLGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHIAGMRDSRVIVAVNKDSDAPIFQVADYGLVGDLFEVIPELLEKLPEKK >Manes.02G091900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7174253:7175920:1 gene:Manes.02G091900.v8.1 transcript:Manes.02G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNIDDDDGLETVLEVPIPEEMFTSMGSNANLRWQNMLTWMKAQTSDKWSQPVIAGRINELRFLLYMVGSPLIPLQVQVGHSVHRPVKDCSIQASTAKYIVQQYIAATGGPAALNAVHSMCVTGEVKINASEFHQVDQSKNVKRTEEAGGFVLWQKDPDLWILELVVSGCKVICGSNGKISWRLSSNQQAPISTGPARPLRRFLQGLDPRSTANLFIDATCIGEKIINNEDCFILKLETSPAIREAQSGPNYEIIHHTIWGYFSQRSGLLIQFEDSRLLGMRNKDDDDVFWETSTESVMQDYRYIDGVNIAHSGKTRVTVFRYGERSANHKREMEEKWKIEDVDFNIWGLSSEHFLPPSGIKNYKK >Manes.13G066700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8511510:8518546:1 gene:Manes.13G066700.v8.1 transcript:Manes.13G066700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRVRLPSSHSELLEDPDGAYSQLIRLQVNKDSEHAADEHKRSEISSESRRHSNQRNSLQRSISKGSSDVGKSNCHSSSASSSMLIGLNASKNSQEELEVSPQQKQTPEVPIRRLAYLNKPEIPVLIAGSIAASINGAIFPMFGVLFSRVIKAFYEPPEELKKDSNFWALMFILIGFISFLASPTQLYFFAVAGSKLIQRIRLMCFEKVVHMEIGWFDEPENSSGAIGARLSADAATVSALVGDTLAQMVQNIASAVAGLVIAFTASWQMALIILALIPLLGINGYVGVKFLKGFTADAKMMYEEASQVANDAVGNIRTVASFCAEEKVMQLYKRKCEGPVKTGIRQGLISGIGFGVSVFLLYSVYATSFYAGAQLVKHEKTKFSDVFQVFFALSTAALVISQSSSFASDSSKAKNAATSIFSILDRNSKIDPSNESGMTLENVKGEVELRHVSFRYPSRPDIQIFQDLNLAIHSGKTLALVGESGSGKSTVISLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKDGNATEAEILAASELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESEKLVQDALDRAMVNRTTVIVAHRLSTIKNADVIAVVKNGVIVEKGKHDSLINITDGFYASLVALHTSCLN >Manes.13G066700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8511510:8518546:1 gene:Manes.13G066700.v8.1 transcript:Manes.13G066700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRVRLPSSHSELLEDPDGAYSQLIRLQVNKDSEHAADEHKRSEISSESRRHSNQRNSLQRSISKGSSDVGKSNCHSSSASSSMLIGLNASKNSQEELEVSPQQKQTPEVPIRRLAYLNKPEIPVLIAGSIAASINGAIFPMFGVLFSRVIKAFYEPPEELKKDSNFWALMFILIGFISFLASPTQLYFFAVAGSKLIQRIRLMCFEKVVHMEIGWFDEPENSSGAIGARLSADAATVSALVGDTLAQMVQNIASAVAGLVIAFTASWQMALIILALIPLLGINGYVGVKFLKGFTADAKMMYEEASQVANDAVGNIRTVASFCAEEKVMQLYKRKCEGPVKTGIRQGLISGIGFGVSVFLLYSVYATSFYAGAQLVKHEKTKFSDVFQVFFALSTAALVISQSSSFASDSSKAKNAATSIFSILDRNSKIDPSNESGMTLENVKGEVELRHVSFRYPSRPDIQIFQDLNLAIHSGKTLALVGESGSGKSTVISLLQRFYDPDSGHITLDGVEIQKLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKDGNATEAEILAASELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESEKLVQDALDRAMVNRTTVIVAHRLSTIKNADVIAVVKNGVIVEKGKHDSLINITDGFYASLVALHTSCLN >Manes.16G095600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30170795:30171421:1 gene:Manes.16G095600.v8.1 transcript:Manes.16G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLRSSCSFPNLLLSCLNFTLFILCSASLAPIILLRMPPTSLGFALLTVSSISLLCSFVGFYSQLSHFCFVTHISLHFASLIGQVLSILLLFTREKSSLSMIKSPRDPKEAKLLVRVECGALMAMFILQVMALALSCAVHSCWVRDYEGLEAEREAADEKRSRRIARVQEESMANAAKIAEIKAKEFDEKIKNKYGQWVKTDFEG >Manes.18G053000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4482605:4484276:-1 gene:Manes.18G053000.v8.1 transcript:Manes.18G053000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCVADMNLSNSYGKVDVPRQLVIKKDAASIPDAVTKAGLMLPIVAKPLVADGSAKSHELSLAYDQPSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVCKRELSRIEGVFRFPRVSCAAASADDADLDPGVAELPPQPLLEKLARELRRRLGLRLFNLDIIREHGSRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQSRYKERSS >Manes.18G053000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4481547:4487811:-1 gene:Manes.18G053000.v8.1 transcript:Manes.18G053000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTGKEWRQILEDYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSNSYGKVDVPRQLVIKKDAASIPDAVTKAGLMLPIVAKPLVADGSAKSHELSLAYDQPSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVCKRELSRIEGVFRFPRVSCAAASADDADLDPGVAELPPQPLLEKLARELRRRLGLRLFNLDIIREHGSRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQSRYKERSS >Manes.18G053000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4481547:4485736:-1 gene:Manes.18G053000.v8.1 transcript:Manes.18G053000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCVADMNLSNSYGKVDVPRQLVIKKDAASIPDAVTKAGLMLPIVAKPLVADGSAKSHELSLAYDQPSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVCKRELSRIEGVFRFPRVSCAAASADDADLDPGVAELPPQPLLEKLARELRRRLGLRLFNLDIIREHGSRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQSRYKERSS >Manes.18G053000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4481547:4487811:-1 gene:Manes.18G053000.v8.1 transcript:Manes.18G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHDEIVPFHRNGKRGDEDYEEGEEEEVISSIEAGFLQPPSRSLQRKLVIVGYALTSKKIKSFLQPKLEGLARNKGILFVAIDQNKPLSDQGPFDIVLHKLTGKEWRQILEDYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSNSYGKVDVPRQLVIKKDAASIPDAVTKAGLMLPIVAKPLVADGSAKSHELSLAYDQPSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVCKRELSRIEGVFRFPRVSCAAASADDADLDPGVAELPPQPLLEKLARELRRRLGLRLFNLDIIREHGSRDQFYVIDINYFPGYGKMPEYEHIFTDFLLSLGQSRYKERSS >Manes.18G053000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4481547:4487811:-1 gene:Manes.18G053000.v8.1 transcript:Manes.18G053000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHDEIVPFHRNGKRGDEDYEEGEEEEVISSIEAGFLQPPSRSLQRKLVIVGYALTSKKIKSFLQPKLEGLARNKGILFVAIDQNKPLSDQGPFDIVLHKLTGKEWRQILEDYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSNSYGKVDVPRQLVIKKDAASIPDAVTKAGLMLPIVAKPLVADGSAKSHELSLAYDQPSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVCKRELSRIEGVFRFPRVSCAAASADDADLDPGVAELPPQPLLEKLARELRRRLVAPFCLLSFSFPTANILALPSCHFAFTLLITLSRVSGYSI >Manes.01G251000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40968024:40976937:-1 gene:Manes.01G251000.v8.1 transcript:Manes.01G251000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETALPLKRESLLRSSSAGRTERYPFSQRPRSRFSRFLLFRKLDYLQWICTVAVFLFVVISFQMFLPGSVIEKSQDSWKELDMVSGDLLSLKETGTLDFGEDIKFEPSKLIEKFEKEARDVNNLSFNFSVTQRRFGYKKPQLALVFADLLVDPQQLLMVTVATALQEIGYITQVFSIEDGPAHEIWKSIGVPVTIFQSKHRMEIAVDWLMYDGILVSSLETKVVLSCFMQEPFKSLPLIWTIHEKALAVRSRKYTENGQIELANDWKRVFNRATVVVFPNHVLPMMYSSFDAGNYYVIPGSPAQAWEADALVALYKDNVRVKMGYGPDDIIITIVGSQFLYRGLWLEHALILQALLPLFSKFPFDDNSISRLKIIVLSGNSTSNYTMAVEAIAVNLHYPRGAVKHIAIDEDEGSVLSASDIVVYGSFHEEQSFPEILIKAMCIGKPIVAPDLHMIRKYVDDRVNGFLFPREKIRVLTHIILQLILNGKLSPVARNIASVGKGTARNLMVAETVEGYASLLEKIIRLPSEVALPEAFVEIPSKLKEEWRWHLFGAFSKSAYEERTLRISRSLDKIEEQWNRTQRESSRSITSIDESFLYDIWKEEKDNEILNARKRREEEELKDRSDQPHGTWEEAYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGEGTWSFLHHSSLYRGVGLSSKGRRPRADDVDAPSRLPLLNNPYYRDALGEYGAFFAIANRIDRVHKNAWIGFQSWRATARKASLSRTAELALLDAIQTQRHGDALYFWVRMDMDPRNQLQQDFWSFCNAINAGNCKVWADYS >Manes.01G251000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40968024:40976937:-1 gene:Manes.01G251000.v8.1 transcript:Manes.01G251000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETALPLKRESLLRSSSAGRTERYPFSQRPRSRFSRFLLFRKLDYLQWICTVAVFLFVVISFQMFLPGSVIEKSQDSWKELDMVSGDLLSLKETGTLDFGEDIKFEPSKLIEKFEKEARDVNNLSFNFSVTQRRFGYKKPQLALVFADLLVDPQQLLMVTVATALQEIGYITQVFSIEDGPAHEIWKSIGVPVTIFQSKHRMEIAVDWLMYDGILVSSLETKVVLSCFMQEPFKSLPLIWTIHEKALAVRSRKYTENGQIELANDWKRVFNRATVVVFPNHVLPMMYSSFDAGNYYVIPGSPAQAWEADALVALYKDNVRVKMGYGPDDIIITIVGSQFLYRGLWLEHALILQALLPLFSKFPFDDNSISRLKIIVLSGNSTSNYTMAVEAIAVNLHYPRGAVKHIAIDEDEGSVLSASDIVVYGSFHEEQSFPEILIKAMCIGKPIVAPDLHMIRKYVDDRVNGFLFPREKIRVLTHIILQLILNGKLSPVARNIASVGKGTARNLMVAETVEGYASLLEKIIRLPSEVALPEAFVEIPSKLKEEWRWHLFGAFSKSAYEERTLRISRSLDKIEEQWNRTQRESSRSITSIDESFLYDIWKEEKDNEILNARKRREEEELKDRSDQPHGTWEEAYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGEGTWSFLHHSSLYRGVGLSSKGRRPRADDVDAPSRLPLLNNPYYRDALGEYGAFFAIANRIDRVHKNAWIGFQSWRATARKASLSRTAELALLDAIQTQRHGDALYFWVRMDMDPRNQLQQDFWSFCNAINAGNCKSAFSEAFRRMYGVEQDLDYLPPMPDDGDTWSVMLSWALPTRSFLEFVMFSRMFVDALDAQMYDEHHQSGHCYLSLSKDKQCYSRVLELLINVWAYHSARQMVYVNPETGLMQEQHKIKSRRGKMWVKWFSYTTLKSMDEDLAEEADSDQPKRRWLWPNTGEVVWQGVFEKERSLRNQQKEKRRQQSKDKLNRMRRKHRQKVIGKYVKPPPEDIENSNSTMLM >Manes.01G251000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40968024:40976937:-1 gene:Manes.01G251000.v8.1 transcript:Manes.01G251000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETALPLKRESLLRSSSAGRTERYPFSQRPRSRFSRFLLFRKLDYLQWICTVAVFLFVVISFQMFLPGSVIEKSQDSWKELDMVSGDLLSLKETGTLDFGEDIKFEPSKLIEKFEKEARDVNNLSFNFSVTQRRFGYKKPQLALVFADLLVDPQQLLMVTVATALQEIGYITQVFSIEDGPAHEIWKSIGVPVTIFQSKHRMEIAVDWLMYEESHSQYDGILVSSLETKVVLSCFMQEPFKSLPLIWTIHEKALAVRSRKYTENGQIELANDWKRVFNRATVVVFPNHVLPMMYSSFDAGNYYVIPGSPAQAWEADALVALYKDNVRVKMGYGPDDIIITIVGSQFLYRGLWLEHALILQALLPLFSKFPFDDNSISRLKIIVLSGNSTSNYTMAVEAIAVNLHYPRGAVKHIAIDEDEGSVLSASDIVVYGSFHEEQSFPEILIKAMCIGKPIVAPDLHMIRKYVDDRVNGFLFPREKIRVLTHIILQLILNGKLSPVARNIASVGKGTARNLMVAETVEGYASLLEKIIRLPSEVALPEAFVEIPSKLKEEWRWHLFGAFSKSAYEERTLRISRSLDKIEEQWNRTQRESSRSITSIDESFLYDIWKEEKDNEILNARKRREEEELKDRSDQPHGTWEEAYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGEGTWSFLHHSSLYRGVGLSSKGRRPRADDVDAPSRLPLLNNPYYRDALGEYGAFFAIANRIDRVHKNAWIGFQSWRATARKASLSRTAELALLDAIQTQRHGDALYFWVRMDMDPRNQLQQDFWSFCNAINAGNCKSAFSEAFRRMYGVEQDLDYLPPMPDDGDTWSVMLSWALPTRSFLEFVMFSRMFVDALDAQMYDEHHQSGHCYLSLSKDKQCYSRVLELLINVWAYHSARQMVYVNPETGLMQEQHKIKSRRGKMWVKWFSYTTLKSMDEDLAEEADSDQPKRRWLWPNTGEVVWQGVFEKERSLRNQQKEKRRQQSKDKLNRMRRKHRQKVIGKYVKPPPEDIENSNSTMLM >Manes.01G251000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40968024:40976937:-1 gene:Manes.01G251000.v8.1 transcript:Manes.01G251000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETALPLKRESLLRSSSAGRTERYPFSQRPRSRFSRFLLFRKLDYLQWICTVAVFLFVVISFQMFLPGSVIEKSQDSWKELDMVSGDLLSLKETGTLDFGEDIKFEPSKLIEKFEKEARDVNNLSFNFSVTQRRFGYKKPQLALVFADLLVDPQQLLMVTVATALQEIGYITQVFSIEDGPAHEIWKSIGVPVTIFQSKHRMEIAVDWLMYEESHSQYDGILVSSLETKVVLSCFMQEPFKSLPLIWTIHEKALAVRSRKYTENGQIELANDWKRVFNRATVVVFPNHVLPMMYSSFDAGNYYVIPGSPAQAWEADALVALYKDNVRVKMGYGPDDIIITIVGSQFLYRGLWLEHALILQALLPLFSKFPFDDNSISRLKIIVLSGNSTSNYTMAVEAIAVNLHYPRGAVKHIAIDEDEGSVLSASDIVVYGSFHEEQSFPEILIKAMCIGKPIVAPDLHMIRKYVDDRVNGFLFPREKIRVLTHIILQLILNGKLSPVARNIASVGKGTARNLMVAETVEGYASLLEKIIRLPSEVALPEAFVEIPSKLKEEWRWHLFGAFSKSAYEERTLRISRSLDKIEEQWNRTQRESSRSITSIDESFLYDIWKEEKDNEILNARKRREEEELKDRSDQPHGTWEEAYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGEGTWSFLHHSSLYRGVGLSSKGRRPRADDVDAPSRLPLLNNPYYRDALGEYGAFFAIANRIDRVHKNAWIGFQSWRATARKASLSRTAELALLDAIQTQRHGDALYFWVRMDMDPRNQLQQDFWSFCNAINAGNCKVWADYS >Manes.01G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33298462:33301344:-1 gene:Manes.01G141700.v8.1 transcript:Manes.01G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMPIKHHSREEASLFLLLLSLLLLKNAACASSSPSAFVQNVINSQRIVIFSKSYCPYCMRAKHIFSELHEQPYVVELDLRDDGAQIQYVLLDLVGRRTVPQVFVNGKHIGGSDDLNASAESGQLQKLLATD >Manes.04G036600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4778491:4779589:1 gene:Manes.04G036600.v8.1 transcript:Manes.04G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSTSNSDHQIGRLDQESHARALFSFFDVDGDGDGMISQEELRGCLSFLGLDGYHDQFDKVLELDEDGKVKIWQILNLLAAKNAALDWVMVKRRKDQNDEFVININQLDKALPLINGKNSKFAKLRDIFLGFTGQAAVSFIAYAPMATSSASATATG >Manes.16G055200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:16234798:16237363:-1 gene:Manes.16G055200.v8.1 transcript:Manes.16G055200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGNGQLSVPPGFRFHPTDEELLYYYLKKKVSYEAVDLDVIREVDLNKLEPWDLKDKCRIGSSPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSQRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQEDGWVVCRVFKKKNQSRGFFPEAVQEHWSHMNASSSSASMEQKQNHMQAPYDYSFDGSMHLPQLFSPESAVAPSFVSPFPMNSMDIECSQNLLKLTSSGCGIVQPGERFNSDWSFLDKLLASHQSLDQHSQSRGNPSSLVVDHVGCSSQQKFPFPYLGCESDILKFSKQS >Manes.16G055200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:16234798:16237363:-1 gene:Manes.16G055200.v8.1 transcript:Manes.16G055200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGNGQLSVPPGFRFHPTDEELLYYYLKKKVSYEAVDLDVIREVDLNKLEPWDLKDKCRIGSSPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSQRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQQEDGWVVCRVFKKKNQSRGFFPEAVQEHWSHMNASSSSASMEQKQNHMQAPYDYSFDGSMHLPQLFSPESAVAPSFVSPFPMNSMDIECSQNLLKLTSSGCGIVQPGERFNSDWSFLDKLLASHQSLDQHSQSRGNPSSLVVDHVGCSSQQKFPFPYLGCESDILKFSKQS >Manes.07G008991.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1019262:1022222:1 gene:Manes.07G008991.v8.1 transcript:Manes.07G008991.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGRKDTLLYDPEIEKTAKSLRKQANLRNQASKPSSSTTPPHRPPTAPAEEISAPAETSITAPATAEFLPETEFLVMAENPAMAAPPAAHVEAENQAINMPIQAPQPQERTLGELAEPTGDQAPLCIEYPLLTAPFELRTGLIHLLPKFRGLENEDPHKHLKAFHVVCSTMRPQGIPEDDIKLRAFPFSLEDYAKEWLFYLPPGSITSWADMVRAFLRKFFPTSKAIGIRREISGIKQKHSEGLYEYWERFKKLCTSYPRHDISDQSLIEYFYGGLLPSERKFIDAACGGTIKKKSPREMRDLISSMAAASQQFGDQELPTRNVNEVSNSILSSQLSELTNAVRSLVVSQTQQVQQIQQPKTCGICANNHPTDLCPSLQEEDQQVNAVGGFNGQRRYDPYANTYNPGWRDHPNFSYARGNQYPSYQQRNQAQAAPQNSNTSLEEIVINLANTVQNLEKQMGQMASSLNKIESQGKLPSQTEINPRQNVSAITLRSGKELQDNRAEKLQKQGMEEILPESDQGSDLPSSLIGTDPIAGQTKLSSSDLTNSPEKAGSDLPKSTDQAESSQRQKQKPMEKFKVPPLFPKRFAKSQKEKEEKEILETLRKVEINIPLLDAVKQIPRYAKFLKELCTNRRKLAEREKVSVGECVSAVIQRKLPTKCKDRGMFAVSCKIGNVGIKKAMCDLGASINVMPLSIFNLLNAGTLKGTSIMIQLADRSIVYPKGVLENVLVQVDQLVFPADFYVIDMEEDKGKITSDILLGRPFLSTARTKIDVHDGTLTMEFEGEVIKFNVYDAMKFPNDVSPVYGLDVIDDLSQEIFDFDQENLLYEGLCRKEEVDSNITEAEKTADCCNLLDVGDLPRPLDNLLKSQTKSLEQTDLTESDLPRPPDNLPKSQPKSDSKQQKSALELKPLPSHLKYAYLGAGNSLPVIISNQLSQEEKEKLLDVLKKHKKAIGWTLEDIKGTSRPFGGGSSHQNHYKKTGYQ >Manes.14G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3831519:3834709:-1 gene:Manes.14G044100.v8.1 transcript:Manes.14G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFLRSTNFFFGVCIMGLNKPWAKNGPLLSGRIIRFMELARPRDQRSCLLISLWKLEIVSFPRKEESMQRQGARRLTAQASATITKCSGSHSSLSATPHFVHENKDHQLFCDLLEACKLSLDLRTAIETHTRIIRFGYATHSSLAASLISTYVNCDRLNLAYQVIDQVFAWTMDLVPLNLVIENFMREGEYEIAIKVFYKMPARDAVTWNSMIGGYVRNARFEEALRFFRAMLSSSVEPDKYTFASVITACARLGALNHGQWVHDMLVQKRIELSFILSSALVDMYSKCGRIQTAKQVFNSVQRNDVSVWNSMINGLAVHGLALDAITVFSKMEEENITPDSITFLGILTACSHCGLVKEGRKYFDLMKSNYSIQPQLEHYGAMVDLLSRAGLLEEAYAIIKAMPIEPDVVIWRALLSACRTYQKPELGEVAITKISRLESGDYVLLSNIYCSRERWDNAQGVREMMKLKGVRKVKGKSWIEWAGVVHNFKAGDRSHPETEAIYKILVSLIQRTKLEGFFPATELVMMDVSEEEKEANLYHHSEKLALAYGIFKTSPGTEIRISKNLRICYDCHNWIKMVSRLLSRVITVRDRIRFHQFERGSCSCGDYW >Manes.11G039864.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3811986:3812574:1 gene:Manes.11G039864.v8.1 transcript:Manes.11G039864.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSMNETDEAVQVQEETLEHTPQALGGQANASSSSSIRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSIANDIKMRYTAPWKTWSEIPLKTKDELFGLFRS >Manes.02G214775.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:35965063:35966697:-1 gene:Manes.02G214775.v8.1 transcript:Manes.02G214775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVHPIVSKIFEVLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKQRVEHTVEVARRNPLEQIEADVQQWLAKADSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPTIVGVREGGNFPRVSYRAPPQGIVAVKECEAFESRTSVVDEILNALKDADVNLIAVYGMGGVGKTTLVKHIGTLVRERGIFKLVVIATVTLNADLKSVQQEIAEWLDFKLDAETIAVRAARLSERIKQEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRKQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAERCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEHIKDLLKYVVGFGLFNQHITLKATRNRLLTVISDLKLSSLLLEDGDHKRVKMHDVVHSFAASFVSKHDQVLTAANEAELEEWPNEDFFKQCTSISLQYCKIPKLPEVFECPKLKSFFLFNQDSSLKIREFIQ >Manes.08G170000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40421486:40427671:1 gene:Manes.08G170000.v8.1 transcript:Manes.08G170000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLCFAKRQQGEGHDSDEHFEFVGSNVHLITAKEAWDQKLSEANREGKTVLVNFSATWCGPCRMISPFYRELSEKYPSLMFLLVDVDELPDFSSSWDIKATPTFFFLRDGQQVDKLVGANKPELQKKITAIVDSVIDCDK >Manes.17G067500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26787503:26788579:1 gene:Manes.17G067500.v8.1 transcript:Manes.17G067500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIELTIKRYRAVREVGKIKMLVGITAYYQVMQVCQAEYFRQLLKPVT >Manes.09G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32293746:32295169:1 gene:Manes.09G119900.v8.1 transcript:Manes.09G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNIEATVAAVEQPSVKCSAKLETADAAVLTVWKKSLLFNCKGFTVFDAKGNLVFRVDTYMAGSGSNGEIILMDSGGKPIFTIRRKRLSLADSWLVYDRETVVNPLFSVRKHMNILNTKCLAHVSSRTTGNCSTNNNGKNIVYEIEGSYAQRSCAMYDENRRRVAEIKQKEAVGGVAFGVDVFRLIVEPKMETSVAMAIVILLDQMYGSSRRFST >Manes.15G157400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12972592:12986278:-1 gene:Manes.15G157400.v8.1 transcript:Manes.15G157400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERRCMIRCATGTQDKTIIDQIMLRFRPIAPKPVSGAPDSDLSYLNNKNLLLSKRRTKRKYVRIRKNNKLIKRNQKVSSPSSSDHGKGNERQDGSFEKVVTLQLLPERSDDQFKDSTERGRSWCNLDLTEEFLVHNPVNNQAASMRLKLKQPVIYGEDHQTVEMVPKRRLILESWVTVESVTDTNGCMEGRGLGCTDVERVKNLEEDTRPGFISDGSNRVRWVNGPYRRMVSVMKEEENEGQGQSVEVKVWLVTKEKLVPYMYSSAFTCWVRLQYAWKEEKFSSQMVPCDVWRMDCGGFAWRLDVEAALGLGR >Manes.15G157400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12980243:12986278:-1 gene:Manes.15G157400.v8.1 transcript:Manes.15G157400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERRCMIRCATGTQDKTIIDQIMLRFRPIAPKPVSGAPDSDLSYLNNKNLLLSKRRTKRKYVRIRKNNKLIKRNQKVSSPSSSDHGKGNERQDGSFEKVVTLQLLPERSDDQFKDSTERGRSWCNLDLTEEFLVHNPVNNQAASMRLKLKQPVIYGEDHQTVEMVPKRRLILESWVTVESVTDTNGCMEGRGLGCTDVERVKNLEEDTRPGFISDGSNRVRWVNGPYRRMVSVMKEEENEGQGQSVEVKVWLVTKEKLVPYMYSSAFTCWVRLQYAWKEEKFSSQMVPCDVWRMDCGGFAWRLDVEAALGLGR >Manes.15G157400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12984843:12985793:-1 gene:Manes.15G157400.v8.1 transcript:Manes.15G157400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERRCMIRCATGTQDKTIIDQIMLRFRPIAPKPVSGAPDSDLSYLNNKNLLLSKRRTKRKYVRIRKNNKLIKRNQKVSSPSSSDHGKGNERQDGSFEKVVTLQLLPERSDDQFKDSTERGRSWCNLDLTEEFLVHNPVNNQAASMRLKLKQPVIYGEDHQTVEMVPKRRLILESWVTVESVTDTNGCMEGRGLGCTDVERVKNLEEDTRPGFISDGSNRVRWVNGPYRRMVSVMKEEENEGQGQSVEVKVWLVTKEKLVPYMYSSAFTCWVRLQYAWKEEKFSSQMVPCDVWRMDCGGFAWRLDVEAALGLGR >Manes.15G157400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12972592:12986278:-1 gene:Manes.15G157400.v8.1 transcript:Manes.15G157400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERRCMIRCATGTQDKTIIDQIMLRFRPIAPKPVSGAPDSDLSYLNNKNLLLSKRRTKRKYVRIRKNNKLIKRNQKVSSPSSSDHGKGNERQDGSFEKVVTLQLLPERSDDQFKDSTERGRSWCNLDLTEEFLVHNPVNNQAASMRLKLKQPVIYGEDHQTVEMVPKRRLILESWVTVESVTDTNGCMEGRGLGCTDVERVKNLEEDTRPGFISDGSNRVRWVNGPYRRMVSVMKEEENEGQGQSVEVKVWLVTKEKLVPYMYSSAFTCWVRLQYAWKEEKFSSQMVPCDVWRMDCGGFAWRLDVEAALGLGR >Manes.15G157400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12972592:12986278:-1 gene:Manes.15G157400.v8.1 transcript:Manes.15G157400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERRCMIRCATGTQDKTIIDQIMLRFRPIAPKPVSGAPDSDLSYLNNKNLLLSKRRTKRKYVRIRKNNKLIKRNQKVSSPSSSDHGKGNERQDGSFEKVVTLQLLPERSDDQFKDSTERGRSWCNLDLTEEFLVHNPVNNQAASMRLKLKQPVIYGEDHQTVEMVPKRRLILESWVTVESVTDTNGCMEGRGLGCTDVERVKNLEEDTRPGFISDGSNRVRWVNGPYRRMVSVMKEEENEGQGQSVEVKVWLVTKEKLVPYMYSSAFTCWVRLQYAWKEEKFSSQMVPCDVWRMDCGGFAWRLDVEAALGLGR >Manes.15G157400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12981539:12986278:-1 gene:Manes.15G157400.v8.1 transcript:Manes.15G157400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERRCMIRCATGTQDKTIIDQIMLRFRPIAPKPVSGAPDSDLSYLNNKNLLLSKRRTKRKYVRIRKNNKLIKRNQKVSSPSSSDHGKGNERQDGSFEKVVTLQLLPERSDDQFKDSTERGRSWCNLDLTEEFLVHNPVNNQAASMRLKLKQPVIYGEDHQTVEMVPKRRLILESWVTVESVTDTNGCMEGRGLGCTDVERVKNLEEDTRPGFISDGSNRVRWVNGPYRRMVSVMKEEENEGQGQSVEVKVWLVTKEKLVPYMYSSAFTCWVRLQYAWKEEKFSSQMVPCDVWRMDCGGFAWRLDVEAALGLGR >Manes.15G157400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12980243:12986278:-1 gene:Manes.15G157400.v8.1 transcript:Manes.15G157400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGERRCMIRCATGTQDKTIIDQIMLRFRPIAPKPVSGAPDSDLSYLNNKNLLLSKRRTKRKYVRIRKNNKLIKRNQKVSSPSSSDHGKGNERQDGSFEKVVTLQLLPERSDDQFKDSTERGRSWCNLDLTEEFLVHNPVNNQAASMRLKLKQPVIYGEDHQTVEMVPKRRLILESWVTVESVTDTNGCMEGRGLGCTDVERVKNLEEDTRPGFISDGSNRVRWVNGPYRRMVSVMKEEENEGQGQSVEVKVWLVTKEKLVPYMYSSAFTCWVRLQYAWKEEKFSSQMVPCDVWRMDCGGFAWRLDVEAALGLGR >Manes.12G050200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4703043:4704429:-1 gene:Manes.12G050200.v8.1 transcript:Manes.12G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLLKLFFFAANTLSNLVLRLIFTLTANFLVLIVQAFKVPGEAAHGVLQQIAEAIKACFEFILELIMEAISSLVSSAFDLLIEAVTGSAAVTGSAIGGLAEKTRTAIDELLKDLPELADGFSEMVSKVITDLWNNYKEAVGYVTENV >Manes.04G078600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28055656:28058987:1 gene:Manes.04G078600.v8.1 transcript:Manes.04G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQQRPIHAVTSWVRRQPSKVKAFLGVVLGMAALVFLRFIVHDHDSLFIAAEAVHSIGIIVLIYKLTKERTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVVLPCAILALLIHPSTSHNLLNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Manes.01G100600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30131950:30132600:-1 gene:Manes.01G100600.v8.1 transcript:Manes.01G100600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFGFTASRDSCYHYSFTKAGLKSSTTDLCDGTVMHCWGPKSHVPSKPSLLLIHGFDANAMWQFNYFIPPLKSKFNLYVPDLLFFGDSHTTRPERTEAFQAQCVMALMDALNARNMDLVGLSYGGFVAYSMAAQFKERVGRVVLGCVGVCLEEKDMEEGMFQVKTVDEAISLLLPQTPEKVRQLMRLSFHKPPQTTLSCFLNDFIEVRLIHFTT >Manes.01G109000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30780963:30781985:1 gene:Manes.01G109000.v8.1 transcript:Manes.01G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISCDPRLHHPVGKANKNGVTVEEIEGLIKVYRDGRIERLPIVPNVPCAGIREDGVTAKDVIIDKFTNLWARFYVPNFPGSRIPLLVYFHGGGFCVGSAAWSCYHEFLANLASKAGCIIMSINYRLAPENRLPAAYDDGINALMWVKQQAVIGSSEQKWWLSQCNFSSLFLAGDSAGANIAYNVATRLGTNLTNESSLKPLYLKGIILIQPFFGGETRTSSEKNMIQPANSALNLSASDAYWRLSLPSGANRDHPCCNPLANKLRDLQLPSVLVCISEMDILKDRNLEFCTALASSGKRVEKVIYKGVGHAFQILNNSHFSQIKVQEMISHFRAFINQ >Manes.17G028699.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19844006:19845953:1 gene:Manes.17G028699.v8.1 transcript:Manes.17G028699.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVPDKLVSEPQDPEETDDPTVAEIIKLERKKTMMTKYFQVYKVKENQKIKIASLFLGDKANNWFQGWQVTKPKASWYEFLETLCKRFGELNVSDVVEEFNKLLQEGFVLEYQEKFEELKSLMVKYNPGLFEIYFISSFISGLNEEVRQVVTIFKPDSLLQAFEQAKLQEQSIEAMLRRQRYSRPYSNFNNSRYSFNNIGSSIKPASFVTSINKQVPIAELDRPPSKTIFNDNKKGSGNCLKCVIALQAKEENMGDNEAYWEDELEWEEDFGKVEIAMHALDSSMSASTVKIEGKVDKQSIMILIDSGSTHSFLDFKIDKELRCRMTSKFKCVGFSWSMQGHAFFFNMRLLDLFNMRLLDLGGYDMVLGTNWMRAHNPITFDYENVNVSFKKDRKIIELARVTEERALKLMLGKQLQKMFKKGPTGILTPNL >Manes.09G178500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36838457:36842388:1 gene:Manes.09G178500.v8.1 transcript:Manes.09G178500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARHKGQLSLCWSIDSAVTMNLTKGFRPTLNPTLTLPILETFLLQCRNLKQFNQILSQMILTGFLRDTFAASRLLKFSTDSPFIHINHSHQIFTRIENPNGFIYNTMMRAYVQRNAAHNSLCIYKLMLQETVEPDNYTYPILVQSCSLRLEEFEGKLIHCHVLKMGFHSDVYVQNTLINMYAVCGSLSDARKVFDQSPVLDLVSWNSILAGYVSIGDVEEAKYVYDRMPERNIIASNSMIVLYGKKGNVALAYRLFSEMPKKDMVSWSALISCYEQNQMHEQALIMFQQMKADRIMLDEVVVISVLSACAHLLVVRTGKLVHSLAAKIGIESYVNLQNALIHMYSSCRELEAAQKLFNEGCCLDQVSWNSMISGYLKCREVEKAKALFDSMPEKDIVSWSAMISGYAQHDRFADTLELFQEMQLVGIKPDETTLVSVISACTHLAALDQGKWIHAYIRKNCLKINVILGTTLIDMYMKFGCVENALEVFHGMEEKGVSTWNALILGLAMNGLVNKSLDAFSEMKECSVLPNEITFVAVLGACRHMGLVDEGRRHFSSMIQEHKIEPNVKHYGCMVDLLGRAGLLKEAEDLIENMPMAPDVSTWGALLGACKKYGDNEMGERVGRKLIELQPAHEGFHVLLSNIYASKGNWDGVLEIRGMMIQHGLVKTPGCSMIEANGTVHEFLAGDKTHPQIKEIENMLVEMAKKLKMEGYAPDTNVVSQDIDEEEKETSLFRHSEKLAIAFGLIAINSPTPIRIMKNLRICNDCHTAAKLISRVFNREIAVRDRHRFHHFKQGSCSCMDYW >Manes.02G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10305679:10308755:1 gene:Manes.02G135600.v8.1 transcript:Manes.02G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNADVHNLQLKGEVKQKNVVADGRNRRVLKDIGNLVNDRALQAKKPVTDVRNVVGAGRGPVAARPVVEKLVEKKHAPETVIVISSDEESEKSKPAVRRLSKEGSRKQVKTLTSILTARSKAACGLAKRPEESLVNIDASDVENELAAVEYVDDIYKFYKLTEADGRVHDYMHLQPDINAKMRSILVDWLVEVHRKFELMPETLYLTINIMDRFLAVKVVPRKELQLVGISSMLIACKYEEIWAPEVGDFICISDNAYTREQVLAMEKSILGKLEWYLTVPTPYVFLVRYIKASVLSDKEMENMVFFLAELGLMQYPVVIMYCPSLIAASAVYAARCSLDKSPFWTETLKHHTGYSEDMLMDCAKLLVKFHSASAESKLKAVHKKFSSAERGAVAFLTPAKSLLAQSL >Manes.02G135600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10305679:10308755:1 gene:Manes.02G135600.v8.1 transcript:Manes.02G135600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNADVHNLQLKGEVKQKNVVADGRNRRVLKDIGNLVNDRALQAKKPVTDVRNVVGAGRGPVAARPVVEKLVEKKHAPETVIVISSDEESEKSKPAVRRLSKEGSRKQVKTLTSILTARSKAACGLAKRPEESLVNIDASDVENELAAVEYVDDIYKFYKLTEADGRVHDYMHLQPDINAKMRSILVDWLVEVHRKFELMPETLYLTINIMDRFLAVKVVPRKELQLVGISSMLIACKYEEIWAPEVGDFICISDNAYTREQVLAMEKSILGKLEWYLTVPTPYVFLVRYIKASVLSDKEVNLYTQDLLELCRFSYIYKLVNYLTDIDGEHGVFPG >Manes.15G169400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14630011:14636074:1 gene:Manes.15G169400.v8.1 transcript:Manes.15G169400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVREKHIRANRRLRSAKPEHDPCCYASSISKSILESGIKPLAYHLGLQDSTHSNPISNSSGNPDDNGWGYCTEEQLEEILLKNLELLYKEAISKLVALGYDEDTALKAILRNGHCYGGMDVLTNILHNSLAYLNSNCEGGSSSSSSNGNLDEYDPVFNDLRQLEEYSLAGMVCLLQQVRPHLSKGDAMWCLLMSDLHVGRASTIEIPMANSPGNGNSTVQSSVEGVSSNGVDNSVGVVAPALCRFHGGWGFGNGGGSECAVNGIFSYSLEMALQKDIECPKRFNLSPSMKSLLKRNVAMFAAGFRANSKQMQTQSQIQSESCPGVFSGGHAPPVATGSEVSDEKREESQNSKNQDGAMAGWNKFQDGLLSELSKFSDLNLDENLELAGDQKDEMIVTLLHQIKDLEKQVKERKEWAHQKAMQAARKLSSDLTELKMLKMEREETQRLKKGKQTLEDSTMKRLSEMENALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKREKKCLKKLLAWEKQKTKLQDEIAGEKEKIKELQRCLAMVEQAQKEAEAKWRQVLKDKEQTLAQVEEERRTREAAEANNKRKLEALRLKIDIDFQRHKDDLQRLEQEFSRLKLSAEFTDLNHQLNTLPLGKPEKAKPQGETIARLLHELDELEDSQDKGSNSDRECMICMKDEVSIVFLPCAHQVICASCSDNYGKKGKATCPCCRVPIEQRIHVFGSSS >Manes.05G085200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7021606:7026329:1 gene:Manes.05G085200.v8.1 transcript:Manes.05G085200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVKVKRETIAACMTCPLCNKLLRDATTISECLHTFCRKCIYQRISDEGVDCCPICNIDLGCVPLEKLRPDHSLQDVRAKIFPFKRRKVEAPEVTPSAILPVRRKERSLSSLVVSTPKVSTQTTTTGRRTKPVPRKATALRGSRFPIEKRIKKEEDAVEGHLENSSSPETINKFNQNVRQNSSSAEASQPAPDNETYNGAESWDGKSDLWQPLNCLVEVANRTKSFKSTSQVSDAKFESTNDPDNETRMRKTKLKENKDKSKLEDEKNNTDTLPSESTGPKRLRRNRRKKASNFGYPGISSQAVLDAASEKHEKRAGPVWFSLVASEDQEGNSPLPQIPANYLRIKDGNLPVSFIQKYLMKKFDLVSEAEVEIKFMGQTVVPTLLLYNLVDLWIQTAATSERVSASIGSSAKDFVMVLAYARKVPDPK >Manes.05G085200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7021606:7026329:1 gene:Manes.05G085200.v8.1 transcript:Manes.05G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVKVKRETIAACMTCPLCNKLLRDATTISECLHTFCRKCIYQRISDEGVDCCPICNIDLGCVPLEKLRPDHSLQDVRAKIFPFKRRKVEAPEVTPSAILPVRRKERSLSSLVVSTPKVSTQTTTTGRRTKPVPRKATALRGSRFPIEKRIKKEEDAVEGHLENSSSPETINKFNQNVRQNSSSAEASQPAPDNETYNGAESWDGKSDLWQPLNCLVEVANRTKSFKSTSQVSDAKFESTNDPDNETRMRKTKLKENKDKSKLEDEKNNTDTLPSESTGPKRLRRNRRKKASNFGYPGISSQAVLDAASEKHEKRAGPVWFSLVASEDQEGNSPLPQIPANYLRIKDGNLPVSFIQKYLMKKFDLVSEAEVEIKFMGQTVVPTLLLYNLVDLWIQTAATSERVSASIGSSAKDFVMVLAYARKVPDPK >Manes.05G085200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7021606:7026329:1 gene:Manes.05G085200.v8.1 transcript:Manes.05G085200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVKVKRETIAACMTCPLCNKLLRDATTISECLHTFCRKCIYQRISDEGVDCCPICNIDLGCVPLEKLRPDHSLQDVRAKIFPFKRRKVEAPEVTPSAILPVRRKERSLSSLVVSTPKVSTQTTTTGRRTKPVPRKATALRGSRFPIEKRIKKEEDAVEGHLENSSSPETINKFNQNNSSSAEASQPAPDNETYNGAESWDGKSDLWQPLNCLVEVANRTKSFKSTSQVSDAKFESTNDPDNETRMRKTKLKENKDKSKLEDEKNNTDTLPSESTGPKRLRRNRRKKASNFGYPGISSQAVLDAASEKHEKRAGPVWFSLVASEDQEGNSPLPQIPANYLRIKDGNLPVSFIQKYLMKKFDLVSEAEVEIKFMGQTVVPTLLLYNLVDLWIQTAATSERVSASIGSSAKDFVMVLAYARKVPDPK >Manes.05G085200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7021606:7026329:1 gene:Manes.05G085200.v8.1 transcript:Manes.05G085200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVKVKRETIAACMTCPLCNKLLRDATTISECLHTFCRKCIYQRISDEGVDCCPICNIDLGCVPLEKLRPDHSLQDVRAKIFPFKRRKVEAPEVTPSAILPVRRKERSLSSLVVSTPKVSTQTTTTGRRTKPVPRKATALRGSRFPIEKRIKKEEDAVEGHLENSSSPETINKFNQNNSSSAEASQPAPDNETYNGAESWDGKSDLWQPLNCLVEVANRTKSFKSTSQVSDAKFESTNDPDNETRMRKTKLKENKDKSKLEDEKNNTDTLPSESTGPKRLRRNRRKKASNFGYPGISSQAVLDAASEKHEKRAGPVWFSLVASEDQEGNSPLPQIPANYLRIKDGNLPVSFIQKYLMKKFDLVSEAEVEIKFMGQTVVPTLLLYNLVDLWIQTAATSERVSASIGSSAKDFVMVLAYARKVPDPK >Manes.05G085200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7021606:7026329:1 gene:Manes.05G085200.v8.1 transcript:Manes.05G085200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVKVKRETIAACMTCPLCNKLLRDATTISECLHTFCRKCIYQRISDEGVDCCPICNIDLGCVPLEKLRPDHSLQDVRAKIFPFKRRKVEAPEVTPSAILPVRRKERSLSSLVVSTPKVSTQTTTTGRRTKPVPRKATALRGSRFPIEKRIKKEEDAVEGHLENSSSPETINKFNQNVRQNSSSAEASQPAPDNETYNGAESWDGKSDLWQPLNCLVEVANRTKSFKSTSQVSDAKFESTNDPDNETRMRKTKLKENKDKSKLEDEKNNTDTLPSESTGPKRLRRNRRKKASNFGYPGISSQAVLDAASEKHEKRAGPVWFSLVASEDQEGNSPLPQIPANYLRIKDGNLPVSFIQKYLMKKFDLVSEAEVEIKFMGQTVVPTLLLYNLVDLWIQTAATSERVSASIGSSAKDFVMVLAYARKVPDPK >Manes.05G085200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7021606:7026329:1 gene:Manes.05G085200.v8.1 transcript:Manes.05G085200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVVKVKRETIAACMTCPLCNKLLRDATTISECLHTFCRKCIYQRISDEGVDCCPICNIDLGCVPLEKLRPDHSLQDVRAKIFPFKRRKVEAPEVTPSAILPVRRKERSLSSLVVSTPKVSTQTTTTGRRTKPVPRKATALRGSRFPIEKRIKKEEDAVEGHLENSSSPETINKFNQNVRQNSSSAEASQPAPDNETYNGAESWDGKSDLWQPLNCLVEVANRTKSFKSTSQVSDAKFESTNDPDNETRMRKTKLKENKDKSKLEDEKNNTDTLPSESTGPKRLRRNRRKKASNFGYPGISSQAVLDAASEKHEKRAGPVWFSLVASEDQEGNSPLPQIPANYLRIK >Manes.07G040101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4295725:4296369:1 gene:Manes.07G040101.v8.1 transcript:Manes.07G040101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKCMIFAALVIALSFDVGLGARHLQQLPPLPKPTIPPLPSIPTLPQPTLPTNPSLPKPTLPPLPSLPTLPKLALPPLPSIPTLPTTIPSIPFLSPPPGN >Manes.15G175912.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20318482:20319172:-1 gene:Manes.15G175912.v8.1 transcript:Manes.15G175912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTINVTHAAGHSLVSINSTQFPLNFTATNYPTWRAQVSPLLKGYSLMGYILEGKQVSNSDYEYWKCQDQLILVALQSSLSFYVMNVVADAETSELSLCGSPVSDVDLVVQVLEGIGHEFYDITAIHVRDTVISFDEFQDKLLAHDLYLKQIDPSYEVAPITANHV >Manes.08G016200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1642550:1645290:1 gene:Manes.08G016200.v8.1 transcript:Manes.08G016200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSMAMAKQNDEALETQYQKGVKQLYEKGIERIPNKYILPVQERPSSTTQVEAATRENIKLPIIDFAELQSKNRPHVLKSLADACKQYGAFQLVNHGISKDVISGMVDAAERFFRLPYEERSKYMSSDMEALVRYGTSFNQNKDNVFCWRDFLKLMCKPLSDVLPHWPSSPTDFRKLAATYAKETSDLFLMIMEAILESLLLGTKKNKKAEEEEDDVVTMKDLRDGSQLMIVNFYPPCPEPDLTLGMPPHSDYGFLTLLLQDEVEGLQIQYKDKWVTVESIPNAFVVNVGDHLEIFSNGKYKSVLHRAKVNSAKTRISVASLHTVPFKCMVKPCPKLIDEANPRRYQDTDFATFLQYISSREPKNKQFLDSRKLIHL >Manes.08G016200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1642973:1645290:1 gene:Manes.08G016200.v8.1 transcript:Manes.08G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIFLYMFRSQVHMCPSMAMAKQNDEALETQYQKGVKQLYEKGIERIPNKYILPVQERPSSTTQVEAATRENIKLPIIDFAELQSKNRPHVLKSLADACKQYGAFQLVNHGISKDVISGMVDAAERFFRLPYEERSKYMSSDMEALVRYGTSFNQNKDNVFCWRDFLKLMCKPLSDVLPHWPSSPTDFRKLAATYAKETSDLFLMIMEAILESLLLGTKKNKKAEEEEDDVVTMKDLRDGSQLMIVNFYPPCPEPDLTLGMPPHSDYGFLTLLLQDEVEGLQIQYKDKWVTVESIPNAFVVNVGDHLEIFSNGKYKSVLHRAKVNSAKTRISVASLHTVPFKCMVKPCPKLIDEANPRRYQDTDFATFLQYISSREPKNKQFLDSRKLIHL >Manes.02G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:948286:952048:-1 gene:Manes.02G008400.v8.1 transcript:Manes.02G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTSTLTLVFSSQPFLLASSLTILSLILAFLTIRLKSPKSHPSSSHAKSSKSSNTCNCCCSCNGSIKNSDSSITGHLNGGSAGMVAMVSGKAVERQTGASMMEQLVPEITTHALSYLDYLSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDTVTPVNGWKAYYAATRAIVNANTEFYNIIKERSLPAMHRFWLNADYVKCIHASGELFSGYNAVMQSWQLAFDWEQGLDFQVRDVRARVLTDMAWVTMKTYVHMDAGPFIVSNVFEFHNGRWYMVHHHNSVMLIDGDVDQQIVHA >Manes.04G045000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7712347:7715282:-1 gene:Manes.04G045000.v8.1 transcript:Manes.04G045000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEIVIFVFMLISQTCNGRDSSHCAASCGNNHNISYPFRLQTDPKNCGHHRYELSCQNNLTVLNLNGGRYYVQSIDYDNFTIRLVDAGVHPDNCSSIPRFPFIYDLSKSYSTYIYRWSESEEYKRADLSQQIMFIKCQNPVKSPPYVETAPCLNSSYVNIGDMKANDLMELCSVEMLSLFPLFPAKKNMSFLEIHRQLAFGFQLSWHNIHCGQCDGGCYLDSRKRLRCMYRWNDNFLDMILWLLGNTVICLAMCLVARAFCGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNLMPIRYSYSDIRKITRGFKEKLGEGGFGFVHKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQERPISLNWEKLYEISLGVA >Manes.10G079200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18646731:18650968:1 gene:Manes.10G079200.v8.1 transcript:Manes.10G079200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQGTIIIASLFSATFLFCFCTSLKEGQICVANRNCDSGLHCETCLANGNVRPRCTRIQPVNPFSKVKGLPFNRYTWLTTHNSFARLGVRSATGSILLTPMNQQDSITDQLNNGVRGLMLDMYDFQNDIWLCHSFGGNCYNFTAFQPAINVLKEVQVFLEANPSEIVIIIIEDYVSSPNGLTKVFNAAGLRKYWFPVSRMPKNGGDWPTVDDMIQKNQRLVVFTSKSSKEASEGIAYEWRYVVENQYGDGGMIAGSCPNRAESPAMNTTSRSLVLANYFPDRPDVTQACKHNSAPLMDMVKTCSAAAKRWPNFIAVDFYKRSDGGGSPQAVDVANGHLVCGCGNIASCKPNMTFGVCDEPEAEIAPVPGGADSSFAHLDVRLVHLRWLLGVILVVFSLSI >Manes.10G079200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18646731:18650968:1 gene:Manes.10G079200.v8.1 transcript:Manes.10G079200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQDSITDQLNNGVRGLMLDMYDFQNDIWLCHSFGGNCYNFTAFQPAINVLKEVQVFLEANPSEIVIIIIEDYVSSPNGLTKVFNAAGLRKYWFPVSRMPKNGGDWPTVDDMIQKNQRLVVFTSKSSKEASEGIAYEWRYVVENQYGDGGMIAGSCPNRAESPAMNTTSRSLVLANYFPDRPDVTQACKHNSAPLMDMVKTCSAAAKRWPNFIAVDFYKRSDGGGSPQAVDVANGHLVCGCGNIASCKPNMTFGVCDEPEAEIAPVPGGADSSFAHLDVRLVHLRWLLGVILVVFSLSI >Manes.01G000527.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1253692:1254915:1 gene:Manes.01G000527.v8.1 transcript:Manes.01G000527.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVSMKMPQCFGINFLLILITYFKIAFTRFLTQLCLLKPQQQAYTTEEETTNYVLIIDRLCPTPIPVPVNILTALIKRRLPVTKFHNILHRLGKDEDEDEECICPVCADSIKNRDEIRELCNCSHVFHKDCLDKWVDEGQVTCPLCRSMLFPENMWTRSAGGPWMIERSALFSGGNPAMEEN >Manes.13G002842.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:815804:816942:-1 gene:Manes.13G002842.v8.1 transcript:Manes.13G002842.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSQVRKLSGNFGEAVTDLEERFKENVEEVQRWRAAMTEVADLKGCELQDGDESEFIHEIVKDIISKLGRFSKDITKGLVGMELRLEKMRSYLDIKQSDEVKIIGVWGMGGIGKTTIASVVYKQMYSQFEESSFLADIREASKRHDGLVSLQNKLLSAILNRDVKVHDVHRGIDEIRKRLRHKKVLLILDDVDELVQLEYLIGKRDENWFCKESRIIITTRNKHLLVQHGVDNTYMLEELDGHEALELFYLKAFKTDCPTRNYVELSDHVLRCASGLPLALSVLGSYLFSKSIKVWKSALERLKEIPNEEILGRLQISFDGLDEIDKKYFLERMKIM >Manes.05G194601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31842391:31850924:-1 gene:Manes.05G194601.v8.1 transcript:Manes.05G194601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVKRIKLGSQGLEVSAQGLGCMSMSAFYGPPKPESDMIALIHHAINTGVTFFDTSDVYGPHTNEILLGKALKGDIRKKVELATKFAINLKDGKREIRGDPAYVRAACEASLKRLDVDCIDLYYQHRVDTSVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIVPTCRELGIGIVAYSPLGRGFFSSGPKLVETLSEGDFRKYLPRFQPENLEHNKHLFERVNEIAARKQCTPSQLALAWVHHQGDDVCPIPGTTKIENFNQNIGALSVKLTPEDMAELESIASASAVKGGRYGSDMGTYKDSDTPPLSSWKAV >Manes.01G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9079190:9081385:-1 gene:Manes.01G045000.v8.1 transcript:Manes.01G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGDEESSNNNLPTKSIFRYNSPFVQVTLIGFVCFCCPGMFNALSGMGGGGQVDPTAANNANTALYTAFAVFGILGGGIYNILGPRLTLVAGCSTYVLYAGSFLYYNHYKHQAFAIVAGAILGIGAGLLWAGEGAIMTSYPPPHRKGTYISLFWSIFNMGGVIGGLIPFILNYHRSEAASVNDGTYIGFMCFMTVGTLLSFAILPPSKVVRDDGTVCTHIKYSKVSTEAVEIMKLFLNWKMLLMIPASWASNFFYSYQFNNVNAAHFNLRTRGLNNVFYWGAQMLGSVGIGYILDFSFQSRRTRGFVGIAIVGVLGTAIWGGGLANQVNYSYHDLPPKLDFKDSRSDFAGPFVLYFSYGLLDSMFQSLVYWVIGALADDSEILSRYVGFYKGVQSAGAAVAWQIDTHKVPMLSQLIVNWSLTTLSYPLLFVLVMLAVQDDHKSKERSASDLADPDSAKDTDKPV >Manes.07G134400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLTRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEMESSYYSHLKTCIRVYELRLERSNS >Manes.07G134400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLSLKTCIYPCGTFSRIANVVSKGEISRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEVVLSSVMEVLKILLLNLAVPDNSTINKLDAKCRWRAVTTLT >Manes.07G134400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLTRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEMESSYYSHLKTCIRVYELRLERSNS >Manes.07G134400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLSLKTCIYPCGTFSRIANVVSKGEISRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEMESSYYSHLKTCIRVYELRLERSNS >Manes.07G134400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLSLKTCIYPCGTFSRIANVVSKGEISRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEMESSYYSHLKTCIRVYELRLERSNS >Manes.07G134400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLSLKTCIYPCGTFSRIANVVSKGEISRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEGGSFDDFLTHLMCCCCAMVQEWREIEAVKGER >Manes.07G134400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLSLKTCIYPCGTFSRIANVVSKGEISRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEMESSYYSHLKTCIRVYELRLERSNS >Manes.07G134400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLSLKTCIYPCGTFSRIANVVSKGEISRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEMESSYYSHLKTCIRVYELRLERSNS >Manes.07G134400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLSLKTCIYPCGTFSRIANVVSKGEISRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEMESSYYSHLKTCIRVYELRLERSNS >Manes.07G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33558336:33561569:1 gene:Manes.07G134400.v8.1 transcript:Manes.07G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATKEPLEAIEEALRKALDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLKIVPLISLVHEFRMQNIKEGLEAIEEDHREYTLEEEDLEAQNVILKPDRTNKDANILEKSLSRRYPNLEFHEALQEEKEKLHIELQRSRTINDPNQCRVIEHLIDVTENVVNAIPEKKLTKLLVNEPTYMVSGFITNAKSSYGEMNPDDKRQSEWQVDLFDCCEEPCLSLKTCIYPCGTFSRIANVVSKGEISRERAVNDLMAYAIFCGCCCYTCCIRRKIRHIFNIEGGSFDDFLTHLMCCCCAMVQEWREIEVRGFEGCQGRKMIPPPYQYMKP >Manes.11G002700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:356971:360003:1 gene:Manes.11G002700.v8.1 transcript:Manes.11G002700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFAQELYSESLHLSKVELGSSQAANCDLQDDDGSFWGGSDEELDKSSDLDREWERRRDQFHTIGYRDGLIAGKEAIAQDGFNIGFKESVLEGYNWGIVRGITSALACLPEQFKERLIETRETINKFRTLYETVHSLSTTDALKMFHDDKMAKKIVEESEHPNATSEMESFQSDGADCSLDSYVVKLQSLVLESPAIESHLLGK >Manes.05G056701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4724775:4725873:-1 gene:Manes.05G056701.v8.1 transcript:Manes.05G056701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNSVAARKPNPPPGNTWSHWFRYFQYDEENEKPSDTRNFLLVIAALIAAVTFQAGVNPPGGVWQDDKDGHHAGRAIYASQPEAYYVFVISNTLALSTSILMIVSLTYRFPLHFEIWVATISMIVTYGSAVFAVTPRENVKFRFLLLTAALPLLLRILIQVFLKFRTTYSKAQEPAAEETPDVEKPET >Manes.15G065000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4964734:4973449:1 gene:Manes.15G065000.v8.1 transcript:Manes.15G065000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAPTSAKQNVYDFDDDDDDMPIVFKRGSNSTSKQNQLNPEMKKPSSSSQNSNGQSSNVQKGKNSVPSSKASPVKSPIGSPKPSTSSVKASSMKSPVANSKASNSLDEQLKQASKHSASNVAKKDINSVKHKIEPDSADDDSEDGLPLSSRLKGTNKSGPNVLKDEDSDDDQVPLSQKFTLKANAGASGSKPNNFSEKKSLASNIQENGSTAKDKQQKIVQLPTKRPIDKANLSDQSSAKKPKLSSASTMAKIKQVTVKAEQKAIDDDNVPLNQRKNLGSENKSSSVKQKALKVASSSFKKTNKKNKKQMKNAKYSKSTKVQPSSTDGQRKWTTLVHNGVIFPPPYQPHGIKILYKGQPVDLTPEQEEVATMFAVMKDTDYMLKQKFLENFWNDWRKLLGKNHVIQKLEDCDFTPIYEWHQREKEKKKQMSTEEKKALKEEKLRQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDASIPECPIPGESWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIDNIRAAYQKDFKSKDIAKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPGSFNLKFDFLGKDSIRYENTVEVKPEVYDAIGTFQKGKKQTDDLFDKLDTTKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETDDGDVAEKVVIYQRANKEVAIICNHQRTISKSHSAQMSKLTEKIEELKGTLKELKTDLDRAKKGKPPLKDAAGKQKRNLSPEAIEKKIASTNQRIEKMELAMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPQFRF >Manes.09G140300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33949858:33954464:-1 gene:Manes.09G140300.v8.1 transcript:Manes.09G140300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSARGERFSPVILSGFRSQLYFIGSYTRIQRPPIRAFRIWGSAKKLKEMEITEEETENNNYSNNNARTASSPDNIDKVAEERQARDLKAGLHPLKHKFVFLYTRRTPGVRTQTSYEENIKKIMEFSTVEGFWVCYCHLARPSSLPIPTDLHLFKEGIRPLWEDSANSNGGKWIIRFKKAVSGRFWEDLVLALVGDQLGYSDNVCGAVLSIRFNEDILSIWNRNASDHQAVMALRDSLKRHLKLPHNYVMEYKPHDASLRDNSSYRNTWLRG >Manes.08G076035.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16970689:16973547:1 gene:Manes.08G076035.v8.1 transcript:Manes.08G076035.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDNIVSISQSTISIFKGENFEFWSIKMKTLFKSQNLWELVEKGYLEPDEETKLKENKKKDSKALFFIQQVVHKSVFSKITVFRLKVRLATGLDGLKPTRILAPVAVRFSGRYTYREEISYQTIFANVLRSLIPKFDHVVVAIEDSKDLAINSFDELMRSLQSYEA >Manes.11G058900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7150878:7154421:1 gene:Manes.11G058900.v8.1 transcript:Manes.11G058900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGITLSSLYLVFLLYSMSSQWLQADASGNETDRLALLKFKAGITSDPNRILNSWNDSLHFCNWFGITCSFRHQRVTSLVLDGQNLLGSISPYIGNLSFLRFISLQNNSFRGQIPQEAGRLFRLEYFLLDNNTLAGEIPTNLTFCSQLRGIGLQRNNLSGKIPAELGALVKLEEFRLRVNNLRGEIPASFGNLSSLIIFHVTLNSLMGNIPDSMGGLTSLKTFAVGVNLLSGTIPPSIFNISSIINFEVTHNQLNGSLPDNIGFTLPNLKFFGFGENNFVGSIPSTLFNASQLEIIDLGLNNLVGQVPASLGNLKNLWRIRLHGNNLGSNSTNDLAFVSSLINCTKLRILDFGKNNLGGVFPNSVANLSSELNIFYFGDNQISGIIPVGFENLINLVGLVMHYNLFTGVVPSFFGKFQQLQVLDLTGNRLSGQIPSSIANLSGLSQLFLSENRFEGSIPSNIGNLKNLNILAISQNNLSGAIPHELLGLSSLSQVLDLSENSFTGKLPPEIGKLTSLTKLDVSKNNLSGEIPSTIGDCLSLEYLYMQANSFEGTIPSSLASLKGLQYLDLSENNLTGQIPEGLQGIQFLLYLNLSFNNLEGEVPNGGVFKNTSAFSLTGNSKLCGGVPELNLPKCPKKVTKKGKSLTFKLAIVIPCVTLLVVLMLFFLLVHHKRKSVQKSSSTASSEMNKLERSSSESLIMNRLRLKVSYRELFRATNGFSSSNLIGSGNFGSVYKGFLDQVKRPVAVKVLKLDKKGASKSFMAECKALMNVKHRNLVKILAYSSTVDEKLNEFKALVFEFMGNRSLETWLHPDICDERQPRKLNLVQRLNVAIDVASALHYLHDLCKRPIVHCDLKPNNVLLDDDMVAHVCDFGLARFLSTSSYASSQSKFSTTGIKGTIGYAAPEYGMGSEASKKGDVYSYGILLLEMFSGRRPVDEMFKEGLNLHDFVKAALPQRVMQIVDPNLLAEEIEESKAAEEANKEDDQNLTEEDEGISESVSKMRGNVLRCLLSIFEIGVICSAEWPKGRMSMREVAGQLHLIKNAFLEESGPSITIHDLLIKE >Manes.06G178200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30285193:30299742:-1 gene:Manes.06G178200.v8.1 transcript:Manes.06G178200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSLNSPSQLSGWKSSGGDPCGDSWEGITCSGSAVTEIRLSDLELTGSLGYLLSNLKSVTYFDMSKNNLNNDIPYQLPPNLAYLDLSNNGFSGTVPYSISQMTDLKYLNLGHNQIKGQLTDMFQKLPKLKTLDLSHNSLSGSLPNSLAKLSGLNTLYLQNNRFTSSINVLAGLPLNKLNVENNKFTGWVPAELEDIDSIETGGNSWSSGPAPPPPPGAKPLSAKHKDHGKDGNGKNGMSGLAIALIVLASLVVLALLITLLSTTRKSSPSSHFLDEERGSQRAFTPLASQELSHDLHNAKHKEFRESESFDSINIKTLQKSPSIGYKPPPSDFTQSLNDNEFASRLNSKRSTSIHAVPYSLADLQTATSNFATGRLIGEGSIGRVYKAKYPDGKVLAVKKIDSSLFQEGQPEFSEIISRISKVHHPNIAELVGYCSEQGHNMLVYEYLRNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARAVEYLHEICSPSFIHKNIKSPNILLDLELNPRLSDYGLANFHHRTSQNLGAGYNAPECTKPSAYTMKSDIYSFGVVMLELLTGRMPFDLTKPTSEQCLVRWATPQLHDIDALERMVDPALRGLYPPKSLSRFADIIALCVQTEAEFRPPMSEVVEALVRLVQRSSMNVRDDLAESRRTEDSEY >Manes.06G178200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30285193:30299742:-1 gene:Manes.06G178200.v8.1 transcript:Manes.06G178200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGAKKPLLLKTTFKKKKKKKKRKPGAVGSAGPPKEIQQQKLCQMCGRSSGPAKNEKMMKEIDARGVSALNVMFTSLNSPSQLSGWKSSGGDPCGDSWEGITCSGSAVTEIRLSDLELTGSLGYLLSNLKSVTYFDMSKNNLNNDIPYQLPPNLAYLDLSNNGFSGTVPYSISQMTDLKYLNLGHNQIKGQLTDMFQKLPKLKTLDLSHNSLSGSLPNSLAKLSGLNTLYLQNNRFTSSINVLAGLPLNKLNVENNKFTGWVPAELEDIDSIETGGNSWSSGPAPPPPPGAKPLSAKHKDHGKDGNGKNGMSGLAIALIVLASLVVLALLITLLSTTRKSSPSSHFLDEERGSQRAFTPLASQELSHDLHNAKHKEFRESESFDSINIKTLQKSPSIGYKPPPSDFTQSLNDNEFASRLNSKRSTSIHAVPYSLADLQTATSNFATGRLIGEGSIGRVYKAKYPDGKVLAVKKIDSSLFQEGQPEFSEIISRISKVHHPNIAELVGYCSEQGHNMLVYEYLRNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARAVEYLHEICSPSFIHKNIKSPNILLDLELNPRLSDYGLANFHHRTSQNLGAGYNAPECTKPSAYTMKSDIYSFGVVMLELLTGRMPFDLTKPTSEQCLVRWATPQLHDIDALERMVDPALRGLYPPKSLSRFADIIALCVQTEAEFRPPMSEVVEALVRLVQRSSMNVRDDLAESRRTEDSEY >Manes.06G178200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30285193:30299742:-1 gene:Manes.06G178200.v8.1 transcript:Manes.06G178200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGLIGFFIISLGILTTFVHSKTNSQDVSALNVMFTSLNSPSQLSGWKSSGGDPCGDSWEGITCSGSAVTEIRLSDLELTGSLGYLLSNLKSVTYFDMSKNNLNNDIPYQLPPNLAYLDLSNNGFSGTVPYSISQMTDLKYLNLGHNQIKGQLTDMFQKLPKLKTLDLSHNSLSGSLPNSLAKLSGLNTLYLQNNRFTSSINVLAGLPLNKLNVENNKFTGWVPAELEDIDSIETGGNSWSSGPAPPPPPGAKPLSAKHKDHGKDGNGKNGMSGLAIALIVLASLVVLALLITLLSTTRKSSPSSHFLDEERGSQRAFTPLASQELSHDLHNAKHKEFRESESFDSINIKTLQKSPSIGYKPPPSDFTQSLNDNEFASRLNSKRSTSIHAVPYSLADLQTATSNFATGRLIGEGSIGRVYKAKYPDGKVLAVKKIDSSLFQEGQPEFSEIISRISKVHHPNIAELVGYCSEQGHNMLVYEYLRNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARAVEYLHEICSPSFIHKNIKSPNILLDLELNPRLSDYGLANFHHRTSQNLGAGYNAPECTKPSAYTMKSDIYSFGVVMLELLTGRMPFDLTKPTSEQCLVRWATPQLHDIDALERMVDPALRGLYPPKSLSRFADIIALCVQTEAEFRPPMSEVVEALVRLVQRSSMNVRDDLAESRRTEDSEY >Manes.06G178200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30285193:30299742:-1 gene:Manes.06G178200.v8.1 transcript:Manes.06G178200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGLIGFFIISLGILTTFVHSKTNSQDVSALNVMFTSLNSPSQLSGWKSSGGDPCGDSWEGITCSGSAVTEIRLSDLELTGSLGYLLSNLKSVTYFDMSKNNLNNDIPYQLPPNLAYLDLSNNGFSGTVPYSISQMTDLKYLNLGHNQIKGQLTDMFQKLPKLKTLDLSHNSLSGSLPNSLAKLSGLNTLYLQNNRFTSSINVLAGLPLNKLNVENNKFTGWVPAELEDIDSIETGGNSWSSGPAPPPPPGAKPLSAKHKDHGKDGNGKNESESFDSINIKTLQKSPSIGYKPPPSDFTQSLNDNEFASRLNSKRSTSIHAVPYSLADLQTATSNFATGRLIGEGSIGRVYKAKYPDGKVLAVKKIDSSLFQEGQPEFSEIISRISKVHHPNIAELVGYCSEQGHNMLVYEYLRNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARAVEYLHEICSPSFIHKNIKSPNILLDLELNPRLSDYGLANFHHRTSQNLGAGYNAPECTKPSAYTMKSDIYSFGVVMLELLTGRMPFDLTKPTSEQCLVRWATPQLHDIDALERMVDPALRGLYPPKSLSRFADIIALCVQTEAEFRPPMSEVVEALVRLVQRSSMNVRDDLAESRRTEDSEY >Manes.06G178200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30285193:30299742:-1 gene:Manes.06G178200.v8.1 transcript:Manes.06G178200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTGAKKPLLLKTTFKKKKKKKKRKPGAVGSAGPPKEIQQQKLCQMCGRSSGPAKNEKMMKEIDARGVSALNVMFTSLNSPSQLSGWKSSGGDPCGDSWEGITCSGSAVTEIRLSDLELTGSLGYLLSNLKSVTYFDMSKNNLNNDIPYQLPPNLAYLDLSNNGFSGTVPYSISQMTDLKYLNLGHNQIKGQLTDMFQKLPKLKTLDLSHNSLSGSLPNSLAKLSGLNTLYLQNNRFTSSINVLAGLPLNKLNVENNKFTGWVPAELEDIDSIETGGNSWSSGPAPPPPPGAKPLSAKHKDHGKDGNGKNESESFDSINIKTLQKSPSIGYKPPPSDFTQSLNDNEFASRLNSKRSTSIHAVPYSLADLQTATSNFATGRLIGEGSIGRVYKAKYPDGKVLAVKKIDSSLFQEGQPEFSEIISRISKVHHPNIAELVGYCSEQGHNMLVYEYLRNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARAVEYLHEICSPSFIHKNIKSPNILLDLELNPRLSDYGLANFHHRTSQNLGAGYNAPECTKPSAYTMKSDIYSFGVVMLELLTGRMPFDLTKPTSEQCLVRWATPQLHDIDALERMVDPALRGLYPPKSLSRFADIIALCVQTEAEFRPPMSEVVEALVRLVQRSSMNVRDDLAESRRTEDSEY >Manes.06G178200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30285193:30299742:-1 gene:Manes.06G178200.v8.1 transcript:Manes.06G178200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNNLNNDIPYQLPPNLAYLDLSNNGFSGTVPYSISQMTDLKYLNLGHNQIKGQLTDMFQKLPKLKTLDLSHNSLSGSLPNSLAKLSGLNTLYLQNNRFTSSINVLAGLPLNKLNVENNKFTGWVPAELEDIDSIETGGNSWSSGPAPPPPPGAKPLSAKHKDHGKDGNGKNGMSGLAIALIVLASLVVLALLITLLSTTRKSSPSSHFLDEERGSQRAFTPLASQELSHDLHNAKHKEFRESESFDSINIKTLQKSPSIGYKPPPSDFTQSLNDNEFASRLNSKRSTSIHAVPYSLADLQTATSNFATGRLIGEGSIGRVYKAKYPDGKVLAVKKIDSSLFQEGQPEFSEIISRISKVHHPNIAELVGYCSEQGHNMLVYEYLRNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARAVEYLHEICSPSFIHKNIKSPNILLDLELNPRLSDYGLANFHHRTSQNLGAGYNAPECTKPSAYTMKSDIYSFGVVMLELLTGRMPFDLTKPTSEQCLVRWATPQLHDIDALERMVDPALRGLYPPKSLSRFADIIALCVQTEAEFRPPMSEVVEALVRLVQRSSMNVRDDLAESRRTEDSEY >Manes.06G178200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30285193:30299742:-1 gene:Manes.06G178200.v8.1 transcript:Manes.06G178200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGLIGFFIISLGILTTFVHSKTNSQDVSALNVMFTSLNSPSQLSGWKSSGGDPCGDSWEGITCSGSAVTEIRLSDLELTGSLGYLLSNLKSVTYFDMSKNNLNNDIPYQLPPNLAYLDLSNNGFSGTVPYSISQMTDLKYLNLGHNQIKGQLTDMFQKLPKLKTLDLSHNSLSGSLPNSLAKLSGLNTLYLQNNRFTSSINVLAGLPLNKLNVENNKFTGWVPAELEDIDSIETGGNSWSSGPAPPPPPGAKPLSAKHKDHGKDGNGKNGMSGLAIALIVLASLVVLALLITLLSTTRKSSPSSHFLDEERGSQRAFTPLASQELSHDLHNAKHKEFRESESFDSINIKTLQKSPSIGYKPPPSDFTQSLNDNEFASRLNSKRSTSIHAVPYSLADLQTATSNFATGRLIGEGSIGRVYKAKYPDGKVLAVKKIDSSLFQEGQPEFSEIISRISKVHHPNIAELVGYCSEQGHNMLVYEYLRNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARAVDAQAKILVQDIMLQSAQSLRPIR >Manes.06G178200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30293045:30299742:-1 gene:Manes.06G178200.v8.1 transcript:Manes.06G178200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGLIGFFIISLGILTTFVHSKTNSQDVSALNVMFTSLNSPSQLSGWKSSGGDPCGDSWEGITCSGSAVTEIRLSDLELTGSLGYLLSNLKSVTYFDMSKNNLNNDIPYQLPPNLAYLDLSNNGFSGTVPYSISQMTDLKYLNLGHNQIKGQLTDMFQKLPKLKTLDLSHNSLSGSLPNSLAKLSGLNTLYLQNNRFTSSINVLAGLPLNKLNVENNKFTGWVPAELEDIDSIETGGNSWSSGPAPPPPPGAKPLSAKHKDHGKDGNGKNGMSGLAIALIVLASLVVLALLITLLSTTRKSSPSSHFLDEERGSQRAFTPLASQELSHDLHNAKHKEFRESESFDSINIKTLQKSPSIGYKPPPSDFTQSLNDNEFASRLNSKRSTSIHAVPYSLADLQTATSNFATGRLIGEGSIGRVYKAKYPDGKVLAVKKIDSSLFQEGQPEFSEIISRISKVHHPNIAELVGYCSEQGHNMLVYEYLRNGSLHEFLHMSDDFSKPLTWNTRVRIALGTARAVE >Manes.09G009300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2011655:2016052:-1 gene:Manes.09G009300.v8.1 transcript:Manes.09G009300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTEAYVDPFTIGPSTIIGRTIAFRVLFCKSISHLRRKIYHVLLKYFYRCRDASASSLSWLHPRNPQGILAMVTVIAFLLKRYTNVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMNESDLYDEELVRNKLQELRHRRQEGSLRDIIFCMRADLIRNLGNMCNPELHKERLQVPKLIKEYIDEVSTQLRMVCDSDSQDVILEEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVKALVEHKLLPRVIAGSSVGSIMCSVVATRSWPELQSFFEDSLHSLQFFDQMGGLLTVVKRVRTQGAVHDIRYLQWMLRNLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSSTSARRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIVPLLRMKELVRVYGGNFAAKLAHLTEMEVKHRFNQALELGFPLGGVAKLFAQDWEGDITVVMPATLAQISKIIQNPTSLELQKAINQGRRCTWEKLSAIKANCGIELCLDECVVILNHMRRLKRSAERAAAASHGAASTVKFSASKRIPSWNCIARENSTGSLEEDPADFASTFHQGVGVSGWGVPSGRNVRTHRNIHDGSDSEPDTVDLSSWTRSGGPLMRSSSANQFIDFVRNLDVDAELTKGFMTHPNSPVAQMGITEAYNQMSRLTTPERNSESEFEPVDFSSRSSGNSSSITVTEGDVLQPERIRNGFVLNVVKKENLALSNRTQDLNYNKEVPECVQLDCPERDIDASSASESDDDDNNVTVTNMSKETVTVQD >Manes.09G009300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2011655:2015622:-1 gene:Manes.09G009300.v8.1 transcript:Manes.09G009300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTEAYVDPFTIGPSTIIGRTIAFRVLFCKSISHLRRKIYHVLLKYFYRCRDASASSLSWLHPRNPQGILAMVTVIAFLLKRYTNVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMNESDLYDEELVRNKLQELRHRRQEGSLRDIIFCMRADLIRNLGNMCNPELHKERLQVPKLIKEYIDEVSTQLRMVCDSDSQDVILEEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVKALVEHKLLPRVIAGSSVGSIMCSVVATRSWPELQSFFEDSLHSLQFFDQMGGLLTVVKRVRTQGAVHDIRYLQWMLRNLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSSTSARRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIVPLLRMKELVRVYGGNFAAKLAHLTEMEVKHRFNQALELGFPLGGVAKLFAQDWEGDITVVMPATLAQISKIIQNPTSLELQKAINQGRRCTWEKLSAIKANCGIELCLDECVVILNHMRRLKRSAERAAAASHGAASTVKFSASKRIPSWNCIARENSTGSLEEDPADFASTFHQGVGVSGWGVPSGRNVRTHRNIHDGSDSEPDTVDLSSWTRSGGPLMRSSSANQFIDFVRNLDVDAELTKGFMTHPNSPVAQMGITEAYNQMSRLTTPERNSESEFEPVDFSSRSSGNSSSITVTEGDVLQPERIRNGFVLNVVKKENLALSNRTQDLNYNKEVPECVQLDCPERDIDASSASESDDDDNNVTVTNMSKETVTVQD >Manes.09G009300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2011655:2016171:-1 gene:Manes.09G009300.v8.1 transcript:Manes.09G009300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTEAYVDPFTIGPSTIIGRTIAFRVLFCKSISHLRRKIYHVLLKYFYRCRDASASSLSWLHPRNPQGILAMVTVIAFLLKRYTNVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMNESDLYDEELVRNKLQELRHRRQEGSLRDIIFCMRADLIRNLGNMCNPELHKERLQVPKLIKEYIDEVSTQLRMVCDSDSQDVILEEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVKALVEHKLLPRVIAGSSVGSIMCSVVATRSWPELQSFFEDSLHSLQFFDQMGGLLTVVKRVRTQGAVHDIRYLQWMLRNLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSSTSARRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIVPLLRMKELVRVYGGNFAAKLAHLTEMEVKHRFNQALELGFPLGGVAKLFAQDWEGDITVVMPATLAQISKIIQNPTSLELQKAINQGRRCTWEKLSAIKANCGIELCLDECVVILNHMRRLKRSAERAAAASHGAASTVKFSASKRIPSWNCIARENSTGSLEEDPADFASTFHQGVGVSGWGVPSGRNVRTHRNIHDGSDSEPDTVDLSSWTRSGGPLMRSSSANQFIDFVRNLDVDAELTKGFMTHPNSPVAQMGITEAYNQMSRLTTPERNSESEFEPVDFSSRSSGNSSSITVTEGDVLQPERIRNGFVLNVVKKENLALSNRTQDLNYNKEVPECVQLDCPERDIDASSASESDDDDNNVTVTNMSKETVTVQD >Manes.09G009300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2011655:2016050:-1 gene:Manes.09G009300.v8.1 transcript:Manes.09G009300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTEAYVDPFTIGPSTIIGRTIAFRVLFCKSISHLRRKIYHVLLKYFYRCRDASASSLSWLHPRNPQGILAMVTVIAFLLKRYTNVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMNESDLYDEELVRNKLQELRHRRQEGSLRDIIFCMRADLIRNLGNMCNPELHKERLQVPKLIKEYIDEVSTQLRMVCDSDSQDVILEEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVKALVEHKLLPRVIAGSSVGSIMCSVVATRSWPELQSFFEDSLHSLQFFDQMGGLLTVVKRVRTQGAVHDIRYLQWMLRNLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSSTSARRWRDGSLEIDLPMMQLKELFNVNHFIVSQANPHIVPLLRMKELVRVYGGNFAAKLAHLTEMEVKHRFNQALELGFPLGGVAKLFAQDWEGDITVVMPATLAQISKIIQNPTSLELQKAINQGRRCTWEKLSAIKANCGIELCLDECVVILNHMRRLKRSAERAAAASHGAASTVKFSASKRIPSWNCIARENSTGSLEEDPADFASTFHQGVGVSGWGVPSGRNVRTHRNIHDGSDSEPDTVDLSSWTRSGGPLMRSSSANQFIDFVRNLDVDAELTKGFMTHPNSPVAQMGITEAYNQMSRLTTPERNSESEFEPVDFSSRSSGNSSSITVTEGDVLQPERIRNGFVLNVVKKENLALSNRTQDLNYNKEVPECVQLDCPERDIDASSASESDDDDNNVTVTNMSKETVTVQD >Manes.01G012100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3579843:3591966:-1 gene:Manes.01G012100.v8.1 transcript:Manes.01G012100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESKRRATPAKHSRPSSRKKRKHFDGAERHDHETDSKRQKLVLRTETLTLKPHNLYPNSPTSNRFRPIHASSSLKRNRKHYHRRSSSVGECNRRWVFSGDDFSAYRDRVVVVSYNVLGVENALKHQYLYSKIPPEFLEWDRRKELIREEINHYNAGILCFQEVDRFNDLDYFLQEDGYRGVYKARTGEAYDGCAMFWKDTLFTLLHEENIEFQSFGLRNNVAQLCVLEMNENQMLSALCEQSSKATATQSRRLVVGNVHVLFNPNRGDIKLGQVRLFLERAYKLSQEWGSIPVIIGGDLNSLPQLDILVHDRRNISGQLEYRPQHKYFRSQDENVKRNSMSNLRPLMHVWSDEELILATGSKEVTHLRHQLKLCSAYLGIPGSHRSRDNYGEPLATSYHSKFMGTVDYIWHTEELIPVRVLETLPVDILRRCAGLPNEKWGSDHLALVCELAFADKENRT >Manes.01G012100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3579843:3591966:-1 gene:Manes.01G012100.v8.1 transcript:Manes.01G012100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESKRRATPAKHSRPSSRKKRKHFDGAERHDHETDSKRQKLVLRTETLTLKPHNLYPNSPTSNRFRPIHASSSLKRNRKHYHRRSSSVGECNRRWVFSGDDFSAYRDRVVVVSYNVLGVENALKHQYLYSKIPPEFLEWDRRKELIREEINHYNAGILCFQEVDRFNDLDYFLQEDGYRGVYKARTGEAYDGCAMFWKDTLFTLLHEENIEFQSFGLRNNVAQLCVLEMNENQMLSALCEQSSKATATQSRRLVVGNVHVLFNPNRGDIKLGQLDILVHDRRNISGQLEYRPQHKYFRSQDENVKRNSMSNLRPLMHVWSDEELILATGSKEVTHLRHQLKLCSAYLGIPGSHRSRDNYGEPLATSYHSKFMGTVDYIWHTEELIPVRVLETLPVDILRRCAGLPNEKWGSDHLALVCELAFADKENRT >Manes.01G012100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3579843:3591966:-1 gene:Manes.01G012100.v8.1 transcript:Manes.01G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESKRRATPAKHSRPSSRKKRKHFDGAERHDHETDSKRQKLVLRTETLTLKPHNLYPNSPTSNRFRPIHASSSLKRNRKHYHRRSSSVGECNRRWVFSGDDFSAYRDRVVVVSYNVLGVENALKHQYLYSKIPPEFLEWDRRKELIREEINHYNAGILCFQEVDRFNDLDYFLQEDGYRGVYKARTGEAYDGCAMFWKDTLFTLLHEENIEFQSFGLRNNVAQLCVLEMNENQMLSALCEQSSKATATQSRRLVVGNVHVLFNPNRGDIKLGQVRLFLERAYKLSQEWGSIPVIIGGDLNSLPQSAIYQFLASSELDILVHDRRNISGQLEYRPQHKYFRSQDENVKRNSMSNLRPLMHVWSDEELILATGSKEVTHLRHQLKLCSAYLGIPGSHRSRDNYGEPLATSYHSKFMGTVDYIWHTEELIPVRVLETLPVDILRRCAGLPNEKWGSDHLALVCELAFADKENRT >Manes.05G021101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1895555:1895698:1 gene:Manes.05G021101.v8.1 transcript:Manes.05G021101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLVFRSRRRAKVQVSGSILKEKRTKLYIIKRCVLMLVCWRDNGDK >Manes.05G118100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12222737:12226924:1 gene:Manes.05G118100.v8.1 transcript:Manes.05G118100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNVNNAFKTYKDIEPKSVMDMAIIQNVDPIDIGLGTSEKANPVVPTKRKKTMTSVYLKYFETAPDGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDSVTTSAPQPITVIKKAQPQGKANVDYDHLNWLLIKWLILASLPPSTLEEKWLANSFKFLNPSIQLWSGDKYKAVFREVFRSMQEDVRTSLEQVSSKVSIILDFWTSYEQIFYMSVTCQWIDEHWCFQKILLDICHIPYPCGGSEIYHSLIKVLKMYNLENRVLSCTHDNSQNAIHACHTLKEDLDGQKVAPFCYIPCAARALNLIIDDGLRTTKPVISKIREFVLELNSSGEMAEDFIQITTAYQEGSWKLPLETSARWSGNYQMLDLVCKAGKSMDSVIRKYDETLGGRMVLSSAEKNAVNIVHGYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITICRESRHSPDWLKNAAEEMAKKARSYNNQVSNIFTYMTAILDPRIKSELIPESLGTGNYLDEARNHFIRNYSSSHFSSMTSGYGSQEIEDGGSVSFAEEIARKKRRASLNNATDELTQYLSETPAPIPTDVLEWWKVNSTRYPRLSTMARDFLAVQPTSVAPEELFCSKGDEIDKQRFCMPHDSTQAIFCIRSWTQGGIKLKYKSTEIDYERLMELAVAATADNGNAGSDKKQKLKNENC >Manes.05G118100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12222737:12226924:1 gene:Manes.05G118100.v8.1 transcript:Manes.05G118100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFETGTVSGRAENQMDWNVNNAFKTYKDIEPKSVMDMAIIQNVDPIDIGLGTSEKANPVVPTKRKKTMTSVYLKYFETAPDGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDSVTTSAPQPITVIKKAQPQGKANVDYDHLNWLLIKWLILASLPPSTLEEKWLANSFKFLNPSIQLWSGDKYKAVFREVFRSMQEDVRTSLEQVSSKVSIILDFWTSYEQIFYMSVTCQWIDEHWCFQKILLDICHIPYPCGGSEIYHSLIKVLKMYNLENRVLSCTHDNSQNAIHACHTLKEDLDGQKVAPFCYIPCAARALNLIIDDGLRTTKPVISKIREFVLELNSSGEMAEDFIQITTAYQEGSWKLPLETSARWSGNYQMLDLVCKAGKSMDSVIRKYDETLGGRMVLSSAEKNAVNIVHGYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITICRESRHSPDWLKNAAEEMAKKARSYNNQVSNIFTYMTAILDPRIKSELIPESLGTGNYLDEARNHFIRNYSSSHFSSMTSGYGSQEIEDGGSVSFAEEIARKKRRASLNNATDELTQYLSETPAPIPTDVLEWWKVNSTRYPRLSTMARDFLAVQPTSVAPEELFCSKGDEIDKQRFCMPHDSTQAIFCIRSWTQGGIKLKYKSTEIDYERLMELAVAATADNGNAGSDKKQKLKNENC >Manes.12G055600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5316382:5317596:-1 gene:Manes.12G055600.v8.1 transcript:Manes.12G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMASQLKSSFASSVVTRALVVPRGISGAPFRVSPTRRSFTVRAIQSEKPTFQVIQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVSPLLRGVEVGLAHGLLLVGPFVKAGPLRNTEYAGAAGSLAAGGLIVILSICLTMYGVASFGEGEPSTAPSLTLTGRKKDPDQLQTADGWAKFTGGFFFGGISGVIWAYFLLYVINLPYFVK >Manes.14G165600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26234076:26256790:1 gene:Manes.14G165600.v8.1 transcript:Manes.14G165600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENFGTGSSVGGGSEINGRLASMDSVESRWVFQDDDDSVMDDDDEDEEDDGALHRTAMDSEDDDDNAEQRLIRTGPRIDSFDVEALEIPGAQRNDYEDFSVGRKIIIAFQTLGIVFGDVGTSPLYAFDVMFTKAPINGKEDVLGALSLVLYTLILIPLIKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSPELERSLKIKERLETSQTLKKLLLILVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVAAIEQEQVVMISVAFLVILFSVQKFGTSKVGLGVGPALFLWFCSLAGIGIYNLVKYDSSVVRAFNPVHIYYFFKRNSSKAWRALGGCLLCATGSEAMFADLCYFSVRSIQLTFVFLVLPCLLLGYLGQAAYLMENHAADVAEQAFFSSVPSSAFWPVFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLVFVCSISSITEMGNAYGIAELGVMMMTTVLVTIVMLLIWQINIIVVLSFAVIFLGIELIFFSSVLALVGDGSWIILVFAAIMFFIMYIWNYGSKLKYETEVKKKLSMDLMRELGPNLGTIRAPGIGLLYNELVKGVPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKGYHIFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERSLESDGDDDTDSEEENACTRVLIAPNGSVYSLGLPLLADFRDTSKPISEASTSEEVKLGTSADPTTSDPELSLERELSFIHKAKESGMVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGVANLSVPHSHLMQVGMTYMV >Manes.14G165600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26234076:26257979:1 gene:Manes.14G165600.v8.1 transcript:Manes.14G165600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENFGTGSSVGGGSEINGRLASMDSVESRWVFQDDDDSVMDDDDEDEEDDGALHRTAMDSEDDDDNAEQRLIRTGPRIDSFDVEALEIPGAQRNDYEDFSVGRKIIIAFQTLGIVFGDVGTSPLYAFDVMFTKAPINGKEDVLGALSLVLYTLILIPLIKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDARISSFRLKVPSPELERSLKIKERLETSQTLKKLLLILVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVAAIEQEQVVMISVAFLVILFSVQKFGTSKVGLGVGPALFLWFCSLAGIGIYNLVKYDSSVVRAFNPVHIYYFFKRNSSKAWRALGGCLLCATGSEAMFADLCYFSVRSIQLTFVFLVLPCLLLGYLGQAAYLMENHAADVAEQAFFSSVPSSAFWPVFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLVFVCSISSITEMGNAYGIAELGVMMMTTVLVTIVMLLIWQINIIVVLSFAVIFLGIELIFFSSVLALVGDGSWIILVFAAIMFFIMYIWNYGSKLKYETEVKKKLSMDLMRELGPNLGTIRAPGIGLLYNELVKGVPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQSERFLFRRVCPKGYHIFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERSLESDGDDDTDSEEENACTRVLIAPNGSVYSLGLPLLADFRDTSKPISEASTSEEVKLGTSADPTTSDPELSLERELSFIHKAKESGMVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGVANLSVPHSHLMQVGMTYMV >Manes.06G055600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19151552:19157089:-1 gene:Manes.06G055600.v8.1 transcript:Manes.06G055600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDGGLSFDFEGGLDSGSTNPTAIHSDNRAAAAAAAAAKNNSDIPAVSVTDTSAPGSNLPGRRSFRQTVCRHWLRGLCMKGDACGFLHQYDKSRMPVCRFFRLSGECREQDCMYKHTNEDIKECNMYKLGFCPNGPGCRYRHAKLPGPPPPVEEVLHKIQQLNSYNYGSSNKFFQQRGAGFQQHIVSQGSNNTGQGVAGKPLVTESANVQQLQQQQIQLSQQQANSNTFSLRNI >Manes.06G055600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19148228:19157089:-1 gene:Manes.06G055600.v8.1 transcript:Manes.06G055600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIDGGLSFDFEGGLDSGSTNPTAIHSDNRAAAAAAAAAKNNSDIPAVSVTDTSAPGSNLPGRRSFRQTVCRHWLRGLCMKGDACGFLHQYDKSRMPVCRFFRLSGECREQDCMYKHTNEDIKECNMYKLGFCPNGPGCRYRHAKLPGPPPPVEEVLHKIQQLNSYNYGSSNKFFQQRGAGFQQHIVSQGSNNTGQGVAGKPLVTESANVQQLQQQQIQLSQQQANSNTFSLRNI >Manes.04G137900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33743782:33747287:1 gene:Manes.04G137900.v8.1 transcript:Manes.04G137900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQIPFSTFSKLSFTVPEPEDLLLCGAVEFYDRSYDRTTPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCAPRSVYSWDIVIQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKGGMNSAYSLSVEAAYINQNFSQQVLIRDRNKVAFDDPNPFANEGEEVASEAYRYRQWKLDDDMHLVARCEVQSVVEVNKQRSFVTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADMMKLGYVSRVHSKDHYNHVILAVVGYKPRDFAAQINLNTSNMWGIVRSIVDLYMKLNEGKYILVKDPSRPQVRIYEVRPDAFENDYVEEPLPEEEQVQPPGEFTENLETNGAANGVEDKQIDVQA >Manes.01G223200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38983494:38988322:-1 gene:Manes.01G223200.v8.1 transcript:Manes.01G223200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAFREEKDTFGPIQVPADKLWGAQTQRSLQNFEIGGEREQMPEPIVRAFGILKKCAAKVNMEYGLDPSIGKAIMQAAQEVAEGKLNDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNKSQSSNDTFPTVMHIAAATEINSRLIPNLKNLHSTLHSKSIEFKDIVKIGRTHTQDATPLTLGQEFSGYALQVKYGLDRITCTLPRMYQLAQGGTAVGTGLNTKKGFDAKIAAAVAEETKLPFVTAENKFEALAAHDAFVESSGALNTIATSLMKIANDMRFLGSGPRCGLGELVLPENEPGSSIMPGKVNPTQCEALTMACAQVMGNHVAITVGGSNGHFELNVFKPMIASNLLHSTRLLGDASASFEKNCVKGIQANKERISKLLHESLMLVTALNPKIGYDNAAAVAKLAHKEGTTLKEAALKLGVLTSEEFDALVVPEKMIGPSD >Manes.05G161200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27403395:27405652:-1 gene:Manes.05G161200.v8.1 transcript:Manes.05G161200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMRKLCPNLDKQDGLDTVLEVPVPEEMFSNMGNNKSSRWANMRALMMRAKSADKSSHLQAKSDNEFIALLKLVGSPLIPFQVHPDQPVTRSITDCSIEASTAKYIVQQYVAAIGGPMVLNSVTSMYAVGQVKMAGSEMQQGDGSVQTGGKSEVGGFVVWQKNPDLWCLELVVAGYKVNAGSDGKLAWNQSSCQTCHSNKGPPRPLRRFFQGLDPRCTANLFLEAVCIGENMANNEDCFVLKLETDVNILKTQASSNTEILHHTMWGYFSQRTGLLVKFEDTKLVKMNPARGNDTVFWETSIESLVEDYRYIEGMNIAHSGKTTATLYRYGESRNHKRKIEETWRIEEVGFNICGLSMDCFLPPADLMREQDGGEE >Manes.12G137900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34444425:34445143:1 gene:Manes.12G137900.v8.1 transcript:Manes.12G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLKMKPKLEKLVPVLHKTRARQNNYKIKIKIITCRYKAMQEKKTNLYHVLSLESQNVGFCEIKKAYRNMALQYHPDVCPPSAKEESTKRFVELRQAYETLSDPISRRLYDYELSLGNSTGFDIELRMEERNNKFPKEAWEEQLHGLKQRSHVRLEKMNNKYI >Manes.09G016200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNQDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3370534:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3370534:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSEVSAQI >Manes.09G016200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361620:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNQDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNQDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNQDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSEVSAQI >Manes.09G016200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361620:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNQDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSEVSAQI >Manes.09G016200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNQDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSEVSAQI >Manes.09G016200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3371426:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSEVSAQI >Manes.09G016200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3370534:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNQDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSGTYFSKAIFVPSISTYNKVTSGTSAIPSGISNQDLSWQFSLQRLWEKIIHGIEGDVVLDESSKLDSAETLPPREFLYEDASRCSPADGNLACSSVISSLPLTIKWLRDCVRENPTLRIRVLVTGSLHLVGDVLKLLKR >Manes.09G016200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3361662:3370534:-1 gene:Manes.09G016200.v8.1 transcript:Manes.09G016200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVYTNNYLKCGIVRVSHFHEREYKFSTRAPCKYSFQPAFVGTHDLIDRRKLHFQARGSKYHKMSSQVMEKTESNVAVEDSLRDLPLSNSYETAMEALSSLITRQKRGTPSSVGGKYGKFDRMQMYLKILNLEEHIPELKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRIDGFDISEDKFLLHFWDCWNQLKEHVSDDLPMPPLFQFLTVLAFKIFVCEKVDVAIIEVGLGGKRDSTNVIKEPVVCGITPLGMDHTEVLGNTLGCIASHKAGIFKPQVPAFTVHQLSEAMDVLHEKAHELAVPLKVVEPLDHKKLEGSKLSLSGDHQFTNAALAVSLCKCWLQRTGNWEKIFQNQDNPESNMPEAFLRGLTTAHLYGRAQVVHDSSSNCHFGSAPADNSSGDLIFYLDGAHSPESMEVCAKWFSSAVQESKQLPNLSSSSHDVESMKEVWGNGYIQSERGNTNESNKISKKILLFNCMEVRDPQILLPQLVSTCASSEVSAQI >Manes.01G082800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28511853:28519330:-1 gene:Manes.01G082800.v8.1 transcript:Manes.01G082800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVTEQEPKMETKVEDTPVEKGSFMAADSSAMKYTEDTEWDEFGNDLYAIPEAPVVQSSNTVPDAPPANKADEDSKIKALIDTPALDWQRQGADGFGPGRGFGRGIGGRMGGRGFGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKNAVLTSKCCFKSFCDKCIRDYIISNAKCVCGATNILADDLLPNKTLRDTINRILESGNSSAENAGSTFQVQDMESARNPQPKVPSPTQSAASKEEQKPFAGNTQTPNANMKEQVDEEKPVVILQQVPEKPRTAKVPDVSEATHESMSVKEPASQCSAPLPEEEVQQKLVVGEAAKKKKKKKVRMPPNDLWKAPQDLTAESYMMPLGPSPYNPYWGGMQPAMEGYMNPYASPMPFMGYGLGPMDMPFGGMISPDPFAAQGYMMPVIPPQRDLSEFGMGMNAGPPAMSREEFEARKADLRRRRENERRAESREFPRDREFSREVSSSGDISSMKSKSIPQPSSGDLHPHHRHPPERSSPARDLEPPAPLPPRPSKRKSDHERSDRDRDRDHHDYDYDRERDRGERDHHHRHHHHRSEPPAKASSEATKPASSTIADRKQKASVFSRISFPEEELATKKRKLSSSGEAPAAVAGATSASAAHHKSSSSVNGYYDDYNSSSVKVTSVSASGGGKKSMDYESSDDERHFKRKPSRYEPSPPPPADWEEDAKHSRGQRERERERERDRDRDRKHK >Manes.01G082800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28511853:28519330:-1 gene:Manes.01G082800.v8.1 transcript:Manes.01G082800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVTEQEPKMETKVEDTPVEKGSFMAADSSAMKYTEDTEWDEFGNDLYAIPEAPVVQSSNTVPDAPPANKADEDSKIKALIDTPALDWQRQGADGFGPGRGFGRGIGGRMGGRGFGRLGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKNAVLTSKCCFKSFCDKCIRDYIISNAKCVCGATNILADDLLPNKTLRDTINRILESGNSSAENAGSTFQVQDMESARNPQPKVPSPTQSAASKEEQKPFAGNTQTPNANMKEQVDEEKPVVILQQVPEKPRTAKVPDVSEATHESMSVKEPASQCSAPLPEEEVQQKLVVGEAAKKKKKKKVRMPPNDLWKAPQDLTAESYMMPLGPSPYNPYWGGMQPAMEGYMNPYASPMPFMGYGLGPMDMPFGGMISPDPFAAQGYMMPVIPPQRDLSEFGMGMNAGPPAMSREEFEARKADLRRRRENERRAEREFPRDREFSREVSSSGDISSMKSKSIPQPSSGDLHPHHRHPPERSSPARDLEPPAPLPPRPSKRKSDHERSDRDRDRDHHDYDYDRERDRGERDHHHRHHHHRSEPPAKASSEATKPASSTIADRKQKASVFSRISFPEEELATKKRKLSSSGEAPAAVAGATSASAAHHKSSSSVNGYYDDYNSSSVKVTSVSASGGGKKSMDYESSDDERHFKRKPSRYEPSPPPPADWEEDAKHSRGQRERERERERDRDRDRKHK >Manes.01G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28511853:28519330:-1 gene:Manes.01G082800.v8.1 transcript:Manes.01G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVTEQEPKMETKVEDTPVEKGSFMAADSSAMKYTEDTEWDEFGNDLYAIPEAPVVQSSNTVPDAPPANKADEDSKIKALIDTPALDWQRQGADGFGPGRGFGRGIGGRMGGRGFGRLGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKNAVLTSKCCFKSFCDKCIRDYIISNAKCVCGATNILADDLLPNKTLRDTINRILESGNSSAENAGSTFQVQDMESARNPQPKVPSPTQSAASKEEQKPFAGNTQTPNANMKEQVDEEKPVVILQQVPEKPRTAKVPDVSEATHESMSVKEPASQCSAPLPEEEVQQKLVVGEAAKKKKKKKVRMPPNDLWKAPQDLTAESYMMPLGPSPYNPYWGGMQPAMEGYMNPYASPMPFMGYGLGPMDMPFGGMISPDPFAAQGYMMPVIPPQRDLSEFGMGMNAGPPAMSREEFEARKADLRRRRENERRAESREFPRDREFSREVSSSGDISSMKSKSIPQPSSGDLHPHHRHPPERSSPARDLEPPAPLPPRPSKRKSDHERSDRDRDRDHHDYDYDRERDRGERDHHHRHHHHRSEPPAKASSEATKPASSTIADRKQKASVFSRISFPEEELATKKRKLSSSGEAPAAVAGATSASAAHHKSSSSVNGYYDDYNSSSVKVTSVSASGGGKKSMDYESSDDERHFKRKPSRYEPSPPPPADWEEDAKHSRGQRERERERERDRDRDRKHK >Manes.01G082800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28511853:28519330:-1 gene:Manes.01G082800.v8.1 transcript:Manes.01G082800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMPIVTEQEPKMETKVEDTPVEKGSFMAADSSAMKYTEDTEWDEFGNDLYAIPEAPVVQSSNTVPDAPPANKADEDSKIKALIDTPALDWQRQGADGFGPGRGFGRGIGGRMGGRGFGLERKTPPQGYVCHRCKVPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKEIEGLPSTRSVGDLPPELHCPLCKEVMKNAVLTSKCCFKSFCDKCIRDYIISNAKCVCGATNILADDLLPNKTLRDTINRILESGNSSAENAGSTFQVQDMESARNPQPKVPSPTQSAASKEEQKPFAGNTQTPNANMKEQVDEEKPVVILQQVPEKPRTAKVPDVSEATHESMSVKEPASQCSAPLPEEEVQQKLVVGEAAKKKKKKKVRMPPNDLWKAPQDLTAESYMMPLGPSPYNPYWGGMQPAMEGYMNPYASPMPFMGYGLGPMDMPFGGMISPDPFAAQGYMMPVIPPQRDLSEFGMGMNAGPPAMSREEFEARKADLRRRRENERRAEREFPRDREFSREVSSSGDISSMKSKSIPQPSSGDLHPHHRHPPERSSPARDLEPPAPLPPRPSKRKSDHERSDRDRDRDHHDYDYDRERDRGERDHHHRHHHHRSEPPAKASSEATKPASSTIADRKQKASVFSRISFPEEELATKKRKLSSSGEAPAAVAGATSASAAHHKSSSSVNGYYDDYNSSSVKVTSVSASGGGKKSMDYESSDDERHFKRKPSRYEPSPPPPADWEEDAKHSRGQRERERERERDRDRDRKHK >Manes.S033516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:853728:854540:1 gene:Manes.S033516.v8.1 transcript:Manes.S033516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRPPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G175900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20171479:20174570:1 gene:Manes.15G175900.v8.1 transcript:Manes.15G175900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKSNVKRGLWTAEEDAKILAYVSNHGIGNWTLVPKKAGLNRCGKSCRLRWTNYLRPDLKHDNFTPQEEELIINLHKAVGSRWSLIARQLPGRTDNDVKNYWNTKLRKKLTEMGIDPITHKPFSQILSDYGNISGLSNPRNQIASFNKNLNSNLITKPEPSFVLTSSNNVILKQENSWELLPQFQATSHELVQPHLFNEVSSSSSSSSSTSVAQSQPPLTPSSPSLWSEFLLGDPLVYVDFQQQQQQKQDSLGAISSTSKQIDMLFQGKFASGNEDFGWYDQRGIYGDASSSSASSFVDGILDKDREMGSQFPEILDPFF >Manes.S022816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:240289:240447:1 gene:Manes.S022816.v8.1 transcript:Manes.S022816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.01G253400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41137601:41139880:-1 gene:Manes.01G253400.v8.1 transcript:Manes.01G253400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMDLEQRTLTVVAELIQGKGLAEHLRKHFNSISSPETRQFFVEKILSSYDKALSMLNWDASCVVEIKPAISALESPHCYANISPRSDVSDQDCKDHRDVYKKRKTESRWTEQVKICSGTGLEGPLGDGYSWRKYGQKDILGANFPRGYYRCTHRNSQGCLATKQIQKSDQDPKIYEVTYRGRHTCFQASHLAATSSSTSLKNAKTKQDSYSCGAEQPEQKPKPLNDLSFNSTGVKSQDLDIVEDIFPLFSFPDSSTGNENEENDFLGSLSPALISSSTSDSSYFALSSCHMNNSEIEARTLESDSTQNSASNSPIRYWDISLDDIDFDTNFPLENSSVFA >Manes.10G038400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3925953:3932786:-1 gene:Manes.10G038400.v8.1 transcript:Manes.10G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISYDHEDDDYIDMEVSSYSSFFCNSESSIPQAREFEFQMSSVSFEKDSTTSPADELFYKGKLLPLHLPPRLQMVEKLLQHSNSPYDCRKNTLEEFFSTPLMTTATTPTTTSTPFESCNISPSESCRVSRELNPAEYFFEYSNEEGGFNGENRKKTWTKKLKLIKQSSLSSRLKASRAYLKSLFGKSGCSDDSCTAASKVADEGIVSKPSRKVPFGQIQKENFQLPTTRIRNGNKQKISSEDEDNRLHRRSFSMALRRHSTNKSSSSSSSSTNTNGFYGLPFIKRSSSVNLEVENPIQEAIAHCKQSHQQLFCPRKAEIELCRG >Manes.09G105625.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30675628:30676167:-1 gene:Manes.09G105625.v8.1 transcript:Manes.09G105625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFKALYGFNPSQLPILPLSDISVGSVANKFTTRQNVNALLWETLSQAQNKMKHQCNKKRIERSFEVGDWVYHKLQPYRQTSVAVRKSLKFSAKYYGPYKVVAKVGTVAYKLELPASSSVHPVFHVSLLKKKFEDNITPIQELPVTEEDSTWIVAPKSLLKTRTVIRGDMPVLQGLIK >Manes.01G056850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:24228831:24229631:1 gene:Manes.01G056850.v8.1 transcript:Manes.01G056850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFEQLRQTEEMSVEEFTDRFLELLPFAGQGLDTDLKKSRRYVMKLHSRYSSLVQSAERESFHAIVDMARRMEASAIVQGTVKQYVAQSSGSKIPGTSDVDLSPLSEAVTKSKKGGRGLRRSKKSKFWEQIKSSLGLGDGSSSGSGNSRCTRCGRQHKGVCLIGTTACFRCGQEGHMARECPTATLVARSQQTVSGSVAHPVAPSMFQDSGRGGDRGTAPSSAGSRGEGPSAPARIFAMTQQEANTSNPMGSGNLTLVCSGVSVS >Manes.07G090600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28368720:28371186:1 gene:Manes.07G090600.v8.1 transcript:Manes.07G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVGLTKAFTLLATDNLANFDALRKSSKSPWIYLSLFSCSDATHTKAKCAPLQETRMRDRFTLHAKGGDGGNGCSSFRRSRHDRRGRPDGGNGGRGGDVILECSPAIWDFSGLHHHVNAARGGNGASKIPVGTVIHLLKGELPSTVQNCSRTDLDPWELPGTLHTDQSESHWQSVSKSTNMEKEAEPLDISGGSLTQAKGTSEEFASIQAIQREPAGVEHIHYDVAELTKLGQQIIVARGGEGGLGNVYSPDVSKKAKLSKPGVNRDIVLDPDMSSEDQSCLSSGLPGSEAVLLLELKSIADVGLVGMPNAGKSTLLGALSRAKPRVGHYAFTTLRPNLGKLKFDDFSITVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAAGLDGRKGFPPWEQLKDLVLELEHHQEGLSDRPSVVVANKIDEAGADEVYEELKRRVQDVPIYLVCAALEEGVPELKAGLRMLMDSVKLQRLSLDKIDCS >Manes.06G148800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27488958:27493567:-1 gene:Manes.06G148800.v8.1 transcript:Manes.06G148800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIAVTILAILLNCGSFCICAPPQRLLVKMTMVTNAPALGAFCLDGSLPAYHLHRGFGAGARNWILQFEGGGWCNDLKSCLERANTRRGSTKYMNKLATFSGILSNDAKLNPDFYNWNRVKLRYCDGASFGGDAMFKDGSSVLYFRGQKIWEAIIQDLLPKGLGQARQALLSGCSAGGLSSFLHCDDLAKVLPNAGVKCLSDAGFFLDEKDVSLKYAMRSFYAKLVALQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDTFQFKHILVPPSADMRGLWKRCKNNTAQCNEKQIDTLQGFRQHMLSALGSFSKNFNQWGMYINSCFAHCQSESQDTWLAGDSPRIHDVTIAKAVGNWYFNRNGTNEIDCPFPCDATCHNLIPTALVP >Manes.06G148800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27488958:27491724:-1 gene:Manes.06G148800.v8.1 transcript:Manes.06G148800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDGSSVLYFRGQKIWEAIIQDLLPKGLGQARQALLSGCSAGGLSSFLHCDDLAKVLPNAGVKCLSDAGFFLDEKDVSLKYAMRSFYAKLVALQGVEKNLNKKCTNFFSKNPELCFFPQHALKFITPPFFILNAAYDTFQFKHILVPPSADMRGLWKRCKNNTAQCNEKQIDTLQGFRQHMLSALGSFSKNFNQWGMYINSCFAHCQSESQDTWLAGDSPRIHDVTIAKAVGNWYFNRNGTNEIDCPFPCDATCHNLIPTALVP >Manes.14G126400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10026783:10037726:1 gene:Manes.14G126400.v8.1 transcript:Manes.14G126400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPLISILFGQSSCHGNFSNFGLCLAVINIWMALISTRKVFLQPHIVKSYLHGCVMASAIQKTALISWHGSEFSVEESFHRFQVLDIFCKLNQFVNFQPFSSSTHPERICWEVSSHAILLRKLEVALEDRQLDEAWVTFNDFKSLYGFPIDSLMSRLITELSYSSDPYWLQKACTLVLQISKEKANLLKTEVLTKLAISLARAQMPIPASMILRVMLERENIPPVSVLQLIFLHMVKTEIGAYLASNFLIQICDYFLHLSAKRSEHRKMIKPDTMTFNLVLDACVRFKSSLKGQEILEWMSQISVIADAHSIIIISQIYEINGLRDEIKKLKDHIDRVSAPFVCHYRQFYDCLLNLHFKFDDLDAATKLLLDMNGFWGSVPSTKPREEMQKPYLVSIGSQNLRAGLKLQIVPELLQKDSAIQLEDKTEFVIFKNGKLLPSNRALAKLIHCYKRHQRITEISKVLVSMQKVFQTLGGSNLCSDVIDACIRLGWLETAHDVLEDTEEAGIPVGLTAYMVLLTSYYSQGMSKEAEAVLRQLRKAGLESNLPGEIAASAGLSETTESISSSVTASDLADFLVQEVREEKAIPPIIYELNSSIYFFCKAKMMGDALRSYRKVQMMGIQPTVQTFSFLVYGYSSLERYRDITVLWGDIKRHMKSRNIVVSRDLYELLLMNFIRGGYFERVMEVVGYMKDQNMYTDKWMYKCEFLKLHKNLYKGVRLSEARNEVQRKRLEFVQKFRRWVDID >Manes.05G177300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29360308:29377428:1 gene:Manes.05G177300.v8.1 transcript:Manes.05G177300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLRRFPFLRLQLGRNRLAARTVSPLRHPWSTTADPTRLDEDVSTAEKTTAVLSARDPPSYPRWDDPDHGRWKEMEGEILRDIEAITSLTKEILHSDRYLDGESLTAEDEKAVVENLLIYHPNSEDKIGCGLDSIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLRAYIRDKYPTHAERFIREHFKRGSG >Manes.14G077100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6421782:6424244:-1 gene:Manes.14G077100.v8.1 transcript:Manes.14G077100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDPLSAADETDVAAETIGKRGCPGKKNGKIPRRIHKAEREKLKREQLNDLFLELASALELTQPNNGKASILSEAARLLKDLLGLIECLKKENGSLLSESRYVTIEKNELKEENSALDTEIEQLQSELEKRVALSKPDLNVPPPEFHHPRLTPHFPGESLGLPAAEATLQQAPAVFVVPLHPDLQAYPRTTSNVSKPHARYPTPADSWPSQLLGEQEQLTARKEVQ >Manes.14G077100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6421644:6424244:-1 gene:Manes.14G077100.v8.1 transcript:Manes.14G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDPLSAADETDVAAETIGKRGCPGKKNGKIPRRIHKAEREKLKREQLNDLFLELASALELTQPNNGKASILSEAARLLKDLLGLIECLKKENGSLLSESRYVTIEKNELKEENSALDTEIEQLQSELEKRVALSKPDLNVPPPEFHHPRLTPHFPGESLGLPAAEATLQQAPAVFVVPLHPDLQAYPRTTSNVSKPHARYPTPADSWPSQLLGEQEQLTARKEVQ >Manes.14G077100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6421758:6424390:-1 gene:Manes.14G077100.v8.1 transcript:Manes.14G077100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDPLSAADETDVAAETIGKRGCPGKKNGKIPRRIHKAEREKLKREQLNDLFLELASALELTQPNNGKASILSEAARLLKDLLGLIECLKKENGSLLSESRYVTIEKNELKEENSALDTEIEQLQSELEKRVALSKPDLNVPPPEFHHPRLTPHFPGESLGLPAAEATLQQAPAVFVVPLHPDLQAYPRTTSNVSKPHARYPTPADSWPSQLLGEQEQLTARKEVQ >Manes.07G062364.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10016635:10018615:-1 gene:Manes.07G062364.v8.1 transcript:Manes.07G062364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGIIEKEEAADKMILLPSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPNLKNIFAMQTLVNTIDELHTPFLHKMFSNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLDKLLPEGLGGDQKDITAIPHIRDLKLYNIHDLKHIWNPDCQLRDPLLQSLEAYMEPRLSTA >Manes.18G036700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3256571:3260829:1 gene:Manes.18G036700.v8.1 transcript:Manes.18G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGLSLQKMMKTTPSKALVIRINLVFLAFFLVIYASLLLRPSSSVYFQNAASLVRCSLRECHHKMKTVLEENQATKQKLKGNMTKIEVPSFMNNMGKGMKIGMVNMDEDNVSDWKIHGETIPIYFERVSEYFKWEDLFPEWIDEEEELEGTSCPEIPMPDFKAYDDMDIIVAKLPCKYPQELWNREVFRLQVHLIAANLAVKKGRRDWSWKTKVVFWSKCRPMLELFRCNDLVRQEGDWWFYEPEMSWLEQKVSLPIGSCKLALPLWAKGIDEIYDMSKIQSTTRTAKREAYVTVLHSSESYVCGAIALAQSLRQTGTKRDLIILLDRSISQPKRDALAAAGWQIRLIKRIRNPRAEKDSYNEYNYSKFRLWQLTDYDKIIFIDSDIIVLRNLDILFHFPQMTAIGNDVWIFNSGIMVIEPSNCTFNFLMDRRSDIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANTTLEASVKNELFGADPPKVYSIHYLGLKPWNCYRDYDCNWNIGDQRVYASDVAHKRWWKFHDGMDENLQKFCGLTKQRKIELEWERKMAREMGFSDEHWKIYITDSRRKHLIN >Manes.15G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5408746:5412917:1 gene:Manes.15G071800.v8.1 transcript:Manes.15G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCALKPFHVPMMKVGSLCPRAPVAIGGFGCASVVGTTLWSCSSNRSSVPPFASLSTAATSSKKEAVKTEKAPAALGPYSQAIKVNNLLFVSGVLGLIPESGKFISDNVEDQTEQVLKNMGEILKASGADYSFVVKTTIMLADLKDFKKVNEIYAKYFPAPFPARSTYQVAALPMDAKIEIECIAELP >Manes.16G059200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22320941:22340568:-1 gene:Manes.16G059200.v8.1 transcript:Manes.16G059200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYFASTVFIHATHNHVALLPAPSSSPEPLKARRRALLSNVSLVSISAAFSTSTIPFPFSAAASSRNEFSELPNSGGVKALDLRIGSGDVPVDGDQVAIHYYGRLAAKQGWRFDSTYDHKDETNEPIPFVFVLGSGKISISEREEDEWLIYRVTSDSEISTIEGVHNLLKNLLRHWIHYEVILISPLVFVKVISGIEIAVKSMKVGGIRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSLRRE >Manes.03G025900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2097524:2099559:1 gene:Manes.03G025900.v8.1 transcript:Manes.03G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESSTREVAKKLWHVVRIAFSMLRKGLSKSRIMVDLHLLLKRGNKLAEKAILDLMYHHHNSSFSCRSSDSLSFISPREYEFSCSNSPSFHPFLAHKRKHRHHHHFHFTKAYKYDDVTTGAAVQKMFEMLNNHDQVVEASPVVLPGFGKSPMVRQLRITDSPFPLKDDGDCQVDKAAEEFINKFYKDLKQQKTSAAFEPLYHGMWVR >Manes.09G122900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32544193:32548206:-1 gene:Manes.09G122900.v8.1 transcript:Manes.09G122900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSPASVLVLLCLASAILVGFGQNYLPTDKILLDCGASSDDSDADGQKWTADKGSKFLASTVNSTISPAATQDPAVPQVPFMTARVFHSNFTYSFPLADGRKFVRLYFYPASYSGLNASDSLFSVTAGSYTVLKNFSAAQTTEALNFAYIVKEYSINVDGGTLNITFSPSSNPSKSYAFINGIEIVSMPDIYSSTDGTLMLVGNSAPFIIDNSTALENVYRLNVGGNDISPSGDTGMLRSWSDDQPYLYGAGFGVPETADPNMTIERPVPPYIAPLNVYSTARSMGPNANINVNYNLTWIFSVDSGFSYLVRLHFCEVASNITKINQRVFSIFLNNQTAEDQADVVAWANFHNGVPVHKDYVVLVPGGSPQQDLWLALHPNTDSALKSQYYDAILNGVEIFKISTPGDNNLAGPNPIPAPKQDVIDPSLVRPKSGSGHSKNQKAIIAGGVSSGVVLAIVIGCCVIAASRRHRQRKESSASEGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATNNFDEALLLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCFNKGILDQIVDPYLKGKIAPECFRKFAETAMKCVSDQGIERPSMGDVLWNLEFALQLQESAEESGKGIGGIDGEEMSFNPAIKGKKDPDASPGFDGNITDSRSTGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >Manes.09G122900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32544193:32548206:-1 gene:Manes.09G122900.v8.1 transcript:Manes.09G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSPASVLVLLCLASAILVGFGQNYLPTDKILLDCGASSDDSDADGQKWTADKGSKFLASTVNSTISPAATQDPAVPQVPFMTARVFHSNFTYSFPLADGRKFVRLYFYPASYSGLNASDSLFSVTAGSYTVLKNFSAAQTTEALNFAYIVKEYSINVDGGTLNITFSPSSNPSKSYAFINGIEIVSMPDIYSSTDGTLMLVGNSAPFIIDNSTALENVYRLNVGGNDISPSGDTGMLRSWSDDQPYLYGAGFGVPETADPNMTIERPVPPYIAPLNVYSTARSMGPNANINVNYNLTWIFSVDSGFSYLVRLHFCEVASNITKINQRVFSIFLNNQTAEDQADVVAWANFHNGVPVHKDYVVLVPGGSPQQDLWLALHPNTDSALKSQYYDAILNGVEIFKISTPGDNNLAGPNPIPAPKQDVIDPSLVRPKSGSGHSKNQKAIIAGGVSSGVVLAIVIGCCVIAASRRHRQRKESSASEGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATNNFDEALLLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCFNKGILDQIVDPYLKGKIAPECFRKFAETAMKCVSDQGIERPSMGDVLWNLEFALQLQESAEESGKGIGGIDGEEMSFNPAIKGKKDPDASPGFDGNITDSRSTGMSMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >Manes.04G148900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34567267:34571297:-1 gene:Manes.04G148900.v8.1 transcript:Manes.04G148900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEDKSKSKDFYEVLGLNKGCTTSELKNAYKNLALRWHPDRCSASGNSKFVEEAKQNFQAIQQAYSVLSDSNKRFLYDVGAYDNDEDDNGMGDFFSEMASMISQTKPNENGKTLEDLQDLFEEIFQEDIGSFGGSCQAAASTTTMSSSTSSSYASYSESSSFNYTSTSSVMNFGEFNDVEDSLGFGSHFQKFCLGVEHQQNFKKGKGARGRGIQGGAGGRSRKKHGRKQNIPSSGLDVSSEDHGISTL >Manes.17G030600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22560165:22561640:1 gene:Manes.17G030600.v8.1 transcript:Manes.17G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCRRLQNIFSTHTTATSSVLSTRELKLQKMADKFKKSSESPKFRFCHERYSNIVRRLAAAHKFSLVEDVLEYQKKFEDIRNEQFTIRLITLYGKAGMFDHAHELFDQMPDLNCVRTVRSFNALLSACIGAGKFDKVQSILQDLPPKLGVTLDVVSFNTVIKAYCKMGNLDSAVLVLDEMQSKGVEPDLITFNTLLNVFYGNGKFADGERIWGLMESKNVVPNTRSYNSRLRGLVLNSRVKEAVKLLGEMEGKGCKPDVMSYNAVIKGFCDDGNAEEVKRWYKELRDKNCLPDRVTYVTIVPFLCQKGDFEMALELCTEVINTGLIVGAGLFKPVIDGLVKQSKIEEAKNLVELGKSNKHCHYKLRLPLDK >Manes.15G184400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29056011:29059362:-1 gene:Manes.15G184400.v8.1 transcript:Manes.15G184400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTNSLIKISPFFIKTTYLSPISKRASGDRPSGVRFPLTITKCSRVDVPLAPRSTQDSSSSSSSSSSPPLITSQIRSLLAMEEDIEKVIYRCRFLAILGVFGSLIGSFLCFVKGCSHVVSSFMEYFVNHGKVILSLVEAVDFYLLGTVMMVFGMGLYELFISNLDTAKLVAGERVSYRSNLFGLFTLKERPRWLEIKTVNELKTKLGHVIVMLLLIGFFEKSKTAVIQSPMDLLCFSASVLLCSGCLYLLSKLSESK >Manes.15G184400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29056011:29059362:-1 gene:Manes.15G184400.v8.1 transcript:Manes.15G184400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTNSLIKISPFFIKTTYLSPISKRASGDRPSGVRFPLTITKCSRVDVPLAPRSTQDSSSSSSSSSSPPLITSQIRSLLAMEEDIEKGCSHVVSSFMEYFVNHGKVILSLVEAVDFYLLGTVMMVFGMGLYELFISNLDTAKLVAGERVSYRSNLFGLFTLKERPRWLEIKTVNELKTKLGHVIVMLLLIGFFEKSKTAVIQSPMDLLCFSASVLLCSGCLYLLSKLSESK >Manes.18G103300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9904061:9907200:1 gene:Manes.18G103300.v8.1 transcript:Manes.18G103300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIKWSSLYHIPLFCWCLCFLLNPAVCLQNETDRLALTSFKDAIQKDPFGVLGSWNESLHFCDWNGVSCSRRHHHRVVRLILSSQGLVGVLSPHIGNLSFLRRIDFRNNSFYGQIPQQIDRLHRLQDIDLSNNSFQGNIPANLSRCSNLLYLNFIDNELVGNIPAELGSLQKLEALGLAKNNLTGGIPASMGNLSSLWQISLRRNSLQGQIPEEISQLGLRLLIFGENYLTGEIPYGLFNISKLETFEVDSNQLHGIIPSDIGLTLPNLSSLLLSVNMFTGPIPISLSNASALQQIVIVYNEFSGSIPRGFGMLPDLEILNFAFNQLQDDLSFIDYLTNCSNLIILGLRANFLKGTLHNAIPVGIENLVHLRFFLFGGNYLSGHIAIDFGKLPLLELLDLGSNKFTGKIPSSIGNLSFLTRLYLGFNNLHGSIPPSLGSCHNLNELELFHNNLSGSIPRQIMGLSSLSVSLDLSSNALTGPIPSEVGSLTTLLRLDLSNNRLSGTIPNTIDKCLSLQILDLQGNSFQGEILAGFGALRGLQEVDISRNNFSGKIPSSLSQLNGLIYLNLSFNQLQGEVPKQGIFLNASAISLMGNGILCGGITEMKLPSCLIMNPKKNGLSLALKVTIPVVAATILSALLVGFIIFWHWQRISRKKNISMPSFDDHQFLRVSYAELFKATDGFSMANIIGVGSYGSVYKGLLEQIGKQVAVKVLNLQRRGASNSFISECQALRTIRHRNLLKLLSVCSSIDFEGNDFKALIYEFMVNGNLDKWLHAHNAREDGQEEESGNLKLIDRLNIAIDVATAIDYLHNGRSSIIIHGDIKPSNVLLDEQMTAHIGDFGLAKIVASISGEIQQYQSSSTAIKYGLGDPASKEGDVYSYGILLLEMFTAKRPIDESFKEDLNLHTYVERNISDRVMEIVDPRIEFEDGGESFKECILSVMRIGVECSREQPGERMKMGDVTSELTRIKGSYLKQNLQQGRRNAYSGGPSTSRY >Manes.16G112900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31852411:31858019:-1 gene:Manes.16G112900.v8.1 transcript:Manes.16G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLEHDYIGLSSEVPPMEGSDNFSNGGLNLKATELRLGLPGSESPERDNANSQLSIGVQSNNSGSSSCCNNNNNKSFVSGAKRGFSVAIHGGSANWVFSGSAGSEPDFSPRAANTGKVITGSDSVPVSKDGLPQSPKPVAQEKKNQVPGVNGHGVAPASKAQVVGWPPIRSFRKNTMASHSPKNDDDADGKVGSGCLYIKVSMDGAPYLRKVDLKTYGSYTELSSALEKMFSCFTIGQCGSHGVPGRDGLSESRLIDLLHGSEYVLTYEDKDGDWMLVGDVPWKMFTDSCRRLRIMKSSEAIGLAPRAMEKCKSRN >Manes.18G026200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2781534:2784222:-1 gene:Manes.18G026200.v8.1 transcript:Manes.18G026200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSPFSATVMVTILSFITWFIYSSFRTSRKACKKRALPEPAGAWPVIGHLRLLAGSQPPHVILGKLADKYGPIFTIKLGVHRAVIVSDWKIAKECFTSNDKAFANRPKGLAMEILGYDYSMIGFSPYGEYWRQIRKIVTLELLSNHRLEMLKHVRDAEVKAAIKGLYQEWIKNKSNNDKLKIEMKRWFWDITLNVILKIIVGKRYVEYANVGEGQESDAWREAMREFMELSGVFAVSDALPYLRWLDLGGVERKMKKVFKQLDPVIEEWLEERKQKKGASVTKGEEDFMEALLSILNDSKELSNRDVDTINKATCLALILAASDTTTITMTWTLSLLLNNRDVLKKAQNEIDILVGRQRQVKESDTQSLIYLQAIIKETFRLYPAVPLLVPHESMEETVINGYHIQPKTRLFINASKIHKDPSVWQDPEKFQPERFLTTHKDVDFKGQNFELIPFGSGRRICPGISFALQVLNLTLASFLHAFEVETLSESPIDMSESAGLTNSKATPVEVFVTPRLPAYLY >Manes.16G018500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1889219:1893058:-1 gene:Manes.16G018500.v8.1 transcript:Manes.16G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKILHLFYALLILHRFTACTSTDTITINQIIREGDLLISKQNKFALGFFSPGNSSYTYLGIWFHRVPLQTVVWVANRDNPINGSAGFLSINQYGSLVLYGNRDEKFPIWSTNVSVDLANTCVAQLFDSGNFVLFQGSRRIIVWQSFDHPTNTLLPGMRLGLDRRTGLNRFLTSWKSTDDPGIGDYSLKLNPVGSPTFFLYDGSKPYWRGPPWPLRIPDIYNYSFVNSEEEISFSYSHDDSFVLFQLMVHESGTLMWVSRRESDANWKEFWSASKYRCDSYGRCGANSVCNPKNVNIYECSCLPGYEPKFPRNWFPMRDGSGGCVRKRLKSSSVCGQGEGFVKVPQVKVPDTSTAVWVNMSMSRVDCEQECYKDCSCSAYASIKIPGKDVACLAWYGDLMDIVDLMDHSGYDAYVRVDAIELAAEIERSNGFLEMKGMLAFLVVSVSSAWFVIILFVYLRLRKRKKRVKNQPNRRLFDSINGSHYHKNTFDADDIQTSRSHTDLAFFNLSTIFAATDNFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLIAKLQHRNLVKLLGCCIQEEERILIYEYLSNGSLDLFLFDTTRSSFLNWSKRYEIVVGIARGILYLHQDSRWRIIHRDLKSSNILLDAEMKPKISDFGTARILEDDQIEHKTHRVVGTYGYMSPEYAVLGKFSVKSDVFSFGVILLEIISGKKSNGFHQEDPSLTLIGHVWELWQEDKAMEIVDSTLKESYNSHEVLKCIHIALLCVQEDAVDRPTISAIIVMLDSEISLPCPKQPAFIFRTSCNSSPIWEGPSSVNEMSITETVAR >Manes.03G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23719437:23725590:-1 gene:Manes.03G112600.v8.1 transcript:Manes.03G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKEKKANVSGKPKHSLDTNRSNSTNDGSSRSAATVRRLKMYNTKPKRDSKGKVLKHDLQSKELPNTRIQPDRRWFGNTRVVNQKELEIFREELQTRMSSSYNVILKERKLPLSLLNDHQKQARVHLLDTEPFEDAFGPKRKRKRPKLLAADYESLLKKADGSQDAFELKHGTNASAESNEGDGFRDLVRHTMFEKGQSKRIWGELYKVVDSSDVVVQVLDARDPRGTRCYHLEHHLKEHCKHKHMILLLNKCDLVPAWATKGWLRTLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNSDSETDIVLKGVVRVTNLHDAAEHIGEVLNRVKKEHLERAYKIKDWDDENDFLVQLCKLTGKLLKGGEPDLMTAAKMVLHDWQRGRIPFFVPPPRQQEDNSLEEPNADGINEETAGDDNQASAAFRAIASVISSQQQKNVPVQRDLFSEKELRGDGANQCLTAECEQPEQPSGTDDEMDDELPNEGGKINEKVEATES >Manes.09G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6736206:6737526:1 gene:Manes.09G034800.v8.1 transcript:Manes.09G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMKEGAANAAASAKAGMEKTKASIQEKGEKMTAHDPMEKEMATEKKEERKAEAELRKQEAREENAAAKQAARAGGHTTYTTGAPGAAYGGATGHDPRV >Manes.05G072800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6005920:6008030:-1 gene:Manes.05G072800.v8.1 transcript:Manes.05G072800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHELYTLLILALIVSYAPVVIPSSFSYPAVFNFGDSNSDTGGLVAGVAFPVGPPNGQTYFQEPAGRFCDGRLIIDFLMEAMDHPFLSPYLDSVVDPNFQTGCNFATGGSTVLPANQYSRSLFSFGIQVAQFIRFKARVLELLAKDKNLEKYLPLEHFFKQALYVFDVGQNDLDGALYSRSERQMRAYIPGILSEFETGLQKLYNEGARNFWIHGTGPLGCLPRIIATFGRKASSLDQIGCVNAHNRVANAFNNQLHDLSTEFRSQFPDANVTYVDIFSIKWNLISNFSQYGFKQPLAACCGYGEPPLNFDNRIACGETKNLNGSTVTASPCNNTAEYVNWDGNHYTDAANRYVSDQILSGNYSDPPLTVNGSFNYKPKFINYKMLL >Manes.13G076502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19822898:19826554:-1 gene:Manes.13G076502.v8.1 transcript:Manes.13G076502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTKRRALLKVIVLGDSGVGKTSLMNQYVYKKFSHQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNVLKSFETLNNWHEEFLKQADLVDPDAFPFIVIGNKIDIDGGNSRAVSHKKATDWCASKGNLPYFETSAKENYNVDEAFLCVAKVALVTEHEHDHDMSCSYFQGISETVSEVEQRGGCAC >Manes.13G076502.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19822898:19826554:-1 gene:Manes.13G076502.v8.1 transcript:Manes.13G076502.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTKRRALLKVIVLGDSGVGKTSLMNQYVYKKFSHQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNVLKSFETLNNWHEEFLKQVSHKKATDWCASKGNLPYFETSAKENYNVDEAFLCVAKVALVTEHEHDHDMSCSYFQGISETVSEVEQRGGCAC >Manes.13G076502.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19822898:19826555:-1 gene:Manes.13G076502.v8.1 transcript:Manes.13G076502.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTKRRALLKVIVLGDSGVGKTSLMNQYVYKKFSHQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNVLKSFETLNNWHEEFLKQADLVDPDAFPFIVIGNKIDIDGGNSRAVSHKKATDWCASKGNLPYFETSAKENYNVDEAFLCVAKVALVTEHEHDHDM >Manes.13G076502.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19822898:19826554:-1 gene:Manes.13G076502.v8.1 transcript:Manes.13G076502.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTKRRALLKVIVLGDSGVGKTSLMNQYVYKKFSHQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNVLKSFETLNNWHEEFLKQVSHKKATDWCASKGNLPYFETSAKENYNVDEAFLCVAKVALVTEHEHDHDIYFQGISETVSEVEQRGGCAC >Manes.13G076502.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19822898:19826576:-1 gene:Manes.13G076502.v8.1 transcript:Manes.13G076502.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTKRRALLKVIVLGDSGVGKTSLMNQYVYKKFSHQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNVLKSFETLNNWHEEFLKQADLVDPDAFPFIVIGNKIDIDGGNSRAVSHKKATDWCASKGNLPYFETSAKENYNVDEAFLCVAKVALVTEHEHDHDIYFQGISETVSEVEQRGGCAC >Manes.04G135600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33590597:33595778:1 gene:Manes.04G135600.v8.1 transcript:Manes.04G135600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILERLSSIALSGLEQEARLVMDVEGEIRKLTYNLESIQAVLEDAEIRQRKEASVKIWLDRLKDISYDMDDALDEWSTAIYKSQIEGSQQALEPKRKVCSSVPFARFLRKEVGPRHDIACKIKELNERLDDIAREKDRYNFSFRKSNEKLERQITASVIDADEVKGRENDKNAIIKKLLTQRRQTPNPHIISIVGMGGIGKTTLAKLVYNDPQVASHFEKRIWVCVSDPFDEVRIAKAILESLRGTATNLVELQTVLQQIQQSIKGERFLLVLDDVWTEDPMKWENLMHSFKCGKRGSRILITTRKENVATVIGCSDIFPLGQLSMEECWSIFSQIAFFGKTSLERDRLEDIGKRIVKKCKGLPLAAKTLGSLLRFRKFREEWQSVLDSEVWELEEAEKDILGALWLSYYDLPMPLRQCFLYCAIFPKDYSIERYRLIELWMAQGYLKATKTKDMEIVGEEYFQNLVVRSFFQDFESHQGRIIRCKMHDIVHDFAQFLTQNECFDMDINGAEESTIDRSSKEPRHSMIVLAEKAPLPASLGSLKKLRTLLLMSHGYSEIRAVLPNLLNQLTCLRSLKLSLCGIREIPPEISKLIHLRFLDLSMNGLKEFPETICELYNLQTLDVHWCHQLKLPPGIGNLINLRHLHHDKTDSVLPKGIGKLSSLRKINEITVGHNDEEAFSLRDLKDLNNLRGFLWIQGLGNIADVGEAKQAQLKKKNHVINMRVDFFRDKEGTRGIHDQQLMEGLEPSSSLDELIIVNYQGTTMAPNWMLSLTNLRELSMHDCGNCEHLPPNLGKLPALESLFFKGMEVKKVGAEFMGMKTQIAKEASSSSSCSSVVLFPRLVKLTFYVMPKLEEWNDGMISGDEDTIMPRLHHLKLGHCSKLRKLPDKLLRKTTLQELIIDRCSILSPHYLKGTGKYWSSISHIPNIKIDWKVQQGTDIY >Manes.04G135600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33590597:33595759:1 gene:Manes.04G135600.v8.1 transcript:Manes.04G135600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILERLSSIALSGLEQEARLVMDVEGEIRKLTYNLESIQAVLEDAEIRQRKEASVKIWLDRLKDISYDMDDALDEWSTAIYKSQIEGSQQALEPKRKVCSSVPFARFLRKEVGPRHDIACKIKELNERLDDIAREKDRYNFSFRKSNEKLERQITASVIDADEVKGRENDKNAIIKKLLTQRRQTPNPHIISIVGMGGIGKTTLAKLVYNDPQVASHFEKRIWVCVSDPFDEVRIAKAILESLRGTATNLVELQTVLQQIQQSIKGERFLLVLDDVWTEDPMKWENLMHSFKCGKRGSRILITTRKENVATVIGCSDIFPLGQLSMEECWSIFSQIAFFGKTSLERDRLEDIGKRIVKKCKGLPLAAKTLGSLLRFRKFREEWQSVLDSEVWELEEAEKDILGALWLSYYDLPMPLRQCFLYCAIFPKDYSIERYRLIELWMAQGYLKATKTKDMEIVGEEYFQNLVVRSFFQDFESHQGRIIRCKMHDIVHDFAQFLTQNECFDMDINGAEESTIDRSSKEPRHSMIVLAEKAPLPASLGSLKKLRTLLLMSHGYSEIRAVLPNLLNQLTCLRSLKLSLCGIREIPPEISKLIHLRFLDLSMNGLKEFPETICELYNLQTLDVHWCHQLKLPPGIGNLINLRHLHHDKTDSVLPKGIGKLSSLRKINEITVGHNDEEAFSLRDLKDLNNLRGFLWIQGLGNIADVGEAKQAQLKKKNHVINMRVDFFRDKEGTRGIHDQQLMEGLEPSSSLDELIIVNYQGTTMAPNWMLSLTNLRELSMHDCGNCEHLPPNLGKLPALESLFFKGMEVKKVGAEFMGMKTQIAKEASSSSSCSSVVLFPRLVKLTFYVMPKLEEWNDGMISGDEDTIMPRLHHLKLGHCSKLRKLPDKLLRKTTLQELIIDRCSILSPHYLKGTGKYWSSISHIPNIKIDWKVQQGTDIY >Manes.04G135600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33591089:33595759:1 gene:Manes.04G135600.v8.1 transcript:Manes.04G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVISMILERLSSIALSGLEQEARLVMDVEGEIRKLTYNLESIQAVLEDAEIRQRKEASVKIWLDRLKDISYDMDDALDEWSTAIYKSQIEGSQQALEPKRKVCSSVPFARFLRKEVGPRHDIACKIKELNERLDDIAREKDRYNFSFRKSNEKLERQITASVIDADEVKGRENDKNAIIKKLLTQRRQTPNPHIISIVGMGGIGKTTLAKLVYNDPQVASHFEKRIWVCVSDPFDEVRIAKAILESLRGTATNLVELQTVLQQIQQSIKGERFLLVLDDVWTEDPMKWENLMHSFKCGKRGSRILITTRKENVATVIGCSDIFPLGQLSMEECWSIFSQIAFFGKTSLERDRLEDIGKRIVKKCKGLPLAAKTLGSLLRFRKFREEWQSVLDSEVWELEEAEKDILGALWLSYYDLPMPLRQCFLYCAIFPKDYSIERYRLIELWMAQGYLKATKTKDMEIVGEEYFQNLVVRSFFQDFESHQGRIIRCKMHDIVHDFAQFLTQNECFDMDINGAEESTIDRSSKEPRHSMIVLAEKAPLPASLGSLKKLRTLLLMSHGYSEIRAVLPNLLNQLTCLRSLKLSLCGIREIPPEISKLIHLRFLDLSMNGLKEFPETICELYNLQTLDVHWCHQLKLPPGIGNLINLRHLHHDKTDSVLPKGIGKLSSLRKINEITVGHNDEEAFSLRDLKDLNNLRGFLWIQGLGNIADVGEAKQAQLKKKNHVINMRVDFFRDKEGTRGIHDQQLMEGLEPSSSLDELIIVNYQGTTMAPNWMLSLTNLRELSMHDCGNCEHLPPNLGKLPALESLFFKGMEVKKVGAEFMGMKTQIAKEASSSSSCSSVVLFPRLVKLTFYVMPKLEEWNDGMISGDEDTIMPRLHHLKLGHCSKLRKLPDKLLRKTTLQELIIDRCSILSPHYLKGTGKYWSSISHIPNIKIDWKVQQGTDIY >Manes.01G099200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30022817:30025752:-1 gene:Manes.01G099200.v8.1 transcript:Manes.01G099200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCLAGSVGFNPTQKLNQVAADDSDDELSSVISREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILALQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGSLRFPRKNYFLLWMVESKNDDGHKSHNTFCEDRQPLWSSDSNLAPRNESSHNNIRRGHHPEQSRMDHNHNHSNIILDFGRIKSSLQKSLFFFIHLTAKFPLVIIFLLIILYAIPASAAILALYILITVLFAIPSFLILYFAYPSLDWLVREIIT >Manes.01G099200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30022817:30025769:-1 gene:Manes.01G099200.v8.1 transcript:Manes.01G099200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCLAGSVGFNPTQKLNQVAADDSDDELSSVISREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILALQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGSLRFPRKNYFLLWMVESKNDDGHKSHNTFCEDRQPLWSSDSNLAPRNESSHNNIRRGHHPEQSRMDHNHNHSNIILDFGRIKSSLQKSLFFFIHLTAKFPLVIIFLLIILYAIPASAAILALYILITVLFAIPSFLILYFAYPSLDWLVREIIT >Manes.01G099200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30022817:30025769:-1 gene:Manes.01G099200.v8.1 transcript:Manes.01G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCLAGSVGFNPTQKLNQVAADDSDDELSSVISREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILALQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGSLRFPRKNYFLLWMVESKNDDGHKSHNTFCEDRQPLWSSDSNLAPRNESSHNNIRRGHHPEQSRMDHNHNHSNIILDFGRIKSSLQKSLFFFIHLTAKFPLVIIFLLIILYAIPASAAILALYILITVLFAIPSFLILYFAYPSLDWLVREIIT >Manes.14G082600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6881613:6886429:1 gene:Manes.14G082600.v8.1 transcript:Manes.14G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDETDFWLAVLFVFLILITGTVVAGDSLDRDREVLLNLKSFLELKNPINRGQYVQWDHLNTNPCNWSGISCTDDGSGSGSRVTGIDLTYNNISGDLYNNFSSLTALSHLDLSQNYIKGSIPSDLSNCRNLVYLNLSHNMLDGELNLTGLSNLRILDLSVNRILGEIQTSFPAICNNLVVVNISGNNFTGRIDNCFDGCSSLQHLDLSSNFFSGGMWSGFSKLKEFSVSENFLSGEVLGSSLAANCSLENFDLSGNNFTAEFPKEISNCRNLKILNVWGNKFTGKIPSEIGSISGLEALFLGNNSFSPVIPESLLNLSSLVFLDLSRNNFGGDIQEIFGRFTQVKFLVLHANSYSGGLYSSGILRLPNVVRLDLSYNNFSGPLPVQISQMPSLKYLILAYNHFNSSIPQEYGNLKELQALDLSFNSLTGQIPPSFGNLSSLLWLMLANNSLTGEIPRELGNCSSLLWLNLANNKLTGNIPAELVNIGSNPMPTFWSNQQNEGIIAGSGECLAMKRWIPADYPPFSFVYTILTRKSCRSIWDRILKGFGLFPVCAAGSTVRTLEISGYLQLSGNQLSGVVPQDIGKMRSFSLLHLGSNEINGTLPPQIGQLPLVVLNLSKNGFSGEIPGTIGNIKCLQNLDLSYNNFSGTFPVILNDLSELSKFNISYNPIISGIIPSTGQLATFEKDSYFGDPLLILPKFIKNSSDVPPKNRKIGRNKRDNIRWTLFLVSLTLTLAFLVCGVLSVVVWMLGKSPPDSPGYLLQETKYRHDLASSSGSSSPWLSDTVKVIRLNKTAFTHADILKATGNFSESRIIGKGGFGTVYRGVLPGGIHVAVKKLQREGTEGEREFRAEMEVLSGNGFGWPHPNLVTLYGWCLDGSEKILVYEYMEGGSLEDLVSDRMRLTWRRRIDIAIDVARALIFLHHECYPAIVHRDVKASNVLLDKDGKARVTDFGLARFVDAGDSHVSTIVAGTIGYVAPEYGQTWQATTKGDVYSFGVLAMELATGRRAVDGGEECLVEWARRVLGNVPNGVGRAVIPVMLLGSGLAEGAVEMCELLRIGIRCTAEAPQARPNMKEVLSMLIKISGTRGDFICGSSPPLPLF >Manes.10G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4725373:4729500:1 gene:Manes.10G044600.v8.1 transcript:Manes.10G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSDTSKARSLEETPTWAVAVVCFVMIVISILIEHVIHMIEKWFKKIHKPALVEALEKIKAELMLMGFISLLLTALQDPISEICISQSVASTWHPCKSQKESKTETDSTDNRRRLLQFLDAGGSTRRYLAGKSEDKCAENGKVAFVSAYGIDQLHYFIFVLAVVHVLYCIITYILGRTKMRKWKAWERETKTLEYQYHNDPERFRFARDTSFGRRHLNLWSRSTVFVWIVCFFRQFFGSVTKIDYLTLRHGFIMAHLAPGSETRFDFQKYINRSLEDDFKVVVGISPVIWFIACLLLLTSTHGWYAYLWLPFIPLIIILVVGAKLQVIITQLGLRIQERGDVVKGAPVVQPGDDLFWFGRPRFILFLIHLVLFQNAFQLAFFAWSVWKIGTDSCYHDRTEDIVIKITMGVIIQFLCSYVTLPLYALVTQMGSSMRSTVFNDRVAAALKNWHQAAKKHAKHSKHSASQTPMSSRPATPTHGMSPIHLLHNYRSSTAPNSLQASPRNHNYNVDNWDPEALSSVHNQEADELEHIESPDIRDHDIEVEEQNSNSIMQLPPAPGSIRTQHELGVSLREFTFRK >Manes.09G116500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31918660:31922251:-1 gene:Manes.09G116500.v8.1 transcript:Manes.09G116500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRANHNQWALYEPFKTSFTLNQSPPPFPPPLTSYSSSTTLRITMSLLLRSGSELHLTAPPPSPIPTGKGSRCAANEILCDYLGKSLNVPELSLPDPQLPLNDEAHQIPAEIDYRSLELNDCETIDRLLRSAREFGAFMITCHEICAEKLQSLANEADRVFGDLEQEDLGFRGKSFERINNKERIAWARSGKGRIKYEPEYFVPERCRDFSEKMENIAIKLDYIAELLGKVFVENIARTQFGKRIQGKESALSLCRYDHNDNYMKQNPSSPNERNSKTCDFTFCLHLPATPSRFLLPSAQGRLSLDAGPDTILVVVGHRIEDWSMGDFICACEEVACVPRFQGSQPPLFIELKCFSLNFDPNSGKNCKTISIRDQILFVLFIAFLYRSFTFLFS >Manes.09G051100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8575910:8580395:-1 gene:Manes.09G051100.v8.1 transcript:Manes.09G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSRAAMEELLPGKIRKRGCSSSASSSSSIIQNYRFKRAILVGKRGGSSTPVPTWKLMGAARTPSSALRAMDSPKYAASQNGVVKGKLHQQQQQTAPVSARKLAATLWEMNEMPSPKMKEVVGSEERRLRKEARARERAMRSVHSGSLPPHLSDPSHSPVSERMDRSGTGSRNRRASSISRRLRLTDHCVGAFDSISNASLMEIETRSRAQTPTGSTVGAKPRLKDVSNALTTSKELLKIINRVWGNEDRPSSSMSLISALHAELERARLQVNHLIQEQRSDQNDMNYLMKCFAEEKAAWKDKEQKVVEAAIESIAGELEVEKKLRRRLESLNKKLGKELAETKSSLMKAVKELENEKRARVVMEQVCDELARDIGEDKAEVEELKTESAKLCADFEKEREMMQLADVLREERVQMKLSEAKYQLEEKNAAVDKLRSQLEAFIGPKRTKEKGRAAYHVNEEIAAYLNRARSVSHQNEVNEDDGGVVDGEECEDDSADSDLHSIELNMDNNNKSYKWTYPSGTPRDLRKAAIDEEEIKGRKSTSSKVPRKSTSLQRSTSDGVEWIPQNERLSVTGDGVDWGGLPELERHLQGKSYADEMHGYQTVKGLRDYLLSTSRTDSARGYASPTRQVAQRLLRDPSNAAQERPPTAPGNASKSRLGEGINGRKSKW >Manes.09G051100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8576326:8580340:-1 gene:Manes.09G051100.v8.1 transcript:Manes.09G051100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSRAAMEELLPGKIRKRGCSSSASSSSSIIQNYRFKRAILVGKRGGSSTPVPTWKLMGAARTPSSALRAMDSPKYAASQNGVVKGKLHQQQQQTAPVSARKLAATLWEMNEMPSPKMKEVVGSEERRLRKEARARERAMRSVHSGSLPPHLSDPSHSPVSERMDRSGTGSRNRRASSISRRLRLTDHCVGAFDSISNASLMEIETRSRAQTPTGSTVGAKPRLKDVSNALTTSKELLKIINRVWGNEDRPSSSMSLISALHAELERARLQVNHLIQEQRSDQNDMNYLMKCFAEEKAAWKDKEQKVVEAAIESIAGELEVEKKLRRRLESLNKKLGKELAETKSSLMKAVKELENEKRARVVMEQVCDELARDIGEDKAEVEELKTESAKLCADFEKEREMMQLADVLREERVQMKLSEAKYQLEEKNAAVDKLRSQLEAFIGPKRTKEKGRAAYHVNEEIAAYLNRARSVSHQNEVNEDDGGVVDGEECEDDSADSDLHSIELNMDNNNKSYKWTYPSGTPRDLRKAAIDEEEIKGRKSTSSKVPRKSTSLQRSTSDGVEWIPQNERLSVTGDGVDWGGLPELERHLQGKSYADEMHGYQTVKGLRDYLLSTSRTDSARGYASPTRQVAQRLLRDPSNAAQERPPTAPGNASKSRLGEGINGRKSKCQLTIKAR >Manes.02G129300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9781625:9784039:-1 gene:Manes.02G129300.v8.1 transcript:Manes.02G129300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFAGQGFAFTISPSAEFTGAWAIGYLGLFNLTNKGLSSNHVFAVELDTILTPEFNDINDNHVGIDVNGLISNVSAPVAYFPDKERENKSLELRSGNPRPLLSTAIDLSPVFMDSMYVGFSSSTGTLASYHYILGWSFNRSGPAQSLDVSKLPSLPPKRESSKKLDLRIMVPSVTASIVLIIASGVVYRRKRFEELHEDWEQEYGPQRFSYKDLYKATRGFKDKEQLGFGGFGSVYKGVLPSSNTQVAVKKVSHDSQQGIKEFVSEIVSMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLFQNDTPNLNWIRRYQILRGVASAMLYLHEEWEQVVLHRDVKASNVMLDAELNGRLGDFGLAKFYDHGSIPQTTCVVGTVGYLAPEVSRTGRVTTSSDVFAFGILMLEVACGRRPIEPERPPQEALLLDWVLECWKRGAILETSDPRLEGRYMAEEMEMILKLGLLCTHATPAARPITRQVMQYLDKNAPLPDILLDGPGIGLVTVSQEAAGDFYSSFPASNDYSVLSITTSILSYGR >Manes.15G115000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9129720:9138651:-1 gene:Manes.15G115000.v8.1 transcript:Manes.15G115000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIISSSSTYDSSQILFPQLCPCNNSTHTYPSISSCKRRRKKLHPKFSRPKFSVRACIFPTNDSRNHNANSIRLATRIRNILFKQIANELEKQEDGLQENSSSSSLSSSIQMGSNFTGFQEDPMLDKLRTQLGVLHPIPSPPINRNIVGFFVFFFFVGVVFDKLWTSRKTAKMVSGGGQRGPWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIIGLLQPVIDNLKKPDNVERVEIRQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARVLLMLSLKFGIIPIVVPVGIRDFDIDGELWVKVRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKAVGPVGNDFKTGEMQEGNSDFVGELSVTLVDAQKLSYVFYGKTDPFVVLSLGDQTIRSKKNSQTTVIGPPGQPIWNQDFHMLVANPRKQKLYIQVKDSLGFTDLTIGRGEVDLGSLQDTVPTDRIVVLRGGWGPFRKGSYGEILLRLTYKAYVEDEDDDKTAVESIDTDASDDELSDSEESNATFKSTGTDPYGGSDKESFMDVLAALIVSEEFQGIVASEAGSNKVLDGVSPAASRGPNAESIPSDPNNSSEGSGGSVVVWLAVLTSILVVIAVNMDGTSFFNP >Manes.15G115000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9129720:9138651:-1 gene:Manes.15G115000.v8.1 transcript:Manes.15G115000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIISSSSTYDSSQILFPQLCPCNNSTHTYPSISSCKRRRKKLHPKFSRPKFSVRACIFPTNDSRNHNANSIRLATRIRNILFKQIANELEKQEDGLQENSSSSSLSSSIQMGSNFTGFQEDPMLDKLRTQLGVLHPIPSPPINRNIVGFFVFFFFVGVVFDKLWTSRKTAKMVSGGGQRGPWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIIGLLQPVIDNLKKPDNVERVEIRQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARVLLMLSLKFGIIPIVVPVGIRDFDIDGELWVKVRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKAVGPVGNDFKTGEMQEGNSDFVGELSVTLVDAQKLSYVFYGKTDPFVVLSLGDQTIRSKKNSQTTVIGPPGQPIWNQDFHMLVANPRKQKLYIQVKDSLGFTDLTIGRGEVDLGSLQDTVPTDRIVVLRGGWGPFRKGSYGEILLRLTYKAYVEDEDDDKTAVESIDTDASDDELSDSEESNATFKSTGTDPYGGSDKESFMDVLAALIVSEEFQGIVASEAGSNKVLDGVSPAASRGPNAESIPSDPNNSSEGSGGSVVVWLAVLTSILVVIAVNMDGTSFFNP >Manes.17G040650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23238033:23239448:1 gene:Manes.17G040650.v8.1 transcript:Manes.17G040650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQITSAPLSAKMVFLKSNLHSKYPLISTSFLFTLFLIYIPSCIAIRPYYLSGPFPLQPVNTIIFTHKNGGANGVGMAKPRNGFGKDRFIGKQHVHVQSIRPTVFNDKNLGANGVGVVKLGKQQPVKPAVFNDNSGGANGVGMVRLGNGFGKGHDISEQHLQPIKPTVLKDKNSGANCVIAVVRPANGFIKGHVFGERHLQTIKTTVFNYKNSGASAIGMVRPGNEFGKGRRISVFGKDSHPRKVHPSVVKHNYHAATSGLNRFFKSDSGYKRKLENVVVDHLADHTGNHFDMNKSFGSPRSEFGERRRSYGVGGDWYGGKHEQQLSEGLPIRRKAGEVKRSVSPPAGIGK >Manes.12G049543.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3931360:3932272:1 gene:Manes.12G049543.v8.1 transcript:Manes.12G049543.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSKRSRAHSHSTTPNLQNLRRVTTQTWKQTPKNTTTKNRKKHKHISFESEPQTEVPKLYKNNKIPSLSGRKDQRLTLPFPFSLLSLRSRFLSNKPYNWLVILTIINTYLLGCSILSE >Manes.02G138500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10588645:10592994:1 gene:Manes.02G138500.v8.1 transcript:Manes.02G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRGDSGDAPENEKGDQAEGSNNPDDAPNENSTTPPPKNVPPPSPAAAGKTAPIGPVLGRPMEDIKSVYTMGKELGRGQFGVTHLCTLKATGEQFACKTIAKRKLVNKEDVDDVKREVQIMHHLTGQPNIVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIIHTCHSMGVIHRDLKPENFLLLNKNEDAPLKATDFGLSVFYKPGEVFKDIVGSAYYIAPEVLKRKYGPEADIWSVGVMLYILLSGVPPFWAESEHGIFNSILRGHVDFASDPWPSISPQAKDLVRKMLNSDPKQRLTAIQVLSHPWIKEDGEAPDTPLDNAVLSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITLEELKQGLAKQGTKLSEYEVKQLMEAADADGNGIIDYDEFITATMHMNRMDREEHLYTAFQHFDKDNSGYITTEELEQALRDYGMHDGREIKEIISEVDADNDGRINYDEFVAMMRKGNPDANPKKRRDDIFV >Manes.15G137800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11136071:11138528:1 gene:Manes.15G137800.v8.1 transcript:Manes.15G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSNLPSGFFLILSLFAVLVSGSRRTLPIIPFDEGYTQLFGDDNLVIHKDGKKVHLSLDERTGSGFVSQDLYLHGYFSASIKLPPDYTAGVVVAFYMSNGDIFENNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSIGREERYSLWFDPSDDFHQYSILWTNSQIIFYVDNVPIREVKRTVSMGGDFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVTEFSDFVLHGCAVDPVEPTSKCDIAESSEAIPTDITPLQRIKMDSFRTKYMTYSYCYDRARYKVPPSECVISLQEAERLKTFDPVTFGGGRRHHGKRHRRSRSSHAEAISI >Manes.07G033900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3718649:3719533:1 gene:Manes.07G033900.v8.1 transcript:Manes.07G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYHFATLLLFCFIHPLLAALVQEQPLVLKYHNGVLLKGDVTVNLIWYGKFTPIQRSIIVDFISSLNSNRAPLPSTSSWWKTTEKYRLGSCSLNVGRQILHEEYTLGKVLKTNHLLALASKTNFAIDSINVVLTAKDVAVDGFCMSRCGTHGSSRGSVRGTYIWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLANTVTNPFNSGYFQGPPTAPLEAVSACTGMFGSGSYPGYPGKVLVDKATGASYNAHGVNGRKYLLPAMWDPQTSACKTLV >Manes.03G024600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2005058:2010550:1 gene:Manes.03G024600.v8.1 transcript:Manes.03G024600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKLSLKAVLMLADQMITRIEYVHSRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDAATNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYVFDWTIIKYQQAQKSRSQPRSSPVPGASSSHVTHVAPTDMENHQGQMLLTYYANFNGKQYCSPFL >Manes.03G024600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2005056:2010550:1 gene:Manes.03G024600.v8.1 transcript:Manes.03G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKLSLKAVLMLADQMITRIEYVHSRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDAATNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYVFDWTIIKYQQAQKSRSQPRSSPVPGASSSHVTHVAPTDMENHQGSNIAPHSSDIMRSSGPVRMQLKSAAGKNLSSDNHGYKNIGTEGHIPSTSFSLAGASKRNAPKPVFPTEAANPGQGHSSKAGPSNSWISSLQRIHSAK >Manes.03G024600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2005056:2010550:1 gene:Manes.03G024600.v8.1 transcript:Manes.03G024600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKLSLKAVLMLADQMITRIEYVHSRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDAATNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYVFDWTIIKYQQAQKSRSQPRSSPVPGASSSHVTHVAPTDMENHQGSNIAPHSSDIMRSSGPVRMQLKSAAGKNLSSDNHGYKNIGTEGHIPSTSFSLAGASKRNAPKPVFPTEAANPGQGHSSKAGPSNSWISSLQRIHSAK >Manes.03G024600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2005139:2010550:1 gene:Manes.03G024600.v8.1 transcript:Manes.03G024600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKLSLKAVLMLADQMITRIEYVHSRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDAATNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYVFDWTIIKYQQAQKSRSQPRSSPVPGASSSHVTHVAPTDMENHQGSNIAPHSSDIMRSSGPVRMQLKSAAGKNLSSDNHGYKNIGTEGHIPSTSFSLAGASKRNAPKPVFPTEAANPGQGHSSKAGPSNSWISSLQRIHSAK >Manes.03G024600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2005139:2010550:1 gene:Manes.03G024600.v8.1 transcript:Manes.03G024600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVGGKYKLGRKIGSGSFGEIFLATHIDTFEIVAVKIENSKTKHPQLLYEAKLYNLLQGGSGIPSIKWSGVDGEDNVLVLDLLGPSLEDLFVYCGRKLSLKAVLMLADQMITRIEYVHSRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDAATNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYVFDWTIIKYQQAQKSRSQPRSSPVPGASSSHVTHVAPTDMENHQGSNIAPHSSDIMRSSGPVRMQLKSAAGKNLSSDNHGYKNIGTEGHIPSTSFSLAGASKRNAPKPVFPTEAANPGQGHSSKAGPSNSWISSLQRIHSAK >Manes.10G139100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30666981:30677452:1 gene:Manes.10G139100.v8.1 transcript:Manes.10G139100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPLSTSKFTAILLLFLLPVALSASRHEAIDAVLNRLDSKRSSPSVQESAAKGVLRRLLPSHLHSFEFKIVSKDVCGGHSCFLIKNYNKSSQNGPEIIIKGTTAVELASGLHWYIKYWCGAHISWDKTGGIQINSIPKPGSLPLVKDEGVVIQRPVPWNYYQNVVTSSYSYVWWNWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFMNLNVSSEDLNDFFGGPAFLAWARMGNLHAWGGPLSQNWLDQQLSLQKQILSRMLELGMTPVLPSFSGNVPAALKKIFPSANITRLGDWNTVNKNPRWCCTYLLDPSDPLFVEIGEAFIRQQIKEYGDVTDIYNCDTFNENIPPTNDSDYISSLGAAVYKAMSKGDEDAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWRTSSQFYGTPYVWCMLHNFGGNIEMYGILDAISSGPIDAHISENSTMVGVGMCMEGIEHNPVVYELMSEMAFRSEKVRVLEWLRNYSRRRYGKAVNQVEAAWEILYRTIYNCTDGIADHNRDFIVKFPDWDPSLNSGSDTSKEDTKHIFLSLPRTRRFLFQQSSSKLPQAHLWYSTQEVVNALQLFLDAGNDLNRSLTYRYDLVDLTRQVLSKLANQVYIDAIIAFQRKDADALNLHSQKFLQLINDIDVLLASDDNFLLGTWLESAKELGVNPVEMKQYEWNARTQVTMWYDTTKTNQSQLHDYANKFWSGLLKDYYLPRASTYFDHLAKSLKENENFNIVGWREEWIAFSNKWQVSTELYPVKARGDALAISKALYKRYFG >Manes.10G139100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30666981:30677452:1 gene:Manes.10G139100.v8.1 transcript:Manes.10G139100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQGINLPLAFTGQEAIWQKVFMNLNVSSEDLNDFFGGPAFLAWARMGNLHAWGGPLSQNWLDQQLSLQKQILSRMLELGMTPVLPSFSGNVPAALKKIFPSANITRLGDWNTVNKNPRWCCTYLLDPSDPLFVEIGEAFIRQQIKEYGDVTDIYNCDTFNENIPPTNDSDYISSLGAAVYKAMSKGDEDAVWLMQGWLFYSDSSFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWRTSSQFYGTPYVWCMLHNFGGNIEMYGILDAISSGPIDAHISENSTMVGVGMCMEGIEHNPVVYELMSEMAFRSEKVRVLEWLRNYSRRRYGKAVNQVEAAWEILYRTIYNCTDGIADHNRDFIVKFPDWDPSLNSGSDTSKEDTKHIFLSLPRTRRFLFQQSSSKLPQAHLWYSTQEVVNALQLFLDAGNDLNRSLTYRYDLVDLTRQVLSKLANQVYIDAIIAFQRKDADALNLHSQKFLQLINDIDVLLASDDNFLLGTWLESAKELGVNPVEMKQYEWNARTQVTMWYDTTKTNQSQLHDYANKFWSGLLKDYYLPRASTYFDHLAKSLKENENFNIVGWREEWIAFSNKWQVSTELYPVKARGDALAISKALYKRYFG >Manes.01G179200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35866390:35867380:1 gene:Manes.01G179200.v8.1 transcript:Manes.01G179200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALSPCFHSSRVSSVTLIFCEGTTRILTGKHIAGEIMFENQDKIVCHADSFFIGHPLPSLAFDDELIPGQTYFVLPIDRISCTHVLSAASLAALTRNSSSSPRPAPISFGACDPFQYVRAANGRILVKVAPEFIISLLKTSKEEEENDSSFLCSTPELKKHYQQLVGSREQTWSPKLETISEYKIKYPPRRLLGLEWKLKQENDA >Manes.10G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31910617:31917362:-1 gene:Manes.10G152000.v8.1 transcript:Manes.10G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFRSVSLLSRLRSRAAQQSNLSNSVRWLQMQSSSDLDLHSQLKELIPEQQERLKKIKTEHGNVKLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPGAKPGGEPLPEGLLWLLLTGKVPSKEQVNALSKELCDRAAVPDYVYKAIDALPVSAHPMTQFASGVMALQVQSEFQKAYDKGIPKAKYWEPTYEDSLNLMARLPIVASYVYRRIYKDGKIIPLDDSLDYGGNFSHMLGFDSPQMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPNDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYFTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMEWLENYCKKAASS >Manes.02G197700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16411365:16417657:1 gene:Manes.02G197700.v8.1 transcript:Manes.02G197700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLITEISFSAANPSSYTLTEIWPFAAINGETGGGGLGLRMGNLVGGPSELDGSVEESTVTEQSVGGGGGGSGRKRRDLSLEDETSNMVSTTSSGNEFNNSSVKRMKVSGYRNENVNSNVEIEASSAAKEKSAEQNIKPSELPKQDYIHVGARRGQATDSHSLVERARRENISERMKILQDLVPGCIKIIGKALVLDEIINYAQSLQRQVEFLSMKLEAVNSRMNVNPTTEGFQLKDKFTILIWC >Manes.02G197700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16411365:16417657:1 gene:Manes.02G197700.v8.1 transcript:Manes.02G197700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLITEISFSAANPSSYTLTEIWPFAAINGETGGGGLGLRMGNLVGGPSELDGSVEESTVTEQSVGGGGGGSGRKRRDLSLEDETSNMVSTTSSGNEFNNSSVKRMKVSGYRNENVNSNVEIEASSAAKEKSAEQNIKPSELPKQDYIHVGARRGQATDSHSLVERIIGKALVLDEIINYAQSLQRQVEFLSMKLEAVNSRMNVNPTTEGFQLKDKFTILIWC >Manes.04G083301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28455415:28456783:-1 gene:Manes.04G083301.v8.1 transcript:Manes.04G083301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEPATTVANVKVRKTFPRKAATVPALILRITGSLITMVMLLWAIHSGFKLVTNSSRYTSYTDWAFHIGALTMIFGFLFLILGIPILADLFLNLSEQLQEEEAGIHKAWEAKTISKVIAGVFMGLIIMIVMWWAIHTGIRLTKEHKRDSKYHLLTSSIGVVTIVFGLVYFIIGLGIIEELVVDLFDHLQQKERKGNLYLTRLKQ >Manes.07G002120.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:489280:495135:1 gene:Manes.07G002120.v8.1 transcript:Manes.07G002120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYATIFHWDTPQALEDKYGGFLSSEIVDDFYDYADFCFKTFGDRVKYWITINEPWSLASFAYDSGDHAPGRCSDWVNRACSGGDSATEPYIVSHNLLLAHATAVDLYKKKYQESQGGKIGITLNSMWFEPYSNSAVDKQAARRALDFMLGWFMDPITYGQYPRSMQTLVGNRLPKFMSNESRLLKGSYDFIGLNYYTATFAKGNAIIDPSRPRYSTDHHVIQIAIDQNGKPISEIKGYSHWMYVYPKGIRYLLNYIKDEYRDPIIYVTENGVDEPNNKTLTLEQALKDRVRKEYYQTHLWNVLRSINEYKVKVEGYFAWSYLDNFEWSEGYSSRFGLIYVDYDDNLRRHLKESAIWFEKFLKGLFILPPVEPENKTFNHNLFPVNFTFGTATSAYQIEGEANTKCRGPSTWDTFTRDFPEKIEDGKNGDVAIDFYNFYKEDIQRMKEMGFGAFRFSISWSRVIPSGRVREGVNEQGIEFYKNLIDEIIKKEMVPYVTIFHWDTPQALEDKYGGFLNSEIVDDFRDFANLCFESFGEKVKYWITINEPWSLASFGYDLGVHAPGRCSEWVNRACSEGNSGTEPYIVSHNLLRAHAAAVDLYRRKYKATQNGMIGMALNSMWFEPYSNSIDDKEAAKTALDFMFGWFMDPITYGQYPRSMRILVGDRLPKFKSKESKLLKGSYDFLGLNYYAANYAKGNAVVDPHKPTYSTDHHVHQTHLGQNGEPIGEKAYSPWFYIYPKGLRYLLNYTKDTYKNPTIYITENGVDEHYKQGLDDHLRKKYYQDHLKNVLRSIKEYNVSVKGYFAWSYADNFEWNIGYRSRFGLVHVDYENNRARDVKQSANWFMEFLKNKTSGNQQI >Manes.01G089400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29123622:29124260:1 gene:Manes.01G089400.v8.1 transcript:Manes.01G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSSFTAMAMILALANSFLGNAGDPDILKDFLVPLGFPTNITSDFFTYTGFRELVNVNITGKTAAIVTKASMKEFPALEGQSVSVAALIYPPSGINPPHVHPRSAELLILLEGVLEVGFVDSTNKLFVQTLQVPDMFIFPKGLVHFQVNTRSDSPAYALGMFGSANAGTVPLPSTLFASGISAEILAEAFKTDVDTISKLIAANNVTEAA >Manes.13G092100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27444285:27446119:-1 gene:Manes.13G092100.v8.1 transcript:Manes.13G092100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HNL MVTAHFVLIHTICHGAWIWHKLKPALERAGHKVTALDMAASGIDPRQIEQINSFDEYSEPLLTFLEKLPQGEKVIIVGESCAGLNIAIAADRYVDKIAAGVFHNSLLPDTVHSPSYTVEKLLESFPDWRDTEYFTFTNITGETITTMKLGFVLLRENLFTKCTDGEYELAKMVMRKGSLFQNVLAQRPKFTEKGYGSIKKVYIWTDQDKIFLPDFQRWQIANYKPDKVYQVQGGDHKLQLTKTEEVAHILQEVADAYA >Manes.14G146700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:15277634:15278593:-1 gene:Manes.14G146700.v8.1 transcript:Manes.14G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAELSHLIFPYVRVYKDGTIERLAGTEIAPAGLDPETGVLSKDTIIIPETGVSARIYLSNSSKPHQKLPLVIYFHGGGFYLSSTADPKYHHSLNRMVAEAKIILVSVNYRLAPETPLPGAYEDSWGALEWVASHAREHEPWLVDYADLGKVFLAGDSCGANMAHHFGLKLKDSELGRELKIEGIAMINPYFWGKDPVGVEVTDHQRKSMVDDWWMFVCPSSSEKGCDDPLINPFMDGNSPSFEGLRCERVIVVVAEKDILRDRGRIYYEKLVKSGWSGTAQIVETKGEDHIFHVFDPNCENAKNLFKLLASFFNQA >Manes.17G019200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10013223:10029869:-1 gene:Manes.17G019200.v8.1 transcript:Manes.17G019200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPGYVRACLQSGKLAFLAILVSGGIILQILACALYNNWWPMLSVIMYVLLPMPLLFFAGSDSSSLFSESNNSWVNATKFLTGASAVGSIAIPAILKHAGVIGWGALAMELSSFFVFVIAIMCYISMNDEDGYSIL >Manes.17G019200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10014287:10029912:-1 gene:Manes.17G019200.v8.1 transcript:Manes.17G019200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPGYVRACLQSGKLAFLAILVSGGIILQILACALYNNWWPMLSVIMYVLLPMPLLFFAGSDSSSLFSESNNSWVNATKFLTGASAVGSIAIPAILKHAGVIGWGALAMELSSFFVFVIAIMCYISMNDEDGYSIL >Manes.01G244000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40478290:40480777:-1 gene:Manes.01G244000.v8.1 transcript:Manes.01G244000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISSNACTRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSAAAAAAASSSPSSASSKLPDLNPPSLSQFPNPRTHEGQDLNLAFPAIQESQALELLRTGIASRGLNSFIPTPMPDSNTLYSSGFPMQEFKPTLSFSLEGLGGRYGGQENGGRILFPFGEPKQLPSATEVDQNKGQGTPSGGYWNGMFGGGGGSW >Manes.01G244000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40478290:40480777:-1 gene:Manes.01G244000.v8.1 transcript:Manes.01G244000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPWPQDFKLVKPMEEISSNACTRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSAAAAAAASSSPSSASSKLPDLNPPSLSQFPNPRTHEGQDLNLAFPAIQESQALELLRTGIASRGLNSFIPTPMPDSNTLYSSGFPMQEFKPTLSFSLEGLGGRYGGQENGGRILFPFGEPKQLPSATEVDQNKGQGTPSGGYWNGMFGGGGGSW >Manes.01G244000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40478290:40480777:-1 gene:Manes.01G244000.v8.1 transcript:Manes.01G244000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPWPQGLQDFKLVKPMEEISSNACTRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSAAAAAAASSSPSSASSKLPDLNPPSLSQFPNPRTHEGQDLNLAFPAIQESQALELLRTGIASRGLNSFIPTPMPDSNTLYSSGFPMQEFKPTLSFSLEGLGGRYGGQENGGRILFPFGEPKQLPSATEVDQNKGQGTPSGGYWNGMFGGGGGSW >Manes.09G173500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36558046:36558573:1 gene:Manes.09G173500.v8.1 transcript:Manes.09G173500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNYYFFFFFFFIVLAIPTHQISYLVTNTCEKTSFLDLCISVLGSAPETDVKDVQDLAKFALKMASLNGTAMHMQISSLLNTSSDEFIKQCLSDCSEIYIDATDQLEDSMVALDFKAFKDINTWVNAAITGSETCENGFKEEKGIVSPLSDLNLKFSQLCEISLAIVKILATS >Manes.07G025900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2808627:2811393:-1 gene:Manes.07G025900.v8.1 transcript:Manes.07G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISRNRSLGSRFLLLLFSSISLQFISGISGDPKNDTKVNAQASSGGNTGSKIVIILLVVVAVGLFSFFLFKFWQKKKREEQYARLLKLFEEDDELEVELGLRD >Manes.08G014800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:96645:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEVILLCFIHVFMCSFEFQHIDFMSLLTLASRECFF >Manes.08G014800.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:96645:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEVILLCFIHVFMCSFEFQHIDFMSLLTLASRECFF >Manes.08G014800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQETTLKAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:96645:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEVILLCFIHVFMCSFEFQHIDFMSLLTLASRECFF >Manes.08G014800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85405:97306:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85405:97306:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQETTLKAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85405:97306:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85405:97306:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQETTLKAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:96645:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEVILLCFIHVFMCSFEFQHIDFMSLLTLASRECFF >Manes.08G014800.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLCWTYRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLCWTYRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLCWTYRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQETTLKAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:96644:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQVLFIIIIEHEKKIFGLSCS >Manes.08G014800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQETTLKAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:96645:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEVILLCFIHVFMCSFEFQHIDFMSLLTLASRECFF >Manes.08G014800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQETTLKAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:97267:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLCWTYRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQSHHSDLIFKSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQETTLKAFATPLRSIQQNPNLAKEEKKKKKTTVAKKLKGEKFDRLEAFSKRLRHCILKRVNHQRHCSS >Manes.08G014800.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:85420:96645:1 gene:Manes.08G014800.v8.1 transcript:Manes.08G014800.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLQCSHYVPSPFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGEYVSLGWHERHDLKVVVSYLRSNQQISRIGLWGRSMGAVTCLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRIIQKKAKFDIMDLNCLKGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPHSSASSSKLEKYYNLGDMKVGDVTDESLLCEIITGLRSAFTDAASSSSAPPSFPTTKPVSELLYETMPVTSILVDSPVNESDRLSSDEPSKLQDKPNGQSEECCSYTSSNRESWGRCSSLGGSDEESSAECRTTDKSQEVILLCFIHVFMCSFEFQHIDFMSLLTLASRECFF >Manes.01G216800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38579881:38587476:-1 gene:Manes.01G216800.v8.1 transcript:Manes.01G216800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMNQYISGLDEGRAMFLYFVKVEMSTPCGLPARTVLTSYYKSKHFKCRTHDPFNDFTSPDQAILCKDSNQSMYCQLLSGLVHRHQVLRIGAVFASALLRAISFLEHNWVHLCNDIRTGQVDPMITDPECRSCMSMIVSSPNPCLADEIEEICGRPSWKGILYHLWPRTKYIEAVVTGSMAQYVPALEYYSEGKLPLVCTMYASSECYFGVNLKPLCDPADVVFTLMPNMCYFEFIPLGENGKWLMDFGEEEEVPNDKLVDLVHVRRGCYYELVVTTFAGLYRYRIGDVLQVTGFYNQAPQFRFICRRNVILSIDNDKTNEEDLHKSITAAKKLLEPYSALLVEYTSYADTSSVPGHYVLYWEILHHASIIKDTPIPLDPTVLQECCIAVEEELDYVYKRCRVFDKSIGPLEICVVEPGTFEALMDLFIGQGGSINQYKTPRCIKSNAALMLLNSHVKASFFSPRDPAWIP >Manes.01G216800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38579881:38587476:-1 gene:Manes.01G216800.v8.1 transcript:Manes.01G216800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMNQYISGLDEGRAMFLYFVKVEMSTPCGLPARTVLTSYYKSKHFKCRTHDPFNDFTSPDQAILCKDSNQSMYCQLLSGLVHRHQVLRIGAVFASALLRAISFLEHNWVHLCNDIRTGQVDPMITDPECRSCMSMIVSSPNPCLADEIEEICGRPSWKGILYHLWPRTKYIEAVVTGSMAQYVPALEYYSEGKLPLVCTMYASSECYFGVNLKPLCDPADVVFTLMPNMCYFEFIPLGENGKWLMDFGEEEEVPNDKLVDLVHVRRGCYYELVVTTFAGLYRYRIGDVLQVTGFYNQAPQFRFICRRNVILSIDNDKTNEEDLHKSITAAKKLLEPYSALLVEYTSYADTSSVPGHYVLYWEILHHASIIKDTPIPLDPTVLQECCIAVEEELDYVYKRCRVFDKSIGPLEICVVEPGTFEALMDLFIGQGGSINQYKTPRCIKSNAALMLLNSHVKASFFSPRDPAWIP >Manes.01G216800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38579881:38587476:-1 gene:Manes.01G216800.v8.1 transcript:Manes.01G216800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKLKYEGDDALKEIERLTEKAGKFQESILKEILIQNGQTEYLSKYIKGSKDVKDFKRCVPVTTYKDIYPYIQRIINGEGSSLITGHPITEMLCSSGTSAREPKLVPSIAEDLDRRTFIYNLIMPIMNQYISGLDEGRAMFLYFVKVEMSTPCGLPARTVLTSYYKSKHFKCRTHDPFNDFTSPDQAILCKDSNQSMYCQLLSGLVHRHQVLRIGAVFASALLRAISFLEHNWVHLCNDIRTGQVDPMITDPECRSCMSMIVSSPNPCLADEIEEICGRPSWKGILYHLWPRTKYIEAVVTGSMAQYVPALEYYSEGKLPLVCTMYASSECYFGVNLKPLCDPADVVFTLMPNMCYFEFIPLGENGKWLMDFGEEEEVPNDKLVDLVHVRRGCYYELVVTTFAGLYRYRIGDVLQVTGFYNQAPQFRFICRRNVILSIDNDKTNEEDLHKSITAAKKLLEPYSALLVEYTSYADTSSVPGHYVLYWEILHHASIIKDTPIPLDPTVLQECCIAVEEELDYVYKRCRVFDKSIGPLEICVVEPGTFEALMDLFIGQGGSINQYKTPRCIKSNAALMLLNSHVKASFFSPRDPAWIP >Manes.01G216800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38579881:38587476:-1 gene:Manes.01G216800.v8.1 transcript:Manes.01G216800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKLKYEGDDALKEIERLTEKAGKFQESILKEILIQNGQTEYLSKYIKGSKDVKDFKRCVPVTTYKDIYPYIQRIINGEGSSLITGHPITEMLCSSGTSAREPKLVPSIAEDLDRRTFIYNLIMPIMNQYISGLDEGRAMFLYFVKVEMSTPCGLPARTVLTSYYKSKHFKCRTHDPFNDFTSPDQAILCKDSNQSMYCQLLSGLVHRHQVLRIGAVFASALLRAISFLEHNWVHLCNDIRTGQVDPMITDPECRSCMSMIVSSPNPCLADEIEEICGRPSWKGILYHLWPRTKYIEAVVTGSMAQYVPALEYYSEGKLPLVCTMYASSECYFGVNLKPLCDPADVVFTLMPNMCYFEFIPLGENGKWLMDFGEEEEVPNDKLVDLVHVRRGCYYELVVTTFAGLYRYRIGDVLQVTGFYNQAPQFRFICRRNVILSIDNDKTNEEDLHKSITAAKKLLEPYSALLVEYTSYADTSSVPGHYVLYWEILHHASIIKDTPIPLDPTVLQECCIAVEEELDYVYKRCRVFDKSIGPLEICVVEPGTFEALMDLFIGQGGSINQYKTPRCIKSNAALMLLNSHVKASFFSPRDPAWIP >Manes.17G080300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28539774:28543099:-1 gene:Manes.17G080300.v8.1 transcript:Manes.17G080300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRRTRHSRSTIVRPVTFSSFARSVAHLATAYTSKRQKLEMKLQRYLSSAPGDGFVKQSLEEKSEESESSEEEELQGVIQADFEFFDPKPDDFHGVKVLLQNYLDNEQWDLSAFVDLILGQTTVGTVVKIEDDGLFAVVTALNLGRYKDHKCMMEIKEFLIKVCQDKDVMDDLRLLWDEQAHGVGLLVSQRVANLPPQLLPPLYNALFDEVLWATEDEPTEELRKAFCFKSYLIFSKIYKHKNADHKKGKNSHNEEVIIYIKPEDEIFHKLCLWSFCFPLHSEHVTTHELRNYRLMGLVMVVEADKVANFREELHSLIDEP >Manes.17G080300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28539090:28543192:-1 gene:Manes.17G080300.v8.1 transcript:Manes.17G080300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRRTRHSRSTIVRPVTFSSFARSVAHLATAYTSKRQKLEMKLQRYLSSAPGDGFVKQSLEEKSEESESSEEEELQGVIQADFEFFDPKPDDFHGVKVLLQNYLDNEQWDLSAFVDLILGQTTVGTVVKIEDDGLFAVVTALNLGRYKDHKCMMEIKEFLIKVCQDKDVMDDLRLLWDEQAHGVGLLVSQRVANLPPQLLPPLYNALFDEVLWATEDEPTEELRKAFCFKSYLIFSKIYKHKNADHKKGKNSHNEEVIIYIKPEDEIFHKLCLWSFCFPLHSEHVTTHELRNYRLMGLVMVVEADKVANFREELHSLIDEP >Manes.17G080300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28538634:28543123:-1 gene:Manes.17G080300.v8.1 transcript:Manes.17G080300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRRTRHSRSTIVRPVTFSSFARSVAHLATAYTSKRQKLEMKLQRYLSSAPGDGFVKQSLEEKSEESESSEEEELQGVIQADFEFFDPKPDDFHGVKVLLQNYLDNEQWDLSAFVDLILGQTTVGTVVKIEDDGLFAVVTALNLGRYKDHKCMMEIKEFLIKVCQDKDVMDDLRLLWDEQAHGVGLLVSQRVANLPPQLLPPLYNALFDEVLWATEDEPTEELRKAFCFKSYLIFSKIYKHKNADHKKGKNSHNEEVIIYIKPEDEIFHKLCLWSFCFPLHSEHVTTHELRNYRLMGLVMVVEADKVANFREELHSLIDEP >Manes.17G080300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28539774:28543099:-1 gene:Manes.17G080300.v8.1 transcript:Manes.17G080300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRRTRHSRSTIVRPVTFSSFARSVAHLATAYTSKRQKLEMKLQRYLSSAPGDGFVKQSLEEKSEESESSEEEELQGVIQADFEFFDPKPDDFHGVKVLLQNYLDNEQWDLSAFVDLILGQTTVGTVVKIEDDGLFAVVTALNLGRYKDHKCMMEIKEFLIKVCQDKDVMDDLRLLWDEQAHGVGLLVSQRVANLPPQLLPPLYNALFDEVLWATEDEPTEELRKAFCFKSYLIFSKIYKHKNADHKKGKNSHNEEVIIYIKPEDEIFHKVHIL >Manes.02G197900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16414110:16424813:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQVFPILF >Manes.02G197900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16416684:16424813:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQKLVCEVGFVAFVIDSVN >Manes.02G197900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16416595:16424813:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQELTEHQRKCTCIAKE >Manes.02G197900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16414110:16424813:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQELTEHQRKCTCIAKE >Manes.02G197900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16423299:16424365:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKVTYLSLQTFLDDKCIS >Manes.02G197900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16416685:16424813:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQELTEHQRKCTCIAKE >Manes.02G197900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16418744:16424365:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQVFPILF >Manes.02G197900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16414110:16424813:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQELTEHQRKCTCIAKE >Manes.02G197900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16414110:16424813:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQELTEHQRKCTCIAKE >Manes.02G197900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16414110:16424813:-1 gene:Manes.02G197900.v8.1 transcript:Manes.02G197900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPSGSGNSSGGDGGGGELNRGGLARFRSAPATWLEALLEDEEEEDLLKPNQTLTQLLASNTPSTRNSVPFASSSISVEPGNLFEPTGFKRQNSSPADFLGNSGLGSDGYFSSFGIPSNYDYMSPNMDVSPSGKRAREVELHHPSGRYPSLLKGEQSGEVPSRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEAVEYVKFLQRQIQELTEHQRKCTCIAKE >Manes.03G211800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32706840:32711729:-1 gene:Manes.03G211800.v8.1 transcript:Manes.03G211800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKYELGRTLGEGNFGKVKLAKNIESGQPFAVKILEKNRIIHLNITDQIKREIATLKLLKHHNVVRLYEVLASKSKIYMVLEYVNGGELFDRIASKGKLSEAQGRKLFQQLIDGVSYCHNKGVFHRDLKLENVLIDTKGNLKISDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGEAQIPKWLSPGAQNMIRRILDPNPLTRITVAGIEADEWFKQDYTPADPSEEDDDIHIDNEAFSMQEVPLEGERSPGSPVLINAFQLIGMSSCLDLSGFFEKEDVSERKIRFTSNHSLKDLLEKIEDIARGMGFRIQKKNGKLKVVQENKEQRSLRSLYVAAEVFEISPSLHVVELQKSYGDASVYRQLCKKLSNDLSVPSGQGLLTAQV >Manes.10G112800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26429558:26431671:1 gene:Manes.10G112800.v8.1 transcript:Manes.10G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIFSFLVSSSFLSLAVILCLKWKILGNRTKNLPPGPPGWPIFGNIFDLGTEPHRVLYELKLKYGPVLWLRLGFRDTMVIQSAKAAAELFKNHDANFCDRKPLEVLTSHNFSDGSLAVGRFSPYWLMVRRICTTEMMTNKRISEKATIRQKCILQMIRSIENDSAAAKARGESGMVNLTHYLFLMSINIVANIMLSRDLFDSQCKVGREFFHVMDKLIVWAGKPNIADYLPFLKWLDPQGLKKKTSKDMGRALEIVEGFVKERIQEKLGNEEKHKKDFLDTLLEYEGDGKNWNGKIPYENIIILILEMFFGGSETTSAGIEWAMAELLRHPEAMRKVKEELNEVVGERRIVEESDIEKLPYLQAAVKEAFRLHPPVPLLVPRNTMQDTDFMGYHIPKDTQVLVSAWAMGRDPDSWEDPLSFKPERFLGSNIDYKGQNYELIPFGSGRRICVGVLLAQRVVVLSLAALIHCFDWEFDKDTTPETLDMRELVGIVVRKLVTLNLIPKRRPTVMAA >Manes.13G079200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13229957:13243594:-1 gene:Manes.13G079200.v8.1 transcript:Manes.13G079200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGGYGYLLEPLWWVGMVTMIVGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHAPEEQSINSVEQIWELAIQPAFLLYTASVVAVALVLILYCAPRYGQTNILIYIGICSMIGSLTVMSIKAIGIAIELTLEGINQAKYFQTWVFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYAMFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDPPFITDLYTPLSPKVSWYIQGNGELWKQKDEDGQTPNFVTVLRQDYFK >Manes.13G079200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13229957:13243594:-1 gene:Manes.13G079200.v8.1 transcript:Manes.13G079200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRRAGVNGPRASVGGYGYLLEPLWWVGMVTMIVGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHAPEEQSINSVEQIWELAIQPAFLLYTASVVAVALVLILYCAPRYGQTNILIYIGICSMIGSLTVMSIKAIGIAIELTLEGINQAKYFQTWVFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYAMFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDPPFITDLYTPLSPKVSWYIQGNGELWKQKDEDGQTPNFVTVLRQDYFK >Manes.13G079200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13229957:13243594:-1 gene:Manes.13G079200.v8.1 transcript:Manes.13G079200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRRAGVNGPRASVGGYGYLLEPLWWVGMVTMIVGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHAPEEQSINSVEQIWELAIQPAFLLYTASVVAVALVLILYCAPRYGQTNILIYIGICSMIGSLTVMSIKAIGIAIELTLEGINQAKYFQTWVFAMVAITCIITQLNYLNMDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDPPFITDLYTPLSPKVSWYIQGNGELWKQKDEDGQTPNFVTVLRQDYFK >Manes.13G079200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13229957:13243594:-1 gene:Manes.13G079200.v8.1 transcript:Manes.13G079200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRRAGVNGPRASVGGYGYLLEPLWWVGMVTMIVGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHAPEEQSINSVEQIWELAIQPAFLLYTASVVAVALVLILYCAPRYGQTNILIYIGICSMIGSLTVMSIKAIGIAIELTLEGINQAKYFQTWVFAMVAITCIITQLNYLNMDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDPPFITDLYTPLSPKVSWYIQGNGELWKQKDEDGQTPNFVTVLRQDYFK >Manes.13G079200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13235182:13243323:-1 gene:Manes.13G079200.v8.1 transcript:Manes.13G079200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRRAGVNGPRASVGGYGYLLEPLWWVGMVTMIVGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHAPEEQSINSVEQIWELAIQPAFLLYTASVVAVALVLILYCAPRYGQTNILIYIGICSMIGSLTVMSIKAIGIAIELTLEGINQAKYFQTWVFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYAMFTSFTILASAIMFKVCTVLKGTERDREIRSARHKFVSCFL >Manes.13G079200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13229957:13243594:-1 gene:Manes.13G079200.v8.1 transcript:Manes.13G079200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNLKGFILAVVSSAFIGSSFIIKKKGLRRAGVNGPRASVGGYGYLLEPLWWVGMVTMIVGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHAPEEQSINSVEQIWELAIQPAFLLYTASVVAVALVLILYCAPRYGQTNILIYIGICSMIGSLTVMSIKAIGIAIELTLEGINQAKYFQTWVFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYAMFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDPPFITDLYTPLSPKVSWYIQGNGELWKQKDEDGQTPNFVTVLRQDYFK >Manes.02G213500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27702725:27739210:-1 gene:Manes.02G213500.v8.1 transcript:Manes.02G213500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQDSSPPPSFSRDWFFPSPSFIHQSPPKTNKYRRRFSTTPRISHHRPFDSNFPKTSSFALPASSSTSTSTSTSYRDAKYARLRRQRVEFPRRSDNSSKQEQDDAAISGERASFCERTAGFSGHRIRARWRMAISAAIVIISLASLVHKNFTLHNQVIDLQNQNSKLNFRLRACNLSSQVDNLESISLEIDDQHANGLKRLALVFSLTLLSIPVLIYKYVDFVSKSKSSDNVSEEASLNKQIEYWVDVFLSVHPYAKPLALLVATLLLICLGGLALFGVTDDGLADCLWLSWTYVADSGNHANSEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMEIDIAKMEFDFKGTKVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPKLDGMQFEDVLISFPDAIPCGVKVASCGEDDDTYAPSTLPMVWRGSLPKDFIVPKSAERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPENEREKKLIDGGLDVSRLANISLVNREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVTQGHRGNFSQGSWIGEMQQASDKSHGISYGCRRSTNK >Manes.03G019700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1693460:1694077:-1 gene:Manes.03G019700.v8.1 transcript:Manes.03G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSSIAVSTSPKSSAEGEGATAASISPKGQCLCSPTTHQGSFRCRFHRATSSKSPGMKRSKSMPPNHAVNSLSPKSVEST >Manes.09G120700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32363624:32364951:1 gene:Manes.09G120700.v8.1 transcript:Manes.09G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTKPTLSRKSSSNSSFRLRSPSLNSLRLRRIFDLFDKNGDGMITVQDLNQALTLLGLDADFSELESTIRSHIKPGNDGLEFEDFVCLHHSLDETFFSNDEQSEVNQQDGMTQEESDLSEAFKVFDEDGDGYISAHELQVVLRKLGMPEAKELDRVEQMICSVDSNHDGRVDFVEFKDMMRSVIVRSA >Manes.10G126000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29346738:29347371:1 gene:Manes.10G126000.v8.1 transcript:Manes.10G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKKEIMGDIPNGTKVFSKKLGKTDREAQLIIPTKVLKQFPIQNGYYERDFTACDAQDRQWEFILAIRQTGEHEKPFLRPPKWHEFVMAHRLSKDDADYGVVFYSDNNGRLQVRGLRKNQNSLFGQAVWEQV >Manes.S036416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:951604:951771:1 gene:Manes.S036416.v8.1 transcript:Manes.S036416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.14G034200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3052871:3060493:-1 gene:Manes.14G034200.v8.1 transcript:Manes.14G034200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSAEIIQAMDEISDTVCSVVDSAELCRHTHPDREFVEEANMASMRINEYLHYLNTNHTLYAAVKRAEKDGHLLTKEAHRAAHNLRIDFEKGGIHLPSEKLDRVNQLSMNIFQLSRQFGENISTDPGHVDIYPVSRIPKQIHHLLTPIHRFTSGTSGGSTGSWGNMKEKGFRIITEPRTLVSVLHCAADEEVRKMAYIQGNSVPRANLKVLDELIAARHELAQIMGYRSYAEFTVKQNLASSPEVVMSFLCEMSEMIRPKADQEFETIRNFKREKCGQICIDLEPWDEAYYTGMMKSCDHNLDSSIVASYFPLRQCIEGLKVLVKSLFGATFHNVPMAPGESWHPEVLKMSLHHPEEGDLGYLYLDLYSRKGKYPGCANFAIKGGRRISETEYQLPVVALVCNFPGSNSSNLRLNHWEVETLFHEFGHALHSLLSRTDFQHFSGTRVVLDFAETPSNLFEYYAWDYRVLRTFAKHYSTGEIIPEELVKSMQGARDMFAATELQRQVFYALVDQTLFGEQQASCRDTSSIVADLKTQYTSWKHVESTHWQIRFSHLVNYGAGYYSYLYARCFAATIWKKLCQEDPLSSSTGTCLRTKLLQHGGAKEPSEMLNDLVGEGIVRYCNSGIVPDMTAFTDELSLVEDQRLQLRL >Manes.14G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3052871:3060493:-1 gene:Manes.14G034200.v8.1 transcript:Manes.14G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLIRRAAEKLRPKYVPKLCNLDLPKTRQFSTRAVPPLDGASTGLYGFDHLKTPKGFQRFVDEAIERSGELVNRISMMPSSAEIIQAMDEISDTVCSVVDSAELCRHTHPDREFVEEANMASMRINEYLHYLNTNHTLYAAVKRAEKDGHLLTKEAHRAAHNLRIDFEKGGIHLPSEKLDRVNQLSMNIFQLSRQFGENISTDPGHVDIYPVSRIPKQIHHLLTPIHRFTSGTSGGSTGSWGNMKEKGFRIITEPRTLVSVLHCAADEEVRKMAYIQGNSVPRANLKVLDELIAARHELAQIMGYRSYAEFTVKQNLASSPEVVMSFLCEMSEMIRPKADQEFETIRNFKREKCGQICIDLEPWDEAYYTGMMKSCDHNLDSSIVASYFPLRQCIEGLKVLVKSLFGATFHNVPMAPGESWHPEVLKMSLHHPEEGDLGYLYLDLYSRKGKYPGCANFAIKGGRRISETEYQLPVVALVCNFPGSNSSNLRLNHWEVETLFHEFGHALHSLLSRTDFQHFSGTRVVLDFAETPSNLFEYYAWDYRVLRTFAKHYSTGEIIPEELVKSMQGARDMFAATELQRQVFYALVDQTLFGEQQASCRDTSSIVADLKTQYTSWKHVESTHWQIRFSHLVNYGAGYYSYLYARCFAATIWKKLCQEDPLSSSTGTCLRTKLLQHGGAKEPSEMLNDLVGEGIVRYCNSGIVPDMTAFTDELSLVEDQRLQLRL >Manes.13G087700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11881217:11885122:1 gene:Manes.13G087700.v8.1 transcript:Manes.13G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLVSVDRWTEGSQVYFLTHLHADHTQGLTSRWARGPLFCSRLTSELFPSKFSDFDFSLLRVMDIGVWHSISLVSPSSGSQAVLQVMAIDAHHCPGAVMFLFRGDFGCILYTGDFRWEAESKQTKIGRTMLLNALEDDNVDILYLDNTYCNPSFDFPPREVAAKQVVDIIASHPDHDIIIGIDTLGKEDLLLHISQALKIKIWVWPERLETMHLLGFHDIFTTKTSLTRVRAVPRYSFSIETLEGLNTMRPTIGIMPSGLPWVVKPTKGDDNLFYSFSTHLKKGKLSEKDGTWTDKLNGSVVGRFHQYIYSVPYSDHSCFAEIKEFIELVQPTSMKGIVSASSCYTDPLYYFGKLCGANQLSKRFCYKLGSKDGDERAVAVQTKYYSGHSSSTKATSKRRRGRMNWVMAVRRAHRGAKIEENDSSD >Manes.13G087700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11881217:11885122:1 gene:Manes.13G087700.v8.1 transcript:Manes.13G087700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLVSVDRWTEGSQVYFLTHLHADHTQGLTSRWARGPLFCSRLTSELFPSKFSDFDFSLLRVMDIGVWHSISLVSPSSGSQAVLQVMAIDAHHCPVMFLFRGDFGCILYTGDFRWEAESKQTKIGRTMLLNALEDDNVDILYLDNTYCNPSFDFPPREVAAKQVVDIIASHPDHDIIIGIDTLGKEDLLLHISQALKIKIWVWPERLETMHLLGFHDIFTTKTSLTRVRAVPRYSFSIETLEGLNTMRPTIGIMPSGLPWVVKPTKGDDNLFYSFSTHLKKGKLSEKDGTWTDKLNGSVVGRFHQYIYSVPYSDHSCFAEIKEFIELVQPTSMKGIVSASSCYTDPLYYFGKLCGANQLSKRFCYKLGSKDGDERAVAVQTKYYSGHSSSTKATSKRRRGRMNWVMAVRRAHRGAKIEENDSSD >Manes.04G150000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34631260:34633249:-1 gene:Manes.04G150000.v8.1 transcript:Manes.04G150000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTQNSGSFCCQGLYNACSFKGCCLFAFTFLLFIVIAIAISALVVIFIIRPQEPRFSLSAIRVISFKLRVYSSSTLFISSDVSLTLNAQNHNKLGIKYSPSKLSLYYHGVPIGLVKVPGFYQPAHSDNIVVTAQISLRCLNVSQIIGESLLQEKTRKKVVQMKILGDIRVQLLLFRLASPKIKYVLPCRLH >Manes.04G150000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34631260:34633249:-1 gene:Manes.04G150000.v8.1 transcript:Manes.04G150000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPTQNSGSFCCQGLYNACSFKGCCLFAFTFLLFIVIAIAISALVVIFIIRPQEPRFSLSAIRVISFKLRVYSSSTLFISSDVSLTLNAQNHNKLGIKYSPSKLSLYYHGVPIGLVKVPGFYQPAHSDNIVVTAQISLRCLNVSQIIGESLLQEKTRKKVVQMKILGDIRVQLLLFRLASPKIKIALDCDVDLDYTELPFKNYELFNNMDVLQDHLATLPGNPQSFSNKCRLAFYL >Manes.11G084780.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13627555:13632226:1 gene:Manes.11G084780.v8.1 transcript:Manes.11G084780.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSATRWFSLCIVVLFLCFQLIQCNVTYDNKALIINGQRRILFSGSIHYPRSTPQMWEGLIHKAKDGGLDVIDTYVFWNLHEPSPGNYNFEGRYDLVHFIKLVHKAGLYVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTENEPFKLAMQKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPEDKTFGSAGHAYMTWAAKMALSMNTGVPWVMCKEFDAPDPLINTCNGFYCDYFSPNKPDKPTMWTEAWTAWFSEFGGPIHQRPVEDLAFAVARFLQKGGSFVNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLIRQPKYGHLKDFHEAIRLCEKALLNADPIVRNLGAYKQAHVFSSNSGDCAAFLVNYHRNGTLKITFNNMYYSLPPWSISILPDCKNVVFNTAQVEVQTSQVQMLPTATENEGFSWETFSEDVLSVDEDKITTVSGLLEQLNITRDTSDYLWYTTGLTIRSNESFLRQGKLLNLTVESAGHALNVFINGELCGSGHGSRKFRRFTFTGPVKLHAGKNRISLLSIAAGLPNMGTHFENWKTGIQRVTLHGLDKGQRDLTWSKWSYKVGLKGEDMNLGSPNSVPPIEWTKGDMSAQNRPLTWYRAFFDAPEGEDPLALDMGSMGKGQIWINGYNIGRYWTAKATGDCNGCKYNGTYRATTCQVGCDQPTQRWYHVPRSWVKRTKNLVVVFEEIGGDSSKISLVKRLATSD >Manes.02G159800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12485412:12493391:-1 gene:Manes.02G159800.v8.1 transcript:Manes.02G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLCCISTVSGHSSTKSLPMAITSRSDPITRSLSANHNHQTHILNHNNSINSNSSSLISNSNRPSQRLSVHSLSLPREQQFDVRINDLVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSFYKIHGPDKIIVNREILKGCIVIGEESKRRISKHKNGNTQHKHNPVGEIHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRSQWMEALRAVKDMFPRMSNSELMAPIDHVAISTEKLRQRLQEEGVREEAIQDSEQIMRSEFVSLQNQIMLLKQKQWLLIDTLRQLETEKVDLENTVVDESQRQFNDQGAPSMLRQILSSEASATESDDENEGVHAAEEETDDDENTFFDTRDFLSSSSFKSSGSDLRTTSFSSDEDELYALESEDDIDPSIRAVGKDYPHVKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNSLMRMLNVAAFAVSGYASTEGRICKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVHGTVQDGKGKRVATLFGKWDESMHYMNDDCPVKGSLKDARVLWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEFEMANSEKLRLEQRQRQARKMQERGWKPQWFEKDKGSDSYRYIGGYWEARQQGNWESCPDIFGQVPNDQLLD >Manes.18G077024.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7052681:7054041:1 gene:Manes.18G077024.v8.1 transcript:Manes.18G077024.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASREADISVLPEGCISDVLSFTTPRDACTLSTVSSLFNNAAQSDAVWERFLPADFRSIICRSGDHHSLLASSSSKKHLFFRLCQNPILIDDGRRSFVLDKWTGKKCYMLSARDLAIVWSDTPDYWRWVPDPGSRFAEVAELIGVCWLEINGRINTQMLSPATMYTAYLVFKLATGASGFRSQNAEVSVGLAGSTFSFKRSVLLDARIGRGRNLLLDNWFGSKAYVPAGGGDGRYPEVRKDGWLEIKLGEFLNKESEDGELEMSITEVKGGHWKHGLVVQGIEIRPKIE >Manes.14G065200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5406778:5418412:1 gene:Manes.14G065200.v8.1 transcript:Manes.14G065200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKDDPVLGTKDIIEKREGAETKDAVISKQREEEGQGDGEMAPHTGNSIHRSGSRPQLDLSKAAIQGNSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRSINDKRKKMVKERLGISNGNRRSYPILGGRLHFVKFETRKINDCLDFISSKQLHLGGVDSHRWLSETPANCNAVIKATGGGAYKFADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISEKKELEDYRPEDISLSLLRMISYNIGQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMDPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHNELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFVDAYRSIKQRENEASLAVLPDLLMELDSMTEEMRLLTLIEGVLAANIFDWGSSACVDLYHKGTIIEIYRMSRNKMQRPWQVDDFDVFKERMLDNPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKSTAL >Manes.14G065200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5406778:5418411:1 gene:Manes.14G065200.v8.1 transcript:Manes.14G065200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKDDPVLGTKDIIEKREGAETKDAVISKQREEEGQGDGEMAPHTGNSIHRSGSRPQLDLSKAAIQGNSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRSINDKRKKMVKERLGISNGNRRSYPILGGRLHFVKFETRKINDCLDFISSKQLHLGGVDSHRWLSETPANCNAVIKATGGGAYKFADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISEKKELEDYRPEDISLSLLRMISYNIGQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMDPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHNELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFVDAYRSIKQRENEASLAVLPDLLMELDSMTEEMRLLTLIEGVLAANIFDWGSSACVDLYHKGTIIEIYRMSRNKMQRPWQVDDFDVFKERMLDNPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDVLRRAAEAGGLLVDAMINTLDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIVLEGMGRALHTNFNARFKCDVLKLAMVKNQRLAEKLIKGNIYDCVCRYEPAS >Manes.14G065200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5406777:5418411:1 gene:Manes.14G065200.v8.1 transcript:Manes.14G065200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKDDPVLGTKDIIEKREGAETKDAVISKQREEEGQGDGEMAPHTGNSIHRSGSRPQLDLSKAAIQGNSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRSINDKRKKMVKERLGISNGNRRSYPILGGRLHFVKFETRKINDCLDFISSKQLHLGGVDSHRWLSETPANCNAVIKATGGGAYKFADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISEKKELEDYRPEDISLSLLRMISYNIGQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMDPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHNELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFVDAYRSIKQRENEASLAVLPDLLMELDSMTEEMRLLTLIEGVLAANIFDWGSSACVDLYHKGTIIEIYRMSRNKMQRPWQVDDFDVFKERMLDNPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDVLRRAAEAGGLLVDAMINTLDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIVLEGMGRALHTNFNARFKCDVLKLAMVKNQRLAEKLIKGNIYDCVCRYEPAS >Manes.14G065200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5407773:5418411:1 gene:Manes.14G065200.v8.1 transcript:Manes.14G065200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKDDPVLGTKDIIEKREGAETKDAVISKQREEEGQGDGEMAPHTGNSIHRSGSRPQLDLSKAAIQGNSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRSINDKRKKMVKERLGISNGNRRSYPILGGRLHFVKFETRKINDCLDFISSKQLHLGGVDSHRWLSETPANCNAVIKATGGGAYKFADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISEKKELEDYRPEDISLSLLRMISYNIGQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMDPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHNELEYWFTVLSGHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFVDAYRSIKQRENEASLAVLPDLLMELDSMTEEMRLLTLIEGVLAANIFDWGSSACVDLYHKGTIIEIYRMSRNKMQRPWQVDDFDVFKERMLDNPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDVLRRAAEAGGLLVDAMINTLDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIVLEGMGRALHTNFNARFKCDVLKLAMVKNQRLAEKLIKGNIYDCVCRYEPAS >Manes.18G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6903957:6906797:1 gene:Manes.18G075700.v8.1 transcript:Manes.18G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSHSPDTVAVLSLFLLCSIFCNINLIPCVSEEIQNSVVVLADYFVINENRPEHIPTVSARVTSPYGNNLYHNENASHGQFAFTTSEAGNYMACFWLDSHQPQSGTTTLSLDWKIGIAAKDWDSVARKEKIEAISFNFFAFPEGVELDLMRLEGVVQSVHNNVIYLREKEAEMREVSERTNARVAWFSIMSLGVSIAVSVLQLWHLKRYFQKKKLI >Manes.14G069700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809793:5814685:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809793:5814685:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809793:5814685:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEPKSRPEGNNTEEKRRRFNLKNVVQEVIKMQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809793:5814390:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809793:5814685:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809525:5814691:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEPKSRPEGNNTEEKRRRFNLKNVVQEVIKMQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809525:5814691:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809793:5814685:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEPKSRPEGNNTEEKRRRFNLKNVVQEVIKMQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809793:5814685:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNFACNGFLDLLFQQLIYFFLMLSVVQEVIKMQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.14G069700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5809793:5814685:-1 gene:Manes.14G069700.v8.1 transcript:Manes.14G069700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRQPEEPKSRPEGNNTEEKRRRFNLKNVVQEVIKMQSAHHLLEPVLEPLIRRVVKEEIELALKKHLANFKRNNGEEKDYSELRSLKLQFSNNLSLPVFTGARIEGEEYSAIKVALIDILTGEIVNSGPEASAKVEIVVLEGDFDGDEGDNWAHDEFKNNIVREREGKKPLLTGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARFVDNFDGINVREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTVEHARTCVLDKKMYLYCTPGSQPKSGVVFNVVGQVMGLLSDCQYVPVDKLSETEKVDAQTLVIAAFEHPEDVISFDDEASLVDGSSHLSNIPYPSHSPRTENSNGSKVLASHKMGGFDYPQPNAASPDIISSMYSVGGVSSLDDYSLHSIENMGLRYDQTLSFPGQVSNPLLCDTDAMTQAFCDEDHLRFFDTDLQSQNLSLEPPADLQSALDGFLLTRSTAVAVDKAQRRWTKISSVLKWFSIRRHVASKKTRVREFHRY >Manes.02G132650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10073096:10076003:1 gene:Manes.02G132650.v8.1 transcript:Manes.02G132650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASMQPHDVQCFSFPPLIVVSPLDTNASLLSAWQNLQGLASHSMPLPLSQSVPQRFSSFDVSALSWPRLTVPICAATSPSIGCGEQIYAPITCSSLSVGLVGRKRQFGDLDFCDPPIPRTGSISPMDHRPTFSATLHPIMMVQEALDFALLHPCPFNCLVHLASSLLHPETIIAGPSSSQIEQLLHITSSLPMFSRIPMSSLAARTLDTKSGSFRPHPCFWQRLSSEHCGYAKFSSESFAL >Manes.08G083811.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28991266:28992236:-1 gene:Manes.08G083811.v8.1 transcript:Manes.08G083811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKIFNFLTLKLSMISAPLPLSSPSPSCKIFNFLQFISSFIFSRSKQLQCSSMASTSFLETRYQSSNVPPVSEFRRLAFLHWYHGDVWMIWSSEAESNNNDLAPEYQQYQDATAYEDYKEEEEETHDI >Manes.15G083100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6390565:6405008:-1 gene:Manes.15G083100.v8.1 transcript:Manes.15G083100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPAAVGRSSLEEMLDSLRRRDEALEKSKDLPPALPARPTSRARLPSARRSLPTDFKVGANGQMESKEEIEINKGKEDTKRKEKQLGYKTGSFGSKKMKKDQNCVDSNPYAEEKNEPAKWSVISSMPNAEEPEWDDNIGYFIKKKLRIWCQLPNGQWGSGTIQSTSRDEAVVLLSTGNFIKVSTADLLPANPDLLEGVDDLIKLSYLNEPSVLYNLKYRYSQEKIYSKAGPVLIAINPFKAVPFYGNEVVKAYKQKLMDSPHVYAIVDIAYNEMMRDAKNQSIIISGESGAGKTETAKYAMQYLATLGGSTSGIEHKILWTNCILEAFGNAKTSRNGNSSRFGKLVEIHFSITGKMRGAYVQTFLLEKSRVVQLAKGERSYHIFYQLCAGAPSILRERLKLKVASEYNYLNQSECLVIDGVNDSLNFHKLVEALDIVQICKADQEQIFSMLAVILWLGNVSFQVIDKENHVEVLADEAITNAARLMGCSIQDLIFALSSHRIRCGRDDIIKKLTFQQAIDRRDALAKFIYASLFDWLMDQINKSLEAGKLPAGRSINILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLKQEDYEEDGIDWTRVDFADNQECLNLFEKKPSGLLSLLDEESNFPNATDLTLANKLKQHLSSSPFFKAERGRAFGIHHYAGEVVYDTNGFLEKNRDSLHSDFFQLLSSCSCQLPQLFVSRMSNQSHSVKFASPLCQSGGLESSMQSVGTKFKGQLFKLMQQLENTTPHFIHCIKPNTKQLPGVYEDDLVLQQLRCGGVLEVLRISRSGYPTRITHEDFAQRYGFLLSGTSVSQDPLSISVVALQQFNVPPEMYQVGYTKVFLRTGQIAKLEEQRKQVLQGILGIQKCFRGSQARRDLNEMKKGAIILQSVIRGENARRKYKSMPKSLTVSASLTADNNNQLVAIICLQSVIRGWLARKQFNDKRKLKNSSHENPNSKRKPGKKISEVKVMPQEQVEIQTSILAELQKRVTKAEVTITQKEEENAALREQLQLVERRWSEYEAKMKAMEETWQMQMESLQTSLAAARKSLAADNAAGQHGKLDSFASPRYDSEENMSSGLRTPVGSTPSKMSLGKENNGPVNAVNILAKEFEQRRQNFDNDAKALAEIKPVQPASADAELRNLKSRFETWKKDYKVRLRETKARLHKLGHGEIDRRSRRWWAKIGSKGLQRS >Manes.15G083100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6390565:6405009:-1 gene:Manes.15G083100.v8.1 transcript:Manes.15G083100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPAAVGRSSLEEMLDSLRRRDEALEKSKDLPPALPARPTSRARLPSARRSLPTDFKVGANGQMESKEEIEINKGKEDTKRKEKQLGYKTGSFGSKKMKKDQNCVDSNPYAEEKNEPAKWSVISSMPNAEEPEWDDNIGYFIKKKLRIWCQLPNGQWGSGTIQSTSRDEAVVLLSTGNFIKVSTADLLPANPDLLEGVDDLIKLSYLNEPSVLYNLKYRYSQEKIYSKAGPVLIAINPFKAVPFYGNEVVKAYKQKLMDSPHVYAIVDIAYNEMMRDAKNQSIIISGESGAGKTETAKYAMQYLATLGGSTSGIEHKILWTNCILEAFGNAKTSRNGNSSRFGKLVEIHFSITGKMRGAYVQTFLLEKSRVVQLAKGERSYHIFYQLCAGAPSILRERLKLKVASEYNYLNQSECLVIDGVNDSLNFHKLVEALDIVQICKADQEQIFSMLAVILWLGNVSFQVIDKENHVEVLADEAITNAARLMGCSIQDLIFALSSHRIRCGRDDIIKKLTFQQAIDRRDALAKFIYASLFDWLMDQINKSLEAGKLPAGRSINILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLKQEDYEEDGIDWTRVDFADNQECLNLFEKKPSGLLSLLDEESNFPNATDLTLANKLKQHLSSSPFFKAERGRAFGIHHYAGEVVYDTNGFLEKNRDSLHSDFFQLLSSCSCQLPQLFVSRMSNQSHSVKFASPLCQSGGLESSMQSVGTKFKGQLFKLMQQLENTTPHFIHCIKPNTKQLPGVYEDDLVLQQLRCGGVLEVLRISRSGYPTRITHEDFAQRYGFLLSGTSVSQDPLSISVVALQQFNVPPEMYQVGYTKVFLRTGQIAKLEEQRKQVLQGILGIQKCFRGSQARRDLNEMKKGAIILQSVIRGENARRKYKSMPKSLTVSASLTADNNNQLVAIICLQSVIRGWLARKQFNDKRKLKNSSHENPNSKRKPGKKISEVKVMPQEQVEIQTSILAELQKRVTKAEVTITQKEEENAALREQLQLVERRWSEYEAKMKAMEETWQMQMESLQTSLAAARKSLAADNAAGQHGKLDSFASPRYDSEENMSSGLRTPVGSTPSKMSLGKENNGPVNAVNILAKEFEQRRQNFDNDAKALAEIKPVQPASADAELRNLKSRFETWKKDYKVRLRETKARLHKLGHGEIDRRSRRWWAKIGSKGLQRS >Manes.15G083100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6390748:6404995:-1 gene:Manes.15G083100.v8.1 transcript:Manes.15G083100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPAAVGRSSLEEMLDSLRRRDEALEKSKDLPPALPARPTSRARLPSARRSLPTDFKVGANGQMESKEEIEINKGKEDTKRKEKQLGYKTGSFGSKKMKKDQNCVDSNPYAEEKNEPAKWSVISSMPNAEEPEWDDNIGYFIKKKLRIWCQLPNGQWGSGTIQSTSRDEAVVLLSTGNFIKVSTADLLPANPDLLEGVDDLIKLSYLNEPSVLYNLKYRYSQEKIYSKAGPVLIAINPFKAVPFYGNEVVKAYKQKLMDSPHVYAIVDIAYNEMMRDAKNQSIIISGESGAGKTETAKYAMQYLATLGGSTSGIEHKILWTNCILEAFGNAKTSRNGNSSRFGKLVEIHFSITGKMRGAYVQTFLLEKSRVVQLAKGERSYHIFYQLCAGAPSILRERLKLKVASEYNYLNQSECLVIDGVNDSLNFHKLVEALDIVQICKADQEQIFSMLAVILWLGNVSFQVIDKENHVEVLADEAITNAARLMGCSIQDLIFALSSHRIRCGRDDIIKKLTFQQAIDRRDALAKFIYASLFDWLMDQINKSLEAGKLPAGRSINILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLKQEDYEEDGIDWTRVDFADNQECLNLFEKKPSGLLSLLDEESNFPNATDLTLANKLKQHLSSSPFFKAERGRAFGIHHYAGEVVYDTNGFLEKNRDSLHSDFFQLLSSCSCQLPQLFVSRMSNQSHSVKFASPLCQSGGLESSMQSVGTKFKGQLFKLMQQLENTTPHFIHCIKPNTKQLPGVYEDDLVLQQLRCGGVLEVLRISRSGYPTRITHEDFAQRYGFLLSGTSVSQDPLSISVVALQQFNVPPEMYQVGYTKVFLRTGQIAKLEEQRKQVLQGILGIQKCFRGSQARRDLNEMKKGAIILQSVIRGENARRKYKSMPKSLTVSASLTADNNNQLVAIICLQSVIRGWLARKQFNDKRKLKNSSHENPNSKRKPGKKISEVKVMPQEQVEIQTSILAELQKRVTKAEVTITQKEEENAALREQLQLVERRWSEYEAKMKAMEETWQMQMESLQTSLAAARKSLAADNAAGQHGKLDSFASPRYDSEENMSSGLRTPVGSTPSKMSLGKENNGPVNAVNILAKEFEQRRQNFDNDAKALAEIKPVQPASADAELRNLKSRFETWKKDYKVRLRETKARLHKLGHGEIDRRSRRWWAKIGSKGLQRS >Manes.15G083100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6390608:6405057:-1 gene:Manes.15G083100.v8.1 transcript:Manes.15G083100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPAAVGRSSLEEMLDSLRRRDEALEKSKDLPPALPARPTSRARLPSARRSLPTDFKVGANGQMESKEEIEINKGKEDTKRKEKQLGYKTGSFGSKKMKKDQNCVDSNPYAEEKNEPAKWSVISSMPNAEEPEWDDNIGYFIKKKLRIWCQLPNGQWGSGTIQSTSRDEAVVLLSTGNFIKVSTADLLPANPDLLEGVDDLIKLSYLNEPSVLYNLKYRYSQEKIYSKAGPVLIAINPFKAVPFYGNEVVKAYKQKLMDSPHVYAIVDIAYNEMMRDAKNQSIIISGESGAGKTETAKYAMQYLATLGGSTSGIEHKILWTNCILEAFGNAKTSRNGNSSRFGKLVEIHFSITGKMRGAYVQTFLLEKSRVVQLAKGERSYHIFYQLCAGAPSILRERLKLKVASEYNYLNQSECLVIDGVNDSLNFHKLVEALDIVQICKADQEQIFSMLAVILWLGNVSFQVIDKENHVEVLADEAITNAARLMGCSIQDLIFALSSHRIRCGRDDIIKKLTFQQAIDRRDALAKFIYASLFDWLMDQINKSLEAGKLPAGRSINILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLKQEDYEEDGIDWTRVDFADNQECLNLFEKKPSGLLSLLDEESNFPNATDLTLANKLKQHLSSSPFFKAERGRAFGIHHYAGEVVYDTNGFLEKNRDSLHSDFFQLLSSCSCQLPQLFVSRMSNQSHSVKFASPLCQSGGLESSMQSVGTKFKGQLFKLMQQLENTTPHFIHCIKPNTKQLPGVYEDDLVLQQLRCGGVLEVLRISRSGYPTRITHEDFAQRYGFLLSGTSVSQDPLSISVVALQQFNVPPEMYQVGYTKVFLRTGQIAKLEEQRKQVLQGILGIQKCFRGSQARRDLNEMKKGAIILQSVIRGENARRKYKSMPKSLTVSASLTADNNNQLVAIICLQSVIRGWLARKQFNDKRKLKNSSHENPNSKRKPGKKISEVKVMPQEQVEIQTSILAELQKRVTKAEVTITQKEEENAALREQLQLVERRWSEYEAKMKAMEETWQMQMESLQTSLAAARKSLAADNAAGQHGKLDSFASPRYDSEENMSSGLRTPVGSTPSKMSLGKENNGPVNAVNILAKEFEQRRQNFDNDAKALAEIKPVQPASADAELRNLKSRFETWKKDYKVRLRETKARLHKLGHGEIDRRSRRWWAKIGSKGLQRS >Manes.15G083100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6390748:6404995:-1 gene:Manes.15G083100.v8.1 transcript:Manes.15G083100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPAAVGRSSLEEMLDSLRRRDEALEKSKDLPPALPARPTSRARLPSARRSLPTDFKVGANGQMESKEEIEINKGKEDTKRKEKQLGYKTGSFGSKKMKKDQNCVDSNPYAEEKNEPAKWSVISSMPNAEEPEWDDNIGYFIKKKLRIWCQLPNGQWGSGTIQSTSRDEAVVLLSTGNFIKVSTADLLPANPDLLEGVDDLIKLSYLNEPSVLYNLKYRYSQEKIYSKAGPVLIAINPFKAVPFYGNEVVKAYKQKLMDSPHVYAIVDIAYNEMMRDAKNQSIIISGESGAGKTETAKYAMQYLATLGGSTSGIEHKILWTNCILEAFGNAKTSRNGNSSRFGKLVEIHFSITGKMRGAYVQTFLLEKSRVVQLAKGERSYHIFYQLCAGAPSILRERLKLKVASEYNYLNQSECLVIDGVNDSLNFHKLVEALDIVQICKADQEQIFSMLAVILWLGNVSFQVIDKENHVEVLADEAITNAARLMGCSIQDLIFALSSHRIRCGRDDIIKKLTFQQAIDRRDALAKFIYASLFDWLMDQINKSLEAGKLPAGRSINILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLKQEDYEEDGIDWTRVDFADNQECLNLFEKKPSGLLSLLDEESNFPNATDLTLANKLKQHLSSSPFFKAERGRAFGIHHYAGEVVYDTNGFLEKNRDSLHSDFFQLLSSCSCQLPQLFVSRMSNQSHSVKFASPLCQSGGLESSMQSVGTKFKGQLFKLMQQLENTTPHFIHCIKPNTKQLPGVYEDDLVLQQLRCGGVLEVLRISRSGYPTRITHEDFAQRYGFLLSGTSVSQDPLSISVVALQQFNVPPEMYQVGYTKVFLRTGQIAKLEEQRKQVLQGILGIQKCFRGSQARRDLNEMKKGAIILQSVIRGENARRKYKSMPKSLTVSASLTADNNNQLVAIICLQSVIRGWLARKQFNDKRKLKNSSHENPNSKRKPGKKISEVKVMPQEQVEIQTSILAELQKRVTKAEVTITQKEEENAALREQLQLVERRWSEYEAKMKAMEETWQMQMESLQTSLAAARKSLAADNAAGQHGKLDSFASPRYDSEENMSSGLRTPVGSTPSKMSLGKENNGPVNAVNILAKEFEQRRQNFDNDAKALAEIKPVQPASADAELRNLKSRFETWKKDYKVRLRETKARLHKLGHGEIDRRSRRWWAKIGSKGLQRS >Manes.15G083100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6390608:6405060:-1 gene:Manes.15G083100.v8.1 transcript:Manes.15G083100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPAAVGRSSLEEMLDSLRRRDEALEKSKDLPPALPARPTSRARLPSARRSLPTDFKVGANGQMESKEEIEINKGKEDTKRKEKQLGYKTGSFGSKKMKKDQNCVDSNPYAEEKNEPAKWSVISSMPNAEEPEWDDNIGYFIKKKLRIWCQLPNGQWGSGTIQSTSRDEAVVLLSTGNFIKVSTADLLPANPDLLEGVDDLIKLSYLNEPSVLYNLKYRYSQEKIYSKAGPVLIAINPFKAVPFYGNEVVKAYKQKLMDSPHVYAIVDIAYNEMMRDAKNQSIIISGESGAGKTETAKYAMQYLATLGGSTSGIEHKILWTNCILEAFGNAKTSRNGNSSRFGKLVEIHFSITGKMRGAYVQTFLLEKSRVVQLAKGERSYHIFYQLCAGAPSILRERLKLKVASEYNYLNQSECLVIDGVNDSLNFHKLVEALDIVQICKADQEQIFSMLAVILWLGNVSFQVIDKENHVEVLADEAITNAARLMGCSIQDLIFALSSHRIRCGRDDIIKKLTFQQAIDRRDALAKFIYASLFDWLMDQINKSLEAGKLPAGRSINILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLKQEDYEEDGIDWTRVDFADNQECLNLFEKKPSGLLSLLDEESNFPNATDLTLANKLKQHLSSSPFFKAERGRAFGIHHYAGEVVYDTNGFLEKNRDSLHSDFFQLLSSCSCQLPQLFVSRMSNQSHSVKFASPLCQSGGLESSMQSVGTKFKGQLFKLMQQLENTTPHFIHCIKPNTKQLPGVYEDDLVLQQLRCGGVLEVLRISRSGYPTRITHEDFAQRYGFLLSGTSVSQDPLSISVVALQQFNVPPEMYQVGYTKVFLRTGQIAKLEEQRKQVLQGILGIQKCFRGSQARRDLNEMKKGAIILQSVIRGENARRKYKSMPKSLTVSASLTADNNNQLVAIICLQSVIRGWLARKQFNDKRKLKNSSHENPNSKRKPGKKISEVKVMPQEQVEIQTSILAELQKRVTKAEVTITQKEEENAALREQLQLVERRWSEYEAKMKAMEETWQMQMESLQTSLAAARKSLAADNAAGQHGKLDSFASPRYDSEENMSSGLRTPVGSTPSKMSLGKENNGPVNAVNILAKEFEQRRQNFDNDAKALAEIKPVQPASADAELRNLKSRFETWKKDYKVRLRETKARLHKLGHGEIDRRSRRWWAKIGSKGLQRS >Manes.15G083100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6390608:6405021:-1 gene:Manes.15G083100.v8.1 transcript:Manes.15G083100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPAAVGRSSLEEMLDSLRRRDEALEKSKDLPPALPARPTSRARLPSARRSLPTDFKVGANGQMESKEEIEINKGKEDTKRKEKQLGYKTGSFGSKKMKKDQNCVDSNPYAEEKNEPAKWSVISSMPNAEEPEWDDNIGYFIKKKLRIWCQLPNGQWGSGTIQSTSRDEAVVLLSTGNFIKVSTADLLPANPDLLEGVDDLIKLSYLNEPSVLYNLKYRYSQEKIYSKAGPVLIAINPFKAVPFYGNEVVKAYKQKLMDSPHVYAIVDIAYNEMMRDAKNQSIIISGESGAGKTETAKYAMQYLATLGGSTSGIEHKILWTNCILEAFGNAKTSRNGNSSRFGKLVEIHFSITGKMRGAYVQTFLLEKSRVVQLAKGERSYHIFYQLCAGAPSILRERLKLKVASEYNYLNQSECLVIDGVNDSLNFHKLVEALDIVQICKADQEQIFSMLAVILWLGNVSFQVIDKENHVEVLADEAITNAARLMGCSIQDLIFALSSHRIRCGRDDIIKKLTFQQAIDRRDALAKFIYASLFDWLMDQINKSLEAGKLPAGRSINILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLKQEDYEEDGIDWTRVDFADNQECLNLFEKKPSGLLSLLDEESNFPNATDLTLANKLKQHLSSSPFFKAERGRAFGIHHYAGEVVYDTNGFLEKNRDSLHSDFFQLLSSCSCQLPQLFVSRMSNQSHSVKFASPLCQSGGLESSMQSVGTKFKGQLFKLMQQLENTTPHFIHCIKPNTKQLPGVYEDDLVLQQLRCGGVLEVLRISRSGYPTRITHEDFAQRYGFLLSGTSVSQDPLSISVVALQQFNVPPEMYQVGYTKVFLRTGQIAKLEEQRKQVLQGILGIQKCFRGSQARRDLNEMKKGAIILQSVIRGENARRKYKSMPKSLTVSASLTADNNNQLVAIICLQSVIRGWLARKQFNDKRKLKNSSHENPNSKRKPGKKISEVKVMPQEQVEIQTSILAELQKRVTKAEVTITQKEEENAALREQLQLVERRWSEYEAKMKAMEETWQMQMESLQTSLAAARKSLAADNAAGQHGKLDSFASPRYDSEENMSSGLRTPVGSTPSKMSLGKENNGPVNAVNILAKEFEQRRQNFDNDAKALAEIKPVQPASADAELRNLKSRFETWKKDYKVRLRETKARLHKLGHGEIDRRSRRWWAKIGSKGLQRS >Manes.15G083100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6390565:6405057:-1 gene:Manes.15G083100.v8.1 transcript:Manes.15G083100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASPAAVGRSSLEEMLDSLRRRDEALEKSKDLPPALPARPTSRARLPSARRSLPTDFKVGANGQMESKEEIEINKGKEDTKRKEKQLGYKTGSFGSKKMKKDQNCVDSNPYAEEKNEPAKWSVISSMPNAEEPEWDDNIGYFIKKKLRIWCQLPNGQWGSGTIQSTSRDEAVVLLSTGNFIKVSTADLLPANPDLLEGVDDLIKLSYLNEPSVLYNLKYRYSQEKIYSKAGPVLIAINPFKAVPFYGNEVVKAYKQKLMDSPHVYAIVDIAYNEMMRDAKNQSIIISGESGAGKTETAKYAMQYLATLGGSTSGIEHKILWTNCILEAFGNAKTSRNGNSSRFGKLVEIHFSITGKMRGAYVQTFLLEKSRVVQLAKGERSYHIFYQLCAGAPSILRERLKLKVASEYNYLNQSECLVIDGVNDSLNFHKLVEALDIVQICKADQEQIFSMLAVILWLGNVSFQVIDKENHVEVLADEAITNAARLMGCSIQDLIFALSSHRIRCGRDDIIKKLTFQQAIDRRDALAKFIYASLFDWLMDQINKSLEAGKLPAGRSINILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLKQEDYEEDGIDWTRVDFADNQECLNLFEKKPSGLLSLLDEESNFPNATDLTLANKLKQHLSSSPFFKAERGRAFGIHHYAGEVVYDTNGFLEKNRDSLHSDFFQLLSSCSCQLPQLFVSRMSNQSHSVKFASPLCQSGGLESSMQSVGTKFKGQLFKLMQQLENTTPHFIHCIKPNTKQLPGVYEDDLVLQQLRCGGVLEVLRISRSGYPTRITHEDFAQRYGFLLSGTSVSQDPLSISVVALQQFNVPPEMYQVGYTKVFLRTGQIAKLEEQRKQVLQGILGIQKCFRGSQARRDLNEMKKGAIILQSVIRGENARRKYKSMPKSLTVSASLTADNNNQLVAIICLQSVIRGWLARKQFNDKRKLKNSSHENPNSKRKPGKKISEVKVMPQEQVEIQTSILAELQKRVTKAEVTITQKEEENAALREQLQLVERRWSEYEAKMKAMEETWQMQMESLQTSLAAARKSLAADNAAGQHGKLDSFASPRYDSEENMSSGLRTPVGSTPSKMSLGKENNGPVNAVNILAKEFEQRRQNFDNDAKALAEIKPVQPASADAELRNLKSRFETWKKDYKVRLRETKARLHKLGHGEIDRRSRRWWAKIGSKGLQRS >Manes.01G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30387387:30391223:1 gene:Manes.01G104100.v8.1 transcript:Manes.01G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMCKPDNSVKEWEVKVKLFGDGKVEKLKNSMVSRSPMKLWILRAITTALLWTCVVHLMALGEMWGPRLLKGWPSCFGRSEVEISSVPAKVLLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVDHFISSLRDEVRILKELPPRLKRRVELGMFYSLPPISWSNISYYHHQILPLVQKYKVVHLNKTDARLANNGLPLEIQKLRCHVNFNALKFTSQIEELGRRVVRILREKGPYLVLHLRYEMDMLAFSGCTKGCSSEEVEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETAIVLSALGIDRNVQIYIAAGEIYGGEKRMKSLAATFPNLVRKETLLGPSDLMFFQNHSSQMAALDYLVSLEGDMFVPTYDGNMAKVVEGHRRFLGFKKTISLDRKLLVGLIDQYKEGSLSWDEFSTTVKEVHADRMGSPKMRVVIPEKPKEEDYFYANPCECLQLVDEPLSDT >Manes.01G104100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30387400:30391114:1 gene:Manes.01G104100.v8.1 transcript:Manes.01G104100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMCKPDNSVKEWEVKVKLFGDGKVEKLKNSMVSRSPMKLWILRAITTALLWTCVVHLMALGEMWGPRLLKGWPSCFGRSEVEISSVPAKVLLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVDHFISSLRDEVRILKELPPRLKRRVELGMFYSLPPISWSNISYYHHQILPLVQKYKVVHLNKTDARLANNGLPLEIQKLRCHVNFNALKFTSQIEELGRRVVRILREKGPYLVLHLRYEMDMLAFSGCTKGCSSEEVEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETAIVLSALGIDRNVQIYIAAGEIYGGEKRMKSLAATFPNLQVRKETLLGPSDLMFFQNHSSQMAALDYLVSLEGDMFVPTYDGNMAKVVEGHRRFLGFKKTISLDRKLLVGLIDQYKEGSLSWDEFSTTVKEVHADRMGSPKMRVVIPEKPKEEDYFYANPCECLQLVDEPLSDT >Manes.01G104100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30387256:30391223:1 gene:Manes.01G104100.v8.1 transcript:Manes.01G104100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMCKPDNSVKEWEVKVKLFGDGKVEKLKNSMVSRSPMKLWILRAITTALLWTCVVHLMALGEMWGPRLLKGWPSCFGRSEVEISSVPAKVLLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVDHFISSLRDEVRILKELPPRLKRRVELGMFYSLPPISWSNISYYHHQILPLVQKYKVVHLNKTDARLANNGLPLEIQKLRCHVNFNALKFTSQIEELGRRVVRILREKGPYLVLHLRYEMDMLAFSGCTKGCSSEEVEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETAIVLSALGIDRNVQIYIAAGEIYGGEKRMKSLAATFPNLVRKETLLGPSDLMFFQNHSSQMAALDYLVSLEGDMFVPTYDGNMAKVVEGHRRFLGFKKTISLDRKLLVGLIDQYKEGSLSWDEFSTTVKEVHADRMGSPKMRVVIPEKPKEEDYFYANPCECLQLVDEPLSDT >Manes.01G104100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30387400:30391114:1 gene:Manes.01G104100.v8.1 transcript:Manes.01G104100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMCKPDNSVKEWEVKVKLFGDGKVEKLKNSMVSRSPMKLWILRAITTALLWTCVVHLMALGEMWGPRLLKGWPSCFGRSEVEISSVPAKVLLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVDHFISSLRDEVRILKELPPRLKRRVELGMFYSLPPISWSNISYYHHQILPLVQKYKVVHLNKTDARLANNGLPLEIQKLRCHVNFNALKFTSQIEELGRRVVRILREKGPYLVLHLRYEMDMLAFSGCTKGCSSEEVEELTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETAIVLSALGIDRNVQIYIAAGEIYGGEKRMKSLAATFPNLQVRKETLLGPSDLMFFQNHSSQMAALDYLVSLEGDMFVPTYDGNMAKVVEGHRRFLGFKKTISLDRKLLVGLIDQYKEGSLSWDEFSTTVKEVHADRMGSPKMRVVIPEKPKEEDYFYANPCECLQLVDEPLSDT >Manes.09G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7890023:7892631:1 gene:Manes.09G045000.v8.1 transcript:Manes.09G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCECPRNPQVYRFSSRNCPPKFGKFPGVPLSTWKNKFWKVPSSLSPAALSVETPPQGYRRNVGICLVNPSKKIFAASRINIPDTWQMPQGGAGEGEDLRNAAMRELREETGVTSAEFLAEAPYWLTYDFPLQVRERLNRRWGTNYKGQAQKWFLFRFTGREEEINLMGDGSEKPEFKNWTWMLPERLVELAVDFKKPVYEQVMKLFSPYLQGDVDEGSCFAQKMKLIIEEHMESPPA >Manes.04G024400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2816597:2821831:1 gene:Manes.04G024400.v8.1 transcript:Manes.04G024400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGGGGGSRDKSSSPVTKPSKFAVYQNPALSAALTANSLQPSKSVFLFIFFLSSASAFALFSIISRENGLIETMRYTNFPLEAAYIFSKVIQAFVGSVFIGSLFSLYKAISWHRGAAGVPIKSPSKETKDQSLLTTHQLGLLGLKPKVESVITEASKKPPKSKPILSASEVLVPIHQPIISSNRKSRVGPDKSNAGSGNKMTSFSTPSMSQSSPSSLYLVAGASSPLASTHSSPGIDSAVSTPWSGKRTTFTKEIATEEQLEQFLAEVDEKIAESAGKLATPPPTIRGFSVASPNAVASPANTSGTTRSTPLRPVRMSPGSQKFTTPPKKGEGDLPLPMSMEESIEAFKHLGIYPQIEQWRDHLRQWFSSVVLNPLLNKIETSHIQVMQAAAKLGISVTISQVGSDSPTGGTPASMSSVDRKEWQPAFALDEDGLLYQLRATLMQAIDAYMPKLPLANLLQSPQQNPMLPIMQECVDAITEHQRLHTLMKGEWAKGLLPHTSVPEDYMVQRIQELAEGTCLKNYEYLGSGEVYEKKKKWTLELPTDSHLLLYLFCAFLEHPKWMLHVDPTSHAGVQSSKNPLFLGVLPPKERFPEKYISVLSSVPSTIHPGACVMVVGKQSPPIFALYWDKKLQFSLQGRTALWDSILLLCHRIKVGYGGIVRGMHLGSSALSILPVLESETDD >Manes.02G174500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13793557:13796038:-1 gene:Manes.02G174500.v8.1 transcript:Manes.02G174500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCNLKPLFLAIIVILTYVVVTSKGQLRVGFYSQTCPSAESIVRNAVQEAVFGDRQMAPRLLRLLFHDCFVQGCDGSILLENTETSERRAEGNLGLAGFEVIQSAKTQLEATCPGVVSCADIVALAARDAVAMTAGPFFGIPTGRRDGRISNIQFAANLPDVDDSIELLRSKFKEKGLSDRELVLLSGGGHSIGTTACFFMPKRLYNFTGHGDSDPAIDSQFLPDLKAQCPFNGDVDVRLPLDSSSEFIFDGHIFHNIRNGFAVLASDARLYDDMHTRQIVNFYSGFPSVIRRLSFKADFAAAMVKMGSIGVKTGSDGEIRRVCNSVN >Manes.02G174500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13793557:13796038:-1 gene:Manes.02G174500.v8.1 transcript:Manes.02G174500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCNLKPLFLAIIVILTYVVVTSKGQLRVGFYSQTCPSAESIVRNAVQEAVFGDRQMAPRLLRLLFHDCFVQGCDGSILLENTETSERRAEGNLGLAGFEVIQSAKTQLEATCPGVVSCADIVALAARDAVAMTAGPFFGIPTGRRDGRISNIQFAANLPDVDDSIELLRSKFKEKGLSDRELVLLSGGHSIGTTACFFMPKRLYNFTGHGDSDPAIDSQFLPDLKAQCPFNGDVDVRLPLDSSSEFIFDGHIFHNIRNGFAVLASDARLYDDMHTRQIVNFYSGFPSVIRRLSFKADFAAAMVKMGSIGVKTGSDGEIRRVCNSVN >Manes.03G170600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29587462:29590095:1 gene:Manes.03G170600.v8.1 transcript:Manes.03G170600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSRQNPEPESVSVEAQPEIKRNNLPNFLLSVRLKYVKLGYHYLISSALYLLLIPLLGIASAHLSTLTIQDLIQIWNHLKFNFVSVTLCSGLIVFLATLYFMSRPRKVYLVNFACYKPEEARMCTREIFMERSGLAGSFTEENLAFQKKILERSGLGQKTYLPEAVMRVPPNPCMAEARKEAETVMFSAIDELLDKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNIMSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRSSDGRRSKYQLIHTVRTHKGADDKCYNCVFQREDESERKRIGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALKTINPAKEKNPWMDEIDEFPVHVPKVVSINSSSTSSH >Manes.11G021600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2274579:2275028:1 gene:Manes.11G021600.v8.1 transcript:Manes.11G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLWYGIVTFGTLTIAFAVYTLVMIGWRVSHNWLSHPGSASPAEQKNSVSMNLSPYSASTFKYKKGIRNSEAPETECVVCLSDFEDEEYVRQLSHCRHSFHAPCIDMWLYSHSDCPICRTPIHRLDSDDGVSATQNSVEGLLDIRISS >Manes.14G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10076329:10083247:-1 gene:Manes.14G126900.v8.1 transcript:Manes.14G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDHLFSLRNNFYLGAYQAAINNSDLPNLSPDDAVERDCLVYRSYIALGSYQLVINEIDNSAATPLQAVKLLALYLSSPANKESTISSLKEWLADSAIGNNAILRLIAGTIFMHEEDYNEALKHTNAGGTMELHALNVQIFLKMHRSDYAEKQLRYMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTSLILNGKAVCCMHMGNFDEAETLLLEALNKDAKDPETLADLVVCSLHLGKPSSRYLSQLKLSHPDHMLVKRASSAEENFERAVQSVA >Manes.10G099700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24902396:24903448:1 gene:Manes.10G099700.v8.1 transcript:Manes.10G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRQFSAFMLPFFLIGVSFLNSQEILVDARQLLEITLPEIPELPEIPSFPKVELPPLPELPELPELEVPELPDLLDIPDLPDLAKPTLAAKPTISKDMNPFHSTTSP >Manes.10G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1887088:1890902:1 gene:Manes.10G019400.v8.1 transcript:Manes.10G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPSRLFTIGLVSSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRLQFFKIAALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAVFAYLMTLKREAWLTYVTLIPVVTGVIIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEKNVVGITLALARDDVKIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSK >Manes.10G074800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13237095:13240614:1 gene:Manes.10G074800.v8.1 transcript:Manes.10G074800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQANLKRVGRATTADLELDPSTSHRNFLLNYTRRHHQHHNPTRHDLEGCDPLRRSSHLRHLCHRLSSHSDHASGWLEQGTSHYVPSDSISSETISSSNRARLTGNERLPGAVLLARARLLERLRGVSFSGNRQSGSVSFDIRNREHTFVDAGNWGTDIPSGKQFMQESYKKKPPGLTPEDLNRLSLEVFSGLERGVEERDKLICLPCEHRFHFACLDPWVRTCGDCPYCRRDIVVSSHKM >Manes.15G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:714663:716865:1 gene:Manes.15G007000.v8.1 transcript:Manes.15G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRKAITHADLAPGPRCTDLGSKTAAFLTVLTILCGLFCFILCLIAEATRSQVTWVAEDVKGNGENYECVYSGSGKTPLLCTAVAFVGLAVAMVVEHAYMLIAISKAPPPVLLTWDPGYGPAKTITWQAGFFFVATWVCFAVGEILLLIGLSVESGHLKNWSRPRPSCLIIKQGLFSAAGVFSLLTVFLASGLYLTALRAQRISQEHESLQRQILEASVLYASPPRSPSHQISATARENPIARESHRTVQTTLIDPQAFSKSLILV >Manes.03G012500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1049022:1051792:1 gene:Manes.03G012500.v8.1 transcript:Manes.03G012500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSRSRRSSGQVQHSHSTRGRFQNPHTSSSSSSAFASSTSSSFCSPPTAFFHDTHHRSSSPTRVNLYTTSYSNSNSNSFRFSIGRSSSPSRSIPKQNHPISLPKKTCMCSPTTHPGSFRCSLHKNTRTRNHGSGSAPYTPNRLNMMRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRADFKPKPSRLSVMSKAESL >Manes.03G012500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1049022:1051792:1 gene:Manes.03G012500.v8.1 transcript:Manes.03G012500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSRSRRSSGQVQHSHSTRGRFQNPHTSSSSSSAFASSTSSSFCSPPTAFFHDTHHRSSSPTRVNLYTTSYSNSNSNSFRFSIGRSSSPSRSIPKQNHPISLPKKTCMCSPTTHPGSFRCSLHKNTRTRNHGSGSAPYTPNRLNMMRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRADFKPKPSRLSVMSKAESL >Manes.03G012500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1049022:1051792:1 gene:Manes.03G012500.v8.1 transcript:Manes.03G012500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSRSRRSSGQVQHSHSTRGRFQNPHTSSSSSSAFASSTSSSFCSPPTAFFHDTHHRSSSPTRVNLYTTSYSNSNSNSFRFSIGRSSSPSRSIPKQNHPISLPKKTCMCSPTTHPGSFRCSLHKNTRTRNHGSGSAPYTPNRLNMMRSAMKNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRADFKPKPSRLSVMSKAESL >Manes.01G173100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35458281:35465246:1 gene:Manes.01G173100.v8.1 transcript:Manes.01G173100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNLTQNSISNAVKSALIFLGTGCSNTVPFGLCLVQPSDPPCHVCYQALSVPPEQNPNYRCNTSLLIDYCESDEKHSYILIDIGKTFREQVLRWFTFHKIPRLDSIILSHEHADAVLGLDDIRAVLPFSPTNNIDPIPIYLSQFTMESVAMRFPYLTKKNLREGQKIRRVAAQLDWNIIEEDCQRPFVASGVKFVPLPVMHGEGYICLGFLFGEKSRVAYISDVSRIPASTEYVISKAGAGQLDLLILDASRKYGLPGAHFTLSQSLEAVKRLYPKQALFTGMGHELDHYKDNEFLAEWSKREGILVQLAHDGLRIPIDM >Manes.01G069000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27025219:27031443:1 gene:Manes.01G069000.v8.1 transcript:Manes.01G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVGILAVDIYFPPTCVNQEALEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTAVASLLDKYDIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKHGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKHFCAKYEKLEGKQFSISDAEYFVFHSPYNKLVQKSFGRLVFNDFVRNASSIDETAKEKLAPFSTLSGDESYQNRDLEKASQQVAKALYDAKVQPTTLIPKQVGNMYTASLYAAFASLLHNKHTELAGKRVILFSYGSGLTATMFSLRLNEGQHPFSLSNIASVMNVAGKLKARHEFAPEKFVDILKLMEHRYGAKDFVTSKDCSLLASGTYYLTEVDTMYRRFYAQKAVGNTVENGSLANGHS >Manes.09G182600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37064837:37065355:-1 gene:Manes.09G182600.v8.1 transcript:Manes.09G182600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYQSCREISEVGVTEDHDDDENKVGCGSPGGGSVDIDKKNNRKKKKKKERSGYGWRDGDFAKAKKVVLLQFTKTKKYLKHSRTNSNKGPSSENASTTATTGKRGVIGNCLCLKKPSTVESPAESQTSDPNDPNFTFDMLRILIEKNDFYSKECNPHIAATPLSFSNRLSG >Manes.02G014366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1397186:1397512:1 gene:Manes.02G014366.v8.1 transcript:Manes.02G014366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVVKSWFFFFFLLASQSVSAHKKSFNQKEPCKRFVLYYHDILFNGTDSANATSAAATEPTELNKFNFGMLVVFDDPMTKRQQSSNPFSGTSTRVLFL >Manes.06G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22975622:22977508:-1 gene:Manes.06G095000.v8.1 transcript:Manes.06G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISSSFCFLLLLALLFSNYNGCKAKTQINVYELRKGDFSLNFTNFGATMLSAILPDKHGKLADVVLGFESIEEYKNDTTYFGNIVGRVANRITAAQFTLNGTLYKLVPNEGKNILHGGPKGFSEVTWKVYSYNKNSHITFTYDSFDAEEGFPGDLSVSVTYMLVGTHNLAVKMLAKPLNKATPVNLALHTYWNLGGHNSGDILSHKIKIFGSKITPVDNDLLPTGKITPVKGTPFDFLEPREIGSRFNELSDGYDINYVLEDLNPGHLKKVAVVKENVSGRQLELWTNKPGLQFYTSNKLDNVKGKGGFVYKKHAGICLETQGFPDSVNHQNFPSQIVNPGETYEHFMVFRFTAS >Manes.06G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19786067:19790173:1 gene:Manes.06G063300.v8.1 transcript:Manes.06G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALCYRIIFPIRCTSSNNNTRDPNKQKLHKIKINGTPSARPHKIDSLSQIAAGGAAASVAFAAKNIIRQNIPTKKQNIDAHRQGFIVEQGVGYRQTVVIRSYEVGPDKTATLESIFYLLQETALNHVWLSGLLSNGFGATHGMVRNNLIWVVSKLQVQVDQYPIWGEVVEIDTWVGASGKNGMRRDWLIRSQATGHVFARATSTWVMMNEKTRRLSKMPEEVRTEISPWFIEKQAIKEEVPEKIPKLDEKARYDITNLKPKRSDLDMNHHVNNVKYVRWMLETIPDQFLESHQLSGIILEYRRECGSSDIVQSLCEPDEDGIINTGLKQANDVVPLLNGFSLASEIMEGNGLLGSLDKAPLRYTHLLLTKGDSQNEEIVRGRTIWKKKQIIKPFLT >Manes.18G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9334660:9345816:-1 gene:Manes.18G100500.v8.1 transcript:Manes.18G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGHHYSNGTSDHVAIGIRAPPSQQQQQQQQYKPKPVKVRRSARSDKSRAAAFSIGAVIVVLFVVLSVTVLAYYYLSVDDKEINSHHVEDDETKNDLDFLTNVTRTDNFRVLGFGEGSVGHGRDSRYWDRDDRRRDGDYNEDDVDHDRGEVRNESAEKDHDPMEVKGGKLKPTQDDAFKGLKQGGFGLYNEDGRNELKRYEAEYEASLKSNGQSRKENDINSRVLDDEDRAQQKELVDTDNEYDDIIDFHDPRMEEYGNSENESGDHTTLGISQEKDVKEFSNFHDAETMDQSISKHNSEVSENMLEKSLSSRALDNVDTNPQHVRFSGSQSITKSRSDSKKKAKRRKFSGSCEMKFLNSSQLVEPFESRKFSRFSLQYVEMEERSNGEEQWEPRFAGHQSLQEREESYLVHDQKINCGFVKGPEGSPSTGFDLAEDDANYISRCHIAVISCIFGNSDRLRSPASKMVTRLSKKNVCFVMFVDEATLQTLTSEGQMPDRAGFIGLWKIVVVKNLPYTDMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQLDPLLLLEYFLWRKGHEYAISNHYDRHCVWEEVAQNKRLNKYNHTVIDQQFAFYQADGLKRFNASDPNKLLPSNVPEGSLIVRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYQKLRRMNPGKPFYLNMFKDCERRAIAKLFRHRSAEKRNILHQHEQATE >Manes.18G100500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9334660:9345816:-1 gene:Manes.18G100500.v8.1 transcript:Manes.18G100500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGHHYSNGTSDHVAIGIRAPPSQQQQQQQQYKPKPVKVRRSARSDKSRAAAFSIGAVIVVLFVVLSVTVLAYYYLSVDDKEINSHHVEDDETKNDLDFLTNVTRTDNFRVLGFGEGSVGHGRDSRYWDRDDRRRDGDYNEDDVDHDRGEVRNESAEKDHDPMEVKGGKLKPTQDDAFKGLKQGGFGLYNEDGRNELKRYEAEYEASLKSNGQSRKENDINSRVLDDEDRAQQKELVDTDNEYDDIIDFHDPRMEEYGNSENESGDHTTLGISQEKDVKEFSNFHDAETMDQSISKHNSEVSENMLEKSLSSRALDNVDTNPQHVRFSGSQSITKSRSDSKKKAKRRKFSGSCEMKFLNSSQLVEPFESRKFSRFSLQYVEMEERSNGEEQWEPRFAGHQSLQEREESYLVHDQKINCGFVKGPEGSPSTGFDLAEDDANYISRCHIAVISCIFGNSDRLRSPASKMVTRLSKKNVCFVMFVDEATLQTLTSEGQMPDRAGFIGLWKIVVVKNLPYTDMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQLDPLLLLEYFLWRKGHEYAISNHYDRHCVWEEVAQNKRLNKYNHTVIDQQFAFYQADGLKRFNASDPNKLLPSRL >Manes.06G041100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:12788447:12789059:1 gene:Manes.06G041100.v8.1 transcript:Manes.06G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAADGFFRFVNNGCLSGSDTGIERRPYHRNCRCALHNNSKGSCHHEISKCKTVSYPIRRSWSEGCLALASVSAAASGHSSLSSSSSCSCFQMGKIQQLGLCSDVDE >Manes.07G067750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:15744001:15746426:1 gene:Manes.07G067750.v8.1 transcript:Manes.07G067750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPCSGKSTAALCLAEALKDSESKLNVRVVNDASFHLDRNQSYANMTAEKNLRGVLRSEVDRSVSKDNIIIVDSLNSIKGYRYELWCLARAAGIRYCVLFCDVEETQCQKWNEQRREKGEAAYDNAIYDDLVRRFETPDKRNRWDSPLFVLWPSRDGIHKSSAAIVDAISYLTKKVDSKSRDVKILQPTIATQSTRFSEANSLYELDRATQEVINVIVEAQSQAIGGPINGVSLGQDLPTLNISRSVGLPELRRLRRTFIKLTGQTSLSGPPPPSDAGSAKRMFVDYLNRELGTA >Manes.08G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35999100:36001682:-1 gene:Manes.08G120400.v8.1 transcript:Manes.08G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGDSDEDDPIPILTQHLNPLESSTDISAETTITISPMNSHFSALTCRDTLRLIFEKLPVADLSRACCVCRVWNSVASDGDIVKRAFMSPWKLKEVVGKPVSGNFWRDNGIWKFSISHKIIRGDTVASLAVKYSVQVMDIKRLNNMMSDHGIYSRERLLIPISNPELLVNGTCYIELDTYAKREVAVLYLEGEPDRKLRYLSNWATSDRGKRRVLDSLKRSMQVDDGTAQYYLSISNGDPRAAITQFSADIKWERQAGLG >Manes.06G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27373236:27376129:1 gene:Manes.06G147700.v8.1 transcript:Manes.06G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLFTQGFVQSSTTFVSFLFLMLGAFLFTRFLQSNVITGSLAEKSIFTAKTAKPRDHIEIPLNCDVLNLTRTCPRDYPTTFSQDPDRPSPPTCPQYFRWIHEDLRPWARTGISRDMVERAKTTANFRLAIVNGRAYLETYEKAFQTRDVFTLWGILQLLRRYPGRLPDMEMMFDCVDWPVIKSVDFTGPNATAPPPLFRYCGNDDTLDLVFPDWSFWGWAETNLKPWEHILVDLKEGNGRSRWLDREPYAYWKGNPDVAESRQDLIKCNVSEQQEWNARLYRQDWVRESQQGFKQSDLANQCLHRYKIYIEGSAWSVSEKYILACDSVTLIVKPRYYDFFSRGLMPFQHYWPLSEDEKCRSIKFAVDWGNSHRQKAQKIGNAASKFIQEELKIDDVYDYMFHLLSEYAKLLTFKPTIPRNATELCSEIMACPAEGTEKKFMMESLVKVPEDAGPCTLPSPYDPSSLLAVIRKKATAIKVVRLWEKKFWQHQTK >Manes.06G147700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27373933:27376205:1 gene:Manes.06G147700.v8.1 transcript:Manes.06G147700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAKTTANFRLAIVNGRAYLETYEKAFQTRDVFTLWGILQLLRRYPGRLPDMEMMFDCVDWPVIKSVDFTGPNATAPPPLFRYCGNDDTLDLVFPDWSFWGWAETNLKPWEHILVDLKEGNGRSRWLDREPYAYWKGNPDVAESRQDLIKCNVSEQQEWNARLYRQDWVRESQQGFKQSDLANQCLHRYKIYIEGSAWSVSEKYILACDSVTLIVKPRYYDFFSRGLMPFQHYWPLSEDEKCRSIKFAVDWGNSHRQKAQKIGNAASKFIQEELKIDDVYDYMFHLLSEYAKLLTFKPTIPRNATELCSEIMACPAEGTEKKFMMESLVKVPEDAGPCTLPSPYDPSSLLAVIRKKATAIKVVRLWEKKFWQHQTK >Manes.10G004157.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:326696:327025:1 gene:Manes.10G004157.v8.1 transcript:Manes.10G004157.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIEMDLKGKFLEEIVLKDEIGCWLEQKVNYEWELVKCENCNKIGHGKIECRDEYNKKVCQEKIGEGQTVEEGGDGKPDEVGKGDLEGTVSSEETGQLKDTSKWRLLR >Manes.12G127000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33343994:33344779:1 gene:Manes.12G127000.v8.1 transcript:Manes.12G127000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKAKLFSSTSVFLDSHEKTHDHNGLKNTRDKPQMNGKKSFWTVCPYCYYLYEYDGVYEECCLRCQNCRRPFHGVAVPPPPVGMVVEGKEQYYCGVGCFPLRYDFESCLGGKKGESGDGESCLGEKKEDGEGLKKVTEDVVEISDDSDGDFDNQEVGAGEMVKNGETKGVGLGEMVKNGLESVHGSVRAGEAGSVMRGSRRTEIKKDGMRVMRVKTVARNTKKLLGTGKKNMNLKSKGNLETRREDRGAEFGEGAGENRTE >Manes.13G089634.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12781535:12782506:-1 gene:Manes.13G089634.v8.1 transcript:Manes.13G089634.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGSRNKAGYLTGEVKKPPPEDPSYAIWVTENYKVKSWLIDSMDPLLMQRSLSTYYNKLVVIFQEIDHMMTSQEETVEGVVQLHSTMARLRVHIFLSELDLEFDHVCGEILRKDPKLNLESTYAYVRREYQQRQTMGGSRPISESSVMVAKRTQQGPSSGSIKTQSAKPNNFVYSYCSETGHSKQRCYEIIGYPEWWDFIKKPRKKVVGTPMMAATTKVQQNMEDKSQSIANVLSATSKNSTWIIDTGAFDHMTKDSGQLQSIRASSQSVIPTANVTHPG >Manes.18G059911.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5330711:5336545:1 gene:Manes.18G059911.v8.1 transcript:Manes.18G059911.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANLRCVIVAVDGSEQSMNALRWALDNLKLRAPAADSTETPGTFIILHVQSPPSIAAGLNPGAIPFGGPSDLEVPAFTAAIEAHQKRITEAILEHALEICRQKTANVKTQVVIGDPKEKICEAVENLHADLLVMGSRAFGPIKRMFLGSVSNYCTNHAECPVIIVKGKDASS >Manes.18G059911.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5330710:5334797:1 gene:Manes.18G059911.v8.1 transcript:Manes.18G059911.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANLRCVIVAVDGSEQSMNALRWALDNLKLRAPAADSTETPGTFIILHVQSPPSIAAGLNPGAIPFGGPSDLEVPAFTAAIEAHQKRITEAILEHALEICRQKTANVKTQVVIGDPKEKICEAVENLHADLLVMGSRAFGPIKRMFLGSVSNYCTNHAECPVIIVKGKDASS >Manes.18G059911.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5330711:5336545:1 gene:Manes.18G059911.v8.1 transcript:Manes.18G059911.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANLRCVIVAVDGSEQSMNALRWALDNLKLRAPAADSTETPGTFIILHVQSPPSIAAGLNPGAIPFGGPSDLEVPAFTAAIEAHQKRITEAILEHALEICRQKTANVKTQVVIGDPKEKICEAVENLHADLLVMGSRAFGPIKRMFLGSVSNYCTNHAECPVIIVKGKDASS >Manes.18G059911.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5330711:5336545:1 gene:Manes.18G059911.v8.1 transcript:Manes.18G059911.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANLRCVIVAVDGSEQSMNALRWALDNLKLRAPAADSTETPGTFIILHVQSPPSIAAGLNPGAIPFGGPSDLEVPAFTAAIEAHQKRITEAILEHALEICRQKTANVKTQVVIGDPKEKICEAVENLHADLLVMGSRAFGPIKRMFLGSVSNYCTNHAECPVIIVKGKDASS >Manes.18G059911.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5330710:5334872:1 gene:Manes.18G059911.v8.1 transcript:Manes.18G059911.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANLRCVIVAVDGSEQSMNALRWALDNLKLRAPAADSTETPGTFIILHVQSPPSIAAGLNPGAIPFGGPSDLEVPAFTAAIEAHQKRITEAILEHALEICRQKTANVKTQVVIGDPKEKICEAVENLHADLLVMGSRAFGPIKRMFLGSVSNYCTNHAECPVIIVKGKDASS >Manes.18G059911.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5330804:5331481:1 gene:Manes.18G059911.v8.1 transcript:Manes.18G059911.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANLRCVIVAVDGSEQSMNALRWALDNLKLRAPAADSTETPGTFIILHVQSPPSIAAGLNPGAIPFGGPSDLEVPAFTAAIEAHQKRITEAILEHALEICRQKTANVKTQVVIGDPKEKICEAVENLHADLLVMGSRAFGPIKR >Manes.18G140154.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15053104:15053592:1 gene:Manes.18G140154.v8.1 transcript:Manes.18G140154.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESCLLNWKIKRVFTVTVDNASSNDVTIIYLKKKINGWGFGILNCKYLHMRCIAHIINLVMVDGLKENIEAVKMVREAVRYVRQSLARLQKFKSCCEMEGIQSKCHLSLNVSTRWNSTYLMLRTAEKLKNAFDRFRTFGLCFKFDLVSSKECDGVPDSLD >Manes.11G146100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31052288:31053806:-1 gene:Manes.11G146100.v8.1 transcript:Manes.11G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREDDDNARLCSCFKKYDEIVKQVLMLDELTDSVDSRSRLQKRKSKKNRRGNSNGGNGSVVDDESFDEVIVQTITRFLHDLNTTTVDRTPNPSAGK >Manes.06G150200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27835342:27841357:1 gene:Manes.06G150200.v8.1 transcript:Manes.06G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFSRTGPSGFSASSTAEEVTQGIDGSGLTAIVTGASGGIGSETARVLALRGVHVIMGVRNMEAGREVKESIIKENPRAKVDTMELDLSSLASVRKFAADYRSLDLPLNILINNAGIMATPFMLSKDNIELQFATNHIGHFLLTNLLMDTIKKTAGGSRKEGRIVNVTSRRHKFSYPEGIRFNKINDSSGYNCLSAYGQSKLANVLHANELARRLQEDEVEVTANSVHPGPIATNLFRHHIILKGVVDLLGKYVIKNVEQGAATTCYVALHPQVKGLNGCYFSDSNVAEASSHATDAKLARKLWNFSLDLVSSCPGARNSK >Manes.16G100700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30627421:30629660:-1 gene:Manes.16G100700.v8.1 transcript:Manes.16G100700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEDKSRGGGTGGDGDFSPSFSTSLLSGPLQRPENIIKPEDDSPIDSVTTVKAEEMLLVRDPCPVTCSACGKASHGGPPSSPSSSIKTVDIQKAAAATETVKVVMVKEEEEEEDDEDTGAIGVAHYGNCLNGGGGGGVDGGGVHSNGSSSASSSVDLPKPMQGLHETGPPPFLKKTFEMVEDPETDSIVSWNKNRDSFIVWDAHEFSKHLLPKYFKHCNFSSFIRQLNTYGFRKIDPDRWEFANEGFRGGKKHLLKNIKRRSRYNKQQQGGANGGDLAKPGMEIELESLKNDQELLKVEILKLRQQQESSENQLSIVDQRTRVAECKQLQMFIFLSKVAKHRSFIQNLFQKRKQQRELEGVEFKKRRLLQTQDPETSPDPADANQTVNYRYQAQEQLATMQTELAEILREDTEINPMSKIFESPMNDEFCSPIQDQKDNFMCGTNDSESVYHLMSEKLLDDNAVFENLVEDLEMNDSKFYLELEDLIGKSRSWGGYVTEVVEHAGCI >Manes.18G069100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6269166:6275076:-1 gene:Manes.18G069100.v8.1 transcript:Manes.18G069100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYHNDGLEYVGDDYYDVVDFEDNPFLEPETQRDADLDSVDSDFDDDFELSKPKTDTSAVEARNGKDIQGIPWERLNFTRDKYRETRLKQYKNYENLSRSREELDKVCLQVEKGKTFYEFQFNTRLVKSTILHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIAPTLKQPRSSSESLSRVQISTMAVKENWLVAGGFQGELICKHLSQPGVEFCTKVTTDENAITNAVDIYRNQNGLMRITTANNDALVRVYDAESFACVNRFSFDWSINNTSVSPDGKLFAVLGDSTECLIIDTHSGKVTGSLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALRFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISLSPDAEALFVGVADRTYGSLLEFNRKHYNRYLDCLF >Manes.18G069100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6269172:6275059:-1 gene:Manes.18G069100.v8.1 transcript:Manes.18G069100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIAPTLKQPRSSSESLSRVQISTMAVKENWLVAGGFQGELICKHLSQPGVEFCTKVTTDENAITNAVDIYRNQNGLMRITTANNDALVRVYDAESFACVNRFSFDWSINNTSVSPDGKLFAVLGDSTECLIIDTHSGKVTGSLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALRFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISLSPDAEALFVGVADRTYGSLLEFNRKHYNRYLDCLF >Manes.18G069100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6269172:6275059:-1 gene:Manes.18G069100.v8.1 transcript:Manes.18G069100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIAPTLKQPRSSSESLSRVQISTMAVKENWLVAGGFQGELICKHLSQPGVEFCTKVTTDENAITNAVDIYRNQNGLMRITTANNDALVRVYDAESFACVNRFSFDWSINNTSVSPDGKLFAVLGDSTECLIIDTHSGKVTGSLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALRFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISLSPDAEALFVGVADRTYGSLLEFNRKHYNRYLDCLF >Manes.18G069100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6269166:6272847:-1 gene:Manes.18G069100.v8.1 transcript:Manes.18G069100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIAPTLKQPRSSSESLSRVQISTMAVKENWLVAGGFQGELICKHLSQPGVEFCTKVTTDENAITNAVDIYRNQNGLMRITTANNDALVRVYDAESFACVNRFSFDWSINNTSVSPDGKLFAVLGDSTECLIIDTHSGKVTGSLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALRFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISLSPDAEALFVGVADRTYGSLLEFNRKHYNRYLDCLF >Manes.18G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6269212:6275059:-1 gene:Manes.18G069100.v8.1 transcript:Manes.18G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYHNDGLEYVGDDYYDVVDFEDNPFLEPETQRDADLDSVDSDFDDDFELSKPKTDTSAVEARNGKDIQGIPWERLNFTRDKYRETRLKQYKNYENLSRSREELDKVCLQVEKGKTFYEFQFNTRLVKSTILHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIAPTLKQPRSSSESLSRVQISTMAVKENWLVAGGFQGELICKHLSQPGVEFCTKVTTDENAITNAVDIYRNQNGLMRITTANNDALVRVYDAESFACVNRFSFDWSINNTSVSPDGKLFAVLGDSTECLIIDTHSGKVTGSLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALRFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISLSPDAEALFVGVADRTYGSLLEFNRKHYNRISFALTLVAEFCILSLREPSGVSGQRCDLIALRSHFREGDF >Manes.18G069100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6269821:6271175:-1 gene:Manes.18G069100.v8.1 transcript:Manes.18G069100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSCTLQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIAPTLKQPRSSSESLSRVQISTMAVKENWLVAGGFQGELICKHLSQPGVEFCTKVTTDENAITNAVDIYRNQNGLMRITTANNDALVRVYDAESFACVNRFSFDWSINNTSVSPDGKLFAVLGDSTECLIIDTHSGKVTGSLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALRFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISLSPDAEALFVGVADRTYGSLLEFNRKHYNRYLDCLF >Manes.18G069100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6269172:6275059:-1 gene:Manes.18G069100.v8.1 transcript:Manes.18G069100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYHNDGLEYVGDDYYDVVDFEDNPFLEPETQRDADLDSVDSDFDDDFELSKPKTDTSAVEARNGKDIQGIPWERLNFTRDKYRETRLKQYKNYENLSRSREELDKVCLQVEKGKTFYEFQFNTRLVKSTILHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIAPTLKQPRSSSESLSRVQISTMAVKENWLVAGGFQGELICKHLSQPGVEFCTKVTTDENAITNAVDIYRNQNGLMRITTANNDALVRVYDAESFACVNRFSFDWSINNTSVSPDGKLFAVLGDSTECLIIDTHSGKVTGSLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALRFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISLSPDAEALFVGVADRTYGSLLEFNRKHYNRYLDCLF >Manes.18G069100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6269821:6271810:-1 gene:Manes.18G069100.v8.1 transcript:Manes.18G069100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIAPTLKQPRSSSESLSRVQISTMAVKENWLVAGGFQGELICKHLSQPGVEFCTKVTTDENAITNAVDIYRNQNGLMRITTANNDALVRVYDAESFACVNRFSFDWSINNTSVSPDGKLFAVLGDSTECLIIDTHSGKVTGSLKGHLDYSFASAWHPDGQILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALRFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISLSPDAEALFVGVADRTYGSLLEFNRKHYNRYLDCLF >Manes.09G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32248880:32255591:1 gene:Manes.09G119700.v8.1 transcript:Manes.09G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSSQSEKAKMVATWLGVAVGVCLLGLYLKGGDLDQWRRMRRKKKKVRVYMDGCFDMMHYGHCNALRQARALGDELVVGVVSDAEIIANKGPPVTPLHERMIMVKAVKWVDEVIPDAPYAITEDFMKKLFEEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSISDSHNHSSLQRQFSHGHSPKFEDRGSGTGAGTRVSHFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRVARGLGDFLLVGIHNDQTVSAKRGAHRPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDMITTFNISLVVHGTVAENIEYEKEQSNPYAVPISMGIFEVLESPLDITTSTIIRRIVANHEAYQKRNEKKAESEKRYYEDKTYVSGD >Manes.03G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22693212:22695972:-1 gene:Manes.03G103100.v8.1 transcript:Manes.03G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSSLDRRIQSLLPVALASILVLGTARLVLDNLKSNHSHIFRVYNNNGRQHPVFVLPKDRFEEGCNVFQGQWVWDNVSHPLYSEESCPYLVKQTTCQRNGRPDSFYQNWRWKPHQCKLPRFDPLKLLDVLRGKRLMFIGDSVQRGQFESMVCMVQSAIPEGKKSFHRIPPMKIFKAEEFNASIEYYWAPFIVESISDHATNHTVLKRLVNLDSIAKHGMSWEGVDFLVFESYVWWMYKPLINATYGSVDDIQEYNVTTAYELALKTWANWLEANIDTQKQKVFFMSMSPTHLWSWEWNPGSDENCFNESYPIKGPYWGTGSNLQIMKIVGDILQQLKINVTLLNITQLSEYRKDAHTTIYGERKGKLLTKEQRSDPKKFADCIHWCLPGVPDTWNEVLYAYLLQNHQNFL >Manes.05G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3470167:3476105:-1 gene:Manes.05G042700.v8.1 transcript:Manes.05G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGDAPSMQPVANGGEFLLSLLQRPNQQLQTPSPTPPSQPQRPIPISITPPPPAQQYLQLQQQQQQQQQQQQPQPLALDPAVAAVGPSIPFAPPLWQSNGRDILTPPWPHNLSAPPPVLPGFLGFPQNPWPSQGIQLQANQQGVLGDDVQRLGFLTADVRVNNTIHNLVHHKQQLEQKLQFGSFRSDIQPAEGLLDVNSKLSAAKELEVGLEIRNLNGLERNPKFDPQFSSNPKKIDLREQDQRAGGVWGKQLHGGGYRSQETRKPPPGFSSKPRGGGGGGGNWNYGHRRERDHTLNKGNHGELSNTNAFMSSEGKSLKDGNSSRDLGLTGQLDHPGPPAGSNLHSVSASDVEEPLLNMEAGMIEDGKDEGHELDDVGEELVDSLLLEGESDGKNDRKQNRHSREKESRLDNRGQRMLSQRMRILKRQMECRRDIDRLNASFIEIYESLVLPQEEKAKQKQLLAVLEKLVNREWPQAKLYLYGSCANSFGVLKSDIDVCLAIEDANINKSEVLLKLADILQSDNLQNVQALPRARVPIVKLMDPVTGISCDICINNVLAVVNTKLLWDYAQIDVRLRQLAFIVKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQQRRPAILPCLQEMEPTYSVTVDDIKCAYFDRVEKLQGFGSRNREPIAQLVWAFFNYWAYRHDYVNAVVSVRTGSIISKQEKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKHTIKVLREEFERAADILQYDPNPCVTLFEPYVP >Manes.04G113300.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31711753:31715988:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.04G113300.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31711753:31715282:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.04G113300.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31711752:31715988:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.04G113300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31711734:31715988:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLEDFVGGLTGYSLLPFYIGQIYPGFQASHMDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.04G113300.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31711752:31715988:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.04G113300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31711734:31715988:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.04G113300.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31711753:31715282:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.04G113300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31711753:31715282:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLEDFVGGLTGYSLLPFYIGQIYPGFQASHMDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.04G113300.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31711753:31715282:1 gene:Manes.04G113300.v8.1 transcript:Manes.04G113300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMENGGLFLRSKNSTFGFGFYTALDAQSYLLVIIHLKSAKVVWTANRGLLISDSDKFLFEKNGDVYLQRGDGVAWSAGTKGQRVTSMELMDSGNMVLHGDSGRILWQSFSYPTDTLLPGQEFVEGMRLKSFPNKNTLTNYLEIKSGDLVLYAGYITPQIYWSLANESRKTNSSVKGKVHYASLLSNSWNFYDQNRVLLWQFIFSDNSDPYVMWALKLGADGAIAFYNLEKGRSVALEATKIPQDSCSIPEPCGRYYVCYFDNWCQCPNQLNTQFGCKPPVASSCNGSKISAELFYVGEKLDYFALAFVTPFFKSNLNACKEACLGNCSCIVLFFENSTGSCFLFDQLGSLTRSQEGSSGYILYMKVSMGEQNSASGRNVGKEALLIAIIVIATIVAIVGLIYVGIWYRRRGEKYLVFQQQNPEEDDFWDSIPGMPARYSFSDLYKATKNFSVKVGQGGFGSVYLGALPDGTQLAVKKLEGIGQGKKEFRAEVSIIGSVHHVHLVKLKGFCAEGAHRLLVYEFMEKGSLDKWIFKNAEQSSFLEWNARYNIAVGMAKGLAYLHEECEVKIVHCDIKPQNVLLDDNFTAKVSDFGLAKLMNHEDSLVYTTVRGTRGYLAPEWITNNPISEKSDVYSYGVVLLEIIGGRKNYDSTEISEKSHFPSYSFKMLEEGRLREIIDPKLDINANDVRVVTAIKVALWCIQEEMQLRPPMTKVVQMLEGLCDVPDPPISSQSASRSMSTFIKWNSKECSSSGPVDDTSDAFLSDVRLSGPR >Manes.08G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4695302:4699538:-1 gene:Manes.08G047300.v8.1 transcript:Manes.08G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINSFPVLTAFLVLLLSTLSSSLTTDGLALLALKAAITTDPTQVLASWSDSDLTPCHWHGVTCINHRVTSLILPNRSFTGYLPSELGLLDSLTRLTLSHNNFSKPVPSHLFNAISLRSLDLSHNSLSGSISPQIKSLKALTHLDLSSNFLNGSLPEFLVELKNLTGTLNLSYNAFSGEIPESYGNFPVMVSLDLRHNNLSGKVPQVGSLVNQGPTAFAGNPSLCGFPLQTPCPEAFNITSSGNNEKPENPRDANPNVVTETEDKQNARNGSVAIPLISGVSVVIGAVSVTLWLYRRRWGSAAEKGKIERENNMGSTSDKNDGGQKGKFVVIDEGFNLELEDLLRASAYVVGKSRSGIVYKVVAGGRGSGAVVPAVVTVRRLNEGDATWSFKEFESEVEAIGRVQHPNILRLRAYFYANDEKLLISDFIRNGSLYTALHGGPSITLPTLSWAARLKIAQGTARGLMYIHEYSPRKYVHGNLKSTKILLDDELQPYISGFGLTRLVPCTSKFTTSASKKQFLNPPIVSSTMNSRVSTPSNFYLAPEARAFGTKFCQKNDVYSFGIILMELLTGRLPNAGPENDGKGLESLVRKVFREERLLSEIIDSALLSEVDAKKQVVSVFHIALNCTELDPEVRPRMKTVSESLDRIKLQ >Manes.09G092600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28453132:28455793:-1 gene:Manes.09G092600.v8.1 transcript:Manes.09G092600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERPKYDCLLFDLDDTLYPLSSGLAAACGQNIKDYMVEHLGIEKSKITELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYDNLKPDPVLRILLQSLPIRKVIFTNADKVHALKVLTKLGLEDCFEGIICFETLNPTHKSTISDDEDDIEFVGSAAAAAAKTANNGPEIFDIIGHFAQPNPAAVLPKTPIVCKPSETAIERALQIANINPYRTLFFEDSVRNILAGKRLNLHTVLVGTSQRVKGADYALESIHNLREAVPELWEAEIKTDGYPAKVARETPVTA >Manes.09G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28453132:28455793:-1 gene:Manes.09G092600.v8.1 transcript:Manes.09G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERPKYDCLLFDLDDTLYPLSSGLAAACGQNIKDYMVEHLGIEKSKITELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYDNLKPDPVLRILLQSLPIRKVIFTNADKVHALKVLTKLGLEDCFEGIICFETLNPTHKSTISDDEDDIEFVGSAAAAAAKTANNGPEIFDIIGHFAQPNPAAVLPKTPIVCKPSETAIERALQIANINPYRTLFFEDSVRNILAGKRLNLHTVLVGTSQRVKGADYALESIHNLREAVPELWEAEIKTDGYPAKVARETPVTA >Manes.05G191900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31484347:31487780:-1 gene:Manes.05G191900.v8.1 transcript:Manes.05G191900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSLQQIKTTDRENENTSRTNARLDCKDSIYYLPLYKAAINGDWVAAERIFLDDHSAITAKLSSFEETALHVAVSTGRCNFFVQKLVEKMPLDSLGIANKIDETPLHYAAIAGNTEAAKMLVRKKPELVHKTGKYGYTPLHRAAQYGQKETVSYLLSVNRNENPSPLAGKLGVKLLNLLITADFYDIAIDLLKCYPMLALEEDTEGNTALMIMARKPQAFESGTHLGLFQLLLYHCSISRASNAPKGGDVEDPSGSSEGFGQENKQYAFLQKIKDIRFMHLQAMELLRLLISEALKQSEAKVEKLLGNPVQVAATLGIHEFVTEIIRAYPRSVWLPDDHKRNIFHLAVLHRQEKVFNLLYQMSSYKHFFTVSYDKFGNNMLHLAGTLQPSNRISGAALQMQRELQWYKEVEKVVQPSFKEEKNKEGKTPGVVFSEEHKQLVGEGEKWMKDTASSYTLVAALMVTVVFAAAFTIPGGNDQTQGIPIFLNDKSFMIFAIADALALFSSSTSLLMFLGILTSRYAEEDFRRALPMRLSIGLITLFFSIASTLAAFSSSLHIVLSHRVRWIVVPIGLLACIPVTLFGLLQFPLLIAIVSSTFGPTIFSKQSEEIIL >Manes.05G191900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31484347:31487139:-1 gene:Manes.05G191900.v8.1 transcript:Manes.05G191900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSLQQIKTTDRENENTSRTNARLDCKDSIYYLPLYKAAINGDWVAAERIFLDDHSAITAKLSSFEETALHVAVSTGRCNFFVQKLVEKMPLDSLGIANKIDETPLHYAAIAGNTEAAKMLVRKKPELVHKTGKYGYTPLHRAAQYGQKETVSYLLSVNRNENPSPLAGKLGVKLLNLLITADFYDIAIDLLKCYPMLALEEDTEGNTALMIMARKPQAFESGTHLGLFQLLLYHFFPGSISRASNAPKGGDVEDPSGSSEGFGQENKQYAFLQKIKDIRFMHLQAMELLRLLISEALKQSEAKVEKLLGNPVQVAATLGIHEFVTEIIRAYPRSVWLPDDHKRNIFHLAVLHRQEKVFNLLYQMSSYKHFFTVSYDKFGNNMLHLAGTLQPSNRISGAALQMQRELQWYKEVEKVVQPSFKEEKNKEGKTPGVVFSEEHKQLVGEGEKWMKDTASSYTLVAALMVTVVFAAAFTIPGGNDQTQGIPIFLNDKSFMIFAIADALALFSSSTSLLMFLGILTSRYAEEDFRRALPMRLSIGLITLFFSIASTLAAFSSSLHIVLSHRVRWIVVPIGLLACIPVTLFGLLQFPLLIAIVSSTFGPTIFSKQSEEIIL >Manes.05G191900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31484347:31487770:-1 gene:Manes.05G191900.v8.1 transcript:Manes.05G191900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSLQQIKTTDRENENTSRTNARLDCKDSIYYLPLYKAAINGDWVAAERIFLDDHSAITAKLSSFEETALHVAVSTGRCNFFVQKLVEKMPLDSLGIANKIDETPLHYAAIAGNTEAAKMLVRKKPELVHKTGKYGYTPLHRAAQYGQKETVSYLLSVNRNENPSPLAGKLGVKLLNLLITADFYDIAIDLLKCYPMLALEEDTEGNTALMIMARKPQAFESGTHLGLFQLLLYHFFPGSISRASNAPKGGDVEDPSGSSEGFGQENKQYAFLQKIKDIRFMHLQAMELLRLLISEALKQSEAKVEKLLGNPVQVAATLGIHEFVTEIIRAYPRSVWLPDDHKRNIFHLAVLHRQEKVFNLLYQMSSYKHFFTVSYDKFGNNMLHLAGTLQPSNRISGAALQMQRELQWYKEVEKVVQPSFKEEKNKEGKTPGVVFSEEHKQLVGEGEKWMKDTASSYTLVAALMVTVVFAAAFTIPGGNDQTQGIPIFLNDKSFMIFAIADALALFSSSTSLLMFLGILTSRYAEEDFRRALPMRLSIGLITLFFSIASTLAAFSSSLHIVLSHRVRWIVVPIGLLACIPVTLFGLLQFPLLIAIVSSTFGPTIFSKQSEEIIL >Manes.13G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5642795:5645704:-1 gene:Manes.13G049300.v8.1 transcript:Manes.13G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDAYLDLLQADYSEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLERSEGS >Manes.10G076700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15516089:15518330:-1 gene:Manes.10G076700.v8.1 transcript:Manes.10G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLENERTVIGWAAKDPSGILSPYSYTLRNTGPEDVLLNIIYCGICHSDLHQVKNDLGMSRYPMVPGHEVVGEVVEVGSEVTKFKVGDVVGVGVLVGSCRNCNPCKSDIEQYCNKKIWSYNDVYTDGKPTQGGFAQSMVVDQKFVVKIPDGMSPEQAAPLLCAGLTVYSPISHFGLKKSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKREEALEHLGADDYLVSSDTTRMQEFADTLDYIIDTVPVFHPLEPYISLLKLDGKLILLGVINTPLQFLTPMVMLGRKTITGSFIGSMKETEEMLEFCKEKGVTSMIEVVKMDYVNKAMERLEKNDVRYRFVVDVAGSKMDP >Manes.05G145801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24789474:24789665:-1 gene:Manes.05G145801.v8.1 transcript:Manes.05G145801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNMSILSLKVLFFAMIIAAWQSQRIDTNDIGFIPNGVFTKKFFSYSASVLANSRAINSTP >Manes.15G171900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15377983:15378519:-1 gene:Manes.15G171900.v8.1 transcript:Manes.15G171900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFFKLSMLFLFFNYSLSLNHRNPTNYFCKKAAESDPILSYKFCVESLESNPKTQNATLEDLLHISIALTKSNSTTIISSISKLLKQQNLDSYTREALEDCLELYCDAKSELNEAMWDLKKKDYFKANIDVSSAMDSSSTCENGFNEKKGIVSPLSKENYVFFQLTAIVLAFINMLS >Manes.06G006100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1044928:1068492:1 gene:Manes.06G006100.v8.1 transcript:Manes.06G006100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPANLWVLLGLGLAGILLMTRKLKKAMREDFGAFVEKLLLLPPPQPAPPKAPHPLTGLTFVVSDVFDIEGYVTGFGHPDWVRTHDTASRTSPVVSALVEGGATCIGKTVVDELAYSINGENKHYGTPNNPAAPACVPGGSCSGAAVAVAANLVDFSLGLDSVGGVRVPAGFCGIIGFRPSYGTIPLFEILPVSASLDTVGWFAKDPNVLRHVGHLLLQLPFGIQRHPRQIIISEDCFQLLKIPVDRIMQVVIKSTEKLFGRQVLRHENLEDILFSKIPSLKQFYDKKTNDQLRNSSIRLLANVLLLLHRYEFRCNHGEWINVEKPNLDHHISAQINDTLEITDKDIEICYSIRNEMRAAIDSLLKDDGILVIPTTAYLPPKLGAKEMFLEDYQISSYSLLSIASLSGCCQVTIPLGHYDKRPVSVSFIARHGGDRFLLDTVQNMYASLQEHVDMLAKSKLSPDAESLERNAEMAKEKGNQAFKDKQWQKAVSYYTEAIRLSGKNATYYSNRAAAYLELGSFIQAEADCTEAINLDKKNVKAYFRRGTAREMLGYYKEAIEDFKYALVLEPTNKRAALSAQRLRKVFR >Manes.06G006100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1044928:1062376:1 gene:Manes.06G006100.v8.1 transcript:Manes.06G006100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPANLWVLLGLGLAGILLMTRKLKKAMREDFGAFVEKLLLLPPPQPAPPKAPHPLTGLTFVVSDVFDIEGYVTGFGHPDWVRTHDTASRTSPVVSALVEGGATCIGKTVVDELAYSINGENKHYGTPNNPAAPACVPGGSCSGAAVAVAANLVDFSLGLDSVGGVRVPAGFCGIIGFRPSYGTIPLFEILPVSASLDTVGWFAKDPNVLRHVGHLLLQLPFGIQRHPRQIIISEDCFQLLKIPVDRIMQVVIKSTEKLFGRQVLRHENLEDILFSKIPSLKQFYDKKTNDQLRNSSIRLLANVLLLLHRYEFRCNHGEWINVEKPNLDHHISAQINDTLEITDKDIEICYSIRNEMRAAIDSLLKDDGILVIPTTAYLPPKLGAKEMFLEDYQISSYSLLSIASLSGCCQVTIPLGHYDKRPVSVSFIARHGGDRFLLDTVQNMYASLQEHVDMLAKSKLSPDAESLERNAEMAKEKGNQAFKDKQWQKAVSYYTEAIRLSGKNATYYSNRAAAYLELGSFIQAEADCTEAINLDKKNVKAYFRRGTAREMLGYYKEAIEDFKYALVLEPTNKRAALSAQRLRKVFR >Manes.06G006100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1044928:1062376:1 gene:Manes.06G006100.v8.1 transcript:Manes.06G006100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPANLWVLLGLGLAGILLMTRKLKKAMREDFGAFVEKLLLLPPPQPAPPKAPHPLTGLTFVVSDVFDIEGYVTGFGHPDWVRTHDTASRTSPVVSALVEGGATCIGKTVVDELAYSINGENKHYGTPNNPAAPACVPGGSCSGAAVAVAANLVDFSLGLDSVGGVRVPAGFCGIIGFRPSYGTIPLFEILPVSASLDTVGWFAKDPNVLRHVGHLLLQLPFGIQRHPRQIIISEDCFQLLKIPVDRIMQVVIKSTEKLFGRQVLRHENLEDILFSKIPSLKQFYDKKTNDQLRNSSIRLLANVLLLLHRYEFRCNHGEWINVEKPNLDHHISAQINDTLEITDKDIEICYSIRNEMRAAIDSLLKDDGILVIPTTAYLPPKLGAKEMFLEDYQISSYSLLSIASLSGCCQVTIPLGHYDKRPVSVSFIARHGGDRFLLDTVQNMYASLQEHVDMLAKSKLSPDAESLERNAEMAKEKGNQAFKDKQWQKAVSYYTEAIRLSGKNATYYSNRAAAYLELGRM >Manes.03G143600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27139459:27142839:1 gene:Manes.03G143600.v8.1 transcript:Manes.03G143600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFSPPDSLCNSGTAVSFSANSAVGEDHEPVITRNRPSRTPSSTFLIRMAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSTWMMLEFIALIVQISMTTFTLAISKGEKPVWPMRIWIVGYDIGCLLSLLLLYGRYRQVHVTQGESFGLSDLEEQRGGDDSRCSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPKLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISSVLGYNMHMGSAERGASDDQISSLPSWKYKAVDTNLETGNGVDCNSTLASEDPECCICLAKYKDKEEVRQLPCSHMFHLKCVDHWLRIISCCPLCKQELER >Manes.03G143600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27139442:27142839:1 gene:Manes.03G143600.v8.1 transcript:Manes.03G143600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFSPPDSLCNSGTAVSFSANSAVGEDHEPVITRNRPSRTPSSTFLIRMAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSTWMMLEFIALIVQISMTTFTLAISKGEKPVWPMRIWIVGYDIGCLLSLLLLYGRYRQVHVTQGESFGLSDLEEQRGGDDSRCSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPKLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISSVLGYNMHMGSAERGASDDQISSLPSWKYKAVDTNLETGNGVDCNSTLASEDPECCICLAKYKDKEEVRQLPCSHMFHLKCVDHWLRIISCCPLCKQELER >Manes.03G143600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27139442:27142839:1 gene:Manes.03G143600.v8.1 transcript:Manes.03G143600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFSPPDSLCNSGTAVSFSANSAVGEDHEPVITRNRPSRTPSSTFLIRMAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSTWMMLEFIALIVQISMTTFTLAISKGEKPVWPMRIWIVGYDIGCLLSLLLLYGRYRQVHVTQGESFGLSDLEEQRGGDDSSLFRCSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPKLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISSVLGYNMHMGSAERGASDDQISSLPSWKYKAVDTNLETGNGVDCNSTLASEDPECCICLAKYKDKEEVRQLPCSHMFHLKCVDHWLRIISCCPLCKQELER >Manes.03G143600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27139459:27142839:1 gene:Manes.03G143600.v8.1 transcript:Manes.03G143600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFSPPDSLCNSGTAVSFSANSAVGEDHEPVITRNRPSRTPSSTFLIRMAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSTWMMLEFIALIVQISMTTFTLAISKGEKPVWPMRIWIVGYDIGCLLSLLLLYGRYRQVHVTQGESFGLSDLEEQRGGDDSSLFRCSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPKLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISSVLGYNMHMGSAERGASDDQISSLPSWKYKAVDTNLETGNGVDCNSTLASEDPECCICLAKYKDKEEVRQLPCSHMFHLKCVDHWLRIISCCPLCKQELER >Manes.03G143600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27139459:27142839:1 gene:Manes.03G143600.v8.1 transcript:Manes.03G143600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFSPPDSLCNSGTAVSFSANSAVGEDHEPVITRNRPSRTPSSTFLIRMAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSTWMMLEFIALIVQISMTTFTLAISKGEKPVWPMRIWIVGYDIGCLLSLLLLYGRYRQVHVTQGESFGLSDLEEQRGGDDSRCSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPKLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISSVLGYNMHMGSAERGASDDQISSLPSWKYKAVDTNLETGNGVDCNSTLASEDPECCICLAKYKDKEEVRQLPCSHMFHLKCVDHWLRIISCCPLCKQELER >Manes.03G143600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27139459:27142839:1 gene:Manes.03G143600.v8.1 transcript:Manes.03G143600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRYFSPPDSLCNSGTAVSFSANSAVGEDHEPVITRNRPSRTPSSTFLIRMAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSTWMMLEFIALIVQISMTTFTLAISKGEKPVWPMRIWIVGYDIGCLLSLLLLYGRYRQVHVTQGESFGLSDLEEQRGGDDSSLFRCSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFHRAPKLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISSVLGYNMHMGSAERGASDDQISSLPSWKYKAVDTNLETGNGVDCNSTLASEDPECCICLAKYKDKEEVRQLPCSHMFHLKCVDHWLRIISCCPLCKQELER >Manes.08G037200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3636148:3643933:-1 gene:Manes.08G037200.v8.1 transcript:Manes.08G037200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVSSLARTKSDHLVETMAAAFKSPLTSEVAGGVSEGSGTLSRRSSKRLMMAASPGRGGVGGKNTHIRKSRSAQMRFDLDDLSSGAALSRASSASLGLSFSFTGFAVPQDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVSYKVIIKGMHSIEKDILNGITGSVNPGQVLALMGPSGSGKTTLLNLLSGRLNQPTVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKEQKGKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSVLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGQASEAISYFSSIGCNPLIAMNPAEFLLDLANGNLNDVSVPSELEDKVQIEDSRNETRNEKPCPENVHEYLVEAYETRVAENEKKKLMIPIPLDEEVKLKVSSPKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLATAIILGLLWWQSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFVARTTSDLPLDLILPVLFLVVVYFMAGLRMSAGPFFLTLLTVFLCIVAAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVKKVPIFVAWIRYMSFNYHTYKLLLKVQYEHMLPPNKGMRIDNGLREVSALVAMAFGYRLLAYISLRKMKLNWGT >Manes.08G037200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3636148:3643933:-1 gene:Manes.08G037200.v8.1 transcript:Manes.08G037200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVSSLARTKSDHLVETMAAAFKSPLTSEVAGGVSEGSGTLSRRSSKRLMMAASPGRGGVGGKNTHIRKSRSAQMRFDLDDLSSGAALSRASSASLGLSFSFTGFAVPQDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVSYKVIIKGMHSIEKDILNGITGSVNPGQVLALMGPSGSGKTTLLNLLSGRLNQPTVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKEQKGKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSVLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGQASEAISYFSSIGCNPLIAMNPAEFLLDLANGNLNDVSVPSELEDKVQIEDSRNETRNEKPCPENVHEYLVEAYETRVAENEKKKLMIPIPLDEEVKLKVSSPKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLATAIILGLLWWQSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFVARTTSDLPLDLILPVLFLVVVYFMAGLRMSAGPFFLTLLTVFLCIVAAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVKKVPIFVAWIRYMSFNYHTYKLLLKVQYEHMLPPNKGMRIDNGLREVSALVAMAFGYRLLAYISLRKMKLNWGT >Manes.08G037200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3636954:3643933:-1 gene:Manes.08G037200.v8.1 transcript:Manes.08G037200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVSSLARTKSDHLVETMAAAFKSPLTSEVAGGVSEGSGTLSRRSSKRLMMAASPGRGGVGGKNTHIRKSRSAQMRFDLDDLSSGAALSRASSASLGLSFSFTGFAVPQDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVSYKVIIKGMHSIEKDILNGITGSVNPGQVLALMGPSGSGKTTLLNLLSGRLNQPTVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKEQKGKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSVLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGQASEAISYFSSIGCNPLIAMNPAEFLLDLANGNLNDVSVPSELEDKVQIEDSRNETRNEKPCPENVHEYLVEAYETRVAENEKKKLMIPIPLDEEVKLKVSSPKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLATAIILGLLWWQSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFVARTTSDLPLDLILPVLFLVVVYFMAGLRMSAGPFFLTLLTVFLCIVAAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVKKVPIFVAWIRYMSFNYHTYKLLLKVQYEHMLPPNKGMRIDNGLREVSALVAMAFGYRLLAYISLRKMKLNWGT >Manes.08G037200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3636954:3643933:-1 gene:Manes.08G037200.v8.1 transcript:Manes.08G037200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSVSSLARTKSDHLVETMAAAFKSPLTSEVAGGVSEGSGTLSRRSSKRLMMAASPGRGGVGGKNTHIRKSRSAQMRFDLDDLSSGAALSRASSASLGLSFSFTGFAVPQDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVSYKVIIKGMHSIEKDILNGITGSVNPGQVLALMGPSGSGKTTLLNLLSGRLNQPTVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTKEQKGKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSVLFLDEPTSGLDSTTALRIVQMLQDIAEAGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGQASEAISYFSSIGCNPLIAMNPAEFLLDLANGNLNDVSVPSELEDKVQIEDSRNETRNEKPCPENVHEYLVEAYETRVAENEKKKLMIPIPLDEEVKLKVSSPKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLATAIILGLLWWQSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYRLSAYFVARTTSDLPLDLILPVLFLVVVYFMAGLRMSAGPFFLTLLTVFLCIVAAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVKKVPIFVAWIRYMSFNYHTYKLLLKVQYEHMLPPNKGMRIDNGLREVSALVAMAFGYRLLAYISLRKMKLNWGT >Manes.05G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10159764:10165645:-1 gene:Manes.05G106900.v8.1 transcript:Manes.05G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGTRHPSPPVSSPPPTSKSSSTSSARPATISLPPRSFNETFFTSGIGMGFSPGPMTLVSSFFSDSDDFKSFSQLLAGAMASPAANTNNNKLPFPPPQQSKNSLADVSKPANLSIVPPSPMFNLPPGLSPMALLDSPGFGLLSPQGSFGMTHQQALAQVTAQAVHAHSSMHIQAQYSSSLPSAQATSSTQFSSVTTNSTTLQQMLPSIPDPSDSIKESSDFTHSDQRSQAYSLGDKPNDDGYNWRKYGQKQVKGSEFPRSYYKCTHPSCPVKKKVERSLDGQVTEIIYKGQHNHQPPQPNKRVKDAGSLKGNSDNQNNSELASQIQVGKMNKSKDRKDQESSQATPELVSGTSDSEEVGDTETAVDENDEDEPNPKRRNTEVKVTEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTSGCTVRKHVERAATDPRAVITTYEGKHNHDVPAAKGSSHGTTSSNPSERKQQNVEKHSLDNRRDFGTNNQQPIARLRLKEEQIT >Manes.15G005802.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:652398:653029:-1 gene:Manes.15G005802.v8.1 transcript:Manes.15G005802.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGYQRKHHFCICRAGQAQFKTWIHCKLTTLPDHLSHPATEAEPHPPPCSPSEMAKSKNHTAHNQSYKAHKNGIKKPKRHRYTSTKGMDPKFLRNQRYAKKHNNKSGETATEEE >Manes.15G005802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:649943:653030:-1 gene:Manes.15G005802.v8.1 transcript:Manes.15G005802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGYQRKHHFCICRAGQAQFKTWIHCKLTTLPDHLSHPATEAEPHPPPCSPSEMAKSKNHTAHNQSYKAHKNGIKKPKRHRYTSTKGMDPKFLRNQRYAKKHNNKSGETATEEE >Manes.05G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13569406:13572960:1 gene:Manes.05G137600.v8.1 transcript:Manes.05G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGRKAANALAGKTARPCDGCSRKRARWFCASDDAFLCQACDESVHSANQLASRHERVRLETASSKISGSMNTVVSPPWLQGFTKKARTPRHNNSTKSLLSNQRFKDEEKVLMNYLPLVPEIGSEEEGNTVADEDEDQLLYRVPVFDPFAAELCTDDVIMCEGTEIAMGNEEGNMVFDGCGEEGSTCDLDNLPGFLPSDMDLAEFAADVENLLGRGPEDDSSDIKELGLLDCKEENDDKFCLDDKVVKVKDEQELEAITDCHFDQAFDIARESFDWNFNYKSPITGDEEEEEKVAPALTDTTTMNSGKSKEMRRNVTLRLNYEAVIKAWASHGSPWTTGSRPELNPDDCWPDCMGTCPNAHHHTYGVLGGHTGGGNGGREARVLRYKEKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRMSFMGTAFPCINK >Manes.02G096950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7562930:7563693:-1 gene:Manes.02G096950.v8.1 transcript:Manes.02G096950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFCLGFISVWEGKQQFTCASNLVVLDCPGGWFVSEISADFGSVGALPAVAGLWTAAGDVPGTSAGADLRAAVVVAV >Manes.04G029400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3522560:3525758:-1 gene:Manes.04G029400.v8.1 transcript:Manes.04G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLMLMCSFLILYPIFLLWRWVDDKRDKECYVLDYECYKPTDDRKIDTELSGEVIKRNKQLGLNEYKFLLKAIVSSGIGEQAYGPRMVFAGREQNPTYEDGILEMDEFFKDSIEKLLSRSCVSPQDIDVLVVNVSMLAVMPSLSARIINLYKLREDVKVYNLTGMGCSASLISIDIVKNIFKTQKNLHALVVTSESLSLNWYTGNDRSMILANCLFRSGGCAILLTNKRSLKHRAMIKLKCLVRTHHGARDESYSCCIQKEDDHGRQGFHLDKTLPKAATRALVDNIREITPRILPVRELLRFMIVSFTKKLSQRSNNKAGGGTNNRTMINFKTGVDHFCIHTGGKAVIDGIGFNLDLTEHDLEPARMTLHRFGNTSACSLWYVLGYMEAKKRLNKGDKILMMSFGAGFKCNSCLWEVMRDLKGDDNVWSDCIDDYPPKTLTNPFMEKFGWINDEDPSTFVLPP >Manes.10G101288.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24948482:24948724:1 gene:Manes.10G101288.v8.1 transcript:Manes.10G101288.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHFVIFVILLTLSFSCIGVATAGRRLQQLFANFPPLDLPPLPKTPNDFPVPPVVKLPPGLLPSRLPYSFFPFPPAAP >Manes.18G075300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6870553:6872393:1 gene:Manes.18G075300.v8.1 transcript:Manes.18G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIDGAFDELDFSGYGSISTTTTPTTSAENDHHACNWNDFSPVVDWEALSCHHDDFHDLIESMMEDGGFSQPICNSNSNSFSSDTMLVDEETNGEDFKGLRLVHLLMAAAEALTGVNKSRDLARVILIRLKELVSHNDGTNMERLAAYFTDALQGLLEGAGGGSHGKHLINNGPYHHHHRDDHHHQADVLAAFQLLQDMSPYVKFGHFTANQAILEAVAQDKRIHIVDYDIMEGIQWASLMQSLASRKDGPPTPHLRITALSRSGSGRRSIGTVQETGRRLVAFAASIGQPFSFHQCRLDSDETFRPSALKLVRGEALVINCMLHLPHFSYRAPDSVASFLSGSKTLNPRLLTLVEEEVGPIGDGGFVGRFMDSLHHYSAVYDSLEAGFPMQGRARALVERVFLGPRIAGSLARIYRARGDKEGCCWVEWLGATGFKEVNISFANHCQAKLLLGLFNDGYRVEEMGCNRLVLGWKSRRLLSASIWTSPSDSDLQII >Manes.09G164700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35841960:35843485:1 gene:Manes.09G164700.v8.1 transcript:Manes.09G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSSSLLLLVIFFTFSFVVFASELHESETFHTRPSKRPSRKLVFPVRKDGVTNLHIASVLKRTPQVPLRLLLDLNGRSLSVACDKEYQSSTYSAPRCHSTQCSRAHSHDCYRCTSSSVRPGCHNNTCAVTSVNPVTQESDIGELAQDVLAVQSVGDKSTIDPSASGSMVTVPQFLFVCARRRLLQNGLPDYVHGVAGLGHTPIALPTQLASHFGFRPNIVLCLTNSFRSPGFVLFGEDPCTLSPNFSPRLHYTPLSVGREGEYYIQVRSIRVNNKAIPLKNNINRAKISTTTPFTILEHSIFQAFTQSFSQQLSQAGGAQPVGHFKVCYDARRIPDTVAGPGVPRVDLMVGDQSVAWTLFGANTMAVVHPLVYCLAFIDGGTNPADPIVIGAHQLEENLVHFDLHQSRVGFSSSLLNNKTSCATSYRNPTENP >Manes.01G032500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6334237:6338775:1 gene:Manes.01G032500.v8.1 transcript:Manes.01G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLPATTDSIAQALEAKAPSESISIYYRILENPSSSPDALRIKEQAITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVQWTRAEKRTFLRQRVEARLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVNQADDVAGVISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALRDYKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELPVEHVEKKLSQMILDKKFAGTLDQGAGCLIIFDDPKTDAIYPASLETISNIGKVVDSLYVRSAKIMA >Manes.01G168800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35155088:35157617:1 gene:Manes.01G168800.v8.1 transcript:Manes.01G168800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNMADRVEEGDESLYNHGVSWWRGRLIGKGGFGSVYLANLKKPKSRNRMYPPVMAVKSAEVSVSSSLQKEKEVFNHLYGCPYILECYGEEITTNKDGEMIYNLLLEYASGGTLADLIKKSGGHGLPESDVKKYTRSVLQGIAYIHSHGYVHCDLKPGNVLLVSAKSAEFVPKIGDFGLAKKIEKSKRRKLFSYLGGTTSYMAPETVVDHIQEPPSDIWALGCIVFEMFTGKSVWDVKPNMTTEQLLKKIGDRYESPKIPSEISKDAIDFLKGCLVKKPAFRFTAEMLLDHPFVSGLDNKLEKEWMDSVVTFSDVEDESCDSSYYEDWRCISEEGSSSSSVDENGVLQSAVSFNSQNQQSK >Manes.16G100800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30632064:30636675:1 gene:Manes.16G100800.v8.1 transcript:Manes.16G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKDNLSMADLSAVINEEDRAGLVSALKSKLHSLAGQHTDVLEKLSPNVRKRVEFLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYTKRYEIVNGTVEIDGATNEAAMDQEGDQAAEDKGVPDFWLIAMKNNDVLAEEITERDEGALKYLKDIKWCRIDDPKGFKLEFFFDPNPHFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAMEGDELGMEDDDEDEDIDEEDDEDDEEEEDEEDEEESKTKKSAGNKKSGRTQVGDGQQGERPPECKQQ >Manes.12G157900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36559625:36560687:-1 gene:Manes.12G157900.v8.1 transcript:Manes.12G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLYDKQAAIYVDARPTFPSQWFSMLASLTPHHSLAWDAGTGNGQAAISVAEHYKQVIATDISEEQLKHCIEHPRVRYLHTSASMSDDELVTLIGGDNSVDLVTVSVAVHWFDLERFYSQVKRLLRKPGGVIAVWTYNVIQVNSEFDPLMWAFHEKSLPFQNPKAKYAFDCYRTLPFPFESVGVGCEGQPETLEMTKEISFQGFLGLVRTWSAVNIAKEQGVDLLSENVVKEFEKAWGGPELVRTVIFKTYMLAGKVN >Manes.02G062200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4773717:4778203:1 gene:Manes.02G062200.v8.1 transcript:Manes.02G062200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSQPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPLYGFHDPESFVQSIQKPRVIIMLVKAGSPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQNVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDVLTDQVVDKKKLVDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDAEGSFHTEWFKIARQLQN >Manes.02G062200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4773717:4778203:1 gene:Manes.02G062200.v8.1 transcript:Manes.02G062200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSQPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPLYGFHDPESFVQSIQKPRVIIMLVKAGSPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQNVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDVLTDQVVDKKKLVDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDAEGSFHTEWFKIARQLQN >Manes.02G062200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4773741:4778203:1 gene:Manes.02G062200.v8.1 transcript:Manes.02G062200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSQPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPLYGFHDPESFVQSIQKPRVIIMLVKAGSPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARHGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQNVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDVLTDQVVDKKKLVDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCLAINSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDAEGSFHTEWFKIARQLQN >Manes.18G029600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2509358:2512562:-1 gene:Manes.18G029600.v8.1 transcript:Manes.18G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSTSVAPRRKPSWRERENNRRRERRRRAIAAKIFSGLRAQGNYNLPKHCDNNEVLKALCIEAGWVVEEDGNTYRKGCKPPPIDIVGASVRITPYSSQNPSPLSSAFPSPIPSYQVSPSSSSFPSPSRVENNASSNLLPFLQNAIPSSLPPLRISNSAPVTPPLSSPTTKNPKPIPNWEFIAKQSMASLNYPFYAASAPVSPTHRQFHAPATIPECDESDTSTVESGQWISFQKFSPSVAAMPTSPTYNLVKPVAEQILSSNVIKENGRSMEFEFGSGQVKPWEGERIHEVGLDDLELTLGNGKARS >Manes.04G133700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33442555:33452066:1 gene:Manes.04G133700.v8.1 transcript:Manes.04G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMDGIEKMGRASSRRASQSISRSISRSFSRSMEDVFSGRMYSRRSSFHAEEDEEALKWAAIEKLPTYDRLRTTIIKSFVENELHGAKMIHKEVDVRKLDMNDRQTFIDMLFKVAEEDNEKFLRKFRQRIDKVGIRLPTVEVRFERLSVEADCQIGSRALPTLPNVARNIAESAIGMLGIHLSKRTKLTILKDASGILKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVSGDITYNGYKLNEFVPRKTSAYISQNDVHVGVMTVKETLDFSARCQGVGSRYDLLSELSRREKDAGILPEAEVDLFMKATAMKGAENNLFTDYTLKLLGLDICKDTIVGDEMLRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCMQQIVHLTEATVLMSLLQPAPETFDLFDDVILLSEGRIVYQGPRQHILEFFERCGFRCPERKGTADFLQEVTSKKDQEQYWANKNKPYRYISVPEFAEKFKNFHVGMQLENELSVPFDKSHGHRAALAFSKYSVPKLDLLKACWDKEFLLIKRNAVVFVSKTVQIIIVAIISSTVFIKPRMHTRNEADGSIYVGALLFTMIINMFNGFAELSLMISRLPVFYKQRDLLFHPPWTFTLPTFLLALPISIIESIVWVCITYYSIGFAPEASRFFKHLLLVFLTQQMAAGLFRLIAGVCRTMIIANTGGVLILLLVFLLGGFIIPKGQIPNWWEWAYWLSPMSYGYNAFAVNELYAPRWMNKLASDNSRRLGIAVLESIDVFRDKNWYWIGAGAVLGFAVLFNILFTFSLMYLTPPGKKQATISEESAKEMEGEEDSKGQPRLRMSKSNSHSLSSADGNNTREMAMRRMSSRSNPNGLSRNADSSLEAANGVAPKRGMVLPFTPLAMSFNDVNYYVDMPAEMKQQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARVSGYCEQNDIHSPQVTVRESLIYSAFLRLPKEVSNEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEEIPGVPKIKDKYNPATWMLEVSSIAAEVRLGIDFAEHYKSSSLYQRNKALVKELSTPPAGAKDLYFASQYSHSPWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLAAALMIGTIFWKVGTKKDTSTDLSMIIGAMYAAVLFIGINNCSTVQPIIVVERSVFYRERAAGMYSALPYALAQVICEIPYVLVQTTYYTVIVYAMVAFEWTAAKFFWFFFISFFSFLYFTYYGMMTVSVSPNLQVASIFAATFYALFNLFSGFFIPRPRIPKWWVWYYWICPVAWTVYGLLVSQYRDVEDPISVPGLSPNPSIKNYIQDYYGYDPDFMGPVAAVLVGFTIFFAFVYAYAIKTLNFQTR >Manes.04G133700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33442555:33452067:1 gene:Manes.04G133700.v8.1 transcript:Manes.04G133700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMDGIEKMGRASSRRASQSISRSISRSFSRSMEDVFSGRMYSRRSSFHAEEDEEALKWAAIEKLPTYDRLRTTIIKSFVENELHGAKMIHKEVDVRKLDMNDRQTFIDMLFKVAEEDNEKFLRKFRQRIDKVGIRLPTVEVRFERLSVEADCQIGSRALPTLPNVARNIAESAIGMLGIHLSKRTKLTILKDASGILKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVSGDITYNGYKLNEFVPRKTSAYISQNDVHVGVMTVKETLDFSARCQGVGSRYDLLSELSRREKDAGILPEAEVDLFMKATAMKGAENNLFTDYTLKLLGLDICKDTIVGDEMLRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCMQQIVHLTEATVLMSLLQPAPETFDLFDDVILLSEGRIVYQGPRQHILEFFERCGFRCPERKGTADFLQEVTSKKDQEQYWANKNKPYRYISVPEFAEKFKNFHVGMQLENELSVPFDKSHGHRAALAFSKYSVPKLDLLKACWDKEFLLIKRNAVVFVSKTVQIIIVAIISSTVFIKPRMHTRNEADGSIYVGALLFTMIINMFNGFAELSLMISRLPVFYKQRDLLFHPPWTFTLPTFLLALPISIIESIVWVCITYYSIGFAPEASRFFKHLLLVFLTQQMAAGLFRLIAGVCRTMIIANTGGVLILLLVFLLGGFIIPKGQIPNWWEWAYWLSPMSYGYNAFAVNELYAPRWMNKLASDNSRRLGIAVLESIDVFRDKNWYWIGAGAVLGFAVLFNILFTFSLMYLTPPGKKQATISEESAKEMEGEEDSKGQPRLRMSKSNSHSLSSADGNNTREMAMRRMSSRSNPNGLSRNADSSLEAANGVAPKRGMVLPFTPLAMSFNDVNYYVDMPAEMKQQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKKQETFARVSGYCEQNDIHSPQVTVRESLIYSAFLRLPKEVSNEEKMIFVDEVMELVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIIEYFEEIPGVPKIKDKYNPATWMLEVSSIAAEVRLGIDFAEHYKSSSLYQRNKALVKELSTPPAGAKDLYFASQYSHSPWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLAAALMIGTIFWKVGTKKDTSTDLSMIIGAMYAAVLFIGINNCSTVQPIIVVERSVFYRERAAGMYSALPYALAQVICEIPYVLVQTTYYTVIVYAMVAFEWTAAKFFWFFFISFFSFLYFTYYGMMTVSVSPNLQVASIFAATFYALFNLFSGFFIPRPRIPKWWVWYYWICPVAWTVYGLLVSQYRDVEDPISVPGLSPNPSIKNYIQDYYGYDPDFMGPVAAVLVGFTIFFAFVYAYAIKTLNFQTR >Manes.12G148666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35674033:35681880:1 gene:Manes.12G148666.v8.1 transcript:Manes.12G148666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLFASYPICSFTKQPSRTCSFRLLKIGNMFSPLGCLVSPKLAKKTIVRRSANYQPPIWDFNFVQSLKSEYGGEVYTNRISKLKEEVRLILLKQAVDPLDQLQLIDTLQRLGLAYHFEDEIKSILMSIYSHNNTGMREDLYATALEFRLLRQHGYKIPQEIFHSFLDEMGSFKECLCEDWEAILSLYEASFLSEEGENILQNARDFTTTCLRKLVQQSQDQNLSKLISHALEIPLHWRMQRLDTRWFIDAYERKQGMNPLLLELAKLDFNNVQMIHQNDLKHMSRWWRSTGLGEKLSFARDRLMENFFWTIGVIFKPQFSYCRRMLTKVIALITTIDDIYDVYGTLDELELFTDAVQRWDVNAVEQLPDYMKICYLSLHNSINEIAFDFLREQGVHIIPYLKRAWANLCKSYLLEARWYYNGYTPSLQEYIDNAWISIAGPVILVHAFFLVNSPISNDALKCLEEYSSIIRCSSMILRLADDLGTSSDELKRGDVPKSIQCYMHETGASEKEARDHIRFLISETWKEMNEEKSTYSPFSETFISIAFNLARMAQCMYQHGDGH >Manes.06G112400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24362683:24363099:1 gene:Manes.06G112400.v8.1 transcript:Manes.06G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLINQLARKTTPSVRIVATAIKTPLLFNPVLHATKPDIVNSSISLWDSTAAENNSITQNPTKPLQVCYPNFPFGYSLNPIPIIGTDQLKDVGSGFAEKDTDDARTMWADSVKKKRKEKMNKHKYKKLRKRLRRKAR >Manes.18G082300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7556545:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKVHSPTHFSF >Manes.18G082300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDVMIYYLLAMSILEMSCSSVGNLFT >Manes.18G082300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7556545:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKVHSPTHFSF >Manes.18G082300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7556545:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKVHSPTHFSF >Manes.18G082300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G082300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7556545:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGDAPLSLAEPKDIEKLKAGGVLAKNLTDKVKDAGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAQASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKVHSPTHFSF >Manes.18G082300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7554761:7564068:-1 gene:Manes.18G082300.v8.1 transcript:Manes.18G082300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSGGGGGETSSRITPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKANSRSAPTLDPPPDNARINLESRLAPGQPVENEEEAPPPNRFSAVIEKIERLYMGKDSSDEEDLKDVPDDDQYDTDDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPIVVPNQQAKKRRRKDLTKASGESDDGRTSNKQVKLGKSAAGKIASPLGKNSSNPSPNLVVTSENYEDVRAQSLSYTSGISSKKKSAEIKMNLDPSSSIKISNGGSSDVSHQKYHDKSAYLQSKSQFAKSVTNVDELEPSVRSKEKNGVHELPDLNMPDAKMPMQVTKPSQVHKKDGSSVRPKGSMLENAIRDLEKMVAESRPPALENQEADTSAQAIKRRLPSEIKQKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMINMGLSAKQEKDDRFQQIKKEVAEMIKTCGPSSDSKALEQQAGAPDDFQEIASREKGALKRKFSMDAMLEDKICDLYDLFVDGLDEDAGPQVRKLYVELAQLWPRGFMDNHGIKRAICRAKERRRSLYSRHKVHKDQEKIKRKKMLAPRLDEIARVEAGSVAQQQYVRERLPTDTGGPVLGLASKPVPNSSTAALQASSPSMNMPNLDRLKQEKPKGSSSNPIDEAKMGVDGALTKKKVKRKSEQESDETHFLSEKLHCQSSEERNKSLRQASGLSQKLNLQLPASSLEQS >Manes.18G144900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:31668848:31672586:-1 gene:Manes.18G144900.v8.1 transcript:Manes.18G144900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKFYHVPNLHLHPQSTLFPTNIVRAHCCSYMPVKGGHAAPQVRAPPFIATMAFLNKPLFLLFLVLSSTHFVACLELADSEILVKFKGSLSNSSALDNWSEKTNPCTGDVSNWNGVICIKGFVRGLQLERMGLTGKIDVETLTGMSDLRTLSFMNNDLDGPMPELKKLRSLKVVSLSNNHFSGEIPTDAFKGMSKLKQLALSENEFTGAIPSSLATLPKLLELRLEGNKFTGNLPNFSISTFASFNVSNNELEGPIPATLSMMDSSSFFGNKDLCGQPLSQCNTNNASAAIATNFDRSTSKSISSTTSTSTYTSTYTSTSNSNSSGQSSSKKVSLASIIVVGIVMAVALAAIFAAAFILLKRRKQTSESIEAPPQSSIRKKTGFGGEDQIPQGSQSEQSAATGGKKAETTKLSFLRNDRERFDLSDLLKASAEVMGSGCFGSSYKAALSNGPVMVVKRKEEKLLITDYVDNGSLSIRLHGHQALGQPSMDWATRLKTVKGVANGLSYLYKELPSIITAHGHLKSSNILLNQSNEPLLTDYALVPVINQENAHELMVAYKSPEYLQLGRITKKTDVWSLGILILELLTGKFPPNILPPGKATEEEDLTSWAKAITEDQWQNQVIDKEISETKDSEGEMLKLLRIGISCCEGDVEKRLDLKEAVERINELKEKDTDENF >Manes.18G144900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:31668848:31672586:-1 gene:Manes.18G144900.v8.1 transcript:Manes.18G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKFYHVPNLHLHPQSTLFPTNIVRAHCCSYMPVKGGHAAPQVRAPPFIATMAFLNKPLFLLFLVLSSTHFVACLELADSEILVKFKGSLSNSSALDNWSEKTNPCTGDVSNWNGVICIKGFVRGLQLERMGLTGKIDVETLTGMSDLRTLSFMNNDLDGPMPELKKLRSLKVVSLSNNHFSGEIPTDAFKGMSKLKQLALSENEFTGAIPSSLATLPKLLELRLEGNKFTGNLPNFSISTFASFNVSNNELEGPIPATLSMMDSSSFFGNKDLCGQPLSQCNTNNASAAIATNFDRSTSKSISSTTSTSTYTSTYTSTSNSNSSGQSSSKKVSLASIIVVGIVMAVALAAIFAAAFILLKRRKQTSESIEAPPQSSIRKKTGFGGEDQIPQGSQSEQSAATGGKKAETTKLSFLRNDRERFDLSDLLKASAEVMGSGCFGSSYKAALSNGPVMVVKRFKQMNNVGKEEFHEHMRRLGRLRHPNLLPLVAFYYRKEEKLLITDYVDNGSLSIRLHGHQALGQPSMDWATRLKTVKGVANGLSYLYKELPSIITAHGHLKSSNILLNQSNEPLLTDYALVPVINQENAHELMVAYKSPEYLQLGRITKKTDVWSLGILILELLTGKFPPNILPPGKATEEEDLTSWAKAITEDQWQNQVIDKEISETKDSEGEMLKLLRIGISCCEGDVEKRLDLKEAVERINELKEKDTDENF >Manes.03G065300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7812083:7826491:-1 gene:Manes.03G065300.v8.1 transcript:Manes.03G065300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINFAPVSMRLPELSSRNGNTFRSSLILKKRPVVSVPIAASSSESSTSVPEKPEIELEFIGPKPDGDGSYPVNRAKAISGEKLLRNIMLDNRIELYATYGKVMNCGGGGSCGTCIVEILDGKDLLNERTNTELRYLKKKPESWRLACQTIVGNKENAGKVDGYSLVVVQTLPQWKK >Manes.01G185600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36303566:36304525:1 gene:Manes.01G185600.v8.1 transcript:Manes.01G185600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAMDLTPSKSHESDTDTETPLQTHLSRALSLSNGSFNPSHHYHTTNTRPSPLQAAVSYKECLKNHAAALGGLALDGCGEFMPTPTDATPDPTSLKCAACGCHRNFHRRYPYTHLPPPPTAALHWTSSPSPGHTSSGPSPSPNSPVSPTPQQSVYPSAPHMLLALSTGHSGLFDENHHQSLTVMNPHGRKRARTKFTEEQKQKMLVFAEKLGWKMLRGNEEKMVDEFCNEVGVKRNVFKVWMHNNKQRKEKGNNHRTCDINKSIVNDNEDRVGFGTFDCNSNDINNTKYDNFTTRYQIENKVHDVHGGGSPDESSPSS >Manes.06G049800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16102042:16105880:-1 gene:Manes.06G049800.v8.1 transcript:Manes.06G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELQKRNTDCVYFLASPLTCKKGMDCEYRHNEISRLNPRDCWYWLAGNCLNPTCGFRHPPLERHAEAPLESASSSLPANKTNTPCYFYFSGLCNKGDRCAFVHGPDASIPTGKSVNMSSAKEGTRLDNKTSIGNNIVHVPMESHANPSETAPKTVMGLKLQTKEDIQLSAPKNLVVQSSFPEIVVSPCEEAVTLKSDSLLQADNLIRSRSHMSTDQSSEDQMDDHVELEERWESSPGFDVLVDDKSENLGYEDDQEYLLALDREHTKLNNHFLRYEFEDLEYKLTYCDSDSMYTREMYDSFDCVDNVDAIDDVGNCSGCSSDRMLDSTLLQKRKLLPMELAINNRRVEDLRDCLRKRRVIDGYPMIRSSRRHESSHLLGRHPERPRRTGVSHRLHGRLASRVGKNTIESFGDNGIMLKSNNQRGWRRHSRLSKSKLRNKETKLAKRQFLSFEMPRKSLPRERKSTLASIEFTGPKSLAQIKEEKRKAEENGNYTGKTGNSSGMIVADFEGPKPLSEILKDKRKMGIEEDSDGITSD >Manes.05G144100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24532456:24534165:-1 gene:Manes.05G144100.v8.1 transcript:Manes.05G144100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP2:7 MAKEVTEEAGEASQQERDYVEPPPAPLFDPQELGLWSFYRAVIAEFIATLLFLYVTIATVIGYKKQTDPCAGVGLLGIAWSFGGMIFILVYCTAGISGGHINPAVTLGLFLARKVSLVRAIAYMVAQCLGAICGVGIVKGIMKDFYNAQGGGANTVADTYSKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPWIFWVGPFVGALAAAIYHQHILRATAIKALGSFTTTTN >Manes.05G144100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24532456:24534165:-1 gene:Manes.05G144100.v8.1 transcript:Manes.05G144100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PIP2:7 MAKEVTEEAGEASQQERDYVEPPPAPLFDPQELGLWSFYRAVIAEFIATLLFLYVTIATVIGYKKQTDPCAGVGLLGIAWSFGGMIFILVYCTAGISGGHINPAVTLGLFLARKVSLVRAIAYMVAQCLGAICGVGIVKGIMKDFYNAQGGGANTVADTYSKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNDKAWDDQWIFWVGPFVGALAAAIYHQHILRATAIKALGSFTTTTN >Manes.01G111800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31013432:31023598:1 gene:Manes.01G111800.v8.1 transcript:Manes.01G111800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYLQLFLDETSLYNDIVLGHLLPSSWWVSLPRFIQTWLRNYIAGTLLYFISGFLWCFYIYYLKRNVYLPKDAIPTTKAMLLQIYVAMKAMPWYCALPSLSEYMVENGWTKCFARVSDVGWFAYIMYLIAYLVVVEFGIYWMHRELHDIKPLYKYLHATHHVYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFIIPTHFTSHIGLLFLEAIWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMHGTLRDPEEDAISKM >Manes.01G111800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31013388:31017839:1 gene:Manes.01G111800.v8.1 transcript:Manes.01G111800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYLQLFLDETSLYNDIVLGHLLPSSWWVSLPRFIQTWLRNYIAGTLLYFISGFLWCFYIYYLKRNVYLPKDAIPTTKAMLLQIYVAMKAMPWYCALPSLSEYMVENGWTKCFARVSDVGWFAYIMYLIAYLVVVEFGIYWMHRELHDIKPLYKYLHATHHVYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFIIPTHFTSHIGLLFLEAIWTANIHDCIHGKLWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMHGTLRDPEEDAISKVK >Manes.15G156300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12890481:12900456:-1 gene:Manes.15G156300.v8.1 transcript:Manes.15G156300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREMELESAMYTNCLLLGLDPNVIGPGASNGTPRVGHFRHSNPKLGEQLLYFILSSLRGPVQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKSAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNAHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSYQGPFSDTNLGVKEQGDGLNINVNKEKLKNLDPSHLQANGDTHSLADDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLHLAKANDGEGPDLLRISHDSDRSGHTESLAATLAEHQQHLASFQVLINQLKEVAPAIQNSIAECTEKVNNISSSLPPMPNHRGRATSPVQAQSSGRTLESSSDDVTEVTSKMSTVHLDKVSASPPALKLPQLFSMTPNSSGKSGNVQKRQTLALQTNQIETMSERNSLDQHLSNSRLDNVPQDSDNLYVQNLKRSVREAALSAQSLNLESSRDSRTDENSEHFFLPLTTAGFSSLHLENNVASRRGKRWFTPQKDTALLENHAPDSQVGGNYNEIPDILNNLDCFTDYGHISGALSAAGSNGAMSDGHMSFYDIEEPHDQVFSPPLLMDTSLLADSFEDLLAPLSETETALMDH >Manes.15G156300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12890481:12900456:-1 gene:Manes.15G156300.v8.1 transcript:Manes.15G156300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREMELESAMYTNCLLLGLDPNVIGPGASNGTPRVGHFRHSNPKLGEQLLYFILSSLRGPVQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKSAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNAHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSYQGPFSDTNLGVKEQGDGLNINVNKEKLKNLDPSHLQANGDTHSLADDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLHLAKANDGEGPDLLRISHDSDRSGHTESLAATLAEHQQHLASFQVLINQLKEVAPAIQNSIAECTEKVNNISSSLPPMPNHRGRATSPVQAQSSGRTLESSSDDVTEVTSKMSTVHLDKVSASPPALKLPQLFSMTPNSSGKSGNVQKRQTLALQTNQIETMSERNSLDQHLSNSRLDNVPQDSDNLYVQNLKRSVREAALSAQSLNLESSRDSRTDENSEHFFLPLTTAGFSSLHLENNVASRRGKRWFTPQKDTALLENHAPDSQVGGNYNEIPDILNNLDCFTDYGHISGALSAAGSNGAMSDGHMSFYDIEEPHDQVFSPPLLMDTSLLADSFEDLLAPLSETETALMDH >Manes.15G156300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12890481:12900456:-1 gene:Manes.15G156300.v8.1 transcript:Manes.15G156300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREMELESAMYTNCLLLGLDPNVIGPGASNGTPRVGHFRHSNPKLGEQLLYFILSSLRGPVQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKSAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNAHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSYQGPFSDTNLGVKEQGDGLNINVNKEKLKNLDPSHLQANGDTHSLADDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLHLAKANDGEGPDLLRISHDSDRSGHTESLAATLAEHQQHLASFQVLINQLKEVAPAIQNSIAECTEKVNNISSSLPPMPNHRGRATSPVQAQSSGRTLESSSDDVTEVTSKMSTVHLDKVSASPPALKLPQLFSMTPNSSGKSGNVQKRQTLALQTNQIETMSERNSLDQHLSNSRLDNVPQDSDNLYVQNLKRSVREAALSAQSLNLESSRDSRTDENSEHFFLPLTTAGFSSLHLENNVASRRGKRWFTPQKDTALLENHAPDSQVGGNYNEIPDILNNLDCFTDYGHISGALSAAGSNGAMSDGHMSFYDIEEPHDQVFSPPLLMDTSLLADSFEDLLAPLSETETALMDH >Manes.15G156300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12890479:12900456:-1 gene:Manes.15G156300.v8.1 transcript:Manes.15G156300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREMELESAMYTNCLLLGLDPNVIGPGASNGTPRVGHFRHSNPKLGEQLLYFILSSLRGPVQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKSAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNAHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSYQGPFSDTNLGVKEQGDGLNINVNKEKLKNLDPSHLQANGDTHSLADDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLHLAKANDGEGPDLLRISHDSDRSGHTESLAATLAEHQQHLASFQVLINQLKEVAPAIQNSIAECTEKVNNISSSLPPMPNHRGRATSPVQAQSSGRTLESSSDDVTEVTSKMSTVHLDKVSASPPALKLPQLFSMTPNSSGKSGNVQKRQTLALQTNQIETMSERNSLDQHLSNSRLDNVPQDSDNLYVQNLKRSVREAALSAQSLNLESSRDSRTDENSEHFFLPLTTAGFSSLHLENNVASRRGKRWFTPQKDTALLENHAPDSQVGGNYNEIPDILNNLDCFTDYGHISGALSAAGSNGAMSDGHMSFYDIEEPHDQVFSPPLLMDTSLLADSFEDLLAPLSETETALMDH >Manes.07G143600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34293115:34295534:-1 gene:Manes.07G143600.v8.1 transcript:Manes.07G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGIRCHGNQHFINTRWPGNTQPINQNARVGLCSLAIDACSRRKQWRNCSVSMGTGMGMAVTKHKNKINDGDDVAVVYEKMDEWMKDSVVEIVKNLREAPLLVQVYDKGETTTLKTEKAVEEETWPLVMERWGKREAPLPEGLIFVEQLEKDEEEEEKEEEEAEATTRAWGVVIQGKGVDCGPVCYLLKTSRARSSGMGACCTHFCLMRVKNFRESARSQLKNCWLLQGQ >Manes.16G109200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31452494:31458536:-1 gene:Manes.16G109200.v8.1 transcript:Manes.16G109200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGAAVVCAAAVCAAAALVVRHRMRCSGRWARAMAILREFEDKCGTPLGKLRQVADAMTVEMHAGLASEGGSKLKMLLSYVDNLPTGDEKGLFYALDLGGTNFRVIRVVLGGKDDRVVKQEFEEVSIPPHLMIGSSDALFDYIAEALAKFVATEDEGLHPLPGQQRELGFTFSFPVRQTSIASGTLIKWTKGFSIEDTVGEDVVGELTKAMERVGLDMRVAALVNDTIGTLAGGRYYNKDVIAAVILGTGTNAAYVERAQAIPKWNGLLPKSGEMVINMEWGNFRSSHLPLTEFDQALDVESLNPGEQIFEKLISGMYLGEIVRRVLLRMAQEAAFFGDVVPPKLEIPFILRTPHMSAMHHDTSSDLKVVGSKLKDILEISNTSLKMRKAIVELCDIVATRGARLSAAGIMGILKKLGRDTVKDGEKQKSVIALDGGLFEHYTKFRSSMESTLKELLGEEISESIIIEHSNDGSGIGAALLAASHSQYLEVAEA >Manes.08G041200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4023996:4024653:-1 gene:Manes.08G041200.v8.1 transcript:Manes.08G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFYVLLSLLLLLISAEFTQSVAVQRAHAVRIPEHTCHKKIDIKTCDFQKCNKECAKETLGVGDCRNALCFCTYYCKQPPI >Manes.08G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34627981:34632636:1 gene:Manes.08G106800.v8.1 transcript:Manes.08G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKLLWVSIALVSVIGKWVDGFFEFNETELFYTGAEAYGYVNESKPINDALMVGLTLVQGARAKGAVCLDGTLPGYHLHRGYGSGANSWLIQLEGGGWCDNIRHCVYRKTTRRGSSRYMEKLVPFLGILSNKPQENPDFFNWNRVKLRYCDGGSFTGDSENKAAQLQFRGQLIWLAAMEDLMSKGMHYANQALLSGCSAGGLAAILHCDEFRNMFPRTTRVKCLSDAGLFLDAVDVSGSRTLRNMYSGVVGFQGVRNNLPRICTNHLDPTSCFFPQNIIGNVQTPLFILNAAYDSWQIQSSLAPPTADPHGYWSECRKNYAKCSASQIQFLQGFRNQMLRAIRGFSMSRRNGLFINSCFAHCQSERQDTWFADDSPVLRNKAIAVAVGDWYFDRSGFKAVDCPYSCDNTCHNLVFR >Manes.08G106800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34627981:34632636:1 gene:Manes.08G106800.v8.1 transcript:Manes.08G106800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKLLWVSIALVSVIGKWVDGFFEFNETELFYTGAEAYGYVNESKPINDALMVGLTLVQGARAKGAVCLDGTLPGYHLHRGYGSGANSWLIQLEGGGWCDNIRHCVYRKTTRRGSSRYMEKLVPFLGILSNKPQENPDFFNWNRVKLRYCDGGSFTGDSENKAAQLQFRGQLIWLAAMEDLMSKGMHYANQALLSGCSAGGLAAILHCDEFRNMFPRTTRVKCLSDAGLFLDAVDVSGSRTLRNMYSGVVGFQGVRNNLPRICTNHLDPTSCFFPQNIIGNVQTPLFILNAAYDSWQSSLAPPTADPHGYWSECRKNYAKCSASQIQFLQGFRNQMLRAIRGFSMSRRNGLFINSCFAHCQSERQDTWFADDSPVLRNKAIAVAVGDWYFDRSGFKAVDCPYSCDNTCHNLVFR >Manes.04G123201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32446374:32447552:1 gene:Manes.04G123201.v8.1 transcript:Manes.04G123201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEDGPSRQKLPVKNAPYSRTVGNQYVDDDEEDDNEEEEDEEELGDDDGEHYQNKGIEFVGKEVDDDDDYDEDADVDEEDDDEDNQKNYSRRINDDLERHPKKRKLKSIASSYEFAPRIPAHPSLRSEKRQEVAEKVSEMYKKEKINQADMGGSSSKWVYFKKMDMLMSTSAQQEYVFMNPGVYLNRANGLDEMRDSPGNSESADEVEDDSDGLAPKKRKSGRDRNEGSSCKVLADSIQKLMLELEKMRTDFHRDLEMQKRQIMERAQAEIAKIRQGDTGISADNVSG >Manes.06G082900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21838780:21842342:-1 gene:Manes.06G082900.v8.1 transcript:Manes.06G082900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKPTNNNVEAPPQNLGQVASNGPAQKPAPAAAPVDAIQQTPAGVQANTTNNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLNYLFGGDGK >Manes.06G082900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21838780:21842342:-1 gene:Manes.06G082900.v8.1 transcript:Manes.06G082900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKPTNNNVEAPPQNLGQVASNGPAQKPAPAAAPVDAIQQTPAGVQANTTNNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLNYLFGGDGK >Manes.06G082900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21838780:21841709:-1 gene:Manes.06G082900.v8.1 transcript:Manes.06G082900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKPTNNNVEAPPQNLGQVASNGPAQKPAPAAAPVDAIQQTPAGVQANTTNNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLNYLFGGDGK >Manes.06G082900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21839341:21840891:-1 gene:Manes.06G082900.v8.1 transcript:Manes.06G082900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGSGEAPKPTNNNVEAPPQNLGQVASNGPAQKPAPAAAPVDAIQQTPAGVQANTTNNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLNYLFGGDGK >Manes.14G151300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17165595:17167716:-1 gene:Manes.14G151300.v8.1 transcript:Manes.14G151300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKWSLLSRLQRAVKKVKVILNLDINRWRLVASLIGASPTKHRRVSFNERPGLRDCADYVEESEDSVSGNSSVGLHRTISYPSEDDIDKRAEMFIENFRRQLQIERQISLELKYLQENSSFKLRSP >Manes.09G011817.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2701040:2702599:1 gene:Manes.09G011817.v8.1 transcript:Manes.09G011817.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPSRVHFNRFLSALVKMKQYHSVLSMSKTIELVGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNSLINGLCMERKINKAVEFFDDMVARGYQPDVRTYSSIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPDLYTFSVLIDALCKDGMVAEAQNTFSVMIQRGVEPNVVTYSSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILINTLCKKGLVSNAENIIKIMIERGVEPNVVTYNSLMDGYCLCKQIDKARKLFDLMVTNEIANIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPNAVTYSTLIKGMFQAGRPQTAQELFKDMGPHGQQPDIVTFSIMIDGLCRQGNLDEAITLLKAMEKSQLKPNLVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVFVYNAIMKGLCQQGLMDEAYKVF >Manes.S023052.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2033387:2034948:1 gene:Manes.S023052.v8.1 transcript:Manes.S023052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G110500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23297395:23298182:-1 gene:Manes.03G110500.v8.1 transcript:Manes.03G110500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCGNCDCADKSQKKGSSYTADIVETEKSFVSTVVMEVPAAAENDGKCKCGANCTCTTCTCGH >Manes.03G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23296882:23298185:-1 gene:Manes.03G110500.v8.1 transcript:Manes.03G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCGNCDCADKSQCVKKGSSYTADIVETEKSFVSTVVMEVPAAAENDGKCKCGANCTCTTCTCGH >Manes.04G038600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5948536:5963864:1 gene:Manes.04G038600.v8.1 transcript:Manes.04G038600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVPFEDLSPRTCAVLGGRGFLGRSLVFRLLKIGNWIVRIADSSKSLQLDLTDSSDSFLNDAIESGRASYHCLDVHDVSSIVKAIEGSSIVFHMEAEDLWNHDFYYCYKIIVQGAKNVINACRECKVGKLIYNSSADLIFDGSHDICNGDESLPCYWKFEDALSDLKAHAEALILFANNIDGLLTCALRPSNVFGPGDTQLVPFLIKLAKSGCAKFIIGNGENMSDFTYSENVVHAHICAAEALDSRMISVAGKAYFITNLEPMKFWEFVSLILEGLGCQRPFIKVPARMVWYVLLFVKWTHEKLGIKEYNHSLSAYYFRLASHTRTFNCTAAQKYIGYSPVVSLDDGIALTSDAFSNLVKDLSFMSCTNFEEQSKVDKLLGSGKVADILLWRDEKKTFTYFFAFAMLFYWFLLSGRTFASSAAKLLLLITTILYGYGILPAQISSFIVRRMSVSWFEISETVVKDSVIFIAYQWNRGVYNVRLLARGHDWNKFFKVMSSLYFLKLILSRSMTVVVGVALVFSFTAFFVYEQYESEIDGLGKLLLNRMKESKRLLMRSLPETAESFLQNRGILQRER >Manes.04G038600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5948536:5959683:1 gene:Manes.04G038600.v8.1 transcript:Manes.04G038600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVPFEDLSPRTCAVLGGRGFLGRSLVFRLLKIGNWIVRIADSSKSLQLDLTDSSDSFLNDAIESGRASYHCLDVHDVSSIVKAIEGSSIVFHMEAEDLWNHDFYYCYKIIVQGAKNVINACRECKVGKLIYNSSADLIFDGSHDICNGDESLPCYWKFEDALSDLKAHAEALILFANNIDGLLTCALRPSNVFGPGDTQLVPFLIKLAKSGCAKFIIGNGENMSDFTYSENVVHAHICAAEALDSRMISVAGKAYFITNLEPMKFWEFVSLILEGLGCQRPFIKVPARMVWYVLLFVKWTHEKLGIKEYNHSLSAYYFRLASHTRTFNCTAAQKYIGYSPVVSLDDGIALTSDAFSNLVKDLSFMSCTNFEEQSKVDKLLGSGKVADILLWRDEKKTFTYFFAFAMLFYWFLLSGRTFASSAAKLLLLITTILYGYGILPAQMYYSPPQIGGVR >Manes.04G038600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5948536:5963864:1 gene:Manes.04G038600.v8.1 transcript:Manes.04G038600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDLWNHDFYYCYKIIVQGAKNVINACRECKVGKLIYNSSADLIFDGSHDICNGDESLPCYWKFEDALSDLKAHAEALILFANNIDGLLTCALRPSNVFGPGDTQLVPFLIKLAKSGCAKFIIGNGENMSDFTYSENVVHAHICAAEALDSRMISVAGKAYFITNLEPMKFWEFVSLILEGLGCQRPFIKVPARMVWYVLLFVKWTHEKLGIKEYNHSLSAYYFRLASHTRTFNCTAAQKYIGYSPVVSLDDGIALTSDAFSNLVKDLSFMSCTNFEEQSKVDKLLGSGKVADILLWRDEKKTFTYFFAFAMLFYWFLLSGRTFASSAAKLLLLITTILYGYGILPAQISSFIVRRMSVSWFEISETVVKDSVIFIAYQWNRGVYNVRLLARGHDWNKFFKVMSSLYFLKLILSRSMTVVVGVALVFSFTAFFVYEQYESEIDGLGKLLLNRMKESKRLLMRSLPETAESFLQNRGILQRER >Manes.09G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34302346:34312797:-1 gene:Manes.09G145400.v8.1 transcript:Manes.09G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQTGPRASLGGSARDDASFQVKLEPSSSSLSLVPFRGRDSHHEVDEDMHLALAHQMYKAGNYKQALEHSNAVYERSPLRTDNLLLLGAIYYQLHDYDMCIAKNEEALRLEPRFAECYGNMANAWKEKGDIDLAIRYYLVAIELRPNFADAWSNLASAYMRKGRLNEAAQCCRQALALNPLLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFLESGDLNRALQYYKEAVKLKPTFPDAYLNLGNVYRALGMPQEAILCYQQAVQTRPNNAVAYGNLGSTYYERGQLDLAIIHYKQAIACDGRFLEAYNNLGNALKDVGRVDEAIQCYNQCLALQPTHPQSLTNLGNIYMEWNMASTAASYYKATLAVTTGLSAPFNNLAVIYKQQGNYADAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYIRAIAIRPNMAEAHANLASAYKDSGHVEAAIKSYRQALLLRPDFPEATCNLLHTLQCVCCWEDRSKMFTEVEGIIRRQITMSVLPSVQPFHAIAYPIDPMLALDISHKYAAHCSIIASRFGLPPFNHPPPVPIKRDRGEKLRIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRQRIQSEAEHFVDVSAMSSDMIAKLINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTRYSHIYSEKLVHMPHCYFVNDYKQKNLDVLDPTCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMKLRSYAVSQGVQPEQIIFTDVAMKQEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGLGDEMIVSSMKEYEKRAVSLALNRPKLQALTNKLKAVRTNCPLFDTTRWVRNLERAYFKMWNIHCSGKQPQHFKVTENDSEFPCDR >Manes.17G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33395831:33398836:1 gene:Manes.17G118200.v8.1 transcript:Manes.17G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLPIFTSGFELANLVVNSNLLHLSWSSICALQAEANPFHHHQQQPLSLRCRVDKQLNFTIVALGTSPSCLIHHLQQEGDLVSSIALKQENFPLFEFLCSKGNPSFSINRAAIALFYRHFSELSLLRTQFVDPVTGNLSVNTPLIITGNSLGGSVASLFTLWLLDSINQPSTKRPFCITFGSPLIGDSGLRQAISERSTWNSCFLHVAANRDPAPSLFLPPLTPQPLASTPQTIVYMPFGSFLLCSELGCTCVDDPEVVARLLEAMALGSTGSQVSDEHLLSYYAAIVDNLKARLILKGSSLRGLSVTNSLEAGIILQLEAIGDTRFQQPQHNMDFSNLIAKLKAREQICMLNKRKALNPSRKLNDIKVKMAFLEWYKKSCKANQIGYYDSYKNNLSMSDREVTKYKKFLTKYWKDMVEEAEKKPHKERVFIRSAWLFAGTNYRRMVEPLDIAEYYRTYGKRNYETEGRSKHYFLLEKWQKKEAETPAGPPSNKKKKNVAGTLTEDSCFWAKVEEAIISCELLKAPTSSAMEAQSSREYLINFERYVMEQIKNYAVSPEIFLRGSSLMKWWGMYQDIASNFALVDFMKNCRSVQYEKGCF >Manes.09G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31846745:31853747:-1 gene:Manes.09G116100.v8.1 transcript:Manes.09G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRSEAIELQKLRKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPIPPGLGMSNSGIIKDLVGKGGKILNGKAWSDNGWMCGQDWLENGNWVGGSTVGKSNYWRKNGSGYFGGDENCLAEKSYSGVVMFACKLLTSFFLSIRWIWRKIFRISSSKEDSSDADHRGMLAKRADIGSNYHESRGEKARRKAEEKRQARLEKELLEEEERKQREDVARLVEERRRLRDEKMEAEKDRTKSSQPTREKDSKKEAEGKRQERRKEKDKGSSKSNSDAEELEKKAGKECEQKRDYEKKSETDRREHQKSGTDSLKGQSSELGHGLKHSSASNYSRGNAGARYLDRMRGTFLSSSKAFTGSGFFGKTAYTPANVTKENKFNSSVDHSHTSAYRRDICSPDRIAGKLSMNGDEKNVNHPVLSEPQPRTAPKKSWQQLFTRTSPKISSSNSNVISRPNCKLQSEVQSPKLLGQSLSLQSFDNPISFGLPSPFTLSTYPNSSSSSSLGFSSPIEPIFSHVVEGPHDFTPEEPELFEDPCYVPDPISLLGPVSELLDNFQLDLGTGFASGIGLERPHELKNLSASSEVNKLSPIESPSSRLRFADEKHNGSNWFPTTPKAQDSHILPVDDVHVNEKGTWQMWTSSPLGQDGLGFIGGTGSWLLPPDQCRSTKEDVLQSSSQKNMASLLLKDDHVLSGTQSPEKVFLGNGQNGGAFSPVTCSSGNDPWLQNAFFPPLSGSDSHFSLKPQKENVEDEVIYGSPTNTATNHPFELSPANGWSKKDWTMRVSGEGVGKPSSTRPNIGGLFPSPDVQSLWSFD >Manes.09G116100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31848592:31853755:-1 gene:Manes.09G116100.v8.1 transcript:Manes.09G116100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRSEAIELQKLRKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPIPPGLGMSNSGIIKDLVGKGGKILNGKAWSDNGWMCGQDWLENGNWVGGSTVGKSNYWRKNGSGYFGGDENCLAEKSYSGVVMFACKLLTSFFLSIRWIWRKIFRISSSKEDSSDADHRGMLAKRADIGSNYHESRGEKARRKAEEKRQARLEKELLEEEERKQREDVARLVEERRRLRDEKMEAEKDRTKSSQPTREKDSKKEAEGKRQERRKEKDKGSSKSNSDAEELEKKAGKECEQKRDYEKKSETDRREHQKSGTDSLKGQSSELGHGLKHSSASNYSRGNAGARYLDRMRGTFLSSSKAFTGSGFFGKTAYTPANVTKENKFNSSVDHSHTSAYRRDICSPDRIAGKLSMNGDEKNVNHPVLSEPQPRTAPKKSWQQLFTRTSPKISSSNSNVISRPNCKLQSEVQSPKLLGQSLSLQSFDNPISFGLPSPFTLSTYPNSSSSSSLGFSSPIEPIFSHVVEGPHDFTPEEPELFEDPCYVPDPISLLGPVSELLDNFQLDLGTGFASGIGLERPHELKNLSASSEVNKLSPIESPSSRLRFADEKHNGSNWFPTTPKAQDSHILPVDDVHVNEKGTWQMWTSSPLGQDGLGFIGGTGSWLLPPDQCRSTKEDVLQSSSQKNMASLLLKDDHVLSGTQSPEKVFLGNGQNGGAFSPVTCSSGNDPWLQNAFFPPLSGSDSHFSLKPQKENVEDEVIYGSPTNTATNHPFELSPANGWSKLEHLEYEYLKFSTSSNCYYSPIARSMTNRWSCLSLLQKRVIAGERREKYMSLDRISIDSVE >Manes.09G116100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31849838:31853755:-1 gene:Manes.09G116100.v8.1 transcript:Manes.09G116100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRSEAIELQKLRKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPIPPGLGMSNSGIIKDLVGKGGKILNGKAWSDNGWMCGQDWLENGNWVGGSTVGKSNYWRKNGSGYFGGDENCLAEKSYSGVVMFACKLLTSFFLSIRWIWRKIFRISSSKEDSSDADHRGMLAKRADIGSNYHESRGEKARRKAEEKRQARLEKELLEEEERKQREDVARLVEERRRLRDEKMEAEKDRTKSSQPTREKDSKKEAEGKRQERRKEKDKGSSKSNSDAEELEKKAGKECEQKRDYEKKSETDRREHQKSGTDSLKGQSSELGHGLKHSSASNYSRGNAGARYLDRMRGTFLSSSKAFTGSGFFGKTAYTPANVTKENKFNSSVDHSHTSAYRRDICSPDRIAGKLSMNGDEKNVNHPVLSEPQPRTAPKKSWQQLFTRTSPKISSSNSNVISRPNCKLQSEVQSPKLLGQSLSLQSFDNPISFGLPSPFTLSTYPNSSSSSSLGFSSPIEPIFSHVVEGPHDFTPEEPELFEDPCYVPDPISLLGPVSELLDNFQLDLGTGFASGIGLERPHELKNLSASSEVNKLSPIESPSSRLRFADEKHNGSNWFPTTPKAQDSHILPVDDVHVNEKGTWQMWTSSPLGQDGLGFIGGTGSWLLPPDQCRSTKEDVLQSSSQKNMASLLLKDDHVLSGTQSPEKVFLGNGQNGGAFSPVTCSSGNDPWLQNAFFPPLSGSDSHFSLKPQKENVEDEVIYGSPTNTATNHPFELSPANGWSK >Manes.01G092300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29376530:29377789:1 gene:Manes.01G092300.v8.1 transcript:Manes.01G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAMSQDKSDCFTNMHKTSSNELSSIFIDIVFFGHFIKLSDLQKFFSRFWFSLRSKTRFDNSRLWEKNRNQDCESSKSQCHLNNKRDDGNLRREEVEMVMGKVGIFCSTESEKLKESMGSDELSQLFDEKEPSLEELKEAFDVFDEKRDGFIDAEELQKLLLKLGLKEGSTMDNCRKMITACDENGDGRIDFIEFVKFMERVFS >Manes.07G123200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32683853:32685219:-1 gene:Manes.07G123200.v8.1 transcript:Manes.07G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGGRRTNVFDPFSLDIWDPFHDFPFSSTALSAPRSEFANETSGFANTRIDWKETPEAHVFKADLPGLKKEEVKVEIEENRVLQISGERSKEKEEKNDRWHRVERSSGKFLRRFRLPENAKVDQVKASMENGVLTVTVPKEEVKKPDVKAIDISG >Manes.01G165000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34867367:34870677:1 gene:Manes.01G165000.v8.1 transcript:Manes.01G165000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDIYTKDGTVDYRGNPANRKETGTWRACPFIIGNECCERLAYYGMSSNLVLYFKHVLNQQSATAAKNNSDWSGTCYTTPLIGAFLADAYLGRYWTIATFSIIYVIGMTLLAMSASVPGLKPKCYAKNDCDPTDGQSAACFVALYLIAVGTGGIKPCVSSYGADQFDDTDEVEKKHKGSFFNWFYFSINVGALIASSVLVYVQNNWSWGWGFGIPAIAMAIAVVSFFSGTRLYRYQKPGGSPLTRLCQVIVASIRKYKVVVPADKSLLYETADAESNIKGSRKVEHTTYFSFFDKAAVETEKDHVKDSVNPWRLSTVTQVEELKSIIRLLPIWATGIIFAAVYSQMSNLFVLQGDQMNKFVGNSNFEIPSASLSVFDTLSVIFWVPIYDRIIVPFARKFTGNRYGLTQLQRMGIGLFISIFAMVSAAVLEDIRLGIVKRHDSYDIKQVPISVFWQVPQYFLIGCAEVFTFIGQLEFFYQEAPDAMRSLCSALSLTTVALGNYLSSLLMTITTSISTRNGKPGWIPDNLNYGHVDYFFWMLAVMSVLNLGAFLFISKWYTYKTPIGTLR >Manes.01G165000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34867365:34870692:1 gene:Manes.01G165000.v8.1 transcript:Manes.01G165000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDIYTKDGTVDYRGNPANRKETGTWRACPFIIGNECCERLAYYGMSSNLVLYFKHVLNQQSATAAKNNSDWSGTCYTTPLIGAFLADAYLGRYWTIATFSIIYVIGMTLLAMSASVPGLKPKCYAKNDCDPTDGQSAACFVALYLIAVGTGGIKPCVSSYGADQFDDTDEVEKKHKGSFFNWFYFSINVGALIASSVLVYVQNNWSWGWGFGIPAIAMAIAVVSFFSGTRLYRYQKPGGSPLTRLCQVIVASIRKYKVVVPADKSLLYETADAESNIKGSRKVEHTTYFSFFDKAAVETEKDHVKDSVNPWRLSTVTQVEELKSIIRLLPIWATGIIFAAVYSQMSNLFVLQGDQMNKFVGNSNFEIPSASLSVFDTLSVIFWVPIYDRIIVPFARKFTGNRYGLTQLQRMGIGLFISIFAMVSAAVLEDIRLGIVKRHDSYDIKQVPISVFWQVPQYFLIGCAEVFTFIGQLEFFYQEAPDAMRSLCSALSLTTVALGNYLSSLLMTITTSISTRNGKPGWIPDNLNYGHVDYFFWMLAVMSVLNLGAFLFISKWYTYKTPIGTLR >Manes.01G165000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34867367:34870677:1 gene:Manes.01G165000.v8.1 transcript:Manes.01G165000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDIYTKDGTVDYRGNPANRKETGTWRACPFIIGNECCERLAYYGMSSNLVLYFKHVLNQQSATAAKNNSDWSGTCYTTPLIGAFLADAYLGRYWTIATFSIIYVIGMTLLAMSASVPGLKPKCYAKNDCDPTDGQSAACFVALYLIAVGTGGIKPCVSSYGADQFDDTDEVEKKHKGSFFNWFYFSINVGALIASSVLVYVQNNWSWGWGFGIPAIAMAIAVVSFFSGTRLYRYQKPGGSPLTRLCQVIVASIRKYKVVVPADKSLLYETADAESNIKGSRKVEHTTYFSFFDKAAVETEKDHVKDSVNPWRLSTVTQVEELKSIIRLLPIWATGIIFAAVYSQMSNLFVLQGDQMNKFVGNSNFEIPSASLSVFDTLSVIFWVPIYDRIIVPFARKFTGNRYGLTQLQRMGIGLFISIFAMVSAAVLEDIRLGIVKRHDSYDIKQVPISVFWQVPQYFLIGCAEVFTFIGQLEFFYQEAPDAMRSLCSALSLTTVALGNYLSSLLMTITTSISTRNGKPGWIPDNLNYGHVDYFFWMLAVMSVLNLGAFLFISKWYTYKTPIGTLR >Manes.01G165000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34867367:34870683:1 gene:Manes.01G165000.v8.1 transcript:Manes.01G165000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLVLYFKHVLNQQSATAAKNNSDWSGTCYTTPLIGAFLADAYLGRYWTIATFSIIYVIGMTLLAMSASVPGLKPKCYAKNDCDPTDGQSAACFVALYLIAVGTGGIKPCVSSYGADQFDDTDEVEKKHKGSFFNWFYFSINVGALIASSVLVYVQNNWSWGWGFGIPAIAMAIAVVSFFSGTRLYRYQKPGGSPLTRLCQVIVASIRKYKVVVPADKSLLYETADAESNIKGSRKVEHTTYFSFFDKAAVETEKDHVKDSVNPWRLSTVTQVEELKSIIRLLPIWATGIIFAAVYSQMSNLFVLQGDQMNKFVGNSNFEIPSASLSVFDTLSVIFWVPIYDRIIVPFARKFTGNRYGLTQLQRMGIGLFISIFAMVSAAVLEDIRLGIVKRHDSYDIKQVPISVFWQVPQYFLIGCAEVFTFIGQLEFFYQEAPDAMRSLCSALSLTTVALGNYLSSLLMTITTSISTRNGKPGWIPDNLNYGHVDYFFWMLAVMSVLNLGAFLFISKWYTYKTPIGTLR >Manes.01G165000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34867367:34870692:1 gene:Manes.01G165000.v8.1 transcript:Manes.01G165000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDIYTKDGTVDYRGNPANRKETGTWRACPFIIGNECCERLAYYGMSSNLVLYFKHVLNQQSATAAKNNSDWSGTCYTTPLIGAFLADAYLGRYWTIATFSIIYVIGMTLLAMSASVPGLKPKCYAKNDCDPTDGQSAACFVALYLIAVGTGGIKPCVSSYGADQFDDTDEVEKKHKGSFFNWFYFSINVGALIASSVLVYVQNNWSWGWGFGIPAIAMAIAVVSFFSGTRLYRYQKPGGSPLTRLCQVIVASIRKYKVVVPADKSLLYETADAESNIKGSRKVEHTTYFSFFDKAAVETEKDHVKDSVNPWRLSTVTQVEELKSIIRLLPIWATGIIFAAVYSQMSNLFVLQGDQMNKFVGNSNFEIPSASLSVFDTLSVIFWVPIYDRIIVPFARKFTGNRYGLTQLQRMGIGLFISIFAMVSAAVLEDIRLGIVKRHDSYDIKQVPISVFWQVPQYFLIGCAEVFTFIGQLEFFYQEAPDAMRSLCSALSLTTVALGNYLSSLLMTITTSISTRNGKPGWIPDNLNYGHVDYFFWMLAVMSVLNLGAFLFISKWYTYKTPIGTLR >Manes.07G134700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33593313:33594059:1 gene:Manes.07G134700.v8.1 transcript:Manes.07G134700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVHQRADAVSSIVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQNEPVMPTPHIDPDDDKSSYLLQNNLPQYLNFASSSNVIHDSLKRESIFGDIIS >Manes.15G138000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11163217:11170159:1 gene:Manes.15G138000.v8.1 transcript:Manes.15G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTGNVVISDKMQFPVAGGGSGGGVGTEIHQQHHHRHQWFPMDERDGFISWLRGEFAAANAIIDSICHHLRSVGEPGEYDLVIGCIQQRRCNWNPVLHMQQYFSVGEVIVALQQVALRKQQQQQQRYYYDQAKVGGKEFKRSWNAGFNKGQKGGGEVVKEAVNSSVESHSFDWNSSGNGGSEKFEEIKSSGDGGKVEDKSLALGEDKKDAVAKPHIDNHLKGSENSEGTLSENDAESEAVDEQSSSKENDERSSQNNGVKRSLPITPKTFVGSEIVDGKMANVVDGLKLYEHLLDNVEVSELVSLVNDLRASGRRGQFQGHTYVVSKRPMKGHGREMIQLGLPIADAPVEDDAAGTSKDRRIESIPTLLQDVIDQFVSKQIITVKPDSCIIDIYNEGDHSQPHMWPPWFGKPISVLFLTECDLTFGRVITADHPGDYRGSLKLPLAPGSLLVMQGKSTDFAKHAIPAIRKQRILVTFTKSQPKKFAQSDGQRLPSSAGAPSHHWGPPPSRSPNHIRHPVPKHYPVIPSSGVLSAPPIRPQIPPPNGVQPLFVTAPVAAPMPFPAPVPIPPVSTGWPAAPPRHPPNRLPVPVPGTGVFLPPPGSGNASSPQISAATTEINFPAETSSVLDKENGIGKANLVSCASPKEESDGKSQRQDCNGISDGRSLSKEEQQQNADHTVVNKSTGTV >Manes.02G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:499159:508259:1 gene:Manes.02G003500.v8.1 transcript:Manes.02G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLIFTSPPSSFTLLSLKPPVSRLNHHSNIPIKRKAFKNNGICRAEFSRDAPFAVAIGASILSSLLLPNTAQMDEDRESAIDSTDARLTVMGIISFIPYFNWLSWVFAWLDTGNRRYAVYALVYMAPYLRSNMSLSPEESWLPVASIVLGIIHVQLEASIKNGDIEGFQLFNRASVFLSSMMKKKDINLEEHEELFDENRQESRNLPSAEEQLRDEIQEWRVPKKPSEHHERLNDDWDDDPRKL >Manes.15G151800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12632251:12641003:1 gene:Manes.15G151800.v8.1 transcript:Manes.15G151800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELQAIVAESNAAQLAFGAMGFPVLVGVLKEERDDIEMIRGALEALVSALTPIDHARGPKNEVQPALMNTDLLSRDAENISLLLSLLSEEDFYVRYYTLQLLTALLTNSPNRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNSSNQILLRETMGFDPLISILKLRGSTYSFTQQKTINLLSALETINLLMMGGSEADPGKDTNKLTNKTVLVQKKILDYLLLLGVESQWAPIAVRCGALRCTGNLIAGHPKNLDALATKVLGEEPQVEPALNSILRIILRTSSMQEFIAADHVFKSFCERNSDNQTMLASTLIPQPHSMNDAPIEEDINMSFGSMLLHGLTLSESDGDLETCCRAASVLSHVLKDNIQCKERVLRIELESPLQSLGSAEPLMHRMVKYLALASNMKNKDGKSSPTGKLYFQPLILKLLITWMADCSNAVQCFLDSRPHLTYLLELVSNPSATVCIRGLAAVLLGECVIYNKSGESGKDAFTVVDAMSQKVGLTSYFLKLEEMIGSSIFSCAKPAEPHKPLTRSVAASMEEIEDVDEQDSSDHKNEDHPILSSIFDVSFINFVKRVETDIRETIADIYSRPKSEVAVVPAELDQKSGESDKDYIKRLKSFVEKQCSEIQNLLGRNATLAEELAKIGGIGSSQAEQRARGSERVQAETLRRDLQEASQRIEMLKAEKAKIESEASMYQNLAGKMESDLKSLSDAYNSLEQANFHLEKEVKALKNGGASIVPDIEEIKAEAREEAQKESEAELNDLLVCLGQEQNKVEKLSARLLELGEDVDKLLEDIGDDMGLPENDDEED >Manes.15G151800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12632052:12641003:1 gene:Manes.15G151800.v8.1 transcript:Manes.15G151800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELQAIVAESNAAQLAFGAMGFPVLVGVLKEERDDIEMIRGALEALVSALTPIDHARGPKNEVQPALMNTDLLSRDAENISLLLSLLSEEDFYVRYYTLQLLTALLTNSPNRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNSSNQILLRETMGFDPLISILKLRGSTYSFTQQKTINLLSALETINLLMMGGSEADPGKDTNKLTNKTVLVQKKILDYLLLLGVESQWAPIAVRCGALRCTGNLIAGHPKNLDALATKVLGEEPQVEPALNSILRIILRTSSMQEFIAADHVFKSFCERNSDNQTMLASTLIPQPHSMNDAPIEEDINMSFGSMLLHGLTLSESDGDLETCCRAASVLSHVLKDNIQCKERVLRIELESPLQSLGSAEPLMHRMVKYLALASNMKNKDGKSSPTGKLYFQPLILKLLITWMADCSNAVQCFLDSRPHLTYLLELVSNPSATVCIRGLAAVLLGECVIYNKSGESGKDAFTVVDAMSQKVGLTSYFLKLEEMIGSSIFSCAKPAEPHKPLTRSVAASMEEIEDVDEQDSSDHKNEDHPILSSIFDVSFINFVKRVETDIRETIADIYSRPKSEVAVVPAELDQKSGESDKDYIKRLKSFVEKQCSEIQNLLGRNATLAEELAKIGGIGSSQAEQRARGSERVQAETLRRDLQEASQRIEMLKAEKAKIESEASMYQNLAGKMESDLKSLSDAYNSLEQANFHLEKEVKALKNGGASIVPDIEEIKAEAREEAQKESEAELNDLLVCLGQEQNKVEKLSARLLELGEDVDKLLEDIGDDMGLPENDDEED >Manes.15G151800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12632226:12641004:1 gene:Manes.15G151800.v8.1 transcript:Manes.15G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASRYKGVVGLVFGNENSASNEDSYVERLLDRISNGVLAEDRRTAMTELQAIVAESNAAQLAFGAMGFPVLVGVLKEERDDIEMIRGALEALVSALTPIDHARGPKNEVQPALMNTDLLSRDAENISLLLSLLSEEDFYVRYYTLQLLTALLTNSPNRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNSSNQILLRETMGFDPLISILKLRGSTYSFTQQKTINLLSALETINLLMMGGSEADPGKDTNKLTNKTVLVQKKILDYLLLLGVESQWAPIAVRCGALRCTGNLIAGHPKNLDALATKVLGEEPQVEPALNSILRIILRTSSMQEFIAADHVFKSFCERNSDNQTMLASTLIPQPHSMNDAPIEEDINMSFGSMLLHGLTLSESDGDLETCCRAASVLSHVLKDNIQCKERVLRIELESPLQSLGSAEPLMHRMVKYLALASNMKNKDGKSSPTGKLYFQPLILKLLITWMADCSNAVQCFLDSRPHLTYLLELVSNPSATVCIRGLAAVLLGECVIYNKSGESGKDAFTVVDAMSQKVGLTSYFLKLEEMIGSSIFSCAKPAEPHKPLTRSVAASMEEIEDVDEQDSSDHKNEDHPILSSIFDVSFINFVKRVETDIRETIADIYSRPKSEVAVVPAELDQKSGESDKDYIKRLKSFVEKQCSEIQNLLGRNATLAEELAKIGGIGSSQAEQRARGSERVQAETLRRDLQEASQRIEMLKAEKAKIESEASMYQNLAGKMESDLKSLSDAYNSLEQANFHLEKEVKALKNGGASIVPDIEEIKAEAREEAQKESEAELNDLLVCLGQEQNKVEKLSARLLELGEDVDKLLEDIGDDMGLPENDDEED >Manes.15G151800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12632052:12641003:1 gene:Manes.15G151800.v8.1 transcript:Manes.15G151800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASRYKGVVGLVFGNENSASNEDSYVERLLDRISNGVLAEDRRTAMTELQAIVAESNAAQLAFGAMGFPVLVGVLKEERDDIEMIRGALEALVSALTPIDHARGPKNEVQPALMNTDLLSRDAENISLLLSLLSEEDFYVRYYTLQLLTALLTNSPNRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNSSNQILLRETMGFDPLISILKLRGSTYSFTQQKTINLLSALETINLLMMGGSEADPGKDTNKLTNKTVLVQKKILDYLLLLGVESQWAPIAVRCGALRCTGNLIAGHPKNLDALATKVLGEEPQVEPALNSILRIILRTSSMQEFIAADHVFKSFCERNSDNQTMLASTLIPQPHSMNDAPIEEDINMSFGSMLLHGLTLSESDGDLETCCRAASVLSHVLKDNIQCKERVLRIELESPLQSLGSAEPLMHRMVKYLALASNMKNKDGKSSPTGKLYFQPLILKLLITWMADCSNAVQCFLDSRPHLTYLLELVSNPSATVCIRGLAAVLLGECVIYNKSGESGKDAFTVVDAMSQKVGLTSYFLKLEEMIGSSIFSCAKPAEPHKPLTRSVAASMEEIEDVDEQDSSDHKNEDHPILSSIFDVSFINFVKRVETDIRETIADIYSRPKSEVAVVPAELDQKSGESDKDYIKRLKSFVEKQCSEIQNLLGRNATLAEELAKIGGIGSSQAEQRARGSERVQAETLRRDLQEASQRIEMLKAEKAKIESEASMYQNLAGKMESDLKSLSDAYNSLEQANFHLEKEVKALKNGGASIVPDIEEIKAEAREEAQKESEAELNDLLVCLGQEQNKVEKLSARLLELGEDVDKLLEDIGDDMGLPENDDEED >Manes.15G151800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12632052:12641003:1 gene:Manes.15G151800.v8.1 transcript:Manes.15G151800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASRYKGVVGLVFGNENSASNEDSYVERLLDRISNGVLAEDRRTAMTELQAIVAESNAAQLAFGAMGFPVLVGVLKEERDDIEMIRGALEALVSALTPIDHARGPKNEVQPALMNTDLLSRDAENISLLLSLLSEEDFYVRYYTLQLLTALLTNSPNRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNSSNQILLRETMGFDPLISILKLRGSTYSFTQQKTINLLSALETINLLMMGGSEADPGKDTNKLTNKTVLVQKKILDYLLLLGVESQWAPIAVRCGALRCTGNLIAGHPKNLDALATKVLGEEPQVEPALNSILRIILRTSSMQEFIAADHVFKSFCERNSDNQTMLASTLIPQPHSMNDAPIEEDINMSFGSMLLHGLTLSESDGDLETCCRAASVLSHVLKDNIQCKERVLRIELESPLQSLGSAEPLMHRMVKYLALASNMKNKDGKSSPTGKLYFQPLILKLLITWMADCSNAVQCFLDSRPHLTYLLELVSNPSATVCIRGLAAVLLGECVIYNKSGESGKDAFTVVDAMSQKVGLTSYFLKLEEMIGSSIFSCAKPAEPHKPLTRSVAASMEEIEDVDEQDSSDHKNEDHPILSSIFDVSFINFVKRVETDIRETIADIYSRPKSEVAVVPAELDQKSGESDKDYIKRLKSFVEKQCSEIQNLLGRNATLAEELAKIGGIGSSQAEQRARGSERVQAETLRRDLQEASQRIEMLKAEKAKIESEASMYQNLAGKMESDLKSLSDAYNSLEQANFHLEKEVKALKNGGASIVPDIEEIKAEAREEAQKESEAELNDLLVCLGQEQNKVEKLSARLLELGEDVDKLLEDIGDDMGLPENDDEED >Manes.15G155100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12841535:12844925:-1 gene:Manes.15G155100.v8.1 transcript:Manes.15G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMATSLINKTLNSIRTPKFLTPFSSSLTRHFTSVASAQQNSQSDPPSSSFTFTDNTNASNDSIFVKTPNSNAKRDSASSSSVTMPMSFMTGSIVGKRFYKQVTTREADDGNGWTVMLDYRTLKTPSKRPLKLPTLALAKAVAAEWEYQLTDGIRPFTMPLMKLACTALERVPLTRPKIIEHLMKKFNQDLVFCRAPEDNDLTSGVYERQVEKIDPLLDWLKSEFGFKPVVYSSFFGGKQEEGLVKAVEDLLKKTDNCELASIDAIASAAHSLVIAIGIFRGKLNIEEAIELIRLEEDLQVSSWGLVEGGHDVDIADLRVQISSAAVFLGLSRI >Manes.09G063012.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10607098:10608432:1 gene:Manes.09G063012.v8.1 transcript:Manes.09G063012.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHSRIVLLFFLYATFTMFCYASNVLEAKTKPTKLITKLIHRNSIHSPFHNPHHSIEDKAKFIFENSLARFTNYKTDLAAGTDMGLLFLVRFYIGNPAISQLAVMDTASNLLWVQCSPNWYISRRSPIPLLDPLKSSTYASMPCKSEFCSYFPSSSCINEQCTYNISYVNAPPSTGNAATEQLLFESDENIVVVPEVIFGCSKVNKEYIDGGINGVFGLGPKKLSMARQLANKFSYCIGDFYDPNYNYNRLILGDEARLEGDTTPLEMSELHYYLNLQEISIGENTLDIDKKVFIRNITDKSKLSGVIIDSGSVATWLVNEAYYRFRNEVKRILGDSIVDTKECRWCLCYEGTMAQNLTNFPEVVYHFSEEAVLEVGFDGIFYQATASIFCMAVYPSSRLPDKPFQDATVIGVMAQQNHNVAYDLQEKKLYLESIDCQVYEG >Manes.07G121300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32568751:32575962:1 gene:Manes.07G121300.v8.1 transcript:Manes.07G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAVVSVAVERIAELLIQKAAFLRGVRGEIELLQAELKRMKCLLKDADRSQHQDESVRNCVAEIRDLTYDAEDVIDTFLLQVARGTGEGVRGFIKRVSFKFSQAFFFYEIGTQITYIRAKIEGISASMQNYGYKLVEGESARKHQPSSRRSYPHAEEDDIIGFDAVISVLRAHLMLKEEQVRVVSIVGMRGLGKTTLAKKVYKHFLVNRHFDSYSWTFISQQISTKEILIGILMDVVSSKDEAKLETKEKEKEELLKSKVEEMKAKMEFKSVFERMAEEELIEFLYDVLADKRYFVVLDDIWTNEKWLCLRPAFPNGKRGSKVLLTTRNRDVASYADPSSPKVELPLLTGDEGWELLSRKAFPKHILNKHGYPPEFEALGKEMMKKCGGLPLAIIVLGALLATKSTLGEWQAVRRNINAQFLKWEQDHQDDGVYKMLALSYDDLPFHLKPCFLYLSQFPEGTEFRKRALIRMWIAEGFVAPPLNEEEMTIEDVAEEYCEELVSRCMVQVSQKDHTGTRVKTCSLHDIVRQMCITKARDENFLAVVEHRRNITADSWSSSSTRHMATKSKLHRIAIHPCLPRHDHSQMEFYIPSSNIGLENLRSLIFFVGDENYVMTKKQGISIFKNFRLLRVLNMEGVLQYSHCLPREIDNLIHLRYLGLKHTGLKDGKKYLALPESIGNKYLALPESIGNLMNLYTLDLRCNDYFTRLPDVLWKLKFLRHLLVDHKDYEHLRLHTLKNLETLKSVYAKNLIREGAMHKLTNLRNIGVYFQKSDEVGPVLKSFIFGSGRLRSLKMQIIGSFSNLEPLQHCNLLTKVELGGGIPECQPPLHHNLEILPPSLVKLILWDSNLRQDPMCILEKLPNLRFLSLENDAYQGSRMVCSATGFPQLETLALKSFGLEEWRIEEGAMPCLKSLSLSLEKLKMIPNGLKFVTTIRELKLIDMVRCEERVRVVSGVEGEDFDKVRRIPSISFK >Manes.03G083226.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14791617:14792787:1 gene:Manes.03G083226.v8.1 transcript:Manes.03G083226.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEFLENARLFIFETYCRIHQRINMGVLAEKLNLNYEEAERWIVNLIRNSKLDAKIDSQSGTVIMEPNQPNVYEQLIDHTKAISGRTYKLVGQLLEHAQAQTAR >Manes.07G083800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26196613:26199184:1 gene:Manes.07G083800.v8.1 transcript:Manes.07G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQSREGQHLSDSESEEEQEEEVHHDAVERQETPQSTSSTPPRKALMDSKLKFPKNALPNRPVKLYLHVGGNTPSAKWVSDKLTSYSFFKTCKIDGNDDSDKEEEYHGGGSPSWILKVGSKVKSRVSTEMQLKMFGDQRRVDFVNNGVWAMKFYTYEEYRKFVTQFQDCLFENVYGLEATEKNKMKVYGREFIGWIKPEIADDTMWEDAEDNNADGKSTPVLVNQELEEFEEAANGSVQNLTLGALDNSFLVNDSGVQVYRNYHKGIHGNGICVKFDNRSGASSEQSPPKKAMLMRAETNMMLMRPLKRGKPHSTGIQQLDIETAKIVTEWKFGKDGSEITMKDITNDTKGAQLDPSESTFLGLDDNRLCQWDMRDKRGMVQSIGGGSPVLHWCEGHQFSRGTNFQCFASTGDGSIVVGSHDGKIRLYSGTSMRQAKTAFPGLGSAITNVDVTYDGKWVLGTTDRYLILICTLFTDKDGKTKTGFSGRMGNKMPAPRLLKLTPLDSHLAGANNKFHGAHFSWVTENGKHERHIVATVGKFSVIWDFQQVKNSAHECYRHQQGLKSCYCYKIVLKDESIVESRFMHDNYAVRNFPEAPLVVATPMKVTSISLSGKRSRS >Manes.18G091000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8365364:8368462:1 gene:Manes.18G091000.v8.1 transcript:Manes.18G091000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGSMIPGGNPFGGLDLQGSIRVHQQAQHQHSVPHQQHPLHRQGSSVHPSIHEGFPLTMGTMHNSDQIISMTDYSKGDKGKNSASDDDEPSYTEDGADGHNDASRGRKGSPWQRVKWTDKMVRLLITAVSYIGEDATSDCGGGMRRKFAVLQKKGKWKSISKVMAERGHLVSPQQCEDKFNDLNKRYKKLNDMLGRGTSCQVVENPALLDVIDYLTEKEKEDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDNDDVRKHQHDDIDDDDDQEVEIDDHDELEENQPEHGDSRRMHGVLDPSVKRFRQGQCLEDACFGNSSQDGNKGSYSHLQIAQVDMNQVSSESTRAAWLQKQWIESRMVQLEEQKLQIQQEMLELEKQRFKWQRFCWKRDRELEKLRMENERMKLENERMELELKRKEMSIEFT >Manes.13G052500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6141175:6146042:-1 gene:Manes.13G052500.v8.1 transcript:Manes.13G052500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGAAFTAAAARQAEVLRTDGNTFFGKHRYGAAIDAYTEAIALCPNVPVYWTNRALCHRRRNDWTKVEEDSRKAIELDYNSVKAHYMLGLALLQKNELAGGVKELQRALDLGRGANPVGYMVEEIWQELAKAKYMQWEQSSTKRSWELQSLKEACENALEEKHFLDSCQTEGFLDEMNVPHLKQFEDLGQVFKRAAEDDTPTEVPDYLCCRITLDIFRDPVITPSGVSYERAVILNHLQKVGKFDPVTREPLDPSQLIPNFAIKEAVQAYLNKHGWAYKIE >Manes.01G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33237330:33246415:-1 gene:Manes.01G140900.v8.1 transcript:Manes.01G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWILYRSKLKLLSHQFQRCYVQTPYASLLNYTAKSSKSCSSEHPIWDNCKRPICKRPTDFFNNVRFFAAPVQVKAKKEEKDTSGPRLNDNIEAQFVRLVDDEGHSVVSLHEALEQARKLKLDLVEVNRHADPPVCKIMDFHKEQYKRHLKEKDRAKSKSEMTLKTGDCKEIRFSEKTEQKDLKMKADTVLRLIQRGYRVKCMVLCSSKKGSGRKGSGDEDLTEEEIAERKRQEEEEEKELKALLSRLTALIEDEFVVETGPRVEKRQAFLIVRHAKFGPLKKGSAKKSKDAETVAIAEPTATHSSIHCREEINSPSEADSSRRNLNIPHLSHPSPVDDSKQADRLPLSTQPSLETENRYRRSEAGERFPPTTSRDSRGPAQTGSFRAGPGFSHLDGHTRPGINVPSSRREGNPTRTDSSAFGNIKLPRADDTRTQSSNYGIFSSSNAATPRKQGVTAEVSNNKEGIPYHSSKDQNTGGFAADPRFSTSKPDGDRWPGNDGSGQGRWGIFSRDGSNINPNRIPK >Manes.01G140900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33237948:33246415:-1 gene:Manes.01G140900.v8.1 transcript:Manes.01G140900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWILYRSKLKLLSHQFQRCYVQTPYASLLNYTAKSSKSCSSEHPIWDNCKRPICKRPTDFFNNVRFFAAPVQVKAKKEEKDTSGPRLNDNIEAQFVRLVDDEGHSVVSLHEALEQARKLKLDLVEVNRHADPPVCKIMDFHKEQYKRHLKEKDRAKSKSEMTLKTGDCKEIRFSEKTEQKDLKMKADTVLRLIQRGYRVKCMVLCSSKKGSGRKGSGDEDLTEEEIAERKRQEEEEEKELKALLSRLTALIEDEFVVETGPRVEKRQAFLIVRHAKFGPLKKGSAKKSKDAETVAIAEPTATHSSIHCREEINSPSEADSSRRNLNIPHLSHPSPVDDSKQADRLPLSTQPSLETENRYRRSEAGERFPPTTSRDSRGPAQTGSFRAGPGFSHLDGHTRPGINVPSSRREGNPTRTDSSAFGNIKLPRADDTRTQSSNYGIFSSSNAATPRKQGVTAEVSNNKEGIPYHSSKDQNTGGFAADPRFSTSKPDGDRWPGNDGSGQGRWGIFSRDGSNINPNRIPK >Manes.06G054800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18968755:18973571:-1 gene:Manes.06G054800.v8.1 transcript:Manes.06G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSAAVSQVSFAVPVGSDSTLGRSVLKPHIISFSDKSWGPSLALDLKSRNARLQNRFIVCMSVQQASRSKVSVSPIEYEDDKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDNFDGKTATLCVRRALYYDPETGKEDPSKGGICSNFLCNSKPGDKVQITGPSGKIMLLPEDNPNATHIMIATGTGVAPFRGYLRRMFMEDVPRYKFGGLAWLFLGVANSDSLLYDDEFTKYLQDYPDHFRYDKALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAQQRGESWEQKLSQLKKNKQWHVEVY >Manes.05G027900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2405777:2409025:-1 gene:Manes.05G027900.v8.1 transcript:Manes.05G027900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHQRTLQTSLSDPYPLSPRYSSNSQRQISIFSRTGLVILFCLMLILGVFMPWTGMPQGIFSVTKPSVSKWRHYTLAEAVSFVAKNGTVIVCAVSHPYLPFLNNWLISIARQKHQDEVLVIAEDYATLYKVNEKWPGHAVLVPPAPDSQTAHKFGSQGFFNFTSRRPRHLLHILELGYNVMYNDVDMVWLGDPFPYLQGNHDVYFTDDMAAVKPLNHSHDLPPPGKKGRTYICSCMIFLRPTNGAKLVMKKWIKELQFQPWSKAKKSNDQPAFNWALNKTAGQTSTCCHRQHSQREGYTSRTIRGWKKQRESMLSFTTITSQVSRRR >Manes.05G027900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2405777:2409025:-1 gene:Manes.05G027900.v8.1 transcript:Manes.05G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHQRTLQTSLSDPYPLSPRYSSNSQRQISIFSRTGLVILFCLMLILGVFMPWTGMPQGIFSVTKPSVSKWRHYTLAEAVSFVAKNGTVIVCAVSHPYLPFLNNWLISIARQKHQDEVLVIAEDYATLYKVNEKWPGHAVLVPPAPDSQTAHKFGSQGFFNFTSRRPRHLLHILELGYNVMYNDVDMVWLGDPFPYLQGNHDVYFTDDMAAVKPLNHSHDLPPPGKKGRTYICSCMIFLRPTNGAKLVMKKWIKELQFQPWSKAKKSNDQPAFNWALNKTAGQVDLYLLPQAAFPTGGLYFKNHTWVEETKGKHVIIHNNYITGFEKKIKRFHDFGLWLADDHSQESPLGKLR >Manes.08G143400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38133587:38134605:1 gene:Manes.08G143400.v8.1 transcript:Manes.08G143400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIHFLVLLALAVAFSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNYAVEKLQKLFANA >Manes.05G000600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:106741:112825:1 gene:Manes.05G000600.v8.1 transcript:Manes.05G000600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAMTRTRSGERFYNPPPMRRHQHQLLLQKQLQRPFISDNRIDSAEVETRADSTLCISNWVSASPAVNFDLTNLDRFMESVTPVVPALYLAEARLRGQRTGEADRQPFFCLGDLWESFKEWSVYGAGVPLLLNRNDVVKQYYVPSLSGIQLYQDSSRLRRHGDDSDAESSRVTSSAIGSKFEAEKRAKGGADGLWSQHNLMNLNSQGVQRLTLRDKPLHCSSNNVTEISNSTGLLVYEYLEQEQPHHRKPLYDKVSSLASQFPDIKICRSCDLLPGSWVAVAWYPIYRIPTGPTLQNLDASFLTFHSLSTKATSKNQVDRKAYSMIASSKIALPVFGLASYKLRGSILTPSGAHECEQVNSLLHAADTWLRSLEVNLPDFQFFVSHNS >Manes.05G000600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:106741:112825:1 gene:Manes.05G000600.v8.1 transcript:Manes.05G000600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAMTRTRSGERFYNPPPMRRHQHQLLLQKQLQRPFISDNRIDSAEVETRADSTLCISNWVSASPAVNFDLTNLDRFMESVTPVVPALYLAEARLRGQRTGEADRQPFFCLGDLWESFKEWSVYGAGVPLLLNRNDVVKQYYVPSLSGIQLYQDSSRLRHGDDSDAESSRVTSSAIGSKFEAEKRAKGGADGLWSQHNLMNLNSQGVQRLTLRDKPLHCSSNNVTEISNSTGLLVYEYLEQEQPHHRKPLYDKVSSLASQFPDIKICRSCDLLPGSWVAVAWYPIYRIPTGPTLQNLDASFLTFHSLSTKATSKNQVDRKAYSMIASSKIALPVFGLASYKLRGSILTPSGAHECEQVNSLLHAADTWLRSLEVNLPDFQFFVSHNS >Manes.05G000600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:106741:112825:1 gene:Manes.05G000600.v8.1 transcript:Manes.05G000600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAMTRTRSGERFYNPPPMRRHQHQLLLQKQLQRPFISDNRIDSAEVETRADSTLCISNWVSASPAVNFDLTNLDRFMESVTPVVPALYLAEARLRGQRTGEADRQPFFCLGDLWESFKEWSVYGAGVPLLLNRNDVVKQYYVPSLSGIQLYQDSSRLRRHGDDSDAESSRVTSSAIGSKFEAEKRAKGGADGLWSQHNLMNLNSQGVQRLTLRDKPLHCSSNNVTEISNSTGLLVYEYLEQEQPHHRKPLYDKVSSLASQFPDIKICRSCDLLPGSWVAVAWYLFPLLKSFEYWML >Manes.05G000600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:106741:112825:1 gene:Manes.05G000600.v8.1 transcript:Manes.05G000600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAMTRTRSGERFYNPPPMRRHQHQLLLQKQLQRPFISDNRIDSAEARLRGQRTGEADRQPFFCLGDLWESFKEWSVYGAGVPLLLNRNDVVKQYYVPSLSGIQLYQDSSRLRRHGDDSDAESSRVTSSAIGSKFEAEKRAKGGADGLWSQHNLMNLNSQGVQRLTLRDKPLHCSSNNVTEISNSTGLLVYEYLEQEQPHHRKPLYDKVSSLASQFPDIKICRSCDLLPGSWVAVAWYPIYRIPTGPTLQNLDASFLTFHSLSTKATSKNQVDRKAYSMIASSKIALPVFGLASYKLRGSILTPSGAHECEQVNSLLHAADTWLRSLEVNLPDFQFFVSHNS >Manes.17G061600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26119431:26122791:1 gene:Manes.17G061600.v8.1 transcript:Manes.17G061600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVALAVNLITYFNGVMHFELADAANQLTNLMGASYILSILVAFLADTYIGRWKAVIVSGCFELIGTVLLAIQAHHPKLKPPKCNVFEQDSHCQKIEGSNALLLFVALYLLALGSSGIKAALPSHGADQFDEKDPNEARQMSSFFNFLLLAVCIGAAVSLTLFVWVQDNRGWDWGFGISAIAIFLAMLLFFAGLPIYRVQVVQGSSAIAEIIQVYVAAILNRKLQLPEDSSELYEIDNDKEAALEAEFQPHTDTFRFLDKAAIIQTGKDDRAEAPNPWKLCRVTQVENAKTIFGMLPVFCCTIIMTLCLAQLQTFSIQQGLSMDTSITRSFDIPPASLPIIPFIFMIILIPLYDRIFVPFARKMTGHPTGITHLQRIGVGLILSSISMAAAAIMEGKRKKVARDHNMLDAIPVMQPLPISTFWLSIQYLIFGIADMFTYVGLLEFFYSQAPKGIKSISTCFLWSSMALGYFFSTVLVKIVNTATKGITRSGGWLAGNNINRNHLNLFYWLLSALSLINFCIYLFVAKRYKYRPQNPVSLDENCKA >Manes.17G061600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26119431:26122791:1 gene:Manes.17G061600.v8.1 transcript:Manes.17G061600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLELPYGKLDWKGRQATKYKHGGTRASVLTLGTFCFEQMATVALAVNLITYFNGVMHFELADAANQLTNLMGASYILSILVAFLADTYIGRWKAVIVSGCFELIGTVLLAIQAHHPKLKPPKCNVFEQDSHCQKIEGSNALLLFVALYLLALGSSGIKAALPSHGADQFDEKDPNEARQMSSFFNFLLLAVCIGAAVSLTLFVWVQDNRGWDWGFGISAIAIFLAMLLFFAGLPIYRVQVVQGSSAIAEIIQVYVAAILNRKLQLPEDSSELYEIDNDKEAALEAEFQPHTDTFRFLDKAAIIQTGKDDRAEAPNPWKLCRVTQVENAKTIFGMLPVFCCTIIMTLCLAQLQTFSIQQGLSMDTSITRSFDIPPASLPIIPFIFMIILIPLYDRIFVPFARKMTGHPTGITHLQRIGVGLILSSISMAAAAIMEGKRKKVARDHNMLDAIPVMQPLPISTFWLSIQYLIFGIADMFTYVGLLEFFYSQAPKGIKSISTCFLWSSMALGYFFSTVLVKIVNTATKGITRSGGWLAGNNINRNHLNLFYWLLSALSLINFCIYLFVAKRYKYRPQNPVSLDENCKA >Manes.17G061600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26119926:26122791:1 gene:Manes.17G061600.v8.1 transcript:Manes.17G061600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLELPYGKLDWKGRQATKYKHGGTRASVLTLGTFCFEQMATVALAVNLITYFNGVMHFELADAANQLTNLMGASYILSILVAFLADTYIGRWKAVIVSGCFELIGTVLLAIQAHHPKLKPPKCNVFEQDSHCQKIEGSNALLLFVALYLLALGSSGIKAALPSHGADQFDEKDPNEARQMSSFFNFLLLAVCIGAAVSLTLFVWVQDNRGWDWGFGISAIAIFLAMLLFFAGLPIYRVQVVQGSSAIAEIIQVYVAAILNRKLQLPEDSSELYEIDNDKEAALEAEFQPHTDTFRFLDKAAIIQTGKDDRAEAPNPWKLCRVTQVENAKTIFGMLPVFCCTIIMTLCLAQLQTFSIQQGLSMDTSITRSFDIPPASLPIIPFIFMIILIPLYDRIFVPFARKMTGHPTGITHLQRIGVGLILSSISMAAAAIMEGKRKKVARDHNMLDAIPVMQPLPISTFWLSIQYLIFGIADMFTYVGLLEFFYSQAPKGIKSISTCFLWSSMALGYFFSTVLVKIVNTATKGITRSGGWLAGNNINRNHLNLFYWLLSALSLINFCIYLFVAKRYKYRPQNPVSLDENCKA >Manes.17G061600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26119366:26122791:1 gene:Manes.17G061600.v8.1 transcript:Manes.17G061600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLELPYGKLDWKGRQATKYKHGGTRASVLTLGTFCFEQMATVALAVNLITYFNGVMHFELADAANQLTNLMGASYILSILVAFLADTYIGRWKAVIVSGCFELIGTVLLAIQAHHPKLKPPKCNVFEQDSHCQKIEGSNALLLFVALYLLALGSSGIKAALPSHGADQFDEKDPNEARQMSSFFNFLLLAVCIGAAVSLTLFVWVQDNRGWDWGFGISAIAIFLAMLLFFAGLPIYRVQVVQGSSAIAEIIQVYVAAILNRKLQLPEDSSELYEIDNDKEAALEAEFQPHTDTFRFLDKAAIIQTGKDDRAEAPNPWKLCRVTQVENAKTIFGMLPVFCCTIIMTLCLAQLQTFSIQQGLSMDTSITRSFDIPPASLPIIPFIFMIILIPLYDRIFVPFARKMTGHPTGITHLQRIGVGLILSSISMAAAAIMEGKRKKVARDHNMLDAIPVMQPLPISTFWLSIQYLIFGIADMFTYVGLLEFFYSQAPKGIKSISTCFLWSSMALGYFFSTVLVKIVNTATKGITRSGGWLAGNNINRNHLNLFYWLLSALSLINFCIYLFVAKRYKYRPQNPVSLDENCKA >Manes.09G170200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36187577:36191718:1 gene:Manes.09G170200.v8.1 transcript:Manes.09G170200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEYYDSELSDKQKKEIAKWFLLNSPAGEIQYIAKDLKSVLNDERVYNEAAQEAFPLYNKSHLISLELPDRSGDVLITPYNELDKNEYLDPRDAQVATVNHVKQVCTEARPAVDEELPSPYIEEYRYALDAEMLKYVGEAYPKGVCSVYCVNGKDVEGPGSNFELVVVISAVKQSPKNFCNGSWRSVWNIEFKDEVQVLVIRGKIQVGAHYFEEGNVQLDAKNECKVETKFQAPEESAHSIANIIRHHEAEYLASLEASYSNLPDTTFKDLRRKLPVTRTLFPWHNTSQFSLTRDIQKELGIGK >Manes.06G126100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25594489:25601092:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25594063:25615230:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25593659:25615230:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLLLQVLLKLLEEGIMILFVLLLEVEHILNDRLLQFVNVDLQDIKARDKFLSLRKSTRMDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25593659:25615230:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRCSGNREGLGEGYDGDVAFASALETFGGGHNDPICVAFGGPVMNKFTIALREIGTYKEVLRSQVEHILNDRLLQFVNVDLQDIKEARKRFDKASLIYDQARDKFLSLRKSTRMDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25593659:25615229:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSKLDDSPMFRQQMQCLEESSELLRGRCLKFIKGCRKYTEGLGEGYDGDVAFASALETFGGGHNDPICVAFGGPVMNKFTIALREIGTYKEVLRSQVEHILNDRLLQFVNVDLQDIKARDKFLSLRKSTRMDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25593659:25615230:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRCSGNREGLGEGYDGDVAFASALETFGGGHNDPICVAFGGPVMNKFTIALREIGTYKEVLRSQVEHILNDRLLQFVNVDLQDIKARDKFLSLRKSTRMDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25593659:25615229:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSKLDDSPMFRQQMQCLEESSELLRGRCLKFIKGCRKYTEGLGEGYDGDVAFASALETFGGGHNDPICVAFGGPVMNKFTIALREIGTYKEVLRSQVEHILNDRLLQFVNVDLQDIKEARKRFDKASLIYDQARDKFLSLRKSTRMDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25593659:25615230:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLLLQVLLKLLEEGIMILFVLLLEVEHILNDRLLQFVNVDLQDIKEARKRFDKASLIYDQARDKFLSLRKSTRMDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25594190:25615079:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSKLDDSPMFRQQMQCLEESSELLRGRCLKFIKGCRKYTEGLGEGYDGDVAFASALETFGGGHNDPICVAFGGPVMNKFTIALREIGTYKEVLRSQVEHILNDRLLQFVNVDLQDIKEARKRFDKASLIYDQHSLQARDKFLSLRKSTRMDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.06G126100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25593659:25615230:-1 gene:Manes.06G126100.v8.1 transcript:Manes.06G126100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMLLLQVLLKLLEEGIMILFVLLLEVEHILNDRLLQFVNVDLQDIKEARKRFDKASLIYDQARDKFLSLRKSTRMDVATSIEEELYNARSSFEQARFHLVSALSIVEAKKRFEFLEAVSGTMDAHIRYFKQGYELLHQLEPFINQVLAYAQQSRECSNYEQAALNERVQEYVRQIDRESKHNLNGGVGSPSGDGLRLFARSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSQGMLYYYRKPFNWTSGSGSQSSVQRNASADNSPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPVKVYTLQAENALDQVDWIEKITGVITSLLSFQTSERHLSTSHMGIGDHHSVSDSSSMADSPDIDHAEIEEFASNNMTTRCCLNSKNSQQQECCVRSEKPIDVLRKVPGNDKCADCGAPEPDWASLNLGVLMCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVLNLFQSIGNIYANSIWEELLHSGTSFTGDEMSKGFSRSLSDRQKLFHMRKPNYDDSISVKEHFIHAKYAEKIFTHKIKDDQNLLSVAQQVWESVHANDKKEVYRQIVRSGVDVNAIHGQASFSDSMDKPLASYVKPLHKNEDQVIEDFTDGCSLLHLACLTADLGMVELLLQYGANIHASNSKGQTPLHQCIINRRPSIAKLLLTRGADPQAVDGGGNTAIQLLSESSIDDSELVILLAK >Manes.14G156200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:20023385:20026250:1 gene:Manes.14G156200.v8.1 transcript:Manes.14G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAIPLFRSTPRPIEATVVSGPKSPKPQKPLLNQTLKSLSRSGKLDEAIRRIESSSSKCTEPETYALLLHACISHKSLEYGQRIYLQLLSESQEGHNLIQNPTLKSKLITLFSICGRLEEARHIFEDGLENNGAPESIWVAMAIGYSKNERFREALLVYIDMLLHDVQPGNFSFSVALKACVNLKDSRLGRGVHGQVIKSSEEPDQVVNNAILRLYAECGCLSEVVKMFDEMPQRNVVSWNSLIAGFAKQDQLFEALDVFRKMQSEGVGFSWVTITTLLPICARLATLHIGKELHAQIVKSAKRPDILVLNALIDMYVKCGTFDYGRRLFNGMRNKDLASWNAMLTGYAINGHMREAMELFDEMVSSGMTPDEVTFIALLSGCSHAGLTEDGIKLFDKMDIDFGVSPCLEHYACLVDILGRAGRIGDAIEIVKNMTMKPSASIWGSLLNSCHLHGDVHLGETIAEQLFELEPNNSGNYVMLSNIYANAGMWDSVNKVREIMQTRGIKKEAGCSWIQVKNIIHTFVAGGGFEFRNSVEYKKIWDELSEAMKKIGYIPDTSVVLHDVNEETKEMWVCGHSERLATIFALINTASGMPIRITKNLRVCKDCHSWIKIVSRVTERMIVLRDTNRFHHVKGGVCSCKDYW >Manes.16G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4132445:4134148:-1 gene:Manes.16G033900.v8.1 transcript:Manes.16G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDTNIVIMLVGNKADLRHLRAVATDDAKGFAQRENTFFMETSALESLNVENAFTEVLTQIYRVVSKKALDIGDDPAALPRGQTINVGGRDDVSAVKKAGCCSS >Manes.11G108600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25175595:25190814:1 gene:Manes.11G108600.v8.1 transcript:Manes.11G108600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISRASSSLCLGLHSLTHKGPALRALISLVAVAARVSPGQPLNAYFSSSYSSSSLRIPVMPGLDPTELEWPAKKVRDTFIKFFEDKNHVRWKSSPVVPLNDPTLLFVNAGMNQYKPIFLGTADPNTALSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYKLPADRLYATYFGGDEKAGLAPDTEARDIWLQFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAELLVNNDDPTCIEIWNLVFIQFNRESDGSLKSLPAKHVDTGLGFERLTSVLQSKMSNYDTDVFMPIFDAIQQATGARPYSGKVGLDDVDKVDMAYRVVADHIRTLSVAIADGACPGNEGREYVLRRILRRAVRYGSEVLNAQAGFFSGLVNVFVKVMGDVFPELKQNEKHIREIIAEEEASFGKTLLKGIEKFKKAAQEVQGKVLSGQDAFVLWDTYGFPLDLTQLMAEERGLRVDVEGFNNAMDEARERSRNAQNKQAGGDIVMDADATSALHKKGISITEDSSKFTWFQDHESVIKAIYNGSEFLETSNNGNEVGIVLESTSFYAEQGGQIFDTGSLEGTFGSFQVSNVQIFGGFILHIGSLTGEGKFFVDDKVICKVDYERRKLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHCKPVDPDHLRKIESIVNEQIKAELEVSAKEATLSEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLADPDNKEWLSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTDGAFKALELASSLEQEVDEASKMDGSLLEKKVASLKSRVDSAPIPAAKKADIRSKISVLQNQVRKAQKKIAEENMQKAVKVATEMAEVAASEGKQFCISRVDVGLDAAAVREAVLKASEKGLSIMVFSMDETTNKVVVCAGVPEKSDKSKKLEVSEWLTTALQPLNGRCGKGKGGLATGQGTDGVHVNKAMDLAATFARLKLT >Manes.11G108600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25175619:25191790:1 gene:Manes.11G108600.v8.1 transcript:Manes.11G108600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISRASSSLCLGLHSLTHKGPALRALISLVAVAARVSPGQPLNAYFSSSYSSSSLRIPVMPGLDPTELEWPAKKVRDTFIKFFEDKNHVRWKSSPVVPLNDPTLLFVNAGMNQYKPIFLGTADPNTALSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYKLPADRLYATYFGGDEKAGLAPDTEARDIWLQFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAELLVNNDDPTCIEIWNLVFIQFNRESDGSLKSLPAKHVDTGLGFERLTSVLQSKMSNYDTDVFMPIFDAIQQATGARPYSGKVGLDDVDKVDMAYRVVADHIRTLSVAIADGACPGNEGREYVLRRILRRAVRYGSEVLNAQAGFFSGLVNVFVKVMGDVFPELKQNEKHIREIIAEEEASFGKTLLKGIEKFKKAAQEVQGKVLSGQDAFVLWDTYGFPLDLTQLMAEERGLRVDVEGFNNAMDEARERSRNAQNKQAGGDIVMDADATSALHKKGISITEDSSKFTWFQDHESVIKAIYNGSEFLETSNNGNEVGIVLESTSFYAEQGGQIFDTGSLEGTFGSFQVSNVQIFGGFILHIGSLTGEGKFFVDDKVICKVDYERRKLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHCKPVDPDHLRKIESIVNEQIKAELEVSAKEATLSEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLADPDNKEWLSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTDGAFKALELASSLEQEVDEASKMDGSLLEKKVASLKSRVDSAPIPAAKKADIRSKISVLQNQVRKAQKKIAEENMQKAVKVATEMAEVAASEGKQFCISRVDVGLDAAAVREAVLKASEKGLSIMVFSMDETTNKVVVCAGVPEKSDKSKKLEVSEWLTTALQPLNGRCGKGKGGLATGQGTDGVHVNKAMDLAATFARLKLT >Manes.11G108600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25175619:25189487:1 gene:Manes.11G108600.v8.1 transcript:Manes.11G108600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISRASSSLCLGLHSLTHKGPALRALISLVAVAARVSPGQPLNAYFSSSYSSSSLRIPVMPGLDPTELEWPAKKVRDTFIKFFEDKNHVRWKSSPVVPLNDPTLLFVNAGMNQYKPIFLGTADPNTALSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYKLPADRLYATYFGGDEKAGLAPDTEARDIWLQFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAELLVNNDDPTCIEIWNLVFIQFNRESDGSLKSLPAKHVDTGLGFERLTSVLQSKMSNYDTDVFMPIFDAIQQATGARPYSGKVGLDDVDKVDMAYRVVADHIRTLSVAIADGACPGNEGREYVLRRILRRAVRYGSEVLNAQAGFFSGLVNVFVKVMGDVFPELKQNEKHIREIIAEEEASFGKTLLKGIEKFKKAAQEVQGKVLSGQDAFVLWDTYGFPLDLTQLMAEERGLRVDVEGFNNAMDEARERSRNAQNKQAGGDIVMDADATSALHKKGISITEDSSKFTWFQDHESVIKAIYNGSEFLETSNNGNEVGIVLESTSFYAEQGGQIFDTGSLEGTFGSFQVSNVQIFGGFILHIGSLTGEGKFFVDDKVICKVDYERRKLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHCKPVDPDHLRKIESIVNEQIKAELEVSAKEATLSEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLADPDNKEWLSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTDGAFKALELASSLEQEVDEASKMDGSLLEKVSFYYLFGQ >Manes.11G108600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25175599:25192181:1 gene:Manes.11G108600.v8.1 transcript:Manes.11G108600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISRASSSLCLGLHSLTHKGPALRALISLVAVAARVSPGQPLNAYFSSSYSSSSLRIPVMPGLDPTELEWPAKKVRDTFIKFFEDKNHVRWKSSPVVPLNDPTLLFVNAGMNQYKPIFLGTADPNTALSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYKLPADRLYATYFGGDEKAGLAPDTEARDIWLQFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAELLVNNDDPTCIEIWNLVFIQFNRESDGSLKSLPAKHVDTGLGFERLTSVLQSKMSNYDTDVFMPIFDAIQQATGARPYSGKVGLDDVDKVDMAYRVVADHIRTLSVAIADGACPGNEGREYVLRRILRRAVRYGSEVLNAQAGFFSGLVNVFVKVMGDVFPELKQNEKHIREIIAEEEASFGKTLLKGIEKFKKAAQEVQGKVLSGQDAFVLWDTYGFPLDLTQLMAEERGLRVDVEGFNNAMDEARERSRNAQNKQAGGDIVMDADATSALHKKGISITEDSSKFTWFQDHESVIKAIYNGSEFLETSNNGNEVGIVLESTSFYAEQGGQIFDTGSLEGTFGSFQVSNVQIFGGFILHIGSLTGEGKFFVDDKVICKVDYERRKLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHCKPVDPDHLRKIESIVNEQIKAELEVSAKEATLSEAKRINGLRAVFGEVYPDPVRVVAIGRKVEELLADPDNKEWLSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTDGAFKALELASSLEQEVDEASKMDGSLLEKKVASLKSRVDSAPIPAAKKADIRSKISVLQNQVRKAQKKIAEENMQKAVKVATEMAEVAASEGKQFCISRVDVGLDAAAVREAVLKASEKGLSIMVFSMDETTNKVVVCAGVPEKSDKSKKLEVSEWLTTALQPLNGRCGKGKGGLATGQGTDGVHVNKAMDLAATFARLKLT >Manes.01G081100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28390264:28394026:-1 gene:Manes.01G081100.v8.1 transcript:Manes.01G081100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDLAIVGGLIGVQFVYAGNSVFSSYLMSLGFTPSTIVIFSTFATFLITSPLAVYFERRKWPKELSFRLMIQLVLISFAGVTLCQSLFLKGIKLTSPALATAMPNLTPALIFLIAWTAGLEKIRLSCVYSKVKIVGTFLCVAGAVLMSLLHSSKSTKDANFLLVSTSDVIFDKQKMIGCFYLMAAVFVLSTNVVLQASTLGDFPAPMSLCAITSLIGVIITAIVQWFQDPNFRITWPFMKFGDLIGYSLLSGGLSGACVSFNGWAMKKRGPVLVSMFSPIGTVISVILSVITFGESIAIGSLGAMLLMFTGLYFVLWAKGKEGYLDGESELDTKKALLS >Manes.07G087226.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:27766541:27767770:-1 gene:Manes.07G087226.v8.1 transcript:Manes.07G087226.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFATSACLIHEFDHLLLPTICSNYFANHCPTIEPPDSMSICFNCISTQCVTTILTENIAFALLIQKYCPPRIKIMDILEKYFFNHYSYWQRPKSFHHAI >Manes.02G156800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12259814:12263138:1 gene:Manes.02G156800.v8.1 transcript:Manes.02G156800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILSLASNKSSSCEVNEVPCDSSCKRQKRSLSFDVESSRLIPSLPDELSIQILARIPRSYYFNLRLVSHKWKETIMGHELFKLRKELGLREEWLYVLTKVEEELSWYALDPFSRKWQRLPPMPNVYSEEPRNGSSGLWLWKMVGPHIKIPETIRSWLGKKHTSDHMPFCGCTVGAVDGCLYVLGGFFRASTMSRVWRYDPILNRWSEITPMSTGRAYCKTSILNNKLYVVGGVTQLQGALVPLQSAEVFDPHTDTWSEVPSMPFSKSQQLPNAFWSDLLKPIATGMTSYMGRLCVPQSLYSWPFFVDAGGEIYDPETNSWAEMPTGMGEGWPARQAGTKLSVVVEGELYSYDPSSSRDSGKIKVYDHREDAWKVVIGKVPIYDSADSETPHLLAGFHGKIHVLTKDANHKVVVMQASIRDNLDCSPLSSASNFLGSSSEQFSSLAESETVVWKVIANRDIGSAELVSCQVLDI >Manes.04G087332.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28911697:28929726:-1 gene:Manes.04G087332.v8.1 transcript:Manes.04G087332.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTAYYEILGVNEDASAADIKKAYYLKARSVHPDKNPGDPKAAQNFQTLGEAYQVLSDPEKREAYDKHGKEGIPKDSMLDPASVFGMLFGSEFFEDYVGQLALATLASADVEEATNDPEIRIQRIQEKMKELQKERETKLITTLKNNLEPFVEGQEDEFIKWANSEACRLSRAAFGEAMLHTIGYIYTRRAAKELGKDKRYMKVPFLAEWVRDKGHQMKSQVMAASGAVSLIQIQEDLKKLNQTENKEENLLKAIEDRKDAMLQSLWQINVVDIETTLSRVCQAVLKDPSVSKDVLRLRAKGLKKLGTIFQGAKAAYSRENSLRHENDQLSTVPSSSS >Manes.07G028600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3140591:3141034:1 gene:Manes.07G028600.v8.1 transcript:Manes.07G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASATRMESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Manes.17G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20789773:20790674:1 gene:Manes.17G038500.v8.1 transcript:Manes.17G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECLKFGSLNAIEERQERLRKDRITGPKYSSVLKPLEKKLTRDWKARNFQELVQLRGAEAFWFFPIIMWKDDSGAGMTKCPESCFSFRRVRSSFSNSREFGYPRPSQL >Manes.15G087900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6735947:6748579:1 gene:Manes.15G087900.v8.1 transcript:Manes.15G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTDRLIRNVKKFASEQYENFISRHGQQLIDIFEFPIKVVLSPFTLALDIAGSAPRGFGVPELISKLSYLSVFAVATLGTYDIALELGKKVICQRNCRTCNGWQALQCIMCKGSGKVHYQVKNYTLRSGEKATAECVADAIAENRAELVHLPSTVDLNTPLPSKDCPTCDGTGVMGCPECKDKLQVRISADDIMEPPWKAYNVLRKMDYPYEHIVDSMKDPSIATFWLFSLPQIVGGFNYDDDVKQKIWWQYKESMRYDQLRDLVAKRKPGWEHLQEALISIDPVRAREDPVIVKNIPYYNAKKALEVEVMKFDPPPRPQNWGELDLPLNASSWSEEDLKNPEKFYEMTVLLNAQREIADKILDAQWETKWRQEKLNEILEEKVRPYVQNMNNGVLSHPIVIQSQKDKKSRRQRRWWFF >Manes.15G087900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6735947:6748579:1 gene:Manes.15G087900.v8.1 transcript:Manes.15G087900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGTDRLIRNVKKFASEQYENFISRHGQQLIDIFEFPIKVVLSPFTLALDIAGSAPRGFGVPELISKLSYLSVFAVATLGTYDIALELGKKVICQRNCRTCNGWQALQCIMCKGSGKVHYQVKNYTLRSGEKATAECVADAIAENRAELVHLPSTVDLNTPLPSKDCPTCDGTGVMGCPECKDKLQVRISADDIMEPPWKAYNVLRKMDYPYEHIVDSMKDPSIATFWLFSLPQIVGGFNYDDDVKQKIWWQYKESMRYDQLRDLVAKRKPGWEHLQEALISIDPVRAREDPVIVKNIPYYNAKKALEVEVMKFDPPPRPQNWGELDLPLNASSWSEEDLKNPEKFYEMTVLLNAQREIADKILDAQWETKWRQEKSRRQRRWWFF >Manes.09G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3327058:3330568:1 gene:Manes.09G015800.v8.1 transcript:Manes.09G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWRRHKGEINRQEVQGTTRSNHRKPPHGSWQPTVPSWEKRFCYAVGLVPWRKLLETKKSMYLYENIVQWNDSAVEEAFHNAKNRFWAKINGLHCDISLPDPDIYIDEIDWNSNIDPELYLDLDREPKYPDEKEKGEEVVIFGSSLLLNQPFLCTTGWGEAEEELQKAANAAFDPGFRDCDTKGNNGNPLECNVSQANGAMIDNEWGNCWNDSYGWKNHYEQDNNHNQWNNFSDTTGGDWETWDGSSRRREGAGWYTPRYKTFRGNDYQMDRGWWRNGRGRKKMNFVY >Manes.01G205800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37861732:37866607:1 gene:Manes.01G205800.v8.1 transcript:Manes.01G205800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELIEGLPDAIAIRCIARVPFYLHPNLELVSRSWQAAIRSPELFKARQEVGSAEDLLCVCAFDPENLWQLYDPLRDLWITLPVLPSKIRHLSHFGVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWSYDPVLRQWAPRASMLVPRAMFACCVLKGKIIAAGGFTSCRKSISQAEMYDPEKDVWMSMPDLHRSHNSACSGVVIGGKAHVLHKGLSTVQVLDNIGSGWTVEDYGWLQGPMAVAHGALYVMSHGLICKQEGKKRKVVVSASEFRRRIGFAMTGLGDDIYVIGGVIGPDRWNWDIKPVSDVDILTVGGERPTWRQAAPMTRCRGTILGCTQLRI >Manes.01G205800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37861668:37866610:1 gene:Manes.01G205800.v8.1 transcript:Manes.01G205800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELIEGLPDAIAIRCIARVPFYLHPNLELVSRSWQAAIRSPELFKARQEVGSAEDLLCVCAFDPENLWQLYDPLRDLWITLPVLPSKIRHLSHFGVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWSYDPVLRQWAPRASMLVPRAMFACCVLKGKIIAAGGFTSCRKSISQAEMYDPEKDVWMSMPDLHRSHNSACSGVVIGGKAHVLHKGLSTVQVLDNIGSGWTVEDYGWLQGPMAVAHGALYVMSHGLICKQEGKKRKVVVSASEFRRRIGFAMTGLGDDIYVIGGVIGPDRWNWDIKPVSDVDILTVGGERPTWRQAAPMTRCRGTILGCTQLRI >Manes.12G089332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14816179:14822052:-1 gene:Manes.12G089332.v8.1 transcript:Manes.12G089332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRHRSPPGPNRSNRAQEPDEEPYNIIPIHNLLADHPSLRYPEVRAAVNALRTVGNLRKPPYAEWHPSMDLLDWLALFFGFQKDNVRNQREHIVLHLANAQMRLTPPPDNIDTLDGTVLRRFRRKLLKNYTNWCSYLNKKSNIWISDSSSTDVRRELLYISLYLLIWGEAANLRFLPECICYIFHNIAMELNKILEDYIDESTGQPVMPSFSGDNAFLNSVVKPIYETIKAEVESSKNGTVPHSAWRNYDDLNEYFWTKRCFDKLKWPIDVGSNFFVISGKQKHVGKTGFVEQRSFWNLLRSFDRLWLMLILFLQFSIIVAWEGKTYPWQALEDRSVQVRVLTLFFTWSGLRFLQSLLDAVMQYSLVSRETMWLGVRMFLKSVVAAGWIIVFGVFYGRVWRQKNSDGRWSVEANKRIVNFLEVALVFVLPELLALALFIIPWVRNFLENTDWRIFYLLSWWFQSRSFVGRGLREGLFDNIKYTLFWVMVLATKFAFSYFLQIKPMIKPSRQLLNLKDVNYRWHELFSNSNRFAVGLLWLPVVLIYLMDLQIWYSIYSSFVGAAVGLFAHLGEIRNIQQLRLRFQFFASAIQFNLMPEEQLLNARGVLKSKLKDAIHRLKLRYGLGRPYRKLEPNQVEANKFALIWNEIIMTFREEDIISDQELELLELPENSWNVRVIRWPCFLLCNELLLALSQAKELVDAPDKWLWYKICKNEYRRCAVIEAYDSIKHLLLETIKINTEEHSIITVLFQEIDHSLQIEKLTKTFNMIALPHFHTKLIKLLELLNKPKKDLIQVVNTLQALYEIAVQDFLKEKRSTEQLREDGLAPRDPAAMSGLLFQNAVELPDASHETFYRQVRRLHTILTSRDSMQNTPKNPEARRRIAFFSNSLFMKMPHAPQVEKMMAFSVLTPYYNEEVLYSREQLRTENEDGISIIYYLQTIYADEWKNFIERMRREGMVKEDELWTSRLRDLRLWASYRGQTLARTIRGMMYYYRALKMLAFLDFASEEDFREGSQELGSMTRDGGSDSFNSEKSPFNGLSTKCSSVSFSFKGHEYGTALMKYTYVVACQIYGTQKAKKDAHADEIFYLMKTNEALRVAYVDEVNALRDEKEYYSVLVKYDKQLDKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYHGIRRPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFFTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVILTVYAFLWGRLYLALSGIEASAMASNSSNNKAVAAILNQQFIIQLGLFTALPMIVENSLELGFLQAIWEFLLMQLQLSSIFYTFSMGTKTHFFGRTILHGGAKYRATGRGFVVQHKGFPENYRLYARSHFVKAIELGLILIVYASHSSIAKDTFVYIAMTISSWFLVVSWIMAPFVFNPSGFDWLKTVYDFDDFMNWIWYKGGVFVKAEQSWERWWYEEQDHLRTTGLWGKLLEIVLDLRFFFFQYGIVYQLGIADNNTSITVYFLSWIYVVVAFAISWVITYAREKYAAREHIYYRLIQFLVITFGIVVIVALLEFTHFTFMDIFTSLLAFIPTGWGMLLISQVLRPCLQSTPLWGAVVSLARLYDIMFGVIVMAPVAFLSWMPGFQSMQTRILFNEAFSMGLRIFQIASGKKSKVTLFP >Manes.01G117600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31457051:31461464:1 gene:Manes.01G117600.v8.1 transcript:Manes.01G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNKNSGSGSGSGSGTESKSKIATVSMPMDSTPLPRPEPTQPLSLTSSSASKLPVKRKTPHLHSSSPALDFDSKPPPFKFHRIWTEPDEIRFLQGLLDSSSHGLSFPRDLPIFYDNFSNTMSQPYSRSQLSEKLRRLRKKFRVISSRLARGLSPSLLSPHDCALFDLSKKLWSPEFSSISPFGCSKINSGSNLDVGTSANLVGVQVSFSPVLPVVFSNNDDDVNEFGPLDVDDIDYGNVPKTSEMHPDCDHIEAREEDSGLAKSVVAKSVLNVFDECLKEVQMVLARENLRYSDDFKDFESRWREQRVAEMDVLARRLRLVLENTVNRQSVS >Manes.02G222100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:38538141:38540367:-1 gene:Manes.02G222100.v8.1 transcript:Manes.02G222100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTSSCSNEICKHTAEGHSIVISKKHEDFTSFLPDECLAKVFGSLGSHDRNSCSLVCKRWRAVDSESRYRLVLLAPCEMSQSLPALLSRFSSVSVLSLKCSRKLLSINDFAFSHIPVLLVSLKKLKLKGCIDISDDGLQAFSLHRPPFLSKLSFASCGFGVRGLNSLITNCPSLQHLTLKRLRKLDAHSDAIVIGNKNNKLKLERLCLKDLHNARVFIPLLSASVTTLKTLIVCRSSGNWDKVLQSLQASITSVSEIQMENVQMGDAGLIAISSSCPYLQVLQLSRTTDCTDDGLSAVANSCKNLRKVHIDAWSRFGGRTIGDEGLLTIANHCSRLQELVLMGVHLSVSSLTVLASNCRELERLAICNADSVGDPEMALIAEKFSALKKLCIKNCPISEPGIEAIGSGCPNLVKLKVKRCRGISQESIKKLKMQRSWLVVSIDAGPIMFPGHAEEEEQGNNGSNIASSTRTTHVFCSSKGALFLRSRFENAFQLGKGYLRRTSN >Manes.16G038730.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:6833328:6837125:1 gene:Manes.16G038730.v8.1 transcript:Manes.16G038730.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGKKKPSSSSASSRPFLISQVLPTSWLTKFKQTSISSRQKHAKVKQKEKWNSVSTDSSACANSGGKIYGGDGDAFWRLSFGEDSLEGMNYINVSRSVQYNSDDDLEFLASSHHSCKSKASRVNGSGQTQKFSNMVSPVKKMKELLEEEEILPERTSTREKEAEIRTPRLKVRRDEKLRKGKQRDFEGKQLELDGIQHEAERIPRNAAMKNVFETEPQRTGGMVEREDCKLAAFDSRKDCYFSSMNSRDSHGRKIEVGCDFPAEKEHDGFSTEKFSFERQRLKEKKIEELKSRSEEQRKSLCISRELQRKRPKQNSKLKVYSPRTASKVEICKIKALEDMKKAKLKMKKKAKDKEMEEFKDLESFAMVKFSYDPQKDFRDSMTEMIREQRISQLEELEELLACYLTLNSDEFHGLIIRAFQQVWFNLNQACFTEFDNEECH >Manes.07G124200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32772389:32773424:-1 gene:Manes.07G124200.v8.1 transcript:Manes.07G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGDRVRVFMYPSNI >Manes.10G139400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30703142:30708933:-1 gene:Manes.10G139400.v8.1 transcript:Manes.10G139400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGALDLASGLGGKIDKSDVFSAVEKYEKYHVFYGGAEEERKANYTDMVNKYYDLVTSFYEFGWGESFHFAHRFKGESLRESIKRHEHFLALQLGLKSGQKVLDVGCGVGGPLREIARFSLTSVTGLNNNEYQIARGKEMNRIAGVDKTCDFVKADFMKMPFSDSSFDAVYAIEATCHAPDAYGCYSEIYRVLKPGQFFAAYEWCMTDSFDPNNHEHQKIKAEIEIGDGLPDIRLTGQCIEALKKAGFEVVWSGDLAASSPVPWYLPLDKNHFSLSSFRLTAPGRFITKNMVKALEYVGFAPKGSQRVQDFLEKAAEGLVEGGRREIFTPMFFFLARKPHSESQ >Manes.10G139400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30703142:30708921:-1 gene:Manes.10G139400.v8.1 transcript:Manes.10G139400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGALDLASGLGGKIDKSDVFSAVEKYEKYHVFYGGAEEERKANYTDMVNKYYDLVTSFYEFGWGESFHFAHRFKGESLRESIKRHEHFLALQLGLKSGQKVLDVGCGVGGPLREIARFSLTSVTGLNNNEYQIARGKEMNRIAGVDKTCDFVKADFMKMPFSDSSFDAVYAIEATCHAPDAYGCYSEIYRVLKPGQFFAAYEWCMTDSFDPNNHEHQKIKAEIEIGDGLPDIRLTGQCIEALKKAGFEVVWSGDLAASSPVPWYLPLDKNHFSLSSFRLTAPGRFITKNMVKALEYVGFAPKGSQRVQDFLEKAAEGLVEGGRREIFTPMFFFLARKPHSESQ >Manes.10G139400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30703142:30708788:-1 gene:Manes.10G139400.v8.1 transcript:Manes.10G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGALDLASGLGGKIDKSDVFSAVEKYEKYHVFYGGAEEERKANYTDMVNKYYDLVTSFYEFGWGESFHFAHRFKGESLRESIKRHEHFLALQLGLKSGQKVLDVGCGVGGPLREIARFSLTSVTGLNNNEYQIARGKEMNRIAGVDKTCDFVKADFMKMPFSDSSFDAVYAIEATCHAPDAYGCYSEIYRVLKPGQFFAAYEWCMTDSFDPNNHEHQKIKAEIEIGDGLPDIRLTGQCIEALKKAGFEVVWSGDLAASSPVPWYLPLDKNHFSLSSFRLTAPGRFITKNMVKALEYVGFAPKGSQRVQDFLEKAAEGLVEGGRREIFTPMFFFLARKPHSESQ >Manes.12G056701.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5812817:5823316:1 gene:Manes.12G056701.v8.1 transcript:Manes.12G056701.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAPRTVKSGANMSFLRLFRRYVSISSRAVMDIASKDGELRVFIVAGEVSGDTIGSRLMASLKKLSPTPIRFSGVGGSMMSKEGLVSLFPMENIAVMGIWELLPHLNKIRAKLKETVEAAFLFRPHVVVTVDAKGFSFGLLKQLRARYSWQRWDNPVHFHYVAPSFWAWKGGEARLGGLANFVDHIFCILPNEEAVCNSNGLPATFVGHPTLEDVFELNLGKQTSPFECKIEGKGEDFRSKFAIPPGAPIISLLPGSRLQEVTRMLSIFATTMEQLKDHLLELVTVIHIAPNQDVENYIRGSVNKWPVPAILVPGCQPHMKYDAFSYCCIMYFWNSRCGVAASTLAMCSCVSSPYPN >Manes.12G056701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5813317:5815289:1 gene:Manes.12G056701.v8.1 transcript:Manes.12G056701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAPRTVKSGANMSFLRLFRRYVSISSRAVMDIASKDGELRVFIVAGEVSGDTIGSRLMASLKKLSPTPIRFSGVGGSMMSKEGLVSLFPMENIAVMGIWELLPHLNKIRAKLKETVEAAFLFRPHVVVTVDAKGFSFGLLKQLRARYSWQRWDNPVHFHYVAPSFWAWKGGEARLGGLANFVDHIFCILPNEEAVCNSNGLPATFVGHPTLEDVFELNLGKQTSPFECKIEGKGEDFRSKFAIPPGAPIISLLPGSRLQEVTRMLSIFATTMEQLKDHLLELVTVIHIAPNQDVENYIRGSVNKWPVPAILVPGCQPHMKYDAFSVSPFALLFYH >Manes.12G056701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5812817:5823316:1 gene:Manes.12G056701.v8.1 transcript:Manes.12G056701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAPRTVKSGANMSFLRLFRRYVSISSRAVMDIASKDGELRVFIVAGEVSGDTIGSRLMASLKKLSPTPIRFSGVGGSMMSKEGLVSLFPMENIAVMGIWELLPHLNKIRAKLKETVEAAFLFRPHVVVTVDAKGFSFGLLKQLRARYSWQRWDNPVHFHYVAPSFWAWKGGEARLGGLANFVDHIFCILPNEEAVCNSNGLPATFVGHPTLEDVFELNLGKQTSPFECKIEGKGEDFRSKFAIPPGAPIISLLPGSRLQEVTRMLSIFATTMEQLKDHLLELVTVIHIAPNQDVENYIRGSVNKWPVPAILVPGCQPHMKYDAFSASTVALCTSGTVAVELQLARLPCVVAYRAHILTEWFIWYKAKIPYISLPNILMDSAIIPEALFQACTPTNLATLLMDLIHKKELQEKQRVAAEKVISLLFPSERIINNLAGQVSATGLSNCTPSMIAASTILHHVKS >Manes.12G056701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5812817:5823316:1 gene:Manes.12G056701.v8.1 transcript:Manes.12G056701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAPRTVKSGANMSFLRLFRRYVSISSRAVMDIASKDGELRVFIVAGEVSGDTIGSRLMASLKKLSPTPIRFSGVGGSMMSKEGLVSLFPMENIAVMGIWELLPHLNKIRAKLKETVEAAFLFRPHVVVTVDAKGFSFGLLKQLRARYSWQRWDNPVHFHYVAPSFWAWKGGEARLGGLANFVDHIFCILPNEEAVCNSNGLPATFVGHPTLEDVFELNLGKQTSPFECKIEGKGEDFRSKFAIPPGAPIISLLPGSRLQEVTRMLSIFATTMEQLKDHLLELVTVIHIAPNQDVENYIRGSVNKWPVPAILVPGCQPHMKYDAFSDHNNLTHQSDTILEITSFVHLQMRWEMHLALLFTLNWMGLTCLSC >Manes.14G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8016629:8023850:1 gene:Manes.14G096500.v8.1 transcript:Manes.14G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSATSPSSSSLFLRTVSTPPLLRCRNLSFFSSPCSFFRSNKPRILRLSASLAQKDLHFSWFSPGPSSNDDGFNGWAIVEAPPRRYEKKGFSTILIGGGTCVAALFAAIAYFSLSRQGFKLHFHYPLPSLRGTISPIDNKEDKFVAKDLIVGDSDENAVVSEGKSGFMPEENGETITSVSEQRLQRVKLSVPVDSCQLEALSVLKKLKIIEDDVRAEDLCTRREYARWLVRLNSLLERNPKHRIVPPMLFSGSVVAAFDDVGVEHSDFDSIQALAEAGIIPSKILGTDCCSESSNGDVSFCFYPERFISRQDLINWKAQLEYRFTPGITEQMSRMKVDYMDVKEISPDASPELLADVLAGDKSIIRKVFGQSRRFQPNKPLTKAQAAVALTSGRMTEAIYDEILRLEAEHSSRQVAIEEIRSELLDKGDIVRFWNEKMNEERTRGFEVQKLYIAALQDLEYEKTVQEKALAEHLKEKAAMECQRQLLLGLKEEVDEMSGRLKSERSMYVAEQCSLQELLSELQIKQEEIVDKKSVLEAEIEALRILRSWVEDEARKSQARAKVLEEVGQRWKWDNQG >Manes.14G096500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8016629:8023850:1 gene:Manes.14G096500.v8.1 transcript:Manes.14G096500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEENGETITSVSEQRLQRVKLSVPVDSCQLEALSVLKKLKIIEDDVRAEDLCTRREYARWLVRLNSLLERNPKHRIVPPMLFSGSVVAAFDDVGVEHSDFDSIQALAEAGIIPSKILGTDCCSESSNGDVSFCFYPERFISRQDLINWKAQLEYRFTPGITEQMSRMKVDYMDVKEISPDASPELLADVLAGDKSIIRKVFGQSRRFQPNKPLTKAQAAVALTSGRMTEAIYDEILRLEAEHSSRQVAIEEIRSELLDKGDIVRFWNEKMNEERTRGFEVQKLYIAALQDLEYEKTVQEKALAEHLKEKAAMECQRQLLLGLKEEVDEMSGRLKSERSMYVAEQCSLQELLSELQIKQEEIVDKKSVLEAEIEALRILRSWVEDEARKSQARAKVLEEVGQRWKWDNQG >Manes.16G083800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29001460:29003739:1 gene:Manes.16G083800.v8.1 transcript:Manes.16G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAAENDSASKIHIPAEIDWHMLDKSKFFFLGAALFSGVSAALYPVIVLKTRQQVSPTQIPSLQLSFSIMCREGVRGFYRGFGTSLMGTIPARALYMAALEVTKSSVGTATVRLGFSDTQATAIANAAAGLSSAMAAQLVWTPIDVVSQRLMVQGCNSANSNKSSKNLIPTLDSCRYRNGIDAFRKILHADGPRGLYRGFGISILTYAPSNAVWWASYSVAHRLIWGGIAVNGGDCGYRPDSKATVAVQGLCAAMASGVSAMITMPLDTIKTRMQVLDGEQNGTRQPLTVIQTVKNLVKEGGFAACYRGLGPRWVSMSMSATTMITTYEFLKRLSTKSRQSFSS >Manes.04G115900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31822597:31822965:-1 gene:Manes.04G115900.v8.1 transcript:Manes.04G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAVSFRRQGSSGLVWDDKLLSGELNQVPNQKQEQEQERELQEKLDIQQEKDVKTSSRTICTIERSRSNGGQRAYRTGKVSPAVEPPSPRVSACGFCGAFGKPAKNHREKACKTRSR >Manes.02G020500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1834459:1842788:-1 gene:Manes.02G020500.v8.1 transcript:Manes.02G020500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWGKTSSKEDNKKTKKSIIDAIHRKLKISSEEKLNSRSGGSRSRVPSRSPSPSAQVARSQSFAERSHAQPLPLPAVRYTGIGRSNSGLGASIRPGLDRGSKPLDLPLPRPGCVSNRLDHAYTEGDFATASVSSVSSTDSDYPFDSRVLSPLTSDYEYGNRTATNSPSSIKQKDQSPIVSKKNSKEALNSVDFSLSNHIPPTSPRRAPSRTHVQNLQIPNRGAFCSAPDSSMSSPSRSPISAFGPEQVINCGLWAGLGSGHCSSPGSGHNSGHNSIGGDMLGQLFWQTNRCSPECSPIPSPRMTSPGPSSRIHSGAVTPLHPRAAGTSVESPTGRPDDGRQKSHRLPLPPITISNTCPFSPSYSTATSPSLPRSPNRAENPTSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDPRSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRNYTQQILSGLDYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIRNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAFPDNLSDDGKDFVRQCLQRNPSHRPTAAQLLEHPFVRNAAALEKPILTAEPTEALPAVMNAGRSMGIGHARNVGGFEPEGVAIHQSRGSKTGAGLRSLSLSLSLSLHTHNTRTQ >Manes.02G020500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1834459:1842788:-1 gene:Manes.02G020500.v8.1 transcript:Manes.02G020500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWGKTSSKEDNKKTKKSIIDAIHRKLKISSEEKLNSRSGGSRSRVPSRSPSPSAQVARSQSFAERSHAQPLPLPAVRYTGIGRSNSGLGASIRPGLDRGSKPLDLPLPRPGCVSNRLDHAYTEGDFATASVSSVSSTDSDYPFDSRVLSPLTSDYEYGNRTATNSPSSIKQKDQSPIVSKKNSKEALNSVDFSLSNHIPPTSPRRAPSRTHVQNLQIPNRGAFCSAPDSSMSSPSRSPISAFGPEQVINCGLWAGLGSGHCSSPGSGHNSGHNSIGGDMLGQLFWQTNRCSPECSPIPSPRMTSPGPSSRIHSGAVTPLHPRAAGTSVESPTGRPDDGRQKSHRLPLPPITISNTCPFSPSYSTATSPSLPRSPNRAENPTSPGSRWKKGRLLGRGTFGHVYLGFNSGEMCAMKEVTLFADDPRSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRNYTQQILSGLDYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIRNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAFPDNLSDDGKDFVRQCLQRNPSHRPTAAQLLEHPFVRNAAALEKPILTAEPTEALPAVMNAGRSMGIGHARNVGGFEPEGVAIHQSRGSKTGAGLRSLSLSLSLSLHTHNTRTQ >Manes.02G020500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1834459:1841612:-1 gene:Manes.02G020500.v8.1 transcript:Manes.02G020500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWGKTSSKEDNKKTKKSIIDAIHRKLKISSEEKLNSRSGGSRSRVPSRSPSPSAQVARSQSFAERSHAQPLPLPAVRYTGIGRSNSGLGASIRPGLDRGSKPLDLPLPRPGCVSNRLDHAYTEGDFATASVSSVSSTDSDYPFDSRVLSPLTSDYEYGNRTATNSPSSIKQKDQSPIVSKKNSKEALNSVDFSLSNHIPPTSPRRAPSRTHVQNLQIPNRGAFCSAPDSSMSSPSRSPISAFGPEQVINCGLWAGLGSGHCSSPGSGHNSGHNSIGGDMLGQLFWQTNRCSPECSPIPSPRMTSPGPSSRIHSGAVTPLHPRAAGTSVESPTGRPDDGRQKSHRLPLPPITISNTCPFSPSYSTATSPSLPRSPNRAENPTSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDPRSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRNYTQQILSGLDYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIRNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAFPDNLSDDGKDFVRQCLQRNPSHRPTAAQLLEHPFVRNAAALEKPILTAEPTEALPAVMNAGRSMGIGHARNVGGFEPEGVAIHQSRGSKTGAGLSEVHAPKNSSCPVSPTESPLLNSRSLQHMSGRLSPSPISSPRTVSGSSTPLTGGSGAIPFHLSMQPAAYLQESMGMISRTQNILYTNGNNPYQEPTPDLFRGISQASQVFRELVSSDNGAPENQFGRAGHEDPRELYNPQPVLVDHVSQQLLRDHVKLKPSFDLLGRTNGI >Manes.02G020500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1834459:1842788:-1 gene:Manes.02G020500.v8.1 transcript:Manes.02G020500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWGKTSSKEDNKKTKKSIIDAIHRKLKISSEEKLNSRSGGSRSRVPSRSPSPSAQVARSQSFAERSHAQPLPLPAVRYTGIGRSNSGLGASIRPGLDRGSKPLDLPLPRPGCVSNRLDHAYTEGDFATASVSSVSSTDSDYPFDSRVLSPLTSDYEYGNRTATNSPSSIKQKDQSPIVSKKNSKEALNSVDFSLSNHIPPTSPRRAPSRTHVQNLQIPNRGAFCSAPDSSMSSPSRSPISAFGPEQVINCGLWAGLGSGHCSSPGSGHNSGHNSIGGDMLGQLFWQTNRCSPECSPIPSPRMTSPGPSSRIHSGAVTPLHPRAAGTSVESPTGRPDDGRQKSHRLPLPPITISNTCPFSPSYSTATSPSLPRSPNRAENPTSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDPRSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRNYTQQILSGLDYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIRNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAFPDNLSDDGKDFVRQCLQRNPSHRPTAAQLLEHPFVRNAAALEKPILTAEPTEALPAVMNAGRSMGIGHARNVGGFEPEGVAIHQSRGSKTGAGLSEVHAPKNSSCPVSPTESPLLNSRSLQHMSGRLSPSPISSPRTVSGSSTPLTGGSGAIPFHLSMQPAAYLQESMGMISRTQNILYTNGNNPYQEPTPDLFRGISQASQVFRELVSSDNGAPENQFGRAGHEDPRELYNPQPVLVDHVSQQLLRDHVKLKPSFDLLGRTNGI >Manes.02G020500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1834459:1843019:-1 gene:Manes.02G020500.v8.1 transcript:Manes.02G020500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWGKTSSKEDNKKTKKSIIDAIHRKLKISSEEKLNSRSGGSRSRVPSRSPSPSAQVARSQSFAERSHAQPLPLPAVRYTGIGRSNSGLGASIRPGLDRGSKPLDLPLPRPGCVSNRLDHAYTEGDFATASVSSVSSTDSDYPFDSRVLSPLTSDYEYGNRTATNSPSSIKQKDQSPIVSKKNSKEALNSVDFSLSNHIPPTSPRRAPSRTHVQNLQIPNRGAFCSAPDSSMSSPSRSPISAFGPEQVINCGLWAGLGSGHCSSPGSGHNSGHNSIGGDMLGQLFWQTNRCSPECSPIPSPRMTSPGPSSRIHSGAVTPLHPRAAGTSVESPTGRPDDGRQKSHRLPLPPITISNTCPFSPSYSTATSPSLPRSPNRAENPTSPGSRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFADDPRSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRNYTQQILSGLDYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIRNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAFPDNLSDDGKDFVRQCLQRNPSHRPTAAQLLEHPFVRNAAALEKPILTAEPTEALPAVMNAGRSMGIGHARNVGGFEPEGVAIHQSRGSKTGAGLSEVHAPKNSSCPVSPTESPLLNSRSLQHMSGRLSPSPISSPRTVSGSSTPLTGGSGAIPFHLSMQPAAYLQESMGMISRTQNILYTNGNNPYQEPTPDLFRGISQASQVFRELVSSDNGAPENQFGRAGHEDPRELYNPQPVLVDHVSQQLLRDHVKLKPSFDLLGRTNGI >Manes.02G020500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1834459:1842788:-1 gene:Manes.02G020500.v8.1 transcript:Manes.02G020500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWGKTSSKEDNKKTKKSIIDAIHRKLKISSEEKLNSRSGGSRSRVPSRSPSPSAQVARSQSFAERSHAQPLPLPAVRYTGIGRSNSGLGASIRPGLDRGSKPLDLPLPRPGCVSNRLDHAYTEGDFATASVSSVSSTDSDYPFDSRVLSPLTSDYEYGNRTATNSPSSIKQKDQSPIVSKKNSKEALNSVDFSLSNHIPPTSPRRAPSRTHVQNLQIPNRGAFCSAPDSSMSSPSRSPISAFGPEQVINCGLWAGLGSGHCSSPGSGHNSGHNSIGGDMLGQLFWQTNRCSPECSPIPSPRMTSPGPSSRIHSGAVTPLHPRAAGTSVESPTGRPDDGRQKSHRLPLPPITISNTCPFSPSYSTATSPSLPRSPNRAENPTSPGSRWKKGRLLGRGTFGHVYLGFNSGEMCAMKEVTLFADDPRSKESAQQLGQEIALLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRNYTQQILSGLDYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIRNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAFPDNLSDDGKDFVRQCLQRNPSHRPTAAQLLEHPFVRNAAALEKPILTAEPTEALPAVMNAGRSMGIGHARNVGGFEPEGVAIHQSRGSKTGAGLSEVHAPKNSSCPVSPTESPLLNSRSLQHMSGRLSPSPISSPRTVSGSSTPLTGGSGAIPFHLSMQPAAYLQESMGMISRTQNILYTNGNNPYQEPTPDLFRGISQASQVFRELVSSDNGAPENQFGRAGHEDPRELYNPQPVLVDHVSQQLLRDHVKLKPSFDLLGRTNGI >Manes.12G029233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2545835:2552576:1 gene:Manes.12G029233.v8.1 transcript:Manes.12G029233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGCMWMRRVRVVLVANHPLMASPSATLSIPHSHPCHQSFPPLKPSPFSFSNSLSTSTRRCLSTTGCSSSLPLVDLGNGDMDAIPQKGAKVLLKGMRYSELEQWVQSYGFRPGQAMMLWKRLYGQNIWAHYVDELEGLNKDFKKILSEHAELKAISLEDVRTASDGTRKILFRLDDQLVIETVIIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLKRHLTTAEIVDQVVFAQRLVTSEVGPITNVVFMGMGEPLQNIENVIKAADIMVHDQGLHFSPRKVTISTSGLVPQLKRFLQKCNCALAVSLNATTDEVRNWIMPINRKYNLSLLLDTLREELKFKNNYKVLFEYVMLAGVNDSFEDAKRLIDLVQGIPCKINLIQFNPHSGSQFRPTNTEKMIEFRNILAEAKCTVFLRHSRGDDQMAACGQLGKLGAVQAPLLRVPQQFQMAVNG >Manes.14G097000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8047878:8049395:-1 gene:Manes.14G097000.v8.1 transcript:Manes.14G097000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSNFDPFQYVQNPTTIASSFANAQSPSSMQEADHSSSSLHFPLPFLDQRDLLLSDVFTQHHPQQQQILVSSANLAAESTREMNPAVSKKATRSNNKKQRSNMNGTKQPISRKRTGKKDRHSKIHTAQGPRDRRMRLSVQIARKFFNLQDMLGFDKASKTIDWLFTKSKAAIKELIDSLPSAKRNGSSGGDQTVSSTSESEIMLPGITMTPDNGDKREMVVEGEPFVSNPRQRLKKTNKPVLNSLARESRDKARARARERTKEKQKIKGLVKSKHFSQANPNKLEQLGSASSLENGESLGFGSQEMESSSKMVREEEEEEPATYLLQHQMNSVRIVDKFLGLTSAPQSCSSFYLSGNFVVPSVGGADFEDEFSGFPGNWDISNGRELQNIHCAMPNMKLSTGNVHVQNPRAIFMTTSDARKQNSSSNFSAISNVQEQNLSSAFMPFLNSHEENPCSVFISDSNEQNPSPSPMTAMDNAGLRSHLTKDQFSCNSNAANKFGSLY >Manes.09G063006.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10604411:10605739:1 gene:Manes.09G063006.v8.1 transcript:Manes.09G063006.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHVRIVLLFFLYATSIIFCYASNVSEAKTKPTKLITKLIHRNSIHSPFHNPHHSIEDKAKFIFESSLARFANHNYKTYLIPGTHMWFIFLVRFYIGNPTIPQLAVMDTASSLLWVQCSARRSPIPLLDPIKSSTYANILCKSKFCRHFPDNSCIKKHCTYNISYVNAPTSVGNAATEQLLFESDGNIVIVSQVIFGCSTVEKTYIDNGINGVFGLGPENISMARQLANKFSYCIGDFYDPNYNYNRLILGDEARLEGDATSLEMSEVHYYLNLQGISIGDNKLDIDKNVFKRNLTDQSKLTGVIIDSGSIATWLINEAYYKFRNEVKRILSDSIIEDMDECRWCLCYKGNMNEDLKGFPEVVYHFSEEADLEVGFDGIFYEATTSTFCMAVYPSSHLPYKHFWDITVIGIMAQQNHNIAYDLHEKKLYFESIDCEVYEG >Manes.14G053800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4551334:4557509:-1 gene:Manes.14G053800.v8.1 transcript:Manes.14G053800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTVECAGCPPLRALTFDTLGLIKVVESRGDRGIPQVVERWGNPDASKCVLAVSLDDSKKDPLLAVARKSGVIEVLNPLNGEIDVSISNVGDAGAQPEDDAVVGLHFLKRQRLSGSCTLLTCTTKGNASMRCIEVTGLTADNASTGASRTWKVCASGNILCSELDGNENYALFGGKAVEVNLWDLEKCSKIWTAKPPPKNSLGIFTPTWFTSATFLTNDDHRKFAAGTNSHQVRLYDTSAQRRPVISFDFREAPIKAVTADQDGHTIYIGNGSGDLAYVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIGSCGLDSYLRLWDIKTRQLLSAVFLKQHLTNVVFDSNFTDREVAVEAQNASEIQNENEMGTVPAKRSKTSKEKGSKRKASKEKDGSKRLKSKEKSH >Manes.14G053800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4551333:4557509:-1 gene:Manes.14G053800.v8.1 transcript:Manes.14G053800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTVECAGCPPLRALTFDTLGLIKVVESRGDRGIPQVVERWGNPDASKCVLAVSLDDSKKDPLLAVARKSGVIEVLNPLNGEIDVSISNVGDAGAQPEDDAVVGLHFLKRQRLSGSCTLLTCTTKGNASMRCIEVTGLTADNASTGASRTWKVCASGNILCSELDGNENYALFGGKAVEVNLWDLEKCSKIWTAKPPPKNSLGIFTPTWFTSATFLTNDDHRKFAAGTNSHQVRLYDTSAQRRPVISFDFREAPIKAVTADQDGHTIYIGNGSGDLAYVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIGSCGLDSYLRLWDIKTRQLLSAKLQWKHRMRVRSKMRTRWEQCLQKEAKHLKKKEAKGRHLKKKMEARG >Manes.12G125300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33136689:33142555:-1 gene:Manes.12G125300.v8.1 transcript:Manes.12G125300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGDDGRGFELARKLETQGVWRSWLGDSLYSNFVHFLSSPSAWDSFMRADEPKSKPQIHLQLRVRALLFDKASVSLFLSSNSPATSSSSIAVSKLNPNYLQLHGDDVYFTLEDGDQRREAGGGVGPNAAPSKSHSKSSFSTGSRYGDSEMESIPQRFRNEEFPETWYNQFMEKHKVSRPYRSSFGDRDLDKRSPEEMSNYLGLLDKHKRRCLAFTPSMHTSSVLDGNSADADVSFFPETMFMSNCIPDSALPLIIRTKSNEKIEFRGVLDSLPQTRSSVVIERLGISVEQGGSLHRPKNGSEGNKKLLGQEQASQMCQKVVAHMLAQKGFEGATEVPLEVLSQLLRCHISKLGHILKVFADSYRKQCSAIDLIKMFLQTAGYSNLGNLVELKDGSKNIVQQTQQQIHGIQAQLQPQHQSALRLPQQISRPMHPQMQQMVLPQNLAFQQMERMRRRQQSTPRPAMDMDKERPMVQVKIENPPDLPMDGNAFNSIQSRHPQLQFRQQQLAAMSNLQAQSSNQFRQLASMQVPQMQTPNMGIVRAPPVKVEGFQELMGGDASVKHDTEENKLTSPSSK >Manes.12G125300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33136689:33142555:-1 gene:Manes.12G125300.v8.1 transcript:Manes.12G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGDDGRGFELARKLETQGVWRSWLGDSLYSNFVHFLSSPSAWDSFMRADEPKSKPQIHLQLRVRALLFDKASVSLFLSSNSPATSSSSIAVSKLNPNYLQLHGDDVYFTLEDGDQRREAGGGVGPNAAPSKSHSKSSFSTGSRYGDSEMESIPQRFRNEEFPETWYNQFMEKHKVSRPYRSSFGDRDLDKRSPEEMSNYLGLLDKHKRRCLAFTPSMHTSSVLDGNSADADVSFFPETMFMSNCIPDSALPLIIRTKSNEKIEFRGVLDSLPQTRSSVVIERLGISVEQGGSLHRPKNGSEGNKKLLGQEQASQMCQKVVAHMLAQKGFEGATEVPLEVLSQLLRCHISKLGHILKVFADSYRKQCSAIDLIKMFLQTAGYSNLGNLVELKDGSKNIVQQTQQQIHGIQAQLQPQHQSALRLPQQLQISRPMHPQMQQMVLPQNLAFQQMERMRRRQQSTPRPAMDMDKERPMVQVKIENPPDLPMDGNAFNSIQSRHPQLQFRQQQLAAMSNLQAQSSNQFRQLASMQVPQMQTPNMGIVRAPPVKVEGFQELMGGDASVKHDTEENKLTSPSSK >Manes.04G120700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32215836:32222012:1 gene:Manes.04G120700.v8.1 transcript:Manes.04G120700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKKSIAASVAELQLLVEKRGRKKTQRQRKVVKGGVRMAALSIVSQLPPKCLSYADCFNNHILNFNNNYHNFKFHSLPCSHQFPVLSSFSTQPKSKFFSNYPSSKLPQFPIYSSQPGSEHLWIQDNSKKQNSTFKTRMQDRLHSVFPTTPAQVSAVQDLYEFICSGPLLSKLGLTSDMIADSIDKWLEYGSHLCRLFQLNELYLTVPQKARIYHYYIPVFLWIEDKISKHVSQFKDGEEIPPLVVGFSAPQGCGKTTLVFALDYLFKKTGRNSATLSIDDFYWTAEGQAKLREANPGNALLEFRGNAGSHDLSFSIETLTGLKKLTKEGIKMKLPRYDKSAYSGRGDRADPSLWPEIEGPLTVILFEGWMLGFKPVPTEVVRAVDPQLETVNKNLEAYYDAWDKFINAWIVIKIQDPSYVYQWRLQAEIAMREAGNPGMTDEEVRDFVSRYLPAYKAYLPTLYDEGPSGSDPENVLLIDIDEGRNPILGN >Manes.04G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32215836:32222012:1 gene:Manes.04G120700.v8.1 transcript:Manes.04G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKKSIAASVAELQLLVEKRGRKKTQRQRKVVKGGVRMAALSIVSQLPPKCLSYADCFNNHILNFNNNYHNFKFHSLPCSHQFPVLSSFSTQPKSKFFSNYPSSKLPQFPIYSSQPAGSEHLWIQDNSKKQNSTFKTRMQDRLHSVFPTTPAQVSAVQDLYEFICSGPLLSKLGLTSDMIADSIDKWLEYGSHLCRLFQLNELYLTVPQKARIYHYYIPVFLWIEDKISKHVSQFKDGEEIPPLVVGFSAPQGCGKTTLVFALDYLFKKTGRNSATLSIDDFYWTAEGQAKLREANPGNALLEFRGNAGSHDLSFSIETLTGLKKLTKEGIKMKLPRYDKSAYSGRGDRADPSLWPEIEGPLTVILFEGWMLGFKPVPTEVVRAVDPQLETVNKNLEAYYDAWDKFINAWIVIKIQDPSYVYQWRLQAEIAMREAGNPGMTDEEVRDFVSRYLPAYKAYLPTLYDEGPSGSDPENVLLIDIDEGRNPILGN >Manes.16G063550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24821808:24823115:-1 gene:Manes.16G063550.v8.1 transcript:Manes.16G063550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVSNRSRGRGVRIARLADIGRPHRDPTVTPPPLEGVADHDLPESREGHRDYVSHGAESGAYPTAPSPPLAPAVAPPVAPAAPPLVPPGSSDADTANKWSKKVIKVFELMKLTDPYKVDNVHGLLQGKADSWFDGIRRRHGEYLSGSYRKGKWDAFFRLFQGSLSIREYVDKFEDLYCFVSDILPSEEAKCDRFRHGNNFRELVEATLNLKKVKQEEKEYEQKMSRKHMQGSQDVRGFFVNTEQQVTRPQFSQSLVAQPVGSSFGAQKYGQGYNFGFEQKKRHFPQCITCGKYHAGKCRKFDKGCFECGSSGHFKKDCPLLIARDSGSQQGSVAP >Manes.04G056300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:17482520:17483168:1 gene:Manes.04G056300.v8.1 transcript:Manes.04G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGLFCDEEDIELGRQQAPGSCPHCGGKVQVMDVERKWSFCFLPISYKVKRKYFCSLCARRLEIYR >Manes.15G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:796245:797396:-1 gene:Manes.15G008400.v8.1 transcript:Manes.15G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVTNQTEIPSAIPAPKLFNVFLLDAHNTFPKILPQAIKSIEIIEGNGGPGSLKKTTFAEGSEVKYIKHKLDLVDKDNFIYNYTAVEGDPWLEGLEKVSYETQIVPSPDGGSISKCTTKYYPKGDSKLDVDKIKEGEQKALGLFKAVEAHVLSNPDAYN >Manes.02G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:239655:243333:1 gene:Manes.02G002200.v8.1 transcript:Manes.02G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGKTRMVLTLTGRALHEAVSFIVFTFLDLLDLFLCFAYKVADFFIEAEWKPCYCTSAKEAITSSGKILVSEQGESKIVCLSSSKLELEEISDTLYTRPSLLSEVSKLTVNELKRIKVEKTLVQSCEKTKKGTMRSTFTINSTIVEMLQEKIEGQKAHPIPRWSDCDCKLCTSWTTSSTETLFVKAEGAKDKAKEDVLFIHGFISSSAFWTETVFPNLSEAAKSSYRFFAVDLLGFGRSPKPTDSLYTLREHLEMIERSVMEPYKVKSFHIVAHSLGCILALALAVKHPGSVKSLTLLAPPYYPVPRGVQPTQYVMRRVAPRRVWPPIAFGASMACWYEHITRTICLVICKNHRLWEFLAKLITRNRIRTFLLEGFFIHTHNAAWHTLHNIICGTASKLDGYLNVVHNHLKCDVNIFHGRNDELIPIECSYNVQERVPRARVKVIEKKDHITIVVGREKAFTRELEKIWSRSSG >Manes.09G031900.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6511106:6516599:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031900.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6511106:6516721:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031900.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6511106:6516599:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6503716:6516730:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6511106:6516730:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031900.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6511106:6516637:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031900.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6511106:6516599:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031900.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6511106:6516723:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.09G031900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6511106:6516723:-1 gene:Manes.09G031900.v8.1 transcript:Manes.09G031900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPPEEFVLKETNPHLGGGKISGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYAEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASVLEVTVKDKDFVKDDFMGRVLFDLNEIPKRVPPDSPLAPQWYRLEDRKGDKVKGEIMLAVWMGTQADEAFPEAWHSDAASVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLLPSDKGRYPEVFVKAILGSQALRTRISPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGGCSIRLQYVDRRLDHRPVNTRWFNLEKHVVVEGEKKKEIKFASRIHMRISLEGGYHVLDESTHYSSDLRPTAKQLWKQSIGVLELGILNAQGLMPMKTKDGRGTTDSYCVAKYGQKWIRTRTIIDSFMPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKSGTTRDARIGKVRIRLSTLETDRVYTHSYPLLVLHPSGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLNGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAETAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALLTGFYMLRHPRFRHRLPSVPLNFFRRLPARTDCML >Manes.15G162000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13441172:13444613:-1 gene:Manes.15G162000.v8.1 transcript:Manes.15G162000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKFQEGFASLFNNRWLVFVAAMWIQSCAGVGYLFGSISPVIKSSLNYNQRQLASLGVAKDLGDSVGFLAGSLSEILPLWGALLVGALQNLIGYAWVWLVVTGRAPVLPLWAMCILIFIANNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMIHSPNHASLIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDSTSFTFVYSVCLLLAAYLMGVMLLEDLVDLSHTVIIIFTVVLFVLILLPIVIPISLTFFQEPKDPAEETLLPESQRQEAGRSEPGTPEVIFSEVEDEKPKEVDLLPASERQKRIAQLQAKLFQAAAEGAVRIKRRRGPHRGEDFTLMQALIKADFWLIFISLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRIGGGYFSEIIVRFSCLLWSNCKQHLRPRSRKAGS >Manes.15G162000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13441172:13444613:-1 gene:Manes.15G162000.v8.1 transcript:Manes.15G162000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKFQEGFASLFNNRWLVFVAAMWIQSCAGVGYLFGSISPVIKSSLNYNQRQLASLGVAKDLGDSVGFLAGSLSEILPLWGALLVGALQNLIGYAWVWLVVTGRAPVLPLWAMCILIFIANNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMIHSPNHASLIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDSTSFTFVYSVCLLLAAYLMGVMLLEDLVDLSHTVIIIFTVVLFVLILLPIVIPISLTFFQEPKDPAEETLLPESQRQEAGRSEPGTPEVIFSEVEDEKPKEVDLLPASERQKRIAQLQAKLFQAAAEGAVRIKRRRGPHRGEDFTLMQALIKADFWLIFISLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRIGGGYFSEIIVRDYAYPRPVAMAVAQFIMAIGHVFFAFGWPGAMYIGSLVFSGLIASSIYDREAERQAHEHHHHHKWNPALASSHLRLDDPLKCEGAICYFLTSMIMSAFCIFAVVLSMILVHRTKVVYANLYGKSRT >Manes.15G162000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13441172:13444613:-1 gene:Manes.15G162000.v8.1 transcript:Manes.15G162000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKFQEGFASLFNNRWLVFVAAMWIQSCAGVGYLFGSISPVIKSSLNYNQRQLASLGVAKDLGDSVGFLAGSLSEILPLWGALLVGALQNLIGYAWVWLVVTGRAPVLPLWAMCILIFIANNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMIHSPNHASLIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDSTSFTFVYSVCLLLAAYLMGVMLLEDLVDLSHTVIIIFTVVLFVLILLPIVIPISLTFFQEPKDPAEETLLPESQRQEAGRSEPGTPEVIFSEVEDEKPKEVDLLPASERQKRIAQLQAKLFQAAAEGAVRIKRRRGPHRGEDFTLMQALIKADFWLIFISLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRIGGGYFSEIIVRDYAYPRPVAMAVAQFIMAIGHVFFAFGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGLIASSIYDREAERQAHEHHHHHKWNPALASSHLRLDDPLKCEGAICYFLTSMIMSAFCIFAVVLSMILVHRTKVVYANLYGKSRT >Manes.03G121700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24829802:24830269:-1 gene:Manes.03G121700.v8.1 transcript:Manes.03G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIAYACASAQPSLDGYTVVIKWWWWIPPLMALTYLFFIIFLNCYIWFMKLRGRVLDIETGILLDDDDHQQAAAPTLRMTEEELEEAFPSFAHGKIMEMDEDECAICLEEFKEGDECRMLLPSCFHIFHKACVDPWLFKDGSCPLCRAVLFSYEHM >Manes.14G045500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3953690:3959971:1 gene:Manes.14G045500.v8.1 transcript:Manes.14G045500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEISTKGNGGNARGESFSSGHSEPNDHRNTMEGQKSHSTRPISARDAETALYTELWHACAGPLVTVPRERERVFYFPQGHIEQVEASTNQLADQQMPVYDLPSKILCTVINVQLKAEPDTDEVFAQVTLLPDPNQDESAIDKEAPLPPPRFRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELMAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAISTGTMFTVYYKPRTSPAEFIVPVDRYMESIKNNYSVGMRFKMRFEGEEAPEQRFTGTIVGIEDGDPKRWRESKWRCLKVKWDETSTIPRPERVSPWSIEPALAPPALNPLPMPRPKRPRSNMVPSSPDSSVLTREGSSKVTFDPQPPSGYLRVLQGQEFQTLRGNFAECNESDTVEKSVVWPSSLEDEKVDSVSASSRHGSDWILSGGPKPTCTDLLSGFGTHVDSSHRFGAHIVDQTSTAASRKLVLEQEGKFNLLASPWSLMSSGLSLKLSDSNTKVPEKDCDMAFEARGNIRCSAFNEYAMLNGHRVEQSHGNWLMPPPPSHFDNHAHARELGRKPILVREHEIGKSTDGKCKLFGIRLFNNPVMPESAASHRNLVDDPMSCIHPQSHQLHVLDSDRSEQSKGSKMADENEYEKQLQAGLLHTSVNQSKVQSVSTRSCTKVHKQGIVLGRSVDLTKFNNYDELVAELDRLFEFDGELMAPKKNWLIVYTDDEGDMMLVGDDPWQEFVGMVRKIFIYTREEVQKMNPGTLNSKGDENLLDAEGMDAKELKCLPLPPVHNTEIC >Manes.14G045500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3953690:3958914:1 gene:Manes.14G045500.v8.1 transcript:Manes.14G045500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEISTKGNGGNARGESFSSGHSEPNDHRNTMEGQKSHSTRPISARDAETALYTELWHACAGPLVTVPRERERVFYFPQGHIEQVEASTNQLADQQMPVYDLPSKILCTVINVQLKAEPDTDEVFAQVTLLPDPNQDESAIDKEAPLPPPRFRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELMAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAISTGTMFTVYYKPRTSPAEFIVPVDRYMESIKNNYSVGMRFKMRFEGEEAPEQRFTGTIVGIEDGDPKRWRESKWRCLKVKWDETSTIPRPERVSPWSIEPALAPPALNPLPMPRPKRPRSNMVPSSPDSSVLTREGSSKVTFDPQPPSGYLRVLQGQEFQTLRGNFAECNESDTVEKSVVWPSSLEDEKVDSVSASSRHGSDWILSGGPKPTCTDLLSGFGTHVDSSHRFGAHIVDQTSTAASRKLVLEQEGKFNLLASPWSLMSSGLSLKLSDSNTKVPEKDCDMAFEARGNIRCSAFNEYAMLNGHRVEQSHGNWLMPPPPSHFDNHAHARELGRKPILVREHEIGKSTDGKCKLFGIRLFNNPVMPESAASHRNLVDDPMSCIHPQSHQLHVLDSDRSEQSKGSKMADENEYEKQLQAGLLHTSVNQSKVQSVSTRSCTKVHKQGIVLGRSVDLTKFNNYDELVAELDRLFEFDGELMAPKKNWLIVYTDDEGDMMLVGDDPWQEFVGMVRKIFIYTREEVQKMNPGTLNSKGDENLLDAEGMDAKELKCLPLPPVHNTEIC >Manes.15G069800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5319693:5323221:-1 gene:Manes.15G069800.v8.1 transcript:Manes.15G069800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREDPLSYPNNPSSSSSPITVSDHLDTTFLTADPSGSHLGSASNSFQNDTGFLNDSASSSDAEFGFSRPEFRQSPLAGTVQFYERHVFLCYKNPSVWPPRIEAAEFDRLPRLLSAAVTARKGDMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGDWLPGTPEALKGFYVFVCSHGSRDRRCGACGPALVSKFKEEIELHGLRGKVSVSPCSHIGGHKYAGNVIIFGSSTSGVTNGHWYGYVTPDDVCILLEQHIGKGEVVDWLWRGQMGLSEEEQIKFQELRLQLNGETEVGKKTNKLTQTQIDKACTAATSSKVEVVNCCQQNGKSSCCQNPALPHEETVDANEKCVNVSPEKKSSKRPLSRINSGKGLSTRKICAMPTWFESWEHEDTYAVLAVVCAAVSVAFAYSCYKQSR >Manes.15G069800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5310863:5323939:-1 gene:Manes.15G069800.v8.1 transcript:Manes.15G069800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREDPLSYPNNPSSSSSPITVSDHLDTTFLTADPSGSHLGSASNSFQNDTGFLNDSASSSDAEFGFSRPEFRQSPLAGTVQFYERHVFLCYKNPSVWPPRIEAAEFDRLPRLLSAAVTARKGDMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGDWLPGTPEALKGFYVFVCSHGSRDRRCGACGPALVSKFKEEIELHGLRGKVSVSPCSHIGGHKYAGNVIIFGSSTSGVTNGHWYGYVTPDDVCILLEQHIGKGEVVDWLWRGQMGLSEEEQIKFQELRLQLNGETEVGKKTNKLTQTQIDKACTAATSSKVEVVNCCQQNGKSSCCQNPALPHEETVDANEKCVNVSPEKKSSKRPLSRINSGKGLSTRKICAMPTWFESWEHEDTYAVLAVVCAAVSVAFAYSCYKQSR >Manes.15G069800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5310863:5323939:-1 gene:Manes.15G069800.v8.1 transcript:Manes.15G069800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREDPLSYPNNPSSSSSPITVSDHLDTTFLTADPSGSHLGSASNSFQNDTGFLNDSASSSDAEFGFSRPEFRQSPLAGTVQFYERHVFLCYKNPSVWPPRIEAAEFDRLPRLLSAAVTARKGDMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGDWLPGTPEALKGFYVFVCSHGSRDRRCGACGPALVSKFKEEIELHGLRGKVSVSPCSHIGGHKYAGNVIIFGSSTSGVTNGHWYGYVTPDDVCILLEQHIGKGEVVDWLWRGQMGLSEEEQIKFQELRLQLNGETEVGKKTNKLTQTQIDKACTAATSSKVEVVNCCQQNGKSSCCQNPALPHEETVDANEKCVNVSPEKKSSKRPLSRINSGKGLSTRKICAMPTWFESWEHEDTYAVLAVVCAAVSVAFAYSCYKQSR >Manes.09G011644.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2373141:2376651:1 gene:Manes.09G011644.v8.1 transcript:Manes.09G011644.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPSRVHFNRFLSALLKMKQYHTVLSMSKTIELVGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNSLINGLCMERKINKAVEFFDDMVARGYQPDVRTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPIVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDHFKEALALLKEMGGRNISPNVFTFNILIDTLCKKGLVSNAQNTIKIMIQRGVEPDVVTYNSLMDGYCLRKQIDKARKVFDLMMTNEIADILSYNILINGYCKCKMIDDAKQIFDEMSHKGLVPNAVTYHTLIKGMFQAGRPQNAKELFKDMCSYGKQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFREMEKEGCLLNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNKGSAN >Manes.08G136000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37274042:37282876:-1 gene:Manes.08G136000.v8.1 transcript:Manes.08G136000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASDALAVRQKVQQFLTAARTGNLDLLKNVAAQLDDGRGIAKAVADIKDANKRGALHFAAREGQTEVCKFLVEDLKLDVDTKDEDGDTPLLHAARQGHTATAKYLIEHGANPSIPSDLGATPLHHSAGIGNIELLRYLLSKGVEVDSESDAGTPLIWAAGHAQPDALKVLLEHHANPNSETEDNITPLLSAVAAGSLACLESLVQAGANVNVIAGGATPLHIAADNGSPEILKCLLKAGADPNVTDEDGQKPIQVAATRGNLAAVEILFPVTSKVQAIPEWTIDGILRYMQSETNKQMEEMRNPRELIDTEGTAVPKKDLPEVSPEAKRRAAEAKSRGDEAFRRREYRMAVDAYTQAIDLDPTNATLLSNRSLCWVRLGQPEHALTDAKACRALRPDWSKACYREGAALRLLQRFDEAANSFYEGVMLDPDNKELVSAFRIFRRSRLLVEGVSQRVFTSWISNYLDLLDLCDNAKEYLSGEFQSYLLQKGILHQSSCVATPSQNGVAERKNRHLLEVARALLFQMKVPKCFWADAVSTTCFLINRMPSSIFHGGIPYNILFPTKSLFPIEPHIFGCTCFVRDVRPQVTTLDPK >Manes.08G136000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37266176:37283054:-1 gene:Manes.08G136000.v8.1 transcript:Manes.08G136000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASDALAVRQKVQQFLTAARTGNLDLLKNVAAQLDDGRGIAKAVADIKDANKRGALHFAAREGQTEVCKFLVEDLKLDVDTKDEDGDTPLLHAARQGHTATAKYLIEHGANPSIPSDLGATPLHHSAGIGNIELLRYLLSKGVEVDSESDAGTPLIWAAGHAQPDALKVLLEHHANPNSETEDNITPLLSAVAAGSLACLESLVQAGANVNVIAGGATPLHIAADNGSPEILKCLLKAGADPNVTDEDGQKPIQVAATRGNLAAVEILFPVTSKVQAIPEWTIDGILRYMQSETNKQMEEMRNPRELIDTEGTAVPKKDLPEVSPEAKRRAAEAKSRGDEAFRRREYRMAVDAYTQAIDLDPTNATLLSNRSLCWVRLGQPEHALTDAKACRALRPDWSKACYREGAALRLLQRFDEAANSFYEGVMLDPDNKELVSAFREAVEAGRKFHGTDEKKS >Manes.13G140420.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34802261:34804591:1 gene:Manes.13G140420.v8.1 transcript:Manes.13G140420.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSNQSLESKKPPSQILKMASLADGKHKAELLEAQSHIWNHIFNFINSMSLKCAVQLGIPDAIHSHGKPITISELIAALSLHPAKANCIPRLMRILVHSGFFARAKISQNDQEEGYILTNASQLLLKDHPLSVSPLLLTVLDPSLTRPWHYVSNWFQNDDPTPFATANGRTIWDFAGHELEFNNLFNEAMASDARLVMNVLMNDCKWVFEGLKSLVDVGGGTGTVAKAIAKEFPQLECFVLDLPHVVAGLHGTHNLKYVGGSMFEAIPPADAILLKWIMHDWSHENCVKILKRCKEAIKGREGGKLIIIDMVMEQKEKEDHESNETELLLDMLMMVLYNSQERNEKEWAQLFYDAGFSNYEIIPILGLRSIIEVYP >Manes.16G118100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32192438:32194696:1 gene:Manes.16G118100.v8.1 transcript:Manes.16G118100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAMVGDSSSWSRALVKISPYTFSAVGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTAQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKAV >Manes.16G118100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32192582:32194696:1 gene:Manes.16G118100.v8.1 transcript:Manes.16G118100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSAMVGDSSSWSRALVKISPYTFSAVGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTAQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKAV >Manes.08G068801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:10709971:10711316:-1 gene:Manes.08G068801.v8.1 transcript:Manes.08G068801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSDWGQFYHQQNLSATMFGCDGGASDVPAATSVTSAAAASVPTSLMGGTGNSTSPNGGGGHLSPEGRVSKPVRRRSRASRRTPTTLLNTDTTNFRALVQQFTGGPSPPFASGSQLNAPSFGFSLNQRQAQVNPSAVMVPSAAGYHLQYQQQIQPSYMFSLGNNTTGASPAHGDLLFQRLGNPRSTGMDVSDELVMEGLSSHAVATPSRPPSRQPSSASSENRSNTFLF >Manes.12G062700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6091179:6091991:1 gene:Manes.12G062700.v8.1 transcript:Manes.12G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLARLGRNMQNIRKSPRVADESMFGGMNINNGTEYPMERSHRWSSGFSAMFRIVLAPLSLLSCFSQPHPSGSADGLWVSGGEFSQLSEMNHLMVNDSMRYAILM >Manes.17G110400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31701146:31706023:-1 gene:Manes.17G110400.v8.1 transcript:Manes.17G110400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSREGSWRTNSSLRSNSSSRGGSYPGYSQSIFDQESYSYNHAPQQYRRQESYSYNYAPQQSFESPQQYSSAQNSNNVRRQLDRKYSRIADDYKSLDQVTDALARAGLESSNLIVGIDFTKSNEWTGAKSFNRRSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPVIEMASTIVEQSGGQYHVLLIIADGQVTRSVDTERGKLSPQEQRTVDAIVEASKLPLSIVLVGVGDGPWDTVKEFDDNIPAREFDNFQFVNFTEIMSKHVDSSRKETEFALAALMEIPSQYKATIELNVLGRQEGNVPERVPLPPPLYGAASISNSKPSRTSGSKPSVPSYPVDSPPASSAPPAPSSTYDNQVCPICLTNAKDMAFGCGHQTCCECGESLELCPICRRAIQMRIKLY >Manes.17G110400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31701146:31706023:-1 gene:Manes.17G110400.v8.1 transcript:Manes.17G110400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSREGSWRTNSSLRSNSSSRGGSYPGYSQSIFDQESYSYNHAPQQYRRQESYSYNYAPQQSFESPQQYSSAQNSNNVRRQLDRKYSRIADDYKSLDQVTDALARAGLESSNLIVGIDFTKSNEWTGAKSFNRRSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPVIEMASTIVEQSGGQYHVLLIIADGQVTRSVDTERGKLSPQEQRTVDAIVEASKLPLSIVLVGVGDGPWDTVKEFDDNIPAREFDNFQFVNFTEIMSKHVDSSRKETEFALAALMEIPSQYKATIELNVLGRQEGNVPERVPLPPPLYGAASISNSKPSRTSGSKPSVPSYPVDSPPASSAPPAPSSTYDNQVCPICLTNAKDMAFGCGHQTCCECGESLELCPICRRAIQMRIKLY >Manes.17G110400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31701147:31706023:-1 gene:Manes.17G110400.v8.1 transcript:Manes.17G110400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSREGSWRTNSSLRSNSSSRGGSYPGYSQSIFDQESYSYNHAPQQYRRQESYSYNYAPQQSFESPQQYSSAQNSNNVRRQLDRKYSRIADDYKSLDQVTDALARAGLESSNLIVGIDFTKSNEWTGAKSFNRRSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFCFYPDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPVIEMASTIVEQSGGQYHVLLIIADGQVTRSVDTERGKLSPQEQRTVDAIVEASKLPLSIVLVGVGDGPWDTVKEFDDNIPAREFDNFQFVNFTEIMSKHVDSSRKETEFALAALMEIPSQYKATIELNVLGRQEGNVPERVPLPPPLYGAASISNSKPSRTSGSKPSVPSYPVDSPPASSAPPAPSSTYDNQVCPICLTNAKDMAFGCGHQTCCECGESLELCPICRRAIQMRIKLY >Manes.17G110400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31701147:31706023:-1 gene:Manes.17G110400.v8.1 transcript:Manes.17G110400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSREGSWRTNSSLRSNSSSRGGSYPGYSQSIFDQESYSYNHAPQQYRRQESYSYNYAPQQSFESPQQYSSAQNSNNVRRQLDRKYSRIADDYKSLDQVTDALARAGLESSNLIVGIDFTKSNEWTGAKSFNRRSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFCFYPDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPVIEMASTIVEQSGGQYHVLLIIADGQVTRSVDTERGKLSPQEQRTVDAIVEASKLPLSIVLVGVGDGPWDTVKEFDDNIPAREFDNFQFVNFTEIMSKHVDSSRKETEFALAALMEIPSQYKATIELNVLGRQEGNVPERVPLPPPLYGAASISNSKPSRTSGSKPSVPSYPVDSPPASSAPPAPSSTYDNQVCPICLTNAKDMAFGCGHQTCCECGESLELCPICRRAIQMRIKLY >Manes.17G110400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31701147:31706023:-1 gene:Manes.17G110400.v8.1 transcript:Manes.17G110400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSREGSWRTNSSLRSNSSSRGGSYPGYSQSIFDQESYSYNHAPQQYRRQESYSYNYAPQQSFESPQQYSSAQNSNNVRRQLDRKYSRIADDYKSLDQVTDALARAGLESSNLIVGIDFTKSNEWTGAKSFNRRSLHHIGDGLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFCFYPDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPVIEMASTIVEQSGGQYHVLLIIADGQVTRSVDTERGKLSPQEQRTVDAIVEASKLPLSIVLVGVGDGPWDTVKEFDDNIPAREFDNFQFVNFTEIMSKHVDSSRKETEFALAALMEIPSQYKATIELNVLGRQEGNVPERVPLPPPLYGAASISNSKPSRTSGSKPSVPSYPVDSPPASSAPPAPSSTYDNQVCPICLTNAKDMAFGCGHQTCCECGESLELCPICRRAIQMRIKLY >Manes.09G148900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34678283:34679028:1 gene:Manes.09G148900.v8.1 transcript:Manes.09G148900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSYTLILILVVGVVAALGPRTCHGSVYKTELAKMSLEKVAKAADGFRKPPVILSPPEPNRFHRQDAPPSPPPFLNKAG >Manes.09G172500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37698755:37703467:-1 gene:Manes.09G172500.v8.1 transcript:Manes.09G172500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohD MRSDDYSDSESIASDRTAFSGPLGGPPLNKKRSSKKSARLNIPPETTTTYSNADEDPSSYVEITLDIRDDSVAVHSVHPANEDPEFALLAKKTLENKTSSFRASLFRNTSSHIKQVSQELKRFASLSRRPSAARRFDRTKSAAAHALKGLKFIAAKTGASGNGWPAVEKRFQELTASTNGLLHCSSFGECIGMNKESKEFAGELFRALARRHNINGDSINKSQLKEFWDQISNESFDSRLQIFFDMVDRDADGRITEEEVTEIISLSASANKLSNIQKQAKEYAALIMEELDPDNAGYIMIYNLETLLLQAPNQSVRVGDSRVLSQLLSQKLKPTQENNPLKRWFQKAKYFLLDNWQRVWVMALWLGIVAGLFTYKFIQYKNKAVYDIMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVAVPFDDNLNFHKVIAVGIAVGIGLHAGAHLTCDFPRLLHATEEEYEPMKPYFGDDQPPNYWWFLKGVEGITGIVMVVLMAIAFTLATPWFRRNKLNLPKPLKKLTGFNAFWYSHHLFVIVYTLLIIHGIYLYLTKKWYQKTTWMYLAVPIILYACERLIRAFRSSIKSVKILKVAVYPGNVLALHMSKPQGFKYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPPAGKSGLLRAEGMQGGNNPSFPKILIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIINNMKEEDDEETALEAGRAAQGNSNNNISKKGFKTRKAYFYWVTREQGSFEWFKGIMNEVAEMDDKGLIELHNYCTSVYEEGDARSALIAMLQSLHHAKNGVDVVSGTRVKSHFAKPNWRQVYKKIALQHPNGRIGVFYCGAPALTKELRQLALDFSHRTSTKFDFHKENF >Manes.16G065850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27007361:27009267:1 gene:Manes.16G065850.v8.1 transcript:Manes.16G065850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPNPADQSQAIIDPTTDLSQKLFQLIQNSQNGNQKSANQFTLDSAQPPSNIKLNDSNYVVWAKMMEMFITGRGKSNHLTGTPSPPIETDPAIYLWQTNDSIVRGWLIQTVEQKLRPNLLQHKTSKGLWDALKIRFNTGSNKLIIYELQSKAYKLTQQEIIWAEIDERQPTKIEGDNNIIIWNREIQEERLYLFLARVQSDLDPVRREILNEEPLPTLDNAYSRLRGEKLRRAIHLPLPSPATAGSDLVGAGYSYGHGTGPWY >Manes.06G080600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21644912:21646641:1 gene:Manes.06G080600.v8.1 transcript:Manes.06G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIHSIGGGARLFSAGGGDRRLRPHHHQNHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSKPKQPTSTADTSFTSTNTATTTTSATPPSQQQEQQRERKSSNSHSSSASSSLTATNTTAGNTGIEAVSAHSSCSASNNILHGIGESKLFAHANINPSFEPGLLQQGSDCGIFSDIGSFTSLITSTNEQLHFGFSNILNQQNLDHVQENQNQQWQLPQQQQQQMVDMSGEEITGGLIDQTVHLELSALQSNTSGNGEFGPLDWQGAGGQCLFDLPNAVDQAYWSQSHWTDQDPSSLYLP >Manes.12G078500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9085982:9091124:-1 gene:Manes.12G078500.v8.1 transcript:Manes.12G078500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIIHSIEVSLGEKVRLHKHLLWELPSANGVENLQGLRNVKRRRRHWRTFTFGERKLERKPQAVAFEVLTNKLEAPTAYDEKMLANYVPIYVLLPLGVVTADNVLENKDELGKQLKELRAAGVDGVMVDVWWGIVESKGPKQYDWSAYKSLFELIQECDLKIQAIISFHQCGGNIGDAVNIPIPQWVRDVGEYDPDIFYTNRAGTRNKEYLTIGVDHLPLFGGRTAIEMYSDYMKSFRENMSDLLEAGVIIDIEVGLGPAGELRYPSYPQTQGWVFPGIGEFICYDKYLKAEFKEAAARAGNPEWELPDDAGEYNDTPENTGFFKPNGTYRTEKGNFFLIWYSNRLLIHGDEILDEANKAFLGCKVKIAAKVSGIHWWYKVDNHAAELTAGYYNLHERDGYRPIARMLSRHHAILNFTCLEMKDAEQPENAKSGPQELVMQVLSGAWRENIEVAGENALSRYDATAYNQILLNVRPNGVNKNGQPKLRMYGMTYLRLSDDLLEETNFKLFKTFVRKMHADQDYCPDLKKYNHEIRPLERSKQQIPTEVLTEATKALAPFPWDKETDMKVDGAGAGQPGLLASLVNKIKSLFFK >Manes.12G078500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9085982:9091124:-1 gene:Manes.12G078500.v8.1 transcript:Manes.12G078500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIIHSIEVSLGEKVRLHKHLLWELPSANGVENLQGLRNVKRRRRHWRTFTFGERKLERKPQAVAFEVLTNKLELGVVTADNVLENKDELGKQLKELRAAGVDGVMVDVWWGIVESKGPKQYDWSAYKSLFELIQECDLKIQAIISFHQCGGNIGDAVNIPIPQWVRDVGEYDPDIFYTNRAGTRNKEYLTIGVDHLPLFGGRTAIEMYSDYMKSFRENMSDLLEAGVIIDIEVGLGPAGELRYPSYPQTQGWVFPGIGEFICYDKYLKAEFKEAAARAGNPEWELPDDAGEYNDTPENTGFFKPNGTYRTEKGNFFLIWYSNRLLIHGDEILDEANKAFLGCKVKIAAKVSGIHWWYKVDNHAAELTAGYYNLHERDGYRPIARMLSRHHAILNFTCLEMKDAEQPENAKSGPQELVMQVLSGAWRENIEVAGENALSRYDATAYNQILLNVRPNGVNKNGQPKLRMYGMTYLRLSDDLLEETNFKLFKTFVRKMHADQDYCPDLKKYNHEIRPLERSKQQIPTEVLTEATKALAPFPWDKETDMKVDGAGAGQPGLLASLVNKIKSLFFK >Manes.12G078500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9085982:9089194:-1 gene:Manes.12G078500.v8.1 transcript:Manes.12G078500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPTAYDEKMLANYVPIYVLLPLGVVTADNVLENKDELGKQLKELRAAGVDGVMVDVWWGIVESKGPKQYDWSAYKSLFELIQECDLKIQAIISFHQCGGNIGDAVNIPIPQWVRDVGEYDPDIFYTNRAGTRNKEYLTIGVDHLPLFGGRTAIEMYSDYMKSFRENMSDLLEAGVIIDIEVGLGPAGELRYPSYPQTQGWVFPGIGEFICYDKYLKAEFKEAAARAGNPEWELPDDAGEYNDTPENTGFFKPNGTYRTEKGNFFLIWYSNRLLIHGDEILDEANKAFLGCKVKIAAKVSGIHWWYKVDNHAAELTAGYYNLHERDGYRPIARMLSRHHAILNFTCLEMKDAEQPENAKSGPQELVMQVLSGAWRENIEVAGENALSRYDATAYNQILLNVRPNGVNKNGQPKLRMYGMTYLRLSDDLLEETNFKLFKTFVRKMHADQDYCPDLKKYNHEIRPLERSKQQIPTEVLTEATKALAPFPWDKETDMKVDGAGAGQPGLLASLVNKIKSLFFK >Manes.18G110100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10949031:10949873:-1 gene:Manes.18G110100.v8.1 transcript:Manes.18G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHLKSYDYFYVSSAQGPMNDQLLAANQSDKKRNHRQIIDNQENSHVSTELTLSCWFPSMIKKPRTTRISSSFSLHSSIVDSTLAGKEEVSTQLKLFDETWVADHDASATRKEPDGVSKESSELKPLARDTANQIIYGPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNLCRLLVASALVKDHILPFMNSETLEKIRGEGAEFCFWDCDTKTELNVVLKYWHTSKSYIFKKGWLNNFVKRRNLVEGDLIGIFWDSTGKIFNFSVLERASDVYQ >Manes.14G057736.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4870434:4870814:-1 gene:Manes.14G057736.v8.1 transcript:Manes.14G057736.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFPYHKLRRGGFWDDEDSHEEERAFRRIRNFSRFRGFSINRRRVKLRIPGLRRFLRKRARFLTRAKASWGKALKRLKNGQAHMHDLLSGNFLVMQVSPSPFKCSVQRPHPSLPASRYPLERFA >Manes.11G090478.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17286103:17289411:-1 gene:Manes.11G090478.v8.1 transcript:Manes.11G090478.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKQFIG >Manes.11G090478.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17286119:17289235:-1 gene:Manes.11G090478.v8.1 transcript:Manes.11G090478.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKQFIG >Manes.13G052000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6035651:6040874:1 gene:Manes.13G052000.v8.1 transcript:Manes.13G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSCASRAALIFHLLVLFALASAKKSGDVSELQIGVKHKPESCEIQAHKGDRIKVHYRGKLTDGTVFDSSFERGNPFEFELGSGEVIKGWDQGLLGMCVGEKRKLKIPAKLGYGDPGSPPVIPSGATLIFDTELVAVNGKPSSGGEANDSEL >Manes.13G022500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3222079:3225130:1 gene:Manes.13G022500.v8.1 transcript:Manes.13G022500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLRHVLGLLFLVLMMASASGSSCEFPAIFNFGDSNSDTGGLSAAFGQAPFPNGQTFFHHPAGRYSDGRLMSDFIAESLELPYLSAYLNSVGSNFSHGANFATAGSTIRPQNTTMHQSGYSPISLDIQLVQYSDFYKRSQEYHNQGGVFETLLPKADYFSKALYTFDIGQNDLTAGYKLNLTTEQIKASVPDMLAQFSNAVKAVYAEGGRSFWIHNTGPVGCLPYSLDRFLVTAAQIDKYGCASSFNKVSLYFNQELKKSVVELRKELPLAAITYVDIYSVKYTLITQAKKFGFTEPFVACCGHGGKYNYNSFARCGAKKVVNGKEVIIASSCKDQSVKIIWDGIHYTEAANRWIFQQIVNGSFSDPPIPLKMACDIMSH >Manes.03G168200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29369221:29388778:1 gene:Manes.03G168200.v8.1 transcript:Manes.03G168200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVSEEAGVGRSIEGISSGQRCQSGEALAEWRSSEQVENGTPSTSPPYWDTDDDDDGGPKPSDLYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFLDAADTLIIKAQVQVIREKSDRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGRLIEDKNRWSSFCAFWLGMDQNARRRMSKERTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKNKKGRVKLLDNEEMPAPIVCVEKEMFVLVDDVLLLLERAAMEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFNHKIEVAYQEAVALKRQEELIREEEAAWLAESEQKSKRGGTEKEKKSKKKQAKQKRNNRKGKDKGRDEKSSAAVSDKHQEENPTNEKKDPIMVEVQPVVEKSDILEDVSDVSDSVDGVLEVLQPDSEDRDASPVNWDTDTSEVHLSTEASGSGVGGLSPMPNGTVEKRSTSAMDDSSSTCSTDSVPSVVNNGSYKGSSYSSYQCVKSPSRGKYPRGKGTSDGSWTIEMDNQLFVPPVDAGDLNEVAESSKAVDCEPEAIVHSSQDRIMWTEQHAAKKVVEEVLPQKKMSPKDSVDVERCSKERAMTIPSSSRSPPRSPPKNHPSTVSLRSGNKTSAGIDPSVQSKKASSDCAHQSDKASSSIICPQNIGMCKPETQNALTSKLSEKPVLQQVSVMSRPSSAPLIPGHRAAAPVVSMVQTAPLLARSVSATGRLGPDASPVNHSYAPLSYRNAIVGNPVGSSSGGLTHANSPSLGVHLSSAHTQQPALVSSSMFLLPSSDRVDLTSAQSHFPFGMATPNALQNGAQRMESSQGVVTDEFPHIDIINDLLDDEHGIGMAVEASSVFQSLNNRPHFLNRQCSFPGEMGMSGELVSSSNSSCRFERAGSYRDSGFQRSYSSFSTQFDRLREFIPRASPLPYSNGHVDGLIPSHWPVAGSDLSLVGMTNGEADGSPYFSPEYSNLACNSNGYTVFRPSNGH >Manes.18G119120.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12532918:12533702:1 gene:Manes.18G119120.v8.1 transcript:Manes.18G119120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGDFVDCFLAYDLAVCNRGWQSFMHIRVEIHIKLPLKRTKRIVTRNNQSFTLEFKYERLPIFCFLCNRLGHNENFVTGFLRG >Manes.12G126300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33262016:33269943:1 gene:Manes.12G126300.v8.1 transcript:Manes.12G126300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSLRLSYDLGLCKNQRYKKQYKITLSRDKLSLLNSTLSSRASFCQWDPWSIHLSDNLCRPIFPVSYRSNAFRCRSFLVPGQTFHLPGLKSTSMALTRSLKALQSSPAILKLAPAIGVIIFAIWGLGPLVRQSRKLLLNKNDNSWKKSGTYYVMTSYVQPLLLWTGAILVCRVLDPVVLPTEASEVVKQRLLNFVRSLSTVLAFAYCLSSVIQQAQKFFMESNEPSDTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFIVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKSHWRIKTHLAISHLDVHKINNIIADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYSDTDLENVPFADSIYSRGGMPSNRPLLLIEPSYRINGEDKAKSQARSGRGVGDQENRGAPRSASDTKTGGSPKSDPKAKETQKSENKVDARTGETPNSHAKDNIQATTASTSDPKIGDKTPVKSTPNSVPKTSNSAEASSSESKAAGLVSNNVPQNKKISNQPKSVSPGRQNSQSDNPSVSLKEAGTDKASGLQQSSQLKQGAERQSVTQPSVSRPALEENLVLGVALQGSKRTLPIDEVMNSHSTSEEAKEMAAAAGRNGAASPTGEKDGKDDCQNQTPPSSTSANQ >Manes.12G126300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33261209:33269945:1 gene:Manes.12G126300.v8.1 transcript:Manes.12G126300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSLRLSYDLGLCKNQRYKKQYKITLSRDKLSLLNSTLSSRASFCQWDPWSIHLSDNLCRPIFPVSYRSNAFRCRSFLVPGQTFHLPGLKSTSMALTRSLKALQSSPAILKLAPAIGVIIFAIWGLGPLVRQSRKLLLNKNDNSWKKSGTYYVMTSYVQPLLLWTGAILVCRVLDPVVLPTEASEVVKQRLLNFVRSLSTVLAFAYCLSSVIQQAQKFFMESNEPSDTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFIVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKSHWRIKTHLAISHLDVHKINNIIADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYSDTDLENVPFADSIYSRGGMPSNRPLLLIEPSYRINGEDKAKSQARSGRGVGDQENRGAPRSASDTKTGGSPKSDPKAKETQKSENKVDARTGETPNSHAKDNIQATTASTSDPKIGDKTPVKSTPNSVPKTSNSAEASSSESKAAGLVSNNVPQNKKISNQPKSVSPGRQNSQSDNPSVSLKEAGTDKASGLQQSSQLKQGAERQSVTQPSVSRPALEENLVLGVALQGSKRTLPIDEVMNSHSTSEEAKEMAAAAGRNGAASPTGEKDGKDDCQNQTPPSSTSANQ >Manes.12G126300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33261145:33269914:1 gene:Manes.12G126300.v8.1 transcript:Manes.12G126300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSLRLSYDLGLCKNQRYKKQYKITLSRDKLSLLNSTLSSRASFCQWDPWSIHLSDNLCRPIFPVSYRSNAFRCRSFLVPGQTFHLPGLKSTSMALTRSLKALQSSPAILKLAPAIGVIIFAIWGLGPLVRQSRKLLLNKNDNSWKKSGTYYVMTSYVQPLLLWTGAILVCRVLDPVVLPTEASEVVKQRLLNFVRSLSTVLAFAYCLSSVIQQAQKFFMESNEPSDTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFIVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKSHWRIKTHLAISHLDVHKINNIIADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYSDTDLENVPFADSIYSRGGMPSNRPLLLIEPSYRINGEDKAKSQARSGRGVGDQENRGAPRSASDTKTGGSPKSDPKAKETQKSENKVDARTGETPNSHAKDNIQATTASTSDPKIGDKTPVKSTPNSVPKTSNSAEASSSESKAAGLVSNNVPQNKKISNQPKSVSPGRQNSQSDNPSVSLKEAGTDKASGLQQSSQLKQGAERQSVTQPSVSRPALEENLVLGVALQGSKRTLPIDEVMNSHSTSEEAKEMAAAAGRNGAASPTGEKDGKDDCQNQTPPSSTSANQ >Manes.12G126300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33261005:33270276:1 gene:Manes.12G126300.v8.1 transcript:Manes.12G126300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSLRLSYDLGLCKNQRYKKQYKITLSRDKLSLLNSTLSSRASFCQWDPWSIHLSDNLCRPIFPVSYRSNAFRCRSFLVPGQTFHLPGLKSTSMALTRSLKALQSSPAILKLAPAIGVIIFAIWGLGPLVRQSRKLLLNKNDNSWKKSGTYYVMTSYVQPLLLWTGAILVCRVLDPVVLPTEASEVVKQRLLNFVRSLSTVLAFAYCLSSVIQQAQKFFMESNEPSDTRNMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFIVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKSHWRIKTHLAISHLDVHKINNIIADMRKVLAKNPQVEQQRLHRRVFLDNINPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYSDTDLENVPFADSIYSRGGMPSNRPLLLIEPSYRINGEDKAKSQARSGRGVGDQENRGAPRSASDTKTGGSPKSDPKAKETQKSENKVDARTGETPNSHAKDNIQATTASTSDPKIGDKTPVKSTPNSVPKTSNSAEASSSESKAAGLVSNNVPQNKKISNQPKSVSPGRQNSQSDNPSVSLKEAGTDKASGLQQSSQLKQGAERQSVTQPSVSRPALEENLVLGVALQGSKRTLPIDEVMNSHSTSEEAKEMAAAAGRNGAASPTGEKDGKDDCQNQTPPSSTSANQ >Manes.14G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1741724:1744195:1 gene:Manes.14G006700.v8.1 transcript:Manes.14G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLNQFLSGGDEEKEESLLDEESDDLCSLSPTQRMYAFAACLIAGLACMFLSLIVFVRPIKFAVLFTFGNVLAVGSTAFLIGLGRQLSMMFDSVRVYATAIYLGFVVISLICALLIHSKILTLIAIICEICALIWYSLSYIPFARTMVSNLMIRFCDTEL >Manes.02G219500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34447729:34454416:1 gene:Manes.02G219500.v8.1 transcript:Manes.02G219500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNENLPPNVIKQLVKELKNLDESPPEGIKVGVNDDDFSTIYADIEGPAGTPYESGLFRMKLLLSRDFPHSPPKGYFLTKIFHPNIASNGEICVNTLKKDWNPSLGLRHVLIVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHAKPKPKFKSGAISESTTALNIDQSNSSVLNTDQNTGVSAAIALPSPLAPCTTATKGGNSQDQPPLVGPVPETGVLGSVTMATPIISTQKKEGGPAKIQAEKRKMDARKKSLKRL >Manes.09G130800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33239808:33244654:-1 gene:Manes.09G130800.v8.1 transcript:Manes.09G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTAAVIGLSAGKRLLSSSFYYSDLTDKLFNVNDHGLTHYQIASTKNVIGAKKSSNYGPTYPSSNRNTQSIKALKEHVDTASSSSTTETRFKTFHDIEEDISDLDYSVEALLLLQKSMLEKQWNLSFERTASSDSTNRKSEKKIPVTCSGLSARQRRINTRRKNLGQNKATVQASTFKQLQSAVSPELLQNRLKGYVKGVVSEELLTHAEVVRLSRIIKAGLSLEDHKSRLKERLGCEPSDEQLATSLRISRAELQSKLIACSLAREKLAMSNVRLVMSIAQRYDHMGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVDNSRTLRLPNHLHERLGLIRNAKIRLEEKGVTPSIDRIAESLKMSQKKVRNATEAISKVFSLDREAFPSLNGLPGETHHSYIADNCLDNNPWHVVDEWALKDEVNRLIDLTLREREREIIRLYYGLDNESLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKKKLEAMLVKH >Manes.13G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10814581:10827432:1 gene:Manes.13G073400.v8.1 transcript:Manes.13G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRICVKNLPKYVAEDRLREVFSQKGEITDAKLMRTKDGKSRQFAFIGFRTESEAEEAIKYFNKSFLDTSRITCEIAHKLGDPNIPRPWSRYSQKKKQDVTESGNPVDDSRNLSLKGPHVEKKTNKKETEKNDPKLEEFLQVMKPRAKSKVWQDDTLIAPTSDKNVGVSKKETQAERKSREKEVSVDSELNKISIHDGDKAQNLAHDEVISDMDYFKSRVKKEWSDSESESSENFDDDEEDDSLKKRLQSKEVKSIDQNAQHDIRGVAPVEVEEEPPFVGSDGEILDPENPSSSSREEYLETSRLFVRNLPYTATEDELEEHFSKFGNLSQVHLVVDKDMKRSKGIAYVHYTLPECAKRALEELDNSIFQGRLLHVMPAKQQKILVKQENDDPSQGSKTFKQRREEERKASEASGDTRAWNSLFMRPDTVVENIARRHGVSKSDLLDREADDLAVRIALGETQVIAETKKALNNAGVNVMSLEEYAAGKTDGMKRSNHVLIVKNLPYGSSEVELAKMFGKFGSLDKIVFPPTKTLALVVFLEPSEARAAFKGLSYKRYKDAPLYLEWAPSNVLSQSPTSKSNETNNAAVGENDAKRVMLEQSVEGISEIDIDPDRIESRSLFVKNLNFKTSDESLKKHFGEHMKEGKILSIRIKKHLKNGKNVSMGFGFVEFDTVETATNVCTDLQGTVLDGHALILQLCHAKKDAQAGKKVDKDRSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGNHRGFAFVEFVTKQEAQNALEALSSTHLYGRHLVLERAKEGESLEELRARTAAQFSEEQNSLHNPTKLSKKRKHVAVLDEGTMKFQRIAD >Manes.03G173000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29740940:29743376:1 gene:Manes.03G173000.v8.1 transcript:Manes.03G173000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSQIQIPRVKLGCQGLEVSRLGFGCGGLSGIYNPPLPHEAGCEIIKEVFSKGITFFDTSDLYGDNYDNEIMVGKALKQLPRENVQLATKFGIIRSKGLKFAVNGSPEYVRQCCEASLKRLDVDYIDLYYQHRVDSSVPIEDTMGELKKMVEEGKIKYIGLSEAGVETIRRAHAVHPITAVEMEYSLWSREIEEDVVPVCRELGIGIVAYSPLGRGFFAGKAVVESLPEKSLLVMHPRFSGENLERNKVIYGRLADLTAKHACTPPQLALAWLLHQGEDIVPIPGTTKLNNLENNIGSLAVELTQEDLKEICNAVPIDEVGGQREYEMFSSYVYKLANTPPK >Manes.05G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3995581:3999152:1 gene:Manes.05G048000.v8.1 transcript:Manes.05G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAFSPDHLSPSDQLYYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCSNLLTGNMRSLLLPAANQLHLGHAFFNPQNILEEIRSAAPPNMMINQPNPNDPLMPVRGGMEELPKPPVVNRPPEKRQRVPSAYNRFIKDEIRRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLVPDQPVKKTNVRQQEGEDVLMKDGFFAPSNLGVAPF >Manes.05G048000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3996290:3998184:1 gene:Manes.05G048000.v8.1 transcript:Manes.05G048000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLPAANQLHLGHAFFNPQNILEEIRSAAPPNMMINQPNPNDPLMPVRGGMEELPKPPVVNRPPEKRQRVPSAYNRFIKDEIRRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLVPDQPVKKTNVRQQEGEDVLMKDGFFAPSNLGVAPF >Manes.06G110400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24252742:24253173:-1 gene:Manes.06G110400.v8.1 transcript:Manes.06G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSDHEDINHLQILKAVAQAWHSRSCKSRPTNEHDAHRQNFLPKPSRFKLEAVNKSLTKRVATGNWDFKQSLLDSYEIVTMTKMLERGLVLDEPFFGLDDQIRVLKRRKESKNSLRNLFNRVSSRRFNQQADIPPADNNQF >Manes.18G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11216166:11226157:-1 gene:Manes.18G111900.v8.1 transcript:Manes.18G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEKQQQEHEQGGACESNSNPIHVLAVDDCFFDRKIVGKILEKASFKVTSVESGKKALEVLGLNQDKVEKSTYNDQKINIILTDYCMPEMNGYDLLMAVKENSNVKSIPVVIISSEYDPQRISRCLASGAEAFLRKPLQQKDLQKLRSYVRHSAPVPKTGTKRKVTLDLIPETGTAETRPRIAGVAVA >Manes.01G039012.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7377663:7379323:-1 gene:Manes.01G039012.v8.1 transcript:Manes.01G039012.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSFLAGLPPEFETAKSQILSDSEISSLHDVFTRVLRTESPIPSHPTSALVSRNDSGRHNNRGGQRGGFNAGKRSQHSGEIGPTSDSGGIICYYCREPGHTKKTCQKLQNKNQRTQMAHMAVDAPSDKGILISEDEYAQFTQYQASLKSSNSSSITAIAESGNSTACLVSSSSKWVIDSGATDHMSGSFDEADYW >Manes.02G052240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4166482:4174370:1 gene:Manes.02G052240.v8.1 transcript:Manes.02G052240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSHFSATSHCNSVSKLVDFGSRSGGKWRPNLLLIRTLRSRPHTRSFSVKNASGEQKTKPKNPISDGDASSIASSIKNHAEFTPLFSPEKFEVPKAFVATAQSVRDALIINWNATYECYDRLNVKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALTKLGQDLESVARREPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQRITKDGQEEVAEDWLEMGNPWEIVRNDISYPVKFYGNVVSGSDGKKHWIGGEDIIAVAYDLPIPGYKTKSTINLRLWSTKAPAEDLDLYAFNAGEHTKAYEALANAEKICYILYPGDDSLEGKILRLKQQYTLCSASLQDIIARFERRSGSKIRWQEFPDKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWNITQRTTAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELVKFIVCKYGKADPNILEKKLKEMRILENVDLPSSFAGLTLKPKESSAAAISEASKLNVKDEVDSGDEPQSKGEPKSKGTQKKEEVMAEPPPKLVRMANLCVVGGHAVNGVAEIHSEIVKDEVFNAFYEVWPNKFQNKTNGVTPRRWIRFCNQDLSKIITEWTGSEEWVLNTEKLAELRKFADNEDFQTQWRAAKKSNKMKVASLLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSAVERKAKYVPRVCIFGGKAFAPYAQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAKAHEIAGLRKERAMGKFVPDPRFEEVKDFIRTGVFGSCNYDELLGSLEGNEGFGRADYFLVGKDFPSYVECQEKVDEAYQDQRRWTNMSIMNTAGSYKFSSDRTIHEYAKDIWNIKPILLP >Manes.S046516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1458439:1458561:1 gene:Manes.S046516.v8.1 transcript:Manes.S046516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.06G128200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25760691:25764303:1 gene:Manes.06G128200.v8.1 transcript:Manes.06G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKRVSLRLALLFLVAFVSFSQLRASDDDKIFYESFEDSFEGRWVVSDKDDYKGVWKHAKSEGHDEYGLLVSEKAKKYAIVKELDNPAILKGGTIVLQFETRFQNGLECGGAYLKYLRPQGAGWTAKEFDNESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPSDKLTHVYTAILKPDNELQILLDGEEKKKANFLSSDDFEPPLVPAKTIPDPDDKKPEDWDERAKIPDPNAVKPDDWDEDAPMEIEDDEAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPNYFELEKPDFEPIAAVGIEIWTMQDGILFGNILIADNEKVAESLRQTKWKAKFDVEKEKQKAEDAAAGIDGLAGFQKKVFDLLYQIADISFLSQHKDKIIDIIEKAEKQPNLTIGILISIVVVIFTVLLKIIFGGRKPAKVEDKPTPVAESSNKEGSSKEEAEEDEKEDDGGAAAAPRRRRREN >Manes.16G108400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31381556:31383351:-1 gene:Manes.16G108400.v8.1 transcript:Manes.16G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRPTRSSTTSTMKATSSNAAHTAYQQNPEPKNLKQEVLLQIPACTVHLMEGGEALELATGEFTLVRILDDNVSLATIVKAGDLQWPLTKDEPVVKLDGLHYLFSLPMKDDDPLSYGVTFLEQYSSSLGLLDAFLSENSCFSRPASSTRSKNLDWKEFAPSVEDYNNVLAKAIAQGTGQLVKGIFKCSNAYNNQVQKGGEMILTRAAEEKNGAPAKEISRSKSSTGATKNSKVNKSLKRVRKLSNMTEKLSKTMLDGVGIATGSVMGTVVKSQAGKALLSTVPGEVILASLDAVNKIVDAAEAAEKQAFSATSKATSRMVTNRFGENAGEATEDAFATAGHCINTAWNIFKIRKAINPASSVKGGMLRNAAKYRTSKT >Manes.09G151900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34910766:34916482:-1 gene:Manes.09G151900.v8.1 transcript:Manes.09G151900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVVKVKSEILQACMTCPLCKKLLRDATTISLCLHTFCRKCIYEKLSDEEVDCCPVCNIDLGCLPVEKLRPDHNLQDIRAKIFPFKRRKIKAPEVMPSIALPAKRKERSLSSLVVSTPQVPMQTGLTGRRSKASARKAAAVRCSFIVDESNKKEDSAEDHPMSSSTPESPNKVVQNKRQDSSVNDPLNTLRHKEEPEEDAEIIEGKADLWTPLNCLVEAANRSKSSKSNLQGLSLAKSELPSASCSEFRSPGTKSRADSSNGHESEVYMPRTKNKEHRQDVKVQDDKNGINSLHTPVKRRRLTASRRRAAMAEELSASAQVMLNTAGAKNYRRNCPIWFSLVASEDQKGDDSLPQISACYLRIKWK >Manes.09G151900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34910766:34916482:-1 gene:Manes.09G151900.v8.1 transcript:Manes.09G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVVKVKSEILQACMTCPLCKKLLRDATTISLCLHTFCRKCIYEKLSDEEVDCCPVCNIDLGCLPVEKLRPDHNLQDIRAKIFPFKRRKIKAPEVMPSIALPAKRKERSLSSLVVSTPQVPMQTGLTGRRSKASARKAAAVRCSFIVDESNKKEDSAEDHPMSSSTPESPNKVVQNKRQDSSVNDPLNTLRHKEEPEEDAEIIEGKADLWTPLNCLVEAANRSKSSKSNLQGLSLAKSELPSASCSEFRSPGTKSRADSSNGHESEVYMPRTKNKEHRQDVKVQDDKNGINSLHTPVKRRRLTASRRRAAMAEELSASAQVMLNTAGAKNYRRNCPIWFSLVASEDQKGDDSLPQISACYLRIKDGKMPVSFIQKYLVKKLDLTDETEVEIMCRGQPVVPTLQLQNLVDLWFRTASSSKKVPASVGSSAKDFVMVLSYCRKVKASLNS >Manes.09G151900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34910766:34916482:-1 gene:Manes.09G151900.v8.1 transcript:Manes.09G151900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVVKVKSEILQACMTCPLCKKLLRDATTISLCLHTFCRKCIYEKLSDEEVDCCPVCNIDLGCLPVEKLRPDHNLQDIRAKIFPFKRRKIKAPEVMPSIALPAKRKERSLSSLVVSTPQVPMQTGLTGRRSKASARKAAAVRCSFIVDESNKKEDSAEDHPMSSSTPESPNKVVQNKRQDSSVNDPLNTLRHKEEPEEDAEIIEGKADLWTPLNCLVEAANRSKSSKSNLQGLSLAKSELPSASCSEFRSPGTKSRADSSNGHESEVYMPRTKNKEHRQDVKVQDDKNGINSLHTPVKRRRLTASRRRAAMAEELSASAQVMLNTAGAKNYRRNCPIWFSLVASEDQKGDDSLPQISACYLRINSPITGMAKCLSRSFRSILLKNLILLMRLRWK >Manes.18G016200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1694134:1696334:1 gene:Manes.18G016200.v8.1 transcript:Manes.18G016200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLAQQCPQPQEDQLGPVLVCDRKSIIGLQTGTTIYAYKYTTFQLSSTKISKMALLVFLLALPLVFLFLLKKNKTTKKYHLPPGPKGLPIIGNLHQLYFCIPHKRIWELSKHYGPIMTLRMGTRPAIVVTSAKLAKDIMKTYDLNFCSRPALVGSHKLSYDGLDVVFSPYGEYWREMRKITVVHLFNSIRSSTFRHIREDEVSRLMSKVCESAAASKPFDMSEAMLALGNNVTLRAATGKRCDVEDRLTRLVSETQAMFAGFFFSDYIPYVGKIIDTLSGLLPRLNKNFSEFDVLYQDIIDEHLQGKAPKSECENLVEVLLKLYKENAYKIQLTFAHLKAILMNVFIAGTDTSASAVVWAMCLLMKNPEIMRKTQEEIRSVIGKKGFVNEDDLQKLPYYKAMVRETMRLEPAAPMLVPRETIEDCKLGGYDIPAKSIVYVNNWAVGRDPEAWENPHEFRPERLLESGIDVKGNDYELTPFGAGRRICPGYFMGMSNVELSLANFLYRFDWEMPPGMKADDIDFNDVRPGIVVHKKHNLLLMAKDYLSTA >Manes.05G207200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33398229:33398982:1 gene:Manes.05G207200.v8.1 transcript:Manes.05G207200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKAMKSNKSRRQYECLSSAAPLGYNPSDFYINEAEIFPKPGEKGNNEGLKLQRRFSSVGDLSAIGSSPPRQQLVRFGSHRMFSCVTGG >Manes.03G072600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11816133:11818787:1 gene:Manes.03G072600.v8.1 transcript:Manes.03G072600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSRPHQGSSIPATIAKINGRPVLQPKSNQVPSLERRNSLKKSSPKSPTLQPLPTAKPSLSPPISPKLKSAGPPVLKRGGYDLNGLNSCGEKVLTPWNATKVSSIVNKSKKAITASAINYSSSLLVESPGSLAAARREQVAIMQEQRKLRTAHYGRVKSAKQERKVMAADSPAIDARTERRCSFITPSSDPIYVAYHDEEWGVPVHDDKLLFELLILTGAQVGSDWTSVLKKRQAFRDAFSGFDAQIVSKFNEKKITSISAEYGIEISLVRGVVDNSNRILQVKREFGSFDKYLWGFVNHKPITTQYKSCNKIPVKTSKSETISKDMVKRGFRFVGPTVIHSFMQAAGFSNDHLITCPRHHQIQCLDLASHHHHQSPTSTSSL >Manes.03G072600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11816163:11818725:1 gene:Manes.03G072600.v8.1 transcript:Manes.03G072600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSRPHQGSSIPATIAKINGRPVLQPKSNQVPSLERRNSLKKSSPKSPTLQPLPTAKPSLSPPISPKLKSAGPPVLKRGGYDLNGLNSCGEKVLTPWNATKVSSIVNKSKKAITASAINYSSSLLVESPGSLAAARREQVAIMQEQRKLRTAHYGRVKSAKQERKVMAADSPAIDARTERRCSFITPSSDPIYVAYHDEEWGVPVHDDKLLFELLILTGAQVGSDWTSVLKKRQAFRDAFSGFDAQIVSKFNEKKITSISAEYGIEISLVRGVVDNSNRILQVKREFGSFDKYLWGFVNHKPITTQYKSCNKIPVKTSKSETISKDMVKRGFRFVGPTVIHSFMQAAGFSNDHLITCPRHHQIQCLDLASHHHHQSPTSTSSL >Manes.03G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27168010:27170646:1 gene:Manes.03G144000.v8.1 transcript:Manes.03G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNVWGNSPLHTVDPEIHDLIEKEKRRQCTGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEYIDKIENLCCSRALQAFNLHPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNFETGFIDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARFRSVADKCGALLLCDMAHTSGLIAAQELANPFEYCDIVTSTTHKSLRGPRAGMIFYRKGPKPAKKGQPEDAVYDFEDKINFAVFPSLQGGPHNQQIGALAVGLKQAMTPGFKAYAKQVKANAVALGNYLMSKGYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFLQIGEFLHRAITITLCIQKEHGKLLKDFNKGLVNNKDIEALKADVEKFASSFDMPGFLMSELKYKI >Manes.04G151900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34750104:34754150:-1 gene:Manes.04G151900.v8.1 transcript:Manes.04G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRNPSGGQNAYKINTDKFKLRLKSLYSHWNEHKDELWGSADALAIATPPPSDDLRYLKSSAMNVWLLGYEFPETIMVFTKKQIHFLCSQKKASLLEVVRRPAHDVAGGIDVVIHVKAKGDDGTTLMEAIFRAIRAQPNSSVVGYIAKEVPEGTLLETWAEKLKTAGFQQIADVTNGFSDLLAFKDAEEILNVKKAAYLGVSVMSNVVIPTLENAVDEEKKVTHSSLMDEAEKAIMDPAKAKAKLKAENCDICYPPIFQSGGEFDLRPSAASNDEYLYYDPASVIIVAIGARYNNYCSNLARTFLIDANPKQRMAYEVLLKAHEAAIGALKPGNKISAVYQAAVSVVEKEAPELAPYLTKSAGTGIGLEFRESGLNLNAKNDRSLRPNMIFNLSLGFQNLQNQTNNPKIRNYSLLVADTVIVGQTNPEVATCKSSKAVKDVAYSFTEEDEVKPEPKPGVNGTKAFMSKTTLRSDSGEVSKEEIRRQHQAELARQKNEETARRLTGEERATGDNRGTAKTSTDLIAYKNVNDIPPARGLMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCYIRIIFNVPGTPFSPHDANSLKYPGAIYLKEVSFRSKDPRHISEVVQQIKTLRRHVVARESERAERATLVTQEKLQLAGSRFKPIRLADLWIRPAFGGRGRKLPGALEAHVNGFRFSTSRNDERVDVMFANIKHTFFQPAEREMITLLHFHLHNHIMVGNKKTKDVQFYVEVMESVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWSQPQFSGLDLEFDQPLRELGFHGVPYKTSSFIIPTSSCLVELIETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESKLNLNWRQILKTITDDPQSFIDEGGWEFLNLEASDSDSENSEDSDKGYEPSDAEPESESEDDDSDSESLVESDDDEEDDDSDDDSEEEKGKTWEELEREASNADREKGDESDSEVERNRRKMKKLGKSRAPPSSSLAKRSRFR >Manes.04G151900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34750163:34754139:-1 gene:Manes.04G151900.v8.1 transcript:Manes.04G151900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRNPSGGQNAYKINTDKFKLRLKSLYSHWNEHKDELWGSADALAIATPPPSDDLRYLKSSAMNVWLLGYEFPETIMVFTKKQIHFLCSQKKASLLEVVRRPAHDVAGGIDVVIHVKAKGDDGTTLMEAIFRAIRAQPNSSVVGYIAKEVPEGTLLETWAEKLKTAGFQQIADVTNGFSDLLAFKDAEEILNVKKAAYLGVSVMSNVVIPTLENAVDEEKKVTHSSLMDEAEKAIMDPAKAKAKLKAENCDICYPPIFQSGGEFDLRPSAASNDEYLYYDPASVIIVAIGARYNNYCSNLARTFLIDANPKQRMAYEVLLKAHEAAIGALKPGNKISAVYQAAVSVVEKEAPELAPYLTKSAGTGIGLEFRESGLNLNAKNDRSLRPNMIFNLSLGFQNLQNQTNNPKIRNYSLLVADTVIVGQTNPEVATCKSSKAVKDVAYSFTEEDEVKPEPKPGVNGTKAFMSKTTLRSDSGEVSKEEIRRQHQAELARQKNEETARRLTGEERATGDNRGTAKTSTDLIAYKNVNDIPPARGLMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCYIRIIFNVPGTPFSPHDANSLKYPGAIYLKEVSFRSKDPRHISEVVQQIKTLRRHVVARESERAERATLVTQEKLQLAGSRFKPIRLADLWIRPAFGGRGRKLPGALEAHVNGFRFSTSRNDERVDVMFANIKHTFFQPAEREMITLLHFHLHNHIMVGNKKTKDVQFYVEVMESVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWSQPQFSGLDLEFDQPLRELGFHGVPYKTSSFIIPTSSCLVELIETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESKLNLNWRQILKTITDDPQSFIDEGGWEFLNLEASDSDSENSEDSDKGYEPSDAEPESESEDDDSDSESLVESDDDEEDDDSDDDSEEEKGKTWEELEREASNADREKGDESDSEVERNRRKMKKLGKSRAPPSSSLAKRSRFR >Manes.06G037100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11118540:11122534:1 gene:Manes.06G037100.v8.1 transcript:Manes.06G037100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQVHYSPLPVDETDDDYHDPRFEYRPGAFDKVPWKSITLALFLLFLGSLLLFLSFFILTGHMGGEKSQGYGLLALGILAFLPGFYETRIAYYSWRGAKGYRFASIPSY >Manes.04G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33697201:33705700:1 gene:Manes.04G137400.v8.1 transcript:Manes.04G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYGQEQEMEIEALEAILMDEFKEIHSSESGLDTSNRCFQITISPQDDDADDPTDTPVQLALIFSHTEKYPDEPPLLNVKSIRGIQTVDLRILKEKLEQEASENLGMAMVYTLVTSAKEWLSEKYGQAAGNDIIEDGQAEKEDVVIPHGEPVTVETFLAWRERFEAELALERAKLMPESALTVPKEKKLSGRQWFESGRAKGAAPVKEGSDEEDEEDIDFDDDDFEDDEEDMLEHYLAEKSDSSSHSSRRAN >Manes.17G017600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9565537:9568348:-1 gene:Manes.17G017600.v8.1 transcript:Manes.17G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQLQELQSETTGVGNGEPESCNEPSSSNNKQKFPNLIHLKWWLRVSCYTIFLLSGQSAATLLGRLYYDKGGNSKWMATFVQSAGFPLLLPLFFFFFFFSSNKSTTISVASFSETKPKLSTLLLLYLSFGILLTGDNLMYSYGLLYLPVSTYSLLCATQLAFNALFSFFFNSQKFTPLIFNSLFLLTISASLLAVNADSENSSRISKGKYAIGFLCTLGASATYSLYLSLVQLSFEKIIKSETFSSVLEMQIYPSMVATCGCVVGIFASGEWRSLEKEMKEYEEGEVSYLMTLIWTAITWQISSVGMLGLIFEVSSLFSNVISTLALPVVPILAVIFFHDKMDGVKIIAMLMALWGFLSYIYQHYLDDIKSKAKANVNIADSQLQLC >Manes.05G044733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3687938:3693236:1 gene:Manes.05G044733.v8.1 transcript:Manes.05G044733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQYYVWIDCFFSRCNGSSRSFHFPPHFIKFKRYFSVPPFSFSGAFLRTPTSTHSSIYTLYFKTHSIIQHLTILPPVSAFTDPFPVAMHNNHNDRFASIETTCGLLLLELQKLWDEVGDNDVQRDKVLFEIEEECLEVYRRKVDEAGKCRSELLHEIASLEAEIKDICSALSEQPVKDEQKAGESLREKLQIIVPQLEEMRKRKAEREEQFAEVLDELKNISIEIFGSATEINMCGKLVDSDNLSMRRLEQLRNQLCELQNEKSNRLKQVECHLDTLSSLCEVLGMDFKNTIHEIHPTLDDSKRAKDVTSYTIERLTTVIQSVRDVKIQRMQRLQGLGTVLLELWDLMGTPIEEQQMFQNVTSVIAASEPQITECNMLSMDFINQVEDEVSRLKQLKSTKLKEIILKKRLELEEICRNSHIVTELLTAATYSIEAEPSGVDPVNLLEEIEFEIAKVKEEAFSRKEILDKVEKWFGACEEECWLEEYNRDETRYNAGRGAHLTLKRAEKARAVVNKIPAMVETLTSKTKAWEKEQGVPFLYDGERLLSRLEQYNNLRKAKEQEKIRQRDQKKLQVQLIAEQEALFGAKFSPSKSGKKASRASVGFASNRKLSLGGAMLQNLKADKSCPHMHINKKGDGLKQNVYLGSQQNGGFATQTYGRRNSEIAGHLVKKQSSAKASSTELRLIRKPLSPIPLKMTSQANIANLSEDQKGMQDEIPQTAVPSIKTPVGTPTKSISDGGEENGTPKLHPILVPTTPTTISAPMLMALTPATPYVSSAAKTAKKALERIEYSFEELRAGFIHHINKEFVAGFISPKHKGEPISLVYLGVK >Manes.02G211300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:29709648:29710511:-1 gene:Manes.02G211300.v8.1 transcript:Manes.02G211300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSYGRLTSLANSLPPPPPPPFSVPSPHTSHVSSGLSSQRSIAFSLPSLQEDSEQEAAEEKESQLENRKGQQEGDHEKQVEKEEDEDDEEERHRRIVCCAWMFFGLFAAVFLFLLFFLIYVVILRSALPEISVLRLDFPRLNFSSREHEALLNANVKIRIQVLNKNQKVELEYGRLKVQVSSEDIHLGETMVSGFSQMPNKRRVLVIATKVRKSMVNAESAKILKENAKNKKIIIDIVLSGNIGFDAGVIKTHWVPALITCHEINQFDVDMARKPICTVKIFGFR >Manes.15G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6161651:6167193:-1 gene:Manes.15G080400.v8.1 transcript:Manes.15G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGPYTYEFSELLLSFHSWTIALMDLLCNAYSNSSDEEPEPEPGPQPSPVLRPQFRAEYRTVAVPPSKRPKPDSFQSEAPIPGRYLSKRERGLLAQAQAAPASARLDQNLNLPPPTSPVWGSIADSALPRNILLSLKRARGRAQQGQISERLFTALHSHTKPVNALSWSQSHAHLLASAGMDHTICIWNVWSQEQKIAQTFEFHNAAVKDVKWSRHGLSVLSCGYDCSSRLIDVEKGVETQIFKEDQVVGVIKFHPDNSNLFLSGGSKGRIKLWDIRTGKEVHEYIRDLGPILDVEFTINGKHFISSSDVSGGNVSENSIIVWDVSRQVPLSNQVYVEAYTCPCVRCHPFDPCFVAQSNGNYIAIFSTNPPFKLDKYKRYESHGVSGFPIKCNFSSDGEKLVSGSSDGSIYFYSYRSSDIIKKIKAYEQACIDVAFHPIMPNVIASCGWNGEVSVFE >Manes.03G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26926824:26929815:1 gene:Manes.03G141200.v8.1 transcript:Manes.03G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSAVVSLLILLSSTATLTNAHNITRLLAKHPAFSTFNHYLTLTHLAAEINQRTTITVCAIDNTAMSELLSKHPSIYTIKNILSFHVLLDYFGAKKLHQITNGSALAATMFQASGSAPGSSGFVNITDMKGGKVALGPEDNGGNFSVYFVKSLEEKPYNISVIQISNVLPSEVAEAPTPEPSQMNLTDIMSGHGCKVFADTLLANHEASKTYQDNLDGGLTVFCPLDDPFKAFLPKFKNLTAAGKTSLLLFFGVPVYQSLSMLKSNNGLMNTLATDGASKFDFTVQNDGEDVTLKTRSTTAKITGTLIDEQPVAVYTINKVLLPEELFKAEAPTPAPAPAPEKAADAPKSSKSKDLSSAPSDSPADAPADDSADQAADENASVRVYGGRLVAVVLSLWLGLLML >Manes.01G040712.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6881796:6890956:-1 gene:Manes.01G040712.v8.1 transcript:Manes.01G040712.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLVSRASGNIAATRRLRNSSLSSSLSLFNHTGVVSPSCLSEPNPKTNYSIPAFYPFTQSYYWRNFEFSRNDQNSNYSNLRFVEGISTLSSSKKNEDNKENGGFSKERDVSWIDLYLPRLVRPYARLARLDKPIGTWLLAWPCMWSISLAATPGHVPDFKMMTLFGCGALLLRGAGCTINDLLDRDIDTKVERTKLRPVASGLLSPFQGLCFLGFQLMLGLGILLQLNNYSQILGASSLLLVFSYPLMKRLTFWPQAYLGLTFNWGALLGWSAIKGSLDPAVVLPLYVGGVFWTLVYDTIYAHQDKEDDLKVGIKSTALRFGDSTKEWITGFGIACISSLALSGVSADIGWPFYAFLAAASGHLAWQIWTVDLSCRADCNRKFVSNKWFGALIFSGILFGRYL >Manes.05G143800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24521957:24524310:1 gene:Manes.05G143800.v8.1 transcript:Manes.05G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVLSLPILLCFLAINVAAFTPSGWTKGHATFYGGSDASGTMGGACGYGNLYATGYGTRTAALSTALFNDGASCGQCYKIICDYQADPRWCIKGRSVTITATNFCPPNFALPNNNGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFTVNGRDYFELVLISNVAGAGSIQAVDIKGSKTGWMSMSRNWGANWQSNAYLNGQSLSFRVTTTDGETRLFPDIVPANWAFGQSFSSPVQF >Manes.13G025100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3381598:3383244:1 gene:Manes.13G025100.v8.1 transcript:Manes.13G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSMIPQEVKDKTSKDNVPLVDVTQFGYFKVLGKGALPENKPIVVKAKLVSKIAEKKIKEAGGAVVLTA >Manes.11G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2655861:2662496:1 gene:Manes.11G027100.v8.1 transcript:Manes.11G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESPKLYTDKPKKAQLKQFQEQRKAKDFSSPTQTASIKATPTPSAAASAAAASYKIGSQSMAPPSPPQPPKEPFSKRYKFLWPLLLAVNLTVGAYLFMRTKKSDTDLQEEVATNVSSASVSSTSTKTTTVPEKPALPTVSEPVKLHEAIPESQRRELYKWILEEKRKVKTKDPQEKKRIDEEKAILKQFIRAKSIPSI >Manes.07G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5573982:5576269:-1 gene:Manes.07G047900.v8.1 transcript:Manes.07G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSHLHLPNFHFLLCIWMPIFLFIPITMKSFATSLKLTYTSFDSNHPDIYTEINTTVGTTGIELTTNLRDQREGGSIGRATYKQPLHLWDKTSGNLTNFTTHFSLIIDSEDKFQYGDGLTFFLVPNGSKASPETRSGGNLALAINDSVALDTQLNNFVAVEFDTFTNPWDPDDDHIGVDIRSMRSVVTAPWLSNIRQGNRTDAWITTGSLSQINRITSWEFSSSSEIVDAITISNSDTEPAAAGSGSNRGLMAGFIVGACILIVSLGFVLFRLWGKKKKEEKRDDLVFELSLDDNFKNGTGPRKFSYDELAVATNNFSENEKLGEGGFGAVYRGFLKDLNYYVAVKRVSRESKQGIKEYAAEVKIINRMRHRNLVKLIGWCHEKELLLAYELMPNGSLDSHLFNGRSLLTWDFRYKIAQGLASALLYLHEEGDQCVLHRDIKSSNIILDSNFDAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECFTSGKASKESDVYSFGVVALEIACGRRAVEPRFQENQTRIVEWVWELYGIGKLLESADPKLCRDFDEQEMERLLIVGLWCVHPDARFRPSIRQVINVLSTCEAQAQAPLPILPPEMPVPAYLSPPMKLSISFLMASCGSAANSDKNDSSKLTSSSGTSSPSVSLLLNTR >Manes.07G047900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5573982:5576269:-1 gene:Manes.07G047900.v8.1 transcript:Manes.07G047900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRMIQAKNFCITGSLSQINRITSWEFSSSSEIVDAITISNSDTEPAAAGSGSNRGLMAGFIVGACILIVSLGFVLFRLWGKKKKEEKRDDLVFELSLDDNFKNGTGPRKFSYDELAVATNNFSENEKLGEGGFGAVYRGFLKDLNYYVAVKRVSRESKQGIKEYAAEVKIINRMRHRNLVKLIGWCHEKELLLAYELMPNGSLDSHLFNGRSLLTWDFRYKIAQGLASALLYLHEEGDQCVLHRDIKSSNIILDSNFDAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECFTSGKASKESDVYSFGVVALEIACGRRAVEPRFQENQTRIVEWVWELYGIGKLLESADPKLCRDFDEQEMERLLIVGLWCVHPDARFRPSIRQVINVLSTCEAQAQAPLPILPPEMPVPAYLSPPMKLSISFLMASCGSAANSDKNDSSKLTSSSGTSSPSVSLLLNTR >Manes.15G174800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15965334:15968012:-1 gene:Manes.15G174800.v8.1 transcript:Manes.15G174800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPHHHSFIFHPHEDNDHLPSPSSLNSLPSSCPPQLFPGLSPAGGGGHFMMKRSMSFSGMEKCEEVHGDDDLSDDGSQLGEKKKRLNLEQVKALEKCFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYEVLKKQFDAIKADNDALQAQNKKLHSELMALRHREPNSTEFNPKKETDQGSWSNASENSCDINLDISRTPLETSPVSNSQLSTKHLFTTASIRPTSMTQLLQSSSSRQDLHCLKVDQMVQDENFCNMFNGMDEHQGFWQWPAEQHAAFSLNQTQFSNN >Manes.02G076100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5770550:5771671:-1 gene:Manes.02G076100.v8.1 transcript:Manes.02G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATIPTYDNSLLIKKSSFNGLRNLPKAIPCGNLKFLVPMKMKAGTLVVSVSSSSLAQPLEEAQKSINDISPKIQLPAFPFQEYMATKAKHVNKALDEAIPLQHPLKIHDAMRYSLLAGGKRVRPVLCIAACELVGGDEATAMPAACAMEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKMFGEETAILAGDALLSFSFEHVAKATKNVSPERVVRAIAELGSAVGAAGLVAGQIVDIESEGKQVSLKDLEYIHINKTSKLLEAAVVCGAILGGADDENIERVRKYARCIGLLFQVVDDILDVTKSSEELGKTAGKDLISDKATYPKLMGIDEAKKFAARLVDQANQELAHFDAAKAAPLYHFAHYIASRQN >Manes.17G121232.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32568259:32568558:-1 gene:Manes.17G121232.v8.1 transcript:Manes.17G121232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVVLNNVLECFCKSFGKKMNRSKIRIFFSNNVNHKLRKDISGTHGVQMTDDLGKYLDVALLHSRVTKRNLQYVLEKMKKKLSSRKLVNSRWQAKLS >Manes.02G103700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8121542:8126057:1 gene:Manes.02G103700.v8.1 transcript:Manes.02G103700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQVDDIKYKDGGQAEGAKFKRWGWCLLVLLIGAMVAAAAGLTVWKSLNHFKKSSAHHGIITQKYADALEVALQFFDVQKSGKLENNRIPWRGNSALQDGRQQGLDLSKGMYDAGDLIKFGFPMAFTATMLSWAILEYGDHMNTVKQLGHAQESLKWITDYLINAHPSPDVLYFQVGDPDIDHNCWQRPETMTEERPLLQVNASFPGTDVAAETAAAMASASLVFKKINSTYSNLLLTHAQQLFNFADTYRGSYSVSIPQVQKFYNSTGYGDELLWAAAWLYHATRDELYLRYVTEMNGEEFSDWGSPTWFSWDDKHVGSQVLLSRINMFGLEGMSTEENLELQMYRETAEAFMCGLLPDSPTATSSRTKNALIWVLRWNALQYSVASAFLAVLFSDYMVASHITTLYCSGKFFTPADLRNFAISQVCNHVGINTEITSLHNS >Manes.02G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8121542:8126057:1 gene:Manes.02G103700.v8.1 transcript:Manes.02G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQVDDIKYKDGGQAEGAKFKRWGWCLLVLLIGAMVAAAAGLTVWKSLNHFKKSSAHHGIITQKYADALEVALQFFDVQKSGKLENNRIPWRGNSALQDGRQQGLDLSKGMYDAGDLIKFGFPMAFTATMLSWAILEYGDHMNTVKQLGHAQESLKWITDYLINAHPSPDVLYFQVGDPDIDHNCWQRPETMTEERPLLQVNASFPGTDVAAETAAAMASASLVFKKINSTYSNLLLTHAQQLFNFADTYRGSYSVSIPQVQKFYNSTGYGDELLWAAAWLYHATRDELYLRYVTEMNGEEFSDWGSPTWFSWDDKHVGSQVLLSRINMFGLEGMSTEENLELQMYRETAEAFMCGLLPDSPTATSSRTKNALIWVLRWNALQYSVASAFLAVLFSDYMVASHITTLYCSGKFFTPADLRNFAISQADYVLGKNPMEMSFVVGYGGDYPQYVHHRGSSIPVDAKTGCEDGFQWLHSSNPNPNLAVGALVGGPFFNETYNDSRENIVQAEPTTYNSALIVGLLSGLVSTSSVGQSFT >Manes.01G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4947578:4954747:-1 gene:Manes.01G022100.v8.1 transcript:Manes.01G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCSREEKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKLETMQDSLKGGKWSNGAEMTEEEAAAEQQRMFAEARARMNGGAIATKQPESDRSLES >Manes.01G007100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2591323:2598594:-1 gene:Manes.01G007100.v8.1 transcript:Manes.01G007100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPDPSCVCQFPQLNSSSDERASHKLPLTELDLPNPHLDHHNPLPNFSIRDYVFTARNKDIKKSWPFSLKNLQLCLKHGVKDVLPPFQPLDSARNQSLRICTVETTPLEKQNTKDFVKEPSRPENYVVLDSSDDAQLNNKIAESCIDTSSCRSGEENDFPSTTTSVSQSEIESLPDNRQSSSLLQTKIRRKKSIAQAVGPSESNKTESTFRPLNRKCRLIVKFGGNSGRNSAEDIASNSTAVSETMASKVCPVCKTFSSTSNTTLNAHIDQCLSVESTPKLTANSKLTRYRIKPGKTKLMVDIYRTAPACTLEELDRRNGTSWATVPSFPTEETEKTETTNEGKKQTVSQIHPEDVGDVGPVYIDANGTKLRILSKLSDQPSVSKVGKDTGAREPFKEEKGIKHILKKKLAQKHHKFLKPAPQSKKIFSHKAYGSQISISGGREECKGEARSCEKEHVMSKQTKSGDSGTLRPWVCSKRRGFTKKLTSQGDRQPVRCTWHLPQDFLIDDSKSTSGDSLAERNHVQQFTNQSENPISSSEKSGKMEESFHKVEVSNKNEESLGRKRVGHLLGEGKTSDNMESFSPSMKANYNQLGKNGNSVHVGCKLRPANSSANYASLLSKKTVSTREDTTINPDVPCTGSAKSTRNDHVIVTKAMEFSSFRKNILSDNGHSSETISVPRKIKNWSATEKSQRCFLKKRNEGAVTWYSEVDQEGDLMHGDAENHVENEEIADEESHERSIVLHIRQGRGLSSISQGEEALALRSSKTATSCFHHNVQVNADSSSGIGDDLLQKADYVDNGRKQVHIYKDGIVVELSSKTSNDRSSRSLIKSVDSEFYKLGDSLKVQSNSLRSVEDYRGLWCRNEAPRGPTESDFVNDQEIFSADEVGNCMVGQDADVGLELDSVAGQGSSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNFSLTTSQVHSSPDQHDVIDGDLSDSPVSAASSISNSMTDRSVFNFSEPSFSVGPHIFQEKIKSMGTSVQPSVQSAGAQATPAEIERTTFDGEYLKLDKLYIEKGSPFKNDQPCCCQRKERFSLGVALNFQDSQLLKRRRMASISVPANGKHMDFNSNLRPANLDGRPEVAPPISCANSGPKKVILPVIKPLAGSVPFKDSPNSGVRVLGRADTDSASPSASNPILRLMGKNLMVVNKDEDMSEPLGGVQPFVQNNHQTSPFLAFFRASPGNIQNHDCHPLPHTSQASINCGQNSHKLGQCFDEGLSNGFRSKSDSRLPLRT >Manes.01G007100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2591249:2598594:-1 gene:Manes.01G007100.v8.1 transcript:Manes.01G007100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPDPSCVCQFPQLNSSSDERASHKLPLTELDLPNPHLDHHNPLPNFSIRDYVFTARNKDIKKSWPFSLKNLQLCLKHGVKDVLPPFQPLDSARNQSLRICTVETTPLEKQNTKDFVKEPSRPENYVVLDSSDDAQLNNKIAESCIDTSSCRSGEENDFPSTTTSVSQSEIESLPDNRQSSSLLQTKIRRKKSIAQAVGPSESNKTESTFRPLNRKCRLIVKFGGNSGRNSAEDIASNSTAVSETMASKVCPVCKTFSSTSNTTLNAHIDQCLSVESTPKLTANSKLTRYRIKPGKTKLMVDIYRTAPACTLEELDRRNGTSWATVPSFPTEETEKTETTNEGKKQTVSQIHPEDVGDVGPVYIDANGTKLRILSKLSDQPSVSKVGKDTGAREPFKEEKGIKHILKKKLAQKHHKFLKPAPQSKKIFSHKAYGSQISISGGREECKGEARSCEKEHVMSKQTKSGDSGTLRPWVCSKRRGFTKKLTSQGDRQPVRCTWHLPQDFLIDDSKSTSGDSLAERNHVQQFTNQSENPISSSEKSGKMEESFHKVEVSNKNEESLGRKRVGHLLGEGKTSDNMESFSPSMKANYNQLGKNGNSVHVGCKLRPANSSANYASLLSKKTVSTREDTTINPDVPCTGSAKSTRNDHVIVTKAMEFSSFRKNILSDNGHSSETISVPRKIKNWSATEKSQRCFLKKRNEGAVTWYSEVDQEGDLMHGDAENHVENEEIADEESHERSIVLHIRQGRGLSSISQGEEALALRSSKTATSCFHHNVQVNADSSSGIGDDLLQKADYVDNGRKQVHIYKDGIVVELSSKTSNDRSSRSLIKSVDSEFYKLGDSLKVQSNSLRSVEDYRGLWCRNEAPRGPTESDFVNDQEIFSADEVGNCMVGQDADVGLELDSVAGQGSSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNFSLTTSQVHSSPDQHDVIDGDLSDSPVSAASSISNSMTDRSVFNFSEPSFSVGPHIFQEKIKSMGTSVQPSVQSAGAQATPAEIERTTFDGEYLKLDKLYIEKGSPFKNDQPCCCQRKERFSLGVALNFQDSQLLKRRRMASISVPANGKHMDFNSNLRPANLDGRPEVAPPISCANSGPKKVILPVIKPLAGSVPFKDSPNSGVRVLGRADTDSASPSASNPILRLMGKNLMVVNKDEDMSEPLGGVQPFVQNNHQTSPFLAFFRASPGNIQNHDCHPLPHTSQASINCGQNSHKLGQCFDEGLSNGFRSKSDSRLPLRT >Manes.01G007100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2591323:2598594:-1 gene:Manes.01G007100.v8.1 transcript:Manes.01G007100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPDPSCVCQFPQLNSSSDERASHKLPLTELDLPNPHLDHHNPLPNFSIRDYVFTARNKDIKKSWPFSLKNLQLCLKHGVKDVLPPFQPLDSARNQSLRICTVETTPLEKQNTKDFVKEPSRPENYVVLDSSDDAQLNNKIAESCIDTSSCRSGEENDFPSTTTSVSQSEIESLPDNRQSSSLLQTKIRRKKSIAQAVGPSESNKTESTFRPLNRKCRLIVKFGGNSGRNSAEDIASNSTAVSETMASKVCPVCKTFSSTSNTTLNAHIDQCLSVESTPKLTANSKLTRYRIKPGKTKLMVDIYRTAPACTLEELDRRNGTSWATVPSFPTEETEKTETTNEGKKQTVSQIHPEDVGDVGPVYIDANGTKLRILSKLSDQPSVSKVGKDTGAREPFKEEKGIKHILKKKLAQKHHKFLKPAPQSKKIFSHKAYGSQISISGGREECKGEARSCEKEHVMSKQTKSGDSGTLRPWVCSKRRGFTKKLTSQGDRQPVRCTWHLPQDFLIDDSKSTSGDSLAERNHVQQFTNQSENPISSSEKSGKMEESFHKVEVSNKNEESLGRKRVGHLLGEGKTSDNMESFSPSMKANYNQLGKNGNSVHVGCKLRPANSSANYASLLSKKTVSTREDTTINPDVPCTGSAKSTRNDHVIVTKAMEFSSFRKNILSDNGHSSETISVPRKIKNWSATEKSQRCFLKKRNEGAVTWYSEVDQEGDLMHGDAENHVENEEIADEESHERSIVLHIRQGRGLSSISQGEEALALRSSKTATSCFHHNVQVNADSSSGIGDDLLQKADYVDNGRKQVHIYKDGIVVELSSKTSNDRSSRSLIKSVDSEFYKLGDSLKVQSNSLRSVEDYRGLWCRNEAPRGPTESDFVNDQEIFSADEVGNCMVGQDADVGLELDSVAGQGSSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNFSLTTSQVHSSPDQHDVIDGDLSDSPVSAASSISNSMTDRSVFNFSEPSFSVGPHIFQEKIKSMGTSVQPSVQSAGAQATPAEIERTTFDGEYLKLDKLYIEKGSPFKNDQPCCCQRKERFSLGVALNFQDSQLLKRRRMASISVPANGKHMDFNSNLRPANLDGRPEVAPPISCANSGPKKVILPVIKPLAGSVPFKDSPNSGVRVLGRADTDSASPSASNPILRLMGKNLMVVNKDEDMSEPLGGVQPFVQNNHQTSPFLAFFRASPGNIQNHDCHPLPHTSQASINCGQNSHKLGQCFDEGLSNGFRSKSDSRLPLRT >Manes.01G007100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2591264:2598594:-1 gene:Manes.01G007100.v8.1 transcript:Manes.01G007100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVCPVCKTFSSTSNTTLNAHIDQCLSVESTPKLTANSKLTRYRIKPGKTKLMVDIYRTAPACTLEELDRRNGTSWATVPSFPTEETEKTETTNEGKKQTVSQIHPEDVGDVGPVYIDANGTKLRILSKLSDQPSVSKVGKDTGAREPFKEEKGIKHILKKKLAQKHHKFLKPAPQSKKIFSHKAYGSQISISGGREECKGEARSCEKEHVMSKQTKSGDSGTLRPWVCSKRRGFTKKLTSQGDRQPVRCTWHLPQDFLIDDSKSTSGDSLAERNHVQQFTNQSENPISSSEKSGKMEESFHKVEVSNKNEESLGRKRVGHLLGEGKTSDNMESFSPSMKANYNQLGKNGNSVHVGCKLRPANSSANYASLLSKKTVSTREDTTINPDVPCTGSAKSTRNDHVIVTKAMEFSSFRKNILSDNGHSSETISVPRKIKNWSATEKSQRCFLKKRNEGAVTWYSEVDQEGDLMHGDAENHVENEEIADEESHERSIVLHIRQGRGLSSISQGEEALALRSSKTATSCFHHNVQVNADSSSGIGDDLLQKADYVDNGRKQVHIYKDGIVVELSSKTSNDRSSRSLIKSVDSEFYKLGDSLKVQSNSLRSVEDYRGLWCRNEAPRGPTESDFVNDQEIFSADEVGNCMVGQDADVGLELDSVAGQGSSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNFSLTTSQVHSSPDQHDVIDGDLSDSPVSAASSISNSMTDRSVFNFSEPSFSVGPHIFQEKIKSMGTSVQPSVQSAGAQATPAEIERTTFDGEYLKLDKLYIEKGSPFKNDQPCCCQRKERFSLGVALNFQDSQLLKRRRMASISVPANGKHMDFNSNLRPANLDGRPEVAPPISCANSGPKKVILPVIKPLAGSVPFKDSPNSGVRVLGRADTDSASPSASNPILRLMGKNLMVVNKDEDMSEPLGGVQPFVQNNHQTSPFLAFFRASPGNIQNHDCHPLPHTSQASINCGQNSHKLGQCFDEGLSNGFRSKSDSRLPLRT >Manes.01G007100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2590904:2598616:-1 gene:Manes.01G007100.v8.1 transcript:Manes.01G007100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPDPSCVCQFPQLNSSSDERASHKLPLTELDLPNPHLDHHNPLPNFSIRDYVFTARNKDIKKSWPFSLKNLQLCLKHGVKDVLPPFQPLDSARNQSLRICTVETTPLEKQNTKDFVKEPSRPENYVVLDSSDDAQLNNKIAESCIDTSSCRSGEENDFPSTTTSVSQSEIESLPDNRQSSSLLQTKIRRKKSIAQAVGPSESNKTESTFRPLNRKCRLIVKFGGNSGRNSAEDIASNSTAVSETMASKVCPVCKTFSSTSNTTLNAHIDQCLSVESTPKLTANSKLTRYRIKPGKTKLMVDIYRTAPACTLEELDRRNGTSWATVPSFPTEETEKTETTNEGKKQTVSQIHPEDVGDVGPVYIDANGTKLRILSKLSDQPSVSKVGKDTGAREPFKEEKGIKHILKKKLAQKHHKFLKPAPQSKKIFSHKAYGSQISISGGREECKGEARSCEKEHVMSKQTKSGDSGTLRPWVCSKRRGFTKKLTSQGDRQPVRCTWHLPQDFLIDDSKSTSGDSLAERNHVQQFTNQSENPISSSEKSGKMEESFHKVEVSNKNEESLGRKRVGHLLGEGKTSDNMESFSPSMKANYNQLGKNGNSVHVGCKLRPANSSANYASLLSKKTVSTREDTTINPDVPCTGSAKSTRNDHVIVTKAMEFSSFRKNILSDNGHSSETISVPRKIKNWSATEKSQRCFLKKRNEGAVTWYSEVDQEGDLMHGDAENHVENEEIADEESHERSIVLHIRQGRGLSSISQGEEALALRSSKTATSCFHHNVQVNADSSSGIGDDLLQKADYVDNGRKQVHIYKDGIVVELSSKTSNDRSSRSLIKSVDSEFYKLGDSLKVQSNSLRSVEDYRGLWCRNEAPRGPTESDFVNDQEIFSADEVGNCMVGQDADVGLELDSVAGQGSSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNFSLTTSQVHSSPDQHDVIDGDLSDSPVSAASSISNSMTDRSVFNFSEPSFSVGPHIFQEKIKSMGTSVQPSVQSAGAQATPAEIERTTFDGEYLKLDKLYIEKGSPFKNDQPCCCQRKERFSLGVALNFQDSQLLKRRRMASISVPANGKHMDFNSNLRPANLDGRPEVAPPISCANSGPKKVILPVIKPLAGSVPFKDSPNSGVRVLGRADTDSASPSASNPILRLMGKNLMVVNKDEDMSEPLGGVQPFVQNNHQTSPFLAFFRASPGNIQNHDCHPLPHTSQASINCGQNSHKLGQCFDEGLSNGFRSKSDSRLPLRT >Manes.04G111100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31587232:31590922:1 gene:Manes.04G111100.v8.1 transcript:Manes.04G111100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRGIEQVPDNELIEHQKVKDGEISELHGENGMPEERKEFVPPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRLVDSKRWRVLEVTLEHNHLLGAKIYKSIKKMGTGNKRKSQPSSDAEVRTIKLYRALVIDAGGTGNSSPNAREIRNELPNQLNLKKGDAQAIYNYLCRMQLTNPNFFYLMDLNDEGHLRNVFWIDARSRAACGYFNDVIYFDNTYLSSKYEIPLVAFVGINHHGHSVLLGCGLLAGETTESYIWLFKTWLTCLSGCAPQTIITDRCKVLQGAIAEAFPRSHHCFGLSHIMRRVPEKLGGLLNYDAIRKALIKAVYETLKVIEFEAAWGFMVQRFGVVDHEWLRSLYEDRVRWAPVYLKDTFFAGMSAALPGEALNPFFDRYVHKQTPLKEFLDKYELALQKKHKEETNADIESRSSGPMLKTRCSFELQLSKLYTREIFKKFQFEVEEMYSCFSTTQIHVDGPIIIFLVKERVMCEGNRREIRDYEVLYNRSAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLYIPDHGSNRVDATDRVQWFNQLHKSALQVVEEGVISLDHYGVALQAFEESLNRVHEVEEKQN >Manes.04G111100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31587232:31590922:1 gene:Manes.04G111100.v8.1 transcript:Manes.04G111100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRGIEQVPDNELIEHQKVKDGEISELHGENGMPEERKEFVPPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRLVDSKRWRVLEVTLEHNHLLGAKIYKSIKKMGTGNKRKSQPSSDAEVRTIKLYRALVIDAGGTGNSSPNAREIRNELPNQLNLKKGDAQAIYNYLCRMQLTNPNFFYLMDLNDEGHLRNVFWIDARSRAACGYFNDVIYFDNTYLSSKYEIPLVAFVGINHHGHSVLLGCGLLAGETTESYIWLFKTWLTCLSGCAPQTIITDRCKVLQGAIAEAFPRSHHCFGLSHIMRRVPEKLGGLLNYDAIRKALIKAVYETLKVIEFEAAWGFMVQRFGVVDHEWLRSLYEDRVRWAPVYLKDTFFAGMSAALPGEALNPFFDRYVHKQTPLKEFLDKYELALQKKHKEETNADIESRSSGPMLKTRCSFELQLSKLYTREIFKKFQFEVEEMYSCFSTTQIHVDGPIIIFLVKERVMCEGNRREIRDYEVLYNRSAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLYIPDHGSNRVDATDRVQWFNQLHKSALQVVEEGVISLDHYGVALQAFEESLNRVHEVEEKQN >Manes.04G111100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31588186:31590922:1 gene:Manes.04G111100.v8.1 transcript:Manes.04G111100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRGIEQVPDNELIEHQKVKDGEISELHGENGMPEERKEFVPPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRLVDSKRWRVLEVTLEHNHLLGAKIYKSIKKMGTGNKRKSQPSSDAEVRTIKLYRALVIDAGGTGNSSPNAREIRNELPNQLNLKKGDAQAIYNYLCRMQLTNPNFFYLMDLNDEGHLRNVFWIDARSRAACGYFNDVIYFDNTYLSSKYEIPLVAFVGINHHGHSVLLGCGLLAGETTESYIWLFKTWLTCLSGCAPQTIITDRCKVLQGAIAEAFPRSHHCFGLSHIMRRVPEKLGGLLNYDAIRKALIKAVYETLKVIEFEAAWGFMVQRFGVVDHEWLRSLYEDRVRWAPVYLKDTFFAGMSAALPGEALNPFFDRYVHKQTPLKEFLDKYELALQKKHKEETNADIESRSSGPMLKTRCSFELQLSKLYTREIFKKFQFEVEEMYSCFSTTQIHVDGPIIIFLVKERVMCEGNRREIRDYEVLYNRSAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLYIPDHGSNRVDATDRVQWFNQLHKSALQVVEEGVISLDHYGVALQAFEESLNRVHEVEEKQN >Manes.04G111100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31587232:31590922:1 gene:Manes.04G111100.v8.1 transcript:Manes.04G111100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRGIEQVPDNELIEHQKVKDGEISELHGENGMPEERKEFVPPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRLVDSKRWRVLEVTLEHNHLLGAKIYKSIKKMGTGNKRKSQPSSDAEVRTIKLYRALVIDAGGTGNSSPNAREIRNELPNQLNLKKGDAQAIYNYLCRMQLTNPNFFYLMDLNDEGHLRNVFWIDARSRAACGYFNDVIYFDNTYLSSKYEIPLVAFVGINHHGHSVLLGCGLLAGETTESYIWLFKTWLTCLSGCAPQTIITDRCKVLQGAIAEAFPRSHHCFGLSHIMRRVPEKLGGLLNYDAIRKALIKAVYETLKVIEFEAAWGFMVQRFGVVDHEWLRSLYEDRVRWAPVYLKDTFFAGMSAALPGEALNPFFDRYVHKQTPLKEFLDKYELALQKKHKEETNADIESRSSGPMLKTRCSFELQLSKLYTREIFKKFQFEVEEMYSCFSTTQIHVDGPIIIFLVKERVMCEGNRREIRDYEVLYNRSAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLYIPDHGSNRVDATDRVQWFNQLHKSALQVVEEGVISLDHYGVALQAFEESLNRVHEVEEKQN >Manes.04G111100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31587230:31590922:1 gene:Manes.04G111100.v8.1 transcript:Manes.04G111100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPRGIEQVPDNELIEHQKVKDGEISELHGENGMPEERKEFVPPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRLVDSKRWRVLEVTLEHNHLLGAKIYKSIKKMGTGNKRKSQPSSDAEVRTIKLYRALVIDAGGTGNSSPNAREIRNELPNQLNLKKGDAQAIYNYLCRMQLTNPNFFYLMDLNDEGHLRNVFWIDARSRAACGYFNDVIYFDNTYLSSKYEIPLVAFVGINHHGHSVLLGCGLLAGETTESYIWLFKTWLTCLSGCAPQTIITDRCKVLQGAIAEAFPRSHHCFGLSHIMRRVPEKLGGLLNYDAIRKALIKAVYETLKVIEFEAAWGFMVQRFGVVDHEWLRSLYEDRVRWAPVYLKDTFFAGMSAALPGEALNPFFDRYVHKQTPLKEFLDKYELALQKKHKEETNADIESRSSGPMLKTRCSFELQLSKLYTREIFKKFQFEVEEMYSCFSTTQIHVDGPIIIFLVKERVMCEGNRREIRDYEVLYNRSAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLYIPDHGSNRVDATDRVQWFNQLHKSALQVVEEGVISLDHYGVALQAFEESLNRVHEVEEKQN >Manes.12G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:550746:551532:1 gene:Manes.12G003800.v8.1 transcript:Manes.12G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWNFLILLLISVSGSDAAVFTLSNRCRSTIWPGILSGAGKPQLMEGGFKLKPGQSVKVSAPQGWSGRFWARTGCSFDSSGRGKCITGDCGGILRCAGAGGEPPASLVEFTLNSPIDYYDVSLVDGFNLPVSVVPSGGGAGCKAAECVSVLNKKCPGSLQLKWNGQVVACKSGCLAFEKPEYCCTGAYSSPQTCKPTNYSKVFKTACPKAYSYAYDDASSTFTCKGANYWIRFC >Manes.11G069700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9891431:9905870:1 gene:Manes.11G069700.v8.1 transcript:Manes.11G069700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLINKSPWSSLDENKPFLTTADSAIKLLPEATKPVTGWNGLEYKAAFPMLKPPGANFYPPDMDKMEFELWKGSLTESKKHDATSFFTVIKRQSEFDFDSSLPNHTVDGRDYSTGVHDLFSIPYCKEYNSLITRAANLLQKAGDLAGSPSLKRLLHGKAAAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDALFGYKATFEAFIGVRDDEATAQLKLFGNNLQVLEQHLPMDDIYKSTNVNSAPIRVIKLIYNAGDVKGPQTIAFNLPNDERIVKERGTSMVMLKNVSEAKFKHILQPIADVCIAKEQKELVDFESFFTHTICHECCHGIGPHTITLPNGQKSTVREELQELHSALEEAKADIVGLWALNFLINQDLLPKSLEKSMYVSFLTGCFRSVRFGLEEAHGKGQAVQFNWLFEKEAFVLHPDETFSVDFAKVEGAVESLSREILTIQAKGDKGAASLLLQKYCKMTQPLKLALLKLESIQVPVDIAPTFTFADETLG >Manes.11G069700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9879461:9905870:1 gene:Manes.11G069700.v8.1 transcript:Manes.11G069700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLINKSPWSSLDENKPFLTTADSAIKLLPEATKPVTGWNGLEYKAAFPMLKPPGANFYPPDMDKMEFELWKGSLTESKKHDATSFFTVIKRQSEFDFDSSLPNHTVDGRDYSTGVHDLFSIPYCKEYNSLITRAANLLQKAGDLAGSPSLKRLLHGKAAAFLSNDYYDSDIAWMELVWYSNPALRDWLKEHADASELDNLKWMYYLINKSPWSSLDENKPFLTTADSAIKLLPEATKPVTGWNGLEYKAAFPMLKPPGANFYPPDMDKMEFELWKGSLTESKKHDATSFFTVIKRQSEFDFDSSLPNHTVDGRDYSTGVHDLFSIPYCKEYNSLITRAANLLQKAGDLAGSPSLKRLLHGKAAAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDALFGYKATFEAFIGVRDDEATAQLKLFGNNLQVLEQHLPMDDIYKSTNVNSAPIRVIKLIYNAGDVKGPQTIAFNLPNDERIVKERGTSMVMLKNVSEAKFKHILQPIADVCIAKEQKELVDFESFFTHTICHECCHGIGPHTITLPNGQKSTVREELQELHSALEEAKADIVGLWALNFLINQDLLPKSLEKSMYVSFLTGCFRSVRFGLEEAHGKGQAVQFNWLFEKEAFVLHPDETFSVDFAKVEGAVESLSREILTIQAKGDKGAASLLLQKYCKMTQPLKLALLKLESIQVPVDIAPTFTFADETLG >Manes.16G110300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31590455:31590825:1 gene:Manes.16G110300.v8.1 transcript:Manes.16G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRRLLWPVKGISGQPGCVRYCSGFKTPVANINGEAMNWTKQKLLSISRTEMVFGYSIIDGNIEWKYEVEPVNGWTLEHLDSFISSGLQVKAKKMAEALQD >Manes.01G056204.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19537917:19540339:-1 gene:Manes.01G056204.v8.1 transcript:Manes.01G056204.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKTQAFLLAGKKRTGQDDLDGDDCILAKKSSLLSPALAYGDDPIAALAASRHEFGEHGGVNMSIEASATFTVMEPDTMRRMFSGELGPDRDFFIYSRHFNPTVLNLGRQMAALEGTEAAYCTASGMSAISSVLLQLCSSGGHVVASATVYGGTHALLSHFLPRACNITTTFVNITDLDMVKNAIIKGKTKVLYFESMSNPTLTVSNIPELSRIAHDKGVMVVVDNTFAPVVLSPTRLGADVVVHSITKFISGGADIIAGAICGPASLVNSMMDLHQGTLMLLGPTMNAKVAFELSGRIPHLGLRMKEHCHRAMEFATRMKKLGLKVIYPGLEDHPQHELLKSMANKDYGFGALLCVDMETEERANRLMNHLQNFTQFGLMAVSLGYYETLMSVSGSSTSSEMSAEEKKLAGISPGLIRMSVGYIGTLEQKWSQFEKALSKMQDSGFAYKNLASLGTK >Manes.05G183601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30203624:30205403:1 gene:Manes.05G183601.v8.1 transcript:Manes.05G183601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRREIPRSECYSHGMTDSFTPIEYEHRLLISLYLGVGCLQLPGSWTVIDLLVHSTCRLLDRLLANTSYWTFTGSGLQIS >Manes.14G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3346633:3349557:1 gene:Manes.14G038500.v8.1 transcript:Manes.14G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTEAYKQTGPCCFSPNARYVAVAVDYRLVIRDTLSFKVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLSQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLVNTACVHVQWPKHASKGISFTKDGKFAAICTRRDCKDYINLLSCYTWEIMGTFAVDTLDLADIEWSPDDSAIVIWDSPLEYKVLIYSPDGRFLFKYQAYESGLGVKTVSWSPSGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSNVRAPCCPAVFKEVDEPLHLDMSELCLSDEFLQGNSDVAEGHRVRYEVTEVPITLPFQKPLADKPNPKQGIGLMSWSSDSQYICTRNDSMPTALWIWDIHHLELAAILVQKDPIRAAAWDPTCTRLVLCTGSCHLYMWTPSGAYCVSNPLPQFSITDLKWNSDGSCLLLKDKESFCCAAVPLLPESSEYSSDD >Manes.14G038500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3344782:3349469:1 gene:Manes.14G038500.v8.1 transcript:Manes.14G038500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTEAYKQTGPCCFSPNARYVAVAVDYRLVIRDTLSFKVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLSQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLVNTACVHVQWPKHASKGISFTKDGKFAAICTRRDCKDYINLLSCYTWEIMGTFAVDTLDLADIEWSPDDSAIVIWDSPLEYKVLIYSPDGRFLFKYQAYESGLGVKTVSWSPSGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSNVRAPCCPAVFKEVDEPLHLDMSELCLSDEFLQGNSDVAEGHRVRYEVTEVPITLPFQKPLADKPNPKQGIGLMSWSSDSQYICTRNDSMPTALWIWDIHHLELAAILVQKDPIRAAAWDPTCTRLVLCTGSCHLYMWTPSGAYCVSNPLPQFSITDLKWNSDGSCLLLKDKESFCCAAVPLLPESSEYSSDD >Manes.12G089902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15537022:15548173:-1 gene:Manes.12G089902.v8.1 transcript:Manes.12G089902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGFIVKLNLGFRTAIIARNPASFLSNNSTRLSVRRSFGALSMAASSPKPVFGDVYIDDMITTCGNGLEFSKPSGVFFTDKSRATCLKANMRMRKGELPNSRLVCGYSSFDAIRSGEMNNFVFGPLLKNFHTMPSLQFSAGAARDVSFEGNSREEQLANSTVVSGLNLKLLSGSCYLPHPDKEATGGEDAHFICADQQAIGVADGVGGWADVGINAGEFARELMSNSVSAIQEEPMGSVDPAKVLEKAHSSIKAKGSSTACIISLTNEGIRAINLGDSGFMVVRDGCTVFQSPVQQHGFNFTYQLESGSGADLPSSGQVFTFPVSPGDVIIAGTDGLFDNLYNNEVTAVVVHAVRAGLGPQVTAQKIAALARQRALDRNRQTPFSAAAQDAGFRYYGGKLDDITVVVSYITISNV >Manes.12G089902.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15537022:15547933:-1 gene:Manes.12G089902.v8.1 transcript:Manes.12G089902.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGFIVKLNLGFRTAIIARNPASFLSNNSTRLSVRRSFGALSMAASSPKPVFGDVYIDDMITTCGNGLEFSKPSGVFFTDKSRATCLKANMRMRKGELPNSRLVCGYSSFDAIRSGEMNNFVFGPLLKNFHTMPSLQFSAGAARDVSFEGNSREEQLANSTVVSGLNLKLLSGSCYLPHPDKEATGGEDAHFICADQQAIGVADGVGGWADVGINAGEFARELMSNSVSAIQEEPMGSVDPAKVLEKAHSSIKAKGSSTACIISLTNEGIRAINLGDSGFMVVRDGCTVFQSPVQQHGFNFTYQLESGSGADLPSSGQVFTFPVSPGDVIIAGTDGLFDNLYNNEVTAVVVHAVRAGLGPQVTAQKIAALARQRALDRNRQTPFSAAAQDAGFRYYGGKLDDITVVVSYITISNV >Manes.07G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32364432:32365889:-1 gene:Manes.07G118500.v8.1 transcript:Manes.07G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSYLLLHFFFFFFFLFISLFSADGTELIIVNNCKETIWPGILGSAGHETPREGGFELSSGEQTVLQVPEQWSGRIWPRQGCCFDENTGKGSCQTGDCGGFLQCGGIGGVPPASIVEMTLGTSNSDLHYYDVSLVDGFNIPVSMVPIGGGEGCGVAACEADLNVCCPEALVVKRQGKVVGCKSACLATKTDRYCCTGEFADPNSCKPTIFAHLFKAICPRAYSFAYDDASALKTCKAPRYVVTFCPPDN >Manes.14G119200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11457097:11467986:1 gene:Manes.14G119200.v8.1 transcript:Manes.14G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVVEEVQFNNAESASVNGANGIVNGGVVGEESLRNDVYTAAAYGDLEKLQRLVESEGCSVSEPDSLGYFALQWAALNNRTAAAQYIIEHGGDVNAVDHTGQTALHWSAVRGAIQVAELLLQEGAQVAAADMYGYQTTHVAAQYGQTAFLYHIVSKWNADADVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLDKRCDGNSRLGRISKLGLAPVLWSIILLLLVTYVNSVILDPTLPKLTAGFGLLAWMSVFLATAGLVMFYRCSRKDPGFIRMNAHDPQSMKDDEPLLKIEINNPALLSGNWSQLCPTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKRDFFMFLVLEVLAMLITGAIALARVLTDPAAPSTFGAWINHAGTHHIGAISFLLVDFFLFFGVAVLTVVQASQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGIRKNCSDFLILGYNEDVEHIEDSEHSEGIGMTHMTRNLNSQNGDAHSHHINGNDHVAINVNSDMKGHQGGHHHSSHCSHNNHIHSNGHHSHSHSQSHSLHNHSHHNHSYGKSKTDSIPLGLGLGLGRSTGRAVAAS >Manes.14G119200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11457097:11467986:1 gene:Manes.14G119200.v8.1 transcript:Manes.14G119200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYQTTHVAAQYGQTAFLYHIVSKWNADADVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLDKRCDGNSRLGRISKLGLAPVLWSIILLLLVTYVNSVILDPTLPKLTAGFGLLAWMSVFLATAGLVMFYRCSRKDPGFIRMNAHDPQSMKDDEPLLKIEINNPALLSGNWSQLCPTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKRDFFMFLVLEVLAMLITGAIALARVLTDPAAPSTFGAWINHAGTHHIGAISFLLVDFFLFFGVAVLTVVQASQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGIRKNCSDFLILGYNEDVEHIEDSEHSEGIGMTHMTRNLNSQNGDAHSHHINGNDHVAINVNSDMKGHQGGHHHSSHCSHNNHIHSNGHHSHSHSQSHSLHNHSHHNHSYGKSKTDSIPLGLGLGLGRSTGRAVAAS >Manes.01G197700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37148423:37149527:1 gene:Manes.01G197700.v8.1 transcript:Manes.01G197700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLSSGLTSPQRFSLNLLLHYIKMACSAVRSSGGVQVKLKSNPDEFWDAILNSTKLFPTAIPSLYATIFPTNADGTVRNITYGHDSPVIKESEEQINGNQKPKFSYTVLSGDILKYYTSFRAEISIVSDEDGTWAKWTWETDFPNANNPLEIGVVLEELAMKTLAKLDDYLLRA >Manes.17G005400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2260750:2272586:1 gene:Manes.17G005400.v8.1 transcript:Manes.17G005400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQAARLREQVAKQQQAVLKHLGHFGNEAFIVDEAEFQCYQQLQKLYNSTRSAKHFQKNIVRSIEGFVSASSKQMEIARKLAEDCCKYGVDNQCTNSYVARAVLQFGTSHNLMENERETFLGILGDQVCEPLRSLVTGAPLEDARHLTHRYEKLRQEVDAQATDVLRRQSKTRESDISAETCTRLRDAEARLTELKSTVMALGKEATAAMLSVENQQQQITVQRLFAMVDAERCYHQHVLDILDKLHAEMILEEQLNDSSSQSVTIQQDVNMPPVHQDAISNGSGDQMHINQQGALFIGKVIHAFDAQAEGELSLSLDDYVLVRQVAPTGWSEGECRGKAGWFPSAYIEKQEKAPANKIIEEN >Manes.17G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2260554:2272586:1 gene:Manes.17G005400.v8.1 transcript:Manes.17G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQAARLREQVAKQQQAVLKHLGHFGNEAFIVDEAEFQCYQQLQKLYNSTRSAKHFQKNIVRSIEGFVSASSKQMEIARKLAEDCCKYGVDNQCTNSYVARAVLQFGTSHNLMENERETFLGILGDQVCEPLRSLVTGAPLEDARHLTHRYEKLRQEVDAQATDVLRRQSKTRESDISAETCTRLRDAEARLTELKSTVMALGKEATAAMLSVENQQQQITVQRLFAMVDAERCYHQHVLDILDKLHAEMILEEQLNDSSSQSVTIQQDVNMPPVHQDAISNGSGDQMHINQQGALFIGKVIHAFDAQAEGELSLSLDDYVLVRQVAPTGWSEGECRGKAGWFPSAYIEKQEKAPANKIIEEN >Manes.17G005400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2260614:2272586:1 gene:Manes.17G005400.v8.1 transcript:Manes.17G005400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQAARLREQVAKQQQAVLKHLGHFGNEAFIVDEAEFQCYQQLQKLYNSTRSAKHFQKNIVRSIEGFVSASSKQMEIARKLAEDCCKYGVDNQCTNSYVARAVLQFGTSHNLMENERETFLGILGDQVCEPLRSLVTGAPLEDARHLTHRYEKLRQEVDAQATDVLRRQSKTRESDISAETCTRLRDAEARLTELKSTVMALGKEATAAMLSVENQQQQITVQRLFAMVDAERCYHQHVLDILDKLHAEMILEEQLNDSSSQSVTIQQDVNMPPVHQDAISNGSGDQMHINQQGALFIGKVIHAFDAQAEGELSLSLDDYVLVRQVAPTGWSEGECRGKAGWFPSAYIEKQEKAPANKIIEEN >Manes.17G005400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2260554:2272586:1 gene:Manes.17G005400.v8.1 transcript:Manes.17G005400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQAARLREQVAKQQQAVLKHLGHFGNEAFIVDEAEFQCYQQLQKLYNSTRSAKHFQKNIVRSIEGFVSASSKQMEIARKLAEDCCKYGVDNQCTNSYVARAVLQFGTSHNLMENERETFLGILGDQVCEPLRSLVTGAPLEDARHLTHRYEKLRQEVDAQATDVLRRQSKTRESDISAETCTRLRDAEARLTELKSTVMALGKEATAAMLSVENQQQQITVQRLFAMVDAERCYHQHVLDILDKLHAEMILEEQLNDSSSQSVTIQQDVNMPPVHQDAISNGSGDQMHINQQGALFIGKR >Manes.17G005400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2260613:2272586:1 gene:Manes.17G005400.v8.1 transcript:Manes.17G005400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQAARLREQVAKQQQAVLKHLGHFGNEAFIVDEAEFQCYQQLQKLYNSTRSAKHFQKNIVRSIEGFVSASSKQMEIARKLAEDCCKYGVDNQCTNSYVARAVLQFGTSHNLMENERETFLGILGDQVCEPLRSLVTGAPLEDARHLTHRYEKLRQEVDAQATDVLRRQSKTRESDISAETCTRLRDAEARLTELKSTVMALGKEATAAMLSVENQQQQITVQRLFAMVDAERCYHQHVLDILDKLHAEMILEEQLNDSSSQSVTIQQDVNMPPVHQDAISNGSGDQMHINQQGALFIGKR >Manes.05G049800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4134561:4136018:-1 gene:Manes.05G049800.v8.1 transcript:Manes.05G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWYKSFSRHPFSTTINNTIMATSSLAISPRKLRSDLYSYSYQNDSNTPLVISVLASLIERTMARNERIAKNCSWALSKDIRTRVFDCYETPDMTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQANPGFRINARNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNELNNLEFEFLFLMGFKLHVNLSVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKAGQNEEKRYINQITRIML >Manes.11G113200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:26457108:26458629:-1 gene:Manes.11G113200.v8.1 transcript:Manes.11G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVFVPKPSANHIVVSVENKPSRSHSFGQVCLPKKRSEKIYSSSSSSSLSSSSSTHVASANTIPLAAISTAKFAKSVSTNTTSSTLAQLWREIQGCNNWENLVKPLHPLLRQETIRYGEFVAACYKAFDLDPNSKRYLCCKYGKDNMFNQIGMDNSGYQVTKYIYATPDVNIPIQHGASCGRWIGYVAVSSDDTVNRLGRRDIVITFRGTVTKHEWFANFMSSLTPARLDPHNPRPNVKVESGFLSLYTSDESDNKFGLESCREQLLSEVSRLLNKYKGEEISISMAGHSMGSSLALLLAYDISELGLNRLNNPTMDIRVTVFSFGGPRVGNTGFKERCEELGVKVLRIVNVNDPITKLPGVFLNENFRVLGGRYEFPWSCSLYSHVGVELVLDFFNMQNPSRVHDLEAYISSLLAKCSKRSSSEEDDDHHHEVYFLNKAKELLLSAKKLNLLPMKIAVSNIINSVQSQNAEFLINEQILGWMNTLTLYMLF >Manes.07G036700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4070894:4073105:1 gene:Manes.07G036700.v8.1 transcript:Manes.07G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKTMEIERMKELMLIWYRIRSSRVALVGGNHTASRSEKIPVKKFRWKRQKAMKFASGYKMKHSPYGIGLDSDTLLVIKLPDSQFLRIVSRSVFLAVVILTLPCFGSILKELSSTSSYDLSVDDFDSDLIDVEFLDSLLLNLVNEGLIKKGDKALFASSGIGAVIDNSHFLNANEIDFVVGSDLGQRGFFHDPSFDFVLALGIKDIESLDSILKVGGVLVSQLSDLPNAFLKKSNYKIFYLRQYSSTIVAMKKTSLGNELVDSSAGRSQLFDLALEAKKVALNGLEDVLLEPPRKVLATSRKLLKRFNYLPDLLGDSLEDYPRRVFVDVSLQEEEDGVMAWFNENYPTRKQKFEMYNIQMLPEAVSKTVTPSVDVSNWLMKNVREDEFVVMKAEAEVVEEMIMKRTIGLVDELFLQCENQWQNGEGKKSKRAYWECLALYGRLRDKGIAVHQWWD >Manes.10G143950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31192240:31194659:-1 gene:Manes.10G143950.v8.1 transcript:Manes.10G143950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFPCVSELLFFHKIMKCLVLPLLYDSEKLGVDIELNSKIVVSDGCCLFSWGILLSFCLDGSCIGLLIWQATLWLRLLYLYYILGFFT >Manes.03G182800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30430752:30437815:-1 gene:Manes.03G182800.v8.1 transcript:Manes.03G182800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTVQPSRSRDLDKLLLRPGNLVAPTFEPGAQLRDDLQEYVRILVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVSNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKEIEFYNDFSIIVLGLDSIEARSYINAVACSFLEYDSDDTPREETIKPMVDGGTEGFKGHARVIMPGVTPCFECTIWLFPPQVKFPLCTLAETPRTPAHCIEYAHLIKWDEVHSGKTFDPDDPEHMKWVYNEAVRRAELFGIQGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKLTSGCSKTLSNYLTYNGVEGLHIKVTEFVKDKDCLVCGPGVLIELDTSVTLQKFVDMLEEHHKLLLSKASIRHRGKNLYMQAPPVLEEMTRSNLSLPLFELMGKVPKDIVHATGTAGQNGSKTSCLRKLRVVFRGTDGITDMDMAGGA >Manes.03G040200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3535081:3538697:-1 gene:Manes.03G040200.v8.1 transcript:Manes.03G040200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISIHRPDFTTLSNDRFGNHSKFHRMASSLTMAMDSRSSQTRKKEELSIQVPASSIPQFETSRLPDLRFDRLQTPEKDLIHEDKFEFGQFVAREAIIDEEFWTAAWLRAESHWEDRINDRYVDNHKRKFAEQEFHAIKRQRAGLHGQKCRCIVTVRREDKNVKRTVLKSVVGTLDLSIRFLLQGETFPGERVKVPIFRSFHRRGPNTYGYVANLCVAKLARRQGIASNMLHFAVESAKSSGVEQVYVHVHRNNIPAQDLYEKIGFEIVEAASLQLQEEKTYLLCCRV >Manes.03G040200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3535081:3538697:-1 gene:Manes.03G040200.v8.1 transcript:Manes.03G040200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISIHRPDFTTLSNDRFGNHSKFHRMASSLTMAMDSRSSQTRKKEELSIQVPASSIPQFETSRLPDLRFDRLQTPEKDLIHEDKFEFGQFVAREAIIDEEFWTAAWLRAESHWEDRINDRYVDNHKRKFAEQEFHAIKRQRAGLHGQKCRCIVTERVKVPIFRSFHRRGPNTYGYVANLCVAKLARRQGIASNMLHFAVESAKSSGVEQVYVHVHRNNIPAQDLYEKIGFEIVEAASLQLQEEKTYLLCCRV >Manes.02G156200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12222887:12223524:1 gene:Manes.02G156200.v8.1 transcript:Manes.02G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDEQKGNQANDQPRSHPITEAQFLNWKRRKDADASARNAEAARKRAEDIASGTVQMNGRELFLHEPWVFDNSQY >Manes.02G202000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18752560:18758854:-1 gene:Manes.02G202000.v8.1 transcript:Manes.02G202000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGIQIASASASFSSPSSTRQWKYDVFLSFRGEDTRTSFTDHLYAALNQKGIVAFRDDRSLQRGKEIAPELLKAIEDSRLSIVVLSRNYASSTWCLDELVKILDCMNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPTIGIRVLIDKSLLTIIGKNTLWMHDLLQEMGQKIVWKESPDEPGKRSRLSFDKDVYHVLTENSGTEAVEMMSANFLGRKNINLSAKALSNMRKLRLLKIENVQLSQGLEFLSNEIRLLEWHGYPLKSLPSCFKPEKLVELRMPCSCIKQLWSGIITLDGLKYINLRNSQALRRTPDFTRAPHLEKLVLEGCTSLIEVHPSIFLLKWLMILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPVVVVPSDIGCLSSLKVLDLSFNKFASLPASISQLSSLTVLRLVDCKWLQSLPELPANVKRVFAGGCIALENIPNPLKPCTPRDLQLNLFNCHRLVDHHNLSRWACKLLKTHLKGLSSEASFRFEVCIPGSEIPEWFKNQNMGSSVSLDLPPHRNNDNLMGIAICANFILRPSASSNYGYKKKTRLGYFILGCGIKNDQGKDALIDPSLYSATLEENSAQIGLDHLWLVGARSSKTASLDGHDPNGRSLSFWALTAFSNLEVEYRKCGFRYAYSQDLEE >Manes.02G202000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18752560:18758854:-1 gene:Manes.02G202000.v8.1 transcript:Manes.02G202000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGIQIASASASFSSPSSTRQWKYDVFLSFRGEDTRTSFTDHLYAALNQKGIVAFRDDRSLQRGKEIAPELLKAIEDSRLSIVVLSRNYASSTWCLDELVKILDCMNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPSEKLIGIDDHLEKMNSCLAMRFDDVRIVGIHAIGIRVLIDKSLLTIIGKNTLWMHDLLQEMGQKIVWKESPDEPGKRSRLSFDKDVYHVLTENSGTEAVEMMSANFLGRKNINLSAKALSNMRKLRLLKIENVQLSQGLEFLSNEIRLLEWHGYPLKSLPSCFKPEKLVELRMPCSCIKQLWSGIITLDGLKYINLRNSQALRRTPDFTRAPHLEKLVLEGCTSLIEVHPSIFLLKWLMILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPVVVVPSDIGCLSSLKVLDLSFNKFASLPASISQLSSLTVLRLVDCKWLQSLPELPANVKRVFAGGCIALENIPNPLKPCTPRDLQLNLFNCHRLVDHHNLSRWACKLLKTHLKGLSSEASFRFEVCIPGSEIPEWFKNQNMGSSVSLDLPPHRNNDNLMGIAICANFILRPSASSNYGYKKKTRLGYFILGCGIKNDQGKDALIDPSLYSATLEENSAQIGLDHLWLVGARSSKTASLDGHDPNGRSLSFWALTAFSNLEVEYRKCGFRYAYSQDLEE >Manes.02G202000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18752560:18758854:-1 gene:Manes.02G202000.v8.1 transcript:Manes.02G202000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPSEKLIGIDDHLEKMNSCLAMRFDDVRIVGIHGMGGIGKTTLAREIFDRISNQFESSSFVANVREVSEKSGLCALQHQLLSEILNEENIKIWDVCKGSNMIRNRICRKRVLVVLDDVDKRDQLEHLAGNHDWFGLGSRIIITTRDVHLLDQHNVDEVYKMEKLDHCYALKLFSLKAFKSDNPMEGFIDLSEEIVRYAQGLPLALKVLGSFFYGKSLDEWTSALGRIKEDSEKEIFDRLEISFHGLKPTEKKIFLDIACVFKGLDKDYVMSLLDSFGFHAAIGIRVLIDKSLLTIIGKNTLWMHDLLQEMGQKIVWKESPDEPGKRSRLSFDKDVYHVLTENSGTEAVEMMSANFLGRKNINLSAKALSNMRKLRLLKIENVQLSQGLEFLSNEIRLLEWHGYPLKSLPSCFKPEKLVELRMPCSCIKQLWSGIITLDGLKYINLRNSQALRRTPDFTRAPHLEKLVLEGCTSLIEVHPSIFLLKWLMILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPVVVVPSDIGCLSSLKVLDLSFNKFASLPASISQLSSLTVLRLVDCKWLQSLPELPANVKRVFAGGCIALENIPNPLKPCTPRDLQLNLFNCHRLVDHHNLSRWACKLLKTHLKGLSSEASFRFEVCIPGSEIPEWFKNQNMGSSVSLDLPPHRNNDNLMGIAICANFILRPSASSNYGYKKKTRLGYFILGCGIKNDQGKDALIDPSLYSATLEENSAQIGLDHLWLVGARSSKTASLDGHDPNGRSLSFWALTAFSNLEVEYRKCGFRYAYSQDLEE >Manes.02G202000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18752560:18758854:-1 gene:Manes.02G202000.v8.1 transcript:Manes.02G202000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGIQIASASASFSSPSSTRQWKYDVFLSFRGEDTRTSFTDHLYAALNQKGIVAFRDDRSLQRGKEIAPELLKAIEDSRLSIVVLSRNYASSTWCLDELVKILDCMNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPKMGQKIVWKESPDEPGKRSRLSFDKDVYHVLTENSGTEAVEMMSANFLGRKNINLSAKALSNMRKLRLLKIENVQLSQGLEFLSNEIRLLEWHGYPLKSLPSCFKPEKLVELRMPCSCIKQLWSGIITLDGLKYINLRNSQALRRTPDFTRAPHLEKLVLEGCTSLIEVHPSIFLLKWLMILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPVVVVPSDIGCLSSLKVLDLSFNKFASLPASISQLSSLTVLRLVDCKWLQSLPELPANVKRVFAGGCIALENIPNPLKPCTPRDLQLNLFNCHRLVDHHNLSRWACKLLKTHLKGLSSEASFRFEVCIPGSEIPEWFKNQNMGSSVSLDLPPHRNNDNLMGIAICANFILRPSASSNYGYKKKTRLGYFILGCGIKNDQGKDALIDPSLYSATLEENSAQIGLDHLWLVGARSSKTASLDGHDPNGRSLSFWALTAFSNLEVEYRKCGFRYAYSQDLEE >Manes.02G202000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18752560:18758854:-1 gene:Manes.02G202000.v8.1 transcript:Manes.02G202000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGIQIASASASFSSPSSTRQWKYDVFLSFRGEDTRTSFTDHLYAALNQKGIVAFRDDRSLQRGKEIAPELLKAIEDSRLSIVVLSRNYASSTWCLDELVKILDCMNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPSEKLIGIDDHLEKMNSCLAMRFDDVRIVGIHGMGGIGKTTLAREIFDRISNQFESSSFVANVREVSEKSGLCALQHQLLSEILNEENIKIWDVCKGSNMIRNRICRKRVLVVLDDVDKRDQLEHLAGNHDWFGLGSRIIITTRDVHLLDQHNVDEVYKMEKLDHCYALKLFSLKAFKSDNPMEGFIDLSEEIVRYAQGLPLALKVLGSFFYGKSLDEWTSALGRIKEDSEKEIFDRLEISFHGLKPTEKKIFLDIACVFKGLDKDYVMSLLDSFGFHAAIGIRVLIDKSLLTIIGKNTLWMHDLLQEMGQKIVWKESPDEPGKRSRLSFDKDVYHVLTENSGTEAVEMMSANFLGRKNINLSAKALSNMRKLRLLKIENVQLSQGLEFLSNEIRLLEWHGYPLKSLPSCFKPEKLVELRMPCSCIKQLWSGIITLDGLKYINLRNSQALRRTPDFTRAPHLEKLVLEGCTSLIEVHPSIFLLKWLMILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPVVVVPSDIGCLSSLKVLDLSFNKFASLPASISQLSSLTVLRLVDCKWLQSLPELPANVKRVFAGGCIALENIPNPLKPCTPRDLQLNLFNCHRLVDHHNLSRWACKLLKTHLKGLSSEASFRFEVCIPGSEIPEWFKNQNMGSSVSLDLPPHRNNDNLMGIAICANFILRPSASSNYGYKKKTRLGYFILGCGIKNDQGKDALIDPSLYSATLEENSAQIGLDHLWLVGARSSKTASLDGHDPNGRSLSFWALTAFSNLEVEYRKCGFRYAYSQDLEE >Manes.12G089438.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13863719:13864724:-1 gene:Manes.12G089438.v8.1 transcript:Manes.12G089438.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFCHNQIVRSWINYACYRSTKYSYYFRGRGRSYRGRGGQSSYQGRGSRQSSYASGRGTSTLTCFNCNGTGHVSRQCPSPRTNPQANIAKTQTEPFQAWTVDSGANYHLAANQETIAHPIPVNDATALTIADSKTLPVLSRGSLITSINSHNFHLNDILYSPVITNNLMSVSAFTSQNNTSIEFFPDKYFVKDIPTKQVLYQGPSDNGLSKSHKLPFVDSLYCAKQPLELICSDV >Manes.05G073801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6091961:6095037:1 gene:Manes.05G073801.v8.1 transcript:Manes.05G073801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASPSVPEKSIHQFCVKDARGQDVDLSIYKGKVLLVVNVASKCGFTDSNYTQLTDLYNKYKDQGFEVLAFPCNQFLKQEPGTSKDAQEFACTRYKAEYPIFHKVRVNGPTTAPVYRFLKASRSGVFGSSIKWNFTKFLVNKEGHVINRYNTTTNPLSLEADIQKALEAK >Manes.05G180900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29759765:29762522:-1 gene:Manes.05G180900.v8.1 transcript:Manes.05G180900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEALNSPTTATPSFQFENSTSLHYLREPWAKRKRSKRSHHQPTEEEYLALCLVMLARGTTASTSASTVFHRHSSPTPSPQLQASTNSEEKLTYKCSVCNKAFSSYQALGGHKASHRKLAGSDVDQSTSTTTTSSVTGSLSNGSGKLHECSICHKSFPTGQALGGHKRCHYEGGAGGAEKRMVTSTSEGVGSTNSHSLSQSHRGFDLNVPALPEFAADFFISGNDEAISPLPAKKPRLLVPPKIEVARDQ >Manes.08G071000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13614607:13616303:1 gene:Manes.08G071000.v8.1 transcript:Manes.08G071000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLSSSPMILYSTKSVSNFPQARNAHSTSFVHKKRTCCVLNSGSQFLHVPLVERRLVSSRQRGLNAKRLESGEEDNQALEAVLKLYTAIKNKNIHELSNIIGDECRCVCNFFSFFQSFNGKQQVLDFFKYVIRILGNNIEFVVQPTIHDGMNVGVSWRLQWTKTHMPLGKGFSFYICQIYQGKVFIRNVEMFIEPLFHIEPFRLVSRLSSNL >Manes.08G071000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13614607:13616303:1 gene:Manes.08G071000.v8.1 transcript:Manes.08G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLSSSPMILYSTKSVSNFPQARNAHSTSFVHKKRTCCVLNSGSQFLHVPLVERRLVSSRQRGLNAKRLESGEEDNQALEAVLKLYTAIKNKNIHELSNIIGDECRCVCNFFSFFQSFNGKQQVLDFFKYVIRILGNNIEFVVQPTIHDGMNVGVSWRLQWTKTHMPLGKGFSFYICQIYQGKVFIRNVEMFIEPLFHIEPFRLKIMEYAMSIAEKMSYCNLSKDKMKAAILILFLMVIILLFLKPGLY >Manes.04G044109.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6387184:6387345:1 gene:Manes.04G044109.v8.1 transcript:Manes.04G044109.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.09G063054.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10580870:10583774:1 gene:Manes.09G063054.v8.1 transcript:Manes.09G063054.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIFFILLLSSFSLLCMPMVNFTFPIPHPNPDEVAQQVQRRVNASMSRRQMLQISEKDQSTCLTGNPIDDCWKCDPDWANNRQRLADCAIGFGQYAMGGKNGKYYIVTDSSDDDAVNPKPGTLRYAVIQVEPLWIVFPSNMLIKLSQELIFNSYKTLDGRGANVHIVGGGCITLQYITNVIIHNIHIHHCVPSGNTNVRSSPTHYGYRTKSDGDGISIFGSKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGNPTINSQGNRYTAPTDRNAKEVGEFCR >Manes.09G063054.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10580871:10583774:1 gene:Manes.09G063054.v8.1 transcript:Manes.09G063054.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIFFILLLSSFSLLCMPMVNFTFPIPHPNPDEVAQQVQRRVNASMSRRQMLQISEKDQSTCLTGNPIDDCWKCDPDWANNRQRLADCAIGFGQYAMGGKNGKYYIVTDSSDDDAVNPKPGTLRYAVIQVEPLWIVFPSNMLIKLSQELIFNSYKTLDGRGANVHIVGGGCITLQYITNVIIHNIHIHHCVPSGNTNVRSSPTHYGYRTKSDGDGISIFGSKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGNPTINSQGNRYTAPTDRNAKEVTKRVDTTEGEWKGWNWRSEGDVMVNGAFFVTSGQGLEVKYEKAYSVEPKSAVLIDQITMHAGVLGVGGRDNNLGMWNAGDNGGGDSHDSGDDYLDDYSGSISPLSHPTTNGLFSIFIALFCLIFFHIIALISML >Manes.02G090900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7117116:7118740:-1 gene:Manes.02G090900.v8.1 transcript:Manes.02G090900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSAGPSLKSKVGSSQPNETSFKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLAHKAQDIGSKRGKLAVEDFLYLIRKDLPKLNRCSELLSMQEELKQARKAFEVDEDKLASIE >Manes.S056916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2012919:2013731:-1 gene:Manes.S056916.v8.1 transcript:Manes.S056916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G172900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15217416:15221969:1 gene:Manes.15G172900.v8.1 transcript:Manes.15G172900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSRFRLIAYSQELVDGQPVYVASNSLPIKASKFEPAGHSFHAVALKLLGCEEEDADSEDQKVSSEKDQAYIPSYDSYSSKGKKKSGTGDKQQDHYALLGLGHLRYLATEEQIRKSYREVALKYHPDKQAAILLAEETEAAKQAKKDEIESHFKSIQEAYEVLIDPLKRRIYDSTDEFDDEIPTDCAPHDFYRVFGPAFMRNGRWSVNQPIPSLGDDNTPLKEVESFYDFWYGFKSWREFPHADEFDIEQAESREHKRWMERQNAKLTEKARKEEYARIRNLVDNAYRRDPRILRRKEEEKAEKQRKKEAKILAKKLQEEEALRAAEEEKRCKEEEERRAAEAALQQKKIKEKEKKLLRKERTRLRTLSAPILSQRLLDLGEEDVENLCLTLDIEQLRNICDKMDGKDLLEQAKVLRDASGSSHDSESNKRAEKKNLQQNGTVVSNGSVPLSSLEKKEKPWGKEEIELLRKGMQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSAKAFDSFLEKRKPAQSIASPLTTREEIGAAAIKQGPESSAKKLDNSEESSSRSANSKNPDEGVAENGVSSSSEQDAWSAVQERALVQALKTFPKETSQRWERVAASVPGKTVNQCKKKFALLKENFRNKKNAV >Manes.10G101400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24957736:24959296:-1 gene:Manes.10G101400.v8.1 transcript:Manes.10G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSLDGGIGLNSDTLLVIKLPDSQILRIVSRSVFLAVFLLTLPCIGSILKELSSTSNYNLHTQGFDSDLIAVEYLESLLLDLANEGLIKKGDKAFFVSAGIGAVIDNLRFLNANEIELVLASDLGQQVLFHDASFDFVFGFGIEDFEFLDQIVKVGGVLVTQLTDLPNAFQKKSNYRLVYLRRYSSTIVAMKKTSLANELVDSSYSRRQLCELSLEAKKASLDGLEDVLLEPPMHRKFLKKFNYFPDLLGDSLEDYPRRVFVDVSLQEEKDRVMAWFHENYPTRNQNFEIYNIEMVLEGVSKTVAPSIDVSDWLMKNVKQDEFVVMKAEAEVVEEMIRRKTIDLVDELFLECENQWHNGEEKRSKRAYWECLALYGRLRDKGIAVHQWWS >Manes.10G147900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31402702:31410535:-1 gene:Manes.10G147900.v8.1 transcript:Manes.10G147900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWLLGSLAAVPHPNFSKILLILRLNTSVCNPGWVIGIHSPKKVNHKNWSLLGSSNATVCTTRSIHGSAYMSRDYYEVLGVSKNATSSEIKKAYYLLAKKLHPDTNKDDPEAEKKFQEVSKAYEVLKDDEKRAQYDEVGHDAYERNLNGDFHPGGAGFYNPFDSFFRMDDIFSNVFKQKLGGQDVKVAIELSFMEAVQGCTKTITFQTDTPCEACHGEGIPPGVKPELCKRCKGTGMVFTQKGFISIQHTCNQCGGTGQTVSSFCNTCNGRRVVRGTKSVKLDIMPGVDDNETIKVPRSGGADPERNQPGDLFVTVRVREDPVFRREGSNIHVDAVLSVTQAILGGTVQVPTLTGDIVLKVRAGTQPGQKVVLKNKGIKVRGSYSFGDQFVHFTVSIPTNLTPRQRELIEEFAKEEQVEYNKRAAGASG >Manes.10G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31402703:31410535:-1 gene:Manes.10G147900.v8.1 transcript:Manes.10G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSHGVKLVAWLARRCPSSKLFEDSANSVNKSLLNGICRRLNTSVCNPGWVIGIHSPKKVNHKNWSLLGSSNATVCTTRSIHGSAYMSRDYYEVLGVSKNATSSEIKKAYYLLAKKLHPDTNKDDPEAEKKFQEVSKAYEVLKDDEKRAQYDEVGHDAYERNLNGDFHPGGAGFYNPFDSFFRMDDIFSNVFKQKLGGQDVKVAIELSFMEAVQGCTKTITFQTDTPCEACHGEGIPPGVKPELCKRCKGTGMVFTQKGFISIQHTCNQCGGTGQTVSSFCNTCNGRRVVRGTKSVKLDIMPGVDDNETIKVPRSGGADPERNQPGDLFVTVRVREDPVFRREGSNIHVDAVLSVTQAILGGTVQVPTLTGDIVLKVRAGTQPGQKVVLKNKGIKVRGSYSFGDQFVHFTVSIPTNLTPRQRELIEEFAKEEQVEYNKRAAGASG >Manes.01G250800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40961411:40967279:-1 gene:Manes.01G250800.v8.1 transcript:Manes.01G250800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVNRYMDSHPPQQGNQMHFNQNYYPSFEAVPPVANVDPSKPVVINHPWPYPNNFGYSVPCYPYFREGNFPGYYSYGPCLHFASPQFHCCGYHPPYTDAVPVHYAPPPHYLRELPRYEFDKPRDNDYHCCGCRNHTHDQRNGGSVRIEEQDPNVENNRDGFLVPFPLKNHPYPVFWIPPEYLKNEEHRKSLEADAAKEEKSEMASVDKKPPQILKFSEQDPGVWSSWFPLDKRSLQSFMPSENGSTADQKNEDIMRQFPFPIIWMPFHNRQGEAEKKDVEMHTAPMSVEEPASSGQLHLVRCPNNDDSINKSQVTNKNSGSQVGLELKEKSSKQRSIPVKQVEEPKKKDNSEDVEQRGRDVSLKNEGDNVMGKATGSRTKRQSSSPPKTSKLPPVCLRVDPLPRKKNGNTTSTSPSPPGFKRQSKETATDTPTAPISLDSKSKNSQYLQAQESTSSSRMEAEGKKNEVKVINVLQSKTSEDKDEEQRNAYQTQQFPVISSVDSEKEAFSRLTVEKMGKHDENCVMKEDKGLRDADKLATAKANDKGKSKEDKKELLEEEAAVRIQSAYRGFEVRKWEPLKKLKQIEEVREQVAEVRNKICGLESSPDLQKDERQRAVIGEMVMSLLLKLDTIQGLHPSLRDVRKSLARELVELQEKLDLLAKAKSSENRSIGLNNITGIDGEQNGDVAEHPNNDVCQMMDVGSDTQGTEASKPPLVFSEAQKKPESDLLPGFTKLEYHSEEPEDNGDGEFQFGKALMAENDASSETEQTFQLQSEKSGSKEKAGVQIENIWCDRINEEKSEPFEVNESKHIDNSPHESRTEEVAEEIADKESAPSAEEQSQTNMIGVRQNKKGESNVSSDLTSSVAVIDEMNLLEEIPEMNLLKELPIGVVDDAEPEKHAQAEIQDNEVSADEDVECEAFINALELQPQELHEGGQSTIDASSLDGGVQSIPGAEQQPTELVSEGPRETEATSPDKEVLIQRELRLAAVDEKQSVAEPNGWPEVASEKEDGCPTIAEGDAQKTQVLLFNKDNKQMEESLPEEMQRVPTLLQAENLSKAEDAQIGEDQDNVAQLTARDRNEKIIQEEEDLRVVEMVSGSDKVANQHNGLETSDRELVVEVQVDPIKHDEEKGDLLPASSAVKGQEIEVESDRKLVEENEKMREMVEKLMEAGKEQLTVISNLTGRVKDLEKKLLRKKRNARRCRTTVSPPSRGMPSSKSPKKRAAGLVM >Manes.01G250800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40961394:40967281:-1 gene:Manes.01G250800.v8.1 transcript:Manes.01G250800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVNRYMDSHPPQQGNQMHFNQNYYPSFEAVPPVANVDPSKPVVINHPWPYPNNFGYSVPCYPYFREGNFPGYYSYGPCLHFASPQFHCCGYHPPYTDAVPVHYAPPPHYLRELPRYEFDKPRDNDYHCCGCRNHTHDQRNGGSVRIEEQDPNVENNRDGFLVPFPLKNHPYPVFWIPPEYLKNEEHRKSLEADAAKEEKSEMASVDKKPPQILKFSEQDPGVWSSWFPLDKRSLQSFMPSENGSTADQKNEDIMRQFPFPIIWMPFHNRQGEAEKKDVEMHTAPMSVEEPASSGQLHLVRCPNNDDSINKSQVTNKNSGSQVGLELKEKSSKQRSIPVKQVEEPKKKDNSEDVEQRGRDVSLKNEGDNVMGKATGSRTKRQSSSPPKTSKLPPVCLRVDPLPRKKNGNTTSTSPSPPGFKRQSKETATDTPTAPISLDSKSKNSQYLQAQESTSSSRMEAEGKKNEVKVINVLQSKTSEDKDEEQRNAYQTQQFPVISSVDSEKEAFSRLTVEKMGKHDENCVMKEDKGLRDADKLATAKANDKGKSKEDKKELLEEEAAVRIQSAYRGFEVRKWEPLKKLKQIEEVREQVAEVRNKICGLESSPDLQKDERQRAVIGEMVMSLLLKLDTIQGLHPSLRDVRKSLARELVELQEKLDLLAKAKSSENRSIGLNNITGIDGEQNGDVAEHPNNDVCQMMDVGSDTQGTEASKPPLVFSEAQKKPESDLLPGFTKLEYHSEEPEDNGDGEFQFGKALMAENDASSETEQTFQLQSEKSGSKEKAGVQIENIWCDRINEEKSEPFEVNESKHIDNSPHESRTEEVAEEIADKESAPSAEEQSQTNMIGVRQNKKGESNVSSDLTSSVAVIDEMNLLEEIPEMNLLKELPIGVVDDAEPEKHAQAEIQDNEVSADEDVECEAFINALELQPQELHEGGQSTIDASSLDGGVQSIPGAEQQPTELVSEGPRETEATSPDKEVLIQRELRLAAVDEKQSVAEPNGWPEVASEKEDGCPTIAEGDAQKTQVLLFNKDNKQMEESLPEEMQRVPTLLQAENLSKAEDAQIGEDQDNVAQLTARDRNEKIIQEEEDLRVVEMVSGSDKVANQHNGLETSDRELVVEVQVDPIKHDEEKGDLLPASSAVKGQEIEVESDRKLVEENEKMREMVEKLMEAGKEQLTVISNLTGRVKDLEKKLLRKKRNARRCRTTVSPPSRGMPSSKSPKKRAAGLVM >Manes.01G250800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40961411:40967281:-1 gene:Manes.01G250800.v8.1 transcript:Manes.01G250800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVNRYMDSHPPQQGNQMHFNQNYYPSFEAVPPVANVDPSKPVVINHPWPYPNNFGYSVPCYPYFREGNFPGYYSYGPCLHFASPQFHCCGYHPPYTDAVPVHYAPPPHYLRELPRYEFDKPRDNDYHCCGCRNHTHDQRNGGSVRIEEQDPNVENNRDGFLVPFPLKNHPYPVFWIPPEYLKNEEHRKSLEADAAKEEKSEMASVDKKPPQILKFSEQDPGVWSSWFPLDKRSLQSFMPSENGSTADQKNEDIMRQFPFPIIWMPFHNRQGEAEKKDVEMHTAPMSVEEPASSGQLHLVRCPNNDDSINKSQVTNKNSGSQVGLELKEKSSKQRSIPVKQVEEPKKKDNSEDVEQRGRDVSLKNEGDNVMGKATGSRTKRQSSSPPKTSKLPPVCLRVDPLPRKKNGNTTSTSPSPPGFKRQSKETATDTPTAPISLDSKSKNSQYLQAQESTSSSRMEAEGKKNEVKVINVLQSKTSEDKDEEQRNAYQTQQFPVISSVDSEKEAFSRLTVEKMGKHDENCVMKEDKGLRDADKLATAKANDKGKSKEDKKELLEEEAAVRIQSAYRGFEVRKWEPLKKLKQIEEVREQVAEVRNKICGLESSPDLQKDERQRAVIGEMVMSLLLKLDTIQGLHPSLRDVRKSLARELVELQEKLDLLAKAKSSENRSIGLNNITGIDGEQNGDVAEHPNNDVCQMMDVGSDTQGTEASKPPLVFSEAQKKPESDLLPGFTKLEYHSEEPEDNGDGEFQFGKALMAENDASSETEQTFQLQSEKSGSKEKAGVQIENIWCDRINEEKSEPFEVNESKHIDNSPHESRTEEVAEEIADKESAPSAEEQSQTNMIGVRQNKKGESNVSSDLTSSVAVIDEMNLLEEIPEMNLLKELPIGVVDDAEPEKHAQAEIQDNEVSADEDVECEAFINALELQPQELHEGGQSTIDASSLDGGVQSIPGAEQQPTELVSEGPRETEATSPDKEVLIQRELRLAAVDEKQSVAEPNGWPEVASEKEDGCPTIAEGDAQKTQVLLFNKDNKQMEESLPEEMQRVPTLLQAENLSKAEDAQIGEDQDNVAQLTARDRNEKIIQEEEDLRVVEMVSGSDKVANQHNGLETSDRELVVEVQVDPIKHDEEKGDLLPASSAVKGQEIEVESDRKLVEENEKMREMVEKLMEAGKEQLTVISNLTGRVKDLEKKLLRKKRNARRCRTTVSPPSRGMPSSKSPKKRAAGLVM >Manes.01G250800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40961415:40967279:-1 gene:Manes.01G250800.v8.1 transcript:Manes.01G250800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHPPQQGNQMHFNQNYYPSFEAVPPVANVDPSKPVVINHPWPYPNNFGYSVPCYPYFREGNFPGYYSYGPCLHFASPQFHCCGYHPPYTDAVPVHYAPPPHYLRELPRYEFDKPRDNDYHCCGCRNHTHDQRNGGSVRIEEQDPNVENNRDGFLVPFPLKNHPYPVFWIPPEYLKNEEHRKSLEADAAKEEKSEMASVDKKPPQILKFSEQDPGVWSSWFPLDKRSLQSFMPSENGSTADQKNEDIMRQFPFPIIWMPFHNRQGEAEKKDVEMHTAPMSVEEPASSGQLHLVRCPNNDDSINKSQVTNKNSGSQVGLELKEKSSKQRSIPVKQVEEPKKKDNSEDVEQRGRDVSLKNEGDNVMGKATGSRTKRQSSSPPKTSKLPPVCLRVDPLPRKKNGNTTSTSPSPPGFKRQSKETATDTPTAPISLDSKSKNSQYLQAQESTSSSRMEAEGKKNEVKVINVLQSKTSEDKDEEQRNAYQTQQFPVISSVDSEKEAFSRLTVEKMGKHDENCVMKEDKGLRDADKLATAKANDKGKSKEDKKELLEEEAAVRIQSAYRGFEVRKWEPLKKLKQIEEVREQVAEVRNKICGLESSPDLQKDERQRAVIGEMVMSLLLKLDTIQGLHPSLRDVRKSLARELVELQEKLDLLAKAKSSENRSIGLNNITGIDGEQNGDVAEHPNNDVCQMMDVGSDTQGTEASKPPLVFSEAQKKPESDLLPGFTKLEYHSEEPEDNGDGEFQFGKALMAENDASSETEQTFQLQSEKSGSKEKAGVQIENIWCDRINEEKSEPFEVNESKHIDNSPHESRTEEVAEEIADKESAPSAEEQSQTNMIGVRQNKKGESNVSSDLTSSVAVIDEMNLLEEIPEMNLLKELPIGVVDDAEPEKHAQAEIQDNEVSADEDVECEAFINALELQPQELHEGGQSTIDASSLDGGVQSIPGAEQQPTELVSEGPRETEATSPDKEVLIQRELRLAAVDEKQSVAEPNGWPEVASEKEDGCPTIAEGDAQKTQVLLFNKDNKQMEESLPEEMQRVPTLLQAENLSKAEDAQIGEDQDNVAQLTARDRNEKIIQEEEDLRVVEMVSGSDKVANQHNGLETSDRELVVEVQVDPIKHDEEKGDLLPASSAVKGQEIEVESDRKLVEENEKMREMVEKLMEAGKEQLTVISNLTGRVKDLEKKLLRKKRNARRCRTTVSPPSRGMPSSKSPKKRAAGLVM >Manes.01G250800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40961394:40967065:-1 gene:Manes.01G250800.v8.1 transcript:Manes.01G250800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHPPQQGNQMHFNQNYYPSFEAVPPVANVDPSKPVVINHPWPYPNNFGYSVPCYPYFREGNFPGYYSYGPCLHFASPQFHCCGYHPPYTDAVPVHYAPPPHYLRELPRYEFDKPRDNDYHCCGCRNHTHDQRNGGSVRIEEQDPNVENNRDGFLVPFPLKNHPYPVFWIPPEYLKNEEHRKSLEADAAKEEKSEMASVDKKPPQILKFSEQDPGVWSSWFPLDKRSLQSFMPSENGSTADQKNEDIMRQFPFPIIWMPFHNRQGEAEKKDVEMHTAPMSVEEPASSGQLHLVRCPNNDDSINKSQVTNKNSGSQVGLELKEKSSKQRSIPVKQVEEPKKKDNSEDVEQRGRDVSLKNEGDNVMGKATGSRTKRQSSSPPKTSKLPPVCLRVDPLPRKKNGNTTSTSPSPPGFKRQSKETATDTPTAPISLDSKSKNSQYLQAQESTSSSRMEAEGKKNEVKVINVLQSKTSEDKDEEQRNAYQTQQFPVISSVDSEKEAFSRLTVEKMGKHDENCVMKEDKGLRDADKLATAKANDKGKSKEDKKELLEEEAAVRIQSAYRGFEVRKWEPLKKLKQIEEVREQVAEVRNKICGLESSPDLQKDERQRAVIGEMVMSLLLKLDTIQGLHPSLRDVRKSLARELVELQEKLDLLAKAKSSENRSIGLNNITGIDGEQNGDVAEHPNNDVCQMMDVGSDTQGTEASKPPLVFSEAQKKPESDLLPGFTKLEYHSEEPEDNGDGEFQFGKALMAENDASSETEQTFQLQSEKSGSKEKAGVQIENIWCDRINEEKSEPFEVNESKHIDNSPHESRTEEVAEEIADKESAPSAEEQSQTNMIGVRQNKKGESNVSSDLTSSVAVIDEMNLLEEIPEMNLLKELPIGVVDDAEPEKHAQAEIQDNEVSADEDVECEAFINALELQPQELHEGGQSTIDASSLDGGVQSIPGAEQQPTELVSEGPRETEATSPDKEVLIQRELRLAAVDEKQSVAEPNGWPEVASEKEDGCPTIAEGDAQKTQVLLFNKDNKQMEESLPEEMQRVPTLLQAENLSKAEDAQIGEDQDNVAQLTARDRNEKIIQEEEDLRVVEMVSGSDKVANQHNGLETSDRELVVEVQVDPIKHDEEKGDLLPASSAVKGQEIEVESDRKLVEENEKMREMVEKLMEAGKEQLTVISNLTGRVKDLEKKLLRKKRNARRCRTTVSPPSRGMPSSKSPKKRAAGLVM >Manes.16G055600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19627049:19631713:1 gene:Manes.16G055600.v8.1 transcript:Manes.16G055600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETVLHIAAGARHTLFVKKLVKKMTPDDLALQNKVGNTALCFAAVSGITEIAKVLVNKNKNLPLVRGSKGATPLYMAVLLGRGDMVRYLYSVTDDKDLSGEDRIGLLVAAITSNLFDVALELIHNHPELALARDGNGETALHVLSRKPTAFYSGGHLGLWQRCIYSCLKVKLQRDSFRGDYHLNHWIIQALSTGKLLFCNSLNYLVPDITLVYNLKLRHLQALELVKQIWQQILNLDDATLKALIRTPQRLLFTAAQFGIVEFLTILIRSHPDLIWKVDEQSRSIFHIAVVHRQEKVFNLIKEIGALKDFIALYKDDKNNNMLHLAGKLPHPGRLNTDSGAALQLRRELLWFKEVEKIVQPLYAEMKNSEGKTPDCVFSMEHRELKKAGEKWMKETASSCMVVATLIATVMFTAAFTVPGGNNDNTGRPIFLYTRSFIVFIISDALALFSSSSSILIFLSILTSRYAEEDFLRSLPNKLILGLATLFISIINMMIAFCATLFLVLSHGLEWATIPIVVVASIPVSLFASLQFPLVADIITHTLTCFDSTSHLLG >Manes.02G139300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10716024:10719806:1 gene:Manes.02G139300.v8.1 transcript:Manes.02G139300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPKSPTISLLFFTILILHAQIQASSIKTVVVLVMENRSFDHMLGWMKKMNPEINGVNGTEWNPLNTKDPNSDKLFFKQQAEYVDPDPGHSFQAIREQIFGSNETSMNPPPMNGFAQQAFSMDSSGNMSADVMNGFDPDKVAVYQTLVSEFAVFDRWFASVPSSTQPNRLYVHSGTSAGATSNIPALLVKGYPQRTIFENLDDAGISWGIYYQNIPATLFYRNLRKIKYIGNFHSYDSTFKQHARQGKLPGYVVVEQRYMDTKQEPANDDHPSHDVYQGQMFVKEVYETLRASPQWNETLLVITYDEHGGFYDHVATPVNGVPSPDGIVGPEPFLFKFDRLGVRVPTIVVSPWINKGTVVHGPNGSPFPTSEYEHSSIPATVKKLFNLSSPFLTKRDEWAGTFEGVVQTRTEPRTDCPVQLPTPVKIRKGDANEDAKVSEFQQELLQLAAVLKGDNMLTSFPEKIGKEMTVKQGKEYMEDAVRRFFEAGLYAKKMGVDDEQIVQMRPSLTSRSSKPTNYNP >Manes.06G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:76747:80144:-1 gene:Manes.06G000100.v8.1 transcript:Manes.06G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDIYDVLAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYRFIAADSLQKVVILTALFLWQAFSKRGNLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGSLMVQIVVLQSVIWYTLMLFMFEYRGAKLLISDQFPETAGSITSFKVDSDVVSLNGREPLQADAEIGDDGKLHVVVRRSSASSMVSSFNKSHGLNSITSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKAASPKHGYTNSFQGAIGDVYSLQSSKGVTPRTSNFDEETLKIGKKRGGRSMSGELFNGYPPPNPMFAGSTSGCPRKKESGAMPNKELHMFVWSSSASPVSEGNLRHAVHRAASSDFGAIDSSKVGLQHETSAASKAMHELIENMSPAGKMNGEKEIDIEDGQKFAVTGSPFNCQKKMEMECDGSRKHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVVWSLISFRWNIQMPSIVRGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFAMAVRFLTGPAVIAATSIAIGLRGPLLHVAIVQAALPQGIVPFVFAKEYNVHADILSTAVIFGMLIALPITILYYVLLGL >Manes.15G165700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14024766:14026476:1 gene:Manes.15G165700.v8.1 transcript:Manes.15G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPPRKEAKKSSRYTIDESGGDLIECSGKYCRSCTAGLIADCVALSCCPCALLNLLTFAFVKVPWMVGRKCLGRLKKKRKKKTIKRKSKKRSKKSEAEGEGEAIIELEERDGDLKKGRREDGIWEIVKGFSKEEEIMNSVSARFEADKVWLELYEDGHLGFGRVSFTGIK >Manes.17G018861.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9205515:9207630:1 gene:Manes.17G018861.v8.1 transcript:Manes.17G018861.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSPHSRAQVSSCAPLVVFMLFLPIPQSFHEIYPRFEVLKLRSKFWELGAFGAWILHTSELEITQPSIFKRTGPEGSRRPSVLAVAESVQRLPECCRFEVDREVSRVKVLLM >Manes.14G146400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15421855:15424743:1 gene:Manes.14G146400.v8.1 transcript:Manes.14G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Manes.14G171900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:28285900:28286755:-1 gene:Manes.14G171900.v8.1 transcript:Manes.14G171900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFHKLHLCFSLSLSTFMGNATSCAPSIISNGVVKVLLSNGSLQIYTKPVKASELMLENPGKFLCEFNSLKIGQRINGLSADEELERCKLYFLLPMDLFYSVLTQEEMASFTFKATKANFKNNHFAKFIFPVLVDFCIFSSEIKRMDHIDSTCSDDHDHDHHDDGDDQPKLVKRYSKQRSWQPALETIVETPCRPI >Manes.14G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8284928:8285577:1 gene:Manes.14G099900.v8.1 transcript:Manes.14G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAIQSGFSSVFAQSPFPCQTLTCKRIKTSIFINPNPNLFHSSAIIDSKIKLISRNQRLIGFAALEAPAAETINEAEEPTEVKEEPIPIQETEKVEVSVKQVEKPRLVLKFIWMEKNIGLALDQVIPGHGTVPLSPYYFWPRKDAWEELKTTLESKPWISQKKMIILLNQATDIINLWQQSGGNLTT >Manes.05G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8522405:8528021:-1 gene:Manes.05G093200.v8.1 transcript:Manes.05G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKFTSSSRSNLLKPLTTVFSTSDSFRRPISTSTDPLTIETSVPFTSHKCEPPSRSVETTPQELISFFKDMAMMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDSIITAYRDHCTFVGRGGTLLEVFAELMGRQAGCSKGKGGSMHFYKKEAGFYGGHGIVGAQVPLGCGLAFAQKYSKDETVTFALYGDGAANQGQLFEALNISALWDLPVILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHVLRNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKVILAYDLATEKELKDFEKEIRKEVDQAIAQAKESPMPEPSELFTNVYVKGLGTEAFGADRKEVRAVLP >Manes.03G104900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22829638:22836245:-1 gene:Manes.03G104900.v8.1 transcript:Manes.03G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSASRHGGAAGRIKNAATTLSAESQSLVAEIRKALHMMMDIAVDLEKDNESAKVKDLENAVAELVEAYENCATYAAAIESVGNIYQPGAELTDFKKLLEDEFEKLKSSSSSASQNHQLIRKFREAVWNVHHSGQPMPGEEQEDIIMTSTQSSLLNVVCPLSGKPVTELAEPVRSVLCKHIYEKKAIMLYISSERGQPKCPIAGCPRILQAEKVVCDPLLVVEIEEMRCISRQTARANVVEDFTELDEEEDN >Manes.01G268300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42224102:42226562:1 gene:Manes.01G268300.v8.1 transcript:Manes.01G268300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSHLLPHWLQVLLTEKFFNACIIHEEAKKNEKNIYCLDCCISICPHCLSPHSSHRLLQIRRYVYHDVIRLGDAQKLFDCALVQSYTTNSAKVVFLNPRPQTRQFRGSGNVCGNCDRSLQDPYLFCSLSCKIDCIVKTKGVGGLSSFLFDCKFLSLPESGSDDGLMTPDSVLEPAASNRTSSSSGGYGGVGCRTLACTATTEIVRKKRSSLSACRPIFPRISEISAGLMNRRKKTPNRAPLY >Manes.01G268300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42224901:42226562:1 gene:Manes.01G268300.v8.1 transcript:Manes.01G268300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVQLVSSHLLPHWLQVLLTEKFFNACIIHEEAKKNEKNIYCLDCCISICPHCLSPHSSHRLLQIRRYVYHDVIRLGDAQKLFDCALVQSYTTNSAKVVFLNPRPQTRQFRGSGNVCGNCDRSLQDPYLFCSLSCKIDCIVKTKGVGGLSSFLFDCKFLSLPESGSDDGLMTPDSVLEPAASNRTSSSSGGYGGVGCRTLACTATTEIVRKKRSSLSACRPIFPRISEISAGLMNRRKKTPNRAPLY >Manes.05G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8211305:8213581:-1 gene:Manes.05G090300.v8.1 transcript:Manes.05G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYSPSKDHSYPSLTRKLLPWTFYTIIPLVLFRLYFYPCPLPHSLPILISSSSSLSPSTLEEESSKETPCDYTSGDWVQDERGPLYNGTTCGTIKEGQNCMAHGRPDMGYLYWRWKPKQCELPRFEPNIFLQLLSNKHLAFVGDSMARNQLESLLCMLASVSAPNLVYRDGEDNKYRRWHFDSHNITISVYWSPFLVKGVEKSNAGPNHNKLYLDHVDERWAADMNGFDLLVLSVGHWFLHPAVYYEGDSVLGCHYCPGLNHTEIGFYDVLRKALKTTLNTAIQRRGRGTNGKGIEVILTTFSPSHFEGDWDKFGACPKTKPYQEGEKSVEGMDADMRNIEIQEVEAAKLSAMQFDNLRLEALDVTKLSLLRPDGHPGPYMYPFPFANGVTERVQNDCVHWCLPGPVDTWNEILLEMIKRWAYQSIRQE >Manes.15G129575.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10366139:10367048:1 gene:Manes.15G129575.v8.1 transcript:Manes.15G129575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKGNLETVVELKSSPEKFLNVWKCQAHQVPNHTPTNIQGVHVHEGDWVKSGSIKIWKYTVEGKSEVFKEKIIVDDEKKTVTLIGLEGDVFKIYKVCNITWQLTSKGQGSLSKVIIEYEKLNENVPVPGVYLDFLGRITKEIDEGISKE >Manes.11G039700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3775865:3776366:1 gene:Manes.11G039700.v8.1 transcript:Manes.11G039700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKVIACHKMKACKKQYKKEKRLIVVDFSASSKSPDLAELEKKMPNFKFLKVDVDELPSVARKWAVDAVPTFLLLKRGILLGKVVGRGAREQSR >Manes.05G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23760517:23761398:1 gene:Manes.05G135600.v8.1 transcript:Manes.05G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIATSKATCHVRSISLPARLHPITLNVEVHLDRLRSSKATSTSNYHKLSGLKDLYECIDDLLQLSVTQRTLSNGQCGKSVDEVLDGSLRLLDMKESLEELQSSLRRRKSRESCLSNEVEAYMVSRKKLDKAISKYLRNLKSRKKSCTSTVLDKEFIAVVVVGMLREVEEISVSVLESFLSKVSLAKARSRPGGWSVVSKLFQAKPVSAEREADTNEVEKMDAELLALKSSKDMNVVQVHNIMKGLETLESSILKTEEELGFVFWQLLKTRTTILNMINH >Manes.05G150105.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25502103:25502441:-1 gene:Manes.05G150105.v8.1 transcript:Manes.05G150105.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKQPRNGWTGHFRRHLRRPKAPSRDETRVGSAAGSAAETPGQKRNSAFGGTFGSRKTASHAGSAAETPFGGRTWSPLDDRSDSAKPKTKLKTPKSLHTLSQTCIKEHKLT >Manes.14G096700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8026477:8026821:-1 gene:Manes.14G096700.v8.1 transcript:Manes.14G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKISHPLISILLCLSLLLLLFHELYNFNTNNKQIINTSAYYSSTPHRHPLFNRKVLAAKFDFTPFQKKRQQRHRHGKPSPSDKQDVDRSEIDPRYGVEKRLVPTGPNPLHHR >Manes.01G034250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6623715:6624840:1 gene:Manes.01G034250.v8.1 transcript:Manes.01G034250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQGEDVAGVIPSIGLQYVGPKLDAMKAVADAHAKRSLELFEISLRDYKAQLEEDPIVHRRLSSLHDTLLEQNLYRLIEPFSRVEIAHIADQIELPVEHVEKNHLG >Manes.07G052788.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7769523:7771193:-1 gene:Manes.07G052788.v8.1 transcript:Manes.07G052788.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYMFGSTGERSFADIITSWLFVSTGLAYDIFGSPHPNEYFTESRQRIPLITSRFDPLEQLDEFSKSF >Manes.12G068801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7223788:7225143:-1 gene:Manes.12G068801.v8.1 transcript:Manes.12G068801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRGGKNFRLTTKQGERGNDSSLNKSNIQCHKCKKPECRAKRTENRENHARVSQDDNSKTLLLASYESFGRSFRSSVLGKGMIVIKVNHRSSNYIFEVYYTPNICQNLLSVGHLAEKAYDLCFNKHECTIVM >Manes.18G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6472091:6478416:-1 gene:Manes.18G072300.v8.1 transcript:Manes.18G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFCNHSLLTPPRPSSSFSVKPSKILQPKPLLPLRSLHHRPRLSNPIIGFPLSNSSPLSNYYSQSRSFKAYLAAEDSALTEDHRNDVKASEEKADGEQSSSLKNLIRIYKAAILHGDERIVLDIESRIKDIENEHTQLMQKVSALSAEITSGKEKTIRLQADFDNFRKRSEKEKLNIRSDAQGEVIESLLPLVDSFERAKQQLKPETEKEKKIDTSYQGIYKQFVEIMRSLQVVAVATVGKPFDPALHEAIAREESQIYEEGVVIQELRRGFLLSNRLLRPAMVKVSDGPGGKKAPLSSEQPATAAKVDGR >Manes.18G072300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6473012:6474027:-1 gene:Manes.18G072300.v8.1 transcript:Manes.18G072300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIQVDHNNVLSPFLFLIYTNDKQEDHRNDVKASEEKADGEQSSSLKNLIRIYKAAILHGDERIVLDIESRIKDIENEHTQLMQKVSALSAEITSGKEKTIRLQADFDNFRKRSEKEKLNIRSDAQGEVIESLLPLVDSFERAKQQLKPETEKEKKIDTSYQGIYKQFVEIMRSLQVVAVATVGKPFDPALHEAIAREESQIYEEGVVIQELRRGFLLSNRLLRPAMVKVSDGPGGKKAPLSSEQPATAAKVDGR >Manes.18G072300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6472091:6478416:-1 gene:Manes.18G072300.v8.1 transcript:Manes.18G072300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFCNHSLLTPPRPSSSFSVKPSKILQPKPLLPLRSLHHRPRLSNPIIGFPLSNSSPLSNYYSQSRSFKAYLAAEDSALTEDHRNDVKASEEKADGEQSSSLKNLIRIYKAAILHGDERIVLDIESRIKDIENEHTQLMQKVSALSAEITSGKEKTIRLQADFDNFRKRSEKEKLNIRSDAQGEVIESLLPLVDSFERAKQQLKPETEKEKKIDTSYQGIYKQFVEIMRSLQVVAVATVGKPFDPAMGLCSKDL >Manes.01G000600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1372044:1374240:1 gene:Manes.01G000600.v8.1 transcript:Manes.01G000600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSCGSYSAMILIQLAYGGSNILIKIALDKGLNQLAFVVYRHVIAVVLLGPFAYVLERKQRPSLSVSVITKIFVLSSLGTTIHLNVYYAGLAYTSATVASALANVIPSLTFLMAVLLRMEKVKISTARGWAKVLGTTICIGGSLIFTFWKGGFLYKSFEERPLISIHSSKDHAVVKENWIKGSALILISHIAWSAWLILQAMVCKVYPARLSLTTLICFFASIQSSFLALFFGRNPRLWKLEWDVQLLTIIYCGVVISALVYYLQTWCISKKGPVFAAMFSPLLLIIVGIFSAIAFAERLHLGSLVGAVCIILGLYCALWGKLEDDITAQQADEAGKTIAADGKPDEISTNGYPLANLENENGKC >Manes.11G014051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1502867:1504656:-1 gene:Manes.11G014051.v8.1 transcript:Manes.11G014051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLAHSLFILSLSVLTLVNPTPVYSFDKYFFIFGDGLYDPGNKIFLNPDEYLPSFHSPYGTTFFTGHPTGRYSDGRTVADFIAEKEGFPFLIPALNGSEDFTYGANFAIEGATVLDSKKDETSLNLTSQVELFNFVIDLWKLLYNETEVKRRVNMAVYLISIGAQDYFDSVYFIGNHTIIVDKVVAGILDAIKALYAIGARKFVVQNVAQLGGLPFVKQKYGKLNETLAIYAEAHRDELNRTLVELGEEYPDLNYTVFNAYDAIGCLIDAPEDYGFKNGSSACCGNSTYRGEACGALEYEYCVCGNKAEYVFFDGVHNTDAANELLAEWMWNKTKGYVRPYGVHDFFKSSSDNSNLQIQIQMPRTQAARARPFKVYY >Manes.02G220200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35289167:35295887:1 gene:Manes.02G220200.v8.1 transcript:Manes.02G220200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRTESVNGTAKPKSGSSPMSNFNSDLFHTFSLKSLKLKTKQQELLIRVSILCLVYVLAFITRLFSVLRYESMIHEFDPYFNYRTTLFLTQKGFYDFWNWFDYESWYPLGRIIGGTLYPGLMVTAAVIYWTLRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDSGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSLRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKYLLNDAKLFQAFLRITVTFAVGIGAIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTLLLRAKDKVAQIGSTKGSSSAKSSSKGLLDQSQPFQRNGAIALLLGAFYLLSKYATHCTWVTSEAYSSPSIVLAARGAHGSRVIFDDYREAYFWIRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGEMVTEYGKPPGYDRARGVEIGNKDFKLEYLEEAFTTSNWIVRIYKVKPPNNRW >Manes.13G021650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3139716:3140018:-1 gene:Manes.13G021650.v8.1 transcript:Manes.13G021650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRNPQTIPTGGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTMFLFIFVSNWAGALLPWKIIQLPHGELASPTNDINTTVALALLTSIAYFYAGLSKKI >Manes.13G101402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29672167:29685066:-1 gene:Manes.13G101402.v8.1 transcript:Manes.13G101402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKIKKKKKTTKSLAGHWIDWIARQSMTEKTNGQSQIGKVSRQGRKGQPVTLNGHSERETCILLQIQLLKRRKTWDDDTSSKITL >Manes.02G226600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36524472:36529857:1 gene:Manes.02G226600.v8.1 transcript:Manes.02G226600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDSIEQAAVARRERLRALKAAQELMETPDDDTQTAKDDNPSMKFRNYVPQDKELQESKLAPPVLPKFEDPVAAVPPPPEKEEDPFLNIAPKKPNWDLRRDVQKKLDKLERRTQKAIYKLMEEQEKEKLIGEEGGSAEEQ >Manes.06G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2605031:2607659:1 gene:Manes.06G015300.v8.1 transcript:Manes.06G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPIGSSRSWSISEDSLRRYVHFASESCIQDLLSASDSNRFGNGDDGWKVMNLDNGVEISKRRSGSFHTFRSRWLLRSVSPQQFITVANAIDAARQWDPDLVEARYIKDLEENLSIIRLRFGENSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQNNAIRGLLLQSGWVVEKLEDDSCMVTYVVQLDPAGWLPKCFVNRFNTKLVMIIENLRKIAQNCPTDT >Manes.03G002700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:305053:311403:-1 gene:Manes.03G002700.v8.1 transcript:Manes.03G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRKAEGQDEALKHASARVTRSSTRLANANSNSSVPMADLPIRKRQRAAGKKKLKTEGKNESETNVEAKEEEITDDVTKKTIVIEHCKQCSSFKTRATQVKNGLERVVPGIIVLLNPDKPRRGCFEIREEGGEKFISLLDMNRPFKPMKELDMDKVISDIIEKIK >Manes.04G128250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33128455:33128942:1 gene:Manes.04G128250.v8.1 transcript:Manes.04G128250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGAATIALAGAAVGIGNVFSSLIPSVARNPSLAKQLFGYAILGFALKGCWLPAVFLLGSCSIAIIIRSRRSS >Manes.03G211300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32649862:32652552:-1 gene:Manes.03G211300.v8.1 transcript:Manes.03G211300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLNDSLTKKYIGLQLWILVAICLGVVCIMILVVSLWFTFKKKTRTNSMLPVSQRSRIPEEIKEIGVDQNSANNGGFLSLNDKFSDKDSEKVLIQLENGDDSSQSGSFTNVDKDGVGSQLGEEVAIGTAFAYRPSSHPLTAPSPLSGLPQFSQLGWGHWFTLRDLQVATNRFSKENIIGDGGYGIVYKGYLMNGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTHRLLVYEFVNNGNLEQWLHGAMRQHGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFEAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPVDYARPENEVNLVEWLKMMVARRRSEEVVDPTIETRPSTSALKRALLTALRCVDPDSEKRPKTSQVVRMLESEEYPLSREDRRHRRSQAGVTETECNMKHNPDSKTDGEMSHGT >Manes.11G118300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27617400:27628634:1 gene:Manes.11G118300.v8.1 transcript:Manes.11G118300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMMDANYVDSVDDDFYSGDMDDDYYSDGGEDGYDDGPDYDFMAEAADDLDDMVLSRMQQSYTVLREGDIQQRLEDDIASVSTVLSISRFDAIILLRHYNWSVSKVHDEWFADEEAARKSVGLLDKPVVQFSNIREFTCGICFESFPCEKITSAACGHPFCLSCWSGYISTTINDGPGCLMLRCPDPSCWAAVGQDMIDLLASDVDKDKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFAAGGGSFDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDEAERRREMAKNSLERYTHYYERWASNQSLEKLSDIHCTPESQLKFITDAWLQTVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELEQFLNAEGPSKEFDEFRTKLAGLTSVTKNYFENLVRALENGLADVDAHGACSKTTSSKNVVNVGKGRGGRGKGNTKTGDSIRIIDD >Manes.11G118300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27617401:27628634:1 gene:Manes.11G118300.v8.1 transcript:Manes.11G118300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMMDANYVDSVDDDFYSGDMDDDYYSDGGEDGYDDGPDYDFMAEAADDLDDMVLSRMQQSYTVLREGDIQQRLEDDIASVSTVLSISRFDAIILLRHYNWSVSKVHDEWFADEEAARKSVGLLDKPVVQFSNIREFTCGICFESFPCEKITSAACGHPFCLSCWSGYISTTINDGPGCLMLRCPDPSCWAAVGQDMIDLLASDVDKDKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFAAGGGSFDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDEAERRREMAKNSLERYTHYYERWASNQSLEKLSDIHCTPESQLKFITDAWLQTVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELEQFLNAEGPSKEFDEFRTKLAGLTSVTKNYFENLVRALENGLADVDAHGACSKTTSSKNVVNVGKGRGGRGKGNTKTGDSIRIIDD >Manes.11G118300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27617400:27628634:1 gene:Manes.11G118300.v8.1 transcript:Manes.11G118300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMMDANYVDSVDDDFYSGDMDDDYYSDGGEDGYDDGPDYDFMAEAADDLDDMVLSRMQQSYTVLREGDIQQRLEDDIASVSTVLSISRFDAIILLRHYNWSVSKVHDEWFADEEAARKSVGLLDKPVVQFSNIREFTCGICFESFPCEKITSAACGHPFCLSCWSGYISTTINDGPGCLMLRCPDPSCWAAVGQDMIDLLASDVDKDKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFAAGGGSFDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDEAERRREMAKNSLERYTHYYERWASNQSSRQKALADLHQMQTVHLEKLSDIHCTPESQLKFITDAWLQTVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELEQFLNAEGPSKEFDEFRTKLAGLTSVTKNYFENLVRALENGLADVDAHGACSKTTSSKNVVNVGKGRGGRGKGNTKTGDSIRIIDD >Manes.11G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27617401:27628634:1 gene:Manes.11G118300.v8.1 transcript:Manes.11G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMMDANYVDSVDDDFYSGDMDDDYYSDGGEDGYDDGPDYDFMAEAADDLDDMVLSRMQQSYTVLREGDIQQRLEDDIASVSTVLSISRFDAIILLRHYNWSVSKVHDEWFADEEAARKSVGLLDKPVVQFSNIREFTCGICFESFPCEKITSAACGHPFCLSCWSGYISTTINDGPGCLMLRCPDPSCWAAVGQDMIDLLASDVDKDKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFAAGGGSFDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDEAERRREMAKNSLERYTHYYERWASNQSSRQKALADLHQMQTVHLEKLSDIHCTPESQLKFITDAWLQTVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELEQFLNAEGPSKEFDEFRTKLAGLTSVTKNYFENLVRALENGLADVDAHGACSKTTSSKNVVNVGKGRGGRGKGNTKTGDSIRIIDD >Manes.11G118300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27617401:27628634:1 gene:Manes.11G118300.v8.1 transcript:Manes.11G118300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMMDANYVDSVDDDFYSGDMDDDYYSDGGEDGYDDGPDYDFMAEAADDLDDMVLSRMQQSYTVLREGDIQQRLEDDIASVSTVLSISRFDAIILLRHYNWSVSKVHDEWFADEEAARKSVGLLDKPVVQFSNIREFTCGICFESFPCEKITSAACGHPFCLSCWSGYISTTINDGPGCLMLRCPDPSCWAAVGQDMIDLLASDVDKDKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFAAGGGSFDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDEAERRREMAKNSLERYTHYYERWASNQSSRQKALADLHQMQTVHLEKLSDIHCTPESQLKFITDAWLQTVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGRKRMGDRITFGPSFFFNHQVRLSLDWKDFINVQRRSWNSSLMQKVPRRNLMSSGQS >Manes.11G118300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27617401:27628634:1 gene:Manes.11G118300.v8.1 transcript:Manes.11G118300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMMDANYVDSVDDDFYSGDMDDDYYSDGGEDGYDDGPDYDFMAEAADDLDDMVLSRMQQSYTVLREGDIQQRLEDDIASVSTVLSISRFDAIILLRHYNWSVSKVHDEWFADEEAARKSVGLLDKPVVQFSNIREFTCGICFESFPCEKITSAACGHPFCLSCWSGYISTTINDGPGCLMLRCPDPSCWAAVGQDMIDLLASDVDKDKYSRYLLRSYVEDNRKTKWCPAPGCEYAVDFAAGGGSFDVSCLCSYSFCWNCTEEAHRPVDCGTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDEAERRREMAKNSLERYTHYYERWASNQSLEKLSDIHCTPESQLKFITDAWLQTVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGRKRMGDRITFGPSFFFNHQVRLSLDWKDFINVQRRSWNSSLMQKVPRRNLMSSGQS >Manes.08G042900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4202561:4205651:1 gene:Manes.08G042900.v8.1 transcript:Manes.08G042900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAQQVKRAAKSRKASRPSEPGADHLEIRPSQRLKSVTIRAKAKSCQSQPQTKILSLPIRNSYPLSFDKMRILPLDFFQIDALDLAPRLLGKFLKRDDVVLQITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHTMLNIVADKEGVGAAVLIRSCAPMSGLDTIQQRRSQKTEKPVLLTGPGKIGQALGISTEWSNHPLYTPGGLELLDGPEPENILVGPRVGIEYALPEHVSALWRFAIGGTPWISAPKNTLRPP >Manes.08G042900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4202561:4205652:1 gene:Manes.08G042900.v8.1 transcript:Manes.08G042900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAQQVKRAAKSRKASRPSEPGADHLEIRPSQRLKSVTIRAKAKSCQSQPQTKILSLPIRNSYPLSFDKMRILPLDFFQIDALDLAPRLLGKFLKRDDVVLQITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHTMLNIVADKEGVGAAVLIRSCAPMSGLDTIQQRRSQKTEKPVLLTGPGKV >Manes.08G042900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4202561:4205652:1 gene:Manes.08G042900.v8.1 transcript:Manes.08G042900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAQQVKRAAKSRKASRPSEPGADHLEIRPSQRLKSVTIRAKAKSCQSQPQTKILSLPIRNSYPLSFDKMRILPLDFFQIDALDLAPRLLGKFLKRDDVVLQITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHTMLNIVADKEGVGAAVLIRSCAPMSDWSSTGDFNGMV >Manes.01G021600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4913427:4916438:-1 gene:Manes.01G021600.v8.1 transcript:Manes.01G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKIVVVVEDLEIARTALQWALHNLLRFGDLITLLHVFSSPSNSKTRKKKTRLLRLKGFQLALSFKDLCNNSFFNTNIEIIVREGDQEGGTIAALVREMGAFALVVGLHDQSFLYKLAMAHNNVGNILNCKVVAIKQPGAIQEIRTRATPVVVGSSTNMDFSLIEIASLEVPDIPAPKVAYKICPDPYAVIWRWRKSRRKSGS >Manes.07G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:867320:871029:1 gene:Manes.07G007100.v8.1 transcript:Manes.07G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTVEREDHTIGNILRMQLHRDENVLFAGYKLPHPLKYKIIVRIHTTSQSSPMQAYNQAINDLDKEFDHLKNAFEAELAKFSRDY >Manes.14G084400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6982801:6983943:1 gene:Manes.14G084400.v8.1 transcript:Manes.14G084400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQSGILAELPVGYRFLPTDEELVTHYLMNKAFYKPLPAHVGQDINASELYSKPPNTLVTLSCGEREWYFFIYGEEDSVGERKTIRIVGDGIGFWKSSGQEKSICNSDGNVFGLKFQFTYFSGTFPNAKRTHWRMDVYRLPTQYYPAQKVTKKKIVFFR >Manes.16G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:453772:457635:1 gene:Manes.16G002900.v8.1 transcript:Manes.16G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDKKPAKPSSSRAGGIRTLSDLNRHSGPDSDSDDDAPQEYYTGGEKSGMLVQDPSKGNDVDAIFNQARQLGAVEGPLDQFHPSSSSRSFTGTGRLLSGETVPSAPQQPEAVVHNIVFWTNGFTVNDGPVRRLDDPENASFLESIRKSECPKELEPADRRSSVHVNLIRRDEQYPEPEKQRHVPFQGVGRTLGSSSTPAATEPTVDSAPLNTAPTPSSGLVVDETLPSTSVQLRLADGTRMITHFNYHHTVNDIRAFINASRPGGAQNYQLQLMGFPPKVLTDPNQTIEQAGLANSVVIQKF >Manes.16G002900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:454360:457635:1 gene:Manes.16G002900.v8.1 transcript:Manes.16G002900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDPSKGNDVDAIFNQARQLGAVEGPLDQFHPSSSSRSFTGTGRLLSGETVPSAPQQPEAVVHNIVFWTNGFTVNDGPVRRLDDPENASFLESIRKSECPKELEPADRRSSVHVNLIRRDEQYPEPEKQRHVPFQGVGRTLGSSSTPAATEPTVDSAPLNTAPTPSSGLVVDETLPSTSVQLRLADGTRMITHFNYHHTVNDIRAFINASRPGGAQNYQLQLMGFPPKVLTDPNQTIEQAGLANSVVIQKF >Manes.11G014300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1547796:1557011:1 gene:Manes.11G014300.v8.1 transcript:Manes.11G014300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETNWTFGKSDPNSSYLQKFRLYETRSNFYIIGRDKNRTFWRILKIDRLEPSELFILEDSTTYSESECCDLLRRIHEGNKSTGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRKIGAICGHTIYAISKSEMIPIPNSSMRSNMTNSKNENRYKKLLCTMDLTRDFFFSYSYHVMHCLQKNLCSNETGQAHYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVAGREFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGHPVQISSIVQDRGSIPLFWSQETSRLNIKPDIILLKKDQNYEATKLHFENLVKRYGNPIIILNLIKTHEKKPRESILRTEFANAIRYINKGLSEDKRLRFLHWDLHRHSRKATNVLSLLGKVAAYALNLTGIFYCQVTPSLRPIGFLYSSEKNDDECSLKITSNENDLRRNLDSGITNACSEDDINQSIKTPMFQNGVLRTNCIDCLDRTNVAQYACGLVALGHQLRALGFVESENIDLDNPLAEDLMGIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHHNVRGHGPDLVDEGARSFIKRSLSDGNLLCESDSLVTATKVVHNKAFSEEQGVCKGLSDSTPEISTCESDMSYSRYTPSMPRMQLFKDIGEEYYESDPICYDEHGDACSCSNFLDLDWLSSSGNSCEGDPFDRSIAGLSHDCLVNELKREISASESGSSSKGKYQTGADISSEDATGGFSERFLQWVTHGEALFQIS >Manes.11G014300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1547775:1557044:1 gene:Manes.11G014300.v8.1 transcript:Manes.11G014300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETNWTFGKSDPNSSYLQKFRLYETRSNFYIIGRDKNRTFWRILKIDRLEPSELFILEDSTTYSESECCDLLRRIHEGNKSTGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRKIGAICGHTIYAISKSEMIPIPNSSMRSNMTNSKNENRYKKLLCTMDLTRDFFFSYSYHVMHCLQKNLCSNETGQAHYETMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVAGREFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGHPVQISSIVQDRGSIPLFWSQETSRLNIKPDIILLKKDQNYEATKLHFENLVKRYGNPIIILNLIKTHEKKPRESILRTEFANAIRYINKGLSEDKRLRFLHWDLHRHSRKATNVLSLLGKVAAYALNLTGIFYCQVTPSLRPIGFLYSSEKNDDECSLKITSNENDLRRNLDSGITNACSEDDINQSIKTPMFQNGVLRTNCIDCLDRTNVAQYACGLVALGHQLRALGFVESENIDLDNPLAEDLMGIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHHNVRGHGPDLVDEGARSFIKRSLSDGNLLCESDSLVTATKVVHNKAFSEEQGVCKGLSDSTPEISTCESDMSYSRYTPSMPRMQLFKDIGEEYYESDPICYDEHGDACSCSNFLDLDWLSSSGNSCEGDPFDRSIAGLSHDCLVNELKREISASESGSSSKGKYQTGADISSEDATGGFSERFLQWVTHGEALFQIS >Manes.09G012300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2940086:2946552:1 gene:Manes.09G012300.v8.1 transcript:Manes.09G012300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTHLDDAIASFNHVIHMNPLPSRVHFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTLINGLCMEGKIDKAVEFFDDMVARGYQPDVRTFTVIVNGMCKFGNTNVAFGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIYGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDQFKEAFALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAQIIIKIMIQRGVEPNTVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPDAVTYHTLIKGMFQAGRPQNAKELFRNMCSHGQQPNIVTFSIMINGLCSQGNLDEALTLLKEMEESRLKPDLVTYCILINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFRDMEKGGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDANTALVVHLSRNNDLILRLLKL >Manes.12G120400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32499203:32505365:1 gene:Manes.12G120400.v8.1 transcript:Manes.12G120400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNPIKDAFDRVAKKQKLSSSKAQEVIDQIMQEIENAVERIRSEHSGAEFNYKSVLDELNRKLQETSPLSQLEGTQKELNIALSKYPKQLEKSFNPDISKAYRNIDFDTHTVNQIIASHFYRHGLFSIGDCFIHEAKELESTIAMRSMFSEMYLIIEAMKDRNLEPALKWAAANSDKLKQNGSDLQLKLHRLQFVEILQNGSRSEALAYARTNITPFASNHLGEIQKLMGCLLWAGKLDRSPYSELQSPANWNTVADELTRQFCNLLGQSFESPLSVTIAAGFQGLPPLLKFMTVMAGKKQEWHSMKQLPVPVELDKEFQFHSIFVCPVSKEQSTDENPPMLMTCGHVLCKQSINKMSKNSSKTFKCPYCPSDIDATQCRQLYF >Manes.12G120400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32499203:32505365:1 gene:Manes.12G120400.v8.1 transcript:Manes.12G120400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKCSQLVTGALHINIVNRKVKMDLNPIKDAFDRVAKKQKLSSSKAQEVIDQIMQEIENAVERIRSEHSGAEFNYKSVLDELNRKLQETSPLSQLEGTQKELNIALSKYPKQLEKSFNPDISKAYRNIDFDTHTVNQIIASHFYRHGLFSIGDCFIHEAKELESTIAMRSMFSEMYLIIEAMKDRNLEPALKWAAANSDKLKQNGSDLQLKLHRLQFVEILQNGSRSEALAYARTNITPFASNHLGEIQKLMGCLLWAGKLDRSPYSELQSPANWNTVADELTRQFCNLLGQSFESPLSVTIAAGFQGLPPLLKFMTVMAGKKQEWHSMKQLPVPVELDKEFQFHSIFVCPVSKEQSTDENPPMLMTCGHVLCKQSINKMSKNSSKTFKCPYCPSDIDATQCRQLYF >Manes.12G120400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32499203:32505365:1 gene:Manes.12G120400.v8.1 transcript:Manes.12G120400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKCSQLVTGALHINIVNRKVKMDLNPIKDAFDRVAKKQKLSSSKAQEVIDQIMQEIENAVERIRSEHSGAEFNYKSVLDELNRKLQETSPLSQLEGTQKELNIALSKYPKQLEKSFNPDISKAYRNIDFDTHTVNQIIASHFYRHGLFSIGDCFIHEAKELESTIAMRSMFSEMYLIIEAMKDRNLEPALKWAAANSDKLKQNGSDLQLKLHRLQFVEILQNGSRSEALAYARTNITPFASNHLGEIQKLMGCLLWAGKLDRSPYSELQSPANWNTVADELTRQFCNLLGQSFESPLSVTIAAGFQGLPPLLKFMTVMAGKKQEWHSMKQLPVPVELDKEFQFHSIFVCPVSKEQSTDENPPMLMTCGHVLCKQSINKMSKNSSKTFKCPYCPSDIDATQCRQLYF >Manes.11G025700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2555221:2565482:1 gene:Manes.11G025700.v8.1 transcript:Manes.11G025700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPNSASNLSSKFTITMARKGGPKITLFLLFISIIAFGVFVPVFAPLPSLSSSSHSHRHRKVFTANARSFEIADDVFWKDGKPFRIIGGDLHYFRILPEYWEDRLLRAKALGLNTIQTYVPWNLHEPQPGNLVFEGIADLVSFLKICQKLDFLVMLRAGPYICAEWDLGGFPAWLLAIEPALKLRSSDPAYLKLVDKWWGILLPKVAHLLYNNGGPIIMVQIENEFGSYGDDQSYLHHLVKLAREHLGENIVLYTTDGGTRETLEKGTIRGDAVFSAVDFSTGEDPSPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEDLQHTGAGFTASALEKIFSQNGSAVLYMAHGGTNFGFYNGANTIADESGYTYKPDITSYDYDAPIKESGDVDNAKFRALKRVIGRHSVASLPSTPPDNEKTGYGPIRLQKTAFLFDLLDMINPADVVESRNPVSMESVGQMFGFLVYVSEYASKDDGNILFIPKVHDRAQVFVLCSSDDKERRPTYIGTIERWSNEKLLLPNTKCASNISLIVLVENMGRVNYGQYMFDRKGILSSVYLDGRILDGWKMITIPLHTLNEVPRTNLITLVAHSRIVTSSALRKLEDSERVSKEPALYTAHFSIEKDNQVKDTFISFSGWGKGIAFVNDFNIGRYWPSFGPQCTLYVPAPILHRGENVLV >Manes.11G025700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2555221:2565482:1 gene:Manes.11G025700.v8.1 transcript:Manes.11G025700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPNSASNLSSKFTITMARKGGPKITLFLLFISIIAFGVFVPVFAPLPSLSSSSHSHRHRKVFTANARSFEIADDVFWKDGKPFRIIGGDLHYFRILPEYWEDRLLRAKALGLNTIQTYVPWNLHEPQPGNLVFEGIADLVSFLKICQKLDFLVMLRAGPYICAEWDLGGFPAWLLAIEPALKLRSSDPAYLKLVDKWWGILLPKVAHLLYNNGGPIIMVQIENEFGSYGDDQSYLHHLVKLAREHLGENIVLYTTDGGTRETLEKGTIRGDAVFSAVDFSTGEDPSPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEDLQHTGAGFTASALEKIFSQNGSAVLYMAHGGTNFGFYNGANTIADESGYTYKPDITSYDYDAPIKESGDVDNAKFRALKRVIGRHSVASLPSTPPDNEKTGYGPIRLQKTAFLFDLLDMINPADVVESRNPVSMESVGQMFGFLVYVSEYASKDDGNILFIPKVHDRAQVFVLCSSDDKERRPTYIGTIERWSNEKLLLPNTKCASNISLIVLVENMGRVNYGQYMFDRKGILSSVYLDGRILDGWKMITIPLHTLNEVPRTNLITLVAHSRIVTSSALRKLEDSERVSKEPALYTAHFSIEKDNQVKDTFISFSGWGKGIAFVNDFNIGRYWPSFGPQCTLYVPAPILHRGENVLVILELESHHPELVVNSVDQPDFTCGSSKSNVHQL >Manes.11G025700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2555221:2565482:1 gene:Manes.11G025700.v8.1 transcript:Manes.11G025700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPNSASNLSSKFTITMARKGGPKITLFLLFISIIAFGVFVPVFAPLPSLSSSSHSHRHRKVFTANARSFEIADDVFWKDGKPFRIIGGDLHYFRILPEYWEDRLLRAKALGLNTIQTYVPWNLHEPQPGNLVFEGIADLVSFLKICQKLDFLVMLRAGPYICAEWDLGGFPAWLLAIEPALKLRSSDPAYLKLVDKWWGILLPKVAHLLYNNGGPIIMVQIENEFGSYGDDQSYLHHLVKLAREHLGENIVLYTTDGGTRETLEKGTIRGDAVFSAVDFSTGEDPSPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEDLQHTGAGFTASALEKIFSQNGSAVLYMAHGGTNFGFYNGANTIADESGYTYKPDITSYDYDAPIKESGDVDNAKFRALKRVIGRHSVASLPSTPPDNEKTGYGPIRLQKTAFLFDLLDMINPADVVESRNPVSMESVGQMFGFLVYVSEYASKDDGNILFIPKVHDRAQVFVLCSSDDKERRPTYIGTIERWSNEKLLLPNTKCASNISLIVLVENMGRVNYGQYMFDRKGILSSVYLDGRILDGWKMITIPLHTLNEVPRTNLITLVAHSRIVTSSALRKLEDSD >Manes.11G025700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2555161:2565482:1 gene:Manes.11G025700.v8.1 transcript:Manes.11G025700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPNSASNLSSKFTITMARKGGPKITLFLLFISIIAFGVFVPVFAPLPSLSSSSHSHRHRKANARSFEIADDVFWKDGKPFRIIGGDLHYFRILPEYWEDRLLRAKALGLNTIQTYVPWNLHEPQPGNLVFEGIADLVSFLKICQKLDFLVMLRAGPYICAEWDLGGFPAWLLAIEPALKLRSSDPAYLKLVDKWWGILLPKVAHLLYNNGGPIIMVQIENEFGSYGDDQSYLHHLVKLAREHLGENIVLYTTDGGTRETLEKGTIRGDAVFSAVDFSTGEDPSPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEDLQHTGAGFTASALEKIFSQNGSAVLYMAHGGTNFGFYNGANTIADESGYTYKPDITSYDYDAPIKESGDVDNAKFRALKRVIGRHSVASLPSTPPDNEKTGYGPIRLQKTAFLFDLLDMINPADVVESRNPVSMESVGQMFGFLVYVSEYASKDDGNILFIPKVHDRAQVFVLCSSDDKERRPTYIGTIERWSNEKLLLPNTKCASNISLIVLVENMGRVNYGQYMFDRKGILSSVYLDGRILDGWKMITIPLHTLNEVPRTNLITLVAHSRIVTSSALRKLEDSERVSKEPALYTAHFSIEKDNQVKDTFISFSGWGKGIAFVNDFNIGRYWPSFGPQCTLYVPAPILHRGENVLV >Manes.11G025700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2555221:2565482:1 gene:Manes.11G025700.v8.1 transcript:Manes.11G025700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPNSASNLSSKFTITMARKGGPKITLFLLFISIIAFGVFVPVFAPLPSLSSSSHSHRHRKANARSFEIADDVFWKDGKPFRIIGGDLHYFRILPEYWEDRLLRAKALGLNTIQTYVPWNLHEPQPGNLVFEGIADLVSFLKICQKLDFLVMLRAGPYICAEWDLGGFPAWLLAIEPALKLRSSDPAYLKLVDKWWGILLPKVAHLLYNNGGPIIMVQIENEFGSYGDDQSYLHHLVKLAREHLGENIVLYTTDGGTRETLEKGTIRGDAVFSAVDFSTGEDPSPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEDLQHTGAGFTASALEKIFSQNGSAVLYMAHGGTNFGFYNGANTIADESGYTYKPDITSYDYDAPIKESGDVDNAKFRALKRVIGRHSVASLPSTPPDNEKTGYGPIRLQKTAFLFDLLDMINPADVVESRNPVSMESVGQMFGFLVYVSEYASKDDGNILFIPKVHDRAQVFVLCSSDDKERRPTYIGTIERWSNEKLLLPNTKCASNISLIVLVENMGRVNYGQYMFDRKGILSSVYLDGRILDGWKMITIPLHTLNEVPRTNLITLVAHSRIVTSSALRKLEDSD >Manes.11G025700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2555161:2565482:1 gene:Manes.11G025700.v8.1 transcript:Manes.11G025700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPNSASNLSSKFTITMARKGGPKITLFLLFISIIAFGVFVPVFAPLPSLSSSSHSHRHRKANARSFEIADDVFWKDGKPFRIIGGDLHYFRILPEYWEDRLLRAKALGLNTIQTYVPWNLHEPQPGNLVFEGIADLVSFLKICQKLDFLVMLRAGPYICAEWDLGGFPAWLLAIEPALKLRSSDPAYLKLVDKWWGILLPKVAHLLYNNGGPIIMVQIENEFGSYGDDQSYLHHLVKLAREHLGENIVLYTTDGGTRETLEKGTIRGDAVFSAVDFSTGEDPSPIFKLQKEFNAPGKSPPLSAEFYTGWLTHWGEDLQHTGAGFTASALEKIFSQNGSAVLYMAHGGTNFGFYNGANTIADESGYTYKPDITSYDYDAPIKESGDVDNAKFRALKRVIGRHSVASLPSTPPDNEKTGYGPIRLQKTAFLFDLLDMINPADVVESRNPVSMESVGQMFGFLVYVSEYASKDDGNILFIPKVHDRAQVFVLCSSDDKERRPTYIGTIERWSNEKLLLPNTKCASNISLIVLVENMGRVNYGQYMFDRKGILSSVYLDGRILDGWKMITIPLHTLNEVPRTNLITLVAHSRIVTSSALRKLEDSERVSKEPALYTAHFSIEKDNQVKDTFISFSGWGKGIAFVNDFNIGRYWPSFGPQCTLYVPAPILHRGENVLVILELESHHPELVVNSVDQPDFTCGSSKSNVHQL >Manes.16G011900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1174886:1175728:-1 gene:Manes.16G011900.v8.1 transcript:Manes.16G011900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDVQIPTAFDPFADANAADSSAGAKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKERIKIHGF >Manes.16G011900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1174563:1177608:-1 gene:Manes.16G011900.v8.1 transcript:Manes.16G011900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDVQIPTAFDPFADANAADSSAGAKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKERIKIHGF >Manes.16G011900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1174563:1177980:-1 gene:Manes.16G011900.v8.1 transcript:Manes.16G011900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDVQIPTAFDPFADANAADSSAGAKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKERIKIHGF >Manes.16G011900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1174563:1177980:-1 gene:Manes.16G011900.v8.1 transcript:Manes.16G011900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELDVQIPTAFDPFADANAADSSAGAKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKERIKIHGF >Manes.02G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1468616:1472181:-1 gene:Manes.02G015500.v8.1 transcript:Manes.02G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQKEESVVESVMDKISEKLHSQDSSSSSSDSDNDEKSKSPSSPSSFKSKVYRIFGREKPVHKVLGGGKPADVFLWRNKKISAGVLGGATAVWVLFELIEYHLLTLICHGLILALAILFLWSNASTFINKTPPRIPEVHIPEESVLQVAAALRVEINQAFSVLRDIASGRDLKKFLTVIAGLWVLSIVGSWCNFLTLFYIVFVLLHTVPVLYEKYDDKVDAFAEKAMIEIKKQYAVLDSKVLSKIPRGPLKDKKKA >Manes.17G054000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25178697:25183823:1 gene:Manes.17G054000.v8.1 transcript:Manes.17G054000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELELYRIKNQETVKKGTNREEEENKRRLLKLAIMVAGKVKLAMGLQKSPGNPKTQTPPKPPLPSPSSGKVAPQKVFSRSFGVYFPRSSSQVQPRPPDVTELLQLVEELRDREARLKTELLEFKLMRESVAIVPILENEISTKNAELENAVKKIECLESDNEKLRTELSEAKVVFEERKRESENKVKALEAEIVELKKTLLDKESEELSSSQRFHGLMDFSTKSNLIRNLKKGVKCTDIVSLNHETQIQKSETSDFKREEAEIERPRHSRCNSEELVDSTLSNLRSRVPRVPKPPPKRSSSTSPSMSDQSVSAPPPPPPPPPPPPPHPPAAVKPAAPPPPPPPPKGTRMGPAKVRRVPEVVEFYHSLMRRDSRKESGAAAQDVLPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYCDLKKLGSEASSFRDDACHPCGPALKKMQALLEKLEHGAYNLSRMRESATNRYKGFQIPTNWMLETGIVSQIKLASVKLAMKYMKRVSAELESVCGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCQSQQQQKLVCREFLCASSSIFAPLESYKSGHGSPAKWNCSR >Manes.17G054000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25178697:25184948:1 gene:Manes.17G054000.v8.1 transcript:Manes.17G054000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELELYRIKNQETVKKGTNREEEENKRRLLKLAIMVAGKVKLAMGLQKSPGNPKTQTPPKPPLPSPSSGKVAPQKVFSRSFGVYFPRSSSQVQPRPPDVTELLQLVEELRDREARLKTELLEFKLMRESVAIVPILENEISTKNAELENAVKKIECLESDNEKLRTELSEAKVVFEERKRESENKVKALEAEIVELKKTLLDKESEELSSSQRFHGLMDFSTKSNLIRNLKKGVKCTDIVSLNHETQIQKSETSDFKREEAEIERPRHSRCNSEELVDSTLSNLRSRVPRVPKPPPKRSSSTSPSMSDQSVSAPPPPPPPPPPPPPHPPAAVKPAAPPPPPPPPKGTRMGPAKVRRVPEVVEFYHSLMRRDSRKESGAAAQDVLPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYCDLKKLGSEASSFRDDACHPCGPALKKMQALLEKLEHGAYNLSRMRESATNRYKGFQIPTNWMLETGIVSQIKLASVKLAMKYMKRVSAELESVCGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCQSQQQQKLVCREFLCASSSIFAPLESYKSGHGSPAKWNCSR >Manes.17G054000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25178577:25182628:1 gene:Manes.17G054000.v8.1 transcript:Manes.17G054000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELELYRIKNQETVKKGTNREEEENKRRLLKLAIMVAGKVKLAMGLQKSPGNPKTQTPPKPPLPSPSSGKVAPQKVFSRSFGVYFPRSSSQVQPRPPDVTELLQLVEELRDREARLKTELLEFKLMRESVAIVPILENEISTKNAELENAVKKIECLESDNEKLRTELSEAKVVFEERKRESENKVKALEAEIVELKKTLLDKESEELSSSQRFHGLMDFSTKSNLIRNLKKGVKCTDIVSLNHETQIQKSETSDFKREEAEIERPRHSRCNSEELVDSTLSNLRSRVPRVPKPPPKRSSSTSPSMSDQSVSAPPPPPPPPPPPPPHPPAAVKPAAPPPPPPPPKGTRMGPAKVRRVPEVVEFYHSLMRRDSRKESGAAAQDVLPATANARDMIGEIENRSTHLLAIKTDVETQGDFIRFLIKEVENAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYCDLKKLGSEASSFRDDACHPCGPALKKMQALLEKLEHGAYNLSRMRESATNRYKGFQIPTNWMLETGIVSQIKLASVKLAMKYMKRVSAELESVCGGPEEEELIVQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHVQCQSQQQQKLVCRSTSF >Manes.05G184350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30300812:30301120:1 gene:Manes.05G184350.v8.1 transcript:Manes.05G184350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCMLEPWVYIWFLSSSSSCFGLADVRSCSKGLLFGLNIRFKPFFFSFFFGVFIVAPLSPAGVTMQEAAPVLSGSSSGQVLGFGSRGSRWASVGFLFSFFS >Manes.16G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31212684:31214610:-1 gene:Manes.16G106500.v8.1 transcript:Manes.16G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNDQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVLNGCVVETSKSNILAPIQLMDKSS >Manes.04G146100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34357267:34359872:-1 gene:Manes.04G146100.v8.1 transcript:Manes.04G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVPFLRLLLFFLSLTPLVFSSPLSNLPQTFIVHVSRSHKPIFFSSHHDWYTSILLSLPPSPHPTKLIYTYGRAINGFSAHLTAAQAAELRRVPGILSVIPDQIRQLHTTRTPHFLGLSTGSGLWPNCAYGEDVIIGVLDTGIWPEHPSFSDSGLSPVPDNWKGICETSSDFPASACNRKLIGARAFYKGFVSYQGKDIDVSRDSASPRDTEGHGTHTASTAAGSLVHNASFYEYARGKARGMASKARIAAYKICWSLGCFDSDILAAMDQAIADGVHVISLSVGATGYAPQYDHDSIAIGAFGATQHGIVVSCSAGNSGPDPFTAVNIAPWILTVGASTIDREFPADVVLGDGRIFGGVSLYSGEPLANDKLPLVYAGDIGSRYCFVGSLSPSKVQGKIVVCDRGMNARVEKGSAVKLAGGLGMIMANTEESGEELIADSHLIPATMVGEIAGNQIRQYIKSNQFPSATIVFHGTVIGTSPPAPKVASFSSRGPNHLTPEILKPDVIAPGVNILAGWTGASAPTDLDIDPRRVEFNIISGTSMSCPHVSGIAALLRKAYPNWSPAAIKSALVTTAYNLDNSGKSIVDLASGSESTPFVHGAGHVDPNSALDPGLVYDINTGDYIAFLCTIGYDSKRIAVFVPGAATVDACDRELGGPGNLNYPSFSVVFEPTTSVVTQKRVVKNVGSSVDAIYEVKVNAPANIEVKVSPSKLVFNAENQTLSYDITFSSGNLDWSSISSQSFGSIEWSDGTHRVRSPIAVRWKWNQGSSRASI >Manes.06G118500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24889905:24895114:1 gene:Manes.06G118500.v8.1 transcript:Manes.06G118500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFYDLGSPTGLKKLDDYLLARSYITGYQASKDDVTVYAALPKAPSSEYVNVSRWYNHIDALLRISGVSAEGSGVTVEGSAPITEEAIATPPAADSKASAAEVDDDDDVDLFGEETEEEKKAAEERAAAVKASAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSIQMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDNLIEEYLTVEPVNEHVQSCDIVAFNKI >Manes.05G114700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14514861:14528281:1 gene:Manes.05G114700.v8.1 transcript:Manes.05G114700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMDMLLMEADIFREEKNIIDLYIMLLRFSSLVSETIPRHRDYGSSLQSKKIHLKKKLLNAVNELEELKPGVQQKINELNRKYTHQVNGYGTHQHDSLEWPPVKKKTLTSYDMTKAVSPAARAFVYPGSRTQQLSHARPVEEQFRRISLNLPRPREETLSRHSILGPNGLHGPWQPPRTDKGVQYPINLDLTPVEIPSLQQSTENGVRVKEDSNSLELYSLQQSEENGFTMKLDTSRAEIEGPSLESVLTVNDDSQVQRAEALSSMISFETTEAPVQTDLIRQPSPPAVLAEVQDLIPSLSSQVTETENKLEICSPDDVRSESPLQLHISTTMMENFMKLAKSNTDKNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPEAVAIVMAPRDTRKHGIFRLTTPGGMSIIRHCQQRGFHPHNQPPDGGPLYKACTDVYMNPNLKFDVIDLR >Manes.05G114700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14513932:14528281:1 gene:Manes.05G114700.v8.1 transcript:Manes.05G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSTSGTINIAASAQKLDVDNRISLRYYYRIADNILKQADIFREEKNIIDLYIMLLRFSSLVSETIPRHRDYGSSLQSKKIHLKKKLLNAVNELEELKPGVQQKINELNRKYTHQVNGYGTHQHDSLEWPPVKKKTLTSYDMTKAVSPAARAFVYPGSRTQQLSHARPVEEQFRRISLNLPRPREETLSRHSILGPNGLHGPWQPPRTDKGVQYPINLDLTPVEIPSLQQSTENGVRVKEDSNSLELYSLQQSEENGFTMKLDTSRAEIEGPSLESVLTVNDDSQVQRAEALSSMISFETTEAPVQTDLIRQPSPPAVLAEVQDLIPSLSSQVTETENKLEICSPDDVRSESPLQLHISTTMMENFMKLAKSNTDKNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDLHTHYSYQIMLPEAVAIVMAPRDTRKHGIFRLTTPGGMSIIRHCQQRGFHPHNQPPDGGPLYKACTDVYMNPNLKFDVIDLR >Manes.13G013984.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2630139:2631447:1 gene:Manes.13G013984.v8.1 transcript:Manes.13G013984.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYVLNAQEKQSASGPASAYGPASASGPASAYGPTSAFGPASASSEKEEEKEVKKEAKKEKEDEKDAEKEAKKEKEDEKEGEKEAKKEKEDEKEGEKEAKKKEKKEKEAKKEAKKKEKKEKEAKKDAKKEDKDEEEAEKDAKKEDKDEEEAEKDAKKEDKDEEEAEKDAKKEDKDEKEKEDEKEGEKEAKKKEKKEKEAKKDAKKKDKDEKEAKKDAKKEDKDEEEAEKDAKKEDKDEEEAEKDAKKEAKDEKEAEKDAKKEAKDEKEAEKEAKKEVKAEKKAKNDGKDEKEAKNDGKDEKETKISAPAPAPSRSRKKLKMRRKPKRKPRKKLRPKRKLERC >Manes.12G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33879769:33882568:-1 gene:Manes.12G131100.v8.1 transcript:Manes.12G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGYGDPSQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQNKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRSHADKNIVIILIGNKCDLENQRAVPTEDAKEFAQREGLFFLETSALEATNVENAFSTVLTEIFNIVNKKTLTAGENQSNGNPASLAGKKIIIPGPGQEIPAKSKTCCSSL >Manes.12G131100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33879770:33882416:-1 gene:Manes.12G131100.v8.1 transcript:Manes.12G131100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGGYGDPSQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQNKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRSHADKNIVIILIGNKCDLENQRAVPTEDAKEFAQREGLFFLETSALEATNVENAFSTVLTEIFNIVNKKTLTAGENQSNGNPASLAGKKIIIPGPGQEIPAKSKTCCSSL >Manes.10G152700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31939481:31941639:-1 gene:Manes.10G152700.v8.1 transcript:Manes.10G152700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSQMWSLLGLLTVLQNILPTQLLSLLHSIYESLQDLISPYSYFDIPEFNGYCGVEINDLYRHINLYLNSVNPSTSAAACRRLTLSRSKSSNHISFTVAPNQTVHDTFNGHSLSWTHHVETVQDSLEEKRSFSLKLPKRHCTALLSPYLNHVTSRAEEFERVSRERRLFTNNGNASYESGWVSVPFRHPSTFETLALEPQLKKQIMEDLKSFANGRDFYHRVGRAWKRGYLLYGPPGSGKSSLIAAMANYLCYDVYDLELTKVTDNSELRALLIQTTSRSIIVIEDIDCSVDLTADRMLKSTRKRSHTPNGKDSGNEEESGRVTLSGLLNFTDGLWSCCGEERIIVFTTNHRDNVDPALVRCGRMDVHVSLGNCGMHAFKVLAMNYLGIEAHPLFDVVESCIRSGTGALTPAQIGEIMLRNRGNADIAMKEVVGTMQAKILSSGTQKEHLIDYEDTVTRSPQSVLAVGSPENWDSSPGRSGGKRRKEGGSNCEKKARFLVRLRSLTKSDSGRRGV >Manes.02G112300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8659337:8662468:1 gene:Manes.02G112300.v8.1 transcript:Manes.02G112300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVQCSANYVALTPVSFLERAATVYRDKTSIVYGGLRFSWDKTHKRCLKLASALVQLGVTPGDFVATLAPNIPALYELHFSVPMAGAIISALNTRVDATILASLLQQLQAKLIFVDYQYTDVVLQAFDILSIKNGKPPRLVLIPESDQPTSSLSNNQGLFNLDYFALLEMGSVDFQVIKPSNECDPISVNYTSGSTGNPKGVVYSHRAAYLNSLAEIFRCDLRQMPVVFLWTLDMFRCNGWCLTWAMAAVGGTNICLRNVSAKVIFDAIILHKVTHLCGPPSILNIIANAPASEQMKLPSKVNVVVAGALLNPKILLKVEELGFQVTDGYGMTEVLGPAIVRPWKLDSDCNGSSMDDDDDQEKYKSSEGLHNLLMEGVDVKDPNTMKSVPSDGKTIGEVTFRSNILMSGYLGNGKATQEAFRDGWYHTGDLGVRHPNGSIQMKDRAKDIIICGGRSISTLEVEAVLLSHPMVSEAAVVGKFDCDLKEVVPCAFLKLKDGRDADAKEISEFCEDQLPHHMIPKVTVVGDLPLNFNGKVQKFVLREKANALS >Manes.18G004300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:808089:814609:-1 gene:Manes.18G004300.v8.1 transcript:Manes.18G004300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHILSPGTMLLGAHADSTMDFDYMDKLLLEGFRLESIDGSEFFNPSSSSSAACVDSSFLWPASEISNGNFASSRSNQEDEHILLPRIAPPNEAHGRSLVTAQAHGEDIGSAYRLGDNTVDGSEVSRRWWIGPRTSPGSKTSVRDRLIRALGYIKDFTKDNNILIQIWVPVDKGGRRVLTTHDQCFALVPNCQRLANYRHVSINYQFLAEEDSKHMVGLPGRVFLGKVPEWTPDVRFFRSDEYPRVHDAQLCDVRGTLALPVFEQGSRTCLGVIEVVMTKQKIKYHPELERICKALEAVNLQSSEVPSLQHVKACDTSYQAVLPEIHEVLKSACETHKLPLAQTWVPCIQQGKGGSRHSDENYYRCVSTVDHACYVRDSGVQAFQEACSEHHLLKGQGVAGEAFLTNQPCFTSDLTSYGKTEYPLSHHARMFGLHAAVAIRLRSVHTGTADFVLEFFLPADCKDPEEQKKMLTSLSIIIQEVCQSLRVVTDKELEEENDLPISEVLALSYGTPPDEEMFRVTQPYSESYHGDNLSWSDSFPGIQQSGSAVSSFQIEKQKLLIHEKSVDCRQTQEDYSPKVSVEYGGDSAIAEGSFSSVCVSKTGEKRRTKGEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLRKLQVVIDSVQGASGAFQIDSFYTKFPELASPNLSRSTPLSTSKQLGHPESSSIQPEGGIFSSQVAAPKSPSSSSSQSSSSSHCCSSGTEQHASTLTIPTCKDLMLGENSGNCVLKGVKSDAELHVSIQEEQNLLPRSQSQKSLWEQPNLGNLPPLAKSSNRICQEIDGQRVKVTYGNEKIRFRMPNNWELKDLLQEIARRFNIDDIHKYDLKYLDDDSEWVLLTCDDDLEECIDLCQSSQSHTIKLLLQISPHLLDRSTNSRGLS >Manes.12G106000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:28791457:28792345:1 gene:Manes.12G106000.v8.1 transcript:Manes.12G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHIRSTSLPSRSHPLIANVEEQLYKLKASQSSSMSCKLNSLKNLFECVDDLLQVPVAQQSLSHERQSQCVENALNGSLELLDLCDSTRDLFSKTKECVQELELSLRRRKDITSEVEAYMVSRKKLSKVIYKYLRNLKRKERNCTTEAMENNSNLTNMINILTRVQEISLGEFKTIISFISQPKAKSKPSSWSIISKALQSKRISGEVETEVNEVEKIDAELLILKSSKDISISHLRSLLKGLEALGSSIQEAEEELECIYRQLVKTRVSLLNILNH >Manes.07G072514.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20100320:20101844:-1 gene:Manes.07G072514.v8.1 transcript:Manes.07G072514.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEDLAKIIAAINTKNSENDLYHVNNFDAPGFSLVNTPLRGPNYLSWSWSVQIALRAKKKLGFINGKIKAPALDSDDYEKWLTAYSMVVSWLLNAMSKDISDAFVFCKNAKILWDELKHNIAGYKQGSNSVTEYYTSLKKKWDELLCLAPLPVCCETSTAITDYDSNRRLMQFLMGLGDEYDNVKNQILLQDPLPSINKAYSMIMSVEKQRETAAVMLARRNFSGNRSNTGTGNNRASFSSRKEDKKKQYCTNCKGARHIVDDCFSLHGYPDWFIELQKKRGVDVRKYLRANNVTRVATDETPLQQSGLQQKGTDGLDKGMTDFLQQEFQKFLNSRSGSNDQDDGDVRHVNFAGTLLNSVFTSINFNCKDNWIVDSGATDHITPHLNFYDQVVKLHPPKTIRLPDNSTRLSACLLGLVVYLRTYSPNIVYSRIYRLEKYWPKEQCLKIFII >Manes.S041416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1202746:1202904:-1 gene:Manes.S041416.v8.1 transcript:Manes.S041416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.11G120200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27950222:27951122:-1 gene:Manes.11G120200.v8.1 transcript:Manes.11G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANHQHMLRLVLSCRKLTAQVTNPTTSTIIAMASSTEQEFLAQYRTRLNRFPRSHHFWDAKMASRVGEKLGFRLKEIGITSINIDIEEELSRPIHYRKRVLPLFNSVKRVGVAVDGADKLGEIGPA >Manes.18G034900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2939469:2944725:1 gene:Manes.18G034900.v8.1 transcript:Manes.18G034900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTNNYQPPPPQAAAPPPPPPSLAVSRGPTWTPAEQLQQLQYCIHSNPSWPETAFLAFQHYIVMLGTIVLIASNLVPRMGGDHGDKARVIQTLLFMAGINTLIQTFIGTRLPTVMSASVAFTLPVLSIIKDLADETFADEHDRFTHTMRTIQGSLIVSSFVNIILGFSFAWGNLTRFFSPISIVPMVCVVGLGLFMRGFPLLANCVEIGLPMLILLVICQYLKYLHPRGHPILERFGLLFCVGIVWAFAAILTVSGAYNNVGQQTKTSCRTDGSFLISSAPWVRVPYPFQWGAPIFRASHVFGMMGAALVSSAESTGTYFAAARLAGATHPPAHILTRSIGLQGVGMLLEGIFGAAVGNTASVENVGLLGLTHIGSRRVVQISTAFMIFFSIFGKFGALFASIPLPIFAAIYCVLFGIVAAIGISFIQFSNNNSMRNHYILGLSLFLGISIPQYFVSNTTMEGHGPVRTDGGWFNDILNTIFSSPPAVAMITGTLLDNTLDARHTINDRGIPWWKPFQHRKGDVRTEEFYSLPLRINEWLPSRFL >Manes.04G120800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32225463:32226982:-1 gene:Manes.04G120800.v8.1 transcript:Manes.04G120800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPESDTTGISFKETELTLALPGESRSLPEIINGGKTGTKRGFLETVDLNLGSSRSHADNYCTESENDVSSVAKPPAAKEQVVGWPPVRAYRKNAMKNCKYVKVAVDGAPYLRKVDLEMYNSYQQLLSALEDMFSCFTIRNCLNETKIVNGIEYMATYEDKDGDWMMVGDVPWKMFVESCRRLRLMKRSEAIGLAPRTPSKCSSISE >Manes.08G048900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4963644:4967228:1 gene:Manes.08G048900.v8.1 transcript:Manes.08G048900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNFPFFVTFQGSKLSVEENNSWVSPNGDFSIGFFNLADEPNHYSVGIHFNSESILVSEQIVVWVAGAEIAVGNKSYFQLSQTGELVLVDSMKGVTVWTSETSQSAVVSARLCDDGNLVLLDKMGAVVWQSFDNPCDTLLPGQKLSVHKTLRAASKNSVSSYYSLYMNASGQLQLKWESNVVYWTGGSPSVSNLSAVLTSGGILQLVDQNLVPMWSVFGEDHNDRVNFRLLRLDVDGNLRMYSWEDASKSWKSVWQAVENQCNVFATCGQHGICIFNASGSPECQCPFKMTSDPNSKCFEQDCTSAFSMIRYEHTFLYQIYPPSDSIIVTSLEQCKNLCMKNSVCTAATFTNDGTGECRMKTTVDFSGYSSPSLSPVSFVKTCLDPVAVDLHVARSSSAQSPAKHSYRLCIPCLVGAASGTFVIFAVMQLALGCYIYKRRNLVWKKPALAYAGSYSKGLMMLSFAEIKEITGNFKHQIGPNMYRGVLPNHQPVAVKDLETTIEERKFRVAISKIGSIHHRNLVKLNGYCCELGQRILVYEYVKNGSLEKFIEDEELSKKLTWRRRVDIFLGVARAICYLHTGCREFVTHGNLKCENVVLDKNFEAKVSEFGLGIVHPETSRSREKDVEDLGKILLILVTGCLQVEEVCERAYMEWMQGHLEMTVDSRIDDGIDLEELERTLRTAFWCLQTDERMRPSACEVVKVLDGTLTVDPPPPPFASRRLPAEEQSFESGSEP >Manes.08G048900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4963497:4967272:1 gene:Manes.08G048900.v8.1 transcript:Manes.08G048900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNFPFFVTFQGSKLSVEENNSWVSPNGDFSIGFFNLADEPNHYSVGIHFNSESILVSEQIVVWVAGAEIAVGNKSYFQLSQTGELVLVDSMKGVTVWTSETSQSAVVSARLCDDGNLVLLDKMGAVVWQSFDNPCDTLLPGQKLSVHKTLRAASKNSVSSYYSLYMNASGQLQLKWESNVVYWTGGSPSVSNLSAVLTSGGILQLVDQNLVPMWSVFGEDHNDRVNFRLLRLDVDGNLRMYSWEDASKSWKSVWQAVENQCNVFATCGQHGICIFNASGSPECQCPFKMTSDPNSKCFEQDCTSAFSMIRYEHTFLYQIYPPSDSIIVTSLEQCKNLCMKNSVCTAATFTNDGTGECRMKTTVDFSGYSSPSLSPVSFVKTCLDPVAVDLHVARSSSAQSPAKHSYRLCIPCLVGAASGTFVIFAVMQLALGCYIYKRRNLVWKKPALAYAGSYSKGLMMLSFAEIKEITGNFKHQIGPNMYRGVLPNHQPVAVKDLETTIEERKFRVAISKIGSIHHRNLVKLNGYCCELGQRILVYEYVKNGSLEKFIEDEELSKKLTWRRRVDIFLGVARAICYLHTGCREFVTHGNLKCENVVLDKNFEAKVSEFGLGIVHPETSRSREKDVEDLGKILLILVTGCLQVEEVCERAYMEWMQGHLEMTVDSRIDDGIDLEELERTLRTAFWCLQTDERMRPSACEVVKVLDGTLTVDPPPPPFASRRLPAEEQSFESGSEP >Manes.08G048900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4963644:4967228:1 gene:Manes.08G048900.v8.1 transcript:Manes.08G048900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNFPFFVTFQGSKLSVEENNSWVSPNGDFSIGFFNLADEPNHYSVGIHFNSESILVSEQIVVWVAGAEIAVGNKSYFQLSQTGELVLVDSMKGVTVWTSETSQSAVVSARLCDDGNLVLLDKMGAVVWQSFDNPCDTLLPGQKLSVHKTLRAASKNSVSSYYSLYMNASGQLQLKWESNVVYWTGGSPSVSNLSAVLTSGGILQLVDQNLVPMWSVFGEDHNDRVNFRLLRLDVDGNLRMYSWEDASKSWKSVWQAVENQCNVFATCGQHGICIFNASGSPECQCPFKMTSDPNSKCFEQDCTSAFSMIRYEHTFLYQIYPPSDSIIVTSLEQCKNLCMKNSVCTAATFTNDGTGECRMKTTVDFSGYSSPSLSPVSFVKTCLDPVAVDLHVARSSSAQSPAKHSYRLCIPCLVGAASGTFVIFAVMQLALGCYIYKRRNLVWKKPALAYAGSYSKGLMMLSFAEIKEITGNFKHQIGPNMYRGVLPNHQPVAVKDLETTIEERKFRVAISKIGSIHHRNLVKLNGYCCELGQRILVYEYVKNGSLEKFIEDEELSKKLTWRRRVDIFLGVARAICYLHTGCREFVTHGNLKCENVVLDKNFEAKVSEFGLGIVHPETSRSREKDVEDLGKILLILVTGCLQVEEVCERAYMEWMQGHLEMTVDSRIDDGIDLEELERTLRTAFWCLQTDERMRPSACEVVKVLDGTLTVDPPPPPFASRRLPAEEQSFESGSEP >Manes.08G048900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4963643:4967229:1 gene:Manes.08G048900.v8.1 transcript:Manes.08G048900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNFPFFVTFQGSKLSVEENNSWVSPNGDFSIGFFNLADEPNHYSVGIHFNSESILVSEQIVVWVAGAEIAVGNKSYFQLSQTGELVLVDSMKGVTVWTSETSQSAVVSARLCDDGNLVLLDKMGAVVWQSFDNPCDTLLPGQKLSVHKTLRAASKNSVSSYYSLYMNASGQLQLKWESNVVYWTGGSPSVSNLSAVLTSGGILQLVDQNLVPMWSVFGEDHNDRVNFRLLRLDVDGNLRMYSWEDASKSWKSVWQAVENQCNVFATCGQHGICIFNASGSPECQCPFKMTSDPNSKCFEQDCTSAFSMIRYEHTFLYQIYPPSDSIIVTSLEQCKNLCMKNSVCTAATFTNDGTGECRMKTTVDFSGYSSPSLSPVSFVKTCLDPVAVDLHVARSSSAQSPAKHSYRLCIPCLVGAASGTFVIFAVMQLALGCYIYKRRNLVWKKPALAYAGSYSKGLMMLSFAEIKEITGNFKHQIGPNMYRGVLPNHQPVAVKDLETTIEERKFRVAISKIGSIHHRNLVKLNGYCCELGQRILVYEYVKNGSLEKFIEDEELSKKLTWRRRVDIFLGVARAICYLHTGCREFVTHGNLKCENVVLDKNFEAKVSEFGLGIVHPETSRSREKDVEDLGKILLILVTGCLQVEEVCERAYMEWMQGHLEMTVDSRIDDGIDLEELERTLRTAFWCLQTDERMRPSACEVVKVLDGTLTVDPPPPPFASRRLPAEEQSFESGSEP >Manes.08G048900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4963498:4967230:1 gene:Manes.08G048900.v8.1 transcript:Manes.08G048900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNFPFFVTFQGSKLSVEENNSWVSPNGDFSIGFFNLADEPNHYSVGIHFNSESILVSEQIVVWVAGAEIAVGNKSYFQLSQTGELVLVDSMKGVTVWTSETSQSAVVSARLCDDGNLVLLDKMGAVVWQSFDNPCDTLLPGQKLSVHKTLRAASKNSVSSYYSLYMNASGQLQLKWESNVVYWTGGSPSVSNLSAVLTSGGILQLVDQNLVPMWSVFGEDHNDRVNFRLLRLDVDGNLRMYSWEDASKSWKSVWQAVENQCNVFATCGQHGICIFNASGSPECQCPFKMTSDPNSKCFEQDCTSAFSMIRYEHTFLYQIYPPSDSIIVTSLEQCKNLCMKNSVCTAATFTNDGTGECRMKTTVDFSGYSSPSLSPVSFVKTCLDPVAVDLHVARSSSAQSPAKHSYRLCIPCLVGAASGTFVIFAVMQLALGCYIYKRRNLVWKKPALAYAGSYSKGLMMLSFAEIKEITGNFKHQIGPNMYRGVLPNHQPVAVKDLETTIEERKFRVAISKIGSIHHRNLVKLNGYCCELGQRILVYEYVKNGSLEKFIEDEELSKKLTWRRRVDIFLGVARAICYLHTGCREFVTHGNLKCENVVLDKNFEAKVSEFGLGIVHPETSRSREKDVEDLGKILLILVTGCLQVEEVCERAYMEWMQGHLEMTVDSRIDDGIDLEELERTLRTAFWCLQTDERMRPSACEVVKVLDGTLTVDPPPPPFASRRLPAEEQSFESGSEP >Manes.03G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27103538:27110327:1 gene:Manes.03G142900.v8.1 transcript:Manes.03G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVDDALPPSRLPEKNDSSVDDIGDDVNDNPIEQVRLTVPITDDPSQPVLTFRTWLLGISSCVLLAFVNQFFGYRTNQLSVGSVSAQIVTLPLGNLMARVFPKKQIKIPLTKWSFSLNPGPFNLKEHVLITIFASTGSGGVYAVNIITAVKAFYRRPLHPVAAMLLVQTTQLLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRALHEKDKREKRGLTRLQFFLTVFVSSFAYYVLPGYLFPSLSAISFVCWIWKSSVTAQQIGSGQNGLGIGSFGLDWSTVAGFLGSPLAVPFFAIANTLGGYFLVMYIIVPIAYWTNAYDAKKFPIYSSHTFDSNGQTYNISRILDQKNFDINLDAYNDYSRLHLSVLFAFVYGLSFAALMATISHVALFEGKNIWALWKKTSSSMKDKYTDVHTRLMKTNYESVPQWWFVAILIASVALSFLAVEGFNKQLQLPWWGLLLACCIALVFTLPIGVVQATTNMQMGLNVITEFIIGYIYPGKPLANVAFKTYGYISMAQALYFLQDFKLGHYMKIPPKSMFLVQFVGTIVASTVYFATAWYLLSTVENICIPELLPDGSPWTCPGDDVFYNASIIWGVIGPLRMFGRLGLYESMSWFFLVGLVAPVPIWFLSRKFPEKKWIKLIHMPIFLSATASMPPARAVHYLSWGIAGIIFNFYVYRWYKAWWARHNYILSAGLDAGVAFMGIFLFFTLQSKDIYGPSWWGQDATDHCPLAHCPTAPGIVADGCPAFK >Manes.03G142900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27101899:27110327:1 gene:Manes.03G142900.v8.1 transcript:Manes.03G142900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVDDALPPSRLPEKNDSSVDDIGDDVNDNPIEQVRLTVPITDDPSQPVLTFRTWLLGISSCVLLAFVNQFFGYRTNQLSVGSVSAQIVTLPLGNLMARVFPKKQIKIPLTKWSFSLNPGPFNLKEHVLITIFASTGSGGVYAVNIITAVKAFYRRPLHPVAAMLLVQTTQLLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRALHEKDKREKRGLTRLQFFLTVFVSSFAYYVLPGYLFPSLSAISFVCWIWKSSVTAQQIGSGQNGLGIGSFGLDWSTVAGFLGSPLAVPFFAIANTLGGYFLVMYIIVPIAYWTNAYDAKKFPIYSSHTFDSNGQTYNISRILDQKNFDINLDAYNDYSRLHLSVLFAFVYGLSFAALMATISHVALFEGKNIWALWKKTSSSMKDKYTDVHTRLMKTNYESVPQWWFVAILIASVALSFLAVEGFNKQLQLPWWGLLLACCIALVFTLPIGVVQATTNMQMGLNVITEFIIGYIYPGKPLANVAFKTYGYISMAQALYFLQDFKLGHYMKIPPKSMFLVQFVGTIVASTVYFATAWYLLSTVENICIPELLPDGSPWTCPGDDVFYNASIIWGVIGPLRMFGRLGLYESMSWFFLVGLVAPVPIWFLSRKFPEKKWIKLIHMPIFLSATASMPPARAVHYLSWGIAGIIFNFYVYRWYKAWWARHNYILSAGLDAGVAFMGIFLFFTLQSKDIYGPSWWGQDATDHCPLAHCPTAPGIVADGCPAFK >Manes.07G077122.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21145763:21150753:1 gene:Manes.07G077122.v8.1 transcript:Manes.07G077122.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITHSRLLFSLPSSALPKSLNQSLNLINSSFLFNINPLFSSPSLYRICFSLSRPTCRRPSALPIGCHLASRTVLTTPYCHLVSIFYCSNLPIGFLCCVAESLRFWLFEPRCDMHDIGGWYIETFGRDKKGRTVLSQRYWDGLMKVNNMTRDSIQQCIYLLLHIEH >Manes.07G077122.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21145763:21150753:1 gene:Manes.07G077122.v8.1 transcript:Manes.07G077122.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITHSRLLFSLPSSALPKSLNQSLNLINSSFLFNINPLFSSPSLYRICFSLSRPTCRRPSALPIGCHLASRTVLTTPYCHLVSIFYCSNLPIGFLCCVAESLRFWLFEPRCDMHDIGGWYIETFGRDKKGRTVLSQRYWDGLMKVNNMTRDSIQQCIYLLLHIEH >Manes.14G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15449221:15462531:-1 gene:Manes.14G146200.v8.1 transcript:Manes.14G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHLLHMNLATLSSSASFKSSNPLFPCYNHQISPNSFQLLSYSKSSGHKISWTQKLPRIATTSIKCSAKIENANTSQGSAAVKEKSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSFYTFSKLIEVKEIVVVCDPSYQDIFEDTKENISVDLKFALPGKERQDSVYSGLQEVDLNSELVCVHDSARPLVTSADVKKVLEDGWINGAAVLGVPAKATIKEANSESFVVRTLDRKTLWEMQTPQVIKPDLLKKGFELVNRDGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLLAERILNLNSEESSK >Manes.01G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12957092:12960910:-1 gene:Manes.01G047800.v8.1 transcript:Manes.01G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNILVTGGAGYIGSHTVLQLLLGGYSVVVVDNLDNSSAVALERVKELAGEHGKNLSFHQVDLRDKPALEKVFSQTKFDAAIHFAGLKAVGESVEKPLLYFDNNVIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLFAMNPYGRTKLFIEEICRDIYRSDSEWKIILLRYFNPVGAHPSGLIGEDPRGIPNNLMPYVQQVAVGRRPHLTVFGNDHSTKDGTGVRDYIHVVDLADGHIAALRKLSDAKIGCDVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEIVYASTEKAERELKWKAKYGIGEMCKDQWNWASKNPYGFGSPETK >Manes.16G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31886335:31888624:1 gene:Manes.16G113100.v8.1 transcript:Manes.16G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKVLMVFLVVWMVGFGCCIDRACGAVSEFSIKKIGRVNEEGPYLGIVVANAFEMNPLLQSPSFVPNPKLPYLDFSGKRFRIGKVENVKVIIVMTGLSMLNAGVTTQLLLSLFKVSGVVHYGIAGNANPSLQIGDVTIPQYWAHTGLWSWQRYGDEPNDELPLESNGDYTRKIGFLQFSDYNNKTDAENGKPVGNLLNRVWFQPEETFPVNGTPELRQHSFWVPVDKHYFKVAKKVQDLKLGGCVNSTCLPRTPMVVRVKRGISANVFVDNSAYREFLNSKFNATPIDMESAAVALVCSQQLKPFIAIRALSDLAGGGSALSNEAAIFSSLAAQNAVNALIRFISLLSA >Manes.09G067140.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11013368:11020050:1 gene:Manes.09G067140.v8.1 transcript:Manes.09G067140.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESQVCLGSKVHSCIENTSIQHGDTGITSLIQDRVEPQQPAKRPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALENQLLKDRKKNVRKRPPQLENGPPTVPNTVTSQNRASGHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKQGMFKSAAYKKWEKAAIAGVSLVADAAEHLERTATDKGIEHEHSMAECKSPDPMEKGVPPLPAFSQNHYVGSNAQTNMKLKLQLFPIDDGTRRALEMDKHNPYLELTLSTRKKISSVLEHLNQKWGNSRIASGELMLFPYSAHRENFVGHQRWTQDAIVSAADVHTSIGSPPVFRLRYGWFSTIELASATLQETSVSNSIPGRDTVDVENEKRKIVDSVSSSGLSADDKSEENMTHCEDQLTIGNKLHASAPQFTSVPDDLSGFIATEPSNNIVMSSDSAARESWHEKEAVDGANMKQLDNVDDLRLSSGTALSAGEWADSLTNISVGDLLSEVPHDVDPNCFEPPIAQSGQCIQQIPFSCDSFDAAIAAHMSRHQNKMEFSSAVASHTSSIWDAEETCDAFSFQKDHAFYQEIPTSIDVITPDTDKQMNSMVSGDFIEGSPNGEGHVDYPAETGPMNECSPDPHGVDNSAKDFTGLTDIYWPESLGPLDLDIPSSKYHNEEFILSDSLSGLNRLIASSLDAFQNCSFFGLDKKDLMPVIEARESTSFSDFKIGNGA >Manes.09G067140.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11013368:11020050:1 gene:Manes.09G067140.v8.1 transcript:Manes.09G067140.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESQVCLGSKVHSCIENTSIQHGDTGITSLIQDRVEPQQPAKRPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALENQLLKDRKKNVRKRPPQLENGPPTVPNTVTSQNRASGHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKQVGMFKSAAYKKWEKAAIAGVSLVADAAEHLERTATDKGIEHEHSMAECKSPDPMEKGVPPLPAFSQNHYVGSNAQTNMKLKLQLFPIDDGTRRALEMDKHNPYLELTLSTRKKISSVLEHLNQKWGNSRIASGELMLFPYSAHRENFVGHQRWTQDAIVSAADVHTSIGSPPVFRLRYGWFSTIELASATLQETSVSNSIPGRDTVDVENEKRKIVDSVSSSGLSADDKSEENMTHCEDQLTIGNKLHASAPQFTSVPDDLSGFIATEPSNNIVMSSDSAARESWHEKEAVDGANMKQLDNVDDLRLSSGTALSAGEWADSLTNISVGDLLSEVPHDVDPNCFEPPIAQSGQCIQQIPFSCDSFDAAIAAHMSRHQNKMEFSSAVASHTSSIWDAEETCDAFSFQKDHAFYQEIPTSIDVITPDTDKQMNSMVSGDFIEGSPNGEGHVDYPAETGPMNECSPDPHGVDNSAKDFTGLTDIYWPESLGPLDLDIPSSKYHNEEFILSDSLSGLNRLIASSLDAFQNCSFFGLDKKDLMPVIEARESTSFSDFKIGNGA >Manes.09G067140.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11013368:11020050:1 gene:Manes.09G067140.v8.1 transcript:Manes.09G067140.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESQVCLGSKVHSCIENTSIQHGDTGITSLIQDRVEPQQPAKRPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALENQLLKDRKKNVRKRPPQLENGPPTVPNTVTSQNRASGHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKQGMFKSAAYKKWEKAAIAGVSLVADAAEHLERTATDKGIEHEHSMAECKSPDPMEKGVPPLPAFSQNHYVGSNAQTNMKLKLQLFPIDDGTRRALEMDKHNPYLELTLSTRKKISSVLEHLNQKWGNSRIASGELMLFPYSAHRENFVGHQRWTQDAIVSAADVHTSIGSPPVFRLRYGWFSTIELASATLQETSVSNSIPGRDTVDVENEKRKIVDSVSSSGLSADDKSEENMTHCEDQLTIGNKLHASAPQFTSVPDDLSGFIATEPSNNIVMSSDSAARESWHEKEAVDGANMKQLDNVDDLRLSSGTALSAGEWADSLTNISVGDLLSEVPHDVDPNCFEPPIAQSGQCIQQIPFSCDSFDAAIAAHMSRHQNKMEFSSAVASHTSSIWDAEETCDAFSFQKDHAFYQEIPTSIDVITPDTDKQMNSMVSGDFIEGSPNGEGHVDYPAETGPMNECSPDPHGVDNSAKDFTGLTDIYWPESLGPLDLDIPSSKYHNEEFILSDSLSGLNRLIASSLDAFQNCSFFGLDKKDLMPVIEARESTSFSDFKIGNGA >Manes.09G067140.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11013368:11020050:1 gene:Manes.09G067140.v8.1 transcript:Manes.09G067140.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESQVCLGSKVHSCIENTSIQHGDTGITSLIQDRVEPQQPAKRPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALENQLLKDRKKNVRKRPPQLENGPPTVPNTVTSQNRASGHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKQVGMFKSAAYKKWEKAAIAGVSLVADAAEHLERTATDKGIEHEHSMAECKSPDPMEKGVPPLPAFSQNHYVGSNAQTNMKLKLQLFPIDDGTRRALEMDKHNPYLELTLSTRKKISSVLEHLNQKWGNSRIASGELMLFPYSAHRENFVGHQRWTQDAIVSAADVHTSIGSPPVFRLRYGWFSTIELASATLQETSVSNSIPGRDTVDVENEKRKIVDSVSSSGLSADDKSEENMTHCEDQLTIGNKLHASAPQFTSVPDDLSGFIATEPSNNIVMSSDSAARESWHEKEAVDGANMKQLDNVDDLRLSSGTALSAGEWADSLTNISVGDLLSEVPHDVDPNCFEPPIAQSGQCIQQIPFSCDSFDAAIAAHMSRHQNKMEFSSAVASHTSSIWDAEETCDAFSFQKDHAFYQEIPTSIDVITPDTDKQMNSMVSGDFIEGSPNGEGHVDYPAETGPMNECSPDPHGVDNSAKDFTGLTDIYWPESLGPLDLDIPSSKYHNEEFILSDSLSGLNRLIASSLDAFQNCSFFGLDKKDLMPVIEARESTSFSDFKIGNGA >Manes.09G067140.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11013368:11020050:1 gene:Manes.09G067140.v8.1 transcript:Manes.09G067140.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESQVCLGSKVHSCIENTSIQHGDTGITSLIQDRVEPQQPAKRPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALENQLLKDRKKNVRKRPPQLENGPPTVPNTVTSQNRASGHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKQGMFKSAAYKKWEKAAIAGVSLVADAAEHLERTATDKGIEHEHSMAECKSPDPMEKGVPPLPAFSQNHYVGSNAQTNMKLKLQLFPIDDGTRRALEMDKHNPYLELTLSTRKKISSVLEHLNQKWGNSRIASGELMLFPYSAHRENFVGHQRWTQDAIVSAADVHTSIGSPPVFRLRYGWFSTIELASATLQETSVSNSIPGRDTVDVENEKRKIVDSVSSSGLSADDKSEENMTHCEDQLTIGNKLHASAPQFTSVPDDLSGFIATEPSNNIVMSSDSAARESWHEKEAVDGANMKQLDNVDDLRLSSGTALSAGEWADSLTNISVGDLLSEVPHDVDPNCFEPPIAQSGQCIQQIPFSCDSFDAAIAAHMSRHQNKMEFSSAVASHTSSIWDAEETCDAFSFQKDHAFYQEIPTSIDVITPDTDKQMNSMVSGDFIEGSPNGEGHVDYPAETGPMNECSPDPHGVDNSAKDFTGLTDIYWPESLGPLDLDIPSSKYHNEEFILSDSLSGLNRLIASSLDAFQNCSFFGLDKKDLMPVIEARESTSFSDFKIGNGA >Manes.09G067140.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11013368:11020050:1 gene:Manes.09G067140.v8.1 transcript:Manes.09G067140.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESQVCLGSKVHSCIENTSIQHGDTGITSLIQDRVEPQQPAKRPTRQWAAWTREEEESFFTALRQVGKNFEKITRRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALENQLLKDRKKNVRKRPPQLENGPPTVPNTVTSQNRASGHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKQVGMFKSAAYKKWEKAAIAGVSLVADAAEHLERTATDKGIEHEHSMAECKSPDPMEKGVPPLPAFSQNHYVGSNAQTNMKLKLQLFPIDDGTRRALEMDKHNPYLELTLSTRKKISSVLEHLNQKWGNSRIASGELMLFPYSAHRENFVGHQRWTQDAIVSAADVHTSIGSPPVFRLRYGWFSTIELASATLQETSVSNSIPGRDTVDVENEKRKIVDSVSSSGLSADDKSEENMTHCEDQLTIGNKLHASAPQFTSVPDDLSGFIATEPSNNIVMSSDSAARESWHEKEAVDGANMKQLDNVDDLRLSSGTALSAGEWADSLTNISVGDLLSEVPHDVDPNCFEPPIAQSGQCIQQIPFSCDSFDAAIAAHMSRHQNKMEFSSAVASHTSSIWDAEETCDAFSFQKDHAFYQEIPTSIDVITPDTDKQMNSMVSGDFIEGSPNGEGHVDYPAETGPMNECSPDPHGVDNSAKDFTGLTDIYWPESLGPLDLDIPSSKYHNEEFILSDSLSGLNRLIASSLDAFQNCSFFGLDKKDLMPVIEARESTSFSDFKIGNGA >Manes.09G067140.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11013368:11020050:1 gene:Manes.09G067140.v8.1 transcript:Manes.09G067140.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESQVCLGSKVHSCIENTSIQHGDTGITSLIQDRVEPQQPAKRPTRQWAAWTREEEESFFTALRQVGKVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALENQLLKDRKKNVRKRPPQLENGPPTVPNTVTSQNRASGHETRTVKLVLVDSQNLQRLGAGKGSLKRNVNIGVIRSNRGDSTAMKPARQRRKQGMFKSAAYKKWEKAAIAGVSLVADAAEHLERTATDKGIEHEHSMAECKSPDPMEKGVPPLPAFSQNHYVGSNAQTNMKLKLQLFPIDDGTRRALEMDKHNPYLELTLSTRKKISSVLEHLNQKWGNSRIASGELMLFPYSAHRENFVGHQRWTQDAIVSAADVHTSIGSPPVFRLRYGWFSTIELASATLQETSVSNSIPGRDTVDVENEKRKIVDSVSSSGLSADDKSEENMTHCEDQLTIGNKLHASAPQFTSVPDDLSGFIATEPSNNIVMSSDSAARESWHEKEAVDGANMKQLDNVDDLRLSSGTALSAGEWADSLTNISVGDLLSEVPHDVDPNCFEPPIAQSGQCIQQIPFSCDSFDAAIAAHMSRHQNKMEFSSAVASHTSSIWDAEETCDAFSFQKDHAFYQEIPTSIDVITPDTDKQMNSMVSGDFIEGSPNGEGHVDYPAETGPMNECSPDPHGVDNSAKDFTGLTDIYWPESLGPLDLDIPSSKYHNEEFILSDSLSGLNRLIASSLDAFQNCSFFGLDKKDLMPVIEARESTSFSDFKIGNGA >Manes.01G199500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37330169:37331284:1 gene:Manes.01G199500.v8.1 transcript:Manes.01G199500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIRIRKVWACNLIDELQNMDAALQHYRLVSMDMEFPGFLRNTPRRSDEFSLFADMKFNVDNLKILQLGITVFDENGIIAGTWEFNFKFLLERDVFDPNSIEFLKTNGIDFEELKTNGINMVSFPNLFAPLFNRHRNLKWITFHGLYDLAYMVKLLTQQRLPMSILGFTDIIAALFGCYMFDVKYIARFYNDLHRGELGLEKLAKILGVRRVGGAHHAGSDSLLTACVFTKMKAVYGIEESKFAGFLYGISTRIYKPVRTQVLSLPRIGNQGFSSYGRCSLLTSVNQ >Manes.S049116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1600540:1601352:-1 gene:Manes.S049116.v8.1 transcript:Manes.S049116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.12G084800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12622653:12635287:1 gene:Manes.12G084800.v8.1 transcript:Manes.12G084800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRECDDRRLKTKYNNAIYVIQRALTLYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKEAQNCSDRGLNCFPIRTIYFESNSAFPEINSFTYDTASRYGLQLDIISLDFKCGLESLLKANPIKAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFILTCKVQYCSLYDQGYTSIGSIYDTIPNSMLCINDSGCNTKFKPAYLLSDGRLERAGRVKKSSPSIPGPFSVAGDISDSVDPHKNGMLVASLIAVGDEILSGTVEDQLSTSLCRKLLSIGWSVSQTVIVQNDIDSVAEEVERHKSTNDMVFIYGGVGPLHSDVTSAGVAKAFGVRLAPDEEFEEYLKHLIGDYCTGDRNEMALLPEGITELLHHEKLLVPMIKCQNVIILAATDSAELDKEWECLTELGKSYGLLAIGEPFISRRLTTNLSDVEIAQPLSKLCLEFPDVSIGAYRKCRRNGSLIINFKGKKRARIDLAVEALCKMFPSIAFTEVN >Manes.11G045500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4877826:4881536:-1 gene:Manes.11G045500.v8.1 transcript:Manes.11G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINQRSLIVLSFLCYFLLIRPSHSFTDTLLQGQQLKDHDHLISADGSFKLGFFSPGTSRSRYLGIWYNVVDENKIFIAKKKEVWVANRDNPLSDASGILTIDKSGKLTILHGDNSTIPLSSVEAASNVSAELLNSGNFVLKEMNLDGSTKQILWQSFDYPTDTLLPGMKLGFDDKKMKIWSLTSWINDNIPAQGSFSLTIAMGFNNRTSQLVIWWKGSIYWTSGMWQSGRFELASQLSNEGHPDFSFISNDGLNYFTYSLSQSENHSLSRYMIDSSGSLLEIGGMAPFGACSYKSDPGCVAQKMPDCRSQNVWFEAKKGFMSAEGQKFMESSNLSSFDCQAKCLNNCSCAAYAYSSANQTVCEIWSQGITFTEKYDETRVIYVLRGKKAKRWIWAAITFPVLMATLVACSVYYFIQRRNRMAAENDAEQEILLCVLETEATDSSPTGKLNDVKRDRKKSHELNFFSFESIVSATNNFAAANKLGEGGFGPVFKGKLNDSQQQQVAVKRLSRNSGQGLAEFKNELLLIAKLQHTNLVRLIGCCIQREEKILIYEFMPNKSLDSFLFDPEKKHLLDWKKRLHIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDAEMNPKISDFGMARIFGKNESEAKTIRIIGTHGYMAPEYALKGVVSIKIDVFSFGVLLLEIVSSKKSYKNYSSECPLNLIGLAWELWSEARGLEFMDPTLEESCSPNEVLRSIHIGLLCVQDQATDRPTMSDIVSMLTNETLDLPAPKQPAFFLDRSADEREVHRNSSENSLNSASITVVEAR >Manes.08G020500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2066732:2078897:1 gene:Manes.08G020500.v8.1 transcript:Manes.08G020500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVYTYQKENQERNEENGNATLDNNRFQTDTELHELTNNNTSAPICTLIEENHNPGNAGSHAISLNETPQQKPKRKRHRPKVITEGKPRTKPVTAKPSTSTVSPTGKRKYVRKKPLNKAPTTTPAESKDNPTGKRRYVRKKPLNKASTTTPLEVTGGSIDPKALEPANKSCRRSLNFDIEGKPGEEHSKPQEQDVSRGNQSKSTVSIGQGIEVLVEATQAGIPYDLADSVNQMLKDYMLFAEKQAPSTPLPKQTYNPRRKGNDNFQDSHAKVEVQVTIHTVQQNTTQIILPADTQLPSVNPDNSNSSPCTILTDKGKANGSKRKHLSFVQQPDSCNTNLTGIRYNSLPAYQIMFPSIFKKKRTEKGQCSTTSSTSCVTAAEDMGRQEETCPQKDASADPSTSTSNCMISASHSSSNGIPGAHGETGGLQCELQTSGPLISQRERSTRKRSKGPNQIQGLASVAKVATDVLHCDCKTQVMADKNEHQVEDSNRPQTCIEALVAEMRGTLKTKKRTKKRFFLVNSTSSSANGEQSHGRIILYNQHQFLAKSLGAPQEVIWRQMSPVDAIVEQLQHLDINRESDGIAYSNHSALVPYKIKDQPNALVLYRRDGSIIPSTDAFEPIKKRRPRPKVYLDEETNRVWKLLLGNINSEGIDGTDEEKAKWWEEEREVFRGRTDSFIARMHLVQGDRRFSQWKGSVVDSVIGVFLTQNVSDHLSSSAFMALAARFPLKSRSNTNPFYEEGTSSAIEKPIVCMPDLEEAIKWNEMSNHSICDQSSMTLHDSELDEEQEVVNSNESSTSSNVIISSTSCIETYYQSKAKTSTTETTNTAYNGNIEEKKSATDDAFSSQNSVILSQNSVDSPSAQIAERRESFPRNNLEVEDLIDRSKFVSWKSSSSFMELLIKAGSNKLHEEVSEGNGTILSNQNPKDEHENTQNAGNDFHTPKIYNVDSPKSSLEASVAPSTNCHLHHIPNLGGVGVEFYKMIAEERRVYEVSNNSRRNSMKEPSSFISESGSQTTDDNNLTVAPQEASRSPTPNNPPYGIQEGQHITTLSQSKPIADLNIVSNSLDQTQNNEMQKEPCMRNPGVTLDIVESSSALNEQENNSQRTESDLIEHGFSEIKEINDMNAANRKAKSRRVGKEIRENVDWDALRKQAEAKGKRQRTPNTMDSLDWEALRCADVNDIAETIKDRGMNNVLAERIKNFLNRLVRDHGSIDLEWLRDIPPDKAKEYLLSVRGLGLKSVECVRLLTLQHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYIWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPDEKSIVSSAKNSTNEQNPAVMVEQVPLLLPQATEQSEGNQLLESNLQLEARYGVSNCEPIVEEPSSPEPECPQVTENDMEDALCEDPDEIPTIKLNIEEFTQNLQNYMQENMELQEGDMSKALVALTAEAASIPTPKLKNVSQLRTEHHVYELPDSHPLLQGLERREPDDPCSYLLAIWTPGEMANSVQPPESRCNSQEYGKLCDEKTCFSCNSIREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRTWIWNLPRRTVYFGTSIPTIFKGMTTEAIQHCFWRGYVCVRGFDQKTRAPRPLMARLHFPASKLNKTRGRGSDD >Manes.08G020500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2066732:2078897:1 gene:Manes.08G020500.v8.1 transcript:Manes.08G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGRENLKEVQIEDSWIPKTPFKPILPKPHVIYTNRQGNQLYQANGSGSKPFSTGLSQETRDGMSSACSNSAVDGNLKAVLGAQKGIAGDTVETCGGLPINGTARWNFTSLLAFQDQTSAATTVAASYKIEDFPLGSNHSSINDAQATPHEHAYDLNLPPGGAADGKTTSQFQIIPLTPEKDATTGCRQVTQMQHVYTYQKENQERNEENGNATLDNNRFQTDTELHELTNNNTSAPICTLIEENHNPGNAGSHAISLNETPQQKPKRKRHRPKVITEGKPRTKPVTAKPSTSTVSPTGKRKYVRKKPLNKAPTTTPAESKDNPTGKRRYVRKKPLNKASTTTPLEVTGGSIDPKALEPANKSCRRSLNFDIEGKPGEEHSKPQEQDVSRGNQSKSTVSIGQGIEVLVEATQAGIPYDLADSVNQMLKDYMLFAEKQAPSTPLPKQTYNPRRKGNDNFQDSHAKVEVQVTIHTVQQNTTQIILPADTQLPSVNPDNSNSSPCTILTDKGKANGSKRKHLSFVQQPDSCNTNLTGIRYNSLPAYQIMFPSIFKKKRTEKGQCSTTSSTSCVTAAEDMGRQEETCPQKDASADPSTSTSNCMISASHSSSNGIPGAHGETGGLQCELQTSGPLISQRERSTRKRSKGPNQIQGLASVAKVATDVLHCDCKTQVMADKNEHQVEDSNRPQTCIEALVAEMRGTLKTKKRTKKRFFLVNSTSSSANGEQSHGRIILYNQHQFLAKSLGAPQEVIWRQMSPVDAIVEQLQHLDINRESDGIAYSNHSALVPYKIKDQPNALVLYRRDGSIIPSTDAFEPIKKRRPRPKVYLDEETNRVWKLLLGNINSEGIDGTDEEKAKWWEEEREVFRGRTDSFIARMHLVQGDRRFSQWKGSVVDSVIGVFLTQNVSDHLSSSAFMALAARFPLKSRSNTNPFYEEGTSSAIEKPIVCMPDLEEAIKWNEMSNHSICDQSSMTLHDSELDEEQEVVNSNESSTSSNVIISSTSCIETYYQSKAKTSTTETTNTAYNGNIEEKKSATDDAFSSQNSVILSQNSVDSPSAQIAERRESFPRNNLEVEDLIDRSKFVSWKSSSSFMELLIKAGSNKLHEEVSEGNGTILSNQNPKDEHENTQNAGNDFHTPKIYNVDSPKSSLEASVAPSTNCHLHHIPNLGGVGVEFYKMIAEERRVYEVSNNSRRNSMKEPSSFISESGSQTTDDNNLTVAPQEASRSPTPNNPPYGIQEGQHITTLSQSKPIADLNIVSNSLDQTQNNEMQKEPCMRNPGVTLDIVESSSALNEQENNSQRTESDLIEHGFSEIKEINDMNAANRKAKSRRVGKEIRENVDWDALRKQAEAKGKRQRTPNTMDSLDWEALRCADVNDIAETIKDRGMNNVLAERIKNFLNRLVRDHGSIDLEWLRDIPPDKAKEYLLSVRGLGLKSVECVRLLTLQHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYIWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPDEKSIVSSAKNSTNEQNPAVMVEQVPLLLPQATEQSEGNQLLESNLQLEARYGVSNCEPIVEEPSSPEPECPQVTENDMEDALCEDPDEIPTIKLNIEEFTQNLQNYMQENMELQEGDMSKALVALTAEAASIPTPKLKNVSQLRTEHHVYELPDSHPLLQGLERREPDDPCSYLLAIWTPGEMANSVQPPESRCNSQEYGKLCDEKTCFSCNSIREANSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRTWIWNLPRRTVYFGTSIPTIFKGMTTEAIQHCFWRGYVCVRGFDQKTRAPRPLMARLHFPASKLNKTRGRGSDD >Manes.13G101500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29749726:29760555:1 gene:Manes.13G101500.v8.1 transcript:Manes.13G101500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRDHQLPGHRPRRRAHHPQPIVLQPGQVAYRVICHASIAGGLIGRSGSIISQIRRATDCTIRCEELVKGSNHRVIVVIGSASLGRRIALKSMEGDDEDEKELVSVAQEGVIRVCERMWEVDAHSRRVDDSEKGVSEGYCGLLADTTQIGAVVGRGGKNVVRMRRESGAQIRILPAPPCAAKDDELIQITGAILAVKKAMVAVTECLHDCPPNNKEPMSLSRPAERASSIASSDPHAEFFPHLSPLLPLSTENCTNPHSSSSDADEDPKQDVKSTRQEVSFRLLCSNGAAGSIIGKRGTIVRTLQNETGASIVFAAPTTMSGERVVTISAFENLESCHSPAQKAVILVFARSIEHAIEKGRLSGLIKGTTVTARLLVASDVVCCLNGDGGAIDSEMTELADIQIVEGEQSLDCASENDVVIEITGEYENVQNALSLVTRKLRDNLFPTEVLNDVRARSPHGRVMGSPRSQQSTGLSFDSDRERSLRGEMDQLGLSNSLRNASSSGQQSPKKFGKRHARTIKNNGNSSMSSGGGSELERSLHLLLPEEVLEEVGARSLGGVRETRCPKSHSLSDVASHLNQETILTKGNNQPGLSNNGNHSSVLRMPQAAGRGSSNFGGSIELDSLCTRKRSAIVANTILELIVSEDILGSIYGDDGNNLARLRQISGAKVEVRNPSPSKSERMVVISGTPDQTRAAQSLLQAFILADQ >Manes.13G101500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29749726:29760555:1 gene:Manes.13G101500.v8.1 transcript:Manes.13G101500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRDHQLPGHRPRRRAHHPQPIVLQPGQVAYRVICHASIAGGLIGRSGSIISQIRRATDCTIRCEELVKGSNHRVIVVIGSASLGRRIALKSMEGDDEDEKELVSVAQEGVIRVCERMWEVDAHSRRVDDSEKGVSEGYCGLLADTTQIGAVVGRGGKNVVRMRRESGAQIRILPAPPCAAKDDELIQITGAILAVKKAMVAVTECLHDCPPNNKEPMSLSRPAERASSIASSDPHAEFFPHLSPLLPLSTENCTNPHSSSSDADEDPKQDVKSTRQEVSFRLLCSNGAAGSIIGKRGTIVRTLQNETGASIVFAAPTTMSGERVVTISAFENLESCHSPAQKAVILVFARSIEHAIEKGRLSGLIKGTTVTARLLVASDVVCCLNGDGGAIDSEMTELADIQIVEGEQSLDCASENDVVIEITGEYENVQNALSLVTRKLRDNLFPTEVLNDVRARSPHGRVMGSPRSQQSTGLSFDSDRERSLRGEMDQLGLSNSLRNASSSGQQSPKKFGKRHARTIKNNGNSSMSSGGGSELERSLHLLLPEEVLEEVGARSLGGVRETRCPKSHSLSDVASHLNQETILTKGNNQPGLSNNGNHSSVLRMPQAAGRGSSNFGGSIELDRSVLCNTNY >Manes.13G101500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29749726:29760555:1 gene:Manes.13G101500.v8.1 transcript:Manes.13G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRDHQLPGHRPRRRAHHPQPIVLQPGQVAYRVICHASIAGGLIGRSGSIISQIRRATDCTIRCEELVKGSNHRVIVVIGSASLGRRIALKSMEGDDEDEKELVSVAQEGVIRVCERMWEVDAHSRRVDDSEKGVSEGYCGLLADTTQIGAVVGRGGKNVVRMRRESGAQIRILPAPPCAAKDDELIQITGAILAVKKAMVAVTECLHDCPPNNKEPMSLSRPAERASSIASSDPHAEFFPHLSPLLPLSTENCTNPHSSSSDADEDPKQDVKSTRQEVSFRLLCSNGAAGSIIGKRGTIVRTLQNETGASIVFAAPTTMSGERVVTISAFENLESCHSPAQKAVILVFARSIEHAIEKGRLSGLIKGTTVTARLLVASDVVCCLNGDGGAIDSEMTELADIQIVEGEQSLDCASENDVVIEITGEYENVQNALSLVTRKLRDNLFPTEVLNDVRARSPHGRVMGSPRSQQSTGLSFDSDRERSLRGEMDQLGLSNSLRNASSSGQQSPKKFGKRHARTIKNNGNSSMSSGGGSELERSLHLLLPEEVLEEVGARSLGGVRETRCPKSHSLSDVASHLNQETILTKGNNQPGLSNNGNHSSVLRMPQAAGRGSSNFGGSIELDSSLCTRKRSAIVANTILELIVSEDILGSIYGDDGNNLARLRQISGAKVEVRNPSPSKSERMVVISGTPDQTRAAQSLLQAFILADQ >Manes.14G158748.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21096107:21097445:-1 gene:Manes.14G158748.v8.1 transcript:Manes.14G158748.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLISLVLVMLLTLVIGCNSSGNADHLPVTSDESEDLEVKGKAQEATEETQEAAEKAKEKSESWTGWAKGKFSETIGRKHDQVKKASDEVADSAQKAKEEAHEKAGDVKDAASTAKEKISKMTNAAKEKLDATVGAAKEKASEAAAGAKEKAHEAKEGAAEKAEELKNKARETSDAAQEKASQKAEEAKERAAENAESAKEAAKEMGKKVREKQAETEEHVERAKDEIKEGLDTAKQKAGENLESAKKKAQELKSNEGGKAADEEL >Manes.05G034700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2921252:2924845:-1 gene:Manes.05G034700.v8.1 transcript:Manes.05G034700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMADTEKSQEVVTENLRKQLAVAVRSIQWSYAIFWSLSTRQKGVLEWVDGYYNGDIKTRKTVQALELKHDEIGLQRSEQLRELYTSLLECETDQQAKRPSAALSPEDLSDAEWYYLLCMSFLFSPGQSLPGRALENRETIWLCNAQYADNKVFSRSLLAKSASIQTVVCFPHLGGVAELGVTELVAEDPSLIQHIKASLLEFSKPVCAAKSLSAPHSADDDKGPVCVQVDCETVYASEDLHHPADTQGNGQQELNMDSADDCSNGFEKNHRAEDSILEYINGGTSHVQSWHFTDGELSNGAEGSVTSSDCMSEAVANEGNSLSCPKDKNISHFQLKELQEGNNRKFSSLDLGASDNLHYRRTLSLVLRSSTQLSGNSCFCSGNHKSNFFTWKKGAFNGHKPQLQQNMLKKILFTIPLMHSGSSLRPHIEDGGEDSFRKLKSNEICQGLKLMIHSVSEMNKASIVNDMIKYLQELEARVEELESCMDLAEYTAGPRRNDLDMVEQTSDNYENKNTDNEKKLWTSKRKASDIYETVTELDEMVSDQDVPSNVKVSMREKEVEVEMKCSYREYILLDIMDEINNLHLDVHSVQSSTIDGILTVTLKSKFRGAAVAPAGMIKQALLKIGCN >Manes.05G034700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2921252:2924845:-1 gene:Manes.05G034700.v8.1 transcript:Manes.05G034700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMADTEKSQEVVTENLRKQLAVAVRSIQWSYAIFWSLSTRQKGVLEWVDGYYNGDIKTRKTVQALELKHDEIGLQRSEQLRELYTSLLECETDQQAKRPSAALSPEDLSDAEWYYLLCMSFLFSPGQSLPGRALENRETIWLCNAQYADNKVFSRSLLAKSASIQTVVCFPHLGGVAELGVTELVAEDPSLIQHIKASLLEFSKPVCAAKSLSAPHSADDDKGPVCVQVDCETVYASEDLHHPADTQGNGQQELNMDSADDCSNGFEKNHRAEDSILEYINGGTSHVQSWHFTDGELSNGAEGSVTSSDCMSEAVANEGNSLSCPKDKNISHFQLKELQEGNNRKFSSLDLGASDNLHYRRTLSLVLRSSTQLSGNSCFCSGNHKSNFFTWKKGAFNGHKPQLQQNMLKKILFTIPLMHSGSSLRPHIEDGGEDSFRKLKSNEICQGLKLMIHSVSEMNKASIVNDMIKYLQELEARVEELESCMDLAEYTAGPRRNDLDMVEQTSDNYENKNTDNEKKLWTSKRKASDIYETVTELDEMVSDQDVPSNVKVSMREKEVEVEMKCSYREYILLDIMDEINNLHLDVHSVQSSTIDGILTVTLKSKFRGAAVAPAGMIKQALLKIGCN >Manes.05G034700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2921252:2924845:-1 gene:Manes.05G034700.v8.1 transcript:Manes.05G034700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMADTEKSQEVVTENLRKQLAVAVRSIQWSYAIFWSLSTRQKGVLEWVDGYYNGDIKTRKTVQALELKHDEIGLQRSEQLRELYTSLLECETDQQAKRPSAALSPEDLSDAEWYYLLCMSFLFSPGQSLPGRALENRETIWLCNAQYADNKVFSRSLLAKSASIQTVVCFPHLGGVAELGVTELVAEDPSLIQHIKASLLEFSKPVCAAKSLSAPHSADDDKGPVCVQVDCETVYASEDLHHPADTQGNGQQELNMDSADDCSNGFEKNHRAEDSILEYINGGTSHVQSWHFTDGELSNGAEGSVTSSDCMSEAVANEGNSLSCPKDKNISHFQLKELQEGNNRKFSSLDLGASDNLHYRRTLSLVLRSSTQLSGNSCFCSGNHKSNFFTWKKGAFNGHKPQLQQNMLKKILFTIPLMHSGSSLRPHIEDGGEDSFRKLKSNEICQGLKLMIHSVSEMNKASIVNDMIKYLQELEARVEELESCMDLAEYTAGPRRNDLDMVEQTSDNYENKNTDNEKKLWTSKRKASDIYETVTELDEMVSDQDVPSNVKVSMREKEVEVEMKCSYREYILLDIMDEINNLHLDVHSVQSSTIDGILTVTLKSKFRGAAVAPAGMIKQALLKIGCN >Manes.02G210500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24562588:24564936:1 gene:Manes.02G210500.v8.1 transcript:Manes.02G210500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQIHFVLLISRQGKVRLTKWYSPHSQKERSKIIRELSGVIINRGPKLCNFVQWKGLKAVYRRYAGLYFCMCIDEGDNELEALDIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKRTVIRLMSTHDSLVDMAKEQGSSISNMIAHVTK >Manes.06G035125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10212960:10223771:-1 gene:Manes.06G035125.v8.1 transcript:Manes.06G035125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGV >Manes.06G164200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29089755:29091548:1 gene:Manes.06G164200.v8.1 transcript:Manes.06G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALSVVGSSVVDSHTSPCLCLDALPTSNMNLKNSGELVLQRNSMKRKQLVRPGSLELGSSFVDSWHDGRFSSKAISGIVSAKSSRKQRKDRRLVVVCELGGQYEDSFGDVKRQLLNYFTYKAVRTVLNQLYEMNPTQYRWFYDFVASNQPGEGKRFIRTLVKEHQGLAERVMVTRLHLYGKWVKKCNHAEIYQEISDENLELMRERLKETVIWPSDDSNWEKIG >Manes.14G125200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9927357:9930807:-1 gene:Manes.14G125200.v8.1 transcript:Manes.14G125200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVYIPSTRHSITQSFSSMANSTNFCALLLALILYLSSFTWAAIDVSVYESFLQCLQNNTNPQDHISDFVYSQTNASYTSVLQAYIRNARLINSTTPKPKPLIILAPTQISHVQASVICSKKVGYQLKIRSGGHDYEGISYSSDDPFFLLDMFNLRSVDVDVKNESAWVQTGATLGEVYYRIWEKSKAHGFPAGICPTVGVGGHFSGGGYGNMLRKFGLAVDNVLDAQIVDANGKLLDRKAMGEDLFWAIRGGGGASFGVIISYKIKLVLVPEIVTVFKVERFIEDNATDVAYKWQLVAPKTDKNLFMRMLLQPVTSKTKKKQKTIRVSVYALYLGEADTLVSLLGKEFPELGLKKENCNETSWIRSVVWWANSDHVTSPKDLLDRNLNSAKFLKRKSDYVQTPISKDGLKWIWQRMIELGKTGLVFNPYGGRMDEISSSDAPFPHRAGNLFKIQYSVNWEQAGSKAENEYLTQIRKLYSYMTPFVSKNPRSAFLNYRDLDIGVMEAGKNSYEEGSVYGIKYFKGNFDRLVKVKTVIDPENFFRNEQSIPTLSRGYSGVDVGRDSRSIVKLIIGVIIYLLSF >Manes.14G125200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9927357:9930817:-1 gene:Manes.14G125200.v8.1 transcript:Manes.14G125200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVYIPSTRHSITQSFSSMANSTNFCALLLALILYLSSFTWAAIDVSVYESFLQCLQNNTNPQDHISDFVYSQTNASYTSVLQAYIRNARLINSTTPKPKPLIILAPTQISHVQASVICSKKVGYQLKIRSGGHDYEGISYSSDDPFFLLDMFNLRSVDVDVKNESAWVQTGATLGEVYYRIWEKSKAHGFPAGICPTVGVGGHFSGGGYGNMLRKFGLAVDNVLDAQIVDANGKLLDRKAMGEDLFWAIRGGGGASFGVIISYKIKLVLVPEIVTVFKVERFIEDNATDVAYKWQLVAPKTDKNLFMRMLLQPVTSKTKKKQKTIRVSVYALYLGEADTLVSLLGKEFPELGLKKENCNETSWIRSVVWWANSDHVTSPKDLLDRNLNSAKFLKRKSDYVQTPISKDGLKWIWQRMIELGKTGLVFNPYGGRMDEISSSDAPFPHRAGNLFKIQYSVNWEQAGSKAENEYLTQIRKLYSYMTPFVSKNPRSAFLNYRDLDIGVMEAGKNSYEEGSVYGIKYFKGNFDRLVKVKTVIDPENFFRNEQSIPTLSSKA >Manes.09G149300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34697599:34700007:1 gene:Manes.09G149300.v8.1 transcript:Manes.09G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETAKFTSKPISLVPHTLQKLRPPSPTLPSAFRFTQISLLDQNSSPIPNNLLSLDQTKQIHAHILKTHFKPTYRFSLHPSTLTPSLSSQAQHNLLLTAYIRNNEPKSALNIYFYMRIVDAEVDNFAIPSILKACSKISMIQWGEEIHGFVLKNGLSSDVFVRNALILMYTECGSLASARLLFDTMGERDVVSWSTMIRSYSRNKLFHEGLEVIKDMHFSGVKPSEVAMVSMVNLFADLENVQLGKAMHAYVIRNSADEKMSVPLTSSLIDMYAKCGNMGYARIIFNGFSQKSIVSWTALIAGYIRSNNLQEGERLFVKMIEENTVPNGITMLSLIIACAFVGAVQLGKRLHAYILRNGPRMSLPLATALVDMYGKCGNLGSARALFDSMENKDVMTWTAMISAYAQARCVDHAFDLFVQMKDRKVIPNEVTMVSLLSLCAEAGALDMGKWIHAYMDKQGVEEDVILKTAMIDMYAKCGDIDGAHRLFREAMFRDICLWNAMMTGYGMHGCGSEALKLLAQMERLDIKPNDITFIGALHACSHSGLVAEGKRLYERMVHDFGLKPKVEHYGCMVDLLGRAGLLNEAYEIIKSMPMAPNVAVWGALLAACKLHKNPDLGELAARELLALEPQNCGYNVLMSNIYAVSNRWSDVAGMRKAMEDIGIEKEPGLSSIEVNGSVHDFTMGDMSHPQIKRISEMLAEMSKKLKDAGYMPNTSVVLQNIDEEEKETALAYHSEKLAMAFGLISTAPGTPIRVVKNLRICDDCHTATELLSKVYGRIFIVRDRKRFHHFKEGYCSCGGYW >Manes.16G096200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30191490:30192179:-1 gene:Manes.16G096200.v8.1 transcript:Manes.16G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLHYSVTKNIDQLFLSKMILYIFLIFSLIYSSSYAAVNDFCVADLSGPDGPAGYACKKPAAVTVNDFVYSGLGSPGNISSLIKAAVTPAFVDQFPGLNSLGLSMARLDLAVGGVVPMHTHPGASEALIVVQGSICAGFISSANTVYFKSLNKGDIMVFPQGLLHFQINAGGSPALAFVSFSSSRPGLQILDFALFANNLPSELIEKTTFLDDAQVKKLKGVLGGTN >Manes.01G059700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25296134:25300729:1 gene:Manes.01G059700.v8.1 transcript:Manes.01G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSEWMNRSCFSGYSTAEEVTQGIDGSALTAIITGASSGIGAETTRVLALRGVHVIMAVRNTEAGRNVKQAILKQIPTAKIDVMELDLSSMDSVRKFASQYISLDLPLNILINNAGFYSTKSIPSKHNIELHFATNHLGHFLLTNLLLETMKKTAEKSKEEGRIINVSSVAHHYASTISFDKINITSSYLDPLAYARSKLANILHANELARRLKEEGVSITANSLHPGVIDTKILRSEGFRVLFMMIRKFVAMKNVQQGAATTCYLALHPQVKGVSGEYFTDCKIAKPDSRAKDAELAKKLWDYSLSLTITS >Manes.01G059700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25296134:25300729:1 gene:Manes.01G059700.v8.1 transcript:Manes.01G059700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNTEAGRNVKQAILKQIPTAKIDVMELDLSSMDSVRKFASQYISLDLPLNILINNAGFYSTKSIPSKHNIELHFATNHLGHFLLTNLLLETMKKTAEKSKEEGRIINVSSVAHHYASTISFDKINITSSYLDPLAYARSKLANILHANELARRLKEEGVSITANSLHPGVIDTKILRSEGFRVLFMMIRKFVAMKNVQQGAATTCYLALHPQVKGVSGEYFTDCKIAKPDSRAKDAELAKKLWDYSLSLTITS >Manes.14G081700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6802739:6806855:1 gene:Manes.14G081700.v8.1 transcript:Manes.14G081700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYKFKYLFCSMYSTVSQNQLPLELQEMCKVISSSIGSLDDLESSLNGFRVCLTSSLVTQIMDSCKHEAPTRRLLRFFLWSNKRLDSEMKNEDFNHAIRVLAEKKDHTAMQILISDMRKEGRVMEAQTFGLIAEILVKLGREDEALGIFKNLDKFKCPRDSKTITAIVSALCAKGHAKKAYGVVWHHKDLLSEVKPCIYRSLLYGWSMQENVKEARKVIKEMKGAEIMPDLFCYNTFLRCLCERNLKCNPSGLVPEALNVMMEMRSHRIEPNSISYNILLSCLGRARRVQESCRMLELMKKTNCAPDWVSYYLVVKVLYLTGRFGKGIKIVDEMIAAGLVPDCKFYYDLIGVLCGVEKVNFALELFERMKRSKMGGYRPVYDVLIPKLCTKGDFEKGKELWDEAMAMDVTLCCSSDVLDPSITRIFKPTRKVEEEVRLENCITTNTPRTHAQVSKTRKKEHRDSKFLEDYQ >Manes.14G081700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6802753:6804919:1 gene:Manes.14G081700.v8.1 transcript:Manes.14G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYKFKYLFCSMYSTVSQNQLPLELQEMCKVISSSIGSLDDLESSLNGFRVCLTSSLVTQIMDSCKHEAPTRRLLRFFLWSNKRLDSEMKNEDFNHAIRVLAEKKDHTAMQILISDMRKEGRVMEAQTFGLIAEILVKLGREDEALGIFKNLDKFKCPRDSKTITAIVSALCAKGHAKKAYGVVWHHKDLLSEVKPCIYRSLLYGWSMQENVKEARKVIKEMKGAEIMPDLFCYNTFLRCLCERNLKCNPSGLVPEALNVMMEMRSHRIEPNSISYNILLSCLGRARRVQESCRMLELMKKTNCAPDWVSYYLVVKVLYLTGRFGKGIKIVDEMIAAGLVPDCKFYYDLIGVLCGVEKVNFALELFERMKRSKMGGYRPVYDVLIPKLCTKGDFEKGKELWDEAMAMDVTLCCSSDVLDPSITRIFKPTRKVEEEVRLENCITTNTPRTHAQVSKTRKKDIHLYQKDCWLNPKEWLKIFF >Manes.02G220400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:35354482:35356209:-1 gene:Manes.02G220400.v8.1 transcript:Manes.02G220400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSRPHSQTLSTIIPSYGQFYVDLLRKCLESSNLLRGRAIHAKLFKILLPSVYLHNHLLNFYAKCGRLNYALKLFDQMCERNVVSWSAIISGFVQHGCSHQALSLFSRMQHDSMVAPNEFTVVSALHACSLSENPIHLYQMYGLIVRLGFESNVFVVNAFVTALIRHGKLLEAKEVFEGCPNKDTVSWNAMMSGFLKHSYFQLPSFWYQMISEGFKPDHFTFATVFTGLASIFDLSMGLQVHGQLVKSGHGADICVGNSLCDMYMKNWRVSDGSKAFHEMTCADVRSYTQMAANYLHCGNPGKALELLTEMLKMGLMPNKFTLATAFNACADLPSLEDGKRFHGLRIKLGSQVDICVDNALLDMYAKSGCMDESWVVFNSMPNRSVVSWTAMIMGCAQNGQAREALEFFDDMRMEGVEPNHITFICVLYACSQGGFIDEGRKYFSSMAKDHRISPGEDHYACMVNLLGRAGHIREAEELILRMPFKPGVLVWQTLLGACELHGEMEIGKRAAKRAIDLDGIDPSSYVLLSNMFAGLKNWESVRMLRKLMENRDVKKVPGSSWIEVENVCSVNPA >Manes.18G128060.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22002796:22006529:-1 gene:Manes.18G128060.v8.1 transcript:Manes.18G128060.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSRSLSSTPQHKATTQPASASLLACLLACATVPPESAAGIRRRSGITIMIDKYFTKLPKNSEPLNSKPKEKVAFVEKESLASDDDIIGDPGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFSFTLIREKNRRFQVAWFKDYEWLEYSVSKDKAYCLYCYLFANNNRSGGNVFTEIGFNNWKDGRRAFVNHEGSPGSSHSGCRMKVEQYRNKRGNVNQLLARQTAAMEDDYRTRLSTVVSVARILLEEGLPFRGHDESAESLHKGNFLEHISWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIKCCAMETRKIILNELGEKKFALLVDEARDCSVKEQMSLVLRFVNDKGMVLERFLGLVHVNETSAKVLKNVIDTFFAKHDLSLAKLRGQGYDGAANMSGEFNGLKTLILKENKNAHYIHCFAHQLQLVVVTASHESESVGDFFETLSMIVNTIGASCKRKDTLREIHNEEVLNQVEMGEISTGRGQNQEISLARPGDTRWGSHYTTIVRLFDMWNSIERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFYEGHSIDVPNMENFVHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKTDLHNRLGDDLLSDCLVCYFEKEIFRSIDDEVIMQSFQNLASRRNQLRPLKIRRPNPC >Manes.07G121800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32600657:32602779:1 gene:Manes.07G121800.v8.1 transcript:Manes.07G121800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLVVYIALLSIWILPRQVWMDQLGRVSLLSGILFVMLGLGADSAPPLVQPRTPPPAMMGLPNLPVSLEGYSYLIMKLGPLKFTRKDLSVASTAACLTFTIFQSASLCLATTTPEQLAFALRWFMLPLRCIGVPVAEITLTLFLSLRFINLVFDEVRERSVD >Manes.07G121800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32600657:32602778:1 gene:Manes.07G121800.v8.1 transcript:Manes.07G121800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLVVYIALLSIWILPRQVWMDQLGRVSLLSGILFVMLGLGADSAPPLVQPRTPPPAMMGLPNLPVSLEGYSYLIMKLGPLKFTRKDLSVASTAACLTFTIFQSASLCLATTTPEQLAFALRWFMLPLRCIGVPVAEITLTLFLSLRFINLVFDEVRGVALGIVSHGINWEQLTIMETIDSKHTVLPFYLPRETRIEKGVRGGRMGSGFFIAV >Manes.07G121800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32600657:32602778:1 gene:Manes.07G121800.v8.1 transcript:Manes.07G121800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLVVYIALLSIWILPRQVWMDQLGRVSLLSGILFVMLGLGADSAPPLVQPRTPPPAMMGLPNLPVSLEGYSYLIMKLGPLKFTRKDLSVASTAACLTFTIFQSASLCLATTTPEQLAFALRWFMLPLRCIGVPVAEITLTLFLSLRFINLVFDEVRGVALGIVSHGINWEQLTIMETIDSKHTVLPFYLPRETRIEKGVRGGRMGSGFFIAV >Manes.03G123800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25094857:25097683:1 gene:Manes.03G123800.v8.1 transcript:Manes.03G123800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMATALTSLSAKPQKLSLFDSSAFCGTPLAAPSLRMQPTKASSGVSVSMSASTPPPYDLSAFKFNPIKESIVAREMTRRYMTDMVTHADTDVVIVGAGSAGLSCAYELSKNPSVKVAIVEQSVSPGGGAWLGGQLFSAMVVRKPAQLFLDELGIEYDEADNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKNGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIENVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKTLGLPNALDGTYKRSPEFVLAAADSAETADA >Manes.09G076338.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:13106852:13107304:1 gene:Manes.09G076338.v8.1 transcript:Manes.09G076338.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYFFFHFLLYSSIFHHYLFFHFFSIFSRFHPFFFPFFSSFYPFFSFYFFFFVFSSLSILVFFFFLFFHFFHFLLFSFISFYFLFLFLFNLFIFLKFSFIFLIFLPFFFLSFLSSHFFLFQFLSFTSISRYLPISLIISFFFFLSFYFL >Manes.08G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9533159:9539491:1 gene:Manes.08G065600.v8.1 transcript:Manes.08G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNIKGRISVLSSHIMASEKEAALAAVPSDSPTIFDKIINKEIPSNVVYEDDKVLAFRDISPQAPTHILIIPKVKDGLTGLSKAEERHRDILGHLLYTAKLIAKQEGLEDGFRIVINDGPSGCQSVYHLHIHLLGGRQMNWPPG >Manes.08G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5766684:5775640:1 gene:Manes.08G053600.v8.1 transcript:Manes.08G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRASASTETSNEKSLSTAEKTNHKPQESTDPPIAPPKSAFIFKLALLLLAPYFYLLFYHYKIQDDLKRSILINAILSLAGFFLTVKMIPVASRYVLRRNLFGYDINKKGTPQGTVKVPESLGIVVGIVFLVLAILFQYFNFTADSNWLVEYNAALACICFMTLLGFVDDVLDLPWRVKLLLPSIAALPLLMAYAGHTTILIPKPLVPYVGHDILDLGWLYKLYMGLLVVFCTNSINIHAGINGLEVGQTVVIASAIIIHNVMQIGASVDPEYQQAHAFSVYLTQPLLATSMALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHFSETLLIFFLPQVINFLLSLPQLSGYVYCPRHRLPRFDPQTGLLTGTNDGTVVNVYLRIFGRKSEKSLCIHLLLVQAIGCCFCFLLRYLLAGWYK >Manes.18G114500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11639150:11641435:-1 gene:Manes.18G114500.v8.1 transcript:Manes.18G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAPHLLMSRPKIRAWDFSLRTFTSSGLLQSSTPTPSANSILNEVRVLSTRGCIDEALSLFFSAAPPLPPLPYSQQTYATLFHACARHGYLQQGMYLHQHMVSHNRSNPPGVYVTNHLINMYAKCGDLECAHQLFDEMPDRNLVSWTALISGYAQQGQAYECFRLFSDMLVHCRPNEFAFTSVLTCCDYEHGKQVHALALKIGLGASIYVGNALISMYSRCGSDGGSIDEAWTVFENLEFRNLVSWNSMIAGSQLRKLGSKAVRLFSQMHRDGIGFDRATLVSVLSSLSESYNASLHVGPRCCSQLHCLSIKSALVLQIEVATALVKAYSDLGGEVTDCHRLFLESGCFRDIISWTGIITAFAERQPGEALFLFRQLHREGLAPDRYTFSIVLKACAGLGSERHALAVHSQVIKAGFGADAVLGNALIHAHARCGSISLSKKVFDEMKFRNLVSWNSMLKAYALHGQANEALHLFSEMNLPPDSATIVALLSACSHAGMVEEGIKIFDSMIDNHGIVPQLDHYACMVDILGRAGRVVEAKVLISKMPMEPDAVVWSALLGSCRKHGETQLAKLAADKLKELDPRNSLGYVQMSNIYCSGGGYSQAGVMRKEMEGSRVRKEPGLSWIEIGNIVHEFASGGRRHPRRNAICTRLDLLIGQLKERGYVPETNLALHDIEEEHKEEQLYHHSEKLALVFAMMNEESLHHDRSVIKIIKNIRICVDCHSFMKLASDLLHKEIIVRDSNRFHQFKNGMCSCNDYW >Manes.04G088250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29348824:29351334:1 gene:Manes.04G088250.v8.1 transcript:Manes.04G088250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARHSSISTLCLRRRFIPQDLRAERQASSLPAASIVTATVLMQQSPGDNYAAFQTLTNAVMRPIMMWMMRRSPEGRPLKESYVIWLLVAVFVTGFLNQSLGLHLYFGPLAFEIAISARPPIGSALVEKLDLLTNWIFMPIYLVKCGLIINIFSVKLKNYLIVQFIALIGKFLGTFLALSLNAQGVLELGMFKMMKRSKAIDNEAFVIMALSTMLVTGTEKNYDEPKTQFELRVLVCIHEHENAPAAIKLLEVLNPIRRSPICVYLLRLIETVDRANPLLIPRKLSKRPSRKVKSTEQVINAFRHFEDSNHGHPYTAICPSKTMHDDRFQASDVATFHKRAIQVANENVLDKAPCSTAILVDRGILNALRTSLHAPSSYPSQSSYRVAVLFLGGHDDREALAIGARMARQHSINLTTVWLLQNGSIAGDNATERKIDNEATAGNYRVMYTEEVAMDGTVTTSVIVSMENCYELVIVGRHHDRDHHFYLGLFMEKNTILVVQQDNNPINERL >Manes.10G141850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31082441:31083659:-1 gene:Manes.10G141850.v8.1 transcript:Manes.10G141850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLETKCNLICGRKEVAYLPNHSSLTFNLPSQPFSCYIIKQVICIIDNLSKPPYPVLHCKAFLLLLLSIHKYCFDGGESSLKLPKILFEAMHQTNFFRSN >Manes.09G187500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403824:37422253:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAKLDDSPMFRKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGCILSTNPVQLSLLQ >Manes.09G187500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403833:37422239:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAKLDDSPMFRKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGCILSTNPVQLSLLQ >Manes.09G187500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403824:37422319:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIYLQYKWLFIIYLVKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGSYKSDKSQLHFINKPSPAESIAVKEKFIHAKYAEKLFVRKPRESQYPHSMSQPMAEAVRANDKKSVYRLIVNHEADVNVVYEQPSCSTSLTLARVMLLQEQAGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGRTLEDLDGCSLLHLACETADIGMLELLLQYGANINSTDLRGQTPLHRCILRGRATFAKLLLSR >Manes.09G187500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403832:37420900:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIYLQYKWLFIIYLVKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGSYKSDKSQLHFINKPSPAESIAVKEKFIHAKYAEKLFVRKPRESQYPHSMSQPMAEAVRANDKKSVYRLIVNHEADVNVVYEQPSCSTSLTLARVMLLQEQAGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGRTLEDLDGCSLLHLACETADIGMLELLLQYGANINSTDLRGQTPLHRCILRGRATFAKLLLSR >Manes.09G187500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403824:37422321:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAKLDDSPMFRKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGSYKSDKSQLHFINKPSPAESIAVKEKFIHAKYAEKLFVRKPRESQYPHSMSQPMAEAVRANDKKSVYRLIVNHEADVNVVYEQPSCSTSLTLARVMLLQEQAGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGRTLEDLDGCSLLHLACETADIGMLELLLQYGANINSTDLRGQTPLHRCILRGRATFAKLLLSRGADPRAVNGEGKTPLELAIESNFVDSNVLTLLSDANG >Manes.09G187500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403833:37422239:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAKLDDSPMFRKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGSYKSDKSQLHFINKPSPAESIAVKEKFIHAKVHLPNAFNFRLSFLLESDAHGLGWIMYPYAEKLFVRKPRESQYPHSMSQPMAEAVRANDKKSVYRLIVNHEADVNVVYEQPSCSTSLTLARVMLLQEQAGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGRTLEDLDGCSLLHLACETADIGMLELLLQYGANINSTDLRGQTPLHRCILRGRATFAKLLLSR >Manes.09G187500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403833:37422239:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAKLDDSPMFRKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGSYKSDKSQLHFINKPSPAESIAVKEKFIHAKVHLPNAFNFRLSFLLESDAHGLGWIMYPYAEKLFVRKPRESQYPHSMSQPMAEAVRANDKKSVYRLIVNHEADVNVVYEQPSCSTSLTLARVMLLQEQAGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGRTLEDLDGCSLLHLACETADIGMLELLLQYGANINSTDLRGQTPLHRCILRGRATFAKLLLSRGADPRAVNGEGKTPLELAIESNFVDSNVLTLLSDANG >Manes.09G187500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403824:37422321:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAKLDDSPMFRKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGSYKSDKSQLHFINKPSPAESIAVKEKFIHAKYAEKLFVRKPRESQYPHSMSQPMAEAVRANDKKSVYRLIVNHEADVNVVYEQPSCSTSLTLARVMLLQEQAGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGRTLEDLDGCSLLHLACETADIGMLELLLQYGANINSTDLRGQTPLHRCILRGRATFAKLLLSR >Manes.09G187500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403824:37422319:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIYLQYKWLFIIYLVKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGSYKSDKSQLHFINKPSPAESIAVKEKFIHAKYAEKLFVRKPRESQYPHSMSQPMAEAVRANDKKSVYRLIVNHEADVNVVYEQPSCSTSLTLARVMLLQEQAGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGRTLEDLDGCSLLHLACETADIGMLELLLQYGANINSTDLRGQTPLHRCILRGRATFAKLLLSRGADPRAVNGEGKTPLELAIESNFVDSNVLTLLSDANG >Manes.09G187500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37403832:37420900:-1 gene:Manes.09G187500.v8.1 transcript:Manes.09G187500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILIYLQYKWLFIIYLVKQMQSLEENAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGSKTDVAILLEEELHTARSAFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSHGSNGSSNGDGIQAIGRSSHKMIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESSLDQMDWIEKITGVIASLLSSQAPERCLPAISMGSGHHRSASESSSFESADFDHSAVDEFTSERSLAGSHHERSSRISQQRSSAEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSIISLFQSLGNAFANSVWEELLQSRSTFQADLIPTGSYKSDKSQLHFINKPSPAESIAVKEKFIHAKYAEKLFVRKPRESQYPHSMSQPMAEAVRANDKKSVYRLIVNHEADVNVVYEQPSCSTSLTLARVMLLQEQAGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGRTLEDLDGCSLLHLACETADIGMLELLLQYGANINSTDLRGQTPLHRCILRGRATFAKLLLSRGADPRAVNGEGKTPLELAIESNFVDSNVLTLLSDANG >Manes.03G161000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28797402:28800382:-1 gene:Manes.03G161000.v8.1 transcript:Manes.03G161000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEGKAPSMYETLGRRIVTRFLGSFISTGCLILQEAGGTDLTFEGSGTKCSLKVHLKIHNPKFYWKVMTRADVGLADAYIDGDFSFADADEGLVNLIMLMIANKSASKSNKKRGWWTPLLSFTATFASAKLVYQHVLRQNTLTQARRNISRHYDLSNEVFALFLGGTMTYSSGIFKTEDEDLQTAQMRKISILIEKARIKPKQEVLDIGCGWGTFGIEVVKRTGCKYTGITLSEEQLKFAEKKVKEAGLQDHIRLQLCDYRQLPETTKYDRIISCEMIEHVGHEFMDEFFGCCDKLLSEDGLFVLQFISMPDEYYEEYRRSAGFIREYIFPGGCLPSFSRVISAMNAASRLCVEHVENIGSHYYHTLRRWRENFLDNQSKILAMGFDEKFIRTWEYYFDYCAAGFRTYTLGDYQVVFSRTGNIETLGYPYEGFPSAYAHLSSTQA >Manes.04G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34813250:34821603:-1 gene:Manes.04G153000.v8.1 transcript:Manes.04G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTAYFFPRHSPGRLGYSAPRSLSIEEKRKLIKDNFSDHEDDYFILDNSTSWTPVYDLFTSSDDEKYHQRRNQYGQKDKYRKKKKQLETYFDWLSERHDNKSVSHVKLRKSSSDDEDKKPLITREPAALKPELIPDVNGKVSLPRQSSGCSYTGSWLLGTTLDGNYFSHVKDTGITTSRKDVVQAEENDEKGAQRTEEIYSLQLALAKRICFHSGLASELVFLQEGRPESSNAEAVSYRLWVSGRLSYSDRITDGFYNIFGMDPYLWVMCNDEDEGKRMPPLTSLREIEPSKASMEVVVVDVHGDSRLKELENKAHEIYCASESTVVLVERLGKLVAICMGGTFPGEQGDLHKRWQIVSRRLRNFHKCIVIPIGGLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVADHRASCLVKIEDDKQLSRECVVDLVGEPGNIHGPDSTINGGFISSIPSPFQIPHLKDSNSYLDDSSCQILDSNHSCGLIEDNLYSGKEEEGQQTRGNLESSLYVPAGQASLGNESSLIPLGLMRDVVAESSSREREGDQVVIQQTSQEQIVVCGSPIIDNVGEKTEVNISCQSDVTEVESGLDNRGILPAGTIPRYLEIEPSLAIDWLEVSWDELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDNQLREFLREVAIMKRVRHPNVVLFMGAVTESPHLSIVTEYLPRGSLYRLIHRPSAGDMMDQRRRLRMALDVAKGVNYLHCMNPPIVHWDLKSPNLLVDKNWAVKVCDFGLSRFKASTFIPSKSVAGTPEWMSPEFLRGEPSNEKSDVYSFGVILWELITLKQPWSGLCPAQVVGAVAFQNRRLAIPENTPRALVSLVESCWAEDPAQRPSFGYIVDSLKKMLKSSRQLIQTGSNEE >Manes.06G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10860464:10864509:1 gene:Manes.06G036600.v8.1 transcript:Manes.06G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRRAGARTGAAAGSVWESRMKLDEVKGGIKVSNGDGKSQETATSDTPRKILKRGQSGTSLAATAKRKTWKSDNSDAQFQTAKGKTEPPSLKHCEEQCRELSVSGDGIKKSSMPARRGRSEGVKELSVSVDVIDKSPVQNKKGRSDVDKEIGVSADGNEKSPVQMRKTRSDVKEAVESGAQLRKCKSDSANAAILSGKGMVVDSGIERDSMDSIKGSEDSVSSIEKSPPEIVETGSEGSCKEFGVCQEKVICSSEANGAPIKSAPQLLVDNQDEHDDMATDGDEAFDEDEEMEIEIEEKNLDVKEINIPEQNTKPKKIENEDKRVYQFSNKTAPTSSTVNKQSPPVLRRATIYQNHPKPTIPVTNEYPQSFPETHDKLQNLVDLVMWKDISRSAFMFGIGTFIIISSSYTKDINISCISLISYLGLVYLAAIFLSRSLIYRGVIYMEDKRHVLGEEEAMWLLKLVLPYLNECLLKIRALFSGDPATTMKLAVLLFVLARCGSSITIWKMAKLVFDLKHGWLFSLHQAFLELLQCQKSALPTPHS >Manes.06G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10860464:10864509:1 gene:Manes.06G036600.v8.1 transcript:Manes.06G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRRAGARTGAAAGSVWESRMKLDEVKGGIKVSNGDGKSQETATSDTPRKILKRGQSGTSLAATAKRKTWKSDNSDAQFQTAKGKTEPPSLKHCEEQCRELSVSGDGIKKSSMPARRGRSEGVKELSVSVDVIDKSPVQNKKGRSDVDKEIGVSADGNEKSPVQMRKTRSDVKEAVESGAQLRKCKSDSANAAILSGKGMVVDSGIERDSMDSIKGSEDSVSSIEKSPPEIVETGSEGSCKEFGVCQEKVICSSEANGAPIKSAPQLLVDNQDEHDDMATDGDEAFDEDEEMEIEIEEKNLDVKEINIPEQNTKPKKIENEDKRVYQFSNKTAPTSSTVNKQSPPVLRRATIYQNHPKPTIPVTNEYPQSFPETHDKLQNLVDLVMWKDISRSAFMFGIGTFIIISSSYTKDINISCISLISYLGLVYLAAIFLSRSLIYRGVIYMEDKRHVLGEEEAMWLLKLVLPYLNECLLKIRALFSGDPATTMKLAVLLFVLARCGSSITIWKMAKLGFFGAFTVPKVCSSYSSQLTSYAKFWIQRFRDAWQSCSHKKAVALAIFTLVWNLSSIVARVWAVFMMFVAVRYYQQSMESYNLTENDADDENFCGEQRKGVEFTPVDEVNKMKKKF >Manes.12G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34650624:34655845:-1 gene:Manes.12G140300.v8.1 transcript:Manes.12G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFNWRQFHSGCILTQKIQQKLITSPLSIKSRNPIPNSYPSKKELSRKQKSSKDGVETTGSDIVNWNMAITSHMRNGQCESALRVFNSMPSRSTVSYNAVISGYLMNGKFDLAREMFDKMPDRDLFSWNVMLSGCVRNKNLAAARALFEKMPKRDVVSWNAMLSGYAQNGFVDEAREIFDKMPYKNAISWNGLLAAYVHNGRIDDARRLFESKLDWDIVSWNCLMGGFLRRKRLADARRLFDKIPVKDEVSWNTMITGYAQNGELEEAERLFEEAPTRDVFTWTAMVSGFVQNGMLDKAKSIFERMPEKNSISWNSMIAGYVQCKMLDLAKELFEAMPCRNISSWNTMITGYSQSGDVARARDLFDRMPQRDFISWSAMIAGYVQNGYSEEAAHLFVEMRRDGERLNRSSFTCALTACANIAALELGKQLHGWLVKSGYQTGCFVGNALLAMYCKCGSIDEAHDAFQEISDKDLISWNTMIYGYARHGFGREALMVFESMKTMGLKPDGATMVGVLSACGHTGLVEKGTEYFYSMSQEYGITANSVHYTCMVDLLGRAGRLDEAQNLMRNMPFEPDAATWGALLGASRIHGNTELGEKAAEIIFKMEPDNSGMYVLLSNLYAASGRWPDVSKMRVKMRDKGVKKVPGYSWLEVQNKIHTFTVGDCLHPERDKIYEFLEEMYLKMKREGYLSSIKMVLHDVEEEEKEQMLKYHSEKLAVAYGVLSIPTGRPIRVIKNLRVCEDCHNAIKYISKITGRSIILRDNNRFHHFKEGSCSCGDYW >Manes.12G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32214048:32216086:1 gene:Manes.12G118900.v8.1 transcript:Manes.12G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHNLFLCQRLGYVTISLLFLLISSSTHGRFKAEGRANPKSATVSQTENEEKMIMRSQIGSRPPRCERRCSSCGHCEAIQVPTNPQVNHGNGNYPKVSNVAYARGDDLSNYKPMSWKCKCGNLIFNP >Manes.14G024200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2281671:2285215:1 gene:Manes.14G024200.v8.1 transcript:Manes.14G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLNNSVDTINAAATAIVSAESRVQPTVVQKRRWGGCWSLYWCFGSHKNNKRIGHAVLVPEPEVPGAVVTSVENQTHSTAVAVPFIAPPSSPASFLQSDPPSVTHSPAGLLSLTSLSVNAYSPAGPASIFAIGPYAHETQLVTPPAFSAFTTEPSSAPFTPPPESVQLTTPSSPEVPFAQLLTSSLERARRNSGTNHKFTLSHYEFQSYPIYPGSPGGQLISPGSVISYSGTSSPFPDKHPILEFRMGEAPKILGFEHFNTRKWGSRFGSGSLTPDGLGLGSRLGSGSVTPDGVGIGSRLGSGSATPDGVGLSRLGSGSLTPDCVGPASRDGFLLENQISEFASLANYENGSKNDETVIDHRVSFELSGEEVARCLESKSMQSGRTFPECSQDSMSEDGIKSGKMLMDSVNCLHNGETSSEIPDKSSGEMEEENYYRKHRSITLGSIKEFNFDNSKEVPDKPTIGSEWWANEAIAGKEARPANSWAFFPLLQPEVSLH >Manes.01G161300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34645704:34648831:1 gene:Manes.01G161300.v8.1 transcript:Manes.01G161300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWSLLWIFVLFGILTLIQAKDSKEELKEITHKVYFDVEIAGKPAGEKGTGKSGKPLHYKGSVFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTNWLDGRHVVFGKVLSGMDVVYKIEAEGRQSGTPKSKVVIADSGELSQ >Manes.01G161300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34645704:34648831:1 gene:Manes.01G161300.v8.1 transcript:Manes.01G161300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWSLLWIFVLFGILTLIQAKDSKEELKEITHKVYFDVEIAGKPAGRVVMGLFGKAVPKTAENFRALCTGEKGTGKSGKPLHYKGSVFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGLLSMANAGPDTNGSQFFITTVTTNWLDGRHVVFGKVLSGMDVVYKIEAEGRQSGTPKSKVVIADSGELSQ >Manes.07G006600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834318:840305:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPVAMGGHLEPNPQMELLGHSIVLASYPYLDPQHGRALSYAPQAMVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.07G006600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834454:840305:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPDIGAHRLDQSAQSVLQSATYSQPWWQGVGNGPIFRESPSKSSLVEHLNGSLADGSIQSQANSGLDLESGENSNKDSHIAMASQSDGSNGQDHVKCVPMMAPVAMGGHLEPNPQMELLGHSIVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.07G006600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834227:840290:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPDIGAHRLDQSAQSVLQSATYSQPWWQGVGNGPIFRESPSKSSLVEHLNGSLADGSIQSQANSGLDLESGENSNKDSHIAMASQSDGSNGQDHVKCVPMMAPVAMGGHLEPNPQMELLGHSIVLASYPYLDPQHGRALSYAPQAMVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.07G006600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834567:840290:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPDIGAHRLDQSAQSVLQSATYSQPWWQGVGNGPIFRESPSKSSLVEHLNGSLADGSIQSQANSGLDLESGENSNKDSHIAMASQSDGSNGQDHVKCVPMMAPVAMGGHLEPNPQMELLGHSIVLASYPYLDPQHGRALSYAPQAMVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.07G006600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834463:840305:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPVAMGGHLEPNPQMELLGHSIVLASYPYLDPQHGRALSYAPQAMVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.07G006600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834463:840290:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPDIGAHRLDQSAQSVLQSATYSQPWWQGVGNGPIFRESPSKSSLVEHLNGSLADGSIQSQANSGLDLESGENSNKDSHIAMASQSDGSNGQDHVKCVPMMAPVAMGGHLEPNPQMELLGHSIVLASYPYLDPQHGRALSYAPQAMVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.07G006600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834567:840305:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPVAMGGHLEPNPQMELLGHSIVLASYPYLDPQHGRALSYAPQAMVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.07G006600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834567:840290:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKPDIGAHRLDQSAQSVLQSATYSQPWWQGVGNGPIFRESPSKSSLVEHLNGSLADGSIQSQANSGLDLESGENSNKDSHIAMASQSDGSNGQDHVKCVPMMAPVAMGGHLEPNPQMELLGHSIVLASYPYLDPQHGRALSYAPQAMVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.07G006600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:834567:840290:1 gene:Manes.07G006600.v8.1 transcript:Manes.07G006600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPVAMGGHLEPNPQMELLGHSIVLASYPYLDPQHGRALSYAPQAMVPPRFLHHARMPLPLEMEEEPVYVNAKQFHGILRRRQARAKAELEKKAIKARKPYLHESRHQHAMRRARGCGGRFLSTKKPQNNSTDHTADKDVNSGANPSQQSATFSGSEWLSKNNSRDLDSSSGLQEVKEYTSQETRDMQAQTSSNGNGNGHGQSSIYHPSSGDILTGGFLSQQRKSTNWHGVTNAALRIN >Manes.10G037700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3612044:3628122:-1 gene:Manes.10G037700.v8.1 transcript:Manes.10G037700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSSKSVTIFVILAVLRLNFAFKLNDKLTYVWPLPSEISSGDHILSIDPGLSLVVGGEGGNSSIIEDAFERYKRILFKDGESFSIFQAFRFKRSAYDINELKIVVHSPNEELQLGVDESYTLLVDGGDGESIIAEATIETFSQLCTFDYGNKSVQIYKAPWYIRDRPRFSYRGLLLDTSRHYLPVDVIKQIIESMSYAKLNVLHWHIIDEESFPLEIPSYPKLWEGSYTKWERYTVEGAYEIVNFAKMRGINVLAEIDVPGHAESWGAGYPDLWPSVSCKEPLDVTKNFTFDVISGILSDMRKIFPFELFHLGGDEVNTDCWLFTSHIKQWIRDRNFTTKDAYEYFVLKAQEIAISKGWTPVNWEETFNAFASKLHPKTVVHNWLGGGVCAKAVAKGFRCIFSNQEFWYLDHLDVPWDEVYEAEPLEGINITSQQDLVLGGEVCMWGETADASDIQQTIWPRAAAAAERLWSRREDISSGNISLTALPRFHYFRCLLNRRGVQAAPVTNFFAREPPLGPGSCYVQ >Manes.10G037700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3612044:3628122:-1 gene:Manes.10G037700.v8.1 transcript:Manes.10G037700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSSKSVTIFVILAVLRLNFAFKLNDKLTYVWPLPSEISSGDHILSIDPGLSLVVGGEGGNSSIIEDAFERYKRILFKDGESFSIFQAFRFKRSAYDINELKIVVHSPNEELQLGVDESYTLLVDGGDGESIIAEATIEANTVYGALRGLETFSQLCTFDYGNKSVQIYKAPWYIRDRPRFSYRGLLLDTSRHYLPVDVIKQIIESMSYAKLNVLHWHIIDEESFPLEIPSYPKLWEGSYTKWERYTVEGAYEIVNFAKMRGINVLAEIDVPGHAESWGAGYPDLWPSVSCKEPLDVTKNFTFDVISGILSDMRKIFPFELFHLGGDEVNTDCWLFTSHIKQWIRDRNFTTKDAYEYFVLKAQEIAISKGWTPVNWEETFNAFASKLHPKTVVHNWLGGGVCAKAVAKGFRCIFSNQEFWYLDHLDVPWDEVYEAEPLEGINITSQQDLVLGGEVCMWGETADASDIQQTIWPRAAAAAERLWSRREDISSGNISLTALPRFHYFRCLLNRRGVQAAPVTNFFAREPPLGPGSCYVQ >Manes.10G037700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3612807:3628122:-1 gene:Manes.10G037700.v8.1 transcript:Manes.10G037700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSSKSVTIFVILAVLRLNFAFKLNDKLTYVWPLPSEISSGDHILSIDPGLSLVVGGEGGNSSIIEDAFERYKRILFKDGESFSIFQAFRFKRSAYDINELKIVVHSPNEELQLGVDESYTLLVDGGDGESIIAEATIETFSQLCTFDYGNKSVQIYKAPWYIRDRPRFSYRGLLLDTSRHYLPVDVIKQIIESMSYAKLNVLHWHIIDEESFPLEIPSYPKLWEGSYTKWERYTVEGAYEIVNFAKMRGINVLAEIDVPGHAESWGAGYPDLWPSVSCKEPLDVTKNFTFDVISGILSDMRKIFPFELFHLGGDEVNTDCWLFTSHIKQWIRDRNFTTKDAYEYFVLKAQEIAISKGWTPVNWEETFNAFASKLHPKTVVHNWLGGGVCAKAVAKGFRCIFSNQEFWYLDHLDVPWDEVYEAEPLEGINITSQQDLVLGGEVCMWGETADASDIQQTIWPRAAAAAERLWSRREDISSGNISLTALPRFHYFRCLLNRRGVQAAPVTNFFAREPPLGPGSCYVQ >Manes.10G037700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3612653:3628122:-1 gene:Manes.10G037700.v8.1 transcript:Manes.10G037700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSSKSVTIFVILAVLRLNFAFKLNDKLTYVWPLPSEISSGDHILSIDPGLSLVVGGEGGNSSIIEDAFERYKRILFKDGESFSIFQAFRFKRSAYDINELKIVVHSPNEELQLGVDESYTLLVDGGDGESIIAEATIEANTVYGALRGLETFSQLCTFDYGNKSVQIYKAPWYIRDRPRFSYRGLLLDTSRHYLPVDVIKQIIESMSYAKLNVLHWHIIDEESFPLEIPSYPKLWEGSYTKWERYTVEGAYEIVNFAKMRGINVLAEIDVPGHAESWGAGYPDLWPSVSCKEPLDVTKNFTFDVISGILSDMRKIFPFELFHLGGDEVNTDCWLFTSHIKQWIRDRNFTTKDAYEYFVLKAQEIAISKGWTPVNWEETFNAFASKLHPKTVVHNWLGGGVCAKAVAKGFRCIFSNQEFWYLDHLDVPWDEVYEAEPLEGINITSQQDLVLGGEVCMWGETADASDIQQTIWPRAAAAAERLWSRREDISSGNISLTALPRFHYFRCLLNRRGVQAAPVTNFFAREPPLGPGSCYVQ >Manes.04G064700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25702269:25716399:-1 gene:Manes.04G064700.v8.1 transcript:Manes.04G064700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKSGRSRGTPVKENGMMLEEGLVVFKSDKFDANSYVQSKCSLNDKEITQLCSYLLDLKKASAEEMRKSVYANYSAFIRTSKEISDLEGELSSIRNLLSTQATLIHSLAEGVHVDSISTQASEASTLNGSLNTEHQEPSDLEEWSIEFPDLLDVLLAERRVDEALAALDEGEHVVSEAKETKSLSPDILGSLQTALVERRQKLADQLAEAACQPSTRGSELRAAISALKKLGDGPRAHNLLLNAHYQRYQYNMQSLRPSSTSYGGAYTAALSQLVFSAIAQAASDSLAIFGQEPAYTSELVMWATKQTEAFAVLVKRHALTSSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRIEESTAALAAADDWLLTYPPKQSGRSSVASLGNTAAFHHKLTSSAHRFNLMVQDFFEDVGPLLSMQLGSQSLEGLFQVFNSYVNMLIKALPGSIEEEANFEGSGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLSPVQQSNYKDDPRRRPSDRQNRHPEQREWRKRLVSSVDRLKDTFCRQHALDLIFTEDGDSHLTAEMYINMDGNADEVEWFPSPIFQELFVKLNRMASIAADMFVGRERFATLLLMRLTETVILWLSEDQSFWDDIEEGPRPLGPLGLQQFYLDMKFVMCFASQGRYLSRNLHRVVNEIISKAVAAFSATGMDPDSLLPEDEWFNDICQEAMERLSGKPKAIDGDRELNSPTASVSAQSISSVRSHGSS >Manes.15G019200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1614002:1618347:1 gene:Manes.15G019200.v8.1 transcript:Manes.15G019200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNLTTSQYSMFGSILTIGAMLGAITSGRISDYIGRKGAMRMSAGFCITGWLAVFFSTGYLSLDMGRFFTGYGIGVISFVVPIFIAEIAPKNLRGGLTTLNQLMIVTGSSVAFLLGSVITWRALALTGLIPCIFLLVGLFFVPESPRWLAKVGREKEFQAALQKLRGKDTDVTREAEEIHVHIQTLQSLPKARILDLFQRQYIRFVFIGVAIMVFQQFVGINGIGFYASQTFESAGLSSGTIGTIAYACIQVPITIVGALLIDKSGRKPLIMVSAAGTFLGCFLAGVSFFLKAHSLLLGWVPIMAVSGVLVYISAFSIGMGAVPWLIMSEIFPINIKGIGGSLVVLVNWSGAWLVSYTFNFLMNWSSSGTFFLYAGVSLATIVFVAKFVPETKGKTLEQIHESINS >Manes.15G019200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1614002:1618347:1 gene:Manes.15G019200.v8.1 transcript:Manes.15G019200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQYKDVEQGKINSLEDLETPLIHEDKIVSYKTDDDGTDHETRSIGMVLLSTFVAVCGSFGFGSCVGYSAPTQSAIMEDLNLTTSQYSMFGSILTIGAMLGAITSGRISDYIGRKGAMRMSAGFCITGWLAVFFSTGYLSLDMGRFFTGYGIGVISFVVPIFIAEIAPKNLRGGLTTLNQVHIQTLQSLPKARILDLFQRQYIRFVFIGVAIMVFQQFVGINGIGFYASQTFESAGLSSGTIGTIAYACIQVPITIVGALLIDKSGRKPLIMVSAAGTFLGCFLAGVSFFLKAHSLLLGWVPIMAVSGVLVYISAFSIGMGAVPWLIMSEIFPINIKGIGGSLVVLVNWSGAWLVSYTFNFLMNWSSSGTFFLYAGVSLATIVFVAKFVPETKGKTLEQIHESINS >Manes.15G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1614002:1618347:1 gene:Manes.15G019200.v8.1 transcript:Manes.15G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQYKDVEQGKINSLEDLETPLIHEDKIVSYKTDDDGTDHETRSIGMVLLSTFVAVCGSFGFGSCVGYSAPTQSAIMEDLNLTTSQYSMFGSILTIGAMLGAITSGRISDYIGRKGAMRMSAGFCITGWLAVFFSTGYLSLDMGRFFTGYGIGVISFVVPIFIAEIAPKNLRGGLTTLNQLMIVTGSSVAFLLGSVITWRALALTGLIPCIFLLVGLFFVPESPRWLAKVGREKEFQAALQKLRGKDTDVTREAEEIHVHIQTLQSLPKARILDLFQRQYIRFVFIGVAIMVFQQFVGINGIGFYASQTFESAGLSSGTIGTIAYACIQVPITIVGALLIDKSGRKPLIMVSAAGTFLGCFLAGVSFFLKAHSLLLGWVPIMAVSGVLVYISAFSIGMGAVPWLIMSEIFPINIKGIGGSLVVLVNWSGAWLVSYTFNFLMNWSSSGTFFLYAGVSLATIVFVAKFVPETKGKTLEQIHESINS >Manes.18G023800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2265443:2271780:-1 gene:Manes.18G023800.v8.1 transcript:Manes.18G023800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTQHQHQSQPQPQPHPQTQSQPLSQIQAQVQAQSQPQPHHDPLTSSITSTTTTELIAIPQQTVSPLAKIPPSRPRKVRKLSPDDAASTANDPNSLQIITTTRDGPKTTAKSAKIKTSQQRALAVVTPRIIARSLSCEGEVENAIRHLRNADPLLASLIDLHPPPTFDTFHTPFLALTRSILYQQLAFKAGTSIYTRFIALCGGEADVLPDTVLALTTQQLRQIGVSGRKASYLHDLARKYHNGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQLLYNLEELPRPSQMDQLCDKWRPYRSVASWYLWRFVEAKGSPSSAVAVATGASMTQQQQEEQQHQQQPQLLDPINSILNLGACAWGQ >Manes.02G169100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13319342:13323751:-1 gene:Manes.02G169100.v8.1 transcript:Manes.02G169100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKRRSTGSSGRVDVDDDEVHDLGNDVRLARRWKRRVVAYQDSKLNHGRKISSGEGNCNKGSKKLPETSYILISDDDDAMDVDYAAYFNSIALEPNGNSHVDKRRPEDDGDTGSGALAENMSLTVVDALDNDADPQNRMVLESNNVEIDDSKEGEYLDSDYMKYLDCIALEPFVDNSVVYIDNIVDNCVNTNAVNGLVENGTSGDKWIEKESINGDASNHDNNACGDLDLQHKEITENNNVEFDDDVDYKKFLVSELFGGGGNDQHVANVANDINFDNHSAEVDPCGNQSVENGTTDCGFVEPRAADNNADYNDALDDIDPEYKMFLGNLREDGKSYVVEVPLNDDVSVIIKYEMDDGSHNGCGVENLSKTRPCQEAAGGTKHDHKPEMESDMDESYKIFLNCLKREGGNMVFVHESGARVIYEEDEESSSDSEVIVMDTDPFANANYTPFVLSKQHIVTDVDAIEDVKDSSRNQCIWFMESVMGILKKPYDPEEYDKLLEEVYSRKPVIEDREMRNGRTRLCPAQRMGKSYVDLHQDLDKQIKSAGSNKPKILNLLRGFFYWLQHTPHEGIFKPWMDSSCLEVLPHGNVASDSY >Manes.15G161000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13372323:13378307:1 gene:Manes.15G161000.v8.1 transcript:Manes.15G161000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKMCSCSNTLSLHFTAAAAKPPHRVFPFANGVSFDSLKPPRSRVLSMAFKRSPKRLKYSAPRFTKEDGLLYVEADPSGGDSWKLDPVVQLLKEGAVGVIPTDTLYAIVCHLKSHSAIERLRRIKNIEPSKPLSILCHSLRDIDIYTTGFPCGDGHGHANIFRAVKHCLPGPYTFILNASKELPKHCVRYGTTTAKYATRKNVGVRIPDDAICQGILEKMDAPLISTSVKWPKDNEWMIDPVVIADIYGPEGLDFVVDGGVRVADPSTVVDMTGVPPRVIRQGKGPKLDWMVAEDDIESGFHAEDLIPSAT >Manes.16G060000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23057045:23060319:-1 gene:Manes.16G060000.v8.1 transcript:Manes.16G060000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSRLHQGSNVPATIAKINGRPVLQPKSNQVPSLEKRNSLKKNPPKSPNLQPLPTPIPCLSPPISPKQKSPRPPALKRGGGSDSNSLNSSDEKFLTPRNTTQVSSIVRISKKSITSTAAPGSIAAERREHIANMQEQRKLRVSHYGRVRSAKQEAKVVSVDSSAVITTIVREERRCSFITPSSDPIYVAYHDEEWGVPVHDDKLLFELLVLTGAQVGSDWTSVLKKRQEFREAFHEFDAEVVAKFNEKKITSISAEYGINISHVRGVLDNANRILQVKKEFGTFDKYLWGFVTHKAIITQYKSCNKIPVKTSKSETISKDMVKRGFRFVGPIIIHSFMQASGLSNDHLITCPRHLQIHSIP >Manes.16G060000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23057556:23060265:-1 gene:Manes.16G060000.v8.1 transcript:Manes.16G060000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSRLHQGSNVPATIAKINGRPVLQPKSNQVPSLEKRNSLKKNPPKSPNLQPLPTPIPCLSPPISPKQKSPRPPALKRGGGSDSNSLNSSDEKFLTPRNTTQVSSIVRISKKSITSTAAPGSIAAERREHIANMQEQRKLRVSHYGRVRSAKQEAKVVSVDSSAVITTIVREERRCSFITPSSDPIYVAYHDEEWGVPVHDDKLLFELLVLTGAQVGSDWTSVLKKRQEFREAFHEFDAEVVAKFNEKKITSISAEYGINISHVRGVLDNANRILQVKKEFGTFDKYLWGFVTHKAIITQYKSCNKIPVKTSKSETISKDMVKRGFRFVGPIIIHSFMQASGLSNDHLITCPRHLQIHSIP >Manes.16G060000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23057677:23060231:-1 gene:Manes.16G060000.v8.1 transcript:Manes.16G060000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSRLHQGSNVPATIAKINGRPVLQPKSNQVPSLEKRNSLKKNPPKSPNLQPLPTPIPCLSPPISPKQKSPRPPALKRGGGSDSNSLNSSDEKFLTPRNTTQVSSIVRISKKSITSTAAPGSIAAERREHIANMQEQRKLRVSHYGRVRSAKQEAKVVSVDSSAVITTIVREERRCSFITPSSDPIYVAYHDEEWGVPVHDDKLLFELLVLTGAQVGSDWTSVLKKRQEFREAFHEFDAEVVAKFNEKKITSISAEYGINISHVRGVLDNANRILQVKKEFGTFDKYLWGFVTHKAIITQYKSCNKIPVKTSKSETISKDMVKRGFRFVGPIIIHSFMQASGLSNDHLITCPRHLQIHSIP >Manes.04G042900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6514131:6514589:-1 gene:Manes.04G042900.v8.1 transcript:Manes.04G042900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMELTRVFQMFDRNGDGRISKKELNDSLENLGIFIPDPELTQMIQNIDVNGDGCVDIDEFGALYRSIMDERDEEEEEDMKEAFKVFDQNGDGFITVDELRSVLAALGLKQGRTLEDCKTMIMKVDVDGDGMVNFKEFKQMMKGCGFSALG >Manes.03G173850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29807674:29809816:-1 gene:Manes.03G173850.v8.1 transcript:Manes.03G173850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVQTMREFRRHVTLTFLTATSKSRRRKFPFLKDTIIPQFQYPAPPKFLEMHLLHSPSLSVCFQRWLRLALVALLLLGTLLCFCSFSFANALIGFKKS >Manes.03G173850.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29807683:29809814:-1 gene:Manes.03G173850.v8.1 transcript:Manes.03G173850.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVQTMREFRRHVTLTFLTATSKSRRRKFPFLKDTIIPQFQYPAPPKFLEMHLLHSPSLSVCFQRWLRLALVALLLLGTLLCFCSFSFANALIGFKKS >Manes.03G173850.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29807674:29809816:-1 gene:Manes.03G173850.v8.1 transcript:Manes.03G173850.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVQTMREFRRHVTLTFLTATSKSRRRKFPFLKDTIIPQFQYPAPPKFLEMHLLHSPSLSVCFQRWLRLALVALLLLGTLLCFCSFSFANALIGFKKS >Manes.03G173850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29808375:29809814:-1 gene:Manes.03G173850.v8.1 transcript:Manes.03G173850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVQTMREFRRHVTLTFLTATSKSRRRKFPFLKDTIIPQFQYPAPPKFLEMHLLHSPSLSVCFQRWLRLALVALLLLGTLLCFCSFSFANALIGFKKS >Manes.13G140476.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34968069:34969586:1 gene:Manes.13G140476.v8.1 transcript:Manes.13G140476.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLGDAELLEAQAHVWNHIFNFINSMSLKCAVQLGIPDVIQRHGKPISLSHLISALPVHPAKSRCIPRLMRILVHSGFFARAKISENDEEEGYVLTNASQLLLKDNPFSVAPFLMAMLDPILIGPWHYMSTWFLNDDVTPFNTAHGKTFWEYHGHEPNLNNFFNEAMASDARLVTRVLINECKGVFEGLKSLVDVGGGTGTVAKAIAKSFPDLDCTVFDLPHVVAGLQGTHNLKYVGGDMFDEIPPSDAILLKWILHDWSDEECVKILKRCKEAIKGRKGGKLIIIDMIVGSHNGDDDSTETQLSFDMLMMVLVTGRERNEKEWAKLFSDAGFSYHKISPVLGLRSIIEVYP >Manes.16G001900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:403134:403472:1 gene:Manes.16G001900.v8.1 transcript:Manes.16G001900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMSLWGLGLALLVVTANQVHASVCPEVISALSPCHDFLVGSDQSPTSSCCVSAQNLNSGATDQPKRTHLCECFQQLIYILGIKVDKAKQLPTLCSIHNAVPVDPNVDCTK >Manes.12G052001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4889291:4891946:-1 gene:Manes.12G052001.v8.1 transcript:Manes.12G052001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAATEELSANALCSSKVEAFSQAVAAGSASTFNCKGNWVANKSLSSLFTSNASVVCLSKNRNLLLKTKMNEAVVEEAFIQCPLQFESGSIFTSN >Manes.12G052001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4891549:4891835:-1 gene:Manes.12G052001.v8.1 transcript:Manes.12G052001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAATEELSANALCSSKVEAFSQAVAAGSASTFNCKGNWVANKSLSSLFTSNASVVCLSKLFQKPLD >Manes.15G128500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10268284:10272827:-1 gene:Manes.15G128500.v8.1 transcript:Manes.15G128500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPSSSMHSYCLEISPMEVHKVVPPPHRSTLQKLKTRLKETFFPDDPLRQFKGQPLNKQWILAAQYFFPILQWGPNYNLKLFKSDIVSGLTIASLAIPQGISYAKLASLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMIRQEVSPTHDPLLFLQLAFSSTFFAGLFQASLGLLRLGFIIDFLSEATLIGFMAGAAIIVSLQQLKSLLGITHFTTQMGLVPVLSSVFHNTHEWSWQTILMGFCFLVILLVARHISMRKPKLFWVSAGAPLLSVILSTILVFAFKAQHHGISVIGKLQEGLNPPSWNMLHFHGSYLGLVMKTGLVTGIISLTEGIAVGRTFAALKNYQVDGNKEMIAIGLMNIIGSSTSCYVTTGAFSRSAVNHNAGAKTAVSNIIMSVTVMVTLLFLMPLFQYTPNVVLGAIIVTAVVGLIDIPTAYQIWRIDKYDFIVLLCAFFGVIFISVQEGLAIAVGISIFKILLQVTRPKTVILGSIPGTDIYRNLHHYKEAVMVPGFLILSIEAPINFANKTYLKERIMRWVEEYETQKDEKQQSSIHFLILDLSAVSGIDTTGVSLFKDLKKATENRGVELVLVNPVGEVMEKLQRADAANDILKPDTLFLTVGEAVAALSSTMKGQSSSHG >Manes.16G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8765409:8767019:1 gene:Manes.16G047600.v8.1 transcript:Manes.16G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFHASSSLSFLNKITFLLLLLLLLVFPAIVSGECTCDFSKEQKEEKAHALNYKLASIASILLSGAFGVSIPFLASKIPSLRPENDIFFMIKAFTAGVILATGFIHILPEAFDHLKSPCLNQNPWRNFPFSGFVAMMSAIGTLMVDSFATGYYNRIHFNKNKQVNVGEEGDEENHDQHSGHLHVHTHATHGHAHGSSSLTQDLGLPELIRRRITSQVLELGILVHSVIIGISLGASQSPETIKPLLVALSFHQFFEGMGLGGCIYEAKYKSRATAIMAIFFSVTTPLGIGIGIGISSVYRENSQTSLIVEGMFNSASAGILIYMALVDLLAADFMNPRLQNNLKIQLGANISLLLGAACMSVLAKWA >Manes.16G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32162056:32165248:1 gene:Manes.16G117300.v8.1 transcript:Manes.16G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQHSKIKDREMAGLTNGSSHFHINIPTIKFTKLFINGEFLDSVSGKTFETIDPRTGDVIARIAEGDKEDVDLAVKAAREAFDHGPWPRMSGAARARIMMKYADLIDQNIEELAALDTIDAGKLYGWGKAVDIPSAANHLRYYAGAADKIHGEVLKMSRELQGYTLREPIGVVGHIIPWNFPSSLFFSKVSPALAAGCTMVVKPAEQTPLSALFYAHLAKLAGIPDGVINVVTGYGPTAGAAIASHMDIDKVSFTGSTEVGRSVMKAAATSNLKQVSLELGGKSPLLIFDDADINTAADLALLGILYNKGEICAASSRVYVQEGIYDELVQKLVEKAKAWVVGDPFDPNVRQGPQVDKQQHEKILSYIEHGKREGATLLTGGKPLFEKGYFIEPTIFTDVKEDMLIAKDEIFGPVMSLMKFKTVEEAIESANNTRYGLAAGIVTKDLNVANTISRSIRAGIIWINCYFAFDRDCPYGGYKMSGFGRGYGMEALHKYLQVKSVVTPIYNSPWL >Manes.04G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31042278:31044011:-1 gene:Manes.04G105300.v8.1 transcript:Manes.04G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRKQSETHINLKNLPPIDPLTKTQSQVKKITTATRYVPRGAKPGPLEERPRSAKLFYQQANTESDSVADKNLLPNKHNPRDDEDDASDCCVKHITDSNYINFKTQTQTKEDFEISRDDRAFHNHSGEANKTSAKSQLYEICAANSWKPPLFECCKEEGPSHQRLFTFKVSVEIERAGAGSILEGFGGPRPQKKIAAEHAAEGALWYLKHLCSYFPIRNVGEKKNK >Manes.05G139600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25725952:25727860:-1 gene:Manes.05G139600.v8.1 transcript:Manes.05G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLLLLALPFLLSFLLRKLKTKRNLHLPPGPKGLPFIGNLHQFDSLNPHSYLWQLSQKHGPLMSLRLGFVPILVVSSAKMAKEVMKTHDLKFCSRPALVGNQKFSYNGLDVAFSSYNAYWREIRKICVVYLFNSNRVQSFRPIREFEISHMLEKISKSAVALKPVNLSEAMMSVTSTIICRTAFGKRYEEDGVESSRFQELLKELQALFTCFFVSDYFPFLGFIDKFTGFFHRLEKNFKEFDIFYEQIIKEHLDPSRSKPAEEDFLDILLQLWKSRSFKVDLTFDHIKAVLMNVFVAGTDTSAATVVWAMTLLMKNPMAMKKAQEEVRKLVGKKGFVEEADCQQLPYLQAVIKETMRLQPTAPLLLPRESMENCVLDGYDIPAKTIVYVNTWAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFGAGRRICPGMFMGLANVEVSLANLLYKFHWEMPVGMKKEDLDMDVQPGIAMHKKNALCLMARKYA >Manes.10G059300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8114003:8118148:-1 gene:Manes.10G059300.v8.1 transcript:Manes.10G059300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGPNKEPLKVVPRSIRPVSPLPYPEDDPSQQKYESAGLKAGLRKVRIFRRTKRACHEEEGNEGKSCVKSEDENNYAFDTYSLDDDAEAEVEGNDVNSSSRLSFNYETLAHANFTGRSFFSSTIFDSKDESWIYYNNGEPDMTSSFFGHSSASDYKQSLRQSSKHRILPWRNGKLNFRTSKPKVKGEPLLKKDYREDGGDDIDFDRRQLSSSDESSFGWNKSEESSTTSRSSFSEFGDDHFAVGSWEQKEVISRDGHMKLQTQVFFGSIDQRSERAAGESACTALVAIIADWLQSNQYEMPTKSEFDSLIRDGSLEWRNLCEKEDYRQQFPDKHFDLETILHAKVRPISVVAEKSFIGFFKPEGLDFDFLGGAMTFDSIWEEISHDSSDLSHNSDPLVYIVSWNDHFFVLKVEQDAYYIIDTLGERLYEGCNQAYFLKFNKDTSIQRLPTKNKAIEKKTAIEKVQPSNSLEKGSAEENPHLTPEETGRAEVELSQNEVVGKIDKEEEILCKGKESCKEYIKSFLAAIPIRELQADMNKGLIPSTPIHHRLQIEFHYTQLTKSAVEHSSKDASSPI >Manes.10G059300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8114003:8118147:-1 gene:Manes.10G059300.v8.1 transcript:Manes.10G059300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGPNKEPLKVVPRSIRPVSPLPYPEDDPSQQKYESAGLKAGLRKVRIFRRTKRACHEEEGNEGKSCVKSEDENNYAFDTYSLDDDAEAEVEGNDVNSSSRLSFNYETLAHANFTGRSFFSSTIFDSKDESWIYYNNGEPDMTSSFFGHSSASDYKQSLRQSSKHRILPWRNGKLNFRTSKPKVKGEPLLKKDYREDGGDDIDFDRRQLSSSDESSFGWNKSEESSTTSRSSFSEFGDDHFAVGSWEQKEVISRDGHMKLQTQVFFGSIDQRSERAAGESACTALVAIIADWLQSNQYEMPTKSEFDSLIRDGSLEWRNLCEKEDYRQQFPDKHFDLETILHAKVRPISVVAEKSFIGFFKPEGLDFDFLGGAMTFDSIWEEISHDSSDLSHNSDPLVYIVSWNDHFFVLKVEQDAYYIIDTLGERLYEGCNQAYFLKFNKDTSIQRLPTKNKAIEKKTAIEKVQPSNSLEKGSAEENPHLTPEETGRAEVELSQNEVVGKIDKEEEILCKGKESCKEYIKSFLAAIPIRELQADMNKGLIPSTPIHHRLQIEFHYTQLTKSAVEHSSKDASSPI >Manes.10G059300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8114003:8118147:-1 gene:Manes.10G059300.v8.1 transcript:Manes.10G059300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMWWPPRPPVSSRKFEVKAAIQKLQGLNMVQFDTENDDVSKKRKVVVEIKWKGQKRIVLGPLRRPVQRNFTEQGVFLGDGVFQWNEEFKTVCNFSGNKEGLFLPWEVQFTVFGSLNQEPRNGVFVGTATLNLAEYASTATGKELKINVPLTVSSRTSESTPLLFLALRFMELGPNKEPLKVVPRSIRPVSPLPYPEDDPSQQKYESAGLKAGLRKVRIFRRTKRACHEEEGNEGKSCVKSEDENNYAFDTYSLDDDAEAEVEGNDVNSSSRLSFNYETLAHANFTGRSFFSSTIFDSKDESWIYYNNGEPDMTSSFFGHSSASDYKQSLRQSSKHRILPWRNGKLNFRTSKPKVKGEPLLKKDYREDGGDDIDFDRRQLSSSDESSFGWNKSEESSTTSRSSFSEFGDDHFAVGSWEQKEVISRDGHMKLQTQVFFGSIDQRSERAAGESACTALVAIIADWLQSNQYEMPTKSEFDSLIRDGSLEWRNLCEKEDYRQQFPDKHFDLETILHAKVRPISVVAEKSFIGFFKPEGLDFDFLGGAMTFDSIWEEISHDSSDLSHNSDPLVYIVSWNDHFFVLKVEQDAYYIIDTLGERLYEGCNQAYFLKFNKDTSIQRLPTKNKAIEKKTAIEKVQPSNSLEKGSAEENPHLTPEETGRAEVELSQNEVVGKIDKEEEILCKGKESCKEYIKSFLAAIPIRELQADMNKGLIPSTPIHHRLQIEFHYTQLTKSAVEHSSKDASSPI >Manes.09G185800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37281137:37282827:-1 gene:Manes.09G185800.v8.1 transcript:Manes.09G185800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDEPAIGVPYYVGQNPHQAGAIPPNAVFGDPKGIPIQQTMYRDTPAPFNCTFCGNSGLTVVRSKPSLAAVVGCMTPFMLGVCFLCPSMDCLWHKYHYCPSCKEKVADFEKSDPCLVVDLPQWTQQSFALPA >Manes.14G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8543523:8549910:-1 gene:Manes.14G103100.v8.1 transcript:Manes.14G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNQLFNRGVFGAKCKTCLTLAISRIKLLQNKRDLQLKHMRKEIAQFLHAGQEAIARIRVEHVIREQNIWAAYEILELFCEFVLARVPVLDSQKECPAELREAIASIIFSAPRCSEVPDLLQIKNLFSAKYGKEFVMAASELRPDSSVNRAIIEKLSVAAPSAETRLKVLKEIAQEYNLEWDSSNTEAELGKKHEDLLAGSKQIVAEAAVSQAPMKVSPKPSPSNGASPMMNTDIKPGFQHLQPPSPMSNTPLVDANAIEPTVRNHNTGSVTDAKREATAQSSDVLERARAAIASAERATAAARAAAELVNVEFSSLKLEGRSS >Manes.01G209000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38056517:38059043:-1 gene:Manes.01G209000.v8.1 transcript:Manes.01G209000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQHVKYFVVDAFTDSAFKGNPAAVCFLEEEKDDKWLQAVAAEFNISQTCYLTTINLRDTVNSNPRFHLRWFTPVAEVKLCGHATLAASHILFSNGLVTSNVIEFVTLSGILTAKRVSDISSTDDLNNQNGKAKDCFLIELDFPTVPTTEFNSLDLAPISKALNGASIIDIRRTTGSDDLFVVLPSAKAVTDIQPQLDEIRNCPGRGIIVSGVSPSGSGFDFYSRFFCPKLGINEDPVCGSAHCALAHYWSTKLGKCDFMAYAASPRSGILNIHLDEQKRRVLLRGKAFTVMEGSILV >Manes.15G184300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29126970:29133652:-1 gene:Manes.15G184300.v8.1 transcript:Manes.15G184300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSLLNERRTASVPVKEEEWDSVEKMLLAGKNITEWLCELDNIAREVEAELVSRDIGCHLVEVLDAINVVLFESRGFKRSPVVVDSKYSYLHTVLSTGCGSAILLSIIYIEVCRRLNLTIVGSRVGEDFLIWPQMGNLEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSSHGLMLTSPLRHVHNAHKKHEMSQNLNVPLLRQRDLRLAIMASERLLVLHPHNWALRRDHGMMLYYNREYGEAVQELSICMAFAPEEEAQVLEPFVEKLHLLRLESTWKSMEHAGHVKVP >Manes.15G184300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29126970:29133652:-1 gene:Manes.15G184300.v8.1 transcript:Manes.15G184300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLSASLTLFCDPFVTSIATFSATNPAIPRDLRPIRYDHDQRWMWIRRRKTPFSWTRNGTAVVSVSASASSISTNQMTRDSSRLNVYQEVAKSARERFTQEISFQSKDKDISLAKALLYIAAEDEAFLAFNKEMDARSLLNERRTASVPVKEEEWDSVEKMLLAGKNITEWLCELDNIAREVEAELVSRDIGCHLVEVLDAINVVLFESRGFKRSPVVVDSKYSYLHTVLSTGCGSAILLSIIYIEVCRRLNLTIVGSRVGEDFLIWPQMGNLEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSSHGLMLTSPLRHVHNAHKKHEMSQNLNVPLLRQRDLRLAIMASERLLVLHPHNWALRRDHGMMLYYNREYGEAVQELSICMAFAPEEEAQVLEPFVEKLHLLRLESTWKSMEHAGHVKVP >Manes.15G184300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29126970:29133652:-1 gene:Manes.15G184300.v8.1 transcript:Manes.15G184300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLSASLTLFCDPFVTSIATFSATNPAIPRDLRPIRYDHDQRWMWIRRRKTPFSWTRNGTAVVSVSASASSISTNQMTRDSSRLNVYQEVAKSARERFTQEISFQSKDKDISLAKALLYIAAEDEAFLAFNKEMDARSLLNERRTASVPVKEEEWDSVEKMLLAGKNITEWLCELDNIAREVEAELVSRDIGCHLVEVLDAINVVLFESRGFKRSPVVVDSKYSYLHTVLSTGCGSAILLSIIYIEVCRRLNLTIVGSRVGEDFLIWPQMGNLEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSSHGLMLTSPLRHVHNAHKKHEMSQNLNVPLLRQRDLRLAIMASERLLVLHPHNWALRRDHGMMLYYNREYGEAVQELSICMAFAPEEEAQVLEPFVEKLHLLRLESTWKSMEHAGHVKVP >Manes.15G184300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29127427:29133652:-1 gene:Manes.15G184300.v8.1 transcript:Manes.15G184300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVNFTLLVLDCSISFVHCNLNLSAENNSQVAKSARERFTQEISFQSKDKDISLAKALLYIAAEDEAFLAFNKEMDARSLLNERRTASVPVKEEEWDSVEKMLLAGKNITEWLCELDNIAREVEAELVSRDIGCHLVEVLDAINVVLFESRGFKRSPVVVDSKYSYLHTVLSTGCGSAILLSIIYIEVCRRLNLTIVGSRVGEDFLIWPQMGNLEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSSHGLMLTSPLRHVHNAHKKHEMSQNLNVPLLRQRDLRLAIMASERLLVLHPHNWALRRDHGMMLYYNREYGEAVQELSICMAFAPEEEAQVLEPFVEKLHLLRLESTWKSMEHAGHVKVP >Manes.15G184300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29127385:29133652:-1 gene:Manes.15G184300.v8.1 transcript:Manes.15G184300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSLLNERRTASVPVKEEEWDSVEKMLLAGKNITEWLCELDNIAREVEAELVSRDIGCHLVEVLDAINVVLFESRGFKRSPVVVDSKYSYLHTVLSTGCGSAILLSIIYIEVCRRLNLTIVGSRVGEDFLIWPQMGNLEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSSHGLMLTSPLRHVHNAHKKHEMSQNLNVPLLRQRDLRLAIMASERLLVLHPHNWALRRDHGMMLYYNREYGEAVQELSICMAFAPEEEAQVLEPFVEKLHLLRLESTWKSMEHAGHVKVP >Manes.09G064300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11188420:11195809:1 gene:Manes.09G064300.v8.1 transcript:Manes.09G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGDGEKIRIFWLPHVLLLLMVVLYLLPCAHCEDGDDYRRTGNPVLLPMLTESIYKRLSNLSIIFSDSILDTANFCIKNVKADWDRAFNYNGNLDFISNCLKKTKGDITHRLCTAAEIKFYFISHFQRGGTKANYLKPNKNCNLTSWPPGCEPGWGCIADMNEKVDIENSKEMPVRTRDCQPCCEGFFCPQGLTCMIPCPLGSYCPAAKLNDTTGICEPYGYQIPPGQPDHGCGSADTWSDVGSDSEIFCPPGSYCPSTTVKVPCSSGHYCMMGSTTQTSCFKLTNCGANTANQSLHAYGGILIVSLTTLLLIIVNCSDQALSTRERKAAKSREAAARHARETAQARERWKAAKEEVRNRATGLQQQLSRAFSRQVKPRSEDNLSSVTSSESNQSSKAAKGNKKEPSSLAKIIQSLEDDPNNDEGFNLEIGGKKQMPKGKQLHTHSQIFKYAYGQLEKERALQQEQKNLTFSGLISRASDTDIKTRPVIEVAFKDLTLTLKGKKKHLLRCVTGKIMPGRVSAVMGPSGAGKTTFLSALAGKVTGCTMEGLILINGKNESIHSYKRIIGFVPQDDIVHGNLTVEENLRFSARCRLPDDMPKADKVLVIERVIESLGLQAIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLKALRREAIEGVNICMVLHQPSYALYKMFDDLILLAKGGLTVYHGSTKKVEEYFAGLGIIVPERVTPPDHFIDILEGIIKPNGNITREQLPIRWMLHNGYPVPPDMLHHVDSLGRDTITSDTDDPNAVAIEQSFAGDLWEDVKCNVEIQKDHIQHNFLKSKDLSNRRTPSASHQFRYFLGRVTKQRLREARLQAVDYLVLLLAGACLGTLTKMDDETFGTTGYTFTVIAISLLCKIAALRSFSLDKLHYWRESASGISSLAYFLSRDTLDHFNTIVKPLVYLSMFYFFNNPRSTFIDNYIILVCLVYCVTGIAYVFAIHLGPTPAQLWSVLTPVVLTLVATQEQQSKFVKILGNFCYPKWAMEAFIIANAQRYSGVWLLTRCRSLLTNDYDIGHWSLCLELLILTGIFSRFTAYFLLITFQKK >Manes.09G064300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11188420:11195809:1 gene:Manes.09G064300.v8.1 transcript:Manes.09G064300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRKADWDRAFNYNGNLDFISNCLKKTKGDITHRLCTAAEIKFYFISHFQRGGTKANYLKPNKNCNLTSWPPGCEPGWGCIADMNEKVDIENSKEMPVRTRDCQPCCEGFFCPQGLTCMIPCPLGSYCPAAKLNDTTGICEPYGYQIPPGQPDHGCGSADTWSDVGSDSEIFCPPGSYCPSTTVKVPCSSGHYCMMGSTTQTSCFKLTNCGANTANQSLHAYGGILIVSLTTLLLIIVNCSDQALSTRERKAAKSREAAARHARETAQARERWKAAKEEVRNRATGLQQQLSRAFSRQVKPRSEDNLSSVTSSESNQSSKAAKGNKKEPSSLAKIIQSLEDDPNNDEGFNLEIGGKKQMPKGKQLHTHSQIFKYAYGQLEKERALQQEQKNLTFSGLISRASDTDIKTRPVIEVAFKDLTLTLKGKKKHLLRCVTGKIMPGRVSAVMGPSGAGKTTFLSALAGKVTGCTMEGLILINGKNESIHSYKRIIGFVPQDDIVHGNLTVEENLRFSARCRLPDDMPKADKVLVIERVIESLGLQAIRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLKALRREAIEGVNICMVLHQPSYALYKMFDDLILLAKGGLTVYHGSTKKVEEYFAGLGIIVPERVTPPDHFIDILEGIIKPNGNITREQLPIRWMLHNGYPVPPDMLHHVDSLGRDTITSDTDDPNAVAIEQSFAGDLWEDVKCNVEIQKDHIQHNFLKSKDLSNRRTPSASHQFRYFLGRVTKQRLREARLQAVDYLVLLLAGACLGTLTKMDDETFGTTGYTFTVIAISLLCKIAALRSFSLDKLHYWRESASGISSLAYFLSRDTLDHFNTIVKPLVYLSMFYFFNNPRSTFIDNYIILVCLVYCVTGIAYVFAIHLGPTPAQLWSVLTPVVLTLVATQEQQSKFVKILGNFCYPKWAMEAFIIANAQRYSGVWLLTRCRSLLTNDYDIGHWSLCLELLILTGIFSRFTAYFLLITFQKK >Manes.14G033800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3022165:3023418:-1 gene:Manes.14G033800.v8.1 transcript:Manes.14G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPLSVNRFRYHFSIARLVFWTCIFLGLILLFFFHSPHSSSSSSTSDSAPRRILADPDWESRVIKSGKPRSRAGGLRVLVTGAAGFVGTHVCATLRRRGDGVVGLDNFNAYYDPALKQARRSLLERADVFVVKGDINNPTLLSKLFELVQFTHVMHLAAQAGVRYAMKNPSSYVHSNIAGFVNLLEVCKSANPQPAIVWASSSSVYGLNTKVPFSEKDRTDKPSSLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILKGKPITIFEGPDHFSVARDFTYIDDIVKGCLGALDTAKKSTGSGGVKKGPAQLRVFNLGNTSPVPVSKLVNILEKLLKVKAKKIVLPMPANGDVLFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLNFYTRTGKTSNV >Manes.15G152500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12667489:12673035:1 gene:Manes.15G152500.v8.1 transcript:Manes.15G152500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNSREGSWRSNSSSLRSNSSPWGGSPHGYSQSPYAQEIYSDSNRAQQSYGQESYTYNYAPQQSYGSQQQYSSSQNYNNDKRQLDRKYSRIADDYKSLDQVTEALARAGLESSNLIVGIDFTKSNEWTGSRSFNRRSLHHIGDGLNPYEQAISIIGKTLAFFDEDNLIPCFGFGDASTHDQDVFCFYPDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPVIEMASTIVEQSGGQYHVLLIIADGQVTRSVDTERGQLSPQEQKTVDAIVEASKLPLSIVLVGVGDGPWDTMREFDDNIPAREFDNFQFVNFTGIMSKPVDSSRKETEFALAALMEIPSQYKATIEHNILGRRKGNVPERVPLPPPLYGAASFNSTKPSRSDSFKPSVPSYPVDSPPASSAPPASSSTYDNQTCCECGESLRLCPICRSEIQMRIKLY >Manes.15G152500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12667489:12673035:1 gene:Manes.15G152500.v8.1 transcript:Manes.15G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNSREGSWRSNSSSLRSNSSPWGGSPHGYSQSPYAQEIYSDSNRAQQSYGQESYTYNYAPQQSYGSQQQYSSSQNYNNDKRQLDRKYSRIADDYKSLDQVTEALARAGLESSNLIVGIDFTKSNEWTGSRSFNRRSLHHIGDGLNPYEQAISIIGKTLAFFDEDNLIPCFGFGDASTHDQDVFCFYPDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPVIEMASTIVEQSGGQYHVLLIIADGQVTRSVDTERGQLSPQEQKTVDAIVEASKLPLSIVLVGVGDGPWDTMREFDDNIPAREFDNFQFVNFTGIMSKPVDSSRKETEFALAALMEIPSQYKATIEHNILGRRKGNVPERVPLPPPLYGAASFNSTKPSRSDSFKPSVPSYPVDSPPASSAPPASSSTYDNQVCPICLTNAKDMAFGCGHQTCCECGESLRLCPICRSEIQMRIKLY >Manes.09G094900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28700469:28702433:-1 gene:Manes.09G094900.v8.1 transcript:Manes.09G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVWFFSAFIFLLISVSCQPSELFFPGFKGVDDTNITLSGVAEIDEDGVLRLTNETSRLMGHAFYTSPFQFKNSSNGKAFSFSTSFAFVIAPEYSKLGGHGLAFTISTSKDLKALPSQYLGLLNASDAGNFTNHLLAVEFDTVQDFEFEDINDNHVGIDINSLKSNASAPAAYYSDDSSKQDLNLKGRYAIQVWIDYDSLQNLLNVTISPTSKKPRLPILSFPVDLSPIFKEHMYVGFSSSTGLLASLHYILGWSFKINGQAPALDLSSLPSLPASPKRKHMGLTIGVSVPSVFLAIVFISSAIVYVIRRIKNADIIEDWELEIGPHRYSYQELKEATNSFSEKELLGHGGFGQVYKGTLPDSKVQVAVKRISNESKQGLREFVSEIASVGRLRHRNLVQLLGWCRRIDDFLLVYDYMANGSLDKFLFDEPKMILNWEQRLKIIKDVASGLLYLHEGYEQVVIHRDVKASNVLLDSELNGKLGDFGLARIYEHGSNPSTTRVVGTLGYLAPELSRTGKATASSDVYAFGALLLEVACGRRPIEPKALPEEMVLVEWVWERFREGKVLDVVDRRLNGQYNESEMAMVIKLGIMCSNDVPIARPTMRQVMRFLHGECQVPERLRTPDQGAYADGFDDFGVNFSYCSSTQIVVPR >Manes.02G045600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3738234:3739140:1 gene:Manes.02G045600.v8.1 transcript:Manes.02G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFCSCISISLILVLGNAIHASAGDPDILKDFSVPPSLDPSTITRQYFTFTGFRSLRKANLTGKTTALVTKATLKEFPALEGQSVSVSAIMYPPSGMNLPHVHPRASELLIVLLGSLEVGFVDTTNRLFTQTLQAPDMFIFPKGLVHFQVNTKTDSPSIALGIFGSASAGTVSLPSTVFGSGISAEILAKAFKTDEETISKLIQANK >Manes.11G088300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16218439:16219831:1 gene:Manes.11G088300.v8.1 transcript:Manes.11G088300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPSVISSAMQNLKKQSFQGRKEYGVPKGHVAVYVGEFQWKRFIVPISYLNHPCFKDLLNRAEQEFGFNHPMGAITIPCKEDDFIDLTSRFLAL >Manes.06G034411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10063160:10064627:1 gene:Manes.06G034411.v8.1 transcript:Manes.06G034411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.14G053500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4541431:4543823:1 gene:Manes.14G053500.v8.1 transcript:Manes.14G053500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARNTKNPFFTLTPSPVFHLVSASPLTSLLFSPRKSPRNQTKFFALSTATTRTLSLFFLFLFFFTLVGSSILSFIPISRDSVSCSFISPSSPLSFPSRSTSNVLLASLSSGYSSNVNDEPGLAKSVMMPLPAQGVTGNVSKEEREFWAQPDGEGYKPCLDFSIKYRKASARISKEKRKFLVAVVSGGVNQQRNQIVDAVVIARILEVALVVPVLQVNLIWGDDSEFSDIFDVELFKRILQADVRIVSSLPSTHLMSRQSIEHQIPNDVSPFWIRTKFSRKLNEEGLLVLKGLASKLSKNLPPDLQKLRCKVAFHALRFAAPILELGNRLARRMWIEGPYIALHLRLEKDVWVRSGCLTGLGPEYDKIIAEVRESQPEYLTGRLNMSYTERRLAGLCPLNALEIARLLKALGAPRDARIYIAGGEPFGGTRALQPLMSEYQNLVTKDMLAREGELSPYLKKSSAMAAIDYVVSLSSNVFMPSHGGNMGRLMQGHRAYVGHWKCIKPNKRAMIPFYEDSSLSDTEFGSIVRMLHRKSKGKPEPRSNKRDRDVIAYPVPECMCKHSTPIF >Manes.02G154201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11979997:11982884:-1 gene:Manes.02G154201.v8.1 transcript:Manes.02G154201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVASDAKSGIDIRIRVILPKSLFSSAEPKPLPAPRLAKKIETGTAAAKTNAWVDSMRDSSPTRVKSTASLSETEEKNSWIMNHPSALSMFEQIVNASKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMREAVRDVARYFPTAIVTGRCRDKIYSFVKLAGLYYAGSHGMDIKGPSKSRKYKKGHQALLFQPASKFLPMIDEVYKVLIEKTKSISGAKVENNKFCVSVHFRCVEEKVLEIRPTIKWDKGKALEFLLESLGYANSNDVLPVYIGDDRTDEDAFKVLRNRGQGLGILVSKFPKETNASYSLQEPTEVKDFLRRLVEWKRFSIG >Manes.02G154201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11979997:11982884:-1 gene:Manes.02G154201.v8.1 transcript:Manes.02G154201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVASDAKSGIDIRIRVILPKSLFSSAEPKPLPAPRLAKKIETGTAAAKTNAWVDSMRDSSPTRVKSTASLSETEEKNSWIMNHPSALSMFEQIVNASKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMREAVRDVARYFPTAIVTGRCRDKIYSFVKLAGLYYAGSHGMDIKGPSKSRKYKKGHQALLFQPASKFLPMIDEVYKVLIEKTKSISGAKVENNKFCVSVHFRCVEEKMWAALAEQVRSVLNDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANSNDVLPVYIGDDRTDEDAFKVLRNRGQGLGILVSKFPKETNASYSLQEPTEVKDFLRRLVEWKRFSIG >Manes.02G154201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11979997:11982884:-1 gene:Manes.02G154201.v8.1 transcript:Manes.02G154201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVASDAKSGIDIRIRVILPKSLFSSAEPKPLPAPRLAKKIETGTAAAKTNAWVDSMRDSSPTRVKSTASLSETEEKNSWIMNHPSALSMFEQIVNASKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMREAVRDVARYFPTAIVTGRCRDKIYSFVKLAGLYYAGSHGMDIKGPSKSRKYKKGHQALLFQPASKFLPMIDEVYKVLIEKTKSISGAKVENNKFCVSVHFRCVEEKVLEIRPTIKWDKGKALEFLLESLGYANSNDVLPVYIGDDRTDEDAFKVLRNRGQGLGILVSKFPKETNASYSLQEPTEVKDFLRRLVEWKRFSIG >Manes.02G154201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11979748:11982884:-1 gene:Manes.02G154201.v8.1 transcript:Manes.02G154201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVASDAKSGIDIRIRVILPKSLFSSAEPKPLPAPRLAKKIETGTAAAKTNAWVDSMRDSSPTRVKSTASLSETEEKNSWIMNHPSALSMFEQIVNASKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMREAVRDVARYFPTAIVTGRCRDKIYSFVKLAGLYYAGSHGMDIKGPSKSRKYKKGHQALLFQPASKFLPMIDEVYKVLIEKTKSISGAKVENNKFCVSVHFRCVEEKMWAALAEQVRSVLNDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANSNDVLPVYIGDDRTDEDAFKVLRNRGQGLGILVSKFPKETNASYSLQEPTEVKDFLRRLVEWKRFSIG >Manes.02G048400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3940816:3943619:1 gene:Manes.02G048400.v8.1 transcript:Manes.02G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNLFIITALGILFTIAESMRFDLNSGNTKCIGEDIKSNSMTVGKYNVVLPNEADAIPDSHKLTVKVTSPYGSTYHFGDHVDSGNFAFTAAEAGDYTTCFWADEHRPETTVTVDFEWKTGVSAKDWSKVAKKGQIEVMEIELKKLYETVTSIHDEMFYLREREEEMQQLNRSTNSKMAALSLLSLVVCLSVAGLQLWHLKTYFERKKLL >Manes.02G143800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11025277:11027992:-1 gene:Manes.02G143800.v8.1 transcript:Manes.02G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGLTILVEFSSSEEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHDPFAYFVIFNEDLQKSDSLWFLDRVKVAFEELILSNPNKDVDELTMLCFQDHFYPTFREILQLDVELVDSSLGVPEDNRTPSLDSTKEKGSVVRPLLSKPAKLLVKKKKRPLGCGGGASDGGGEASGDHHNFKNGGARMDNKANHVGVNGHVVVNREFSVSMTHKNGGHHLGDNKHKVNKTWMKLVWVILILDVAICTALFGIWLLICRGFKCIDG >Manes.04G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35237954:35241847:-1 gene:Manes.04G157300.v8.1 transcript:Manes.04G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDVDDILMDEEFVPVVFHKAVNGVKIDESTDRGYVEQGSKAELPFWLARELHLRQAISMSVPACFNQKTRLEIQADAACVDLRSRCPYFYEFGCKLAPLCDKTIGLLLSYSFKIRYKEVLYKAHTVAFGAATKFLSFLTKEETNLYEAAQSSMAAFKKWRMGGPRLQRASILGRKRKATD >Manes.04G157300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35238165:35241752:-1 gene:Manes.04G157300.v8.1 transcript:Manes.04G157300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYDVDDILMDEEFVPVVFHKAVNGVKIDESTDRGYVEQGSKAELPFWLARELHLRQAISMSVPACFNQKTRLEIQADAACVDLRSRCPYFYEFGCKLAPLCDKTIGLLLSYSFKIRYKEVLYKAHTVAFGAATKFLSFLTKEETNLYEAAQSSMAAFKKWRMGGPRLQRASILGRKRKATD >Manes.03G155400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28298810:28312304:1 gene:Manes.03G155400.v8.1 transcript:Manes.03G155400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLPGSRKQEHLEAGKRRLEEFRKKKAADRARKAATIIQPFASDVNVDQKQPLEAECARLTDSDGAGASDGPGRTIEPYNGIINNDSNIINITTKPKQIFSEDANANPQSKSDSNTSLADLVQRHTNNHGFKTYDASGFAGAGGNNGLGMDGMNSDSKTHVVSQGRVPYGKLSDHSIAPHSQEGQGLESSSNQSILFQSAEKNSFLKTYASTSAQNSASQLKIKSSYSSTLDTATGVDLTQPSNNLRGSSLDIEKDIYGGMHFNGSMNSDFGERNFSSLSGSFPTLHGRDVQTSESIGFNSDIRSTTNHVQLFSGTSESNSRRSRPSFLDSLNVARAPSKNSFQSAEPQEFFMPSDLTSDGMDDLGSSAFQKSSLETDTVGQHLMEFSMPSSNGFDLMTSDVNENSMERKHELYSSKKNEDFAALEQHIEDLTQEKFSLHRALEASRALAESLASENSSLTDNYNQQRSVVNQLKSDMEKLQEEIKAHLVELDSVKMEYANAKLECNAADERGKILASEVIGLEEKALRLRSDELKLERQLESSHAEIASYKKKMSSLEKDRQDLQSTIDNLQEEKKLLQSKLRKASTSRKSIDFDKSSSNKKDMSTSTEDLEAIPCTSNQETNDATSLVGSNTPSFLMLPEIGPSNVEALSVYIPSDQMRMIENINILVSELTLEKEELVQAFSFELSQCSRLKDLNNELSRKLEVQTQRLELLTAQSLVIEKAPSRLRDSSFTRENTTYADEGDEVVERVLGWIMKLFPGGPLRRRTSKLT >Manes.06G033475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:9075143:9078239:1 gene:Manes.06G033475.v8.1 transcript:Manes.06G033475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGTYHLLDDVKKSNEEWLQRSAIATIINIHMIPLLHDHFVKEGVLSSKAIPLGGSFILLNFENQETMESFLSNDVTLLKSWFTDIKPYSLTFAQNKRLAWFRLVGVPLHAWSSHFFKWFSEKMDMFVAIDSSINSKSKMGVARILVIVDNLRSFNKIFAVEISNRRYHIFVQDELGGSLSLFNCGTFLGNVAPRYVQFSDNNISCAYSSNADVSSEPSQENILVMDSVGDYAQGENSLHGNLMLRMMTQFQ >Manes.16G094202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30061764:30063189:1 gene:Manes.16G094202.v8.1 transcript:Manes.16G094202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESEVATIFISPFSPLKVASSKLAAATTSIGARGRTLSVPSHRRRSRTSFWIRF >Manes.01G146000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMSSSRLMVRAVVSSPIMVILCFSIYMGLEQKVTIPRGYSLSSCSSRYYRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEFRRWFRSMLVESGGPFFDVFRSKHPDRREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.01G146000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMSSSRLMVRAVVSSPIMVILCFSIYMGLEQKVTIPRGYSLSSCSSRYYRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEFRRWFRSMLVESGGPFFDVFRSKHPDRREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.01G146000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTYNVNGLRQRISQFGSLLKLLDSFDADIICFQETKLRRQELTSDLAVADGYESFFSCTRTNDKGRTGYSGVATFCRVKSAFSSDEVALPVAAEEGFTGLVDSSRNGKAEMPAVAQGLEEYDQDELLKVDGEGRCIITDHGHFVLFNIYGPRAESDDSERIQFKLMFFKILQKRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEFRRWFRSMLVESGGPFFDVFRSKHPDRREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.01G146000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAQGLEEYDQDELLKVDGEGRCIITDHGHFVLFNIYGPRAESDDSERIQFKLMFFKILQKRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEFRRWFRSMLVESGGPFFDVFRSKHPDRREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.01G146000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTYNVNGLRQRISQFGSLLKLLDSFDADIICFQETKLRRQELTSDLAVADGYESFFSCTRTNDKGRTGYSGVATFCRVKSAFSSDEVALPVAAEEGFTGLVDSSRNGKAEMPAVAQGLEEYDQDELLKVDGEGRCIITDHGHFVLFNIYGPRAESDDSERIQFKLMFFKILQKRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEFRRWFRSMLVESGGPFFDVFRSKHPDRREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.01G146000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAQGLEEYDQDELLKVDGEGRCIITDHGHFVLFNIYGPRAESDDSERIQFKLMFFKILQKRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEFRRWFRSMLVESGGPFFDVFRSKHPDRREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.01G146000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTYNVNGLRQRISQFGSLLKLLDSFDADIICFQETKLRRQELTSDLAVADGYESFFSCTRTNDKGRTGYSGVATFCRVKSAFSSDEVALPVAAEEGFTGLVDSSRNGKAEMPAVAQGLEEYDQDELLKVDGEGRCIITDHGHFVLFNIYGPRAESDDSERIQFKLMFFKILQKRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEFRRWFRSMLVESGGPFFDVFRSKHPDRREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.01G146000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMSSSRLMVRAVVSSPIMVILCFSIYMGLEQKVTIPRGYSLSSCSSRYYRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEFRRWFRSMLVESGGPFFDVFRSKHPDRREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.01G146000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33632338:33641532:1 gene:Manes.01G146000.v8.1 transcript:Manes.01G146000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTYNVNGLRQRISQFGSLLKLLDSFDADIICFQETKLRRQELTSDLAVADGYESFFSCTRTNDKGRTGYSGVATFCRVKSAFSSDEVALPVAAEEGFTGLVDSSRNGKAEMPAVAQGLEEYDQDELLKVDGEGRCIITDHGHFVLFNIYGPRAESDDSERIQFKLMFFKILQKRWESLRQEGRRIFVVGDLNIAPTAMDRCDAGPDFEKNEREAYTCWPSNTGAEQFNYGSRIDHILFAGSCLHQDYELQGHNFVTCHVKECDILTEYKRWKPGNTLRWKGGWGIKLEGSDHAPMCTSLVEIPVVPQHGTPSLSARYLPMIHGLQQTLVSVLLKRQASTQVQSCRMSTSFSEENASIEKCSESMKGSFNRCSIHGLTTSDSYSLNEDSEGAILRTGKKSKDITNETCPNTTTMLHRSNDSSVPEEKTKKKLRKSQWSQLSLKSFFQKSSNISNSSEHSSMDVSLSQADVADSNSHPNETVAKDGQISSAKHYESITDPQDQNEVNQNEVNYGPSDKEKNNVALQEWKRIQQLMQNSVPLCKGHKEPCVARIVKKPGPTFGRRFYVCARAEGPASNPEANCGYFKWASSKSRQK >Manes.14G044700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3875203:3877377:-1 gene:Manes.14G044700.v8.1 transcript:Manes.14G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIVSALAQVIGNTNNNPLQVHENPSTVHPSNTLGHDPPQQPVQDQGNTRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGSKAKLNFPERVQGRSQLGFLTNRQDLHAGTQQMTHRVIVPSQTPQEPCSSNFQYPQFMFPGGNYGLNYAVPAGPYNREPFLSRTSSAISSSSSSSSSSSSAPSHQQEELVRFSMQFGSPYSHSNPPATNWRNFDSAHGRD >Manes.06G140600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26821162:26822708:1 gene:Manes.06G140600.v8.1 transcript:Manes.06G140600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSHQNNELSSQISFTPYQENTIPQDLKAIDDPSENHSMGKSRQRNPCSSHNKDENTDEISAKRNVHRDIEKRRRQEMTTLYTSLRNLLPLEYIKGKRAMSDHIHEAVKYINDLQKKIKELSFLRDEMKKLSKLRVLEPEGDRLNGFAPTSVMVRPCFVGVEVVINSGFGNQSLHLSRALELLLEEGLDVVNCISTKVNQRVIHTIQFEVSYMTCIDMSELQNKLIRAIPSTGAENS >Manes.15G072000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5419699:5424299:-1 gene:Manes.15G072000.v8.1 transcript:Manes.15G072000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGKPHSTETFTGNYITSKAQNPQPHPDLKPRRRTRNPSLTRSKRNGTPVGRRSRPETPFLKWKIEDRERNVRVEEDDDELEEKLQTGARKGRRKISSSVSARKLAAGLWRLQLPDTLATGTGERKRRDRLGFQFSDSAKEGATKWDPGCLETLDEVRQIYSHMKRLDQQVSAVSVVSALEAELERAQTRIQELEAERRSSKKKLENFLKKVSEERAAWRSREHEKIRAFVDDIKADLNRERKNRQRLEIVNSKLVNELADAKVSAKRFMLDYEKERKARELVEEVCDELAKEIGEDKAEVEALKRESLKLREEVDEERKMLQMAEVWREERVQMKLVDVKVLLEEKYSHMNKLVADLESFLRSRNAAPDLKEVKEAESLIHAAASLNIQDIKDFTYEPPNPDDIFSVFEEVNSGETNEREIEQCVAYSPASHASKIHTVSPEVNMINKDGSDRHSDAFIDQNGEIEEDESGWETVSHLEDQGSSYSPEGSVPSINRNRRDSILSGSGTEWEENACDETPLTEISELCSVPARQLKKVSSIAKLWRSCPNNGDNCSIISVNGMNGRFSNGRKSSEGIVSPDRGSGKGGLSPDLVGQWSSPDSGNPHITRGMKGCIEWPRGMQKNSLKAKLLEARMESQKVQLRQVLKHKI >Manes.15G072000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5419699:5424299:-1 gene:Manes.15G072000.v8.1 transcript:Manes.15G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGKPHSTETFTGNYITSKAQNPQPHPDLKPRRRTRNPSLTRSKRNGTPVGRRSRPETPFLKWKIEDRERNVRVEEDDDELEEKLQTGARKGRRKISSSVSARKLAAGLWRLQLPDTLATGTGERKRRDRLGFQPGGGHVGISFLPRHSGQANSYKVQDPLQSPSSVSGMKNIFLCKFSDSAKEGATKWDPGCLETLDEVRQIYSHMKRLDQQVSAVSVVSALEAELERAQTRIQELEAERRSSKKKLENFLKKVSEERAAWRSREHEKIRAFVDDIKADLNRERKNRQRLEIVNSKLVNELADAKVSAKRFMLDYEKERKARELVEEVCDELAKEIGEDKAEVEALKRESLKLREEVDEERKMLQMAEVWREERVQMKLVDVKVLLEEKYSHMNKLVADLESFLRSRNAAPDLKEVKEAESLIHAAASLNIQDIKDFTYEPPNPDDIFSVFEEVNSGETNEREIEQCVAYSPASHASKIHTVSPEVNMINKDGSDRHSDAFIDQNGEIEEDESGWETVSHLEDQGSSYSPEGSVPSINRNRRDSILSGSGTEWEENACDETPLTEISELCSVPARQLKKVSSIAKLWRSCPNNGDNCSIISVNGMNGRFSNGRKSSEGIVSPDRGSGKGGLSPDLVGQWSSPDSGNPHITRGMKGCIEWPRGMQKNSLKAKLLEARMESQKVQLRQVLKHKI >Manes.02G165401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12954578:12955601:1 gene:Manes.02G165401.v8.1 transcript:Manes.02G165401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCILVKSSRTCRVVASQTISIASEVLTPLIVSSSSSSPRYCKMEESMGRCDDFQGDFIATPSSDCWKIKFREIYNAESFILVLRNGGTSR >Manes.09G174300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36604324:36613085:1 gene:Manes.09G174300.v8.1 transcript:Manes.09G174300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAKPENALKRAEELINVGQKQDALQALHDLITSKRYRAWQKTLEKIMFKYVELCVDMRRGRFAKDGLIQYRIVCQQVNVNSLEEVIKHFMHLSTEKAEQARSQAQALEDALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRSTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKIATELELWQEAFRSIEDIHGLMCMVKKTPKPSLLVVYYAKLTEIFWISSSHLYHAYAWFKLFTLQKSFNKNLSQKDLQLIASSVVLAALAVAPYDHTRSTSHLELENEKERNLRMANLIGFNLDPKPESREVLSRSTLLSELVSKGVLSCVTQEVKDIYHLLENEFLPLDLAVKVQPLLLKISKLGGKLASASSVPEVQLSQYVPALEKLATLRLLQQVSQVYQMMKIESLSQMIPFFDFSVVEKISVDAVKHNFIAMKVDHMKSVILFTTAGLESDGLRDHLATFAESLNKVRAMIYPPAKKSSKLVEILPGLSEVVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLQLQKKREEAEQKRLAAEIEQRKNQRILQEIEQRELEEAQALLEDVDKRSKRKGGKKPILEGEKVTKQTIMERALSEQLRERQEMEKKLQKLAKTMDYLERAKREEAAPLIEAAFQRRLVEEKALHEREQQLEIEISRQRHDGDLREKNRLSRMLDNKMIFQERVMSRRQTEFDRLRAEREERINQIIQARKQEREAKRKKIFYVRTEEERLRKLHEEEEARKHEEAERRRKEEAERKAKLDEIAEKQRQRERELEEKEKLRREALLGRTTDGPARPSELPAGSRPDLGAAAAPAPAPAAAPSSGKYVPRFRREKDISGQAPLDSDRWSGGSSRQAPSDPERWGSGGTRQLSVDADRWGSSGTRQAPTSDTDRWGSGGTRQPPADSDRWGGGTRPDDRNPPGDRWRSSSSKSTWSRPRER >Manes.09G068836.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11401619:11404767:1 gene:Manes.09G068836.v8.1 transcript:Manes.09G068836.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSRPTVHPVEAPPLTDGPLNRPRMSMKDVQGMPGTHGGLILRLLQFSFGLVSVCVMATTSDFRSVSAFCYLVIAVSLQILWSLSLAIVDAYALLVRRSLRKRVIIRLFAIGDGITSSLIFAAASASAGITVLIGNDLNKCSMNHCTRFETATAMAFISWFAMTPSFLMNFWSLASQ >Manes.08G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9230395:9231948:1 gene:Manes.08G064800.v8.1 transcript:Manes.08G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSSSFEDENGIKKGPWTPEEDKKLIEFIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGNFSEDEEQIIINLHAVLGNKWSAIAQNLPGRTDNEIKNFWNTHLKKKLLQMGIDPVTHSPRSDLNLLSDLPQLLAAANFNNLMNNVTWDNGLRQIQLLHNIILQTLSSNPLPNMEAAATNLIGSNAIYEVAGYNSVPPLDIEAPQPPLNDQYHPLKDSKPGFNDNGEMGSSSYVVPISNRLLPPFVSFSPDDHHCNQTETCCRINPYDISNPSSTSTTFEALGDIMDDEASCAYCREIIDQASSQPWAMSE >Manes.16G119400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32271953:32277297:-1 gene:Manes.16G119400.v8.1 transcript:Manes.16G119400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFMSTILVTVLISYGADAWLLSPPCQPYTRQGLQKQSGDARASSFLKILELIPQTKQPPKMLFVENVVGFETSDTRTKMVEILANSEFFTQEFILSPLQFAVPYSRPRYFCMAKRKPLSFQFKVFNNQLLYSLGPLLGQNENTLIDGFDQAPENWDKLLQSCEPVESFLEFKNSSDQADEENLENIAEGNLIKMEKFFVPSCLIDRWGSAMDIIYPDSKRCCCFTKSYYRYVKGTGSLLATVQPKDKGKTSSLKEQGLRYFTPREVANLHSFPEDFHFPQHISLRQRYALLGNSLSIAVVAPLLCYLFTQPL >Manes.16G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32271954:32277297:-1 gene:Manes.16G119400.v8.1 transcript:Manes.16G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFIKHEGEPWRVLEFYSGIGGLRYSLMKSGVKAEVVEAFDINNVANDVYEHNFGHRPYQGNIQSLTAADLDSYGADAWLLSPPCQPYTRQGLQKQSGDARASSFLKILELIPQTKQPPKMLFVENVVGFETSDTRTKMVEILANSEFFTQEFILSPLQFAVPYSRPRYFCMAKRKPLSFQFKVFNNQLLYSLGPLLGQNENTLIDGFDQAPENWDKLLQSCEPVESFLEFKNSSDQADEENLENIAEGNLIKMEKFFVPSCLIDRWGSAMDIIYPDSKRCCCFTKSYYRYVKGTGSLLATVQPKDKGKTSSLKEQGLRYFTPREVANLHSFPEDFHFPQHISLRQRYALLGNSLSIAVVAPLLCYLFTQPL >Manes.16G106300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31190953:31202621:1 gene:Manes.16G106300.v8.1 transcript:Manes.16G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEIFFFFSFFYFGGGVVEFNMCTHPPTEIQNEGKRKSEVQGQISLSSGAVLSFGLAHYASSEFELMAEELLMSDSVVKIYGALRMSVKIHLMWNSKMLIDGGGDAIVATSLLEASNLVVLKESSVIHSNANLGVHGQGFLNLSGPGDIIESQRLILSLFFSINVGPGSVLRGPLENASDNDVAPRLYCDLQDCPMELIHPPEDCNVNSSLSFTLQICRVEDVIVEGMITGSVVHFHWVRNVVVQSSGAISASGLGCTGGLGKGKVSDNGLSGGAGHGGRGGDGYYNGTSAEGGVAYGDAGLPCELGSGSGNGTLSGATAGGGIIVMGSMEHALSSLSVYGSLRADGESFGEDIKKSNSKMMSNVGPGGGSGGTILLFIHTMALGYSSSISTIGGHGSPDGGGGGGGGRIHFHWSDIPVGDEYLPIATANGSILTWGGFGRGQGHSGGNGTVTGRACPKGLYGIFCEECPVGTFKNVTGSDKVLCHDCPLWELPSRGIYTAVRGGVTERPCPYKCVSDRYHMPNCYTTLEELVYTFGGPWWFCFILLGLLILLALVLSVARMKYAAGDELPSVVPPQRQSRIDHSFPFLESLNEVLETNRTEESRSHVHRMYFMGPNTFSEPWHLTHCPPEQVIEIVYEDAFNRFVDEVNGLAAYQWWEGSIYSILSVLAYPLAWSWLQQCRKRKLQLLRDFVRSEYDHACLRSCRSRALYEGLKVAATSDLMVAYVDFFLGGDEKRADLPPRLHQRFPMSLVFGGDGSYMTPFFLHNDNILTSLMSQSVPPTIWYRLVAGLNAQLRLVRCGHLKVTFGHVISWLETHANPALSTYGVYIDLAWFQPTSSGYCQFGIVVCASENENLCLSTEGQDGSLLPGRQSCLPRVQRDGQLENQKVSEQIMARRGIIGGILHSKNLRTLKLRRTIYYPFAIILHNSKPVGHQDLVGLFISILLLADISLVLLTLLQMYSISLLNFLLVLFILPLGILFPFPAGISALFSHGPRRSAGLARVYALWNITSLINVVTAFVCGFVHYKIYSSKKHLSFQSWNFSVDESEWWMLPSGLLVCKIIQARLIDCHVANQEIQDQCLYSNDPEVFWQS >Manes.16G106300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31190953:31202621:1 gene:Manes.16G106300.v8.1 transcript:Manes.16G106300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASLSSLVFFFLFNLFILINPCLAFTDPDDEFSIIGSDIVSFHGDYTPPSPPPPAPPPHPPSVSCQGILGGIGSLDTVCKLDSSLNFTEDVYIEGNGTLDILPGVVLSCPIGGCSILINMTKDFSLGQNATIIAGTVAVAAYNVSLSLGSVINVTGLAGDPPPQTSGTPSGVQGAGGGHGGRGASCVSDNTKLPDDVWGGDAYSWSDLHEPWSYGSKGGTTSKEDNYGGEGGGRIGFDVTSSIDVGGSLLADGGDGGVKGGGGSGGSIHIKAHRMTGTGKLSASGGNGFAGGGGGRVAINVFSRHDDTDFFVHGGRSFGCTGNSGAAGTYYDAVPRSLIVSNNNMPTSTDTLLLEFPKQPLWTNVYIQNHAKASVPLFWSRVQVQGQISLSSGAVLSFGLAHYASSEFELMAEELLMSDSVVKIYGALRMSVKIHLMWNSKMLIDGGGDAIVATSLLEASNLVVLKESSVIHSNANLGVHGQGFLNLSGPGDIIESQRLILSLFFSINVGPGSVLRGPLENASDNDVAPRLYCDLQDCPMELIHPPEDCNVNSSLSFTLQICRVEDVIVEGMITGSVVHFHWVRNVVVQSSGAISASGLGCTGGLGKGKVSDNGLSGGAGHGGRGGDGYYNGTSAEGGVAYGDAGLPCELGSGSGNGTLSGATAGGGIIVMGSMEHALSSLSVYGSLRADGESFGEDIKKSNSKMMSNVGPGGGSGGTILLFIHTMALGYSSSISTIGGHGSPDGGGGGGGGRIHFHWSDIPVGDEYLPIATANGSILTWGGFGRGQGHSGGNGTVTGRACPKGLYGIFCEECPVGTFKNVTGSDKVLCHDCPLWELPSRGIYTAVRGGVTERPCPYKCVSDRYHMPNCYTTLEELVYTFGGPWWFCFILLGLLILLALVLSVARMKYAAGDELPSVVPPQRQSRIDHSFPFLESLNEVLETNRTEESRSHVHRMYFMGPNTFSEPWHLTHCPPEQVIEIVYEDAFNRFVDEVNGLAAYQWWEGSIYSILSVLAYPLAWSWLQQCRKRKLQLLRDFVRSEYDHACLRSCRSRALYEGLKVAATSDLMVAYVDFFLGGDEKRADLPPRLHQRFPMSLVFGGDGSYMTPFFLHNDNILTSLMSQSVPPTIWYRLVAGLNAQLRLVRCGHLKVTFGHVISWLETHANPALSTYGVYIDLAWFQPTSSGYCQFGIVVCASENENLCLSTEGQDGSLLPGRQSCLPRVQRDGQLENQKVSEQIMARRGIIGGILHSKNLRTLKLRRTIYYPFAIILHNSKPVGHQDLVGLFISILLLADISLVLLTLLQMYSISLLNFLLVLFILPLGILFPFPAGISALFSHGPRRSAGLARVYALWNITSLINVN >Manes.16G106300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31190953:31202621:1 gene:Manes.16G106300.v8.1 transcript:Manes.16G106300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASLSSLVFFFLFNLFILINPCLAFTDPDDEFSIIGSDIVSFHGDYTPPSPPPPAPPPHPPSVSCQGILGGIGSLDTVCKLDSSLNFTEDVYIEGNGTLDILPGVVLSCPIGGCSILINMTKDFSLGQNATIIAGTVAVAAYNVSLSLGSVINVTGLAGDPPPQTSGTPSGVQGAGGGHGGRGASCVSDNTKLPDDVWGGDAYSWSDLHEPWSYGSKGGTTSKEDNYGGEGGGRIGFDVTSSIDVGGSLLADGGDGGVKGGGGSGGSIHIKAHRMTGTGKLSASGGNGFAGGGGGRVAINVFSRHDDTDFFVHGGRSFGCTGNSGAAGTYYDAVPRSLIVSNNNMPTSTDTLLLEFPKQPLWTNVYIQNHAKASVPLFWSRVQVQGQISLSSGAVLSFGLAHYASSEFELMAEELLMSDSVVKIYGALRMSVKIHLMWNSKMLIDGGGDAIVATSLLEASNLVVLKESSVIHSNANLGVHGQGFLNLSGPGDIIESQRLILSLFFSINVGPGSVLRGPLENASDNDVAPRLYCDLQDCPMELIHPPEDCNVNSSLSFTLQICRVEDVIVEGMITGSVVHFHWVRNVVVQSSGAISASGLGCTGGLGKGKVSDNGLSGGAGHGGRGGDGYYNGTSAEGGVAYGDAGLPCELGSGSGNGTLSGATAGGGIIVMGSMEHALSSLSVYGSLRADGESFGEDIKKSNSKMMSNVGPGGGSGGTILLFIHTMALGYSSSISTIGGHGSPDGGGGGGGGRIHFHWSDIPVGDEYLPIATANGSILTWGGFGRGQGHSGGNGTVTGRACPKGLYGIFCEECPVGTFKNVTGSDKVLCHDCPLWELPSRGIYTAVRGGVTERPCPYKCVSDRYHMPNCYTTLEELVYTFGGPWWFCFILLGLLILLALVLSVARMKYAAGDELPSVVPPQRQSRIDHSFPFLESLNEVLETNRTEESRSHVHRMYFMGPNTFSEPWHLTHCPPEQVIEIVYEDAFNRFVDEVNGLAAYQWWEGSIYSILSVLAYPLAWSWLQQCRKRKLQLLRDFVRSEYDHACLRSCRSRALYEGLKVAATSDLMVAYVDFFLGGDEKRADLPPRLHQRFPMSLVFGGDGSYMTPFFLHNDNILTSLMSQSVPPTIWYRLVAGLNAQLRLVRCGHLKVTFGHVISWLETHANPALSTYGVYIDLAWFQPTSSGYCQFGIVVCASENENLCLSTEGQDGSLLPGRQSCLPRVQRDGQLENQKVSEQIMARRGIIGGILHSKNLRTLKLRRTIYYPFAIILHNSKPVGHQDLVGLFISILLLADISLVLLTLLQMYSISLLNFLLVLFILPLGILFPFPAGISALFSHGPRRSAGLARVYALWNITSLINVVTAFVCGFVHYKIYSSKKHLSFQSWNFSVDESEWWMLPSGLLVCKIIQARLIDCHVANQEIQDQCLYSNDPEVFWQS >Manes.09G162200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35658363:35667861:1 gene:Manes.09G162200.v8.1 transcript:Manes.09G162200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEEEGTVSPLLEENVDHENWEMNEHSSSAAAGGGSSVTFTLVFTALILVCGFYIYGNAMGYSSPAESGILDELSLSLAAYSLFGSILTIGGLIGALSCGKMADLIGRRSALWVSDALCLIGWLAISFSKGAWSLDLGRLLVGIGIGILAYVIPIYVAEITPKNFRGAFTLLIALMMGSGISVTFIIGSVCNWRILALIGTIPCVVQLIGAFFIPESPRWLAKVGREKDLKLALQRLRGKNADISQEAAEIIDYTQDCNQTSEDGIKELFQRKYALAITVGVGLMAILQFGGLNGYTYYFSSILESAGFPSSVGSVVASIVQVSTSGCCLGSFTTGLSFLLQSFHLGNEITPILAIAGILVFIGSVSIGLGGIPLIIMAEIFPVNVKGPAGSLVNLFSWAGSWIVAYTFNYLFEWSSAGVFFIYAMIAGLGVIFVAKLVPETKGRALEEIQASLITHYHQESV >Manes.09G162200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35659578:35667861:1 gene:Manes.09G162200.v8.1 transcript:Manes.09G162200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSPAESGILDELSLSLAAYSLFGSILTIGGLIGALSCGKMADLIGRRSALWVSDALCLIGWLAISFSKGAWSLDLGRLLVGIGIGILAYVIPIYVAEITPKNFRGAFTLLIALMMGSGISVTFIIGSVCNWRILALIGTIPCVVQLIGAFFIPESPRWLAKVGREKDLKLALQRLRGKNADISQEAAEIIDYTQDCNQTSEDGIKELFQRKYALAITVGVGLMAILQFGGLNGYTYYFSSILESAGFPSSVGSVVASIVQIVMNICSLFLIDNFGRRPLLLVSTSGCCLGSFTTGLSFLLQSFHLGNEITPILAIAGILVFIGSVSIGLGGIPLIIMAEIFPVNVKGPAGSLVNLFSWAGSWIVAYTFNYLFEWSSAGVFFIYAMIAGLGVIFVAKLVPETKGRALEEIQASLITHYHQESV >Manes.09G162200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35658363:35667861:1 gene:Manes.09G162200.v8.1 transcript:Manes.09G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEEEGTVSPLLEENVDHENWEMNEHSSSAAAGGGSSVTFTLVFTALILVCGFYIYGNAMGYSSPAESGILDELSLSLAAYSLFGSILTIGGLIGALSCGKMADLIGRRSALWVSDALCLIGWLAISFSKGAWSLDLGRLLVGIGIGILAYVIPIYVAEITPKNFRGAFTLLIALMMGSGISVTFIIGSVCNWRILALIGTIPCVVQLIGAFFIPESPRWLAKVGREKDLKLALQRLRGKNADISQEAAEIIDYTQDCNQTSEDGIKELFQRKYALAITVGVGLMAILQFGGLNGYTYYFSSILESAGFPSSVGSVVASIVQIVMNICSLFLIDNFGRRPLLLVSTSGCCLGSFTTGLSFLLQSFHLGNEITPILAIAGILVFIGSVSIGLGGIPLIIMAEIFPVNVKGPAGSLVNLFSWAGSWIVAYTFNYLFEWSSAGVFFIYAMIAGLGVIFVAKLVPETKGRALEEIQASLITHYHQESV >Manes.07G073322.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21710423:21710920:1 gene:Manes.07G073322.v8.1 transcript:Manes.07G073322.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKGNSININLENETENNVNQNFQETQELHQNQASNFQGNTSQKTMRYHYEGHFIFSPNRIYENGRFKEKPNFDVDFISFFDILDDLKMIVDLML >Manes.16G008700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:870025:873698:-1 gene:Manes.16G008700.v8.1 transcript:Manes.16G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRDDLQVSVDAAKRNGEVIRKEVQNWISMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFKSRERQVEEIMEALKDNKINFIGIYGMGGVGKTTLVKEVVKRAQEDMLFPSIAMVVVSQTIDVKKIQDHIAESLGLKLDEVNEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHGGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGRDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIPIEDLDARRSAHSIIKNLKDSFLLLGSDEEGCVKMHDVVRDVALSMASDYFLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDCPNLKILLMHIEKTRLREEAIYIPATVLTGMKALQVFDRRDSSRNRFLAHFLRSLELGFSQLTNLRTLMLQYYYIVDTTPIGELKMLEILSLKNCTFRKPFNTIGKLTNLRLLDVEFSSPHGDSSSKFPINAMSTLSRLEELYFLSFDINITVSSLVCMKPLMPRTNFLYLDSLEELKNINPCLLLGGLDALKILVIVNCPSFAYLINAEEFLGRYALLPELERLCFQDLDTFKALCNGELPPGTSLSMRKLKYLAFFRCPELLNIFTLPNPQQEFEQLQVPEEKGMKNIAKGPTEQLQLPKLQIVCINGCQKLRVIFPASIAQGLEQLKELELEDCDELEAIVAEREEEEKRIDKVVFSQLIRIRLYKLHNLKAFCMDSLTLKWPFLEELSVDSCPKMKTFAASDGNQITPKLKEIKINANYIKFNGTNLNAIMKYHNKEKVRTVLSF >Manes.03G041900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3689702:3691739:-1 gene:Manes.03G041900.v8.1 transcript:Manes.03G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLEDRPIICDSGSGFVKAGFAGDDAPCVVFHNIIGRPRNKHAMIGIGQKDMYFGDEAQARRGILKLSHPIHHGLVRDWEAIERIWEHTFDRELRVTIEEHPVLLTEAPLNPKINREKMVEIMFEAFEIPATYIAMQAVLSLYASGRTTGVVLDSGEGVTHVVPIYEGYGLPHAIHRLDLAGTDLTDYLTRILAEEGYIFTSSAEKEIVRDIKERISYVAMDFDKELATSRKCSELDKNYELPDGQVITVGAARFRCPEVLFKPSRLGMEAGGVHEILVRSIRRCDMDIRREMFNNVVLSGGTTMIPGLANRLAKEVSSLAPPGVTVRVVAPPERKYSVWIGGSILASLSTFQQMWITKEEYMESGSPIVHMKCF >Manes.01G181700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35991765:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.01G181700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35987273:35993322:-1 gene:Manes.01G181700.v8.1 transcript:Manes.01G181700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAVCIVHNETATGVTNNLAKVRRILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPRALEATKTAKSVRVFFDWNDYLKFYKLGTFWPYTPSIQLLYGLRTALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.07G128900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33109227:33116769:1 gene:Manes.07G128900.v8.1 transcript:Manes.07G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSLWRSRAKLAFAATAIFTGGAAATIATSEDPATALKLCTAVPVRLARDTITAASIAFDYEYSLWGLPEGSVERSKEKHEVHLRSARKLQELCFRNGGIYIKLGQHIGQLEYLVPQEYVQIMRESMLNKCPVSSYDQVCKVFKQELGETPDKIFDEFDPVPIASASLAQVHVARTTDGQKVAVKVQPTHMTDTAATDRAIVELIVNTLHWFFPSFDYRWLVAEMRESLPKELDFLVEAKNSEKCLDNFQKLSPQIANYVYAPKVHWNLSTSKLLTMEFIDAAQINDVRAIQGLGIQPNEVSKLVSQAFAEMMFRHGFVHCDPHAANLLVRPLPSGKRGILGKKKPQLILLDHGLYKELDFSTRFNYASLWKALIFADANSIKENSVKLGAGEDLYALFAGILTMRPWDRVVDPTVDHLVIQDNDNERSELQMYASQYFPEISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFFIIGKVSSEAVVEAKKLQRKSLLCRLDVWLEEILLEARLLGMQIALWLLQLRRSLPGLN >Manes.04G036300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4764095:4764469:-1 gene:Manes.04G036300.v8.1 transcript:Manes.04G036300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPKLSSISIASQQQNVMKFVLSICFIAATILSILPCEYRDGNRIPSTIIFRQLPSIIFHAFALLLVLAFTGSFISLMIEDDTEIVRQICWYISVTSMAAVGSILAYSISSSSLNWVSYVMPE >Manes.12G095700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:20379910:20383273:-1 gene:Manes.12G095700.v8.1 transcript:Manes.12G095700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRQRHFVLVHGACHGAWSWYKVATLLKSANHKVTALDLAASGVHPKQLTDLHSFSDYYEPLMEFMKSLPPEERVILVGHSMGGLSISAAMERFPEKISAAVFATAFMPGPDFSYNSIREEVDRQLDSFMDTQFTFANGQNNPPTSMLFGPDILSTRLYQLSPSEQDLILATLTMRPFPLHDDGSQNLMLTKDKYGSVTRIYVVCGQDKIINEDVQRWMIQNNPTDEVKIIADSDHMVMFSKSQELCSCLLEIARKYL >Manes.12G093101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18265873:18266329:-1 gene:Manes.12G093101.v8.1 transcript:Manes.12G093101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVVSLPVGEERLWILQICASISFLLYFLLSQRLHMLF >Manes.01G216300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38542762:38544165:-1 gene:Manes.01G216300.v8.1 transcript:Manes.01G216300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNPQLSVTAERIILLLQKCISQTQIHQIQAQLILHKLHSNTTVAHHFITACQNLSLLHSSLPRFFFTHLPKPHVFICNNLLRAFSHCQVPYIPYSIYSHMHNNSILPNNYTFPFLLKSLSDFKDFKQARSVHTHVIKFGHLNDIYVQNSLLNVYASCGHMGLCRQLFDEMPDKDVVSWTVLIMGYRNAGDYDDALIAFEQMQYAGLVPNHVTMVNVLGACASFGAIEMGIWIHDFIRRNRWEIDVILGTSLIDMYMKCGRIDEGLNVFRSMKENNIFAWNAVIKGLAFAKCGQEAVLWLNRMEEEGLNPDEVTLVNVLIACSHAGMVDMGKQIFRSLINGKYGFPPNAKHCACMVDLFARAGQLDEAFKFIREMPFEPTISMWGSLLAGCRAHRNLELSEFVAKKLVELEPGNSAYYVVLSNVYSEMGRWTDAAEVRELMKKKGLKKDLGSSSVESKPRDMIMNY >Manes.01G235400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39806326:39809910:-1 gene:Manes.01G235400.v8.1 transcript:Manes.01G235400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGRELTFFHSGDFLRQNPDLSDRSTDNSVDRGKSNVKEVDFFSSDRTENLPLDQEMKDGSKSAIVVSVVNTRLNLLTSSSGISETADGKKSNNELKKLQAELDRQHDDNKKLRSMLDQITKSYKELQVHLLMAMQKQAQGNRGEQKGELNGIASSIKSAQQFMNPRPFAALDVNNPSASVDKAQDLSSASPTNTTESMSQINPGKQVSKEAGLDQTSQSWGSPKSPRLEPGKCEEQVPEVPFRKARVSVRARSEAPLITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKTILTTTYEGNHNHPLPPAATGMANTTSAAAAMLLSGSTASREGLTSTSSFFPSLPYASTMATLSSSAPFPTITLDLTQSPNSVPFLRAPLSTTFPLPLHGYPQLLGHPMYVPPKLPAAAAAIPSVQLRQRHASMVETVTAAIASDPNFTAVLAAAISSVIGTQRSNDGSSSNVSAPNGGVSGLPGSPQFPQSCTTFSTN >Manes.01G235400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39806326:39809910:-1 gene:Manes.01G235400.v8.1 transcript:Manes.01G235400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQITKSYKELQVHLLMAMQKQAQGNRGEQKGELNGIASSIKSAQQFMNPRPFAALDVNNPSASVDKAQDLSSASPTNTTESMSQINPGKQVSKEAGLDQTSQSWGSPKSPRLEPGKCEEQVPEVPFRKARVSVRARSEAPLITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKTILTTTYEGNHNHPLPPAATGMANTTSAAAAMLLSGSTASREGLTSTSSFFPSLPYASTMATLSSSAPFPTITLDLTQSPNSVPFLRAPLSTTFPLPLHGYPQLLGHPMYVPPKLPAAAAAIPSVQLRQRHASMVETVTAAIASDPNFTAVLAAAISSVIGTQRSNDGSSSNVSAPNGGVSGLPGSPQFPQSCTTFSTN >Manes.08G047700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4813890:4819576:-1 gene:Manes.08G047700.v8.1 transcript:Manes.08G047700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFVICSSSMCCSTVNYSYAFIQDNWLPALVDNNVSFGGKEVGNFKVLTSGSNGNWKKSRRKKVGFCGVVMKGNHELVVVKRKPKNALSSEDVMAVLNSISDPSDAFSYFKSVAKLPFAVHTTETCNHMLEVLRIHKRVEDMAVVFQLMQKQIIRRDLNTYLTLFKGLYIRGGLRQAPLALEKMRETGFILNAYSYNGLIYLLLDSGFCRQALEVYRIMVSEGLKPSLKTYSALMVATGKRRDIQTVMGLLEEMESLGLRPNIYTYTICIRVLGRAGKIDDAFRIMKRMEDDGCGPDVVTYTVLLDALCSAGKLDSAMELFVKMKASNHKPDRVTYITLLDKFSDFGDLDTVKKFWSEMETDGYVPDVVAFTILINALCKVGKIDEAFDLLDVMRKQGVLPNLHTYNTLICGLLKVRKLDDALDLFNNMESLGVEPTAYTYILFIDYYGKSGLSDKALETFEMMRTRGIVPNIVACNASLYSLAEMGRLGEAKAIFNGLKSNGLAPDSVTYNMMMKCYSKAGKVDEAIKLLSDMSKSHCEPDVMVINSLIDTLYKAGRMDEAWQMFCRLNDMKLAPTVVTYNTLIAGLGKEGQVQRAIELFESMTMNGCPPNTITFNTLLDCLCKNDEVELALKMLYKMPTMNCTPDVLTFNTVIHGFIKEKRVTIAIWLFHQMKKMLTPDCVTLYTLLPGVVKNELIDEAFKIVEEFVHRVEFYISRQFWEELMGGILTQAGTEKAILFGERLVCSRICQDDSVLLPIIKVLCKHKKALLAHHVFMKFTKELGVNPTLEAYNCLIDGLLQIHNAEMAWDLFKEMKNAGCAPDVFTYNLLLDTHGKSRKINELFELYEEMLCSGCKPNIITHNIVIANLVKSNSLDKALDLYYDIVSGDFSPTPCTYGPLLDGLLKSGRLEKAKELFEEMEDYGCRPNTAIYNILINGYGKTGDVDAACELFKRMVREGIRPDLKSYTSLVGCLCTVGRVDDALHYFEDLKQTGLDLDSVAYNLMIDGLGRSHRLEEALFLFGEMQSRGISPDLFTYNSLIFNLGIVGMVEQAGKLYGELQYMGLQPNVFTYNALIRGYSMSGNSDLAYAVYKRMMVGGCNPNTGTFAQLPNQS >Manes.07G141800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34518149:34521799:-1 gene:Manes.07G141800.v8.1 transcript:Manes.07G141800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVNLVLKMIEQDSASLAKKAEICKRIRPDLVDEIEEFYCLYRSLAERYDRLNVELYKSTQTEFQLQGAGNAPVTSMLTPEQKLGSFKTGRVKSVSSGGASSDISSKGGSDLSSLSSSDSESESFNSYGNAYYSLPMNTDDNDPHHKIVKLGTALPSMEEKLKMDVEENGDGMLNSEENESYEEVRSQIIRYEEELRVLKLKLQISEEEVTEFKNELAKSEHFMILTEALQAQLESANRDAKMRETDLEEERARVTTLQKQTADVIHELKGQLKLSQEEKIMLKAKLDSENNQVLKLQERFFQCKNELSDRDDKVKALKLAMLNAEENFLIEKSNLHSNISSLSERENMLEVRLRELELQGKSMEDKLRQCETEKMELQLLHDTHRMGLEAESRQLKVELDDRNGRIEALNKNLDNLKFKYDMLVAEKGGLNAKVNKLTADVSSQDKQIGQMKEHLRRMHMENLERIAGSEELQKLVDELRLRAVELEKKVDKQRDELCAGAEEKREAIRQLCFSLDHYRSGYKELCEAFLQQKRHAVMAS >Manes.07G141800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34518149:34521799:-1 gene:Manes.07G141800.v8.1 transcript:Manes.07G141800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVNLVLKMIEQDSASLAKKAEICKRIRPDLVDEIEEFYCLYRSLAERYDRLNVELYKSTQTEFQLQGAGNAPVTSMLTPEQKLGSFKTGRVKSVSSGGASSDISSKGGSDLSSLSSSDSESESFNSYGNAYYSLPMNTDDNDPHHKIVKLGTALPSMEEKLKMDVEENGDGMLNSEENESYEEVRSQIIRYEEELRVLKLKLQISEEEVTEFKNELAKSEHFMILTEALQAQLESANRDAKMRETDLEEERARVTTLQKQTADVIHELKGQLKLSQEEKIMLKAKLDSENNQVLKLQERFFQCKNELSDRDDKVKALKLAMLNAEENFLIEKSNLHSNISSLSERENMLEVRLRELELQGKSMEDKLRQCETEKMELQLLHDTHRMGLEAESRQLKVELDDRNGRIEALNKNLDNLKFKYDMLVAEKGGLNAKVNKLTADVSSQDKQIGQMKEHLRRMHMENLERIAGSEELQKLVDELRLRAVELEKKVDKQRDELCAGAEEKREAIRQLCFSLDHYRSGYKELCEAFLQQKRHAVMAS >Manes.07G141800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34518149:34521800:-1 gene:Manes.07G141800.v8.1 transcript:Manes.07G141800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVNLVLKMIEQDSASLAKKAEICKRIRPDLVDEIEEFYCLYRSLAERYDRLNVELYKSTQTEFQLQGAGNAPVTSMLTPEQKLGSFKTGRVKSVSSGGASSDISSKGGSDLSSLSSSDSESESFNSYGNAYYSLPMNTDDNDPHHKIVKLGTALPSMEEKLKMDVEENGDGMLNSEENESYEEVRSQIIRYEEELRVLKLKLQISEEEVTEFKNELAKSEHFMILTEALQAQLESANRDAKMRETDLEEERARVTTLQKQTADVIHELKGQLKLSQEEKIMLKAKLDSENNQVLKLQERFFQCKNELSDRDDKVKALKLAMLNAEENFLIEKSNLHSNISSLSERENMLEVRLRELELQGKSMEDKLRQCETEKMELQLLHDTHRMGLEAESRQLKVELDDRNGRIEALNKNLDNLKFKYDMLVAEKGGLNAKVNKLTADVSSQDKQIGQMKEHLRRMHMENLERIAGSEELQKLVDELRLRAVELEKKVDKQRDELCAGAEEKREAIRQLCFSLDHYRSGYKELCEAFLQQKRHAVMAS >Manes.13G066600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8492441:8497674:-1 gene:Manes.13G066600.v8.1 transcript:Manes.13G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISHPPMEQLQDLEYCIDSNPPWAETITLAFQNYILMLGTSVMIPSLLVPAMGGSDGDKARVIQTLLFVAGINTLTQALFGTRLPAVVGGSFAYVIPIAYIISDTSLQQITDHHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFQRGFPALGNCVEIGLPMLLLVIGFSQYLKHVRILREVPIFERFPVLICVTIVWIYSIILTASGAYRGKPDRTQLSCRTDKANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIAVLLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNSMRNLIITGLSLFLGISVPQFFNEYWNPTRHGLVHTNAGWFNAFLNTIFSSPATVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Manes.13G066600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8492441:8497674:-1 gene:Manes.13G066600.v8.1 transcript:Manes.13G066600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISHPPMEQLQDLEYCIDSNPPWAETITLAFQNYILMLGTSVMIPSLLVPAMGGSDGDKARVIQTLLFVAGINTLTQALFGTRLPAVVGGSFAYVIPIAYIISDTSLQQITDHHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFQRGFPALGNCVEIGLPMLLLVIGFSQYLKHVRILREVPIFERFPVLICVTIVWIYSIILTASGAYRGKPDRTQLSCRTDKANLISTAPWFMFPYPLQWGPPTFSAGHSFAMMSAVIVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIAVLLDGLFGTGTGSTVSVNLCCLRENVGLLGLTRVGSRRVVQISAGFMIFFSTLGKFGAVFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNSMRNLIITGLSLFLGISVPQFFNEYWNPTRHGLVHTNAGWFNAFLNTIFSSPATVGLIVAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Manes.15G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3679760:3683086:-1 gene:Manes.15G047800.v8.1 transcript:Manes.15G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSKKAKEEKRKLLNMDCFGVKKKSSNTRKKYLRGLTEKVRLLQEDIKALMSERENESRAYERDMMVLEFKEAKWKQESKRLKQEAKRLRMMLEEKEKRIRDMEERRMEEKSEQNCSFSRMGSAFLVKQMEEERVWRDEAVHKWKKLYLAIKTELDDLIQRTHHGNGLYWKAEEEEVIEELKMEVKAKEETIEELKARIAAVAHEEYKRAREVDILRQSLRIMSSMKDASRFDLNKPKSTLVKRASKA >Manes.01G212500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38310542:38321335:-1 gene:Manes.01G212500.v8.1 transcript:Manes.01G212500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPQDPESSRPRPKRGRPRIHPRETSDEGPPNQAEREASPDDVGEVRPKAKRSRDSEAQKSDQTLIEVIKGNGKQIPQVVKLWVEHYEKDPKPAMVELLTMLFEACGAKFYIKEELLDETDVDDVVVALVNLARKGEVEDYQSSKRKEFKNFKENLVSFWDNMVVESHSGPLFDKVLFDKCMDYIIALSCTPPRVYRQIASLMGLQLVTSFITVTKTLGTQRETTQRQLNAEKKKRVEGPRLESLNKRLSTTHEKIVVLEDMMRKIFTGLFVHRYRDIDPNIRISCIESLGVWILSYPSLFLQDLYLKYLGWTLNDKSAGVRKASILALQNLYDVDDNVPTLSLFTERFSNRMIELADDIDVSVAVCSIGLVKQLLRHQLLPDDDLGPLYDLLIDDPADIRRAIGELVYDHLIAQKFNSSQSGSRGNDNGSSEVHLSRMLQILREFSTDPILCIYVIDDVWEYMKAMKDWKCIISMLLDENPMVELTDDDATNLVRLLFASVQKAVGERIVPASDNRKQYYNKAQKEIFESNRRDVTIAMMKNYPLILRKFMADKAKVPSLVEIIIHTNLELYSLKRQEQNFKNVLQLMKEAFFKHGEKEALRSCVKAIKFCTTESQGELKDFACNILKNLEDELIAKLRSAMKESVDGDEYSLLVNLKRLYELQLSRAVPIESLYDDIVRNLHNFRNVDDEVVSFLLLNMYLHVAWTLQSIVTSETVCEAQLSSLLSKRNILFEELEYFLPTLSEEQRVSKYPNQLACRVCIILAEVWCLFRQTNFSSTKLERLGYCSDTSVVKRFWSLCEQQLKISVETEDEDLNREYIEETNRDAVMIAAAKLVATDTVSKESLAPEIISHFVMHGTSVAEIVKHLITVLKKKDDDISNIFLEALKRAHHWHLEELSRSNDGAFTGKSFQECKDLAARLSGMFMGAARNKHREAILKIVKEGIEYAFIEAPTQLSFLESAVLPFVSKLPTFDVLEVLKDVQSRTVNVNTDEDPSGWRPYYTFVENLREKYAKNEGLPEERDGTAVRRRGRPRKRQNIEGKRLFDEHSSSEEEDSISGSDHEDAQEEEKQDEEEEEETPLIHSLRSSKLRSLKVSKDARAGVSASKTSGASN >Manes.01G212500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38309905:38321339:-1 gene:Manes.01G212500.v8.1 transcript:Manes.01G212500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPQDPESSRPRPKRGRPRIHPRETSDEGPPNQAEREASPDDVGEVRPKAKRSRDSEAQKSDQTLIEVIKGNGKQIPQVVKLWVEHYEKDPKPAMVELLTMLFEACGAKFYIKEELLDETDVDDVVVALVNLARKGEVEDYQSSKRKEFKNFKENLVSFWDNMVVESHSGPLFDKVLFDKCMDYIIALSCTPPRVYRQIASLMGLQLVTSFITVTKTLGTQRETTQRQLNAEKKKRVEGPRLESLNKRLSTTHEKIVVLEDMMRKIFTGLFVHRYRDIDPNIRISCIESLGVWILSYPSLFLQDLYLKYLGWTLNDKSAGVRKASILALQNLYDVDDNVPTLSLFTERFSNRMIELADDIDVSVAVCSIGLVKQLLRHQLLPDDDLGPLYDLLIDDPADIRRAIGELVYDHLIAQKFNSSQSGSRGNDNGSSEVHLSRMLQILREFSTDPILCIYVIDDVWEYMKAMKDWKCIISMLLDENPMVELTDDDATNLVRLLFASVQKAVGERIVPASDNRKQYYNKAQKEIFESNRRDVTIAMMKNYPLILRKFMADKAKVPSLVEIIIHTNLELYSLKRQEQNFKNVLQLMKEAFFKHGEKEALRSCVKAIKFCTTESQGELKDFACNILKNLEDELIAKLRSAMKESVDGDEYSLLVNLKRLYELQLSRAVPIESLYDDIVRNLHNFRNVDDEVVSFLLLNMYLHVAWTLQSIVTSETVCEAQLSSLLSKRNILFEELEYFLPTLSEEQRVSKYPNQLACRVCIILAEVWCLFRQTNFSSTKLERLGYCSDTSVVKRFWSLCEQQLKISVETEDEDLNREYIEETNRDAVMIAAAKLVATDTVSKESLAPEIISHFVMHGTSVAEIVKHLITVLKKKDDDISNIFLEALKRAHHWHLEELSRSNDGAFTGKSFQECKDLAARLSGMFMGAARNKHREAILKIVKEGIEYAFIEAPTQLSFLESAVLPFVSKLPTFDVLEVLKDVQSRTVNVNTDEDPSGWRPYYTFVENLREKYAKNEGLPEERDGTAVRRRGRPRKRQNIEGKRLFDEHSSSEEEDSISGSDHEDAQEEEKQDEEEEEETPLIHSLRSSKLRSLKVSKDARAGVSASKTSGASN >Manes.01G212500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38314021:38321337:-1 gene:Manes.01G212500.v8.1 transcript:Manes.01G212500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAPQDPESSRPRPKRGRPRIHPRETSDEGPPNQAEREASPDDVGEVRPKAKRSRDSEAQKSDQTLIEVIKGNGKQIPQVVKLWVEHYEKDPKPAMVELLTMLFEACGAKFYIKEELLDETDVDDVVVALVNLARKGEVEDYQSSKRKEFKNFKENLVSFWDNMVVESHSGPLFDKVLFDKCMDYIIALSCTPPRVYRQIASLMGLQLVTSFITVTKTLGTQRETTQRQLNAEKKKRVEGPRLESLNKRLSTTHEKIVVLEDMMRKIFTGLFVHRYRDIDPNIRISCIESLGVWILSYPSLFLQDLYLKYLGWTLNDKSAGVRKASILALQNLYDVDDNVPTLSLFTERFSNRMIELADDIDVSVAVCSIGLVKQLLRHQLLPDDDLGPLYDLLIDDPADIRRAIGELVYDHLIAQKFNSSQSGSRGNDNGSSEVHLSRMLQILREFSTDPILCIYVIDDVWEYMKAMKDWKCIISMLLDENPMVELTDDDATNLVRLLFASVQKAVGERIVPASDNRKQYYNKAQKEIFESNRRDVTIAMMKNYPLILRKFMADKAKVPSLVEIIIHTNLELYSLKRQEQNFKNVLQLMKEAFFKHGEKEALRSCVKAIKFCTTESQGELKDFACNILKNLEDELIAKLRSAMKESVDGDEYSLLVNLKRLYELQLSRAVPIESLYDDIVRNLHNFRNVDDEVVSFLLLNMYLHVAWTLQSIVTSETVCEAQLSSLLSKRNILFEELEYFLPTLSEEQRVSKYPNQLACRVCIILAEVWCLFRQTNFSSTKLERLGYCSDTSVVKRFWSLCEQQLKISVETEDEDLNREYIEETNRDAVMIAAAKLVATDTVSKESLAPEIISHFVMHGTSVAEIVKHLITVLKKKDDDISNIFLEALKRVWHEHLGGLIT >Manes.13G040700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4658959:4660744:-1 gene:Manes.13G040700.v8.1 transcript:Manes.13G040700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSTTSGIISGLSHSLAFLGLTHIDSQRKKLAMKNLSLQSVKKNQFQKWRKRIASTESAITLGNVKNQASFGCCCGSYVLRQLVWKFRIKWKQALGWRRSSGVRYTYDIHSYSLNFDDGLHHGHLPSRGSQ >Manes.18G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3691909:3692725:-1 gene:Manes.18G041800.v8.1 transcript:Manes.18G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQGTERVNGGAIETKVETADFRSPAGHEEPTKEHVGVVHLTRKNKESGTGDGIMARTAAAVTNTIKSAKDAIVGRGKSNTSN >Manes.18G024833.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2305478:2306373:-1 gene:Manes.18G024833.v8.1 transcript:Manes.18G024833.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQLGFSAPSTARASASPQRAIYSICSPTSLKFCREMEATTAIPFKAADVDEKCMRKEKKSWQWLLPRLRKG >Manes.18G024833.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2303353:2306375:-1 gene:Manes.18G024833.v8.1 transcript:Manes.18G024833.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQLGFSAPSTARASASPQRAIYSICSPTSLKFCREMEATTAIPFKAADVDEKCMRKEKKSWQWLLPRLRKDIFQ >Manes.18G024833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2303353:2306375:-1 gene:Manes.18G024833.v8.1 transcript:Manes.18G024833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQLGFSAPSTARASASPQRAIYSICSPTSLKFCREMEATTAIPFKAADVDEKCMRKEKKSWQWLLPRLRKDIFQ >Manes.18G024833.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2305647:2306373:-1 gene:Manes.18G024833.v8.1 transcript:Manes.18G024833.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQLGFSAPSTARASASPQRAIYSICSPTSLKFCREMEATTAIPFKAADVDEKCMRKEKKSWQWLLPRLRKGELLNYCFLSVKGIDEWVQVLNWDY >Manes.18G024833.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2303353:2306375:-1 gene:Manes.18G024833.v8.1 transcript:Manes.18G024833.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQLGFSAPSTARASASPQRAIYSICSPTSLKFCREMEATTAIPFKAADVDEKCMRKEKKSWQWLLPRLRKG >Manes.18G024833.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2303343:2306375:-1 gene:Manes.18G024833.v8.1 transcript:Manes.18G024833.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQLGFSAPSTARASASPQRAIYSICSPTSLKFCREMEATTAIPFKAADVDEKCMRKEKKSWQWLLPRLRKG >Manes.18G024833.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2303353:2306375:-1 gene:Manes.18G024833.v8.1 transcript:Manes.18G024833.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQLGFSAPSTARASASPQRAIYSICSPTSLKFCREMEATTAIPFKAADVDEKCMRKEKKSWQWLLPRLRKG >Manes.09G153500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35010859:35015908:-1 gene:Manes.09G153500.v8.1 transcript:Manes.09G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGMTVLDGSHLRSLQVSLPDSEVTLTGAQVLDWAESKASDALFGLSLPPCLKSSALRRVNVDDDVSFRHSELIREAATSKLNDYITAIADELKDNPLVVSILDGNTLRLFLEDEDDFAMLSENIFTDLDTKDKGKISKGEIRNALVHMGVEMGIPPFEEFPLLNDILKRHGAEGEEELGQSQFAELLQHILQEVADTLAQKPVAVIHNIKIVNGSKLRKLLTDEKQLNNVTEKMLHRKKDDQKNTEIIRGFLEENGKELGLPPSEANEAVILLYDAVFADVESGKCAAESEDVFRELVKEILEKFAEQLEANPIYCDLDN >Manes.12G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2518358:2519674:1 gene:Manes.12G028900.v8.1 transcript:Manes.12G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNTTSSRLQRNVKEKVRRLHMKNLLAKLAPLVHPNPSTLSGPEVLVQATSHVKQLQGRIEELRNRRMEMQSTMNNSSSSARIPVMEIRSRDDVFEVNLITGLRKNFMLHEVINVIQEEGAEVTSFAQFNDGDCVFHIIRAKAISARIGIEISTIEEKLKEVVY >Manes.03G027900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2272708:2275095:1 gene:Manes.03G027900.v8.1 transcript:Manes.03G027900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFSHQEIYLDIFIYMPPLIRTHRQKASEQHHLLYILLLLLHFSFSLLLLMIIKMAEEAKQKILDMALQMINPNEEKEDPSRVAMDGDDDDACEYESTSVESSMEDSANSAASFSSIDLLEDASSSSSSSSSSSSSSSSASLISHANGPLYELSELMTHLPIKRGLSKFYQGKSQSYTSLASVRSIEDLAKRVSVSPLHRSKMKSCKSYAGVLDSQNKYYSPKATISKRAASYQRRSFLSSLGKRGSLVGDC >Manes.18G146200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:30545977:30546517:1 gene:Manes.18G146200.v8.1 transcript:Manes.18G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSFLLFTSLWLPTLAEECGTQAGGAICPGYKCCSKSGWCGNSIYHCCKGCQSNCGHPRCFADHPIVGIPRGGGGDIFRKNI >Manes.05G055300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4610790:4634678:1 gene:Manes.05G055300.v8.1 transcript:Manes.05G055300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILALPLVISFLKPQAARLSLFRSSARPKPSCLGFNHRHFTRTSVSAISTSAAPQHSSTDPNNEPLKASVPTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNAQDLFIRSLSALGIDVSEHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLAPISVEITYGLERILMLLQGVDHFKKIQYASGITYGELFLENEKEMSAYYLEHASVHHLQKHFDFFEEEARSLLASGLAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTRESLGHPLGTVSENIHLAFAKDVLEAAVKKVHGNSRSFVLEIGIEEMPPQDVVHASHQLKDLVLQLLEKQRLGHGEVQAFGTPRRLVVYIKGLSTRQAENEVEVRGPPVLKAFDEQGNPTKAAEGFCRRNNVSVDLLFRKVDGKTEYIYVRVTETARLALEILSEDLPVTISKISFPKSMRWNSQVMFSRPIRWIMALHGDVVVPFNFAGVLSGNLSYGLRNTPSATVQVESADSYENIMQNAGICIDIEERKRRILEHSMALSTSINGHVLIQESLLNEVVNLVEAPVPVLGKFKESFLELPEDLLTMVMQKHQKYFAVVDDSGKLLPYFIAVANGAINERVVRKGNEAVLRARYEDAKFFYEMDTRKKLSEFRNQLKGILFHEKLGTMLDKMIRIENMVTKLSLQLGIREDMLQIVQDAASLAMSDLATAVVTEFTSLSGIMARHYALRDGYSEQIAEALLEITLPRFSGDILPKSEVGISLAVADRLDSLIGLFAAGCQPSSTNDPFGLRRISYGLVQILVEQDKNLDLVQALRLAADVQPFKVDASMIDDAYLFVTRRLEQYLVDKGISPEIVRSVLAERAAFPCLAAKTAYKMEALSKGNIFPKVVEAYSRPTRIVRGKDVESDMEVDESAFETSEERALWSIFLSTKSKIHPGIEVDDFVEISSELLQPLEDFFNNVFVMVEDERIRKNRLALLKKIADLPRGIADLSVLPGF >Manes.14G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12376009:12376332:-1 gene:Manes.14G140700.v8.1 transcript:Manes.14G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFIIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Manes.13G015100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1913076:1914300:1 gene:Manes.13G015100.v8.1 transcript:Manes.13G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRWIRPEVFPLFGAVGVAVGICGMQLVRNICTNPEVRVTKENRAAGVLENFAEGEKYAEHSLRKFVRKRPPQIMPSVNGFFSEPDIPSSN >Manes.04G159500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35377185:35381106:-1 gene:Manes.04G159500.v8.1 transcript:Manes.04G159500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQDRHEHTKNLSVAAPRNPSKGGWNSAIFIIVVEVAERFAFYGLSGNLITYLTNDLHESTATAAKNVNTWIGVSSIFPMLGAFLADSFLGRFKTILLSTIIFFAGLVLLTLSVSTIPMSHREALFFFALYILAIGEGGHKPCVQTFAADQFNEENPEEKAAKSSFFNWWYLGIVIGATTAVLLVIYVQDNVGWTEGFGILAGAVAVALIIFLVGIKRYRTQAPVGSPFTAVAQVLIAAARKRRVSESRQGWGICYEDDEKDVADQLEGQPRVRTLARTNQFRFLDKAMIIDNIDASSNPRNPWRLCTLNQVEEVKLVLRLIPIWLSCLMFTAIIVQTHTFFTKQGSTMIRSIGPNFQLPPASLQSIIGLTILIAVPIYDSFFVPMARKITRHPSGITMLQRIGFGLFLSILEMAVSALIEAKRISIARDHGLMDNPQAIVPMNVWWLLPQYMISGIADVFAVIGLQELFYDQMPEAMRSMGAAAYLSILGIGSFINSATISLVQAITSRCGDVWLGDNLNRAHLDHFYWVLAVLSALNLCAFIWVANRFVYKKVEGEETEEEKGVDS >Manes.13G129300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33754698:33756387:1 gene:Manes.13G129300.v8.1 transcript:Manes.13G129300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKHFVLVHGACHGAWCWFKLVNMLKLAGHQVTALDLGASGVHKRQLDEISCVADYVHPLMEFMDSLPQDEKVILVGHSYGGLCISLAMENFPEKILVAVFVSAYMPHLKSPPGSLIQQYFKRTSAESLMDCHFTFAKGLEKPPTSAIFGPQYMKAKLYKHCKPEDLELAKMLIRPTGLFLEDFADDSLLTEMKFGSVNRVFVVCEDDEVMMEEFQEDMIKRNPPKEVKVIKECGHMVMLSKPKELCQCLEDIAHKFSNLP >Manes.05G030100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2571391:2577033:1 gene:Manes.05G030100.v8.1 transcript:Manes.05G030100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNANIRSSAMMSHRNSPVQSLPLVVTLNCIEDCAIEQDSLAGVARVEHVPLSHLSDGKIESAAVVLLHSLAYLPRAAQRRLRSYQLILCLGSANHAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHLLSRHALSASVWLGSLQPLCRGMRRCRGLVLGIVGRSASARSLATRSLAFRMNVLYFDVQEGKGKISSSSIRFPPAARRMDTLNDLLAASDLISLHCALTNETVQIINAECLQHIKQGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGTQWMEAWVKEMPNVLILPHSADYSEEVWMEIRDKAISLLQSFFFDGIIPKDAIPDEEEESDVADESEQFLKQDNKHAQPASVDEQLKVDILLSPESSNRKGNNQSTESPSQSKGSGLSQTAVRSEGRRSRSGKKAKKRHGRQKAMKKSDDPSQLEKDSSHQDDGAAMSGTDQVLSSSSRFGSPEDSRSRKTPIESIQVSTSDQLLRSSEMLGRKSGELLKDGCVIALYARDRPALHVSRQRVKGGGWFLDAMSNVTKRDPAVQFLVVFRSKDTIGLRSFAAGGKLLQINRRMEFVFASHSFDVWESWMLEGSLEECRLVNCRNPLATLDVRVEILAVVGEDGVTRWLD >Manes.16G043040.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6490338:6492166:-1 gene:Manes.16G043040.v8.1 transcript:Manes.16G043040.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRSNRKTEPNPAQPNPTQPPPYLSPNPSIPFFTFSNLPIPPPLFSRISIPPLGSPHSHSRIGKMATRTATVTKMRTTKTTKTMMSDEGGEDDEDDEVQVLQSSRGPPVQSADDDEDDDEDDDGEGGDDDEMVKAETTMTMVKAETTMTMTTTTRMKTVKKRRLRAGNPNCRVNKVKDCYLCSF >Manes.02G116600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8947042:8953926:-1 gene:Manes.02G116600.v8.1 transcript:Manes.02G116600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVIRPKNIKLAVVVVHSSSDDISEDRMIALRKRAELDSKYLVVFNPADSSQLEQSLNKLGSTFAELANTYYRDEGRRIKTRVERKSFNSIELNIRYCFKVAVSAEFRRDWVEALRFYEDAYYTLREMIGTANRLPVIQRLIEIKTVAEQLHFKISTLLLHGGKVIEAVTWFHQHFASYRKLLGPAEAIFLHWEWVSRQFLVFAELLETSSKTIHSNSSPALGTSERSLTEWKFQPAYYYQLAGHYLKEKRTSFELALSMLQNADEIDGSAESVTPAIYVGQFARLLEQGDAFVMQPLTDEEYTRYAIAEAKRFQDSFEIIALLKRSYESYTNLKAQRMASRCGFQMAQEYFAMGDLNNAKQLLDVIAALYRKEGWVTLLWEVLGFLRECSRKRGIVKEFIEYSLEMAALPVSPCTGVQSFRTKECGPAGPASLAQRENIHNEVFQLVSGEIGAVSVGDSTDLKVNRDSPLHLEIDLVSPLRMALLASVAFHEQIIKPGVPALITLSLLSQLPLTVDLDQLEVQFNQSECNFIIINSQKPPSAEISTSQQGRHVESAPSLALVTNKWLRLTYEIKSEQSGKLECIYVIAKMGPHFTICCRAESPASMDDLPLWKFEDRVETFPTKDPVLAFSGQKLAQVEEPDPQVDLVLGATGPALVGECFVVPVTVASKGHDIFSGELKINLVDVRGGGLFSPREAEPFSMDNHHVELLGVNGPEGEDESTGGYDKIKKIQQSFGLVSLPIMKDGESWSCKLEIKWHRPKPIMLFVSLSYFPDSNEMTSQKIHVHKNLQIEGKSAVLISHHFMLPFRQDPLLLSKLKPASSSDQGTSLPLNETSIVLASAKNCSEVPLQLQSMSIEMDDDVERSFTLQPSSEDLLGPAYLVPGEEFKKVFTIIPEVESSNLNLGSVSLRWRRNLQNKDRSSSAAEAWVLTRHKLPDVNVELSPLVLTVDCPPYAILGDPFTYSVKIRNQTQLLQEVKFSLADAQSFVLSGSHSDTVFVLPKSEHVLGYKIVPLASGLQQLPRVTVTSVRYSAVFQLSNAASTVFVFPTKPHFKTADVGDKGIESVVAE >Manes.02G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8947042:8953926:-1 gene:Manes.02G116600.v8.1 transcript:Manes.02G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPEELRTPPVGLIALVGCPEHHSLITTHLLSEQPPSNTLALPDIAKISLLLSSADKSSLPPPDPSSSPTAGILKRDWLHKHRTRVPAVVAALFISDHVSGDPAQWLQLCSDLENLKAVIRPKNIKLAVVVVHSSSDDISEDRMIALRKRAELDSKYLVVFNPADSSQLEQSLNKLGSTFAELANTYYRDEGRRIKTRVERKSFNSIELNIRYCFKVAVSAEFRRDWVEALRFYEDAYYTLREMIGTANRLPVIQRLIEIKTVAEQLHFKISTLLLHGGKVIEAVTWFHQHFASYRKLLGPAEAIFLHWEWVSRQFLVFAELLETSSKTIHSNSSPALGTSERSLTEWKFQPAYYYQLAGHYLKEKRTSFELALSMLQNADEIDGSAESVTPAIYVGQFARLLEQGDAFVMQPLTDEEYTRYAIAEAKRFQDSFEIIALLKRSYESYTNLKAQRMASRCGFQMAQEYFAMGDLNNAKQLLDVIAALYRKEGWVTLLWEVLGFLRECSRKRGIVKEFIEYSLEMAALPVSPCTGVQSFRTKECGPAGPASLAQRENIHNEVFQLVSGEIGAVSVGDSTDLKVNRDSPLHLEIDLVSPLRMALLASVAFHEQIIKPGVPALITLSLLSQLPLTVDLDQLEVQFNQSECNFIIINSQKPPSAEISTSQQGRHVESAPSLALVTNKWLRLTYEIKSEQSGKLECIYVIAKMGPHFTICCRAESPASMDDLPLWKFEDRVETFPTKDPVLAFSGQKLAQVEEPDPQVDLVLGATGPALVGECFVVPVTVASKGHDIFSGELKINLVDVRGGGLFSPREAEPFSMDNHHVELLGVNGPEGEDESTGGYDKIKKIQQSFGLVSLPIMKDGESWSCKLEIKWHRPKPIMLFVSLSYFPDSNEMTSQKIHVHKNLQIEGKSAVLISHHFMLPFRQDPLLLSKLKPASSSDQGTSLPLNETSIVLASAKNCSEVPLQLQSMSIEMDDDVERSFTLQPSSEDLLGPAYLVPGEEFKKVFTIIPEVESSNLNLGSVSLRWRRNLQNKDRSSSAAEAWVLTRHKLPDVNVELSPLVLTVDCPPYAILGDPFTYSVKIRNQTQLLQEVKFSLADAQSFVLSGSHSDTVFVLPKSEHVLGYKIVPLASGLQQLPRVTVTSVRYSAVFQLSNAASTVFVFPTKPHFKTADVGDKGIESVVAE >Manes.12G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2219656:2223696:-1 gene:Manes.12G025500.v8.1 transcript:Manes.12G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MENG MASLQLSLSVPSGPRTSISRFRNRPVECTNERQALFSRIAPVYDNLNDLLSLGQHRIWKRMAVSWTGAKMGDSVLDLCCGSGDLAFLLSEKVGRNGKVTGVDFSKEQLLIASSRQQLSSKDCFQNIEWVEGDATDLPFSDCNFDAITMGYGLRNVIDKHKAMQEMYRILKPGAKASVLDFNKSSQVFVASFQEWMIDNVVVPVASAYGLAKEYEYLKSSIRDFVTGKELEELALEAGFSAAKHYEISGGLMGNLVATR >Manes.10G001900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:494125:498601:-1 gene:Manes.10G001900.v8.1 transcript:Manes.10G001900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKTGLVEALIVEVTDRDKIGAAYLNSSALCCTADLAKDRACKAGEVIIHQDPNNPDGPKRLQTFFEGKNAEAKMNPQTIQINSTGMYYLYFMFCDPELIGMRVNGRTVWRNPDGYLPGKMGPMMTFFGLMSLAYLALGLVWFFWFVKYWKNIIHLHNHITVVIGLGMCEMAFWYFEYANFNSKGRRPIGITVWAVTFGAIKKTVSRLLLLVVSMGYGVVRPTLGGGITSKAMLLGITYFIASEALGLLENLGTINDFSGKARLVLVLPVALLDACFIVWIFSSLSQTLEKLQIRSAAKFALYQKFTNSLAIAVLISIAWIGIEMYFNATDPLSEMWKRAWIISAFWILLAFVLLVVICVLWAPSHNPTRYAFSEETVDNSDDDEDRIPLSGSAVKVVGDVATKLERKERKTSSAPEQYLLGHVEDLEEDKRE >Manes.10G001900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:494125:498601:-1 gene:Manes.10G001900.v8.1 transcript:Manes.10G001900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCVFCNLSSNGQAIFIAIVICISLFSITHVDASIHEYKNEAFIRRSNSFFFHGGSEGLYASRLPNVLSSPEDKPLNGKSFIRFESITFQRTKESAENKNEMQQKTGLVEALIVEVTDRDKIGAAYLNSSALCCTADLAKDRACKAGEVIIHQDPNNPDGPKRLQTFFEGKNAEAKMNPQTIQINSTGMYYLYFMFCDPELIGMRVNGRTVWRNPDGYLPGKMGPMMTFFGLMSLAYLALGLVWFFWFVKYWKNIIHLHNHITVVIGLGMCEMAFWYFEYANFNSKGRRPIGITVWAVTFGAIKKTVSRLLLLVVSMGYGVVRPTLGGGITSKAMLLGITYFIASEALGLLENLGTINDFSGKARLVLVLPVALLDACFIVWIFSSLSQTLEKLQIRSAAKFALYQKFTNSLAIAVLISIAWIGIEMYFNATDPLSEMWKRAWIISAFWILLAFVLLVVICVLWAPSHNPTRYAFSEETVDNSDDDEDRIPLSGSAVKVVGDVATKLERKERKTSSAPEQYLLGHVEDLEEDKRE >Manes.13G109800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31540425:31541373:-1 gene:Manes.13G109800.v8.1 transcript:Manes.13G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSSTSSSSSSSPMYISQYPHQGKGLKGSQLLHSVRKPQVKPWKKPIAPLPPTPPRVFKVDPVDFRDLVQKLTGAPDQSQPQQRLQRVAPPPLDLDKMNPALFSRDFAEAAAPLQIISSPVKTPFSALYQEMMSDTTDQKLKKVSENIMASPSLEFNLFSPSAHGWCSFPLLSPGTLSSLEQSTVL >Manes.11G067600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9620192:9623209:1 gene:Manes.11G067600.v8.1 transcript:Manes.11G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVVSGPGDKPMIVVQYKGEQKQFAPEEISSMVLTKMKEIAEAFLGHTVKNAVITVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKGSRTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVEEFKRKHKKDISTNARALRRLRTACERAKRTLSSTSQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKAQVNDIVLVGGSTRIPKVQQLLLDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGDQKVQDLLLLDVTPLSLGIETAGGVMTVLIPRNTTIPAKKEQVFSTYSDNQTSVLIQVYEGERARTKDNNLLGTFELKGIPPAPRGVPQINVCFDIDANGILNVTAEDKTAGVKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEQVKKKVEAKNALENYAYNMRNTVKDEKIGGKLNPGDKQKIEKAIDETIEWLDRNQLADVDEFEDKLKELEALCNPVISKMYQGGSGEDGPMDGSAQPGSGYGSASSGGSGAGPKIEEVD >Manes.14G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3184248:3186126:1 gene:Manes.14G036300.v8.1 transcript:Manes.14G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPQSEHGRGRRGRLARSKEMVQMQCPTGRMLPMPPMVRPTPNQAKLAAIAVDLNIRLRSADMPGAMQERSFRCTRAILDANLEKKPNPTRIAMCLKKEFDEVYGPAWHCVVGQSFGSFVTHSSGGFVYFSVDKLSFLLFKTEVRPVRSSLPLPPRPPPPPPPPPSFPLLWKLDINA >Manes.13G153600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36755825:36760470:-1 gene:Manes.13G153600.v8.1 transcript:Manes.13G153600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTFPNHPRIARLPYKECGGSGDVVVRCIACFHKAALSFDQKLYSQCSYLYFAEPYCDRNFRKREWQIRSSVGSGGLDPSTSNSTSGGTRLVRAIQTLQTKIVARIEDIRKNLPVKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAIVEGIGALMYRASFRMFNNIRSLITMFNYWKAGLSLGLFLDSFKYEFDDIMNCSNPFYFEMDIFTIFL >Manes.13G153600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36755825:36760470:-1 gene:Manes.13G153600.v8.1 transcript:Manes.13G153600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTFPNHPRIARLPYKECGGSGDVVVRCIACFHKAALSFDQKLYSQCSYLYFAEPYCDRNFRKREWQIRSSVGSGGLDPSTSNSTSGGTRLVRAIQTLQTKIVARIEDIRKNLPVKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAIVEGIGALMYRASFRMFNNIRSLITMFNYWKAGLSLGLFLDSFKYEFDDIMNCSNPFYFEMDIFTIFL >Manes.13G153600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36755825:36759248:-1 gene:Manes.13G153600.v8.1 transcript:Manes.13G153600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTFPNHPRIARLPYKECGGSGDVVVRCIACFHKAALSFDQKLYSQCSYLYFAEPYCDRNFRKREWQIRSSVGSGGLDPSTSNSTSGGTRLVRAIQTLQTKIVARIEDIRKNLPVKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVAIVEGIGALMYRASFRMFNNIRSLITMFNYWKAGLSLGLFLDSFKYEFDDIMNCSNPFYFEMDIFTIFL >Manes.16G101000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30650450:30654998:-1 gene:Manes.16G101000.v8.1 transcript:Manes.16G101000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVFSLLINEADRAGYLRSLMQSFGCNYICVWFDMPQPNHHLYFLDGYYHEENNQPGSSSGSLARRLFDEYRQENFLPVNNRVPGMAFVNNQPYRELSESELQRMASVTVQQRFYQEARIKTAVFMGCRNGEIEMGWSSGMTQINMENAIRSLFSEDIPHQQSPLRELSQAIDPNRPSSSSSSLRSLSMDSPDSSPFLFNIPITSHFSEIPQETPSLQPIQSTSSAIQRVLQSLQQVKNTTNPLRQTMQLQPAQSSTIPLQPAVPSLQPMPSPTRSHQAALQAFALTRNVHLPSQESEDAAMTRAILAVLTSPSSSTSSITPNPPSNYRENQRTSAFKNYLTPTRPMSQSLRKQSMLKRAITYYRSLNIARREHMVASRPTSTQLHHMISERRRREKINESFEALRKLLPPEAKKDKASVLTRTRDYLTSLKAQIDELSKRNQQLEAQIKQLPEKEVAQDAFQSSSNERVEVQVTNISESTSEEQRIIDLRVVLRGEYPIQDMVIRILEFLNQVNNVNAMSLEANTRTTESRSLNLVALRLKIEGQGWTLKKKCRSKANQFILKQTDPIP >Manes.16G101000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30650450:30653657:-1 gene:Manes.16G101000.v8.1 transcript:Manes.16G101000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEARIKTAVFMGCRNGEIEMGWSSGMTQINMENAIRSLFSEDIPHQQSPLRELSQAIDPNRPSSSSSSLRSLSMDSPDSSPFLFNIPITSHFSEIPQETPSLQPIQSTSSAIQRVLQSLQQVKNTTNPLRQTMQLQPAQSSTIPLQPAVPSLQPMPSPTRSHQAALQAFALTRNVHLPSQESEDAAMTRAILAVLTSPSSSTSSITPNPPSNYRENQRTSAFKNYLTPTRPMSQSLRKQSMLKRAITYYRSLNIARREHMVASRPTSTQLHHMISERRRREKINESFEALRKLLPPEAKKDKASVLTRTRDYLTSLKAQIDELSKRNQQLEAQIKQLPEKEVAQDAFQSSSNERVEVQVTNISESTSEEQRIIDLRVVLRGEYPIQDMVIRILEFLNQVNNVNAMSLEANTRTTESRSLNLVALRLKIEGQGWTLKKKCRSKANQFILKQTDPIP >Manes.16G101000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30650956:30653657:-1 gene:Manes.16G101000.v8.1 transcript:Manes.16G101000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEARIKTAVFMGCRNGEIEMGWSSGMTQINMENAIRSLFSEDIPHQQSPLRELSQAIDPNRPSSSSSSLRSLSMDSPDSSPFLFNIPITSHFSEIPQETPSLQPIQSTSSAIQRVLQSLQQVKNTTNPLRQTMQLQPAQSSTIPLQPAVPSLQPMPSPTRSHQAALQAFALTRNVHLPSQESEDAAMTRAILAVLTSPSSSTSSITPNPPSNYRENQRTSAFKNYLTPTRPMSQSLRKQSMLKRAITYYRSLNIARREHMVASRPTSTQLHHMISERRRREKINESFEALRKLLPPEAKKDKASVLTRTRDYLTSLKAQIDELSKRNQQLEAQIKQLPEKEVAQDAFQSSSNERVEVQVTNISESTSEEQRIIDLRVVLRGEYPIQDMVIRILEFLNQVNNVNAMSLEANTRTTESRSLNLVALRLKIEGEEWDESAFQEAVKRVVSGLAQ >Manes.16G101000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30650956:30654997:-1 gene:Manes.16G101000.v8.1 transcript:Manes.16G101000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVFSLLINEADRAGYLRSLMQSFGCNYICVWFDMPQPNHHLYFLDGYYHEENNQPGSSSGSLARRLFDEYRQENFLPVNNRVPGMAFVNNQPYRELSESELQRMASVTVQQRFYQEARIKTAVFMGCRNGEIEMGWSSGMTQINMENAIRSLFSEDIPHQQSPLRELSQAIDPNRPSSSSSSLRSLSMDSPDSSPFLFNIPITSHFSEIPQETPSLQPIQSTSSAIQRVLQSLQQVKNTTNPLRQTMQLQPAQSSTIPLQPAVPSLQPMPSPTRSHQAALQAFALTRNVHLPSQESEDAAMTRAILAVLTSPSSSTSSITPNPPSNYRENQRTSAFKNYLTPTRPMSQSLRKQSMLKRAITYYRSLNIARREHMVASRPTSTQLHHMISERRRREKINESFEALRKLLPPEAKKDKASVLTRTRDYLTSLKAQIDELSKRNQQLEAQIKQLPEKEVAQDAFQSSSNERVEVQVTNISESTSEEQRIIDLRVVLRGEYPIQDMVIRILEFLNQVNNVNAMSLEANTRTTESRSLNLVALRLKIEGEEWDESAFQEAVKRVVSGLAQ >Manes.16G101000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30651111:30654787:-1 gene:Manes.16G101000.v8.1 transcript:Manes.16G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVFSLLINEADRAGYLRSLMQSFGCNYICVWFDMPQPNHHLYFLDGYYHEENNQPGSSSGSLARRLFDEYRQENFLPVNNRVPGMAFVNNQPYRELSESELQRMASVTVQQRFYQEARIKTAVFMGCRNGEIEMGWSSGMTQINMENAIRSLFSEDIPHQQSPLRELSQAIDPNRPSSSSSSLRSLSMDSPDSSPFLFNIPITSHFSEIPQETPSLQPIQSTSSAIQRVLQSLQQVKNTTNPLRQTMQLQPAQSSTIPLQPAVPSLQPMPSPTRSHQAALQAFALTRNVHLPSQESEDAAMTRAILAVLTSPSSSTSSITPNPPSNYRENQRTSAFKNYLTPTRPMSQSLRKQSMLKRAITYYRSLNIARREHMVASRPTSTQLHHMISERRRREKINESFEALRKLLPPEAKKDKASVLTRTRDYLTSLKAQIDELSKRNQQLEAQIKQLPEKEVAQDAFQSSSNERVEVQVTNISESTSEEQRIIDLRVVLRGEYPIQDMVIRILEFLNQVNNVNAMSLEANTRTTESRSLNLVALRLKIEEMRRKGRSK >Manes.16G101000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30650450:30654787:-1 gene:Manes.16G101000.v8.1 transcript:Manes.16G101000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVFSLLINEADRAGYLRSLMQSFGCNYICVWFDMPQPNHHLYFLDGYYHEENNQPGSSSGSLARRLFDEYRQENFLPVNNRVPGMAFVNNQPYRELSESELQRMASVTVQQRFYQEARIKTAVFMGCRNGEIEMGWSSGMTQINMENAIRSLFSEDIPHQQSPLRELSQAIDPNRPSSSSSSLRSLSMDSPDSSPFLFNIPITSHFSEIPQETPSLQPIQSTSSAIQRVLQSLQQVKNTTNPLRQTMQLQPAQSSTIPLQPAVPSLQPMPSPTRSHQAALQAFALTRNVHLPSQESEDAAMTRAILAVLTSPSSSTSSITPNPPSNYRENQRTSAFKNYLTPTRPMSQSLRKQSMLKRAITYYRSLNIARREHMVASRPTSTQLHHMISERRRREKINESFEALRKLLPPEAKKDKASVLTRTRDYLTSLKAQIDELSKRNQQLEAQIKQLPEKEVAQDAFQSSSNERVEVQVTNISESTSEEQRIIDLRVVLRGEYPIQDMVIRILEFLNQVNNVNAMSLEANTRTTESRSLNLVALRLKIEGEEWDESAFQEAVKRVVSGLAQ >Manes.16G101000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30650450:30653657:-1 gene:Manes.16G101000.v8.1 transcript:Manes.16G101000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEARIKTAVFMGCRNGEIEMGWSSGMTQINMENAIRSLFSEDIPHQQSPLRELSQAIDPNRPSSSSSSLRSLSMDSPDSSPFLFNIPITSHFSEIPQETPSLQPIQSTSSAIQRVLQSLQQVKNTTNPLRQTMQLQPAQSSTIPLQPAVPSLQPMPSPTRSHQAALQAFALTRNVHLPSQESEDAAMTRAILAVLTSPSSSTSSITPNPPSNYRENQRTSAFKNYLTPTRPMSQSLRKQSMLKRAITYYRSLNIARREHMVASRPTSTQLHHMISERRRREKINESFEALRKLLPPEAKKDKASVLTRTRDYLTSLKAQIDELSKRNQQLEAQIKQLPEKEVAQDAFQSSSNERVEVQVTNISESTSEEQRIIDLRVVLRGEYPIQDMVIRILEFLNQVNNVNAMSLEANTRTTESRSLNLVALRLKIEGEEWDESAFQEAVKRVVSGLAQ >Manes.09G183100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37087999:37090125:-1 gene:Manes.09G183100.v8.1 transcript:Manes.09G183100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSGGDLPALPEGCVATVLSFTGPRDAARLSTVSSTFKSAAESDSVWERFLPPDYLSIISGSSDSSFLASSSSKKHLFLRLCDNPILIDDGRKSFSLDKWSGKKCYMLSARDLMIVWGDTPRYWRWTSELKSRFADVAELIGVCWLEIRGKINTLMLSPDTLYVAYLVYRTTAGAYGFDHQPVEVTVGLAGTEGCKKSVYLDAERERQQRYQIVVRRVGLFRHSHAMGLQAPVSTRENKKSGHHNPQEREDGWLKLELGEFFNKGGEDGELEMSIAEVNGGDWKGGLIVLGIEIRPKEDKYRTK >Manes.09G017800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3820748:3828903:-1 gene:Manes.09G017800.v8.1 transcript:Manes.09G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNKFQEAVKVLARSPTFARDPRQLQFEVDINRLFLYTSYNRLGRNAEEADAEEIIEMASKASVADQQKQVQENIHYQIGNFCTAMDEILNPDTNKRNEPGEPLGQSDTAPCQSGLSLAVGKSSRTVSPAIPETRPLNGAEVSWRLKDQSGYTLGLKESQIPHKEAGQGLFVDGEADVGTVIALYPGVIYSPAYYSHIPGYPRVDAHNTHLITRYDGTVIDSQPWGSGGEARELWNGSLVPEIRPDVQSSEKGSGLFWKMLSKPVEGMQVSCSSEVLERRNPLALAHFANHPAKGMAPNVMICPYDFPLTEKDMRTYIPNILFGNSKEEMNMRRFGSFWYRSKAKKSGSDVPVLKALVLVATRALCDEEVLLNYRLSNSKRQPEWYTPVDEEEDRSKWS >Manes.09G017800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3821113:3824955:-1 gene:Manes.09G017800.v8.1 transcript:Manes.09G017800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASVADQQKQVQENIHYQIGNFCTAMDEILNPDTNKRNEPGEPLGQSDTAPCQSGLSLAVGKSSRTVSPAIPETRPLNGAEVSWRLKDQSGYTLGLKESQIPHKEAGQGLFVDGEADVGTVIALYPGVIYSPAYYSHIPGYPRVDAHNTHLITRYDGTVIDSQPWGSGGEARELWNGSLVPEIRPDVQSSEKGSGLFWKMLSKPVEGMQVSCSSEVLERRNPLALAHFANHPAKGMAPNVMICPYDFPLTEKDMRTYIPNILFGNSKEEMNMRRFGSFWYRSKAKKSGSDVPVLKALVLVATRALCDEEVLLNYRLSNSKRQPEWYTPVDEEEDRSKWS >Manes.09G017800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3820748:3828903:-1 gene:Manes.09G017800.v8.1 transcript:Manes.09G017800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASVADQQKQVQENIHYQIGNFCTAMDEILNPDTNKRNEPGEPLGQSDTAPCQSGLSLAVGKSSRTVSPAIPETRPLNGAEVSWRLKDQSGYTLGLKESQIPHKEAGQGLFVDGEADVGTVIALYPGVIYSPAYYSHIPGYPRVDAHNTHLITRYDGTVIDSQPWGSGGEARELWNGSLVPEIRPDVQSSEKGSGLFWKMLSKPVEGMQVSCSSEVLERRNPLALAHFANHPAKGMAPNVMICPYDFPLTEKDMRTYIPNILFGNSKEEMNMRRFGSFWYRSKAKKSGSDVPVLKALVLVATRALCDEEVLLNYRLSNSKRQPEWYTPVDEEEDRSKWS >Manes.06G106300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23883383:23887483:1 gene:Manes.06G106300.v8.1 transcript:Manes.06G106300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFTFSSFSSPEPVIPASSLTRSPIYHLRHDSCSALATGIYCAKRQISAIRCGNGSSCSKRATLVTALSSVCEKSSPSITAFSELIESLIDRVDLSESEAEASLDYLLNDANEALISAFLVLLRAKGETYEEIVGLARAMIKHARKVEGLIDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEALGIVIDLDPEGVSRCVNEAGIGFMMSPKYHPAMKIVSPVRKKLKVKTVFNILGPMLNPARVPFGVVGVYKEGLVEKMAKALQKYGMKRALVVHSEGLDEMSPLGPGIVLDITPEKIEKFSFDPLEFGIPRCTLESLRGGGPDYNAEILKRVLSGEKGSIADALILNAAAALLVSGCVGSLAEGVALARETQLSGKAMKTLDLWIKISNQKV >Manes.06G106300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23883383:23887483:1 gene:Manes.06G106300.v8.1 transcript:Manes.06G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFTFSSFSSPEPVIPASSLTRSPIYHLRHDSCSALATGIYCAKRQISAIRCGNGSSCSKRATLVTALSSVCEKSSPSITAFSELIESLIDRVDLSESEAEASLDYLLNDANEALISAFLVLLRAKGETYEEIVGLARAMIKHARKVEGLIDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEALGIVIDLDPEGVSRCVNEAGIGFMMSPKYHPAMKIVSPVRKKLKVKTVFNILGPMLNPARVPFGVVGVYKEGLVEKMAKALQKYGMKRALVVHSEGLDEMSPLGPGIVLDITPEKIEKFSFDPLEFGIPRCTLESLRGGGPDYNAEILKRVLSGEKGSIADALILNAAAALLVSGCVGSLAEGVALARETQLSGKAMKTLDLWIKISNQQKV >Manes.05G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15396982:15403262:-1 gene:Manes.05G133000.v8.1 transcript:Manes.05G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLLVNISRYTRNLLHSSSNVGTRLHNPSLPSSTRSVLRLFSSGENPTTPSPESALLAQIKKKDDSVQAKDVGNKELKELMDKYFKGDEEVLPSIMEAILHRRLSRKHDETDDELMEELRMKPLDDVKDKEFESDFEELYETDEEIDDLYNAKEIVMKKMVKDEYFNMDDKKWDEMVTEAMQHGFLKNTRECEQILEDMLRWDKLLPDDIKEKVEKRFNELGDMCERGELEPEEAYKLFKQFEDEIVMEYEKRTETDGSQQFDEAMVPDKQEDLDDVSNEGPILRWQTRVVLAPGGDAWHPKNRKVKMAVTVKELGLSKHQFRRLRELVGKRYHPGKDELTITSERFEHREENRKDCLRTLFSLIEEAGKANKLAEDARASYVKQRLRANPAFMERLQAKIRKQSSNAISA >Manes.04G145400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34312154:34314148:1 gene:Manes.04G145400.v8.1 transcript:Manes.04G145400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEDLPRDAKIVKSLLKSMGIEDYEPRVIHQFLELWYRYAVDVLTDAQIYSEHAGKSAVDCDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKTIAGPGIPLPPEQDILISPNYQLAIPNKRPAQAVEETEEDEASADLNPSQEPKADVPQHNTPQRVSFPLNKRPK >Manes.04G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34312154:34315180:1 gene:Manes.04G145400.v8.1 transcript:Manes.04G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEDLPRDAKIVKSLLKSMGIEDYEPRVIHQFLELWYRYAVDVLTDAQIYSEHAGKSAVDCDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKTIAGPGIPLPPEQDILISPNYQLAIPNKRPAQAVEETEEDEASADLNPSQEPKADVPQHNTPQRVSFPLNKRPK >Manes.10G080700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19028243:19033813:-1 gene:Manes.10G080700.v8.1 transcript:Manes.10G080700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMNFKNFGNAPTGEGSSAKPPGSSLLIRQPSVYSLTFDEFQNTWGGGPGKDFGSMNMEELLKNIWTAEETQAMTSSVSAEKGSVPGGNLQRQGSLTLPRTLSQKTVDEVWKDLMKDINIGAKDGSNMGSNVPQRQQTLREMTLEEFLARAGVVREDTQLIGGTNNNGFFDEFSRLDNNGHTNSNYNTGLALGFQQPNQNNGLVGTRIVENNNGIVASQPANLALNVGGIRSSQPLPQQQLHQNRLQQQQQQQPLFPKPTNVAFASPMHLVNSAQLASPGVRSSVVGITDPSMNNNLVHGGGMGMVGLGAGAVTVATGSPTSQISPDMITRSNADTPSVSPVPNMFGRGRKAGAALEKVIERRHRRMIKNRESAARSRARKQAYTLELEAEVAKLKELNQELRSKQDEIMEMQKNQFLETINRQWGSKRQCLRRTLTGPW >Manes.10G080700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19028243:19033633:-1 gene:Manes.10G080700.v8.1 transcript:Manes.10G080700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHMNFKNFGNAPTGEGSSAKPPGSSLLIRQPSVYSLTFDEFQNTWGGGPGKDFGSMNMEELLKNIWTAEETQAMTSSVSAEKGSVPGGNLQRQGSLTLPRTLSQKTVDEVWKDLMKDINIGAKDGSNMGSNVPQRQQTLREMTLEEFLARAGVVREDTQLIGGTNNNGFFDEFSRLDNNGHTNSNYNTGLALGFQQPNQNNGLVGTRIVENNNGIVASQPANLALNVGGIRSSQPLPQQQLHQNRLQQQQQQQPLFPKPTNVAFASPMHLVNSAQLASPGVRSSVVGITDPSMNNNLVHGGGMGMVGLGAGAVTVATGSPTSQISPDMITRSNADTPSVSPVPNMFGRGRKAGAALEKVIERRHRRMIKNRESAARSRARKQAYTLELEAEVAKLKELNQELRSKQDEIMEMQKNQFLETINRQWGSKRQCLRRTLTGPW >Manes.03G065900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7517820:7532650:1 gene:Manes.03G065900.v8.1 transcript:Manes.03G065900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQANEIESIGFKWGKKRGIGGKKKDVQFYESFTYDDVEYALYDSVYIYADGETEPYIGKLIKIWENSDKTKKVKILWFFRPCEISNFLEADKAVENELFLASGEGVGLANVNPLEPIAGKCNVVCISKDSRNPQPSYEEIEMADFIFYRTFDVGHRVITDKIDDKIAGIDVRFLLNSVSIQKPSAVPKPDSNDKEIIGNAVVAGVVDKNVSGKPLKLDDSSTDASTKENSVSRENKAAIMTSVKQKSGLGKKPDSYTNSRSDNEEIAHLKTALVKQKSSLGEKHASKSGVELAEMAKITKWESMSNDKITSRSKDNVSSESKASSIPAIEIHAEKKGKATKDSVRSSDRPSKLANFDDSVKASVNGRNTLQKLNLDSGGSDAKALDRTTTASDDKSKRKLTKNPSWTENISLKKTKLDEKLTKHAIGQLLEASPREASNSVSKTTDQKVEVTRRPEADKSKWFKMPPWEERMQNAHAEGRLVLLQNLDPSYTSAEVEDIVWHAFKENCTAKMIQRTAFSSPHSGQAFVIFKTSEAAEIAVEKLDESCLMLSNGRKKLYLLRIVLSPIRLSMIWPWIGAYCKKEQI >Manes.03G065900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7517835:7532650:1 gene:Manes.03G065900.v8.1 transcript:Manes.03G065900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQANEIESIGFKWGKKRGIGGKKKDVQFYESFTYDDVEYALYDSVYIYADGETEPYIGKLIKIWENSDKTKKVKILWFFRPCEISNFLEADKAVENELFLASGEGVGLANVNPLEPIAGKCNVVCISKDSRNPQPSYEEIEMADFIFYRTFDVGHRVITDKIDDKIAGIDVRFLLNSVSIQKPSAVPKPDSNDKEIIGNAVVAGVVDKNVSGKPLKLDDSSTDASTKENSVSRENKAAIMTSVKQKSGLGKKPDSYTNSRSDNEEIAHLKTALVKQKSSLGEKHASKSGVELAEMAKITKWESMSNDKITSRSKDNVSSESKASSIPAIEIHAEKKGKATKDSVRSSDRPSKLANFDDSVKASVNGRNTLQKLNLDSGGSDAKALDRTTTASDDKSKRKLTKNPSWTENISLKKTKLDEKLTKHAIGQLLEASPREASNSVSKTTDQKVEVTRRPEADKSKWFKMPPWEERMQNAHAEGRLVLLQNLDPSYTSAEVEDIVWHAFKENCTAKMIQRTAFSSPHSGQAFVIFKTSEAAEIAVEKLDESCLMLSNGRPLVGSIATLSFPGKPSTFFGHIYIDKLRLQMQREMVNLTLTLFLARTSSSSK >Manes.03G065900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7517820:7532650:1 gene:Manes.03G065900.v8.1 transcript:Manes.03G065900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQANEIESIGFKWGKKRGIGGKKKDVQFYESFTYDDVEYALYDSVYIYADGETEPYIGKLIKIWENSDKTKKVKILWFFRPCEISNFLEADKAVENELFLASGEGVGLANVNPLEPIAGKCNVVCISKDSRNPQPSYEEIEMADFIFYRTFDVGHRVITDKIDDKIAGIDVRFLLNSVSIQKPSAVPKPDSNDKEIIGNAVVAGVVDKNVSGKPLKLDDSSTDASTKENSVSRENKAAIMTSVKQKSGLGKKPDSYTNSRSDNEEIAHLKTALVKQKSSLGEKHASKSGVELAEMAKITKWESMSNDKITSRSKDNVSSESKASSIPAIEIHAEKKGKATKDSVRSSDRPSKLANFDDSVKASVNGRNTLQKLNLDSGGSDAKALDRTTTASDDKSKRKLTKNPSWTENISLKKTKLDEKLTKHAIGQLLEASPREASNSVSKTTDQKVEVTRRPEADKSKWFKMPPWEERMQNAHAEGRLVLLQNLDPSYTSAEVEDIVWHAFKENCTAKMIQRTAFSSPHSGQAFVIFKTSEAAEIAVEKLDESCLMLSNGRPLVGSIATLSFPGKPSTFFGHIYIDKLRLQMQREMKEAVSTSHCSQPNTIEYDMAMDWCLLQERTDLEWKHLYKQQGQELRKLKANLKSK >Manes.03G065900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7505389:7532459:1 gene:Manes.03G065900.v8.1 transcript:Manes.03G065900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQANEIESIGFKWGKKRGIGGKKKDVQFYESFTYDDVEYALYDSVYIYADGETEPYIGKLIKIWENSDKTKKVKILWFFRPCEISNFLEADKAVENELFLASGEGVGLANVNPLEPIAGKCNVVCISKDSRNPQPSYEEIEMADFIFYRTFDVGHRVITDKIDDKIAGIDVRFLLNSVSIQKPSAVPKPDSNDKEIIGNAVVAGVVDKNVSGKPLKLDDSSTDASTKENSVSRENKAAIMTSVKQKSGLGKKPDSYTNSRSDNEEIAHLKTALVKQKSSLGEKHASKSGVELAEMAKITKWESMSNDKITSRSKDNVSSESKASSIPAIEIHAEKKGKATKDSVRSSDRPSKLANFDDSVKASVNGRNTLQKLNLDSGGSDAKALDRTTTASDDKSKRKLTKNPSWTENISLKKTKLDEKLTKHAIGQLLEASPREASNSVSKTTDQKVEVTRRPEADKSKWFKMPPWEERMQNAHAEGRLVLLQNLDPSYTSAEVEDIVWHAFKENCTAKMIQRTAFSSPHSGQAFVIFKTSEAAEIAVEKLDESCLMLSNGRKKLYLLRIVLSPIRLSMIWPWIGAYCKKEQI >Manes.03G065900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7505389:7532459:1 gene:Manes.03G065900.v8.1 transcript:Manes.03G065900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQANEIESIGFKWGKKRGIGGKKKDVQFYESFTYDDVEYALYDSVYIYADGETEPYIGKLIKIWENSDKTKKVKILWFFRPCEISNFLEADKAVENELFLASGEGVGLANVNPLEPIAGKCNVVCISKDSRNPQPSYEEIEMADFIFYRTFDVGHRVITDKIDDKIAGIDVRFLLNSVSIQKPSAVPKPDSNDKEIIGNAVVAGVVDKNVSGKPLKLDDSSTDASTKENSVSRENKAAIMTSVKQKSGLGKKPDSYTNSRSDNEEIAHLKTALVKQKSSLGEKHASKSGVELAEMAKITKWESMSNDKITSRSKDNVSSESKASSIPAIEIHAEKKGKATKDSVRSSDRPSKLANFDDSVKASVNGRNTLQKLNLDSGGSDAKALDRTTTASDDKSKRKLTKNPSWTENISLKKTKLDEKLTKHAIGQLLEASPREASNSVSKTTDQKVEVTRRPEADKSKWFKMPPWEERMQNAHAEGRLVLLQNLDPSYTSAEVEDIVWHAFKENCTAKMIQRTAFSSPHSGQAFVIFKTSEAAEIAVEKLDESCLMLSNGRPLVGSIATLSFPGKPSTFFGHIYIDKLRLQMQREMKEAVSTSHCSQPNTIEYDMAMDWCLLQERTDLEWKHLYKQQGQELRKLKANLKSK >Manes.12G154400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36187916:36203400:-1 gene:Manes.12G154400.v8.1 transcript:Manes.12G154400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRLLGLSRGESDESPREITSRTSLLSESGESGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMVIHKPSPSLDKFVIDICSKSLLIALKVHWFLLAEIEDSDDNEGISRIQEKCQIAATLMGEWPPLVRPPSESSSVGGKNPVLNKILSSKQKFLSLTSSPPTNKSLSFSPSSGSSLVEDGNLLSPDQNSIFKKFIPGPKVRDALLFRKSVEKDEEESEKDGFFKRLLRDSSRGDAEESASNSDGFFKRLLRDNSRGDDEEITSTSDGIFKRLLRDSKGEDEELTSSSEGFFKRLFRDSKSDDEDKSVSKSVEDDEKEGFFRKFFKEKFEDKKDEHEERVSSEEKCSKSAEDEEKEGFFRKLFKDKFEDKKDGNGKIDDRNAKDGNGKIDDRNANGEEEETSEFSLFRRLFRVHPEDAKNTALNENGNGGGLFESSPGTERFFRKLFRDRDRSVEESELFVSKKNKEKRPGSPKQQNDKSNTKPPLHNNTASHFRKGAYHESLDFVMSLCETSYGLVDVFPLEDRKSALRESLVEINLHLAEAQNSGGICFPMGKGMYRVVHIPEDEAILLNSREKAPYMICVEVLKCEMPSNNKGTFGGQKLSRGGIPLANGDAFLPKPPPWAYPLWTTQEVYRNSSDRMSRSTAQAIDQAMSHSLEARQKFANVSLSVVKQLPRHPINTNAQDIHHGINRSGLHQRATNDGEFEWVRVVLTADPGVRMDDIGDQEPPRRREHRRVPSTIAIEEVKAAAAKGEAPPGLPLKGAGQDSSDARLRVNGGTPKASDALSGELWEAKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPFEVLVTSSYTALIETIPDTASLHSIKSRYPNITSLRDFFVAKYEENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFQLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Manes.16G092300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29843773:29847083:-1 gene:Manes.16G092300.v8.1 transcript:Manes.16G092300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQTVKQLARGTCTSLCRTEFMRTPYSQCRQPWFELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGSIDAVLILCFRLCVDYNVLSVIVSYLDLNPTKVQF >Manes.16G092300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29843839:29847083:-1 gene:Manes.16G092300.v8.1 transcript:Manes.16G092300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQTVKQLARGTCTSLCRTEFMRTPYSQCRQPWFELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMVCQFILI >Manes.16G092300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29842710:29847083:-1 gene:Manes.16G092300.v8.1 transcript:Manes.16G092300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQTVKQLARGTCTSLCRTEFMRTPYSQCRQPWFELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDRSKFVEVGVGDIAQTV >Manes.16G092300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29842780:29847077:-1 gene:Manes.16G092300.v8.1 transcript:Manes.16G092300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQTVKQLARGTCTSLCRTEFMRTPYSQCRQPWFELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGSIDAVLILCFRLCVDYNVLSVIVSYLDLNPTKVQF >Manes.16G092300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29843909:29847084:-1 gene:Manes.16G092300.v8.1 transcript:Manes.16G092300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQTVKQLARGTCTSLCRTEFMRTPYSQCRQPWFELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGSIDAVLILCFRLCVDYNVLSVIVSYLDLNPTKVQF >Manes.16G092300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29842710:29847083:-1 gene:Manes.16G092300.v8.1 transcript:Manes.16G092300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQTVKQLARGTCTSLCRTEFMRTPYSQCRQPWFELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDRSKFVEVGVGDIAQTV >Manes.16G092300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29843839:29847083:-1 gene:Manes.16G092300.v8.1 transcript:Manes.16G092300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQTVKQLARGTCTSLCRTEFMRTPYSQCRQPWFELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMVCQFILI >Manes.07G136033.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33869826:33870446:-1 gene:Manes.07G136033.v8.1 transcript:Manes.07G136033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMSCEEHDRMAARSQFLTHTIGRILSEMEIKSIPMNTKGFESLVQLKEGTVKDSFDLFSGLFICIRFAKQELKNLEISFEKVKQKLLDKMNVMQNVNDSNL >Manes.10G029100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2956124:2958850:-1 gene:Manes.10G029100.v8.1 transcript:Manes.10G029100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGAPDFFYREAQRLGYVARSAFKLLQIQKQYKLITPGSSVLDLGCAPGAWLQVACQNLGSIKNGGSVVGIDVKKVKVPSLHCDARVKTFCADVMNLPKHQVKALSPQHEGFSVILSDMCPPVSGITTKDAALSVELGMQAVDLAVGGAASAYAGGDTEPNELSDSACGADDNGILQAGGHLLVKLLESEDIQEFSRICKPLFRKVSWLRPKATRSSSREIYLICQGLKL >Manes.14G055300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4738983:4744637:1 gene:Manes.14G055300.v8.1 transcript:Manes.14G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMISETKKAPRPGRGGYQAHGMTEEEARVRAIAEIVNSMVELSRKNQTVDLNAIKSAACRKYGLARAPKLVEMIAALPESERDSLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHSATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFESPLFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVELVRRDYTANEGWETFISYEDARQDILVGLLRLRKCGCNVSCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKSLEAC >Manes.14G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:846977:851797:1 gene:Manes.14G012900.v8.1 transcript:Manes.14G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPSSEKAAELLQNLSLDSQPKTLEIPEPTKKTSLYQYGSVESAVSANGQIQPYERSVTPFVPDLMDPSVCYIPNGYPSPAYYYGSYNGTGNEWDDYSTYASTDGVEMTGVYGDNGSVMYHNAYGYAPYGPYSPAASPVPTLGNDGQLYGPQHYQYPPYFQPLTPNSGPFNPSQAASSQEELSSSTAPDQKPLPAETTKGNSSSIANGGAKGNNGSTPVKPTYQNLSMNMNDTNGRGILPGGLPPSGYQDPRFSFDGKYRSTSITSSYSKGNHVPSSRNQNFRQNSNYMGLHQPISMSGMGSTHGFMNGMYQNKFYGHYGNTFRSVAGFGPTGYDSRINSNGWLAVDSKYKPRGRGNVYFGFRNESIDGLNELNRGPRAKGFKNPKGFAPVTLVVKGQQVPPSEPVNDEKDELPTIPDREQYNRADFPEDYTDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYQEAQQKSGGCPVFLFFSVNTSGQFVGLAEMIGPVDFHKNVEYWQQDKWTGCFPLKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKMEQGLKMIKIFKDHSSKTCILDDFGFYEKRQKIIQEKKAKQQLFQKQVLDGKLEDEKREMANGSHESLETVTDVMKEPTSTAQSNGDMKHSQNASVAKTVDALKASEPLASEEQNAANGIAGGC >Manes.14G012900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:846977:851797:1 gene:Manes.14G012900.v8.1 transcript:Manes.14G012900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPSSEKAAELLQNLSLDSQPKTLEIPEPTKKTSLYQYGSVESAVSANGQIQPYERSVTPFVPDLMDPSVCYIPNGYPSPAYYYGSYNGTGNEWDDYSTYASTDGVEMTGVYGDNGSVMYHNAYGYAPYGPYSPAASPVPTLGNDGQLYGPQHYQYPPYFQPLTPNSGPFNPSQAASSQEELSSSTAPDQKPLPAETTKGNSSSIANGGAKGNNGSTPVKPTYQNLSMNMNDTNGRGILPGGLPPSGYQDPRFSFDGKYRSTSITSSYSKGNHVPSSRNQNFRQNSNYMGLHQPISMSGMGSTHGFMNGMYQNKFYGHYGNTFRSVAGFGPTGYDSRINSNGWLAVDSKYKPRGRGNVYFGFRNESIDGLNELNRGPRAKGFKNPKGFAPVTLVVKGQQVPPSEPVNDEKDELPTIPDREQYNRADFPEDYTDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYQEAQQKSGGCPVFLFFSDKWTGCFPLKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKMEQGLKMIKIFKDHSSKTCILDDFGFYEKRQKIIQEKKAKQQLFQKQVLDGKLEDEKREMANGSHESLETVTDVMKEPTSTAQSNGDMKHSQNASVAKTVDALKASEPLASEEQNAANGIAGGC >Manes.11G001400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:278596:281419:1 gene:Manes.11G001400.v8.1 transcript:Manes.11G001400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSKDQVTGIPITRSAKPVQKMPRFLLKNTSPCYIPTPANKSLTVKQDKVDSLLKRMNKLGKKADKFAHGIREHVRLGTKITETLKGKLSLGARILKVGGLKKVFRQLFTVREGERLLNACQCYLSTTAGPIAGLLFISTDKIAFCSERSIKLSSSEGKMVRIHYKVLIPLRKIKTANQSENIKKPSQKYIEIVTVDDFDFWFMGFFNYQKAFMGLQQAISQNWMNTSHPNV >Manes.11G001400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:278596:281419:1 gene:Manes.11G001400.v8.1 transcript:Manes.11G001400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSKDQVTGIPITRSAKPVQKMPRFLLKNTSPCYIPTPANKSLTVKQDKVDSLLKRMNKLGKKADKFAHGIREHVRLGTKITETLKGKLSLGARILKVGGLKKVFRQLFTVREGERLLNACQCYLSTTAGPIAGLLFISTDKIAFCSERSIKLSSSEGKMVRIHYKVLIPLRKIKTANQSENIKKPSQKYIEIVTVDDFDFWFMGFFNYQKAFMGLQQAISQNWMNTSHPNV >Manes.10G026700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2631158:2634220:1 gene:Manes.10G026700.v8.1 transcript:Manes.10G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFIFYVSFRISHFRFRKSNNMGCSLWLSQLLCLLFFLLHFQAASSPFSSSNLSSSHVLCHLHQSHALLHFKNSFSITSSASMDSRLHDVPYPKTETWEEGSDCCLWDGVTCDLQTGYVIGLRLSRSMLFGSFHPNNSLFLLSHLQFLDLSNNDFNSSSISPQFGGFFNLRHLNLNNSGFAGQVPSKITYLSNLVSLDISFNDLTLEATTFNRLAKNLTKLRELILDEVNMSMVEPIWLMNLSSSFSSLYLNDCGLQGEFPRNLLQRQKLRVLDLWGNHDLIVCLPRSNWSSSLDVLFVSYTKITIHLDGDLINNLRSVKMLGLSGCSFVGSNVSWFGNLRQLSRLYLSSNNFSGHIPSAFGNLEHLTHLALSSNNFDGQIPPWLLNHNQLIGLFLSNNKLIGTIPNSFANLATLQHLDLSSNRLVGPIPSQVRRLSSLIVLDLSNNLLNATIPSSLLNLPHLQFLFLNSNLFMGRIRRFQHNSLIHIDLSNNKLEGAIPISICKLKQLQVLHFSNNSFSGSIPHCLGNFSNNLLALHLGMNSFQGSIPTFSKDNSLRYLNLHGNQLGGRIPRSILNCKSLEVLDIGNNEIDDTFPVFLETLPQLQVLVLRSNKLHGFLKAASANYSFSKLRIFDMSNNNLSGPLPLEYFYSFEAMIVSDESFRYMGITGFYRYTYSVDVTWKGSGIELRIQTMFTTIDLSANKFTGNIPWSIGKLVSLKQLNLSHNYLTGNIPPDLGKLINLESLDLSSNTLTGKIPTDLVDLIFLSVFRVSHNQLAGRIPLGKQLDTFDSSSYEGNSGLCGFPLKKACEDGERQPTTLSEEGDSESENGFGWKAVLIGYVCGFIFSVTMAHLMFRTRKPIWVVKMVEAKTKTYRCRGKN >Manes.07G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:844901:848151:1 gene:Manes.07G006700.v8.1 transcript:Manes.07G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQHPPKHLLILFIVLTFFTCCFGSYQQNPFANFHENVHKMISKPAFSSFSKQTRASSSTTSSSKIVLINVDDFGAKANGRDDSEAFKKAWEKACSSKQTAIIIVPKNKIYHLKPLTFSGPCRSDLSFKIYGTIKASLKMRDYEDDRRHWIVFDNVQNLRVKGGGIINGNGRMWWRNSCKINKSKPCKHAPTAVTFIDCKNLIVSNLWFKNAQQMHLTFQNCINVRALNLMVTAPGNSPNTDGIHVTGTQNIRIRNSVIRTGDDCISIVSGSKNVEATDIICGPGHGISIGSLGAGNSGAEVSNVLVNRATFSGTTNGVRIKTWQGGSGYAKNIIFQNLIMKNVSNPIIIDQNYCDQDDPCPEKKSAVQVSNVIYRSIKGTSASEVAMKFDCSESFPCQGILLQDIILGNVEDEPAKASCLNVNLAHRGKVYPQCS >Manes.07G006700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:844901:848151:1 gene:Manes.07G006700.v8.1 transcript:Manes.07G006700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQHPPKHLLILFIVLTFFTCCFGSYQQNPFANFHENVHKMISKPAFSSFSKQTRASSSTTSSSKIVLINVDDFGAKANGRDDSEAFKKAWEKACSSKQTAIIIVPKNKIYHLKPLTFSGPCRSDLSFKIYGTIKASLKMRDYEDDRRHWIVFDNVQNLRVKGGGIINGNGRMWWRNSCKINKSKAVTFIDCKNLIVSNLWFKNAQQMHLTFQNCINVRALNLMVTAPGNSPNTDGIHVTGTQNIRIRNSVIRTGDDCISIVSGSKNVEATDIICGPGHGISIGSLGAGNSGAEVSNVLVNRATFSGTTNGVRIKTWQGGSGYAKNIIFQNLIMKNVSNPIIIDQNYCDQDDPCPEKKSAVQVSNVIYRSIKGTSASEVAMKFDCSESFPCQGILLQDIILGNVEDEPAKASCLNVNLAHRGKVYPQCS >Manes.07G080422.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24442578:24454760:1 gene:Manes.07G080422.v8.1 transcript:Manes.07G080422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTLYLLLLFAFTSEARANTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQYSKNSWVTLRYLDRLTVFGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDNKQFHVNVLRSKNVTFQQFSVKAPGHSLNTDGIDIGQSEGINIIN >Manes.15G186300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26717872:26720993:1 gene:Manes.15G186300.v8.1 transcript:Manes.15G186300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETLSSNDFLNFIIYDTVSATSYGSQDALETNLFWESSLKSQDHGGALSSCSLKTQQRRSREPEAVDWKQNLAVQGRKKRSRKPRVCKNKEEAETQRMTHIAVERNRRKQMNEYLAILRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEAQKIQLLHGLKGSSEDPTISLGTYNSQLLPPFAQFFVYPQYTWSQIPNKYTSKAKAAIADIEVTLIESHASLRILSRKRPRQLLKLVTGFQTLCLTILHINVTTMDLLVLYSISIKLEEECQLTSVDDIAGAVHHMLRIIEEETSLC >Manes.10G063500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8968136:8971046:1 gene:Manes.10G063500.v8.1 transcript:Manes.10G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKTRKLSDEYEVSEILGRGGFSVVRKGVRKSGETSHHVAIKTLRRLSPSGTPRSNFPTWKQVSISDALLTNEILVMRKIVENVSPHPNVIDLYDVYEDQNGVHLVLELCSGGELFDRIVARDRYSEIEAATVVRQIAKGLDALHRANIVHRDLKPENCLFLNEREDSTLKIMDFGLSSAEEFTDPVVGLFGSIDYVSPEALSQGRISSKSDMWSLGVIMYILLSGYPPFIGQSNRQKQQMIIAGEFSFYEKTWKNITSSAKQLITDLLQVDPTRRPSALDVLNHPWVMGELAKEEQMDPEIMTRLQSFNARRKLRAAAIASVWSSTIFLRTKKLKSLLGSHDLKEEEIENLRLHFTKLCAKGDNATLSEFEEVLKAMKMSSLIPLAPRIFDLFDNNRDGTVDMREILCGFSSLRNSQGDAALRLCFQMYDTDRSGCITKEEVASLLRALPEDCLPADITEPGKLDEIFDLMDANSDGKVTFDEFKAAMQRDSSLQDALLSSLRQQ >Manes.01G159600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34491728:34498607:1 gene:Manes.01G159600.v8.1 transcript:Manes.01G159600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRWLLVFVTGFSVGALAVVALEALGVYVFFKRLNRKIRQQEAQLSSDSSHKDLDPQQSLDYLYNKKGVVWVLEPDKVPRNWAGDKMPKEQKKKKDVFEVNPVKKYAKIKDRFLILTNLDGSPHAAFPLKGCIVEAVSATELSSRKWAKRFPIKVESKASVIYNASKTVYLYLETSWEKESWCKALRLASCDDKERLNWFTRLREEFHCYLASLNTGYPSFMKPSAGFNAEPLDRVSKLDVSASKFRLLLKKLARKASKTSVENRGTSSPGHEEKKINDKNQSFQDPILSTSSAKTAPTSKAVLCSEENMAVIPSSTFSCSASQNPISVVSEVDSDDKFNTDEGTLCWNLLFSRIFFDAKSDAKIKSSVQAWIQRKLSNMRTPNYIGEVVCTDIGLGSLPPYIHGIRVLPMDMNEVWALEVEVEYCGGLVLDIETRLEVQNLQKDMVDTNSESSSVGDVSADLLEGFEYFGEQLNLPEGSADSQDRRNEENHKLDGLKDSTSYLSASANVSRWKSILNSIAKQVSQVPLSLSIRVASLRGRLRLHIKPPPSDQLWYGFTSMPDIEFDLESSVGEHKITCAHIALFLINRFKASIRETMVLPNCESLCIPWLLAEKNDWLPRAAAPFMWLNREASSDHAAACDSLGCQSHEAKPKEDSHGRASNYDPESKHLKNTECAHQSVSDSSNALESSLQELTSPLLANFEPREISQQSRGCMSERQSPSRSLTIAEKHNHTAEEHDLRPKRMGRRARMLDLGKKMGDKLEEKRRHIEEKGRNFVEKIRGP >Manes.08G116111.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35564792:35566528:-1 gene:Manes.08G116111.v8.1 transcript:Manes.08G116111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANPCTVVQRRVANCRLNDSRVTFKMLQPLLHSYSHSTISCLAHDMSPNFVLLLHCCTKEIIRAISYSNEINVLLIGYLDILILTRIFCPISLHFFSGSTFKKGSLTVLSCINFSHIYFFQAVQPYL >Manes.03G135801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25924760:25926359:-1 gene:Manes.03G135801.v8.1 transcript:Manes.03G135801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNKKLRSRILSTLINGKIHLPHFISHFIVFLCGLAFGIAIPSWHSKSISLNFQLNPSLNQTQTLPSPHKLLPLEMLLLNRTSNSTGWKNLFKPPTSVYHDMEEEELLWRASMVPNVGNSTLPRAKKVAFLFLTHGPLPLAPFWDLFFKGYEDLYSVYVHSNPLFKGTVPSNSVFYGRMIPRSKVVQWGTFSVVEAERLLLANALLDVSNQRFVLLSDSCIPLFNFSTIYNYLMSSSKSFLEVLDVPGLVGRDRYNPRMSPTIQFHQWRKGAQWFQIDRDLAHELISDLNYFSTFQRFCNGLCFGDEHYLPSFVTVRLWRKFSNRTSTWINWSMGGAHPFGFGEMETTRENLEWLRSYGNEGCEFNGGTNICFLFARKFLPSSLDSLMRIAPHPGGKCIL >Manes.07G126900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32947769:32950115:-1 gene:Manes.07G126900.v8.1 transcript:Manes.07G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLDWKAKMVSSDLPNKSPKLSNKLHVMIPSTTTFRGVTNISPVPASDSSCSAYEHYLRLPELRKLWTCKEFPDWKNESILKPALQALEITFRLVSTILSDPRPYANRREWKRRLESLATSQIQLVAILCEDNEEDGDTRGTAPVFDLRSSNGILGRDGSYAEVWKVSPETTVVNLTSEASLLPLLATWQKSEDIAQKILYTIECEMRQCPYTLGLGEPNLAGKPNLHFDAICKPSDVHSLKKNPYDQIDNHENQTLYTIHQILESWIQVAKELIKRVIERIESKKFDKASSDCYLLERIWKLLSEIEDLHLLLDPDDFLRLKNQLLMRSLDETEEFCFRSRALVEITKSCKDLKHKVPEILGVEVDPKGGPRIQEAAMRLYSEKREFDKISLLQGLQATEAALKRFFYGYKQLLVVVMGSLEAKGNRILATSETCDSLSQLFMEPTYYPSLDAAKTFLGEFWSHEQDGSEKRNRRT >Manes.02G041900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3436636:3440135:-1 gene:Manes.02G041900.v8.1 transcript:Manes.02G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNYNTKYQQQNQATNPSKFYSHFLYKALVVTIFLVILLLFPSQAPEFINQTLNTRGWEFLHLIFVGIAVSYGLFSRRNDEAEKDNNNLSKFDNAQSYVSRFLQVSSVFDDEADSPSRSDGSTIQTWNNQCYRNEPVVVVADENSVLNQEQRGASSRIGEKPLLLPVRSLKSRVLEADGNETSKESSSSGVFASISRSSSNLGSKRISSNSVKSRNGEFGSSHHQDLEEKLKDNVVLPSPIPWRSRSGRMEMKETKEEDDSPHMYAPFPSMEEPEFNKSFRSKVSRSTRPNSTNSSPKLSPSPSLSSPRKLSPSPSFAAEAEGKSAEDFVRKKSFYRSPPTPPPPPPPLPQLIRKTQSMKPSSGATNGGDKILGEKDLKRSYTSQTKELNRGGGFSIPTSVRTTRNQDSYPGKEFDDMINTKSEKRFNEGRKTVGFDQSSFKTERLNRDRVTFMSQPSFREYPKEENEEFVEKLVSESEEDLETEDEDDDDIVGNCFVSGTGTGTGTAASSNNEEAASSTASDGGPDVDKKADEFIAKFREQIRLQRIESIKRSSGQISRKASRIS >Manes.15G093400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7288534:7289910:-1 gene:Manes.15G093400.v8.1 transcript:Manes.15G093400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSILNTQIPGKDFIRVASVAAASAMLIVPSIYRIQKYFFSSLTEEQTRVIEDDQEWGSLNEEFRAADIYLSTIMASSFQRLRVMKDREMKKLASSLDINEEIVDVFENVQVKWRLKFRYVEATEYRREHYIRCYELSFQKKYQETVVNSYLPYILEKSKAIKEECKAITLYQVGSEYTVDFDHPMTFENIAMDSEIKAMVLNDLNTFRNIGKECYRSNGKAWRRGYLLFGPPGTGKSSLIAAMANHLKYDIYDFELSLLEKNPEFFFDSMVSNSSSESMLVFEDIDSIIKQQNSESEDQPGNSGHYLETLLTLLNDSWSCCGDGQIIVLTTSHMEMLEPGILKPGLIDLQIHMSYCSISAFNQLAFNHFGIQNHRLFDDIERLLQKVEITLAEVAAELMKSQDAEVALQGLVRFLHNKLAEKENLQN >Manes.S001635.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:4280:4654:1 gene:Manes.S001635.v8.1 transcript:Manes.S001635.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.05G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8749578:8752855:-1 gene:Manes.05G095700.v8.1 transcript:Manes.05G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGDWLYSCTKCFQKPSTSASDSSAEEKQGNSLSSSSSSSDNNSKIIQKKKPGGWRAMPYILGNETFERLATFGLLANFMVYLMRVFHMEQVSAANVINIWSGITNFAPLIGAFISDAYVGKFRTIAFASCAAFLGMLTVTLTAWLPYLHPPKCHPEEGHPLVHCKSPTAVQLGVLLMGLGLLSIGTGGIRPCSIPFGVDQFDPTTEDGIKGINSFFNWYYTTFTVVVLITLTVVVYIQDSVSWVLGFGIPTVLMLCSIVVFFIGTRIYVHVKPEGSFFSDIAQVFVAAYRKRRVKLPDDDGGQEVDGVFYDPPVKNTVVSKLPLTNQFRFLNKAAMIEKNDLNPDGSCANQWRLCSIQQIEEVKCLFKITPIWASGIVSLTAITQQGTFTVSQALKMDRHLGPKFQIPAGSIGIISMITVGIWLPFYDRIIVPALRRITKHEGGITLLQRIGIGIVFSILAMVVSGLVERDRRAVANDGAHIMSVMWLTPQLVVMGLCEAFNIIGQIEFYNKEFPEHLRSMANSLFFCSFAGSSYLSSLVITVVHKTTRTKDHPDWLTEDLNAGKLDYFYFLLAGMGGLNFLYFLLCAGRYRYKISSNVKIEDESYHDVELSLSKKIPS >Manes.15G158100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13043354:13051121:-1 gene:Manes.15G158100.v8.1 transcript:Manes.15G158100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVETLRAPYFSITLPERFELDAFDLEILEDTSEDNVLPHEEITLKDGISKTGEIVPYFLAKYHCDEFTACKDTFSMGYTPNEAIVSSHLIDFNMEVGISSSNLEASIKKLKGSSSSLHESIEIEMVAAVEEEPPNPVKSIGEDHPNSGECTTRVLEVVDSGEMHADSSMPELWHNSVNQEACLNIIGEVEEEPENSSKTFGKHHQMDGQMIKLPDMVQSDNENIEVIKEDNLSDIETSVEKLRDIVSQEECRDMEIFCLAEPSAHGGTFDKEHQSDAELKKLLEVEGPPEKSIPFTEEHMSGEPKILSGILSPGNRTHEVFTEAYPLSISLDTTPQSKFPNAAGVTAPEFFVVPTPAAREGARVPRKRKCIFDDVIVFPNNVIKQYIEDASNLVCKRKKTPHTALAAWRAHQVSSLPQSFLEPLIPCTSSELRSLFCAKKLKVPESVDIEKLSEKLTSECPTVETLEKFDESRSCTNGRMVENTKSLEQLNVSAFPAVGRLIETVEPPEKLDVPKSPTAGRSLEQMSIAPETPVLQRKSLRSFESPEVLHRVGLDSDIAQREPSTSREQELDLSLMNEEINLFEGQNQDQYGWSERTRVVVRCLCRSFLNQKNRKEDEVVNLQQLLEGRSKKESARFFYEILVLKSKGYVQVKQENAYGDILIWKASQWEQICVADCAETAVETMHFLQHA >Manes.15G158100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13043354:13051121:-1 gene:Manes.15G158100.v8.1 transcript:Manes.15G158100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHCFLSRKGPLGAIWVAAYCFKKLKKAQVTQTDISSSVDKILQDEFDVVTYRVLAYLLLGIVRIFSKKVEYLFNDCNKVLLKIKEFVISNKDMALVETLRAPYFSITLPERFELDAFDLEILEDTSEDNVLPHEEITLKDGISKTGEIVPYFLAKYHCDEFTACKDTFSMGYTPNEAIVSSHLIDFNMEVGISSSNLEASIKKLKGSSSSLHESIEIEMVAAVEEEPPNPVKSIGEDHPNSGECTTRVLEVVDSGEMHADSSMPELWHNSVNQEACLNIIGEVEEEPENSSKTFGKHHQMDGQMIKLPDMVQSDNENIEVIKEDNLSDIETSVEKLRDIVSQEECRDMEIFCLAEPSAHGGTFDKEHQSDAELKKLLEVEGPPEKSIPFTEEHMSGEPKILSGILSPGNRTHEVFTEAYPLSISLDTTPQSKFPNAAGVTAPEFFVVPTPAAREGARVPRKRKCIFDDVIVFPNNVIKQYIEDASNLVCKRKKTPHTALAAWRAHQVSSLPQSFLEPLIPCTSSELRSLFCAKKLKVPESVDIEKLSEKLTSECPTVETLEKFDESRSCTNGRMVENTKSLEQLNVSAFPAVGRLIETVEPPEKLDVPKSPTAGRSLEQMSIAPETPVLQRKSLRSFESPEVLHRVGLDSDIAQREPSTSREQELDLSLMNEEINLFEGQNQDQYGWSERTRVVVRCLCRSFLNQKNRKEDEVVNLQQLLEGRSKKESARFFYEILVLKSKGYVQVKQENAYGDILIWKASQWEQICVADCAETAVETMHFLQHA >Manes.18G078350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7162775:7166218:1 gene:Manes.18G078350.v8.1 transcript:Manes.18G078350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHSYMFFLLISLIISDNIILISSSASSCSETEFSSFFNTITSLNSKSLNSQKNQSASRPRKSSNACKIWTKACSQVVLDLARRPETVSWLKSVRRKIHENPELAFEEVKTSELVRNELDKMEIEYKYPLAKTGIRAWIGTGGPPFVALRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVAMLLGAAKILKTREHRLKGTVILLIQPAEEAGNGAKRMLGDGALQDVEAIFAVHVSHELPTAIIGSRPGPLLAGCGFFRAEISGKKGGAGNPHHSVDPILAASGAIISLQSIVSREANPLDSQVVSVTSMDGGSNFDMIPDTVVLGGTFRAFSNTSFYQLLRRIKEVIVEQASVFRCSATVDFFEQDYTIYPPTVNNDRMYEHVRTVAIDLLGPTNFRVVPPTMGAEDFAFYSQIVPAAFYYIGIRNETLGSTHTGHSPYFMIDEDVLPIGAATHAAVAERYLIEHCYDGDSRK >Manes.11G124000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28569857:28571026:-1 gene:Manes.11G124000.v8.1 transcript:Manes.11G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDYDIFTEILCLLSVETLLRFRCLSKTCCSLIDSPEFINLHLNRFTKTSTNSTLIIDEIEPDGSIYAIDLDSSQSDRCPGEFHRPFDSYFDTLLSHAYTADDRWDCKFYGDVFGSCNGLLAMYNGERMILWNPSTRKHRTLPRFWGCSHCEYELLRGFGYDAVNDEYKLIVLIQPHMEDNVRVVVYSLKANSLTKIKHLHDYSIIHKCNTRPNIGVLVGGSLHWVVKLKGNINGRVILAFDLVEEKIYELPIPDMNNEYCCLPVEELGGSLAICGSRADWIFEIWVMKEYGKMETWTKIFHLSSSFLDKIPRRYPYYSYMKALCCLRTKAGDDVLLLYNLDGKCFFLYDMQQKSAEKPAIFGSSVQHKIICNRISANSFIRSLVPVS >Manes.01G108000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30715579:30717611:1 gene:Manes.01G108000.v8.1 transcript:Manes.01G108000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTISSVNLTNASSSRSNSTSTVPTKSVPFKLTTPSLTQNPRLLLSKTSSFNNFSVPKRSFLCRSQAASSDDARPAKVQELCVYEINERDRGSPAYLRLSKKEVNSLGDLVPFSNKLYTGDLKKRIGITAGICVLIENKPEMKGDRYEAIYSFYFGDYGQIAVQGSYLTYEDTYLAVTGGTGIFEGVSGQVKLQQIVFPFKLFYTFYLKGIKDLPEELLSNRVDPSPAVEPHPDAKACHPHAVVPNYTN >Manes.01G058700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24728518:24732879:-1 gene:Manes.01G058700.v8.1 transcript:Manes.01G058700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFCEDKSRNMVSSSSRGFCYSDASSNNPTIQTHLRNQIQGFETNPEIFNLTTGMEMIGFTRNLQQQQSESNTAAMWKGFFNKPGTNNSAGGPSSSKTINESTTDFYQHEFNKPDFPTGISETSNENLVVGPDSSAPWQEHRLLVDDSSLRCVFPCEANERPSQGLSLSLSSSNPSSIGIQSFELRHTSNHQNHDNPQEEMRFINSSSRDGFFGKLSAANIQQQQMMPDGLLAKTANLHHQGQFQIRNSRYLGPAQEILNEFCSLGTTQTDQPRPKSHKPKEWDDENGNSSSSSSRKQSLHSLEFMEMQKRKTKLLSMLEELERRYRHYCDQMKAMVSSFEAVAGAGAATVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPVAPGTTRGETPRLRIIDQTIRQQRAIQQMTLMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNMTSSDGVTDLEDNDGRPLPNPSSTDQKPTPDQLIRINSDCLSSIISNPDRNETSKGSKTFQNHHLHPQQQSFGAFGAVELDFSSYNHHTVGGVSYANDHSANQNFNGGVSLTLGLQQHGESGVSLAFSPASQSSLFYPRDHIDDCPPVQYSLLDGEAQNLPYRNLMGAQLLHDLAG >Manes.01G058700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24728518:24732907:-1 gene:Manes.01G058700.v8.1 transcript:Manes.01G058700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFCEDKSRNMVSSSSRGFCYSDASSNNPTIQTHLRNQIQGFETNPEIFNLTTGMEMIGFTRNLQQQQSESNTAAMWKGFFNKPGTNNSAGGPSSSKTINESTTDFYQHEFNKPDFPTGISETSNENLVVGPDSSAPWQEHRLLVDDSSLRCVFPCEANERPSQGLSLSLSSSNPSSIGIQSFELRHTSNHQNHDNPQEEMRFINSSSRDGFFGKLSAANIQQQQMMPDGLLAKTANLHHQGQFQIRNSRYLGPAQEILNEFCSLGTTQTDQPRPKSHKPKEWDDENGNSSSSSSRKQSLHSLEFMEMQKRKTKLLSMLEELERRYRHYCDQMKAMVSSFEAVAGAGAATVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPVAPGTTRGETPRLRIIDQTIRQQRAIQQMTLMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNMTSSDGVTDLEDNDGRPLPNPSSTDQKPTPDQLIRINSDCLSSIISNPDRNETSKGSKTFQNHHLHPQQQSFGAFGAVELDFSSYNHHTVGGVSYANDHSANQNFNGGVSLTLGLQQHGESGVSLAFSPASQSSLFYPRDHIDDCPPVQYSLLDGEAQNLPYRNLMGAQLLHDLAG >Manes.01G058700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24728518:24732879:-1 gene:Manes.01G058700.v8.1 transcript:Manes.01G058700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFCEDKSRNMVSSSSRGFCYSDASSNNPTIQTHLRNQIQGFETNPEIFNLTTGMEMIGFTRNLQQQQSESNTAAMWKGFFNKPGTNNSAGGPSSSKTINESTTDFYQHEFNKPDFPTGISETSNENLVVGPDSSAPWQEHRLLVDDSSLRCVFPCEANERPSQGLSLSLSSSNPSSIGIQSFELRHTSNHQNHDNPQEEMRFINSSSRDGFFGKLSAANIQQQQMMPDGLLAKTANLHHQGQFQIRNSRYLGPAQEILNEFCSLGTTQTDQPRPKSHKPKEWDDENGNSSSSSSRKQSLHSLEFMEMQKRKTKLLSMLEELERRYRHYCDQMKAMVSSFEAVAGAGAATVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPVAPGTTRGETPRLRIIDQTIRQQRAIQQMTLMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNMTSSDGVTDLEDNDGRPLPNPSSTDQKPTPDQLIRINSDCLSSIISNPDRNETSKGSKTFQNHHLHPQQQSFGAFGAVELDFSSYNHHTVGGVSYANDHSANQNFNGGVSLTLGLQQHGESGVSLAFSPASQSSLFYPRDHIDDCPPVQYSLLDGEAQNLPYRNLMGAQLLHDLAG >Manes.01G107500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30665839:30677604:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30665904:30677646:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30643718:30677208:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30666119:30677605:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30643718:30677208:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKVLSHLGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30666131:30677541:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30643718:30677213:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30665839:30677604:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKVLSHLGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30643718:30677213:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKVLSHLGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30665905:30677212:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30665904:30677646:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKVLSHLGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30666131:30677211:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKVLSHLGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30666119:30677605:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKVLSHLGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30665905:30677212:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKVLSHLGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.01G107500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30643718:30677208:1 gene:Manes.01G107500.v8.1 transcript:Manes.01G107500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGYMSGQLFGQTPNYPFSKFANLSQFRENALNRYPTNDLTSLSDWRKNPRISCFRDLVREKIAESFELEENHQVQERESNLVNVLENKLFAEAASEEEYMNLDVLRERLQNLFQKNIVDLNCSQQVSSYSDPTHEVLPTLGFTQSCNSDAVYPPYDHFNNDFTGYDPMVAGRNDQFCFLKVLSHLGLLDNGNKLGVASINCDSHMWQISNELVLSHERSSVKTASSERGSTITTSSSGDKYSEIGAFKVGPFFSKGDCQYSQEVSSKDSFQQHNLPHGVSNDLGFPAMAFSPTLRESSEFPGSGFQMLEHVPQLNSEETIFPSMDFSISSIAFCMGNSTLDNIVQPKSHIQEQKHYASYEQFNKPLEMSGKIVPIDSHFPSEQLDGVQNASESSSLLSSSNGIAGPEYPSDHLKFSAEQKTLRAYSSWKSPASPADEYNNSKCHQSSQNMYHDLLMGSSNTGTGGSGSCLSENMLPPTKRLKPSSVCSEKLLPPSKAQKIETSSCLLSSESGIVSISSILAPLMVQPPFPKGLPALLQLPESPVSINSEVLAGNIGFSKPMQNHSSVDQIEYSEPVQNPSSLDQIRNSAGDNFLRMNVESLHTPSEEPIIRPRSQEMDSSSFGEIADAVKDKSDKLCFNSSPALSDELGATEEVIQVMSNFDHAKPDLETRKEEEMKQDKPKIQGVSLIDFFSTDEIKDHISSLQQWIGKKMSKGEKEKQVMHCANDNTCQLCTADKLLLAPVPIYCSCCGSRIKCSVNYYSTAEENGMQHCFCTSCYRDSRGGSITFFGITILKAKLVKKKNDEEIEESWVQCDKCKYWQHQICALFNDIRDMEGKSEYMCPKCCLDERERGEPLPLPRAAVFSAKDLPTTLLSDYIEQRLLRRLQQEKEERAKALGKHLDEVPGADHLVVRVVLSVDKKLKVKQQFLETFDNGNYPNEFPYRSKVILLFQKIEGVDVCLFGMYVQEYGSECSRPNHRCVYISYLDSVKYFRPDTETAAGEALRTVVYHEILIGYLEFCKKRGFVACYLWACPPLKGEDYILYCHPDIQKTPKSDKLRQWYHSMLRKAAKENIVVDFTNLYDQFFVPTGHLYCKITAARLPYFDGDYWSGAAENIIKNIEQQNGEYSGRRVKKVMTKRTLKALGHTNPSGGVTKDIILMQKLGQTIFPFKEDFIVVHLQFVCSYCHEVIFYGWRWCCNHCKNFQLCERCHDAEQGLNGGETHAISSKEKHLLTKVMVDNIPSDIKDEDVILDNWLFENRHTLLGFCHKNHYQFDTLRRAKHSSMMILHHLHNPTIPTTGTTCQICQLDTDTMDRNVCSTCSNKKFGSLHIRKSTYHYYAANCENESISAQQKMLKLKELLDVLLHASRCEATSSDPCSYPNCLQMKRLFCHASKCPIRFSRGCVHCKKVWYILKLHARNCEQTDCCVPRCRDLKIRREVLALQSETYGKRQLQEHSANTRI >Manes.04G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30483025:30485820:1 gene:Manes.04G100300.v8.1 transcript:Manes.04G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVCLISALLLSVQLVIAKAAIAKPNCTDHCGNIDIPYPFGMGSKECYFDEWFEIECNTSVHPPRAFLSRLKLELIDLSLGHVVSVKSPIISSNCSGRESDEPIDLTKSPFYISKGNSFIAAGCNSRALLMDEPLLSVGCESRCHGKDIDLQEMLPEIITTNDVVQYNIRSDCNGTDCCKIQLPSAIQVFNPSLVQGTGGCKLAFLVYEDSFPMQLDWNINSTLMKAVDRETAECSKWKTGYDPKLICDCKIGYEGNPYIGCTDINECESNYHYCQKLTKCVNTRGSYKCVPDPKWVVIIVISGFVGVLAIPYACWRLYKLIKKIRSILLQRKFFKRNGGLLLKQKLTSGNSSVQQTKIFSSKELEKATDHFSENRILGQGGQGTVYKGMLTDGRIVAIKRSKLLDEGKVQEFINEVVILSQINHRNVVKLLGCCLETKVPLLVYEFIPNGSLYHYLHDQSEEASLPWEMRVRIATEVAGALAYLHSAASIPIYHRDIKSTNILLDEKNIAKVSDFGTSRSIAIDQTHLTTRVQGTFGYLDPEYFQTSQFTDKSDVYSFGVVLVELLSGKKPIFSSSSQEIMSLASYFILLMEENKLFDIIDPRIVEHCCKEEIIEVANLAKRCLISNGKKRPTMNEIAMELKGIQASEIKLNISLKL >Manes.15G120601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9572079:9575422:-1 gene:Manes.15G120601.v8.1 transcript:Manes.15G120601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGKDRDLAGKLQSLYYYGTFQGVANYYPPAPQPPSHTVVGFPQSVAPVNPQYDSHGYSNVASGYEVIEERPLREHRLPFCGMGMGWFSFIIGFFLGGIPWYIATVILLCVQVDYREKPGLVACAIATIVVMIAVGVKSGALTW >Manes.15G120601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9572087:9574807:-1 gene:Manes.15G120601.v8.1 transcript:Manes.15G120601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEGKDRDLAGKLQSLYYYGTFQGVANYYPPAPQPPSHTVVGFPQSVAPVNPQYDSHGYSNVASGYEVIEERPLREHRLPFCGMGMGWFSFIIGFFLGGIPWYIATVILLCVQVDYREKPGLVACAIAVDYCCHDCCWRKKWSTYLVRKTRLYI >Manes.14G113800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14147938:14171368:1 gene:Manes.14G113800.v8.1 transcript:Manes.14G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNISLSLTRCSTLKPIPPPPSPPPLADTHLDLDLDLDATPLCSASVKTLVNDCNWDAKLKLPIVDSCNGDSIRVVRRSRGVAKKGPSGKCLNDYVGAWVQKKMDSGVPQSRCSLPFLVGSKKIVECLVCHGFILPGEEVICTVRNCEGVYHLLCAKEKLGVSNLEKFKCPQHACFICKQKLHWRCVRCSIASHDKCGPWPDKVIHLINQQGRAVCWRHPTNWRLDKKHMLPTRDIEEVFCRLPLPYIDEEFKIDLTWKGVMENKLEPPPYVHIRRNVYLVKKKRDVGDNDVGCTNCTSTCCADCVCRVQCISCSRACRCPENCTNRPFRKEKKIKIVKTEFCGWGVEAAEPISKGDFIIEYIGEVIDDALCEQRLWDMKYKGVQNFYMCEIRKDFTIDATFKGNTSRFLNHSCDPNCILEKWQVEGETRVGVFAARSIKVGEPLTYDYRFVQFGPEVKCYCGAPNCHGYLGTHLGTKRRIAKLNFCWGAKRRRSSSSCLAVVTI >Manes.14G113800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14147938:14171368:1 gene:Manes.14G113800.v8.1 transcript:Manes.14G113800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLGNISLSLTRCSTLKPIPPPPSPPPLADTHLDLDLDLDATPLCSASVKTLVNDCNWDAKLKLPIVDSCNGDSIRVVRRSRGVAKKGPSGKCLNDYVGAWVQKKMDSGVPQSRCSLPFLVGSKKIVECLVCHGFILPGEEVICTVRNCEGVYHLLCAKEKLGVSNLEKFKCPQHACFICKQKLHWRCVRCSIASHDKCGPWPDKVIHLINQQGRAVCWRHPTNWRLDKKHMLPTRDIEEVFCRLPLPYIDEEFKIDLTWKGVMENKLEPPPYVHIRRNVYLVKKKRDVGDNDVGCTNCTSTCCADCVCRVQCISCSRACRCPENCTNRPFRKEKKIKIVKTEFCGWGVEAAEPISKGDFIIEYIGEVIDDALCEQRLWDMKYKGVQNFYMCEIRKDFTIDATFKGNTSRFLNHSCDPNCILEKWLKERHEWVCLLLVQ >Manes.04G160800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35521694:35525209:-1 gene:Manes.04G160800.v8.1 transcript:Manes.04G160800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAAASPSSSSQLLLRPKIPKLSFPSRLTPLSFPNSPLFGRRALRILFVAAAKSSSNVISNDSSSQKSTSKSPSKDNDKKVVEEEEIEVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGQSSLPWILALPLAYAGITFVIAFLKTLKKFSSPKEKRRKLVSKNAMLCKAVDELFQKGGDALQPSALKVLENKTGFSMEDILRKYIRYALNEKPFNPELVANLIQLRKVSMLDDSKVAEILNDISRRIVKEKGPVVMDMTGYTERGFKRKLAVQILFGKVYYLSELPEFCSRDSSLVVREIFGVTDEDAEKLRLHTLSEAGDMDSLEKMVDGSDSDSEDSDEHSSKAR >Manes.02G027600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2319142:2321573:1 gene:Manes.02G027600.v8.1 transcript:Manes.02G027600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEPMKSKMKGVFSSANKSSLYGKGNVNVEDLEIRPGGMLVQKRNSDSYQNSVPIPSIKIKVKYGSLSHRICISSQASFGELKKILAEQTGVHPQDLKLIYKKKERDSKAYLDIAGVKDGSKIMLIEDITSREKRCLEMLKSAKIEKASKSLQQISLEVDNLQTRVKDLEATASNGDKVAETDADNLTEILMNMLVALDGIAAEGDLKLQKGMLERKVQRYIETLDMLKLQNSKDNGNEVKIAMQKQENSSGKMPIPMQKQPVGPRQKNTTVQLPIQQQLLRHSESFVITTNWETFD >Manes.11G157800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32384457:32388263:1 gene:Manes.11G157800.v8.1 transcript:Manes.11G157800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCRGLGFTGDTKRAQRMATWGIAGRSTSTLMRATWRATTATESACAKIPISALVRPCRPNFTLLKSRISSHSLSGRFVRRELSSLLPVHSAIASACLVSKLPSELSTSAEGRFANYLSPI >Manes.11G157800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32384466:32387884:1 gene:Manes.11G157800.v8.1 transcript:Manes.11G157800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCRGLGFTGDTKRAQRMATWGIAGRSTSTLMRATWRATTATESACAKIPISALVRPCRPNFTLLKSRISSHSLSGRFVRRELSSLLPVHSAIASACLVSKLPSELSTSAEGRFANYLSPI >Manes.04G138000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33747880:33758197:-1 gene:Manes.04G138000.v8.1 transcript:Manes.04G138000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVAAAPAGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNVDPSKAVGNGSVASSSNSNSAKPYLANGGWPEKSYGYPSNDLTFPPGGISSLRLPVVTSNETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPNHCNMLAYSSSRGSIRLIDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGQRVATGSYSNLFRVFGCSPGSAEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAESPGIDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Manes.04G138000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33747880:33758197:-1 gene:Manes.04G138000.v8.1 transcript:Manes.04G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVAAAPAGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNVDPSKAVGNGSVASSSNSNSAKPYLANGGWPEKSYGYPSNDLTFPPGGISSLRLPVVVTSNETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPNHCNMLAYSSSRGSIRLIDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGQRVATGSYSNLFRVFGCSPGSAEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAESPGIDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Manes.06G042100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13074272:13076699:-1 gene:Manes.06G042100.v8.1 transcript:Manes.06G042100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQGLPHLQKIGAMSSLKKLSLRNCGLNDSEFLNQGVCKLKQLQELDISYNDISGGLPSCLANMTSFQSLDLSSNNFVGNISLYPFKRLTNLEYLDFSYNLFQIPISLDPFFNHSKLKHFKSRDNNEIYGEKTEQNLTPMFQLETLSIDGYACNETFPKFLYYQHNLRVASLQSLKLRGRFPYWLLENNTKLEKLYLKNNSLSGPLQLPLHSHLNLSFLDISDNLFHGIIPLDIGTHLSRLELVDLSKNDFNGSIPSSFRNMSLLQILDLSNNDISGSLPSDFSCSNMIGVYLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLGYMILGHNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSLSSGEEIEFTTKRNIYSYQTSMLDYFSGIDLSCNKLIGQIPIQIGYLNEIHVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIHSQLTELDFLEVFNVSCNDLSGKTPEKVKQFATFDESSYRDNPLLCGWPLQKDCATMESSPSISRNSNDTEESNCFIDMEGFYVSFGVAYVMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLGCLSKFKLF >Manes.03G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15010245:15031718:1 gene:Manes.03G081900.v8.1 transcript:Manes.03G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIEEKLDLESDEDISFGSSTVGGKVHSFDFETTNVGSHADVVNSWAFKRIRMCPLRSVYIVLIKAKINLLLPFGPSAIFLHYFTSKHGWIFFLSLLGIIPLAERLGYTTEQLAFYTGPTVGGLLNATFGNATEMIISIYALKSGMIRVVQQSLLGSILSNMLLVLGCSFFTGGIVNHSKVQVFNKAAAVVNSGLLLMAVMGIMFPAVLHSTRTEIHFGKSELYLSRFSSCIMLVAYGSYLFFQLRSQHNLYSSVDEHRDDEQECSDEEEAPEITYWEAIGWLAILTSWISVLSGYLVDAIQGASDSLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSCTQISMFVIPFCVVVGWLMGQPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLVVAASFFVHVDPSNDE >Manes.18G088150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8089534:8091077:-1 gene:Manes.18G088150.v8.1 transcript:Manes.18G088150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADEELFDQMIRDYYESESNTPNSIPPIMISSGPQSILQVILLEATNFEREILEKVLMYIRNMGEPNSLKKWVVMRLQMDDYKASLCKTSWVSTFRCSKVFQHVGDYEYIEVMMNSNNGKPTRVIVDMDFKSHFEVARPTQTYEELINSLPSIFVATEDRLNKIISLVCPAAKASLKEKGLHIPPWRKAKYMQSKWLSKNCKRVSISSNNNMGLEENEEEDTITAAAAAKCCPSIF >Manes.18G088150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8089534:8091077:-1 gene:Manes.18G088150.v8.1 transcript:Manes.18G088150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADEELFDQMIRDYYESESNTPNSIPPIMISSGPQSILQVILLEATNFEREILEKVLMYIRNMGEPNSLKKWVVMRLQMDDYKASLCKTSWVSTFRCSKGDYEYIEVMMNSNNGKPTRVIVDMDFKSHFEVARPTQTYEELINSLPSIFVATEDRLNKIISLVCPAAKASLKEKGLHIPPWRKAKYMQSKWLSKNCKRVSISSNNNMGLEENEEEDTITAAAAAKCCPSIF >Manes.06G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14529382:14531227:1 gene:Manes.06G046200.v8.1 transcript:Manes.06G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPSLVFSLLAAFVLFYFFFPISHNRAIPFSLSPKASRQIALSSSAPPNSPLPPPENSNSATRNNGGFERIEDGLVRARAAIREAVRLQNFSSCKEESYVPGGAIYRNSYAFHQSYIEMEKRFKVWVYKEGEPPLVHGGPVNDIYGIEGQFIDEVESGKSHFLARNPNEAHVFFLPISVASIINFLYKPLITYSRDQLQRLVTDYINIVADKHPYWNRSNGGDHFLISCHDWAPDISLANPELYKNLIRVLCNANTSEGFQPHRDVSLPEIIIPKAKLGPPHGQDVAPTNRTILAFFAGGCHGYIRELLLDHWKHKDNEIQVHESLHKKMKNYFELMGRSKFCPCPSGYEVASPRVVTAIQLGCVPVIISDNYTLPFSDVLDWSKFSVHIPSEKIPEIKKILEGISHRRYLQLQRRVMKVKKHFVLNRPAQPYDMIHMILHSVWLRRLNLRLPY >Manes.14G056900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4833152:4837318:-1 gene:Manes.14G056900.v8.1 transcript:Manes.14G056900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEELAKEAIKHALKALRKRHLLEEAAHAPAYTALSRPIIFQGSEWKEKAENLELELQQCYRAQSRLSEQLVVEVAESRASKAALEEKEAAFTDLQKELAQTRDECSQLKVDLEEKIKALELVVSENHSLRKQLEEMTVKAKNAEAENKILVDRWMLQKMQDAERLNEANALYEEMIDRLKARGLEKLAQQQVDGVVRQSEGGTMSFVESTIPAACKHKITAHDGGCASIMFEYNSSKLISGGQDRSIKMWDTNTGSLSRTLYGCLGSVLDLSVTHDNRSVIAASSSNNLYVWDANSGRVRHTLTGHIDKVCAVDVSKISSRHIVSAAYDRTIKVWDLHKGYCTSTIVFHSNCNALCFSMDGQTICSGHVDGNLRLWDIHTGKLLSEVAAHSLAITSICLSQNGNVVLTSGRDNLHNLFDMRSLEVCGTLRATGNRVASNWSRSCMSPDDNYVAAGSADGSVYIWSISKAEIVSTLKEHTSPVLCCSWSGLGKPLASADKNGIIYTWA >Manes.06G093300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22831679:22835425:1 gene:Manes.06G093300.v8.1 transcript:Manes.06G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLINQNLQTKIVPISFTKFISSVSIIRTLSFHANKYEQQHPVPFLDPFQLLSNYTKSTHHAFKDTKIIHSHLLKTAVLHSDIVIANCLLNRYCNSGAMLYAVKLFDTIPLLNVISWNIMISGYIHNMLFEDSWRFFCSMHFSGFQPNDFTYGSAVSACAALQSPLLGELVYSLAIKNGFYANGYVRARMIDLFAKTSKLDDALKVFCDVSCENVVCWNSIICGAVRNGEYWVALDLFSQMCCKSLMPNSFTFSSILTACATLEEIEIGKGVQGWVIKCGKKDVFVGTAIVDMYAKCGDIGEAVKEFSRMPVHNVVSWTAIISGFVKRDDSISALRFFKEMRMIKEEINNFTVTSVLNACAKPDMIKEAIQIHTWILKTGFYLDPVVQAALVNVYAKLHAIDLSELVFREMEDVKNPGIWSIMISSLAQNKSSQRAIELLQIMLQESLRPDSFCFSSVLSVIDCLNLGRQIHGYILKTGFVFDLSVGSSLFTMYSKCGSIEDSYKVFEHIPVRDNISWTSMISGFTEHGCTNQAFELFRNMLAEGTRPDQMIFIAILAACSGLRSLKKGKEIHGYVFRAGMGREALVGGALVTMYSKCAALKLARKVFDMLPEKDQVSCSSLVSGYAQNGLPEEAVFLFHEMLMSNFATDSFTVSSVLGAIALLNRLDIGTQLHAHIIKMGLDSNVSIGSSLVTMYSKCGNIEDCGKAFDQVDEPDLVCWSAMIASYAQHGKGVEALKMYEQMRKQGIRPDSVAFVGVLSACSHANLVEEGYFHFNSMTKDFGIKPNNRHYACMVDLLGRSGKLKEAEKLIKSMPTEPDALLWATLLAACKLHGEVELGKIAAKMVMELNPSDDGAYVLLSNIYANVGQWEEVQQIRSRMKGAGVRKEAAWSFT >Manes.10G010200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1111665:1112600:1 gene:Manes.10G010200.v8.1 transcript:Manes.10G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEPRSSSSCAACKFLKRRCTPNCIFAPYFRSDEPKKFAKVHKVFGASNVSKILTEVPEGQREDTVNSLAYEAEARLRDPVYGCIGAIALLQRKMAQLQLDLSIARARLARYTANSSSSTVILNDRSRMTTFSELPAACGGLSECFGQNYSEFSQDGLFNDFSQFPHIF >Manes.04G113900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31737774:31744036:-1 gene:Manes.04G113900.v8.1 transcript:Manes.04G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLLHGTLHATIYEVDRLHGGGETHFFRKLMENIEETVGFGKGVSKLYATIDLEKARVGRTRILENEHSNPKWHESFHIYCAHLASNIIFTIKDDNPIGATLIGRAYVPVEELIHGEEIDRWVEILDEDKNPIPGDSKIHVRLQYFDVTKDPNWGKGIRSAKYHGVPYTFYSQRQGCKVSLYQDAHVPDKFVPKIPLAGGKCYEPHRCWEDVFDAITNAKHFIYITGWSVYTEITLIRDSRRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGVLKKDGLMATHDEETENYFQNTDVHCILCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSAMPNGDSQRRRIVSFVGGLDLCDGRYDSPFHSLFRTLDTAHHDDFHQPNFTNGASIEKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDLLVHLRELDDIIISPSPVMYPDDYETWNVQLFRSIDGGAAFGFPETPEDAARAGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFCWSSDGIKPEDINVLHVIPKELSLKIVSKIEAGERFTVYAVVPMWPEGLPESGSVQAILDWQRRTMDMMYKDIVQALRAKGIEEDPRNYLTFFCLGNREVKKSGEYEPSAKPEDDSDYIRAQEARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYQLSTRQPARGQVHGFRMALWYEHLGMLDETFLNPENEECVRKVNQMADKYWDLYSSETLEHDLPGHLLRYPISIASDGDVTELPGTEFFPDTKARILGAKSDYLPPILTT >Manes.18G119000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12506610:12512066:1 gene:Manes.18G119000.v8.1 transcript:Manes.18G119000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTISHLLSPTLLPPPLNIRRKTPTFPLKNSRKFSSSSRTRTSEIQLKVSSSSSENQTVTFAPSPTRKDDDDVDDSDVLPSASDVVRSFYKGINDRDLGSVEELIAEKCVYEDLIFPRPFVGRKAILEFFKKFIDSFSKDLQFAIEDISAEDSLAVGVTWHLEWKGKAFPFSKGCSFYRLEVINGTRQIIYGRDIVEPAIKPGEAALVAIQGVVWLLQRFPQLADQL >Manes.S044916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1351668:1353754:1 gene:Manes.S044916.v8.1 transcript:Manes.S044916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGPNSTEFVVGVEVLTAELDGNISTTKSQSPVHHLETRTSARAQHTNAMPTPCTSVHLTRPSIGINHRIISLNCHQWPWNGPHRARDGPHRVHHAREFFSMLNQEVEVERGLTSLFACYTDAHIWPSACPGPGLAGPPRGVTTHTPLKSLRNKLSCARRKHHNV >Manes.16G004300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:561619:567629:1 gene:Manes.16G004300.v8.1 transcript:Manes.16G004300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFKPVILSLFIASLLFTFTYSASNDGLVRIGLKKMKLDQNSQVVTRLESKNVEAYRASVRKYGLRDNLADSGDPDIVALKNYLDAQYYGEIDIGTPPQKFTVIFDTGSSNLWVPSSKCFLSVACYFHSRYKSSKSSTYRKNGKSAEIHYGTGAVSGFFSYDNVNVGDLVVKDQEFIEATSEPSVTFMVAKFDGILGLGFQEISVGNAVPVWYNMVKQGLVKEPVFSFWLNRNTEEDEGGEIVFGGVDPNHYKGKHTYVPVTQKGYWQFDMGDILIGDKPTGYCTGSCSAIADSGTSLLAGPTTVVTLINEAIGATGVVSQECKAVVAQYGQTIMDLLLAEAQPKKICSQIGLCTFDGTHGVSMGIQSVVDERNDAMCSACEMTVIWMQNQLRQNHTQDRILNYVNELCDRIPNPMGQSAVDCDSLSSMPTVSFTIGGKIFELTPQEYVLKVGEGSGAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGNMRVGFADAA >Manes.16G004300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:561662:567629:1 gene:Manes.16G004300.v8.1 transcript:Manes.16G004300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFKPVILSLFIASLLFTFTYSASNDGLVRIGLKKMKLDQNSQVVTRLESKNVEAYRASVRKYGLRDNLADSGDPDIVALKNYLDAQYYGEIDIGTPPQKFTVIFDTGSSNLWVPSSKCFLSVACYFHSRYKSSKSSTYRKNGKSAEIHYGTGAVSGFFSYDNVNVGDLVVKDQEFIEATSEPSVTFMVAKFDGILGLGFQEISVGNAVPVWYNMVKQGLVKEPVFSFWLNRNTEEDEGGEIVFGGVDPNHYKGKHTYVPVTQKGYWQFDMGDILIGDKPTGYCTGSCSAIADSGTSLLAGPTTVVTLINEAIGATGVVSQECKAVVAQYGQTIMDLLLAEAQPKKICSQIGLCTFDGTHGVSMGIQSVVDERNDAMCSACEMTVIWMQNQLRQNHTQDRILNYVNELCDRIPNPMGQSAVDCDSLSSMPTVSFTIGGKIFELTPQEYVLKVGEGSGAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGNMRVGFADAA >Manes.16G004300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:560637:567629:1 gene:Manes.16G004300.v8.1 transcript:Manes.16G004300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFKPVILSLFIASLLFTFTYSASNDGLVRIGLKKMKLDQNSQVVTRLESKNVEAYRASVRKYGLRDNLADSGDPDIVALKNYLDAQYYGEIDIGTPPQKFTVIFDTGSSNLWVPSSKCFLSVACYFHSRYKSSKSSTYRKNGKSAEIHYGTGAVSGFFSYDNVNVGDLVVKDQEFIEATSEPSVTFMVAKFDGILGLGFQEISVGNAVPVWYNMVKQGLVKEPVFSFWLNRNTEEDEGGEIVFGGVDPNHYKGKHTYVPVTQKGYWQFDMGDILIGDKPTGYCTGSCSAIADSGTSLLAGPTTVVTLINEAIGATGVVSQECKAVVAQYGQTIMDLLLAEAQPKKICSQIGLCTFDGTHGVSMGIQSVVDERNDAMCSACEMTVIWMQNQLRQNHTQDRILNYVNELCDRIPNPMGQSAVDCDSLSSMPTVSFTIGGKIFELTPQEYVLKVGEGSGAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGNMRVGFADAA >Manes.16G004300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:560637:567629:1 gene:Manes.16G004300.v8.1 transcript:Manes.16G004300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSFKPVILSLFIASLLFTFTYSASNDGLVRIGLKKMKLDQNSQVVTRLESKNVEAYRASVRKYGLRDNLADSGDPDIVALKNYLDAQYYGEIDIGTPPQKFTVIFDTGSSNLWVPSSKCFLSVACYFHSRYKSSKSSTYRKNGKSAEIHYGTGAVSGFFSYDNVNVGDLVVKDQEFIEATSEPSVTFMVAKFDGILGLGFQEISVGNAVPVWYNMVKQGLVKEPVFSFWLNRNTEEDEGGEIVFGGVDPNHYKGKHTYVPVTQKGYWQFDMGDILIGDKPTGYCTGSCSAIADSGTSLLAGPTTVVTLINEAIGATGVVSQECKAVVAQYGQTIMDLLLAEAQPKKICSQIGLCTFDGTHGVSMGIQSVVDERNDAMCSACEMTVIWMQNQLRQNHTQDRILNYVNELCDRIPNPMGQSAVDCDSLSSMPTVSFTIGGKIFELTPQEYVLKVGEGSGAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGNMRVGFADAA >Manes.14G054200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4599121:4601174:1 gene:Manes.14G054200.v8.1 transcript:Manes.14G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGCCIARYGGGAYDMSKVDRIMMRFRPIAPKPANVGSVSCGSSPEMSEAGSRSGRGRRRFTSANGSNSKRCNNGSNNRKRKGLSEENVDAVVTLPLLPETPDCKDSPGRETPVQLLSPKQAKSVPTWLSFGTNGKDHDRMVISGGFGMSDYQTVVTPHVMRVVVGSCVTVECITDAWVDADGLGCTDDERRTNLERDTCPGMISDGFGRVTWTNEAYRKMVGGGEGGRGEVVVWLVMKDKAPVTAALGSKRAFTCRVRVQNHKACGNGKERSSITVPCDVWRMEGGGFAWRLDVKAALSLGR >Manes.S029316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:616327:616449:1 gene:Manes.S029316.v8.1 transcript:Manes.S029316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.03G126700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25249110:25249595:-1 gene:Manes.03G126700.v8.1 transcript:Manes.03G126700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKLEAIRGGGGSVKVGTTGTISALMTRELESIKSASQTSVSHQDKPKTVAVSVPCSVSTTRRLPVRKSLNEASSSSNHRSTEAAQKMKNYNRSAHRMPMLSSENASIERTPIREKTKKKGTNIVEIVDIKCGHPDKAWASPITSKLKKLGFSKLSESII >Manes.04G012800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1687752:1690170:-1 gene:Manes.04G012800.v8.1 transcript:Manes.04G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELAQEEFMGSNDPSQIVKGKRSKRLRSSSAGITSSSSSGTCGGSGGGEPEHVSISSPTSCSDEIYESTEEEEDMANCLILLAQGDGPRRQQVMRDENNRKFSEVSANPTTNKASFFVYECKTCNRSFPSFQALGGHRASHKKPKSIISPLLDELQDCQLNKSSPDHHLLHPLSLQISNKSCSFVGNNKSKIHECAICGSEFTSGQALGGHMRRHRANSSANNNQVVISATDSSSEDQIKQRNILALDLNLPAPEEDHHHHRESKFQFPSTQQALAFSAPTLVDCHY >Manes.03G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4595904:4598953:-1 gene:Manes.03G049700.v8.1 transcript:Manes.03G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSLLLSSWTEILWLKLFGSIDAVDNVTEKSLILDREGDSDTMMLERSLSFKNWDSNQDVKSSDSSKSLDEAQETIRITKPTITIPEPILFFSPRPISELDAAATTLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNIEKHETAVSKWARATTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWSDSKSTQPFFYWLDIGDGKEVNLEKCPRTVLHRQCIKYLGPKERETYEVIVEDGKLVYGQTGMLITTTEGSKWIFVLSTSRALYVGQKKKGAFQHSSFLSGGATIAAGRLVATEGVLEAIWPYSGHYLPTEDNFKEFISFLEEHNVDLANVKRCAVDDDDASSKIAGDESKSKTQETEPVPETKAVEVNRLTTNDDQEDSSEAPAAKLEAPVIFDVTKRLSCKWASGVGPRIGCVRDYPPELQFMALEQVNLSPRVSPGHYGAGVPIPSPRPSPRIRVSPRLAYMGLPSPRVAVSSAS >Manes.03G049700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4595947:4598872:-1 gene:Manes.03G049700.v8.1 transcript:Manes.03G049700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSLLLSSWTEILWLKLFGSIDAVDNVTEKSLILDREGDSDTMMLERSLSFKNWDSNQDVKSSDSSKSLDEAQETIRITKPTITIPEPILFFSPRPISELDAAATTLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNIEKHETAVSKWARATTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWSDSKSTQPFFYWLDIGDGKEVNLEKCPRTVLHRQCIKYLGPKERETYEVIVEDGKLVYGQTGMLITTTEGSKWIFVLSTSRALYVGQKKKGAFQHSSFLSGGATIAAGRLVATEGVLEAIWPYSGHYLPTEDNFKEFISFLEEHNVDLANVKRCAVDDDDASSKIAGDESKSKTQETEPVPETKAVEVNRLTTNDDQEDSSEAPAAKLEAPVIFDVTKRLSCKWASGVGPRIGCVRDYPPELQFMALEQVNLSPRVSPGHYGAGVPIPSPRPSPRIRVSPRLAYMGLPSPRVAVSSAS >Manes.15G156400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12903216:12908136:1 gene:Manes.15G156400.v8.1 transcript:Manes.15G156400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAKAKLADVKEKVGRDVRVFETSGFASSTNQVSEEESDDFYEFTAEDYHRILATRKEDKYLKTKKIRDAEEAARRSRVTKAVIRVRFPDNHTLEVAFLPSEKIQSLFDLLVKVIARPELPFHLFTTPPKKKIKDLSQDFYSAGFIPGAIVYFSYDLPQGDDSAALSSGPFLGEEIMSLKGLELISEPREPVEAAEPEPVAVAAPPVPQESKSAGKKPIKPKWLKM >Manes.15G156400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12903216:12908136:1 gene:Manes.15G156400.v8.1 transcript:Manes.15G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAKAKLADVKEKVGRDVRVFETSGFASSTNQVSEGEESDDFYEFTAEDYHRILATRKEDKYLKTKKIRDAEEAARRSRVTKAVIRVRFPDNHTLEVAFLPSEKIQSLFDLLVKVIARPELPFHLFTTPPKKKIKDLSQDFYSAGFIPGAIVYFSYDLPQGDDSAALSSGPFLGEEIMSLKGLELISEPREPVEAAEPEPVAVAAPPVPQESKSAGKKPIKPKWLKM >Manes.01G197000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37104746:37108430:1 gene:Manes.01G197000.v8.1 transcript:Manes.01G197000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSQMALLYRLPLPLLCRAKISTSFTPVLANPSLILHPPAILSAKCISRKPPAATAPHQKYVYPDPIPEFAKSETQKFRAELLKKLAKDKETFRDDLDKVVDVCSEIFSDFLHEEYGGPGTLLVEPFTDMLVALKERKLPGAPLAARESLLWAQSFVDQDWEVWNSNPHN >Manes.15G102166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8030335:8030739:-1 gene:Manes.15G102166.v8.1 transcript:Manes.15G102166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDSAFTWSRGLSSYSLQRAWLDRALCSPNWQFRFPHAYVTHPDKFHSDHYPLVVSLNIHVHRMEGPFHFQLAWMNHANLGMIVGNALNSFTDILDSIKNLALDLCLWNRNSFGNIFSRKKRILARIAGIQKT >Manes.11G056300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6881834:6884748:1 gene:Manes.11G056300.v8.1 transcript:Manes.11G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHNKNWIIIQRVYLFDTFELQKVGPYPSAFLQMEFTFFVNFSIRLMGFSSFSLLHHLVFCLIFSHVVTGNSFKGSFGVNYGKIADNLPSPRSVVTLLKAAKIKNTRIYDADHEVLKAFKGSGVQIIVGLGNEYLKEMSIGEDRALSWIKENVEPFLPGTKIVGIAVGNEILGGDDHELWEVLLPSVKNVYSALRRLGLEKTVEVSSPHSEAVFASSYPPSACVFKEDVLIYMKPLLQFFEQIGSPFYINAYPFLAYKSDPEHIDINYALFKKNPGIIDTKTNLHYDNMFDAQVDAAYAALEKAGFPKMEVIVSETGWASHGDANEAGATLQNARTYNYNLKKRLSKKKGTPYRPKTMVRAYVFALFNENLKPGPTSERNFGLFKPDGSIAYDIGFTGLKESSAVSSLLSFKGIGASTWLSSSYSLVLATCMAVLLLASAL >Manes.11G056300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6881834:6884748:1 gene:Manes.11G056300.v8.1 transcript:Manes.11G056300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPHNKNWIIIQRVYLFDTFELQKVGPYPSAFLQMEFTFFVNFSIRLMGFSSFSLLHHLVFCLIFSHGNSFKGSFGVNYGKIADNLPSPRSVVTLLKAAKIKNTRIYDADHEVLKAFKGSGVQIIVGLGNEYLKEMSIGEDRALSWIKENVEPFLPGTKIVGIAVGNEILGGDDHELWEVLLPSVKNVYSALRRLGLEKTVEVSSPHSEAVFASSYPPSACVFKEDVLIYMKPLLQFFEQIGSPFYINAYPFLAYKSDPEHIDINYALFKKNPGIIDTKTNLHYDNMFDAQVDAAYAALEKAGFPKMEVIVSETGWASHGDANEAGATLQNARTYNYNLKKRLSKKKGTPYRPKTMVRAYVFALFNENLKPGPTSERNFGLFKPDGSIAYDIGFTGLKESSAVSSLLSFKGIGASTWLSSSYSLVLATCMAVLLLASAL >Manes.03G180300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30264899:30270344:1 gene:Manes.03G180300.v8.1 transcript:Manes.03G180300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGSGKGATSSQTMEISIADLHERHQQELENLTMTTQPFKTLKFFMLAIIQYVKRSVIYLLAKGGWLMLLSTVVAALGILLVTIDGPHEKHIEELGHYMRFGLWWIALGVASSIGLGSGLHTFVLYLGPHVALFTIKAMQCGRVDLKTAVYDTIQLKRGPSWLDKDCHEFGEAVFPTSHGLRVPLSSILPQVQIEAVLWGLGTALGELPPYFISRAARISGSKLDAIAMEELNASSSEDNGILATHLNQIKRWLLSHSQHLNFFTILVLASTIFIISVCNNQLLDWIENELIWMLSFIPGLDSVLTNLIAKLHAVKEKYMSPAPPLHSNNKVKKWDFSFAALWNTIVWLMLLNFFFKIVTATAQTYLKKQQENELGEMKTDSSSSTNSD >Manes.03G180300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30264899:30270344:1 gene:Manes.03G180300.v8.1 transcript:Manes.03G180300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGSGKGATSSQTMEISIADLHERHQQELENLTMTTQPFKTLKFFMLAIIQYVKRSVIYLLAKGGWLMLLSTVVAALGILLVTIDGPHEKHIEELGHYMRFGLWWIALGVASSIGLGSGLHTFVLYLGPHVALFTIKAMQCGRVDLKTAVYDTIQLKRGPSWLDKDCHEFGEAVFPTSHGLRVPLSSILPQVQIEAVLWGLGTALGELPPYFISRAARISGSKLDAIAMEELNASSSEDNGILATHLNQIKRWLLSHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGISFWKFFLATVIGKAIVKTHIQTIFIISVCNNQLLDWIENELIWMLSFIPGLDSVLTNLIAKLHAVKEKYMSPAPPLHSNNKVKKWDFSFAALWNTIVWLMLLNFFFKIVTATAQTYLKKQQENELGEMKTDSSSSTNSD >Manes.11G038601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3694551:3696383:1 gene:Manes.11G038601.v8.1 transcript:Manes.11G038601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAYSHNNDGHAAIELFRDMRRNDFSPDNFTFTSVIGALGLVAENERHCQQMHCAVVKSGMGFVTSVLNALMYAYVKCASSPSVLSSSLMGAARKLFDEMLERDELSCSTIITGYMKNDDLDAAREFFYGGGMCEEMVVAWNAMISGYVHRGLYKEALEMFRKMYLSGMRSDEYTYTSIISVCADAGFFQLGKELQAYILKTEVNPSPDFSLPVNNALITLYWKCGRVGEALEIFNYMPMKNLVSWNAILSGYVNAGRINEAQSFFEEMPEKNVLTWAVMMSGLAQKGFGEEGLKLFNQMKILGFEPCDYTFAGAITSCAVLGTLEHGRQLHAQLIRFGFDSSLAAGNALITLYARCGVVEAAESMFLTMPCVDFVSWNAMIAALGQHGHGIQAIELFEEMLAEGILPNGISFLTVLSACSHAGLVEKGHHYFNSMYSVYGITPGEDHYARVIDLLCRAGKFSEAKSVMESMPWKPGAPIWKALLAGSRIHGNMDIGINAAERLFELNPEHGGTYLQLSNMYAEAGRWVDVAKVRKLMRDRGVKMEPGCSWLEVEI >Manes.02G056400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4456166:4458183:1 gene:Manes.02G056400.v8.1 transcript:Manes.02G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFSDGEITNNASAASTVRQSTNNSPSQGPPVASPPIDKQNTAGTQGNLTNNYARADGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGGNGN >Manes.01G049360.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:14031331:14036598:-1 gene:Manes.01G049360.v8.1 transcript:Manes.01G049360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHFRLISMTPLFLLLCFPFAFAGHDYGQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWGIIEYGKQMAASGELGHAMAAVKWGTDYLIKAHPEPNVLYGEVGDGNSDHYCWQRPEDMTTDRRAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYANELLGHAHQLFYFANKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYQATNNHYYLSYLGENGDSMGGTGWGMTEFGWDVKYAGVQTLVAKFLMQGKAGHYAPVFERYQQKAEYFMCSCLGKGSRNVQKTPGGLLFRQRWNNMQFVTSASFLATVYSDYLASAGRSIKCASGVVAPSELLSFAKSQVDYILGDNPRATSYMVGYGNNYPRQVHHRASSIVSIKVNPSFVSCRGGYATWFSRKASDPNVLAGAIVGGPDAYDNFADERDNYEQTEPATYNNAPILGILARLNGGHGGYNQLLPVVVPAPTHQKPASHPKITPAPASNSAPISVEQKMTTSWNAKGRTYYRYSTIVTNKSAKTLTDLKLSISKLYGPIWGLTKSGDSYAFPSWLESLPAGKSLEFVYIHAASAADVSVSSYDLA >Manes.01G217300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38618872:38629994:1 gene:Manes.01G217300.v8.1 transcript:Manes.01G217300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEACCFRLQGLSSEGHELINLLSPRVENFKGGVNEPLCFLAYFSFQFTYLFNKYPHREVRGTPFTIGQNRTCDLVLNDPSISGTLCKLKQLENGGTSAALLEVTGGKGAVHVNGKLLQKPGVIMIKGGDEVVFGSSAKHAYIFQQVNNDISSATGIPSVNILEARGTPLKGIQIETRSGDPSAFAGASILASLSDFQKDLSLLSPPPKAGEDLQQNSEISSVPSVCGTPENCISEVNVKNSTSNNEMDSYSLREKTIVPSSNSASQNPNIENLRLDATVDAGNRKIAGSSHELRPLYRILAGSSEFDLSGSISKILDEQREIRELLNVLDPPTILMSTRRQSYKDSLQEGIRNSEDIDVSFDSFPYYLSDTTKKVLIGASFIHLKCDKKLPKFSCDLSTVSPRILLSGPAGSEIYQETLVKALAKDLGAGLLVIDSLLLPGGPTPKEADSVKESTKPERASVFAKRAVQAAFHHKKPISSVEADITGGSTISSQGLPKQETSTASSKNYTFKAGDRVKFVGLPSLHNPPRGPAVGFRGKVVLAFEENGSSKIGVRFDRSILEGNDLGGLCEEDHGFFCAANSLRLDGPGGEDVDRLAINEIFEVAQNASKHGPLILFVKDIEKSMVGNQDAYTALRSKLENMPNGVVVIGSHVQMDSRKEKSQPGGLLFTKFGSNHTALLDLAFPDNFGRLHDRSKETPKTMKQLGRLLPNKVTIQLPQDEALLLDWKQQLERDIETLKAQANIVSIRSVLSKVHLCCPELETLSIKDQALTTESVEKVVGWALSHHFMHSSEASFKEAKLVISTESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVVLAKEELAPDVDLEAVANITDGYSGSDLKNLCVTAAHCPIRELLEKEKKERILALAENRTLPPLYSSSDVRPLKLEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKALSYFM >Manes.01G217300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38618872:38629994:1 gene:Manes.01G217300.v8.1 transcript:Manes.01G217300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEACCFRLQGLSSEGHELINLLSPRVENFKGGVNEPLCFLAYFSFQFTYLFNKYPHREVRGTPFTIGQNRTCDLVLNDPSISGTLCKLKQLENGGTSAALLEVTGGKGAVHVNGKLLQKPGVIMIKGGDEVVFGSSAKHAYIFQQVNNDISSATGIPSVNILEARGTPLKGIQIETRSGDPSAFAGASILASLSDFQKDLSLLSPPPKAGEDLQQNSEISSVPSVCGTPENCISEVNVKNSTRNRKIAGSSHELRPLYRILADPPTILMSTRRQSYKDSLQEGIRNSEDIDVSFDSFPYYLSDTTKKVLIGASFIHLKCDKKLPKFSCDLSTVSPRILLSGPAGSEIYQETLVKALAKDLGAGLLVIDSLLLPGGPTPKEADSVKESTKPERASVFAKRAVQAAFHHKKPISSVEADITGGSTISSQGLPKQETSTASSKNYTFKAGDRVKFVGLPSLHNPPRGPAVGFRGKVVLAFEENGSSKIGVRFDRSILEGNDLGGLCEEDHGFFCAANSLRLDGPGGEDVDRLAINEIFEVAQNASKHGPLILFVKDIEKSMVGNQDAYTALRSKLENMPNGVVVIGSHVQMDSRKEKSQPGGLLFTKFGSNHTALLDLAFPDNFGRLHDRSKETPKTMKQLGRLLPNKVTIQLPQDEALLLDWKQQLERDIETLKAQANIVSIRSVLSKVHLCCPELETLSIKDQALTTESVEKVVGWALSHHFMHSSEASFKEAKLVISTESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVVLAKEELAPDVDLEAVANITDGYSGSDLKNLCVTAAHCPIRELLEKEKKERILALAENRTLPPLYSSSDVRPLKLEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKALSYFM >Manes.01G217300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38617201:38629994:1 gene:Manes.01G217300.v8.1 transcript:Manes.01G217300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFSQSFALFFVSRTKQPRGSSIVVVVVGSKGNNMVETRRSSTYGKRSLPAPVPPSSKRSKAAVAEASSSINGQTVALPVETLIPSKKSVSAPRDLQLQSSDLQATVDSESTPAGAEKSPDVEGEGLMPTQSLGETTLNDEISKTAGAVLNGGKKRQSKSAPTKPPKPSDKLAWGKLLSQCSQYPHREVRGTPFTIGQNRTCDLVLNDPSISGTLCKLKQLENGGTSAALLEVTGGKGAVHVNGKLLQKPGVIMIKGGDEVVFGSSAKHAYIFQQVNNDISSATGIPSVNILEARGTPLKGIQIETRSGDPSAFAGASILASLSDFQKDLSLLSPPPKAGEDLQQNSEISSVPSVCGTPENCISEVNVKNSTRNRKIAGSSHELRPLYRILADPPTILMSTRRQSYKDSLQEGIRNSEDIDVSFDSFPYYLSDTTKKVLIGASFIHLKCDKKLPKFSCDLSTVSPRILLSGPAGSEIYQETLVKALAKDLGAGLLVIDSLLLPGGPTPKEADSVKESTKPERASVFAKRAVQAAFHHKKPISSVEADITGGSTISSQGLPKQETSTASSKNYTFKAGDRVKFVGLPSLHNPPRGPAVGFRGKVVLAFEENGSSKIGVRFDRSILEGNDLGGLCEEDHGFFCAANSLRLDGPGGEDVDRLAINEIFEVAQNASKHGPLILFVKDIEKSMVGNQDAYTALRSKLENMPNGVVVIGSHVQMDSRKEKSQPGGLLFTKFGSNHTALLDLAFPDNFGRLHDRSKETPKTMKQLGRLLPNKVTIQLPQDEALLLDWKQQLERDIETLKAQANIVSIRSVLSKVHLCCPELETLSIKDQALTTESVEKVVGWALSHHFMHSSEASFKEAKLVISTESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVVLAKEELAPDVDLEAVANITDGYSGSDLKNLCVTAAHCPIRELLEKEKKERILALAENRTLPPLYSSSDVRPLKLEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKALSYFM >Manes.01G217300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38617201:38629994:1 gene:Manes.01G217300.v8.1 transcript:Manes.01G217300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFSQSFALFFVSRTKQPRGSSIVVVVVGSKGNNMVETRRSSTYGKRSLPAPVPPSSKRSKAAVAEASSSINGQTVALPVETLIPSKKSVSAPRDLQLQSSDLQATVDSESTPAGAEKSPDVEGEGLMPTQSLGETTLNDEISKTAGAVLNGGKKRQSKSAPTKPPKPSDKLAWGKLLSQCSQYPHREVRGTPFTIGQNRTCDLVLNDPSISGTLCKLKQLENGGTSAALLEVTGGKGAVHVNGKLLQKPGVIMIKGGDEVVFGSSAKHAYIFQQVNNDISSATGIPSVNILEARGTPLKGIQIETRSGDPSAFAGASILASLSDFQKDLSLLSPPPKAGEDLQQNSEISSVPSVCGTPENCISEVNVKNSTSNNEMDSYSLREKTIVPSSNSASQNPNIENLRLDATVDAGNRKIAGSSHELRPLYRILAGSSEFDLSGSISKILDEQREIRELLNVLDPPTILMSTRRQSYKDSLQEGIRNSEDIDVSFDSFPYYLSDTTKKVLIGASFIHLKCDKKLPKFSCDLSTVSPRILLSGPAGSEIYQETLVKALAKDLGAGLLVIDSLLLPGGPTPKEADSVKESTKPERASVFAKRAVQAAFHHKKPISSVEADITGGSTISSQGLPKQETSTASSKNYTFKAGDRVKFVGLPSLHNPPRGPAVGFRGKVVLAFEENGSSKIGVRFDRSILEGNDLGGLCEEDHGFFCAANSLRLDGPGGEDVDRLAINEIFEVAQNASKHGPLILFVKDIEKSMVGNQDAYTALRSKLENMPNGVVVIGSHVQMDSRKEKSQPGGLLFTKFGSNHTALLDLAFPDNFGRLHDRSKETPKTMKQLGRLLPNKVTIQLPQDEALLLDWKQQLERDIETLKAQANIVSIRSVLSKVHLCCPELETLSIKDQALTTESVEKVVGWALSHHFMHSSEASFKEAKLVISTESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVVLAKEELAPDVDLEAVANITDGYSGSDLKNLCVTAAHCPIRELLEKEKKERILALAENRTLPPLYSSSDVRPLKLEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKALSYFM >Manes.01G217300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38617201:38629994:1 gene:Manes.01G217300.v8.1 transcript:Manes.01G217300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFSQSFALFFVSRTKQPRGSSIVVVVVGSKGNNMVETRRSSTYGKRSLPAPVPPSSKRSKAAVAEASSSINGQTVALPVETLIPSKKSVSAPRDLQLQSSDLQATVDSESTPAGAEKSPDVEGEGLMPTQSLGETTLNDEISKTAGAVLNGGKKRQSKSAPTKPPKPSDKLAWGKLLSQCSQYPHREVRGTPFTIGQNRTCDLVLNDPSISGTLCKLKQLENGGTSAALLEVTGGKGAVHVNGKLLQKPGVIMIKGGDEVVFGSSAKHAYIFQQVNNDISSATGIPSVNILEARGTPLKGIQIETRSGDPSAFAGASILASLSDFQKDLSLLSPPPKAGNRKIAGSSHELRPLYRILAGSSEFDLSGSISKILDEQREIRELLNVLDPPTILMSTRRQSYKDSLQEGIRNSEDIDVSFDSFPYYLSDTTKKVLIGASFIHLKCDKKLPKFSCDLSTVSPRILLSGPAGSEIYQETLVKALAKDLGAGLLVIDSLLLPGGPTPKEADSVKESTKPERASVFAKRAVQAAFHHKKPISSVEADITGGSTISSQGLPKQETSTASSKNYTFKAGDRVKFVGLPSLHNPPRGPAVGFRGKVVLAFEENGSSKIGVRFDRSILEGNDLGGLCEEDHGFFCAANSLRLDGPGGEDVDRLAINEIFEVAQNASKHGPLILFVKDIEKSMVGNQDAYTALRSKLENMPNGVVVIGSHVQMDSRKEKSQPGGLLFTKFGSNHTALLDLAFPDNFGRLHDRSKETPKTMKQLGRLLPNKVTIQLPQDEALLLDWKQQLERDIETLKAQANIVSIRSVLSKVHLCCPELETLSIKDQALTTESVEKVVGWALSHHFMHSSEASFKEAKLVISTESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVVLAKEELAPDVDLEAVANITDGYSGSDLKNLCVTAAHCPIRELLEKEKKERILALAENRTLPPLYSSSDVRPLKLEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKALSYFM >Manes.01G217300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38618872:38629994:1 gene:Manes.01G217300.v8.1 transcript:Manes.01G217300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEACCFRLQGLSSEGHELINLLSPRVENFKGGVNEPLCFLAYFSFQFTYLFNKYPHREVRGTPFTIGQNRTCDLVLNDPSISGTLCKLKQLENGGTSAALLEVTGGKGAVHVNGKLLQKPGVIMIKGGDEVVFGSSAKHAYIFQQVNNDISSATGIPSVNILEARGTPLKGIQIETRSGDPSAFAGASILASLSDFQKDLSLLSPPPKAGEDLQQNSEISSVPSVCGTPENCISEVNVKNSTRNRKIAGSSHELRPLYRILAGSSEFDLSGSISKILDEQREIRELLNVLDPPTILMSTRRQSYKDSLQEGIRNSEDIDVSFDSFPYYLSDTTKKVLIGASFIHLKCDKKLPKFSCDLSTVSPRILLSGPAGSEIYQETLVKALAKDLGAGLLVIDSLLLPGGPTPKEADSVKESTKPERASVFAKRAVQAAFHHKKPISSVEADITGGSTISSQGLPKQETSTASSKNYTFKAGDRVKFVGLPSLHNPPRGPAVGFRGKVVLAFEENGSSKIGVRFDRSILEGNDLGGLCEEDHGFFCAANSLRLDGPGGEDVDRLAINEIFEVAQNASKHGPLILFVKDIEKSMVGNQDAYTALRSKLENMPNGVVVIGSHVQMDSRKEKSQPGGLLFTKFGSNHTALLDLAFPDNFGRLHDRSKETPKTMKQLGRLLPNKVTIQLPQDEALLLDWKQQLERDIETLKAQANIVSIRSVLSKVHLCCPELETLSIKDQALTTESVEKVVGWALSHHFMHSSEASFKEAKLVISTESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVVLAKEELAPDVDLEAVANITDGYSGSDLKNLCVTAAHCPIRELLEKEKKERILALAENRTLPPLYSSSDVRPLKLEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKALSYFM >Manes.01G217300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38617201:38630783:1 gene:Manes.01G217300.v8.1 transcript:Manes.01G217300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDFSQSFALFFVSRTKQPRGSSIVVVVVGSKGNNMVETRRSSTYGKRSLPAPVPPSSKRSKAAVAEASSSINGQTVALPVETLIPSKKSVSAPRDLQLQSSDLQATVDSESTPAGAEKSPDVEGEGLMPTQSLGETTLNDEISKTAGAVLNGGKKRQSKSAPTKPPKPSDKLAWGKLLSQCSQYPHREVRGTPFTIGQNRTCDLVLNDPSISGTLCKLKQLENGGTSAALLEVTGGKGAVHVNGKLLQKPGVIMIKGGDEVVFGSSAKHAYIFQQVNNDISSATGIPSVNILEARGTPLKGIQIETRSGDPSAFAGASILASLSDFQKDLSLLSPPPKAGEDLQQNSEISSVPSVCGTPENCISEVNVKNSTRNRKIAGSSHELRPLYRILAGSSEFDLSGSISKILDEQREIRELLNVLDPPTILMSTRRQSYKDSLQEGIRNSEDIDVSFDSFPYYLSDTTKKVLIGASFIHLKCDKKLPKFSCDLSTVSPRILLSGPAGSEIYQETLVKALAKDLGAGLLVIDSLLLPGGPTPKEADSVKESTKPERASVFAKRAVQAAFHHKKPISSVEADITGGSTISSQGLPKQETSTASSKNYTFKAGDRVKFVGLPSLHNPPRGPAVGFRGKVVLAFEENGSSKIGVRFDRSILEGNDLGGLCEEDHGFFCAANSLRLDGPGGEDVDRLAINEIFEVAQNASKHGPLILFVKDIEKSMVGNQDAYTALRSKLENMPNGVVVIGSHVQMDSRKEKSQPGGLLFTKFGSNHTALLDLAFPDNFGRLHDRSKETPKTMKQLGRLLPNKVTIQLPQDEALLLDWKQQLERDIETLKAQANIVSIRSVLSKVHLCCPELETLSIKDQALTTESVEKVVGWALSHHFMHSSEASFKEAKLVISTESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVVLAKEELAPDVDLEAVANITDGYSGSDLKNLCVTAAHCPIRELLEKEKKERILALAENRTLPPLYSSSDVRPLKLEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKALSYFM >Manes.01G217300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38618872:38629994:1 gene:Manes.01G217300.v8.1 transcript:Manes.01G217300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVEACCFRLQGLSSEGHELINLLSPRVENFKGGVNEPLCFLAYFSFQFTYLFNKYPHREVRGTPFTIGQNRTCDLVLNDPSISGTLCKLKQLENGGTSAALLEVTGGKGAVHVNGKLLQKPGVIMIKGGDEVVFGSSAKHAYIFQQVNNDISSATGIPSVNILEARGTPLKGIQIETRSGDPSAFAGASILASLSDFQKDLSLLSPPPKAGNRKIAGSSHELRPLYRILAGSSEFDLSGSISKILDEQREIRELLNVLDPPTILMSTRRQSYKDSLQEGIRNSEDIDVSFDSFPYYLSDTTKKVLIGASFIHLKCDKKLPKFSCDLSTVSPRILLSGPAGSEIYQETLVKALAKDLGAGLLVIDSLLLPGGPTPKEADSVKESTKPERASVFAKRAVQAAFHHKKPISSVEADITGGSTISSQGLPKQETSTASSKNYTFKAGDRVKFVGLPSLHNPPRGPAVGFRGKVVLAFEENGSSKIGVRFDRSILEGNDLGGLCEEDHGFFCAANSLRLDGPGGEDVDRLAINEIFEVAQNASKHGPLILFVKDIEKSMVGNQDAYTALRSKLENMPNGVVVIGSHVQMDSRKEKSQPGGLLFTKFGSNHTALLDLAFPDNFGRLHDRSKETPKTMKQLGRLLPNKVTIQLPQDEALLLDWKQQLERDIETLKAQANIVSIRSVLSKVHLCCPELETLSIKDQALTTESVEKVVGWALSHHFMHSSEASFKEAKLVISTESIKYGLSILQGIQSESKSLKKSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSIVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVVLAKEELAPDVDLEAVANITDGYSGSDLKNLCVTAAHCPIRELLEKEKKERILALAENRTLPPLYSSSDVRPLKLEDFKYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKALSYFM >Manes.12G000066.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6200:10565:1 gene:Manes.12G000066.v8.1 transcript:Manes.12G000066.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLHRVLVGYLGRYVKNIQKDHLKLSLWNCRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRLVCDNQTGKYLIHYREGS >Manes.12G000066.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6200:10565:1 gene:Manes.12G000066.v8.1 transcript:Manes.12G000066.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLHRVLVGYLGRYVKNIQKDHLKLSLWNCRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRLIIKLGSISYITEKVLDSIQLSIRNFHIQYSEIRLDSSQVLFGLKFSSLAIKQNLAG >Manes.12G000066.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6200:10565:1 gene:Manes.12G000066.v8.1 transcript:Manes.12G000066.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLHRVLVGYLGRYVKNIQKDHLKLSLWNCRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRLIIKLGSISYITEKVLDSIQLSIRNFHIQYSEIRLDSSQVLFGLKFSSLAIKQNLAGAGN >Manes.12G000066.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6194:10565:1 gene:Manes.12G000066.v8.1 transcript:Manes.12G000066.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLHRVLVGYLGRYVKNIQKDHLKLSLWNCRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRLIIKLGSISYITEKVLDSIQLSIRNFHIQYSEIRLDSSQVLFGLKFSSLAIKQNLAG >Manes.12G000066.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6200:10565:1 gene:Manes.12G000066.v8.1 transcript:Manes.12G000066.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLHRVLVGYLGRYVKNIQKDHLKLSLWNCRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRLVCDNQTGKYLIHYREGS >Manes.12G000066.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6195:10565:1 gene:Manes.12G000066.v8.1 transcript:Manes.12G000066.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLHRVLVGYLGRYVKNIQKDHLKLSLWNCRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRLVCDNQTGKYLIHYREGS >Manes.12G000066.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6368:7705:1 gene:Manes.12G000066.v8.1 transcript:Manes.12G000066.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLHRVLVGYLGRYVKNIQKDHLKLSLWNCRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRLVCDNQTGKYLIHYREGS >Manes.12G000066.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6368:7832:1 gene:Manes.12G000066.v8.1 transcript:Manes.12G000066.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELLHRVLVGYLGRYVKNIQKDHLKLSLWNCRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRLIIKLGSISYITEKVLDSIQLSIRNFHIQYSEIRLDSVILLPHCTYCFFPAACVGDFI >Manes.13G138100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34624865:34628571:-1 gene:Manes.13G138100.v8.1 transcript:Manes.13G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTNPQNQAPGSPTQDPNHDQQEDPPQSNDPQSPKTLTLEIPHGEHPNAQADDTNQDDPEDITPVSPTISDTHVSVAVPTNFTNSRRGGGGSKRNKRHRYQEKKIQKRLEILGGTLNPIPFVPVKTLDFASHEALLRRLGLWDFVHLQFDVNIRVDLIMQLIANFNPTGRHSYVNGCRIKVSRADLARALSLPTKKDKVDCAVEVEREESIGFIEEFVSTWLLLHEDTWMMTDDILSMTNAIKEGHFEKVDWAKLIWVMLEKELAASPNLGNCYYASHLQKLIKHQKSDLFREEPVKMEVDGNDDGEEEDVRMSEELPGGSELEEHNIELSLGGLDNSAKDDGVKEGKEEVGDEDTMDFEESKEDEEQGQWLKNSVDGNFLRHCTLGEIAGAECEERREEEEVGEEEEKEGEDGEEEEEEEEEEEVGYSISPKGDALEGVNSENLIAAMEAAQIPFSSGLQMGDTVSSADFLASRVGAQTIPGSSSLFSNGNGNKREIEHLENDMPHHALNGGNKRIRTDGPWDMKSSSEFEMYMEQMGHIMGKARMAYEAKEQAYQDMSMNQQILLNELQQRDNMIQHLHKAKMEEQHKRQLEVYRLERELYMMANLLEGYRKALKETHKAFAQYRSQCPLPEEPIYKDTGSGGLVLSTIELEKQRLKQEEEERQNRLLIEKKVKEFEAECIASFEAYKDGVDTLDSKLLDVEKEVKVLKERYEKRKYARMSECPPAEE >Manes.08G061601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8032451:8033387:-1 gene:Manes.08G061601.v8.1 transcript:Manes.08G061601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVSPKYLQIASTIEEFGNLSVKTIEDVTGSLKAHEERSRSYDSRGDEYVLLTKGEWKARAESLRSNEKRPQDVSRGRGRGRGRGRGRGRGRRPRHLSVSVQGWRSF >Manes.17G004315.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1439841:1441138:-1 gene:Manes.17G004315.v8.1 transcript:Manes.17G004315.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCAAAAATAAGASCYCCWSKLLLLWAAASMLLCAAEQQQAAAVAVVVNIPRRAVSSRGRGHSQHFSMNEIDEAVQVQEEILEHTLQALGGQVNASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFELFRSRYAWDESEEGMVQIAWEKVGKERLRDILNRVRSELLCKHKKTDVAY >Manes.04G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2565245:2567126:1 gene:Manes.04G021200.v8.1 transcript:Manes.04G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEVAENPGEFSGKDYHDPPPAPLIDVEELGKWSFYRALIAEFIATLLFLYITVLTVIGYKSQTDPAKNSDACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRALLYMVAQCLGAICGCGLVKAFQKAYYNRYGGGANELSSGYSKGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDQWIFWVGPFIGAAIAAFYHQYILRAAAIKALGSFRSNA >Manes.12G089370.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14938429:14940925:1 gene:Manes.12G089370.v8.1 transcript:Manes.12G089370.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKRICTLLLRLIAFGTTLAAAIVMATSHETSSFFAVSFEAKYSDTPAFKYFVIANAIASVYGFLVLFLPSDSLLWRLVVAMDVVVTMLLTSSVSAALAIGQVGKKGNSNAGWLPICGQVPKYCNQVTGALAAGFVAVITYAVLLLYAIHAVLNPLLVQKT >Manes.03G197500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31493998:31501391:1 gene:Manes.03G197500.v8.1 transcript:Manes.03G197500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSGRDEDPPPPLTDTEIVNLAHQNNLHAYLLSTSSHTTVLSYLHTRSRSLTPSIAVSEYTLSLLSLISLSPYHPSLSSLLSSLLSTYTHLFLSFQIPHDPNSLKTINLFATLLNYVPVKELESIVESIVMGLSKLLGSEDTQILDILPGCFSCLCVEKGKECVNLMLDRIIESDWSKGLLVKMVFLSRELAGFIDKVRARELLEKVFKGMKSVDLQDLPLLSYQLLVLASKGFNKREVIAGIMRFFGSELVSKASSTIRQVEGTVLLHVNFAVKQDPSLGQEVIGLVKLDPKALNHFAVAVLLSVAKVRKFSESSMGILKASVLTAYSDYKFSRNCLWLPDDSKKEYLQNVQIMEKSILRAVNESNYGREHIVPTIVQFSFALLESSEGGKHGDLCNFDGLLGIEELSVQMLKILFDIHDMARNEIIEQCKLRILSLKPEQSMSVIRLVFSLVQSYPYPVLEHVSRLKELLDYFTFVRGNVASYLVAALAPLFKFNRDLRDYTILVVRKAMFRKEDAVRLAATNATISVLLAEKQSTRDGLFSFQDSSSQASCSQKAEIPCGYSGGLFQELSGLLQRCLYLQAKAKKAMYHGLLRLVLADPASGGAVFDFLLPHFLHFFKEDDVVQLQIGSCVKSDGGQVVIEEPLDCLLSCVSWILLLQPHDKASNPDSLGACFGFSLSQENEVGRHLSGEAFSKAFLQIRKFLKKGNLEDILSATQGSGSTSVEEENKKCRALILSGIIEVVLNTIATELEKATDLNREDLEKEILEFVNFNESLEKYTCAKQSSVIRSQNVQANALEIPYLSNKGLTQEWIPFFATSSLCQLMKTAISLHNSERSRSSAASQNHSQLPSRETSKCFKIIRFVLNSSLHHIRSYCTGRKEEPLKTLIYGEINLMGPPLLKLICLLNSGPKLATDQKKEMKGKKDVEGGKEHLHLALICLKELITISLKNSHSTSLLEDLLSVSTLKYEIDEEDEEISRIADQQIRIKMNFIVKILRPLITELLAQSSFHEIEIVCEMLLLVCEKLPSRWRNSNGSWSIHLCKKNGGIRNSKVARRVAELAISLSSPPDDLVVAQYMAEELLHVIGSERNTQIEMTESYTIINQSTSTAISSCILKLIEAVITDMDWAVKKLKMFSLVLQKSIHLSQNGEEVSEFLVEDNLYLRAEAVVKVLSCFVLMRLKDPQAEHFLRLTAKFYKHLAQISRLRIAPKGCKQLIPCPTFQRLVEITCKQLTVPLYKFVAELQREQQENPNTKGIINKIKRENKCIPDLIFQIEDYEKYLIRLSKVSKVNLLKHAKRSTSRDFRILDKRNSGEDAPNSHEAANDNEGRLHDSEDNESERILSPHMDSPNAAEESDVENGCSVSNGRRIKRSRVVQDSDDET >Manes.03G197500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31493998:31501391:1 gene:Manes.03G197500.v8.1 transcript:Manes.03G197500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSGRDEDPPPPLTDTEIVNLAHQNNLHAYLLSTSSHTTVLSYLHTRSRSLTPSIAVSEYTLSLLSLISLSPYHPSLSSLLSSLLSTYTHLFLSFQIPHDPNSLKTINLFATLLNYVPVKELESIVESIVMGLSKLLGSEDTQILDILPGCFSCLCVEKGKECVNLMLDRIIESDWSKGLLVKMVFLSRELAGFIDKVRARELLEKVFKGMKSVDLQDLPLLSYQLLVLASKGFNKREVIAGIMRFFGSELVSKASSTIRQVEGTVLLHVNFAVKQDPSLGQEVIGLVKLDPKALNHFAVAVLLSVAKVRKFSESSMGILKASVLTAYSDYKFSRNCLWLPDDSKKEYLQNVQIMEKSILRAVNESNYGREHIVPTIVQFSFALLESSEGGKHGDLCNFDGLLGIEELSVQMLKILFDIHDMARNEIIEQCKLRILSLKPEQSMSVIRLVFSLVQSYPYPVLEHVSRLKELLDYFTFVRGNVASYLVAALAPLFKFNRDLRDYTILVVRKAMFRKEDAVRLAATNATISVLLAEKQSTRDGLFSFQDSSSQASCSQKAEIPCGYSGGLFQELSGLLQRCLYLQAKAKKAMYHGLLRLVLADPASGGAVFDFLLPHFLHFFKEDDVVQLQIGSCVKSDGGQVVIEEPLDCLLSCVSWILLLQPHDKASNPDSLGACFGFSLSQENEVGRHLSGEAFSKAFLQIRKFLKKGNLEDILSATQGSGSTSVEEENKKCRALILSGIIEVVLNTIATELEKATDLNREDLEKEILEFVNFNESLEKYTCAKQSSVIRSQNVQANALEIPYLSNKGLTQEWIPFFATSSLCQLMKTAISLHNSERSRSSAASQNHSQLPSRETSKCFKIIRFVLNSSLHHIRSYCTGRKEEPLKTLIYGEINLMGPPLLKLICLLNSGPKLATDQKKEMKGKKDVEGGKEHLHLALICLKELITISLKNSHSTSLLEDLLSVSTLKYEIDEEDEEISRIADQQIRIKMNFIVKILRPLITELLAQSSFHEIEIVCEMLLLVCEKLPSRWRNSNGSWSIHLCKKNGGIRNSKVARRVAELAISLSSPPDDLVVAQYMAEELLHVIGSERNTQIEMTESYTIINQSTSTAIKKHSS >Manes.04G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7974919:7982404:-1 gene:Manes.04G049100.v8.1 transcript:Manes.04G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDCHQRNFGAHARSPGYHILGGRKGSLDDLVLRKKEKMRRWLCCTCQAEEAYPSHENEHLRSPKNHTEGNNPMKGSKVSAPVRAEVQKEAPPIDVPALSLDELKEKTDNFGSKALIGEGSYGRVYYANLDNGKAVAVKKLDVASEQESNVEFLTQVSMVSRLKHEHVVELLGYCVDGNIRVLTYEFATMGSLHDILHGRKGVQGAQPGPTLDWMQRVRIAVDAARGLEYLHEKVQPPIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAAAPPPPAES >Manes.04G049100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7974655:7982462:-1 gene:Manes.04G049100.v8.1 transcript:Manes.04G049100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCTCQAEEAYPSHENEHLRSPKNHTEGNNPMKGSKVSAPVRAEVQKEAPPIDVPALSLDELKEKTDNFGSKALIGEGSYGRVYYANLDNGKAVAVKKLDVASEQESNVEFLTQVSMVSRLKHEHVVELLGYCVDGNIRVLTYEFATMGSLHDILHGRKGVQGAQPGPTLDWMQRVRIAVDAARGLEYLHEKVQPPIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAAAPPPPAES >Manes.04G049100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7974917:7982408:-1 gene:Manes.04G049100.v8.1 transcript:Manes.04G049100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCTCQAEEAYPSHENEHLRSPKNHTEGNNPMKGSKVSAPVRAEVQKEAPPIDVPALSLDELKEKTDNFGSKALIGEGSYGRVYYANLDNGKAVAVKKLDVASEQESNVEFLTQVSMVSRLKHEHVVELLGYCVDGNIRVLTYEFATMGSLHDILHGRKGVQGAQPGPTLDWMQRVRIAVDAARGLEYLHEKVQPPIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAAAPPPPAES >Manes.18G089600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8234270:8235472:1 gene:Manes.18G089600.v8.1 transcript:Manes.18G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLCASLGLPSPDPRNQYQPLIQTEFTNLFTHFSSPLLTKTLQNPVKKHNRPITKSLPFASNSISSNPKTTPNFSKWLEPTSRNNPKVQSLMKNLSVFERALIGAGGGGLAGAFTYVCLHPLDTIKTKMQTKGASQIYSSTLDAVVKTFQANGILGFYSGVSAVIVGSTASSAVYFGTCEFGKSILSKLEKFPSVLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWEVLLKILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLSRTNKSHLEPIESVCCGALAGAISASITTPLDVIKTRLMTQVNKEVVDKVAAAMYSGVSATVNQILKEEGWIGFTRGMGPRVVHSACFSALGYFAFETARLAILHRYLKHKELREMDVAPA >Manes.07G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:19356950:19360321:1 gene:Manes.07G072300.v8.1 transcript:Manes.07G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLSFPLTLPSPRASSPSVHRCLLHLPSLPFPFTLHAKMLSVKNAPPPSSPLRVFCSRDSRASVVTKDSWEKLILQSDVPVLVEFFASWCGPCRMVHRVIDEIVGEYDGKLKCFVLNTDSDLEIAENYEIKAVPVVLLFKNGEKRESVVGTMPKEFYVAAIERVLHL >Manes.05G106500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10105005:10108662:1 gene:Manes.05G106500.v8.1 transcript:Manes.05G106500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGQALVAARRPDSGGVEGHVITLLANHFLVRFNSSQRIFHYNVDIFPSPSKEVARMIKQKLVEDNSAVLSGAFPAYDGRKNFYSPVEFRNDRLEFYISLPIPTSKSSLPFGELSDFQEKHQQLKLFRINIKLVSKLDGKELSRYLSKEGDDWIPLPQDYLHALDVVLRESPMEKCIPVGRSFYSSSMGGTKEIGGGAIGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLKFLRDLPQNKTRSLIGEERKEVEKALKNIRVFVCHRETVQRYRVFGLTEEATDNLWFADRDGKNLRLVSYFKDHYNYDIKFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTAKILKMGCQRPKERRTIIHEVMRGSVGPTSGNQSREFKLHVSREMTRLNGRILQPPKLRLGDGGLIRDLIPSRQDRQWNLADSHVFEGTRIERWALISFGGTPEQKSNIPKFINQLSQRCEHLGIFLSKNTIISPQYEPTQVLNNVALLESKLKKIQKAASNNLQLLICIMEKRHRGYADLKRIAETNVGVVSQCCLFTNLGKLSSQFLSNLSLKINAKLGGCTVALYNSLPSQIPRLLHSDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPAANKYASRMRSQTHRQEIIQDLGSMVKELLDDFYKEANKLPKRIMFFRDGVSETQFHKVLQEELKSIREACSRFPCYKPPITFAVVQKRHHTRLFPCETDLSSSIQDQFYNENIPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPVSLVPPAYYAHLAAYRGRLYIERSESSMRNACTISRASPPKATPLPKLSEKVKNLMFYC >Manes.05G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10103780:10108662:1 gene:Manes.05G106500.v8.1 transcript:Manes.05G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEESNASKKCTAKTRTLRGRTNSDHKHQYQYQYQHHLFQYSNQFGLLNHGQYPSYCPALLPLPPPIPLQLALVPPFPQNHSFRSKTHFQKPSFKLNNHPPPPLPTPFVSQGPVAAISSAPEGLQRRKHSPVKGNDGKKDMGSSGQALVAARRPDSGGVEGHVITLLANHFLVRFNSSQRIFHYNVDIFPSPSKEVARMIKQKLVEDNSAVLSGAFPAYDGRKNFYSPVEFRNDRLEFYISLPIPTSKSSLPFGELSDFQEKHQQLKLFRINIKLVSKLDGKELSRYLSKEGDDWIPLPQDYLHALDVVLRESPMEKCIPVGRSFYSSSMGGTKEIGGGAIGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLKFLRDLPQNKTRSLIGEERKEVEKALKNIRVFVCHRETVQRYRVFGLTEEATDNLWFADRDGKNLRLVSYFKDHYNYDIKFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTAKILKMGCQRPKERRTIIHEVMRGSVGPTSGNQSREFKLHVSREMTRLNGRILQPPKLRLGDGGLIRDLIPSRQDRQWNLADSHVFEGTRIERWALISFGGTPEQKSNIPKFINQLSQRCEHLGIFLSKNTIISPQYEPTQVLNNVALLESKLKKIQKAASNNLQLLICIMEKRHRGYADLKRIAETNVGVVSQCCLFTNLGKLSSQFLSNLSLKINAKLGGCTVALYNSLPSQIPRLLHSDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPAANKYASRMRSQTHRQEIIQDLGSMVKELLDDFYKEANKLPKRIMFFRDGVSETQFHKVLQEELKSIREACSRFPCYKPPITFAVVQKRHHTRLFPCETDLSSSIQDQFYNENIPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPVSLVPPAYYAHLAAYRGRLYIERSESSMRNACTISRASPPKATPLPKLSEKVKNLMFYC >Manes.17G011733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4773574:4774085:-1 gene:Manes.17G011733.v8.1 transcript:Manes.17G011733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFGIDFSRVHNDEGNSDSIVYCSHGVRATMYISWMIRNPDHRFHRCETWKGNECSFFQWHDPPFTGQEREVMVSLVRKRSKLKEKVKSLEEMCNRTAEIDMIGPSQSFKRKDKEILRNNELYNFLIDILRSSTASFL >Manes.01G133500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32639218:32640436:1 gene:Manes.01G133500.v8.1 transcript:Manes.01G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFKLKFSRVITSFNSCRSKDPSTFPSNPVPSFLGLSSVKPHLPPPSKSSSIKRHMSSAFASITSGFRSRSTTARYLSETDHTKSPPPPPTPEFHWEREDKWHVVAKMYDENPRRKVCNTLVSCEFENDSIFLPPPPPPNTERTKRRRIKKKKKTMPRIRVSSSSTDSGLFSSEGLDDDNDPENGMGNEETETLVSSTKSFSTDYSSPDQFGTHLETIRESPFNRISNRKKRVKRAKRYVNRKARKSIDESQSSPARLSRFQWLIPCTVEGKVRESFAVVKKSEDPYKDFKRSMMEMILEKQMYEVNDLEQLLQCFLSLNSRRYHGIIIEAFSEIWEDLFCKNSIKKGVPRSV >Manes.11G150300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31461343:31467109:-1 gene:Manes.11G150300.v8.1 transcript:Manes.11G150300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAGSSDSKSGKKDFSTAILERKKSPNRLVVDEAVNDDNSVVSMHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCEPPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGSLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSDEVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRHQIFKACLRKSPISKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRRRENPEAMDEDVEDEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSETRTSGAASDPFATSAGGADEDDLYD >Manes.03G046700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4200575:4202474:1 gene:Manes.03G046700.v8.1 transcript:Manes.03G046700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKNSSKVTLQAEECTVVEPQKPSSTPKKPKSSNEIDEIFSGKKRKNPEKQKNDEAKETETNKPKSLKKKKKKSKEGKEERLRDQKSKEGKKERLTDPPSKHRKRTEDGLNIYTEEELGISSSNAGGTPLCPFDCECCF >Manes.03G046700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4201917:4202339:1 gene:Manes.03G046700.v8.1 transcript:Manes.03G046700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKNSSKVTLQAEECTVVEPQKPSSTPKKPKSSNEIDEIFSGKKRKNPEKQKNDEAKETETNKPKSLKKKKKKSKEGKEERLRDQKSKEGKKERLTDPPSKHRKRTEDGLNIYTEEELGISSSNAGGTPLCPFDCECCF >Manes.03G046700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4197977:4202497:1 gene:Manes.03G046700.v8.1 transcript:Manes.03G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKNSSKVTLQAEECTVVEPQKPSSTPKKPKSSNEIDEIFSGKKRKNPEKQKNDEAKETETNKPKSLKKKKKKSKEGKEERLRDQKSKEGKKERLTDPPSKHRKRTEDGLNIYTEEELGISSSNAGGTPLCPFDCECCF >Manes.17G120500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32469845:32475763:-1 gene:Manes.17G120500.v8.1 transcript:Manes.17G120500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIAALSGNLHYGLNKCRAHSCKRPAYVFGVAFNGGLQKELSWSHGVSKMLQIQRYNFSQSTVGEYWQSFGTITVSVSSCLRDASTKYFDFVVIGSGVAGLCYALEVAKHGTVAMITKAEPHESNTNYAQGGVSAVLCPLDSVESHMQDTIVAGAYLCDEETVRVVCTEGPDRIRELIAMGVMFDHGEDGNLNLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISMFEHHFAIDLLTSQDGPDTVCHGVDTMNTETQEVVRFISKVTLLASGGAGHVYPSTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPVKPKKARENAFLITEAVRGDGGLLYNLSMERFMPMYDERAELAPRDVVARSIDDQLKKHNEKYVLLDISHKPREKILSHFPNIAAECLRYGLDITYQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMENSRLDLSASSCWARPIVPVSLGSDVIDDILKTTTKVRKELQSIMWKYVGIVRSTTILKTAERKIRELESQWEKHLFEQGWEQTMVGLEACEMRNLFCCAKLVVSSALARHESRGLHYTTDFPHVEESKRLPTIIFPSPMNTTWSSRQLHKQAVC >Manes.17G120500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32469845:32475763:-1 gene:Manes.17G120500.v8.1 transcript:Manes.17G120500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIAALSGNLHYGLNKCRAHSCKRPAYVFGVAFNGGLQKELSWSHGVSKMLQIQRYNFSQSTVGEYWQSFGTITVSVSSCLRDASTKYFDFVVIGSGVAGLCYALEVAKHGTVAMITKAEPHESNTNYAQGGVSAVLCPLDSVESHMQDTIVAGAYLCDEETVRVVCTEGPDRIRELIAMGVMFDHGEDGNLNLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISMFEHHFAIDLLTSQDGPDTVCHGVDTMNTETQEVVRFISKVTLLASGGAGHVYPSTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPVKPKKARENAFLITEAVRGDGGLLYNLSMERFMPMYDERAELAPRDVVARSIDDQLKKHNEKYVLLDISHKPREKILSHFPNIAAECLRYGLDITYQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMENSRLDLSASSCWARPIVPVSLGSDVIDDILKTTTKVRKELQSIMWKYVGIVRSTTILKTAERKIRELESQWEKHLFEQGWEQTMVGLEACEMRNLFCCAKLVVSSALARHESRGLHYTTDFPHVEESKRLPTIIFPSPMNTTWSSRQLHKQAVC >Manes.17G120500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32469844:32475764:-1 gene:Manes.17G120500.v8.1 transcript:Manes.17G120500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIAALSGNLHYGLNKCRAHSCKRPAYVFGVAFNGGLQKELSWSHGVSKMLQIQRYNFSQSTVGEYWQSFGTITVSVSSCLRDASTKYFDFVVIGSGVAGLCYALEVAKHGTVAMITKAEPHESNTNYAQGGVSAVLCPLDSVESHMQDTIVAGAYLCDEETVRVVCTEGPDRIRELIAMGVMFDHGEDGNLNLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISMFEHHFAIDLLTSQDGPDTVCHGVDTMNTETQEVVRFISKVTLLASGGAGHVYPSTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPVKPKKARENAFLITEAVRGDGGLLYNLSMERFMPMYDERAELAPRDVVARSIDDQLKKHNEKYVLLDISHKPREKILSHFPNIAAECLRYGLDITYQPIPVVPAAHYMCGGVRAGLQGETNVHGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMENSRLDLSASSCWARPIVPVSLGSDVIDDILKTTTKVRKELQSIMWKYVGIVRSTTILKTAERKIRELESQWEKHLFEQGWEQTMVGLEACEMRNLFCCAKLVVSSALARHESRGLHYTTDFPHVEESKRLPTIIFPSPMNTTWSSRQLHKQAVC >Manes.06G058400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18607020:18608057:1 gene:Manes.06G058400.v8.1 transcript:Manes.06G058400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWFLFLLGLFLALASLALASDPMSLQDFCVADANAAVLVNGVACKDPKKVEANDFFFSGLHLPGNTSNAAGSKVTPVSVAQIPGLNTLGISLARIDYTPWGINPPHTHPRASEILTVVEGTLEVGFVTSNPENRLIRKILQKGDVFVFPVNLIHFQRNVGNCNAVAVVALSSQNPGVVTIANAVFGSTPGIPSDVLVKAFQLDSKIVDYLQAKF >Manes.12G034900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3075665:3076117:-1 gene:Manes.12G034900.v8.1 transcript:Manes.12G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVSTSSKRKEREGFDSLKPFPPPPPPTTPTKLAKPSIAQVGAGKPSDPVPSNKLLAGYLAHEYLTKGTLLGQPWDPARAEEVAVESKKIKPSQIGKEEEAEPNKENYKRYVEVSSLLKAEGAHISGVVNPSQLARFLQM >Manes.17G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27575980:27582862:1 gene:Manes.17G074900.v8.1 transcript:Manes.17G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSHLEKMGRELKCPICLSLLNSAVSLTCNHVFCNSCIVKSMKSGSNCPVCKVPYRRREVRAAPHMDNLVSIYKSMEAASGFQIFVSQNPPSTKSSGQLDDANCGREDIHTNSDDRVQKERSSIRKFSRKTIKSNLEVSDSISAKPSFPAKKRVQVPQCLPSETPTRTEKLEIRSDENTKEGFKNISSVPRENSAPNERGEPVLSPFFWLRDDEGLEKLSQHTIGSQHLDITPPDIPTFSDIKDSDDDCPSKLSTEEEACGKSNYGDLLDSEMFEWTQKACSPELLSSPAVKDENAGEIDGNQESKQDLFIPSSNTNENDVASETYLNEEHVMDIADEESPTLSPLRTRSTNCQSASNKSKRRVKTVSEKATLKKCAKRDTEINSEVPSNSETKCRKIMQKEECNEKENSIKCKKSRRKKVVFCSTLTESKPENVLPVSFREETPNQGDKKITSELSASLCQRQCHNEDQKKRNMRKMCGNVSTRQAGSLRLKKQKLDCIDMNMIEETCKVQNPVENDESSSKVDGKYISYIKQKQQKHGTEVNSVLGSESNKELRSMKKMKVSFYGISEDGLMNDQQEGHSNISPKETQFTEKATYVSAKETKSNKKVRGSLGSRIPDNLETLGIPVQANGAALHTCQTLARKVQCAFCLSSEDSEASGEMVCYSNGRPVAANYNGGSKVIHSHKNCAEWAPNVYFEDDTAINLEAELTRSKKITCCCCGLKGAALGCYEKSCRKSFHVTCAKMIPQCRWDTNNFVMLCPLHTSSKLPIEDSGFEEKTRKTSVPRRKRSNQCSRVVLKHDVSSCPNWISGVTPVKLVLSCSALTVEEKEIVSEFGRLSGATVLKNWDLSVTHVIASTDENGACRRTLKILMGILEGKWILNIEWVKDCMKAMKLVQEEQYEIVVDIHGIRDGPRLGRLRILNKQPKIFERFRFYLMGDFVASYKGYIQDLLIAGGGIVLHRKPISGNQGAISLDSSTITTFVVYSIELPDKCDLSKKDIILNQRRFDAETLASATGARAVSNSWVLNSIAACKLQNFVEQR >Manes.07G046700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5301407:5304611:1 gene:Manes.07G046700.v8.1 transcript:Manes.07G046700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNPKVIENSEGSRTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRKFDDPQTQKEMKMVPYKIVRAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAEAYLGKTVTKAVITVPAYFNDAQRQATKDAGRISGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLEFLVSEFKRTESIDLTKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFETLVNHLIERTRNPCKNCLKDAGISTKDVDEVLLVGGMTRVPKVQEIVAEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELMGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEDEIEKMVKEAEQFAQKDQERKALIDIKNSADTTIYSIEKSLDEYREKIPAEVAKEIEDAVADLRKAMAGDNIEEIKSKLDSANKAVSKIGEHMSKGSGGDSASGGSQGGEQTPEAEYEEVKK >Manes.07G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5300493:5304611:1 gene:Manes.07G046700.v8.1 transcript:Manes.07G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALIRSLRRRDVASAPISAYKCLTGNLKPSWAPSNVSNGWASLSRAFSAKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGSRTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRKFDDPQTQKEMKMVPYKIVRAPNGDAWVEANGQQYSPSQIGAFVLTKMKETAEAYLGKTVTKAVITVPAYFNDAQRQATKDAGRISGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLEFLVSEFKRTESIDLTKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFETLVNHLIERTRNPCKNCLKDAGISTKDVDEVLLVGGMTRVPKVQEIVAEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELMGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEDEIEKMVKEAEQFAQKDQERKALIDIKNSADTTIYSIEKSLDEYREKIPAEVAKEIEDAVADLRKAMAGDNIEEIKSKLDSANKAVSKIGEHMSKGSGGDSASGGSQGGEQTPEAEYEEVKK >Manes.05G111000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11231217:11233244:1 gene:Manes.05G111000.v8.1 transcript:Manes.05G111000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEEKVSMELVPPSEHLCYVRCNFCNTVLAVGIPCKRLLETVTVKCGHCSNLSFLSTRPPFQGQCLDHQFTLQKQGFFSDLRMGQSSSSSSSTSSEPLSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSTAAKNWARYIPNSAAGSVSGSSNSD >Manes.05G111000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11231217:11233147:1 gene:Manes.05G111000.v8.1 transcript:Manes.05G111000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEEKVSMELVPPSEHLCYVRCNFCNTVLAVGIPCKRLLETVTVKCGHCSNLSFLSTRPPFQGQCLDHQFTLQKQGFFSDLRMGQSSSSSSSTSSEPLSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSTAAKNWARYIPNSAAGSVSGSSNSVSKKINVMCV >Manes.05G111000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11231217:11233244:1 gene:Manes.05G111000.v8.1 transcript:Manes.05G111000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEEKVSMELVPPSEHLCYVRCNFCNTVLAVGIPCKRLLETVTVKCGHCSNLSFLSTRPPFQGQCLDHQFTLQKKQGFFSDLRMGQSSSSSSSTSSEPLSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSTAAKNWARYIPNSAAGSVSGSSNSD >Manes.05G111000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11231217:11233147:1 gene:Manes.05G111000.v8.1 transcript:Manes.05G111000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEEKVSMELVPPSEHLCYVRCNFCNTVLAVGIPCKRLLETVTVKCGHCSNLSFLSTRPPFQGQCLDHQFTLQKKQGFFSDLRMGQSSSSSSSTSSEPLSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSTAAKNWARYIPNSAAGSVSGSSNSVSKKINVMCV >Manes.07G039036.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4767071:4769206:1 gene:Manes.07G039036.v8.1 transcript:Manes.07G039036.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLHINYEGSINRDKNIFLDVVCFFKELNKENAMRIKKLLFDVPAEKVLVDDFTITIEDNDNLLIHGHRSFNILEIEYEVSPKKTKPNKATMKVEPLPNGHPPTPVSNPQLEVEDAVPKEDFPQQEKNKVPPSLMEKQEKLVPNGILKAPKVELLPQEEVHNEAAMVKPEMELPAQAEEDNSEDTKDTLQEKKQELGDYFNMSLEEIHQANAFNNIEKIVSTLTHNSATLYEKANLQKLMDRFTEFKGSVPDSVTTAERTQAHSISLLMKSIMLKQSLAHVQEQLRSSEAGLSKISKEKEELDIQIQSLISRKEKLIEHKKSTEFQLETTKKTVSTNLSEQKMIDGEIEQAYENWFKAKEKLVLANASWKLFKECIEL >Manes.S040216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1152426:1153238:-1 gene:Manes.S040216.v8.1 transcript:Manes.S040216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.14G173000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28672731:28683238:-1 gene:Manes.14G173000.v8.1 transcript:Manes.14G173000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATEAEEESTWEQVLRKMLPAGAPLPDEDHLDYSIAVEYQGPPVPYQVPRVDPLNLDSLSIRSSSLVSVADDTDVSVPVAAPITASKISKFSRFRNGTANVLVKESTSSVPQIEKAVNGENEEEGESAQVVNGRKGEENEGKRVNVVKFNTPRDSETEDDGYSSTQSSAAADALRPTSAVRKGSRKRGVCSRCGKKNRLKEREACLVCDARYCSNCMLKAMGSMPEGRKCVSCIGHPIDELKRSSLGKCSRILSKVCSPLEVRQIMRAEKECAANQLRPEQLIVNGRSLRQEELAEVLGCPIPPQNLKPGKYWYDKDSGLWGKEGEKPDRIISSKLNVGGKLRSDASNGNTKVYINGREITKVELRVLKLAKVQCPRDTHFWVYDDGSYEEEGQNKIKGKIWGKASTRFICSLFSLPVLHGNPFVPKEDPTTFSGKSVPKYWEQGGVQKLLLLGLEGSGTSTIFKQAKFLYGNKFTPEELQNIKVMIQSNMYKYLSMLVEGRERFEEEALMEKTTTPVNAEESASEFEGNQQCIYSINQRSKHFSDWLLDIMATGDLDAFFPAATREYAPIVDEVWKDPAIQETYKRREELHLADVAKYFLDQAVEISSNEYEPSEKDILYAEGVTKSNGLAFMEFSFDDRSPMSEIYNEESECLPPLDKYQLIRINSKGLHDGCKWLEMFEDVRAVIFCVALSDYDQTWAYGSGPSCNKMLASRDIFESLMRHPCFLDTPFVLLLNKYDAFEDKINQVPLSTCEWFKEFCPVRTHNNNQTLAHQAYYYVAVKFKELYSSITGRKLFVWQARARERTSVDEAFKYIREVLKWDEEKDDDMYGITGDDSFYSTEMSSSPYMGPE >Manes.15G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1046186:1048031:1 gene:Manes.15G011800.v8.1 transcript:Manes.15G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIQNGMLWEVEGKWVVQGAVDVDIGANPSAEGADEDEGVDDQAVKVVDIVDTFRLQEQPSFDKKQFVTYMKRFIKLLTAKLDGEKQETFKKNIEAATKFLLSKLSDLQFFVGESMHDDGSLVFAYYKEGATDPTFLYFAYALKEVKC >Manes.15G011800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1046186:1048031:1 gene:Manes.15G011800.v8.1 transcript:Manes.15G011800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIQNGMLWEVEGKWVVQGAVDVDIGANPSAEGADEDEGVDDQAVKVVDIVDTFRLQFVTYMKRFIKLLTAKLDGEKQETFKKNIEAATKFLLSKLSDLQFFVGESMHDDGSLVFAYYKEGATDPTFLYFAYALKEVKC >Manes.05G059400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4936034:4941070:1 gene:Manes.05G059400.v8.1 transcript:Manes.05G059400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGMVNRIQRACTVLGDYGGGDNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIDEGSQEYAEFLHLPKRPFTDFNLVRQEIQDETDRLTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQEIETMVRSYVEKPNSIILAISPANQDIATSDAIKLSREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGVVNRSQADINKNIDMIVARRKEREYFATSPDYGHLANKMGSEYLAKLLSKQLESVIRARIPSILSLINKSIEELESEMDHLGRPVAVDAGAQLYTILELCRAFDRIFKEHLEGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQAAIAAASNEALERFREDGSPRKWRKEEVLQEIRLPQLWTGTQKDTSGGLGLIFPLMLRWSQRRSKTQFLRLWFIAKLERPNNHC >Manes.05G059400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4935970:4941070:1 gene:Manes.05G059400.v8.1 transcript:Manes.05G059400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGMVNRIQRACTVLGDYGGGDNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIDEGSQEYAEFLHLPKRPFTDFNLVRQEIQDETDRLTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQEIETMVRSYVEKPNSIILAISPANQDIATSDAIKLSREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGVVNRSQADINKNIDMIVARRKEREYFATSPDYGHLANKMGSEYLAKLLSKQLESVIRARIPSILSLINKSIEELESEMDHLGRPVAVDAGAQLYTILELCRAFDRIFKEHLEGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQAAIAAASNEALERFREDGSGERRKSCRKSGFLNCGPVRRRTLQEDWV >Manes.05G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4936152:4941070:1 gene:Manes.05G059400.v8.1 transcript:Manes.05G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGMVNRIQRACTVLGDYGGGDNTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIDEGSQEYAEFLHLPKRPFTDFNLVRQEIQDETDRLTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQEIETMVRSYVEKPNSIILAISPANQDIATSDAIKLSREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGVVNRSQADINKNIDMIVARRKEREYFATSPDYGHLANKMGSEYLAKLLSKQLESVIRARIPSILSLINKSIEELESEMDHLGRPVAVDAGAQLYTILELCRAFDRIFKEHLEGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQAAIAAASNEALERFREDGKKTVIRLVDMESSYLTVEFFRRLPQEVEKGGSPAGNPASSTVDRYAEGHFRRIGSNISSYVAMVSETLKNTIPKAVVYCQVREAKQSLLNRFYTQLGKKEGKQLSELLDEDPAVMERRQQCAKRLELYKSSRDEIDSVSWER >Manes.02G082900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6522061:6528386:-1 gene:Manes.02G082900.v8.1 transcript:Manes.02G082900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIGQNSHDNNYDDLSQGFYHKLGENSNMSIDSYDSLQTSNGGRSVAMSIESIGSNDSHTRILNHQGLRRHADDNYSVQQSVNHNGRVTHALNDDALARALMDSNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEEVAIKILERPENDPERAKLMEQQFQQEVMMLATLKHPNIVGFVGACRKPMVWCIVTEYAKGGSMRQFLMRRHNRAVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDANPDVRPPFIEVVKMLENAETEILTTVRKARFRCCMALPMTVD >Manes.01G233600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39702738:39708118:1 gene:Manes.01G233600.v8.1 transcript:Manes.01G233600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDVTGGVNFGVTSTGAAPPGTSAKAAQAQVRRGGGDGAEAQYVSAKTSVWWDIENCQVPKGCDPHAIAQNISSALVNMNYCGPVSISAYGDTNRIPQSVQHALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNCLHQLRMRRYNILLAQPQQASAPLTAAAKTVWLWTSLAAGGPPVTSGESSQLANVSSKCVAITSQSRYPEPIQLSSESLSLGTPNSNANIRVGDTISKGKYFHNALNQPAISRTLSSGVIQETKSNSYTYQPEFTQTKQFKKAPHEFFRGGGSVVTATMSAPNFFPGNSDPAGNNGSSTISGGQNPYSQFVRPNNSMQIHASPHGNFSMNPKPDGIRPVASFTNTPDIGKLGISERQVAPNFHLQAVEELKKGSLESHNFGTLNAPNKGHPTHNNQMNKWHPRGPGFPLLPSSPVVGNTTFSTDIWGAQGRPSPSDYVQGLIGIILLALDTLKAEKVMPTEANITDCIRFGELKHQNTDVRKALDSAIEQNMVVEQRLGTLQLYVGKNERLWKCVNTTGGNPNQYPKGTWDKIQKFLTSSAGRSAILASHCRYEAALILKKACLEEYALGDVLQILNMLSSPKKWIMHHPSGWQPIAITLKD >Manes.06G068100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18827254:18836436:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.06G068100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18828302:18835964:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.06G068100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18828302:18836437:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGVRYFPLLVTLMMYVKTILYACNSVCLCG >Manes.06G068100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18828302:18836476:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.06G068100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18827254:18836436:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.06G068100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18828302:18836437:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGVRYFPLLVTLMMYVKTILYACNSVCLCG >Manes.06G068100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18828302:18836436:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.06G068100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18827254:18836443:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.06G068100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18828302:18836476:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.06G068100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18827254:18836443:-1 gene:Manes.06G068100.v8.1 transcript:Manes.06G068100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPELLETSIHLDASLSSCNSGTFKYSETVEENENLGVDILNDLDTYWEDIKDRLVVSRIVSDSVVKGMISAVEQEAAEKIAQKESELDKLKETLHLYHVGTDENGSMRHSMICHEPKSRKYSLHSTHSNGMLDHEKLQDIGNLKFAAKDQFKKLKKEIDKIRKGSGSLGLSGILQDNMSDKWIDVDRALDSLRTTLESTYVHAEDMVYLSKSLVCDCQQEREFQAEIEGIVIKNCIQSVQEDFEQRLWDLNARSYGNESVNWLEKIKEISSLRQELDAISKSLAVHESGHLISHGSLEHRKPSVNHVSSSSLREGNGKHDESTTVVPENMDYAQLKHFSKEELFHYCKAEMTKMKRDHELKVQQMTEEYFSLKREYLKERGSSLPVRKDKEFDSLRKKIPEVILKLDDILMENEKLPSFTNNGDCLNSLKDRLESLSSENRHLRDLLMDKRKEIKCLSSQVSDAAEKILEHSLAEEKLSRMLEDLKCVIEDANIEASISDDLYQFLVKEVVSQMKSFIQELNMEHDIIDGIYEIIFKEATHDNEPSGNLEIEDSVIESIITQGICEVIFRESFKEAEEKVGTWNLKYINENKLRLSLEMQASEKERELRLNISEREKLEQKVPLLTKVIEDKESLVQETTDALAKEKEKFELLSQELGDLRFQITQQQISISKNNEELQFVKGDLIKALEMIEMDKGVISKLREQLEIATKKLREVDEEKSMLLSISQQQQNTLSLVETREREHRKQINSIIVLIQGLSKAVNDFEYRATEDIKMNSFRLETLSSQLSSLMQKANTLRKTELLNKQRLEKRCSDLEKAEAEVDLLGDEVDALLSLLEKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.09G117100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31966848:31971349:1 gene:Manes.09G117100.v8.1 transcript:Manes.09G117100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRVKHENLVKFIGACKEPLMVIVTELLPGMSLRKYLNSLRPKQLELCVAINFALDVARAMDCLHANGIIHRDLKPDNLLLTSSQKSLKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSIPEDISPDLAFIIQSCWVEDPNLRPSFSQIIRMLNAFLFTLSPPPTDVPESDSHETAASSNGTMTEFSARARGKFSFLRQLFAAKRTRNSQ >Manes.09G117100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31966692:31971579:1 gene:Manes.09G117100.v8.1 transcript:Manes.09G117100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSGSGSSDKNRGREAEEEQQQPVFRRSVEVEPATLTENGSLTAQELSIDDCLLVDPKLLFIGSKIGEGAHGKVYEGRYGDRIVAIKVLNRGSTSEERAALENRFAREVNMMSRVKHENLVKFIGACKEPLMVIVTELLPGMSLRKYLNSLRPKQLELCVAINFALDVARAMDCLHANGIIHRDLKPDNLLLTSSQKSLKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSIPEDISPDLAFIIQSCWVEDPNLRPSFSQIIRMLNAFLFTLSPPPTDVPESDSHETAASSNGTMTEFSARARGKFSFLRQLFAAKRTRNSQ >Manes.09G117100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31966848:31971349:1 gene:Manes.09G117100.v8.1 transcript:Manes.09G117100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSGSGSSDKNRGREAEEEQQQPVFRRSVEVEPATLTENGSLTAQELSIDDCLLVDPKLLFIGSKIGEGAHGKVYEGRYGDRIVAIKVLNRGSTSEERAALENRFAREVNMMSRVKHENLVKFIGACKEPLMVIVTELLPGMSLRKYLNSLRPKQLELCVAINFALDVARAMDCLHANGIIHRDLKPDNLLLTSSQKSLKLADFGLAREESVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSIPEDISPDLAFIIQSCWVEDPNLRPSFSQIIRMLNAFLFTLSPPPTDVPESDSHETAASSNGTMTEFSARARGKFSFLRQLFAAKRTRNSQ >Manes.15G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3521294:3527092:-1 gene:Manes.15G046300.v8.1 transcript:Manes.15G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTIVPASSSFIANKKDLGLSAFSSSSSSFSIPKCRKSISKKIVSVMAPQQSERKTTTTGSVKTAMTMTEKILARASEKPQLSPGENVWVNVDILMTHDICGPGSIGIFKREFGENAKVWDHEKIVIIPDHYIFTADERSNRNVDILRDFCLEQNIKYFYDIKDISNFKVNPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGAGKLLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLSMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDEQARFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSSGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >Manes.15G046300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3521294:3527092:-1 gene:Manes.15G046300.v8.1 transcript:Manes.15G046300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTIVPASSSFIANKDLGLSAFSSSSSSFSIPKCRKSISKKIVSVMAPQQSERKTTTTGSVKTAMTMTEKILARASEKPQLSPGENVWVNVDILMTHDICGPGSIGIFKREFGENAKVWDHEKIVIIPDHYIFTADERSNRNVDILRDFCLEQNIKYFYDIKDISNFKVNPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGAGKLLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLSMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDEQARFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSSGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >Manes.05G118500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12313712:12314409:-1 gene:Manes.05G118500.v8.1 transcript:Manes.05G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSPEHTSTTFSGSHKRLTMGLLMSISSLMALWAKHATKVSTKLKTTATKSLHHRHHHDGDKTPRLLSPKSPIVVRPKQLVTQISNKAISFIHNKKRANEDNDVVADSEQFGDGGVWQKAILMGDKCQPLDFSGVIYYDSCGKQLNEIPLRSPRASPMPGYSTRGKLVKN >Manes.02G116400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8924874:8936826:-1 gene:Manes.02G116400.v8.1 transcript:Manes.02G116400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSEKGLNEGHYKQFVFLLKDGKTICRTQCLNPPAEVPRRWNLHEIVPRSRVKIHHNLPHCFLRPAAECLRDQNEWARFLSHLQKRDTVAIAKFDFFEFYILPPVEAYNFSHVRVAYRAEKQSGQKPCESVVDTAEACQFKQQSLDPTEICGNSCSMSPHEFSARNNGHMQSRDVSISEDNGVPFAKFAKSNGDHLGSVPVGRWQPCAVKRDGSLEKNYVQADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISIEIIYSKRDGKDIPMLSVIDDGHGMTHQEVVRMMCFGHKQPDANHQDHIGRFGVGFKTGAMRLGKDALVLTQTTDSRSIAFLSHSLNEGKDNLEIPIVSYCRKGQFMELDTSVQSEALAKYNLKAIKEFSPFDKYLIGEKAGLFSKKLTGTQIYIWNLDKWGSNYCLDWTAGLTGRSSFHQGDILIRSRRVRSRPGQISQKVPLDYSLRSYLEVIFLVPRMRIYVQGSLVKSRPLAKSLNNTCEANGIIMGKRVHLTLGRCQLEWEQANCGIFLYWHGRLIEAYKRVGGMVHNGAVGRGVIGVVDVSDIMDDVNGRVWVHSNKQGFQDCESYALLEEWLGKKADEYWDKNFDSLVLKKGSFLYKPDHEWVQCDKCRKWRMLSSGFDSKNLPAEWFCYMEPFEGSCETPEQKVERGVITVSAKRSGYGCRDVEDDATLTSEGDSDENFDQTKKGSRQALKRIRKGVSRACKNAV >Manes.02G116400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8924874:8936799:-1 gene:Manes.02G116400.v8.1 transcript:Manes.02G116400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSEKGLNEGHYKQFVFLLKDGKTICRTQCLNPPAEVPRRWNLHEIVPRSRVKIHHNLPHCFLRPAAECLRDQNEWARFLSHLQKRDTVAIAKFDFFEFYILPPVEAYNFSHVRVAYRAEKQSGQKPCESVVDTAEACQFKQQSLDPTEICGNSCSMSPHEFSARNNGHMQSRDVSISEDNGVPFAKFAKSNGDHLGSVPVGRWQPCAVKRDGSLEKNYVQADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISIEIIYSKRDGKDIPMLSVIDDGHGMTHQEVVRMMCFGHKQPDANHQDHIGRFGVGFKTGAMRLGKDALVLTQTTDSRSIAFLSHSLNEGKDNLEIPIVSYCRKGQFMELDTSVQSEALAKYNLKAIKEFSPFDKYLIGEKAGLFSKKLTGTQIYIWNLDKWGSNYCLDWTAGLTGRSSFHQGDILIRSRRVRSRPGQISQKVPLDYSLRSYLEVIFLVPRMRIYVQGSLVKSRPLAKSLNNTCEANGIIMGKRVHLTLGRCQLEWEQANCGIFLYWHGRLIEAYKRVGGMVHNGAVGRGVIGVVDVSDIMDDVNGRVWVHSNKQGFQDCESYALLEEWLGKKADEYWDKNFDSLVLKKGSFLYKPDHEWVQCDKCRKWRMLSSGFDSKNLPAEWFCYMEPFEGSCETPEQKVERGVITVSAKRSGYGCRDVEDDATLTSEGDSDENFDQTKKGSRQALKRIRKGVSRACKNAV >Manes.02G116400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8924853:8936413:-1 gene:Manes.02G116400.v8.1 transcript:Manes.02G116400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSEKGLNEGHYKQFVFLLKDGKTICRTQCLNPPAEVPRRWNLHEIVPRSRVKIHHNLPHCFLRPAAECLRDQNEWARFLSHLQKRDTVAIAKFDFFEFYILPPVEAYNFSHVRVAYRAEKQSGQKPCESVVDTAEACQFKQQSLDPTEICGNSCSMSPHEFSARNNGHMQSRDVSISEDNGVPFAKFAKSNGDHLGSVPVGRWQPCAVKRDGSLEKNYVQADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISIEIIYSKRDGKDIPMLSVIDDGHGMTHQEVVRMMCFGHKQPDANHQDHIGRFGVGFKTGAMRLGKDALVLTQTTDSRSIAFLSHSLNEGKDNLEIPIVSYCRKGQFMELDTSVQSEALAKYNLKAIKEFSPFDKYLIGEKAGLFSKKLTGTQIYIWNLDKWGSNYCLDWTAGLTGRSSFHQGDILIRSRRVRSRPGQISQKVPLDYSLRSYLEVIFLVPRMRIYVQGSLVKSRPLAKSLNNTCEANGIIMGKRVHLTLGRCQLEWEQANCGIFLYWHGRLIEAYKRVGGMVHNGAVGRGVIGVVDVSDIMDDVNGRVWVHSNKQGFQDCESYALLEEWLGKKADEYWDKNFDSLVLKKGSFLYKPDHEWVQCDKCRKWRMLSSGFDSKNLPAEWFCYMEPFEGSCETPEQKVERGVITVSAKRSGYGCRDVEDDATLTSEGDSDENFDQTKKGSRQALKRIRKGVSRACKNAV >Manes.02G116400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8924893:8936759:-1 gene:Manes.02G116400.v8.1 transcript:Manes.02G116400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSEKGLNEGHYKQFVFLLKDGKTICRTQCLNPPAEVPRRWNLHEIVPRSRVKIHHNLPHCFLRPAAECLRDQNEWARFLSHLQKRDTVAIAKFDFFEFYILPPVEAYNFSHVRVAYRAEKQSGQKPCESVVDTAEACQFKQQSLDPTEICGNSCSMSPHEFSARNNGHMQSRDVSISEDNGVPFAKFAKSNGDHLGSVPVGRWQPCAVKRDGSLEKNYVQADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISIEIIYSKRDGKDIPMLSVIDDGHGMTHQEVVRMMCFGHKQPDANHQDHIGRFGVGFKTGAMRLGKDALVLTQTTDSRSIAFLSHSLNEGKDNLEIPIVSYCRKGQFMELDTSVQSEALAKYNLKAIKEFSPFDKYLIGEKAGLFSKKLTGTQIYIWNLDKWGSNYCLDWTAGLTGRSSFHQGDILIRSRRVRSRPGQISQKVPLDYSLRSYLEVIFLVPRMRIYVQGSLVKSRPLAKSLNNTCEANGIIMGKRVHLTLGRCQLEWEQANCGIFLYWHGRLIEAYKRVGGMVHNGAVGRGVIGVVDVSDIMLLRVHLRCNASSACSFSSTKGSDLYEDDVNGRVWVHSNKQGFQDCESYALLEEWLGKKADEYWDKNFDSLVLKKGSFLYKPDHEWVQCDKCRKWRMLSSGFDSKNLPAEWFCYMEPFEGSCETPEQKVERGVITVSAKRSGYGCRDVEDDATLTSEGDSDENFDQTKKGSRQALKRIRKGVSRACKNAV >Manes.02G116400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8924893:8936759:-1 gene:Manes.02G116400.v8.1 transcript:Manes.02G116400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSEKGLNEGHYKQFVFLLKDGKTICRTQCLNPPAEVPRRWNLHEIVPRSRVKIHHNLPHCFLRPAAECLRDQNEWARFLSHLQKRDTVAIAKFDFFEFYILPPVEAYNFSHVRVAYRAEKQSGQKPCESVVDTAEACQFKQQSLDPTEICGNSCSMSPHEFSARNNGHMQSRDVSISEDNGVPFAKFAKSNGDHLGSVPVGRWQPCAVKRDGSLEKNYVQADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISIEIIYSKRDGKDIPMLSVIDDGHGMTHQEVVRMMCFGHKQPDANHQDHIGRFGVGFKTGAMRLGKDALVLTQTTDSRSIAFLSHSLNEGKDNLEIPIVSYCRKGQFMELDTSVQSEALAKYNLKAIKEFSPFDKYLIGEKAGLFSKKLTGTQIYIWNLDKWGSNYCLDWTAGLTGRSSFHQGDILIRSRRVRSRPGQISQKVPLDYSLRSYLEVIFLVPRMRIYVQGSLVKSRPLAKSLNNTCEANGIIMGKRVHLTLGRCQLEWEQANCGIFLYWHGRLIEAYKRVGGMVHNGAVGRGVIGVVDVSDIMLLRVHLRCNASSACSFSSTKGSDLYEDDVNGRVWVHSNKQGFQDCESYALLEEWLGKKADEYWDKNFDSLVLKKGSFLYKPDHEWVQCDKCRKWRMLSSGFDSKNLPAEWFCYMEPFEGSCETPEQKVERGVITVSAKRSGYGCRDVEDDATLTSEGDSDENFDQTKKGSRQALKRIRKGVSRACKNAV >Manes.02G116400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8924874:8936798:-1 gene:Manes.02G116400.v8.1 transcript:Manes.02G116400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSEKGLNEGHYKQFVFLLKDGKTICRTQCLNPPAEVPRRWNLHEIVPRSRVKIHHNLPHCFLRPAAECLRDQNEWARFLSHLQKRDTVAIAKFDFFEFYILPPVEAYNFSHVRVAYRAEKQSGQKPCESVVDTAEACQFKQQSLDPTEICGNSCSMSPHEFSARNNGHMQSRDVSISEDNGVPFAKFAKSNGDHLGSVPVGRWQPCAVKRDGSLEKNYVQADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISIEIIYSKRDGKDIPMLSVIDDGHGMTHQEVVRMMCFGHKQPDANHQDHIGRFGVGFKTGAMRLGKDALVLTQTTDSRSIAFLSHSLNEGKDNLEIPIVSYCRKGQFMELDTSVQSEALAKYNLKAIKEFSPFDKYLIGEKAGLFSKKLTGTQIYIWNLDKWGSNYCLDWTAGLTGRSSFHQGDILIRSRRVRSRPGQISQKVPLDYSLRSYLEVIFLVPRMRIYVQGSLVKSRPLAKSLNNTCEANGIIMGKRVHLTLGRCQLEWEQANCGIFLYWHGRLIEAYKRVGGMVHNGAVGRGVIGVVDVSDIMDDVNGRVWVHSNKQGFQDCESYALLEEWLGKKADEYWDKNFDSLVLKKGSFLYKPDHEWVQCDKCRKWRMLSSGFDSKNLPAEWFCYMEPFEGSCETPEQKVERGVITVSAKRSGYGCRDVEDDATLTSEGDSDENFDQTKKGSRQALKRIRKGVSRACKNAV >Manes.02G116400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8924893:8936759:-1 gene:Manes.02G116400.v8.1 transcript:Manes.02G116400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSEKGLNEGHYKQFVFLLKDGKTICRTQCLNPPAEVPRRWNLHEIVPRSRVKIHHNLPHCFLRPAAECLRDQNEWARFLSHLQKRDTVAIAKFDFFEFYILPPVEAYNFSHVRVAYRAEKQSGQKPCESVVDTAEACQFKQQSLDPTEICGNSCSMSPHEFSARNNGHMQSRDVSISEDNGVPFAKFAKSNGDHLGSVPVGRWQPCAVKRDGSLEKNYVQADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISIEIIYSKRDGKDIPMLSVIDDGHGMTHQEVVRMMCFGHKQPDANHQDHIGRFGVGFKTGAMRLGKDALVLTQTTDSRSIAFLSHSLNEGKDNLEIPIVSYCRKGQFMELDTSVQSEALAKYNLKAIKEFSPFDKYLIGEKAGLFSKKLTGTQIYIWNLDKWGSNYCLDWTAGLTGRSSFHQGDILIRSRRVRSRPGQISQKVPLDYSLRSYLEVIFLVPRMRIYVQGSLVKSRPLAKSLNNTCEANGIIMGKRVHLTLGRCQLEWEQANCGIFLYWHGRLIEAYKRVGGMVHNGAVGRGVIGVVDVSDIMLLRVHLRCNASSACSFSSTKGSDLYEDDVNGRVWVHSNKQGFQDCESYALLEEWLGKKADEYWDKNFDSLVLKKGSFLYKPDHEWVQCDKCRKWRMLSSGFDSKNLPAEWFCYMEPFEGSCETPEQKVERGVITVSAKRSGYGCRDVEDDATLTSEGDSDENFDQTKKGSRQALKRIRKGVSRACKNAV >Manes.02G116400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8924893:8936759:-1 gene:Manes.02G116400.v8.1 transcript:Manes.02G116400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPSEKGLNEGHYKQFVFLLKDGKTICRTQCLNPPAEVPRRWNLHEIVPRSRVKIHHNLPHCFLRPAAECLRDQNEWARFLSHLQKRDTVAIAKFDFFEFYILPPVEAYNFSHVRVAYRAEKQSGQKPCESVVDTAEACQFKQQSLDPTEICGNSCSMSPHEFSARNNGHMQSRDVSISEDNGVPFAKFAKSNGDHLGSVPVGRWQPCAVKRDGSLEKNYVQADPSYLQTLGQAHSGWIFGAIAELVDNSRDAKASRLDISIEIIYSKRDGKDIPMLSVIDDGHGMTHQEVVRMMCFGHKQPDANHQDHIGRFGVGFKTGAMRLGKDALVLTQTTDSRSIAFLSHSLNEGKDNLEIPIVSYCRKGQFMELDTSVQSEALAKYNLKAIKEFSPFDKYLIGEKAGLFSKKLTGTQIYIWNLDKWGSNYCLDWTAGLTGRSSFHQGDILIRSRRVRSRPGQISQKVPLDYSLRSYLEVIFLVPRMRIYVQGSLVKSRPLAKSLNNTCEANGIIMGKRVHLTLGRCQLEWEQANCGIFLYWHGRLIEAYKRVGGMVHNGAVGRGVIGVVDVSDIMLLRVHLRCNASSACSFSSTKGSDLYEDDVNGRVWVHSNKQGFQDCESYALLEEWLGKKADEYWDKNFDSLVLKKGSFLYKPDHEWVQCDKCRKWRMLSSGFDSKNLPAEWFCYMEPFEGSCETPEQKVERGVITVSAKRSGYGCRDVEDDATLTSEGDSDENFDQTKKGSRQALKRIRKGVSRACKNAV >Manes.06G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8625826:8628429:1 gene:Manes.06G032500.v8.1 transcript:Manes.06G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAQQNQKSSANNNNNGVTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGEDTIINFPLSTYENELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNQNDNTTANNNDLFHPNPNLNIPTHSTPIPNQDLGLSFLQNQQAFQTTSSETVPMEPRPTNATSALGLLLQSSKFKEMMEMTSMTDCPSSHVDQLDPPQCRFPEDIQTYFECQDLTNNYGEGDDMIFAELNSFVPQMFQSDL >Manes.07G058300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6901708:6903574:-1 gene:Manes.07G058300.v8.1 transcript:Manes.07G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPAQGYPPPGYGPPPPAYAPQYAQPPPQQSSSSAGCLEGCLAALCCCCLLDACF >Manes.01G005066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2277002:2283290:1 gene:Manes.01G005066.v8.1 transcript:Manes.01G005066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKLQVVGIQSQLEMGSVVENGSMHEFEDGSLFSPESATQKILPSHIAVYESVSGGFQATGSKRWRRDVYRSIKTVVFSNKLNLLMPVGPLAIFIHQLHGHNGWVFFLSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATELIIAVYALKSKMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFHQKEQVFNKATAIVNSGLLLMAVMGLVFPAVLHYTHTEVHYGKSELALSRFSSCVMLVVYAAYLFFQLRGQKDSYVPISEEVSQNGEIADDNDDEGPEISKWESVIWLGIMTAWISILSEYLVDAIEGASHAWNIPIAFIGVILLPIVGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFAIPFCVVVGWMMGQPMDLNFQLFETATLFITVIVVAFFLQEGTSNYFKGLMLILCYVIVAASFFVHEDPVPT >Manes.09G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1717916:1722072:-1 gene:Manes.09G007000.v8.1 transcript:Manes.09G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVGTSTATVPANNCSNSWNDRIRELQVSKFGNFPKNFWVRNECYSRSKSLALAKKFEFNCSAKRQTDVSTSALVDDVAECLNEIELREPSISTMLMNFESKFDPYGAMSTPLYQTATFKQTSASEYGPYDYTRHGNPTRDALESLMAKLDKADRALCFTSGMAALAAVTHLVGTGEEIIAGDDLYGGSDRLLSQITPKSGIVVKRVNTSMLGDVANAIGPWTKLVWLESPTNPRQQISDIRRIATMAHTHGALVLVDNSIMSSVLSQPLELGADLVMNSATKFISGHSDVMAGVLSIKGESLARDLHLLQSSEGSGLAPFDCWICLRGIKTMALRVEKQQDNAQKIAEFLASHPRVKKVNYAGLTSHPGHHLHYTQAKGAGSVLSFTTGSLALSKHIVETTKYFSITVSFGSVKSLISMPSFMSHASIPATVREARGLTEDLVRISVGIEDVNDLISDLDQALRTGPL >Manes.03G202000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31791175:31792399:-1 gene:Manes.03G202000.v8.1 transcript:Manes.03G202000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAFVHIKVASGFSSIYSLRCIICNFTSMAFSVSMKHGQLFLAVVLLCMSVFCCNIGKAYDEEVPQTGTGLAGYDPFTGSGVVGHVPQTGIGVLEDEPAGILDKALLCFNEKHIYSSCEEAYRLTETGDIKVPYAYVEQYCHGPCLSETHLVLNCVENVMKHFVFYNKATIEAIRETIKAGCSYGPERGDFNVAEHLQAEENRADKTQIKILSGLGLAIIGHTILF >Manes.15G085800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6602240:6603717:1 gene:Manes.15G085800.v8.1 transcript:Manes.15G085800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADLMHNVHAEEEEEYVLLDLDAVSGQVDIPPDAPYVLFGLDTLNPILIIDDKLKLIGEYEETIGTCLVFTEEEAPPLLHEETGPSEANLFTGKYIIDPNQAPSKQVKPVARLHKTLKFRLLSDDDKDASVEANTQKS >Manes.13G029800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3880639:3882277:1 gene:Manes.13G029800.v8.1 transcript:Manes.13G029800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVISSSPVVSLNQSFNSCSATDVAEIASNFQDLSLSLKLNEVTGNELEKFEDDKPLHDEEKGEIEAEEEEEEEFSFVCMNPDGSPISADDIFQNGQIRPVYPLFNTDLLFADGDSEAKNSFTPALLPPFRKPFVEEHDHALSTSSSEADEPEGLFCVWKEKAMEASPNICKKSNSTGFSKLRRFRELVLRSNSDGKDAFVFLNHHNHNDDHKNHPSTVAAAKASTKPRKADKSEKIMEKKRQPEVKGEKASSAHERFYVSNRARKEEHKRKSYLPYRVGFFTNINGLTRNIHPY >Manes.10G127266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29131944:29135981:1 gene:Manes.10G127266.v8.1 transcript:Manes.10G127266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSTPSCKYDVFISFRGKDIRGGFLSHLFDALQRKQINPFMDENLRKGEEISPALLETIQDSYVSIVVFSQNYADSPWCLDELVKILECKEILGQLVLSIFYHVDPTDVQDLIGNFGEAFAVAKHGEEVKGCLDKVDKWRRALMEISNLSGWDSRNIKSESKLVEEIANDVWKKLSLISSSDSYNDNLVGMESRLKKVESLLCIESINDRRVIGIWGMGGIGKTTIAGEVFNRIMDKFDGHCFVVNVREEMRKQTPVVLLDKIINQLLGEKNLHVSTPRLPPFIRRRLQSKKVVIVFDDVDDPNHLKLLAGECALYHNGSRIIVTSRDRQVLKNVCSEGYIYEVEKLTDDEALCLFSSYAFKQNHPKKGFVEISKKLITYAQGIPLALVILGSNLYDKGIEEWESELEKLKEIPDMNIQAVLRISYDGLERHEKSIFLDIACFLKGEPKDRVERIFEGCGFFPRRAISRLIDKALISVSNGKVGMHDLLQQMGKAVVCEESKQLGTRSRLWKYKDICHVLTRDKGTENIEGILLDMSGNGYLELSPTAFMNMCNLRFLKFFNVSTSGPGRVLLPSGLEFLPEELRYYHWEDYPLKSLPINFCPRNLVELRMPDSNLIQLWNQEKALESLKFLDLSYSFELTKVPDLSSAPNLEVLCLRGCSNLIEIPSSVGESKCLKEIDLEYCSKLHSIPQSICNLKSLTHLYISGCLNVKALPENMGDLELLKKLYISGSGIKTLPSSINQLRRLEELRCARCEGLTLPPLTGLSCVREIDLSDCGSVWFLTSLEDLFLGGNNFKATPASIKHLTELRGLLLKGCKRLKCLPELPSCLEDLDASDCTSLESASTSFLFLEHDDEKEAKRLKFHNCINLDKNVHDKVMEDVLNTHLLKHKIVELCIPGVEVPETMRYKNKSGSSLSFRLDQANLTGFSLCAVFDPKVFSHDHIIDISCIANFVGKSGHSSENFIFEALNFVLDPLYSEHVFLWNKLLDMEESFLEASFQFCVSRHSYEPKYHVDRDYDSIIMCGVHPIFREDRLSRDKKRSRIEEDKEDEPSLQRLKNKTENLRIRRMNHQELRLYIDHWHRRSNKLGLTIQRLLCKNSQMHGN >Manes.15G185801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26563150:26564712:1 gene:Manes.15G185801.v8.1 transcript:Manes.15G185801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCYLRQPDGGYRCFVWLSILAKLYLKGLVTVVRVAVASCKILSSSRWIRWNESLIAQMFNERDRSCILNIPLSFSSCSDTWCWKFESKGHYSVKSAYRFVVDGFQHRKGSEIWKRFWKAKVPPKVLNFCWRALVNVVPCLSSLKSKRVPVDPSCPLCHVALENVLHILIQCPFARSCWLSSPLGWPAPSASSLNEWFSLAFSSASVENASLMLMILWGLWQNRNNVVWKGQGQTANAARVVSSVSTIVDPARPIWSPPPHGWIKANIDASLSSFYSQMDVKCAETIAFREALSWIKEYRWDRVLFELDAQVLVMSVNYCKLLLSSYEEAKCVFIHRSANDVAHVLATSTHSESGQGVWIDIPPPHIVSLFSLN >Manes.04G093401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29898111:29903305:1 gene:Manes.04G093401.v8.1 transcript:Manes.04G093401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDYVPKDLVRDILLRLPGKSFIRFKSVCKSWCAIFSEPNFIYKALLSHSEDLNPNHRVLVKSEKHWDFVFSFLSSDTLSMSIPQEIPYPRDIIDKCSFIDIVGSCCNGLICLRDVYFFKNLLDPWRSIYAYQSNIILWNPTTSETKMLPQSNLLHPPRTFISLGIVEFGFDKRASDYKVLRIFCYLNPPDQSIDYIAEIYSLRDDSWRKIDFCLKDWRLLCYKYDRIYYYYHRGHTGANGVFHWWARDSNRNYAIVSFDLSTEVIKTTALPEGIGYSCYRTLFSLNDYVAFPHRNDDNQVELWVLLEYGVKESWTKLYTIPCLGDLCQPVGFSSNGELFFSTWTGQLLVWNPVTETVVYVKVDGVPQTLQAVTYMESHVPLNGGNKFDGEKNFSEA >Manes.16G050200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13552653:13566842:-1 gene:Manes.16G050200.v8.1 transcript:Manes.16G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHQLLSCPCKFLTIFSLILLLFPILVSSKNYCTCETESQNQKNISEALRYKLIAIASILLASAVGVSLPFLAKNISYLRPDGEAFSLVKAFAAGVILATGFIHILPDAFETLSSPCLGENPWKKFPFTGLVAMLSAIGTLMMESFASGYHKRSELRKPQPVMSGDDDDDHDGANEISHIHGSAFALDRINSSELVRHRIISQILELGIVVHSVIIGLSLGASQNSKTIKTLVIALSFHQFFEGMGLGGCISQAKFKPQSVAIMIIFFSLTTPTGIAIGIGISKIYNESSPTALVVAGLLNSASAGILIYMALVDLLASDFMSSKMLGNFKLQLWANLTLLLGVCCMSLLAKWQ >Manes.16G050200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13552653:13566842:-1 gene:Manes.16G050200.v8.1 transcript:Manes.16G050200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHQLLSCPCKFLTIFSLILLLFPILVSSKNYCTCETESQNQKNISEALRYKLIAIASILLASAVGVSLPFLAKNISYLRPDGEAFSLVKAFAAGVILATGFIHILPDAFETLSSPCLGENPWKKFPFTGLVAMLSAIGTLMMESFASGYHKRSELRKPQPVMSGDDDDDHDGANEISHIHGSAFALDRINSSELVRHRIISQAKFKPQSVAIMIIFFSLTTPTGIAIGIGISKIYNESSPTALVVAGLLNSASAGILIYMALVDLLASDFMSSKMLGNFKLQLWANLTLLLGVCCMSLLAKWQ >Manes.08G161240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39702041:39711285:1 gene:Manes.08G161240.v8.1 transcript:Manes.08G161240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNKRVYMEFKPTQKIKSCLKFFDNHFFHASGINTPSSLLLSRSRSFPSIMATSFVPISISGGSQLKANELWSSKSSSFAKTPKLTILRKSDKVGNRKLSVRAEYKDGSKGGGSDFVAGFLIGGAIFGTLAYIFAPQIRRSLLNEDEYGFRRARRPIYYDEGLEKTRQTLNAKISQLNSAIDNVSSRLRGGSKLPTVPIETDPEVEATM >Manes.16G091400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29762569:29773012:1 gene:Manes.16G091400.v8.1 transcript:Manes.16G091400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASFSSPLCTWLVAACMSFTCDKDNWTSSHAFPSSPPGNGLSRSTRRRRALLSKYNNCSSAAATCSEFPPKCLSSVFCGSGFQGLMTSCLALEPCGHYYSSNGLFRSRNFNRKHRRLNRLHHSGEVVAVAMKSEKEVTTKEKPVRKQRRVVVTGMGVVTPLGHDPDVFYNNLLGGVSGITQIEAFDCAQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVVIGSAMGGMKVLNDGIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANIMLCGGSDAAIIPIGLGGFVACRALSQRNDDPMKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYVEFLGGSFTCDAYHMTEPHPDGVGVSLCIEKALIQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGQKPDLRVNSTKSMTGHLLGAAGAVEAIAAIQAIRTGWIHPNINLENPDQGVVFFLLCFSELCFYDSLWTQKFWLVQRKRDWTSRLHCPTHLGLVAKTHRYFLHHTSDTRLTQP >Manes.16G091400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29762590:29773012:1 gene:Manes.16G091400.v8.1 transcript:Manes.16G091400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASFSSPLCTWLVAACMSFTCDKDNWTSSHAFPSSPPGNGLSRSTRRRRALLSKYNNCSSAAATCSEFPPKCLSSVFCGSGFQGLMTSCLALEPCGHYYSSNGLFRSRNFNRKHRRLNRLHHSGEVVAVAMKSEKEVTTKEKPVRKQRRVVVTGMGVVTPLGHDPDVFYNNLLGGVSGITQIEAFDCAQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVVIGSAMGGMKVLNDGIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANIMLCGGSDAAIIPIGLGGFVACRALSQRNDDPMKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYVEFLGGSFTCDAYHMTEPHPDGVGVSLCIEKALIQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGQKPDLRVNSTKSMTGHLLGAAGAVEAIAAIQAIRTGWIHPNINLENPDQGVVFFLLCFSELCFYDSLWTQKFWLVQRKRDWTSRLHCPTHLGLVAKTHRYFLHHTSDTRLTQP >Manes.16G091400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29762573:29773012:1 gene:Manes.16G091400.v8.1 transcript:Manes.16G091400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASFSSPLCTWLVAACMSFTCDKDNWTSSHAFPSSPPGNGLSRSTRRRRALLSKYNNCSSAAATCSEFPPKCLSSVFCGSGFQGLMTSCLALEPCGHYYSSNGLFRSRNFNRKHRRLNRLHHSGEVVAVAMKSEKEVTTKEKPVRKQRRVVVTGMGVVTPLGHDPDVFYNNLLGGVSGITQIEAFDCAQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVVIGSAMGGMKVLNDGIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANIMLCGGSDAAIIPIGLGGFVACRALSQRNDDPMKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYVEFLGGSFTCDAYHMTEPHPDGVGVSLCIEKALIQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGQKPDLRVNSTKSMTGHLLGAAGAVEAIAAIQAIRTGWIHPNINLENPDQGVDTKVLVGPKKERLDIKVALSNSFGFGGQNSSILFAPYK >Manes.16G091400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29762571:29773012:1 gene:Manes.16G091400.v8.1 transcript:Manes.16G091400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASFSSPLCTWLVAACMSFTCDKDNWTSSHAFPSSPPGNGLSRSTRRRRALLSKYNNCSSAAATCSEFPPKCLSSVFCGSGFQGLMTSCLALEPCGHYYSSNGLFRSRNFNRKHRRLNRLHHSGEVVAVAMKSEKEVTTKEKPVRKQRRVVVTGMGVVTPLGHDPDVFYNNLLGGVSGITQIEAFDCAQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVVIGSAMGGMKVLNDGIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANIMLCGGSDAAIIPIGLGGFVACRALSQRNDDPMKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYVEFLGGSFTCDAYHMTEPHPDGVGVSLCIEKALIQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGQKPDLRVNSTKSMTGHLLGAAGAVEAIAAIQAIRTGWIHPNINLENPDQGVVFFLLCFSELCFYDSLWTQKFWLVQRKRDWTSRLHCPTHLGLVAKTHRYFLHHTSDTRLTQP >Manes.16G091400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29762569:29773012:1 gene:Manes.16G091400.v8.1 transcript:Manes.16G091400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASFSSPLCTWLVAACMSFTCDKDNWTSSHAFPSSPPGNGLSRSTRRRRALLSKYNNCSSAAATCSEFPPKCLSSVFCGSGFQGLMTSCLALEPCGHYYSSNGLFRSRNFNRKHRRLNRLHHSGEVVAVAMKSEKEVTTKEKPVRKQRRVVVTGMGVVTPLGHDPDVFYNNLLGGVSGITQIEAFDCAQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVVIGSAMGGMKVLNDGIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANIMLCGGSDAAIIPIGLGGFVACRALSQRNDDPMKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYVEFLGGSFTCDAYHMTEPHPDGVGVSLCIEKALIQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGQKPDLRVNSTKSMTGHLLGAAGAVEAIAAIQAIRTGWIHPNINLENPDQGVDTKVLVGPKKERLDIKVALSNSFGFGGQNSSILFAPYK >Manes.16G091400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29762569:29773012:1 gene:Manes.16G091400.v8.1 transcript:Manes.16G091400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASFSSPLCTWLVAACMSFTCDKDNWTSSHAFPSSPPGNGLSRSTRRRRALLSKYNNCSSAAATCSEFPPKCLSSVFCGSGFQGLMTSCLALEPCGHYYSSNGLFRSRNFNRKHRRLNRLHHSGEVVAVAMKSEKEVTTKEKPVRKQRRVVVTGMGVVTPLGHDPDVFYNNLLGGVSGITQIEAFDCAQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVVIGSAMGGMKVLNDGIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANIMLCGGSDAAIIPIGLGGFVACRALSQRNDDPMKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYVEFLGGSFTCDAYHMTEPHPDGVGVSLCIEKALIQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGQKPDLRVNSTKSMTGHLLGAAGAVEAIAAIQAIRTGWIHPNINLENPDQGVDTKVLVGPKKERLDIKVALSNSFGFGGQNSSILFAPYK >Manes.16G091400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29762592:29769908:1 gene:Manes.16G091400.v8.1 transcript:Manes.16G091400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASFSSPLCTWLVAACMSFTCDKDNWTSSHAFPSSPPGNGLSRSTRRRRALLSKYNNCSSAAATCSEFPPKCLSSVFCGSGFQGLMTSCLALEPCGHYYSSNGLFRSRNFNRKHRRLNRLHHSGEVVAVAMKSEKEVTTKEKPVRKQRRVVVTGMGVVTPLGHDPDVFYNNLLGGVSGITQIEAFDCAQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVVIGSAMGGMKVLNDGIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANIMLCGGSDAAIIPIGLGGFVACRALSQRNDDPMKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYVEFLGGSFTCDAYHMTEPHPDGVGVSLCIEKALIQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGQKPDLRVNSTKSMTGHLLGAAGAVEAIAAIQAIRTGWIHPNINLENPDQGVVFFLLCFSELCFYDSLWTQKFWLVQRKRDWTSRLHCPTHLGLVAKTHRYFLHHTSDTRLTQP >Manes.16G091400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29762592:29769908:1 gene:Manes.16G091400.v8.1 transcript:Manes.16G091400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSASFSSPLCTWLVAACMSFTCDKDNWTSSHAFPSSPPGNGLSRSTRRRRALLSKYNNCSSAAATCSEFPPKCLSSVFCGSGFQGLMTSCLALEPCGHYYSSNGLFRSRNFNRKHRRLNRLHHSGEVVAVAMKSEKEVTTKEKPVRKQRRVVVTGMGVVTPLGHDPDVFYNNLLGGVSGITQIEAFDCAQFPTRIAGEIKSFSTDGWIAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVVIGSAMGGMKVLNDGIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANIMLCGGSDAAIIPIGLGGFVACRALSQRNDDPMKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYVEFLGGSFTCDAYHMTEPHPDGVGVSLCIEKALIQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGQKPDLRVNSTKSMTGHLLGAAGAVEAIAAIQAIRTGWIHPNINLENPDQGVDTKVLVGPKKERLDIKVALSNSFGFGGQNSSILFAPYK >Manes.06G147200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27343443:27346597:1 gene:Manes.06G147200.v8.1 transcript:Manes.06G147200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQMERPHGHRYEQEQHHAGLHAAAEGENEHHQEKSVLKKVKAKARKIKDTLKLHGHGHDHDQNLPREGHVPDDHDLDEEDDEEFDDPEVHNVGAGSASESAPTRSSMPGQEDVSKDFQAKETDPMESFIHAHDEDRGQLKVAAGNTSNMKEVPYTPLSTPASIAPGIMEQTKGTDPIGNFAREQEAIRGQPEVNLDRPIGLEEDPHAPKSRPGEHDPSNYQTKVSDPTSAGGEEVEVGPILRSFDNMKFSDEPNPVTEENLSTRKYDQSIFPTGSHDQFSPEPTPPIPMTFQENPTSALLTDARKDDAKEHEMHGGQDQTKAAPALEYGRRIATSVTEKLTPVYEKVAETGSTVMSKVHGTRTGSDKEVEHKATDQDKGVSVKDYVTEKLKPGEEDRALSEVISEALHKKKPDAEKADKPMGRVTESEEVNRRLGGMEEDSGERVNTGSVHVSGSGMVEKVKGVVGSLFGKGTETPEHSLDSSAGTETASASGKKTERRLQESGN >Manes.06G147200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27343443:27346597:1 gene:Manes.06G147200.v8.1 transcript:Manes.06G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQMERPHGHRYEQEQHHAGLHAAEGENEHHQEKSVLKKVKAKARKIKDTLKLHGHGHDHDQNLPREGHVPDDHDLDEEDDEEFDDPEVHNVGAGSASESAPTRSSMPGQEDVSKDFQAKETDPMESFIHAHDEDRGQLKVAAGNTSNMKEVPYTPLSTPASIAPGIMEQTKGTDPIGNFAREQEAIRGQPEVNLDRPIGLEEDPHAPKSRPGEHDPSNYQTKVSDPTSAGGEEVEVGPILRSFDNMKFSDEPNPVTEENLSTRKYDQSIFPTGSHDQFSPEPTPPIPMTFQENPTSALLTDARKDDAKEHEMHGGQDQTKAAPALEYGRRIATSVTEKLTPVYEKVAETGSTVMSKVHGTRTGSDKEVEHKATDQDKGVSVKDYVTEKLKPGEEDRALSEVISEALHKKKPDAEKADKPMGRVTESEEVNRRLGGMEEDSGERVNTGSVHVSGSGMVEKVKGVVGSLFGKGTETPEHSLDSSAGTETASASGKKTERRLQESGN >Manes.06G060900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19476281:19503178:-1 gene:Manes.06G060900.v8.1 transcript:Manes.06G060900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPLVWYCRPVANGLWTRAVENAFGAYTPCATDTLVVVISHLALLALCLYRIWLIKKDFKVQRFRLRSKWYNYFLGLLSGYSTAEPLFRLIMGISVLNINGQTGLAPYEIVSLTIEALAWCSVFVMIGVETKVYIRDFRWFVRFGVLYTLVGDAVILNLILTVKEFYNSSVLYLYISEVFVQVLFGILLLVYVPDLDPYPGYTPIRAESVEDAEYEELPGGEYICPEQHVNIFSKTIFAWMNPIMKLGYKRPLTEKDIWKLDTWDRTETLNNRFQKCWAEESQRPKPWLLRALNSSLGGRFWWGGFWKIGNDVSQFVGPLLLNQLLQSMQEGDPAWIGYIYAFSIFAGVVFGVLFEAQYFQNVMRVGYRLRSTLIAAVFRKSLRLTHESRQKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIIAMVLLFQQLGVASLLGALLLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQAKVQTVRDDELSWFRKASLLGACNGFILNSIPVVVTVISFGMFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPGQPAISIKNGYFSWDSKAERPTLSNINVDIPIGSLVAIVGSTGEGKTSLISAMLGELPAISDTSAVIRGSVAYVPQVSWIFNATVRDNILFGSPFDHARYERAIDVTSLQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGQQVFDKCIKGELSRKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNNGVLFQKLMENAGKMEEYVEDKENGETVDLKPSSKPVANGEMNDLPKNATETKKRKEGKSILIKQEERETGVVSWKVLMRYKNALGGAWVVMILFMCYVMTEVLRVSSSTWLSNWTDQGTTKIHGPIYYNLIYSLLSIGQVLVTLLNSYWLIISSLYAARRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAVFVNMFLGQVSQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRMDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGRSMDNNIRFTLVNMSANRWLAIRLETLGGVMIWLTATFAVMQNGRAENQQAFASTMGLLLSYALNITGLLTAVLRLASLAENSLNAVERVGTYIDLPSEAPSIVEGNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGLSFVVSPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDGCDIAKFGLMDLRKVLGIIPQSPVLFSGTVRFNLDPFDEHNDADLWEALERAHLKDVIRRNSLGLDAQVSESGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGQVLEYDTPEELLSNEASAFSKMVQSTGAANAQYLRSLVLGEEGENRFRTQEKEQLSGQKKWLASSRWAAAAQFALAVSLTSSHNDLQRLEIEDEDSILKKTKDAVVTLQGVLEGKHDKVIDESLNKYQISRDGWWSALYKMVEGLAMMSRLGRNRLHQSESFEDRSIDWDHVEM >Manes.06G060900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19476143:19503313:-1 gene:Manes.06G060900.v8.1 transcript:Manes.06G060900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPLVWYCRPVANGLWTRAVENAFGAYTPCATDTLVVVISHLALLALCLYRIWLIKKDFKVQRFRLRSKWYNYFLGLLSGYSTAEPLFRLIMGISVLNINGQTGLAPYEIVSLTIEALAWCSVFVMIGVETKVYIRDFRWFVRFGVLYTLVGDAVILNLILTVKEFYNSSVLYLYISEVFVQVLFGILLLVYVPDLDPYPGYTPIRAESVEDAEYEELPGGEYICPEQHVNIFSKTIFAWMNPIMKLGYKRPLTEKDIWKLDTWDRTETLNNRFQKCWAEESQRPKPWLLRALNSSLGGRFWWGGFWKIGNDVSQFVGPLLLNQLLQSMQEGDPAWIGYIYAFSIFAGVVFGVLFEAQYFQNVMRVGYRLRSTLIAAVFRKSLRLTHESRQKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIIAMVLLFQQLGVASLLGALLLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQAKVQTVRDDELSWFRKASLLGACNGFILNSIPVVVTVISFGMFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPGQPAISIKNGYFSWDSKAERPTLSNINVDIPIGSLVAIVGSTGEGKTSLISAMLGELPAISDTSAVIRGSVAYVPQVSWIFNATVRDNILFGSPFDHARYERAIDVTSLQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGQQVFDKCIKGELSRKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNNGVLFQKLMENAGKMEEYVEDKENGETVDLKPSSKPVANGEMNDLPKNATETKKRKEGKSILIKQEERETGVVSWKVLMRYKNALGGAWVVMILFMCYVMTEVLRVSSSTWLSNWTDQGTTKIHGPIYYNLIYSLLSIGQVLVTLLNSYWLIISSLYAARRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAVFVNMFLGQVSQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRMDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGRSMDNNIRFTLVNMSANRWLAIRLETLGGVMIWLTATFAVMQNGRAENQQAFASTMGLLLSYALNITGLLTAVLRLASLAENSLNAVERVGTYIDLPSEAPSIVEGNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGLSFVVSPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDGCDIAKFGLMDLRKVLGIIPQSPVLFSGTVRFNLDPFDEHNDADLWEALERAHLKDVIRRNSLGLDAQVSESGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGQVLEYDTPEELLSNEASAFSKMVQSTGAANAQYLRSLVLGEEGENRFRTQEKEQLSGQKKWLASSRWAAAAQFALAVSLTSSHNDLQRLEIEDEDSILKKTKDAVVTLQGVLEGKHDKVIDESLNKYQISRDGWWSALYKMVEGLAMMSRLGRNRLHQSESFEDRSIDWDHVEM >Manes.06G060900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19476281:19502707:-1 gene:Manes.06G060900.v8.1 transcript:Manes.06G060900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGPLVWYCRPVANGLWTRAVENAFGAYTPCATDTLVVVISHLALLALCLYRIWLIKKDFKVQRFRLRSKWYNYFLGLLSGYSTAEPLFRLIMGISVLNINGQTGLAPYEIVSLTIEALAWCSVFVMIGVETKVYIRDFRWFVRFGVLYTLVGDAVILNLILTVKEFYNSSVLYLYISEVFVQVLFGILLLVYVPDLDPYPGYTPIRAESVEDAEYEELPGGEYICPEQHVNIFSKTIFAWMNPIMKLGYKRPLTEKDIWKLDTWDRTETLNNRFQKCWAEESQRPKPWLLRALNSSLGGRFWWGGFWKIGNDVSQFVGPLLLNQLLQSMQEGDPAWIGYIYAFSIFAGVVFGVLFEAQYFQNVMRVGYRLRSTLIAAVFRKSLRLTHESRQKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIIAMVLLFQQLGVASLLGALLLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQAKVQTVRDDELSWFRKASLLGACNGFILNSIPVVVTVISFGMFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPGQPAISIKNGYFSWDSKAERPTLSNINVDIPIGSLVAIVGSTGEGKTSLISAMLGELPAISDTSAVIRGSVAYVPQVSWIFNATVRDNILFGSPFDHARYERAIDVTSLQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGQQVFDKCIKGELSRKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEELSNNGVLFQKLMENAGKMEEYVEDKENGETVDLKPSSKPVANGEMNDLPKNATETKKRKEGKSILIKQEERETGVVSWKVLMRYKNALGGAWVVMILFMCYVMTEVLRVSSSTWLSNWTDQGTTKIHGPIYYNLIYSLLSIGQVLVTLLNSYWLIISSLYAARRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAVFVNMFLGQVSQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRMDSISRSPVYAQFGEALNGLSTIRAYKAYDRMADINGRSMDNNIRFTLVNMSANRWLAIRLETLGGVMIWLTATFAVMQNGRAENQQAFASTMGLLLSYALNITGLLTAVLRLASLAENSLNAVERVGTYIDLPSEAPSIVEGNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGLSFVVSPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDGCDIAKFGLMDLRKVLGIIPQSPVLFSGTVRFNLDPFDEHNDADLWEALERAHLKDVIRRNSLGLDAQVSESGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGQVLEYDTPEELLSNEASAFSKMVQSTGAANAQYLRSLVLGEEGENRFRTQEKEQLSGQKKWLASSRWAAAAQFALAVSLTSSHNDLQRLEIEDEDSILKKTKDAVVTLQGVLEGKHDKVIDESLNKYQISRDGWWSALYKMVEGLAMMSRLGRNRLHQSESFEDRSIDWDHVEM >Manes.15G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9301072:9302903:-1 gene:Manes.15G116400.v8.1 transcript:Manes.15G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQPATLENFLPVQELIKQPILSVPPSYVQSHLETFISDCFPPVPTIDFKLLLSEGTTELELQKLHSTCKEWGLFQLVNHGVSSSLLDNLKQEIEEFYNLPLEEKMKYKIRPGDVEGFGTAARVGGKFDWGDMLYMTTNPILRRKPHLLPELPSSLRNNLENYILELQKLSMELIGFVGKALKIEKKEMEEMFDDGLQSVRMTYYPPCPQPELVLGITPHSDATGITILNQINGVDGLQVKKDGVWIPVSFLPGSLVVNAGDILEILSNGLYHSIEHRVAVNSEKERISIAFFVNPKLEAKIGPATALINPQNPPLFRRTGMEEYIRDFFSRKLNGKSYLEHMKIQIKDVN >Manes.11G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6132141:6136265:-1 gene:Manes.11G049400.v8.1 transcript:Manes.11G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASPQDSVPFPGKTTQFAAECWFDDACILNMDYFVKTLAGIKPKGVRPDLIGSIIAHYASKWLPELSGDDACDRGLTNFEESPESVTASWMKKRFFVETLVGVLPPEKDSVPCNFLLRLLRTANMVAVEPTYREELEKRISWQLDQASLKELMIPSFSHTCGTLLDVELVTRLVKRFVNLDEAAKSGAAALVKVAKLVDSYLAEAAVDANLSLPEFDDLASALPSHARAIDDGLYRAIDTYLKAHPGCTKQERKILCRLIDSRKLSPEASLHAAQNERLPVRAVIQVLFSEQTKLNRHIDWSGSFSGTRSPNPGFDPSGRCFSKREMNAQQVEIKKLREDVLRLQGQCYAMQMQMERLVEKKKGFFRWKKLGIMSSLKSVSGVEKIEEGDGEVEAGFGRMTPVDMKTKLVKGRNPKWRKSMS >Manes.02G145651.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11182818:11183132:-1 gene:Manes.02G145651.v8.1 transcript:Manes.02G145651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVLTAILTYFMSIFLYPKQFTTKLNNLLSRFWWIGSRDHHKISWVSWQRVCLSKACGGLGFRDFATFNIAYLAKQCWRLLHSPSSLWAKVLKTKYFPTSSF >Manes.02G210200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:24654281:24656872:1 gene:Manes.02G210200.v8.1 transcript:Manes.02G210200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLACLLFSLFLLPRSLLAQNTNNIMVGSSLTAGDNKVRPWLSPSEDFAFGFHQLEKKDLYLPAIWYNKISTKTIVWYANIEYEPAVAPPRSKLELTADRGLVLTSPQGAEIWKSGINLGEAANGFMNDTGNFILSNSRSEILWESFDYPTDTLLPGQTLERGGQILSSRLTETNFSRGRFQFRLIPDGNAVLNSNNLPTGYAYAAYFFSNTVDSNLSNAGLRVVFNDSGYLYVLRASGIRVLLTPGRVVSAAENYQRVTLNFDGVFVQYSHPKNSTGNGGKWSVIRTMPDNICTGIIGLEGNTPCGFNGVCRLSTDQRPICSCPQRFSLLDPNDPHGGCRPDFYPQFCEENVSNSLKDFDFFELENTDWPTSDYDRYTPSNVEECQNACSEDCFCNVVVFKEGNCWKKKLPLSNGRRDDDFNGKTFIKVRKGNYTIRGPPLLPSPTPSEKKNKDSLVLVVSVLLGGSVLVNFVLSFYHLFFYHKKSKRIPPVAETAAESNLRCFSYKELFIATNGFKEVAGRGSFGIVYKGEIEMATKVPVAVKKLDRIVEEGEKEFRTEVKVIGQTHHKNLVQLVGFCDEGQHRLLVYEFMSNGTLANFLFTKTKINWNQRIQIAFGIARGLVYLHEECSTQIIHCDIKPQNILLDDYYNAKISDFGLAKLLVLDQSQTFTAIRGTKGYVAPEWFRNMAVTVKADVYSFGVLLLEIICGRKCVDTDVSAERGLLIDWAYDCYREGTISALVEDDDEAMNDMKKLERFVMVAIWCIQEDPALRPTMKMVVLMLEGIVQVTAPPCPCPFSTVFS >Manes.S027416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:456792:485377:-1 gene:Manes.S027416.v8.1 transcript:Manes.S027416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSGMETTTSAQPSREGRSRREKSRDILAALEERLARVEAAMSEHRGKCEDMDLRISELESKGDVDELRDEMQGALNVAVDLVSKRGDKLEETLRRLREKVDQLDVELGLCKTAVASGRGASTSGVAANIRYDAPKPKPYSGERSAREIDNFLWTVERYFEAVGILDDDGKIRNVPLYLSDIAMVWWRRRCEDVRRGTCTISTWADFVRELKRQFYPENAESEARAKLRRLQHKEGHIREYVKEFSELLLEIPDMGEKDALFCFLDGLTSWAKMELQRRGVQDLASAIAAAESLIEFQRKNFKGDSERDSPRHHKDSRHVNGEHAKGDEAKREKPRVDKGKEKMGDSPRPPIKCFICEGPHRAFNCPKRNALAALINEMEDEEKVQSGVASMGLLPTIKSKKETCPRDEFMSKPRSWARRSRPW >Manes.11G146900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31135494:31144028:-1 gene:Manes.11G146900.v8.1 transcript:Manes.11G146900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAFIGKCSSYLHFIIHLITMINLSPEEAARWIHSIQEAALKGGQNVVGCSKSSWQSFRLSGSSRVSHNKPIDWTLCSFTHSDPVTDVIAPSPWTIFGCQNGLRLFKEAKDRDSHGMWDDHPAIMAVGVVDGTSEAIFQTLISLGPSRSEWDFCFYKGSVVEHLDGHTDIVHKMLYNDWLPWGMKRRDFLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNQGKRSVVKHMLAIDWKFWKFYLRTSSAQSITIRMLGRVAALRELFRAKQGNCASSEFSSGELARNVKLHQSEEARNGDDGRSKEFLSEEVDKAPSEHASLVSLNDASDEFFDVPEPSDYGQAENGWSYDFGHEIYSQDVRQPKLSTAAGIVKKLHDLAVQKRGYVDLHEMAREDIIHCCYGTTLPKDPTCTMLSSWTAADPSSFLIRGDNYLEDQKKVKAKGTLMQMVAADWLRSDKREDDLAGRPGSIVQKYAVQGGPEFFFIINIQVPGSTTYSLALYYMMTTPLEDAPLLESFVKGDDTYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGVDIGSSTVARGVVSLVLGYLSNLVIEMAFLIQANTAEELPEYLLGTCRLNHLDASKAVLLNS >Manes.11G146900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31135494:31144028:-1 gene:Manes.11G146900.v8.1 transcript:Manes.11G146900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSQDNEIMEGWLYLIRSNRIGLQYSRKRYFVLEDHVLKSFKAVPASKDEDPVRSAIIDSCIRVTDNGRESIHRKVFFIFTLYNTSNHNDQLKLGASSPEEAARWIHSIQEAALKGGQNVVGCSKSSWQSFRLSGSSRVSHNKPIDWTLCSFTHSDPVTDVIAPSPWTIFGCQNGLRLFKEAKDRDSHGMWDDHPAIMAVGVVDGTSEAIFQTLISLGPSRSEWDFCFYKGSVVEHLDGHTDIVHKMLYNDWLPWGMKRRDFLLRRYWRREDDGTYVILYHSVFHKKCPPQKGYVRACLKSGGYVISPVNQGKRSVVKHMLAIDWKFWKFYLRTSSAQSITIRMLGRVAALRELFRAKQGNCASSEFSSGELARNVKLHQSEEARNGDDGRSKEFLSEEVDKAPSEHASLVSLNDASDEFFDVPEPSDYGQAENGWSYDFGHEIYSQDVRQPKLSTAAGIVKKLHDLAVQKRGYVDLHEMAREDIIHCCYGTTLPKDPTCTMLSSWTAADPSSFLIRGDNYLEDQKKVKAKGTLMQMVAADWLRSDKREDDLAGRPGSIVQKYAVQGGPEFFFIINIQVPGSTTYSLALYYMMTTPLEDAPLLESFVKGDDTYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGVDIGSSTVARGVVSLVLGYLSNLVIEMAFLIQANTAEELPEYLLGTCRLNHLDASKAVLLNS >Manes.13G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2875939:2881229:1 gene:Manes.13G019300.v8.1 transcript:Manes.13G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLPSSLPNLVFSPFSDRTRTSNLLLSRTQFASLSTHKPFLRGSTCVARFWFKPGLFPELDGAGEGVIKDFFGGAGSILYTIADAVVSNSDIVTTTTEQNNDWLSGITYYMESVLKVLEDGLSALHVPYAYGFAIILLTVLVKAVTFPLTKKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPFAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWPDTLAYLVLPVLLVVSQYISVQIMQSSQTNDPSMKSSQAITKILPLMIGYFALSVPSGLSLYWFTNNILSTVQQVWLQKLGGAKNPVSQEDQLWIQKTVSEINSTKMKTGEVEKLTPEDSTKPKAGQIENLTPEGLRPGERFKQLKEQEAKRRLQREGEKKKAEEAAARRSPIANGSPKDESDRENGATMAGSDAVDEKSANSISNSSTVGVVNGDLSGQNLKKDEKTTSLQSLEKGEVSNSEASGRVEQQSFENKQKEVAEVHGSMTKESKLSEDDMHQATRE >Manes.06G034513.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10073954:10075421:1 gene:Manes.06G034513.v8.1 transcript:Manes.06G034513.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.05G076600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6236340:6239834:-1 gene:Manes.05G076600.v8.1 transcript:Manes.05G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTQSFQHRTLHVKLWPPTQSTRLMLVERMTKNLITPSIISRKYGILSKEEAEEDARQIEESAFAAADQHHQKEPDGDGSSAVQVYAKESSKLMLEVLKRGPRMKEDGEAAVAEISAAAHADLFDLSGGRRAFISAEEANDLLKPLKEPGNTYTKICFSNRSFGLDAAGVAEPILSSIKDQLTEVDLSDFVAGRPEPEALQVMNIFSSALEGCNLRYLNLSNNALGEKGVRAFGALLRSQNNLEELYLINDGISEEAARAVCELIPSTEKLKVLQFHNNMTGDEGAVAIAEIVKRSAMLEDFRCSSTRIGSEGGVVLAEALGTCSHMRKLDLRDNMFGVEAGVALSKSLVAFADLTEVYLSYLNLEDDGAEALANALKESAPSLEVLEMAGNDITAKGASSLAACIAAKQFLSKLNLSENELKDEGAILIAKAIESGHGQLSEVDLSTNSIRRAGARLLGQAVVLKPGFKLLNINGNFISDEGIDELKDIFKNLPGVLGPLDDNDPEGEDLDEEAKEEDADNEDDLESKLKGLEIKHDEQ >Manes.18G025570.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2862682:2866436:-1 gene:Manes.18G025570.v8.1 transcript:Manes.18G025570.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEACRDCRSWEEKIYWTHFQCLHFLQVLHSGFDRQLAIPEHFTKNLRKKLPQVITLRGPSGRTWQVILTTNDDTVFFNHGWEEFVNDHVLQEKDLLIFKYNGDSCFDVLMFDGQSLCEKAGSYFVRKCGHRENDSGGQTKRRTGENSFEATLPCPEDYIGGSPLEKSANNDIDTTPLGQHNTYRYVTKKIRREIEFNPIHEEPSTSAEEIETKPDIEHISPSVVHSVPYLSSRRLITEEEKQNALQLAQAVLTRDGFMVVMKPTHVYRRFYMSIPSAWTTKHLRSLEKQDVILRTKENTWHTKFYYQKSKNSGGLSSGWKSFALSNDLQEFDVCVFEPGIPVDNAVVLDVNIIRVFHDD >Manes.18G025570.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2862771:2866406:-1 gene:Manes.18G025570.v8.1 transcript:Manes.18G025570.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEACRDCRSWEEKIYWTHFQCLHFLQVLHSGFDRQLAIPEHFTKNLRKKLPQVITLRGPSGRTWQVILTTNDDTVFFNHGWEEFVNDHVLQEKDLLIFKYNGDSCFDVLMFDGQSLCEKAGSYFVRKCGHRENDSGGQTKRRTGENSFEATLPCPEDYIGGSPLEKSANNDIDTTPLGQHNTYRYVTKKIRREIEFNPIHEEPSTSAEEIETKPDIEHISPSVVHSVPYLSSRRLITEEEKQNALQLAQAVLTRDGFMVVMKPTHVYRRFYMSIPSAWTTKHLRSLEKQDVILRTKENTWHTKFYYQKSKNSGGLSSGWKSFALSNDLQEFDVCVFEPGIPVDNAVVLDVNIIRVFHDD >Manes.10G110401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26164027:26164771:1 gene:Manes.10G110401.v8.1 transcript:Manes.10G110401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVMKMLFLAFVLVGSISCCLGVELESLPYQKGRKQEIACQLARKANILGRRLASWLVEM >Manes.03G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2358345:2363346:1 gene:Manes.03G029300.v8.1 transcript:Manes.03G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDSIFSPNLSSIPCFKSRFPRTSESGFFTSRNLFSSISFSSSSSRSGSGFSIAAMSNSNYEPKIITGDYGYVLEDVPHLTDYLPHIPTYSNPLQDNPAYSVVKQYFVHVDDTVPQKVVVHKDTPRGIHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVKRVLGIGGGYRGFYSRNTIPLTPKFVNDIHKRGGTVLGTSRGGHDTAKIVDSIQDRGINQVYIIGGDGTQKGAAVIFEEIRRRGLKVAVAGIPKTIDNDISVIDRSFGFDTAVEEAQRAINAAHVEAQSIENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKQLKEHGHMVIVIAEGAGQELLAETMQSIQQDASGNKLLLDVGLWISQKIKEHFSNNKLMPINLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFHRITEKQNKVVITDRMWARLLSSTNQPSFWCAKDLIGEKKEEIGKFKTEKEPKDGKCAEAKKEVNSK >Manes.12G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34656541:34659833:1 gene:Manes.12G140400.v8.1 transcript:Manes.12G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVKKTVKEAASSPPESTVNGNRPQIQNKETQVPLIDQEVERQSAAIRAMRDVEIEHSLTGLRLLRSYFNEEQLQTPVLQFFKENLPNLSIIKNGENFEVQWNEKDDNLSMSQAPGGRDFHASLLHRLSIAYSDCSVIPSLHGFELSSDAVRTSLLGVDNLHIRDFGLEGPCDSQMFGDGLRTPGVSSQRLSMGMTPKTLRLPKPGEMLLSVRGSPLGVYKEDNMEAIHESEEG >Manes.10G085100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:21915590:21916594:1 gene:Manes.10G085100.v8.1 transcript:Manes.10G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSIPSRESDVPDSASPYHAKYKEIISSLPRIENGWGVSQYLHQYQGFWINTNLLEGIIYAQHCLKAQPTDIFICSHPKAGTTWLKALSFAILTRKLYSNASTNPLFSEVPHDVMPFIDVLAFTDIITRDPKLPFLSTHIPYPSLPKSIVECKCKIIYICRDPKDLFTSFWHFSEKLRGTSTKTLPLEEAYRDFCEAHYPYGPYWDHVLGYWKASLQFPERILFIKYEDLQNDTFSYVKRMAEFMGCPFSIEEESQGFVQKVVDLCSFEKLSNLEVNKSKNPSSSTGFLKIEKNAFFRKGKVGDWENYLTAEMAAEIDQITEQKFSSSGLFL >Manes.14G091800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7586330:7588566:-1 gene:Manes.14G091800.v8.1 transcript:Manes.14G091800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDKKKLRKTRPTHGVITQESPLLPKRREDSQEIQDLNEASFVGSVFNLSTTIIGAGIMALPATMKVLGLGLGIGMIVFVAVLTERSVEILLRYSKAGKVDSYGGLMGCAFGNGGRRLLQICVLLNNVGILIVYSIIIGDVLSGTTSSGVHHAGVLEEWFGIHWWNARIFVILFVTLVVFAPLACFKRIDSLRYTSALAIALAVVFLIITAGIAAFKLINGGVVMPRLLPNITDNTSFWNLFTVVPVIVTAFICHFNVHTIENELEDSSMIQPVVWTSLVLCSSVYIMTSFFGFLLFGDSTLDDVLANFDTNLGVPYSYVLNDVVRISYALHLMLVFPVVFYPLRQNLDGLLFPSARPLVSDNFRFTLLSVALISLIFLGANFVPSIWVAFQFTGATSAVCIGFIFPAAIALRDPYFMASKIDKIVSAFMIFLALFSSSVAIYSDAYALFRKNPSPRE >Manes.05G197700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32188188:32190307:-1 gene:Manes.05G197700.v8.1 transcript:Manes.05G197700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIWFCCPSFLSQSIISCPAKFAFSVSSAATIDHQQHSYLANLAKPSINLRPFLAPRGLRLSERLLVQCSYLYSPNLQNETRLVYSFFRQIGLQDKEIKFILEQNPSLNSTTFDSIRARVFLLQSAGIKGVELYRLIMKCPDVLTAAEIDSFFHFVLNSLQGKIEPLQLKRLLATTEPRFLVGFDRKVKLLLDHGVPQEKLVHILNNVNLTKALCFKSIEEIDRSSTFLCRYGGINLIVRRPMILNFDLETQLIPRIEFLKELSGGDEEATRTLLCKLPAILSYSVDHTKGHVELLRSFAGLTDPQIFKIFLVFPNVISASKERKLLPRIGFLKQCGLSSDDIFKFLTKAPLFLGLSYEENLVHKLVVLVKIGYEYRTRDLAVALGAVTRTSCENLQKVIGLFFSYGFSSADILAMSKKHPQILQYTYTSLLEKMEYLIEGMGREVGELLAFPAFLGYKLDDRIKPRYEAKKNVIGEGMSLNKLLTVSADRFSAEKEKSHP >Manes.12G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33942867:33944403:-1 gene:Manes.12G131900.v8.1 transcript:Manes.12G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESAAVCHVVAMPFPGRGHINPMMNICKLLASRKPDILITLVVTEEWLGYLSSEPKPDDSIVFATIPNVIPSERLKGVDFPGFYEAVMTKMETPFEQLLDRLHPPATAVIGDVELRWAIDLGNRRNIPVAALWTMSATFFSVLYHFDLFAQNQDSPLHLLVEKAGHIPGISSSNMEELRKIFRRNDLRVLELALECISKVPKAQYLLFTSVYELETQEMDNLKATFLFPVYPIGPSIPYLELQANSCGAGNSTDYQKWLDSQPEDSVLYISLGSFLSVSRTQMDEIAAGLDDSGVRYLWVARGEASRLKEICSDKGLVLPWCNQLQVLNHSSVGGFWTHCGWNSTLESVFSGVPMLTFPLFLDQDPNSKQIVEEWRVGWKVQGEMGEENSVTREKIAQLVQKFMDLESRESKEMRKRARDLRDACQLAISEGGSSATNLDDFIRNILQSNCH >Manes.05G086000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7098690:7099652:1 gene:Manes.05G086000.v8.1 transcript:Manes.05G086000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPVAAVDVNTEPEASKPAEEVPATKTGKSKKAKEPKAKKPAQPRKRRPSSHPPYEEMIKDAIVTLKEKTGSSQYAITRFIEEKQKELPPNFKKLLLFHLKKLVSAGKLVKVKGSFKLPPARSSAPKPSSTAPPAKAKKKSATPKPKAAPKPKAAAKPKATAKPKETKSTKATAAPAKTKAAAKPKAKPKLKTVAKPKAAPAKSKPAAKPKASSARPKPKERPAKAARTSARTNPGRKPAAPKAATKKAPVAKKTPAKSVKPKSEKSPAKRASARKSRK >Manes.14G138700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12185013:12190365:-1 gene:Manes.14G138700.v8.1 transcript:Manes.14G138700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHISHEITFQSPLSASASSSTSAGPAWLSNAVLRLNDDVLGRSRSEKAGLNNSNNGGEDELMDGGGGGGDNWERAKYKAEILGHPLYDQLLAAHVACLRIATPVDQLARIDAQLAQSQEVVAKYSVLGNGQVVDEKELDQFMTQYVLLLCSFKDQLQQHVRVHAMEAVMACWELEQSLQSLTGASLGEGTGATMSDDDDDQADSDTNLYDGGLDGADYMGFGPLVPTETERSLMEHVRKELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSGSTTKSKRKK >Manes.14G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12185013:12190365:-1 gene:Manes.14G138700.v8.1 transcript:Manes.14G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQDHISHEITFQSPLSASASSSTSAGPAWLSNAVLRLNDDVLGRSRSEKAGLNNSNNGGEDELMDGGGGGGDNWERAKYKAEILGHPLYDQLLAAHVACLRIATPVDQLARIDAQLAQSQEVVAKYSVLGNGQVVDEKELDQFMTQYVLLLCSFKDQLQQHVRVHAMEAVMACWELEQSLQSLTGASLGEGTGATMSDDDDDQADSDTNLYDGGLDGADYMGFGPLVPTETERSLMEHVRKELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSGSTTKSKRKNNAGEISGG >Manes.11G079400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11960459:11960923:-1 gene:Manes.11G079400.v8.1 transcript:Manes.11G079400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSMHNFLNQQRRRPLASRPPRKNPTTPPSASFSRLFQCLYCPRKFYTSQALGGHQNAHKRERAAAAHRNIYVEPMCHHYPTEPYVDSGAPFLDQYWLEPIQTHQFAPAANRFLLPQDGSYGGSASSPDNDSLFPVADLTNDAANLDLTLRL >Manes.01G219700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38812177:38816853:-1 gene:Manes.01G219700.v8.1 transcript:Manes.01G219700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHQTPQSQESTIEEQNLQQEELLPIVPSQSISPPFATASFSLSISTILPTHFFNQPKISSLFSSAPAKAKIPTQASSLSHLSLSSSTLSPPKLSFKSTISANPLQNILSLGPRRPADPSNAAGIRRASIVWFRNDLRVHDNECLNSANEESMSVLPVYCFDPREYGKSSSGFDKTGPYRATFLIESVANLRKTLQARGSDLVVRLGKPETVLVELAKAIGADAVYAHREVSHDEVKAEEKIEAAMKDEGVEVKYFWGSTLYHVDDLPFKLEEMPSNYSGFKEKVQRMEVRKTIAAVDQLKGMPSRGDVEPGEIPSLLDLGLNPTQEGKPVANASMVGGETEALQKLKTFAAECQAQPPKGGSHDTIYGANFSCKISPWLTMGCISPRSMLDELKKTATRTISAASNRNDGGSSSDTGTNWLMFELMWRDFFRFITKKYSSPKKQLEAAPATACTGALA >Manes.18G077506.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7084071:7088012:1 gene:Manes.18G077506.v8.1 transcript:Manes.18G077506.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIATASALPLPIRICRSSMLNTKKESRVGFQSLWGTIFDVEDLRSKIPQFKGKFLDVNQALEVARYSIQYCHWRARQDVLTIMPLHEKIVKVLNPLGREHKSIGSLRKEFAELLQDASTMKSDQTSPSLSTSSQSLDNVKRRLPRKSLNVSGPAQPYNSHLKNFWYPVAFSTDLKEDIDDLYSIDCFEEPWVVFRGKDGKPGYVQNTCAHRACPLQFGSVNECWIQCPYHGWEYSMDGKCEKMPSTPLLNVKIKPLSCFEQDMGLAWQIVRELPVEHGLLLDNLLDIVQAPFVHTSTFAKGWSRNVWNSPISHDKLGISYRLWRDAVERGAKQLPFSKSA >Manes.02G188100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15057671:15059574:-1 gene:Manes.02G188100.v8.1 transcript:Manes.02G188100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLNTPVSLQSKIPPAARVSGAVSSQRPINLSFSASFPSINLTSTSRARGGGGGGATGAKMSATAAASYATALADVAKGNNTLEATSADVEKVEKLFSDPQVFDFFSNPTIDTEKKRQLLDEIVESSGLQPHTANFLNILVDAKRIDLMKDIVKEFELVYNSLTDTELAVVTSVVKLESQHLAQIAKQVQKLTGAKNVRIKTVLDPSLVAGFTVRFGSGGSKLIDMSVKKQLDEIAAQLDVV >Manes.17G006901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2710976:2730336:1 gene:Manes.17G006901.v8.1 transcript:Manes.17G006901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYKRPPDGLLEFVERVYVFDSCFSTEVLPDGMYQIYLHEIVAELHEEFPDSSFLAFNFREGEKRSQFAEILCQYDVTVMDYPRQYEGCPLLPLSLIQHFLRVCETWLSLGNHQNIILFHCERGGWPLLAFLLASFLIFNKLHTGERRTLEIVHREAPKGFLQLLSPLNPFPSQLRYLQYVARRNITPEWPPPERALSLDCVIIRAIPSFDSENGCRPIIRIFGRNFHTKRGLSTHMLFSMSKKKKTSLRHYRQEECDVIKIDIQCMVQGDVVLECVHLDLDPEREVMMFRVMFNTAFIRSNILMLNSENLDILWDSKERYPKGFRAEVLFGEVENMSPLKAPTTILNGEEKGGLPIEAFSRVQELFNAVEWVDHNDDAALWLLKQLSVLTDAREFSRLQNKGSSYVSPIDSEEEYNASSAADSSDEAFDVVPKTSADSVPSSIDGDSLHDSNNVSQPPAQVLVETVQSSLPQQLSHPNEEIPPSSSPPTLFSPPVSQLPPPPPPPPPCLGISSNDNTPPFPPSQPPLPSVSNRDSSTFPALTTTRNPQPPPCPPPPPPPPYNFSTEDSYMPPPSTSKGPPFPQPPPPPPFSSSKGPPPPPVPPPPPLPSISRQSSLPSPPPPPPQPPSLTSSTSSLQPSTLMPPPPPPPPPSETMSSCSNTLLAPQPPPPPPPLGGNHNNTMRPLCPPPPPPPSGPKQPSSVPPPPSPAPNPPAAPPPPPGRNTAPGPPPPPPPGAKGSSVPPPPPPSIGRGKSSSGPSGHGRGRIAGGTGNAPRKTSLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDISELESLFSAASASDGIGSNKAGVRRGSNINKPEKVQLVDLRRAYNCEIMLTKIKIPLPDMINAILALDSSSLDIDQVENLIKFCPAKEEMETLKNYAGDKEMLGKCEQFFLELMKVPRVEAKLRVFAFKITFSSQVDDLRRHLNTINCAAREVKESVKLRQIMQTVLTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLVHIEAASKIQLKNLAEEMQAVSKGLEKVEQELAASSNDGAISAGFQRVLKNFLDTAEAEVRSLISLYSEVGRNADSLSQYFGEDPARCPFEQVTQTLVLFVKMFNKAREENERQAEAEKKKLEKEALKEKAAANVSAKKEGIDNDKLRLASQIHKHLS >Manes.01G006400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2561566:2562373:1 gene:Manes.01G006400.v8.1 transcript:Manes.01G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLFLTPTVQKHRHNLSPFQGLRPITQAKTSLLKFTTTTNSRRSFGVKAELSAPLVISLSTGLSLFLGRFVFFNFQRENVAKQGLPEQNGVTHFEAGDVRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATTSNGYDPKFF >Manes.17G103300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31093679:31097900:-1 gene:Manes.17G103300.v8.1 transcript:Manes.17G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQNEFDRILFFEHARKTAEATYAKDPLDTENLTRWGGALLELAQFQNVADSKKMILDGISKLEEALLIQPKKHDTLWCLGNAHTSFAFLTPDQDEAKESFDKASVFFQQAVDEDPENEIYRKSLEVTAKAPELHMEIHKHGLAQQAMGAAPAAGPSTSASAKGSKKKKSSDLKYDVFGWIILAVGIVAWVGFAKSQMPITPPPPPPR >Manes.S024316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:327540:328351:-1 gene:Manes.S024316.v8.1 transcript:Manes.S024316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGVSGSRSADVRPRPCPRVFQPPIAVAIAAEDSAFGPAACALHTGGQRPPPRPPPRGEGADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.04G151200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34717064:34717318:-1 gene:Manes.04G151200.v8.1 transcript:Manes.04G151200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYSTALAALALLLSVFLSAWLERAQAEGRPVPRPPSSSLASSMASTSGAFRDLPVAENNPFKKVDSSFRRIPPSTSNPTQNK >Manes.06G022900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:4633969:4635282:1 gene:Manes.06G022900.v8.1 transcript:Manes.06G022900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVITVAIVAVVITVAIVATVYARIHCRKKARTAIPEDARNATNQQLDKVSTDDVTIRVEEPYQFPMVRDPQVHYPTMERFLDNIARHKPIRFSPRQIQEFTNNCSNVLGSGAYGVVFKGMFPSEVPVAVKVLTNHFRNKKMEEQFMAEIATIGRTYHANLVRLYGFCFDPSMMALVYEYMENGSLNKVLFDEMREIEWQKLHGIAIGTAKAIAYLHEECEQRIIHYDIKPENILLDHSLNPKVADFGLAKLCNREDSKVTLSGGRGTLGYSAPEVWSRNHPVTHKCDVYSFGIVLLEIVGRRRHFDANLNESRQWLPKWTWDMYKNNELELMLSLCGIEQKHREKAERMAIVALQCVHHSPDVRPVMSDVVKMLEGSMEIIEQHPNPFEDLESFRPNIGLLFGNDEDSNSASRRHISKPPYLVPKGEKEIELATC >Manes.13G013600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1972747:1974754:1 gene:Manes.13G013600.v8.1 transcript:Manes.13G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTLEEEIMSPVPYMQSEFCSDNKSYETTFLDLSPFCSVPNFSAVENDSPAWFDLDETRSHKRIKQEPCSRTRANSLNSVPKLHFRDYIWAYTERYLAIEAMEEAAAAMTVGERNEVKDEEGSDGMKLVQQLIACAEAVACRDKKHASALLAELRANALVFGTSFQRVASCFVQGLSDRLALLQPLGTVGVLAPQANSVNTFKAEKDEALRLVYDICPQIQFGHFVANASILEAFEGESSVHIVDLGMTFGLPHGLQWLNLIHSLAKHPGQQPHRLRITGVGNSAELLQAIGDELDCYARSLGLNFEFLWVESTLENLKPEHFKLLAGEVVIINSILQLHCVVKESRGALNSFLQILHELSPKLLILVEQDSNHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKIEQFFFAEEIKNIISCEGPARVERHERLDQWRRRMSRAGFQPSPIKTIMQAKQWLEKANFCEGYAVTEEKSCLVLGWKSKPIIAASCWKCP >Manes.07G060000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8365527:8369910:1 gene:Manes.07G060000.v8.1 transcript:Manes.07G060000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVYVFRGNKEISKDQIMEQLGLGVSGRRAPVGYPQKGMQNGFPILGITRFLLPASECEYTLNSLLDELQTDQWHKDALKVEIDEHNPDNYLVSFVFNALLDGSLEGEYRRGRQLFLIQITGYQLSCSVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.03G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1718301:1721614:-1 gene:Manes.03G020200.v8.1 transcript:Manes.03G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICLSICSTSKPLVVPPTSSAAIASSSSSSPSCNGCRCSFPFSTPMFGPGRLIYSTASINSNRSRRQKQVVCMAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPQFSPMKTPIPPPLPYDPSEEDEEEDEEKKKEEEEEDPEKEEEPDKPDNH >Manes.06G119900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25106925:25107890:-1 gene:Manes.06G119900.v8.1 transcript:Manes.06G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEVPYYRSFAAPPVKFSDPSSPEAYTILPIGRKYIRGKKTMKIPGRRVIPLLVFILSTISILRLLRLANNSSSAPPLPAFPPTLQHTCNSASPECTNIPSHVPGSQTTKFTTPANSTTLMKKEFKLLSDLIRSKAPCNLLIFGLEPQYLKLSSINSEGITVLLEDNPDRISATRAKSNNTRIYQVDYHLPAKKAFKLLKHARKSPACAPSSGRLQNSTCKLALTNLPQEVYKQKWDVVVVDGPSGHSPEAPGRMSTIYTASIIARAGNTTDVVVHDVDRTIEKWFSWEFLCDENLISSKGKLWNFRVTGKSNSTRFCTD >Manes.17G083700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29020223:29020801:-1 gene:Manes.17G083700.v8.1 transcript:Manes.17G083700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKELFHLKKSKKSDDSSVDSSTVAPPFTGSRSQSFKLNTQLEELEQVFKKFDVNGDGKISSSELGSIMSSLGHQANEEELRKMITEFDADGDGFIDFQEFVQLNTQGVDTDEVLENLKDAFSVYDIDGNGSISAEELHKVMASLGEDCSIAECKKMISGVDSDGNGMIDFEEFKVMMTVGVKWESNNGLS >Manes.12G118801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32103715:32105594:1 gene:Manes.12G118801.v8.1 transcript:Manes.12G118801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLDDGLVLGLGFSATTTLEKRGNNNNEKPKMMNKPCFEPSLSLGLSGETFYGCNKKTTDIKKVCEESGGADLFRQASPHSAVSSFSSGRVKRERDLSSEEIEAERVSSRVSDEDEDATNTRKKLRLTKEQSALLEESFKQHSTLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLAQPFYMHMPAATLTMCPSCERIGGVGDGASKNNPFSIASKPHFYNPFTNPSAAC >Manes.17G107300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31437288:31447828:1 gene:Manes.17G107300.v8.1 transcript:Manes.17G107300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREIELESAMYTNCLLLGLDPNSIGLGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPVQSAKDFDRVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFASDVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLQNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNAHLVSKATRLWESILAHKSQHEVLASGPIEDLIAHREHRYRISGSSLLLAMDQSSQVPFTHTHLDDKEPSDASNKNINREKLKDNLDSSDLQVNDDTHPWVDERGGRVHATVDVAEIIRRWTHALQRIHKQSLHLAKANDGEGPDLLRSAHDGGTSGHAESLGATLAEHQQHLANFQVLINQLKEVAPAIQQSISECSEKVNDMSSSLPPMPKCHGRATSPMQAQSSGRTLGSSSHDVAEITSRISAIHLDKVSASPPALKLPQLFSLTPNSSGKGGNVQKRQTLASQTSQIENMLERNSLDQPLSNSHLDNTPQDNDSSFVQNLKRSVREAALSTQQSLNSESSRDSHTDESSEHYFLPLSTTRFSYLGVENKVASRRSKRLFTSQKNTAVVENNAPDSQVGNYNDLPDILSNLDSITDYDHVNGIFSATGSNGAISDGHISFYDMEESNDQVFSPPFLMDTSLLADPYDDLLAPLSETENALMEH >Manes.02G053000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3869043:3871026:-1 gene:Manes.02G053000.v8.1 transcript:Manes.02G053000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFLAVGFLSLVSSAHGYYGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGINTAALSTALFDNGLSCGACFELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVSYRRVSCKRSGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAIKGSRTRWQPMSRNWGQNWQSNSYLNGQSLSFLVTTSDSRSVLSYNVAPAGWSFGQTYTGSQFRY >Manes.02G053000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3869043:3870947:-1 gene:Manes.02G053000.v8.1 transcript:Manes.02G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVHMVNLCRKMALLGFLAVGFLSLVSSAHGYYGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGINTAALSTALFDNGLSCGACFELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVSYRRVSCKRSGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAIKGSRTRWQPMSRNWGQNWQSNSYLNGQSLSFLVTTSDSRSVLSYNVAPAGWSFGQTYTGSQFRY >Manes.S034616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:875590:875970:-1 gene:Manes.S034616.v8.1 transcript:Manes.S034616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.03G199900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31636566:31640735:1 gene:Manes.03G199900.v8.1 transcript:Manes.03G199900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESDTIKSQSVLQICALSISCAHRHPCRPVRSRFIDWYLLLGIFLQMNLLSSLINESVHCLFASLLNELAREFVHKLENKLNSRVEEDADIDVIGKRYHKLALQLHPDTNKHPKAEIAFKLVLEAYSRLSDSVKRRAFNSERWKNFCIECDGVPYIRGNSPNNLYTSKLKGLNPANHSRLSRILQGLKEIRERFKEEARKRSPLFSTSDNQFPSNSGCRSRRESPIFDPSDYLFEGYPHTRNRVYKKPEDVCFSQRRHSSNYDQETARYDSPIFENRLNGGILKTKSTCVGS >Manes.04G162200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35609859:35618296:-1 gene:Manes.04G162200.v8.1 transcript:Manes.04G162200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTSGYSNGYHNQPQPVGASHRERARRGTRGGRSRDSRDGFRVSAELQQRESEQKVSPCTDFDMAYFHSYAHVGIHEEMIKDRVRTETYRTAIMQLQSHIEGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQANEVVKANNLSEKIIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKRGGIILPSNATLYMAPVTHPDRYSESIEFWRNVYGIDMSAMMPLAKQCAFEEPSVETISGENVLTWPHVVKHVDCYTIQVHELESVTTRYKFKSMMRAPLHGFAFWFDVEFSGPTTSPINTLANNLALDGGQNKKRTNPNDALVLSTAPEDPPTHWQQ >Manes.04G162200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35609859:35618296:-1 gene:Manes.04G162200.v8.1 transcript:Manes.04G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTSGYSNGYHNQPQPVGASHRERARRGTRGGRSRDSRDGFRVSAELQQRESEQKVSPCTDFDMAYFHSYAHVGIHEEMIKDRVRTETYRTAIMQLQSHIEGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQANEVVKANNLSEKIIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKRGGIILPSNATLYMAPVTHPDRYSESIEFWRNVYGIDMSAMMPLAKQCAFEEPSVETISGENVLTWPHVVKHVDCYTIQVHELESVTTRYKFKSMMRAPLHGFAFWFDVEFSGPTTSPINTLANNLALDGGQNKKRTNPNDALVLSTAPEDPPTHWQQTVIYFYDPVEVEQDQLIEGSVTLSQSKENRRFMNIHLEYTSGGRSFVKESVMR >Manes.14G158384.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20981129:20996050:-1 gene:Manes.14G158384.v8.1 transcript:Manes.14G158384.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPLRMPSHSEAASFAPSTPNLAVNGQKFRGSGRDKVPNRSGSAPPSMEGSFLAVNNLICQQSSNLGNLSYSVQNSESEKQSYLALYDSSVNPNPRLPTPPIARKNRYMQSDIGRFGSNWGLTSIDYSDNNSLHFSQVSLSTHKEECEDDRSSHQPSDDLVEQVNGFWSGEDASSLVGQSRSLVDLIQEDYPRTPSPVYNQSHSFSSGTTDEAADHDVDSSSLHNSPFSTSNVLASTVGTDRISLSFNADPSTAPVSSSSPHKCTGATNPMQPLLKGDLKIQDVIIIESEMKDLSISSLPVSKDQKFQQQWPHRYQDNVQQHQVQKQQQSNSFQVHSGKSQLISQGLNSTYISMDQFLHGPSKFTAEVQPVLQSSGFTPPLYASATAYMASPNPIYSNVQPPGLYSPQYGVGGYALSSAAIPPFFPGYPPHGAIPMVYNGPDSPNFNARMPGAPTGGSYAHGTDLQHMNRFYGQLGYPMQPPFTDPAHMQYYQQPYGPAYNVSGQFDPLASGIGVLGNQNSAYETKKGSFAAVGSDKKLHHQISGVNDLYQGRGAIISHYFGSPSNMGMLMQYPSSPLASPVLPGSPVGGTGSSGGTNGLRFPPGTGRYAAVYSGWQGQRGLENSNCTKIYNFIEELKSGKGHRFELSDIAGNIVEFSADQHGSRFIQQKLETCSPEEKASVFKEVLPFAPKLMTDVFGNYVIQKFFEYGSPEQRKDLANQLTGQILPLSLQMYGCRVIQKALEVIELDQKARLVLELDGHVMRCVRDQNGNHVIQKCIESIPTEKIGFIITAFRSHVAALSMHPYGCRVIQRVLERCTDELQCQFIVDEILESVCVLAQDQYGNYVTQHVLERGKSQERSKIISKLSRHVVQLSQHKFASNVIEKCLEYGGDTEREQIIEEILGQHEGNDNLLIMMKDQFGNYVVQKILDTCTDIQREMLLNRIKTHVHALKKYTYGKHIVARYDQQFGEENQAS >Manes.14G158384.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20981129:20996050:-1 gene:Manes.14G158384.v8.1 transcript:Manes.14G158384.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPLRMPSHSEAASFAPSTPNLAVNGQKFRGSGRDKVPNRSGSAPPSMEGSFLAVNNLICQQSSNLGNLSYSVQNSESEKQSYLALYDSSVNPNPRLPTPPIARKNRYMQSDIGRFGSNWGLTSIDYSDNNSLHFSQVSLSTHKEECEDDRSSHQPSDDLVEQVNGFWSGEDASSLVGQSRSLVDLIQEDYPRTPSPVYNQSHSFSSGTTDEAADHDVDSSSLHNSPFSTSNVLASTVGTDRISLSFNADPSTAPVSSSSPHKCTGATNPMQPLLKGDLKIQDVIIIESEMKDLSISSLPVSKDQKFQQQWPHRYQDNVQQHQVQKQQQSNSFQVHSGKSQLISQGLNSTYISMDQFLHGPSKFTAEVQPVLQSSGFTPPLYASATAYMASPNPIYSNVQPPGLYSPQYGVGGYALSSAAIPPFFPGYPPHGAIPMVYNGPDSPNFNARMPGAPTGGSYAHGTDLQHMNRFYGQLGYPMQPPFTDPAHMQYYQQPYGPAYNVSGQFDPLASGIGVLGNQNSAYETKKGSFAAVGSDKKLHHQISGVNDLYQGRGAIISHYFGSPSNMGMLMQYPSSPLASPVLPGSPVGGTGSSGGTNGLRFPPGTGRYAAVYSGWQGQRGLENSNCTKIYNFIEELKSGKGHRFELSDIAGNIVEFSADQHGSRFIQQKLETCSPEEKASVFKEVLPFAPKLMTDVFGNYVIQKFFEYGSPEQRKDLANQLTGQILPLSLQMYGCRVIQKALEVIELDQKARLVLELDGHVMRCVRDQNGNHVIQKCIESIPTEKIGFIITAFRSHVAALSMHPYGCRVIQRVLERCTDELQCQFIVDEILESVCVLAQDQYGNYVTQHVLERGKSQERSKIISKLSRHVVQLSQHKFASNVIEKCLEYGGDTEREQIIEEILGQHEGNDNLLIMMKDQFGNYVVQKILDTCTDIQREMLLNRIKTHVHALKKYTYGKHIVARYDQQFGEENQAS >Manes.14G158384.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20981129:20996050:-1 gene:Manes.14G158384.v8.1 transcript:Manes.14G158384.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPLRMPSHSEAASFAPSTPNLAVNGQKFRGSGRDKVPNRSGSAPPSMEGSFLAVNNLICQQSSNLGNLSYSVQNSESEKQSYLALYDSSVNPNPRLPTPPIARKNRYMQSDIGRFGSNWGLTSIDYSDNNSLHFSQVSLSTHKEECEDDRSSHQPSDDLVEQVNGFWSGEDASSLVGQSRSLVDLIQEDYPRTPSPVYNQSHSFSSGTTDEAADHDVDSSSLHNSPFSTSNVLASTVGTDRISLSFNADPSTAPVSSSSPHKCTGATNPMQPLLKGDLKIQDVIIIESEMKDLSISSLPVSKDQKFQQQWPHRYQDNVQQHQVQKQQQSNSFQVHSGKSQLISQGLNSTYISMDQFLHGPSKFTAEVQPVLQSSGFTPPLYASATAYMASPNPIYSNVQPPGLYSPQYGVGGYALSSAAIPPFFPGYPPHGAIPMVYNGPDSPNFNARMPGAPTGGSYAHGTDLQHMNRFYGQLGYPMQPPFTDPAHMQYYQQPYGPAYNVSGQFDPLASGIGVLGNQNSAYETKKGSFAAVGSDKKLHHQISGVNDLYQGRGAIISHYFGSPSNMGMLMQYPSSPLASPVLPGSPVGGTGSSGGTNGLRFPPGTGRYAAVYSGWQGQRGLENSNCTKIYNFIEELKSGKGHRFELSDIAGNIVEFSADQHGSRFIQQKLETCSPEEKASVFKEVLPFAPKLMTDVFGNYVIQKFFEYGSPEQRKDLANQLTGQILPLSLQMYGCRVIQKALEVIELDQKARLVLELDGHVMRCVRDQNGNHVIQKCIESIPTEKIGFIITAFRSHVAALSMHPYGCRVIQRVLERCTDELQCQFIVDEILESVCVLAQDQYGNYVTQIMMKDQFGNYVVQKILDTCTDIQREMLLNRIKTHVHALKKYTYGKHIVARYDQQFGEENQAS >Manes.14G158384.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20981129:20996050:-1 gene:Manes.14G158384.v8.1 transcript:Manes.14G158384.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPLRMPSHSEAASFAPSTPNLAVNGQKFRGSGRDKVPNRSGSAPPSMEGSFLAVNNLICQQSSNLGNLSYSVQNSESEKQSYLALYDSSVNPNPRLPTPPIARKNRYMQSDIGRFGSNWGLTSIDYSDNNSLHFSQVSLSTHKEECEDDRSSHQPSDDLVEQVNGFWSGEDASSLVGQSRSLVDLIQEDYPRTPSPVYNQSHSFSSGTTDEAADHDVDSSSLHNSPFSTSNVLASTVGTDRISLSFNADPSTAPVSSSSPHKCTGATNPMQPLLKGDLKIQDVIIIESEMKDLSISSLPVSKDQKFQQQWPHRYQDNVQQHQVQKQQQSNSFQVHSGKSQLISQGLNSTYISMDQFLHGPSKFTAEVQPVLQSSGFTPPLYASATAYMASPNPIYSNVQPPGLYSPQYGVGGYALSSAAIPPFFPGYPPHGAIPMVYNGPDSPNFNARMPGAPTGGSYAHGTDLQHMNRFYGQLGYPMQPPFTDPAHMQYYQQPYGPAYNVSGQFDPLASGIGVLGNQNSAYETKKGSFAAVGSDKKLHHQISGVNDLYQGRGAIISHYFGSPSNMGMLMQYPSSPLASPVLPGSPVGGTGSSGGTNGLRFPPGTGRYAAVYSGWQGQRGLENSNCTKIYNFIEELKSGKGHRFELSDIAGNIVEFSADQHGSRFIQQKLETCSPEEKASVFKEVLPFAPKLMTDVFGNYVIQKFFEYGSPEQRKDLANQLTGQILPLSLQMYGCRVIQKALEVIELDQKARLVLELDGHVMRCVRDQNGNHVIQKCIESIPTEKIGFIITAFRSHVAALSMHPYGCRVIQRVLERCTDELQCQFIVDEILESVCVLAQDQYGNYVTQIMMKDQFGNYVVQKILDTCTDIQREMLLNRIKTHVHALKKYTYGKHIVARYDQQFGEENQAS >Manes.17G016100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8290113:8291689:1 gene:Manes.17G016100.v8.1 transcript:Manes.17G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGNLPNIKKWVVLYPVYINSKKTIAEGRRISVSKACENPTCAEIGDCCSYLKLPFAIEIDKAYPRDFMQVGRVRVLLKKEDGTFYNPAIPTRKQLMLHVAELVPKHHSRTKKQEPASTSGATSSKSGKGGKKKR >Manes.06G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10624730:10637534:-1 gene:Manes.06G036300.v8.1 transcript:Manes.06G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIAISSTELRIQSTEDVSLDVAKGSSVVSSSVLHRRIEFHPARKPFTGLGNSGAGSDFRIETLNPDSGRHRPPGGSSCQTGKKVDGMDFVENGLDPELSFGITFRKIGAGLQNVGNTCFLNSVLQCLTYTEPLAAYLQSGKHQNSCHVAGFCALCAIQKHVSRALQSTGRSLVPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESPAAYEKSLVHKIFGGRLRSQVECQQCSYCSNKFDPFLDLSLEIIRADSLPVALRHFTAAELLDGGEKHYQCQRCKQKVRAKKRLTVHKAPYVLTIHLKRFQAHDPGRKVEKKVLFDRSLDMKPFVSGSYEEDLKYSLYGVLVHQGHTTHCGHYVCYVRTSSGIWYLLNDMEVRPVSEKTVLDQKAYMLFYVRDRKNIISRKPVDIVPKENTKATAGSNITNVVLKQLSKECEDNGSVGNRSVAAGSAAAVNRKDPPSFGASEEILQKEALNRATVSECLVRKSDTDAEPPVSPLPKNLSKGGHPNPDLEHSFPSSAPSVKRNSNTAKVDNSIVNVKSDCREFSSDSKEPQNSPIEKLVKDEAPEKINHILTEGVDETEKIQRHSQSVDSSDNALNKIDCGKSPNEPSCKISQAGGFRDKGATFSSPGDDTGDSSERVANELVVSSIPSVITKQHQQIKAPDCSSHKKLKRRLLKCGIPNMHLGSKLLRASLGIRKKKKYKKSKRRSSGIQNLIKKQLLEDNCFSPDDGPSTSKISKPVSLGSTNSQRKRAKSLSNCRVDSLMDTVDEAFEDSNSQNGIVVSIHKQLKEGSLSISKANQQDTNVPECTEGGKKDAQENGFMCMLTRGLQENTVAPWEGIELPQSQIVESNTTENLRIGYVPDEWDEEYDRGKKKKLRHNRHNFGGPNPFQEIASKKTKFKKAKMDQSRSGNKPFRI >Manes.07G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34137257:34139635:-1 gene:Manes.07G139200.v8.1 transcript:Manes.07G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRENKRAALHEKLQLLRSITNSHALNKSSIIVDATRYIEELKQKVERLNQDLEAAQTSSQQNPLPVVTVETLDKGFLIKVFIDRSCPGLLVSILESFEELGLNVREARVSCTDSFRLQAVGGENEEGECIDAQMVKQAVLQAINNWSESYEEE >Manes.02G162800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12784922:12792191:1 gene:Manes.02G162800.v8.1 transcript:Manes.02G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYIVAVLVPLVVTFLFRNSKNSAKKRGMPVDVGGEPGYAVRNAQFPTPLETAWEGVFTLAELFQYACKSYGDKYLLGTRKLISTENEVAPDGRSFEKLHLGEYKWLTYAQVFERVCNFASGLVHIGHRRQERVAIFADTRAEWFISLQGCFRRNLTVVTIYASLGEDALRHSLNETEVTTVICGNKELKKLVDISGQLDTVKRLICMDDEIPSSASVEQSGSWTIISLSNVEKLGQENPVEADLPLSSDIAVIMYTSGSTGLPKGVMMTHANVLAVVSSVRTIVPGLESKDVYLAYLPLAHILELVAEHIVAGVGSAIGYGTPLTLTDTSNKIKRGTKGDASALRPTVMASVPAILDRVRDGVRKKVDATGGLNKKLFDLAYARRLSALNGSWFGAWGLEMLLWNFLMFRKVRAVLGGRIRFLLSGGAPISGDTQRFINICLGAPIGQGYGLTETCAGGTFSEFDDSSVGRVGNPLPSSFIKLIDWPEGGYLTSDSPMPRGEIVISGPNVTVGYFKNEEKTKEVYKVDERGMKWFYTGDIGQFHPDGCLEIVDRKKDIVKLQHGEYVSLGKVEAALTASPHVDNMMLHADPFHGYCVALVVPSQPALEKWASNQGIEFTDFADLCEKNEIIKEVQASFLKEAKKARLEKFEIPAKIKLVCNPWTPDSGLVTAALKIKREAIRKAFSEELSKLYE >Manes.02G162800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12785000:12792409:1 gene:Manes.02G162800.v8.1 transcript:Manes.02G162800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYIVAVLVPLVVTFLFRNSKNSAKKRGMPVDVGGEPGYAVRNAQFPTPLETAWEGVFTLAELFQYACKSYGDKYLLGTRKLISTENEVAPDGRSFEKLHLGEYKWLTYAQVFERVCNFASGLVHIGHRRQERVAIFADTRAEWFISLQGCFRRNLTVVTIYASLGEDALRHSLNETEVTTVICGNKELKKLVDISGQLDTVKRLICMDDEIPSSASVEQSGSWTIISLSNVEKLGQENPVEADLPLSSDIAVIMYTSGSTGLPKGVMMTHANVLAVVSSVRTIVPGLESKDVYLAYLPLAHILELVAEHIVAGVGSAIGYGTPLTLTDTSNKIKRGTKGDASALRPTVMASVPAILDRVRDGVRKKVDATGGLNKKLFDLAYARRLSALNGSWFGAWGLEMLLWNFLMFRKVRAVLGGRIRFLLSGGAPISGDTQRFINICLGAPIGQGYGLTETCAGGTFSEFDDSSVGRVGNPLPSSFIKLIDWPEGGYLTSDSPMPRGEIVISGPNVTVGYFKNEEKTKEVYKVDERGMKWFYTGDIGQFHPDGCLEIVDRKKDIVKLQHGEYVSLGKVEAALTASPHVDNMMLHADPFHGYCVALVVPSQPALEKWASNQGIEFTDFADLCEKNEIIKEVQASFLKEAKKARLEKFEIPAKIKLVCNPWTPDSGLVTAALKIKREAIRKAFSEELSKLYE >Manes.02G162800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12785130:12792191:1 gene:Manes.02G162800.v8.1 transcript:Manes.02G162800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYIVAVLVPLVVTFLFRNSKNSAKKRGMPVDVGGEPGYAVRNAQFPTPLETAWEGVFTLAELFQYACKSYGDKYLLGTRKLISTENEVAPDGRSFEKLHLGEYKWLTYAQVFERVCNFASGLVHIGHRRQERVAIFADTRAEWFISLQGCFRRNLTVVTIYASLGEDALRHSLNETEVTTVICGNKELKKLVDISGQLDTVKRLICMDDEIPSSASVEQSGSWTIISLSNVEKLGQENPVEADLPLSSDIAVIMYTSGSTGLPKGVMMTHANVLAVVSSVRTIVPGLESKDVYLAYLPLAHILELVAEHIVAGVGSAIGYGTPLTLTDTSNKIKRGTKGDASALRPTVMASVPAILDRVRDGVRKKVDATGGLNKKLFDLAYARRLSALNGSWFGAWGLEMLLWNFLMFRKVRAVLGGRIRFLLSGGAPISGDTQRFINICLGAPIGQGYGLTETCAGGTFSEFDDSSVGRVGNPLPSSFIKLIDWPEGGYLTSDSPMPRGEIVISGPNVTVGYFKNEEKTKEVYKVDERGMKWFYTGDIGQFHPDGCLEIVDRKKDIVKLQHGEYVSLGKVEAALTASPHVDNMMLHADPFHGYCVALVVPSQPALEKWASNQGIEFTDFADLCEKNEIIKEVQASFLKEAKKARLEKFEIPAKIKLVCNPWTPDSGLVTAALKIKREAIRKAFSEELSKLYE >Manes.13G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5073654:5078770:-1 gene:Manes.13G043200.v8.1 transcript:Manes.13G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGPSGHRSGLPGDRKPDGGDKKDKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHGDFKKAKEKVMFKKKEGVPEGLYM >Manes.04G081400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28243222:28245880:1 gene:Manes.04G081400.v8.1 transcript:Manes.04G081400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHVKQILRRSNMLQNQSASNFTDVPKGYLAVYVGEGQKKRYIVPVSLLNKPSFQELLRKSEEEFGFNHPMGGITIPCREDIFINLTSC >Manes.16G019100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1948646:1953800:-1 gene:Manes.16G019100.v8.1 transcript:Manes.16G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVITRRHHFYSDLLFFTFLSFLLLRFALSADTLLASQSLSGNQKLLSSSQIFELGFFTPGNSNNWYVGIWYRDIPNRTYVWVANRDNPLTNSSGIFKISNQTIVLLDQAANLMWSSNQTNAINPVMQLLDTGNLVLREANVNDQYLWQSFDYPTDTLLPDMKLGWDLNKGLDRYISSWKSPDDPSPGDFSFKMEYHGFPEIFLWDDQNKKYRSGPWNGERFSGVPEMNPTDSMSFDFVTNKDEVFYSFHISTKSLYSRLTVTSSGQLQRYTWIPDREIWNSFWYAPKDQCDYFMECGPYGVCDSNASPVCKCMRGFEPQNPQAWSLRDGSGGCVRKTDLQCMNDKFLHLKNMKLPDSSTSFVNIAMSLKDCELLCLTNCSCTAYANSNISNGGTGCVIWINELLDMRQYAEGGQDVYVRLAASDIGNGRSIRSLIIGISVGVAALLLGLVGCFICKRKRLLTVCKEEKAIQERSQNLLLNEVVISSKKDYSGEKDKDELELPLFDFGTIATATENFSDENMLGKGGFGCVHKGRLVEGQEVAVKRLSKTSGQGIEEFKNEVRLIARLQHRNLVRLLGCCIETDEKMLIYEYMEHRSLDSVLFNKSKSSLLNWPRRFNIVCGIARGLLYLHQDSRFRIIHRDLKASNILLDGEWNPKISDFGMARIFGGDQTEASTRRVVGTYGYMSPEYAMDGLFSVKSDVFSFGVLVLEIVSGNKNRGFYHSNSELNLLGHAWRLWKEGKGMDLLDTSTGSSFSSSEVLRCIQVGLLCVQERAEDRPTMSSVVLMLSSETATMPQPKTPGFCLGRNPLETDSSSDKQDESFTVNQVTVTVLDAR >Manes.06G163900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29071926:29076762:-1 gene:Manes.06G163900.v8.1 transcript:Manes.06G163900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSAGANRAEPDPRVIQPNSVHSEPWWRSIDYSTISPAVPGGNAFNFSSPDGPNGSLSNDDESLSNERLNENDDDASKASQATASERSVVNCGQEHTTVRDVSSSVTTRHDGCLTQPPQLELVGHSIACTSNPYQDPYYSGMMAAYGHQPLSYSHLLGMHHARMPLPLEMAQEPVYVNAKQYQGILRRRQARAKAELEKKLIKVRKPYLHESRHQHAMRRARGIGGRFAKKTHADASKNTTEGKGNDSGPGPTPQYGRSSGSERLPSDSAEVWVLPHGQQVARSSQVHGTSETNDQVNGGTFYHNCSGLQTSTRHSHSIEKRDEGDCSGQQRGRISSNQASQRPVAIQ >Manes.03G065616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7947959:7963787:-1 gene:Manes.03G065616.v8.1 transcript:Manes.03G065616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQLQGESTFDEFTSTESSIEEQKEEMFNARASIEELGANLDKQNEKKANLLIDLQHLRRRIEEKEGANGRVQKLLPLLYSLKALERQESILQANYDDNRSQLLAEVDELEDKLAAAWNIETLTEDIDRLLSESLEKLNSVKKEQGAQLRATLTVKQQIDDIPTQSELIQYERRFSELNAHIQEKHRQTRKYYATYNALLEIKELMLKETSLLNSISSQFQDAITSADGRMKLIGSMEGIVKGSQQKLQKVQQGLLEEQKACDSLKKRYATAMAEQRRCYSLLKDFQEECTKNERLQSQKSV >Manes.17G024300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13051906:13059304:-1 gene:Manes.17G024300.v8.1 transcript:Manes.17G024300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL9 MGCFSSKVARQFPGHEDPVVLASQTAFSVSEVEALFELFKSISSSVVDDGLINKEEFQLALFKNRKKESLFANRIFDLFDVKQKGVIDFGDFVRVLNVFHPNAPQEEKIDFSFRLYDLENTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFMEADSNNDGKIDRSEWQNFVRKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEIAT >Manes.17G024300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13052325:13058551:-1 gene:Manes.17G024300.v8.1 transcript:Manes.17G024300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL9 MGCFSSKVARQFPGHEDPVVLASQTAFSVSEVEALFELFKSISSSVVDDGLINKEEFQLALFKNRKKESLFANRIFDLFDVKQKGVIDFGDFVRVLNVFHPNAPQEEKIDFSFRLYDLENTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFMEADSNNDGKIDRSEWQNFVRKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEIAT >Manes.17G024300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13052325:13059304:-1 gene:Manes.17G024300.v8.1 transcript:Manes.17G024300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL9 MGCFSSKVARQFPGHEDPVVLASQTAFSVSEVEALFELFKSISSSVVDDGLINKEEFQLALFKNRKKESLFANRIFDLFDVKQKGVIDFGDFVRVLNVFHPNAPQEEKIDFSFRLYDLENTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFMEADSNNDGKIDRSEWQNFVRKNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEIAT >Manes.04G065850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:26213078:26214033:1 gene:Manes.04G065850.v8.1 transcript:Manes.04G065850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPAFLEEVAVEIWARYLQKKLCSLVQLKKSNFLTMGRGGGDLGEIPSEKTLLPSSTEKKQFFDYGPAAGIPRGGGGGDLGEIPSEKALLPSSTEQKQFFDYGPAAGIPRGGGGGDLGEIPSEKALLPSSTEQKQFFDYGPTAGIPLGGGGGDPGEIPSEKALLPSSTEQKQLFDYGRRAGIRQGGGGGDLGEISSEKALDKMLSQFPFKYGY >Manes.05G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4274144:4284219:1 gene:Manes.05G051200.v8.1 transcript:Manes.05G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKAAAALLIRRSRAESWRALPNYHYRNLSSLPSNSSIDDDIQNQVLVEGEAYSRAAILNRPSALNALNTNMCARLLKLYKSWEDNPDIGFVMMKGSGRAFCAGGDIVSLYHLIKQGKLEDCKEFFRTIYSFIYELGTYLKPHVAILDGITMGGGAGVSIPGTFRVATDRTVFATPETLIGFHPDAGASFYLSHLPGHLGEYLALSGETLSGAEMIACGLATHYSYSERLQLIEQQLGKLVTDDPSVIEATLEKYSDLVHPDKMSVLHRIETVDKCFSHDTVEEIFYALESEASGSNDAFCNSTLRRLKEASPLSLKVSLRSIREGRFETLDQCLVREYRMSLQGISKNISTDFCEGVRARMVDKDLAPKWNPASLEQVSEDMVEHYFSPLNELEPDLELPTEQREAFT >Manes.05G051200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4274144:4284219:1 gene:Manes.05G051200.v8.1 transcript:Manes.05G051200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLKAAAALLIRRSRAESWRALPNYHYRNLSSLPSNSSIDDDIQNQVLVEGEAYSRAAILNRPSALNALNTNMCARLLKLYKSWEDNPDIGFVMMKGSGRAFCAGGDIVSLYHLIKQGKLEDCKEFFRTIYSFIYELGTYLKPHVAILDGITMGGGAGVSIPGEYLALSGETLSGAEMIACGLATHYSYSERLQLIEQQLGKLVTDDPSVIEATLEKYSDLVHPDKMSVLHRIETVDKCFSHDTVEEIFYALESEASGSNDAFCNSTLRRLKEASPLSLKVSLRSIREGRFETLDQCLVREYRMSLQGISKNISTDFCEGVRARMVDKDLAPKWNPASLEQVSEDMVEHYFSPLNELEPDLELPTEQREAFT >Manes.06G035227.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10282391:10283858:1 gene:Manes.06G035227.v8.1 transcript:Manes.06G035227.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSLFLFLLLPTSNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCPTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.05G207600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33429138:33432366:-1 gene:Manes.05G207600.v8.1 transcript:Manes.05G207600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHALRFQDLFSLVKEYKFGVFPSAAGISFHSEAKRSSIEVSSCCFSPSNLLRVPCQRGEFRSWGVRATRTEETLRSDDGKKKQWEESSDTDGEDDDSSTRKGQVHDPYLMDPEERREWRRKIEEVISKYPDVEEQLDDDEKKIKMQKLLDDYPLVVEEDDPDWPEDADGWGFNLGQFFNKITIKNVKKDVDDENYNSENEIVWQDDNYICPIKDITAAEWEETVFKDISPLIILVHNRYKRPKENAKIRDELEKAVHIIWNCRLPSPRCVAIDAVVETDLVSALKVSIFPEVIFTKAGRILYREKATRTADEFSKIMAYFYYGAGKPPCLNGIEDSKELIPSFSVADKNTKIIDVQPLQ >Manes.18G145566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32010784:32088130:-1 gene:Manes.18G145566.v8.1 transcript:Manes.18G145566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDKDEVPMLSDVHPQLLDENVDSRVQAFTSWTRSASISIPTNSMEPFGNEANLVGYTGPLRSERRAPLIQMSGPIYINRKAENLFDLNHGVTGHKIVEPKAEKFPSFNGMDQHDWTDDRYAGKNEHLLRSGQLGVCNDPYCTTCPTYYNFKPAQQKHSKASVIFDPKFHNALYGDAKGWATKFISFLNSYIPGVMNPHTKVVQHWNKFFVFSCLVAVFVDPLFFFLLSVQQDNKCIVINGPMATTIVIFRSMTDFVYLLNILLQFRLAYVAPESRVVGAGELVDHPKKIALNYLRGYFSFDLLVALPLPQIIILFILPRSLGSSGANYAKNLLRLAVLVQYIPRLYRFLPLLVGVSPSGFIFETAWANFFINLLTFMMAGHVVGSCWYLFGLQRVNQCLRDACHQSSIKEVCMSFIDCGHGDKHAELGSDQTWLNWTTNANATACFTQDGFPYGIYVQAVNLTSSKNSAITRYVYSLFWGFQQISTLAGNLIPSYFVWEVLFTMSIVGLGLLLFAFLIGNIQNFLQALVRRRLEMSLRRRDIEQWMSHRRLPQELRRKVLEAERYNWAATSGVNEGVLLENLPEDLQRDIRRHLFKFVKKVWIFALMDEHVLDAICERLKPKIYIKGSEILYRGGLVEKMVFIVRGKLDSIGEDGTIISLSEGNVCGEELLTWCLEHSSVSKDGKKLKIPGQRLRSSRTVRCLTNVEAFSLRAADLEEVTNIFARSLRNPRVQGAIRYESPYWRGRAATRIQVAWRYRQKLLKRRSSSQSNQSKNYFR >Manes.14G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9598449:9600504:1 gene:Manes.14G122100.v8.1 transcript:Manes.14G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCLLPAVARRLEGKVALITGGATGIGECIARSFCKHGAKVIIADIQDNLGESVCRELGANLAVFVHCDVTIESDVEEAVDIAISTFGKLDIMVNNAAAIDPWKPSIVNNDIADFERVVKVNLIGVFLGTKHAARVMIPSRKGSIITIGSVCSSIGGVATHAYTSTKHATVRLAKNVAAELGQFGIRVNCLSPYFIATPSAKNFFKMDEDGVCRGTVYSNLEGVKLEKEDVAEAAIYLGSDESKYVSGHNLALDGGFTTINPAFGVFSRSI >Manes.09G187200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37395490:37397252:1 gene:Manes.09G187200.v8.1 transcript:Manes.09G187200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFFAGGQMDFTRHQSQPLMFLSGPPSCGKTSLLFQFAFNNAIAETNEGAKSTVVFICNRSRLENKPPFLCQGVDPSSGTFQRIQMKYVHDDEGIKKYFAAFHLYDKFPVAVVIDDFGDFFSERTCQQRYQNPRGRDLAMVRTLALCHNAVTHANEKGHCKLLLSDTHHGDSPRSLFIYKRWVSSIFTIKGDGKGSFLLRRRSNLESGSSERTRIAKYSIALQYLFLEGILEDDENKT >Manes.16G040400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5557964:5559618:1 gene:Manes.16G040400.v8.1 transcript:Manes.16G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKVPFNGYSVKFSPFYENRLAVATAQNFGILGNGRVHVLSLPPSPSLPLSELVAFDTADGVYDLAWSESHDSLLVAAVADGSVKLFDTALPPTQNPLRSLQEHTREVHSVDYNPTRRDSFITSSWDDTIKLWTLDRPASIRTFKEHAYCVYSAAWNPRHTDVFASTSGDCTVRIWDVREPGSTMIIPGHDFEILSCDWNKYDDCCIATASVDKSIRVWDVRSYRAPIAVLNGHGYAVRKVKFSPHHRNLMVSCSYDMAVCMWDFMAEDALVGRYDHHTEFAVGVDLSVLVEGLLASTGWDELVYVWQHGQDPRAP >Manes.18G032900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3076436:3077851:-1 gene:Manes.18G032900.v8.1 transcript:Manes.18G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSKQELTYELEGIFRVYKDGHVHRLRDTDFVPPSSSLSSGLSSKDLTIIPEPNLVSARLYLPKLHHPSQKFPLLVYFHGGAFCVSSPFTHKYHNYLTKLVAEANVVAVSVNYRKAPEHPIPTAYEDSWAALQWVVSHRNRDGPEPWLNDHADFGRVFLAGESAGANIAHNLAIAAGNPEFGLGIELLGVALTHPYFWGSEPIGSEAVDPDRKALVDRLWPVICPSNPDHDDPRVNPFAEHGPGLMGLGCKRVLVCVAERDVLRDRGWAYYAALSRSGWMGVVEIDETRGEGHGFHLNDLESEKAKYLIQRLAAFFNRDVPLF >Manes.06G069400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20572027:20577390:1 gene:Manes.06G069400.v8.1 transcript:Manes.06G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGSTFDLEVKPEDTIADVKKTIETTQGADVYPAGQQMLIYQGKVLKDNTTIDENKVAENGFVVIMLTKNKSSARDGSTASTASTTKAPQISTPPQAPVPTSSPPAPAPAPAPAPAAAAPGPASAPAPVSSANATSEADVYGQAASNLVSGSNLEGVIQQILDIGGGTWDRDTVVRALRAAYNNPERAIEYLYSGIPEVPPVTPVPVSGQVANPPVQPPPPVQPSPVPSTGPNANPLDLFPQGIPNTGSGAAGVGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPVEGGEGNILGQLQAAMPQAVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFEE >Manes.12G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30185468:30187537:-1 gene:Manes.12G114300.v8.1 transcript:Manes.12G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSIPYIICQYLLLAVILFPLKSISMETALLCSALSVLFLLLALKFWLQARTRHKNLPPSPPGLPIIGHLHLLKQPIHRSLHNLSQKYGPIISLRFGSRLVVIVSSPSAVEECFTKNDIVLANRPPLIMGKYLNYNNTTLVTAPYGDHWRNLRRISALEIFSSNRLCKLLAIRRHEIKIFLNKLYRDSSEAFTKVELKPMFSELTFNIIMHMITGRQYCEIDKSRQFREIIRELFKFAEVSYLGDFLPFLQWVDYQGFVKKLKVLCKRIDGLLQGLVDDQRNDKGGDTIMKRLLSLQESQEHYFTDEIIKGLIMNMIFAGTDTTAVTLEWAMANLLNHPQVLEKAKSELDLQIGQETLVDESDISKLPYLQNIISETLRLCPAAPLLLPHFSSDEYTIGGYDVPKNTMVFVNAWAIHRDPRLWDDAEKFKPERFEKGRADEACGCYKFMTFGLGRRACPGMGLAHRVLSFALGAMIQCFEWKRVSEREIDMAEGNGLTMPMAGPLEAMCKARDIIIKEFSSLDDSIDPL >Manes.06G160400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28674370:28676221:-1 gene:Manes.06G160400.v8.1 transcript:Manes.06G160400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDYQDDEKETKPKPKSNPSSSSSSSKTLSFNATFDPRNPIGIVERLFDFLVNETDFMAEDTAEREIVAVVKAAKDKVKKKMAEEREREAALKGTENKRLKEEKKPEVKAEEKKPVIKEEKKFDLNKEVKARVKEEPIEVEKEEESGAIVPNKGNGLDLEKYSWTQTLQEVNVLVPVPSGTKSRFVVCDIKKKHLKVGLKGQPPIIEGELYKPIKVDDCY >Manes.05G188700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31044928:31051444:1 gene:Manes.05G188700.v8.1 transcript:Manes.05G188700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTISNPEGIVTGIQYNGISNLLEVLNDENDRGYWDLVWSDETTTRKKGNFDRMEGTSFKVIQQNEKQIELSFTRTWNSSLHGKVVPLNIDKRFVVLGGTSGFYTYAIYEHLKEWPAFDLDNTRIVFKLRNDKFHYMAIADDRQRYMPLPEDRREGRGQVLAYPEAVLLVNPVEPQFKGEVDDKYQYSCESRNNGVHGWICDDPAVGFWLITPSYEFRSGGPLKQFLTSHVGPTTLSVLHSTHYLGADMIAKFVANEPWKKVYGPVFVYLNSLLDHGDPLSLWQDAQKQMMNEVQRWPYDFLASQDFPPSDQRGKVSGRLLVKDRYVSDGNISADGAYVGLASPGDAGSWQLEAKGYQFWTETDKDGQFTINNIRPGVYNLYAWVPGFIGDYKYETLINISAGGNITVDDIVYEPPRNGSTLWEIGIPDRVASEFYIPDPNPKYVNKLYLNHTDRYRQYGLWERYAELYPDGDLVFTIGTSNYTKDWFFQQVTRKINNSTYEGTTWQIKFKLDEIDQNWTYTIRLALATANNANLQVKINDLNGNSSLLSTGVFGKDNTIARHGIHGLYRLFNISIPGAQLLQGNNTIFLTQASGTSPFEGIMYDYIRFEGPPSSNTIKNTRFR >Manes.05G188700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31044976:31051438:1 gene:Manes.05G188700.v8.1 transcript:Manes.05G188700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGYFMIFLFSVFFILSDNFPASLSSSHQQVHNSSSSGFHASRETPEAVVGEENPEAVRLQFQDNYVVVDNGFMQLTISNPEGIVTGIQYNGISNLLEVLNDENDRGYWDLVWSDETTTRKKGNFDRMEGTSFKVIQQNEKQIELSFTRTWNSSLHGKVVPLNIDKRFVVLGGTSGFYTYAIYEHLKEWPAFDLDNTRIVFKLRNDKFHYMAIADDRQRYMPLPEDRREGRGQVLAYPEAVLLVNPVEPQFKGEVDDKYQYSCESRNNGVHGWICDDPAVGFWLITPSYEFRSGGPLKQFLTSHVGPTTLSVLHSTHYLGADMIAKFVANEPWKKVYGPVFVYLNSLLDHGDPLSLWQDAQKQMMNEVQRWPYDFLASQDFPPSDQRGKVSGRLLVKDRYVSDGNISADGAYVGLASPGDAGSWQLEAKGYQFWTETDKDGQFTINNIRPGVYNLYAWVPGFIGDYKYETLINISAGGNITVDDIVYEPPRNGSTLWEIGIPDRVASEFYIPDPNPKYVNKLYLNHTDRYRQYGLWERYAELYPDGDLVFTIGTSNYTKDWFFQQVTRKINNSTYEGTTWQIKFKLDEIDQNWTYTIRLALATANNANLQVKINDLNGNSSLLSTGVFGKDNTIARHGIHGLYRLFNISIPGAQLLQGNNTIFLTQASGTSPFEGIMYDYIRFEGPPSSNTIKNTRFR >Manes.05G188700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31044928:31051444:1 gene:Manes.05G188700.v8.1 transcript:Manes.05G188700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTISNPEGIVTGIQYNGISNLLEVLNDENDRGICLCRYWDLVWSDETTTRKKGNFDRMEGTSFKVIQQNEKQIELSFTRTWNSSLHGKVVPLNIDKRFVVLGGTSGFYTYAIYEHLKEWPAFDLDNTRIVFKLRNDKFHYMAIADDRQRYMPLPEDRREGRGQVLAYPEAVLLVNPVEPQFKGEVDDKYQYSCESRNNGVHGWICDDPAVGFWLITPSYEFRSGGPLKQFLTSHVGPTTLSVLHSTHYLGADMIAKFVANEPWKKVYGPVFVYLNSLLDHGDPLSLWQDAQKQMMNEVQRWPYDFLASQDFPPSDQRGKVSGRLLVKDRYVSDGNISADGAYVGLASPGDAGSWQLEAKGYQFWTETDKDGQFTINNIRPGVYNLYAWVPGFIGDYKYETLINISAGGNITVDDIVYEPPRNGSTLWEIGIPDRVASEFYIPDPNPKYVNKLYLNHTDRYRQYGLWERYAELYPDGDLVFTIGTSNYTKDWFFQQVTRKINNSTYEGTTWQIKFKLDEIDQNWTYTIRLALATANNANLQVKINDLNGNSSLLSTGVFGKDNTIARHGIHGLYRLFNISIPGAQLLQGNNTIFLTQASGTSPFEGIMYDYIRFEGPPSSNTIKNTRFR >Manes.05G188700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31044976:31051438:1 gene:Manes.05G188700.v8.1 transcript:Manes.05G188700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGYFMIFLFSVFFILSDNFPASLSSSHQQVHNSSSSGFHASRETPEAVVGEENPEAVRLQFQDNYVVVDNGFMQLTISNPEGIVTGIQYNGISNLLEVLNDENDRGICLCRYWDLVWSDETTTRKKGNFDRMEGTSFKVIQQNEKQIELSFTRTWNSSLHGKVVPLNIDKRFVVLGGTSGFYTYAIYEHLKEWPAFDLDNTRIVFKLRNDKFHYMAIADDRQRYMPLPEDRREGRGQVLAYPEAVLLVNPVEPQFKGEVDDKYQYSCESRNNGVHGWICDDPAVGFWLITPSYEFRSGGPLKQFLTSHVGPTTLSVLHSTHYLGADMIAKFVANEPWKKVYGPVFVYLNSLLDHGDPLSLWQDAQKQMMNEVQRWPYDFLASQDFPPSDQRGKVSGRLLVKDRYVSDGNISADGAYVGLASPGDAGSWQLEAKGYQFWTETDKDGQFTINNIRPGVYNLYAWVPGFIGDYKYETLINISAGGNITVDDIVYEPPRNGSTLWEIGIPDRVASEFYIPDPNPKYVNKLYLNHTDRYRQYGLWERYAELYPDGDLVFTIGTSNYTKDWFFQQVTRKINNSTYEGTTWQIKFKLDEIDQNWTYTIRLALATANNANLQVKINDLNGNSSLLSTGVFGKDNTIARHGIHGLYRLFNISIPGAQLLQGNNTIFLTQASGTSPFEGIMYDYIRFEGPPSSNTIKNTRFR >Manes.05G188700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31044976:31051438:1 gene:Manes.05G188700.v8.1 transcript:Manes.05G188700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTISNPEGIVTGIQYNGISNLLEVLNDENDRGICLCRYWDLVWSDETTTRKKGNFDRMEGTSFKVIQQNEKQIELSFTRTWNSSLHGKVVPLNIDKRFVVLGGTSGFYTYAIYEHLKEWPAFDLDNTRIVFKLRNDKFHYMAIADDRQRYMPLPEDRREGRGQVLAYPEAVLLVNPVEPQFKGEVDDKYQYSCESRNNGVHGWICDDPAVGFWLITPSYEFRSGGPLKQFLTSHVGPTTLSVLHSTHYLGADMIAKFVANEPWKKVYGPVFVYLNSLLDHGDPLSLWQDAQKQMMNEVQRWPYDFLASQDFPPSDQRGKVSGRLLVKDRYVSDGNISADGAYVGLASPGDAGSWQLEAKGYQFWTETDKDGQFTINNIRPGVYNLYAWVPGFIGDYKYETLINISAGGNITVDDIVYEPPRNGSTLWEIGIPDRVASEFYIPDPNPKYVNKLYLNHTDRYRQYGLWERYAELYPDGDLVFTIGTSNYTKDWFFQQVTRKINNSTYEGTTWQIKFKLDEIDQNWTYTIRLALATANNANLQVKINDLNGNSSLLSTGVFGKDNTIARHGIHGLYRLFNISIPGAQLLQGNNTIFLTQASGTSPFEGIMYDYIRFEGPPSSNTIKNTRFR >Manes.05G188700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31044976:31051438:1 gene:Manes.05G188700.v8.1 transcript:Manes.05G188700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTISNPEGIVTGIQYNGISNLLEVLNDENDRGYWDLVWSDETTTRKKGNFDRMEGTSFKVIQQNEKQIELSFTRTWNSSLHGKVVPLNIDKRFVVLGGTSGFYTYAIYEHLKEWPAFDLDNTRIVFKLRNDKFHYMAIADDRQRYMPLPEDRREGRGQVLAYPEAVLLVNPVEPQFKGEVDDKYQYSCESRNNGVHGWICDDPAVGFWLITPSYEFRSGGPLKQFLTSHVGPTTLSVLHSTHYLGADMIAKFVANEPWKKVYGPVFVYLNSLLDHGDPLSLWQDAQKQMMNEVQRWPYDFLASQDFPPSDQRGKVSGRLLVKDRYVSDGNISADGAYVGLASPGDAGSWQLEAKGYQFWTETDKDGQFTINNIRPGVYNLYAWVPGFIGDYKYETLINISAGGNITVDDIVYEPPRNGSTLWEIGIPDRVASEFYIPDPNPKYVNKLYLNHTDRYRQYGLWERYAELYPDGDLVFTIGTSNYTKDWFFQQVTRKINNSTYEGTTWQIKFKLDEIDQNWTYTIRLALATANNANLQVKINDLNGNSSLLSTGVFGKDNTIARHGIHGLYRLFNISIPGAQLLQGNNTIFLTQASGTSPFEGIMYDYIRFEGPPSSNTIKNTRFR >Manes.09G011656.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2408194:2411111:1 gene:Manes.09G011656.v8.1 transcript:Manes.09G011656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFSHLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPNVYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNICPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKLFDLMVTNGIADIFSYNILINGYCKWKMIDNAKQIFDEMSHKGLVPDAVTYHTLIKAMFQAGRPQTAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPDLVTYSILINGMCKAGKINDAKEMFSSLFENGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKAS >Manes.16G042200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5912947:5913932:1 gene:Manes.16G042200.v8.1 transcript:Manes.16G042200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGILESLDSLWFFSSVLWRKPKPMADPPTEPSKPIFQTQQNESGNPEIGSSGCTRCEFSAEIEPVTVQVQEAEMEAFKATKKEEARSGRRKRKSKRKRKVLGELELGIDERDIWDWEFDGYKEMSGIGSVKMPLLTDGMAMKEHLKSWAYAVACTVR >Manes.13G109400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31475001:31476991:-1 gene:Manes.13G109400.v8.1 transcript:Manes.13G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAIPATLFPSADSVLGNQFPSFENSFTPPWDCSDLFTFPDYQSPKAVVSSSGSDDPNEPNKSNQNHANSNCSSDDPNPITVSMIDDRKRRRMISNRESARRSRMRKQRHLENLRYQVNKLKIENRELTNRLRLVLYHCNTVRRENEQLRYEHSMLRQKLSNIRQFLMLKQLQQFTSAWPCNNTTTTE >Manes.03G018000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1526302:1527234:1 gene:Manes.03G018000.v8.1 transcript:Manes.03G018000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPYQQLSSYVFIFLSLATLFHLSHGDVGTAAHYSPPYLPTACFGNDESQFPPNNLFAAASEGIWDNGAACGRQYLVRCISSSVRGACRPDQTIRIKIVDRAASSVTRPSSAGATIVLSDTAFSRIAQTLVASVNIEYQQT >Manes.03G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1525612:1527233:1 gene:Manes.03G018000.v8.1 transcript:Manes.03G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPYQQLSSYVFIFLSLATLFHLSHGDVGTAAHYSPPYLPTACFGNDESQFPPNNLFAAASEGIWDNGAACGRQYLVRCISSSVRGACRPDQTIRIKIVDRAASSVTRPSSAGATIVLSDTAFSRIAQTLVASVNIEYQQT >Manes.05G083900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6938642:6942778:-1 gene:Manes.05G083900.v8.1 transcript:Manes.05G083900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSVDDFSDLLWPPMPAHPPVMSRSPSEWELEKFLEEFPVPTSSSTSDSIPSAVSESPTLSRPRNGEDDVVEIEKPEIHPSPPPVPLPPRNRLHQPSDRASLAPADSEDYRAFLKSQLDLACAAAAKARPEGITSLAEEQSVATKNLQPGSQVSGDSNGISKAQGEADGGSLRLPALPSTQRKQEVPARQTTSGSSREDSDDDDLDGDTETNEHMDPADEKRARRMQSNRESARRSRRRKQAQLNELETQVGHLRDERTSLLTRLTDINKKCDDAAVDNRILNANIETLRTKVKMAEDQVKRVTGLNPMLLARSSMPSMGMQFVTGQTDAPASVAVPMQQNNHFFHHSVPNIPSGAPHLQGLNNSCPNKNLTSHATIPQGDNGTSNIGGRTPMQPLTATAQAMADAPPMQHVQKQTVPSVGPAALPRCNNGLSHPVAKDNKKK >Manes.05G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6938642:6942778:-1 gene:Manes.05G083900.v8.1 transcript:Manes.05G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSVDDFSDLLWPPMPAHPPVMSRSPSEWELEKFLEEFPVPTSSSTSDSIPSAVSESPTLSRPRNGEDDVVEIEKPEIHPSPPPVPLPPRNRLHQPSDRASLAPADSEDYRAFLKSQLDLACAAAAKARESNVKPEGITSLAEEQSVATKNLQPGSQVSGDSNGISKAQGEADGGSLRLPALPSTQRKQEVPARQTTSGSSREDSDDDDLDGDTETNEHMDPADEKRARRMQSNRESARRSRRRKQAQLNELETQVGHLRDERTSLLTRLTDINKKCDDAAVDNRILNANIETLRTKVKMAEDQVKRVTGLNPMLLARSSMPSMGMQFVTGQTDAPASVAVPMQQNNHFFHHSVPNIPSGAPHLQGLNNSCPNKNLTSHATIPQGDNGTSNIGGRTPMQPLTATAQAMADAPPMQHVQKQTVPSVGPAALPRCNNGLSHPVAKDNKKK >Manes.12G077800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8970582:8979983:1 gene:Manes.12G077800.v8.1 transcript:Manes.12G077800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQVEEQEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEQSLIDRVHRLTNRPPHVFLRRGVFFAHRDFNEILDAYERGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESKRLARENARDIIACGFDVTRTFIFQDFEYVGGSFYNNMVKVEKCVTYNKVVGIFGFTGEDHIGKVSFPAVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPSLIESSFFPALQGETGKMSASDPNSAIYVTDSAKEIKNKINKYAFSGGQDSLEKHRKYGANLEVDIPVKYLNFFLEDDDELEHIKKEYAAGRMLTGEVKKRLADVLTELVERHRLARSAVTDEMVDAFMAVRPLPNMFD >Manes.12G077800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8970578:8980121:1 gene:Manes.12G077800.v8.1 transcript:Manes.12G077800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQVEEQEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEQSLIDRVHRLTNRPPHVFLRRGVFFAHRDFNEILDAYERGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESKRLARENARDIIACGFDVTRTFIFQDFEYVGGSFYNNMVKVEKCVTYNKVVGIFGFTGEDHIGKVSFPAVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPSLIESSFFPALQGETGKMSASDPNSAIYVTDSAKEIKNKINKYAFSGGQDSLEKHRKYGANLEVDIPVKYLNFFLEDDDELEHIKKEYAAGRMLTGEVKKRLADVLTELVERHRLARSAVTDEMVDAFMAVRPLPNMFD >Manes.12G077800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8970582:8979960:1 gene:Manes.12G077800.v8.1 transcript:Manes.12G077800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQVEEQEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEQSLIDRVHRLTNRPPHVFLRRGVFFAHRDFNEILDAYERGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESKRLARENARDIIACGFDVTRTFIFQDFEYVGGSFYNNMVKVEKCVTYNKVVGIFGFTGEDHIGKVSFPAVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPSLIESSFFPALQGETGKMSASDPNSAIYVTDSAKEIKNKINKYAFSGGQDSLEKHRKYGANLEVDIPVKYLNFFLEDDDELEHIKKEYAAGRMLTGEVKKRLADVLTELVERHRLARSAVTDEMVDAFMAVRPLPNMFD >Manes.12G077800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8970582:8979960:1 gene:Manes.12G077800.v8.1 transcript:Manes.12G077800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQVEEQEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEQSLIDRVHRLTNRPPHVFLRRGVFFAHRDFNEILDAYERGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESKRLARENARDIIACGFDVTRTFIFQDFEYVGGSFYNNMVKVEKCVTYNKVVGIFGFTGEDHIGKVSFPAVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPSLIESSFFPALQGETGKMSASDPNSAIYVTDSAKEIKNKINKYAFSGGQDSLEKHRKYGANLEVDIPVKYLNFFLEDDDELEHIKKEYAAGRMLTGEVKKRLADVLTELVERHRLARSAVTDEMVDAFMAVRPLPNMFD >Manes.12G077800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8970582:8980041:1 gene:Manes.12G077800.v8.1 transcript:Manes.12G077800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQVEEQEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEQSLIDRVHRLTNRPPHVFLRRGVFFAHRDFNEILDAYERGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESKRLARENARDIIACGFDVTRTFIFQDFEYVGGSFYNNMVKVEKCVTYNKVVGIFGFTGEDHIGKVSFPAVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPSLIESSFFPALQGETGKMSASDPNSAIYVTDSAKEIKNKINKYAFSGGQDSLEKHRKYGANLEVDIPVKYLNFFLEDDDELEHIKKEYAAGRMLTGEVKKRLADVLTELVERHRLARSAVTDEMVDAFMAVRPLPNMFD >Manes.12G077800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8970582:8979960:1 gene:Manes.12G077800.v8.1 transcript:Manes.12G077800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQVEEQEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEQSLIDRVHRLTNRPPHVFLRRGVFFAHRDFNEILDAYERGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESKRLARENARDIIACGFDVTRTFIFQDFEYVGGSFYNNMVKVEKCVTYNKVVGIFGFTGEDHIGKVSFPAVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPSLIESSFFPALQGETGKMSASDPNSAIYVTDSAKEIKNKINKYAFSGGQDSLEKHRKYGANLEVDIPVKYLNFFLEDDDELEHIKKEYAAGRMLTGEVKKRLADVLTELVERHRLARSAVTDEMVDAFMAVRPLPNMFD >Manes.12G077800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8970580:8979983:1 gene:Manes.12G077800.v8.1 transcript:Manes.12G077800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQVEEQEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEQSLIDRVHRLTNRPPHVFLRRGVFFAHRDFNEILDAYERGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESKRLARENARDIIACGFDVTRTFIFQDFEYVGGSFYNNMVKVEKCVTYNKVVGIFGFTGEDHIGKVSFPAVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPSLIESSFFPALQGETGKMSASDPNSAIYVTDSAKEIKNKINKYAFSGGQDSLEKHRKYGANLEVDIPVKYLNFFLEDDDELEHIKKEYAAGRMLTGEVKKRLADVLTELVERHRLARSAVTDEMVDAFMAVRPLPNMFD >Manes.12G077800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8970581:8979983:1 gene:Manes.12G077800.v8.1 transcript:Manes.12G077800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQVEEQEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLEQSLIDRVHRLTNRPPHVFLRRGVFFAHRDFNEILDAYERGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESKRLARENARDIIACGFDVTRTFIFQDFEYVGGSFYNNMVKVEKCVTYNKVVGIFGFTGEDHIGKVSFPAVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDVAPRIGYHKPSLIESSFFPALQGETGKMSASDPNSAIYVTDSAKEIKNKINKYAFSGGQDSLEKHRKYGANLEVDIPVKYLNFFLEDDDELEHIKKEYAAGRMLTGEVKKRLADVLTELVERHRLARSAVTDEMVDAFMAVRPLPNMFD >Manes.09G011889.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2791968:2794159:1 gene:Manes.09G011889.v8.1 transcript:Manes.09G011889.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWRRKTRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFSHLHLVDLGFSVFGKMLKLGLEPDVVTFTTLINGLCTQSKIDKAVEFFDDMVARGYQPNVYTYNVIVTGMCKFGKTSVAIGLLKGMADRGCEPDVVTYSAIIDALCKDELVGKALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDHFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPNVVTYSSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYSILINGYCKCKMMDDAKDIFVEMSHKGLVPNAVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLSLNNDLILSKLRNLSEASKGVQ >Manes.06G078000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21437304:21439144:-1 gene:Manes.06G078000.v8.1 transcript:Manes.06G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSELAGIHYLAAENPIQFAANFGLIDQSNMPTFHFNRILSNFHNPLFPQHVHEFTPQSSSLSNNSTSDEAEENQLSIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHNLIDKLNHLSECHDRVLQENAKLKEEASDLRQMLTDLQIGSPFTTSAL >Manes.04G118100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32012856:32013674:1 gene:Manes.04G118100.v8.1 transcript:Manes.04G118100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGVVKHIFLAKFKEEISSDQIEKLIKGYANLVNLIEPMKAFHWGTDVSNENLHQGFTHVFESTFESTEGVAEYVSHPAHVEFANLFLAAAEKVIVIDYKPTTVRL >Manes.04G118100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32012849:32013944:1 gene:Manes.04G118100.v8.1 transcript:Manes.04G118100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGVVKHIFLAKFKEEISSDQIEKLIKGYANLVNLIEPMKAFHWGTDVSNENLHQGFTHVFESTFESTEGVAEYVSHPAHVEFANLFLAAAEKVIVIDYKPTTVRL >Manes.04G118100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32012849:32014686:1 gene:Manes.04G118100.v8.1 transcript:Manes.04G118100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGVVKHIFLAKFKEEISSDQIEKLIKGYANLVNLIEPMKAFHWGTDVSNENLHQGFTHVFESTFESTEGVAEYVSHPAHVEFANLFLAAAEKVIVIDYKPTTVRL >Manes.02G110000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8530269:8532824:-1 gene:Manes.02G110000.v8.1 transcript:Manes.02G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGNLAAEPYSALPPQGSNSANHKHALGALIIGIAVSMVAASLCLFFRRRLCPLFRQRWKHKGSLKDEGLSLRCFQLEELEKATNNFSEECLVGSGAFGNVYKGTFEIEGTLAIKRAHADSFQSVEEFRNEVRLLSQVKHRNLVSLVGFCEETGGKRAKLLVYEYVPNGSLLEYIIGKCRRSLTWRQRVNIAIGAAKGIAHLHDGVKPSIIHRDIKPSNILVGEDFDAKVSDFGLVKLGPIGDQSHVSSQIKGTPGYLDPAYCSSFHLSPFSDVYSFGVILLQLVSARPAVDSSRNQSNYHIIEWARPSLERGDVAEILDANLLTEPCNMEIMLKMGQLGLRCVEQNPKKRPKMAQVWQELEQALHSTDSFREPSMGSRASCYRSTDHGPRRSTDCESSQSFVSIDGVGFQRFRVEMDSLSFQSASLRCFQVDSVSIDIDNLREIDEEKVKKTSIGHM >Manes.07G120600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32512051:32516247:1 gene:Manes.07G120600.v8.1 transcript:Manes.07G120600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAIIRKSASNLAPVVSRIIRRGHGNNLSAFFPPVSNHTGYSHRVPSVVLQYYSTASDAKKQSSTELLLRVIDSEIKVAQETDDHDRAEELPKEFPFKIDDNAGQQTVILTREYEGELVKVDVLMPDLVTGEENEIDDEIDDIQKPARSSIPLVVTVSKRSGISLEFHCVAYPDEIAIDSLSVNNLESQEDQTAYAGPNFHDLDEKLRKAFHKYLEIRGIKPSTTNFLHEYMINKDSREFLGWLKNLKKFIEA >Manes.07G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32513515:32516247:1 gene:Manes.07G120600.v8.1 transcript:Manes.07G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAIIRKSASNLAPVVSRIIRRGHGNNLSAFFPPVSNHTGYSHRVPSVVLQYYSTASDAKKQSSTELLLRVIDSEIKVAQETDDHDRAEELPKEFPFKIDDNAGQQTVILTREYEGELVKVDVLMPDLVTGEENEIDDEIDDIQKPARSSIPLVVTVSKRSGISLEFHCVAYPDEIAIDSLSVNNLESQEDQTAYAGPNFHDLDEKLRKAFHKYLEIRGIKPSTTNFLHEYMINKDSREFLGWLKNLKKFIEA >Manes.07G120600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32512051:32516247:1 gene:Manes.07G120600.v8.1 transcript:Manes.07G120600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAIIRKSASNLAPVVSRIIRRGHGNNLSAFFPPVSNHTGYSHRVPSVVLQYYSTASDAKKQSSTELLLRVIDSEIKVAQETDDHDRAEELPKEFPFKIDDNAGQQTVILTREYEGELVKVDVLMPDLVTGEENEIDDEIDDIQKPARSSIPLVVTVSKRSGISLEFHCVAYPDEIAIDSLSVNNLESQEDQTAYAGPNFHDLDEKLRKAFHKYLEIRGIKPSTTNFLHEYMINKDSREFLGWLKNLKKFIEA >Manes.11G066950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9552478:9552952:-1 gene:Manes.11G066950.v8.1 transcript:Manes.11G066950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFGTAPIRVLHFCRPMSDLCYAAFFALNFLLSYLCSTVTLFDPDLFMVHESMAWGLCDGACVGQSVWIESFCFLVLFGFLGF >Manes.04G029200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3479196:3500432:-1 gene:Manes.04G029200.v8.1 transcript:Manes.04G029200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEINKLGRVSVIDLADVTGVDLYHVEKQAQQVVSENPGLMLIQGEIISESYWDNVAEEINERLQECSQIALAELATQLNVGSEFVASMLEARLGTLVKGRLEGGQLYTPAYVARVTAMVRGAARGITVPTNLSVLWGTLQQLLHEMDGASGVTVESSFFQSIFNGLVKESKVIGSLRAGVHWTPTVFATAQKECIDSLFSQNSFISYDTLNKLGISQPVQFLQSRYPEGIPMITAFAHPSLIEMLDAAVEDAIERGSWIGSLSILPASFGSQDAAKILSLCPSVQSALKGNKALILGESYVFSCGFVKSIYDCMEKEMDAFSLSGSSGGVLSDDSNLVKEVKVRNDFSKSSEISNSGNEKKKKKGKSTGIKTAESIPDDDDYIPTKSKRNQRKGKNVSSNQVSDAKAGAKKDSAKEDNLNVPSEEWVMQKILSVVPDFEEQGIEDLEMILRPLSNYMRPMLINYLKERRKALFTENTEKMKRLLDNLQKRLDEAFLNMQLYEKALDLFEDDQSTSVILHRHLLRTIAASIADMLFHNLDMHNKLKNGTEVEESQSSEPITLNSAERTALAKNFPGSLAKKALAVGEALEGKRVEVFMTSLREMAEESGLLLKKLDKKLERSLLHSYRKDLSAQVSAETDPITLLPKVVSLLYIQIHSKALQAPGRAISNAVSRLKDKLDDSAYKILTDYQTATVTLLSLVSAATGDQEEDCTSDRILSKREFLENLMPALKGLVLNASQS >Manes.04G029200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3479196:3500432:-1 gene:Manes.04G029200.v8.1 transcript:Manes.04G029200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQFEFAQQAKSSIRLSDRNVVELVQKLQELHIIDFDLLHTVSGKEYITPEHLRHEMAVEINKLGRVSVIDLADVTGVDLYHVEKQAQQVVSENPGLMLIQGEIISESYWDNVAEEINERLQECSQIALAELATQLNVGSEFVASMLEARLGTLVKGRLEGGQLYTPAYVARVTAMVRGAARGITVPTNLSVLWGTLQQLLHEMDGASGVTVESSFFQSIFNGLVKESKVIGSLRAGVHWTPTVFATAQKECIDSLFSQNSFISYDTLNKLGISQPVQFLQSRYPEGIPMITAFAHPSLIEMLDAAVEDAIERGSWIGSLSILPASFGSQDAAKILSLCPSVQSALKGNKALILGESYVFSCGFVKSIYDCMEKEMDAFSLSGSSGGVLSDDSNLVKEVKVRNDFSKSSEISNSGNEKKKKKGKSTGIKTAESIPDDDDYIPTKSKRNQRKGKNVSSNQVSDAKAGAKKDSAKEDNLNVPSEEWVMQKILSVVPDFEEQGIEDLEMILRPLSNYMRPMLINYLKERRKALFTENTEKMKRLLDNLQKRLDEAFLNMQLYEKALDLFEDDQSTSVILHRHLLRTIAASIADMLFHNLDMHNKLKNGTEVEESQSSEPITLNSAERTALAKNFPGSLAKKALAVGEALEGKRVEVFMTSLREMAEESGLLLKKLDKKLERSLLHSYRKDLSAQVSAETDPITLLPKVVSLLYIQIHSKALQAPGRAISNAVSRLKDKLDDSAYKILTDYQTATVTLLSLVSAATGDEEDCTSDRILSKREFLENLMPALKGLVLNASQS >Manes.04G029200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3479196:3500432:-1 gene:Manes.04G029200.v8.1 transcript:Manes.04G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQFEFAQQAKSSIRLSDRNVVELVQKLQELHIIDFDLLHTVSGKEYITPEHLRHEMAVEINKLGRVSVIDLADVTGVDLYHVEKQAQQVVSENPGLMLIQGEIISESYWDNVAEEINERLQECSQIALAELATQLNVGSEFVASMLEARLGTLVKGRLEGGQLYTPAYVARVTAMVRGAARGITVPTNLSVLWGTLQQLLHEMDGASGVTVESSFFQSIFNGLVKESKVIGSLRAGVHWTPTVFATAQKECIDSLFSQNSFISYDTLNKLGISQPVQFLQSRYPEGIPMITAFAHPSLIEMLDAAVEDAIERGSWIGSLSILPASFGSQDAAKILSLCPSVQSALKGNKALILGESYVFSCGFVKSIYDCMEKEMDAFSLSGSSGGVLSDDSNLVKEVKVRNDFSKSSEISNSGNEKKKKKGKSTGIKTAESIPDDDDYIPTKSKRNQRKGKNVSSNQVSDAKAGAKKDSAKEDNLNVPSEEWVMQKILSVVPDFEEQGIEDLEMILRPLSNYMRPMLINYLKERRKALFTENTEKMKRLLDNLQKRLDEAFLNMQLYEKALDLFEDDQSTSVILHRHLLRTIAASIADMLFHNLDMHNKLKNGTEVEESQSSEPITLNSAERTALAKNFPGSLAKKALAVGEALEGKRVEVFMTSLREMAEESGLLLKKLDKKLERSLLHSYRKDLSAQVSAETDPITLLPKVVSLLYIQIHSKALQAPGRAISNAVSRLKDKLDDSAYKILTDYQTATVTLLSLVSAATGDQEEDCTSDRILSKREFLENLMPALKGLVLNASQS >Manes.04G029200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3479196:3500432:-1 gene:Manes.04G029200.v8.1 transcript:Manes.04G029200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEINKLGRVSVIDLADVTGVDLYHVEKQAQQVVSENPGLMLIQGEIISESYWDNVAEEINERLQECSQIALAELATQLNVGSEFVASMLEARLGTLVKGRLEGGQLYTPAYVARVTAMVRGAARGITVPTNLSVLWGTLQQLLHEMDGASGVTVESSFFQSIFNGLVKESKVIGSLRAGVHWTPTVFATAQKECIDSLFSQNSFISYDTLNKLGISQPVQFLQSRYPEGIPMITAFAHPSLIEMLDAAVEDAIERGSWIGSLSILPASFGSQDAAKILSLCPSVQSALKGNKALILGESYVFSCGFVKSIYDCMEKEMDAFSLSGSSGGVLSDDSNLVKEVKVRNDFSKSSEISNSGNEKKKKKGKSTGIKTAESIPDDDDYIPTKSKRNQRKGKNVSSNQVSDAKAGAKKDSAKEDNLNVPSEEWVMQKILSVVPDFEEQGIEDLEMILRPLSNYMRPMLINYLKERRKALFTENTEKMKRLLDNLQKRLDEAFLNMQLYEKALDLFEDDQSTSVILHRHLLRTIAASIADMLFHNLDMHNKLKNGTEVEESQSSEPITLNSAERTALAKNFPGSLAKKALAVGEALEGKRVEVFMTSLREMAEESGLLLKKLDKKLERSLLHSYRKDLSAQVSAETDPITLLPKVVSLLYIQIHSKALQAPGRAISNAVSRLKDKLDDSAYKILTDYQTATVTLLSLVSAATGDEEDCTSDRILSKREFLENLMPALKGLVLNASQS >Manes.02G117425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9001650:9006935:1 gene:Manes.02G117425.v8.1 transcript:Manes.02G117425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPLSMTNEVIEFDMMGLGDDNVAIHQHPDHQHMEDEDEDEGGEKQQGLLHNSHNSTASELHIPQGDTNLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSMSRRSRRDGAIIQRSFVCAKEGFRVDKDKTARPDTRVKRPRAETRVGCKAMLVVKIRDSGRWVVSTFLKEHNHELVPPDKVHCLRSHRHVSGSAKSLIDTLQGAGIGPSGIMSALVKEYGGISNVGFTERDCRNYMRSSRQRALGGDTQLLLDYLRNKQAENPEFFYAVQGDEDQCMSNIFWADPKARTNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPLLFGCALLINESEGSFIWLFKTWLMAMSGRPPVSITTDHDRVIRLAVTQVFPETRHRFCKWHIFKECQEKLSHVFSEHHSFEAELHKCVNLTESIEEFESCWVSLIDRYHLREHEWLQAVYADRRQWVPAYLRDAFFAEMSTMQRSDGINSYFDGYINASTTLQFFVKQYEKALESRYEKEIKADYDTINTTPVLKTPSPMERQASEMYTRKLFMKFQEELVETLTFLATKAENEVATAIYRVTKFEDNRKAYYVNFNVHEVKATCSCQMFEFSGLLCRHILTVFRVTNVLTLPPSYVLKRWTRNAKSGVILEEHTNALHSSSQESLTRRYNSLRREALKYVDEGTKSFEIYNVAMDALQEAANKVALAKKTGGKVTIANGASIKDHPNQGRQANNTYGTQQWSLEQSASMDDQDKKIQKLQRKLERSRRKCEVYRTNLLSVLKDIEDQKLQLTVKVKNIKLGMKE >Manes.06G055500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19128927:19146436:1 gene:Manes.06G055500.v8.1 transcript:Manes.06G055500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTASPVETILDKENFTLEELLDEDEIIQECKALNGRLINFLRERTQVEQLIRFIVEEAPEDAEKRRSFKFAFIACEIFTCEVDILLKTLVEDEELMNLLFSFLEPKCSHSTLLAGYFSKVVICLLLRKTVSFMLYIKAHQQILQQLVDLIGITSIMEVLIRLIGADEHMYNNCTEAMQWIEETDVLEMIVDKFSSSDSQEVHANAAEALCTMTRFASPGLAAKISSPNFIGRLFRHALEESRPKSVLVNSLSICISLLDPKRPTLGTYHTFNRQLNHESTVTASPETVEGMLKSLGDLLKLLDVTSTEIALLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKELIRLGAVQRILDLFFEYPYNNFLHHHVENIIFSCLESKNAILIGHVLRECNLVVKILEAEKNCALVADPGKPTIPADGRPSPRIGNIGHLTRISNKLVQLGNNNGDILACLQENSEWTDWHANVLTKRNAVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDIDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRIANERSAGALASSSPNNGETGGVNGAGNDETMVGEGDDLDDTASSSPLPDPSIDDMSVNNSSKDVEETIANDKPPVWVEWRETPDSNPSNSKVSLMPNGELQLDSEIQGGGGPHAAKPVSSLDALIDDGNAAGKLPKATNESPTGNENPSVDHSASDDKTTVETEGTVEASKDEQENVVKPAEN >Manes.06G055500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19129457:19146402:1 gene:Manes.06G055500.v8.1 transcript:Manes.06G055500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTASPVETILDKENFTLEELLDEDEIIQECKALNGRLINFLRERTQVEQLIRFIVEEAPEDAEKRRSFKFAFIACEIFTCEVDILLKTLVEDEELMNLLFSFLEPKCSHSTLLAGYFSKVVICLLLRKTVSFMLYIKAHQQILQQLVDLIGITSIMEVLIRLIGADEHMYNNCTEAMQWIEETDVLEMIVDKFSSSDSQEVHANAAEALCTMTRFASPGLAAKISSPNFIGRLFRHALEESRPKSVLVNSLSICISLLDPKRPTLGTYHTFNRQLNHESTVTASPETVEGMLKSLGDLLKLLDVTSTEIALLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKELIRLGAVQRILDLFFEYPYNNFLHHHVENIIFSCLESKNAILIGHVLRECNLVVKILEAEKNCALVADPGKPTIPADGRPSPRIGNIGHLTRISNKLVQLGNNNGDILACLQENSEWTDWHANVLTKRNAVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDIDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRIANERSAGALASSSPNNGETGGVNGAGNDETMVGEGDDLDDTASSSPLPDPSIDDMSVNNSSKDVEETIANDKPPVWVEWRETPDSNPSNSKVSLMPNGELQLDSEIQGGGGPHAAKPVSSLDALIDDGNAAGKLPKATNESPTGNENPSVDHSASDDKTTVETEGTVEASKDEQENVVKPAEN >Manes.12G150000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35831800:35832207:-1 gene:Manes.12G150000.v8.1 transcript:Manes.12G150000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTMSLFLGLVLVSVVASEASARDLFINTETRVEASNDNLVDCWNALLEIKTCSNEIVLFFLNGTTDIGPECCRSIAVITRNCWPAMLTSLGFTAEEGNILRGYCDAAAASSPPPASPPLAAGSPLQYQPQPN >Manes.15G107100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8498987:8502519:1 gene:Manes.15G107100.v8.1 transcript:Manes.15G107100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISYSCLFAEYSDLEKALEFVTTKSVFLGDDEEKISPLSVNFGKEDLEPKILRSLGSGKIIVERSVSFNGGQLENRMSGKDPSLDKEKVAAVTLVSTNSKAEDDKSQNSYSDVETVQKAPILYPTNSKHQAAVKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKHSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYVKWLHSKSREPFFYWLDIGEGKEVNIIDKCPRSKLQQQCIKYLGPMERKAYEVVVEDGKFIYKQTGDLLQTTTDAKWIFVLSTSKSLYVGKKKKGRFQHSSFLAGGVATAAGRLIIESGILKAVWPHSGHYRPTEENFQDFLSFLQENNVDLTDVKTNPVDEEAGLLDKLRSFRHIRNHSSEEELIETVNNFEIEDTNAEKLTPERTDLMEDEASVVLEEQKPGRLLNFSRKLTSLEIPKRDELFDSLETEKPTAGPSANNVSIDPLVKDGNESADERVFTEKGDKVPKKKFDEDEENDVEEIPREAIVQRINSKKGTKSFQLGRQLSCKWTTGAGPRIGCVRDYPSGLQFRALEQVNLSPRRIFQSNSISAFSQKVCTPTGFCGEAAATIDLGMLEKGNLMHRSLPLSRTQSLCG >Manes.15G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8498496:8502519:1 gene:Manes.15G107100.v8.1 transcript:Manes.15G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISYSCLFAEYSDLEKALEFVTTKSVFLGDDEEKISPLSVNFGKEDLEPKILRSLGSGKIIVERSVSFNGGQLENRMSGKDPSLDKEKVAAVTLVSTNSKAEDDKSQNSYSDVETVQKAPILYPTNSKHQAAVKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKHSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYVKWLHSKSREPFFYWLDIGEGKEVNIIDKCPRSKLQQQCIKYLGPMERKAYEVVVEDGKFIYKQTGDLLQTTTDAKWIFVLSTSKSLYVGKKKKGRFQHSSFLAGGVATAAGRLIIESGILKAVWPHSGHYRPTEENFQDFLSFLQENNVDLTDVKTNPVDEEAGLLDKLRSFRHIRNHSSEEELIETVNNFEIEDTNAEKLTPERTDLMEDEASVVLEEQKPGRLLNFSRKLTSLEIPKRDELFDSLETEKPTAGPSANNVSIDPLVKDGNESADERVFTEKGDKVPKKKFDEDEENDVEEIPREAIVQRINSKKGTKSFQLGRQLSCKWTTGAGPRIGCVRDYPSGLQFRALEQVNLSPRRIFQSNSISAFSQKVCTPTGFCGEAAATIDLGMLEKGNLMHRSLPLSRTQSLCG >Manes.05G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23896014:23897815:-1 gene:Manes.05G140300.v8.1 transcript:Manes.05G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNLTSSRVDVVVFVIWELLILAFLVFSAVSLYFGNMRLAFILVCITLLLLLCMRITKQVRLARKKKRRMLLPLSM >Manes.01G039000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7353394:7354383:1 gene:Manes.01G039000.v8.1 transcript:Manes.01G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHAVSTSSAPATTLSGDLFNSLLDSSTLCCITGLLLLSLISLAFVFNLRFKSRNSHHLQRFNSLWTVRFLLVSFISLWAFNELLRLSFFRRKYLFPFISSLTLEQQASFCKIHIVFSLGFFEPGFLVTQLFLVNVSVQKKTPRGSWAFVFVFANCFPVLFLQVLFVFFSGIQLPLPEVFLRSSVVSKSDQVLLCEYPLMSSIIFGAFGIWYLLGFSVSCFKVLNLVINKGLRLRMYALAFVVLLMLPTQILFMGLSVLWGPEEFLYASIAFLVFGTTLVIAAVGEGILVIKPIVDSLAVGEDALPASVIPCEQTASKEKEQQQRPNM >Manes.12G011900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1164761:1169779:-1 gene:Manes.12G011900.v8.1 transcript:Manes.12G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYAKDPLDADNLTKWGGALIELSQFQSVPDAKNMLTDAISKLEEALVINPAKADTLWYIGNANTSYAFLTPDLAEAKVYFDKASDYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGISQQALGGGSSASSNAKGSKKNKKSSDLKYDIFGWIILAVGVVAWIGMAKSHVPPPPSR >Manes.12G011900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1164856:1169779:-1 gene:Manes.12G011900.v8.1 transcript:Manes.12G011900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYAKDPLDADNLTKWGGALIELSQFQSVPDAKNMLTDAISKLEEALVINPAKADTLWYIGNANTSYAFLTPDLAEAKVYFDKASDYFQQAVDEEPSNDLYRKSLEVTAKSCTWRFISMESVNRLWVEGLLLLPMQRVPRRTRRVVI >Manes.02G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5210900:5213992:1 gene:Manes.02G068100.v8.1 transcript:Manes.02G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVLISLLIIFLSLFFKIAYDTISCYFLTPRRIKKIMEKQGVRGPKPRPLTGNILDVTSFVSLSTSKDMDSIHHDIVDRLLPHYVAWSKQYGRRFIYWNGIEPRMCLTETELIKELLTKYSIKAGKSWLQQQGSKHFIGGGLLMANGDSWYHQRHIVAPAFMGDRLKSYSAHMVECTKKMLQSLENAVESGETEFEIGEYMTRLTADIISRTEFDSSYEKGKQIFHLLTVLQSLCAQASRHLCFPGSRFFPSKYNREIKSLKMEVERLLMEIIQSRKDCVEIGRSSSYGNDLLGMLLNEMQKKRGNGSFSLNLQLIMDECKTFFFAGHETTALLLTWTVMLLASNPSWQEKVRAEVKQVCNGETPSVDHLPKLTLLNMVINESLRLYPPATVLPRMAFEDFKLGDLHIPKGLSIWIPVLAIHHSEELWGKDANEFNPDRFASKSFAPGRHFIPFAAGPRNCIGQTFAMMEAKIILAMLISRFSFTISDTYRHAPVIVLTIKPKYGVQICMKPLNP >Manes.10G078500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18264279:18272599:-1 gene:Manes.10G078500.v8.1 transcript:Manes.10G078500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSAAAPPPPNQRYSNDTMGPSSPSSSSSSSTASNRDLIEKEESNGASSAPAAVSASRSYEDDEEEEDVCRICRNPGEAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPSRLPFQEFVIGMAMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDAEREDEGDRNGARGARRPPGQANRNFAGELNADDAGGGQGIAGAGQIIRRNAENVAARWEMQAARLEAHVEQIFDGLDDGDGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYVSWLFSSASGPLLSAVTPVIDSELSIANWTMKSALNAVTNLTSEGQDGGLLGQVADALKVNASGLNEVSKNISTPLSADLLKEANIGTSRLSDVTTLAIGYMFIFSLVFFYLGIIALIRYTKGEPLTLGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMAQRLQFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRKGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDYLLPKPEDNGGQENVNQEPGRQDRLPAIQPGAQDRALVALAAADDSNRSLLDRGSSNAAEHESDEQSDSDRCSFVLRIVLLLVVAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFIIGSYVIWTALAGARYSTEHVRTNRATVLLSQIWKWCGIVLKSSALLAIWIFVIPVLIGLLFELLVIVPLRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGLFPVLGYPLVVNSAVYRFAWLGCLSFSALCFCAKRFHIWFTNLHNSIRDDRYLVGRRLHNYGEDREERQSEALSSELQNSNLIGSGLIQNAGEADVGMRQRRVHQQDA >Manes.10G078500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18264279:18272599:-1 gene:Manes.10G078500.v8.1 transcript:Manes.10G078500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSAAAPPPPNQRYSNDTMGPSSPSSSSSSSTASNRDLIEKEESNGASSAPAAVSASRSYEDDEEEEDVCRICRNPGEAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPSRLPFQEFVIGMAMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDAEREDEGDRNGARGARRPPGQANRNFAGELNADDAGGGQGIAGAGQIIRRNAENVAARWEMQAARLEAHVEQIFDGLDDGDGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYVSWLFSSASGPLLSAVTPVIDSELSIANWTMKSALNAVTNLTSEGQDGGLLGQVADALKVNASGLNEVSKNISTPLSADLLKEANIGTSRLSDVTTLAIGYMFIFSLVFFYLGIIALIRYTKGEPLTLGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMAQRLQFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRKGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDYLLPKPEDNGGQENVNQEPGRQDRLPAIQPGAQDRALVALAAADDSNRSLLDRGSSNAAEHESDEQSDSECSFVLRIVLLLVVAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFIIGSYVIWTALAGARYSTEHVRTNRATVLLSQIWKWCGIVLKSSALLAIWIFVIPVLIGLLFELLVIVPLRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGLFPVLGYPLVVNSAVYRFAWLGCLSFSALCFCAKRFHIWFTNLHNSIRDDRYLVGRRLHNYGEDREERQSEALSSELQNSNLIGSGLIQNAGEADVGMRQRRVHQQDA >Manes.10G078500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18262249:18272599:-1 gene:Manes.10G078500.v8.1 transcript:Manes.10G078500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSAAAPPPPNQRYSNDTMGPSSPSSSSSSSTASNRDLIEKEESNGASSAPAAVSASRSYEDDEEEEDVCRICRNPGEAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPSRLPFQEFVIGMAMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDAEREDEGDRNGARGARRPPGQANRNFAGELNADDAGGGQGIAGAGQIIRRNAENVAARWEMQAARLEAHVEQIFDGLDDGDGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYVSWLFSSASGPLLSAVTPVIDSELSIANWTMKSALNAVTNLTSEGQDGGLLGQVADALKVNASGLNEVSKNISTPLSADLLKEANIGTSRLSDVTTLAIGYMFIFSLVFFYLGIIALIRYTKGEPLTLGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMAQRLQFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRKGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDYLLPKPEDNGGQENVNQEPGRQDRLPAIQPGAQDRALVALAAADDSNRSLLDRGSSNAAEHESDEQSDSECSFVLRIVLLLVVAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFIIGSYVIWTALAGARYSTEHVRTNRATVLLSQIWKWCGIVLKSSALLAIWIFVIPVLIGLLFELLVIVPLRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGLFPVLGYPLVVNSAVYRFAWLGCLSFSALCFCAKRFHIWFTNLHNSIRDDRYLVGRRLHNYGEDREERQSEALSSELQNSNLIGSGLIQNAGEADVGMRQRRVHQQDA >Manes.10G078500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18262249:18272599:-1 gene:Manes.10G078500.v8.1 transcript:Manes.10G078500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSAAAPPPPNQRYSNDTMGPSSPSSSSSSSTASNRDLIEKEESNGASSAPAAVSASRSYEDDEEEEDVCRICRNPGEAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPSRLPFQEFVIGMAMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLREIGGQDAEREDEGDRNGARGARRPPGQANRNFAGELNADDAGGGQGIAGAGQIIRRNAENVAARWEMQAARLEAHVEQIFDGLDDGDGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILHYVSWLFSSASGPLLSAVTPVIDSELSIANWTMKSALNAVTNLTSEGQDGGLLGQVADALKVNASGLNEVSKNISTPLSADLLKEANIGTSRLSDVTTLAIGYMFIFSLVFFYLGIIALIRYTKGEPLTLGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMAQRLQFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRKGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDYLLPKPEDNGGQENVNQEPGRQDRLPAIQPGAQDRALVALAAADDSNRSLLDRGSSNAAEHESDEQSDSDRCSFVLRIVLLLVVAWMTLLIFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFIIGSYVIWTALAGARYSTEHVRTNRATVLLSQIWKWCGIVLKSSALLAIWIFVIPVLIGLLFELLVIVPLRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRVKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGLFPVLGYPLVVNSAVYRFAWLGCLSFSALCFCAKRFHIWFTNLHNSIRDDRYLVGRRLHNYGEDREERQSEALSSELQNSNLIGSGLIQNAGEADVGMRQRRVHQQDA >Manes.12G153800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36163339:36164573:-1 gene:Manes.12G153800.v8.1 transcript:Manes.12G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINASKFINQTSSLEMSMETQIFQDQIPFTSFFPAGFSLQTHQILSSSSSSTSIPISALLGDHISIGNDHMEEEEEPEEELGAMKEMMYKIAAMQPVDIDPATIRKPKRRNVRISDDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAILYVKFLKRQIRLLQSNQNPPSIGGWRPLASTTSSPLESPAAAAGPGNALLSNGGEPGAMCFNHEVLSD >Manes.12G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35050669:35052557:-1 gene:Manes.12G144000.v8.1 transcript:Manes.12G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVFLALVVLSFLLFLLRNRKTKTDPHFPPGPRALPFIGNLHQLHNSASFISLWKLSQKYGPLMSLRMGFEPVLVVSSAKMAKEIMKTHDHIFSARPSKHSQQKLSYNGLDIGFAAYGSYWKEMKKICKVHLFSSNRARSFRPIRESEVSHMLGEICGLASASRPVDITEAIMSLANTIISKITFGQRYKKENSRFQALHIEAETLFTSFFVSDYFPLLGFVDKLTGLIHRLEKNFQEFDIFYDKIIQEHLDYDRSELDDHSEDILDGLLKLRNDTSLKFKVTFNHIKAVLMNIVIGATDNNAATVIWAMTFLMKNPTKMKKAQEEVRGLVGNKGFVNEDEIQELSYLKAVVKETMRLQPAFPIIPRETTEDCNLDGFKIPAKTTVYVNAYAIGRDPQVWENAQEFCPERFINSSVDLKGQNFELIPFGGGRRMCPGVSIALPTVKLALANLLYKFDWEMPFGMSNEDLDMEVVPGLAIHKKNALCLMAKQYI >Manes.02G191900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15418389:15420957:1 gene:Manes.02G191900.v8.1 transcript:Manes.02G191900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMESCVPPGFRFHPTEEELVGYYLQRKINSLKFDLDVIIDIDLYKMEPWDIQARCKLGYDEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNKIIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPPQEEGWVVCRAFKKPISNQRPGYEAWNNHGYGVNVRLPPFSNTVTSTNMAIHPNQSSSSHHHQQPFGSDPAELVSSHSFLDNNNQLIDLPQLDSPSRVSTSFATREGLQNNNNGACNEDFDEERSNSSTQFIDWKNLDCLLASQVFDSTSSSSVPNPNLLPSITQTYELSHILGCFPDS >Manes.02G191900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15417409:15420957:1 gene:Manes.02G191900.v8.1 transcript:Manes.02G191900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMESCVPPGFRFHPTEEELVGYYLQRKINSLKFDLDVIIDIDLYKMEPWDIQARCKLGYDEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNKIIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPPQEEGWVVCRAFKKPISNQRPGYEAWNNHGYGVNVRLPPFSNTVTSTNMAIHPNQSSSSHHHQQPFGSDPAELVSSHSFLDNNNQLIDLPQLDSPSRVSTSFATREGLQNNNNGACNEDFDEERSNSSTQFIDWKNLDCLLASQVFDSTSSSSVPNPNLLPSITQTYELSHILGCFPDS >Manes.17G111900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31810773:31816098:-1 gene:Manes.17G111900.v8.1 transcript:Manes.17G111900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRENVLTAISAEEEAEFGFTRTEMHKSNLAGTVDPYDRHIFVCFKGPDAWLPRVEESETDLLPKLFSSAVKARKNDITIKTKVTICEEREGTDFERGDVLIFPDMIKYKRLKDSDVDGFVDDVLVSGRPWASGLQEKLTGSYVFVCAHGSRDKRCGVCGPVLIEKLKEGIESRELRNQVFVSACSHVGGHKYAGNVIVYSPDLEGKIMGHWYGYVTPDDVPEILDQHIGQGVVIERIWRGQMGAPTEEGEKVAKQKLPNGQDVKERKKHEESKNEVQKENIGGCCQGANGFSCCRDGSLEVSEESKLEENIKVHGKKGLGKLSSWIASLEQSDVLTTVGVIGAVATVAVAYSLYKRSC >Manes.13G142000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35552951:35556302:-1 gene:Manes.13G142000.v8.1 transcript:Manes.13G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKESEAKFKQISEAYEVLTDPQKRVVYDQYGEEGLKGSVPPPDTGGTSYYSTGEIPTSFRFNPRNADDIFAEFFGFSRPFGGMGGAGGGMRGTRFSSGIFGDDMFGSFGDGGGGSMHQSGPRKAPAIENKLPCRLEELYKGTTKKMKISREIVDISGKTMQVQEILTIDIKPGWKKGTKITFPEKGNEQPNVVPADLVFIIDEKPHPMFTRDGSDLIVTQNISLAEALTGYTVHLTTLDGRNLSIPISSVIHPNYEEVIPREGMPIQKDPTKRGNLRIKFNIKFPTRLTSEQKAGIKKLLGS >Manes.15G192200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31594326:31602152:1 gene:Manes.15G192200.v8.1 transcript:Manes.15G192200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITGATSEVLVAIPSTAGLRSPEFRHQLRSLSFLSSTLYKTCPSFGIPKLGMGTSRCRDRGITVRASGDSNSFSDPVSPLEFESPVGQMLAQILQTHPHLLPAAIDQQLENLQTDRDTQRNENLPSQDFLYKRIAEVREKERRKTLEEIIYSLIVQKFIDNDISMIRKITASSDPTGRVDFWPNQEQKLESVHSPEAFEMIQSHLSLVLGERLVGPLETIIQISKIKLGKLYAASIIYGYFLRRVDQRFQLDRTMNTLPKGFDENKAIYYDPSPQNRLWDPDSLIRIPPDDGGDSAGGFMDSDGEKISRLRSYVMYLDAETLQRYATIRSKEAISLIEKQTQALFGRPDIRIAEDGSIDTANDEVVSVSFSGLTMLVLEAVAFGSFLWDAESYVESKYNFVNS >Manes.11G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25965871:25970181:1 gene:Manes.11G111900.v8.1 transcript:Manes.11G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIRTSTIVAVIFFSTVCLVSAQAPSRAPSSGMEPSPLSPSAPTPFMPLAPSAPTPFMPLGPSLGPSSLDCMTPLFNMSDCLTYVEESSNVTVPDKNCCPELAGLIDGSPICLCQLLSNTSLTESYGIKIDINRALKLPSVCRISTPPVSTCAALGYPVPGPGSGPTPSKGDIAPGVMSPSGLASSPSAGVNGASNIAGSSQAFIIGLAFSFLLTLF >Manes.09G142600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34069728:34071652:-1 gene:Manes.09G142600.v8.1 transcript:Manes.09G142600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYRNVTICGEYHQQEQVLPSPSPCSKLGTAIAPTSPLDDLFSAQNTEVDVSLEWLSVFVEDCLSSTGNCLPAPTSSVQNKIITPNLPKSSQRKPLQNSQASLERFVIPGKARSKRKRVTSVKTRNPLSSWSQILHFPSSDPPLLQQAYWLADSELIMPQKERTTSNSSNTKTRDSEEEEEEETREEEQEQVTGVSNNGKEGVAILESSSGQQQQPRRCSHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRTAGSAVFNSY >Manes.09G142600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34069788:34071372:-1 gene:Manes.09G142600.v8.1 transcript:Manes.09G142600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYRNVTICGEYHQQEQVLPSPSPCSKLGTAIAPTSPLDDLFSAQNTEVDVSLEWLSVFVEDCLSSTGNCLPAPTSSVQNKIITPNLPKSSQRKPLQNSQASLERFVIPGKARSKRKRVTSVKTRNPLSSWSQILHFPSSDPPLLQQAYWLADSELIMPQKERTTSNSSNTKTRDSEEEEEEETREEEQEQVTGVSNNGKEGVAILESSSGQQQQPRRCSHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRTAGSAVFNSY >Manes.09G142600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34069788:34071372:-1 gene:Manes.09G142600.v8.1 transcript:Manes.09G142600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYRNVTICGEYHQQEQVLPSPSPCSKLGTAIAPTSPLDDLFSAQNTEVDVSLEWLSVFVEDCLSSTGNCLPAPTSSVQNKIITPNLPKSSQRKPLQNSQASLERFVIPGKARSKRKRVTSVKTRNPLSSWSQILHFPSSDPPLLQQAYWLADSELIMPQKERTTSNSSNTKTRDSEEEEEEETREEEQEQVTGVSNNGKEGVAILESSSGQQQQPRRCSHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRTAGSAVFNSY >Manes.05G044700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3676263:3680916:-1 gene:Manes.05G044700.v8.1 transcript:Manes.05G044700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHKTEHDLFHNHHHDLGPPPQIFPTHQTQSQPSIQDDLSLSEIVLFRSSSPESPSQSSSDNDDSLIHPSHSNPKSNDPNNSHATAYINPEPHISSQFYTFNAESHSLMIRCILEHRLATPDEIRAATPHSVLKSWRAVWKDRNEDTAYVTGWKRIQEKLTAHVDPTSGNEFLCFKNNSQQCVSHVNQWQDIVMSFHGDADLKHLGLRETIERIKQVWTVGAKFYGIPESYIRVCVAACPVCSVSEGSGSRNKRRRFEYTESFDVPAKEVPHRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPVAKKSRMLKREPYASKRCGCGFRIRAIVPITNYNEKDKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGSFMMDQEMDYGVREDVDNEGFGLMGKDEGDLQLSVLQQVQELRSEIGLLEGRLRKIPSEFLGTVSQELFHVVNKVRSIGEESSKAIGLLSHKPHSNDVLVGENDLPHWDDHHHERIYGNGKETELIDDDEDSFGQTLGDVVPWDQMRTDCRSDKDLMSEPCKPEKWLKCGDFDEKSILDCEDTKLTKPMRHDEALVADVGLIQVDSFYQENPKWYDSPCGLDSSADCGDSGFRHGEIV >Manes.05G044700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3676263:3680916:-1 gene:Manes.05G044700.v8.1 transcript:Manes.05G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHKTEHDLFHNHHHDLGPPPQIFPTHQTQSQPSIQDDLSLSEIVLFRSSSPESPSQSSSDNDDSLIHPSHSNPKSNDPNNSHATAYINPEPHISSQFYTFNAESHSLMIRCILEHRLATPDEIRAATPHSVLKSWRAVWKDRNEDTAYVTGWKRIQEKLTAHVDPTSGNEFLCFKNNSQQCVSHVNQWQDIVMSFHGDADLKHLGLRETIERIKQVWTVGAKFYGIPESYIRVCVAACPVCSVSEGSGSRNKRRRFEYTESFDVPAKEVPHRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPVAKKSRMLKREPYASKRCGCGFRIRAIVPITNYNEKDKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGSFMMDQEMDYGVREDVDNEGFGLMGKDEGDLQLSVLQQVQELRSEIGLLEGRLRKIPSEFLGTVSQELFHVVNKVRSIGEESSKAIGLLSHKPHSNDVLVGENDLPHWDDHHHERIYGNGKETELIDDDEDSFGQTLGDVVPWDQMRTDCRSDKDLMSEPCKPEKWLKCGDFDEKSILDCEDTKLTKPMRHDEALVADVGLIQVDSFYQENPKWYDSPCGLDSSADCGDSGFRHGEIV >Manes.05G044700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3676897:3680910:-1 gene:Manes.05G044700.v8.1 transcript:Manes.05G044700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHKTEHDLFHNHHHDLGPPPQIFPTHQTQSQPSIQDDLSLSEIVLFRSSSPESPSQSSSDNDDSLIHPSHSNPKSNDPNNSHATAYINPEPHISSQFYTFNAESHSLMIRCILEHRLATPDEIRAATPHSVLKSWRAVWKDRNEDTAYVTGWKRIQEKLTAHVDPTSGNEFLCFKNNSQQCVSHVNQWQDIVMSFHGDADLKHLGLRETIERIKQVWTVGAKFYGIPESYIRVCVAACPVCSVSEGSGSRNKRRRFEYTESFDVPAKEVPHRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPVAKKSRMLKREPYASKRCGCGFRIRAIVPITNYNEKDKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGSFMMDQEMDYGVREDVDNEGFGLMGKDEGDLQLSVLQQVQELRSEIGLLEGRLRKIPSEFLGTVSQELFHVVNKVRSIGEESSKAIGLLSHKPHSNDVLVGENDLPHWDDHHHERIYGNGKETELIDDDEDSFGQTLGDVVPWDQMRTDCRSDKDLMSEPCKPEKWLKCGDFDEKSILDCEDTKLTKPMRHDEALVADVGLIQVDSFYQENPKWYDSPCGLDSSADCGDSGFRHGEIV >Manes.09G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4277788:4292802:-1 gene:Manes.09G020800.v8.1 transcript:Manes.09G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLESHVAEESEIPFKDDSDICHQLLSRYSTSKAPHHRHLLATAAAIRSILTSESLPLSPAAYFVAAIDNLSDSESLDSNAIAALLSFVSIVVPLIPNNGIKGDKASEAVKVLVGVAERDGLGAASVSGLVKCLGVLIVGFCDLEDWGSVKEGFETVLKFSIDKRPKVRRSAQDCLEKVFKSLRSSTVIKESSKLVLSLFKSCKPVVLAMSRSKVIDGSKSETLSKPENLEGLHMLNLLKVTVPYISVKISAKVLSEILQLMHSHFTALTRHIFKIFEAFIEKSREEVIGPHIEKIINSLSLFMSSGEKNSMDTVIFASNLSKLALYKLHAGGSRLWVSNVPKVCGSIAGFLTCETTVASQASLIIKEMINHFMDQKVLFLDEHQSFEDVSQESEEADMIKSTCAIFENILSSYNGIPNEHLLEVISALFLKLREGSFIFMKNLVLKLTDLMKLVSQDKSNTNHLQDCIGSAVVAMGPEKILTLIPISVHADNFTCSNIWLVPILRTHIVESSLGYYMEHILPLAESFLKASHKVKKSVVAQDLQAYAHDLRGLLPAFCHYPVDTHSKFKSLAELLVAFLKEDPSMHQIVVVAIQVLVSQNRSAIISRNNAGEAYSNAERDTLLEFRSASSYSKKTATKNIKALSSCSTELLQALMNLFVDSVPEKRLYIKDAVGCLASITDSSITKNILMSLLKRLQLADGKGEFAQLTSCGDESTDTEGTVGKKKDVKRCVMMELASSLVEGAKEDLIDLLYNYVVHVFKETDATGHCGAYHTLSRILEEHAWFCSSQFIELLDLLLGLKPPTDIASLRKRFACFHILMVHTLERSSEEDNTKAFLMLNEIILTLKDAKDETRKVAYDTILLISSALRISSCAGSGEAYHKLISMIMGYLSGPSPHIKSGAVSALSLLVYNDADICLNIPDLVPSLLSLLQSKGVEVIKAVLGFVKVLVSSLQAKDLQNFLSDITNGVLLWSSVSRFHFRSKVTVIMEIMMRKCGSAAVELVTPDKYKGFVKTVLQNRHHKPTSKEAGSNDTETAFTDSSGKRMNKQKKKESGSVAEENGSVQHRKRKRKNKENDNPRTMREPRIPSSGGYGPKGTKKARHSGYQKSTKGKSADDTKKRKFVKESTSGGNKKMKFQNTSKKGKTAIHRPASRVHKHNKFGKKQKTGD >Manes.09G020800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4277835:4292802:-1 gene:Manes.09G020800.v8.1 transcript:Manes.09G020800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLESHVAEESEIPFKDDSDICHQLLSRYSTSKAPHHRHLLATAAAIRSILTSESLPLSPAAYFVAAIDNLSDSESLDSNAIAALLSFVSIVVPLIPNNGIKGDKASEAVKVLVGVAERDGLGAASVSGLVKCLGVLIVGFCDLEDWGSVKEGFETVLKFSIDKRPKVRRSAQDCLEKVFKSLRSSTVIKESSKLVLSLFKSCKPVVLAMSRSKVIDGSKSETLSKPENLEGLHMLNLLKVTVPYISVKISAKVLSEILQLMHSHFTALTRHIFKIFEAFIEKSREEVIGPHIEKIINSLSLFMSSGEKNSMDTVIFASNLSKLALYKLHAGGSRLWVSNVPKVCGSIAGFLTCETTVASQASLIIKEMINHFMDQKVLFLDEHQSFEDVSQESEEADMIKSTCAIFENILSSYNGIPNEHLLEVISALFLKLREGSFIFMKNLVLKLTDLMKLVSQDKSNTNHLQDCIGSAVVAMGPEKILTLIPISVHADNFTCSNIWLVPILRTHIVESSLGYYMEHILPLAESFLKASHKDEVLNVGLSLVIYFSSLFGPVKKSVVAQDLQAYAHDLRGLLPAFCHYPVDTHSKFKSLAELLVAFLKEDPSMHQIVVVAIQVLVSQNRSAIISRNNAGEAYSNAERDTLLEFRSASSYSKKTATKNIKALSSCSTELLQALMNLFVDSVPEKRLYIKDAVGCLASITDSSITKNILMSLLKRLQLADGKGEFAQLTSCGDESTDTEGTVGKKKDVKRCVMMELASSLVEGAKEDLIDLLYNYVVHVFKETDATGHCGAYHTLSRILEEHAWFCSSQFIELLDLLLGLKPPTDIASLRKRFACFHILMVHTLERSSEEDNTKAFLMLNEIILTLKDAKDETRKVAYDTILLISSALRISSCAGSGEAYHKLISMIMGYLSGPSPHIKSGAVSALSLLVYNDADICLNIPDLVPSLLSLLQSKGVEVIKAVLGFVKVLVSSLQAKDLQNFLSDITNGVLLWSSVSRFHFRSKVTVIMEIMMRKCGSAAVELVTPDKYKGFVKTVLQNRHHKPTSKEAGSNDTETAFTDSSGKRMNKQKKKESGSVAEENGSVQHRKRKRKNKENDNPRTMREPRIPSSGGYGPKGTKKARHSGYQKSTKGKSADDTKKRKFVKESTSGGNKKMKFQNTSKKGKTAIHRPASRVHKHNKFGKKQKTGD >Manes.09G020800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4279215:4292802:-1 gene:Manes.09G020800.v8.1 transcript:Manes.09G020800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLESHVAEESEIPFKDDSDICHQLLSRYSTSKAPHHRHLLATAAAIRSILTSESLPLSPAAYFVAAIDNLSDSESLDSNAIAALLSFVSIVVPLIPNNGIKGDKASEAVKVLVGVAERDGLGAASVSGLVKCLGVLIVGFCDLEDWGSVKEGFETVLKFSIDKRPKVRRSAQDCLEKVFKSLRSSTVIKESSKLVLSLFKSCKPVVLAMSRSKVIDGSKSETLSKPENLEGLHMLNLLKVTVPYISVKISAKVLSEILQLMHSHFTALTRHIFKIFEAFIEKSREEVIGPHIEKIINSLSLFMSSGEKNSMDTVIFASNLSKLALYKLHAGGSRLWVSNVPKVCGSIAGFLTCETTVASQASLIIKEMINHFMDQKVLFLDEHQSFEDVSQESEEADMIKSTCAIFENILSSYNGIPNEHLLEVISALFLKLREGSFIFMKNLVLKLTDLMKLVSQDKSNTNHLQDCIGSAVVAMGPEKILTLIPISVHADNFTCSNIWLVPILRTHIVESSLGYYMEHILPLAESFLKASHKVKKSVVAQDLQAYAHDLRGLLPAFCHYPVDTHSKFKSLAELLVAFLKEDPSMHQIVVVAIQVLVSQNRSAIISRNNAGEAYSNAERDTLLEFRSASSYSKKTATKNIKALSSCSTELLQALMNLFVDSVPEKRLYIKDAVGCLASITDSSITKNILMSLLKRLQLADGKGEFAQLTSCGDESTDTEGTVGKKKDVKRCVMMELASSLVEGAKEDLIDLLYNYVVHVFKETDATGHCGAYHTLSRILEEHAWFCSSQFIELLDLLLGLKPPTDIASLRKRFACFHILMVHTLERSSEEDNTKAFLMLNEIILTLKDAKDETRKVAYDTILLISSALRISSCAGSGEAYHKLISMIMGYLSGPSPHIKSGAVSALSLLVYNDADICLNIPDLVPSLLSLLQSKGVEVIKAVLGFVKVLVSSLQAKDLQNFLSDITNGVLLWSSVSRFHFRSKVTVIMEIMMRKCGSAAVELVTPDKYKGFVKTVLQMTKSRQ >Manes.09G020800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4277832:4292802:-1 gene:Manes.09G020800.v8.1 transcript:Manes.09G020800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLESHVAEESEIPFKDDSDICHQLLSRYSTSKAPHHRHLLATAAAIRSILTSESLPLSPAAYFVAAIDNLSDSESLDSNAIAALLSFVSIVVPLIPNNGIKGDKASEAVKVLVGVAERDGLGAASVSGLVKCLGVLIVGFCDLEDWGSVKEGFETVLKFSIDKRPKVRRSAQDCLEKVFKSLRSSTVIKESSKLVLSLFKSCKPVVLAMSRSKVIDGSKSETLSKPENLEGLHMLNLLKVTVPYISVKISAKVLSEILQLMHSHFTALTRHIFKIFEAFIEKSREEVIGPHIEKIINSLSLFMSSGEKNSMDTVIFASNLSKLALYKLHAGGSRLWVSNVPKVCGSIAGFLTCETTVASQASLIIKEMINHFMDQKVLFLDEHQSFEDVSQESEEADMIKSTCAIFENILSSYNGIPNEHLLEVISALFLKLREGSFIFMKNLVLKLTDLMKLVSQDKSNTNHLQDCIGSAVVAMGPEKILTLIPISVHADNFTCSNIWLVPILRTHIVESSLGYYMEHILPLAESFLKASHKVKKSVVAQDLQAYAHDLRGLLPAFCHYPVDTHSKFKSLAELLVAFLKEDPSMHQIVVVAIQVLVSQNRSAIISRNNAGEAYSNAERDTLLEFRSASSYSKKTATKNIKALSSCSTELLQALMNLFVDSVPEKRLYIKDAVGCLASITDSSITKNILMSLLKRLQLADGKGEFAQLTSCGDESTDTEGTVGKKKDVKRCVMMELASSLVEGAKEDLIDLLYNYVVHVFKETDATGHCGAYHTLSRILEEHAWFCSSQFIELLDLLLGLKPPTDIASLRKRFACFHILMVHTLEAKDETRKVAYDTILLISSALRISSCAGSGEAYHKLISMIMGYLSGPSPHIKSGAVSALSLLVYNDADICLNIPDLVPSLLSLLQSKGVEVIKAVLGFVKVLVSSLQAKDLQNFLSDITNGVLLWSSVSRFHFRSKVTVIMEIMMRKCGSAAVELVTPDKYKGFVKTVLQNRHHKPTSKEAGSNDTETAFTDSSGKRMNKQKKKESGSVAEENGSVQHRKRKRKNKENDNPRTMREPRIPSSGGYGPKGTKKARHSGYQKSTKGKSADDTKKRKFVKESTSGGNKKMKFQNTSKKGKTAIHRPASRVHKHNKFGKKQKTGD >Manes.12G065700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6559442:6565609:-1 gene:Manes.12G065700.v8.1 transcript:Manes.12G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRFYSSTVHSMFRCFFLTNSNKRVFYNSNRSFTIYAAPSLTSLSQQHNSKHPFLFQPQKINPSIEHAPTLIPLQDLVNHYKLSQSYQKTVPTLPHVPHWSSFDVYENLVHTYKYSCCPKESRQFHLKIFKYGFDCDLFLCNTLINAYVRSGDLVSARKLFDEMPERNGVTWACLISGYNHNRMPEEACGVFKRMTFEGCLPNRFAFGGALQACWELKLGMQIHGLILKSPYEQDVVLSNVLISMYGNTLGSIDYARRVFDEIKIKNSISCNSIISVYSQRGYAACAFEIFSSMQRDGSGFNFKPNEYTFGSLITAAGSARDSGSNVLQQMLTRVMKSGFLLDLYVGSALVSGFARFGLLDYAKKIFEQMSVRNAVSMNGLMVGLVRQRCGEEAAELFMEMRNSVDINLDSYVILLSAYAEYALLDEGRRKGKEVHGFAIRTGLNDVKVAIGNGLINMYAKCGAIDDARSVFRLMAIRDPVSWNSMISGLDQNECFEDAINCYHEMRRTGLTPSNFSLISALSSCASLNGIILGQQIHSEGLKLGLDFDCSVSNALLALYAETGYLAECQKVFSLMLEYDQVSWNTVIGALANVEVSVADAVEFFKEMMQTGWNLNRVTFINVLTAVSSLSQIGLSQQIHALMLKYHITDDSAIENALLACYGRCRDIDHCEKIFARMSERRDEVSWNSMISGYIHNEFLSKAMDLVWFMMQRGQRLDCFTFATVLSACASVATLERGMEVHACAIRACLEYDVVVGSALVDMYSKCGRIDYASRFFKLMPVKNVYSWNSMISGYARHGHGSEALKLFTQMKLGNQLPDHVTFVGVLSACSHVGLVDEGFEHFKSMTEVYGLAPRIEHYSCMVDLLGRAGELDRIENFINRMPVEPNVLIWRTVLGACCRANGCKTELGRRAADMLMDMEPQNAVNYVLLSNMYASGGKWSDMAKARRAMREAAVKKEAGCSWVTMKDGVHVFVSGDKSHPERDLIYKKLKELNKRIRDAGYVPETKFALYDLEPENKEELLSYHSEKLAVAFVLTRESGLPIRIMKNLRICGDCHSAFKYISKIAGRQIVLRDSNRFHHFVDGSCSCGDYW >Manes.12G065700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6559442:6566287:-1 gene:Manes.12G065700.v8.1 transcript:Manes.12G065700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCFFLTNSNKRVFYNSNRSFTIYAAPSLTSLSQQHNSKHPFLFQPQKINPSIEHAPTLIPLQDLVNHYKLSQSYQKTVPTLPHVPHWSSFDVYENLVHTYKYSCCPKESRQFHLKIFKYGFDCDLFLCNTLINAYVRSGDLVSARKLFDEMPERNGVTWACLISGYNHNRMPEEACGVFKRMTFEGCLPNRFAFGGALQACWELKLGMQIHGLILKSPYEQDVVLSNVLISMYGNTLGSIDYARRVFDEIKIKNSISCNSIISVYSQRGYAACAFEIFSSMQRDGSGFNFKPNEYTFGSLITAAGSARDSGSNVLQQMLTRVMKSGFLLDLYVGSALVSGFARFGLLDYAKKIFEQMSVRNAVSMNGLMVGLVRQRCGEEAAELFMEMRNSVDINLDSYVILLSAYAEYALLDEGRRKGKEVHGFAIRTGLNDVKVAIGNGLINMYAKCGAIDDARSVFRLMAIRDPVSWNSMISGLDQNECFEDAINCYHEMRRTGLTPSNFSLISALSSCASLNGIILGQQIHSEGLKLGLDFDCSVSNALLALYAETGYLAECQKVFSLMLEYDQVSWNTVIGALANVEVSVADAVEFFKEMMQTGWNLNRVTFINVLTAVSSLSQIGLSQQIHALMLKYHITDDSAIENALLACYGRCRDIDHCEKIFARMSERRDEVSWNSMISGYIHNEFLSKAMDLVWFMMQRGQRLDCFTFATVLSACASVATLERGMEVHACAIRACLEYDVVVGSALVDMYSKCGRIDYASRFFKLMPVKNVYSWNSMISGYARHGHGSEALKLFTQMKLGNQLPDHVTFVGVLSACSHVGLVDEGFEHFKSMTEVYGLAPRIEHYSCMVDLLGRAGELDRIENFINRMPVEPNVLIWRTVLGACCRANGCKTELGRRAADMLMDMEPQNAVNYVLLSNMYASGGKWSDMAKARRAMREAAVKKEAGCSWVTMKDGVHVFVSGDKSHPERDLIYKKLKELNKRIRDAGYVPETKFALYDLEPENKEELLSYHSEKLAVAFVLTRESGLPIRIMKNLRICGDCHSAFKYISKIAGRQIVLRDSNRFHHFVDGSCSCGDYW >Manes.07G023400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2531466:2539655:-1 gene:Manes.07G023400.v8.1 transcript:Manes.07G023400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSEDSPASASGINPSVKKAERPPLLKKSKTSISDGTHFPGPLFPAVRHISASTPSTPLRPPFSDLRVSVGNGDASPAHNSGRSISDSSFSGRDWVYPSFLGPHVAGNRVTVKGRRGSGGNNKVAEEKKGTGSVSSKSVKVKEEKLKIEKEVKTAASQVLATQRSSAAQSDSRSSRRLRHALVFYFLIFIYISSVSYSLHLQSKVGQLEEEIINLRTTCSSNGDFGGNSIEVLQHEDYSSFYFQNADSRTIALYTVIFTLIMPFVFYKYLDYLPQIKTLSKRTKNKKEEVPLKKRIAYTVDVFFSVYPYAKLLALLFATIFLIAFGGLALYAVSDGSFSEALWLSWTFVADSGNHADRVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLKGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGVRFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLNEGDEILVIAEDDDTYAPGPLPKVRGGSCPKLIDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGLDISGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQGNEMCIKPAEFYLFDQEELCFYEIMIRGRQRNEIVIGYRLAYTDRAIINPPEKSNPKKWSLDDVFVVISLCE >Manes.07G023400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2531465:2539655:-1 gene:Manes.07G023400.v8.1 transcript:Manes.07G023400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSEDSPASASGINPSVKKAERPPLLKKSKTSISDGTHFPGPLFPAVRHISASTPSTPLRPPFSDLRVSVGNGDASPAHNSGRSISDSSFSGRDWVYPSFLGPHVAGNRVTVKGRRGSGGNNKVAEEKKGTGSVSSKSVKVKEEKLKIEKEVKTAASQVLATQRSSAAQSDSRSSRRLRHALVFYFEEIINLRTTCSSNGDFGGNSIEVLQHEDYSSFYFQNADSRTIALYTVIFTLIMPFVFYKYLDYLPQIKTLSKRTKNKKEEVPLKKRIAYTVDVFFSVYPYAKLLALLFATIFLIAFGGLALYAVSDGSFSEALWLSWTFVADSGNHADRVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLKGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGVRFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLNEGDEILVIAEDDDTYAPGPLPKVRGGSCPKLIDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGLDISGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPYRDTKSTSLRPSVFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYEIMIRGRQRNEIVIGYRLAYTDRAIINPPEKSNPKKWSLDDVFVVISLCE >Manes.07G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2531466:2539655:-1 gene:Manes.07G023400.v8.1 transcript:Manes.07G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSEDSPASASGINPSVKKAERPPLLKKSKTSISDGTHFPGPLFPAVRHISASTPSTPLRPPFSDLRVSVGNGDASPAHNSGRSISDSSFSGRDWVYPSFLGPHVAGNRVTVKGRRGSGGNNKVAEEKKGTGSVSSKSVKVKEEKLKIEKEVKTAASQVLATQRSSAAQSDSRSSRRLRHALVFYFLIFIYISSVSYSLHLQSKVGQLEEEIINLRTTCSSNGDFGGNSIEVLQHEDYSSFYFQNADSRTIALYTVIFTLIMPFVFYKYLDYLPQIKTLSKRTKNKKEEVPLKKRIAYTVDVFFSVYPYAKLLALLFATIFLIAFGGLALYAVSDGSFSEALWLSWTFVADSGNHADRVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLKGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGVRFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLNEGDEILVIAEDDDTYAPGPLPKVRGGSCPKLIDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGLDISGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPYRDTKSTSLRPSVFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELCFYEIMIRGRQRNEIVIGYRLAYTDRAIINPPEKSNPKKWSLDDVFVVISLCE >Manes.07G023400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2531466:2539655:-1 gene:Manes.07G023400.v8.1 transcript:Manes.07G023400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSEDSPASASGINPSVKKAERPPLLKKSKTSISDGTHFPGPLFPAVRHISASTPSTPLRPPFSDLRVSVGNGDASPAHNSGRSISDSSFSGRDWVYPSFLGPHVAGNRVTVKGRRGSGGNNKVAEEKKGTGSVSSKSVKVKEEKLKIEKEVKTAASQVLATQRSSAAQSDSRSSRRLRHALVFYFLIFIYISSVSYSLHLQSKVGQLEEEIINLRTTCSSNGDFGGNSIEVLQHEDYSSFYFQNADSRTIALYTVIFTLIMPFVFYKYLDYLPQIKTLSKRTKNKKEEVPLKKRIAYTVDVFFSVYPYAKLLALLFATIFLIAFGGLALYAVSDGSFSEALWLSWTFVADSGNHADRVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLKGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGVRFEDVLISFPDAIPCGVKVAADGGKIILNPDDNYVLNEGDEILVIAEDDDTYAPGPLPKVRGGSCPKLIDPPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKEREKKLTDGGLDISGLENIKLVHREGNAVIRRHLESLPLETFDSMSHWRTRLCILTHDLLLPFFLFEIYSQSVSLIEIQSQHLYGLLCSLIALGSAKCSKLLTNQ >Manes.10G069200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10875399:10931236:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVNNYTKGVRSLSLGDACSADNTFADSLEAFGGGQDDPVSVSIGGPVLTKFINAFRELATYKDLLSSQVEHVLIDRLVHFMDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQAENGADRMDWVNKITGVIASLFNIHFLQQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.10G069200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10875399:10939501:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVNLEDSPMFHKEICSLEYLAEELNDRCQQLYKGCKKFMVALGDACSADNTFADSLEAFGGGQDDPVSVSIGGPVLTKFINAFRELATYKDLLSSQVEHVLIDRLVHFMDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQVLTYAQQTKELSNVEQDKLAKRIQEFRTQAEFNSNLASSDLEPSTSADGIRVVGMTSNKSIEAIMQSAANGEISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.10G069200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10874738:10931265:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGDACSADNTFADSLEAFGGGQDDPVSVSIGGPVLTKFINAFRELATYKDLLSSQVEHVLIDRLVHFMDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQVLTYAQQTKELSNVEQDKLAKRIQEFRTQAEFNSNLASSDLEPSTSADGIRVVGMTSNKSIEAIMQSAANGEISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQAENGADRMDWVNKITGVIASLFNIHFLQQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.10G069200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10874740:10939501:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVNLEDSPMFHKEICSLEYLAEELNDRCQQLYKGCKKFMVALGDACSADNTFADSLEAFGGGQDDPVSVSIGGPVLTKFINAFRELATYKDLLSSQVEHVLIDRLVHFMDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQAENGADRMDWVNKITGVIASLFNIHFLQQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.10G069200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10876073:10922551:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQVLTYAQQTKELSNVEQDKLAKRIQEFRTQAEFNSNLASSDLEPSTSADGIRVVGMTSNKSIEAIMQSAANGEISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.10G069200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10874739:10931236:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVNNYTKGVRSLSLGDACSADNTFADSLEAFGGGQDDPVSVSIGGPVLTKFINAFRELATYKDLLSSQVEHVLIDRLVHFMDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQVLTYAQQTKELSNVEQDKLAKRIQEFRTQAEFNSNLASSDLEPSTSADGIRVVGMTSNKSIEAIMQSAANGEISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQAENGADRMDWVNKITGVIASLFNIHFLQQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.10G069200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10876073:10922551:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQVLTYAQQTKELSNVEQDKLAKRIQEFRTQAEFNSNLASSDLEPSTSADGIRVVGMTSNKSIEAIMQSAANGEISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQAENGADRMDWVNKITGVIASLFNIHFLQQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.10G069200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10875399:10939501:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVNLEDSPMFHKEICSLEYLAEELNDRCQQLYKGCKKFMVALGDACSADNTFADSLEAFGGGQDDPVSVSIGGPVLTKFINAFRELATYKDLLSSQVEHVLIDRLVHFMDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQVLTYAQQTKELSNVEQDKLAKRIQEFRTQAEFNSNLASSDLEPSTSADGIRVVGMTSNKSIEAIMQSAANGEISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQAENGADRMDWVNKITGVIASLFNIHFLQQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.10G069200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10875399:10939501:-1 gene:Manes.10G069200.v8.1 transcript:Manes.10G069200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVNLEDSPMFHKEICSLEYLAEELNDRCQQLYKGCKKFMVALGDACSADNTFADSLEAFGGGQDDPVSVSIGGPVLTKFINAFRELATYKDLLSSQVEHVLIDRLVHFMDVDLKDAKESRKRFDKAFYAYDQSREKFASLKKNTRGDIIEEQEEGLKNSKSAFERSRFNLVSALMNIETKKKYEFLESISAIMDAHLRYFKLGYDLFSQMEPFIHQVLTYAQQTKELSNVEQDKLAKRIQEFRTQAEFNSNLASSDLEPSTSADGIRVVGMTSNKSIEAIMQSAANGEISPIKQGYLLKRSSSSRGDWKRRFFVLDSQGSLYYYRNKGTKNMSFHHLSTASVEHNTGVFARFRSRHNRASSIGEGSLGCHIIDLRTSTIKMDAEDTDLRLCFRIISPLKMYTLQAENGADRMDWVNKITGVIASLFNIHFLQQPHPKMKNLEHNVITSGAACAVELNNHQHLEADMNINKADCASLVLREIPGNDVCAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPTVLDLFHSLGNAYCNSIWEGLLWVKNERVDESNVIASSIEKPGPRDAICSKEKHIHAKYVEKLLVIREASVSGIFPCKSGIWQAVKTNNLREVYRYIVISDINIINTTFDEVVGIGSFHHDIETQNSEVSSHAAETKHYDPESCQRINDSKDPGNCLQGCSLLHLACHYGDEVMLELLLQFGAEVNLRDFHGRTPLHHCISKRDYAMAKFLLRRGASPTIKDGGGLSVLERAMEMGAITDEELFVMLADRQ >Manes.14G105400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8843409:8845833:1 gene:Manes.14G105400.v8.1 transcript:Manes.14G105400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAIKSQKQNLMTSFTKSFNTQFQLVNVLSFCFLFCCGLATGVILSSYLKNISFNVHVTQFSVSANAAVPQPPPSPPLKNETIELPLVGLKEYLKVPDAKHDMEDEELLWRASMAPRINKYPFDRVPKIAFLFLTKGPVLMAPLWEKFFKGHQGLYSIYVHSSPSYNESYPESPVFHGRRIPSKDVQWGNVNMIEAERRLLANALLDISNQRFVLLSEACIPLFNFSTVYSYLMNSTTNFVEAYDLEGPVGRGRYSPRMSPEITIHHWRKGSQWFEMDRQLALEVISDQKYFPIFQKYCKGPCYADEHYLPTFVSMNHGERNSNRSLTWVDWSRGGPHPARFVRPEVTVEFLERLRSSSKCEYNGNSSTTCFMFARKFLPTALDRLMRFAPKVMHFNR >Manes.14G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8843409:8845863:1 gene:Manes.14G105400.v8.1 transcript:Manes.14G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAIKSQKQNLMTSFTKSFNTQFQLVNVLSFCFLFCCGLATGVILSSYLKNISFNVHVTQFSVSANAAVPQPPPSPPLKNETIELPLVGLKEYLKVPDAKHDMEDEELLWRASMAPRINKYPFDRVPKIAFLFLTKGPVLMAPLWEKFFKGHQGLYSIYVHSSPSYNESYPESPVFHGRRIPSKDVQWGNVNMIEAERRLLANALLDISNQRFVLLSEACIPLFNFSTVYSYLMNSTTNFVEAYDLEGPVGRGRYSPRMSPEITIHHWRKGSQWFEMDRQLALEVISDQKYFPIFQKYCKGPCYADEHYLPTFVSMNHGERNSNRSLTWVDWSRGGPHPARFVRPEVTVEFLERLRSSSKCEYNGNSSTTCFMFARKFLPTALDRLMRFAPKVMHFNR >Manes.07G095800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30008297:30009541:-1 gene:Manes.07G095800.v8.1 transcript:Manes.07G095800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKPKSSVLKQLFFVTFQNPILSRTNHLYQKLPQQYTQTCNYVDVYMKWKKDSYFESIEHIHKSKELKPILSLKDFIAQNPNGCIPISDVSKRGLQFDVKIKVARFLRQYPSIFEEFVGPKYNLPWFRLTEEAAAINREENKVLEEYKEDLKERLKKFILMSKEKVLPFKIIKGMLWYLGLPEDFLQHQDKNFDSSFRVVELEVGSKGLGVESTKKILSVLQKNAMRKGLYYGEPMEAIEFPLFPSKGLRLRRKIQDWLKEFQKLPYVSPYEDNSHLDPNSDIGEKRVVGLLHELLSLFVEHSVERKKLLCLKKYFELPQKVHKAFERHPHMFYLSFRNKTCTTILKEAYGDDELAMERHPMAMIRKKYIKLMKESEVILKRRRANNPFLEYKKLDFEMDSVNEKRREEEKQ >Manes.04G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32076988:32080384:-1 gene:Manes.04G119400.v8.1 transcript:Manes.04G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFEFKEEDMAVDESVGYPRSYAKLCRDRGAAGTYSHGPPFTFLPCALQQHEIQRAKELEQMFPIIHPKAKPTAKPKIFAGLLWKQLNHLGNAGFDPEVIRVDPYGNVLYFHADRASPLAWDIDHWFPCQRGGLTVPSNLRILQWQVCKRKHNKLEFLVPWWDLQLGISVNQFLSIFASSNSDFRHRAFSFFFSEGENEELNASQTVDSHSFPQHFIESKEQLGLAPAAIVVSRKESYDTSLALKSVDYNRQIRPHSPAIAARKVKPGDLKENENPDFVTNPYQAIVMARDSLKQREEAHKMQVEIQKLDDEVNEIRRKNDEEKLAIQDLELALIKRRRRAEKCRRLAEAQSSYRTMLEKMIRDAMHQSVIYKEQVRLNQAATNALMARLEAQKAICDSSEKDLHKKFKQRDEIEKQIRPEMEHARKRSRMDDILFEDRDHKAILYLPGNMPNTPTTHKELRVFLEEEQKASEAALSANEDGKHEEIEEKPKTPAKNIFRENPEEHNKSIIALDNYEIPIEHKLQALEIGEGKRDKIQFPVIRGDEIEEDEESRKLRGKGNVERWLKLLLEKSQDELEEPENSSGNGNSRADDIITKLNQVFPQKEARPGFDKEILTHAPDEKQQQVIQQKDKGKKKVEEIVEVEANKTLASVGIENNKSFEGKERALVRSESARTLRRIPSSPLLLGMRKKKSMVTGDDDCNGDYATRNSFIKSSIKTIKKAVKI >Manes.01G225800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39126547:39128400:1 gene:Manes.01G225800.v8.1 transcript:Manes.01G225800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGKLGPAIFLSWADEVEKEDEEAARAQVQRKEKPNPFGSARPREVVLQEKGIDWRKLDLRLEQQAPLARREPLSEKGKGNIAASSPSAINRKHTEPRRQGLYDENLGFNSAATDQVVVVPPLKYPPRNIVASLSYSGISYINPYLESGKHGFQCRSSLKPEKKNITGRSGMRMIHCHERRLHIEHGSQFKKNGIQSESAQSLGKNRNLSKPLACSNRQHSDSYNRGGEFEGNGHDTMASLLGRPPIRERKPLSPSERAWKSVKDDPAVREVNKLHTKQSRTRCGNIERKRWSQRTSYKDTTVSQEDCRSGMDRHVA >Manes.05G085400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7047600:7052542:-1 gene:Manes.05G085400.v8.1 transcript:Manes.05G085400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLRDRKGNTPLHCAILEDHSHIAASLLENGANPNAATGQKFTPLHYAAKRGCRKVLQLLISKGAEIDAQADSGTPLQEAASLRMDEAVKILLDNNANAGADPNMRTVGPTPLEYAASLGDTESIKYLLDEGALPNMASNLGLTPVEVAARHGHHTAVEILFPVTSSIASVADWSCAGIMKHFQSIEVKKKMEQRKNEQFVQFKSKGQDAFNRKDYHDAIYWYTEAECLEPMDARVKSNRSLCWACLNEGYRALADGQKSLLLDPKWQKAYYREGVGWKLLKDFEKAADSFYIGWRLDVGNKELLQAYQEAKQMQIQQAAAREPCFDSCCCCANRQNL >Manes.05G085400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7047600:7052616:-1 gene:Manes.05G085400.v8.1 transcript:Manes.05G085400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLRDRKGNTPLHCAILEDHSHIAASLLENGANPNAATGQKFTPLHYAAKRGCRKVLQLLISKGAEIDAQADSGTPLQEAASLRMDEAVKILLDNNANPNLTFRHLFSPLLLSLCAGSIECVKQLLKAGADPNMRTVGPTPLEYAASLGDTESIKYLLDEGALPNMASNLGLTPVEVAARHGHHTAVEILFPVTSSIASVADWSCAGIMKHFQSIEVKKKMEQRKNEQFVQFKSKGQDAFNRKDYHDAIYWYTEAECLEPMDARVKSNRSLCWACLNEGYRALADGQKSLLLDPKWQKAYYREGVGWKLLKDFEKAADSFYIGWRLDVGNKELLQAYQEAKQMQIQQAAAREPCFDSCCCCANRQNL >Manes.05G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9848342:9850825:1 gene:Manes.05G104300.v8.1 transcript:Manes.05G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMKTGPPETGEASKNESSKHGSNLNRGISILDLILRVIATIGTLGSSVAMGTSNQTLPFSFQSFQFRAEYNDLPMFTFFVIANSIVCGYLVLSLPLSIFHIIRSSAKISRIIFVIFDTVMLCLLACGASVAASIVYLAHKGNANANWLPICQQFSNFCQRISGSLIGSFFSVIILILIITSSAVSLSQI >Manes.17G005100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2281557:2282992:1 gene:Manes.17G005100.v8.1 transcript:Manes.17G005100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQAVSDGLDLTGINDVEIAGYLRDEKEIELRKIMWELLQRDYSKRKRAEQEKKKDGPAKKALKTGGVEDDERKSNKKKTMSSKINYDALKKLEEEISTIPEIEKDSHYNADGDLAVGDQYSEVPNHDYEDDDFDYEMTY >Manes.17G005100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2281611:2282843:1 gene:Manes.17G005100.v8.1 transcript:Manes.17G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQAVSDGLDLTGINDVEIAGYLRDEKEIELRKIMWELLQRDYSKRKRAEQEKKKDGPAKKALKTGGVEDDERKSNKKKTMSSKINYDALKKLEEEISTIPEIEKDSHYNADGDLAVGDQYSEVPNHDYEDDDFDYEMTY >Manes.01G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30692200:30695307:1 gene:Manes.01G107700.v8.1 transcript:Manes.01G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIKFPIFTVVRLLGLVVTAMVLTWTVHYRGGLALVSDNKDLIFNVHPVLMVIGLILMNGEAMLAYKTVPGTKSFKKLVHLVLQFLALFLSLIGVWAALKFHNDKGIDNFYSLHSWLGLVCLFLFGMQWVAGFVTFWYPGGSRNGRAILLPWHVFFGVYIYALAVATATTGILEKATFLQTNKVISRYSTEALLVNSLGILIVVLGGFVVLATITPLHAKGDVIRNATE >Manes.06G100300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23444468:23445173:-1 gene:Manes.06G100300.v8.1 transcript:Manes.06G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNSSYETSWADQWDNGPDPVYDYQNRKSSDNTSSAAKYKQKVGEGLGKTKAVASTGMKKVKEGTITGFHWIKDKYHKSTHKR >Manes.14G157400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22266336:22276052:1 gene:Manes.14G157400.v8.1 transcript:Manes.14G157400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQLLCSVLVLLLLLPTGKPEIYIVTMDGEPVISYKGGVPGFEPIAEDSDEKIDATSQLVTSYAQHLEEKHDMLLGSLFDHGAYKKLYSYKHLINGFAVHISPEQAETLRCAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGENIVIGFVDSGIYPHHPSFATHSTNPYEPLPNYRGKCEVDPNTKKDFCNGKIIGAQHFAEAAIAAGAFNPSIDFASPMDGDGHGSHTAAIAAGNNGVPVRMHGHEFGKASGMAPHARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILNLSVGPNSPPATTKTTFLNPFDATLLAAVKAGVFVAHAAGNGGPFPKTLVSYSPWITSVAAAVDDRRYKNHLTLGNGKILAGIGLSPSTHPNQTYTLVAANDVLLDSSVMKYSPSDCQRPELLNKNLVEGNILLCGYSFNFVSGTASVKKVSETAKSLGAIGFALAVENVYPGAKFDPVPVGVPGILITEVPKSMDLIDYYNISTPRDWTGRVKSFNATGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALMTTSTQLDRAERPLQAQQYSETETMKLVTATPFDYGSGHVNPKAALDPGLIFDAGYEDYLGFLCTTPGIDSHEIKNYTNSPCNYTMNHPSNFNGPSITVSHLVKTQTFTRTVTNVAEEETYVITTRMQPSIAVEVTPSAMTLKPGASRKFSISLTVRSVTGTYSFGEIFMKGSRGHKVKIPVVAMGYWR >Manes.14G157400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22266331:22276052:1 gene:Manes.14G157400.v8.1 transcript:Manes.14G157400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQLLCSVLVLLLLLPTGKPEIYIVTMDGEPVISYKGGVPGFEPIAEDSDEKIDATSQLVTSYAQHLEEKHDMLLGSLFDHGAYKKLYSYKHLINGFAVHISPEQAETLRCAPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGENIVIGFVDSGIYPHHPSFATHSTNPYEPLPNYRGKCEVDPNTKKDFCNGKIIGAQHFAEAAIAAGAFNPSIDFASPMDGDGHGSHTAAIAAGNNGVPVRMHGHEFGKASGMAPHARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILNLSVGPNSPPATTKTTFLNPFDATLLAAVKAGVFVAHAAGNGGPFPKTLVSYSPWITSVAAAVDDRRYKNHLTLGNGKILAGIGLSPSTHPNQTYTLVAANDVLLDSSVMKYSPSDCQRPELLNKNLVEGNILLCGYSFNFVSGTASVKKVSETAKSLGAIGFALAVENVYPGAKFDPVPVGVPGILITEVPKSMDLIDYYNISTPRDWTGRVKSFNATGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALMTTSTQLDRAERPLQAQQYSETETMKLVTATPFDYGSGHVNPKAALDPGLIFDAGYEDYLGFLCTTPGIDSHEIKNYTNSPCNYTMNHPSNFNGPSITVSHLVKTQTFTRTVTNVAEEETYVITTRMQPSIAVEVTPSAMTLKPGASRKFSISLTVRSVTGTYSFGEIFMKGSRGHKVKIPVVAMGYWR >Manes.03G177600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30057820:30061248:-1 gene:Manes.03G177600.v8.1 transcript:Manes.03G177600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNPFPISSDQVQDYATGFSHGGYGSPVSMAGQQNEEFPQDGQFVQNDQLLQSDQFMQNDVPNESDGGTNNVENNLLWPVLPGDSGEGLPYAPIDWPYPGDVWTWRVGRRFNSSGYFQDRFLYLPKSLGKQTFASKAAVANYIQSQFPGSDIDAFFASFAWKIPVKIQPPPKEEPAISVLENALQDEKVAGQEEKKEENLHSGLGKRRRNAVSTPKQATQNGATSSSTPKRTKQSRAKSSPAPKGTKNKLSPTPKRTRQTKQTNQNGTAPSSTAKRKTRHSSRRNVPSDKGGVFITEESAVEPIPEDFDNYLNSLEDILTQPVSGNLSSASMDSYTAQNEMAEARSKLSSLLVMDFPSLVLSNKISELANLASKLRKDPTLNAEQLVKLKLIEEISSFTEVFLESRELIEQVDDFFATLEAKKAKVASLKKEYNELKEKTDQLQAQVDSNLMTVQEIDNQIALLQSRRAELTNAIETNKEAKVEVVYAQKLVANAIPKVVNEIQLANSRIPELELKKTNAVKRESEILAKFAPLQGFSL >Manes.13G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3893854:3896228:-1 gene:Manes.13G030100.v8.1 transcript:Manes.13G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTIPNPKLRAFHSLSTNLSLPFSLRFSLTFPEASRKKSTSFRQTTSSSSLSYIPLRVSANSQAAPASTQATKVSSIPSEMKAWIYGEYGGVDVLKFDDKVSVPEVKEDQVLIKVVAAALNPVDAKRRQGKFKATDSPLPTVPGYDVAGVVVKVGSQVKELKEGDEVYGDINEKALEGPKQFGSLSEYTAVEEKLLALKPKNLDFVQAAALPLAIETAYEGLERTGFSAGKSILVLNGSGGVGSLVIQLAKQVFGASKVAATSSTRNLETLKSLGVDLAIDYTKENFEDLPEKFDVVYDAIGQCDRAVKAVKEGGSVVALTGAVTPPGFRFVVTSKGEVLKKLNPYLENGKIKPVIDPKGPFPFSQVAEAFSYIETNRATGKVVIHPIP >Manes.02G158200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12362588:12364586:-1 gene:Manes.02G158200.v8.1 transcript:Manes.02G158200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGNELGAQSKFDEKTGTSLVNGAHDTPKLAGLLENFIKKYVQCYGCGNPETEIVITKTQMITLKCAACGFVSDVDMRDKITTFILKNPPVPKKGSKDKKAMRRAEKERLKEGEAADEELKKHKKETAKKKGGSSGSSKDGAKGISSKKKGNGSDEDHSPSHSQADENDLVIADDDDDVQWQTDTSLEAAKQRIQEQLSAATADMVMLSTNEEKANSAKSPERDVKAHQNGSKSNDTLEGLVNEIKGYLKKGGPASQLKSFLSSLSGTPQEVINALFAALFEGLEKGFVKEVTKKKNYLAAATQEEGSQMLLLHTVESFCCKAGPEVVKEVPLAVKVLYDNDVLEEEFILEWYQKGVSGGNKSSPVWKNVKPFIEWLQNAESESEEE >Manes.03G045200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3948355:3952177:1 gene:Manes.03G045200.v8.1 transcript:Manes.03G045200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQIAVCLESQCIPMSQEQEQQEQGKEEPRKKPKVEKPEMIEIVNLDDDADDGFFYAPISIKGNTKRTAISVEQYSEEMELNLAILASLKPTTKTNKQEYFVHLSYGIHDYYYVDDVDGGVDDDIKVLYFRPRSSTSKVGRKRFKNSMSESGQSSKPKRDPEFVCEICVEPKTVDESFNIKGCTHAYCRECMAKYVATKLQDNISNIHCPVSGCSGLLEPEYCRSILPEEVFDRWGNALCEALIIGCQKFYCPFKDCSAMLIDDGGEIIKESECPNCCRMFCAQCKVPWHSGIQCQEFQELHKDEREKEDIMLMRLAENKHWRRCPNCRIYVERTQGCRYMKCRCGAAFCYSCGFAKISSNSHYCNYCKG >Manes.03G045200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3946508:3952035:1 gene:Manes.03G045200.v8.1 transcript:Manes.03G045200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLIVLGHFLEKLTLYRVFLKACWCFQTEEAAIIEVLVSAKIAVCLESQCIPMSQEQEQQEQGKEEPRKKPKVEKPEMIEIVNLDDDADDGFFYAPISIKGNTKRTAISVEQYSEEMELNLAILASLKPTTKTNKQEYFVHLSYGIHDYYYVDDVDGGVDDDIKVLYFRPRSSTSKVGRKRFKNSMSESGQSSKPKRDPEFVCEICVEPKTVDESFNIKGCTHAYCRECMAKYVATKLQDNISNIHCPVSGCSGLLEPEYCRSILPEEVFDRWGNALCEALIIGCQKFYCPFKDCSAMLIDDGGEIIKESECPNCCRMFCAQCKVPWHSGIQCQEFQELHKDEREKEDIMLMRLAENKHWRRCPNCRIYVERTQGCRYMKCRCGAAFCYSCGFAKISSNSHYCNYCKG >Manes.03G045200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3946508:3952088:1 gene:Manes.03G045200.v8.1 transcript:Manes.03G045200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQEQQEQGKEEPRKKPKVEKPEMIEIVNLDDDADDGFFYAPISIKGNTKRTAISVEQYSEEMELNLAILASLKPTTKTNKQEYFVHLSYGIHDYYYVDDVDGGVDDDIKVLYFRPRSSTSKVGRKRFKNSMSESGQSSKPKRDPEFVCEICVEPKTVDESFNIKGCTHAYCRECMAKYVATKLQDNISNIHCPVSGCSGLLEPEYCRSILPEEVFDRWGNALCEALIIGCQKFYCPFKDCSAMLIDDGGEIIKESECPNCCRMFCAQCKVPWHSGIQCQEFQELHKDEREKEDIMLMRLAENKHWRRCPNCRIYVERTQGCRYMKCRCGAAFCYSCGFAKISSNSHYCNYCKG >Manes.08G120500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36028117:36034697:1 gene:Manes.08G120500.v8.1 transcript:Manes.08G120500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGKIVLDSGWLAARSTEVQLTGTQLTTTHPPSGPTSPWMEAAVPGTVLGTLVKNKVVPDPFYGLENETIIDIADSGREYYTFWFFTTFERKLSGNQHLLLNFRAINYSAEVYLNGHKKVLPKGMFRRHSLDVTDILNPDGQNLLAVLVHPPDHPGEIPPEGGQGGDHEIGKDVATQYVEGWDWIVPIRDRNTGIWDEVSIYITGPVKIIDPHLVSTFFDGYKRVYLHATTELENKSAWVAECNLTIQVSLELEGSICLVEHLQTRHVSIPAGKSVQYTFPELFFYKPNLWWPNGMGKQSLYNVIITVDVEGYGESDSWSHLFGFRKIESYIDSGTGGRLFKVNGQPIFIRGGNWILSDGLLRLSRKRYKTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPDGPLDHDLFLLCARDTIKLLRNHPSLALWVGGNEQVPPPDLNNALKNDLKLHPYFVTSEEAGKSIQGLSSQSVDPSQYLDGTRIYVQGSMWDGFANGKGDFTDGPYEIQYPESFFRDDFYKYGFNPEVGSVGMPVAATIKATMPAEGWQIPLFKKLPSGYVKEVANPIWEYHKYIPYSKPGSVHNQILLYGTPTDLNDFCLKAQLANYIQYRALIEGYTSRMWKKHTGFLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVVNTQSKELSDVAIEASIWDLSGTCPYYKVFEKLTVPPNKVVSIGEMKYPKSKNPKPVYFLLLKLYNMSDYGIISRNFYWLHLPGGDYNLLEPYRKRKVPLKITSKAFIKGSTYEMEMHVKNTSKKLDSKRLTYENNFITRLDDDDFDMASVEPVNIRTKEKDEVSLFQRMYKHFSRETDDLKVAEINGTEEGVAFFLHFSVHASETEHKVGEDTRILPVHYSDNYFSLVPGEAMPIKISFEVPPGVTPRITLHGWNYHGGHAVF >Manes.08G120500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36027990:36034767:1 gene:Manes.08G120500.v8.1 transcript:Manes.08G120500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGKIVLDSGWLAARSTEVQLTGTQLTTTHPPSGPTSPWMEAAVPGTVLGTLVKNKVVPDPFYGLENETIIDIADSGREYYTFWFFTTFERKLSGNQHLLLNFRAINYSAEVYLNGHKKVLPKGMFRRHSLDVTDILNPDGQNLLAVLVHPPDHPGEIPPEGGQGGDHEIGKDVATQYVEGWDWIVPIRDRNTGIWDEVSIYITGPVKIIDPHLVSTFFDGYKRVYLHATTELENKSAWVAECNLTIQVSLELEGSICLVEHLQTRHVSIPAGKSVQYTFPELFFYKPNLWWPNGMGKQSLYNVIITVDVEGYGESDSWSHLFGFRKIESYIDSGTGGRLFKVNGQPIFIRGGNWILSDGLLRLSRKRYKTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPDGPLDHDLFLLCARDTIKLLRNHPSLALWVGGNEQVPPPDLNNALKNDLKLHPYFVTSEEAGKSIQGLSSQSVDPSQYLDGTRIYVQGSMWDGFANGKGDFTDGPYEIQYPESFFRDDFYKYGFNPEVGSVGMPVAATIKATMPAEGWQIPLFKKLPSGYVKEVANPIWEYHKYIPYSKPGSVHNQILLYGTPTDLNDFCLKAQLANYIQYRALIEGYTSRMWKKHTGFLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLATYFIEVVNTQSKELSDVAIEASIWDLSGTCPYYKVFEKLTVPPNKVVSIGEMKYPKSKNPKPVYFLLLKLYNMSDYGIISRNFYWLHLPGGDYNLLEPYRKRKVPLKITSKAFIKGSTYEMEMHVKNTSKKLDSKRLTYENNFITRLDDDDFDMASVEPVNIRTKEKDEVSLFQRMYKHFSRETDDLKVAEINGTEEGVAFFLHFSVHASETEHKVGEDTRILPVHYSDNYFSLVPGEAMPIKISFEVPPGVTPRITLHGWNYHGGHAVF >Manes.06G138500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26627174:26629071:1 gene:Manes.06G138500.v8.1 transcript:Manes.06G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETWLIKVKTAISHSFDSVITSAPIPKTSTKSTVGVLAFEIAGLMSKLFHLWQSLSDENIIRLRNESISIEGVQKIVSNHESFLLGLACAEMVENLRLVAKAVSRLSKRCEDSNLCRFERLFDEFANYGRDPNCWVFSCKEMEVKNKKMDRYVTITATLYKEMEELSILENGLRKVLQCSEHESTMKEQKIVDLQQKIFWQRQEVKYLKERSLWKRSFDGVLSMLVRSIFTVLARIKVVFGISHGHPTSLPRSLSASATVHPTENPSTCSFVSGPLKSPNLEGNKYLANGFFESNSKLLKPPETTLGAAALALHYANLIIVMEKMIKSPQLVGVNARDDLYSMLPNSIRSSLRARLKGVGFSASDPVLAGEWRDALGRILGWLSPLAHNMIRWQSERSFEQQNLLPKTNVLLLQTLFFANKEKTEAAITELLVGLNYIWRFEREMTANALLECANFNGLLNSQSSS >Manes.13G094500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27068221:27085567:1 gene:Manes.13G094500.v8.1 transcript:Manes.13G094500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTASRDSCYRHSFTKGGLKSSTTDLGDGTVMHCWVPKIHVPSKPSLLLIHGFGANAMWQFNYFIPPLKSKFNLYVPDLLFFGDSYTTRPERTEAFQAQCVMALMDALNVRNMDLVGLSYGGFVAYSMAALFKERVGRVVLGCAGVCLEEKDMEEGMFQVKTVDEAISLLLPQTPEKVRQLMRLSFHKPPQTAPSCFLNDFIEVMCTEYRQERKELIQALHKDRKLSNLPKISQPTLIIWGEYDQVFPLELAHRLKRHIGENAELVIIKNAGHALNAEKPKEMYKHMKSFLIDTPPPTKQENYTNGHKAD >Manes.13G094500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27081923:27085567:1 gene:Manes.13G094500.v8.1 transcript:Manes.13G094500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTASRDSCYRHSFTKGGLKSSTTDLGDGTVMHCWVPKIHVPSKPSLLLIHGFGANAMWQFNYFIPPLKSKFNLYVPDLLFFGDSYTTRPERTEAFQAQCVMALMDALNVRNMDLVGLSYGGFVAYSMAALFKERVGRVVLGCAGVCLEEKDMEEGMFQVKTVDEAISLLLPQTPEKVRQLMRLSFHKPPQTAPSCFLNDFIEVMCTEYRQERKELIQALHKDRKLSNLPKISQPTLIIWGEYDQVFPLELAHRLKRHIGENAELVIIKNAGHALNAEKPKEMYKHMKSFLIDTPPPTKQENYTNGHKAD >Manes.13G094500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27067919:27085567:1 gene:Manes.13G094500.v8.1 transcript:Manes.13G094500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTASRDSCYRHSFTKGGLKSSTTDLGDGTVMHCWVPKIHVPSKPSLLLIHGFGANAMWQFNYFIPPLKSKFNLYVPDLLFFGDSYTTRPERTEAFQAQCVMALMDALNVRNMDLVGLSYGGFVAYSMAALFKERVGRVVLGCAGVCLEEKDMEEGMFQVKTVDEAISLLLPQTPEKVRQLMRLSFHKPPQTAPSCFLNDFIEVMCTEYRQERKELIQALHKDRKLSNLPKISQPTLIIWGEYDQVFPLELAHRLKRHIGENAELVIIKNAGHALNAEKPKEMYKHMKSFLIDTPPPTKQENYTNGHKAD >Manes.12G036200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3175181:3175501:-1 gene:Manes.12G036200.v8.1 transcript:Manes.12G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSRKGGVSLPARRGSKPHSEPTILTKLTNSEIVSKGKQAACDAAFVTKKLLRSTGKAAWIAGTTFLILVVPLIIEMDREQQFNELEIQQQSLLGAPPVGAPPK >Manes.03G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24504202:24514156:1 gene:Manes.03G119100.v8.1 transcript:Manes.03G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFMFVVVNSLCFTAQFSSATDTLTSTQSLTDGSTLISKHESFELGFFSPGSSRNRYLGIWHKNIPVRTVVWVANRRAPIFNNSSALLMMDNQGNLVLKNGGSVVWSTNSKREVQTPLLQLLDSGNLVLTDASDGRSGIYLWQSFDYPTDTLLPGMKLGVNLKTGLDRRLTSWKNWDDPSPGDFVWGLRILVYNNPESTMWKGSKFYFRSGPWNGIDKTIITQLKTNQVFSFNFVHSDDEVYYTYYPKNKSVISRLVMNQTNYRRERYIWDEASQSWSLFMYLPTDYCDSYGLCGAYGNCIITDSPVCQCLKGFNPKSPDQWNSGAWSQGCVRNKSLNCQDGDGFIKYTELKLPDTKYSWVNKSMSLEECRGKCLNNCNCTAYSVFDIRNGGSGCALWFNDLIDIRQIPSGGQDLYIRMSASELGHETVKDEPDEKVVAIVIPAIVLAFAFFVVCYYFCQRRLIKLKDENEIDGSDQSSEEDLDLPLFDLVTISHATNKFSLSNRLGRGGFGPVYKGILADGHEIAVKRLSSNSGQGLKEFKNEVKLIARLQHRNLVKLLGSCIQGNERMLIYEYMPNKSLNFFIFDRTGGKLLDWSNRFNIVCGIARGLLYLHQDSRLRIIHRDLKASNILLDADMNPKISDFGMAKTFREDQTEGNTKRVAGTYGYMAPEYATDGLFSVKSDVFSFGILILEIISGQKSRGFYHPNHSLNLIGYAWRLRKEGSPLELAAPIILDSCHVSEVIRYIHISLLCVQQHAEDRPSMAFVVLMLGSETK >Manes.09G058500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9342140:9348106:1 gene:Manes.09G058500.v8.1 transcript:Manes.09G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSRTCEIVEAKEELNSAKHSKRTNESHSGLSIGDNDREPPVLKLGYRDSLEYDINQRFEAVSLKNSSKGLALSSHAGPSSSPMRKSAMKRPITVRVPHSPGIGNSQPLSLKQALRELCIAKASEMAAMKRITKPTGSPGASEAGRIKSLYNSVVVETSMSGLPINESKGTVVEISLLPEDSKSNSLEKVPHQFQVTKSSNQSAHSSPLSLAFKSLHQSANSSPQFAVPTTHAGSGTVSMEDEIASEFTRVETEALKSPDQSAFSSPRFAIPKLLSGSGTTLIHNEVGSSTRKVEKQAVNSPPQSAHSSPRFEIPLTKNGAGTTSLHNDISSSSKVGTQALKTELVKKEKCMPATSLSCSYSVDSIPNEDLSIATSKRVARKSLTPRSGRKAKLYSLSSSRYGNRVKKFTRNTPRLAKPVLKNKSSVKKKIKQGTASSACISSKSDKILAPSAANLFCQKCQCALRNVTEDLRQDSLPPSSASFRAEVSSSHVDAVASKPDFNSIHCSRNISIGKVKNSKSREKGEFSQSSNSSLGEHSTSTSNSDVSNPSRPSCCNRPHMSKDIKWEVIRHVKMQDKVLSLRHFNILRKLGCGDVGTVYLAELIGTNCLFAIKVMDNEFLARRKKLPRAQTEREILRMFDHPFLPTLYAQFTSDNLSCLVMEYCPGGDLHVLRQKQPGGSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVRDDGHVMLTDFDLSLRCSVSPTLLKSTDADDPVKMSGTCTESSCIQPFCIEPSCQIPCFSPRFLPSAAKTRNLRAEMAAELRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGKGHGAAVDWWTFGIFLYELLYGGTPFKGSCNEETLDNVITQSLKFPDSPLVSFQARDLIWGLLAKDPENRLGSEKGAAEIKQHPFFEGLNWALIRCAIPPEVPEYYDFGVPYENSSYLEYNATGEHLDFELF >Manes.13G065800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8287263:8289080:-1 gene:Manes.13G065800.v8.1 transcript:Manes.13G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPIINFEKLNGEERAATMAKIKDACENWGFFELLNHGIEPELLDEVERKTKGHYKKCMEQRFKEMVASKGLEGVQTEIKDLDWESTFFLRHLPESNIAQVPDLDDEYRKVMKEFAAKLEKLAEELLDLLCENLGLEKGYLKKAFYGSRGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDDQWIDVPPMRHSIVVNIGDQLEVITNGKYKSVEHRVVAQTDGTRMSLASFYNPGSDAVIYPAPALVEKEAEEKKQVYPKFVFEDYMKLYIGLKFEAKEPRFEAMKAVENNVNLGPIATV >Manes.09G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32148697:32150225:1 gene:Manes.09G118600.v8.1 transcript:Manes.09G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVVYLDTILVPLSLFIMVGYHAYLWHCFKNKPTQTTIGIDSLRRKSWFLNIKEGDDKKNMLVVQSLRNTQMSTIFTASIAILVNLSLAALTNTAFNSIHLLSNPFFGLQFGRISVLKFGSASLFLLVSFLCSSMGLGFLVEANFLLNICEEFSSSPTYTQTVFERGFLLSLIGNRVLCITFPLLIWLLSPVAVALSSVALVWGLYGLDFHDKIGSRNLL >Manes.09G179700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36890494:36895938:-1 gene:Manes.09G179700.v8.1 transcript:Manes.09G179700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSTSYLSVPFSDSSVTRPIPLCPSAHKISARSSRFPVVAESVYSVEGSRIVLYGGTANFCRRFCGLKLRILKSLNLRKSNSECRPSKELKIIKNQEKDHLTNHLEKTSTHAGQVSEEVPRINVPSAAFVESPSSLTQAHSYNFPIEGGRATSLCIAVIGATGELARGKIFPALFALYYSGFLPEDVAVFGYSRKNLTDEDLRSIIASNLTCRIDHQQNCGDKLEAFLSRTYYINGGYDNREGMAKLNARMEHMEGGHEVNRIFYLSVPQEALLDVASSLADNAQTNRGWNRIIIEKPFGFDAPSSHQLTKSLLSKYEEKQLYRIDHLLGRNLIENLTVLRFSNLVFEPLWSRTYIRNIQIILSEDISVQTGRYFDGYGIIRDIVHSHIFQTLALLAMEPPISLDGEDIRNEKVKVLRSIRRLDPSDVILGQYKAISGDKVDVNLNNLTPTFFAAALYIDNARWDGVPFLIKTGMGLIKHRVEIRIQFHHVPGNLYRERIGHNIDMATNELILRDVPDEAILVRINNKIPGLGLHLDASELNLLYKDRYNAEVPDSYEHLLLDVIDGDNHLFMRSDELAAAWSILTPILNEIDKKNIAPELYELGGRGPVGAYYLWAKHGVRWADD >Manes.16G009102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:947784:957896:-1 gene:Manes.16G009102.v8.1 transcript:Manes.16G009102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPELKVFKIHWGSRIRIRVKEELINAFLSQVEGFNYLGLCGECGGGSNITISSLVCMKPLMPRTNFLYLDSLEELKNINPCLLLGGLDALKILVIVNCPSFSYLINAEEFLGRYALLPELEGLCFEDLDTFKALCNGELPPGTSLSMRKLKYLTFFRCPELLNIFTLPNPQQEFEQLQVLEEKGMKNISKGPTELLHLPKLQIVCINGCQKLKVIFPTSIARGLEQLKELELECCDQLEAIVAEREEEEKRIDKVVFSQLISIRLYKLYNLKAFCMDNIPLKWPSLEELSVDSCPKMKTFAASLN >Manes.08G075200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021504:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.08G075200.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021504:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.08G075200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021504:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.08G075200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021505:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.08G075200.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021504:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.08G075200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021504:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.08G075200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021504:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.08G075200.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021504:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.08G075200.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:16018186:16021504:1 gene:Manes.08G075200.v8.1 transcript:Manes.08G075200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIAKALCSGQPCHFSLNPHRPSFSKPISFLSFRTPPHLSSSPFKVSSIRATSCRSHKTRQTHQPSLLQTLNPLLKTTSITLTAAAAILFSRLQLKPAIAAPVVTPATVEPNKESSKESGSSFEEQERVLEEHLAGHPDDTEALRSLMEVRIKGRKLPEAIEVLDRLIELEPNENEWPLLKAQLYSYSGEFESARKGFEEILEKDPFRVEAYHGLVMAHSESGNPLDEVIKRIEAAMNKCKKEKKKSDLRDFKLLIAQIRVMEEKYVEALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFRKLVPKNHPYREFFVDNMFATKFFSEKVKREEAGFSN >Manes.16G079600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28420320:28423118:-1 gene:Manes.16G079600.v8.1 transcript:Manes.16G079600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGNLGHNSRTCTSQKESLRLFGVQLNLSCASSSSSSSSLVSLKKCFSVDCLSSPSTSSSSSCSGSLLVPVGENIDKFSNGFLFDGLLGRTQERKKGVAWSKEEHRMFLLGLHELGKGDWRGISRNYVTTRTPTQVASHAQKYFLRQNTLDNRKRRPSLFDMDSGQSSVQPLNVGVSKLITESDDILGGGLALKTTEASPSSLHPVSKSPTRLSTKPFNSEPACFGSTNSTNLQCATPDLELKIAAPSSMDQRETLAQVTF >Manes.01G203900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37693775:37711034:1 gene:Manes.01G203900.v8.1 transcript:Manes.01G203900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILMKFYMGRTIYSRRLVLLACRNRRCVHTSCSIMERQILDSVLWCRHVNKKKRNTREDQQQRVNLNVLEPFAPRILVLHELVSFVHDHVNVPNLSQLVGSTSANDSAVTMKGQHDQSAGTNLSVLEGSDLRYRRDQQ >Manes.01G203900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37693632:37711257:1 gene:Manes.01G203900.v8.1 transcript:Manes.01G203900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILMKFYMGRTIYSRRLVLLACRNRRCVHTSCSIMERQILDSVLWCRHVNKKKRNTREDQQQRVNLNVLEPFAPRILVLHELVSFVHDHVNVPNLSQLVGSTSANDSAVTMKGQHDQSAGTNLSVLEGSDLRYRRDQQ >Manes.01G203900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37693775:37710339:1 gene:Manes.01G203900.v8.1 transcript:Manes.01G203900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILMKFYMGRTIYSRRLVLLACRNRRCVHTSCSIMERQILDSVLWCRHVNKKKRNTREDQQQRVNLNVLEPFAPRILVLHELVSFVHDHVNVPNLSQLVGSTSANDSAVTMKGQHDQSAGTNLSVLEAFLFGCTMGHRLISTCIFIFNLIQMDNRNHPSMLIDTILSGHFRIQLK >Manes.01G203900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37694087:37707815:1 gene:Manes.01G203900.v8.1 transcript:Manes.01G203900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILMKFYMGRTIYSRRLVLLACRNRRCVHTSCSIMERQILDSVLWCRHVNKKKRNTREDQQQRVNLNVLEPFAPRILVLHELVSFVHDHVNVPNLSQLVGSTSANDSAVTMKGQHDQSAGTNLSVLEGSDLRYRRDQQ >Manes.01G203900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37693635:37710801:1 gene:Manes.01G203900.v8.1 transcript:Manes.01G203900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILMKFYMGRTIYSRRLVLLACRNRRCVHTSCSIMERQILDSVLWCRHVNKKKRNTREDQQQRVNLNVLEPFAPRILVLHELVSFVHDHVNVPNLSQLVGSTSANDSAVTMKGQHDQSAGTNLSVLEGIPECVG >Manes.01G203900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37693632:37711257:1 gene:Manes.01G203900.v8.1 transcript:Manes.01G203900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILMKFYMGRTIYSRRLVLLACRNRRCVHTSCSIMERQILDSVLWCRHVNKKKRNTREDQQQRVNLNVLEPFAPRILVLHELVSFVHDHVNVPNLSQLVGSTSANDSAVTMKGQHDQSAGTNLSVLEGSDPRNRGPQQ >Manes.01G203900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37693632:37711257:1 gene:Manes.01G203900.v8.1 transcript:Manes.01G203900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILMKFYMGRTIYSRRLVLLACRNRRCVHTSCSIMERQILDSVLWCRHVNKKKRNTREDQQQRVNLNVLEPFAPRILVLHELVSFVHDHVNVPNLSQLVGSTSANDSAVTMKGQHDQSAGTNLSVLEGSDLRYRRDQQ >Manes.01G203900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37694087:37701143:1 gene:Manes.01G203900.v8.1 transcript:Manes.01G203900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILMKFYMGRTIYSRRLVLLACRNRRCVHTSCSIMERQILDSVLWCRHVNKKKRNTREDQQQRVNLNVLEPFAPRILVLHELVSFVHDHVNVPNLSQLVGSTSANDSAVTMKGQHDQSAGTNLSVLEGSDPRNRGPQQ >Manes.02G150700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11560897:11562722:-1 gene:Manes.02G150700.v8.1 transcript:Manes.02G150700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSEYRCWDELIPDALGLIFRNLSLQETLTVVPRVCKSWSRAVSGPYCWQEIDIEEWSKRRQPHHLDRMLRLLITRSCGSLRKLSVTGIPNDAIFSFLVEHAGSLQTLRMPRSEISDSIVEQIAGRLSMITFLDVSYCNKIGARALEAIGKRCKLLLVLCRNMELSSSADQLPLDDEAHAIATTMPKLKHLEIAYHLLISTESVLKILSSCPELEFMNLTGCWDVKLDGNFLKEKFPKVRILGPHLLEYYDMNDWGDYCSEYSDASEYFAWEIFSGDMGDYDDDDSFDEMWDDEERLEELELRFYEGIEDAGLYGWPPSP >Manes.02G150700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11560296:11564272:-1 gene:Manes.02G150700.v8.1 transcript:Manes.02G150700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSEYRCWDELIPDALGLIFRNLSLQETLTVVPRVCKSWSRAVSGPYCWQEIDIEEWSKRRQPHHLDRMLRLLITRSCGSLRKLSVTGIPNDAIFSFLVEHAGSLQTLRMPRSEISDSIVEQIAGRLSMITFLDVSYCNKIGARALEAIGKRCKLLLVLCRNMELSSSADQLPLDDEAHAIATTMPKLKHLEIAYHLLISTESVLKILSSCPELEFMNLTGCWDVKLDGNFLKEKFPKVRILGPHLLEYYDMNDWGDYCSEYSDASEYFAWEIFSGDMGDYDDDDSFDEMWDDEERLEELELRFYEGIEDAGLYGWPPSP >Manes.02G150700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11560296:11563692:-1 gene:Manes.02G150700.v8.1 transcript:Manes.02G150700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSEYRCWDELIPDALGLIFRNLSLQETLTVVPRVCKSWSRAVSGPYCWQEIDIEEWSKRRQPHHLDRMLRLLITRSCGSLRKLSVTGIPNDAIFSFLVEHAGSLQTLRMPRSEISDSIVEQIAGRLSMITFLDVSYCNKIGARALEAIGKRCKLLLVLCRNMELSSSADQLPLDDEAHAIATTMPKLKHLEIAYHLLISTESVLKILSSCPELEFMNLTGCWDVKLDGNFLKEKFPKVRILGPHLLEYYDMNDWGDYCSEYSDASEYFAWEIFSGDMGDYDDDDSFDEMWDDEERLEELELRFYEGIEDAGLYVFYKIDEWGHSKGLSSRPEKLFDFTT >Manes.15G015000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1246707:1252081:1 gene:Manes.15G015000.v8.1 transcript:Manes.15G015000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSVDGESHETAQRKIPPASSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQRSAEAATVPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDILLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELREYADGTLTEIFIERVFDEHVRRGKSGGGNAREMDFESFLDFVLALENKDTPEGLTYLFRCLDLQGRGYLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPTDPLRMALSDLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEGPEDE >Manes.15G015000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1246707:1252081:1 gene:Manes.15G015000.v8.1 transcript:Manes.15G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSVDGESHETAQRKIPPASSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQRSAEAATVPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDILLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLCDMPAQFVQMYCRIAAHKFFFFCDPQRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELREYADGTLTEIFIERVFDEHVRRGKSGGGNAREMDFESFLDFVLALENKDTPEGLTYLFRCLDLQGRGYLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPTDPLRMALSDLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEGPEDE >Manes.01G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4873622:4886239:-1 gene:Manes.01G021200.v8.1 transcript:Manes.01G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEIEARDVIKIVLQFCKENSLHQTFQTLQNECQVSLNTVDSIETFVADINSGRWDAILPQVAQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRAQIAQALAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDMYPTTLAHTIKFGSKSHAECARFSPDGQFLVSCSVDGFIEVWDYLSGKLKKDLQYQADETFMMHDDPVLCVDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSVVFSRDGSQLLSTSFDSTARIHGLKSGKLLKEFRGHTSYVNDAIFTSDGTRVITASSDCTVKVWDVKSTECIHTFRPPPPLRGGDASVNSVHLFPKNTDHIVVCNKTSSVCIMTLQGQVVKSFSSGKREGGDFVAACLSPKGEWIYCVGEDRNIYCFSHQSGKLEHLMKVHEKDVIGVTHHPHRNLIATYSEDCTMKLWKP >Manes.01G021200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4873622:4886239:-1 gene:Manes.01G021200.v8.1 transcript:Manes.01G021200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQDSTKEKRRAQIAQALAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVDDMYPTTLAHTIKFGSKSHAECARFSPDGQFLVSCSVDGFIEVWDYLSGKLKKDLQYQADETFMMHDDPVLCVDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSVVFSRDGSQLLSTSFDSTARIHGLKSGKLLKEFRGHTSYVNDAIFTSDGTRVITASSDCTVKVWDVKSTECIHTFRPPPPLRGGDASVNSVHLFPKNTDHIVVCNKTSSVCIMTLQGQVVKSFSSGKREGGDFVAACLSPKGEWIYCVGEDRNIYCFSHQSGKLEHLMKVHEKDVIGVTHHPHRNLIATYSEDCTMKLWKP >Manes.10G002300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:452540:454016:1 gene:Manes.10G002300.v8.1 transcript:Manes.10G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAVKTGLLSRRWRFLFSFTPQLKFDTLSVFGKNPDLQNKSKFLMAVNQFLRCYTGPKIDTFQLTYRLGDESASHIDGWINFASQSEAKTIFLNFDLVFVPGIKHYDFPCHLLIYHAKTSSLKHLHLVSCTFTPSLDHAKRLISLRTLYIGYVPLSPCHLDTIMSTCLNLECLTLNSCYLPGSLRIFGPSSGLESFKISCNDFLNLELNSLKKLNFFEFAGIAEALTFTGLPTLRKAYFMFLNKYISGARYMYKKLAKDLPQLEILSVVLYPFEGLPVSDSASPLRNVKELVLYNYVWNYDLFGIVPLIDVAPALQKLYLTLHFSTCDQFNRAKVGSKQPHLQLKELEISGCIGRSCEMEFFTYLLDNCVALKVMTVRGDRKKYLGDGRWMNDGIPRVGSKLNLEVLRDMLLQQRVNSCIEIIHTNMLVMKLE >Manes.04G047509.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5716504:5716976:-1 gene:Manes.04G047509.v8.1 transcript:Manes.04G047509.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEKELSFEKKRPMRHLPGSAAESEVRPPNMGRFRERFWPPKALFEQTKVRPPNLKFGRRTCMSLGGTLGCRRLLTRPPIKSPQIGNGRVFSPFSSSVLKLK >Manes.11G114533.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26945582:26958868:-1 gene:Manes.11G114533.v8.1 transcript:Manes.11G114533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELNEQKKIGLGLIGFGIFFSFLGVLLFFDRGLLALGNIFWLTGVAILLGWRSTWNLFTNKANYKGSVCFVLGLFFIFVRWPIVGIILEIYGCIALFGGFWPSVKVFLYQIPVVGWIIQYPVLLLDRLRGSPA >Manes.11G114533.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26945190:26958873:-1 gene:Manes.11G114533.v8.1 transcript:Manes.11G114533.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELNEQKKIGLGLIGFGIFFSFLGVLLFFDRGLLALGNIFWLTGVAILLGWRSTWNLFTNKANYKGSVCFVLGLFFIFVRWPIVGIILEIYGCIALFGGFWPSVKVFLYQIPVVGWIIQYPVLLLDRLRGSPA >Manes.02G203175.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:17383293:17385302:1 gene:Manes.02G203175.v8.1 transcript:Manes.02G203175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENEEVDNYSAEITGWTGTEAEEEEYHLEKKPSPEDEKVDQKLRKLREQLLAELGKKDQSQTSLPIFFPFSKWVQQETVPKKFMMPSMAAYDGTGNSREHVMNYKTFMELQTLLDALMCKVFPMTLLGPARAWFNSLEAGSIRSFGDLAIRFINRFIAGVPAERKTSYLETVRQREGEYLREYVAHFNTEALQIPDLDEGRAVEAMQKGTTSAEFFGSLSRKPPTSLAELMQRAEKYIRQDDALVTSRFAKRMTGKEKASEEKRSERHEKKHGKRLEPYKQAWERRDQRPPPPRVLEPRLPPPWVPEKPTPLNASRAEVLMAVQDKEFLQWPKPMKSEADQRNPDKYCQYHRTHGHDTNNCFQLIAEIERLIKRGHLKNFVKKSEGQKPQSSSAVQMPRRTGAGPVNDGSSGTINMIVGGTGGRMGRRGKKRNREGESSSTEVMQIVDHSPLTITFSSEDAQGIQMPHDDALVIEAVIHNYRVKKVLVDDGSKVNLLPYRVFQQMGIPDEQLVRDQAPIKGIGGVPVLVEGKVKLALTLGEAPRTRTHHEVFLVVKLPLSYNVILGRPALFNFEAVTSIRYLALKFPTEEGVGIVRGSQEEARAVYLATVAEPSSTGKTLDPEVLEVRDEKTEARTESMGELEIFPLSEAEEEKVFSLNADLTEEQKA >Manes.08G130751.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37982675:38033101:-1 gene:Manes.08G130751.v8.1 transcript:Manes.08G130751.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLAASAALVLALAFSMASAYDPSPLQDFCVAMNDPKNAVFVNGKFCKNPNLTVADDFSFSGLNIPGNTENRVRSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHIHPRATEILVVIEGTLYVGFVTSNPNRLITKVLYPGDVFVFPIGLIHFQFNVGKSNAVAFAGLSSQNPGVITIANAVFGSNPSINPDVLVKAFQLDKNVVNYLQKLFWDSN >Manes.08G130751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38032159:38033105:-1 gene:Manes.08G130751.v8.1 transcript:Manes.08G130751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLAASAALVLALAFSMASAYDPSPLQDFCVAMNDPKNAVFVNGKFCKNPNLTVADDFSFSGLNIPGNTENRVRSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHIHPRATEILVVIEGTLYVGFVTSNPNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPSINPDVLVKAFQLDKNVVNYLQKLFWDSN >Manes.01G103800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30350697:30356691:-1 gene:Manes.01G103800.v8.1 transcript:Manes.01G103800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNHFLRIEEEIIILILKWNVKRINREQRGRSDKGLYAFGLGLKLSYVPQSLPNAIKDLSIGESNEVKLLLLNDYLLKCQEEMTFTGVFKQELPQSRSLLTDAIEALKEEMRKTRIAMGLESESSPEDVLATKKRGSDEQQGLDLNNPCSDQWSNTPTHHHHNKEQICGSLSIDPSPSMEVNLTVGENQEPVSYKMKPLTQCIWKNNRRSWTPELHARFAVVLHLLGGPEVATPKQIRDQMQVEGLTTNQVKSHLQKYRMNWRSSPEGAADPQRRSKLFCRNLARPPSAAADAINMEEIN >Manes.16G040100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5252498:5255669:1 gene:Manes.16G040100.v8.1 transcript:Manes.16G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIDSVTPSTTPHSRPLPIREDCWSEEATSTLVEVWGKRYLELNRGNLRQKDWQEVADAVNAKHGHTKKTHRTDVQCKNRIDTIKKKYKIEKARVTSSNGMLTSSWPFYESLDALIGSNFSSKKQASPSLSPSPPVALPMTSYRRTPSSTPPTAVVALPQKRPLDDGYFRRNYSAMAAAAAAAAESESEEEEEEEEEEGERERERERESEEDEEGEGIRRLARAIERFGEVYERVESEKLRQMLDLEKQRMKFAKDLEMERMRIFTETQIQLEKIKKGKRSGSNDFLNMVFPHFNKMQFIQPVDKHLSYHLLPRP >Manes.04G154500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34995186:34999543:1 gene:Manes.04G154500.v8.1 transcript:Manes.04G154500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYDLHAQRREMKHKGRNVVWSIAMDKCLIEALAIQARNGNKIDKCFNENAYTAACFAVNSRFNLNLNNQKVINRLKTIKKRYKVIRDMLSQDGFRWNPITKMIECDSEDIWKRYIVAHPDAKGIRGKQIEMYDELKIVCGNYQAPSRWAKMKDRGHATKNFEADSPSFLSPSSEDVSETDGTESYTGPPDCAPDGSQDPPLIQPVRQLPKRARGSEALQDAMLAVATSIQRLADAMEQSKTAIDASELLQAVMEVDGLEEAKQMYAFEYLNADPIKARAFMTYNARMRKIYLFRQFWWWK >Manes.04G154500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34995399:34999543:1 gene:Manes.04G154500.v8.1 transcript:Manes.04G154500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYDLHAQRREMKHKGRNVVWSIAMDKCLIEALAIQARNGNKIDKCFNENAYTAACFAVNSRFNLNLNNQKVINRLKTIKKRYKVIRDMLSQDGFRWNPITKMIECDSEDIWKRYIVAHPDAKGIRGKQIEMYDELKIVCGNYQAPSRWAKMKDRGHATKNFEADSPSFLSPSSEDVSETDGTESYTGPPDCAPDGSQDPPLIQPVRQLPKRARGSEALQDAMLAVATSIQRLADAMEQSKTAIDASELLQAVMEVDGLEEAKQMYAFEYLNADPIKARAFMTYNARMRKIYLFRQFWWWK >Manes.04G154500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34995399:34999543:1 gene:Manes.04G154500.v8.1 transcript:Manes.04G154500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYDLHAQRREMKHKGRNVVWSIAMDKCLIEALAIQARNGNKIDKCFNENAYTAACFAVNSRFNLNLNNQKVINRLKTIKKRYKVIRDMLSQDGFRWNPITKMIECDSEDIWKRYIVAHPDAKGIRGKQIEMYDELKIVCGNYQAPSRWAKMKDRGHATKNFEADSPSFLSPSSEDVSETDGTESYTGPPDCAPDGSQDPPLIQPVRQLPKRARGSEALQDAMLAVATSIQRLADAMEQSKTAIDASELLQAVMEVDGLEEAKQMYAFEYLNADPIKARAFMTYNARMRKIYLFRQFWWWK >Manes.04G154500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34995186:34999543:1 gene:Manes.04G154500.v8.1 transcript:Manes.04G154500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYDLHAQRREMKHKGRNVVWSIAMDKCLIEALAIQARNGNKIDKCFNENAYTAACFAVNSRFNLNLNNQKVINRLKTIKKRYKVIRDMLSQDGFRWNPITKMIECDSEDIWKRYIVAHPDAKGIRGKQIEMYDELKIVCGNYQAPSRWAKMKDRGHATKNFEADSPSFLSPSSEDVSETDGTESYTGPPDCAPDGSQDPPLIQPVRQLPKRARGSEALQDAMLAVATSIQRLADAMEQSKTAIDASELLQAVMEVDGLEEAKQMYAFEYLNADPIKARAFMTYNARMRKIYLFRQFWWWK >Manes.06G137100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26514309:26517164:-1 gene:Manes.06G137100.v8.1 transcript:Manes.06G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISPQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Manes.01G092200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29356779:29357257:1 gene:Manes.01G092200.v8.1 transcript:Manes.01G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCFLRVLLVLALLALSFSHGISTKVMETVEITRDSSVQAEESGGKSRELMEEMMDYQLEPGPNTNPTTGSMLAPPPQR >Manes.17G089200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29775164:29777260:-1 gene:Manes.17G089200.v8.1 transcript:Manes.17G089200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEREISTCLFQFLPNSLSKIFPPLESFFKERDISNLTIPTVQISSREDATQLHRAFKGIGCDTGAVISILAHRDASQRDDILQEFETLYSYDLRKELSSELHGHLKKAILLWMQTPLERDLTGLRQGLTGHIPEPKIATEIVCSRTSSQLRQIKQAYNSTYCIPLDHDVGAHTSGNHRQLMLAYLSTTRYEGPEIDRVLVDNDAKAMHKFGERKYGMDEKVLIQIFSERSRTHLVVLDGAYQKMYGRELRKAIKIETSGHFKHALSTILQCAHNPAKYFAMVLRKAMKGLGTRDTTLIRVIVTRAEVDMQIIKEEYYKLYKKQLIDAVHSETLGHYRTFLLSILGATNVK >Manes.09G184701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37205165:37214950:1 gene:Manes.09G184701.v8.1 transcript:Manes.09G184701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVVVAMVPLYVALVLGYGSVRWWKIFTPEQCGAVNKFVCFFTLPLFTFEFTAHVDPFKMNYLFIGADAISKLIIVVVLGFWANCSSKGSYTWSITSFSLCSLTNSLVVGVPLMKAMYGQMAVDLVVQSSVIQSIIWLTVLLFVLEFRRSRLDVSSCNNTDTDNLQKDLEGSNCSVDHNNSNRPASFWVLMKVVWIKLAMNPNLYACIIALFWAFISNRWHFEMPSIMEGSVLIMSKAGTGTAMFSMGIFMALQEKFIACGTGLTVMGMVLRFIVGPAAMAIGCIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAGFLLPPTPTEETCFLWRRIRRLNRASLLHETSGRHWVSWNMKSINNTGPLPVDPKSRKSCFCSFLPAASFLFLVFFTGSAFIVPDYKEKLSRWRIVDSFQNLKFVTCKNQCGPPGSEALPEGIVSKTSNLEMRPLWGFPKSKENSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDHVIHVSARNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVKNFNPKRYVSIAESKGLEISQPALDMAKSEIHQQITARVRKSIVHRWVEMMAPVFSKAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRIKNIGVVDAEYIVHYGRPTLGGTDENKESSHSNKGDRKLGQEPSHSNKKDPRLEVRRQSFIEFKIFHKRWEKAAAEDKCWVDPYKEADKQSIPSFHLAGRAV >Manes.09G184701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37205165:37214950:1 gene:Manes.09G184701.v8.1 transcript:Manes.09G184701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVVVAMVPLYVALVLGYGSVRWWKIFTPEQCGAVNKFVCFFTLPLFTFEFTAHVDPFKMNYLFIGADAISKLIIVVVLGFWANCSSKGSYTWSITSFSLCSLTNSLVVGVPLMKAMYGQMAVDLVVQSSVIQSIIWLTVLLFVLEFRRSRLDVSSCNNTDTDNLQKDLEGSNCSVDHNNSNRPASFWVLMKVVWIKLAMNPNLYACIIALFWAFISNRWHFEMPSIMEGSVLIMSKAGTGTAMFSMGIFMALQEKFIACGTGLTVMGMVLRFIVGPAAMAIGCIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAGFLLPPTPTEETCFLWRRIRRLNRASLLHETSGRHWVSWNMKSINNTGPLPVDPKSRKSCFCSFLPAASFLFLVFFTGSAFIVPDYKEKLSRWRIVDSFQNLKFVTCKNQCGPPGSEALPEGIVSKTSNLEMRPLWGFPKSKENSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDHVIHVSARNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVKNFNPKRYVSIAESKGLEISQPALDMAKSEIHQQITARVRKSIVHRWVEMMAPVFSKAAWRCVWYMIQGDRIKNIGVVDAEYIVHYGRPTLGGTDENKESSHSNKGDRKLGQEPSHSNKKDPRLEVRRQSFIEFKIFHKRWEKAAAEDKCWVDPYKEADKQSIPSFHLAGRAV >Manes.09G184701.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37205165:37214950:1 gene:Manes.09G184701.v8.1 transcript:Manes.09G184701.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVVVAMVPLYVALVLGYGSVRWWKIFTPEQCGAVNKFVCFFTLPLFTFEFTAHVDPFKMNYLFIGADAISKLIIVVVLGFWANCSSKGSYTWSITSFSLCSLTNSLVVGVPLMKAMYGQMAVDLVVQSSVIQSIIWLTVLLFVLEFRRSRLDVSSCNNTDTDNLQKDLEGSNCSVDHNNSNRPASFWVLMKVVWIKLAMNPNLYACIIALFWAFISNRWHFEMPSIMEGSVLIMSKAGTGTAMFSMGIFMALQEKFIACGTGLTVMGMVLRFIVGPAAMAIGCIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAGFLLPPTPTEETCFLWRRIRRLNRASLLHETSGRHWVSWNMKSINNTGPLPVDPKSRKSCFCSFLPAASFLFLVFFTGSAFIVPDYKEKLSRWRIVDSFQNLKFVTCKNQCGPPGSEALPEGIVSKTSNLEMRPLWGFPKSKENSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDHVIHVSARNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVKNFNPKRYVSIAESKGLEISQPALDMAKSEIHQQITARVRKSIVHRWVEMMAPVFSKAAWRCVWYMIQGDRIKNIGVVDAEYIVHYGRPTLGGTDENKESSHSNKGDRKLGEPSHSNKKDPRLEVRRQSFIEFKIFHKRWEKAAAEDKCWVDPYKEADKQSIPSFHLAGRAV >Manes.09G184701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37205165:37214950:1 gene:Manes.09G184701.v8.1 transcript:Manes.09G184701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVVVAMVPLYVALVLGYGSVRWWKIFTPEQCGAVNKFVCFFTLPLFTFEFTAHVDPFKMNYLFIGADAISKLIIVVVLGFWANCSSKGSYTWSITSFSLCSLTNSLVVGVPLMKAMYGQMAVDLVVQSSVIQSIIWLTVLLFVLEFRRSRLDVSSCNNTDTDNLQKDLEGSNCSVDHNNSNRPASFWVLMKVVWIKLAMNPNLYACIIALFWAFISNRWHFEMPSIMEGSVLIMSKAGTGTAMFSMGIFMALQEKFIACGTGLTVMGMVLRFIVGPAAMAIGCIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAGFLLPPTPTEETCFLWRRIRRLNRASLLHETSGRHWVSWNMKSINNTGPLPVDPKSRKSCFCSFLPAASFLFLVFFTGSAFIVPDYKEKLSRWRIVDSFQNLKFVTCKNQCGPPGSEALPEGIVSKTSNLEMRPLWGFPKSKENSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDHVIHVSARNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVKNFNPKRYVSIAESKGLEISQPALDMAKSEIHQQITARVRKSIVHRRTFKPGICDGNSRAPPCTGWVEMMAPVFSKAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRIKNIGVVDAEYIVHYGRPTLGGTDENKESSHSNKGDRKLGEPSHSNKKDPRLEVRRQSFIEFKIFHKRWEKAAAEDKCWVDPYKEADKQSIPSFHLAGRAV >Manes.09G184701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37205165:37214950:1 gene:Manes.09G184701.v8.1 transcript:Manes.09G184701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVVVAMVPLYVALVLGYGSVRWWKIFTPEQCGAVNKFVCFFTLPLFTFEFTAHVDPFKMNYLFIGADAISKLIIVVVLGFWANCSSKGSYTWSITSFSLCSLTNSLVVGVPLMKAMYGQMAVDLVVQSSVIQSIIWLTVLLFVLEFRRSRLDVSSCNNTDTDNLQKDLEGSNCSVDHNNSNRPASFWVLMKVVWIKLAMNPNLYACIIALFWAFISNRWHFEMPSIMEGSVLIMSKAGTGTAMFSMGIFMALQEKFIACGTGLTVMGMVLRFIVGPAAMAIGCIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAGFLLPPTPTEETCFLWRRIRRLNRASLLHETSGRHWVSWNMKSINNTGPLPVDPKSRKSCFCSFLPAASFLFLVFFTGSAFIVPDYKEKLSRWRIVDSFQNLKFVTCKNQCGPPGSEALPEGIVSKTSNLEMRPLWGFPKSKENSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDHVIHVSARNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVKNFNPKRYVSIAESKGLEISQPALDMAKSEIHQQITARVRKSIVHRWVEMMAPVFSKAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRIKNIGVVDAEYIVHYGRPTLGGTDENKESSHSNKGDRKLGEPSHSNKKDPRLEVRRQSFIEFKIFHKRWEKAAAEDKCWVDPYKEADKQSIPSFHLAGRAV >Manes.09G157350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35277498:35278277:-1 gene:Manes.09G157350.v8.1 transcript:Manes.09G157350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRMIRWFILSMLVLQIQMIQTAMASICLHQCEEDCNNDSYPFLCKVFCPPYCAVHLEHLDPNSDIVSETSITKPEDEDP >Manes.05G145805.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13376743:13380225:-1 gene:Manes.05G145805.v8.1 transcript:Manes.05G145805.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVVIGGGGRIVYTNLARSNPYIYRPLHRPQILFPLSPSRNAHIVSAKKLSSRTGRFDSKNRRSSTTTRDQEDEEEEEQEGIAGTERVGGNEDVSSSRVENAGTSNVDVEDDGYFLPKLPGDEPDFWEGPQWDALGFVVQYLWAFGIVFALIACGIAVATYNEGATDFKETPAYKESIQSRELLEEPEASNSDVFDSNPTEVAPSLD >Manes.05G145805.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13376743:13380226:-1 gene:Manes.05G145805.v8.1 transcript:Manes.05G145805.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVVIGGGGRIVYTNLARSNPYIYRPLHRPQILFPLSPSRNAHIVSAKKLSSRTGRFDSKNRRSSTTTRDQEDEEEEEQEGIAGTERVGGNEDVSSSRVENAGTSNVDVEDDGYFLPKLPGDEPDFWEGPQWDALGFVVQYLWAFGIVFALIACGIAVATYNEGATDFKETPAYKESIQSRELLEEPEASNSDVFDSNPTEVAPSLD >Manes.05G145805.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13376743:13380225:-1 gene:Manes.05G145805.v8.1 transcript:Manes.05G145805.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVVIGGGGRIVYTNLARSNPYIYRPLHRPQILFPLSPSRNAHIVSAKKLSSRTGRFDSKNRRSSTTTRDQEDEEEEEQEGIAGTERVGGNEDVSSSRVENAGTSNVDVEDDGYFLPKLPGDEPDFWEGPQWDALGFVVQYLWAFGIVFALIACGIAVATYNEGATDFKETPAYKESIQSRELLEEPEASNSDVFDSNPTEVAPSLD >Manes.05G145805.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13375776:13380225:-1 gene:Manes.05G145805.v8.1 transcript:Manes.05G145805.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVVIGGGGRIVYTNLARSNPYIYRPLHRPQILFPLSPSRNAHIVSAKKLSSRTGRFDSKNRRSSTTTRDQEDEEEEEQEGIAGTERVGGNEDVSSSRVENAGTSNVDVEDDGYFLPKLPGDEPDFWEGPQWDALGFVVQYLWAFGIVFALIACGIAVATYNEGATDFKETPAYKESIQSRELLEEPEASNSDVFDSNPTEVAPSLD >Manes.05G171801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28537764:28542296:1 gene:Manes.05G171801.v8.1 transcript:Manes.05G171801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMLLRSIIGAACFRSPRFAAIFHHQLRHHLASRFIFTLSSPSFAAPSNRIPSDFRSSLAMAIGRVRYFSEDVTHIPVIQDPEIRNVFKDLMVASWYELYDNVKKALSKNTYDKAGQEVLKNVFRAAEAVEEFGGTLISMKMELDDSIGLNDFANALRTVYQRYSAYLDAFGPDEGYLRKKAETELGSKMIYLKMRCSGLGSEWGKGLQTERRAKFRR >Manes.03G024500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1996245:1999186:-1 gene:Manes.03G024500.v8.1 transcript:Manes.03G024500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIEKMVAVGLVWGATNALLRRGALLWDQRSRKLSSSSPNPPKLHQRLLSSVQNLLSVIFFWQYSVPFFLNLSASATFFALLSDSPISLAVPVTNATTFAATALFGTLLGEETRIGFALMVLREQLSACLISFCLFE >Manes.03G024500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1998422:1999186:-1 gene:Manes.03G024500.v8.1 transcript:Manes.03G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIEKMVAVGLVWGATNALLRRGALLWDQRSRKLSSSSPNPPKLHQRLLSSVQNLLSVIFFWQYSVPFFLNLSASATFFALLSDSPISLAVPVTNATTFAATALFGTLLGEETRIGFALMGTAFIVAGVWLCIT >Manes.03G024500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1997689:1999187:-1 gene:Manes.03G024500.v8.1 transcript:Manes.03G024500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIEKMVAVGLVWGATNALLRRGALLWDQRSRKLSSSSPNPPKLHQRLLSSVQNLLSVIFFWQYSVPFFLNLSASATFFALLSDSPISLAVPVTNATTFAATALFGTLLGEETRIGFALMVSRSN >Manes.14G170500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28041437:28043479:-1 gene:Manes.14G170500.v8.1 transcript:Manes.14G170500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGESYEEGCDYLYKAVLIGDSAVGKSNLLSRFSKDEFRLDSKPTIGVEFAYKNIRVGDKLIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRSTFENVGKWLNEIKEFGKADMVIVLVGNKSDLGNSRQIGEEEAMNFAEAQGLCFMETSALEKKNVDEAFLEMIFKIHEITTQKSLQAKNNIENNNNNNIISSSDLKMAIPVGKQIISIDEVTVNNQPRCCT >Manes.15G082300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6343684:6343914:1 gene:Manes.15G082300.v8.1 transcript:Manes.15G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRGVCKLEVAFKQMEQRRNIGWVAESAAPNNNNSSTLGGSAVNNQVTRIDERVRKRVEEKAETLMHLIIWGPN >Manes.09G129000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33136405:33137806:1 gene:Manes.09G129000.v8.1 transcript:Manes.09G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEIAEDNPSPSTLIFTYGTLKRGFSNHGLLQDLMRTGDAVFRGTYRTIDNYPLVCGPYRVPFLLNLPGASGSNRVTGELYAVTARGLTRLDELEGITRCHYERLPIMVEPISATGDGEGKACAAEAYYAHRSYAAEMWKRNGKRGYVVYGEKEAKGYVKRKDRPQNLSFLDQIHVFVSSIDGN >Manes.08G032500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3119477:3122861:-1 gene:Manes.08G032500.v8.1 transcript:Manes.08G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDCGSHGQKRRKIFRRIFAGILIFLFLVLITILIIWAILRPSKPKFVLQDVTVYAFNASIPNFLTSNFQITFSSRNPNDKIGVYYDKLDVYATYRNQQITLRSSIPPTYQGHKEINVWSPNIYGTAIPVAPYNALALSQDQSMGAVLLMIKMNGRVRFKVGTFISGKYHLYVRCPAYIQFGSKTAGITVGENSIKYSLLVSCSVSI >Manes.03G090900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20642889:20648377:1 gene:Manes.03G090900.v8.1 transcript:Manes.03G090900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHTHQWQDSDPLLLTDVSCHYTQPHSPRSSTFNMEDPDSSSNPDNGRSVLGFSLTSPDLVICGGSPEIPMTICGDSPEFSERNKYKCSFELSLENGIKDTDTKDTHKSPSVKFSSICQTFDRELSPESSLELLVEPSEGEKFPENHPSAGSIKAESTDVEAQLLKPMGTSEAAECNSPKQGNSEQEVKGDYQELLRLVEFQKRELMETRRALEEFKKENQLKDRECQDAWKSLQDLQNELMRKSMHVGSLAFAIEGQVKEKSKWFSSLRDMTRKLKLMKMEHIKLSEEASGFKKCLTDMEDMRSAIQSKMKEQIDLHEDLKIKFVEGAREQKELYNKVLELKGNIKVFCRCRPLNAEELSLGASMAIDFESAKDSELTVISNGVPRKTFKFDAVFSPQADQADVFKDTAPFAISVLDGFNVCIFAYGQTGTGKTFTMEGSAEARGVNFRILEEIFRVIKERHMLFHYDVSVSVLEVYNEQIRDLLGSGSQPGVAAKRLEIRQVGEGLHHVPGLVEAQVNSISEAWEVLQTGSNARAVGSTNANEQSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERIAKTEAQGERLKETQNINRSLSALGDVISALATKSPHVPFRNSKLTHLLQDSLGGDSKTLMFVQISPIENDLGETLCSLNFASRVRGIELGPAKRQLDTTELLRYKQMADKSKQDLKTKDIQIKKMEETIHGLDMKVKEKDLRNKNLQEKVKELESQLLIERKLARQHVDLKIAEQQNQQMKMQQDEQAAPRPPFANRLLGSNKNSNEHKTISTGNDQVNSCQPLAENNSYKPTLLPLLTDDIAKYIDPTEKENNPEMTEQLALPKRTGRASICTTAQRIPVAPAPRRTSLIPLPNVRGLAQLAPQLVPLSLCNDDMQEETEGSEANCTPEQISNSPKGKKQGTRKLSSILRRSIQKKAQTPAQQHLRKGGINVGMEKVRVSIGSRGKMGHRVLLGNGRRGGMKDTQQNRNQREKERGWNIGTVGRTGI >Manes.15G172100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15325809:15329549:1 gene:Manes.15G172100.v8.1 transcript:Manes.15G172100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVFGKRATRILLLWFLILCEILSGSFPFLYQPSVYFWISPSVPVSRTISHFRKKKKERRRKESFWFCTRNRKMTVSSADNSVDSKSDLSELAPLEAVLFDVDGTLCDTDPLHHYAFREMLQEINFNGGVPITEEFFIQNIAGKHNEDIARVLFPDDIPRGLKFTDDKEAMFRKLASEQLKAINGLYKLKKWIEDRGLKRAAVTNAPRANAELMISLLGLSEFFDAVILGDDCEHAKPHPEPYLKALEVLKVSKDHTFICEDSVSGIKAGVAAGMPVVGLTTRNPEHLLMEAKPAFLIKDYEDPKLWAALEELDKKKSAENPTA >Manes.07G031900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3483270:3485831:-1 gene:Manes.07G031900.v8.1 transcript:Manes.07G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATFDKRPLQSNTQAKGNFFHKIKDAFKLIKPENNKESLNTKPSPLAVSSKLAAEPRPRKRALLIAVTYKGTKYELKGTINDVTLMKAWLIDTFGFKPENLLILTEYEADKELKPTKENIQNGMKWLMDDCHAGDSLVFFFSGHGLRQPDFEGDERDGFDETICPVDYIEKGMIFDNEIYNTIVRPLPKGVTLHGIVDACHSGTVLDLSYVYNRDTNTWIDNSPPSGAKKDTSGGLAITITACRDDQMAADTDAFSKADMKMSGALTHTLTSHVNKGQEITYRELLDRIYRSIEEADQQGCFATRFFRTLFHDRLLQKPQLSASKPFDVYQKKFVL >Manes.15G176860.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18661861:18664251:1 gene:Manes.15G176860.v8.1 transcript:Manes.15G176860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNMDMVMVKNEENHGRRRICMVEGASQATHHVKRRRRDPSAVAPVGDETQSQQLQQQQTDQASATTAVKRSSRFRGVSRHRWTGRFEAHLWDKLSWNVTQRKKGKQVYLGAYDEEESAARAYDLAALKYWGTSTFTNFPISDYEKEIEIMQSVTKEEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGVAAQVAANEAETVIDPQMLPSTDKSYITREESKPSFLNTTQFSSDYLNSPLKQEVFQSNKASSPTALSLLLRSSIFRELVEKNSNVSEYENDGEETKNQPQMGSEDEFAGLFYDGIGDIPFAYSNKESLNLQEKDLHFVF >Manes.12G061300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6294963:6295325:-1 gene:Manes.12G061300.v8.1 transcript:Manes.12G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQNGDATVASSSIALLQERFRELQKIREKRQEKELLKLFSESERPGMHFESSRLSFEQPEMILPSRVPALQDSHLSLRLNSQSNNHGDIVKTSTSLWPKSGSSSRNLESSDVDTSLHL >Manes.05G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1088065:1093246:-1 gene:Manes.05G008200.v8.1 transcript:Manes.05G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDFSLIRSTTFLVPSFSKLNPKITIHRPLKLRCSITEQSSTISSSKLGGEAQSNGGHSSPADCVIIGGGISGLCIAQALVTKHRDVAANVIVTEARDRVGGNITTIERDGYLWEEGPNSFQPSDPMLTMVVDSGLKDDLVLGDPNAPRFVLWDGKLRPVPSKPTDLPFFDLMSIGGKLRAGLGALGLRPPPPGHEESVEEFVRRNLGPEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQNGGSIIGGTFKTIQERNKTPKPPRDPRLPKPKGQTVGSFRKGLAMLPDAIAARLGSNVKLSWKLSSITKLDNGGYNLTYETPEGLVSLQSRSVVLTVPSHIASSLLHPLSAAAAEALSKFYYPPVAAVSISYPKEAIRTECLIDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPDGRILLLNYIGGATNPGILSKMDNELVEAVDRDLRRMLIKPNAKDPLVLGVRVWPQAIPQFLIGHLDILDAAKYALRDTGLEGLFLGGNYVSGVALGRCVEGAYEVADEVTNFLSKYAYK >Manes.05G008200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1088065:1093246:-1 gene:Manes.05G008200.v8.1 transcript:Manes.05G008200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTDFSLIRSTTFLVPSFSKLNPKITIHRPLKLRCSITEQSSTISSSKLGGEAQSNGGHSSPADCVIIGGGISGLCIAQALVTKHRDVAANVIVTEARDRVGGNITTIERDGYLWEEGPNSFQPSDPMLTMVVDSGLKDDLVLGDPNAPRFVLWDGKLRPVPSKPTDLPFFDLMSIGGKLRAGLGALGLRPPPPGHEESVEEFVRRNLGPEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQNGGSIIGGTFKTIQERNKTPKPPRDPRLPKPKGQTVGSFRKGLAMLPDAIAARLGSNVKLSWKLSSITKLDNGGYNLTYETPEGLVSLQSRSVVLTVPSHIASSLLHPLSAAAAEALSKFYYPPVAAVSISYPKEAIRTECLIDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPDGRILLLNYIGGATNPGILSKVR >Manes.07G111004.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31665837:31668713:-1 gene:Manes.07G111004.v8.1 transcript:Manes.07G111004.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRAGISTPRGSGSNGYIQSNRFFLKPKSSQFNPHLNRSHPHRDTCGFIRKPKIDILDHNRRRKKHVKLLELEDKLSEQGYSESEIAEILAGAIKKLKVSVAAD >Manes.07G111004.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31665837:31668955:-1 gene:Manes.07G111004.v8.1 transcript:Manes.07G111004.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRAGISTPRGSGSNGYIQSNRFFLKPKSSQFNPHLNRSHPHRDTCGFIRKPKIDILDHNRRRKKHVKLLELEDKLSEQGYSESEIAEILAGAIKKLKVSVAAD >Manes.15G116200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9276007:9286408:1 gene:Manes.15G116200.v8.1 transcript:Manes.15G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGSLKATSINGVKMYTVSSQQRSLATWLPPKKQRALRKDRNYQQRVELIQDLRFETATSKIQVTPDGEYVIASGIYPPQVKVYELRELSLKFERHLDSEIIDFQILDSDYSKLAFLCADRSVCLHAKYGKHYSLRIPRMGRDIAYDCWSCDLLCAASSPDLYRINLEQGRFLSSLNTQSPALNIVSRSKVHGLVACGGEDGAVECFDMRMRSSVGRINAVEPGGDPEQEVTALEFDEDGGFLMAVGSSTGKVLLYDLRSSHPVRVKDHMYGSPILDIKWHRTLNSERDMLITTDNHIVRIWDPETGNGMTSIEPTAGTINDICVFNNSGLMLLALDCSQIPSYFIPSLGPAPKWCSDLENLTEELEEDAQTTIYDDYKFLTKEDLEKLNLTNLIGTNLLRAYMHGFFIDYRLYKKAKALADPFAYDAYIERRKREKMESELKSRITTRRRLPKVNRDLAAKILENEEVENDKKDANENETKKPSKKKKGFSSELFQDERFASLFKDKDFEIDEQSREYLALHPMASESKKQPSLVEEHFEPVMDDGDQNVGDADASSESSEDESGDDKRRLKNKSQVPRLYEVKDERHAEAFWNRVSLAKEDSLPLGERVAALGDDRQTSGLPNNVKFGPGGSREISFITRSSAKYKEDEEDRETEARGGKKRGIQSLGLKSGRSGGFRGRGRGNRGRGRRGRR >Manes.02G143000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10968286:10969246:-1 gene:Manes.02G143000.v8.1 transcript:Manes.02G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASLFTPTTTIKSSNHVIVPWNQSSFISIPTAAKPLKYSNPLTPIKATAAEGKTEEAPVGFTPPELDPSTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGLNFRSIGKNASPIEVKFTGKQVYDL >Manes.10G015500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1535312:1538737:1 gene:Manes.10G015500.v8.1 transcript:Manes.10G015500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGSLAPLWPSSVLLLHVFFLFFLTILCPQQADCLTQLGNETDKLALLEFKAQITNDPNDFFRLWNDSVHFCKWQGVACGRKHQRVRSLDLNDLSLSGTVSPHAGNLTFLRYLGLASNNFHGEIPKEIGQLFRLRIIEMRNNSLSGEIPGDISNCSELRVMSLIKNNLAGNIPSQLGSLKKLVVLYLGGNKLTGEIPHSLGNLSSLQDFYLTENHLQGKIPTGLGQLRNLTVFAVGANNLSGTIPPALYNISSITTFETTSNQFTGSLPANLGLTLPNLQELFLAQNGYFGSIPESLANASRLRLIDISNNSFTGQFPTDLGYLKGLESLHLEFNFFGSNTSQDLSFLPSLANCSNLQQLYFDGNNFGGALPSSIGNLSNLVQLGFGRNPISGTIPEEVGNLVNLYRLDMDRNLFSGSIPISFGKLQKLERLTLNQNLLSGEIPASLGNITTLYWLELEGNKFQGNITPSLGRCRNLRFLDVSRNKLTGFIPKEILGLSSLSETLNLSQNSLTGPLPIEVGSLRSINALDVSENKLSGEIPRTIGDLSRLEILNMQGNFLQGSIPSIFDSLRGLQRIDLSRNNLSGNIPNELEKLMFLQYLNLSFNNFEGEVPKTGVFSNANAFSLVGNKNLCGGIPELQLPACPGKEEKRRRPSVVIVLTTTISSFILVVIATSFYLFYRRKSKRNPISSPFMVDKLPQISYGELLKATDGFSSENLIGQGSFGSVYKGSLDQQGQGLVAVKVLNLQQHGASKSFISECNALKNIRHRNLVKILTYCSSIDFKGNDFKALVFTYLANGSLEMRLHPQENGNSQTKELNFLQRLCIAIDVASALHYLHDLCETPIVHCDLKPSNILLDNDMTAHVGDFGLARLISESTSNSSQSQIFSTGIKGTIGYMAPEYGVGSNVTTYGDVYSYGILLLEMFTGKRPTHEIFTDGLDLHNFVKAKLPGQVRQVVDPTLFTPGEVEGATTAAAENMDDCECIEDSIEECVVSVLQIGLECSAEVPQDRMNMRDVTSKLNSIRVSFTGTRN >Manes.18G144888.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21639601:21640758:1 gene:Manes.18G144888.v8.1 transcript:Manes.18G144888.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSHGASSPRNLIGTDNPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVEVADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.03G022800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1872157:1873935:-1 gene:Manes.03G022800.v8.1 transcript:Manes.03G022800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCSKFVSRLSSRLQPSFAFKFNKNNSLSSLTSLCSPSHLPLSARRFSCLSRLPLELSCVGSLMPLHSAIASATLVSSLSSESDSWALVPQVGDR >Manes.03G022800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1871967:1873933:-1 gene:Manes.03G022800.v8.1 transcript:Manes.03G022800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCSKFVSRLSSRLQPSFAFKFNKNNSLSSLTSLCSPSHLPLSARRFSCLSRLPLELSCVGSLMPLHSAIASATLVSSLSSESDSWALVPQGP >Manes.03G022800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1873089:1873826:-1 gene:Manes.03G022800.v8.1 transcript:Manes.03G022800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCSKFVSRLSSRLQPSFAFKFNKNNSLSSLTSLCSPSHLPLSARRFSCLSRLPLELSCVGSLMPLHSAIASATLVSSLSSESDSWALVPQGISMPL >Manes.03G022800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1872166:1873925:-1 gene:Manes.03G022800.v8.1 transcript:Manes.03G022800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCSKFVSRLSSRLQPSFAFKFNKNNSLSSLTSLCSPSHLPLSARRFSCLSRLPLELSCVGSLMPLHSAIASATLVSSLSSESDSWALVPQGISMPL >Manes.18G092750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8569838:8570526:1 gene:Manes.18G092750.v8.1 transcript:Manes.18G092750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQIYKFGRAEEATHPDSTTVALAEFVSILIFVFASEGSALALGIFHFISFILFVWLFCL >Manes.15G044800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3437039:3439023:1 gene:Manes.15G044800.v8.1 transcript:Manes.15G044800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISATGFKGGFGMSSFMVGEEYGMLAKTMRNHVRVAKPVRMAPMMKNVNEGKGLFAPIVVVTRNIIGKKRFNQLRGKAIALHSQVITEFCKSIGADSKQRQGLIRLAKKNGERLGFLA >Manes.15G127400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10150155:10151929:1 gene:Manes.15G127400.v8.1 transcript:Manes.15G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLESLMLLNFFLLVAAAPPIQALDSRKLDENTAPGSTDQKCTPCTATPPPPPPPSPCPPPPALPPPTPKKPPSSNCPPPPPSFIYISGPPGNLYPVDNDFSGAGRTTVAGLPALIGCGLLAFLLRYVV >Manes.09G179900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36903837:36909791:1 gene:Manes.09G179900.v8.1 transcript:Manes.09G179900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGTLFYKLGGQYDPQKMNSVRALGFVSTMSIMLINLVQLPLYMLQRPIFYKHRAQRFFRVSSYIVAHCIVNLPQTLIEALAYTVCIYFLAGLSLAGNGAPFFAYLALLSLVAYFGSSIFFFLSSISSIPEVGNALAGLVVSIFLLFSGFVIYPSNIPIYWKWLMYVNPIHWANVSFCWFQFSNSYTDPCSIYISQLPFCDQLPTLTVGQAYLKFYELSEDARRPWLPYVVILGWTLVTNFLALLGLKNIEFSGTSQSLPYLRKTPMISKYREDAENESLSYNNYSENLGNSDTSRFSMPQTSWMGYGKMKQNCGIERWVEEFHVDLERNGLDLPLEPATLLFENVSFTRYNQGTKDNTAAFSNITGYAKPHHMVAILGGTRTGKATLLKCLAGRVPSTGNLSGNIQAYGFRTGAAFSRLIGYVEKLDAHQPYLSIRESLQFSAALRLGKAVNSLGRSIHVELILNQLGLLPYSNHLVGSLCDATGKTFEIAKKITIAVELAANPSILFLEEPISGLDTAGTSTILNILSQLSNSGRIIIASLTHPSTRILSSFNLALILTHKGHQAYFGPVGCNCTVLLDYFKSIPKAPHYSKRQSPVSYVMGALGFDIQKRQTHLLNYAEIYEASSLQAANSKKVCNVRKMMKGKTANNLASTYPAPYSWQAILVLQRTQRFLWRNVQYTYGRLTGCIMIGLLMGSLYYQIEYKDIYGVTSRTLYIYMQVILIGVISANNIIPQIGTDRLVYFREKRARMYHPFFYPVSWAVGEIPYFFIATLAVVGIGNGMAGIGTESIAVFLKYWLVLFIFTLCVTYFGMMITFLAPLPTLAAFAVSIVTSMWVSASGVVVVLSDISFYRWMYWSNPFQFAMNVMTSISFYCNTKECASNCSCPKLPDNSFVWDRVASIRSLNQERGNIDILILSAMCLLFASLAFIFFIVLKHNSPPQS >Manes.09G179900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36903837:36909700:1 gene:Manes.09G179900.v8.1 transcript:Manes.09G179900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFITLDITFIRCMIAELVVAELSKKVGHSGGIESTGRVEVGDVVTAISMNKEEMKYLSVGPQKIQHQRALQVYSMLKQARGNIHLQVERYKNEDEEYHAQWEQFQRPFVQTWWKSTKTLINRQIKITKRLHALIKLRLFQAIILGMFTGTLFYKLGGQYDPQKMNSVRALGFVSTMSIMLINLVQLPLYMLQRPIFYKHRAQRFFRVSSYIVAHCIVNLPQTLIEALAYTVCIYFLAGLSLAGNGAPFFAYLALLSLVAYFGSSIFFFLSSISSIPEVGNALAGLVVSIFLLFSGFVIYPSNIPIYWKWLMYVNPIHWANVSFCWFQFSNSYTDPCSIYISQLPFCDQLPTLTVGQAYLKFYELSEDARRPWLPYVVILGWTLVTNFLALLGLKNIEFSGTSQSLPYLRKTPMISKYREDAENESLSYNNYSENLGNSDTSRFSMPQTSWMGYGKMKQNCGIERWVEEFHVDLERNGLDLPLEPATLLFENVSFTRYNQGTKDNTAAFSNITGYAKPHHMVAILGGTRTGKATLLKCLAGRVPSTGNLSGNIQAYGFRTGAAFSRLIGYVEKLDAHQPYLSIRESLQFSAALRLGKAVNSLGRSIHVELILNQLGLLPYSNHLVGSLCDATGKTFEIAKKITIAVELAANPSILFLEEPISGLDTAGTSTILNILSQLSNSGRIIIASLTHPSTRILSSFNLALILTHKGHQAYFGPVGCNCTVLLDYFKSIPKAPHYSKRQSPVSYVMGALGFDIQKRQTHLLNYAEIYEASSLQAANSKKVCNVRKMMKGKTANNLASTYPAPYSWQAILVLQRTQRFLWRNVQYTYGRLTGCIMIGLLMGSLYYQIEYKDIYGVTSRTLYIYMQVILIGVISANNIIPQIGTDRLVYFREKRARMYHPFFYPVSWAVGEIPYFFIATLAVVGIGNGMAGIGTESIAVFLKYWLVLFIFTLCVTYFGMMITFLAPLPTLAAFAVSIVTSMWVSASGVVVVLSDISFYRWMYWSNPFQFAMNVMTSISFYCNTKECASNCSCPKLPDNSFVWDRVASIRSLNQERGNIDILILSAMCLLFASLAFIFFIVLKHNSPPQS >Manes.09G179900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36903134:36909642:1 gene:Manes.09G179900.v8.1 transcript:Manes.09G179900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYIYISQVVRFSSIKYSRKFEISDNGYETFGNKVVGCFIGPLRTLFGEKNSIWLQVLKGVDGYIMPGSMTLLLGPPGSGKSTLLEVLAGRVKMTKDSMMDGLVMYNDKHASEVRLSRLIAYISGQLNKHIPLLSVRETLEFARDCTQGLRPENFTPQMRKFFAHALVEGQDPFLEYILEILNLKEIQHKLTGDAISDTDRQRLTTAELALGTYSVMLYDQPFSGSDLAATYSLVDTIRTISRIQQSSAIMSLTQLSQDIFDLFDRIILLGDGHVLFQGPRQDAVPYFAKLGYTKPSHVESNEFLEDIAAGNGSQYRAPGATSCTLHELVECYRASDDYKDVMRIVDRDDVKRTYWVESEPGLTLSLKTPSEYHFPANSQLRRETELVVAELSKKVGHSGGIESTGRVEVGDVVTAISMNKEEMKYLSVGPQKIQHQRALQVYSMLKQARGNIHLQVERYKNEDEEYHAQWEQFQRPFVQTWWKSTKTLINRQIKITKRLHALIKLRLFQAIILGMFTGTLFYKLGGQYDPQKMNSVRALGFVSTMSIMLINLVQLPLYMLQRPIFYKHRAQRFFRVSSYIVAHCIVNLPQTLIEALAYTVCIYFLAGLSLAGNGAPFFAYLALLSLVAYFGSSIFFFLSSISSIPEVGNALAGLVVSIFLLFSGFVIYPSNIPIYWKWLMYVNPIHWANVSFCWFQFSNSYTDPCSIYISQLPFCDQLPTLTVGQAYLKFYELSEDARRPWLPYVVILGWTLVTNFLALLGLKNIEFSGTSQSLPYLRKTPMISKYREDAENESLSYNNYSENLGNSDTSRFSMPQTSWMGYGKMKQNCGIERWVEEFHVDLERNGLDLPLEPATLLFENVSFTRYNQGTKDNTAAFSNITGYAKPHHMVAILGGTRTGKATLLKCLAGRVPSTGNLSGNIQAYGFRTGAAFSRLIGYVEKLDAHQPYLSIRESLQFSAALRLGKAVNSLGRSIHVELILNQLGLLPYSNHLVGSLCDATGKTFEIAKKITIAVELAANPSILFLEEPISGLDTAGTSTILNILSQLSNSGRIIIASLTHPSTRILSSFNLALILTHKGHQAYFGPVGCNCTVLLDYFKSIPKAPHYSKRQSPVSYVMGALGFDIQKRQTHLLNYAEIYEASSLQAANSKKVCNVRKMMKGKTANNLASTYPAPYSWQAILVLQRTQRFLWRNVQYTYGRLTGCIMIGLLMGSLYYQIEYKDIYGVTSRTLYIYMQVILIGVISANNIIPQIGTDRLVYFREKRARMYHPFFYPVSWAVGEIPYFFIATLAVVGIGNGMAGIGTESIAVFLKYWLVLFIFTLCVTYFGMMITFLAPLPTLAAFAVSIVTSMWVSASGVVVVLSDISFYRWMYWSNPFQFAMNVMTSISFYCNTKECASNCSCPKLPDNSFVWDRVASIRSLNQERGNIDILILSAMCLLFASLAFIFFIVLKHNSPPQS >Manes.09G179900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36901924:36909637:1 gene:Manes.09G179900.v8.1 transcript:Manes.09G179900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSPNSPSNNFMWLVKDDIELISEAPSRRPSSYCSEDVSVTSESFITLPPSGNITPLTPTESARVTTPVVFSGGASPKIRYEIEKASPERARSFALRMYESMKKHGLREEAEKAVGREEIKEEGGEGVGRKSEELLGMEYLLRDGFVSYLRDMAKITPPIPQQVVRFSSIKYSRKFEISDNGYETFGNKVVGCFIGPLRTLFGEKNSIWLQVLKGVDGYIMPGSMTLLLGPPGSGKSTLLEVLAGRVKMTKDSMMDGLVMYNDKHASEVRLSRLIAYISGQLNKHIPLLSVRETLEFARDCTQGLRPENFTPQMRKFFAHALVEGQDPFLEYILEILNLKEIQHKLTGDAISDTDRQRLTTAELALGTYSVMLYDQPFSGSDLAATYSLVDTIRTISRIQQSSAIMSLTQLSQDIFDLFDRIILLGDGHVLFQGPRQDAVPYFAKLGYTKPSHVESNEFLEDIAAGNGSQYRAPGATSCTLHELVECYRASDDYKDVMRIVDRDDVKRTYWVESEPGLTLSLKTPSEYHFPANSQLRRETELVVAELSKKVGHSGGIESTGRVEVGDVVTAISMNKEEMKYLSVGPQKIQHQRALQVYSMLKQARGNIHLQVERYKNEDEEYHAQWEQFQRPFVQTWWKSTKTLINRQIKITKRLHALIKLRLFQAIILGMFTGTLFYKLGGQYDPQKMNSVRALGFVSTMSIMLINLVQLPLYMLQRPIFYKHRAQRFFRVSSYIVAHCIVNLPQTLIEALAYTVCIYFLAGLSLAGNGAPFFAYLALLSLVAYFGSSIFFFLSSISSIPEVGNALAGLVVSIFLLFSGFVIYPSNIPIYWKWLMYVNPIHWANVSFCWFQFSNSYTDPCSIYISQLPFCDQLPTLTVGQAYLKFYELSEDARRPWLPYVVILGWTLVTNFLALLGLKNIEFSGTSQSLPYLRKTPMISKYREDAENESLSYNNYSENLGNSDTSRFSMPQTSWMGYGKMKQNCGIERWVEEFHVDLERNGLDLPLEPATLLFENVSFTRYNQGTKDNTAAFSNITGYAKPHHMVAILGGTRTGKATLLKCLAGRVPSTGNLSGNIQAYGFRTGAAFSRLIGYVEKLDAHQPYLSIRESLQFSAALRLGKAVNSLGRSIHVELILNQLGLLPYSNHLVGSLCDATGKTFEIAKKITIAVELAANPSILFLEEPISGLDTAGTSTILNILSQLSNSGRIIIASLTHPSTRILSSFNLALILTHKGHQAYFGPVGCNCTVLLDYFKSIPKAPHYSKRQSPVSYVMGALGFDIQKRQTHLLNYAEIYEASSLQAANSKKVCNVRKMMKGKTANNLASTYPAPYSWQAILVLQRTQRFLWRNVQYTYGRLTGCIMIGLLMGSLYYQIEYKDIYGVTSRTLYIYMQVILIGVISANNIIPQIGTDSSRDWEWHGRNWN >Manes.09G179900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36901924:36909637:1 gene:Manes.09G179900.v8.1 transcript:Manes.09G179900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSPNSPSNNFMWLVKDDIELISEAPSRRPSSYCSEDVSVTSESFITLPPSGNITPLTPTESARVTTPVVFSGGASPKIRYEIEKASPERARSFALRMYESMKKHGLREEAEKAVGREEIKEEGGEGVGRKSEELLGMEYLLRDGFVSYLRDMAKITPPIPQQVVRFSSIKYSRKFEISDNGYETFGNKVVGCFIGPLRTLFGEKNSIWLQVLKGVDGYIMPGSMTLLLGPPGSGKSTLLEVLAGRVKMTKDSMMDGLVMYNDKHASEVRLSRLIAYISGQLNKHIPLLSVRETLEFARDCTQGLRPENFTPQMRKFFAHALVEGQDPFLEYILEILNLKEIQHKLTGDAISDTDRQRLTTAELALGTYSVMLYDQPFSGSDLAATYSLVDTIRTISRIQQSSAIMSLTQLSQDIFDLFDRIILLGDGHVLFQGPRQDAVPYFAKLGYTKPSHVESNEFLEDIAAGNGSQYRAPGATSCTLHELVECYRASDDYKDVMRIVDRDDVKRTYWVESEPGLTLSLKTPSEYHFPANSQLRRETELVVAELSKKVGHSGGIESTGRVEVGDVVTAISMNKEEMKYLSVGPQKIQHQRALQVYSMLKQARGNIHLQVERYKNEDEEYHAQWEQFQRPFVQTWWKSTKTLINRQIKITKRLHALIKLRLFQAIILGMFTGTLFYKLGGQYDPQKMNSVRALGFVSTMSIMLINLVQLPLYMLQRPIFYKHRAQRFFRVSSYIVAHCIVNLPQTLIEALAYTVCIYFLAGLSLAGNGAPFFAYLALLSLVAYFGSSIFFFLSSISSIPEVGNALAGLVVSIFLLFSGFVIYPSNIPIYWKWLMYVNPIHWANVSFCWFQFSNSYTDPCSIYISQLPFCDQLPTLTVGQAYLKFYELSEDARRPWLPYVVILGWTLVTNFLALLGLKNIEFSGTSQSLPYLRKTPMISKYREDAENESLSYNNYSENLGNSDTSRFSMPQTSWMGYGKMKQNCGIERWVEEFHVDLERNGLDLPLEPATLLFENVSFTRYNQGTKDNTAAFSNITGYAKPHHMVAILGGTRTGKATLLKCLAGRVPSTGNLSGNIQAYGFRTGAAFSRLIGYVEKLDAHQPYLSIRESLQFSAALRLGKAVNSLGRSIHVELILNQLGLLPYSNHLVGSLCDATGKTFEIAKKITIAVELAANPSILFLEEPISGLDTAGTSTILNILSQLSNSGRIIIASLTHPSTRILSSFNLALILTHKGHQAYFGPVGCNCTVLLDYFKSIPKAPHYSKRQSPVSYVMGALGFDIQKRQTHLLNYAEIYEASSLQAANSKKVCNVRKMMKGKTANNLASTYPAPYSWQAILVLQRTQRFLWRNVQYTYGRLTGCIMIGLLMGSLYYQIEYKDIYGVTSRTLYIYMQVILIGVISANNIIPQIGTDRLVYFREKRARMYHPFFYPVSWAVGEIPYFFIATLAVVGIGNGMAGIGTESIAVFLKYWLVLFIFTLCVTYFGMMITFLAPLPTLAAFAVSIVTSMWVSASGVVVVLSDISFYRWMYWSNPFQFAMNVMTSISFYCNTKECASNCSCPKLPDNSFVWDRVASIRSLNQERGNIDILILSAMCLLFASLAFIFFIVLKHNSPPQS >Manes.09G179900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36901924:36909637:1 gene:Manes.09G179900.v8.1 transcript:Manes.09G179900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMPLRHIPLLSVRETLEFARDCTQGLRPENFTPQMRKFFAHALVEGQDPFLEYILEILNLKEIQHKLTGDAISDTDRQRLTTAELALGTYSVMLYDQPFSGSDLAATYSLVDTIRTISRIQQSSAIMSLTQLSQDIFDLFDRIILLGDGHVLFQGPRQDAVPYFAKLGYTKPSHVESNEFLEDIAAGNGSQYRAPGATSCTLHELVECYRASDDYKDVMRIVDRDDVKRTYWVESEPGLTLSLKTPSEYHFPANSQLRRETELVVAELSKKVGHSGGIESTGRVEVGDVVTAISMNKEEMKYLSVGPQKIQHQRALQVYSMLKQARGNIHLQVERYKNEDEEYHAQWEQFQRPFVQTWWKSTKTLINRQIKITKRLHALIKLRLFQAIILGMFTGTLFYKLGGQYDPQKMNSVRALGFVSTMSIMLINLVQLPLYMLQRPIFYKHRAQRFFRVSSYIVAHCIVNLPQTLIEALAYTVCIYFLAGLSLAGNGAPFFAYLALLSLVAYFGSSIFFFLSSISSIPEVGNALAGLVVSIFLLFSGFVIYPSNIPIYWKWLMYVNPIHWANVSFCWFQFSNSYTDPCSIYISQLPFCDQLPTLTVGQAYLKFYELSEDARRPWLPYVVILGWTLVTNFLALLGLKNIEFSGTSQSLPYLRKTPMISKYREDAENESLSYNNYSENLGNSDTSRFSMPQTSWMGYGKMKQNCGIERWVEEFHVDLERNGLDLPLEPATLLFENVSFTRYNQGTKDNTAAFSNITGYAKPHHMVAILGGTRTGKATLLKCLAGRVPSTGNLSGNIQAYGFRTGAAFSRLIGYVEKLDAHQPYLSIRESLQFSAALRLGKAVNSLGRSIHVELILNQLGLLPYSNHLVGSLCDATGKTFEIAKKITIAVELAANPSILFLEEPISGLDTAGTSTILNILSQLSNSGRIIIASLTHPSTRILSSFNLALILTHKGHQAYFGPVGCNCTVLLDYFKSIPKAPHYSKRQSPVSYVMGALGFDIQKRQTHLLNYAEIYEASSLQAANSKKVCNVRKMMKGKTANNLASTYPAPYSWQAILVLQRTQRFLWRNVQYTYGRLTGCIMIGLLMGSLYYQIEYKDIYGVTSRTLYIYMQVILIGVISANNIIPQIGTDRLVYFREKRARMYHPFFYPVSWAVGEIPYFFIATLAVVGIGNGMAGIGTESIAVFLKYWLVLFIFTLCVTYFGMMITFLAPLPTLAAFAVSIVTSMWVSASGVVVVLSDISFYRWMYWSNPFQFAMNVMTSISFYCNTKECASNCSCPKLPDNSFVWDRVASIRSLNQERGNIDILILSAMCLLFASLAFIFFIVLKHNSPPQS >Manes.14G094100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7855002:7855611:-1 gene:Manes.14G094100.v8.1 transcript:Manes.14G094100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICGICFIIGFGSGYFCLISIRIRMLQSALYLTGLDDLMESKGGKKSSSSKSLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNCARKPS >Manes.07G027200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2948439:2949917:1 gene:Manes.07G027200.v8.1 transcript:Manes.07G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGVAEIIAIAIASAALFYQVGKDALKSVKKEASYSKNLKENYEALQWELNFLLGFKSDIERTIRKRRGNYGEIYNRWSIHVHEVEEKAKSCLEKYEHIRKCYAVRRSKLSRKMVSLCKKVIELKGEGKDLARLLSK >Manes.03G064701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:7750011:7752407:1 gene:Manes.03G064701.v8.1 transcript:Manes.03G064701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSLIPAIYSRGIYGRFRFSVNFSSFCALKIVDTAEETNGNIYHCNYAELRRRMLDFATFGDFSQAFLTLNFMKNLPGKPTVYDFNALMYYYFKSRNVSVHLLIELYLGMKRYGPAPNAPTFNTLCNVMLSSGDLQDAFFIIEEMCGSGFVPSFTILSKLLKKTLQIGSLMDSLYAFEIMLKLGYVPTEPTFNMMICKLIKAGMIHESYLVFSCLFDKGYFFGVHTFNPILWALCKSNQSCTALQFFYLMKKRGVVYNACSYTALVYGFCREGLEEDALQCLEEMQGDGCNPNVRTYTVLIKFLCDNGRIEQAFKILGKMEEGGCNPDLVTYNVIIRELCHQGRMLDILYFIQIIDQKGFSLDSYTYAALAGGLLKNGKVMFPYELLFDTIFKGFSVDVALYNIYLHYLCRQNRLTELSTMLNRMIEEGFMPTNVSYNIILDAFCRGNGVDEALKLLEHFNWEANGPDVVSFNTILCMACKQGNSRMIKKILYQMECEGVECDVVSFTCLIHYFCTVRKFSMCLKLLETMMHGGPCPTVVTVKLVLDRLRKNGLVGEALQFTNRFYTKAGLASEKCIFGCRGS >Manes.01G138100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32997824:33000573:1 gene:Manes.01G138100.v8.1 transcript:Manes.01G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSMLALLLAVLIHQGCFHIDVEAGDGFIRTRGAHFFLNGNPYYANGFNAYWLMYVASDPSQRYKVSDAFHQAATHGLTVARTWAFSDGGYRPLQHSPGSYNEQMFKGLDFVIAEARRYGIKLILSLANNYDSFGGKKQYVNWARNQGQYLSSDDDFFRHPVVKGYFKNHIKTVLNRYNSFTGIHYKDDPTIMAWELMNEPRCTSDPSGRTIQAWIMEMAQFVKSVDRNHLLEAGLEGFYGQSTPQRKNLNPGIEIGTDFISNNRIPGIDFATVHSYPDQWLSSSDNQYQLTFLNNWLNAHIQDAQYILRKPILVTEFGKSWKDPGFSTYQRDLMFNTVYYKIYSSAKRGGAAAGGLFWQLLTEGMDNFRDGYEVILSESSSTVNVITQQSHKLYQIRKIFERMRNVQRWRRARAIRRRQSQSGNRGRHIGN >Manes.16G019135.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2051856:2056434:-1 gene:Manes.16G019135.v8.1 transcript:Manes.16G019135.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREFMVKSGFLCFFLFNLFSFAYCSVIYNITTTRAVSPEQTLNSPRQIFELGFFTPNNSSRNQYVGIWFTEVSPQTVIWVANRENPITSSSASLTIGSDGNLRLLDGQRNTIWSTNISSQSNSSIAVLSDDGNFILRNSITGDDLWESAQHPADSLLPGTWLAYNETTGMRLTATSWKSNSDPSIGDFTAGVPPLTPPQAFVWKGSKPHWRSGPWDKTKFIGIPEMDADYQSGLTLIEGLQPGIAYLTVSVLRNCSYSMFMVSPAGVLRFLCWVKERGWYARWEAPVTPCEVYGACGPFGVCQRYAPNLTCRCLKGFVPKSDDEWRNGNWTGGCIRRTELSCGGNTSSVNAQGGKPDGFLKVGGLKLPDLHEFLKVFDENECHQRCLSNCSCSGYSYVNGIGCLVWTTNLLDMHELPFGGQDLNLRLAHTELGESDQKTHEKIIVSVITVSSVILIGAMICCFMRWRAKKRSKPKTNAPSDTSRENSQPIMWRSPLEDEDSIELPLFDFNNILLATNNFDIENKLGQGGYGPVYRGTLDGKDVAVKRLSSSSSQGIGEFKNEMKLISKLQHRNLVRLLGCCIEREEKILVYEYMPNKSLDTYLFDTTRKAELDWTKRFNIIMGVARGLLYLHRDSCLRVIHRDLKVSNILLDEKMNPKISDFGLARIFEGTQDLGSTHKVVGTIGYMAPEYLLGGIFSEKSDVFGFGILILEIVSGRKASSFQYDEQNMSLLSYAWQSWSESKGVRMIDEALMDSFSSTEVSRCVNIGLLCAQDHAADRPSMAAVVSMLSGEKTKLPEPNQPTFTFKNISTSNFQSQRNSTWSVNRVTESIIEPR >Manes.04G092100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29751716:29753936:1 gene:Manes.04G092100.v8.1 transcript:Manes.04G092100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGACSSSAKDNQDCPQQSPWLQLTLSESNRRIKTMMTLLEEDDRASDRDEIYCQRRVKLFQMLEEFNNSYSSLAEKYDQLRSESRHQTNPGSLPSSCSNANAKTKHLQSSTGSIPFACSNTNEKARHVRSSAGFVSSACSNINEQTRYIRTSTNSELELFDSYLDSILADPGADCDDTGFNFEHLNKLVEELMSTEPHTQSMKMKKKLDIGENNYYEDTIDLRDKKGINVTTDNSNLFQDQATVTCLPENSYEQVIGWSELQFQVTKLVEENLKQQVELARRNIQKRVVINRLRSQLEHLKGENRALQSCISCLKGEEKPSQFQTPKLRKIFSSRFF >Manes.03G086903.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17282085:17283083:1 gene:Manes.03G086903.v8.1 transcript:Manes.03G086903.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILIFLFFIFLITPTSLSCPDDQKQALLQFKSLVFNIINSSSSDYYYSPLGLDSWNSSSDCCYWEMVTCNSRSSSRSVTALDLHSLFPLGPQGPMPVPSSVLSPLSRIKSLMFLDISSNYIVGEIPVDMLANLSRLVHLDLMFNNFSGFIPSQIFHFKYLQYLDISSNFLTGMLSEEVGALKNLRVLKLDYNSLAGNIPGEIGNLTKLQRLSLRGNSFVGRIPSSVLYLKELQELDLRDNALSMEIPANIGELTNLTTLALSNNRLTGGIPSSIQKLNKLQILRLQDNLLAGGIPTWLFDIKSLKELFVGGNNLTWDNNVDLMPKCMLS >Manes.14G040600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3452811:3453269:-1 gene:Manes.14G040600.v8.1 transcript:Manes.14G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTPFHFVLLFVAVAATAADTTAAENSVVSPEMSNAPNSEQGSSSSGATGSGHGPNWDYSWGWGSSPGSGWGYGSGSGRSPNGFGRGFGFGFGSGSGSGYGYGFGSGDEHDGGGGGGAGGGSSNSGGDGGTGGYGDGYWQVDSRRTNNHGR >Manes.04G017800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2195038:2195900:-1 gene:Manes.04G017800.v8.1 transcript:Manes.04G017800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGLALVLLMFVMMRSCLATNRKALSVEMNVEENKDVNVGYTYPGSSVNNHHYIPRQDFNNNGGDGSGNGGSG >Manes.06G169900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29482746:29485556:-1 gene:Manes.06G169900.v8.1 transcript:Manes.06G169900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKDRSKCNPSEESSIAVSFQLDPLVSSNGNNNPSENNPQEQQCEPNTNNENNKSSKALAKGSSLMLASIIKDFDSKAQDTLKSQDHLNCIIDRHTRELDQLLDDALLPFVMQHAAKISGVRMRVSSLNSLLKSIQRRIDNIDLILSVSSPQVKDA >Manes.05G088200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8002122:8006464:-1 gene:Manes.05G088200.v8.1 transcript:Manes.05G088200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLVFCFIVFFLLASNHVSADRILDDGYKVTTVIDGHKLKLNPHMVLPRPGSSDLIVLDYSGSVFYTVSLPISQNSDFKQFSGDGVIGFSDGAAGSARFNKPKSFTVDLKGNIYVAERNNGAIRMISDSGVTTIAGGYSEGTGHQDGPAQNATFSNDFEVSFVPEICALLISDHGNQLVRQIDLKPENCARGSQSAFGGVSIWVLALGLVFSCVLGMVMGFVIHPHIRSYTGRLQSPSLRQDMEALPNQSGETNTDVLLRHQKRSC >Manes.05G088200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8002122:8006464:-1 gene:Manes.05G088200.v8.1 transcript:Manes.05G088200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLVFCFIVFFLLASNHVSADRILDDGYKVTTVIDGHKLKLNPHMVLPRPGSSDLIVLDYSGSVFYTVSLPISQNSDFKQFSGDGVIGFSDGAAGSARFNKPKSFTVDLKGNIYVAERNNGAIRMISDSGVTTIAGGYSEGTGHQDGPAQNATFSNDFEVSFVPEICALLISDHGNQLVRQIDLKPENCARGSQSAFGGVSIWVLALGLVFSCVLGMVMGFVIHPHIRSYEGSSPLHCGKTWKLCLINLVKPILMFYSDIRSVVASSRLYGLLRRFICLNLSHLSLLFSINAVGSHASSRRTTYQTSSKGFVSLLDSDVNNLEKSQIPPDELEDLVSLNGPLKLSNSSNEVSKQGDQDDVLLDGRGRIDTMIQANIMEFAKAAEETSPLNGSLVVREGLVKRK >Manes.11G083047.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18575330:18579577:-1 gene:Manes.11G083047.v8.1 transcript:Manes.11G083047.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSEKEMNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVDLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVTDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSTTMAYLSAVWVSRTCLFRGLIMR >Manes.11G083047.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18575330:18579577:-1 gene:Manes.11G083047.v8.1 transcript:Manes.11G083047.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVDLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVTDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSTTMAYLSAVWVSRTCLFRGLIMR >Manes.11G083047.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18575330:18579577:-1 gene:Manes.11G083047.v8.1 transcript:Manes.11G083047.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVDLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVTDSRLRIAEGNMWLNDNIGVVPKNSWAIDPFGYSTTMAYLSAVWVSRTCLFRGLIMR >Manes.04G128800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33172746:33177200:1 gene:Manes.04G128800.v8.1 transcript:Manes.04G128800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSPWSGLSLRSAGAICIFFLGVASMIVVAEARLKQEVLRDNENEEVETGCFTRSFNFLWQKGQLGYTHVWPEMKFGWQIVVGSIIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMITGAAASTVYYNLKLRHPTLDLPIIDYDLALLFQPMLVLGISIGVVFNVIFADWMITVLLIILFFATSTKAFFKGVETWKKETIIKKEAARRLAAYGDVNVEVEYKSLPGGPRNGAGPESKDYGREEVSVLENVRWKELGILFAVWLIILMLEITKNNTTTCSVAYWACNLLQIPVAVGVSSCQAVSLYRGQRKIASNGEAGTNWKVHQLVLYCCCGVLAGMVGGLLGLGGGFILGPLFLELGVPPQVHFILLKEASI >Manes.04G128800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33172746:33177201:1 gene:Manes.04G128800.v8.1 transcript:Manes.04G128800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSPWSGLSLRSAGAICIFFLGVASMIVVAEARLKQEVLRDNENEEVETGCFTRSFNFLWQKGQLGYTHVWPEMKFGWQIVVGSIIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMITGAAASTVYYNLKLRHPTLDLPIIDYDLALLFQPMLVLGISIGVVFNVIFADWMITVLLIILFFATSTKAFFKGVETWKKETIIKKEAARRLAAYEVEYKSLPGGPRNGAGPESKDYGREEIPVAVGVSSCQAVSLYRGQRKIASNGEAGTNWKVHQLVLYCCCGVLAGMVGGLLGLGGGFILGPLFLELGVPPQVSSATATFAMTFSASMSVIEYYLLKRFPVPYALYFVAVTTVAALVGQHVVRKLISVFGRASIIIFILSFTIFVSAISLGGVGIGNLLVRIGNQEYMGFENICAYKV >Manes.04G128800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33172746:33177201:1 gene:Manes.04G128800.v8.1 transcript:Manes.04G128800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSPWSGLSLRSAGAICIFFLGVASMIVVAEARLKQEVLRDNENEEVETGCFTRSFNFLWQKGQLGYTHVWPEMKFGWQIVVGSIIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMITGAAASTVYYNLKLRHPTLDLPIIDYDLALLFQPMLVLGISIGVVFNVIFADWMITVLLIILFFATSTKAFFKGVETWKKETIIKKEAARRLAAYGDVNVEVEYKSLPGGPRNGAGPESKDYGREEIPVAVGVSSCQAVSLYRGQRKIASNGEAGTNWKVHQLVLYCCCGVLAGMVGGLLGLGGGFILGPLFLELGVPPQVSSATATFAMTFSASMSVIEYYLLKRFPVPYALYFVAVTTVAALVGQHVVRKLISVFGRASIIIFILSFTIFVSAISLGGVGIGNLLVRIGNQEYMGFENICAYKV >Manes.04G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33172746:33177200:1 gene:Manes.04G128800.v8.1 transcript:Manes.04G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSPWSGLSLRSAGAICIFFLGVASMIVVAEARLKQEVLRDNENEEVETGCFTRSFNFLWQKGQLGYTHVWPEMKFGWQIVVGSIIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMITGAAASTVYYNLKLRHPTLDLPIIDYDLALLFQPMLVLGISIGVVFNVIFADWMITVLLIILFFATSTKAFFKGVETWKKETIIKKEAARRLAAYGDVNVEVEYKSLPGGPRNGAGPESKDYGREEVSVLENVRWKELGILFAVWLIILMLEITKNNTTTCSVAYWACNLLQIPVAVGVSSCQAVSLYRGQRKIASNGEAGTNWKVHQLVLYCCCGVLAGMVGGLLGLGGGFILGPLFLELGVPPQVSSATATFAMTFSASMSVIEYYLLKRFPVPYALYFVAVTTVAALVGQHVVRKLISVFGRASIIIFILSFTIFVSAISLGGVGIGNLLVRIGNQEYMGFENICAYKV >Manes.04G128800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33172746:33177200:1 gene:Manes.04G128800.v8.1 transcript:Manes.04G128800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSPWSGLSLRSAGAICIFFLGVASMIVVAEARLKQEVLRDNENEEVETGCFTRSFNFLWQKGQLGYTHVWPEMKFGWQIVVGSIIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMITGAAASTVYYNLKLRHPTLDLPIIDYDLALLFQPMLVLGISIGVVFNVIFADWMITVLLIILFFATSTKAFFKGVETWKKETIIKKEAARRLAAYEVEYKSLPGGPRNGAGPESKDYGREEVSVLENVRWKELGILFAVWLIILMLEITKNNTTTCSVAYWACNLLQIPVAVGVSSCQAVSLYRGQRKIASNGEAGTNWKVHQLVLYCCCGVLAGMVGGLLGLGGGFILGPLFLELGVPPQVSSATATFAMTFSASMSVIEYYLLKRFPVPYALYFVAVTTVAALVGQHVVRKLISVFGRASIIIFILSFTIFVSAISLGGVGIGNLLVRIGNQEYMGFENICAYKV >Manes.16G101700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30713410:30720702:1 gene:Manes.16G101700.v8.1 transcript:Manes.16G101700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPPHEIRGLVPSFSPSSPIARIPDNAAPPPVVFEGHGPLLSPSIPTVNPPYNTAAPPLTAERHEAAVPPNPSLGNEPIRHSPVLVPVTTPTNDLPPDSPIIHPNAPATSPIIHPSEPEISPPSTHHSNTSWASIPAASPPRKLGRNKPSVHPIAPAIMPSVSPVPVVSPIGQLPQNSPKIHPVIPGEAPSEVSDPDTSHASAPTPIIDWKKDGSPVAAPPDETSKHLPSANHSPAEAPSTHKAMKHPYEAAVPSLFTPASSPSIPFHKENHARTEINSPGSSLSPSPSEQQGPAISPSLLPTNRQAHDAPPLSSASAPHYPYPFSMPVTNVSPAPSPSPTAASGWTIMPVLSPKVSSSGSSSRGPKMPLPPPVQAFPPPPPNEDCSATVCVEPYTNTPPGSPCGCVLPMQVGLQLNVALYTFFPLVSELAQEIAAGVFMKQSQVRVMGANAAGQQPEKTIVLIDLVSLGERFDKMTALLIYQRFWHKQVAIKNSLFGDYEVLYVRYPGLPPSPPSAPSGITIIDDGPYSGNGNNARTVKPLGVDIRKRQQKDGLGGGIIAIIALSASVALVLCSAVAWLLVVRHRGRTSLPIPTLHPLPTSIAKPSGTTASVIGSGPTSASLSFGSSIAPYTGSAKSFSANDIEKATNKFDASRILGEGGFGLVYSGTLEDGTKVAVKVLKRDDHQGDREFLAEIEMLSRLHHRNLVKLIGICTEERARCLVYELIPNGSVESHLHGVDKESAPLDWDARVKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLARTAMDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVAWARPLLTSKEGLETIIDPSLGPDVPFDSVAKVAAIASMCVQLEVSHRPFMGEVVQALKLVCNECDEAKEVGSTSSRSQDFSVDMDVGIGAGSGKLPDPFQIQTIVPDYDSEPALERGLSMSRLLSTSVRYGRQASGSFRRYSSSGPLRTGRSRHLWQRMRRLTGEIASEHRFSFRRWSDSH >Manes.16G101700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30713241:30720807:1 gene:Manes.16G101700.v8.1 transcript:Manes.16G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLPATLQLVKLCVICFIFTIQGSTGYNFSPSPAIFSEIPPTEGTTAPIRRPFRSNVAGSVSQPNGSDLAPPLALPPPMAAPLPPHEIRGLVPSFSPSSPIARIPDNAAPPPVVFEGHGPLLSPSIPTVNPPYNTAAPPLTAERHEAAVPPNPSLGNEPIRHSPVLVPVTTPTNDLPPDSPIIHPNAPATSPIIHPSEPEISPPSTHHSNTSWASIPAASPPRKLGRNKPSVHPIAPAIMPSVSPVPVVSPIGQLPQNSPKIHPVIPGEAPSEVSDPDTSHASAPTPIIDWKKDGSPVAAPPDETSKHLPSANHSPAEAPSTHKAMKHPYEAAVPSLFTPASSPSIPFHKENHARTEINSPGSSLSPSPSEQQGPAISPSLLPTNRQAHDAPPLSSASAPHYPYPFSMPVTNVSPAPSPSPTAASGWTIMPVLSPKVSSSGSSSRGPKMPLPPPVQAFPPPPPNEDCSATVCVEPYTNTPPGSPCGCVLPMQVGLQLNVALYTFFPLVSELAQEIAAGVFMKQSQVRVMGANAAGQQPEKTIVLIDLVSLGERFDKMTALLIYQRFWHKQVAIKNSLFGDYEVLYVRYPGLPPSPPSAPSGITIIDDGPYSGNGNNARTVKPLGVDIRKRQQKDGLGGGIIAIIALSASVALVLCSAVAWLLVVRHRGRTSLPIPTLHPLPTSIAKPSGTTASVIGSGPTSASLSFGSSIAPYTGSAKSFSANDIEKATNKFDASRILGEGGFGLVYSGTLEDGTKVAVKVLKRDDHQGDREFLAEIEMLSRLHHRNLVKLIGICTEERARCLVYELIPNGSVESHLHGVDKESAPLDWDARVKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLARTAMDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVAWARPLLTSKEGLETIIDPSLGPDVPFDSVAKVAAIASMCVQLEVSHRPFMGEVVQALKLVCNECDEAKEVGSTSSRSQDFSVDMDVGIGAGSGKLPDPFQIQTIVPDYDSEPALERGLSMSRLLSTSVRYGRQASGSFRRYSSSGPLRTGRSRHLWQRMRRLTGEIASEHRFSFRRWSDSH >Manes.16G101700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30713240:30720730:1 gene:Manes.16G101700.v8.1 transcript:Manes.16G101700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLPATLQLVKLCVICFIFTIQGSTGYNFSPSPAIFSEIPPTEGTTAPIRRPFRSNVAGSVSQPNGSDLAPPLALPPPMAAPLPPHEIRGLVPSFSPSSPIARIPDNAAPPPVVFEGHGPLLSPSIPTVNPPYNTAAPPLTAERHEAAVPPNPSLGNEPIRHSPVLVPVTTPTNDLPPDSPIIHPNAPATSPIIHPSEPEISPPSTHHSNTSWASIPAASPPRKLGRNKPSVHPIAPAIMPSVSPDPDTSHASAPTPIIDWKKDGSPVAAPPDETSKHLPSANHSPAEAPSTHKAMKHPYEAAVPSLFTPASSPSIPFHKENHARTEINSPGSSLSPSPSEQQGPAISPSLLPTNRQAHDAPPLSSASAPHYPYPFSMPVTNVSPAPSPSPTAASGWTIMPVLSPKVSSSGSSSRGPKMPLPPPVQAFPPPPPNEDCSATVCVEPYTNTPPGSPCGCVLPMQVGLQLNVALYTFFPLVSELAQEIAAGVFMKQSQVRVMGANAAGQQPEKTIVLIDLVSLGERFDKMTALLIYQRFWHKQVAIKNSLFGDYEVLYVRYPGLPPSPPSAPSGITIIDDGPYSGNGNNARTVKPLGVDIRKRQQKDGLGGGIIAIIALSASVALVLCSAVAWLLVVRHRGRTSLPIPTLHPLPTSIAKPSGTTASVIGSGPTSASLSFGSSIAPYTGSAKSFSANDIEKATNKFDASRILGEGGFGLVYSGTLEDGTKVAVKVLKRDDHQGDREFLAEIEMLSRLHHRNLVKLIGICTEERARCLVYELIPNGSVESHLHGVDKESAPLDWDARVKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLARTAMDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVAWARPLLTSKEGLETIIDPSLGPDVPFDSVAKVAAIASMCVQLEVSHRPFMGEVVQALKLVCNECDEAKEVGSTSSRSQDFSVDMDVGIGAGSGKLPDPFQIQTIVPDYDSEPALERGLSMSRLLSTSVRYGRQASGSFRRYSSSGPLRTGRSRHLWQRMRRLTGEIASEHRFSFRRWSDSH >Manes.16G101700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30713410:30720702:1 gene:Manes.16G101700.v8.1 transcript:Manes.16G101700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPPHEIRGLVPSFSPSSPIARIPDNAAPPPVVFEGHGPLLSPSIPTVNPPYNTAAPPLTAERHEAAVPPNPSLGNEPIRHSPVLVPVTTPTNDLPPDSPIIHPNAPATSPIIHPSEPEISPPSTHHSNTSWASIPAASPPRKLGRNKPSVHPIAPAIMPSVSPVPVVSPIGQLPQNSPKIHPVIPGEAPSEVSDPDTSHASAPTPIIDWKKDGSPVAAPPDETSKHLPSANHSPAEAPSTHKAMKHPYEAAVPSLFTPASSPSIPFHKENHARTEINSPGSSLSPSPSEQQGPAISPSLLPTNRQAHDAPPLSSASAPHYPYPFSMPVTNVSPAPSPSPTAASGWTIMPVLSPKVSSSGSSSRGPKMPLPPPVQAFPPPPPNEDCSATVCVEPYTNTPPGSPCGCVLPMQVGLQLNVALYTFFPLVSELAQEIAAGVFMKQSQVRVMGANAAGQQPEKTIVLIDLVSLGERFDKMTALLIYQRFWHKQVAIKNSLFGDYEVLYVRYPGLPPSPPSAPSGITIIDDGPYSGNGNNARTVKPLGVDIRKRQQKDGLGGGIIAIIALSASVALVLCSAVAWLLVVRHRGRTSLPIPTLHPLPTSIAKPSGTTASVIGSGPTSASLSFGSSIAPYTGSAKSFSANDIEKATNKFDASRILGEGGFGLVYSGTLEDGTKVAVKVLKRDDHQGDREFLAEIEMLSRLHHRNLVKLIGICTEERARCLVYELIPNGSVESHLHGVDKESAPLDWDARVKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLARTAMDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVAWARPLLTSKEGLETIIDPSLGPDVPFDSVAKVAAIASMCVQLEVSHRPFMGEVVQALKLVCNECDEAKEVGSTSSRSQDFSVDMDVGIGAGSGKLPDPFQIQTIVPDYDSEPALERGLSMSRLLSTSVRYGRQASGSFRRYSSSGPLRTGRSRHLWQRMRRLTGEIASEHRFSFRRWSDSH >Manes.16G101700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30713410:30720702:1 gene:Manes.16G101700.v8.1 transcript:Manes.16G101700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLPATLQLVKLCVICFIFTIQGSTGYNFSPSPAIFSEIPPTEGTTAPIRRPFRSNVAGSVSQPNGSDLAPPLALPPPMAAPLPPHEIRGLVPSFSPSSPIARIPDNAAPPPVVFEGHGPLLSPSIPTVNPPYNTAAPPLTAERHEAAVPPNPSLGNEPIRHSPVLVPVTTPTNDLPPDSPIIHPNAPATSPIIHPSEPEISPPSTHHSNTSWASIPDPDTSHASAPTPIIDWKKDGSPVAAPPDETSKHLPSANHSPAEAPSTHKAMKHPYEAAVPSLFTPASSPSIPFHKENHARTEINSPGSSLSPSPSEQQGPAISPSLLPTNRQAHDAPPLSSASAPHYPYPFSMPVTNVSPAPSPSPTAASGWTIMPVLSPKVSSSGSSSRGPKMPLPPPVQAFPPPPPNEDCSATVCVEPYTNTPPGSPCGCVLPMQVGLQLNVALYTFFPLVSELAQEIAAGVFMKQSQVRVMGANAAGQQPEKTIVLIDLVSLGERFDKMTALLIYQRFWHKQVAIKNSLFGDYEVLYVRYPGLPPSPPSAPSGITIIDDGPYSGNGNNARTVKPLGVDIRKRQQKDGLGGGIIAIIALSASVALVLCSAVAWLLVVRHRGRTSLPIPTLHPLPTSIAKPSGTTASVIGSGPTSASLSFGSSIAPYTGSAKSFSANDIEKATNKFDASRILGEGGFGLVYSGTLEDGTKVAVKVLKRDDHQGDREFLAEIEMLSRLHHRNLVKLIGICTEERARCLVYELIPNGSVESHLHGVDKESAPLDWDARVKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLARTAMDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVAWARPLLTSKEGLETIIDPSLGPDVPFDSVAKVAAIASMCVQLEVSHRPFMGEVVQALKLVCNECDEAKEVGSTSSRSQDFSVDMDVGIGAGSGKLPDPFQIQTIVPDYDSEPALERGLSMSRLLSTSVRYGRQASGSFRRYSSSGPLRTGRSRHLWQRMRRLTGEIASEHRFSFRRWSDSH >Manes.16G101700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30713410:30720702:1 gene:Manes.16G101700.v8.1 transcript:Manes.16G101700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLPATLQLVKLCVICFIFTIQGSTGYNFSPSPAIFSEIPPTEGTTAPIRRPFRSNVAGSVSQPNGSDLAPPLALPPPMAAPLPPHEIRGLVPSFSPSSPIARIPDNAAPPPVVFEGHGPLLSPSIPTVNPPYNTAAPPLTAERHEAAVPPNPSLGNEPIRHSPVLVPVTTPTNDLPPDSPIIHPNAPATSPIIHPSEPEISPPSTHHSNTSWASIPAASPPRKLGRNKPSVHPIAPAIMPSVSPVPVVSPIGQLPQNSPKIHPVIPGEAPSEVSGYPDTSHASAPTPIIDWKKDGSPVAAPPDETSKHLPSANHSPAEAPSTHKAMKHPYEAAVPSLFTPASSPSIPFHKENHARTEINSPGSSLSPSPSEQQGPAISPSLLPTNRQAHDAPPLSSASAPHYPYPFSMPVTNVSPAPSPSPTAASGWTIMPVLSPKVSSSGSSSRGPKMPLPPPVQAFPPPPPNEDCSATVCVEPYTNTPPGSPCGCVLPMQVGLQLNVALYTFFPLVSELAQEIAAGVFMKQSQVRVMGANAAGQQPEKTIVLIDLVSLGERFDKMTALLIYQRFWHKQVAIKNSLFGDYEVLYVRYPGLPPSPPSAPSGITIIDDGPYSGNGNNARTVKPLGVDIRKRQQKDGLGGGIIAIIALSASVALVLCSAVAWLLVVRHRGRTSLPIPTLHPLPTSIAKPSGTTASVIGSGPTSASLSFGSSIAPYTGSAKSFSANDIEKATNKFDASRILGEGGFGLVYSGTLEDGTKVAVKVLKRDDHQGDREFLAEIEMLSRLHHRNLVKLIGICTEERARCLVYELIPNGSVESHLHGVDKESAPLDWDARVKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLARTAMDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGEENLVAWARPLLTSKEGLETIIDPSLGPDVPFDSVAKVAAIASMCVQLEVSHRPFMGEVVQALKLVCNECDEAKEVGSTSSRSQDFSVDMDVGIGAGSGKLPDPFQIQTIVPDYDSEPALERGLSMSRLLSTSVRYGRQASGSFRRYSSSGPLRTGRSRHLWQRMRRLTGEIASEHRFSFRRWSDSH >Manes.17G061800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26132161:26134451:1 gene:Manes.17G061800.v8.1 transcript:Manes.17G061800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVGHPRNAVHLSRIPLNSIPQRSASVCLGMCHVLSSRLIPKFILLSGTGTGTVPATTEVAQKHVYSVWAVPPEDVADRLKKLMDGLRSEFGGPQFQPHVTVVGAIRLTEQDALEKFRSACDGLKAYTATVDRVATGIFFYQCVYLLLHPTPEVVEASEHCIGHFGYKRSTPYMPHLSLLYGDLKDDDKKKAEEKANILDESINGLSFQVSCLALWKTDTEDISLKSWEKIAECTLSSN >Manes.17G061800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26132161:26135364:1 gene:Manes.17G061800.v8.1 transcript:Manes.17G061800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTGTGTVPATTEVAQKHVYSVWAVPPEDVADRLKKLMDGLRSEFGGPQFQPHVTVVGAIRLTEQDALEKFRSACDGLKAYTATVDRVATGIFFYQCVYLLLHPTPEVVEASEHCIGHFGYKRSTPYMPHLSLLYGDLKDDDKKKAEEKANILDESINGLSFQVSCLALWKTDTEDISLKSWEKIAECTLSSN >Manes.17G061800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26132161:26135206:1 gene:Manes.17G061800.v8.1 transcript:Manes.17G061800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTGTGTVPATTEVAQKHVYSVWAVPPEDVADRLKKLMDGLRSEFGGPQFQPHVTVVGAIRLTEQDALEKFRSACDGLKAYTATVDRVATGIFFYQCVYLLLHPTPEVVEASEHCIGHFGYKRSTPYMPHLSLLYGDLKDDDKKKAEEKANILDESINGLSFQVSCLALWKTDTEDISLKSWEKIAECTLSSN >Manes.05G149100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25204540:25215201:-1 gene:Manes.05G149100.v8.1 transcript:Manes.05G149100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPLVVVDVIEEEPVVIDGVKIPVDTSRPNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKEGSQVFDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKKIKVILSDANVPGEGEHKIMSYIRLQRNLPGYNPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQQDKCFLCGQMGHLAADCEGKAKRKAGEFDEKGDENVVVKKPYQFLNIWTLREYLEYEMRIPNPPFEIDFECIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLIAVYKKELRTMDGYLTNASKPNLSRVEHFIQAVGSYEDKIFMKRARLHQRQSERIKREKAQARRGDDVAPQVQPESLVPVARFRGSRLASAPSPSPFQQSLGRTADVQARKVARLSSSANVGAAIVEAENSLEIEICENENKEELKAKLKEILREKNDIFNSKNQEEDKIKLGEPGWKERYYEEKFYAKTPEEIENIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQMKIEFELGSPFKPFDQLMGVFPAASAHALPVHYRKLMTDPNSPIIDFYPTDFEVDMNGKRYAWQGIAKLPFIDEARLLAEVKKIEHTLTEEEARRNSMMFDMLFVLSSHSLAESIYLLDNHCKQFTDKERVEVQERINPELSDGMNGYISPCSGDTQPPIFRSPVAGMEDILDNEVICAIYRLPDLHKHITRPPAGVIFPKKIVNAGDLKPAPVLWHEDSGRRPWESERHNPPGTISGRHLGEASHRLVVNSLQVKVDRNGYAHHLHAPPPSYAAAPHVPPHYSHSNGFHDPGRHGIVHPRRDYSHAGQHRFSNPHYNRGYGQPYASSGGNHHYDGSNPQYERDNRSGGPHSRQVHNTPEFHQNGGPRHLHGSITQTSPGVTAYGYQGAYDNYQRHQSLGVGGQQWGDGFPPLADQNVPRGYNHHQQRGNRYSALDARGSRVPPSGAVGPSRVPPSGAGGPSRTPPHHLPHGGQSRRPMQPPPGGAGGFHQPAPPSNGNRGPRPPPLGGANKRPSPAGYGHR >Manes.05G149100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25204540:25215201:-1 gene:Manes.05G149100.v8.1 transcript:Manes.05G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPLVVVDVIEEEPVVIDGVKIPVDTSRPNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFDEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKEGSQVFDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKKIKVILSDANVPGEGEHKIMSYIRLQRNLPGYNPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQQDKCFLCGQMGHLAADCEGKAKRKAGEFDEKGDENVVVKKPYQFLNIWTLREYLEYEMRIPNPPFEIDFECIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLIAVYKKELRTMDGYLTNASKPNLSRVEHFIQAVGSYEDKIFMKRARLHQRQSERIKREKAQARRGDDVAPQVQPESLVPVARFRGSRLASAPSPSPFQQSLGRTADVQARKVARLSSSANVGAAIVEAENSLEIEICENENKEELKAKLKEILREKNDIFNSKNQEEDKIKLGEPGWKERYYEEKFYAKTPEEIENIRKDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLDQMKIEFELGSPFKPFDQLMGVFPAASAHALPVHYRKLMTDPNSPIIDFYPTDFEVDMNGKRYAWQGIAKLPFIDEARLLAEVKKIEHTLTEEEARRNSMMFDMLFVLSSHSLAESIYLLDNHCKQFTDKERVEVQERINPELRAACSDGMNGYISPCSGDTQPPIFRSPVAGMEDILDNEVICAIYRLPDLHKHITRPPAGVIFPKKIVNAGDLKPAPVLWHEDSGRRPWESERHNPPGTISGRHLGEASHRLVVNSLQVKVDRNGYAHHLHAPPPSYAAAPHVPPHYSHSNGFHDPGRHGIVHPRRDYSHAGQHRFSNPHYNRGYGQPYASSGGNHHYDGSNPQYERDNRSGGPHSRQVHNTPEFHQNGGPRHLHGSITQTSPGVTAYGYQGAYDNYQRHQSLGVGGQQWGDGFPPLADQNVPRGYNHHQQRGNRYSALDARGSRVPPSGAVGPSRVPPSGAGGPSRTPPHHLPHGGQSRRPMQPPPGGAGGFHQPAPPSNGNRGPRPPPLGGANKRPSPAGYGHR >Manes.15G117900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9407812:9416561:-1 gene:Manes.15G117900.v8.1 transcript:Manes.15G117900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAKKCVPCNAKDMRPMKEESATEMMAKVAGWNLVNEDGTLKLNRSWKVKSFTKGLELFQIIGNVAEAEGHHPDLHLVGWNNVKIEIWTHAVGGLTENDFILAAKINGLNLHDLLRKKSTT >Manes.15G117900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9407812:9416561:-1 gene:Manes.15G117900.v8.1 transcript:Manes.15G117900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAKKCVPCNAKDMRPMKEESATEMMAKVAGWNLVNEDGTLKLNRSWKVKSFTKGLELFQIIGNVAEAEGHHPDLHLVGWNNVKIEIWTHAVGGLTENDFILAAKINGLNLHDLLRKKSTT >Manes.15G117900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9407812:9416561:-1 gene:Manes.15G117900.v8.1 transcript:Manes.15G117900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLIADSVTDDSVVAAASALSLQASDLAAKKCVPCNAKDMRPMKEESATEMMAKVAGWNLVNEDGTLKLNRSWKVKSFTKGLELFQIIGNVAEAEGHHPDLHLVGWNNVKIEIWTHAVGGLTENDFILAAKINGLNLHDLLRKKSTT >Manes.15G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9407812:9416561:-1 gene:Manes.15G117900.v8.1 transcript:Manes.15G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLPPLLSLSRPQVPSASLFKSLLRGHGCSSQVKEILWDRVGVSSNRNSFYGFRTSCTGGDLAAKKCVPCNAKDMRPMKEESATEMMAKVAGWNLVNEDGTLKLNRSWKVKSFTKGLELFQIIGNVAEAEGHHPDLHLVGWNNVKIEIWTHAVGGLTENDFILAAKINGLNLHDLLRKKSTT >Manes.11G125400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28654190:28666948:-1 gene:Manes.11G125400.v8.1 transcript:Manes.11G125400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQLHIVEALKLLFFFSIFHSLFLFPFAFSEIFFEERFEDGWKDRWVLSDWKRSEGKAGTFKHTAGKWPGDPDDKGIQTYNDAKHFAISAKIPEFSNKNKTLVVQYSIKFEQDIECGGGYIKLLSGYVNQKKFGGDTPYGFMFGPDICGSQTKKLHVILSYQGQNYPIKKDIQCETDKLTHFYTFILRPDASYSILIDNRERESGSMYTDWDILPPRKIKDTKAKKPADWDDREHIDDPNDIKPEGYDSIPAEIPDPKANEPDDWDEEEDGIWKPPKIPNPAYKGPWKRKKIRNPNYKGKWKTPWIDNPEFEDDPDLYVLRPIKYVGIEVWQVKAGSVFDNILICDDPQYARKVVEDIWAANRELEKEAFEEAEKVRRAKEEEEAQRAREEGERRRKERGNRRRRPKRYDPHYDDDYHDEL >Manes.11G125400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28654190:28666948:-1 gene:Manes.11G125400.v8.1 transcript:Manes.11G125400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQLHIVEALKLLFFFSIFHSLFLFPFAFSEIFFEERFEDGWKDRWVLSDWKRSEGKAGTFKHTAGKWPGDPDDKGIQTYNDAKHFAISAKIPEFSNKNKTLVVQYSIKFEQDIECGGGYIKLLSGYVNQKKFGGDTPYGFMFGPDICGSQTKKLHVILSYQGQNYPIKKDIQCETDKLTHFYTFILRPDASYSILIDNRERESGSMYTDWDILPPRKIKDTKAKKPADWDDREHIDDPNDIKPEGYDSIPAEIPDPKANEPDDWDEEEDGIWKPPKIPNPAYKGPWKRKKIRNPNYKGKWKTPWIDNPEFEDDPDLYVLRPIKYVGIEVWQVKAGSVFDNILICDDPQYARKVVEDIWAANRELEKEAFEEAEKVRRAKEEEEAQRAREEGERRRKERGNRRRRPKRDEL >Manes.09G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1040034:1045231:1 gene:Manes.09G003600.v8.1 transcript:Manes.09G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVRENLFIGNISDAAEILQNGSAEITHVLSVLSSPSISFFTEWRSSLTIPAKEIKKVYAGDLENDLGDGSKRALSPDKLLYSLEYAGKDLKLVRMAVPIRDMESEDLLDYLDACLDFIDQSRKEGSVLVHCFAGVSRSAAIITAYLMRTEQLSQEDALESLKESCEFVCPNDGFLDQLKMFEEMGFKVDHASPIYKRFRLKVLGDSYNRGEKIDSSKFGADPGVLTGVSSEISPSSNRENKRTTAYRCKKCRRVVALQENVVDHIPGEGETSFAWNKRRSGNPFNKSDEPECSSIFVEPLRWMSAVEEGALEGKLLCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDISFV >Manes.14G106100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8935767:8942678:-1 gene:Manes.14G106100.v8.1 transcript:Manes.14G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHWPLIPFSPFIHLFTITFLLLASKAICVYVDPQFLSCNVTGTCGDGQTISFPFYIQDQQESFCGYPGFNLFCHNRRPVLRLRDDSYIIHQIDYTNQTLRVSNAAFLNRSNACVPHLLKNTSLPDDRFNILSNQTELILFSRCNSSLLGGSNSKLLKYKVNCSGETETETTGPILSIFDGDPLLGSASEVCEEELSVPVDVRRGENEGIERIVERGFVLKWTASNCSVCERSGGKCGFNSSNYHFRCFCPDRPHASDCDPDLPVAGNNKFSLKLGLGLGGGIGVLVMILFLFIFIGYRRRKSDPSNFLSTNSDPSSKSDLEGGGVYFGVPLFSYTELEEATSNFDSKNELGDGGFGTVYYGKLRDGREVAVKRLYENNYRRVAQFMNEIEILTRLRHKNLVTLYGCTSRRSRDLLLVYEYIPNGTVADHLHGDRSKSSPLTWPVRMSIAVETASALAYLHASDIIHRDVKTNNILLDDNFCVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLIELISSMPAVDINRHRHEINLANLAVHKIQKCEFDELIDPSFGHKSDAEVKRMTIAVAELAFRCLQQDKEMRPTMDEVLEELKIIESAEYKENQEEMHVDDKPLMNMLPPPSPPDCEDDSLLKNIRLPPSPDTVTAKWASSFSTTPNTSG >Manes.10G043500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4527040:4527993:1 gene:Manes.10G043500.v8.1 transcript:Manes.10G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAKDLNVGLVQEHDDEDETLSFSNLPLNSDSSIDLDDFSKEYQSSTFDQDFFEFFSEDFSASSITDPTDNNIIFCGKLIPPKKATEPHHQKTQTPEIFTPKSINTKKNSNLPWKSQSFSIPSRPTSSYKTCKSFPVDKVSAGKGSYEVDKCDSLRKSSAAVAGKSRWNLFGRFPMEMELRDMKTRQRKRQAEKIIQCEDRSEEMGKNGRRKKVKNLWGLLRVLVCESHQAKGMVNAPFGISQP >Manes.15G190800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28249510:28250451:-1 gene:Manes.15G190800.v8.1 transcript:Manes.15G190800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSVQVLGGSESELLTFEDMELHNVDVNTQVTKFECLLMVAAVATVKWEEQKRNKAVEMDKLPRLLRGKPLKKQEVFLVEEKGFSNKAREFKGEKLLDVASKNYKEKDLHQWRFNIETEQELNQKKNEARNTTAPKRRALLENQERRKRHRKTSSKSENGDLKSSTPLPQPSLPEKFKKLILEMKGTEAKLGRLSMPNKQVLCEFLNEDEKEKLEKDEHLQVKIIDPNLEVSDMNFRQWKLNKPNGSHSLTYVFRTHWNEFKKNNGLKEDDIIQVWGFRVEGKILFALVKAEEG >Manes.12G098700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:24418332:24419504:-1 gene:Manes.12G098700.v8.1 transcript:Manes.12G098700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGQCSKKKGVEPPPSPPPAPPPPPTPPLQTNSNSQYTADLRLYEDACKLDAELQYFDTALHERTNHVIHTLATGVEVRSLSFDSLKEVTDCLLEMNQEVVKFILECKEDIWENEDLFSLVEEDFNNSAKTLDFCTALENCLNRARNSQLIIQVALRQFEEEVELQDGVVEKYVRTLGELNKFKAAGDPFTKEFFVLFQSVYKQQVSMLEKLQLRKRKLDKKLKSMKTWRRVSNLLFVFAFVSVLIFSVVAAAIAAPPVVTALAGALGVPVGSVGKWSNSLWNSYMKAMKGQELVRSLEVGTFIAIKDMDNIWVLVKKLEVETESLSQNASIALMEEDALKLVIDEIKKKLDVFMQTIEDLGEHANKCSRDITQARTVILQRIIRYPDR >Manes.12G098700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:24417381:24420281:-1 gene:Manes.12G098700.v8.1 transcript:Manes.12G098700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEVVKFILECKEDIWENEDLFSLVEEDFNNSAKTLDFCTALENCLNRARNSQLIIQVALRQFEEEVELQDGVVEKYVRTLGELNKFKAAGDPFTKEFFVLFQSVYKQQVSMLEKLQLRKRKLDKKLKSMKTWRRVSNLLFVFAFVSVLIFSVVAAAIAAPPVVTALAGALGVPVGSVGKWSNSLWNSYMKAMKGQELVRSLEVGTFIAIKDMDNIWVLVKKLEVETESLSQNASIALMEEDALKLVIDEIKKKLDVFMQTIEDLGEHANKCSRDITQARTVILQRIIRYPDR >Manes.03G006600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:577090:582152:-1 gene:Manes.03G006600.v8.1 transcript:Manes.03G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVMIMNEIESRAAAAGIDLSLVDLNSIQLPRGENFGIISDDEDVYQEEQLDFETGFGNIIVVDNLPVVPREKFDKLEGVIRKIYGQIGVIKEDGLWMPVDPETQKTLGYCFIEYNTPQEAELAKEKTNGYKLDRSHIFAVSMFDDFEKFMKVPDEWAPPEFRPYVPGENLQHWLTDEKARDQFVISAGSDTEVFWNDARQMKPDPVYKRSYWTESFVQWSPLGTYLATVHRQGAAVWGGSSAFNRLMRYAHPQVKLIDFSPAEKYLVTYSSHEPSNPRDANRIVINIFDVRTGKVMRDFKGSADEFAIGGTGGVTGVSWPIFRWGGGKDDKYFAKIGKNMISVYETETFSLIDKKSLKVENVMDFSWSPTDPILALFVPELAGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKSKRTTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDSPRPDVSFYSMRTAHHTGRVSKLTTLKGKQANALFWSPAGHYILLAGLKGFNGQLEFYNVDELETMATTEHFMATDIEWDPTGRYVATSVTSVHHEMENGFNIWSFSGKLLYRILKDHFFQFLWRPRPPSFLSPEKEEEIANNLKKYSKKYEAEDQDVSLQLSEQDREKRRMLKDEWDKWVNEWKLLHEEEKLERQKLRDGEASDEEEEYEAKEIEVEELLDVAEEVLSFDFGQE >Manes.09G129100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33140454:33142368:-1 gene:Manes.09G129100.v8.1 transcript:Manes.09G129100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLNYLKLALKWHPDKHRGDSTVTAKFQEINEAYKVLSDPAKRSDYDLTGIYEIDKYTLREYLARFKGMILTCNGLGIGHTSMWTQQLTEANEFAEK >Manes.09G129100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33140454:33144938:-1 gene:Manes.09G129100.v8.1 transcript:Manes.09G129100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNENTTQKDYYKILEVDYDATDEMIRLNYLKLALKWHPDKHRGDSTVTAKFQEINEAYKVLSDPAKRSDYDLTGIYEIDKYTLREYLARFKGMILTCNGLGIGHTSMWTQQLTEANEFAEK >Manes.08G058400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6770164:6775819:1 gene:Manes.08G058400.v8.1 transcript:Manes.08G058400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITKLTRVLSYFVNNNSNKILSSTRSEACASGFARYSSSAAAAAVATEVDMDVVGSRGVGLEMLGVKDYEDYRRSLYGEITHKALLVDAAGTLVVPSEPMAQIYRKIGEKYGVQYSEDEILNRYRRAYEKPWGQSRLRYVNDGRPFWQFIVSSSTGCSDSQYFEELYNYYTTEKAWRLCDPDAEKVFKALRKAGVKSAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACELLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRIGVKI >Manes.04G028700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3398051:3398362:1 gene:Manes.04G028700.v8.1 transcript:Manes.04G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.15G042900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3295333:3297231:1 gene:Manes.15G042900.v8.1 transcript:Manes.15G042900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKYLVGIVQLYAWMILFQISFSKFVTFSYSADEKEPELQIGSPTDVKHVAHIGWDDSSASKPSWMNEFQSSSKTSNGSANCEEDLKNSPMLPPSSDTSQIEKPKRKSRRADSTLHSPHRRSTDGSKQSRNQCSSNNSMDSPGGAQITERQMNLSCN >Manes.12G108200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29821327:29823917:-1 gene:Manes.12G108200.v8.1 transcript:Manes.12G108200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDSAYFVGRSEILSWINSILHLNLSKVEEACTGAVHCQLMDSVHPGIVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVNKLIKGRPLDNLEFMQWMKRYCDSVNGGALNYNPLERREASKGGKEASKKCPPSQPSAKSSAAAPKAQPSHNARRNDVSSVNSTNQSVKASKPPPSLSSAYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQCPEIENSTVVAAIKKILYATDDNPSVVAEAQAMISHEQKEALSPIVEVSSEEKMNTDSQKRKYIVNLDVDAITALSPRQRLSEATDVHCSGSPLMTY >Manes.08G007800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1057078:1061198:-1 gene:Manes.08G007800.v8.1 transcript:Manes.08G007800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGFLQVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGVTVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINPSARNIIASAPTAKELVMKLEEYVPSHEMVASKLSWEMNQLDYLSEL >Manes.08G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1057078:1061930:-1 gene:Manes.08G007800.v8.1 transcript:Manes.08G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGTEIRQTSKFKRICVFCGSSQGKKSSYQDAATELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGVTVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINPSARNIIASAPTAKELVMKLEEYVPSHEMVASKLSWEMNQLDYLSEL >Manes.01G102400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30215132:30218164:1 gene:Manes.01G102400.v8.1 transcript:Manes.01G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLLLVCIFVSTAAQNSSPYTPTDLILINSGSSSDDASQDGRRWNGDAQSKFCPFNSQTSPPSGASQQHPSVDRVPYMTARVIHSKLTCTFPVLPGPKFIRLYFYPDTYSGLNTSASFFTVTANNYTLLSNFSAYLAVFAQIQARPYLFKEFIVTVWDNQELELTFTPSPGSFAFINGIEIVSIPNGLYSTDMANLYTFVNSESNPFFFFDNSTSLETVYRLNVGGGLVANINDTGMFRTWYDDSNYIFGGPGLVHKWRDDVTIKYTEDTPAYTAPATVYISKRSMGAEPSINLNYNLTWRFPVDSGFNYLVRLHFCETENFITGESQRIFFIFINNMTAEEEADVFHWSGGKGIPVHRDYVIAVPGGSPSNQDLWLALHPNNHSHPIYADAILNGLEILKLNDSDGNLAGPNPELPAPPEPHPISEGRTKRKGSSHVVVIVGAVVGVVFALTIVLLFSVYRRKPRAKDKSSRLPFSYTPSSFTTTSALPTDLCHKFTIAEIRGSTRNFDDQAVIGSGGFGTVYKAYIENGSIPVAIKRLDSTSKQGIREFHTEIEMLSNLRHAHLVSLIGYCDDEGEMILVYEYMQHGNLRDHLYNTKSPPFPWRQRLQICIGAARGLHYLHTGAKYVVIHRDVKSSNILLDRNWVAKVSDFGLSRKGPTGEDQTHVSTAVRGSFGYLDPEYYRRQQLNEKSDVYSFGVVLFEVLCARQPVISHLTKEEIVLVDWARKHYRKGALDQIIDPKLKGDIKLVSLNKFGEIADSCLRDTPTERPTMCDVVWGLEFALQLQETAEKIVDGAVIMSEDQMGSSVTREVITKDDDDEAFTVSGGPMSDSRTTISIGERNSSNKDDEELRSRTVFSEIVNPQGR >Manes.17G080100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28513944:28514580:1 gene:Manes.17G080100.v8.1 transcript:Manes.17G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYKQKKNQPAKGKRLLISVTVLGSAGPIRFVVNEEEVVTAVIDTALKSYAREGRLPVLGSDLNDFFLYCPNAGSGALSPWETIGSLGCRNFMLCKKPQPVKVDNNARSNSAITQKASGSWKSWINKSRSLKISSH >Manes.02G179001.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146413:14151773:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.02G179001.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146413:14151785:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.02G179001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146196:14152099:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.02G179001.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146413:14151773:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.02G179001.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146348:14151773:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.02G179001.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146413:14151773:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.02G179001.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146348:14151773:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.02G179001.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146196:14152099:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.02G179001.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14146413:14151785:1 gene:Manes.02G179001.v8.1 transcript:Manes.02G179001.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGGMVPGGAPFGGLDLQGSIRLSHQAQYAHAVHQQQHPVQRQGSLIHPSVHEAFPLTIGTVNNSDQAISMTNYNKGDKGKILASDEDEPSYTDDGADGHNEASRGKKGSPWHRVKWTEKMVRLLITAVSYIGEDMCSDCEGGMRRKLAVLQKKGKWKLVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDVLGRGTSCQVVENPALLDVIDYLTDKEKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSKDDHDKDDMKRYQQVDLDEDDQEVETDDHDEFEGSPTSRGDSKGIYGISGGSMKRLRHGQGHEDACFGNSSQDGNKGSYSHLQIGQVDMNQMSSEITRAAWSQKQWLESRTLQLEEQKLQIQLEMLELEKQRVKWKRFSKKRDHELENMRMENERMKLENERMALELKRKEFGVDFN >Manes.09G161200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35597216:35602229:1 gene:Manes.09G161200.v8.1 transcript:Manes.09G161200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSVPLTTTDIQIVDGAQRNSTLICAPVMGESVDQMLVQMKKAKELGADLVEIRVDFLKNFSPRQNLEVLVKQSPLPTLITYRPKWEGGQYDGNENKRQEALRLAMELGSDFIDVELKVAQEFFSSIQGKKPEKVKIIVSSHNYDRTPTVEEIGELVARIQATGADIVKIATTALDITDNARMFQVLVHSQVPMIGLVMGERGLISRILAAKYRGFLTFGSIEAGVVSAPGQPSIKELLDLYNIRLIGADTKIHGVIGNPIGHSKSPHLYNAAFKSVCFNGIYLPLLVDNVANYISTFSSPDFVGYSYTIPHKEAGLKCCDEVDPIAQAIGAISCMIRKPTDGKLMGYNVDYLGAIGAIEEALQGSNGSPASGSPLAGKLFVVMGAGGAGKALAYGGYEKGARVVVANRTYEKAKELASKVGGEAMTLAELKEFHPEEGMILANTTSVGMKPRIHDTPLPKEALKHYSLVFDAIYTPKMTRLLTEAQESGATIVYGTEMFINQAFVQFERFTGFPAPKQLIRDVLARNT >Manes.05G157900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27075286:27078785:1 gene:Manes.05G157900.v8.1 transcript:Manes.05G157900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFVREFREIREDISTLSIGKLHTKGMGRRGRAHIAPEGGSELPFEVSGQSPWANLPPELLHDIIRRVEAKETSWPARRDVVACASVCKSWREITKGIVKTPEQCGYLTFPISIKQPGPRDVPIQCFIRRERATSTYLLYLGLSPALSGDMSKLLLAAKKVRRATSTNFRISLVGSDFCQTSNTYVGKLKSNFLGTKFTIYDSQPPHPAMQSNCKPHRKIHSVQVSVLVPARIYNVATVSYELNILRTRGPRRMQCTMHSIPISSIEVGGTTPTPTEFISSSDEQIPPSSISKSKKSLIGCSSTSLDGSNEQICIRKDPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVELGQNVPPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Manes.05G157900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27075286:27078785:1 gene:Manes.05G157900.v8.1 transcript:Manes.05G157900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFVREFREIREDISTLSIGKLHTKGMGRRGRAHIAPEGGSELPFEVSGQSPWANLPPELLHDIIRRVEAKETSWPARRDVVACASVCKSWREITKGIVKTPEQCGYLTFPISIKQPGPRDVPIQCFIRRERATSTYLLYLGLSPALSGDMSKLLLAAKKVRRATSTNFRISLVGSDFCQTSNTYVGKLKYVIWHSYTYITAFFLHATECQTLNRSNFLGTKFTIYDSQPPHPAMQSNCKPHRKIHSVQVSVLVPARIYNVATVSYELNILRTRGPRRMQCTMHSIPISSIEVGGTTPTPTEFISSSDEQIPPSSISKSKKSLIGCSSTSLDGSNEQICIRKDPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVELGQNVPPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Manes.05G157900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27075286:27078785:1 gene:Manes.05G157900.v8.1 transcript:Manes.05G157900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFVREFREIREDISTLSIGKLHTKGMGRRGRAHIAPEGGSELPFEVSGQSPWANLPPELLHDIIRRVEAKETSWPARRDVVACASVCKSWREITKGIVKTPEQCGYLTFPISIKQPGPRDVPIQCFIRRERATSTYLLYLGLSPALSGDMSKLLLAAKKVRRATSTNFRISLVGSDFCQTSNTYVGKLKYVIWHSYTYITAFFLHATECQTLNRSNFLGTKFTIYDSQPPHPAMQSNCKPHRKIHSVQVSVLVPARIYNVATVSYELNILRTRGPRRMQCTMHSIPISSIEVGGTTPTPTEFISSSDEQIPPSSISKSKKSLIGCSSTSLDGSNEQICIRKDPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVELGQNVPPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Manes.05G157900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27075286:27078785:1 gene:Manes.05G157900.v8.1 transcript:Manes.05G157900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFVREFREIREDISTLSIGKLHTKGMGRRGRAHIAPEGGSELPFEVSGQSPWANLPPELLHDIIRRVEAKETSWPARRDVVACASVCKSWREITKGIVKTPEQCGYLTFPISIKQPGPRDVPIQCFIRRERATSTYLLYLGLSPALSGDMSKLLLAAKKVRRATSTNFRISLVGSDFCQTSNTYVGKLKSNFLGTKFTIYDSQPPHPAMQSNCKPHRKIHSVQVSVLVPARIYNVATVSYELNILRTRGPRRMQCTMHSIPISSIEVGGTTPTPTEFISSSDEQIPPSSISKSKKSLIGCSSTSLDGSNEQICIRKDPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVELGQNVPPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Manes.05G157900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27075286:27078785:1 gene:Manes.05G157900.v8.1 transcript:Manes.05G157900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGFVREFREIREDISTLSIGKLHTKGMGRRGRAHIAPEGGSELPFEVSGQSPWANLPPELLHDIIRRVEAKETSWPARRDVVACASVCKSWREITKGIVKTPEQCGYLTFPISIKQPGPRDVPIQCFIRRERATSTYLLYLGLSPALSGDMSKLLLAAKKVRRATSTNFRISLVGSDFCQTSNTYVGKLKSNFLGTKFTIYDSQPPHPAMQSNCKPHRKIHSVQVSVLVPARIYNVATVSYELNILRTRGPRRMQCTMHSIPISSIEVGGTTPTPTEFISSSDEQIPPSSISKSKKSLIGCSSTSLDGSNEQICIRKDPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVELGQNVPPEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Manes.04G107300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31192013:31192591:1 gene:Manes.04G107300.v8.1 transcript:Manes.04G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISMATKSSSSSSTRRTCLCSPTTHPGSFKCSPHRNSRGIYANSTAHINRMEPSSLSKTATTTASSKSKNMVMMASKANVIKAFLMQIIKPSSHDLQRRRNFKPKPTRFCPLNGNGHYGVTVS >Manes.14G081400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6784113:6788714:1 gene:Manes.14G081400.v8.1 transcript:Manes.14G081400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLSEDRSEIVFFDLETTVPTRTGQGFAILEFGAILVCPRNLEELRSYSTLVRPANPSLISTKSVRCNGITADAVRSAPTFAEIADTVYDILHGRIWAGHNITRFDCVRIREAFAEIGRSSPEPKGIIDSLALLTQRFGRRAGDMKMASLATYFGLGKQTHRSLDDVRMNLEVLKYCATVLLLESSLPNEFSEKSSVSPSATTRSRKNGKSSLEGPGISIDAPSSSSKFENASPEYHEDKEGDSFHSPQTSFASNTSQSDPFDMSALSNEMHTDSLQQDAAMEENPITESSEMPSAVTDPGSSSGNARFLEPSEVIVSSIRACFATFINGGRRMILLYEHAILQLCCHHLRVRFGLSTKFADHAGRPRLSFVVDASPILCGVLGACDDVARKLFLESGSSSEWRPVVNRKPGFFNCPTVRFHIPTAVNEGGAKYATEMYQKDASGTVQKLIFSKFDAAELDTWFSLGTFLDAFYSLHPYDYQQSAGIRLVAKKLIIHKE >Manes.12G116600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31821101:31822325:1 gene:Manes.12G116600.v8.1 transcript:Manes.12G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMFGGLGGEGGGAIKVIASNGGILEFSGPITAGCITNEFPGHAIYPSHDLFWRPLSIHEDLLAGHSYYLLPLNNTKIGGQVVREGHVRSKSIPSSFVTPYRMSFDYQGMLKRSSTESFSRCNNNGFWKVKLVISPEQLLEILSQETQTEELIENMRAVAKCGNGILSSAGFSDQWSLSSSRNASSKQDGLSDI >Manes.11G165400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32994560:32995758:-1 gene:Manes.11G165400.v8.1 transcript:Manes.11G165400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKSCSHHHERKKLYLRIFWSVIAIFVIIALVILLVWAILQPKKPTFILQDATVNALSLSGSNFLTSNIQVTISAKNPNERIGIYYEKLDIYASYRNQQITLATELPRSYQGHKDITIWSPFLYANSVPVSPYLAAALGQDLNAGAVLVNIKVDGTLKWKVGSWISGTYRLNVNCPAYMTFGNRFHGIVDGVGIKYQFVQGCSVEVAPS >Manes.08G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37304457:37305137:-1 gene:Manes.08G136100.v8.1 transcript:Manes.08G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYDPMAKNLSSSSWSPSLHGSDTLEELQHMPLAPPMWKNKKRLSKQLSMCETRRDRAWEKRRRQILRQERRKNNIIDSDDLTDEDLNELKGCIELGFGFNEEEGHQLCNTLPALDLYFAVNRQLSPSPSSTPQSGGSMSRSSSSLGRRSSSFGSSPNESDWKICSPGDDPQQVKTKLRHWAQAVACSVMQSY >Manes.18G139760.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:17054684:17056740:1 gene:Manes.18G139760.v8.1 transcript:Manes.18G139760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEIEQVAENALFGKYELGKLLGCGAFAKVYHARNIRTGQSVAIKIINKKKIANTTLMSNIKREISIMRRLNHPHIVKLYEVLASKTKIYFVMEFVKGGELFAKVAKGRFSEDLSRKYFQQLISAVAYCHARGVFHRDLKPENLLLDESGNLKVSDFGLSAVTDQIRTDGLLHTLCGTPAYVAPEILAKKGYDGAKVDIWSCGVILFVLTAGYLPFNDPNLMVMYKKIYKGEFRCPKWMSPDLKRFLSRVLDTNPQTRITVDEILKDPWFKRGGLKEIKFYDDYVGIDDTDKTDKQEPDVTNLNAFDLISFSSGLDLSGLFDDSYNAVEDGDRFVSSESPEKLVQKVEEFAKAERLRAKRKKEWAFEIEGRNGNFGMEVEVYRLTENLAVVEARRRGGEAGCFKQMWKNKLKPELSGLTVSQPGTQVAGNC >Manes.02G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11157095:11159670:1 gene:Manes.02G145400.v8.1 transcript:Manes.02G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFQSCYEFVHNDPSVVNFKDLDAGESIVSSSSRSEKKSTDACKSHKEAERRRRQRINAHLSTLRTLLPNATKTDKASLLAEVVHHVRELRKQAARVVCPDRDGFCSASSSTGGGGSEPEKYCAFPGESDEATLSYCDGEAKMMRVSICCEDRPGLNRELSQAIRLVRARAVRAEMMTVGGRTKSVVVLQWSSGGGGDEDVGILRRALKAVVENRVSGSGLGLVVHGIKK >Manes.12G147800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36661466:36664016:1 gene:Manes.12G147800.v8.1 transcript:Manes.12G147800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVTCTVILTSKTTQACGRQPSQLIFSRIVGFLSLTTLVPKQFNFFLYQWLGRLASPSRLKLPTIKATAQYPVSPKPYFQFHCPKASLFTGNDDPRPLSFCSSKRKLNLAILALIITESLPNTSKTILAVELEQLQRYTDPKEGFTLLVPSSYAKVDKAGATVLFEEINKASNNVGVVVTSLGEFGTPQFVADKLIQAEKRKVPFPFIALIIMVKTPTRFFNAFNAGCNFASGCGIVDS >Manes.02G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7445912:7449257:-1 gene:Manes.02G095300.v8.1 transcript:Manes.02G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELREMKGGIGSISRRGVEGKHWHGRARSHIAPDETPPESEPIQQGQWANLPPELLLDIIRRVEESETSWPARAVVVSCALVCRSWREITKEIVKTPEQSGRLTFPISLKQPGPRESPIQCFIRRDAATPTYRLYCGLVPSENESDKLLLAAKKVRRATCTDFIISLVANDFSRANNTYVGKLRSNFIGTKFTMFDSQSSCELPTQPASRTHRRFHCRQVSPKLPACNYSIGTITYELNVLRTRGPRRMQCVMHSIPISSIEEGGSAPTPTSFPETYDDRCSLSAGPKGKEQILDISSGSPSESPVIVQGSGEPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVSATEPSHNIPAEEQEKVILQFGKIGKDIFTMDYSYPLSAFQAFAICLSSFDTKPACE >Manes.16G039950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5220454:5224881:-1 gene:Manes.16G039950.v8.1 transcript:Manes.16G039950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYPAVHNESRNSPFILFMKYAEKSIAGNPDTCSTFKSKLEKLPDNVVAIASHTQTDNRKEKSHPGGFLFTKFGCNQTALLDLAFPDSFGRLHDRGKEVPKATKVLTNLFRNKAVIHMPQDELLLPSWKHQLDRDAETLKMKGNFNHLSAVRNLSYTKPLFVCRK >Manes.02G089000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7000461:7002500:-1 gene:Manes.02G089000.v8.1 transcript:Manes.02G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKLGGREGGREMGTICAERNKDEEEEMAPLPGFRFHPTDEELVGFYLRRKVENKPITLQLIKQIDIYKYDPWELPKVSSAVADSNKERYFFCIRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSSSSSAAAIKESTECIGLKKSLVYYRGTAGKGTKTDWMMHEFRLPLNTKTAATITQQAEVWTLCRIFKRIPSGKRYTPVDSKQTTKKPNPSGSNSKTCSSDQKQVSFGDSLSLVQTNQRTNLFLGQLNSINHQASFTATHSSFCNPNVDDILANGNWDELRTVVELALDPRSSDCK >Manes.02G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2470777:2473022:1 gene:Manes.02G030000.v8.1 transcript:Manes.02G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSIVPLLPSKRRPSVFEQNIPLPPSTRKPKKRIGPVARLFGPSIFEASKLKVLFVGVDEKKHPAKLPRTYTLTHSDITARLTLAISQTINNSQLQGWSNKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLFARLRYFIFCKELPVVLKAFVHGDGNLLNNYPELQEALVWAYFHSNIPEYNKVECWGPLKNAAAPSSGICGPQENNQKTTSASNWDLPEPCKEECECCFPPMSVIPWSEKPHIATETNEGTQQSFQQAAQEP >Manes.04G086100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28757691:28764705:-1 gene:Manes.04G086100.v8.1 transcript:Manes.04G086100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKAPRRTLDSYTLKHINKTIKAGDCVLMRPSDPSNSSYVARIERIESDARGANVRVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDIQSADTIEAKCTVHSFKSYTKLDAVGNEDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDCILAEIQEGREFRGNNLKVCPLLSAMMELIQFRSHLCCPIGI >Manes.04G086100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28757691:28764705:-1 gene:Manes.04G086100.v8.1 transcript:Manes.04G086100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKAPRRTLDSYTLKHINKTIKAGDCVLMRPSDPSNSSYVARIERIESDARGANVRVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDIQSADTIEAKCTVHSFKSYTKLDAVGNEDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIEMTAEEAKRLDHFFCENCSSEGQKKLQNSHATSRTQDTKVETKRRRR >Manes.04G086100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28757691:28764705:-1 gene:Manes.04G086100.v8.1 transcript:Manes.04G086100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKAPRRTLDSYTLKHINKTIKAGDCVLMRPSDPSNSSYVARIERIESDARGANVRVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDIQSADTIEAKCTVHSFKSYTKLDAVGNEDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWSHMECNLEIYIFVWKLEMLIF >Manes.02G168500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13253146:13255386:1 gene:Manes.02G168500.v8.1 transcript:Manes.02G168500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSSNRQVTYSKRRNGIIKKAKEITVLCDAQVSLVIFASSGKMHEYCSPSTTLIDILDRYHKQSGKSRLWDAKHENLSNEIDRIKKENDNMQIELRHLKGEDITSLHHQELMVIEEALDNGLASVRDKMMEYYNMKKTNDEILEDMNKRLRFFWQQQEMAMEENVREMENPYHQQRVRDYNSQMPFAFRVQPIQPNLQERM >Manes.02G168500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13253146:13255386:1 gene:Manes.02G168500.v8.1 transcript:Manes.02G168500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSSNRQVTYSKRRNGIIKKAKEITVLCDAQVSLVIFASSGKMHEYCSPSTTLIDILDRYHKQSGKSRLWDAKHENLSNEIDRIKKENDNMQIELRHLKGEDITSLHHQELMVIEEALDNGLASVRDKMMEYYNMKKTNDEILEDMNKRLRFFWQQQEMAMEENVREMENPYHQQRVRDYNSQMPFAFRVQPIQPNLQERM >Manes.05G017800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1655969:1657326:1 gene:Manes.05G017800.v8.1 transcript:Manes.05G017800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLHHSSLSLSHHFKLQQHISGSSSRQGKIPRIGFWLMGRYKENEEYCETKDHEEPMKIVKACMPCLWSFMVSLSGGLILGYWEYEYHLTNSQLWMVPLGLILLVTPMIISAALLVSEICNSEDGNSKRSQPVSSLDDLEKYLK >Manes.02G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1066884:1069340:1 gene:Manes.02G010100.v8.1 transcript:Manes.02G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTLFFLFFIKVSLALQFCEDLCSSIGPGVRFPFGLDSDGCSYPGFNLSCNEKGLTILNLPLSGNFIVQHIDYQAQEIRIRDPGNCFAKRLLHKLSLQGSPFVRVFNRAFSFLNCSSNFSTSAPLPRPARLINCLSNNDFTVVAMPVSSEGDLPSIPSCTVMKEIVDVPVFWPRYPEGESSLIWTTPDCVGCEQNGGTCGFKDSGSLEIECFNLPSTDRVLPRSAKYGIIIGVGIPALLCMIGLGSYLCSRLRNYSRSHPSSTEVNTTFANPPSISISGLDGPTIESYPKILLGESRRLPKPNDNTCPICLSEYQPKETLRTIPECNHYFHADCIDEWLKMNATCPLCRNSPDISYISANPSSLLSASPSSLSSGT >Manes.12G158900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36640025:36643068:1 gene:Manes.12G158900.v8.1 transcript:Manes.12G158900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQPMSSTTTCTAIMRQTSSSSSTITTTVTMENPGQQQQQQQPQSLVLRLNVCSDGSEEGGSKGKGGVIRKKKKVTWKEGTVDNEFMKKKSSKKCCIFHKEKPFDEDYSDDDDDHNHDHKSDGACSSKGNCGNLNSVAG >Manes.18G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2617398:2630264:1 gene:Manes.18G031300.v8.1 transcript:Manes.18G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDMELRRACEAAIEGTKQRIVMSIRVAKSRGVWGKSGKLGRQMAKPRVLAISTKAKATRTKAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVITNDPSGCTFTLGFDNLRSQSVSPPQWTMRNVDDRNRLIFCILNICKDVLGRLPKVVGIDVVEMALWAKENTPAVTKERHQQDGPVTTRVAESDLKVSVEKELVSQAEEEDMEALLDTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLIDEVLQGLEVATNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNKALIEELDKLLERLRVPSEYSACLTGGSFDEAHMLQNIEACEWLAAGLQGLQVPPLDSTFAKMRAVKEKRGELEIIKATFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCCSLNLLLRREAREFANELRASTKTSRNPTVWLEASTASNQSAHAADTSSVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGIANGNRSGNYNDSANDDDDDLGIMDIDENDNKAGKNSADLAALNEALQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTDLKYSDIFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARRIEDLMYTITPEEIPFQLGLSKMDLRKMLKSSLSGVDKSISAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYESFVQLVTKIYPNETIPSATEMRDLLASM >Manes.11G027500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2682807:2685997:-1 gene:Manes.11G027500.v8.1 transcript:Manes.11G027500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL6 MDSTRSSLEKSSSYFSPSERLCAVLKGIIETVIFNFLGCFNFHRLPPKPHYSFNDLDRIASTTLFSVNEVEALLDLFKKLSSSIVDDGLLHREELRLALLRTPASKNLFLDRIFDLFDEKKNGAVDFEEFAHALNIFHPRASLEDKIDFAFRLYDLRETGYIEREEVRQMLNAIILESDLQLSEEYLEAIIDKGYHHSISEFYFQH >Manes.11G027500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2682807:2685997:-1 gene:Manes.11G027500.v8.1 transcript:Manes.11G027500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL6 MDSTRSSLEKSSSYFSPSERLCAVLKGIIETVIFNFLGCFNFHRLPPKPHYSFNDLDRIASTTLFSVNEVEALLDLFKKLSSSIVDDGLLHREELRLALLRTPASKNLFLDRIFDLFDEKKNGAVDFEEFAHALNIFHPRASLEDKIDCPANVKCHYSGI >Manes.11G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2682807:2685997:-1 gene:Manes.11G027500.v8.1 transcript:Manes.11G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL6 MDSTRSSLEKSSSYFSPSERLCAVLKGIIETVIFNFLGCFNFHRLPPKPHYSFNDLDRIASTTLFSVNEVEALLDLFKKLSSSIVDDGLLHREELRLALLRTPASKNLFLDRIFDLFDEKKNGAVDFEEFAHALNIFHPRASLEDKIDFAFRLYDLRETGYIEREEVRQMLNAIILESDLQLSEEYLEAIIDKTFADADIDEDGKINREEWKAFVVQNPTLLKHMTLPSLTDITTAFPSFIFSTEVDD >Manes.11G027500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2682807:2685997:-1 gene:Manes.11G027500.v8.1 transcript:Manes.11G027500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL6 MDSTRSSLEKSSSYFSPSERLCAVLKGIIETVIFNFLGCFNFHRLPPKPHYSFNDLDRIASTTLFSVNEVEALLDLFKKLSSSIVDDGLLHREELRLALLRTPASKNLFLDRIFDLFDEKKNGAVDFEEFAHALNIFHPRASLEDKIDFFRSGKC >Manes.02G123600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9424337:9425867:1 gene:Manes.02G123600.v8.1 transcript:Manes.02G123600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDSDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGTYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFSPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMAATFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYEDEEAEYPEEYE >Manes.04G126576.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32871727:32886419:-1 gene:Manes.04G126576.v8.1 transcript:Manes.04G126576.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLIGCCKTTSESDCCQNDTDDISKLPAPPPHQLKEYGYKELADVTGGFSRDYLLGEGGFGEVYEATLDGEKVAIKKLKIVKLENKLEESEFLTCVNHPNIVKMIGLCREGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDRKIIHRDMKADNILLDNNFNAKVADFSLSNFFPDSDNVGHITSIYRGTNVYADTEFGDKQKVCCALDVYAFGVILLELISGRKPTQNNTTIIEWAKSRIGRVLNDNDCKSLVDPKLKDYNKEEMMRMIHCAAASVYKLSSFRPKIKQIIEVLEGNKLAKEIMDRQDIFALLGQTPQNVEIKEYDFRQLAMATNYFSNANLLGEGAFGQTYIATLDGENVVIKRLKRTSSENTLQEMNYLGFARHPNLVKVIGHCSDGSNRLLVLEFVPNNALTYHLYETPKSLDWSERMKIAIHSAKGLEYLHDHCTPQIIHGNLTPNNIFVDNNFEPKMADFGLSIFFTDDNITHIKSDRRAQIYVDFSYKHENDRRGGSEKADVYSFGVILLELITGRGRSVDQRRTILRWSKDRIGQALDNSEFTDLVDSRLQEYDLDEMLRMISCAAASVYKSSRFRPKMKQIVQVLEGSMPWSIVWRENDKTFLTE >Manes.11G099901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22302585:22305550:1 gene:Manes.11G099901.v8.1 transcript:Manes.11G099901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHFLSSPLLSSPGCHIFLLAAIATAAAVDPVIVAAAGRSPLLDAPLAITALPLATAATSATAYQMPRRAVSSRGREHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYAWDESEEGMKRSDEIIEEQK >Manes.S016351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:131365:132177:-1 gene:Manes.S016351.v8.1 transcript:Manes.S016351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.16G109900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31525730:31531361:-1 gene:Manes.16G109900.v8.1 transcript:Manes.16G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTMKDLRGGASVLDMDPKSTVGGGVEDVYGEDSATLDQLVTPWTISVASGYSLLRDPRHNKGLAFTEKERDAHYLRGLLPPVVASQQLQEKKLMHTIRQYQLPLQKYMAMMELEERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFKRPQGLYISLKEKGKILDVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEKLLKDEFYIGLRQRRATGQEYSELLQEFMAAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLAGVIAALKLLGGSLADHTFLFLGAGEAGTGIAELIALEMSKRINAPIEEARKKIWLVDSKGLIVSSRKESLQHFKQPWAHEHEPVNTLLDAVKAIKPTVLIGSSGVGRTFTKEVIETMASLNEKPLILALSNPTSQSECTAEEAYTWTKGKTIFASGSPFDPVEYEGKVFVSGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALAAQVSEENYANGLIYPPFSNIRKISANIAAKVAAKAYELGLATRLPRPENLVKYAESCMYSPVYRNFR >Manes.01G251400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41000288:41002554:1 gene:Manes.01G251400.v8.1 transcript:Manes.01G251400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVSGSMEGEKKYITSEELKQHNKSGDLWISIQGKVYDVSDWVKEHPGGDTPLLNLAGQDVTDAFIAYHPGTAWKYLDKLFTGYYLEDFKVSEVSKDYRRLYSEFAKLGLFEKKGHVALYSLASVAFLFCIVVYGVLWCRSFWAHMGSAALLGFLWIQSAFVGHDSGHYEVMLSRRFNKLAQFISGNCLTGISIAWWKWTHNAHHLACNSLDYDPDLQHIPVFAVSTCLFDSIRSYFYGRKMNFDPLARFLVSYQHLTFYPVMCVARVNLYLQTFLLLFSTRRVPDRALNIMGILVFWTWFPLLVSYLPDWPERVIFVLTSFTVTALQHIQFCLNHFSANVYLGSPNGNDWFEKQTSGTLDISCSSWMDWMYGGLQFQLEHHLFPRLPRCQLRRVSPLVRDLCKKHNLPYRSLSFWEANKSTIRTLRTAALQARDMTNPVPKNLVWEAVHTHG >Manes.02G169000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13318538:13320876:1 gene:Manes.02G169000.v8.1 transcript:Manes.02G169000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPEKPTNKSCRFLISRILVIRKLGSSMAGTSSYMACTKFSMLGWVGGTRELNKRRALSVSAQKQAEVQEAQQVNTQEDGEKQKAKQPTQPRPVEPQVNVKSKNMTREYGGQWLSSVTRHVRIYAAYIDPETWEFDQTQLDKLTLLLDPTNEFVWTDETCQKVYSYFQELVDHYEGASLTEYTLRLIGSDIEHYIRKLLYDGEIKYNMNAKVLNFSMGKPRIEFNNDGQIEDA >Manes.15G160000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13307738:13310319:1 gene:Manes.15G160000.v8.1 transcript:Manes.15G160000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKEPRGEDEESEVCRHDCNPQKKGMLGGDPGNNFWITSNRPTSNRLDTCANRKEDQRGYVIGSNSLEPQDADYSEVPQLNDEVENQILARVPRSEYWKFPLVNKRIFSLTKSGELFKIRRELGVKESSVFIFTTGDSGWWGFDRQFSSRRKLPDLPADSCFSSGDKESVCAGTHLIISGREIDGVVVWRYELETNSWSKGPSMIKPRCLFASASCGPFAFVAGGVTEAGAVLKSAEKYNSDTKTWESLPRMQKKRRLCSGCYMDNKFYVIGGRNEDGRRLTCGEAYDDDKKTWELIPDMLEDTPVATFQSPPLVAVVNDELYSLETSSNELKVYSKRTKTWRKLGPVPVRADSSRGWGVAFKSLGNELLLIGSSTSMASYSGDGMAIYTCCPDAEAEQLQWRPLECGRNRLSNFILNCSVMVA >Manes.16G109066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31430395:31445513:1 gene:Manes.16G109066.v8.1 transcript:Manes.16G109066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISHNSFTGNVPVGLWTSSNLIYLMLSDNLFAGKIPDEVSGNLKRLKISNNRFSGKIPSVASWRNLTVFNASNNLFSGIVPQELTGHPLLTTLLLDRNQLSGAIPSDIVSWKSLTTLNMSQNQLSGQIPMEIGFLPNLLQLDLSGNRFSGQIPPEFSSLKCTFLNLSSNNLTGEIPISLENTAYKSSFLNNPGLCTRSSLLSLNLCHSNIQKSIKSSTQFLALISSVLATAFVLVLLLSLFVIRVYRKKLILNSPWKLTLFQQLDFTESDILPGLTETNLIGTGGSGKVYRVAVQQSGLVAVKRIRSDKKLDQKLEKQFHAEVQILGRIRHFNIVKLLCYICNEDSKLLVYEYMERSSLDQWLHVKKILTNVSGSACLDWPTRFRIAVGAAQGLSYLHHDCSPPIIHRDVKSSNILLDSAFNAKIADFGLAKLLVEKGEASASVMAGSFGYIAPEYANTVKVNEKIDVYSFGVVLLELTTGKEAPLGDEDKCLAKWAWRHMSEGRPIVNALDKEITESSYLDEMIIVFELGVKCTSKVPSDRPSMRKVLQVLVQIQLSRR >Manes.06G057800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15750634:15755106:-1 gene:Manes.06G057800.v8.1 transcript:Manes.06G057800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVNYGGDDEFYSGGSFRTNPIDLGRFYSIGSHVDIYSPPCKRARVSSPFLYGILESEQNKKPSIDVLPDECLFEIFRRIPGGKERSACACVSKHWLLLLSSIRKAEICKSKSTVADRETTVSVSHDVEMVSCDESGEIGSDGYLTRSLEGKKATDMRLAAISVGTSGHGGLGKLSVRGSNSVRGVTNLGLSAIAHGCPSLKALSLWDVPSVGDEGLFEVARECHLLEKLDLCNCPSISNKGLIAIAENCPNLVALNVESCPKIGNEGLQAVGKFCPKLQSISIKGCPLVGDHGISSLLSSSTSVLTKVKLQALNITDFSLAVIGHYGKAVTNLVLSSLQHVSEKGFWVMGNAQGLQKLLSLTISSCRGITDVSFEAIAKGCTNLKQMCLRKCCFVSDNGLVSFVKAAGCLESMQLEECNRVTESGIVGVVSNCGTKLKALSLVKCMGIRDVASGMLGSSPCSSLRSLSIRNCPGFGSASLALVGKFCPQLQHVDLSGLCAITDSGLLPLLESCEEGLVKVNLSGCMSLTDKVVSALARMHGGTLELLNLDGCRRITDASLKSIAENCLFLSDLDLSKCAVTDSGIAVLASADQLNLQVLSLSGCSEVSNKSFPFLKKLGRTLVGLNLQNCSSISSSTIELLVENLWRCDILS >Manes.01G002700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1888401:1889867:1 gene:Manes.01G002700.v8.1 transcript:Manes.01G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSITALLVLSWQVISCVSFTSFIFGDSLVDAGNNNYLFTLSKANSPPYGIDFKPSHGQPTGRFTNGRTISDIVGQELGAKSLPPPYLAPNTQVDAIIGGINYASGASGILDETGFLFIGRVPLGQQVSYFEESRNYMVNVMGENETREFLKKAIFSITTGSNDILNYVQPNIPFLEGDKVSSTELQDFMVSNLTTQLKRLHELGARKFIVVGVGPLGCIPFVRALNLLPRGKCSVTVNELIQGYNEKLRDMLNRLNQEMGPESIFIYANSYDIVLSIILNSRKYGFENGDEPCCGGYFPPFVCFKENNAEASSILCDDRSNYVFWDAYHPTEAANLIIAKELLDGDKGISFPINIRELYNYSF >Manes.13G006400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1114239:1122101:-1 gene:Manes.13G006400.v8.1 transcript:Manes.13G006400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITGKLSFMKTMCLASPLRSFHCPLLWDLGMGTIHFPTILFNYSFHSSTSTFTQTHKDASLRSKFYSASFRDIDDALASFNHIIVLHPLPSIVQFGRFLSAVMRMKQYHTVLSFSKTIESLGISHNIYSLNILINCFCRLHHVDFGFSIFGKILKFGLKPDTVTFNTLINGLCIEGEIKAAVEIFSDMVAGGYQPNVPTYNAIVSSLCKFGKTDMAIGLLKKMVETGCKPDAVTYTAIINALCKDKQVSESLELFSQMKNIAISPDVITYTRLIHGLCNLGKNQALSLLKKMVGHNISPDILTFNVLLDDLCKQGQLTEAQSLNKIMIQRGVEPDVFTYNSLMNGYCLHSQVDQALNLLNEMVERNVSPSVYTSSVLIDALFKEGMVPKVPSHCQYGGSKGHKA >Manes.09G145300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34297679:34301044:-1 gene:Manes.09G145300.v8.1 transcript:Manes.09G145300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRSTKFLLSRTNTNLQSLSHLNPFPPSASTTNKDAYFALIHHITNIVRRDIYPERTLNRLNLPVTSDLVFRVLRACCHSPSESLRFFTWARAHYSPTSVEYEELVKTLARAKLYASMWKLITQMKAQNPKFSISSDTVCSIIQEYGKHGLIDQAVEVFNKCDGLNCLQGVDVYNALLFALCEVKMFHGAYGLIRRMIRKGLVPDKRTYAVLVNGWCCNGKLREAQDFLDEMSKKGFNPPVRGRDILIEGLLNAGYLEAAKKMVTKMTKEGFVPDVNTFNSLIEAICNAGEVEFCVDMYHGVCKLGLCPDINTYRILIPAVSKVGRIDEALRLLHNSIEDGHRPFPSLYAPIIKGMFKRGQFDDAFCFFGEMKVKGHPPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLTPISRCFDMVSDGLKNCGKHDLAKRIEQLEVSLCSV >Manes.09G145300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34297821:34300905:-1 gene:Manes.09G145300.v8.1 transcript:Manes.09G145300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRSTKFLLSRTNTNLQSLSHLNPFPPSASTTNKDAYFALIHHITNIVRRDIYPERTLNRLNLPVTSDLVFRVLRACCHSPSESLRFFTWARAHYSPTSVEYEELVKTLARAKLYASMWKLITQMKAQNPKFSISSDTVCSIIQEYGKHGLIDQAVEVFNKCDGLNCLQGVDVYNALLFALCEVKMFHGAYGLIRRMIRKGLVPDKRTYAVLVNGWCCNGKLREAQDFLDEMSKKGFNPPVRGRDILIEGLLNAGYLEAAKKMVTKMTKEGFVPDVNTFNSLIEAICNAGEVEFCVDMYHGVCKLGLCPDINTYRILIPAVSKVGRIDEALRLLHNSIEDGHRPFPSLYAPIIKGMFKRGQFDDAFCFFGEMKVKGHPPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLTPISRCFDMVSDGLKNCGKHDLAKRIEQLEVSLCSV >Manes.09G145300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34297852:34300905:-1 gene:Manes.09G145300.v8.1 transcript:Manes.09G145300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRSTKFLLSRTNTNLQSLSHLNPFPPSASTTNKDAYFALIHHITNIVRRDIYPERTLNRLNLPVTSDLVFRVLRACCHSPSESLRFFTWARAHYSPTSVEYEELVKTLARAKLYASMWKLITQMKAQNPKFSISSDTVCSIIQEYGKHGLIDQAVEVFNKCDGLNCLQGVDVYNALLFALCEVKMFHGAYGLIRRMIRKGLVPDKRTYAVLVNGWCCNGKLREAQDFLDEMSKKGFNPPVRGRDILIEGLLNAGYLEAAKKMVTKMTKEGFVPDVNTFNSLIEAICNAGEVEFCVDMYHGVCKLGLCPDINTYRILIPAVSKVGRIDEALRLLHNSIEDGHRPFPSLYAPIIKGMFKRGQFDDAFCFFGEMKVKGHPPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLTPISRCFDMVSDGLKNCGKHDLAKRIEQLEVSLCSV >Manes.09G145300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34297679:34301044:-1 gene:Manes.09G145300.v8.1 transcript:Manes.09G145300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRSTKFLLSRTNTNLQSLSHLNPFPPSASTTNKDAYFALIHHITNIVRRDIYPERTLNRLNLPVTSDLVFRVLRACCHSPSESLRFFTWARAHYSPTSVEYEELVKTLARAKLYASMWKLITQMKAQNPKFSISSDTVCSIIQEYGKHGLIDQAVEVFNKCDGLNCLQGVDVYNALLFALCEVKMFHGAYGLIRRMIRKGLVPDKRTYAVLVNGWCCNGKLREAQDFLDEMSKKGFNPPVRGRDILIEGLLNAGYLEAAKKMVTKMTKEGFVPDVNTFNSLIEAICNAGEVEFCVDMYHGVCKLGLCPDINTYRILIPAVSKVGRIDEALRLLHNSIEDGHRPFPSLYAPIIKGMFKRGQFDDAFCFFGEMKVKGHPPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLTPISRCFDMVSDGLKNCGKHDLAKRIEQLEVSLCSV >Manes.14G081800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6807048:6810863:1 gene:Manes.14G081800.v8.1 transcript:Manes.14G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQENFTPQKRASGGGGAGGLPTTTANGRGGRATMPRGRQIHKTFNNIKITILCGFVTILVLRGTIGIGNLTSSEEEVINQNLVEETNRILAEIRSDGDPTDPDEPAEADMNLNVTYTLGPKLSKWDEERKVWLSQNPEFPNYVNGKPRILLLTGSPPNPCDNPIGDHYLLKAIKNKIDYCRIHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDAMFTDMVFEIPLSKYNNHNLVIHGYPDLLFEQKSWIALNTGSFLFRNCKWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLAQKDQWMDKVYIENQYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVEQCLRSMERAFNFADNQVLKLYGFGHRGLLSPKIKRIRNETVTPLEYVDQFDIRHSVHGNSR >Manes.12G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2700436:2705232:-1 gene:Manes.12G031300.v8.1 transcript:Manes.12G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLFISRMSSSRSSISPIPFKSKKYLQSTPKSKPANPITTQTPDKLPAELPPRLRNRRVALSVKEVREIAQGNRDGPHRNQTEQIVKSARRQISTWPEESIGESHKPRNAAVEEGPARLPAKYETLGEFFDSLDSSIRLLKLKGSMSTFTNVSPKIECLTDRRFSHQHLAQLKYILPEAIEIKRVLMFDERTSCMKPDLHVTLNVDAIECNGNLKSESKNLHLRKVFRARLADFYKAHPEGDEVPEEMLPEPFNRSKQDLSSEITKAPTTSSHIETSADAHVGQNPNSSLPVETKPLERQQPAVASHFSRSFRRHFSQKITKCEAANANPNPPKICSQPSILQISQPCFDKISSSEEASASASSLTRMPFESTTDGEKFSAGVHTPCLPATPCKEINSTNYRDNSPKKIGSFQSTPAKLASPSSTLMSMTPALHPPKRCCMSPDDGTTSLADKLTRRPSRTRSLKFETPEKNVVHELNDIEDVSADDDDILNILPESLLQSIREKERKVQEERDPAISQAKKRRQMIACLPKLFNMIHFLFQSIKRTVITKEELIHKIIASHSDIVDRREVEEQLKLLLELVPEWISEKLATSGDLLFCINKLSSPETTRARLEQAK >Manes.08G089400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29956532:29959824:-1 gene:Manes.08G089400.v8.1 transcript:Manes.08G089400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIPTSRSRALPFFAKQFCKLIYQELHVAVRHYSQIIRNHGDEELDANVKPKASTKTNKAKSMARLINSKTWSSELESSLSSLSSSFSKTTVLQVLRLIKTPSKALQFFNWVSQMGFSHNDQSYFLMLEILGRARNLNVARNFLFSIKRKSNGMVKLEDRFFNSLIRSYGRAGLFQESVKLFTSMKSVGVSPSVVTFNSLLLILLKRGRTNMAQSVFDEMLSTYGVTPDTYTFNILIRGFCKNSMVDEGFRYFKEMSRFKCDPDIVTYNTLIDGLCIAGKVKIAHNVVKGMIKKSADLNPDVVTYTTLVRGYCMKQEIDEALVVFQEMVNKGLKPNEVTYNTLIKGLCEVQRIDKIKEILEGALGSGGFTPDTCTFNTLMNAHCNAGNVNEAMSAFERMMELKVQPDSATYSVLIRNLCQRGDFERAEQLFDELLDKEILLRDDGCTPLVAAYKSIFEFLCKNGKTNKAERVFRQLMKRGTQDPPSYKTLIMGHCREGTCEAGHELLVLMLRRDFVPDFETYQSLIDGLLLKGEPLLAYQTLEKMLKSSHVPKTSTFHSILAGLLEKGCARESASFIVLMLEGKIRQNVNLSTHTIRSLFSSGLRDKAFQIVGLLYDNGYVVGMEELIVFLSQNRKLIEAHKMILFCLEKHQNVDSGTCDTVIEGLCKMKKLSEAFRLYYELVEKGHHHQLSCLEDLRVALEAAGRSEEVKFLTKRMPQKWQSDKPAETSSRVQGH >Manes.08G089400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29955051:29959824:-1 gene:Manes.08G089400.v8.1 transcript:Manes.08G089400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSQIIRNHGDEELDANVKPKASTKTNKAKSMARLINSKTWSSELESSLSSLSSSFSKTTVLQVLRLIKTPSKALQFFNWVSQMGFSHNDQSYFLMLEILGRARNLNVARNFLFSIKRKSNGMVKLEDRFFNSLIRSYGRAGLFQESVKLFTSMKSVGVSPSVVTFNSLLLILLKRGRTNMAQSVFDEMLSTYGVTPDTYTFNILIRGFCKNSMVDEGFRYFKEMSRFKCDPDIVTYNTLIDGLCIAGKVKIAHNVVKGMIKKSADLNPDVVTYTTLVRGYCMKQEIDEALVVFQEMVNKGLKPNEVTYNTLIKGLCEVQRIDKIKEILEGALGSGGFTPDTCTFNTLMNAHCNAGNVNEAMSAFERMMELKVQPDSATYSVLIRNLCQRGDFERAEQLFDELLDKEILLRDDGCTPLVAAYKSIFEFLCKNGKTNKAERVFRQLMKRGTQDPPSYKTLIMGHCREGTCEAGHELLVLMLRRDFVPDFETYQSLIDGLLLKGEPLLAYQTLEKMLKSSHVPKTSTFHSILAGLLEKGCARESASFIVLMLEGKIRQNVNLSTHTIRSLFSSGLRDKAFQIVGLLYDNGYVVGMEELIVFLSQNRKLIEAHKMILFCLEKHQNVDSGTCDTVIEGLCKMKKLSEAFRLYYELVEKGHHHQLSCLEDLRVALEAAGRSEEVKFLTKRMPQKWQSDKPAETSSRVQGH >Manes.08G089400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29955051:29959824:-1 gene:Manes.08G089400.v8.1 transcript:Manes.08G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIPTSRSRALPFFAKQFCKLIYQELHVAVRHYSQIIRNHGDEELDANVKPKASTKTNKAKSMARLINSKTWSSELESSLSSLSSSFSKTTVLQVLRLIKTPSKALQFFNWVSQMGFSHNDQSYFLMLEILGRARNLNVARNFLFSIKRKSNGMVKLEDRFFNSLIRSYGRAGLFQESVKLFTSMKSVGVSPSVVTFNSLLLILLKRGRTNMAQSVFDEMLSTYGVTPDTYTFNILIRGFCKNSMVDEGFRYFKEMSRFKCDPDIVTYNTLIDGLCIAGKVKIAHNVVKGMIKKSADLNPDVVTYTTLVRGYCMKQEIDEALVVFQEMVNKGLKPNEVTYNTLIKGLCEVQRIDKIKEILEGALGSGGFTPDTCTFNTLMNAHCNAGNVNEAMSAFERMMELKVQPDSATYSVLIRNLCQRGDFERAEQLFDELLDKEILLRDDGCTPLVAAYKSIFEFLCKNGKTNKAERVFRQLMKRGTQDPPSYKTLIMGHCREGTCEAGHELLVLMLRRDFVPDFETYQSLIDGLLLKGEPLLAYQTLEKMLKSSHVPKTSTFHSILAGLLEKGCARESASFIVLMLEGKIRQNVNLSTHTIRSLFSSGLRDKAFQIVGLLYDNGYVVGMEELIVFLSQNRKLIEAHKMILFCLEKHQNVDSGTCDTVIEGLCKMKKLSEAFRLYYELVEKGHHHQLSCLEDLRVALEAAGRSEEVKFLTKRMPQKWQSDKPAETSSRVQGH >Manes.08G089400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29954486:29959866:-1 gene:Manes.08G089400.v8.1 transcript:Manes.08G089400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIPTSRSRALPFFAKQFCKLIYQELHVAVRHYSQIIRNHGDEELDANVKPKASTKTNKAKSMARLINSKTWSSELESSLSSLSSSFSKTTVLQVLRLIKTPSKALQFFNWVSQMGFSHNDQSYFLMLEILGRARNLNVARNFLFSIKRKSNGMVKLEDRFFNSLIRSYGRAGLFQESVKLFTSMKSVGVSPSVVTFNSLLLILLKRGRTNMAQSVFDEMLSTYGVTPDTYTFNILIRGFCKNSMVDEGFRYFKEMSRFKCDPDIVTYNTLIDGLCIAGKVKIAHNVVKGMIKKSADLNPDVVTYTTLVRGYCMKQEIDEALVVFQEMVNKGLKPNEVTYNTLIKGLCEVQRIDKIKEILEGALGSGGFTPDTCTFNTLMNAHCNAGNVNEAMSAFERMMELKVQPDSATYSVLIRNLCQRGDFERAEQLFDELLDKEILLRDDGCTPLVAAYKSIFEFLCKNGKTNKAERVFRQLMKRGTQDPPSYKTLIMGHCREGTCEAGHELLVLMLRRDFVPDFETYQSLIDGLLLKGEPLLAYQTLEKMLKSSHVPKTSTFHSILAGLLEKGCARESASFIVLMLEGKIRQNVNLSTHTIRSLFSSGLRDKAFQIVGLLYDNGYVVGMEELIVFLSQNRKLIEAHKMILFCLEKHQNVDSGTCDTVIEGLCKMKKLSEAFRLYYELVEKGHHHQLSCLEDLRVALEAAGRSEEVKFLTKRMPQKWQSDKPAETSSRVQGH >Manes.15G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1102225:1104263:-1 gene:Manes.15G012800.v8.1 transcript:Manes.15G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFGEETSIALKCQTWVLKVSIHCQGCKRKVKKVLRGIDGVYTAAVDSQQQRVTVTGNIEVETLIKKLIKIGKHAEIWSEKPALKEKESAKAKAMHNQKDSKKGHDINGRKNSVKFSEEGTCEKTKELGKSPEDSIEAPELPTVKNTDSGNEGGGGVTKSGGKKKKNKGQRGDNGSNHSGSGSPSSGAAAGTGNQTQGMGIEQVVDTNNLHPTRHCSVPLPHPVYGSSYNMAYPRESPGPFYYVPVYTQAQPSRYNQVSPVECLYYFSDENVNGCSIM >Manes.01G061050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25726890:25766094:-1 gene:Manes.01G061050.v8.1 transcript:Manes.01G061050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRPRAVEKGVLVQNLSVSSSGSLHVPPAPVYYPSEDEFKDPLAYIYKIREEAEKYGICKIVPPKSWSPPFALNLDNFSFPTKTQAIHQLQARPASCDSKTFELEYKRFLEHHCGKKLRKRVIFEGEELDLCKLFNAVKRFGGYDKVVKEKKWREVSKFVTSGKKISECAKHVLCQLYFEHLYDYEKYYNRLNKEVTKGCKRGVQEDKKCEDKVETYGSKRRRKNVDGEKFKVSNKVEKGEDEELDQMCEQCKSGLHGEVMLLCDRCNKGWHIYCLSPPLKQIPPGNWYCFECLNSDKDSFGFVPGKHYTVEAFRRVAERAKRKWFGSGLASRVQMEKKFWEIVEGSAGEVEVMYGSDLDTSIYGSGFPRIKDQRPESVEAKVWDKYCGSPWNLNNLPKLKGSMLQAVHHNITGVMVPWLYVGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGNEANSFEKVMRNSLPDLFDAQPDLLFQLVTMLNPSVLQGNGVPVYSVLQEPGNFVITFPKSYHGGFNFGLNCAEAVNFAPADWLPHGGFGADRYQMYHKTAVLSHEELLCVVAKFGNSDSQVSPYLKKELLRIYSREKNRRERLWRSGVVKSSPMPHHKFPEYVGTEEDPTCIICKQYLYLSAVVCHCRPSAFVCLEHWEHICECKSSRLCLLYRYTLAELYDLLLTVDKCGSDEKSQSCSFLRQGSRSSEINVLTKKVKGGHVSLAQLAEQWLLHSYTVFQNSYSSDAFATLLKEAEQFLWAGSEMNPVRGMVKKLIVAQKWAEGIRNCLSKVENWSCHCSFERVQMDYVNELLDFDPLPCNEPGHLKLKKHAEEARPLVQEIDSVLSSCSEIAELELLYSRVCDFPIYIKESEKLLQKISSAKALTECAKKCISGKGSAAVSIDMLYKLKSEISELQVRLPEAEMLLDLLRNAELCQARCNEILKGPISLNNVEGILQEWDKFTVTVPELMLLKQYHLDAVSWNSRCNDVLVNVNQREDQDNVVNELECLLKDGASLRIQVEKLSLIEVELKKACCRKNALEARDSKIPLDFIQQLMMDATALQIESEKLFVDIYGVLAAALSWEDEAAKVLEHGAEMSDFEEIIRRAEKISVILPTLDDVKEAVVIAKSWLKDSEAFLGSSSCMESGSCSMLKLEVLKDLVLRSKLMKITLGEQRKLEMVLRNCEEWKQVACTALHDAGCIMHTDYVGDGKNSDLSMRIGLLVDRMESITKAGLSLGFDFLEIPKLQNACYMLQLCSKALSWCYAAPSLEDVESLMEASENLSIASVSGSLWSSLIGGIKWLRKALEFISVHSNFERCRLSKAEEVLAKSQSMNVSFPVVVDQLANAIQKHKLWQEQVVQFFRQNAEERSWSLLLELKEFGTAGAFGCSELDMVLSEVDKIEKWKEQCGKILGILEDDGNSLLGALQKIKQSLDISLYIYGKLESFTARNIFLCCSGYNEDQEFLSCSICKDCYHVRCVGPLLGMNDTTLYICPYCRFCEDSSVIPNEIFGVRQPELSMLIKLLSDGERFSTGIEEIDTLRQVVEQALECKTCLREILDFELSYLEKDLSVISKKVTIALKVMQVAGVYDYEDNNGLQQALARNSWRVKAKRLLESIQKPTMQQIEHHMKEGLAMNIPAEDYFLQRLSELKHIGLQWADHANKVASDSGTLGLDQVFELISEVENLPVYLEKELQLLQTRSMVYCICRKPYDDRAKVTCDRCDEWYHVDCIKLLCPPKPYVCAACEPQKVELSTSPQMDNERLTSAKSVEPGLSSPMHAESRKKARDTKFSVPVTNSNSIFVRASGIDRLWWRNRKPFRREAKKRSELGSLSPFFHIQE >Manes.03G106000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22968050:22968589:-1 gene:Manes.03G106000.v8.1 transcript:Manes.03G106000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSISEFLPSNPVLHHIFSAAIALMIIILVCITLLPIIIVAITFFILYILFNYFIQPFFLDDDFSNDLRLGVTFDRPQFIYNRHLHSNEQMVDRSSTIMINKLARIQLIDWLSPSLKYENESMKSKYGDCGICLEDYREGDLCRIFPTCKHIFHSNCIDVWLGKNLTCPICRQHILNK >Manes.03G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2131211:2133593:-1 gene:Manes.03G026400.v8.1 transcript:Manes.03G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAKWLKGLFGIKDNGNKDVSDRRDDNRCSTARDSAELCHNPATIPPNISSAEAAWLRSYYNDNEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGAMFGGGRGRSAAVKIQTVFRGYLARKALRALKGLVKLQAHVRGYLVRKQATATLLSMQALIRAQATVRSHRARNLTISDNRIEIRARKSMERFDETRSEHAASIHSRRLSTSLDATLINPHDESPKIVEVDTGGCRPKPRSRRSNTSFSDFSDDPFYQTLSSPLPPRIPPRLSMLDTRKFQDSDWAITGDECRFSTAQSTPRFGDSRGSNAPATPAKSVCADNFFRQCKNSPNYMANTQSSKAKVRSQSAPKQRPEPGPKKKLSLNELMESRNSISGVRMQRSGSQSHEAVNFRNAVLGKLDKRTEFAREPERNYLQRRW >Manes.08G085000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25613807:25617786:1 gene:Manes.08G085000.v8.1 transcript:Manes.08G085000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQVRYSPLPVDETDDDYHDPRFEYRPGAFDKVPWKSITLALFLLFLGSLLLFLSFFILTGHMGGEKSQGYGLLALGILAFLPGFYETRIAYYSWRGAKGYRFASIPSY >Manes.08G085000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25612993:25623280:1 gene:Manes.08G085000.v8.1 transcript:Manes.08G085000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQVRYSPLPVDETDDDYHDPRFEYRPGAFDKVPWKSITLALFLLFLGSLLLFLSFFILTGHMGGEKSQGYGLLALGILAFLPGFYETRIAYYSWRGAKGYRFASIPSY >Manes.11G104340.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23824309:23827931:1 gene:Manes.11G104340.v8.1 transcript:Manes.11G104340.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEENWEAGTIGKINLCKNQNLASEQLPNLTGQIHHLPCSIKHEGPCAVSQYFKPKPTGIELEGLVVEEAYFRGRNLLGATLPLPPGYSGFVLGKKNDKKRKGSDLSEQHSNCWDLNAKFENVTYWNHDSLPSQDDTFLRSLHWLSVAQALHKPVTAEDLASASITTLEKKR >Manes.17G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26487088:26490307:-1 gene:Manes.17G064800.v8.1 transcript:Manes.17G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRIYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Manes.17G064800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26487088:26490315:-1 gene:Manes.17G064800.v8.1 transcript:Manes.17G064800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELELLMMHNRIYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Manes.02G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7466409:7471117:1 gene:Manes.02G095700.v8.1 transcript:Manes.02G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYCALSPSPKSHPFSSTDTRFIAPTRLAQYRSKCLMNRRGFAFKGIVASGVSVMGSSVITEPVEGLERLPYKPEGYNYWTWRGHKIHYVVQGEGLPIVLIHGFGASAFHWRYNIPELAKRYKVYALDLLGFGWSEKAIIEYDAMVWRDQVVDFLKEIVKEPAVIVGNSLGGFTALVAAVGLREQVVGVALLNSAGQFGNPNAETNKSEESILQKLVLKPLKEIFQRVVLGFLFWQAKQPARIESVLKSVYINTSNVDDYLVESITRPAADPNAGEVYYRLMTRFMLNQSKYTLDSALSQLTCPLLLLWGDLDPWVGPAKANRIKEFYPRTTLVNLQAGHCPHDEVPDLVNKALMDWLSSLTPESSSQTL >Manes.13G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34314707:34318564:1 gene:Manes.13G135400.v8.1 transcript:Manes.13G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAYCVKEQKPCVLWIEKYFKDCLCNLKDEFSFGFGLISLVCWGVAEIPQIITNFRTKSSHGVSLLFLITWVFGDAFNLVGCLLEPATLPTQFYTALLYATGTVVLALQGFYYDHFCRWWKCQKVDAGQRVEDDDKKPLKPPKLADSGIPIPNASPGATPRREYYYTSARSMASSGTPPFRSYLKAPRSGPSATGLDNESSSDDEATPVSANPFSPPRPISRSAGYGTFLATSLNLSLQSKALRETSTSFTSSRLSHEGSGTEHSAFGQWLGWLMAAIYMSGRIPQIWLNIKRGSVEGLNPLMFIFAIVANLTYVLSILVRTTEWDRIKANMPWLLDAAACVALDLFIVSQYIYYRSSIKKGGGMRKIMECVDAKKTLS >Manes.13G135400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34314707:34318564:1 gene:Manes.13G135400.v8.1 transcript:Manes.13G135400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAYCVKEQKPCVLWIEKYFKDCLCNLKDEFSFGFGLISLVCWGVAEIPQIITNFRTKSSHGVSLLFLITWVFGDAFNLVGCLLEPATLPTQFYTALLYATGTVVLALQGFYYDHFCRWWKCQKVDAGQRVEDDDKKPLKPPKLADSGIPIPNASPGATPRREYYYTSARSMASSGTPPFRSYLKAPRSGPSATGLDNESSSDDEATPVSANPFSPPRPISRSAGYGTFLATSLNLSLQSKALRETSTSFTSSRLSHEGSGTEHSAFGQWLGWLMAAIYMSGRIPQIWLNIKRGSVEGLNPLMFIFAIVANLTYVLRSYRSISTTDHPSRKEEA >Manes.11G021000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2232996:2246412:-1 gene:Manes.11G021000.v8.1 transcript:Manes.11G021000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLISSALEEICCRGSTGLLLSSLWSTLTPTPAVSLKEYLWSNLISISSLQFFVSGKETPCSATDPEIRRLEDAEKLNLKIVANEHLRDCFVGLYDIPSSGIGPLQRRTLERLAVVRTNGITQNQLAKEFGIEGNYFFYSVKNLECRQLIVRQPVIVKTKEADCEGETKSSSTVSTNLIYLPRYAKHLGVQQRLEINIKERNFGGPGNVEETDVGGDGFEREPSKDDVLIKDFLPAMKAICDKLEEANDKVLVVSDIKQVLGYTRTSGHRAWRNICRRLKDAGIVEEFDAKVNEKVERCLRLLKKFPPSNFENKPLGCHKEKMKFGRRFQQTEQLVELPIDHQIYDIIDAKRTEGATVIEVCERLGIDRKRSDSRLHNLFSRFQMHVQAENHKKSVAFRVWTSDSNTNESNAFLDQSKIDLGGINISTLSVGNHDVLDRSTEAPREYNPSTSEVDSAKLNNRGKNAELSQDSPEDGPTNHELTCHDKVPEFLHESVDGVSNAKHNLGSTEIEPNSASCLSEATLLKLPDSRSSSRHMPLTTDGALREQRILERLQDEKFLLKAELQRWLVSFEKDKDTAMDRKTIDRILNKLQQQGQCRCVHIKLPAVTNCTSRRPTVVVLHPSIQSFPPELLGEIHDRLRSFDKQIRVQALSKLQIKESIPVLNGVMRTQIRRGSDEQAVKAEAMRANGFVLAKMVRAKLLHKFLWGYLSSFPGWNDVLLTGPCERAYKFLALEVALKAVPIELFLKVVGTNNKCGDMIAKSKSGLCLSDLPVEEYKQLMDTQATGRLSLIIDILRRLKLIRLIRNAHSEDGSKSVPETFMHALELRPYLEEPLSMVPTSNLRSLDLRPRIRHDFTLSNGEAVDEYWKTLEYCYAAAVPKAALHAFPGSVVPEVFHPRFWTSIRVMSAQQRTELLNRIAKDDLNKKISCEECVNIASDLNLSLQQVLRAYYSKHLRSLNIFQGVVNANEDQQKPEKKFPSRKRKRPLESSSVKRGRGDGVKEKLSEDASVNLPDIIDQFMEEQEVSPSEQNEDHLAAHHEGDNLESAEEPGSNQSTKCHSVLSQYAFSKSRSTRQRRFSWTDADDRQLLVHYARHRAVLGAKIHKAEWKKIPDLPAPPMTCSRRMHLLKKNTKFRKTLMKLCTMLSERYAKYLEKTQSASLNNNGGRVLMRCSTSEGVDSFSNSVQNAGEAGSEERWDDFSDETIKKTFECVLSYKKKANFQDSTRVGNASKEFCNLNSNVGGYSYVESGLVSSSSVNERIQKDGQGISSQRSRRRRLRQKFIKSLNEGTLVGTQVHSSLAVSNAVELLKLVFLSTSGAPELQNHLAETLRRYSERDLFAAFSYLREKKIMIGGDDGQPFMLSQQFMQSISKSPFPSNTGKEAAKFSGWLHEREKDLTERGINLTADLQCGNILQLFSLVSSDELSISPCVPDEGVGEAEDLRSLKRRVEDDDLCDGDKSKKMRSLADSELISRREKGFPGVSVLVHRAKHTTVNVVELFKDGGRCVDELHRNDKVKDTLGQKISSSSLQRDSAPEFPNFDAIDPAAGWSSESPWEAMVGYAEYLMLKPSDPKQASLFSPDVFRTVFMAIQKAGDQGLSLEEVSQSVGENVHEHIIDVLQAFGYVLKVNAYDTVHAVDALYNSKYFLTSPVGLHQDLDPPSMIKSLQRNENGPSISHSEGYDVVGSTSQREATMSNSHVHKVTILNLPEESVPSIETEKGNLHEGSLQLENNDSETCKLSSNELRVPILPWINGDGSINKIVYDGLVRRVLGIVMQNPGILESCRSLMELMILDKHLIVRKMHQSTSSGSPALLGTLLGSSFRESKSVYRKHLFANPMSASLL >Manes.11G021000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2232996:2246412:-1 gene:Manes.11G021000.v8.1 transcript:Manes.11G021000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAICDKLEEANDKVLVVSDIKQVLGYTRTSGHRAWRNICRRLKDAGIVEEFDAKVNEKVERCLRLLKKFPPSNFENKPLGCHKEKMKFGRRFQQTEQLVELPIDHQIYDIIDAKRTEGATVIEVCERLGIDRKRSDSRLHNLFSRFQMHVQAENHKKSVAFRVWTSDSNTNESNAFLDQSKIDLGGINISTLSVGNHDVLDRSTEAPREYNPSTSEVDSAKLNNRGKNAELSQDSPEDGPTNHELTCHDKVPEFLHESVDGVSNAKHNLGSTEIEPNSASCLSEATLLKLPDSRSSSRHMPLTTDGALREQRILERLQDEKFLLKAELQRWLVSFEKDKDTAMDRKTIDRILNKLQQQGQCRCVHIKLPAVTNCTSRRPTVVVLHPSIQSFPPELLGEIHDRLRSFDKQIRVQALSKLQIKESIPVLNGVMRTQIRRGSDEQAVKAEAMRANGFVLAKMVRAKLLHKFLWGYLSSFPGWNDVLLTGPCERAYKFLALEVALKAVPIELFLKVVGTNNKCGDMIAKSKSGLCLSDLPVEEYKQLMDTQATGRLSLIIDILRRLKLIRLIRNAHSEDGSKSVPETFMHALELRPYLEEPLSMVPTSNLRSLDLRPRIRHDFTLSNGEAVDEYWKTLEYCYAAAVPKAALHAFPGSVVPEVFHPRFWTSIRVMSAQQRTELLNRIAKDDLNKKISCEECVNIASDLNLSLQQVLRAYYSKHLRSLNIFQGVVNANEDQQKPEKKFPSRKRKRPLESSSVKRGRGDGVKEKLSEDASVNLPDIIDQFMEEQEVSPSEQNEDHLAAHHEGDNLESAEEPGSNQSTKCHSVLSQYAFSKSRSTRQRRFSWTDADDRQLLVHYARHRAVLGAKIHKAEWKKIPDLPAPPMTCSRRMHLLKKNTKFRKTLMKLCTMLSERYAKYLEKTQSASLNNNGGRVLMRCSTSEGVDSFSNSVQNAGEAGSEERWDDFSDETIKKTFECVLSYKKKANFQDSTRVGNASKEFCNLNSNVGGYSYVESGLVSSSSVNERIQKDGQGISSQRSRRRRLRQKFIKSLNEGTLVGTQVHSSLAVSNAVELLKLVFLSTSGAPELQNHLAETLRRYSERDLFAAFSYLREKKIMIGGDDGQPFMLSQQFMQSISKSPFPSNTGKEAAKFSGWLHEREKDLTERGINLTADLQCGNILQLFSLVSSDELSISPCVPDEGVGEAEDLRSLKRRVEDDDLCDGDKSKKMRSLADSELISRREKGFPGVSVLVHRAKHTTVNVVELFKDGGRCVDELHRNDKVKDTLGQKISSSSLQRDSAPEFPNFDAIDPAAGWSSESPWEAMVGYAEYLMLKPSDPKQASLFSPDVFRTVFMAIQKAGDQGLSLEEVSQSVGENVHEHIIDVLQAFGYVLKVNAYDTVHAVDALYNSKYFLTSPVGLHQDLDPPSMIKSLQRNENGPSISHSEGYDVVGSTSQREATMSNSHVHKVTILNLPEESVPSIETEKGNLHEGSLQLENNDSETCKLSSNELRVPILPWINGDGSINKIVYDGLVRRVLGIVMQNPGILENDIIRQIGVLNPQSCRSLMELMILDKHLIVRKMHQSTSSGSPALLGTLLGSSFRESKSVYRKHLFANPMSASLL >Manes.11G021000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2232996:2246412:-1 gene:Manes.11G021000.v8.1 transcript:Manes.11G021000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAICDKLEEANDKVLVVSDIKQVLGYTRTSGHRAWRNICRRLKDAGIVEEFDAKVNEKVERCLRLLKKFPPSNFENKPLGCHKEKMKFGRRFQQTEQLVELPIDHQIYDIIDAKRTEGATVIEVCERLGIDRKRSDSRLHNLFSRFQMHVQAENHKKSVAFRVWTSDSNTNESNAFLDQSKIDLGGINISTLSVGNHDVLDRSTEAPREYNPSTSEVDSAKLNNRGKNAELSQDSPEDGPTNHELTCHDKVPEFLHESVDGVSNAKHNLGSTEIEPNSASCLSEATLLKLPDSRSSSRHMPLTTDGALREQRILERLQDEKFLLKAELQRWLVSFEKDKDTAMDRKTIDRILNKLQQQGQCRCVHIKLPAVTNCTSRRPTVVVLHPSIQSFPPELLGEIHDRLRSFDKQIRVQALSKLQIKESIPVLNGVMRTQIRRGSDEQAVKAEAMRANGFVLAKMVRAKLLHKFLWGYLSSFPGWNDVLLTGPCERAYKFLALEVALKAVPIELFLKVVGTNNKCGDMIAKSKSGLCLSDLPVEEYKQLMDTQATGRLSLIIDILRRLKLIRLIRNAHSEDGSKSVPETFMHALELRPYLEEPLSMVPTSNLRSLDLRPRIRHDFTLSNGEAVDEYWKTLEYCYAAAVPKAALHAFPGSVVPEVFHPRFWTSIRVMSAQQRTELLNRIAKDDLNKKISCEECVNIASDLNLSLQQVLRAYYSKHLRSLNIFQGVVNANEDQQKPEKKFPSRKRKRPLESSSVKRGRGDGVKEKLSEDASVNLPDIIDQFMEEQEVSPSEQNEDHLAAHHEGDNLESAEEPGSNQSTKCHSVLSQYAFSKSRSTRQRRFSWTDADDRQLLVHYARHRAVLGAKIHKAEWKKIPDLPAPPMTCSRRMHLLKKNTKFRKTLMKLCTMLSERYAKYLEKTQSASLNNNGGRVLMRCSTSEGVDSFSNSVQNAGEAGSEERWDDFSDETIKKTFECVLSYKKKANFQDSTRVGNASKEFCNLNSNVGGYSYVESGLVSSSSVNERIQKDGQGISSQRSRRRRLRQKFIKSLNEGTLVGTQVHSSLAVSNAVELLKLVFLSTSGAPELQNHLAETLRRYSERDLFAAFSYLREKKIMIGGDDGQPFMLSQQFMQSISKSPFPSNTGKEAAKFSGWLHEREKDLTERGINLTADLQCGNILQLFSLVSSDELSISPCVPDEGVGEAEDLRSLKRRVEDDDLCDGDKSKKMRSLADSELISRREKGFPGVSVLVHRAKHTTVNVVELFKDGGRCVDELHRNDKVKDTLGQKISSSSLQRDSAPEFPNFDAIDPAAGWSSESPWEAMVGYAEYLMLKPSDPKQASLFSPDVFRTVFMAIQKAGDQGLSLEEVSQSVGENVHEHIIDVLQAFGYVLKVNAYDTVHAVDALYNSKYFLTSPVGLHQDLDPPSMIKSLQRNENGPSISHSEGYDVVGSTSQREATMSNSHVHKVTILNLPEESVPSIETEKGNLHEGSLQLENNDSETCKLSSNELRVPILPWINGDGSINKIVYDGLVRRVLGIVMQNPGILESCRSLMELMILDKHLIVRKMHQSTSSGSPALLGTLLGSSFRESKSVYRKHLFANPMSASLL >Manes.11G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2232996:2246412:-1 gene:Manes.11G021000.v8.1 transcript:Manes.11G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLISSALEEICCRGSTGLLLSSLWSTLTPTPAVSLKEYLWSNLISISSLQFFVSGKETPCSATDPEIRRLEDAEKLNLKIVANEHLRDCFVGLYDIPSSGIGPLQRRTLERLAVVRTNGITQNQLAKEFGIEGNYFFYSVKNLECRQLIVRQPVIVKTKEADCEGETKSSSTVSTNLIYLPRYAKHLGVQQRLEINIKERNFGGPGNVEETDVGGDGFEREPSKDDVLIKDFLPAMKAICDKLEEANDKVLVVSDIKQVLGYTRTSGHRAWRNICRRLKDAGIVEEFDAKVNEKVERCLRLLKKFPPSNFENKPLGCHKEKMKFGRRFQQTEQLVELPIDHQIYDIIDAKRTEGATVIEVCERLGIDRKRSDSRLHNLFSRFQMHVQAENHKKSVAFRVWTSDSNTNESNAFLDQSKIDLGGINISTLSVGNHDVLDRSTEAPREYNPSTSEVDSAKLNNRGKNAELSQDSPEDGPTNHELTCHDKVPEFLHESVDGVSNAKHNLGSTEIEPNSASCLSEATLLKLPDSRSSSRHMPLTTDGALREQRILERLQDEKFLLKAELQRWLVSFEKDKDTAMDRKTIDRILNKLQQQGQCRCVHIKLPAVTNCTSRRPTVVVLHPSIQSFPPELLGEIHDRLRSFDKQIRVQALSKLQIKESIPVLNGVMRTQIRRGSDEQAVKAEAMRANGFVLAKMVRAKLLHKFLWGYLSSFPGWNDVLLTGPCERAYKFLALEVALKAVPIELFLKVVGTNNKCGDMIAKSKSGLCLSDLPVEEYKQLMDTQATGRLSLIIDILRRLKLIRLIRNAHSEDGSKSVPETFMHALELRPYLEEPLSMVPTSNLRSLDLRPRIRHDFTLSNGEAVDEYWKTLEYCYAAAVPKAALHAFPGSVVPEVFHPRFWTSIRVMSAQQRTELLNRIAKDDLNKKISCEECVNIASDLNLSLQQVLRAYYSKHLRSLNIFQGVVNANEDQQKPEKKFPSRKRKRPLESSSVKRGRGDGVKEKLSEDASVNLPDIIDQFMEEQEVSPSEQNEDHLAAHHEGDNLESAEEPGSNQSTKCHSVLSQYAFSKSRSTRQRRFSWTDADDRQLLVHYARHRAVLGAKIHKAEWKKIPDLPAPPMTCSRRMHLLKKNTKFRKTLMKLCTMLSERYAKYLEKTQSASLNNNGGRVLMRCSTSEGVDSFSNSVQNAGEAGSEERWDDFSDETIKKTFECVLSYKKKANFQDSTRVGNASKEFCNLNSNVGGYSYVESGLVSSSSVNERIQKDGQGISSQRSRRRRLRQKFIKSLNEGTLVGTQVHSSLAVSNAVELLKLVFLSTSGAPELQNHLAETLRRYSERDLFAAFSYLREKKIMIGGDDGQPFMLSQQFMQSISKSPFPSNTGKEAAKFSGWLHEREKDLTERGINLTADLQCGNILQLFSLVSSDELSISPCVPDEGVGEAEDLRSLKRRVEDDDLCDGDKSKKMRSLADSELISRREKGFPGVSVLVHRAKHTTVNVVELFKDGGRCVDELHRNDKVKDTLGQKISSSSLQRDSAPEFPNFDAIDPAAGWSSESPWEAMVGYAEYLMLKPSDPKQASLFSPDVFRTVFMAIQKAGDQGLSLEEVSQSVGENVHEHIIDVLQAFGYVLKVNAYDTVHAVDALYNSKYFLTSPVGLHQDLDPPSMIKSLQRNENGPSISHSEGYDVVGSTSQREATMSNSHVHKVTILNLPEESVPSIETEKGNLHEGSLQLENNDSETCKLSSNELRVPILPWINGDGSINKIVYDGLVRRVLGIVMQNPGILENDIIRQIGVLNPQSCRSLMELMILDKHLIVRKMHQSTSSGSPALLGTLLGSSFRESKSVYRKHLFANPMSASLL >Manes.16G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9278269:9280118:-1 gene:Manes.16G046100.v8.1 transcript:Manes.16G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSCCRKQKVRRGLWSPEEDEKLINYITTYGHGSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKKGSFSAQEEQIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLISQGLDPKTHNLIPSHQRASNKVAAGNMLQSQQQPFSIITVNLQMTDPSMEMNPPIITLPAAFSPNAIIQRPSSIQTSSVPILTSVDNQNPNILWTVNGRENSLDSSIFPCVSSIQNTPISPSVTPSWFGILDENCFWGHNTIAENFRAPRMDVLQAQGEENNQANEKVDVAEGVQDMDASFDSSSFGLEFVESTFLSSSTCGDLGSMDDLAWNF >Manes.03G179800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30237620:30240449:1 gene:Manes.03G179800.v8.1 transcript:Manes.03G179800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSFFLVFFLKCSFVASLFHPLDPLSPDEINQVRLVVEKSNLGQFPNLTFHLVDVEEPDKAEVLKYISSYKQNKSTPPRRSKVVVRAGGETHELVVDLATGSLMSNDVYTGHGYPPLTFNELFQASKLPLKYPNFIHSIQRRGLNISEVSCVPFTVGWYGEHITKRALRVACFYRGGSVNVFARPIEGISILVDVDSMQITAYIDRFRAPLPKAEGTDFRSRAKPYNSIIYNVSDGGFTIDGHRVRWANWDLHVAFDARAGIVISTASIFDAKMKKFRRVLYRGHVSETFVPYMDPTSEWYYRTFMDVGEFGFGRAADTLQPLVDCPANAAYLDGYEVGADGHVQKMSNVICIFERYNGDVAMRHTDINVPGKVIQTGELEISLVVRMVATLGNYDYVLDWEFKKSGSIKIGVGLTGILEMKATSYTNNNQITTNVYGTLVTENAIAVNHDHYITYYLDLDVDGNNNSFVKAKQLTERVPAFHAQSPRRSYWTVVRETIKTEAEARIQLGLKPTELLMVNPNKKTQLGNQVGYRIINGQPVNSLLSSDDYPQMRAAYTKYQLWVTPYNKSERWAGGFFADRSRGDDGLAVWSLRNRVIEKRDIVVWYTVGFHHNPCQEDFPVMPTLHDGFELRPTNFFESNPLLN >Manes.S044216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1320421:1321233:-1 gene:Manes.S044216.v8.1 transcript:Manes.S044216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGGRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.18G124000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13989842:13997493:1 gene:Manes.18G124000.v8.1 transcript:Manes.18G124000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEVTPGSRKSARISAMEEKARMLAIQKQTKDEAICGGDASITSSSSATAKMGGRKCRSLQEFVTNCVTTSPHQEGETKSEDNASKAEQMENLPSLQGMPSKLTLEVVLDMLQGETHEIFAQPVDPQEVVGYGNIIREPMDFGTMRAKLQEGMYKSLEQFEHDVFLISSNAMKFNSSTTVYYKEARALSKLAQSVFHFLRTNPENFQLGFSRTRRHPGRKPQSEAGGSHSRSAKLANSKDGVSLDDPSIQRTAVSLPQFKPYIGQTNVGNFPESRDGTMLNSSETDRHMTYKSQNSFCWENEKLVSTVYNAPKPTPHVSNAGSKHPESLLNFIRNLGAEAQSAANKKLEKCPAEPPKILSWTPKAPKRPFGETADIRLPSPALRKSAAYLNQVNGGQMPYNIISGPPGSYKGKMVCANGGINISGRQLNLQNSLSGPRPQTTDGGLTDYNVVGGQGPNKSGITNAYGSYRSSDNMDLLATLTQFSGIQTQVNHNPSGTNKVTTGSSSSIAAPAFALNQNKLMERKQPAESWDLPTISYLPALQTEAAKQIPPAQNVVLQQQVASTEVKTMNFVGGAKPEGDQAEAIAQGFWNALRSSATDNKKQPDLNLQL >Manes.18G124000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13989843:13996761:1 gene:Manes.18G124000.v8.1 transcript:Manes.18G124000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEVTPGSRKSARISAMEEKARMLAIQKQTKDEAICGGDASITSSSSATAKMGGRKCRSLQEFVTNCVTTSPHQEGETKSEDNASKAEQMENLPSLQGMPSKLTLEVVLDMLQGETHEIFAQPVDPQEVVGYGNIIREPMDFGTMRAKLQEGMYKSLEQFEHDVFLISSNAMKFNSSTTVYYKEARALSKLAQSVFHFLRTNPENFQLGFSRTRRHPGRKPQSEAGGSHSRSAKLANSKDGVSLDDPSIQRTAVSLPQFKPYIGQTNVGNFPESRDGTMLNSSETDRHMTYKSQNSFCWENEKLVSTVYNAPKPTPHVSNAGSKHPESLLNFIRNLGAEAQSAANKKLEKCPAEPPKILSWTPKAPKRPFGETADIRLPSPALRKSAAYLNQVNGGQMPYNIISGPPGSYKGKMVCANGGINISGRQLNLQNSLSGPRPQTTDGGLTDYNVVGGQGPNKSGITNAYGSYRSSDNMDLLATLTQFSGIQTQVNHNPSGTNKVTTGSSSSIAAPAFALNQNKLMERKQPAESWDLPTISYLPALQTEAAKQIPPAQNVVLQQQVASTEVKTMNFVGGAKPEGDQAEAIAQGFWNALRSSATDNKKQPDLNLQL >Manes.18G124000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13990335:13996800:1 gene:Manes.18G124000.v8.1 transcript:Manes.18G124000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEVTPGSRKSARISAMEEKARMLAIQKQTKDEAICGGDASITSSSSATAKMGGRKCRSLQEFVTNCVTTSPHQEGETKSEDNASKAEQMENLPSLQGMPSKLTLEVVLDMLQGETHEIFAQPVDPQEVVGYGNIIREPMDFGTMRAKLQEGMYKSLEQFEHDVFLISSNAMKFNSSTTVYYKEARALSKLAQSVFHFLRTNPENFQLGFSRTRRHPGRKPQSEAGGSHSRSAKLANSKDGVSLDDPSIQRTAVSLPQFKPYIGQTNVGNFPESRDGTMLNSSETDRHMTYKSQNSFCWENEKLVSTVYNAPKPTPHVSNAGSKHPESLLNFIRNLGAEAQSAANKKLEKCPAEPPKILSWTPKAPKRPFGETADIRLPSPALRKSAAYLNQVNGGQMPYNIISGPPGSYKGKMVCANGGINISGRQLNLQNSLSGPRPQTTDGGLTDYNVVGGQGPNKSGITNAYGSYRSSDNMDLLATLTQFSGIQTQVNHNPSGTNKVTTGSSSSIAAPAFALNQNKLMERKQPAESWDLPTISYLPALQTEAAKQIPPAQNVVLQQQVASTEVKTMNFVGGAKPEGDQAEAIAQGFWNALRSSATDNKKQPDLNLQL >Manes.18G124000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13989842:13997493:1 gene:Manes.18G124000.v8.1 transcript:Manes.18G124000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEVTPGSRKSARISAMEEKARMLAIQKQTKDEAICGGDASITSSSSATAKMGGRKCRSLQEFVTNCVTTSPHQEGETKSEDNASKAEQMENLPSLQGMPSKLTLEVVLDMLQGETHEIFAQPVDPQEVVGYGNIIREPMDFGTMRAKLQEGMYKSLEQFEHDVFLISSNAMKFNSSTTVYYKEARALSKLAQSVFHFLRTNPENFQLGFSRTRRHPGRKPQSEAGGSHSRSAKLANSKDGVSLDDPSIQRTAVSLPQFKPYIGQTNVGNFPESRDGTMLNSSETDRHMTYKSQNSFCWENEKLVSTVYNAPKPTPHVSNAGSKHPESLLNFIRNLGAEAQSAANKKLEKCPAEPPKILSWTPKAPKRPFGETADIRLPSPALRKSAAYLNQVNGGQMPYNIISGPPGSYKGKMVCANGGINISGRQLNLQNSLSGPRPQTTDGGLTDYNVVGGQGPNKSGITNAYGSYRSSDNMDLLATLTQFSGIQTQVNHNPSGTNKVTTGSSSSIAAPAFALNQNKLMERKQPAESWDLPTISYLPALQTEAAKQIPPAQNVVLQQQVASTEVKTMNFVGGAKPEGDQAEAIAQGFWNALRSSATDNKKQPDLNLQL >Manes.18G124000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13990335:13996800:1 gene:Manes.18G124000.v8.1 transcript:Manes.18G124000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEVTPGSRKSARISAMEEKARMLAIQKQTKDEAICGGDASITSSSSATAKMGGRKCRSLQEFVTNCVTTSPHQEGETKSEDNASKAEQMENLPSLQGMPSKLTLEVVLDMLQGETHEIFAQPVDPQEVVGYGNIIREPMDFGTMRAKLQEGMYKSLEQFEHDVFLISSNAMKFNSSTTVYYKEARALSKLAQSVFHFLRTNPENFQLGFSRTRRHPGRKPQSEAGGSHSRSAKLANSKDGVSLDDPSIQRTAVSLPQFKPYIGQTNVGNFPESRDGTMLNSSETDRHMTYKSQNSFCWENEKLVSTVYNAPKPTPHVSNAGSKHPESLLNFIRNLGAEAQSAANKKLEKCPAEPPKILSWTPKAPKRPFGETADIRLPSPALRKSAAYLNQVNGGQMPYNIISGPPGSYKGKMVCANGGINISGRQLNLQNSLSGPRPQTTDGGLTDYNVVGGQGPNKSGITNAYGSYRSSDNMDLLATLTQFSGIQTQVNHNPSGTNKVTTGSSSSIAAPAFALNQNKLMERKQPAESWDLPTISYLPALQTEAAKQIPPAQNVVLQQQVASTEVKTMNFVGGAKPEGDQAEAIAQGFWNALRSSATDNKKQPDLNLQL >Manes.03G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6205600:6206697:-1 gene:Manes.03G059400.v8.1 transcript:Manes.03G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQRLRKAVSDVSSEIFKYGSMDMKAISSSAVLEVKQAECQCCGLKEDCTQDYISKIKGSHSGNWVCGLCSEAVKETLEHGPKMAMQEAVSSHKDFCQKYNTTTRLNPKLSLTCAMRDIAKKSSEKRDSKNSSISKIGRSSSCVPRIDLKK >Manes.10G048800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5369518:5377058:-1 gene:Manes.10G048800.v8.1 transcript:Manes.10G048800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYLFDKEKNEEPKTTKSVFAPSTSTSISMSTDRDVKRSGSDFNSQNVSDFSSESSAKNSFAVLSQRQSNLRVFTSSELKTATKNFSRSLMIGEGGFGSVYRGVIRSSEDSSKKIDIAVKQLSRRGLQGHKEWVTEVNVLGVVEHPNLVKLLGYCAEDDERGIQRLLVYEYMPNRSVQDRLSSRFQTPLPWAARVKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDDQWNAKLSDFGLARLGPSDGLSHVSTAVVGTIGYAAPEYIQTGRLTAKSDVWGYGIFLYELITGRRPLDRNRPKDEQKLLEWVKPHLSNIKKFRLILDPRLEGKYNIKSAQKLAVVANQCLVRQAKARPKMSEVLEMVNKIADATDLGSPLLPLKSLTPKSASEISRREQYKRKLLNLITGEERCLDWRNWKHKATR >Manes.10G048800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5369279:5374827:-1 gene:Manes.10G048800.v8.1 transcript:Manes.10G048800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYLFDKEKNEEPKTTKSVFAPSTSTSISMSTDRDVKRSGSDFNSQNVSDFSSESSAKNSFAVLSQRQSNLRVFTSSELKTATKNFSRSLMIGEGGFGSVYRGVIRSSEDSSKKIDIAVKQLSRRGLQGHKEWVTEVNVLGVVEHPNLVKLLGYCAEDDERGIQRLLVYEYMPNRSVQDRLSSRFQTPLPWAARVKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDDQWNAKLSDFGLARLGPSDGLSHVSTAVVGTIGYAAPEYIQTGRLTAKSDVWGYGIFLYELITGRRPLDRNRPKDEQKLLEWVKPHLSNIKKFRLILDPRLEGKYNIKSAQKLAVVANQCLVRQAKARPKMSEVLEMVNKIADATDLGSPLLPLKSLTPKSASEISRREQYKRKLLNLITGEERCLDWRNWKHKATR >Manes.09G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9002050:9008273:-1 gene:Manes.09G055800.v8.1 transcript:Manes.09G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACVSPYCTPSDTINPMGMLTVLGGRVKMENHLGRIGSLKISDDKNRYLDASQRSNFSYFKCLAKSHSVSPYHNKDSFLDLHPEISMLRGEGNNTATTPRKDTSSGTVTDRFGKESSPSNYSEAKIKVIGVGGGGSNAVNRMIESAMKGVEFWIVNTDVQAMKMSPVFPENRLQIGQELTRGLGAGGNPEIGMNAAKESKVAIEEALYGSDMVFVTAGMGGGTGTGGAPVIANVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMASAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQISITLIATGFKRQEENEGRPLQASQLSPGDGTFGTNRPSSSFTEGSSVEIPEFLKKKGRSRYPRL >Manes.12G124500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33063806:33064823:1 gene:Manes.12G124500.v8.1 transcript:Manes.12G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPQQTLLFFLTKIFFLCSVFLLPRVNSVSFTFNAFNPNMGGISFQGDAFSSSGVLQLSRNQIDNNLTYSAGRASYIRPVHIWDANTGQLTDFTTRFSFIAKDVRDSTIYGDGLTFFLAPVDSEIPPKAVGGYLALFSPENALNVSKANQIVAVEFDSYSNAWDPGYDHVGINVNSIVSVAEVSWKSNIYNGEVVNAWVNYDSVSKNMSVFVSDTQNPVFRGIYSFSYNVDLRDVLPEWARIGFSASTGTAVETNSILSWEFYSTL >Manes.14G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25550596:25552389:1 gene:Manes.14G163300.v8.1 transcript:Manes.14G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDDCKPVMAMVGIQIAYAGVALLSRAAFLRGLNPWVFIVYRQGIGTLIMAPLVCLSRRRNSYWFSSGLRFFAWIFLASLIGGTAYQIFYFEGLYLTSSTVATATSNLTPAITFVLAIILGLEKVTTHSWRSVAKILGAVICVSGAISMALLKGPKLLNTKLLPLNSFSNNEGENWLLGCLLLFGSSSCWSLWMLFQIPISASCPDHLFSSALMGLLATIESAIVAFFLVKDLAAWHLNSFLEIGCCLFAGIAMALSFFVQAWCISQRGPVFTAMFNPLCTVIGTVVAAIFLHEPTYVGSLIGALAVIIGLYVVLWGKAIDFEERKTEMHEKLQEDESRTVEVIVDESLENKNGKVDDLKEPLLSLKSADHR >Manes.17G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22599579:22603648:-1 gene:Manes.17G031400.v8.1 transcript:Manes.17G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHLATHQPNMVQVPCKIPRKNSVQNHHCFMASKKQCLKRLLLFFHITIATSLDIISINQNFTEGHLLVSKGNNFAFGFFSPGNSTNKYLGIWFHEVSKPSIVWVANRNNPVTGSSGILSINPYGNLVLYNDPTQKVPLWSTNVSGEVTESCVVQLLDSGNLVLLQGRNKRWAWQSFDYPTDTLLPGMRLSLNRKTGREHILTSWRSEDDPGNGDYSIKFNPRGIPQIFVYKGSRPHWRASPWPWRTFSDVYNYSYEFNQEEMYFFYNIKDASIIIKVMVDDSGFNKWLRWHNSDSQWKEFWTTPKYRCDFYAQCGPYSKCDPSNPDRYECSCLPGYEPRYPKDWYLRDASGGCVRKRLESSSVCGHGEGFVRVDYVKFPDTSTAAFVGVNMSRMECEEECLRNCSCSAYANVEIAGKESRCTAWYGELMDTADHMDNKYNLYVRVDAIELAANARESNFFLRKGLDVLVLSIVLAWLTILLFGYMWHRRKKKRVAKIKWERELFDPIKGSVYYKNTLVARELGRNSHPPDIEFFNLSTIVAATNNFSPANKLGQGGFGSVYKGELSNGQEIAVKRLSKCSGQGIEEFKNEVMLIAKLQHRNLVKLLGCCIQGGEQMLIYEYLLNKSLDTFLFDQTRKSVLDWRERFSIIMGIARGILYLHQDSRLRIIHRDLKCSNILLDEDMNPKISDFGMARIFTTEQIQEKTNRVVGTYGYMSPEYAVFGKFSIKSDVFSFGVILLEIVSGKKSNGFYQEDSSLSLIGHVWKLWKEERVLEIVDSSVGGSYPLQEAWRCIQIGLLCVQENAVDRPTMSDVVMMLSSETALPSPEQPAFIFRTSCSSSLSLEIGEVEASSSMNEVTIVSFVTR >Manes.09G084300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22293086:22300325:-1 gene:Manes.09G084300.v8.1 transcript:Manes.09G084300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSDFGKMNIKAANEGSSSSVQLDSKEELTESMDKIDIQQSPSGQAGSSSLNFKRKPVVIIVVGMAGSGKTTFLHRLVCHTQAANIRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVISTIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTIIAYVIDTPRSSSPTTFMSNMLYACSILYKTRLPLVLAFNKIDVAQHQFALEWMEDFEAFQAAVSSDHSYTSTLTASLSLVLDEFYKNLKSIGVSAVSGAGMDAFFKAIEASAEEYMETYKADLDKRRAEKQRLEEERRRENMEKLRKDMEKSGGETVVLTTGLKDKEARRDTVMDKEEEEEDDDFERFSEEEEDAIDEDEDEEVVRFSF >Manes.09G084300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22293086:22300325:-1 gene:Manes.09G084300.v8.1 transcript:Manes.09G084300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSDFGKMNIKAANEGSSSSVQLDSKGTVEEKEELTESMDKIDIQQSPSGQAGSSSLNFKRKPVVIIVVGMAGSGKTTFLHRLVCHTQAANIRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVISTIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTIIAYVIDTPRSSSPTTFMSNMLYACSILYKTRLPLVLAFNKIDVAQHQFALEWMEDFEAFQAAVSSDHSYTSTLTASLSLVLDEFYKNLKSIGVSAVSGAGMDAFFKAIEASAEEYMETYKADLDKRRAEKQRLEEERRRENMEKLRKDMEKSGGETVVLTTGLKDKEARRDTVMDKEEEEEDDDFERFSEEEEDAIDEDEDEEVVRFSF >Manes.09G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22293086:22300325:-1 gene:Manes.09G084300.v8.1 transcript:Manes.09G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSDFGKMNIKAANEGSSSSVQLDSKGTVEEKEELTESMDKIDIQQSPSGQAGSSSLNFKRKPVVIIVVGMAGSGKTTFLHRLVCHTQAANIRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVISTIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTIIAYVIDTPRSSSPTTFMSNMLYACSILYKTRLPLVLAFNKIDVAQHQFALEWMEDFEAFQAAVSSDHSYTSTLTASLSLVLDEFYKNLKSIGVSAVSGAGMDAFFKAIEASAEEYMETYKADLDKRRAEKQRLEEERRRENMEKLRKDMEKSGGETVVLTTGLKDKEARRDTVMDKEEEEEDDDFERFSEEEEDAIDEDEDEEVVRFSF >Manes.09G084300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22293086:22300325:-1 gene:Manes.09G084300.v8.1 transcript:Manes.09G084300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSDFGKMNIKAANEGSSSSVQLDSKKGTVEEKEELTESMDKIDIQQSPSGQAGSSSLNFKRKPVVIIVVGMAGSGKTTFLHRLVCHTQAANIRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVISTIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTIIAYVIDTPRSSSPTTFMSNMLYACSILYKTRLPLVLAFNKIDVAQHQFALEWMEDFEAFQAAVSSDHSYTSTLTASLSLVLDEFYKNLKSIGVSAVSGAGMDAFFKAIEASAEEYMETYKADLDKRRAEKQRLEEERRRENMEKLRKDMEKSGGETVVLTTGLKDKEARRDTVMDKEEEEEDDDFERFSEEEEDAIDEDEDEEVVRFSF >Manes.11G126050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28773244:28775691:1 gene:Manes.11G126050.v8.1 transcript:Manes.11G126050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKDISTSGSRGVNRIKQHLAGCYKNMVRCPKCPEDVWNQIQKFMSKKREQKAIMHIENVEVLGGSENEENAIVSKKFKVPQNSNNREPLDSYFSSKLAVLEKNMKQTTIDENNPAKKELRANFFGEMIRTIGNYGRDKCSLMCDGWTVRRGRTLINFLINCLKEKSASSELKLGVLLAGMIEKELLEIGPHKIVQVVTNNTSYAAHCIDLMLEDIFKIRVFKETFRKDVELNDFIFITLGRIHLQKMNIRKIFTLEIEKTVLSPSLWNYVVYALKVFDPLVLDILLDEIEYKETIGSFRQPPAIRGKTTRSPTRRWRTNFQKFAVKVLSLTCSASSCERNWSIFEHLHSKKRNRLAQDQLNSLLYVKYNRALLHRYIFGNRNTPIDLENIDESNEWLIGELEKDNEDDDDDLVFVDDMLTWGNVGRAAGVSELRYKSRSLARSTPFKSSSNKSARSTPVALPQRQTADDDEEEEE >Manes.01G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7161196:7166339:1 gene:Manes.01G036600.v8.1 transcript:Manes.01G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERLDRLLSESASSSEDQSLDLSFSEDGRSGTFVIGNEHFPASLLDLPCVVESYKTYDDCALVKTADIGQMIMVREAGDIAPDAVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMAGGTVENADVEANEQEEDGEQNIRNVSKKTSPAPAAKPDVQEPVANAGEPERSDSDESDDSL >Manes.01G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7161605:7166262:1 gene:Manes.01G036600.v8.1 transcript:Manes.01G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWSQHLILFMEEQFILRVPPSVAERLDRLLSESASSSEDQSLDLSFSEDGRSGTFVIGNEHFPASLLDLPCVVESYKTYDDCALVKTADIGQMIMVREAGDIAPDAVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMAGGTVENADVEANEQEEDGEQNIRNVSKKTSPAPAAKPDVQEPVANAGEPERSDSDESDDSL >Manes.01G036600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7161214:7166340:1 gene:Manes.01G036600.v8.1 transcript:Manes.01G036600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERLDRLLSESASSSEDQSLDLSFSEDGRSGTFVIGNEHFPASLLDLPCVVESYKTYDDCALVKTADIGQMIMVREAGDIAPDAVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMAGGTVENADILF >Manes.04G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2249100:2252417:1 gene:Manes.04G018800.v8.1 transcript:Manes.04G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFRRIMGPNGRIRVEAAHGELEDLQRRSNGVEMTMLRRRFNEAQAGKTSKVLQRVFSEDFEKNKILDPSGKIHHKWNKIFLGTCLLSIFVDPLFFYLPIIRQELCIDISLSLKTILTIIRSLLDVFYVIHIIIRFHTAYVAPSSRVLGRGELVIDSSKIALSYLRKGFLLDFFAALPLPQVFAWAILPNIEAVEVTNRKDFLWLILVFQHISRVCLLFPLSSQILEGAGVVSKKAWTGAAYNLLVFMLTGHASGAWWYLLSMERQEDCWKMACNLENPQCQIGFFDCSTVEDYQRIEWFKSSNVTSQCNPNNNLFPFGIYGAAVTYNVQGSAFLRKYFYCLWWGLKNLSTLGQSFTTSIDIGENIFAIILVTLGLILLALLIGNMQRFLQSTTIRLEEWKIKRTDTENWMHHRHLPPDLRQIVRKYDLYKWLSNKGVDEESLIKHLPVDLQRKVKHHLCFDLLRRVPLFNQMDETMLDAICERLKAALCTRGMFLMREGDPVNQMVFIIRGHLDSYTINGGCTGFLDSCRIRPGDFCGEELVTWALDSRSNIPLPSSARTIKAITEVEAFALLAEDLKFVASQFRRLNSKQLRHKFRFYSHQWRTWAATAIQAAWRRHKEFKRIAELGGSELQVQPPGSFWDRYAESLIEGTRRNIKYKQSGLEKPAEPDFSAG >Manes.02G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2848545:2854002:-1 gene:Manes.02G034400.v8.1 transcript:Manes.02G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKLKELKLFIEHCKSSPSILSDPSLSFFRDYLESLGAKLPTSAYNHADSKSKSYVVEESDEEMEDKEGPQGEPEQEEEEDEIIESDIELEGEVVEPDNDPPQKMGDPLVEVTEEKREASQEAKAKAMEAISEGKLEEAIDHLSEAISLNPTSAIMYATRATVYIKMKKPDAAIRDAIAALEINSDSAKGYKSRGMAQGMLGQWEEAAKDLHLASKLDYDEEISAVLKKVEPNARRIEDHRRKYERLRKDREDRKIERERQRRRAKAQAEYEKAKKQEQSSSSRKFGGMPGGFPGGMPGGFPGGMPGGMPGGTPGGFPGAMPGNVDFSKILNDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFAGPK >Manes.02G034400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2848545:2854002:-1 gene:Manes.02G034400.v8.1 transcript:Manes.02G034400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKLKELKLFIEHCKSSPSILSDPSLSFFRDYLESLGAKLPTSAYNHADSKSKSYVVEESDEEMEDKEGPQGEPEQEEEEDEIIESDIELEGEVVEPDNDPPQKMGDPLVEVTEEKREASQEAKAKAMEAISEGKLEEAIDHLSEAISLNPTSAIMYATRATVYIKMKKPDAAIRDAIAALEINSDSAKGYKSRGMAQGMLGQWEEAAKDLHLASKLDYDEEISAVLKKVEPNARRIEDHRRKYERLRKDREDRKIERERQRRRAKAQAEYEKAKKQEQSSSSRKFGGMPGGFPGGMPGGFPGGMPGGMPGGTPGGFPGPRTDGCI >Manes.02G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8738411:8742059:1 gene:Manes.02G113300.v8.1 transcript:Manes.02G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKFRPSSANNSPFFTTNSGAPVWNNNNSLTVGPRGPILLEDYHLVEKLANFDREIIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDMVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQESWRILDFFSHHPESLHMFTFLFDDIGIPQDYRHMEGSGVNTYTLIDKSGKARYVKFHWKPTCGVKSLLEDEAVRVGGSNHSHATQDLYDSIAAGNYPEWKLFIQTIDPADEDRFDFDPLDVTKTWPEDIFPLQPVGRLVLNRNIDNFFTENEQLAFCPSIVVPGVYYSDDKLLQTRIFSYSDTQRYRLGPNYLQLPANAPKCSHHNNHYDGFMNFMHRDEEVNYFPSRYDPVRHAEKYPMPPAICTGSREKCVIAKENNFKQPGDRYRSFSPDRQERFVRRWVAALSDPRVTHEVRSIWISYWSQACKSLGQKLASHLNMRPTM >Manes.14G168233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27116727:27118621:-1 gene:Manes.14G168233.v8.1 transcript:Manes.14G168233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQPPIASSQTEVGEATSKIKRKSMKPRSIIWDHLTKFVHNTSTQKGKCNYCDKEFFSDPKKNGITSLRNHMQKLTKMIIVDKLPFMFVEGEGFREWVEYTQPRFRIPSCWIVSRDCYDLRLVEGFVLLQIHEPHCKESIMCVTAHFIDDNWTLQKKIINFCPITSHKGNDIEMSIESCLLNWGIKRVFVVIVDNTSSNDVAISYLKKKITAWGLLLIEGIQSKSSLCLDVSTRWNSTYLILSSALKFENAFERYATVDPYFKIDLQSCESNGVPDSLEWEYIGKIVEFLGHFYELTLRISESRYVTSNIFFYEISSICLLQEWKSSNDLELSCMGEKMKLKFDKYWGELDKMNKIIYIVVVVDSRYKLEFMHFALSTVYGKEKGTELAKKVKLFVYKLFDDYKRIFQSENANKHIENVSESIEEGTKKKPRMRLGHQFMQHKIEIGEAKSKSDLYSYLNEDILVLDEKEDFDMLKWWKMNANSTRGRVLDCFRSSLTPKIVEALICIQDWLRKSQHHKPIE >Manes.02G196150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:28753987:28756660:-1 gene:Manes.02G196150.v8.1 transcript:Manes.02G196150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRELIIRSYDVQNDKARVEDLERRCEVGPAERVVLFTDTMGDPICRIRNSPMYKMLVAKFGDELVGVIQGSIKLVALPHKPHNDLAKLGYILGLRVPPLHRRKGIGLRLVLKLEEWFIANDVDYAYMATEKDNEASVKLFMHKLSYVKFRTPAILVNPVNYRTLPISSSIEVAKVKPEEAELLYRRFMTSTELFPDDIGNILKNKLSLGTWAAYPRGESLGGFGSDGKFPNNWAVLSVWNSGGLFKLRLGKAPLPCVVYTKGSRLIDKMFPCFKLPAIPDFFQPFGFYFMYGLHHEGPSSGNLVRALCKFVHNMATRNKDCKVVVTEVGGSDILRLHIPHWKSLSCPEDLWCIKALKNEEKKTIDELTKTPPTKPLFVDPREV >Manes.05G173400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28812645:28813483:-1 gene:Manes.05G173400.v8.1 transcript:Manes.05G173400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFALFNVKCKCCSVSFEEHQLKLIFKQFDSDKDGLLNKQELKNAFSYLGSRAPEWRTQRALSYADENGDGYIDDKELEKIINYAYRQNYKFR >Manes.08G107600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34719320:34723122:1 gene:Manes.08G107600.v8.1 transcript:Manes.08G107600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAIQWKHIERWSICPYVTKALSSTSVSSSYSSTSATTITTTAFLHALSSPFLTLISTSMATHNHLNHTLNGEHWDSTTPITKKPKLSSPFPTSIITRSQIQFEFSHHDLSVARINNGSFGSCPQSVLSAQQHWQIQFLRQPDHFYFNQLKPGILHSRSILKSLINADHVDEVSLVDNATTAAAIVLQKSAWSFSEGRFSKGDVAVMLHYAYGAVKKSVEAYITRAGGHIIEVQLPFPVKSNEEIITEFRKALDKGKENGKKVRLAVIDHVTSMPSVLIPVKELVKLCREEGVDQIFVDAAHGIGCVDVDMKEIGADFYTSNLHKWFFSPPSVAFLYSRKSKDKGNDDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPSVFEFINRFPGGIEGIKKKNHESVVEMGKMLVKAWGTHLGCPPEMCGSMIMVGLPVALGISSDSDALKLRTHLRNSFGVEVPIYFRPPKDGEIDPITGYARISHQVYNKVEDYHKFRDAINKIVSDGITCACLPN >Manes.08G107600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34719320:34723122:1 gene:Manes.08G107600.v8.1 transcript:Manes.08G107600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAIQWKHIERWSICPYVTKALSSTSVSSSYSSTSATTITTTAFLHALSSPFLTLISTSMATHNHLNHTLNGEHWDSTTPITKKPKLSSPFPTSIITRSQIQFEFSHHDLSVARINNGSFGSCPQSVLSAQQHWQIQFLRQPDHFYFNQLKPGILHSRSILKSLINADHVDEVSLVDNATTAAAIVLQKSAWSFSEGRFSKGDVAVMLHYAYGAVKKSVEAYITRAGGHIIEVQLPFPVKSNEEIITEFRKALDKGKENGKKVRLAVIDHVTSMPSVLIPVKELVKLCREEGVDQIFVDAAHGIGCVDVDMKEIGADFYTSNLHKWFFSPPSVAFLYSRKSKDKGNDDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPSVFEFINRFPGGIEGIKKKNHESVVEMGKMLVKAWGTHLGCPPEMCGSMIMVGLPVALGISSDSDALKLRTHLRNSFGVEVPIYFRPPKDGEIDPITGYARISHQVYNKVEDYHKFRDAINKIVSDGITCACLPN >Manes.08G107600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34719318:34723628:1 gene:Manes.08G107600.v8.1 transcript:Manes.08G107600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAIQWKHIERWSICPYVTKALSSTSVSSSYSSTSATTITTTAFLHALSSPFLTLISTSMATHNHLNHTLNGEHWDSTTPITKKPKLSSPFPTSIITRSQIQFEFSHHDLSVARINNGSFGSCPQSVLSAQQHWQIQFLRQPDHFYFNQLKPGILHSRSILKSLINADHVDEVSLVDNATTAAAIVLQKSAWSFSEGRFSKGDVAVMLHYAYGAVKKSVEAYITRAGGHIIEVQLPFPVKSNEEIITEFRKALDKGKENGKKVRLAVIDHVTSMPSVLIPVKELVKLCREEGVDQIFVDAAHGIGCVDVDMKEIGADFYTSNLHKWFFSPPSVAFLYSRKSKDKGNDDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPSVFEFINRFPGGIEGIKKKNHESVVEMGKMLVKAWGTHLGCPPEMCGSMIMVGLPVALGISSDSDALKLRTHLRNSFGVEVPIYFRPPKDGEIDPITGYARISHQVYNKVEDYHKFRDAINKIVSDGITCACLPN >Manes.15G147600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12160385:12166585:-1 gene:Manes.15G147600.v8.1 transcript:Manes.15G147600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESCSLQSSTIPTIPASLTKTGFLDKASAHGQVLRFPSFNKHPHARKIKTFDIKAQASVVTKFSSGAVEAVPKETDTKDDNLVFVAGATGRVGSRTVRELLKLGFQVRAGVRSSQKVQTLVQSVKQMKLDGEGAQPVEKLEVVECDLEKPNQIASALGNASIVICCIGASEKEVFDITGPYRIDYQATKNLIDAATAAKVKHFIMVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGIPYTIVRPGGMERPTDAYKETHNITLSEEDTLFGGQVSTLQVAELMAVMAKNRGLSYCKVVEVIAETTAPLNPMDELLKRIPSQRVLPKEQDAAKEPAAVAPKEVAEAPSPSSPSSIEKEPQQVTVTRPLSPYYAYDDLKPPTSPTPTPPIGQKESGSPVGTISKPASADAQTSSPEVGAGVSEEKPAQVEGKIRQPLSPYAAYEDLKPPSSPSPVPSGPKEIVSGVLPPVEAEPPATGGNNDAANFTTSSVAEKNPPLDLTSGPSPYPVYNDLKPPTSPSPTTPGESLPTSSTNGESNMVNNGPPTVPKDEQQKTEPKPRPLSPYAMYEDMKPPTSPSPSPRT >Manes.06G126300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25628514:25637285:-1 gene:Manes.06G126300.v8.1 transcript:Manes.06G126300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQACFLIIFILSSVLFVDSKYIAYNTTSSIVPGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWRDQSPEIQIIVKKLVSSGQLELINGGMCMHDEATTHYIDMTDQTTLGHRFIKEEFNVTPRIGWQIDPFGHSAVQGYLFGAEVGFDSIFFARIDYQDRAKRKDEKSLEVVWQGSKSLGSSAQIFAGAFPKNYEPPSDNFYFEVDDESPIVQDNENLFDYNVPDRVNDFVSAALSQANITRTNHIMWTMGTDFKYQYAHTWFKQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAAKESWPLKTGDYFPYADAVNAYWTGYFTSRPAIKGYVRTMSGYYLAARQLEFLKGRSKAGPNTDSLADALAVAQHHDAVSGTEKQHVASDYAKRLSVGYKEAENVVGASLSCIAESKSKSGCANTTIKFQQCPLLNISYCPGSEVDLSKGKGLVVVVYNSLGWKRENVIRIPVINENVNVKDSVGREIESQLLPLFDAPKTIRNYHSMAYMGSSPDVTPKYWLAFSASVPPLGFSTYIISAATSTTKRAAAVSRQKVYNSEVTQNDSIEIGPGNLKLIYSGKEGKLTQYINGRNSVKVSVEQSYSYYAGNDGSFQASGAYIFRPNGTYPINSKGQVAFTVLRGPLLDEIHQRISSWIYQITRVYRGKEHAEVEFTVGPIPLDDGIGKEVVTKITTTLNNNKTFYTDSNGRDFIERIRDYRKDWDLQVNEPVAGNYYPIVGKYYLRIDPLTEGAKWRRSYGQEIYSPFLLAFAEQDGNNWTNNHVTTFSAMDPSYVLPDNVAIITLQELDNGKVLIRLAHLYEIGEDKDLSTMASVQLKKVFPYKKIKKVTETSLSANQERAAMEEKRLKWKSEGSSGEEAKVARGKPVHPVALVVELAPMEIRTFLVDFNKK >Manes.06G126300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25628514:25637285:-1 gene:Manes.06G126300.v8.1 transcript:Manes.06G126300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQACFLIIFILSSVLFVDSKYIAYNTTSSIVPGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWRDQSPEIQIIVKKLVSSGQLELINGGMCMHDEATTHYIDMTDQTTLGHRFIKEEFNVTPRIGWQIDPFGHSAVQGYLFGAEVGFDSIFFARIDYQDRAKRKDEKSLEVVWQGSKSLGSSAQIFAGAFPKNYEPPSDNFYFEVDDESPIVQDNENLFDYNVPDRVNDFVSAALSQANITRTNHIMWTMGTDFKYQYAHTWFKQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAAKESWPLKTGDYFPYADAVNAYWTGYFTSRPAIKGYVRTMSGYYLAARQLEFLKGRSKAGPNTDSLADALAVAQHHDAVSGTEKQHVASDYAKRLSVGYKEAENVVGASLSCIAESKSKSGCANTTIKFQQCPLLNISYCPGSEVDLSKGKGLVVVVYNSLGWKRENVIRIPVINENVNVKDSVGREIESQLLPLFDAPKTIRNYHSMAYMGSSPDVTPKYWLAFSASVPPLGFSTYIISAATSTTKRAAAVSRQKVYNSEVTQNDSIEIGPGNLKLIYSGKEGKLTQYINGRNSVKVSVEQSYSYYAGNDGSFQASGAYIFRPNGTYPINSKGQVAFTVLRGPLLDEIHQRISSWIYQITRVYRGKEHAEVEFTVGPIPLDDGIGKEVVTKITTTLNNNKTFYTDSNGRDFIERIRDYRKDWDLQVNEPVAGNYYPIVGKYYLRIDPLTEGAKWRRSYGQEIYSPFLLAFAEQDGNNWTNNHVTTFSAMDPSYVLPDNVAIITLQELDNGKVLIRLAHLYEIGEDKDLSTMASVQLKKVFPYKKIKKVTETSLSANQERAAMEEKRLKWKSEGSSGEEAKVARGKPVHPVALVVELAPMEIRTFLVDFNKK >Manes.06G126300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25628514:25637285:-1 gene:Manes.06G126300.v8.1 transcript:Manes.06G126300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQACFLIIFILSSVLFVDSKYIAYNTTSSIVPGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWRDQSPEIQIIVKKLVSSGQLELINGGMCMHDEATTHYIDMTDQTTLGHRFIKEEFNVTPRIGWQIDPFGHSAVQGYLFGAEVGFDSIFFARIDYQDRAKRKDEKSLEVVWQGSKSLGSSAQIFAGAFPKNYEPPSDNFYFEVDDESPIVQDNENLFDYNVPDRVNDFVSAALSQANITRTNHIMWTMGTDFKYQYAHTWFKQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAAKESWPLKTGDYFPYADAVNAYWTGYFTSRPAIKGYVRTMSGYYLAARQLEFLKGRSKAGPNTDSLADALAVAQHHDAVSGTEKQHVASDYAKRLSVGYKEAENVVGASLSCIAESKSKSGCANTTIKFQQCPLLNISYCPGSEVDLSKGKGLVVVVYNSLGWKRENVIRIPVINENVNVKDSVGREIESQLLPLFDAPKTIRNYHSMAYMGSSPDVTPKYWLAFSASVPPLGFSTYIISAATSTTKRAAAVSRQKVYNSEVTQNDSIEIGPGNLKLIYSGKEGKLTQYINGRNSVKVSVEQSYSYYAGNDGSFQASGAYIFRPNGTYPINSKGQVAFTVLRGPLLDEIHQRISSWIYQITRVYRGKEHAEVEFTVGPIPLDDGIGKEVVTKITTTLNNNKTFYTDSNGRDFIERIRDYRKDWDLQVNEPVAGNYYPINFGIYMKDNSSEFSILVDRSVGGSSLVDGQLELMLHRRLVKDDSKGVGEALNETVCILGSCTGLTIVGKYYLRIDPLTEGAKWRRSYGQEIYSPFLLAFAEQDGNNWTNNHVTTFSAMDPSYVLPDNVAIITLQELDNGKVLIRLAHLYEIGEDKDLSTMASVQLKKVFPYKKIKKVTETSLSANQERAAMEEKRLKWKSEGSSGEEAKVARGKPVHPVALVVELAPMEIRTFLVDFNKK >Manes.06G126300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25628514:25637285:-1 gene:Manes.06G126300.v8.1 transcript:Manes.06G126300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQACFLIIFILSSVLFVDSKYIAYNTTSSIVPGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLVPALLADKNRKFIYVEQAFFQRWWRDQSPEIQIIVKKLVSSGQLELINGGMCMHDEATTHYIDMTDQTTLGHRFIKEEFNVTPRIGWQIDPFGHSAVQGYLFGAEVGFDSIFFARIDYQDRAKRKDEKSLEVVWQGSKSLGSSAQIFAGAFPKNYEPPSDNFYFEVDDESPIVQDNENLFDYNVPDRVNDFVSAALSQANITRTNHIMWTMGTDFKYQYAHTWFKQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAAKESWPLKTGDYFPYADAVNAYWTGYFTSRPAIKGYVRTMSGYYLAARQLEFLKGRSKAGPNTDSLADALAVAQHHDAVSGTEKQHVASDYAKRLSVGYKEAENVVGASLSCIAESKSKSGCANTTIKFQQCPLLNISYCPGSEVDLSKGKGLVVVVYNSLGWKRENVIRIPVINENVNVKDSVGREIESQLLPLFDAPKTIRNYHSMAYMGSSPDVTPKYWLAFSASVPPLGFSTYIISAATSTTKRAAAVSRQKVYNSEVTQNDSIEIGPGNLKLIYSGKEGKLTQYINGRNSVKVSVEQSYSYYAGNDGSFQASGAYIFRPNGTYPINSKGQVAFTVLRGPLLDEIHQRISSWIYQITRVYRGKEHAEVEFTVGPIPLDDGIGKEVVTKITTTLNNNKTFYTDSNGRDFIERIRDYRKDWDLQVNEPVAGNYYPINFGIYMKDNSSEFSILVDRSVGGSSLVDGQLELMLHRRLVKDDSKGVGEALNETVCILGSCTGLTIVGKYYLRIDPLTEGAKWRRSYGQEIYSPFLLAFAEQDGNNWTNNHVTTFSAMDPSYVLPDNVAIITLQELDNGKVLIRLAHLYEIGEDKDLSTMASVQLKKVFPYKKIKKVTETSLSANQERAAMEEKRLKWKSEGSSGEEAKVARGKPVHPVALVVELAPMEIRTFLVDFNKK >Manes.11G007000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:798576:800101:-1 gene:Manes.11G007000.v8.1 transcript:Manes.11G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGVNMKSKTQAKRRSTKKGVKVVYISSPMKVETSASKFRALVQELTGKDSDAARFMDFNGFENSPEILDRRAVDEHGSLVPEMSSYNESSSPGSDSVFQPFDRFLPPMEGSFMSMFQSNLFHESCLELDVFN >Manes.10G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6868877:6872071:-1 gene:Manes.10G054900.v8.1 transcript:Manes.10G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHLPNSIETSENDEVTAANSSKELDAGALFVLKSRGSWLHCGYHLTTSIVAPALLSLPYALSMLGWLAGVACLTIGALVTFYSYNLLSLVLEHHAQLGHRQLRFRDMAKDILGPGWGKYFVGPIQFGVCYGAVIACILLGGQSLKFIYLLSTSKGNMELYQFVTIFGILMIVVAQIPSFHSLRHINLVSLLLSLAYSALALAASLYIGYAKNAQAKDYSINGRGWNRLFGSLNAISIIATTYGNGIIPEIQATIAPPVKGKMFKGLLVCYAVVVTTFFSVAISGYWAFGNQAKGTVIMNFMPDDKPLLPTWILLMTNVFTLLQVAAVTVVYLQPTNEVLEQKFADAKRDQFSIRNVVPRVIFRSLSVVIATTIAAMFPFFGDINAVIGAFGFIPLDFILPVVFYNVTFKPSKQGLMFWGNTLIAIVFSVLGVMGTISSIRQIVVDANEYSFFANV >Manes.02G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7684036:7688442:-1 gene:Manes.02G098800.v8.1 transcript:Manes.02G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSATARKALSKIACNRLQKELVEWQVNPPAGFKHKPTDNLQRWVIEVSGASGTLYANETYQLQVDFPEHYPMEAPQVVFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >Manes.17G062200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26181892:26183531:-1 gene:Manes.17G062200.v8.1 transcript:Manes.17G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGERWVVIFVLAVACKLRWADGQPKPQVPCFFIFGDSLVDAGNNNKLPTDAKVNYPPYGVDYPKGATGRFCNGKTSADVLAEKLGFGHHIPPYASASDQALLQGVNYASGSAGIRDETGQHMGENIPFNKQLENHKATISRMVGASGDNQTTNNLLKKCLYYVGLGSNDYLNNYFLPQRYPTSKTFTLDAYAKALVTQFSEQITTLYNNGARKFILSGVGNIGCIPQAMRLYGTNSTVCVISMNQAIALFNTGLVALVNQFNQKFADGKFIYINCTGMVIQDPFALGLKYFTSGCCEVNELGQCAADIAPCAKRSEYLFWDSFHPTEAMNVITATRTYTAEMPTDTFPMDARSLAQLDLAAPKAT >Manes.05G167600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28072684:28075613:-1 gene:Manes.05G167600.v8.1 transcript:Manes.05G167600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLFSCLNSITAVVIAIFLFACYIRRSFTASEKPQPPKAAGAWPIIGHLPLLAAGSQVPHITLGDLADKCGPIFTFQIGIHKALVVSSWEVAKEIFTINDLAASDRPNFTAIKYLGYDGVMFGFAPYGDYWRQMRKIVNLELLSSRQIELRKNVIMSEVETSIKELHKLWKEKKDGSSHVLVDMKQWFGDLILNLILRIVVGKRHLDGDRKEVERRRKAMRDFFHFSGLYVLRDAIPFLGWLDVGGHEKCIKRAAKELDALVSEWLEEHRKKRDSGDLAENEQDFMDMMLSVLEDAHFVGHDSDTINKSACLNLIAGGSDTTTVTLTWIVSLLLNNRHTLTKAQEELDMLVGRERLVNGSDISKLSYLQAIIKEALRLYPPAPLSGPREIRESCTINGYHVKKGTWLITNLWKIHRDPCIWANPLEFKPERFLTSHKDIDVRGQNFELIPFGSGRRACPGISFGLQMVHITLASFLQAFEISNPTSAPIDMTESPGLTNLKATPLEILLSPRFSFEHHM >Manes.05G189300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31163932:31167095:-1 gene:Manes.05G189300.v8.1 transcript:Manes.05G189300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRVLLQFLSAQLFNVLSWPSFTLLCPLYASYWAIESDSQAKSQKCLTFWVLFALLAILENALAKLLLWLPYWPYVKGTVTVLLVVPYFGGASYVYKCFVRTHPFKVSEIFCLIWNILFIPVRKNFPFNGLNNFVDEIDKNRTVKGQEEQQKPVIFQGTFKPNYDNVDSDQTPIISKKVQREWSCSLCLVSTTNEKCLNKHLRGKKHKAKKEEVRAEEMASNLTYSPFYMAYRNQRMVLVENLVNLGKLSRLVNPVKSIRWCKWENPKVGCIKLNTDGSLDRENARFGGLLRDYRGDAICGFVSKAPLDDVFLVELSAVWRGLVLASGLGIKAVWVESDSLSVVKTINGEQSHRRKAEKCLKQIWLLLKKFDSYQVSHSWRETNKAADYLSKMALQKSDVVLWPCDFPSGLQNIIKDDAEGRIYCRR >Manes.04G134900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33555957:33559709:1 gene:Manes.04G134900.v8.1 transcript:Manes.04G134900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKEKERENGGETSSPISSERRDKKRWSFGKSGRDNTVIPQIPENLQGKDAAWIRSYFAETEREQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTLFGGGRERWAATKIQTVFRGYLARKALRALKGLVKIQALVRGYLVRKRADATLHSMEALIRAQTAVRSQRARRSVNKENRFQPENRPRKSIERFDDTRSEFHSKRLSTSYEMNVFDESPKIVEIDTYKPRSRSRRFTAALSECDEEFHYQAISSPLPCPISARITPESKTQQDFDWYFNGEECRFSTAQSTPRFANSVRSNALATPAKSVCGDSYFRPYSNFPNYMANTQSFKAKLRSHSAPKQRPEPGQKKRLSLNEIMAARNSISSVRMQRSCSKVDESLGF >Manes.04G134900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33555827:33559709:1 gene:Manes.04G134900.v8.1 transcript:Manes.04G134900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKEKERENGGETSSPISSERRDKKRWSFGKSGRDNTVIPQIPENLQGKDAAWIRSYFAETEREQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTLFGGGRERWAATKIQTVFRGYLARKALRALKGLVKIQALVRGYLVRKRADATLHSMEALIRAQTAVRSQRARRSVNKENRFQPENRPRKSIERFDDTRSEFHSKRLSTSYEMNVFDESPKIVEIDTYKPRSRSRRFTAALSECDEEFHYQAISSPLPCPISARITPESKTQQDFDWYFNGEECRFSTAQSTPRFANSVRSNALATPAKSVCGDSYFRPYSNFPNYMANTQSFKAKLRSHSAPKQRPEPGQKKRLSLNEIMAARNSISSVRMQRSCSKVDESLGF >Manes.16G067500.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821799:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821799:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821799:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821799:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821799:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821799:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.16G067500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25821594:25834190:1 gene:Manes.16G067500.v8.1 transcript:Manes.16G067500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQFIKAREQQQQQQQQQQQAQPPQHQQQQQQQQQQHLQMQQILLQRHAQQQQQQQQQQQPPQQQQQQQQQPPQQQQQQQPPQQQQQQRRDGTHLLNGTTNGLVASDPLLRQNPGTANAMATKMYEERLKLPIQRDSLDDAAMKQRFGENVGQLLDPNHTSILKTTAPGQPSGQVLHGAAGGMSQQVQARNQQLPGSTPDIKSEINPPRAAGPEASLIGIPGSNQGGNNLTLKGWPLTGLDQLRSLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQNLTSPSASDENRRLRMLWNNRNILPGKDGLTNSIGDVVPNVGSPLQAGASLLPRGDTDMLIKLKMAQMQQQQQQQQQQNSNPQQQQQQQQQLHNHHQQDKMGGAGSVTVDGSMSSSFRGNDQVSKNQTGRKRKQTVSSSGPANSSGTANTAGPSPSSAPSTPSTHTAGDVISMPSLPHTGSSSKPLVMFGSDGTGTLTSPANQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHDDADPRDTVPRMDVTKGFTFNEVTSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDNLKPKTTLEEHSSLITDVRFSPSMPRLATSSFDKTVRVWDADNPSYSLRTFTGHSASVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCSRVFKGGTAQMRFQPRLGRYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWRLGSGSEGDCVHDLSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVASASHDKFVKLWK >Manes.11G028800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2761196:2773558:1 gene:Manes.11G028800.v8.1 transcript:Manes.11G028800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSSKLGGRGGGRGSGAGGTKRLSSFPPPPHHRPSTAGNHRLSIGGNSNHRNRSGPTASMSTTTAAVEETFSLFPGSNPPAFSMIIRLAPDLVDEIRRAEAQGGAARIKFDSMGSNTNVNVIDVGGKEFRFTWSMEFGDLCDIYEERQSGEDGNGLLVESGCAWRKVNVQRILDESTTNHVKMLSEEADRKHKSRKAIVLDHGNPSMKSQIKQLAAAESNPWRMHFKKKEPPFKKRNVETPQVPKSTFKAGVSSTATAKGRPSSSPLPSTPEKAVTPASPFGTKNVSKETSGHKGNSGTKPVDLHSMSVALPSENPKGMSLKNQNATSQAPDRYFLKPGVELESFKKPSSESGSSPEDNRQRTFVPEDNHNQRPAPESTFSEKSSAIGFEEHAQSNSKFEEGSNTLGKNDVQQHFPDLFDVKKVSDNSEGQAGSSSDSGSDSDSDSSSDSGSDSGSRSRSRSRSPVGSASGSSSDSESDASSNSKEGSDEDVDIISDDDKEPQHKLQASQPMFSPSPDQWRSGQNVTDEKQDGNGSDAVDIEGPVSTAFDVEGHESDAVDIEKDLDDDDDEKELELAANNSLLASKEGNKPVEGDKYIFSDHDAIQERKTLFGTLFDDNENMVRDSFRPEQSDSSERTSKSKSKRGPDVKHFDEKSECAKRSKVESLAKVPISESGDAQLFESPHNRDSEETDWVPAIQRINITDRKANFDSGSQKAYNQAFGGKSSSDFQQPVRRPSDKNSRSKASDTTLRFKHSGSSGHGGKFSEKSSHVHEGFPIGREKSSKDIQNEDNFVKEKKESRNPKEGGAGGLIGSHYRKKGETFGKFKDSTQVTNSHLGSSPRDVHRDDLEKFPAVSERTLQRELSGLEMGEFREPLLDETPVKKQFERKGSFKQSENKPSTSESFNSDLGKVKPIGRAALDSGKPSPPNLSSGFERSPDHHNEGSTRSHHKIVQSHPQHLSRVDNNEVGSHFVKLADANSRLRQNEAGAKPGNGMEGYGESHKRAAANAQQLHDSKRGLVSHMMKESKKQTSNVTADLVDRQKDTILIEVNNNARKRRESSSDEDSSSYSKYEKDMPELRGPIKDFLQYKEYVQEYRDKYDSYCSLNKILENYRNDFQKMGKDLEFAKGRDMDRYNKILQQLKESYRHCGARHKRLKNIFIVLHEEVKNLKQRIKDYALSYTKD >Manes.11G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2761196:2773558:1 gene:Manes.11G028800.v8.1 transcript:Manes.11G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSSKLGGRGGGRGSGAGGTKRLSSFPPPPHHRPSTAGNHRLSIGGNSNHRNRSGPTASMSTTTAAVEETFSLFPGSNPPAFSMIIRLAPDLVDEIRRAEAQGGAARIKFDSMGSNTNVNVIDVGGKEFRFTWSMEFGDLCDIYEERQSGEDGNGLLVESGCAWRKVNVQRILDESTTNHVKMLSEEADRKHKSRKAIVLDHGNPSMKSQIKQLAAAESNPWRMHFKKKEPPFKKRNVETPQVPKSTFKAGVSSTATAKGRPSSSPLPSTPEKAVTPASPFGTKNVSKETSGHKGNSGTKPVDLHSMSVALPSENPKGMSLKAAEKAIVDKIPNSSKKIEPVINKNQNATSQAPDRYFLKPGVELESFKKPSSESGSSPEDNRQRTFVPEDNHNQRPAPESTFSEKSSAIGFEEHAQSNSKFEEGSNTLGKNDVQQHFPDLFDVKKVSDNSEGQAGSSSDSGSDSDSDSSSDSGSDSGSRSRSRSRSPVGSASGSSSDSESDASSNSKEGSDEDVDIISDDDKEPQHKLQASQPMFSPSPDQWRSGQNVTDEKQDGNGSDAVDIEGPVSTAFDVEGHESDAVDIEKDLDDDDDEKELELAANNSLLASKEGNKPVEGDKYIFSDHDAIQERKTLFGTLFDDNENMVRDSFRPEQSDSSERTSKSKSKRGPDVKHFDEKSECAKRSKVESLAKVPISESGDAQLFESPHNRDSEETDWVPAIQRINITDRKANFDSGSQKAYNQAFGGKSSSDFQQPVRRPSDKNSRSKASDTTLRFKHSGSSGHGGKFSEKSSHVHEGFPIGREKSSKDIQNEDNFVKEKKESRNPKEGGAGGLIGSHYRKKGETFGKFKDSTQVTNSHLGSSPRDVHRDDLEKFPAVSERTLQRELSGLEMGEFREPLLDETPVKKQFERKGSFKQSENKPSTSESFNSDLGKVKPIGRAALDSGKPSPPNLSSGFERSPDHHNEGSTRSHHKIVQSHPQHLSRVDNNEVGSHFVKLADANSRLRQNEAGAKPGNGMEGYGESHKRAAANAQQLHDSKRGLVSHMMKESKKQTSNVTADLVDRQKDTILIEVNNNARKRRESSSDEDSSSYSKYEKDMPELRGPIKDFLQYKEYVQEYRDKYDSYCSLNKILENYRNDFQKMGKDLEFAKGRDMDRYNKILQQLKESYRHCGARHKRLKNIFIVLHEEVKNLKQRIKDYALSYTKD >Manes.11G028800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2762801:2773558:1 gene:Manes.11G028800.v8.1 transcript:Manes.11G028800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQIKQLAAAESNPWRMHFKKKEPPFKKRNVETPQVPKSTFKAGVSSTATAKGRPSSSPLPSTPEKAVTPASPFGTKNVSKETSGHKGNSGTKPVDLHSMSVALPSENPKGMSLKAAEKAIVDKIPNSSKKIEPVINKNQNATSQAPDRYFLKPGVELESFKKPSSESGSSPEDNRQRTFVPEDNHNQRPAPESTFSEKSSAIGFEEHAQSNSKFEEGSNTLGKNDVQQHFPDLFDVKKVSDNSEGQAGSSSDSGSDSDSDSSSDSGSDSGSRSRSRSRSPVGSASGSSSDSESDASSNSKEGSDEDVDIISDDDKEPQHKLQASQPMFSPSPDQWRSGQNVTDEKQDGNGSDAVDIEGPVSTAFDVEGHESDAVDIEKDLDDDDDEKELELAANNSLLASKEGNKPVEGDKYIFSDHDAIQERKTLFGTLFDDNENMVRDSFRPEQSDSSERTSKSKSKRGPDVKHFDEKSECAKRSKVESLAKVPISESGDAQLFESPHNRDSEETDWVPAIQRINITDRKANFDSGSQKAYNQAFGGKSSSDFQQPVRRPSDKNSRSKASDTTLRFKHSGSSGHGGKFSEKSSHVHEGFPIGREKSSKDIQNEDNFVKEKKESRNPKEGGAGGLIGSHYRKKGETFGKFKDSTQVTNSHLGSSPRDVHRDDLEKFPAVSERTLQRELSGLEMGEFREPLLDETPVKKQFERKGSFKQSENKPSTSESFNSDLGKVKPIGRAALDSGKPSPPNLSSGFERSPDHHNEGSTRSHHKIVQSHPQHLSRVDNNEVGSHFVKLADANSRLRQNEAGAKPGNGMEGYGESHKRAAANAQQLHDSKRGLVSHMMKESKKQTSNVTADLVDRQKDTILIEVNNNARKRRESSSDEDSSSYSKYEKDMPELRGPIKDFLQYKEYVQEYRDKYDSYCSLNKILENYRNDFQKMGKDLEFAKGRDMDRYNKILQQLKESYRHCGARHKRLKNIFIVLHEEVKNLKQRIKDYALSYTKD >Manes.11G028800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2761196:2773558:1 gene:Manes.11G028800.v8.1 transcript:Manes.11G028800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSSKLGGRGGGRGSGAGGTKRLSSFPPPPHHRPSTAGNHRLSIGGNSNHRNRSGPTASMSTTTAAVEETFSLFPGSNPPAFSMIIRLAPDLVDEIRRAEAQGGAARIKFDSMGSNTNVNVIDVGGKEFRFTWSMEFGDLCDIYEERQSGEDGNGLLVESGCAWRKVNVQRILDESTTNHVKMLSEEADRKHKSRKAIVLDHGNPSMKSQIKQLAAAESNPWRMHFKKKEPPFKKRNVETPQVPKSTFKAGVSSTATAKGRPSSSPLPSTPEKAVTPASPFGTKNVSKETSGHKGNSGTKPVDLHSMSVALPSENPKGMSLKAAEKAIVDKIPNSSKKIEPVINKNQNATSQAPDRYFLKPGVELESFKKPSSESGSSPEDNRQRTFVPEDNHNQRPAPESTFSEKSSAIGFEEHAQSNSKFEEGSNTLGKNDVQQHFPDLFDVKKVSDNSEGQAGSSSDSGSDSDSDSSSDSGSDSGSRSRSRSRSPVGSASGSSSDSESDASSNSKEGSDEDVDIISDDDKEPQHKLQASQPMFSPSPDQWRSGQNVTDEKQDGNGSDAVDIEGPVSTAFDVEGHESDAVDIEKDLDDDDDEKELELAANNSLLASKEGNKPVEGDKYIFSDHDAIQERKTLFGTLFDDNENMVRDSFRPEQSDSSERTSKSKSKRGPDVKHFDEKSECAKRSKVESLAKVPISESGDAQLFESPHNRDSEETDWVPAIQRINITDRKANFDSGSQKAYNQAFGGKSSSDFQQPVRRPSDKNSRSKASDTTLRFKHSGSSGHGGKFSEKSSHVHEGFPIGREKSSKDIQNEDNFVKEKKESRNPKEGGAGGLIGSHYRKKGETFGKFKDSTQVTNSHLGSSPRDVHRDDLEKFPAVSERTLQRELSGLEMGEFREPLLDETPVKKQFERKGSFKQSENKPSTSESFNSDLGKVKPIGRAALDSGKPSPPNLSSGFERSPDHHNEGSTRSHHKIVQSHPQHLSRVDNNEVGSHFVKLADANSRLRQNEAGAKPGNGMEGYGESHKRAAANAQQLHDSKRGLVSHMMKESKKQTSNVTADLVDRQKDTILIEVNNNARKRRESSSDEDSSSYSKYEKDMPELRGPIKDFLQYKEYVQEYRDKYDSYCSLNKILENYRNDFQKMGKDLEFAKGRDMDRYNKILQQLKESYRHCGAVCIMIP >Manes.11G028800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2761196:2773558:1 gene:Manes.11G028800.v8.1 transcript:Manes.11G028800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSSKLGGRGGGRGSGAGGTKRLSSFPPPPHHRPSTAGNHRLSIGGNSNHRNRSGPTASMSTTTAAVEETFSLFPGSNPPAFSMIIRLAPDLVDEIRRAEAQGGAARIKFDSMGSNTNVNVIDVGGKEFRFTWSMEFGDLCDIYEERQSGEDGNGLLVESGCAWRKVNVQRILDESTTNHVKMLSEEADRKHKSRKAIVLDHGNPSMKSQIKQLAAAESNPWRMHFKKKEPPFKKRNVETPQVPKSTFKAGVSSTATAKGRPSSSPLPSTPEKAVTPASPFGTKNVSKETSGHKGNSGTKPVDLHSMSVALPSENPKGMSLKNQNATSQAPDRYFLKPGVELESFKKPSSESGSSPEDNRQRTFVPEDNHNQRPAPESTFSEKSSAIGFEEHAQSNSKFEEGSNTLGKNDVQQHFPDLFDVKKVSDNSEGQAGSSSDSGSDSDSDSSSDSGSDSGSRSRSRSRSPVGSASGSSSDSESDASSNSKEGSDEDVDIISDDDKEPQHKLQASQPMFSPSPDQWRSGQNVTDEKQDGNGSDAVDIEGPVSTAFDVEGHESDAVDIEKDLDDDDDEKELELAANNSLLASKEGNKPVEGDKYIFSDHDAIQERKTLFGTLFDDNENMVRDSFRPEQSDSSERTSKSKSKRGPDVKHFDEKSECAKRSKVESLAKVPISESGDAQLFESPHNRDSEETDWVPAIQRINITDRKANFDSGSQKAYNQAFGGKSSSDFQQPVRRPSDKNSRSKASDTTLRFKHSGSSGHGGKFSEKSSHVHEGFPIGREKSSKDIQNEDNFVKEKKESRNPKEGGAGGLIGSHYRKKGETFGKFKDSTQVTNSHLGSSPRDVHRDDLEKFPAVSERTLQRELSGLEMGEFREPLLDETPVKKQFERKGSFKQSENKPSTSESFNSDLGKVKPIGRAALDSGKPSPPNLSSGFERSPDHHNEGSTRSHHKIVQSHPQHLSRVDNNEVGSHFVKLADANSRLRQNEAGAKPGNGMEGYGESHKRAAANAQQLHDSKRGLVSHMMKESKKQTSNVTADLVDRQKDTILIEVNNNARKRRESSSDEDSSSYSKYEKDMPELRGPIKDFLQYKEYVQEYRDKYDSYCSLNKILENYRNDFQKMGKDLEFAKGRDMDRYNKILQQLKESYRHCGAVCIMIP >Manes.11G028800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2762801:2773558:1 gene:Manes.11G028800.v8.1 transcript:Manes.11G028800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQIKQLAAAESNPWRMHFKKKEPPFKKRNVETPQVPKSTFKAGVSSTATAKGRPSSSPLPSTPEKAVTPASPFGTKNVSKETSGHKGNSGTKPVDLHSMSVALPSENPKGMSLKNQNATSQAPDRYFLKPGVELESFKKPSSESGSSPEDNRQRTFVPEDNHNQRPAPESTFSEKSSAIGFEEHAQSNSKFEEGSNTLGKNDVQQHFPDLFDVKKVSDNSEGQAGSSSDSGSDSDSDSSSDSGSDSGSRSRSRSRSPVGSASGSSSDSESDASSNSKEGSDEDVDIISDDDKEPQHKLQASQPMFSPSPDQWRSGQNVTDEKQDGNGSDAVDIEGPVSTAFDVEGHESDAVDIEKDLDDDDDEKELELAANNSLLASKEGNKPVEGDKYIFSDHDAIQERKTLFGTLFDDNENMVRDSFRPEQSDSSERTSKSKSKRGPDVKHFDEKSECAKRSKVESLAKVPISESGDAQLFESPHNRDSEETDWVPAIQRINITDRKANFDSGSQKAYNQAFGGKSSSDFQQPVRRPSDKNSRSKASDTTLRFKHSGSSGHGGKFSEKSSHVHEGFPIGREKSSKDIQNEDNFVKEKKESRNPKEGGAGGLIGSHYRKKGETFGKFKDSTQVTNSHLGSSPRDVHRDDLEKFPAVSERTLQRELSGLEMGEFREPLLDETPVKKQFERKGSFKQSENKPSTSESFNSDLGKVKPIGRAALDSGKPSPPNLSSGFERSPDHHNEGSTRSHHKIVQSHPQHLSRVDNNEVGSHFVKLADANSRLRQNEAGAKPGNGMEGYGESHKRAAANAQQLHDSKRGLVSHMMKESKKQTSNVTADLVDRQKDTILIEVNNNARKRRESSSDEDSSSYSKYEKDMPELRGPIKDFLQYKEYVQEYRDKYDSYCSLNKILENYRNDFQKMGKDLEFAKGRDMDRYNKILQQLKESYRHCGARHKRLKNIFIVLHEEVKNLKQRIKDYALSYTKD >Manes.03G160400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28742652:28745878:1 gene:Manes.03G160400.v8.1 transcript:Manes.03G160400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDVKDANGFTVDSEKVENHADDREDYSDSNTLLPPRRGGMSRSPKRVRRKVQWNDNNGNKLTEVLIFEPSDNSDSDDDDSDSCACTIM >Manes.07G077901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23832543:23833700:1 gene:Manes.07G077901.v8.1 transcript:Manes.07G077901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEMASKDNVEYIAGGATKKKIKVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSRQAGVNVHYYEDETAPTGTCAVCVVGGERSVYLFSLYF >Manes.09G043000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7732888:7737358:-1 gene:Manes.09G043000.v8.1 transcript:Manes.09G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIIRQQFLFSNSSYKLFRPQVSFLSSLSKSHSNKSQEPELQAQAESSSINPLFREITEILGADNVIPEKSPSGIITSEFNEEVKVHTQSVRENVEPNNVLQGKQNFSVLDEIDVSPVVHEITEIVRAENDLVSMEERLESLEFQFEPEIIEKVLKRCFKVPHLAFRFFNWLRMKDGFYHTTKIYNTMLYIAGEAKEFKVVDDLLGEMEKNSCEKDIKTWAILISQFGKAKLTGRALLFFEEMKKSECEPDEKNYRTMVHFLCNAGKGEIALEVYKEMTQRDMGLDLTLYKMLLNTMAKSGDVGGVNLVADDMNRLSQIPEQHVHVYVLKSFCMAGRIREALALIRDLKNKGIPVNYEYFEILVKGLCRAGNIADAMEIVEIMKKKSLIDAKIYGIIISGYLRKKELSRALELFQSMKESGWQPTASTYTELMQHLFSLNQYKKGFDLYDEMLGRGIEADCVAIMTIVAGHVRQNQISEAWEAFNNMEDKGIIPTWKSYSIFIKELCKVSKTDEILKVLNKMQASKIFINNEIFKWVIACMEKKGEKDNIQKVKHMQSMCSLHSLQCKASMDEELKVEQNHNQLELGMIDQSEQGMTDPYLLKPFSKGYNEQDLQEISSILSSSDNWCIMREALEKCTVQFTPELVVEILRNCSMHGNAALHFFSWVGLQTGCCHTTETYNMAMKISGRGKDFKHMRSLFYEMRRKGCLITPDTWAIMIMQYGRTGLTEIALKIFNEMKDNGYNPNESTYKYLIISLCGRKGRKVDEAINIFQEMIRAGHIPDREVVETYLCCLCEAGKLSEARRSLDSLHKVGFTIPLSYSLHIRALCRSGRLEEALSLLDEVGAEQTSLDQYTYGTLVHGLLRKGRQEEALAKVDSMKQTGIQPTVHVYTSLIVHFFKEKQMEKAMEYFKKMQEDGCKPTIVTYSALIRGYMNMGRDVDAWNVFNHMKLEGPAPDFKTYSMFIDCLCRAGKSEEALQLISQMVDDRIVPSTINFRTVIFGLNREGKHDLAQTVLKQKLALRSKRKFLT >Manes.13G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36348730:36354549:1 gene:Manes.13G147500.v8.1 transcript:Manes.13G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLMRSCVQSMLKLLNSVIGMVGLGMILYAVWLIRVWQKETGDLPFDDSDRLAPWFIYTFLGLGVILCVITCIGHVAAETANGCCLYLYMLFVFLLLMLEAGVTADVFLNRDWDEYFPKDPTGSFSQFKDFVKSNFEICKWIGLSIVSVQGLSFLLALILKAFGPHQYYDSDDEYIPERVPLINNAILPPPYVVGNPVVGSKSGAWTINIREKANR >Manes.11G060271.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7973988:7980005:1 gene:Manes.11G060271.v8.1 transcript:Manes.11G060271.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDADHLLPSWIDDPTSNCCKWERVMCNSTTGHVTKLSLNNTRQYDIKSSSFHNENTWYVNLSMFQQLKELKTLNLSYNNFDCSIDDQGCEKLSKLKKLEVLDLTWNRFNNIILPSLGALISLKTLILDHNKMEGSFPIQGFQRLEELDLTMNSFNNSILSSLAALPSLNTLILASSYMKGSFPNQGIVSIINDYY >Manes.11G060271.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7973988:7975427:1 gene:Manes.11G060271.v8.1 transcript:Manes.11G060271.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDADHLLPSWIDDPTSNCCKWERVMCNSTTGHVTKLSLNNTRQYDIKSSSFHNENTWYVNLSMFQQLKELKTLNLSYNNFDCSIDDQGCEKLSKLKKLEVLDLTWNRFNNIILPSLGALISLKTLILDHNKMEGSFPIQGMFDL >Manes.04G000450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:172962:175877:1 gene:Manes.04G000450.v8.1 transcript:Manes.04G000450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQIFFNGSFLLGTYSDIWLERVPAFFGSARFKKGSITLGFHFWCLFWSCCSLVGKMWCASVFTISCEDIFSPLQWVQ >Manes.03G164350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29113958:29115101:1 gene:Manes.03G164350.v8.1 transcript:Manes.03G164350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEAYADFGLVLNWQDLVDIKLGFQARWRDLCVFSILIMLVSVWWNVDDVCGVPLLQNRLFSALHELDVISCYVDREFVHF >Manes.03G164350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29113958:29115101:1 gene:Manes.03G164350.v8.1 transcript:Manes.03G164350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVLQDLVDIKLGFQARWRDLCVFSILIMLVSVWWNVDDVCGVPLLQNRLFSALHELDVISCYVDREFVHF >Manes.15G165300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13990574:14008442:1 gene:Manes.15G165300.v8.1 transcript:Manes.15G165300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISEKRYPVSAKDYKLYEEVGEGVSAIVNRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMSLINHPNLLRAHCSFTIGHNLWIVMPYMAGGSCLHIMESAYPEGFEELVIATLLRETLKALVYLHAHGQIHRDVKAGNILINSDGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPTSEKLLKHQFFKHARSNEYLARAILDGLPPLGERFRLLKAKKADLLLQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDFDGIPNAEDPDVSMKQKDRNNNVEPTAERLSHERANHSTTAPCHQDDFSDLHDLESSLPSFPIKPLQALKGCFDVGDDDVDGTSPDWKGTAQSDYAANVVNQESEANNTDNLGRSSSLPRNVVAEHKKFLSGPLMPDNAFSPKKIVGDENRDFLQPKYQPDRNYSGPLLYRQKRDANNPSSEGAVVQRKGRFKVTSADLSPKGPTNCYFSPVCGGSTSPSTSNLTAASVLPSLHCILQQNTMQREEILKLIKYVEQNFGKQMESAEAATNDLLPVYVLFSFFKKKLILFLVQNFLMLIVEFLA >Manes.15G165300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13990574:14008517:1 gene:Manes.15G165300.v8.1 transcript:Manes.15G165300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISEKRYPVSAKDYKLYEEVGEGVSAIVNRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMSLINHPNLLRAHCSFTIGHNLWIVMPYMAGGSCLHIMESAYPEGFEELVIATLLRETLKALVYLHAHGQIHRDVKAGNILINSDGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPTSEKLLKHQFFKHARSNEYLARAILDGLPPLGERFRLLKAKKADLLLQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDFDGIPNAEDPDVSMKQKDRNNNVEPTAERLSHERANHSTTAPCHQDDFSDLHDLESSLPSFPIKPLQALKGCFDVGDDDVDGTSPDWKGTAQSDYAANVVNQESEANNTDNLGRSSSLPRNVVAEHKKFLSGPLMPDNAFSPKKIVGDENRDFLQPKYQPDRNYSGPLLYRQKRDANNPSSEGAVVQRKGRFKVTSADLSPKGPTNCYFSPVCGGSTSPSTSNLTAASVLPSLHCILQQNTMQREEILKLIKYVEQNFGKQMESAEAATNDLLPVYVLFSFFKKKLILFLVQNFLMLIVEFLA >Manes.15G165300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13990574:14008442:1 gene:Manes.15G165300.v8.1 transcript:Manes.15G165300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISEKRYPVSAKDYKLYEEVGEGVSAIVNRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMSLINHPNLLRAHCSFTIGHNLWIVMPYMAGGSCLHIMESAYPEGFEELVIATLLRETLKALVYLHAHGQIHRDVKAGNILINSDGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPTSEKLLKHQFFKHARSNEYLARAILDGLPPLGERFRLLKAKKADLLLQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDFDGIPNAEDPDVSMKQKDRNNNVEPTAERLSHERANHSTTAPCHQDDFSDLHDLESSLPSFPIKPLQALKGCFDVGDDDVDGTSPDWKGTAQSDYAANVVNQESEANNTDNLGRSSSLPRNVVAEHKKFLSGPLMPDNAFSPKKIVGDENRDFLQPKYQPDRNYSGPLLYRQKRDANNPSSEDLAEGAVVQRKGRFKVTSADLSPKGPTNCYFSPVCGGSTSPSTSNLTAASVLPSLHCILQQNTMQREEILKLIKYVEQNFGKQMESAEAATNDLLPVYVLFSFFKKKLILFLVQNFLMLIVEFLA >Manes.15G165300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13990574:14008516:1 gene:Manes.15G165300.v8.1 transcript:Manes.15G165300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISEKRYPVSAKDYKLYEEVGEGVSAIVNRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMSLINHPNLLRAHCSFTIGHNLWIVMPYMAGGSCLHIMESAYPEGFEELVIATLLRETLKALVYLHAHGQIHRDVKAGNILINSDGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPTSEKLLKHQFFKHARSNEYLARAILDGLPPLGERFRLLKAKKADLLLQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDFDGIPNAEDPDVSMKQKDRNNNVEPTAERLSHERANHSTTAPCHQDDFSDLHDLESSLPSFPIKPLQALKGCFDVGDDDVDGTSPDWKGTAQSDYAANVVNQESEANNTDNLGRSSSLPRNVVAEHKKFLSGPLMPDNAFSPKKIVGDENRDFLQPKYQPDRNYSGPLLYRQKRDANNPSSEGAVVQRKGRFKVTSADLSPKGPTNCYFSPVCGGSTSPSTSNLTAASVLPSLHCILQQNTMQREEILKLIKYVEQNFGKQMESAEAATNDLLPISPTSARERELQSQLINLQQSIGSLVEELQRQKMKNAQLERQLNALVYK >Manes.15G165300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13990574:14008516:1 gene:Manes.15G165300.v8.1 transcript:Manes.15G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISEKRYPVSAKDYKLYEEVGEGVSAIVNRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMSLINHPNLLRAHCSFTIGHNLWIVMPYMAGGSCLHIMESAYPEGFEELVIATLLRETLKALVYLHAHGQIHRDVKAGNILINSDGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPTSEKLLKHQFFKHARSNEYLARAILDGLPPLGERFRLLKAKKADLLLQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDFDGIPNAEDPDVSMKQKDRNNNVEPTAERLSHERANHSTTAPCHQDDFSDLHDLESSLPSFPIKPLQALKGCFDVGDDDVDGTSPDWKGTAQSDYAANVVNQESEANNTDNLGRSSSLPRNVVAEHKKFLSGPLMPDNAFSPKKIVGDENRDFLQPKYQPDRNYSGPLLYRQKRDANNPSSEDLAEGAVVQRKGRFKVTSADLSPKGPTNCYFSPVCGGSTSPSTSNLTAASVLPSLHCILQQNTMQREEILKLIKYVEQNFGKQMESAEAATNDLLPISPTSARERELQSQLINLQQSIGSLVEELQRQKMKNAQLERQLNALVYK >Manes.15G165300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13990574:14008517:1 gene:Manes.15G165300.v8.1 transcript:Manes.15G165300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISEKRYPVSAKDYKLYEEVGEGVSAIVNRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMSLINHPNLLRAHCSFTIGHNLWIVMPYMAGGSCLHIMESAYPEGFEELVIATLLRETLKALVYLHAHGQIHRDVKAGNILINSDGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPTSEKLLKHQFFKHARSNEYLARAILDGLPPLGERFRLLKAKKADLLLQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDFDGIPNAEDPDVSMKQKDRNNNVEPTAERLSHERANHSTTAPCHQDDFSDLHDLESSLPSFPIKPLQALKGCFDVGDDDVDGTSPDWKGTAQSDYAANVVNQESEANNTDNLGRSSSLPRNVVAEHKKFLSGPLMPDNAFSPKKIVGDENRDFLQPKYQPDRNYSGPLLYRQKRDANNPSSEDLAEGAVVQRKGRFKVTSADLSPKGPTNCYFSPVCGGSTSPSTSNLTAASVLPSLHCILQQNTMQREEILKLIKYVEQNFGKQMESAEAATNDLLPVYVLFSFFKKKLILFLVQNFLMLIVEFLA >Manes.15G165300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13990574:14008167:1 gene:Manes.15G165300.v8.1 transcript:Manes.15G165300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISEKRYPVSAKDYKLYEEVGEGVSAIVNRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMSLINHPNLLRAHCSFTIGHNLWIVMPYMAGGSCLHIMESAYPEGFEELVIATLLRETLKALVYLHAHGQIHRDVKAGNILINSDGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPTSEKLLKHQFFKHARSNEYLARAILDGLPPLGERFRLLKAKKADLLLQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDFDGIPNAEDPDVSMKQKDRNNNVEPTAERLSHERANHSTTAPCHQDDFSDLHDLESSLPSFPIKPLQALKGCFDVGDDDVDGTSPDWKGTAQSDYAANVVNQESEANNTDNLGRSSSLPRNVVAEHKKFLSGPLMPDNAFSPKKIVGDENRDFLQPKYQPDRNYSGPLLYRQKRDANNPSSAEDLAEGAVVQRKGRFKVTSADLSPKGPTNCYFSPVCGGSTSPSTSNLTAASVLPSLHCILQQNTMQREEILKLIKYVEQNFGKQMESAEAATNDLLPISPTSARERELQSQLINLQQSIGSLVEELQRQKMKNAQLERQLNALVYK >Manes.15G165300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13990574:14008517:1 gene:Manes.15G165300.v8.1 transcript:Manes.15G165300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISEKRYPVSAKDYKLYEEVGEGVSAIVNRALCIPLNEIVAIKVLDLERCNNDLDGIRREVQTMSLINHPNLLRAHCSFTIGHNLWIVMPYMAGGSCLHIMESAYPEGFEELVIATLLRETLKALVYLHAHGQIHRDVKAGNILINSDGSVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVATCLVKDPKKRPTSEKLLKHQFFKHARSNEYLARAILDGLPPLGERFRLLKAKKADLLLQNKALYEDKEQLSQQEYIRGISAWNFNLEDLKSQAALIQDFDGIPNAEDPDVSMKQKDRNNNVEPTAERLSHERANHSTTAPCHQDDFSDLHDLESSLPSFPIKPLQALKGCFDVGDDDVDGTSPDWKGTAQSDYAANVVNQESEANNTDNLGRSSSLPRNVVAEHKKFLSGPLMPDNAFSPKKIVGDENRDFLQPKYQPDRNYSGPLLYRQKRDANNPSSEDLAEGAVVQRKGRFKVTSADLSPKAMAL >Manes.11G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19291410:19293254:1 gene:Manes.11G095000.v8.1 transcript:Manes.11G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVTDECKNSFMELKWKKVHRFIVFKIDEKSRLVTVDKVGGPGEGYNELAASLPNDDCRYAVFDFDFVTVDNCRKSKIFFIAWAPTESRIRAKMLYATSKAGLRRVLDGIHYELQATDPTEMGFDIIRKRAN >Manes.09G070200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:14460773:14461336:1 gene:Manes.09G070200.v8.1 transcript:Manes.09G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLFRLPVLSMVVLILLMISPTMACPPQGTKCEDCVLDQIKYGCQSCVPMLRCMARCLWNGVSRAECNKKCDCNGGKPTLSDCKKCMSRCKCSCAAAAAA >Manes.04G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:581064:582801:1 gene:Manes.04G003200.v8.1 transcript:Manes.04G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEVPKYKLVTPSILSDRLRINGSLARRAIKDLMARGAIRMISAHASQQIYTRATNT >Manes.12G060702.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5903580:5904438:-1 gene:Manes.12G060702.v8.1 transcript:Manes.12G060702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVLDGATIVNFVEDEEAFTVSIRDRFAHLDTDQDGLLSYAEMLKELRSLRVFETHFGIDVKRDPEELARVYSSLFEQFDHDLNGGVDLEEFKEETKQMMLAMANGMGFLPIQMVLEEDSLLKKAVERESASA >Manes.06G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14189786:14192435:1 gene:Manes.06G045000.v8.1 transcript:Manes.06G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQKLAIIGKEPPENYFHKGSDSGILDASVPLIQIPVVDIGLLSSPSTWKEDLHKLRSALSSWGCFQVINHGMTSLFLDQMRQVSKQFFSLPMEEKQKYSRYGDSIEGYGNDMVISENQTLDWTDRLYLTLAPEDQQKLKFWPENPEPFRKILHEFAIKSQQINEILLKAMARSLNLEENCFLEQYGERPLVTARFNFYPPCPRPEKILGVKPHADASALTILLPDKEVEGLQFLKEKEWFRVPLIRHALLINVGDQVEIMSNGIFKSPVHRVVTNSERERITVAVFCIPDSNSEIEPADALVDETRPRLYKNVKDYVSLYFQYYQLGKRPIEAALI >Manes.17G121264.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32570465:32604034:-1 gene:Manes.17G121264.v8.1 transcript:Manes.17G121264.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPKLDGCLLILIAVPSILNILDDELIKGVGNCILSCQNYEGGIAGEPGSEAHGGFSEIYGFDYQDRVVFRQGVECGFQGRTNKLVDGCYSFWQGGVFALLKGLHSVNGEHVAFSDAEEGDSATDGTSEGEDGNSLGTDETCHIKRGVGDGEMAPLFHSVALQQYIILCPQEQKGGFRDKPGKARDYYHTCYCLSGLSVCQYSQSKDEHSPPLPRAVLGPYSNLLEPVHPLYNVVLKQYHEAHEFFSSQSTS >Manes.09G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31417523:31419899:1 gene:Manes.09G112200.v8.1 transcript:Manes.09G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSPISIQQTPLQDHLPEASPKPYKKSFVTTLMEATALRSPSFKEDTYFISHLKSSEKKALQDLRDKLSASYDSDSECSMWGIPLMSGDEKADVILLKFLRARDFRVPDALRMLDKCLSWRKEFGADSICEEDLGFKELEGVVAYMHGYDREGHPVCYNAYGVFRDKEIYERIFGDEEKLKKFLRWRVQVLERGIKLLHFKPGGVNSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINAPWYFSLLYSMFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEDIPVQYGGLNRPTDMQNGPPKLASEFTVKGGEKVNIQIEGIEGGATITWDIVVGGWDLEYSAEFVPSAEGSYTIAVEKARQVAPSEEAIHNSFTSREAGKLVLSVDNSASRRKKVAAYRYIVRKSTVV >Manes.12G151600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35974548:35977357:1 gene:Manes.12G151600.v8.1 transcript:Manes.12G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKKGKAKANSSDSNQSKPSAPNTGNNFPSCIRFVPPSSVAITIHAKPGAKSSSITDFSDEALGVQIDAPAKDGEANAALLDYISSVLGVKRRQLSIGAGSKSRDKVVIVEEVTLKGVFDALDKVSKGY >Manes.12G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1780124:1785423:-1 gene:Manes.12G020300.v8.1 transcript:Manes.12G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKGSSGRHISSYGASNSSSSSWNQYGHPQASPYPYPSQQNQYYTPQQHQPSNSSYSYEAESPRPQRRLDRKFSRIADDYKTLDQVTSALAHSGLESSNLIVGIDFTKSNEWTGSRSFNRRSLHHIGNGQNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQDVFSFHEDERFCNGFEEVLTRYREIVPQLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEKKTIDAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNVNISRKETEFALSALMEIPAQYKATIELGILGRRTGNAPERVPLPPPLHGPSSFSKSVKPSSSSSFKPHIPSYSGYDSSVPSYSGYDSPVAGYDTPVNSASYTSSTYDNQVCPICLTNRKDMAFGCGHQTCCECGEDLQSCPICRSPIQTRIRLY >Manes.S022616.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:217567:217992:1 gene:Manes.S022616.v8.1 transcript:Manes.S022616.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.17G030605.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22561641:22562958:-1 gene:Manes.17G030605.v8.1 transcript:Manes.17G030605.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTDPKFSEQRVDLVKPISFVYMIDDIFDVYGTLDDLTLFTEVVHRWDIAAAAQLPDYMRICLNALNNVINEISFKVYKCHGWNPLLSLRKAWTSLCNAFLVEAKWFASGDVPNADEYLKNGILSSGVHAVLVHLSFLLGQDITKENVELLDSNPGIIYSTAKILRLWDDLGSAKDENQDGHDGSYLECYSKEHQECSVESARKHVIQMILDTWKQLNKECLFQNPFSQTFTKACLNVARMVPWMYSYDENRFPILEEHIGYLLHDSVSM >Manes.14G129300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10308861:10316575:-1 gene:Manes.14G129300.v8.1 transcript:Manes.14G129300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHHGNRATSSNGGAPLVDKDVDFAQYFCTYSFLYHQKEMLSDRVRMDAYYNAIFQNKHHFHGKVVLDVGTGSGILAIWSAQAGARKVYAVEATKMAEHARTLVKDNNLQDVVEVIEGSMEDITLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPSGVMYPSHARMWIAPVRSGLVDQKKGDCDGAMDDWYNFVEDTKTYYGVDMSILTKPFNEEQRKYYLQTAMWQNLHPHQVIGVAAIIKEIDCLTASVNDVLEVRSNFLSSITMENTRLCGFGGWFDVHFRGRRDDPAQQEIELTTMPSVDNGTHWGQQVFLLHPPIRVSEGDNLNISFLMSRSKENHRLMEVEIGCEIIQSSGRSLPPLQNKFYIE >Manes.14G129300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10308861:10316575:-1 gene:Manes.14G129300.v8.1 transcript:Manes.14G129300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHHGNRATSSNGGAPLVDKDVDFAQYFCTYSFLYHQKEMLSDRVRMDAYYNAIFQNKHHFHGKVVLDVGTGSGILAIWSAQAGARKVYAVEATKMAEHARTLVKDNNLQDVVEVIEGSMEDITLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPSGVMYPSHARMWIAPVRSGLVDQKKGDCDGAMDDWYNFVEDTKTYYGVDMSILTKPFNEEQRKYYLQTAMWQNLHPHQVIGVAAIIKEIDCLTASVNDVLEVRSNFLSSITMENTRLCGFGGWFDVHFRGRRDDPAQQEIELTTMPSVDNGTHWGQQVFLLHPPIRVSEGDNLNISFLMSRSKENHRLMEVEIGCEIIQSSGRSLPPLQNKFYIE >Manes.13G065900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8343785:8352080:-1 gene:Manes.13G065900.v8.1 transcript:Manes.13G065900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTWKIIPRPLLETILNNHAQHHRVPQPLILHGPRGTGKTTLILERLLNDWNKGPHITGYVDFAQSIKDHHPQHNSSFPWASWSTCDSSNLSSCKTQLETCLESMAYKGIQLGTITSNQIFSTLNKWHRLDTALRRIIILNNSNSPSRSRNAISDKVSGSVLWERAVFTLSARSNAKEIDELLGLVDRGKSLSLEETSYFREAIVALRLAKEVIKVQQGWRANAIAHLNRTGGFSRSLANSCTDWPCLLLELLSQAAEIDYFQPKLVINNIEVLKNAILTDDSMVSAPLYHDSFIWRIIALGANERCLPVMLITSDSYYSYQAYMDFGFPDIFISRETFGWTAQEAKMHMVPDYFSHSEWAVLVEVLGPNPRHLFELYALKQSNYYQIVLDDKTSTFEDIVDAYLAYLQATVVNPAMDNALAMLQKFADDARSGKLPKDMLCFGAPWRHPPKKNDPALDKDWAKLQLMDFVQCLVKTEFGVNYLADYSLEILDDPCTLALLEVGLLYAQRDPSFIRPVSRGIQRCLVRWLVQERMCMSFQNSFLYLWQRMIRGRSYRHLMQEVGYNK >Manes.05G117100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12017815:12018868:1 gene:Manes.05G117100.v8.1 transcript:Manes.05G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMPTAASCVEELKQLKDIFTRFDMDSDGSLTILELGALFRSLGLKPSGDQIHVLLSNMDSNGNGFIEFDELVSAILPDMNEQLVINQEQLLYVFQLFDRDGNGYITAAELAGSMAKMGQPLTYTELKEMIEEADTDGDGVISFNEFASVMAQSAMEFLGLATSSLSDGML >Manes.16G014266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1432218:1435464:-1 gene:Manes.16G014266.v8.1 transcript:Manes.16G014266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSISHINFSSLLFHFLLIIYLTNAALEICYNTGNFTANSTYAENRGLLLSYLASNVTENGGFYAATAGQGKDKVYGLVLCRGDTPSEQCPKCVNTAIAKLIEKCPNQKEALLSDGDPPCFTRYANRSIVGLLELNPTDAGYNVNNIASNMEEFDEIWSSLMARIVARASRGSSKVKFATEEANLTPTQKIYALMQCIPDISERNCSYCLRETVGYYRSCCYGKQGGYVNKPSCIFRWDLYPFYNSIADAPTLSPSPPPPPPLSIFPPPANNTTTKDNGATAARTVVIITVPTSFFAALVGLACSFFYYRSCKKKTKNLEEISSTECLKFNFETIRLATNDFSDNNKLGQGGFGAVYKGVLPDGQVVAIKRLARKSKQGEVDFKNEVMLVARLQHRNLVRLLGFCFERNERLLIYEFLTNSSLDHFIYDQGKRLLMEWNTRYKIIVGIARGILYLHQDSQLRVIHRDLKVGNILLDEQMNPKISDFGTARLFPTNQSEDATSKIMGTFGYMAPEYVFHGIVSAKSDVFSFGVLILEIISGQSINKFRNDEGEEGNLLTYAWKNWNAGTASKIIDPVLVGAASTNEILRCVQIGLLCIQEDAAKRPTMASVILMLDSCSAILSELSRPAYFLHGQKEPITIGTQSAQPSANQCSISEMEPR >Manes.16G070300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25626815:25630852:-1 gene:Manes.16G070300.v8.1 transcript:Manes.16G070300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGTSSRSERHAAIIKDASWFSQFRNGCNPWMARYVYALIFLFANLLAWAARDYGHGALSEMERLRVCAGKSNCLGAEGVLRVSLGCFVFFMIMFVSTVGTSKLWDPRDAWHSGWWFAKIVLWIALTILTFFIPSTIIRLYGEIAHFGAGVFLLIQLISIISFITWLNDCCLSDERAERCHIHVMLIATAAYVICIVGIILMYIWYAPEASCLLNIFFITWTLVLLQLMTSISLHPKVNGGFLTPGLMGLYVVFLCWCAIRSEPAGESCNKKAEASKRTDWLTIISFVVALLTIVIATFSTGIDSQCFQFRKSEKESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHHAIRKWTIDVGWTSTWVRVVNEWLAVCVYLWMLVAPIILKWRQNAEAT >Manes.16G070300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25626812:25631755:-1 gene:Manes.16G070300.v8.1 transcript:Manes.16G070300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGTSSRSERHAAIIKDASWFSQFRNGCNPWMARYVYALIFLFANLLAWAARDYGHGALSEMERLRVCAGKSNCLGAEGVLRVSLGCFVFFMIMFVSTVGTSKLWDPRDAWHSGWWFAKIVLWIALTILTFFIPSTIIRLYGEIAHFGAGVFLLIQLISIISFITWLNDCCLSDERAERCHIHVMLIATAAYVICIVGIILMYIWYAPEASCLLNIFFITWTLVLLQLMTSISLHPKVNGGFLTPGLMGLYVVFLCWCAIRSEPAGESCNKKAEASKRTDWLTIISFVVALLTIVIATFSTGIDSQCFQFRKSEKESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHHAIRKWTIDVGWTSTWVRVVNEWLAVCVYLWMLVAPIILKWRQNAEAT >Manes.16G070300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25626815:25630462:-1 gene:Manes.16G070300.v8.1 transcript:Manes.16G070300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGTSSRSERHAAIIKDASWFSQFRNGCNPWMARYVYALIFLFANLLAWAARDYGHGALSEMERLRVCAGKSNCLGAEGVLRVSLGCFVFFMIMFVSTVGTSKLWDPRDAWHSGWWFAKIVLWIALTILTFFIPSTIIRLYGEIAHFGAGVFLLIQLISIISFITWLNDCCLSDERAERCHIHVMLIATAAYVICIVGIILMYIWYAPEASCLLNIFFITWTLVLLQLMTSISLHPKVNGGFLTPGLMGLYVVFLCWCAIRSEPAGESCNKKAEASKRTDWLTIISFVVALLTIVIATFSTGIDSQCFQFRKSEKESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHHAIRKWTIDVGWTSTWVRVVNEWLAVCVYLWMLVAPIILKWRQNAEAT >Manes.16G070300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25626815:25630550:-1 gene:Manes.16G070300.v8.1 transcript:Manes.16G070300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGTSSRSERHAAIIKDASWFSQFRNGCNPWMARYVYALIFLFANLLAWAARDYGHGALSEMERLRVCAGKSNCLGAEGVLRVSLGCFVFFMIMFVSTVGTSKLWDPRDAWHSGWWFAKIVLWIALTILTFFIPSTIIRLYGEIAHFGAGVFLLIQLISIISFITWLNDCCLSDERAERCHIHVMLIATAAYVICIVGIILMYIWYAPEASCLLNIFFITWTLVLLQLMTSISLHPKVNGGFLTPGLMGLYVVFLCWCAIRSEPAGESCNKKAEASKRTDWLTIISFVVALLTIVIATFSTGIDSQCFQFRKSEKESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHHAIRKWTIDVGWTSTWVRVVNEWLAVCVYLWMLVAPIILKWRQNAEAT >Manes.16G070300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25626815:25631691:-1 gene:Manes.16G070300.v8.1 transcript:Manes.16G070300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCILFIQSQYFVHIVYIYVCQVFPLMLKQVFFMIMFVSTVGTSKLWDPRDAWHSGWWFAKIVLWIALTILTFFIPSTIIRLYGEIAHFGAGVFLLIQLISIISFITWLNDCCLSDERAERCHIHVMLIATAAYVICIVGIILMYIWYAPEASCLLNIFFITWTLVLLQLMTSISLHPKVNGGFLTPGLMGLYVVFLCWCAIRSEPAGESCNKKAEASKRTDWLTIISFVVALLTIVIATFSTGIDSQCFQFRKSEKESEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHHAIRKWTIDVGWTSTWVRVVNEWLAVCVYLWMLVAPIILKWRQNAEAT >Manes.11G010000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061789:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKQLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGLVLLPYFLAKKKIQPFVILFIKVYFASTVIAWLIVIYFLFICLLLPLCSYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILAAQPVDQPQRLSLCFDKLMADVTRSLDAKNRDRFTQNLTVFRHEFRVK >Manes.11G010000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061789:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKQLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILCSKKPKYLTLI >Manes.11G010000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061789:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILCSKKPKYLTLI >Manes.11G010000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061789:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKQLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILAAQPVDQPQRLSLCFDKLMADVTRSLDAKNRDRFTQNLTVFRHEFRVK >Manes.11G010000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061789:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKQLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILAAQPVDQPQRLSLCFDKLMADVTRSLDAKNRDRFTQNLTVFRHEFRVK >Manes.11G010000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061790:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGLVLLPYFLAKKKIQPFVILFIKVYFASTVIAWLIVIYFLFICLLLPLCSYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILAAQVNVLLPALLVVNLTPLN >Manes.11G010000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061789:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILAAQPVDQPQRLSLCFDKLMADVTRSLDAKNRDRFTQNLTVFRHEFRVK >Manes.11G010000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061789:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILAAQPVDQPQRLSLCFDKLMADVTRSLDAKNRDRFTQNLTVFRHEFRVK >Manes.11G010000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1040598:1061789:1 gene:Manes.11G010000.v8.1 transcript:Manes.11G010000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLAQLEELCERLYNSQDSAERAHAENTLKCFSMSTDYISQCQYILDNALTPYALMLASSSLLKQVTEHSLSLQLRLDIRNYLVSYLANRGPKLQPFVIASLIQLLSRVTKFGWFDDDRFREVVKESTNFLSQQATSDHYAIGLKILNQLVSEMNQPNTGLPSTNHRRVACSFRDQSLYQIFQISLTSLRQLKNDGAIRLQELALSLSLKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVIEDPSTLQIFFDYYAITTTPLSKEALDCLVRLASVRRSLFTNDADRSKFLARLMTGTKEILQTGQGLAHHDNYHEYCRLLGRFRVNYQLSELVNVEGYGDWIQLVAEFTIKSLQSWQWASSSVYFLLGLWSRLVTSLPYLKGDAPSLLDEFVPKITEGFITSRFNSVQAGSADDLSDNPLDSVELLQDQLDCFPYLCRFQYESSGLHIINIMEPVLQSYAERARVQTTDSNDLSVIEAKLAWVVHIIAAILKIKQSTSCSTESQEVLDAELSARVLQLINVTDSGLHSQRYGERSKQRLDRAIITFFQHFRKSYVGDQVAHSSKLYARLSELLGLHDHLLLLSVIVGKIATNLKCYTESEEVIDHTLSLFLELASGLVLLPYFLAKKKIQPFVILFIKVYFASTVIAWLIVIYFLFICLLLPLCSYMTGKLLLKLDAIKFIVVNHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLSQVFISLGSTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPVLLKGISHWADTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGARILALPNVADIYAYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALADALDIALKMTLSIPLADVLAFRKLTRAYFAFLEVLFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAQILAAQPVDQPQRLSLCFDKLMADVTRSLDAKNRDRFTQNLTVFRHEFRVK >Manes.09G181400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36991466:36992488:1 gene:Manes.09G181400.v8.1 transcript:Manes.09G181400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSRKTKKSISFFSLFKFRKSSGRIENSCEDVADMKRRPYTSDEDGKGPWKVADPLINTKTSAFLAHVHETCISEAGCQVTHLRSS >Manes.10G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2251446:2259815:-1 gene:Manes.10G023400.v8.1 transcript:Manes.10G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHIRFPVVFSVQVQPSALNPIIIFTPPNSPTRFSNLHSSIRYKPNNNFTAPTPLLPFASPLRSPDMAEGGSGSPKRVVTPYDEAMDALSSLITKRSRADKSNKGDRFDLLFDYLKMLELDQAISEMKIIHVAGTKGKGSTCTFTESILRHCGFHTGLFTSPHLIDVRERFRLDGEDICEEKFLAYFWWCYDRLKEKTTEDIPMPTYFRFLALLAFKIFAAEQVDVAILEVGLGGTFDATNVVQTHVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKNGVPAFTVPQPDEAMRVLEEKASKRDVPLQVAPPLDSNLLNGLKLGLEGEHQYINAGLAVALSSKWLQRTGHLEISYLEQTSSLPEQFIKGLTTACLQGRAQIVPDRCINNESDGDLVFYLDGAHSPESMEVCARWFSLAIKDDNPQNTLNCPSQNNFQSMLECVDKYHDGKSGKNLMQILLFNCMSVRDPQLLLPRLMKTCATHGVYFKKALFVPNISVYYKVGSHALPPTEPQVDLSWQFTLQRLWENLVQGDKGGEANNTDAVCEEVKDDTGSSVRTCKNSAVFPSLPLAIKWLRDSVYQNRSVRIQVLVTGSLHLVGDVLRLVK >Manes.11G006601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:745272:746270:1 gene:Manes.11G006601.v8.1 transcript:Manes.11G006601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLKLKSLIYEIGYFPFLNHTDLLLLSRHFNKNLSSRCHHPLSPPLLRPLVVATSSIFAITPSDRRRCFRQSSPSPSHRRIRWSRSSTVRCCPSSSSKPLLIFASSAGPITADFAAGSEQKQIETLSWCVQRDLCEQRIYAGKLIENEK >Manes.17G070550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27065325:27066369:-1 gene:Manes.17G070550.v8.1 transcript:Manes.17G070550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIITWNCHGAASSTFRNAFQEYKRLYHPNIFCLVEPHISGEAADEVCGLLGYENWIRVEAVGFSGGIWLLWSEDGFRIELVVTDPQFITVAINFSTGEKWLFSVVYASPDIYLRRKFWQSLSGENSLSISKWIVAGDFNSVVDSSEQSGYSSSNPPGAHDFSDWIFKYSLIDLGFVGSGFTWQRSGENVPYQAARLDRCFVSTDWRLDYVDAIVEHPPKLHSDHVPIVIRCQGVLAFGVCPFRFLTAWTLHAQFDQVVACSWDPNRSLIHNLSTLKIQLGEWNRTQFGNIFANKRRLLRRLGGVQRDLAESRTRSLRFYAKRRYTGFRNQKRNG >Manes.14G015800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:987326:988840:-1 gene:Manes.14G015800.v8.1 transcript:Manes.14G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEIAEPENSETPKSVSSVDSSVESLTLSLGNLSLNNGTLASLWGTSIDSSNGSLAFSGDSNSTEIQEVRADIQETGYLGNSKPIPPPLYPESIWGVENARNPLFANLRLSVPAFYQPNNTDGACNSTPEGSSVKLCQDSTTCQQNQESVNGAVLCGVKIEGYDFVTLAVNEEGSKILQNILKLRHPELTSQILERVIAPLYGVPIICILMVDEWGWHVCSKLIDSCNDQQLLLILETITMHQELFINLSINLYGSKLIKKMVKLLKRSPFIYCLVVRLYAGFYTLMMDRIGSYVLSYCLDYLDITHSALLYEAAISLCLELATHVMGCISLNNLIDKIQGPHRQCLLELISNNAVFLSHDPSGNHVVQKVLALENPIFTERICSLLRGHYVRLSLQKWGSHVVEKCLKSSAMHYAVEELVNSSNNQLLQVARDQFGNYVIQRALKVTKKMNDPFHLELLRSLQPHLETLRKGYGRNVFNLIVNGVPFDEARFPSYNSIVC >Manes.08G052400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5576679:5585932:-1 gene:Manes.08G052400.v8.1 transcript:Manes.08G052400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLGPDDPESSFYVQPEWKCDFNFGCVSDVIEEDALNEKSCIQVLKVLITKADAEIDTLEQDLLSLQCELAWVENKEWSEICSNALREKINCLDISITSLRNKDKNDMEVQILMHTQPVEKLHEILKALLRNYFCNKDEQPANAGVKDSNSHSMNLADGQSDEEILSSYYGPKNIRKGENREHDFSPKDERMIKSSSSNSEDKARCYVETIKLQPADVGVSNSVPGSSEHPVGNSDSIIVSEEVEERNYISKDDVIVGSSLKSVVKKTDPSKKVKLANPTVKDLGLNATRHTTADSNEMKILCNSNIEVSGNEEHCSRATGKSKILSSSLNPEGKGNHPRKDKFAGTVVKNINAKELRCATGIHVRKGNADSGLGTCSNTEIEQKLSDFSKEAAPKQTMKESKAAASQNVVSLNSPLKTQGKKKSPPQIVKVVEAALTETENCALTSLLELQDKKGISAIKLLLKEGGKGMPEVNTSEICPDEENFHLDLSHSFHKEKAKMSIKLSTPIIQEIGFSTMVVDSSSSLVSEGKKHKKSGLGPLTARLNQDHRTKKVVLQLAECKLKENGSSLGDGEKSVSQPQKKRKRSTNFPIIAEAKDFTIQVDFSDSQIYTTNSNEDNQSVTDSCSIHDSSSVTLPSMPSASILEKMKLADLRDIAKRCKLTKYYKLSKKELLQLLASKIGTC >Manes.08G052400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5576679:5585932:-1 gene:Manes.08G052400.v8.1 transcript:Manes.08G052400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLGPDDPESSFYVQPEWKCDFNFGCVSDVIEEDALNEKSCIQVLKVLITKADAEIDTLEQDLLSLQCELAWVENKEWSEICSNALREKINCLDISITSLRNKDKNDMEVQILMHTQPVEKLHEILKALLRNYFCNKDEQPANAGVKDSNSHSMNLADGQSDEEILSSYYGPKNIRKGENREHDFSPKDERMIKSSSSNSEDKARCYVETIKLQPADVGVSNSVPGSSEHPVGNSDSIIVSEEVEERNYISKDDVIVGSSLKSVVKKTDPSKKLANPTVKDLGLNATRHTTADSNEMKILCNSNIEVSGNEEHCSRATGKSKILSSSLNPEGKGNHPRKDKFAGTVVKNINAKELRCATGIHVRKGNADSGLGTCSNTEIEQKLSDFSKEAAPKQTMKESKAAASQNVVSLNSPLKTQGKKKSPPQIVKVVEAALTETENCALTSLLELQDKKGISAIKLLLKEGGKGMPEVNTSEICPDEENFHLDLSHSFHKEKAKMSIKLSTPIIQEIGFSTMVVDSSSSLVSEGKKHKKSGLGPLTARLNQDHRTKKVVLQLAECKLKENGSSLGDGEKSVSQPQKKRKRSTNFPIIAEAKDFTIQVDFSDSQIYTTNSNEDNQSVTDSCSIHDSSSVTLPSMPSASILEKMKLADLRDIAKRCKLTKYYKLSKKELLQLLASKIGTC >Manes.08G052400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5576679:5585932:-1 gene:Manes.08G052400.v8.1 transcript:Manes.08G052400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLGPDDPESSFYVQPEWKCDFNFGCVSDVIEEDALNEKSCIQVLKVLITKADAEIDTLEQDLLSLQCELAWVENKEWSEICSNALREKINCLDISITSLRNKDKNDMEVQILMHTQPVEKLHEILKALLRNYFCNKDEQPANAGVKDSNSHSMNLADGQSDEEILSSYYGPKNIRKGENREHDFSPKDERMIKSSSSNSEDKARCYVETIKLQPADVGVSNSVPGSSEHPVGNSDSIIVSEEVEERNYISKDDVIVGSSLKSVVKKTDPSKKLANPTVKDLGLNATRHTTADSNEMKILCNSNIEVSGNEEHCSRATGKSKILSSSLNPEGKGNHPRKDKFAGTVVKNINAKELRCATGIHVRKGNADSGLGTCSNTEIEQKLSDFSKEAAPKQTMKESKAAASQNVVSLNSPLKTQGKKKSPPQIVKVVEAALTETENCALTSLLELQDKKGISAIKLLLKEGGKGMPEVNTSEICPDEENFHLDLSHSFHKEKAKMSIKLSTPIIQEIGFSTMVVDSSSSLVSEGKKHKKSGLGPLTARLNQDHRTKKVVLQLAECKLKENGSSLGDGEKSVSQPQKKRKRSTNFPIIAEAKDFTIQVDFSDSQIYTTNSNEDNQSVTDSCSIHDSSSVTLPSMPSASILEKMKLADLRDIAKRCKLTKYYKLSKKELLQLLASKIGTC >Manes.08G052400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5576679:5585932:-1 gene:Manes.08G052400.v8.1 transcript:Manes.08G052400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLGPDDPESSFYVQPEWKCDFNFGCVSDVIEEDALNEKSCIQVLKVLITKADAEIDTLEQDLLSLQCELAWVENKEWSEICSNALREKINCLDISITSLRNKDKNDMEVQILMHTQPVEKLHEILKALLRNYFCNKDEQPANAGVKDSNSHSMNLADGQSDEEILSSYYGPKNIRKGENREHDFSPKDERMIKSSSSNSEDKARCYVETIKLQPADVGVSNSVPGSSEHPVGNSDSIIVSEEVEERNYISKDDVIVGSSLKSVVKKTDPSKKVKLANPTVKDLGLNATRHTTADSNEMKILCNSNIEVSGNEEHCSRATGKSKILSSSLNPEGKGNHPRKDKFAGTVVKNINAKELRCATGIHVRKGNADSGLGTCSNTEIEQKLSDFSKEAAPKQTMKESKAAASQNVVSLNSPLKTQGKKKSPPQIVKVVEAALTETENCALTSLLELQDKKGISAIKLLLKEGGKGMPEVNTSEICPDEENFHLDLSHSFHKEKAKMSIKLSTPIIQEIGFSTMVVDSSSSLVSEGKKHKKSGLGPLTARLNQDHRTKKVVLQLAECKLKENGSSLGDGEKSVSQPQKKRKRSTNFPIIAEAKDFTIQVDFSDSQIYTTNSNEDNQSVTDSCSIHDSSSVTLPSMPSASILEKMKLADLRDIAKRCKLTKYYKLSKKELLQLLASKIGTC >Manes.08G052400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5576679:5585932:-1 gene:Manes.08G052400.v8.1 transcript:Manes.08G052400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLGPDDPESSFYVQPEWKCDFNFGCVSDVIEEDALNEKSCIQVLKVLITKADAEIDTLEQDLLSLQCELAWVENKEWSEICSNALREKINCLDISITSLRNKDKNDMEVQILMHTQPVEKLHEILKALLRNYFCNKDEQPANAGVKDSNSHSMNLADGQSDEEILSSYYGPKNIRKGENREHDFSPKDERMIKSSSSNSEDKARCYVETIKLQPADVGVSNSVPGSSEHPVGNSDSIIVSEEVEERNYISKDDVIVGSSLKSVVKKTDPSKKVKLANPTVKDLGLNATRHTTADSNEMKILCNSNIEVSGNEEHCSRATGKSKILSSSLNPEGKGNHPRKDKFAGTVVKNINAKELRCATGIHVRKGNADSGLGTCSNTEIEQKLSDFSKEAAPKQTMKESKAAASQNVVSLNSPLKTQGKKKSPPQIVKVVEAALTETENCALTSLLELQDKKGISAIKLLLKEGGKGMPEVNTSEICPDEENFHLDLSHSFHKEKAKMSIKLSTPIIQEIGFSTMVVDSSSSLVSEGKKHKKSGLGPLTARLNQDHRTKKVVLQLAECKLKENGSSLGDGEKSVSQPQKKRKRSTNFPIIAEAKDFTIQVDFSDSQIYTTNSNEDNQSVTDSCSIHDSSSVTLPSMPSASILEKMKLADLRDIAKRCKLTKYYKLSKKELLQLLASKIGTC >Manes.08G052400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5576679:5585482:-1 gene:Manes.08G052400.v8.1 transcript:Manes.08G052400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLGPDDPESSFYVQPEWKCDFNFGCVSDVIEEDALNEKSCIQVLKVLITKADAEIDTLEQDLLSLQCELAWVENKEWSEICSNALREKINCLDISITSLRNKDKNDMEVQILMHTQPVEKLHEILKALLRNYFCNKDEQPANAGVKDSNSHSMNLADGQSDEEILSSYYGPKNIRKGENREHDFSPKDERMIKSSSSNSEDKARCYVETIKLQPADVGVSNSVPGSSEHPVGNSDSIIVSEEVEERNYISKDDVIVGSSLKSVVKKTDPSKKLANPTVKDLGLNATRHTTADSNEMKILCNSNIEVSGNEEHCSRATGKSKILSSSLNPEGKGNHPRKDKFAGTVVKNINAKELRCATGIHVRKGNADSGLGTCSNTEIEQKLSDFSKEAAPKQTMKESKAAASQNVVSLNSPLKTQGKKKSPPQIVKVVEAALTETENCALTSLLELQDKKGISAIKLLLKEGGKGMPEVNTSEICPDEENFHLDLSHSFHKEKAKMSIKLSTPIIQEIGFSTMVVDSSSSLVSEGKKHKKSGLGPLTARLNQDHRTKKVVLQLAECKLKENGSSLGDGEKSVSQPQKKRKRSTNFPIIAEAKDFTIQVDFSDSQIYTTNSNEDNQSVTDSCSIHDSSSVTLPSMPSASILEKMKLADLRDIAKRCKLTKYYKLSKKELLQLLASKIGTC >Manes.15G192920.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32095584:32100164:-1 gene:Manes.15G192920.v8.1 transcript:Manes.15G192920.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSPQSPIHTASLLRYPSPSKSPVFLCSSSPRRRLFCHGASKPMTHLNFVLHDALDSCGVDTTLARESRMGFLTQIQNLSGIERETSISINNCVDLGKTALYIAAEDHALVSRSLVPLPVDDFIQRLDDLSMGYCSIYRSSFSSSPESFLHSLDSYLYVNKGFRRTNCMDQSEAWALYLPLVLTYRSGSSIMLSLIYSEILKMLRLWGLVDFDCEIFFPHDLHDLPRGYDKQKSKESDQACIMTTETLLEETLRSLKEAFWPFQYDNTKSLFLRAAHAANCTDRSKHFEESM >Manes.15G192920.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32095379:32100279:-1 gene:Manes.15G192920.v8.1 transcript:Manes.15G192920.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSPQSPIHTASLLRYPSPSKSPVFLCSSSPRRRLFCHGASKPMTHLNFVLHDALDSCGVDTTLARESRMGFLTQIQNLSGIERETSISINNCVDLGKTALYIAAEDHALVSRSLVPLPVDDFIQRLDDLSMGYCSIYRSSFSSSPESFLHSLDSYLYVNKGFRRTNCMDQSEAWALYLPLVLTYRSGSSIMLSLIYSEILKMLRLWGLVDFDCEIFFPHDLHDLPRGYDKQKSKESDQACIMTTETLLEETLRSLKEAFWPFQYDNTKSLFLRAAHAANCTDRSKHFEESGFQLPAAEAAQHRLHHGVQTSKSFGDMLCALAACERLVLLESDPKELRDYSILLYHCGFYEEAQKCLEMYQKTKSLSLQKQPSCKYNILEEDAVEKLKVRLDLISMENGWSKPSRV >Manes.15G192920.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32095379:32100279:-1 gene:Manes.15G192920.v8.1 transcript:Manes.15G192920.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSPQSPIHTASLLRYPSPSKSPVFLCSSSPRRRLFCHGASKPMTHLNFVLHDALDSCGVDTTLARESRMGFLTQIQNLSGIERETSISINNCVDLGKTALYIAAEDHALVSRSLVPLPVDDFIQRLDDLSMGYCSIYRSSFSSSPESFLHSLDSYLYVNKGFRRTNCMDQSEAWALYLPLVLTYRSGSSIMLSLIYSEILKMLRLWGLVDFDCEIFFPHDLHDLPRGYDKQKSKESDQACIMTTETLLEETLRSLKEAFWPFQYDNTKSLFLRAAHAANCTDRSKHFEESYSGFQLPAAEAAQHRLHHGVQTSKSFGDMLCALAACERLVLLESDPKELRDYSILLYHCGFYEEAQKCLEMYQKTKSLSLQKQPSCKYNILEEDAVEKLKVRLDLISMENGWSKPSRV >Manes.15G192920.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32096545:32100142:-1 gene:Manes.15G192920.v8.1 transcript:Manes.15G192920.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSPQSPIHTASLLRYPSPSKSPVFLCSSSPRRRLFCHGASKPMTHLNFVLHDALDSCGVDTTLARESRMGFLTQIQNLSGIERETSISINNCVDLGKTALYIAAEDHALVSRSLVPLPVDDFIQRLDDLSMGYCSIYRSSFSSSPESFLHSLDSYLYVNKGFRRTNCMDQSEAWALYLPLVLTYRSGSSIMLSLIYSEILKMLRLWGLVDFDCEIFFPHDLHDLPRGYDKQKSKESDQACIMTTETLLEETLRSLKEAFWPFQYDNTKSLFLRAAHAANCTDRSKHFEESGFQLPAAEAAQHRLHHGVQTSKSFGDMLCALAACERLVLLESDPKELRDYSILLYHCGFYEEAQKCLEMYQKTKSLSLQKQPSCKYNILEEDAVEKLKIGIFSLLQACKCSAST >Manes.15G192920.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32096545:32100142:-1 gene:Manes.15G192920.v8.1 transcript:Manes.15G192920.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSPQSPIHTASLLRYPSPSKSPVFLCSSSPRRRLFCHGASKPMTHLNFVLHDALDSCGVDTTLARESRMGFLTQIQNLSGIERETSISINNCVDLGKTALYIAAEDHALVSRSLVPLPVDDFIQRLDDLSMGYCSIYRSSFSSSPESFLHSLDSYLYVNKGFRRTNCMDQSEAWALYLPLVLTYRSGSSIMLSLIYSEILKMLRLWGLVDFDCEIFFPHDLHDLPRGYDKQKSKESDQACIMTTETLLEETLRSLKEAFWPFQYDNTKSLFLRAAHAANCTDRSKHFEESYSGFQLPAAEAAQHRLHHGVQTSKSFGDMLCALAACERLVLLESDPKELRDYSILLYHCGFYEEAQKCLEMYQKTKSLSLQKQPSCKYNILEEDAVEKLKIGIFSLLQACKCSAST >Manes.04G049500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8149216:8151978:-1 gene:Manes.04G049500.v8.1 transcript:Manes.04G049500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKSKILIIGATGYIGEYMVKASLSMAYPTYAYVRPLKASDTHYSSKLQLHRQFQSMGVTVFQGELDEHEKLVSVLKQVDVVISTLAVPQYLDQLKIISAMKKAGNIKRFVPSEFGNEVDRVSGLPPFEAILANKRRIRRATEVAGVPYTYISANSFAAYFINYLLRPYEKTDQFIVYGKGDAMAYSVRAATDPRTTNRVIICRPPGNIISQLDLISRWEKKTGRTFKKMHIPEEEIVKLSETLTFPGNIPVSILHNIFIKGDQMSFKLTAEDLEASKLYSDYMYTSIDKLLDRCLINPPKPKRVAFA >Manes.04G049500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8149216:8151978:-1 gene:Manes.04G049500.v8.1 transcript:Manes.04G049500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKSKILIIGATGYIGEYMVKASLSMAYPTYAYVRPLKASDTHYSSKLQLHRQFQSMGVTVFQGELDEHEKLVSVLKQVDVVISTLAVPQYLDQLKIISAMKKAGNIKRFVPSEFGNEVDRVSGLPPFEAILANKRRIRRATEVAGVPYTYISANSFAAYFINYLLRPYEKTDQFIVYGKGDAMGNIISQLDLISRWEKKTGRTFKKMHIPEEEIVKLSETLTFPGNIPVSILHNIFIKGDQMSFKLTAEDLEASKLYSDYMYTSIDKLLDRCLINPPKPKRVAFA >Manes.04G049500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8149216:8151978:-1 gene:Manes.04G049500.v8.1 transcript:Manes.04G049500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKSKILIIGATGYIGEYMVKASLSMAYPTYAYVRPLKASDTHYSSKLQLHRQFQSMGVTVFQGELDEHEKLVSVLKQVDVVISTLAVPQYLDQLKIISAMKKAGNIKRFVPSEFGNEVDRVSGLPPFEAILANKRRIRRATEVAGVPYTYISANSFAAYFINYLLRPYEKTDQFIVYGKGDAMAVLNYEEDVAAYSVRAATDPRTTNRVIICRPPGNIISQLDLISRWEKKTGRTFKKMHIPEEEIVKLSETLTFPGNIPVSILHNIFIKGDQMSFKLTAEDLEASKLYSDYMYTSIDKLLDRCLINPPKPKRVAFA >Manes.11G091452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:18007729:18008256:-1 gene:Manes.11G091452.v8.1 transcript:Manes.11G091452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAIIQNKLPSKLKDTGSFTIPCNISNVGFIRALCDLGTSTNLMPLFVFRKLGLGEVKPTRVYLQLANRSVTYPKGVIKDMLVKVDKFIFSIDFIILNMEKDREISFILGHPFLATGMTLIDLHEGKLTLRVGQEEVTFNILQSTNYSNTTDNCLGLTLLINVLEVIWMIVEINLKV >Manes.11G143600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30830983:30831795:-1 gene:Manes.11G143600.v8.1 transcript:Manes.11G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQSCVLFSLLIVFVYCTITLAQSPAAAPAQGPVAASPPSPVISQTPAASPAQAASAPAPTNVTKILEKSGHFTVFIRLLKSTQEENHLLTVLNNSNNGLTIFAPTDGAFSTLKSGTLNSLTDEQKSELVKFHVIPTFLSTSQFQTVTNPVGTEAGSGGRVALNFTTYPNSVNITTGLTNTSISGTVYADNQLALYRVDKVLLPMDIFTSKPPSPAPGPAPEKLKPKKEAPVAETPVVSATVTTSGAVSSVHYHAMLLGICVVAAMLSL >Manes.06G035700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:10457805:10460507:1 gene:Manes.06G035700.v8.1 transcript:Manes.06G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETGQPSVSLRDKMSNWKAQALEAYKRKPISHWILLLLSVGAMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPLTALILFPTYFFCKTSPTPLTLKLLVSYIFLGFLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGYFIVHNKLNASIINAIVIITAAMTIIALDSDSDRYDYVSEHQYIMGFIWDTLGSALHGLIFALSELVFIKLLGKRSFLVVLEQQVMVSFFGFVFTTIGIIVNKDFQAMKSEAKNFEGGEVSYILVLVWSTITFQLGVLGSTAVLYLASTVLAGVLNAVRVPITSIAAVILLHDPMSGFKILSLIITFWGFGSYIYGNSSASKVSSS >Manes.06G035700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10457805:10462336:1 gene:Manes.06G035700.v8.1 transcript:Manes.06G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVLLEAGERMDEETGQPSVSLRDKMSNWKAQALEAYKRKPISHWILLLLSVGAMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPLTALILFPTYFFCKTSPTPLTLKLLVSYIFLGFLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGYFIVHNKLNASIINAIVIITAAMTIIALDSDSDRYDYVSEHQYIMGFIWDTLGSALHGLIFALSELVFIKLLGKRSFLVVLEQQVMVSFFGFVFTTIGIIVNKDFQAMKSEAKNFEGGEVSYILVLVWSTITFQLGVLGSTAVLYLASTVLAGVLNAVRVPITSIAAVILLHDPMSGFKILSLIITFWGFGSYIYGNSSASKVSSS >Manes.06G035700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10457805:10462055:1 gene:Manes.06G035700.v8.1 transcript:Manes.06G035700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVLLEAGERMDEETGQPSVSLRDKMSNWKAQALEAYKRKPISHWILLLLSVGAMLVAFPASSLLSRVYYANGGTSKWIISWVAVAGWPLTALILFPTYFFCKTSPTPLTLKLLVSYIFLGFLSAADNLMYAYAYAYLPASTASLLASSSLVFSALFGYFIVHNKLNASIINAIVIITAAMTIIALDSDSDRYDYVSEHQYIMGFIWDTLGSALHGLIFALSELVFIKLLGKRSFLVVLEQQVMVSFFGFVFTTIGIIVNKDFQAMKSEAKNFEGGEVSYILVLVWSTITFQLGVLGSTAVLYLASTVLAGVLNAVRVPITSIAAVILLHDPMSGFKILSLIITFWGFGSYIYGNSSASKVSSS >Manes.10G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30869882:30872136:-1 gene:Manes.10G141200.v8.1 transcript:Manes.10G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKGISATSEKTRRFKRICVFCGSRAGYKSSFGDAALQLGKELVERKINLVYGGGSVGLMGLISQTVFNGGCHVLGVIPTALRLHEISGETIGEVITVADMHQRKAEMAKHADGFIALPGGYGTLEELLEIIAWSQLGIHDKPVGLLNVDGYYNSLLSLFDKGVEEGFIKDTARHIVVIAETAAELIKKMEEYTAVHDKVAPRQSWEVDQLSESTTGGEPLGF >Manes.18G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1887971:1891094:1 gene:Manes.18G018800.v8.1 transcript:Manes.18G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGGSISSRRMWCSVPERLQLHLAMLALQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPAITLNFLIQFFLLALVGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAALLRIEKVRLNRKDGIGKIVGTICCVAGATVITLYKGPVIYSPAPRLDRPSTQMFVSLGDARGKNWTLGCIYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLIIAAFTERDPQAWIFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALAEEFYLGGIIGAVLIIIGLYLVLWGKSEEKKFAAKESAVIQSAPEHANLRPQAHIKTSLTQPLLPPSTENV >Manes.04G041200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6791562:6799881:1 gene:Manes.04G041200.v8.1 transcript:Manes.04G041200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MSLAFLRTPFLPTAFGRFKCHKMSITRFSSNTEISASDPIADCGEVSQPEIRVYVRKKRMKKTLKVVVKDPLIEPKKEKLPDIEDFAYKKAGGSAHLKKSKLITDVLPVDIGTASMIRQTDKPPANWEIVLEGIRKMRSSEDAPVDTMGCEKAGTFLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLHQNNMLTADAIDRADETTIKNLIYPVGFYTRKASNLKKIAKICLVKYDGDIPSSLEDLLALPGIGPKMAHLVMNIAWNNVQGICVDTHVHRICNRLGWVSRPSTNQKTSTPEETRVSLQQWLPKEEWVPINPLLVGFGQTICTPLRPRCSMCSVNEFCPSAFKEMSSPTSKKKL >Manes.04G041200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6791563:6798545:1 gene:Manes.04G041200.v8.1 transcript:Manes.04G041200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MSLAFLRTPFLPTAFGRFKCHKMSITRFSSNTEISASDPIADCGEVSQPEIRVYVRKKRMKKTLKVVVKDPLIEPKKEKLPDIEDFAYKKAGGSAHLKKSKLITDVLPVDIGTASMIRQTDKPPANWEIVLEGIRKMRSSEDAPVDTMGCEKAGTFLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLHQNNMLTADAIDRADETTIKNLIYPVGFYTRKASNLKKIAKICLVKYDGDIPSSLEDLLALPGIGPKMAHLVMNIAWNNVQGICVDTHVHRICNRLGWVSRPSTNQKTSTPEETRVSLQQWLPKEEWVPINPLLVGFGQTICTPLRPRCSMCSVNEFCPSAFKEMSSPTSKKKL >Manes.04G041200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6791525:6799881:1 gene:Manes.04G041200.v8.1 transcript:Manes.04G041200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MSLAFLRTPFLPTAFGRFKCHKMSITRFSSNTEISASDPIADCGEVSQPEIRVYVRKKRMKKTLKVVVKDPLIEPKKEKLPDIEDFAYKKAGGSAHLKKSKLITDVLPVDIGTASMIRQTDKPPANWEIVLEGIRKMRSSEDAPVDTMGCEKAGTFLPPKERRFAVLVSSLLSSQTKDHVTHGAIQRLHQNNMLTADAIDRADETTIKNLIYPVGFYTRKASNLKKIAKICLVKYDGDIPSSLEDLLALPGIGPKMAHLVMNIAWNNVQGICVDTHVHRICNRLGWVSRPSTNQKTSTPEETRVSLQQWLPKEEWVPINPLLVGFGQTICTPLRPRCSMCSVNEFCPSAFKEMSSPTSKKKL >Manes.14G067800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5650585:5654292:1 gene:Manes.14G067800.v8.1 transcript:Manes.14G067800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSCINLLDLASGDMLNLPRTPRSLPRVMTVPGIFSDTDGDGSNDGDSDAPSSGCGKKQIIVANFLPLHAQKDLNSSKWSFSFDEDSILLQMKDGFSINTEVVYVGSLKVDVDTSEQEEVSQKLLEEFNCVPTFLPPDLHKKFYHGFCKHQLWPLFHYMLPMFPDHGERFDKLLWQAYVSANKVFADKVMEVINPEEDYVWVHDYHLMVLPTFLRKRFYRIKLGFFLHSPFPSSEIYRNLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGVHMGRLESALNHPSSSIKVKEIKKQFEGKRIIVGADDMDIFKGISLKLLAMEHLLQQNPELRGKVVMVQIVNPARSSGKDVQEAKKETYSITKRINRIFGFPGYEPVVLIDRHVPFYEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGINHQLPHSSTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWARSFMQDLERACKDHYSKRCWGIGFGLNFRILSLSPSFRKLSNEHIVSAYKKTYRRVIFLDYDGTVVPQTSIVKTPSSEVISVLNNLCSDPKNTVFIVSGRGKDPLSDWFAQCENLGIAAEHGYLMRWNKTSSWETSSLVADFDWKRIAVPVIKLYTEATDGSYIETKESALVWHHQYADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVKPQGVTKGLVAERVLSAMINNGKSPDFVMCIGDDRSDEDMFESISRTASSLSFCSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLANASSSKLRVSTEVQVSFDNLV >Manes.14G067800.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5650585:5654016:1 gene:Manes.14G067800.v8.1 transcript:Manes.14G067800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSCINLLDLASGDMLNLPRTPRSLPRVMTVPGIFSDTDGDGSNDGDSDAPSSGCGKKQIIVANFLPLHAQKDLNSSKWSFSFDEDSILLQMKDGFSINTEVVYVGSLKVDVDTSEQEEVSQKLLEEFNCVPTFLPPDLHKKFYHGFCKHQLWPLFHYMLPMFPDHGERFDKLLWQAYVSANKVFADKVMEVINPEEDYVWVHDYHLMVLPTFLRKRFYRIKLGFFLHSPFPSSEIYRNLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGVHMGRLESALNHPSSSIKVKEIKKQFEGKRIIVGADDMDIFKGISLKLLAMEHLLQQNPELRGKVVMVQIVNPARSSGKDVQEAKKETYSITKRINRIFGFPGYEPVVLIDRHVPFYEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGINHQLPHSSTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWARSFMQDLERACKDHYSKRCWGIGFGLNFRILSLSPSFRKLSNEHIVSAYKKTYRRVIFLDYDGTVVPQTSIVKTPSSEVISVLNNLCSDPKNTVFIVSGRGKDPLSDWFAQCENLGIAAEHGYLMRYFIGINMLFFRS >Manes.14G067800.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5650585:5654663:1 gene:Manes.14G067800.v8.1 transcript:Manes.14G067800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSCINLLDLASGDMLNLPRTPRSLPRVMTVPGIFSDTDGDGSNDGDSDAPSSGCGKKQIIVANFLPLHAQKDLNSSKWSFSFDEDSILLQMKDGFSINTEVVYVGSLKVDVDTSEQEEVSQKLLEEFNCVPTFLPPDLHKKFYHGFCKHQLWPLFHYMLPMFPDHGERFDKLLWQAYVSANKVFADKVMEVINPEEDYVWVHDYHLMVLPTFLRKRFYRIKLGFFLHSPFPSSEIYRNLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGVHMGRLESALNHPSSSIKVKEIKKQFEGKRIIVGADDMDIFKGISLKLLAMEHLLQQNPELRGKVVMVQIVNPARSSGKDVQEAKKETYSITKRINRIFGFPGYEPVVLIDRHVPFYEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGINHQLPHSSTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWARSFMQDLERACKDHYSKRCWGIGFGLNFRILSLSPSFRKLSNEHIVSAYKKTYRRVIFLDYDGTVVPQTSIVKTPSSEVISVLNNLCSDPKNTVFIVSGRGKDPLSDWFAQCENLGIAAEHGYLMRYFIGINMLFFRS >Manes.14G067800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5650585:5654669:1 gene:Manes.14G067800.v8.1 transcript:Manes.14G067800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAYVSANKVFADKVMEVINPEEDYVWVHDYHLMVLPTFLRKRFYRIKLGFFLHSPFPSSEIYRNLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGVHMGRLESALNHPSSSIKVKEIKKQFEGKRIIVGADDMDIFKGISLKLLAMEHLLQQNPELRGKVVMVQIVNPARSSGKDVQEAKKETYSITKRINRIFGFPGYEPVVLIDRHVPFYEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGINHQLPHSSTIVVSEFIGCSPSLSGAIRVNPWDVEAVADALNLALTMPDLEKQLRHEKHYRYISSHDVAYWARSFMQDLERACKDHYSKRCWGIGFGLNFRILSLSPSFRKLSNEHIVSAYKKTYRRVIFLDYDGTVVPQTSIVKTPSSEVISVLNNLCSDPKNTVFIVSGRGKDPLSDWFAQCENLGIAAEHGYLMRWNKTSSWETSSLVADFDWKRIAVPVIKLYTEATDGSYIETKESALVWHHQYADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVKPQGVTKGLVAERVLSAMINNGKSPDFVMCIGDDRSDEDMFESISRTASSLSFCSAPEIFACTVGQKPSKARYYLDDTVDVLALLQGLANASSSKLRVSTEVQVSFDNLV >Manes.09G176800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36732071:36733006:1 gene:Manes.09G176800.v8.1 transcript:Manes.09G176800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQKKLLIHKVYGLYDQISSLESLKPSKDVDMLFTQLVLTCIPPNPIDVNKLCMKIQDMRSKLITLCGEAEALLEDHFSTILASFENPLDHLIVFPYYSNYLKLSHLEFTILEQHHPHVPTQVAFVGSGPLPLTSIVLATNHLTSASFHNYDIDPSANSKAFRLVSSHPDLSKRFFFHTTDILNVTNGLKEFDVVFLAALVGMDKEEKIRVIHHLAKYMAHGAILMARSAHGARAFLYPVVDPCDLIGFEVLSVFHPIDEVINSVIIARKHHQMAIRS >Manes.04G105600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31063769:31066400:1 gene:Manes.04G105600.v8.1 transcript:Manes.04G105600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSIDLPQECWELVFNFLHHHRHFEFLSLVSTRFLSITDHLRGTLTISSQAVPLLPRLFERFPNVKVIDIREFDGDLNSLLNQISRSGLDLETLGFSNQNHFPLKGLRDLSSSMRNLRKLNCSKIGSLEDIHLFAIGMSFPFLEDLDISFPQYNSRFNPIGSLDLQNFSGVVTDEGIVDLARKVNKLRRIDLSGNHFITDKSLQALSLNCVLLSEVVVRDCDFITQNGISFVMRNSTNLNSISLDGIGIPSIDSSFLESFTYAKTLCELHLSNSFISDDLLCLVAEACLPLKKLIIAQCYNFSFVGVSCLLYRYQFLEHLDLEGANFLNDASMVEMSNFLLNLSFINLSSCSKLTSLTIFALIRNCPLMEDVRMERTNLGVEAFMGDLVINRRVKSLKLGGNNNLSDECLKKAAFCCPSLQVLDISYCPTITEEGIKEVLRHSGEIRHLEMNRCMGIKNLDLNFELPKLEVLQVQGPGMDDEALVVIAKRCQKLLHLDLEGCLNVTAKGVKEVVQNCTRLREINLRWCYNINVDIVARMVFARPSLRRIVPPCGFTSTDNQKMFFLRHGCLICKG >Manes.01G218800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38769778:38774551:-1 gene:Manes.01G218800.v8.1 transcript:Manes.01G218800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRSKRRKVSMKPAVKKNQGNIDHVTGDKIPKSFVFSRGKLPSLLKQLQMDLRKLMLPYTALNLREKKRNNLRDFLNVSGPMGVTHFLILSKTETAPYLRVARTPQGPTLTFKIHEYSLAQDVAQSQLRPRCPQDLFKNPPLIVLSGFGSGEQHLKLTTIMFQNIFPAIDINTVKLASCQRIVLLSYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRSLQDVSDFVTKAGYGSESEADDEAATVTLVSDLGRVNRASTKSAVKLQEIGPRMTLQLIKIEEGLCSGSVIFSEYGVVRDKKMQEENQKNQEEDEDNQGDDDGDDDIEENKEEDEEECV >Manes.02G206750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18378643:18379903:-1 gene:Manes.02G206750.v8.1 transcript:Manes.02G206750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHNLRVTLDKKSYVLDEAIPEPPPADATNAVKNKHKDDSNDIGCLMLAIMCPELQKDLEHLEAYEMSVHLKQAFQQQARQDSFSQFFMNYNMNNMEKSILELHGMLKTAEVNIKKRPTQILNVNKGKPMKNKGKPKSKGGNGPKGQDKPKWQSKAKVPKEIVYKEGVCFHCKEPGHWKRNCKLYLDECKKKKSSETTTSDIYGLKRSRKLNKGCNDPKSDRYRH >Manes.08G026801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2590613:2592638:-1 gene:Manes.08G026801.v8.1 transcript:Manes.08G026801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDQNYSQPELELSPSLPTQIEPELLIPKPLWAIPPLILP >Manes.08G026801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2590613:2592638:-1 gene:Manes.08G026801.v8.1 transcript:Manes.08G026801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGKPPDLKKYMRKKLQNQNYSQPELELSPSLPTQIEPELLIPKPLWAIPPLILP >Manes.08G026801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2590613:2592638:-1 gene:Manes.08G026801.v8.1 transcript:Manes.08G026801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDQNYSQPELELSPSLPTQIEPELLIPKPLWAIPPLILP >Manes.01G213400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38357544:38360146:1 gene:Manes.01G213400.v8.1 transcript:Manes.01G213400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKPLFLFQFCCGNMFKFGFSKGASISRNSLAEILPKGFSTQIERKLEGKVALITGAANGVGKATATKFINNGAKVIIADIQHQLGQDTAKELGPNVAFIACDVTKESDISNAVDFAISKFNQLDIMYNNAGVHCNSSPSIADLDLALFDRVMSINARGVMAGIKHASRVMIPRRTGSILCTASITGIMAGVSQHSYAVSKATVIGIVKTVASELCKYGIRVNSISPFIMPTPFIKEAMNQLYPGIDAQRLVKIVHNTGVLEGENCEPIDIANAAVYLASEDAKYVNGHNLVVDGGFTSFKSLGFPAPDQV >Manes.01G213400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38358098:38360146:1 gene:Manes.01G213400.v8.1 transcript:Manes.01G213400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKPLFLFQFCCGNMFKFGFSKGASISRNSLAEILPKGFSTQIERKLEGKVALITGAANGVGKATATKFINNGAKVIIADIQHQLGQDTAKELGPNVAFIACDVTKESDISNAVDFAISKFNQLDIMYNNAGVHCNSSPSIADLDLALFDRVMSINARGVMAGIKHASRVMIPRRTGSILCTASITGIMAGVSQHSYAVSKATVIGIVKTVASELCKYGIRVNSISPFIMPTPFIKEAMNQLYPVYLASEDAKYVNGHNLVVDGGFTSFKSLGFPAPDQV >Manes.15G016000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1308376:1309695:-1 gene:Manes.15G016000.v8.1 transcript:Manes.15G016000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFFSRFTEMGCRESKHAVATGNTISRKKSDAGSRKSKYIKTVGETSDKGKKTDSLVQQEESQNVSKCLVDEDALAVGDEVKKDITDEHRELKEGAEQMNKGDGVAVEEQEAPAAGRLISKESPNRFFSSRKDEDVEGFAAEGRSEFFSPRLGSEKENSFSDCVKSDDVVEETLDPQKMVKSAVLGSNGLGASQ >Manes.05G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6637323:6640243:-1 gene:Manes.05G080800.v8.1 transcript:Manes.05G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAQPYRKSDLEAGGSPLYPMMLESPQLRWAFIRKVYSILSIQLLATIVVASIVVFVHPVANFFVSSGAGLALYIVLIVLPFIVLCPLYYYHQKHPVNFLLLGIFTVSLSFVVGLTCAFTSGKVILESVILTTVVVLSLTLYTFWAARRGHDFNFLGPFLFGAILVLMVFALIQILFPLGKISVMIYGCLAAIIFCGYIIYDTDNLIKRHSYDEYIWAAVSLYLDVINLFLALLTVFRAAEN >Manes.03G055500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5400327:5407900:1 gene:Manes.03G055500.v8.1 transcript:Manes.03G055500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATSICSISTNNLPLYGYPRIQIGSFKYRPLFSPWRATCTATSPRSSFTNMVSNGYVGRGSSSFRYNTSANNSNSKKLYTIIDSCLVVPPPKGKNPRAIIKFLGGAFIGAVPEVTYGNGALLQVLTGSYFCDKIPKANVIISFNNRPATEAVPYFEQLGPLVNQMMPIMEANPMYSMARSASGDAWKMLTDTAGAIIPEIERDALTSLTKFVDQLPSVLNQVTEGVSEFKPKPSENRDCFRNSYNVQHTLLVKFSSDTIDETDLLEETLKPRVESMGGTIEKVQLSGNHITPCIQEPKWQVGYVYTPADAIAQVLKTLSLNETRVLSRTISNWFRRFED >Manes.03G055500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5400327:5406774:1 gene:Manes.03G055500.v8.1 transcript:Manes.03G055500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATSICSISTNNLPLYGYPRIQIGSFKYRPLFSPWRATCTATSPRSSFTNMVSNGYVGRGSSSFRYNTSANNSNSKKLYTIIDSCLVVPPPKGKNPRAIIKFLGGAFIGAVPEVTYGNGALLQVLTGSYFCDKIPKANVIISFNNRPATEAVPYFEQLGPLVNQMMPIMEANPMYSMARSASGDAWKMLTDTAGAIIPEIERDALTSLTKFVDQLPSVLNQVTEGVSEFKPKPSENRDCFRNSYNVQHTLLVKFSSDTIDETDLLEETLKPRVESMGGTIEKVQLSGNHITPCIQEPKWQVGYVYTPADAIAQVLKTLSLNETRVLSRTISNWFRRFED >Manes.03G055500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5400327:5407900:1 gene:Manes.03G055500.v8.1 transcript:Manes.03G055500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATSICSISTNNLPLYGYPRIQIGSFKYRPLFSPWRATCTATSPRSSFTNMVSNGYVGRGSSSFRYNTSANNSNSKKLYTIIDSCLVVPPPKGKNPRAIIKFLGGAFIGAVPEVTYGYLIELLAKEGYLVILVPYNVTFDHAHATRQVYERFNACLDLILTSGLPDANLTAAQLVGLPLFSVGHSNGALLQVLTGSYFCDKIPKANVIISFNNRPATEAVPYFEQLGPLVNQMMPIMEANPMYSMARSASGDAWKMLTDTAGAIIPEIERDALTSLTKFVDQLPSVLNQVTEGVSEFKPKPSENRDCFRNSYNVQHTLLVKFSSDTIDETDLLEETLKPRVESMGGTIEKVQLSGTKMASRLCVHSCGCHCSSSEDSLTE >Manes.03G055500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5400327:5406774:1 gene:Manes.03G055500.v8.1 transcript:Manes.03G055500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATSICSISTNNLPLYGYPRIQIGSFKYRPLFSPWRATCTATSPRSSFTNMVSNGYVGRGSSSFRYNTSANNSNSKKLYTIIDSCLVVPPPKGKNPRAIIKFLGGAFIGAVPEVTYGYLIELLAKEGYLVILVPYNVTFDHAHATRQVYERFNACLDLILTSGLPDANLTAAQLVGLPLFSVGHSNGALLQVLTGSYFCDKIPKANVIISFNNRPATEAVPYFEQLGPLVNQMMPIMEANPMYSMARSASGDAWKMLTDTAGAIIPEIERDALTSLTKFVDQLPSVLNQVTEGVSEFKPKPSENRDCFRNSYNVQHTLLVKFSSDTIDETDLLEETLKPRVESMGGTIEKVQLSGNHITPCIQEPKWQVGYVYTPADAIAQVLKTLSLNETRVLSRTISNWFRRFED >Manes.03G055500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5400327:5407900:1 gene:Manes.03G055500.v8.1 transcript:Manes.03G055500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATSICSISTNNLPLYGYPRIQIGSFKYRPLFSPWRATCTATSPRSSFTNMVSNGYVGRGSSSFRYNTSANNSNSKKLYTIIDSCLVVPPPKGKNPRAIIKFLGGAFIGAVPEVTYGYLIELLAKEGYLVILVPYNVTFDHAHATRQVYERFNACLDLILTSGLPDANLTAAQLVGLPLFSVGHSNGALLQVLTGSYFCDKIPKANVIISFNNRPATEAVPYFEQLGPLVNQMMPIMEANPMYSMARSASGDAWKMLTDTAGAIIPEIERDALTSLTKFVDQLPSVLNQVTEGVSEFKPKPSENRDCFRNSYNVQHTLLVKFSSDTIDETDLLEETLKPRVESMGGTIEKVQLSGNHITPCIQEPKWQVGYVYTPADAIAQVLKTLSLNETRVLSRTISNWFRRFED >Manes.12G137800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34436360:34440350:-1 gene:Manes.12G137800.v8.1 transcript:Manes.12G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKFKVESPNVKYTEDEVHSVYNYETTELVHENRNGTFQWIVKPKTVKYEFKTNTHVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQDSRANNVIKGTKKEQVQQIVKDIREFKEKNKVDKVVVLWTANTERYSNVVVGLNDTMENLMAALERNEVEISPSTLYALACIFEEVPFINGSPQNTFVPGVIDLAIKRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGQNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEEEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >Manes.12G089700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13837764:13838870:1 gene:Manes.12G089700.v8.1 transcript:Manes.12G089700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGKLVFCYFLVALFSSSLIHARESKFFSKFTHYSITKNVKKSNLSPIEAPAPTPSIALAPTQAQAPAPTPESIYMQSSENGDHGLYGQGSGLFPPAKETATENELVNEEFDGKTYEKEYQSSNYNNNGFTGNYNYNNGVKFASESHETGDQNNYNGYTESFNNNGYEAAGFSYNNKNGYNSNYKNNGYVTEQRQGMSDTRFVEGGKYYYDVKNENYYYPANGYESGKVSNQNQVYYGNSENQNEFNTMEFEDQELYNEESPEDESLP >Manes.07G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5242040:5242502:-1 gene:Manes.07G046200.v8.1 transcript:Manes.07G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEGKRDGSQTKLLLSRIDGAIQVRSDVDSTFYSLVGSGRSGGPPRLLSYRESIYLLSMYGRLSLEHRFKFGIDGKIKWST >Manes.03G019800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1701920:1704006:1 gene:Manes.03G019800.v8.1 transcript:Manes.03G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSNKNIQAKLVLLGDMGTGKTSLVLRFVKGQFFDFQESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDITSMESFERAKKWVLELQRQGNPNLIMFLAANKADLEEKRKVAIEEGEQYAKENGLVFLETSAKTAQNVNELFYEIAKELVKAAPSRPTGMKLHTRQQESGRRMFCCS >Manes.03G019800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1702255:1704006:1 gene:Manes.03G019800.v8.1 transcript:Manes.03G019800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKTSLVLRFVKGQFFDFQESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDITSMESFERAKKWVLELQRQGNPNLIMFLAANKADLEEKRKVAIEEGEQYAKENGLVFLETSAKTAQNVNELFYEIAKELVKAAPSRPTGMKLHTRQQESGRRMFCCS >Manes.18G090516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8337775:8342942:-1 gene:Manes.18G090516.v8.1 transcript:Manes.18G090516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAKYIGKQPMAMDIEQMPDTPQRGTHHRRAHSDTSFRFDDLLLFDPSDLDLSSLDLPAPTPLPLAVDCGSLSDDSASLNGPDTKPKPINHLRSLSVDSDFFDGLGLTTGVGDEKFGGKVAATAAGEKRVHHRHSNSMDGFTTLSFDIDSVMVDDVNKTTGPDRLAELALIDPKRAKRILANRQSAARSKERKIRYTNELEKKVQTLQSEATTLSAQVTKLQRDTTGLTTENKELKLRLQAMEQQAQLRDALNEALREEVQRLKIATRQIPSVSGNPFNRGSTPLFSSHQPVLHHFGSSLAQQHQQQQRHVPQPSANNQTLNEQPHPGFTNFSQRV >Manes.03G118200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24417438:24421698:-1 gene:Manes.03G118200.v8.1 transcript:Manes.03G118200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFKFLLSFFSAFFYCFVVSIAVDTLAVNQTIRDGETIVSASGRFALGFFSYSGSSSRYVGIWYPFSNETVVWVANRETPLNDSSGVLQLTSNGILVLHNSTKTLVWFTNTSREAQSPVAQLLNSGNLVIREVNDTNEDNYLWQSFDYLSDTFLPGVKFGRNLVTDHDRYLVSWKTPSDPSLGDFTTRLDPSGYPQIFIRKGDKIEFRSGPWNGLRFSGMPNLKANQIYSYEFVYNQEEIYYRYDLVGNSAISMMVLNYEGAFQRLTWANRTRTWNVYLTIQMDSCDRYSVCGAYGSCNINNSPACGCLSGFVPRNEQAWISGDWRDGCVRKNESICGAGEGFQKISGVKLPDTRKSWFNRNMELSDCERMCLKNCSCTAYSTLNITDGSGCLLWFGELVDIRIYNEEGQDFYIRLAASDLESSDSSHHSGGQTRAWIIVLCTLVAVAALLGFCLLLHIRRKRFQRRGSIAMTQQRGYTDESRKKDLELPLFDLSTIANATDNFSQYNKLGEGGFGPVYKGTLKDGQEIAVKRLSKDSTQGLDEFKNEVIFIAKLQHRNLVKLLGCCIELEEKMLIYEYMSNKSLDAFIFVCFNVLRSKAEKTAGLAYEIPHH >Manes.03G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24417438:24421698:-1 gene:Manes.03G118200.v8.1 transcript:Manes.03G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFKFLLSFFSAFFYCFVVSIAVDTLAVNQTIRDGETIVSASGRFALGFFSYSGSSSRYVGIWYPFSNETVVWVANRETPLNDSSGVLQLTSNGILVLHNSTKTLVWFTNTSREAQSPVAQLLNSGNLVIREVNDTNEDNYLWQSFDYLSDTFLPGVKFGRNLVTDHDRYLVSWKTPSDPSLGDFTTRLDPSGYPQIFIRKGDKIEFRSGPWNGLRFSGMPNLKANQIYSYEFVYNQEEIYYRYDLVGNSAISMMVLNYEGAFQRLTWANRTRTWNVYLTIQMDSCDRYSVCGAYGSCNINNSPACGCLSGFVPRNEQAWISGDWRDGCVRKNESICGAGEGFQKISGVKLPDTRKSWFNRNMELSDCERMCLKNCSCTAYSTLNITDGSGCLLWFGELVDIRIYNEEGQDFYIRLAASDLESSDSSHHSGGQTRAWIIVLCTLVAVAALLGFCLLLHIRRKRFQRRGSIAMTQQRGYTDESRKKDLELPLFDLSTIANATDNFSQYNKLGEGGFGPVYKGTLKDGQEIAVKRLSKDSTQGLDEFKNEVIFIAKLQHRNLVKLLGCCIELEEKMLIYEYMSNKSLDAFIFDQKRRKLLDWPMRFHIINGIARGLLYLHQDSRLRIIHRDLKASNILLDYDMNPKISDFGMARSFGGNEIQGNTKRVVGTYGYMSPEYAIDGQFSIKSDVFSFGVLVLEIVSGKKNRGFNHPDHRHNLLGHAWRLYLEERSMELIDESIKESCILSEVMRSIHVGLLCVQQSPDDRPNMSNVVLMLSSDIPLPEAKEPGFFTERKVLDHESSSSKVDSCSVNEMTITLLAAR >Manes.09G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30522200:30526828:-1 gene:Manes.09G103700.v8.1 transcript:Manes.09G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQEPGLLERGNLKGLSKELRHGRTAHNMSSSSLRKKSDLTLVSKLRCGLLRSFLTNLQEVILGTKLSVLFPAIPLAIVAECYGFGRPWIFALSLLGLIPLAERLSFLTEQVAYYTGPTVGGLLNATCGNATELIIAIFALSQHKIEVVKYSLLGSIISNLLLVLGTSLFCGGIANLRREQKYDRKQADVNILLLLLALLCHILPLLFRISGASAALTAVPTLQLSRASSIIMLLAYIAYIIFQLVTHRQLFEAPEELEDGDDVISDEAPVMGFWSGIAWLVGMTAIIAVLSEYVVGTIEAASDSWGLSISFISIILLPIVGNAAEHAGAVIFAFKNKLDISLGVALGSATQISMFVVPMCVIVAWIMGIKMDLNFNLLETGNLALAIIATAFALQDGTSHYLKGLALLLFYFVMGACFFVSKAPFNQGNIINLGVETASAGAVLTA >Manes.11G020900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2220751:2222946:-1 gene:Manes.11G020900.v8.1 transcript:Manes.11G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQPLIGLSWEPKLPPLSSSSKISNTKSQVRPESSGLWKPNSELIDGLFVPPNDPRKVNKLQRSQAKDTLGKNWFDMPAPTMTPELKKDLQLLKLRGALDPKRHYKKGDSKSKTLPKYFQVGTVVESSTDFFSGRLTKKERKATIADEVLSDRTLTAYRKRKVREIEEQNRPAGNEKWKIKGRQSRKRAKERRH >Manes.16G138100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34057698:34060149:1 gene:Manes.16G138100.v8.1 transcript:Manes.16G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVECWIPLLLLFFLPTSSSSDSNAAILITFKNLLTNSEALYNWNETVNPCNWVGLHCKDGAIDKLALESMGLSGKIDIDLLVQLPKLRALSLMNNSFEGPLPALNKLASLRALYLSSNKFSGELADDAFAGMNSLIQLYLANNNFTGPIPSSLLPLSKLVRLSLENNQFEGQIPDFQQNFSLFNVSNNHLNGEIPAALASINPTSFAGNDGLCGKPLSACKSSKKKTIIIIVVVTASVIALAVLIAFAYFRGSRKKTTQVKQLQVQGTKAQTKFAVMGQNEFARSPDNDHKGQLHLVRNDRERFDLQDLLRASAEVLGSGNFGSSYKALLLDGPAMVVKRFREMNNVGKQEFQEHMSRLGRLSHRNLLPLVAFYYRKDEKLLIFDFVENGSLASHLHAKRASGQPALDWPTRLKIVKGVARGLAYLHKEFPTLTLPHGHLKSSNVLLDHTFEPLLTDYGLVPVVNKPHAQQVMVAYKSPEFTRSDRTTRKTDVWSLGILILELLTGKFPANYLRQGKGANADLATWVNSVVREEWTGEVFDMDMRGTKNGEGEMLKLLKIGMCCCEWRVERRWDLREAVDKIEELKERDNDDDLSSNASEGEFCSSRAMTEDDFSFSING >Manes.10G087151.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22303459:22304419:1 gene:Manes.10G087151.v8.1 transcript:Manes.10G087151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNFDPHIQNKIRYCKVRITKVLTISDWGQEPHKSKDISLSNGQLTKFNYYDYQSAWERTFLKQNDQMSISFFFYIFDDFPYPIPYWFHYWWNKFGIDSSIIPDSVYLAHDQFFDNAQLPDHIMAYLFPPFSYPMDLYVRIPNKRPNPK >Manes.15G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12693103:12695712:-1 gene:Manes.15G153000.v8.1 transcript:Manes.15G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILGFLSIVLSLILGLGLAFVIIHRFLNRNEPANLPNGTMGWPLCGETLAFLKPHRSNSMGTFLQQRCSKYGKVFKSHLFGSPAIVSCDHEFNLFILQNEEKLFQASYPTAMHGILGKFSLLLVSGDLHKKLRSIAVSFIAVSKSTPSFLHCVEKFAISMMESWKECKEVTFDKEVRKFTLNLMVKTLMSIEPEEPAASKILKDFRTYMKGFVSLPLDYPGSPYSKAVKARARLSSTVREIIKEREKEINAGLKVDFLDVILSKRRLTDEETVSIVLDILLGGYETTSTLISLIVYFLGHAPAALETLKKEHEAIRRSKEDGKPLDWEDYQKMEFTHNVISEAMRCGNVVKFVHREALQDVKYKEYFIPSGWKVLPVFTGAHFDPSLHENPFEFNPWRWTDKATSKKVVPFGGGPRLCPGADLAKVVTAFFLHHLVLSYRWKTKADDFPVAYPYVEFRRGLLLEIEAAQAMMGKEG >Manes.15G153000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12693103:12697754:-1 gene:Manes.15G153000.v8.1 transcript:Manes.15G153000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILGFLSIVLSLILGLGLAFVIIHRFLNRNEPANLPNGTMGWPLCGETLAFLKPHRSNSMGTFLQQRCSKYGKVFKSHLFGSPAIVSCDHEFNLFILQNEEKLFQASYPTAMHGILGKFSLLLVSGDLHKKLRSIAVSFIAVSKSTPSFLHCVEKFAISMMESWKECKEVTFDKEVRKFTLNLMVKTLMSIEPEEPAASKILKDFRTYMKGFVSLPLDYPGSPYSKAVKARARLSSTVREIIKEREKEINAGLKVDFLDVILSKRRLTDEETVSIVLDILLGGYETTSTLISLIVYFLGHAPAALETLKKEHEAIRRSKEDGKPLDWEDYQKMEFTHNVISEAMRCGNVVKFVHREALQDVKYKEYFIPSGWKVLPVFTGAHFDPSLHENPFEFNPWRWTDKATSKKVVPFGGGPRLCPGADLAKVVTAFFLHHLVLSYRWKTKADDFPVAYPYVEFRRGLLLEIEAAQAMMGKEG >Manes.08G153000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38974313:38976619:1 gene:Manes.08G153000.v8.1 transcript:Manes.08G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTTKSQPKKLTLFLNTSFIFLFIFYVTISYFLVQSNHEADTSRSLTVQELIKSNSCTELHEHTDSKSKCMFLKSHSGCRPKGYINYLQIFYCTCGQLPVLGHAILLLWLAVLFYLLGNTAAEYFCSSLENLSKILKLSPTIAGVTLLSLGNGAPDVFASIVSFTKSSNAGVGLNSVLGGAFFVSSAVVGVISTLVNSQDISVDKSSFIRDVSFFLLSVFSLLFILVVGKITLWAAISFLSIYFFYVCVVCCMHFLFRKQEKVNPLTNSSSSKKFISNSHGEVVEMGVPLLGYVDDEKPILVEKTSLQGEKETMCFGLDSSFSWILYVVELPLYLPRRLTIPVANEERWSKPYAVLSVTLAPILLAELCDTQREKKLVSRSSLVIFMTAGFTGLLLGSLAFVTTKKSSPPNKCLFLWLVAGFVMSIAWTYIIAEELVSLLVSLGYIFGINPSVLGLTVLAWGNSLGDLIANVAMAVNGGADGAQVAISGCYAGPMFNTLMGLGLSFVISSWSKYPSYFVIPKDPTLYETLGFLMAGLLWALVILPRKNMRLDKSLGVGLLAIYFCFLSLRLARALGVLKLHGISLFNI >Manes.03G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27060187:27064209:-1 gene:Manes.03G142400.v8.1 transcript:Manes.03G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQYIPLFETKEAKAWLFYRLFSVTVFLAVCFIWVYRITNLPKNGEDGRWVWIGLLAAELWFGLYWLITQALRWNPVFRSTFKDRLSWRYKEELPGVDIFVCTANFKIEPPIMVVNTVLSVLAYDYSPEKLSVYLSDDGGSDLTFYALLEGSEFARHWIPYCKKFKVEPRSPAAYFTDSKSCMDLSHHSEASLAIKKLYEEMKERIASATKLGRIPEEQRSKHEGFSQWDSYSSRLDHDTILQILIDGKDPNAKDIDGCALPTLVYLAREKRPQHPHHFKAGAMNALIRVSSKISNGPIILNLDCDMYSNNSLSVHDALCFFLDEEKSHEVAFAQYPQHFENITKNDVYSNSLRVGFNVEFHGLDGFGGPPYCGTGCFQRRDVLCGRKFTKDSKFEWKNDDDHKRLRSILELEQETKSLASCTYEQNTQWGKEMGLRYGCLLEDVITGLSIHCRGWKSVYINPERKAFIGLAPTTLSQTLVQHKRWAEGAFQILFCNYSPLSYARGKISFGLQLGYCYYCFWCPSSIPVLCYCIFPSLYLLKGISLFPQISSPWILPFAYVIATRYIYSLAEFLWSGGTILGWWCEQRMWLYKRTSSFFFGFIDTILKMLGFTESTFVVTAKFTDEDVLKRYEKEMMEFGDSSPMFAILATLAMLNLFCFIGVVNKVIMNGDVFSLYKTMPLQTLLCIALVLINLPLYQGLFLRNDNGKLPSSLAFKSFVVALLASSSFTLLY >Manes.08G004800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:898901:901036:-1 gene:Manes.08G004800.v8.1 transcript:Manes.08G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLHRISLIVISISSSSLFLLCLLVLATATTEFDFGTLTLSSLKLLGDAHLNNGSVRLTSDLAVPTSGAGKVLYSKPIRFRQPGTHSITSFLTYFSFYVFNLNPSSIGGGLAFVISPDSETIGAAGGCLGLLNNDGLGSGFVAVEFDTLMDVEFKDINGNHVAVDLNSMVSSQTGDLGAADIDLKSGDLVNAWIEYDGTNHGLKVSVSYSNLKPKLPILSFTLDLDQYVNDFMYVGFTGSTQGSTEIHSIEWWSFSSSFDSISGPGSSSPPPPTTSLTNPTANSVTSPPPSLAPADSDSISSQQKNSKSSSCHNQLCKQGPGAVAGVVTASAFLAIFAGVLIWIFSKRYKHVKKTESFASEVIKMPKEFSYKELRSATRCFNSNRIIGHGAFGTVYKGILPEIGDIVAVKRCSHNSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRRKILLGVASALAYLHEECENQVIHRDIKTSNIMLDEGFNARLGDFGLARQIEHDKSPDATAAAGTMGYLAPEYLLTGRASEKTDVFSYGAVVLEVASGRRPIEKETSVVGKIGVNSNLVEWVWNLHREGRLLMAADARLEGEFDENDMRRVLLVGLACSHPDPLARPTMRTVVQMLVGEAEIPIVPRAKPTMSFSTSHLLLSLQDSVSDCNGMITISTSSSENGYIGDDIV >Manes.01G254300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41175597:41179913:1 gene:Manes.01G254300.v8.1 transcript:Manes.01G254300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO1 MGSPSHKQTDMLRAAMRLLIILILLGYLMLWFMMPTNTYRHIWLRHVRNKFNSAYFGLQGATLVLYSFPILLIAVLGCVYLHLGKRSDQNDFQSDGRKRSKLSKWKNPMIVKGPLGIVSGIELGFLFMFVALLIWSLSTYLHNGFATITPEGGEQVWEAKLDNASLRLGLIGNICLAVLFFPVTRGSSVLPLFGLTSESSIKHHIWVGHMVMMFFTAHGVGYIIYWAATNQLSEILKWGKAEISNVAGELSLLAGLGLWATTLSSIRRKMFELFFYTHHLYILFMIFFLLHVPISFACISLPGFYLFLVDRYLRFLQSRQRVRSVSARILPCETLEINFSKTPGLSYNPTSVLFVNVPSISKLQWHPFTITSNSNLESEMLSVVLKSEGSWSKKLYQMLSSPSSIDRLEVSVEGPYGPASTQFLRHDTLVMVSGGSGITPFISIIRELIFAATTYKCKTPQVILICAFKNSSYLTMLQLLLPICGTPSAISNLQLRIEVYITREKEPSINNSKLLRTIWFKPHPTDAPVSAILGPRSWLWLGAIISSSFVIFLIIIGLITRYYIYPIDHNTWKVFSYSFRSFLNMLVICICIAMTASAAVLRNKKQNEREANQIQNLEGCTPTRSSPELRLDDAERELESLPHQSIVQDTNVHYGRRPDLKSILVDCKGSSVGVLVSGPKKMRHEIATICSSGLASNLHFEFISFSW >Manes.03G192600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31094764:31096782:-1 gene:Manes.03G192600.v8.1 transcript:Manes.03G192600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTGINGEENGAELQMEGLSEEERKALRGSKFAPLPPPSSRSQQPRLAHPGGPLKTNKAAALAKFLERKLQDPNGLTSINPDLIESAVKSAKDTVSSSGTSRSGKIIHHVTSFGDSEDSAEEGKAKESSKKHKKKKKKKKKHKKRKMVDDSEHVKLKKPKK >Manes.01G151200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33968396:33972115:1 gene:Manes.01G151200.v8.1 transcript:Manes.01G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPGKRNSQSLSPWREQSRSRSRSHSRPRSRSRSRSLPRPRHRSRSRSRGRSRSRSRGRNDAINNGTTLYVTGLSTRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVDDANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGLKSNRDYGFRGDRGRYRGRDDYRRSPRRSPYRGGREYSPRHSPTYGGRSRRERSRSPYSPPYRGSR >Manes.01G151200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33968396:33972115:1 gene:Manes.01G151200.v8.1 transcript:Manes.01G151200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPGKRNSQSLSPWREQSRSRSRSHSRPRSRSRSRSLPRPRHRSRSRSRGRSRSRSRGRNDAINNGTTLYVTGLSTRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVDDANRCVKYLNQSVLEGRYITVEKVMLLYPGLEHESVSTGSFLLNLKVFWLYSEDIVSYVGSNQR >Manes.01G151200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33968396:33972115:1 gene:Manes.01G151200.v8.1 transcript:Manes.01G151200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPGKRNSQSLSPWREQSRSRSRSHSRPRSRSRSRSLPRPRHRSRSRSRGRSRSRSRGRNDAINNGTTLYVTGLSTRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVDDANRCVKYLNQSVLEGRYITVEKKILSAMLAAIKDDQFIHVTT >Manes.10G126600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29177105:29181303:1 gene:Manes.10G126600.v8.1 transcript:Manes.10G126600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSTPPCKYDVFISFRGKDIRGGFLSHLFDALQRKQINPFMDENLRKGEEISPALLETIQYSYVSIVVFSQNYADSPWCLDELVKILECKEILGQLVLPIFYHVDPTDVQDLIGNFGEAFAVAKHGEEVKGCLDKVDKWRRALMEISILAGWDSRNIKSESKLVEEIANDVWEKLSLISSSDSYNDNLVGIESRLKKVESLLCIESKNDRRVIGIWGMGGIGKTTIAREVLNRIMDKFDGHYFVDNVREEMRKQTHVLLDKIINQLLGEKDLHVSTTLLSPLIRRRLQSQKVVIVFDDVDDPKHLKLLAGECALYRKGSRIIVTSRDRQVLKNVCSEGYIYEVEKLTDDEALCLFSLDAFKQNHPKKGYVKISKKLITYAQGIPLALEILGSNLYDKGIEEWESELEKLKEIPDMNIQAVLRISYDGLERHEKSIFLDIACFLKGEPKDRVERIFEGCGFFPRRAISRLIDKSLITVSNGKVGMHDLLQQMGKDVVCEESKQLGTRSRLWKYKDICHVLTRDKGTENIEGILLDMSGNGYLEPSPTAFMNMCNLRFLKFFNVPTSRPGRVLLPSGLEFLPEELRYHHWEDYPLKSLPINFCPRNLVELHMPRSNLIQLWNQEKALESLKFLDLSYSFELTKVLDLSSAPNLEVLCLIGCRNLIEIPSSIGESKCLKEIDLGYCSKLHSIPRSICNLKSLTRIDISGCLNVKALPENMGDLELLKNLCISGSGIKTLPSSINQLRRLEVLRCARCEGLTLPPLTGLSCVRRINLSDCGILEIPQSLWFLVSLEYLFLGGNNFKTTPASIKHLTELKGLFLNGCKRLKCLPELPSCLEDLDASDCTSLESASTSFLFLEHDDEKEAKRLQFRNCINLDKNVHDKVMEDVLKTHLLKHKFVQLYIPGVEVPETMRYKNKSGSSLSFRLDQANLTGFSLCAVFDPKSYPHDRNILIDCIANFVRKSGHSSENFIFEAPNFVVDPLYSEHVFLWNKLLGNKLLDMEESFLEVSFQFFISSRDYDSIIMCGVHPIFREDSLSRDKKRSRIEEDKEDEPSL >Manes.08G110000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34867750:34872074:-1 gene:Manes.08G110000.v8.1 transcript:Manes.08G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKKQDQDKDQYGVLLYYKYTTVPDLHSLLSFYQSNCSSLFLLGRVRLSSHGVNVTVGGTLSSLEKHIAAVKSISLFEGTDFKLASAHYPLNDKVARECGFTSLSIRIVKELVTFSSHPLLKAPDVSNAGNHLSAHEFHSVLQSAQQCVEKEGSTANGGLVVLDARNLYETRIGKFHMPTVETLDPGIRQYSDLPSWIDDNSDKLRGKRVLMYCTGGIRCEMASAYIRSKGVGFENVFQLFGGIQRYLEQFPDGGFFKGKNFVFDHRISVGSSDANILGACLLCGIPFDDYSTRCRCSYCRMLVLVCDSCQMNETAYVCELCQKHEKVAGLVQSTENSKPEETMPDSELACISSDTVFLSQLHQGHGADPPRKLRILCLHGFRQNASGFKGRTASLAKKLKNIAELVFIDGPHELPFIYQPCITIPQHDNASLFPLQEILPPKDNCKRKFAWLIAPDSSGRSETDWKVADGPFDPLQYQQQTEGFDVSVAYLRTIFSQEGPFDGLLGFSQGAAMAASVCALEGRLKGEINFKFAILCSGFAIQRADIKPGSINCPSLHIFGGGLGKDRQIANQASRDLASFFVEDSSVLIEHDCGHIIPTRHPYIDQIRGFLQRFL >Manes.07G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2549024:2554562:-1 gene:Manes.07G023600.v8.1 transcript:Manes.07G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLILSPFLAPPQKAHFINFPLARHEQRFNVSFPSKFRTLTTVVRSVHDDSSHLPVTPAKPRWENVLSTAASLYPLYVTVGGVVACLKPSTFAWFAKTGPGSYSLSLGLIMLAMGLTLELKDLVGLFMQRPLSILFGCAAQYTIMPAFGAIVSKSLALSPSLSVGLILLGCCPGGTASNVVTLIARGDVPLSIVMTVCTTLGAVLLTPLLMKILAGTYVPVDALKLSISTLQVVVAPILLGSYLQTMFPAAVKEVTPYAPLFSVLAASLLACSIFSENVVRLKSSIVGASSPPGSSPVPFIQSILSGDLGVVLLSVLLLHFAGFFVGYLSAAICGFSEPERRSISIEVGMQNSSLGVVLATSHFISPMVALPSAMSAVVMNIMGSSLGFIWRHIDPSDSDNRAKLDQK >Manes.01G264100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41873160:41875224:-1 gene:Manes.01G264100.v8.1 transcript:Manes.01G264100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDICTSNMAIEKQEPVVFDASIIQHQANVPSEFIWPEHEKPCLESPELVIPPIDLGSFLSGDPSAVSKAFQLINEACRKHGFFLIVNHGVDLGLIAKAHEYMDKFFSLSLAEKQRAQRKLGEHCGYTSSFTGRFSSKLPWKETLSFRYSDDDQLSNIVQEYFFNVMGEEFEDFGKLYQEYCEAMNTLALKIMELLGFSLGAGREYFKEFFKGNDSIMRLNNYPPCQQPHLTLGTGPHCDPTSLTILHQDEVGGLQVLVDEKWHFVRPDPQAFVVNIGDTFMALSNGIFRSCLHRAVVNNKTVRKSIAFFLCPNMDKVVKPPNNLIDSNNPRLYPDFTWSELLEFTQKHYRADMNTLDVFGSWLLQKNT >Manes.05G181800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29902145:29905634:1 gene:Manes.05G181800.v8.1 transcript:Manes.05G181800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPGLFSDFGKIARDLLTKDYTTDQKISISSFTDSGVAITSSLATKGGLPSGDVVAQHKFKNGSLDVKLDTESNILTTLSVTDFPSSTRVFASLKLPDYNSGKLEVQYLHQHSAISAAVGLNQSPAVDLSATIGTPTIAFGAETSYATASGEFAKYNAGVCYTKPDSNASVILADKGDSIKASYLHHLDLLNRGALVAEISRRFSTNENTLTVGCSYVVDSQTLLKAKLNNHGSLGALLQHELKPKSILTISGAFDTMNMQKNPKFGLALSLKP >Manes.04G055776.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11457409:11459366:1 gene:Manes.04G055776.v8.1 transcript:Manes.04G055776.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGGTFGCRKVVCQPYIRAPWPKRVEFGG >Manes.09G139300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33868046:33872210:1 gene:Manes.09G139300.v8.1 transcript:Manes.09G139300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNQKLVVEVVDARNLLPKDGHGTSSPYVTIDFYGQRRRTKTAIRDLNPAWNEVLEFNVGKPSNVFDDILELDVCHDKNYGPTRRNVHLGRIRLSATQFVRKGEEALIYYPLERKYFLSLVQGEIGLKIYYQYEVIPAPPPPPAEEPKADSNAESPPGAEAATEKPPEAEPKQPEDGEKSKAAEETTKEPDKDQPAEHPTADGAKPNEESAAEATSPPADNAPAPIQVEKPPEPEPGPPPPPPAASEASEGQEHTPKDSNGPPEADGDIVLEPPMNNWSPKSPEIMASTIYASVPEVKVAGINGPHPIPRPAVATTNYTLEPQESISIERPSFDLVEKMHYLFVRVVKARGLPTNANPIVRIVTSCSRIQSKPARRTAFYEWDQTFAFGRDAPESSSILEISVWDPPIAECKSDMAGAKFLGGICFDVTEIPLRDPPDSPLAPQWYRLEGSGAHRGDEMLGNLMLATWVGTQADEAFPDAWKTDAAGNVNSRAKVYLSPKLWYLRATVLEAQDVLPATHLKEASFQLKAQLGSQIQKTKATVTRNGNPSWNEDLLFVAAEPFSDQLIFTLENRQAKGTVTLGIARIPLTTIERRVDDRKVASRWFTFEDPNSEKIAYKGRVQLKLCFDGGYHVMDEAAHVCSDYRPTARQLWKPPVGSVELGIIACKNLLPMKTVNGRGCTDAYCVAKYGPKWVRTRTVCDSLDPKWNEQYTWKVFDPCTVLTIGVFDSWEVFESDGEKTAARPDFRIGKVRIRISTLETGKVYRNSYPLILLTNNGVKKMGEIEVAVRFIRTTQTLDFLHVYSQPLLPLMHHIKPLGVVQQEMLRSTAVKIIAGHLSRSEPPLRREVVFYLVDADSHAFSMRKVRANWFRIINVIAGVLDIVRWIEDMRVWKNPTATILVHALLVMLVWFPDLIVPTLAFYVFVIGAWNYRFRTRDPLPHFDPKISLADAVDEEELDEEFDTLPSSRSTDKVRGRYDKLRTLGIRVQKILGDFATQGERVQALVTWRDPRATGIFVGLCFVVAMILYLVPSKMVAMAFGFYYLRHPIFRDRMPSPALNFFRRLPSLSDRIM >Manes.14G115000.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10868484:10873385:-1 gene:Manes.14G115000.v8.1 transcript:Manes.14G115000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSKTAPWRLLFIILLVIVPICVIGIFTYAQKLSYFFRPLWDNRPPPFKRLPHFYAENVSMERLCSLHGWSLLTEPRRVFDGIIFSNELDILEIRWRELHPYITKFVLLESNTTFTGIPKPLIFASNQEQFAFAKDKIIHGVFSGRTAGRRSHEDPFVLESEQRAAMNSLLLQAGISNGDLLIMSDTDEIPSPHTVKLLQWCDGIPPVLHLELKHYMYSFEFPVDYSSWRATIHTYGPWTRYRHSRQTDLIFSDAGWHCSFCFRRLQEIVFKMTAYSHADRVRRKDYLNYSRIQKLICSGDDLFDMLPEEYTFQELIKKMGSIPHSASAVHLPAYLIQNADKFRFLLPGGCLRSPE >Manes.14G115000.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10868546:10873250:-1 gene:Manes.14G115000.v8.1 transcript:Manes.14G115000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSKTAPWRLLFIILLVIVPICVIGIFTYAQKLSYFFRPLWDNRPPPFKRLPHFYAENVSMERLCSLHGWSLLTEPRRVFDGIIFSNELDILEIRWRELHPYITKFVLLESNTTFTGIPKPLIFASNQEQFAFAKDKIIHGVFSGRTAGRRSHEDPFVLESEQRAAMNSLLLQAGISNGDLLIMSDTDEIPSPHTVKLLQWCDGIPPVLHLELKHYMYSFEFPVDYSSWRATIHTYGPWTRYRHSRQTDLIFSDAGWHCSFCFRRLQEIVFKMTAYSHADRVRRKDYLNYSRIQKLICSGDDLFDMLPEEYTFQELIKKMGSIPHSASAVHLPAYLIQNADKFRFLLPGGCLRSPE >Manes.14G115000.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10868484:10873385:-1 gene:Manes.14G115000.v8.1 transcript:Manes.14G115000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSKTAPWRLLFIILLVIVPICVIGIFTYAQKLSYFFRPLWDNRPPPFKRLPHFYAENVSMERLCSLHGWSLLTEPRRVFDGIIFSNELDILEIRWRELHPYITKFVLLESNTTFTGIPKPLIFASNQEQFAFAKDKIIHGVFSGRTAGRRSHEDPFVLESEQRAAMNSLLLQAGISNGDLLIMSDTDEIPSPHTVKLLQWCDGIPPVLHLELKHYMYSFEFPVDYSSWRATIHTYGPWTRYRHSRQTDLIFSDAGWHCSFCFRRLQEIVFKMTAYSHADRVRRKDYLNYSRIQKLICSGDDLFDMLPEEYTFQELIKKMGSIPHSASAVHLPAYLIQNADKFRFLLPGGCLRSPE >Manes.14G115000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10868554:10873385:-1 gene:Manes.14G115000.v8.1 transcript:Manes.14G115000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSKTAPWRLLFIILLVIVPICVIGIFTYAQKLSYFFRPLWDNRPPPFKRLPHFYAENVSMERLCSLHGWSLLTEPRRVFDGIIFSNELDILEIRWRELHPYITKFVLLESNTTFTGIPKPLIFASNQEQFAFAKDKIIHGVFSGRTAGRRSHEDPFVLESEQRAAMNSLLLQAGISNGDLLIMSDTDEIPSPHTVKLLQWCDGIPPVLHLELKHYMYSFEFPVDYSSWRATIHTYGPWTRYRHSRQTDLIFSDAGWHCSFCFRRLQEIVFKMTAYSHADRVRRKDYLNYSRIQKLICSGDDLFDMLPEEYTFQELIKKMGSIPHSASAVHLPAYLIQNADKFRFLLPGGCLRSPE >Manes.14G115000.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10868565:10873226:-1 gene:Manes.14G115000.v8.1 transcript:Manes.14G115000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSKTAPWRLLFIILLVIVPICVIGIFTYAQKLSYFFRPLWDNRPPPFKRLPHFYAENVSMERLCSLHGWSLLTEPRRVFDGIIFSNELDILEIRWRELHPYITKFVLLESNTTFTGIPKPLIFASNQEQFAFAKDKIIHGVFSGRTAGRRSHEDPFVLESEQRAAMNSLLLQAGISNGDLLIMSDTDEIPSPHTVKLLQWCDGIPPVLHLELKHYMYSFEFPVDYSSWRATIHTYGPWTRYRHSRQTDLIFSDAGWHCSFCFRRLQEIVFKMTAYSHADRVRRKDYLNYSRIQKLICSGDDLFDMLPEEYTFQELIKKMGSIPHSASAVHLPAYLIQNADKFRFLLPGGCLRSPE >Manes.14G115000.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10868565:10873385:-1 gene:Manes.14G115000.v8.1 transcript:Manes.14G115000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSKTAPWRLLFIILLVIVPICVIGIFTYAQKLSYFFRPLWDNRPPPFKRLPHFYAENVSMERLCSLHGWSLLTEPRRVFDGIIFSNELDILEIRWRELHPYITKFVLLESNTTFTGIPKPLIFASNQEQFAFAKDKIIHGVFSGRTAGRRSHEDPFVLESEQRAAMNSLLLQAGISNGDLLIMSDTDEIPSPHTVKLLQWCDGIPPVLHLELKHYMYSFEFPVDYSSWRATIHTYGPWTRYRHSRQTDLIFSDAGWHCSFCFRRLQEIVFKMTAYSHADRVRRKDYLNYSRIQKLICSGDDLFDMLPEEYTFQELIKKMGSIPHSASAVHLPAYLIQNADKFRFLLPGGCLRSPE >Manes.14G115000.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10868546:10873239:-1 gene:Manes.14G115000.v8.1 transcript:Manes.14G115000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSKTAPWRLLFIILLVIVPICVIGIFTYAQKLSYFFRPLWDNRPPPFKRLPHFYAENVSMERLCSLHGWSLLTEPRRVFDGIIFSNELDILEIRWRELHPYITKFVLLESNTTFTGIPKPLIFASNQEQFAFAKDKIIHGVFSGRTAGRRSHEDPFVLESEQRAAMNSLLLQAGISNGDLLIMSDTDEIPSPHTVKLLQWCDGIPPVLHLELKHYMYSFEFPVDYSSWRATIHTYGPWTRYRHSRQTDLIFSDAGWHCSFCFRRLQEIVFKMTAYSHADRVRRKDYLNYSRIQKLICSGDDLFDMLPEEYTFQELIKKMGSIPHSASAVHLPAYLIQNADKFRFLLPGGCLRSPE >Manes.14G115000.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10868484:10873385:-1 gene:Manes.14G115000.v8.1 transcript:Manes.14G115000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPLRLSSKTAPWRLLFIILLVIVPICVIGIFTYAQKLSYFFRPLWDNRPPPFKRLPHFYAENVSMERLCSLHGWSLLTEPRRVFDGIIFSNELDILEIRWRELHPYITKFVLLESNTTFTGIPKPLIFASNQEQFAFAKDKIIHGVFSGRTAGRRSHEDPFVLESEQRAAMNSLLLQAGISNGDLLIMSDTDEIPSPHTVKLLQWCDGIPPVLHLELKHYMYSFEFPVDYSSWRATIHTYGPWTRYRHSRQTDLIFSDAGWHCSFCFRRLQEIVFKMTAYSHADRVRRKDYLNYSRIQKLICSGDDLFDMLPEEYTFQELIKKMGSIPHSASAVHLPAYLIQNADKFRFLLPGGCLRSPE >Manes.17G023200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14479609:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14482482:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14482490:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSDQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14482478:14490806:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14481786:14490806:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14479572:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14482549:14490796:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14482490:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSDQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14482478:14490806:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14479486:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14481785:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14482486:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G023200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14479572:14490794:-1 gene:Manes.17G023200.v8.1 transcript:Manes.17G023200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRVSKTLKSPKCLSLLQTYSKFTPFPSMFRLNDHPLELARACCSNSRQNSKENNVDLSQYPAERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHNFHGPNVAEAQDPPTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDGVKAQLKSMFDLEPSDCLLTSAKTGQGLEKVLPAVIERIPPPPGHSDSPLRMLLLDSYYDEYKGVICHVAVVDGILRKGDKISSAATGNAYEILDVGIMHPELTPTGVLLTGQVGYVVSGMRSTKEARVGDTLYHSRSVVEPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEYGAHVISTIPTVPYIFEHSDGSKVQVQNPAAMPSNPKKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLKEIVVDFYNELKSITSGYASFDYEDSDYQEADLVKLDILLNGQPVDAMATIVHNLKAQRVGRELVEKLKKFIDRQMFEITIQAAIGSKVIARETISAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRIGSVDIPQEAFHQLLKVQ >Manes.17G025798.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:17979475:17980038:1 gene:Manes.17G025798.v8.1 transcript:Manes.17G025798.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRDRGHSQHLSMNEIDEAVQVQEEILEHTPQVLGGQANASSSSSVRIRGLNLGHPIPSNPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYAWDESEEGMV >Manes.13G018975.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2842485:2843597:1 gene:Manes.13G018975.v8.1 transcript:Manes.13G018975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMDKVKAVLLPYANDMCLADGNTLKAINLARGKGATSTLSSLQVVSPKELPKEPLPPRRSKGHDEMKKRVRMTVDAMGPKYSLLRRIKEATMRDGQAKQLVRLARDGVTRKFVVDNGLIKTRRGSIFVPRWGKLREEVMRWCHDFMIGGRPSVRKMMAMLGREFYWHHMVMDVKWFVRTCVGNHRVDDDSPREVNSEGRSPSAPWESRPWRSKVRLRGDATRASRE >Manes.15G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2414597:2417151:1 gene:Manes.15G030700.v8.1 transcript:Manes.15G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFADGGTLKRAHLYEYKITGYFIFACMVAALGGSLFGYDLGVSGGVTSMDDFLKDFFPKVYRRKQEHLHETDYCKYDSQILTLFTSSLYFAALVSTFGASHVTRNKGRRASIIVGSISFFLGAVLNAAAVNISMLIIGRILLGVGIGFGNQAVPLYLSEMAPAKVRGAVNQLFQLTTCLGILIANLINYGTEKIHPWGWRLSLGLATVPATLMFVGGVFLPETPNSLVEQGRLEEGRKVLEKVRGTTKVDAEFADLIDASNAARAIEHPFKNLLKRKNRPQLIIGALGIPAFQQLTGMNSILFYAPVIFQSLGFGSGASLYSSVITSGALVFGALISMALVDKFGRRAFFLEAGTEMFCFMVAVAITLALKFGQGVTLPKGIGIFLVVIICLFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSMVVCVNMLFTALIAQCFLVSLCHLRYGIFLLFAGLIVIMSSFIFFLLPETKQVPIEEVYLLWQNHWFWKRIVGDGDQFEPDGKTGSQV >Manes.15G030700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2414597:2417151:1 gene:Manes.15G030700.v8.1 transcript:Manes.15G030700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLKDFFPKVYRRKQEHLHETDYCKYDSQILTLFTSSLYFAALVSTFGASHVTRNKGRRASIIVGSISFFLGAVLNAAAVNISMLIIGRILLGVGIGFGNQAVPLYLSEMAPAKVRGAVNQLFQLTTCLGILIANLINYGTEKIHPWGWRLSLGLATVPATLMFVGGVFLPETPNSLVEQGRLEEGRKVLEKVRGTTKVDAEFADLIDASNAARAIEHPFKNLLKRKNRPQLIIGALGIPAFQQLTGMNSILFYAPVIFQSLGFGSGASLYSSVITSGALVFGALISMALVDKFGRRAFFLEAGTEMFCFMVAVAITLALKFGQGVTLPKGIGIFLVVIICLFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSMVVCVNMLFTALIAQCFLVSLCHLRYGIFLLFAGLIVIMSSFIFFLLPETKQVPIEEVYLLWQNHWFWKRIVGDGDQFEPDGKTGSQV >Manes.15G030700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2414954:2417151:1 gene:Manes.15G030700.v8.1 transcript:Manes.15G030700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLKDFFPKVYRRKQEHLHETDYCKYDSQILTLFTSSLYFAALVSTFGASHVTRNKGRRASIIVGSISFFLGAVLNAAAVNISMLIIGRILLGVGIGFGNQAVPLYLSEMAPAKVRGAVNQLFQLTTCLGILIANLINYGTEKIHPWGWRLSLGLATVPATLMFVGGVFLPETPNSLVEQGRLEEGRKVLEKVRGTTKVDAEFADLIDASNAARAIEHPFKNLLKRKNRPQLIIGALGIPAFQQLTGMNSILFYAPVIFQSLGFGSGASLYSSVITSGALVFGALISMALVDKFGRRAFFLEAGTEMFCFMVAVAITLALKFGQGVTLPKGIGIFLVVIICLFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSMVVCVNMLFTALIAQCFLVSLCHLRYGIFLLFAGLIVIMSSFIFFLLPETKQVPIEEVYLLWQNHWFWKRIVGDGDQFEPDGKTGSQV >Manes.15G044900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3438589:3441635:-1 gene:Manes.15G044900.v8.1 transcript:Manes.15G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:eIF MASETAIEGTATEATASGAEQPQQHKLERKWTFWFDNQSKPKQGAAWGTSLRKIYTFDTVEEFWCLYDQIFKPSKLPGNADFHLFKAGIEPKWEDPECAGGGKWSVTCGRKAILDTMWLETLMALIGEQFDEADEICGVVASVRQRQDKLALWTKTATNEAAQMGIGKKWKEIIDVTDKITYSFHDDSRRERSAKGRYNV >Manes.14G018602.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1907553:1914419:1 gene:Manes.14G018602.v8.1 transcript:Manes.14G018602.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGDWEQKDLVNELMLGRELARQLQIHLNVPSSSPETRETLVHEIQASYEKALSMLNPTGSIAIGVSESPPSLSGSPRTEDSERELKDHDLRHASRKRKGMPRWTRQVRVSPGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTERIVQGCLATKQVQLSNEDPTIFQITYRGRHTCTQAHMLSPSKPLQNQGPTNTVMEPQKPQENLQQQSQDLSSDFLSGLKVITEVLDSSQQSVPPFYFPSAASVKADNEAFSPYVVDKSFIENSHFSLSSSGMHHYSFGGNRNFQTSAEAELTDIICAATSTTDSPTIGLDFPFANVEFDPNTFDNSGFLS >Manes.14G018602.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1907514:1914568:1 gene:Manes.14G018602.v8.1 transcript:Manes.14G018602.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGDWEQKDLVNELMLGRELARQLQIHLNVPSSSPETRETLVHEIQASYEKALSMLNPTGSIAIGVSESPPSLSGSPRTEDSERELKDHDLRHASRKRKGMPRWTRQVRVSPGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTERIVQGCLATKQVQLSNEDPTIFQITYRGRHTCTQAHMLSPSKPLQNQGPTNTVMEPQKPQENLQQQSQDLSSDFLSGLKVITEVLDSSQQSVPPFYFPSAASVKADNEAFSPYVVDKSFIENSHFSLSSSGMHHYSFGGNRNFQTSAEAELTDIICAATSTTDSPTIGLDFPFANVEFDPNTFDNSGFLS >Manes.14G018602.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1907553:1914419:1 gene:Manes.14G018602.v8.1 transcript:Manes.14G018602.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGDWEQKDLVNELMLGRELARQLQIHLNVPSSSPETRETLVHEIQASYEKALSMLNPTGSIAIGVSESPPSLSGSPRTEDSERELKDHDLRHASRKRKGMPRWTRQVRVSPGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTERIVQGCLATKQVQLSNEDPTIFQITYRGRHTCTQAHMLSPSKPLQNQGPTNTVMEPQKPQENLQQQSQDLSSDFLSGLKVITEVLDSSQQSVPPFYFPSAASVKADNEAFSPYVVDKSFIENSHFSLSSSGMHHYSFGGNRNFQTSAEAELTDIICAATSTTDSPTIGLDFPFANVEFDPNTFDNSGFLS >Manes.14G018602.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1907531:1909674:1 gene:Manes.14G018602.v8.1 transcript:Manes.14G018602.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGDWEQKDLVNELMLGRELARQLQIHLNVPSSSPETRETLVHEIQASYEKALSMLNPTGSIAIGVSESPPSLSGSPRTEDSERELKDHDLRHASRKRKGMPRWTRQVRVSPGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTERIVQGCLATKQVQLSNEDPTIFQITYRGRHTCTQAHMLSPSKPLQNQGPTNTVMEPQKPQENLQQQSQDLSSDFLSGLKVITEVLDSSQQSVPPFYFPSAASVKADNEAFSPYVVDKSFIENSHFSLSSSGMHHYSFGGNRNFQTSAEAELTDIICAATSTTDSPTIGLDFPFANVEFDPNTFDNSGFLS >Manes.14G018602.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1907514:1914568:1 gene:Manes.14G018602.v8.1 transcript:Manes.14G018602.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGDWEQKDLVNELMLGRELARQLQIHLNVPSSSPETRETLVHEIQASYEKALSMLNPTGSIAIGVSESPPSLSGSPRTEDSERELKDHDLRHASRKRKGMPRWTRQVRVSPGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTERIVQGCLATKQVQLSNEDPTIFQITYRGRHTCTQAHMLSPSKPLQNQGPTNTVMEPQKPQENLQQQSQDLSSDFLSGLKVITEVLDSSQQSVPPFYFPSAASVKADNEAFSPYVVDKSFIENSHFSLSSSGMHHYSFGGNRNFQTSAEAELTDIICAATSTTDSPTIGLDFPFANVEFDPNTFDNSGFLS >Manes.14G018602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1907553:1914419:1 gene:Manes.14G018602.v8.1 transcript:Manes.14G018602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGDWEQKDLVNELMLGRELARQLQIHLNVPSSSPETRETLVHEIQASYEKALSMLNPTGSIAIGVSESPPSLSGSPRTEDSERELKDHDLRHASRKRKGMPRWTRQVRVSPGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTERIVQGCLATKQVQLSNEDPTIFQITYRGRHTCTQAHMLSPSKPLQNQGPTNTVMEPQKPQENLQQQSQDLSSDFLSGLKVITEVLDSSQQSVPPFYFPSAASVKADNEAFSPYVVDKSFIENSHFSLSSSGMHHYSFGGNRNFQTSAEAELTDIICAATSTTDSPTIGLDFPFANVEFDPNTFDNSGFLS >Manes.14G018602.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1907553:1914419:1 gene:Manes.14G018602.v8.1 transcript:Manes.14G018602.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGDWEQKDLVNELMLGRELARQLQIHLNVPSSSPETRETLVHEIQASYEKALSMLNPTGSIAIGVSESPPSLSGSPRTEDSERELKDHDLRHASRKRKGMPRWTRQVRVSPGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTERIVQGCLATKQVQLSNEDPTIFQITYRGRHTCTQAHMLSPSKPLQNQGPTNTVMEPQKPQENLQQQSQDLSSDFLSGLKVITEVLDSSQQSVPPFYFPSAASVKADNEAFSPYVVDKSFIENSHFSLSSSGMHHYSFGGNRNFQTSAEAELTDIICAATSTTDSPTIGLDFPFANVEFDPNTFDNSGFLS >Manes.14G018602.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1907514:1914568:1 gene:Manes.14G018602.v8.1 transcript:Manes.14G018602.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGDWEQKDLVNELMLGRELARQLQIHLNVPSSSPETRETLVHEIQASYEKALSMLNPTGSIAIGVSESPPSLSGSPRTEDSERELKDHDLRHASRKRKGMPRWTRQVRVSPGIGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTERIVQGCLATKQVQLSNEDPTIFQITYRGRHTCTQAHMLSPSKPLQNQGPTNTVMEPQKPQENLQQQSQDLSSDFLSGLKVITEVLDSSQQSVPPFYFPSAASVKADNEAFSPYVVDKSFIENSHFSLSSSGMHHYSFGGNRNFQTSAEAELTDIICAATSTTDSPTIGLDFPFANVEFDPNTFDNSGFLS >Manes.03G177000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30027774:30028953:1 gene:Manes.03G177000.v8.1 transcript:Manes.03G177000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLKEDCTQVYISEVKEKFGGKWLCGLCSEAVRDEVSRGKKAFGMDEAVRAHMSFCGKVNSNPAVGVADGMRQMLRRRSGDLSSSPSSSKKYTRSASTKLY >Manes.17G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30339271:30340285:-1 gene:Manes.17G095500.v8.1 transcript:Manes.17G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEGTVAVETPAPLGEAMYLMTALQLLLRKSLAHGGLIRGLQEDAKVIEKHIAQLCVLAEDCNQPDYVKLVKGLCAGHDVGLLTIPSAKTLSEWAGVSVFNLLYTLNVVFVVMIKLIIG >Manes.06G035575.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9672117:9678955:-1 gene:Manes.06G035575.v8.1 transcript:Manes.06G035575.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSKIHFLFLCLLFLSLFWFIPIGGLTKEQELDRISALPGQPPVSFSQFSGYVTVNEKHGRALFYWFTEATSLPHEKPLVLWLNGGPGCSSVAYGASEEIGPFRINRTASSLYLSNFSWNSEANILFLESPAGVGFSYTNTSSDLKDSGDKRTVFLIRWFSRFPQYKFRDFYIAGESYAGHYVPQLAKKIHDYNKGHPHPIINLKGFIVGNAVTDNYRDSIGTVTYWWSHSMISDRTYRSILKNCNFKEDNKTSKRCDDSVNYAINHEFGYIDQYSIYTPACIQLKNVTTAVRSLRLRNSLLQRRVFGYDPCSENYAEKYFNRPDVQKAMHANVTGIPYKWTACSDELIKNWKDSESTMLPIYKELITAGLRIWVFSGDTDSVVPVTATRFSLSHLNLTVKTRWYPWYSDNQVGGWSEVYDGLTFATVRGAGHEVPLFQPRRAFTLFKSFLAEKELPKY >Manes.06G035575.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9672117:9678955:-1 gene:Manes.06G035575.v8.1 transcript:Manes.06G035575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSKIHFLFLCLLFLSLFWFIPIGGLTKEQELDRISALPGQPPVSFSQFSGYVTVNEKHGRALFYWFTEATSLPHEKPLVLWLNGGPGCSSVAYGASEEIGPFRINRTASSLYLSNFSWNSEANILFLESPAGVGFSYTNTSSDLKDSGDKRTAEDALVFLIRWFSRFPQYKFRDFYIAGESYAGHYVPQLAKKIHDYNKGHPHPIINLKGFIVGNAVTDNYRDSIGTVTYWWSHSMISDRTYRSILKNCNFKEDNKTSKRCDDSVNYAINHEFGYIDQYSIYTPACIQLKNVTTAVRSLRLRNSLLQRRVFGYDPCSENYAEKYFNRPDVQKAMHANVTGIPYKWTACSDELIKNWKDSESTMLPIYKELITAGLRIWVFSGDTDSVVPVTATRFSLSHLNLTVKTRWYPWYSDNQVGGWSEVYDGLTFATVRGAGHEVPLFQPRRAFTLFKSFLAEKELPKY >Manes.05G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21030067:21035177:1 gene:Manes.05G122900.v8.1 transcript:Manes.05G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLPPTSSKAPVKFRMPTAENLVPIRLDIELDGHRFKDAFTWNPSDPDSEVVVFAKRTAKDLKLPPAFVMQIAQSIQTQLTEFRSYEGQDMYTGEKIVPIKLDLRVNHTLIKDQFLWDLNNFDSDPEEFARTFCKDLGIEDPEAGPAIALAIREQLYEIAIQSVATARESRLSKKGRRGFEYIPAHKAGGTALDLMKLFSNKYSVVRKRKEWDVYEPIVDLLSTEEVDALEAREERNAR >Manes.15G181400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23819848:23825015:-1 gene:Manes.15G181400.v8.1 transcript:Manes.15G181400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFFYKEFLAIAVMVSVECTHVAVNTLFKAASLKGLSYYVFVFYSYAIASLILIPVPFFFRSIPVPPSMKLPLLLRIWVLAFIGFISQIVGTKAIEYSSPTLSSAMSNLTPAFTFALAIIFRMEKLVIRSSSTQAKMIGTFASMAGALVVVLYKGPKVLSTVSSRLSNLLDPPVGSPSSDWVIGGLLLAAQSFLCSLWYILQTHIMSICSKELLVTFIYTSFVTIISAPVCFVAERNLDAWQLRPDIALVAIVFSQHSSLMGTTLEGAYLYCNIQANVNCYCSFYGYHIPW >Manes.15G181400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23819848:23825014:-1 gene:Manes.15G181400.v8.1 transcript:Manes.15G181400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFFYKEFLAIAVMVSVECTHVAVNTLFKAASLKGLSYYVFVFYSYAIASLILIPVPFFFRSIPVPPSMKLPLLLRIWVLAFIGFISQIVGTKAIEYSSPTLSSAMSNLTPAFTFALAIIFRMEKLVIRSSSTQAKMIGTFASMAGALVVVLYKGPKVLSTVSSRLSNLLDPPVGSPSSDWVIGGLLLAAQSFLCSLWYILQTHIMSICSKELLVTFIYTSFVTIISAPVCFVAERNLDAWQLRPDIALVAIVFSGICGQCFSSIVHSWGLRLKGPIYIAIFKPMSIAIAVFMGIIFLGEALHLGIIIGALIISMGIYAVCWGKANEEKLSENNGFGNLGQPPTEKVPFLLTSTEDV >Manes.15G181400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23819848:23825014:-1 gene:Manes.15G181400.v8.1 transcript:Manes.15G181400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFFYKEFLAIAVMVSVECTHVAVNTLFKAASLKGLSYYVFVFYSYAIASLILIPVPFFFRSIPVPPSMKLPLLLRIWVLAFIGFISQIVGTKAIEYSSPTLSSAMSNLTPAFTFALAIIFRMEKLVIRSSSTQAKMIGTFASMAGALVVVLYKGPKVLSTVSSRLSNLLDPPVGSPSSDWVIGGLLLAAQSFLCSLWYILQ >Manes.15G181400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23819848:23825014:-1 gene:Manes.15G181400.v8.1 transcript:Manes.15G181400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFFYKEFLAIAVMVSVECTHVAVNTLFKAASLKGIPVPPSMKLPLLLRIWVLAFIGFISQIVGTKAIEYSSPTLSSAMSNLTPAFTFALAIIFRMEKLVIRSSSTQAKMIGTFASMAGALVVVLYKGPKVLSTVSSRLSNLLDPPVGSPSSDWVIGGLLLAAQSFLCSLWYILQTHIMSICSKELLVTFIYTSFVTIISAPVCFVAERNLDAWQLRPDIALVAIVFSQHSSLMGTTLEGAYLYCNIQANVNCYCSFYGYHIPW >Manes.15G181400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23819848:23825014:-1 gene:Manes.15G181400.v8.1 transcript:Manes.15G181400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFFYKEFLAIAVMVSVECTHVAVNTLFKAASLKGLSYYVFVFYSYAIASLILIPVPFFFRSIPVPPSMKLPLLLRIWVLAFIGFISQIVGTKAIEYSSPTLSSAMSNLTPAFTFALAIIFRMEKLVIRSSSTQAKMIGTFASMAGALVVVLYKGPKVLSTVSSRLSNLLDPPVGSPSSDWVIGGLLLAAQSFLCSLWYILQTHIMSICSKELLVTFIYTSFVTIISAPVCFVAERNLDAWQLRPDIALVAIVFSHSSLMGTTLEGAYLYCNIQANVNCYCSFYGYHIPW >Manes.15G181400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23819848:23825014:-1 gene:Manes.15G181400.v8.1 transcript:Manes.15G181400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLLLRIWVLAFIGFISQIVGTKAIEYSSPTLSSAMSNLTPAFTFALAIIFRMEKLVIRSSSTQAKMIGTFASMAGALVVVLYKGPKVLSTVSSRLSNLLDPPVGSPSSDWVIGGLLLAAQSFLCSLWYILQTHIMSICSKELLVTFIYTSFVTIISAPVCFVAERNLDAWQLRPDIALVAIVFSGICGQCFSSIVHSWGLRLKGPIYIAIFKPMSIAIAVFMGIIFLGEALHLGIIIGALIISMGIYAVCWGKANEEKLSENNGFGNLGQPPTEKVPFLLTSTEDV >Manes.15G181400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23819848:23825014:-1 gene:Manes.15G181400.v8.1 transcript:Manes.15G181400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFFYKEFLAIAVMVSVECTHVAVNTLFKAASLKGIPVPPSMKLPLLLRIWVLAFIGFISQIVGTKAIEYSSPTLSSAMSNLTPAFTFALAIIFRMEKLVIRSSSTQAKMIGTFASMAGALVVVLYKGPKVLSTVSSRLSNLLDPPVGSPSSDWVIGGLLLAAQSFLCSLWYILQTHIMSICSKELLVTFIYTSFVTIISAPVCFVAERNLDAWQLRPDIALVAIVFSGICGQCFSSIVHSWGLRLKGPIYIAIFKPMSIAIAVFMGIIFLGEALHLGIIIGALIISMGIYAVCWGKANEEKLSENNGFGNLGQPPTEKVPFLLTSTEDV >Manes.05G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4783139:4785498:1 gene:Manes.05G057100.v8.1 transcript:Manes.05G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAATPPYPSAARIADSQCYPQYTASLKCLEEFSSDKSKCQEHFDVYKECKKKEREARLERNKSRSFFS >Manes.05G057100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4783034:4789160:1 gene:Manes.05G057100.v8.1 transcript:Manes.05G057100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAATPPYPSAARIADSQCYPQYTASLKCLEEFSSDKSKCQEHFDVYKECKKKEREARLERNKSSDPRNRGPQQ >Manes.15G086100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6616028:6624127:1 gene:Manes.15G086100.v8.1 transcript:Manes.15G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPWGAVIGRYPSSDGSAQMGGIIRHNRKCRDVAFLVIFIAFWIAMIVNSSFGFNQGNPLRLTYGLDYRGNVCGDKHANSELRELELVYWLNPNQVYLSGLKNSQFKLANARSICLLDCPIPSEDALNWVCDYPEGDIRISMEDWIDRNYDYFEFLTPEMRNTSLQLQGPCYPVIFPTVNVFWRCQYIAHASNISLRHWQQMGGVNINEDIIIDKSIHKSINARSSVLKRYMADVRKAWPVLIVCGGLLPLFLSVIWLLMIRHFVAAMPWITVVLFDILIITVTMFYYLKAGWIGNDAISPIIGEHDPYYHVSGREQNHLRAAAVLMTFAMVVAVLTSIAIIRRILRATSVLKVAAKVIGEVQALIIFPVVPYAVLAIFYTFWFSAAFHLFSSGQIVQNDCNSNCCAYDLGSKRVNCDRCCGYSINYTPHIAVAIFFHLFGCYWATQFFIACSATVIAGSVASYYWARGETSPEIPFLPVFSSMKRLMRYSLGSVALGSLIVSFVESFRFMLDSIRRKLKVAETTPDGCMGKMVHHTSQFSLRCVEWTIKSVNRNAYIMIAIMGKSFFNASAIANELIMNNILRIGRVNVIGDIILSLGKLCVSLSSAVFAFLMLDAHKYRSGHNKISSPLFPVLVCWGLGYIVSTLFFAVVEMSIDTIILSFCQDSDEHQGTAQYAPPLLIETLNDQNEMQRLTQ >Manes.15G086100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6616028:6624127:1 gene:Manes.15G086100.v8.1 transcript:Manes.15G086100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPWGAVIGRYPSSDGSAQMGGIIRHNRKCRDVAFLVIFIAFWIAMIVNSSFGFNQGNPLRLTYGLDYRGNVCGDKHANSELRELELVYWLNPNQVYLSGLKNSQFKLANARSICLLDCPIPSEDALNWVCDYPEGDIRISMEDWIDRNYDYFEFLTPEMRNTSLQLQGPCYPVIFPTVNVFWRCQYIAHASNISLRHWQQMGGVNINEDIIIDKSIHKSINARSSVLKRYMADVRKAWPVLIVCGGLLPLFLSVIWLLMIRHFVAAMPWITVVLFDILIITVTMFYYLKAGWIGNDAISPIIGEHDPYYHVSGREQNHLRAAAVLMTFAMVVAVLTSIAIIRRILRATSVLKVAAKVIGEVQALIIFPVVPYAVLAIFYTFWFSAAFHLFSSGQIVQNDCNSNCCAYDLGSKRVNCDRCCGYSINYTPHIAVAIFFHLFGCYWATQFFIACSATVIAGSVASYYWARGETSPEIPFLPVFSSMKRLMRYSLGSVALGSLIVSFVESFRFMLDSIRRKLKVAETTPDGCMGKMVHHTSQFSLRCVEWTIKSVNRNAYIMIAIMGKSFFNASAIANELIMNNILRIGRVNVIGDIILSLGKLCVSLSSAVFAFLMLDAHKYRSGHNKISSPLFPVLDSDEHQGTAQYAPPLLIETLNDQNEMQRLTQ >Manes.12G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22795579:22802347:-1 gene:Manes.12G096500.v8.1 transcript:Manes.12G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATPPLPPILSHSKIHQSVAPLIPHNSDSANYSMSQDYHQAAGIFSFSNGFERSQVAHQEHHQQQQHHFAHQIHRDKLRVQGGYGPSPGPLVGIEEEESSGLPVYETAGMLSEMFNFPPGGQANAAELLDQPLQSNYRTAHHPRQQQSVTTSEWYSNNRQGMVGGLGPLGDKNHTTRDSLAHHHQISGINADSAASMQLFLTNPQPRSPSPAPSHPHNPPATSSTLHMLLPNPSSSLQGFSMSGPGGDFGTSNEISPQHFTWVPDSAHAGTNTGAQLTNPSEIGSVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQAGGSSSGAAQYYKNLGSQHQALHLQAGVGQNHQVHVGFGSSLGVVNVLRNSKYVKAVQELLEEFCSVGRGQFKKNKFSRQNTNPSCNNPGVSSGGGSGGGGGSSSSTKDLPTLSAADRIEHQRRKVKLLFMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAIAAQLKHSCELLGEKDGAGTSGITKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEEEEEEEEEEGAVEDREIKQTNNNNGVAQTPTPTPTASSSAPPTTTTPPPPPPAGGKRSENANENDPSLLAINTQCSSEHQAKHSPSTTATTFITPTTELAPPSFHDLADETCRRGSLVPAEYGTTSAHHANAEPDIGSTLIRFGTTAAGDVSLTLGLRHAGNMPDKGSAFSVRDFGNC >Manes.08G148700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38468775:38470570:1 gene:Manes.08G148700.v8.1 transcript:Manes.08G148700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAMVDRYWCHMCSRMVTPVMEAEIKCPVCESGFVEEMDSTRDLNNNGIELGSERALSLWAPILLGMMGGLGPSRARAQEQNTSNGQEENGELEREFRSLFRRTRRRRSPASILGMLQDLRSGSENSENNGESNTSNNNNSNSLILVNPFNEEALVFQAPFDANQPENPIRNMASSLGDYLIGPGLDLLLQHLSENDPNRYGTPPAQKEAVQAMPTVAVDQSLQCSICLEEFDVGDEAKEMPCKHKFHSGCILPWLEIHSSCPVCRFQMPCDDSKIQANGSRSNEGRIENNDAMAEVGEGGDRIGNGRRYWIPVQWPFEGLFSVSASQNDGSSTSATPAAAMPGNPSNADET >Manes.18G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1498941:1502184:-1 gene:Manes.18G013500.v8.1 transcript:Manes.18G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKRSQVDNLFDSLIKLLKDQQEQLKTLVRERKFLEDRIKLQNEQFLSEIRLFEDYITQMKEALVEKDMTCLLEAAKSDLMIGLKHKEASLYKLKLEQTEDELADFRACFDYLSRILEKNSKETDYGKQGDRHDDLKSAGSKRLHDEVKRINFEYEKLASEKHSEISALLKEKSFVWHQYNVLETNLNDKLKSKQAEIDKANEKIAKVLDSVELLHSSNSEKDEMIEKLKVKLAEVEEERNKLKEEIPLLSHELESLRKSTSALVTPAPKNGSTGSKASSQRVKSSGRKGSSIVVKKESSEKAVHSLNGAVKGSRSLKRKGDDETVTILETPKLFSSSFKFPKLKTSSTPVSLPYCIPF >Manes.S024416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:327969:328427:1 gene:Manes.S024416.v8.1 transcript:Manes.S024416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPSVPNGTLRPRPQVRREHPLSLSISISGGKETYQDSPSNGERTGKSPA >Manes.13G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34517154:34522949:1 gene:Manes.13G137300.v8.1 transcript:Manes.13G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLGARLTLILSIFVFLSLITTSSSQQIQILNAERRIDLTSHIVTVFLTLKVENDGTAPATEVLLAFPPTQADHLAVVKAQAAAGKRKKRTYVPLDVKPTELPDAPNGTKYFIISLVNPLNSGETATLEVFYILTHSLEPFPAEISQSEPQFVYYHDSAIILSPYHIKQQTTFIKTPTAKMESFTRVEPTNRASTELKYGPYEDRPPYSFSPIIVHFENNNPFAVVEELVREVEISHWGNLQITEHYKLVHAGARHKGIFSRVEYQSRPSLSGVSSFKHLLAILPPRVHSVYYRDEIGNISSSHLRTDYRKSELEIEPRYPLFGGWKSTFVIGYGVPVQDFLFESSDGKRYLNFSFGCPLAETVVDKLTIKVVLPEGSKDPSAVAPFPVEQHLETKYSYLDVVGRTVLVLEKKNVVPEHNSAFQVYYTFNQIFMLAEPLMLVSVFFFFFVACVASLHIDLSISKR >Manes.08G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34605378:34616098:1 gene:Manes.08G106500.v8.1 transcript:Manes.08G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEWKRRELTILVLYLLAFYTFIILRSLQLSHDHQAKLYGLRPGWLLPPRLNDVSDAQWRNFRGNLPILTVVFGIFAALANFLRAFLCLKAKGMSIVWLFISLVYLSYLHGACTVFILAIASVNFLLVKIFARTPYFSFVLWIFNIFFLLCNRVYEGYSFSTFGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWANLDTHFDQKRHLQRCDTCKSGKTCYQFLQERSGSNDNLSFIIYISYLVYAPLYIAGPIISFNAYVSQLDMPQNNYAARDVSLYGLRWIFSFLLLELLTHVFHYNAFAISGLWKLLSPVDIFIIGYGVLNFMWLKFYLIWRYFRFWSLICGIEAPENMSKCINNCYNLENFWKNWHASFNKWLVRYMYIPLGGTNRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFIPEMVVKSAAKRLQAESTFGEFLFREFSALAGAVTITCLMVANLVGYVIGPSGINWLISQFLTREGLPILGGMFLTFYAGTKLMFHIDDAKKKQH >Manes.08G106500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34606050:34616098:1 gene:Manes.08G106500.v8.1 transcript:Manes.08G106500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVWLFISLVYLSYLHGACTVFILAIASVNFLLVKIFARTPYFSFVLWIFNIFFLLCNRVYEGYSFSTFGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWANLDTHFDQKRHLQRCDTCKSGKTCYQFLQERSGSNDNLSFIIYISYLVYAPLYIAGPIISFNAYVSQLDMPQNNYAARDVSLYGLRWIFSFLLLELLTHVFHYNAFAISGLWKLLSPVDIFIIGYGVLNFMWLKFYLIWRYFRFWSLICGIEAPENMSKCINNCYNLENFWKNWHASFNKWLVRYMYIPLGGTNRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFIPEMVVKSAAKRLQAESTFGEFLFREFSALAGAVTITCLMVANLVGYVIGPSGINWLISQFLTREGLPILGGMFLTFYAGTKLMFHIDDAKKKQH >Manes.08G106500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34605378:34616098:1 gene:Manes.08G106500.v8.1 transcript:Manes.08G106500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVWLFISLVYLSYLHGACTVFILAIASVNFLLVKIFARTPYFSFVLWIFNIFFLLCNRVYEGYSFSTFGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWANLDTHFDQKRHLQRCDTCKSGKTCYQFLQERSGSNDNLSFIIYISYLVYAPLYIAGPIISFNAYVSQLDMPQNNYAARDVSLYGLRWIFSFLLLELLTHVFHYNAFAISGLWKLLSPVDIFIIGYGVLNFMWLKFYLIWRYFRFWSLICGIEAPENMSKCINNCYNLENFWKNWHASFNKWLVRYMYIPLGGTNRKLLNIWVIFTFVAVWHDLEWKLLSWAWLTCLFFIPEMVVKSAAKRLQAESTFGEFLFREFSALAGAVTITCLMVANLVGYVIGPSGINWLISQFLTREGLPILGGMFLTFYAGTKLMFHIDDAKKKQH >Manes.05G124400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22992665:23003909:-1 gene:Manes.05G124400.v8.1 transcript:Manes.05G124400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNQVFNADQFEAYFRKADLDGDGRISGAEAVAFFQGANLPKQVLAQIWMNADQSHVGFLSRAEFYNALKLVTVAQSKRELTPDIVKAALYGHAAAKIPPPKINFPTPSPMQQVNSMGAVSVPQMGAVAPAASQSLGFRGPGVPNDYMNQQYSPSQQSQSIRPPQATPPGTASHPPQGIISPGFSRGSMPTSTVTHPRQSIPGSTSSPSVPNSNISTNWIGGKTSEALTGTTNATMQLQTQGSVLSQSTVNDPKALAVTGNGFATGSSFGGDVFSAISSIGKQETPVPAYSSSGSPVLATAVPASSGGHLTVKSNSLDSLQSAFAMQPLGGQLQRAKSLPTLDQQVSASSSSSLTTPSISVGVANPSDNSQIPWPKMKPTDVQKYAKVFMEVDTDRDGRITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERFREGRPLPVSLPRNIMFDETLLSMTGQPKVAYGNASWSQSPGSAQQPGVSTQLIAPATGLRPPVPVTGPRVVPDGVMASNQQKPRELVLEDSFLNKSDGGEQNSVPQNGVASDKKVDEPEKVILDSKEKIEFYRTKMQDLVLYKSRCDNRLNEITERARADKHEAEMLGKKYEEKYKQVAEVASKLTIEEATFREIQERKLELHQAIVNMEQGGSADGILQVRADRIQSDLDELMKALTERCKKHGLEVESTAMVKLPIGWQPGIQEGAAAWDEDWDKFEDEGFANDVTIDVKNVSTVQKEKWSEDGSQTPDSLSNGDGKSRNFLSTGEHALEDESAYSHSEDELARSPQGSSARRNALESPSSDVFAKSIDPDAETHRSFDESTWGAFDTQDDMDSVWGFNTNTKDSDSDKHRDLFGTGDFGVKPIRTGSPASEIFHTKSPFFEDSVAGSPVSRFGNSPRYSEAGGSLDNFSRFDSFSVHEGGFSPREKLTRFDSISSTKDLSHSHAFSSFDDADPFGSSGPFKVSSDNQSPKKGSESWGAF >Manes.05G124400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22992665:23003909:-1 gene:Manes.05G124400.v8.1 transcript:Manes.05G124400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNQVFNADQFEAYFRKADLDGDGRISGAEAVAFFQGANLPKQVLAQIWMNADQSHVGFLSRAEFYNALKLVTVAQSKRELTPDIVKAALYGHAAAKIPPPKINFPTPSPMQQVNSMGAVSVPQMGAVAPAASQSLGFRGPGVPNDYMNQQYSPSQQSQSIRPPQATPPGTASHPPQGIISPGFSRGSMPTSTVTHPRQSIPGSTSSPSVPNSNISTNWIGGKTSEALTGTTNATMQLQTQGSVLSQSTVNDPKALAVTGNGFATGSSFGGDVFSAISSIGKQETPVPAYSSSGSPVLATAVPASSGGHLTVKSNSLDSLQSAFAMQPLGGQLQRAKSLPTLDQQVSASSSSSLTTPSISVGVANPSDNSQIPWPKMKPTDVQKYAKVFMEVDTDRDGRITGEQARNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERFREGRPLPVSLPRNIMFDETLLSMTGQPKVAYGNASWSQSPGSAQQPGVSTQLIAPATGLRPPVPVTGPRVVPDGVMASNQQKPRELVLEDSFLNKSDGGEQNSVPQNGVASDKKVDEPEKVILDSKEKIEFYRTKMQDLVLYKSRCDNRLNEITERARADKHEAEMLGKKYEEKYKQVAEVASKLTIEEATFREIQERKLELHQAIVNMEQGGSADGILQVRADRIQSDLDELMKALTERCKKHGLEVESTAMVKLPIGWQPGIQEGAAAWDEDWDKFEDEGFANDVTIDVKNVSTVQKEKWSEDGSQTPDSLSNGDGKSRNFLSTGEHALEDESAYSHSEDELARSPQGSSARRNALESPSSDVFAKSIDPDAETHSFDESTWGAFDTQDDMDSVWGFNTNTKDSDSDKHRDLFGTGDFGVKPIRTGSPASEIFHTKSPFFEDSVAGSPVSRFGNSPRYSEAGGSLDNFSRFDSFSVHEGGFSPREKLTRFDSISSTKDLSHSHAFSSFDDADPFGSSGPFKVSSDNQSPKKGSESWGAF >Manes.13G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32717777:32723149:1 gene:Manes.13G120600.v8.1 transcript:Manes.13G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQVQPQSPVSASNGVASNGVNQFVSTSLYVGDLDHTVTETQLYDLFNQLGQVVSVRVCRDLTTRRSLGYGYVNYSNVHDAARALEVLNFTPINGKTIRIMYSHRDPTIRKSGAGNIFIKNLDKAIDNKALHDTFSTFGNILSCKVVTDSSGQSLGYGFVQFDNEESAKNAIDKLNGMLLNDKQVYVGPFLRKQERESVMDKTKFNNVFVKNFSETTAEEDLKKIFGEYGTITSVVVMRDGDGKSKCFGFVNFENPDDAARAVEALNEKTFDDKEWYVGKAQKKSERENELKGRFEQTLKETVDRFEGLNLYVKNLDDTITDDKLKELFSEFGTITSCKVMRDPNGVSRGSGFVAFSTAEEASRALAEMNGKMIVSKPLYVALAQRKEERRARLQAQFSQMRPAAMPPTVGPRMPIYPPGTPGIGQQLFYGQGPPAIVPPQPGLGYQQQLVPGMRPNFFVPMVQPSQQAQRPGGRRPGAGPVQPQQPLPLMQPQMLPRGRVYRYPPGRNMPDVPMAGIPGGMLPVPYDVGGMPFRDAAFSQPMQAGALATALANATPEQQRTLLGENLYPLVDQLEHENAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRSVQQQQQVNSPDDRMASLSLNDNLVS >Manes.15G179508.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16833148:16838651:-1 gene:Manes.15G179508.v8.1 transcript:Manes.15G179508.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYTEIGKKARDLLYKEYQTDQKFTITTCSPTGVAITSTGVKKGELFVADVNTQLKNKNITTDVKVDTDSNLFTTITFDEPAPGLKAILSFKVPDQRSGKMEIQYLHDFAAVSSSIGLTPNPIVNFSGVIGSNVTSLGVDLSFDTKTGNFTKCNAGLSYSSADLVASLTFNDKGDSLKASYYHIVNPWLAAGAEVSHSFSTNENTITVGSQYALDPLTTLKARANNFGRVSALVQHEWRPKSFFTASGEVDTKAIEKSAKFGLALALKP >Manes.16G069201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:27203303:27203665:1 gene:Manes.16G069201.v8.1 transcript:Manes.16G069201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDTSEEEEEISEEELALVTRRIRKLLLQNKKFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTEEEEVGDKIANICFMALGENSDEVTILDDS >Manes.10G040600.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202888:4211132:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVSNMTRRIVSLNMTPRCNVLSESVKAVFVVSDPVDWGRDIQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202888:4211132:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVSNMTRRIVSLNMTPRCNVLSESVKAVFVVSDPVDWGRDIQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202888:4211133:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQVASMFIYFNLVRLYVPFQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202886:4211132:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVSNMTRRIVSLNMTPRCNVLSESVKAVFVVSDPVDWGRDIQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQVASMFIYFNLVRLYVPFQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202888:4211132:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVSNMTRRIVSLNMTPRCNVLSESVKAVFVVSDPVDWGRDIQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQVASMFIYFNLVRLYVPFQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202888:4211154:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVSNMTRRIVSLNMTPRCNVLSESVKAVFVVSDPVDWGRDIQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202888:4211154:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVSNMTRRIVSLNMTPRCNVLSESVKAVFVVSDPVDWGRDIQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202888:4211132:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVSNMTRRIVSLNMTPRCNVLSESVKAVFVVSDPVDWGRDIQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQVASMFIYFNLVRLYVPFQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202885:4211132:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQVASMFIYFNLVRLYVPFQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.10G040600.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4202888:4211132:-1 gene:Manes.10G040600.v8.1 transcript:Manes.10G040600.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRQWVFRVPQIKNRKPFLFQSQASRSFCQLQSKQQQEKRYSSFGIAFDIDGVILRGRVPIGGSPQALRRLYGDSGSLKVPFLFLTNGGGIPECRRAIELSEILGVKILPSQVLQGHSPFKNLLKRYENQLIIATGKGEPALVMSEYGFKKVLSLEEYASFFENIDPVSQYKRWTTDQVLCDVLRSGGLPGQDNGHQPPLYFAADDLEYQVASMFIYFNLVRLYVPFQAAFPSQRLGMGAFRIALESVFNRIHHNALEYVSFGKPNPFVFKNAEAILSQLQLCCHEFNFKECGGPELPPLKTLYMIGDNPSVDVKGAHQAGNPWFSILTRTGVFRGESNHAEFPADLVVDTVEEAVDYILRRECSII >Manes.12G152700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36068705:36072466:1 gene:Manes.12G152700.v8.1 transcript:Manes.12G152700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYSDLSLRKQPKPTSQASGSQQGSSIYDQEVKDTEYLQNLPLYKAVDAGDWEAALKFLEEHPNALTASLSADGDTALHVAVLAGHEEIVEELVKKLDAEDLAIKNKMNATALNYAAIGGITKIAEDLVKRNTDLLTIPNQNGQIPVVVASLYGHKEMVRYLYIESPKEELSPGRGKNGIMLLTTCIIDELYDVALDLLQLHPQLAFDQDSDKDTALDMLAQKPSAFPSGSALSFWQHWIYVCIRVPEPHLSNNTNGDIERPRSGPTIRRNIVRRVLHQLSVIIWKCLKPAVPGIKHLYNLKLTHARAQELLCCICEQLSTLHKSEFDRLGVYRAIFNAVKYGIVEFIEEMIKHYPDIIWCEDELNRGIFMYATCQRQEKIFSLIYKMGAKKNSMATSWDKYHNNILHQAALLAPSSRLDLVSGAALQMQRELQWYKEVESIAQPKYREMRNLQQKTPRALFTESHRKLVEEGEKWMKDTAESCTVVAALIATIMFSAIFTVPGGYDQYGEPLYLYQTLFMVFIVSDAMSLFASTSSLLMFLGILTSRYREEDFLKSLPTKLIIGLSSLFFSIATMMITFGVTLVIFLHKRIHWVSFPIILLASLPVTLFALLQFPILVEIFLSTYGPGIFDKPKKWWLC >Manes.12G152700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36068720:36072466:1 gene:Manes.12G152700.v8.1 transcript:Manes.12G152700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYSDLSLRKQPKPTSQASGSQQGSSIYDQEVKDTEYLQNLPLYKAVDAGDWEAALKFLEEHPNALTASLSADGDTALHVAVLAGHEEIVEELVKKLDAEDLAIKNKMNATALNYAAIGGITKIAEDLVKRNTDLLTIPNQNGQIPVVVASLYGHKEMVRYLYIESPKEELSPGRGKNGIMLLTTCIIDELYDVALDLLQLHPQLAFDQDSDKDTALDMLAQKPSAFPSGSALSFWQHWIYVCIRVPEPHLSNNTNGDIERPRSGPTIRRNIVRRVLHQLSVIIWKCLKPAVPGIKHLYNLKLTHARAQELLCCICEQLSTLHKSEFDRLGVYRAIFNAVKYGIVEFIEEMIKHYPDIIWCEDELNRGIFMYATCQRQEKIFSLIYKMGAKKNSMATSWDKYHNNILHQAALLAPSSRLDLVSGAALQMQRELQWYKEVESIAQPKYREMRNLQQKTPRALFTESHRKLVEEGEKWMKDTAESCTVVAALIATIMFSAIFTVPGGYDQYGEPLYLYQTLFMVFIVSDAMSLFASTSSLLMFLGILTSRYREEDFLKSLPTKLIIGLSSLFFSIATMMITFGVTLVIFLHKRIHWVSFPIILLASLPVTLFALLQFPILVEIFLSTYGPGIFDKPKKWWLC >Manes.12G152700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36069405:36072466:1 gene:Manes.12G152700.v8.1 transcript:Manes.12G152700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATALNYAAIGGITKIAEDLVKRNTDLLTIPNQNGQIPVVVASLYGHKEMVRYLYIESPKEELSPGRGKNGIMLLTTCIIDELYDVALDLLQLHPQLAFDQDSDKDTALDMLAQKPSAFPSGSALSFWQHWIYVCIRVPEPHLSNNTNGDIERPRSGPTIRRNIVRRVLHQLSVIIWKCLKPAVPGIKHLYNLKLTHARAQELLCCICEQLSTLHKSEFDRLGVYRAIFNAVKYGIVEFIEEMIKHYPDIIWCEDELNRGIFMYATCQRQEKIFSLIYKMGAKKNSMATSWDKYHNNILHQAALLAPSSRLDLVSGAALQMQRELQWYKEVESIAQPKYREMRNLQQKTPRALFTESHRKLVEEGEKWMKDTAESCTVVAALIATIMFSAIFTVPGGYDQYGEPLYLYQTLFMVFIVSDAMSLFASTSSLLMFLGILTSRYREEDFLKSLPTKLIIGLSSLFFSIATMMITFGVTLVIFLHKRIHWVSFPIILLASLPVTLFALLQFPILVEIFLSTYGPGIFDKPKKWWLC >Manes.17G040000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23184843:23187517:-1 gene:Manes.17G040000.v8.1 transcript:Manes.17G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLSLQVVLISTGVLSLALLLKVSVPLVVDFSVYQAPLIWSSIASWLTPPYLYVVLNCIIITIAASSRFNNSHGAADKEQQEQVPPAPKISTREAADQFHYQMKISAPEILSDYGGLESTVVVAEDVYVQRQEKAGAGPVFEDISTEVVKGSVEIEDKEDEDDFVLSKSTWIPPKRMDSSENLPELLPPSEKPLVSARFGHRKPVRASPEGGRKALRVAKPKRQETLENTWKMITEGRAMPLTRHLKKCETFETHGRQVNVCEVDPTPLPKKSETFKDRTNYQPPPVGNSPASGKLRKEPSLSQDELNRRVEAFIKKFNEEMRMQRQESLNQYKEMIGRGSH >Manes.08G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36224152:36227933:-1 gene:Manes.08G122400.v8.1 transcript:Manes.08G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRFLSPVHDKAPMGKRILDKGNFNGLKHNKCVIFPSKVSMAAEALERRNQNWIVHTKVANDLIIQVGDSTFHLHKLPMVSRSGYLNRLVFQRISIGEKNTVSKIQIENFPGGAEIFELVVKFCYGWKVDLTAANIAPAYCAAHFLEMSDDLEQGNLISKAETFLSFILLTSWKDIFQILKSCEAISSWAKELQVLKRCSEAIAWKASMDPKKFTAYDDDSLCFNAQSNNARNSQHSCVAENWWFDDVSFLRLDHFLEVIESIKTKGVRPELVGSCIAHWTEKWLSQIPFGQHNRPTNQLLKVTAESLIKVLPEEKNSVSCNFLLHLLKLGRMMRIKFELLNKLEQRIAHMLEQCSASDLLVRNHGSTDSVYDVRIIRRVVRSYVSSMLLYPTQRIYIVGKLVDGYLAMIARDEKLSIKQFQLLTEALPKDARYCDDNLYRAMDMYLKAHPSLTEEGRTSICKAMDYHKLSQEARQHAMKNERLPLYISMRLVLLEQVNMTKSMTTTGSNYRRTKTQTIIRISKGLDEECMTPLKEISMMKQEVENMKMQLNALQKCKMQLQRQVLKGCIV >Manes.04G116050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31841103:31841883:1 gene:Manes.04G116050.v8.1 transcript:Manes.04G116050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIWWVATIACLSLAKHERHAMQPQRPHGDRPPHQQKRNREDEPLDSAEVVAPGLARRWRRLNRCVIHWFVD >Manes.14G108600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9114433:9115603:-1 gene:Manes.14G108600.v8.1 transcript:Manes.14G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSHLNDVSSDSIPLLLLAVVAKFVDHLRSLLFALFHSLGLSRFGPSHAVVDDGLVGSGLAGLIVLAEQLNLNRVFSYRYCCGGGDDFNSANKGGSDCVVCLSTLRDGDQVRKLDCCHVFHKECFDGWLDHLNFNCPLCRSPLVSDERVDFTRKRVGEDLMDWFSMR >Manes.03G030866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2503632:2507932:1 gene:Manes.03G030866.v8.1 transcript:Manes.03G030866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMDGLTDDIKKPSRMRQFHSPSHSIKLYSNYVLMLVHCFLHALDSSLAQAIGVNTENLLLSQPDCGEQALSLVDMLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKISTFGGFGGPTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGSQVQVKIVKNKLAPPFKTVQFELEFGKGICRESELIELGVKHKYLVRAGSFYNYNGQSFRGKEALKRFLAENDVAREELMMQLRQKLLDVGSSKDEGAEDGEPVEEIVSPDSTDEEAVTAVEA >Manes.03G030866.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2503632:2507932:1 gene:Manes.03G030866.v8.1 transcript:Manes.03G030866.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMDGLTDDIKKPSRMRQFHSPSHSIKLYSNYVLMLVHCFLHALDSSLAQAIGVNTENLLLSQPDCGEQALSLVDMLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQTTGSQVQVKIVKNKLAPPFKTVQFELEFGKGICRESELIELGVKHKYLVRAGSFYNYNGQSFRGKEALKRFLAENDVAREELMMQLRQKLLDVGSSKDEGAEDGEPVEEIVSPDSTDEEAVTAVEA >Manes.08G126800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36713793:36715448:-1 gene:Manes.08G126800.v8.1 transcript:Manes.08G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNIIKLLLLSLPFFTLHSPAISQRPDHTASSYAGRHGEWTLLQTSIGITAMHMQLLHDDKVVIFDRTDFGKSNISLPGGRCRNDSKDQALQVDCTAHSVLYDVATNSYRALMVQTDTWCSSAAVLANGTLVQTGGFNDGDHVIRMFTPCLNGICDWIEFRNYLSQRRWYASDQILPDGRIIIVGGRRQFNYEFYPSRSGTFELSFLRETYDKSEENNLYPFLHLLPDGNLFVFANTRSILLDYNQNRVIREFPRIPDDVSRNYPSTGSSVLLPLDENNGRIEAEVLICGGARRGSDLPAKRGVFLPASSTCGRLVVTKQNPSWVMETMPTSRVMSDMLLLPTGDVIIINGGRSGTAGYDSARDPVTTPVIYRPSQHPDWRFSTMSPSSKPRMYHSSAILLTDSRVLVGGSNPHPAYSFTGVVFPTDLSLEAFSPPYLSEEYEYIRPRILSADQTLGYQKTFSVSFQVDEYLSDTVLSVRIVSPSFTTHSFAMNQRMVVLKMSSITHQLSDLHTLNAVGPSTAEIAPAGYYLLFVLHGNIPSTGAWVKIG >Manes.17G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27866836:27867415:1 gene:Manes.17G078800.v8.1 transcript:Manes.17G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGKLEANVEIDAAADQFHNVFSCRPHHTCNMSPGKIHACDLHEGEWGKEGTVVCWHYFDDGYRKVAKEIIETIDDVNLSITYKVIAGDPLKEYKNFKFIVQAIPKGKGSLVHWTMEYEKIHENISNPNTLMEFLIHCTNDIYAHLVECRRNNHESCYICYDV >Manes.08G050100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5153048:5153239:1 gene:Manes.08G050100.v8.1 transcript:Manes.08G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLVLLLLDLSCLGLFVRMLKPCFSVLVCFFCDGFYWFIACNKGGIIRRSGHRFLKFSILF >Manes.12G133500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34044058:34046332:-1 gene:Manes.12G133500.v8.1 transcript:Manes.12G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP79D2 MAMNVSTTATTTASFASTSSMNNTAKILLITLFISIVSTVIKLQKRASYKKASKNFPLPPGPTPWPLIGNIPEMIRYRPTFRWIHQLMKDMNTDICLIRFGKTNVVPISCPVIAREILKKHDAVFSNRPKILCAKTMSGGYLTTIVVPYNDQWKKMRKVLTSEIISPARHKWLHDKRAEEADQLVFYINNQYKSNKNVNVRIAARHYGGNVIRKMMFSKRYFGKGMPDGGPGPEEIMHVDAIFTALKYLYGFCISDYLPFLEGLDLDGQEKIVLNANKTIRDLQNPLIEERIQQWRSGERKEMEDLLDVFITLQDSDGKPLLNPDEIKNQIAEIMIATIDNPANAVEWAMGELINQPELLAKATEELDRVVGKDRLVQESDIPNLNYVKACAREAFRLHPVAYFNVPHVAMEDAVIGDYFIPKGSWAILSRYGLGRNPKTWPDPLKYDPERHLNEGEVVLTEHDLRFVTFSTGRRGCVAALLGTTMITMMLARMLQCFTWTPPPNVTRIDLSENIDELTPATPITGFAKPRLAPHLYPTSP >Manes.12G128800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33589824:33593964:-1 gene:Manes.12G128800.v8.1 transcript:Manes.12G128800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSQQTASMENQSQKKPRILCLHGFRTSASILQKLILRWPEDVLEKLDLVFLDAPFPARGKSDVEGIFDPPYYEWFQGNEDFTQYSNFEECLAYIENYMIEHGPFDGFLGFSQGAILAAALPGMQKDGVCLTKVPNIKFLIIISGAKLGGSKFGLPKLAANAFSSPVQCPSLHFIGEADFLKEEGIGLVESFVNPLVIHHIKGHTIPRLDEESLEKILSFIQRIQMLPSQKD >Manes.03G069164.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9859797:9862043:-1 gene:Manes.03G069164.v8.1 transcript:Manes.03G069164.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPTKFRRIEVEKSFNINSLERDLGLWKQIAYIKVGPYQYIISKYPKSREKHQQSFQSSWFKLFPSWLEYSLSKDATFCLPCYLFHTPNVLLDLMHSLPMDFEIGKKFEHFTSQQVLENRLQLKASIDAIRWLAFQDCAFRGHDESKASQRVGNFRELLIEALNKAPQNALYTYLRIQKEILQVLSVKIKKTIHEEIGDAKFCIIIDETRDEFVNKNGYVKERFFGLVHDEILFVLSQHNLDIQSIRGQGYDSAIGVLCKRTDKLKVAHAENIAHLLAIDELQSGKRLNHIGTLQRPANMRRSSHLKSVSSMMNMFGVICELQSQDILNAMHLDDLITKVISFCKLVNIYVPNLNALYVTRRGRARHQQDEITIEHHYRIDIFNAVINSQLQELNNKFNNHIVELLSFSSVLDPKEMRASFKTDDICKMVEKIYPQGFVEYEMVQLRFEIYSLVYRATTLIFTLPVSTVTTERSFSIKDELLFDCLLLYIKKEIAK >Manes.S038316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1067559:1068371:1 gene:Manes.S038316.v8.1 transcript:Manes.S038316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGGRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.01G164300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34815755:34824006:-1 gene:Manes.01G164300.v8.1 transcript:Manes.01G164300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLETRRSITHTKFQANCTSNLCFVRCQSRVLLHNCSHRFVPNSTSFSSVVSSKTLLQGGGGSGVWGLGILRNQKAREYRILANTEDSDSTASSSEKRNENESEKVSNNPPNSGPKQRREKQGKSQWWSKKQSWKWQPLIQAQEIGVLLLQLGIVMLVMRLLRPGIPLPGSEPRQPTTFIGVPYSEFLNRINNNQVQKVEVDGLHIMFKLKNDGSNSYESSKVVSSKFQESESLLRSVSPTTKRIVYTTTRPIDIKTPYEKMLENQVEFGSPDRRSGGFLNFALIALFYMAVLAGLLHRFPVSFSQHPAGQIRNHKSGGSGGSKVAQLGETITFADVAGVDEAKEELEEIVEFLRNPDRYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIISNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHASKKELPLEVDVDLSDIASMTTGFTGADLANLVNEAALLAGRKNKVVVEKIDFIHAVERSIAGIEKKTAKLQGSERGVVARHEVGHAVVGTAVANLLPRQPRPEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGHLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDEYGIASWGRDQGHLVDLVQKEVKVLLQSALDVALCVVRANPTVVEGLGAHLEEKEKVEGEELQRWLKLVVAPKELSLFVRGEKESLLPQQTGL >Manes.12G003600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:545451:548469:1 gene:Manes.12G003600.v8.1 transcript:Manes.12G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISFLRLSPITSPKTLPKPYPFSSFLPPLPLSLKSPSPTFPPLKPTVTRMSYNPTPATERLISAVAYTLPFFNSLQYGRFLFAQYPSLGLLFDPIVPLLNLYRSIPYASFVAFFALYLGVVRNPSFSQYVRFNAMQAVTLDVLLVVPLLLARIFNPGRSGLGFKLMVWGHNAVFLFSCFCFVYGLVSSVLGKTPYLPFVAEAAGRQV >Manes.09G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33894929:33898338:-1 gene:Manes.09G139800.v8.1 transcript:Manes.09G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVVCWYLSPGFYCAKSLSCLLEGGLETLAMANTSLLFYYFGHRRFSPSFRSFFFIPTCLALFTSLFILFYISTTSNLFSGQLHPSALLLKPHLPSGSSSNTQETIPALFHNDSTTPLMHFPSEKNDDGRNESQRFSKLQLGSNGIYVNNEVFHDRDIFMEDYKEMNRSFKIYAYPHRQNDPFANALLPVDFEPRGNYASESYFKKVLMKSHFITKDPTKADLFFLPFSIARLRHDPRIGVGGIQDFIRDYISNISRKYPYWNRTGGADHFYVACHSIGRSAMEKAEEVKFNAIQVVCSSSYFLSGHIAHKDASLPQVWPRQGDPPGIASSKRKKLAFFAGSINSPVRERLLQVWRNDTEIFVHFGRLTTPYADELLGSKFCFHVKGFEVNTARIADSLYYGCVPVIIANHYDLPFTDILNWKSFSVVVATLDIPLLKKILHGISSEKYQMLQKNVLEVRNHFQWNLPPVDYDAFNMVMYELWLRRSSVRIP >Manes.03G132000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25863010:25871838:-1 gene:Manes.03G132000.v8.1 transcript:Manes.03G132000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPFASPSLPSSSKLSGLSYLSSSSLISLPKNDLRRSKFKSPRNLSVRASSNSDAVVTLLDYGAGNVRSVRNAIRYLGFEIKDVQTPADILNANRLIFPGVGAFAAAMDVLNKTGMAEALCAYIEKDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFDSSNGFRVPHIGWNALQVAKDSEILDDIGNRHVYFVHSYRAMPSHENKEWVSSICNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLLPKSSMTKKPRDGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRFASENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVYAAEEYLRTKVKTGKSSIEQISRVYGNQAVVVSIDPRRVYLKNPNDMEFKAVRVTSPGPNGEEYAWYQCTVSGGREGRPIGAYELAKAVEALGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGVVEHFSEVFTQTNASAALAAGIFHRKEVPIQSVKEHLLKEGIEVRI >Manes.03G132000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25863010:25871838:-1 gene:Manes.03G132000.v8.1 transcript:Manes.03G132000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPFASPSLPSSSKLSGLSYLSSSSLISLPKNDLRRSKFKSPRNLSVRASSNSDAVTLLDYGAGNVRSVRNAIRYLGFEIKDVQTPADILNANRLIFPGVGAFAAAMDVLNKTGMAEALCAYIEKDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFDSSNGFRVPHIGWNALQVAKDSEILDDIGNRHVYFVHSYRAMPSHENKEWVSSICNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLLPKSSMTKKPRDGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRFASENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVYAAEEYLRTKVKTGKSSIEQISRVYGNQAVVVSIDPRRVYLKNPNDMEFKAVRVTSPGPNGEEYAWYQCTVSGGREGRPIGAYELAKAVEALGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGVVEHFSEVFTQTNASAALAAGIFHRKEVPIQSVKEHLLKEGIEVRI >Manes.03G132000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25863572:25871832:-1 gene:Manes.03G132000.v8.1 transcript:Manes.03G132000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPFASPSLPSSSKLSGLSYLSSSSLISLPKNDLRRSKFKSPRNLSVRASSNSDAVVTLLDYGAGNVRSVRNAIRYLGFEIKDVQTPADILNANRLIFPGVGAFAAAMDVLNKTGMAEALCAYIEKDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFDSSNGFRVPHIGWNALQVAKDSEILDDIGNRHVYFVHSYRAMPSHENKEWVSSICNYGDDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLLPKSSMTKKPRDGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRFASENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVYAAEEYLRTKVKTGKSSIEQISRVYGNQAVVVSIDPRRVYLKNPNDMEFKAVRVTSPGPNGEEYAWYQCTVSGGREGRPIGAYELAKAVEALGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGVVEHFSEVFTQTNASAALAAGIFHRKEVPIQSVKEHLLKEGIEVRI >Manes.16G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29100793:29109986:1 gene:Manes.16G084900.v8.1 transcript:Manes.16G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTAATVYISSRKFPIPTTRKGAFFSNFITSTARPRRFLIRSSSDGSAETAAKEVEELETSIEAPEGPTSLISALNVERALRGIPITDVDYYGRLGIDRECSYEEVTVAYKNKVEELLNQGLDEEDVRQKMEQLKESYSILSSEEERRMYDWSLARSETPERYTWPFQTDKLKPPTEPPPPQEPEDVGPTRLVGYFILGWILLSFVLSIVLSR >Manes.17G018112.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9777295:9780026:-1 gene:Manes.17G018112.v8.1 transcript:Manes.17G018112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCKPVDTLMQPRVKFYKYDSVVKVNENHYRSLLSCLMYISASRPDIMHVVSHLSRFLHCASEEHLQAAKRVVRYIKGTIDFGGFWIVTQNFKLVGFSDSDWAGSIYDMKSTTGFCFNFGLGVIKWCSKKQEIIAQSTEEAEFVAATAAANHALWLRKILKDLNEE >Manes.02G078900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6107734:6107943:-1 gene:Manes.02G078900.v8.1 transcript:Manes.02G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLRQAVLMCSSLPLLDCVMGALLHLFTSHECSSSTTTVVEDEENDGGAANNRAAQKHFHQIQHIRGA >Manes.06G063900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19881474:19885220:-1 gene:Manes.06G063900.v8.1 transcript:Manes.06G063900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPLSCRLEERKRVLEEVNGDGWLGKKKKRPSLLPDTTHSIHFLQLLSFSYFHISVSTIDTMFGWTERKNKQRKGEMGVFLSKRPRGKGRGVAGSYGYGGIGQNCLALFISRG >Manes.06G063900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19881474:19885197:-1 gene:Manes.06G063900.v8.1 transcript:Manes.06G063900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPLSCRLEERKRVLEEVNGDGWLGKKKKRPSLLPDTTHSIHFLQLLSFSYFHISVSTIDTMFGWTERKNKQRKGEMGVFLSKRPRGKGRGVAGSYGYGGIGQNCLALFISRG >Manes.06G063900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19881474:19885197:-1 gene:Manes.06G063900.v8.1 transcript:Manes.06G063900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPLSCRLEERKRVLEEVNGDGWLGKKKKRPSLLPDTTHSIHFLQLLSFSYFHISVSTIDTMFGWTERKNKQRKGEMGVFLSKRPRGKGRGVAGSYGYGGIGQNCLALFISRG >Manes.06G063900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19881474:19885197:-1 gene:Manes.06G063900.v8.1 transcript:Manes.06G063900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPLSCRLEERKRVLEEVNGDGWLGKKKKRPSLLPDTTHSIHFLQLLSFSYFHISVSTIDTMFGWTERKNKQRKGEMGVFLSKRPRGKGRGVAGSYGYGGIGQNCLALFISRG >Manes.06G063900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19881474:19885197:-1 gene:Manes.06G063900.v8.1 transcript:Manes.06G063900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPLSCRLEERKRVLEEVNGDGWLGKKKKRPSLLPDTTHSIHFLQLLSFSYFHISVSTIDTMFGWTERKNKQRKGEMGVFLSKRPRGKGRGVAGSYGYGGIGQNCLALFISRG >Manes.06G063900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19881474:19885197:-1 gene:Manes.06G063900.v8.1 transcript:Manes.06G063900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPLSCRLEERKRVLEEVNGDGWLGKKKKRPSLLPDTTHSIHFLQLLSFSYFHISVSTIDTMFGWTERKNKQRKGEMGVFLSKRPRGKGRGVAGSYGYGGIGQNCLALFISRG >Manes.06G063900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19881474:19885197:-1 gene:Manes.06G063900.v8.1 transcript:Manes.06G063900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPLSCRLEERKRVLEEVNGDGWLGKKKKRPSLLPDTTHSIHFLQLLSFSYFHISVSTIDTMFGWTERKNKQRKGEMGVFLSKRPRGKGRGVAGSYGYGGIGQNCLALFISRG >Manes.03G152500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28042928:28043902:1 gene:Manes.03G152500.v8.1 transcript:Manes.03G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVCNSDYHVNVSAWEIGDPIQDETLSSSNLFITSKIELSYHSDATSTIITGHKRKSIFTTPTKLMPRKITAHETQHTFRFPCDSFTSTHGSKTITSMVSDMNIPFLLENIQWRQHVCEPWIVLENRDELLNKILDLALHAIEGFEKASRKEFKLYIHIEKKIILPQQEYEAMANSREDEERAKRIKAAAIPEVIGYQFSSGRLLSRTELLERLSRILKEKNEVVELSSLASLADQVSQTFMRIQKRGRSSLASSVTKAVEYVRVKGSDVESMGRCSICIQEVELRSYVSRLPCSHMFHRDCIGEWLTTSHLCPLCRLSLD >Manes.07G091500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28425089:28438102:1 gene:Manes.07G091500.v8.1 transcript:Manes.07G091500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNLQLLMMRIWTQRYQYYENRLKYLASQKAEGKNPYPHKFSVSMSIVEFIEKYGGLSNGEHVEDVNESLAGRIMKKRSSSSKLFFYDLHGGDVKVQVMADASKSGMDEAQFSRFHSSVKRGDIVGVIGFPGKTKRGELSIFPRSFTVLSHCLHMMPREKPGQNPNVKRTDIWAPGQTRNPEAYTLKDQETRYRQRYLDLMLNAEVRQLFKARSKIISYVRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTERLLSGMVKELTGGYKIKYHANGLDNDPIEIDFTPPFRRIDMIEELEKMANLNIPKDLSSDEANKYLVAACERFDVKCPPPQTTARLLDKLVGHFLEETCVNPAFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFTEQLKDRQSGDDEAMAYDETFCTALEYGLPPTGGWGMGIDRLAMLLTDSQNIKEVLLFPSMKPQDEPPAKAT >Manes.07G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28425089:28438102:1 gene:Manes.07G091500.v8.1 transcript:Manes.07G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVDETAKQVSNLSMDAESTASGGETVSKNARKKELKNKQREEERRRKEEEKARQAAERTSTHVQKPAAADDEDMDPTQYYENRLKYLASQKAEGKNPYPHKFSVSMSIVEFIEKYGGLSNGEHVEDVNESLAGRIMKKRSSSSKLFFYDLHGGDVKVQVMADASKSGMDEAQFSRFHSSVKRGDIVGVIGFPGKTKRGELSIFPRSFTVLSHCLHMMPREKPGQNPNVKRTDIWAPGQTRNPEAYTLKDQETRYRQRYLDLMLNAEVRQLFKARSKIISYVRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTERLLSGMVKELTGGYKIKYHANGLDNDPIEIDFTPPFRRIDMIEELEKMANLNIPKDLSSDEANKYLVAACERFDVKCPPPQTTARLLDKLVGHFLEETCVNPAFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFTEQLKDRQSGDDEAMAYDETFCTALEYGLPPTGGWGMGIDRLAMLLTDSQNIKEVLLFPSMKPQDEPPAKAT >Manes.12G089408.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14996728:15002430:1 gene:Manes.12G089408.v8.1 transcript:Manes.12G089408.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRANSGSKGFDFGSDDILCSYEDYGNQDASNGNHSDPVIGTNSSKDFHKSRMTRSSVFPASSYSQPEDSFNQDVAAAFEKSIKKHTDNLMRFLEGISSRMSQLELYCYNLDKSIGEMRSDLVRDHGEADIKLKSLEKHLQEVHRSVQILRDKQELAETQKELAKLQLVQKESSSSSHSQSEEKASPSASESKKTENATETHNHQLALALPHQVVPQQQPAPVPQQAQAPPQNVTQQQSYYLPPAQTQHPQSQYLSPDPQYRTTQMQDISRVAPQPTQTQINQTPPSQQFPQYQQQWPQQLPPQVQASQQSSIQPQMRPSSPSVYPTYPPQVQPTNSSPPETLPNSMPMQVSYAAVPQPLSSRADAMPYGYGAGRTVPQQPSPQQVKGTYGAQPGDGYATAGPHSTLPAGSAYMMYDSEGGRTHHPPQQPHFPQGGYPPSNIGLQNPQPATGTNMLSRNSGHSHFVRNHPYSELMEKLLSMGFRGDLVASVIQRMEESGQPVDFNTVLDRLNVHSSGGSQRGWSG >Manes.01G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31264263:31266413:1 gene:Manes.01G115500.v8.1 transcript:Manes.01G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGRGRKAKVMKIDGETFKLKTPVTAREVVKDYPGHVLLDSEAVKHFGIRAKALEPEQELKPKRIYFLVELPKFPEENKEPSRTPRRVRSGIQMSAKDRLEFLMLSRRSVSDLAIARPLSSQVPNGSAPVRVKMRLPKAQVEKLVEESKDEVEMAEKIVDLFMEDSDEVKRGDLNRRQVQWRPELGSIGENLKPREKRVSFVPDEGEIQLALASH >Manes.13G072700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10631670:10640198:-1 gene:Manes.13G072700.v8.1 transcript:Manes.13G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSTRLITQLNSSESHRFFLATTKFLPPRAPNSLIFTPNISFSAPRFSKIASFSNKAGNFLGSNRNPPAMDPYPPESSDRPPAAEDFVHIENPNPNVEALSESIVDVADELRVDTGADIRSVSEPRRTELPEGLSKSVVVLKCESTAESGSCDVYLVGTAHVSQDSCKEVEAVIRYLKPEVVFVELCSSRVAVLTPQNLKIPTMGEMIEMWKKKHNLFGILYSWFLAKVANKLEVYPGSEFRVAFEEARSYGGKVVLGDRPVQITLRRTWGKMPLWHKTKLLYSLLFQAFFLPSPEDLNKMLKEMDDVDMLTLVIQEMSKEFPTLMETLVHERDQYMSATLLRVASEHTSVVAVVGKGHLRGIKKHWKQPVPIKDLLQMPSQKPAISALKVLTSLGVAVAGVAIISGIYIAFKK >Manes.09G076337.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11867204:11869591:1 gene:Manes.09G076337.v8.1 transcript:Manes.09G076337.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEDGFEFADHSPPSFQNVGNVVKDIEAKGFNPGLIVLLLVGGLVLTFLIGNYALYVYAQKTLPPKKKKPISKKKMKRERLKHGVSAPGE >Manes.15G095100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7408578:7413999:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEFLREEDGDKVKEEFENRVPDLGTHKKRSECLECSGTNKSGAVDTETSLKEVNIGTQV >Manes.15G095100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414097:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEECSGTNKSGAVDTETSLKEVNIGTQV >Manes.15G095100.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7413999:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEVGPWLDVGTTQILKPLY >Manes.15G095100.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7413999:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLDLLRLSMCYADGIMMSECEPYDNFLRIMLVAVDGKSDKSVVICEGGVLFG >Manes.15G095100.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7413999:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEVGPWLDVGTTQILKPLY >Manes.15G095100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414050:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHELGVDMVQAGSCSRVQGNQDQTTRSPLVSVSVLIPIRSLSI >Manes.15G095100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7408578:7413999:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEVRNVVEPTNRELWILRLA >Manes.15G095100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414140:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEECSGTNKSGAVDTETSLKEVNIGTQV >Manes.15G095100.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414929:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEECSGTNKSGAVDTETSLKEVNIGTQV >Manes.15G095100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414050:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEFLREEDGDKVKEEFENRVPDLGTHKKRSECLVR >Manes.15G095100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7408578:7414112:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHELGVDMVQAGSCSRVQGNQDQTTRSPLVSVSVLIPIRSLSI >Manes.15G095100.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414051:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEFLREEDGDKVKEEFENRVPDLGTHKKRSECLVR >Manes.15G095100.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414050:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLDLLRLSMCYADGIMMSECEPYDNFLRIMLVAVDGKSDKSVVICEGGVLFG >Manes.15G095100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7408578:7414112:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHEFLREEDGDKVKEEFENRVPDLGTHKKRSECLVR >Manes.15G095100.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7408746:7410001:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLDLLRLSMCYADGIMMSECEPYDNFLRIMLVAVDGKSDKSVVICEGGVLFG >Manes.15G095100.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414050:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLDLLRLSMCYADGIMMSECEPYDNFLRIMLVAVDGKSDKSVVICEGGVLFG >Manes.15G095100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414051:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHELGVDMVQAGSCSRVQGNQDQTTRSPLVSVSVLIPIRSLSI >Manes.15G095100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7408578:7414112:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHELGVDMVQAGSCSRVQGNQDQTTRNVVEPTNRELWILRLA >Manes.15G095100.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7407866:7414050:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLDLLRLSMCYADGIMMSECEPYDNFLRIMLVAVDGKSDKSVVICEGGVLFG >Manes.15G095100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7408577:7414013:1 gene:Manes.15G095100.v8.1 transcript:Manes.15G095100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYEQPSTPYEQKLRDEVIYLHSLWHRGPPALDTNLNRLGPKPIHNSNNDPSRNLHVSYSTSFKKTKGNKHRYQNANNITASGSGSTSNRQPDPGPEWPVNPPPPSSPPNSGSGWPSFKVKPSPSTQIVPDIDEPKMIAMQMQQKVVKACNDFFGKKIDMDSEDDHEFEDEDEGDDSFSEDNDVEETDEFKFFFSLFVENRELRDFYESNQETGDLYCLVCGGMGVKVGKIFRGCLGLVQHAIAILRTKRKRAHRALAQVICRVIGWEFSRLPVVVLNREPLSRSLANLGATLSCLKEEGNEEVVEDLDHGVSDIEVSKGGELDHELGVDMVQAGSCSRVQGNQDQTTRSPLVSVSVLIPIRSLSI >Manes.06G058200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15900358:15901566:1 gene:Manes.06G058200.v8.1 transcript:Manes.06G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRIWLGTFETAEEAARAYDQAAILMSGRNAKTNFPVNQTPNGDPKSSDPSSISTITTAAAPSNGLSEILHAKLRKCSKTPSPSMTCLRLDTENSHIGVWQKRAGQGSDSNWVMTVKLDKRNLQVSDGTLPLTESSETLPLPELRTEMDEEERIALQMIEELLNMNCPSPPICDDRDGHGGNGF >Manes.13G075700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:18185291:18186488:1 gene:Manes.13G075700.v8.1 transcript:Manes.13G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPMPPSSHASSSEGSSKSPTPPTPPPLPQRGSSQLIQPSGKKKKPKVVRVFRSVFRSFPIINPVCKIPVLQGNSPDNQQKVTGTLFGYRKGRISLSIQETPKCYPSVIVELAIQTNVLQKELTSGMVRIALECEKRTDKEKVRLLDEPLWTMFCNGKKNGYGMKRDATDEDLKVMELLRAVSMGAGVIPASSETEGPDGEFAYIRAHFDRVVGSKDSETLYMISPEGNNGPELTIFFVRV >Manes.08G137701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38113654:38114603:1 gene:Manes.08G137701.v8.1 transcript:Manes.08G137701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVLLALAVAFSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNYTVEKLQKLFASA >Manes.06G077900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21430597:21431625:-1 gene:Manes.06G077900.v8.1 transcript:Manes.06G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGLSVQYLIDLVVAGVSLMIGFGIFALIASILCSAAFINNAKDVY >Manes.03G151000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27936946:27938487:1 gene:Manes.03G151000.v8.1 transcript:Manes.03G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDCVTIQINEKLTGESPVFSDHCIFKVPKELRSVNEEAYEPQLIAIGPYHHGKDHLLAMENHKIRYLQSLLQRSAQNDVLRYVQTVRSLEERARKCYAEPLSFDHDEFVEMMLIDGCFIIEFICKLLQDDKEDPLLRSNHMLTRFMLDLLLLENQLPFFILSELLVTSNVISGQESTFITLILAIYETFLPGPLCNSSLANTPENMIQIKNLLGLLHDHWQPSPARLEVYKKMGQAEERGFTRCATELKDVGIKFKSAVERNNLFDIDFVNSTIKIPKIQIGDKTECVLRNLIAYEQLTSSTSPKYFTDYTVFMDSLINSKKDVELLCRQGIIDNWKGDDETIAILFNMLGEHVFCERDLYGDIVNNINQHCKKRRNLWMAKLRHDYFQNPWSLISVLAAIMLLLLSMTQTVYSVLSYYK >Manes.05G193800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31714886:31724710:1 gene:Manes.05G193800.v8.1 transcript:Manes.05G193800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFTAIQGSVTAPSFFSQLFSSNDASSSSSLLASHTCHFTCSKIFHKSRHSFSTLKVSVSENPNQSATTATAFSTGVVEASPDGIRQARRSADWKAARAYIDSGSIYEGRIEGFNNGGLLIRFYSLLGFLPFPQLSPSHSCKEPEKTIHEIAKGLIGSRISVKVIQAEEENRKLIFSEREASWLKFSKRINVGDIFAGRVGSVEDYGAFVHLLFPDGLYHLTGLVHVSEVSWDLVQDVRDVLSEGDKVKVKIVNIDRQKSRITLSIKQLEEDPLLETLDKVIPQDGSVDPDPSTMNSSGTIEPLPGLEAIIEELLIEEGVDSVRINRQGFEKRVVSQDLQLWLSNAPPVDRKFTLLARAGRQVQEIQLTTALDQEGIKKALQRVLERVP >Manes.05G193800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31714886:31724710:1 gene:Manes.05G193800.v8.1 transcript:Manes.05G193800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFTAIQGSVTAPSFFSQLFSSNDASSSSSLLASHTCHFTCSKIFHKSRHSFSTLKVSVSENPNQSATTATAFSTGVVEASPDGIRQARRSADWKAARAYIDSGSIYEGRIEGFNNGGLLIRFYSLLGFLPFPQLSPSHSCKEPEKTIHEIAKGLIGSRISVKVIQAEEENRKLIFSEREASWLKFSKRINVGDIFAGRVGSVEDYGAFVHLLFPDGLYHLTGLVHVSEVSWDLVQDVRDVLSEGDKVKVKIVNIDRQKSRITLSIKQLEEDPLLETLDKVIPQDGSVDPDPSTMNSSGTIEPLPGLEAIIEELLIEEG >Manes.05G038800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3185520:3186478:1 gene:Manes.05G038800.v8.1 transcript:Manes.05G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFHNLEINVLSAENLRLDGKFVKKDTFVVLKFDPLNYKSTNADREGGSNPSWNQKLEMDMPMHARFIILEVQCKIGSTNRVIGTARVPVSDFLGGYTPVDYLHFLSYRLRDARGEKNGIINISVKVKRLAHDTAHACYPCSSSSSLSQPTWGMPAAGGEKNCRESVVTGIPIWCASHA >Manes.12G057506.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5577469:5586316:1 gene:Manes.12G057506.v8.1 transcript:Manes.12G057506.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRSINIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGALIYCMEELEDNLDDWLAEELENYRDDDYLVFDCPGQIELFSHVPVLRNFVEHLKSKNFNVCAVYLIDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKKDIEDYLNPEPRALLSELNQRMAPQFLKLNKALIELVDEYSMVNFVPLDLRKESSIQYVLGQIDNCIQYGEDADVKVRDFDPPDED >Manes.14G055200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4709810:4716221:-1 gene:Manes.14G055200.v8.1 transcript:Manes.14G055200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDFKDIQDKLSTHFRPLHRSFQFWVRAADIYTGYKVFQLRVSLIKDVQKQEAMWERQHELAAEKIYAMCSDLGGFFLKIAQVLGKPDLAPAAWVRRLVTLCDRAPATPFDTVQLVLEKELGRSIGEIFENFEEEPLGSASIAQVHRARLKGDKSDVVVKVQHPGVQELMMTDIRNLQAFALYMQKTDIKFDLYSVTREMETQIGYEFDFMREANAMERIRRFLYENNKKSPVLVPRLLKDMVTRRVLVMEYIDGIPILNLGDEIAKRGIDPGSKIAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDSLRLGYANLVLAMADNDPIKASESYRELGIGTLSKCENELQELLRLAQTMFDSKLPPGVAMLQPFSEDSSIKKIAVQAFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEQALYLAGRLKDKDLKTRVHKRHLFRRLLRRGVKD >Manes.14G055200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4709810:4716221:-1 gene:Manes.14G055200.v8.1 transcript:Manes.14G055200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDFKDIQDKLSTHFRPLHRSFQFWVRAADIYTGYKVFQLRVSLIKDVQKQEAMWERQHELAAEKIYAMCSDLGGFFLKIAQVLGKPDLAPAAWVRRLVTLCDRAPATPFDTVQLVLEKELGRSIGEIFENFEEEPLGSASIAQVHRARLKGDKSDVVVKVQHPGVQELMMTDIRNLQAFALYMQKTDIKFDLYSVTREMETQIGYEFDFMREANAMERIRRFLYENNKKSPVLVPRLLKDMVTRRVLVMEYIDGIPILNLGDEIAKRGIDPGSKIAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDSLRLGYANLVLAMADNDPIKASESYRELGIGTLSKCENELQELLRLAQTMFDSKLPPGVAMLQPFSEDSSIKKIAVQAFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEQALYLAGRLKDKDLKTRVHKRHLFRRLLRRGVKD >Manes.02G210140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24991568:24994076:1 gene:Manes.02G210140.v8.1 transcript:Manes.02G210140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINRIEDDEEEEDTEMPLPFSIVGSPWTKPLLRTAAKIGPNVSPPSAYEIAEVYLKYEYNEMKKYIASLPNVSPPSAYEIVEVYLKNEYNEMKKYIASFDGIWKERGVTIMCDGWSGPTHMSIVNFLVYSNRGTMLHKSIDASNVEHKDGEYYFKIMKEVVEEIGLKKLSKCAHCIDLILEDIGKKKSVQKIIDQAKKFTDNRDIFRLGITRFATNFIALESIVRYRVGLRNMFESEQWMMIKGDDKPTMGFIYEAMERAKLAIQKNSRSYLEYWRIIDHRWNFQLHHDLHAAGYFLNPQYQYGPHDIGNNNEIILGLKNVIQRLEGDLVNQGKALNQVSNKLILKCYYVSVVEGLKLEQLLMNLKLTSEWWINYGESALELRKIAIKVLSQTTSASNCERNWSTFSLIHTKTRNRLKYQKLHALVFVHYNMRLKIRNVTRKSQQELDRSYDPINLDYIFEEDDPLNSWLEERESPLLDGQPNPWLDGEEASNAQSQSESATQTQGQQGAAGGGGTTVEGGGSRSSQDPPSQRQNGIRIASEPSLMRTYQRSQDSHSSSTGGAETSQTRGEGVAYEEERSIDSLNYGYNAGTYNPNFMYGGGTGGSSSSEVL >Manes.05G169000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28263275:28265413:1 gene:Manes.05G169000.v8.1 transcript:Manes.05G169000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRYSSISTRKLSKALTVLTQDKCMVATSDYDEFHKMVKRYLLANVLGTNAQRRHRCHRDNLIENISSQLHAHVETSPNEAVNFRDYFQSQLFGLALKEALGEDVQSVYVDEFGSTLSREEIFKVLVLDPMEGAIDVDWRDFFPYLKWIPNKSLEKKIQQMDLNRQAVMNALIKEQKKRIDLGQKQNSYLDFLLSEGTLTEKQMSMLIWEIIIETSDTTLVTTEWAMYELAKNSKCQEMLLQEIKNVCGSEKITEEHLSELPYLNAVFHETIRKYNPAPVIPLRYVHEDTELGGYYIPAGSEIAINIYGCNMDKKQWENPEEWKPERFLDGKFDPMDLHKTMAFGAGKRACAGSLQAVLVGCTSIGRLVQEFEWRLKEGEEGNVDTVGLTARKLQPLHVILKPRT >Manes.05G169000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28261794:28265412:1 gene:Manes.05G169000.v8.1 transcript:Manes.05G169000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTSTILASFQAIPYATPVAVGGLFFSMFCVKKFISDQKRRNARLPPEVPGWPVIGNLLQLKEKKPHKTFTKWAEIHGPIYSIRTGSSTVIVLNSTDVAKEAMVTRYSSISTRKLSKALTVLTQDKCMVATSDYDEFHKMVKRYLLANVLGTNAQRRHRCHRDNLIENISSQLHAHVETSPNEAVNFRDYFQSQLFGLALKEALGEDVQSVYVDEFGSTLSREEIFKVLVLDPMEGAIDVDWRDFFPYLKWIPNKSLEKKIQQMDLNRQAVMNALIKEQKKRIDLGQKQNSYLDFLLSEGTLTEKQMSMLIWEIIIETSDTTLVTTEWAMYELAKNSKCQEMLLQEIKNVCGSEKITEEHLSELPYLNAVFHETIRKYNPAPVIPLRYVHEDTELGGYYIPAGSEIAINIYGCNMDKKQWENPEEWKPERFLDGKFDPMDLHKTMAFGAGKRACAGSLQAVLVGCTSIGRLVQEFEWRLKEGEEGNVDTVGLTARKLQPLHVILKPRT >Manes.03G205200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32080848:32087186:1 gene:Manes.03G205200.v8.1 transcript:Manes.03G205200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESWTGQFPVKNRMGERFTAVATNTPFFDDDGTLVGIICVSSDSRPFQEMRIPMVDSKNSQADSSFSRPRSMVTTKLGLDSQQPLQAAIASKISNLASKVSNKVKSKMRTDNSLDREYGSSDSHQSDHGFSDAALSDHKEDANSSGASTPRGDMHPSHFGMSSHVEEKSPMKPSRDSGDEGEGKPAIHKIITSKAEAWMGKKGFSWPWKGNEREGSDAKTRFMWPWLQNDQESETIHLRSPTSGAKPESLANEGNRSTYNEASGSWSSVNVNSTSSASSCGSTSSSAINKVDMETDCLDYEILWEDLIIGEPIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVILSFRQEVSLMKRLRHPNILLFMGAVTSCQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMASDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKDVDPQWASIIESCWHSDPQCRPTFQELLEKLRDMQRQYAIQFQAARSAAGDNTQREL >Manes.03G205200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32080848:32087186:1 gene:Manes.03G205200.v8.1 transcript:Manes.03G205200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESWTGQFPVKNRMGERFTAVATNTPFFDDDGTLVGIICVSSDSRPFQEMRIPMVDSKNSQADSSFSRPRSMVTTKLGLDSQQPLQAAIASKISNLASKVSNKVKSKMRTDNSLDREYGSSDSHQSDHGFSDAALSDHKEDANSSGASTPRGDMHPSHFGMSSHVEEKSPMKPSRDSGDEGEGKPAIHKIITSKAEAWMGKKGFSWPWKGNEREGSDAKTRFMWPWLQNDQESETIHLRSPTSGAKPESLANEGNRSTYNEASGSWSSVNVNSTSSASSCGSTSSSAINKVDMETDCLDYEILWEDLIIGEPIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVILSFRQEVSLMKRLRHPNILLFMGAVTSCQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMASDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKDVDPQWASIIESCWHSDPQCRPTFQELLEKLRDMQRQYAIQFQAARSAAGDNTQREL >Manes.03G205200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32080848:32087186:1 gene:Manes.03G205200.v8.1 transcript:Manes.03G205200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAEELLRKIQELEAGQEHLKQEMSKLKLTSDPKSEQHLQRRPHQSHQRSHSISPQRTGPRRRGGGSGVGSGFEAVAPWKKGSTSFRHSSPLQRESRSTGSTNAAKEGANCGPSAVKFTDKQYLNILQSMGQAVHIFDLSFRIIYWNRSAETLYGYSAAEALGQDAIELLVDPRDFPVANDIVHRVTMGESWTGQFPVKNRMGERFTAVATNTPFFDDDGTLVGIICVSSDSRPFQEMRIPMVDSKNSQADSSFSRPRSMVTTKLGLDSQQPLQAAIASKISNLASKVSNKVKSKMRTDNSLDREYGSSDSHQSDHGFSDAALSDHKEDANSSGASTPRGDMHPSHFGMSSHVEEKSPMKPSRDSGDEGEGKPAIHKIITSKAEAWMGKKGFSWPWKGNEREGSDAKTRFMWPWLQNDQESETIHLRSPTSGAKPESLANEGNRSTYNEASGSWSSVNVNSTSSASSCGSTSSSAINKVDMETDCLDYEILWEDLIIGEPIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVILSFRQEVSLMKRLRHPNILLFMGAVTSCQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMASDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKDVDPQWASIIESCWHSDPQCRPTFQELLEKLRDMQRQYAIQFQAARSAAGDNTQREL >Manes.03G205200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32080848:32087186:1 gene:Manes.03G205200.v8.1 transcript:Manes.03G205200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAEELLRKIQELEAGQEHLKQEMSKLKLTSDPKSEQHLQRRPHQSHQRSHSISPQRTGPRRRGGGSGVGSGFEAVAPWKKGSTSFRHSSPLQRESRSTGSTNAAKEGANCGPSAVKFTDKQYLNILQSMGQAVHIFDLSFRIIYWNRSAETLYGYSAAEALGQDAIELLVDPRDFPVANDIVHRVTMGESWTGQFPVKNRMGERFTAVATNTPFFDDDGTLVGIICVSSDSRPFQEMRIPMVDSKNSQADSSFSRPRSMVTTKLGLDSQQPLQAAIASKISNLASKVSNKVKSKMRTDNSLDREYGSSDSHQSDHGFSDAALSDHKEDANSSGASTPRGDMHPSHFGMSSHVEEKSPMKPSRDSGDEGEGKPAIHKIITSKAEAWMGKKGFSWPWKGNEREGSDAKTRFMWPWLQNDQESETIHLRSPTSGAKPESLANEGNRSTYNEASGSWSSVNVNSTSSASSCGSTSSSAINKVDMETDCLDYEILWEDLIIGEPIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVILSFRQEVSLMKRLRHPNILLFMGAVTSCQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMASDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKDVDPQWASIIESCWHSDPQCRPTFQELLEKLRDMQRQYAIQFQAARSAAGDNTQREL >Manes.12G151100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35918133:35925148:1 gene:Manes.12G151100.v8.1 transcript:Manes.12G151100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFSTDTGRTLLSLSATIPKMDNKLATAISAAPEKVLVEIVKLAQKQGRQGTKGSWKEFLNVYDRKFGSSLSDPGKRSRETLVAFLQTFTEQDDLKFLEYVLRLHSNREVLEQIRKESPDNESPEQRLVRLTVQHPFYLSKYAFPSYDKDWVVTKLPKKSKLVSSNTIVAVDCEMVLCEDGSDALVRVCVVDHNFQVKLDEKVNPCKPVADFRTEITGVAAEDLDGVSCSLADIQKSMKQLLQKGTILVGHGLHNDLQALKIDHRRVVDTSFIFRGLDGRSPSLDTLCKAVLGYELRQKGAPHNCINDATAAMKLVLAKIERGVDNDIPLKQEDVLESEMAKLLLHGIPIDIPSKELHGVFSKKVTIEPKPPKKAQGVQYSALAIFKDPQEAHQAFENLNGRLEKDKNGLEQKTITFKLNAGTTASIHVRKMAHDHSLHQGLLKKRAFQGENLVDPKKLKTDDCDNHLKEMERLKQELKEKDLDQCNNHLKEIERLKKDLSAKDFQISAQDKIISKLKKDLEEMKHKKKR >Manes.10G005200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:714265:715626:-1 gene:Manes.10G005200.v8.1 transcript:Manes.10G005200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKGNVLMQRYDFGRLLGQGNFAKVYYARNLETGQSVAIKVIDKEKILKVGLINQIKREISIMKLVKHPNVLQLDEVMASKSKIYFVIEYAKGGELFKKVAKGRFNEDVARNYFHQLISAVDFCHSRGVYHRDLKPENLLLDENGVLKVSDFGLSALVESKAQDGMLHTTCGTPAYVAPEVISRKGYDGAKADIWSCGVILYVLLAGYLPFHDANLISLYKKISKAEYKCPGWFSSEVRKLVSKMLDPNPRNRISIAKIMETSWFKKGFNSKSVKAKTDGKNSEILVTDLSFHPSEESPSFSEDKKELGKPTSLNAFDIISLSDGFNLSGFFAKSNKEKEAKFTSMHTASTIITKLEDTAKNLQLEVKQKDGGLLKLEGSEEGRKGALSIDAEIFEFTPSFHLVEVKKSAGDTLEYTQVLEQGIRPALKDIVWAWQGEKQQQQEEQQQENSS >Manes.01G213300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38355048:38357543:1 gene:Manes.01G213300.v8.1 transcript:Manes.01G213300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFGFSKGASISRNPLAEIFTKGFSTQIRRKLEGKVALITGAASGIGKATATKFISNGAKVVIADIQQQLGQDTAKELGSNAAFIACDVTKESDISNAVDFSISKFNQLDIMYNNAGVACKSSPSITDLDLAQFDRVMSINVRGVIAGIKHASRVMIPRRTGSILCTASVTGIMAGLSPHTYAVSKVTVIGIVRTVASELCKYGIRVNCISPFVIPTPFVMEDMSRFYPGVDAQQLVKILHNSGSLEGEICEPIDIANAALYLASEDAKYINGHNLVIDGGFTSFKSLGFPAPDQR >Manes.01G213300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38355048:38357543:1 gene:Manes.01G213300.v8.1 transcript:Manes.01G213300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFGFSKGASISRNPLAEIFTKGFSTQIRRKLEGKVALITGAASGIGKATATKFISNGAKVVIADIQQQLGQDTAKELGSNAAFIACDVTKESDISNAVDFSISKFNQLDIMYNNAGVACKSSPSITDLDLAQFDRVMSINVRGVIAGIKHASRVMIPRRTGSILCTASVTGIMAGLSPHTYAVSKVTVIGIVRTVASELCKYGIRVNCISPFVIPTPFVMEDMSRFYPGVDAQQLVKILHNSGSLEGEICEPIDIANAALYLASEDAKYINGHNLVIDGGFTSFKSLGFPAPDQV >Manes.14G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13633278:13637680:-1 gene:Manes.14G143100.v8.1 transcript:Manes.14G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYFFLNLLNRATSLSHLTQIHAQLLIHGLHTDIAAATKLTHKFFDFNSTSHARSIFFSIPKPDIFLLNVLIKGFSDNFQPLQAISLFTHLRTRPDLHPDNFTYAFVISAATSFGDARVGLLLQAKAIVDGFGSDLYVGSTLVDMHFKLFREDSALKVFDRLSNRDTVLCNTVISGLVRVCCYEDSVRRFWDMVSGDGPQFDSTTLIAVLPAVAELQKLRLGMQIQCLAVKFGFHSHISLLTGLISLYSKCGNVERANLLFRDIGRKDLISCNAMISGFTYNGEIESSVGLFKELVASGEKVNSSSIVGLIPVYSPFGHLYLTNCIHGFCVKSGTVSNSSVSTALTTVYCRLNEMESARQLFDVSSEKTLASWNAMISVTSILSACAQLGALSLGKWVHGVVKNKSIESNIYVSTALIDMYAKCGSILEARQLFESMPEKNEVTWNAMISGYGIHGHGQEALELFYEMLNSGIPPTRVTFLSALYACSHAGLVREGDKVFHCMVNDYGFEPLPEHYACMVDILGRAGKLEVALQFIKRMPVEPGPPVWGALLGACMIHKDASLGRVASQQLFELDTQNMGYYVLMSNIYSSEKNYPQAALVRQTAKSRKLIKTPGCTLIEVGQVPHVFTSGDQSHPQSKAIYAGLDNLTAKMKEAGFQTETDTALHDVEVEEKELMMKVHSEKLAIVFGLISTEPGTEIRIIKNLRVCLDCHTATKFISKITERVIVVRDAKRFHHFKDGVCSCGDYW >Manes.11G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31382028:31384310:-1 gene:Manes.11G149500.v8.1 transcript:Manes.11G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQKVLRTLKTVKRTLPEKPHREIPYSISKTLVSSSSSSSSSSSSSSSSSSSLGILSNLSPAKLHQILASPEHKPSQCLRFFNFIIENQSLISFKPDLQAHLTLIFRLLMARRFSDAENVLKSVSIDEYPSAKFDQVLETFDHMKKNGVNIDERTCTVHLFTLKRIDEMRLGLEFLYRMIESGIEISVYSLTVVVGGLCKSGEIKKCRELVEDMLSKGIKPNIVTYNIMIDACAKRWNFEELNSILALMEKEGEAFNVNTYKILIDGYSSFGKIEEAEKLLLEMHDKDLKADTHMYNLIINGYCKQGLVDRAVSVFDKMTNRDINFNFDTFWALVNGICKSGKMESAMVYVSKMQSKGIELDEALFNTLVDGFYNKGMIDEALALQIEMEKKGFDFDISICSKILRGLCKSKRFEEAEELVDMMVKRQSSTHESPCSSPRY >Manes.04G098300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30274212:30277644:-1 gene:Manes.04G098300.v8.1 transcript:Manes.04G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWNQHSRVNLTEMKTQIVKKIGMDRTKLYFYYLNKFLSLKLSKVQFNKLCFRLLGRDNIPFHNQFICSILKNAWNAKISPPLSHDKEVPKSTSNGSHTFPNGKAEFASHRPTVTGTGDNIASEDGIAKLVQHHQVLLEKEDREGEVLFHHPTKLLFSEQSTDGSFSVQNNAESEVSVVEGRKEISSAVSSLVAPLGIPFCSVSVGGACKSLHLASNDRYSWSYDNGGLLDSQSLRERMQQIARAQGLDEVSADSANLLNIGLDVYLKGLIKSCIELAGARHGCDLMSKNSHKRNSHMKLVNGFVTGHHMQLQNCSGILDGMQEQRSHLSISLLDFKVAMGLNPQQLGEDWPLLLEKIPHAIED >Manes.03G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9300577:9301419:-1 gene:Manes.03G068100.v8.1 transcript:Manes.03G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKKIAFLCMVVASLVMASQASLQQDEQECAEQLTNLAACIPFVSGTAKKPTAECCQDTQKVKANSPKCLCVLIKESTDPSMGLPVNTTLALQMPSACNIDAKVSDCPSILNLPPDSPDAKIFKEAGDSDSSTTSSSTDSPPSSASASSGSSSSSSSSSSSSSSPDSSSNSDSKTPSSSNNGAKKKLFGGSIMMALAAWMLI >Manes.12G139900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34609220:34613783:-1 gene:Manes.12G139900.v8.1 transcript:Manes.12G139900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKPRMKGDDTQGHAKPVDAGGDDPNGDDDDDDDEGDGGFGEGEEELSSDDGGDNGKNTNNNKSNSKKGPDGGAGGPEENGEEEEDDDEEDGEGEDQDDDDDDDDDDDDDDDGGEEEEEDAEEVEDDEGEEDEDEEALQPPKKRKK >Manes.12G139900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34609220:34613783:-1 gene:Manes.12G139900.v8.1 transcript:Manes.12G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYGPVTVTPCNGALTCAGEAVASLLVSALVKSQLSAQDPIEFLMTNKPRMKGDDTQGHAKPVDAGGDDPNGDDDDDDDEGDGGFGEGEEELSSDDGGDNGKNTNNNKSNSKKGPDGGAGGPEENGEEEEDDDEEDGEGEDQDDDDDDDDDDDDDDDGGEEEEEDAEEVEDDEGEEDEDEEALQPPKKRKK >Manes.12G139900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34609220:34613783:-1 gene:Manes.12G139900.v8.1 transcript:Manes.12G139900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDDTQGHAKPVDAGGDDPNGDDDDDDDEGDGGFGEGEEELSSDDGGDNGKNTNNNKSNSKKGPDGGAGGPEENGEEEEDDDEEDGEGEDQDDDDDDDDDDDDDDDGGEEEEEDAEEVEDDEGEEDEDEEALQPPKKRKK >Manes.06G091000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22649685:22651243:1 gene:Manes.06G091000.v8.1 transcript:Manes.06G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIMSFTIANPHIYNSFRSTKLDFNPSSRSLRQCSSSRFFTGWQQPESSSKSKRGSLSKVNAFPDWHLMAVLVDHMDGQRDLVTYKSIWHLSDQAIKNVYVFYIMFTCWGCLFFGSMKDPYYDSDAYRKDGGDGSGHWVYEKQEDIEESARAELWREELIEEIEQKVGGLRELEEAGRK >Manes.07G090500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28358651:28364210:1 gene:Manes.07G090500.v8.1 transcript:Manes.07G090500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFFTVCKEQKKKKNYKRKFVFRTQLQLPQLFRRGKTIIMRKKEKRSRLLYISEARPIVHLNSTTSVSFLSICLEIEENLRSSISTEGPHASNHTEAKRKAKKMSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMIEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCNESNKLHQKVISGPILLQCSIAVEKKH >Manes.07G090500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28358651:28364209:1 gene:Manes.07G090500.v8.1 transcript:Manes.07G090500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFFTVCKEQKKKKNYKRKFVFRTQLQLPQLFRRGKTIIMRKKEKRSRLLYISEARPIVHLNSTTSVSFLSICLEIEENLRSSISTEGPHASNHTEAKRKAKKMSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMIEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCNESNKLHQKVPDELLEEAKAAARTALEEMDAD >Manes.S053816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1845083:1845418:1 gene:Manes.S053816.v8.1 transcript:Manes.S053816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.17G029300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21825374:21827890:1 gene:Manes.17G029300.v8.1 transcript:Manes.17G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAKSFCLWLLQIVGLLGLLALCLWLIMRPKDPIFTIVEFSVPSISSQNSSAAGDAYNDDTLSFTLEISNPNKDSRIYYNDIVLIFYFGQDTVGKKNISSFHQEKGKNFQERNHVDAEDQKVKRALAEAISNGHAELKVELSTVIQYKTWGIRSKHHGVNKQATIPVGSDGKISGKKKKIKLHNASKIFNLRNKKFL >Manes.03G000800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:176741:180792:-1 gene:Manes.03G000800.v8.1 transcript:Manes.03G000800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMARTQTEKREGYGNGLVAVAIDKDKNSQNALKWAIDHILQRGSTVVLIHVKTKSSHGYSLSNSRANQIADASGECPLVCKDPDPHTRELFLPFRCFCTRKDIQCKDVVLEESDIARALIEYANHSAIETLVIGASNKSGFLRRFKVTDVPGSIIKGAPDFTTVYVISKGKIQSTRSASRPAPANSPLHTQLLNQGSIKPAPVEPVPQIPCSNKKAIEKPPLEQPRRSNDESESFRSPFTRKGNTKSYGELCVPDTDISFVSSGRPSVDRMFPAFYDVLEVGRPTNRLSNVSDMDSGLSYESLQYGRKSVDFGSPTELSMSYESDRLSTASQSMDDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIAEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEMKAHKESEEKKKALDALAHSDVRYRKYTIEEIEAATEFFSATRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPVLSWQMRFRIAAEIGTGLMFLHQTKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGVMFLQILTSKPPMGLTHHVDRSLDKDTFAQMLDPAVLDWPIEEAKCFARLALKCAELRRKDRPDLGTVILPELERLRAIAEEAMRPELPTNGPGHSRSSSQVSVQLSDANLSDVSSRNPSNTVESQT >Manes.03G000800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:176741:181026:-1 gene:Manes.03G000800.v8.1 transcript:Manes.03G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMARTQTEKREGYGNGLVAVAIDKDKNSQNALKWAIDHILQRGSTVVLIHVKTKSSHGYSLSNSRANQIADASGECPLVCKDPDPHTRELFLPFRCFCTRKDIQCKDVVLEESDIARALIEYANHSAIETLVIGASNKSGFLRFKVTDVPGSIIKGAPDFTTVYVISKGKIQSTRSASRPAPANSPLHTQLLNQGSIKPAPVEPVPQIPCSNKKAIEKPPLEQPRRSNDESESFRSPFTRKGNTKSYGELCVPDTDISFVSSGRPSVDRMFPAFYDVLEVGRPTNRLSNVSDMDSGLSYESLQYGRKSVDFGSPTELSMSYESDRLSTASQSMDDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIAEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEMKAHKESEEKKKALDALAHSDVRYRKYTIEEIEAATEFFSATRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPVLSWQMRFRIAAEIGTGLMFLHQTKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGVMFLQILTSKPPMGLTHHVDRSLDKDTFAQMLDPAVLDWPIEEAKCFARLALKCAELRRKDRPDLGTVILPELERLRAIAEEAMRPELPTNGPGHSRSSSQVSVQLSDANLSDVSSRNPSNTVESQT >Manes.14G058000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4879172:4880590:-1 gene:Manes.14G058000.v8.1 transcript:Manes.14G058000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLGSIPTLIISSADMLKEIFRKHDIVFSGRPVLYSASKFTYNCSDIVFLPYGETWREMRKIATIELPSAKRVQSFQAVREEEVSLMFDTVARASGPINLSDLSMVLSNNVICRVSLGRKYDGGFAGENAGLSELLREAQELLGGFCIADFFSWMGWLCKFNGLEARVEKIFTELDKLYDKVIQEHLDPRRPKTQHEDFVDVLLRLQKDPSREVALSNDSIKGALTDMFIAGTDTSSATLVWTMTELIRNPHAMRRVQEEVRSVCEGKKRVQEKDLPQLVYLKSVVKESLRVHPPAPLSVPRETIEDCKIGDYEIPARTMVYINALEISMDHKSWENPSEFLPERFLDSSIDFSGQHYEFIPFGVGRRGCPGMNFGVVLIEIALANLLHSYDWELPHGVSREDLDMQEAFGVTMHKKTPLWVVASGKPSYLHVFPS >Manes.08G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35682727:35688036:-1 gene:Manes.08G117300.v8.1 transcript:Manes.08G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKADATHEHRLIVAEADISHQKLHKPGRVASLDIFRGLTFALMILVDDAGGEWPMIGHAPWNGCNLADFVMPFFLFIVGMAIPLALKRIINRGQAVKKVIFRTLKLLFWGVLLQGGFSHAPDKLTYGVDMKEVRWCGILQRIAFAYLIMALVEIFTKEDTKPKDLPPGQFSMFRLYCWHWLVGACILVIYLSVIYGTYVPDWQFTINNRESADYGKIFNVACNVRGKLDPPCNAVGYVDRKILGIAHMYHRPAWRRSKACTENSPYEGPFQIDAPSWCHAPFEPEGILSSISSVLSTVIGVHFGHVLVQLKADSSRLKHWTLVGVALLVLGFVLHFTHAVPLNKQLYTFSYVCVTSGAAALVFSAIYVLVDIWGLKFIFLPFEWIGMNAMLVYVMAAAGIFAGFINGWYYDDPHNTLIYWIKKHIFIGVWHSQRVGILLYVIFAEILFWGIVAGALHSQGIYWKL >Manes.15G118100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9423867:9427160:1 gene:Manes.15G118100.v8.1 transcript:Manes.15G118100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPELEAIRQRRMQELMAQRGMGNQQGSEQQKAQEEAKRDAEERRQMMLSQILSTEARERLARIALVKPEKARGVEDVILRAAQMGQIVEKVSEEKLISLLEQINNQTTKQTKVTIQRRRSVLEDDD >Manes.05G142300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24325172:24335526:1 gene:Manes.05G142300.v8.1 transcript:Manes.05G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFALFFTGALLACGLYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHREATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSHANVVGITINDYQVNRARLHNKKAGLDSLCEVVCGNFLEMPFPDNSFDGAYSIEATCHAPKLEEVYAEVFRILKPGSLYVSYEWVTTDKYKANDPEHVEIIQGIERGDALPGLRSYADIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHILITILAALGIAPKGTVDVHEMLFKTADYLTKGGDTGIFTPMHMILCRKPETPSNS >Manes.14G039000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3376343:3377936:-1 gene:Manes.14G039000.v8.1 transcript:Manes.14G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHQVSTLDRITHHLLTDADCASMDNFICSLDTFCSYTSTYHSSKTETQEFDCLDLPHQPHKSESKPHKSSSLSQRKPTMSQISIPPPATVNAVPHQQPVATENNRSDSSGGKHYRGVRCRPWGKYAAEIRDPNKKGARVWLGTFDTAIEAAKAYDTAAFRLRGSKAILNFPLEIGNSVNSQQESESESTVTDNKYDHSKNDNNNNNEKKRKIEETKALESSNNMNNNKIIKTEKPSPETTDPLTPSSWKGFWDEEKMGIFNVPPLSPLSPHPSMGMGYSRVMVA >Manes.01G153500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34074305:34077062:-1 gene:Manes.01G153500.v8.1 transcript:Manes.01G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFKMKSYRLWTRFFCTSFNRFDFNFPKNSRVFSHFSGDFEPESWKSMKGLLRCSANYVPLSPISFLERAAKVYRDRTSVIYGSVKYSWSETYERCLRLASALTDQLGISRGDVVATLAPNVPAMYELHFAVPMAGAVLCTLNARLDSNMVYVLLKHSEAKVIFVDYQLLDIARGALDCLAKTEPKLPMLVSISESEGWSPTGLTSNTYEYESLLASGHNEFEIRRPKSEWDPISINYTSGTTSRPKGVVYSHRGAYLNSLSTVFLHGIGAMPVYLWNVPMFHCNGWCLIWGLAAQGGTNICLRKVCPKDIFDSIVQYKVTNMGGAPTVLNMIADSPVCDRKPLPHKVEVMTGGAPPPPQILSKMEELGFGVSHLYGLTETYGPGTYCAWKPEWDSLLPDERLKLKTRQGVHHLGLQDVDVKDSVTEESVPADGKMIGEVMFRGNTVMSGYFKDLEATEEAFKGGWFRSGDLAVKHPDGYIEVKDRLKDIIISGGENISTIEVETVLYGHPAVLEAAVVAKPDDHWGQTPCAFVKLKEGFNVSAEDIIKFCRDHLPHYMAPRTVVFEDLPRTSTGKVQKFILREKAKAIGRLS >Manes.18G026600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2325927:2328269:-1 gene:Manes.18G026600.v8.1 transcript:Manes.18G026600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTTMICNRLKVKELVSSVPVYTSITDGSAGGLSLILRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGNVKFETHKLSGRKWVHVEPKDGYELHPVYAIASAASSQLETAS >Manes.18G026600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2325927:2328269:-1 gene:Manes.18G026600.v8.1 transcript:Manes.18G026600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTTMICNRLKVKELVSSVPVYTSITDGSAGGLSLILRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGNVKFETHKLSGRKWVHVEPKDGYELHPVYAIASAASSQLETAS >Manes.18G026600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2325927:2328269:-1 gene:Manes.18G026600.v8.1 transcript:Manes.18G026600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTTMICNRLKVKELVSSVPVYTSITDGSAGGLSLILRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGNVKFETHKLSGRKWVHVEPKDGYELHPVYAIASAASSQLETAS >Manes.18G026600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2325927:2328269:-1 gene:Manes.18G026600.v8.1 transcript:Manes.18G026600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTTMICNRLKVKELVSSVPVYTSITDGSAGGLSLILRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGNVKFETHKLSGRKWVHVEPKDGYELHPVYAIASAASSQLETAS >Manes.11G162900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32763087:32766348:1 gene:Manes.11G162900.v8.1 transcript:Manes.11G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTRPNSSQQSYAPSAPSLPDSFDQQQNHAYDSPPPSDYMRQQHQPSPSYAAGYGRSEYSYGYSGFPQGTHPDVIRSFQMVDRDRSGYIDENELQQALSSGYQRFNIGTIRLLMFLFKNPHDPLRIGPNEFAALWSCLGQWRAIFERYDKDRNGKIDLFELRDALYGIGYAIPPPVLKVLISKYDDGSGKKIELNFDDFVECGMILKGLTERFKQKDSRYTGTATFNYDEFMSMVIPFLVSYD >Manes.06G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20608628:20612870:1 gene:Manes.06G069800.v8.1 transcript:Manes.06G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLFRSSSAQSLQEQPHRPSPELFVQDSAMTTTSHAEPNVREQKPLSPVVEAFEDLANHLQRIDIDGGELRLDTFCDSCSLVSILFSCLGLAFKFAESEYVSKVGSLLEASKRHKTLQNILDLDVANGTVRTAGSHSRNLRRVRQGLDLIRALFEQFLATDDYSLRDAATTAYAQTCAPYHTWAIRTAVYAGMYALPSRDQLLLNLCETDQSAEKKMRRYINASLPVIQYIDKLYVSRNISLDW >Manes.08G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2365023:2369626:1 gene:Manes.08G023400.v8.1 transcript:Manes.08G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETHALASPFHYKMDISTDFLVTDSPDLPLAVDSLKIDGHQSMESGDRECKEFEMPVLTDEGGVLKDPTGNLPVAKVSEGPCTDSAAVKLQKVYRSYRTRRRLADSAVVVEELWWQAIDFARLNHSTISFFNFFKPETAASRWNRVTLNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHSLHIYYEEWCNTNSGQPFFYWLDIGDGKELDLKECPRSKLRQQCIKYLGPQERVHYEYIVVEGKITHKQTGDLLDTSKGSKGTKWIFVMSTSKRVYAGEKKKGLFHHSSFLAGGSTLAAGRLMVENGILKSISPYSGHYRPTEDSFDRFLSLLQDNGVNLDEVQINKANEDSDVYVDGKSVASGMMLEVLSKLKPPEPDIVSEEKDSATEVSQIGQNETKGEYKRTLSGGLRSPRAEVPQKAILHRINSKKAAKSYQLGHQLSLKWSTGAGPRIGCVADYPVELRQQALEFVNLSPRNPPTPSSYRLIAGLASPTTQSTADIPTGDEKC >Manes.09G128701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33117438:33118211:1 gene:Manes.09G128701.v8.1 transcript:Manes.09G128701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRNGPPLLLAKPMASTSSLKYTWDKPCANGTLESIVNQATRLPKRKIGLENCGCGSQELVPWFEHNHAPTSASPTMTMDAMVLCSNRPDDRSTRVKDSMPGLSNCVMGTSTGVGLCSGRAATQDEDAMVYVKRPRVAHVFVVPEWSSWQSVSGIENFGRDSQQENTSCGSR >Manes.02G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4289238:4292027:1 gene:Manes.02G053600.v8.1 transcript:Manes.02G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKTGTLKELAPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFGKSSGAIARHILQQLQNMNIVDLDPKGGRRITSSGQRDLDQVAGRIVVAP >Manes.15G001200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:385992:388551:-1 gene:Manes.15G001200.v8.1 transcript:Manes.15G001200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVISNHPLNNSNSDRSKRKKKKKSQAQSKESQNQGHAKWKTEAQQQIYSSKLIQALSQVRLSPPSPSAPRQGRAVREAADRALAVAAKGRTRWSRAILTSRIKLKFRKQHKRQRAVAGATASTATGTSRSKKPRFSVFRLKGKSLPAVQRKVRVLGRLVPGCRKQPLPVILEEATDYIAALEMQVRAMSALAELLSGSSSSSGAGSSTGPTR >Manes.02G027200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2298190:2299577:1 gene:Manes.02G027200.v8.1 transcript:Manes.02G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGITVKLNSTNLPLDPMLPPTFIVELRFHSHYRKLLRTLEGNLIEIEAYPISPTSFVRYRIQPHRRHLFVEPLCKSYLDVIFSSVVHNDSLRDLLSRRIASFLVFLVRRQPFLGYYVVADSEFTHEDLIEGYANDLTMIIDEEHQEVVQRGASSSALNKLKEQRFFAKQGDDDDRLSDDCVICLEELSNSEVALTKLTCTHIFHEQCILDWLKAQNSCPTCRRELED >Manes.17G030155.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22461692:22462995:-1 gene:Manes.17G030155.v8.1 transcript:Manes.17G030155.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSIPLEGPPPASSSSEEESFTNEKQQKPYAQLSQNQASKPVPKKSHFTTQQAGPPIALKLMEENPLKTTKHRSKSLASTQVAVKSTAAVKRGSETDRDPKESKRSKKKDSESDGSVDKPEDIKKQLFQRLWSEDDEILVLEGIIDFTENKGVDHAKDMNSFFDFIKSSLHFDFEKHESKGKMGEDKTFSKAHHKKSFDLWKKIWSSEATRIEKYVVHRGLDVFEGPSRRPKVAEERIKLHGAEIEQ >Manes.01G067300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26824162:26825855:1 gene:Manes.01G067300.v8.1 transcript:Manes.01G067300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYLNLSQHFLFFLRSFSLQSPTPMADPNMPSNLPQINQTQPPLSSPFDPSSHPFQPLLLSRSIPTITCESPSPKHPSQPSSSNPTAMAMATTSAAPKRHPLYRGIRSRSGKWVSEIRQPRKSTRIWLGTYPTPEMAAAAYDVAALALKAAAAATFKKAEMNQALAQLPTNDDTLNANFTSGEGFIDEEALFDMPNLLMDMAEGMLLSPPRINSSDDSAGTPDGESLWSYY >Manes.13G117300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32382255:32382995:-1 gene:Manes.13G117300.v8.1 transcript:Manes.13G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTKILDDSSLEQQSNPAIETLQSSVHNLVKSWHRRQQWKLLFNPADDEQGQNKRAPWRNNLIKFLESTKIRAVAISLLLVDLILTILELSFSLLSCTPEKKNYKIERLWFHWVGIAILSLLSAKAIALAVGLGSSLFRRPGYVVDGAVVIGALILEALLEKKGGGLLVVVSLWRVVRVVESAFELSDEAIEAQIDGILCQFELVREENTRLLETIAEKDMVINKLQEIIETLQQVMKCDQCRQL >Manes.07G047367.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5406750:5407292:-1 gene:Manes.07G047367.v8.1 transcript:Manes.07G047367.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRKKAHESKEHFEFDLQYNIHIHTYMIHQQNDEEHTANNLWRENHEQQFGHRINPFSLHSKHLLGTPSSSSNTFLLPLQFPHGINLTSPHASQTNPGCLLRNPSRTLANSPVSNICLITSAPPTRFPLINTCGKLTVFPPNTLCNSFQRRSGRRRRRRRGRRRSEKRRRRRRGRGRGR >Manes.04G086850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28837174:28837604:-1 gene:Manes.04G086850.v8.1 transcript:Manes.04G086850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPAQTITFDMDSLRNNLPNSRRGLSRYYSGKSKSFTCMADVQCLEDLKKKEHPDAKKRKKYSERRELSIPSYPCRRVSSTAQFTPPCVGV >Manes.01G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30092181:30093859:1 gene:Manes.01G100000.v8.1 transcript:Manes.01G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVKLGCGFGSPVLMVVVLAVVLMTVVVPEVSATRWTVGAHMGWTTNVNYTIWAKDKHFYNGDWLFFVYDRNQMNVLEVNKTDYESCNSDHPLHNWTRGAGRDVVPLNVTRNYYFISGKGFCYGGMKVAVHVENPPPPPTAAPLNEKSGSPSFNLRGQYVLPAILATGALWDAFVHFW >Manes.05G056400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4714474:4718122:1 gene:Manes.05G056400.v8.1 transcript:Manes.05G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCNFKALSSKPQRSRPAKTSVALFSHLHKPEATMLLQPTPHHQNASLSSTISYSLPLSWKNPHCGIRETVIYRKLPITCSISKIHGYGTLDYDRKSFTKWNSIHRRISLMENPELGAGTVLNQMEKDGKKLTRWGLCRVVKELRKFKRYKQALEVYDWMNNSEEMFKLSASDAAIQLDLIAKVRGVSAAEEYFLRLPNNLKDRRIYGALLNAYVRARMREKAESVIDNIRNKGFATHALPFNVMMTLYMNLKEYDKLDMMVSEMKEKNIRLDIYSYNIWLSSCGSQGSVERMEQVFEQMRLDSSISPNWTTFSTMATMYIKMGQLEKAEDCLRRVESNITGRDRIPYHYLLSLYGNVGNKEEVYRVWNIYKSIFPSIPNLGYHAIISSLVRMGDIEGAEKIYEEWLKIKTSYDPRIGNVLMGWYVKEGHFDKAESFFDHTVELGGKPNSSTWEILADGHIKQKRISEALSCLKEAFLAEGSKSWKPKPIIVSSFFKLCEEEADMSSKGVLEGLLRQSGYLEDESYASLIRLSNEKDRTGNINDTEENEIFNQLQVSL >Manes.08G154800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38854319:38860546:1 gene:Manes.08G154800.v8.1 transcript:Manes.08G154800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKSRREQRKEARQAKAQQKHQSWMKHQKSQKRKGAQQAKRMFGGASSKYVNGSKSSFSENFREGERRQEDTNPERNQNLEKMNVGMKTEVKSESLRHNCPTTSKKERKGLKKNLKTKFEEFIEMDMKNADMLAQEDLGMERRLTKKLKVKDGKLRGMDDEINLLLEGIPSMLESFDEVLLGKEFPVERLKKGTCGKKRKKQQSDVFSGDDIAADFMGVVSEPEEISDVEMELEETAVETTSNKKDSKRKKSKKNQGSIVAGETINVELAGDIMDGVSELEQNSGAEVGQEEHAIKSSSLKKCRKRKKSKENEEGDKVGDTINCVYKRSEAHEALQETPTTVPALRSNVKYVAPHLRSRIGNESEEHTQIHRRVRGLLNRLSESNVESVTGEMATIFRSVSRNVSSQIISDEVLAACSGGPRGNEQYAATFAAFVAGMTCSIGMDFSAKLMASFARRFEDEYLKEDNLSLRNLTLLLSYLCTFGICSSDLIYDFLIMLSKRLTEIDVSTILTVLQCCGMKIRGDDPTAMKNFIQSVQSRVNELKSSSGEDQAKMIGKRMEFMLETICDIKNNKKRPKDDSAQHTRIKKWLQKLGVQEILLRGLKWSKLLDPDKKGQWWLSGDMAATVDDAEVVANTIDKEVLEAQKMLQLAASQRMNTDARKAIFCILMSGEDYIDAFEKILRLDLPGKQDREIVRVLVECCLQEKVFNKYYTILASKLCGQDKNHKYTLQYCLWDQFKELESMPLLRSMHLAKFVAEMIASFTLSLSVLKSVELSDAGQLTSKRIMHFRMLFEALFEYPDSVIWNSFTRVAIDPELETLRNGIEFFVREHVVKSNNAFAKKFKVAKKALNNMEGVLM >Manes.08G154800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38854338:38860546:1 gene:Manes.08G154800.v8.1 transcript:Manes.08G154800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKSRREQRKEARQAKAQQKHQSWMKHQKSQKRKGAQQAKRMFGGASSKYVNGSKSSFSENFREGERRQEDTNPERNQNLEKMNVGMKTEVKSESLRHNCPTTSKKERKGLKKNLKTKFEEFIEMDMKNADMLAQEDLGMERRLTKKLKVKDGKLRGMDDEINLLLEGIPSMLESFDEVLLGKEFPVERLKKGTCGKKRKKQQSDVFSGDDIAADFMGVVSEPEEISDVEMELEETAVETTSNKKDSKRKKSKKNQGSIVAGETINVELAGDIMDGVSELEQNSGAEVGQEEHAIKSSSLKKCRKRKKSKENEEGDKVGDTINCVYKRSEAHEALQETPTTVPALRSNVKYVAPHLRSRIGNESEEHTQIHRRVRGLLNRLSESNVESVTGEMATIFRSVSRNVSSQIISDEVLAACSGGPRGNEQYAATFAAFVAGMTCSIGMDFSAKLMASFARRFEDEYLKEDNLSLRNLTLLLSYLCTFGICSSDLIYDFLIMLSKRLTEIDVSTILTVLQCCGMKIRGDDPTAMKNFIQSVQSRVNELKSSSGEDQAKMIGKRMEFMLETICDIKNNKKRPKDDSAQHTRIKKWLQKLGVQEILLRGLKWSKLLDPDKKGQWWLSGDMAATVDDAEVVANTIDKEVLEAQKMLQLAASQRMNTDARKAIFCILMSGEDYIDAFEKILRLDLPGKQDREIVRVLVECCLQEKVFNKYYTILASKLCGQDKNHKYTLQYCLWDQFKELESMPLLRSMHLAKFVAEMIASFTLSLSVLKSVELSDAGQLTSKRIMHFRMLFEALFEYPDSVIWNSFTRVAIDPELETLRNGIEFFVREHVVKSNNAFAKKFKVAKKALNNMEGVLM >Manes.08G154800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38854313:38860865:1 gene:Manes.08G154800.v8.1 transcript:Manes.08G154800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKSRREQRKEARQAKAQQKHQSWMKHQKSQKRKGAQQAKRMFGGASSKYVNGSKSSFSENFREGERRQEDTNPERNQNLEKMNVGMKTEVKSESLRHNCPTTSKKERKGLKKNLKTKFEEFIEMDMKNADMLAQEDLGMERRLTKKLKVKDGKLRGMDDEINLLLEGIPSMLESFDEVLLGKEFPVERLKKGTCGKKRKKQQSDVFSGDDIAADFMGVVSEPEEISDVEMELEETAVETTSNKKDSKRKKSKKNQGSIVAGETINVELAGDIMDGVSELEQNSGAEVGQEEHAIKSSSLKKCRKRKKSKENEEGDKVGDTINCVYKRSEAHEALQETPTTVPALRSNVKYVAPHLRSRIGNESEEHTQIHRRVRGLLNRLSESNVESVTGEMATIFRSVSRNVSSQIISDEVLAACSGGPRGNEQYAATFAAFVAGMTCSIGMDFSAKLMASFARRFEDEYLKEDNLSLRNLTLLLSYLCTFGICSSDLIYDFLIMLSKRLTEIDVSTILTVLQCCGMKIRGDDPTAMKNFIQSVQSRVNELKSSSGEDQAKMIGKRMEFMLETICDIKNNKKRPKDDSAQHTRIKKWLQKLGVQEILLRGLKWSKLLDPDKKGQWWLSGDMAATVDDAEVVANTIDKEVLEAQKMLQLAASQRMNTDARKAIFCILMSGEDYIDAFEKILRLDLPGKQDREIVRVLVECCLQEKVFNKYYTILASKLCGQDKNHKYTLQYCLWDQFKELESMPLLRSMHLAKFVAEMIASFTLSLSVLKSVELSDAGQLTSKRIMHFRMLFEALFEYPDSVIWNSFTRVAIDPELETLRNGIEFFVREHVVKSNNAFAKKFKVAKKALNNMEGVLM >Manes.09G158700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35440848:35446016:-1 gene:Manes.09G158700.v8.1 transcript:Manes.09G158700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLLNTPPFRVRASTIVIAHFHAHTHTQGGFRPFPDGEVIRNHEAWFVKVVATLFVRSRSLDACLGYLCENLTPVIAFEVINRFDNPKVGFKFLEFSRLNLSVTHSFSTYNLQIRSLCQMGLHDLAKKVFDYMNSDGHLPDGTLLGFLVTSLVVAGKFDLARELLADLRAEKIRINTFVYNSLLNELVKRNQVNEAICFFKEHLVLQSPPDTWTFNILIRGLCKAGEVDRAFEIFNDMGRFGCLPDLVTYNTLINGLCKVNELDRGCDLLKEVKSRKDCSPDVVTYTSIISGFCKLGKMGEASVLFEEMIKSGINPNVVTFNVLIDGFGKIGDMVAAENMHEKMVSFGCEPDVVTLTSMIDGYCRIGQVHLALKRWDMMKARNISPNVYTYSVLVNALCKHNRLHEARDFLRQLKCSNVTPKPFIYNPVIDGYCKSGNVDEANVIVTEMEEKRCNPDKVTFTILIIGHCMKGRMHESINIFNKMLAIGCAPDSITIRALVSCLLKAGMPNEAFHIARTSPEDLNLSFLSFRATIPLKTNADIPVAA >Manes.01G146800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33687011:33690276:1 gene:Manes.01G146800.v8.1 transcript:Manes.01G146800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTFFPITLLYLYIDITSRFPAQSSTMALTQLLIPLFFLSSLLMLSGAYSATFTFSNKCSYQVWPGILSNAGIPQLPTTGFALQPGESNTISVPASWSGRLWGRTLCTQDATTGKFTCLTGDCGSSTIQCSGNGAAPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLIAPQGGTGGNCTMTGCGVNLNNACPSELKVMDGDNGESVACKSACEAFGDPQYCCSGAYGNPNTCKPSSYSEFFKNACPRAYSYAYDDGTSTFTCAGANYAITFCPSPSSTSVKSANGQYPEAVEVSGGTHRTSPYLIGGAITILAANWRLWQLLF >Manes.05G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:16996214:16999433:1 gene:Manes.05G137000.v8.1 transcript:Manes.05G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAALEQQMVSERLRRKLEEVNTAAQTHLSPVQDHINFTLQQAYFKCAYECFDRRRKQEEIGNCVEHCSVPVLKAQQQFENEMSKFQERLNRSLMVCQDKFEAAKLQQVGSEAVNALESCVDQSIQDNIKALPHLVGRLKSSLSISD >Manes.18G014000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1529377:1532994:-1 gene:Manes.18G014000.v8.1 transcript:Manes.18G014000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATTTSPAVGSFYVSRSRSRRAVPPIRMAIRSNIVSVAPLLTKLQNDSATPLPVLRHVADAMTADMRAGLATDGGSDLKMILSYVDSLPSGNEKGLFYALDLGGTNFRVLRVQLGGKEERVVATEFEQVSIPQELMFGTSEELFDFIASGLANFAQKEGGKFHLPDGRIREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGRDVVACLNEAMERLGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYVERIDAIPKLHGAKSSSGRTIVNTEWGAFSNGIPLTVYDRDMDAASINPGEQIFEKTISGMYIGEIARRVLLKMAEEGALFGKSVPEKLSTPFALRTPDLCAMHQDSSDDLQSVGSILYDVVGAESSLSARKIVVEVCDAIVKRGGRLAGAGIVGILQKMEEDSRGLIFGKRTVVAMDGGLYEHYPQYRRYLKDAVRELLGSEISKNIVVEHSKDGSGIGAALLAATNSKYDHGY >Manes.18G014000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1529377:1532329:-1 gene:Manes.18G014000.v8.1 transcript:Manes.18G014000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTSEELFDFIASGLANFAQKEGGKFHLPDGRIREIGFTFSFPVKQTSIDSGILIKWTKGFAVSGTAGRDVVACLNEAMERLGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYVERIDAIPKLHGAKSSSGRTIVNTEWGAFSNGIPLTVYDRDMDAASINPGEQIFEKTISGMYIGEIARRVLLKMAEEGALFGKSVPEKLSTPFALRTPDLCAMHQDSSDDLQSVGSILYDVVGAESSLSARKIVVEVCDAIVKRGGRLAGAGIVGILQKMEEDSRGLIFGKRTVVAMDGGLYEHYPQYRRYLKDAVRELLGSEISKNIVVEHSKDGSGIGAALLAATNSKYDHGY >Manes.11G157700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32380335:32384147:1 gene:Manes.11G157700.v8.1 transcript:Manes.11G157700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSPSLPFHSCVVFRHRSFVVRSSSSVQEVEKAPQDRDLSSELYSSSPFPSIKAAKRVVLVRHGQSTWNAEGRIQGSSNFSVLTPKGEAQADTSRQMLIDDSFDVCFSSPLIRSKRTAEIIWGSRKEEIITDSDLREIDLYSFQGLLKHEGKAKFGAAYRQWQVDAANFNIDGHYPVRELWARARNCWNQILSHDSRSVLVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFTPRADGGSPYICLNRLNQTPSSPVAGGSSAGRKTSRRIILVCHGTLQGDIEGLFPNSGDHPMNMLGIIQTQKTAELLLDLKVNTIFSSPKNACFETAKVISRVQEAADCLGADCVPRYVEMKQMGDLDVGDILRQLNKDASEAPAYPPGFLNRFEDDTLLALWEKSGKAWQSLLNELSDESEPEKIVVTVGHPAMHIALMAHCLNLTKDWTASFHLDAGSISVVDFPDGPTGRGVIRCINYTAHLGRWSIPITRSTADDEEF >Manes.12G052002.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3913814:3914873:1 gene:Manes.12G052002.v8.1 transcript:Manes.12G052002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPLWALGGWLLFSICMAKPNSKSSISETNYTKSHHFFLFFALLIFIFLLLIIPTKPINQSSSISIKRVLFEFSSESTSTTMNNLHPKQSQTSRASSSSSTKSKGSEFGAEAHEVPSGPNPISNR >Manes.05G125000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22922328:22924630:1 gene:Manes.05G125000.v8.1 transcript:Manes.05G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPVEESTMASLQFILLFFLLVLSSFQKVSGVGVNYGTLGNNLPSPKRVAQLLQSTLIDKVKIYDTNPEILEAFSNTGIDLIVAVENYHVANISSDVSAADEWFANRVLPFIPATSIVAIAVGNEYLTTDPDHLRPNNLVQAMQNLHAVLVARGLDRKIKITTPHSMAVLASSFPPSASSFATTLMPTMTSIVGFLAETGAPFMINAYPYFAYRDNPGSVDLQYALLGNVTGVRDPVGYVYNNMLDAQIDAVRSAINALGYGNQTVKITVSESGWPSKGNPGDKAATPDNAKTYNTRLIQRAQSNKGTPMKPKDNIEIFVFALFNENKKDGGVSERNFGIFNGDGSKVYDVDLSCQFCSNEGTAEFGEKMSSGVRGPSVWCVAKPHADETVLQAVLDFCCGPGGVDCRDIYESGNCFAPDKLHAHASFAMNAYYQIHGRNYWNCDFKGTGLVTFSDPSYGKCRYPQQ >Manes.03G136300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26122813:26127588:1 gene:Manes.03G136300.v8.1 transcript:Manes.03G136300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFYIVQLEQKQKYAVWKAADIRKALKEGRKPTPGPPDGDEDLSVPSTAHGGGYDLGPTETVATSPRPESDQSSRFHDQVNDQHYTSIPPSSQLHEKVNNQHSAHIASQPQFDDSVNNHHSTSPPYPSAGYPSHDFHPPPPASRSENDSYSQPYHHQSYSQDLHQHVPHNYSPHDTPSYSYPNFQSYPGFTESSLPSVPSHYPSYYQGSDSSFVPQSAPPTSYPSSAQYTSTNRNGTDLDPAPTSTQSYQYDSNYQPPPEKIAEAHKAARFAVGALAFDDVSVAVDYLRKSLELLTNPSASQ >Manes.03G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26122813:26127588:1 gene:Manes.03G136300.v8.1 transcript:Manes.03G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNEPAKLLLPYLQRADELQKHEPLVSYYCRLYAMEKGLKIPQNERTKTTNSLLISLMNQLEKDKKSLKLGPDDNLHLEGFALSVFGKADKQDRAGRADLNTAKTFYAASIFFEILNQFGPLQSDLEQKQKYAVWKAADIRKALKEGRKPTPGPPDGDEDLSVPSTAHGGGYDLGPTETVATSPRPESDQSSRFHDQVNDQHYTSIPPSSQLHEKVNNQHSAHIASQPQFDDSVNNHHSTSPPYPSAGYPSHDFHPPPPASRSENDSYSQPYHHQSYSQDLHQHVPHNYSPHDTPSYSYPNFQSYPGFTESSLPSVPSHYPSYYQGSDSSFVPQSAPPTSYPSSAQYTSTNRNGTDLDPAPTSTQSYQYDSNYQPPPEKIAEAHKAARFAVGALAFDDVSVAVDYLRKSLELLTNPSASQ >Manes.09G089243.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26904034:26905494:1 gene:Manes.09G089243.v8.1 transcript:Manes.09G089243.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLIQAQPAVFDITKFGAAPNGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGEVAWKRVSCDKDPKNCKKHPMYFYVNVLGCEDFTFEGFKVSTPESSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHDISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPALFPNTATNIHFQYITMENVSNPIIEPSKVKISDVSFKNIKGTSATALTVQLICSSGIPCEKVELANIDLTYSGPEGPAKSECIDVKLTIVGKIPEGCK >Manes.13G103984.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30367005:30376927:1 gene:Manes.13G103984.v8.1 transcript:Manes.13G103984.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVENLHANPLLQDFEFPPFDVVDAKHVRPGIRALLKKLETDLEELERTVEPSWPRLVEPLEKIIDQLTVVWGVINHLKAVKDTPDLRAAIEEVQPEKVKFQLRLGQSKPIYNAFKAIQDSSQWQSLSNAQKRIVESQIKEAVLNGVALEDDKREEFNKIEQELEKLSQKFGENVLDATKKFEKLITDKKEIEGLPATALALAAQTATSKGHKEATSENGPWIITLDAPSFMPVMQHAKNRDLREEVYRAYVTRASTGDLDNTPIIDQILKLRQEKARLLNYSNYAEVSMATKMASVQKAEELLEKLRAASWDAAVQDMEDLEIFAKNQGAIEANDLNHWDINFWAERLRESRYDINEEELRPYFSLPKVMDGLFNLAKMLFGIHVGPADGLAPVWNNDIRFYCVKDSSGCPIAYFYFDPYSRPSEKRGGAWMDEVVSRSRVLSRNGTVPRLPIAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRETLMGIAKHYVTGETLPEDIYLKLLAARTFRAGSLSLRQLRFACVDLELHTRYIPGGSETIFDVDQRVSKRTQVIAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDSKAVKETGHRFRETVLALGGGKAPLEVFVEFRGREPSPEALLRHNGLLPVIASA >Manes.02G007500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:897717:902424:-1 gene:Manes.02G007500.v8.1 transcript:Manes.02G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRGSSTTANPPPPPPLHTVQLMRRVPFNRLFALVYTVAILALFYLHARNLYYSTSLLSFFITLCLLISDLALAFMWTTAQALHLRQIRRKQFPENLNKFIQRNDYPSLDVFICTADPYKEPPISVVNTALSVMAYDYPTEKLSVYVSDDGGSVLTLFAFTEAAKFASHWLPFCSKNNIKERNPKAFFESNYFCFPESEIMKTMYESMKGRVETVVERGKVEDEYITCDEERQVFSKWTDQFTRQNHPTVIQVLLDNSKDKDISGHHLPNLVYCSREKSKASHHHFKAGALNALIRISAVMTNAPIILSLDCDTYSNDPQTPERVLCYFWDPEVRATYGFIQFPQLFKGLNKTDIYAGQFKRLFQIHPPGLDGLRGGNFYGTGGFFSRRVFFGTPSTMSPPELPQLNPYHVVNKPIQSQEVLALAHQVSGCHFEKDTDWGIKKGLRYGSLVEDTYTSYRLHCEGWRSVFCNPERPAFYGEAPISLIDVMNQQKRWTVGLLQMVFSEYSPITYGLRHNGLMSHGYSQIAFWPIWPIPITVYAFLPQLALLNNLSIFPQVKSPWFLLYAFLFLGAYTQDLIEFVLANGTVQMWWNDQRMWIIRGLSSFMFGFLEFILNSLGISTTGFNVTNKAVDDELSKRYEKGLFEFGVASPMFVPLVTAALMNLFSFGWGLSEIVKGKRSMEGVEIQMLLSAFAVVNSWPVYEAMALRSDNGRMKAKTSVIGIGLMWVMYAAGSFILK >Manes.03G018600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1568851:1578933:1 gene:Manes.03G018600.v8.1 transcript:Manes.03G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDTGRVISSRRTHSFNGWTNNNTMEVFSKSSHHLEDDEEALKWAAVERLPTYLRVRRAILDKKEIDVNKIGFLERRNLLERLVKIAEQDNETFLLKLRDRMERVGLDMPTIEVRFEHLNVEAEAYIGSRSLPTIFNFSINLLEGFLNCLHIFPSRKKPLPILRDVSGIIKPRRMTLLLGPPSSGKTTLLLALAGKLGKDLKFSGRVTYNGHEMGEFVPQRTAAYISQYDLHIAEMTVRETLAFSARCQGVGPRYEMLAELSRREKAANIKPDPDIDVYMKAAALEGQEANVVADYILKILGLEGCADTMVGDEMIRGISGGEKKRVTTGEMLVGPARALFMDDISTGLDSSTTFQIVNSLRQSIHILSGTALVSLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLEFFEHMGFKCPERKGVADFLQEVTSRKDQEQYWALKDLPYSYVSVKEFAEAFQSFHVGRKLGDELATPFDKSKCHPAALTTKKYGISKKELLKACFSRELLLMKRNSFIYIFKMTQLVIMALISVTVFLRTEMHRETLTDGGIYLGALFFAIVTLMFNGFTELALTIMKLPVFYKQRDLLFYPSWAYALPTWILKIPVTFVEVAIWVIITYYVIGFDPNIERFFKQYLILLCTNQMASGLFRLMAALGRNIIVANTVGSFALLVVLVLGGFVISRDNINKWWLWGYWISPLTYVQNAISVNEFLGKNWRHVPFLSTEPLGVGLLKSRGIFLEAHWYWIGVGALIGYILLFNFLYTLALKYLDPFGKPQATLSKEVLAEQNANRTGEFSKSSTSGKSYLERGNESHKSISSRTLSARVDSFNDANQNKKRGIVLPFQPLSIAFNEIKYAVDMPKEMQAQGIPEDRLELLKGISGAFRPGVLTALIGISGAGKTTLMDVLAGRKTDGYIEGSIFISGYPKKQETFARISGYCEQTDIHSPHVTVYESLLYSAWLRLPPEVNSHTRKMFIEEIMELVELTSLREALVGLPGVNGLSTEQRKRLTIAIELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLQRGGEEIYAGPIGRHSCHLIKYFEDIKGVPKIKDGYNPATWMLEITSAAQEAALGINFADVYKNSELYRKSKAFIKELSTPQPGSKELYFLSHYSQPFLTQCMACLWKQHWSYWRNPSYTAVKLLFTTVIALMFGTIFWDLGCKRRRQQDIFNAIGSMYVALIFIGVQNAVSVQPVVAIERTVFYRERAAGMYSALPYAFGQVMIELPYVFIQTIIYGVIVYAMIGFDWTLSKFFWYIFFMYFTFLYFSFYGMMTTAITPDHNIAAVVASAFYALWNLFSGFIIPLPRIPVWWKWYYWSCPLAWTLYGLVASQFGDFKDMLETGEPLDLFLRRYFGFRHDFVRIAAVVTIGISVLFAFIFALAIKSLNFQKR >Manes.03G018600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1571695:1578933:1 gene:Manes.03G018600.v8.1 transcript:Manes.03G018600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKMQLRIFTQILGLEGCADTMVGDEMIRGISGGEKKRVTTGEMLVGPARALFMDDISTGLDSSTTFQIVNSLRQSIHILSGTALVSLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLEFFEHMGFKCPERKGVADFLQEVTSRKDQEQYWALKDLPYSYVSVKEFAEAFQSFHVGRKLGDELATPFDKSKCHPAALTTKKYGISKKELLKACFSRELLLMKRNSFIYIFKMTQLVIMALISVTVFLRTEMHRETLTDGGIYLGALFFAIVTLMFNGFTELALTIMKLPVFYKQRDLLFYPSWAYALPTWILKIPVTFVEVAIWVIITYYVIGFDPNIERFFKQYLILLCTNQMASGLFRLMAALGRNIIVANTVGSFALLVVLVLGGFVISRDNINKWWLWGYWISPLTYVQNAISVNEFLGKNWRHVPFLSTEPLGVGLLKSRGIFLEAHWYWIGVGALIGYILLFNFLYTLALKYLDPFGKPQATLSKEVLAEQNANRTGEFSKSSTSGKSYLERGNESHKSISSRTLSARVDSFNDANQNKKRGIVLPFQPLSIAFNEIKYAVDMPKEMQAQGIPEDRLELLKGISGAFRPGVLTALIGISGAGKTTLMDVLAGRKTDGYIEGSIFISGYPKKQETFARISGYCEQTDIHSPHVTVYESLLYSAWLRLPPEVNSHTRKMFIEEIMELVELTSLREALVGLPGVNGLSTEQRKRLTIAIELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLQRGGEEIYAGPIGRHSCHLIKYFEDIKGVPKIKDGYNPATWMLEITSAAQEAALGINFADVYKNSELYRKSKAFIKELSTPQPGSKELYFLSHYSQPFLTQCMACLWKQHWSYWRNPSYTAVKLLFTTVIALMFGTIFWDLGCKRRRQQDIFNAIGSMYVALIFIGVQNAVSVQPVVAIERTVFYRERAAGMYSALPYAFGQVMIELPYVFIQTIIYGVIVYAMIGFDWTLSKFFWYIFFMYFTFLYFSFYGMMTTAITPDHNIAAVVASAFYALWNLFSGFIIPLPRIPVWWKWYYWSCPLAWTLYGLVASQFGDFKDMLETGEPLDLFLRRYFGFRHDFVRIAAVVTIGISVLFAFIFALAIKSLNFQKR >Manes.05G192700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31542060:31546714:1 gene:Manes.05G192700.v8.1 transcript:Manes.05G192700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSETKFLQELVLYAASAALSCLVLFAGLRHLDPNREASKKALEQKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFDSIGGLESIKQSLYELVILPLRRPDLFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALTNMKTEFMALWDGFTTDQNAQVMVLAATNRPSELDEAILRRLPQAFQIGMPDRRERVDILKVILKGEKVEEGIDFDYIASLCEGYTGSDLLELCKKAAYFPIRDLLDEEKKGKRYSAPRPLRQSDLENVVATSTKTRIAANEYTRSNSQSPGWSRQSDDHVQATINELSKLVVSQILNIQSDAQDH >Manes.01G263800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41845749:41850981:-1 gene:Manes.01G263800.v8.1 transcript:Manes.01G263800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKVYEFGSAGTTKTLERYQRCCFNPRDNSIERETQNWYQEVAKLKAKYESLQRTQRHLLGEDLGPLSVKELQNLEKQLEGALALARQRKTQIMLEQMEDLRKKLEAEGQSLAIQDLWNSGAADGNDNFALHPSQSHPLDCDPGPVLQIGYHHFFQAEGSSVPRSMAIETNFTQGWIL >Manes.01G263800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41845749:41850981:-1 gene:Manes.01G263800.v8.1 transcript:Manes.01G263800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKVYEFGSAGTTKTLERYQRCCFNPRDNSIERETQNWYQEVAKLKAKYESLQRTQRHLLGEDLGPLSVKELQNLEKQLEGALALARQRKTQIMLEQMEDLRKKERHLGDLNKQLKFKLEAEGQSLAIQDLWNSGAADGNDNFALHPSQSHPLDCDPGPVLQIGYHHFFQAEGSSVPRSMAIETNFTQGWIL >Manes.13G092960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23979246:23980595:-1 gene:Manes.13G092960.v8.1 transcript:Manes.13G092960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFHGEEDVDLPISFQEGGSEDEDEISYDDLKKRMWKDSMRMQKLKEKRKTDEPHESLAKQEASRRKKMSRAQDSILKYMVKIMEVCNAQGFVYGIVSEKGKPVTGSSNSLRQWWKEDVQFDQNAPLAINEFFPLLEKQEVDPVSCMHLLHDMQDTTLGSLLSALMQRCIPPQRRFPLERGLAPPWWPTGGEAWWGEQGASQEHGAPPYKKPHDLKKAWKVSVLAAVIKHMSPNFDSMRRLVTQSKCLQAKMTAKESSTWSKVVNQEETLSKLTQKCLRIDAGEEDHHESVTHDFGSYDLQVNEKRKCTFEREASVDKVYACQNLECPQSEEGLGFLDKNSRTDHQVTCAYRLKEIDSSSQENSDGNFSDSSTSLLPFYDQPLISPGSITADIDPKGDQSMAEIGEESAGFKMVEDYLNFGGIGIEDYPNY >Manes.04G135100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33568454:33570477:-1 gene:Manes.04G135100.v8.1 transcript:Manes.04G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIDGHHLTSAAIIGHDGSVWAQSSSFPQFKPNEIAAIMKDFDEPGSLAPTGLHLGGTKYMVIQGEPGAVIRGKKGSGGITVKKTSQALIIGIYDEPMTPGQCNMIVERLGDYLLDQGM >Manes.03G172700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29722429:29726040:1 gene:Manes.03G172700.v8.1 transcript:Manes.03G172700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALLRRKAVIRITTGSQALDELLGGGIETLAITEAFGEFRSGKTQLAHTLCVSTQLPTSMRGGNGKVAYIDTEGTFRPDRIVPIAERFGMDPGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRICKVFDAPNLPEAEHVFQITPGGIADAKD >Manes.03G172700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29721799:29726040:1 gene:Manes.03G172700.v8.1 transcript:Manes.03G172700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLKAEDQNQLQLVEREDIDDEEDLFEAIDKLIAQGINAGDIKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALLRRKAVIRITTGSQALDELLGGGIETLAITEAFGEFRSGKTQLAHTLCVSTQLPTSMRGGNGKVAYIDTEGTFRPDRIVPIAERFGMDPGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLIKIAEEFNVAVYMTNQGTLDLRHLHD >Manes.03G172700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29721799:29726040:1 gene:Manes.03G172700.v8.1 transcript:Manes.03G172700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLKAEDQNQLQLVEREDIDDEEDLFEAIDKLIAQGINAGDIKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYITGSDALLRRKAVIRITTGSQALDELLGGGIETLAITEAFGEFRSGKTQLAHTLCVSTQLPTSMRGGNGKVAYIDTEGTFRPDRIVPIAERFGMDPGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRICKVFDAPNLPEAEHVFQITPGGIADAKD >Manes.05G117600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12124259:12125400:1 gene:Manes.05G117600.v8.1 transcript:Manes.05G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSQAAAAAYSSSKRHFHWTKKIGAENDEQENSRNHAAALLTPKKKLPAVAVARLRSVLSAFGKNRPNLQLGLGPRVIGTLFGCRRGHVHFAFQRDPTSPPAFLIELATPIRGLVREMASGLVRIALECDKEKEEEKNKAVRLLEEPLWRSYCNGKKCGFGTRKECGAKEWKVLKAVEPISMGAGVLPGDNGTDSEVMYMRAKFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRV >Manes.16G026600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2792432:2795798:-1 gene:Manes.16G026600.v8.1 transcript:Manes.16G026600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSDWMNKKGICIFSPIEHAVHLDLIGRVHGFLSAENYFNSLRDHDRTDKTYGALLNCYCRQRQVDKSISHLQKMKEMGFASSPLTYNDIMCLYTNLGDYEKVPDVLAEMKENKVSPDNFSYRICINSHGARSDIEGMERVLNEMECQLGIAMDWNTYAVVASFYIKSGLSDKAIYALRKSEEKLEKKDGTGYNHLISLYATLGNKSEVLRLWDLEKSACKRHINRDFITMLESLVKLDEIEEAEKILKEWESSGNFYDVRVPNVVIIGYSRKGLYEKAETLLEELMEKGKVTTPNSWAIVAAGYLEKGMVEKAFECMKAAFSLHVKNKGWKPNPQVIADILSWLGDEGSAEDVEAFVTSLRSVIPVNRQMYHASLKANIRSGKDICGLLDRMKSDKIDEDEETKKILGTM >Manes.16G026600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2792432:2795798:-1 gene:Manes.16G026600.v8.1 transcript:Manes.16G026600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRLSLKTLIQCPISVFSRSYYTTKRVTLYSKISPLGDPSFSLVPELENWVQHGNKVRVGELQRIIRDLRRRNRFSQALEVSDWMNKKGICIFSPIEHAVHLDLIGRVHGFLSAENYFNSLRDHDRTDKTYGALLNCYCRQRQVDKSISHLQKMKEMGFASSPLTYNDIMCLYTNLGDYEKVPDVLAEMKENKVSPDNFSYRICINSHGARSDIEGMERVLNEMECQLGIAMDWNTYAVVASFYIKSGLSDKAIYALRKSEEKLEKKDGTGYNHLISLYATLGNKSEVLRLWDLEKSACKRHINRDFITMLESLVKLDEIEEAEKILKEWESSGNFYDVRVPNVVIIGYSRKGLYEKAETLLEELMEKGKVTTPNSWAIVAAGYLEKGMVEKAFECMKAAFSLHVKNKGWKPNPQVIADILSWLGDEGSAEDVEAFVTSLRSVIPVNRQMYHASLKANIRSGKDICGLLDRMKSDKIDEDEETKKILGTM >Manes.16G026600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2792470:2795798:-1 gene:Manes.16G026600.v8.1 transcript:Manes.16G026600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSDWMNKKGICIFSPIEHAVHLDLIGRVHGFLSAENYFNSLRDHDRTDKTYGALLNCYCRQRQVDKSISHLQKMKEMGFASSPLTYNDIMCLYTNLGDYEKVPDVLAEMKENKVSPDNFSYRICINSHGARSDIEGMERVLNEMECQLGIAMDWNTYAVVASFYIKSGLSDKAIYALRKSEEKLEKKDGTGYNHLISLYATLGNKSEVLRLWDLEKSACKRHINRDFITMLESLVKLDEIEEAEKILKEWESSGNFYDVRVPNVVIIGYSRKGLYEKAETLLEELMEKGKVTTPNSWAIVAAGYLEKGMVEKAFECMKAAFSLHVKNKGWKPNPQVIADILSWLGDEGSAEDVEAFVTSLRSVIPVNRQMYHASLKANIRSGKDICGLLDRMKSDKIDEDEETKKILGTM >Manes.16G026600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2792432:2795798:-1 gene:Manes.16G026600.v8.1 transcript:Manes.16G026600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRLSLKTLIQCPISVFSRSYYTTKRVTLYSKISPLGDPSFSLVPELENWVQHGNKVRVGELQRIIRDLRRRNRFSQALEVSDWMNKKGICIFSPIEHAVHLDLIGRVHGFLSAENYFNSLRDHDRTDKTYGALLNCYCRQRQVDKSISHLQKMKEMGFASSPLTYNDIMCLYTNLGDYEKVPDVLAEMKENKVSPDNFSYRICINSHGARSDIEGMERVLNEMECQLGIAMDWNTYAVVASFYIKSGLSDKAIYALRKSEEKLEKKDGTGYNHLISLYATLGNKSEVLRLWDLEKSACKRHINRDFITMLESLVKLDEIEEAEKILKEWESSGNFYDVRVPNVVIIGYSRKGLYEKAETLLEELMEKGKVTTPNSWAIVAAGYLEKGMVEKAFECMKAAFSLHVKNKGWKPNPQVIADILSWLGDEGSAEDVEAFVTSLRSVIPVNRQMYHASLKANIRSGKDICGLLDRMKSDKIDEDEETKKILGTM >Manes.06G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28225259:28230346:-1 gene:Manes.06G155900.v8.1 transcript:Manes.06G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDSITDYSKTQRVVLLIDLNPVLHLKDPNPYITSLLSAAKTLLSFPPLSSSLFSFKPFFSSLSPLLCSSKLSIPSLSLCFDHPNSTLESLTQTLTSLLSTIDRASIPSLSPRAFHLAASMRQLVHDYAWDSVICDSLAGTLSNCGSFVKSNLVVLFSPIISSLKGLSEFLSVDTNDECLRNVDGLIKKFRESFESVNEAFVSRDIHFSWVGVKYETACGAYDQGFEEPELECGFFENGIGDLGWGYCSSECIVLGSALVPFGLIYPRIGISPRLMTCDSCQAINAQLSLEILDVSEKPLECKCCDVELVNFNGFSEISSKFVGPQTYRFEQEKMDWGNLSHGMTKLHVKAVKKHVKCVKLERFLSDPILVRELSRDSEKGQSDSCSELFEDRVLEILRMEKDNLVPRKSVPIWEILFSFLYREGYWAFVSLSNGNGNSLTGILKPFTVSLALLSIIRDHSIINEFEGAGIGQFVNKTDSEICKSQFDLSQSFGLVGPHSGPSPSEKSAEVGDGIRKKKKSLNMLRELTWSAFCQAAVEQLQIDLEDIYFSRGCSKSKKLKFLKCWMKQVKKSSTCSLTMHEKSKPHQPVPKEMDNRLTKLPQECEQPIPSCSSVGEDSLTGASRIQDEVALDFCSDASESFFNDLPCKIQLGIESEEVELGPLANRLVKSSIYWLYQKHEKETVSESQMHVVRSDDPSGRIVADELTKLTLKDPKDLAAMYRNRDLSSQVSNPSAAEVTSGHIFREYELQILFRMEILQSDVGAGFGESAKQKFVKQICSLLETVQCHLQGGFFGDWSLEKYMEKIIKDRYCQSLGDVVQRIYAKMDMLMFEDEDESPNPLQNSEDINQFPKKHRGDEIDENCTNNNPVCAEDKSFQLVGNEHESPQGIKREEHARKLIEAQERRQRARRFASFTSWVPDLQKVWAPKQPKAMKVRSDPLRKLFKRKERKRVSYDAVCETPITGKKRSQGGDSSGEEDRQDNGTPFCGSVSKALFQDY >Manes.14G169700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27701341:27704583:-1 gene:Manes.14G169700.v8.1 transcript:Manes.14G169700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKNLLFLFFFFFFLFTFSPLLILALPNPDPATIQSFLPNSSPPATIPAFPEQSNAEGCPLDLPDELFHGIKAACGNGQVGQLHKSRCCPVLAAWLYSAYSATALGRAGRVAPAAGGHISPSYDLPLLPDDSETCVDDLGKALKEKGIELVKPNETCDVVYCYCGIRLHPLSCPEAFSTNQRGKLIVDKRVKRLEKNCLSSSSNVNQFPGLGGCSKCLNSLYLLNNKETLNSSKSEDRTTKMHNKDCQLMGLTWLLAKNRTAYIHTVSAVLRAMMMNVGGSNPQSCTLNSDGMPLAVDSSEISSNSLSISHQAPIYVSVVFVICLLHLLLLVPSTKL >Manes.02G077000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5855677:5860784:-1 gene:Manes.02G077000.v8.1 transcript:Manes.02G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVVVLGCMRWAWKRCTYVGSDDSASWTSATKDEFEPVPRICSIILSVYETDTEHPQFSSPLDAKCLIKRVTYEQTLGRAPPYIIYVDHEHREIVLAIRGLNLIKESDYKLLLDNRLGMQKFDGGYVHHGLLKSAVWLLNEERETLKDLWEKNGKEYTMVFAGHSLGSGVAALLTIIAVNHGDQLGGIPRNKIRCYAVAPARCMSLNLAVKYADVIHSIVLQDDFLPRTATPLEDIFKSVFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHAIIWIKRESEKALQTMMESSSETVTTPPKVQKVERLQTLEKEHQYAIERAVSLNIPHAESITETEPIEAESSHGEHEGVHASETKSKPSFARTKWDELLNKVLEKNGLDELAAKKDANAPK >Manes.17G018600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8786069:8790098:-1 gene:Manes.17G018600.v8.1 transcript:Manes.17G018600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPVNQGLATLAFFGVGVNMVLFLTRVLQQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVVGLLLLSLSSYLFLIRPKGCGDDLTPCGSHSSKEVGFFYLSTYLIALGNGGYQPIIATFGADQFDEEDPKEGHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWVSTGSALAALILFLGGTARYRHFKPTGNRLARFCQVLVAAAKKCKVKMPEEAEDLYDVDGKEHSVNGNRKILHTHGFKFLDRAAFISSRDMDDERQGSPNPWRLCPMTQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMDITISNFRIPPASMSSFDILSVAFFIFLYRRVLDPLVGMIRNSKPKGLTELQRMGIGLVIAIMAMVSAGIVECYRLKYARRDCIHCEGSSTLSIFWQVPQYALIGASEVFMYIGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMAGWIPGNLNKGHVDRFYFLLAAFTTIDLVVYTACARWYKCIKMEGKFEEIDEKEKDNFKV >Manes.17G018600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8786069:8792555:-1 gene:Manes.17G018600.v8.1 transcript:Manes.17G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEMCKESSFKKDLEECTLDGTVDWHGHPAIKNKSGKWIAGIIILLNQGLATLAFFGVGVNMVLFLTRVLQQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVVGLLLLSLSSYLFLIRPKGCGDDLTPCGSHSSKEVGFFYLSTYLIALGNGGYQPIIATFGADQFDEEDPKEGHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWVSTGSALAALILFLGGTARYRHFKPTGNRLARFCQVLVAAAKKCKVKMPEEAEDLYDVDGKEHSVNGNRKILHTHGFKFLDRAAFISSRDMDDERQGSPNPWRLCPMTQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMDITISNFRIPPASMSSFDILSVAFFIFLYRRVLDPLVGMIRNSKPKGLTELQRMGIGLVIAIMAMVSAGIVECYRLKYARRDCIHCEGSSTLSIFWQVPQYALIGASEVFMYIGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMAGWIPGNLNKGHVDRFYFLLAAFTTIDLVVYTACARWYKCIKMEGKFEEIDEKEKDNFKV >Manes.09G129900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33202067:33204157:1 gene:Manes.09G129900.v8.1 transcript:Manes.09G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIANGEVHIVSTKGGITSDKPFKIFVGYDPREAIAYEVCRHSIIKRSSIPVEINPIIQSELRNKNLYWRERGQLESTEFSFSRFLTPYLANYEGWAMFIDCDFLYLADISELSDLIDDKYAIMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNTQTGAFLHRFQWLEDEEIGSIPFLWNFLEGHNRVVKGDATTFPKAIHYTRGGPWFDAWKDCEFADLWLKEMEECINEKKKKVAEN >Manes.09G011701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2556587:2561673:1 gene:Manes.09G011701.v8.1 transcript:Manes.09G011701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPLLFLFTNYCHSSTSTLEDARFFTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDLYSLSILINCFCHLHLVDFGFSVFGKMFKFGLEPDVVTFNTLINGLCMESKIDKAVEFLDDMVARGYQPDVRTFNVIVNGMCKFGKTSVAIGLLKGMADRDCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYSSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNVQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARRVFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPDAVTCSTLIKGMFQAGRPQTAQELFKNMCSHGQQPDIVTFSIMIDGLCRQGNLDGALTLLKVMEKSQLKPNFVIYSSLINGMCKVGKINDARELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFRDMEKGGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNNLILSKLRNRSEASKGVQ >Manes.14G112768.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13220615:13227621:-1 gene:Manes.14G112768.v8.1 transcript:Manes.14G112768.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSLAFKLWICVCALLFVHSHCFYLPGVAPEDFLVGDELKVKVNKLASTKTQLPYSYYSLPYCRPKKIVDNAENLGEVLRGDRIENSPYVFKMREPQMCKILCHITLDAKTAKEFKEKIDDEYRVNMILDNLLLVFPIPRPDQENSFVYQHGFHVGLRGQYAGSKEQKHFIHNHLAFTVKFHKDAQTDSARIVGFEVKPFSVKHEFEGEWKNEKTRLTTCDPHAKRLVTNSETPQEVEDKKEIIFTYDVEFQESDVKWAYRWDSYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAALGFLSPSNRGGLMTAMLLLWVFMGLFAGHTSARLYKMFKGTEWKKITLKTACMFPAVVFVIFFILNGLIWGEKSSGAVPFGTMFILVFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILIITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKPVSGVLYFGYMLIVSYAFFVLTGTIGFYACFWFTRLIYSSVKID >Manes.09G166001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35898907:35900789:1 gene:Manes.09G166001.v8.1 transcript:Manes.09G166001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSAMPMASLKLVTHFLFLLLLAIFLLSSSVLSDGEEEIVLQGLNSFRTARGLPALTINENAACLADRFADQILEDMTCSATAPYQLQNYPGVLAYCGVDVNQTREGAVMPVCAPQLVPNNLLSNYTRSFQYTRYLSDAKFTGAGLGTEDDWMVVILTTNTPGGNFGSDENTFIYNDPIPQVAEAKQASASDAVDLFKSLNCHRAFLDLPTFVQNKETGCLAGEIAQKLGNQPCNDGNGSFNPRQLDHYPELLSKCNTNNITVGGVVLPVCVPQLAPTTVFTNYTRTDYAKYINDSSFTEAGLGSKGDWMVVVLSTSTSQNTTGPARDFALGNVLISTQNGQVTGAANSLVSMDGFGHCLMSFLLGMLVYGGVALAWW >Manes.09G166001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35898907:35900790:1 gene:Manes.09G166001.v8.1 transcript:Manes.09G166001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSAMPMASLKLVTHFLFLLLLAIFLLSSSVLSDGEEEIVLQGLNSFRTARGLPALTINENAACLADRFADQILEDMTCSATAPYQLQNYPGVLAYCGVDVNQTREGAVMPVCAPQLVPNNLLSNYTRSFQYTRYLSDAKFTGAGLGTEDDWMVVILTTNTPGGNFGSDENTFIYNGTYTSYAPTSYYIVYLQMNFNFYIFWVSDPIPQVAEAKQASASDAVDLFKSLNCHRAFLDLPTFVQNKETGCLAGEIAQKLGNQPCNDGNGSFNPRQLDHYPELLSKCNTNNITVGGVVLPVCVPQLAPTTVFTNYTRTDYAKYINDSSFTEAGLGSKGDWMVVVLSTSTSQNTTGPARDFALGNVLISTQNGQVTGAANSLVSMDGFGHCLMSFLLGMLVYGGVALAWW >Manes.11G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2664093:2669905:1 gene:Manes.11G027200.v8.1 transcript:Manes.11G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLWIVLAILLSSIILYKNRNIRSSKYKTPLPLGNLGWPFLGETLDFISCAYSDRPETFMDKRRRMYGKVFKSHIFGSPTIVSTDAEVSKFILQSDAKIFVPSYPKSLTELMGKSSILLINGSLQKRIHGLVGAFFKSAHLKVQITRDMQVYVQESMQKWNDHHPVYIQDETKNIAFQVLVKALISLDPGEEMESLKKQFQEFISGLMSLPINIPGTQLYRSLQAKKRMVKLVQKIIQSKRDHHHHHHHQDGGSSTAAKDVVDILLNDASEQFTHELIADNIIDMMIPGEDSVPVLMTLAIKYLSDCPAALQQLTDENMKLKNLKAQLGEPLSWTDYLSLPFTQKVITETLRMGNIIVGVMRKAMKDVEIKGYLIPKGWCAFAYFRSIHLDGNHYDWPYQFNPWRWQDKDTSNSNFTPFGGGQRLCPGLDLARLEASIFLHHLVTQFSWVAEEDAIVNFPTVRMKRRMPIWVKRREINNINKDP >Manes.S043616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1303480:1303638:-1 gene:Manes.S043616.v8.1 transcript:Manes.S043616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.11G006275.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:732614:735225:1 gene:Manes.11G006275.v8.1 transcript:Manes.11G006275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSKVTTQGLKETIVPCDLELEDYAFQFLIINMNAYFK >Manes.18G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26815258:26819381:1 gene:Manes.18G144600.v8.1 transcript:Manes.18G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETTIKKGVDQAEKEERRRRRTEKETRGWSTNRYNQKIIEEITKAGIGKMRQASSSSSSAKNFVGMSSRLVEMSMHLDMAKSGDVLFVGICGMAGIGKTTIARLVYEQLSSQFEGSSFLANVREVGEKYGLVPLQKQLLTEILIDRDISIWDAHSGADEVRSGLHGKKVLVVLDDVCHLDQLKLLAGMHDWFGNGSRVIITSRDENLLKCHGVDKIYRVEGLNHDEALNLFCLKAFRCDNPVDDYVELSNQFVNYCNGLPLALDVLGSFMFGKSVNEWRSALDRLKEVPNQQILDKLYISFNGLEENEKKIFLDIACFFNGEDKDYVMKVLECCGFYPDVGIRVLINKSLITISRERIWMHDLLQEMGQEIVRQESIEEPGKRSRLWLYKDVYHVLSNDKGTEQIEGIVLECKEEEEPLSAKAFMKMERLRLLKLRNLRLSQSLQYLSNKLRYLEWDGYPFKYFPSTFQPDKLIELHMRCGNMEKLWEGIKPLKMLKVIDLSFSVNLMKTIDFKEAPYLEKLHLQGCTRLIEVHPSIGDLKRLGLLNLKDCKSLTRLPESICELKSLKFLNLNGCSKLEKLPERLREMTCLEKLNLGGITTRQLASSRLWDFFLLSRFLPRKDTNPLVVMLPSLLLLPSLRSLDLSYCNLVEGALPNDLGCFPSLRTLNLSGNDFVSIPSSISQLSNLEDFRFANCKRLQSFPNLPSSILYLSMDGCTAVETLAPRNISRQFELENLCSVACERLQSMPDLSSCILYLIVDGLTAQETIPNPFGTRITRPSSLTFLNYLKLIEVQCENIMPFARLTSYLHYLLRHSSQGLFNPISHISICLVGSEIPGWFNYQSPGASLEMQLPPYWGTNKWMGFAFCIVFEFLGPLSDSSTIICDLKACIAPDEDLFLGRSTVQISKEMSITLDQLWFNYIPRSSLTCLDMWESCNHLKVTFSSDQLRVKHCGFRAIYGKDVDELVLCSNPFEISGLPCNHNVESKRSSREESNESGNLTNSFSSKRLRMIVDSDTETQGQKGLEE >Manes.02G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8006619:8008744:-1 gene:Manes.02G102400.v8.1 transcript:Manes.02G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.18G138000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19114676:19116145:1 gene:Manes.18G138000.v8.1 transcript:Manes.18G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTTLETYFSLASLLLLFVFDGRVQSAVFDVKNYGGKADGKLDISKKEACSAKGSNRIVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSKYAKDSWITFAYIDRFKLSGGETFNGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNVLGGKNLTFDRFTITAPGDSVNIDGIHIGHSNGINIINSNITTGDDCISIGGASEQIRITNLRCGHGHGISMGSSGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALQDGMASDMHFEDIMMKNVRNPIVIYQVYCPWNQCNPKLPSKVKIANVTFKNIRGSSAIAVAVRLNCSSSFPCQKVELADINLMYRGKEGPAKSLCANVKPTL >Manes.15G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:939364:940884:1 gene:Manes.15G010900.v8.1 transcript:Manes.15G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEHRFLDVNGINMHVAEIGPTNGPVILFIHGFPELWYSWRHQIVKLASLGYRAVAPDMRGYGDTDAPSDPRSYTAFHIVGDVIGLLDAVAPHQEKVFVVGHDWGAFIAWFLCLFRPDRVKALVNMSVTFAPRNPKKKVIDTMRAAYGDDYYICRFQEYGSIEAEFAELGNERVVKEFLTYTHPGPLFLPKGKVFSRPAEKPLVLPSWLTEQDVQYYTSKFEKKGFTGGLNYYRNFDLNWELTAPWTGAQVKVPVKFIVGDQDLTYNSLGNKDYIDKGGFKRDVPLLQDVVIMEGVAHFLNQEKPEEINKHIYDFFQKF >Manes.05G090550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8254549:8256073:1 gene:Manes.05G090550.v8.1 transcript:Manes.05G090550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSIFRIFKMSTFNSSEDVASCKATTVSQPSSTSQPVSSSTHSQLEPSTPINPSHSLPATTNPTASLPPISNLGKKRKLTSTVWDHFEKVHHSGNDWAICNHCKTSLKANSKNGTKSLHNHVEKCAKKGNQDIVKCLEKQKQISMNIRNDGKVHFRNFTFDQEKSRRELACAIILHEYPLRITEHVGFRKFVISLQPLFKMVSRNTIRKDILDIYDLEFNKLYKSLEKLKSRIAITTDMWTSNQKKGYMSITAHYIDDSWVLQNRILRFVYIPTPHTKEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIVIDKLFGDLLCDGAVLHMRCCAHILNLVVKDGLATIESSLSRIRDSIVFWVASPQQSRKI >Manes.01G148300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33780000:33782355:1 gene:Manes.01G148300.v8.1 transcript:Manes.01G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLPPISPAGKWLGFVTAIWVQAICGNNYTFSNYSDALKSLMALSQLELNNLSVAKDVGKAFGLISGLASDRWSTAVLLIIGSVEGLIGYGVQWLVVSQRIHPLPYWQMCIFLCMGGNSTTWMNTAVLVTCMRNFPKNRGPVSGILKGYVGLSTAIFTDICTALFSSNPSTFLLILAIVPAIVCLTAVLFLRETIPAADPAEGEQETRIFNVFNVIAIVVAVYLLAFDITGNHGHVLSLAFAVGLSLLLAAPLLVPLYSALLTVKCYSDIEQVNEPLLVDRKDSSTKQEPAEKVNFGIKQRPLIGEDHTILEMIQTFDFWILFLSFLCGVGTGMCVMNNMGQMGLALGYVDSSIFVSLTSIWGFFGRILSGLVSEHYLWKCGTPRPLWNAASQIVMCLGFVVMALALPGSLYIGSILVGICYGVRLTITVPVASELFGLKYYGLLYNILILNLPLGSFLFSGLLAGYLYDAEATSSTGGGNVCVGPHCYFLIFIIMAVATIVGFGLDVLLGFRTRKVYAKICADRKSCRSIVA >Manes.17G071300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27131527:27132968:-1 gene:Manes.17G071300.v8.1 transcript:Manes.17G071300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLIHELLLFEALREGLEEEMDRDPTVCVMGEDVGHYGGSYKVTKGLANKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYICNLEEAEIVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQICQ >Manes.17G071300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27131527:27136037:-1 gene:Manes.17G071300.v8.1 transcript:Manes.17G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFRGLGAATALTASNSFDFKKLQLTSPRSFSERKASLLVVRSDGSRNLNLGSDSRTRRVEQLITNAVATKAENSAASSAAKSGHELLLFEALREGLEEEMDRDPTVCVMGEDVGHYGGSYKVTKGLANKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYICNLEEAEIVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQICQ >Manes.01G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33078063:33078995:1 gene:Manes.01G138700.v8.1 transcript:Manes.01G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTVLKVDVSCQKCKTKLLKAVSALQGVDKMEVDEGKGTLTVTGNADPYEIIVRTRKAGKYADVVSIGAPPPPPKQEPPKKPGPDENKKKQEEKKTTEQKAQIHDPLTCPQCQRIVFVPVGYEEPSPSCSIM >Manes.09G081588.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20011024:20035732:1 gene:Manes.09G081588.v8.1 transcript:Manes.09G081588.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDKQGNTIVNWARSQIGQALDNDDYTVLVDSKLEDMYNKEEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDWKDIKTIQGRPTTSLESLLGIERAQNFSPRMFSFEELAIATRFFSNNRMLGDGDYGRVYKGELDGMAVAIKKLSLWVGAQMGGEQMVNRINHDYQYLNKLIGYCNEESDKFLVYEFVPNKTLRFHLHDAGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPTIILGNFTSGIILLDNNFEPKISDFELAQELPNFVTHISTEAKIYRGYGAPEFLKDGKINEKVDVFSFGVVLLELITGKPSVIREGNFSMNLIAWVAPQLTQAFNTHNYNSIIDVELQNNCEIIEMIQMIHCAAACVYKPAKTRPKLSQIVEVLQGNMKSESIWIYSDNTYLKDGPQY >Manes.14G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:526218:531971:1 gene:Manes.14G009300.v8.1 transcript:Manes.14G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEETLVDKNFPLFFKPSKTHKSLQTPVTSKTLNTEQNSEPHQVEKETSANTDSITPSTTATLFSDLGLAEWVVQTCKELGMKRPTPVQAHCIPKILAGHDVLGLAQTGSGKTAAFALPILHRLAQDPYGIFALVVTPTRELAYQLAEQFRALGSCLHLRCAVVVGGMDMLTQAKTLMGRPHIVIATPGRVKVLLEENPDIPAVFSRTKFLVLDEADRVLDVGFEEELRAVFQCLPKQRQTLLFSATMTSNLEMLLELSANKAYFYEAYEGFKTVDTLKQQYVFIPKNVKEVYLVHLLSKMEDMGIRSAMIFVSTCRTCHLLSLLLEELDQEAAALHSFKSQSLRLSAVHRFKSGQASVLVATDVASRGLDIPTVDLVINYDIPRYPRDYVHRVGRTARAGRGGLAVSFVTENDVDLIHEIEAVIGKQLEEFECKEKDVLSDITRIYKAKRVATMKMMDDGFEEKAKGRKEQKMKTLAEKGLLKKKSGKRKRQKFAK >Manes.05G065650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5466973:5467297:-1 gene:Manes.05G065650.v8.1 transcript:Manes.05G065650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLISAAFVIAAGLAVGLASIGPRVGQDTAAGQAVEGIARQPEAEGKIRGILLLSLAFMEALTIYGLVFPC >Manes.11G050715.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6438848:6440459:1 gene:Manes.11G050715.v8.1 transcript:Manes.11G050715.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADVSTTKVFDITTYGAKGDDKTDCTMAFMKVWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.13G077620.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:17888569:17890058:1 gene:Manes.13G077620.v8.1 transcript:Manes.13G077620.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKILLRLQPYRQTSIAKRRNHKLSARFYGPFVIVERVSSMAYKLDLPADSKLHLVFHISSLKPYHEGQSALTPLLPSFPLPALVHPLAILDHRIKAGSQEVLVHWNHSSPVDALWEKVQAFSAKYLDIQLEDKLPLGPKSNVTKPLQVYTRFSHGSKSKQPHIESLN >Manes.09G006600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1607644:1626199:-1 gene:Manes.09G006600.v8.1 transcript:Manes.09G006600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLYFKPWISPPSINWSPPKVLLNPLNSNAKISGRLEVVAMGMLSPRKFLQKRRKVEVFKDAEDEADQKNWRRLMKQIEDTGSAVSVLKSKRTQNHALPKDLVLGTLVRFKQLKKWNLVAEILEWLRTQHWWDFNEMDFLMLITAHGKLGNFNEAEKVLSYMKKMGYVPNVVSHTALMEAYGRGGRYNNAEAIFRRMQLSGPKPSAVTYQIILKIFVEGNKFKEAEEVFETLLNEEKSPLRPDQKMFHMMIYMHRKAGNYEKARKIVSLMTERGVPHSTVTYNSLMSFETNYKEVSKIYDQMQRSGLQPDVVSYALLINAYGKARREEEALAVFEEMLDAGVRPTHKAYNILLDAFAISGMVEQARVVFKSMRRDRYTPDLCSYTTMLSAYVNSSDLDGAEKFFIRLKQDGFEPNVVTYGTLIKGYAKINNLEKMMEKYEEMQLNGIKANQTIFTTIMDAYGKNRDFESAVIWYKEMENHGVPPDQKAKNILLSLAKTADEQKEANLLVGHGDSFCIVQSINGASRINDDDDDDWDDDNDELENAGDATSSIEEQKELIALNKDNQRNGEGLHALKVVGL >Manes.09G006600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1608350:1626199:-1 gene:Manes.09G006600.v8.1 transcript:Manes.09G006600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLYFKPWISPPSINWSPPKVLLNPLNSNAKISGRLEVVAMGMLSPRKFLQKRRKVEVFKDAEDEADQKNWRRLMKQIEDTGSAVSVLKSKRTQNHALPKDLVLGTLVRFKQLKKWNLVAEILEWLRTQHWWDFNEMDFLMLITAHGKLGNFNEAEKVLSYMKKMGYVPNVVSHTALMEAYGRGGRYNNAEAIFRRMQLSGPKPSAVTYQIILKIFVEGNKFKEAEEVFETLLNEEKSPLRPDQKMFHMMIYMHRKAGNYEKARKIVSLMTERGVPHSTVTYNSLMSFETNYKEVSKIYDQMQRSGLQPDVVSYALLINAYGKARREEEALAVFEEMLDAGVRPTHKAYNILLDAFAISGMVEQARVVFKSMRRDRYTPDLCSYTTMLSAYVNSSDLDGAEKFFIRLKQDGFEPNVVTYGTLIKGYAKINNLEKMMEKYEEMQLNGIKANQTIFTTIMDAYGKNRDFESAVIWYKEMENHGVPPDQKAKNILLSLAKTADEQKEANLLVGHGDSFCIVQSINGASRINDDDDDDWDDDNDELENAGDATSSIEEQKELIALNKDNQRNGEGLHALKVVGL >Manes.15G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9156497:9158983:1 gene:Manes.15G115300.v8.1 transcript:Manes.15G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDKSPDPTPIRGGDQPIPPGKPMSMEQHMLDKGAKMLQSFKPIKQISQHVCTFAIYSHDMSRQIETHHYVTRINQDFLQCAVYDTDDSNGRLIGVEYIVSDKIFESLPPEEQKLWHSHAYEVKSGLLVHPKVPEMIVRPELENLAKSYGKFWCTWQIDRGDRLPLGAPALMMSPQGVNLGMVAPELVEKRDVKYNILTDAIKQSRVEIAEPEWINPQADYWKLHGKGFAIDIERTEMKLRAPFP >Manes.16G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32261147:32263633:1 gene:Manes.16G119100.v8.1 transcript:Manes.16G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAIFLSVLLIATCQFSLSIRDGLVKNGNFELGPKSSDMNGTQVINPNAIPEWQLSGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQRLRVIKGMYYSITFSAARTCAQEEKLNVSVSPDWGVLPMQTMYSSNGWDSYAWAFQAEYPEVDLVIHNPGVEEDPACGPLIDSVAIRALFPPRPTNKNILKNGGFEEGPYVLPNTSWGVLVPPNIEDDHSPLPGWMVESLKAVKYIDSDHFSVPQGRRAIELVAGKESAITQVARTITGKTYTLSFAVGDASNSCEGSMIVEAFAGKETLKVPYESKGKGGFKRAVLRFVAASNRTRLMFYSTFYTMRSDDFSSLCGPVIDDVKLLSARNPRRMM >Manes.02G216200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31732336:31733947:1 gene:Manes.02G216200.v8.1 transcript:Manes.02G216200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASGGTVAPDPNSGEPSPSAAAAAGASSASERQAEGSSQAPPSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHISDCPYFGDPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRQESNPFGARAVRIYLREVKEGQAKARGVPYEKKKRKRSAAITAKAVQAKVSVSDSQGVETGGGSSKGGGVGDGGGNGIGNSGGETTSTTPASAAATTTAV >Manes.18G010000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1213155:1214526:-1 gene:Manes.18G010000.v8.1 transcript:Manes.18G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVCYARLLLSPSSPPTAVNNFFKFNQNMLLSVFLALFLPCVGMSIVFLVYICFLWYSARTNRTETSPPVKQPAEKGLSASELEKLPRMTGKELVLGTDCAVCLDEIESEQPVRVVPICNHGFHLECADAWLSKHSVCPVCRARLGS >Manes.03G169600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29480701:29485226:-1 gene:Manes.03G169600.v8.1 transcript:Manes.03G169600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRDMARDGCVVPADPQVAAAAAVKKKTQPARSWILVDASGQGTILDADKQAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPLDENVIPVVEELQRRLPPANHIVQGQRDGKGYPSGQNDVEAGEEDESPFEFRALEVALEAICSFLAARTTELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGVSPVSGSGGAYWFKASPTIGSKISRASRASMATVHLDENDVEELEMLLELREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVAGIFGMNIPYTWNEHHGYMFKWVVIVTGAFCAALFFLIMSYARYKGLVGS >Manes.03G169600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29480701:29485226:-1 gene:Manes.03G169600.v8.1 transcript:Manes.03G169600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRDMARDGCVVPADPQVAAAAAVKKKTQPARSWILVDASGQGTILDADKQAIMHRVQIHARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPLDENVIPVVEELQRRLPPANHIVQGQRDGKGYPSGQNDVEAGEEDESPFEFRALEVALEAICSFLAARTTELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGVSPVSGSGGAYWFKASPTIGSKISRASRASMATVHLDENDVEELEMLLEAYFMQIDSTLNKLNTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSFYSLVAGIFGMNIPYTWNEHHGYMFKWVVIVTGAFCAALFFLIMSYARYKGLVGS >Manes.08G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36720659:36721543:1 gene:Manes.08G126900.v8.1 transcript:Manes.08G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPQRPQGSLDGDVLSVQEDLTEKIIGVVTPSENAGTGQTQKSAGESAAAGTGLSIAVEDTDTHERRILRNKESIAGQIVGQICQRTPIQLMTPTLPFQQGGSRTGGITIGEALEATALTAGQKPVEWSDAAAIQAAEVRATGRTTISPGGVAAAAQSAATLNARTARDEDKTKLADILADATSKLPADRPATRKDAEGVTGAEMRNDPYLTTHPAGVSASIAAAARINQQNSLK >Manes.14G118100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11341644:11346056:-1 gene:Manes.14G118100.v8.1 transcript:Manes.14G118100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKVASPRKASLTEVLQRVYGNFSANTSTGYSNNHHHHHHHHQPKKYLIHETENDFEEEDGTMELVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFKLEYGDEYRVIVKDVWKEYTGWPLNDMERQYKFMVKHVQLWKFAFHGTSPRWIHSCYLAAMAAYYAKEVEAGLMEYKPDIIISVHPMMQHIPLWVLKWQGLQKKVIFVTVITDLNSCHRTWFHPGVSRCYCPSKEVAKRASLDGLEDSQIRVFGLPIRPSFARAVLSKDELREELQMDPNLPAVLLMGGGEGMGPVRKTAEALGESLVNKEFDKPIGQLIIICGRNKVLKSTLESMDWKIPIKVRGFETQMEKWMAACDCIITKAGPGTIAEALIRGLPIVLNDYIPGQEKGNVPYVVDNGAGVFTRSPKETARIVTEWFSTKTDEHKRMSENALKLAQPEAVFDIVRDLHNLAQERGPLANMPYELTSSFTSLI >Manes.15G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7763374:7770073:-1 gene:Manes.15G099400.v8.1 transcript:Manes.15G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHSGDASLVVKKETSDYTGSTFAGHQERTLEGPIEVSSSEEDDSHETEDAEDQSAENASKQLVLYDPVSNGTGETELSPQPIQCRPPAFGRHLASNVPSRVLPSVGAFAVQCANCFKWRLIPTKEKYEEIREHILEQPFYCKTACEWRPDISCDDPPDISQDGSRLWAIDKPNIAQPPRGWQRLLRIRGEGSTKFADVYYESPSGKRLRSMVEIQKYLMDHPEYVQDGVTLSQFSFQIPKPLQENYVRKRAARVTATCDDTRALEPNEVNPLALTNPADCTDLQLGRPSLPPPSSYPAPISDPFDRPVKKQARRIPF >Manes.03G160100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28726794:28729226:-1 gene:Manes.03G160100.v8.1 transcript:Manes.03G160100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISATGFKGGFGTTSSFMVGEDYGMLAKSVPKHVRVAKPVRMAPMMKNVNEGKGLFAPIVVVTRNIIGKKRFNQLRGKAIALHSQVITEFCKSIGADSKQRQGLIRLAKKNGERLGFLA >Manes.03G160100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28726794:28729226:-1 gene:Manes.03G160100.v8.1 transcript:Manes.03G160100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISATGFKGGFGTTSSFMVGEDYGMLAKSVPKHVRVAKPVRMAPMMKNVNEGKGLFAPIVVVTRNIIGKKRFNQLRGKAIALHSQVITEFCKSIGADSKQRQGLIRLAKKNGERLGFLA >Manes.06G163700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29056784:29060770:-1 gene:Manes.06G163700.v8.1 transcript:Manes.06G163700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPASSSSPDKKKKKKKAKEENKQQNPFFGDDYVVKNGSGYMEKLVVLKEPTGRDISAHYDLGCELGRGEFGVTYLCTDISNGEKYACKSISKQKLRTAVDVEDVRREVEIMKHLPPHPNIVSLKSTYEDDSAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTIVEVVQMCHKHGVVHRDLKPENFLFANKKETAPLKSIDFGLSVFFKPGEKFNEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKLRLTAQQVLEHPWIQNAKKAPNVSLGETVKARLKQFSTMNKLKKRALRVVAEHLSVEEVAGLQEAFDVMDITKRGKINLEELRIGLQKLGHPIADADLQILMDAADADGDGTLNYGEFVAVSVHIKKLGNDEHLHKAFEFFDQNQSGYIEIEELRESLNDEVDTCSEDVINAIMQDVDTDKDGRISYEEFAAMMKAGTDWRIASRQYSRERFNSLSLKLMRDGSLQVRS >Manes.06G163700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29056704:29061936:-1 gene:Manes.06G163700.v8.1 transcript:Manes.06G163700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPASSSSPDKKKKKKKAKEENKQQNPFFGDDYVVKNGSGYMEKLVVLKEPTGRDISAHYDLGCELGRGEFGVTYLCTDISNGEKYACKSISKQKLRTAVDVEDVRREVEIMKHLPPHPNIVSLKSTYEDDSAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTIVEVVQMCHKHGVVHRDLKPENFLFANKKETAPLKSIDFGLSVFFKPGEKFNEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKLRLTAQQVLEHPWIQNAKKAPNVSLGETVKARLKQFSTMNKLKKRALRVVAEHLSVEEVAGLQEAFDVMDITKRGKINLEELRIGLQKLGHPIADADLQILMDAADADGDGTLNYGEFVAVSVHIKKLGNDEHLHKAFEFFDQNQSGYIEIEELRESLNDEVDTCSEDVINAIMQDVDTDKDGRISYEEFAAMMKAGTDWRIASRQYSRERFNSLSLKLMRDGSLQVRS >Manes.06G163700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29056704:29061936:-1 gene:Manes.06G163700.v8.1 transcript:Manes.06G163700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPASSSSPDKKKKKKKAKEENKQQNPFFGDDYVVKNGSGYMEKLVVLKEPTGRDISAHYDLGCELGRGEFGVTYLCTDISNGEKYACKSISKQKLRTAVDVEDVRREVEIMKHLPPHPNIVSLKSTYEDDSAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTIVEVVQMCHKHGVVHRDLKPENFLFANKKETAPLKSIDFGLSVFFKPGEKFNEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKLRLTAQQVLEHPWIQNAKKAPNVSLGETVKARLKQFSTMNKLKKRALRVVAEHLSVEEVAGLQEAFDVMDITKRGKINLEELRIGLQKLGHPIADADLQILMDAADADGDGTLNYGEFVAVSVHIKKLGNDEHLHKAFEFFDQNQSGYIEIEELRESLNDEVDTCSEDVINAIMQDVDTDKDGRISYEEFAAMMKAGTDWRIASRQYSRERFNSLSLKLMRDGSLQVRS >Manes.06G163700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29056791:29061936:-1 gene:Manes.06G163700.v8.1 transcript:Manes.06G163700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPASSSSPDKKKKKKKAKEENKQQNPFFGDDYVVKNGSGYMEKLVVLKEPTGRDISAHYDLGCELGRGEFGVTYLCTDISNGEKYACKSISKQKLRTAVDVEDVRREVEIMKHLPPHPNIVSLKSTYEDDSAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTIVEVVQMCHKHGVVHRDLKPENFLFANKKETAPLKSIDFGLSVFFKPGEKFNEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLNPDPKLRLTAQQVLEHPWIQNAKKAPNVSLGETVKARLKQFSTMNKLKKRALRVVAEHLSVEEVAGLQEAFDVMDITKRGKINLEELRIGLQKLGHPIADADLQILMDAADADGDGTLNYGEFVAVSVHIKKLGNDEHLHKAFEFFDQNQSGYIEIEELRESLNDEVDTCSEDVINAIMQDVDTDKDGRISYEEFAAMMKAGTDWRIASRQYSRERFNSLSLKLMRDGSLQVRS >Manes.02G139000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10673296:10699139:1 gene:Manes.02G139000.v8.1 transcript:Manes.02G139000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLSSFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNNPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVIGHNGIFRSDTNDAIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKAEGILRQSADVEEVEHRVQEYEQGKTEFEADEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDHKEARINAMRSATLETFPEPNRRLLQSSSQLLAAANAANNAQAIITTLIEEYDNIFDDENLQRCSISADSRIENSGSDDSSDDENTDVKENGYHDAENEVDQETDYDAERVLSGKLSESSGSGGSDLYEYKAFGGDESDGSPRNLHVPAESSNLPVESVQTRDPIVQLMEQPSKMKKGTDDSNNEMDTSNVSHIGESQGSMGEILSSIDPIPSLPVRGLDSSAEKPAGKVATSNLNGKRSTFWGRSNAKKTPVMESFDSSGEEEFAIQRLEIAKNDLKQRIAKESRGNAILQASLERRKQALHERRMALEQDVARLQEQLQAERDLRAALEVGLSISSGQFSSSRGMDSKTRAELEEIALAEADVARLKEKVAELHHQLNQQRQHHYGFLSDACDRYQHVQNHSTQQRFLQQDFDATLAFVNHERKQRTEECLLGTDWRNIKGTGLATGSSSRQPPRKQFLESTSLSDSKSTEASTNMSMNEMCGVDSAPSTSKAVEMMDYSRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G139000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10673296:10699139:1 gene:Manes.02G139000.v8.1 transcript:Manes.02G139000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLSSFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNNPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVIGHNGIFRSDTNDAIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKAEGILRQSADVEEVEHRVQEYEQGKTEFEADEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDHKEARINAMRSATLETFPEPNRRLLQRILKMMHTISSHAHENRMNASAVAACMAPLLLRPLLAGECELEDDFDINGDSSSQLLAAANAANNAQAIITTLIEEYDNIFDDENLQRCSISADSRIENSGSDDSSDDENTDVKENGYHDAENEVDQETDYDAERVLSGKLSESSGSGGSDLYEYKAFGGDESDGSPRNLHVPAESSNLPVESVQTRDPIVQLMEQPSKMKKGTDDSNNEMDTSNVSHIGESQGSMGEILSSIDPIPSLPVRGLDSSAEKPAGKVATSNLNGKRSTFWGRSNAKKTPVMESFDSSGEEEFAIQRLEIAKNDLKQRIAKESRGNAILQASLERRKQALHERRMALEQDVARLQEQLQAERDLRAALEVGLSISSGQFSSSRGMDSKTRAELEEIALAEADVARLKEKVAELHHQLNQQRQHHYGFLSDACDRYQHVQNHSTQQRFLQQDFDATLAFVNHERKQRTEECLLGTDWRNIKGTGLATGSSSRQPPRKQFLESTSLSDSKSTEASTNMSMNEMCGVDSAPSTSKAVEMMDYSRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G139000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10674433:10699139:1 gene:Manes.02G139000.v8.1 transcript:Manes.02G139000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSFWPKVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNNPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVIGHNGIFRSDTNDAIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKAEGILRQSADVEEVEHRVQEYEQGKTEFEADEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDHKEARINAMRSATLETFPEPNRRLLQRILKMMHTISSHAHENRMNASAVAACMAPLLLRPLLAGECELEDDFDINGDSSSQLLAAANAANNAQAIITTLIEEYDNIFDDENLQRCSISADSRIENSGSDDSSDDENTDVKENGYHDAENEVDQETDYDAERVLSGKLSESSGSGGSDLYEYKAFGGDESDGSPRNLHVPAESSNLPVESVQTRDPIVQLMEQPSKMKKGTDDSNNEMDTSNVSHIGESQGSMGEILSSIDPIPSLPVRGLDSSAEKPAGKVATSNLNGKRSTFWGRSNAKKTPVMESFDSSGEEEFAIQRLEIAKNDLKQRIAKESRGNAILQASLERRKQALHERRMALEQDVARLQEQLQAERDLRAALEVGLSISSGQFSSSRGMDSKTRAELEEIALAEADVARLKEKVAELHHQLNQQRQHHYGFLSDACDRYQHVQNHSTQQRFLQQDFDATLAFVNHERKQRTEECLLGTDWRNIKGTGLATGSSSRQPPRKQFLESTSLSDSKSTEASTNMSMNEMCGVDSAPSTSKAVEMMDYSRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G139000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10673296:10699139:1 gene:Manes.02G139000.v8.1 transcript:Manes.02G139000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSFWPKVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNNPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVIGHNGIFRSDTNDAIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKAEGILRQSADVEEVEHRVQEYEQGKTEFEADEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDHKEARINAMRSATLETFPEPNRRLLQSSSQLLAAANAANNAQAIITTLIEEYDNIFDDENLQRCSISADSRIENSGSDDSSDDENTDVKENGYHDAENEVDQETDYDAERVLSGKLSESSGSGGSDLYEYKAFGGDESDGSPRNLHVPAESSNLPVESVQTRDPIVQLMEQPSKMKKGTDDSNNEMDTSNVSHIGESQGSMGEILSSIDPIPSLPVRGLDSSAEKPAGKVATSNLNGKRSTFWGRSNAKKTPVMESFDSSGEEEFAIQRLEIAKNDLKQRIAKESRGNAILQASLERRKQALHERRMALEQDVARLQEQLQAERDLRAALEVGLSISSGQFSSSRGMDSKTRAELEEIALAEADVARLKEKVAELHHQLNQQRQHHYGFLSDACDRYQHVQNHSTQQRFLQQDFDATLAFVNHERKQRTEECLLGTDWRNIKGTGLATGSSSRQPPRKQFLESTSLSDSKSTEASTNMSMNEMCGVDSAPSTSKAVEMMDYSRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G139000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10673296:10699139:1 gene:Manes.02G139000.v8.1 transcript:Manes.02G139000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSFWPKVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNNPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVIGHNGIFRSDTNDAIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKAEGILRQSADVEEVEHRVQEYEQGKTEFEADEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDHKEARINAMRSATLETFPEPNRRLLQRILKMMHTISSHAHENRMNASAVAACMAPLLLRPLLAGECELEDDFDINGDSSSQLLAAANAANNAQAIITTLIEEYDNIFDDENLQRCSISADSRIENSGSDDSSDDENTDVKENGYHDAENEVDQETDYDAERVLSGKLSESSGSGGSDLYEYKAFGGDESDGSPRNLHVPAESSNLPVESVQTRDPIVQLMEQPSKMKKGTDDSNNEMDTSNVSHIGESQGSMGEILSSIDPIPSLPVRGLDSSAEKPAGKVATSNLNGKRSTFWGRSNAKKTPVMESFDSSGEEEFAIQRLEIAKNDLKQRIAKESRGNAILQASLERRKQALHERRMALEQDVARLQEQLQAERDLRAALEVGLSISSGQFSSSRGMDSKTRAELEEIALAEADVARLKEKVAELHHQLNQQRQHHYGFLSDACDRYQHVQNHSTQQRFLQQDFDATLAFVNHERKQRTEECLLGTDWRNIKGTGLATGSSSRQPPRKQFLESTSLSDSKSTEASTNMSMNEMCGVDSAPSTSKAVEMMDYSRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G139000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10673296:10699139:1 gene:Manes.02G139000.v8.1 transcript:Manes.02G139000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLSSFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNNPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVIGHNGIFRSDTNDAIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKAEGILRQSADVEEVEHRVQEYEQGKTEFEADEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDHKEARINAMRSATLETFPEPNRRLLQRILKMMHTISSHAHENRMNASAVAACMAPLLLRPLLAGECELEDDFDINGDSSSQLLAAANAANNAQAIITTLIEEYDNIFDDENLQRCSISADSRIENSGSDDSSDDENTDVKENGYHDAENEVDQETDYDAERVLSGKLSESSGSGGSDLYEYKAFGGDESDGSPRNLHVPAESSNLPVESVQTRDPIVQLMEQPSKMKKGTDDSNNEMDTSNVSHIGESQGSMGEILSSIDPIPSLPVRGLDSSAEKPAGKVATSNLNGKRSTFWGRSNAKKTPVMESFDSSGEEEFAIQRLEIAKNDLKQRIAKESRGNAILQASLERRKQALHERRMALEQDVARLQEQLQAERDLRAALEVGLSISSGQFSSSRGMDSKTRAELEEIALAEADVARLKEKVAELHHQLNQQRQHHYGFLSDACDRYQHVQNHSTQQRFLQQDFDATLAFVNHERKQRTEECLLGTDWRNIKGTGLATGSSSRQPPRKQFLESTSLSDSKSTEASTNMSMNEMCGVDSAPSTSKAVEMMDYSRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G139000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10673296:10699139:1 gene:Manes.02G139000.v8.1 transcript:Manes.02G139000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSFWPKVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNNPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVIGHNGIFRSDTNDAIEGSFHQWRDKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKAEGILRQSADVEEVEHRVQEYEQGKTEFEADEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDHKEARINAMRSATLETFPEPNRRLLQRILKMMHTISSHAHENRMNASAVAACMAPLLLRPLLAGECELEDDFDINGDSSSQLLAAANAANNAQAIITTLIEEYDNIFDDENLQRCSISADSRIENSGSDDSSDDENTDVKENGYHDAENEVDQETDYDAERVLSGKLSESSGSGGSDLYEYKAFGGDESDGSPRNLHVPAESSNLPVESVQTRDPIVQLMEQPSKMKKGTDDSNNEMDTSNVSHIGESQGSMGEILSSIDPIPSLPVRGLDSSAEKPAGKVATSNLNGKRSTFWGRSNAKKTPVMESFDSSGEEEFAIQRLEIAKNDLKQRIAKESRGNAILQASLERRKQALHERRMALEQDVARLQEQLQAERDLRAALEVGLSISSGQFSSSRGMDSKTRAELEEIALAEADVARLKEKVAELHHQLNQQRQHHYGFLSDACDRYQHVQNHSTQQRFLQQDFDATLAFVNHERKQRTEECLLGTDWRNIKGTGLATGSSSRQPPRKQFLESTSLSDSKSTEASTNMSMNEMCGVDSAPSTSKAVEMMDYSRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.14G139400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12217278:12217954:-1 gene:Manes.14G139400.v8.1 transcript:Manes.14G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAEGFFRYLYDGCLSGADTGIERRPYHRNCRCALHNKSKESCPHGMPKSKNVSYPIRRSWSEGCLALALGSAPASCQSSPSSSPSLQIGRSTQQFGLYSNGDEQLHLSRSSSSSKI >Manes.09G065200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10796771:10800393:-1 gene:Manes.09G065200.v8.1 transcript:Manes.09G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVNYEKIVRDTCRGIGFTSADVGLDADKCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNESGAMVPVRVHTVLISTQHDETVTNEQIAADLKEHVIRPVISAQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKENFDFRPGMIAINLDLKQGGNFRYQKTAAYGHFGRDDPDFTWETVKLLKPKA >Manes.07G093150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28940185:28940829:-1 gene:Manes.07G093150.v8.1 transcript:Manes.07G093150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSMNETDEAVQVQEETLEHTPQALGGQANAFSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSITNDIKMRYTALWKTWSEIPLKTKDELFGLFRSRYV >Manes.07G093150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28940069:28940803:-1 gene:Manes.07G093150.v8.1 transcript:Manes.07G093150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETDEAVQVQEETLEHTPQALGGQANAFSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSITNDIKMRYTALWKTWSEIPLKTKDELFGLFRSRYV >Manes.01G081800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28464068:28467020:1 gene:Manes.01G081800.v8.1 transcript:Manes.01G081800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDMDGGFHSAWKHGSLLDYLEEEQPVAPLPAIQQPETPKEPMEFLSRSWSLSASEISKALAQKQRQSFTDKQPNLFPADTVTAPQLSRKILNSINSRKTGSIVKWFHHKELSSSTVKKKDKTRMENAHMHSAVSVAGLAAALAAVAAAGNSSSSGSKMSMALASATELLASHCIELAESAGADHDRVASVVRSAVDIQSPSDLMTLTAAAATALRGEAAIKARLPKEARKNAAISPYDRGIVETQWGSATIGKVEEQAPCVGEVLQHTKKGVLRWKHISVYINKKSQVIIKIKSKHVGGAFSKKNKCVVYGVCDETTAWPYRRERGSSEEVYFGLKTAQGLLEFKCKTKIHKQRWVDGIQNLLRRVNCVEATECSMEFLSLSNGV >Manes.01G081800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28464161:28467498:1 gene:Manes.01G081800.v8.1 transcript:Manes.01G081800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDMDGGFHSAWKHGSLLDYLEEEQPVAPLPAIQQPETPKEPMEFLSRSWSLSASEISKALAQKQRQSFTDKQPNLFPADTVTAPQLSRKILNSINSRKTGSIVKWFHHKELSSSTVKKKDKTRMENAHMHSAVSVAGLAAALAAVAAAGNSSSSGSKMSMALASATELLASHCIELAESAGADHDRVASVVRSAVDIQSPSDLMTLTAAAATALRGEAAIKARLPKEARKNAAISPYDRGIVETQWGSATIGKVEEQAPCVGEVLQHTKKGVLRWKHISVYINKKSQVIIKIKSKHVGGAFSKKNKCVVYGVCDETTAWPYRRERGSSEEVYFGLKTAQGLLEFKCKTKIHKQRWVDGIQNLLRRVNCVEATECSMEFLSLSNGV >Manes.01G081800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28463979:28467391:1 gene:Manes.01G081800.v8.1 transcript:Manes.01G081800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDMDGGFHSAWKHGSLLDYLEEEQPVAPLPAIQQPETPKEPMEFLSRSWSLSASEISKALAQKQRQSFTDKQPNLFPADTVTAPQLSRKILNSINSRKTGSIVKWFHHKELSSSTVKKKDKTRMENAHMHSAVSVAGLAAALAAVAAAGNSSSSGSKMSMALASATELLASHCIELAESAGADHDRVASVVRSAVDIQSPSDLMTLTAAAATALRGEAAIKARLPKEARKNAAISPYDRGIVETQWGSATIGKVEEQAPCVGEVLQHTKKGVLRWKHISVYINKKSQVIIKIKSKHVGGAFSKKNKCVVYGVCDETTAWPYRRERGSSEEVYFGLKTAQGLLEFKCKTKIHKQRWVDGIQNLLRRVNCVEATECSMEFLSLSNGV >Manes.01G081800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28463979:28467391:1 gene:Manes.01G081800.v8.1 transcript:Manes.01G081800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDMDGGFHSAWKHGSLLDYLEEEQPVAPLPAIQQPETPKEPMEFLSRSWSLSASEISKALAQKQRQSFTDKQPNLFPADTVTAPQLSRKILNSINSRKTGSIVKWFHHKELSSSTVKKKDKTRMENAHMHSAVSVAGLAAALAAVAAAGNSSSSGSKMSMALASATELLASHCIELAESAGADHDRVASVVRSAVDIQSPSDLMTLTAAAATALRGEAAIKARLPKEARKNAAISPYDRGIVETQWGSATIGKVEEQAPCVGEVLQHTKKGVLRWKHISVYINKKSQVIIKIKSKHVGGAFSKKNKCVVYGVCDETTAWPYRRERGSSEEVYFGLKTAQGLLEFKCKTKIHKQRWVDGIQNLLRRVNCVEATECSMEFLSLSNGV >Manes.01G081800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28464309:28467018:1 gene:Manes.01G081800.v8.1 transcript:Manes.01G081800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIEDMDGGFHSAWKHGSLLDYLEEEQPVAPLPAIQQPETPKEPMEFLSRSWSLSASEISKALAQKQRQSFTDKQPNLFPADTVTAPQLSRKILNSINSRKTGSIVKWFHHKELSSSTVKKKDKTRMENAHMHSAVSVAGLAAALAAVAAAGNSSSSGSKMSMALASATELLASHCIELAESAGADHDRVASVVRSAVDIQSPSDLMTLTAAAATALRGEAAIKARLPKEARKNAAISPYDRGIVETQWGSATIGKVEEQAPCVGEVLQHTKKGVLRWKHISVYINKKSQVIIKIKSKHVGGAFSKKNKCVVYGVCDETTAWPYRRERGSSEEVYFGLKTAQGLLEFKCKTKIHKQRWVDGIQNLLRRVNCVEATECSMEFLSLSNGV >Manes.04G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33324418:33325913:-1 gene:Manes.04G132300.v8.1 transcript:Manes.04G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISNMASLVGLFCSCSLVFLASMNGLVDSARVFKVGDGLGWQEPEDNNTAMYNQWAERNRFQVGDSLLFEYKNDSVIEVDKWGYYHCNASKSIVVFNNGRSILNLDRPGPFYFISGAPNHCKNGQRLIVEVMGLHHQRSYSPPPIATPPYSFQLAPSSQTSSGAAVFVRLVSVLVVLLATLVALPRSS >Manes.07G036900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4037290:4037593:-1 gene:Manes.07G036900.v8.1 transcript:Manes.07G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTRFRALKYENGIAGKPIIVVRVIACYQPLQDCQAEYFRHLLKPVT >Manes.08G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:24959609:24963403:-1 gene:Manes.08G080800.v8.1 transcript:Manes.08G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISCWPSFASMPALLCSFCVLLLLPNSAMGITRHYTFNITYQNITRLCNTRSVVTVNGKFPGPRLIAREGDRVIVKVVNQVSYNITIHWHGIRQLTSGWADGPAYITQCPIQSGQSYNYNFTITGQRGTLLWHAHISWLRSSVYGPIIILPKRNDSYPFQKPYKEVPILFGEWFNVDPEAIIAQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHTLTVVEADAVYVKPFDTDTLLMTPGQTTSILLKTKPAFPNATFLMAARPYFTGRGTFDNSTTAAILKYRHPSNTSVKLPLFKPTLPPINATGFVANFSRRFRSLANAKFPANVPQTVDRSFLFTVGLGTTPCPANTTCQGPTNTTKFSASVNNVSFVLPSVALLQSYFFGMSNGVFTADFPQNPPIAFNYTGAPPNNTMVSNGTKALMLRFNTSVQVVLQGTSILGAESHPLHLHGFNFFVIGQGFGNYDPNKDPAKFNLVDPVERNTFGVPAGGWIAFRFLADNPGVWFMHCHLDVHTSWGLRMAWIVMDGPQPNEKLQPPPSDLPSC >Manes.07G077800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23488749:23507554:1 gene:Manes.07G077800.v8.1 transcript:Manes.07G077800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSHNGSQDPWHFFFAIYFAIGFVAARLFLDKFIFRRLAIWLLTKKSVPLRIDEATRATIVKCSESMWKLIYYAAVEVCVLKITYNEPWFRNTREYFRGWPDQELKLSLEILYMCQCGFYIYSIVALLTWETRRKDFTVMMSHHVITVILIGYSYIARFFRIGSIILALHDASDVFMEAAKVFKYSGKELGASICFGLFAISWLVLRLIFFPFWVIKTSSYDFADFLDLSKAYIISLYYIFNTMLLMLLVFHVYWWILICSMIMRQLRNRGRVGEDIRSDSEDDE >Manes.17G040400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23219694:23223907:1 gene:Manes.17G040400.v8.1 transcript:Manes.17G040400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGLWWVGRVLVLVQLVTGILGWGKEGHYAVCKIAEEYLTEDAVAAVKELLPDSAEGDLAAVCSWPDEIRFHYRWSSALHYIDTPDFKCNYQYCRDCHDSAGLKDRCVTGAILNYTNQLTSVYQNSNSVFQYNLTEALMFLAHFIGDVHQPLHVGFTGDLGGNTIMVHWYRRKTNLHHIWDDMIIDSAVKTFYGSDLANMIQAIQNNITDDWSNQLPLWEYCQNNRTVCPDPYASESVSLACKFAYKNATPGSTLGDDYFLSRLPIVEKRLAQGGIRLAATLNRIFASQGKRAKA >Manes.17G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23219841:23223743:1 gene:Manes.17G040400.v8.1 transcript:Manes.17G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGLWWVGRVLVLVQLVTGILGWGKEGHYAVCKIAEEYLTEDAVAAVKELLPDSAEGDLAAVCSWPDEIRFHYRWSSALHYIDTPDFKCNYQYCRDCHDSAGLKDRCVTGAILNYTNQLTSVYQNSNSVFQYNLTEALMFLAHFIGDVHQPLHVGFTGDLGGNTIMVHWYRRKTNLHHIWDDMIIDSAVKTFYGSDLANMIQAIQNNITDDWSNQLPLWEYCQNNRTVCPDPCIYRVRERWRLSQSHSCLLCRYASESVSLACKFAYKNATPGSTLGDDYFLSRLPIVEKRLAQGGIRLAATLNRIFASQGKRAKA >Manes.11G126455.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28885307:28887817:-1 gene:Manes.11G126455.v8.1 transcript:Manes.11G126455.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENDNHYLPLYKAALHGDWITAKRIFDSDSNALTAKILGLHETALHVSISAGHSIEFVKKLVDRMSADELGIKNKYGYTPLHYAGIAGNTAAAKLLVNKNPKLTQERNSDNDTPLHRAAAYAHEDTVQYLLLVTEDEEDPIRSSPFTDEDGVRLLNLLIIADFYSIALTVLKRYPGLARKTDYNGFSALDRLAEKPHAFLSGSRLGFFQRFLYHYFAVTSADKASVHQGKDVETPGGSFDEYKNESLHFQFLQHIQKTKVMHKQAMELLRFLISEALKGSVLEADNLLGPPTGIAAILGIQEFAGQNIFLLAVKHRQEKIFNLLYQMGTHNIFAASLADDLGNNMLHLAGKLEPSIKISGAALQMQRELQWFKEVEKVVQPSYKEMKNNDRQTPRMVFTAEHKDLVEKGEKWMKDTATSCATVAALVVTVVFAAAFTVPGGNNSDVGIPICLKETSFMIFAVSDALGLFSSSTSLLIFLGVLTSRYSEEDFLRALPMRLSIGLIALFFSIASMLTAFTAAFHLVLFHRVRWITIPVGLLACAPVTLFALLQFPLLVEIVSSTFGPSIFYKESEEIIF >Manes.12G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4711286:4713868:-1 gene:Manes.12G050400.v8.1 transcript:Manes.12G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSYLPLFIFALFVFTCSADISNKVGINWGSLGNDLPPPPQSVELVKSLGAKGVKIYDADPDILNALKNTDIVVSIMVPNEIIPNISKSQYLSDKWVQANVVPFYPEVKIRYLLVGNEILTNPDNGTWYNLVPAMRRIKSSLKTHNIHKVKVGTPSAMNVLESSFPPSNGTFRSDISAPIINPLLRFLNRTKSFFFVDIYPYFPWAENPKDISLDYALFRSKNITYSDPVTNLTYTNLFDQMIDSLVFAMKRLGYPDIRIFIAETGWPNNGDLDQIGANIHNAAIYNRNVVKKLTTKPAIGTPARPGSTIPSFIFALYNENQKPGPGTERHFGLLHPNGSKVFEIDLSGETPESEYKQPLPAPMNNEPYKGKAWCVVAEGANSTALADAMSYACSQGNKTCEQIQLGNQCYKPESLIWHASYAFSSYWAQFKKAGGSCYFNGLATQTAKDPSFGHCKFPSVIF >Manes.03G163200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28982436:28992782:-1 gene:Manes.03G163200.v8.1 transcript:Manes.03G163200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMEGVVGDMASLDPDILQLPELSPFALKANPYLAEELFSQWLSLPDSSRLVKTLLADVKTGTPLNALGNSTSTNVMLTNSLPSMFAAGSTPPLSPRSSSGYPRLKQRTGPSSLGSSLKLASEPVREVIPQFYFQNGRPPTTGMKEQCLFEINQHFYGRLDGLQEHEFKSITKSFCKLPSFFSTALFRKIDTKGAGVVTRDQFIDYWMRGNFLTMDLPTRIYRVLKQPDQGYITQSDFKPVLREILATHPGLEFLQSTPEFQERYAETVIYRIFYYVNRSGTGQLTLRELKRGNLITAMQHLDEEDDINKILRYFSYEHFYVIYCKFWELDTDHDFSIDKENLIRYGNHALTYRIVDRIFSQGPRKFTSKVEGKMGYEDFVYFILAEEDKSSESSLEYWFKCIDMDGNGILTSNEMQFFYEEQLHRMECMAQEPVLFEDILCQIFDMIGPENEGYVTLRDVKSSKLSGHVFNILFNLNKFIAFESRDAFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSVDIWDEPFEAPF >Manes.03G195700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31379416:31382200:-1 gene:Manes.03G195700.v8.1 transcript:Manes.03G195700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPIDNALPITPERPKKQAKVSAPIQKQAEFGVNDENKVPLPPSADAVIDYISSENLKAIPDPESKIQGLIEGLDSKDWTKVCESLNNARRFALHHNSLLLPILEKVMLVVVKAMKNPRSALCKTSIMASSDIFNAFGDKLLDATTDAFDNLLLQLLLKASQDKRFVCEEADRALSAMVGSMTPLHLLHKLRAYVRHANLRVRAKVALCISNSISKMDLEGMKEFGLVSLVQVAVDLLNDRLPEAREAARNTVISIFEAYTENEEHKQESWQKFCQSNLPSAHAQSIAKITSSQ >Manes.07G081600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:25382862:25384049:-1 gene:Manes.07G081600.v8.1 transcript:Manes.07G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSEIALDYSPFLRTYKDGRVERLMGIHVVPPSLDPDTNVQSKDIPYSQEPNLSSRLFLPKNTDPNQKLPLLVYYHGGGFCIESPFSPHYHNFCNILAAEANIIIVSVDYRRAPEHCLPAAYDDSWTVLNWVASHVNGDGPEEWLNFHADLSSVFFAGDSAGANIAHCMAMKYGEQKLSAINLVGIVLIHPYFWGKEPIGNEVKESETRSKIEGFWYFAYPSSSGSDDPLLNPATDPKFGSLGCSRVLVFVAEKDFLRDRGWYYSEILKKSGWGGSVEVDEAKEEDHVFHLFSPENEKSKAMIKSMASFINQKDKS >Manes.18G011114.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1315854:1321575:1 gene:Manes.18G011114.v8.1 transcript:Manes.18G011114.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDYNSFYKYPPHLQNPNSNPIPDPTLIDHQQTSYASAPPFTTTYAASSDYPAYPPNYPPNHQNPDPARPPTAPSYSPPSPSLTATTAALNSNSVQSSFNTPPQPPQPSFPPFDSQGPCQPPTTQQSYYPPYDQNQTAHSYCPPPPLPFSVAPNPDPNANPSYSSTYAAPPYSTIGSSVPPAYDHLYENSLKCDQSVGYFDDNYGGYNRSGSDLGSDPYGKRPDSRYDMGPYDEYGDGVYAYEGTKVEPYGARGTAPKSSTWVGFDDYGRAISLPSEKDLSVGSASSKIVRAVPKAETQQDVKSGVQKFRVKLLPESGGQGTMDVLCQIGLDGIRMLDPSTNRTLRIYPLENITRCDVTDSSTFAFWSKSSVDIEPRRIRLQSNSYTTNTLLDIVTAATVQLKEMGGSRRPTESSKTLEQPTEKKKGFVDWMNLIKPGNEEKDHWVPDEAVSKCTGCGTDFGAFVRKHHCRNCGDIFCDKCTNGRIALTADENAQPVRVCDRCMAEVTQRLSNAKEAPSKPAGLQSHEDLARKLQEEMEKNCKVSSGSKSDGSGRQMREVACPTCTVHLQVQVPSSGSKTIECGVCQHPFLVSAH >Manes.14G022100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2165664:2170051:1 gene:Manes.14G022100.v8.1 transcript:Manes.14G022100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNPNPPDGFYLDPNRMAVPGLGPFATASTTSSSEDPSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVEKNGTNEHLPPPRPKRKAAHPYPQKATKNAPMLSQTPGSFQSSTALLEPGFVRRPDSTSMPMNPITSAAAVSWSNNLPAVGLSNQTKANNCSSSSESAPRTKPIGETAELGNHGHSLRVLPDFAQVYSFIGSIFDPNAANHLQKLKKMDPIDVETVLLLMRNLSINLTCPEFEDHRRLLSTYEIDTEAIGANKTLKDNIPKNVAQFG >Manes.14G022100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2165664:2170076:1 gene:Manes.14G022100.v8.1 transcript:Manes.14G022100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNPNPPDGFYLDPNRMAVPGLGPFATASTTSSSEDPSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVEKNGTNEHLPPPRPKRKAAHPYPQKATKNAPMLSQTPGSFQSSTALLEPGFVRRPDSTSMPMNPITSAAAVSWSNNLPAVGLSNQTKVLPDFAQVYSFIGSIFDPNAANHLQKLKKMDPIDVETVLLLMRNLSINLTCPEFEDHRRLLSTYEIDTEAIGANKTLKDNIPKNVAQFG >Manes.14G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2165641:2170743:1 gene:Manes.14G022100.v8.1 transcript:Manes.14G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNPNPPDGFYLDPNRMAVPGLGPFATASTTSSSEDPSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVEKNGTNEHLPPPRPKRKAAHPYPQKATKNAPMLSQTPGSFQSSTALLEPGFVRRPDSTSMPMNPITSAAAVSWSNNLPAVGLSNQTKVAANNCSSSSESAPRTKPIGETAELGNHGHSLRVLPDFAQVYSFIGSIFDPNAANHLQKLKKMDPIDVETVLLLMRNLSINLTCPEFEDHRRLLSTYEIDTEAIGANKTLKDNIPKNVAQFG >Manes.11G036700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3529449:3534261:1 gene:Manes.11G036700.v8.1 transcript:Manes.11G036700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLKHPHSPNTPTSVSTKMLERVLSSRRASPHPDDVVSDSDTLTISGDESKTKKQSLSLLAANYMSRLSHVCPCPTPCLILCLLVAFLSIASLLFHSRKFVCVSPFDPKVRVGFFGLDGLESDFGALGVPWCRSKHGKTVEWTSKNLIKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMARWLKPDLMIESGAFKGHSTWVLRQAMPGTPIISLSPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVEWESVMKKHGITDLSRVLIFFDDHQNELKRVKQALNAGFKHVIFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFSDSDESRIRSKRKKFWEKAVDIDELCGPDEAWWGVRGWMRDNFNHSNKPISYAEHFQNSRFIESILDVYWELPPVAGPSLTHQTRYDPARTTPPIVEDGRYGLFQRLGLGRLETSVFNGYTQMVYLQISEQES >Manes.09G107600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30839879:30843235:1 gene:Manes.09G107600.v8.1 transcript:Manes.09G107600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITHILKPYNIKLSKPIKETAHVPSSYPLSLVSLCGCCITGNWKMDRSQLLLLGLPIFLFFSDIVNLFTVPPPPKPAPNDHSSIRSKPNQVHQQPPQFPAQKSSSASIGGVGGGVGNIGIGSTINIDFCSSCSYRGTAVTMKNMLETQFPGINVILANYPPPFPKRLLSKVVPVFQFGIIGVIMAGEQIFPRLGFAVPPPWYYSLRANRFGSIASTWLFGNFIQSFLQSSGAFEVYCNGELVRSFLFVIPHADFSLESKIFCPSLDVYCLLVWMS >Manes.02G051900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4143216:4144147:-1 gene:Manes.02G051900.v8.1 transcript:Manes.02G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPAPTIKLSFFLTDIYEQYVLLSDFDDPIVVSEETFLIDERCIEVAACDYEESICELLCETLTAMGVSEDNHERIGRQVDFEVQHRLETSRGTDTATIVIPTRISRTFSEEYEDGDAGLRQPIPASKSSIDGLARIVFHVGVQDPGICTVCLKEFEDGDDLIQMPCSHLYHQHCIVEWLMSSHLCPLCRYQMPTTQLCEDLPQLLVPGSELN >Manes.04G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30000524:30005866:1 gene:Manes.04G094400.v8.1 transcript:Manes.04G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTECDFANLFPSTPPPPSSPPQPSLPPFTGPITPPRNPRDTCFSCRRPGHWAKECPNKTPKKSQTSSPGSSSMSSSGLPLIRCRCGGGTCPVFTSRTQKNPDRKFYTCPGDRSSANCNFFKWCDELSIRAPMCPCGVGICSLNLSRESTGPNGEKWYFACRIKKNHGACSFFQWADFEVNTMMKRHVHTAINELDNKEVSSNILPELPVVGADENATNLPTSVTSEPDEVSNGDLIMQDVESKDQLQVLNPTHQSQNHCLKVLKRQISGSGRTITQDVIYQVLGLHGYGWLGRLAFPPSQGIKDLPVLPILCCIFPSFDPVTILEDVNISEIEESFPLTDSASNTATDMPLSPNPRQDTQILGGAFEEASGLNEPFGITQDESKSILKEYGLALLNTLESMSPVRHGAMVKVAEATLKTLQNLSIECGPFGENVKEYIHNRSKLASIEISMSKGLSSQELTKVFDSEKVQYDNVSRLHAEAATAYRASNNHLQSLQEEVSRVKTMLLQLEKQLFSCETETLARKTRVDEISEDMLEAKKSMEAASEKMKEALELERRRDSVNCAAKAALETARVWLEK >Manes.05G204650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33124266:33125348:1 gene:Manes.05G204650.v8.1 transcript:Manes.05G204650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQKQMNATGSIFFTEAKKNWSERTQRKGHWEWNHCSELADIVSSLQIGNGIN >Manes.06G046451.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:14639666:14641131:1 gene:Manes.06G046451.v8.1 transcript:Manes.06G046451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLFNSIQSDDPDSSLPSPSPSPSAPNRHPHDSPAIRGGGVKEDFSVIGDSIACQFRGVANFLAPPPSPSSSSSSLDKPLLSSSSPSQSQSLLGICNDLAELGGSLWSGLSLFSSNKAVSEISKFTSSFFAISKWL >Manes.13G145925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36058413:36095098:1 gene:Manes.13G145925.v8.1 transcript:Manes.13G145925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCQADMCMADLSDAKPYHRRHKVCENHAKAQIVLVAGIRQRFCQQCSRFHELSEFDETKKSCCRRLAGHNERRRKNAAESHSEWMVTKGIVLLLLLEG >Manes.16G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2175963:2177361:-1 gene:Manes.16G019600.v8.1 transcript:Manes.16G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLEPLVVGKVIGEVLDMYNPVAEFTVHYGSKQIANGCEIKPSAAAQKPHVHILGSRLSSDLYTLVMVDPDAPSPSEPKWREWLHWIVVDIPEGSDATKGHELVPYMGPQPPTGIHRYVFALFKQKGALKGRSLGPDGRGNFSTRQFAAQHGFGVPVAAVYFNSQKEPAVKKR >Manes.06G126400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25637208:25639345:1 gene:Manes.06G126400.v8.1 transcript:Manes.06G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSLFAPTAFHTNKPRFSPVSTKPKTTRTVTVASIPPFSTASATDVSLFDGTTLAVIGGGSVAALAAALSLTDPERRRRLQAEEVGGGDKEVVKEYFNNSGFQRWKKIYGETDDVNKVQRDIRLGHSKTVENVLKMLKDEGSLEGVTVCDAGCGTGSLAIPLAKEGAIVSASDISAAMVAEAEKQAKEQLQAVGENAAPMLPKFEVKDLESLDGKYDTVVCLDVLIHYPQSKADGMIAHLASLAEKRLILSFAPKTFYYDLLKRVGELFPGPSKATRAYLHAEADVERALKKVGWRINKRGLITTQFYFARLVEAIPA >Manes.09G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32755545:32757376:-1 gene:Manes.09G125600.v8.1 transcript:Manes.09G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVPQRERWAVEMAVVVVVVGLLAMASPTMQLNVKEPLRGTVQKSSSEEPRSIGLIVTKICCEKALNESGIFHVTSSVELLGRTFVLGKIHGADIVYVRSASRPAANLGITLQIMADNFKLGGVILLGLGLALTDSLSVGSVVIPSLIGSTGVWTWQPFQATKEGPLKFGDFNFPYPGDNLLGSVKYKKSQIYIHEEVKESFWIPVTAEWLQVASRIQVDSVEVFHGLKLASADVHLDNEKFYRRFLNLIFGASISDTTSITGALGAYSNNLRLLVVRGVSGNDTDMVAANAMKVVDRFIYLISVPPASS >Manes.16G103200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825428:30836100:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSLVLLVTFLVVLTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEVRKSAKEVD >Manes.16G103200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836101:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSILKVLQLQNANMNICAHTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEIGYKGDSFGADSAKSVTLMVEGPHDS >Manes.16G103200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836100:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSLVLLVTFLVVLTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEVRKSAKEVD >Manes.16G103200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836101:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSILKVLQLQNANMNICAHTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEVRKSAKEVD >Manes.16G103200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836101:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSILKVLQLQNANMNICAHTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEVRKSAKEVD >Manes.16G103200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836101:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSILKVLQLQNANMNICAHTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEVRKSAKEVD >Manes.16G103200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836101:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSILKVLQLQNANMNICAHTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEIGYKGDSFGADSAKSVTLMVEGPHDS >Manes.16G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836100:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSLVLLVTFLVVLTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEIGYKGDSFGADSAKSVTLMVEGPHDS >Manes.16G103200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836100:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSLVLLVTFLVVLTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEVRKSAKEVD >Manes.16G103200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836100:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSLVLLVTFLVVLTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWVSLMSLFFTSCY >Manes.16G103200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825428:30836100:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSLVLLVTFLVVLTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEIGYKGDSFGADSAKSVTLMVEGPHDS >Manes.16G103200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836100:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSLVLLVTFLVVLTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEIGYKGDSFGADSAKSVTLMVEGPHDS >Manes.16G103200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30825429:30836101:1 gene:Manes.16G103200.v8.1 transcript:Manes.16G103200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLAVLFVCVFLLWLCVTESDSAVQINATPESNETRSRSREDSFANMIDRALEKEFNETDQNESADPGGFNNSVTGQQAVLETVARVKSKKNDSKEEKSFQFHDVFNLDNENRVEDMPTLIDRKDNIFIISNLKSKYPVLQLDLRLISDLVVVIVSATCGGIAFAFAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVVFLLFALGLEFSATKLRVVRAVAVLGGLLQIFLFMCLCGITVSLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNIINSLHGQVTIGTLVLQDCAVGLLFALLPILGGTSGVLQGVISMTKSILKVLQLQNANMNICAHTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKGFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKFYLLLLGTTALSLVTTPLLFKLIPAVIHLGVLLHWFPPDSPMEIGYKGDSFGADSAKSVTLMVEGPHDS >Manes.04G089050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29457959:29458744:1 gene:Manes.04G089050.v8.1 transcript:Manes.04G089050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILWLLFVVCLQILQLGDSPKMGFLFKDGGVLCGGDAIEACLKPFFRFSKTGLGGFDLIY >Manes.13G145916.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35804551:35819533:1 gene:Manes.13G145916.v8.1 transcript:Manes.13G145916.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMLTDPNNASYARDPVFPWLLSIKKALDNKAFGGDPNNLLLDCIRTFKHNAQYRNDPRFLKIWLLHLEGSDDTKFVFKEMEENKICCDHSLLYELNTKPLERLKGAHALFLDRISHWVNGCSLQKIDGDEPIGLVKNRVHPWSGSTMEELLKKIHTQIMRYDGYHQRNKAYSGKVDLLSLGNASRNKIVKISGKEYQIKGCAGDGDYNPDDIVALKIQKPAFPWEFYMYRQLDQRISTKQRSSFGVAHGMDVHSDYSLLVCDYLCHGTLHDVINSYVIVGKSMEEVLCIYYTTEMLYVLETLHDVGIIHGDFKPDDLLVRYSRDDLSEDGFEDRTGSWGDQGLCLVDWGKGIDLHLFPDDTEFEGDCRTSGFRCIQMQENKPWRFQAIAIFVRLTLIEKKATSDGGYIYLPKKPFKRYWNVDLWRELFSQLLNNSPHNDKLLQNLRERFQDYLCSNRQMLKKLKDLLAKQRLSMCSS >Manes.18G144896.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21663887:21665378:1 gene:Manes.18G144896.v8.1 transcript:Manes.18G144896.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKNSWITFAYIDQFKLSGGGTFDGQGQVAWKQNTCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSAIAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.09G017201.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3790379:3794309:-1 gene:Manes.09G017201.v8.1 transcript:Manes.09G017201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLYQQAESLPFPILYFLSSFPISDPSLKTTDKRSMMMKMPSRRKSRSFHLQLQGAIGNIQSPFLFLFTNFCHSSTSTLEDAHFLTNNFKSASFTHLDGAIASFNHVIHMHPLPFRAPFNRFLSALVKMKQYHTVLSMSKTIELLGISHNVYSLNILINCFCRLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCMKGKMDKAVKFSDDMVARGYQPNVYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIHALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLINALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKVFDLTVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPDVVTYSTLIEGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYYAIMKGLCQQGLIDEAYNVFKDMEKVGCLPNNCCYNIIIQGFLMHEDLPKASELITEMVDKGVHC >Manes.14G140128.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12301056:12343457:1 gene:Manes.14G140128.v8.1 transcript:Manes.14G140128.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCEGTCGDDLPSSPDSTTTSAVLRISTDHGEDSTSVPQKPHKDPRKIARKYQLELCKKALEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPQKSVCVFLAPTVALVHQQAKVIEESIDFKVGIYCGSSKHSKNHYDWEKEIEQYEVLVMTPQILLHNLSHSFIKMELISLLIFDECHHAQLKSNHPYAEIMRVFYNANDGKLPRIFGMTASPVVGKGASNHTNLPKSINSLENLLDAKVYSVEDNEELERFVASPVVKIYLYGPVANGTSINYMTYCSKLEEIKHTCISELHKKVDDCQSLQGLRSTKKVLSRMHDNVVFCLETLGLWGALQACQILLSGDPSEWNALIEAEGHISNDSVCDRYLSQSANFLAAVCTTDGMASDISGVEVLNEPFFSRKLLRLIGILSTFRLQPNMKCIIFVNRIVTARSLSYVLQNLKFLTSWNCDFLVGVHCGLRSVSRKSMNDILEKFRTGKLNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVDSGSQKELDLIESFRKDENQMNMEISSRTSKETFIGLEEKVYKVDITGASVSSAYSISLLHHYCSKLPHDEYFDPKPNFFYFDDLGGTICHIIFPSNAPLHQIVGKPQPSQEAAKKDACLLAIEQLHKLGALSNFLLPHQEDADEESALPSSDYDNFEDEGSRGELHEMLVPALLKESWTTSENWIYLHSYYLEFCPVPRDRTYKKFGLFVKAPLPQEAEQLQLDLHLARGRYVTTKLVPLGLVKFNTDEITMAMNFQEMFLKVILDRSELISEFVSLGKDSFCKSSFTFYLLLPVILWGCGNGVTVDWDIIRSCLLSPVFGNMQKENFPSGSHLQLANGCRSIRDIENSLVYVAHKKQFYFVTNVVHGKNGLSPHKCSSAQSHLEYLSEMFGIQLKYPKQPLLHAKPLFCLHNLLHNRRQEDSETQELDEYFIDMPAELCELKIIGFSKDIGSSVSLLPSIMHRLENLLVAIELKSLLSASFSEGAEVSAFRVLEALTTEKCQERLSLERLEILGDAFLKFAVGRHLFLLHDTLDEGELTRKRSNVVNNSNLLKLARSRNLQVYIRDQPFDPCQFFALGRPCRRICTEESEGNIHSQNRSHGHGQANANDVRCSKGHHWLHRKTIADVVEALVGAFIVDSGFKAATAFLKWLGIRVDFEASEVIKVCLASDSFLPLARSIDMVALENLLGHQFLRRGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLFSVYPKLKPGHLTDLRSALVNNRAFANVAIGRSFHKFLICDSSNLSEAIKNYADSVKTPASGRSLLEGPKCPKVLGDLVESCMGAILIDTGFNLNCIWKLMLSFLGPVLNSPNVRLNPFRELQELCQSHNWDLRFPTLKKGKSFFVEAKVDGKDVCLTTSASNSNKKEAIRIASEQIFVKLKDRGYSRKSNYLEEVLRSSQKMEAKLIGYDETPIDITASCASDKVEILKIQEPVGSNFHPKINSMSKPGNICRPDFVGASKQPPSHLACVGEQHNETIAYPRSDTDPPATDGSHHGSAKSRLHEICDANCWKPPLFECCNEEGPSHLKSFTYKVVVEIEAPDMLLECYGAPRMKKKAAAEHAAEGALWYLKHSRRIL >Manes.14G140128.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12301056:12343955:1 gene:Manes.14G140128.v8.1 transcript:Manes.14G140128.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCEGTCGDDLPSSPDSTTTSAVLRISTDHGEDSTSVPQKPHKDPRKIARKYQLELCKKALEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPQKSVCVFLAPTVALVHQQAKVIEESIDFKVGIYCGSSKHSKNHYDWEKEIEQYEVLVMTPQILLHNLSHSFIKMELISLLIFDECHHAQLKSNHPYAEIMRVFYNANDGKLPRIFGMTASPVVGKGASNHTNLPKSINSLENLLDAKVYSVEDNEELERFVASPVVKIYLYGPVANGTSINYMTYCSKLEEIKHTCISELHKKVDDCQSLQGLRSTKKVLSRMHDNVVFCLETLGLWGALQACQILLSGDPSEWNALIEAEGHISNDSVCDRYLSQSANFLAAVCTTDGMASDISGVEVLNEPFFSRKLLRLIGILSTFRLQPNMKCIIFVNRIVTARSLSYVLQNLKFLTSWNCDFLVGVHCGLRSVSRKSMNDILEKFRTGKLNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYAFLVDSGSQKELDLIESFRKDENQMNMEISSRTSKETFIGLEEKVYKVDITGASVSSAYSISLLHHYCSKLPHDEYFDPKPNFFYFDDLGGTICHIIFPSNAPLHQIVGKPQPSQEAAKKDACLLAIEQLHKLGALSNFLLPHQEDADEESALPSSDYDNFEDEGSRGELHEMLVPALLKESWTTSENWIYLHSYYLEFCPVPRDRTYKKFGLFVKAPLPQEAEQLQLDLHLARGRYVTTKLVPLGLVKFNTDEITMAMNFQEMFLKVILDRSELISEFVSLGKDSFCKSSFTFYLLLPVILWGCGNGVTVDWDIIRSCLLSPVFGNMQKENFPSGSHLQLANGCRSIRDIENSLVYVAHKKQFYFVTNVVHGKNGLSPHKCSSAQSHLEYLSEMFGIQLKYPKQPLLHAKPLFCLHNLLHNRRQEDSETQELDEYFIDMPAELCELKIIGFSKDIGSSVSLLPSIMHRLENLLVAIELKSLLSASFSEGAEVSAFRVLEALTTEKCQERLSLERLEILGDAFLKFAVGRHLFLLHDTLDEGELTRKRSNVVNNSNLLKLARSRNLQVYIRDQPFDPCQFFALGRPCRRICTEESEGNIHSQNRSHGHGQANANDVRCSKGHHWLHRKTIADVVEALVGAFIVDSGFKAATAFLKWLGIRVDFEASEVIKVCLASDSFLPLARSIDMVALENLLGHQFLRRGLLLQAFVHPSYNKHGGGCYQRLEFLGDAVLDYLITSYLFSVYPKLKPGHLTDLRSALVNNRAFANVAIGRSFHKFLICDSSNLSEAIKNYADSVKTPASGRSLLEGPKCPKVLGDLVESCMGAILIDTGFNLNCIWKLMLSFLGPVLNSPNVRLNPFRELQELCQSHNWDLRFPTLKKGKSFFVEAKVDGKDVCLTTSASNSNKKEAIRIASEQIFVKLKDRGYSRKSNYLEEVLRSSQKMEAKLIGYDETPIDITASCASDKVEILKIQEPVGSNFHPKINSMSKPGNICRPDFVGASKQPPSHLACVGEQHNETIAYPRSDTDPPATDGSHHGSAKSRLHEICDANCWKPPLFECCNEEGPSHLKSVIWG >Manes.16G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:730573:732476:1 gene:Manes.16G007300.v8.1 transcript:Manes.16G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKALFFFALLSFSAVSLRTAFAEDEKDPGLVVNFYKDTCPQAEDIIREQVKLLYKRHKNTAFSWLRNIFHDCGVQSCDASLLLDSTRRTLSEKETDRSFGLRNFRYLETIKEALERECPGVVSCADILVLSARDGIVALGGPHIPLKTGRRDGRKSRAEVVEQYLPDHNESISVVLERFAAMGIDTPGVVALLGSHSVGRTHCVKLVHRLYPEVDPELNPNHVEHMLYKCPDAIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKSQDYFFKEFAKAITILSENNPLTGTKGEIRKQCNVANKLH >Manes.16G007300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:730573:732476:1 gene:Manes.16G007300.v8.1 transcript:Manes.16G007300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKALFFFALLSFSAVSLRTAFAEDEKDPGLVVNFYKDTCPQAEDIIREQVKLLYKRHKNTAFSWLRNIFHDCGVQLGGPHIPLKTGRRDGRKSRAEVVEQYLPDHNESISVVLERFAAMGIDTPGVVALLGSHSVGRTHCVKLVHRLYPEVDPELNPNHVEHMLYKCPDAIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKSQDYFFKEFAKAITILSENNPLTGTKGEIRKQCNVANKLH >Manes.16G134400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33521767:33524323:1 gene:Manes.16G134400.v8.1 transcript:Manes.16G134400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCSENNYNNKRKESMTSHPAPYYQPRNLLITGAAGFIASHVTNRLIKNYPGYKIVALDKLDYCSNLKNLAPCRSSPNFKFVKGDIASADLVNHLLIAEDIDTIMHFAAQTHVDNSFGNSFEFTTNNIYGTHVLLEACKVTKRIRRFIHVSTDEVYGETDMETDIGNPEASQLLPTNPYSATKAGAEMLVMAYNRSYGLPTITTRGNNVYGPNQFPEKLIPKFILLAMKGEQLPIHGNGSNVRSYLHCDDVAEAFDVILHKGVIGHVYNIGTKKERRVLDVAEDICKLFGLDTEKAIKFVQDRPFNDQRYFLDDQKLKKLGWQETTPWTEGLKMTMDWYIKNPQWWGDVSAALHPHPRISLIVHANDDAWLLENARLSNEDENNKSSELKFLIYGRTGWIGGLLGKLCDNQSINFEYGTGRLQDRRSIMDDVMRVRPTHVLNAAGVTGRPNVDWCESHKVETIRTNVVGTLNLADVCKDNNLLMINFATGCIFEYDKEHPQGSGIGFKEEDEPNFTGSFYSKTKAMVEDLLKEYENVCTLRVRMPISSDLSNPRNFITKISRYSKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVISHNEILELYKKYIEPGFKWVNFNLEEQAKVIVAARSNNELDAAKLKKEFPDMLSIKDSIIKYVFEPNKKT >Manes.16G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33521767:33524438:1 gene:Manes.16G134400.v8.1 transcript:Manes.16G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHPAPYYQPRNLLITGAAGFIASHVTNRLIKNYPGYKIVALDKLDYCSNLKNLAPCRSSPNFKFVKGDIASADLVNHLLIAEDIDTIMHFAAQTHVDNSFGNSFEFTTNNIYGTHVLLEACKVTKRIRRFIHVSTDEVYGETDMETDIGNPEASQLLPTNPYSATKAGAEMLVMAYNRSYGLPTITTRGNNVYGPNQFPEKLIPKFILLAMKGEQLPIHGNGSNVRSYLHCDDVAEAFDVILHKGVIGHVYNIGTKKERRVLDVAEDICKLFGLDTEKAIKFVQDRPFNDQRYFLDDQKLKKLGWQETTPWTEGLKMTMDWYIKNPQWWGDVSAALHPHPRISLIVHANDDAWLLENARLSNEDENNKSSELKFLIYGRTGWIGGLLGKLCDNQSINFEYGTGRLQDRRSIMDDVMRVRPTHVLNAAGVTGRPNVDWCESHKVETIRTNVVGTLNLADVCKDNNLLMINFATGCIFEYDKEHPQGSGIGFKEEDEPNFTGSFYSKTKAMVEDLLKEYENVCTLRVRMPISSDLSNPRNFITKISRYSKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVISHNEILELYKKYIEPGFKWVNFNLEEQAKVIVAARSNNELDAAKLKKEFPDMLSIKDSIIKYVFEPNKKT >Manes.11G039949.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3850971:3851498:-1 gene:Manes.11G039949.v8.1 transcript:Manes.11G039949.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSTNETDEPVQMQEETLEHTPAALGGQANTSSSSLVRTRGPNLGHPIPSNPSDRQLIRLKGNVFHSY >Manes.04G020100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2455452:2463623:-1 gene:Manes.04G020100.v8.1 transcript:Manes.04G020100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALAQHRDSSNGSINKHLTDSGKYVRYTAEQVDALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRNESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLNTASATDASCDSVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYSQIYAPMTLAPARDFWTLRYTTSLENGSLVVCERSLSGSGTGPNAAAASQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQKLSRGFNDAVNGFNDDGWSLMSCDGAEDVIIAVNSTKNLSSTSNTSNSLSFLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAVSLKAGSYVFPGMRATRFTGSQIIMPLGHTIEHEELLEVVRLEGHSLAQEDAFISRDIHLLQICSGIDENAVGACAELVFAPIDEMFPDDAPLLPSGFRVIPLDSKTKDAQTAVNTSRTLDLTTSLEVGPATNHATEDASSSHSTRSVLTIAFQFPFESNLQDNVANMARQYVRSVISSVQRVAMAISPSGLSPSVGPKLSPSSPEALTLAHWICQSYSYYLGAELLRSDSLGGDSVLKHLWHHQDAILCCSLKSLPVFIFANQAGMDMLETTLVALQDITLDKIFDESGRKALCADFAKLMQQGFAYLPGGICMSTMGRHVSYEQAVAWKVLAADESTVHCLAFSFVNWSFV >Manes.04G020100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2455452:2463636:-1 gene:Manes.04G020100.v8.1 transcript:Manes.04G020100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALAQHRDSSNGSINKHLTDSGKYVRYTAEQVDALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRNESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLNTASATDASCDSVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYSQIYAPMTLAPARDFWTLRYTTSLENGSLVVCERSLSGSGTGPNAAAASQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQKLSRGFNDAVNGFNDDGWSLMSCDGAEDVIIAVNSTKNLSSTSNTSNSLSFLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAVSLKAGSYVFPGMRATRFTGSQIIMPLGHTIEHEEICSGIDENAVGACAELVFAPIDEMFPDDAPLLPSGFRVIPLDSKTKDAQTAVNTSRTLDLTTSLEVGPATNHATEDASSSHSTRSVLTIAFQFPFESNLQDNVANMARQYVRSVISSVQRVAMAISPSGLSPSVGPKLSPSSPEALTLAHWICQSYSYYLGAELLRSDSLGGDSVLKHLWHHQDAILCCSLKSLPVFIFANQAGMDMLETTLVALQDITLDKIFDESGRKALCADFAKLMQQGFAYLPGGICMSTMGRHVSYEQAVAWKVLAADESTVHCLAFSFVNWSFV >Manes.04G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2455438:2463640:-1 gene:Manes.04G020100.v8.1 transcript:Manes.04G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALAQHRDSSNGSINKHLTDSGKYVRYTAEQVDALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRNESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLNTASATDASCDSVVTTPQHSLRDANNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYSQIYAPMTLAPARDFWTLRYTTSLENGSLVVCERSLSGSGTGPNAAAASQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVVAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQKLSRGFNDAVNGFNDDGWSLMSCDGAEDVIIAVNSTKNLSSTSNTSNSLSFLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAVSLKAGSYVFPGMRATRFTGSQIIMPLGHTIEHEELLEVVRLEGHSLAQEDAFISRDIHLLQICSGIDENAVGACAELVFAPIDEMFPDDAPLLPSGFRVIPLDSKTKDAQTAVNTSRTLDLTTSLEVGPATNHATEDASSSHSTRSVLTIAFQFPFESNLQDNVANMARQYVRSVISSVQRVAMAISPSGLSPSVGPKLSPSSPEALTLAHWICQSYSYYLGAELLRSDSLGGDSVLKHLWHHQDAILCCSLKSLPVFIFANQAGMDMLETTLVALQDITLDKIFDESGRKALCADFAKLMQQGFAYLPGGICMSTMGRHVSYEQAVAWKVLAADESTVHCLAFSFVNWSFV >Manes.08G060200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7488501:7492741:-1 gene:Manes.08G060200.v8.1 transcript:Manes.08G060200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISITDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYRFIAADTLQKIIVLVVLAIWSRLSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDSSGTLMVQIVVLQCIIWYTLMLFLFEYRGARILIGEQFPDTAGSIISFRVDSDIISLDGREPLQTEAELGEDGKLHVTVRKSTSSRSEIFSRRSHGPNSGLSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNASNVSPRQSNFGNLPFDEENGGIGVYGNVSRANGNAYPAPPNAGIFSPGTKKKANGADNGRDLHMFVWSSSASPVSEGGIHVFRGGATDYANELGGATHQKDYDEFGRDEFSFGNRQVANGVDREGPVLSKLGSSSTAELHPKAGADGEPKPTAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNVEMPAIIARSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >Manes.02G216010.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31819231:31819947:1 gene:Manes.02G216010.v8.1 transcript:Manes.02G216010.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNIAHSRNSFTYLTKSLPIGSWILDSSASDHISVSPSLFSTLVSPSTPSKVTLANGSQTQVKGISNVYLLPSIPLTNVLFTPDCPYNLISISKLTKDLHCSVIFTTESVVVQDRSTGKMIGAGYESQGLYYFSTSNSPIAFVSSTFDELIHSRWGHPSLNKLQKLVPSLSSLPSLECESCQLEKQTRASFPKQINNRASSMFDIVHSDIWSPNRVSTTLGFQYFVTFINDYSRCT >Manes.08G023066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2342057:2346160:1 gene:Manes.08G023066.v8.1 transcript:Manes.08G023066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSFKYVIVGGGVAAGYAAREFGNQGVKSGELAIISKEAVAPYERPALSKAYLFPEGTARLPGFHVCVGSGGERLAPEWYKEKGIELILSTEIVKADLATKTLTSAAGETFKYQILIIATGSTVIRLTDFGVQGADSKNIFYLREIDDADKLVEVIKAKKDGKAVIVGGGYIGLELSAALRINNIDVTMVYPEPWCMPRLFTAELAAFYEGYYANKGINIIKGTVAAGFTADSNGEVKEVKLKDGRVLEADIVVVGVGGRPLTALYKGQVEEEKGGIKTDAFFRTSVPDVYAVGDVATFPLKLYNELRRVEHVDHARKSAEQAVKAIKSSEEGKTIDEYDYLPYFYSRAFELSWQFYGDNVGESVFFGDSDPKAPKPKFGSYWIKDGKVVGAFLEGGSPDENKAIAKVARVQPSIQNLDQLTKEGLSFACNI >Manes.01G197900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37209106:37215461:-1 gene:Manes.01G197900.v8.1 transcript:Manes.01G197900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVAAAMVVTEFTEVGVNIIMKAAMTRGMNQFVYIVYSNALAIFILLPSCFIFYRKRPLPQLTASILFKIFLLGVCSCSIQMLMNTGIKYSSPTLSTAMTDLVPAFTFLLAVISRMEKLELRLRSSQAKTMGTVLSVAGALFVTLYKGQPITNSSLHQSLRLLHYSNWVVGGILCAAGALCISVLYIIQTWIFKVYPSELMITFICCFYVTVLSATISLIAERDPNVWILKPDLELIAIVCSAVFAVSLRSVVHTWACGKKGPVYTAMFKPLGMIIATLLGVSFLGDTLYLGSVIGGIIIALGFYTVMWGKAQEEKKMGEEEENSDLGSSSHKAPLLQNRSVDV >Manes.11G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8982275:8988504:-1 gene:Manes.11G064800.v8.1 transcript:Manes.11G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSWDALRKQARKLEAQLDEQMNSYRKLVSAKASAKFDAVDNDLEAGIDRLLKQLQHVNSQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFHRLRSSLRAKQEHASLLEDFREFDRTRLDLEDGVGSTEQALLREHASISRSTGQMDNVISQAQSTLGALVLQRSTFGGINSKLSNVTSRLPTVNHILTAIKRKKSMDTIILSLVASVCTFLIFIYWLTK >Manes.05G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22795323:22797832:-1 gene:Manes.05G126100.v8.1 transcript:Manes.05G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWTLQSYPFSIQPSIWVSKRRVYPKFLQLRREFDHPHSHLKWNRPTVNTCFQLRAISSTGSSEDEELPQHEVVKIHSESRHPIAQLQGMISTSPPVVFLTRRRAGSNFMIWLCVATAFLLIAVRVYVVRKSRYNRPGSVADLVRRGQLRSDRRGISRPLKYDDPFNNPLVKVGKSNSTIEMCGKVYKLAPVTLTEEQQAIHQKRRSRAYQWKRPTIFLKEGDSVPPDVDPDTVRWIPANHPFATTASDIDEGLAQNNVYQKHGVPFRIQAEHEALQRKLEALQHEQKLNKLVIDTSNAKDFERPLKFNSKSNELIEQSPFSNQAGDPKPSVSDSAPNSFKSNSSSEEM >Manes.03G141801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27023346:27025367:-1 gene:Manes.03G141801.v8.1 transcript:Manes.03G141801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEREHNPPLAEESLYFCWECALPSSVIITVLSFPRDHSPQTALGKNIWWPCNRSRMLWQVLKESSPVHQAVGVLKRNNT >Manes.07G079501.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24037854:24059018:-1 gene:Manes.07G079501.v8.1 transcript:Manes.07G079501.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVTKLVLEYLNLSGTLDGKILNQLDQLRVLSFKGNSISGQIPNFSGLLNLKSLFLSSNNFSGDFPDSITNLHRLKVIVLSGNQISGSIPMSLLKLTRLYVLYLQDNSLVGAIPPLNQTSLRFFNLSNNQLSGQIPLTSALIRFNRSSFSGNINLCGQQIKKPCSNFGPSSSPVSPTVPPSSKPSSKRSKLIKIIAGVCGFLVLCLIGLLVLCFECKYRRKEGSVVEEGRSKTKGILGGSDNGCVNGRNGGGGSGMGDNNGVFSWEGEGLGTLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDSRYPRVEEFRRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTVFRDPNMLEEPSATSLFYRAPEIRDMQKPATQQADVYSFGVLLLELLTGKTPFQDLVQEHGTDIPMWVRSVREEETESGDEPASGNEAGDEKLQSLVNVAMACVSFVPENRPSMRDILKMIKDSRAEAQVSSNSSDHSPGRWSDTVQSLHREEHLSI >Manes.07G079501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24037705:24059018:-1 gene:Manes.07G079501.v8.1 transcript:Manes.07G079501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVTKLVLEYLNLSGTLDGKILNQLDQLRVLSFKGNSISGQIPNFSGLLNLKSLFLSSNNFSGDFPDSITNLHRLKVIVLSGNQISGSIPMSLLKLTRLYVLYLQDNSLVGAIPPLNQTSLRFFNLSNNQLSGQIPLTSALIRFNRSSFSGNINLCGQQIKKPCSNFGPSSSPVSPTVPPSSKPSSKRSKLIKIIAGVCGFLVLCLIGLLVLCFECKYRRKEGSVVEEGRSKTKGILGGSDNGCVNGRNGGGGSGMGDNNGVFSWEGEGLGTLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDSRYPRVEEFRRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTVFRDPNMLEEPSATSLFYRAPEIRDMQKPATQQADVYSFGVLLLELLTGKTPFQDLVQEHGTDIPMWVRSVREEETESGDEPASGNEAGDEKLQSLVNVAMACVSFVPENRPSMRDILKMIKDSRAEAQVSSNSSDHSPGRWSDTVQSLHREEHLSI >Manes.07G079501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24037854:24059018:-1 gene:Manes.07G079501.v8.1 transcript:Manes.07G079501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFSRYPVLYLFLFCVFYHFSPVKPDDAQVLLTLKSSIDPLNSLPWPQGSDPCKWQGIKECMKGRVTKLVLEYLNLSGTLDGKILNQLDQLRVLSFKGNSISGQIPNFSGLLNLKSLFLSSNNFSGDFPDSITNLHRLKVIVLSGNQISGSIPMSLLKLTRLYVLYLQDNSLVGAIPPLNQTSLRFFNLSNNQLSGQIPLTSALIRFNRSSFSGNINLCGQQIKKPCSNFGPSSSPVSPTVPPSSKPSSKRSKLIKIIAGVCGFLVLCLIGLLVLCFECKYRRKEGSVVEEGRSKTKGILGGSDNGCVNGRNGGGGSGMGDNNGVFSWEGEGLGTLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDSRYPRVEEFRRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTVFRDPNMLEEPSATSLFYRAPEIRDMQKPATQQADVYSFGVLLLELLTGKTPFQDLVQEHGTDIPMWVRSVREEETESGDEPASGNEAGDEKLQSLVNVAMACVSFVPENRPSMRDILKMIKDSRAEAQVSSNSSDHSPGRWSDTVQSLHREEHLSI >Manes.07G079501.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24037732:24059018:-1 gene:Manes.07G079501.v8.1 transcript:Manes.07G079501.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVTKLVLEYLNLSGTLDGKILNQLDQLRVLSFKGNSISGQIPNFSGLLNLKSLFLSSNNFSGDFPDSITNLHRLKVIVLSGNQISGSIPMSLLKLTRLYVLYLQDNSLVGAIPPLNQTSLRFFNLSNNQLSGQIPLTSALIRFNRSSFSGNINLCGQQIKKPCSNFGPSSSPVSPTVPPSSKPSSKRSKLIKIIAGVCGFLVLCLIGLLVLCFECKYRRKEGSVVEEGRSKTKGILGGSDNGCVNGRNGGGGSGMGDNNGVFSWEGEGLGTLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDSRYPRVEEFRRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTVFRDPNMLEEPSATSLFYRAPEIRDMQKPATQQADVYSFGVLLLELLTGKTPFQDLVQEHGTDIPMWVRSVREEETESGDEPASGNEAGDEKLQSLVNVAMACVSFVPENRPSMRDILKMIKDSRAEAQVSSNSSDHSPGRWSDTVQSLHREEHLSI >Manes.07G079501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24037704:24059018:-1 gene:Manes.07G079501.v8.1 transcript:Manes.07G079501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFSRYPVLYLFLFCVFYHFSPVKPDDAQVLLTLKSSIDPLNSLPWPQGSDPCKWQGIKECMKGRVTKLVLEYLNLSGTLDGKILNQLDQLRVLSFKGNSISGQIPNFSGLLNLKSLFLSSNNFSGDFPDSITNLHRLKVIVLSGNQISGSIPMSLLKLTRLYVLYLQDNSLVGAIPPLNQTSLRFFNLSNNQLSGQIPLTSALIRFNRSSFSGNINLCGQQIKKPCSNFGPSSSPVSPTVPPSSKPSSKRSKLIKIIAGVCGFLVLCLIGLLVLCFECKYRRKEGSVVEEGRSKTKGILGGSDNGCVNGRNGGGGSGMGDNNGVFSWEGEGLGTLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDSRYPRVEEFRRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTVFRDPNMLEEPSATSLFYRAPEIRDMQKPATQQADVYSFGVLLLELLTGKTPFQDLVQEHGTDIPMWVRSVREEETESGDEPASGNEAGDEKLQSLVNVAMACVSFVPENRPSMRDILKMIKDSRAEAQVSSNSSDHSPGRWSDTVQSLHREEHLSI >Manes.07G079501.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24037854:24059018:-1 gene:Manes.07G079501.v8.1 transcript:Manes.07G079501.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVTKLVLEYLNLSGTLDGKILNQLDQLRVLSFKGNSISGQIPNFSGLLNLKSLFLSSNNFSGDFPDSITNLHRLKVIVLSGNQISGSIPMSLLKLTRLYVLYLQDNSLVGAIPPLNQTSLRFFNLSNNQLSGQIPLTSALIRFNRSSFSGNINLCGQQIKKPCSNFGPSSSPVSPTVPPSSKPSSKRSKLIKIIAGVCGFLVLCLIGLLVLCFECKYRRKEGSVVEEGRSKTKGILGGSDNGCVNGRNGGGGSGMGDNNGVFSWEGEGLGTLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDSRYPRVEEFRRHMDLLGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTVFRDPNMLEEPSATSLFYRAPEIRDMQKPATQQADVYSFGVLLLELLTGKTPFQDLVQEHGTDIPMWVRSVREEETESGDEPASGNEAGDEKLQSLVNVAMACVSFVPENRPSMRDILKMIKDSRAEAQVSSNSSDHSPGRWSDTVQSLHREEHLSI >Manes.05G160200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27321972:27325635:-1 gene:Manes.05G160200.v8.1 transcript:Manes.05G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVVKSCKPSDSISNGRWLYMVSEIGAKLRRAFDSICLWLWETTMLWRQPFHKPSMIFDQRFSIWFYVCKVVSQEFAFNSSLEIDASFFGWVLVLVGYGDGSSMTIFRSTFSGFDR >Manes.01G068600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26985923:26988028:-1 gene:Manes.01G068600.v8.1 transcript:Manes.01G068600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVACTQRSRQRSRSFIGEAAGRKSICLVDIHFWCLLSTHSMELQSNIAFSLLYDKCFKSYLIDSTWPDLDTRLAPVYIYFFFFFPSFSFCLYYFVYECFGVEVVKRRGKRKSSDEEGDAFFYSSQGGSSKVWASGHKVEQSDA >Manes.14G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8981839:8984825:1 gene:Manes.14G107000.v8.1 transcript:Manes.14G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLILLVFVLCNSNGLVSSLNTEGFTLLSFKQSIYEDPEGSLRNWNSSDETPCSWNGVTCKEQKVVSISIPKKKLYGFLPSSLGSLSDLRHVNLRNNRFFGSLPTELFQALGLQSLVLYGNALSGSLPNEFGKLKYLQSLDLSQNFFNGSIPTSIVQCKRLRTLDLSQNNFTGSLPDGVGTGLVSLERLDLSFNKLNGSIPSDIGNLSSLQGTVDFSHNHFTGSIPASLGNLPEKVYIDLTYNNLIGPIPQNGALMNRGPTAFIGNPGLCGPPLKNPCSSNTPGASSPSSIPFLPNNYPSQDLDNNGGRTGKGRGLSKGAVIAIIVSDILGICLVGLLFSYCYSRVCACSKNKDESGYVFDKGGKGRQECLCFRKDESETLSENVEQYDLVPLDTQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDYIPNGSLATALHGKPGMVSFTPLSWSIRLKIIKGIAKGLVYLHEFSPKKYVHGDIKPSNILLGHDMEPHISDFGLGRLANIAGGSPTVQSTRVAAEKPQERQQKSAPSSEVATVSSTNLGSYYQAPEALKVIKPSQKWDVYSYGVILLEMITGRFPVVQMGTSEMDLVQWIQLCIEEQKPLADVLDPYLAPDVDKEEEIIAVLKIAMACVHSSSERRPTMRHVSEALSRLAVSSSD >Manes.03G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4624494:4630263:-1 gene:Manes.03G050000.v8.1 transcript:Manes.03G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTLAAAAGNNIGKVLQKKGTIILPPLSFKLKVIRAYAVNKAWVIGFLMDISGAMLMLRALSQAPVSVIQPVSGCGLAILSVFSHFYLKEVMNVIDWIGITLAAIGTIGVGAGGEEQEASSVSVFQLPYLAFIVAILFVVLNGWLRVCKCQRREQETMEYEVIEEIIYGLESGILFGMASVISKMGFVFLEQGFPRMLIPICISISICCSGTGFYYQTRGLKHGRAIVVSTCAAVASIVTGVLSGMLALGERLPSAPTARLSLLLGWLLIMVGVILLVSSTRLLRHLPRPLRHWMRSNVDRSFSLGRSGSLRVKDSNPSAVIQAATLHHLIATPAKEKA >Manes.06G007051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1183580:1185066:1 gene:Manes.06G007051.v8.1 transcript:Manes.06G007051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPFSAFWLRSSVVSVLISLISDTWAIGPHDIKLIFLWEGPITVACYWGPYASPMRCTTARAWRTHQRSIQSICFINRMIYIYL >Manes.14G121884.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11802713:11808497:1 gene:Manes.14G121884.v8.1 transcript:Manes.14G121884.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRINIEELNSLINLKNLSIEDNEIEGFKSFNGGEELLNMSNLEYLDIGINYFGNDVLSSLRGLSSLKTLWMDGNQLNGFFDLKELDTMSNLEELSLERNNIIKFVGSRGMRSLRNLRKLYLSDIVTIKGSSMLLESLGALAHLEILDLSGSTFEGATLSLGASTNLKTLRMSSVDLKGTRFAQVSNLTNLRELYLDDSSVDGNFLQSLETLPLLETLLMQSCKFSGIIPVNQGSNLTNLRELYLDHSSVHENLLQSLEALPSLETLSMQDCELSGIIPVNQGICKLKHLQMLDISHNDLSGNLLLCLANLTSLRQLDLSFNHFIGNFSSSPLGGLTNLEHLSLSNNLFQIPISLSPFFNHSKLKYIESRGNKIFAETDDQYLNPRFQLESFVLSDGGYHGVFPKFLYHQHNLQVVDLSHNQMREGFPSWLLQNNTKLEELYLINNSLSGPLKLPIHSHMNLSWLDISNNFFQGFIIPEIGTCLPRLTHLNMSGNGFSGSIPSSLGNMSLLEYLDLSNNKLSGNIPEDLTIGCVSLQELILSNNSLQGQIFSETSNLRFLYELQLDGNQFTGSIPHSLSNSSFLQVLDLRHNNLYGRIPRWLGNMHFLRVLDLSMNNISGSLPSNFCPSNIQEIFLSRSGLQGSLEDAFYGCSELIVLDLGHNNMTGSIPSWIGKFSQLRYLILGHNYIDGEIPVQLCNLKQLSLLDLSHNHLLGPILPCLRSTSNRTRKQGDSYTAPAPPVPTNEPLEFTTKSTSYSYQGRMLSYISGIDLSCNHLTGQIPIEIGYLNEIQVLNLSHNSLTGKIPASFSNLRQIESLDLSYNNLEGNIPPQLTELTFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRNCTEMVSPPSKSRTSIENEESNGFMDMGVFYISFGVAYSVVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFIIDNLAVLSKFRFCILRR >Manes.06G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20026002:20032736:-1 gene:Manes.06G065300.v8.1 transcript:Manes.06G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGLQLTTRGRGEDRFYQPAKARKAHYNQYNDQLRRAQSDVTASQSPFVKEKVEKLADREPENRTALEDSPKPVSVPAVEPVVSPLSNLERFLESITPSLPAQHLSKTTMRNWRTCDLEFQPYFVLGDLWESFKEWSAYGAGVPVILNDSDSVVQYYVPYLSGIQIYGESIKPCMKSRRLVEDSDSDFRDSSSDGSSDYEPERGIKGSREQWDHLHLTNVPLRVDRLSLRDQHTAHQEDFSSDEGESMDSQGCLLFEYLERDPPYSREPLADKLSDLALRFPGLKTLRSCDLLASSWISVAWYPIYRIPTGPTLKDLDACFLTYHFLHTPMAGSQAAPAPVVTYPSEMDGVPKMCLPVFGLASYKFKGSLWTPNGGYERQLANSLFQAADNWLRLLQVNHPDFVFFCRR >Manes.12G087433.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13025128:13026699:1 gene:Manes.12G087433.v8.1 transcript:Manes.12G087433.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPQKDAIRTSILSTKWRCKWLAVPQLVFDYPCNYKLSPTEKNKLAIAVYQALLLHHGPLIKFSFSVSDLDHNNSSDINHWLHFLSKNGIEDFCLRIWTGERHKLPYHFYSFQQLRLLNLYNCVFKPPSTFRGFEKLVKLELRNVVFAAERFGIFISNCPLLEELTLENCPHFFCLRLSSPNLKYLSFHGSFDSVNFKNTPVLQVVSISLNGMAEIAKYFKDRKTSNLVEIASSIPAIQDLAIENYFLKFLAMGGVHNRLMKTLHHLRILHLSGICFEKIEEVSCALCLIRSSPNLENLTIKTNAVMKPVVEHLRVEDFLDCSLDRLKIVKMQLIGGGQTELELMKCLLATSKRLEKLEIWPIQEKTFNRGFQILKELIRFRRASANAEILYLDPELGK >Manes.02G114800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8843510:8845373:-1 gene:Manes.02G114800.v8.1 transcript:Manes.02G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDKEASSSSHVLSVPSFPREDTPLLGNKPPLSSTFKTFANIFIAIVGAGVLGLPYTFKKTGWLMGSIMLFVVAFLTYYCMMLLVHTRRKLESIQGFSKIASFGDLGFAVCGPVGRFAVDAMIVLAQAGFCVSYLIFIANTLSYVFNRQSNEKILGFLSPKSLYIWGCFPFQLGLNSIPTLTHLAPLSIFADVVDLGAMGVVMVEDVVAFLKNKPVLEAFGGVSVFFYGLGVAVYSFEGIGMVLPLESETKNKEKFGKVLGLCMAFISVLYGGFGVLGYFAFGEATKDIITTNLGPGLVSNLVQFGLCVNLFFTFPLMMNPVYEVVERRFCESRYCLWLRWVVVFGVSMVALLVPNFADFLSLVGSSVCCALGFVLPALFHLIVFKEELGWNGLVIDATILIFGVVVAVTGTWSSLLEIFESRSS >Manes.14G003100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1495355:1500247:1 gene:Manes.14G003100.v8.1 transcript:Manes.14G003100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSQSANPLEPDPRVIQPCAVHSEPWWRSIGFNTVSSAVPAGNTSNLSSPDGPNGSLSNDDQSLSNGGVNEDDDDASKESQATASSQSVSVANCGQGNKSLHHLASSMTTMHDECLTQPPQLELVGHSIACASNPYQDPYYSGMMAAYGHQPMSYPHLIGLHHARMPLPQEVAQEPVYVNAKQYQGILRRRQARAKAELEKKLIKARKPYLHESRHQHAMRRARGTGGRFAKKIHADASNNTTEGTSNDPGPVLSSQSGSGSSSISEPLPSDSADRWIFPHGEQGTIASQVLVTSEAKNHVHGGTFYLKHNGLQTLTHHSLAGEKGEEGDCSGQQRGSISSNQASQRPLSIQ >Manes.14G003100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1495401:1499980:1 gene:Manes.14G003100.v8.1 transcript:Manes.14G003100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSQSANPLEPDPRVIQPCAVHSEPWWRSIGFNTVSSAVPAGNTSNLSSPDGPNGSLSNDDQSLSNGGVNEDDDDASKESQATASSQSVSVANCGQGNKSLHHLASSMTTMHDECLTQPPQLELVGHSIACASNPYQDPYYSGMMAAYGHQPMSYPHLIGLHHARMPLPQEVAQEPVYVNAKQYQGILRRRQARAKAELEKKLIKARKPYLHESRHQHAMRRARGTGGRFAKKIHADASNNTTEGTSNDPGPVLSSQSGSGSSSISEPLPSDSADRWIFPHGEQGTIASQVLVTSEAKNHVHGGTFYLKHNGLQTLTHHSLAGEKGEEGDCSGQQRGSISSNQASQRPLSIQ >Manes.14G003100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1495401:1499980:1 gene:Manes.14G003100.v8.1 transcript:Manes.14G003100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSQSANPLEPDPRVIQPCAVHSEPWWRSIGFNTVSSAVPAGNTSNLSSPDGPNGSLSNDDQSLSNGGVNEDDDDASKESQATASSQSVSVANCGQGNKSLHHLASSMTTMHDECLTQPPQLELVGHSIACASNPYQDPYYSGMMAAYGHQPMSYPHLIGLHHARMPLPQEVAQEPVYVNAKQYQGILRRRQARAKAELEKKLIKARKPYLHESRHQHAMRRARGTGGRFAKKIHADASNNTTEGTSNDPGPVLSSQSGSGSSSISEPLPSDSADRWIFPHGEQGTIASQVLVTSEAKNHVHGGTFYLKHNGLQTLTHHSLAGEKGEEGDCSGQQRGSISSNQASQRPLSIQ >Manes.14G003100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1495355:1500523:1 gene:Manes.14G003100.v8.1 transcript:Manes.14G003100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSQSANPLEPDPRVIQPCAVHSEPWWRSIGFNTVSSAVPAGNTSNLSSPDGPNGSLSNDDQSLSNGGVNEDDDDASKESQATASSQSVANCGQGNKSLHHLASSMTTMHDECLTQPPQLELVGHSIACASNPYQDPYYSGMMAAYGHQPMSYPHLIGLHHARMPLPQEVAQEPVYVNAKQYQGILRRRQARAKAELEKKLIKARKPYLHESRHQHAMRRARGTGGRFAKKIHADASNNTTEGTSNDPGPVLSSQSGSGSSSISEPLPSDSADRWIFPHGEQGTIASQVLVTSEAKNHVHGGTFYLKHNGLQTLTHHSLAGEKGEEGDCSGQQRGSISSNQASQRPLSIQ >Manes.14G003100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1495355:1500523:1 gene:Manes.14G003100.v8.1 transcript:Manes.14G003100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSQSANPLEPDPRVIQPCAVHSEPWWRSIGFNTVSSAVPAGNTSNLSSPDGPNGSLSNDDQSLSNGGVNEDDDDASKESQATASSQSVANCGQGNKSLHHLASSMTTMHDECLTQPPQLELVGHSIACASNPYQDPYYSGMMAAYGHQPMSYPHLIGLHHARMPLPQEVAQEPVYVNAKQYQGILRRRQARAKAELEKKLIKARKPYLHESRHQHAMRRARGTGGRFAKKIHADASNNTTEGTSNDPGPVLSSQSGSGSSSISEPLPSDSADRWIFPHGEQGTIASQVLVTSEAKNHVHGGTFYLKHNGLQTLTHHSLAGEKGEEGDCSGQQRGSISSNQASQRPLSIQ >Manes.14G003100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1495355:1500247:1 gene:Manes.14G003100.v8.1 transcript:Manes.14G003100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKSQSANPLEPDPRVIQPCAVHSEPWWRSIGFNTVSSAVPAGNTSNLSSPDGPNGSLSNDDQSLSNGGVNEDDDDASKESQATASSQSVSVANCGQGNKSLHHLASSMTTMHDECLTQPPQLELVGHSIACASNPYQDPYYSGMMAAYGHQPMSYPHLIGLHHARMPLPQEVAQEPVYVNAKQYQGILRRRQARAKAELEKKLIKARKPYLHESRHQHAMRRARGTGGRFAKKIHADASNNTTEGTSNDPGPVLSSQSGSGSSSISEPLPSDSADRWIFPHGEQGTIASQVLVTSEAKNHVHGGTFYLKHNGLQTLTHHSLAGEKGEEGDCSGQQRGSISSNQASQRPLSIQ >Manes.05G118952.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14684085:14698077:1 gene:Manes.05G118952.v8.1 transcript:Manes.05G118952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKKFIPRKNFRKEKGESSKKEVVICYECNKPGHCKVDCPKLKKPIKFKKKAFKVIWDESSDTEEEEVGDEIANMCSMALEESSNEVTILDDSTLCDDVVEFSYDELVGALKLMNDELEKSHKKNKILKCELASFKKESENSPKEPLPSNDSLQKSLDELSLENKNLKNEILELKISLSKFLKGKDKLDEILDSQRSPSIKYGLGYDKSTQANSSKTVFVKATNSNESKVSSSNGCMPKVSSSNMSIRNAHVHKSTSYNTHIRHTPRQFAYKRNDHYRTHTSSSQNHHSNHISCSHAFNKQGRNGHMRTQTHSLTYGPRIRRFNGHCHYCGKFGHTNYKCTIRKLHLRYGSIWKLDSGMTNPQGPKYIWVPKSV >Manes.01G197500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37140511:37146590:1 gene:Manes.01G197500.v8.1 transcript:Manes.01G197500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSLSLTLSSDPQPHQKLPFVFSSPNPKHIFFPPHKPYRFNFKSYSSSSSSSPSPSSHPSSPSTTTTETTSASATYSNLEDSFKTSRFLSNEELEKLQTLQNFRYYQELETGSMWVRVMRLEEMDITVKLLAESFAESMLLPVGYVSLLRFLVKQYLVERRAAMPHAVTLVGFYRRKQDVNGDEKEEEEKEEMAGTVEVCFDKRGANASPPTPTPPKNSPYICNMTVKEPLRRRGIGWNLLKASEELISQMNCIREVYLHCRMIDSAPFNMYTKAGYNVVKTDSVLVLLMLQRRKHLMCKKFPALKNPSELDFLDSDMEISPQLDTQRP >Manes.01G197500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37140362:37144915:1 gene:Manes.01G197500.v8.1 transcript:Manes.01G197500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSLSLTLSSDPQPHQKLPFVFSSPNPKHIFFPPHKPYRFNFKSYSSSSSSSPSPSSHPSSPSTTTTETTSASATYSNLEDSFKTSRFLSNEELEKLQTLQNFRYYQELETGSMWVRVMRLEEMDITVKLLAESFAESMLLPVGYVSLLRFLVKQYLVERRAAMPHAVTLVGFYRRKQDVNGDEKEEEEKEEMAGTVEVCFDKRGANASPPTPTPPKNSPYICNMTVKEPLRRRGIGWNLLKASEELISQMNCIREVYLHCRMIDSAPFNMYTKAGYNVVKTDSVLVLLMLQRRKHLMCKKFPALKNPSELDFLDSDMEISPQLDTQRP >Manes.10G152800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31951945:31953009:-1 gene:Manes.10G152800.v8.1 transcript:Manes.10G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGNYSSPYSQQPPLPIHLCFFLLILLLLISFSWYMNYEPVLESMFDQVKLVLMVSPLLLLLVVHWLSNNESRRLSYFIPLPEKDSLHRAGGTPWGVGFLLVFLFFMISYQSSFQERWFPLLSR >Manes.17G072100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27199643:27205901:1 gene:Manes.17G072100.v8.1 transcript:Manes.17G072100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEIFLATFLQVLFSRLASLELLKFASLVGIQKKLQKWAQMLSAIQAVLEDAEEKQLTDKAVKLWLDNLRDLAYDVEDMLDEFAVEAMRCKLKGSDEASKNQVQKLVPACCNIYRAKAVKFSLRMGSKMDRITSRLADILKQKIQLGLEKIPGGQSTNQWQRLPSTSVPTETQVYGRSEDKEKMLDWLLRDESNDVNFQVISIVGMGGVGKTTLAQLLYNDDAVGHFNPKAWVCVSEEFDVLRITRTILESATSLPCDLKDFNQIQVKLRDALAGRKFLIVLDDVWNKNYSEWNRLQPPFRVGAPGSKIIVTTRDSNVALLMGTTKIHCLEQMSNDDCWSVFAQHAFENKDLTAQPNLEIIGRKIVKKCKGLPLAARTLGGLLRCKERDCEWEDILNSDLWSLSDEESEILPVLRLSYHHLPSQLKRCFSFCSVLPKDYEFEEKELVLLWMAEGLVQQPEENKQIEDVGGEYFCELLSRSLFQRSSRDQSKFVMHDLISDLAQWVAGDVSFTLEDKVDGNKMKISPKARYSSYICGQYDDLKKFEPFSDAKGLRTFLPFSPPYPGKSYLTSYVPSDLLPKLRCLRILSLSGYNITELPNSIGKLKHLRHLNLSHTNIRNIPESASSLHSLQTLLLRDCTLLKNLPAKMGNLINLRHLDITNGESIEGMPLGMNKLTNLQTLSDFVVGNDKGSSLKELMELSFLRGTLCISKLENVADASDAREANLKNKQGLDMLLLKWCSGFDNSRNERVENNVLDMLQPPTKLKELTIQCYGGTKFPIWLGDPSFREVVSIRLEKCENCTSLPPLGLLASLKDLSIIGMSGIKSIGAEFYGGKCSKPFPSLQILCFQDMREWELWVPYGTDNEEFPSLRDLWIENCPKLTGRLPNYLSSLEKLVILECEKLVVSIPSIPMLSKLQIKGCKEVAHGGLVNFSSPNSMVVSTISELTCLTEEFIQVLKNVEDLKVFGCKGLISLWQNDIKSRQWLTFLHNLVIEDCPKLVSLVAEEDEEQLQQSWGCELQSLEIRRCESLVMLPQFLHSLISLRELVIESCPKFISFPGAGLPPMLRGIRIKNCSALGPLPAAVIWNSACLENLYIEGCCSLMSFARSQRLDI >Manes.12G147200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36804317:36808815:1 gene:Manes.12G147200.v8.1 transcript:Manes.12G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGEKRLNELGYRQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLLYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPRWGPLASWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLSTGTNKDGGYFAPKWLFLCIYMGLTVIWAVLNTFALEVIAFIDVISIWWQVIGGLVIVIMLPLVALSRKSGSYVFTHFEMAPESTGISSKPYAVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPISILTSIGIISVFGWAYILALTFSIQDFNYLYDTTNETAGAFVPAQILYDAFHGRYHNSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDQGIPFSSVWRQVHPKHKVPSNAVWLCAAVCILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARMVMAEKNFKAGPFYLGKARRPICFIAFLWICYTCSVFLLPTYYPISWDNFNYSPVALGIVLSLIMLWWVLDARKWFKGPVRNIEIPNEKV >Manes.03G180900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30304743:30315216:-1 gene:Manes.03G180900.v8.1 transcript:Manes.03G180900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARNYHSQGGTLHDDRWSSFNRNNYNNRNRSMNTNRTNSYNHNWNYNHFHDFPGNFRDHINNAYNYVNIPSGAPSLKRRKFSAGTWGDVGRHFVKHISYETTVPSTCNNSVPPARSNAETSTSTSCKRDRTKLEDDEPVFMSRDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTVGTAMVLCHRFFVRRSHACHDRFLIATAAIFLASKSEESPRPLNNVLRASCEIFHKQDMTFLSCLLPVDWFEQYRERVIEAEQMILTTLNFELDVQHPYAPLTSVLNKLGFSQTVLVNLALNLVSEGLRSSLWLQFKPHHIAAGAAYLAARFLNFDLACHQNIWQEFQTTPAIIQDVAQQLMELF >Manes.03G180900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30311634:30314134:-1 gene:Manes.03G180900.v8.1 transcript:Manes.03G180900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARNYHSQGGTLHDDRWSSFNRNNYNNRNRSMNTNRTNSYNHNWNYNHFHDFPGNFRDHINNAYNYVNIPSGAPSLKRRKFSAGTWGDVGRHFVKHISYETTVPSTCNNSVPPARSNAETSTSTSCKRDRTKLEDDEPVFMSRDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTVGTAMVLCHRFFVRRSHACHDRFLIATAAIFLASKSEESPRPLNNVLRASCEIFHKQDMTFLSCLLPVDWFEQYRERVIEAEQMILTTLNFELDVQHPYAPLTSVLNKLGFSQTVLVNLALNLVSEGVYARLRAYYLAD >Manes.03G180900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30303498:30315229:-1 gene:Manes.03G180900.v8.1 transcript:Manes.03G180900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARNYHSQGGTLHDDRWSSFNRNNYNNRNRSMNTNRTNSYNHNWNYNHFHDFPGNFRDHINNAYNYVNIPSGAPSLKRRKFSAGTWGDVGRHFVKHISYETTVPSTCNNSVPPARSNAETSTSTSCKRDRTKLEDDEPVFMSRDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTVGTAMVLCHRFFVRRSHACHDRFLIATAAIFLASKSEESPRPLNNVLRASCEIFHKQDMTFLSCLLPVDWFEQYRERVIEAEQMILTTLNFELDVQHPYAPLTSVLNKLGFSQTVLVNLALNLVSEGLRSSLWLQFKPHHIAAGAAYLAARFLNFDLACHQNIWQEFQTTPAIIQDVAQQLMELF >Manes.03G180900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30306155:30315242:-1 gene:Manes.03G180900.v8.1 transcript:Manes.03G180900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARNYHSQGGTLHDDRWSSFNRNNYNNRNRSMNTNRTNSYNHNWNYNHFHDFPGNFRDHINNAYNYVNIPSGAPSLKRRKFSAGTWGDVGRHFVKHISYETTVPSTCNNSVPPARSNAETSTSTSCKRDRTKLEDDEPVFMSRDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTVGTAMVLCHRFFVRRSHACHDRFLIATAAIFLASKSEESPRPLNNVLRASCEIFHKQDMTFLSCLLPVDWFEQYRERVIEAEQMILTTLNFELDVQHPYAPLTSVLNKLGFSQTVLVNLALNLVSEGLRSSLWLQFKPHHIAAGAAYLAARFLNFDLACHQNIWQEFQTTPAIIQDVAQQLMELF >Manes.03G180900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30303498:30315216:-1 gene:Manes.03G180900.v8.1 transcript:Manes.03G180900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARNYHSQGGTLHDDRWSSFNRNNYNNRNRSMNTNRTNSYNHNWNYNHFHDFPGNFRDHINNAYNYVNIPSGAPSLKRRKFSAGTWGDVGRHFVKHISYETTVPSTCNNSVPPARSNAETSTSTSCKRDRTKLEDDEPVFMSRDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTVGTAMVLCHRFFVRRSHACHDRFLIATAAIFLASKSEESPRPLNNVLRASCEIFHKQDMTFLSCLLPVDWFEQYRERVIEAEQMILTTLNFELDVQHPYAPLTSVLNKLGFSQTVLVNLALNLVSEGLRSSLWLQFKPHHIAAGAAYLAARFLNFDLACHQNIWQEFQTTPAIIQDVAQQLMELF >Manes.03G180900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30304727:30315241:-1 gene:Manes.03G180900.v8.1 transcript:Manes.03G180900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARNYHSQGGTLHDDRWSSFNRNNYNNRNRSMNTNRTNSYNHNWNYNHFHDFPGNFRDHINNAYNYVNIPSGAPSLKRRKFSAGTWGDVGRHFVKHISYETTVPSTCNNSVPPARSNAETSTSTSCKRDRTKLEDDEPVFMSRDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTVGTAMVLCHRFFVRRSHACHDRFLIATAAIFLASKSEESPRPLNNVLRASCEIFHKQDMTFLSCLLPVDWFEQYRERVIEAEQMILTTLNFELDVQHPYAPLTSVLNKLGFSQTVLVNLALNLVSEGLRSSLWLQFKPHHIAAGAAYLAARFLNFDLACHQNIWQEFQTTPAIIQDVAQQLMELF >Manes.03G180900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30312147:30314134:-1 gene:Manes.03G180900.v8.1 transcript:Manes.03G180900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFARNYHSQGGTLHDDRWSSFNRNNYNNRNRSMNTNRTNSYNHNWNYNHFHDFPGNFRDHINNAYNYVNIPSGAPSLKRRKFSAGTWGDVGRHFVKHISYETTVPSTCNNSVPPARSNAETSTSTSCKRDRTKLEDDEPVFMSRDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTVGTAMVLCHRFFVRRSHACHDRFLIATAAIFLASKSEESPRPLNNVLRASCEIFHKQDMTFLSCLLPVDWFEQYRERVIEAEQMILTTLNFELDVQHPYAPLTSVLNKLGFSQTVLVNLALNLVSEG >Manes.13G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5788397:5801681:1 gene:Manes.13G050900.v8.1 transcript:Manes.13G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKQLLDPEEVDVVQPLDKDKGMTLEDFKLIKMNMANYIWKLAQNVKVRQRVVATAVTYMRRVYTRKSMSEYDPRLVAPTCLYLAAKAEESTVQARLLVFYIKKLYSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRSLSQLLQDAGINDTSMIQLSWGLVNDTYKMDLILIHPPHLIALACIYIASVYREKDITAWFEELRVDMNVVKNISMEILDFYESHRLITDERINIAFSKLALKP >Manes.14G032600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2934277:2936324:-1 gene:Manes.14G032600.v8.1 transcript:Manes.14G032600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEVIKRSKRKHVRQPPAVPFLWEESPGVAKKHWRPVIYSVTTLALPSPEKLVASAPFSWEEKPRKPIPGFSQPPMESALTTWQDSQQHDDYNFDNAGTDGSDHHIDKEVTFDSDLESFSFETDYSFSSLPSLLANCLVLSSAVSTAVPVLKTSSNNDINDQLESSSSPASEIDSRSSYETGISSLTRASFLDCFSPLYTPNSAFLGKEEYSKHGSNPSLELNNIHFHHESDSNTVAKRTATLGELITMSRRSRRRKAVQMGTQNLSMECLLQLK >Manes.14G032600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2934619:2936324:-1 gene:Manes.14G032600.v8.1 transcript:Manes.14G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEVIKRSKRKHVRQPPAVPFLWEESPGVAKKHWRPVIYSVTTLALPSPEKLVASAPFSWEEKPRKPIPGFSQPPMESALTTWQDSQQHDDYNFDNAGTDGSDHHIDKEVTFDSDLESFSFETDYSFSSLPSLLANCLVLSSAVSTAVPVLKTSSNNDINDQLESSSSPASEIDSRSSYETGISSLTRASFLDCFSPLYTPNSAFLGKEEYSKHGSNPSLELNNIHFHHESDSNTVAKRTATLGELITMSRRSRRRKAVQMGTQNLSMEFKNGKAFSCYLFGAGINMIGVLQRKMDHPKLKLT >Manes.11G141800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30706211:30709733:-1 gene:Manes.11G141800.v8.1 transcript:Manes.11G141800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRKDFSTQVYSRYSFLMHACFSYHSKSFCSSAFPLLTYLNHYSKSYCLFNATVNSFNCMNVLARTHIAPTSFLPSNAKFRGFLCSFYSTRAPSRSYRRRQSKRLKASRKSVLDETKFQEAISQLPSRFTNEELCNVLTLQDNALVCFDIFNWASQQPRFRHDASTFHVTIKKLGVAKMYQEMDDVVNQVLAVPRICNEPLYNTIIYFFTEARKLTRAVNIFNHMRNGPNLDCRPSIRTYNILFTAMLSRGKNSYINHIYMETIRCLFKQMVNDGIEPDIYSLNSMIKGYVLSLHVNDALRIFHQMDVVYNCLPNSYSYDYLIYGLCAQGRTNNARELCDEMKRKGFVPSSKSYNSIVNALALGGEVEEAMNYLWEMIGKHRSPDLITYRTLLDEICRQGRIREAVDLLKEWGEKNLVDGPTYRKLECVLEDDWKFK >Manes.11G141800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30706211:30709733:-1 gene:Manes.11G141800.v8.1 transcript:Manes.11G141800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRKDFSTQVYSRYSFLMHACFSYHSKSFCSSAFPLLTYLNHYSKSYCLFNATVNSFNCMNVLARTHIAPTSFLPSNAKFRGFLCSFYSTRAPSRSYRRRQSKRLKASRKSVLDETKFQEAISQLPSRFTNEELCNVLTLQDNALVCFDIFNWASQQPRFRHDASTFHVTIKKLGVAKMYQEMDDVVNQVLAVPRICNEPLYNTIIYFFTEARKLTRAVNIFNHMRNGPNLDCRPSIRTYNILFTAMLSRGKNSYINHIYMETIRCLFKQMVNDGIEPDIYSLNSMIKGYVLSLHVNDALRIFHQMDVVYNCLPNSYSYDYLIYGLCAQGRTNNARELCDEMKRKGFVPSSKSYNSIVNALALGGEVEEAMNYLWEMIGKHRSPDLITYRTLLDEICRQGRIREAVDLLKEWGEKNLVDGPTYRKLECVLEDDWKFK >Manes.11G141800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30706211:30709733:-1 gene:Manes.11G141800.v8.1 transcript:Manes.11G141800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRKDFSTQVYSRYSFLMHACFSYHSKSFCSSAFPLLTYLNHYSKSYCLFNATVNSFNCMNVLARTHIAPTSFLPSNAKFRGFLCSFYSTRAPSRSYRRRQSKRLKASRKSVLDETKFQEAISQLPSRFTNEELCNVLTLQDNALVCFDIFNWASQQPRFRHDASTFHVTIKKLGVAKMYQEMDDVVNQVLAVPRICNEPLYNTIIYFFTEARKLTRAVNIFNHMRNGPNLDCRPSIRTYNILFTAMLSRGKNSYINHIYMETIRCLFKQMVNDGIEPDIYSLNSMIKGYVLSLHVNDALRIFHQMDVVYNCLPNSYSYDYLIYGLCAQGRTNNARELCDEMKRKGFVPSSKSYNSIVNALALGGEVEEAMNYLWEMIGKHRSPDLITYRTLLDEICRQGRIREAVDLLKEWGEKNLVDGPTYRKLECVLEDDWKFK >Manes.11G141800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30706211:30709733:-1 gene:Manes.11G141800.v8.1 transcript:Manes.11G141800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRKDFSTQVYSRYSFLMHACFSYHSKSFCSSAFPLLTYLNHYSKSYCLFNATVNSFNCMNVLARTHIAPTSFLPSNAKFRGFLCSFYSTRAPSRSYRRRQSKRLKASRKSVLDETKFQEAISQLPSRFTNEELCNVLTLQDNALVCFDIFNWASQQPRFRHDASTFHVTIKKLGVAKMYQEMDDVVNQVLAVPRICNEPLYNTIIYFFTEARKLTRAVNIFNHMRNGPNLDCRPSIRTYNILFTAMLSRGKNSYINHIYMETIRCLFKQMVNDGIEPDIYSLNSMIKGYVLSLHVNDALRIFHQMDVVYNCLPNSYSYDYLIYGLCAQGRTNNARELCDEMKRKGFVPSSKSYNSIVNALALGGEVEEAMNYLWEMIGKHRSPDLITYRTLLDEICRQGRIREAVDLLKEWGEKNLVDGPTYRKLECVLEDDWKFK >Manes.11G141800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30706211:30709733:-1 gene:Manes.11G141800.v8.1 transcript:Manes.11G141800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIRKDFSTQVYSRYSFLMHACFSYHSKSFCSSAFPLLTYLNHYSKSYCLFNATVNSFNCMNVLARTHIAPTSFLPSNAKFRGFLCSFYSTRAPSRSYRRRQSKRLKASRKSVLDETKFQEAISQLPSRFTNEELCNVLTLQDNALVCFDIFNWASQQPRFRHDASTFHVTIKKLGVAKMYQEMDDVVNQVLAVPRICNEPLYNTIIYFFTEARKLTRAVNIFNHMRNGPNLDCRPSIRTYNILFTAMLSRGKNSYINHIYMETIRCLFKQMVNDGIEPDIYSLNSMIKGYVLSLHVNDALRIFHQMDVVYNCLPNSYSYDYLIYGLCAQGRTNNARELCDEMKRKGFVPSSKSYNSIVNALALGGEVEEAMNYLWEMIGKHRSPDLITYRTLLDEICRQGRIREAVDLLKEWGEKNLVDGPTYRKLECVLEDDWKFK >Manes.10G017000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1766673:1769898:-1 gene:Manes.10G017000.v8.1 transcript:Manes.10G017000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRPPPAKPLDLELTIVSAKHLKNVNWKNGDLKAYAVFWVYPDRRLSTKSDDSGSTRPVWNERFTLPLPLSPQESYLTLEIFHSKPSETPKPLVGTLRLPLKELSDPDDSTRIRTFELTRPSGRPQGKIRVKLGFRERPLPPPPPPPVVSDYHHSIPPQNYYYSTAPMPPPPAPRDYRFGPTIPPPLSPSPPPPPPPYSSYHDGYHPYYSGYYSSAPPPPRPFFDRTGTYGGPSAPVDYSAYDQRQKGGKMGFGTGLAVGAVTGALGGLALEEGLKYEEKSAERVENDLAGRDDYSEYRVDY >Manes.16G064900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25207787:25214426:-1 gene:Manes.16G064900.v8.1 transcript:Manes.16G064900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASGKSSYRDRTQEFFSVAERLKKSFSSANNAASSSSTSNSAKPDATRSAVSIQSEFNKRASKIGFGIHQTSQKLAKLAKLAKRTSMFDDPTTEIQELTAVIKQDITALNAAVVDLQFLCNSQNESGNISSDTSTHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQLFSSTASKDSTNPFVRQRPLASRSAANASPASPPPWANGSASSSQLFPSKQTDVESQPLLQQQQQQQQRQQQQQMVPLQDSYMQSRAEALHNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDETLSNVEGAQGQLVRYLNSISSNRWLMIKIFFVLIVFLMIFLFFVA >Manes.08G170800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40492040:40500339:1 gene:Manes.08G170800.v8.1 transcript:Manes.08G170800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVGLLLCLWLLLCGSCLGRFVVEKNSLKVTSPDSVKGVYECAIGNFGVPQYGGTLVGTVVYPKANQKACKAFDEVNISFKSKPGGLPTFLLADRGDCYFTLKAWNAQNGGAAAILVSDDKNEPLITMDTPEEENVNADYLQKINIPSALISKSLGDSIKKALSSGEMININLDWREALPHPDERVEYEFWTNSNDECGPKCDSQMEFVRNFKGAAQILELKGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSRGYDGKDVVVQNLRQACFFKVANESEKSYLWWDYVTDFAIRCPMKDKKYTKECADEVIKSLGVDLKKIDKCIGDTEADVENPVLKAEQDAQIGKGSRGDVTILPTLVINSRQYRGKLDKGAVLKAICAGFQETTEPAICLSEDIETNECLENNGGCWQDKASNLTACKDTFRGRVCECPVVRGVKFVGDGYTHCEASGALRCEINNGGCWKQTRDGRTFSACVEDNSQGCKCPPGFRGDGVNSCEDVDECKEKTACQCPECKCKNKWGSYDCSCGSGLLYMQEHDTCISKDAKAEVSWSFVWIIILGLATTGVAGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQGDIPVHHAPRGDI >Manes.09G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32587543:32589441:1 gene:Manes.09G123700.v8.1 transcript:Manes.09G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCCPENLPYNQRKAIDELIRDKLIRGREFANQLKVIFSDSIGDGSARAEDLVVKVVNTFTSTLSILNGVESDEVSQFPASIHVGSPCYDGRKSEDSGESIRSTSKMRDRRECYKRRKASHSWIKDSPDLQDDGHAWRKYGQKVILNAKFPRNYFRCTHKFDQGCQATKQVQRIEEEPPMYRTTYSGHHTCKNLLRASQLMLDVPGVTDSSILLSFNDNGHGHHHDNITNICDSPFLSSFQSIKHHISHNESYSSHHYLVSPDDHLSKLDLEDVICGVHSSCTNNSSITHQSSGVDMIVTSVDSHLDEVLAYFDS >Manes.10G042600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4398223:4400608:-1 gene:Manes.10G042600.v8.1 transcript:Manes.10G042600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEALHALKTYRQLLKAIKKHIGKEDYKKHFSEFIMLEFQKNSNLSDQSSIQQKIKLAHDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKRILGKSAASVGLQLPDVYQS >Manes.10G042600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4398287:4400592:-1 gene:Manes.10G042600.v8.1 transcript:Manes.10G042600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEALHALKTYRQLLKAIKKHIGKEDYKKHFSEFIMLEFQKNSNLSDQSSIQQKIKLAHDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKRILGKSAASVGLQLPDVYQS >Manes.10G042600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4397878:4400649:-1 gene:Manes.10G042600.v8.1 transcript:Manes.10G042600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEALHALKTYRQLLKAIKKHIGKEDYKKHFSEFIMLEFQKNSNLSDQSSIQQKIKLAHDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKRILGKSAASVGLQLPDVYQS >Manes.10G042600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4398287:4400592:-1 gene:Manes.10G042600.v8.1 transcript:Manes.10G042600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEALHALKTYRQLLKAIKKHIGKEDYKKHFSEFIMLEFQKNSNLSDQSSIQQKIKLAHDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKRILGKSAASVGLQLPDVYQS >Manes.10G042600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4397966:4400610:-1 gene:Manes.10G042600.v8.1 transcript:Manes.10G042600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEALHALKTYRQLLKAIKKHIGKEDYKKHFSEFIMLEFQKNSNLSDQSSIQQKIKLAHDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKRILGKSAASVGLQLPDVYQS >Manes.10G042600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4398552:4399262:-1 gene:Manes.10G042600.v8.1 transcript:Manes.10G042600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEALHALKTYRQLLKAIKKHIGKEDYKKHFSEFIMLEFQKNSNLSDQSSIQQKIKLAHDYTFLLNSVHHHKDLLFSYNIAVDRSDEMKRILGKSAASVGLQLPDVYQS >Manes.10G024550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2471062:2497113:1 gene:Manes.10G024550.v8.1 transcript:Manes.10G024550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDKLVRNLTEIRELHLSWVNMSMVEPSSLMNISSYLSSLELPYCELKGKFPDNIIQRSNLQLLDLFGNEDLNGSGGLTIEPMVFDKLVRNLTKIRDLAFGDVNMSMVEPSSLTNISSHLSSLRLGICELKGKFPDNIIQRTNLQLLDLFGNEDLNGSLPIDLSGNIIDKLVRNLTKIRDLAFGDVNMSMVEPSSLTNISSHLSSLRLGICELKGKFPDNIIQRTNLQLLDLFGNEDLNGSLPRHNWNNSLRSLSLSFTQFPIYLDYDFISNLKSLETLELSSCNFRVSNLKLLGKLTRLILLDINSNNFNGQIPSSLGSFKRLSYLYLFNNNFSGQIPSSLGSLKRLFYLDLSNNNFSGQIPSSLESLKRLPYLDLSNNNFSGQIPSSLGSLKRLFYLHLSNNNFSGGIPSSFKNLKQLYTLGLQNNQLTGRLGPFQDNPLRYIDLSNNMLHGSIPSSILKLADLNVLILSSNKLIGEVSSAVCKLNSLQILDLSNNSLNGFIPQCLGNFSNDLSVLHLGMNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMGQPNYSYDYSVSLTLKGVVIELVRIQTLLTTIDLSGNKFTGEIPQSIGKLKALKLLNLSHNQLTGNIQPSLRELSNLESLDLSSNFLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDKSSYEGNLGLCGFPLEKCNNGERQKPEISKEDDSNSKFGFGWQPVAAGYGCGVIFGIAMGYRVFKTRKPIWFVRIVHKDVQSQKDSK >Manes.15G017800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1511129:1533989:1 gene:Manes.15G017800.v8.1 transcript:Manes.15G017800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPSHNVEFEAAKFLQKLIQDSKDEPAKLATKLYVILQHMKASGKEHSMPYQVISRAMETVINQHGLDIEALMSSRLPLTSGSQMGDSAGSSHAVGVAKDSKVGLAENEISKIDPFASTRPPVGPSGAGAGHDYYQGSGTHRSSQSFDHESPSSLDTRSANSQSQERGVNQKDGKKSAAKRKRGDSSFPSEPHIDNQPQLDSRNAVVNQRKAKTNKIDSPGGFSARGGENTGQLELSTSHVSTGQQQGASLPSAHETLSSRSAWNQNKTGLPLERSQVPRFSSNSMPGNMTSEISVQQSMTSGPVSKVHGGMHVTSSSYPIGELGFSGQVHFSGSELQKHGLAKASVTASPSEKAIEAHFSPANRVEELPASLSTARVLENDGGSSNMFGDVNKIIQSGRQNNISEMTMVRPAAPRDVGKSPVSQSSNPFRDQQLKQLRAQCLVFLAFRNGLVPKKLHLELALGNIFPKDGGNSDGLRKELVDHKGKAQSSHEPTSIPEASMPSGGLNNTKESEGVPPGASSTGRFLDGNSSKEVDKVKMMEDKSVPPSDFSGHAEERKHPFATRKLEGEVQSQERVESQALFNAVNVMQPVDSERAPVASRNPVDSTENGNLQVGRADLSSSLMSINRQVNPEAVSWTGIGSHNEVPRGSLGSIAVQHELVPDRKDNGPNHFQSLGNSSVSEQDDEDKSASTDSPPSPKYTMSEKWIMDQQKKKLLAEQNWALKQQNTRQRMTSCFNKLKETVNSSEDISAKTKSVIELKKLQLLGLQRRLRSDFLSDFFKPITADMDRLKSYKKHKHGRRIKQLEKFELKMKEERQKRIRERQKEFFAEIEVHKERLDDVFKIKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEARPMARHFENDMDEARTANIVEKNETAFENEDESDQAKHYMESNEKYYMMAHSIKESITEQPASLRGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEITFWAPGVHKIVYSGPPEERRRLFKEQIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSAHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFQSNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCDASAYQKLLMKRVEENLGSIGNSKARSVHNSVMELRNICNHPYLSQLHVDEVDNLIPKHFLPPIVRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTMKKYRYLRLDGHTSGNDRGALIDQFNQTDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSESEIDVFESVDKQRLEEEKATWKSLLLGQGRDVTEPLPPLPSRLVTDDDLKAFYEVMKLYDVPKAEEVSNVGVKRKGQKLGGLDTQRYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPESPRVKEEIIERNMPKDASVPSVAINNPEAQALLPSLPPPQSMEPPLLQQSKEVTPPSKRGRGRPRRTISDKSPTAMALPATSGNGKVDVGLQKGIESISIKPFASNTSTDSSNLGGISGMTSQSAIGISPSPQPITPSVSVIPSSQSTASAPVTAGSQSISAAVVTASSHSISAAAVVTPSLQSIPDTPGSESIPATVVTPGSQSIPAAFVAPVSQPIPAAFVAPESQANPADPSVVHIQSRGRGRKVQSGVQAPRRRGKKQGPILSAPGNLAALDPSANEQSQNTSVNPLVSATSGTISSAPVGHSNIPISAAVEVISGPAHHSVPGIALDSKSTPPLSSVTSAAECPPSGPSAPLQLKGLSSNNTQTGAGTPRRRGRKQAPLSAAISDAFVSQISMSEPVSQNKSEESGSKAIVLTSNQKNYDCELRDVNQEQASRTAGQDQKSTEHLYDTTQNQQPVCSSKLNDGVARPMGTSPGQGSDMHDVATVTKELSAENILAKANFGDQGNDGGVAQNVSVSSKTLMDGACESSEVKVSPVIGCPAESSPSSVVVEAMGKSTHQVAVKIASDSQPTASYPSLTPASQSIPPEPMQVKRQGRKAPNRAETPRRRGRKQGPVSPPTDGLVVQDQKMSPQSQNKSRDSSGSKVMNLRTKQESDLNDPANVPQEAYLSSGLVGQDPKRKEASGIPAFGRIQTDAVTDVARVMKEIFSETCSSKNKLGESSKGEFKNAPIIAVVSKSVVEVPKSQSSEEKIPSTVPTLVTEAKVVGTSIDSCRQSGLGVGVIVEKAHAPASGVNMEVTSTVAVSIGEKEIIPSCRPAVHKLPGPSPASSGNEVDSTLACQGPEEPSGMTQDGSGNETVPSSEELTKSSPLDGSSLEHPKVSVGAADAGKSPMATLPMGPFDHNLIKKTEVSAKASPLHGIERPTISSDPDCVSSASGLSTQMISSPRADSVPGSIPILSKAPSGSIIEPSAQESPDARSIQGSTSCVKSSGVGGHHTESASISVNSCSPRNVKSSDTTSIDPKDEIRLSLEESPALRAQPSSKEPPADKAAVFIGETAVECPEASEKTNNLGAVLLHGVVTACRTTDTFGKAFSDHEKSEDKSSHIEDKGDPLSEPLQPVSSECIEGDHVDIITEDHEPAVRNSEEDRVDVCSMEIDPSEIQELPPEDFVSTSSNVQNISEGTSGMQLPLGGTGGDHLEVNDVEEQISLPESAVSFSANAGKDNSGAEVKPEVGRSKGECIAGSNAEAILSEALASLPNDVSAKSNDTEDVHHMEALQLDGVELQTISKNIPPTSPVAEEGKFDDICERGLVGCSLAQGETQGSEAGMANQADASEVGMILPQDLSENMVLSSSQLTIEEEKKGSSERVLSGSPITPEESKRSETEIIHQMDVSSDIVLPLISTPNVVLPSASLAREEQKTKSASEKGLVHSPVLEEAKGTEAENCYRMGESEVASTVPECTSENLNVPSCPLAMEEDKADDSSERGLFCSLVVPAESKGSEFEKNNENDVAEVGDVVPEIISANMVLSSFSHALEDGKIEGSSGMNKSCSPEDDKCKGLSEMNRSSGLAAEEPKGSENEKSSALEDDKCKGISEMKTSSSLAGEEPKGSENEKSSALEDDKCKGISEMKISSSLAGEEPKGSETEKSNAVEDDTSKSLDEMSRSGNSATEETEVLKNEKSKGMIVSQVVGIVPETTLDSDGQPISLSVMEDEKIEGVSDGQPTSLSVMEGEKIEGASEKSSHAILVRVGDSKSEIEDNQPMAESQVDMIEPEDSQAGNVVLDDKSGNVNLSTSSSSVMHEENFEDSLCKVLGESKMSETEIDGHGGMAENLDLRSSLATEGETVNVSSETGTVDSLIASMDSEGSKIEVGDQVSRSEAGGIVPEKLSADIGSPSSSLVIQDEKTDSSGKDTVGASVAGEKSQESLAE >Manes.15G017800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1511132:1533989:1 gene:Manes.15G017800.v8.1 transcript:Manes.15G017800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPSHNVEFEAAKFLQKLIQDSKDEPAKLATKLYVILQHMKASGKEHSMPYQVISRAMETVINQHGLDIEALMSSRLPLTSGSQMGDSAGSSHAVGVAKDSKVGLAENEISKIDPFASTRPPVGPSGAGAGHDYYQGSGTHRSSQSFDHESPSSLDTRSANSQSQERGVNQKDGKKSAAKRKRGDSSFPSEPHIDNQPQLDSRNAVVNQRKAKTNKIDSPGGFSARGGENTGQLELSTSHVSTGQQQGASLPSAHETLSSRSAWNQNKTGLPLERSQVPRFSSNSMPGNMTSEISVQQSMTSGPVSKVHGGMHVTSSSYPIGELGFSGQVHFSGSELQKHGLAKASVTASPSEKAIEAHFSPANRVEELPASLSTARVLENDGGSSNMFGDVNKIIQSGRQNNISEMTMVRPAAPRDVGKSPVSQSSNPFRDQQLKQLRAQCLVFLAFRNGLVPKKLHLELALGNIFPKDGGNSDGLRKELVDHKGKAQSSHEPTSIPEASMPSGGLNNTKESEGVPPGASSTGRFLDGNSSKEVDKVKMMEDKSVPPSDFSGHAEERKHPFATRKLEGEVQSQERVESQALFNAVNVMQPVDSERAPVASRNPVDSTENGNLQVGRADLSSSLMSINRQVNPEAVSWTGIGSHNEVPRGSLGSIAVQHELVPDRKDNGPNHFQSLGNSSVSEQDDEDKSASTDSPPSPKYTMSEKWIMDQQKKKLLAEQNWALKQQNTRQRMTSCFNKLKETVNSSEDISAKTKSVIELKKLQLLGLQRRLRSDFLSDFFKPITADMDRLKSYKKHKHGRRIKQLEKFELKMKEERQKRIRERQKEFFAEIEVHKERLDDVFKIKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEARPMARHFENDMDEARTANIVEKNETAFENEDESDQAKHYMESNEKYYMMAHSIKESITEQPASLRGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEITFWAPGVHKIVYSGPPEERRRLFKEQIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSAHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFQSNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCDASAYQKLLMKRVEENLGSIGNSKARSVHNSVMELRNICNHPYLSQLHVDEVDNLIPKHFLPPIVRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTMKKYRYLRLDGHTSGNDRGALIDQFNQTDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSESEIDVFESVDKQRLEEEKATWKSLLLGQGRDVTEPLPPLPSRLVTDDDLKAFYEVMKLYDVPKAEEVSNVGVKRKGQKLGGLDTQRYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPESPRVKEEIIERNMPKDASVPSVAINNPEAQALLPSLPPPQSMEPPLLQQSKEVTPPSKRGRGRPRRTISDKSPTAMALPATSGNGKVDVGLQKGIESISIKPFASNTSTDSSNLGGISGMTSQSAIGISPSPQPITPSVSVIPSSQSTASAPVTAGSQSISAAVVTASSHSISAAAVVTPSLQSIPDTPGSESIPATVVTPGSQSIPAAFVAPVSQPIPAAFVAPESQANPADPSVVHIQSRGRGRKVQSGVQAPRRRGKKQGPILSAPGNLAALDPSANEQSQNTSVNPLVSATSGTISSAPVGHSNIPISAAVEVISGPAHHSVPGIALDSKSTPPLSSVTSAAECPPSGPSAPLQLKGLSSNNTQTGAGTPRRRGRKQAPLSAAISDAFVSQISMSEPVSQNKSEESGSKAIVLTSNQKNYDCELRDVNQEQASRTAGQDQKSTEHLYDTTQNQQPVCSSKLNDGVARPMGTSPGQGSDMHDVATVTKELSAENILAKANFGDQGNDGGVAQNVSVSSKTLMDGACESSEVKVSPVIGCPAESSPSSVVVEAMGKSTHQVAVKIASDSQPTASYPSLTPASQSIPPEPMQVKRQGRKAPNRAETPRRRGRKQGPVSPPTDGLVVQDQKMSPQSQNKSRDSSGSKVMNLRTKQESDLNDPANVPQEAYLSSGLVGQDPKRKEASGIPAFGRIQTDAVTDVARVMKEIFSETCSSKNKLGESSKGEFKNAPIIAVVSKSVVEVPKSQSSEEKIPSTVPTLVTEAKVVGTSIDSCRQSGLGVGVIVEKAHAPASGVNMEVTSTVAVSIGEKEIIPSCRPAVHKLPGPSPASSGNEVDSTLACQGPEEPSGMTQDGSGNETVPSSEELTKSSPLDGSSLEHPKVSVGAADAGKSPMATLPMGPFDHNLIKKTEVSAKASPLHGIERPTISSDPDCVSSASGLSTQMISSPRADSVPGSIPILSKAPSGSIIEPSAQESPDARSIQGSTSCVKSSGVGGHHTESASISVNSCSPRNVKSSDTTSIDPKDEIRLSLEESPALRAQPSSKEPPADKAAVFIGETAVECPEASEKTNNLGAVLLHGVVTACRTTDTFGKAFSDHEKSEDKSSHIEDKGDPLSEPLQPVSSECIEGDHVDIITEDHEPAVRNSEEDRVDVCSMEIDPSEIQELPPEDFVSTSSNVQNISEGTSGMQLPLGGTGGDHLEVNDVEEQISLPESAVSFSANAGKDNSGAEVKPEVGRSKGECIAGSNAEAILSEALASLPNDVSAKSNDTEDVHHMEALQLDGVELQTISKNIPPTSPVAEEGKFDDICERGLVGCSLAQGETQGSEAGMANQADASEVGMILPQDLSENMVLSSSQLTIEEEKKGSSERVLSGSPITPEESKRSETEIIHQMDVSSDIVLPLISTPNVVLPSASLAREEQKTKSASEKGLVHSPVLEEAKGTEAENCYRMGESEVASTVPECTSENLNVPSCPLAMEEDKADDSSERGLFCSLVVPAESKGSEFEKNNENDVAEVGDVVPEIISANMVLSSFSHALEDGKIEGSSGMNKSCSPEDDKCKGLSEMNRSSGLAAEEPKGSENEKSSALEDDKCKGISEMKTSSSLAGEEPKGSENEKSSALEDDKCKGISEMKISSSLAGEEPKGSETEKSNAVEDDTSKSLDEMSRSGNSATEETEVLKNEKSKGMIVSQVVGIVPETTLDSDGQPISLSVMEDEKIEGVSDGQPTSLSVMEGEKIEGASEKSSHAILVRVGDSKSEIEDNQPMAESQVDMIEPEDSQAGNVVLDDKSGNVNLSTSSSSVMHEENFEDSLCKVLGESKMSETEIDGHGGMAENLDLRSSLATEGETVNVSSETVNVSSEKGTVDSLIASMDSEGSKIEVGDQVSRSEAGGIVPEKLSADIGSPSSSLVIQDEKTDSSGKDTVGASVAGEKSQESLAE >Manes.15G017800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1511124:1533989:1 gene:Manes.15G017800.v8.1 transcript:Manes.15G017800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPSHNVEFEAAKFLQKLIQDSKDEPAKLATKLYVILQHMKASGKEHSMPYQVISRAMETVINQHGLDIEALMSSRLPLTSGSQMGDSAGSSHAVGVAKDSKVGLAENEISKIDPFASTRPPVGPSGAGAGHDYYQGSGTHRSSQSFDHESPSSLDTRSANSQSQERGVNQKDGKKSAAKRKRGDSSFPSEPHIDNQPQLDSRNAVVNQRKAKTNKIDSPGGFSARGGENTGQLELSTSHVSTGQQQGASLPSAHETLSSRSAWNQNKTGLPLERSQVPRFSSNSMPGNMTSEISVQQSMTSGPVSKVHGGMHVTSSSYPIGELGFSGQVHFSGSELQKHGLAKASVTASPSEKAIEAHFSPANRVEELPASLSTARVLENDGGSSNMFGDVNKIIQSGRQNNISEMTMVRPAAPRDVGKSPVSQSSNPFRDQQLKQLRAQCLVFLAFRNGLVPKKLHLELALGNIFPKDGGNSDGLRKELVDHKGKAQSSHEPTSIPEASMPSGGLNNTKESEGVPPGASSTGRFLDGNSSKEVDKVKMMEDKSVPPSDFSGHAEERKHPFATRKLEGEVQSQERVESQALFNAVNVMQPVDSERAPVASRNPVDSTENGNLQVGRADLSSSLMSINRQVNPEAVSWTGIGSHNEVPRGSLGSIAVQHELVPDRKDNGPNHFQSLGNSSVSEQDDEDKSASTDSPPSPKYTMSEKWIMDQQKKKLLAEQNWALKQQNTRQRMTSCFNKLKETVNSSEDISAKTKSVIELKKLQLLGLQRRLRSDFLSDFFKPITADMDRLKSYKKHKHGRRIKQLEKFELKMKEERQKRIRERQKEFFAEIEVHKERLDDVFKIKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEARPMARHFENDMDEARTANIVEKNETAFENEDESDQAKHYMESNEKYYMMAHSIKESITEQPASLRGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEITFWAPGVHKIVYSGPPEERRRLFKEQIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSAHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFQSNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCDASAYQKLLMKRVEENLGSIGNSKARSVHNSVMELRNICNHPYLSQLHVDEVDNLIPKHFLPPIVRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTMKKYRYLRLDGHTSGNDRGALIDQFNQTDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSESEIDVFESVDKQRLEEEKATWKSLLLGQGRDVTEPLPPLPSRLVTDDDLKAFYEVMKLYDVPKAEEVSNVGVKRKGQKLGGLDTQRYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPESPRVKEEIIERNMPKDASVPSVAINNPEAQALLPSLPPPQSMEPPLLQQSKEVTPPSKRGRGRPRRTISDKSPTAMALPATSGNGKVDVGLQKGIESISIKPFASNTSTDSSNLGGISGMTSQSAIGISPSPQPITPSVSVIPSSQSTASAPVTAGSQSISAAVVTASSHSISAAAVVTPSLQSIPDTPGSESIPATVVTPGSQSIPAAFVAPVSQPIPAAFVAPESQANPADPSVVHIQSRGRGRKVQSGVQAPRRRGKKQGPILSAPGNLAALDPSANEQSQNTSVNPLVSATSGTISSAPVGHSNIPISAAVEVISGPAHHSVPGIALDSKSTPPLSSVTSAAECPPSGPSAPLQLKGLSSNNTQTGAGTPRRRGRKQAPLSAAISDAFVSQISMSEPVSQNKSEESGSKAIVLTSNQKNYDCELRDVNQEQASRTAGQDQKSTEHLYDTTQNQQPVCSSKLNDGVARPMGTSPGQGSDMHDVATVTKELSAENILAKANFGDQGNDGGVAQNVSVSSKTLMDGACESSEVKVSPVIGCPAESSPSSVVVEAMGKSTHQVAVKIASDSQPTASYPSLTPASQSIPPEPMQVKRQGRKAPNRAETPRRRGRKQGPVSPPTDGLVVQDQKMSPQSQNKSRDSSGSKVMNLRTKQESDLNDPANVPQEAYLSSGLVGQDPKRKEASGIPAFGRIQTDAVTDVARVMKEIFSETCSSKNKLGESSKGEFKNAPIIAVVSKSVVEVPKSQSSEEKIPSTVPTLVTEAKVVGTSIDSCRQSGLGVGVIVEKAHAPASGVNMEVTSTVAVSIGEKEIIPSCRPAVHKLPGPSPASSGNEVDSTLACQGPEEPSGMTQDGSGNETVPSSEELTKSSPLDGSSLEHPKVSVGAADAGKSPMATLPMGPFDHNLIKKTEVSAKASPLHGIERPTISSDPDCVSSASGLSTQMISSPRADSVPGSIPILSKAPSGSIIEPSAQESPDARSIQGSTSCVKSSGVGGHHTESASISVNSCSPRNVKSSDTTSIDPKDEIRLSLEESPALRAQPSSKEPPADKAAVFIGETAVECPEASEKTNNLGAVLLHGVVTACRTTDTFGKAFSDHEKSEDKSSHIEDKGDPLSEPLQPVSSECIEGDHVDIITEDHEPAVRNSEEDRVDVCSMEIDPSEIQELPPEDFVSTSSNVQNISEGTSGMQLPLGGTGGDHLEVNDVEEQISLPESAVSFSANAGKDNSGAEVKPEVGRSKGECIAGSNAEAILSEALASLPNDVSAKSNDTEDVHHMEALQLDGVELQTISKNIPPTSPVAEEGKFDDICERGLVGCSLAQGETQGSEAGMANQADASEVGMILPQDLSENMVLSSSQLTIEEEKKGSSERVLSGSPITPEESKRSETEIIHQMDVSSDIVLPLISTPNVVLPSASLAREEQKTKSASEKGLVHSPVLEEAKGTEAENCYRMGESEVASTVPECTSENLNVPSCPLAMEEDKADDSSERGLFCSLVVPAESKGSEFEKNNENDVAEVGDVVPEIISANMVLSSFSHALEDGKIEGSSGMNKSCSPEDDKCKGLSEMNRSSGLAAEEPKGSENEKSSALEDDKCKGISEMKTSSSLAGEEPKGSENEKSSALEDDKCKGISEMKISSSLAGEEPKGSETEKSNAVEDDTSKSLDEMSRSGNSATEETEVLKNEKSKGMIVSQVVGIVPETTLDSDGQPISLSVMEDEKIEGVSDGQPTSLSVMEGEKIEGASEKSSHAILVRVGDSKSEIEDNQPMAESQVDMIEPEDSQAGNVVLDDKSGNVNLSTSSSSVMHEENFEDSLCKVLGESKMSETEIDGHGGMAENLDLRSSLATEGETVNVSSETVNVSSEKGTVDSLIASMDSEGSKIEVGDQVSRSEAGGIVPEKLSADIGSPSSSLVIQDEKTDSSGKDTVGASVAGEKSQESLAE >Manes.15G017800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1511132:1533989:1 gene:Manes.15G017800.v8.1 transcript:Manes.15G017800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPSHNVEFEAAKFLQKLIQDSKDEPAKLATKLYVILQHMKASGKEHSMPYQVISRAMETVINQHGLDIEALMSSRLPLTSGSQMGDSAGSSHAVGVAKDSKVGLAENEISKIDPFASTRPPVGPSGAGAGHDYYQGSGTHRSSQSFDHESPSSLDTRSANSQSQERGVNQKDGKKSAAKRKRGDSSFPSEPHIDNQPQLDSRNAVVNQRKAKTNKIDSPGGFSARGGENTGQLELSTSHVSTGQQQGASLPSAHETLSSRSAWNQNKTGLPLERSQVPRFSSNSMPGNMTSEISVQQSMTSGPVSKVHGGMHVTSSSYPIGELGFSGQVHFSGSELQKHGLAKASVTASPSEKAIEAHFSPANRVEELPASLSTARVLENDGGSSNMFGDVNKIIQSGRQNNISEMTMVRPAAPRDVGKSPVSQSSNPFRDQQLKQLRAQCLVFLAFRNGLVPKKLHLELALGNIFPKDGGNSDGLRKELVDHKGKAQSSHEPTSIPEASMPSGGLNNTKESEGVPPGASSTGRFLDGNSSKEVDKVKMMEDKSVPPSDFSGHAEERKHPFATRKLEGEVQSQERVESQALFNAVNVMQPVDSERAPVASRNPVDSTENGNLQVGRADLSSSLMSINRQVNPEAVSWTGIGSHNEVPRGSLGSIAVQHELVPDRKDNGPNHFQSLGNSSVSEQDDEDKSASTDSPPSPKYTMSEKWIMDQQKKKLLAEQNWALKQQNTRQRMTSCFNKLKETVNSSEDISAKTKSVIELKKLQLLGLQRRLRSDFLSDFFKPITADMDRLKSYKKHKHGRRIKQLEKFELKMKEERQKRIRERQKEFFAEIEVHKERLDDVFKIKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEARPMARHFENDMDEARTANIVEKNETAFENEDESDQAKHYMESNEKYYMMAHSIKESITEQPASLRGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEITFWAPGVHKIVYSGPPEERRRLFKEQIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNADLKHYQSAHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFQSNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLIRCDASAYQKLLMKRVEENLGSIGNSKARSVHNSVMELRNICNHPYLSQLHVDEVDNLIPKHFLPPIVRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTMKKYRYLRLDGHTSGNDRGALIDQFNQTDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDILARSESEIDVFESVDKQRLEEEKATWKSLLLGQGRDVTEPLPPLPSRLVTDDDLKAFYEVMKLYDVPKAEEVSNVGVKRKGQKLGGLDTQRYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPESPRVKEEIIERNMPKDASVPSVAINNPEAQALLPSLPPPQSMEPPLLQQSKEVTPPSKRGRGRPRRTISDKSPTAMALPATSGNGKVDVGLQKGIESISIKPFASNTSTDSSNLGGISGMTSQSAIGISPSPQPITPSVSVIPSSQSTASAPVTAGSQSISAAVVTASSHSISAAAVVTPSLQSIPDTPGSESIPATVVTPGSQSIPAAFVAPVSQPIPAAFVAPESQANPADPSVVHIQSRGRGRKVQSGVQAPRRRGKKQGPILSAPGNLAALDPSANEQSQNTSVNPLVSATSGTISSAPVGHSNIPISAAVEVISGPAHHSVPGIALDSKSTPPLSSVTSAAECPPSGPSAPLQLKGLSSNNTQTGAGTPRRRGRKQAPLSAAISDAFVSQISMSEPVSQNKSEESGSKAIVLTSNQKNYDCELRDVNQEQASRTAGQDQKSTEHLYDTTQNQQPVCSSKLNDGVARPMGTSPGQGSDMHDVATVTKELSAENILAKANFGDQGNDGGVAQNVSVSSKTLMDGACESSEVKVSPVIGCPAESSPSSVVVEAMGKSTHQVAVKIASDSQPTASYPSLTPASQSIPPEPMQVKRQGRKAPNRAETPRRRGRKQGPVSPPTDGLVVQDQKMSPQSQNKSRDSSGSKVMNLRTKQESDLNDPANVPQEAYLSSGLVGQDPKRKEASGIPAFGRIQTDAVTDVARVMKEIFSETCSSKNKLGESSKGEFKNAPIIAVVSKSVVEVPKSQSSEEKIPSTVPTLVTEAKVVGTSIDSCRQSGLGVGVIVEKAHAPASGVNMEVTSTVAVSIGEKEIIPSCRPAVHKLPGPSPASSGNEVDSTLACQGPEEPSGMTQDGSGNETVPSSEELTKSSPLDGSSLEHPKVSVGAADAGKSPMATLPMGPFDHNLIKKTEVSAKASPLHGIERPTISSDPDCVSSASGLSTQMISSPRADSVPGSIPILSKAPSGSIIEPSAQESPDARSIQGSTSCVKSSGVGGHHTESASISVNSCSPRNVKSSDTTSIDPKDEIRLSLEESPALRAQPSSKEPPADKAAVFIGETAVECPEASEKTNNLGAVLLHGVVTACRTTDTFGKAFSDHEKSEDKSSHIEDKGDPLSEPLQPVSSECIEGDHVDIITEDHEPAVRNSEEDRVDVCSMEIDPSEIQELPPEDFVSTSSNVQNISEGTSGMQLPLGGTGGDHLEVNDVEEQISLPESAVSFSANAGKDNSGAEVKPEVGRSKGECIAGSNAEAILSEALASLPNDVSAKSNDTEDVHHMEALQLDGVELQTISKNIPPTSPVAEEGKFDDICERGLVGCSLAQGETQGSEAGMANQADASEVGMILPQDLSENMVLSSSQLTIEEEKKGSSERVLSGSPITPEESKRSETEIIHQMDVSSDIVLPLISTPNVVLPSASLAREEQKTKSASEKGLVHSPVLEEAKGTEAENCYRMGESEVASTVPECTSENLNVPSCPLAMEEDKADDSSERGLFCSLVVPAESKGSEFEKNNENDVAEVGDVVPEIISANMVLSSFSHALEDGKIEGSSGMNKSCSPEDDKCKGLSEMNRSSGLAAEEPKGSENEKSSALEDDKCKGISEMKTSSSLAGEEPKGSENEKSSALEDDKCKGISEMKISSSLAGEEPKGSETEKSNAVEDDTSKSLDEMSRSGNSATEETEVLKNEKSKGMIVSQVVGIVPETTLDSDGQPISLSVMEDEKIEGVSDGQPTSLSVMEGEKIEGASEKSSHAILVRVGDSKSEIEDNQPMAESQVDMIEPEDSQAGNVVLDDKSGNVNLSTSSSSVMHEENFEDSLCKVLGESKMSETEIDGHGGMAENLDLRSSLATEGETVNVSSETGTVDSLIASMDSEGSKIEVGDQVSRSEAGGIVPEKLSADIGSPSSSLVIQDEKTDSSGKDTVGASVAGEKSQESLAE >Manes.04G004900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:681987:686025:-1 gene:Manes.04G004900.v8.1 transcript:Manes.04G004900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVHPLFSILFLIVFAITISCRILIRRGGGAGFFSSIELESNVIVQPPPIPVFNTTLLKYAAIDIGEAQARQEIEQLLEGNFASKGRYRTFATWRRFNHHDSRASSSRGIPVMLKSPQFYRYWLDFRRVLHDWARAKRYQPDIINELIGLVKNPIDVHNGLMGSNKKYSSCAVVGNSGILLQKDYGELIDGHEVVIRLNNARTERFEQHVGSKTNISFVNSNILHLCAMRQGCFCHPYGANVPMVMYVCQPVHFLDYTICNLSHKAPLIVTDPRFDMLCARIVKYYSLKRFVKETGKSLDEWNSAHDGFMFHYSSGFQAVMLAAGICDKVSVFGFGKSTLAKHHYHTNQKDELKLHDYEAEFDFYHDLVNSPQLIPFISDKFKFPPVFRTSINLMLMHKYGTSIH >Manes.04G004900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:681987:686025:-1 gene:Manes.04G004900.v8.1 transcript:Manes.04G004900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVHPLFSILFLIVFAITISCRILIRRGGGAGFFSSIELESNVIVQPPPIPVFNTTLLKYAAIDIGEAQARQEIEQLLEGNFASKGRYRTFATWRRFNHHDSRASSSRGIPVMLKSPQFYRYWLDFRRVLHDWARAKRYQPDIINELIGLVKNPIDVHNGLMGSNKKYSSCAVVGNSGILLQKDYGELIDGHEVVIRLNNARTERFEQHVGSKTNISFVNSNILHLCAMRQGCFCHPYGANVPMVMYVCQPVHFLDYTICNLSHKAPLIVTDPRFDMLCARIVKYYSLKRFVKETGKSLDEWNSAHDGFMFHYSSGFQAVMLAAGICDKVSVFGFGKSTLAKHHYHTNQKDELKLHDYEAEFDFYHDLVNSPQLIPFISDKFKFPPVVIYQ >Manes.04G004900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:681987:686025:-1 gene:Manes.04G004900.v8.1 transcript:Manes.04G004900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSVHPLFSILFLIVFAITISCRILIRRGGGAGFFSSIELESNVIVQPPPIPVFNTTLLKYAAIDIGEAQARQEIEQLLEGNFASKGRYRTFATWRRFNHHDSRASSSRGIPVMLKSPQFYRYWLDFRRVLHDWARAKRYQPDIINELIGLVKNPIDVHNGLMGSNKKYSSCAVVGNSGILLQKDYGELIDGHEVVIRLNNARTERFEQHVGSKTNISFVNSNILHLCAMRQGCFCHPYGANVPMVMYVCQPVHFLDYTICNLSHKAPLIVTDPRFDMLCARIVKYYSLKRFVKETGKSLDEWNSAHDGFMFHYSSGFQAVMLAAGICDKVSVFGFGKSTLAKHHYHTNQKDELKLHDYEAEFDFYHDLVNSPQLIPFISDKFKFPPVVIYQ >Manes.02G101600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7941913:7945735:1 gene:Manes.02G101600.v8.1 transcript:Manes.02G101600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLKTSPVLDKSEWVKGKAVREPFASTVRIHPVAPSGLAVRASSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTVPGLGQYVSGAILFEETLYQSTVDGKKMVDVLVEQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFYYLAVNNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLKLLHRRIPPSVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGTPENVKAAQEALLIRAKANSLAQLGKYTGEGESEEAKKGMFVKGYVY >Manes.02G101600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7941913:7945272:1 gene:Manes.02G101600.v8.1 transcript:Manes.02G101600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLKTSPVLDKSEWVKGKAVREPFASTVRIHPVAPSGLAVRASSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTVPGLGQYVSGAILFEETLYQSTVDGKKMVDVLVEQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFYYLAVNNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLKLLHRRIPPSVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGTPENVKAAQEALLIRAKANSLAQLGKYTGEGESEEAKKGMFVKGYVY >Manes.10G098550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24713800:24714258:1 gene:Manes.10G098550.v8.1 transcript:Manes.10G098550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVGILDKGKGIAEESSEGAGNPAVSMGLHVVGLGGKVILSKPKGARVVMPKDYNSTRSVADNRDMFNFTPKIKLVSFDGKEPWSWLQKCIMYFEIYGIVREQRVAVASLLLMDRADSWYHNWIKEQGNTLRRILREIFVVGLGRISWRMW >Manes.06G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25369986:25371932:-1 gene:Manes.06G123500.v8.1 transcript:Manes.06G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSTHNPFVFAFGILGNIASFVVFLAPVPTFVRIYKKKSTEGFQSFPYAVALFSAMIWLYYAWLKSDAFLLITINSAGCFIETIYITLFIAYAPKQARIFTLRILLLLNLGGFCLVLLLSHYLAKGSSRVRILGWVCVVFSVSVFAAPLSIMRVVIRTKSVEFMPFYLSFFLTLSAITWLFYGLLLKDYYIAVPNVLGFLFGVCQMILYVIYKNLKTVVEEPKLPETNKDNEILSTCGVEEAVSSELNGHENGENMKEQQEEYNGNKDMRGSNGDQLIGCQA >Manes.07G120132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29259566:29262221:-1 gene:Manes.07G120132.v8.1 transcript:Manes.07G120132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEESWPTAIEERTSNVERPSSDRSGFDPNTGIYHSLLQLGDNFQIPSRNDLDTCKYVLSQFPHPDHAESRVALIDSATNYQVTYAQLDRSIRALASGLYHGLGVRKGDVVFVLSPNLILYPTICLAVFSIGAILSPANPINTQSEIAKQILDSGAKLVISAPEELHKVTKTGVPTLLTTRISNEDSLSIEELIESCDPAEFPQVQINQSDIAAVLYSSGTTGISKGVILTHSNFIAIMILLKWSVYATSSQNDVFLCFIPMFHIYGLAFFGLGLFSAGITTVLMQRFDLQAMLDAIQAYKVNNLPAVPPVILGLVKHVSKLRCDLSSLRRVGSGAAPLSKELTEEFREMFPWVELRQGYGLTESCGAATFFVSDEQAKAHPGSCGRLIPTFNAMVVDISTGFALSPRKEGEIWIKSPTIMKGYLQNEEATAAMLDSDGWLKTGDLGYFDEDGFLYIVDRIKELIKHNGYQVAPAELEAILLNHPQVIDAAVIPLEDEESGQIPMAYVVRAAGSELTEEQILQFVANQVAPYKKVRRVAFISAIPKSAAGKILRKELVSHSQLQKISKM >Manes.11G103600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23585000:23618428:-1 gene:Manes.11G103600.v8.1 transcript:Manes.11G103600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLTEDLSDSTPRPDCDNEQGIYFVPFRWWKDAQDSLISDESDGKRGVLYKGTSGSSYAGPMKLINNIFNSDLVLNLRREEESLPNGENGEVGVSGRDFALVSGEMWLKALKWHSDAKVASNCGKTFSAAGDDMSDVYPLQLRLSVQREVNSLGVKISKKDNSTELFRRACKIFSADSEMLYIWDFSGQTTQFFVNDKSKSPRDCQRPPDQEILLELQVYGLSDNMKCREGKKDDAAIQLSNGTHSSGNSLVMNGSAGNVSAGLFRSNSSVLFGKSGEAGPLGLTGLQNLGNTCFMNSAIQCLAHTPKLVDYFLGDYGREINHDNPLGMDGEIALAFGDLLRKLWAPGISPVAPRTFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEAKDGDDRPDEEVADEYWRNHVARNDSIIVDICQGQYKSTLVCPVCKKVSVMFDPFMYLSLPLPSTNTRTMTLTVVSAEGSSQPSPYTISVPKYGKCEDLTQALSIACSLGIDETLLVAEVYNNRIIRYLEEPADSLSLIRDGDRLVAYRLKKELDNMPLVVFMHQHTEEQYIHGKLTSSWKAFGIPLVGPVQNFVNGSDIHDLYLKLLTSFQIPADDTLGSSSTCKSTPVEEATGMEDKNDTALGGNANPSSEEGANSSEDSELMFYLTDEKGTVKDSKIIINEPVPLTGMPKRFNVLVCWPEKQIEQYDTRLLSSLPEIFKSGFLSKRPQESVSLYKCLEAFLKEEPLGPDDMWFCPSCKQHRQASKKLDLWRLPEILVIHLKRFSYTRFMKNKLEAYVEFPVDDLDLSAYISYKNGQLSHRYMLYAISNHYGSMGGGHYTAFVHHGGDRWYDFDDSQVHPINQDKIKTSAAYVLFYRRVVEV >Manes.11G103600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23585000:23618428:-1 gene:Manes.11G103600.v8.1 transcript:Manes.11G103600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLTEDLSDSTPRPDCDNEQGIYFVPFRWWKDAQDSLISDESDGKRGVLYKGTSGSSYAGPMKLINNIFNSDLVLNLRREEESLPNGENGEVGVSGRDFALVSGEMWLKALKWHSDAKVASNCGKTFSAAGDDMSDVYPLQLRLSVQREVNSLGVKISKKDNSTELFRRACKIFSADSEMLYIWDFSGQTTQFFVNDKSKSPRDCQRPPDQEILLELQVYGLSDNMKCREGKKDDAAIQLSNGTHSSGNSLVMNGSAGNGEIALAFGDLLRKLWAPGISPVAPRTFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYVEAKDGDDRPDEEVADEYWRNHVARNDSIIVDICQGQYKSTLVCPVCKKVSVMFDPFMYLSLPLPSTNTRTMTLTVVSAEGSSQPSPYTISVPKYGKCEDLTQALSIACSLGIDETLLVAEVYNNRIIRYLEEPADSLSLIRDGDRLVAYRLKKELDNMPLVVFMHQHTEEQYIHGKLTSSWKAFGIPLVGPVQNFVNGSDIHDLYLKLLTSFQIPADDTLGSSSTCKSTPVEEATGMEDKNDTALGGNANPSSEEGANSSEDSELMFYLTDEKGTVKDSKIIINEPVPLTGMPKRFNVLVCWPEKQIEQYDTRLLSSLPEIFKSGFLSKRPQESVSLYKCLEAFLKEEPLGPDDMWFCPSCKQHRQASKKLDLWRLPEILVIHLKRFSYTRFMKNKLEAYVEFPVDDLDLSAYISYKNGQLSHRYMLYAISNHYGSMGGGHYTAFVHHGGDRWYDFDDSQVHPINQDKIKTSAAYVLFYRRVVEV >Manes.16G067100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26641047:26643553:1 gene:Manes.16G067100.v8.1 transcript:Manes.16G067100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQPDPFLNELTSMFEHSTEKGTVWVTLKRSSLKSKVQRNKMATAGEPLEYRCLIRATNGKKTISTSVGPKEHQRFQASYATILKARMTSLKKRERKDKKKAAGADKKEGGSKKPKRA >Manes.14G073800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6178959:6185076:1 gene:Manes.14G073800.v8.1 transcript:Manes.14G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACVRADSSPDSKANHTNHRRKKKSKDRKPNPYAEDSVRSPAPIRVLKDVIPLSHRPRIGDKYILGRELGRGEFGITYLCTDRETKDALACKSISKRKLRTAVDIEDVRREVAIMSRLPEHPNIVKLKATYEDHENVHLVMELCEGGELFDRIVARGHYSERAAANVARTIAEVVRMCHENGVMHRDLKPENFLFASKKENSVLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISDSAKSLVRQMLEPDPKKRLTAQQVLEHSWLQNAKKAPNVPLGDIVRTRLKQFSLMNRFKKKALRVIAEHLSLEEVEVIRDMFKLMDTDNDGKVTYEELRAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDKDDSGYIELDELREALADEYGETDSNVLNDIMREVDTDKDGCISYEEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDGLTGQSYAV >Manes.14G073800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6178959:6185076:1 gene:Manes.14G073800.v8.1 transcript:Manes.14G073800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACVRADSSPDSKANHTNHRRKKKSKDRKPNPYAEDSVRSPAPIRVLKDVIPLSHRPRIGDKYILGRELGRGEFGITYLCTDRETKDALACKSISKRKLRTAVDIEDVRREVAIMSRLPEHPNIVKLKATYEDHENVHLVMELCEGGELFDRIVARGHYSERAAANVARTIAEVVRMCHENGVMHRDLKPENFLFASKKENSVLKAIDFGLSVFFKPETEQGVALAILRGVIDFKREPWPQISDSAKSLVRQMLEPDPKKRLTAQQVLEHSWLQNAKKAPNVPLGDIVRTRLKQFSLMNRFKKKALRVIAEHLSLEEVEVIRDMFKLMDTDNDGKVTYEELRAGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDKDDSGYIELDELREALADEYGETDSNVLNDIMREVDTDKDGCISYEEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDGLTGQSYAV >Manes.09G013802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3094833:3098536:1 gene:Manes.09G013802.v8.1 transcript:Manes.09G013802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWRRKSRSFHLQLQGAIGAIQSPFLFLFTNYCHSSTSTHEDARFLTNNFKSASFTRLHDAIASFNHVIHMNPLPSRVHFNRFLSALVKMKQYHTVLSMSKTIELLGMSHNLYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKIEKAVEFFDDMVARGYQPDVYTYNTIINGNCKFGKTNVAIGLLKGMADRGCDPDVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDQLKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRCVEPNVVTYNSLMDGYCLCKQIDKARKVFDLMVINEIADIFSYNILINGYCKCKMIDDAKEIFDEMSHKGLVPDAVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVCVYNAIMKGLCQQGLMDEAYKLFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSGDDATTELVVHLSQNNNLILRLLKVRNERSAN >Manes.05G204800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33140964:33146952:-1 gene:Manes.05G204800.v8.1 transcript:Manes.05G204800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHKPTAAVISAQPESNGETRNGQSFKSYPPATAQFEDIVRSSDLFWEKLKAFHKSFGTKFMVPTVGGKALDLHHLFVEVTSRGGLEKVIKDRKWKEVIGVFSFPSTITSASFVLRKYYLSLLYHFEQVYLFQKQGPSVSIPDAMNGNFVNGSAAQDEGATVNQFPGPELQLGTSVTGYIDGKFDDGYLVTVNLGCDQLKGVLYHIPQAFVSQGSNSSDVPPRRRRKRSRLSLHDPSQPKSYRSGYNFFFSEHYALLKPLHYGEEKLICKKIGMLWNNLTDAEKQVYQEKGLKDKERYRTEMLECRSSVAQ >Manes.10G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2162234:2167970:-1 gene:Manes.10G022200.v8.1 transcript:Manes.10G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSSTSAVNHISNPCIYGDFVSSYAERKSRFMKWLSKIFKSGPSRGGGSGGGSRYPPRLGEENMVSWRPPARSLDERSRADKEKEEADHAVALSQAEDWKRPGYRCRTDNDEEQARALHDSLNPVGYPPYAPYAPPQYCNTGFRLCGGCHGNIGYGNYLGCMGKFFHPDCFRCTYCGYPITENEFSLSGRDPYHKVCFKELTHPKCDVCFQYIPTNEAGLIEYRCHPFWSQKYCPSHEHDNTPRCCSCERLESWDTKYYSLEDGRNLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVERLALNEAIVGEKYGYHHMPETRGLCLSEEQTVTSIQRRPRLGGNRLVGIRTQPQKLTRKSCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVLPSKGMPSTSAASSSSSSSKKGGRSNVENKLGEFFKHQIANDASPAYGGGFRAANAAVNKYGLRRTLDHIRLTGHFPL >Manes.10G022200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2162234:2167970:-1 gene:Manes.10G022200.v8.1 transcript:Manes.10G022200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSSSTSAVNHISNPCIYERKSRFMKWLSKIFKSGPSRGGGSGGGSRYPPRLGEENMVSWRPPARSLDERSRADKEKEEADHAVALSQAEDWKRPGYRCRTDNDEEQARALHDSLNPVGYPPYAPYAPPQYCNTGFRLCGGCHGNIGYGNYLGCMGKFFHPDCFRCTYCGYPITENEFSLSGRDPYHKVCFKELTHPKCDVCFQYIPTNEAGLIEYRCHPFWSQKYCPSHEHDNTPRCCSCERLESWDTKYYSLEDGRNLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVERLALNEAIVGEKYGYHHMPETRGLCLSEEQTVTSIQRRPRLGGNRLVGIRTQPQKLTRKSCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVLPSKGMPSTSAASSSSSSSKKGGRSNVENKLGEFFKHQIANDASPAYGGGFRAANAAVNKYGLRRTLDHIRLTGHFPL >Manes.13G151750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36648249:36655420:1 gene:Manes.13G151750.v8.1 transcript:Manes.13G151750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDSIWWLLLLHDLIHLLELESRGCDISEQIIQELQLDQISESVSRDIIGLCADATAGLFYAYDQNSTFQKLDNLAKDDKCQSTVTSVWATELYLDKVCKCKILIVGMDYHISCLYLRGPMGSLLCISMWHAFHEQCLIAHLTSHTNKTQKQLTLLGEGTRKDLNGGIT >Manes.01G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33750471:33755634:-1 gene:Manes.01G147700.v8.1 transcript:Manes.01G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNSNPPKPLYPEVILSNPDSPSHLHSKPNSESSSSNLYPTIDMRDLVENLFPDPEEYNHCAPSAPPVATEEVLIKIPGAILNLIDKNYSVELACGDLTIVRLCQGGNIVAVLARVADEIQWPLAKDEAAVKLDDSHYFFSLRLPNEHQGSDSSSDEEDKKSRNKKISDSGDILNYGLTIASKGQEALLKEFDVILQAYSCFTVQKVSEKAKGKGEALLDELTPKEASPADLHYEEKKELLEGQSAAYWTTLAPNVEDYSSTSAKVIAAGSGHLIKGILWCGDVTVDRLKWGNEIMKRRMSPRSKSEISPDTMKRIRRVKRMTKRTEKVANGVLSGVVKVSEIFTSKVANSKAGKKFLGLLPGEIVLASLDGFSKMCDAAEVAGKNVMSTSSTVTTDLVNHRYGEQAAEATNEGLDAAGHAMGTALAVFKLRKALNPKSALKPSSLAKSAVKAAAADIKAKNSK >Manes.12G127600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33366450:33379250:-1 gene:Manes.12G127600.v8.1 transcript:Manes.12G127600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGKNLKISLLHYRTAAGKEVNVPISSLPARLPTFHASAQGPWCAVISYDACVRLCLHSWAKGCAEDAPYFLRDECASLRNSFGLQQVLLQSEEELMSKRSPELISEGAAAAPKSKRTFGKMRVQVRKVKMGLDPPVGCSFSSLNPSTIKLEPLRRQLSILNSTLHTGWSAVRKVRVTPQIPPNGSISQQSLAYVRASTQYIKQVSRLLRHGVTTLRNSPSPDGVVQETYTCMLRLKSSSEEDSVRVQPGSGETHMFFPDNHNDDLIIEVRDSKGQHCGRVLAQVAAIADDPCDKLRWWPIYQEPEHELTGRIQLYINYSTTPDESNHPKCGCVAETVAYDFVLEVAMKVQHFRPRNLLLHGPWKWLVTEFATYYGVSDTYTKLRYLSYIMDVATPTKDCLDLVYDLLEPVIMKGNRKNVLSHQENRILGEVEDQIQEILVLVFENYKSLDEKAPSGMMDIFGAATGLAAPALAPTVKLYALLHDVLSSEAQLKFCRYFQAAAKKRSRRHMAETDEFISSNEGTLMDPLMLSTSYQKMKHLILSMRNEIWTDIQIHNQHVLPSFIDLPNVCASIYSVDLSSRLRTFLVACPPPGPSPPVAELVIATADFQKDLASWNISLVKGGVDAKELFHSYITLWIQDKRLTLLELCKLDKVKCSGVKTQHSTNPFVDEMYERLKDTLNEYEVIISRWPEYIVILEKAIADVEKAIIESLDKQYADFLSPLKDSLAPKIFGLKYVQKFAKRTGELYIVPDELGVLLNSMKRMLDVLRPKIENQMKSWSSYTPDGVDTVTGECLSEITVSLRSKFRSYLQAILEKLIENTKMQSATKLKIIIQDSKETMAESDLRNRMQPLKDLVIKTINHLHSVVEPHVFIAICRGFWDRMGQEILRFLQNRGENNSWYKGSRIAVSILDDIFASEMQQLLGNSLQEKDLEPPRSIMEARSVLCKDAVNHSDNNYYY >Manes.12G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33366450:33379249:-1 gene:Manes.12G127600.v8.1 transcript:Manes.12G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNRWVRENLYQEGAGNRKDSPGAILHENPFGNMKKGGHGFVLPSPAKFRSGHLAFDAIHGSRSRTLSGKGNGSGSDMDISSDSEDEDYARRYSLESSPQDDKIRNVVAKNYDPKKTHSNLSLSREPAWQKQGNPAKRVEISYAEDELLDSATSTENSFLKQKSTSDARSRTTYAADGFSSSVTSGAKYDATVKQDFREPAMDKKLLCKDMPSAPPISSTVNLENMVEKISYFRANSVPDVANLSSNVATDNPKSSIFIYSSNIALNGTENGIPDPSERTAAGKEVNVPISSLPARLPTFHASAQGPWCAVISYDACVRLCLHSWAKGCAEDAPYFLRDECASLRNSFGLQQVLLQSEEELMSKRSPELISEGAAAAPKSKRTFGKMRVQVRKVKMGLDPPVGCSFSSLNPSTIKLEPLRRQLSILNSTLHTGWSAVRKVRVTPQIPPNGSISQQSLAYVRASTQYIKQVSRLLRHGVTTLRNSPSPDGVVQETYTCMLRLKSSSEEDSVRVQPGSGETHMFFPDNHNDDLIIEVRDSKGQHCGRVLAQVAAIADDPCDKLRWWPIYQEPEHELTGRIQLYINYSTTPDESNHPKCGCVAETVAYDFVLEVAMKVQHFRPRNLLLHGPWKWLVTEFATYYGVSDTYTKLRYLSYIMDVATPTKDCLDLVYDLLEPVIMKGNRKNVLSHQENRILGEVEDQIQEILVLVFENYKSLDEKAPSGMMDIFGAATGLAAPALAPTVKLYALLHDVLSSEAQLKFCRYFQAAAKKRSRRHMAETDEFISSNEGTLMDPLMLSTSYQKMKHLILSMRNEIWTDIQIHNQHVLPSFIDLPNVCASIYSVDLSSRLRTFLVACPPPGPSPPVAELVIATADFQKDLASWNISLVKGGVDAKELFHSYITLWIQDKRLTLLELCKLDKVKCSGVKTQHSTNPFVDEMYERLKDTLNEYEVIISRWPEYIVILEKAIADVEKAIIESLDKQYADFLSPLKDSLAPKIFGLKYVQKFAKRTGELYIVPDELGVLLNSMKRMLDVLRPKIENQMKSWSSYTPDGVDTVTGECLSEITVSLRSKFRSYLQAILEKLIENTKMQSATKLKIIIQDSKETMAESDLRNRMQPLKDLVIKTINHLHSVVEPHVFIAICRGFWDRMGQEILRFLQNRGENNSWYKGSRIAVSILDDIFASEMQQLLGNSLQEKDLEPPRSIMEARSVLCKDAVNHSDNNYYY >Manes.16G070650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:25577972:25578412:-1 gene:Manes.16G070650.v8.1 transcript:Manes.16G070650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSFDFWSLCFLSDPFLFSPFSLFLFPFGFIFSDCFLLLYARVLKIYLFFYFFFLILLHVISTQCKDIHALVPVKFSVASLSCRLDSVSKFVVFIFFLFFLVAYVKLSVGLKWGSRLLAFFFFFQFLDSGSSNEGFSILFLMRFN >Manes.08G127500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36851648:36852259:-1 gene:Manes.08G127500.v8.1 transcript:Manes.08G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTRPTFSFHLYQVSPPKPTAHFCKLSFISLCKPQRTCFIIRSVDVSKEDKPPTSNQPSNPPASAPAPSAAESSSPAESPPQELEQKFDQRRLEEKFAVLNTGIYECRSCGYKYDEAVGDPSYPIPPGLQFDKLPEDWRCPTCGAAKSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKAILIYGSLLLFFVLFLSGYFLQ >Manes.10G032600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3287059:3294942:-1 gene:Manes.10G032600.v8.1 transcript:Manes.10G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARINYSIQNTLSLLNPLHSSMSTRSMVTTHLKNRLRFPTSLFFSSYKSRKAAFCTVASSNNNSAESVAAETFVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLGKKVIFVTGTDEHGEKIATAAAASGSSPSEHCDIVSQAYKTLWKDLEIAYDKFIRTTDPKHEAIVKEFYSRVLANGDIYRADYEGLYCVNCEEYKDEKELLDNNCCPMHLKPCIQRKEDNYFFALSKYQKLLEETLTQNPNFVQPSFRLNEVQSWIRSGLKDFSISRASVDWGIPVPNDNKQTIYVWFDALLGYISALSEDKGQPNLQSAVSSSWPASLHLIGKDILRFHAVYWPAMLMSAGLGLPKMVFGHGFLTKDGLKMGKSLGNTLEPNELVQKFGADAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCQSTLVVDSAVAAEGNSLEETVEKLVEKARVHYANLSLSLACEAILEIGNAGNVYMDERAPWSLFKQGGAASEAAAKDLVIILETVRIIAIALSPVAPSLCWRIYAQLGYSKDHFNAVTWSETKWGGLKGGQVMAQPKPVFARIESITETEDGETARKKLVKNKEKKRQSQVVAEA >Manes.15G078000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5851982:5857493:-1 gene:Manes.15G078000.v8.1 transcript:Manes.15G078000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCKCNDAKEDQLGKKSMESKGGSVGSSLLVPCVQELAKEHLVTVPPRYIRPDQDHPIVAAGDDASLLEVPVIDMQRLHDPESMDSELAKLHSACKEWGFFQLVNHGVSSSLLERIKTDIQDFFNLPMEEKKKFWQYPGEVEGFGQAFVVAEEQKLDWADLFFMVTQPVHLRKPHLFPKLPLPFRDTLESYSSEVKNLAVSVLEQMAKVLKMRDDEMRDLFTEGIRQTMRMNYYPPCPQPEKVIGLTPHSDGTGLSILLQINDVEGLQIMKDGKWIPVKPLPNAFVVNIGDIMEIITNGTYRSILHRATVNSQTERLSVVAFHSPRFDGEISPAPSLITEETPALFKRITVKDFFKGLFSRELRSKSYLDTLRVQPGEE >Manes.16G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26216601:26219092:-1 gene:Manes.16G068100.v8.1 transcript:Manes.16G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVDKNATDDDLKKSYRKLAMKWHPDKNPTNKKEAEAQFKQISEAYEVLSDPQKRAIYDQYGEEGLKDMPPPGSGGFPFGNNGGGGGGSGGGGSNGFNPRNAEDIFAEFFGSSPFGFGSSGPGRSTRFQSDGGMFGGFGGNENLFRTGSEGTVPRKPPPVESKLPCTLEELHSGSTRKMKISRTVVDGHGRQVQETEILTIDVKPGWKKGTKITFPDKGNEHLNQLPADLVFIIDEKPHSIYKRDGNDLIINQRVSLAEALGGTTINLNTLDGRELSIPVQDIVSPGYELVITREGMPIAKEPGNRGDLKIKFEVNFPTRLTPDQRARLKKALGG >Manes.03G164200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29103287:29105667:-1 gene:Manes.03G164200.v8.1 transcript:Manes.03G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKVGLKRGPWTPEEDKILISHIQNYGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTEEEEEAIIKLHEMLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKKLKQKQDFKSNNKQLHETVPKCDEVSVGNQSDSENYSNVPTLTGLHEIPGMHSPMSPQPSSSSSDHSTVTETSGATAETDNITFENMDSSEIIFPVIDEDFWSDEAGLASEIMIPSGFYGNGPTSIDDDMDFWYNLFVKSGGIEELL >Manes.08G140200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37650586:37658536:-1 gene:Manes.08G140200.v8.1 transcript:Manes.08G140200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGQGTRPTFFEMAAAQQLPASLRAALTYSIGVLALRRPLLHKVLDYEDEFFALLMLVLETHSLRTTDASFSESLYGLRRRAVKIRAKKNDAPLKSRDGIELSGLEKRQRVLSVVFLVVLPYFKSKLHSVYNKEREARLQASLWGDGDDTFESADFFDRGEGSLVSRGTMDAEATVRSRLAKRLQKFVFACYPWLHATSEGLSFTYQLLYLLDATGFYSLGLHALGIHVCRATGQELMDTSSRISKIRNRERERLRGPPWLKALQGALLRCTYTVLDYAQTGLIAAVFFFKMMEWWYQSAEERISAPTVYPPPPPPPPPKVAKEGIPLPPDRTICPLCTQKRANPSVVTVSGFVFCYACIFKYVSQYNRCPVTLMPATVDQLRRLFHDV >Manes.05G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21531803:21536441:-1 gene:Manes.05G114200.v8.1 transcript:Manes.05G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFICMSKKDAKDNHGSRSKRLGRSQRKLLAEEEFLHRQALSMALHQHQLSQRFDGSMSRRIGSTSSRRRNLSDPFSNGKQVPDFLENIKLKKFILIHGEGFGAWCWYKTISLLEEAGLLPTAIDLVGSGINLTDTNSVTTLADYSKPLLDYLENVPEDEKVILVGHSTGGACVSYALEHFPHKVSKAIFICATMVSDGQRPFDVFAEELGSAERFMQQSEFLIYGNGKDNPPTGFMFEKQQLKGLYFNQSPTKDVALAMVCMRPIPLGPIMEKLSLSSEKYGNSRRFFIQTLDDHALSPDVQEKLVRENPPEGVFKIKGSDHCPFFSKPQSLHKILLEIAQIP >Manes.12G002750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:462240:471518:1 gene:Manes.12G002750.v8.1 transcript:Manes.12G002750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVYMDIDDTQQLAADPLPFARSYQLEALEKALEQNTVLFLETGSGKTLVAIMLLRSYAYLIRKPSPSIAVFLVPRVVLVQQQAEAVEMHTDLIVGRYWGEMGVDFWDAATWKEHLEKHEVLVMTPRILLNGLKHCFFKLDLIKVLIFDECHHARGNHPYACILTEFYHRQLSCGNFNLPRIFGMTASPIKSKGANSEQAYWQEICELENMMHSKIGALTDYLVPDIVMEEAVAQEIGKVPYDDDEHPLYFPPELVSQGSKESKGTYYCYLIELNENFDSDIPVCNIILVMGSELESDISSLDFDLEVDRGLVTMKLKYIGELDLTPELVLICRKFLIALAKVLMDHNVNELEEILNGLQLRKDHEIDYFLLPSMGSCQKPAIDWNSVVSVLFSYENAWEDHVKCPLKESARIMRTKNGQLCKCMLENSLVSTPHNGKVYCIRAVFDHLDGRSLLKLKNGDYITYKEYYKNRHNIDLIFDQELLLSGRHMFSFQNHLHRCRQHKGKDSQNAFVELPPELCCIKMSPISISTFYSFTFVSSIMHRLESLLIAVNLKKMLSDHCMQNVYIPTMKVLEAITTRKCQEIFHLESLETLGDSFLKFAASQQLFKTYQSDHEGLLSIKKDKLISNATLSKLGCDCKLPGFIRNESFDPKNWMIPGDTSGSYSLSLEFLSNTRSIYITGRRKLKRKIIADVVEALIGAYLSAGGEIAALLFLNWLGIKVDFFNTPYEPQFKVNPEKYINIHGLESMLNYSFKNHYLLLEALTHGSYMLPEIPRCYQRLEFLGDSVLDYLITVHLYEKYPGLSPGLLTDMRSASVNNDCYAISAVREGLHKHILHLSQKLDKDIVVTVKNLENYSSESTFGWESEVSFPKVLGDVIESLAGAIFVDSGYNKEVVFQRIRPLLEPLVTPDTLKLHPVRELNELCQVQHFEKRKAVPSRINGTSSVTVEVGAHGLTFKHTSSAADKKTAKKLASKEVLKALKDRFNI >Manes.07G142100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34500695:34511021:1 gene:Manes.07G142100.v8.1 transcript:Manes.07G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISLSALRGGRFSLFNPCPYPQLSAVSSRSRKLYFPSKAITLFAQYSQAQDRFSSRLQDSIENLPKLVEDIIQTSINTGPRGAIRLAQGVQAFLGVGGEWLADVSKSANSSTGLPTQMQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTMFPPEYVEEFQKCFDRAPAIPFQEIQAILREELGRPIESVYEYVDPMPIASASIAQVHGARLKGSQEDVVIKVLKPGIEDILVADLNFVYIVARILEFLSHDLSRASLVAIVKDIRESMLEEVDFYKEAANIESFRRYLEAMGLTRQATAPKVYQHCSSRRVLTMERLYGVPLTDLDSISSLVSSPETSLITALNVWFGSLLACESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLTSIASEEYESMASALIEMGATNKDVDTNAFARDLEKIFSSIKELDTEIVVATARDTTTNATAVSANVVVDERQMNALFLDVIRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPNMNMLQDQRITIVSNKRRSYRDNFK >Manes.11G000400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:103246:114825:-1 gene:Manes.11G000400.v8.1 transcript:Manes.11G000400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGSVSRNTNGTVTGINNSKSLFHQQSLRRLGLCSQIATGGGQHSSPIVFPEKRSKKVKASSKPDDPLEKGKVQEHRIDIIGGGDEKSDLLGCVVFSGKLILDKRKTTFHDSGHAKDAQQQSSVDVSNQQALDAKLTSKALVWGSHMLHLDDVISVSYHIGLRHFTVHSYPIKRGCCGLSCFIKPKRSRKDYRFLASSVEEALQWVGGLADQHCFVNCLPHPLVSSKKQASSELLPTDTPPELLFKCKSPPKMLVILNPRSGSGRSSKVFHGIVEPIFKLAGFKLEVVKTSSAGHARNLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAIAIVKGGLTATDVFAVEWIQTGVIHFGLTVSYYGFVGDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSYEVEYLPASKVDREGKQPEREVVDLSDLYTDVMKRTNTEGMPRASSLSSIDSIMTPSRMSGGELDTTCSSTHASTEPSEYVRGLDPKAKRLSSGRSNVMAEPEVIHPQLPLSTTPNWPRTRSKSRTDKGWTGLTSAHDPSRCSWGNAATNDREDISSTLSDPGPIWDAEPKWDTEPNWDLENPIELPGPSDDVEAGMKKEVVPRFEDKWELKKGQFLGILICNHACRTVQSSQVVAPSAEHDDNTMDMLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYIKVKSVKIKAGKHTHNGCGIDGELFPLNGQVISYLLPEQCRLIGRSPSNHI >Manes.11G000400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:103161:115112:-1 gene:Manes.11G000400.v8.1 transcript:Manes.11G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGSVSRNTNGTVTGINNSKSLFHQQSLRRLGLCSQIATGGGQHSSPIVFPEKRSKKVKASSKPDDPLEKGKVQEHRIDIIGGGDEKSDLLGCVVFSGKLILDKRKTTFHDSGHAKDAQQQSSVDVSNQQALDAKLTSKALVWGSHMLHLDDVISVSYHIGLRHFTVHSYPIKRGCCGLSCFIKPKRSRKDYRFLASSVEEALQWVGGLADQHCFVNCLPHPLVSSKKQASSELLPTDTPPELLFKCKSPPKMLVILNPRSGSGRSSKVFHGIVEPIFKLAGFKLEVVKTSSAGHARNLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAIAIVKGGLTATDVFAVEWIQTGVIHFGLTVSYYGFVGDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSYEVEYLPASKVDREGKQPEREVVDLSDLYTDVMKRTNTEGMPRASSLSSIDSIMTPSRMSGGELDTTCSSTHASTEPSEYVRGLDPKAKRLSSGRSNVMAEPEVIHPQLPLSTTPNWPRTRSKSRTDKGWTGLTSAHDPSRCSWGNAATNDREDISSTLSDPGPIWDAEPKWDTEPNWDLENPIELPGPSDDVEAGMKKEVVPRFEDKWELKKGQFLGILICNHACRTVQSSQVVAPSAEHDDNTMDMLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYIKVKSVKIKAGKHTHNGCGIDGELFPLNGQVISYLLPEQCRLIGRSPSNHI >Manes.18G089366.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8220051:8221285:1 gene:Manes.18G089366.v8.1 transcript:Manes.18G089366.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMSSWSPYPPPPSSSSSDLCLSSKLSMDSSDFPVTRSPRKELQGPRPPALKVRKDSHKIKKPPVAPQPSQQQQHHHHQPPTQPRPPVIIYTVSPKVIHTNPSDFMNLVQRLTGSSSSSTSAASYSTSNPFNDDVGAISPAARYATIEKAKTPKDRSVPASGDMGFLEGIEMDQIMERSSNFLPGILSPGPASLPPIPPNFFSPQSDLNSFFHDLSPVVHGNRNFIEGSFMPSPSIFVSPRFTSPTPSLDIFNNFNFNNIFDF >Manes.17G009300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3840143:3849128:-1 gene:Manes.17G009300.v8.1 transcript:Manes.17G009300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLALLILIPVNVSSGTLFFLRKELVVSDIDKLSISNVRPESIRFFVHIALQYLFTVWTCFMLYKEYDIVASMRLRFLSSQRRRAEQFTVVVSNVPHVSGRSTSDTVEQFFKTNHPNTYLCQQAVYDANKFAKLVRKRDRLRNWLDYNQLKFERHPDKRPTGKTGFLGLWGESVDSIDYYKHQIEEIEKRMGLERQKILKDSKSILPVAFVSFNSRWGAAVCAQTQQSRNPTLWLTNWAPEPRDIYWRNLAIPFVSLSIRKLIISISVFSLVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTLLMVMSKIEGYIAVSTLERRAAAKYYYFMLVNVFLGSIVTGTAFQQLHSFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDREKAMDPGSVDFPETLPSLQLYFLLGIVYAVVTPILLPFIIVFFALAYLVYRHQIINVYNQQYESAGAFWPHVHSRIVASLLISQLLLMGLLSTKKAANSTPLLVILPILTLFFHKYCKSRFEPAFRKYPLEEAMAKDILEKTTEPDLDLKAYLADAYLHPIFHSFEEEELVEVKVDKYQSHVTRAPANIVSSPTSELSSPSPPRQAYHPSSPPQVYHQPHEHTYDPSPPQYVYHPSSPPHYHSSFPHYTYSYEDEP >Manes.17G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3840143:3849884:-1 gene:Manes.17G009300.v8.1 transcript:Manes.17G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIGVSAFINIVSAFAFLLAFALLRIQPINDRVYFPKWYISGERRSPRSRGNFVAKFVNLNVKTYFTFLNWMPQAMKMSETEIINHAGLDSAVFLRIYTLGLKIFVPMTVLALLILIPVNVSSGTLFFLRKELVVSDIDKLSISNVRPESIRFFVHIALQYLFTVWTCFMLYKEYDIVASMRLRFLSSQRRRAEQFTVVVSNVPHVSGRSTSDTVEQFFKTNHPNTYLCQQAVYDANKFAKLVRKRDRLRNWLDYNQLKFERHPDKRPTGKTGFLGLWGESVDSIDYYKHQIEEIEKRMGLERQKILKDSKSILPVAFVSFNSRWGAAVCAQTQQSRNPTLWLTNWAPEPRDIYWRNLAIPFVSLSIRKLIISISVFSLVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTLLMVMSKIEGYIAVSTLERRAAAKYYYFMLVNVFLGSIVTGTAFQQLHSFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDREKAMDPGSVDFPETLPSLQLYFLLGIVYAVVTPILLPFIIVFFALAYLVYRHQIINVYNQQYESAGAFWPHVHSRIVASLLISQLLLMGLLSTKKAANSTPLLVILPILTLFFHKYCKSRFEPAFRKYPLEEAMAKDILEKTTEPDLDLKAYLADAYLHPIFHSFEEEELVEVKVDKYQSHVTRAPANIVSSPTSELSSPSPPRQAYHPSSPPQVYHQPHEHTYDPSPPQYVYHPSSPPHYHSSFPHYTYSYEDEP >Manes.17G009300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3840143:3849128:-1 gene:Manes.17G009300.v8.1 transcript:Manes.17G009300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLALLILIPVNVSSGTLFFLRKELVVSDIDKLSISNVRPESIRFFVHIALQYLFTVWTCFMLYKEYDIVASMRLRFLSSQRRRAEQFTVVVSNVPHVSGRSTSDTVEQFFKTNHPNTYLCQQAVYDANKFAKLVRKRDRLRNWLDYNQLKFERHPDKRPTGKTGFLGLWGESVDSIDYYKHQIEEIEKRMGLERQKILKDSKSILPVAFVSFNSRWGAAVCAQTQQSRNPTLWLTNWAPEPRDIYWRNLAIPFVSLSIRKLIISISVFSLVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTLLMVMSKIEGYIAVSTLERRAAAKYYYFMLVNVFLGSIVTGTAFQQLHSFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDREKAMDPGSVDFPETLPSLQLYFLLGIVYAVVTPILLPFIIVFFALAYLVYRHQIINVYNQQYESAGAFWPHVHSRIVASLLISQLLLMGLLSTKKAANSTPLLVILPILTLFFHKYCKSRFEPAFRKYPLEEAMAKDILEKTTEPDLDLKAYLADAYLHPIFHSFEEEELVEVKVDKYQSHVTRAPANIVSSPTSELSSPSPPRQAYHPSSPPQVYHQPHEHTYDPSPPQYVYHPSSPPHYHSSFPHYTYSYEDEP >Manes.06G052050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18202864:18203229:1 gene:Manes.06G052050.v8.1 transcript:Manes.06G052050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQLYRKISLIVQENVSVLAYFTRLKTLWHELGPMETLPLCTYGTSKAIDEINSRNKLMQFLMGLNDAYSPIRDQILGMDLLPFVNKTYSMVLKFESQKEVLGNISSNMDSLVLLNKVHG >Manes.02G155300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12079043:12092492:1 gene:Manes.02G155300.v8.1 transcript:Manes.02G155300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETQRRPPVLVGVARGNGYINGVVSTRSPATISEVDEFCYALGGKRPIHSILISNNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITRVDAVWPGWGHASENPELPDALAAKGIVFLGPAATSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPESCLIAIPDEVYREACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKSVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGKEHGGGYDAWRKTSAVAVPFDFDQAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYSIDLLHASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAMVSDYVGYLEKGQIPPKHISLVNSQVSLNIEGSKYMIDMVRGGPGSYRLRMNESEVEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVSDGSHIEADSPYAEVEVMKMCMPLLSPASGVIQFKMTEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYDHNINEVVQNLLNCLDSPELPFLQWQECLSVLATRLPKDLRNELESKYREFEGISCSQNVDFPAKLLRGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYEGGRESHARVIVQSLFAEYLSVEELFSDHIQADVIERLRLQYKKDLLKVVDIVLSHQGIRSKNKLVLRLMEQLVYPNPAAYRDKLIRFSQLNHINYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGENMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKHSVRMQWHRSGLIASWEFMEEHIGRENGSEDKMLDEPVMDKHCDRKWGAMVITKSLQFLPAIISAALRETTPAHHEAIPNGSLGPANFGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKEQEVGSSLRTAGVGVISCIIQRDEGRAPMRHSFHWSTEKLYYEEEPLLRHLEPPLSIYLELDKLKGYGNIQYTPSRDRQWHLYTVVDKPGSIQRMFLRTLVRQPTTNEGFAAYQGLGIEAPHAQWAMSFTSRSILRSLVAAMEELELNVHNATVKSEHAHMYLCILREQQIDDLVPYPRRVDTDAGKEEAAVERVLEEMAREIHASVGVKMHRLNVCEWEVKLWLSSSGKANGAWRVVITNVTGHTCAVNIYREVEDISKHGVVYHSISVRGPLHGVMVNAVYQPLGVLDRKRLLARRSNTTYCYDFPLAFETALEQIWASQLSGQPKDNILLKVTELVFADQKGSWGTPLVLVERPAGSNDVGMVAWSMEISTPEFPCGRTILVVANDVTFKAGSFGPREDAFFFAVTDLACTKKLPLIYLAANSGARIGVAEEVKSCFKVGWSDESCPERGFQYVYLSPEDYTHIGSSVIAHELKLPSGEIRWVIEAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPPHIGGTLPILSPSDPTERPVEYFPENSCDPRAAICGATDSSGKWLGGIFDRNSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSQINSDRIEMYADRTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLITLKAKLQEAQHSGTYGMVESIQQQIKSREKQLLPVYTQIATRFAELHDSSLRMAAKGVIREVVDWGRSRVYFYKRLRRRIAEDSLMKTLKDAAGDNLSHKPAMDLIKKWFLDSDIARGREDAWGDDEAFFAWKDNPRNYEENLQELRVQKVLLQLASIGESLSDLKALPQGLAALLRKVDPSSRGQLINELRKVLN >Manes.01G201100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37435673:37436275:1 gene:Manes.01G201100.v8.1 transcript:Manes.01G201100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSRAPLGSLPSIANPPTLVSRATNTASTTFFATRRPWRELIQFSSFTRPYSFGEAILRIKRNLVYFRVNYTMIILFILFLSLLWHPLSMIVFLIVFVAWFFLYFFRSEPIVVFHRTIDDRVVLSVLAVVTIVALVLTDVWLNVLVSILIGFAIVVLHAAIRGDLYLDEQDMGDGGLFSVVGSPTRTDYSRVPGFSSA >Manes.13G066000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8366826:8368094:-1 gene:Manes.13G066000.v8.1 transcript:Manes.13G066000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNQKQENSLQMATRGATDRSRVAFPLNTVEILREAVKLPARNEKLMLQLMILLLTPLYLLVLIHNLLAGPLLHKVEDDYEQSSVDQNDVRSLLGIELLLAGVFSILSLCGITLTIYASSTIYTGKHVEFSDLFSWIRVSWKNPLITWLYISFLTAIYIVLIIIIVKLLSVIISSSIWGWVVGILAVVLYHYLSAQWALALVVSVVEEDCGGRKAVIRARKQIAGREIQGWFLMGILAVISIPICVVFYVVITDDDDELGPLTQFGFGFFATVILCLDMFFTFVVFSVFWYECKQRYGEMESEAGHSLVCHELGVHN >Manes.18G093000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8621592:8626146:1 gene:Manes.18G093000.v8.1 transcript:Manes.18G093000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLSASTIPSLKTPPSQLTTTNLTSLSSLQFPAQLQRLQFRVRGISSPSRSRILHLVAAKKQTFSSLDELLENADKPVLVDFYATWCGPCQLMSPILDQASAVLKDTIQVVKIDTEKYPSIADKYRIEALPTFIIFKDGKPYDRFEGALPKDKFIERIENSLQVKQ >Manes.18G093000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8621592:8626146:1 gene:Manes.18G093000.v8.1 transcript:Manes.18G093000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLSASTIPSLKTPPSQLTTTNLTSLSSLQFPAQLQRLQFRVRGISSPSRSRILHLVAAKKQTFSSLDELLENADKPVLVDFYATWCGPCQLMSPILDQASAVLKDTIQVVKIDTEKYPSIADKYRIEALPTFIIFKDGKPYDRFEGALPKDKFIERIENSLQVKQ >Manes.18G093000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8621592:8626146:1 gene:Manes.18G093000.v8.1 transcript:Manes.18G093000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLSASTIPSLKTPPSQLTTTNLTSLSSLQFPAQLQRLQFRVRGISSPSRSRILHLVAAKKQTFSSLDELLENADKPVLVDFYATWCGPCQLMSPILDQASAVLKDTIQVVKIDTEKYPSIADKYRIEALPTFIIFKDGKPYDRFEGALPKDKFIERIENSLQVKQ >Manes.03G206200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:32156815:32158808:1 gene:Manes.03G206200.v8.1 transcript:Manes.03G206200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAISNHPVTNSNFDRSKRKKKKKSQAQSKENQIQDHAKWKTEAQQQIYSTKLIQALSQVRLTPSSPSAPRQGRAVREAADRALAFAAKGRTRWSRAILTSRIKLKFRKQNKRQRVVASSAVSTVTGTSRSKKPRFSVLRLKGKSLPAVQRKVGVLGRLVPGCRKQPLPVILEEATDYIAALEMQVRAMSALAELLSGSSSSSGAGASSGPRPTS >Manes.01G255000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41223726:41226960:1 gene:Manes.01G255000.v8.1 transcript:Manes.01G255000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILISRSTNTAASRHMHALLIVTNKKEIISNKKKISKSWKEKKEKKERGWNQKGAKIANNKENDPLRAEGRSSSSSASSRSFPRENNVSRGSVPLIDGVILSDSPVQDHISIFDTTDLHPAPSALVHNEVGDYPPIQSFEDAKYICLLESSKLWAIAAPIAFNILCNYGVNSFTNIFVGHIGNVELSAVAIALSVIANFSFGFLFGMGSALETLCGQAFGAGQTELLGVYMQRSWIILFSACFFLLPLYLYATPILKILGIEADIAVIAGRFTMQVIPQMFSLAINFPTQKFLQAQSKVGVLAWIGLAALIIHIGLLYLFINIFKWGLAGAAAAYDVSAWGISLAQVAYVVGWCKDGWRGLSCNAFKDIWGFVKLSIASAVMICLEIWYFMTIIVLTGHLADPVIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGSAHPRAAKYSVIVTCVESLLIGILCAGIILATKNEFSNIFTDSVEMRKAVAKLAYLLGITMILNSVQPVISGVAVGGGWQALVAYINLFCYYLVGLPLGFLLGYKTSLHVQGIWMGMIFGTFLQTLILVYIICKTNWNKEVEEASERMKTWGVQDDSHN >Manes.01G207000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37934416:37937089:1 gene:Manes.01G207000.v8.1 transcript:Manes.01G207000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGQAPPLHRPYRLLKTLRAHDRAISCVKFSNDGTLLASASLDKTLIIWSASTFSLLHRLEGHSEGVSDLAWSSDSHYICSASDDRSLRIWDARPPFDCLKTLKGHSDFVFCVNFNPQSNLIVSGSFDETIRIWEVKTGKCISVIRAHSMPVTSVHFNRDGSLIVSGSHDGSCKIWEASTGACLKTLIDDKDPAVSFAKFSPNGKFILVATLDSTLKLWNYSTGKFLKIYTGHTNKVYCITSTFSVTNGKYIVSGSEDNCIYLWDLQQKTMVQKLEGHCDTVISVTCHPTENKIASAGLDGDRTIKVWTQDP >Manes.02G011900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1188744:1190403:-1 gene:Manes.02G011900.v8.1 transcript:Manes.02G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQDSTHQQNPITHNPPPVWDCGSTLYDSFELKSFERQLYSAIHSRTLSMPHLTDRRVSAPVEYSLPPPVSKKPSKISRSLPKFLKSMFKSKQNSSGIFGVKNRPSHEYYVVYDKSGALSTIPEGPEINFGGFSPEINSLVRRSGSERFTATSMMGISCA >Manes.12G059900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5148802:5150082:1 gene:Manes.12G059900.v8.1 transcript:Manes.12G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRETPDQRPLVGNDGNWKKEILEKLEKSKQVQLSALDDLVSVNSLFTIAIFLGLAFASPTQQSLDNRPECSPDVKMEKRLVLYEVLSFACFLLSSLVAKSLKLFINVQDTKETIRIDRAHEYKVIKPSRGFMILLSVLASTIGVVFLTISMVDVVQIKIGKMSCGIYETRAAVISLCAVVALALVIYLPSTMITILRCMYNF >Manes.12G129601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33702035:33702802:1 gene:Manes.12G129601.v8.1 transcript:Manes.12G129601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAWGILTNHQALWVQVLHSKYKVKDSDFSCYLQNAHCSNLWSVGKLKQDFVWALGNGKLTNFWMDSWLMEDICLAQLATINVPRSMRRETVSDYVDSSGCWNWARMEAFLPAIVLLFLSNYPPPTPSSDRDSMIRKFISSRQFTVKTGQLWFSRSITVDKDRAWNTIWQWQGPQRVRTFLWLGYHERILTNVERARRHLSASNNCRVCNGGTETILHALRNFPGAHYVWSKLIPRARQSGSFSGTVSLKDCLK >Manes.17G117500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33482987:33483532:1 gene:Manes.17G117500.v8.1 transcript:Manes.17G117500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPSSFFSMDHVEENESPSNGRAHEDDYTQKFVFAVGATLAMACLKSILVMFFVKQWPARVFLILNIVLLAIFFTSLRSSSSENQETRCNAEVNIQENKRRKQCGWSATAKANSECQEMGKRERVAEEIEQAIRVESEDQKLSKEELNERVEAFIAMFRQHLVSDARNCRSQFLSRPKQS >Manes.17G015181.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8070240:8071701:1 gene:Manes.17G015181.v8.1 transcript:Manes.17G015181.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHACAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.13G140464.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34898493:34900668:-1 gene:Manes.13G140464.v8.1 transcript:Manes.13G140464.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLGDAELLEAQAHVWNHIFNFINSMSLKCAVQLGIPDVIQRHGKPISLSHLISALPVHPAKSRCIPRLMRILVHSGFFARAKISENDEEEGYVLTNASQLLLKDNPLSVTPFLMAMLDPILTGPWHYMSTWFLNDDVTPFNTAHGKTFWEYLGHEPNLNNFFNEAMASDARLVTQVLINEFKGVFEGLKSLVDVGGGTGTLAKAIAKSFPDLDCTVFDLPHVVAGLQGTHNLKYVGGDMFDEIPPTDAILLKWILHDWSDEECVKILKRCKEAIKGRGGKLIIIDMMIENHKRDDDFPETQLFFDMLMMVLLTGKERNEKEWAKLFSDAGFSYHKISPVLGLRSIIEVYP >Manes.02G074100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5653781:5658007:1 gene:Manes.02G074100.v8.1 transcript:Manes.02G074100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVGGEADEGPSSPRRKRQKNRDSSEQPSWHDTEDENVEIVEEDDELLEEENDQSEEQQQERVEEHDLDEEEEGEEDDILLTPIVLPRSSNRPSPVRSRNGAICVTLTDTEVLDCPICYDSLTIPVFQCENGHTACSSCCKKIANKCPSCSLPIGYNRCRAIEKVLESVKLPCQNLMYGCGEMVLYSKKFDHDKTCTHAPCSCPLSGCNFIGSSRLLYQHVTRKHKGSATRFQYGNTFPVFFTINDKSLLLQEEKGVLFVLSNKAEILGNVITLSCIAPSSSDGEYFYELTAKMEGSSLKFQSFTKNIQKVNDEDIHAEEFLVVPNVYFGSYGQISLDLIIRRCDGYPANVQRRTRANAQSISTNIQRSTGANTQRSTGGV >Manes.18G123900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13935614:13937154:-1 gene:Manes.18G123900.v8.1 transcript:Manes.18G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATCLQHVGTPPSVNLQQKQHHHQPTKQKTVMMGLGTGWSSLKCKNGRRVGGVVRCSGIGIEDFIGGDLVKFDLGRWLSDVEEHKALAIYTPHEGGYEGRYFTRLRYQGYYFLDLTARGLGDPETTLTKIHPVCPAHLGKQPIARWYFPPEVDYRLAALPPDAKGLIVWIIEAKVLSKAELQFLALLPSLRPKVRVIAECGNWRKFMWKPLKEIAGLTTQMKLE >Manes.04G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5527832:5535462:-1 gene:Manes.04G048500.v8.1 transcript:Manes.04G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDNSSSSLDSRFNQTLKNVQGLLKGRSIPGKILLTRRTDPPEDPGLQEQSPSFGRSLSENDVGTSDRIDVSRGAEARSASKVNNNSTANKLKPVLSNIETTSKDVLKSPMGARSTDSTRVMKFTKELSTTTVILERLRELAWSGVPPYMRPTIWRLLLGYAPPNSDRREGVLRRKRLEYLDSVAQFYDIPDTERSDDEVNMLRQISVDCPRTVPDVTFFQQEQVQKSLERILYTWAIRHPASGYVQGINDLATPFLVVFLSEHLQGDINNWSISDLSPDKISDIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEHGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIYASFLLTWSDKLQKLDFQEMVMFLQHLPTHNWTHQELEMVLSRAYMWHSMFNSSPSHLAS >Manes.05G086326.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7671076:7672767:1 gene:Manes.05G086326.v8.1 transcript:Manes.05G086326.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWTFWEARGSFEPSFCPSGRNQVRPPKGVSAAEPPLWRQHSAAEVAPEKRLSSLSGTFGRRRCRRKCPVQPFHAYLYVIFSGCFRGFLGSILELYLRMFGPSFESTRVGSDPRNRGPQQGVQLLRCCQSQPEAYRYRI >Manes.S027816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:487746:487868:1 gene:Manes.S027816.v8.1 transcript:Manes.S027816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.17G118300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33382386:33393808:1 gene:Manes.17G118300.v8.1 transcript:Manes.17G118300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITLFNADGLRADKFFEPDSEGNYRAPFLRSVIKNKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFSYGSPDIVPIFCGALEHSTWKSYPHEFEDFATDASFLDEWSFDQFQSLLNRSNEDPKLKELLLQDNLVIFLHLLGCDSNGHAHRPYSSIYLNNVKVVDDIAQRVYALLEDYYKDNSTAYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKYPKPISGAGQSDPGFHFVDEHTHGMPTPVDWGLIGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMIEAEEVEAVLANTKQILNQFLHKSHIKQSNSLYFKPFKPLVQHSSQLEHIENLISVRDYQNAMILTQELRSLALQGLHYFQTYDWLMLMTVITLGYLGWMVYLILHVLKSYTSLSEKIMKMEQAAEQKNKTGKVYLFGCLLMGVISVILYVEQSPPLYHAYIAMTVFLWTQILGDYQFVKALWRHLSGKKSNYAIKLLVTCAISILLLEFLVNSFTERKLYTWCFLIVGSIASLYLFKAIPWRSTIPIFVCVACWCLSVFTLMPAEIPDNNELVIASGAIIITIGAAARWLDKHSEGNKNWLAICCHETDKPKQSMLFYLQALLVGLSSIMVSLSTSHRTQKQELHLIHQLINWTLAGFSMVLPLFSENGLLSRLTSIFLGFAPTFLLLSIGYEALFYGALALVLMAWILFENTLLHLTKLKKLSKYEDYTTLENDRCLQLSDVRIPLVFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFSAITKLLRVPRLGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNVYTKDIRIRSDGSSSRKAV >Manes.17G118300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33382386:33393808:1 gene:Manes.17G118300.v8.1 transcript:Manes.17G118300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDGILGSKETQRMLSETHRRRKWLKRRERWLVILGVILHAVYMLSIFDIYFKTPIVHGMDPVKPRFKAPAKRLVLLVADGLRADKFFEPDSEGNYRAPFLRSVIKNKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFSYGSPDIVPIFCGALEHSTWKSYPHEFEDFATDASFLDEWSFDQFQSLLNRSNEDPKLKELLLQDNLVIFLHLLGCDSNGHAHRPYSSIYLNNVKVVDDIAQRVYALLEDYYKDNSTAYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKYPKPISGAGQSDPGFHFVDEHTHGMPTPVDWGLIGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMIEAEEVEAVLANTKQILNQFLHKSHIKQSNSLYFKPFKPLVQHSSQLEHIENLISVRDYQNAMILTQELRSLALQGLHYFQTYDWLMLMTVITLGYLGWMVYLILHVLKSYTSLSEKIMKMEQAAEQKNKTGKVYLFGCLLMGVISVILYVEQSPPLYHAYIAMTVFLWTQILGDYQFVKALWRHLSGKKSNYAIKLLVTCAISILLLEFLVNSFTERKLYTWCFLIVGSIASLYLFKAIPWRSTIPIFVCVACWCLSVFTLMPAEIPDNNELVIASGAIIITIGAAARWLDKHSEGNKNWLAICCHETDKPKQSMLFYLQALLVGLSSIMVSLSTSHRTQKQELHLIHQLINWTLAGFSMVLPLFSENGLLSRLTSIFLGFAPTFLLLSIGYEALFYGALALVLMAWILFENTLLHLTKLKKLSKYEDYTTLENDRCLQLSDVRIPLVFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVM >Manes.17G118300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33383705:33393808:1 gene:Manes.17G118300.v8.1 transcript:Manes.17G118300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGSHGDGHPSNTDTPLVVWGAGVKYPKPISGAGQSDPGFHFVDEHTHGMPTPVDWGLIGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMIEAEEVEAVLANTKQILNQFLHKSHIKQSNSLYFKPFKPLVQHSSQLEHIENLISVRDYQNAMILTQELRSLALQGLHYFQTYDWLMLMTVITLGYLGWMVYLILHVLKSYTSLSEKIMKMEQAAEQKNKTGKVYLFGCLLMGVISVILYVEQSPPLYHAYIAMTVFLWTQILGDYQFVKALWRHLSGKKSNYAIKLLVTCAISILLLEFLVNSFTERKLYTWCFLIVGSIASLYLFKAIPWRSTIPIFVCVACWCLSVFTLMPAEIPDNNELVIASGAIIITIGAAARWLDKHSEGNKNWLAICCHETDKPKQSMLFYLQALLVGLSSIMVSLSTSHRTQKQELHLIHQLINWTLAGFSMVLPLFSENGLLSRLTSIFLGFAPTFLLLSIGYEALFYGALALVLMAWILFENTLLHLTKLKKLSKYEDYTTLENDRCLQLSDVRIPLVFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFSAITKLLRVPRLGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNVYTKDIRIRSDGSSSRKAV >Manes.17G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33382386:33393808:1 gene:Manes.17G118300.v8.1 transcript:Manes.17G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDGILGSKETQRMLSETHRRRKWLKRRERWLVILGVILHAVYMLSIFDIYFKTPIVHGMDPVKPRFKAPAKRLVLLVADGLRADKFFEPDSEGNYRAPFLRSVIKNKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFSYGSPDIVPIFCGALEHSTWKSYPHEFEDFATDASFLDEWSFDQFQSLLNRSNEDPKLKELLLQDNLVIFLHLLGCDSNGHAHRPYSSIYLNNVKVVDDIAQRVYALLEDYYKDNSTAYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKYPKPISGAGQSDPGFHFVDEHTHGMPTPVDWGLIGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMIEAEEVEAVLANTKQILNQFLHKSHIKQSNSLYFKPFKPLVQHSSQLEHIENLISVRDYQNAMILTQELRSLALQGLHYFQTYDWLMLMTVITLGYLGWMVYLILHVLKSYTSLSEKIMKMEQAAEQKNKTGKVYLFGCLLMGVISVILYVEQSPPLYHAYIAMTVFLWTQILGDYQFVKALWRHLSGKKSNYAIKLLVTCAISILLLEFLVNSFTERKLYTWCFLIVGSIASLYLFKAIPWRSTIPIFVCVACWCLSVFTLMPAEIPDNNELVIASGAIIITIGAAARWLDKHSEGNKNWLAICCHETDKPKQSMLFYLQALLVGLSSIMVSLSTSHRTQKQELHLIHQLINWTLAGFSMVLPLFSENGLLSRLTSIFLGFAPTFLLLSIGYEALFYGALALVLMAWILFENTLLHLTKLKKLSKYEDYTTLENDRCLQLSDVRIPLVFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVICVFSAITKLLRVPRLGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNVYTKDIRIRSDGSSSRKAV >Manes.10G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31261130:31268336:1 gene:Manes.10G144700.v8.1 transcript:Manes.10G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTYYQKGICSYGSRCRYEHVKLSKLNSASSSSTVPLQSAVSISVPLARSVRTGFDRGTSAPGATGELSASSRPYFPPTKPAWNLESVPNNILENDDIIEPRNVKPEERSLCSFAAVGNCPRGDKCPHIHGDICPTCGKHSLHPFRPEEREEHLKTCEKKQKYLEALKHSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSNSPTSGMDVNTALRACPICRKLSYFVVPSVIWYSSKEEKLEIIDSYKAKLSSIDCKHFDFGNGSCPFGTSCFYKHAYRDGCLEEVALRHLGAEDGNTVIAKNIRLSDFLSSLQLS >Manes.08G056900.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372488:6381250:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372970:6381219:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCLFQKINCWTLEENFFEMQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372970:6381219:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCLFQKINCWTLEENFFEMQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372970:6381219:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCLFQKINCWTLEENFFEMQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372887:6381221:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372970:6381224:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCLFQKINCWTLEENFFEMQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372593:6381219:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372488:6381250:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372970:6381250:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCLFQKINCWTLEENFFEMQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372970:6381222:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLCLFQKINCWTLEENFFEMQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.08G056900.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6372593:6381250:-1 gene:Manes.08G056900.v8.1 transcript:Manes.08G056900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLRVSIALSSKTNHQFIQCKFRPLSYSLNHSTTATSKISLPDRDYSANSNLARSNWLITRLCKEGKINEARQLFDKMREKDVVTWTTMITGYIKCGLLVEARRLFDRVDAMKNVVTWTAMVSGYTLRNRIFEAKRLFEAMPVKNVVSWNTMIDGYAQNGEVDKALEVFERMPERNVVSWNTLITALAQSGRVEEARRLFDEMPKRDVISWTAMVAGLAKNGRVDEAREVFEKMPERNVVSWNTMITGYAKNKRLDEAFELFKKMPKRDLPSWNTMITGFIQNGELRKARAAFNEMPEKNVVSWTTMITGYVQERESEEALKIFMEMIREGQVKPNEGTFVNVLSACSDLAGLGEGHQVHQMISKTAYQDMAFIVSALINMYSKCGELSSARKIFDDGVTSQKDLVSWNCMIAAYAHHGCGKEAIELFNKMRCLGFKPDDVSYVGLLSACSHAGLVEDGLSYFDELIKDGSLQVREDHYTCLVDLCGRAGRLKEAFHLIKQLGIKSSSSIWGALLAGCNVYGDLKIGKLAAVELLKEEPQNAGTYLLLSNIYASCGKWREAARVRLKMKDKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLLHDLNTKMKKTWYVSDNNFIVDGDFLIM >Manes.14G109900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9264062:9267937:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.14G109900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9264062:9267940:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEKSGLESSLIPSQELDEEEEEEEEEELCKQNCCRGDFIEEAKKQLWLAGPLIAVSMLQYCLQVISVMFVGHLGELALSSASMASSFGSVTGFSVLLGMGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVLLEDVDGRNYVHLSILGLIML >Manes.14G109900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9263615:9267938:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.14G109900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9263615:9267938:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.14G109900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9264427:9267938:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.14G109900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9264062:9267940:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEKSGLESSLIPSQELDEEEEEEEEEELCKQNCCRGDFIEEAKKQLWLAGPLIAVSMLQYCLQVISVMFVGHLGELALSSASMASSFGSVTGFSVLLGMGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.14G109900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9263615:9269320:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEKSGLESSLIPSQELDEEEEEEEEEELCKQNCCRGDFIEEAKKQLWLAGPLIAVSMLQYCLQVISVMFVGHLGELALSSASMASSFGSVTGFSVLLGMGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.14G109900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9263607:9267937:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEKSGLESSLIPSQELDEEEEEEEEEELCKQNCCRGDFIEEAKKQLWLAGPLIAVSMLQYCLQVISVMFVGHLGELALSSASMASSFGSVTGFSVLLGMGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.14G109900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9264062:9267940:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.14G109900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9263607:9267937:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKERSATQGQRRMERGEKSGLESSLIPSQELDEEEEEEEEEELCKQNCCRGDFIEEAKKQLWLAGPLIAVSMLQYCLQVISVMFVGHLGELALSSASMASSFGSVTGFSVLLGMGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVLLEDVDGRNYVHLSILGLIML >Manes.14G109900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9263609:9267937:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEKSGLESSLIPSQELDEEEEEEEEEELCKQNCCRGDFIEEAKKQLWLAGPLIAVSMLQYCLQVISVMFVGHLGELALSSASMASSFGSVTGFSVLLGMGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVLLEDVDGRNYVHLSILGLIML >Manes.14G109900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9263615:9267937:1 gene:Manes.14G109900.v8.1 transcript:Manes.14G109900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKERSATQGQRRMERGEKSGLESSLIPSQELDEEEEEEEEEELCKQNCCRGDFIEEAKKQLWLAGPLIAVSMLQYCLQVISVMFVGHLGELALSSASMASSFGSVTGFSVLLGMGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLALSIPLAIIWFYTSTILIFVGQDHEISTGAGIFNRWMIPSLFAFALLQCLNRFLQTQNNVFPMMVSSGITASLHILICWVLVFKSGLGSKGAAMAITISYWINVFLLALYIKFSPACMKTWTGFSREALHDILSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMISVGLGGAISTRVSNELGAGHPQGARLALCVMIIIALSEGTVVGISTILVRREWGKLYSNEEEVIKYVANMMPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVAIPCALLFAFILHIGGMGLWMGIICGLLVQVVALVTVNACTNWDQEAEKAMHRVGETSSADVGVWKN >Manes.07G055364.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8095281:8097588:-1 gene:Manes.07G055364.v8.1 transcript:Manes.07G055364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMGLLSEELIRKHQQTYAFLHIGMIQVAVKPATRLGLNTAAMLCVRDKRHSKFSDSLLGVVESSLCDGPIFFQCFPNLTLSLSDPHIMRTLILDIKTMGYDMTPGSENLILIYRIHYKAMNTMVPNLRDSATKLVSPKGMTTLFLTNMSKGNLIVAKPIQWDQVNLPDSWILEEAVPPRKKESTAIQSIMQSNEGTVAISFSRSRSVSNRRSMTEISLMSEPLPQRSSVSIPVQRMANSVYARPQSPVQRPQSPTPSDMGYDVESLQSKSFKIMLLETEEPFEKWFKMELPIREQKMWNKNLHTIGQQLNRVENQVSKMAAQPKLIDIIPTKEVVESSGTKVEDKVLFKPMDSKPIELSKRLAKLGIKEDGKMKAIAPLTMESEEEKSNTDHELIQLENMLRETDTAEVNRIKYPKASAAMDLKPYYPRPSPINLQFEDTSYNYMQYDGTSIVEWNIDGLLDYQIKNVLQYMTMYATASRAKGNDDPNTAKALIAGFGGQLKGWWDFTVSTEGKELIFRMVKQEGTQQRLHFIGSLINLRCPDLSHFKWYKDTFFSLVFIREDSNNSVWKDKFLAGLPALCSVIIIQFSTFCY >Manes.11G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3555944:3559784:1 gene:Manes.11G037000.v8.1 transcript:Manes.11G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPSRWSVHPPSQQPSSLLSSSPSPSLFPSQYVLGSSSSHPFNSLTDNQELPQSWSQLLLGGLSGEEDRFGLSHFQPKKLENWEDPILNPYSRVPVVADVKQEIPQNSNLYGHGDEEFQQLRPTTWPQVMPVSSPRSCITTLSSNILDFSYNKPCVRSQHPDQSSECNSTATGGLSKKPRVQPYPTQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGNSSSNVRNQQSVQGEKNSMFPEDPGQDSHEMPKDLRSRGLCLVPVSCTQHVGSDNGADYWAPAIGGGF >Manes.11G037000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3555943:3559784:1 gene:Manes.11G037000.v8.1 transcript:Manes.11G037000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGNPSRWSVHPPSQQPSSLLSSSPSPSLFPSQYVLGSSSSHPFNSLTDNQELPQSWSQLLLGGLSGEEDRFGLSHFQPKKLENWEDPILNPYSRVPVVADVKQEIPQNSNLYGHGDEEFQQLRPTTWPQVMPVSSPRSCITTLSSNILDFSYNKPCVRSQHPDQSSECNSTATGGLSKKPRVQPYPTQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGNSSSNVRNQQSVQGEKNSMFPEDPG >Manes.14G160300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24592430:24601862:1 gene:Manes.14G160300.v8.1 transcript:Manes.14G160300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNSNDEGIKLALYKAAISGDWEKAASLQPTTRRLNKRGETALHIATAANHTRFVEKLVGMIRDSDKEVLAIRTIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAHAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANAHAAQQGNAQATTLVTIERQVGSPVQAANTATTSKAIASQASSPSEAIAAAPTLSTIEEDPSTSEAQAEAALASATTNANAAQQENALATTVVTIAQQVRVPIQAATPATTSTAIASQASSLGGAGAVASESEDQGNTAFCYAAISGNVKIAEVMRQKKTDLPKIRGGKGFLPIYMAALAGHAEMVRQLYKHHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRRELATMRDQKNRQGETALHAFARKPCIPSIQTSTGIWSSCRSFFSDRRKHEQGLRLVQKLWEEVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHVAVLNRHEKIFRLIFQLGMMKNLINLHEDADRNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQETGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIRFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCCNNETLFPTKSKFPRKLCCSFN >Manes.14G160300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24595094:24601592:1 gene:Manes.14G160300.v8.1 transcript:Manes.14G160300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNSNDEGIKLALYKAAISGDWEKAASLQPTTRRLNKRGETALHIATAANHTRFVEKLVGMIRDSDKEVLAIRTIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAHAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANAHAAQQGNAQATTLVTIERQVGSPVQAANTATTSKAIASQASSPSEAIAAAPTLSTIEEDPSTSEAQAEAALASATTNANAAQQENALATTVVTIAQQVRVPIQAATPATTSTAIASQASSLGGAGAVASESEDQGNTAFCYAAISGNVKIAEVMRQKKTDLPKIRGGKGFLPIYMAALAGHAEMVRQLYKHHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRRELATMRDQKNRQGETALHAFARKPCIPSIQTSTGIWSSCRSFFSDRRKHEQGLRLVQKLWEEVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHVAVLNRHEKIFRLIFQLGMMKNLINLHEDADRNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQETGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIRFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCCNNETLFPTKSKFPRKLCCSFN >Manes.14G160300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24592432:24601592:1 gene:Manes.14G160300.v8.1 transcript:Manes.14G160300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNSNDEGIKLALYKAAISGDWEKAASLQPTTRRLNKRGETALHIATAANHTRFVEKLVGMIRDSDKEVLAIRTIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAHAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANAHAAQQGNAQATTLVTIERQVGSPVQAANTATTSKAIASQASSPSEAIAAAPTLSTIEEDPSTSEAQAEAALASATTNANAAQQENALATTVVTIAQQVRVPIQAATPATTSTAIASQASSLGGAGAVASESEDQGNTAFCYAAISGNVKIAEVMRQKKTDLPKIRGGKGFLPIYMAALAGHAEMVRQLYKHHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRRELATMRDQKNRQGETALHAFARKPCIPSIQTSTGIWSSCRSFFSDRRKHEQGLRLVQKLWEEVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHVAVLNRHEKIFRLIFQLGMMKNLINLHEDADRNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQETGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIRFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCCNNETLFPTKSKFPRKLCCSFN >Manes.14G160300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24592509:24601592:1 gene:Manes.14G160300.v8.1 transcript:Manes.14G160300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNSNDEGIKLALYKAAISGDWEKAASLQPTTRRLNKRGETALHIATAANHTRFVEKLVGMIRDSDKEVLAIRTIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAHAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANAHAAQQGNAQATTLVTIERQVGSPVQAANTATTSKAIASQASSPSEAIAAAPTLSTIEEDPSTSEAQAEAALASATTNANAAQQENALATTVVTIAQQVRVPIQAATPATTSTAIASQASSLGGAGAVASESEDQGNTAFCYAAISGNVKIAEVMRQKKTDLPKIRGGKGFLPIYMAALAGHAEMVRQLYKHHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRRELATMRDQKNRQGETALHAFARKPCIPSIQTSTGIWSSCRSFFSDRRKHEQGLRLVQKLWEEVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHVAVLNRHEKIFRLIFQLGMMKNLINLHEDADRNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQETGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIRFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCCNNETLFPTKSKFPRKLCCSFN >Manes.14G160300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24592485:24601592:1 gene:Manes.14G160300.v8.1 transcript:Manes.14G160300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNSNDEGIKLALYKAAISGDWEKAASLQPTTRRLNKRGETALHIATAANHTRFVEKLVGMIRDSDKEVLAIRTIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAHAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANAHAAQQGNAQATTLVTIERQVGSPVQAANTATTSKAIASQASSPSEAIAAAPTLSTIEEDPSTSEAQAEAALASATTNANAAQQENALATTVVTIAQQVRVPIQAATPATTSTAIASQASSLGGAGAVASESEDQGNTAFCYAAISGNVKIAEVMRQKKTDLPKIRGGKGFLPIYMAALAGHAEMVRQLYKHHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRRELATMRDQKNRQGETALHAFARKPCIPSIQTSTGIWSSCRSFFSDRRKHEQGLRLVQKLWEEVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHVAVLNRHEKIFRLIFQLGMMKNLINLHEDADRNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQETGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIRFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCCNNETLFPTKSKFPRKLCCSFN >Manes.14G160300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24592460:24601592:1 gene:Manes.14G160300.v8.1 transcript:Manes.14G160300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNSNDEGIKLALYKAAISGDWEKAASLQPTTRRLNKRGETALHIATAANHTRFVEKLVGMIRDSDKEVLAIRTIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAHAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANAHAAQQGNAQATTLVTIERQVGSPVQAANTATTSKAIASQASSPSEAIAAAPTLSTIEEDPSTSEAQAEAALASATTNANAAQQENALATTVVTIAQQVRVPIQAATPATTSTAIASQASSLGGAGAVASESEDQGNTAFCYAAISGNVKIAEVMRQKKTDLPKIRGGKGFLPIYMAALAGHAEMVRQLYKHHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRRELATMRDQKNRQGETALHAFARKPCIPSIQTSTGIWSSCRSFFSDRRKHEQGLRLVQKLWEEVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHVAVLNRHEKIFRLIFQLGMMKNLINLHEDADRNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQETGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIRFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCCNNETLFPTKSKFPRKLCCSFN >Manes.14G160300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24592489:24601592:1 gene:Manes.14G160300.v8.1 transcript:Manes.14G160300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNSNDEGIKLALYKAAISGDWEKAASLQPTTRRLNKRGETALHIATAANHTRFVEKLVGMIRDSDKEVLAIRTIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAHAVVAAPTAFRIGEDPTISRTSIAAALASANANVIPPKAIETTATAIAPQTSSLAQAVVAAPTAFRIGEDPTISRTSIAAALASANANAHAAQQGNAQATTLVTIERQVGSPVQAANTATTSKAIASQASSPSEAIAAAPTLSTIEEDPSTSEAQAEAALASATTNANAAQQENALATTVVTIAQQVRVPIQAATPATTSTAIASQASSLGGAGAVASESEDQGNTAFCYAAISGNVKIAEVMRQKKTDLPKIRGGKGFLPIYMAALAGHAEMVRQLYKHHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRRELATMRDQKNRQGETALHAFARKPCIPSIQTSTGIWSSCRSFFSDRRKHEQGLRLVQKLWEEVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHVAVLNRHEKIFRLIFQLGMMKNLINLHEDADRNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQETGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIRFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCCNNETLFPTKSKFPRKLCCSFN >Manes.15G131501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10519221:10519965:1 gene:Manes.15G131501.v8.1 transcript:Manes.15G131501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIYSQLKTKKQKPTTGGSTFYIAPRRDPKSQDLTVKTLSILYANKKINLKKKKQQRNGTSKKSIDLLEREIQSLTVEEEVLSAGYMKQNKYNLKMDGGYYATFSSGNFF >Manes.05G146900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24936533:24941199:1 gene:Manes.05G146900.v8.1 transcript:Manes.05G146900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDGFPPFISAQLQYLLAHYPQLIKVEQVWSGSKYFPGSLDRFTLLIPYCLDYLRWDIIYNVEFPLAAPDVIFGPEDENFHPFHDLGGEGGDLRLVKNSLTDWNNKDPARLLALIEELRDKYMSYQKKRVGEVDDDRLKFEISTILSREGIEMHMSSGVEKAEEVKFAVPLMDMNINKMVLACPWRHPQRIYLQVVYPVGRKYVSAPSAPRLKLMSTAELKALFSIDDVKLPPWLDGMCLAEYLPHLEQLLQRQVSEAVSLIDVRRRFIEALAPLFGRPLEADPVFCRKATFLSASGPFTLLVHFFLSTQFPKQQPSLMLQSTQHFNSHGAPAKSPLLNDYPWSPRWEVSQMAERVFDFLVDESVNFKRQCNESQLQH >Manes.05G146900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24936533:24941199:1 gene:Manes.05G146900.v8.1 transcript:Manes.05G146900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDGFPPFISAQLQYLLAHYPQLIKVEQVWSGSKYFPGSLDRFTLLIPYCLDYLRWDIIYNVEFPLAAPDVIFGPEDENFHPFHDLGGEGGDLRLVKNSLTDWNNKDPARLLALIEELRDKYMSYQKKRVGEVDDDRLKFEISTILSREGIEMHMSSGVEKAEEVKFAVPLMDMNINKMVLACPWRHPQRIYLQVVYPVGRKYVSAPSAPRLKLMSTAELKALFSIDDVKLPPWLDGMCLAEYLPHLEQLLQRQVSEAVSLIDVRRRFIEALAPLFGRPLEADPVFCRKATFLSASGPFTLLHFNSHGAPAKSPLLNDYPWSPRWEVSQMAERVFDFLVDESVNFKRQCNESQLQH >Manes.05G115900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11863247:11868945:-1 gene:Manes.05G115900.v8.1 transcript:Manes.05G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTFSGLNALYDAVNGGADVWINENRFRIVRQLGEGGFAYVYLVKEVVTDSSASAGGGGSGLAKKVKDTSHLSDDGTYAMKKVLIQNNEQLELVREEIRVSSLFSHSNLLPLLDHAIIAVKTNQEGSWNHEAYLLFPVHLDGTLLDNSKFMKAKKEFFSTSDVLQIFRQLCAGLKHMHSLDPPYAHNDVKPGNILLTRRKGQPPLAILMDFGSARPARRQIRSRSDALKLQEWASEHCSAPFRAPELWDCPSHADIDERSDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQVKWPAGPNPPYPEALHKFVTWMLQPQAAVRPCIDDIIIHVDKLISKFSQ >Manes.16G045600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8193472:8196312:-1 gene:Manes.16G045600.v8.1 transcript:Manes.16G045600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSKVLLGITSDYRLWILAMAIMSVLLVMSVRRSWFGDEFSDQASEDFHLPVSLPSKGHGHPPILAYWICGSSGDGKRMMRLLKAIYHPRNQYLLQLDSDAVDYERVDLLVWIKSENLFTSFGNVYVVGKSYGINRIGSSALAAILHAAALLLKLNQDWDWFINLSPSDYPIMTQDDLLYVFSSLPRDLNFIHFSNETEWKEKRRIEQIVIDPSLYLQKRNDLFYTVETRTPNAFKIFGGSPWLILTRALMEYSVKGWDNLPRKLVMYFSNAAYPIEFYFHTLICNSPDFHNTTINTDLRYNILEDPKSNKTVLYSGAAAAAFASPFKEGNSELNRIDEMILNRLPDAVVPGSWCLSHQGIDVINYTESENWNLCSTWGNIDSVKPGPSGMKLASLISELLRSNHHCHQ >Manes.18G119080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12518560:12521730:-1 gene:Manes.18G119080.v8.1 transcript:Manes.18G119080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINNLVEEKEKALLGYGYSRSIDDSLEERVSVFLDQFFDDADPSLHAFDYDNHDGHDDHDSFFDHHNTHVHNSMERTIFWESQEALLQEVLERYSMTGSKVRQEISRIIEMGIKERDSCKNLEPINCFRQTVVHLLCQRGFNASLCTSKWNDTKKFPGGKHEYIEVVVSTNRKKKQNPYLIELEFKEQFEIAKACEEYQKLVDHLPKYYIGKADYLNAIVGILCDSAKRSMKEKKIHMGPWRKRSFMQMKWSNSSTKGEESIHDSSSNQFSLLSSSQAHQSCFHFSAAPTVIVT >Manes.03G043116.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3779812:3785275:1 gene:Manes.03G043116.v8.1 transcript:Manes.03G043116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNYGKLLIFSLYFILNINFNILNFINFIFINTIFFLLLFDLHCHFFNYYFLLFFLFSFFPLFFLFYFLCFSIIFFYFFSIFFIFPLFSFTFQHFFSFPYLSFPTTFFPL >Manes.01G247400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40749315:40750895:-1 gene:Manes.01G247400.v8.1 transcript:Manes.01G247400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKIFVSSSSGSPSTSLPFFLSCKSNFLEKPKLYFKSHLSTSTFQRQKSQFLGKSLVFQEKNGPFGSTMRKTHSPFEPVRAVVKRRKELPFDNVIQRDKKLKLVLKIRKILVNQPDRVMSLRQLGRYRRELGLQKQRRFIALLKKFPAVFEIVEEGAFLLQFKLTPEAERLYLEELKLRNEMEDLLVVKLRKLLMMSLEKRILLEKVAHLRTDLGLPAEFRDTICHRYPQYFRVVATERGPALELTHWDPELAVSAAELSEEENRARELEEKNLIIDRPPKFNRVKLPKGLQLSKGEMRRICKFRDMPYISPYSDFTHLRSGTPEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQQVRGMLIRHPDMFYVSLKGDRDSVFLREAYHNSYLKDKDRLLLIKEKFRSLVDVPRFPRRGVPKRDGNIENETEKQEEASGEEGDDWSDIDSYMSDDGLDDNEDEGEYEGDWSDENDTPPGFDEDEESVKIHVSRSINQLDKSTKSEEKLVPVFPDGQPRERW >Manes.03G058400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5877265:5881875:1 gene:Manes.03G058400.v8.1 transcript:Manes.03G058400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDQVEENGNLFTDDVLSKSETVSNMTQLNGFNSTDTDHADERAETYGKEKDTDVNNGLVSEASAVSKNSFGTDNHNKESSLQQTQQIFSQENLSYPLENNTSSDVDNHDKVPSFEQLGQPNVHSTVDNVSNSGFNSGSDVREQSILKENSSFSCENTGTSNSSIESIVPILPPADSTPNVTFPRNVEPNVVPYVARVDHNASALDKDVKSNMVNHGKSGKLQNNIAAFHDNSSMTTITKEKKKPEMQASGVMSVSEMNSLLLQSWSSPKFMRPQWASAVDQELLLAKSQIENAPIVENDQILYSPLYWNISMFKRSYELMEEMLRVYIYKEGEKPIMHQPGLKGIYASEGWFMKLMEASKKFVTKKPMKAHLFYLPFSSRNLEEELYVPNSHSSKNLVEYLENYLDIIVTTYPFWNRTAGVDHFLVACHDWAPFETKQLMHNCIRALCNADVKEGFVFGKDVSLPETNVPVPKNPLRDLGGKPPSQRSILAFFAGKMHGYLRPILLKHWGDKDPDMKIFGRMPKVRGKMKYSQYMKSSKYCICPRGYEVNSPRIVEAIMYECVPVIISDNYVPPFFEVLNWETFAVFIVEKDIPNLKNILLSIPEERYREMQMRVKKVQLHFLWHSRPVKYDLFHMILHSVWYNRVLQMQP >Manes.03G058400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5877265:5881875:1 gene:Manes.03G058400.v8.1 transcript:Manes.03G058400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVHTDQVEENGNLFTDDVLSKSETVSNMTQLNGFNSTDTDHADERAETYGKEKDTDVNNGLVSEASAVSKNSFGTDNHNKESSLQQTQQIFSQENLSYPLENNTSSDVDNHDKVPSFEQLGQPNVHSTVDNVSNSGFNSGSDVREQSILKENSSFSCENTGTSNSSIESIVPILPPADSTPNVTFPRNVEPNVVPYVARVDHNASALDKDVKSNMVNHGKSGKLQNNIAAFHDNSSMTTITKEKKKPEMQASGVMSVSEMNSLLLQSWSSPKFMRPQWASAVDQELLLAKSQIENAPIVENDQILYSPLYWNISMFKRSYELMEEMLRVYIYKEGEKPIMHQPGLKGIYASEGWFMKLMEASKKFVTKKPMKAHLFYLPFSSRNLEEELYVPNSHSSKNLVEYLENYLDIIVTTYPFWNRTAGVDHFLVACHDWAPFETKQLMHNCIRALCNADVKEGFVFGKDVSLPETNVPVPKNPLRDLGGKPPSQRSILAFFAGKMHGYLRPILLKHWGDKDPDMKIFGRMPKVRGKMKYSQYMKSSKYCICPRGYEVNSPRIVEAIMYECVPVIISDNYVPPFFEVLNWETFAVFIVEKDIPNLKNILLSIPEERYREMQMRVKKVQLHFLWHSRPVKYDLFHMILHSVWYNRVLQMQP >Manes.03G058400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5877265:5881875:1 gene:Manes.03G058400.v8.1 transcript:Manes.03G058400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVHTDQVEENGNLFTDDVLSKSETVSNMTQLNGFNSTDTDHADERAETYGKEKDTDVNNGLVSEASAVSKNSFGTDNHNKESSLQQTQQIFSQENLSYPLENNTSSDVDNHDKVPSFEQLGQPNVHSTVDNVSNSGFNSGSDVREQSILKENSSFSCENTGTSNSSIESIVPILPPADSTPNVTFPRNVEPNVVPYVARVDHNASALDKDVKSNMVNHGKSGKLQNNIAAFHDNSSMTTITKEKKKPEMQASGVMSVSEMNSLLLQSWSSPKFMRPQWASAVDQELLLAKSQIENAPIVENDQILYSPLYWNISMFKRSYELMEEMLRVYIYKEGEKPIMHQPGLKGIYASEGWFMKLMEASKKFVTKKPMKAHLFYLPFSSRNLEEELYVPNSHSSKNLVEYLENYLDIIVTTYPFWNRTAGVDHFLVACHDWAPFETKQLMHNCIRALCNADVKEGFVFGKDVSLPETNVPVPKNPLRDLGGKPPSQRSILAFFAGKMHGYLRPILLKHWGDKDPDMKIFGRMPKVRGKMKYSQYMKSSKYCICPRGYEVNSPRIVEAIMYECVPVIISDNYVPPFFEVLNWETFAVFIVEKDIPNLKNILLSIPEERYREMQMRVKKVQLHFLWHSRPVKYDLFHMILHSVWYNRVLQMQP >Manes.03G058400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5877316:5881875:1 gene:Manes.03G058400.v8.1 transcript:Manes.03G058400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFRFRFPMETQRLLCLVVMMFVLIITFQHLELPSGNIFSFISSVHTDQVEENGNLFTDDVLSKSETVSNMTQLNGFNSTDTDHADERAETYGKEKDTDVNNGLVSEASAVSKNSFGTDNHNKESSLQQTQQIFSQENLSYPLENNTSSDVDNHDKVPSFEQLGQPNVHSTVDNVSNSGFNSGSDVREQSILKENSSFSCENTGTSNSSIESIVPILPPADSTPNVTFPRNVEPNVVPYVARVDHNASALDKDVKSNMVNHGKSGKLQNNIAAFHDNSSMTTITKEKKKPEMQASGVMSVSEMNSLLLQSWSSPKFMRPQWASAVDQELLLAKSQIENAPIVENDQILYSPLYWNISMFKRSYELMEEMLRVYIYKEGEKPIMHQPGLKGIYASEGWFMKLMEASKKFVTKKPMKAHLFYLPFSSRNLEEELYVPNSHSSKNLVEYLENYLDIIVTTYPFWNRTAGVDHFLVACHDWAPFETKQLMHNCIRALCNADVKEGFVFGKDVSLPETNVPVPKNPLRDLGGKPPSQRSILAFFAGKMHGYLRPILLKHWGDKDPDMKIFGRMPKVRGKMKYSQYMKSSKYCICPRGYEVNSPRIVEAIMYECVPVIISDNYVPPFFEVLNWETFAVFIVEKDIPNLKNILLSIPEERYREMQMRVKKVQLHFLWHSRPVKYDLFHMILHSVWYNRVLQMQP >Manes.03G058400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5877316:5881875:1 gene:Manes.03G058400.v8.1 transcript:Manes.03G058400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFRFRFPMETQRLLCLVVMMFVLIITFQHLELPSGNIFSFISSVHTDQVEENGNLFTDDVLSKSETVSNMTQLNGFNSTDTDHADERAETYGKEKDTDVNNGLVSEASAVSKNSFGTDNHNKESSLQQTQQIFSQENLSYPLENNTSSDVDNHDKVPSFEQLGQPNVHSTVDNVSNSGFNSGSDVREQSILKENSSFSCENTGTSNSSIESIVPILPPADSTPNVTFPRNVEPNVVPYVARVDHNASALDKDVKSNMVNHGKSGKLQNNIAAFHDNSSMTTITKEKKKPEMQASGVMSVSEMNSLLLQSWSSPKFMRPQWASAVDQELLLAKSQIENAPIVENDQILYSPLYWNISMFKRSYELMEEMLRVYIYKEGEKPIMHQPGLKGIYASEGWFMKLMEASKKFVTKKPMKAHLFYLPFSSRNLEEELYVPNSHSSKNLVEYLENYLDIIVTTYPFWNRTAGVDHFLVACHDWAPFETKQLMHNCIRALCNADVKEGFVFGKDVSLPETNVPVPKNPLRDLGGKPPSQRSILAFFAGKMHGYLRPILLKHWGDKDPDMKIFGRMPKVRGKMKYSQYMKSSKYCICPRGYEVNSPRIVEAIMYECVPVIISDNYVPPFFEVLNWETFAVFIVEKDIPNLKNILLSIPEERYREMQMRVKKVQLHFLWHSRPVKYDLFHMILHSVWYNRVLQMQP >Manes.03G058400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5877265:5881875:1 gene:Manes.03G058400.v8.1 transcript:Manes.03G058400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDQVEENGNLFTDDVLSKSETVSNMTQLNGFNSTDTDHADERAETYGKEKDTDVNNGLVSEASAVSKNSFGTDNHNKESSLQQTQQIFSQENLSYPLENNTSSDVDNHDKVPSFEQLGQPNVHSTVDNVSNSGFNSGSDVREQSILKENSSFSCENTGTSNSSIESIVPILPPADSTPNVTFPRNVEPNVVPYVARVDHNASALDKDVKSNMVNHGKSGKLQNNIAAFHDNSSMTTITKEKKKPEMQASGVMSVSEMNSLLLQSWSSPKFMRPQWASAVDQELLLAKSQIENAPIVENDQILYSPLYWNISMFKRSYELMEEMLRVYIYKEGEKPIMHQPGLKGIYASEGWFMKLMEASKKFVTKKPMKAHLFYLPFSSRNLEEELYVPNSHSSKNLVEYLENYLDIIVTTYPFWNRTAGVDHFLVACHDWAPFETKQLMHNCIRALCNADVKEGFVFGKDVSLPETNVPVPKNPLRDLGGKPPSQRSILAFFAGKMHGYLRPILLKHWGDKDPDMKIFGRMPKVRGKMKYSQYMKSSKYCICPRGYEVNSPRIVEAIMYECVPVIISDNYVPPFFEVLNWETFAVFIVEKDIPNLKNILLSIPEERYREMQMRVKKVQLHFLWHSRPVKYDLFHMILHSVWYNRVLQMQP >Manes.16G052070.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13193575:13196467:1 gene:Manes.16G052070.v8.1 transcript:Manes.16G052070.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLLFRGRCGCPISKLEGRGPKKGRRHKKCLEEQYYLEVEDIISISL >Manes.04G061200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18385450:18390046:-1 gene:Manes.04G061200.v8.1 transcript:Manes.04G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATSVVPAGRSARRAALEDDKLVFETTEGIEPITSFDEMGIKNDLLRGIYAYGFEKPSAIQQRAVMPIIKGRDVIAQAQSGTGKTSMIALTVCQLVDTSSREVQALILSPTRELAAQTEKVILAIGDYINIQAHACIGGKSVGEDIRKLEFGVHVVSGTPGRVCDMIKRRTLRTRAIRLLVLDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPNEILEMTSKFMTDPVKILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTEKMRSNNFTVSSMHGDMPQKERDAIMSEFRSGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >Manes.04G123700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32477387:32478214:-1 gene:Manes.04G123700.v8.1 transcript:Manes.04G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTWCNSDAYVKGRYDRMASSFNVLESSSAGKRPRWRLLWRKIMREKKKIFDCSSASNRMHFSYDPYTYSQNFDQGLMWSDPENMSRSFSARFAVPSRIFEKAHSWV >Manes.15G166900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14170546:14175099:-1 gene:Manes.15G166900.v8.1 transcript:Manes.15G166900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLVTPDSTPLSYWLSWRVLLCAICVFTPMVVAVFITWKHEGFDHLRSCGGKTQQKINHSLFEDRAWRPCLKQIHPIWLLAYRVIAFSVLLASLIAKVSENGFVMFYYYTQWTFTSVTIYFGFGVLLSICGCFQYHKMGTAASNIHHITDDAEQGYHVPLICEERLNVHNARKISNTEEEIYTFQIATVWSYLFQVLYQMNAGAVMLTDFVYWAIIFPFLTIKDYTMNFLTVNMHTINAILLLGDTALNCLPFPWFRFSYFILWTGAFVIFQWIIHACISIWWPYPFLDLSSPYAPLWYLLVGLLHLPCYSFFVLITKMKHNLLSKWFPQSYECL >Manes.16G128800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33013942:33016689:1 gene:Manes.16G128800.v8.1 transcript:Manes.16G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHVLFDKYEMGRLLGKGTFAKVYYGKNMATGESVAIKVISKDQVKKEGMMEQIKREISVMRLVRHPNIVELKEVLATKTKIFFIMEYVRGGELFAKVARGKLKEDVARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDENGDLKISDFGLSALPEQLLNDGLLHTQCGTPSYVAPEVLRKKGYDGFKADIWSCGVILYVLLAGFLPFQDENMMKMYRKIFKAEFECPPWFSSEAKRLISRILVADPERRITIPAIMRVPWFRKGFTRPLAFSVGEISNPDKREEEDEPLSTVMTKVSSPKFFNAFEFISSMSSGFDLSNLFENKKKTGSMFTSKFSASAIMNKIEGIAKGLNFKVSKVKDFKMKLQGLSEGRKGRLSVTAEVFEVAPEVAVVEFSKSAGDTLEYAKFCEQDVRPALKDIVWTWQGDTVCNSNSAGGGESENQTIL >Manes.01G039204.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7991309:7992207:1 gene:Manes.01G039204.v8.1 transcript:Manes.01G039204.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSDNELSGSIPFSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADVLPKGHEKGGTTRKDDSEMVWFYGGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.06G172800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29647607:29652029:-1 gene:Manes.06G172800.v8.1 transcript:Manes.06G172800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSLIPRLILFRLLVTLFTYALVKSELLGCPMPPELTDRLHVDPAAVESTSTDYGNIVRNKPAAVLYPLSVQDISCLIEASFTCSTPFGISARGNGHSTHGQDLVNNGVVVDMKALREKKKGNGIFISKRPLFADVGGEQLWIDVLNATVKRGVAPVSWTDYLELTVGGTLSNAGISGQTFRFGPQIRNVYELDVVTGKGELVTCSANKNSELFYGVLGGLGQFGIITRARIALAPASKRVLWAQILYSNFSGFTRDQENFIARHGRKTGNGMSYLEGGVILDNGTPNAWRTFFFPESFLPRISSLVKKHGIIYSLEFALSYDGVTKKIFEQEMNQFIKGLGSKPEVIYQKDVSLVEFLTRVQIQVQKNETHPWLNLFIPKSGISIFNSGVFRDIVLKRNITTGPVLFYPMFRHKWDDRMSAAIPDEDIFYAVGLLYTSGINGWQVYEDQNKAILGFCEKAGIVVKQYLPNHATKEEWTKHFGSKWTNFMEKKARFDPKNILSPGQRIFNNN >Manes.11G122500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28365730:28366605:1 gene:Manes.11G122500.v8.1 transcript:Manes.11G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAVQRASTTIRSASTVDSGKPISSTTGTNWNEFKFTDFKLVCPFNFPSSPEAAALRIIRNLNHFALYYTHFVWIILFISLIPKRKLSLILLVIMTYVGSLFLLLLRAVPSCSIVHRILDKRVVLCVIVVATMVELVLTHAGVHLLASLAGSLPVVLVHAILWVREDFSVEEKSSGGGPASGEMIPLVQESPAMV >Manes.S023253.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251224.1:965687:966965:1 gene:Manes.S023253.v8.1 transcript:Manes.S023253.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVPDFGLIASIPKTAKSITHVTSGARFRPNSFHPKNCQVYHPCYIRCPISPNSFHPKTAKSITHVTSGARFRLIASIPKTAKSITHVTSGARFRPNSFHPKNCQVYHPCYIRCPISA >Manes.12G008300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:889271:891089:1 gene:Manes.12G008300.v8.1 transcript:Manes.12G008300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLATATRNDPKEAATKPTKPLDKQEQTMIGSVMGSLRVIELQLVAFIMVFSASGLVPLLDLLFPAFASVYILVLARFAFPSNGRFSSGPQEIFQGTKFFRLYVIVGTTVGLFLPLAYVLGGFARGDDHAVRSATPHLFLLSFQILTENIISGLSLFSPPVRALVPLLYTVRRIFVIIDWIQDVWLNKTLSANAQVKDIGWHWFGRILAAVNLVYFSTNLFGFLIPGFLPRAFEKYLEERDETHMKMAEDKRSAAGNKPQSTDKKAD >Manes.10G010600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1164000:1165986:-1 gene:Manes.10G010600.v8.1 transcript:Manes.10G010600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVAVQVPNSPIFSSPRIPSIFCKPCSSPTIHGSQSPTSLSLPSSSSSASLALKSPLAIRVNEKRLETNSGTVLKRKRPGKIAIPVVAGGVCGFGLETPRREEEKVEVMEVEGDGYSVYCKRGRRRLMEDRYSASVDVNGDSKQAFFGVFDGHGGAKAAEFASKNLEKNIMAELSSRCEEKMGIETAIRNGYLTTDREFLKQNVGGGACCVTAMIHNGDLAVSNVGDCRAVMSRGGVAQALTSDHHPSREDERERIEALGGYVDCCHGVWRIQGSLAVTRGIGDRNLKQWVISEPETQVLKIKPEFEFLILASDGLWDKVTNQEAVDLVRPLCIGVDKPELFSACKKLSELASRRGSIDDTSAMIIQLASFTP >Manes.10G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1163868:1166067:-1 gene:Manes.10G010600.v8.1 transcript:Manes.10G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVAVQVPNSPIFSSPRIPSIFCKPCSSPTIHGSQSPTSLSLPSSSSSASLALKSPLALKSPLALKSPLALKSPLAIRVNEKRLETNSGTVLKRKRPGKIAIPVVAGGVCGFGLETPRREEEKVEVMEVEGDGYSVYCKRGRRRLMEDRYSASVDVNGDSKQAFFGVFDGHGGAKAAEFASKNLEKNIMAELSSRCEEKMGIETAIRNGYLTTDREFLKQNVGGGACCVTAMIHNGDLAVSNVGDCRAVMSRGGVAQALTSDHHPSREDERERIEALGGYVDCCHGVWRIQGSLAVTRGIGDRNLKQWVISEPETQVLKIKPEFEFLILASDGLWDKVTNQEAVDLVRPLCIGVDKPELFSACKKLSELASRRGSIDDTSAMIIQLASFTP >Manes.01G264600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41928383:41931052:-1 gene:Manes.01G264600.v8.1 transcript:Manes.01G264600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCLYVGVSLLHFTLSKSDRNMETLFAIFIFAAIPLLIIFISFLHLMLKLYTGKDIKNPNYAPIDGTVFSQLFYFNNLYDHQTEVAKKQRTFRLLGPEQSELYTTDIGNIEHVLKTNFDKYSKGKYNQEIMTDLFGEGIFAVDGDKWRQQRKLASFEFSTRVLRDFSCSVFRRNGAKLVKLISEIAIAERVFDMQDILMRCTLDSIFKVGFGVELNCLEGSNKEGTAFMKAFDESNAMIYWRFVDPFWKIKRFFNIGCEASLKKNIKIIDDFVSYLIHTRRELFAEQRCQNVKEDILSRFLVESEKDREKMNDRYLRDIILNFMIAGKDTSANTLSWFFYMLCKNPLVQEKVAQEVREITGGCQDDDIEVDDFMAKITDATLEQMHYLHATLTETLRLYPAVPVDGRCAEVDDVLPDGHRMRKSDGLNYMAYAMGRMPYIWGEDAEDFRPERWLNNGIFQPESPFKFTAFHAGPRICLGKDFAYRQMKIVSMALLRFFRFKLADDTRKVTYKTMFTLHIDGGLHLRAIPMTCS >Manes.01G264600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41928162:41931052:-1 gene:Manes.01G264600.v8.1 transcript:Manes.01G264600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLFAIFIFAAIPLLIIFISFLHLMLKLYTGKDIKNPNYAPIDGTVFSQLFYFNNLYDHQTEVAKKQRTFRLLGPEQSELYTTDIGNIEHVLKTNFDKYSKGKYNQEIMTDLFGEGIFAVDGDKWRQQRKLASFEFSTRVLRDFSCSVFRRNGAKLVKLISEIAIAERVFDMQDILMRCTLDSIFKVGFGVELNCLEGSNKEGTAFMKAFDESNAMIYWRFVDPFWKIKRFFNIGCEASLKKNIKIIDDFVSYLIHTRRELFAEQRCQNVKEDILSRFLVESEKDREKMNDRYLRDIILNFMIAGKDTSANTLSWFFYMLCKNPLVQEKVAQEVREITGGCQDDDIEVDDFMAKITDATLEQMHYLHATLTETLRLYPAVPVDGRCAEVDDVLPDGHRMRKSDGLNYMAYAMGRMPYIWGEDAEDFRPERWLNNGIFQPESPFKFTAFHAGPRICLGKDFAYRQMKIVSMALLRFFRFKLADDTRKVTYKTMFTLHIDGGLHLRAIPMTCS >Manes.02G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5678106:5701579:-1 gene:Manes.02G074800.v8.1 transcript:Manes.02G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPIQQNHQGAQQGWIRKKAKSIMEEEEKKIYQKIPREKVTTRMGDPLERLESDTAMPGLESTMKEDLCMEIDPPFRENVASAEDWRKALNKVVPAVVVLRTTACRAFDTESAGVSYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFLNREEIPVHPVYRDPVHDFGFFRYDPGAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKRDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALGFLQKERDSYTNKWEAVPIPRGTLQVTFLHKGFDETRRLGLQSETEQIVRHASPPGETGMLVVDSVVPGGPAHMQLGPGDVLIRVNGEVTTQFLKLETLLDDSVNHKIELQIERGGTCLTVNLVVQDLHSITPDYFLEVSGAVIHPLSYQQARNFRFHCGLVYVSEPGYMLFRAGVPRHAIIKKFAGEEISQLDELISALSKLSRGARVPLEYISYMDRHRRKSVLVTVDRHEWYAPPQIYTRDDSTGLWTAKPAIQPDSLKLSSSVNDIGQGVASQTILLVGETTHVENVNQGGNPEMVDGITSMEISSEQSSGELHPQEEHVGTKKQRVSHLPANEIAVANGCLRREIGEVKLENLSTVENEVAGNYQGTTAPPANASFAESVIEPTLVMFEVHVPASIMLDGVHSQHFFGTGIIVYHSQDMGLVAVDRNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALIAYDPSALGTAGSSMVRAAELLPEPALRRGDSVYLVGLSRSLQVTSRKSIVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTYSGVLTDEHGRVQAIWGSFSTQLKYGCSTSEDHQFVRGIPIYTISQVLDKIVRGANGPPLLINGVRRPMPLVRILEVELYPTLLSKARSFGLSSSWVQALVKKDPIRRQVLRVKGCLAGSKAENLLEQGDMVVAVNKESVTCFRDIENACQALDEIGDNEGKLNMTIFRQGREIDLFVGTDVRDGNGTTRVMNWCGSIVQDPHPAVRALGFLPEEGHGVYVARWCHGSPAHRYSLYALQWIVEINGRPTPDLDAFIDVTKEIGHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPITAMWSRQTIKALD >Manes.15G127900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10192525:10213767:-1 gene:Manes.15G127900.v8.1 transcript:Manes.15G127900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLSAVQCSSGEKLRSGIYFKFGRGYRTTLSRKMRFTNYLLNISRLCSRSKHKFAEKLLDVVNKYNVPSISDKSKFLTKVSVLMEYDGFHELIESEKAEKQSGWDLKDSVEEFDVSLARKKFPSIVLGNSPPVELYDETTQQSEMKNLLSAESYKEFPSDSLGQKWVDPDDLSEHGISSGTRPSENSSILEEKGNVNASVISESRDENTFISKELKEENAYDWTLYSEDVTLGMQEKLDHKVSIEDSSKQMVPDSLLSDAFLDTSISCIPGLSKRQCHQLENCGFHTLRKLLNHFPRTYADLQNAQVGIDDGQYLISVGRILSSRGVRASYSFSFLEVVVGCEVAGDESQHTIDDVDSAGKKTIYLHLKQFFRGTRFTSQPFLRILQNKHKLGDIVCVSGKVRSMSTKDHYEMREYSIDVLKDGEDSSLCPEGRPYPIYPSKGGLKPNFLRDIIARAVQALALDVDPLPKEITQEFGLLHLHDAYVGIHQPKDAQEADLARRRLIFDEFFYLQLGRLFQMLEGLATQMEKDGLLDKYRKPELNAMCMENWCSLTKKLLKSLPYSLTSSQLSAVSEIIRDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLLNLLEAMEEHQPKPSIALLTGSTPLKQSRVIRKGLQAGEISMVIGTHSLISENVEFSALRIAVVDEQHRFGVIQRGRFNSKLYYSSLSSRIAAASSDGPSKSDGNMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRIPVETCCIIGNSQGFEEAYKMMLDELETGGRVYLVYPVIEQSEQLPQLRAASADLEVISDRFQGYNCGLLHGRMKSDEKDEALRRFRSGETRILLSTQVIEVGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGARKSKCILLASTASSLNRLKVLEKSSDGFYLANADLLLRGPGDLLGKRQSGHLPEFPIARLEIDGNILQEAHTAALKVLGDSHDLERFPALKAELSMRQPLCLLGD >Manes.15G127900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10192525:10213748:-1 gene:Manes.15G127900.v8.1 transcript:Manes.15G127900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTNYLLNISRLCSRSKHKFAEKLLDVVNKYNVPSISDKSKFLTKVSVLMEYDGFHELIESEKAEKQSGWDLKDSVEEFDVSLARKKFPSIVLGNSPPVELYDETTQQSEMKNLLSAESYKEFPSDSLGQKWVDPDDLSEHGISSGTRPSENSSILEEKGNVNASVISESRDENTFISKELKEENAYDWTLYSEDVTLGMQEKLDHKVSIEDSSKQMVPDSLLSDAFLDTSISCIPGLSKRQCHQLENCGFHTLRKLLNHFPRTYADLQNAQVGIDDGQYLISVGRILSSRGVRASYSFSFLEVVVGCEVAGDESQHTIDDVDSAGKKTIYLHLKQFFRGTRFTSQPFLRILQNKHKLGDIVCVSGKVRSMSTKDHYEMREYSIDVLKDGEDSSLCPEGRPYPIYPSKGGLKPNFLRDIIARAVQALALDVDPLPKEITQEFGLLHLHDAYVGIHQPKDAQEADLARRRLIFDEFFYLQLGRLFQMLEGLATQMEKDGLLDKYRKPELNAMCMENWCSLTKKLLKSLPYSLTSSQLSAVSEIIRDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLLNLLEAMEEHQPKPSIALLTGSTPLKQSRVIRKGLQAGEISMVIGTHSLISENVEFSALRIAVVDEQHRFGVIQRGRFNSKLYYSSLSSRIAAASSDGPSKSDGNMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRIPVETCCIIGNSQGFEEAYKMMLDELETGGRVYLVYPVIEQSEQLPQLRAASADLEVISDRFQGYNCGLLHGRMKSDEKDEALRRFRSGETRILLSTQVIEVGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGARKSKCILLASTASSLNRLKVLEKSSDGFYLANADLLLRGPGDLLGKRQSGHLPEFPIARLEIDGNILQEAHTAALKVLGDSHDLERFPALKAELSMRQPLCLLGD >Manes.15G127900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10192525:10213767:-1 gene:Manes.15G127900.v8.1 transcript:Manes.15G127900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTNYLLNISRLCSRSKHKFAEKLLDVVNKYNVPSISDKSKFLTKVSVLMEYDGFHELIESEKAEKQSGWDLKDSVEEFDVSLARKKFPSIVLGNSPPVELYDETTQQSEMKNLLSAESYKEFPSDSLGQKWVDPDDLSEHGISSGTRPSENSSILEEKGNVNASVISESRDENTFISKELKEENAYDWTLYSEDVTLGMQEKLDHKVSIEDSSKQMVPDSLLSDAFLDTSISCIPGLSKRQCHQLENCGFHTLRKLLNHFPRTYADLQNAQVGIDDGQYLISVGRILSSRGVRASYSFSFLEVVVGCEVAGDESQHTIDDVDSAGKKTIYLHLKQFFRGTRFTSQPFLRILQNKHKLGDIVCVSGKVRSMSTKDHYEMREYSIDVLKDGEDSSLCPEGRPYPIYPSKGGLKPNFLRDIIARAVQALALDVDPLPKEITQEFGLLHLHDAYVGIHQPKDAQEADLARRRLIFDEFFYLQLGRLFQMLEGLATQMEKDGLLDKYRKPELNAMCMENWCSLTKKLLKSLPYSLTSSQLSAVSEIIRDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIGSGYQAAFMVPTELLAVQHYEHLLNLLEAMEEHQPKPSIALLTGSTPLKQSRVIRKGLQAGEISMVIGTHSLISENVEFSALRIAVVDEQHRFGVIQRGRFNSKLYYSSLSSRIAAASSDGPSKSDGNMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRIPVETCCIIGNSQGFEEAYKMMLDELETGGRVYLVYPVIEQSEQLPQLRAASADLEVISDRFQGYNCGLLHGRMKSDEKDEALRRFRSGETRILLSTQVIEVGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGARKSKCILLASTASSLNRLKVLEKSSDGFYLANADLLLRGPGDLLGKRQSGHLPEFPIARLEIDGNILQEAHTAALKVLGDSHDLERFPALKAELSMRQPLCLLGD >Manes.03G109500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23205918:23210738:-1 gene:Manes.03G109500.v8.1 transcript:Manes.03G109500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATGRVLAMAATGSATTTWLLRGAFQALALSHPLCKITNFSFYGTPRSLPLITPMRNSGGEDKTGNCLGNGILKHDEESVLRICHLAQATKSEVNDLLKCGDRSVNEEVKFIVDMARRASLRRDVLHTDFLTPPTLKDSMLVLEKLADIKAVAQGGYPQAERCRLSVGHPEALTNDPDIVAALSITGNFSFQSCSHGDFLGAILSTGISREKVGDIILQEDKGAQILLVPELVDFIMSSLDKVGNVSVSCARIPLLALEYEPPRTKTFKTVESSLRVDALASAGFKISRSKLVDLISNRDVRVNWVTITKNNTALKTGDIVSVSGKGRLQIGEINSTKKGKFAVELIRYL >Manes.03G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23205517:23210833:-1 gene:Manes.03G109500.v8.1 transcript:Manes.03G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATGRVLAMAATGSATTTWLLRGAFQALALSHPLCKITNFSFYGTPRSLPLITPMRNSVLRICHLAQATKSEVNDLLKCGDRSVNEEVKFIVDMARRASLRRDVLHTDFLTPPTLKDSMLVLEKLADIKAVAQGGYPQAERCRLSVGHPEALTNDPDIVAALSITGNFSFQSCSHGDFLGAILSTGISREKVGDIILQEDKGAQILLVPELVDFIMSSLDKVGNVSVSCARIPLLALEYEPPRTKTFKTVESSLRVDALASAGFKISRSKLVDLISNRDVRVNWVTITKNNTALKTGDIVSVSGKGRLQIGEINSTKKGKFAVELIRYL >Manes.03G109500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23205517:23210861:-1 gene:Manes.03G109500.v8.1 transcript:Manes.03G109500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRASLRRDVLHTDFLTPPTLKDSMLVLEKLADIKAVAQGGYPQAERCRLSVGHPEALTNDPDIVAALSITGNFSFQSCSHGDFLGAILSTGISREKVGDIILQEDKGAQILLVPELVDFIMSSLDKVGNVSVSCARIPLLALEYEPPRTKTFKTVESSLRVDALASAGFKISRSKLVDLISNRDVRVNWVTITKNNTALKTGDIVSVSGKGRLQIGEINSTKKGKFAVELIRYL >Manes.08G069850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:12736126:12736452:-1 gene:Manes.08G069850.v8.1 transcript:Manes.08G069850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGKPKSKGGNGPKGRGKPKWQSKAKVPKEIVPKEGICFHCKEPGHWKRNCKLYLDECKKKKSSETTTSGIYVIDINLSISTSWVLDTGCGSHICTNVKVSKGVEN >Manes.17G025500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:17263296:17265299:-1 gene:Manes.17G025500.v8.1 transcript:Manes.17G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLQLLDPIPSSILSLPLLLSPQSHIYTAAAKPRTHFVLLSARAAKRDVWTRNSTHQTSPYFPKRQNKEPVYLDHSLDMDELLSSISQTQNEQELYSLLSPYKDRQLSIRFMVSLLSHESDWERSLALLDWINDVARYSPSVFAYNVVLRNVLRAKQWELAHGLFDEMRKRALAPDRYTYSTLITYFGKAGMFDSSLFWLQQMEQDRVSGDLVLYSNLIELSRKLRDYSKAISIFMRLKRSGITPDLVAYNSMINVFGKARLFREARMLVTEMREVGVMPDTVSYSTLLSVYVEHEKFVEALSVFAEMQDVKCPLDLTTCNIMIDVYGQLDMAKEADRLFWSMRKMGIEPNVVSYNTLLKVYGEAELFGEAIHLFRLMQRKDIEQNVVTYNTMIKIYGKSLEHEKATNLVQEMQKIGIEPNAITYSTIISIWGKAGKLDRAAMLFQKLRSSGVEIDQVLYQTMIVAYERVGLVAHAKRLLHELKSPDNIPRETAIKILARAGRIEEATWVFRQAFDAGEVKDISVFGCMIDLFSRNKRPANVVEVFEKMRRAGYFPDSDVIALVLNAYGKLRDFEKADSLYREMQEEGCVFPDEVHFQMLSLYGARKDFMMIESLFEKLDSDPNINKKELHLVVASIYEKANKLNDASRIMNRMNEEGILRLQPF >Manes.08G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2490305:2492586:1 gene:Manes.08G025100.v8.1 transcript:Manes.08G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTTRRSQGGLFEGLYRLVMRRNSIYVTFVIAGAFAGERAVDYGVRKLWEHNNVGKRYEDIPVLGQRQSEE >Manes.03G207000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:32311141:32311671:-1 gene:Manes.03G207000.v8.1 transcript:Manes.03G207000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVSSLPIFLLHAVLLLSLLPIIESDNLIEKTCNKTPYYDLCVSSLQSSSQSSNADVKGLASIMGNVTLSNATNTLNYIHELINKTTDPELERPLTYCAEVYSPVVNYILPQAMEALENGHYGFAKYGISDAGDEAHACEKKTSGLKLPLTERNKLTQNLCDVAVAIINTLLDS >Manes.01G244400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40500407:40503848:1 gene:Manes.01G244400.v8.1 transcript:Manes.01G244400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVSAQTPANAKPLRSYFRENDVKTPSPLLKRTPSSPYSNSRALPSNKTPEKLPIDESSLDNPDLGPFLLKLARDTIASGDNPDKALDYASRASVSFERCSGSGLELNMSLHVLAAIYCSLGRFEEAVPVLERSIEVLDITDGLDHALAKFTGYMQLGDTYSALGHLDRSISCYESGLNVQIEALGVLDPRVAETCRYLAEAHVQAMQFDEAEKLCKKILEIHRKHSPPASVEEAADRRLMALVCEAKGDYESALEHLVLASMSMIATGQDNEVASIDICIGNIYVSLCRFDEAIFSYQKALTVFKSTKGDNHPAVASAFIRLADLYYKTGKARESKSYCENALRIYARPAPETVPEEIAVGLTEISAIYESLNEPEEALKLLNKAMQLLEDRPGQRSTIAGIEAQMGVMFYMIGRYGEAQSSFESAVAKLRASGESKSAFFGIVLNQLGLASVQQYKIDKAAELFEEAREILEQECGSCHLDTLGVYSNLAATYDAMGRVGDAIEILEYILKVREEKLGTANPDVDDEKKRLAELLKEAGRARIKRGKSLEHLLDSNSERRKKDVTKRRSGFGFRT >Manes.01G244400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40499374:40503974:1 gene:Manes.01G244400.v8.1 transcript:Manes.01G244400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVSAQTPANAKPLRSYFRENDVKTPSPLLKRTPSSPYSNSRALPSNKTPEKLPIDESSLDNPDLGPFLLKLARDTIASGDNPDKALDYASRASVSFERCSGSGLELNMSLHVLAAIYCSLGRFEEAVPVLERSIEVLDITDGLDHALAKFTGYMQLGDTYSALGHLDRSISCYESGLNVQIEALGVLDPRVAETCRYLAEAHVQAMQFDEAEKLCKKILEIHRKHSPPASVEEAADRRLMALVCEAKGDYESALEHLVLASMSMIATGQDNEVASIDICIGNIYVSLCRFDEAIFSYQKALTVFKSTKGDNHPAVASAFIRLADLYYKTGKARESKSYCENALRIYARPAPETVPEEIAVGLTEISAIYESLNEPEEALKLLNKAMQLLEDRPGQRSTIAGIEAQMGVMFYMIGRYGEAQSSFESAVAKLRASGESKSAFFGIVLNQLGLASVQQYKIDKAAELFEEAREILEQECGSCHLDTLGVYSNLAATYDAMGRVGDAIEILEYILKVREEKLGTANPDVDDEKKRLAELLKEAGRARIKRGKSLEHLLDSNSERRKKDVTKRRSGFGFRT >Manes.10G099900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24907220:24908015:1 gene:Manes.10G099900.v8.1 transcript:Manes.10G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHATVVFPLLVISLISYHNFQVKARHLLETTLPEVPELPKPELPQLPLLPKVELPPLPEFPTLPKPELPDLPKPELPPFPHLPDELLKPTLPTIPNLPKDIKPPQSTSSP >Manes.01G186650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36365624:36365962:1 gene:Manes.01G186650.v8.1 transcript:Manes.01G186650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKSNCNRFKLPLVSTEIHLSCETLQTRSDQPVQLMNVGFFVRNVDD >Manes.07G063747.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11305430:11306223:1 gene:Manes.07G063747.v8.1 transcript:Manes.07G063747.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSLLPRLCRHCWSLHRRCHPPLQLPIVASPC >Manes.04G021101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2560144:2561021:1 gene:Manes.04G021101.v8.1 transcript:Manes.04G021101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHCFALLVFIVEQFSVYVLQQWWCSSSGFSLVYMTLATIERVPYFFRCATNDDDWRLKTSGGTVSSFYQKILNSLWDGGRRENVDKIRW >Manes.15G010500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:893451:894250:-1 gene:Manes.15G010500.v8.1 transcript:Manes.15G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSELDRLARIGNEGFALIDEGYGRGSRGDRSEINRLQRNRLARIGNEGFALIDEAYGRTSSKLQNNYQSQYQQPLVYRGPQISTVRMSVVTSNCEIAQHYYTGMAVREHGNPN >Manes.02G048200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3930578:3934913:1 gene:Manes.02G048200.v8.1 transcript:Manes.02G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKTHLEETLPEWRDKFLCYKPLKKLLKQFPPTSDGSPNLFPPPPASADHPRPESGTDSSLVLLQEWFIRILNEELDKFNDFFVDKEEDFIIRFQELKERIESLKEQSSKNGVFTSESEFSEEMMDIRKDLVTIHGEMVLLKNYSSLNFAGLLKILKKYDKRTGGLLRLPFTQLALRQPFFTTESLTGLVHECEANLELLFPLHAEVVESTNAAQKQPNCAMNKYNPANISFESSPTLGDDTMDIYRSTLAAMKAVRGLQKASSTYNPLSFSSLFKNQDDENNGAVTTDNSVSNSSAMLHNGEESDEEDVRSV >Manes.16G080850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28675625:28676621:1 gene:Manes.16G080850.v8.1 transcript:Manes.16G080850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHYEGHFIFSPNRIYENGRFMEKPNFDVDFISFFDILDDLKKKCGFDVIKGDKFYYLKADKALSDLDALIEVKDDTDVKNMMDSYKKFPSKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATTATGSNTIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNTTAFVWFLGQTIRSVSCCPLQVKQWNKITDDKLDHMWSTILEKFTFEYSDARKGAIFGHMNALYRFIGIS >Manes.01G076400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27968602:27974852:-1 gene:Manes.01G076400.v8.1 transcript:Manes.01G076400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSSTSKFSAFFLIHLCLLLTLSFAEDPFVTYNFEVSYITASPLGVPQQVIAINGKFPGPTINVTTNNNVVVNVRNKLDESLLMHWSGVQQRRSSWQDGLMGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHMQRASGGYGSFIINNRPIIPIPFDTPYDDIVILIGDWYKRNHTALRKALDEGKDLGMPDGVLINGKGPYQYNTTLVPDGIDYETIEVHPGKTYRLRVHNVGTSTSLNFRIQNHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESQWKRVTGVAVLHYTNSKGKAKGPLPDAPNDEFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIYVLKNKPPVMINGKRRTTLSGISFVNPSTPIRLADRFKVKGVYKLDFPTNPLEGPPKMETSVINGTYRGFMEVILQNNDTKMQSYHMSGYAFFVVGMDYGEWTENSRGTYNKWDGIARSTIQVYPGAWTAILVSLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTELPMPDNALFCGALSRMQKPQDISSFAASIMGDSSKLFFTLLMIVCAVMGIFS >Manes.01G027900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5754408:5758210:-1 gene:Manes.01G027900.v8.1 transcript:Manes.01G027900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSIWVSAFMLQKQKMEFLGIPKLIDHPIEDIVYSGLKTYVVESKGGAITCMVLSLFFLGTWPAIFTLLERRGRLPQHIYLDYSITNLLAALFFALTFGQIGKNTPEKPSFITQISQLRENWVSVMFAMAGGVVLSIGNLCTQYALAFAGLSVTEVITASMTVVVGTTLNYLLDDKINKAETLFSGVGCFLIAVCLASAVHSSNAADNIAKLSSLSRIEDLESRNDTEEKMKFGTADFLAELEKKRAIKVFGKNTFVGLYIALFAGVCFSLFSPAFNLATNDQWHTLNKGAAKLVVYTAFFWFSLSCFVLAIILNITFLYCPVLNLPRSSVKSYLKDWNGRGWSFLAGLLCGLGNGLQFMAGEAAGYAAADAVQALPLVSTFWGVVLFGEYQRSSRRTYVLLASMLLMFAVAVVILMASAGHRKMDIVTCPETLQKTDS >Manes.14G061500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5123523:5130989:1 gene:Manes.14G061500.v8.1 transcript:Manes.14G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKKTTPPSSCCASPSDSAPIRRGAAPAPSSVVPNAVLDWSDRRLEDQLGEKTAIASLIRPVDSLPEPSTNPATKAGMPVMLRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFIDVVLLEPDKHVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRRARLVVYNKRSNETSIWIVELSEVHAVTRGGHHRGKVILSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMELVMVDAWCVGYHSEADAPSKRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQNMKVIEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRVNGYFVEWQKWNFRIGFTPREGLVIHSVAYIDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMNMAVDCKPGEAFNQVVEVDVKVEKPGENNVHNNAFYAEETLLRSELQAMRECNPLTARHWIVRNTRTVNRMGQLAGYKLVPGSNCLPLAGPEAKVLRRAAFLKHNLWVTPYAYDEMFPGGEFPNQNPRVGEGLATWVKRNRPLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPNACELDTKETDVKDSGVAKPLQTVMLAKL >Manes.04G059768.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:14763864:14764667:1 gene:Manes.04G059768.v8.1 transcript:Manes.04G059768.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAADTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIDRVSLINFSIL >Manes.01G251300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40992690:40996268:-1 gene:Manes.01G251300.v8.1 transcript:Manes.01G251300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVHPPEISAVKSIFNPNPYPHVIKSLSVFRSDERIRSRARTGARIAFRGCEARVSQSNQNLLLYGQFSAPVKQESKQEEEKQDYYVNMGYAIRTLREEFPELFYRELSFDIYRDDIVFKDPLNTFVGIENYKSIFWALRFHGKIFFRALWVDVISVLQPVENVIMVRWTVHGIPRVPWESRARFDGLSEYKLDKDGKIFQHRVDNVALNLPPKFRVLAVEELLQSVGCPSTPKPTYFEVSSFSSEREYSS >Manes.04G001800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:511264:516772:-1 gene:Manes.04G001800.v8.1 transcript:Manes.04G001800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEFSAVTGNTGSVARRILEKLPSEADSRLCFSQGRYIFHILRSDGLTFLCMANDNFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLSRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDSAFHFRKQSKRLRRALWMKNAKLLALLTCVIVLLLYIIIAACCGGITLPSCRS >Manes.04G001800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:512079:516765:-1 gene:Manes.04G001800.v8.1 transcript:Manes.04G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEFSAVTGNTGSVARRILEKLPSEADSRLCFSQGRYIFHILRSDGLTFLCMANDNFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLSRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDSAFHFRKQSKRLRRALWMKNAKLLALLTCVIVLLLYIIIAACCGGITLPSCRS >Manes.04G001800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:513902:516765:-1 gene:Manes.04G001800.v8.1 transcript:Manes.04G001800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEFSAVTGNTGSVARRILEKLPSEADSRLCFSQGRYIFHILRSDGLTFLCMANDNFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLSRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDSAFHFRKQSKRLRRALWMKNAKLL >Manes.04G001800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:512082:516765:-1 gene:Manes.04G001800.v8.1 transcript:Manes.04G001800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEFSAVTGNTGSVARRILEKLPSEADSRLCFSQGRYIFHILRSDGLTFLCMANDNFGRRIPFSYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLSRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDSAFHFRKQSKRLRRALWMKNAKLLALLTCVIVLLLYIIIAACCGGITLPSCRS >Manes.09G025400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5313827:5318208:1 gene:Manes.09G025400.v8.1 transcript:Manes.09G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFLFNVAESVLGKLASPVLEEISLAWNVNDDLAKLKSTLSTVKAVLLDAEEQQGKNHELTIWLSKLKEACYDAEDIVDEFECEALQRQSTDSKVRRFFSRSNNPLVFRFKIGNRMKEIRERLDEISAQKGKFHLTERLVDERVVYRRREMTHSFVQASDVIGRESDRDKIIEYLLHHGELENLSVVPIVGIGGQGKTTLAKMVYNDERVDRNFQLKMWICVSEDFDVTRLAQEITSSATGRSYRDLTMDQLQAHLRRSLGGRKFLLVLDDVWNENLVKWVELRDLLEGCAVGSKIIVTTRSSKVASIMGNELAYNLPGLPHKDCLSLFLKWAFNAGQEKQYPKLVDIGNEIVRKCGGVPLAVRTLGSLLYASTDERHWLSIRDNEIWKLKQEENDILPVLKLSYDQLPSYLKRCFAYCSLFPKDYVLYSFELVQFWMAHGLLDSHDEDQDLEDHGMQYVKELWSRSFLQDVEDHGFFFTCKMHDLVHDLAISVAQSECSTVTFLTQTVNEKIRHISFSSTDFPGQEIPKFLTKLDRVRTIFFPVRGMGPGSEKFIDTCISRFKYLRVLNLSDSCFQTLPNSISNLKHLRYLDLYRNRQIRKLPNSFCKLQNLQTLRLEECEKLEEIPRDIKNMSNLRFLEITTKQTSLLENRIECLSSLRYLSFFECGNLEHLFEDMQFLTSLRTLVITSCGSLTRLPRSLMYLSALETLVIGDCGKLNLVEMEYDEDFELRLTSLVIGELPQLETLPQWLQGCATTLQYIYIDDCPNFAALPDWTQNLTSLKRLEIIRCPELFSLPEEMHCLTALRELKIGLCPELRERCDPETGDDWPKISHIPEIFLNGIKVKSTTN >Manes.13G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6369154:6375365:1 gene:Manes.13G054900.v8.1 transcript:Manes.13G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPSAMAKPQTSPIFYSSVFYLLSSFVFLAYSAPQSALIKQIPGFSGTLPSKHYSGYVTIDESHGKKLFYYFVESEGNPLKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAANESGGLPKLHLNPYSWSKVSNIIYLDSPSGVGLSYSKNQTDYITGDVKTALDSHTFLLKWFELYPEYLSNPMFIAGESYAGVYVPTLAHEVVKGIDTGVKPILNFKGYLVGNGVTDEEFDGNALVPFAHGMGLISNDLFEEVTSSCNGNFYNPLSENCESKLAKVDEDISGLNIYDILEPCYYGTDTRDVADIKIRLPSSFLELGETDRPLPVRKRMFGRAWPFRAPVREGIVPTWPQLLNGQSVPCTDDEVASLWLNNAAVRKAIHADEESVAGPWELCTDRISFRHDAGSMIPYHKNLTVRGYRALIFSGDHDMCVPYTGSEAWTRSMGYEIVDEWRPWTSNGQIAGYTQGYENNLTFLTIKGAGHTVPEYKPKEALDFYSRFLAGKPI >Manes.13G054900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6369154:6375365:1 gene:Manes.13G054900.v8.1 transcript:Manes.13G054900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPSAMAKPQTSPIFYSSVFYLLSSFVFLAYSAPQSALIKQIPGFSGTLPSKHYSGYVTIDESHGKKLFYYFVESEGNPLKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAANESGGLPKLHLNPYSWSKVSNIIYLDSPSGVGLSYSKNQTDYITGDVKTALDSHTFLLKWFELYPEYLSNPMFIAGESYAGVYVPTLAHEVVKGIDTGVKPILNFKGYLVGNGVTDEEFDGNALVPFAHGMGLISNDLFEEVTSSCNGNFYNPLSENCESKLAKVDEDISGLNIYDILEPCYYGTDTRDVADIKIRLPSSFLELGETDRPLPVRKRMFGRAWPFRAPVREGIVPTWPQLLNGQSVPCTDDEVASLWLNNAAVRKAIHADEESVAGPWELCTDRISFRHDAGSMIPYHKNLTVRGYRALIFSGDHDMCVPYTGSEAWTRSMGYEIVDEWRPWTSNGQIAGYTQGYENNLTFLTIKVFTDLPFGINNFSKIQASGSQFQMQNEDFDHFLFFF >Manes.14G100700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8312603:8317527:-1 gene:Manes.14G100700.v8.1 transcript:Manes.14G100700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFGSPLDTQSLGYVSWEEVNVSTDKGRREIRYYLKRIDGGLDLAIVGKEKSLRHMSYHYAVRNRSILSAMGPSSKLKSRREVIYWLNSIVSDSLPLESSHLSGSLDSSDASAFSVENNMDGQLRKLGYRTKEFLWLGSPWTCRKRRKHYHSFQRNGIKISVHDFVYVLAEEDKRLVAYLEDMYEDSKENKMVVVRWFHKIDEVGIALPRNFNDREIFFSLCLQDLSIECIDGRATILSPQHFEKFLNEVAHTRLDPFVCCKQFDNEDTKPFDITQVKGYWKQEILRYMYMVSPARDQANSLQIADGLKVEANVDDDSGTRPRKRHRHSKDDVYTGSKESTDMVSIDAQHNSSIDHKSGIEMCSLMGGESSALLSGAEAKQSPTQHLKVGSEVEVLSQDSGIRGCWFRALIIKIHKDKMKVRYQDIKDADNETNNLEEWILATKGAVPDQLGIRICGRSIVRPSPKFNEGQVSWGLDVGTAVDVWRHDVWQEGIIIHKESEDRFGVYFPGEKQASVFGRGDLRHSQEWLGNGWMLIKARLDVAHSVSSISETKQVVRSDDKLIQIAISDSTQSGKTKPGCSDYSSDSGSDRGRESVVPDLSKDSFLAQLRWNVPKKRRRGAGSSVQRCNKKDGKKSSTQVVRSYACDRLMLSMTRKVDHENCKYMGDSLFSSAVAQSLTSLVMSR >Manes.17G060251.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25903057:25904160:1 gene:Manes.17G060251.v8.1 transcript:Manes.17G060251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKIILFGNRSTIQRTLLPGQKLVPGQKLVASVSETNLSEGDFYFSLTSEGLFAFYQSDELNYLSYFFSEHIKGFDSVESMQLTDKSKFSVRAMARFPKYTNYTKYTNNTLVKFDPDGHLRLYDDDNSNSTDLLMDYVSECAYPTVCGNYSLCSNGKCSCLPGFVQDDVSEAQGNFRCKEISPTTCENPLSHSILPVKGIYYSNSKGGILKETNMEDCKRTCLNTCSCKVAVFQYDDSNVSHGDCLLPSPVFSLTDSPFVSLTYNSFALIKISNDKEHRGGSDAVSTSEEHRRGSARRKIIAGITAVTFLLVGLTVGFSWIVVFEEEI >Manes.06G058600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18591338:18594267:1 gene:Manes.06G058600.v8.1 transcript:Manes.06G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDNTGKYSGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAEYCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADESMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDDEYEEEEEEIAG >Manes.13G140481.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34988342:34988689:-1 gene:Manes.13G140481.v8.1 transcript:Manes.13G140481.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSKIDPMDIVVWFINNGCSNHMAGARTLFKKHDESQKSKVWLGNDKQIIVEGRGTIAIPASNDDMKLLQDVQYVPSLAHNLLSVGQLIKGGYSILFENDCCNIYDKKNLATKL >Manes.14G040400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3442117:3442581:1 gene:Manes.14G040400.v8.1 transcript:Manes.14G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTPFHFVLLFLAVATVAATAADTTAAEKSVVSPEMSNAPNSEQGSSSSGATGSGHGPNWDYSWGWGSSPGSGWGYGSGSGRSPNGFGRGFGFGSGSGSGSGYGYGFGSGDVHDGGVGGAGGGSSNSGGDGGTGGYGDGYWPVDSRRTNNHGR >Manes.11G071400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10309511:10311216:-1 gene:Manes.11G071400.v8.1 transcript:Manes.11G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWHKMIFPVRRVWISISARFKPRDNGAGLLKLHNDIQTCGYEDVQVMWEMLRRSETEQITNQPKRKQRPFWKVFVWSNNSGTSSFSANHA >Manes.09G043300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7757908:7764847:-1 gene:Manes.09G043300.v8.1 transcript:Manes.09G043300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSTNDNSDNMIGFYKRACDIFVPEAEQVHIWDFSGQTTQFLNDRINLPNGSMGQSAEEILLELQVHGFSDSKNGRDESIDGMIEYDRKDISFDSGSVKMNGSSDYVISRSTPKNLSVSGCRYRRARFLGLTGLQNLGNTCFMNSAIQCLAHTPKIVDYFVGDYRKEINRENPLGMNGELALAFGDLLRKLWAPGASPVAPRMFKLKLAKFAPQFSGYNQHDSQEFLAFLLDGLHEDLNHVKCKPYIEVKDADSRSDKEVADEYWQNHLARNDSIIVDLFQGQYRSTLVCPICKKKSVTFDPFMYLSLPLPSTTMRTMTLTMLSSDGTTLPCPITVSVPNCGRLKDLIEALSTACSLRNDESLLVVEIYKNKIFRFLEEPSDSLALIRDDDKLVAYRLPKVTEASPLVVFMHELLDKPSELEKSVPNWKLFGIPLVARLSDHSNGSDFRNQYLKLLSPFLMPDDTLNDDDSGVTANEDSAMEDVPSINVSDGNADSDSETDNGPLFSNDFQFCIKDYHGRVTEIEMNKPLLAPSYNNRLEVHVLWSEKMVEKYDTCILSSLPEVFKPQLCTRRPQESVSLYKCLEAFLKEEPLGPDDMWYCPSCKRPRQASKKLDLWRLPEILVVHLKRFSYSRIIKNKLETYVDFPVEDFDLSTYMSHKDSHLCNRYTLYAISNHYGGMGGGHYTAFVDHGHCRWYEFDDENVSPVSEDRIKTSAAYVLFYKRVS >Manes.09G043300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7757908:7764847:-1 gene:Manes.09G043300.v8.1 transcript:Manes.09G043300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSTNDNSDNMIGFYKRACDIFVPEAEQVHIWDFSGQTTQFLNDRINLPNGSMGQSAEEILLELQVHGFSDSKNGRDESIDGMIEYDRKDISFDSGSVKMNGSSDYVISRSTPKNLSVSGCRYRRARFLGLTGLQNLGNTCFMNSAIQCLAHTPKIVDYFVGDYRKEINRENPLGMNGELALAFGDLLRKLWAPGASPVAPRMFKLKLAKFAPQFSGYNQHDSQEFLAFLLDGLHEDLNHVKCKPYIEVKDADSRSDKEVADEYWQNHLARNDSIIVDLFQGQYRSTLVCPICKKKSVTFDPFMYLSLPLPSTTMRTMTLTMLSSDGTTLPCPITVSVPNCGRLKDLIEALSTACSLRNDESLLVVEIYKNKIFRFLEEPSDSLALIRDDDKLVAYRLPKVTEASPLVVFMHELLDKPSELEKSVPNWKLFGIPLVARLSDHSNGSDFRNQYLKLLSPFLMPDDTLNDDDSGVTANEDSAMEDVPSINVSDGNADSDSETDNGPLFSNDFQFCIKDYHGRVTEIEMNKPLLAPSYNNRLEVHVLWSEKMVEKYDTCILSSLPEVFKPQLCTRRPQESVSLYKCLEAFLKEEPLGPDDMWYCPSCKRPRQASKKLDLWRLPEILVVHLKRFSYSRIIKNKLETYVDFPVEDFDLSTYMSHKDSHLCNRYTLYAISNHYGGMGGGHYTAFVDHGHCRWYEFDDENVSPVSEDRIKTSAAYVLFYKRVS >Manes.09G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7757908:7764847:-1 gene:Manes.09G043300.v8.1 transcript:Manes.09G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAEQLRLSLITKSARFLSLKLSYFSLSTFRLCKSLARSFFFKTLALFPSLMDDDLFSSADDNFLDLEFSSASTSNYFQPHFLDDDDNGVDKLYLVPCSWWRETQMGANETGVLYDVSVSNDDDMEIVLDLKKIEDSRKSSNAAEGFSGQEYALVSGTMWLQALKWHNDCNVAVTDVHRPFLSEDDLEDVFPIQIRLSVSWETNSLVVKISLKDNMIGFYKRACDIFVPEAEQVHIWDFSGQTTQFLNDRINLPNGSMGQSAEEILLELQVHGFSDSKNGRDESIDGMIEYDRKDISFDSGSVKMNGSSDYVISRSTPKNLSVSGCRYRRARFLGLTGLQNLGNTCFMNSAIQCLAHTPKIVDYFVGDYRKEINRENPLGMNGELALAFGDLLRKLWAPGASPVAPRMFKLKLAKFAPQFSGYNQHDSQEFLAFLLDGLHEDLNHVKCKPYIEVKDADSRSDKEVADEYWQNHLARNDSIIVDLFQGQYRSTLVCPICKKKSVTFDPFMYLSLPLPSTTMRTMTLTMLSSDGTTLPCPITVSVPNCGRLKDLIEALSTACSLRNDESLLVVEIYKNKIFRFLEEPSDSLALIRDDDKLVAYRLPKVTEASPLVVFMHELLDKPSELEKSVPNWKLFGIPLVARLSDHSNGSDFRNQYLKLLSPFLMPDDTLNDDDSGVTANEDSAMEDVPSINVSDGNADSDSETDNGPLFSNDFQFCIKDYHGRVTEIEMNKPLLAPSYNNRLEVHVLWSEKMVEKYDTCILSSLPEVFKPQLCTRRPQESVSLYKCLEAFLKEEPLGPDDMWYCPSCKRPRQASKKLDLWRLPEILVVHLKRFSYSRIIKNKLETYVDFPVEDFDLSTYMSHKDSHLCNRYTLYAISNHYGGMGGGHYTAFVDHGHCRWYEFDDENVSPVSEDRIKTSAAYVLFYKRVS >Manes.09G043300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7757908:7764847:-1 gene:Manes.09G043300.v8.1 transcript:Manes.09G043300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQALKWHNDCNVAVTDVHRPFLSEDDLEDVFPIQIRLSVSWETNSLVVKISLKDNMIGFYKRACDIFVPEAEQVHIWDFSGQTTQFLNDRINLPNGSMGQSAEEILLELQVHGFSDSKNGRDESIDGMIEYDRKDISFDSGSVKMNGSSDYVISRSTPKNLSVSGCRYRRARFLGLTGLQNLGNTCFMNSAIQCLAHTPKIVDYFVGDYRKEINRENPLGMNGELALAFGDLLRKLWAPGASPVAPRMFKLKLAKFAPQFSGYNQHDSQEFLAFLLDGLHEDLNHVKCKPYIEVKDADSRSDKEVADEYWQNHLARNDSIIVDLFQGQYRSTLVCPICKKKSVTFDPFMYLSLPLPSTTMRTMTLTMLSSDGTTLPCPITVSVPNCGRLKDLIEALSTACSLRNDESLLVVEIYKNKIFRFLEEPSDSLALIRDDDKLVAYRLPKVTEASPLVVFMHELLDKPSELEKSVPNWKLFGIPLVARLSDHSNGSDFRNQYLKLLSPFLMPDDTLNDDDSGVTANEDSAMEDVPSINVSDGNADSDSETDNGPLFSNDFQFCIKDYHGRVTEIEMNKPLLAPSYNNRLEVHVLWSEKMVEKYDTCILSSLPEVFKPQLCTRRPQESVSLYKCLEAFLKEEPLGPDDMWYCPSCKRPRQASKKLDLWRLPEILVVHLKRFSYSRIIKNKLETYVDFPVEDFDLSTYMSHKDSHLCNRYTLYAISNHYGGMGGGHYTAFVDHGHCRWYEFDDENVSPVSEDRIKTSAAYVLFYKRVS >Manes.08G173400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40840288:40842036:1 gene:Manes.08G173400.v8.1 transcript:Manes.08G173400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNLISPTSASMADQEPTNPLPDVNLFEKYDLGKLLGSGAFAKVYHARNVRTGQSVAIKAISKQKVVKGGFMGQVKREISIMRRLHHPNVVKLLEVLATKTKIYFVLEFAKGGELFTRVAKGRFSEGLSRRYFQQLITAVEYCHSRGVFHRDLKLENLLLDDNWDLKVTDFGLSAVKDQIEPDGLLHTLCGTPAYVAPEILGKKGYDGAKVDVWSCGIILYVLIAGYLPFNDTNIMSMYRKIYRGQFRFPKWTSPDLRRFLSRLLDTNPKTRITVDEIIQDSWFKKDYKGMKFQLEGFDMKVQENVQNQKSLNAFDIISFSSGFDLSCLFNECDVSASSERFVSCESPAKIIKRVEEIARTENIKLMKNKGWGAKLEGCDGSFAMAIEIYKLTDQLVVVEVKGKEMNAIPNQEIWKHKLRPQLDSLVHKPATPAPSVTDYPISS >Manes.16G098600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30476640:30480334:1 gene:Manes.16G098600.v8.1 transcript:Manes.16G098600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLLHPSFASSLTYKRKRFHQNPKPPTSRLSPTVISCTSSTLDPSSSSNNTPAVTKHRRPADENIRDEARRQRSSAANTFSAKYVPFNAGPDSREWYSLDEIVYRSRSGGLLDVQHDMEALKKFDGAYWRDLFDSRVGKTTWPYGSGVWSKKEWVLPEIDPDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMKRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISIAQLVQPIANGAFVLSIDTDFDGCMKLIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFKMCHELGLVSRIPRLVCAQAANANPLYLYYKSGWEEFKPVKANSTFASAIQIGDPVSIDRAVYALKNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRNSGVIGAMDRTVVVSTAHGLKFTQSKVDYHSKAIPDMACRFANPPVQVKADFGAVMDVLKRYLGNKAPK >Manes.16G098600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30476640:30480334:1 gene:Manes.16G098600.v8.1 transcript:Manes.16G098600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLLHPSFASSLTYKRKRFHQNPKPPTSRLSPTVISCTSSTLDPSSSSNNTPAVTKHRRPADENIRDEARRQRSSAANTFSAKYVPFNAGPDSREWYSLDEIVYRSRSGGLLDVQHDMEALKKFDGAYWRDLFDSRVGKTTWPYGSGVWSKKEWVLPEIDPDDIVSAFEGNSNLFWAERFGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMKRPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISIAQLVQPIANGAFVLSIDTDFDGCMKLIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFKMCHELGLVSRIPRLVCAQAANANPLYLYYKSGWEEFKPVKANSTFASAIQIGDPVSIDRAVYALKNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRNSGVIGAMDRTVVVSTAHGLKFTQSKVDYHSKAIPDMACRFANPPVQVKADFGAVMDVLKRYLGNKAPK >Manes.09G011883.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2776893:2779180:1 gene:Manes.09G011883.v8.1 transcript:Manes.09G011883.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTHIDDAIASFNHVIHKHPLPSRVPFNRFLSALAKMKQYHTVLSMSKTIELLGISHDVYSLNILINCFCRLHLVYFGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPDVRTYSVIINGLCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPNVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDALCRDGMVSEAQNTFNVMIQRGVEPDVVTYSSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAQIIIKIMIQSDVEPNAVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPDAVTYHTLIKGMFQAGRPQNAKELFRNMCSHGQQPNIVTFSIMINGLCSQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEIGLQPDVYVYM >Manes.06G169000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29379654:29384471:-1 gene:Manes.06G169000.v8.1 transcript:Manes.06G169000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGNLFLSFSLVLAILVFHGTFNTSIAFSSTYSTSSFNRTNFPAGFLFGTASSAYQYEGAAREGGKGPSIWDTFTHRYPDKIKDRSNGDVAVDSYHRYKEDVQIMKEMGLNAYRFSISWPRILPNGKLCGGVKMEGVKYYNNLINELLANDIEPFVTLFHWDLPQALENEYCGFLSPRIVDDFQDFAETCFKEFGDRVKHWITLNEPMVFSAVGYALGLLAPGRCSQNINCIPGDSATEPYLVSHYQLLAHAAAVNLYKRKYQATQKGIIGITLVTSWMVPYSNARHNKNAAQRALDFWLGWFMDPLTNGDYPHVMKSYVGNRLPKFSNEQAQMVKGSFDFIGLNYYSASYAAYAPQFRNANKSFLTDPLVNMTSDRNGIPIGPKGASGFINVYPRGIRDLLLYIKRKYNNPLIYITENGIDEFNNATLSLKEALIDKVRVDYYNNHLNFLARAIKEGANVKGYFAWSLLDNFEWTSGFTVRFGINFVDYKNGLKRYPKLSARWFKSFLTPTNQEQGV >Manes.18G146801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:31163111:31194582:-1 gene:Manes.18G146801.v8.1 transcript:Manes.18G146801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDKDFLQWPRPMKTEANQRDPDKYCQYHRTHGHDTNNCFQLITEIERPIKRGHLRNFVKKPEGQRPLPNSAVQVSRRTGAGPVNDGSSGTINMIVGGTGGRMSRRGKKRSREGESSSAEIMQVIEHSPGAITFSLKDAQGVQMPHDDALVIEAVIHNYRVKKILVDDGSKVNLLPYRVFQHMGIPEEQLVRDQAPIKGIGGVPVPVEGKVKLALTLGEAPKNRTHYAVFLVVKLPLSYNAILGRPALFDFEAVTSIRYLAMKFPTEAGVGVVRGSQEEARAVYLATVAELSSAGEKLDSEVLEVRDEEKEAKTEPVGELETFPLSEAETDKVLSLNAGLTKEQKTEVMALIRGHASSFAWKPSDMPGIDPEVMTHKLNVFPEARPIKQRRRIVGREKQQTMREEILLSNYELRRVLVDTGSSVNFLILNIFNKLGLDKNSLVKVSYPLVELGDKIVAVLGTINLPLVLGDETYRQELYVEFAVVDILFAYNVILGHPVLNCHGIIINMGAMCLKLPALGRIVVV >Manes.04G094700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30047641:30054540:1 gene:Manes.04G094700.v8.1 transcript:Manes.04G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESGLRSPSNPSQLSWVNLSRNLILAYQSFGVVYGDLSISPLYVYTSTFTGKLQNHQNEEAIFGAFSLIFWTFTLMPLIKYVFIILSASDNNEGGTFALYSLLCRHGKFSLLPNQQAADEELSTYKYGPTAQLARTSVLKRFLEKHKMLRTALLIVVLFGACMVIGDGILTPAMSVLSSMSGLEFYESRLTKGEVLLLVCIILVALFALQHCGTHKVAFMFAPIVIIWLASIFSIGLYNIIYWNPKIVRAISPHYIIKFFVQTGKDGWISLGGILLSITGTEAMFADLGHFTALSIRIAFVFVIYPCLVVQYMGQAAFLSKNLGALKNSFYDSIPEPVFWPVFVIATLAAIVGSQAVITATFSIVKQCHALGCFPRVKIVHTSKHIYGQIYIPEINWILMVLTLAVTLGFQDTTLIGNAYGLAYMSVMIITTFLMSLVIVFIWQKSFLLAAAFLLFFWFIEGVYLSAALTKVPQGGWAPIVLSVIFMLVMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFICVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGDFENMLIQSIAEFIQMEAVEPQFSSSESSSLDGRMAVMSTRSVQSSLSLVVTEEDTIGVDNSIRSSKSPTLQSLRSAYDDDTPQMRRRQVRFTLPQNPSMDPSVREELMDLIQAKEAGVAYIMGHSYVKARRTSPFLKKLAIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Manes.12G025600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2225958:2240462:1 gene:Manes.12G025600.v8.1 transcript:Manes.12G025600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYGLQSTADYSDRALLSPENLILPSDYQTYLSSETYQNWIQILVSDELLSTTSVISESDSIAPEIPREEDFSTLIKAKIASHPSYPRLLQAYIDCQKVGAPPEIARLLDEIRREHDLCKRDTISTCLGADPELDEFMEKYCDVLAKYKSDLERPFDEAATFLNKIEIQLRDLSTGAAIRSLSDEGAQSSEEELSGGELEVHEDQPSSEERDIKDKLLRRFGSHISTLKLEFSKKKKKGKLPKEARQALLEWWNVHYRWPYPTEADKKALADSTGLDQKQINNWFINQRKRHWKPAANMQFAVTDSLSGPFFTDDQ >Manes.12G025600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2230363:2240606:1 gene:Manes.12G025600.v8.1 transcript:Manes.12G025600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYGLQSTADYSDRALLSPENLILPSDYQTYLSSETYQNWIQILVSDELLSTTSVISESDSIAPEIPREEDFSTLIKAKIASHPSYPRLLQAYIDCQKVGAPPEIARLLDEIRREHDLCKRDTISTCLGADPELDEFMEKYCDVLAKYKSDLERPFDEAATFLNKIEIQLRDLSTGAAIRSLSDEGAQSSEEELSGGELEVHEDQPSSEERDIKDKLLRRFGSHISTLKLEFSKKKKKGKLPKEARQALLEWWNVHYRWPYPTEADKKALADSTGLDQKQINNWFINQRKRHWKPAANMQFAVTDSLSGPFFTDDQ >Manes.03G014600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1288946:1289402:1 gene:Manes.03G014600.v8.1 transcript:Manes.03G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEVKLKDFRIGSQFWVLERKHARLVVGDKMIWAKFKQPCVRADSCYAEENYFSTLIHLWDLQDATSATLTHVDWRNHTNGHPRMYKANEVGPLHGYDEINGKDLVVRRRKDPFLFARKFSLESVPALLRIAEEVIVKE >Manes.05G055400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4635277:4640346:1 gene:Manes.05G055400.v8.1 transcript:Manes.05G055400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDDAEDPSQLIAARQVAPAAAPKKSQTQSQSKQPVQSDSQAKQSAKLPSKPLPPAQAVREAKNEAGRGGGRGGGRGYGRGRGGYNRDTNNENSFGSTGGPAAQGAPEDGEAAKSSERRGYGGPRGGYRGGGRRGGFTNGEVGDGDRTRRQFERHSGTGRRNEIKRDGSGRGNWGTQADELAQVTEEVVSEGEKNLGDKKPVGEEEIADAKKEGASIEPEEKEPEDKEPEDKEMTLEEYEKVLEEKRKALQALKAEERKVDAKVFESMQQISSKKGNHEIFIKLGSEKDKRKDAYEKDEKAKKSVSINEFLKPTEGERYYSPSGRGRGRGRGARGFSNRDAMSNVVAPSIEDRGQFPTLGGK >Manes.17G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9724959:9726762:1 gene:Manes.17G018000.v8.1 transcript:Manes.17G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFKSQSLTLLSFASLLIMQTLAAEAATCTDCFINSRAAHYPNSDEQGTDSGACGFGSFGATLNGGDVSAASDLYRGGVGCGACYQVRCTSSKYCTDKGVTVVVTDQGSSHDTDFILSRQAFGRMAQNADAAASLLALGVIDVEYRRVSCSYPNKNITIKMDENSNPPHYLAFVIWYQQGKQDITAVQLCETHNFVCKLLDRSYGAVWTTTSPPSGPLTLRMLFTGEDGEENWVVPVNNIPQDWKAGETYDTGLQVNV >Manes.06G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20107297:20111754:1 gene:Manes.06G065400.v8.1 transcript:Manes.06G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSFQFIPFSPFIYFFIITSFLLPRRAICTNPQFLACSIPKSCGDGQIISFPFYIHDQQESFCGYPGFNLTCHNRRPILRLRGSYYIIHQIDYTSQTLRVSNAVVFDTNTTCVPQFRNLSLLDDRFKIFSNQTDLFLLSRCNSTLLGGAANSVLLKYKVDCNGERETGPTLSIFDGDPLLGIASDVCEDELVVPVDVERGKNEGIERMIKRGFVLKWTASNCSICKSSGGKCGFNISTYHFKCFCPDRPHAWACHPDREDAGNSNFRMKLGVGLGAGIGALVILLFLYIFQSYRKRKHASSNFLSTSSFTDRSSKSDTERGGVFFGVPLFSYTELEEATNNFDGKKEIGDGGFGTVYFGKLRDGREVAVKRLYEHNYRRVEQFMNEIEILTRLRHKNLVTLYGCTSRRSRGLLLVYEYVPNGTVADHLHGTMCTSSPLTWHIRLSIAIETASALAYLHASDIIHRDVKTDNILLDNNFCVKVADFGLSRLLPNDVTHVSTSPQGTPGYVDPEYHQCYRLTDKSDVYSFGVVLIELISSMPAIDISRHRHEVNLANLAINKIQKCEFDELIDPSFGYKSDEEVKRMAIAVAELAFRCLQQDKEMRPSMDEVLEELKRIESAAGFRENQDEIHNDNKPLRNMLPPHSPPADCEDASLLKLIRLPSSPDTVTAKWASSCSTASNVSG >Manes.09G035600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6838853:6841900:-1 gene:Manes.09G035600.v8.1 transcript:Manes.09G035600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVLCLFSFSDCKPDSGKVDLLVACSC >Manes.09G035600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6837209:6841900:-1 gene:Manes.09G035600.v8.1 transcript:Manes.09G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTSAEREIVRDMKEKLAYIALDYEQELETSKTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Manes.14G063600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5292948:5295381:1 gene:Manes.14G063600.v8.1 transcript:Manes.14G063600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARAAAFMFCDLCGTMLSLKTTEYAECPLCKFKKSAKEVCGKEIRYKVTAEDMRRDLGISHFGGKMEVKDMEINKKCEKCSNTKLKFSTRQMRSADEGQTTFYHCPSCLHTFSEN >Manes.07G042449.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4876873:4881210:1 gene:Manes.07G042449.v8.1 transcript:Manes.07G042449.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKISNEPLPPNRIHVVDLYNYSPQQLTETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPKQSDCIFYELSLAW >Manes.05G146000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24804169:24805491:-1 gene:Manes.05G146000.v8.1 transcript:Manes.05G146000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSNSKPLQMDSIFFSSALTLLITISSSSFIGGVSGATFTLINRCSYTVWPGILANAGSPPLETTGFELPSGGSRSFQSPPNWSGRFWGRTGCTFDPTTGQGSCITADCNTNLIECNGKNANPPATLAEFTIGSNSQDFYDVSLVDGYNLQMIVEPNGGSGTCLSTGCVTDLNQQCSEELRVGSGEACKSACEAFGSPEYCCSGAFATPDTCKPSVYSQMFKAACPRSYSYAYDDATSTFTCTGANYLITFCPSSASQKSATDPSQTTSTSTANGSEEGSGDGLNSSWLSNIFSGDSSTRVSSSAWPFTFIVSAISSIFLFFLYL >Manes.08G009100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1166239:1173062:1 gene:Manes.08G009100.v8.1 transcript:Manes.08G009100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYEQDSDVIQWGLRLLDGDPPFYSGYYGGALQTGDDYHKHYSRYLDDMSHFRVEDSDEIIARTLQEKFTQLAIAEASGYSPAKEEHLEISMHEHDWQGHEYNSHEDSDDIFASSSCSSPDNEEECSHSPDFTDEYGLDEEVGKRLNQMIPIPHVPRINGEIPSIDEATSDHERLLNRLQLYDFVEVKVQGDGNCQFRALSDQLYNTPDRHKVVRLHVVNQLRSHPEIYEGYVPMEYGDYLKKMSKSGEWGDHVTLQAAADSYGVKILVMTSFKDTCYIEILPIDQKSKGVIFLSFWAEVHYNSIYFQGGNLGFFCFVYVFLNLLLEPS >Manes.08G009100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1166232:1173062:1 gene:Manes.08G009100.v8.1 transcript:Manes.08G009100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYEQDSDVIQWGLRLLDGDPPFYSGYYGGALQTGDDYHKHYSRYLDDMSHFRVEDSDEIIARTLQEKFTQLAIAEASGYSPAKEEHLEISMHEHDWQGHEYNSHEDSDDIFASSSCSSPDNEEECSHSPDFTDEYGLDEEVGKRLNQMIPIPHVPRINGEIPSIDEATSDHERLLNRLQLYDFVEVKVQGDGNCQFRALSDQLYNTPDRHKVVRLHVVNQLRSHPEIYEGYVPMEYGDYLKKMSKSGEWGDHVTLQAAADSYGVKILVMTSFKDTCYIEILPIDQKSKGGNFFHFIYLLSTGSYGDMFLQICC >Manes.08G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1166239:1173062:1 gene:Manes.08G009100.v8.1 transcript:Manes.08G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYEQDSDVIQWGLRLLDGDPPFYSGYYGGALQTGDDYHKHYSRYLDDMSHFRVEDSDEIIARTLQEKFTQLAIAEASGYSPAKEEHLEISMHEHDWQGHEYNSHEDSDDIFASSSCSSPDNEEECSHSPDFTDEYGLDEEVGKRLNQMIPIPHVPRINGEIPSIDEATSDHERLLNRLQLYDFVEVKVQGDGNCQFRALSDQLYNTPDRHKVVRLHVVNQLRSHPEIYEGYVPMEYGDYLKKMSKSGEWGDHVTLQAAADSYGVKILVMTSFKDTCYIEILPIDQKSKGVIFLSFWAEVHYNSIYFQGDITSNEHRKKKRWWSFGYRH >Manes.08G009100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1166227:1173062:1 gene:Manes.08G009100.v8.1 transcript:Manes.08G009100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYEQDSDVIQWGLRLLDGDPPFYSGYYGGALQTGDDYHKHYSRYLDDMSHFRVEDSDEIIARTLQEKFTQLAIAEASGYSPAKEEHLEISMHEHDWQGHEYNSHEDSDDIFASSSCSSPDNEEECSHSPDFTDEYGLDEEVGKRLNQMIPIPHVPRINGEIPSIDEATSDHERLLNRLQLYDFVEVKVQGDGNCQFRALSDQLYNTPDRHKVVRLHVVNQLRSHPEIYEGYVPMEYGDYLKKMSKSGEWGDHVTLQAAADSYGVKILVMTSFKDTCYIEILPIDQKSKGVIFLSFWAEVHYNSIYFQGGG >Manes.09G011772.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2636831:2639184:1 gene:Manes.09G011772.v8.1 transcript:Manes.09G011772.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYFHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMNPLPSRVHFNRFLSALAKMKQYHFLVFAFQTISDHFREALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPNVVTYSSLMDGYCLCNHMDKAKKLFDLMVTNEIANIFSYTILINGYCKYKMIDDAKDIFVEMSHKGLVPDVVTYSTLIEGMFQAGRPQTAQELFKDMCSHGQQPNIVTFSIMINGLCSQGNLDDALTLLKKMEESQLKPNLVTYCILINGMCKAGKINDAKELFSSLFENGLQPDVYVYSAIMKGLFQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINKMVDKGFSADVATMELVVHLSQNNDLMD >Manes.16G112950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31860772:31863755:-1 gene:Manes.16G112950.v8.1 transcript:Manes.16G112950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVDLAQKEKESISGVCQLNKFGQMCRGTFIQWVSECD >Manes.01G206400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37893144:37895381:1 gene:Manes.01G206400.v8.1 transcript:Manes.01G206400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPQYDQDPVKYGDVFDVQGNLASEPVAPGDAATMQSAENLILGQTQRGGAASVMQSAANVNVRAGLVYPDDASRAVREEGVTVTESNIGGTRVVTERVGGEIVGQHLDPRVPAAYPGSAYDITIGEALEATAYSATGDKPIDQSDAAAIKAAEVRALRSIETPSTGIGAQAQSAADLNTRVLSDESKTKLSDVLADASVQLPRDKPVTRNDAEGVIAAEIRNKPDMRTTLGGVAASMAAAARLNERS >Manes.02G106400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8296569:8299551:1 gene:Manes.02G106400.v8.1 transcript:Manes.02G106400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPKTPVELVQLTRELLIYVDKNTEVRERKREEKISELSKLILELRTVLFGNGQNEPSSDACAQLTQEFFKQDVFRLLIISLPKLDLGTRQNATHVIANLQRQRVNCRFIASEFMENNLDIMDILLPGYANGDIALTYGAISRECIRHQTVARYVLESEHMKKFFSYIQIPNFDISSDAQATFKELLTRHKSTVAQFLSKNYDWFFQEYNSQLLESTNYITRRHAVKLLGDMLLERSNSAVMVRYVSSLDNMRILMNLFRDSKKTIQVDAFHVFKLFIANQSKPPEIVSVLFTNKSKLLRFLSDFTIDKGQ >Manes.02G106400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8296569:8299551:1 gene:Manes.02G106400.v8.1 transcript:Manes.02G106400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPKTPVELVQLTRELLIYVDKNTEVRERKREEKISELSKLILELRTVLFGNGQNEPSSDACAQLTQEFFKQDVFRLLIISLPKLDLGTRQNATHVIANLQRQRVNCRFIASEFMENNLDIMDILLPGYANGDIALTYGAISRECIRHQTVARYVLESEHMKKFFSYIQIPNFDISSDAQATFKELLTRHKSTVAQFLSKNYDWFFQEYNSQLLESTNYITRRHAVKLLGDMLLERSNSAVMVRYVSSLDNMRILMNLFRDSKKTIQVDAFHVFKGMNNLKQTKLKS >Manes.02G106400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8296569:8299551:1 gene:Manes.02G106400.v8.1 transcript:Manes.02G106400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPKTPVELVQLTRELLIYVDKNTEVRERKREEKISELSKLILELRTVLFGNGQNEPSSDACAQLTQEFFKQDVFRLLIISLPKLDLGTRQNATHVIANLQRQRVNCRFIASEFMENNLDIMDILLPGYANGDIALTYGAISRECIRHQTVARYVLESEHMKKFFSYIQIPNFDISSDAQATFKELLTRHKSTVAQFLSKNYDWFFQEYNSQLLESTNYITRRHAVKDSKKTIQVDAFHVFKLFIANQSKPPEIVSVLFTNKSKLLRFLSDFTIDKGDEQFEADKAEVIREIASLEIRDQSCAESEDSEIEP >Manes.02G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8296569:8299551:1 gene:Manes.02G106400.v8.1 transcript:Manes.02G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPKTPVELVQLTRELLIYVDKNTEVRERKREEKISELSKLILELRTVLFGNGQNEPSSDACAQLTQEFFKQDVFRLLIISLPKLDLGTRQNATHVIANLQRQRVNCRFIASEFMENNLDIMDILLPGYANGDIALTYGAISRECIRHQTVARYVLESEHMKKFFSYIQIPNFDISSDAQATFKELLTRHKSTVAQFLSKNYDWFFQEYNSQLLESTNYITRRHAVKLLGDMLLERSNSAVMVRYVSSLDNMRILMNLFRDSKKTIQVDAFHVFKLFIANQSKPPEIVSVLFTNKSKLLRFLSDFTIDKGDEQFEADKAEVIREIASLEIRDQSCAESEDSEIEP >Manes.02G106400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8296569:8299551:1 gene:Manes.02G106400.v8.1 transcript:Manes.02G106400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPKTPVELVQLTRELLIYVDKNTEVRERKREEKISELSKLILELRTVLFGNGQNEPSSDACAQLTQEFFKQDVFRLLIISLPKLDLGTRQNATHVIANLQRQRVNCRFIASEFMENNLDIMDILLPGYANGDIALTYGAISRECIRHQTVARYVLESEHMKKFFSYIQIPNFDISSDAQATFKELLTRHKSTVAQFLSKNYDWFFQEYNSQLLESTNYITRRHAVKLLGDMLLERSNSAVMVRYVSSLDNMRILMNLFRDSKKTIQVDAFHVFKVEQEIFYLSFCYLFKSNFSSLILIVIYC >Manes.17G092400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30075016:30078409:-1 gene:Manes.17G092400.v8.1 transcript:Manes.17G092400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECCESSVAISSCTPSSAAAAGWWDVHHACSLSSWTNNSSCSPWHQQQPNPSSNSSCEEDVSISTSFTNASNHSGLTVESSRRLVEPAASSPSEFMAEHASDSQLWSHILFGVGSNNGDLHNIQDVGENLLDALSSKSISTGIFEPACDYLKKMENNNWEFTNSPSFNTFEHKHVNGFSTDHLSSIESERVTKLSNLVNNWTIAPPDPGVAVSHETIDPIACNISLGSTVNHYSQPQTYSDSASCGTEILNTKSGFLSCYDGHDIKLEDERRHVESPGYIFRRSFNNCNGVGYDIGLSSGSVVADNSKYYYGVPNNTCASARNFTDVAFNGRFNKPMIDIQGNTPCFKSLNSSDCRKQRGQTSLPQGRGKGSTSETKKKRSFDTSPAVLKKPKHESSTVSSAKVPKVKLGDRISALQQIVSPFGKTDTASVLLEAIQYIKFLQEQVQLLSNPYMKNNSHKDPWGGLEKKDKGDLKLDLRSRGLSLVPISCTPQVYQENTGSDYWTPTYRSGCLYR >Manes.17G092400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30075016:30078409:-1 gene:Manes.17G092400.v8.1 transcript:Manes.17G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECCESSVAISSCTPSSAAAAGWWDVHHACSLSSWTNNSSCSPWHQQQPNPSSNSSCEEDVSISTSFTNASNHSGLTVESSRRLVEPAASSPSEFMAEHASDSQLWSHILFGVGSNNGDLHNIQDVGENLLDALSSKSISTGIFEPACDYLKKMENNNWEFTNSPSFNTFEHKHVNGFSTDHLSSIESERVTKLSNLVNNWTIAPPDPGVAVSHETIDPIACNISLGSTVNHYSQPQTYSDSASCGTEILNTKSGFLSCYDGHDIKLEDERRHVESPGYIFRRSFNNCNGVGYDIGLSSGSVVADNSKYYYGVPNNTCASARNFTDVAFNGRFNKPMIDIQGNTPCFKSLNSSDCRKQRGQTSLPQGRGKGSTSETKKKRSFDTSPAVLKKPKHESSTVSSAKMQVPKVKLGDRISALQQIVSPFGKTDTASVLLEAIQYIKFLQEQVQLLSNPYMKNNSHKDPWGGLEKKDKGDLKLDLRSRGLSLVPISCTPQVYQENTGSDYWTPTYRSGCLYR >Manes.07G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30981125:30983759:1 gene:Manes.07G104000.v8.1 transcript:Manes.07G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGFLNLFTLLASIPIIGGGLWMARSSTTCESFLQTPLLVVGFVVLIISLAGFIGACFHVAWALWVYLVIMLFIIATLMGLTIFGFVVTSQGGGVEVPGRVYKEYRLQDYSPWLRDRVKDPEYWRTIRSCILGSKTCAKLASWTPLDYSERDMSPIQSGCCKPPTSCNYNMETLVPQEADCYRWNNSPTLLCYECESCKAGVLEDVRRDWHKLSVLNIVMLVLLIGIYSIGCCAFRNTRRAETDYPYGENRMSKVRPRWDYYWWRWWHDKRDLLY >Manes.11G062600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8727593:8730084:-1 gene:Manes.11G062600.v8.1 transcript:Manes.11G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTQKKLHFNSVLHIARTCRSSIQSIMSWNPSPNFDEHLWIINIRRSLEEELENDSEVPVSIFNVPKILMATDPDSYTPQEVAIGPYHHWRPELYEMERYKLAAAKRTQKQLQNLKFQHIVDHLTKLEPRVRACYHKFLDFSNETLAWMMAIDASFLLEFLQIYAVKEGMAINTGVSSRMSHLVDYAGTKSAHNAILRDMVMLENQIPLFVLRKILEVQFFSLETADELLLSMLVGFCNELSPFKLMNDMQKLPVSQSSHLLDYLYIMIAPTVEAPAVPEIDESEEQGEAMQSKGSYGNSSHVKDLFSEIWKLISKLNKAPIQLLKRLIFSRPVKLILKLPWTILSNLPGMSVLKQPIQYLFFAQDKEELKPENDLGSSNEVNKPPLVEEITIPCVTDLANCGVRFLPTTGNISSINFDPKTVSFYLPIVSLDVNTEVVLRNLVAYEASNASGPLVFTRYTELMNGIIDTEEDVKFLREKGIILNHLKSDGEVAELWNGMSKSIRLTKVPFLDKVIEDVNKYYNGKWKIKVGNFMKRYVFGSWQFLTFLAAIFLLMLMTLQAFCSVYSCGRLFHHVSGTT >Manes.03G126600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25251204:25259174:1 gene:Manes.03G126600.v8.1 transcript:Manes.03G126600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHTPKSPKPAGQTNHFSIPSSSVSPSLSSHFADVALKQQILLSLSKLADRDTHQLALQDLQSITQSLSPNAVPLLLSSLYSFSSDPMIKAIVKRDCLHLLSLCCQIRYDLKLPRLNKIIAHIVKVLKDSDPSVRNACSDVVGVLSGLYLKGGVGEGRIELFVRPFFEAMWKQNKRVQLGATMCLAKTVKCAMAENLPVSVFQQLCPRVCKLLKRQNFHAKSVMLGVVEHLLQVGAIAPQGLEPLLQSIHDCLASTDWATRKAAADALSAIALHSSSLIADEAANSTLTLLEASRFDKIKPVRDSMTEALQLWKKIAGKAEDSVLDDQKTSSRDGHHPEQAELSDKNPNPSGQKTESLARDSSSGSSPTMDSVSKSKAGSIPEKAVVILKKKAPALTDKDLNPEFFQKLERGSGDLPVEVVVPRRCINSSNLKNEEEPVPNDSESMGRSNRMGNSHSNDAHGSFNYKNRDIERGIAGKDSRTRAFDDDRLDVNNRESSGSRAGFSKSDGQSEGTFMSSKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDSMVTLENRVRGLERIVEDMARDLSISSGRRGGNFPIGFEGSSNRPLGKYNGFSDYSSAKYNVRVPFGERYTQSDVTASGMRGRGSHWRSDISDVWDFPTYGASKNGRHSRRAPSSGSLDVRSPKSEHESDQVGSRRAWDKGTGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGLSRTARVAIPELTAEALEDDNVGQERDPIWTSWSNAMDALKMGDVDTAYAEVVSTGDDFLLVKLMDRSGPVVDQLSNETAYEVLHAVAQFLLEQNLFDICLSWIQQLVEILLENGHDTLGIPMELKKELLLNLHEASTEIDPPEDWEGAAPDQLLMQLASALGIELQQFDK >Manes.03G126600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25251221:25259174:1 gene:Manes.03G126600.v8.1 transcript:Manes.03G126600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAPKLAKPSKPPNQSQPNSRSSSLSTHLAMVELKQRILTSLSKLADRDTHQIALEDLHSIIQSISPEVLPMLLNSLYDSLSDSSNAKPSVKKESLHLLSLTCQSHRDLTFPHLTKIIAHIVKRLKDSDSSVKDACRDAIGVLSRLYLKIAGGGEGGGDSNGVGSMVGLFVRPLFEAMGEKNKGVQSGAAACMAKMVDCAAMEANNPDGNAGCGNVPTGAFQKLCPRICKLLNGQNFEAKAALLGVVTSLAQVGAIAPQGLEPLLQSIHDCLASTDWATRKAAADALSAIALHSSSLIADEAANSTLTLLEASRFDKIKPVRDSMTEALQLWKKIAGKAEDSVLDDQKTSSRDGHHPEQAELSDKNPNPSGQKTESLARDSSSGSSPTMDSVSKSKAGSIPEKAVVILKKKAPALTDKDLNPEFFQKLERGSGDLPVEVVVPRRCINSSNLKNEEEPVPNDSESMGRSNRMGNSHSNDAHGSFNYKNRDIERGIAGKDSRTRAFDDDRLDVNNRESSGSRAGFSKSDGQSEGTFMSSKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDSMVTLENRVRGLERIVEDMARDLSISSGRRGGNFPIGFEGSSNRPLGKYNGFSDYSSAKYNVRVPFGERYTQSDVTASGMRGRGSHWRSDISDVWDFPTYGASKNGRHSRRAPSSGSLDVRSPKSEHESDQVGSRRAWDKGTGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGLSRTARVAIPELTAEALEDDNVGQERDPIWTSWSNAMDALKMGDVDTAYAEVVSTGDDFLLVKLMDRSGPVVDQLSNETAYEVLHAVAQFLLEQNLFDICLSWIQQLVEILLENGHDTLGIPMELKKELLLNLHEASTEIDPPEDWEGAAPDQLLMQLASALGIELQQFDK >Manes.03G008100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:664461:668926:1 gene:Manes.03G008100.v8.1 transcript:Manes.03G008100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERESSSLVPESVMDSAKTTLANIEQLHTHLLQFLPLSDPEVLAEMPPLQRAQSLLLLAKATTLLFSLRLRCSGIDPSEHHVKTELDRLNLYQDKLERSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTREQKKNMRDISKGEGSKMKYLERKIQKKRKYESSSKHSVQVAALDFLEKATRELLGDNTSGFKGPIQNDVLDDDNPHMD >Manes.03G008100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:664461:668926:1 gene:Manes.03G008100.v8.1 transcript:Manes.03G008100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERESSSLVPESVMDSAKTTLANIEQLHTHLLQFLPLSDPEVLAEMPPLQRAQSLLLLAKATTLLFSLRLRCSGIDPSEHHVKTELDRLNLYQDKLERSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTREQKKNMRDISKGEGSKMKYLERKIQKKRKYESSSKHSVQVAALDFLEKATRELLGDNTSGFKGPIQNDVLDDDNPHMD >Manes.03G008100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:664461:668926:1 gene:Manes.03G008100.v8.1 transcript:Manes.03G008100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERESSSLVPESVMDSAKTTLANIEQLHTHLLQFLPLSDPEVLAEMPPLQRAQSLLLLAKATTLLFSLRLRCSGIDPSEHHVKTELDRLNLYQDKLERSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTREQKKNMRDISKGEGSKMKYLERKIQKKRKYESSSKHSVQVAALDFLEKATRELLGDNTSGFKGPIQNDVLDDDNPHMD >Manes.03G008100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:664461:668926:1 gene:Manes.03G008100.v8.1 transcript:Manes.03G008100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERESSSLVPESVMDSAKTTLANIEQLHTHLLQFLPLSDPEVLAEMPPLQRAQSLLLLAKATTLLFSLRLRCSGIDPSEHHVKTELDRLNLYQDKLERSIDLSKAPLRPSTTLNYQAATRFIEHSLPDLTREQKKNMRDISKGEGSKMKYLERKIQKKRKYESSSKHSVQVAALDFLEKATRELLGDNTSGFKGPIQNDVLDDDNPHMD >Manes.04G091700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29700912:29717211:1 gene:Manes.04G091700.v8.1 transcript:Manes.04G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIAYLTALTTHFSYGLLFAFGHIRDFFRKILDWGSSNNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPIASAPDTWFDVVERHSNDNNKTLKRTTNISRCLNLASYNYLGFAAADEYCMPRVIESLKKYCPSTCSSRVDGGTTALHNELEKCVAEFVGKPAAIVFGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGTGATIRVFQHNSPSHLEEVLREQIAEGHPRTHRPWKKILVIVEGIYSMEGELCKLPEIVAICKKYKAYIYLDEAHSIGAVGKTGKGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAEQIKSSIKVIVGEDGSSRGAQKLAQIRENSNFFRSELQKMGFEVLGDNDSPIMPIMLYNPAKIAAFSRECLKQNVAVVTLTFPATPLLLARARICISASHTKEDLVKALKVFDEVGDLVGIKYFPAESDKQQEEDKIVKLD >Manes.08G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7938729:7943363:1 gene:Manes.08G061400.v8.1 transcript:Manes.08G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSGSIDYWRNYFRTANSDIFSIIDHAIIVAASDCPKEFRLRRDRIAERLFSSRWTRCSGCNRVELAVPAHEGENDDGGCKRRDGGCSNDVDDDDEDIDIDGCEFEGGGSKESKVNSSNRDDNDFDNGEVNVNDHLVSNYSYGEAEALTDEIEEESQVVGEVLRIKDILLNSRDESDSVLFESLRRLQLMALTVETLKATEIGKAVNGLRKHGSKEIRHLARTLIDGWKVLVDEWYNATKAFGGSNLQSDEGTPESLNPSIVDEEEEGLPSPPLDEGAFFATQTAGIELSQFFDGMDDFGNPRNSGGSIKNHENGTKPSPENHNITKKKQQTPNDAVVAKDNTSQQMRRQEAVLKTSRPTNADSCPRRPLKQSVDQKANNDLKIMRKTEKVVSQRKPPSVQQDKFKCPDEVAVQMKLEATKRKLQERYQQAENAKRQRTIQVMELHDLPKQGLVQKNQHMRPGSHNRNWAHGRRQ >Manes.05G066400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5533168:5538093:1 gene:Manes.05G066400.v8.1 transcript:Manes.05G066400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSVESMWRLSLASSESYPERPGLPDCVYYMRTGFCGYGGKCRYNHPRNRAAVEAAVRATGEYPERVGEPPCQFYLKTGTCKFGASCKFHHPRHGGGSLSHVPLNTHGYPLRPGEKECSYYLKTGQCKFGVTCKFHHPQPAGTSMPESAPQFYQPVQSPSITIPDQYGGASTGLRVRPQLLAGSYVQGAYGPVLFSPGVVPIPGWSPYSAPVSPVLSPGAQPAVGTTSLYGVTQLSSSAPALAGPYPSPSSAVGLSSGSKEQSFPERPGEPECQYYLRTGDCKFGSSCRFHHPRDRVAPRTNCVLSPLGLPLRPGVQPCTFYLRNGNCKFGATCKFDHPMGAMRYSPSTSSLIDMPVTPYPVGSLLATLGPSSSSSDLRPELIGVTKKDPYLSRIPSSGNTSSSSVGLIFSQTGSVPLSELQHSSQSSVSLPSGRSTRRGGDIHRSS >Manes.05G066400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5533168:5538311:1 gene:Manes.05G066400.v8.1 transcript:Manes.05G066400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRNQARNGLQSGQQPEWSPVGGETGLEESMWRLSLASSESYPERPGLPDCVYYMRTGFCGYGGKCRYNHPRNRAAVEAAVRATGEYPERVGEPPCQFYLKTGTCKFGASCKFHHPRHGGGSLSHVPLNTHGYPLRPGEKECSYYLKTGQCKFGVTCKFHHPQPAGTSMPESAPQFYQPVQSPSITIPDQYGGASTGLRVRPQLLAGSYVQGAYGPVLFSPGVVPIPGWSPYSAPVSPVLSPGAQPAVGTTSLYGVTQLSSSAPALAGPYPSPSSAVGLSSGSKEQSFPERPGEPECQYYLRTGDCKFGSSCRFHHPRDRVAPRTNCVLSPLGLPLRPGVQPCTFYLRNGNCKFGATCKFDHPMGAMRYSPSTSSLIDMPVTPYPVGSLLATLGPSSSSSDLRPELIGVTKKDPYLSRIPSSGNTSSSSVGLIFSQTGSVPLSELQHSSQSSVSLPSGRSTRRGGDIHRSS >Manes.05G066400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5533168:5538093:1 gene:Manes.05G066400.v8.1 transcript:Manes.05G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRNQARNGLQSGQQPEWSPVGGETGLEESMWRLSLASSESYPERPGLPDCVYYMRTGFCGYGGKCRYNHPRNRAAFMRLSRMFGSSWFGLFWFSGTTTLPTLGHLVREVEAAVRATGEYPERVGEPPCQFYLKTGTCKFGASCKFHHPRHGGGSLSHVPLNTHGYPLRPGEKECSYYLKTGQCKFGVTCKFHHPQPAGTSMPESAPQFYQPVQSPSITIPDQYGGASTGLRVRPQLLAGSYVQGAYGPVLFSPGVVPIPGWSPYSAPVSPVLSPGAQPAVGTTSLYGVTQLSSSAPALAGPYPSPSSAVGLSSGSKEQSFPERPGEPECQYYLRTGDCKFGSSCRFHHPRDRVAPRTNCVLSPLGLPLRPGVQPCTFYLRNGNCKFGATCKFDHPMGAMRYSPSTSSLIDMPVTPYPVGSLLATLGPSSSSSDLRPELIGVTKKDPYLSRIPSSGNTSSSSVGLIFSQTGSVPLSELQHSSQSSVSLPSGRSTRRGGDIHRSS >Manes.05G066400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5533168:5538311:1 gene:Manes.05G066400.v8.1 transcript:Manes.05G066400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRNQARNGLQSGQQPEWSPVGGETGLEESMWRLSLASSESYPERPGLPDCVYYMRTGFCGYGGKCRYNHPRNRAAVEAAVRATGEYPERVGEPPCQFYLKTGTCKFGASCKFHHPRHGGGSLSHVPLNTHGYPLRPGEKECSYYLKTGQCKFGVTCKFHHPQPAGTSMPESAPQFYQPVQSPSITIPDQYGGASTGLRVRPQLLAGSYVQGAYGPVLFSPGVVPIPGWSPYSAPVSPVLSPGAQPAVGTTSLYGVTQLSSSAPALAGPYPSPSSAVGLSSGSKEQSFPERPGEPECQYYLRTGDCKFGSSCRFHHPRDRVAPRTNCVLSPLGLPLRPGVQPCTFYLRNGNCKFGATCKFDHPMGAMRYSPSTSSLIDMPVTPYPVGSLLATLGPSSSSSDLRPELIGVTKKDPYLSRIPSSGNTSSSSVGLIFSQTGSVPLSELQHSSQSSVSLPSGRSTRRGGDIHRSS >Manes.05G066400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5533168:5538093:1 gene:Manes.05G066400.v8.1 transcript:Manes.05G066400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRNQARNGLQSGQQPEWSPVGGETGLEESMWRLSLASSESYPERPGLPDCVYYMRTGFCGYGGKCRYNHPRNRAAFMRLSRMFGSSWFGLFWFSGTTTLPTLGHLVREVEAAVRATGEYPERVGEPPCQFYLKTGTCKFGASCKFHHPRHGGGSLSHVPLNTHGYPLRPGEKECSYYLKTGQCKFGVTCKFHHPQPAGTSMPESAPQFYQPVQSPSITIPDQYGGASTGLRVRPQLLAGSYVQGAYGPVLFSPGVVPIPGWSPYSAPVSPVLSPGAQPAVGTTSLYGVTQLSSSAPALAGPYPSPSSAVGLSSGSKEQSFPERPGEPECQYYLRTGDCKFGSSCRFHHPRDRVAPRTNCVLSPLGLPLRPGVQPCTFYLRNGNCKFGATCKFDHPMGAMRYSPSTSSLIDMPVTPYPVGSLLATLGPSSSSSDLRPELIGVTKKDPYLSRIPSSGNTSSSSVGLIFSQTGSVPLSELQHSSQSSVSLPSGRSTRRGGDIHRSS >Manes.04G126450.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32786249:32795465:1 gene:Manes.04G126450.v8.1 transcript:Manes.04G126450.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGCCFCGRGIGGKTVITPIAEESSIPIEATVNKEQEIKEETAIPIEANISAEKEESAIPIEATANREKGVRTFSHEELAKAARYFSISDNNRLGDGLTGEVFKGELPNGEVVAIKRFKHQANPEHEKLARNQYEMEAEILSRIEPHQNIVKVIGYCNDASNRLLVYEFVPNNSLKSCLHGKEKHIIKWSDRLKIALGTADGLAYLHEICKPRIIHLDIKSANILHGDKFIPKIGDFGLAKEFMSSHTHVSTGPRGTISYEPPEYYVADLRRKLTEKSDVFSFGVVLLELITGKFAILEDNDRLVNWALSPLKQVLTTDNKEDLDMEKYNNLVDFKLQKDIDKKEMSRMIYCAAACIHKPMKLRQKMSQIVEVLKGDKEPMDYIWLRNDTQYLYQGSPYAPLPEALRPAVP >Manes.05G102300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9560932:9566772:1 gene:Manes.05G102300.v8.1 transcript:Manes.05G102300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSGGVLIASMFMLLILRYGLVKNPIGASYLMNAFSNASNPLEWVQATVPPAYKNPVNSTQVISSDAIMFSLFAQRNTSNEEQESLHTWNLLKHLIDQAQLLPNGIEAIKEAGNAWNSLMASIEEERRGSTNESLNRRAKDKQCPHFLSKVNATLLESSGFKLQLPCGLTQSSSITIIGIPNGLLGNFQIDLTGEALPGEPDPPIILHYNVRLHGDRITEDPVIVQNTWTVAHDWGEEERCPSPTPEKNKKVDELDQCNKIVGSNATRVAIMHSKGPRRSSMVQEGSKRKRYFPFKQGYLSVATLRVGSEGIQMTVDGKHITSFAYRETLEPWLVSEVRISRDLKLISVVASGLPTSEDSEHTIDLEALKSAPLSPRKPPHLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGTVAVRFFVGLHKNQLVNEELWNEARTYGDIQLMPFVDYYNLITWKTLAICIFGTEVASANYVMKTDDDAFIRVDEVLGSLEKIKVSKGLLYGLINSDSQPHRSTDSKWYTSLEEWPEEKYPPWAHGPGYVVSHDIAKEVYKRYKEGHLKIFKLEDVAMGIWINQMKKEGLEVRYENNERIYNEGCKDGYIVAHYQGPREMLCLWQKLQEGNGARCCGDR >Manes.05G102300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9560932:9566772:1 gene:Manes.05G102300.v8.1 transcript:Manes.05G102300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSGGVLIASMFMLLILRYGLVKNPIGASYLMNAFSNASNPLEWVQATVPPAYKNPVNSTQVISSDAIMFSLFAQRNTSNEEQESLHTWNLLKHLIDQAQLLPNGIEAIKEAGNAWNSLMASIEEERRGSTNESLNRRAKDKQCPHFLSKVNATLLESSGFKLQLPCGLTQSSSITIIGIPNGLLGNFQIDLTGEALPGEPDPPIILHYNVRLHGDRITEDPVIVQNTWTVAHDWGEEERCPSPTPEKNKKVDELDQCNKIVGSNATRVAIMHSKGPRRSSMVQEGSKRKRYFPFKQGYLSVATLRVGSEGIQMTVDGKHITSFAYRETLEPWLVSEVRISRDLKLISVVASGLPTSEDSEHTIDLEALKSAPLSPRKPPHLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGTVAVRFFVGLHKNQLVNEELWNEARTYGDIQLMPFVDYYNLITWKTLAICIFGTEVASANYVMKTDDDAFIRVDEVLGSLEKIKVSKGLLYGLINSDSQPHRSTDSKWYTSLEEWPEEKYPPWAHGPGYVVSHDIAKEVYKRYKEGHLKIFKLEDVAMGIWINQMKKEGLEVRYENNERIYNEGCKDGYIVAHYQGPREMLCLWQKLQEGNGARCCGDR >Manes.05G102300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9562086:9566772:1 gene:Manes.05G102300.v8.1 transcript:Manes.05G102300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSGGVLIASMFMLLILRYGLVKNPIGASYLMNAFSNASNPLEWVQATVPPAYKNPVNSTQVISSDAIMFSLFAQRNTSNEEQESLHTWNLLKHLIDQAQLLPNGIEAIKEAGNAWNSLMASIEEERRGSTNESLNRRAKDKQCPHFLSKVNATLLESSGFKLQLPCGLTQSSSITIIGIPNGLLGNFQIDLTGEALPGEPDPPIILHYNVRLHGDRITEDPVIVQNTWTVAHDWGEEERCPSPTPEKNKKVDELDQCNKIVGSNATRVAIMHSKGPRRSSMVQEGSKRKRYFPFKQGYLSVATLRVGSEGIQMTVDGKHITSFAYRETLEPWLVSEVRISRDLKLISVVASGLPTSEDSEHTIDLEALKSAPLSPRKPPHLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGTVAVRFFVGLHKNQLVNEELWNEARTYGDIQLMPFVDYYNLITWKTLAICIFGTEVASANYVMKTDDDAFIRVDEVLGSLEKIKVSKGLLYGLINSDSQPHRSTDSKWYTSLEEWPEEKYPPWAHGPGYVVSHDIAKEVYKRYKEGHLKIFKLEDVAMGIWINQMKKEGLEVRYENNERIYNEGCKDGYIVAHYQGPREMLCLWQKLQEGNGARCCGDR >Manes.05G102300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9560932:9566772:1 gene:Manes.05G102300.v8.1 transcript:Manes.05G102300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSGGVLIASMFMLLILRYGLVKNPIGASYLMNAFSNASNPLEWVQATVPPAYKNPVNSTQVISSDAIMFSLFAQRNTSNEEQESLHTWNLLKHLIDQAQLLPNGIEAIKEAGNAWNSLMASIEEERRGSTNESLNRRAKDKQCPHFLSKVNATLLESSGFKLQLPCGLTQSSSITIIGIPNGLLGNFQIDLTGEALPGEPDPPIILHYNVRLHGDRITEDPVIVQNTWTVAHDWGEEERCPSPTPEKNKKVDELDQCNKIVGSNATRVAIMHSKGPRRSSMVQEGSKRKRYFPFKQGYLSVATLRVGSEGIQMTVDGKHITSFAYRETLEPWLVSEVRISRDLKLISVVASGLPTSEDSEHTIDLEALKSAPLSPRKPPHLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGTVAVRFFVGLHKNQLVNEELWNEARTYGDIQLMPFVDYYNLITWKTLAICIFGTEVASANYVMKTDDDAFIRVDEVLGSLEKIKVSKGLLYGLINSDSQPHRSTDSKWYTSLEEWPEEKYPPWAHGPGYVVSHDIAKEVYKRYKEGHLKIFKLEDVAMGIWINQMKKEGLEVRYENNERIYNEGCKDGYIVAHYQGPREMLCLWQKLQEGNGARCCGDR >Manes.06G136000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26370675:26371283:-1 gene:Manes.06G136000.v8.1 transcript:Manes.06G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFAITSFAKSLFIFLAISFPINSISAGRVLRTPKTFTEFIRTSCSTTTYPRLCYSSLSVQASQIQNSPKLLVNAALNVTIASARSTSIMMKRLSQSHGMEPREVSAMQDCVEELSDTVDELSNSIDEMGNAKGSNTEIMINDIQTWVSAALTDENTCSDGFAGHSMDGDVKSAVSKQIQNIAHLTSNALALINNYASLHG >Manes.15G036600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2867984:2868520:-1 gene:Manes.15G036600.v8.1 transcript:Manes.15G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPQFFSRLKQTSQSLKSSLHPWPLFIDLTSINLPSSIPDATTRITQNLTHFRANYSIILLLVLFFRLLYHPLSLIAFFITLVAWVFLYFSREEPLTLFGYQVDDLLVLVALFVVTILVLVWSGVWLNVVAAIGIGVLFMVFHAVLRSTDDLVADDIETSPYVNLLSEDDSPRGGL >Manes.16G023400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2539971:2541179:-1 gene:Manes.16G023400.v8.1 transcript:Manes.16G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALASPLQPITPPPSSEFSLSKYRVCASFCNKNTSNWIECYDPSNNSWSYLSSIPGLLSNHVLKDFVMVSLTDSIYIIGGRLCHKERSPSRFSEEEVNVVGIEVSSLVLRYNVRLNEWSECAPMKMPRYYFACTAWNNKIYVAGGKSNLDSARGTSSAEAYDPVLDVWTSLPSMSTLRYKCVGVIFQSKIHVVGGFAVKVNSDKMEPFIMERSSAEVYDIQEGKWELVAGMWQLDVPPNQIVATGGRLFSSGDCLKDWKGHIEVYDGRLNMWDVVDGSHLQTLNSAISTSDANSENRPPRQRLYLTMAPIGTHLYFLAGYRMAGELPRTISMVHIFDTSLSSDAWRSFEPVEEDGEKQLCSHCCVVQIS >Manes.01G118000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31476027:31480137:1 gene:Manes.01G118000.v8.1 transcript:Manes.01G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNAHKLTVILVYAVLEWILIILLLLNSFFTYLITKFANYFGLKPPCLWCSRVDHVLEPGNRTSSYRDLVCENHATEISRLGYCSNHRRLAETQNMCMDCLASRPNHNDESVGMNRRIAFISWVSKDTLENGDGVPRCSCCNEIFNNNLYSPYLLFKPSWKALRYTQKGNLIIEGIDDDGNGSECKLLSKHDSFAHYTEFSNEIEKNDGEEHQMLSDVGSFGLKDSAEDECSGSESSLRCDEKEANEDQKADNLCITEQESYGMDFVHRSFGDNIVQHCLGEDCSLEIMDVLFERNLDCGSNRLIPIELIDSSTSANQGSFILREEDLEKHDYQNEHFDSSLQEETQIKLQEDKETIEINVDNGKNSSVIENDLAGEASETLSISGKVVEMADLDEPQALQEIDEEEKINDFSSVDHQTKSEPFKELSDQANNLPQEQESTPLPCLQLQEDHSSTNGNGAEIPNAPESYMHNDFGPNYREKPSLEEKMISADKNQEAISQHSSMRSESNEAEEEKFPETPTSLDSFHYLHKKLLLLEKRESGKEESLDGSVTSEMEAGDPLQSVEKLKTALKAERKALNALYTELEEERSASAIAANQSMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMMKREREKQELEKELEVYFKKVSDYEAKEKIGMMRRSINGSVRSRNSSATCSSAEEIDELSIDLNREARDEDSSIYGDQGIRNNDAPNEEVINLEEMALDCVQQISALDDSFEEFEEERLSILDQLKALEERLLNLQENELSEEGNSVENSLNYSVKGYDESYELSTPEENGISHELSKDKHYTERKTMGSMAKSLLPLLDAADNEYAADEGLLFEENVASEIVEVENPSVSKFDLDSKKISLVEEVDHVYERLQALEADKEFLKHCMNSMNKGEKGMDLLQEILQHLRDLRAVELRVRNMSDDPLG >Manes.01G118000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31476027:31480137:1 gene:Manes.01G118000.v8.1 transcript:Manes.01G118000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNAHKLTVILVYAVLEWILIILLLLNSFFTYLITKFANYFGLKPPCLWCSRVDHVLEPGNRTSSYRDLVCENHATEISRLGYCSNHRRLAETQNMCMDCLASRPNHNDESVGMNRRIAFISWVSKDTLENGDGVPRCSCCNEIFNNNLYSPYLLFKPSWKALRYTQKGNLIIEGIDDDGNGSECKLLSKHDSFAHYTEFSNEIEKNDGEEHQMLSDVGSFGLKDSAEDECSGSESSLRCDEKEANEDQKADNLCITEQESYGMDFVHRSFGDNIVQHCLGEDCSLEIMDVLFERNLDCGSNRLIPIELIDSSTSANQGSFILREEDLEKHDYQNEHFDSSLQEETQIKLQEDKETIEINVDNGKNSSVIENDLAGEASETLSISGKVVEMADLDEPQALQDEEEKINDFSSVDHQTKSEPFKELSDQANNLPQEQESTPLPCLQLQEDHSSTNGNGAEIPNAPESYMHNDFGPNYREKPSLEEKMISADKNQEAISQHSSMRSESNEAEEEKFPETPTSLDSFHYLHKKLLLLEKRESGKEESLDGSVTSEMEAGDPLQSVEKLKTALKAERKALNALYTELEEERSASAIAANQSMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMMKREREKQELEKELEVYFKKVSDYEAKEKIGMMRRSINGSVRSRNSSATCSSAEEIDELSIDLNREARDEDSSIYGDQGIRNNDAPNEEVINLEEMALDCVQQISALDDSFEEFEEERLSILDQLKALEERLLNLQENELSEEGNSVENSLNYSVKGYDESYELSTPEENGISHELSKDKHYTERKTMGSMAKSLLPLLDAADNEYAADEGLLFEENVASEIVEVENPSVSKFDLDSKKISLVEEVDHVYERLQALEADKEFLKHCMNSMNKGEKGMDLLQEILQHLRDLRAVELRVRNMSDDPLG >Manes.01G118000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31476430:31480137:1 gene:Manes.01G118000.v8.1 transcript:Manes.01G118000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNAHKLTVILVYAVLEWILIILLLLNSFFTYLITKFANYFGLKPPCLWCSRVDHVLEPGNRTSSYRDLVCENHATEISRLGYCSNHRRLAETQNMCMDCLASRPNHNDESVGMNRRIAFISWVSKDTLENGDGVPRCSCCNEIFNNNLYSPYLLFKPSWKALRYTQKGNLIIEGIDDDGNGSECKLLSKHDSFAHYTEFSNEIEKNDGEEHQMLSDVGSFGLKDSAEDECSGSESSLRCDEKEANEDQKADNLCITEQESYGMDFVHRSFGDNIVQHCLGEDCSLEIMDVLFERNLDCGSNRLIPIELIDSSTSANQGSFILREEDLEKHDYQNEHFDSSLQEETQIKLQEDKETIEINVDNGKNSSVIENDLAGEASETLSISGKVVEMADLDEPQALQEIDEEEKINDFSSVDHQTKSEPFKELSDQANNLPQEQESTPLPCLQLQEDHSSTNGNGAEIPNAPESYMHNDFGPNYREKPSLEEKMISADKNQEAISQHSSMRSESNEAEEEKFPETPTSLDSFHYLHKKLLLLEKRESGKEESLDGSVTSEMEAGDPLQSVEKLKTALKAERKALNALYTELEEERSASAIAANQSMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMMKREREKQELEKELEVYFKKVSDYEAKEKIGMMRRSINGSVRSRNSSATCSSAEEIDELSIDLNREARDEDSSIYGDQGIRNNDAPNEEVINLEEMALDCVQQISALDDSFEEFEEERLSILDQLKALEERLLNLQENELSEEGNSVENSLNYSVKGYDESYELSTPEENGISHELSKDKHYTERKTMGSMAKSLLPLLDAADNEYAADEGLLFEENVASEIVEVENPSVSKFDLDSKKISLVEEVDHVYERLQALEADKEFLKHCMNSMNKGEKGMDLLQEILQHLRDLRAVELRVRNMSDDPLG >Manes.01G118000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31476298:31480137:1 gene:Manes.01G118000.v8.1 transcript:Manes.01G118000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNAHKLTVILVYAVLEWILIILLLLNSFFTYLITKFANYFGLKPPCLWCSRVDHVLEPGNRTSSYRDLVCENHATEISRLGYCSNHRRLAETQNMCMDCLASRPNHNDESVGMNRRIAFISWVSKDTLENGDGVPRCSCCNEIFNNNLYSPYLLFKPSWKALRYTQKGNLIIEGIDDDGNGSECKLLSKHDSFAHYTEFSNEIEKNDGEEHQMLSDVGSFGLKDSAEDECSGSESSLRCDEKEANEDQKADNLCITEQESYGMDFVHRSFGDNIVQHCLGEDCSLEIMDVLFERNLDCGSNRLIPIELIDSSTSANQGSFILREEDLEKHDYQNEHFDSSLQEETQIKLQEDKETIEINVDNGKNSSVIENDLAGEASETLSISGKVVEMADLDEPQALQEPFKELSDQANNLPQEQESTPLPCLQLQEDHSSTNGNGAEIPNAPESYMHNDFGPNYREKPSLEEKMISADKNQEAISQHSSMRSESNEAEEEKFPETPTSLDSFHYLHKKLLLLEKRESGKEESLDGSVTSEMEAGDPLQSVEKLKTALKAERKALNALYTELEEERSASAIAANQSMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMMKREREKQELEKELEVYFKKVSDYEAKEKIGMMRRSINGSVRSRNSSATCSSAEEIDELSIDLNREARDEDSSIYGDQGIRNNDAPNEEVINLEEMALDCVQQISALDDSFEEFEEERLSILDQLKALEERLLNLQENELSEEGNSVENSLNYSVKGYDESYELSTPEENGISHELSKDKHYTERKTMGSMAKSLLPLLDAADNEYAADEGLLFEENVASEIVEVENPSVSKFDLDSKKISLVEEVDHVYERLQALEADKEFLKHCMNSMNKGEKGMDLLQEILQHLRDLRAVELRVRNMSDDPLG >Manes.04G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2381054:2385106:1 gene:Manes.04G019300.v8.1 transcript:Manes.04G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKEMELSKFKRICVFCGSSPGKKNSYKDAAIELGKELVARNIDLVYGGGSIGLMGLISQAVYNGGRHVIGVIPKTLMPREITGETVGEVKPVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARHIIVSAPTSQELVKKMEEYFPRHEIVASKLSWENEQLGYSQTCEISR >Manes.07G061500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10764540:10780878:-1 gene:Manes.07G061500.v8.1 transcript:Manes.07G061500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRTVKLQQAVEEATRKGEEIYESVNKWLNDAGKAIEEAEECIKGKEPAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELANEGDLDSISFRPTLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEGKLFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLNQLSEFDNEEIYSKVHAILESSYNNLCSNEVKSFFFLCGLIGQSNIEIQSLLKYVMGLSLFKNISTVQGARNKVYSLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELVKFPNKGCTRISLPYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPLSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDDNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKHLRVQNNHAIQHIINSTKWAVCDAFPILESLILKNLIKLEKIYHGRLAAGSFNKLEILQVRNCQKLTYLFSLSAAKCLLQLQEMKVKDCPRMEAIVIDESENSNEVLEFNCLRSLNLRNLPNFRTFRSKIKAPPKIEEYLSEQGNNAHLSLFNRMVSFPNLDDLRLHSVSCEKIWHDQLSATSSKLERLSVNDCNELKHLFTTSIVKRLLQLKTLQIYVCSSMEEIILIEEFIEEEDERMNQILFPKLDELTLQNLPNLIRFCTRYQIEFQSLRDLDIRGCYTLMCLVPSVPHTGMIEKQDDREMNQNQNQSDNAEIQSLFNGMVSFPNLERLSLFGINELKRIWHSPLAANSFFKLKSLNVSNCQKLMAVFPSNVLERFRKMEELHVSNCASLQEIYQFEGFNVDEVFELRRLYIDELRSLKHVWRKDPQGVFSFQNLKSVRVSDCNVLNYLFSASITEGLLQLEELTIIRCGVEEIIAKAEDVEQAPYYCFKFPQLISLELTDLSKLRSWYPGIHISELQKLTCLNVRGCHKLIKFSFQEIDEKGRRPLLFLKKMSPNLEELTLEHKDLIAIQQVQFFSKLKMLTLTNLHNKSRPLIIGFLERLYSVETILVEEYNALEELFSYEGLAAEEEEHARTLARVKNLKLDRVYNLKHIWDPDSVLKPLLQYLETLSVFNCVSLINVAPSSSSFQNLATLEVRYCAGLANLITASTAKSMVQLTKMTVRDCGMMTEIVTSDGDDHTEDEIINFDKLKCLVLDGLPGLISFCSGNNAFNFPALENVTVNRCSRMKIFASGLLNTPKLRGILLEYQQRWEGNLNATLAEMAFCQYFKASEFPELWHDGMQGRLLRNVKMLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSAEIVFDLEGLSADDGLLPRLRKLELTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMASGLLQLEEIEIYNCKMIEGIIENEEAADKIILLPSLKSVVLKYLPRFSKLCSGWSNVECPLLEEMSIHECPSLKNIFATQTLVNTIDEFHTPFLNKMFPNLEKFSLDKKSTITILGFQFPTCFFSKVKVLKLSFFLNKYHVPLFSLLPIFPNLQRLEVLDSSLDELLPFEELGGDQEDITTIPRIRDLKLKNLPDLKHIWNPDGQLHDPLIQSLETFEIESCGNLIVLAPSSVSLRNLKTLKVYRCNTLANIFTSAAAKSMVLLETLIVRSCNMLTKIIGGVQEDGSTDEIVFSKMKTLELEDLQNLKCFCLGSYSFKFPSLEQVDVFRCPKLRTFTVRQLSAPKIHGVFTGNRFKRTFHWEGDLNATIEQIYMKYRVDQYFKASEFPELWHDEMQGRLLRNVKRLMVDNCAMYNKAIPSNVMVFLNKLEELEVKDCDSADVVFDLEGVSAYDGLLPQLCELKLTSLPMLRHLWNKESQGILEFKNLRLLQVGNCSSLKYIFTESIALCLLQLEKIEIYNCKMIEGIIEKEGAADKMILLPSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFTTQTLVNTIDEVHTPFQMFSNLEKFWLDKKSTITILGSQFPTGFFSKVKVLELSFFPNKYHVPLFSLLSIFPNLQRLEVLHSSLNELLPFERLVGDQEDITTIPHIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIESCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVQEDGSTDEIVFSKMKTLELEDLQNLKCFCLGSYTFKFPSLEQVDVFRCPKLRIFTVHQLSAPKIHGVFTGNRFKRTFHWEGDLNATIEQIYMKYIGFKGIYDIQLSNFPMLKEKWHGQFPFENLEYLRKLMVDQCAFFSIAISSNLLKRLSWLNKLAVERCDSMEELFELEWLNADEGDVGLLESLEELRLIDLPRLVHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLVNLKHLELKRCNLVEHIITKESEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDASFEDIIFCEEIIGEEIHPQLLVKLKELSLSKLPRLKHLRDTKLLSVFQSLETLNVMECGRLQVLVAFSVSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKMKIEKCELIQEVIVAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNVKIFAQEVSTPQLWRVQTGPRIYEWEWEGSLNNTIQALFMEMKAEDTGIGQCSYG >Manes.02G077500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5899268:5902927:1 gene:Manes.02G077500.v8.1 transcript:Manes.02G077500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVSAILYLVDAADRDSIPISRSELHELLVKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESIADREVCCYMISCKESINIDAVIDWLIKHSKTVK >Manes.02G077500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5901229:5902613:1 gene:Manes.02G077500.v8.1 transcript:Manes.02G077500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVSAILYLVDAADRDSIPISRSELHELLVKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESIADREVCCYMISCKESINIDAVIDWLIKHSKTVK >Manes.02G077500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5899268:5902927:1 gene:Manes.02G077500.v8.1 transcript:Manes.02G077500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVSAILYLVDAADRDSIPISRSELHELLVKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESIADREVCCYMISCKESINIDAVIDWLIKHSKTVK >Manes.02G077500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5899268:5902927:1 gene:Manes.02G077500.v8.1 transcript:Manes.02G077500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVSAILYLVDAADRDSIPISRSELHELLVKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESIADREVCCYMISCKESINIDAVIDWLIKHSKTVK >Manes.02G077500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5901283:5902613:1 gene:Manes.02G077500.v8.1 transcript:Manes.02G077500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMRYIKGVFMKWNQVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVSAILYLVDAADRDSIPISRSELHELLVKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESIADREVCCYMISCKESINIDAVIDWLIKHSKTVK >Manes.02G077500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5899129:5903381:1 gene:Manes.02G077500.v8.1 transcript:Manes.02G077500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVSAILYLVDAADRDSIPISRSELHELLVKPSLSGIPLLVLGNKIDKSEALSKQALVDQLGLESIADREVCCYMISCKESINIDAVIDWLIKHSKTVK >Manes.03G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15661446:15666355:-1 gene:Manes.03G080800.v8.1 transcript:Manes.03G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKPPALKTPLSPQDWDALIDDFQHGGARLHKWTAAPQFLSSLLDHALSSLLKKDFPLKISLLIFIEEFSETFFTEESYLLRFLDALRAVVQAPLDGIAVTFLLKEQFMISTTSIFISIDALNKFQARCTEGLAELLLTVIHRPNHGVDRQTRAIACECLRELEKSYPCLLSGIAGHLWSLCQSERTHACQSYLLLFSMVVYNIVNRKLNVSVLNTSVPLVPFNVPQFISNGKELVGLNYKELRKALAFLLDTPQVLTPFGMVEFLQMIMPVAVSLELQGSMLKVQFFGLIYSFDPLLCHLVLVMYSHFPDAFDGQELEIVRRLMLISRETQHYLVFRLLSLHWLLDLLSKMMLSREGRKYKSIVDMGLRFYPAVFDPLALKALKLDLLAFYSICLDSLKLEVCSVEDRDAAKSVVKLVEDGLVSVSAFKWLSPWSTETAVAFRAFHKFLIGASSHSDTDPSTTRALMNSVIFRTLKGMLVKMTLDFLRLVPVVVSLIDRLLSCKKHCWLGERLLQMVDEYLLPKVKMDYTLVAFFPIFDRIAENNTIPPSRLLDLLTKFMIFLVEKHGPNTGLKSWSQGSKVLGICRTMLMHHHSSRLFLGLSRLLAFTCLYFPDLEVRDNARIYLRMLICVPGMKLKGILNFGEQLLGISPSTHSSSFFNVISPRHQQNFKKSRSISSCIHLERMIPLLVKQSWSLSLPASSTGSSKPSHLESITDSESQVDLRGLDGSTSSLAKSEIERTNQLQEPLRVMDSKISQILVVLRRHFSCIPDFRHMQGIKVNIFCLLRFESEPFNHLFGVGPPTSPSDGVDALPSLYATVLKFSSSAPYGSIPSYHIPFLLGEPSRTNHISSPSVSLDIVAVENGSEEEENYRAPVTIDLEPREPRPGLVDVFIEANIENGQIIHGQLQSVSVGIEDMFLKAIVPSDLSEDAVPAYYSGLFDALWEACGPSASTGRETFPLKGGKGAAAINGTRSVKLLEAPANSLIRAIEQYLASFVVSVIGEHLVDMVKDGGIIKDIVWKDAAADSFVDSAASVTNLDRGPLHLTYFNDEEERETQVNDYKRKMGCIHALIFLPPRFHLLFQMEVSDFSTLVRIRTDHWPCLAYVDDYLEALFLT >Manes.01G130300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32377080:32382933:1 gene:Manes.01G130300.v8.1 transcript:Manes.01G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALQRSSLSSSTATASPRQRLSTLKNPPSSSSSSTSLAPTTASSAAAASPLDSFAKDPILSPFLSPSFSSTSFSSAALSSGSPASTAEHLHHAIRLLESQLRTEVLSRHTELLNQLSSLKHAEHALSTVRSAVSSLQSSVHRVRSELSDPHKSIQSKTLQLSNLHCTTELLQHTIRVLRLSKKLRDLISASETEPEKLDLAKAAQFHCEILNMCNEYELMGIDCIDEELNWVKKIGERLRDDAMKVLERGMEGLNQAEVGTGLQVFYNLGELKVTVEQLVNKYKGIGVKSVSVALDMKAISAGGGGGGYGPGGVRGSGTPQIGGGAKAREALWQRMGTCMDTLHSIVVAVWHLQRVLSKKRDPFTHVLLLDEVIKEGDSMLTDRIWEALVKAFASQMKSAFTASSFVKEIFTVGYPKLLSMTENLLERISRDTDVKGVLPAISLEGKERMVQAIEIFQTAFLALCLGRLSDIVNNVFPVSSRGSVPSKEQISRIISRIQEEIEAVQLDGRLTLLVLREIGKVLLLLAERAEYQISAGHEARQIMGPATPAQVKNFALCQHLQEVHTSISSMVIELPPVAAEVLSPSLGAIYGVACDSVTPLFKAMVDRLESCILQIHEQNFGVLGMNAAMDNNASSYMEELQKCILHFRTEFLSRLLPSSANTTAAGAETICTQLVRSMASRVLTFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLYPVEQLGPPYRALRAFRPLIFLETSQLEASPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEVQIWKGIKATLDDYAGKVRSRGDREFSPVYPLMLQLGSLLTEHSSPASQKH >Manes.S042616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1269563:1269721:1 gene:Manes.S042616.v8.1 transcript:Manes.S042616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.07G092600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28875638:28876785:1 gene:Manes.07G092600.v8.1 transcript:Manes.07G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKTFQLFGAILLLFLIQLPNTIQSKPSGNPFGFIQRLEGCHKGESVSGLKDLKRYLEKFGYLNYAKNSGNNHANDDEFDDLLEGAIKDYQHFYHLKATGVLDNSTVSQMMKPRCGVPDVVRNGTNNHYHNPKSIHSVAHFNFFPGPRRWPAERSHLRYRFRSSVQVPGTENFRSICAQAFQRWAQVTHFTFEEVDANSEAEIEIGFHRRFHGDSDPFDGRSGTLAHASAPTHGRCHFDGDEIWSANPGPNEVDLESVAVHEIGHLLGLNHSEDPNAIMYARFSYGITKRDLGTDDIQGIRTLYGLQ >Manes.01G079600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28257787:28260296:1 gene:Manes.01G079600.v8.1 transcript:Manes.01G079600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYDNREKVRRDEEAASREEQLKREQSRKRDAEFRLERLRTARGLPPLNKSEAELEPEPEPRPEPAQSEPKSNHINLFEGMKIFDPIKELEKGGDAERDGSRKKKMKKEEVRVVTAEEEKYRLGYGVAGKGVKLPWYLEKRSDDVNEEKDEDDGSTRGKKEGKKSGKKTLQELREERLKREKQEKERVRALLENKQRDRAGFRSGMISRR >Manes.01G079600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28257683:28260296:1 gene:Manes.01G079600.v8.1 transcript:Manes.01G079600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYDNREKVRRDEEAASREEQLKREQSRKRDAEFRLERLRTARGLPPLNKSEAELEPEPEPRPEPAQSEPKSNHINLFEGMKIFDPIKELEKGGDAERDGSRKKKMKKEEVRVVTAEEEKYRLGYGVAGKGVKLPWYLEKRSDDVNEEKDEDDGSTRGKKEGKKSGKKTLQELREERLKREKQEKERVRALLENKQRDRAGFRSGMISRR >Manes.06G003650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:819321:820844:1 gene:Manes.06G003650.v8.1 transcript:Manes.06G003650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLHVKPATAAKVREAVNLKDETSIRNVRQAEILPLPINTYGVTLLLLSPQLTRQLSSKEKLSQKSSSFAHSHTAPFEADVLSSLSSHCSMICKEMNLGEFLYPRVDKR >Manes.09G134700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33513105:33519347:1 gene:Manes.09G134700.v8.1 transcript:Manes.09G134700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRRRLHHGDVDGKRKEHFDTSCYGSLSEPLLKIHECDDLPALVMGLTLEDIWNSQQRRQCHHWTLIFSQLMDEWAHWLGSGSLIGRFFPFAGQSRDILHPYFGPLQEERLRKLQQRLGVSFDRSQVVHQDALKLLWRLAYPGRELPSLKSDLWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKMYPDSFQRLLHKKDGTRAKWEYPFAVAGINISFMLLQMLDLQTGKPSTLAGIKFAEFLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRMELERELARKDVHRVKDLPAYNLLKG >Manes.09G134700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33513000:33519344:1 gene:Manes.09G134700.v8.1 transcript:Manes.09G134700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRRRLHHGDVDGKRKEHFDTSCYGSLSEPLLKIHECDDLPALVMGLTLEDIWNSQQRRQCHHWTLIFSQLMDEWAHWLGSGSLIGRFFPFAGQSRDILHPYFGPLQEERLRKLQQRLGVSFDRSQVVHQDALKLLWRLAYPGRELPSLKSDLWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKMYPDSFQRLLHKKDGTRAKWEYPFAVAGINISFMLLQMLDLQTGKPSTLAGIKFAEFLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRMELERELARKDVHRVKDLPAYNLLKG >Manes.09G134700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33513105:33519347:1 gene:Manes.09G134700.v8.1 transcript:Manes.09G134700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRRRLHHGDVDGKRKEHFDTSCYGSLSEPLLKIHECDDLPALVMGLTLEDIWNSQQRRQCHHWTLIFSQLMDEWAHWLANIVLGSGSLIGRFFPFAGQSRDILHPYFGPLQEERLRKLQQRLGVSFDRSQVVHQDALKLLWRLAYPGRELPSLKSDLWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKMYPDSFQRLLHKKDGTRAKWEYPFAVAGINISFMLLQMLDLQTGKPSTLAGIKFAEFLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRMELERELARKDVHRVKDLPAYNLLKG >Manes.09G134700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33513096:33519344:1 gene:Manes.09G134700.v8.1 transcript:Manes.09G134700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRRRLHHGDVDGKRKEHFDTSCYGSLSEPLLKIHECDDLPALVMGLTLEDIWNSQQRRQCHHWTLIFSQLMDEWAHWLANIVLGSGSLIGRFFPFAGQSRDILHPYFGPLQEERLRKLQQRLGVSFDRSQVVHQDALKLLWRLAYPGRELPSLKSDLWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKMYPDSFQRLLHKKDGTRAKWEYPFAVAGINISFMLLQMLDLQTGKPSTLAGIKFAEFLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRMELERELARKDVHRVKDLPAYNLLKG >Manes.09G134700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33513000:33519347:1 gene:Manes.09G134700.v8.1 transcript:Manes.09G134700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRRRLHHGDVDGKRKEHFDTSCYGSLSEPLLKIHECDDLPALVMGLTLEDIWNSQQRRQCHHWTLIFSQLMDEWAHWLGSGSLIGRFFPFAGQSRDILHPYFGPLQEERLRKLQQRLGVSFDRSQVVHQDALKLLWRLAYPGRELPSLKSDLWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKMYPDSFQRLLHKKDGTRAKWEYPFAVAGINISFMLLQMLDLQTGKPSTLAGIKFAEFLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRMELERELARKDVHRVKDLPAYNLLKG >Manes.09G134700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33513000:33519347:1 gene:Manes.09G134700.v8.1 transcript:Manes.09G134700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRRRLHHGDVDGKRKEHFDTSCYGSLSEPLLKIHECDDLPALVMGLTLEDIWNSQQRRQCHHWTLIFSQLMDEWAHWLANIVLGSGSLIGRFFPFAGQSRDILHPYFGPLQEERLRKLQQRLGVSFDRSQVVHQDALKLLWRLAYPGRELPSLKSDLWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKMYPDSFQRLLHKKDGTRAKWEYPFAVAGINISFMLLQMLDLQTGKPSTLAGIKFAEFLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRMELERELARKDVHRVKDLPAYNLLKG >Manes.09G134700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33513096:33519344:1 gene:Manes.09G134700.v8.1 transcript:Manes.09G134700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRRRLHHGDVDGKRKEHFDTSCYGSLSEPLLKIHECDDLPALVMGLTLEDIWNSQQRRQCHHWTLIFSQLMDEWAHWLGSGSLIGRFFPFAGQSRDILHPYFGPLQEERLRKLQQRLGVSFDRSQVVHQDALKLLWRLAYPGRELPSLKSDLWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKMYPDSFQRLLHKKDGTRAKWEYPFAVAGINISFMLLQMLDLQTGKPSTLAGIKFAEFLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRMELERELARKDVHRVKDLPAYNLLKG >Manes.09G134700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33513000:33519344:1 gene:Manes.09G134700.v8.1 transcript:Manes.09G134700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTLRRRLHHGDVDGKRKEHFDTSCYGSLSEPLLKIHECDDLPALVMGLTLEDIWNSQQRRQCHHWTLIFSQLMDEWAHWLANIVLGSGSLIGRFFPFAGQSRDILHPYFGPLQEERLRKLQQRLGVSFDRSQVVHQDALKLLWRLAYPGRELPSLKSDLWKEMGWQGSDPSTDFRGGGFVSLENLIFFAKMYPDSFQRLLHKKDGTRAKWEYPFAVAGINISFMLLQMLDLQTGKPSTLAGIKFAEFLAGDEMAFDNLYCVAFQMMDAQWLAKRASYMEFNDVLKSTRMELERELARKDVHRVKDLPAYNLLKG >Manes.08G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37795235:37803534:1 gene:Manes.08G141400.v8.1 transcript:Manes.08G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSKFVALLLLLALVSIQSGASIRSQKTEQAYVTLLYGDEFLLGVRVLGKSIRDTGSNKDMVVLVSDGVSDYAMKLLEADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFKCGKFCANLKHSERLNSGVMVVEPSQSVFNDMMSKVNTLHSYTGGDQGFLNSYYSDFPNAHVFQPNLPQEVLNSRPVPDMERLSTLYNADVGLYMLANKWMVNESQLHVIHYTLGPLKPWDWWTSWLLKPVDVWQAAREQLEESLPGTGGGRNPNDELLVKFLFMLPLCAVLFSYYRSFLQTRAFCRSSLCDHMRHLYYRIRSNGYAGVSSSSTFNSANHVPGYLGGLSIIVCFVAALVSLACGLAIVPRQVMPWTGVILMYEWTFTIFFLLFGGFLHLTYLWGKMTATQVSVASHPESLDDYSGKGHLRQGSSCDLAAWYYGLGMAFLAIAAPALPCIFGITALFLRYFSSSFVLNVNILIISI >Manes.08G141400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37795235:37803534:1 gene:Manes.08G141400.v8.1 transcript:Manes.08G141400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSKFVALLLLLALVSIQSGASIRSQKTEQAYVTLLYGDEFLLGVRVLGKSIRDTGSNKDMVVLVSDGVSDYAMKLLEADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFKCGKFCANLKHSERLNSGVMVVEPSQSVFNDMMSKVNTLHSYTGGDQGFLNSYYSDFPNAHVFQPNLPQEVLNSRPVPDMERLSTLYNADVGLYMLANKWMVNESQLHVIHYTLGPLKPWDWWTSWLLKPVDVWQAAREQLEESLPGTGGGRNPNDELLVKFLFMLPLCAVLFSYYRSFLQTRAFCRSSLCDHMRHLYYRIRSNGYAGVSSSSTFNSANHVPGYLGGLSIIVCFVAALVSLACGLAIVPRQVMPWTGVILMYEWTFTIFFLLFGGFLHLTYLWGKMTATQVSVASHPESLDDYSGKGHLRQGSSCDLAAWYYGLGMAFLAIAAPALPCIFGITALFLRLGLVVAGGIVLASFMTYAAEHLGIRSFLKGFEDRDTTRTRSICI >Manes.08G141400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37795235:37803534:1 gene:Manes.08G141400.v8.1 transcript:Manes.08G141400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSKFVALLLLLALVSIQSGASIRSQKTEQAYVTLLYGDEFLLGVRVLGKSIRDTGSNKDMVVLVSDGVSDYAMKLLEADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFKCGKFCANLKHSERLNSGVMVVEPSQSVFNDMMSKVNTLHSYTGGDQGFLNSYYSDFPNAHVFQPNLPQEVLNSRPVPDMERLSTLYNADVGLYMLANKWMVNESQLHVIHYTLGPLKPWDWWTSWLLKPVDVWQAAREQLEESLPGTGGGRNPNDELLVKFLFMLPLCAVLFSYYRSFLQTRAFCRSSLCDHMRHLYYRIRSNGYAGVSSSSTFNSANHVPGYLGGLSIIVCFVAALVSLACGLAIVPRQVMPWTGVILMYEWTFTIFFLLFGGFLHLTYLWGKMTATQVSVASHPESLDDYSGKGHLRQGSSCDLAAWYYGLGMAFLAIAAPALPCIFGITALFLRLGLVVAGGIVLASFMTYAAEHLGIRSFLKGFEDRDTTRTRSICI >Manes.08G141400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37795233:37803534:1 gene:Manes.08G141400.v8.1 transcript:Manes.08G141400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSKFVALLLLLALVSIQSGASIRSQKTEQAYVTLLYGDEFLLGVRVLGKSIRDTGSNKDMVVLVSDGVSDYAMKLLEADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTSYKKVVYLDADTIVVKSIEDLFKCGKFCANLKHSERLNSGVMVVEPSQSVFNDMMSKVNTLHSYTGGDQGFLNSYYSDFPNAHVFQPNLPQEVLNSRPVPDMERLSTLYNADVGLYMLANKWMVNESQLHVIHYTLGPLKPWDWWTSWLLKPVDVWQAAREQLEESLPGTGGGRNPNDELLVKFLFMLPLCAVLFSYYRSFLQTRAFCRSSLCDHMRHLYYRIRSNGYAGVSSSSTFNSANHVPGYLGGLSIIVCFVAALVSLACGLAIVPRQVMPWTGVILMYEWTFTIFFLLFGGFLHLTYLWGKMTATQVSVASHPESLDDYSGKGHLRQGSSCDLAAWYYGLGMAFLAIAAPALPCIFGITALFLRLGLVVAGGIVLASFMTYAAEHLGIRSFLKGFEDRDTTRTRSICI >Manes.07G069600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15985916:15988709:-1 gene:Manes.07G069600.v8.1 transcript:Manes.07G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYATNLHTASLNNKLSSLSLSSNSKFSSFSLTVCQPRHKPRCIVLSANVDAKPTVLVAEKLGEAGLKLLKEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVNREVFESSSGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASVKAGKWQRNKYVGVSLVGKKLAVLGFGKVGSEVARRAKGLGMHVVAYDPYAPADRARAIGVDLVSFEEALATADFISLHMPLTPSTSKMLNDETFAKMKKGVRIINVARGGVIDEEALVKAIDAGIVAQAALDVFAEEPPPKDSKLVQHEKVTVTPHLGASTVEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVITELKPYVLLAEKLGRLAVQLVAGGSGVKSVKVSYGSARAADDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGLRITEERITLDGSPESPLNFIQVQIANVESKFASAISETGEIKVEGRVKDGIPHLTKIGSFEVDVSLEGNLLLCRQVDQPGMIGKVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDDQPKKESLKRIGDIPAIEEFVFLKL >Manes.15G157200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12955198:12956659:-1 gene:Manes.15G157200.v8.1 transcript:Manes.15G157200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIQSLPDLPVFFSFFFFIYLLAQFLVFRNWSPNVRPEASSCLISLFHGTPAVFLASYAIIIDPNRGFSSPNTAIQAAVLDYSVAYFLMDLIHYLIFFPSDVLFIGHHLATLFVFLTCRYVVYHGAYAILSLLVLAEVTSFCQNLWTLAGARRTDAKFAAKVYGFLSPPFYAFYSLVRGLLGPYFVYRMGEFYISGVADSVIPKWVWVSWMIVVITAISVSILWITNLWVELYRERKGKFEKKLR >Manes.02G018700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1700428:1705855:-1 gene:Manes.02G018700.v8.1 transcript:Manes.02G018700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLCEDKSSNMASSSSAGFCYTDVSSSNPTIQTHLGNQIQGFESNPEIFNLTTGVEMIGFSRNLQQQQSDSNTTVMWKGFLSKPGNNNTGGGGGGPSSSKTIIESTSDFYQHEFNKQDFTTGISETSNGNLIVGPDSSAPWQEHRLLVDDSSLRCVFPCEGNERPSQGLSLSLSSTNPSSIGLQSFELRHASSHQNHDNPQEEMRFMNSSSRDGFFGKSAANIQQQQMMQEGFLTKAATLHHQGQFQLRNSRFLGPAQELLNEFCCLGTKQTDQLRPKTSKPKQWDDENGSTSGSSSRKQSLCSLEFMELQKRKTKLLSMLEEVERRYRYYCDQMKNVVSSFEAVAGAGAATVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPAAPGTTRGETPRLRVLDQALRQQRAIQQMTMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNVMASSDGVADLEDNNDRPHTNPSSTDQKPSLDQLIRIDSECLSSIISNPDKNNDTSKGTKTFQNQHLHLQQQNFGAFGAVELDFSSYNHHTASGVSFANNDHGANQNFNGGGVSLTLGLQQHGGGGVSLAFSPASQSSLFYPRDHIDDCPPVQYSLLDGEAQNLPYRNLMGAQLLHDLAG >Manes.02G018700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1700428:1705855:-1 gene:Manes.02G018700.v8.1 transcript:Manes.02G018700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLCEDKSSNMASSSSAGFCYTDVSSSNPTIQTHLGNQIQGFESNPEIFNLTTGVEMIGFSRNLQQQQSDSNTTVMWKGFLSKPGNNNTGGGGGGPSSSKTIIESTSDFYQHEFNKQDFTTGISETSNGNLIVGPDSSAPWQEHRLLVDDSSLRCVFPCEGNERPSQGLSLSLSSTNPSSIGLQSFELRHASSHQNHDNPQEEMRFMNSSSRDGFFGKSAANIQQQQMMQEGFLTKAATLHHQGQFQLRNSRFLGPAQELLNEFCCLGTKQTDQLRPKTSKPKQWDDENGSTSGSSSRKQSLCSLEFMELQKRKTKLLSMLEEVERRYRYYCDQMKNVVSSFEAVAGAGAATVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPAAPGTTRGETPRLRVLDQALRQQRAIQQMTMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNVMASSDGVADLEDNNDRPHTNPSSTDQKPSLDQLIRIDSECLSSIISNPDKNNDTSKGTKTFQNQHLHLQQQNFGAFGAVELDFSSYNHHTASGVSFANNDHGANQNFNGGGVSLTLGLQQHGGGGVSLAFSPASQSSLFYPRDHIDDCPPVQYSLLDGEAQNLPYRNLMGAQLLHDLAG >Manes.01G226800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39180396:39182007:-1 gene:Manes.01G226800.v8.1 transcript:Manes.01G226800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFTNPSSKLVGKVILSDGRVHEFETPLTVAELMLEHPQQVVVEFSSNLSEKRPTPLPADKKLEMKKVYVMLPMKRGKPASMSSEEARQVHSLLRSRSLLSSWRLLPLFSRICPAGGIEEGQTRFVSERNENDGEVMLPEVMENNRAEYFSRQVSGKGWKPSLDTIKEKKVEKTISYRLFNFQAKLIN >Manes.05G011500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:855722:858776:-1 gene:Manes.05G011500.v8.1 transcript:Manes.05G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSRLPLLVFCIFCLCFFLYTAQARWHHHHTKHKHTHSQKPSGISQPPYSSPEYAHLSEPPSTPPEFSYLPEPPTLPPESANLTPTPSPEPASSPDDGSDYNSGVFDVRKFGAVGDGITDDTDAFKMAWETACQVDSAVILVPYGFTFMIQSTIFTGPCQCGLQFQVDGTLSPPDGPDSWPQKNSKRQWLVFYRVNEMSLVGGGVIDGRGEKWWDLPCKPHKGINGTTLPGPCDSPIAIRFFMSSNLTVQGLRIKNSPQFNFRFDNCKTVHIKSIHITAPALSPNTDGIHIENTNDVEIYDSVISNGDDCISIGSGCYDVDIRNVTCGPSHGISIGSLGNHNSRACVSNITVRDSVIRVSDNGVRIKTWQGGSGAVSGITFSNIHMDNVRNPIIIDQFYCSTKDCANKTSAVFVSDILYENIKGTYNIRSPPMHFGCSDSVPCTNLTFSDVELLPAQGDIVQDPFCWNAYGELQTLTIPPVSCLMEGAPRSMLDNEMDYC >Manes.03G184400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30545072:30547827:-1 gene:Manes.03G184400.v8.1 transcript:Manes.03G184400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFLKIQTCVLKVNIHCDGCKQKVKKILQKIDGVFTTNIDSEQGKVTVSGNVDPAVLIKKLAKSGKHAELWGAQKPNNNQNHLPNQFKNMQIDNGKGGNNKGQKANNNHNQPKAGQPNQNQQQQLNQQMHQLMQQNMKGFQDLNKLPNFKDQKLPPNNNQNQKAVRFAPPEDEDLSDDEFDEFDDEDLDDDDSDDEMDGPQHSVNKMKPIMPNGNMMAMMNGLPPQLLNAQKGAAVNGGGNGKKGGGNGGNGNGAVPVQVNIGGGNGGKKGGGVGGGGNNNGGNQNLGGKNGGGKPQDGKNGNNGGGGGNNKNGNNGNAGGGGNGNNMQMNGGKKGNNGGGGGGGGGAITDVLRSMGGPHGNMGQMGNLNMPNMPMGQMGNMPMGQTGNIPAVQGLPAAAAAAMNGGGGGGGPNGYFQGAGPDLIPGGNPYNQQQQQQQQYLQALMNQQRAMGNERFQPMMYARPPPAVNYMPPYPYPYPPPYPGSDPYSTYFSDENTSSCSVM >Manes.11G115900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27259717:27264794:1 gene:Manes.11G115900.v8.1 transcript:Manes.11G115900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCTCQVEESYPSRDDEQQRSPKHHTDGNPMKGSKVSAPVKAEVQKEAPPIEVPALSIDELKEKTDNFGSKALIGEGSYGRVYYANLDNGKAVAVKKLDVASEQESNIEFLTQVSMVSKLKNENVVELLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWMQRVRIAVDAARGLEYLHEKVQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAPAPPPPES >Manes.11G115900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27259750:27264708:1 gene:Manes.11G115900.v8.1 transcript:Manes.11G115900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYHQRNHGAHAHCAVEDLALRKRDKMRRWLCCTCQVEESYPSRDDEQQRSPKHHTDGNPMKGSKVSAPVKAEVQKEAPPIEVPALSIDELKEKTDNFGSKALIGEGSYGRVYYANLDNGKAVAVKKLDVASEQESNIEFLTQVSMVSKLKNENVVELLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWMQRVRIAVDAARGLEYLHEKVQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAPAPAPAPPPPES >Manes.01G247900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40776782:40777957:1 gene:Manes.01G247900.v8.1 transcript:Manes.01G247900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRKWSLLTGPVAILGGIVATVVAANLLFVENDPFLKPDQRKPNSPPSTK >Manes.16G015900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1691683:1693442:1 gene:Manes.16G015900.v8.1 transcript:Manes.16G015900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLDHSFPAMEFIGSSMNEFCITDEDNNRRYGRLGRRSVDYDDTREYKSKNLLAERRRRKKLSDRLLALRSLVPTITNMNKATIIEDAITYIQELQQNVKLLSDQLLEMEASSEEAVQTRGDEIKAEEEEMKQCGIMEDVQVTNIDGNKLWIKIILEKKRGRFTRLIEAMTYLGLELTDTNVTTSKGAMLVSSCVEGSYGDTLTVEQTKVFLLEIITSI >Manes.S040816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1192223:1192381:-1 gene:Manes.S040816.v8.1 transcript:Manes.S040816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.04G158600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35308884:35313859:-1 gene:Manes.04G158600.v8.1 transcript:Manes.04G158600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERKAMGRASPLLLILLVLGFCFATYNLVTLIIHNRSVGKRTHDGSSGGIFFDPVIEMPEDAKKQKNAKIPFHVALTATDSPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGNPDNLMDEIPTVVVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYILMAEPDHIFVNPLPNLSHDGYPAAFPFFYIKPADNEKIIRKFYPEEKGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDQEADKTFGWVLEMYAYAVASALHGVKHILRKDFMLQPPWDLGIGKKFIIHYTYGCDYNLKGELMYGKIGEWRFDKRSHLRGPPPKNLPLPPPGVPESVV >Manes.04G158600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35307817:35313859:-1 gene:Manes.04G158600.v8.1 transcript:Manes.04G158600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERKAMGRASPLLLILLVLGFCFATYNLVTLIIHNRSVGKRTHDGSSGGIFFDPVIEMPEDAKKQKNAKIPFHVALTATDSPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGNPDNLMDEIPTVVVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYILMAEPDHIFVNPLPNLSHDGYPAAFPFFYIKPADNEKIIRKFYPEEKGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDQEADKTFGWVLEMYAYAVASALHGVKHILRKDFMLQPPWDLGIGKKFIIHYTYGCDYNLKGELMYGKIGEWRFDKRSHLRGPPPKNLPLPPPGVPESVVSLVKMVNEATANIPNWDTE >Manes.04G158600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35307694:35313859:-1 gene:Manes.04G158600.v8.1 transcript:Manes.04G158600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERKAMGRASPLLLILLVLGFCFATYNLVTLIIHNRSVGKRTHDGSSGGIFFDPVIEMPEDAKKQKNAKIPFHVALTATDSPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGNPDNLMDEIPTVVVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYILMAEPDHIFVNPLPNLSHDGYPAAFPFFYIKPADNEKIIRKFYPEEKGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDQEADKTFGWVLEMYAYAVASALHGVKHILRKDFMLQPPWDLGIGKKFIIHYTYGCDYNLKGELMYGKIGEWRFDKRSHLRGPPPKNLPLPPPGVPESVVSLVKMVNEATANIPNWDTE >Manes.04G158600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35307777:35313859:-1 gene:Manes.04G158600.v8.1 transcript:Manes.04G158600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERKAMGRASPLLLILLVLGFCFATYNLVTLIIHNRSVGKRTHDGSSGGIFFDPVIEMPEDAKKQKNAKIPFHVALTATDSPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGNPDNLMDEIPTVVVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYILMAEPDHIFVNPLPNLSHDGYPAAFPFFYIKPADNEKIIRKFYPEEKGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDQEADKTFGWVLEMYAYAVASALHGVKHILRKDFMLQPPWDLGIGKKFIIHYTYGCDYNLKGELMYGKIGEWRFDKRSHLRGPPPKNLPLPPPGVPESVVSLVKMVNEATANIPNWDTE >Manes.04G158600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35307817:35313859:-1 gene:Manes.04G158600.v8.1 transcript:Manes.04G158600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERKAMGRASPLLLILLVLGFCFATYNLVTLIIHNRSVGKRTHDGSSGGIFFDPVIEMPEDAKKQKNAKIPFHVALTATDSPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGNPDNLMDEIPTVVVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYILMAEPDHIFVNPLPNLSHDGYPAAFPFFYIKPADNEKIIRKFYPEEKGPVTNIDPIGNSPVIIKKELLEKIAPTWMNVSLKMKDDQEADKTFGWVLEMYAYAVASALHGVKHILRKDFMLQPPWDLGIGKKFIIHYTYGCDYNLKGELMYGKIGEWRFDKRSHLRGPPPKNLPLPPPGVPESVVSLVKMVNEATANIPNWDTE >Manes.05G075300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6153755:6155126:-1 gene:Manes.05G075300.v8.1 transcript:Manes.05G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPKLATTIILSFLGFFLCSINVSSLPNTAVQTVLCNSGVYSKGDPFGVSLSYVVEELETATPRSKNYDYYNISPYPNAFAYGHAACSQNLTSSDCTTCLDAAKTTMFSTCQSRIGARSVLHDCTIRYEQYPFTD >Manes.18G144852.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21537018:21538508:1 gene:Manes.18G144852.v8.1 transcript:Manes.18G144852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLIGGKNLTFDRFTITAPGDSDNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPNLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.17G087801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29547689:29548619:1 gene:Manes.17G087801.v8.1 transcript:Manes.17G087801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFQIAKDNSHFSLKFSAWRIHFFTISSHNSTMAFTKPTMLSLIFAAFIICFCFSSSLAELQRFQQPLKSDGSLSFLVIGDWGRRGLFNQSEVASQVLSCFSFSLLHSYMNSLLSCFYIHIYKFLRINFNFDFIVYQNYFYKYIYFLFFSIL >Manes.17G101400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30849588:30850914:1 gene:Manes.17G101400.v8.1 transcript:Manes.17G101400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADIEYRCFVGGLAWATTDHALQEAFSTFGDVLESKIINDRETGRSRGFGFVTFSNEKSMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGNGGYSRDRGYGDGGSRYSRGGGASEGNWRN >Manes.17G101400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30849588:30851121:1 gene:Manes.17G101400.v8.1 transcript:Manes.17G101400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADIEYRCFVGGLAWATTDHALQEAFSTFGDVLESKIINDRETGRSRGFGFVTFSNEKSMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGNGGYSRGGGGGGYGGGGRREGGYGGGYNRGGGGYGGGGGYGGGRDRGYGDGGSRYSRGGGASEGNWRN >Manes.17G101400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30849632:30850370:1 gene:Manes.17G101400.v8.1 transcript:Manes.17G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADIEYRCFVGGLAWATTDHALQEAFSTFGDVLESKIINDRETGRSRGFGFVTFSNEKSMRDAIEGMNGQNLDGRNITVNEAQSRGSGGGGNGGYSRGGGGGGYGGGGRREGGYGGGYNRGGGGYGGGGGYGGGRDRGYGDGGSRYSRGGGASEGNWRN >Manes.04G064600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22680134:22687086:1 gene:Manes.04G064600.v8.1 transcript:Manes.04G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSVSERVCYVHCNFCNTILAVSVPSSNLFAIVTVRCGHCANLLSVNMGSSLQTFPLQDPQHKQSQKVHLSTEDLNKDCGSSSKCNKVTAFESGDDHEPPRMPPVRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLEGNKHAKVDHQTFAGEGTHKSTGFYDINGSL >Manes.04G064600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22682765:22686290:1 gene:Manes.04G064600.v8.1 transcript:Manes.04G064600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLQTFPLQDPQHKQSQKVHLSTEDLNKDCGSSSKCNKVTAFESGDDHEPPRMPPVRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLEGNKHAKVDHQTFAGEGTHKSTGFYDINGSL >Manes.04G064600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22680134:22687086:1 gene:Manes.04G064600.v8.1 transcript:Manes.04G064600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSVSERVCYVHCNFCNTILAVSVPSSNLFAIVTVRCGHCANLLSVNMGSSLQTFPLQDPQSQKVHLSTEDLNKDCGSSSKCNKVTAFESGDDHEPPRMPPVRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLEGNKHAKVDHQTFAGEGTHKSTGFYDINGSL >Manes.04G064600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22682765:22686290:1 gene:Manes.04G064600.v8.1 transcript:Manes.04G064600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLQTFPLQDPQSQKVHLSTEDLNKDCGSSSKCNKVTAFESGDDHEPPRMPPVRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLEGNKHAKVDHQTFAGEGTHKSTGFYDINGSL >Manes.04G064600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22682232:22687086:1 gene:Manes.04G064600.v8.1 transcript:Manes.04G064600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLQTFPLQDPQSQKVHLSTEDLNKDCGSSSKCNKVTAFESGDDHEPPRMPPVRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLEGNKHAKVDHQTFAGEGTHKSTGFYDINGSL >Manes.07G037300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3994114:3997263:1 gene:Manes.07G037300.v8.1 transcript:Manes.07G037300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEEVNRCQIQEWYPKFKSVSIRTIIHELPESFVEYLVDDLGPFILPISVSNEDALPNRIHNPIDEEDYQVSEGSGDEAEQPMSPPSFPELALKIKESIEILGGAVFPKLNWSSPKDSAWISTSGTLCCTSFSEIALLLRSSDSLVHDLCYAYDSCSDKTLSRPPSFFLALRKWYSSLLPEMEIRCFVWGHHLVGISQREVTTFYPVLLEKKSNLQLLIENFFMDKVRFNFESENYTFDIYVTKDECVKIVDFNPWGAFTLPLLFTWEELEQNVREENSVDFRIVESQCGIRPGLKTAVPLDYLDTSPGSGWDQFLRKVDAEFKQQEITPTGGSDA >Manes.15G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6546495:6548542:1 gene:Manes.15G085100.v8.1 transcript:Manes.15G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLLVTVFIFDLIAFALAVAAEQRRSTAVIKQDSEVNYNYCVYDSDIATGYGVGAFLFLMASQALIMVASQCFCCGKPLKPGSSRAWAIILFITCWVFFFIAEVCLLAGSVRNAYHTKYRTVFSDNPPDCQTLRKGVFGAGAAFTFFTAIVSQFYYIFYSKARDGFHTYGGEIGVGMGTFK >Manes.03G136800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26089112:26095949:1 gene:Manes.03G136800.v8.1 transcript:Manes.03G136800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSRVVLSRASRAVGRRSLLLLSPSQKQQLPLFSNQTDSLVHAYHNQVSLFHHSAFSSSPFKRFGFASSASPEPNEKEQGSSVENNGASSNVESEKSNGDAKPSDKAETSSADTKESDSEAEGDLSMDDLVKLVAEKEELLKLKHKEIEKMQDKVLRTYAEMENVMERTKREAENSKKFAIQNFAKGLLDVADNLGRASSVVKDSYSKIDASTDTAGAVPLLKTLLEGVEMTEKQLAEVFRKFGIEKFDPINEPFDPHRHNAVFQLPDASKPPGTVAAVLKAGYSMYDRVIRPAEVGVTKEAEENGAGSNN >Manes.03G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26089089:26093326:1 gene:Manes.03G136800.v8.1 transcript:Manes.03G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSRVVLSRASRAVGRRSLLLLSPSQKQQLPLFSNQTDSLVHAYHNQVSLFHHSAFSSSPFKRFGFASSASPEPNEKEQGSSVENNGASSNVESEKSNGDAKPSDKAETSSADTKESDSEAEGDLSMDDLVKLVAEKEELLKLKHKEIEKMQDKVLRTYAEMENVMERTKREAENSKKFAIQNFAKGLLDVADNLGRASSVVKDSYSKIDASTDTAGAVPLLKTLLEGVEMTEKQLAEVFRKFGIEKFDPINEPFDPHRHNAVFQLPDASKPPGTVAAVLKAGYSMYDRVIRPAEVGVTKEAEENGAGSNN >Manes.03G067600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9035795:9037825:1 gene:Manes.03G067600.v8.1 transcript:Manes.03G067600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFGSSGLRSSRLKGAIWFAKLVFSSAGIISTVILFKVAIVPFAFDFILSVLPRIWISFRSWLSPPYIYIILNFIIITIAASSTLHHQNDNHTKPSYSTKTQFISTDKSPSISLWQDIDVQEDEKEVEKSINPPPEPCISSDSGKSLQVEEETITKETLILQEEPAEDTLEETWMLIMKGQGKIPSRKLKKSETWDIPRVPGAAVAATDDEDNNNNEIDPLAWARKELRKSETFNDRASLRREKSMSQEELNQKVEEFISKFNNEMRLQRQESDQRFMAMVNRGV >Manes.03G067600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9036024:9037392:1 gene:Manes.03G067600.v8.1 transcript:Manes.03G067600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFGSSGLRSSRLKGAIWFAKLVFSSAGIISTVILFKVAIVPFAFDFILSVLPRIWISFRSWLSPPYIYIILNFIIITIAASSTLHHQNDNHTKPSYSTKTQFISTDKSPSISLWQDIDVQEDEKEVEKSINPPPEPCISSDSGKSLQVEEETITKETLILQEEPAEDTLEETWMLIMKGQGKIPSRKLKKSETWDIPRVPGAAVAATDDEDNNNNEIDPLAWARKELRKSETFNDRASLRREKSMSQEELNQKVEEFISKFNNEMRLQRQESDQRFMAMVNRGV >Manes.03G067600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9035762:9037825:1 gene:Manes.03G067600.v8.1 transcript:Manes.03G067600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFGSSGLRSSRLKGAIWFAKLVFSSAGIISTVILFKVAIVPFAFDFILSVLPRIWISFRSWLSPPYIYIILNFIIITIAASSTLHHQNDNHTKPSYSTKTQFISTDKSPSISLWQDIDVQEDEKEVEKSINPPPEPCISSDSGKSLQVEEETITKETLILQEEPAEDTLEETWMLIMKGQGKIPSRKLKKSETWDIPRVPGAAVAATDDEDNNNNEIDPLAWARKELRKSETFNDRASLRREKSMSQEELNQKVEEFISKFNNEMRLQRQESDQRFMAMVNRGV >Manes.01G015100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3960064:3961650:1 gene:Manes.01G015100.v8.1 transcript:Manes.01G015100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIQEFYGEMPRGITPQAQRIPSCRIQRDRHKGETCSLAAFKSTYEKLPYHLKSCLDYFRLLLAQDLPEKPGEIMQDTAENIIQELISLSMLQEHYIKGYSEIQGSRFYEKSYLVKVDEQDFISKAANSPVHSFIKNNGHSTPSNIKSLLLRSLFASSRNSDDHFGAFSQVCLQTICGLQFILVCDLHGAVENLPDEVGDLVHLKYLRLSSLFIRKLPRIVANLQKLQTLDVNCSILFQLPVEIMNIKQLRHLLLRDIRGYSICSGAGIASELSSLTQLRQLDVHDVSEDHADELFASIIKLENLVSLSLNAEQASSGTLLPELDSFSPPPPHLQELFIRGGLVEIPSWLLSIANLNTLELCHSNLQENPSSVLQFLPKLKHLVLWYAYSAKLIGADFCEAGGFPELGTLTIASSELVEWTEIGLQYISTIQGLFFTHLHGDLERRLNGQENYKNKHISKFSRRKPIS >Manes.09G123000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32551035:32554328:-1 gene:Manes.09G123000.v8.1 transcript:Manes.09G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSPTALAIQQYPKILCFSAFKPTLTFHHNMHSFTNRFKHNPGRCSAFFGNIPDDLIYGSLHLDQFPIFESGLVQFRRITDDLSEFQKWGLLVFAGLVWIYLTARPGVLIGAIDAYILAPLQLGLDSLRGRRRLKSTDFVIGNKLGEGSFGVVYSGAIIPKIGTLEERTQKRGRGASLELDGRYKEKVILKKVKVGIQGAEEFGEVEEWFNYRMSRAAPETCAEFLGSFVADETNSQFTQGVKWLVWKFEGDRNLADYMKDRNFPFNLESVMFGRVLEGLDSVKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPANLVVTRKGQIKLIDFGAATDLRIGKNYVPNRALLDPDYCPPELYVLPEETPSPPPEPIAALLSPILWQLNSPDLFDMYSAGVVLLQMAIPTLRSIGGLKNFNAEIKKFEYDLNRWRNYTRLRPDLTILDLDSGRGWDLATKLISERGSLMRGRLSAAATLRHPYFLLGGDQAAAVLSKFSLTK >Manes.12G090100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13689259:13690389:-1 gene:Manes.12G090100.v8.1 transcript:Manes.12G090100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCRPLGFLLGLPFALVALILSLVGAVIWIIGTVLSCLCPCCVCCAGLANFAVDIIKLPFKIIKWFTDQIPC >Manes.03G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12042164:12043652:-1 gene:Manes.03G073600.v8.1 transcript:Manes.03G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESTTVAREPVRHIQVGDNSSGSKAICSCGEGYTCVITRTTGPDAGKTYKCTGGCVCILGGTADEVPNLQEKLKSLGGGSAYCECGEGWTCVISKIEDPDSGKAGVECAGDCTCVTTTA >Manes.11G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12577270:12580330:-1 gene:Manes.11G087000.v8.1 transcript:Manes.11G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEANKNRFIEDWSSARENLEHNFRWTRRNFALVGLFGIALPIFIYKGIVKEFNMQDEDAGRPYRKFL >Manes.17G038905.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20562888:20563799:1 gene:Manes.17G038905.v8.1 transcript:Manes.17G038905.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTPLAIPLVIELSVPIRSASVSASVALLSEICAGGGSWSGTVGGWLKLGVTRQEVETEMNHDLVSPHDPSSTRSGSGCLDAEEGAIVALSAASWAGSDG >Manes.15G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10968425:10978404:1 gene:Manes.15G135600.v8.1 transcript:Manes.15G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVQVYGFPSSVTADAVKSFLESHTGEGTIYAIKIRDTKKGGPRKYAIVQFMTVEDAEHIIFLTTKRLWYGTSYLKARPMDLDIVPKPRTFFHSMEHITLHFGNQLSKENFYVLWKGTDVLVNFGSGMRKLHFYLSHHGVEYKLDLSYESIWQIELHRPRGQSVKYLVIQLYGAPRIFEKDTRPSWNVYEDPLFNFFKDTSDDQWIRTTDFTPSCLIGHSSALCLELPSSLQVPKFQDNFAYYKEIEGKFLLHSGSAFSRNLDLVPIVGPSAGFDIPYEILFQVNLLVQNGCLPGPALDAKFYKLVDPSRVSIVCIEHALEKLLHLKECCYEPSRWLNEQYIKYLTSKNHTKSPSISLDSGLVYVRRVQITPCKVYFCGPEVNVSNRVLRHYPEYISNFLRVSFVDEDLEKIHSTDLSPRSSSENEDRRTLIYKRILSTLQNGIVIGKKKFEFLAFSSSQLRENSCWMFASRYGLTAADIRDWMGNFRQIRNVAKYAARLGQSFSSSTETLSVSRDEIEIIPDIEIERGRMKYVFSDGIGKISAEFAKRVALKCGLKGFSPSAFQIRYGGYKGVVAVDPTSSKKLSLRKSMCKYESENTKLDVLAYSKYQPCFINRQLITLLSTLGVPDHIFEKKQREAVDQLNAMLTDPLRAHEALDLMYPGEITNILKEMLLCGYEPDAEPFLSMMLQTFRASKLLDLRMKTRIFLPNGRSMMGCLDETRTLEYGQVFVQFSGARHMQLFDSSFMFGGNRSDQRFVIEGKVVVAKNPCLHPGDVRVLRAVNVPALDHMVDCVVFPQKGQRPHPNECSGSDLDGDIYFVCWDPNLIPSRLIPPMDYTPEPTVQLDHDVTIEEVEEYFTNYIVNDSLGIIANAHTVFADMEPDKAMSASCIELAHKFSIAVDFPKTGVPAEIPSHLHVKEYPDFMEKPDKPMYVSEKVIGKLFREVRDMAPHTSSIKSFTLEVARRCYDPDMEVDGFEDYVDDAFYFKSSYDFKLGNLMDYYGIRTEAEILSGNILKMSKSFTKRRDAEAIGMAVRSLRKEARSWFNEKGSGVDSEADDVYAKASAWYYVTYHPTYWGCYNEGMQRDHFLSFPWCVYDKLIQIKRNNASVRRSLNLSSLERQFSLGLQLS >Manes.10G075803.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:14171298:14171681:-1 gene:Manes.10G075803.v8.1 transcript:Manes.10G075803.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYLELDRSQKNDFLYPFIFWEYIYKFAHDQSLNRSILLKNIGYDNKCSLLIVKCP >Manes.18G136204.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22932568:22934516:-1 gene:Manes.18G136204.v8.1 transcript:Manes.18G136204.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLGWDIILSIIAVGAEAISAALEQTSPHKVKAARYGVIMAIVSVLLTFADLAFKKYMLIRDKNTRPNNKHHKHHKLRWEFADSFGSISSILTLISSCLHYNFLSNGKQQPIQFSTIPLAFSVCVFCSRVLRQPSHKHKPIFVLNCKHLGFINLDIESGGDEPTQFGCPAYQIEQIHPQGV >Manes.08G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5207866:5214912:1 gene:Manes.08G050600.v8.1 transcript:Manes.08G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSTPFRLPSSKADNLSSLSQKHYFLHSFLPKKTVHTNAKPFSKLKCAAIGNGLFTQTTPEVRRIVPENKQNLPTVKIVYVVLEAQYQSSLTAAVQSLNKSSTFASYEVVGYLVEELRDESTYKTFCKDLEDANIFIGSLIFVEELALKVKAAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKQGAGFAESMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKSKKIEYSDPVLFLDSGIWHPLAPTMYDDVKEYLNWYGTRRDANEKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEARGAKVIPIFAGGLDFSGPVERFLIDPVTKKPMVNSVVSLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWAELKRKSKAEKKLAITVFSFPPDKGNVGTAAYLNVFSSIFSVLKDLKKDGYNVEGLPETSEALIEDIIHDKEAQFSSPNLNIAYKMGVREYQSLTPYATALEENWGKPPGNLNSDGEHLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFNADAVLHFGTHGSLEFMPGKQVGMSDVCYPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVELPDEGKEISAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAIATLVNIAALDRPEDGISSLPAILAETVGRNIEEVYRGSDKGILKDVELLRQITEASRGAISAFVERTTNKKGQVVDVTNKLSSILGFGVNEPWIQYLSDTKFYRADREKLRILFQFLGECLKLVVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMQSAKIVVDRLIERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVTPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPADQNYVRKHALEQAEALGIDVREAATRVFSNASGSYSSNINLAVENSSWNDENQLQDMYLSRKSFAFDSDAPGAGMMEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDKKKPSAYIADTTTANAQVRTLAETVRLDARTKLLNPKWYEGMMSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIQDEDMLNKLMSTNPNSFRKLVQTFLEANGRGYWETSQENIEKLRQLYSEVEDKIEGIDK >Manes.10G036312.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3856790:3859010:1 gene:Manes.10G036312.v8.1 transcript:Manes.10G036312.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSESPKSNHKTTSMARKGLSTLFFSSSKTLSSSNSFPSSSVPSSPVVHKFTESMMEENIQIAELIIKKWDSESSSASLFHQRKEAKEFLRCVKDLRRAMHFLVSEHSASDKLVLAQTLMQIAMKRLEKELYQILSANRDQLDPESVSGLSSDGSSNSEDEDEVEFEEEIKLAGESISKVEREATNAMSDLKLIADCMIICGYGKECVKIYKLIRKSIVDEGLYLLGVEKFRPSQIQKMNWEALEHLIKNWLNAVKIAVKTLFTGEKALCDQVFSASQTIRESCFTDITKEAAINLFRFPELVSKSKKTPERITLLTELYEALSNLWPEIGFTFNSESTSAVKLQASSSLQRLGESVRAILSDFESTIQKDSSKATVPGGGIHPLNRTVINYISKLADYGGVLSEIFADSSPALPESYFESPTSADGSIPATSVLLARLILVLLCKLDTKAEAYRDVSLSYLFLANNLQFIIEKVCNTNLKLLLGEDWIVKHAKKVRQYAANYAAMAWNKVLSSLPTSPELSPEAAKECFRRFNAAFEEAYKKQISWIVADGKLRDALKVSIAKKLVPAYREFSEKCVVILSGEKNLELLVRFSTDDLGNYLSDLFHGVAISDSSPSSSSRGCIIR >Manes.06G139100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26741491:26743020:1 gene:Manes.06G139100.v8.1 transcript:Manes.06G139100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNTRPSSPEPYIRKTKSPPDDVLSRKSSLNSLSSLLANSYLNQYRAWLLLFILSIQIILLFALRSIPLTFPPRRHHFPSPYTAHHHIYIPANTVINISPTTATTDEDECVSGKVFVYDLPSKFNTELIRNCDELNPWSSRCNALANDGFGQKATGLSGLVPESLAPAWYWTDQFVSEIIFHNRILRHKCRTTEPNNATAFYIPFYAGLAVGKFLWHNYTAKDRDRHCEMMLTWVQDQPYYQKSNGWNHFITMGRISWDFRRSKDEDWGSSCIYMPGMRNITRLLIERNPWDYFDVGVPYPTGFHPRSDGDVLQWQEFVRTRNRTKLFCFAGAKRSGIKNDFRGLLLSHCQNESDSCRVVDCASTRCSNGTSAILETFLNSDFCLQPRGDSFTRRSIFDCMLAGSIPVLFWKRTAYYQYYWFLPGEPESYSVFIHRNEVKNGTSVRKVLESYSKEEVRKMREKVIDYIPKFVYARPHEGLDSIKDAFDVAMEGVLRRFKEQEEWVYKW >Manes.01G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4061270:4064780:-1 gene:Manes.01G015700.v8.1 transcript:Manes.01G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMEEQSLDYVLVPLGMLVMGLYHSWLLFTILRYPRRTVIGINADSRHQWVLSIMTDPLKNGVLAVQTIRNNIMASTLLATTAITLSSLISVFVSSTSNSGNTASQLVYGNKNSIVSSIKYFSILLCFLVAFLCNVQSIRYYAHVSFLATVPTSVGKKDSIEYVARNLNRGSFFWSLGLRAFYLSFPLFLWIFGPIPMFVCCCTMSFILYFLDTTTSFTRHLHTKLYREEMKTDDVESAV >Manes.04G153800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34932577:34937851:-1 gene:Manes.04G153800.v8.1 transcript:Manes.04G153800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQRVVVVQDASRDISPSAIIWLLENFSFKAGDVLILFGVLHQVNNPMGYKVKVDSSSIFGVNPKYIEEEVAKKINEYSTNVEIVRISKHCEVEQIEFRIEVRPGPTPKVVALKAARTLKATWVVLDRQMKKDKKYFMERLQCGISRMKRDNTIEVLRQPKSRLNTIKPPEKTTQTRTQVRYDEMIPGSPRKRVSSQNHLTAPQFSSSKEVYVDRSEDISRGSHSQSTPFSKSSSDQAMTATTSTSSLIDTKHSSFSYQEEEYTYTEKETGEEQSPFSNAENNVHHGGQKEATAESPNEHKHNRKYDDWMGGGPTDELFLNSICSICKNRRPRIGWKRDFTYAELHAATEGFSPKNFLSEGGFGTVYRGELGGLKIAVKQHKSASFQGEKEFKSEVNVLSKARNENLVMLLGSCAEGSHRLLVYEYVCNGSLDQHLSKHSRRPLSWEKRMKIALGAAKGLQYLHENNIIHRDMRPNNILITHDHEALLGDFGLARTQHDDSDHSWETRVVGTLGYLAPEYAECGRVSNKTDVYSFGVVLLQLITGLKTTDKILGGKSLVGWARPLLKEKNYPDLIDPRILDSHDVHQLFWMVRVAEKCLSKDPHKRLTMDKVVYALNHVMERDDSCGIRWYSPVHSNSISSTPESYDSMDDDSSFTVKTGSTSSTSQMSVRLPSLSPLRLPPSPPLRFSSHFYKRISDIQDS >Manes.07G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33432311:33437058:-1 gene:Manes.07G132800.v8.1 transcript:Manes.07G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFMDRRNWKFIRFRVLLLFLLYQNFIFCWSLNEEGLALLKFRERIVSDPYEALKNWKDEDEVVNPCSWFGVECSDGKVVELNLKDLYLGGTLAPDIRNLVHIKSIILRNNSFTGIIPEGIGELKELEVLDFGNNNFSGPLPPDLDSSLSLKILLLDNNRLLGNLSPEIHRLETHSEFQVDENQLASAAKGPSYNERSALRNAVQTENAINKRQLQVANAPRVNESPYLRSSFSVPEAPSESGKAPPRSVAPPFSLLPSPPVNNSIQSPPPEPNPAPSSPPAVVSLPIPLEPNPPSASPNGSASNPLLVPTPPSSNNPRKHSSSKKHVSIIAGVIGGALLAMSIVILYVYKINKATVKPWATGLSGQLQKAFVTGVPKLKRSELEAGCEDFSNVIGSSPIGTLYKGTLSSGIEIAVASVAVTSSKDWPKHLEVQFRKKIETLSKVNHKNFINLIGYCEEEEPFTRMLVFEYAPNGTLFEHLHIKESEHLDWPMRLRIGMGMACCLEHMHQLNPPVTHNNLNSSAISLTEDYAAKISDFSFSNVITATEMESFGKNFLDTPMVHPESNVYSFGVVLFEMITGRIPYSVENGSLENWASDYLRGDQPLKDMVDPTLASFEEEKLERIGELIRSCARPDPLQRPAMREVTARLREITGITADSATPKLSPLWWAELEILSPDA >Manes.02G207800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23483404:23500023:-1 gene:Manes.02G207800.v8.1 transcript:Manes.02G207800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISNYIACSLRDCAANHSDGKFNSGLVPRVNWRVTNRISMPYQSSTFPLAVGHSSCTATKDGSILVDERLAPGRNMSERSGVLAYDLVQGELVQWSSAMDKSMPDPPTAVLLHGILGSRKNWGTFTRRLAREFPMWQFLLVDLRCHGDSASMKKRGPHTVASTAFDVLKLVAQLRMTPRVLIGHSFGGKVVLSMVEQAAKPLARSVRAWILDATPGKVRAGGDGEDHPAELISFLRKLPKEVSSKREVVNALLQEGFSTDVAQWVVTNLQPNGPGSSLGFSWVFDLDGIAEMYKSYEETNLWKFVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEDTAAEEGGGVEMHVLEDAGHWVHADNPDGLFRILSSSFGGFKARRC >Manes.02G207800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23482106:23499910:-1 gene:Manes.02G207800.v8.1 transcript:Manes.02G207800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISNYIACSLRDCAANHSDGKFNSGLVPRVNWRVTNRISMPYQSSTFPLAVGHSSCTATKDGSILVDERLAPGRNMSERSGVLAYDLVQGELVQWSSAMDKSMPDPPTAVLLHGILGSRKNWGTFTRRLAREFPMWQFLLVDLRCHGDSASMKKRGPHTVASTAFDVLKLVAQLRMTPRVLIGHSFGGKVVLSMVEQAAKPLARSVRAWILDATPGKVRAGGDGEDHPAELISFLRKLPKEVSSKREVVNALLQEGFSTDVAQWVVTNLQPNGPGSSLGFSWVFDLDGIAEMYKSYEETNLWKFVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEDTAAEEGGGVEMHVLEDAGHWVHADNPDGLFRILSSSFGGFKARRC >Manes.01G149200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33850239:33857289:1 gene:Manes.01G149200.v8.1 transcript:Manes.01G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWEHLGEIANFAQLAGFDAVRLIGMIVKAANTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEDIEKDQFEYTFDEEDRRVQDVILKPEPLKDQTVVLKKTLSCSYPNLGFNEALQKENQKLQLELQRSQANLDVSQCEVIQHLIDVTEAAAVNSFPQKSSSIKVSKKLEPNYSDVSDKNCSFDESYPKKSGSHSALRNTSSFSSGDDLLSHRGSYQHEEWQSDLLGCCSEPSLCLKTFFFPCGTFSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCIRRKLRKMLNITGGFVDDFLSHLMCCCCALVQEWRELEIRGVYGPEKTKTSPPPSQFMES >Manes.10G079600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18604889:18607994:-1 gene:Manes.10G079600.v8.1 transcript:Manes.10G079600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFTHKFLLAIFLFSIAKSLLAGNDRGTYIIHMDKSAMPAPFSTHHDWYTSTLSSLSSPDGISPVHLYSYKHVMDGFSAVLSQTHLDQLEKVPGYTSTFPESLGHLHTTHTPKFLGLNQQSGLWPAAKFGDDIIIGVLDTGIWPESESFNDNKMPPVPDRWRGVCETGTEFNTSNCNKKLIGARKFSQGMKHYRLNISKTDDYDSPRDFMGHGTHTSSTAAGSQVQNANYFGYAEGTATGIAPSARIAMYKVLFYNDDGDGYDAAATDVLAGMDQAIEDGVDIMSLSLGFIETPFFENPIAIGAFAALKKGIFVTCSAGNSGPHGYTMLNGAPWLTTVGAGTVDRELGARVTLGDGDITVTGISMYPENLYVYRIPLYFGHGNRSKELCDWNSLDAKDVAGKFVFCDYDNESSVFRSETDSDGSDIAGAVGAIFSSDDGEFQRPYDFYLPFVTVNTKDGDLIKKYILNTPNATVSVKFGVTTLGIKPAPKVAHFSSRGPDLRSPWILKPDILAPGHLILAAWVPNRGFAPIRDDDDYLLTDYALVSGTSMSCPHTAGVAALLKATHRDWSSAAIRSAMMTTADVTDNANGRIIDMTTAVAGTPLDFGAGHLNPNKAMDPGLVYDIEVEDYINYLCAVNYTNQQILIITGTSNFSCDYASLDLNYPSFIVILNKTNTTTSTFKRVLLNVADKASVYRAEVEAPPGMKVVVQPSTVNFPGKYSKAEFNLTVEVNLEVDPESDYFGNYGFLMWNEVDGNHVIRSPIVSAIAAAKNP >Manes.06G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21035542:21049390:1 gene:Manes.06G074600.v8.1 transcript:Manes.06G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTDDIAEEISFQSFDDDCKLLGNLLNDVLQREVGGKFMEKLERNRILAQSACNMRLAGIEDAAELLEKQLALDMSKMTLEEALTLARAFSHYLNLMGIAETHHRVRRARSMTHLSKSCDDIFSQLLQGEVSPEKLYNTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEYNDRPDLTHEDREMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSNALKKHTGKPLPLTCTPIKLGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAADLYIREVDSLRFELSMSQCSDRMLKVANDILVQETSSEDRYENWNQPMTRSQTKLHGQQTSSLPTQLPARADLPACTDCNDGGSGYPKLEMPLSHQDALGSSNSESSYRNSSHGSDKSFPNGSIAKSSTSAPTGTPRGSFNSSQLLAQRKLFAESKIGRSSFQKLLEPSLPQRPGIAPYRIVLGNVKDKLTRTKRRLEILLEDLPCEYDPSDYYETTEQLLEPLLLCYDSLQSCGAGILADGRLADLIRRAATFGMVLMKLDLRQESGRHADAIDAITKYLDMGTYSEWDEDKKLEFLTRELKGKRPLVPPSIEVAPDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVSGELGRPCPGGTLRVVPLFETVKDLREAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDSGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPHTAVRQLEIYTTAVLLATVHPPIPPREVKWRNVMEEISKISCQSYRSTVYENPEFLSYFHEATPQAELGFLNIGSRPTRRKSSTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGACEKGFTEDLKAMYKEWPFFQSTIDLIEMVLGKADIPIAKHYDEVLVSQSRRELGVGLRGELLTTEKYVLVVSGHEKLSENNRSLRRLIESRLPYLNPMNMLQVEVLKRLRSDDDNNKLRDALLITINGIAAGMRNTG >Manes.11G121632.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28274392:28275451:1 gene:Manes.11G121632.v8.1 transcript:Manes.11G121632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGLANPITSKATTSEELANPIPSEFTPSETAPSKTALIDCGCTAICFGCPYEINWIQTGRGLFGRYCTCTCNCPPNPFFPNPTKPTPSTPQVSNTPTPQVPTTPSTPQFPNTPPTTQVPTTPLTPQVPGVPQVPNTPPTRQVPSTPSTPKVPTTPLTPQVPGVPQVPNTPPTPQVPSTPSTPQVPSTPSTPQVPTTPSTPQVPTTPSTPQVPRVPFIPPFSSLTCAETSVNLGLCWARASVGTAFHNYQLAAGCCDMFTQWSRGCFGGNDEIPRIVSNFVPPALVQYCATLH >Manes.17G049500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24686641:24688813:1 gene:Manes.17G049500.v8.1 transcript:Manes.17G049500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLKWSKKIKPSQVEQLIRAEKDVHKALLIFDSATAEYCNGFRHDHSTFGVMISKLLSVNQFRKAEEMLERMKEERCNITEHIFLSVCRAYGRVHKPLDAIRVFHKMNDFECKPTQKSYITVFAILVEENQLKIAMRFYRYMREMGISPSVVSLNVLIKALCKDSRTFDAALRIFREMPNHGCIPDSYTYGTLINGFCRLGKIHEAKELFREMETKGCSPSVVMYTSLIHGLSQSNNMDEAVGFLEDMKTKGIEPNVFTYSSLMDGLCKNQRSSQAMELLKMMISKNCKPNMITYSTLINGLCKEGKLPEAVEMLDRMKLQGIKPDAGLYRKIINGLCENHKFQEAANFLEEMVLGGISPSRLTWSLHVRINNIVVQGLSSDGDKSRAFQLYLSMRTRGISVQYETFNSLIKCFCYKKDLHSSARIVDEMVLDGCVPDWETWNELVCGFWDQRKVREAAELLAIKLMNLSA >Manes.03G022700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1859069:1863767:-1 gene:Manes.03G022700.v8.1 transcript:Manes.03G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPFNTLQPKHHLSTTLCKYPLSLNTLNFHCSFHFLTFYPFEHKPSFVRRASGNDINIKTKLSTANHENPTKTKNLRIRKSSSTVDERVGRKFVNNLVESVIEESIDERFTKNRNGRKDMGFRKRRDIDSGDLSTRFRDGNKKREALEIMDLQAINGKKLEKKTKKNADNQVKEKKIAKGSKENKPDSVEVKFRLDLDMCSKKGDVMGAIQLYDLALKEGIKMEQYHHAVLLYLCSSAAVGVVQPAKSGRGGRASNALELSNEVTNVRVVDLSEVRDNNARNNPVTESRTRVSNDGVANLGSSEKMELSSSSGFNDLDNNFKEENSNQTSNVISKPNSQHVDGVNFCRKGNDGDNHEDHEIQADEDIKKYALRRGFEIYEKMCTDEVPVNEATLTAVARMAMSMGNGDMAFDMVKRMKPLGLNPRLRSYGPALSTFCNNGDVDKAFAVEKHMLEHGVHPEEPELEALLRVSVEAGKGDKVYYLLHKLRTTVRKIPPCTADIVVRWFKSKAASRVGKTKWNKRVIKEAIENGGGGWHGRGWLGKGKWNVSFTSIGPDAFCRCCGEKLATIDLDPAETQNFAESVASIAIKREKNSSFEKFQKWLNYYGPFEAVIDGANVGLLSEKIFIPSKINAIANGIRQQLPSKRWPLIVLHNRRVSGHNMNEPANKALIEKWKNADALYATPTGSNDDWYWLYAAIKFKCLLVTNDEMRDHTFQLLGNDFFPKWKERHQVHFNFSDVGPVFHMPPCFSVVIQESERGNWHIPIATDDDYEPERNWLCITRANSSVARHDSMTTRTEDSQSVDHSNDKQAMSPMQNSLKEKQEYNQCSLEVLSNSPQAVYKNLRNVLLASGFTERRTILQEIEAAEKLGGCVIDFQI >Manes.17G018400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8684939:8721854:-1 gene:Manes.17G018400.v8.1 transcript:Manes.17G018400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSGNNGGQRFHRISRQSLARLKLDPLLDENLDQWPHLNELVQCYRTDWVKDENKYGHYESIAPVSFQNQIFEGPDTDIETEMQLANLRRSKAEDASDADIPSTSGRQFTEATSDLLQSHVSEHFGHSPLPAYEPAFDWENERSVIFGQRIQETPMAPYGRGLKISVKVLSLSFQAGLVEPFYGTICIYNKERREKLSEDFYFSVLPTDAQDAKIPYEPRGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLSEREKQKLQVWSRIMPYKQSFAWAIVPLFDNSVGATSGGPASPSSPLAPSVSGSSSHDGVFEPVANFTLDGKLGYSSGSSVVVEISNLNKVKESYTEDSLQDPKRKVHKPIRGVLRLEIEKHQTGHSDLENLSESGSMTNESVDPGDRITDSTLRRCPSNGSDCPQSSSSKWNTYDGKESSGNSPSIHGNPEMSADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVTLSRKRNLFIRVELRKDDADVRRQPLEAMYPREPGASHQKWAHTQVAAGARVACFHDEIKLSLSAIWTPLHHLLFTFFHIDLQTKLEAPKPVVIGYAALPLSTHAQLRSEISLPIMRELVPHYLQDIGKERLEYLEDGKNVFRLRMRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGSGGETLQVAAFRAMVNILTRVQQESVDDAERNRFLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLGEDVPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGSSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHECKLTFLQIVCDHDLFVEMPGRDPSDRNYLSSVLVQELFLTWDHDDLSQRAKAARMLVVILCKHEFDARYQKPEDKLYIAQLYLPLIGQILDEMPVFYNLNAVEKREVLIAILQIVRNLDDTSLVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGMLMGSSSRSPVTDGPSSPKYSDRLSPAINNYLSEASRQEVRAQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEITEKFSMMAASHSIATDFGKLDCITAIFMSFFSRNQPLAFWKALFPVFYSVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNENVRRRAVIGLQILVRSSFYYFMQTARLRVMLTITLSELMSDVQVTQMKSDGTLEESGEARRLRKSLEEMADEYKSTNLLRECGLPENALVAILESSAENRWSWSEVKYLSDNLILALDASLEHALLASVMTIDRYAAAESYHKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEISSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVYKSRRAYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGDRFGNLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESIEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >Manes.17G018400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8684939:8721854:-1 gene:Manes.17G018400.v8.1 transcript:Manes.17G018400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSGNNGGQRFHRISRQSLARLKLDPLLDENLDQWPHLNELVQCYRTDWVKDENKYGHYESIAPVSFQNQIFEGPDTDIETEMQLANLRRSKAEDASDADIPSTSGRQFTEATSDLLQSHVSEHFGHSPLPAYEPAFDWENERSVIFGQRIQETPMAPYGRGLKISVKVLSLSFQAGLVEPFYGTICIYNKERREKLSEDFYFSVLPTDAQDAKIPYEPRGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLSEREKQKLQVWSRIMPYKQSFAWAIVPLFDNSVGATSGGPASPSSPLAPSVSGSSSHDGVFEPVANFTLDGKLGYSSGSSVVVEISNLNKVKESYTEDSLQDPKRKVHKPIRGVLRLEIEKHQTGHSDLENLSESGSMTNESVDPGDRITDSTLRRCPSNGSDCPQSSSSKWNTYDGKESSGNSPSIHGNPEMSADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVTLSRKRNLFIRVELRKDDADVRRQPLEAMYPREPGASHQKWAHTQVAAGARVACFHDEIKLSLSAIWTPLHHLLFTFFHIDLQTKLEAPKPVVIGYAALPLSTHAQLRSEISLPIMRELVPHYLQDIGKERLEYLEDGKNVFRLRMRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGSGGETLQVAAFRAMVNILTRVQQESVDDAERNRFLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLGEDVPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGSSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHECKLTFLQIVCDHDLFVEMPGRDPSDRNYLSSVLVQELFLTWDHDDLSQRAKAARMLVVILCKHEFDARYQKPEDKLYIAQLYLPLIGQILDEMPVFYNLNAVEKREVLIAILQIVRNLDDTSLVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGMLMGSSSRSPVTDGPSSPKYSDRLSPAINNYLSEASRQEVRAQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEITEKFSMMAASHSIATDFGKLDCITAIFMSFFSRNQPLAFWKALFPVFYSVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNENVRRRAVIGLQILVRSSFYYFMQTARLRVMLTITLSELMSDVQVTQMKSDGTLEESGEARRLRKSLEEMADEYKSTNLLRECGLPENALVAILESSAENRWSWSEVKYLSDNLILALDASLEHALLASVMTIDRYAAAESYHKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEISSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVYKSRRAYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGDRFGNLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESIEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >Manes.17G018400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8684939:8721854:-1 gene:Manes.17G018400.v8.1 transcript:Manes.17G018400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNSGNNGGQRFHRISRQSLARLKLDPLLDENLDQWPHLNELVQCYRTDWVKDENKYGHYESIAPVSFQNQIFEGPDTDIETEMQLANLRRSKAEDASDADIPSTSGRQFTEATSDLLQSHVSEHFGHSPLPAYEPAFDWENERSVIFGQRIQETPMAPYGRGLKISVKVLSLSFQAGLVEPFYGTICIYNKERREKLSEDFYFSVLPTDAQDAKIPYEPRGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKEPVHLSEREKQKLQVWSRIMPYKQSFAWAIVPLFDNSVGATSGGPASPSSPLAPSVSGSSSHDGVFEPVANFTLDGKLGYSSGSSVVVEISNLNKVKESYTEDSLQDPKRKVHKPIRGVLRLEIEKHQTGHSDLENLSESGSMTNESVDPGDRITDSTLRRCPSNGSDCPQSSSSKWNTYDGKESSGNSPSIHGNPEMSADDFQAFDFRTTMRNEPFLQLFHCLYVYPLTVTLSRKRNLFIRVELRKDDADVRRQPLEAMYPREPGASHQKWAHTQVAAGARVACFHDEIKLSLSAIWTPLHHLLFTFFHIDLQTKLEAPKPVVIGYAALPLSTHAQLRSEISLPIMRELVPHYLQDIGKERLEYLEDGKNVFRLRMRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGSGGETLQVAAFRAMVNILTRVQQESVDDAERNRFLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQTRLFYHSLPLGEDVPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGSSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHECKLTFLQIVCDHDLFVEMPGRDPSDRNYLSSVLVQELFLTWDHDDLSQRAKAARMLVVILCKHEFDARYQKPEDKLYIAQLYLPLIGQILDEMPVFYNLNAVEKREVLIAILQIVRNLDDTSLVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGMLMGSSSRSPVTDGPSSPKYSDRLSPAINNYLSEASRQEVRAQGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEITEKFSMMAASHSIATDFGKLDCITAIFMSFFSRNQPLAFWKALFPVFYSVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNENVRRRAVIGLQILVRSSFYYFMQTARLRVMLTITLSELMSDVQVTQMKSDGTLEESGEARRLRKSLEEMADEYKSTNLLRECGLPENALVAILESSAENRWSWSEVKYLSDNLILALDASLEHALLASVMTIDRYAAAESYHKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVTALRKICPMVSSEISSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELFHFCASILELVIPVYKSRRAYGQLAKCHTMLTNIYESILEQESSPIPFTDATYYRVGFYGDRFGNLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESIEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >Manes.13G072901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10688949:10690125:-1 gene:Manes.13G072901.v8.1 transcript:Manes.13G072901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINFVFCYLLNKTSSDHEQKYPLFLGTRIYF >Manes.05G043400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3529664:3533091:1 gene:Manes.05G043400.v8.1 transcript:Manes.05G043400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGRKRREKNYKAAHGGHTRLPPPPDRSQVDALPSKLRHIMSFTSHLLDGSAKPSKSTEEKTKRGGGDAEKKLPPEDAITSEAIVDEGEDENLLTTQHSDDSDETVRNSNDEKRKKKRKRKRMQVIDLRFDTSMEKTKSSEKRRERKKKYLEAKKKKRQKSKTEEDLDFPGHEQIKFGDVVQAPPKLVAVPKVLKNVPEASRERIRLQAIEEYRKRKGWTSRPGLKLPIVTETHPM >Manes.06G048100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15128347:15131881:-1 gene:Manes.06G048100.v8.1 transcript:Manes.06G048100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCRSFSTFTSFAYGRLPQNHFRLSILRLNGSYFEVEVSMMASVGELKKAVEDVFSYSTEDDNSHHNISWSHVWSQFCLCYGDQKLVDDNTYIRSLGIKDGDQLYFIRDLTITNCTEANRQAKEQYDGCGQYSMIAND >Manes.06G048100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15128347:15131339:-1 gene:Manes.06G048100.v8.1 transcript:Manes.06G048100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCRSFSTFTSFAYGRLPQNHFRLSILRLNGSYFEVEVSMMASVGELKKAVEDVFSYSTEDDNSHHNISWSHVWSQFCLCYGDQKLVDDNTYIRSLGIKDGDQLYFIRDLTITNCTEANRQAKEQYDGCGQYSMIAND >Manes.06G048100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15128347:15131153:-1 gene:Manes.06G048100.v8.1 transcript:Manes.06G048100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCRSFSTFTSFAYGRLPQNHFRLSILRLNGSYFEVEVSMMASVGELKKAVEDVFSYSTEDDNSHHNISWSHVWSQFCLCYGDQKLVDDNTYIRSLGIKDGDQLYFIRDLTITNCTEANRQAKEQYDGCGQYSMIAND >Manes.06G048100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15128347:15135754:-1 gene:Manes.06G048100.v8.1 transcript:Manes.06G048100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYTRARVLWYTEISSLTRMSILCRSFSTFTSFAYGRLPQNHFRLSILRLNGSYFEVEVSMMASVGELKKAVEDVFSYSTEDDNSHHNISWSHVWSQFCLCYGDQKLVDDNTYIRSLGIKDGDQLYFIRDLTITNCTEANRQAKEQYDGCGQYSMIAND >Manes.14G083500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6924401:6931100:1 gene:Manes.14G083500.v8.1 transcript:Manes.14G083500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPMGFGLSLLFFLASMPIIFAQDVKHATIVVDGTLTIAETDDNFICATLDWWPPDKCDYNQCPWHNSSVLNLDLSHPLLGKAIQAFRYLRIRIGGSLQDQVLYEVGNLTSPCHPFRKIKGGLFGFSKGCLPMNRWDELNDFFSKTGAIVTFSLNALHGRHWIRRSVWGGAWDSSNAYDFMNYTVSKGHKIDSWEFGNELSGSGVGASVSAELYGKDVINLKDIIKDLYKNSDVKPLLVAPGGFYNQQWFAKFLQVSGSGIVNILTHHIYNLGAGVDPNLTNKILDPQYLSRISETFSGLVQTIQDNGPWASAWVGESGGAYNSGGRHVSNTFINSFWYLDQLGMAAKYHTKVYCRQTLIGGNYGLLNTTTFVPNPDYYSALFWHRLMGKGVLAVGSDASPYLRAYAHCSKGRAGITLLLINLSNQTDFIISVRNSLTMRLHVEKKVQRESLLMRSLKRSVSWVGNEASEGATREEYHLTPKDGYLQSETMVLNGIPLELTENGDIPRLDPVHNNVNSPIYINPLSISFIVFPNFDAPSCE >Manes.03G184300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30532699:30534930:1 gene:Manes.03G184300.v8.1 transcript:Manes.03G184300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHITVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIAGVVEFIKELHQVLQALESKKRRKSLSPSPSPSPRPLQLITLQPDQSPSLGQENVNELTACCNSSVADVEAKISGSNVILKILSRPIPGQIVRIISTLEKLCFEVLHLNISSMEDTVLYSFVVKIGLECQLSVEELAVQVQQSFLFPQTVYTNYM >Manes.03G140401.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26826607:26829890:1 gene:Manes.03G140401.v8.1 transcript:Manes.03G140401.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGANQPLLSGVVDSTLQTDNKHAPNRRRFRRVRSAPITECVPSDADRCRSLSHPESILGKLSFSPSLKQVAALLAGYLGVGTVCFYLYRDDIEGTKTNPIIDAVYFCVVTMTTVGYGDLVPNTAFAKLLASVFVFSGMAIVGLILSKAADYLVEKQEILLIKALNKYHKQGPSQIIKEFESNRVKYKCLLTLAIILALMLVGTIFIASVEGLELLDAFYCVCATVTTLGYGDESFSTRGGRAFAIFWILISTIGLGQFFFSTAEMFTESRQRALVNWVLTRKMTNLDLEAADIDNDGVVGAAEFVIYKLKEMGKITEEDISLVMEEFEDLDVDESGTLSASDLVIAQSTQTKR >Manes.03G140401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26826607:26829876:1 gene:Manes.03G140401.v8.1 transcript:Manes.03G140401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGANQPLLSGVVDSTLQTDNKHAPNRRRFRRVRSAPITECVPSDADRCRSLSHPESILGKLSFSPSLKQVAALLAGYLGVGTVCFYLYRDDIEGTKTNPIIDAVYFCVVTMTTVGYGDLVPNTAFAKLLASVFVFSGMAIVGLILSKAADYLVEKQEILLIKALNKYHKQGPSQIIKEFESNRVKYKCLLTLAIILALMLVGTIFIASVEGLELLDAFYCVCATVTTLGYGDESFSTRGGRAFAIFWILISTIGLGQFFFSTAEMFTESRQRALVNWVLTRKMTNLDLEAADIDNDGVVGAAEFVIYKLKEMGKITEEDISLVMEEFEDLDVDESGTLSASDLVIAQSTQTKR >Manes.03G140401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26827175:26829581:1 gene:Manes.03G140401.v8.1 transcript:Manes.03G140401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGANQPLLSGVVDSTLQTDNKHAPNRRRFRRVRSAPITECVPSDADRCRSLSHPESILGKLSFSPSLKQVAALLAGYLGVGTVCFYLYRDDIEGTKTNPIIDAVYFCVVTMTTVGYGDLVPNTAFAKLLASVFVFSGMAIVGLILSKAADYLVEKQEILLIKALNKYHKQGPSQIIKEFESNRVKYKCLLTLAIILALMLVGTIFIASVEGLELLDAFYCVCATVTTLGYGDESFSTRGGRAFAIFWILISTIGLGQFFFSTAEMFTESRQRALVNWVLTRKMTNLDLEAADIDNDGVVGAAEFVIYKLKEMGKITEEDISLVMEEFEDLDVDESGTLSASDLVIAQSTQTKR >Manes.03G140401.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26826734:26829581:1 gene:Manes.03G140401.v8.1 transcript:Manes.03G140401.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGANQPLLSGVVDSTLQTDNKHAPNRRRFRRVRSAPITECVPSDADRCRSLSHPESILGKLSFSPSLKQVAALLAGYLGVGTVCFYLYRDDIEGTKTNPIIDAVYFCVVTMTTVGYGDLVPNTAFAKLLASVFVFSGMAIVGLILSKAADYLVEKQEILLIKALNKYHKQGPSQIIKEFESNRVKYKCLLTLAIILALMLVGTIFIASVEGLELLDAFYCVCATVTTLGYGDESFSTRGGRAFAIFWILISTIGLGQFFFSTAEMFTESRQRALVNWVLTRKMTNLDLEAADIDNDGVVGAAEFVIYKLKEMGKITEEDISLVMEEFEDLDVDESGTLSASDLVIAQSTQTKR >Manes.06G141900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26988809:26991098:-1 gene:Manes.06G141900.v8.1 transcript:Manes.06G141900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPCLKEASQVCLNGCCPSPLLGSPEPQKKMGKSRNTAATCRRNFAAAAASSIFPNTQFTNPESLPSLQESFAEFSKAYPQYIETHQVDQIREQEYYHLSLSHHTCLDYIGIGLFSYSQLQKHDSRKQIVSSSSSQHSPPQISYFPFFSVSYKTGNMKTQLLHGGQESEIESAIRNRIMNFLNISEDDYSMVFTANRTSAFKLVAESYPFHSSKKLLTVYDHESEAVETMINSSQKKGAKVMSAEFSWPRLGIHSAKLRKMVTRKRKKKTKRGLFIFPIHSRVTGARYPYLWMSIAQENGWHILIDACALGPKDMDSFGFSLIRPDFLICSFYKIFGENPSGFGCLFVKKSTVPVLEDSTTAGMMSKCALEEDELDTSNSFSGPMSIPKMCSGKLEQGETSESQTAVRTGKQKASETSKTETEGMDAEREVSEPAASKSPTIGTPERQKETETSEIIDLGTPAKVTKKETAKPSKNQTTEVECRGLDQVDLLGLSQISNRARCLINWLVNALMKLKHPNTEEIPVIRIYGPKVKFDRGPALAFNVFDWKGERIEAPLVQKLADRSNISLSYGFLHHISFSNKYEEEKAKVLENRIYGVKGTLTNKSKDKASMGIPVVTVALGFLANFEDAYMLWAFIAQFLDADFVEKAKWRYTALNQKTIEV >Manes.11G011300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1151535:1159342:1 gene:Manes.11G011300.v8.1 transcript:Manes.11G011300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLGSPGQLSQWNSNGGDPCGESWKGVTCSGSRVTEIKLSGLQLSGSFGFQLSSLTALTYLDFSNNNLVGGIPYGLPPNLTQLNLSNNQFTGDIPYSISGLTKLTYLNVAHNQLRNQLYDMFGKLSSLSTLDISFNQLPGNLPQSFGNLSSMTTMYLQNNQFTGTIDVLANLPLNNLNVANNHFTGWVPEQLKDINLQMDGNNWNSGPAPPPPPGTPPAHRNPGHKSGGNSPSDSDAGSGSKKSGIGGGGIAGIVISIFVIGGIIAFFFVKKRSKRSSTDVEKFDNQPLALHSSNKVQEIKSIQTSFTANSKTFDTSASINLRPPPIDCHKSFDDDDFSNKPVVVKKQVTAPTNVTLYSIADLQIATGSFSIDNLLGEGSFGRVYRAHFDDGKVLAVKKIDSSILTYDMNDDFMEMVSKISELHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSVIHKNIKSANILLGAELNPHLSDSGLAKFLPNADQVLNHDAGSGYGAPEVAMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTVGNDQGTPRKADNPDAFDYMS >Manes.11G011300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1150678:1159342:1 gene:Manes.11G011300.v8.1 transcript:Manes.11G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIWRRCHLVVTVTICILGCINGDTDPNDASALRTMYSSLGSPGQLSQWNSNGGDPCGESWKGVTCSGSRVTEIKLSGLQLSGSFGFQLSSLTALTYLDFSNNNLVGGIPYGLPPNLTQLNLSNNQFTGDIPYSISGLTKLTYLNVAHNQLRNQLYDMFGKLSSLSTLDISFNQLPGNLPQSFGNLSSMTTMYLQNNQFTGTIDVLANLPLNNLNVANNHFTGWVPEQLKDINLQMDGNNWNSGPAPPPPPGTPPAHRNPGHKSGGNSPSDSDAGSGSKKSGIGGGGIAGIVISIFVIGGIIAFFFVKKRSKRSSTDVEKFDNQPLALHSSNKVQEIKSIQTSFTANSKTFDTSASINLRPPPIDCHKSFDDDDFSNKPVVVKKQVTAPTNVTLYSIADLQIATGSFSIDNLLGEGSFGRVYRAHFDDGKVLAVKKIDSSILTYDMNDDFMEMVSKISELHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSVIHKNIKSANILLGAELNPHLSDSGLAKFLPNADQVLNHDAGSGYGAPEVAMSGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTVGNDQGTPRKADNPDAFDYMS >Manes.11G113700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26696160:26714050:1 gene:Manes.11G113700.v8.1 transcript:Manes.11G113700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRSISFLGVLLFVSFFLLIGLSFADDASHDDASPKSPGCDRPYKLVKVVNWVNGVEGETLSGLSARFGAVLPLEAEKGLRLSAVFLNPLTGCSSSSSKLSGSIAVSIRGDCTYTAKAEVAQSGGAQALLVINDKEALAEMGCDKDSGASNIKIPVVMISKSAGEYLKESMVGGQKVEIKLYAPTRPVVDFSVVFLWLMSVGTVISATLWSEFTATEESDKHYNELSSKGNSSAVKEEEQEHIDITAKSAVGFVFTASTFLVLLYFFMSSWFVWLLIILFCLGGVQGMHNCIVTLIARRCRDCVEKKVNLPLLGETSIVSLVVCCCCLAFAIVWIVNRRTSYSWIGQDILGICLMITVLQVARLPNIKVAAVLLCCAFVYDIFWVFLSPIIFHQSVMIAVARGDNSGGESIPMLLRVPRFSDPWGGYNMIGFGDILFPGLLVSFTRRYDKANKKSGTNGYFPWLVIGYGFGLFLTYLGLYLMDGHGQPALLYLVPCTLGVCVIFGLVRGEIKELWSFDPESSSSSSSSSSATRNAPGDA >Manes.11G113700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26696004:26714050:1 gene:Manes.11G113700.v8.1 transcript:Manes.11G113700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRSISFLGVLLFVSFFLLIGLSFADDASHDDASPKSPGCDRPYKLVKVVNWVNGVEGETLSGLSARFGAVLPLEAEKGLRLSAVFLNPLTGCSSSSSKLSGSIAVSIRGDCTYTAKAEVAQSGGAQALLVINDKEALAEMGCDKDSGASNIKIPVVMISKSAGEYLKESMVGGQKVEIKLYAPTRPVVDFSVVFLWLMSVGTVISATLWSEFTATEESDKHYNELSSKGNSSAVKEEEQEHIDITAKSAVGFVFTASTFLVLLYFFMSSWFVWLLIILFCLGGVQGMHNCIVTLIARRCRDCVEKKVNLPLLGETSIVSLVVCCCCLAFAIVWIVNRRTSYSWIGQDILGICLMITVLQVARLPNIKVAAVLLCCAFVYDIFWVFLSPIIFHQSVMIAVARGDNSGGESIPMLLRVPRFSDPWGGYNMIGFGDILFPGLLVSFTRRYDKANKKSGTNGYFPWLVIGYGFGLFLTYLGLYLMDGHGQPALLYLVPCTLGVCVIFGLVRGEIKELWSFDPESSSSSSSSSSATRNAPGDA >Manes.11G113700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26696180:26714050:1 gene:Manes.11G113700.v8.1 transcript:Manes.11G113700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVKLYLDYLQDLGLCCLWRLKKLSGSIAVSIRGDCTYTAKAEVAQSGGAQALLVINDKEALAEMGCDKDSGASNIKIPVVMISKSAGEYLKESMVGGQKVEIKLYAPTRPVVDFSVVFLWLMSVGTVISATLWSEFTATEESDKHYNELSSKGNSSAVKEEEQEHIDITAKSAVGFVFTASTFLVLLYFFMSSWFVWLLIILFCLGGVQGMHNCIVTLIARRCRDCVEKKVNLPLLGETSIVSLVVCCCCLAFAIVWIVNRRTSYSWIGQDILGICLMITVLQVARLPNIKVAAVLLCCAFVYDIFWVFLSPIIFHQSVMIAVARGDNSGGESIPMLLRVPRFSDPWGGYNMIGFGDILFPGLLVSFTRRYDKANKKSGTNGYFPWLVIGYGFGLFLTYLGLYLMDGHGQPALLYLVPCTLGVCVIFGLVRGEIKELWSFDPESSSSSSSSSSATRNAPGDA >Manes.11G113700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26696004:26714077:1 gene:Manes.11G113700.v8.1 transcript:Manes.11G113700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVKLYLDYLQDLGLCCLWRLKKLSGSIAVSIRGDCTYTAKAEVAQSGGAQALLVINDKEALAEMGCDKDSGASNIKIPVVMISKSAGEYLKESMVGGQKVEIKLYAPTRPVVDFSVVFLWLMSVGTVISATLWSEFTATEESDKHYNELSSKGNSSAVKEEEQEHIDITAKSAVGFVFTASTFLVLLYFFMSSWFVWLLIILFCLGGVQGMHNCIVTLIARRCRDCVEKKVNLPLLGETSIVSLVVCCCCLAFAIVWIVNRRTSYSWIGQDILGICLMITVLQVARLPNIKVAAVLLCCAFVYDIFWVFLSPIIFHQSVMIAVARGDNSGGESIPMLLRVPRFSDPWGGYNMIGFGDILFPGLLVSFTRRYDKANKKSGTNGYFPWLVIGYGFGLFLTYLGLYLMDGHGQPALLYLVPCTLGVCVIFGLVRGEIKELWSFDPESSSSSSSSSSATRNAPGDA >Manes.05G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3533806:3547589:1 gene:Manes.05G043500.v8.1 transcript:Manes.05G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKGIIDSLGSAFSSYSSASPVQEENPSAGTMEGVPGTSITNERAAYKLKGYFDLAKEEIAKAVRAEEWGLVDDAVFHYKNAQRILVEATSTPVPSYISFSEQEKVKSYRQKISKWQGQVSDRLQALNRRAAGTSSNKTILTHTHGAAVSSSTSNFKQDLSQKSPRSTRNNVVIRNQTDKPASSKPILDSGSSYDAKLVEMINTAIVDRSPSVKWDDVAGLEKAKQSLMEMVILPTKRRDLFTGIRRPARGLLLFGPPGNGKTMLAKAVASESQATFFNVSASSLTSKWVGEAEKLVRTLFMVAISRQPSVIFMDEIDSIMSTRLANENDASRRLKSEFLIQFDGVTSNTNDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPEKNVRRLLLKHKLKGQAFSLPDGDLERLVGDTEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQVRRLNYEDFRKAMTVIRPSLNKSKWEELERWNEEFGSN >Manes.05G043500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3533806:3547589:1 gene:Manes.05G043500.v8.1 transcript:Manes.05G043500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSFRQIASIKSPSSRHILKQVSSSTSNFKQDLSQKSPRSTRNNVVIRNQTDKPASSKPILDSGSSYDAKLVEMINTAIVDRSPSVKWDDVAGLEKAKQSLMEMVILPTKRRDLFTGIRRPARGLLLFGPPGNGKTMLAKAVASESQATFFNVSASSLTSKWVGEAEKLVRTLFMVAISRQPSVIFMDEIDSIMSTRLANENDASRRLKSEFLIQFDGVTSNTNDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPEKNVRRLLLKHKLKGQAFSLPDGDLERLVGDTEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQVRRLNYEDFRKAMTVIRPSLNKSKWEELERWNEEFGSN >Manes.18G069500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6306183:6312266:1 gene:Manes.18G069500.v8.1 transcript:Manes.18G069500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLKKSPSNGFENSLTPEAQQAKINEVRRLVGPLPEKLSIYCSDASISRHLRARNWSVKKTTKMLKETLKWRAEYKPEEIRWEEIAREAETGKIYRLNSVDKHGRTVLVMRPSCQNTKSTKGQIRYLVYCMENAILNLSPDQEQMVWLIDFHGFNLSHISLKVTKETAHVLQDHYPERLGLAILYNPPKFFEPFWMAAKVFLEPKTYNKVKFVYSDEVNTMKIMEELFDMDQLESAFGGKDNSGFDISKYAERMKEDDKRMPLLWTVGSEPSAMPQPALTSTASLDVSMESHSDASETDETDSSQLHGISTEIASNDVNTPAVDGGRNGSENVHVNVKTQEDQARA >Manes.18G069500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6306180:6312266:1 gene:Manes.18G069500.v8.1 transcript:Manes.18G069500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLKKSPSNGFENSLTPEAQQAKINEVRRLVGPLPEKLSIYCSDASISRHLRARNWSVKKTTKMLKETLKWRAEYKPEEIRWEEIAREAETGKIYRLNSVDKHGRTVLVMRPSCQNTKSTKGQIRYLVYCMENAILNLSPDQEQMVWLIDFHGFNLSHISLKVTKETAHVLQDHYPERLGLAILYNPPKFFEPFWMAAKVFLEPKTYNKVKFVYSDEVNTMKIMEELFDMDQLESAFGGKDNSGFDISKYAERMKEDDKRMPLLWTVGSEPSAMPQPALTSTASLDVSMESHSDASETDETDSSQLHGISTEIASNDVNTPAVDGGRNGSENVHVNVKTQEDQARA >Manes.S042216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1252550:1253660:1 gene:Manes.S042216.v8.1 transcript:Manes.S042216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNGHGVSSWWHRLLRRLGLATGLKLLGSKALPGVSNGSRFKVWIPHSEHRRTCDATCLSLCPWWCRPAAWWGLTFLGVVDGRRGCCGFAIPRLGG >Manes.10G035900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3507288:3511341:-1 gene:Manes.10G035900.v8.1 transcript:Manes.10G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNKKLKQKLREGKAESLTAAVSGGSNPNGKESSTDQEGNPQLQELLEAAKQRPKLSKREKRRKTLSFQGLDSAHKSLNEGSGKKIERSEERNEEDLVAEGDDDENDEKTKRKKKKRKREEGESEEKGLVEDGAVKEAKKANKKKKKQKKKKKKSKSVEEKLDVEAEKVAEETKVISKSQINEDVATKVYVGGIPYYSTEDDIRSYFEGCGTITEIDCMKFPDSGKFRGIAIISFKTEAAAKRALALDGSDMGGFFLKIQHFKTTRANRVPDFAPKVVEGYNRIYVGNLSWDITEDDLKNFFSDCNISSIRWGTDKESGEFRGYAHVDFSDNLSLLMALKLDQQIVCGRPIKISCAVPMKGGKIRPTSATVAIENEAHNTVDANVAPSVKNSEAENNGLVASSGKIRRRTCYECNEKGHISSNCPKKQAAETNSNAS >Manes.09G059100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9290493:9292516:1 gene:Manes.09G059100.v8.1 transcript:Manes.09G059100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTALVYHIYIYKSHQPLLNLHSSLFTLHFTLSLSLLRIFRLSLMALPLPALKAQLSNFIQSMFDEGLLDGQFAQIQALQDEANPNFIAEVITSFCTDAERIITELNNYMTQPNVDFSKLESCVHQLKGSSSSIGAQRLKLACADLQQAFNEKDKGRCLQALNIITREYCNLGRKFKTLIQLEKRILANESNQQQQDGYNITGST >Manes.08G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4682418:4685226:-1 gene:Manes.08G047100.v8.1 transcript:Manes.08G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYVDFNQRIDYVFKVVLIGDSAVGKSQLLARFARNEFCVESKATIGVEFQTKTLSMDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKNIVVMLIGNKCDLESLRAVPVEDAQEFAQRENLFFMETSALEATNVETAFLTVLTEIYRVISKKHLAANDELDAHGTSGLLKGTRIIVPNQDHQNIGKQGGCCT >Manes.02G141200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10856193:10857833:1 gene:Manes.02G141200.v8.1 transcript:Manes.02G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNDQILLDIDELAKTIREKLEILHPLSDECCIYRVPERLRQLNPKAYTPRVLSIGPLHHGRTELQAMEEHKHRYLQDFLHWGDLSLEDYIQFLKESELRLRNCYAETINFSSTDFVKMILLDATFIIMLFLKHCCGDSRNNKDRIYNKPMMILDIRFDLLLLENQIPFFILEDLFVLSNIPGRLEGFSLVKLVYEFFRSGWDSWVKEDVLERHSSFKIEHILHFLRICQQPLELPPQRELKMLVVPSITHLYRAGVKFQLSSSKNVLDIKFKNGILEIPRLQIVDQTEILLRNLQAFEQCHCLDNYTGDYIAMMAMLVNAANDVELLSRCGIIENWLRSNEALAALFRDIEKENIVFPDRCYFSAVIDDLNVYCRTPWHKWKANLKQNYFNTPWVGISVVAAVVLLFLTVIQTVCSLLQV >Manes.01G039180.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7923061:7926153:-1 gene:Manes.01G039180.v8.1 transcript:Manes.01G039180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLDNSNSLPSWVGDDCCGWLGVTCDDITGHVVKLVLCRASIMGNISLHLGNLSNLQYLDLSWNADLSSKPLLAIHSLHFPSSLKYLNLSYVLLDKCDNWLQSINMLPSLLELELWSCELSITGDVSHVNFTSLEVLKLGLNNFHSTIPSWLYNITKLQNLDLDSSAFRGSLSTDISNLNSLASLNVGFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLENLYLLNNSFSRSIPDNLGQFKRLKVLYLSENSFWGSIPVSIGQLYNLERLGFSQNSLHGEVSELHLLNLRSLIELNMGRNSLVFDIDPEWIPPFQLDSIDLSSCEVGPSFPQWLKTQKSIRFLQMSNASISGNIPDWFENISSNIVGLDLSYNQLFGTLPTFRKLNTPYANELIILLKSNQFDGFLTCSHFDATVLDISNNLLHGQIPQNISEIMPSLRHLSLSNNYLNGTVPATLCRIDSLEILDLSNNHLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQEWLVSLHLQNNTLQGKIPTSLRNLESLETLDLSMNSFDGFIPWWIGESLSSLKVLSVHSNKFEGTIPNCFGNFTAIAMHEQKGHWDYYSNVGPYVGFVRGYGENVQVYVKGIELEYTSTLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSANKLFGHIPWNIGKLSSLESLDLSENELSGSIPSSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.02G168600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13267433:13282777:1 gene:Manes.02G168600.v8.1 transcript:Manes.02G168600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHNVASTSALDQCLNLSQKDNAGSLSSGDFTEAASVDMGIGLVCDSGDIIGLVPGKSSEDDFGVAGGYFNGRQSGIDDCNVEIDGLCMEKVGSSNYNGGLINSLENCERSLELRNSDRLHEQKDDWSGSVQGDTEEKSAGLAATGTDFCNQMLSSSDCEIPVEIRSLNALPRNRAEPDKEDSVASLEGVKEVVENKSIGLSRVETENHHGKLSELVPRIGALCNCNNPSAEEVTEVMKDESNALPVIDATIHDNLSSCQNAATSLELMPTIGSPEKNIQQDKQNDGGLFRAPFEQGVTEKENDLLAGVKSTTNNQILSSLDDKMCMKSDFVDYCQQSDMEAKITSSLSLERAVDANDDVLTMMESDGCTQKALNSIEMPRELSVTGPVVSICVRQNDQRKGEDVNCHTAERVPRDNLKRSDVDQSIQATLENLVTDGSLGSCDQKNEQRNDRSVYGPSMERTPDVVEEESDVTTEMKVETHGLKFCVVADAHDLKDDSPEISPRTLQSWQAFDVAENGSYNKLDVPNRLENVVFGNIYSSSAADPSEQIDNEGKDCAGNDGLSKTACPDIGSASSRRSNRKCKSGQKTQTAKRAARKGKNKGKVRDFQIFKAERRKRSCFSKPARSSNWGSLGNITQFFEQSNGLEFNEILNHESQTKNGGGSGKMSRKWKGSRAGGSSRQSSGKKHALTSSIRLKVKVGKEVAQNSLNIMVPEVIDTSVSAACGVREFDIKSYQGTSFGIPNFANGIKDKMRQEETEDQLQCSGNKLEEAKIYSDASISDLHVAENDLHGTLTSGKSVADAPGDYIVVSSHVEVEALGEVVEKRYTDPETSPDSEVINLVPEGQVNSRCQEDFPDAVFTSSKVFVATGVVTCSNRGKKKDRLTHASDCSLEDISPDVASVNNVKATKKRGSRQRKGNEFLSNEIHISPTGVNASSSSSISKEFSGEQLHLSRETGHGVAKEDLQAEVSAETKICSGVDVVHRLSESQNSNKLLPSSKSKGRQLPRKSGVGKGRAKVSDKARSKSVNGFRHKGSKQKSINKNKIKEKNDCDHVVCTAEDDLETTNCIADDYGKSNPGDSVASIGVANLHMASNDVMEQHVPADNAWVRCDDCHKWRRIPVALVDSIGQTNCQWMCKDNFDTAFADCSIPQEKSNAEINAELGISDADEDAYDIPSKNKGLECKSKTVSKEHEFTRISTNQFLHRSRKTLTIDEIMVCHCKPPLDGGLGCGDECLNRILNIECVQGTCPCGDFCSNQQFQKHNYAKMKWDRCGKKGFGLRLEEAVSKGQFLIEYVGEVLDVHTYAARQREYASKSHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAAKRCYCGSPHCQGYIGGDLRNSEVIDQVDSDEEFLEPVMLEDGETGDAFKKRAPRISSLDGIELQVADSLSKDRDTVDTSTVAAGKVEVVSEIEVSMNQSAASPVSQLRSSLEIEDLKEKSSFASPPMGISVESDDVASKSTSAVQHVISKEEFQRSDASSTAMLGKSSSDVMVDNRKSKSTTAEEKRVFVKSRFLIKTSHDSGLCKKGKFTSNPSNLNKVQMITNKSQVLPVKPKKFIDGTSNGRFEAVEEKLNELLDADGGISKRKDAPKGYLKLLLLTAASGASGNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMLKQYQKDFKKTPILRKLLKVLEYLAVREILTAEHISGGPPCPGMESFSDSILSLTEHNDKQVHQIARNFRDKWIPRHIRKYGYMDREDGKMEFHRGSISNRVAALQNYLHDQVVRPTEAIDCATQSKLATISVDTAVHEDCSAPCDVGGIKTRKRKSRWDQPADEKASSRSLQLDEQKLHSVLLQQSEYKPPLGGGNEVLDSVEKPSREDSYCPHCFRNYCRQDVASCADDERQNAQSDVPPGFSSPLNLTPVSSNASSTIANLPVGHPQRKFISRLSVSYGIPLPILQQFGSPQDGTVENWAIAPGIPFHPFPPLPPFPHNKKETPASAVDAMVIDETSEGRQTRHNPATCYPNENNPNQNGTNKPDLAIPGEYGQQTCKRSRGSSHDLGRRYFRQQKWNRGPSCVWNSRGWGFSENNLRGGGCSTNVESVTNEHRKL >Manes.02G168600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13266985:13282804:1 gene:Manes.02G168600.v8.1 transcript:Manes.02G168600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHNVASTSALDQCLNLSQKDNAGSLSSGDFTEAASVDMGIGLVCDSGDIIGLVPGKSSEDDFGVAGGYFNGRQSGIDDCNVEIDGLCMEKVGSSNYNGGLINSLENCERSLELRNSDRLHEQKDDWSGSVQGDTEEKSAGLAATGTDFCNQMLSSSDCEIPVEIRSLNALPRNRAEPDKEDSVASLEGVKEVVENKSIGLSRVETENHHGKLSELVPRIGALCNCNNPSAEEVTEVMKDESNALPVIDATIHDNLSSCQNAATSLELMPTIGSPEKNIQQDKQNDGGLFRAPFEQGVTEKENDLLAGVKSTTNNQILSSLDDKMCMKSDFVDYCQQSDMEAKITSSLSLERAVDANDDVLTMMESDGCTQKALNSIEMPRELSVTGPVVSICVRQNDQRKGEDVNCHTAERVPRDNLKRSDVDQSIQATLENLVTDGSLGSCDQKNEQRNDRSVYGPSMERTPDVVEEESDVTTEMKVETHGLKFCVVADAHDLKDDSPEISPRTLQSWQAFDVAENGSYNKLDVPNRLENVVFGNIYSSSAADPSEQIDNEGKDCAGNDGLSKTACPDIGSASSRRSNRKCKSGQKTQTAKRAARKGKNKGKVRDFQIFKAERRKRSCFSKPARSSNWGSLGNITQFFEQSNGLEFNEILNHESQTKNGGGSGKMSRKWKGSRAGGSSRQSSGKKHALTSSIRLKVKVGKEVAQNSLNIMVPEVIDTSVSAACGVREFDIKSYQGTSFGIPNFANGIKDKMRQEETEDQLQCSGNKLEEAKIYSDASISDLHVAENDLHGTLTSGKSVADAPGDYIVVSSHVEVEALGEVVEKRYTDPETSPDSEVINLVPEGQVNSRCQEDFPDAVFTSSKVFVATGVVTCSNRGKKKDRLTHASDCSLEDISPDVASVNNVKATKKRGSRQRKGNEFLSNEIHISPTGVNASSSSSISKEFSGEQLHLSRETGHGVAKEDLQAEVSAETKICSGVDVVHRLSESQNSNKLLPSSKSKGRQLPRKSGVGKGRAKVSDKARSKSVNGFRHKGSKQKSINKNKIKEKNDCDHVVCTAEDDLETTNCIADDYGKSNPGDSVASIGVANLHMASNDVMEQHVPADNAWVRCDDCHKWRRIPVALVDSIGQTNCQWMCKDNFDTAFADCSIPQEKSNAEINAELGISDADEDAYDIPSKNKGLECKISKEHEFTRISTNQFLHRSRKTLTIDEIMVCHCKPPLDGGLGCGDECLNRILNIECVQGTCPCGDFCSNQQFQKHNYAKMKWDRCGKKGFGLRLEEAVSKGQFLIEYVGEVLDVHTYAARQREYASKSHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAAKRCYCGSPHCQGYIGGDLRNSEVIDQVDSDEEFLEPVMLEDGETGDAFKKRAPRISSLDGIELQVADSLSKDRDTVDTSTVAAGKVEVVSEIEVSMNQSAASPVSQLRSSLEIEDLKEKSSFASPPMGISVESDDVASKSTSAVQHVISKEEFQRSDASSTAMLGKSSSDVMVDNRKSKSTTAEEKRVFVKSRFLIKTSHDSGLCKKGKFTSNPSNLNKVQMITNKSQVLPVKPKKFIDGTSNGRFEAVEEKLNELLDADGGISKRKDAPKGYLKLLLLTAASGASGNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMLKQYQKDFKKTPILRKLLKVLEYLAVREILTAEHISGGPPCPGMESFSDSILSLTEHNDKQVHQIARNFRDKWIPRHIRKYGYMDREDGKMEFHRGSISNRVAALQNYLHDQVVRPTEAIDCATQSKLATISVDTAVHEDCSAPCDVGGIKTRKRKSRWDQPADEKASSRSLQLDEQKLHSVLLQQSEYKPPLGGGNEVLDSVEKPSREDSYCPHCFRNYCRQDVASCADDERQNAQSDVPPGFSSPLNLTPVSSNASSTIANLPVGHPQRKFISRLSVSYGIPLPILQQFGSPQDGTVENWAIAPGIPFHPFPPLPPFPHNKKETPASAVDAMVIDETSEGRQTRHNPATCYPNENNPNQNGTNKPDLAIPGEYGQQTCKRSRGSSHDLGRRYFRQQKWNRGPSCVWNSRGWGFSENNLRGGGCSTNVESVTNEHRKL >Manes.02G168600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13267121:13282846:1 gene:Manes.02G168600.v8.1 transcript:Manes.02G168600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHNVASTSALDQCLNLSQKDNAGSLSSGDFTEAASVDMGIGLVCDSGDIIGLVPGKSSEDDFGVAGGYFNGRQSGIDDCNVEIDGLCMEKVGSSNYNGGLINSLENCERSLELRNSDRLHEQKDDWSGSVQGDTEEKSAGLAATGTDFCNQMLSSSDCEIPVEIRSLNALPRNRAEPDKEDSVASLEGVKEVVENKSIGLSRVETENHHGKLSELVPRIGALCNCNNPSAEEVTEVMKDESNALPVIDATIHDNLSSCQNAATSLELMPTIGSPEKNIQQDKQNDGGLFRAPFEQGVTEKENDLLAGVKSTTNNQILSSLDDKMCMKSDFVDYCQQSDMEAKITSSLSLERAVDANDDVLTMMESDGCTQKALNSIEMPRELSVTGPVVSICVRQNDQRKGEDVNCHTAERVPRDNLKRSDVDQSIQATLENLVTDGSLGSCDQKNEQRNDRSVYGPSMERTPDVVEEESDVTTEMKVETHGLKFCVVADAHDLKDDSPEISPRTLQSWQAFDVAENGSYNKLDVPNRLENVVFGNIYSSSAADPSEQIDNEGKDCAGNDGLSKTACPDIGSASSRRSNRKCKSGQKTQTAKRAARKGKNKGKVRDFQIFKAERRKRSCFSKPARSSNWGSLGNITQFFEQSNGLEFNEILNHESQTKNGGGSGKMSRKWKGSRAGGSSRQSSGKKHALTSSIRLKVKVGKEVAQNSLNIMVPEVIDTSVSAACGVREFDIKSYQGTSFGIPNFANGIKDKMRQEETEDQLQCSGNKLEEAKIYSDASISDLHVAENDLHGTLTSGKSVADAPGDYIVVSSHVEVEALGEVVEKRYTDPETSPDSEVINLVPEGQVNSRCQEDFPDAVFTSSKVFVATGVVTCSNRGKKKDRLTHASDCSLEDISPDVASVNNVKATKKRGSRQRKGNEFLSNEIHISPTGVNASSSSSISKEFSGEQLHLSRETGHGVAKEDLQAEVSAETKICSGVDVVHRLSESQNSNKLLPSSKSKGRQLPRKSGVGKGRAKVSDKARSKSVNGFRHKGSKQKSINKNKIKEKNDCDHVVCTAEDDLETTNCIADDYGKSNPGDSVASIGVANLHMASNDVMEQHVPADNAWVRCDDCHKWRRIPVALVDSIGQTNCQWMCKDNFDTAFADCSIPQEKSNAEINAELGISDADEDAYDIPSKNKGLECKSKTVSKEHEFTRISTNQFLHRSRKTLTIDEIMVCHCKPPLDGGLGCGDECLNRILNIECVQGTCPCGDFCSNQQFQKHNYAKMKWDRCGKKGFGLRLEEAVSKGQFLIEYVGEVLDVHTYAARQREYASKSHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAAKRCYCGSPHCQGYIGGDLRNSEVIDQVDSDEEFLEPVMLEDGETGDAFKKRAPRISSLDGIELQVADSLSKDRDTVDTSTVAAGKVEVVSEIEVSMNQSAASPVSQLRSSLEIEDLKEKSSFASPPMGISVESDDVASKSTSAVQHVISKEEFQRSDASSTAMLGKSSSDVMVDNRKSKSTTAEEKRVFVKSRFLIKTSHDSGLCKKGKFTSNPSNLNKVQMITNKSQVLPVKPKKFIDGTSNGRFEAVEEKLNELLDADGGISKRKDAPKGYLKLLLLTAASGASGNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMLKQYQKDFKKTPILRKLLKVLEYLAVREILTAEHISGGPPCPGMESFSDSILSLTEHNDKQVHQIARNFRDKWIPRHIRKYGYMDREDGKMEFHRGSISNRVAALQNYLHDQVVRPTEAIDCATQSKLATISVDTAVHEDCSAPCDVGGIKTRKRKSRWDQPADEKASSRSLQLDEQKLHSVLLQQSEYKPPLGGGNEVLDSVEKPSREDSYCPHCFRNYCRQDVASCADDERQNAQSDVPPGFSSPLNLTPVSSNASSTIANLPVGHPQRKFISRLSVSYGIPLPILQQFGSPQDGTVENWAIAPGIPFHPFPPLPPFPHNKKETPASAVDAMVIDETSEGRQTRHNPATCYPNENNPNQNGTNKPDLAIPGEYGQQTCKRSRGSSHDLGRRYFRQQKWNRGPSCVWNSRGWGFSENNLRGGGCSTNVESVTNEHRKL >Manes.02G168600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13267121:13282661:1 gene:Manes.02G168600.v8.1 transcript:Manes.02G168600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLVCDSGDIIGLVPGKSSEDDFGVAGGYFNGRQSGIDDCNVEIDGLCMEKVGSSNYNGGLINSLENCERSLELRNSDRLHEQKDDWSGSVQGDTEEKSAGLAATGTDFCNQMLSSSDCEIPVEIRSLNALPRNRAEPDKEDSVASLEGVKEVVENKSIGLSRVETENHHGKLSELVPRIGALCNCNNPSAEEVTEVMKDESNALPVIDATIHDNLSSCQNAATSLELMPTIGSPEKNIQQDKQNDGGLFRAPFEQGVTEKENDLLAGVKSTTNNQILSSLDDKMCMKSDFVDYCQQSDMEAKITSSLSLERAVDANDDVLTMMESDGCTQKALNSIEMPRELSVTGPVVSICVRQNDQRKGEDVNCHTAERVPRDNLKRSDVDQSIQATLENLVTDGSLGSCDQKNEQRNDRSVYGPSMERTPDVVEEESDVTTEMKVETHGLKFCVVADAHDLKDDSPEISPRTLQSWQAFDVAENGSYNKLDVPNRLENVVFGNIYSSSAADPSEQIDNEGKDCAGNDGLSKTACPDIGSASSRRSNRKCKSGQKTQTAKRAARKGKNKGKVRDFQIFKAERRKRSCFSKPARSSNWGSLGNITQFFEQSNGLEFNEILNHESQTKNGGGSGKMSRKWKGSRAGGSSRQSSGKKHALTSSIRLKVKVGKEVAQNSLNIMVPEVIDTSVSAACGVREFDIKSYQGTSFGIPNFANGIKDKMRQEETEDQLQCSGNKLEEAKIYSDASISDLHVAENDLHGTLTSGKSVADAPGDYIVVSSHVEVEALGEVVEKRYTDPETSPDSEVINLVPEGQVNSRCQEDFPDAVFTSSKVFVATGVVTCSNRGKKKDRLTHASDCSLEDISPDVASVNNVKATKKRGSRQRKGNEFLSNEIHISPTGVNASSSSSISKEFSGEQLHLSRETGHGVAKEDLQAEVSAETKICSGVDVVHRLSESQNSNKLLPSSKSKGRQLPRKSGVGKGRAKVSDKARSKSVNGFRHKGSKQKSINKNKIKEKNDCDHVVCTAEDDLETTNCIADDYGKSNPGDSVASIGVANLHMASNDVMEQHVPADNAWVRCDDCHKWRRIPVALVDSIGQTNCQWMCKDNFDTAFADCSIPQEKSNAEINAELGISDADEDAYDIPSKNKGLECKSKTVSKEHEFTRISTNQFLHRSRKTLTIDEIMVCHCKPPLDGGLGCGDECLNRILNIECVQGTCPCGDFCSNQQFQKHNYAKMKWDRCGKKGFGLRLEEAVSKGQFLIEYVGEVLDVHTYAARQREYASKSHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAAKRCYCGSPHCQGYIGGDLRNSEVIDQVDSDEEFLEPVMLEDGETGDAFKKRAPRISSLDGIELQVADSLSKDRDTVDTSTVAAGKVEVVSEIEVSMNQSAASPVSQLRSSLEIEDLKEKSSFASPPMGISVESDDVASKSTSAVQHVISKEEFQRSDASSTAMLGKSSSDVMVDNRKSKSTTAEEKRVFVKSRFLIKTSHDSGLCKKGKFTSNPSNLNKVQMITNKSQVLPVKPKKFIDGTSNGRFEAVEEKLNELLDADGGISKRKDAPKGYLKLLLLTAASGASGNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMLKQYQKDFKKTPILRKLLKVLEYLAVREILTAEHISGGPPCPGMESFSDSILSLTEHNDKQVHQIARNFRDKWIPRHIRKYGYMDREDGKMEFHRGSISNRVAALQNYLHDQVVRPTEAIDCATQSKLATISVDTAVHEDCSAPCDVGGIKTRKRKSRWDQPADEKASSRSLQLDEQKLHSVLLQQSEYKPPLGGGNEVLDSVEKPSREDSYCPHCFRNYCRQDVASCADDERQNAQSDVPPGFSSPLNLTPVSSNASSTIANLPVGHPQRKFISRLSVSYGIPLPILQQFGSPQDGTVENWAIAPGIPFHPFPPLPPFPHNKKETPASAVDAMVIDETSEGRQTRHNPATCYPNENNPNQNGTNKPDLAIPGEYGQQTCKRSRGSSHDLGRRYFRQQKWNRGPSCVWNSRGWGFSENNLRGGGCSTNVESVTNEHRKL >Manes.02G168600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13266986:13282863:1 gene:Manes.02G168600.v8.1 transcript:Manes.02G168600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHNVASTSALDQCLNLSQKDNAGSLSSGDFTEAASVDMGIGLVCDSGDIIGLVPGKSSEDDFGVAGGYFNGRQSGIDDCNVEIDGLCMEKVGSSNYNGGLINSLENCERSLELRNSDRLHEQKDDWSGSVQGDTEEKSAGLAATGTDFCNQMLSSSDCEIPVEIRSLNALPRNRAEPDKEDSVASLEGVKEVVENKSIGLSRVETENHHGKLSELVPRIGALCNCNNPSAEEVTEVMKDESNALPVIDATIHDNLSSCQNAATSLELMPTIGSPEKNIQQDKQNDGGLFRAPFEQGVTEKENDLLAGVKSTTNNQILSSLDDKMCMKSDFVDYCQQSDMEAKITSSLSLERAVDANDDVLTMMESDGCTQKALNSIEMPRELSVTGPVVSICVRQNDQRKGEDVNCHTAERVPRDNLKRSDVDQSIQATLENLVTDGSLGSCDQKNEQRNDRSVYGPSMERTPDVVEEESDVTTEMKVETHGLKFCVVADAHDLKDDSPEISPRTLQSWQAFDVAENGSYNKLDVPNRLENVVFGNIYSSSAADPSEQIDNEGKDCAGNDGLSKTACPDIGSASSRRSNRKCKSGQKTQTAKRAARKGKNKGKVRDFQIFKAERRKRSCFSKPARSSNWGSLGNITQFFEQSNGLEFNEILNHESQTKNGGGSGKMSRKWKGSRAGGSSRQSSGKKHALTSSIRLKVKVGKEVAQNSLNIMVPEVIDTSVSAACGVREFDIKSYQGTSFGIPNFANGIKDKMRQEETEDQLQCSGNKLEEAKIYSDASISDLHVAENDLHGTLTSGKSVADAPGDYIVVSSHVEVEALGEVVEKRYTDPETSPDSEVINLVPEGQVNSRCQEDFPDAVFTSSKVFVATGVVTCSNRGKKKDRLTHASDCSLEDISPDVASVNNVKATKKRGSRQRKGNEFLSNEIHISPTGVNASSSSSISKEFSGEQLHLSRETGHGVAKEDLQAEVSAETKICSGVDVVHRLSESQNSNKLLPSSKSKGRQLPRKSGVGKGRAKVSDKARSKSVNGFRHKGSKQKSINKNKIKEKNDCDHVVCTAEDDLETTNCIADDYGKSNPGDSVASIGVANLHMASNDVMEQHVPADNAWVRCDDCHKWRRIPVALVDSIGQTNCQWMCKDNFDTAFADCSIPQEKSNAEINAELGISDADEDAYDIPSKNKGLECKSKTVSKEHEFTRISTNQFLHRSRKTLTIDEIMVCHCKPPLDGGLGCGDECLNRILNIECVQGTCPCGDFCSNQQFQKHNYAKMKWDRCGKKGFGLRLEEAVSKGQFLIEYVGEVLDVHTYAARQREYASKSHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAAKRCYCGSPHCQGYIGGDLRNSEVIDQVDSDEEFLEPVMLEDGETGDAFKKRAPRISSLDGIELQVADSLSKDRDTVDTSTVAAGKVEVVSEIEVSMNQSAASPVSQLRSSLEIEDLKEKSSFASPPMGISVESDDVASKSTSAVQHVISKEEFQRSDASSTAMLGKSSSDVMVDNRKSKSTTAEEKRVFVKSRFLIKTSHDSGLCKKGKFTSNPSNLNKVQMITNKSQVLPVKPKKFIDGTSNGRFEAVEEKLNELLDADGGISKRKDAPKGYLKLLLLTAASGASGNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMLKQYQKDFKKTPILRKLLKVLEYLAVREILTAEHISGGPPCPGMESFSDSILSLTEHNDKQVHQIARNFRDKWIPRHIRKYGYMDREDGKMEFHRGSISNRVAALQNYLHDQVVRPTEAIDCATQSKLATISVDTAVHEDCSAPCDVGGIKTRKRKSRWDQPADEKASSRSLQLDEQKLHSVLLQQSEYKPPLGGGNEVLDSVEKPSREDSYCPHCFRNYCRQDVASCADDERQNAQSDVPPGFSSPLNLTPVSSNASSTIANLPVGHPQRKFISRLSVSYGIPLPILQQFGSPQDGTVENWAIAPGIPFHPFPPLPPFPHNKKETPASAVDAMVIDETSEGRQTRHNPATCYPNENNPNQNGTNKPDLAIPGEYGQQTCKRSRGSSHDLGRRYFRQQKWNRGPSCVWNSRGWGFSENNLRGGGCSTNVESVTNEHRKL >Manes.11G124250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28581283:28583704:-1 gene:Manes.11G124250.v8.1 transcript:Manes.11G124250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQKLRFKGSWICLEMRYGRFSKTSRCMALSKKKVKGIMDFLVYKMGWQSAVVARVPLVVGFSLERRIMPRYSVVRVLLLNGLIKADISLSSVLMPAEKLFLERFRRLCPSLFFKMNS >Manes.S028116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:509548:509922:1 gene:Manes.S028116.v8.1 transcript:Manes.S028116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGFEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.03G190000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30945844:30946845:-1 gene:Manes.03G190000.v8.1 transcript:Manes.03G190000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLDWYVKIAIGSALIGASMELFMIKTGFYDKVTVLESEKRAWESSPEAQAIREALNPWRNHEAEERKNS >Manes.06G171800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29594693:29601136:1 gene:Manes.06G171800.v8.1 transcript:Manes.06G171800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKRGRSPPSGSGSGSLSRSRSKSRSRSYSGSDSRSSSRSRSLSSRSRSKSLSSSSSPSRSLSSGSRSPTAQRKSSAVVAKRGRSPDLQSRKTSPPRKISPIRESLVLHIDSLSRNVHEGHLKEIFSNFGEVVHVELAIDRTVNLPKGYGYVEFKARADAEKALIYMDGAQIDGNVVRARFTLPPRQKVSPPPKPIAAAPKQDASKPDNASVDVEKDGLKRQRESSPNCKPLASPRRRMPVTQRGGSPRRLPDSPARRRADSPPCRRIESPLHRGDAPPRRRPASPARGRSPSPPRRYRSPARGSPRRIRGSPIRRHSPPRRRTPPRRALSPPRRSPLRRRSRSPIRRPARSRSRSPAPRSRGRAPAARRGRSSSYSRSPSPRKVTRRISRSRSPRRPLRGRSSTSNSSSSPPRKS >Manes.10G077000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:17624930:17626393:-1 gene:Manes.10G077000.v8.1 transcript:Manes.10G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPNQVHMVFFPYTLRSHMISTVDLARLFAKHGVKATIVTTALCAPRFSEAIERDRELGFQISIRIIKFPSAEAGLPEGCEDPSSISSPEMIPMFLHALTLLQHPLTEVLEECQPDCLVADMMFPWSTGVAGKLGIPRLYFDGSSFISMCITDSLKRYQPYKQVESNFEPFIVPGLPHQIAMTKLQVPNYFKDTVDKHGFHKLMDQILVSDLNSYGVLMNSFRELEPDYSEHYRKNMGRKAWHIGPLSLFNRDIKDKAQRGDKASIDEQECLRWLDTKKPNSVLYICFGSVFKIPPPQLHELAMALEASAVNFIWVVRMEENKEKEENKDQWLPEGFEKRMEGKGLIIRGWAPQLLILEHEAVGGFMTHCGWNSTLEGVCAGVPMVTWPLSADQFDNEKLIADILKIGCQVGAQEWSKYEKKTLVKKEDIEKAINRLMAGEEAEEIRSRAMTLKEMARRATEEGGSSYSDITALLDELRALKRSK >Manes.03G044100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3866910:3868958:1 gene:Manes.03G044100.v8.1 transcript:Manes.03G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHITEKTAQRSDSKFKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSAEKAARAFDAALFCLRGRTAKFNFPDNPPEIAGGRSLSPPEIQAAAARFANLERPRIQSDNSSTDQSVMSELQAESPCVSEVSNLTTHIDGSELMLDMSFLDSLANPGSDNYPADYGLFPGFDDLHSDFIELLTQPSLDFGEENFDGILTQNSFLWNF >Manes.14G172400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGLKNVQVTVKKEVADGYKDSVPMRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERGPLERIQIKECAYTNMKEERSISTSNFVLNLINASAYNASKKSPI >Manes.14G172400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28369184:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGLKNVQVTVKKEVADGYKDSAVPMRGSTMQLSFSNKKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGLKNVQVTVKKEVADGYKDSAVPMRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGLKNVQVTVKKEVADGYKDSVPMRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERGPLERIQIKECAYTNMKEERSISTSNFVLNLINASAYNASKKSPI >Manes.14G172400.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGLKNVQVTVKKEVADGYKDSAVPMRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28369167:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGLKNVQVTVKKEVADGYKDSVPMRGSTMQLSFSNKKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.14G172400.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGLKNVQVTVKKEVADGYKDSAVPMRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERGPLERIQIKECAYTNMKEERSISTSNFVLNLINASAYNASKKSPI >Manes.14G172400.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGLKNVQVTVKKEVADGYKDSVPMRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERGPLERIQIKECAYTNMKEERSISTSNFVLNLINASAYNASKKSPI >Manes.14G172400.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28368758:28373022:-1 gene:Manes.14G172400.v8.1 transcript:Manes.14G172400.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTMQLSFSNKVSAIPQFLSFKSGVEEKPRKTTHDHISSGFSPISTADAFDSNQNPYSCMIHKNMIPDKQGAKHYAVQHFDAYPVHRPQQMRIFPVSNHSQTITACHFASSGQNVGDNSLNSQSVGRVPIISSVSVHPTPSSIVRTTELRNVSKSSVATAQLTIFYAGSVCVYDDICPEKAQAIMLLAGHGSSVTQDKTVSPAQVQAPIQGPSAYVGNKTHTCSGLPSPIAVTSTSNNELATVKLMGPLASTNNSTEPPKTVGSSSATLIPTVAAPQARKASLARFLEKRKERVMNASPYNAS >Manes.15G168000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14305486:14308224:1 gene:Manes.15G168000.v8.1 transcript:Manes.15G168000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNESSDTLRNKCAACFRQFNKMEHLVEHMRISYHSVHEPICGICKKHCRSFESLREHLIGPLPKQECKIIFDVVGCKFCLTILDSYNSRMIHQGRCQLSNVNAGLIARMPNLGLRDNLTIDSGYTRGPQVVALACKMVGGGNDGSVDLCGRICLIDENENIIFHTYVKPPVPVANFRYESSGIRPEYLRDAMPLRLVQSKVQNFLCNGEPLWKVRPRGGKARILVGHCLDHDLDLLQLEYPAMMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGIQDPYEDCVAIMRLYMRMRSQKHAPEDYPLASDPQNRNNFASWRQAELERMSPEEMLAISRSDYYCWCLDS >Manes.13G067366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8585740:8586714:1 gene:Manes.13G067366.v8.1 transcript:Manes.13G067366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGVYVDDLILTGSNQLVINQFKQEMQCKFEMSDLGLLSYYLGIEVKQNQREITLCQIAYAKKILEKLGMGECSPCQIPMEPRTKMSKFGNGEPPIDETQYRSVIGSLRYLVHTRPDLAYSVGVMSRYMETPNTSHLTAVKQILRYVRGTLNYGCVYQKGQPSLELVGFSDSDMAGDIDDRKSTTGVIYFLGNNPITWVSQKQKIVALSSCEAEYIAATAGTYQGVWLGRVLSNISNEKETATMKIDNKSAIALARNPVYHDRSKHIDTRYHFIRECLQNGDIKFEHVKTKLQLADMLTKPLARQRFAELREKIGVEDITKFG >Manes.15G151000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12531072:12535647:1 gene:Manes.15G151000.v8.1 transcript:Manes.15G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASCNIGTCKKTPVLHLSCRKKERDHFHPYKVIEITPPPKNLGIRCFPPNLQCGESVTIEGQAYTIAAVTYRYQLRKGKYESSEKRLDVLSTGRYILNLYLENLLEQS >Manes.16G024500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2624933:2627042:-1 gene:Manes.16G024500.v8.1 transcript:Manes.16G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKQVSWDGLGWSQTLLQAQTLELPKTPVARRQQQQQQNHQQSEPLKCPRCDSTNTKFCYYNNYNKSQPRHFCKTCKRHWTRGGTLRNVPLGAGRKNKRLKTCKTTAKATTAAAAATTSTTSTTTSNAQKQKQNLSLAFGDQKSVSEIICQPMINLPSSALQQSSESCSSSFVCKNFSTSNNSVFLGSTLSLPQNQGLYFPYSSSSTSFDTHPFSISTSLQSSNLYNYIGEAMEDSTITTPMPITSSTVSTQQWQVPNTSSCMDMTSYWNWDDIDTFVSTDLNIPWNDSELNP >Manes.16G102300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30771262:30776642:-1 gene:Manes.16G102300.v8.1 transcript:Manes.16G102300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIQMWPSLIQKAREGGLDMIETYVFWNGHEPSQGQYYFEDRYDIVKFVKLVQQAGLYVHLRIGPFVCAEWNFGGFPMWLKYIPGIEFRTDNGPFKAQMQKFTTKIVDMMKAERLFEPQGGPIILSQIENEYGPVEWEIGAPGKAYTKWAAAMAVGLNTGVPWIMCKQQDAPDPVIDTCNGFYCEGFQPNNNNKPKMWTENWTGWYTEYGGAVPYRPPEDTAFSVARFIANSGSFVNYYMYHGGTNFGRTSGLFMATSYDYDAPIDEYGLPHDPKWGHLRDLHKAIKQCEPALVSVDPTVISLGKNQEAHVYQAKSACAAFLANYDAQYSVKVVFSNKEYWLPPWSISILPDCKTVVYNTARIGAQSTQMWMMPAVTGFSWQSYNDEVSVGYTAGTFIHDGLWEQKFITWDKTDYLWYMTNVNIDPNEGFLKNGKSPFLTVNSAGHVLHVFINGQLAGTVYGSLDNPKVKFSQNVNLKAGTNTISLLSAIVGLPNVGVHYDTWNAGVMGPITLSGLNQGTLDMSKWKWSYKIGLKGEDLNLGTFAGSSSVSWAKGPQLSKKQPLTWYKTTFDAPPGDDPLALDMYTMGKGQIWINGRSIGRHWPGYTARGNCRDCDYAGTYDDKKCRSKCGQPSQQWYHVPRSWLSPKGNLLVVFEEIGGDPSGISLLKRIVGSVCADIYDDQPAVLNSQGLVPVKPKAHLWCPPGQTISQIKFASYGWPQGRCGFFQQGKCHAQKSWDPFQRNCLGKQSCEVAVDPANFGGDPCPGSPKKLSVEVRCS >Manes.16G102300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30771262:30777501:-1 gene:Manes.16G102300.v8.1 transcript:Manes.16G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKMSRENGFVLLLLLLSSWIASATASVTYDQKAIIINGQRRILISGSIHYPRSTPEMWPSLIQKAREGGLDMIETYVFWNGHEPSQGQYYFEDRYDIVKFVKLVQQAGLYVHLRIGPFVCAEWNFGGFPMWLKYIPGIEFRTDNGPFKAQMQKFTTKIVDMMKAERLFEPQGGPIILSQIENEYGPVEWEIGAPGKAYTKWAAAMAVGLNTGVPWIMCKQQDAPDPVIDTCNGFYCEGFQPNNNNKPKMWTENWTGWYTEYGGAVPYRPPEDTAFSVARFIANSGSFVNYYMYHGGTNFGRTSGLFMATSYDYDAPIDEYGLPHDPKWGHLRDLHKAIKQCEPALVSVDPTVISLGKNQEAHVYQAKSACAAFLANYDAQYSVKVVFSNKEYWLPPWSISILPDCKTVVYNTARIGAQSTQMWMMPAVTGFSWQSYNDEVSVGYTAGTFIHDGLWEQKFITWDKTDYLWYMTNVNIDPNEGFLKNGKSPFLTVNSAGHVLHVFINGQLAGTVYGSLDNPKVKFSQNVNLKAGTNTISLLSAIVGLPNVGVHYDTWNAGVMGPITLSGLNQGTLDMSKWKWSYKIGLKGEDLNLGTFAGSSSVSWAKGPQLSKKQPLTWYKTTFDAPPGDDPLALDMYTMGKGQIWINGRSIGRHWPGYTARGNCRDCDYAGTYDDKKCRSKCGQPSQQWYHVPRSWLSPKGNLLVVFEEIGGDPSGISLLKRIVGSVCADIYDDQPAVLNSQGLVPVKPKAHLWCPPGQTISQIKFASYGWPQGRCGFFQQGKCHAQKSWDPFQRNCLGKQSCEVAVDPANFGGDPCPGSPKKLSVEVRCS >Manes.16G102300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30771262:30776244:-1 gene:Manes.16G102300.v8.1 transcript:Manes.16G102300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVWFRGFPMWLKYIPGIEFRTDNGPFKAQMQKFTTKIVDMMKAERLFEPQGGPIILSQIENEYGPVEWEIGAPGKAYTKWAAAMAVGLNTGVPWIMCKQQDAPDPVIDTCNGFYCEGFQPNNNNKPKMWTENWTGWYTEYGGAVPYRPPEDTAFSVARFIANSGSFVNYYMYHGGTNFGRTSGLFMATSYDYDAPIDEYGLPHDPKWGHLRDLHKAIKQCEPALVSVDPTVISLGKNQEAHVYQAKSACAAFLANYDAQYSVKVVFSNKEYWLPPWSISILPDCKTVVYNTARIGAQSTQMWMMPAVTGFSWQSYNDEVSVGYTAGTFIHDGLWEQKFITWDKTDYLWYMTNVNIDPNEGFLKNGKSPFLTVNSAGHVLHVFINGQLAGTVYGSLDNPKVKFSQNVNLKAGTNTISLLSAIVGLPNVGVHYDTWNAGVMGPITLSGLNQGTLDMSKWKWSYKIGLKGEDLNLGTFAGSSSVSWAKGPQLSKKQPLTWYKTTFDAPPGDDPLALDMYTMGKGQIWINGRSIGRHWPGYTARGNCRDCDYAGTYDDKKCRSKCGQPSQQWYHVPRSWLSPKGNLLVVFEEIGGDPSGISLLKRIVGSVCADIYDDQPAVLNSQGLVPVKPKAHLWCPPGQTISQIKFASYGWPQGRCGFFQQGKCHAQKSWDPFQRNCLGKQSCEVAVDPANFGGDPCPGSPKKLSVEVRCS >Manes.12G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9301785:9305061:1 gene:Manes.12G077200.v8.1 transcript:Manes.12G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCDLEVDVNGEETFMVDKKLISSYSGRLSKLFGESTASARNFKVIFNDFPGGAESFELMSRFCYNNGRIDITPYNISLHCAAQFMEMNNSVSGTQNILQKTEKSLQEINDWTWSELLITIKQCQDLFPSTNSLAIAEKCMKSIVGRVALSSESSPCPSTSPDNSSIRFSCDTRSTQSLKSSVSQPTWWFEDLLFLSSDLIEIVIKSMVSQKFDHAIIIRFLLYYQKSKFYAATSDEKRKVVKTVIDMLYILDWSYVLCKSLFGILRVALNLNISKCSRKKLESMMGSKMDQATLDNLLIPSHDVMSCSYDINLVLRLLKSFLHDGNSLVTSLRLKKVARLMDLYIAEVAPDPCLKPSKFLALAVALPDSARDSYDEIYHATDIYLQVHDGLSEEEKMKIYCVLNCEKLSPEASMHLSQNKKFPSKSAVQALKSQQLKLKSLFQGTNNSKRFGGSPSRLGEMGSKGKTNEASEQVVLHTGKLELSTDSDKLKAHLQGMQCRVIRLEEACRKMQSQMAKIMKSRVSSYNNPRSLPKLCS >Manes.12G077200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9302573:9305061:1 gene:Manes.12G077200.v8.1 transcript:Manes.12G077200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCYNNGRIDITPYNISLHCAAQFMEMNNSVSGTQNILQKTEKSLQEINDWTWSELLITIKQCQDLFPSTNSLAIAEKCMKSIVGRVALSSESSPCPSTSPDNSSIRFSCDTRSTQSLKSSVSQPTWFLLYYQKSKFYAATSDEKRKVVKTVIDMLYILDWSYVLCKSLFGILRVALNLNISKCSRKKLESMMGSKMDQATLDNLLIPSHDVMSCSYDINLVLRLLKSFLHDGNSLVTSLRLKKVARLMDLYIAEVAPDPCLKPSKFLALAVALPDSARDSYDEIYHATDIYLQVHDGLSEEEKMKIYCVLNCEKLSPEASMHLSQNKKFPSKSAVQALKSQQLKLKSLFQGTNNSKRFGGSPSRLGEMGSKGKTNEASEQVVLHTGKLELSTDSDKLKAHLQGMQCRVIRLEEACRKMQSQMAKIMKSRVSSYNNPRSLPKLCS >Manes.12G077200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9301785:9305061:1 gene:Manes.12G077200.v8.1 transcript:Manes.12G077200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCDLEVDVNGEETFMVDKKLISSYSGRLSKLFGESTASARNFKVIFNDFPGGAESFELMSRFCYNNGRIDITPYNISLHCAAQFMEMNNSVSGTQNILQKTEKSLQEINDWTWSELLITIKQCQDLFPSTNSLAIAEKCMKSIVGRVALSSESSPCPSTSPDNSSIRFSCDTRSTQSLKSSVSQPTWFLLYYQKSKFYAATSDEKRKVVKTVIDMLYILDWSYVLCKSLFGILRVALNLNISKCSRKKLESMMGSKMDQATLDNLLIPSHDVMSCSYDINLVLRLLKSFLHDGNSLVTSLRLKKVARLMDLYIAEVAPDPCLKPSKFLALAVALPDSARDSYDEIYHATDIYLQVHDGLSEEEKMKIYCVLNCEKLSPEASMHLSQNKKFPSKSAVQALKSQQLKLKSLFQGTNNSKRFGGSPSRLGEMGSKGKTNEASEQVVLHTGKLELSTDSDKLKAHLQGMQCRVIRLEEACRKMQSQMAKIMKSRVSSYNNPRSLPKLCS >Manes.02G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9635341:9641632:1 gene:Manes.02G127100.v8.1 transcript:Manes.02G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPQTSIHSAVLDDIISRLLDFRQTRAAKQQQVQLTENEIRQLCTVSREIFLQQPNLLELEAPLKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHESASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTSLDQIRNLPRPTDVPDSGLLCDLLWSDPSRDVKGWGMNDRGVSYTFGSDKVSEFLMNNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKSADRKPKFM >Manes.07G095300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29885515:29885835:-1 gene:Manes.07G095300.v8.1 transcript:Manes.07G095300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISPFVFLIFFFYSLLFLSPSVMMAGTNSIHPLVAAARPLALKSPKDFATFKPKTSHGNGEFRGKDVENCLPKGFHRTSAPSRYINYSTLGSTMCSTGNKHVDAP >Manes.02G084100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6576657:6580015:1 gene:Manes.02G084100.v8.1 transcript:Manes.02G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFPNGKIEVAQKVGTDEGDNTGVIKDFEELKPEVESVREFDVDGNSESSRGTHALLNSDSSNSPEEEAETEDHNLLAKDLGTLEEEVEKDVTDIIVESAEPIVPLPQELSPTIEVSTETSQEPDVTAEDQTEIEVKIFPSENEANEKTSSEEVKLPSSDDKLDLSAVATVVVSEGIQEKNNGGVPPALRDVESKEVEEAKVAALEDNGEPSGFVDKKTGENIDDLLKPSNDATTVGSSDGFLESIGNPHVISLGQRSVQRTYWRSCCGLFEVLRRSNR >Manes.11G160250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32577524:32578340:-1 gene:Manes.11G160250.v8.1 transcript:Manes.11G160250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHKLMREDILPSMASNRKVQRLLNEFMDLLSEYETAETNLYQKNSKQPTASQPETNEMDLDQSASDHKKSTAPVTDKTNCVQQATDQKTPLASDQEDSGPILTDQVIPDSLATDTGP >Manes.17G022200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13598044:13608367:1 gene:Manes.17G022200.v8.1 transcript:Manes.17G022200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSESTDLASPPPSKITDLNEDSLSHCASYLSLQDISNLAMTCKFLKRVSYSDSIWQRCFSERWPNEVARISSTSSGVRDAYLARHASMHQFKFVDPLSADLYTNAKPFDHIILDKNDVIFSQGSSIQSVKIDSNGEDSVVTLSDHNARITCMRLFPIYETSLYRSQSQIKENVLITSSCDHSIRLWWKGSCQRCFRGHNGPVSTLSDKLLGDSSAKLLASGGEDGTVRLWSLSSSAKRGQHALKATFYGHEKPIKLMSVAGHKASLLVTISKDSKVRVWDTTTSSAIRSSCCVGMTCLPGTPVDMKCHESLLYVAAGSSVGVVDLRTMQKVITAASYQPRLCSFAIVPSKSLICTGGSGKAMLWDIRRNQEAPKAEPIAELDGHTGPVAQLHMDPYKIVTGGPEDLYINVWETKTGVQTNSLMCCHSEDARRSSGCSALAVNGTRVVTATCGEENGIVRFRDFVNASCPVLKHEDEHSSKFWDPECYSDSSTE >Manes.17G022200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13598044:13609089:1 gene:Manes.17G022200.v8.1 transcript:Manes.17G022200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSESTDLASPPPSKITDLNEDSLSHCASYLSLQDISNLAMTCKFLKRVSYSDSIWQRCFSERWPNEVARISSTSSGVRDAYLARHASMHQFKFVDPLSADLYTNAKPFDHIILDKNDVIFSQGSSIQSVKIDSNGEDSVVTLSDHNARITCMRLFPIYETSLYRSQSQIKENVLITSSCDHSIRLWWKGSCQRCFRGHNGPVSTLSDKLLGDSSAKLLASGGEDGTVRLWSLSSSAKRGQHALKATFYGHEKPIKLMSVAGHKASLLVTISKDSKVRVWDTTTSSAIRSSCCVGMTCLPGTPVDMKCHESLLYVAAGSSVGVVDLRTMQKVITAASYQPRLCSFAIVPSKSLICTGGSGKAMLWDIRRNQEAPKAEPIAELDGHTGPVAQLHMDPYKIVTGGPEDLYINVWETKTGVQTNSLMCCHSEDARRSSGCSALAVNGTRVVTATCGEENGIVRFRDFVNASCPVLKHEDEHSSKFWDPECYSDSSTE >Manes.17G022200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13598044:13609089:1 gene:Manes.17G022200.v8.1 transcript:Manes.17G022200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSESTDLASPPPSKITDLNEDSLSHCASYLSLQDISNLAMTCKFLKRVSYSDSIWQRCFSERWPNEVARISSTSSGVRDAYLARHASMHQFKFVDPLSADLYTNAKPFDHIILDKNDVIFSQGSSIQSVKIDSNGEDSVVTLSDHNARITCMRLFPIYETSLYRSQSQIKENVLITSSCDHSIRLWWKGSCQRCFRGHNGPVSTLSDKLLGDSSAKLLASGGEDGTVRLWSLSSSAKRGQHALKATFYGHEKPIKLMSVAGHKASLLVTISKDSKVRVWDTTTSSAIRSSCCVGMTCLPGTPVDMKCHESLLYVAAGSSVGVVDLRTMQKVITAASYQPRLCSFAIVPSKSLICTGGSGKAMLWDIRRNQEAPKAEPIAELDGHTGPVAQLHMDPYKIVTGGPEDLYINVWETKTGVQTNSLMCCHSEDARRSSGCSALAVNGTRVVTATCGEENGIVRFRDFVNASCPVLKHEDEHSSKFWDPECYSDSSTE >Manes.17G022200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13598044:13609089:1 gene:Manes.17G022200.v8.1 transcript:Manes.17G022200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSESTDLASPPPSKITDLNEDSLSHCASYLSLQDISNLAMTCKFLKRVSYSDSIWQRCFSERWPNEVARISSTSSGVRDAYLARHASMHQFKFVDPLSADLYTNAKPFDHIILDKNDVIFSQGSSIQSVKIDSNGEDSVVTLSDHNARITCMRLFPIYETSLYRSQSQIKENVLITSSCDHSIRLWWKGSCQRCFRGHNGPVSTLSDKLLGDSSAKLLASGGEDGTVRLWSLSSSAKRGQHALKATFYGHEKPIKLMSVAGHKASLLVTISKDSKVRVWDTTTSSAIRSSCCVGMTCLPGTPVDMKCHESLLYVAAGSSVGVVDLRTMQKVITAASYQPRLCSFAIVPSKSLICTGGSGKAMLWDIRRNQEAPKAEPIAELDGHTGPVAQLHMDPYKIVTGGPEDLYINVWETKTGVQTNSLMCCHSEDARRSSGCSALAVNGTRVVTATCGEENGIVRFRDFVNASCPVLKHEDEHSSKFWDPECYSDSSTE >Manes.17G022200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13598044:13609089:1 gene:Manes.17G022200.v8.1 transcript:Manes.17G022200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSESTDLASPPPSKITDLNEDSLSHCASYLSLQDISNLAMTCKFLKRVSYSDSIWQRCFSERWPNEVARISSTSSGVRDAYLARHASMHQFKFVDPLSADLYTNAKPFDHIILDKNDVIFSQGSSIQSVKIDSNGEDSVVTLSDHNARITCMRLFPIYETSLYRSQSQIKENVLITSSCDHSIRLWWKGSCQRCFRGHNGPVSTLSDKLLGDSSAKLLASGGEDGTVRLWSLSSSAKRGQHALKATFYGHEKPIKLMSVAGHKASLLVTISKDSKVRVWDTTTSSAIRSSCCVGMTCLPGTPVDMKCHESLLYVAAGSSVGVVDLRTMQKVITAASYQPRLCSFAIVPSKSLICTGGSGKAMLWDIRRNQEAPKAEPIAELDGHTGPVAQLHMDPYKIVTGGPEDLYINVWETKTGVQTNSLMCCHSEDARRSSGCSALAVNGTRVVTATCGEENGIVRFRDFVNASCPVLKHEDEHSSKFWDPECYSDSSTE >Manes.17G022200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13598044:13608530:1 gene:Manes.17G022200.v8.1 transcript:Manes.17G022200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSESTDLASPPPSKITDLNEDSLSHCASYLSLQDISNLAMTCKFLKRVSYSDSIWQRCFSERWPNEVARISSTSSGVRDAYLARHASMHQFKFVDPLSADLYTNAKPFDHIILDKNDVIFSQGSSIQSVKIDSNGEDSVVTLSDHNARITCMRLFPIYETSLYRSQSQIKENVLITSSCDHSIRLWWKGSCQRCFRGHNGPVSTLSDKLLGDSSAKLLASGGEDGTVRLWSLSSSAKRGQHALKATFYGHEKPIKLMSVAGHKASLLVTISKDSKVRVWDTTTSSAIRSSCCVGMTCLPGTPVDMKCHESLLYVAAGSSVGVVDLRTMQKVITAASYQPRLCSFAIVPSKSLICTGGSGKAMLWDIRRNQEAPKAEPIAELDGHTGPVAQLHMDPYKIVTGGPEDLYINVWETKTGVQTNSLMCCHSEDARRSSGCSALAVNGTRVVTATCGEENGIVRFRDFVNASCPVLKHEDEHSSKFWDPECYSDSSTE >Manes.01G266200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42081140:42085290:-1 gene:Manes.01G266200.v8.1 transcript:Manes.01G266200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKGKVCVTGGTGFVASWLIMRLLQHGYSVHATIRPDPEHKRDVSFLTSLPGASEKLQIFQADLSVPESFEAAIKGCIGVFLVATPVDFENREPEEVIVKRAVEGTLGILRACLNSKTVKRVVYTSSASTVEFSDKVVDTMDESFWSDVDYLKSISYFNFGPYIISKTLTEKKALEFAEEHGLDLVTVIPSFIVGPFICPKFPGSVHTSMAMILGEREQYVFLLNLSMVHTDDVARVHIFLFENPEVKGRYICSSHTMTIEDLSKFLSAKYPEFPIPTLESLKDIEGYKTPGVSSKKLLDSGFEFKYGLDEMFDGAIQCCKEKGYL >Manes.01G266200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42081959:42085292:-1 gene:Manes.01G266200.v8.1 transcript:Manes.01G266200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKGKVCVTGGTGFVASWLIMRLLQHGYSVHATIRPDPEHKRDVSFLTSLPGASEKLQIFQADLSVPESFEAAIKGCIGVFLVATPVDFENREPEEVIVKRAVEGTLGILRACLNSKTVKRVVYTSSASTVEFSDKVVDTMDESFWSDVDYLKSISYFNFGPYIISKTLTEKKALEFAEEHGLDLVTVIPSFIVGPFICPKFPGSVHTSMAMILGEREQYVFLLNLSMVHTDDVARVHIFLFENPEVKGRYICSSHTMTIEDLSKFLSAKYPEFPIPTLESLKDIEGYKTPGVSSKKLLDSGFEFKYGLDEMFDGAIQCCKEKGYL >Manes.09G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:510058:521252:-1 gene:Manes.09G000100.v8.1 transcript:Manes.09G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKMCVGQQNSILFFRQMAKLINRSSFVALPVFVALIALLIAFGFPFKSYLVSLRQQRLFTAEELSLYNGTDVNLPILLAILGSVFDVTKGKSHYGIGGGYNHFAGRDASRAFVSGNFTGDGLTDSLRGLSSSEVKSIIEWREFYRRSYKFVGKLVGRYYDIQGNPTKYLKGVEAKAARGAQLLEKQKKEEAKQPSCNSRWSQGEDGEVWCDDGFPRLVQRPQEIALTGKMSKRCACFTEDQLSQQGLEVYEGCDYLSKTCRV >Manes.05G145700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24765359:24772379:1 gene:Manes.05G145700.v8.1 transcript:Manes.05G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDFFTLTEMKDGLTAPSRVHELVAVMQKEKDCVVKNIGDATRQWAAVASTITATENKECLDLFIQLGGLCFIDRWLKDAQRFGSDTADGFVEESITALLKALEKLQIDKERCVSSGIWFTINNLLDHSSSHVQDRARALFDSMKQGRVSDAIHHDVQSTGALCDAIVHTTENNKAEYAAVDVPLPKGNADVENNAAESARDENVPSRSPNCPQSERVENVQIQAHGNMDRPSDPLTASVMSNSVQESPQLKEISSRSNVEGTAATETHTSEIPKGQRSELELDASNKHGSFSDNSGVVASPSSMAEPGDSTPSAAVTSSKEMLAEPVYQNNVDAREGDSGPNNTAFVDAETSRSSPKAGTDDGALVFKSMAKDDCSPDNLQDSSNEDRRLEKTEYAGMADIGAVNDDQQHSSDGAEDLRDDSDFSKPERHTRSPDPIDRRRSDIEIEYGIVDALEVARQVAQQVEREVVDYREPSCSSSSEKIMGSGVGEPGSPDSINVKQDVVDVPLEEIPTGQNQPTDSYIAGDGRLISPNKVENEPENVTHESSQVTEVVPEPEVNTEKGLCDFDLNQEVCSDDMDRPVNPVLTPISVVSASRPTTASGCPSAPLQFEGFLGWKGSAATSAFRPASPRKTSDGDKNIETGGTSSNSKHRQDSLVIDLNVAEDGDGKVMDFISGRQIPVSSDLHSGESSLEVGPRRSERPDLDLNRISDDGDALPSGLRMEGQLFYPRNGHRSPSPASSSSSMQLRNFDLNDRPLFHNDSSDQGLHLGNQKASVFGGSKSGDPAISIMGTRVEVGSRVEAGRGDFVSLNPSLPNGKLLDPAIDANVARMGGFLGIPTVSYTHSPVLGYNGLTTGPGMSISSAMYGSGGSIPYMVDSRVAPVVPQILGSTSAVPPAYSQPSFMMSMTNGPPLSLNGAGPSRPSLDLNSGFAIEGGPGGLRQLFMTGQGRSMEEHLRGAVQPSSSGVGGKRREPEGGWEPYSLQYKHPQPPWR >Manes.06G071000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20677308:20679379:-1 gene:Manes.06G071000.v8.1 transcript:Manes.06G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFCVLFALFLTPPFASSRILSHSPATSLLDVKASIKRTRDVFSSVPETTPLHHQETASYSSSLLSMELHSRTSVHMASHTDYKSLTLARLELDSARVRSLTSRLDLAIHGSSASDLKPLYSDMEFKAEDLQSPIVSGTSQGSGEYFSRIGIGKPPSSVYMVLDTGSDVNWVQCEPCADCYQQVDPIFDPAYSASYSTLTCETKQCKSLDISECRNGTCLYEVSYGDGSYTVGDFVTETITLGSTSIENIAIGCGHNNQGLFVGAAGLLGLGGGSLSFPSQINAASFSYCLTDRDSDSASTLEFNSTFLPNAVTAPLISNHELETFYYVGITGLSVGGELLSIPESAFKMDESGNGGIIIDSGTAITRLQTDTYNSLRDAFVNATKNLPTTNGVALFDTCYDLSTKGSVEVPTVSFHFPEGKVLPLPAKNYLIPVDSEGTFCFAFAPTTSMLSIIGNVQQQGTRVGFDIANSRIGFEPNKC >Manes.05G202851.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32759870:32761318:1 gene:Manes.05G202851.v8.1 transcript:Manes.05G202851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITLKAKDKLSFINGRYEVPKDDPALMDKWHKVDNMVTSWILNSLSKDLAEESWDGLACFRPLPIYDHAKNQILLLDPLPSVNKAYSMILRIEKQRKLHINALAGAPGKKDDKICIHCKSTGHTMDICFKVHGYSEWFIELKKKNKGKTTIAAFKGFDKGIWVIDIGAITHMCYNFFAVYQPHKARQKFLDGNTKPVTHAETVFLNPKLILLDTSEVLATGSLQGRLYKLDAEINKSYPLPSILCLNKGCNITTSRRNLPFTNWHAKLGHYSQAKMLHISKQPKHL >Manes.10G090700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23503391:23506048:-1 gene:Manes.10G090700.v8.1 transcript:Manes.10G090700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLSFCFLSLVVVVFTTLSSSAALLSSSDFPSSPPPSYPKAMSDLKEAIVKGLGFQADDFKISGFDVRDVLVGRSVAYEFDIEIDKQVLPFQLLEDVNRWEYVDLPIFRVEEEPVRPGDENGIVKHSGKPDNGLPVLAPFQLAGPMEIWIQDAKNMRISLPHDVDAGVLKKVILADGAVVTVKGARSVGLRHPVDLPLPFNRSQNGFASGLMALSEQLRHASRNQEAPLLSLRIVGPTSIASPSPSSSSSSSSNRLILKRLAPGLVELSSFSKTQPFDAFSPLDLEEAGSVLTPKHFTTMWPFASVNGSNANLLGFEKLLVSVLGPKANKKGSFRLLKADVSAQTFVKIGFGVEKKVKEGDGLDWEGFPEWRTKPETVRMHFEVLAKVDGDRVVPERVMQVNPAVVEDAVASNVLMGNVTMSKIPIVHTPSKPLTL >Manes.13G077742.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12326263:12326986:1 gene:Manes.13G077742.v8.1 transcript:Manes.13G077742.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIKQVHRMYVWLATGPGGLKPIWILAPEKVAFVEKESLASDDDIIGDPGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTFIREKNRRFQVAWFKDYEWLEYSVSKDKVYCLYCYLFANNNRSGGNVFTEIGFNNWKDGRRAFVNHEGSPGSSHSGCR >Manes.02G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8498204:8504328:-1 gene:Manes.02G109500.v8.1 transcript:Manes.02G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSSYFSYNSMLFLLLLMLSRSCYGFGTFGFDIHHRYSDPVKGILALDDLPDKGTLQYYASMAHRDRLIHGRRLATADNSTPLTFFDGNETIRISSLGFLHYAEVLVGTPSLSFLVALDTGSDLFWLPCDCTSCVRGLQTSSGKQIQLNIYSPNNSSTSKTVACNSLLCSQQSQCSSSSPSACPYQVLYLSENTSSSGFLVEDLLHLTTDDTQPKAHNATITFGCGQRQTGSFLDGAAPNGLFGLGMRNVSVPSTLAREGLTSNSFSMCFGPDGIGRITFGDTGSSDQGETPFNLRQSHPTYNISISKINVGVNDSNLAFTAIFDTGTSFTYLNDPAYSFISESFNNQAKNKRYLSSSELPFDYCYEISSNQTDLEIPTVNLVMEGGSQFNVTDPIVIVSIPGNANLYCLGVVKSGDVNIIGQNFMTGYRIVFDREKNVLGWKPSNCYDLLDTNTLPVNPISPGGSPTTTVNPEATAGNEKNPNAFGDPAPSGNHSPQLNPFTFAFMMVLLSLFYHLIIF >Manes.06G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21681322:21684386:1 gene:Manes.06G081300.v8.1 transcript:Manes.06G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPPSLPPERRLPREAGLRHCLQIGVDALQAQKHPLDVVELVVRELENHPNFNAGKGSVLTTVGTVEMEACIMDGKTKKCGAVSGLTTVVNPVSLARLVMEKTPHIYLAFDGAEHFAREQGVETVNSSHFVTPENVERLKQAKEANRVQIDYTQPIQKDEKNESQIADGDGDSKLGTVGCVAVDRNGNLAAATSTGGLVNKMVGRIGDTPIIGAGTFANNLCAVSATGKGESIIRGTVARDVAALMEFKGLSLQEAASYVVDECVPRGNVGLVAVSATGEVTMPFNTTGMFRACATEDGYSEIAIWPSVQK >Manes.S027716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:485813:486625:-1 gene:Manes.S027716.v8.1 transcript:Manes.S027716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5409386:5411369:1 gene:Manes.13G046000.v8.1 transcript:Manes.13G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKVVVVDARHHMLGRLASTVAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKIFWRTVRGMIPHKTKRGEAALARLKTYEGVPSPYDKMKRMVIPDALKVLRLQKGHKYCLLGRLSSEVGWNHYDTIRELEKKRKERSQVLYERKKQLNKLRVKAEKVAEEKLGSQIEILSAVKY >Manes.07G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34451276:34453071:1 gene:Manes.07G142900.v8.1 transcript:Manes.07G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGSCSSMEKVMGNYGDQPHVLAVDDNLIDRKLVEKLLKNSSCKVTTAENGLKALEYLGLGVDGRNKLDTNVSKMNLIITDYCMPGMTGYELLKKIKESSIMKEVPVVIMSSENIPTRITKCLEEGAQMFMLKPLKQSDVKKLRSDLMSCRR >Manes.05G174003.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28972328:28976353:-1 gene:Manes.05G174003.v8.1 transcript:Manes.05G174003.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAILVYVFIFFLGGISYVAMRRGKKEVYNGVKLPPGSMGWPYIGETLQLYSQDPNVFFTEKQKRYGEIFKTHILGCPCVMLASPEASRFVLVTQAHLFKPTYPKSKERLIGPSAIFFHQGEYHMRLRKLVQGSLSLDSLRNLVADISAIAASTLDSWNGGHVINTFHEMKKFSFEVGILAFFGHLDSHYREELKKNYRIVDKGYNSFPTSLPGTPYKKALTARKRLSKILGDIISERKEKRLLEKDLLGCLLNSKGDKGQVLTDDQIADNVIGALFAAQDTTASALTWIVKYLHDNPKLLEAVKAEQKEIYKMNNEGSQPLSWNQTRNMPFTHKVVLESLRMASVISFTFREAVSDVEYKGYLIPKGWKVMPLFRNIHHNPEYFRDPHKFDPSRFEIPPKPNTFMPFGSGVHVCPGNELAKLEMLIITHHLSTKFRWEVVGSQNEIQYGPFPVPYHGLPARFWKESTT >Manes.S037416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1020080:1020202:1 gene:Manes.S037416.v8.1 transcript:Manes.S037416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.06G035431.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10333226:10334693:1 gene:Manes.06G035431.v8.1 transcript:Manes.06G035431.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.06G118950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24959964:24961033:-1 gene:Manes.06G118950.v8.1 transcript:Manes.06G118950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSNWNICPSCLLINVIKERAMSGTESLRSESESESESESESDQLKRISCGSCFFQYQSGQISCIQSIVVLNVRLCSAIIVTTVVVRCHCILTSSIKMN >Manes.13G077148.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12107986:12109785:-1 gene:Manes.13G077148.v8.1 transcript:Manes.13G077148.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSPSAPSQLCSSKSGIFSPTHAAFAKTARTPKVINHRSMRITCQASSVPADDRVPDMGKRQLMNLLLLGAISLPTGFMLVPYTAFFVPPGTGGAGGGTVAKDAVGNDVIAAQWLKTHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNDQGRVVRGPAPLSLALAHADVEVDTGKVVFVPWVETDFRTGDAPWWA >Manes.12G008500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:896279:901559:1 gene:Manes.12G008500.v8.1 transcript:Manes.12G008500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARIEEEEEEDYEEESSAAISELFHGFLAIGTLGSDPVNTNPSTPTFAISVENITEKETEVTENELKLINDELEKVLVAEEDNDSSGRNSYVSAGRSSQGSTITLSGKPIEGQETNVNESTVCPLQGYLFGSAIELSETTTVAKKENRTSLGELFQRTKIAEENSGGKCERDEKRIEKEADKSTVHLMKKMLKKKMSHASSRSSAAAAGGTVDPALAETKLHKILQMFHRKVHPESSTSARKADKPQKNENKKSTNNGGHNNGSQMLLDEDITVLPQRALSKRSIRRYKSQSNPPQFTLSSSDSNGSREYWIKTDADYLVLEL >Manes.12G008500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:899460:901559:1 gene:Manes.12G008500.v8.1 transcript:Manes.12G008500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARIEEEEEEDYEEESSAAISELFHGFLAIGTLGSDPVNTNPSTPTFAISVENITEKETEVTENELKLINDELEKVLVAEEDNDSSGRNSYVSAGRSSQGSTITLSGKPIEGQETNVNESTVCPLQGYLFGSAIELSETTTVAKKENRTSLGELFQRTKIAEENSGGKCERDEKRIEKEADKSTVHLMKKMLKKKMSHASSRSSAAAAGGTVDPALAETKLHKILQMFHRKVHPESSTSARKADKPQKNENKKSTNNGGHNNGSQMLLDEDITVLPQRALSKRSIRRYKSQSNPPQFTLSSSDSNGSREYWIKTDADYLVLEL >Manes.12G008500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:896279:901559:1 gene:Manes.12G008500.v8.1 transcript:Manes.12G008500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPLKDFAIGHACNCLIGQPSLDDQQYYAKPNYGTRSFKQAQKDHLRKSFAGMEAARIEEEEEEDYEEESSAAISELFHGFLAIGTLGSDPVNTNPSTPTFAISVENITEKETEVTENELKLINDELEKVLVAEEDNDSSGRNSYVSAGRSSQGSTITLSGKPIEGQETNVNESTVCPLQGYLFGSAIELSETTTVAKKENRTSLGELFQRTKIAEENSGGKCERDEKRIEKEADKSTVHLMKKMLKKKMSHASSRSSAAAAGGTVDPALAETKLHKILQMFHRKVHPESSTSARKADKPQKNENKKSTNNGGHNNGSQMLLDEDITVLPQRALSKRSIRRYKSQSNPPQFTLSSSDSNGSREYWIKTDADYLVLEL >Manes.12G008500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:896279:901560:1 gene:Manes.12G008500.v8.1 transcript:Manes.12G008500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPLKDFAIGHACNCLIGQPSLDDQQYYAKPNYGTRSFKQAQKDHLRKSFAGMEAARIEEEEEEDYEEESSAAISELFHGFLAIGTLGSDPVNTNPSTPTFAISVENITEKETEVTENELKLINDELEKVLVAEEDNDSSGRNSYVSAGRSSQGSTITLSGKPIEGQETNVNESTVCPLQGYLFGSAIELSETTTVAKKENRTSLGELFQRTKIAEENSGGKCERDEKRIEKEADKSTVHLMKKMLKKKMSHASSRSSAAAAGGTVDPALAETKLHKILQMFHRKVHPESSTSARKADKPQKNENKKSTNNGGHNNGSQMLLDEDITVLPQRALSKRSIRRYKSQSNPPQFTLSSSDSNGSREYWIKTDADYLVLEL >Manes.12G008500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:896279:901559:1 gene:Manes.12G008500.v8.1 transcript:Manes.12G008500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPLKDFAIGQPSLDDQQYYAKPNYGTRSFKQAQKDHLRKSFAGMEAARIEEEEEEDYEEESSAAISELFHGFLAIGTLGSDPVNTNPSTPTFAISVENITEKETEVTENELKLINDELEKVLVAEEDNDSSGRNSYVSAGRSSQGSTITLSGKPIEGQETNVNESTVCPLQGYLFGSAIELSETTTVAKKENRTSLGELFQRTKIAEENSGGKCERDEKRIEKEADKSTVHLMKKMLKKKMSHASSRSSAAAAGGTVDPALAETKLHKILQMFHRKVHPESSTSARKADKPQKNENKKSTNNGGHNNGSQMLLDEDITVLPQRALSKRSIRRYKSQSNPPQFTLSSSDSNGSREYWIKTDADYLVLEL >Manes.12G008500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:898424:901559:1 gene:Manes.12G008500.v8.1 transcript:Manes.12G008500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPLKDFAIGQPSLDDQQYYAKPNYGTRSFKQAQKDHLRKSFAGMEAARIEEEEEEDYEEESSAAISELFHGFLAIGTLGSDPVNTNPSTPTFAISVENITEKETEVTENELKLINDELEKVLVAEEDNDSSGRNSYVSAGRSSQGSTITLSGKPIEGQETNVNESTVCPLQGYLFGSAIELSETTTVAKKENRTSLGELFQRTKIAEENSGGKCERDEKRIEKEADKSTVHLMKKMLKKKMSHASSRSSAAAAGGTVDPALAETKLHKILQMFHRKVHPESSTSARKADKPQKNENKKSTNNGGHNNGSQMLLDEDITVLPQRALSKRSIRRYKSQSNPPQFTLSSSDSNGSREYWIKTDADYLVLEL >Manes.17G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23771793:23777248:-1 gene:Manes.17G044000.v8.1 transcript:Manes.17G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVRIIAKNFMDMVASLPTMKLDKLYTNPFICQAILRSLPPLAKKYVLEMLYIDGPVSAKMLEEWVLTDGSSKHRVAVDRLIQLRIFSETVDRKKESSYKLNTTFQINLRKHLTDREVLPREPMPPNIVARLSSSEELEAYALGQWECFLLQLINSTQAEKPTNFSSSMMKIFQRGLLSQRDKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEERDIDSADLISFLLELSFHITGEAYNMNTLTEIERHMIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESLYNAFENGITAEQIISFLQQNAHPRVAERIPTVPENVTDQIRLWESDLNRVEMTPAHFYDEFPSRDVFEAACDFAREWSGLLWEDSKKMRMVVKAEIHMQMREFLRGQK >Manes.10G076766.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:15523648:15524580:-1 gene:Manes.10G076766.v8.1 transcript:Manes.10G076766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSCSNPSLTQEPVQTEYSYNSIDEYILTIEPEYWAQNPNLNVYQLCSTIFPRNHYYIPDNFQKSQQFYETILINTCSIFIHNNYDPQNPNKLRYCKVRILKVWTLTDWGLEPHKMREMIMTIGQIKQNIKYNYYDYQIAWERTFFKQNEQLSVSFFFFFDDNFSYPLPYWFYQWWNKFGFSETNVPNQIITAKDQFFERQQLPENVTIAPSWLVYSHHFHIPWILMVEYQIKDQTIGIFQVPTLVRKFKTKWWNKTNLLGCNHKAIDQFFQDHPQFCKKPSISIITKHETFLARKQQIMSKMAACTSEE >Manes.18G074850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6825229:6827571:-1 gene:Manes.18G074850.v8.1 transcript:Manes.18G074850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKSKRSIWRLKTVTDFFWAIVNFIGVFFATMFSMEKSNDYRKGSGSGKKWDGGPGGPGSGPYGGGPRGPPRGLDNVRGIDHSSLPACGSCCG >Manes.12G141200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34744464:34754427:-1 gene:Manes.12G141200.v8.1 transcript:Manes.12G141200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPLPELTFLSPQPTPKRRLNLSRFSFSSKQYKNTLLLRTRVRAIREESLVIEERERELLKKVNGNGAASASNSNGYGLNGSLIGSSSNGGVTVVESDKEVSNGSLVKYVNGSGVAAAETVVKEEAELSEDGRKKRIEEIGKEDAWFKRANQQQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVFTFIFRAWLNNQKFSYRGGMTEENKALRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGGPLDNIFDQFDHEPIAAASLGQVHRARLKGQEVVVKVQRPGLKDLFDIDLKNLRVIAEYLQKLDPKSDGAKRDWVAIYDECATVLYQEIDYTKEAANAELFASNFKDMDYVKVPTIWWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLQSMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATAELGFKKPLTKEEKIEKRKERLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIARPYALELLRFREAGVEVLLKDLIKRWDRQSRAFYNLFRQADRVEKLAETIQRLEQGDLKLRVRTLESERAFQRVAAVQKTVGSAVAAGSLINLATILYLNSIRVPAIAAYAFCAFFSFQVLFGIVKIKKLDQREKLITGTA >Manes.12G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34742052:34754546:-1 gene:Manes.12G141200.v8.1 transcript:Manes.12G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPLPELTFLSPQPTPKRRLNLSRFSFSSKQYKNTLLLRTRVRAIREESLVIEERERELLKKVNGNGAASASNSNGYGLNGSLIGSSSNGGVTVVESDKEVSNGSLVKYVNGSGVAAAETVVKEEAELSEDGRKKRIEEIGKEDAWFKRANQQQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVFTFIFRAWLNNQKFSYRGGMTEENKALRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGGPLDNIFDQFDHEPIAAASLGQVHRARLKGQEVVVKVQRPGLKDLFDIDLKNLRVIAEYLQKLDPKSDGAKRDWVAIYDECATVLYQEIDYTKEAANAELFASNFKDMDYVKVPTIWWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLQSMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATAELGFKKPLTKEEKIEKRKERLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIARPYALELLRFREAGVEVLLKDLIKRWDRQSRAFYNLFRQADRVEKLAETIQRLEQGDLKLRVRTLESERAFQRVAAVQKTVGSAVAAGSLINLATILYLNSIRVPAIAAYAFCAFFSFQVLFGIVKIKKLDQREKLITGTA >Manes.12G141200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34742962:34754412:-1 gene:Manes.12G141200.v8.1 transcript:Manes.12G141200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPLPELTFLSPQPTPKRRLNLSRFSFSSKQYKNTLLLRTRVRAIREESLVIEERERELLKKVNGNGAASASNSNGYGLNGSLIGSSSNGGVTVVESDKEVSNGSLVKYVNGSGVAAAETVVKEEAELSEDGRKKRIEEIGKEDAWFKRANQQQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVFTFIFRAWLNNQKFSYRGGMTEENKALRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGGPLDNIFDQFDHEPIAAASLGQVHRARLKGQEVVVKVQRPGLKDLFDIDLKNLRVIAEYLQKLDPKSDGAKRDWVAIYDECATVLYQEIDYTKEAANAELFASNFKDMDYVKVPTIWWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLQSMIQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATAELGFKKPLTKEEKIEKRKERLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIARPYALELLRFREAGVEVLLKDLIKRWDRQSRAFYNLFRQADRVEKLAETIQRLEQGDLKLRVRTLESERAFQRVAAVQKTVGSAVAAGSLINLATILYLNSIRVPAIAAYAFCAFFSFQVLFGIVKIKKLDQREKLITGTA >Manes.07G102100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30778494:30786473:1 gene:Manes.07G102100.v8.1 transcript:Manes.07G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERLRRANLPPVQENIDKLEKVINDGNCYGAQQMYKSLSSRYSSAERYSEALDLLQSGACLQLKHGQVTCGSELAVMFVETLVKGKVPYNDDSLDRVRKIYKMFPLVPLPQNLGDLGDDDADVQQLSEAIGAAKTRVECCSSFLKAAIRWSAEFGAHKMGSPQLHVMLAEYLFSESPELDMARITYHFVRGDDPKKFGSTIVNFMGKCYPGEDDLAIARAVLMYLAMGNLRDANCMMDEIKKQVESRKIELPKSDLMRYVNYLLPTLQRDSLPLFNMLRVSYKATLDKEPVFNELLDEIAEKFYGVPRRNPLQGMFGDIFKMM >Manes.09G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29833599:29835073:-1 gene:Manes.09G099900.v8.1 transcript:Manes.09G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPKLPLLLFLSSLLLRAALGEIFCDDLPKDLCAFSIASSGKRCLLETYLKRSGKVAYLCRTSEVIVERLADHIETDECVKACGVDRNSVGISSDSLLEPQFSSKLCSTSCYLRCPNIVDLYFNLAAGEGAFLPDLCAAVRTNPRRSMMQLMSSGAAYGPVGSDMSRVSFTGAFPPAPAPIY >Manes.18G030700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2586009:2587952:1 gene:Manes.18G030700.v8.1 transcript:Manes.18G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFFLGFLILSFFISSSTTFAQQNYSANSALDCNSSDETGPSPAFLYTCNGQNNSCEAFLIFKSQTSYDSVPTISSLTSANQDELARINNVTRIAKFPPNKEVIVPVHCSCFGQYYQANTTFHATRGYSYYIVASQTYEGLSTCASLKRANEYGEFDLGSGVEMHVPLRCACPTSTQLRSAVNYLLTYPINFGDSLAILAERFNTSERSIIDANGLEENPVIYPDTTILIPLQAKPTSSITIIHEGPPNVSRPSAPSPGDRTSKRKLYEWIGIAAACSLLLLSVTLAILLLLCRERRNKALESNHERNHVLLEDLRVEIASVEQVLKVFGLKEVKKATENFSSKHRINGSVYWGEFDGEMLAIKKMRRDVSKEVNILKKINHFNLIKLRGVCENLGCFYLVFEYMKNGSLREWLSGERSEYHGSWSTRIQIAMDVANGLHYLHSFTEPACVHKDIKSSNILLDSKLRAKIANFGHARAAARGTTTNAAVTKHVEGTRGYMAPEYVQAGQVTPKIDVYAFGVVLLELITGKDAVFIQDGRETLLSTATVSILQKENAEAELALFIHPSFLGSHDIKLALRLARVSLACLVNAPEKRPGMGEIVSTLLKIQECLAKTKPLEVDSVDVAAPKWHSSIGSTNWRAEAISK >Manes.03G111400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23554467:23556946:1 gene:Manes.03G111400.v8.1 transcript:Manes.03G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEPSFLEHELDFESEDVKLKKKQKSVSTPTGISDDSGDCFVCNICLDSAQDPVVTLCGHLYCWPCIYKWLQVKSSSPDAIEQQPSCPVCKANILPNSLVPIYGRGTSQIDQESKKASLDVVIPPRPSPALNTLVTNTSHQAQQLHPNFFQSESQPQSFYHQQYFPNPYLASSNLGDAAMTQIFNPMIGMFGEMMFARIFGTPDTSLFAYSYPNSNNLMGSYSHRIRRQEMHLEKSLNRVTIFLLCCITLCLLLF >Manes.07G028800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3150403:3160634:-1 gene:Manes.07G028800.v8.1 transcript:Manes.07G028800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSESNSLQLFVKLPEGETIEIQSYSSHLVVSIQEKILMKSMIPVTEQKLYYQGIQLEREKKLEAYSIKDKACLELIIGCDDTSEPLQEIHQLSSNICRMCRDKSVSEDPNSDDALTIAALLAPERRVKSEIFMIWYSVPETLLMLHRSEIEDKKAHAKALILLCMVLILNRPQDVIDGCIHLALQFCNLLRDEVSDNDPLFKSCRNTLKQLLEKKDYKIGSLGKLSALNDISRIFLEMARTLYNGLDQIQASNSMLHVESLKVNFREFQAFSRVLRCAVCSEDCAHEDDKSLVDSLSEDIKHVFNFLLPVMEEHLLQLPRMAQVFETSGCLRPILFMYLDILEELNSISQLSVVSEGEQFRSVLKSQQISLRLILEKVTREDDYLWLLKHIDVIDAESRMHLVTMMMIPEEKLLDSEFYKPLIHWSRFLDEELFEALKNKKLTSPQQLQDWLYKLCQAIFKPQNLLFLACPNDPTKFYPNPELKLEPLHFDCFEFSGKVIALALMHEVQVGVAFHPVFLLQLAERHISIEDLRDAYPSFYNNKAKHRFHDDDEIRDDFLNSVSEQISFFRKGFDNVFGKSIVELLSYEGIEFEDLNLALKGKLNLGERTHVNQGNNVSDPLMSQFLKINRQRVNINKLRWRMDRRKTLGGGITGNVYRGYADDGFFFAVKEVQVENKEEIDESKQETDRIHQDVKEIEHDNKEEVDKIKLEHDHVQQEVNLLCQLSHPNIVKYYGTKEYKSKIHIFLQLVSTGSLRQVYKKFELRDSQVSHYTKQILEGLKYLHERKVPHKDIKCANILVDEKGCVKITDFGLARVTDLIPFLNSRHGKIHWIAPEVIKKNKEYGVESDIWSLGCTVLEMLIRDFPYSHVNENENLQSEVENGMLLDHLPNYPLSENARDFIMKCLQVDPKKRPTASQLLNHLFVKDSGF >Manes.05G174800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29117859:29119027:-1 gene:Manes.05G174800.v8.1 transcript:Manes.05G174800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQLKSATEDQMQMMMMDKLPEFYGACHEVVDLALPPEFAGATTATTTDCAGSDAILNFINNPRISSLPSFTAIPFQEDSPPPAFPSNPSTARCRAAGEFSGPKSYSTPSQKNSMAAMREMIFRMAVMQPIHIDPESVKPPKRRNVKVSKDPQTVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIHYVKFLKNQVQSLERVQANSSSTGIGFPVAMSSGSFLSKGKTYLQ >Manes.14G052501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4459625:4461447:-1 gene:Manes.14G052501.v8.1 transcript:Manes.14G052501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVSTPPLRATDLFSDPLDAHPLWFKSNLSQPSAPSPTSRSSAPSFHSTLSARSSGPTLPPSTTSSTTIMPISLISVPSSSMWTLPWCACEPRYWSRGSVDGSLAALRNGLQQRSEAAAAREVLQLLLDTFYVVSKSSMSNGTSLQPIESGTNIRETQSMLLERIASEMNRLKLYMAHKQNLPSMRTWRREFKVQALYWMQVWDIVL >Manes.14G052501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4459625:4461383:-1 gene:Manes.14G052501.v8.1 transcript:Manes.14G052501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVSTPPLRATDLFSDPLDAHPLWFKSNLSQPSAPSPTSRSSAPSFHSTLSARSSGPTLPPSTTSSTTIMPISLISVPSSSMWTLPWCACEPRYWSRGSVDGSLAALRNGLQQRSEAAAAREVLQLLLDTFYVVSKSSMSNGTSLQPIESGTNIRETQSMLLERIASEMNRLKLYMAHKQYRTFLQ >Manes.01G175400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35649948:35653178:1 gene:Manes.01G175400.v8.1 transcript:Manes.01G175400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMIIDKKRRKIASTDFLLQWSQWQLLDSILPTGGFAHSFGLEAAMQARIILGHEDFKNQVIHILENAGSLLLPFVYSATLSPDLDTWQRLDKILDATLTNEVSRKASIAQGSALMRVAAAVFTELPYLKAMRDACIGSGAVSFHHAPIFGMICGLLGMDSETSQKAYIFITMRDAISAATRLNLVGPLGAAVLQHQLCVVAESVLEKWMDHTVEEACQTAPLLDTLQGCHSYLFSRLFCS >Manes.01G175400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35649780:35653312:1 gene:Manes.01G175400.v8.1 transcript:Manes.01G175400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMIIDKKRRKIASTDFLLQWSQWQLLDSILPTGGFAHSFGLEAAMQARIILGHEDFKNQVIHILENAGSLLLPFVYSATLSPDLDTWQRLDKILDATLTNEVSRKASIAQGSALMRVAAAVFTELPYLKAMRDACIGSGAVSFHHAPIFGMICGLLGMDSETSQKAYIFITMRDAISAATRLNLVGPLGAAVLQHQLCVVAESVLEKWMDHTVEEACQTAPLLDTLQGCHSYLFSRLFCS >Manes.01G175400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35649952:35653063:1 gene:Manes.01G175400.v8.1 transcript:Manes.01G175400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMIIDKKRRKIASTDFLLQWSQWQLLDSILPTGGFAHSFGLEAAMQARIILGHEDFKNQVIHILENAGSLLLPFVYSATLSPDLDTWQRLDKILDATLTNEVSRKASIAQGSALMRVAAAVFTELPYLKAMRDACIGSGAVSFHHAPIFGMICGLLGMDSETSQKAYIFITMRDAISAATRLNLVGPLGAAVLQHQLCVVAESVLEKWMDHTVEEACQTAPLLDTLQGCHSYLFSRLFCS >Manes.01G175400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35649952:35653063:1 gene:Manes.01G175400.v8.1 transcript:Manes.01G175400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMIIDKKRRKIASTDFLLQWSQWQLLDSILPTGGFAHSFGLEAAMQARIILGHEDFKNQVIHILENAGSLLLPFVYSATLSPDLDTWQRLDKILDATLTNEVSRKASIAQGSALMRVAAAVFTELPYLKAMRDACIGSGAVSFHHAPIFGMICGLLGMDSETSQKAYIFITMRDAISAATRLNLVGPLGAAVLQHQLCVVAESVLEKWMDHTVEEACQTAPLLDTLQGCHSYLFSRLFCS >Manes.18G103500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9926088:9931098:-1 gene:Manes.18G103500.v8.1 transcript:Manes.18G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLLLLQKSPPSPLVCTSVATSFLTSSCRTKPINKTPFHSLTIKPYTSLSMPTATVGGGGSSLAACVARKATVRPTLPSRFSSNCYSACRCATETTATKTPFRAWVVARPAWYCTVARSGAEGPVTAAAAAVEGLKSCDGDSEKGEKEEKKVRMNRRQKGSSEVVGNADLLTIPGVGPKNLRKLVEKGFCGMAELKKLYKDKFIGKGNETMVEYLQSSVGIIHKSHAESITAFIKESVDEELKDINTDVKAALKKRITFCVEGNISVGKTTFLQRIVSDTIELRDLVEVVPEPIDKWQDIGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGVKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSVLPGLIPDGFIYLRASPDTCHKRLKLRRRAEEGGVTLDYLRDLHEKHENWLFPFESGNHGVLSVSKPLLHLDNSLHPEIRDRVFYLEGDHMHSSIQKVPALVLDCEANIDFSRDIEAKEHYARQVSEFFKYVKHRKEVLPSKPGEGDCISNRQVLLPHEGGLFLPSEEHFPASALKSLDFRRTMSFMSSQ >Manes.12G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34324816:34327285:1 gene:Manes.12G136300.v8.1 transcript:Manes.12G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDISPDKRTGCGLINVVLGRRSFWPRRSASSGSLSTLSGNTYVKAPTSPVRRRSGSDEADFYDDSPAISDGHPKPITRASDHPKIPPPVQQHQHHHPLQNQQNHRRKPSEEGTKISADQLHGNQNFGYPPQGRKLPRGAIGISGELESMIADHQKTKGNSNLVRASSSNVMLFGNLGNLRQSGGTAAAGNTNSYNVLDHLPKTAREENSMPNEQFMGNVVKQQHEAQKPSAEQPGGPLCRAISTRMDPEQLKIMGNEDYKNGNFAGALALYDAAISIDPNKASYRSNKSAALTALGRLLEAVFECREAIRIDPHYHRAHHRLANLYLRLGDGEKTTYHYKLSGPEADHLDIAKAKALQIHLNKCTEARRLRDWNTLIKETKAAISSGADSAPAIFALQAEALIKLHRHQEADEALRNGPHFQDDECTKYFGPIGNANLLMVRAQIDIAVGRFDDALAAAQRATRLDSNNKEANMVMRKARAVAAARSTGNQLFKAAKFYEASNAYGEGLEHDPYNSVLLCNRAACRSKLGQYEKAVEDCNASLNLRPNYYKARLRRADCYVKLEKWEASMQDYEILHKEAPEDEEVSKGLLEAKAQVKKYKGEEVKD >Manes.11G107400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24909791:24911393:-1 gene:Manes.11G107400.v8.1 transcript:Manes.11G107400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDKQPKPTAKTTLYMDCFGFSRKLNVSQKKSVKVLPTDHKHNWFSRSTTFLLKHSGSKNLPADYSTISEKIQIQKTKIKKSKPKRNSSDEIPPKRQDSEAKNKIPAASKVDTTSDQVYEERAQETKHDSVQNINLEKGKLLDHVVDSPKQLSFGRKIETTRITSSQPGSHEPKSYGTAVLRSTSFPAPNRPEKSRVNAKRLHKENGPTDKKLDPVIGMLIVMVTLMIMLLWGRLCAILCTCAWLLCFGPWLTTEVKATLNGTVKNGLVSGEPDFNSEEYKKRVVLEGFLERNHRPTM >Manes.11G131500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29603849:29608763:1 gene:Manes.11G131500.v8.1 transcript:Manes.11G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGAVVQSLIDTVNEIASISDYRVTVKKQYCNLARRLKLLTPMFEEIRESKEPIPEETAKALVLLKQALDSAKELLKFGSEGSKIYLVLEREQIMKKYHDVTTQLEQALSGISYENLDISDEVKEQVELVHAQFRRAKGRVDPPDVELYEDLLSLYNKSKDAAIDPAVLRRSSEKLQLKGIADLTQESIALHEMVAATGGDPEENIEKMSMLLKKIKDFVQTENPNMDTPASERSHPPNGSGQASANGNHKAPVIPDDFRCPISLELMKDPVIVSTGQTYERACIEKWLEAGHTTCPKTQQALNGTALTPNFVLRSLIAQWCEANGIEPPKRPSSSRCNKTSSAYSPAERTKIEILLHKLTSGSPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLSTPDSRIQEHAVTALLNLSICEDNKGSIISAGAVPGIVHVLKKGSMEARENAAATLFSLSVVDENKVTIGSSGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPGGGMVDEALAILAILASHPEGKAAIGSAEAVSVLVEVIGNGSPRNRENAAAVLVHLCAGDQKYLAEAQELGVMGPLVDLAQNGTDRGKRKAQQLIERMSRFVGQQKQAQAQTETQSQVQTQQPQPSSISNPADK >Manes.01G241500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40284077:40286306:1 gene:Manes.01G241500.v8.1 transcript:Manes.01G241500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEQQFSHQHQGTKKTRFSFKLFHSPVTYVILFLLSYVLGYLSAPSPNFSPPLSPEPTITLKLANSIPTQLDNFRVTSRCGKQVSSSFVRQTIIDRIYNATSPYDNFPPAHVSGLIRGKRLKGWGSYGAVFENLIRKVKPKVIIEVGTFLGASALHMAELTRKLGLNSQILCIDDFRGWPGFRDRFKYIDIVNGDVMLLYQFMQNAIYMNASKTVLPVPFSSGSALEKLCEWGVYGDLIEIDAGHDFNSAWADINRAYRILRPGGVIFGHDYFTAADNRGVRRAVNLFAQMNGLKIQTDGQHWVINSAKARSS >Manes.15G081500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6260259:6268039:-1 gene:Manes.15G081500.v8.1 transcript:Manes.15G081500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFFLRPNPVPLTIVSPTHLFLFPKPPLSKLTTISLLSTATHAIIAQAEALPYGPSLLKGKIPFQYSPQQQQHQVQYCQSQLGAKLLNGNKQSQEDDEQEDHGDGDSVIDKEKFTRVFEIAALRVPAKDCFALESRLRGHLLNWPRIRNIARVPGDEVEEELAPLLGATQNGNTDEEGNFDALNRRIYGRAEGDGEELSPVLYRERLAKEFNARGFVRFRNLAKVSRPPRKKRKQGEGEEKKRERNERKGRDEFSMVEVVEEDVGEDWKGLLGDEFKGRSKWRGSTRLLLLDESYADKGVEDLPQAIKVALQESMREYSTSTFELIRCKLTLFYDYWQMNEVLEALLPQGMIIPSAFETIGHIARLNLRDEHLPYKNLIAKVVLDKNKPKIQTVVNKIDAIHNDYRTMQLEVLAGNRSLVTMVVENGLRFHVDLATVYWNSRLATERQRLLNGFTRSDVVCDVFSGVGPMALSAAKIVKRVYANDLNPHAVDYLERNSVLNKLEKKIEVFNMDGRRFISAVFSSEKAQTITQVVMNLPNDASEYLENKDCIDRGGS >Manes.15G081500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6260259:6268039:-1 gene:Manes.15G081500.v8.1 transcript:Manes.15G081500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFFLRPNPVPLTIVSPTHLFLFPKPPLSKLTTISLLSTATHAIIAQAEALPYGPSLLKGKIPFQYSPQQQQHQVQYCQSQLGAKLLNGNKQSQEDDEQEDHGDGDSVIDKEKFTRVFEIAALRVPAKDCFALESRLRGHLLNWPRIRNIARVPGDEVEEELAPLLGATQNGNTDEEGNFDALNRRIYGRAEGDGEELSPVLYRERLAKEFNARGFVRFRNLAKVSRPPRKKRKQGEGEEKKRERNERKGRDEFSMVEVVEEDVGEDWKGLLGDEFKGRSKWRGSTRLLLLDESYADKGVEDLPQAIKVALQESMREYSTSTFELIRCKLTLFYDYWQMNEVLEALLPQGMIIPSAFETIGHIARLNLRDEHLPYKNLIAKVVLDKNKPKIQTVVNKIDAIHNDYRTMQLEVLAGNRSLVTMVVENGLRFHVDLATVYWNSRLATERQRLLNGFTRSDVVCDVFSGVGPMALSAAKIVKRVYANDLNPHAVDYLERNSVLNKLEKKIEVFNMDGRRFISAVFSSEKAQTITQVVMNLPNDASEYLELSLNVVASINRCI >Manes.15G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6260259:6268039:-1 gene:Manes.15G081500.v8.1 transcript:Manes.15G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFFLRPNPVPLTIVSPTHLFLFPKPPLSKLTTISLLSTATHAIIAQAEALPYGPSLLKGKIPFQYSPQQQQHQVQYCQSQLGAKLLNGNKQSQEDDEQEDHGDGDSVIDKEKFTRVFEIAALRVPAKDCFALESRLRGHLLNWPRIRNIARVPGDEVEEELAPLLGATQNGNTDEEGNFDALNRRIYGRAEGDGEELSPVLYRERLAKEFNARGFVRFRNLAKVSRPPRKKRKQGEGEEKKRERNERKGRDEFSMVEVVEEDVGEDWKGLLGDEFKGRSKWRGSTRLLLLDESYADKGVEDLPQAIKVALQESMREYSTSTFELIRCKLTLFYDYWQMNEVLEALLPQGMIIPSAFETIGHIARLNLRDEHLPYKNLIAKVVLDKNKPKIQTVVNKIDAIHNDYRTMQLEVLAGNRSLVTMVVENGLRFHVDLATVYWNSRLATERQRLLNGFTRSDVVCDVFSGVGPMALSAAKIVKRVYANDLNPHAVDYLERNSVLNKLEKKIEVFNMDGRRFISAVFSSEKAQTITQVVMNLPNDASEYLDAFRGILKDNHKKKELTLPMIHVYGFSKARDPEFDFHERIRIALTEVAVDVEMRRVRLVAPGKWMLCASFRLPESVAYANTMLSK >Manes.03G179900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30244403:30247075:1 gene:Manes.03G179900.v8.1 transcript:Manes.03G179900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPFRIYVWSLLCFVTSAQMTSNTIALGSSITAGTNSSWLSPSGDFAFGFFPLLNGLFLVGIWFDKIPQRTRAWSANRDDPAKIGSTISLRPNGQLVLKHSNGTEYLIYNGTSTSSASMQDNGNFVLLDSSSRIIWQSFDFPTDTILLGQVLVMGQKLYSNANGTVDYSTGRYKLEVQMDGNIVMSAYKFADPGYWFTLTAGNQNVSLIFNQSTALLYVLNGTSIISRMTDTVPSPIDDYYHRVTINDFGNLQQFVYQKKNGKEWRVVWEPDFIAAQPCTVYNICGVYGFCTSPDNKTVNCDCLPGYSPWDPNVPSKGCYPNVVMDFCAPNSSASDFTIEVIDNADFPNGQFADMARIEPADFDQCQQEITDDCFAMAAVLVESVCYKKRMPLLNARRSSPSTDNIVAFLKVPKVNDTNENQDNDRDKSPSRFALLAGFLLCSIMALLFAAIAIYHHPLAQPYLGHKQVPSPKPVEINLKAFSYQQLREATNGFRNKLGKGAFGTVYSGVLNLEDKRVDVAVKQLEKIIEQGEKEFLTEVQVIGLTHHRNLVRLLGFCNEQNHRLLVYELMKNGTLANFLFGEETKPSWDKRAEIALGIARGLSYLHEECETQIIHCDIKPQNVLLDNNYTAKISDFGLAKLLMKDQTRTSTKVRGTMGYMAPEWLKNAPVTTKVDVYSFGVMLLETIFCRRHLELHQLDEEREGDDMILTDWVLCSARTGNLNAIVSHDVLQDFSRFERMVMAGLWCICPNPSLRPSMKKVMQMLEGTIEVGTPPLIDAQVL >Manes.04G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7883609:7885749:-1 gene:Manes.04G045800.v8.1 transcript:Manes.04G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSVAIPFLLLLFFILRRKFQGKRKSKHLPPGPPGLPIIGNLHQLGALPHRSLWELSQKYGPVMSLRLGCVPTVTVSTAETAREVLKTHDLYTCSRPVSAGTKKLSYNYIDVGFSPYGEYWRKMRKICVLELFSARRVQSFHFIREEEVASLVDFASKSASSSTPVDLSEKFMSLTANVICRAAFGKSFKERGFRHDRFQEVVHECIDRLGSFSAADFFPYVGRIIDRLSGLHAKIERSFEEFDAFYEKAIDDHIKKGKEEPGHEDFIDVLLLELGRSQAEADPLPFSKDHIKAILMDIFIGGVDTGTITLIWTMTELARHPRVMRKVQEEIRTCIGDKGKVSESDIEKLEYLRMVLKETYRLHPTGLLIREAMSKINISGYEIDPKTLIQINVWAIGRDPEIWKNPDEFFPERFMHNQIDFTGQNFELLPFGAGRRGCPGMSMGLATVGLALANLLYHFDWKLPYNMKEEDISIEEAPGIITSKKDPLLLVPVRYPHA >Manes.04G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31716756:31717999:-1 gene:Manes.04G113400.v8.1 transcript:Manes.04G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPILNSWLHHFKYYSSPEGEFQILQRTKSISFHSKPSIDDPTKKVSTHSQFLQQEANLPKPKKGSPIRHPHSSRKQCKKESNEEEKEAEPSSRIQTLFSSSGLGEKVVNANGDDGLQTLVVDGGGGSGGRTSGGGDGNDGSGMYGNDDNTDAYYQKMISADPGNALLLVNYAKFLKEVRRDMDRAEELCGRAILANPNDGNVLSMYGDLVWQKERDAQRAESYYDQAVKTSPENCYILASYARFLWDAEEDDEDEDGQQETRSSHGCFDDSAHHPPLAAAS >Manes.01G090500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29188663:29193132:-1 gene:Manes.01G090500.v8.1 transcript:Manes.01G090500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHEDFLHNSRFRLLSMGYVYGFLHQKLILFAHSFWFSVSALFGSFNRAIFRMKGNDSKQTNNSNRLELNSEQQAEAKGPEFESNCMEQAAKEPEVDLNEFRGTKENADSEEEETPRFLFKFRFQSYRENYEPVVSDFISSSSTNKYEFLPGKDSSLYLEKPDVFSVTVKELYADSIDGSIGDGENFEEQKSEAESIHEKRKENSAESAHKEEVIEKLQAEKRVEGSISAKGLAVDAEKEHNVWCDQHVSGDDGQFLSEKDFIDPDSHSDSDSITSSHEIISRFVASTSEGFLSDKDFEDAFELDIFGDIEGENAELAEEDLENINLQNLSAGYEADDFEDEDGDILEGLKNLQESNMQNSDSLEPEKLSDKKDVEELAELGCNDKESSDNCEDSNGLETLWEHQELIEQLKMELKKVKATGLPTILEEDESPKIMEDLKPWKIDEKFQRQDRMGELHKFYKSYRERMRKFDILNYQKMYALGFLQSKDPLKSISSHKASRPALASLVSQKFLLGKQKKSNSDPIMSFIRELHSDLEMVYVSQMCLSWEILHWQYEKALEIWDSDPFGTRRYNEVAGEFQQFQVLMQRFIENEPFEGPRVQNYVKNRCVLRNLLQVPVIREDSIKDVKTRRKAKDDEAITSDTLVEIMEESIRIFWRFVRADKDANSVILKSRRGTQIEPLDPAELELLTEVRTSLQKKEKKLKDILRSGNCILRKFRKHKEDGCSDQVLYFFSQVDMKLVSRVLNMSKITTDQLIWCRNKLNKINFVSRKIHVEPSFLLFPC >Manes.01G090500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29188663:29193132:-1 gene:Manes.01G090500.v8.1 transcript:Manes.01G090500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHEDFLHNSRFRLLSMGYVYGFLHQKLILFAHSFWFSVSALFGSFNRAIFRMKGNDSKQTNNSNRLELNSEQQAEAKGPEFESNCMEQAAKEPEVDLNEFRGTKENADSEEEETPRFLFKFRFQSYRENYEPVVSDFISSSSTNKYEFLPGKDSSLYLEKPDVFSVTVKELYADSIDGSIGDGENFEEQKSEAESIHEKRKENSAESAHKEEVIEKLQAEKRVEGSISAKGLAVDAEKEHNVWCDQHVSGDDGQFLSEKDFIDPDSHSDSDSITSSHEIISRFVASTSEGFLSDKDFEDAFELDIFGDIEGENAELAEEDLENINLQNLSAGYEADDFEDEDGDILEGLKNLQESNMQNSDSLEPEKLSDKKDVEELAELGCNDKESSDNCEDSNGLETLWEHQELIEQLKMELKKVKATGLPTILEEDESPKIMEDLKPWKIDEKFQRQDRMGELHKFYKSYRERMRKFDILNYQKMYALEDSIKDVKTRRKAKDDEAITSDTLVEIMEESIRIFWRFVRADKDANSVILKSRRGTQIEPLDPAELELLTEVRTSLQKKEKKLKDILRSGNCILRKFRKHKEDGCSDQVLYFFSQVDMKLVSRVLNMSKITTDQLIWCRNKLNKINFVSRKIHVEPSFLLFPC >Manes.01G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29188441:29193187:-1 gene:Manes.01G090500.v8.1 transcript:Manes.01G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHEDFLHNSRFRLLSMGYVYGFLHQKLILFAHSFWFSVSALFGSFNRAIFRMKGNDSKQTNNSNRLELNSEQQAEAKGPEFESNCMEQAAKEPEVDLNEFRGTKENADSEEEETPRFLFKFRFQSYRENYEPVVSDFISSSSTNKYEFLPGKDSSLYLEKPDVFSVTVKELYADSIDGSIGDGENFEEQKSEAESIHEKRKENSAESAHKEEVIEKLQAEKRVEGSISAKGLAVDAEKEHNVWCDQHVSGDDGQFLSEKDFIDPDSHSDSDSITSSHEIISRFVASTSEGFLSDKDFEDAFELDIFGDIEGENAELAEEDLENINLQNLSAGYEADDFEDEDGDILEGLKNLQESNMQNSDSLEPEKLSDKKDVEELAELGCNDKESSDNCEDSNGLETLWEHQELIEQLKMELKKVKATGLPTILEEDESPKIMEDLKPWKIDEKFQRQDRMGELHKFYKSYRERMRKFDILNYQKMYALGFLQSKDPLKSISSHKASRPALASLVSQKFLLGKQKKSNSDPIMSFIRELHSDLEMVYVSQMCLSWEILHWQYEKALEIWDSDPFGTRRYNEVAGEFQQFQVLMQRFIENEPFEGPRVQNYVKNRCVLRNLLQVPVIREDSIKDVKTRRKAKDDEAITSDTLVEIMEESIRIFWRFVRADKDANSVILKSRRGTQIEPLDPAELELLTEVRTSLQKKEKKLKDILRSGNCILRKFRKHKEDGCSDQVLYFFSQVDMKLVSRVLNMSKITTDQLIWCRNKLNKINFVSRKIHVEPSFLLFPC >Manes.01G064900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26422677:26423790:-1 gene:Manes.01G064900.v8.1 transcript:Manes.01G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIPIPRLAFLVMLIILGLSQLSSCRHLHSDKGDQATEQAKSVFSPSDSWHFPARAPEPSGEAEISSVYGVSYRKVPQGPNPLHN >Manes.03G117500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24280074:24281917:1 gene:Manes.03G117500.v8.1 transcript:Manes.03G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSACCDNNGLKKGPWTAEEDQKLMDYIQKHGHGRWRTLPKNAGLRRCGKSCRLRWTNYLRPDIKRGKFSFEEEEAIIQLHSILGNKWSAIASRLPGRTDNEIKNYWNTHIKKRLLRMGIDPVTHKPRLDLLQLYSVLNSSIYNSSHQINISNLLGIGPTLNPNLLNLATSLLSSQSNSQDTSPENVQENQLDNPQIHQNQFQSLQPNQYQACIDSSAQFLNETQLLESNLEQLNSTSQANSIFQNSLQWEETRNFPDMGESLTPYCGYYEMGNQDMIKSSFENLSNMGFSSLISTASSSTTPLQSSSTTYVNGGIEDERDSFCSNILMYDIPNNLDANNGLL >Manes.05G072200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5951424:5953220:1 gene:Manes.05G072200.v8.1 transcript:Manes.05G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSVFADEPDSPKYESKSSFNQPQADSDPNSPPKQQNPNPNDAGSSSGDDGWSFGGLIKNLTFKSESVIEIYRRDLQEFGSGLKKEIEVAHGSLETVGHAIDELGSSVLKNTAQIISQGKQAILAADLESDSSDSNNERSITGQQSLNSKPYSRFDAQVRAIQGDASTYCEEPEDLDDYNKWKLGFSLAEKREEFESLLEENAAVESIYKRVVPNSVDEETFWCRYYFKLYKLKQAEDVRANLVKRAISSEEEDLSWDVDDDEEEEKEDETNGTLKVNLKENHDLGNKDSLNITKDEEMRHKESEQIVKCDEISKQGSGDTEQTVKVKDTEVSVVESEKVQVTIGGDNVASEKVDLGKSEVETVSRSDEKGGSEGKGDNGDSSKDSDVSIISSHPSVPEEEVIGWDEIEDLSCIDEKKECHSASPNKIDLRKRLSAAEEEEDLSWDIEDDDDEPVKA >Manes.01G265200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41992000:41994479:1 gene:Manes.01G265200.v8.1 transcript:Manes.01G265200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGVANLLPLRPLNTEFPKPNYAQFLGSFLVKKSVNLYSCESHIRPVLAALSVEEMGKIEVKEGKPRFKWVEIGPNITEAQKQAISELPPKMTNRCKALMRQIICYSYQQQNASLSDLLGAWVRIMKPRRTEWLAILKQLNKMGHPLYFQVAEVALLEESFEANIRDYAKLIHSYGKKNQVQDAENTLLAMRRRGFMIDQVTLTTMIHMYSKAGNLKQAEETFEELKLLGNPLDRRAYDSMIMAYVRAGMPRKGEALLGEMDSQEICAGSEVHKALLRAYSMVGDTDGAQRVFDAIQFAGIPPDVKICGLLINAYQMAGHSQKAHVAFENMRRAGLEPNDKCVALVLAAYEKENNLKEALEFLIGLERERIMVGKEASEILVGWFRRLGVVKDVELVLREYVSEAVRRESEIIVH >Manes.02G082266.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6450460:6450852:-1 gene:Manes.02G082266.v8.1 transcript:Manes.02G082266.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYPYYGYGGATIGSGSYYGHDFNTMGRVPTLYQNLINSYNTYYGMDAYRQPTVSFPAAPFPPSPSLGYTRGSFSPYSQERLRNLYQEGFSANPGRANKRPRTSGI >Manes.04G048800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7939755:7941764:1 gene:Manes.04G048800.v8.1 transcript:Manes.04G048800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVNTLPYTSPPHYYCFIHNSFSVKRRRSRHSRRNRNTKTFEINPGKRSLANDHVNDSQSLKLVLDVNQLSSLTSSRFHRFVSLTEDAYYDLKTLITVDENNRIVFSCRKSTLQFTGAVLLCGFVLVSVIRVLIKLGLGFRSRLRIPKQNVVVRRDRSLGGKEVVVATAGNDRESVKRKSSRVLDNPLSPPTWVLGSELGRDDWRSYRVRSQSKLPKWWPVSAAAEQDLVVDKQEYQREANRLMRGKGCTFLNFQ >Manes.04G048800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7939631:7947808:1 gene:Manes.04G048800.v8.1 transcript:Manes.04G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVNTLPYTSPPHYYCFIHNSFSVKRRRSRHSRRNRNTKTFEINPGKRSLANDHVNDSQSLKLVLDVNQLSSLTSSRFHRFVSLTEDAYYDLKTLITVDENNRIVFSCRKSTLQFTGAVLLCGFVLVSVIRVLIKLGLGFRSRLRIPKQNVVVRRDRSLGGKEVVVATAGNDRESVKRKSSRVLDNPLSPPTWVLGSELGRDDWRSYRVRSQSKLPKWWPVSAAAEQDLVVDKQEYQREANRLMRAITDYRTSGKDVTEHDIIQLRRICRTSGVHVSFDTTNTRDAFFRTSVDYVLNVCSRAPSYYNVIQIDGEDAQQFIAGLAENIGLENIRAARMVSAAVAARTRSCFLQAWALEVQGKHSEAVLELSKICVILRTFPPEESSPEMEMVARGLGKHLKAEQRELLMDMYVSVCGEESHRSAADALGLML >Manes.15G104400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8282422:8283059:-1 gene:Manes.15G104400.v8.1 transcript:Manes.15G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAPTAGASTFTFSKERLLVIATILFCGFLGYLVYDAIMATASELLQRFLVISPLVLIIAVHWLSAGTPHFSIPMPGSEPGAIHRAGGSPWGVAFVLLLLFLLISYQPSLHSLIF >Manes.13G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30054025:30056889:-1 gene:Manes.13G102500.v8.1 transcript:Manes.13G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSPEEEHPVKAFGWAARDESGHLSPFLFSRRDTGDEDVRFKVLYCGICHTDLHYLKNDWGFSVYPLVPGHEIVGEVTEVGSKVKKVNVGDKVGVGCIVGACHSCDNCNRDLENYCPKMILTYSSVYHDGTITYGGYSDHMVTDQRYIVKFPENMQLDAGAPLLCAGITVYSPLKYYGLAEPGKHVGVVGLGGLGHVAVKFAKALGAKVTVISTTPDKQQDALTQLGADSFLVSRDQAQMQAAMGTFDGILDTVAAVHPLLPLLGLLKTDGKLVLVGAPAKPLELPVFPLITGRKIVAGSAMGGMKETQEMIDFAAQHNITADIEVIPVDYVNQAMERLAKGDVKYRFVVDIGNTLASAATASEP >Manes.09G113100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31529743:31533878:-1 gene:Manes.09G113100.v8.1 transcript:Manes.09G113100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFCVGLYMITLWPNSLILPAIYGATESASIALFGPIVGQCAEKLTYVKVLRIWLVTQNLSFVIAGLSVIALLVFSTLKSTNFTAFIFLVILTNISGAIGVLSTLAGTILIEREWVVIISEGHPPHVLTKMNSIIRRIDLTCKLLAPVVAGSIISFLSTKASAMALAFWNMSAVWMEYWFFTSVYKGIPALGEGSRRRNSRLSPSNMEEGVPTSTKPENLHSQNGENSALMERKWRRKLTVWVSKAPFVGAWKVYLQQDVLLPGVALALLYFTVLSFGILMTAALKWEGVPAFVIGIGRGISAIIGIGATVVYPILQSRILTLRTGLWSIWSQWSCLLLCIGSIWVQNRQLSACMLMAGVATSRLGLWMFDLSVIQQMQDQVSESDRCVVGAVQNSLQSILDLLGYVMGIIISHPRDFWKLTLISFSMVTLAALLYSIYLFRVRKHLFHFDKLKCW >Manes.09G113100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31529742:31533878:-1 gene:Manes.09G113100.v8.1 transcript:Manes.09G113100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQVLRIWLVTQNLSFVIAGLSVIALLVFSTLKSTNFTAFIFLVILTNISGAIGVLSTLAGTILIEREWVVIISEGHPPHVLTKMNSIIRRIDLTCKLLAPVVAGSIISFLSTKASAMALAFWNMSAVWMEYWFFTSVYKGIPALGEGSRRRNSRLSPSNMEEGVPTSTKPENLHSQNGENSALMERKWRRKLTVWVSKAPFVGAWKVYLQQDVLLPGVALALLYFTVLSFGILMTAALKWEGVPAFVIGIGRGISAIIGIGATVVYPILQSRILTLRTGLWSIWSQWSCLLLCIGSIWVQNRQLSACMLMAGVATSRLGLWMFDLSVIQQMQDQVSESDRCVVGAVQNSLQSILDLLGYVMGIIISHPRDFWKLTLISFSMVTLAALLYSIYLFRVRKHLFHFDKLKCW >Manes.09G113100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31529743:31533603:-1 gene:Manes.09G113100.v8.1 transcript:Manes.09G113100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPPLHHQHHHRLEQEQQEPHVPLLPLSLIRRLYIGQFLARWDARMWEFCVGLYMITLWPNSLILPAIYGATESASIALFGPIVGQCAEKLTYVKVLRIWLVTQNLSFVIAGLSVIALLVFSTLKSTNFTAFIFLVILTNISGAIGVLSTLAGTILIEREWVVIISEGHPPHVLTKMNSIIRRIDLTCKLLAPVVAGSIISFLSTKASAMALAFWNMSAVWMEYWFFTSVYKGIPALGEGSRRRNSRLSPSNMEEGVPTSTKPENLHSQNGENSALMERKWRRKLTVWVSKAPFVGAWKVYLQQDVLLPGVALALLYFTVLSFGILMTAALKWEGVPAFVIGIGRGISAIIGIGATVVYPILQSRILTLRTGLWSIWSQWSCLLLCIGSIWVQNRQLSACMLMAGVATSRLGLWMFDLSVIQQMQDQVSESDRCVVGAVQNSLQSILDLLGYVMGIIISHPRVIVHNTYFFTCLLLSIFHALHSNYQFVLLLYLISRISGS >Manes.09G113100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31529681:31533915:-1 gene:Manes.09G113100.v8.1 transcript:Manes.09G113100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPPLHHQHHHRLEQEQQEPHVPLLPLSLIRRLYIGQFLARWDARMWEFCVGLYMITLWPNSLILPAIYGATESASIALFGPIVGQCAEKLTYVKVLRIWLVTQNLSFVIAGLSVIALLVFSTLKSTNFTAFIFLVILTNISGAIGVLSTLAGTILIEREWVVIISEGHPPHVLTKMNSIIRRIDLTCKLLAPVVAGSIISFLSTKASAMALAFWNMSAVWMEYWFFTSVYKGIPALGEGSRRRNSRLSPSNMEEGVPTSTKPENLHSQNGENSALMERKWRRKLTVWVSKAPFVGAWKVYLQQDVLLPGVALALLYFTVLSFGILMTAALKWEGVPAFVIGIGRGISAIIGIGATVVYPILQSRILTLRTGLWSIWSQWSCLLLCIGSIWVQNRQLSACMLMAGVATSRLGLWMFDLSVIQQMQDQVSESDRCVVGAVQNSLQSILDLLGYVMGIIISHPRDFWKLTLISFSMVTLAALLYSIYLFRVRKHLFHFDKLKCW >Manes.06G013400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2216514:2219030:-1 gene:Manes.06G013400.v8.1 transcript:Manes.06G013400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKILWLLVLVIFSTLSLLSASTPKQTYIVHMNHNSKPLSHPTHHDWYQSLTSTSDSILYTYTTAFHGFAAYLDPQEADSLRNMDAVLNVFEDRVYSAQTTHTPQFLGLNSSFSLTDGRQFEEIEQASHDIIIGVLDTGVWPESKSFDDKGLSDIPKRWKGKCVSSNDFNGRSLCNNKLIGARYFLKSHEKQASGSSKQVPSPRDYDGHGTHCASTAAGSPVENVSLFGYGKGTARGVAYRARVASYKVCARVDACYLADIFAAFESAISDGVDVISLSIALVSEPPPYYLDPMAVGAYAAMSKGILVSFAAGNTGPKKSSVANTAPWAITVGAGSVDRDFPAYILLGNKKLFRGVSIYSGGRMGNNLVGLVYHKGKNSSSNYCLDGTLEPALVRGKVVICDIGVTENVKKSLVVRKAGGVGMIVVNSVSMKELSTDIHLLPTVEVGWKVGDLIKQYERTDPSPTAVLGFDGTVVNVKPSPMVPYFSSRGPNLVTPYILKPDVIAPGVNILAAWTEAIGPSGLKEDKRTVKFNVVSGTSMACPHVSGIIALIKAAHPTWSPTAIKSAIMTTAYNTDNMNLPFRDASKGSSANSFAYGSGFVNPQRALSPGLVYDASSQDYLKFFCSLNYPLEYLREILDTPNLSCSRKFRDPGELNYPSFSVLFGNKSSMVEYSREVTNVGLSNSVYKVKVTAPSNVDVTVNPSKLVFKNVGEKKRYRVTFVDKRLNKSKLKAAFGWIVWSNAKHIVSSPIAVMWP >Manes.14G152400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19161961:19173185:-1 gene:Manes.14G152400.v8.1 transcript:Manes.14G152400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLQKAAAEGKFDPFEEHLDHLDCIVTCSENTILHFHLSLLSERSTEFIKQVVGNCPKLLTQENRDGNTPLHIAARHGHTDVAEELIRQAEALHGDNKEAVREMLRKENKMKETALHVAARNGRSLGVVKAILSKEDHEFKYPANEFGETPLDVAVDNTCTYIVAELLNSQSLDLEYGDSINGATVLHRAVGRWNTEVVRMLLEKQSSLSKTQNHQGQTPLHIAASEGSSSIVATLLDKDKSIACSGADLWSVTALHIAALKGFKHVVKEITSKCPECCKLTDRSGWNVLHYAVFSENDEVLEAILENSSLVDLISGKDNGGNTPVHLFKAFNLPLPSFILDGDTDAFILWKKLYNTIPRDFTMKDLAKGIPYFENLTMADEEIKDETAEFAYSWDVSTKIKILAKNKINNIMKRSLKKKAELMIAKSEEARDSNLIPAALVATVTFAAGFTLPGGYISDKNDSEKGTSILSRNSAFKAFIIADTIAMVLSTSSVFIYFIMVLLGHRPKYYWLIKIAFRFIILAMGAMVVAFVMGTYAVLTPSLVMTAGFLHPRTGA >Manes.14G152400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19148280:19173185:-1 gene:Manes.14G152400.v8.1 transcript:Manes.14G152400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLQKAAAEGKFDPFEEHLDHLDCIVTCSENTILHFHLSLLSERSTEFIKQVVGNCPKLLTQENRDGNTPLHIAARHGHTDVAEELIRQAEALHGDNKEAVREMLRKENKMKETALHVAARNGRSLGVVKAILSKEDHEFKYPANEFGETPLDVAVDNTCTYIVAELLNSQSLDLEYGDSINGATVLHRAVGRWNTEVVRMLLEKQSSLSKTQNHQGQTPLHIAASEGSSSIVATLLDKDKSIACSGADLWSVTALHIAALKGFKHVVKEITSKCPECCKLTDRSGWNVLHYAVFSENDEVLEAILENSSLVDLISGKDNGGNTPVHLFKAFNLPLPSFILDGDTDAFILWKKLYNTIPRDFTMKDLAKGIPYFENLTMADEEIKDETAEFAYSWDVSTKIKILAKNKINNIMKRSLKKKAELMIAKSEEARDSNLIPAALVATVTFAAGFTLPGGYISDKNDSEKGTSILSRNSAFKAFIIADTIAMVLSTSSVFIYFIMVLLGHRPKYYWLIKIAFRFIILAMGAMVVAFVMGTYAVLTPSLGLLLLLVPLV >Manes.17G008700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3066115:3101028:1 gene:Manes.17G008700.v8.1 transcript:Manes.17G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVIYEGWMVRYGRRKIGRSFIHMRYFVLESRLLAYYKKKPQDNQVPIKTMLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKSHRITMAAFNIQEALGWKEKIEFVIDQHQDSQVANGNKYVSFEYKSGMDNGRTASSSDHESQFSAREDEDEAKPNLLRRTTIGNGLPDSVFDWTRESDSKLSSQNANNQAFSRKHWRLLQCQNGLRIFEELIEVDYLPRSCSRAMKAVGVVEASCEEIFELVMSMDGTRFEWDCSFHYGSLVEEVDGHTAIIYHRLQLDWFSMFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYISSFQQHCLLQMLNSVSGLREWFAQTDERGAPPRIPVMVNMASSSVSSKKNQKQQEFSVHPVSSLDQINTTSRNSTAIMDEYSDEEDEFQIAEEEQETYQPKHETDVKRGALEEEPVEKIDLTCFSGNLRHDERDNARDCWRISDGNNFRVRSKRFCYDKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAKVASENGLFSLIFNLQVPGSTHYSMVFYFVTKELIPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPTYLEVDVDIGSSTVANGVLGIVIGVITTLVVDMAFLVQANTTDELPERLIGAVRVSHIELSSAIVPKLDQDPS >Manes.03G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22947672:22962091:1 gene:Manes.03G105800.v8.1 transcript:Manes.03G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFSMEDPTPLLESASDFAYYPGVQNDTAAKEFLGRFPLPLIISALQTRADVPGLENTLVACLERIFKTKYGASLIPQYMSFVQLGLRAESQLVRCLACKTVACLLENINDKTVSPVELIIDHDIYPLLLDCLVNGNEQVASASTEAIKKLAGFAEGVEVIFPANTGDITHLRNLSARCSSLGRVRILAMVVKLFSVSDYVASAVYNSNLLGLLEAEVSNSNDTLATLNILELFYELAQVQHGTEFLSRTTLIQLLSSLISNTSMDAILRSRAMMITGRLLSKENMYSFIDESCVRTVISAIDRRLSLESEDPNECECALEALGQIGSSIPGAMLLLSMSPPAARHVIDAAFDRQARGNQLAALHSLGNISGETRTESNILLNADAEENLRRLIYETASKTSKLTPSGLFLSVLQQDSEVRLAAYRMITGLVARPWCLMEICSKQEIVNIVTDPNTETTKIGMEARYNCCKAIQKAFVSSSRLSTNPALIGIATKLQEAVSRGPYLTGKLREAQPAIMTADRF >Manes.03G105800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22947672:22962091:1 gene:Manes.03G105800.v8.1 transcript:Manes.03G105800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFSMEDPTPLLESASDFAYYPGVQNDTAAKEFLGRFPLPLIISALQTRADVPGLENTLVACLERIFKTKYGASLIPQYMSFVQLGLRAESQLVRCLACKTVACLLENINDKTVSPVELIIDHDIYPLLLDCLVNGNEQVASASTEAIKKLAGFAEGVEVIFPANTGDITHLRNLSARCSSLGRVRILAMVVKLFSVSDYVASAVYNSNLLGLLEAEVSNSNDTLATLNILELFYELAQVQHGTEFLSRTTLIQLLSSLISNTSMDAILRSRAMMITGRLLSKENMYSFIDESCVRTVISAIDRRLSLESEDPNECECALEALGQIGSSIPGAMLLLSMSPPAARHVIDAAFDRQARGNQLAALHSLGNISGETRTESNILLNADAEENLRRLIYETASKTSKLTPSAYRMITGLVARPWCLMEICSKQEIVNIVTDPNTETTKIVAGSCE >Manes.03G105800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22947672:22962091:1 gene:Manes.03G105800.v8.1 transcript:Manes.03G105800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFSMEDPTPLLESASDFAYYPGVQNDTAAKEFLGRFPLPLIISALQTRADVPGLENTLVACLERIFKTKYGASLIPQYMSFVQLGLRAESQLVRCLACKTVACLLENINDKTVSPVELIIDHDIYPLLLDCLVNGNEQVASASTEAIKKLAGFAEGVEVIFPANTGDITHLRNLSARCSSLGRVRILAMVVKLFSVSDYVASAVYNSNLLGLLEAEVSNSNDTLATLNILELFYELAQVQHGTEFLSRTTLIQLLSSLISNTSMDAILRSRAMMITGRLLSKENMYSFIDESCVRTVISAIDRRLSLESEDPNECECALEALGQIGSSIPGAMLLLSMSPPAARHVIDAAFDRQARGNQLAALHSLGNISGETRTESNILLNADAEENLRRLIYETASKTSKLTPSAYRMITGLVARPWCLMEICSKQEIVNIVTDPNTETTKIGMEARYNCCKAIQKAFVSSSRLSTNPALIGIATKLQEAVSRGPYLTGKLREAQPAIMTADRF >Manes.03G105800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22947672:22962091:1 gene:Manes.03G105800.v8.1 transcript:Manes.03G105800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFSMEDPTPLLESASDFAYYPGVQNDTAAKEFLGRFPLPLIISALQTRADVPGLENTLVACLERIFKTKYGASLIPQYMSFVQLGLRAESQLVRCLACKTVACLLENINDKTVSPVELIIDHDIYPLLLDCLVNGNEQVASASTEAIKKLAGFAEGVEVIFPANTGDITHLRNLSARCSSLGRVRILAMVVKLFSVSDYVASAVYNSNLLGLLEAEVSNSNDTLATLNILELFYELAQVQHGTEFLSRTTLIQLLSSLISNTSMDAILRSRAMMITGRLLSKENMYSFIDESCVRTVISAIDRRLSLESEDPNECECALEALGQIGSSIPGAMLLLSMSPPAARHVIDAAFDRQARGNQLAALHSLGNISGETRTESNILLNADAEENLRRLIYETASKTSKLTPSGLFLSVLQQDSEVRLAAYRMITGLVARPWCLMEICSKQEIVNIVTDPNTETTKIVAGSCE >Manes.15G109800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8773278:8774188:1 gene:Manes.15G109800.v8.1 transcript:Manes.15G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLGIKKNFLFMRVLFCKIHCPPFICFCKPSSSIYTAGPLKLENSPNVASTTVISDVNASSKFNDHHVSSESNGVQEESLDVDEDGGGKQSKAQSCLKGSLKRSDLDSKQVEKKRVQWMDFLGKELVEIREFESSETEDSDSEDEINSGCSCIIL >Manes.11G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6137108:6142688:-1 gene:Manes.11G049300.v8.1 transcript:Manes.11G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AATP2 MEAVLQTKGLFSLPPNPKTRASLYPSQGLKHRLFAAKPKTLSGLSLSSNGFPKFPSVVSKPNGFPPKDGNLHICRAEAAAAAEGQPLFGEPEKPKLLGIELSTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTQLANVLSKQALFYTVIVPFIAFFGAFGFLLYPLSNHIHPEAFADKLLNILGPRFLGPIAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKRFYPLFGLGANVALVFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLMGLAICFLYWWVNNFVPLPTRSKKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQYIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPLAPVLSQFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEETKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGTLLVIVLLWLAAARSLDTQFTALRREEELEKEMERAAVKIPVVSPEESGNGSLPGGSSLNPAAGDSTSSSSETTPRKL >Manes.08G174300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41432068:41437858:-1 gene:Manes.08G174300.v8.1 transcript:Manes.08G174300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNCGDFVHYQIAEKNILGEMVKIVRKKTNMHVRDKILILLDSWQEAFGGRGGKHSQYYWSYEELKHAGMEFPQRSLDAAPIFTPPVGLRHAQAGYGMPTNSSRRLDETMATEFEGISLSGLDSMQNVIELLKDMLQAINPDDRKAVKDEIIVDLVNRCRSNQKKLMQMLTTTGNEELLDRGLELNDNLQIVLAKHDAIASGSLMPTQVNYLDPQPSEGYSSNIKSTEAEDSCPSSSSKAPVLVANVTRSPIDEEEEKEDDFAQLARRHSKTRSSPSQRQCATKALVPVDTDNAAASTTNFPASIGTTKEQCIIDLLSITLSTPCHQPQTPHTPTTANQNMPQVSASSAQHYPYIFQTYPRNKGQATYNNYVVPWAQPQPQHQSQVPYQPLIHPQILQQLQPQSQSQPYAQPQQRFYQSQPHTQPKPLQQFQPQCQSQSHPQPQPQQHFQSQSQPQPQPQTQPHQQFQPQSQLNPHAHPQQQFRPQSQLQFQPQLQLKYPQYSSGYPPPPWAPTPGYANGQSRLSTTHNTLSTTFYAPAKAARPVQHSNSSTSKGSNGLAMNGDPIVNTGPRNPAPPGQKPFIPSYRLFEDLNVLGSADGRFKMNDNTSPSLSGPSNQGMVGGRK >Manes.08G174300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41432068:41437858:-1 gene:Manes.08G174300.v8.1 transcript:Manes.08G174300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPQRSLDAAPIFTPPVGLRHAQAGYGMPTNSSRRLDETMATEFEGISLSGLDSMQNVIELLKDMLQAINPDDRKAVKDEIIVDLVNRCRSNQKKLMQMLTTTGNEELLDRGLELNDNLQIVLAKHDAIASGSLMPTQVNYLDPQPSEGYSSNIKSTEAEDSCPSSSSKAPVLVANVTRSPIDEEEEKEDDFAQLARRHSKTRSSPSQRQCATKALVPVDTDNAAASTTNFPASIGTTKEQCIIDLLSITLSTPCHQPQTPHTPTTANQNMPQVSASSAQHYPYIFQTYPRNKGQATYNNYVVPWAQPQPQHQSQVPYQPLIHPQILQQLQPQSQSQPYAQPQQRFYQSQPHTQPKPLQQFQPQCQSQSHPQPQPQQHFQSQSQPQPQPQTQPHQQFQPQSQLNPHAHPQQQFRPQSQLQFQPQLQLKYPQYSSGYPPPPWAPTPGYANGQSRLSTTHNTLSTTFYAPAKAARPVQHSNSSTSKGSNGLAMNGDPIVNTGPRNPAPPGQKPFIPSYRLFEDLNVLGSADGRFKMNDNTSPSLSGPSNQGMVGGRK >Manes.08G174300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41432068:41437858:-1 gene:Manes.08G174300.v8.1 transcript:Manes.08G174300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNCGDFVHYQIAEKNILGEMVKIVRKKTNMHVRDKILILLDSWQEAFGGRGGKHSQYYWSYEELKHAGMEFPQRSLDAAPIFTPPVGLRHAQAGYGMPTNSSRRLDETMATEFEGISLSGLDSMQNVIELLKDMLQAINPDDRKAVKDEIIVDLVNRCRSNQKKLMQMLTTTGNEELLDRGLELNDNLQIVLAKHDAIASGSLMPTQVNYLDPQPSEGYSSNIKSTEAEDSCPSSSSKAPVLVANVTRSPIDEEEEKEDDFAQLARRHSKTRSSPSQRQCATKALVPVDTDNAAASTTNFPASIGTTKEQCIIDLLSITLSTPCHQPQTPHTPTTANQNMPQVSASSAQHYPYIFQTYPRNKGQATYNNYVVPWAQPQPQHQSQVPYQPLIHPQILQQLQPQSQSQPYAQPQQRFYQSQPHTQPKPLQQFQPQCQSQSHPQPQPQQHFQSQSQPQPQPQTQPHQQFQPQSQLNPHAHPQQQFRPQSQLQFQPQLQLKYPQYSSGYPPPPWAPTPGYANGQSRLSTTHNTLSTTFYAPAKAARPVQHSNSSTSKGSNGLAMNGDPIVNTGPRNPAPPGQKPFIPSYRLFEDLNVLGSADGRFKMNDNTSPSLSGPSNQGMVGGRK >Manes.08G174300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41432068:41437858:-1 gene:Manes.08G174300.v8.1 transcript:Manes.08G174300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAMSHSSSSSSSSSSATIAVEKATSDLLIAPDWTMNIDICDSVNSNRWLAKDVVKAVKKRLQHKNPKVQLLALTLLETMVKNCGDFVHYQIAEKNILGEMVKIVRKKTNMHVRDKILILLDSWQEAFGGRGGKHSQYYWSYEELKHAGMEFPQRSLDAAPIFTPPVGLRHAQAGYGMPTNSSRRLDETMATEFEGISLSGLDSMQNVIELLKDMLQAINPDDRKAVKDEIIVDLVNRCRSNQKKLMQMLTTTGNEELLDRGLELNDNLQIVLAKHDAIASGSLMPTQVNYLDPQPSEGYSSNIKSTEAEDSCPSSSSKAPVLVANVTRSPIDEEEEKEDDFAQLARRHSKTRSSPSQRQCATKALVPVDTDNAAASTTNFPASIGTTKEQCIIDLLSITLSTPCHQPQTPHTPTTANQNMPQVSASSAQHYPYIFQTYPRNKGQATYNNYVVPWAQPQPQHQSQVPYQPLIHPQILQQLQPQSQSQPYAQPQQRFYQSQPHTQPKPLQQFQPQCQSQSHPQPQPQQHFQSQSQPQPQPQTQPHQQFQPQSQLNPHAHPQQQFRPQSQLQFQPQLQLKYPQYSSGYPPPPWAPTPGYANGQSRLSTTHNTLSTTFYAPAKAARPVQHSNSSTSKGSNGLAMNGDPIVNTGPRNPAPPGQKPFIPSYRLFEDLNVLGSADGRFKMNDNTSPSLSGPSNQGMVGGRK >Manes.08G174300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41432068:41437858:-1 gene:Manes.08G174300.v8.1 transcript:Manes.08G174300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPQRSLDAAPIFTPPVGLRHAQAGYGMPTNSSRRLDETMATEFEGISLSGLDSMQNVIELLKDMLQAINPDDRKAVKDEIIVDLVNRCRSNQKKLMQMLTTTGNEELLDRGLELNDNLQIVLAKHDAIASGSLMPTQVNYLDPQPSEGYSSNIKSTEAEDSCPSSSSKAPVLVANVTRSPIDEEEEKEDDFAQLARRHSKTRSSPSQRQCATKALVPVDTDNAAASTTNFPASIGTTKEQCIIDLLSITLSTPCHQPQTPHTPTTANQNMPQVSASSAQHYPYIFQTYPRNKGQATYNNYVVPWAQPQPQHQSQVPYQPLIHPQILQQLQPQSQSQPYAQPQQRFYQSQPHTQPKPLQQFQPQCQSQSHPQPQPQQHFQSQSQPQPQPQTQPHQQFQPQSQLNPHAHPQQQFRPQSQLQFQPQLQLKYPQYSSGYPPPPWAPTPGYANGQSRLSTTHNTLSTTFYAPAKAARPVQHSNSSTSKGSNGLAMNGDPIVNTGPRNPAPPGQKPFIPSYRLFEDLNVLGSADGRFKMNDNTSPSLSGPSNQGMVGGRK >Manes.08G174300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41432068:41437858:-1 gene:Manes.08G174300.v8.1 transcript:Manes.08G174300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPQRSLDAAPIFTPPVGLRHAQAGYGMPTNSSRRLDETMATEFEGISLSGLDSMQNVIELLKDMLQAINPDDRKAVKDEIIVDLVNRCRSNQKKLMQMLTTTGNEELLDRGLELNDNLQIVLAKHDAIASGSLMPTQVNYLDPQPSEGYSSNIKSTEAEDSCPSSSSKAPVLVANVTRSPIDEEEEKEDDFAQLARRHSKTRSSPSQRQCATKALVPVDTDNAAASTTNFPASIGTTKEQCIIDLLSITLSTPCHQPQTPHTPTTANQNMPQVSASSAQHYPYIFQTYPRNKGQATYNNYVVPWAQPQPQHQSQVPYQPLIHPQILQQLQPQSQSQPYAQPQQRFYQSQPHTQPKPLQQFQPQCQSQSHPQPQPQQHFQSQSQPQPQPQTQPHQQFQPQSQLNPHAHPQQQFRPQSQLQFQPQLQLKYPQYSSGYPPPPWAPTPGYANGQSRLSTTHNTLSTTFYAPAKAARPVQHSNSSTSKGSNGLAMNGDPIVNTGPRNPAPPGQKPFIPSYRLFEDLNVLGSADGRFKMNDNTSPSLSGPSNQGMVGGRK >Manes.08G174300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41432068:41437858:-1 gene:Manes.08G174300.v8.1 transcript:Manes.08G174300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRDKILILLDSWQEAFGGRGGKHSQYYWSYEELKHAGMEFPQRSLDAAPIFTPPVGLRHAQAGYGMPTNSSRRLDETMATEFEGISLSGLDSMQNVIELLKDMLQAINPDDRKAVKDEIIVDLVNRCRSNQKKLMQMLTTTGNEELLDRGLELNDNLQIVLAKHDAIASGSLMPTQVNYLDPQPSEGYSSNIKSTEAEDSCPSSSSKAPVLVANVTRSPIDEEEEKEDDFAQLARRHSKTRSSPSQRQCATKALVPVDTDNAAASTTNFPASIGTTKEQCIIDLLSITLSTPCHQPQTPHTPTTANQNMPQVSASSAQHYPYIFQTYPRNKGQATYNNYVVPWAQPQPQHQSQVPYQPLIHPQILQQLQPQSQSQPYAQPQQRFYQSQPHTQPKPLQQFQPQCQSQSHPQPQPQQHFQSQSQPQPQPQTQPHQQFQPQSQLNPHAHPQQQFRPQSQLQFQPQLQLKYPQYSSGYPPPPWAPTPGYANGQSRLSTTHNTLSTTFYAPAKAARPVQHSNSSTSKGSNGLAMNGDPIVNTGPRNPAPPGQKPFIPSYRLFEDLNVLGSADGRFKMNDNTSPSLSGPSNQGMVGGRK >Manes.08G174300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41432068:41437858:-1 gene:Manes.08G174300.v8.1 transcript:Manes.08G174300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNCGDFVHYQIAEKNILGEMVKIVRKKTNMHVRDKILILLDSWQEAFGGRGGKHSQYYWSYEELKHAGMEFPQRSLDAAPIFTPPVGLRHAQAGYGMPTNSSRRLDETMATEFEGISLSGLDSMQNVIELLKDMLQAINPDDRKAVKDEIIVDLVNRCRSNQKKLMQMLTTTGNEELLDRGLELNDNLQIVLAKHDAIASGSLMPTQVNYLDPQPSEGYSSNIKSTEAEDSCPSSSSKAPVLVANVTRSPIDEEEEKEDDFAQLARRHSKTRSSPSQRQCATKALVPVDTDNAAASTTNFPASIGTTKEQCIIDLLSITLSTPCHQPQTPHTPTTANQNMPQVSASSAQHYPYIFQTYPRNKGQATYNNYVVPWAQPQPQHQSQVPYQPLIHPQILQQLQPQSQSQPYAQPQQRFYQSQPHTQPKPLQQFQPQCQSQSHPQPQPQQHFQSQSQPQPQPQTQPHQQFQPQSQLNPHAHPQQQFRPQSQLQFQPQLQLKYPQYSSGYPPPPWAPTPGYANGQSRLSTTHNTLSTTFYAPAKAARPVQHSNSSTSKGSNGLAMNGDPIVNTGPRNPAPPGQKPFIPSYRLFEDLNVLGSADGRFKMNDNTSPSLSGPSNQGMVGGRK >Manes.S017659.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:170813:170935:1 gene:Manes.S017659.v8.1 transcript:Manes.S017659.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.14G163500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25597583:25607610:-1 gene:Manes.14G163500.v8.1 transcript:Manes.14G163500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCVAAKGDESNECERFAKYYRSLCPGEWIERWNEQRENGTFPGPL >Manes.14G163500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25598402:25607497:-1 gene:Manes.14G163500.v8.1 transcript:Manes.14G163500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCVAAKGDESNECERFAKYYRSLCPGEWIERWNEQRENGTFPGPL >Manes.14G163500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25597889:25607603:-1 gene:Manes.14G163500.v8.1 transcript:Manes.14G163500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCVAAKGDESNECERFAKYYRSLCPGEWIERWNEQRENGTFPGPL >Manes.11G104900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:23916559:23918830:1 gene:Manes.11G104900.v8.1 transcript:Manes.11G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSSTTTLTLSSPSPYLTPSPTKHFLVEFPLRSNFSTLTHRSTHLRPISAVSAPEKIEKLGAEISSLTLEEARTLVDYLQDKLGVSAAAFAPAAAVVAAPGAGGDTGAAAVEEKTEFDVVIEEVPANARIAVIKAVRALTSLALKEAKDLIEGLPKKFKEGASKDEAEDAKKQLEAAGAKVNIV >Manes.10G118800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28352465:28359958:-1 gene:Manes.10G118800.v8.1 transcript:Manes.10G118800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRPKKSGAKKPTVENVDEEAFLDFVAKNVDGTSVPDRPNDYGFSLYDSDSSSASSSSSSSGESDGFHFEGGVGSGFHEPTTEWMVNRPANKRARPKRGEASKKGTSATVKTSKGMRSSSRKELQSKNPSHYSRINQNELKATLEVIKKVMEMDEAVPFNAPVDPVAQGLPDYYTVVDMPMDFGTISSNLQNGIKYLNAEDVYKDVEHIWENCRKYNKKGDYIVYLMKRVKKKFMKYWTASGLRSDILKKPGVDQLFTSMNQPQPSHCQASESNQPRTGIWRPQPSQASVGVSGAGMPHVDASKGGRRHTKRYSEIPSVSGPGSRNHPPRSQNTTLKRKKSGRGPTQCHFMFDLPEGERILVPINMLGQPVGAEASKLSSFLGIIARNGKMAPLNFLDWSRIPDANKEDMWQLVQMKFDIDPTGKTWVMKSLASKWRNWKARLKADHYNPHTTDEERLKDCNKRVLPDQWAALVLHWNSEEVQLRCARNKANRAKQKSAHAAGTKSFARIREEELAKRADGKELTRGELYILTRTRKDGRPVDKVAAEAITKLRKLEAQKQRTSHGSDDYDDTYGQVMGKGKGSHMHLVGLGPSPADVWGQKPSPYSLMRMGLEAKRSANEEVSKMLNKMEAMEQKYASLEAQIVKMTSNMENLIDKMGALGSKQFPKNAPKDLLPQAHSSSHAVQSDEVD >Manes.10G118800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28352465:28359958:-1 gene:Manes.10G118800.v8.1 transcript:Manes.10G118800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRPKKSGAKKPTVENVDEEAFLDFVAKNVDGTSVPDRPNDYGFSLYDSDSSSASSSSSSSGESDGFHFEGGVGSGFHEPTTEWMVNRPANKRARPKRGEASKKGTSATVKTSKGMRSSSRKELQSKNPSHYSRINQNELKATLEVIKKVMEMDEAVPFNAPVDPVAQGLPDYYTVVDMPMDFGTISSNLQNGIKYLNAEDVYKDVEHIWENCRKYNKKGDYIVYLMKRVKKKFMKYWTASGLRSDILKKPGVDQLFTSMNQPQPSHCQASESNQPRTGIWRPQPSQASVGVSGAGMPHVDASKGGRRHTKRYSEIPSVSGPGSRNHPPRSQNTTLKRKKSGRGPTQCHFMFDLPEGERILVPINMLGQPVGAEASKLSSFLGIIARNGKMAPLNFLDWSRIPDANKEDMWQLVQMKFDIDPTGKTWVMKSLASKWRNWKARLKADHYNPHTTDEERLKDCNKRVLPDQWAALVLHWNSEEVQLRCARNKANRAKQKSAHAAGTKSFARIREEELAKRADGKELTRGELYILTRTRKDGRPVDKVAAEAITKLRKLEAQKQRTSHGSDDYDDTYGQVMGKGKGSHMHLVGLGPSPADVWGQKPSPYSLMRMGLEAKRSANEEVSKMLNKMEAMEQKYASLEAQIVKMTSNMENLIDKMGALGSKQVD >Manes.10G118800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28352465:28359958:-1 gene:Manes.10G118800.v8.1 transcript:Manes.10G118800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRPKKSGAKKPTVENVDEEAFLDFVAKNVDGTSVPDRPNDYGFSLYDSDSSSASSSSSSSGESDGFHFEGGVGSGFHEPTTEWMVNRPANKRARPKRGEASKKGTSATVKTSKGMRSSSRKELQSKNPSHYSRINQNELKATLEVIKKVMEMDEAVPFNAPVDPVAQGLPDYYTVVDMPMDFGTISSNLQNGIKYLNAEDVYKDVEHIWENCRKYNKKGDYIVYLMKRVKKKFMKYWTASGLRSDILKKPGVDQLFTSMNQPQPSHCQASESNQPRTGIWRPQPSQASVGVSGAGMPHVDASKGGRRHTKRYSEIPSVSGPGSRNHPPRSQNTTLKRKKSGRGPTQCHFMFDLPEGERILVPINMLGQPVGAEASKLSSFLGIIARNGKMAPLNFLDWSRIPDANKEDMWQLVQMKFDIDPTGKTWVMKSLASKWRNWKARLKADHYNPHTTDEERLKDCNKRVLPDQWAALVLHWNSEEVQLRCARNKANRAKQKSAHAAGTKSFARIREEELAKRADGKELTRGELYILTRTRKDGRPVDKVAAEAITKLRKLEAQKQRTSHGSDDYDDTYGQVMGKGKGSHMHLVGLGPSPADVWGQKPSPYSLMRMGLEAKRSANEEVSKMLNKMEAMEQKYASLEAQIVKMTSNMENLIDKMGALGSKQFPKNAPKDLLPQAHSSSHAVQSDEVD >Manes.10G118800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28352465:28359958:-1 gene:Manes.10G118800.v8.1 transcript:Manes.10G118800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRPKKSGAKKPTVENVDEEAFLDFVAKNVDGTSVPDRPNDYGFSLYDSDSSSASSSSSSSGESDGFHFEGGVGSGFHEPTTEWMVNRPANKRARPKRGEASKKGTSATVKTSKGMRSSSRKELQSKNPSHYSRINQNELKATLEVIKKVMEMDEAVPFNAPVDPVAQGLPDYYTVVDMPMDFGTISSNLQNGIKYLNAEDVYKDVEHIWENCRKYNKKGDYIVYLMKRVKKKFMKYWTASGLRSDILKKPGVDQLFTSMNQPQPSHCQASESNQPRTGIWRPQPSQASVGVSGAGMPHVDASKGGRRHTKRYSEIPSVSGPGSRNHPPRSQNTTLKRKKSGRGPTQCHFMFDLPEGERILVPINMLGQPVGAEASKLSSFLGIIARNGKMAPLNFLDWSRIPDANKEDMWQLVQMKFDIDPTGKTWVMKSLASKWRNWKARLKADHYNPHTTDEERLKDCNKRVLPDQWAALVLHWNSEEVQLRCARNKANRAKQKSAHAAGTKSFARIREEELAKRADGKELTRGELYILTRTRKDGRPVDKVAAEAITKLRKLEAQKQRTSHGSDDYDDTYGQVMGKGKGSHMHLVGLGPSPADVWGQKPSPYSLMRMGLEAKRSANEEVSKMLNKMEAMEQKYASLEAQIVKMTSNMENLIDKMGALGSKQVD >Manes.08G087511.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29328491:29331485:-1 gene:Manes.08G087511.v8.1 transcript:Manes.08G087511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGYIAGAASDSLIKAGYSFTPVRKIMQSIGFIGPGVSLLCLNFAKIPVTAAMFITAALSLSSFSQAGFLLNIQDIAPQYAGFSMLPTREGRDCRRRRRTVGAIYNEL >Manes.18G038800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3429202:3430195:1 gene:Manes.18G038800.v8.1 transcript:Manes.18G038800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLKLIILSLSILCVVAEAQLEWPSSTSTMRFHDEMSGSESEDEYDERWSGEGRALHVKAKHYDISYGALSANRLPCPPRSGRSYYTHNCFRVRAPVNPYTRGCTSITHCRR >Manes.09G025022.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5396542:5401155:-1 gene:Manes.09G025022.v8.1 transcript:Manes.09G025022.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.09G025022.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5397137:5401155:-1 gene:Manes.09G025022.v8.1 transcript:Manes.09G025022.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLR >Manes.09G025022.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5396542:5400741:-1 gene:Manes.09G025022.v8.1 transcript:Manes.09G025022.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILQLGFSSSSSFNCLSRGFVIILLNLTCNSMICLSRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.11G049630.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6311053:6312664:1 gene:Manes.11G049630.v8.1 transcript:Manes.11G049630.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGLIFLLNIEKIHCDIGVGGRRGRVEAVDASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCERIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.06G052901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16412673:16413185:1 gene:Manes.06G052901.v8.1 transcript:Manes.06G052901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGILYSRLPAVLEGYSDANWISDSNEIKSTSGYVFTLGGSAITWKSTKQNVIAKSTMESEFIALELAGTETEWLRNFLANISLGIKPTSSVSTRCDCQLAIAIAKNKTFNGKNRHIHLRHNVIKQLLKDRTISIDYMKSEVNLADPLTKPLGRKLIDETSRGMRLEPI >Manes.16G081600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28799816:28803564:1 gene:Manes.16G081600.v8.1 transcript:Manes.16G081600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIFTALALVLGYLYRPYWGVRKVPGPPAIPLLGHLPLLAKYGPDVFSVLAKQYGPIFRFHMGRQPLIIVADAELCKEVGIKKFKDIPNRSIPSPISASPLHQKGLFFTRDTRWSTMRNTILSVYQPSHLASLVPTMQSFIESATANFQSFEEQEEDITFSNLSLKLATDVIGQAAFGVDFGLSKPQSAKNISHNQENGNEVSDFINQHVYSTTQLKMDLSGSFSIIIGLLIPILQEPFRQILKRIPGTMDWKVDRTNKNLSGKLDEIVTKRMNDKNRGSKDFLSLILSARESETLSRNVFTPDYISAVTYEHLLAGSATTSFTLSSIVYLVAGHPEVEKKLLAEIDGFGPPDQMPTAHDLQTKFPYLDKVIKESMRFYVVSPLVARETSKDVEIGGYLLPKGTWVWLALGVLAKDPKNFPEPDKFKPERFDPNCEEEKQRHPYALIPFGIGPRACIGQKFSLQELKLSLIHLYRKFIFRHSPNMEKPLELEYGIVLNFKHGVKLRVIKRR >Manes.16G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28800121:28803564:1 gene:Manes.16G081600.v8.1 transcript:Manes.16G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSMAEAFFNSVPMLTVIFTALALVLGYLYRPYWGVRKVPGPPAIPLLGHLPLLAKYGPDVFSVLAKQYGPIFRFHMGRQPLIIVADAELCKEVGIKKFKDIPNRSIPSPISASPLHQKGLFFTRDTRWSTMRNTILSVYQPSHLASLVPTMQSFIESATANFQSFEEQEEDITFSNLSLKLATDVIGQAAFGVDFGLSKPQSAKNISHNQENGNEVSDFINQHVYSTTQLKMDLSGSFSIIIGLLIPILQEPFRQILKRIPGTMDWKVDRTNKNLSGKLDEIVTKRMNDKNRGSKDFLSLILSARESETLSRNVFTPDYISAVTYEHLLAGSATTSFTLSSIVYLVAGHPEVEKKLLAEIDGFGPPDQMPTAHDLQTKFPYLDKVIKESMRFYVVSPLVARETSKDVEIGGYLLPKGTWVWLALGVLAKDPKNFPEPDKFKPERFDPNCEEEKQRHPYALIPFGIGPRACIGQKFSLQELKLSLIHLYRKFIFRHSPNMEKPLELEYGIVLNFKHGVKLRVIKRR >Manes.12G000500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:201079:214948:-1 gene:Manes.12G000500.v8.1 transcript:Manes.12G000500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLLRVWVLLLLFGLVVIVGGGGATVGDFNIWPMPESVTNGHSRLYLAPDFQLITEGTNYSDASGILKDAFTTMIRLIHMDHVLDANISAFHPPLILKVLQVLIFSPNDQLQYGVDESYKLLVPSPYKPDFAQLEARTVYGALHGLQTFSQLCRFNFDARVIEILMVPWTIIDKPRFSYRGLLIDTSRHYQPLPMIKKVIDSMVYVKLNVLHWHIVDTQSFPLEIPSYPKLWDGAYSYSERYTFADAAEIVSYAQRRGINVLAEIDVPGHALSWGRGYPSLWPSKNCQQPLDVSNEFTFKVIDGILSDFSKIFKFKFVHLGGDEVDTSCWTSTPRIINWLKKHGMNESEAYQYFVLRAQKIALSHGYEIVNWEETFNNFGGKLSRKTVVHNWLGDGVAEKVVAAGLRCIVSNQDNWYLDHLDTTWQQFYMNEPLTNITNTEQQKLVIGGEVCMWGETIDGSDIEQTIWPRAAAAAERLWTTYDKLAKDPKRVTRRLAHFRCLLNQRGVAAAPLAGPGRGAPQEPGSCYSQ >Manes.12G000500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:199929:214947:-1 gene:Manes.12G000500.v8.1 transcript:Manes.12G000500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQLLRVWVLLLLFGLVVIVGGGGATVGDFNIWPMPESVTNGHSRLYLAPDFQLITEGTNYSDASGILKDAFTTMIRLIHMDHVLDANISAFHPPLILKVLQVLIFSPNDQLQYGVDESYKLLVPSPYKPDFAQLEARTVYGALHGLQTFSQLCRFNFDARVIEILMVPWTIIDKPRFSYRGLLIDTSRHYQPLPMIKKVIDSMVYVKLNVLHWHIVDTQSFPLEIPSYPKLWDGAYSYSERYTFADAAEIVSYAQRRGINVLAEIDVPGHALSWGRGYPSLWPSKNCQQPLDVSNEFTFKVIDGILSDFSKIFKFKFVHLGGDEVDTSCWTSTPRIINWLKKHGMNESEAYQYFVLRAQKIALSHGYEIVNWEETFNNFGGKLSRKTVVHNWLGDGVAEKVVAAGLRCIVSNQDNWYLDHLDTTWQQFYMNEPLTNITNTEQQKLVIGGEVCMWGETIDGSDIEQTIWPRAAAAAERLWTTYDKLAKDPKRVTRRLAHFRCLLNQRGVAAAPLAGPGRGAPQEPGSCYSQ >Manes.09G157100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35266881:35273180:1 gene:Manes.09G157100.v8.1 transcript:Manes.09G157100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKIAIAKDVTELVGKTPLVYLNHVVDGCVARVAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIKPGESVLIEPTSGNTGIGLAFIAAAKGYKLIITMPASMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEILAKTPNSYILQQFENPANPKIHYETTGPEIWKGSGGKVDAFVSGIGTGGTVTGAGKYLKEQNPDIKLYGVEPAESAVLSGGKPGPHKIQGIGAGFIPGVLDVGLLDEVVQISSEESIETAKLLALKEGLLVGISSGAAAAAAIRIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFE >Manes.11G095400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19134537:19141315:1 gene:Manes.11G095400.v8.1 transcript:Manes.11G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEMQVWVHPKCLLLFIVFIILLVFTVFTTKKDEEKAEEVYEITHRVYLDVDIEEQRLGRIVIGLYGQVVPKTVENFRALCTGEKGKGQSGKVLHYKGTPIHRIVPGFMIQGGDIVYGDGKGGDSIYGGTFPDENFKIKHSHAGVVSMVNSGPDSNGSQFFITTVKASWLDGEHVVFGKVIQGMDTVYAIEGGAGTYSGKPRKKVVIADSGEIHKSKWDEER >Manes.08G143000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38192231:38193350:1 gene:Manes.08G143000.v8.1 transcript:Manes.08G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFDFLVLLALALALASSFASADDPSPLQDFCVAIPEPENAVFVNGKFCKNPNLTVAGDFSFSGLNIPAFTGNRVGSNVTLLNVDKIPGLNTLGISLARLDFAPYGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNAMVEKLQKLFESA >Manes.03G068350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9387051:9389577:1 gene:Manes.03G068350.v8.1 transcript:Manes.03G068350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQHVYLIQIMVLFVLCVRMSMASRVTGIGVNWGTMTTQLLPPEKVVHMLRQNGIRKLKLFEADERIMAALIGTDIEVMLAVPNYMLHLMSEDPAAAASWVDANVTSWLYTGGVNIKYVAVGNEPFLQTYNGSYLQVTLPALRNIHQALDRDKVSSKVKVTVPFNADVYYSANPNQVPSAGDFRPEVRDLAIEIVQFLHSNDAPFTVNIYPFLSLYSDENFPVDFAFFDGTKNPIKDGGLVYNNVFDANFDTLVWALDKSGYPDMKIIVGEVGWPTDGDKNANIPNAKRFNQGLIQHVLSGKGTPARKGKIDVYLFSLIDENAKSIAPGSFERHWGLFEYDGKPKYELDLSGLKEEKGLVPVEGVKYMYRRWCVLNPDAIDFDDLPESINYACSLSDCTSLGYGSSCNHLSVEGNASYAFNMYYQVNDQKDWNCDFSGLAVITDKDPSDGDCEFPIMISHGHSLAQHGRLLDVLLRIAGGCLVFLGVM >Manes.03G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2903028:2909089:1 gene:Manes.03G034400.v8.1 transcript:Manes.03G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPLRVLYCAVCSLPAEYCEFGPDFEKCKPWLIQNAPDLYPDLIKEANAKEANKVAEQLQSAGISSSGGDGATPSGGTSAPKEEVKRLPGGKIKKKEKQEVVIEKVTRNKRKCITTVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPETAIYFIEDGKKVPAA >Manes.06G025101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5334540:5335840:-1 gene:Manes.06G025101.v8.1 transcript:Manes.06G025101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRSRVRGVHTVRLADIDQPHRDPTITPPPLEGLLDHVLPESGDGHRDSTSHGVESEVYLATPPPPPAPAIAPPIVPASPPLVPPVALAIPFKINTNLGSSNADIANKWLKKVIKVFELMKLINTDKVDNVHGLLQGNADGWFDGIRRRHGVILTWDQFVYEFRQEYLSESFRKGKYDAFFRLFQGSLSLREYIDKFEDVYCFVSDMLLYEEAKCDRFRQGLHVNIRSSMTWSRGNNFRELQEEKEYEQKMSRKHIGSGRGCFVNTEQQVTRPQFSQSSVAQPGQGQGYNFEFEQKKRHFPQCATCSRYHVGECRKFDKCCFECGSSGHFKKDCTLLISKDNGSQQGSVAP >Manes.02G185501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14833778:14852343:-1 gene:Manes.02G185501.v8.1 transcript:Manes.02G185501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSLQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDTLDNWHEEFLKQANPPAPKTFPFILLGNKIDIDGGNSRVVSEKKANEWCASKGNIPYFETSAKEDYNVDAAFLCIAKTALANEHEQDIYFQGITEAVSESEQRGGCAC >Manes.05G088250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8009113:8012728:-1 gene:Manes.05G088250.v8.1 transcript:Manes.05G088250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLVFCFIVFFLLASNHVSADRILDDGYKVTTVIDGHKLKLNPHMVLPRPGSSDLIVLDYFGSVFYTVSLPISQNSDFKQFSGDGVIGFSDGAAGSARFNKPKSFTVDLKGNIYVAERNNGAIRMISDSGVTTIAGGYSEGTGHQDGPAQNATFSNDFEVSFVPEICALLISDHGNQLVRQIDLKPENCARGSQSAFGGVSIWVLALGLVFSCVLGMVMGFVIHPHIRSYTGRLQSPSLRQDMEALPNQSGETNTDVLLRHQKRSC >Manes.16G004800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:599221:600441:1 gene:Manes.16G004800.v8.1 transcript:Manes.16G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKTSGLLISSSGTNISSKRINAAISLPKLPKIRFPLPRNLVKEMILKGSISKAIPAEKNATTTTIDESFINSTATEISQLYAILEIVADRAEMHRNLGEQRDNWNKLLLNSINMITLTATTMVGVAATSGAGVPLLALKLSSTLLFSAATLMLLIMNNIQPSQLAEEQRNATKLFGQLHSQIQITIALHDPTELDVKDAMDKVLALDKAYPLPLLGKMIEKFPAKFEPAVWWPKTKNFQRNSKRPGKNGWSEELEMEMREVTEVIKGKDTEDYMRLGNLALKINKILAISGPLLTGIAAAGSAFVGNGSWAAIVAVAAGALASTVDTFEHAGQVGMVVEMYRNCAGFFSLMEESIECSLEETDFDRREDGEMFEIKMALQLGRSLSELRNLAQKSSSSRMDGAE >Manes.11G051500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5883739:5885545:1 gene:Manes.11G051500.v8.1 transcript:Manes.11G051500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATIGFLLVNKHFQVEALQNEIINKMKKTVKYEMRMASPSSRRKIISQAVISGGSGSLVQDGLVYRQNFSVRSFEIGFDRKLSLAALTNYLQDTALEQCRVIGIWADDFGSTPEMSRQDLIWVVCALQIVVDSYPSWLDVVEVDTWFYPSGQNSIRRDWIVRDGKTGNTLARATSVCVLMNKKTRKLSKLKEEIREEFTPHIRNCDPVIVKDSRKLLRLDVDTADYVRKGEKVSHLYDVSPSFNKCFCMCFFFQCLPCLIDCLNLLSTTA >Manes.07G022700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2483101:2489800:-1 gene:Manes.07G022700.v8.1 transcript:Manes.07G022700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSLTDTYKGMSSDNIKGLILALSSSFFIGASFIVKKKGLKKAGASGIRAGSGGYSYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHMILNEKLHIFGILGCVLCVVGSTTIVLHAPQEREIESVKEVWDLATEPAFLFYAALVITAVFVLIFHYIPDYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTLSGTNQLIYPQTWAFTLVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTIVASVIMFKDWDRQSPTQILTEMCGFVTILSGTFLLHKTKDMVDGSTSLPVRLPKHTEEDGYGAEGIPLRRQESTRSSQN >Manes.13G061400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7150890:7156262:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152568:7155981:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152568:7155856:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSFVCRKTCWVLSLWLNGYRSEVSFFIRNMSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152567:7156262:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152421:7156183:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152568:7155981:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152568:7155981:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152370:7156262:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152568:7155856:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSFVCRKTCWVLSLWLNGYRSEVSFFIRNMSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152421:7156184:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152568:7156263:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152421:7156184:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152567:7156262:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7150890:7156262:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152568:7156263:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152370:7156262:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVGIGAFIVNNNGEVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152568:7155981:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.13G061400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7152421:7156184:1 gene:Manes.13G061400.v8.1 transcript:Manes.13G061400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSVPMKKQTVPENEVQQVQLLNGVEDLYQGVVVEIKEYIDSSIFIPLLRASLSQWKQQGKRGVWIKLPIEFSHLVNPVVQEGFRYHHAEPDYLMLVNWLPYTPDTLPVNATHRVLVVQEKSGGFKGTGLWKLPTGVVNEGEDICEAAIREVKEETGIEAEFVEILAFRQSHRSFFGKSDLFFICMLRPLSFDIQKQESEVEAAQWMPMEDYVNQPYNQKHQLFKLIAEICKTKSESNYVGFSAFPTTTASGKKTYLYFNSSDFSKL >Manes.05G187732.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30864682:30865071:-1 gene:Manes.05G187732.v8.1 transcript:Manes.05G187732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGLPPKFETAKSHILFDSETASLHDVFTRVLRIESPIPSHTTSALVSHNDSGRQNNRGGHREGFNDGRGSKHPREAVSTSDSGGIICYYCREPRHTKKTCLKLQNKNSAYKWRIWQPRPPLIREF >Manes.08G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35658911:35661960:-1 gene:Manes.08G116900.v8.1 transcript:Manes.08G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKSKIESVREWIVEHKLRTVGCLWLSGIVGSIAYNWSQPNMKTSVRIIHARLHAQALTLAALAGAAVVEYYDHKSGAKAERYADYIPHKDAK >Manes.17G089300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29781246:29784219:1 gene:Manes.17G089300.v8.1 transcript:Manes.17G089300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNNQGEVMWPRLVASKVLRKRLGSNNFVADFPSNTEASLLEIPSNLGQPSLIADTIFNHHKHTHDYNLFVSTWNVGGIAPNEDLDLTDWLDTPNICDIYVLGFQEIVPLRASNVLGSENSKISMKWNSLVRKALNKKIECCRRDERTVASSSIPQDFRCIISKQMVGILISVWVRSSLRPYIRHPSVSCVGCGIMGCLGNKGSVSVRFQVHETSFCFVCSHLASGGREGDEKYRNSDVAEILSRTSFPKGPSLDLPRKILDHDHVILFGDLNYRISLPEETTRLLVDKKEWDPLLENDQLKMELMNGQAFEGWHEGIIKFPPTYKYYPNSNVYFGCIQGKKGEKWRAPAW >Manes.17G089300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29781246:29784219:1 gene:Manes.17G089300.v8.1 transcript:Manes.17G089300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRLVASKVLRKRLGSNNFVADFPSNTEASLLEIPSNLGQPSLIADTIFNHHKHTHDYNLFVSTWNVGGIAPNEDLDLTDWLDTPNICDIYVLGFQEIVPLRASNVLGSENSKISMKWNSLVRKALNKKIECCRRDERTVASSSIPQDFRCIISKQMVGILISVWVRSSLRPYIRHPSVSCVGCGIMGCLGNKGSVSVRFQVHETSFCFVCSHLASGGREGDEKYRNSDVAEILSRTSFPKGPSLDLPRKILDHDHVILFGDLNYRISLPEETTRLLVDKKEWDPLLENDQLKMELMNGQAFEGWHEGIIKFPPTYKYYPNSNVYFGCIQGKKGEKWRAPAWCDRIIWYGDRLKQHLYTRGEENLSDHRPVKAIFSAEVEVLQTVKGIQQFFTSERFDRKTNYKFDVHAELRQISM >Manes.17G089300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29781246:29784219:1 gene:Manes.17G089300.v8.1 transcript:Manes.17G089300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNNQGEVMWPRLVASKVLRKRLGSNNFVADFPSNTEASLLEIPSNLGQPSLIADTIFNHHKHTHDYNLFVSTWNVGGIAPNEDLDLTDWLDTPNICDIYVLGFQEIVPLRASNVLGSENSKISMKWNSLVRKALNKKIECCRRDERTVASSSIPQDFRCIISKQMVGILISVWVRSSLRPYIRHPSVSCVGCGIMGCLGNKGSVSVRFQVHETSFCFVCSHLASGGREGDEKYRNSDVAEILSRTSFPKGPSLDLPRKILDHDHVILFGDLNYRISLPEETTRLLVDKKEWDPLLENDQLKMELMNGQAFEGWHEGIIKFPPTYKYYPNSNVYFGCIQGKKGEKWRAPACRSM >Manes.17G089300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29781246:29784219:1 gene:Manes.17G089300.v8.1 transcript:Manes.17G089300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPNNQGEVMWPRLVASKVLRKRLGSNNFVADFPSNTEASLLEIPSNLGQPSLIADTIFNHHKHTHDYNLFVSTWNVGGIAPNEDLDLTDWLDTPNICDIYVLGFQEIVPLRASNVLGSENSKISMKWNSLVRKALNKKIECCRRDERTVASSSIPQDFRCIISKQMVGILISVWVRSSLRPYIRHPSVSCVGCGIMGCLGNKGSVSVRFQVHETSFCFVCSHLASGGREGDEKYRNSDVAEILSRTSFPKGPSLDLPRKILDHDHVILFGDLNYRISLPEETTRLLVDKKEWDPLLENDQLKMELMNGQAFEGWHEGIIKFPPTYKYYPNSNVYFGCIQGKKGEKWRAPAWCDRIIWYGDRLKQHLYTRGEENLSDHRPVKAIFSAEVEVLQTVKGIQQFFTSERFDRKTNYKFDVHAELRQISM >Manes.17G089300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29781246:29784219:1 gene:Manes.17G089300.v8.1 transcript:Manes.17G089300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRLVASKVLRKRLGSNNFVADFPSNTEASLLEIPSNLGQPSLIADTIFNHHKHTHDYNLFVSTWNVGGIAPNEDLDLTDWLDTPNICDIYVLGFQEIVPLRASNVLGSENSKISMKWNSLVRKALNKKIECCRRDERTVASSSIPQDFRCIISKQMVGILISVWVRSSLRPYIRHPSVSCVGCGIMGCLGNKGSVSVRFQVHETSFCFVCSHLASGGREGDEKYRNSDVAEILSRTSFPKGPSLDLPRKILDHDHVILFGDLNYRISLPEETTRLLVDKKEWDPLLENDQLKMELMNGQAFEGWHEGIIKFPPTYKYYPNSNVYFGCIQGKKGEKWRAPAWCDRIIWYGDRLKQHLYTRGEENLSDHRPVKAIFSAEVEVLQTVKGIQQFFTSERFDRKTNYKFDVHAELRQISM >Manes.13G057700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6652375:6660313:1 gene:Manes.13G057700.v8.1 transcript:Manes.13G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPSGSGNNHEGASPQKIPSSSSKATAAVANGTPVNSTKSGGSNSGASAAADNLQTEAALRHCPGISTEWTADEQSLLEELLTKYTSESIVQRYAKIALQLKDKTVRDVALRCRWMTKKENGKRRKEDHSARKNKDRKEKAADSSAKSSSHLTPRPNGPSYALPLIPVDNDDGISYKDIGGATGEILEQNAQILNQISSNFASFQIHDNLNLLSKTRDNILSILNDLNDMPEIMKQMPPLPVKVNEELANSILPPSSHHLKS >Manes.13G057700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6652542:6657121:1 gene:Manes.13G057700.v8.1 transcript:Manes.13G057700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPSGSGNNHEGASPQKIPSSSSKATAAVANGTPVNSTKSGGSNSGASAAADNLQTEAALRHCPGISTEWTADEQSLLEELLTKYTSESIVQRYAKIALQLKDKTVRDVALRCRWMTKKENGKRRKEDHSARKNKDRKEKAADSSAKSSSHLTPRPNGPSYALPLIPVDNDDGISYKDIGGATGEILEQNAQILNQISSNFASFQIHDNLNLLSKTRDNILSILNEYVIHLCPLFCCYEENLFAG >Manes.13G057700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6652375:6660313:1 gene:Manes.13G057700.v8.1 transcript:Manes.13G057700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPSGSGNNHEGASPQKIPSSSSKATAAVANGTPVNSTKSGGSNSGASAAADNLQTEAALRHCPGISTEWTADEQSLLEELLTKYTSESIVQRYAKIALQLKDKTVRDVALRCRWMTKKENGKRRKEDHSARKNKDRKEKAADSSAKSSSHLTPRPNGPSYALPLIPVDNDDGISYKDIGGATGEILEQNAQILNQISSNFASFQIHDNLNLLSKTRDNILSILNESPWLSLRTTVTRLKA >Manes.06G154400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28125299:28131637:-1 gene:Manes.06G154400.v8.1 transcript:Manes.06G154400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKALAHYFESKLLLLDVSDFSIKMQNKYGCTKKESSLKRSISEATLERMSSLFGSFSMPPQQEIRGTLRRQSSSLDIRSRGTEGTNNHGKVRRNASIASDMSSMASQFAPTNSASLKRVSGWCFDEKLFLQSLYKVLVSISERSSIILYLRNVEKVFLQSERMYNFFRKFLKKLSGSILILGSHMVDQDDDCRQVDERLTMVFPYIIEITPPEDETDLVSWKAQLEEDMKMIQFQDNKNHIVEVLAANDIECDDLLSICHADTMVLSNYIEEIVVSAISYHLMNNKNPEYRNGKLVISSKSLSHGLSIFQEGKSGGRDTMKLETNCEVGKDTEGEEAVGAKTESKSETSAPENKGEIEKSVPGAKKDGENLPPAKAPEVPPDNEFEKRIRPEVIPASEIGVTFADIGALDETKESLQELVMLPLRRPDLFKGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREMILKTLLAKEKTEDLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERLKDKERKPNAEEDASSEEDSSTKEEDKEERVITLRALNMEDMRQAKNQVAASFASEGSIMNELKQWNELYGEGGSRKKQQLTYFL >Manes.06G154400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28125299:28131637:-1 gene:Manes.06G154400.v8.1 transcript:Manes.06G154400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVRSLLTSFLIISELYQQMLAKALAHYFESKLLLLDVSDFSIKMQNKYGCTKKESSLKRSISEATLERMSSLFGSFSMPPQQEIRGTLRRQSSSLDIRSRGTEGTNNHGKVRRNASIASDMSSMASQFAPTNSASLKRVSGWCFDEKLFLQSLYKVLVSISERSSIILYLRNVEKVFLQSERMYNFFRKFLKKLSGSILILGSHMVDQDDDCRQVDERLTMVFPYIIEITPPEDETDLVSWKAQLEEDMKMIQFQDNKNHIVEVLAANDIECDDLLSICHADTMVLSNYIEEIVVSAISYHLMNNKNPEYRNGKLVISSKSLSHGLSIFQEGKSGGRDTMKLETNCEVGKDTEGEEAVGAKTESKSETSAPENKGEIEKSVPGAKKDGENLPPAKAPEVPPDNEFEKRIRPEVIPASEIGVTFADIGALDETKESLQELVMLPLRRPDLFKGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREMILKTLLAKEKTEDLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERLKDKERKPNAEEDASSEEDSSTKEEDKEERVITLRALNMEDMRQAKNQVAASFASEGSIMNELKQWNELYGEGGSRKKQQLTYFL >Manes.06G154400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28125299:28131637:-1 gene:Manes.06G154400.v8.1 transcript:Manes.06G154400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNFLLSALSVGVGVGVGLGLASGQSTNRRAGGNGSPDDISAEQIEHELIRQVTDGRDSKVTFDEFPYYLSDITRVSLTSAAYIHLKHSDVSRHTRNLSPASRAILLSGPTELYQQMLAKALAHYFESKLLLLDVSDFSIKMQNKYGCTKKESSLKRSISEATLERMSSLFGSFSMPPQQEIRGTLRRQSSSLDIRSRGTEGTNNHGKVRRNASIASDMSSMASQFAPTNSASLKRVSGWCFDEKLFLQSLYKVLVSISERSSIILYLRNVEKVFLQSERMYNFFRKFLKKLSGSILILGSHMVDQDDDCRQVDERLTMVFPYIIEITPPEDETDLVSWKAQLEEDMKMIQFQDNKNHIVEVLAANDIECDDLLSICHADTMVLSNYIEEIVVSAISYHLMNNKNPEYRNGKLVISSKSLSHGLSIFQEGKSGGRDTMKLETNCEVGKDTEGEEAVGAKTESKSETSAPENKGEIEKSVPGAKKDGENLPPAKAPEVPPDNEFEKRIRPEVIPASEIGVTFADIGALDETKESLQELVMLPLRRPDLFKGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREMILKTLLAKEKTEDLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERLKDKERKPNAEEDASSEEDSSTKEEDKEERVITLRALNMEDMRQAKNQVAASFASEGSIMNELKQWNELYGEGGSRKKQQLTYFL >Manes.06G154400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28125299:28131637:-1 gene:Manes.06G154400.v8.1 transcript:Manes.06G154400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNFLLSALSVGVGVGVGLGLASGQSTNRRAGGNGSPDDISAEQIEHELIRQVTDGRDSKVTFDEFPYYLSDITRVSLTSAAYIHLKHSDVSRHTRNLSPASRAILLSGPTELYQQMLAKALAHYFESKLLLLDVSDFSIKMQNKYGCTKKESSLKRSISEATLERMSSLFGSFSMPPQQEIRGTLRRQSSSLDIRSRGTEGTNNHGKVRRNASIASDMSSMASQFAPTNSASLKRVSGWCFDEKLFLQSLYKVLVSISERSSIILYLRNVEKVFLQSERMYNFFRKFLKKLSGSILILGSHMVDQDDDCRQVDERLTMVFPYIIEITPPEDETDLVSWKAQLEEDMKMIQFQDNKNHIVEVLAANDIECDDLLSICHADTMVLSNYIEEIVVSAISYHLMNNKNPEYRNGKLVISSKSLSHGLSIFQEGKSGGRDTMKLETNCEVGKDTEGEEAVGAKTESKSETSAPENKGEIEKSVPGAKKDGENLPPAKAPEVPPDNEFEKRIRPEVIPASEIGVTFADIGALDETKESLQELVMLPLRRPDLFKGGLLKPCKGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREMILKTLLAKEKTEDLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERLKDKERKPNAEEDASSEEDSSTKEEDKEERVITLRALNMEDMRQAKNQVAASFASEGSIMNELKQWNELYGEGGSRKKQQLTYFL >Manes.10G004600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:681293:682186:1 gene:Manes.10G004600.v8.1 transcript:Manes.10G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASALLLILVLFLSLMFQCKVATRIGKDCGLACQVERRGTKKHVDIEDDSGDEAGEGEYDYYRRYGDVPSPGVGH >Manes.04G123100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32439808:32443311:-1 gene:Manes.04G123100.v8.1 transcript:Manes.04G123100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLMADSASESDCKSDAPGLRSISSSFFNFHGFFVGFGSRGSSDSDSTRSHTSLDFSFLSNLGNPFSHKSPRSPTQNGHQKKWDCSKVGLSIINLLLDETKPTGEVLNSPKRKNIIFGSQVKTGYSVRSKSLPRDYMLLLLSQTKTPNPKLGKSDSDAFFGNDGVPLEPKSLEISSPISLSPTSPLSSKRFCSENRTTAITSLPQFSSGGTQTDNSMEIKLSSLPVPIASSHVNVGSLSAREIELSEDYTCIISYGPNPKTTHIFGDCILECHTNELSSFDKAQNLGSELLQEANCLEVEGPTPHSSDELLSFCYSCKKKLEKEVDISIYRGEKAFCSFDCRSEELFAEDETEKTCDDSPRSSRASSYHEDIFQTSVPIAI >Manes.04G123100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32440404:32443311:-1 gene:Manes.04G123100.v8.1 transcript:Manes.04G123100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLMADSASESDCKSDAPGLRSISSSFFNFHGFFVGFGSRGSSDSDSTRSHTSLDFSFLSNLGNPFSHKSPRSPTQNGHQKKWDCSKVGLSIINLLLDETKPTGEVLNSPKRKNIIFGSQVKTGYSVRSKSLPRDYMLLLLSQTKTPNPKLGKSDSDAFFGNDGVPLEPKSLEISSPISLSPTSPLSSKRFCSENRTTAITSLPQFSSGGTQTDNSMEIKLSSLPVPIASSHVNVGSLSAREIELSEDYTCIISYGPNPKTTHIFGDCILECHTNELSSFDKAQNLGSELLQEANCLEVEGPTPHSSDELLSFCYSCKKKLEKEVDISIYRGEKAFCSFDCRSEELFAEDETEKTCDDSPRSSRASSYHEDIFQTSVPIAI >Manes.04G123100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32439674:32443673:-1 gene:Manes.04G123100.v8.1 transcript:Manes.04G123100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLMADSASESDCKSDAPGLRSISSSFFNFHGFFVGFGSRGSSDSDSTRSHTSLDFSFLSNLGNPFSHKSPRSPTQNGHQKKWDCSKVGLSIINLLLDETKPTGEVLNSPKRKNIIFGSQVKTGYSVRSKSLPRDYMLLLLSQTKTPNPKLGKSDSDAFFGNDGVPLEPKSLEISSPISLSPTSPLSSKRFCSENRTTAITSLPQFSSGGTQTDNSMEIKLSSLPVPIASSHVNVGSLSAREIELSEDYTCIISYGPNPKTTHIFGDCILECHTNELSSFDKAQNLGSELLQEANCLEVEGPTPHSSDELLSFCYSCKKKLEKEVDISIYRGEKAFCSFDCRSEELFAEDETEKTCDDSPRSSRASSYHEDIFQTSVPIAI >Manes.04G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32440318:32443598:-1 gene:Manes.04G123100.v8.1 transcript:Manes.04G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLMADSASESDCKSDAPGLRSISSSFFNFHGFFVGFGSRGSSDSDSTRSHTSLDFSFLSNLGNPFSHKSPRSPTQNGHQKKWDCSKVGLSIINLLLDETKPTGEVLNSPKRKNIIFGSQVKTGYSVRSKSLPRDYMLLLLSQTKTPNPKLGKSDSDAFFGNDGVPLEPKSLEISSPISLSPTSPLSSKRFCSENRTTAITSLPQFSSGGTQTDNSMEIKLSSLPVPIASSHVNVGSLSAREIELSEDYTCIISYGPNPKTTHIFGDCILECHTNELSSFDKAQNLGSELLQEANCLEVEGPTPHSSDELLSFCYSCKKKLEKEVDISIYRGEKAFCSFDCRSEELFAEDETEKTCDDSPRSSRASSYHEDIFQTSVPIAI >Manes.15G009400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:847901:849635:1 gene:Manes.15G009400.v8.1 transcript:Manes.15G009400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MEKLQSYKSRGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVEYIPISPMEKARQIMEEFVDICKKPSQKRSVPGHFLHMEPNFAEYGLLDYYTPQHTAVQYATVVPQMIATQTRRVQAARN >Manes.15G009400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:848416:849437:1 gene:Manes.15G009400.v8.1 transcript:Manes.15G009400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MEKLQSYKSRYQLGDFQLRVGKVVPSHSENLRGIVMEVEYIPISPMEKARQIMEEFVDICKKPSQKRSVPGHFLHMEPNFAEYGLLDYYTPQHTAVQYATVVPQMIATQTRRVQAARN >Manes.15G009400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:848416:849437:1 gene:Manes.15G009400.v8.1 transcript:Manes.15G009400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MEKLQSYKSRGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVEYIPISPMEKARQIMEEFVDICKKPSQKRSVPGHFLHMEPNFAEYGLLDYYTPQHTAVQYATVVPQMIATQTRRVQAARN >Manes.15G009400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:847901:849635:1 gene:Manes.15G009400.v8.1 transcript:Manes.15G009400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MEKLQSYKSRYQLGDFQLRVGKVVPSHSENLRGIVMEVEYIPISPMEKARQIMEEFVDICKKPSQKRSVPGHFLHMEPNFAEYGLLDYYTPQHTAVQYATVVPQMIATQTRRVQAARN >Manes.15G009400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:847824:849635:1 gene:Manes.15G009400.v8.1 transcript:Manes.15G009400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MEKLQSYKSRGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVEYIPISPMEKARQIMEEFVDICKKPSQKRSVPGHFLHMEPNFAEYGLLDYYTPQHTAVQYATVVPQMIATQTRRVQAARN >Manes.15G009400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:847906:849635:1 gene:Manes.15G009400.v8.1 transcript:Manes.15G009400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MEKLQSYKSRYQLGDFQLRVGKVVPSHSENLRGIVMEVEYIPISPMEKARQIMEEFVDICKKPSQKRSVPGHFLHMEPNFAEYGLLDYYTPQHTAVQYATVVPQMIATQTRRVQAARN >Manes.15G009400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:847789:850148:1 gene:Manes.15G009400.v8.1 transcript:Manes.15G009400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MEKLQSYKSRGFQYQLGDFQLRVGKVVPSHSENLRGIVMEVEYIPISPMEKARQIMEEFVDICKKPSQKRSVPGHFLHMEPNFAEYGLLDYYTPQHTAVQYATVVPQMIATQTRRVQAARN >Manes.05G140652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:17528705:17529178:-1 gene:Manes.05G140652.v8.1 transcript:Manes.05G140652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYKLVYEKACHLPVELEHKAYWAVKNCNMDLKEAGHHRKLQLQELEEIRRDAYENSWSYKAKTKATPDSHLSRKQFEVGDKVLLFDSRLKLFPGKLRSRWIGPFIVEHAYPHGAIDIRSIETRKIFKVNGHRLKPYFEGFAVQVVEEIPLQHPSA >Manes.13G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10976571:10979024:1 gene:Manes.13G074000.v8.1 transcript:Manes.13G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARDLLPTKSHFPTVSIYLLLISSFVCFVYLFVSVILVDTSKFSDVNLSSGTVHAPTNLGHIVFGIASNKNSWPKRKDYVKLWWKPEKMRGCVFLESLPEDVKSYDNNSISLPPLCISEDTSRFRYTYRNGLRSAIRVARVVSETVGLNHSNVRWFVFGDDDTVFFPENLVKTLSKYDHGLWYYIGSNSEIYEQNRAFGFEMAFGGGGFAISYPLAKVLAKVFDSCIERYPHLYGSDSRVSSCLAELGVGLTREPGFHQVDVRGDLFGLLTSHPLSPIVSLHHLGHLDPIFPNMTITDSIQHFFKAVAVDSQRVLQKTVCYDRWFFWTISVSWGYAVEVYGKHMLLPDTLPVQATFKQWQKGTLLAGAYTFNVRQPHHDPCQRPTIFFLDHLSSSSDGVIISHYKKSYANCSYDMSSPRKLEEIKVFSHKLELSDKQLQAPRRQCCDVLPSSAGKVMEIAIRECQEEELIHMHA >Manes.13G074000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10976571:10979024:1 gene:Manes.13G074000.v8.1 transcript:Manes.13G074000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARDLLPTKSHFPTVSIYLLLISSFVCFVYLFVSVILVDTSKFSDVNLSSGTVHAPTNLGHIVFGIASNKNSWPKRKDYVKLWWKPEKMRGCVFLESLPEDVKSYDNNSISLPPLCISEDTSRFRYTYRNGLRSAIRVARVVSETVGLNHSNVRWFVFGDDDTVFFPENLVKTLSKYDHGLWYYIGSNSEIYEQNRAFGFEMAFGGGGFAISYPLAKVLAKVFDSCIERYPHLYGSDSRVSSCLAELGVGLTREPGFHQVDVRGDLFGLLTSHPLSPIVSLHHLGHLDPIFPNMTITDSIQHFFKAVAVDSQRVLQKTVCYDRWFFWTISVSWGYAVEVYGKHMLLPDTLPVQATFKQWQKGTLLAGAYTFNVRQPHHDPCQRPTIFFLDHLSSSSDGVIISHYKKSYANCSYDMSSPRKLEEIKVFSHKLELSDKQAPRRQCCDVLPSSAGKVMEIAIRECQEEELIHMHA >Manes.13G074000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10976571:10979024:1 gene:Manes.13G074000.v8.1 transcript:Manes.13G074000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLARDLLPTKSHFPTVSIYLLLISSFVCFVYLFVSVILVDTSKFSDVNLSSGTVHAPTNLGHIVFGIASNKNSWPKRKDYVKLWWKPEKMRGCVFLESLPEDVKSYDNNSISLPPLCISEDTSRFRYTYRNGLRSAIRVARVVSETVGLNHSNVRWFVFGDDDTVFFPENLVKTLSKYDHGLWYYIGSNSEIYEQNRAFGFEMAFGGGGFAISYPLAKVLAKVFDSCIERYPHLYGSDSRVSSCLAELGVGLTREPGFHQRVLQKTVCYDRWFFWTISVSWGYAVEVYGKHMLLPDTLPVQATFKQWQKGTLLAGAYTFNVRQPHHDPCQRPTIFFLDHLSSSSDGVIISHYKKSYANCSYDMSSPRKLEEIKVFSHKLELSDKQLQAPRRQCCDVLPSSAGKVMEIAIRECQEEELIHMHA >Manes.01G215100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38471129:38472715:1 gene:Manes.01G215100.v8.1 transcript:Manes.01G215100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVNELVKEKPVVIFSKSSCCMSHSIKSLICGFGANPTVYELDRIPNGEQIERTLVQQGCQPSVPAVFIGQKLIGGEKQVMSLHVQSQLIPLLINAGGIWI >Manes.14G042800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3762503:3763531:-1 gene:Manes.14G042800.v8.1 transcript:Manes.14G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLLVCMIVCACFQICLTDYDNLQDTCPAAPTSKQPIFINGLPCKNPATITPSDFKSSKLSLPGDTDNFFRSSTTIVTAAEFPGLNTLGLSIARTDLDVDGVVLPQSHPRASELFFVSAGVVIAGFVDTNNQLFQKILKEGEVFVLPRGLLHFYLNAGNEAATIFSVLSSQNPGVVSVAGAMFESDPDVLNKLVTKIRSLSSSHNNGSENATLFGFY >Manes.05G083767.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6923276:6923757:1 gene:Manes.05G083767.v8.1 transcript:Manes.05G083767.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEYDDGNCENVHENEFDAIVAPPFLVKAYFKDLKARENKKGEESGTANSQGIHWSSETNEGRRKKARRGSSCGLQGEE >Manes.15G058700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4499688:4509667:1 gene:Manes.15G058700.v8.1 transcript:Manes.15G058700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLKELYAFLLVVLLSSPQAVFGIRFVIDREECFSHDVKYEGDTVHVSFVVIKTDSSWRYTNDGVDLVIKGPSGDQIHDFRDKISDKIEFMARQRGVHSFCFTNKSPYHETIDFDVHVGHFSYYDEHAKDEHFRPLLDQIWKLEEALYNIQFEQHWLEAQTERQAIVNEAMGRRAIHKALYESAALIGASALQIYLLRRLFERKLGMSRV >Manes.15G058700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4499042:4509736:1 gene:Manes.15G058700.v8.1 transcript:Manes.15G058700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEMGIGLKELYAFLLVVLLSSPQAVFGIRFVIDREECFSHDVKYEGDTVHVSFVVIKTDSSWRYTNDGVDLVIKGPSGDQIHDFRDKISDKIEFMARQRGVHSFCFTNKSPYHETIDFDVHVGHFSYYDEHAKDEHFRPLLDQIWKLEEALYNIQFEQHWLEAQTERQAIVNEAMGRRAIHKALYESAALIGASALQIYLLRRLFERKLGMSRV >Manes.01G037100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7183593:7189636:-1 gene:Manes.01G037100.v8.1 transcript:Manes.01G037100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVINSASLVPISEMLSQLASSIFGTVHAVKEVLIQKENFKKFSTYLEKTACLLKELSELNLDYSESLKTAIEILNRETKIAKQLVLECKNKNKVYLLLNCHRIVKRLDNITKEISHALSLIPLASLGISMGISDEISKLCENMLGAEYRTAVAEQKILEKIASATQECNVNRSHANDLLFHIAEAVGISTEHSSFKKEFKEFKNEIEDAKRRKDMPEDTQMEQIIALLENADATKSHEEREKYFNRRNSLGRQPLQPLQAFYCPITQDVMVDPVETSSGKTFERAAIEKWFAEGHNSCPLTQISLDTSFLRPNKPLQKLIEEWRDRNNLITIVSLKPKLQSTEEHEVLQSLEKLQGLLVERELHRQWVIMEDYIPVLIGLLSAKNRDIRTSTLAILCILAKDSEDNKEAIAKVDRALELIIHSLARQIGESKLALQLLLDLSRNHLVKHSVGNIQGCIFLLATASNSNDNQAAKNAEELLNHLSFCNQNVIEMAKANFFKPLLQLLSSGEEDIRIIMAETLSQIELTDNNKVSLFEEGALEPLLQLLTHDDLEVKKVAIEALHNLSDVPQNGLKLIREGAIGPLLELLYRHSLLSPRLREQVAATIMHLAISTTTQVADIMQVLLLESEDIFRLFSLISFTGPNIQKSILRTFLAVCHSPLGVEIREKLRQFSALQVLVQLCDQDNQIVRGNAVKLFCCLTQDGNGDTLLEHVGQRCIERLLTIITTSNDMEEIAAAMGIISNLPKDPQITLWLLDAGALDIISTCLTHESRNASYRMQITENAAAAICPFIAPSNLEWQKRVAEFGIIPVLVQLLVCGTTLTKQNAAISLKHFSENSTSLSNRVKQQWLSWCCLKAPVKYCPVHLGICTVEYSFCILEANALEPLVRMLGETDPGVCEASLDALLTLIDGERLQRGSKVLAEANAIAPIIKLLSLPSASLQEKTLKALERIFRLVEFKQQYGTSAQMPLVEITQRGSGSMKSLAAKVLAQLNLLTEQSSYF >Manes.16G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3610686:3616451:1 gene:Manes.16G030700.v8.1 transcript:Manes.16G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRLDPQSAAEKAISVIGFGYDLTNDVRLSACKPGPSGSRLIDLGPTLTRDLVVPGGVVVRNVSTGIKCDKGERTRFRSDVLSFNQMSEKFNQDLSLSGKIPSGLFNAMFDLRGCWQKDAASVKSVAYDGWFITLYNIELERSHLALSEKLKQEVPTSWDAAALAEFIEKYGTHIVVGVKMGGKDVIHIKQLQKSHAQPPEVQKLLKQVADERFSEEGNAAELSRKSKEDEHSVLWDLRGFATSIRPPVITSIKNEDILSISIRRGGVDLGQSHYQWLSTISQSPNVISMSFVPITSLLSGVRGNGFLTHAINLYLRYKPPIEELHQFLEFQVPRQWAPIHGDLPLTLKRRKQASPSLRFTFMGPKLYVNTMQVDSGNRPVTGIRLHLEGRRSDHLAIHLQHLSTLPSIVQLSDDHRYEPIEEPMERGYIEPVSWSIFSHVCTAPVQYSGAHIDDSASIVTKAGFEVKIIGMKKVLFLRLGFSMVASARIRRSEWDGPSTLSRKSGIFSTLISTRFSAGLNPPPEKPVKVDVNSAVFPGGPPLPTRVPKMANFVDTKEMVRGPEDPPGYWVVTGAKLCVEGGRISMKVKYSLLAIMSEESMMLM >Manes.14G109832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9248948:9253075:-1 gene:Manes.14G109832.v8.1 transcript:Manes.14G109832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSENRNMEETLLIKQNREVDHSSALTWQVFFQEVKKLGFIAGPMVAVILSQFLVQFISMTMVGHLSELALSSTAIAISLSSVTGLSPLMGMASALETLCGQAYGAKQYKKLGIQTQTAIFCLILVCIPLSVIWINMGKILIFIGQDPRISHEAGKFTMWLVPQLFAYATLQPLIRYFQTQSLIFPMVLSSCGALCFHIPLCWVLVFKSGLDNLGAAVAMCISNWLNVIILALYMKFASACAKTRAPISMELFHGIGEFFRFAIPSAVMICLEWWSFELLVLLSGLLPNPELETSVLSVCLTTISTLYAIPYGFGAAASTRVSNELGAGNPQAARIAVYAVLFLAVVETTIVTATLFASRRIFGYVFSNEKDVVDYVTTMAPLVCLSVLMDSLQGVLSGVARGSGWQQIGAYINLGAFYLCGIPVAAALGFLVKLRGMGLWIGIQVGAFTQTALLATVTGCTDWQRQASKARERIFEGRSLVHNEVM >Manes.14G109832.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9248948:9253075:-1 gene:Manes.14G109832.v8.1 transcript:Manes.14G109832.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSENRNMEETLLIKQNREVDHSSALTWQVFFQEVKKLGFIAGPMVAVILSQFLVQFISMTMVGHLSELALSSTAIAISLSSVTGLSPLMGMASALETLCGQAYGAKQYKKLGIQTQTAIFCLILVCIPLSVIWINMGKILIFIGQDPRISHEAGKFTMWLVPQLFAYATLQPLIRYFQTQSLIFPMVLSSCGALCFHIPLCWVLVFKSGLDNLGAAVAMCISNWLNVIILALYMKFASACAKTRAPISMELFHGIGEFFRFAIPSAVMICLEWWSFELLVLLSGLLPNPELETSVLSVCLTTISTLYAIPYGFGAAASCGDNYSNCYPLRKPPHFRLCFQQRKGCGGLCHNYGSPRLFVSVNGQLTRGAFRCCKGVRMAANRGVYKPGSLLSLWDSSCCSIGFLGEIKRNGALDWNTSWCIYTNGSACYCYRLHRLAKTGKQGKGKDI >Manes.02G062600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4803763:4804881:-1 gene:Manes.02G062600.v8.1 transcript:Manes.02G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAASNSTTSGGADGGEFQHWNSPLPYLFAGLALLLGLIAMALLILACSYKTSPSDSLPDHEAQEKSHNKQVTFQPLEMEPKIVVVMAGDHNPTYLAKPVARTC >Manes.14G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9413354:9421505:1 gene:Manes.14G136300.v8.1 transcript:Manes.14G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSAAATTNIMLAIYEKKTTSLDLYRPLRNYISMVYSEREAQNLEDDLQTLKEYRSDLERQSDPSPTSRRDLLQNYFKALCLVETRFPISPDNDHINTVTFVWYDAFKQKQKASQQNIHLEKAAVLFNLGAVYSQIGLSFDRATVEGRRQAIHAFIAAAGAFAYLRDNAATKASMGSSTTVDVSVECAGMLERLMLAQAQECVFENTIAKGSTSGVCSKIARQVGLYYEEGLAALNVAPLKDHFDKPWIAHVQLKAALFYAEACYRYSLELHEKEEIAEEIARLRSGISALADAKKNLKGAAAQLLDAISKLEANINRNLERAVKENDRVYLMRVPSPSSLSPLPAFSMVKPMPMNEVLDASKEKMFASLVPDSSAKALSRYTEMVDDIIRTQAEKLQQASELTRVRLKEMDLPDSVIALEGNFTLPTDLKEDVEAVQISGGPAGLEAELQQLRDLRRVNQELLLQTEELLQKEASEDAQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAADSDGRIERSVRDHSALMSILDRRPIESALPTLARPIMSLDANEDAIVGSLKQSLRQLEILGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDLFRKEIAKYDQICEDIAQNIEAQEQVLLQIQAQNEEFSVVFNLEDYKASREKCYKQIQAAIAKYREIKENINEGLKFYVTLQDAITNVKQQCSDFVMTRSIQCREMIEDVQRHMAGLSFQDRKNTGSYNYPAVNQAHQTPRSSPPPPVDPQNVPPPRPQMPYYQPPEQPTMPGYAHPPASYTAPHQPPPYHIPPAAGTPYPPQVQQQPPTSQEYGQPAYPGWRGPYYNAHGQQPGSFPRPPYTVPNPYPPPHQSGYYKQ >Manes.14G068000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5658793:5669624:-1 gene:Manes.14G068000.v8.1 transcript:Manes.14G068000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEDFDFVWVRTADFSSVKSIGQATSFCWEIEEGLEASDIFTSFPYYIEDRKDIVLEDGEEFHSTSEIVPLAKCGSDSKLAYEILFQLNSLVHTHKISLASVDTDLINILGSLTIDTAMIILQKLHKLTFTCYDPLSFIKKQLHVPGRNLKKPFISSRKNFTDHNITICHRALITPSKIYCLGPELEASNYVVKNFASYASDFMRITFVEEDWSKLPANAISTSIQQGIFAKPFRTEIYHRMLSVLRDGIVIGAKRFEFLAFSASQLRSNSVWMFASNDDVKAEDIREWMGCFNKIRSISKCAARMGQLFSASRQTFVVPAQDVEIIPDIEVNSDGIGYCFSDGIGKISLSFARQVAQKCGLNQTPSAFQIRYGGYKGVIAVDRDSCRKLSLRGSMLKFESENRMLNVTKWSESMPCYLNREIISLLSTLGVKDETFEGLQQQQLRLLGRMLSNREAALDALENLSWADSKNLLVKMLLQGYEPNVEPYLSMMLQAYHENLLVELRSRCRIFVPKGRILIGCLDESGLLDYGQVYVCITMTKAELQNIDQSYFRRVDEKTSIVTGKVVVTKNPCLHPGDVRVLDAVYEVELEEQGLVDCILFPQKGERPHPNECSGGDLDGDLFFISWDKGLIPSQTVSPMDYLGRRPRIMDHNVTLEEIQKFFVDYMINDTLGAISTAHLVHADREPDKARSDKCLQLAALHSMAVDFAKTGAPAEMPRFLKPKEFPDFMERTDKTTYISNGVLGKLYRGIVGSTSREGSKFVWSEKIAEATYDRDLEVKGFEEFIDMALSHRDIYVEKLSGLMKYYEATYEDEILTGNLRKKAMYLQRDNRRYGDMKDRIMLSLKSLQNEVKEWFESSCQPKEHQPLASAWYHVTYHPSYFQEGVNCLSFPWIVGDILLNIKSANSKRDPA >Manes.14G068000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5658793:5669624:-1 gene:Manes.14G068000.v8.1 transcript:Manes.14G068000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVVERPTVRLSNIPQSVIAKDLLQYLETQLGPDSVFAIEISTERKNWKSRGFGRVQFTSLEFKEKTQSLSIQNKLFLKSQYLMVSETYDDIIPRPIKPQHRLENCVLYAGFMKEERCLCVLESWDGVRGWLMPERRRVEFWVWVNDECYKLDVRFDDVLEAVGCCLGGEKVDAILLKLRYGPKIYKRISGPHIASKFSADRYHMCKEDFDFVWVRTADFSSVKSIGQATSFCWEIEEGLEASDIFTSFPYYIEDRKDIVLEDGEEFHSTSEIVPLAKCGSDSKLAYEILFQLNSLVHTHKISLASVDTDLINILGSLTIDTAMIILQKLHKLTFTCYDPLSFIKKQLHVPGRNLKKPFISSRKNFTDHNITICHRALITPSKIYCLGPELEASNYVVKNFASYASDFMRITFVEEDWSKLPANAISTSIQQGIFAKPFRTEIYHRMLSVLRDGIVIGAKRFEFLAFSASQLRSNSVWMFASNDDVKAEDIREWMGCFNKIRSISKCAARMGQLFSASRQTFVVPAQDVEIIPDIEVNSDGIGYCFSDGIGKISLSFARQVAQKCGLNQTPSAFQIRYGGYKGVIAVDRDSCRKLSLRGSMLKFESENRMLNVTKWSESMPCYLNREIISLLSTLGVKDETFEGLQQQQLRLLGRMLSNREAALDALENLSWADSKNLLVKMLLQGYEPNVEPYLSMMLQAYHENLLVELRSRCRIFVPKGRILIGCLDESGLLDYGQVYVCITMTKAELQNIDQSYFRRVDEKTSIVTGKVVVTKNPCLHPGDVRVLDAVYEVELEEQGLVDCILFPQKGERPHPNECSGGDLDGDLFFISWDKGLIPSQTVSPMDYLGRRPRIMDHNVTLEEIQKFFVDYMINDTLGAISTAHLVHADREPDKARSDKCLQLAALHSMAVDFAKTGAPAEMPRFLKPKEFPDFMERTDKTTYISNGVLGKLYRGIVGSTSREGSKFVWSEKIAEATYDRDLEVKGFEEFIDMALSHRDIYVEKLSGLMKYYEATYEDEILTGNLRKKAMYLQRDNRRYGDMKDRIMLSLKSLQNEVKEWFESSCQPKEHQPLASAWYHVTYHPSYFQEGVNCLSFPWIVGDILLNIKSANSKRDPA >Manes.14G068000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5665271:5669624:-1 gene:Manes.14G068000.v8.1 transcript:Manes.14G068000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVVERPTVRLSNIPQSVIAKDLLQYLETQLGPDSVFAIEISTERKNWKSRGFGRVQFTSLEFKEKTQSLSIQNKLFLKSQYLMVSETYDDIIPRPIKPQHRLENCVLYAGFMKEERCLCVLESWDGVRGWLMPERRRVEFWVWVNDECYKLDVRFDDVLEAVGCCLGGEKVDAILLKLRYGPKIYKRISGPHIASKFSADRYHMCKEDFDFVWVRTADFSSVKSIGQATSFCWEIEEGLEASDIFTSFPYYIEDRKDIVLEDGEEFHSTSEIVPLAKCGSDSKLAYEILFQLNSLVHTHKISLASVDTDLINILGSLTIDTAMIILQKLHKLTFTCYDPLSFIKKQLHVPGRNLKKPFISSRKNFTDHNITICHRALITPSKIYCLGPELEASNYVVKNFASYASDFMRITFVEEDWSKLPANAISTSIQQGIFAKPFRTEIYHRMLSVLRDGIVIGAKRFEFLAFSASQLRSNSVWMFASNDDVKAEDIREWMGCFNKIRSISKCAARMGQLFSASRQTFVVPAQDVEIIPDIEVNSDGIGYCFSDGIGKISLSFARQVAQKCGLNQTPSAFQIRYGGYKGVIAVDRDSCRKLSLRGSMLKFESENRMLNVTKWSESMPCYLNREIISLLSTLGVKDETFEGLQQQQLRLLGRMLSNREAALDALENLSWADSKNLLVKMLLQGYEPNVEPYLSMMLQAYHENLLVELRSRCRIFVPKGRILIGCLDESGLLDYGQVYVCITMTKAELQNIDQSYFRRVDEKTSIVTGKVVVTKNPCLHPGDVRVLDAVYEVELEEQGLVDCILFPQKGESNVWQAATV >Manes.06G171500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29578946:29579479:1 gene:Manes.06G171500.v8.1 transcript:Manes.06G171500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPRKPLILEINLISAQGLGRGRKSSGMQTYVVAYLNQKQNQKLTSRIDKDGNNNPTWNDKFIFVVDEVGDDSTTHSCLVFEIFRVRRFVKDKRIGVVRVLLDNLISRNHGCIEGESPKFAAFHVRTPSGEHVGILNIGVANLNTMFHQEIPKFLSLDSALDYRRLMGSEPVAKA >Manes.13G123800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33136462:33140326:1 gene:Manes.13G123800.v8.1 transcript:Manes.13G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDKGFSSSYMILKPEEVKLLDLIHILFSSNIENTKFVDSPAKRESFERRWLIFISIVVQKILQFSSKPLSFLGSLVEMWLNLLSSNGNFFKLLYNFLRGKVVIPDRTSATFISFIGNFDKRVELDKDIKRGDARYHAALSMMASKASYENEAYLRTIVHNHWEMEFLGFYDYWNDYQEKATTQAFIFRDKTADQDTVVVAFRGTEPFDADAWCSDFDISWYELENIGSIHGGFMKALGLQKSIGWPREIITDKKYTTRKTPLAYYAIKETLREIFSKNDGAKYIVTGHSLGGALAILFPAILAYHDEKMLLERLEGVYTFGQPRVGDERFGEYMENKLKENGISYYRFVYSNDIVPRLPYDDSALMFKHFGTCIYYNRHYQAKVVAEEPNKNYFSPLRAIPMMINAFMELIRSFTILYTEGAEYRECWFMRVFRLIGLAIPGIPPHCPQDYVNATRLGPANLFLQPHHFHQQP >Manes.07G138400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34084665:34086163:1 gene:Manes.07G138400.v8.1 transcript:Manes.07G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRHEAAISLQIKQDDKFFTRIMSKETSMANSSCRIYYGGASGAVPFMWESTPGTPKHNFADNSVPPLTPPPSYYSTSKSKSTYKRSAKQNPLSFIFSKLISQNSKKSHVLPSSSMSSTSTPSFLSPSNFKNSKSRNQPFFLCLTSPIHTIDDEEDDGDYNEYRIKPPTPTLCYG >Manes.05G144500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24631297:24634339:-1 gene:Manes.05G144500.v8.1 transcript:Manes.05G144500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGRKITLKSSDGETFEMDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILSKVIEYCKKHVETPKSEDRPSSVDDELKAWDAEFVKVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Manes.07G075714.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:20740273:20740638:-1 gene:Manes.07G075714.v8.1 transcript:Manes.07G075714.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKPSKTPMSTNTKLDKDEKGKPIDEKLYRGMIRSLLYLTVFRPDTMFFVCLCARFQSCPKESHLHAVKRILRYLNGSLHLGLWYPRNTSFSLFSYSNADFAGSILDRKSTSGTCQLLE >Manes.10G004550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:659519:662705:1 gene:Manes.10G004550.v8.1 transcript:Manes.10G004550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIGSPKNKVPAVGTASLNLAEYASTAEQKELELSLPLSLPAGAAEPKPMLCISLILLELRFPEATKPLQREIVPVSSPPQSGETVSTEKDELSAIKAGLRKSHKADEDSNANRSSVSDFGDDNFAIGSWEHKEIISRDGHMKLQTEVFFASIDQRNERAAGESACTALVAVIADWFHNNHGIMPIKSQFNHFLLFLASPLSDFSIPKAWTREDLTFCMVPCPLIIFGMRLVAVDQMRK >Manes.15G150301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12449477:12450100:-1 gene:Manes.15G150301.v8.1 transcript:Manes.15G150301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVNYSKSKILFLLNVTTQLQQLICDVAVISITTDLRKYLGVQIVHGCTHKHMFAPLFQRLDAALLSWKTFMLSPSGRVTLAKSILNALPNHIMQSFYLPRTVSDLLDKKNCDFIWGDQTGKWRVHAVNWDIITLPIDRRGLGIRSCWEMNIAFLAKLDWHLLHDDNSLRSFILQGKYRVTFWSIDAFSPNNNSSIIWRSLIHSAPLL >Manes.01G274600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42847514:42856873:-1 gene:Manes.01G274600.v8.1 transcript:Manes.01G274600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGTAEGIDPLQMEPSASKSTSELPTTSSSSSLSSAADTPDLTDPSNSSPNPNRDGDIQPLALLRSEEYRQLFRLPSEEALVQDFNCAFQESILLQGHMYLFTHYICFYSNIFGFETKKIIPFIEITSVKRAKTAGIFPNAIEIFAGGRKYFFASFLSRDEAFKLINDGWLQHCNGAKAITEHQESISGSNCQDNGLVAIEKVDSFKEINELDSSARDMDAPPSNDYQLSPPSLVENDRVSVTLTEMQDTVEQDVEPVGCIETSSSVKTWTWNEEETDAPEIQKSYTRVGETKFPIKVEEFFSLFFSDDAINFVESFHKRCGDKEFRCSLWCPLEKSGHTRDVSFQHPIKLYFGAKFGSCQEVQKFQIYKNSHLVIETSQEISDVPYGDYFRVQGLWDVAKDGHGSNEGCILQIHVDVAFSKRTVFKGKIVQSTLEECREAYAVWINTAHELLKQKNLERREEVPYTRVIQNGEVNLEREVKTVEASERSASQLNEHGRRTAVSGSLDVKEGFGNLVRRNFMNATSVASLLGEYVTKFCTYLKSQSQITLILVVAFAVIFLMQVSIVVLLNRPQNVNVGPPGQYYIGGMGGGIGGRPAEDVAWLEKRMHHLKGEMYMVEAQLERLRHEHNWLKVQLEDLDGLRKRK >Manes.15G165200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13981090:13983799:1 gene:Manes.15G165200.v8.1 transcript:Manes.15G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKDGLRCQLQSIKVGFTLPIFTSNQLINLYSNHGLIREAQNLFDEMPDRNVFSWNALISAHIKARNLSQAKLIFESTSVRDLVTYNSILSGYVSADGYETYALELFIEMLRDRYKIGMDEFTFTTMVNLFAKLSMLSYGRQVHSYMIKTANDINRFALSSLINMYSKCGSFQAAREMFNGGEEVADIISKNSMVAACCREGEMDLALNLFWRESEFNDTVSWNTLISGFAQNGYEEESLKLFVCMMDNGVKCNERTFASLLSACSALKNLKLGKEIHAWVLRNGLGLNPFMESGLIDVYCKCGNMKYAEAMHLASRIESSCFVTSMIVGYSSQGNMVKARKLFDSLDEKNAIIWTALFTGYVKLQQCEAVFELFIEFRSKELTVPDDLIIVSLLGACALQAALSPGKEIHGYVLRMGILMDKKMATAVVDMYSKCGSITYAENTFQKVTERDSVLYNVMIAGYAHHGHENEAIQLFQEMLEKGIKPNEVTYVALLSACRHRGLVDLGEKFFNSMTDKHSISPEIDHYTCMIDLYGRANQLEKALSLMKKIPIEQQDATILGAFLNACRLNRNAELAKQVEENLLKIESKNGARYVQLANVYAAEGNWVEMRRIRKQMRGNKAKRFAGCSWVYLENGVHVFTSGDRTHRNAYSIYSMLACLNTELYEISGVIC >Manes.14G087500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7244119:7247677:1 gene:Manes.14G087500.v8.1 transcript:Manes.14G087500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKILRIRNVIQRFVLSSKPIPTHPNERFHFLSTMPGSSNGSLYRKQISLANLFQRYGFPPSQLHSFISTNRFLLGSNLDDIEKSLGVLLSFKIPQKFVVSLIAECPGVLDLEFLKKWEMGFSKSGNLSISPMMIKSVLAYAKRFQIDPDGFNKSVSVLKGIGFSESTVGKVLEGFPGAITMKKSEMHRRIEFLMQIGIQRSEVDWIFNSYPEALGFAIENRLMPLVDEFEGLGLSKELIRKEIIREPRFLGMELGELARCLELLSSLKCRMPIKLKIFSDGAFRAGFEVKQRIDCLCKHGLIRREAFKVLWIEPRVIIYDVEDIEKKIEFLVNTMKFNVGCLVEVPEYLGVSFEKQIVPRNNVIEYLRARGGLGDEVGLKGMIKLSRLKFYNLYVKPYPECEKMFGRFSGDVHVKRQHHPTGLWKMFKAQMHPESEEDVKNMKSFMEGLV >Manes.14G087500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7244119:7247677:1 gene:Manes.14G087500.v8.1 transcript:Manes.14G087500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKILRIRNVIQRFVLSSKPIPTHPNERFHFLSTMPGSSNGSLYRKQISLANLFQRYGFPPSQLHSFISTNRFLLGSNLDDIEKSLGVLLSFKIPQKFVVSLIAECPGVLDLEFLKKWEMGFSKSGNLSISPMMIKSVLAYAKRFQIDPDGFNKSVSVLKGIGFSESTVGKVLEGFPGAITMKKSEMHRRIEFLMQIGIQRSEVDWIFNSYPEALGFAIENRLMPLVDEFEGLGLSKELIRKEIIREPRFLGMELGELARCLELLSSLKCRMPIKLKIFSDGAFRAGFEVKQRIDCLCKHGLIRREAFKVLWIEPRVIIYDVEDIEKKIEFLVNTMKFNVGCLVEVPEYLGVSFEKQIVPRNNVIEYLRARGGLGDEVGLKGMIKLSRLKFYNLYVKPYPECEKMFGRFSGDVHVKRQHHPTGLWKMFKAQMHPESEEDVKNMKSFMEGLV >Manes.16G036050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4528023:4528274:1 gene:Manes.16G036050.v8.1 transcript:Manes.16G036050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVQASMLILLILSVNVVNLQATSYRGANSMPKRIDSSSLLERLGYDLSKMKHVMKDTSTSRVSPGGPNPDHHSEPSSAQP >Manes.10G026600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2622882:2626169:-1 gene:Manes.10G026600.v8.1 transcript:Manes.10G026600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAESSFKEPRWSLQGMTALVTGGTRGIGNATVEELAGLGARVHTCSRNEAELNKCLKEWEAKGFVVTGSVCDATSRAQREKLIEQVGSVFNGRLNILVNNVGTNIRKPTIEYSAEEFSKLMTTNFESAYHLCQLAHPLLKASGAGSIVFNSSVAGLLHIGSGSIYGPTKGAINQLTKNLACEWAKDNIRTNCVAPWYIKTSLVENLLANKEFLDKIVARTPLQRVGEAKEVSSLVAFLCLPAASYITGQIISVDGGVTVNAFDPGMRLD >Manes.07G072438.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:15373675:15374394:-1 gene:Manes.07G072438.v8.1 transcript:Manes.07G072438.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVEHSPMTIAFSSEDAQGIQMPYDDALVIEAVIHNFRVKKVLMDDGSKVNLLPYRVFQQMGIPEEQLVRDQSPIKGIGGAPVLVEGKVKLALTLGEAPRARTHHEVFLVVKLPLSYNAILGRPALFNFEAVTSIRYLALKFPTEGGVGMVRGSQEEARAVYLATVTEPNSTGEALDSEVLEVRDETKEARTEPVGELETFSLSEEETSKVFSLNAGLTKEQKGEAMALIRSHSPTFA >Manes.18G022800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2222203:2224012:1 gene:Manes.18G022800.v8.1 transcript:Manes.18G022800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGGAASNVASLVKWRNDFSRAFQYYLDRSTPHSVQRWLGTLAATAIYMLRVYYVQGFYVVSYALGIYILNLLIGFLSPKVDPELEVLDGASLPTKESDEFKPFIRRLPEFKFWYSITKAFCIAFLMTFFSMFDVPVFWPILLCYWIVLFILTMKRQIMHMIKYKYVPFSVGKQRYSGKNSAASSSGITKD >Manes.02G112000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8637768:8639225:-1 gene:Manes.02G112000.v8.1 transcript:Manes.02G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYEIRSISLPPRSHPTTLSLEEKLNKLKTWEASSTSSSESICIGLSGLEDLCVGVNHLLNMSSTQEVISHYPNEKCLDNLLDGSVRILDICGIARDVMLQFKEQVQALQSALRRRKGNSSIESSIASYSCFTNKMKKEAKKSIAVLKQMDCKLKSSPVQDHHLIQLIKEVVAINSSVFQSLFLFLSTSKPKQSRWSMVSKLMHKGAQACEEKQETVNQLESVDAALSERPHFEKMQIAQRRLEALEISIQDIENFLESVFKHLIKTRATILNIISQ >Manes.05G191200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31431933:31432563:-1 gene:Manes.05G191200.v8.1 transcript:Manes.05G191200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGDRNHHHLINFHVHLPHLNFHHQGSSKKELKDIPKGCLAILVGQGEEQQRFVIPVIYINHPLFMELLKEAEEEYGFDQKGLITIPCHVEEFRNVQGMIDKEKYYDPHHHRDVSCFRA >Manes.11G142200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30744533:30751803:1 gene:Manes.11G142200.v8.1 transcript:Manes.11G142200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFAARSLCSSILRKQTQRASFSTSLLFDDTQLQFKESVSQFAQENIAPHSSKIDQTNNFPKDVNLWKLMGDFNLLGITAPEAYGGLGLGYLYHCIVLEEISRASGSVGLSYGAHSNLCINQLVRNGSPAQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVIYAKTDIKAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYIRQREQFGRPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYSTGRLLRDAKLYEIGAGTSEIRRMIIGRELFKQ >Manes.11G142200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30745842:30751815:1 gene:Manes.11G142200.v8.1 transcript:Manes.11G142200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFAARSLCSSILRKQTQRASFSTSLLFDDTQLQFKESVSQFAQENIAPHSSKIDQTNNFPKDVNLWKLMGDFNLLGITAPEAYGGLGLGYLYHCIVLEEISRASGSVGLSYGAHSNLCINQLVRNGSPAQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVIYAKTDIKAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYIRQREQFGRPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYSTGRLLRDAKLYEIGAGTSEIRRMIIGRELFKQ >Manes.11G142200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30744533:30751803:1 gene:Manes.11G142200.v8.1 transcript:Manes.11G142200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFAARSLCSSILRKQTQRASFSTSLLFDDTQLQFKESVSQFAQENIAPHSSKIDQTNNFPKDVNLWKLMGDFNLLGITAPEAYGGLGLGYLYHCIVLEEISRASGSVGLSYGAHSNLCINQLVRNGSPAQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVIYAKTDIKAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYIRQREQFGRPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYSTGRLLRDAKLYEIGAGTSEIRRMIIGRELFKQ >Manes.11G142200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30744042:30751814:1 gene:Manes.11G142200.v8.1 transcript:Manes.11G142200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFAARSLCSSILRKQTQRASFSTSLLFDDTQLQFKESVSQFAQENIAPHSSKIDQTNNFPKDVNLWKLMGDFNLLGITAPEAYGGLGLGYLYHCIVLEEISRASGSVGLSYGAHSNLCINQLVRNGSPAQKQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVIYAKTDIKAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYIRQREQFGRPIGEFQFIQGKVADMYTSLQSSRSYVYSVARDCDNGKVDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYSTGRLLRDAKLYEIGAGTSEIRRMIIGRELFKQ >Manes.S056416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1992283:1992405:-1 gene:Manes.S056416.v8.1 transcript:Manes.S056416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.06G156200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28243824:28247866:-1 gene:Manes.06G156200.v8.1 transcript:Manes.06G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYKSNRRVQIDDLQLPKYDDVDGDEGDDSRMRLKPSGSNVSEDQEPFMGIKVRRKASLHRDVKGDYIDVPSNPYLMKTLQKLGDNQILFADKVLKFTASGKMKRRILLITDFAVYIVDPETDALKRRIGLAAVENIFLSELSDNFFAVVIPTEYDMLMASTRKTEIVTVLAEATKSASNYELAVVFSNSFEYHAAAELVKEVQFEEVEAGVKTRILRK >Manes.04G095700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30160874:30163659:-1 gene:Manes.04G095700.v8.1 transcript:Manes.04G095700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPASVGERLDRLLSESAPSSEDQSLDLSFSGDGRSGTFVIGNDHFPASLLELPCVVESYKTYDDSSLVKTADIGQMIMVTEASDNAPEAVEYRHGINPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMAGGTIENADVEANEQDEDGNQNSCTAIKKTSPEAAAKPDVQEAAADAEEPERSDSDESDYSL >Manes.04G095700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30160874:30163460:-1 gene:Manes.04G095700.v8.1 transcript:Manes.04G095700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPASVGERLDRLLSESAPSSEDQSLDLSFSGDGRSGTFVIGNDHFPASLLELPCVVESYKTYDDSSLVKTADIGQMIMVTEASDNAPEAVEYRHGINPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMAGGTIENADVEANEQDEDGNQNSCTAIKKTSPEAAAKPDVQEAAADAEEPERSDSDESDYSL >Manes.04G095700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30160727:30163740:-1 gene:Manes.04G095700.v8.1 transcript:Manes.04G095700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPASVGERLDRLLSESAPSSEDQSLDLSFSGDGRSGTFVIGNDHFPASLLELPCVVESYKTYDDSSLVKTADIGQMIMVTEASDNAPEAVEYRHGINPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMAGGTIENADVEANEQDEDGNQNSCTAIKKTSPEAAAKPDVQEAAADAEEPERSDSDESDYSL >Manes.04G095700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30160727:30163740:-1 gene:Manes.04G095700.v8.1 transcript:Manes.04G095700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPASVGERLDRLLSESAPSSEDQSLDLSFSGDGRSGTFVIGNDHFPASLLELPCVVESYKTYDDSSLVKTADIGQMIMVTEASDNAPEAVEYRHGINPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMAGGTIENADVEANEQDEDGNQNSCTAIKKTSPEAAAKPDVQEAAADAEEPERSDSDESDYSL >Manes.02G167501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13140743:13154804:1 gene:Manes.02G167501.v8.1 transcript:Manes.02G167501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTLVNPSSLVPKPPNRRTNICFTRPIFIFPTSLANSSLSSSRFQQRYFSINTVITASLKENLGSLRKRATDFMSLNYWVVRDYYRLVESVNAIEPQIQILSDEQLSAKTVEFRRRLRQGETLADIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAHRDAEWMGRVHRFLGLSVGLIQKGMTAKERRSNYRCDITYTNNSELGFDYLRDNLAGNSEQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEANKDAARYPVAAKVAELLVRGLHYNVQLKDNSVELTEEGIALAEMALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSDGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKFEHVRQEIEYMFRQGRPVLVGTTSVENSEYLSDLLKQWQIPHNVLNARPKYAAREADIIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAKEIVDDSLLSFLTREAPDVDIDDEKISQKVMAKIKIGSTSLALLAKTALMAKYVGKSEGKSWTLQDANSIISESVEMSQLMDVKELEKLANEESELYPLGPTIALTYLSVLKDCEVHCLNEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVKLISRITNDEDVPIEGDAIVKQLLALQINAEKYFFGIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHISQYMQAVVDDIVFGNADPLKHPRSWSLDKLLREFISIGGKLLDASFAGTTAEDLLKSLLQLHESSSVDINDFYLPDLPKPPNVFRGIRRKCHSLKRWLVICSDELTKNGGYRTTINLLRKYLGDLLIASYWNVVQESGYDDSYIKEIEKAVLLKTLDCFWRDHLINMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVETLLHYWSSPTESHELFVS >Manes.07G071300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:18995345:18996937:-1 gene:Manes.07G071300.v8.1 transcript:Manes.07G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNQQQPSWPEILGCNNWDNLLDPLHLTLRQLILRCGDFCQATYDSFNNDQNSKYCGTSRYGKKNFLKKVMLEDAENYQVSSFLYGTARVSLPEAFFLKSKSRESWDRESNWIGYIAVTSDEYTKVLGRREIYIAFRGTSRFYEWVNIFGTKLQSAKPLLRESSHIFGENNSSSDDEDDKEEIPKVMLGWLTIYISDSPKSPFTKLSARKQLLTKVNELREQYRDDNLSIILTGHSLGASLSILAAFDLVENGITDIPVAAFVFGSPQVGNKAFNARVNNYPNLKVLHIKNKIDLVTHYPGRLLGYVYTGTELEIDTRKSPYLKDSRNPSDWHNLQAMLHIVAGWNGENGEFKLKVKRSLALVNKSCEFLKDESLVPGSWWVEKNKGMIRGEDGEWTASSPDVEDQPVPEF >Manes.03G161700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28860571:28869914:1 gene:Manes.03G161700.v8.1 transcript:Manes.03G161700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSARHKEKYGKISEIPQDRYHEGTAARTRPLSFDEIMSKRKNKKQLFENGKGGVVEDTKGDGSTEKVSHHYGSDRVDSRSKDFFPVAQRLSSEVDAKASSKRKEENTYMKDGYLAKSKDREIQDSETKSRAKIDRDQKAKGKNDEKNYDRRKKDERRSSNAENEALNKYSREVIKRDRHVDDSRGRFERENKRKYRNGVDQKNRDRHSTRKHDPGRGHDSEASDRKERKELPKSNFEELKLKRRRSRSREHVNKKRRSMSPLPRSPKHASYYGREHGEPSSSLKGRSDVDKSKITNSGSTSSAHYKRHGSSASGLGGYSPRKRRTEAAAKTPSPSKKSPPVKRSPEKKVAKWDLAPGADMLSVSVPSSFQLSNQSASSNVHEAVSVVHVASTPIKPLSLVSFNILPTNKNDSIDSVQLTQATRPMRRLYVENLPDSASEKAVMECLNNFLIASGANHIRGSLPCISCIIHKEKGQALVEFLTPEDASAALAFDGFSFFGSILKIRRPKDFVEVATGEPEKSMTAGNSISSIVKDSPHKIFVGGIPKVLSSKMIMEIASAFGHLKAYHFENSDNLNESYAFLEYADQSVTFKACAGLNGMKLGGQVITAVPAVPNASTLENSGNPPFYGIPEHAVPLLKKPTQVLKLKNVFDPEALLSLSHTEIEEILEDVRLECGRFGAVKSVNVVQYDATPISTLVACGVDDCIESAGLVNDETEMRKETVDCKIVQGDGMEDGKPTSNLMEDESFQAGSVDSDSSIENLDGKSIFNSQELTQEASKDESECSNDKVAVNIQKGTSVEDKLPNREELNVEEVGGNIKESSLDDDIVGLDATVKGDHKEEESCDLGHIFEPGCVFVEFGRTEASCMAAHCLHGRLFDNRTVAVAYVPLDVYQTRFSK >Manes.03G161700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28861035:28869914:1 gene:Manes.03G161700.v8.1 transcript:Manes.03G161700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSARHKEKYGKISEIPQDRYHEGTAARTRPLSFDEIMSKRKNKKQLFENGKGGVVEDTKGDGSTEKVSHHYGSDRVDSRSKDFFPVAQRLSSEVDAKASSKRKEENTYMKDGYLAKSKDREIQDSETKSRAKIDRDQKAKGKNDEKNYDRRKKDERRSSNAENEALNKYSREVIKRDRHVDDSRGRFERENKRKYRNGVDQKNRDRHSTRKHDPGRGHDSEASDRKERKELPKSNFEELKLKRRRSRSREHVNKKRRSMSPLPRSPKHASYYGREHGEPSSSLKGRSDVDKSKITNSGSTSSAHYKRHGSSASGLGGYSPRKRRTEAAAKTPSPSKKSPPVKRSPEKKVAKWDLAPGADMLSVSVPSSFQLSNQSASSNVHEAVSVVHVASTPIKPLSLVSFNILPTNKNDSIDSVQLTQATRPMRRLYVENLPDSASEKAVMECLNNFLIASGANHIRGSLPCISCIIHKEKGQALVEFLTPEDASAALAFDGFSFFGSILKIRRPKDFVEVATGEPEKSMTAGNSISSIVKDSPHKIFVGGIPKVLSSKMIMEIASAFGHLKAYHFENSDNLNESYAFLEYADQSVTFKACAGLNGMKLGGQVITAVPAVPNASTLENSGNPPFYGIPEHAVPLLKKPTQVLKLKNVFDPEALLSLSHTEIEEILEDVRLECGRFGAVKSVNVVQYDATPISTLVACGVDDCIESAGLVNDETEMRKETVDCKIVQGDGMEDGKPTSNLMEDESFQAGSVDSDSSIENLDGKSIFNSQELTQEASKDESECSNDKVAVNIQKGTSVEDKLPNREELNVEEVGGNIKESSLDDDIVGLDATVKGDHKEEESCDLGHIFEPGCVFVEFGRTEASCMAAHCLHGRLFDNRTVAVAYVPLDVYQTRFSK >Manes.12G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3236768:3238410:1 gene:Manes.12G036500.v8.1 transcript:Manes.12G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVYPRVRVRKQEQEDDTESEIFPLEINGDSKFLKVLESLSVLACFSPEKENQSDSPALIARITQPYVPNLTTEPVSASKENNKVHGKAQLISRPRAVLSSPANDGMFGSRKKANNEKSLALRKCNSEPRKPIQFQTKAKASASESSQAKAKAESSPNMRRGSSKGISRIGSSKFHVQKPS >Manes.12G036500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3236726:3238448:1 gene:Manes.12G036500.v8.1 transcript:Manes.12G036500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPRVRVRKQEQEDDTESEIFPLEINGDSKFLKVLESLSVLACFSPEKENQSDSPALIARITQPYVPNLTTEPVSASKENNKVHGKAQLISRPRAVLSSPANDGMFGSRKKANNEKSLALRKCNSEPRKPIQFQTKAKASASESSQAKAKAESSPNMRRGSSKGISRIGSSKFHVQKPS >Manes.12G036500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3236768:3238410:1 gene:Manes.12G036500.v8.1 transcript:Manes.12G036500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPRVRVRKQEQEDDTESEIFPLEINGDSKFLKVLESLSVLACFSPEKENQSDSPALIARITQPYVPNLTTEPVSASKANDGMFGSRKKANNEKSLALRKCNSEPRKPIQFQTKAKASASESSQAKAKAESSPNMRRGSSKGISRIGSSKFHVQKPS >Manes.15G121900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9706972:9709642:-1 gene:Manes.15G121900.v8.1 transcript:Manes.15G121900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVHFFLLFSLAFSDGVLQRVASLGINYGQVANNLPAPEQVLELLTSLKLTKARIYDTNPQVLKAFAHSNVELIVTVENQMLPVLMDPQQAFQWVSTQIRPYYPATRITGIAVGNEVFTDDDTSLLAYLVPAIVSIHGALVQLGINNIQVSTPNSLAVLAQSFPPSAGSFKSEVSGAMSQYLQFLSSTKSPFWINAYPYFAYKDDPNRVSLDYVLFNPNSGMIDPYTKLHYDNMLYAQVDAIIFAMARLGYNGIEIRVSETGWPSKGDPDEIGATIENAAAYNRNLLRRQLENEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLYQPDCTMAYNVGLSALSGSSASSASISLTSSATKASNKDYDQSLVFWMFVYLLTFQVFMRRPF >Manes.15G121900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9706972:9709642:-1 gene:Manes.15G121900.v8.1 transcript:Manes.15G121900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVHFFLLFSLAFSDGVLQRVASLGINYGQVANNLPAPEQVLELLTSLKLTKARIYDTNPQVLKAFAHSNVELIVTVENQMLPVLMDPQQAFQWVSTQIRPYYPATRITGIAVGNEVFTDDDTSLLAYLVPAIVSIHGALVQLGINNIQVSTPNSLAVLAQSFPPSAGSFKSEVSGAMSQYLQFLSSTKSPFWINAYPYFAYKDDPNRVSLDYVLFNPNSGMIDPYTKLHYDNMLYAQVDAIIFAMARLGYNGIEIRVSETGWPSKGDPDEIGATIENAAAYNRNLLRRQLENEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLYQPDCTMAYNVGLSALSGSSASSASISLTSSATKVKP >Manes.15G121900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9706972:9709642:-1 gene:Manes.15G121900.v8.1 transcript:Manes.15G121900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVHFFLLFSLAFSDGVLQRVASLGINYGQVANNLPAPEQVLELLTSLKLTKARIYDTNPQVLKAFAHSNVELIVTVENQMLPVLMDPQQAFQWVSTQIRPYYPATRITGIAVGNEVFTDDDTSLLAYLVPAIVSIHGALVQLGINNIQVSTPNSLAVLAQSFPPSAGSFKSEVSGAMSQYLQFLSSTKSPFWINAYPYFAYKDDPNRVSLDYVLFNPNSGMIDPYTKLHYDNMLYAQVDAIIFAMARLGYNGIEIRVSETGWPSKGDPDEIGATIENAAAYNRNLLRRQLENEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLYQPDCTMAYNVGLSALSGSSASSASISLTSSATKASNKDYDQSLVFWMFVYLLTFQVFMRRPF >Manes.15G121900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9708042:9709642:-1 gene:Manes.15G121900.v8.1 transcript:Manes.15G121900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVHFFLLFSLAFSDGVLQRVASLGINYGQVANNLPAPEQVLELLTSLKLTKARIYDTNPQVLKAFAHSNVELIVTVENQMLPVLMDPQQAFQWVSTQIRPYYPATRITGIAVGNEVFTDDDTSLLAYLVPAIVSIHGALVQLGINNIQVSTPNSLAVLAQSFPPSAGSFKSEVSGAMSQYLQFLSSTKSPFWINAYPYFAYKDDPNRVSLDYVLFNPNSGMIDPYTKLHYDNMLYAQVDAIIFAMARLGYNGIEIRVSETGWPSKGDPDEIGATIENAAAYNRNLLRRQLENEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLYQPDCTMAYNVGLSALSGSSASSASISLTSSATKVKP >Manes.01G098500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29988297:29990410:1 gene:Manes.01G098500.v8.1 transcript:Manes.01G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVQDQLEIKFRLTDGSDIGPKTFAAATSVATLKESILTQWPKEKENGPRTVKDVKLISAGKILENNRTLGECQSPLCDIPGGVTTMHVIVQPSSLDKEKKSTSQSKQNKCVCVIL >Manes.05G151150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26043091:26045842:-1 gene:Manes.05G151150.v8.1 transcript:Manes.05G151150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLILLLLALPFLLSFLLRKLKTKRNLHLPPGPQGLPFIGNLHQFDSLNPHSYLWQLSQKHGPVMSLRLGFVPILVVSSAKMAEAVMKTHDLIFCSRPALVGQQKLSYNGLDLGCSPYNAYWREMRKFCMVYLFNSNRVQSFRPIREFEVSHMLEKISKSAVASEPVNLSEAMMSLTSTIICRVAFGKRYEEDGVGRSRFQELLKEAQALFMCFFVADYFPFLGFIDKFTGLLHRLEKNFREFDVFYEQIIQEHLDPSRSKPAEEDILDILLQLWKDRSFKVDLSFDHIKAVLMNVFVGGTDTGAATVVWAMTLLMKNPIAMKKAQEEIRKLVGKKGFVEEDDVQQLPYLKAVIKETMRLQPTVPLLVPRESTEDCVLDGYDIPAKTVVYVNTWAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFGAGRRICPGIFMGLATIEVSLANLLHKFDWEMPVGMKKEDLDMDVQPGITMHKKNALCLMARKYA >Manes.01G259850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41609787:41611538:1 gene:Manes.01G259850.v8.1 transcript:Manes.01G259850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYVVDMLSLEGVPYHKYCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKESGNFSKNFQAGKTEKYDSSRAPPSKVSSLFSGTQDKCSACGKTVYPLEKVTMEGECFHKSCFRCAHGGCPLTHSSYAALDGVLYCKHHFAQLFMEKGSYSHVLQAASHKRTASASTPPPEPADQEPQPEAEAAAAEEDHS >Manes.01G259850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41609787:41611538:1 gene:Manes.01G259850.v8.1 transcript:Manes.01G259850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTARPILSNFSRSLEISARIFKQARLRNMTRAPPSKVSSLFSGTQDKCSACGKTVYPLEKVTMEGECFHKSCFRCAHGGCPLTHSSYAALDGVLYCKHHFAQLFMEKGSYSHVLQAASHKRTASASTPPPEPADQEPQPEAEAAAAEEDHS >Manes.02G060600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4699139:4705845:-1 gene:Manes.02G060600.v8.1 transcript:Manes.02G060600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSDLVIIGVSAGLALGILIASLVFFGIRWYKNRAHLRQCANERSLTTLPIRVNGLETSTDFSASVTNSITIHQSEQPQKSSQFFWWNHHNKDRFTSTSGILRYSYKDIQKATQNFTTILGQGSFGPVYKAVMPGGVVAVKVLASNSKQGEKEFQTEVSLLGRLHHRNLVNLLGYCIDKGQRMLIYEFMSNGSLANLLYNVEELVLGWEERLQVALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAYDGRNSGLKGTYGYIDPVYMTTNKFTMKSDIYSFGIIMFELITAIHPQQNLMEYINLAAMSPDGVDEILDKQLAGECNIEEVRELAAIAHKCLHKSQRKRPSIGEVSQAILKIKQRRLVKEDTMSFGSRDFSRAASRIEDQHVELTKMTSIKESS >Manes.02G060600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4700894:4705845:-1 gene:Manes.02G060600.v8.1 transcript:Manes.02G060600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSDLVIIGVSAGLALGILIASLVFFGIRWYKNRAHLRQCANERSLTTLPIRVNGLETSTDFSASVTNSITIHQSEQPQKSSQFFWWNHHNKDRFTSTSGILRYSYKDIQKATQNFTTILGQGSFGPVYKAVMPGGVVAVKVLASNSKQGEKEFQTEVSLLGRLHHRNLVNLLGYCIDKGQRMLIYEFMSNGSLANLLYNVEELVLGWEERLQVALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAYDGRNSGLKGTYGYIDPVYMTTNKFTMKSDIYSFGIIMFELITAIHPQQNLMEYINLAAMSPDGVDEILDKQLAGECNIEEVRELAAIAHKCLHKSQRKRPSIGEVSQAILKIKQRRLVKEDTMSFGSRDFSRAASRIEDQHVELTKMTSIKESS >Manes.02G060600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4700247:4705845:-1 gene:Manes.02G060600.v8.1 transcript:Manes.02G060600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSDLVIIGVSAGLALGILIASLVFFGIRWYKNRAHLRQCANERSLTTLPIRVNGLETSTDFSASVTNSITIHQSEQPQKSSQFFWWNHHNKDRFTSTSGILRYSYKDIQKATQNFTTILGQGSFGPVYKAVMPGGVVAVKVLASNSKQGEKEFQTEVSLLGRLHHRNLVNLLGYCIDKGQRMLIYEFMSNGSLANLLYNVEELVLGWEERLQVALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAYDGRNSGLKGTYGYIDPVYMTTNKFTMKSDIYSFGIIMFELITAIHPQQNLMEYINLAAMSPDGVDEILDKQLAGECNIEEVRELAAIAHKCLHKSQRKRPSIGEVSQAILKIKQRRLVKEDTMSFGSRDFSRAASRIEDQHVELTKMTSIKESS >Manes.17G097500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30438727:30441665:-1 gene:Manes.17G097500.v8.1 transcript:Manes.17G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQTRSCSSKRNNPSPTEDLEPDRLLKKRLKRPQSQEEPCHFPTKSCPERCHSPVICGEIVPGRFLDLNFFTEEGFQFGKWFKDMNWVPIVAMREKFYPKLVRHFYANLSYDSDKTQICSSVKGKEIRLNQKSLKKILGIPNLGTEIYDNNKWVDDAGVSRVGALRMVLDNPDLSELSKVNACHLKLEMRLLHHMVVHIILPRKRNFNYLSSMDLLIMWHILQGKPFNLPFALLSHMVACSEKKNAYLPYGMILTSIFKHFKVPLEEEEGVELKGSDVYSKVTLHKMGYVKGERGWFLKKDKAVVQPGLEIQSQGGLNVSQSQDAPIPAAPMSTSQQTPSHATTSMPDSNPTSSDSLSLEGVRRPSMIEGIFILVRGMKEDMRIMTMDSKLDDLQSKIEKLRSLVTQLRSQKREKTIGDMFLLLEVIMADMRTLRSKMDSVGSKINYLQTQLTEFYQQRQDWVKADKESVEWMIAETIAFQVQNRDIICRFETISSEIQDFWDCLSSMIR >Manes.14G056800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4829691:4830854:-1 gene:Manes.14G056800.v8.1 transcript:Manes.14G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTTYFAMSFGAFLFWQSMDKVHVWIALHQDEKKERLEKEMEIRRVREELLQQAKEKDSLA >Manes.S054116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1857647:1857808:1 gene:Manes.S054116.v8.1 transcript:Manes.S054116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.03G080100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14393923:14401875:-1 gene:Manes.03G080100.v8.1 transcript:Manes.03G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEPAPASLGPRYAPEDSTLPKPWMGLIDGSTGLLYYWNPETNVTQYEKPAPPVPPPSTTTPKLAQIPMVHSVQPNGMMVQTTVQQGQQTNQLPQQAPSQQITRSTQQQGQHSSQLALQHGQLMAQQSVLPVAQVSNQQVAQQQGPQPGQGAQQPGHLRPQMMQHPGQQMLSSIGQQISQQGVQQSMQQQTMQQTPHQVGQNMLQHQNLQMSQPQGQQYAYQHFMTYPQSMPSHNQQGSTQQFPNQHDYKAAFSKMGETDFQQGNQSSFSPSHFQQAAVSQNMPAGGDSVSTPQAGQPQQFSGFAVNMQQPASMTQLQPIGADLVNQKHGQRFQNQVGPSMMHNQQSNVPPVGLTTGCEQNIHGRTGNDYCFNPKIEGPIVSPGQPNLAAMPMGINQQESRMGGVTFQNHTTGYAGGFNPTGHSMHSMYNHATAGPPFPNNALMRPPFVGTPDITNLSPVEVYRQEHEVTATGDNVPAPFITFEATGFPPEILRDIHAAGFSSPTPIQAQTWPIAIQNRDIVAIAKTGSGKTLGYLIPAFILLRQCRNNPQNGPTVLVLAPTRELATQIQDEVIKFGRSSRVSCTCLYGGAPKGPQLKELDRGADIVVATPGRLNDILEMKKIDFGQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPKEVRKIASDLLVNPVQVNIGSVDELAANKSITQYVEVVPPMEKERRLEQILRAQERGSKVIIFCSTKRLCDQLARSVGRNFGAAAIHGDKSQGERDWVLNQFRSGKSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSAQDWKYAADLVKVLEGANQHVPPEVREMALRGGPGIGKDRAGMNRFDSSSGNAGGGRWDSGGRGGMRDGSFSGRGGMRDGSFSGRGGMRDGNFGGRGGIRDGGFGFRGGARDGPFGGRGGRSDSFSGRGNRGRGYGGWGRNERGLHDRHNNMDSRGRGRGRGRFDGRRDIVDRGRDRSYSRSPERVRTWGYSRSRSRSSSRSRSHSRSRSRSWSRGRSRSYSRSPRRSRSYSRSPHRSRSRSYDRFGRPDERNYAEKDVKAPEFEARGSGMSPMSPSKHGTTFSGVEGAPVVESAVPEAVHPEAAEVPAVESVPEA >Manes.15G180701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:23113697:23116702:1 gene:Manes.15G180701.v8.1 transcript:Manes.15G180701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFLILLISFSFLAFLSESKLSYNYYDKSCPRFAQIMQETITNKQITSPTTAAGTLRLFFHDCILNGCDASILVSSTPFNSAERDADINLSLPGDAFDLVTRAKTALELSCPNTVSCADILAVATRDLVTMVGGPYYNVLLGRKDYRTSKSSYVDGNLPKPTMSMSQIINIFASKGFSIQEMVALSGAHTIGFSHCNEFTSYIYNDTHYNPRFAQGLQRACADYHKNPALSVFNDIMTPNKFDNMYFQNLPRGLGLLESDHGLYNDPRARPFVEMYAKDQNKFFQDFARAMQKLSVYGVKTGRRGEIRRRCDAIN >Manes.07G073352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:15590367:15592627:-1 gene:Manes.07G073352.v8.1 transcript:Manes.07G073352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVKEKSFTALLESEGEERAIVNLVIYELCSGSGGWKREGVQWVLRPSPTLCTIFCTILVLCTKMVQYLLQPSAPILTPKIIFYLYSSLFLILYYLFYFNFIYIFHLKNSYNFIYSL >Manes.11G163000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32766410:32768817:-1 gene:Manes.11G163000.v8.1 transcript:Manes.11G163000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKLGLFLIVIFLFLIHLLPLLAQPSLNTSTAITDQKIGRGEGMTGRFEVATGLQRLFAVNRKGGGHGGGHAGGRSTGTGAHGGEDKGNGDAGATHGSTVVPVYAAGAMNHHQYNSHHHGSNEGSPNYAVSSCLVLVALAVILLEYFA >Manes.06G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26160551:26165467:1 gene:Manes.06G133300.v8.1 transcript:Manes.06G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQNPHIPITMKPPFLFSLAFSFFYSLQIFLPVLSATPPPLQLRALLSLKSSLRDPLDTFHDWDPTKPSSTPSSRGPVWCSWSGVKCDPRTAQIIKLDLSCRSLSGVIPNEIRHLNSLIYLNLSLNAFTGPLQSVIFELSELRTIDISHNNFNSTFPPGISKLKFLRVFQAYSNNFTGPLPKEFSLLRFLERLNLTGSFFQGEIPTEYGSLPRLKFLGLAGNSLEGPVPPQLGSLGLLERMEIGYNSMLTGRVPKELALLSNLQYLDISGCSLSGNITQELGNLTKLEVLLLFQNQFTGEIPVSFTNLKSLKVLDLSDNHLTGKIPVELSSLKELTRVSLMKNRFSGEIPEGIGELPNLNALFLWNNSLTGSLPQKLGSNGKLQWLDVCSNSLSGPIPPNICQGNKLLKLILFSNKFNGSLPESLANCTSLSRVRIQDNQLNGSIPYGFGLLQNLTYLDLSKNSFTGEIPHDLGNAPQLQYLNISENSFHSKLPSNIWSAPNLQIFSASSGKLTGKIPDFIGCSNVYKIELQDNSFDGGIPWDIGHCEKLIYLNLSRNSLTGIIPWEISTLPAITDADLSHNLLTGSIPSNFENCTTLENFNVSYNRLTGPIPGSGIFPNLHPSSFFGNDGLCGHVLAKPCAADTLSVGEVEIHRRQQPKKTAGAIVWIMAAAFGIGLFVLVAGTRCFHSNYNRKFNDEREIGPWKLTAFQRLNFTADDVLECLSMTDKIIGMGSTGTVYKAEMPGGETIAVKKLWGKHKENIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNRDCTMLLYEYMPNGNLEDLLHGKNKGENLVADWFTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEIISGKRSVDSEFGDGNSIVDWVRSKIKTKDGIIDILDKNAGASIASVREEMMQMLRIALLCTSRNPADRPSMRDVVLMLQEAKPKRKLPASVISSGVGVGVGDNLVTSAGAIAQKPSVEC >Manes.14G123800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9803528:9807249:1 gene:Manes.14G123800.v8.1 transcript:Manes.14G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQKGELLGLLYLVIMFISTSSGEAESKSFFINCGTNASVTVDDRRWVGDMVPNNNVTLVSDPESTTATSNASAAAPLGPLYETARIFTGALNFTFEGIQGNYFVRLHFCPFPSDNFNVNESSFSVMANGLKLVSEFNVPGEISHKNLYLQRSNSNSSSFSLVREYILFSLDFLVLEFVPSKGSFGFINAIEIVSVADNLFEDPVSKVGGNVANLNLGGRGIETMYRLNVGGPDIKPNQDSDFWRIWELDSSYMITENAGDEITSNSNITYASINGSSVAPLLVYKTARTMSKTEVLEKRFNMSWKFEVHPDFDYLVRLHFCELEYDKANQRIFRIYVNNRTAADNFDIYVRAGGKNKAYHQDYFDAVSSNINTLWIQLGPDTTAGGSGTDALLNGLEIFKLSRNGNLAYVDKFDSTGNSTKNSNSWILWLVIGAGGVCILILAIACILIFFFCRTRKKELSDTKDNAPGWRPLFLHGSIASSIGHAKGGLQNPNGSTASTRLGKRFTFAEIRAATNNFDESLVIGVGGFGKVYKGEIECGSLAAIKRANPQSEQGLAEFETEIEMLSKLRHRHLVSMIGFCEEQNEMILVYEYMANGTLRSHLFGSNLPPLTWKQRLEACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKMADFGLSKTGPAWDHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEAVCARAVINPTLPKDQINLAEWAMRWQRQRSLETIIDPNLSGTYCPESLKKYGEIAEKCLADDGKNRPTMGEVLWHLEYVLQLHEAWMRAGVTESSISSSNQALEDPEEGVQGKEQQTSNEGTSKAQSDG >Manes.02G218650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34247733:34252539:-1 gene:Manes.02G218650.v8.1 transcript:Manes.02G218650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNELGLDSTKMKKKNPEGEVEVEEEEEEKKENHQWSVERVFENQEVPSWRKQLSIRAFVVSFGLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFLKIWTTLVGKFGLFSQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSDTIAKQSAEDRRDYKNPSLAWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPAGAKLAKKQVKTLGKFFSFSFLWGFFQWFYTAGDNCGFYNFPTFGLKAYQNKFYFDFSATYVGVGMICPHIVNISVLLGGILSWGLMWPLIETKKGDWYSADLKSTDIHGLQGYRVFIAIAIILGDGLYNFFKVLSRTLVALFLQLQSRGASSGLPVVDHFSSKSPKLSYDEERRTQLFLKDQIPTWFAVAGYVTIAAISTATLPHIFHQLKWYYILVIYIFAPALAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYLTLSSPRSMFVSQVIGTAMGCIISPCVFWLFYKAFDDLGQPGSEYPSPNAVIYRNMAILGVQGFSALPKECLLLCYVFFGLAIVINLIKDSSNKKWSRFIPLPMAMAIPFYLGPYFAIDMFVGSLILFVWQKIDKTKADSFGPAVASGLICGDGIWTLPSSILALAGIKPPICMKFLSRGSNSRVDKFLGS >Manes.12G078000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9007031:9012841:-1 gene:Manes.12G078000.v8.1 transcript:Manes.12G078000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKPFSFLNSRSRKSSSSATAMPSFRTTTTTSTTNTTSKALILRPSRLKDILLVFSLLLIIHLLFRAPPPPSSVERAAPVGDLSSSPTTRSHLLFSIASSASSFPLRASYLRLWYNRNSTRAFAFLDVNASLSVDPTLPPVILSKDTSRFPYTFKGGLRSAIRVARVVKEAVDLNQTNVRWFVFGDDDTVFFVENLVETVSKYDHNQWYYIGSNSESYEQNLKHSFDMAFGGGGFAISYSLGRVLARVLDSCLVRYAHLYGSDARVFSCLSELGVGLTHEPGFHQVDMRGNLFGLLSAHPLAPLVSLHHLDAADPLFPNMSRAQAAGHLFEAANLDPARILQQIVCYDSKSSLTVSVAWGYSVQVFEGNELLPDILSLQRTFRPWRRSAKIESSHYMFNMREYHRNPCKRPLVFFMENIISGFGGMWSTYTKHNIGDCSRAKAIKNLERVRVFSQKLEPDIGQIKVLRRQCCDISPSFNESMVISIRHCGADELISMLF >Manes.12G078000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9007031:9012841:-1 gene:Manes.12G078000.v8.1 transcript:Manes.12G078000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKPFSFLNSRSRKSSSSATAMPSFRTTTTTSTTNTTSKALILRPSRLKDILLVFSLLLIIHLLFRAPPPPSSVERAAPVGDLSSSPTTRSHLLFSIASSASSFPLRASYLRLWYNRNSTRAFAFLDVNASLSVDPTLPPVILSKDTSRFPYTFKGGLRSAIRVARVVKEAVDLNQTNVRWFVFGDDDTVFFVENLVETVSKYDHNQWYYIGSNSESYEQNLKHSFDMAFGGGGFAISYSLGRVLARVLDSCLVRYAHLYGSDARVFSCLSELGVGLTHEPGFHQVDMRGNLFGLLSAHPLAPLVSLHHLDAADPLFPNMSRAQAAGHLFEAANLDPARILQQIVCYDSKSSLTVSVAWGYSVQVFEGNELLPDILSLQRTFRPWRRSAKIESSHYMFNMREYHRNPCKRPLVFFMENIISGFGGMWSTYTKHNIGDCSRAKAIKNLERVRVFSQKLEPDIGQIKVLRRQCCDISPSFNESMVISIRHCGADELISMLF >Manes.12G078000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9007031:9012841:-1 gene:Manes.12G078000.v8.1 transcript:Manes.12G078000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKPFSFLNSRSRKSSSSATAMPSFRTTTTTSTTNTTSKALILRPSRLKDILLVFSLLLIIHLLFRAPPPPSSVERAAPVGDLSSSPTTRSHLLFSIASSASSFPLRASYLRLWYNRNSTRAFAFLDVNASLSVDPTLPPVILSKDTSRFPYTFKGGLRSAIRVARVVKEAVDLNQTNVRWFVFGDDDTVFFVENLVETVSKYDHNQWYYIGSNSESYEQNLKHSFDMAFGGGGFAISYSLGRVLARVLDSCLVRYAHLYGSDARVFSCLSELGVGLTHEPGFHQVDMRGNLFGLLSAHPLAPLVSLHHLDAADPLFPNMSRAQAAGHLFEAANLDPARILQQIVCYDSKSSLTVSVAWGYSVQVFEGNELLPDILSLQRTFRPWRRSAKIESSHYMFNMREYHRNPCKRPLVFFMENIISGFGGMWSTYTKHNIGDCSRAKAIKNLERVRVFSQKLEPDIGQVLT >Manes.12G078000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9007031:9012841:-1 gene:Manes.12G078000.v8.1 transcript:Manes.12G078000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKPFSFLNSRSRKSSSSATAMPSFRTTTTTSTTNTTSKALILRPSRLKDILLVFSLLLIIHLLFRAPPPPSSVERAAPVGDLSSSPTTRSHLLFSIASSASSFPLRASYLRLWYNRNSTRAFAFLDVNASLSVDPTLPPVILSKDTSRFPYTFKGGLRSAIRVARVVKEAVDLNQTNVRWFVFGDDDTVFFVENLVETVSKYDHNQWYYIGSNSESYEQNLKHSFDMAFGGGGFAISYSLGRVLARVLDSCLVRYAHLYGSDARVFSCLSELGVGLTHEPGFHQVDMRGNLFGLLSAHPLAPLVSLHHLDAADPLFPNMSRAQAAGHLFEAANLDPARILQQIVCYDSKSSLTVSVAWGYSVQVFEGNELLPDILSLQRTFRPWRRSAKIESSHYMFNMREYHRNPCKRPLVFFMENIISGFGGMWSTYTKHNIGDCSRAKAIKNLERVRVFSQKLEPDIGQIKVLRRQCCDISPSFNESMVISIRHCGADELISMLF >Manes.14G002000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1430348:1437403:-1 gene:Manes.14G002000.v8.1 transcript:Manes.14G002000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTPRRNLRSPSKKPKQTSPSSISLTPQTPQAVDLPRRSSRRLSLKLDQISTPQNIISRLENPNNDSLVEEFEKPQKLVKDSSRARRNSRKNESSKTPEIKRDYESAKTPKSSTKVVEVSFSPVSPDYQETKKRKRREEKVITRALASKNTKSEQKSNMKRVYYKKVLYDGGEFGIGDDVYVKRREDASSDDEVPEVEECRMCFKAGKAVMIECDGCLGGFHLKCLKPPLKDVPEGDWTCGFCEARKLGMKVELPAPPKGKRPARTLREKLLSSDLWAAHIESIWKEVDGSYWFKGRWYMIPEETNAGRQPHNLRRELYRTNDFADIEMESIIRHCFVMNPKEYAKASNAGDDIFLCEYEYDIHWHTFKRMAEIDNDEEDGEDADSDEDWGTSKDEESCSDEDIEYEKESVKNLQARTSSAHGLAANSRKGQFFGLQKIGTKRIPQHVRCHKKTELEKAKETLLLAMLPKSLPCRNKEMEEMTAFIKGAICDDQCLGRCLYVHGVPGTGKTMSVLAVMRNLRSEVDAGSIKPYCFVEVNGLKLASPENIYRVIYEALSGHRVSWKKALHLLNERFSGGKKVAKGDDRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGMQRLCFGPYNYQQLQEIISSRLKGIDAFEKQAIEFASRKVAAISGDARRALEICRRAAEIADYHLKKLNSYSDSTPAGKGLVGMSEVEAAIQEMFQAPHIQVMKNCSKHSKIFLTSMVYELYKTGMSETTFEKLAITVSCMCTSNGEAFPGWDTLLKVGCMLGESRIILCENGARHRLQKLQLNFPSDDVAFALKGSKELPWLAKYL >Manes.14G002000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1431532:1437403:-1 gene:Manes.14G002000.v8.1 transcript:Manes.14G002000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTPRRNLRSPSKKPKQTSPSSISLTPQTPQAVDLPRRSSRRLSLKLDQISTPQNIISRLENPNNDSLVEEFEKPQKLVKDSSRARRNSRKNESSKTPEIKRDYESAKTPKSSTKVVEVSFSPVSPDYQETKKRKRREEKVITRALASKNTKSEQKSNMKRVYYKKVLYDGGEFGIGDDVYVKRREDASSDDEVPEVEECRMCFKAGKAVMIECDGCLGGFHLKCLKPPLKDVPEGDWTCGFCEARKLGMKVELPAPPKGKRPARTLREKLLSSDLWAAHIESIWKEVDGSYWFKGRWYMIPEETNAGRQPHNLRRELYRTNDFADIEMESIIRHCFVMNPKEYAKASNAGDDIFLCEYEYDIHWHTFKRMAEIDNDEEDGEDADSDEDWGTSKDEESCSDEDIEYEKESVKNLQARTSSAHGLAANSRKGQFFGLQKIGTKRIPQHVRCHKKTELEKAKETLLLAMLPKSLPCRNKEMEEMTAFIKGAICDDQCLGRCLYVHGVPGTGKTMSVLAVMRNLRSEVDAGSIKPYCFVEVNGLKLASPENIYRVIYEALSGHRVSWKKALHLLNERFSGGKKVAKGDDRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGMQRLCFGPYNYQQLQEIISSRLKGIDAFEKQAIEFASRKVAAISGDARRALEICRRAAEIADYHLKKLNSYSDSTPAGKGLVGMSEVEAAIQEMFQAPHIQVMKNCSKHSKIFLTSMVYELYKTGMSETTFEKLAITVSCMCTSNGEAFPGWDTLLKVGCMLGESRIILCENGARHRLQKLQLNFPRMLETVESTSVVEMLMCLLYVL >Manes.05G159500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27217704:27219166:1 gene:Manes.05G159500.v8.1 transcript:Manes.05G159500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLRLTSTFSSTHSFMLLSSSKPPISKQKLFTKSQESHLHLTSPINISRRDVALLSFLALVPFLSQPAPATAFSIGISGPKDWLKEQKKKASKFLLAPIDASREILRSAYLSLTTSEVEYTPKELEEFQRLLRSAARDCIPQERNSFVAFQANTGVEVCTFRLIVKNASSLLDKEDPAKLEAEAMLNDLIRSFTSLNGLVNETGIQDTSNRYVFKLSHAITMCNSISISILLQLPSKIIVTGPCCLL >Manes.05G159500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27217665:27223695:1 gene:Manes.05G159500.v8.1 transcript:Manes.05G159500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLRLTSTFSSTHSFMLLSSSKPPISKQKLFTKSQESHLHLTSPINISRRDVALLSFLALVPFLSQPAPATAFSIGISGPKDWLKEQKKKASKFLLAPIDASREILRSAYLSLTTSEVEYTPKELEEFQRLLRSAARDCIPQERNSFVAFQANTGVEVCTFRLIVKNASSLLDKEDPAKLEAEAMLNDLIRSFTSLNGLVNETGIQDTSNRKKVADALMNTISSLNKFEQGVKYCLEV >Manes.05G159500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27217665:27223695:1 gene:Manes.05G159500.v8.1 transcript:Manes.05G159500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLRLTSTFSSTHSFMLLSSSKPPISKQKLFTKSQESHLHLTSPINISRRDVALLSFLALVPFLSQPAPATAFSIGISGPKDWLKEQKKKASKFLLAPIDASREILRSAYLSLTTSEVEYTPKELEEFQRLLRSAARDCIPQERNSFVAFQANTGVEVCTFRLIVKNASSLLDKEDPAKLEAEAMLNDLIRSFTSLNGLVNETGIQDTSNRCCGPPCIFAFGRR >Manes.05G159500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27217704:27220147:1 gene:Manes.05G159500.v8.1 transcript:Manes.05G159500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLRLTSTFSSTHSFMLLSSSKPPISKQKLFTKSQESHLHLTSPINISRRDVALLSFLALVPFLSQPAPATAFSIGISGPKDWLKEQKKKASKFLLAPIDASREILRSAYLSLTTSEVEYTPKELEEFQRLLRSAARDCIPQERNSFVAFQANTGVEVCTFRLIVKNASSLLDKEDPAKLEAEAMLNDLIRSFTSLNGLVNETGIQDTSNRHMH >Manes.05G159500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27217704:27220714:1 gene:Manes.05G159500.v8.1 transcript:Manes.05G159500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLRLTSTFSSTHSFMLLSSSKPPISKQKLFTKSQESHLHLTSPINISRRDVALLSFLALVPFLSQPAPATAFSIGISGPKDWLKEQKKKASKFLLAPIDASREILRSAYLSLTTSEVEYTPKELEEFQRLLRSAARDCIPQERNSFVAFQANTGVEVCTFRLIVKNASSLLDKEDPAKLEAEAMLNDLIRSFTSLNGLVNETGIQDTSNRKKVADALMNTISSLNKFEQGVKYCLEV >Manes.13G063800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7597735:7611164:1 gene:Manes.13G063800.v8.1 transcript:Manes.13G063800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAGTGVFVKHSRLFVKIHRWALVKMSMNSKLCSSNGRLTASFKLKKQNDPLHGSNSVRKWRKILCLWLLCVLTIASMWFLLSLTNGELGKKDKSLDSCEEKAQVLLQHFNVSKSQLHALVSLFSDSDQITSLQCSKELGPEKELTDGIACALKVLCSKKHEFQPQGGWATEGVEASNQCPAQETNIPRKLEQSLRDELNTFRTRELRDRTGDRCNIFSFGLEKVCWWVLFGMIVSCTLSALHLQFWRKKKLKLAHLDPVPQQRHQLLHLKQQQLSQSPPKGAGKWRKKLLIIFVMLGILTSIWLFWHLNGKIKLRREETLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRGNILRARASGKGVLTSPFKLLKSNHLGVVLTFAIYNADLPPDATPELRFEATVGYLGASYDIPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTDVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPTLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYHETMELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQMDYAQTAHTSGKHLISLINKVLDQAKIDSGRLELEAVPFDLRSVLDNVLSLVSGKSNEKGIELAIYVSDQVPEVVIGDPGRFRQIIKNLVENSVKFTRDKGHIFVSIHLAAEVRSPLGVRDAVLEQGLNLVKDISNETNNTLSGCPVVDRWKSWASFKSLNNTDTMGEPEMIRLLVTVEDTGVGIPLDAQGRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTAAFRKGDLSSLDTKWQICDRNVMEFQGWRALVVDKRRTRAEVTRYHLQRLGISVDVASSLKSACSYLSGGCYTSVPADLAVVLIDKDVWHNETGIAFYHLLKEHKRNGGKEIVINLPKTFLLATTVSLEERSELTSAGLIDNVIMKPLRLSVLISCFQEDIGSVKKSQVKRKNPSTLQNLLRGKRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKDALELLKPPHTFDACFMDRHMPEMDGFEATRQIRSRERQYNEQIASREPSAQMFGNVAYWHTPILAMTADVIQATNEECMKCGMDDYVAKPFEEEQLYNAVARFFESG >Manes.13G063800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7597735:7611164:1 gene:Manes.13G063800.v8.1 transcript:Manes.13G063800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAGTGVFVKHSRLFVKIHRWALVKMSMNSKLCSSNGRLTASFKLKKQNDPLHGSNSVRKWRKILCLWLLCVLTIASMWFLLSLTNGELGKKDKSLDSCEEKAQVLLQHFNVSKSQLHALVSLFSDSDQITSLQCSKELGPEKELTDGIACALKVLCSKKHEFQPQGGWATEGVEASNQCPAQETNIPRKLEQSLRDELNTFRTRELRDRTGDRCNIFSFGLEKVCWWVLFGMIVSCTLSALHLQFWRKKKLKLAHLDPVPQQRHQLLHLKQQQLSQSPPKGAGKWRKKLLIIFVMLGILTSIWLFWHLNGKIKLRREETLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRGNILRARASGKGVLTSPFKLLKSNHLGVVLTFAIYNADLPPDATPELRFEATVGYLGASYDIPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTDVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPTLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYHETMELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQMDYAQTAHTSGKHLISLINKVLDQAKIDSGRLELEAVPFDLRSVLDNVLSLVSGKSNEKGIELAIYVSDQVPEVVIGDPGRFRQIIKNLVENSVKFTRDKGHIFVSIHLAAEVRSPLGVRDAVLEQGLNLVKDISNETNNTLSGCPVVDRWKSWASFKSLNNTDTMGEPEMIRLLVTVEDTGVGIPLDAQGRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTAAFRKGDLSSLDTKWQICDRNVMEFQGWRALVVDKRRTRAEVTRYHLQRLGISVDVASSLKSACSYLSGGCYTSVPADLAVVLIDKDVWHNETGIAFYHLLKEHKRNGGKEIVINLPKTFLLATTVSLEERSELTSAGLIDNVIMKPLRLSVLISCFQEDIGSVKKSQVKRKNPSTLQNLLRGKRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKDALELLKPPHTFDACFMDRHMPEMDGFEATRQIRSRERQYNEQIASREPSAQMFGNVAYWHTPILAMTADVIQATNEECMKCGMDDYVAKPFEEEQLYNAVARFFESG >Manes.13G063800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7597735:7611165:1 gene:Manes.13G063800.v8.1 transcript:Manes.13G063800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAGTGVFVKHSRLFVKIHRWALVKMSMNSKLCSSNGRLTASFKLKKQNDPLHGSNSVRKWRKILCLWLLCVLTIASMWFLLSLTNGELGKKDKSLDSCEEKAQVLLQHFNVSKSQLHALVSLFSDSDQITSLQCSKELGPEKELTDGIACALKVLCSKKHEFQPQGGWATEGVEASNQCPAQETNIPRKLEQSLRDELNTFRTRELRDRTGDRCNIFSFGLEKVCWWVLFGMIVSCTLSALHLQFWRKKKLKLAHLDPVPQQRHQLLHLKQQQLSQSPPKGAGKWRKKLLIIFVMLGILTSIWLFWHLNGKIKLRREETLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRGNILRARASGKGVLTSPFKLLKSNHLGVVLTFAIYNADLPPDATPELRFEATVGYLGASYDIPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTDVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPTLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYHETMELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQMDYAQTAHTSGKHLISLINKVLDQAKIDSGRLELEAVPFDLRSVLDNVLSLVSGKSNEKGIELAIYVSDQVPEVVIGDPGRFRQIIKNLVENSVKFTRDKGHIFVSIHLAAEVRSPLGVRDAVLEQGLNLVKDISNETNNTLSGCPVVDRWKSWASFKSLNNTDTMGEPEMIRLLVTVEDTGVGIPLDAQGRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTAAFRKGDLSSLDTKWQICDRNVMEFQGWRALVVDKRRTRAEVTRYHLQRLGISVDVASSLKSACSYLSGGCYTSVPADLAVVLIDKDVWHNETGIAFYHLLKEHKRNGGKEIVINLPKTFLLATTVSLEERSELTSAGLIDNVIMKPLRLSVLISCFQEDIGSVKKSQVKRKNPSTLQNLLRGKRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKDALELLKPPHTFDACFMDRHMPEMDGFEATRQIRSRERQYNEQIASREPSAQMFGNVAYWHTPILAMTADVIQATNEECMKCGMDDYVAKPFEEEQLYNAVARFFESG >Manes.13G063800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7597735:7611164:1 gene:Manes.13G063800.v8.1 transcript:Manes.13G063800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAGTGVFVKHSRLFVKIHRWALVKMSMNSKLCSSNGRLTASFKLKKQNDPLHGSNSVRKWRKILCLWLLCVLTIASMWFLLSLTNGELGKKDKSLDSCEEKAQVLLQHFNVSKSQLHALVSLFSDSDQITSLQCSKELGPEKELTDGIACALKVLCSKKHEFQPQGGWATEGVEASNQCPAQETNIPRKLEQSLRDELNTFRTRELRDRTGDRCNIFSFGLEKVCWWVLFGMIVSCTLSALHLQFWRKKKLKLAHLDPVPQQRHQLLHLKQQQLSQSPPKGAGKWRKKLLIIFVMLGILTSIWLFWHLNGKIKLRREETLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRGNILRARASGKGVLTSPFKLLKSNHLGVVLTFAIYNADLPPDATPELRFEATVGYLGASYDIPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTDVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPTLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYHETMELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQMDYAQTAHTSGKHLISLINKVLDQAKIDSGRLELEAVPFDLRSVLDNVLSLVSGKSNEKGIELAIYVSDQVPEVVIGDPGRFRQIIKNLVENSVKFTRDKGHIFVSIHLAAEVRSPLGVRDAVLEQGLNLVKDISNETNNTLSGCPVVDRWKSWASFKSLNNTDTMGEPEMIRLLVTVEDTGVGIPLDAQGRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTAAFRKGDLSSLDTKWQICDRNVMEFQGWRALVVDKRRTRAEVTRYHLQRLGISVDVASSLKSACSYLSGGCYTSVPADLAVVLIDKDVWHNETGIAFYHLLKEHKRNGGKEIVINLPKTFLLATTVSLEERSELTSAGLIDNVIMKPLRLSVLISCFQEDIGSVKKSQVKRKNPSTLQNLLRGKRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKDALELLKPPHTFDACFMDRHMPEMDGFEATRQIRSRERQYNEQIASREPSAQMFGNVAYWHTPILAMTADVIQATNEECMKCGMDDYVAKPFEEEQLYNAVARFFESG >Manes.13G063800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7597735:7611164:1 gene:Manes.13G063800.v8.1 transcript:Manes.13G063800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAGTGVFVKHSRLFVKIHRWALVKMSMNSKLCSSNGRLTASFKLKKQNDPLHGSNSVRKWRKILCLWLLCVLTIASMWFLLSLTNGELGKKDKSLDSCEEKAQVLLQHFNVSKSQLHALVSLFSDSDQITSLQCSKELGPEKELTDGIACALKVLCSKKHEFQPQGGWATEGVEASNQCPAQETNIPRKLEQSLRDELNTFRTRELRDRTGDRCNIFSFGLEKVCWWVLFGMIVSCTLSALHLQFWRKKKLKLAHLDPVPQQRHQLLHLKQQQLSQSPPKGAGKWRKKLLIIFVMLGILTSIWLFWHLNGKIKLRREETLTNMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRGNILRARASGKGVLTSPFKLLKSNHLGVVLTFAIYNADLPPDATPELRFEATVGYLGASYDIPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTDVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPTLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYHETMELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQMDYAQTAHTSGKHLISLINKVLDQAKIDSGRLELEAVPFDLRSVLDNVLSLVSGKSNEKGIELAIYVSDQVPEVVIGDPGRFRQIIKNLVENSVKFTRDKGHIFVSIHLAAEVRSPLGVRDAVLEQGLNLVKDISNETNNTLSGCPVVDRWKSWASFKSLNNTDTMGEPEMIRLLVTVEDTGVGIPLDAQGRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTAAFRKGDLSSLDTKWQICDRNVMEFQGWRALVVDKRRTRAEVTRYHLQRLGISVDVASSLKSACSYLSGGCYTSVPADLAVVLIDKDVWHNETGIAFYHLLKEHKRNGGKEIVINLPKTFLLATTVSLEERSELTSAGLIDNVIMKPLRLSVLISCFQEDIGSVKKSQVKRKNPSTLQNLLRGKRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKDALELLKPPHTFDACFMDRHMPEMDGFEATRQIRSRERQYNEQIASREPSAQMFGNVAYWHTPILAMTADVIQATNEECMKCGMDDYVAKPFEEEQLYNAVARFFESG >Manes.03G210900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32630385:32633005:1 gene:Manes.03G210900.v8.1 transcript:Manes.03G210900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPPPYYILQIHDYEEFNNAVEKYESEVFEAKGCKWKLVLYPRGNKSKEVPHHISLYLALADSVEHEEVYAVFCLYLLDQEKQHDFIFPAPDAQGKERCFHKSKLEWGSDLFIPITKFSDASNGYLVNNTFVLGAEVISVRKETVTGKGESFKMTKELPDTKLAWKIKKFSKIKEKCCRKIFTAGDQQWELEFYPKGTGLGENCFLSLYLALAEPIPPSSKIYTHVTLRLVDQAKDRHIIGKENFWFSESTPRQGWSRFTALHYLYRSKKTLLVNDTCIVEAEVKVLGVVKNNAALFASSS >Manes.01G079100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28207495:28209285:-1 gene:Manes.01G079100.v8.1 transcript:Manes.01G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSPSGSLGPGGLDLSQVFFKPIHNTAPPSPTKRHTKISVVGTGNVGMAIAQTILTQDLVDELALVDAKADKLRGEMLDLQHAAAFLPRTKILASADYSITEGSDLCIITAGARQIPGESRLNLLQRNVTLFEMIIPPLAKYSPNSILMIVSNPVDVLTYIAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVTAQDVQAYIVGEHGDSSVALWSSISVGGVPILSFLEKQEIAYEKETLENIHKAVVDSAYEVINLKGYTSWAIGYSAANLARSILRNQRKIHPVSVLAKGFYGIDGGDVFLSLPAQLGRGGVLGITNVHLTEEEAQRLRDSAKTLLDVQSQLGI >Manes.01G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4917826:4922447:-1 gene:Manes.01G021700.v8.1 transcript:Manes.01G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIATLSRRLSRSLITNPKFSQISMLFCTDNLSSRDDSALEDSDHNSDTDSVPSSISQSSLSSSSTLAAASPGSTDERMIYQRPLENGLDLGIYRAILVGQVGQTPLQKKLRSGRIVTMFSLGTGGIRNNRRPLQNEEPKEYANRCNVQWHRISVYPERLGSLVMKNVGPGSIIYLEGNLETKVFTDPITGLVRRIREVAIRRDGRLVFLGKGGDDQQAASANELRSVGYY >Manes.09G025450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5643119:5648117:1 gene:Manes.09G025450.v8.1 transcript:Manes.09G025450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKSILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.09G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4532468:4536263:-1 gene:Manes.09G022100.v8.1 transcript:Manes.09G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNLEVRVNAVNVSQFDHTPFYCEENVYFLCKKLCQIGTADAEGSDLFVAFISNEKKQIPLWHQKASKRADGIVLWDYHVICIQRKGDGDSPCLVWDLDSSLPFPSPLAVYVSETIRPSFQLFSDYQRFFRIVHAPLFLRYFASDRRHMKDSTGNWIAQPPAYEPIVAEDGTVHNLNEYMEIYASNELTDMGADSVNLVFNQKHGVVISESRVEELFSLIS >Manes.09G022100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4532468:4536263:-1 gene:Manes.09G022100.v8.1 transcript:Manes.09G022100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNLEVRVNAVNVSQFDHTPFYCEENVYFLCKKLCQIGTADAEGSDLFVAFISNEKKQRKGDGDSPCLVWDLDSSLPFPSPLAVYVSETIRPSFQLFSDYQRFFRIVHAPLFLRYFASDRRHMKDSTGNWIAQPPAYEPIVAEDGTVHNLNEYMEIYASNELTDMGADSVNLVFNQKHGVVISESRVEELFSLIS >Manes.15G097000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7601097:7604336:1 gene:Manes.15G097000.v8.1 transcript:Manes.15G097000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRALLTSQNPLFNSGKPFASPLQFSIYRTLTTSSSPLLEPDAQTVQTKKLSLLFQEAVGLCEKTEPDIEIESHSSGVKKKLWELEREVRDLRKADSKNDEKHQNVETAETGESKSLYAMFTGVKHRKKVETQRREPEEPRIFKELSLDMKMFVNHLYENGYFRDANFLRGDRLDLSCFDDSYGRDFIKFAAKKFGEDHQEIAKWLSGSDLKKVALFGCPSATRRDVFSAKSLRNYFEIKEDTVCGKCVLKHSCKFVNQSVRKGDHKTLNLAVVMRLITLYALEAVHPELPVPDEIKASVSRLLKEVVKLSQTIS >Manes.15G097000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7601150:7603301:1 gene:Manes.15G097000.v8.1 transcript:Manes.15G097000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRALLTSQNPLFNSGKPFASPLQFSIYRTLTTSSSPLLEPDAQTVQTKKLSLLFQEAVGLCEKTEPDIEIESHSSGVKKKLWELEREVRDLRKADSKNDEKHQNVETAETGESKSLYAMFTGVKHRKKVETQRREPEEPRIFKELSLDMKMFVNHLYENGYFRDANFLRGDRLDLSCFDDSYGRDFIKFAAKKFGEDHQEIAKWLSGSDLKKVALFGCPSATRRDVFSAKSLRNYFEIKEDTVCGKCVLKHSCKFVNQSVRKGDHKTLNLAVVMRLITLYALEAVHPELPVPDEIKASVSRLLKEVVKLSQTIS >Manes.14G166900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26746634:26757154:-1 gene:Manes.14G166900.v8.1 transcript:Manes.14G166900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHLLHMKLTTISSSASFRSSNSLFPCNRHQIPTNSFHLPSHSKSSGHKISWVQKLPRIATATIKCSANIENSSTDVKEKSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSFYTFSKMTEVKEIVVVCDPSYRDIFEDAKENINVNLKFALPGKERQDSVYNGLQEVDLKSELVCVHDSARPLVSSAEVKKVLKDAWINGAAVLGVPAKATIKEANSESFVVRTLDRKTLWEMQTPQVIKPDLLKKGFELVNRGGLEVTDDVSIVEHLKHPVYITEGCYTNIKVTTPDDLLLAERILNLNSGESSK >Manes.14G076100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6352935:6357364:-1 gene:Manes.14G076100.v8.1 transcript:Manes.14G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTLCPDLHITRGEYDEEMRYPETKTLTIGQFKLGVCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGSRVVVYVYELIDGEVKVDKIDFKKATTTTHPAH >Manes.14G076100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6354139:6357359:-1 gene:Manes.14G076100.v8.1 transcript:Manes.14G076100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTLCPDLHITRGEYDEEMRYPETKTLTIGQFKLGVCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGSRVVVYVYELIDGEVKVDKIDFKKATTTTHPAH >Manes.14G076100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6352935:6357359:-1 gene:Manes.14G076100.v8.1 transcript:Manes.14G076100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHIPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKTLCPDLHITRGEYDEEMRYPETKTLTIGQFKLGVCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGSRVVVYVYELIDGEVKVDKIDFKKATTTTHPAH >Manes.13G067600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8705909:8707829:-1 gene:Manes.13G067600.v8.1 transcript:Manes.13G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGMITAPSFGFFSVNRSNRASSKFRSINVQCHGVKGMHVEKSLEELYNVKVEREVPRNRLDELGVSRWSVWKTGKCKLPWDWQVDQLVYIEEGEVRVVPEGSERYMRFVAGDLVRYPKWFEADLFFNGPYQERYSFRAYGDDY >Manes.01G156700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34289866:34293588:1 gene:Manes.01G156700.v8.1 transcript:Manes.01G156700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGGVGYGERSLKETPTWAVALVCAVFVIISVIIEHGIHSLAQWFQKRQKKAMSEALEKIKSELMLLGFISLLITIGTKPISKICIPEKAGNIMLPCKNGAKNDDEKDNDGRKLLWYAGDAMWRRVLAPASGDDYCSKHDKVALVSQSGVHQLHIFIFMLAVFHVLYSVVTIALAKAKMKKWKKWEAETTSLEYEFNNDPSRFRFTRQTSFVRRHTGFSTTPGLRWIVAFFRQFFASVTKVDYLTMRHGFINAHFAPNSKFNFHKYIKRSMEDDFKVVVGISIPLWTCSIVFQLLNVNGLYTLLWIQFIPLLIVVIVGTKLEIIIMEMAQHIQDKTSVVKGAPVVEPSNKYFWFNRPDIILFLIHFTLFQNAFQMAYFLWIWYEFGLKSCFHENLPEILTRVILGVALQFLCSYITFPLYALVTQMGSHMKKAIFEEQTAKALKKWQKAARERNKLRKPTATAEVSTGFMSPDQTSTPSRGTSPMHLLHKYKNNYSVELESVVTSPRASCHSETEMSETEGPSPSSTSRDAHEPRRENHPRGIVESHNDDFSFANH >Manes.10G145400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31280665:31282232:1 gene:Manes.10G145400.v8.1 transcript:Manes.10G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLISRRLSCKLLTLSPSSSVYSQFITHETQNPGFRFFTYHHSNSSPPQHEANPISIFEAQALIEPKPSNLSHHFVRSYSSFTTQTKDHDFTLSTVEKSRCLSTFTREKSQIPDLKHREMISSIDTQLTSQRPRYFSSSDSPSDSEKSQNQSEYPSKIPNFKHQEIEGPTVERDLSALANETREVLERMMKNIYALSKAVAFLGLIQLGVGAWISYITKATTIPEVSIQSFIAFGFPFTMAFMLRQSLKPMHFFKKMEEQGRLQILTLALQVAKNLNVFFVRLRSVSFWCIAGVSVGVLFNLLSK >Manes.07G107000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31321702:31325315:-1 gene:Manes.07G107000.v8.1 transcript:Manes.07G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSALLIWVSSLLSLICVSLAYDPVDKYLIDCGSSTNKSVGNRVFVPDQFYSNFLSNPHLTFANASSSPISSEYDPSLFQTARIFNETSHYTFPVKKSGRHWIRLYFYPFVSRNYNLSTAKFSVSAQNFTLIKEYQSKINPEVREYSINVTSNRLVLTFSPFANSLAFINALEVFSLPDELIPPGATTIGPQGSYQNLWERALETVERVNMGNQTVLPSNDTLWRLWDADGKYLKQGNAEKFVSDVKAVNFTKGGLTENIAPSSVYGTATILNTEPDPQTNANVTWLFSVDPGFEYLVRFHFCDILPRNSARFYFNVYIGSSAVVQYLDLLNRTSDVGVPYFMDVITTVSGSHMLNVSVGPSNNFQYPNAILNGLEIMKISNSKDSLDALDSINSRSSKTKVILIVGLAAGLFIVAVLALVLFLLCRRRFRHGKAEDQSAMTDGDSTYTAGSKFSNGTLIFSTSRFGFGYRFPFVAIQEATENFSESLVLGIGGFGKVYKGVLRDGTRVAVKRGTSQSQGIAEFQTEIEMLSQFRHRHLVSLIGFCDERNEMIIIYEFMENGTLKDHLYGSKYPSLSWRQRLEICIGAAKGIHYLHTGSAKAIIHRDVKSANILLDENFMAKVADFGLSKTGPEIDQSHVSTAVKGSFGYLDPEYLIRQQLTEKSDVYSFGVVMYEVLSGRPVINPSLPREKVNLVELALKCQRRGQLELIIDPHLEGQIKTESLKKFGDIAEKCLAECGADRPSMGDVLWNLESALQLQGNEVRSSHNNKMTENVNRANSFETSVSNAQVSIGSMGDLAGVSMSKVFAQMVREEMR >Manes.07G127906.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33039560:33041290:-1 gene:Manes.07G127906.v8.1 transcript:Manes.07G127906.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNFFHGSIPSSFSSLMGIQKLDLSHNNLSGKVPNYFEKIRFIYLNLSYNNFEGEIPKKGVFTNVSAVSVVGNQRLCGGISQLKMPKCPSKEPKKQQKTFRFHLLVIIIIPCAVLGLIVASSSLFCWFRKKKEQSSGASVKESPFAQISYEKLLRATGGFSLSNLIGVGSFGSVYRGSIDDDGTLTAIKVLDLQRRGASRSFTAECEALRNIRHRNLVRIITSCSSIDFQGNDFKALVYAYMPNGSLETWLHPVQETYEGDQQHEVENNLSLVRRINIATDVAHALDYLHHHCHQPIIHCDLKPSNILLDSDMTAHVGDFGLARILPELNKTNQSSSIGIKGTIGYAAPEYGLGSELSVEGDIYSYGILLLEMITGKRPTDNMFDSGLNLHSFARKSLPHNVMEMVDPVLLGDDIEIMTKGQSTNAGNRMVECLISIVEIGLACSMESPRDRMDMSKVVHELHKIKMFFGN >Manes.15G159800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13273766:13276961:-1 gene:Manes.15G159800.v8.1 transcript:Manes.15G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSLSNFLSFPLPSNPLPRTSPQLSFSSPPTQFSSQKLKNKLIPLVAQEGHSGSLPAELSSIICPSLAYSNTLFFQSAYNVQVIVDDNEPEERLLNRFRREVMRAGIVQECKRRRFFENKQDEKKRKSREAAKRNRRRRFQSRPIMQNKQETSTSKKDEDGEDNWEMPEGDLPY >Manes.04G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34263151:34264436:-1 gene:Manes.04G144400.v8.1 transcript:Manes.04G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKREHKPIEAMTNMPTKKEISKGAWAPEEDKKLAEVIAIHGAKRWKIIAEKAGLNRCGKSCRLRWLNYLRPNIKRGNISDQEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEVKNYWNSHLCKKINQKEKQSGASIGEESKGEKRTTEKADTVEVTREEKQSSCNNYTGGEESNTSFNVDDFFDFSNEDRLNLEWMSPFLEMDGRFTGMS >Manes.06G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27954536:27956889:-1 gene:Manes.06G152200.v8.1 transcript:Manes.06G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSAVPVFKPFPYFRASNHFPKPMPTSLFSIPSAARYPSLFHGGLRKTNVAVCFVLEDTKQSVQIDNLQSDQSEEVNYQILTPRAAERLARKKSEMFTYLVAAMMSSFGITSMAVMACYYRFYWQMEGGEVPLLEIFSTFSLSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAIPAIGLLSFGFFNKGLFPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQLHHSDKFNGVPYGLFLGPKEVEEVGGHEELEKEINRRIKLQKGS >Manes.14G162100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22760625:22766088:1 gene:Manes.14G162100.v8.1 transcript:Manes.14G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGIKAPVLAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLLLKYRPEDKAAKKERLVKRAQAEAEGKTVESKKPIVVKYGLNHVTYLVEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTAAALCLTSVKNEDKLEFSKILEAVKANFNDKFDEHRKRWGGGIMGSKSQAKTKAKERLLAKEAAQRMS >Manes.17G101801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30936909:30939786:1 gene:Manes.17G101801.v8.1 transcript:Manes.17G101801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSTLSSSAAAAAAAGSGGGVRMKQSRRLPDFLQSVNLKYVKLGYHYLVSHLLTLCLIPLTAVIVVEASQLNPEDIQLLWLQLQYNLVIVVVCSVFVVFGCTGYIMTRPRSVYLVDYACYKPPSNLQVKFHQFMEHSKLTGDFDDSSLEFQRKILERSGLGEETYVPEAMHYLPPRPSMAAAREEAEQVMFGALDILFANTNIKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNILSFNLGGMGCSAGVIAIDLAKDLLQIHRNTCAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNSSTDRRRAKYKLVHVVRTHRGADDKAFRCVYQEQDDNGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLVAKKLFNAKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGNRVWQIAFGSGFKCNSAVWEALRNVKPSTSNPWEDCIDRYPVKLVL >Manes.13G063700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7610741:7614109:-1 gene:Manes.13G063700.v8.1 transcript:Manes.13G063700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYMWHKVAALSGVAALGLGTYGAHVFKPQNPAYKEVWHTASLYHLVHTAALLAAPITKNPNVFGGLLTTGILAFSGTCYTVALLEDRKYATLAPFGGFAFIAAWSSLLF >Manes.13G063700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7612317:7613627:-1 gene:Manes.13G063700.v8.1 transcript:Manes.13G063700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYMWHKVAALSGVAALGLGTYGAHVFKPQNPAYKEVWHTASLYHLVHTAALLAAPITKNPNVFGGLLTTGILAFSGT >Manes.12G053300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4957610:4958374:1 gene:Manes.12G053300.v8.1 transcript:Manes.12G053300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKVITVGLWAIGWVLLVVIGSGNTVQGITCSRALRELDPCTFFVVGPDPCPLPPAVRPCKEANNPAIREQICKCIKDAAAAGGFSLIKARKIPYLCHVQLPINCLGP >Manes.10G078000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18148012:18149457:-1 gene:Manes.10G078000.v8.1 transcript:Manes.10G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSESDQLHILLFPLMAQGHMLPLLDIAKLFAARGVKATIITTPVNATRLTKSIQTSQDLSTQINLKIIKFPSQEAGLPEGLENLDMVSDQQIHSKFFEALSLLQEPLEKAIEELCPHGLVSDIFFPWTTDVASKYGIPRIIFHGTSFINMCCMANIEQHQPHKTVSSDTEPFILPGLPDSLKFTKLQLPDRYRLQSPPFMERLLGSAKEVEKRSYGVIVNSFYELESGYADYYRKVLGRKAWHIGPVSLCNRNLEEKAHRGREASISKHECLKWLDAKKPNSVLNVCFGTVTKFSDSQLHEIAIGLEASKQNFIWVVRKDKNEEESEEKWLPEGYEKRMEGKGLIIRGWAPQILILDHEAIGGFITHCGWNSTLEGVSAGLPMVTWPIFADQFFNEKLITDVLKIGVSVGAQKWIRLVGDYVSSEKIEKAVKEIMVGEKAVEMGIRAKKIGEIAKRAIEEGGSSYNDLGVLIEELKARRA >Manes.04G134100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33500932:33502830:1 gene:Manes.04G134100.v8.1 transcript:Manes.04G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNQLHRQVILSRQSLFDQGFLDEQFLQLEELQDDANPNFVEEIVTLHYRDSARLILSIEQALERNPLDFNKLDSLMHQFKGSSSSIGAKKVKAECTLFREFCSAGNGEGCIRTFQQLKKEYATLKKKLEAYFQLARQAGPAQTACRPK >Manes.04G134100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33501100:33502549:1 gene:Manes.04G134100.v8.1 transcript:Manes.04G134100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNQLHRQVILSRQSLFDQGFLDEQFLQLEELQDDANPNFVEEIVTLHYRDSARLILSIEQALSVENFPFLNPKLLFSYLFYCLVQQVKRQLWCLKVASFLCLCRERNPLDFNKLDSLMHQFKGSSSSIGAKKVKAECTLFREFCSAGNGEGCIRTFQQLKKEYATLKKKLEAYFQLARQAGPAQTACRPK >Manes.04G134100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33501046:33502744:1 gene:Manes.04G134100.v8.1 transcript:Manes.04G134100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNQLHRQVILSRQSLFDQGFLDEQFLQLEELQDDANPNFVEEIVTLHYRDSARLILSIEQALERNPLDFNKLDSLMHQFKGSSSSIGAKKVKAECTLFREFCSAGNGEGRCIRTFQQLKKEYATLKKKLEAYFQLARQAGPAQTACRPK >Manes.05G030200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2581639:2587129:1 gene:Manes.05G030200.v8.1 transcript:Manes.05G030200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPNPVQGFYFFDPMGMGLPGLNSLPPQTTSTTATTATTTNTTAVNTSASTTSSAANTLAFADDPSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKAAKNALAVSQVAGPFQSSSASLEPGYVHRQDSSSVLGNPMTGGTLTTWNFNPVAPVNVSQVTKDDVGLAGPPTAHNCCYSSSNESTPRTWQTSEIIDRGDQGKPMRVMPDFALVYSFIGSVFDPNASGQLQRLKQMDPINLETALLLMRNLAINLTSPDFEDHVQASSFAFSFFSSLFVLFSFLVVLSIF >Manes.05G030200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2581639:2587115:1 gene:Manes.05G030200.v8.1 transcript:Manes.05G030200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPNPVQGFYFFDPMGMGLPGLNSLPPQTTSTTATTATTTNTTAVNTSASTTSSAANTLAFADDPSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKAAKNALAVSQVAGPFQSSSASLEPGYVHRQDSSSVLGNPMTGGTLTTWNFNPVAPVNVSQVTKDDVGLAGPPTAHNCCYSSSNESTPRTWQTSEIIDRGDQGKPMRVMPDFALVYSFIGSVFDPNASGQLQRLKQMDPINLETALLLMRNLAINLTSPDFEDHRRLLASYDVNTDNAKSGGPYSNIVADKTRYPFSSKRSETLKSAC >Manes.08G102300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34057343:34063200:1 gene:Manes.08G102300.v8.1 transcript:Manes.08G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAETRCLSGYGKPPWIFKGSALYQLHLVKAETARAFIPKEFKLVEAFGYTLGGFFLANYEESPAGAFDELVVIAGIVWNPPMSCAWAARVLVSSDDACGHGRKEVGLPSYVAKFSKGTVGIPMHQTSKSHYLLHMIGFDTMHSRSKDSMDVQVTEINGPFAINICNINLATAVPGLKLDKWMGPAIKMSLPSFSGRTKHNPNLLKYSCSIECRMRAVQPAKVTGSFPASKHDTEESLGHHKCKSPDAINGLLDNGQSLSISVMLSKPILALQFNCLKMQVEAPIAVSLCPRSSCRTSLGVS >Manes.08G102300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34059798:34063200:1 gene:Manes.08G102300.v8.1 transcript:Manes.08G102300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAETRCLSGYGKPPWIFKGSALYQLHLVKAETARAFIPKEFKLVEAFGYTLGGFFLANYEESPAGAFDELVVIAGIVWNPPMSCAWAARVLVSSDDACGHGRKEVGLPSYVAKFSKGTVGIPMHQTSKSHYLLHMIGFDTMHSRSKDSMDVQVTEINGPFAINICNINLATAVPGLKLDKWMGPAIKMSLPSFSGRTKHNPNLLKYSCSIECRMRAVQPAKVTGSFPASKHDTEESLGHHKCKSPDAINGLLDNGQSLSISVMLSKPILALQFNCLKMQVEAPIAVSLCPRSSCRTSLGVS >Manes.18G125200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14249938:14252367:-1 gene:Manes.18G125200.v8.1 transcript:Manes.18G125200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYVKLKAENRIISDGVNAKLLGCHGPLAQRQPGRAFLNAYA >Manes.14G024900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2329064:2329246:1 gene:Manes.14G024900.v8.1 transcript:Manes.14G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKAESSSGKKVMSPCDVEALKKCLEENKGDYVKCQSQIEAFKSSCSLKKPSSSPQSTS >Manes.02G039600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3258516:3263162:-1 gene:Manes.02G039600.v8.1 transcript:Manes.02G039600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFCLVFFYPSLQTSIQVFEDLFFFRAKKEEEISIGPIMADHHDNLDELLDSALDDFQGLNLAPSSQRNGERDGTNESKQQESFPLLPIGIQGLGMGLPDLKSKKKGKSKVSEESHVAEALDQLRQQTREAVKGLESVTGPKPVDFSKDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPKWLEEHKASLTTADYERYSHQYELINHLNQVYENEPNNFTKIVDLMQKMQECGQPPNDIVQELAPDIDFTNLGQISPEMPELQSNCCIM >Manes.01G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32450532:32455513:-1 gene:Manes.01G131600.v8.1 transcript:Manes.01G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQSSDSSENEEQTQVRELCANGICMKTTEVEAKLDEGNIEEAESSLREGLQLNFEEARALLGRLEYQRGNVEGALRVFDGIDLQAAIERLQPPISEKQPPKKGRTRSDAQIVVSQHAAGLVLEAIYLKAKSLQKLGRLSDAANECQNVLDAAEKIFQQGIPDVQVDNRLQDTINQAVELLPELWKQAGCYEEATSAYRRALLSQWNLDNECCARIQKGFAIFLLHSGVEAGPLSLAAQIDGSYVPKHNLEEAVLLLMILIRKFYLGKTEWDPSVMEHLMFALSLCSQTSILAKQLEAIMPGVFHRVDRWNNLALCYCGAGQNNVALNLLRHSLHKHERPNDVMALLLAAKICSEDPHLAAEGGGHAQRAIENAVGIDEHLKGVAVRMLGLCLGKQAKVSSSDFERSRLQSEALKSLEGAVAFEPNNHELIFELGVQYAEQRNLNAALRYTKQFIDATGGSILKGWRLLALILSAQQRFTEAEVVIDAALDETAKWEQGPLLRLKAKLKISQSLPMDAIETYRYLLALVQARRKSFGPLRNISQVDDEKVNEFEVWHGLADLYSRLSHWKDVEVCLGKARGLKQYAAELLHTEGVMHQGRGELQEAICSYTNSLFLEPSFVPCKIVISSLLSKMGPDALPVAKSILSDALRIEPTNRLAWYHLGLVHKDDGRMADATDCFLAASMLEESDPIESFSSVQ >Manes.08G053400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5739926:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHCHSHKSRRQVCAKPKEQLQLQIQLPTIRDYGYPSGLMFSMFVNTNNYLKSGVVIASHVRKREYRFSSRAPWKYSFQPAFNLIDHRELHFQARGSECRKMSSQAMGEKESNGVVKDCLQELPHSNNYETAMEALSSLITGQKRGSRSSVGSRYGKLERMQMYLKILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5739925:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPWKHFPLSLRVRNVDLAHLLVPDMENWKGCRCILRLKGSKHVSLQILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5740526:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVNTNNYLKSGVVIASHVRKREYRFSSRAPWKYSFQPAFNLIDHRELHFQARGSECRKMSSQAMGEKESNGVVKDCLQELPHSNNYETAMEALSSLITGQKRGSRSSVGSRYGKLERMQMYLKILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNQDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5739906:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPWKHFPLSLRVRNVDLAHLLVPDMENWKGCRCILRLKGSKHVSLQILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5740566:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPWKHFPLSLRVRNVDLAHLLVPDMENWKGCRCILRLKGSKHVSLQILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNQDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5739906:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVNTNNYLKSGVVIASHVRKREYRFSSRAPWKYSFQPAFNLIDHRELHFQARGSECRKMSSQAMGEKESNGVVKDCLQELPHSNNYETAMEALSSLITGQKRGSRSSVGSRYGKLERMQMYLKILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5740566:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPWKHFPLSLRVRNVDLAHLLVPDMENWKGCRCILRLKGSKHVSLQILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5740526:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVNTNNYLKSGVVIASHVRKREYRFSSRAPWKYSFQPAFNLIDHRELHFQARGSECRKMSSQAMGEKESNGVVKDCLQELPHSNNYETAMEALSSLITGQKRGSRSSVGSRYGKLERMQMYLKILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5739906:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMFVNTNNYLKSGVVIASHVRKREYRFSSRAPWKYSFQPAFNLIDHRELHFQARGSECRKMSSQAMGEKESNGVVKDCLQELPHSNNYETAMEALSSLITGQKRGSRSSVGSRYGKLERMQMYLKILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNQDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.08G053400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5739926:5753875:1 gene:Manes.08G053400.v8.1 transcript:Manes.08G053400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHCHSHKSRRQVCAKPKEQLQLQIQLPTIRDYGYPSGLMFSMFVNTNNYLKSGVVIASHVRKREYRFSSRAPWKYSFQPAFNLIDHRELHFQARGSECRKMSSQAMGEKESNGVVKDCLQELPHSNNYETAMEALSSLITGQKRGSRSSVGSRYGKLERMQMYLKILDLEEHIPKLKIIHVAGTKGKGSTCAFCEAILRECGFRTGLFTSPHLIDVRERFRINGLDISEDKFLFYFWDCWNQLKQQVTEDLPMPPLFQFLTVLAFKIFVCEQVDVAIIEVGLGGTKDSTNVIKVPVVCGIATLGMDHTEVLGDTLGEIASHKSGIFKPQIPAFTVHQLSEAMDVLHEKANELAVPLKVVEPLDERKLDGLKLSLSGDHQFTNAGLAVSLCKCWLQRTGNWEKILQNQDKKEANIPEAFLRGLAAARLSGRAQIVYDLSSNCNSSSTLAESSSGDLIFYLDGAHSPESMEVCARWFSSAVQESKQLPKLLSSSHDVEIIKEVWGSGYIQSERDSSVESNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTYFSKAIFVPSMSTYNKVTSGTSAIPSGISNRDLSWQFSLQRLWEKIIHGIEGDILDKSSKLDSAETLPPREFVYEDASRCSPADGIFGCSSVISSLPLTIKWLRDCVRENPALRVQVLVTGSLHLVGDVLKLLKR >Manes.09G133500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33398966:33403625:1 gene:Manes.09G133500.v8.1 transcript:Manes.09G133500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENYWWQECAHHAQDCHFLPASSHPNCKKQPLRLRLRLRLRLMGMALALSPFHFNTRCSSSSPSLPTGHSISTTVRPAVILPGLGNNTGDYQKLEATLNEYGVPTVVAKVSRFDWFRNAAGLLDPNYWSGTLRPRPVLDWYLKRIDEAVREAKVLAEGGTLSLIGHSAGGWLARVYMEEFELSDISLLLTLGTPHLPPPKGVPGVIDQTRGLLDYVEKNCAKAVYTPHLKYICIAGRYIQGARFVGNSSAEVSSVVPMGSDQPNAEAALMNDMSTSTSTATNFRARFVGQGYKQVCGQANVWGDGVVPEVSAHLEGALNISLDGVYHSPVGSDDELRPWYGSPAVVEQWIHHLLN >Manes.13G104800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:30538550:30551746:-1 gene:Manes.13G104800.v8.1 transcript:Manes.13G104800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNPIKDAFAHIAKRQKLSSSKAEEAIDQVMQEIEKALEILESSDSSSEFVYKSAIGELKKKLQDISPISQLEGTQKELNMALSKYPKQLEKSFHPDISKTCRNIGFDVHTVNQVIGRHFYRLGLFSIGDCFINEAKESESTVTVRSMFSEMYLIVEAMKDRNLEPAIKWAAANSNKLKENGSDLQLKLHRLQFLEILQNGRRGESLTYARTHFAPFAANHFGEIQKLMACLLWSGKLDHSPYSEFLSPANWNRVADELTRQFCYLLGQSFESPLSVTIAAGFQGLPPLLKFMNVMAGKKLEWQSMKQLPVPMELDKEFQFHPIFVCPVSKEQSSDENPPMLMSCGHVLCKQSVNKMSKNSSKTFKCPYCPSDIDAAQCRQLYF >Manes.04G067140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26435798:26436454:-1 gene:Manes.04G067140.v8.1 transcript:Manes.04G067140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEALPFFLGFGFWNQCSAEKYFWGLLEELKLKEDELQARWQKQLQEFIEVTPCLEPAMCCGSNLESVI >Manes.01G064950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26429624:26430301:-1 gene:Manes.01G064950.v8.1 transcript:Manes.01G064950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAKAISCPLANHFQFSSKQSPSSDEEREEMDKVPHALAVGSLLYAMVSTRPNIAHVVGVVSQFLSNPGREYWTAMKYILRYFCGTSRLCLYFGSSEPMLFGYTDADMAGDVDSIKFTSGYLLIFAGEAMSWQSRLQKCVALFTTEAKFIATTKICKELLWMKKFLNKLRLQQEKYQLFCYSQSAIHLRKNVSFHLRSKYINVRYHWIRNILETKQLPLEKIHT >Manes.17G111100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31746365:31749337:1 gene:Manes.17G111100.v8.1 transcript:Manes.17G111100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPMVSGAEEGEDWELRHDDDGFTYKILKRRRLLDPPDAAQPSHSDPIVEERHRRQRKRKILLKLKSQYQKEIDLWELLSSTLRAMEEKANQQLELQTRQQEVRETTSSSLESPSTTMEGPSTSLIDKLLSQAEEQEAAINDMSYLCDIAEAMCNAQQELLAQSFIDLPIWSSPRKLMASLCDE >Manes.11G042332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4648119:4658741:1 gene:Manes.11G042332.v8.1 transcript:Manes.11G042332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWGMNRADSQSETRDRNESGSSPEKSRSYASNNYKGIGPKSYSYSELAKATGLFSLNNQLGQGGFGQVFKAILDGKIRAIKKLNNFPDIQSEGDLEREIMVVNRVSHKNLVKLVGYCIDGANRLLILKYFPNKSLKYTLHRKENVLDWKKRMNIAIGSARGLEYLHEHCKPKIIHLDIKPDNILLDNDFEPKVSDFGLALFFKDAATHVSRSSTLGTHIYADPLSTKLEKYSDKSDVYSFGVTLLELITGQNSIIDASTDIVTWAKPLIQKALEGEYADFVDSRLQSFDHEQMHRMIFCANACINQPLKYRPSMKMILLALEEILPLGNLCDEKNNNKLQLKATYKDELKPSLKPPINQETNKPSSKPPVIQETNQIVVRKGRSTSRQPREATSNSSSNSIRETSFDERNQVYKPRRFTYHQLRSATQGFAENNLLNGGLLGEVYKGILNYDEWVMVKKFYIYMHGGKEDEFKKIKDISTSVHNKHLVNLIGYCDEGDNRLLVYEYFPDKSSLRSHLEDNMAGKYRLDWPTRMAIALRIALGLVHLHDRYKPWNIYEHYKDNSIFLDEILQPKFAEYERDKFFLKDSGNSITSSSMSSRYVKLDVYFFGVILLEIITGKLPVSDISSYDSFNLIEWAVPAIQNDWPKGNYKFVDEKLGRNFDEDEMDRMIECALACVERYPQNRPEMSKVVEVLAGNIPRKNLKN >Manes.04G152500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34786191:34786379:1 gene:Manes.04G152500.v8.1 transcript:Manes.04G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELKLHPYNNKSGTKASSLRRKSHGFTTKCVSLIKEQRARIYILRRCATMLLCWYIHGDD >Manes.15G135500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10956296:10961375:1 gene:Manes.15G135500.v8.1 transcript:Manes.15G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTQPHHQTHLFSKFSLKPKPKFTTSPSRIRMSLQENAPSIAVVGVTGAVGQEFLSVLSDRDFPYRSIKMLASKRSAGKQLTFQDRNYTIEELTAESFDGIDIALFSAGGSISKQFGPAAVEKGAIVVDNSSAFRMHEGIPLVIPEVNPEAMEGIKVGKGKGALIANPNCSTIICLMAATPLHRHAKVQRMVVSTYQAASGAGAAAMEELELQTREVLEGKPPTCNIFKQQYAFNLFSHNAPVQSNGYNEEEMKLVKETRKIWNDMNIKVTATCIRVPVMRAHAESVNLQFEKPLDEDTARDILNNAPGLVVIDDRASNHFPTPLEVSNKDDVAVGRIRRDVSQEGNHGLDIFVCGDQIRKGAALNAIQIAELLL >Manes.08G090000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30431744:30435119:1 gene:Manes.08G090000.v8.1 transcript:Manes.08G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSNSRWIFINLLHGVLVLFMNNGLESATAAATRGNETDILALLDFKNLITQDPLRVMSSWNDSVHFCNWIGVSCSSSNDRVITLNLNSKRLAGSIPHSIGNLTHLTGINLINNSFFGELPQEMGRLWRLQHLNLTYNSFVGKIPSNLTHCKELTVIGASGNNLVGEIPEQLSSLSKLVVFAFGENKLTGKIPTWIGNFSSLFTLVLALNNFVGNIPNELGRLSSLGFFQLYGNYLSGTVPSSVYNLSSIYYFSVTQNQLHGQLPQDVGLTLPSLRIFAGGVNNFTGVIPVSLSNASELQVLDFAQNSLTGNIPSNLKNLQNLYKLNFDENNLGHREIDDLNFLSSLANCTSLEVLGLAQNHYAGELPSSIGNLSTNLQIFTIGRNLIHGSIPVGIENLVNLYTLGLEGNHLSGNVPSAIGKLQNLGALHLNLNRFSGSIPPFIGNLTRLTRLFMEENRFEGSIPDSLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISVVMSNNSLTGSIPSEVGNLRNLVELDLSQNKLFGEIPSSLGSCASLERLHLEGNKLGGTIPESLKDLRGIKELDLSSNNMSGEIPEFLSKLRDLKYLNLSFNDFEGEVSGEGIFSNASAVSIIGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVVFAIAILCYVVIFCMTNSKAPPSEDKHVGMSYSEIVKSTNGFSAENLIGSGSFGSVYKGTLSDDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIITACSTIDHQGNDFKCLVFEFMANGSLDKWLHPRADEQDQTKRLSFIQRLNIAIDIASALDYLHHYCETPIVHCDLKPSNVLLDEDMTAHVGDFGLATFLLESSNNPSKSEAISVVLKGSIGYIPPEYGLNDQVSALGDVHSFGILLLEMFTGRRPTDDMFKDDLSIHKFVAMALPEHAMDVIDPTMLDEETADDETNEEKAIGTNSNAQGNASRTRECMVSAMRIGVSCSSSSPGDRMAMSSVVNKLHDIRDSFLRSM >Manes.03G204300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31946743:31952458:-1 gene:Manes.03G204300.v8.1 transcript:Manes.03G204300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDEQQQPPQEDDPFLAFVDYARSVLSPVDEGEGEGGGYETNGHETETCGPGWSWIVSRILRTCIAYSSGVTKAILLSDLSQAWSELHRSGAPNRRPEIINQLKKKHRRTKLPTTITIDCIYEKNFLSLSSTLEAVIVDAFVLPGTNIYMLTLGDFWSSNTIGLYLHRRYYDLVDPRNGILKKGREVFLTGCYLRTAREGSGCPRLLPTEYLVILLDDDQDDDAMLIAAQFCSDSFSSISLDEANSGVSYSLYARIESIESMEVQGVFGNVQRKEITLVDNDGVQLKFLLWGEQVLLANLFSTGSMIAIDRPYIASSKESAVQTTSELCLEYGSATQLYLVPFVQHEEQVYVPLTQSRYQGSKVMSALDPTQGPKVSQVSLPCDSQGSIDFSNYPFRSLVIDLRDKMTSISLYGIVTEIFRETNTTKIIFSLKIKDTTGAIWAKLYFARSWSLGRLGVGHIVYISGLSCYKTKHNKYVEFGLIKLINVMSVQDIHILFAVM >Manes.03G204300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31946743:31952458:-1 gene:Manes.03G204300.v8.1 transcript:Manes.03G204300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDEQQQPPQEDDPFLAFVDYARSVLSPVDEGEGEGGGYETNGHETETCGPGWSWIVSRILRTCIAYSSGVTKAILLSDLSQAWSELHRSGAPNRRPEIINQLKKKHRRTKLPTTITIDCIYEKNFLSLSSTLEAVIVDAFVLPGTNIYMLTLGDFWSSNTIGLYLHRRYYDLVDPRNGILKKGREVFLTGCYLRTAREGSGCPRLLPTEYLVILLDDDQDDDAMLIAAQFCSDSFSSISLDEANSGVSYSLYARIESIESMEVQGVFGNVQRKEITLVDNDGVQLKFLLWGEQVLLANLFSTGSMIAIDRPYIASSKESAVQTTSELCLEYGSATQLYLVPFVQHEEQVYVPLTQSRYQGSKVMSALDPTQGPKVSQVSLPCDSQGSIDFSNYPFRSLVIDLRDKMTSISLYGIVTEIFRETNTTKIIFSLKIKDTTGAIWAKLYFARSWSLGRLGVGHIVYISGLSCYKTKHNNLELSWFENDVGASFVNLSCLPALLNSSCLQRLSCLSDLADGSSCTYICRVRLDQVNQCHVSTRYSHSLCGHVVKKIPSGVNECSFCLCNCDEITRTFHLKITLADERAKIFAWCTGQTATELLQISPDEFYELPEEEQFMYPSSLENESFVVALVNSKRQGYCDQSLTRETDTVSWEITRALRYE >Manes.08G087900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:29495232:29500869:1 gene:Manes.08G087900.v8.1 transcript:Manes.08G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLPNSLSSKRLLKLLKAEKNTTSALSLFDSAMRHPGYTNSPYVFHHMLRRLADSGLVVHVDRIVEHIETQKCQCTEDVALTVIKAYVKSKMADKALDTFQRMEEIFGCKPGIRSYNSLLNAFIELNQWERAESFFAYFETMGVLPSLYTYNILLKISCKKNQFKKARVLLDSMWNKDIMPDVYSYSTVINGMVKGGDLSGALEVFDEMFTRGVIPDVTCYNIMIDGFLKKGNYANAMDIWQRLMKGSSVCPNVVTYNIMINGLCKCGRFEESLQIWEMMNKNEREKDVYTYSSLIHGLCEAGNIDGAVKVYREMVESGLVVDVVSYNAMLNGFCRAGKIKESFELWVVMGKENCRNVVSYNIFIKGLFDNGKVEEALSVWELLRKKGCGSNSTTHGIVIHGLCKNGRLNKALKILKEAEDGGAELDAFAYSSMVDGLCKQGRIEEATSIVIQMDKDGYKLYPHVCNPLINGLIQASKLQEAICFFREMELKGFSPTIVSYNTIINGLCKAEKFSEAYVFVKEMLKKEWKPDMVTYSLLMDGLCQGKKIDEAINLWHQALDRGFKPDVTMHNILLHGLCLVGKIEEAFQLYSDMKMLNCVPNLVTHNTLMEGLYKVRDCEKALEIWDCILGDGLQPDIISYNVTLKGLCSCGRISDAIGFLNDALTRGILPTAVTWDILVRAAVNFGTS >Manes.01G197100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37106834:37109342:-1 gene:Manes.01G197100.v8.1 transcript:Manes.01G197100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDAAALVIQHPCGKIERLYWPISASEVMRMNPGHYVSLIIPLPSVHGDQDKTNNPDKKTAVQFTRVKLLRPTDTLALGHAYRLVTTQEVMKVLRAKKYAKMNRQQPESVEKPQTASEKTSSDCEAGNKPADMDKDKEKDHQTTKNERHRPRTPSISSTTLRSKSWRPKLQSISEAAS >Manes.S025552.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2181182:2181340:1 gene:Manes.S025552.v8.1 transcript:Manes.S025552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.14G006300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1705545:1709602:1 gene:Manes.14G006300.v8.1 transcript:Manes.14G006300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTGLLLPYIQNFSQDFQQLEEYCNYQKTIASMSNINQTSTISEYDLGGEGDLFKAPEPIIEEPLLGLDPMTAAISMITCGEDVISSQGLKVADIESIETEQLLSEVFYECKKDLMEKAAIETPTLDILDIKIPIMQNDEIQVQENNFLPDAPLPKSISSGCLNSMDCVQGAAVKPNFLEFSGVDLGTAYGMRRAYSEGDIKPFIISSCTAEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEDCDVSKKQ >Manes.14G006300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1705545:1709602:1 gene:Manes.14G006300.v8.1 transcript:Manes.14G006300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNINQTSTISEYDLGGEGDLFKAPEPIIEEPLLGLDPMTAAISMITCGEDVISSQGLKVADIESIETEQLLSEVFYECKKDLMEKAAIETPTLDILDIKIPIMQNDEIQVQENNFLPDAPLPKSISSGCLNSMDCVQGAAVKPNFLEFSGVDLGTAYGMRRAYSEGDIKTLGNGNMGLMHSPLDQPFIISSCTAEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEDCDVSKKQ >Manes.14G006300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1705545:1709603:1 gene:Manes.14G006300.v8.1 transcript:Manes.14G006300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTGLLLPYIQNFSQDFQQLEEYCNYQKTIASMSNINQTSTISEYDLGGEGDLFKAPEPIIEEPLLGLDPMTAAISMITCGEDVISSQGLKVADIESIETEQLLSEVFYECKKDLMEKAAIETPTLDILDIKIPIMQNDEIQVQENNFLPDAPLPKSISSGCLNSMDCVQGAAVKPNFLEFSGVDLGTAYGMRRAYSEGDIKILADYFYAIEHQA >Manes.14G006300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1705545:1709602:1 gene:Manes.14G006300.v8.1 transcript:Manes.14G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTGLLLPYIQNFSQDFQQLEEYCNYQKTIASMSNINQTSTISEYDLGGEGDLFKAPEPIIEEPLLGLDPMTAAISMITCGEDVISSQGLKVADIESIETEQLLSEVFYECKKDLMEKAAIETPTLDILDIKIPIMQNDEIQVQENNFLPDAPLPKSISSGCLNSMDCVQGAAVKPNFLEFSGVDLGTAYGMRRAYSEGDIKTLGNGNMGLMHSPLDQPFIISSCTAEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEDCDVSKKQ >Manes.14G006300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1707300:1709602:1 gene:Manes.14G006300.v8.1 transcript:Manes.14G006300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAISMITCGEDVISSQGLKVADIESIETEQLLSEVFYECKKDLMEKAAIETPTLDILDIKIPIMQNDEIQVQENNFLPDAPLPKSISSGCLNSMDCVQGAAVKPNFLEFSGVDLGTAYGMRRAYSEGDIKTLGNGNMGLMHSPLDQPFIISSCTAEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEDCDVSKKQ >Manes.14G006300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1706044:1709602:1 gene:Manes.14G006300.v8.1 transcript:Manes.14G006300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAISMITCGEDVISSQGLKVADIESIETEQLLSEVFYECKKDLMEKAAIETPTLDILDIKIPIMQNDEIQVQENNFLPDAPLPKSISSGCLNSMDCVQGAAVKPNFLEFSGVDLGTAYGMRRAYSEGDIKTLGNGNMGLMHSPLDQPFIISSCTAEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEDCDVSKKQ >Manes.14G006300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1705545:1709602:1 gene:Manes.14G006300.v8.1 transcript:Manes.14G006300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNINQTSTISEYDLGGEGDLFKAPEPIIEEPLLGLDPMTAAISMITCGEDVISSQGLKVADIESIETEQLLSEVFYECKKDLMEKAAIETPTLDILDIKIPIMQNDEIQVQENNFLPDAPLPKSISSGCLNSMDCVQGAAVKPNFLEFSGVDLGTAYGMRRAYSEGDIKPFIISSCTAEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEDCDVSKKQ >Manes.15G078350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5900321:5903655:1 gene:Manes.15G078350.v8.1 transcript:Manes.15G078350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQISASAHPPNAKTADVKRRSAGYQPSIWGDHFLSNNYHSLQNTNDGMYEHHAKLKQEVRSVLMMNVDKLSHKIDLIDSIQRLGVSYHFETEIDEILKQISSESDDDINDLYAIALKFRLVRQQGYNMSSDVFNKFKDSQGNFKDALVNDHRGMLSLYEATHLRVHGEDILEEALAFTTAHLESMVTPGMPLAPQITHALKQPIRKGLPRLEARRYFSIYEGESSCNPVLLSFAKLDFNILQKQHQKELSDIAKWWKELDFANKLPFARDRIVECYFWILGVYFEPEYSPARRILTKVIAMTSIMDDIYDVYGTPEELELFTAAVERWDISAIDQLPEYMKEYYKTFLNVYTEIEKNLFDQERLYRFYYTKEAMKYQVRAYFMESIWFHQKHLPTTEEYMSIALTTSGYALMAVTSLVGMGDIVTKDSFDWLFTEPKMVTASEIIARLMDDIVSHEFEQKRGHSASSIECYMKQHRATKEEAVLEFKKWVVSAWKDINEECLYPTSVPMHVLTRILNLSRVMDVVYKNEDGYTHAGVIEDFVSSLLVDPV >Manes.15G078350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5900321:5911922:1 gene:Manes.15G078350.v8.1 transcript:Manes.15G078350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQISASAHPPNAKTADVKRRSAGYQPSIWGDHFLSNNYHSLQNTNDGMYEHHAKLKQEVRSVLMMNVDKLSHKIDLIDSIQRLGVSYHFETEIDEILKQISSESDDDINDLYAIALKFRLVRQQGYNMSSDVFNKFKDSQGNFKDALVNDHRGMLSLYEATHLRVHGEDILEEALAFTTAHLESMVTPGMPLAPQITHALKQPIRKGLPRLEARRYFSIYEGESSCNPVLLSFAKLDFNILQKQHQKELSDIAKWWKELDFANKLPFARDRIVECYFWILGVYFEPEYSPARRILTKVIAMTSIMDDIYDVYGTPEELELFTAAVERWDISAIDQLPEYMKEYYKTFLNVYTEIEKNLFDQERLYRFYYTKEAMKYQVRAYFMESIWFHQKHLPTTEEYMSIALTTSGYALMAVTSLVGMGDIVTKDSFDWLFTEPKMVTASEIIARLMDDIVSHEVCRVRDSQEISWIRPWSCLCNRVVVDMM >Manes.01G131400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32440082:32444524:1 gene:Manes.01G131400.v8.1 transcript:Manes.01G131400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKITAEKFLNNLVETLADSVPRQKSVSFFEEETSSVTSKFNRLFGRQKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICFALVLGMLAQFVWTNASGLFSSNRSSYKVPRLVLPDEIFFSFGRSIGIEVNHALKFLQDVSCGGNLKQFLVIVASLWAAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDDFVYKILDQIQGHYRKLDAGLLSKIPKGKIKGKKHE >Manes.01G131400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32440082:32444524:1 gene:Manes.01G131400.v8.1 transcript:Manes.01G131400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKITAEKFLNNLVETLADSVPRQKSVSFFEEETSSVTSKFNRLFGRQKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICFALVLGMLAQFVWTNASGLFSSNRSSYKVPRLVLPDEIFFSFGRSIGIEVNHALKFLQDVSCGGNLKQFLVIVASLWAAAVIGSWCNFLTVLYIGECGSYNYLQVLQISYVAFCFCMFIIIFLLFLAINRQMTDDP >Manes.01G131400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32440082:32444524:1 gene:Manes.01G131400.v8.1 transcript:Manes.01G131400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKITAEKFLNNLVETLADSVPRQKSVSFFEEETSSVTSKFNRLFGRQKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICFALVLGMLAQFVWTNASGLFSSNRSSYKVPRLVLPDEIFFSFGRSIGIEVNHALKFLQDVSCGGNLKQFLVIVASLWAAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDDFVYKILDQIQGHYRKLDAGLLSKIPKGKIKGKKHE >Manes.01G131400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32440080:32444524:1 gene:Manes.01G131400.v8.1 transcript:Manes.01G131400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKITAEKFLNNLVETLADSVPRQKSVSFFEEETSSVTSKFNRLFGRQKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICFALVLGMLAQFVWTNASGLFSSNRSSYKVPRLVLPDEIFFSFGRSIGIEVNHALKFLQDVSCGGNLKQFLVIVASLWAAAVIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDDFVYKILDQIQGHYRKLDAGLLSKIPKGKIKGKKHE >Manes.16G076050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28008517:28009215:-1 gene:Manes.16G076050.v8.1 transcript:Manes.16G076050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFGRMPKVRGKMSYAQHMKRSKYCICARGYEVNSPRIVEAIMYECVPVIISDNYVPPFYEVLNWESFAVFILEKDIPNLKNILLSIPEKRYREMQMRVKKVQQHFLWHARPVKYDIFHMILHSIWYNRVFQM >Manes.03G157200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28557194:28561415:1 gene:Manes.03G157200.v8.1 transcript:Manes.03G157200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVPFQDLNLSPSPSQSPVSANDAFLTPPPLLIPKIEPKLEPLDSLAETPQPQDSQDTLFPDFTPNIFSNSEHTPHSQSSALSSSSEDNVYSEFHRVSELFRTAFAKRLQEQYGDVSVLDPDSRAIVPVNEENSLSSVVVTRPPRRYPKRSSELVRVTALGIEDQRYFRDVFRRTRMLYDALRIFSVLDEEKRRGESLGRRARGDLLAASVMRDRGLWLNRDKRIVGSIPGVEVGDLFFFRMELCVVGLHGQVQAGIDYLPASQSSNGQPIATSIIVSGGYEDDEDSGDMIVYTGHGGQDKFSRQCMHQKLEGGNLALERSMHYGIEVRVIRGFKYAGSFTNKIYVYDGLYKIHDCWFDVGKSGFGVYKYKLLRIDGQPEMGSSILRFAQSLRVSPLSVRPRGYLSLDISNKKENMPVMLFNDIDKDHDPLCYEYLVRTVFPPFAFNHGSSGTGCDCVSGCTDGCFCSMKNGGEFAYDQNGFLLRGKPAVFECGAFCKCPPSCRNRVTQRGLRNRLEVFRSRETGWGVRSLDLIHAGAFICEYAGIVLTREQAEVFTMNGDSLIYPSRFSQKWAEWGDLSQIYPDYVRPSYPSLPPLDFAMDVSRMRNVACYMSHSLTPNVLVQFVLHDHNNLMFPHLMLFAMENIPPLTELSLDYGVADEWTGKLSICI >Manes.03G157200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28557179:28561806:1 gene:Manes.03G157200.v8.1 transcript:Manes.03G157200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVPFQDLNLSPSPSQSPVSANDAFLTPPPLLIPKIEPKLEPLDSLAETPQPQDSQDTLFPDFTPNIFSNSEHTPHSQSSALSSSSEDNVYSEFHRVSELFRTAFAKRLQEQYGDVSVLDPDSRAIVPVNEENSLSSVVVTRPPRRYPKRSSELVRVTALGIEDQRYFRDVFRRTRMLYDALRIFSVLDEEKRRGESLGRRARGDLLAASVMRDRGLWLNRDKRIVGSIPGVEVGDLFFFRMELCVVGLHGQVQAGIDYLPASQSSNGQPIATSIIVSGGYEDDEDSGDMIVYTGHGGQDKFSRQCMHQKLEGGNLALERSMHYGIEVRVIRGFKYAGSFTNKIYVYDGLYKIHDCWFDVGKSGFGVYKYKLLRIDGQPEMGSSILRFAQSLRVSPLSVRPRGYLSLDISNKKENMPVMLFNDIDKDHDPLCYEYLVRTVFPPFAFNHGSSGTGCDCVSGCTDGCFCSMKNGGEFAYDQNGFLLRGKPAVFECGAFCKCPPSCRNRVTQRGLRNRLEVFRSRETGWGVRSLDLIHAGAFICEYAGIVLTREQAEVFTMNGDSLIYPSRFSQKWAEWGDLSQIYPDYVRPSYPSLPPLDFAMDVSRMRNVACYMSHSLTPNVLVQFVLHDHNNLMFPHLMLFAMENIPPLTELSLDYGVADEWTGKLSICI >Manes.11G031200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3081202:3083481:1 gene:Manes.11G031200.v8.1 transcript:Manes.11G031200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINPRGAAAMVLALAFAVLNGGLAQDTVVPAIITFGDSAVDVGNNDYLPTIFKANYPPYGRDFINQQPTGRFCNGKLATDLTAETLGFKTYPPAYLSPDASGNNLLIGANFASAASGYDENAANLNHAIPLSKQLQYFREYKSKLDTVAGSNKSESIISGALYLLSAGSSDFLQNYYVNPWVNKMYTPDQYGSFLVGAFTSFVKDLYGLGARRLGVTSLPPLGCLPAARTLFGYHERGCVSRINTDAQQFNKKINSAAASLQKQFPDLKLVIFDIFKPLYDLVQSPSANGFVEATRGCCGTGTVETTSFLCNPKSPGTCPNATQYVFWDSVHPSQAANQVLADALIVQGFALL >Manes.11G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3081169:3083416:1 gene:Manes.11G031200.v8.1 transcript:Manes.11G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKTKKMDINPRGAAAMVLALAFAVLNGGLAQDTVVPAIITFGDSAVDVGNNDYLPTIFKANYPPYGRDFINQQPTGRFCNGKLATDLTAETLGFKTYPPAYLSPDASGNNLLIGANFASAASGYDENAANLNHAIPLSKQLQYFREYKSKLDTVAGSNKSESIISGALYLLSAGSSDFLQNYYVNPWVNKMYTPDQYGSFLVGAFTSFVKDLYGLGARRLGVTSLPPLGCLPAARTLFGYHERGCVSRINTDAQQFNKKINSAAASLQKQFPDLKLVIFDIFKPLYDLVQSPSANGFVEATRGCCGTGTVETTSFLCNPKSPGTCPNATQYVFWDSVHPSQAANQVLADALIVQGFALL >Manes.08G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2639815:2641586:1 gene:Manes.08G027800.v8.1 transcript:Manes.08G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTNLYPTKMEDLTPGFRFYPTEEELVSFYLLNKLEAKRPDLDLVVDKIIPVLDIYEFNPWQLPQHAGDLFSRDPEQWFFFIPRQESEARGGRPKRLTTAGYWKATGSPGNVYSNNRSIGMKRTMVFYTGRAPNGRKTEWKMNEYKAIDAESSSSSSAAASLTLRHEFSLCRVYKKSKSLRAFDRRPMGVEISEMRAQQPAAVQVDEATTSHQNPPMANSPESSWSNEDNPAVPPQTGESSGSILTPIDGDTSWDLDELNWY >Manes.03G183800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30502976:30504289:-1 gene:Manes.03G183800.v8.1 transcript:Manes.03G183800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFACFLLLCFLLFYTSPSLARTPFRPKALLLPVSKDSSTLQYLTHFNQRTPLVPVKLTVDLGSAFAWIDCEKGYVSSSFRPVRCDSALCTLVNSKMCTSECYGSPKPGCHNNTCDLAPGNTVIRLSTTGQVGQDLVSLQSTNGMNPGKLVSVRNFVFSCGSTFLLEGLANGVTGMAGFGRSNISLPVQFSATFGFSKKFAICLSSSAQSNGVIFFGDSPYVMLPNNVDLSNSLTYTPLKLNPVSTAGTYFEGESSVEYFIGVKSIKINGKEVKLNKTLLSIDKEGNGGTKISTVKPYTVLETSIYKAVTKAFVKEMKGVPRVAAVAQFGVCFNSKNIGSSRVGPAVPPIDLVLEGKKVLWRIWGANSMVQVNNEVMCLGFVDGGSELVTTPVIIGGHQLEDNLLQFDLATSRLGFSSSLLFRQTTCSNFNFTSV >Manes.04G142700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34133358:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAAFVEMSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHAVDPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.04G142700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34132829:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHADPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.04G142700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34132829:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHAVDPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.04G142700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34133368:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYTCRLEGAAFVEMSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHADPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.04G142700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34133368:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHAVDPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.04G142700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34132828:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHADPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.04G142700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34133368:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYTCRLEGAAFVEMSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHAVDPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.04G142700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34133358:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAAFVEMSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHADPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.04G142700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34132829:34144244:-1 gene:Manes.04G142700.v8.1 transcript:Manes.04G142700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQAAQPKFYANGRRRAEREGGARLDNKLQSGRSSSNKPMVGGKVGMFGSPSRDRLVYLSTCLIGHPVEVHLKNGCIYSGTCYTTNVEKEFAIVLKMARLIKDVSFRGQKAENLSKPPSKSLIIPGKEVAQVIAKDVSVTMDGMNQELHCEKQHELMIDSFISQSCNVEVERQLEPWVPDEDEPQCPELENIFNGPWNRGWDQFETNEMLFGVKSTFDEEIYTTKLERGPQMRELEKEATRIAREIEGEDTQDLHLAEERGIHLDGNFDIDEETRYSSVYRGIGIDDSGYDETEDIMFDSHNSETFGAASASYTNESADLTHGRSNEVVRVLSSSSLDEAQCSQSCTVADCHSGSYEYARQPASESPFKSFSISECENRIQENLHGEHGGNDNVELVEERTVEGAQLPRHAVDPLSLNGKKNGSDKGTLSPNATAYAPSNVSSESYEKTSSSAQLPEGAPSLKGAREVQPSNSLGRPGSSTSSNSDCIGAVSVSNNPGLSPSSSMGSLSSEKLTLNPHAKEFKLNPNAKSFMPSQTSVRPPSPDGSFYFHPNVSSLPQIHGMPMGIGIGQSFTGHQPVIFNPQVASLQTPQAYFHPGGPQYGQNMLLGQPRQVLYVPSYQPEMQYKGREF >Manes.14G131800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10489226:10491219:1 gene:Manes.14G131800.v8.1 transcript:Manes.14G131800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETVNPKAYPLADAQLTITILDLIQQAANYKQLKKGANEATKTLNRGISEFIVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >Manes.12G087700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13233969:13234172:-1 gene:Manes.12G087700.v8.1 transcript:Manes.12G087700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTRKIQRSKPVAGICSRCGGGASVADVKSCTRVCYVPFYWKSWRAIICTFCGAILRSY >Manes.16G083300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28975900:28981393:-1 gene:Manes.16G083300.v8.1 transcript:Manes.16G083300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAIMTEKPKAQHYELPTSFFKLVLGKNFKYSCCYFSDKSNTLEDAEEAMLELYCERSQLKDGHTVLDIGCGWGSLSIYIARKYRNCRITGICNSTTQKAFIEEQCRENQLQNVEIIVADISTLEMEASYDRIFSIEMFEHMKNYKDLLHKISKWMKEDSLLFVHYFCHKTFAYHFEDINEDDWITRYFFSGGTMPAANLLLYFQDDVSVVNHWLVNGKHYAQTSEEWLKRMDKNLGLIKPIMESTYGKEQSLKWTVYWRTFFIAVAELFGYNNGEEWMVALFLFKKK >Manes.16G083300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28977799:28981393:-1 gene:Manes.16G083300.v8.1 transcript:Manes.16G083300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLIQLPYDASVRVILASLERNLLPDAVVRRFTRLLLASRLRSGYKPSSELQLADLLHFVHSLKQMPIAIMTEKPKAQHYELPTSFFKLVLGKNFKYSCCYFSDKSNTLEDAEEAMLELYCERSQLKDGHTVLDIGCGWGSLSIYIARKYRNCRITGICNSTTQKAFIEEQCRENQLQNVEIIVADISTLEMEASYDRIFSIEMFEHMKNYKDLLHKISKWMKEDSLLFVHYFCHKTFAYHFEDINEDDWITRYFFSGGTMPAANLLLYFQDDVSVVNHWLVNGKHYAQTSEEWLKRMDKNLGLIKPIMESTYGKEQSLKWTVYWRTFFIAVAELFGYNNGEEWMVALFLFKKK >Manes.16G083300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28975900:28981393:-1 gene:Manes.16G083300.v8.1 transcript:Manes.16G083300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAIMTEKPKAQHYELPTSFFKLVLGKNFKYSCCYFSDKSNTLEDAEEAMLELYCERSQLKDGHTVLDIGCGWGSLSIYIARKYRNCRITGICNSTTQKAFIEEQCRENQLQNVEIIVADISTLEMEASYDRIFSIEMFEHMKNYKDLLHKISKWMKEDSLLFVHYFCHKTFAYHFEDINEDDWITRYFFSGGTMPAANLLLYFQDDVSVVNHWLVNGKHYAQTSEEWLKRMDKNLGLIKPIMESTYGKEQSLKWTVYWRTFFIAVAELFGYNNGEEWMVALFLFKKK >Manes.16G083300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28975900:28981393:-1 gene:Manes.16G083300.v8.1 transcript:Manes.16G083300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLIQLPYDASVRVILASLERNLLPDAVVRRFTRLLLASRLRSGYKPSSELQLADLLHFVHSLKQMPIAIMTEKPKAQHYELPTSFFKLVLGKNFKYSCCYFSDKSNTLEDAEEAMLELYCERSQLKDGHTVLDIGCGWGSLSIYIARKYRNCRITGICNSTTQKAFIEEQCRENQLQNVEIIVADISTLEMEASYDRIFSIEMFEHMKNYKDLLHKISKWMKEDSLLFVHYFCHKTFAYHFEDINEDDWITRYFFSGGTMPAANLLLYFQDDVSVVNHWLVNGKHYAQTSEEWLKRMDKNLGLIKPIMESTYGKEQSLKWTVYWRTFFIAVAELFGYNNGEEWMVALFLFKKK >Manes.16G083300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28977799:28981393:-1 gene:Manes.16G083300.v8.1 transcript:Manes.16G083300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAIMTEKPKAQHYELPTSFFKLVLGKNFKYSCCYFSDKSNTLEDAEEAMLELYCERSQLKDGHTVLDIGCGWGSLSIYIARKYRNCRITGICNSTTQKAFIEEQCRENQLQNVEIIVADISTLEMEASYDRIFSIEMFEHMKNYKDLLHKISKWMKEDSLLFVHYFCHKTFAYHFEDINEDDWITRYFFSGGTMPAANLLLYFQDDVSVVNHWLVNGKHYAQTSEEWLKRMDKNLGLIKPIMESTYGKEQSLKWTVYWRTFFIAVAELFGYNNGEEWMVALFLFKKK >Manes.11G106050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24464388:24466116:1 gene:Manes.11G106050.v8.1 transcript:Manes.11G106050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDLPGFRFHPTEEELLDFYLKNMVFGKKLRYDIIGYLNIYHHDPWDLPGMAKSSGEREWYFFVPRDRRRGSGGRPNRTTVNGFWKATGSDRKIVSLSDPKRIIGLRKTLVFYMGRAPRGSKTDWIMNEYRLPDHSCPLPKDIVLCKIYRKATSLKVLEQRAAMEDEMKLIYASSPLSTLDDMSFCSQQEDPMTQIYLPHVFLKQEVEDLVKVKDSNSDDDENCNNKVYARDEKSKENKGSSLQLPFGNEKLPELELPKFSMDWNQDTNFLFNSPWLQSLTQNLTPSANILNF >Manes.02G065500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4974700:4982936:1 gene:Manes.02G065500.v8.1 transcript:Manes.02G065500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEREDPQKIKRLAAAAYDYENDPRWADYWSNILIPPHLASRSDVVDHFKRKFYQRYIDPDLVVEAMTSTGSSQSTKPSSSSSTSSATSNDQTRPRNTGSTTRTSGTSATAGPNTNPPSVRWDRQTIQFSVNAWVFVVAVLAIIPLVPKNLSNRAYRLSFMGTACSSLYSLYTLYGRPRAWNLQAVQAYFQSIIATKDFIYFIYCLTFVTSHLCLKFALIPILCRALELVAKFLRRNFTRSSLYRKYLEDPCVWVESNTTTLSILSSNAEIGLGFLLIISLFSWQRNIIQAFMYWQLLKLMYHAPVTAGYHQSVWAAIGRTVNPLVHQYCPFLKTPISAAQRWWLR >Manes.11G144100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30861258:30863082:-1 gene:Manes.11G144100.v8.1 transcript:Manes.11G144100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLRSYILVALFIVLIAAEATIVKGDANGNGNGNKGNNNGNGNEGDDNGNRNKGNNNGNDNKGNGNGNDNEGNNNGNDNKGNNNGNDNKGDGNGNKNGGKEKNDDFDEMEPLPSGQERASCKAKGGCNMKTLVCPAQCPEKKPKNNKRKKGCHVDCSSKCEVTCKWRKPNCNGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDNLQINAHFIGTRPQGRTRDFTWVQALSVMFDTHNLVIAAKKVSQWNTNIDALIVKWDGEAINIPNDGEAEWRTNEEEREVIVERTDSFNSLRVRVAGLVEMDIKVRPIGKKENQVHKYQLPDDDAFAHLETQFKFKKLSDLVEGVLGKTYRPDYVSQVKIGVPMPMMGGEDKYETPSLSSPICTACRFKGQSASGIATI >Manes.01G083275.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28566332:28577353:-1 gene:Manes.01G083275.v8.1 transcript:Manes.01G083275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTRAQDEEIWRYESLAIPIGIKLDGTNYGLWSQIMEITYNAIVRSWLINSMDPKLISNYIRYPTIKAVWDVVATTYFDGQTHHRSMTERSIETYYNNLQGLWRETDFRRPNPMRCESNIKKFYFIVQEDSDVLQIQPFPIVEQTYALVRLEDLRLSVMLANDNNIHGVVITSKGQKSQHQHPFQRVPNEKLTTQLKQKSQAEGGGCTHSKKKKDVGQPVFVNIGDSSFGASTELQLSLIPQNESTQATTNKTMALNDTCNQSWIIDSGATDHMTFYSQDLITTS >Manes.18G037000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3273494:3277459:-1 gene:Manes.18G037000.v8.1 transcript:Manes.18G037000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTSLCFISMFPLLTSATLLFQGFNWESCNKAGGWYNSLKNSIPDLVNAGITHVWLPPPSQSVSPQGYMPGRLYDLNASKYGNQDELKSLIQAFHQKGIKCLADIVINHRTAEKQDERGIWCIFEGGTSDDRLDWGPSFICSDDTAYSNGEGNPDTGEDFPPAPDIDHLNPRVQKELSDWMNWLKSEIGFDGWRFYFVKGYAPSITKIYMEKTSPNFAVGEKWDSLAYGQDGKPDANQDAHRGALKDWIQAAGGITTAFDFTTKGILQAAVEGELWRLKDSNGKPPGLIGILPQNAVTFIDNHDTGSTQRLWPFPSDKVMQGYAYILTHPGIPSIFYDHFFDWGLKEQISKLASIRKTYGINDRSTVKILAAESDLYMATINDNIIMKIGPKMDLGNLLPPDVQLATSGESYAVDLTFSLLLKSSATSSLLASNPLKSESEAIQIQTHLIKSGLDQFAYVSTALLDLYMKLGCVNHAHNVFDCMPDRDIVSWNALICGYSRNGRALVALELFIEMLREDFCPRQTTLVGLVPSCGQRELTFQGKSIHGFAIKCGLDLDSQVKNALTSMYAKCEDLEAAELMFEEMVDKSVVSWNAMVSGYGQNGYFEKAMLVFKQMIEAGVEVNPVTITSLLSANANPESTHCYTIKVGIVNTASVVTSLVCRYARCGSLESAELLYWSLQQNNLVSLTSIMSSYAETGNMDLVVECFTRMQQLDMKLDSVAMISILHGITDPGHMSIGLAFHSYALKSGLDIHNLVANGLITMYSKFNDTQAVFSLFSEMHEKPLISWNSVISGCVQAGRVSDAIEFFYQMKMYGQNPDAVAVASLLSGCSQLGYLQFGERLHNYILRNKFELEDFVGTALMDMYTKCGSIVQAERVFKSISKPCLATWNAMIAGYSLYGFERKALTCYSEMLEQGVEPDKITFLGVLAACTHGGLVHEGRRYFQIMTKEFGMAPTLQHSACMVGLLARAGLFEEVLSFIKNMETEPDSAVWGALLSACCIHQKVKLGECLAKKLYLLDCRNGGLYVLMSNLYAVTGRWDDVARVREMMRDGGGDGNLGISQVEVTSWEEIDTNLHNNVHTAKTNS >Manes.18G073729.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6753520:6755139:-1 gene:Manes.18G073729.v8.1 transcript:Manes.18G073729.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSRLQRSYLETTKGSSLFRSVAALDSGGGGSTCTLALSGKSSAKKELAQSLTKNIAIKIPDNTEVSILLESEIAKLDKEDPFNVELFFNSLSTSGFDRFLFWSLRLTSTHDVLPIGTACVADFQYKGRGWKLSVVLFTAQMEDGRVVPLLQHVVSLAVTEATKDLCHRKALQFLDVKRKWPNDLYLNDLKVDGVLCTSTYKSKKFIVSAGLSVSN >Manes.01G221600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38900660:38903109:-1 gene:Manes.01G221600.v8.1 transcript:Manes.01G221600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLIFFLIALPLLLVLSLFSSSAFADSSSSSLAETTTQVSAGSLCKSFPDPSYCKSILPNSTSNVYDYGRFSVRKSLSQARKFLDLVDKYLARRSSLSTSAIRALEDCRLLAGLNMDFLISSFQTVNSTSKTLNSVKADDVQTWLSAILTNQQTCLDGLQATASAWSVKKGLLLPLSNDTKLYSVSLALFTKGWVPKNKKGFTWQPTRKQLAFRNGRLPLKMSGKTRTVFESVSRRKLLQTAEEDAIMVSGIVTVDLNGTGDFSSINDAVAAAPNNTNGSNGYFMIFITAGVYEEYVSIPKNKKYLMMVGAGINQTVITGNRSVVDGWTTFNSATFAVVAPNFVAVNITFQNTAGAIKHQAVALRSGADLSTFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYPRTPMSGQFNAITAQGRTDPNQNTGTSIHNCTIKAADDLASSNQTFQTYLGRPWKEYSRTVYMQSFMDSLINPAGWQIWSGDFALKTLYYAEYNNTGPGSDTTNRVTWDGYHIINNVTDAGNFTVSSFLLGDDWLPLIGVPYTGGLISLN >Manes.03G106300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22988775:22992008:1 gene:Manes.03G106300.v8.1 transcript:Manes.03G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKDRSTSSPTPSSNAKEIRYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDAAAREFRGSKAKTNFPSVAELNTAAGAAPLVTVARSPSQSSTVESSSPPPPRPASPPPPLDLTLNIPNHHRQSHFTNSVGFPGGAWISLAAVSRPVFFFDAFAQGENKTRTNPNTCRFDRSAAIMNGGVQSDSDSSSVVDYDHDPSPYNKRISLDLDLNLPPAEVA >Manes.08G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36815614:36826694:-1 gene:Manes.08G127100.v8.1 transcript:Manes.08G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNCEIKKDITELIGNTPMVYLNHVVGGCVARIVAKLETMEPTSSVKDRIAYSMIKDAEDRGLITPGKTILVEPTSGNTGIGLAAIAAVKGYKVILIMPASYSLERRIVLLALGAEIHLTDPAKGINGVLQKTDEILSETPNSHMLGQFLNPANPKIHYETTGPEIWRDTGGKVDALVAGIGTGGTVSGAGKFLKEKNSEIKVYGIEPTESAVLSGGNPGPHLIQGIGAGMIPDVLDVDLLDEVFQISSEEAIETTKQLALKEGLLVGISSGAAVAAAITLAKRPENAGKLIAVILPSFGERYLSTKLFDSVRHEVENMTIDF >Manes.08G127100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36815614:36826694:-1 gene:Manes.08G127100.v8.1 transcript:Manes.08G127100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNCEIKKDITELIGNTPMVYLNHVVGGCVARIVAKLETMEPTSSVKDRIAYSMIKDAEDRGLITPGKTILVEPTSGNTGIGLAAIAAVKGYKVILIMPASYSLERRIVLLALGAEIHLTDPAKGINGVLQKTDEILSETPNSHMLGQFLNPANPKIHYETTGPEIWRDTGGKVDALVAGIGTGGTVSGAGKFLKEKNSEIKVYGIEPTESAVLSGGNPGPHLIQGIGAGMIPDVLDVDLLDEVFQVGISSGAAVAAAITLAKRPENAGKLIAVILPSFGERYLSTKLFDSVRHEVENMTIDF >Manes.08G127100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36815614:36826694:-1 gene:Manes.08G127100.v8.1 transcript:Manes.08G127100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNCEIKKDITELIGNTPMVYLNHVVGGCVARIVAKLETMEPTSSVKDRIAYSMIKDAEDRGLITPGKTILVEPTSGNTGIGLAAIAAVKGYKVILIMPASYSLERRIVLLALGAEIHLTDPAKGINGVLQKTDEILSETPNSHMLGQFLNPANPKIHYETTGPEIWRDTGGKVDALVAGIGTGGTVSGAGKFLKEKNSEIKVYGIEPTESAVLSGGNPGPHLIQGIGAGMIPDVLDVDLLDEVFQEAIETTKQLALKEGLLVGISSGAAVAAAITLAKRPENAGKLIAVILPSFGERYLSTKLFDSVRHEVENMTIDF >Manes.03G134702.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26279044:26284768:-1 gene:Manes.03G134702.v8.1 transcript:Manes.03G134702.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVGVHVSDQSLQSQFTQVELRGLKSKFTSVKTQNDKVTVEDLPPLMVKLKAFNSMFNEEEIKGILSESFSDMSNEIDFEDFLRAYLDLQGRATAKSGKPKLASAFLKAMTSTLLHNINESEKASYVVHINTFLGDDPFLKQFLPLDPNSNDLFNLVRDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDMVEGRHHLILGLISQIIKIQLLADLSLKKTPQLVELLDDNNDIEELMGLAPEKILLKWMNFHLKKGGYEKTVSNFSSDLKDAKAYAYLLNVLAPEFCNPATLDVTDARERAKLVLDHAERMECKRYLRPEDITEGSSNLNLAFVAQIFHQRSGLSTDSKKHSFAEMMKDDIQISREERCFRLWINSLGIPTYINNVFEDVRTGWVLLEVLDKISPGSVNWKQATRPPIKMPFRKVENCNQVIDIGSQLKFSLVNVGGNDIVQGNKKLILAFLWQLMRYNMLQLLKNLRSHSQGKEITDTDILRWTNWKVRSTGRTSRIESFKDKNLSTGLFFLELLSAAEPRVVNWNLVTKGENDDQKRLNATYIISVARKLGCSIFLLPEDIIEVNQKMILTLAASIMYWSLQKAMEEGDTFLFTPDASPAPSVCGEDESTRASVCGEDESTRASVFGEDESSTLAGELSNLNIDDTASDTTITSQMESEEAPGGE >Manes.06G015450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2613764:2616465:-1 gene:Manes.06G015450.v8.1 transcript:Manes.06G015450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDSNLAELKAIAKALEISKDLSITSSCESIFIELDSNIVLSWVADLNSVLWRTSSIINSIVNIPCLTLSSFTHLLGLIGFAALFVWV >Manes.06G015450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2613421:2617048:-1 gene:Manes.06G015450.v8.1 transcript:Manes.06G015450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREQGSNSQPAGVAITANPECKELKAIAKALEISKDLSITSSCESIFIELDSNIVLSWVADLNSVLWRTSSIINSIVNIPCLTLSSFTHLLGLIGFAALFVWV >Manes.16G029700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3386766:3389449:1 gene:Manes.16G029700.v8.1 transcript:Manes.16G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEKGGSEGMMKLDQDRRLKPILGENQQQQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRAKTSSTSSSSSEISGSRSQPHSQSLASSQTTISPTNLGNNASTLRTKESSVDFAIPPVISSMVPYYSGAGLLTSLSSIRSLHNQPPQSFVLSEPPSLRDELGSGPSNLSLLHGFNIFGSQQIQQQRQTDHMSNKDTSRDHPFYASSSQQQNWYPAFVSTTTNPTVSDTALWTFSTTTTTTTGITKSNSTTSAGSFPLNPSDHHEWHNLPGYGPPP >Manes.13G080558.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16724009:16748456:1 gene:Manes.13G080558.v8.1 transcript:Manes.13G080558.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVACKLTYLYIEPRSCRCDFRHQAKSSTGGTVDKYKPDALFIDKHGRWRSFTRKKLSRKRCGSLRGKGWKYGSGFVDGIFPVLSPIAQQILNFIQKEVDPNIVGAALDTLPATHAMWDDLINVAVQLRFNKQWDLIILICQWILYRSSFQSDVMIYNLLIDAYGQKSLHKNAESTYFELLEARCVPTEDTYALLLKTYCLSGLLEKAEAVFAEMRKYSLPPSAIVYNAYIDGLMKCGNPQRAVEVFQRMKRDCCQPSTETYTLMINLHGKASQSYMALSLFNEMRSQKCKPNICTYTALVNAFAREGLCEKAEEIFEQLQEDGLEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGLYEDAQAVFEEMKRLGITPTMKSHMLLLSAYSKARNVAKCENIVNELHESGLEPDTFVLNSMLNLYGRLGQFEKMEEVLTAMEKGPYVTDVSTYNILINIYGRAGFFEKMEDLFQSLPAKNLKPDVVTWTSRLGAYSRKKLYTKCLQIFEEMIDSGCYPDGRTAKVLLSACSSEDQVEQVTNVIRTMHKNMDAVLPI >Manes.13G080558.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16725917:16748456:1 gene:Manes.13G080558.v8.1 transcript:Manes.13G080558.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDLINVAVQLRFNKQWDLIILICQWILYRSSFQSDVMIYNLLIDAYGQKSLHKNAESTYFELLEARCVPTEDTYALLLKTYCLSGLLEKAEAVFAEMRKYSLPPSAIVYNAYIDGLMKCGNPQRAVEVFQRMKRDCCQPSTETYTLMINLHGKASQSYMALSLFNEMRSQKCKPNICTYTALVNAFAREGLCEKAEEIFEQLQEDGLEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGLYEDAQAVFEEMKRLGITPTMKSHMLLLSAYSKARNVAKCENIVNELHESGLEPDTFVLNSMLNLYGRLGQFEKMEEVLTAMEKGPYVTDVSTYNILINIYGRAGFFEKMEDLFQSLPAKNLKPDVVTWTSRLGAYSRKKLYTKCLQIFEEMIDSGCYPDGRTAKVLLSACSSEDQVEQVTNVIRTMHKNMDAVLPI >Manes.13G080558.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16724008:16748456:1 gene:Manes.13G080558.v8.1 transcript:Manes.13G080558.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDLINVAVQLRFNKQWDLIILICQWILYRSSFQSDVMIYNLLIDAYGQKSLHKNAESTYFELLEARCVPTEDTYALLLKTYCLSGLLEKAEAVFAEMRKYSLPPSAIVYNAYIDGLMKCGNPQRAVEVFQRMKRDCCQPSTETYTLMINLHGKASQSYMALSLFNEMRSQKCKPNICTYTALVNAFAREGLCEKAEEIFEQLQEDGLEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGLYEDAQAVFEEMKRLGITPTMKSHMLLLSAYSKARNVAKCENIVNELHESGLEPDTFVLNSMLNLYGRLGQFEKMEEVLTAMEKGPYVTDVSTYNILINIYGRAGFFEKMEDLFQSLPAKNLKPDVVTWTSRLGAYSRKKLYTKCLQIFEEMIDSGCYPDGRTAKVLLSACSSEDQVEQVTNVIRTMHKNMDAVLPI >Manes.13G080558.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16726511:16748456:1 gene:Manes.13G080558.v8.1 transcript:Manes.13G080558.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDLINVAVQLRFNKQWDLIILICQWILYRSSFQSDVMIYNLLIDAYGQKSLHKNAESTYFELLEARCVPTEDTYALLLKTYCLSGLLEKAEAVFAEMRKYSLPPSAIVYNAYIDGLMKCGNPQRAVEVFQRMKRDCCQPSTETYTLMINLHGKASQSYMALSLFNEMRSQKCKPNICTYTALVNAFAREGLCEKAEEIFEQLQEDGLEPDVYAYNALMEAYSRAGFPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGLYEDAQAVFEEMKRLGITPTMKSHMLLLSAYSKARNVAKCENIVNELHESGLEPDTFVLNSMLNLYGRLGQFEKMEEVLTAMEKGPYVTDVSTYNILINIYGRAGFFEKMEDLFQSLPAKNLKPDVVTWTSRLGAYSRKKLYTKCLQIFEEMIDSGCYPDGRTAKVLLSACSSEDQVEQVTNVIRTMHKNMDAVLPI >Manes.04G009800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1289482:1297049:1 gene:Manes.04G009800.v8.1 transcript:Manes.04G009800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAVHGEEDGNYKVPQPENLHIQKEELDAGALFVLKSKGSWIHCGYHLTTSIVAPPLLSLPYAFTFLGWGGGISCLIIGAFITFYSYNLLSLVLEHHAHLGHRQLRFRDMANHTLGPRWGRYYVGPIQFTVCYGAVVASTLLGGQCMKAIYLLSNPNGTMKLYEFVIIFGCLMLMLAQLPSFHSLRHINLISLLLCLAYSACATAGSVHIGNSSKEPKDYSVNGDTEDRVFGIFNAIAIIATTYGNGIIPEIQATIAAPVKGKMFKGLCVCYSVVSVTFFSVAISGYWAFGNQAEGLILSNFVRNGKPLVPKWFVLMTNIFTILQLSAVAVVYLQPTNEVLERTFADPESKEFSARNVIPRVFSRSLSVIIATTIAAMLPFFGDINSLIGAFGFMPLDFILPAVFFNLTFKPSKKSYIFWLNTSIAVVFSALGVIAAIAAVRQISLDAKTYRLFANV >Manes.04G009800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1289482:1297050:1 gene:Manes.04G009800.v8.1 transcript:Manes.04G009800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAVHGEEDGNYKVPQPENLHIQKEELDAGALFVLKSKGSWIHCGYHLTTSIVAPPLLSLPYAFTFLGWGGGISCLIIGAFITFYSYNLLSLVLEHHAHLGHRQLRFRDMANHTLGPRWGRYYVGPIQFTVCYGAVVASTLLGGQCMKAIYLLSNPNGTMKLYEFVIIFGCLMLMLAQLPSFHSLRHINLISLLLCLAYSACATAGSVHIVGNSSKEPKDYSVNGDTEDRVFGIFNAIAIIATTYGNGIIPEIQVYLQPTNEVLERTFADPESKEFSARNVIPRVFSRSLSVIIATTIAAMLPFFGDINSLIGAFGFMPLDFILPAVFFNLTFKPSKKSYIFWLNTSIAVVFSALGVIAAIAAVRQISLDAKTYRLFANV >Manes.04G009800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1289482:1297049:1 gene:Manes.04G009800.v8.1 transcript:Manes.04G009800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAVHGEEDGNYKVPQPENLHIQKEELDAGALFVLKSKGSWIHCGYHLTTSIVAPPLLSLPYAFTFLGWGGGISCLIIGAFITFYSYNLLSLVLEHHAHLGHRQLRFRDMANHTLGPRWGRYYVGPIQFTVCYGAVVASTLLGGQCMKAIYLLSNPNGTMKLYEFVIIFGCLMLMLAQLPSFHSLRHINLISLLLCLAYSACATAGSVHIVGNSSKEPKDYSVNGDTEDRVFGIFNAIAIIATTYGNGIIPEIQATIAAPVKGKMFKGLCVCYSVVSVTFFSVAISGYWAFGNQAEGLILSNFVRNGKPLVPKWFVLMTNIFTILQLSAVAVVYLQPTNEVLERTFADPESKEFSARNVIPRVFSRSLSVIIATTIAAMLPFFGDINSLIGAFGFMPLDFILPAVFFNLTFKPSKKSYIFWLNTSIAVVFSALGVIAAIAAVRQISLDAKTYRLFANV >Manes.04G009800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1289482:1297050:1 gene:Manes.04G009800.v8.1 transcript:Manes.04G009800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAVHGEEDGNYKVPQPENLHIQKEELDAGALFVLKSKGSWIHCGYHLTTSIVAPPLLSLPYAFTFLGWGGGISCLIIGAFITFYSYNLLSLVLEHHAHLGHRQLRFRDMANHTLGPRWGRYYVGPIQFTVCYGAVVASTLLGGQCMKAIYLLSNPNGTMKLYEFVIIFGCLMLMLAQLPSFHSLRHINLISLLLCLAYSACATAGSVHIGNSSKEPKDYSVNGDTEDRVFGIFNAIAIIATTYGNGIIPEIQVYLQPTNEVLERTFADPESKEFSARNVIPRVFSRSLSVIIATTIAAMLPFFGDINSLIGAFGFMPLDFILPAVFFNLTFKPSKKSYIFWLNTSIAVVFSALGVIAAIAAVRQISLDAKTYRLFANV >Manes.04G106300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31140625:31145722:-1 gene:Manes.04G106300.v8.1 transcript:Manes.04G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGKSPYINSTNNFLGRQTWEFDPEAGTPEERAQVEDARLNFWNNRFLVKPSSDLLWQFQFLRDKKFKQTIPQVKVEDGEEITTETATAALRRSVHLFSALQASDGHWCAENGGLMFFLPPLVFSLYITGHLNNVFSAEHRKEMLRYIYCHQNEDGGWGIHIEGESTMFGTVLNYICMRILGEERDGGKENACERGRRWILDHGGAIGIPSWGKTWLSILGVYEWDGTNPMPPEFWAFPTIFPFHPAKMFCYCRITYMPMSYLYGKRFVGPITPLILQIREEIYNEPYHKINWKSVRHLCAKEDNYYPHPMIQKLVWDALHTFSEPLFTRWPLNKLRENALKITMDHIHYEDENSRYITIGCVEKPLCMLACWVEDPNGDAFKKHLARIEDYIWVGEDGMKMQSFGSQIWDTSFALQGLIASNLFDEIGPTLEEGHNFIKNSQLTENPSGDYKRMFRHTFKGAWTFSDKDHGWQVSDCTAESLKCCLLFSMMPPEIVGEKMEPEKMYDSVNVILSLQSKNGGFSAWEPARAGSWLEWLNPVEFMEDLVIEHEYVECTASSIQALSVFKKLYPGHRKKEIASCIANAARFIEDIQKPDGSWYGNWGICFIYGTWFGLGGLAASGRTYENCPAIRRGVNFLLKSQRDDGGWAESYLSCPKKVYVPSEGNRSNLVQTAWAMMGLIHGGQAKRDPTPLHRAAKLLINSQTELGDFPQQELTGSFMRNCMLHYALFRNTFPIWALAEYRKHVPLP >Manes.04G106300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31140735:31145723:-1 gene:Manes.04G106300.v8.1 transcript:Manes.04G106300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGALKMEGSCSFCHPWFFLCTLQDILTMCFQQSIGKRCCVTYTVIRNSTFQNEDGGWGIHIEGESTMFGTVLNYICMRILGEERDGGKENACERGRRWILDHGGAIGIPSWGKTWLSILGVYEWDGTNPMPPEFWAFPTIFPFHPAKMFCYCRITYMPMSYLYGKRFVGPITPLILQIREEIYNEPYHKINWKSVRHLCAKEDNYYPHPMIQKLVWDALHTFSEPLFTRWPLNKLRENALKITMDHIHYEDENSRYITIGCVEKPLCMLACWVEDPNGDAFKKHLARIEDYIWVGEDGMKMQSFGSQIWDTSFALQGLIASNLFDEIGPTLEEGHNFIKNSQLTENPSGDYKRMFRHTFKGAWTFSDKDHGWQVSDCTAESLKCCLLFSMMPPEIVGEKMEPEKMYDSVNVILSLQSKNGGFSAWEPARAGSWLEWLNPVEFMEDLVIEHEYVECTASSIQALSVFKKLYPGHRKKEIASCIANAARFIEDIQKPDGSWYGNWGICFIYGTWFGLGGLAASGRTYENCPAIRRGVNFLLKSQRDDGGWAESYLSCPKKVYVPSEGNRSNLVQTAWAMMGLIHGGQAKRDPTPLHRAAKLLINSQTELGDFPQQELTGSFMRNCMLHYALFRNTFPIWALAEYRKHVPLP >Manes.10G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4564818:4566874:1 gene:Manes.10G043800.v8.1 transcript:Manes.10G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKREFEEFEDAPSLCDLSDLCDHEIDPSNEILGSPSTQEDFFEFTITETNSKMADDNIIFCGKVISSRTEDNPRNPPFSSSSSSSLILNKNKQSIANSFSKHSSKVGTFRSPSTNSRKQKVMIGLAPNPTKMEINDLRERQNGQTPSTMSPAVGSGVADSGKSGWGLIRLFRARSHGITISSMLPKTSVGCVSLAMPCID >Manes.03G195300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31347248:31355158:1 gene:Manes.03G195300.v8.1 transcript:Manes.03G195300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFFGRIVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPSLGERITVIKGKIEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLLPNGKMFPTVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVAPAIFHVLDFTEIKEEELYEIDIPLKFMASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPLYVMAGQEITGRLRMVAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYAMAQDQQPHQLIHAQDIQIQSEDLEEPELIQPQCQNLEAQLQ >Manes.03G195300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31347316:31354082:1 gene:Manes.03G195300.v8.1 transcript:Manes.03G195300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFFGRIVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPSLGERITVIKGKIEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLLPNGKMFPTVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVAPAIFHVLDFTEIKEEELYEIDIPLKFMASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPLYVMAGQEITGRLRMVAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYAMAQDQQPHQLIHAQRSHQPSENLKLQGLSMKLEDIQIQSEDLEEPELIQPQCQNLEAQLQ >Manes.03G195300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31347316:31354080:1 gene:Manes.03G195300.v8.1 transcript:Manes.03G195300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAAQKHNKQQQFALASITDLYPPSSSSTSSSSSSLASPVVARFSADNGVVELRFFHESDATDSINVDLNTAQLFKLGPAQLVCICEGSASDTSKEKYSRGITVQFRNEEESRAFHCAFEQWKKEVIVQGISLPNGAVTTHRSKFDNKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFFGRIVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPSLGERITVIKGKIEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLLPNGKMFPTVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVAPAIFHVLDFTEIKEEELYEIDIPLKFMASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPLYVMAGQEITGRLRMVAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYAMAQDQQPHQLIHAQRSHQPSENLKLQGLSMKLEDIQIQSEDLEEPELIQPQCQNLEAQLQ >Manes.03G195300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31347249:31355158:1 gene:Manes.03G195300.v8.1 transcript:Manes.03G195300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSAAQKHNKQQQFALASITDLYPPSSSSTSSSSSSLASPVVARFSADNGVVELRFFHESDATDSINVDLNTAQLFKLGPAQLVCICEGSASDTSKEKYSRGITVQFRNEEESRAFHCAFEQWKKEVIVQGISLPNGAVTTHRSKFDNKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFFGRIVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPSLGERITVIKGKIEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLLPNGKMFPTVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVAPAIFHVLDFTEIKEEELYEIDIPLKFMASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPLYVMAGQEITGRLRMVAHNAQSYTIYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYAMAQDQQPHQLIHAQDIQIQSEDLEEPELIQPQCQNLEAQLQ >Manes.14G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11668850:11670706:1 gene:Manes.14G120600.v8.1 transcript:Manes.14G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKPAWLDALYSQKFFVGCSYHETAKKNEKNVCCLDCCISICPHCVPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNMQAYTINSAKVVFIKKRPQNRHLKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSPYLRRCNSLTLSPDFLIPQDMGDDETAHSTIVDSEDPMSWSSGSSGSESMCMACTQEIVRKKRSGLYVCGRSANKVSDEDMATSMSRRKGIPHRSPLC >Manes.S023652.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2052929:2053739:-1 gene:Manes.S023652.v8.1 transcript:Manes.S023652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGWFRLTWGRDVRPRPCPRVFQPPIAVAIAAEDSAFGPAACALHTREANVRPRAPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPLFVSCSLALLARGWLLRPRRARGARAGLRTGMPPRPWRRRGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35243733:35251219:1 gene:Manes.08G113400.v8.1 transcript:Manes.08G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSWSARLSSASKRYQSVLQSRSDMFIGFEEIDGDDDIREEFPCPFCSEYFDIVGLCCHIDDEHPMEAKNGVCPVCAMRVGVDMVAHITLQHGNIFKRKRKSRKGGYHSTLALLRKELREGNLQSLFGGSSCIFSSSNAAPDPLLSSFILPMADDFASAQPSFSDETSSAKKSLDDNVLKGNMMSSPLSIKDQEEKAKRSEFVQGLLLSAILDDIL >Manes.01G170801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35294532:35296464:-1 gene:Manes.01G170801.v8.1 transcript:Manes.01G170801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVGFLWFKGYGGNEFRVCWIKVLKNYFKLLDYYNEDLFKLPSKFAVSLNRQAFKWLKIGKVAVSTYKSAIAVCLFIHFSQGTVVLS >Manes.01G170801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35294532:35296464:-1 gene:Manes.01G170801.v8.1 transcript:Manes.01G170801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVGFLWFKGYGGNEFRVCWIKVLKNYFKLLDYYNEGKVAVSTYKSAIAVCLFIHFSQGTVVLS >Manes.02G046300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3782219:3785212:-1 gene:Manes.02G046300.v8.1 transcript:Manes.02G046300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPTTTSTTTNNNTITNTATAKSPDQETETPTRIQSAKPLSFTNGVLKRHNSQQHHHHHLAAPPVVITYKECLKNHAASLGGHALDGCGEFMPSPTANHNDPTSIKCAACGCHRNFHRREPEDSPPPTNTTTTIEYQPHHRHHPPPPPPQAIPQPYRSPNSASPPPISSSYPSAPHMLLALSGGVSAGLNDNSTIHVPGSSSARKRFRTKFSQSQKERMYQFSEKVGWKIQKRDEDLIQEFCNEVGVDKGVLKVWMHNNKNTFGKRDANGNGVRSNDLENAHHTPTDTPTTATTNNNDSSENVNHHNLFENDNVAHVGTNGSSSSS >Manes.02G046300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3783398:3785193:-1 gene:Manes.02G046300.v8.1 transcript:Manes.02G046300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPTTTSTTTNNNTITNTATAKSPDQETETPTRIQSAKPLSFTNGVLKRHNSQQHHHHHLAAPPVVITYKECLKNHAASLGGHALDGCGEFMPSPTANHNDPTSIKCAACGCHRNFHRREPEDSPPPTNTTTTIEYQPHHRHHPPPPPPQAIPQPYRSPNSASPPPISSSYPSAPHMLLALSGGVSAGLNDNSTIHVPGSSSARKRFRTKFSQSQKERMYQFSEKVGWKIQKRDEDLIQEFCNEVGVDKGVLKVWMHNNKNTFGKRDANGNGVRSNDLENAHHTPTDTPTTATTNNNDSSENVNHHNLFENDNVAHVGTNGSSSSS >Manes.02G046300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3782025:3785212:-1 gene:Manes.02G046300.v8.1 transcript:Manes.02G046300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPTTTSTTTNNNTITNTATAKSPDQETETPTRIQSAKPLSFTNGVLKRHNSQQHHHHHLAAPPVVITYKECLKNHAASLGGHALDGCGEFMPSPTANHNDPTSIKCAACGCHRNFHRREPEDSPPPTNTTTTIEYQPHHRHHPPPPPPQAIPQPYRSPNSASPPPISSSYPSAPHMLLALSGGVSAGLNDNSTIHVPGSSSARKRFRTKFSQSQKERMYQFSEKVGWKIQKRDEDLIQEFCNEVGVDKGVLKVWMHNNKNTFGKRDANGNGVRSNDLENAHHTPTDTPTTATTNNNDSSENVNHHNLFENDNVAHVGTNGSSSSS >Manes.18G086300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7935879:7946875:-1 gene:Manes.18G086300.v8.1 transcript:Manes.18G086300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPTPIGGAQSVSPSLLRSNSGMLGAQGSPLPSQTAFPSLVSPRTQFNNMNMLGNVPNVSSFLNQSFGNGGPNSGLSGPGSSQRGAIDGAAETDPLSGVGSGMGFNAPSSSFVPSNMVSPSPSGQVQGQQFSNPAGNQLLPDQQQSQQLEAQSVQHGQQPMQQFSSPHSTQQVQQQHQFQSIRGGIGGVGPVKLEPQVTNDQHGAPQQLQPLRNLGPVKLEPQQIQSMRSLAPVKLEPQHSDQSLFLHQQQQQQQQQQQQFLQMSRQTPQAAAATINLLHQQRLLQLQQHQQQQLLKAMPQQRPQLPQQFQQQNLPLRSPVKAGYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPHAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSVPELQNNCNMFLASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTGASSGFHSQSQQPEEQLQQQQQTIPQNSNSDQSSVQAGGMQIAGSNGVSSVNNSISTTSVSTSASAITGLLHQNSMNSRQHNSMNNASSPYGGNSVQIPSPGSSSTIPQAQPNPSPFQSPTPSSSNNPTQTSHSALTAANHISSTNSPANIPMQQPALSGEAEHGDTQSSVQKIIHDMMMSSQLNGTGVAGVGSLGSDMKNVNGILPTSNNAVLNGGNGLVGNGTVSNSGMGGGGFGSMGSGLAQSAMVNGIRAAMGNNSLINGRVSVPSMVRDQGMNRQQDLGNQLLSGLGAVNGFNNLPFDWKPSP >Manes.18G086300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7935879:7946875:-1 gene:Manes.18G086300.v8.1 transcript:Manes.18G086300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPTPIGGAQSVSPSLLRSNSGMLGAQGSPLPSQTAFPSLVSPRTQFNNMNMLGNVPNVSSFLNQSFGNGGPNSGLSGPGSSQRGAIDGAAETDPLSGVGSGMGFNAPSSSFVPSNMVSPSPSGQVQGQQFSNPAGNQLLPDQQQSQQLEAQSVQHGQQPMQQFSSPHSTQQVQQQHQFQSIRGGIGGVGPVKLEPQVTNDQHGAPQQLQPLRNLGPVKLEPQQIQSMRSLAPVKLEPQHSDQSLFLHQQQQQQQQQQQQFLQMSRQTPQAAAATINLLHQQRLLQLQQHQQQQLLKAMPQQRPQLPQQFQQQNLPLRSPVKAGYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPHAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSVPELQNNCNMFLASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTGASSGFHSQSQQPEEQLQQQQQTIPQNSNSDQSSVQAGGMQIAGSNGVSSVNNSISTTSVSTSASAITGLLHQNSMNSRQHNSMNNASSPYGGNSVQIPSPGSSSTIPQAQPNPSPFQSPTPSSSNNPTQTSHSALTAANHISSTNSPANIPMQQPALSGEAEHGDTQSSVQKIIHDMMMSSQLNGTGVAGVGSLGSDMKNVNGILPTSNNAVLNGGNGLVGNGTVSNSGMGGGGFGSMGSGLAQSAMVNGIRAAMGNNSLINGRVSVPSMVRDQGMNRQQDLGNQLLSGLGAVNGFNNLPFDWKPSP >Manes.13G137800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34607917:34611265:1 gene:Manes.13G137800.v8.1 transcript:Manes.13G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLAAHTHNLVLLSTTKTIAIGHGRTKSRRADSMVVKMAVTYEEGQLKRPKWGGETPLSRLVGALISFKPLSALLKLGARQVLISTAEKNNIPWREMRREILESDVYKEFERIQNPSIIYPDYYLSPFHAYDEGNLSWLAAAEAEPATMSIARRAMPDASSAEEADQVMRGNWLQAIQQHHLQHSGNSMISDILDIGCSVGVTTRFLADNFPLANVTGLDLSPYFLSVAQFKEKKRAPRKNAIKWIHANGEDTGLPSKSYDLVSIAYVFHECPERAIVNIVKEAFRLLRPGGTLALTDQAPESKVIQELPPVLFTLLKSTEPFLDEYYLTDLEGRLKEAGFVNIQSVLTDPRHKTVTATVPPLTL >Manes.13G137800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34607917:34611265:1 gene:Manes.13G137800.v8.1 transcript:Manes.13G137800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREILESDVYKEFERIQNPSIIYPDYYLSPFHAYDEGNLSWLAAAEAEPATMSIARRAMPDASSAEEADQVMRGNWLQAIQQHHLQHSGNSMISDILDIGCSVGVTTRFLADNFPLANVTGLDLSPYFLSVAQFKEKKRAPRKNAIKWIHANGEDTGLPSKSYDLVSIAYVFHECPERAIVNIVKEAFRLLRPGGTLALTDQAPESKVIQELPPVLFTLLKSTEPFLDEYYLTDLEGRLKEAGFVNIQSVLTDPRHKTVTATVPPLTL >Manes.13G137800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34607917:34611266:1 gene:Manes.13G137800.v8.1 transcript:Manes.13G137800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLAAHTHNLVLLSTTKTIAIGHGRTKSRRADSMVVKMAVTYEEGQLKRPKWGGETPLSRLVGALISFKPLSALLKLGARQVLISTAEKNNIPWREMRREILESDVYKEFERIQNPSIIYPDYYLSPFHAYDEGNLSWLAAAEAEPATMSIARRAMPDASSAEEADQVMRGNWLQAIQQHHLQHSGNSMISDILDIGCSVGVTTRFLADNFPLANVTGLDLSPYFLSVAQFKEKKRAPRKNAIKWIHANGEDTGLPSKSYDLVSIAYVFHECPERAIVNIVKEAFRLLRPGGTLALTDQAPESKVIQVCFHFFND >Manes.03G064650.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7718402:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRGFDRVTKYTFQSFRMFSKYVPTCRSSRYCCPLLEVIIVSLKTLYVMEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719203:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRGFDRVTKYTFQSFRMFSKYVPTCRSSRYCCPLLEVIIVSLKTLYVMEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719803:7723472:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHAGHLGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719203:7726521:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719203:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRGFDRVTKYTFQSFRMFSKYVPTCRSSRYCCPLLEVIIVSLKTLYVMEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719803:7723542:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRGFDRVTKYTFQSFRMFSKYVPTCRSSRYCCPLLEVIIVSLKTLYVMEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719203:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719203:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHAGHLGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7718402:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRGFDRVTKYTFQSFRMFSKYVPTCRSSRYCCPLLEVIIVSLKTLYVMEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719203:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRGFDRVTKYTFQSFRMFSKYVPTCRSSRYCCPLLEVIIVSLKTLYVMEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719203:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.03G064650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7719203:7726519:-1 gene:Manes.03G064650.v8.1 transcript:Manes.03G064650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRGFDRVTKYTFQSFRMFSKYVPTCRSSRYCCPLLEVIIVSLKTLYVMEEIKSFESQQMMSTFQHSGSRDDETKSTILHSQQLNEFLVKEQSDNMKILEMLDKGCMKYGCPHYRRRCRIRAPCCNEVFDCRHCHNDAKNNINVNQKLRHDMPRHEVRQVICSLCGTEQEVRQFCINCGVCMGRYFCETCKLFDDDTSKKQYHCDGCGICRIGGRENFFHCYKCGCCYSNFLKNSHPCVEGAMHHDCPVCFEFLFESRYNVTVLPCGHTIHEKCLKEMREHCQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYQNKMVWILCNDCGKTTQVQYHVVAQKCLNCKSYNTRQTRG >Manes.04G160700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35516143:35520056:-1 gene:Manes.04G160700.v8.1 transcript:Manes.04G160700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1P MQMMEQSLSNPSISIPRSFHFSSYHSHSYFFGYKCTSSKIGCLSVDSSNAAVQIHNDSSAATKGPYPGGMVGPHTGRNPYVKKPEWLRQRAPQGDRFQEVKHSLSRLNLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSRNPAPPDPMEPQNTAQAIASWGVDYIVLTSVDRDDLPDGGSGHFSQTVQAMKKLKPGIMIECLTSDFRGDLRAIETLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLQHAKLSKEGMITKSSIMLGLGETDDELKETMADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFSFWKEYGESIGFRYIASGPLVRSSYRAGELFVKTMVRERTNDTAAKS >Manes.05G145100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24698370:24702900:-1 gene:Manes.05G145100.v8.1 transcript:Manes.05G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRKGSPSKKRRTKKQAFHGILKGTHSSEFLDSDLVLQVSKVYMKGGSISKSGSVQKILRRVTKHRAAMAVVVETNLENSPRKRLSVGRYDAGQLPEMIILQSVHDGRMIFTGSLLRQIEGLELNSGPDFDLENHSSSREARASAFSEIKSCAIQSSEEFSRNGIASLKDGNVCQKKVTLKSISVIRKELPESNLGWPLLPRTTTLSKEALRKSKARSLSLIERVMNLPTRSFDMTMEKQADSDSAEENISLDAKTEDSNISENKSVDGSSNREDEGRGLLQEISSSSVSALTEESTQFKFGWPLLRIKTSATVDALEESTQASPKSQINMPFKEAEALAGIRELPLMKLELFLRLKSSGCKQFSYEELARATHKFSSGNLIGEGGCSNVYKGSLHRDKLVAVKVLKQYKEAWNDFSLEVEIVSSLKHKHITHLLGVCIEDNHLILVYDFLSKGSLEERLKGHNEKSMTPWKVRFKVAIAVAEALNYLHNGCSPSVIHRDVKSSNILLSDDFQPQLSDFGLATWGPKDTAYMSSSDIVGTFGYIAPEYFMHGRVSDKVDVYSFGIVLLELLTGKKPIISKSVEGQESLVMWAMPLLESGKLEALVDPMLFGEFDTVQMQRMVLAATFCIKQSPRLRPKASQILNLLREEKEVREWIKDYVDDLLQSSYEEIDEFSPEFDQKLPRSDSSFLGLDEDDDSSIRSAEQRQLKDYLKEQQD >Manes.05G145100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24698370:24702900:-1 gene:Manes.05G145100.v8.1 transcript:Manes.05G145100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILQSVHDGRMIFTGSLLRQIEGLELNSGPDFDLENHSSSREARASAFSEIKSCAIQSSEEFSRNGIASLKDGNVCQKKVTLKSISVIRKELPESNLGWPLLPRTTTLSKEALRKSKARSLSLIERVMNLPTRSFDMTMEKQADSDSAEENISLDAKTEDSNISENKSVDGSSNREDEGRGLLQEISSSSVSALTEESTQFKFGWPLLRIKTSATVDALEESTQASPKSQINMPFKEAEALAGIRELPLMKLELFLRLKSSGCKQFSYEELARATHKFSSGNLIGEGGCSNVYKGSLHRDKLVAVKVLKQYKEAWNDFSLEVEIVSSLKHKHITHLLGVCIEDNHLILVYDFLSKGSLEERLKGHNEKSMTPWKVRFKVAIAVAEALNYLHNGCSPSVIHRDVKSSNILLSDDFQPQLSDFGLATWGPKDTAYMSSSDIVGTFGYIAPEYFMHGRVSDKVDVYSFGIVLLELLTGKKPIISKSVEGQESLVMWAMPLLESGKLEALVDPMLFGEFDTVQMQRMVLAATFCIKQSPRLRPKASQILNLLREEKEVREWIKDYVDDLLQSSYEEIDEFSPEFDQKLPRSDSSFLGLDEDDDSSIRSAEQRQLKDYLKEQQD >Manes.05G145100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24698500:24702900:-1 gene:Manes.05G145100.v8.1 transcript:Manes.05G145100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRKGSPSKKRRTKKQAFHGILKGTHSSEFLDSDLVLQVSKVYMKGGSISKSGSVQKILRRVTKHRAAMAVVVETNLENSPRKRLSVGRYDAGQLPEMIILQSVHDGRMIFTGSLLRQIEGLELNSGPDFDLENHSSSREARASAFSEIKSCAIQSSEEFSRNGIASLKDGNVCQKKVTLKSISVIRKELPESNLGWPLLPRTTTLSKEALRKSKARSLSLIERVMNLPTRSFDMTMEKQADSDSAEENISLDAKTEDSNISENKSVDGSSNREDEGRGLLQEISSSSVSALTEESTQFKFGWPLLRIKTSATVDALEESTQASPKSQINMPFKEAEALAGIRELPLMKLELFLRLKSSGCKQFSYEELARATHKFSSGNLIGEGGCSNVYKGSLHRDKLVAVKVLKQYKEAWNDFSLEVEIVSSLKHKHITHLLGVCIEDNHLILVYDFLSKGSLEERLKGHNEKSMTPWKVRFKVAIAVAEALNYLHNGCSPSVIHRDVKSSNILLSDDFQPQLSDFGLATWGPKDTAYMSSSDIVGTFGYIAPEYFMHGRVSDKVDVYSFGIVLLELLTGKKPIISKSVEGQESLVMWAMPLLESGKLEALVDPMLFGEFDTVQMQRMVLAATFCIKQSPRLRPKASQILNLLREEKEVREWIKDYVDDLLQSSYEEIDEFSPEFDQKLPRSDSSFLGLDEDDDSSIRSAEQRQLKDYLKEQQD >Manes.05G145100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24698370:24701758:-1 gene:Manes.05G145100.v8.1 transcript:Manes.05G145100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPTRSFDMTMEKQADSDSAEENISLDAKTEDSNISENKSVDGSSNREDEGRGLLQEISSSSVSALTEESTQFKFGWPLLRIKTSATVDALEESTQASPKSQINMPFKEAEALAGIRELPLMKLELFLRLKSSGCKQFSYEELARATHKFSSGNLIGEGGCSNVYKGSLHRDKLVAVKVLKQYKEAWNDFSLEVEIVSSLKHKHITHLLGVCIEDNHLILVYDFLSKGSLEERLKGHNEKSMTPWKVRFKVAIAVAEALNYLHNGCSPSVIHRDVKSSNILLSDDFQPQLSDFGLATWGPKDTAYMSSSDIVGTFGYIAPEYFMHGRVSDKVDVYSFGIVLLELLTGKKPIISKSVEGQESLVMWAMPLLESGKLEALVDPMLFGEFDTVQMQRMVLAATFCIKQSPRLRPKASQILNLLREEKEVREWIKDYVDDLLQSSYEEIDEFSPEFDQKLPRSDSSFLGLDEDDDSSIRSAEQRQLKDYLKEQQD >Manes.01G155100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34168109:34173978:-1 gene:Manes.01G155100.v8.1 transcript:Manes.01G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPPSYPPIDPTTFDLVVVGTGLPQSVIAAAASASGKSVLHVDHNKFYGSHFSSLSILELTSFLNNNSTSLQPMSSSTIETGCDDYSVVNLAIRPLYSDVEISSLSANPLEEHSNKFNLDVSGPRVLFCADRFIDLLIKSAASQYVEFKSVDASFVGDESGKLWNVPDSRAAIFKDKSLSLMEKNRLMRFFKLVQGQLAASSGRDGENGNQGEEVESETAGISEEDLESPFVDFLTNMKLPSKIKSIILYAIAMADYDQDNKEECKDLLKTKDGIARLALYQSSVGRLTNAPGAFIYPIYGQGELPPAFCRRAAVKGSIYVLRMPVIALLMDKSNGMYKGIRLASGQDIFSQKLVLDPSLTVPSPSASPLGSFHESFLFLCMGDVKQKVARGICIMRSSLKPDISNFLVVYPPQSLYSEQITSIRALQISGNLAVCPPDMFVLYLSALCDNAHQGKKLLNAAINSLFTCPDPVTRENSSVDRIENTELKPTLLWSALYIQELTTGQFDSISSTPMPSGNLDYNDVLDSAMKLFQKMYPNEEFFPDTAEHDDGVSLET >Manes.16G000800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:123536:124762:-1 gene:Manes.16G000800.v8.1 transcript:Manes.16G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHLLFALLLFVVLACPSNQQQHQKKMNTIDLTALAAIKDSLTDIPGTNFFSTWDFASPDPCSTFSGITCSLNRVTALTLGTGLSDSPGLAGSLSPSLSNLTELTQLVLYQGLVTGPIPPQLGELRNLRVISLTNNRLTGPVPSSLSSLLNLHTLDLSYNQLTGSIPPGLFTGLRELKVVIVAWNSLSGELPRRISTPLLHLDLKSNKLGGILPLKMPSSLRYLSVSRNKMWGPLNGLKSLSELVYLDLSMNQFNGPIPPSVFRPSLASLLLQRNNLSGGVPSRLVDPPSYGEGSIVDLSHNFLSGELSPVLAGVESLFLNNNLWMGKVPKEYVDSICRGSTKTLYLQHNYIVEFSLEPGLALPDTASLCLSYNCMVPPIGPTACPASAGGELSRPEAQCAAFNHKH >Manes.07G059200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8717723:8719307:1 gene:Manes.07G059200.v8.1 transcript:Manes.07G059200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIDNVSLQPFTMEQWRSHQKERIHWEHKRKVTFQVKYANKTAVKGATVSIKQIQSSIPFGCSMNHHILESSAYQNWFTSRFKYTTFTNEMKWYSTEIIQGHEDYTIADAMVRFAKRNGISIRGHNIFWDDPRYQQPWVKNLSPNDSRKAAAKRINSVVSRYAGQLIAWDVMNENLHFSFHEDKLGVNASAEYFSRAFQLHPKTRLFMNEFNTIEDRRDEAASPANYKRILEQILSYPGNKEILAGISVQGDFSYGQPDLAYMRASLDILASTGLPIWLTEVSLDAGPNQAEYLEQVLREGRSHPGVEGIIMFAGPEIAGFNVTTLADKDFKNTPAGDVGDKLIDEWKFTIAEGSIEVSLFHGDYNITVKNLVNLVVSTLE >Manes.15G102800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8081194:8083144:-1 gene:Manes.15G102800.v8.1 transcript:Manes.15G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEDACSCLLSNAKINAAETSDSCDSFYNPFRLAKRPRLDLNNNITVAAKFKGVVSQQNGHWGAQIYANHQRIWLGKFKSDKEAAMAYDSAAINLRSGDSQRNLPWTERNIQEPNFQSQYSIEVILSMIKDGSYQPKFSDFLRKQSRRQEAAAAAGLSLNNNINNNQTGVPSGDGQFSCIQLFQKELTPSDVGTLNRLVIPKRFAIKYFPCITGNMEEDDNGEGGEREDTELVFYDRLMKCWKFRYCYWRSSQSFVFTRGWIRFVKEKNLKEKDVVTFYACVSPEIQRVQEGHQFSLIDISYSNGGQSCSVGDGPCEIDEMQKELELNLGQTVGKKLQKDHQAKGNRKRGLELNNTAKGKRLRLFGVRID >Manes.02G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4497161:4499640:1 gene:Manes.02G057200.v8.1 transcript:Manes.02G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVITCILLFSFIIIPSPIVARELTHHGKVGGGEPEVFFATEIPICKGKQSPSYNHCRCPKYRPNCQVSK >Manes.03G082300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14491157:14499122:-1 gene:Manes.03G082300.v8.1 transcript:Manes.03G082300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKTLRDFLQPAKRLKPSSPSDPSKPLNLHISTTACKSITDSSSSNLTTHQRSRIEFNKLRAKSKRNLNLCSQLVSKSKGHRVGYVKLEELLVEETWSKALPGELQKPYARNLCKFLENEICSGSVPIYPPQHMIFNALNSTPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGVKIPSSLVNIFKELEKDLGCSIPSHGNLEPWAIQGVLMLNAVLTVRSHQANSHAKKGWEQFTDSVIKTISQKKEGVVFLLWGNFAQEKSRLIDGTKHYILKAAHPSGLSANRGFFGCRHFSQTNKLLEKMGIPPIDWQL >Manes.03G082300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14491157:14499122:-1 gene:Manes.03G082300.v8.1 transcript:Manes.03G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKTLRDFLQPAKRLKPSSPSDPSKPLNLHISTTACKSITDSSSSNLTTHQRSRIEFNKLRAKSKRNLNLCSQLVSKSKGVGYVKLEELLVEETWSKALPGELQKPYARNLCKFLENEICSGSVPIYPPQHMIFNALNSTPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGVKIPSSLVNIFKELEKDLGCSIPSHGNLEPWAIQGVLMLNAVLTVRSHQANSHAKKGWEQFTDSVIKTISQKKEGVVFLLWGNFAQEKSRLIDGTKHYILKAAHPSGLSANRGFFGCRHFSQTNKLLEKMGIPPIDWQL >Manes.02G144500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11090007:11093614:1 gene:Manes.02G144500.v8.1 transcript:Manes.02G144500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTVGTPVSGIRISSVVPGKVTGDDVDYPLANMSLALKLHYIRGVYFFSAQAVEGLTISDLKKPMFPWLAFFYTSSGRIRRSETGRPFIKCNDSGVRIVEAFCDKTIEELLEVERNEDRHFSVDSFLAHDQVLGPDLGFSPLIYIQFTRFKCGGMSVGLSWAHVLGDPFSASTFINMWGQYMKGHVPLPSKSLHMPPHKEPEYPLSTAIREPFSLKRVDPVGDYWLTTNNCKMETHCFLFTAKQLDNIVSNIFDLVRPVKISHFEIISAMIWKSLSKVRGDSGPKIVTICTRNSCTNESDGNEVPSNKMVFSIVRTDFHPAKGDLHELAALIADKQEEENSLIEEAVDSGNGDYIAYGTNLTFVSLEEADIYGLELKEHKPVFAYYTIKGVGDEGAVLVLPGKSNGSRAVTVILPESRLADLKNELRKEWGIV >Manes.02G144500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11090006:11093614:1 gene:Manes.02G144500.v8.1 transcript:Manes.02G144500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTVGTPVSGIRISSVVPGKVTGDDVDYPLANMSLALKLHYIRAFFYTSSGRIRRSETGRPFIKCNDSGVRIVEAFCDKTIEELLEVERNEDRHFSVDSFLAHDQVLGPDLGFSPLIYIQFTRFKCGGMSVGLSWAHVLGDPFSASTFINMWGQYMKGHVPLPSKSLHMPPHKEPEYPLSTAIREPFSLKRVDPVGDYWLTTNNCKMETHCFLFTAKQLDNIVSNIFDLVRPVKISHFEIISAMIWKSLSKVRGDSGPKIVTICTRNSCTNESDGNEVPSNKMVFSIVRTDFHPAKGDLHELAALIADKQEEENSLIEEAVDSGNGDYIAYGTNLTFVSLEEADIYGLELKEHKPVFAYYTIKGVGDEGAVLVLPGKSNGSRAVTVILPESRLADLKNELRKEWGIV >Manes.03G016650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1416451:1416759:-1 gene:Manes.03G016650.v8.1 transcript:Manes.03G016650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQRAKVYRRQGSSGPVWDEKYLLVEDKVEYRELRPCRSSLNIRNVDCSGSIAAAPPISCPRSLSTPATNQTFSGGSGKGFGIPKSKSGKRKLFLAKLPK >Manes.01G027800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5741208:5744607:-1 gene:Manes.01G027800.v8.1 transcript:Manes.01G027800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIVCMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYSITNLLAAVIIAFSFGEIGKSTPQSPNFLTQLSQDNWPSVMFAMAGGIVLSIGNLSTQYAWAFVGLSVVEVITSSITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVCLGSAVHSSNAADNKAKLQNLANDYELQTEDKDSSTIKEDFPNNGRKDLENGNAPPEKAKAGTAAFLIELENRRSIKVFGKGTLIGLAITFFAGFCFSLFSPAFNLATNDQWHTLKKGVPKLVVYTAFFWFSVSCFVLAIILNVTFLYWPVLNLPKSTFKAYVNDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIVIFKEYRKSSRRTYILLSSMLSMFIVAVGILMASSGHRK >Manes.01G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5741208:5744607:-1 gene:Manes.01G027800.v8.1 transcript:Manes.01G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIVCMLLALFFLGTWPAIMTLLERRGRLPQHTYLDYSITNLLAAVIIAFSFGEIGKSTPQSPNFLTQLSQDNWPSVMFAMAGGIVLSIGNLSTQYAWAFVGLSVVEVITSSITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVCLGSAVHSSNAADNKAKLQNLANDYELQTEDKDSSTIKEDFPNNGRKDLENGNAPPEKAKAGTAAFLIELENRRSIKVFGKGTLIGLAITFFAGFCFSLFSPAFNLATNDQWHTLKKGVPKLVVYTAFFWFSVSCFVLAIILNVTFLYWPVLNLPKSTFKAYVNDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGIVIFKEYRKSSRRTYILLSSMLSMFIVAVGILMASSGHRK >Manes.06G128000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25751558:25753888:1 gene:Manes.06G128000.v8.1 transcript:Manes.06G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVDYSQLFYLSINNLLEQCKTFRQLHQVHAHAFTCGLLSVCSSKILSKILYSLTTATTPRSSLSLLRFAVSVFNAIPNPSPFCYNLIIRIHTLHSSPVTGLHYFLQMRRFSVPPDFHSFPFALKACSQLRVLSLAQSLHSQILRFGFVSDLYVMNSLIHVYSVSNRLNDAYQVFEESSHHDVVSYNSLIDGFVKTGDIEKAREILDRMPVRDSVSWSTLMAGYAQGSHCKEAIELFNLMMDLKLRPDNTALVSILSACAQLGDLEKGKQVHNYIVKSKIPIDSFLSTGLVDFYAKCGDIGTALKIFELSPDKSLITWNAMLLGIAVHGHGQLSLHYFSRMMEAGVKPDGVSFLGVLVGCSHGGLVDEARKIFHEMESSYGVRREPKHYGCMADLLGRAGLIKEAIEMINNLPTGGDMSVWSGLLGGCRIHGNVEIAEKAAKQVMELKPEDGGVYSILANVYANADRWEDVVKVRSLMSGNRIVKKSAGCSLIQLDGTVHEFLAGDGLHSQRDEIYMVLDGIREHQREISAY >Manes.08G008700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1124362:1124742:-1 gene:Manes.08G008700.v8.1 transcript:Manes.08G008700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMQKKLLKSCFNKWSKMESRVIPCAGCEHCCQWGLWASSHEGKSIPRDVPKGHLVVYVGKYYKRFVIKISLLDHPLFRALLDQAQDEYDFTADSKLCIPCEESIFLDVVRCASSPQGSRTCLCL >Manes.08G162100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39742124:39745162:-1 gene:Manes.08G162100.v8.1 transcript:Manes.08G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLISHGKTRSQGSSEKKCLWHAIVTILFCMRFLKMAVAIDQHHGFRPFNRSQRCRLQSFTYLDNNIAEISQTNLNHSLKQAFEVANFHRSFSSPCLPLATRLEDKLDANPTVEIVGGHRAPRVRALVVEVAIAIASGIDLMPVSSGLGGAYFIRNKSGDNIAVTKPIDEVGGLMLGHSGMKRSVRVGGTGIREVAAYLLDHDGFAGVPPTALVKINHIGFHINNGAGGTTVSDPPSKVASLQHFVDHDFDAGELGPSGFSVASVHQIGIFDVRLLNLDRHAGNILVKKNDHQENGAIGVVDLVPIDHGLCLPEWLDDPYFEWLHWPQASVAFSESELEYIHNLDPFKDAELLRSELPSLGESSIRVLILCTIFLKQAASVGLCLADIGEMMTREFCGGEENLSVLENLCAKAKASLVTKSNDEDIYDNYDDDDDENSEKNLPKKEEVTIFEFDDESENILNEVSDVPQHVQFPPKMASFSKNPRFSSIRSMPKLQDAAASPPLSKQDDYEDGNTHHKHTYITKENSDNDSGDGIKVGGLTRSISCALHNYNSEIEGISLGDMSGSEWKLFLECFGMLLPEAFEGAKCMGSKQRLGTSCKF >Manes.08G162100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39742124:39745162:-1 gene:Manes.08G162100.v8.1 transcript:Manes.08G162100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLISHGKTRSQGSSEKKCLWHAIVTILFCMRFLKMAVAIDQHHGFRPFNRSQRCRLQSFTYLDNNIAEISQTNLNHSLKQAFEVANFHRSFSSPCLPLATRLEDKLDANPTVEIVGGHRAPRVRALVVEVAIAIASGIDLMPVSSGLGGAYFIRNKSGDNIAVTKPIDEVGGLMLGHSGMKRSVRVGGTGIREVAAYLLDHDGFAGVPPTALVKINHIGFHINNGAGGTTVSDPPSKVASLQHFVDHDFDAGELGPSGFSVASVHQIGIFDVRLLNLDRHAGNILVKKNDHQENGAIGVVDLVPIDHGLCLPEWLDDPYFEWLHWPQASVAFSESELEYIHNLDPFKDAELLRSELPSLGESSIRVLILCTIFLKQAASVGLCLADIGEMMTREFCGGEENLSVLENLCAKAKASLVTKSNDEDIYDNYDDDDDENSEKNLPKKEEVTIFEFDDESENILNEVSDVPQHVQFPPKMASFSKNPRFSSIRSMPKLQDAAASPPLSKQDDYEDGNTHHKHTYITKENSDNDSGDGIKVGGLTRSISCALHNYNSEIEGISLGDMSGSEWKLFLECFGMLLPEAFEGAKCMGSKQRLGTSCKF >Manes.09G166900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35962408:35964431:-1 gene:Manes.09G166900.v8.1 transcript:Manes.09G166900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTPPKQRRIAAPLNPALIRETVKKVDKCMARLQELQYTVAGGNKVISGVSLSPRSTRGYLRTSLRCKQESLRIKNASPRKSPVGKFPASVVGEWRRMSLPAMLVGETVGEILQASQFAREIVAAIACKTKKITPEEGPKTPVTQQRKQRPNPENTELKSKRKKEKQNKLQSIRSETTSPSLQRARSRINFKVSPPKKREMEKENSAKYLANRVSPRNKPWAKKTILFPNPLFLSTDSNQQQKFCRTRSPVISRNKQTIPHKFLIKSPPSTSKFQVKIKNPPVVSLSPAGAGNLSKKSPTRAANLCKKSPPKMSTASKLRRSFSPSRLANRLVSPLKSRKSVQNSDGLMSGFKQRPVSMPRRFSLGRI >Manes.03G116500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24204863:24209829:-1 gene:Manes.03G116500.v8.1 transcript:Manes.03G116500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSIGDWFGGFLYSAGEQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAEVISDSIAFALGLATTLALLGVAASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEIVELQLPSFFNNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASRDPVVGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPLSGALLLGGGVYTFLDRLFPATMAM >Manes.03G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24204863:24209829:-1 gene:Manes.03G116500.v8.1 transcript:Manes.03G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVNHCISCCISSHRTNHGRDKVLIKMSNKSSTNKNLRDDIVSLSKNDSFRIKTIASSIAAANLVAIDAAKAVMTDKLLEEAASIYTMADGSIGDWFGGFLYSAGEQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAEVISDSIAFALGLATTLALLGVAASFAGKAYGQIGQGLPLAASGLAVIMGLNLLEIVELQLPSFFNNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASRDPVVGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPLSGALLLGGGVYTFLDRLFPATMAM >Manes.06G135800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26360051:26362679:-1 gene:Manes.06G135800.v8.1 transcript:Manes.06G135800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLAFSFMYVFYGFFHCSDNQSYILILLFSHLFFFLLYKETSFYDTQTLKSSTIKPKIRNWNSKTMATKFRPLPIFFIFLCSFFFSAECRRYKAVNMTWWCNQTPHPETCNYFVSQTHQHFAPKHRSEFRRIMIHLALERALSVERQVYRLKPKCHSHNHKAVWTDCCKLHADTIVQLNRTLQGLQSQKGCTNFDAQTWMSTALTNIETCRTGSVDFNVSDFITPIMSSNLSELISNSLALNGVLLAVEDNNTEGFPRWFSRSQRRLLQSSSLKAKANLVVAQDGSGHFRTVQTALDAASKRRYGTRFIIHVKRGIYRENIEVGINNNNIWLVGDGLRSTIITSSRSVVGGYTTYSSATAGIDGLRFVARGITFSNTAGPQKGQAVALRSASDLSVFYRCSFEGYQDTLFVHSQRQFYKECYVYGTIDFIFGNAAVVFQNCIIYARKPLKGQANMITAQARNDPFQNTGISIHNSQILPAPDLKPVVGAFQTYLGRPWMQYSRTVVLKTYLDGFINPAGWSKWGNSDFALDTLYYGEYRNFGPGSSTRQRVKWKGFHTITSSRVASSFTVAKLIAGMSWLPATGVPFISGL >Manes.01G143200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33395471:33403185:-1 gene:Manes.01G143200.v8.1 transcript:Manes.01G143200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMRVASLALGLSSLLILASVSYVFCAPGSLLQRLSGSNNYLTTEELWFNQILDHYSPYDRREFKQRYYEFVEYFRVPDGPIFLKICGEASCNGIANDYLSVLAKKFGAAIVSLEHRYYGKSTPFKTTETKNLRYLSSKQALFDLAVFRQQYQESLNLKLNRTNIENPWFVFGISYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTEFDQQIGESAGVECKAALQESTRLVEERLASNRNAVKKLFGAAELENDGDFLYFLADAAVTAFQYGNPDKLCTPLVEAKKAGEDLVEAYAKYVKEIYVGTFGVSVQAYNQENLKNTAVAEDHSDRLWWFQVCTEVAYFQVAPSNDSIRSSKVDTRYHLDLCKNVFGEGIYPEVDVTNIYYGGTKIAGSKIVFTNGSQDPWRHASKQISSPDTVVKLSGLPSYVITCHNCGHGTDMRGCPQSPLNLEGNAQNCSSPEAVHKIRQQVIEQIDLWLSECQAGSRSSI >Manes.01G143200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33395148:33403224:-1 gene:Manes.01G143200.v8.1 transcript:Manes.01G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMRVASLALGLSSLLILASVSYVFCAPGSLLQRLSGSNNYLTTEELWFNQILDHYSPYDRREFKQRYYEFVEYFRVPDGPIFLKICGEASCNGIANDYLSVLAKKFGAAIVSLEHRYYGKSTPFKTTETKNLRYLSSKQALFDLAVFRQQYQESLNLKLNRTNIENPWFVFGISYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTEFDQQIGESAGVECKAALQESTRLVEERLASNRNAVKKLFGAAELENDGDFLYFLADAAVTAFQYGNPDKLCTPLVEAKKAGEDLVEAYAKYVKEIYVGTFGVSVQAYNQENLKNTAVAEDHSDRLWWFQVCTEVAYFQVAPSNDSIRSSKVDTRYHLDLCKNVFGEGIYPEVDVTNIYYGGTKIAGSKIVFTNGSQDPWRHASKQISSPDMPSYVITCHNCGHGTDMRGCPQSPLNLEGNAQNCSSPEAVHKIRQQVIEQIDLWLSECQAGSRSSI >Manes.13G015000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1914115:1920446:-1 gene:Manes.13G015000.v8.1 transcript:Manes.13G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHFFRQVFKHCRNSCHFLDMASAFGFKFSDWMRTKMKWDFWVAVKMDLSIDIANVAFSILLLAWCLIEIWRRRRRGSAIRRGESTLFTTITVLSNFLIVILNLGFGVVKFWDLRVIDNKSVFSSVTWILATLVALYSEQTTLREGNRWPIVLILWWVFSCIFYSLSVSVYLITHFSPIELPSLLPETNIVDFISLPLSMLLCFNALTFCNAKIQSSLEHPLLQEEHKSLLNDSPFTKAGIWSQLTFQWLNPLFRKGRIQKLELSHIPLVPESETAKCSSSLLEESLGKRKTETSNLPEAIAYAIWKSLTINGVFAGVNTIASYMGPLLITRFVNFLSEKHKDSSYLYGLILALIFFLSKTIESLTQRQWYFGAQRIGIRVRAALMVLVYKKSLSVKFAGPSAGKIINMINVDVERIGDFCWNIHGVWLLPLQVFLALVILYRNLGAAPSFAAFSSTILIMVSNTPLANKQEKLHSRIMEAKDTRIKATSETLKSMRVLKLYSWESAFLEKLLQLRKTERKWLKEYLYTSSFIAFLFWASPTLVSVITFGVCILLKTPLTTDTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQEFLKEEDQRKQIPYQISQASDISIEIETGEYAWETNDQNSKKPTIKITERMKIKKGYKVAVCGTVGSGKSSLLCSILGEIPRTSGAGIKVYGTKAYVPQSSWIQTGTVRENVLFGKDMDKAFYEEVLEGCALNQDIGMWVDRDLIVVGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTIIYATHQLEFLDAADLVLVMKDGVIVQSGKYEDLIADSTGELVTQMAAHKKSLNQVNPPPEDNFLTSGPSQLNQNEVTEEKCEELISDSRISERTQEELTETGRVKWSVYSTFVTSAYKGALVPVILLCQVLFQGLQMGSNYWIAWASEDRHKVTRQQLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFLGMIKSVFRAPISFFDSTPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLSIIILMSQVAWQIFLLFLVIIGISIWYQDYYITTARELARMVGIRKAPILHHFSESIGGAATIHCFNQEDRFFLRNLSLIDDYSRIVFHNTGAMEWLCVRINFLFNLVFFLALIILVSLPSSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERIIQFTNVPSEAPLVIEDCRPNSDWPVDGKIELVNLCVQYSPALPMVLKSINCTFPGGKKIGVVGRTGSGKSTLIQALFRMIEPSEGHILIDGLDISKIGLQDLRSRLGIIPQDPTLFQGTVRNNLDPLQEHSDHEIWEVLNKCRLADIVKQDHRLLEAPVAEDGENWSVGQRQLVCLARVLQKKRRILVLDEATASIDTATDNIIQGTIREETSKCTVITVAHRIPTVIDNDLVLVLGEGKVIEYDSPGQLLKDSSSSFSKLVAEFSRRSSTRNEERLSRE >Manes.13G015000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1914115:1920696:-1 gene:Manes.13G015000.v8.1 transcript:Manes.13G015000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGFKFSDWMRTKMKWDFWVAVKMDLSIDIANVAFSILLLAWCLIEIWRRRRRGSAIRRGESTLFTTITVLSNFLIVILNLGFGVVKFWDLRVIDNKSVFSSVTWILATLVALYSEQTTLREGNRWPIVLILWWVFSCIFYSLSVSVYLITHFSPIELPSLLPETNIVDFISLPLSMLLCFNALTFCNAKIQSSLEHPLLQEEHKSLLNDSPFTKAGIWSQLTFQWLNPLFRKGRIQKLELSHIPLVPESETAKCSSSLLEESLGKRKTETSNLPEAIAYAIWKSLTINGVFAGVNTIASYMGPLLITRFVNFLSEKHKDSSYLYGLILALIFFLSKTIESLTQRQWYFGAQRIGIRVRAALMVLVYKKSLSVKFAGPSAGKIINMINVDVERIGDFCWNIHGVWLLPLQVFLALVILYRNLGAAPSFAAFSSTILIMVSNTPLANKQEKLHSRIMEAKDTRIKATSETLKSMRVLKLYSWESAFLEKLLQLRKTERKWLKEYLYTSSFIAFLFWASPTLVSVITFGVCILLKTPLTTDTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQEFLKEEDQRKQIPYQISQASDISIEIETGEYAWETNDQNSKKPTIKITERMKIKKGYKVAVCGTVGSGKSSLLCSILGEIPRTSGAGIKVYGTKAYVPQSSWIQTGTVRENVLFGKDMDKAFYEEVLEGCALNQDIGMWVDRDLIVVGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTIIYATHQLEFLDAADLVLVMKDGVIVQSGKYEDLIADSTGELVTQMAAHKKSLNQVNPPPEDNFLTSGPSQLNQNEVTEEKCEELISDSRISERTQEELTETGRVKWSVYSTFVTSAYKGALVPVILLCQVLFQGLQMGSNYWIAWASEDRHKVTRQQLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFLGMIKSVFRAPISFFDSTPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLSIIILMSQVAWQIFLLFLVIIGISIWYQDYYITTARELARMVGIRKAPILHHFSESIGGAATIHCFNQEDRFFLRNLSLIDDYSRIVFHNTGAMEWLCVRINFLFNLVFFLALIILVSLPSSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERIIQFTNVPSEAPLVIEDCRPNSDWPVDGKIELVNLCVQYSPALPMVLKSINCTFPGGKKIGVVGRTGSGKSTLIQALFRMIEPSEGHILIDGLDISKIGLQDLRSRLGIIPQDPTLFQGTVRNNLDPLQEHSDHEIWEVLNKCRLADIVKQDHRLLEAPVAEDGENWSVGQRQLVCLARVLQKKRRILVLDEATASIDTATDNIIQGTIREETSKCTVITVAHRIPTVIDNDLVLVLGEGKVIEYDSPGQLLKDSSSSFSKLVAEFSRRSSTRNEERLSRE >Manes.11G124300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28588016:28593450:-1 gene:Manes.11G124300.v8.1 transcript:Manes.11G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSGSRLALKMNVSLLLISNFKSGIVPFHSLFVIRSFSSNLNEHSFIISYLINSCGLTLKSAQSVSKNKLLRFQTPERPDSVLRLLREHGFTISQISKIVKTRPQLLLAHPEKTLLPKLEFLRSIGFSRTGLSVMVSRNPDFLARSLERWLIPTYEILKSVLVSDEKVVKTLNNRFISFLPKRFSNNYSLLREFGVSESSISYLLSRSPEAMSDEVSKFAVRVEKVMKLGFDPSKLNFVEAVRVFHGLSSKSWDQKMKVYKRFGLSEDEIWSMFRKYPTFMALSEKNVIDTMDFLVCKMGWQPAAVAKFPLVLGFNSERRITPRCSVVRVLLLKGLIKADVHLSSVLIPSEKLFLERFVTKYQEHVPELLDIFHKKMGLTQLGFGFSFDDAFKISRLKNV >Manes.03G138528.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26506002:26512097:-1 gene:Manes.03G138528.v8.1 transcript:Manes.03G138528.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADSLRQGILAFLSNSSSLMYSGTDFLFKPIFLRGFSGSLHLALLLVLIVSFVWKKLWVGDSEGSKDRFNKNKRVLFYKQTLVCCFGVSVFSLVWGLLSYFYWYRNGWSGDELATLLDLALRTLSWGTLSVYLYTQFSHSINTKFSFLLRGWWGFYFSVSCYCLLVDIVLYIKLVSSQVQYLASDAVSVFAGLFLCYVGFLKVEDENTLNKEPLLNGDASNDSESTKSRASDSVTPYSNAGFFSILTFSWIGTLIALGNKKTLDLEDVPQLYSGDSVVSVAPFFINKLQSNRVTTFKLVKILFFSAWKEIVWTALLAVFYTSATYVGPYLTDAFVQCLNGRGQLKNQGYLLASAFLVGKLIECLSQQHWFFRTRQTGFKMRAVLATVIYNKGLTLSCQEKQGRTSGEIINLMTVDTEQIVEFCWRMHDLWLLIVQVALALFILYRNLGLASLAAFVATVIVMLLNCPLGRLQENFQDNLMKCKDKRMKATSEIVRNMRILKLQAWEMKFLSRIEELRKTEAGWLKKFVYTSSIVSFVFQCAPTFVGVATFGACMLMGIPLESGKILSALATFRILQQPIYNLPDTISVIVQTKVSLDRIASFIRLDDLQSDAAEKFPRGSSATAIEIVDGNFSWDLSSPNPTLNDINVKVFHGMKVAVCGTVGSGKSSLLSCILGEVPKISGTLRLCGKKVYVAQSPWIQSGKIEENILFGEEMDRQKYERILETCSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLPAADLVLVMKDGRITQAGKYNDILNSGSDFMELVGAHNTALSALDSKPAGPAFGNESLRKDDDVMESTSGVPPKEETKDLQKGKADEISEPLGQLVQEEEREKGRVGFPLYWKYLTTAYGGALVPFILLAQFLFQILLIGSNYWMTWATPVSKNVKPVVSGYTIIVVYVALAVGSYFCILARVTLLATSGFKTATLLFNKMHSCIFRAPMSFFDSTPSGRILSRASADQRAVDMGIPSQVGAVAFSMIKLLGTIAVMSQVAWQLFILFIPLIAACIWYQQYYIPCARELSRMTGVCKAPVIQHFAETISGSITIRSFDKQSRFQETNMKLLDAYSRLRFHYAGAIEWLCFRLDMLSSIVFAFSLFFLISFPRGIDPAIAGLAVIYGLNLNSLLVELIWNICNMENKIISVERILQYMSIPSEPPLVIEENQPDHSWPSHGEIDIDNLQVRYAPHMPLVLRGLTCTFPGGKKTGIVGRTGSGKSTLIQTLFRIVDPAAGQIVIDGINISLIGVHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRKKEKKLDSTVTENGENWSMGQRQMVCLGRVLLKKSKVLVLDEATASVDTATDNLIQHTIRQHFSNCTVITIAHRITSVLDSDMVLLLGHGLIEEYDNPTRLLENKTSSFAQLVAEYSARSNSSIEKFTTLNYKAL >Manes.13G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31593679:31595955:1 gene:Manes.13G110500.v8.1 transcript:Manes.13G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGNKIERIYWSVSAHEIMNSNPGNYVALVVTSPTMRTENGLPLKQLKLLRPEDTLIIGQVYRLVSFEDVLKEFALKKSVKLGKLLKDKRGFGVAAEMKRKDSGGLSLNPDPSSKSKGCSSVKVEQEVHRLGSNSGSRRSSSSSSSSSRRHCGGGGQWKPALQSISEFATLKTP >Manes.09G011877.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2768249:2771138:1 gene:Manes.09G011877.v8.1 transcript:Manes.09G011877.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPSRRKSRSFHLQLQGAIGTFQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHIDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDLGFSVFGKMLKFGLEPTTVTFNSLINGLCMERKIDKAVEFFDDMVARGYQPDVYTYNTIINGICKFGKTNVAIGLLKGMVDRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVKQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVTYSSLMDGYCLCKQIDKARKVFDLMVTNEIANIFSYNILINGYCKCKMIDDAKELFVEMSHKGLVPNAVTYSILIKGMFQAGRPQTAQELFKNMCFHGQQPNIVTFSIMIDGLCSQGNLDEALTLLKEMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVCVYNAIMKGLCREGLIDEAYKIFRDMEKGGYLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILSKLQNRSEASKGVQ >Manes.18G074100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6771706:6775710:-1 gene:Manes.18G074100.v8.1 transcript:Manes.18G074100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHVAAAIRHCGRAQMPKHGKSFHSRLIKTAYSHNVYMASNLISMYVDCYFLEDAHKVFDEIPDKNIINWTTMVSAYTSSGKPHDAIKLYIQMLDSKAEVPNGFMYSVVLKACGLVGNIELGKLIHKRISRENFDNDIVLMNTLLDMYVKCGSLDEARKVFDGICLGANSVTWNTIISGYCKEGLMKEALHLFNQMPEPNAVSWNSILAGFADNRSFGALEFVCRMHHQGLKLDDFTFPCALKSCSYDGSLSMGRQIHCYVIKSGFASSCFTMSALVDMYSHCYQLNEAIELFDQYSGGNSLISDSLVLWNSMISGCVVNGQNKTALSMLSKIYLSGAYFDSYTLSSALKVCINLLNLRLGIQVHGLVVISGYEFDYVVGSVLVYLYAKLGNVKDAFGLFHRLPKKDIVAWSGLIMGSAEMGLNSLGFSLFRDMVNLDLEVDQYVISCVLKICSSLASLGSGKQVHALCIKNGYETEGVTVTALIDMYSKCGEIEDGITLFDSVLDGDVVCWTGIVVGCGQNGRAKEAVEFYKKMVQIGLKPNEVTFLGVLTACRHAGLVEEAWNIFKSMKFNFGLEPHMEHYYCMVELLGQAGWFNEAVKLIAEMPFRPDKTIWSSLLGACGTHGNTELVSTIEENLLATSPDDPSVYVTLSNTFATLGMWDNLSKVREAAKKLGIKKAGKSWIEISS >Manes.18G074100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6772637:6775864:-1 gene:Manes.18G074100.v8.1 transcript:Manes.18G074100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHVAAAIRHCGRAQMPKHGKSFHSRLIKTAYSHNVYMASNLISMYVDCYFLEDAHKVFDEIPDKNIINWTTMVSAYTSSGKPHDAIKLYIQMLDSKAEVPNGFMYSVVLKACGLVGNIELGKLIHKRISRENFDNDIVLMNTLLDMYVKCGSLDEARKVFDGICLGANSVTWNTIISGYCKEGLMKEALHLFNQMPEPNAVSWNSILAGFADNRSFGALEFVCRMHHQGLKLDDFTFPCALKSCSYDGSLSMGRQIHCYVIKSGFASSCFTMSALVDMYSHCYQLNEAIELFDQYSGGNSLISDSLVLWNSMISGCVVNGQNKTALSMLSKIYLSGAYFDSYTLSSALKVCINLLNLRLGIQVHGLVVISGYEFDYVVGSVLVYLYAKLGNVKDAFGLFHRLPKKDIVAWSGLIMGSAEMGLNSLGFSLFRDMVNLDLEVDQYVISCVLKICSSLASLGSGKQVHALCIKNGYETEGVTVTALIDMYSKCGEIEDGITLFDSVLDGDVVCWTGIVVGCGQNGRAKEAVEFYKKMVQIGLKPNEVTFLGVLTACRHAGLVEEAWNIFKSMKFNFGLEPHMEHYYCMVELLGQAGWFNEAVKLIAEMPFRPDKTIWSSLLGACGTHGNTELVSTIEENLLATSPDDPSVYVTLSNTFATLGMWDNLSKVREAAKKLGIKKAGKSWIEISS >Manes.18G074100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6771706:6775710:-1 gene:Manes.18G074100.v8.1 transcript:Manes.18G074100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHVAAAIRHCGRAQMPKHGKSFHSRLIKTAYSHNVYMASNLISMYVDCYFLEDAHKVFDEIPDKNIINWTTMVSAYTSSGKPHDAIKLYIQMLDSKAEVPNGFMYSVVLKACGLVGNIELGKLIHKRISRENFDNDIVLMNTLLDMYVKCGSLDEARKVFDGICLGANSVTWNTIISGYCKEGLMKEALHLFNQMPEPNAVSWNSILAGFADNRSFGALEFVCRMHHQGLKLDDFTFPCALKSCSYDGSLSMGRQIHCYVIKSGFASSCFTMSALVDMYSHCYQLNEAIELFDQYSGGNSLISDSLVLWNSMISGCVVNGQNKTALSMLSKIYLSGAYFDSYTLSSALKVCINLLNLRLGIQVHGLVVISGYEFDYVVGSVLVYLYAKLGNVKDAFGLFHRLPKKDIVAWSGLIMGSAEMGLNSLGFSLFRDMVNLDLEVDQYVISCVLKICSSLASLGSGKQVHALCIKNGYETEGVTVTALIDMYSKCGEIEDGITLFDSVLDGDVVCWTGIVVGCGQNGRAKEAVEFYKKMVQIGLKPNEVTFLGVLTACRHAGLVEEAWNIFKSMKFNFGLEPHMEHYYCMVELLGQAGWFNEAVKLIAEMPFRPDKTIWSSLLGACGTHGNTELVSTIEENLLATSPDDPSVYVTLSNTFATLGMWDNLSKVREAAKKLGIKKAGKSWIEISS >Manes.18G074100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6771071:6775710:-1 gene:Manes.18G074100.v8.1 transcript:Manes.18G074100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHVAAAIRHCGRAQMPKHGKSFHSRLIKTAYSHNVYMASNLISMYVDCYFLEDAHKVFDEIPDKNIINWTTMVSAYTSSGKPHDAIKLYIQMLDSKAEVPNGFMYSVVLKACGLVGNIELGKLIHKRISRENFDNDIVLMNTLLDMYVKCGSLDEARKVFDGICLGANSVTWNTIISGYCKEGLMKEALHLFNQMPEPNAVSWNSILAGFADNRSFGALEFVCRMHHQGLKLDDFTFPCALKSCSYDGSLSMGRQIHCYVIKSGFASSCFTMSALVDMYSHCYQLNEAIELFDQYSGGNSLISDSLVLWNSMISGCVVNGQNKTALSMLSKIYLSGAYFDSYTLSSALKVCINLLNLRLGIQVHGLVVISGYEFDYVVGSVLVYLYAKLGNVKDAFGLFHRLPKKDIVAWSGLIMGSAEMGLNSLGFSLFRDMVNLDLEVDQYVISCVLKICSSLASLGSGKQVHALCIKNGYETEGVTVTALIDMYSKCGEIEDGITLFDSVLDGDVVCWTGIVVGCGQNGRAKEAVEFYKKMVQIGLKPNEVTFLGVLTACRHAGLVEEAWNIFKSMKFNFGLEPHMEHYYCMVELLGQAGWFNEAVKLIAEMPFRPDKTIWSSLLGACGTHGNTELVSTIEENLLATSPDDPSVYVTLSNTFATLGMWDNLSKVREAAKKLGIKKAGKSWIEISS >Manes.05G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1084581:1086844:-1 gene:Manes.05G008300.v8.1 transcript:Manes.05G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGSTTSSSSLESSNFPSLSTRSSLYQHSRDLSTDLRLGLSIAASHQANPSTPSESDWAPIKPVLKKALISEENECNSPTFFVKVYMEGIPIGRKLNLLAHDGYHNLIRTLDHMFNTSIIWAEMDGEHSEQYHVLTYEDKEGDWLMVGDVPWEMFLSSVRRLKITRADLYN >Manes.02G215000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35743491:35759554:-1 gene:Manes.02G215000.v8.1 transcript:Manes.02G215000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKQRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRQQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEAFESRNSVVDEILNALKDADVNLIGVYGMGGVGKTTLVRHIATLVRELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFKLGAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQHMTLKATRNRLLTVISDLKLSCLLLEDGDHERVKMHDVVHSFAASFVSKHDQVLTAANEAELEEWPDEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNQDCSLKITENLFSRMKELKVLDLTGIYLSPLPSSLQSLENLQTLCLDGCDLEDIAAIGELKQLQVLSLLESTIIRLPNEVRKLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLERFRVFIGDGWDWANDEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLRVQNSLDIQYIIDWMKMNHFTAFPKLELLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSISQRARNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMNLVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKMGNCPRLQAFVSTQANTSLFDEKVWFPNLDELHVEDMHMLKMIWCDEVLADSFGRLKELKVLNGKQLLEIFPSKLLEKFLVNLEFLTVQHCDSVKEVFDLQAIIKEREVHVARHSQLRNLDIRNLPNLIQIWNRDPHGSLSFYNLHVVIAWDCPNLKKFFPFSVGQCLPHLELLTIGDCGMEEIVAKEERAEALAIIPKFAFRGLKAMVLLGLDELNYFYSGKHTLECPQLKYLNVHLCGKLQTFNFESQEMQEMLMDKQEDELKLQIPQPLFSFREIIGNLEELVINEQDAAMIQQNQFPMDLFLKLKFLHLQSVGYSFLNLPVNLLQKFPNLETLVLTVCYFKELLQHGHGHDPVLSQIRCLELIGLPNIRHVWNQDSPFFQNLETLKIWDCHGLTNLAPSSATFQNLTTLHVTRCNGMSSLVSSSTAESMHNLATMTIEESDTIEEIVSSDKNNFQSQNEIILWKLASLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPLNFFHNLSSLEVDEVAFSSIAVPSNLLPILNDLEKLEVRNCDSVEQVFGLERPNFDGPFGNLFNLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAVVEEIITEESTDEIRFPQLNSIILESLPRLINFSSGSGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWHAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMSLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMEILNMDYLNMLWHNQLHSDSFCKIKALTVEHCEELLKIFPSMLLRGLQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVWDCPNLKAIFPATIAKNLLQLENLNVKGCGGVEEIVAQDQGTEASIEFLFPCLKFLILWELNELKCFYSRIHTLESPLLKCLIVYHCEKLNIFCPESENLPETDRESQAMIQDPQPLFSFRKVVSNLEKLTLTRKDAAMILEGQFPADLFHKLTNIGIHCFHDESAVFPFDLLERFQPMEILQVGCSQFKKLFPCDGSVDGKKYVEVLRLVRVLTLSNLPNLTDIGNQDSQLDQILQSLELLHVERCNSMVALVASSTFQNLITLNVLKCDRLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFRKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNASIQQLFPEIRILNYRCKGDAKHKSHIKG >Manes.09G132500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33365029:33368679:-1 gene:Manes.09G132500.v8.1 transcript:Manes.09G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKLGILRERTEELLRSSLSKALSSAANPKQLHNVHSLLITSGLERSAFFAGKLISKYAQFKDPISSLSVFHHFSPATNVYQWNSIIRALTHCGLFSKALELYSTMRDIQLKPDKYTFPSVINACAAAGDFQIGRVVHNHVLEIGFEFDSYIGNALVDMYARFGDLIKARNVFEEIPHKDIVSWNSLISGYSANAYWEEALEVYYQARMAGLNPDPFTISSLLPACGGLLAIKEGEMVHGLVEKLGIDADIIVSNGLLSMYFKFGRLLDARRVFNGMVVKDTVSWNTLICGYCQMELFKESIELFMEMVRRFRPDLLTITAVLRACGLLQDLEFGNFVHDYMIRSGFECDITASNIIIDMYAKCGDLLASRNVFDRMKCRDSVSWNTLINGYIQSGSYGEGVKLFKWMKMDLRPDFITYVALLSISTRSADMKLGKEIHCDLVKLAFDTDLVVCNALVDMYAKCGKIDDSLMVFENMKVRDIVTWNTVIAACVQAEDCILGLRMVTHMKNEEFMPDMATLLGILPICSAIAAKRQGKEVHAYTFKFGFLLAVPIGNALIEMYSKCGNLTYSVRVFEHMKTKDVVTWTALISAYGLHGEGKNALRTFEEMIAAGIIPDHVAFVAIIYACSHSGFVEEGLAYFDLMKKDYNIEPRLEHYACVVDLLSRSGQLSKAEEFIKSMPLKPDASIWGALLSACRASGDLKIAERISQNIFQPGSHDPGYYVLAANVYAALGRWDDVRMIRKSIKARGLKKDPGCSWIEIRKRVYAFRTEDKFFKQYEKVNNLLGILTNLMAKEGYAADLQYALHDVEEDEKRGLLYGHSERLAIVFGLLNTEPGTPLQIFKNLRVCGDCHTWTKYVSKIVKREILVRDANRFHKFQDGTCSCGDHW >Manes.03G079900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14032744:14034959:-1 gene:Manes.03G079900.v8.1 transcript:Manes.03G079900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLNYYNGVCLLLLLLLLHLSFAANNCEARKSMHLLRSQVHPTSSIIQQIKKLKVTKHFDLASLLLQKETMDPSNPNPYVSSPFSLPPYDSLPPNPLPENAPPFCIYPPNTPLPPSTTIPTPSGSTPNLPPQSPFPYLPPILPILSPPPGPIGIVPSPPETTPLPNPPEIVLSPPWSIPSPYYEPSPPSYVPSPPTFVPSPTGYVPSPPIFLPPVVYPPPTGPPPPRTGPSQALWCVAKPSVPDPIIQEAMNYACGSGADCESIQPSRSCFEPNTLFAHASYAFNSYWQRTKLAGGTCSFGGTAILVTVDPSYDGCHFVYS >Manes.03G016000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1383129:1385658:1 gene:Manes.03G016000.v8.1 transcript:Manes.03G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSLSDSVLKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAFLLIKVFKFVEPVTMSRDLYFSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKREGFKTDTMVNMLSISLGVAIAAYGEARFDTWGVVLQLGAVAFEATRLVLIQILLTSKGITLNPITSLYYVAPCCLVFLFLPWIFVEYPVLKESSSFHFDFVIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHAKLQALKAKEAQKKAQQADEEAGRLLEEREGEGTGKRSDSEN >Manes.12G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33148606:33152697:1 gene:Manes.12G125400.v8.1 transcript:Manes.12G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEGETQESPEMETQNDERKRDLIPDDPSPRCVLEIPILSPESDHSSNSNSAETLVPEGEATKEGNLSQWKNLLDTFKKKSMRRFSMAPLLANYDIITKRSLKRKLTKMQNSPDMMMIDWGGMPISKPSWRNFDYAELEAATDNFSSENLIGKGGHAKVFRGCLSDGQVVAVKKLVKNEKEEEDRIGDFLSELGIIAHINHPNAAKLLGFSIDRGLHLVLEFLPNGSLASVLHGGGDCLEWKKRFKVAVGIAEGLKYLHHDCQRRIIHRDIKASNILLGEDYDAQISDFGLAKWLPENWVHHIVFPIEGTFGYLAPEYFMHGIVDEKTDVFAYGVLLLEIITGRHAVGSSRQSLSMWAKPLLQENSVKELADPQLGDDYDPIEMKCAMLTASECINHLPSLRPHMNRVVQLLKGVEAPAELKQKSNPGRTMILDGCDIQDYTCTSYINDLNRHMQLVME >Manes.09G155401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35138976:35139653:-1 gene:Manes.09G155401.v8.1 transcript:Manes.09G155401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKLEAMRKDLLAAFVPKRRRGANKESRVIKELPLFPYFLHVCGKPAECMIENKKREQFFENVNTIVEDHQYKKKKLLKRFNFEELGLDSPPELPNEWMDKIEKQGGVDVKLVIMKQLFPTDLNPHHDRLSIPFKQIKNEFLTEDEKIKLNQQEIIAVKLMEPCGNVSEMYLRQWNLRSTSFYALTTRWKKVLERNLEFKQNDIIQLWSFRVRGELQFAFIKVPR >Manes.09G119800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32255647:32257203:-1 gene:Manes.09G119800.v8.1 transcript:Manes.09G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTKPTLIFNPLIDDNIANPQALFQENQLLLKNFSTIIDFDSAMSKIHPAEKRCSSEDDLFLKDGDGFQHERRRNPCTLTVWKRSSMTFQGTDGFTVFDTHGRLVFRVDNYSRKNRYAGGGLILMDGVGNALLTLKPQMMSMHFQWNAYRGEDVYEENPKMKVFSMRSTSVFYNTSKDVAEIFIGGLTKQGQMADFKIEGSFRTRDCKIKAPNGEVVAKMARKRVNTTILLSDDVFSLVVQPGFDAQLIMAFVIVLDRICNKPFAPLLCS >Manes.07G139500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34149753:34152562:-1 gene:Manes.07G139500.v8.1 transcript:Manes.07G139500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTLPLSPSQNCSFPPQVRVAQKYSSDHRLHKSSNFRILAKSENGKEEPKKSKQSLFSSVTEALDFSQVRSAKDAELLEEARENTSSGNRMTREQYGALRRKIGGTYKDFFKSYVEVDGQYVEEGWVDKTCKVCRKETGGEPRQVDKFGRYVHVACLEKANSGNFFTRLFS >Manes.01G175100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35629024:35638504:1 gene:Manes.01G175100.v8.1 transcript:Manes.01G175100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKHKMAEQIKREVATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADMWSCGVILFVLLAGYLPFDDPNLMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEQPTAMNAFELISMSKGLNLGNLFDAEQGFKRETRFTSKRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWKSEDDMQEMK >Manes.01G175100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35628992:35638504:1 gene:Manes.01G175100.v8.1 transcript:Manes.01G175100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKHKMAEQIKREVATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADMWSCGVILFVLLAGYLPFDDPNLMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEQPTAMNAFELISMSKGLNLGNLFDAEQGFKRETRFTSKRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWKSEDDMQEMK >Manes.01G175100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35629418:35636989:1 gene:Manes.01G175100.v8.1 transcript:Manes.01G175100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGDPVALKILDKEKVLKHKMAEQIKREVATMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADMWSCGVILFVLLAGYLPFDDPNLMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEQPTAMNAFELISMSKGLNLGNLFDAEQGFKRETRFTSKRPANEIIHKIEEAAKPLGFDVHKKNYKMRLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWKSEDDMQEMK >Manes.05G106300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10040861:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFILYSILRKQPNNYEVYVPRLLAEGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFSLRVFSFAGIIGVFVLLPVNCKGTQLHEIDFADLSNNSLDVFTISNVNSGSKWLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFILYSILRKQPNNYEVYVPRLLAEGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFSLRVFSFAGIIGVFVLLPVNCKGTQLHEIDFADLSNNSLDVFTISNVNSGSKWLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFILYSILRKQPNNYEVYVPRLLAEGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFRLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10058100:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSLLRCVYRHYLHMLSTLLFGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFILYSILRKQPNNYEVYVPRLLAEGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFSLRVFSFAGIIGVFVLLPVNCKGTQLHEIDFADLSNNSLDVFTISNVNSGSKWLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10041662:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSLLRCVYRHYLHMLSTLLFGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10040861:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSLLRCVYRHYLHMLSTLLFGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038885:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFLVIAQIHKQATVGSKFSIYHTFTAQRQMPKSFLLFCCHNIHRNPLICFVKLSLPVDSKFILPRGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFRLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057738:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFRLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038885:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLRCVYRHYLHMLSTLLFGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057738:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFSLRVFSFAGIIGVFVLLPVNCKGTQLHEIDFADLSNNSLDVFTISNVNSGSKWLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10058105:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLRCVYRHYLHMLSTLLFGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057738:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFSLRVFSFAGIIGVFVLLPVNCKGTQLHEIDFADLSNNSLDVFTISNVNSGSKWLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038027:10058353:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFILYSILRKQPNNYEVYVPRLLAEGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFSLRVFSFAGIIGVFVLLPVNCKGTQLHEIDFADLSNNSLDVFTISNVNSGSKWLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038027:10058353:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFILYSILRKQPNNYEVYVPRLLAEGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFRLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057738:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFRLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFLVIAQIHKQATVGSKFSIYHTFTAQRQMPKSFLLFCCHNIHRNPLICFVKLSLPVDSKFILPRGNSKRRSQFNLERLIPSAGWITRAWKLSEEEILSSSGLDAVVFMRVITFSLRVFSFAGIIGVFVLLPVNCKGTQLHEIDFADLSNNSLDVFTISNVNSGSKWLWIHFSAVYIVTIFICCLLYYEYKYISSKRIAYFYSSKPQPHQFTILVRGIPVSVGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.05G106300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10038886:10057731:1 gene:Manes.05G106300.v8.1 transcript:Manes.05G106300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSLLRCVYRHYLHMLSTLLFGSSVSESVESFFTEFHPTTYLSHVVVRRTSKLRNLINEAKTSYNRLLHLQSKPSQQKCRRIGLFGQKVDLVDHYGKKLEDIEQNVRLEQSDVSLAEETRAAFVSFRSRYGAAVAFHLRQSINPTQWVTEEAPEPDDVYWPFFSSSFMRRWISKLVVVVACILLTILFLIPVVVVQGLTNLSQLETWFPFLKSFLTITFFSQVITGYLPSLILLLFLKIVPPIMEFLSSIQGYISHSYIEKSACNKVLWFTIWNIFFATVFSGSVLYQVSIFLEPKDIPAKLAVAVPAQASFFIAYVLTSGWTSTASELFRIIPFICSLVTRCCKRTNELEVPSIPYHRDIPRILFFGLLGITYFFLAPLILPFLLVYLCLAYIIFRNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLPMASTLVFPLPVLTLLFNEYCRKRFLPVFVAYSAEVLIKKDREEANNPEMAEFFDKLVTAYQDPALMPIQYSVNSDSLNSPLISAEV >Manes.10G038200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3893763:3899018:1 gene:Manes.10G038200.v8.1 transcript:Manes.10G038200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISTVSNAEIDLHRGVIPETDLHRAVVKNDMIWLIYISSFTVTSHPRTQGGIIEAANLVCFCFSELAAATGHFINENVLGSGEFGVVYKGWVDEHSLKATSPEMGMPIAVKKLRDDSCQGQQEWLTEIKYLGHLCHPNLVKLMGYCIEDKNRLLVYEFMPNSSLDRHLFETGHSPVQTITWHHRIKVALNVAKALAFLHHEVDAIHRDVKPSNILLDANYNAKLSDFGLAKDGSVNSRTHVTTRVLGTEGYYAPEYMESGHLTTKCEVYSFGVVLLELLCGRPAIDINRPYKEANLVQWARPSLSPRKIFRILDARCFSPFFLSESVLKTAELISLCVSSKPMSRPTMREVVEALGKIQELNRNVISRA >Manes.10G038200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3893597:3899120:1 gene:Manes.10G038200.v8.1 transcript:Manes.10G038200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISTVSNAEIDLHRGVIPETDLHRVTSHPRTQGGIIEAANLVCFCFSELAAATGHFINENVLGSGEFGVVYKGWVDEHSLKATSPEMGMPIAVKKLRDDSCQGQQEWLTEIKYLGHLCHPNLVKLMGYCIEDKNRLLVYEFMPNSSLDRHLFERHSPVQTITWHHRIKVALNVAKALAFLHHEVDAIHRDVKPSNILLDANYNAKLSDFGLAKDGSVNSRTHVTTRVLGTEGYYAPEYMESGHLTTKCEVYSFGVVLLELLCGRPAIDINRPYKEANLVQWARPSLSPRKIFRILDARCFSPFFLSESVLKTAELISLCVSSKPMSRPTMREVVEALGKIQELNRNVISRA >Manes.10G038200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3893633:3899018:1 gene:Manes.10G038200.v8.1 transcript:Manes.10G038200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISTVSNAEIDLHRGVIPETDLHRVTSHPRTQGGIIEAANLVCFCFSELAAATGHFINENVLGSGEFGVVYKGWVDEHSLKATSPEMGMPIAVKKLRDDSCQGQQEWLTEIKYLGHLCHPNLVKLMGYCIEDKNRLLVYEFMPNSSLDRHLFERHSPVQTITWHHRIKVALNVAKALAFLHHEVDAIHRDVKPSNILLDANYNAKLSDFGLAKDGSVNSRTHVTTRVLGTEGYYAPEYMESGHLTTKCEVYSFGVVLLELLCGRPAIDINRPYKEANLVQWARPSLSPRKIFRILDARCFSPFFLSESVLKTAELISLCVSSKPMSRPTMREVVEALGKIQELNRNVISRA >Manes.10G038200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3893597:3899120:1 gene:Manes.10G038200.v8.1 transcript:Manes.10G038200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISTVSNAEIDLHRGVIPETDLHRVTSHPRTQGGIIEAANLVCFCFSELAAATGHFINENVLGSGEFGVVYKGWVDEHSLKATSPEMGMPIAVKKLRDDSCQGQQEWLTEIKYLGHLCHPNLVKLMGYCIEDKNRLLVYEFMPNSSLDRHLFETGHSPVQTITWHHRIKVALNVAKALAFLHHEVDAIHRDVKPSNILLDANYNAKLSDFGLAKDGSVNSRTHVTTRVLGTEGYYAPEYMESGHLTTKCEVYSFGVVLLELLCGRPAIDINRPYKEANLVQWARPSLSPRKIFRILDARCFSPFFLSESVLKTAELISLCVSSKPMSRPTMREVVEALGKIQELNRNVISRA >Manes.10G038200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3893633:3899018:1 gene:Manes.10G038200.v8.1 transcript:Manes.10G038200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISTVSNAEIDLHRGVIPETDLHRVTSHPRTQGGIIEAANLVCFCFSELAAATGHFINENVLGSGEFGVVYKGWVDEHSLKATSPEMGMPIAVKKLRDDSCQGQQEWLTEIKYLGHLCHPNLVKLMGYCIEDKNRLLVYEFMPNSSLDRHLFETGHSPVQTITWHHRIKVALNVAKALAFLHHEVDAIHRDVKPSNILLDANYNAKLSDFGLAKDGSVNSRTHVTTRVLGTEGYYAPEYMESGHLTTKCEVYSFGVVLLELLCGRPAIDINRPYKEANLVQWARPSLSPRKIFRILDARCFSPFFLSESVLKTAELISLCVSSKPMSRPTMREVVEALGKIQELNRNVISRA >Manes.10G038200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3893763:3899018:1 gene:Manes.10G038200.v8.1 transcript:Manes.10G038200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCISTVSNAEIDLHRGVIPETDLHRAVVKNDMIWLIYISSFTVTSHPRTQGGIIEAANLVCFCFSELAAATGHFINENVLGSGEFGVVYKGWVDEHSLKATSPEMGMPIAVKKLRDDSCQGQQEWLTEIKYLGHLCHPNLVKLMGYCIEDKNRLLVYEFMPNSSLDRHLFERHSPVQTITWHHRIKVALNVAKALAFLHHEVDAIHRDVKPSNILLDANYNAKLSDFGLAKDGSVNSRTHVTTRVLGTEGYYAPEYMESGHLTTKCEVYSFGVVLLELLCGRPAIDINRPYKEANLVQWARPSLSPRKIFRILDARCFSPFFLSESVLKTAELISLCVSSKPMSRPTMREVVEALGKIQELNRNVISRA >Manes.03G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26094059:26096451:-1 gene:Manes.03G136700.v8.1 transcript:Manes.03G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGSRLGRASSRYGPSATATVFNGPVRKWRKKWVHVSPSSTVSHHNSHSQSNGHTNNNSRLLLCRWTPLSPSPATAADTSSSEEPPKRKFRYTPIAVLEERMKTAKMVDHEAKVGSINQFTTLQTAKSDELNSDTSLKTETQDSNASNLDLGLCLKGHNGEDNNHGQSKEGQMKKASSGGFWKTG >Manes.S001308.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:3555:3677:1 gene:Manes.S001308.v8.1 transcript:Manes.S001308.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.09G071300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12169481:12172555:1 gene:Manes.09G071300.v8.1 transcript:Manes.09G071300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTENLATATSPLQDNPGKTLNDTVPPLAKPDRVEDSTITSPSAVNSDANALKKDGEDSKSSKGTSVSSRSGDTAPVSDTEKKIRRAERFGITVQLSEEEKRNSRAERFGTGVALKTSEQLKKKARAERFGLPVTADEDAKKKARLERFAPISKADTQEEEKRKARDIRFSQPSSNSLSMNGKGNIEPKAAIAGKAGGGS >Manes.09G071300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12169481:12172555:1 gene:Manes.09G071300.v8.1 transcript:Manes.09G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTENLATATSPLQDNPGKTLNDTVPPLAKPDRVEDSTITSPSAVNSDANALKKDGEDSKSSKGTSVSSRSGDTAPVSDTEKKIRRAERFGITVQLSEEEKRNSRAERFGTGVALKTSEQLKKKARAERFGLPVTADEDAKKKARLERFAPISKADTQEEEKRKARDIRFSQPSSNSLSMNGKGNIEPQKAAIAGKAGGGS >Manes.02G078000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5984101:5995087:-1 gene:Manes.02G078000.v8.1 transcript:Manes.02G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSGNTTARSFLQVASTEEVAPPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSILEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRPCVCIIYDPSRSNQGVLALKALKLSDAFMELYRSNNFTGEKLRERNLSWVDIFEEIPIKVSNSALISAFMTELEADSPITQCDYDRLQLSTNPFMERNVEFLIECMDELSMEQQKFQFYYRNLSRQQAQQQAWLQKRRSENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLDSFLITNQIANFCNQINGVSGQSFSRLYLMKALRES >Manes.02G078000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5985896:5995087:-1 gene:Manes.02G078000.v8.1 transcript:Manes.02G078000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSGNTTARSFLQVASTEEVAPPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSILEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRPCVCIIYDPSRSNQGVLALKALKLSDAFMELYRSNNFTGEKLRERNLSWVDIFEEIPIKVSNSALISAFMTELEADSPITQCDYDRLQLSTNPFMERNVEFLIECMDELSMEQQKFQFYYRNLSRQQAQQQAWLQKRRSENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLDSFLITNQIANFCNQINGLHPSEMCSRRITLIIKERLVAEGHC >Manes.11G025400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2533820:2538645:-1 gene:Manes.11G025400.v8.1 transcript:Manes.11G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSPYSIKGAMDIFSMKLFFFLFALFFGYGVFELEASHHIYHTFQNLQESAVSASSQPYRTSYHFQPPKNWMNDPNGPMIYKGLYHLFYQYNPKGAVWGNIVWGHSTSKDLVNWTPHKVAIYPSQPSDINGTWSGSATILPDGKPAILYTGINPLNQQVQNLAIPKNPSDPYLIEWVKSPNNPLMAPTPENQINASSFRDPTTAWRGVDGRWKVIVGSKINRKGLAYLYRSKDFVYWIKAKHPLHSAKNTGMWECVDFFPVSANSPVGVEMSVLGSDFKYVLKASLDDTKHDCYTIGEYDHVKDRYTPDEGSVEGDSGLRYDYGKFYASKTFFDSAKNRRLLWGWLNESSSVSDDIKKGWAGIQAIPRVVWLDKSGKQLVQWPIQEIEKLRVNPVHLPSQYLKGGSVVEVPGVTASQADVEITFKVSDFSKAEVLDPSWTNPQLLCSRKGGSVRGSLGPFGLLVLASKGMQEYTSVFFRIFKGQNKHVVLMCSDQSRSSLNPDNDKTMYGAFVDVDPVHEQLSLRSLIDHSVVESFGGHGKNCISARVYPMLAINEAAHLYAFNNGSEAVTITRLSAWSMKKAHIN >Manes.09G117900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32068408:32068764:-1 gene:Manes.09G117900.v8.1 transcript:Manes.09G117900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIAVILKRFGDEQSTLLDQFERLTFEVQLNQAILGRSLSEPSVGRSRFQVPLNGEDPPALVTQVRQGRRRRRGSGFNKVLMKLLKPIFRRKDGAKKEVLDPKNPKSWKAFSRSLRV >Manes.11G043400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4727737:4732961:1 gene:Manes.11G043400.v8.1 transcript:Manes.11G043400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGMKWADRWIKTRDPNESSSSSQNNRIHVSAFDNYQGIGPKKYSFSEAAEAADHFSIHNLLIDYGDFGEVYKGSLDGEIRAIRKLSNLPDVHSQADLERKIMAAGRLRHRNLLQLDGYCIDGANTLLIFKYFSNGSLKYNLHGKENILDWKKRRNIALDSSRGLEYLHEVVPGQSKITHLNIKSDNILLDDNFLPKLCSPTENIIGKTQVGKYSYKSDIYSFGVILLELITGRKAIDEGTDIVMWANPLIERALNREYADFVDSRLQSFDHEEMYRMIFCANICINQPPNSCPSMREIHLALQVSMPLGIALDEKKYNKLQLRATYKDDESSSYIEEENQRNNLEHYSYGELVEATEFFSNNRLVGEGASGAVYRGKLGKEVVAIKKFKIIVNEEQENLEDQFEIEVLTRIRHPNVVKLIGYCSEGSNRLLVLEYLMNKSLNSYLHGKKFLDWSSRLNIAIGSAKGILYLHKYGIIHRDIKTDNILLDNNLEPKIADFSLSKFLPNTDNISHITSVLKGTNIYVDPEYSSIQKVSAKSDIYSFGVVLLELITGRKLIDQQQNLDIITWTWREIEQAFGNGEYRAVVNSILQSYDEEKIKRMIVALVDSKLGKNYDEEQMKRMVFCAIACLNKYSKSRPRMQKIIEVLEGKIIPPQNILDGSDNKSIKYSRFRDN >Manes.11G043400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4727737:4732961:1 gene:Manes.11G043400.v8.1 transcript:Manes.11G043400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGMKWADRWIKTRDPNESSSSSQNNRIHVSAFDNYQGIGPKKYSFSEAAEAADHFSIHNLLIDYGDFGEVYKGSLDGEIRAIRKLSNLPDVHSQADLERKIMAAGRLRHRNLLQLDGYCIDGANTLLIFKYFSNGSLKYNLHGKENILDWKKRRNIALDSSRGLEYLHEVVPGQSKITHLNIKSDNILLDDNFLPKLCSPTENIIGKTQVGKYSYKSDIYSFGVILLELITGRKAIDEGTDIVMWANPLIERALNREYADFVDSRLQSFDHEEMYRMIFCANICINQPPNSCPSMREIHLALQVSMPLGIALDEKKYNKLQLRATYKDLFFCWAISCGRRVTSCARVQSKYNDRKIREYSDDESSSYIEEENQRNNLEHYSYGELVEATEFFSNNRLVGEGASGAVYRGKLGKEVVAIKKFKIIVNEEQENLEDQFEIEVLTRIRHPNVVKLIGYCSEGSNRLLVLEYLMNKSLNSYLHGKKFLDWSSRLNIAIGSAKGILYLHKYGIIHRDIKTDNILLDNNLEPKIADFSLSKFLPNTDNISHITSVLKGTNILGEKLNKLSVMENIELL >Manes.11G043400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4727737:4732961:1 gene:Manes.11G043400.v8.1 transcript:Manes.11G043400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGMKWADRWIKTRDPNESSSSSQNNRIHVSAFDNYQGIGPKKYSFSEAAEAADHFSIHNLLIDYGDFGEVYKGSLDGEIRAIRKLSNLPDVHSQADLERKIMAAGRLRHRNLLQLDGYCIDGANTLLIFKYFSNGSLKYNLHGKENILDWKKRRNIALDSSRGLEYLHEVVPGQSKITHLNIKSDNILLDDNFLPKLCSPTENIIGKTQVGKYSYKSDIYSFGVILLELITGRKAIDEGTDIVMWANPLIERALNREYADFVDSRLQSFDHEEMYRMIFCANICINQPPNSCPSMREIHLALQVSMPLGIALDEKKYNKLQLRATYKDLFFCWAISCGRRVTSCARVQSKYNDRKIREYSDDESSSYIEEENQRNNLEHYSYGELVEATEFFSNNRLVGEGASGAVYRGKLGKEVVAIKKFKIIVNEEQENLEDQFEIEVLTRIRHPNVVKLIGYCSEGSNRLLVLEYLMNKSLNSYLHGKKFLDWSSRLNIAIGSAKGILYLHKYGIIHRDIKTDNILLDNNLEPKTWREIEQAFGNGEYRAVVNSILQSYDEEKIKRMIVALVDSKLGKNYDEEQMKRMVFCAIACLNKYSKSRPRMQKIIEVLEGKIIPPQNILDGSDNKSIKYSRFRDN >Manes.11G043400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4727737:4732961:1 gene:Manes.11G043400.v8.1 transcript:Manes.11G043400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGMKWADRWIKTRDPNESSSSSQNNRIHVSAFDNYQGIGPKKYSFSEAAEAADHFSIHNLLIDYGDFGEVYKGSLDGEIRAIRKLSNLPDVHSQADLERKIMAAGRLRHRNLLQLDGYCIDGANTLLIFKYFSNGSLKYNLHGKENILDWKKRRNIALDSSRGLEYLHEVVPGQSKITHLNIKSDNILLDDNFLPKLCSPTENIIGKTQVGKYSYKSDIYSFGVILLELITGRKAIDEGTDIVMWANPLIERALNREYADFVDSRLQSFDHEEMYRMIFCANICINQPPNSCPSMREIHLALQVSMPLGIALDEKKYNKLQLRATYKDLFFCWAISCGRRVTSCARVQSKYNDRKIREYSDDESSSYIEEENQRNNLEHYSYGELVEATEFFSNNRLVGEGASGAVYRGKLGKEVVAIKKFKIIVNEEQENLEDQFEIEVLTRIRHPNVVKLIGYCSEGSNRLLVLEYLMNKSLNSYLHGKKFLDWSSRLNIAIGSAKGILYLHKYGIIHRDIKTDNILLDNNLEPKIADFSLSKFLPNTDNISHITSVLKGTNIYVDPEYSSIQKVSAKSDIYSFGVVLLELITGRKLIDQQQNLDIITWTWREIEQAFGNGEYRAVVNSILQSYDEEKIKRMIVALVDSKLGKNYDEEQMKRMVFCAIACLNKYSKSRPRMQKIIEVLEGKIIPPQNILDGSDNKSIKYSRFRDN >Manes.11G043400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4727736:4732961:1 gene:Manes.11G043400.v8.1 transcript:Manes.11G043400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWGMKWADRWIKTRDPNESSSSSQNNRIHVSAFDNYQGIGPKKYSFSEAAEAADHFSIHNLLIDYGDFGEVYKGSLDGEIRAIRKLSNLPDVHSQADLERKIMAAGRLRHRNLLQLDGYCIDGANTLLIFKYFSNGSLKYNLHGKENILDWKKRRNIALDSSRGLEYLHEVVPGQSKITHLNIKSDNILLDDNFLPKLCSPTENIIGKTQVGKYSYKSDIYSFGVILLELITGRKAIDEGTDIVMWANPLIERALNREYADFVDSRLQSFDHEEMYRMIFCANICINQPPNSCPSMREIHLALQVSMPLGIALDEKKYNKLQLRATYKDDESSSYIEEENQRNNLEHYSYGELVEATEFFSNNRLVGEGASGAVYRGKLGKEVVAIKKFKIIVNEEQENLEDQFEIEVLTRIRHPNVVKLIGYCSEGSNRLLVLEYLMNKSLNSYLHGKKFLDWSSRLNIAIGSAKGILYLHKYGIIHRDIKTDNILLDNNLEPKTWREIEQAFGNGEYRAVVNSILQSYDEEKIKRMIVALVDSKLGKNYDEEQMKRMVFCAIACLNKYSKSRPRMQKIIEVLEGKIIPPQNILDGSDNKSIKYSRFRDN >Manes.06G036700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:10921803:10923729:1 gene:Manes.06G036700.v8.1 transcript:Manes.06G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRDGQSTLLHGRYELGRMLGHGTFAKVYLARNLQSGKSVAMKVVGKEKVIKVGMMEQIKREIAVMKMVKHPYIVDLHEVMASKSTIYFAMELVRGGELFSRISKGRLREDVARVYFQQLISAVDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSAFSEHLKQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADLWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFKCPPWFSPEARRLITKLLDPNPSTRIAISKVMDSSWFKKSMPKTIRSKEEMEFEAFNCEEESKNGGKSKQPETLNAFHIISLSEGFDLSPLFEEKKREEKEELRFATTRPASSVISRLEEVAKAGKFSVKKSETKVRMQGQESGRKGKLAIAAEIFAVTPSFLVVEVKKDNGDTLEYKQFCSKELRPALKDIVWTSPADNSTIA >Manes.13G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1597134:1599692:-1 gene:Manes.13G012800.v8.1 transcript:Manes.13G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPENSTKSCKARGSDLRVHFKNTRETAHALRKLPLAKAKRYLEDVMAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATSKSKKSQAI >Manes.12G047900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4286102:4292041:-1 gene:Manes.12G047900.v8.1 transcript:Manes.12G047900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQQAPVPPPDVVGNAFVHQYYLILHQSPELVHRFYQDDSKLGRTEEGGIMSTTTTMQAINEKILSLGCGDFRAEITTVDSQESYNGGVLVLVTGYLTGSDNNRQKFTQSFFLAPQDNGYFVLNDVFRFVDDAKHQNGNLEVISSVEAPPTPNQEFSSVNENHISEQTTAFSEEANVEEVCDPSEDGDGAIEEEEAPVPEIVDEVPDDSHMVVDSQTVAEPNAKIEEVPKKSYASILKVMKENAAPFSSPAPSPVRSAPKSQEQVTAAVPPALATETHVFTSNTTENGNVQENEAEGPSIYVKGLPLDATPVLLEDEFKKFGPIRSGGIQVRCQKGFCFGFVEFEVASAVQSALQASPIMISGCRVVVEEKRSTSRGNNRGRFSSGAGAGYRNEGPRGGGYSNRGGDGYRRNDKMRNNGDRANRAGGLSLNAAAKTTAPRVSATA >Manes.12G047900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4286102:4292041:-1 gene:Manes.12G047900.v8.1 transcript:Manes.12G047900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQQAPVPPPDVVGNAFVHQYYLILHQSPELVHRFYQDDSKLGRTEEGGIMSTTTTMQAINEKILSLGCGDFRAEITTVDSQESYNGGVLVLVTGYLTGSDNNRQKFTQSFFLAPQDNGYFVLNDVFRFVDDAKHQNGNLEVISSVEAPPTPNQEFSSVNENHISEQTTAFSEEANVEEVCDPSEDGDGAIEEEEAPVPEIVDEVPDDSHMVVDSQTVAEPNAKIEEVPKKSYASILKVMKENAAPFSSPAPSPVRSAPKSQEQVTAAVPPALATETHVFTSNTTENGNVQENEAEGPSIYVKGLPLDATPVLLEDEFKKFGPIRSGGIQVRCQKGFCFGFVEFEVASAVQSALQASPIMISGCRVVVEEKRSTSRGNNRGRFSSGAGAGYRNEGPRGRGNFGGGRAYGRSDFTNRTEFGNRNGNRGGYSNRGGDGYRRNDKMRNNGDRANRAGGLSLNAAAKTTAPRVSATA >Manes.12G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4286102:4292041:-1 gene:Manes.12G047900.v8.1 transcript:Manes.12G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQQAPVPPPDVVGNAFVHQYYLILHQSPELVHRFYQDDSKLGRTEEGGIMSTTTTMQAINEKILSLGCGDFRAEITTVDSQESYNGGVLVLVTGYLTGSDNNRQKFTQSFFLAPQDNGYFVLNDVFRFVDDAKHQNGNLEVISSVEAPPTPNQEFSSVNENHISEQTTAFSEEANVEEVCDPSEDGDGAIEEEEAPVPEIVDEVPDDSHMVVDSQTVAEPNAKIEEVPKKSYASILKVMKENAAPFSSPAPSPVRSAPKSQEQVTAAVPPALATETHVFTSNTTENGNVQENEAEGPSIYVKGLPLDATPVLLEDEFKKFGPIRSGGIQVRCQKGFCFGFVEFEVASAVQSALQASPIMISGCRVVVEEKRSTSRGNNRGRFSSGAGAGYRNEGPRGRGNFGGGRAYGRSDFTNRTEFGNRNGNRGGYSNRGGDGYRRNDKMRNNGDRANRAGGLSLNAAAKTTAPRVSATA >Manes.13G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32602407:32608830:-1 gene:Manes.13G119600.v8.1 transcript:Manes.13G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALQQSYMNRRSTSFRGSAPLDSSSEGAIKSPAAIFWLLLHGVCCLISLVLGFRFSRLVFLFLFSTSTSNLYGPPFRQLTSTVDLANPLNVPSNPVVNTELPALNKTLSSRVVVGRHGIRIRPWPHPNPAEVMRAHQIIERVQREQRNQFGVKSPRTVIAVTPTYVRTFQMLHLTGVMHSLMLVPYDVVWIVVEAGGVSNETASIIAKSGVKTIHVGLNQRMPNSWERRHKLEAKMRLHALRIVREQKLDGIVMFADDSNMHSMDLFDEIQNVKWFGAVSIGILAHSGGADESSSATVEDVEKRSSMPIQGPACNASNKLAGWHTFNSLPYEGKAAIYIDDRATVLPQKLEWAGFVVNSRLLWKESEDKPDWMKDLDSVDEDIESPLSLLTDTSMVEPLGSCGRQVLLWWLRVEARSDSKFPPGWIIDPPLEITVPSKRTPWPDVPPELPATEKAVISIPEHTVKHAKTRSSRSKRRSKRKHEAKVVDTQVSARHSEQN >Manes.13G141000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35453499:35458843:-1 gene:Manes.13G141000.v8.1 transcript:Manes.13G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANKYSSVNFNHVYDKNLTNNGTPNTANNTTKQPSSSSSSALYSAISSPNTYKNHLSSSRSHGRMLVLTRPSPKPVSSVATQPTVSPSPQIPSTQQPQVPNSDQARSEPETDQISLRPLGRTGAAPCVSSPVLVPEREKEVAPLVGSPKPDKFVPPHLRPGFVGREERPGPEVFRGKEAIQRPHPPQQQDYFVSAGEYGRPKSGGYERMKRGGESDLGLMNRPRSSGNRPNSSG >Manes.14G050101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4324136:4324979:-1 gene:Manes.14G050101.v8.1 transcript:Manes.14G050101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPILVGFLLFILLSPGLIFQLPGSTKHVEFGSFKTNGKAVLVHTIIFFVVFTILILAVGIRIYTG >Manes.01G010000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3197192:3208115:1 gene:Manes.01G010000.v8.1 transcript:Manes.01G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSEVEPASETLDQSSSSSPSSSSDPSLSRNESFSKLNAQAPEFVPTRPQQQRPPPPPPSPSAAMMHIYPPPPPSPFHVPIHSPVPVPHVIPIQNHHVHHHHPHLQHQYQHHNQQYPPVRNHSNHHHGQNSHYVPLQYHGNQNHFASKKGQSEEDMEVAAKKDIASSDHASKHERAGLSDETVQKLLNQVEYYFSDLNLVTTDHLMRFIHKDPDGYVPISVVASFKKVKAAINSNSQLASILRNSSKLVVSEDGKKVRRQHPLTELDVEELQSRIVVAENLPEDHCHQNLMKIFSAVGSVKTIRTCPPQTSGGGASSASRSTKADGMHFSNKLHAFVEYESVELAEKAVIELNDEENWSGLKVRLMLKRTSKPTQARGKKGHDGQEEDEACTSEKQLNEKQNEDSSQQYDAHSHEPTGEDHVNDKDGTHRKGRNRGRGKGRGRAQYHHNNRGNPVGTPPSTNPVNGEQPGIAKQPPGPRMPDGTRGFAMGRGKPVAVNIA >Manes.03G173600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29792163:29793694:1 gene:Manes.03G173600.v8.1 transcript:Manes.03G173600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAWFMDESSEDQRLPHHRNPQEFVSLDHLAELGVLYWHLNPEDYENDEELKMIRDARGYNYMDLLDLCPEKVANYEEKLKNFYTEHIHADEEIRYCLQGSGYFDVRDKEDRWIRIWIKAGDLIVLPAGIYHRFTLDTSNYIKLMRLFVGEPVWTPFNRPQEDHPARKDYVKSLNEKVGVAVEAH >Manes.03G173600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29792163:29793694:1 gene:Manes.03G173600.v8.1 transcript:Manes.03G173600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSEDQRLPHHRNPQEFVSLDHLAELGVLYWHLNPEDYENDEELKMIRDARGYNYMDLLDLCPEKVANYEEKLKNFYTEHIHADEEIRYCLQGSGYFDVRDKEDRWIRIWIKAGDLIVLPAGIYHRFTLDTSNYIKLMRLFVGEPVWTPFNRPQEDHPARKDYVKSLNEKVGVAVEAH >Manes.15G066200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5037386:5042693:-1 gene:Manes.15G066200.v8.1 transcript:Manes.15G066200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDGHNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLEIEQECLDVYKKKVEQAAKSRSQLMEALSDAKIELASLLSALGEKSFVGMPEKTSGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEISGNLNLNEAPAVDETDLSLKKLDKYHAQLQELQKEKSDRLQKVLELVSSVHDLCAVLGVDFFSTVTEVHPSLNDATGAQSKSISNDTLASLAKAVLVLKEDKKQRLHKLQELATQLIDLWNLMDTSEEERELFDHVTCNMSASVDEVTVPGALAMDLIEQAEVEVERLDQLKASRMKEIAFKKQSELEEIYVLAHIEIDPEGAREKIMALIDSGNVEPAELLANLDNQISVAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGMQFAYDGVPLLAMLDEYAMLRQEREEEKRRMRDQKKFNEQQNTEQEAIFGSRPSPARPGGTKKVVGPRANGGANGTPNRRLSLNAHQNGSRSSTKEGRRDSINRAAAPVNYVAISKEDAASHISGTDPVPASP >Manes.15G066200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5037386:5042693:-1 gene:Manes.15G066200.v8.1 transcript:Manes.15G066200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDGHNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLEIEQECLDVYKKKVEQAAKSRSQLMEALSDAKIELASLLSALGEKSFVGMPEKTSGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEISGNLNLNEAPAVDETDLSLKKLDKYHAQLQELQKEKSDRLQKVLELVSSVHDLCAVLGVDFFSTVTEVHPSLNDATGAQSKSISNDTLASLAKAVLVLKEDKKQRLHKLQELATQLIDLWNLMDTSEEERELFDHVTCNMSASVDEVTVPGALAMDLIEQAEVEVERLDQLKASRMKEIAFKKQSELEEIYVLAHIEIDPEGAREKIMALIDSGNVEPAELLANLDNQISVAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGMQFAYDGVPLLAMLDEYAMLRQEREEEKRRMRDQKKFNEQQNTEQEAIFGSRPSPARPGGTKKVVGPRANGGANGTPNRRLSLNAHQNGSRSSTKEGRRDSINRAAAPVNYVAISKEDAASHISGTDPVPASP >Manes.15G066200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5037386:5042693:-1 gene:Manes.15G066200.v8.1 transcript:Manes.15G066200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDGHNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLEIEQECLDVYKKKVEQAAKSRSQLMEALSDAKIELASLLSALGEKSFVGMPEKTSGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEISGNLNLNEAPAVDETDLSLKKLDKYHAQLQELQKEKSDRLQKVLELVSSVHDLCAVLGVDFFSTVTEVHPSLNDATGAQSKSISNDTLASLAKAVLVLKEDKKQRLHKLQELATQLIDLWNLMDTSEEERELFDHVTCNMSASVDEVTVPGALAMDLIEQAEVEVERLDQLKASRMKEIAFKKQSELEEIYVLAHIEIDPEGAREKIMALIDSGNVEPAELLANLDNQISVAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGMQFAYDGVPLLAMLDEYAMLRQEREEEKRRMRDQKKFNEQQNTEQEAIFGSRPSPARPGGTKKVVGPRANGGANGTPNRRLSLNAHQNGSRSSTKEGRRDSINRAAAPVNYVAISKEDAASHISGTDPVPASP >Manes.15G066200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5037386:5042693:-1 gene:Manes.15G066200.v8.1 transcript:Manes.15G066200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDGHNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLEIEQECLDVYKKKVEQAAKSRSQLMEALSDAKIELASLLSALGEKSFVGMPEKTSGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEISGNLNLNEAPAVDETDLSLKKLDKYHAQLQELQKEKSDRLQKVLELVSSVHDLCAVLGVDFFSTVTEVHPSLNDATGAQSKSISNDTLASLAKAVLVLKEDKKQRLHKLQELATQLIDLWNLMDTSEEERELFDHVTCNMSASVDEVTVPGALAMDLIEQAEVEVERLDQLKASRMKEIAFKKQSELEEIYVLAHIEIDPEGAREKIMALIDSGNVEPAELLANLDNQISVAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGMQFAYDGVPLLAMLDEYAMLRQEREEEKRRMRVSFN >Manes.15G066200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5037386:5042693:-1 gene:Manes.15G066200.v8.1 transcript:Manes.15G066200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDGHNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLEIEQECLDVYKKKVEQAAKSRSQLMEALSDAKIELASLLSALGEKSFVGMPEKTSGTIKEQLAAIAPALEQLWKQKEERVKEFSDVQSQIQKICGEISGNLNLNEAPAVDETDLSLKKLDKYHAQLQELQKEKSDRLQKVLELVSSVHDLCAVLGVDFFSTVTEVHPSLNDATGAQSKSISNDTLASLAKAVLVLKEDKKQRLHKLQELATQLIDLWNLMDTSEEERELFDHVTCNMSASVDEVTVPGALAMDLIEQAEVEVERLDQLKASRMKEIAFKKQSELEEIYVLAHIEIDPEGAREKIMALIDSGNVEPAELLANLDNQISVAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPGMVDTLVAKTRAWEEDRGMQFAYDGVPLLAMLDEYAMLRQEREEEKRRMRVSFN >Manes.12G112900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31178514:31180954:-1 gene:Manes.12G112900.v8.1 transcript:Manes.12G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNNSISCSSSQMLSDPTCTDPYCFFCTMDEPDLSLRRAKMVQCFKEMTLRDDQEHVLVLSRLWNIAMTQPDDPEFPSLGIFECMGKLIDRGIKDKAWLLRGQNIYIPYYAAHIIGSYTMYKAEFAENAVISGVVLPLMELLRGKITWVEQRVAVRALGHLASHERTFEVIAEREEEIMELAMDLASNCLKTIYTKFIRVKDSKRLKYHCDLLTRGLGEREIENTRAEEWARQLQCWSLYLLNCFACKGRSLSLVCKKHFLKDLCSMWGGLGNRTSPGGIGLIRSLCNTKIGRESIANLEPVILSLCTTSSSSDYWQYMAIDSLLLLLKDRHTRYSVIDIAAPFLADLVELRSLNERTEIGEAITQTLLQDYNKIKYGGLSLKSQSAEEALREIWELKVERRKREELISDQELKERKDLARILKQEGNKKFWAGYFEQAVTKYTKALDLCPLKMRKERTVLYSNRAQCHLLLRKSEAAIRDTTRALSLSSSERPHRKSLWRRSQAYDMKGMAKESLMDCLMFVNGRIKSEQTKNVKIPYYAAHMINKQMNCTWIFAGVKSKSKLEEKANKSNRDDAGDQRGVLGMRTILE >Manes.12G112900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31178514:31180954:-1 gene:Manes.12G112900.v8.1 transcript:Manes.12G112900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNNSISCSSSQMLSDPTCTDPYCFFCTMDEPDLSLRRAKMVQCFKEMTLRDDQEHVLVLSRLWNIAMTQPDDPEFPSLGIFECMGKLIDRGIKDKAWLLRGQNIYIPYYAAHIIGSYTMYKAEFAENAVISGVVLPLMELLRGKITWVEQRVAVRALGHLASHERTFEVIAEREEEIMELAMDLASNCLKTIYTKFIRVKDSKRLKYHCDLLTRGLGEREIENTRAEEWARQLQCWSLYLLNCFACKGRSLSLVCKKHFLKDLCSMWGGLGNRTSPGGIGLIRSLCNTKIGRESIANLEPVILSLCTTSSSSDYWQYMAIDSLLLLLKDRHTRYSVIDIAAPFLADLVELRSLNERTEIGEAITQTLLQDYNKIKYGGLSLKSQSAEEALREIWELKVERRKREELISDQELKERKDLARILKQEGNKKFWAGYFEQAVTKYTKALDLCPLKMRKERTVLYSNRAQCHLLLRKSEAAIRDTTRALSLSSSERPHRKSLWRRSQAYDMKGMAKESLMDCLMFVNGRIKSEQTKNVKIPYYAAHMINKQMNCTWIFAGVKSKSKLEEKANKSNRDDAGDQRGMRTILE >Manes.10G077303.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17148456:17157991:1 gene:Manes.10G077303.v8.1 transcript:Manes.10G077303.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTTMTFLLLLLLLSPLSSTASMGAYPIIPGTSDTCVFASLFDDLKPVRREVYGDGRIIDITHRYTTDMPSWGSENGLGQFLWLPSSMKNGSLANNSQMKLPTHTGTHVDAPGHVYDQYFDAGFDVDTLDLEVLNGPGLLVDVPRNSNITAEVMKSLNIPRGVRRVLFRTLNTDRKLMFKNQFDTSYVGFTKDGAQWLVENTDIKLVGIDYLSVAAWTDLIPSHLVFLESREIILVEALKLDNVQPGIYSIHCLPLRLLGAEGSPIRCILIK >Manes.06G145600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27226870:27232171:1 gene:Manes.06G145600.v8.1 transcript:Manes.06G145600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEARMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHARCIRLVVADFVPSAPFEAMHAQLEDNAGDASNMNDKFYQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDIHANVSAVTFHYGTTVDLIGAGSTPLHYAACGGNLKCCQILLSRGASRITLNCNGWLPVDVARMWGRHWLEPLLASNSDFTIPRFPHSNYLSLPLLSILNIARELGMQCSTTSTDDSDICAVCLERACNVAAEGCGHELCVRCALHLCSTSNIPSEMVGPPGSIPCPLCRHGIVSFVQLPGSPAKEMKLPLSLGLCTPCMLHYRDAEGQSPACVPEIRKNRVTSVSSDFVCPVTCSPFPSVAIPLCTCNDGPCPSFEPRTQETQGKSPSRSQTSVDQDKIEGPRLEKTSCSGMMFWGRRSCSREHQCNSEINA >Manes.06G145600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27227116:27232130:1 gene:Manes.06G145600.v8.1 transcript:Manes.06G145600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEARMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHARCIRLVVADFVPSAPFEAMHAQLEDNAGDASNMNDKFYQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDIHANVSAVTFHYGTTVDLIGAGSTPLHYAACGGNLKCCQILLSRGASRITLNCNGWLPVDVARMWGRHWLEPLLASNSDFTIPRFPHSNYLSLPLLSILNIARELGMQCSTTSTDDSDICAVCLERACNVAAEGCGHELCVRCALHLCSTSNIPSEMVGPPGSIPCPLCRHGIVSFVQLPGSPAKEMKLPLSLGLCTPCMLHYRDAEGQSPACVPEIRKNRVTSVSSDFVCPVTCSPFPSVAIPLCTCNDGPCPSFEPRTQETQGKSPSRSQTSVDQDKIEGPRLEKTSCSGMMFWGRRSCSREHQCNSEINA >Manes.06G145600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27227212:27231991:1 gene:Manes.06G145600.v8.1 transcript:Manes.06G145600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEARMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHARCIRLVVADFVPSAPFEAMHAQLEDNAGDASNMNDKFYQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDIHANVSAVTFHYGTTVDLIGAGSTPLHYAACGGNLKCCQILLSRGASRITLNCNGWLPVDVARMWGRHWLEPLLASNSDFTIPRFPHSNYLSLPLLSILNIARELGMQCSTTSTDDSDICAVCLERACNVAAEGCGHELCVRCALHLCSTSNIPSEMVGPPGSIPCPLCRHGIVSFVQLPGSPAKEMKLPLSLGLCTPCMLHYRDAEGQSPACVPEIRKNRVTSVSSDFVCPVTCSPFPSVAIPLCTCNDGPCPSFEPRTQETQGKSPSRSQTSVDQDKIEGPRLEKTSCSGMMFWGRRSCSREHQCNSEINA >Manes.06G145600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27226877:27232167:1 gene:Manes.06G145600.v8.1 transcript:Manes.06G145600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDLVEARMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHARCIRLVVADFVPSAPFEAMHAQLEDNAGDASNMNDKFYQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDIHANVSAVTFHYGTTVDLIGAGSTPLHYAACGGNLKCCQILLSRGASRITLNCNGWLPVDVARMWGRHWLEPLLASNSDFTIPRFPHSNYLSLPLLSILNIARELGMQCSTTSTDDSDICAVCLERACNVAAEGCGHELCVRCALHLCSTSNIPSEMVGPPGSIPCPLCRHGIVSFVQLPGSPAKEMKLPLSLGLCTPCMLHYRDAEGQSPACVPEIRKNRVTSVSSDFVCPVTCSPFPSVAIPLCTCNDGPCPSFEPRTQETQGKSPSRSQTSVDQDKIEGPRLEKTSCSGMMFWGRRSCSREHQCNSEINA >Manes.01G082400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28493712:28504955:1 gene:Manes.01G082400.v8.1 transcript:Manes.01G082400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQLFFGLSQVLRKFPKDTDRILCHFCFKPKKVTNEVLGTDNARRSRVLISSIILLKTALEALPLLSKVLKDAKSFLLANIYKTVCENEKYASIRKRIGEVIDEDVLHARVPFVAQTQQCFAVKAGIDGLLDIARRTFCDTSEAIHNLANKYREEFKLPNLKLPFNNRQGFYFSIPLKDIQGKLPNKFIQVLKHGNNVHCSTLELASLNVRNKSAAEECYIRTEVCLEALLDAIREDVSLLVLLAEVLCLLDMLVNSFAHTISTKLVDRYTRPEFTNSGPLAIDAGRHPVLESIRNDFVPNNLFISEASNMVIVMGPNMSGKSTYLQQVCLIVILAQIGCYVPARFSTIRVVDRIFTRMGSMDNLESNSSTFMTEMKETAFVMQNVSQRSLIIMDELGRATSSSDGFAIAWSCCENLLSLKAYTIFATHMENLSELATIYPNVKLLHLDVAIKNNRLDFKFQLKDGPRHIPHYGLLLAEVAGLPSSVIETARSITAKIKEKEIKQMELNCHQYHQLQILYRVAQRLICLKYSSQDEDSIRQALQNLKENYMNGML >Manes.01G082400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28493712:28504955:1 gene:Manes.01G082400.v8.1 transcript:Manes.01G082400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQLFFGLSQVLRKFPKDTDRILCHFCFKPKKVTNEVLGTDNARRSRVLISSIILLKTALEALPLLSKVLKDAKSFLLANIYKTVCENEKYASIRKRIGEVIDEDVLHARVPFVAQTQQCFAVKAGIDGLLDIARRTFCDTSEAIHNLANKYREEFKLPNLKLPFNNRQGFYFSIPLKDIQGKLPNKFIQVLKHGNNVHCSTLELASLNVRNKSAAEECYIRTEVCLEDAIREDVSLLVLLAEVLCLLDMLVNSFAHTISTKLVDRYTRPEFTNSGPLAIDAGRHPVLESIRNDFVPNNLFISEASNMVIVMGPNMSGKSTYLQQVCLIVILAQIGCYVPARFSTIRVVDRIFTRMGSMDNLESNSSTFMTEMKETAFVMQNVSQRSLIIMDELGRATSSSDGFAIAWSCCENLLSLKAYTIFATHMENLSELATIYPNVKLLHLDVAIKNNRLDFKFQLKDGPRHIPHYGLLLAEVAGLPSSVIETARSITAKIKEKEIKQMELNCHQYHQLQILYRVAQRLICLKYSSQDEDSIRQALQNLKENYMNGML >Manes.01G082400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28493555:28504955:1 gene:Manes.01G082400.v8.1 transcript:Manes.01G082400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEQLFFGLSQVLRKFPKDTDRILCHFCFKPKKVTNEVLGTDNARRSRVLISSIILLKTALEALPLLSKVLKDAKSFLLANIYKTVCENEKYASIRKRIGEVIDEDVLHARVPFVAQTQQCFAVKAGIDGLLDIARRTFCDTSEAIHNLANKYREEFKLPNLKLPFNNRQGFYFSIPLKDIQGKLPNKFIQVLKHGNNVHCSTLELASLNVRNKSAAEECYIRTEVCLEALLDAIREDVSLLVLLAEVLCLLDMLVNSFAHTISTKLVDRYTRPEFTNSGPLAIDAGRHPVLESIRNDFVPNNLFISEASNMVIVMGPNMSGKSTYLQQVCLIVILAQIGCYVPARFSTIRVVDRIFTRMGSMDNLESNSSTFMTEMKETAFVMQNVSQRSLIIMDELGRATSSSDGFAIAWSCCIPYLLLIWRIYQS >Manes.01G082400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28489761:28499547:1 gene:Manes.01G082400.v8.1 transcript:Manes.01G082400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGERSSIVIGLIENRAKEVGMAAFDLRSASLHLSQYIETSSSYQNTKTLLQFYDPMVIIVPPNKLAPDGMVGVSELVDRFYALVKKVVMARGCFDDTKGAVLIKNIAAKKPSALGLDTYYKQYYLCLAAAAATIKWTEAEKGVIVTNHSLLVTFNGSFDHMNIDATSVQNLEIIETLHSSLWGTTNKKRSLFHMLKTTKTIGGTRLLRANLLQPLKDVETINTRLDCLDELMSNEQLFFGLSQVLRKFPKDTDRILCHFCFKPKKVTNEVLGTDNARRSRVLISSIILLKTALEALPLLSKVLKDAKSFLLANIYKTVCENEKYASIRKSVLLSRLESMDY >Manes.07G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5076379:5078787:1 gene:Manes.07G043500.v8.1 transcript:Manes.07G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIACLLPLFLVPIVNILPLLFYYLMAKVYRLFGWEYRKPERAPPACPYKPLPKKDGSGKLGAEGEPGVQTPVSGSVEVSDSKLD >Manes.12G074200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8172817:8177047:-1 gene:Manes.12G074200.v8.1 transcript:Manes.12G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRSVESKEWYMAAYATEGVPSSDHLKMRSVTLSVGVDDIPDGHVAVEIIWISVDPYLRTKMCGRKDGLDMPPFQLNQAISSVGIGKVISSRDKNYEEGDNVLSFAIPVAEFCIIPSGMITSKIEPPKGITLPHYLSCFGVAGFAAWVGIEMIGEAKAGANVFISAAAGGVGMVAGQLAKLKGCRVIGSAGSDEKIKLLKEEFGYDDAFNYKKEKDFDAALSKYFPEGIDLYLDNVGGKMLEAVLNHVNHHARIPLCGMISQYNKDWHERDGIRNLLNLVGKEVRMEGFLLGSHLNRFVDFVKEMEGYLSQGKINFKHNIFNGIESFLEGFASMFSTSDNIGKPIIQLK >Manes.02G081900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6410568:6420377:1 gene:Manes.02G081900.v8.1 transcript:Manes.02G081900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYGLQQGWDNNSALEGYGGVHEPNYRVGGSYDERRFHDERYTRDNVYARNAFHRDVLERESYPPPPAVGLWPQSRRRSYEEDYPLDRESRRHEKAYVDSYHAMDTFRDRDIDSYTELDKFRDGYRNVENYRDHGFDRGARFGARDRDDHAYDDYDYRPRNSSQNREDSRERDYEYGRHSYDSDYERGNKREGNWRRRDSRDRERDKRGLSRERDQSPHKRHERSHSRGRDDRPRSRSPRGRSHGRSQREDSYEDGQHERTERRRDREEKRHRGNYTVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVDAACAMMDRIGDDGFVVDGRKLFFEYSSKPTGGAGGQFGQESAVKSGHNNHRSIMVPSDWMCTICGCVNFARRTSCFQCNEPRTEDAPAADIALSNPASLGRKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGASGHSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDDKSASAPEQNGGEATVQKDGSAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNAGIWYSYDHHTQQYIPCTDQNDNKTSDKQSEHSKPSDTNNRKVIISAPAATITATEKAASLHDAVQAAATAALAAEKKEKEKSKEIKLASKSSILASKKKMNNVLTMWKQRSNEGQATRVTVDDNQPSGSADERSFSVGQSMKSKFKTDTITAKESAVSTSGIITSTAVAQAGLESPVKPRPVSNSSGGALMGVIRGSGRGVMKSDASYSGSSTIVSTSTAVGGTSINADASAIATPFRTDASALGSYAPPASTGSGKRRFSEMPLSSASAQKEQSQTTYRDRAAERRSLYGSSSSMGDLPGYGFGDSRLGEGWKWNDRTSPSTSHRTSSRTWESTEEIGS >Manes.02G081900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6410568:6420377:1 gene:Manes.02G081900.v8.1 transcript:Manes.02G081900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDFIFLFHPILSYIGRVGGSYDERRFHDERYTRDNVYARNAFHRDVLERESYPPPPAVGLWPQSRRRSYEEDYPLDRESRRHEKAYVDSYHAMDTFRDRDIDSYTELDKFRDGYRNVENYRDHGFDRGARFGARDRDDHAYDDYDYRPRNSSQNREDSRERDYEYGRHSYDSDYERGNKREGNWRRRDSRDRERDKRGLSRERDQSPHKRHERSHSRGRDDRPRSRSPRGRSHGRSQREDSYEDGQHERTERRRDREEKRHRGNYTVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVDAACAMMDRIGDDGFVVDGRKLFFEYSSKPTGGAGGQFGQESAVKSGHNNHRSIMVPSDWMCTICGCVNFARRTSCFQCNEPRTEDAPAADIALSNPASLGRKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGASGHSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDDKSASAPEQNGGEATVQKDGSAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNAGIWYSYDHHTQQYIPCTDQNDNKTSDKQSEHSKPSDTNNRKVIISAPAATITATEKAASLHDAVQAAATAALAAEKKEKEKSKEIKLASKSSILASKKKMNNVLTMWKQRSNEGQATRVTVDDNQPSGSADERSFSVGQSMKSKFKTDTITAKESAVSTSGIITSTAVAQAGLESPVKPRPVSNSSGGALMGVIRGSGRGVMKSDASYSGSSTIVSTSTAVGGTSINADASAIATPFRTDASALGSYAPPASTGSGKRRFSEMPLSSASAQKEQSQTTYRDRAAERRSLYGSSSSMGDLPGYGFGDSSKFI >Manes.02G081900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6410568:6420377:1 gene:Manes.02G081900.v8.1 transcript:Manes.02G081900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDFIFLFHPILSYIGRVGGSYDERRFHDERYTRDNVYARNAFHRDVLERESYPPPPAVGLWPQSRRRSYEEDYPLDRESRRHEKAYVDSYHAMDTFRDRDIDSYTELDKFRDGYRNVENYRDHGFDRGARFGARDRDDHAYDDYDYRPRNSSQNREDSRERDYEYGRHSYDSDYERGNKREGNWRRRDSRDRERDKRGLSRERDQSPHKRHERSHSRGRDDRPRSRSPRGRSHGRSQREDSYEDGQHERTERRRDREEKRHRGNYTVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVDAACAMMDRIGDDGFVVDGRKLFFEYSSKPTGGAGGQFGQESAVKSGHNNHRSIMVPSDWMCTICGCVNFARRTSCFQCNEPRTEDAPAADIALSNPASLGRKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGASGHSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDDKSASAPEQNGGEATVQKDGSAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNAGIWYSYDHHTQQYIPCTDQNDNKTSDKQSEHSKPSDTNNRKVIISAPAATITATEKAASLHDAVQAAATAALAAEKKEKEKSKEIKLASKSSILASKKKMNNVLTMWKQRSNEGQATRVTVDDNQPSGSADERSFSVGQSMKSKFKTDTITAKESAVSTSGIITSTAVAQAGLESPVKPRPVSNSSGGALMGVIRGSGRGVMKSDASYSGSSTIVSTSTAVGGTSINADASAIATPFRTDASALGSYAPPASTGSGKRRFSEMPLSSASAQKEQSQTTYRDRAAERRSLYGSSSSMGDLPGYGFGDSNRDLPFKKGSSDSMPFPPGVGGGRGVGDANINAQSYEVITADKAIDESNVGNRMLRNMGWQEGLGLGKDGSGMIEPVQAQATEHRAGLGSQQKKLDPSLEVQAGDSYKTLIHKKALARFREMSDTS >Manes.02G081900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6410568:6420377:1 gene:Manes.02G081900.v8.1 transcript:Manes.02G081900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYGLQQGWDNNSALEGYGGVHEPNYRVGGSYDERRFHDERYTRDNVYARNAFHRDVLERESYPPPPAVGLWPQSRRRSYEEDYPLDRESRRHEKAYVDSYHAMDTFRDRDIDSYTELDKFRDGYRNVENYRDHGFDRGARFGARDRDDHAYDDYDYRPRNSSQNREDSRERDYEYGRHSYDSDYERGNKREGNWRRRDSRDRERDKRGLSRERDQSPHKRHERSHSRGRDDRPRSRSPRGRSHGRSQREDSYEDGQHERTERRRDREEKRHRGNYTVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVDAACAMMDRIGDDGFVVDGRKLFFEYSKPTGGAGGQFGQESAVKSGHNNHRSIMVPSDWMCTICGCVNFARRTSCFQCNEPRTEDAPAADIALSNPASLGRKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGASGHSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDDKSASAPEQNGGEATVQKDGSAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNAGIWYSYDHHTQQYIPCTDQNDNKTSDKQSEHSKPSDTNNRKVIISAPAATITATEKAASLHDAVQAAATAALAAEKKEKEKSKEIKLASKSSILASKKKMNNVLTMWKQRSNEGQATRVTVDDNQPSGSADERSFSVGQSMKSKFKTDTITAKESAVSTSGIITSTAVAQAGLESPVKPRPVSNSSGGALMGVIRGSGRGVMKSDASYSGSSTIVSTSTAVGGTSINADASAIATPFRTDASALGSYAPPASTGSGKRRFSEMPLSSASAQKEQSQTTYRDRAAERRSLYGSSSSMGDLPGYGFGDSRLGEGWKWNDRTSPSTSHRTSSRTWESTEEIGS >Manes.02G081900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6410568:6420377:1 gene:Manes.02G081900.v8.1 transcript:Manes.02G081900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYGLQQGWDNNSALEGYGGVHEPNYRVGGSYDERRFHDERYTRDNVYARNAFHRDVLERESYPPPPAVGLWPQSRRRSYEEDYPLDRESRRHEKAYVDSYHAMDTFRDRDIDSYTELDKFRDGYRNVENYRDHGFDRGARFGARDRDDHAYDDYDYRPRNSSQNREDSRERDYEYGRHSYDSDYERGNKREGNWRRRDSRDRERDKRGLSRERDQSPHKRHERSHSRGRDDRPRSRSPRGRSHGRSQREDSYEDGQHERTERRRDREEKRHRGNYTVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVDAACAMMDRIGDDGFVVDGRKLFFEYSKPTGGAGGQFGQESAVKSGHNNHRSIMVPSDWMCTICGCVNFARRTSCFQCNEPRTEDAPAADIALSNPASLGRKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGASGHSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDDKSASAPEQNGGEATVQKDGSAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNAGIWYSYDHHTQQYIPCTDQNDNKTSDKQSEHSKPSDTNNRKVIISAPAATITATEKAASLHDAVQAAATAALAAEKKEKEKSKEIKLASKSSILASKKKMNNVLTMWKQRSNEGQATRVTVDDNQPSGSADERSFSVGQSMKSKFKTDTITAKESAVSTSGIITSTAVAQAGLESPVKPRPVSNSSGGALMGVIRGSGRGVMKSDASYSGSSTIVSTSTAVGGTSINADASAIATPFRTDASALGSYAPPASTGSGKRRFSEMPLSSASAQKEQSQTTYRDRAAERRSLYGSSSSMGDLPGYGFGDSNRDLPFKKGSSDSMPFPPGVGGGRGVGDANINAQSYEVITADKAIDESNVGNRMLRNMGWQEGLGLGKDGSGMIEPVQAQATEHRAGLGSQQKKLDPSLEVQAGDSYKTLIHKKALARFREMSDTS >Manes.02G081900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6410568:6420377:1 gene:Manes.02G081900.v8.1 transcript:Manes.02G081900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYGLQQGWDNNSALEGYGGVHEPNYRVGGSYDERRFHDERYTRDNVYARNAFHRDVLERESYPPPPAVGLWPQSRRRSYEEDYPLDRESRRHEKAYVDSYHAMDTFRDRDIDSYTELDKFRDGYRNVENYRDHGFDRGARFGARDRDDHAYDDYDYRPRNSSQNREDSRERDYEYGRHSYDSDYERGNKREGNWRRRDSRDRERDKRGLSRERDQSPHKRHERSHSRGRDDRPRSRSPRGRSHGRSQREDSYEDGQHERTERRRDREEKRHRGNYTVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVDAACAMMDRIGDDGFVVDGRKLFFEYSSKPTGGAGGQFGQESAVKSGHNNHRSIMVPSDWMCTICGCVNFARRTSCFQCNEPRTEDAPAADIALSNPASLGRKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGASGHSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDDKSASAPEQNGGEATVQKDGSAPQSGFVWDEASGYYYDAASGFYYDGNTGLYYDGNAGIWYSYDHHTQQYIPCTDQNDNKTSDKQSEHSKPSDTNNRKVIISAPAATITATEKAASLHDAVQAAATAALAAEKKEKEKSKEIKLASKSSILASKKKMNNVLTMWKQRSNEGQATRVTVDDNQPSGSADERSFSVGQSMKSKFKTDTITAKESAVSTSGIITSTAVAQAGLESPVKPRPVSNSSGGALMGVIRGSGRGVMKSDASYSGSSTIVSTSTAVGGTSINADASAIATPFRTDASALGSYAPPASTGSGKRRFSEMPLSSASAQKEQSQTTYRDRAAERRSLYGSSSSMGDLPGYGFGDSNRDLPFKKGSSDSMPFPPGVGGGRGVGDANINAQSYEVITADKAIDESNVGNRMLRNMGWQEGLGLGKDGSGMIEPVQAQATEHRAGLGSQQKKLDPSLEVQAGDSYKTLIHKKALARFREMSDTS >Manes.05G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5934419:5936509:1 gene:Manes.05G071800.v8.1 transcript:Manes.05G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSLPLVVDELLLFLLILDNMNSLISSSLCNYYILKVPLTHTRLESRYYFGNTQLPTKNFKISANANEVDTQATVEESKKDLKLEEEAKEARKVSAPALDKDLKKAVQKTAATFAPRASTATKNPAVPGTALYTVFEVQGYVSMLVGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLIPLLNVIIPFFWKSFAFVWSADTIAFFGMYAWKFGWLQRKE >Manes.17G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30843306:30847804:-1 gene:Manes.17G101300.v8.1 transcript:Manes.17G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCSQHHPSFHPNLRLCHRHNHRMNFISSTGLHTSSCYTITISSSLHHQHHQPPQSQATTSTSSPTNEPILRTHNSKSSIPLLHHLKQRSQLQNAIQEEKLQDAISPEEKVKLLEMTLVTKKRIPQFPGSIFPQLPTETNSPLQTLFQKGSEESEYNNGREDEMIMKALEIRRKVTAEIFKEAMRRKGKFGITYSTNLVNRLSDFIDFIMIEAAKLKRLPEFESSSFNVRAKTAIEDLNVVPLIRWLKHNGLPYPKIAKLICLSRGNLESITRLAEWLKSIHVKGEFIGVVLTKAGDNILEHSIKELDETVEYLESNGVRRDWMGYIMTRCPQLLSYSMEEVRTRVQFYLDLGMNEKDFGTMVFDYPRVLGYFTLEEMNQKVNYLKEFGLSTEDVGKLLAFKPQLMACSIEERWKPLVKYLYYLGISRDGMRRMLTIKPIVFCIDLEETIVPKVRFFKDIGVRDDAIGKMLVKFPPLLTYSLYKKIRPVVIFLMTKAGVSERNIGKVIALGPELLGCSIAHKLDTSVKYLLSLGIRHNQLGEMIADFPMLLRYNIDLLRPKYRYLRRTMVRPLQDLIEFPRFFSYSLDERIIPRHKVLLENRINFKLRYMLATSDEEFQNLVENAVERRRRFESGVMNVTLSKSLVADNSSEERKAFEHGEMVDVQTNSQVTDDPSDPSDEEEISYFSDT >Manes.09G022810.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4623358:4628805:-1 gene:Manes.09G022810.v8.1 transcript:Manes.09G022810.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHTYQEICFAWGLKAELKKLEDILLTVKAVLMDAEEKQVNDNQLRLWLAKLKDALYDAEDVLDEFECEDQRRRVLQLYGTTTKKVGHFFSCSNSIAFRFKMSAKVKQIRERLDEIASQKSKFHLTERYESRHVMPRERALTHSFVPASEVIGRDDDKEHIIRLLQDSSDSKQISVIPIVGLAGLGKTSLAKFVYNDERVRNHFQLQIWVCVSEEFDIKILTEKIIKSTEDRMRHVEKLKKLEMEQLQRILRETIGDKKYLLILDDVWNDDPMKWNQLKELLCMGASGSKILVTTRSNKVASIMGTIPKAYELSGLPEDECVALFTKCAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNSDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPVHLKKCFAYCSFYPKDYEFLDLTLIQFWMAHGLIGSANQDEEPEAIGLRYFQELGSRSFFQDFTGSDSLGISCKMHDLVHDLALSLTKNEFLAITSRTRHISHNVRHLLFPNSTSLPQDLSTLLQGLDHVRTAIFQSDKKSPSSQSDLDSYLLRFQYLRMLDLADSKLEISLDWIGALKHLRYLHLHGNSRIKKLPNSICKLYNLQTLRICEGIEELPSDIRYLINLRYLVVSTKQKCLPMNGIGCLTSLRFLGIANCEILEHLFEDMQGLKHLRTLVIYDCESLISLPQSMKYLTALENLAIVNCENLNLTLEENGKDDKHFAQFNLQKLMLKELPKLVDFPEWLLQGSSNALRFLKLENCEYIKELPVCIQNTASLQQLEIKDCDELSKRCERGKGEDWSKIAHIPKIVINGSDIDSSDD >Manes.03G154500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28217693:28222018:-1 gene:Manes.03G154500.v8.1 transcript:Manes.03G154500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDPAACFIMDDLLDFASDIGERDDDEHSNKPTKAFPPLNPSPNGLAVAPLPFDVFDHPDPSPEFAEEELEWLSNKDAFPAVETFVDIISENPGGLPKQRSPVSVLENSTTSSTSNSGNSGTNGSITMDYCWSLQVPVKARSKHHRSRRRDLQGQQCWWSLENLRKVKPAVTSSTMGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFRSELHSNSHRKVMEMRKQKLIMGSMVVKAMEKG >Manes.03G154500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28217690:28222047:-1 gene:Manes.03G154500.v8.1 transcript:Manes.03G154500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEEELEWLSNKDAFPAVETFVDIISENPGGLPKQRSPVSVLENSTTSSTSNSGNSGTNGSITMDYCWSLQVPVKARSKHHRSRRRDLQGQQCWWSLENLRKVKPAVTSSTMGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFRSELHSNSHRKVMEMRKQKLIMGSMVVKAMEKG >Manes.03G154500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28217693:28222047:-1 gene:Manes.03G154500.v8.1 transcript:Manes.03G154500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEEELEWLSNKDAFPAVETFVDIISENPGGLPKQRSPVSVLENSTTSSTSNSGNSGTNGSITMDYCWSLQVPVKARSKHHRSRRRDLQGQQCWWSLENLRKVKPAVTSSTMGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFRSELHSNSHRKVMEMRKQKLIMGSMVVKAMEKG >Manes.03G154500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28217690:28222018:-1 gene:Manes.03G154500.v8.1 transcript:Manes.03G154500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDPAACFIMDDLLDFASDIGERDDDEHSNKPTKAFPPLNPSPNGLAVAPLPFDVFDHPDPSPEFAEEELEWLSNKDAFPAVETFVDIISENPGGLPKQRSPVSVLENSTTSSTSNSGNSGTNGSITMDYCWSLQVPVKARSKHHRSRRRDLQGQQCWWSLENLRKVKPAVTSSTMGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFRSELHSNSHRKVMEMRKQKLIMGSMVVKAMEKG >Manes.03G132901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25897782:25901294:-1 gene:Manes.03G132901.v8.1 transcript:Manes.03G132901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNDRSHCCGDGYRSISNGNRMITEMDFFADGNCPKQETKLAAIVKTEAIYDGVGQQDEEDVNTGLNLLTGSSTSSDHKSMVEDGASQNKAFYNKRKKELELLHSKINHMNAENQRLKGILHQVNNNYYILQMHLFTLMQQHQNQNTVTVKNEVKHGAVEDRHDEGSITARQIMDLRKAEMGEDRSQSEERSRDCPISPNIFESMEYNKSPMISCSSITGVVPVIDHLKKSADGGIRDHESPEEAFQGWVPNKIPKFDTSRDVDDEGKAEPLSIIRKARVSVRSRSESSTISDGCQWRKYGQKLAKGSPCPRSYYRCTMASGCPVRKQVQRCAEDQAVLITTYEGHHNHPLPPAAMAVASTTSAAASMLLSGSMPSPDELMNTELLAKTLACPPGFATLSASAPFPTVTLDITRPPVPNPSQILQGHFMSASHVSAQALYNKAEISDLLNPHQGMELPQMIPPLANRTVSAVTAAITSDPNFTAALVAAVSSIIGNVQAKYDQLN >Manes.03G132901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25897782:25901294:-1 gene:Manes.03G132901.v8.1 transcript:Manes.03G132901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNDRSHCCGDGYRSISNGNRMITEMDFFADGNCPKQETKLAAIVKTEAIYDGVGQQDEEDVNTGLNLLTGSSTSSDHKSMVEDGASQNKAFYNKRKKELELLHSKINHMNAENQRLKGILHQVNNNYYILQMHLFTLMQQHQNQNTVKHGAVEDRHDEGSITARQIMDLRKAEMGEDRSQSEERSRDCPISPNIFESMEYNKSPMISCSSITGVVPVIDHLKKSADGGIRDHESPEEAFQGWVPNKIPKFDTSRDVDDEGKAEPLSIIRKARVSVRSRSESSTISDGCQWRKYGQKLAKGSPCPRSYYRCTMASGCPVRKQVQRCAEDQAVLITTYEGHHNHPLPPAAMAVASTTSAAASMLLSGSMPSPDELMNTELLAKTLACPPGFATLSASAPFPTVTLDITRPPVPNPSQILQGHFMSASHVSAQALYNKAEISDLLNPHQGMELPQMIPPLANRTVSAVTAAITSDPNFTAALVAAVSSIIGNVQAKYDQLN >Manes.13G082000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16979777:16982921:1 gene:Manes.13G082000.v8.1 transcript:Manes.13G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDIDDFRSILESSGVDVWTFIDTAILVASSDFGDELKQRRDKIVEMLYASSSSGRCRNCDFDMDGISNRHELKENRHEVKGGDGGGSGSPSTTPRSIHGDDDEEEDDELDPYAGLFDDEQKKILEIKQHLEDPDQSEDSLVDLLQSLADMDITFKALKETDIGRHVNRLRKHSSNDVRRLVKQLVRKWKEIVDEWVRLNPQGDQASSALMADGDSPQRKIPQNVHHQVPDFAYSPNPHNGSSGSDKNNSEPERKPKPVPRKEAPPRPTQQSVPISASNNFQRQKEQQERARDFDSERLASARKRLQENYKEALNAKKQRTIQVMDIHEIPKPKNAFFSKNKGGGSQGRQW >Manes.07G107166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29257487:29259038:1 gene:Manes.07G107166.v8.1 transcript:Manes.07G107166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLGAAFLVLLLVDLCFAIRSPEAIFGRAGGGGGGGGEGGGGGGGGGLDGGHGYGSGYGSGYGSGGGEGYGDAGGYGRGGGGGGGGGSGGGGGGGSAGYGRGYGSGFGSGSGSGDGSGYGGGKGGGGGGGGGRGGGGGGGLGNGSGSGYGSGYGSGSGYGSGGGRGGGSGGGGGGGGGGGGGGGGGNGSGYGSGYGSGYGSGYGGGEEDGGYP >Manes.06G167450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29308899:29310390:-1 gene:Manes.06G167450.v8.1 transcript:Manes.06G167450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFKILCCLQLLKKIQLPYSVLYHVFSRLLLQKCCAHLYSQVFCLILYSWIHICFPLFQNILKSQRRQTVWPRYHEYWYVVILHQHWLLVFYVDSIFNGRIK >Manes.04G102900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30750336:30751995:-1 gene:Manes.04G102900.v8.1 transcript:Manes.04G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSIQYSQEYNITPFHYPNHQPTNEEKPSMCNDKPAAGGFFGSSPAVPQDVWFPSNPTPPPPRSYHIPSNPLRTNNYKSAPVPLTEDQVRQIFMKFDLNGDNVLSREEIRQAFNYLGAMFPAQKARQGIKFADANGDGVVDMSEMEDLVKYAYNLGYVVR >Manes.03G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2788618:2795156:1 gene:Manes.03G033400.v8.1 transcript:Manes.03G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNILKNSMLMFLLLLFGSWVFSSVTASVSYDHKAITINGQRRILISGSIHYPRSTPEMWPDLIQTAKDGGLDVIETYVFWNGHEPSPGNYYFEDRYNLVKFIKLIQQSGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGIEFRTDNGPFKAAMEKFTEKIVSMMKSEKLFETQGGPIILSQIENEYGPVEWEIGAPGKAYTKWAAEMAVGLGTGVPWVMCKQEDAPDPIINTCNGFYCENFKPNKDYKPKMWTENWTGWYTEFGGAVPHRPAEDLAFSVARFIQNGGSFVNYYMYHGGTNFGRTSGGLFIATSYDYDAPIDEYGLPRNPKWGHLRDLHKAIKLCEPALVSVDPTVTSLGSNQEAHVFKSKSSCAAFLANYDTKYSVKVTFGNGQYDLPPWSISILPDCKTAVFNTARVGAQSTRMKMTPVGGAFSWQSYIEEAGSAYADDTTTLDGLWEQINITRDATDYLWYMTDVKIDPDEGFLKSGEDPLLTIFSAGHSLQVFINGELSGTVYGTLNNPKLTFSQNVKLTAGINKIYLLSAAVGLPNVGLHFEKWNAGVLGPVTLKGLNEGTRDLSEWKWSYKIGLEGEALNLHTVTGSSSVEWTEGTQLAKTRPLTWYKTTFDAPEGNDPLALDMSTMGKGQIWINGRSIGRHWPGYIARGSCGDCNYAGTFDDKKCRSNCGEPSQRWYHIPRSWLNPSENLLVVFEEWGGDPSGISLVKRSARSVCADIFEGQPALKNWQMIALGKIDHPQAKAHLSCPQGQKIYHIKFASYGLPQGTCGSFQQGSCHAHRSYDAFEKTCVGKQSCSVTVTSEVFGGDPCPDSGKKLSVEAVCS >Manes.16G060551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18892850:18915658:-1 gene:Manes.16G060551.v8.1 transcript:Manes.16G060551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSRTEIDDDSDNNRGSMWVLDQKLDQPMDEEAGRLRNMYREKKFSLLLLLRFAFQSLGVVYGDLGTSPLYVFYNTFPRGIQDPEDVVGALSLIIYSLTLIPLLKYVFIVCRANDNGQGGTLALYSLLCRHAKVKTIPNQHRTDEELTTYSRSTFHENSFAAKTKRWLETHHSRKSALLILVLVGTCMVIGDGILTPAISVLSAAGGIRVDHPNISNDVVVVVAVIILVGLFSMQHYGTDRVGWLFAPVVLLWFLLIGGIGIFNIRKYDSSVLKAFSPVYIYRYLRKGGKDRWTSLGGILLSITGTEALFADLAHFPVSAIQLAFTIVVFPCLLLAYSGQAAYLMQNSDHVMDAFYRSIPDSIYWPVFIVATAAAIVASQATISATFSIIKQALAHGCFPRVKVVHTSKKFLGQIYVPDINWILMVLCICVTAGFNNQSQIGNAYGTAVVIVMLVTTLLMALIMILVWRCHWILVLLFTGLSLVVECMYFSAVLFKVDQGGWVPLVIAAAFLVIMYVWHYGTLKRYEFEMHSKVSMAWIVGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEEFEKKLFDNLFLFVRLESMMEGCSDSDEYSIYGQRTEQSRDALLNDNNGNTTSSAVDSIISSVDSIVPIKSPVNPNFTIRSSGQTSSQMEIDELEFLNNCRDAGVVHILGNTVVRARRESRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >Manes.15G025600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1979018:1982682:-1 gene:Manes.15G025600.v8.1 transcript:Manes.15G025600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLESLSEATSGAIGALVSTTILYPLDTCKTKYQAELRARHRQKYKNISDVFWEAISSGQIISLYQGLGTKNLQSFISQFVYFYGYSFFKRLYLEKSGNKRIGTKANLLVAASAAACTVIVTQPLDTASSRMQTSAFGKSKGLWKTLSEGTWSEAFDGLGISLLLTSNPSIQYTVFDQLKQRLLKQQLSKRSITDSSPEALSALSAFVLGAVSKCVATCITYPAIRCKVMLQAADSEENGNGEAKPKSKKTISGAIYAIWRKEGLLGFFKGLPAQNLKTVLSSALLLMIKEKIAKTTWVLILALRRYLFITPARIRSV >Manes.08G156500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39329051:39330946:1 gene:Manes.08G156500.v8.1 transcript:Manes.08G156500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKDKHENDSTDKGLLSHLAGFAAGHYAHGSHPHGYPPQPYTQQGYPPAGYPPPGGCPPAGYPPPGGYPPAGYPPPGGYPPASYPGPSAPHHSGHGSHGTGMGALLAGGAAAAAAAYGAHHLSHGHGHGHGHHGYGSGHGKFKHGKYKHGKHGKSGKHKGKFVKRWK >Manes.08G011300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1330647:1332781:-1 gene:Manes.08G011300.v8.1 transcript:Manes.08G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDTKLKDYIEKHGTGGNWISLPQRAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDRIICSLYANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGMMIHPSQAKLPHQLPASFSSLLHQVSSSLSSPSPSTAISSSSAPSYTPARSFAEPVPFSSNNNSFTTAASIFSPQDSSFLAAIQNYQMKDSCSSSDGSCNNHISHDKDLEYEYGGGGASATEQMGLQNYFYYGVEESQKLLDGGGENPIIDYGLEEIKQLISSSSSCSNNFLFEENKTSEGRIMYY >Manes.14G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1690548:1691543:1 gene:Manes.14G006100.v8.1 transcript:Manes.14G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSCRSQCCFILAMLILAGMSISRKFSAAQSCDTDVVSLVLQCEKFVEKSGPKVSPSPGCCAAVKSVDVSCVCALLTKEIQDMISMDKVVFVARSCGKKVSPGTICGSYTVPKA >Manes.04G110700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31562365:31564960:1 gene:Manes.04G110700.v8.1 transcript:Manes.04G110700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIFNQNLRISQLGKSGRIEDAIKIFSRMSQKNTVTYNSMITAYAKNGRVTDARTIFDKMPRKNLVSWNTMISGYLHNNKVDEAYDLFVKMPERDLFSWTLIITCYTRNGKLEKAKQLLDSLPCNYKKEAACWNAMIAGYAKEGRYDEAKSLFDEMPNKDLVSWNSMLKGHIQNGEMRLALQFFDEMLERDVVSWNLMIDGFVEQGDLDFAWEYFKKIPEPNVVSWVTMLSGFAKNGKILEARKLFDQMPTRNVVSWNAMISAYVQSCQIDEASNLFEEMPERDPVSWTIMINGHVRVGKLDEARKILEKMPYKNVAAQTAMISGYVQYNKMDEARQIFNEIDTRDVVSWNTMIAGYTQCRRMDEAVHLSRKMVMKDTVSWNIMITAYAQMGRMDRALEIFEEMGEKNLVSWNSLISGFTLNGLYLDALKWFMLMVHDGNKPDQSAFACGLSSCAIIAALQVGRQLHHLVVKSGFPNDLFICNALITMYCKCGRIPEAELLFKGIDHADIVSWNSLIGGYALNGCGKEALQLFQEMALEGVVPDQVTFISILSACSHAGFTDQGLELFKGMTEVYGMELLAEHYACVVDLLGRVGRLDEAFEIIKGMKTKANAGVWGALLSACRTHRNLELGKLAAQKLLEFEPDKTSNYVLLSNMHAEAGRWSEVQEVRSSMKDISAGKQPGCSWIEFRNQVYTFLSYDTSWPGAAQICGTLQTLTAQMRNIVCLYENSIQEF >Manes.07G082975.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26506152:26507858:-1 gene:Manes.07G082975.v8.1 transcript:Manes.07G082975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNGTTRIKLPSGAKKIVPSGCRAMVGQVAGGGRTEKPLLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKGA >Manes.14G169300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27632144:27637771:1 gene:Manes.14G169300.v8.1 transcript:Manes.14G169300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTLSKALNFGSLAARSLNSYISFPLKCSIRTVRMESNDNNGIVKVNDGGPRGAFVVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQFQEIVLDHVSACKKGRPLSHLW >Manes.17G110500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31708315:31713744:1 gene:Manes.17G110500.v8.1 transcript:Manes.17G110500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGEKINFPGGGTHFHYGAYKYIIALARMLKFRNDKLHNGGNVRNVLDVGCGVASFGAYLLAHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTRRLPFPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAHDPQNRRIGNAMHDLLKRMCWRVVVRKDQTVIWAKPTSNSCFLKRQPGTLPPLCSTDDDPDATWNVQMKACISPYSSQMHMVRGSGLVPWPKRLTEAPPRLEEIGVSAEEFHEDTRIWQFRVSEYWNQMKSVVRRSYFRNVMDMNSNLGGFGAALKDTDVWVMNVSPVNQSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWQIFSKIEEYGCGLVDLLIEMDRILRPDGFVIIRDKPSIVNYIHKYVTALRWDRWISEVEPRKDALSSGEEQVLIVRKKLWSGEDSTM >Manes.17G110500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31708426:31713528:1 gene:Manes.17G110500.v8.1 transcript:Manes.17G110500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGEKINFPGGGTHFHYGAYKYIIALARMLKFRNDKLHNGGNVRNVLDVGCGVASFGAYLLAHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTRRLPFPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAHDPQNRRIGNAMHDLLKRMCWRVVVRKDQTVIWAKPTSNSCFLKRQPGTLPPLCSTDDDPDATWNVQMKACISPYSSQMHMVRGSGLVPWPKRLTEAPPRLEEIGVSAEEFHEDTRIWQFRVSEYWNQMKSVVRRSYFRNVMDMNSNLGGFGAALKDTDVWVMNVSPVNQSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWQIFSKIEEYGCGLVDLLIEMDRILRPDGFVIIRDKPSIVNYIHKYVTALRWDRWISEVEPRKDALSSGEEQVLIVRKKLWSGEDSTM >Manes.17G110500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31708460:31713528:1 gene:Manes.17G110500.v8.1 transcript:Manes.17G110500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSEQFRISKIITYVLIALIVLLGLICFYYGSSVVPALSRSDRHSFSHDGTDPVVGGSSGTRDLDDLLLEHVPRSIPICDMKYSELIPCLDRNLIYQLKLKPNLTLMEHYERHCPPPDRRFNCLIPPPIGYKIPIRWPESRDEVWKVNIPHTQLAQEKSDQNWMVVRGEKINFPGGGTHFHYGAYKYIIALARMLKFRNDKLHNGGNVRNVLDVGCGVASFGAYLLAHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTRRLPFPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAHDPQNRRIGNAMHDLLKRMCWRVVVRKDQTVIWAKPTSNSCFLKRQPGTLPPLCSTDDDPDATWNVQMKACISPYSSQMHMVRGSGLVPWPKRLTEAPPRLEEIGVSAEEFHEDTRIWQFRVSEYWNQMKSVVRRSYFRNVMDMNSNLGGFGAALKDTDVWVMNVSPVNQSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWQIFSKIEEYGCGLVDLLIEMDRILRPDGFVIIRDKPSIVNYIHKYVTALRWDRWISEVEPRKDALSSGEEQVLIVRKKLWSGEDSTM >Manes.17G110500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31708375:31713528:1 gene:Manes.17G110500.v8.1 transcript:Manes.17G110500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSELIPCLDRNLIYQLKLKPNLTLMEHYERHCPPPDRRFNCLIPPPIGYKIPIRWPESRDEVWKVNIPHTQLAQEKSDQNWMVVRGEKINFPGGGTHFHYGAYKYIIALARMLKFRNDKLHNGGNVRNVLDVGCGVASFGAYLLAHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTRRLPFPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAHDPQNRRIGNAMHDLLKRMCWRVVVRKDQTVIWAKPTSNSCFLKRQPGTLPPLCSTDDDPDATWNVQMKACISPYSSQMHMVRGSGLVPWPKRLTEAPPRLEEIGVSAEEFHEDTRIWQFRVSEYWNQMKSVVRRSYFRNVMDMNSNLGGFGAALKDTDVWVMNVSPVNQSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWQIFSKIEEYGCGLVDLLIEMDRILRPDGFVIIRDKPSIVNYIHKYVTALRWDRWISEVEPRKDALSSGEEQVLIVRKKLWSGEDSTM >Manes.17G110500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31708428:31713528:1 gene:Manes.17G110500.v8.1 transcript:Manes.17G110500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGEKINFPGGGTHFHYGAYKYIIALARMLKFRNDKLHNGGNVRNVLDVGCGVASFGAYLLAHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTRRLPFPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAHDPQNRRIGNAMHDLLKRMCWRVVVRKDQTVIWAKPTSNSCFLKRQPGTLPPLCSTDDDPDATWNVQMKACISPYSSQMHMVRGSGLVPWPKRLTEAPPRLEEIGVSAEEFHEDTRIWQFRVSEYWNQMKSVVRRSYFRNVMDMNSNLGGFGAALKDTDVWVMNVSPVNQSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWQIFSKIEEYGCGLVDLLIEMDRILRPDGFVIIRDKPSIVNYIHKYVTALRWDRWISEVEPRKDALSSGEEQVLIVRKKLWSGEDSTM >Manes.17G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31708315:31713744:1 gene:Manes.17G110500.v8.1 transcript:Manes.17G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSEQFRISKIITYVLIALIVLLGLICFYYGSSVVPALSRSDRHSFSHDGTDPVVGGSSGTRDLDDLLLEHVPRSIPICDMKYSELIPCLDRNLIYQLKLKPNLTLMEHYERHCPPPDRRFNCLIPPPIGYKIPIRWPESRDEVWKVNIPHTQLAQEKSDQNWMVVRGEKINFPGGGTHFHYGAYKYIIALARMLKFRNDKLHNGGNVRNVLDVGCGVASFGAYLLAHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTRRLPFPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAHDPQNRRIGNAMHDLLKRMCWRVVVRKDQTVIWAKPTSNSCFLKRQPGTLPPLCSTDDDPDATWNVQMKACISPYSSQMHMVRGSGLVPWPKRLTEAPPRLEEIGVSAEEFHEDTRIWQFRVSEYWNQMKSVVRRSYFRNVMDMNSNLGGFGAALKDTDVWVMNVSPVNQSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWQIFSKIEEYGCGLVDLLIEMDRILRPDGFVIIRDKPSIVNYIHKYVTALRWDRWISEVEPRKDALSSGEEQVLIVRKKLWSGEDSTM >Manes.17G110500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31708375:31713528:1 gene:Manes.17G110500.v8.1 transcript:Manes.17G110500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGEKINFPGGGTHFHYGAYKYIIALARMLKFRNDKLHNGGNVRNVLDVGCGVASFGAYLLAHNIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTRRLPFPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAHDPQNRRIGNAMHDLLKRMCWRVVVRKDQTVIWAKPTSNSCFLKRQPGTLPPLCSTDDDPDATWNVQMKACISPYSSQMHMVRGSGLVPWPKRLTEAPPRLEEIGVSAEEFHEDTRIWQFRVSEYWNQMKSVVRRSYFRNVMDMNSNLGGFGAALKDTDVWVMNVSPVNQSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWQIFSKIEEYGCGLVDLLIEMDRILRPDGFVIIRDKPSIVNYIHKYVTALRWDRWISEVEPRKDALSSGEEQVLIVRKKLWSGEDSTM >Manes.15G039201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3073605:3075276:1 gene:Manes.15G039201.v8.1 transcript:Manes.15G039201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAFNIDDLYSRFKPQLLIVLAQIGYAFLYFITEASFEHGMNSSSMLPFAYYLEKKQRPKLTIPLFVEIFVLSFLGVGLTLNMYFASLRYTSPTFLASMVNTIASLTFIIAVASRLEDLDLGNPLFLAGAMTMTLYKGPIMHNLWHPLIDIHRKAGNSHESWLKGSILDCCKLHIMGVVSGLVVFIQLWCTEVKGPVFVTMFNPTSTTLVAILAYFVLGEKLYLGSILGAVAVIIGLYLLKTPDQSHSCNEEQEEAKMQSIQLRVK >Manes.07G075015.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:22272284:22272986:1 gene:Manes.07G075015.v8.1 transcript:Manes.07G075015.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSNRRPDRTTTTTCTATVACMLPTKLDRHAKRPLLMMEIVLVNGKRNREEWSPSRFPSTKKTKWLIGATSVGRGRATVMRSAGRRMAARAKGLRLSQGCRMKLLYVAAYHGFLCDVVDLAHVSARRAIGRGASVAHPSVGWTSRQLCKKTWAAGVLLKEEDEFLPKL >Manes.01G182900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36125105:36132416:-1 gene:Manes.01G182900.v8.1 transcript:Manes.01G182900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAEQEVDLFGEDYEEDQEDRENNGTRRSSPSSSSSSSSSGSSSSSAASSSSNSSDGGDSSSASGSASSRGEEEDENGEEVENNEEEEDRDLFASDNEDYVKTPAVSPYSIPVLPVIRNVNNQGRGNFGRGRWQNDRGVGLLPRPGFPPRQGYGYGSKFSNGHRDERFVSDLKFSKSEETLSRKMVAFQAPCELACYSRVEGGDVCFDDRSLRLFRRYISEDIGADLNEGFDTFIEKKDLGSEGFGDLLGCIRDKNIMLQNMHFVTFRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSELDRRRCYWGYCFESLATEDPRRADGEGIHHVDANVEYCSVLKTKLGAHRILMGAEMDCCDSTDDGKRFYVELKTSRELDYHTEERFERDKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLTTKDITNRVKAKNYWQVNLVNLATCFFFF >Manes.01G182900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36124683:36132416:-1 gene:Manes.01G182900.v8.1 transcript:Manes.01G182900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAEQEVDLFGEDYEEDQEDRENNGTRRSSPSSSSSSSSSGSSSSSAASSSSNSSDGGDSSSASGSASSRGEEEDENGEEVENNEEEEDRDLFASDNEDYVKTPAVSPYSIPVLPVIRNVNNQGRGNFGRGRWQNDRGVGLLPRPGFPPRQGYGYGSKFSNGHRDERFVSDLKFSKSEETLSRKMVAFQAPCELACYSRVEGGDVCFDDRSLRLFRRYISEDIGADLNEGFDTFIEKKDLGSEGFGDLLGCIRDKNIMLQNMHFVTFRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSELDRRRCYWGYCFESLATEDPRRADGEGIHHVDANVEYCSVLKTKLGAHRILMGAEMDCCDSTDDGKRFYVELKTSRELDYHTEERFERDKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLTTKDITNRVKAKNYWQVNLVNLATCFFFF >Manes.01G182900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36124589:36132416:-1 gene:Manes.01G182900.v8.1 transcript:Manes.01G182900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAEQEVDLFGEDYEEDQEDRENNGTRRSSPSSSSSSSSSGSSSSSAASSSSNSSDGGDSSSASGSASSRGEEEDENGEEVENNEEEEDRDLFASDNEDYVKTPAVSPYSIPVLPVIRNVNNQGRGNFGRGRWQNDRGVGLLPRPGFPPRQGYGYGSKFSNGHRDERFVSDLKFSKSEETLSRKMVAFQAPCELACYSRVEGGDVCFDDRSLRLFRRYISEDIGADLNEGFDTFIEKKDLGSEGFGDLLGCIRDKNIMLQNMHFVTFRNNLNKILATAYIRHEPWEMGVHKRNGVVYLDVHKLPERPQSELDRRRCYWGYCFESLATEDPRRADGEGIHHVDANVEYCSVLKTKLGAHRILMGAEMDCCDSTDDGKRFYVELKTSRELDYHTEERFERDKLLKFWIQSFLAGVPYIVIGFRDDAGRLVRTERLTTKDITNRVKAKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFNRLELLQAQSCPDAITNHVMQL >Manes.18G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2413840:2419746:-1 gene:Manes.18G028200.v8.1 transcript:Manes.18G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSCVHLHFILAAKGGLVTKALNVYHGRPALKFKKVKDLYETEDENSLEPFPVYRPKAEFQCGEAESVHVLTEERKIESDGETETSESNSKGIDVGAREIDDLTFGNMTLKQIKERCKEKRRKFSTYAGLCKQSIETGSLVKGNNINSQSEEDEYDILEPLSCWKSRILNKKKANKKGRNKTVHASPQTDLSIMEFEGIPSDEIIFQFSEKFSSIDVKLEVPEFTCKDMIIFSSDSSFTCNELVAYNGVAPCEKPEAANGYYLDNGTSMVAIEEPDTAKGSVSQIGMSVIIGEELTTNACGVETQMPIFFSNEPRCCATNGESYEYMEHWDLKSIPDVKSSGREIMLEGIAEEINNKISDFSSLEAQKDDIIVDHPKNDSSESSSCEDHIPTLRPQSFHCVHEKSWKTSSSQIQMPDVTVNNNLQRIDLSKRSNSCFPENENKDGAKVIVASFISCNNRDYSSLWSRNLHSSPRSCLVSVADNSPTAEGKQSPSSACADATTSCSPVINSCIDEPVISANLEDCHRPKMQDPPERLFSTRKVISPTSQKRLREAMESIELDDEQYYRYARKLCYRKQNEHKNSRLEGPGQLKRAEVITSPKKVVGKPRICKNGFHQNDIRKVPHTSHSAQCFSSGRTSTRSSSESVILFSQQQMHDIESVVTKLAKELQLMKDIVEETSQSKVYPATSLKYSADEIRLAIQSATKVEESARRSLSIMARDCNRFCKLMKLAEKDSAASRDGFCKKRKIVFADEAGGKLCDFKTFEDEMAPFVEPKGKKTGSVD >Manes.10G130100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29603893:29610019:1 gene:Manes.10G130100.v8.1 transcript:Manes.10G130100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFVFHTAQIPTTTSSAKTLPLKPSLPPIPQLCPRHQLPFAQLVTSLERCFLAPDAAPGDLGLASRSRGEFGPVMKGKYGAFGAVTLEKGKLDLSQKESKVSPEVALGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDGDEGDEGGLFRRRKFLEELFDRKFVDAVLNEWQKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTTRMISRALPQAISRAFIGRMLADPAFLYRLLLEQAATIGCSVWWEVKNRKDRIKQEWDLALVNVLTATACNAIVVWTLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDLQKRVHSFFYKAAELCVVGLGAGAIQGQLSNFLASKKKDRLSVTVPPVTNYARGYGAFLGLYANLRYQLLCGFDRAVVNHFDVIGVALFFSTAFRILNVQVGETSRLAWIGAEADPLVQSDNLLKAYNRPSEDVATTSSSSKWFISKKTLVSGLGLLGIKQGNADSVDGEAPSAPKARRKRVVRKKVSAS >Manes.10G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29603893:29610019:1 gene:Manes.10G130100.v8.1 transcript:Manes.10G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFVFHTAQIPTTTSSAKTLPLKPSLPPIPQLCPRHQLPFAQLVTCKLRRNLIIKCSSPSVIDGSDSIAALERCFLAPDAAPGDLGLASRSRGEFGPVMKGKYGAFGAVTLEKGKLDLSQKESKVSPEVALGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDGDEGDEGGLFRRRKFLEELFDRKFVDAVLNEWQKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTTRMISRALPQAISRAFIGRMLADPAFLYRLLLEQAATIGCSVWWEVKNRKDRIKQEWDLALVNVLTATACNAIVVWTLAPCRSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDLQKRVHSFFYKAAELCVVGLGAGAIQGQLSNFLASKKKDRLSVTVPPVTNYARGYGAFLGLYANLRYQLLCGFDRAVVNHFDVIGVALFFSTAFRILNVQVGETSRLAWIGAEADPLVQSDNLLKAYNRPSEDVATTSSSSKWFISKKTLVSGLGLLGIKQGNADSVDGEAPSAPKARRKRVVRKKVSAS >Manes.13G069200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8943687:8947642:1 gene:Manes.13G069200.v8.1 transcript:Manes.13G069200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMKEIAFEIKRKENLELKVEEEEDEGPQQQQKKVGDEHVLQFLDSVDNYLTLFDSLSSMLRQGWLELASARHSMGASRINSALLDFKVHSASTSLQVARHTVDSMEEQPHFILRKWGSLYNDKCSYEDQTSKEDELWSKSGSPLPRHRGNPQLAETSPKQATLKVDDQVQKERSRSLSMFGTLVSPQLRSAQLSFETALETLVEIANVRSKMLSAFNGVQKELEIDKEIR >Manes.13G069200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8943687:8947642:1 gene:Manes.13G069200.v8.1 transcript:Manes.13G069200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMKEIAFEIKRKENLELKVEEEEDEGPQQQQKKVGDEHVLQFLDSVDNYLTLFDSLSSMLRQGWLELASARHSMGASRINSALLDFKVHSASTSLQVARHTVDSMEEQPHFILRKWGSLYNDKCSYEDQTSKEDELWSKSGSPLPRHRGNPQLAETSPKQATLKVDDQVQKERSRSLSMFGTLVSPQLRSAQLSFETALETLVEIANVRSKMLSAFNGVQKELEIDKEIR >Manes.14G123500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9772759:9773941:-1 gene:Manes.14G123500.v8.1 transcript:Manes.14G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPIHQANENSPFGDLSTEEFYKKNQILHHQSFMLNNDNMNIFTQSWRLDSTSNPKGLVAMVHGYSSESSWLNELTAVAIAKAGFLVCALDLQGHGRSDGFPGHIPNIQPLVNDCIQFFDSFKKHHPKLPAFLYGESLGGAISILICLKQRFAWNGLILNGSMCGISPEFKPIWPLEKLLPMAAFFAPTWKVVATKPVASRSYKEEWKRKLVAKNPNRRTSGKPPAATALEFLRVCEYVKRHCHELDVPLLMVHGENDMVCDCNSARFVYESAASKDKMIKIFPDMWHVLIGEPKENVELVFETIFSWLREHASKAKTKHISYF >Manes.03G077100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13645426:13656114:1 gene:Manes.03G077100.v8.1 transcript:Manes.03G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVTAEEAQVYAQENGLFFMETSAKTATNVNDVFYEIAKRLPRVQPAQNPSGMVLMDRPAERTASTSCCA >Manes.17G020000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10828809:10830555:-1 gene:Manes.17G020000.v8.1 transcript:Manes.17G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSVAKRPCFIEEDDGLASLADMEAGFSGNHHQHPFFSRSLCYGRRGSFRNLSSSISSPRSARFYDARFEDHQPHFLETCFLCKKPLGNNRDIFMYRGDTPFCSEECRQEQIEIDEAEEKNWNLSASKKAIRKKDQKKSISPTRNRDYPSRTGTVAAA >Manes.12G055200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5347176:5350427:1 gene:Manes.12G055200.v8.1 transcript:Manes.12G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVNMMLGRHVLKVLLSYGLWLLLSCSLSDGTETDIACLRNIKDSLEDPFSYLKTSWNFNNSTEGYICRFTGVDCWHPDENKVLNLRLSDMGLRGPFPIGIQNCTSITGVDFSDNNLFGPIPDNISHIIKYVTSLDLSSNNFSGRIPVDLANCSYLNILKLDHNRLSDQIPAELGLLARIKTFSVANNLLTGPVPRFQNASISVDDFANNIGLCGGLLDACPGTSKGPRTGVIAGAAIGGVTIAALAVGIAMLFYYRKVSKMKKQKVDDPDGNKWAKSLKGIKGIKVSMFEKSVSKMKLSDLMKATNSFNKDNIIGSGRTGTMYKAVLEDGTFLMVKRLQDSQRSAKEFTSEMSTLGSVKHPNLVPLLGFCMAKRERLLVYKYMPNGTLHDNLHTVDEGKEPMAWPLRLKIGIRAARGFAWLHHNCNPRILHRNISSKCILLDADFEPQISDFGLARLMNPVDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGTVLLELVTGERPTHVAKAPESFKGSLVEWITQLSSNSQLHDAIDKSLVGNGVDNEIFQFLKVACTCILANPKERPTMFEVYQLLRAIGEKYHFTTDDEIMMPSDNGDADYIAELIVAQEV >Manes.09G187402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37399874:37401802:-1 gene:Manes.09G187402.v8.1 transcript:Manes.09G187402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRYSPQFTFKIIALLFLLNICCHPIIVQCQASGSKMTRHLSAETPSPSRPHHFNTSLKRTLLSIVLGVLTGLTGFTFCAYVVRCLVRYMNRTPILKGPVIFSPKIAPKTLQSALASENQNGLTVAVKRLEPFESGSQERQSKSELMSLRAYVLESDRFPLVYDYLPNESLEDAMNRQRENQLQIGWEVRLRIAVGVVKGLRYLHFECVPQILHYNLKPTNVMLDDEFEPKLADCGLAKLTPNLDRATSGYSAPECFQNCRYTDKSDIFSFGMILGILLTRKDPTDLCFGEAASGGSLGRWLQHLQQAGEAGEALDKSILRGEGEEDEILMAVRIAVVCLSDLPADRPSSDELVLMLSQLHSF >Manes.06G014900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2448935:2457959:1 gene:Manes.06G014900.v8.1 transcript:Manes.06G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLWVRSLSAQKRHSCAGIICKSNTGCLLLKTLSNFIPPFHRERDPLNSLNLPAQFNTPLRSVLNNASVIKENRIFPPGRNFCQLIARWKLPLAFCSVARNEMSELDSVWDDGVVCSDLTQTGIDSGDSITKKKAVHGKPIDITKVDINLLPTVIIVGRPNVGKSALFNRLIRRREALVYNTPDDHVTRDIREGIAKLGDLRFRVLDSAGLETEATSGSILQRTTNMTANVLAKTHFVVLLIDARAGLHPLDLEVGKWLRKNAPGIIPIVAMNKSESFCDGTGSITDAADEARALGFGNPIAISAETGLGMTELYDALQPLLKDYMVKVLNSNCNQQNSNCANLDDNQGEVEELSKLPLQLAIVGKPNVGKSTLLNTLLQEDRVLVGPEVGLTRDSIRAHFHFQGRTIYLVDTAGWLHRTGRDKGPSSLSIVQSRKNLMRAHVVALVLDAEEIAKARRSMTHAEVVIARRAVEEGRGLVVIVNKMDLLRGKQNSKLYQKVMEAVPLEVQTVIPQVSGIPVVFISALEGRGRIAVMRQVIDTYEKWCLRLSTARLNRWLRKVMSRHSWKDQAAQPKIKYFTQVKARPPTFVAFTSGKTRLSDTDLRFLTKSLKEDFDLGGIPIRIMQRSIPRTTGGSSSKSNQFACRMEERIFSDKRTTVA >Manes.11G151202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31534544:31539387:1 gene:Manes.11G151202.v8.1 transcript:Manes.11G151202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDRDEPSRPACRGMAHPATPLPILPIPSAPQLQWQLTSVTLFIHFGPNTLRDSEWGTGEADPSLFNPTSLNTTQWVQVAKDAGFNRVMLTAVLGTGDVIKELALSAKEAGVDLGLYLSPWDRHEVSYGKTLEYKEFYMGQMPELLTRYGEIKEAWLDSAKGEGEKDMDYFFDSWWIGDEAGVADSTCWSLFNRSSVKIGDTDPKYSQEGDPSGHDWVPAECDVSIRPGWFWHASEIPKSAMRLLDIYYKSVGRNCLLLVNVPPNSSGLVSAEDIKNAFLTASSTRGSSNDSVLTPTMSWKKESRHIGLLRRINLIGSSIDLPGPVAFNVLQVQEPIHMARIIEFHLEIEKDGDWKKVMNGTTVGYQRLLQFPTVESQHLKFVIDKTWADPLVSFWGLYMDTFSSMVNKYDNSSRVECLSKPDRCNRYMNNSEVLRPTACSRPQTAAMYCMYISTLHSQFLNSLVSLELLKFQSCLS >Manes.10G150600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31810193:31811741:-1 gene:Manes.10G150600.v8.1 transcript:Manes.10G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPNSRRWSLPVPSVQELASQHLHTVPPRYVRDDFSDIITVPSGDHQLRLPLIDMTKMVNPETQAVELHKLHSACKEWGIFQLINHGVSDESLRNMRKQFEEFFELPLQEKKHLAQRPGSNEGYGHLFVMSEEQKLEWQDMLFIKVLPFQSKILESWPGNPYKFREILVNYVEEMRKVTVSIMKFIAMALQIKDEDFYESYNKEGKCDMRVNFYPACPEPEKVIGIHPHVDVHGITLLQECSDTSGLQVLKDGHWVFVEPIDGALTVDIGLILEMMSNGIYKAPFHRAVVNKSKERLAIVTICCPSSSCRIGPAKQLLQSGSPPLYKSLTLEEYFECFYNSPPGSDIPFIDKLKI >Manes.03G039800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3503186:3504256:-1 gene:Manes.03G039800.v8.1 transcript:Manes.03G039800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFWLQLIMSIVAALHHQIGTADAAAGIGVCYGMLGNNLPAAADVVGLYKKYNIGKMRLFDPNPQALDALRGSNILVTLGMRNEDLPNLASSQEAVDSWFATNVQPYLNDVVFSYISVGNEVVGGVYGQHVAAVMQFLQNTLNANKLSATKVTTVLSADTLGSSYPPFSGAFKPELSNIMGGILGFLSKNGSPLLVNVYPYFAYAGDPVNVRLDYAQFTATGPVVHDGELSYWNLFDAMVDSFIWAVEKMGVTNVNVVVSESGWPSAGNGNLTTPELASTYNRNLMQHVMNNGTPKRPGALIEGFVFALFNENQKAPGVEQNFGLFYPNMTPVYPMFPNSVEDKHPKLPRRLVPD >Manes.08G002600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:568473:572200:1 gene:Manes.08G002600.v8.1 transcript:Manes.08G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPLVTVQSLPSLNEMATDSTTTVALPDVMKASIRPDIVNFVHANISKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAIPSLVMARGHRVESVPEMPLVISDSAESVEKTSAAIKVLKEIGAYPDAEKAKDSHAIRPGKGKMRNRRYISRKGPLIVYGTEGSKLVKAFRNIPGVEVANVDRLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFEKSSDKKKGYVLPRSKMVNADLARIINSDEVQSVVKPIKKEVKRAPLKKNPLKNLNVMLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRKTVTKEEAAAIKSAGKAWYHTMISDSDYTEFENFTKWLNVTQ >Manes.01G154500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34133785:34142711:1 gene:Manes.01G154500.v8.1 transcript:Manes.01G154500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAESGQKLYTRMRLWEFPDEYVIEPTDGSSGSPLSVSRVDGSMKLLDGVPECSSLRVPKIRTIFGVVGMLKLVAGSYLIVILERECVGSYLGHPIFKITSLKILPCDCSLKNSSVEQKKVETEFSGLLRVAERTHGLYFSYDTNLTLSAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEVLIDNKLDPYLLPVVQGSFQNFQAAIGKDIIEVTLIARRCTRRNGTRLWRRGADPDGYVANFVESEQVVHLNGFTASLVQIRGSMPFLWEQIVDLTYKPKFEIIKPEDAPQVAERHFLDLRKKYGSVLAVDLVNEHGGEGRLSEKFANATQHIRSDDVRYIHFDFHKICGHIHFERLSILYEQMADFLEKGGYLLLNEKGEKIKEQTGVVRTNCIDCLDRTNVTQSMIGRRMLEIQLRRIGVFAAEEKINSHPNFDDSFKILWANHGDDISIQYSGTPALKGDFVRCGQRTAQGILKDGWNALARYYFNNFSDGVKQDAIDLLHGHYIMSVSRDMTPPSQTGGLENIASFPLALSLVLTGFFFTLMSLRQVRYDLRHLIFSTIWAGLTVAIAAFVRANGRIFCNRPRLHKPRH >Manes.13G037100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4488881:4489306:-1 gene:Manes.13G037100.v8.1 transcript:Manes.13G037100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSCLFLVLLFLAAAKGGLCQAGEPKQCIISWDCQGNTACKVECRTNYRRGRGFCTGSPRACLCAYLCPP >Manes.01G246200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40637054:40642536:1 gene:Manes.01G246200.v8.1 transcript:Manes.01G246200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNPSVSNRHLFTPRSSFFTRKFSFKTCKTKIPSKSHKNLSVPFHLSFFTTRIVLVSAHFGRPTNRRNSLRKKHVDDQQVRQKNPISLNPSSDFQNPNIHFDNIGNSQETLDYDSLEGIDSSYGVGLVEPGWEKTWKTKPKELGESVLSTKLEDWVHQYNKDTAYWGLGSSPIFTLFHDLKGNVKRVIVDEDEILKRSQVKKRELGDITKLNSKISYAKDLARRMEEGGNVIPRNSSVAKFVVSREESGFVNSIRDAVFQPQFVPVLSGLGKLTFCGFVAIWALKKLFTSGNKKEQLTEVEKEMMRRKIKSRQEKEMLEKGRVEVVQEPSELPMLSTEKPKLDKQELMRNILDAKASKDNLVLVDSSGCHTTSSMDFDKKIQEIGAMAREAREIQSGGQPMVNKNREEKQSVKDESSGGTELFEKHTEEVSSISNTQNGESGQRKDIDEITGEISLEGSEGDDTRHLNKVSSEKNGVMHSSCASSVEVSKDRQTMARGEVTHSSDTPDGEWCLPKDKSFTMKPRIIMSVKEAREFLAKSGSKRGQEPNVNKTQEKTIMLSPSSDKVSCSKTSQRVETSTPIFGPVNLGGVLDPSTATNFLKDSILEGNEMVSVQRDDSNDSKEEHGLHNLQNSQTLLNGDINDSKEGIQPVQKENWMEKNFIEVEPIIEKIGDGFRDNYKIAKEKVNQHSAIDLSNLNYDEEDSELEWMKDDDLREIVFQVRENELAGRDPFHLMDAEDKLKFFKGLEEKVEKENEKLFQVHEYLHSNIENLDYGADGISIYDPPEKFIPRWKGAPLEKNPEFFNNFLEQRKAIFAGNASTSYLVKEDEPNLLQISTESLVDEKTSISVSDNALEKTLHSRNSKDSKTVIEGSDGSVRPGKKSGKEYWQHTKKWSRGFWKSYNSETDPEMKSIMKDIGKDLDRWITEEEIQEAADLMKKLPERNKKFMEKKINKLKREMELFGPQAVVSKYSEYAEEKEEDYLWWLDLRHVLCIELYTTQNGEQKIGFYSLEMAADLELEPKPCHVIAFEDAGDCKNLCCIIQTHMDMLGNGHAFVVPRPPKDAFREAKANGFGVTVIRKRELKLNVDQTLEEVEEQITEIGSKMYHDKLMRERSVDTSALMKGVFGVDGQSADAKRKKSKRKLKKPSKKRR >Manes.14G121200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11717374:11718516:-1 gene:Manes.14G121200.v8.1 transcript:Manes.14G121200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHIYLPFFLSLSTCYIYIYDHFPCKPISHIAHDHLVFCYLMPQSRFAMDWFSWLSRSGLEPSLTYEYGLAFARNELQEEDLSHFNHEFLQSMGISVAKHRLEILKLAKKEVGANTTGISKFILAINKTKKSLKKCISKLVFHEESVFKALPEPLSRYRDEQSTGVFTRKFQVEKPPVLRTRIQAKSGPLDGSMPENLMLNHRNLKLSGPLDGRLPENLMPIPNNRSVKLSGPLDVKMQEKLVFAHRRSNPVQQSLMAGGRSPRIPRPLDGLKRDRVINDDFDDQSQWAHLFEDLKPT >Manes.15G119000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9487802:9489397:1 gene:Manes.15G119000.v8.1 transcript:Manes.15G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDQQFQPRKAVPHFANQRGNNYMHISAAPSNAAALHPAAKHLMPVHGIEFQPSEVCPKNFIIFDQNDHRSQIMFHPDVAHKFSGPGLNVYTGYVQENFDNEAVNDIERDISASLKEDSDDIDVLLSLEEEEQDEYDDEEVSTARTYGNQGSNSPDSYSTYGYEPRKNGSSIQKSSGSFSSCNSERKRQKMKKMVKALRGIVPGGDEMNTVTVLDEAVRYLKSLKVEVQKLGVGTSKN >Manes.14G046600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4051942:4057374:-1 gene:Manes.14G046600.v8.1 transcript:Manes.14G046600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTALTTYFSYGLLFAFGQLRDFFRKIFDWWRTSNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAWFDVVERYSNDNNKTLKRTTKLARCLNLGSYNYLGFAAADEYCTPRVIESLNRFSPSTCSARVDGGTTTVHTELEECVANFVGKPAAIVFGMGYATNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIADGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKEVIQYLKYTCPAHLYATSISPPAAQQIISSIRVILGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHTKEDLVKALEVIGRVGDLVGIKYFPAEPNKQQQEPSTMKLE >Manes.04G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32390227:32390975:1 gene:Manes.04G122300.v8.1 transcript:Manes.04G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRARSLMVIFVVLGMAVGQSTASLQTCYAACFLKCMIIPGHSPISCGIKCLKDCIIPSSLTTSTAKEQTHYFCNFGCASSLCTNFSTKQDPGEENVAKCVDSCSTRCSKNFSP >Manes.18G065500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5903155:5907650:-1 gene:Manes.18G065500.v8.1 transcript:Manes.18G065500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSTSIIPTKSFIVSNKPHQPSFLAGKLPSRSVLHISAVHSSEPSKNPIVSDKLGKQQATKTSTSAAATSAPAAAAAPTTNVVPGKWSVDSWKSKKALQLPEYPDKEDLESVLRTLDAFPPIVFAGEARSLEEKLSEAALGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNVNGDAFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMSAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRINSQPNIASTTSL >Manes.05G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11927779:11930188:-1 gene:Manes.05G116100.v8.1 transcript:Manes.05G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSEAERSQKQEKDKKKILALSPIAKPLAGKKLCKRTLKLVRQAAEHKCLKRGVKEVVKSIRRGHKGLCVIAGNISPIDVITHVPILCEESDIPYVYVPSKEDLANAGATKRPTCCVLVLTKPPKGELVQEEQEKLKEDFSQVAADVSELNSSLF >Manes.13G039700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4723416:4727198:-1 gene:Manes.13G039700.v8.1 transcript:Manes.13G039700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKNMKLKILIFFFCCCVGFHSFVSAASNEEVSALLSIKAGLLDPLNKLQDWKLSNNTTAHCNWTGVWCNSHGAVEKLDLSHMNLSGRVSDDIGKLQSLTSLNLCCNGLSSSLTKALSNLTLLISIDVSQNLFVGSFPFGLGRAAGLTLLNASSNDFSGFIPEDIGNATLLQKLDLRGNFLEGFVPKSFKNLQKLKFLGLSGNNLTGEIPPELGQLSSLERMIIGYNEFEGGIPAEFGNLTNLKYLDLAVGNLGGEVPAELGRLRDLETVFLYQNEFEGEIPAAIGNMTSLQLLDLSDNMLSGEIPAAITELKNLKLLNLMCNQLSGAVPARLGGLTQLQILELWNNSLAGPLPIDLGKNSALQWLDVSSNSFSGEIPAGLCTVGNLTKLILFNNAFSGPIPPSLSTCPSLVRVRVQNNFLSGTIPVGLGKLEKLQRLELANNSLTGQIPSDLASSFSLSFIDLSRNHLRSSLPSTILAIPKLQNFVASNNNLDGEIPDQFQDCPSLSVLDLSSNHFSGSIPASISSCQKLVNLNLKNNHLTGEIPKAIAIMPTLAILDLSNNSLTGRIPESFGTSPALEVLNVSYNNLEGPIPANGVLKTINPNDFIGNAGLCGGVLTPCSHNLLAETKQKSLHTKRIVSRWIIGISSVLAVIIALIGARSLYKRWYSNGSCFEESFKTGNGEWPWRLIAFQRLGFTSSDILACVKESNVIGMGATGTVYKAEMPWLNTVVAVKKLWRSATDIETGSSDDFVGEVNLLGKLRHRNIVRLLGFLYNDTDMMIAYEYMHNGNLGDALHGNQAGRLLVDWVSRYNVAVGVAQGLAYLHHDCHPLVIHRDIKSNNILLDANLEARIADFGLARMMIRKNATVSMVAGSYGYIAPECGYSLKVDEKLDVYSYGVVLLELLTGKRPLDPEFGESVDIVEWIRRKIRDNRPLEEALDNSVGNCRHVQEEMLLVLRIALLCTAKLPKDRPSMRDVLTMLGEAKPRRKSSSNIEKPVFTTSPVNGLV >Manes.17G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23478143:23481005:1 gene:Manes.17G042300.v8.1 transcript:Manes.17G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGVCSQTWFTSAMSLTKSGASNVPDSYILPSSARPNATLTLSTTLPIVNLSMLHHPSLRSRVVNEIRSACKEIGFFQVINHGIPLHVMKNALDAVMGFFDLPLEEKMLLMSANVHAPVRYGTSLNHSRDKVHFWRDFIKHYSHPISEWIHLWPANPPSYREKMAKYATAVQNLQKQLMRVVLESLGLNPNYLQNEIEEGSQVMTMNCYPACPEPQLTLGMPPHSDYGSLTILLQSCTGLQIMDQNKNWIPVPVIEGALLVQLGDQVEVMSNGQYKSVVHQATVSPQRKRFSIASLHSLAINKKVGPAPELVDEKHPTSYKEFSFSDFLDYISNNDILDGRYIGPRKPNLLANSRIYPLL >Manes.11G017800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2011073:2013711:-1 gene:Manes.11G017800.v8.1 transcript:Manes.11G017800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNILTKLVAGVLEKNSLPPAIFTSFCGGADIGQAIAKDTRIPLVSFTGSSKVGLMVQQTVNQRFGKCLLELSGNNAIIVMDDADIQLAVRSILFAAVGTAGQRCTTCRRLLLHESIYDRVIDQLLSVYKQVKVGDPLEKGTLLGPLHTSESRKNFEKGIETIKSKGGKILTGGSVIESEGNYVQPTIVEISPKADVVKEELFAPVLYVMKFQTLQDAIEINNSVPQGLSSSIFTRKPEVIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFGS >Manes.14G002300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1449784:1453045:1 gene:Manes.14G002300.v8.1 transcript:Manes.14G002300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPSGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFSEKLSTIIEEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQVNTAKNLISRISKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDMKLIMEMKAEALKTVIGQGGEPTNDEGVLLTMSTLTEEGVIAVKNAACERLLDQRVELKMKSKKINDCLNRFHVAIPKPRDQKERPPCIPQAVLEAKAKQAAQAAEKEKRKTEKDLEDENGGAGVYSASLRKNYILANEEWKEDILPEILDGHNVYDFIDPDILQRLEELETEEGIRQAEEENEDFSMDGEELTPEEQAALAEIRRKKSLLIQEHRMKKSTAESRSIVPRKFDKDRKFTTERMGRQLSALGLDPSHAINRARSRSLSRRGRKRERSLDRGDNDAGDAMDMDVDQQNKKLRMRSRSRSRSKSRPPSEVVPGEGFKDSTQKVKALKLAKKSVKKRNKDARRGEADRVIPSLKPKHLFSGKRSIGKTQRR >Manes.14G002300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1449690:1453998:1 gene:Manes.14G002300.v8.1 transcript:Manes.14G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPSGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFSEKLSTIIEEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQVNTAKNLISRISKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDMKLIMEMKAEALKTVIGQGGEPTNDEGVLLTMSTLTEEGVIAVKNAACERLLDQRVELKMKSKKINDCLNRFHVAIPKPRDQKERPPCIPQAVLEAKAKQAAQAAEKEKRKTEKDLEDENGGAGVYSASLRKNYILANEEWKEDILPEILDGHNVYDFIDPDILQRLEELETEEGIRQAEEENEDFSMDGEELTPEEQAALAEIRRKKSLLIQEHRMKKSTAESRSIVPRKFDKDRKFTTERMGRQLSALGLDPSHAINRARSRSLSRRGRKRERSLDRGDNDAGDAMDMDVDQQNKKLRMRSRSRSRSKSRPPSEVVPGEGFKDSTQKVKALKLAKKSVKKRNKDARRGEADRVIPSLKPKHLFSGKRSIGKTQRR >Manes.13G145732.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36001562:36007283:-1 gene:Manes.13G145732.v8.1 transcript:Manes.13G145732.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.13G145732.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36001562:36007283:-1 gene:Manes.13G145732.v8.1 transcript:Manes.13G145732.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.01G187200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36392375:36404217:1 gene:Manes.01G187200.v8.1 transcript:Manes.01G187200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQRNGPAERDIDQAITALKKGSYLLKYGRRGKPKFCPFQLSNDESVLIWYSGKEEKQLKLSQVSKIIPGQRTAIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKNEADVWFVGLKALISRGNCHRKWRIKTRSEITPPDSPHARIRKHSPSFSPFLCDPGQGDGHGSQVPVDSTPQNRLGKAFSDIMSYTAVPKSPSQADLTSPFSSLTAACVDNSSGRTSAADAVRESLSSAVSSSSQGSYHEDFDALGDVFLWGEGIGDGILGGGMDRTGYSSSSKIDLLLPKALESKVVLDVHNIACGGRHAVLVTKQGEIFSWGEESGGRLGHGVETDVPHPKLVDTLAGVNIELVACGEHHTCAVTLSGDLYTWGDGAYNCGLLGHGSEASQWLPKKICGDIEGLHVLYISCGPWHTAVVTSGGQLFTFGDGTFGSLGHGDRSSTSIPREVEALRGLRTTRVACGVWHTGAVVEAMTESASPRATSSSSLGKLFTWGDGDKGRLGHGDKESRLIPVCVDVLGDENICRVACGPNITVALTAAGRVYTMGSASYGQLGNPTAAGKVPTIVEGKIAGSFVEEIACGSYHVAVLTSKAEVYTWGKGTNGQLGHGDNEDRNTPTHVEFLKDKQVKTIACGSNFTAIICLHKWVSSTDHSVCSGCRNPFGFRRKRHNCYNCGLVFCKACSSRKSLKASLAPNMNKPHRVCDDCFNKLKRAADLGSVAKVPKARVGIMNHKTNDSADREVFGPRSLAQLSRLSSAESVGQAESWHCRRGRLSESDSSRIFPVLNGTWQWGGLYSSKVSTFPGGTPKKIFQNSAPVSTTASLATPPLPGRISPPRSSFDNSKYMNDSLIDEITSLRAQVEDLTSKSSRLDAELQRKSKQLKEVAAIAADEAEKRKSAKEVIKSLTAQLKHMAEKRNSSPISSSIDKKTSIAQSIPSSERRSSSELCREIESNGNAVDNQMLSYRAKAQTEQPQWVVQAEPGVYMALSSLPGGGNELKRIRFSRKHFTEQAAEKWWGENGSRVCERHNIVISD >Manes.16G042231.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6001990:6003243:-1 gene:Manes.16G042231.v8.1 transcript:Manes.16G042231.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFPWLRCLDGRVSSLYFSFYMCSRWCCHCWIFCHNQKFSFWCKFSSSRCGDGSFCWCRNFFSRGSRWSVRWSC >Manes.13G083600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:14439748:14446811:1 gene:Manes.13G083600.v8.1 transcript:Manes.13G083600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRYKGRVLPSLKKICILKSSSACNIFSSPMICLSMNDKTHQFFSRENLKVFLTPQIVHSTLLNCRSDLIALSFFIWCAKQHNYFHDKQAFDYMVSVVARLTNRYKTVKGIVKELESVGLITKAQTFLLLLRIYWRGGMFSMVFETFEEMGSVGFKPNTFAHNVVMDVLLKIGHVDAGIKVLKEMEFPNFLSFSILLSNLCKLNDLVNIKDVLQIMLTQGYYPNVETFEMVLNCFCKVGRLLEAYQVLGAMVIRGISLSVNAWSMLIDGFCRLHQPDIASFLLEKMVQSGCSPNIVTYTALFKGFIEARMITGAFGILNTMESVGYVPDLLLCNVLIDSLSKIGRYDDALDLFLGMSKWNLVPDCYTFSSLLSTICSSRRFNLLPKLVRGLVVEADLVVCNSLLNYSCKAGFPNFAVELYNDMIDKGFQPDNYSFVGLIRGLCGIRRVNEAVNVYLGMVMNYSGLDAHVHTSIIDGLMKNDKCHIAIKLLRTAISEKYPLDVVSYTVAIRGLFKSGRTAEAFALYNHMKEVGLSPNKRTYNIMLYGFCVERDVKMVNMLLREIIKSGVQLDCSTFLRLTNFLFKSHLSSSSFNQLIKLWNLLVMRNEVMHLLLFDGLTNNVKSGDANNSLLKGYLEDNLFVDSSSSDELPDLAASVC >Manes.13G083600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:14439748:14446811:1 gene:Manes.13G083600.v8.1 transcript:Manes.13G083600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRYKGRVLPSLKKICILKSSSACNIFSSPMICLSMNDKTHQFFSRENLKVFLTPQIVHSTLLNCRSDLIALSFFIWCAKQHNYFHDKQAFDYMVSVVARLTNRYKTVKGIVKELESVGLITKAQTFLLLLRIYWRGGMFSMVFETFEEMGSVGFKPNTFAHNVVMDVLLKIGHVDAGIKVLKEMEFPNFLSFSILLSNLCKLNDLVNIKDVLQIMLTQGYYPNVETFEMVLNCFCKVGRLLEAYQVLGAMVIRGISLSVNAWSMLIDGFCRLHQPDIASFLLEKMVQSGCSPNIVTYTALFKGFIEARMITGAFGILNTMESVGYVPDLLLCNVLIDSLSKIGRYDDALDLFLGMSKWNLVPDCYTFSSLLSTICSSRRFNLLPKLVRGLVVEADLVVCNSLLNYSCKAGFPNFAVELYNDMIDKGFQPDNYSFVGLIRGLCGIRRVNEAVNVYLGMVMNYSGLDAHVHTSIIDGLMKNDKCHIAIKLLRTAISEKYPLDVVSYTVAIRGLFKSGRTAEAFALYNHMKEVGLSPNKRTYNIMLYGFCVERDVKMVNMLLREIIKSGVQLDCSTFLRLTNFLFKSHLSSSSFNQLIKLWNLLVMRNEVMHLLLFDGLTNNVKSGDANNSLLKGYLEDNLFVDSSSSDELPDLAASVC >Manes.08G151200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39179825:39187436:1 gene:Manes.08G151200.v8.1 transcript:Manes.08G151200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSFLLLPPSPSIVSLPKRSRFGPFKPSTFASANGSLSARRHASIVIYAKAKAPSLYSTTTAEEEEEEELDTVNIAEDVTQLIGRTPMIYLNKVTEGCVANIAAKLESMEPCRSVKDRIGYSMISEAEESGEISPGKSILVEPTSGNTGLGIAFVAAAKGYKLIVTMPASIGLERRVLLRAFGADVVLTDPEKGLKGAVDKAEEIVLRTPNAYLFQQFENVANTKVHFETTGPEIWEDTLGNVDVLVAGMGTGGTVTGTGRFLKMMNKEIKVIGVEPAEMSVISGENPGYIPGILDVKLLDEVIKVGISSGAAAVAAISLGKRPENAGKLITVIFPSFGERYIPTVLFQSLYEEARNMQPR >Manes.08G151200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39179826:39187436:1 gene:Manes.08G151200.v8.1 transcript:Manes.08G151200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLNKVTEGCVANIAAKLESMEPCRSVKDRIGYSMISEAEESGEISPGKSILVEPTSGNTGLGIAFVAAAKGYKLIVTMPASIGLERRVLLRAFGADVVLTDPEKGLKGAVDKAEEIVLRTPNAYLFQQFENVANTKVHFETTGPEIWEDTLGNVDVLVAGMGTGGTVTGTGRFLKMMNKEIKVIGVEPAEMSVISGENPGYIPGILDVKLLDEVIKVTNDEAVEMARKLALEEGLLVGISSGAAAVAAISLGKRPENAGKLITVIFPSFGERYIPTVLFQSLYEEARNMQPR >Manes.08G151200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39179826:39187436:1 gene:Manes.08G151200.v8.1 transcript:Manes.08G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSFLLLPPSPSIVSLPKRSRFGPFKPSTFASANGSLSARRHASIVIYAKAKAPSLYSTTTAEEEEEEELDTVNIAEDVTQLIGRTPMIYLNKVTEGCVANIAAKLESMEPCRSVKDRIGYSMISEAEESGEISPGKSILVEPTSGNTGLGIAFVAAAKGYKLIVTMPASIGLERRVLLRAFGADVVLTDPEKGLKGAVDKAEEIVLRTPNAYLFQQFENVANTKVHFETTGPEIWEDTLGNVDVLVAGMGTGGTVTGTGRFLKMMNKEIKVIGVEPAEMSVISGENPGYIPGILDVKLLDEVIKVTNDEAVEMARKLALEEGLLVGISSGAAAVAAISLGKRPENAGKLITVIFPSFGERYIPTVLFQSLYEEARNMQPR >Manes.08G151200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39179826:39183052:1 gene:Manes.08G151200.v8.1 transcript:Manes.08G151200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSFLLLPPSPSIVSLPKRSRFGPFKPSTFASANGSLSARRHASIVIYAKAKAPSLYSTTTAEEEEEEELDTVNIAEDVTQLIGRTPMIYLNKVTEGCVANIAAKLESMEPCRSVKDRIGYSMISEAEESGEISPGKSILVEPTSGNTGLGIAFVAAAKGYKLIVTMPASIGLERRVLLRAFGADVVLTDPEKGLKGAVDKAEEIVLRTPNAYLFQQFENVANTKVHFETTGPEIWEDTLGNVDVLVAGMGTGGTVTGTGRFLKMMNKEIKVIGVEPAEMSVISGENPGYIPGILDVKLLDEVIKVRSGWWLQNVFIFFLFCFVRKIKIYIIGNYYEKMVHKVQYRGNSLSNKMNMDKVKVAHHFQLFNLCVSPVFPIL >Manes.18G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4342752:4347600:1 gene:Manes.18G050600.v8.1 transcript:Manes.18G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMSNDRKYRRSTRSPLLPLKHDGYEPLEVGFGGASFSGAVFNLSTTIVGAGIMALPATVKQLGLIPGIIMIVLGAMLTESSIDMILRFGKASKSSTYSGVVADAFGGLGRTLIQMCIVINNLGMLIVYMIIIGDVLAGTLSDGVHHSGVMEKWLGQHWLTSRSFLLLLTTLLVFSPLISFKRVDSLRYTSALSVGLAIVFVAITAGVAIVKLIEGSIRMPRLMPEISDQASFWKLFTVIPVLVTAYICHHNIHPIENELVDPTQMKSIVRTSLTLCSSVYIATSLFGVLLFGDQTLDDVLANFDGDLGIPYSSLLDDVVRVSYGIHLMLVFPIVFFSLRLNLDGLLFPYAIPIACDNKRFFLITAALMGFIFVGANFVPNIWDAFQFTGATAAIAVGFIFPAAIALRDTHGIATKNDRLKSWVMILLAVSCSTAAICSDIYSIFNFENEIGR >Manes.03G137700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26433121:26435206:1 gene:Manes.03G137700.v8.1 transcript:Manes.03G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALWVSLKENANCGSKLINVVAHPEKCNGRRSSSYEKENFDKKLIRHTRKSFGDVIIKGYHTRTRYLELKMGDPARNITEMIFQRASTYPSKPSRKIQKVLRVKNSIEILERFEKYREKVKESAYKQQKTHPRSIVDGNELLRFYGTTMSCCSEKSMRVSELCKDPTCRVCRMIQSNFDTEYTKKNGIRLSTNSEELSENMITLSMLKMERAAIVCRIIAGTVDNKVNNGLKEECDSIVTEAQYSESESLIVRNPSAVLPCFVIVFS >Manes.05G173720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28523486:28524314:-1 gene:Manes.05G173720.v8.1 transcript:Manes.05G173720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKAQVSHDVFPYLRVYKDGTIERFAGTEVVPAGLDPETGVFSKDTVIVPETRVSARLYRPSLAKASQKLPLVIYFHGGGFFISSTADPLQNIVLVSVDYRLAPENSLPAAYEDSWAALNWVAAHAGEGDGKEAWLKDYADFGRVFLGGDSCGANMTHHLTLKLKGSELSRELKIEGVAMIHPYFWGQDPVGVEKIDHVRRSMVDNWWTFICPSHKGCDDPLINPFADGSPSLEGLACNRVLVIVADKDILRDRGKLYYENY >Manes.06G034819.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10125148:10126615:1 gene:Manes.06G034819.v8.1 transcript:Manes.06G034819.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.18G090116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8283488:8284799:1 gene:Manes.18G090116.v8.1 transcript:Manes.18G090116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPMASLIATLLVVSVSLCLPSETSADQYSYLSPPPPKKSPPPPVYSPPYHYKSPPPPVHSPPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPPKEHYKYKSPPPPPKEKEHYKYKSPPPPPKEKEHYKYKSPPPPPKEHYKSPPPPEEHYKSPPPPKEHYKYKSPPPPPPPVHKPPPNHYIYASPPPPYHH >Manes.18G090116.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8283488:8284793:1 gene:Manes.18G090116.v8.1 transcript:Manes.18G090116.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPMASLIATLLVVSVSLCLPSETSADQYSYLSPPPPKKSPPPPVYSPPYHYKSPPPPVHSPPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPKEHYKYKSPPPPPPKEHYKYKSPPPPPKEKEHYKYKSPPPPPKEKEHYKYKSPPPPPKEHYKYKSPPPPPPPVHKPPPNHYIYASPPPPYHH >Manes.07G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31140646:31144998:-1 gene:Manes.07G105200.v8.1 transcript:Manes.07G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMENRSLNLLDEALSLEQRMEPWPLRGKLLLIEDCVETSGSFVLHHLVKRILSPNSSNIVIFLAFSRPFSHYDRILRKLGCNLVAQRDNSRFFFLDMLMLQCPDGNEEKTSEGGFADLYGKIQKIINALPENHRNHVTIMIDDVSLMEVAAYGSSDHVLDFLHYCHTLTSDVGCSLVILNHEDIYSSMERPVFIMQMEYLADILIKTQPLATGLAADVHGQLTVLNTGICSGNGNLKNKISNLQFMVKENSVEYFYPGSRS >Manes.03G014750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1292441:1294527:-1 gene:Manes.03G014750.v8.1 transcript:Manes.03G014750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSNRRFTYTHDHKWPSEEIDVHHIIVRKSNTKGFLARISAFVLLVNGLLLFLVKLYHDTYTSWFCWFGIHAYARFFNKSVVVIPAFGVQLETHYILKPVLLEYVTPITCYWSLSLILGGEADLMLVLKELHPPMKMLVPIWKGLCIACGSEETMMQQPILYFELGMNQNRAPQIHENGGSSFLQNGLFRKHNCALGVALMDKDDEFVLTRFAFDRPTDQAAPVLITKKPCFSDPPLHQVWLRDA >Manes.15G005600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:609563:622288:-1 gene:Manes.15G005600.v8.1 transcript:Manes.15G005600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKSQANSNSMQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELGLFVIDEEDNETLLLHRIIPDDIYRKQEDTIISWRDPEYSTELALSFQETTGCSFIWDHICNVQRSLQFNALNNETFHSMNSELRELPAVELSTLPLILKTVSESGIADQMRLTDLILNDQGFFRKLMDLFRICEDLENIDGLHMIYKIVKGIILLNSPQIFDRIFGDELIMDIIGSLEYDPEVPHAQHHRNFLKEHVVFKEAIPIKDPVVLSKIHQTYRVGYLKDVVLARVLDEATVANLNSIIHANNAFVVTLLKDDSTFIQELFARLRSPTTSAESKKNLVYFLLEFCSLSKSLQMVHQLRLFRDLVNEGIFDIITEVLQNQDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGIPLLGLLIKGMITDFGEDMHCQFLEILRSLLDSYTLSGAHAQRDNIIEIFYEKHLGQLIDVITASCPVEGIAQSSGKYSGSGGRDENQNSVKPEILSNICELLCFCVLHHPYRIKCNFLLNNVIDKVLTLTLRREKYLVVAAVRFVRTILSRHDEHLLNHFVKHNLLKPIIDAFVGNGNRYNLLHSAVLELFEYIRKENLKAIIKYVVDSFWNELVKFEHLASIQSFKVKYEQCLESFATKTSGSTLDPRRRIDERALEKEEEEYFNEDSDEEDTASASHAKKVRPQSPISNGVSASYPSLSSRSGGLVDYDDDEDDEDYRPPPKKQLETLEEDEGTMESLKLKRKFPSREKESEPAKKQRLGKHSKSRESVFASLCSTLSQAALPNKKATSSVHTAPCTDDTNKGLDEDNEQEKEPAISRSCCDSSGNSGKENRREVEPAAARNCSDCLHGKSEDVLSGEDGPLIPQNSSEMAVKGS >Manes.15G005600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:609843:622105:-1 gene:Manes.15G005600.v8.1 transcript:Manes.15G005600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKSQANSNSMQRVKVYRLNEDGKWDDQGTGHVTVDYLEQRSEELGLFVIDEEDNETLLLHRIIPDDIYRKQEDTIISWRDPEYSTELALSFQETTGCSFIWDHICNVQRSLQFNALNNETFHSMNSELRELPAVELSTLPLILKTVSESGIADQMRLTDLILNDQGFFRKLMDLFRICEDLENIDGLHMIYKIVKGIILLNSPQIFDRIFGDELIMDIIGSLEYDPEVPHAQHHRNFLKEHVVFKEAIPIKDPVVLSKIHQTYRVGYLKDVVLARVLDEATVANLNSIIHANNAFVVTLLKDDSTFIQELFARLRSPTTSAESKKNLVYFLLEFCSLSKSLQMVHQLRLFRDLVNEGIFDIITEVLQNQDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGIPLLGLLIKGMITDFGEDMHCQFLEILRSLLDSYTLSGAHAQRDNIIEIFYEKHLGQLIDVITASCPVEGIAQSSGKYSGSGGRDENQNSVKPEILSNICELLCFCVLHHPYRIKCNFLLNNVIDKVLTLTLRREKYLVVAAVRFVRTILSRHDEHLLNHFVKHNLLKPIIDAFVGNGNRYNLLHSAVLELFEYIRKENLKAIIKYVVDSFWNELVKFEHLASIQSFKVKYEQCLESFATKTSGSTLDPRRRIDERALEKEEEEYFNEDSDEEDTASASHAKKVRPQSPISNGVSASYPSLSSRSGGLVDYDDDEDDEDYRPPPKKQLETLEEDEGTMESLKLKRKFPSREKESEPAKKQRLGKHSKSRESVFASLCSTLSQAALPNKKATSSVHTAPCTDDTNKGLDEDNEQEKEPAISRSCCDSSGNSGKENRREVEPAAARNCSDCLHGKSEDVLSGEDGPLIPQNSSEMAVKGS >Manes.06G118200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24846066:24847364:1 gene:Manes.06G118200.v8.1 transcript:Manes.06G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIGEFELVFRHLDKNGDGKISASELSHHVSLIGGKLFMKDAEMVVELLDSDGDGLLGFDDLVKLMEGGGEEEKLQDLREAFAMYDVDNCGFITPRSLRKMLKKLGESKSIDECKMMISQFDLNGDGVLSFEEFRVMMQ >Manes.09G011781.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2644568:2646009:1 gene:Manes.09G011781.v8.1 transcript:Manes.09G011781.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPSRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTHEDARFLTNNFKSASFTRLHDAIASFNHVIHMNPLPSRVHFNRFLSALVKMKQYHTVLSMSKTIELLGMSHNLYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPDVYTYNTIINGNCKFGKTNVAIGLLKGMADRGCDPDVVTYGAIIDGLCKDELVGEALELFSQMRNKGISPNVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAENTFNVMIQRGVEPDVITYTSLIDGLCISDQLKEALALLKEMVGRNISPDERTGFKCTKYNQNNDSKMCGT >Manes.08G042700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4178459:4192215:-1 gene:Manes.08G042700.v8.1 transcript:Manes.08G042700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVMHHRAFTRFQPMDSGQSIATMLAIISSRRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDTIQADWRQTFADTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVHGLDLGGLTACFITLRAWKLDGRCTELSVKAHALRGQQCVHGRLVVGDGFVTITRGESIRRFFEHAEEAEEDEDDDSMDKDGSELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKERDKEKKCSESNDSLEVSKDETSASVDEDPDNAVSNRDTVSETGDISISRPGFPNIQEQFSNGYATSAMQDGSCGSPDGEVTIVKDGMGSFMIEQSKFSRRRLKFRKEVPLDPSVKWCDGRRLANVSENGAMVSRSESRHYSDNFETPSRGLNGLNRQSRINGQKPNVRNCGLKFNEKFHSLNNRMNDKYDYHSCSCHQNNEYRVKVEPHVSAARIARDCKSVGKAESTVDMSKQFYRGNKYGQIDYMREGCVRPKSKIITANNSSSRDLLHSKKVWEPTESHRKYARSNSDCDVTLKSSNLKAEELEPDRNVNCSVDNCSSEVTGNFGETDHEENHTGKSGNPNKGCQNGQNVEVNQETPYEEVGSCLAKNSGSSGTSDPSICSGSNSDNCSSCLSEGDSNTASSSHGNLECSSTSDSEDTSQQSEGRETSLCQNSFSNSHEVGMESMSGGGEFRGRKLFGLPPDGLRMNALGNLSTKIVQSTDSGIPTVNVGSQHQGIFPPMQNQNLQFPVFQAPSLNYYHQNPVAWPAAPPSGLMPFPHTNHYLYAGPLSYGLNGNSRLCMQYSPVQQLATPIFNPGPVPVYQPVGKPNGLNSEEQLKMRAVQEALNDTKAEKAALAGSHAIEVLPNREGRKVDNSAKLHVNNTSFSLFHFGGPVALSTGCKPDPLPSKDGIVGDISSKVLADEVENDAACNKKEATVEEYNLFAASNGIRFSIF >Manes.08G042700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4178459:4192204:-1 gene:Manes.08G042700.v8.1 transcript:Manes.08G042700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAQRNEQFSNASSGVYSLSANGFWSKHRDDVSYNQLQKFWSELSPQARQKLLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGVGGHLSCNRTGASKNQSDGESNMMNGCQDEIQDPSVHPWGGLTAIRDGSLTLLNCYLYSKSLKDLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDTIQADWRQTFADTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVHGLDLGGLTACFITLRAWKLDGRCTELSVKAHALRGQQCVHGRLVVGDGFVTITRGESIRRFFEHAEEAEEDEDDDSMDKDGSELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKERDKEKKCSESNDSLEVSKDETSASVDEDPDNAVSNRDTVSETGDISISRPGFPNIQEQFSNGYATSAMQDGSCGSPDGEVTIVKDGMGSFMIEQSKFSRRRLKFRKEVPLDPSVKWCDGRRLANVSENGAMVSRSESRHYSDNFETPSRGLNGLNRQSRINGQKPNVRNCGLKFNEKFHSLNNRMNDKYDYHSCSCHQNNEYRVKVEPHVSAARIARDCKSVGKAESTVDMSKQFYRGNKYGQIDYMREGCVRPKSKIITANNSSSRDLLHSKKVWEPTESHRKYARSNSDCDVTLKSSNLKAEELEPDRNVNCSVDNCSSEVTGNFGETDHEENHTGKSGNPNKGCQNGQNVEVNQETPYEEVGSCLAKNSGSSGTSDPSICSGSNSDNCSSCLSEGDSNTASSSHGNLECSSTSDSEDTSQQSEGRETSLCQNSFSNSHEVGMESMSGGGEFRGRKLFGLPPDGLRMNALGNLSTKIVQSTDSGIPTVNVGSQHQGIFPPMQNQNLQFPVFQAPSLNYYHQNPVAWPAAPPSGLMPFPHTNHYLYAGPLSYGLNGNSRLCMQYSPVQQLATPIFNPGPVPVYQPVGKPNGLNSEEQLKMRAVQEALNDTKAEKAALAGSHAIEVLPNREGRKVDNSAKLHVNNTSFSLFHFGGPVALSTGCKPDPLPSKDGIVGDISSKVLADEVENDAACNKKEATVEEYNLFAASNGIRFSIF >Manes.08G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4178459:4192204:-1 gene:Manes.08G042700.v8.1 transcript:Manes.08G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAQRNEQFSNASSGVYSLSANGFWSKHRDDVSYNQLQKFWSELSPQARQKLLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGVGGHLSCNRTGASKNQSDGESNMMNGCQDEIQDPSVHPWGGLTAIRDGSLTLLNCYLYSKSLKDLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDTIQADWRQTFADTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVHGLDLGGLTACFITLRAWKLDGRCTELSVKAHALRGQQCVHGRLVVGDGFVTITRGESIRRFFEHAEEAEEDEDDDSMDKDGSELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKERDKEKKCSESNDSLEVSKDETSASVDEDPDNAVSNRDTVSETGDISISRPGFPNIQEQFSNGYATSAMQDGSCGSPDGEVTIVKDGMGSFMIEQSKFSRRRLKFRKEVPLDPSVKWCDGRRLANVSENGAMVSRSESRHYSDNFETPSRGLNGLNRQSRINGQKPNVRNCGLKFNEKFHSLNNRMNDKYDYHSCSCHQNNEYRVKVEPHVSAARIARDCKSVGKAESTVDMSKQFYRGNKYGQIDYMREGCVRPKSKIITANNSSSRDLLHSKKVWEPTESHRKYARSNSDCDVTLKSSNLKAEELEPDRNVNCSVDNCSSEVTGNFGETDHEENHTGKSGNPNKGCQNGQNVEVNQETPYEEVGSCLAKNSGSSGTSDPSICSGSNSDNCSSCLSEGDSNTASSSHGNLECSSTSDSEDTSQQSEGRETSLCQNSFSNSHEVGMESMSGGGEFRGRKLFGLPPDGLRMNALGNLSTKIVQSTDSGIPTVNVGSQHQGIFPPMQNQNLQFPVFQAPSLNYYHQNPVAWPAAPPSGLMPFPHTNHYLYAGPLSYGLNGNSRLCMQYSPVQQLATPIFNPGPVPVYQPVGKPNGLNSEEQLKMRAVQEALNDTKAEKAALAGSHAIEVLPNREGRKVDNSAKLHVNNTSFSLFHFGGPVALSTGCKPDPLPSKDGIVGDISSKVLADEVENDAACNKKEATVEEYNLFAASNGIRFSIF >Manes.15G063500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4813839:4817592:1 gene:Manes.15G063500.v8.1 transcript:Manes.15G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHMFYDQPMQGQDSYSWHPDQNLGQYPISDDGSQEMHLSAESFEQHCTLESSSGTGCDPVHNSPPSAGFSSNESAISQLNSRSCLLDMHDSSENTSSSPDRDSYVTHKLRELESMMLEPIDDPDIYNIMTPAGHSHITFEEKKYKYLVEMTSRGDLKEALCACAQAVANKDMLTVEWLMMELRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPASAELLSYMHILYEVCPYFKFGYISANGAIAEAVKDEKKVHIIDFQISQGSQWFTLIQALAARPGGPPHIRLTGIDDSTSAYARGGGLDIVGQRLSRLAQSCNVPFEFHAAAVSASEIQLENLGIQPGEAIAVNFALTLHHVPDESVDTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLPRFIETLNYYTAVFESIDVTLPREHKERINVEQHCLAREVVNLVACEGAERVERHEPLSKWRSRFAMAGFVPYPLSTFVNATIKTLLESYSNKFTLEERDGALYLGWMNRPLIASCAWR >Manes.05G030050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2568343:2571390:1 gene:Manes.05G030050.v8.1 transcript:Manes.05G030050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQDQESDSYNLITNSSGLEIRLFYVRITPCVINSVPDHLTLRHLRREISTPLEINGFRIPAADSASVTLRRDRLNKESSEVTYVSTDSVRVTGAVEFEVLESNDLFLCGSLERIESVWGNGSVGLENDSKTGWSMECYMAASVGEGSSVFFQPKMGVSAPAIEVYIAGCCGGVPVILTKTILVSPKRKLSRHGMLDAIPEDDEMEMEKERSGGGSNGSFRLRKVKISESDDDECESDGKIANRYYSEDLYYGEDGQLTWFNAGVRVGVGIGLGMCLGIGIGVGLLMRSYQATTRNFRRRFF >Manes.01G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7209563:7223125:1 gene:Manes.01G037200.v8.1 transcript:Manes.01G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSVGEVLYEIRNHATGPYSSNYPPQSSKRNEGGKGVLWSLLSAPGISQLKEKWRGYRSPLKIKKPISLIISPRGERVAVATGNEITILRKEDDYREPFGTFTGSGPGIFSHGVWSETHDILGIADDNDVLYFIKANGEEITRIMKGQLKAAFPIIGLIQQDNTDAHGSCLCSFLILASNGFLHHVEISPQPAASISSMSTSKSGLTVKRQFPKDVYCFDYDPEHSLLLVVGSADSNSLMSTGNTGSCQLSLWRCKDVDLEPLFSIQFEGLYCKPKDYVGQITYPKVLMSPRGSFVATLDITGCLHFLKLDKKQCSLSSFDVGDKLGSQSRVTSNLSNRQNELLIDNVDFTWWSDHIVTLARRGGLVTMLDILTGLKLQEKDPVYLMPVLDRVQQLQGQIFVLESKSCGDIKISSNDNGESRSVDHVQQINEDASDQFELSRLRWRLISISERSIPEMYSILIDNHKYQEALDFANRHGLDIDEVIKSQWLHSSQGVNEINMFLSNIKDHGFVLSECFNKAGPTEGAVKALLAHGLHATDQYHFSKSEDYEKSQIWDFRLARLQLLQFRDRLETYLGINMGRFSVQEYGKFRVMPLSEVAVALAESGKIGALNLLFKRHPYSLSPSILPILAAIPETVPVQTYGQLLPGRSPPVSVSLRDEDWVECEEMLSFINNLPENHESAIQIRTEPMVKRCSGYIWPSISELSVWYMNRARDIDSYSGQLDNCLFLVDLACRKGIIELQQFHNDISFLHQLIYSDDSDGEIGFNISLMEWEELSDYEKFRMMLKEVNEEIVVKKLHDMAIPFMQSRFHDLTSVTQGQVEDGHFSLDHKDESFLVRWLKEIASENKLDICLIVIEEGCRELQTNGFFKDENEAVDCALQCVYLCTVADRWSTLATILSKLPQKQDAVTYTCDLEKRLKLAEGHIEAGRLLAFYQVPKPMNFFIEGHEDEKGVKQILRLMLSKFVRRQPGRLDNEWASMWRDMQCLREKAFPFLDPEYMLTEFCRGLLKAGRFSLARNYLKGTSSVSLASEKAENLVIQAAREFFFSASSLSCSEIWKAKECLNLFPSSRHVQAEADMIEALTVKLPNLGVTLLPMQFRQIRDPMEIVKMAIASQPGAYLHVDELIEVAKLLGLNSPDDISAIEEAIAREAAVAGDLQLAFDLCLVLAKKGHGLIWDLCAAIARGPALENMDINSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCDTLVMLTGSVPNFPPEDSSIMSVPVHSIQDMADLKDCSKLVDVANNDNQETHLSKAKERLSSVAKSLPIDHGTDIESFLRENGKILSFAAFQLPWLLDLSRKAVNNKKLSDFIPGKQYMSIQTQALIIMLSWLVRNVFAPKDNVIASLAKSIIEPPATEEKDVLGCSLLLNLVDAFSGVEVIEEQLRIRKNYQEICSIMNVGMTYCLLRNFEVECNGPFQRRELLLRKFEEKRTPLSSDEINKIDEVQSTFWRQWKLKLEEKKRVAEHSRVLERIIPGVETTRFLSGDYNYIENVVFSLIESVKLEKKRILKDVLQLADTYGLNHTEVLLRYLSSILVSEIWTDDDIMAEISEVKTEIVDCASETIKTISLVVYPAIDGCNKQRLACIFGLLSDCYLQLEETKQSLQAIEECSSRLSTLELACLYKVMEQECERVSFIKNLNFKNVAGLDGLNLQSLRSEVCRHINEFNLEALAKMVQTLANIYTNSVPEDLMMWQDVYKHYILSLFTTLQNSTGMELNIGNPEKFQEFISQLEHTYDSSQMYIRLLAPADALDIMKQYLTVIIPLHGFRGSIPDNSTWQDCLIILLNFWLRLTEEMQEIASNESSIEKIRFRPECLSSCLKVLMRLVMEDTVSPSQSWGTIVGYVTNGLIGDFPVEILIFCKAMVFSGCGFGAVSEVFSEALSHCDTHSTPSADSEAQDLLHLYINMLEPILKDLVSGSHENHNLYHLLSSLSKLEGQLEDLQRVRWVVWERMVHFSDNSQLPSHVRVYVLELMQLIGGRNIKGFSAELQSKVLPWEGWDELLSAGRKSETTANHGLLDNTDASNQVTSTLVALKSSQLAAAISPTKEITPDDLLNTETAVSCFLKLCEGSNSNTDVEVLLAILEEWEGFFVVRRVEKDSAEASAAGIDWNNDDWDEGWESFQEVESLDKEKTGNCLCVHPLHVCWMEIFKKLIALSRLNDILRLIDQSLPKSNGILLDEDDTKMLSQILLEIDCLLALKLVLLLPYEAIQLQCLVAVEDGLKQGGISDTVGRDQEFFILILSSGIVSTIMSNSLYGITFSYLCYLTGIFSRQCQEAELSRTVKKGNKEPVDNEDFLLIFRRILFPSFISELVKADQQILGGFFVTKFMHTNASLSLINVAEASLRRFLERQLHTLQQDECDPEEMSSCKMLKNTVSSLREKLANSIQSAMALLPNVR >Manes.01G037200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7209563:7222409:1 gene:Manes.01G037200.v8.1 transcript:Manes.01G037200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSVGEVLYEIRNHATGPYSSNYPPQSSKRNEGGKGVLWSLLSAPGISQLKEKWRGYRSPLKIKKPISLIISPRGERVAVATGNEITILRKEDDYREPFGTFTGSGPGIFSHGVWSETHDILGIADDNDVLYFIKANGEEITRIMKGQLKAAFPIIGLIQQDNTDAHGSCLCSFLILASNGFLHHVEISPQPAASISSMSTSKSGLTVKRQFPKDVYCFDYDPEHSLLLVVGSADSNSLMSTGNTGSCQLSLWRCKDVDLEPLFSIQFEGLYCKPKDYVGQITYPKVLMSPRGSFVATLDITGCLHFLKLDKKQCSLSSFDVGDKLGSQSRVTSNLSNRQNELLIDNVDFTWWSDHIVTLARRGGLVTMLDILTGLKLQEKDPVYLMPVLDRVQQLQGQIFVLESKSCGDIKISSNDNGESRSVDHVQQINEDASDQFELSRLRWRLISISERSIPEMYSILIDNHKYQEALDFANRHGLDIDEVIKSQWLHSSQGVNEINMFLSNIKDHGFVLSECFNKAGPTEGAVKALLAHGLHATDQYHFSKSEDYEKSQIWDFRLARLQLLQFRDRLETYLGINMGRFSVQEYGKFRVMPLSEVAVALAESGKIGALNLLFKRHPYSLSPSILPILAAIPETVPVQTYGQLLPGRSPPVSVSLRDEDWVECEEMLSFINNLPENHESAIQIRTEPMVKRCSGYIWPSISELSVWYMNRARDIDSYSGQLDNCLFLVDLACRKGIIELQQFHNDISFLHQLIYSDDSDGEIGFNISLMEWEELSDYEKFRMMLKEVNEEIVVKKLHDMAIPFMQSRFHDLTSVTQGQVEDGHFSLDHKDESFLVRWLKEIASENKLDICLIVIEEGCRELQTNGFFKDENEAVDCALQCVYLCTVADRWSTLATILSKLPQKQDAVTYTCDLEKRLKLAEGHIEAGRLLAFYQVPKPMNFFIEGHEDEKGVKQILRLMLSKFVRRQPGRLDNEWASMWRDMQCLREKAFPFLDPEYMLTEFCRGLLKAGRFSLARNYLKGTSSVSLASEKAENLVIQAAREFFFSASSLSCSEIWKAKECLNLFPSSRHVQAEADMIEALTVKLPNLGVTLLPMQFRQIRDPMEIVKMAIASQPGAYLHVDELIEVAKLLGLNSPDDISAIEEAIAREAAVAGDLQLAFDLCLVLAKKGHGLIWDLCAAIARGPALENMDINSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCDTLVMLTGSVPNFPPEDSSIMSVPVHSIQDMADLKDCSKLVDVANNDNQETHLSKAKERLSSVAKSLPIDHGTDIESFLRENGKILSFAAFQLPWLLDLSRKAVNNKKLSDFIPGKQYMSIQTQALIIMLSWLVRNVFAPKDNVIASLAKSIIEPPATEEKDVLGCSLLLNLVDAFSGVEVIEEQLRIRKNYQEICSIMNVGMTYCLLRNFEVECNGPFQRRELLLRKFEEKRTPLSSDEINKIDEVQSTFWRQWKLKLEEKKRVAEHSRVLERIIPGVETTRFLSGDYNYIENVVFSLIESVKLEKKRILKDVLQLADTYGLNHTEVLLRYLSSILVSEIWTDDDIMAEISEVKTEIVDCASETIKTISLVVYPAIDGCNKQRLACIFGLLSDCYLQLEETKQSLQAIEECSSRLSTLELACLYKVMEQECERVSFIKNLNFKNVAGLDGLNLQSLRSEVCRHINEFNLEALAKMVQTLANIYTNSVPEDLMMWQDVYKHYILSLFTTLQNSTGMELNIGNPEKFQEFISQLEHTYDSSQMYIRLLAPADALDIMKQYLTVIIPLHGFRGSIPDNSTWQDCLIILLNFWLRLTEEMQEIASNESSIEKIRFRPECLSSCLKVLMRLVMEDTVSPSQSWGTIVGYVTNGLIGDFPVEILIFCKAMVFSGCGFGAVSEVFSEALSHCDTHSTPSADSEAQDLLHLYINMLEPILKDLVSGSHENHNLYHLLSSLSKLEGQLEDLQRVRWVVWERMVHFSDNSQLPSHVRVYVLELMQLIGGRNIKGFSAELQSKVLPWEGWDELLSAGRKSETTANHGLLDNTDASNQVTSTLVALKSSQLAAAISPTKEITPDDLLNTETAVSCFLKLCEGSNSNTDVEVLLAILEEWEGFFVVRRVEKDSAEASAAGIDWNNDDWDEGWESFQEVESLDKEKTGNCLCVHPLHVCWMEIFKKLIALSRLNDILRLIDQSLPKSNGILLDEDDTKMLSQILLEIDCLLALKLVLLLPYEAIQLQCLVAVEDGLKQGGISDTVGRDQEFFILILSSGIVSTIMSNSLYGITFSYLCYLTGIFSRQCQEAELSRTVKKGNKEPVDNEDFLLIFRRILFPSFISELVKADQQILGGFFVTKFMHTNASLSLINVAEASLRRFLERQLHTLQQDECDPEEMSSCKMLKNTVSSLREKLANSIQSAMALLPNVR >Manes.17G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26100350:26108157:1 gene:Manes.17G061400.v8.1 transcript:Manes.17G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMIYTYSLDWFSSTTIQPENGRLINPPNEVPSSRKEQFVFLFLAMVGCFAPSPLGPDQGSQRKWIQKKKKKKEARVGGILMISSILLMFMLQMSRVEVQAAYLPQAEVEALREIATQLGKRDWNFSVDPCSRESSWETPKSESMPQYNNTILCNCTGLDGVCHVVRIILKGQDLDGVLPPSIAKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQIPSYMGGMTSLKYLNLENNMFYGPVPAELGNLVDLESLILSANNLSGSLPQNLTMITNLTDFRISGNNFSGKIPSLIQNWKGLKRLEIQGSGLEGPIPSSISALTNLIDLRISDILGEGSDFPNLQNMTKIQYLTLRNCNLSGPFPDYIVQMGTLFILDLSFNRLTGNLPIPTNNEYLAVCMANNLLNGPIPDWIMNRKSPTDVSYNNFSGTPDPRACRDNLNLFKSTSRGKDLKAVESLECLGNYGCSKDHYSLHINCGGREITIGKFRYEADEKNGGEALYVTSKDNWVLSSTGRFRDTNNALDQFIAKNVSVLSMNNSELYTSARLSPLSLTYYARCLAKGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQGELVLKDFEIKKKAPGVDKVLITPFKAVVKDGTLEILFQWVGKGTTAAPHRGIFGPLISAIDVEADFKPPIDWKKFIVAGAVVFPLLLIFIIVGILWWKGCLGGRILREKDLKGLDLQTGSFTLRQLRAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLRHPNLVKLYGCCVEGNQLLLVYEYMENNSLAHALFDSETSSLMLDWATRQKICVGIARGLAFLHEESPLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEDNTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRCNTSYRPKNDAVCLLDWAFILHQRGNLMEIVDPRLGFEFNVEEAERMLKVALLCTNASPTIRPTMSAALSMLEGQKSIEEVVSDPSIYADGMRFKPLKNHHQQISHDGSSTSQALTFSSDNTGVGSSTISGHDLYPINPESTNFKLSETSPLSCQW >Manes.17G061400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26101226:26108157:1 gene:Manes.17G061400.v8.1 transcript:Manes.17G061400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYNNTILCNCTGLDGVCHVVRIILKGQDLDGVLPPSIAKLPFFKELDLTRNYLSGNIPREWVSTKLEILSISVNRLTGQIPSYMGGMTSLKYLNLENNMFYGPVPAELGNLVDLESLILSANNLSGSLPQNLTMITNLTDFRISGNNFSGKIPSLIQNWKGLKRLEIQGSGLEGPIPSSISALTNLIDLRISDILGEGSDFPNLQNMTKIQYLTLRNCNLSGPFPDYIVQMGTLFILDLSFNRLTGNLPIPTNNEYLAVCMANNLLNGPIPDWIMNRKSPTDVSYNNFSGTPDPRACRDNLNLFKSTSRGKDLKAVESLECLGNYGCSKDHYSLHINCGGREITIGKFRYEADEKNGGEALYVTSKDNWVLSSTGRFRDTNNALDQFIAKNVSVLSMNNSELYTSARLSPLSLTYYARCLAKGNYTVKLHFAEIVIRDNRSFQSLGRRIFDVYIQGELVLKDFEIKKKAPGVDKVLITPFKAVVKDGTLEILFQWVGKGTTAAPHRGIFGPLISAIDVEADFKPPIDWKKFIVAGAVVFPLLLIFIIVGILWWKGCLGGRILREKDLKGLDLQTGSFTLRQLRAATNNFDSANKIGEGGFGSVYKGELLDGTIIAVKQLSSKSRQGNREFVTEIGMISGLRHPNLVKLYGCCVEGNQLLLVYEYMENNSLAHALFDSETSSLMLDWATRQKICVGIARGLAFLHEESPLRIIHRDIKATNVLLDRDLNAKISDFGLAKLFEEDNTHVSTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGRCNTSYRPKNDAVCLLDWAFILHQRGNLMEIVDPRLGFEFNVEEAERMLKVALLCTNASPTIRPTMSAALSMLEGQKSIEEVVSDPSIYADGMRFKPLKNHHQQISHDGSSTSQALTFSSDNTGVGSSTISGHDLYPINPESTNFKLSETSPLSCQW >Manes.05G151700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26099124:26112460:1 gene:Manes.05G151700.v8.1 transcript:Manes.05G151700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQKNYGAKVRSKPIEIGLDSDDDEPIRSLFKLKRPRNPKKVKVVLDKIEAREEKKDNDFGGMDDTLASFRKRLKGPKKDIGSVIIRPLEDKVDNGYSEGGDVLDLATKKVVVEGKRKEKVKKTNIGSKRETNRGDATVFDTLRSQVEVLKEEDSFPSGGSSGSSDQEEDSLSHIFHKAQSGPIRKSLINPFSKQNSRVHNLEDGLSPTSECISELSKSVIACIPGTASASNVVSTDLKAEDGCTIVSGLRPSDFVSEHSKTVKHQRLDNGFSQSYFMEGNSGENMKSSCQRHARSVEFISSPSAFHCSGIQDERIVDPGVSNVKEEPVMNPCAPNRVCDENCSFSGQVDNLDDQSMKNGLKLCSIRKVNTLIHDVAKVPTSASVQFEDIDGFSEQKSNKDLRDAWDHQHYSRMGEAAKTDTTLEFDQCPEASLHVQSHLADSTFASPKIEETCSDCDFPNDYGEKSYIASVSPKRKTAATSDGKLPLKTATSVQEDKDACSYHMNHQGNLETSVHPNKSSISIQKCSPVMHQSIHSEDATKLNCVPSHDYLSINEETHGASPLSITPEENESYPDDVVSIPDSEIKDGKLSSVQRGARKAKKRRHGDMAYEGDPDWEILINDQRYQENNQVMDTDRCFRMREKSDSSSISFTEADNGGAAAVSVGLKAHAAGPVEKIKFKEVLKRKGGLQAYLECRNHILGLWSKDVTRILPLADCGVTDTPSEEESSRASLIRDVYAFLDQSGYINIGIASNKEKAEPCVRHNYKLVEEKTFEVKPGASVADLEDGVSYILGQFKSSETPLEANNTATVDNENPASKATKSWELVTPVKVEVTSVTERWECPADDIQQDATLKAKLPNGFVNVEDASDYPFCATLDSRTGVISPDLRNDVQSVQSSSGDDTRGSHSIQCDSEYRKKIIVVGAGPAGLTAARHLDRQGFSVTLIEARNRIGGRVYTDRSSLSVPVDLGASIITGVEADVATERRPDPSSLICAQLGLELTVLNSDCPLYDIVTREKVPTDLDEELEAEYNSLLDDMVLLVAQKGEHAMKMSLEDGLEYALKSRRMARPGTDIDETELQNAMDNFSVSKTCSSDGGVPEKNCSKEEILSPLERRVMNWHFAHLEYGCAALLKEVSLPYWNQDDVYGGFGGAHCMIKGGYSNVAESLCEGLCIHLNHVVTDISYNTKETGLSENNLHHKVKVSTSNGGEFFGDAVLITVPLGCLKAETIKFNPQLPQWKYSSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDRRGHCFMFWNVKKTVGAPVLIALVVGKAAIDGQSMSSSDHVSHALMVLRKLFGEAVVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPIENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILNTGNDYTAEVEAMEALQRHSECERDEVRDITKRLEAVELSNVLYRNSLDGAQILTREALLRDMFFSAKTTAGRLHLAKKLLNLPVETLKSFAGTRKGLTTLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKASNGGLKPLRQATALDSSKRKSVHNPASGKPPLRTHSGGGGLETRACLEVPQSSGSHVHSNANVKKGNGKLVKVETLKDSTSHASLGSQDAEVEEESTYAMSEEELAALAAAEAAHAAARAAAEAYASAEAKSNTALQLPKIPSFHKFARREQYAQMEDYDIRKNWSGGILGKQDCASEIDSRNCRVRDWSVDFSATCVNLNSSRISVDNLSQQSHSNEIACHMNFREQSGETAAVDSSLFTRAWVDTAGNEGIKDYHAIERWQSQAAAAESNFFHPATHIKDEEDSNTCSRPPTWKNDGRLNESSISQVPLNKEPQKNHLRGADRIKQAVVDFVASLLMPVYKARKIDREGYKSIMKKTATKVMEQATDTEKTMAVSEFLDFKRKNKIRAFVDKLIERHMAMKPAVKPS >Manes.05G151700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26099124:26112460:1 gene:Manes.05G151700.v8.1 transcript:Manes.05G151700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQKNYGAKVRSKPIEIGLDSDDDEPIRSLFKLKRPRNPKKVKVVLDKIEAREEKKDNDFGGMDDTLASFRKRLKGPKKDIGSVIIRPLEDKVDNGYSEGGDVLDLATKKVVVEGKRKEKVKKTNIGSKRETNRGDATVFDTLRSQVEVLKEEDSFPSGGSSGSSDQEEDSLSHIFHKAQSGPIRKSLINPFSKQNSRVHNLEDGLSPTSECISELSKSVIACIPGTASASNVVSTDLKAEDGCTIVSGLRPSDFVSEHSKTVKHQSGIQDERIVDPGVSNVKEEPVMNPCAPNRVCDENCSFSGQVDNLDDQSMKNGLKLCSIRKVNTLIHDVAKVPTSASVQFEDIDGFSEQKSNKDLRDAWDHQHYSRMGEAAKTDTTLEFDQCPEASLHVQSHLADSTFASPKIEETCSDCDFPNDYGEKSYIASVSPKRKTAATSDGKLPLKTATSVQEDKDACSYHMNHQGNLETSVHPNKSSISIQKCSPVMHQSIHSEDATKLNCVPSHDYLSINEETHGASPLSITPEENESYPDDVVSIPDSEIKDGKLSSVQRGARKAKKRRHGDMAYEGDPDWEILINDQRYQENNQVMDTDRCFRMREKSDSSSISFTEADNGGAAAVSVGLKAHAAGPVEKIKFKEVLKRKGGLQAYLECRNHILGLWSKDVTRILPLADCGVTDTPSEEESSRASLIRDVYAFLDQSGYINIGIASNKEKAEPCVRHNYKLVEEKTFEVKPGASVADLEDGVSYILGQFKSSETPLEANNTATVDNENPASKATKSWELVTPVKVEVTSVTERWECPADDIQQDATLKAKLPNGFVNVEDASDYPFCATLDSRTGVISPDLRNDVQSVQSSSGDDTRGSHSIQCDSEYRKKIIVVGAGPAGLTAARHLDRQGFSVTLIEARNRIGGRVYTDRSSLSVPVDLGASIITGVEADVATERRPDPSSLICAQLGLELTVLNSDCPLYDIVTREKVPTDLDEELEAEYNSLLDDMVLLVAQKGEHAMKMSLEDGLEYALKSRRMARPGTDIDETELQNAMDNFSVSKTCSSDGGVPEKNCSKEEILSPLERRVMNWHFAHLEYGCAALLKEVSLPYWNQDDVYGGFGGAHCMIKGGYSNVAESLCEGLCIHLNHVVTDISYNTKETGLSENNLHHKVKVSTSNGGEFFGDAVLITVPLGCLKAETIKFNPQLPQWKYSSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDRRGHCFMFWNVKKTVGAPVLIALVVGKAAIDGQSMSSSDHVSHALMVLRKLFGEAVVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPIENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILNTGNDYTAEVEAMEALQRHSECERDEVRDITKRLEAVELSNVLYRNSLDGAQILTREALLRDMFFSAKTTAGRLHLAKKLLNLPVETLKSFAGTRKGLTTLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKASNGGLKPLRQATALDSSKRKSVHNPASGKPPLRTHSGGGGLETRACLEVPQSSGSHVHSNANVKKGNGKLVKVETLKDSTSHASLGSQDAEVEEESTYAMSEEELAALAAAEAAHAAARAAAEAYASAEAKSNTALQLPKIPSFHKFARREQYAQMEDYDIRKNWSGGILGKQDCASEIDSRNCRVRDWSVDFSATCVNLNSSRISVDNLSQQSHSNEIACHMNFREQSGETAAVDSSLFTRAWVDTAGNEGIKDYHAIERWQSQAAAAESNFFHPATHIKDEEDSNTCSRPPTWKNDGRLNESSISQVPLNKEPQKNHLRGADRIKQAVVDFVASLLMPVYKARKIDREGYKSIMKKTATKVMEQATDTEKTMAVSEFLDFKRKNKIRAFVDKLIERHMAMKPAVKPS >Manes.09G037601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7105882:7106691:-1 gene:Manes.09G037601.v8.1 transcript:Manes.09G037601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTGVKLVLWFYCRSSGNEIVRAYAKDHYFDVVTNVIGLIAAVLGDKFYWWIDPVGALLLAVYTISNWSGTVLENAVSLIGQSAPPEVLQKLTYLVLMHHPQIKRVDTVRAYTFGALYFVEVDTELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSVLNRLPNNLD >Manes.06G087500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22386324:22391967:-1 gene:Manes.06G087500.v8.1 transcript:Manes.06G087500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIIICPMGYCLSLLFFLASLPGIFAQDVKHATVVVDGTLTTATTDDNFICATLDWWPHDKCDYNQCPWHYSSVINLNLSRPLLAKAIQAFRYLRMRIGGSLQDQVFYDVGNLNSTCHPFRKMKDGLFGFSKGCLHMNRWDELNHLFSRTGAIVTFSLNALHGRHQIRKGVWGGAWDSSNAYDFMNYTVSKGYKIDSWEFGNELSGSGIGASVSAELYGKDVIKLKEIIKDLYKNSDSKPSLVAPGGFYNQQWYAKLLQVSGSGIVNIMTHHIYNLGAGVDPNLVNKILDPRHLSKVSETFSGIVQTIQHNGPWASAWVGESGGAFNSGGHRVSNTFVNSFWYLDQLGMAAKYHTKVYCRQTLIGGNYGLLNATTFIPNPDYYSALLWHRLMGKGVLAVGSDASPYLRAYAHCSKGRAGITLLLINLSNQTDYIISVRNSMTMRLHTKKKMQTESSLIHGLKRSVSWVGHDTLNGATREEYHLTPKDGYLRSETMVLNGIPLQLTESGDIPRMDPVHNNVNSPIYISSLSISFIVFPNFDAPSCA >Manes.03G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4610787:4614764:1 gene:Manes.03G049900.v8.1 transcript:Manes.03G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNKLRSKFRRMCVFCGSNSGYRQVFSDAAIELGDELVKRKIDLVYGGGSVGLMGLISQKVYDGGCHVLGIIPRALMPLEISGETVGEVRTVSDMHERKAAMAREADAFIALPGGYGTMEELLEMITWSQLGIHKKPVGLLNIDGYYNCLLALFDNGVEEGFIKPGARNIFVSAPTAKELLELMEQYTPSHQQVASHESWNMEQLGNYPTQHNEQ >Manes.12G012000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1207979:1210842:-1 gene:Manes.12G012000.v8.1 transcript:Manes.12G012000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKRASVKRPPTPVADQVHAHSSQQLTLQEIINIKLIESGEKERLKELLRERLIECGWRDEMKALCRAFTRRKGRNNVTVDDLVHVITPKGRASVPDSVKAELLQSIRSFLASAI >Manes.12G012000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1207690:1210879:-1 gene:Manes.12G012000.v8.1 transcript:Manes.12G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKRASVKRPPTPVADQVHAHSSQQLTLQEIINIKLIESGEKERLKELLRERLIECGWRDEMKALCRAFTRRKGRNNVTVDDLVHVITPKGRASVPDSVKAELLQSIRSFLASAI >Manes.12G006250.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:690511:693377:-1 gene:Manes.12G006250.v8.1 transcript:Manes.12G006250.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSFLILLSFFLLLALPYVAAKDSEVANEKEESTVPLKDLGRRGMIVTGDLDVNSVGLGLQVDSGLGIFDAFIASLSMIIVSEIGDETFIIAALMAMRHPKSIVLSGALSALIVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKSSQKKEMEEVEEKLEAGQGKTTFRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAIGVAVGATLGHTVCTSLAVVGGSMLASKISQGTVAMIGGLLFLGFSLSSYFYPPL >Manes.18G020100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1985835:1990526:-1 gene:Manes.18G020100.v8.1 transcript:Manes.18G020100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIQSCTQKSMLFSKVLLSSAKNSFCNNRLVSAASKLQRASYITSEYRTYRRSSTVSGDASRSFPSGDANLHPDPRSYEVVVAATHDMGIGKDGKLPWRLPSDLNFFKKLTLTTSNPGKKNAVVMGRKTWESIPLRYRPLPGRLNVVLTRSGSSDITAAENVVVCGSIPSALELLAEAPYSFSIERVFIIGGGQILRETINAPGCDAIHITEIETSIKCDTFIPAVDSSLFQLWYSSLPLVENNIRYSFVTYVRVKNSEK >Manes.03G035900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3145628:3147118:-1 gene:Manes.03G035900.v8.1 transcript:Manes.03G035900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTIHRLEHHSEKKRPPGPQSAMEDLRSRSYGDGRMQIQSYYGAGDMGPISSSGVSNMQDLRCYSASYASSVYPTQSQAQTQVGNNDVRFKKGKSANGSVSKSWSFNDPELQRKKRVASYKVYSVEGKVKGSFKKSFRWLKDRI >Manes.18G125550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:14344437:14344781:1 gene:Manes.18G125550.v8.1 transcript:Manes.18G125550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICWALWHNRNNVVWKAQVRTASGVFFMALNFLQQWRGACSDSTSCTNAVSALTVWSPPPQGWIKVNIDASLNSQRSSLGFGCVVRDANGRFMAAKAGCFCSQMEVKCAEAMTF >Manes.02G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5249171:5251659:-1 gene:Manes.02G068500.v8.1 transcript:Manes.02G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFNLHMNAIASCDETENRGLVSICDSKGPVVCPKPRRVANLANYSSRTLRWHTSRQAEMCDSKAGAELLDIILMKDGHGTEQSAAHVASPLPFFCGSPPARAANPVIQDARFGDEKLTPMLPLLIPSPSSSSSPTSRKGGCMRMKFGLKPAAVRVEGFDCLNRDRQNSSIPAMA >Manes.15G135700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10980543:10982289:-1 gene:Manes.15G135700.v8.1 transcript:Manes.15G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTVTILKSPPMKGGDDEYSYTKNSTFQKETISIAKEIIDEEIAHKLDIKGLLSATSSSSFRIADLGCSVGPNTFIAMENVIEAVKHKYQSQGLTSQIPEFQVFFNDQDSNDFNTLLRSLPHKSPYFAAGVAGSFHARLFPKSSLHFIHASHALHWLSQVPNEVVDKNSAAWNKGRIFYTTAPEEVRKAYAAQFAKDVEMFLDARAKELVAGGMLVLIIGSFRNGISPSRTLASVLFDILESTLLDMAKEGLISEAQVDSFNLPIYFTSPDEMEHIIEKNGCFKIERTELFNPESVVKATLSGQACTMHFRAGFEGMLGNHFGSEIIDELFYRHSKKAEEFSSRLQASSNEGNQLFLALKRK >Manes.10G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28110079:28112216:-1 gene:Manes.10G115100.v8.1 transcript:Manes.10G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEQAPPGDAKSGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWEESTLYEYLLNPKKYIPGTKMVFPGLKKPQDRTDLIAYLKNATA >Manes.04G051700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:10189609:10190316:-1 gene:Manes.04G051700.v8.1 transcript:Manes.04G051700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWKKVFNRPTVNVNSTLTPLELRDFHENLKKIIWVLRIWSFVKHQVMKTFGTCFSIDKNGLAITCAHLLQNGHKHIISARRLDETHFLFEVEILDQKPKWDITLLEIKGFNNSSYGIFARDGSLNAGQRLIHIRHPGSLVGSFLDGMVAFQCVDDIVFPLDNQKRQTYLSTALQFTSRYRIMGHIFNSEVFQESRANVEREKTFEKNLHPLVPLIQIFGLNSSEGCSGGPIFYTK >Manes.06G043049.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13249083:13249630:-1 gene:Manes.06G043049.v8.1 transcript:Manes.06G043049.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRAGQGTFGGRFGGRKSLQSRKSGRFGGTFGGRNSQTETKVSFRGQASTAEGCLHKHVRRPKVPSAAEPGFSQNGRNSAPLCIYASTLIQTCINLFYNTHTQAYKFLGASNHQKPQLQHTSNSHCSKTQIKPINLTMS >Manes.15G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:740894:744472:1 gene:Manes.15G007300.v8.1 transcript:Manes.15G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFNLPFLILVAIVPFAISQTNIEAPPPAANSCNGIFLSYQYGGGTQLKPTNPTHQPYRFESTLSIQNNGLDQLKSWKVFVGFKNDEFLVSASNAILADGTSLPASVGNGTIFAGYPMTDLKTPIETAGDYTQTSVQVKLLGTQFGVPLKDVPWPSNIALANDGFVCPKTTKEGSMMYVCCTRDENFKSNISVEEEFLPRQNGDLTIIYDVIRTYDSNYWAQVSIENHNPLGRLDNWKLSWDWMMDEFIYTMKGAYPYVVDSSDCIFGPQATFYKEIDFANVLNCERRPTIIDLTPTKYNDTTFGLKPFCCRNGTILPPSMDPSKSTSVFQMQVFKMPPVLNRSDLTPPQNWKINGTLNPDYQCGPPVRVSPSQFPDPSGLPSSSTAVASWQVVCNITHPRGVSPRCCVSFSAYYNDSVVPCNTCACGCASNTERTCSATAPAVLLPPEALLISSDNRTALARAWADLKHQSVPNPMPCGDNCGVSINWHLYTDYTHGWSARISIFNWDEIAFPDWFAAVKLDKAAAGFKAMYSFNGSMVKGVNSTIFMQGLPGLNYLVAETDGADLQKNPRVPGKQQSVISFTKKSMPGLNVAAGDGFPTKVFFNGEECSLPKIYPTGNGNRREPTMTLWIFLATVLGMLMQQ >Manes.09G086000.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25616270:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25616270:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25616270:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25616270:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25616270:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25616270:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25615169:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25615169:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25616270:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.09G086000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25616270:25619779:-1 gene:Manes.09G086000.v8.1 transcript:Manes.09G086000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAPKEKDPSNSRMKLGVCRLSLSPTLPRRLCNLGGSPSRMGQRKVQSHTHKPESSLFSSDTLRNCSKLTAESNVDELFDETPKLDVVSATTIIGRFVRQHRYKEAIHFFSRMLFWNIRPNEFTFGSVIPLSTALEDLCLGKQFHACALKMGLNDIVFVGSAILDVYAKLRSIEEARKAFEDIEKPNVVSYTTLMNGYLKQGRIEDALQLFQEMPERNVVSWNVMIGGCSHTGQNEEAVNLFVEMLRQGLTPSQSTFPCVISAVSNIAALGMGKSFHAFVVKSSCNFDVFVGNALISCYAKCGSMEDSLLVFNKLPDRNIVSWNALICGFAQNGMGEDALISFERMRATGLRPNSVTLLGLLWACNHAGLVDKGSLYFNQIRIEDPNMLKPEHYACMVDLLSRFGRLKEAEELLNVLPYDPGIGFWKALLGGCHIHSNVELGELAAKKILALDPEDVSSYVMLSNAYSAAGRWQNVSKIRREMKEKGLKRVPGCSWIEIRSKVHVFVNSDRSHHQKDDIYGVLNFCIEQLREIEVPYF >Manes.11G109700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25362657:25370800:1 gene:Manes.11G109700.v8.1 transcript:Manes.11G109700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDHETGCWGCGLRLLLPSYSPIFKCGWCGAITTQNERKCETKYLWWRHARDRCFVCVLLGFMLFVICGGVWAVYPVVFSISYFCGIFHSSITVILSMITLSMFSLAAFNSPGTPPIIEWGSYPVVGKGYLENYTFCHYCSKPKSPRAHHCSSCGMCILDMDHHCPFIGNCVGAGNHRYFIAFLVSAVVSTIYVSIMSAFAVWHIWPPLPTRSLGDLSGMNSYMAWRIFKELIFALLSSALLLSPRGLVLVYLFFSSVSVEMGLIVLLLQQLCYIYQGKTYISHLNSQGGDEAGEKDCKNILRFFGCPYTVSRYLPNVWNTPKRHNK >Manes.11G109700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25362659:25370800:1 gene:Manes.11G109700.v8.1 transcript:Manes.11G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTPPDILVVSKFKEHFVGAMVEDHETGCWGCGLRLLLPSYSPIFKCGWCGAITTQNERKCETKYLWWRHARDRCFVCVLLGFMLFVICGGVWAVYPVVFSISYFCGIFHSSITVILSMITLSMFSLAAFNSPGTPPIIEWGSYPVVGKGYLENYTFCHYCSKPKSPRAHHCSSCGMCILDMDHHCPFIGNCVGAGNHRYFIAFLVSAVVSTIYVSIMSAFAVWHIWPPLPTRSLGDLSGMNSYMAWRIFKELIFALLSSALLLSPRGLVLVYLFFSSVSVEMGLIVLLLQQLCYIYQGKTYISHLNSQGGDEAGEKDCKNILRFFGCPYTVSRYLPNVWNTPKRHNK >Manes.12G108500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:29908316:29910899:1 gene:Manes.12G108500.v8.1 transcript:Manes.12G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGKDVDRIKGPWSPEEDEALRKLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDTIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSMSAVDDACFGGRDVYDGNLDGNSQPLKRSVSAGSGMAVSTGLYMNPGSPSGSDVSDSGVPVLSSSHVYRPVPRTGPVIHPVETTSSSNNNDPPTLLSLSLPGADSSEVSNRPAESTAVRVADSTPATNIISLMPAVNQVPSPAPASEVAVVGMQQRAVNGGLESGFVGFTADFMAVMQEMIRREVRNYMMEQSGGGGGSGGGGGMCFQAIGGEGFRNVVMNRVGMSKIE >Manes.16G058000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:21690921:21693582:-1 gene:Manes.16G058000.v8.1 transcript:Manes.16G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGAKVQTRSYWRLRKQDFLPEESFQSWANYRSALAQTGFRFRDRLLSRSDDANEIQELRKQSENDMKRCLTWWDLTWFGFGSVIGAGIFVISGQEMKDHAGPAIVLSYVASGISAMLSVFCYTEFAVEIPVAGGSFAYLRIELGDFVAFITAGNILLESIVGSAAVARAWTSYFTTLLNRPSNSLRIHTNLRKDFNLLDPIAVGVLIISATIAMISTRKTSFFNWIATALNTLVILFVIIAGFAHANPSNLTPFLPYGAKGIFQAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSTIIVIYCLMVLSLSMMQKYTEIDKNAPFSMAFQSVGMTWAKFLVALGALKGMTTVLLVGALGQARYTTHIARAHMIPPWFALVHPKTGTPINATLLITISSALIAFFTGLDVLASLLSLSTLFIFMMMAVALLVRRYYVRETTPRANLLKFIAFLLIIMASSMGTSAYWGLKPSGWIGYIITVPLWFLGTMGIQMTLQQQRQPKFWGVPLVPWLPSLSIATNIFLMGSLGAKAFVRFGICTVVMLVYYVFFGLHATYDMAHQEQNLSSFEVKNEDISEKGP >Manes.11G017000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1779398:1785967:-1 gene:Manes.11G017000.v8.1 transcript:Manes.11G017000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISVTCCVLLFLSFIVEGSVHEYKGERFAARGNAFVVHGGSEGIYSSKPGNFNESSVIPADGDSCIRFEKIVFRRPEESSNFTSRLVQAIVFEVEDRETIGGSAYGGQRAICCTADLAKLGACTEGEIIHHPSTKDPSWPHVFGVSFNAYELVATLPSRSIQVTKTGMYNLYFMHCDPNLKGVVVEGKTIWKNPTGYLPGRMAPLMNFYGFMSLSFVILGVFWFYQYARYWKGVFPLLNCITLVITLGMFEMALWYFDYAEFNETGVRPTGITIWAVTFGTVKRTVARLIILMVSMGYGVVRPTLGGLTSKVIMLGVTFFLASEVLELVENVGAISDLSGKARLFLVLPVALLDAFFIIWIFKSLSATLNKLQARRMMVKLDIYRKFTNALAVAVIVSVGWICYELYFKSNDVYNERWQNAWVIPAFWQVLSFSLLCIICALWAPSQNSMRYAYSDDVGEEFERDDSSLTLIKPSTMPSKDVRTAPEARPEQANIVASNGDLEEDKTE >Manes.11G017000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1779398:1786169:-1 gene:Manes.11G017000.v8.1 transcript:Manes.11G017000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISVTCCVLLFLSFIVEGSVHEYKGERFAARGNAFVVHGGSEGIYSSKPGNFNESSVIPADGDSCIRFEKIVFRRPEESSNFTSRLVQAIVFEVEDRETIGGSAYGGQRAICCTADLAKLGACTEGEIIHHPSTKDPSWPHVFGVSFNAYELVATLPSRSIQVTKTGMYNLYFMHCDPNLKGVVVEGKTIWKNPTGYLPGRMAPLMNFYGFMSLSFVILGVFWFYQYARYWKGVFPLLNCITLVITLGMFEMALWYFDYAEFNETGVRPTGITIWAVTFGTVKRTVARLIILMVSMGYGVVRPTLGGLTSKVIMLGVTFFLASEVLELVENVGAISDLSGKARLFLVLPVALLDAFFIIWIFKSLSATLNKLQARRMMVKLDIYRKFTNALAVAVIVSVGWICYELYFKSNDVYNERWQNAWVIPAFWQVLSFSLLCIICALWAPSQNSMRYAYSDDVGEEFERDDSSLTLIKPSTMPSKDVRTAPEARPEQANIVASNGDLEEDKTE >Manes.11G031101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2979578:2983560:1 gene:Manes.11G031101.v8.1 transcript:Manes.11G031101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKAMVSVTLWLIFVLLCATLGKFKLAAALVDRRKLASSTSGFISIDCGADRDYLDKETGIFYNSDKDFINTGKNGNVSPEYDYKDPYFGHVFQSLRFFPKGRRNCYTLRPEQGKNQNYLIRAFFRYGNYDSNNEVPRFELYLGVNLWTTVQPSSPNSVREIIHFSLTDTIKICLVNIGHGTPFISALELRPLNPSIYRIESKDLYLVERYDLGNNSTNRYVRYKDDVYDRFWLPWTFVDCISLNTTSNIDVQNSSNPLRTPLQVLRTAVQPMKILQISQGPREFTINFNGENYGPFTLQYLQPLSICTRPRKSRTIGYVYFSIDPTVQSHHPPILNALEIFIPWMDPVSPTDPADVDAIMAIQQTYNINKDDSWQGDPCMPKAYSWTGLNCSYYNNSPRIIFLDLSASTLNGSISSSFSKLKAIQYLDLSGNELTGTVPEFLAQLPNLTVLNLTGNKLTGSVPRSLVQKSNSGLLQLSLDGNPNLCHTDSCEKQKRNFPLPIVVASVAILTVLLSSIFVFWRMKRREGTSQSKKEGLVNSKNRSFTYTDIVSIPDNFETIIGEGGFGKVYMGTLKDNTQVAVKLLSQNSRQGYKEFQAEAQLLMIVHHRNLVSLIGYCDDRHNKALIYEYKVNGNLREHLSETSGSTLNWNERLHIAADAAHGLEYLHNGCKPPIIHRDLKTSNILLNEKLGAKISDFGLSRAFTNESGSHITTRPAGTLGYLDPQAQSSGNFNKKSDIYSFGIILLELITGQPAIRRDFNGEIIRIQEWVTPIFENGDVRSIVDPRLQGDFDTNSAWKAVEIALSCVLNTATRRPDMTDVLIELKECLGMVTAVVGSQRMDRGRTRSINSLEMRSLEIYTETAPSPR >Manes.14G004336.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1565991:1571610:1 gene:Manes.14G004336.v8.1 transcript:Manes.14G004336.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFHLLFFFLVLMSRSYFLILGSASCCLHNYMQHSIQQFEQKTDRFWEFQEQSNTWVEVDLPHDLVSCVNDNCTKVGSIDHITGSKQDHSEKDYDVSSVAESFKKKGSDRGGAEESSEIVLPQRKRISLTRMSGTSIWVTAPHDLPVSAGCAISVFIVNQKILALSESGILYQSSQPIWVAFPPTPDSSTNKEAEESSVTPINSGVVSHDGARIYFCTKKGLLSELTEVDPPRWLDQGSTGDLYEYDKSSKPSWKKHIWTTGMAEDALLIPSTGYAINGLSGDYSSSPFLLTKDGKLVERRLHQRKWKWIIHGSPRNSQLTSITPVLQEESNENFSLFFTTSTGSIFEYQAPKYSGSGLENQIPEAWLFHMHPHLQKLLKELLGYNCKLAELYLPGLGGETTGPVHKINARRKVSVRYGSQVQQTYLVAGVNELIESSQGYTFSDNWINTNFHLRVMHGTRSLFLMTYSGLAYEYLNIENGWLWLRHGHSTPLKDALGNYNRSLFLVDKYSGSLLIRERGGTDLGWLNCITMRKGKQVTGGPPWDRIPGKAMKVTAEDALFFVSKNGSLLQFTVALRKFKWKDCGNPPNANVASIVDQKLFRENIVFFIGRNSQLINITKFPVTAMRSSSLSLTGSLFVLSEDGGLVEHHWNTGEGVTLVTSPSPCFHGNHVFLIGSDGKIKDEDQRQVGAEDKNEEVCIDKDISASLEKDAENFSDIKGNYDLKVASTRPIPFSDDSAIFELRDGRLAEMRRVEGTNWAWSRIIGSPSSSCITNYWTAVAP >Manes.04G064400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24904590:24918675:-1 gene:Manes.04G064400.v8.1 transcript:Manes.04G064400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLVGMLRAAWMAGIMPIVIASLPYSRLGLFHGVVLEFAKRGKIKQSSSHYKFTVPQRFFSHFYVVAVIWTTFLLLTMWIYASLVSEPYFYSNVSSHLPGGSNIFPFLKLHFISTERSCDSWLSMFLLLLMEVQVLRRLLETIYVFNYNPSARMHIFGYLAGLFFYVAAPLSLSSACVADAFIFSANEVTRFIFKGKGSMRATEFDWWDFVNPLMKLGWCQWFGAAIFFFGWIHQLRCHAILGSLRKHGRRNDEYVIPCGDWFDIVSSPHYLAEIVIYAGMVFASEGADLTIWLLFAFVVANLVFAAAETHRNPAVIFFINCSSSLVEA >Manes.04G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24904590:24918675:-1 gene:Manes.04G064400.v8.1 transcript:Manes.04G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLVGMLRAAWMAGIMPIVIASLPYSRLGLFHGVVLEFAKRGKIKQSSSHYKFTVPQRFFSHFYVVAVIWTTFLLLTMWIYASLVSEPYFYSNVSSHLPGGSNIFPFLKLHFISTERSCDSWLSMFLLLLMEVQVLRRLLETIYVFNYNPSARMHIFGYLAGLFFYVAAPLSLSSACVADAFIFSANEVTRFIFKGKGSMRATEFDWWDFVNPLMKLGWCQWFGAAIFFFGWIHQLRCHAILGSLRKHGRRNDEYVIPCGDWFDIVSSPHYLAEIVIYAGMVFASEGADLTIWLLFAFVVANLVFAAAETHRWYLHKFDNYPSNRFAIIPFIY >Manes.04G064400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24907800:24917257:-1 gene:Manes.04G064400.v8.1 transcript:Manes.04G064400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLVGMLRAAWMAGIMPIVIASLPYSRLGLFHGVVLEFAKRGKIKQSSSHYKFTVPQRFFSHFYVVAVIWTTFLLLTMWIYASLVSEPYFYSNVSSHLPGGSNIFPFLKLHFISTERSCDSWLSMFLLLLMEVQVLRRLLETIYVFNYNPSARMHIFGYLAGLFFYVAAPLSLSSACVADAFIFSANEVTRFIFKGKGSMRATEFDWWDFVNPLMKLGWCQWFGAAIFFFGWIHQLRCHAILVSITISRYLPIECKFLD >Manes.04G064400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24904590:24918675:-1 gene:Manes.04G064400.v8.1 transcript:Manes.04G064400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLVGMLRAAWMAGIMPIVIASLPYSRLGLFHGVVLEFAKRGKIKQSSSHYKFTVPQRFFSHFYVVAVIWTTFLLLTMWIYASLVSEPYFYSNVSSHLPGGSNIFPFLKLHFISTERSCDSWLSMFLLLLMEVQVLRRLLETIYVFNYNPSARMHIFGYLAGLFFYVAAPLSLSSACVADAFIFSANEVTRFIFKGKGSMRATEFDWWDFVNPLMKLGWCQWFGAAIFFFGWIHQLRCHAILGSLRKHGRRNDEYVIPCGDWFDIVSSPHYLAEIVIYAGMVFASEGADLTIWLLFAFVVANLVFAAAETHRNPAVIFFINCSSSLVEA >Manes.15G018000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1542928:1549309:1 gene:Manes.15G018000.v8.1 transcript:Manes.15G018000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENQNSHSFYTDSQPFDSQIFLSSSPGDKGGNANEVPLAQNTVSFDDPVPVEDAFETQVVDETQVLDDPLCLQHMDTQLIDDFNCDGEGTDKTEVLDDSDGFSDDDSQRRGRCESLYGERSWQTSLEHRLNATPETPTVQISQEPKPGSTTRFTSVRAASLRASGLAARRIVLKGTNKESSFLQNNNQLSEEHAMKNNGSNTEVWKEVDQVCGAGRYNEVKELMNVHDCKIGRSTVRKLFDDNYFVENEELASRNDNTAGGKEIHQFPTCYDGLVGLSYIDSQEPGELSQADAFACVQRLIEENKALCDNEFELGISSKGKLNFVSATKGPQSLAKKINCGGTNWKTGIFDWDDEQEDEGGGDLFCRRKEEFFGSAKLGQGSFMKPQKVKGKQLDGYTKTIGKSDIQNEIVVQSDSQVVLHNAELNNKKAPEAEMGFRKNLVSAFDDESNIATTSGQLQSGLARNQMLQVLDVGLDTQMAAETIEASLYGDGITNIGANNVSGNPVSQKGSPGRKVKRMMHDIGVSTRQSKKSKRIGAKSNEQPSRKVKKRMPQAVGVATRQSKKSKRSTSISSKNHSEIVRNKSDMELVNTRRRTKSNFEVSMTNGIRGADKMLTKMAGGPIERSLHDALDAHHEAALTGSGSVKKWNLPEEFSTLTPIACRTRRSLVAYQLKRTENVSRGCGEEKNCPIEISALRQNEAGAGVEAAKVLYANGKSSELISEQSGEPENFKSRSTSMNYGISCPKRRSSRQKSNKLNEQVNLDAQSKACTSLANLNTKRKTLSSKSICLESSSLYENFKGESSQRSIDKSSSGDAALSSIENGKKSSADQMGAKNKLPDRKDNALLSPSMEHEVKEILDHLHNEETKPSNSMCNSPVNSTNPPVNSASPICIGNEYVKQSGTKRLSRSCLMREVSSLCATEREPISKLKDSRKRKELGDVRVLFSHHLDENMIKRQQKIVDRLKVSVVSSITDATHFITDKFVRTRNMLQAIASGKPVVTHLWLENVGRANYYIDEQKYILRDMKKEKEIGFNMPVSLAHARQHPLLQAVERIGRSTLKNDMVPEDLLVLSCEEDYEVCVPFLEKGAAIYSSELLLKGIVTQKLEYERHRLFADHVKRTRSTIWLKKGGGDFVPVAKHK >Manes.15G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1542928:1549309:1 gene:Manes.15G018000.v8.1 transcript:Manes.15G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENQNSHSFYTDSQPFDSQIFLSSSPGDKGGNANEVPLAQNTVSFDDPVPVEDAFETQVVDETQVLDDPLCLQHMDTQLIDDFNCDGEGTDKTEVLDDSDGFSDDDSQRRGRCESLYGERSWQTSLEHSKNILVEQPDENCSSRLNATPETPTVQISQEPKPGSTTRFTSVRAASLRASGLAARRIVLKGTNKESSFLQNNNQLSEEHAMKNNGSNTEVWKEVDQVCGAGRYNEVKELMNVHDCKIGRSTVRKLFDDNYFVENEELASRNDNTAGGKEIHQFPTCYDGLVGLSYIDSQEPGELSQADAFACVQRLIEENKALCDNEFELGISSKGKLNFVSATKGPQSLAKKINCGGTNWKTGIFDWDDEQEDEGGGDLFCRRKEEFFGSAKLGQGSFMKPQKVKGKQLDGYTKTIGKSDIQNEIVVQSDSQVVLHNAELNNKKAPEAEMGFRKNLVSAFDDESNIATTSGQLQSGLARNQMLQVLDVGLDTQMAAETIEASLYGDGITNIGANNVSGNPVSQKGSPGRKVKRMMHDIGVSTRQSKKSKRIGAKSNEQPSRKVKKRMPQAVGVATRQSKKSKRSTSISSKNHSEIVRNKSDMELVNTRRRTKSNFEVSMTNGIRGADKMLTKMAGGPIERSLHDALDAHHEAALTGSGSVKKWNLPEEFSTLTPIACRTRRSLVAYQLKRTENVSRGCGEEKNCPIEISALRQNEAGAGVEAAKVLYANGKSSELISEQSGEPENFKSRSTSMNYGISCPKRRSSRQKSNKLNEQVNLDAQSKACTSLANLNTKRKTLSSKSICLESSSLYENFKGESSQRSIDKSSSGDAALSSIENGKKSSADQMGAKNKLPDRKDNALLSPSMEHEVKEILDHLHNEETKPSNSMCNSPVNSTNPPVNSASPICIGNEYVKQSGTKRLSRSCLMREVSSLCATEREPISKLKDSRKRKELGDVRVLFSHHLDENMIKRQQKIVDRLKVSVVSSITDATHFITDKFVRTRNMLQAIASGKPVVTHLWLENVGRANYYIDEQKYILRDMKKEKEIGFNMPVSLAHARQHPLLQGRRVLVTPSIKPAKEIILDLIKVVCGQAVERIGRSTLKNDMVPEDLLVLSCEEDYEVCVPFLEKGAAIYSSELLLKGIVTQKLEYERHRLFADHVKRTRSTIWLKKGGGDFVPVAKHK >Manes.15G018000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1542928:1549309:1 gene:Manes.15G018000.v8.1 transcript:Manes.15G018000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENQNSHSFYTDSQPFDSQIFLSSSPGDKGGNANEVPLAQNTVSFDDPVPVEDAFETQVVDETQVLDDPLCLQHMDTQLIDDFNCDGEGTDKTEVLDDSDGFSDDDSQRRGRCESLYGERSWQTSLEHRLNATPETPTVQISQEPKPGSTTRFTSVRAASLRASGLAARRIVLKGTNKESSFLQNNNQLSEEHAMKNNGSNTEVWKEVDQVCGAGRYNEVKELMNVHDCKIGRSTVRKLFDDNYFVENEELASRNDNTAGGKEIHQFPTCYDGLVGLSYIDSQEPGELSQADAFACVQRLIEENKALCDNEFELGISSKGKLNFVSATKGPQSLAKKINCGGTNWKTGIFDWDDEQEDEGGGDLFCRRKEEFFGSAKLGQGSFMKPQKVKGKQLDGYTKTIGKSDIQNEIVVQSDSQVVLHNAELNNKKAPEAEMGFRKNLVSAFDDESNIATTSGQLQSGLARNQMLQVLDVGLDTQMAAETIEASLYGDGITNIGANNVSGNPVSQKGSPGRKVKRMMHDIGVSTRQSKKSKRIGAKSNEQPSRKVKKRMPQAVGVATRQSKKSKRSTSISSKNHSEIVRNKSDMELVNTRRRTKSNFEVSMTNGIRGADKMLTKMAGGPIERSLHDALDAHHEAALTGSGSVKKWNLPEEFSTLTPIACRTRRSLVAYQLKRTENVSRGCGEEKNCPIEISALRQNEAGAGVEAAKVLYANGKSSELISEQSGEPENFKSRSTSMNYGISCPKRRSSRQKSNKLNEQVNLDAQSKACTSLANLNTKRKTLSSKSICLESSSLYENFKGESSQRSIDKSSSGDAALSSIENGKKSSADQMGAKNKLPDRKDNALLSPSMEHEVKEILDHLHNEETKPSNSMCNSPVNSTNPPVNSASPICIGNEYVKQSGTKRLSRSCLMREVSSLCATEREPISKLKDSRKRKELGDVRVLFSHHLDENMIKRQQKIVDRLKVSVVSSITDATHFITDKFVRTRNMLQAIASGKPVVTHLWLENVGRANYYIDEQKYILRDMKKEKEIGFNMPVSLAHARQHPLLQGRRVLVTPSIKPAKEIILDLIKVVCGQAVERIGRSTLKNDMVPEDLLVLSCEEDYEVCVPFLEKGAAIYSSELLLKGIVTQKLEYERHRLFADHVKRTRSTIWLKKGGGDFVPVAKHK >Manes.15G018000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1542928:1549309:1 gene:Manes.15G018000.v8.1 transcript:Manes.15G018000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENQNSHSFYTDSQPFDSQIFLSSSPGDKGGNANEVPLAQNTVSFDDPVPVEDAFETQVVDETQVLDDPLCLQHMDTQLIDDFNCDGEGTDKTEVLDDSDGFSDDDSQRRGRCESLYGERSWQTSLEHSKNILVEQPDENCSSRLNATPETPTVQISQEPKPGSTTRFTSVRAASLRASGLAARRIVLKGTNKESSFLQNNNQLSEEHAMKNNGSNTEVWKEVDQVCGAGRYNEVKELMNVHDCKIGRSTVRKLFDDNYFVENEELASRNDNTAGGKEIHQFPTCYDGLVGLSYIDSQEPGELSQADAFACVQRLIEENKALCDNEFELGISSKGKLNFVSATKGPQSLAKKINCGGTNWKTGIFDWDDEQEDEGGGDLFCRRKEEFFGSAKLGQGSFMKPQKVKGKQLDGYTKTIGKSDIQNEIVVQSDSQVVLHNAELNNKKAPEAEMGFRKNLVSAFDDESNIATTSGQLQSGLARNQMLQVLDVGLDTQMAAETIEASLYGDGITNIGANNVSGNPVSQKGSPGRKVKRMMHDIGVSTRQSKKSKRIGAKSNEQPSRKVKKRMPQAVGVATRQSKKSKRSTSISSKNHSEIVRNKSDMELVNTRRRTKSNFEVSMTNGIRGADKMLTKMAGGPIERSLHDALDAHHEAALTGSGSVKKWNLPEEFSTLTPIACRTRRSLVAYQLKRTENVSRGCGEEKNCPIEISALRQNEAGAGVEAAKVLYANGKSSELISEQSGEPENFKSRSTSMNYGISCPKRRSSRQKSNKLNEQVNLDAQSKACTSLANLNTKRKTLSSKSICLESSSLYENFKGESSQRSIDKSSSGDAALSSIENGKKSSADQMGAKNKLPDRKDNALLSPSMEHEVKEILDHLHNEETKPSNSMCNSPVNSTNPPVNSASPICIGNEYVKQSGTKRLSRSCLMREVSSLCATEREPISKLKDSRKRKELGDVRVLFSHHLDENMIKRQQKIVDRLKVSVVSSITDATHFITDKFVRTRNMLQAIASGKPVVTHLWLENVGRANYYIDEQKYILRDMKKEKEIGFNMPVSLAHARQHPLLQAVERIGRSTLKNDMVPEDLLVLSCEEDYEVCVPFLEKGAAIYSSELLLKGIVTQKLEYERHRLFADHVKRTRSTIWLKKGGGDFVPVAKHK >Manes.02G012200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1199897:1203681:-1 gene:Manes.02G012200.v8.1 transcript:Manes.02G012200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILRLWSLNKHNHWLFKLAVAILSMCLAFRLFFYQSTRFEPNLDDPFADKTEVSKPPLPVDIRKPHIAVDIAVDIPKPTLSADGPQPEEVEAPKNEHNAGKCDLFVGDWIPNPSGPIYTNASCPLIDGHQNCMRNGRPDSGYLFWRWNPRDCELPPFDARRFIELMRNKSLALIGDSISRNHVQSLLCMLSTVEQAVEVYHDEEYKSKRWHFPSYNFTVSNIWSPFLVEAAIFEDSDGVSTAEVQLQLDKLDKNWTNLYQDLDYAIISTGKWFLKAAIYHKNDTVVGCHICPGKNFTEKGFVFAYEKALHYAMDFIATSNHKGLIFFRTSTPDHFEYGEWHNGGTCPKTRPAKEGEMQLKDLSRILRDTELAEFERGSAKAAENGVNLKLLDFTNLLVSRPDGHPGPYRHFYPFAEDKNATVQNDCLHWCLPGPIDYWNDVIMEMVVNS >Manes.14G080400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6655237:6657224:1 gene:Manes.14G080400.v8.1 transcript:Manes.14G080400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISELVKEDDQMDLPPGFRFHPTDEELISHYLYKKVLDINFSSRAIGDVDLNKSEPWELPWKAKMGVKEWYFFCVRDRKYPTGLRTNRATDAGYWKATGKDREIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLDGKFSVHNLPKTAKNEWVICRVFQKNSAGKKTYISGLARLGSFENELCPPDIPPLMDSSPYTGKTRLLTESAYVPCFSNPIDVQRNQQQSIDDFNNNLFAVSSNPVDVFQRIPLANTFYSAQAVPFSANLQYPGSVLIQDHSILRALIENQGLNLKQNFKTERDMFSGSQETGLSTDMNTEISSVISNLEMGKRGFDPQEAPSSLAGPVDLDCLWNF >Manes.14G080400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6655237:6657224:1 gene:Manes.14G080400.v8.1 transcript:Manes.14G080400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISELVKEDDQMDLPPGFRFHPTDEELISHYLYKKVLDINFSSRAIGDVDLNKSEPWELPWKAKMGVKEWYFFCVRDRKYPTGLRTNRATDAGYWKATGKDREIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLDGKFSVHNLPKTAKNEWVICRVFQKNSAGKKTYISGLARLGSFENELCPPDIPPLMDSSPYTGKTRLLTESAYVPCFSNPIDVQRNQQQSIDDFNNNLFAVSSNPVDVFQRIPLANTFYSAQAVPFSANLQYPGSVLIQDHSILRALIENQGLNLKQNFKTERDMFSGSQETGLSTDMNTEISSVISNLEMGKRGFDPQEAPSSLAGPVDLDCLWNF >Manes.02G042100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3448552:3453674:1 gene:Manes.02G042100.v8.1 transcript:Manes.02G042100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEELKGGEEERGEEISCVELANNSNSEGVVSWDAKRVFIGAGARALFYPTLLYNVVRNKIQAEFRWWDRIDQFILLGAVPFPTDVPCLKELGVHGVITLNEPYETLVPTSLYHAHGIDHLVIPTRDYCFAPSLSDICLAVDFIHENVLQGWTTYVHCKAGRGRSTTIVICYLVQHKQMTPDAAYDYVRSIRPRVLLASAQRQAVQEYYNLKVINCAYSSTTDLILKTPRPAASQDIVAFDDGSVVVVTASDLDGYDPAHESDAVRREIWTDLNVVYRVRVAGQAALARISCLWFRCQTHQKILGKQLSTKNSCCSIEANHLGGISVDIHVY >Manes.01G163600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34783166:34784999:-1 gene:Manes.01G163600.v8.1 transcript:Manes.01G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPFFFTLAAATSAYLFWFYLLARKLSGPKLWPIVGSLPVLFKNRRQIHDWIASNLRATGGASTYQTCTIALPFLARRQGFYTVTCHPKNMEHILRTRFDNYPKGPHWQTAFHDLLGQGIFNSDGDTWLIQRKTAALEFTTRTLRQAMARWVNRTIKNRLWSILDKAASEKLAVDLQDLLLRLTFDNICGLTFGKDPQTLSPEMPENPFAIAFDTATEATLQRLLYPGLLWRIEKMLGIGSEKRLKKSLKVVENYMDDAVAARKENPSDDLLSRFMKKRDVDGNPFPISVLQRIALNFVLAGRDTSSVALSWFFWLVMNHPEVEAKIVKEISTVLRETRGNDHQKWLEEPLDFDEADKLVYVKAALAETLRLYPSVPQDFKYVVADDVLPDGTFVPAGSTVTYSIYSVGRMKSIWGEDCMEFKPERWLSPEGNRFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSVVPGHRVQQKMSLTLFMKNGLRVFLQPRTLA >Manes.02G038600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3174522:3174695:1 gene:Manes.02G038600.v8.1 transcript:Manes.02G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSGFSFIAGTVFGIYVAQNYNVPNIRKLTNTGLLIAKHMEENYRKPKKRDDDE >Manes.03G204900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:32007479:32010055:-1 gene:Manes.03G204900.v8.1 transcript:Manes.03G204900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNGRIFFLLVFFFITLNSIPVSSKDSASESKSYILACGASGEGTDTDGRKWEPDTKYVNSSGNTITASAETQDPSLPSTIPYMTARIFTSAFTYKFSVPKKSRLWVRLHFYPSTYSSLQANASYFAVTANKLQLLKNFSASITAQALTMVYIIKEFSLTPIESGNLDLTFTPSSDYEDSYGFVNGIEVIPMPDIYQSAVVVGLSQDNLDLTNSSLQTMYRLNVGGQYIPASNDSGLTRTWYDDTPYLFGAAIGVTGKSNITIKYPTADVPKSIAPLDVYSTARTMGPDPRVNANYNLTWVFYVDSNFTYLLRFHFCEFYLTRSNQRAFDIYINNQTAQTDADVISWAGSQGVPFYKDYSIHVGDQSGDDQLWVALHPDIEEKPQYYDSILNGLEIFKLNDQSGNLAGPNPVPSAMQVKAEAEKDFSSSGSSRSKVVSGVAGGAAGLAVVSIFIFVLKKKKDLRSQSGSRTTWLPLYVNSHTSGSKSTISGKSNASSHLSNLAQGLCRHFSLAEIKHATKNFEESNVIGVGGFGKVYKGVIDQGTKVAIKRSNPSSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDGEMALVYDHMANGTLREHIYKGNKPTSSLSWRQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPNLNNQSHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPNLSKEQVSLADWALNRQKKGIIEDIIDPHIKAEIQSESLKKFAETAEKCLSDQGIHRPSMGDVLWNLEFALQMQDNPDGAKLALESKGNDTYAMNRQMPTIEEESSSGEDTDDMSNNKMLSQMENRRGR >Manes.13G051200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMEMLNMTLGCGLTGILLQYISVETLMHVKDGTRNIYQLIWKARTNLLQEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.13G051200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARFEDTELLKKEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.13G051200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARFEDTELLKKEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.13G051200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMEMLNMTLGCGLTGILLQYISVETLMHVKDGTRNIYQLIWKARTNLLQEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.13G051200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMEMLNMTLGCGLTGILLQYISVETLMHVKDGTRNIYQLIWKARTNLLQEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.13G051200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMEMLNMTLGCGLTGILLQYISVETLMHVKDGTRNIYQLIWKARTNLLQEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.13G051200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMEMLNMTLGCGLTGILLQYISVETLMHVKDGTRNIYQLIWKARTNLLQEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.13G051200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARFEDTELLKKEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.13G051200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5854564:5862720:-1 gene:Manes.13G051200.v8.1 transcript:Manes.13G051200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMEMLNMTLGCGLTGILLQYISVETLMHVKDGTRNIYQLIWKARTNLLQEKPEEKKHKKDKEKKKRVGKEKRDKDRSKEKQREKKDRKEKHKDKDKDRDKEKNRTSDEKKVEGQPSFYNGQKLRSNSLQNNEIKDSLYVQELARRIKDEDKASGSQMLHKVVATNRRGGEVQGMVVEGNVGNQPEEKGNFNNRNEDHNGINGQKNQFDTRVLGQGIVKLVEKKHAEKQTEGKEMGKHKESDGKGDKHKGRDQEKHRKRKDKDRNKEEEEEEEKVKEITEPNKKLKLKENVPQLEEGSKDPLDFRNHKASDTNPATEGNLGKRKELGKNGYLLDNGSRPNKFPKSSSSFSLAENGKKLEQCRTIIQSSEKQGLANNHKVDVKKRKLNGLIAAQQPNICSTKPSPVDILAYENGETPSKPHPDMKNLSQILYIPEMEKWPDDDDQEWLFSSNYSESKKPSSGYPVADGMLQVWAEALRIDSADISALPYVIPY >Manes.07G068020.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16732704:16735228:1 gene:Manes.07G068020.v8.1 transcript:Manes.07G068020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRRDVLQLMLLLQIWAAVLTLAKAVRSLDEEVLTLTTPASSRDYPNPPARRDVYSHGKIHDITHLINPRMPKWGSPDGMGKVVTIIDDMKKGAVAYTSEMDLPSHTGTHVDAPSHFFEEYFERGFDTSTLSLKTLNGPALVIDVPRNSNITAEVMKNLQISQGIHRVLFRTLNTDRKLMYTRKFHSDYVGIVKDGASWIVDNTNITLVGIDYLSIATYDDAVPTHQTLLKSRKIVIVEGLKLNKVPAGIYDLHCLPIKVLGAEGTPARCILMS >Manes.05G159200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27183606:27184931:-1 gene:Manes.05G159200.v8.1 transcript:Manes.05G159200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWTPKQNKLFENALAIYDKDTPDFWPNLARAVGGKTIEEVKRHYELLVEDVMQIEAGQVPLPNYRKAGKTYNNFIDQEQRQ >Manes.05G159200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27183606:27184931:-1 gene:Manes.05G159200.v8.1 transcript:Manes.05G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWTPKQNKLFENALAIYDKDTPDFWPNLARAVGGKTIEEVKRHYELLVEDVMQIEAGQVPLPNYRKAGKTYNNFIDQEQRLKGLKLQ >Manes.03G181600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30351442:30361608:-1 gene:Manes.03G181600.v8.1 transcript:Manes.03G181600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHPHQLRQEPQPSSDHLEKTKSLICALNLVSRDLPLPPDLFNTVYSIYSSAQSDDDGTLDGAAHDGNELQEDLGIPTGGDLMTDLEDALLKQRPNCTSGFVLGESREKRYQGHILHRVHELEELPSTRGEDLQTKCLLELYGLKLAELQRKVRSEVSSEYWLYLNCASSDKQLFDWGMMTLRRPLYGVGDAFATEADDQFRKKRDAERLSRLEEEERNQIETRKRKFFAEILNAVREFQLQVQAALKRRKQRNDGIQAWHGRQRQRATRAEKLRFQALKADDQEAYMRLVKESKNERLTMLLEETNKLLVNLGAAVQRQKDVKHSDGIESLEESEADSELDPSRNESPGETPEEDADIIDSDHNDDTGDLLEGQRQYNSAIHSIQEKVTEQPSILQGGQLRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKEKKGVCGPHLIVAPKAVLPNWINEFSTWVPENEIKAILYDGRLDERKALREQLSRDGNFDVLITHYDLIMRDKAFLKKIHWLYMIVDEGHRLKNHECALARTLVTGYQIQRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSVQNFEEWFNAPFADRGDVTLTDEEQLLIIRRLHHVIRPFILRRKKDEVEKYLPGKSQVILKCDMSAWQKVYYQQVTEMGRVGLHTGSGKSKSLQNLSMQLRKCCNHPYLFVGEYNMWRREEIIRASGKFELLDRLLPKLRATDHRVLLFSQMTRLMDILEIYLQLNDYKYLRLDGSTKTEERGTLLKQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRRDMLEEIMRRGTSSLGTDVPSEREINRLAARSPEEFRIFEEMDKERRQNENYRSRLMEEHEVPEWAYSHPDKEDKAKRFDQNNTAVLGKRRRKEVTYADTLSDLQWMKAVESGQDISKLSTKGRRREHLPSEGNESASNSTGTEKKALDLRNEIIPTASEGTSEDTCSTPKRFKPDGGIHGKPEYQGEEKSEHQGTIGNSWSGHVLTWNAHKKKRSSYIIQSSSSDSRGQNSNGRGNGWV >Manes.03G181600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30351442:30361608:-1 gene:Manes.03G181600.v8.1 transcript:Manes.03G181600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLHPHQLRQEPQPSSDHLEKTKSLICALNLVSRDLPLPPDLFNTVYSIYSSAQSDDDGTLDGAAHDGNELQEDLGIPTGGDLMTDLEDALLKQRPNCTSGFVLGESREKRYQGHILHRVHELEELPSTRGEDLQTKCLLELYGLKRLSRLEEEERNQIETRKRKFFAEILNAVREFQLQVQAALKRRKQRNDGIQAWHGRQRQRATRAEKLRFQALKADDQEAYMRLVKESKNERLTMLLEETNKLLVNLGAAVQRQKDVKHSDGIESLEESEADSELDPSRNESPGETPEEDADIIDSDHNDDTGDLLEGQRQYNSAIHSIQEKVTEQPSILQGGQLRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKEKKGVCGPHLIVAPKAVLPNWINEFSTWVPENEIKAILYDGRLDERKALREQLSRDGNFDVLITHYDLIMRDKAFLKKIHWLYMIVDEGHRLKNHECALARTLVTGYQIQRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSVQNFEEWFNAPFADRGDVTLTDEEQLLIIRRLHHVIRPFILRRKKDEVEKYLPGKSQVILKCDMSAWQKVYYQQVTEMGRVGLHTGSGKSKSLQNLSMQLRKCCNHPYLFVGEYNMWRREEIIRASGKFELLDRLLPKLRATDHRVLLFSQMTRLMDILEIYLQLNDYKYLRLDGSTKTEERGTLLKQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRRDMLEEIMRRGTSSLGTDVPSEREINRLAARSPEEFRIFEEMDKERRQNENYRSRLMEEHEVPEWAYSHPDKEDKAKRFDQNNTAVLGKRRRKEVTYADTLSDLQWMKAVESGQDISKLSTKGRRREHLPSEGNESASNSTGTEKKALDLRNEIIPTASEGTSEDTCSTPKRFKPDGGIHGKPEYQGEEKSEHQGTIGNSWSGHVLTWNAHKKKRSSYIIQSSSSDSRGQNSNGRGNGWV >Manes.05G175600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29216706:29218955:-1 gene:Manes.05G175600.v8.1 transcript:Manes.05G175600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAVAQVGQKRNRKGVAKNGETVKSGSAAESEHDVHILTERERRKKMRNMFSNLHALLPELPAKADKSSIIDEAVKYIKILQETLQTLEKQKEEKLHGATIDESEPSVITSHKEVFESREAFLAIQGPSKGSSMATNMPHSCPVSLSPSCFRTWFSPNVVMNISGNDAQISVCSLRRPGFLTSIIYILEKHKLDVVSAHISSDQFRSIYMIHVHAGWGSGQYPEALSVEDTFKLAAGEMNLWLLSC >Manes.05G175600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29216706:29218955:-1 gene:Manes.05G175600.v8.1 transcript:Manes.05G175600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAVAQADKSSIIDEAVKYIKILQETLQTLEKQKEEKLHGATIDESEPSVITSHKEVFESREAFLAIQGPSKGSSMATNMPHSCPVSLSPSCFRTWFSPNVVMNISGNDAQISVCSLRRPGFLTSIIYILEKHKLDVVSAHISSDQFRSIYMIHVHAGWGSGQYPEALSVEDTFKLAAGEMNLWLLSC >Manes.01G249300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40868531:40869629:-1 gene:Manes.01G249300.v8.1 transcript:Manes.01G249300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGRSLQALIGRARALQAGVSDEIRDCYSFCRLCSENGRLCHVAETSFQERERLIAIRDSLKEAEDVLMLLQRLRSWQIVDRDAALNRLEESRIILIEKMKQYPAGRSIDVVKELNAWLNSGKITAFDWNWNGEINKEVDSNKGTRSGFISFCIRMLIDPCNWQKAIGVAAKLVVISASISSTMRLCHARQQQYSAQSKDTVPSVSDRPLDVFHGRG >Manes.02G160150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12534461:12535844:1 gene:Manes.02G160150.v8.1 transcript:Manes.02G160150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYKTRDRSFEINRDKWVWWGSVVWVSWNPPICSFWLVCVGSWSNGWTRIRGKDHSILYPLETLPVSVRSNDIEVAKWVMCSYLLNNSDSTYAYGFGGKKTYAYRK >Manes.18G099500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9168547:9182338:1 gene:Manes.18G099500.v8.1 transcript:Manes.18G099500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSIHQSSSLYPYYVLLNGFSIIPLSHFLFAAAVFSVVFLYNFLEFHLVGDFFLGFRGNPIRLTHNPSSALYNSVVSHCKILHGRQIYHASDGGTIALDWLTHSDVSGGSFLMNNAISKEDKIPIVVVIPGLSSDSAAAYIKHFAFSTAKRGLNVVVCNHRGLGGVSITSDCFYNAGWTEDIRVVINYLHNEYPSAPLFAVGTSIGANILVKYLGEDGERTHVAGAVAICNPWDLMIGDRFISRRLLQKFYDRVLAFGLQNYAKLHEPRFSRLATWEGIKKSRSVRDFNSHLTCHVGKFETVDTFYRRCSSSYYVGNVSVPLLCINALDDPLCTREAIPWDECRANKNIVLATPKHGGHLAFFEGLTGACLWWVRAVDEFLVVLHSSTCMHVQKDISSLHSSDGTAIDQGPYVNIAEDGMVASVFGQEPRDHVSEEIKEMISDIGQDDHHTETTQTSEQHTNINTKSSDVTAPVRKCLNQISRQTQKSALLLTRKIFPAAMLRR >Manes.18G099500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9168547:9182338:1 gene:Manes.18G099500.v8.1 transcript:Manes.18G099500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSIHQSSSLYPYYVLLNGFSIIPLSHFLFAAAVFSVVFLYNFLEFHLVGDFFLGFRGNPIRLTHNPSSALYNSVVSHCKILHGRYLATLWLASPHLQTCFLSFGGRPPVFSYRRQIYHASDGGTIALDWLTHSDVSGGSFLMNNAISKEDKIPIVVVIPGLSSDSAAAYIKHFAFSTAKRGLNVVVCNHRGLGGVSITSDCFYNAGWTEDIRVVINYLHNEYPSAPLFAVGTSIGANILVKYLGEDGERTHVAGAVAICNPWDLMIGDRFISRRLLQKFYDRVLAFGLQNYAKLHEPRFSRLATWEGIKKSRSVRDFNSHLTCHVGKFETVDTFYRRCSSSYYVGNVSVPLLCINALDDPLCTREAIPWDECRANKNIVLATPKHGGHLAFFEGLTGACLWWVRAVDEFLVVLHSSTCMHVQKDISSLHSSDGTAIDQGPYVNIAEDGMVASVFGQEPRDHVSEEIKEMISDIGQDDHHTETTQTSEQHTNINTKSSDVTAPVRKCLNQISRQTQKSALLLTRKIFPAAMLRR >Manes.05G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24728046:24734620:1 gene:Manes.05G145400.v8.1 transcript:Manes.05G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSSILGFFGFGIGTSIGIVIGYYMFIYFQPTDVKDPVIRPLVEQDSKTLQRLLPEIPLWVKNPDYDRVDWLNRFIETMWPYLDKAICKTARTIAKPIIAEQIPKYKIDSVEFEELTLGSIPPTFQGMKVYVTDEKELIIEPSMKWAGNPNIIIAAKAFGLRATVQVIDLQVFASPRITLKPLVPTFPCFANIFVSLMEKPHVDFGLKLLGADVMSIPGLYRFVQELIKDQVANMYLWPKSLQVPILDPAKATKRPVGILSVKVLRAMKLKKKDLLGASDPYVKLKLTEDKLPSKKTTVKHKNLNPEWNEEFNIVVKDPESQALEIIVYDWEQVGKHDKMGMNVVPLKELTPDEPRVMTLNLLKNMDPNDAENEKSRGQLVVELEYKPFKEDNIPNDIADPNAVEKAPEGTPAGGGLFVVIVHEAQDVEGKHHTNPYVRLLFRGEERRTKLVKKNRDPRWEEEFQFTLEEPPTNDRLHVEVVSASSRIGLLHPKEILGYVDINLADVVNNKRINEKYHLIDSRNGRIQIELQWRTS >Manes.16G027900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3014417:3029313:1 gene:Manes.16G027900.v8.1 transcript:Manes.16G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFGSAPSTMIVTNTPAADLALTNLAYCSASDLHNFAVPGTKFFMALIGDYFVLSLSPHENIRPGHIALNAIQRRHARVSTGDSISVSRFIPPEDFNLALLTLELEFVKKGTKSEQVDAVLVANQLRKRFINQVMTTGQRVSFEYHGNNYIFTVNQAVVEGREKSNDFERGMISSDTYFVFEASNSSGIKIVNQREAASSNIFRHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGREPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQLSMDDLTKPVDEESIKVTMDDFLHALQEIIPAFGASTDDLERCRLNGMVECGNRHDHIYQRARLLVEQVKVSKGSPLVTCLLEGPSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKKLMVIGTTSEVSFLDSIGICDAFSVTYHVPTLKAEDAKKVLRQLNVFHEDDVDAAAEALNDMPIKKLYMLIEMAAQGEQGGAAEAIYSGKQKIKIAHFYDCLQDVVRY >Manes.05G075400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6157125:6162174:1 gene:Manes.05G075400.v8.1 transcript:Manes.05G075400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGKAYARQQHVWLTGANEIDSKIFSRAILAKTVVCIPLLDGVLELGTTQKVQEDIGFVQRVKSFFTDHHLPLPPPSKPALSEHSTSNPETYLLDQPHFQSSMPFIYGITDPRTSDDQDDDGEEEEEEEEEEEEEDDDGKEEERSDSEGETGRETPSVRTRAQNAETVITAGAEPSELMQLEMSEDIRLGSPDDGSNNLELDFQLIRSGNIPAVHQSREDSFRAESTPLSSSLQPSAAAGPPPLETMVQEDTHYSQTVSGILHRQPIQWAEPSSVAYLSYSNQSAFTKYTNRCPDHVLHLPIEGPSQWFLKYILFNVPYLHYKYREENSPRLRDGNTANRFRKDGAAQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLREKIQELEARNQQMEIEQQSRFAHLPQTISSLKDQRRALDTRNLRSVEGSAGCTKPKTVETSPALPLLETETNVEVSIIECDALLEMQCGYREGLLLDIMQKLRELRIETTTVQSSLNNGVFVAELRATVKDNVAAKKVSIMEVKRAIHQIIPNP >Manes.05G075400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6157125:6162174:1 gene:Manes.05G075400.v8.1 transcript:Manes.05G075400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSSHLQSMLQAAVQSVQWAYSLFWKICPQQRILVWGDGYYNGAIKTRKTVQPMEVSAEEASLQRSQHLKDLYESLSAGETNQPTKRPCAALSPEDLTESEWFYLMSLSFSFPSGVGLPGKAYARQQHVWLTGANEIDSKIFSRAILAKSACIQTVVCIPLLDGVLELGTTQKVQEDIGFVQRVKSFFTDHHLPLPPPSKPALSEHSTSNPETYLLDQPHFQSSMPFIYGITDPRTSDDQDDDGEEEEEEEEEEEEEDDDGKEEERSDSEGETGRETPSVRTRAQNAETVITAGAEPSELMQLEMSEDIRLGSPDDGSNNLELDFQLIRSGNIPAVHQSREDSFRAESTPLSSSLQPSAAAGPPPLETMVQEDTHYSQTVSGILHRQPIQWAEPSSVAYLSYSNQSAFTKYTNRCPDHVLHLPIEGPSQWFLKYILFNVPYLHYKYREENSPRLRDGNTANRFRKDGAAQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLREKIQELEARNQQMEIEQQSRFAHLPQTISSLKDQRRALDTRNLRSVEGSAGCTKPKTVETSPALPLLETETNVEVSIIECDALLEMQCGYREGLLLDIMQKLRELRIETTTVQSSLNNGVFVAELRATVKDNVAAKKVSIMEVKRAIHQIIPNP >Manes.05G075400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6157125:6162174:1 gene:Manes.05G075400.v8.1 transcript:Manes.05G075400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSSHLQSMLQAAVQSVQWAYSLFWKICPQQRILVWGDGYYNGAIKTRKTVQPMEVSAEEASLQRSQHLKDLYESLSAGETNQPTKRPCAALSPEDLTESEWFYLMSLSFSFPSGVGLPGKAYARQQHVWLTGANEIDSKIFSRAILAKTVVCIPLLDGVLELGTTQKVQEDIGFVQRVKSFFTDHHLPLPPPSKPALSEHSTSNPETYLLDQPHFQSSMPFIYGITDPRTSDDQDDDGEEEEEEEEEEEEEDDDGKEEERSDSEGETGRETPSVRTRAQNAETVITAGAEPSELMQLEMSEDIRLGSPDDGSNNLELDFQLIRSGNIPAVHQSREDSFRAESTPLSSSLQPSAAAGPPPLETMVQEDTHYSQTVSGILHRQPIQWAEPSSVAYLSYSNQSAFTKYTNRCPDHVLHLPIEGPSQWFLKYILFNVPYLHYKYREENSPRLRDGNTANRFRKDGAAQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLREKIQELEARNQQMEIEQQSRFAHLPQTISSLKDQRRALDTRNLRSVEGSAGCTKPKTVETSPALPLLETETNVEVSIIECDALLEMQCGYREGLLLDIMQKLRELRIETTTVQSSLNNGVFVAELRATVKDNVAAKKVSIMEVKRAIHQIIPNP >Manes.09G099600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29782867:29788718:1 gene:Manes.09G099600.v8.1 transcript:Manes.09G099600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIYIGREASKLWKRICAETTTEINLLLENWKYILACLIFQYIHGLAAQGVHYIHRPGPTLQDTGFFLLPELGQDKGYISETVFTCVFLSFVLWTFHPFICKNKKIYTVLIWCRVLAFLVASQFLRIVTFYSTQLPGPNYHCREGSKLARLPHPESFLEVLLINFPRGIVFGCGDLIFSSHMIFTLVFVLTYQKYGTQRFVKQLGWLISVVQSLLIIASRKHYTVDVVVAWYTVNLVVFFISKKLPELPDRSSGAASLLLPLSTKERDIMNKEENHKLINGNSVEPADRELNKAENSSKWQDSGRCEWSPC >Manes.09G099600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29782867:29788718:1 gene:Manes.09G099600.v8.1 transcript:Manes.09G099600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIYIGREASKLWKRICAETTTEINLLLENWKYILACLIFQYIHGLAAQGVHYIHRPGPTLQDTGFFLLPELGQDKGYISETVFTCVFLSFVLASQFLRIVTFYSTQLPGPNYHCREGSKLARLPHPESFLEVLLINFPRGIVFGCGDLIFSSHMIFTLVFVLTYQKYGTQRFVKQLGWLISVVQSLLIIASRKHYTVDVVVAWYTVNLVVFFISKKLPELPDRSSGAASLLLPLSTKERDIMNKEENHKLINGNSVEPADRRQRTQVNGKILEDANGVHADTNAMNGV >Manes.09G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29782867:29788718:1 gene:Manes.09G099600.v8.1 transcript:Manes.09G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIYIGREASKLWKRICAETTTEINLLLENWKYILACLIFQYIHGLAAQGVHYIHRPGPTLQDTGFFLLPELGQDKGYISETVFTCVFLSFVLWTFHPFICKNKKIYTVLIWCRVLAFLVASQFLRIVTFYSTQLPGPNYHCREGSKLARLPHPESFLEVLLINFPRGIVFGCGDLIFSSHMIFTLVFVLTYQKYGTQRFVKQLGWLISVVQSLLIIASRKHYTVDVVVAWYTVNLVVFFISKKLPELPDRSSGAASLLLPLSTKERDIMNKEENHKLINGNSVEPADRRQRTQVNGKILEDANGVHADTNAMNGV >Manes.16G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33288530:33290640:1 gene:Manes.16G132900.v8.1 transcript:Manes.16G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTHFSSISLITYAFLLMIMFPCCSLQKSFDTHNAKVSSFLPPDVCDQSSQVHHNIDSSLELVHKLGPCFARFHDDKNKKLEKSGSYNIQEMLIKDQARVHSIHAKYSRYQEQWSSAFKEKQTTVPIHSGINIGTGNYVVMVALGTPAKNLTLVFDTGSDITWTQCEPCVRSCYRQAQPKFDPRKSSSYKNVSCSSASCQLIRASGGAKGCSSSTCLYGVQYGDGSYSVGFFAIDKLTISSDVFNNFLFGCGQENKGLFGKSAGLLGLGRKRLSLAFQTARKYKKLFSYCLPSLSSTGHLSFGGKLPKSVKFTPLSSKLLSTPFYGIDVTGISVGGHKLSANASVFSKADTIIDSGTVITRLQPTVYSELSSTFQEFMKDYPLTDGVSILDTCYDFSNYDEVLVPKISIFLRGGVEIEVNNMGIMVAIKGPEQVCLAFASTGDDTDLTILGSTQQKSYEVVHDLAKGRIGFAPGGCS >Manes.16G132900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33288529:33290640:1 gene:Manes.16G132900.v8.1 transcript:Manes.16G132900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTHFSSISLITYAFLLMIMFPCCSLQKSFDTHNAKVSSFLPPDVCDQSSQVHHNIDSSLELVHKLGPCFARFHDDKNKKLEKSGSYNIQEMLIKDQARVHSIHAKYSRYQEQWSSAFKEKQTTVPIHSGINIGTGNYVVMVALGTPAKNLTLVFDTGSDITWTQCEPCVRSCYRQAQPKFDPRKSSSYKNVSCSSASCQLIRASGGAKGCSSSTCLYGVQYGDGSYSVGFFAIDKLTISSDVFNNFLFGCGQENKGLFGKSAGLLGLGRKRLSLAFQTARKYKKLFSYCLPSLSSTGHLSFGGKLPKSVKFTPLSSKLLSTPFYGIDVTGISVGGHKLSANASVFSKADTIIDSDGVSILDTCYDFSNYDEVLVPKISIFLRGGVEIEVNNMGIMVAIKGPEQVCLAFASTGDDTDLTILGSTQQKSYEVVHDLAKGRIGFAPGGCS >Manes.06G016100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2673000:2679679:1 gene:Manes.06G016100.v8.1 transcript:Manes.06G016100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEDEQIPPLLAKEQPKSKWDDEDADEDDVKESWEDEDEPAPAPAAKPPPEKPSKKPAAKSIEKKGKTVEVEKEEPQPLDPVAEKLRQQRLVEEADYKSTTELFAKRSDEKTLDNFIPKSESDFLEYAELISHKLRPYEKSFHYIGLLKAVVRLSMNSLKAADAKDVASSVTAIANEKLKAEKEANSRKKTGGKKKQLHVDKPDDDLVVDTYDALDDYDFM >Manes.06G016100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2673000:2679679:1 gene:Manes.06G016100.v8.1 transcript:Manes.06G016100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEDEQIPPLLAKEQPKSKWDDEDADEDDVKESWEDEDEPAPAPAAKPPPEKPSKKPAAKSIEKKGKTVEVEKEEPQPLDPVAEKLRQQRLVEEADYKSTTELFAKRSDEKTLDNFIPKSESDFLEYAELISHKLRPYEKSFHYIGLLKAVVRLSMNSLKAADAKDVASSVTAIANEKLKAEKEANSRKKTGGKKKQLHVDKPDDDLVVDTYDALDDYDFM >Manes.11G127900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29062808:29066523:1 gene:Manes.11G127900.v8.1 transcript:Manes.11G127900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVLFEDIFVVDKLNPDGKKFDKVSRIEAHSQNCDMFMHLDVNTEIYPMDVGDKFTMALAHTLNLDGTPDTGYYIQGARRTLADKYEYIMHGKLYKISEEGSGKKIKGEIYVSYGGLLMMLKGDPSHVSHFELDQRLFLLIRKL >Manes.15G049800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3839779:3841353:1 gene:Manes.15G049800.v8.1 transcript:Manes.15G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSMESEPIFQQDWAPRAWNLLSKLRDQSPLVQCITNFVSMDLMANTLLSAGASPAMIHSIEEIPDFTPHAHSICINVGTLSPNWLPAMKAAAKLATKLGKPWVLDPVAAGASGFRLNACLELVGMKPNVIRGNGSEIIALSKASLGVSKGVDSSHESMDAMEAAKSLAKASGAIVAVSGAVDIITDGNRVVGAHNGVPMMQKITATGCAVTALIAAFVAVDPLHALEATASALSIFGIAGELGMDMAKGPASLRMHLIDSLYGIDQAVLLSRAKITTL >Manes.02G016700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1582254:1586768:-1 gene:Manes.02G016700.v8.1 transcript:Manes.02G016700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELAEKAKEAIIDDDLKLALDLYSRAIELDSTNADYFADRAQANIKLNNFTEAVADANKAIELASSIPKAYLRKGVACMKLEEYHTAKRALEIGASLAQDDSRFTNLIKECDLRIAEDCYDQRKSLAPNVQPSATPASVGSCQKETISSGKPKYRHEYYQKPEEVILTIFAKGIPAENVTVDFGEQILSVNVSGEDTYHFQPRLFGKVLPDRSKYQVLSTKIEIRFVKAEVINWTSLEYCKEITVPQKINVPSAE >Manes.02G016700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1582254:1586768:-1 gene:Manes.02G016700.v8.1 transcript:Manes.02G016700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELAEKAKEAIIDDDLKLALDLYSRAIELDSTNADYFADRAQANIKLNNFTEAVADANKAIELASSIPKAYLRKGVACMKLEEYHTAKRALEIGASLAQDDSRFTNLIKECDLRIAEDCYDQRKSLAPNVQPSATPASVGSCQKETISSGKPKYRHEYYQKPEEVILTIFAKGIPAENVTVDFGEQILSVNVSGEDTYHFQPRLFGKVLPDRSKYQVLSTKIEIRFVKAEVINWTSLEYCKEITVPQKINVPSVGSQRPSYPSSKSRAKDWDKLEAEVKKEVLML >Manes.02G016700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1582254:1586768:-1 gene:Manes.02G016700.v8.1 transcript:Manes.02G016700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELAEKAKEAIIDDDLKLALDLYSRAIELDSTNADYFADRAQANIKLNNFTEAVADANKAIELASSIPKAYLRKGVACMKLEEYHTAKRALEIGASLAQDDSRFTNLIKECDLRIAEDCYDQRKSLAPNVQPSATPASVGSCQKETISSGKPKYRHEYYQKPEEVILTIFAKGIPAENVTVDFGEQILSVNVSGEDTYHFQPRLFGKVLPDRSKYQVLSTKIEIRFVKAEVINWTSLEYCKEITVPQKINVPSAE >Manes.02G016700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1582254:1586768:-1 gene:Manes.02G016700.v8.1 transcript:Manes.02G016700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELAEKAKEAIIDDDLKLALDLYSRAIELDSTNADYFADRAQANIKLNNFTEAVADANKAIELASSIPKAYLRKGVACMKLEEYHTAKRALEIGASLAQDDSRFTNLIKECDLRIAEDCYDQRKSLAPNVQPSATPASVGSCQKETISSGKPKYRHEYYQKPEEVILTIFAKGIPAENVTVDFGEQILSVNVSGEDTYHFQPRLFGKVLPDRSKYQVLSTKIEIRFVKAEVINWTSLEYCKEITVPQKINVPSVGSQRPSYPSSKSRAKDWDKLEAEVKKEEKR >Manes.10G085700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22105808:22107037:1 gene:Manes.10G085700.v8.1 transcript:Manes.10G085700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSIFPNMSDVSVTGNKSSPINHARYKEIISTLPQISSWRQSQHLHKYQGFWCFPTFLEGIMAAQEQFIAHPTNIIACSHPKSGTTWLKALCFAILTRAQFNNSSTNPLLTESPHDIVPWIEFLPFTGKNRDPELPLLATHIPYNFLPKSIVEAKCKIIYICRDAKDVFISLWQFAGNVRDTSAEAFPLEDALEKFCEGVNAYGPYWDHVLGYWKASLDFSERILFIKYEDLQNDTFSYVKRVAEFMGYPFSAEEEKRGLVQEIVELCSFESLSSLEVNKSRKHSNAVPIKVEKNAYFRKGKVGDWRNYLTVEMAVRLDQITQQKFSGSGLSFSSA >Manes.14G161100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23530609:23534162:-1 gene:Manes.14G161100.v8.1 transcript:Manes.14G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNETGDLLSAGVVEVKDVGLRVCWLLCYTLLKIAEIAVRPELTPSLARLVIQKGKSVPLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIVGVPEVTVSAASLIFFLKDYPVLMVYFFYQIESCSLTTGAFKVFRPVALLDSTSNF >Manes.03G016900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1422831:1426327:1 gene:Manes.03G016900.v8.1 transcript:Manes.03G016900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTAPPPTRAATSGGWVSKLPSIASRIYFFLIIFQVPLFRVPCRSGMCSTPIHVTSSQLVASDIFPVPVVKALLYPGAIVNGLIKNTTVPRWDNVLDIYNLTSVKEAPAVVDLQRLEVLAGSYFSVAGAFVGIIKPGRMSMFGTLLVIWGLVKEGILGKPTNTDPAKAIYVCPTMFLALLCAFSSVKYDVKKVVRSAPARPVAKPLKSSSKSKLK >Manes.09G050142.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10398365:10399356:1 gene:Manes.09G050142.v8.1 transcript:Manes.09G050142.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGALSAMLLLLVVALAKNCEATAMHSAIHAVRRHGHKLKPIKRNKPKFKPGLWRKAHATFYEGGTGSFGGACNYKDVVQQGYNLNTVALSDILFKKGKTCGACYEIQCVNSPKWCKKGSLFVTATNQCPSNPSLPSDNGGWCNSPREHFDIAKPVFNKIADYTAGIVPIQYRRVPCQKKGGIRFTIMGNPWFNQVIVWNVGGAGDVVSVQVKGNDNVKWTKLQRDWGATWKTSTHLVGESLTFRVTTSDGRESTSWHVAPKNWQFGQTYEGKNFK >Manes.01G158900.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425719:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRSTSQYDTTHCFGTLKGRVL >Manes.01G158900.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425935:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.47.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425968:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.47.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGYPSSLLAITSPPWMILSCGDSLVFHHLIQIWLDGYWLQKTFVSKIPCCLISSALLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425719:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34426098:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425937:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425935:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRSTSQYDTTHCFGTLKGRVL >Manes.01G158900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34426098:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425967:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.45.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425937:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRSTSQYDTTHCFGTLKGRVL >Manes.01G158900.44.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425712:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34427138:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34426098:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425968:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34426098:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRSTSQYDTTHCFGTLKGRVL >Manes.01G158900.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34427138:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRSTSQYDTTHCFGTLKGRVL >Manes.01G158900.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34426098:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425719:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGRRKLMGGIPRKMVFMAVGGFAKAVANLLNTTSVHNADTLIHLVRSRPSGVPLITVSNHKSTLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34426098:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRSTSQYDTTHCFGTLKGRVL >Manes.01G158900.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425713:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRCLYVAISEQIQIVLENLRCLGKSSVK >Manes.01G158900.46.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34417340:34425713:-1 gene:Manes.01G158900.v8.1 transcript:Manes.01G158900.46.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLFTLFDLDPLGLDDPVMWGFPGFPSFDTNLARWVLAAEDICFKNPLLSYFFRLGKCIPITRGAGIYQEHMNEALECLSNGAWLHTFPEGKVLQEDAPIRRLKWGTASLIVRSPITPIVLPIVHQGLEKVMPENYWFGKRPLFPLCNKRINIVVGEPIEFDLPTMRQMAISMSRNLHFPGKGWPSISRYGLDEAAQRSTSQYDTTHCFGTLKGRVL >Manes.15G180400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18856966:18860991:1 gene:Manes.15G180400.v8.1 transcript:Manes.15G180400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNDHAQDQQKSIEKDEEPVINYRGIKAMPFIIGNETFEKLGTVGSSTNLVVYLNTVFNLKSVTATTLVNIFNGTTNLAPLLGAFLCDTYFGRYKTLGFASVASFLGMSALALTAAVPTLHPPKCVGKESSQCVGPSIWQLAFLLCGFGLIAIGAGGIRPCNLAFGAEQFNPNTESGKRGMSSFFNWYYFTYTFAVMVSVTGIVYVQSDVSWAIGLAIPAFLMFLSCAVFFIGTKIYVIVKPEGSPITSVVQVLVVSVKKRGLKLPQNPAVSLFNYVPAKSINSRLSHTEQFSFLDKAAIVTEKDEINLDGSAANPWRLCSIQQVEEVKCMVRIIPIWASAIIYHVPLIQQQTYAVLQALQLDRRLGTGSFEIPAATFIIFTMLALTIWIPIYDRILVPFLQRLTGKEGGFTLLQRMGIGILLSVLCMFVSGLVESHRRDIALSKPTLGISPKGGAVSSMTWLWLVPQLALAGLSEGFNYVSQIEFYYKQFPENMRSIAGSSFFAGLALANFLSGLLVSTIHKITSATKSGDWLSEDLNKGKLDHFYYVIGVLGTVNMAYFLLCAKWYRYKVREDDGSVEMSNKSSVKHHV >Manes.13G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3131515:3137684:-1 gene:Manes.13G021500.v8.1 transcript:Manes.13G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETVRLRLEFDHILSKSHKTEGLQRCWILLKPQHQIISDLSSYLLHAFDLQSYCPRGLILSMEGFALPPFESTSILKDKDIIRVEKNGGLSSELVMLGDEGNSLEVVEIVETQPVAAGMNLLANEEFEKESGGYQSEEEEDAPEQAKDAVQVEDSREVKKVSKKRKASKELKISKRKKTKSASAEKRIVVAEDIGNNVSEDQSGTLSSAKVDERSESSKASPNRKRLTQPQENGKMTADATLTPSGTMKLPSRSARRKKAKRKWLREKLKAERKEQYHRKLLNGSDQSSKKANQIVSQDSDEVSESDGEELQKDSQQLDQDSDAEDDVVPVVIRPGHIRFEPLSKVGADEAVQKNQIPLENFRWNGITSKKKGQKWGKEKVTSYKMNDYKNVNQGCSRTRNYEARPVFNHINFEKLEFYASLPKEGDVIAYRLIELSSSWTPELSSYRVGKISRYDIESNRVRLVPVPKYPIIPEKKMGDDASAELSEMSSYAKDGSLWIEFSSLVEVRLVTRGNFNSEKSTAGVSDVGGRDEGTETGFKCNNGNEACASVQENGEVNVWEEINQALKAKKEELSQEDNWNKTQSSGRRPWSYKALRGSALGPTMALLRSQNEL >Manes.17G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22713250:22718437:-1 gene:Manes.17G032300.v8.1 transcript:Manes.17G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVTGEILWESSDHPSDTVMSDTKGPHVYTSWKSDNDPSPGNFVLAFAPRPPLQFFIWRGSTPYWRSGPWDKSRFIGIPEMDTSISGATVKDSQVPGSLSVSLGRFSNCSLKNLFISYQGYVKFMCWENNYGWYADWEAPRSPCDIYGACGPFGFCKTSKRPLNCFCMRGFVPKSREEWSKGNWTRGCVRRTTLLCERNSSAIAAQAGKADGFWQMRGVRLPDFSYYVDEVAEGCQRWCQDNCSCTGYSYVNGIGCQVWTRDLIDVIEFSYGGQDLFIRLTHDELGKGKPVKFITILIAISTFAVLTAILYALYKWRANQNRKVKHEDIKANDQLELPMLDFDGILVATNHFNLTNKLGQGGYGPVYKGRLQDGKDVAIKRLSSSSGQGVEEFKNEMTLISKLQHRNLVKLVGCCIEREEKILVYEFLSNKSLDTHLFDPIKKANLNWTIRYNIIKGIGRGLLYLHRDSCLRVIHRDLKVSNILLDEKMNPKISDFGLARIFQGTHGLANTNRVVGTLGYMAPEYALGGIFSDKSDVFSFGILLLEIVSSKKINDFHCEEQHLGLISYAWQSWCESRGINMVDEALAESFSQSEVIRCVNIGLLCVQDHAADRPTMAAIVSMLSGEAKLPQPKQPTFTFQSILQSENNCAYSINEVTESIVEGR >Manes.05G177800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29410214:29411714:-1 gene:Manes.05G177800.v8.1 transcript:Manes.05G177800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAFFILALVSQGTRLVASQRLPANIFILAGQSNMAGRGGVINSTKNGNFTWDGIVPPQCQPNPSILRLSANLEWVLAQEPLHADIDYNKTNGIGPGMPFANAVLTRDPAIGVIGLVPCAIGGTNITHWAKGGFLYDQLVRRTQAALKNGGVLRAMLWYQGESDTINREDADDYKGRLEKFFKEVRADLKHPRLPIIQVALASGEGRFVETVREAQLGTNLLDVQCIDGKGLTLEPDRLHLTTPAQVQLGQMFAHAFLQSLPTPIRTSSNSAATLSFLVSDHFVGFLFYSTFLFVGLQII >Manes.02G227300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36687848:36698256:1 gene:Manes.02G227300.v8.1 transcript:Manes.02G227300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKLSEGNEPWLTTTNNNIGRQFWEFDPHSATPEELAQVENLRNHFSINRFQAKQSSDLLMRLQFGKEKNPCEMKEERKRVKSEEEITEEMVSSTLRKAVSFYSSLQAEDGFWPCDYGGPLFLLPGLVISLYITGALNAILSDEHRREMRRYLYNHQNEDGGWGLHIEGCSIMFCTALSFVTLRLLGEDINGGNGVLEKARKWILDHGGVTYIPSWGKMWLSVLGAYEWRGNNPLPPEIWLLPYVVPIHPGRMWCHCRMVYLPMSYLYGKRFVGPITALVLSLRKELYTLPYHHINWDTARSLCAKEDLYYPHGKIQDMVWEFLHKGVEPILKRWPFSKLRPLALKTVMKHLNYEDQNTNYICIGPVNKVLNMLCCWVEDPNSEAFKFHLPRIKDYLWMAEDGMKMQGYNGSQLWDVVFAVQAILSTKLGEDYYGSMLNKAHNFIKNTQIKRNSSGDLKQWYRHISKGGWPFSTPDNGWPVSDCTSEALKTALLLAEMAADVVGEAIQPTKLFDAVNVILSLQNNSGGFASYELTRSYVWLEMLNPAEVFGDIMIDYQYVECTSAAIQGLKSFTKLYPKHRKEEIQLCISKAVHYIESIQRPDGSWYGSWGVCFTYGTWFGIKGVIASGKNYYNSNCIRKACEFLLSKQLPSGGWGESYLSSQDKVYTNLKDCKSHTVNTAWAMLALIEAGQAQRDPKPLHRAAKVLINSMMDNGDFPQQEIMGVFNKNCMISYSNYRNIFPIWALGEYLNRVLLSSQTH >Manes.02G227300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36687842:36698256:1 gene:Manes.02G227300.v8.1 transcript:Manes.02G227300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKLSEGNEPWLTTTNNNIGRQFWEFDPHSATPEELAQVENLRNHFSINRFQAKQSSDLLMRLQFGKEKNPCEMKEERKRVKSEEEITEEMVSSTLRKAVSFYSSLQAEDGFWPCDYGGPLFLLPGLVISLYITGALNAILSDEHRREMRRYLYNHQNEDGGWGLHIEGCSIMFCTALSFVTLRLLGEDINGGNGVLEKARKWILDHGGVTYIPSWGKMWLSVLGAYEWRGNNPLPPEIWLLPYVVPIHPGRMWCHCRMVYLPMSYLYGKRFVGPITALVLSLRKELYTLPYHHINWDTARSLCAKEDLYYPHGKIQDMVWEFLHKGVEPILKRWPFSKLRPLALKTVMKHLNYEDQNTNYICIGPVNKVLNMLCCWVEDPNSEAFKFHLPRIKDYLWMAEDGMKMQGYNGSQLWDVVFAVQAILSTKLGEDYYGSMLNKAHNFIKNTQIKRNSSGDLKQWYRHISKGGWPFSTPDNGWPVSDCTSEALKTALLLAEMAADVVGEAIQPTKLFDAVNVILSLQNNSGGFASYELTRSYVWLEMLNPAEVFGDIMIDYQYVECTSAAIQGLKSFTKLYPKHRKEEIQLCISKAVHYIESIQRPDGSWYGSWGVCFTYGTWFGIKGVIASGKNYYNSNCIRKACEFLLSKQLPSGGWGESYLSSQDKVYTNLKDCKSHTVNTAWAMLALIEAGQAQRDPKPLHRAAKVLINSMMDNGDFPQQEIMGVFNKNCMISYSNYRNIFPIWALGEYLNRVLLSSQTH >Manes.07G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31051055:31059308:1 gene:Manes.07G104700.v8.1 transcript:Manes.07G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKDEGLPPALLGSCNERAKQLHASPSGRLLTALVCEYLDWAQLNHTHKVYLPECNLQKDFWKSELKEFSSKNGYDLNRNGDSGPLLLDVLEGFLKFENLSQGRGAGRRVSEAESLSSLDSRSVRRPSSSSLAGGLPPLGRPASSQTSDRRAGSSMSGYRKDEYNWRYDSDELPEDVIRASAALENLQLDRKARNLTTSWRHAGDGMSDDDGRGDRV >Manes.01G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30559836:30561685:-1 gene:Manes.01G106200.v8.1 transcript:Manes.01G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNENASDGGGGGGGTSNNWARVCDTCRAAACTVYCRADSVYLCAGCDARVHAANRVASQHERVWVCEACERAPAAFLCKADAASLCTACDADIHSANPLARRHQRVPILPISGSLYGPQAGNPAGETTEDMFMTQEGEEGVGEEEEDEAASWLLPNPVKSSNGQNYNGFLFGGGDVDEYLDLMEYNSNSCGDQNQYSDQNNLQHYSVPHQKSCYGGDSVVPNHCAEAARKDQLHHQYHNFHLGLEIDSSSKAAYSYNGSISQSVSISSMDVGVVPDSTMSEASISHHRPPKGTIDLFSSPPMQMPSQFSPGDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIRGRFAKRTDVEVEVGQLFSTTLMAEAGYGVVPSF >Manes.14G075000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6271160:6274255:-1 gene:Manes.14G075000.v8.1 transcript:Manes.14G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSQPPGTVRKLLVEVVNARDLLPKDGQGSSSPYVIADFDGQRKRTSTKYRDLNPEWNETLEFIVSDPDNMDFEELEIEVFNDKKYGNGSGRKNHFLGRVKLYGSQFSKRGEEGLVYFPLEKKSVFSWIKGEIGLKICYYDELVVEDQQPPPPADKDAPPPQDPPKSPAVVVVEEGRAFEVPAHPEISHSHRFPDGSQLPPVVVIEESPPPVVQVHSEPPAPEPAMPPPEGIYIPDMRKMQTTRVAAFGGERVRVSRRPDGEFSPRVISGKFTSETERVHPYDLVEPMQYLFIRIVKARGLSHNESPFVKIRTSSNHSMRSKPAIYRPGEPTDSPEWNEVFTLALNRLDLASSTLEISVWDSQGQYLGGICLGLSDVLVRDPSDSPLAPQWYHLESSHGQNSSRVSGDIQLSVWIGTQNDDAFREAWSCDAPNVAHTRSKVYQSPKLWYLRVTVLEAQDLLIASNLPPLTAPEIRVKAHLGFQSVRSRRGSMNNHSGSFHWNEDMIFVAGEPLDDSLILVAEDRTNKEAIALGHVIIPLSSIDQRIDERHVAPKWFSLEGGASVGPTCVVGGYQGRIHLRLCLEGGYHVLDEAAHVCSDFRPTATQLWKPAMGILELGILGARGLMPMKHNPNKGGKGSTDAYCVAKYGKKWVRTRTIMDSFDPRWNEQYTWQVYDPCTVLTIGVFDNSRIYVDPAVPEEGFDTRIGKLRIRVSTLESNKVYMNSYPLLVLQRTGLKKMGEIELAVRFACPSLLPDTCAVYGQPLLPRMHYLRPLGVAQQEALRGAATKMVASWLGRSEPPLGHEVVQYMLDADSHTWSMRKSKANWFRIVAVLAWFVGLAKWLHNIRRWKNPVTTVLVHILYLVLVWYPDLIVPTGFLYVFLIGVWYYRFRPKIPAGMDIRLSQAETVDPDELDEEFDTIPSSKPPEIIRARYDRLRILAARVQTVLGDFATQGERVQALVSWRDPRATKLFIAVCLAITIILYVVPPKMVAVALGFYYLRHPMFRDPMPPTSLNFFRRLPSLSDRLI >Manes.03G055400.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5391231:5394604:1 gene:Manes.03G055400.v8.1 transcript:Manes.03G055400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDSWASVPSRKRKLQEEDEGMLSFCMDELNQDLLEGVLSWLPTSSFFRLRSVCKRWKSVADSASFKLACSEIPSRDPWFFMVDPHINKWTIFDSAERRWNKLNHPPLLQQSSNSDSMPVAASGGLVCFRNESGDLIVCNPVTGSCRQLPPAYAATENKSLHAIAMSTCFKSQQSYKLVLVYGELPKLSCKVYNSSNNCWEEEMLLKRKVDDSQELESNDDNAVYFLSKAGNVVATDMQRSPSKQYSSIMTIKDEEEITYFLSSSGTIVACNLTRKCFFEYPRLLPVFYEYSIDVVECRGEMLVVLLSEFFGSASLRVWRFDEDIRSWHQIAAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAEFFSYILCDLRNNDWVELPKCFMNGEAVEFMSAFSFEPRIEASV >Manes.03G055400.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5391231:5394604:1 gene:Manes.03G055400.v8.1 transcript:Manes.03G055400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDSWASVPSRKRKLQEEDEGMLSFCMDELNQDLLEGVLSWLPTSSFFRLRSVCKRWKSVADSASFKLACSEIPSRDPWFFMVDPHINKWTIFDSAERRWNKLNHPPLLQQSSNSDSMPVAASGGLVCFRNESGDLIVCNPVTGSCRQLPPAYAATENKSLHAIAMSTCFKSQQSYKLVLVYGELPKLSCKVYNSSNNCWEEEMLLKRKVDDSQELESNDDNAVYFLSKAGNVVATDMQRSPSKQYSSIMTIKDEEEITYFLSSSGTIVACNLTRKCFFEYPRLLPVFYEYSIDVVECRGEMLVVLLSEFFGSASLRVWRFDEDIRSWHQIAAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAEFFSYILCDLRNNDWVELPKCFMNGEAVEFMSAFSFEPRIEASV >Manes.03G055400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5391231:5394624:1 gene:Manes.03G055400.v8.1 transcript:Manes.03G055400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDSWASVPSRKRKLQEEDEGMLSFCMDELNQDLLEGVLSWLPTSSFFRLRSVCKRWKSVADSASFKLACSEIPSRDPWFFMVDPHINKWTIFDSAERRWNKLNHPPLLQQSSNSDSMPVAASGGLVCFRNESGDLIVCNPVTGSCRQLPPAYAATENKSLHAIAMSTCFKSQQSYKLVLVYGELPKLSCKVYNSSNNCWEEEMLLKRKVDDSQELESNDDNAVYFLSKAGNVVATDMQRSPSKQYSSIMTIKDEEEITYFLSSSGTIVACNLTRKCFFEYPRLLPVFYEYSIDVVECRGEMLVVLLSEFFGSASLRVWRFDEDIRSWHQIAAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAEFFSYILCDLRNNDWVELPKCFMNGEAVEFMSAFSFEPRIEASV >Manes.03G055400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5391231:5394604:1 gene:Manes.03G055400.v8.1 transcript:Manes.03G055400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFAPFSLLPFSFLLLMPISSCLLPINKTKKVDIFKFLSMEQHDSWASVPSRKRKLQEEDEGMLSFCMDELNQDLLEGVLSWLPTSSFFRLRSVCKRWKSVADSASFKLACSEIPSRDPWFFMVDPHINKWTIFDSAERRWNKLNHPPLLQQSSNSDSMPVAASGGLVCFRNESGDLIVCNPVTGSCRQLPPAYAATENKSLHAIAMSTCFKSQQSYKLVLVYGELPKLSCKVYNSSNNCWEEEMLLKRKVDDSQELESNDDNAVYFLSKAGNVVATDMQRSPSKQYSSIMTIKDEEEITYFLSSSGTIVACNLTRKCFFEYPRLLPVFYEYSIDVVECRGEMLVVLLSEFFGSASLRVWRFDEDIRSWHQIAAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAEFFSYILCDLRNNDWVELPKCFMNGEAVEFMSAFSFEPRIEASV >Manes.03G055400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5391231:5394612:1 gene:Manes.03G055400.v8.1 transcript:Manes.03G055400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDSWASVPSRKRKLQEEDEGMLSFCMDELNQDLLEGVLSWLPTSSFFRLRSVCKRWKSVADSASFKLACSEIPSRDPWFFMVDPHINKWTIFDSAERRWNKLNHPPLLQQSSNSDSMPVAASGGLVCFRNESGDLIVCNPVTGSCRQLPPAYAATENKSLHAIAMSTCFKSQQSYKLVLVYGELPKLSCKVYNSSNNCWEEEMLLKRKVDDSQELESNDDNAVYFLSKAGNVVATDMQRSPSKQYSSIMTIKDEEEITYFLSSSGTIVACNLTRKCFFEYPRLLPVFYEYSIDVVECRGEMLVVLLSEFFGSASLRVWRFDEDIRSWHQIAAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAEFFSYILCDLRNNDWVELPKCFMNGEAVEFMSAFSFEPRIEASV >Manes.03G055400.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5391231:5394604:1 gene:Manes.03G055400.v8.1 transcript:Manes.03G055400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHDSWASVPSRKRKLQEEDEGMLSFCMDELNQDLLEGVLSWLPTSSFFRLRSVCKRWKSVADSASFKLACSEIPSRDPWFFMVDPHINKWTIFDSAERRWNKLNHPPLLQQSSNSDSMPVAASGGLVCFRNESGDLIVCNPVTGSCRQLPPAYAATENKSLHAIAMSTCFKSQQSYKLVLVYGELPKLSCKVYNSSNNCWEEEMLLKRKVDDSQELESNDDNAVYFLSKAGNVVATDMQRSPSKQYSSIMTIKDEEEITYFLSSSGTIVACNLTRKCFFEYPRLLPVFYEYSIDVVECRGEMLVVLLSEFFGSASLRVWRFDEDIRSWHQIAAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAEFFSYILCDLRNNDWVELPKCFMNGEAVEFMSAFSFEPRIEASV >Manes.17G022526.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:19311232:19313135:-1 gene:Manes.17G022526.v8.1 transcript:Manes.17G022526.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDARRRTRMSLKERLGLRGLGCCGATWGFRPTTISVRDDEEEAVEQEREEIDVINRGSNPTEGISDPGCPATPSIPASSSVNLAAALAAERQLREASITDPRTNNVEAIAAPLRVSLMRLLEETDGGDEEVGGKVAVGSDAVCCVCMVRKKGAAFIPCGHTYCRVCSRELWLNRGSCPLCNRSILEILDIF >Manes.10G070500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12195191:12202674:1 gene:Manes.10G070500.v8.1 transcript:Manes.10G070500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVVEVIGILWLWVWIAMVEAEYVKYKDPKQPVGARVKDLLSRMTLEEKIGQMAQIDRSVASPAVMKTNSIGSVLSGGGSAPLPEATAEDWVNMINGFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNLMKRIGSATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEDMTEIILGLQGDLPANSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVTDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGDKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPHANYSYSIQAAIQAGIDMVMVPFNYTEFIDDLTYLVKKNVITIDRIDDAVGRILFVKFSMGLFENPLADFSLVNELGSQEHRDLAKEAVRKSLVLLKNGKNGSNPLLPLPKKASKILVAGTHADNLGYQCGGWTIAWQGFTGNNYTRGTTVLSAIRSVVDRSTEVVFQENPDSNFVKSNNFAYAIVAVGEPPYAETAGDSMDLTMMDPGPTVISNVCEAVKCVVVIVSGRPIVIEPYLSSIDALVAAWLPGTEGQGVTDVLYGDYQFSGKLPRTWFKNVDQLPMNVGDSHYDPLFPFGFGLKTGSLPGIVGR >Manes.10G070500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12195191:12202674:1 gene:Manes.10G070500.v8.1 transcript:Manes.10G070500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVVEVIGILWLWVWIAMVEAEYVKYKDPKQPVGARVKDLLSRMTLEEKIGQMAQIDRSVASPAVMKTNSIGSVLSGGGSAPLPEATAEDWVNMINGFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNLMKRIGSATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEDMTEIILGLQGDLPANSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVTDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGDKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPHANYSYSIQAAIQAGIDMVMVPFNYTEFIDDLTYLVKKNVITIDRIDDAVGRILFVKFSMGLFENPLADFSLVNELGSQEHRDLAKEAVRKSLVLLKNGKNGSNPLLPLPKKASKILVAGTHADNLGYQCGGWTIAWQGFTGNNYTRGTTVLSAIRSVVDRSTEVVFQENPDSNFVKSNNFAYAIVAVGEPPYAETAGDSMDLTMMDPGPTVISNVCEAVKCVVVIVSGRPIVIEPYLSSIDALVAAWLPGTEGQGVTDVLYGDYQFSGKLPRTWFKNVDQLPMNVGDSHYDPLFPFGFGLKTGSLPGIVGR >Manes.10G070500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12195191:12202674:1 gene:Manes.10G070500.v8.1 transcript:Manes.10G070500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVVEVIGILWLWVWIAMVEAEYVKYKDPKQPVGARVKDLLSRMTLEEKIGQMAQIDRSVASPAVMKTNSIGSVLSGGGSAPLPEATAEDWVNMINGFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNLMKRIGSATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEDMTEIILGLQGDLPANSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVTDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGDKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPHANYSYSIQAAIQAGIDMVMVPFNYTEFIDDLTYLVKKNVITIDRIDDAVGRILFVKFSMGLFENPLADFSLVNELGSQEHRDLAKEAVRKSLVLLKNGKNGSNPLLPLPKKASKILVAGTHADNLGYQCGGWTIAWQGFTGNNYTRGTTVLSAIRSVVDRSTEVVFQENPDSNFVKSNNFAYAIVAVGEPPYAETAGDSMDLTMMDPGPTVISNSQHGCPAPKAKV >Manes.10G070500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12195191:12202674:1 gene:Manes.10G070500.v8.1 transcript:Manes.10G070500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVVEVIGILWLWVWIAMVEAEYVKYKDPKQPVGARVKDLLSRMTLEEKIGQMAQIDRSVASPAVMKTNSIGSVLSGGGSAPLPEATAEDWVNMINGFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNLMKRIGSATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEDMTEIILGLQGDLPANSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVTDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGDKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPHANYSYSIQAAIQAGIDMVMVPFNYTEFIDDLTYLVKKNVITIDRIDDAVGRILFVKFSMGLFENPLADFSLVNELGSQEHRDLAKEAVRKSLVLLKNGKNGSNPLLPLPKKASKILVAGTHADNLGYQCGGWTIAWQGFTGNNYTRGTTVLSAIRSVVDRSTEVVFQENPDSNFVKSNNFAYAIVAVGEPPYAETAGDSMDLTMMDPGPTVISNSQHGCPAPKAKV >Manes.10G070500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12195191:12202674:1 gene:Manes.10G070500.v8.1 transcript:Manes.10G070500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVVEVIGILWLWVWIAMVEAEYVKYKDPKQPVGARVKDLLSRMTLEEKIGQMAQIDRSVASPAVMKTNSIGSVLSGGGSAPLPEATAEDWVNMINGFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNLMKRIGSATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEDMTEIILGLQGDLPANSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVTDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGDKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPHANYSYSIQAAIQAGIDMVMVPFNYTEFIDDLTYLVKKNVITIDRIDDAVGRILFVKFSMGLFENPLADFSLVNELGSQEHRDLAKEAVRKSLVLLKNGKNGSNPLLPLPKKASKILVAGTHADNLGYQCGGWTIAWQGFTGNNYTRGTTVLSAIRSVVDRSTEVVFQENPDSNFVKSNNFAYAIVAVGEPPYAETAGDSMDLTMMDPGPTVISNSQHGCPAPKAKV >Manes.10G070500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12195191:12202674:1 gene:Manes.10G070500.v8.1 transcript:Manes.10G070500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVVEVIGILWLWVWIAMVEAEYVKYKDPKQPVGARVKDLLSRMTLEEKIGQMAQIDRSVASPAVMKTNSIGSVLSGGGSAPLPEATAEDWVNMINGFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNLMKRIGSATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEDMTEIILGLQGDLPANSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVTDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGDKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPHANYSYSIQAAIQAGIDMVMVPFNYTEFIDDLTYLVKKNVITIDRIDDAVGRILFVKFSMGLFENPLADFSLVNELGSQEHRDLAKEAVRKSLVLLKNGKNGSNPLLPLPKKASKILVAGTHADNLGYQCGGWTIAWQGFTGNNYTRGTTVLSAIRSVVDRSTEVVFQENPDSNFVKSNNFAYAIVAVGEPPYAETAGDSMDLTMMDPGPTVISNVCEAVKCVVVIVSGRPIVIEPYLSSIDALVAAWLPGTEGQGVTDVLYGDYQFSGKLPRTWFKNVDQLPMNVGDSHYDPLFPFGFGLKTGSLPGIVGRSTSAGVVGRPYIVFIIVLVTLNLLSHR >Manes.10G070500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12195191:12202674:1 gene:Manes.10G070500.v8.1 transcript:Manes.10G070500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVVEVIGILWLWVWIAMVEAEYVKYKDPKQPVGARVKDLLSRMTLEEKIGQMAQIDRSVASPAVMKTNSIGSVLSGGGSAPLPEATAEDWVNMINGFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNLMKRIGSATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEDMTEIILGLQGDLPANSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVTDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGDKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPHANYSYSIQAAIQAGIDMVMVPFNYTEFIDDLTYLVKKNVITIDRIDDAVGRILFVKFSMGLFENPLADFSLVNELGSQEHRDLAKEAVRKSLVLLKNGKNGSNPLLPLPKKASKILVAGTHADNLGYQCGGWTIAWQGFTGNNYTRGTTVLSAIRSVVDRSTEVVFQENPDSNFVKSNNFAYAIVAVGEPPYAETAGDSMDLTMMDPGPTVISNVCEAVKCVVVIVSGRPIVIEPYLSSIDALVAAWLPGTEGQGVTDVLYGDYQFSGKLPRTWFKNVDQLPMNVGDSHYDPLFPFGFGLKTGSLPGIVGRSTSAGVVGRPYIVFIIVLVTLNLLSHR >Manes.10G070500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12195191:12202674:1 gene:Manes.10G070500.v8.1 transcript:Manes.10G070500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLVVEVIGILWLWVWIAMVEAEYVKYKDPKQPVGARVKDLLSRMTLEEKIGQMAQIDRSVASPAVMKTNSIGSVLSGGGSAPLPEATAEDWVNMINGFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRDPNLMKRIGSATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDHKIVEDMTEIILGLQGDLPANSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVTDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGDKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPHANYSYSIQAAIQAGIDMVMVPFNYTEFIDDLTYLVKKNVITIDRIDDAVGRILFVKFSMGLFENPLADFSLVNELGSQEHRDLAKEAVRKSLVLLKNGKNGSNPLLPLPKKASKILVAGTHADNLGYQCGGWTIAWQGFTGNNYTRGTTVLSAIRSVVDRSTEVVFQENPDSNFVKSNNFAYAIVAVGEPPYAETAGDSMDLTMMDPGPTVISNVCEAVKCVVVIVSGRPIVIEPYLSSIDALVAAWLPGTEGQGVTDVLYGDYQFSGKLPRTWFKNVDQLPMNVGDSHYDPLFPFGFGLKTGSLPGIVGRSTSAGVVGRPYIVFIIVLVTLNLLSHR >Manes.05G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3425527:3427387:-1 gene:Manes.05G042500.v8.1 transcript:Manes.05G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNHNRQCQDLPQVLLLKPPPPIMVVLGEHRFSSTKFQFLKAWDSPLPLNQFLPKHANSIQAILCCSASRVTDDLLQLLPFVRLVVTASAGTNHIDLKACRRRGISVTNAGNVYSEDGADAAVGLLFDVLRKISAADRHVRQGLWVKKGDYPLGSKVGGKRIGIVGLGGIGLQVAKRLEAFGCIISYNSRNKKKFVSYPFYSNVCELAANSDALIICCSLTDQTRYMINKEVLSALGKKGVIVNVGRGAIIDEKELVRCLVTGAIAGAGLDVFENEPHVPKQLLELDNVVLSPHRAVITPESFTALCELVVGNLEAFFSNLPLLSPVMIECIDG >Manes.02G223166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37074506:37076602:1 gene:Manes.02G223166.v8.1 transcript:Manes.02G223166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNDQMLQNSISGSNQTNTDASTETTTSSKAKRKPVKPRSEVWDHFTKFVSDEGELKGKCNYCKKDFCCDPKRNGTTALRNHLNSCKKHPHFIETRQAQLSLQKNASDNDVNDLGTLTTWKYDDNAIRKALVHMIIIEELPFRFVEGEGFRSFMTAICPRFRIPSRWTISRDCYDLFIEERSKLKSFFKNNCQRVSLTTDTWTSLQRINYMCITAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTVTVDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMAHIINLVVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKECVLHEKIESKSSLCLDVPTRWNSTYLMLNTAQKYERAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEVSDLAFILNQWINSNDLDMKSMGERMKVKFDKYWGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGKEKGVELFNKKMYQPDVEQFNDNSSQQLSGSCSTTGSINPKPKFFLKHHYKNEAIQEEKDDFDIMKWWKINSERFPILGKMARDILAIPVSTVASESAFSTGGRVLDSFRSSLTPKIVEGLICVQNWIRPLNIQVNVEEDLEELEKLEEGMLYTLSYLC >Manes.07G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32436798:32442239:-1 gene:Manes.07G119500.v8.1 transcript:Manes.07G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLTNVDLFKTPLVVKITACVLIFITFFYLGRHWSSNGYQQLIFFSSAPPKSVAISPNFGKSFNITALISQNQSQSVPDKTLSLAPLPPPMTGQSSPMDQSSQSDTNQTFGIVDSDGRMNDDFEVGEFDPEVVEDWGNETGVESGEKDVRVRVKRFELCPESMREYIPCLDNVDAIKRLNSTERGEKYERHCPGEGKGLNCLVPPPKGYRQPIPWPRSRDEVWYSNVPHSRLVEDKGGQNWISKEKDKFKFPGGGTQFIHGANQYLDQINKMVPDIAFGSHTRVVLDVGCGVASFGAYLLSRNVLTMSVAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFELIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEQILEEQWEEMINLTTRLCWTLVKKEGYIAIWQKPFNNSCYLSREAGITPPLCDPNDDPDNVWYVDLKACITQIPEDGYGANITKWPARLHTPPDRLQSIQMDAYMSRKELFKAESKYWAEIIGGYVRSWHWKKFKMRNVLDMRAVFGGFAAALIDQGFDCWVLNVVPVSGPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNISTIMLEMDRILRPGGRAYIRDSLDVMDELHETAKAMGWHVSLHDTSEGPHASYRILTCDKRLLRP >Manes.03G198400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31537197:31538737:1 gene:Manes.03G198400.v8.1 transcript:Manes.03G198400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPQFPYNESSEAFALSGRIMLTAIVIILFIVILMVCLHLYARWYLLRARRRQIRSARNRRTNLIFYIDPSNPTASNATIPSRGLDAAVLTSLPVFVYSSKTHPDSIECAVCLSEFEENETGRTLPKCNHSFHIECIDMWFHSHSTCPLCRSPVEPVPEKSAQLDSSVDVIELGSSSGLCATCQHEGDHVGASTSSFGGRRKPAEMLGVTIEVPRRNVNFEDEAVTESPAYRSPMSRMLSFRRILSRERRGNASPCSVNQASYGGSVSESDLENGRDENQRKVGSVM >Manes.07G095400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29935660:29944150:1 gene:Manes.07G095400.v8.1 transcript:Manes.07G095400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSEQSFYIESDEEEEQKELNRGGEGEENDENPSDSDNSLADNRQQSKTGSYNTTWPQSYRQSIDLYSSVPSPSILLGTPTLSRFGSSFLSSSLTRRHTPETLPFATEPLISKAEDEKLPQQRRSSHALLPPIPHRRSSIRKGEKPSQISHELPISRHSSYGQAVLNGMNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPELETYPDIGQAAFGTAGRIAISIILYAELYACCVEYIILESDNLSSLFPSAHLSLGGLELNSHYCFAILTTLAVLPTVWLRDLSVLSFISAGGVIASVLAVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPSVLLTCFAICTLMYAGVAVMGYTMFGESTESQFTLNMPQDLIATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSTHVKSHIYAICIRTSLVISTLIVGLSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKITRFQAALCIIIIIVGVVSSAFGTYSALSKIVESLRR >Manes.07G095400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29935157:29944150:1 gene:Manes.07G095400.v8.1 transcript:Manes.07G095400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSEQSFYIESDEEEEQKELNRGGEGEENDENPSDSDNSLADNRQQSKTGSYNTTWPQSYRQSIDLYSSVPSPSILLGTPTLSRFGSSFLSSSLTRRHTPETLPFATEPLISKAEDEKLPQQRRSSHALLPPIPHRRSSIRKGEKPSQISHELPISRHSSYGQAVLNGMNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPELETYPDIGQAAFGTAGRIAISACCVEYIILESDNLSSLFPSAHLSLGGLELNSHYCFAILTTLAVLPTVWLRDLSVLSFISAGGVIASVLAVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPSVLLTCFAICTLMYAGVAVMGYTMFGESTESQFTLNMPQDLIATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSTHVKSHIYAICIRTSLVISTLIVGLSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKITRFQAALCIIIIIVGVVSSAFGTYSALSKIVESLRR >Manes.07G095400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29935157:29944150:1 gene:Manes.07G095400.v8.1 transcript:Manes.07G095400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSEQSFYIESDEEEEQKELNRGGEGEENDENPSDSDNSLADNRQQSKTGSYNTTWPQSYRQSIDLYSSVPSPSILLGTPTLSRFGSSFLSSSLTRRHTPETLPFATEPLISKAEDEKLPQQRRSSHALLPPIPHRRSSIRKGEKPSQISHELPISRHSSYGQAVLNGMNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPELETYPDIGQAAFGTAGRIAISACCVEYIILESDNLSSLFPSAHLSLGGLELNSHYCFAILTTLAVLPTVWLRDLSVLSFISAGGVIASVLAVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPSVLLTCFAICTLMYAGVAVMGYTMFGESTESQFTLNMPQDLIATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSTHVKSHIYAICIRTSLVISTLIVGLSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKITRFQAALCIIIIIVGVVSSAFGTYSALSKIVESLRR >Manes.07G095400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29935660:29944150:1 gene:Manes.07G095400.v8.1 transcript:Manes.07G095400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSEQSFYIESDEEEEQKELNRGGEGEENDENPSDSDNSLADNRQQSKTGSYNTTWPQSYRQSIDLYSSVPSPSILLGTPTLSRFGSSFLSSSLTRRHTPETLPFATEPLISKAEDEKLPQQRRSSHALLPPIPHRRSSIRKGEKPSQISHELPISRHSSYGQAVLNGMNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPELETYPDIGQAAFGTAGRIAISIILYAELYACCVEYIILESDNLSSLFPSAHLSLGGLELNSHYCFAILTTLAVLPTVWLRDLSVLSFISAGGVIASVLAVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPSVLLTCFAICTLMYAGVAVMGYTMFGESTESQFTLNMPQDLIATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSTHVKSHIYAICIRTSLVISTLIVGLSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKITRFQAALCIIIIIVGVVSSAFGTYSALSKIVESLRR >Manes.07G095400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29935155:29945318:1 gene:Manes.07G095400.v8.1 transcript:Manes.07G095400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSEQSFYIESDEEEEQKELNRGGEGEENDENPSDSDNSLADNRQQSKTGSYNTTWPQSYRQSIDLYSSVPSPSILLGTPTLSRFGSSFLSSSLTRRHTPETLPFATEPLISKAEDEKLPQQRRSSHALLPPIPHRRSSIRKGEKPSQISHELPISRHSSYGQAVLNGMNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPELETYPDIGQAAFGTAGRIAISIILYAELYACCVEYIILESDNLSSLFPSAHLSLGGLELNSHYCFAILTTLAVLPTVWLRDLSVLSFISAGGVIASVLAVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPSVLLTCFAICTLMYAGVAVMGYTMFGESTESQFTLNMPQDLIATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSTHVKSHIYAICIRTSLVISTLIVGLSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKITRFQAALCIIIIIVGVVSSAFGTYSALSKIVESLRR >Manes.07G095400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29935155:29945318:1 gene:Manes.07G095400.v8.1 transcript:Manes.07G095400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVSEQSFYIESDEEEEQKELNRGGEGEENDENPSDSDNSLADNRQQSKTGSYNTTWPQSYRQSIDLYSSVPSPSILLGTPTLSRFGSSFLSSSLTRRHTPETLPFATEPLISKAEDEKLPQQRRSSHALLPPIPHRRSSIRKGEKPSQISHELPISRHSSYGQAVLNGMNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPELETYPDIGQAAFGTAGRIAISIILYAELYACCVEYIILESDNLSSLFPSAHLSLGGLELNSHYCFAILTTLAVLPTVWLRDLSVLSFISAGGVIASVLAVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPSVLLTCFAICTLMYAGVAVMGYTMFGESTESQFTLNMPQDLIATKIAVWTTVVNPFTKYALTISPVAMSLEELIPSTHVKSHIYAICIRTSLVISTLIVGLSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKITRFQAALCIIIIIVGVVSSAFGTYSALSKIVESLRR >Manes.11G124400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28592289:28595687:-1 gene:Manes.11G124400.v8.1 transcript:Manes.11G124400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSGARLALIKNVSHLVSNVQLDMLPVQSLFIIRSFSSVISSNLDKHSFIVSYLIDSCGLTLESAQSVSKNKRICFQTTERPDSVLRLLREHGFTNAHISKIIKQRPQLLLAQPKKTLLPKLEFLRSIGVSRSGLSIFVSKNPDLLGRSIKRCLIPTYEMLKGVLVSDKKVVATLHRMKGRHLSFFPRTFCNNLSLLRGLGIYESCITYFVTQSPSAMCLEAGKFAEGVEKVIKLGFDPSEFTFVEAVRVFLMLSAETWEHKVEVYRRLGLSEDEIRSIFRKNPKCMTFSEKKVKGIMDFLVCKMGLQPAAVARVPVVFNYSLERRIMPRCSVVRVLLLKGLIKADTHLSSVLIPSEKCFLERFVIKYQEHVPQLFHIFQKKMVLTELGFDDKYSISGLKHV >Manes.01G051560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10506993:10507858:1 gene:Manes.01G051560.v8.1 transcript:Manes.01G051560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVKKIKLLGEQFSDFKVVEKMLISLPSRFEPKVSAIEESCDLTNIPGAERSEKGKSVLTVREGGKGKFLPYSFSQKTNHLVKDCWAKDISKVQYRFCKRYGHFEKFYKTKQNQNTVQNRNHNSNKQANYIDGREENEGEVSQPVCLIHNGCNSHMANEDKMFNKLDRSINTKVTLVL >Manes.10G149900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31778440:31788890:-1 gene:Manes.10G149900.v8.1 transcript:Manes.10G149900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQQRKDQSSRNSNPKEQQQMLCEECKENPSKYKCPGCSIRSCSLPCVKDHKQRTVCSGKRVQTQFVPLSQFNDNILLSVSVVFSEDSTEHLAPVHRSSFPAGFVFGTASSAYQYEGAAHEDGRGPSIWDIFTQKYPVAHSHQGTPATNTKGASSLKRTSFPADFVFGTASSAYQYEGAASEDGKGPSIWDTFTQRHPDKIEDHSSGKIADDSYHRYKEDVALMKGLGFDAYRFSISWPRILPFGHVSGGINQKGIDYYNNLINELLSNGIKPFVTLFHWDVPQALEDEYGSFLSPKIVKDFRDYAELCFSKFGDRVKHWITLNEPLSYAGGVYVVGICSKSSSTNCNRGDSSTDPYTVGHYQLLAHAAAVEVYRKKFQKSQKGQIGITLNAGWFVPLTESSNDHKAASRAIAFQYDWFMEPLKSGSYPIDMVKLVGKRLPTFSEKEASLVKGAFDFIGVNYYTANYATDVPCKTNSLSYTTDSCVNVSSYRNGVPIGKKSGSSWLYVYPRGIEDLLLYTKYKFDDPVIYITENGVSELNTGSVSLEDNLRVDYYHDHLSYLRNAMAIGVNVKGFFAWSLLDNFEWGSGYTVRFGLVFIDYKDGLKRYPKKSANWFKDFLGSVNHTA >Manes.03G003000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:326430:333323:1 gene:Manes.03G003000.v8.1 transcript:Manes.03G003000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKFNYGVDFDDDYDDYDEYDYEYDVEDNGEVPEPKQELNRPAQWRCAICTYDNDEDMSACDICGVIRNPTAGNYINSDKRTDPFKFDVPSPDDLVSSGLHASKKGSRANSLNLKYPRGSSGITQKNSANNAHSTIKKSDSPLLILKSKEDSVDEGIHVMNGVSYTQSGSESSDGSIVVPKSGPNSVDKNYCSKNGSISIQSSGETSKSSSSMKQKGKYKNADASIQSSGKTSECSSSLMPKDKSQDVTSDNAIKAKSHKQYEPDKWMLPDKAEDKLTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMHKYEKEAKLLGKGSFAFAWALDESAEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAVLVIDASPGAFEAGMDSKKGQTREHAQLIRSFGVDQIIVAINKMDAVNYSEDRFNFIRAQLGTFLHTCGFKDSLVSWIPLSAIENQNLISPPPDVHLSSWYRGPYLLDAIDSFQPPARDFLKPLLMPICDVIKSPSVGQVSACGKLEAGALRSGTKVLVMPSGEVGTVRNLERDSQACPVARAGDNVAVSLVGIDGSHVMAGGVLCHPDYPVSVAKHLELKVLVLDNATPILIGSQLEFHIHHAKEAAGVVKIVSLLDSKTGKVTKKAPRCLAAKQQAVIEVVLHGPVCVQEFSSCKALGRASLRVLGRTIALGVVTKIIEDQE >Manes.03G003000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:326430:333324:1 gene:Manes.03G003000.v8.1 transcript:Manes.03G003000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKFNYGVDFDDDYDDYDEYDYEYDVEDNGEVPEPKQELNRPAQWRCAICTYDNDEDMSACDICGVIRNPTAGNYINSDKRTADNMCKDSGISILAKSLFASLPHWTPQKPVVAQLQNDDFVTKWGSDFLNCENFQGNFHEFHKAFNSHSHSHIKIDPFKFDVPSPDDLVSSGLHASKKGSRANSLNLKYPRGSSGITQKNSANNAHSTIKKSDSPLLILKSKEDSVDEGIHVMNGVSYTQSGSESSDGSIVVPKSGPNSVDKNYCSKNGSISIQSSGETSKSSSSMKQKGKYKNADASIQSSGKTSECSSSLMPKDKSQDVTSDNAIKAKSHKQYEPDKWMLPDKAEDKLTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMHKYEKEAKLLGKGSFAFAWALDESAEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAVLVIDASPGAFEAGMDSKKGQTREHAQLIRSFGVDQIIVAINKMDAVNYSEDRFNFIRAQLGTFLHTCGFKDSLVSWIPLSAIENQNLISPPPDVHLSSWYRGPYLLDAIDSFQPPARDFLKPLLMPICDVIKSPSVGQVSACGKLEAGALRSGTKVLVMPSGEVGTVRNLERDSQACPVARAGDNVAVSLVGIDGSHVMAGGVLCHPDYPVSVAKHLELKVLVLDNATPILIGSQLEFHIHHAKEAAGVVKIVSLLDSKTGKVTKKAPRCLAAKQQAVIEVVLHGPVCVQEFSSCKALGRASLRVLGRTIALGVVTKIIEDQE >Manes.03G003000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:326430:333324:1 gene:Manes.03G003000.v8.1 transcript:Manes.03G003000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKFNYGVDFDDDYDDYDEYDYEYDVEDNGEVPEPKQELNRPAQWRCAICTYDNDEDMSACDICGVIRNPTAGNYINSDKRTDPFKFDVPSPDDLVSSGLHASKKGSRANSLNLKYPRGSSGITQKNSANNAHSTIKKSDSPLLILKSKEDSVDEGIHVMNGVSYTQSGSESSDGSIVVPKSGPNSVDKNYCSKNGSISIQSSGETSKSSSSMKQKGKYKNADASIQSSGKTSECSSSLMPKDKSQDVTSDNAIKAKSHKQYEPDKWMLPDKAEDKLTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMHKYEKEAKLLGKGSFAFAWALDESAEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAVLVIDASPGAFEAGMDSKKGQTREHAQLIRSFGVDQIIVAINKMDAVNYSEDRFNFIRAQLGTFLHTCGFKDSLVSWIPLSAIENQNLISPPPDVHLSSWYRGPYLLDAIDSFQPPARDFLKPLLMPICDVIKSPSVGQVSACGKLEAGALRSGTKVLVMPSGEVGTVRNLERDSQACPVARAGDNVAVSLVGIDGSHVMAGGVLCHPDYPVSVAKHLELKVLVLDNATPILIGSQLEFHIHHAKEAAGVVKIVSLLDSKTGKVTKKAPRCLAAKQQAVIEVVLHGPVCVQEFSSCKALGRASLRVLGRTIALGVVTKIIEDQE >Manes.03G003000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:326430:333324:1 gene:Manes.03G003000.v8.1 transcript:Manes.03G003000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKFNYGVDFDDDYDDYDEYDYEYDVEDNGEVPEPKQELNRPAQWRCAICTYDNDEDMSACDICGVIRNPTAGNYINSDKRTADNMCKDSGISILAKSLFASLPHWTPQKPVVAQLQNDDFVTKWGSDFLNCENFQGNFHEFHKAFNSHSHSHIKIDPFKFDVPSPDDLVSSGLHASKKGSRANSLNLKYPRGSSGITQKNSANNAHSTIKKSDSPLLILKSKEDSVDEGIHVMNGVSYTQSGSESSDGSIVVPKSGPNSVDKNYCSKNGSISIQSSGETSKSSSSMKQKGKYKNADASIQSSGKTSECSSSLMPKDKSQDVTSDNAIKAKSHKQYEPDKWMLPDKAEDKLTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMHKYEKEAKLLGKGSFAFAWALDESAEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAVLVIDASPGAFEAGMDSKKGQTREHAQLIRSFGVDQIIVAINKMDAVNYSEDRFNFIRAQLGTFLHTCGFKDSLVSWIPLSAIENQNLISPPPDVHLSSWYRGPYLLDAIDSFQPPARDFLKPLLMPICDVIKSPSVGQVSACGKLEAGALRSGTKVLVMPSGEVGTVRNLERDSQACPVARAGDNVAVSLVGIDGSHVMAGGVLCHPDYPVSVAKHLELKVLVLDNATPILIGSQLEFHIHHAKEAAGVVKIVSLLDSKTGKVTKKAPRCLAAKQQAVIEVVLHGPVCVQEFSSCKALGRASLRVLGRTIALGVVTKIIEDQE >Manes.03G003000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:326430:333323:1 gene:Manes.03G003000.v8.1 transcript:Manes.03G003000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKFNYGVDFDDDYDDYDEYDYEYDVEDNGEVPEPKQELNRPAQWRCAICTYDNDEDMSACDICGVIRNPTAGNYINSDKRTADNMCKDSGISILAKSLFASLPHWTPQKPVVAQLQNDDFVTKWGSDFLNYPFKFDVPSPDDLVSSGLHASKKGSRANSLNLKYPRGSSGITQKNSANNAHSTIKKSDSPLLILKSKEDSVDEGIHVMNGVSYTQSGSESSDGSIVVPKSGPNSVDKNYCSKNGSISIQSSGETSKSSSSMKQKGKYKNADASIQSSGKTSECSSSLMPKDKSQDVTSDNAIKAKSHKQYEPDKWMLPDKAEDKLTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMHKYEKEAKLLGKGSFAFAWALDESAEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAVLVIDASPGAFEAGMDSKKGQTREHAQLIRSFGVDQIIVAINKMDAVNYSEDRFNFIRAQLGTFLHTCGFKDSLVSWIPLSAIENQNLISPPPDVHLSSWYRGPYLLDAIDSFQPPARDFLKPLLMPICDVIKSPSVGQVSACGKLEAGALRSGTKVLVMPSGEVGTVRNLERDSQACPVARAGDNVAVSLVGIDGSHVMAGGVLCHPDYPVSVAKHLELKVLVLDNATPILIGSQLEFHIHHAKEAAGVVKIVSLLDSKTGKVTKKAPRCLAAKQQAVIEVVLHGPVCVQEFSSCKALGRASLRVLGRTIALGVVTKIIEDQE >Manes.03G003000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:326430:333324:1 gene:Manes.03G003000.v8.1 transcript:Manes.03G003000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKFNYGVDFDDDYDDYDEYDYEYDVEDNGEVPEPKQELNRPAQWRCAICTYDNDEDMSACDICGVIRNPTAGNYINSDKRTDPFKFDVPSPDDLVSSGLHASKKGSRANSLNLKYPRGSSGITQKNSANNAHSTIKKSDSPLLILKSKEDSVDEGIHVMNGVSYTQSGSESSDGSIVVPKSGPNSVDKNYCSKNGSISIQSSGETSKSSSSMKQKGKYKNADASIQSSGKTSECSSSLMPKDKSQDVTSDNAIKAKSHKQYEPDKWMLPDKAEDKLTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMHKYEKEAKLLGKGSFAFAWALDESAEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAVLVIDASPGAFEAGMDSKKGQTREHAQLIRSFGVDQIIVAINKMDAVNYSEDRFNFIRAQLGTFLHTCGFKDSLVSWIPLSAIENQNLISPPPDVHLSSWYRGPYLLDAIDSFQPPARDFLKPLLMPICDVIKSPSVGQVSACGKLEAGALRSGTKVLVMPSGEVGTVRNLERDSQACPVARAGDNVAVSLVGIDGSHVMAGGVLCHPDYPVSVAKHLELKVLVLDNATPILIGSQLEFHIHHAKEAAGVVKIVSLLDSKTGKVTKKAPRCLAAKQQAVIEVVLHGPVCVQEFSSCKALGRASLRVLGRTIALGVVTKIIEDQE >Manes.03G003000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:326430:333323:1 gene:Manes.03G003000.v8.1 transcript:Manes.03G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKFNYGVDFDDDYDDYDEYDYEYDVEDNGEVPEPKQELNRPAQWRCAICTYDNDEDMSACDICGVIRNPTAGNYINSDKRTADNMCKDSGISILAKSLFASLPHWTPQKPVVAQLQNDDFVTKWGSDFLNCENFQGNFHEFHKAFNSHSHSHIKIDPFKFDVPSPDDLVSSGLHASKKGSRANSLNLKYPRGSSGITQKNSANNAHSTIKKSDSPLLILKSKEDSVDEGIHVMNGVSYTQSGSESSDGSIVVPKSGPNSVDKNYCSKNGSISIQSSGETSKSSSSMKQKGKYKNADASIQSSGKTSECSSSLMPKDKSQDVTSDNAIKAKSHKQYEPDKWMLPDKAEDKLTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMHKYEKEAKLLGKGSFAFAWALDESAEERERGITMTVAVAYFDTKKYHVVLLDSPGHKDFVPNMISGATQADAAVLVIDASPGAFEAGMDSKKGQTREHAQLIRSFGVDQIIVAINKMDAVNYSEDRFNFIRAQLGTFLHTCGFKDSLVSWIPLSAIENQNLISPPPDVHLSSWYRGPYLLDAIDSFQPPARDFLKPLLMPICDVIKSPSVGQVSACGKLEAGALRSGTKVLVMPSGEVGTVRNLERDSQACPVARAGDNVAVSLVGIDGSHVMAGGVLCHPDYPVSVAKHLELKVLVLDNATPILIGSQLEFHIHHAKEAAGVVKIVSLLDSKTGKVTKKAPRCLAAKQQAVIEVVLHGPVCVQEFSSCKALGRASLRVLGRTIALGVVTKIIEDQE >Manes.18G082800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7625903:7628276:1 gene:Manes.18G082800.v8.1 transcript:Manes.18G082800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKDQLDVKEIAMSIRDDLANLQPLSDNCCIYRAPKRAQKLHEKLYTPRVVSIGPLHHGKEELKAMEEHKRRYLFDFLQLSNSSNGVSLESYITCIEKNEGKVRNCYAETIELESEKFVKMMLLDATFIIMLLVKHHVSQLQSSNDRIYRIHKKIYDIRHDIILLENQVPFFILEELFQLSNIAGSVGGLSMIELTHRFFQGRWDSWVTKDILKENDFSQVKHFLDFLRICQRPQRPKQLKEIEKLMIPTATELYHAGVKFELHPSGNKLDIEFDNGILKIPHFRIEKEVEILLRNLQTYEDCHCDPGDMHINDYIVLIGKLLKSGKDVEILDESGMIENWMQNNEAAATLFCNMDCTADPENFYFSKVVDDLNSYEKSTWHKWIANLKQNYFNTPWAGISVAAAVILLVLTLMQTVCSFLQLAKA >Manes.18G082800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7625903:7628276:1 gene:Manes.18G082800.v8.1 transcript:Manes.18G082800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKDQLDVKEIAMSIRDDLANLQPLSDNCCIYRAPKRAQKLHEKLYTPRVVSIGPLHHGKEELKAMEEHKRRYLFDFLQLSNSSNGVSLESYITCIEKNEGKVRNCYAETIELESEKFVKMMLLDATFIIMLLVKHHVSQLQSSNDRIYRIHKKIYDIRHDIILLENQVPFFILEELFQLSNIAGSVGGLSMIELTHRFFQGRWDSWVTKDILKENDFSQVKHFLDFLRICQRPQRPKQLKEIEKLMIPTATELYHAGVKFELHPSGNKLDIEFDNGILKIPHFRIEKEVEILLRNLQTYEDCHCDPGDMHINDYIVLIGKLLKSGKDVEILDESGMIENWMQNNEAAATLFCNMDCTADPENFYFSKVVDDLNSYEKSTWHKWIANLKQNYFNTPWAGISVAAAVILLVLTLMQTVCSFLQLAKA >Manes.01G032000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6258814:6263319:1 gene:Manes.01G032000.v8.1 transcript:Manes.01G032000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGGISPSMSLDQLQKKGSEDTQLQNFELELTGHGHGYNHQFHSMNALEILRETVRILRYNCSSFMIIAVLLICPVSAIVLSNVFVDQSIVKRLTIRFLLVVKSGGLPLRPFVKQSCHHFAEMVVSSAMCFPLFITLSLLSKAAVVYSVDCTYSRKKVNVSKFCVVISKIWRRILSTYLWACMMIVGCVTLFCVLLLAICSSFSVVGFSPELNLYAAMIVGLAFSVVFANAIIICNIAIVISVLEDVSGPQALLQSSILIRGQTQVGLLIYLGSTIGMAFVEGLFEHRVKTLSYGDGTSRIWEGPLLVIMLSFVVLIDLMMSAVFYFSCRSYRLEASDAESHSILETISISAEALVVQ >Manes.01G032000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6258795:6263319:1 gene:Manes.01G032000.v8.1 transcript:Manes.01G032000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGGISPSMSLDQLQKKGSEDTQLQNFELELTGHGHGYNHQFHSMNALEILRETVRILRYNCSSFMIIAVLLICPVSAIVLSNVFVDQSIVKRLTIRFLLVVKSGGLPLRPFVKQSCHHFAEMVVSSAMCFPLFITLSLLSKAAVVYSVDCTYSRKKVNVSKFCVVISKIWRRILSTYLWACMMIVGCVTLFCVLLLAICSSFSVVGFSPELNLYAAMIVGLAFSVVFANAIIICNIAIVISVLEDVSGPQALLQSSILIRGQTQVGLLIYLGSTIGMAFVEGLFEHRVKTLSYGDGTSRIWEGPLLVIMLSFVVLIDLMMSAVFYFSCRSYRLEASDAESHSILETISISAEALVVQ >Manes.06G068850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20504594:20505380:1 gene:Manes.06G068850.v8.1 transcript:Manes.06G068850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRENNSKESITMEATNKSQITTQNLGDPLTLQSSDHLGMILDSPRSQAEIGIHRGIIFVPDKGSDSYEQWKRYDFMVMSWILYSISKELVDGFIYTASARDLWLKIIERFSEYNGTMIYELHELGSMETLPSCTCGASKAIDEINNRNKLMQFLIGLSDAYGAVRDQILGMDQLLSINKAYSMVLKFESQKEILGTMSGNVEPLVLLNRTQGQYQGR >Manes.12G019800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1753717:1755601:-1 gene:Manes.12G019800.v8.1 transcript:Manes.12G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPSEYFAMDSRKLILFALSLVLVLKVSQSFDYRDEDLTSEESLWNLYERWRSHHTVSRSLTEKHQRFNVFKGNLKHIHKVNQMDRPYKLRLNKFADMTNHEFMKHYGGSKVSHYRLLHGSRQQTGFSHENTDVPPSIDWRKKGAVTGIKNQGLCGSCWAFSSVAAVEGINKIRTGDLVSLSEQELVDCNSVNHGCDGGLMEEAFRFIEKTGGLTTENNYPYSGKDGSCDSNKMNTPMVTIDGYEMVPENDENALMKAVANQPVAIAIDAGGKDFQFYSEGVFSGHCGTELNHGVALVGYGETVDRTKYWIVKNSWGSEWGENGYVRMLRGIEAEEGLCGITLEASYPLKQRSDYKKSTSWGRDEL >Manes.02G076300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5795397:5796290:1 gene:Manes.02G076300.v8.1 transcript:Manes.02G076300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIKNATVIKVIGRTGSRGQVTQVRVEFMDHSKRQITRNVKGPVRVGDVLTLLESEREARRLR >Manes.14G005800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1660760:1672349:-1 gene:Manes.14G005800.v8.1 transcript:Manes.14G005800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGKIVEKEFEGYGVFSGFIQSYDASSGFFEIVYEDGDSEELDFSEVAALLDCKEANPADHKQRLGRRPKKRRRVEPRKREDRGGEEELGNAISHTRANAENLGIESGGLVEANGKFHMDGNVVVTDGFIGNLREVDRGNRHLGNVTVDLNEALEKGSGVVETLRERGSDDGSTIGNCSIKEALDLNAGFNLNLNEAFDMNEEDDLNTHILRNLKKRECIDLNLDVNSDVDENMWEVDMGVTHTERQKKECGFDLNFGMDKEVKVDLDGDFGGEAKERTYCNIVKLGTGEMDDASGALDRIRKQGATVNGTLQEVHVQNDSYVGLAISGEDSRAGDSAEVHDAKNTEEDSAEVVDGNRCYMISANKEGSCGRRRRGRRRSIANSLNSAPEATVFTDAAVIYGNEGEIGSAYKESNVSQRRAKLVDTSSSALETSFGYAEVSKKDCIVVSDGKQDDIGSASKEVTGNCRKRRKFSDHVNATPQMTVLRRSTRRGSAKTNISTTTALSEVHDLSVSPAVSALTEERPAKSFCEWPEEPAVLPPKVQLPPSSQNLDLDGISIINILSVYACLRSFSILLFLSPFELEEFVAAMKCNSPSLLFDCIHVSLLQTLRLHLEYLSNEGSKSASICLRSINWGLLDLNTWPVFMVEYFLIHGSDLKPCFNFCHLNLLKNDYYKQPVSVKIEILKQLCDDIIEVEAIRSELDRRSLGAESDMDFDRNKGAGVFKKRRARTGMDISAGSCLTEEVVDDTTDWNSDECCLCKMDGSLICCDGCPAAFHSKCVGVANEYLPEGDWFCPECAIDRCTPWISPRKSLRGAEPLGVDCYGRLFFSCCGYLLVSDSCETESLFTYYHRDDINAVIEVLRSSQMAYSSILEAIHKHWDIPVSLYGASRNLDLCLDMCMPQASLAYPERCTIKGDIDNESKPEEKSVTGCSGHINFEVSKPLSLTSVSSEGSAEIAQASLQNQDFQKEGPDCSNRTADFLNESDIQGKFPPSEDNSLTSSSLDLRGKNVIASAADGHLSSALDQTNGNTSQVQLGTGYTNYYSFGHIASSIAEEFMHKSSDKSIEDSIKTDEEIISAQMKIISKRTAKFCWSNIPRLNVGVQKEKCGWCFTCRVSSDGLGCLFNLCLDPVQRGPVDGVVGLPSKRIEKAHLTDIISHILLIEDRLQGLLLGPWLNPHYSRVWRESVLKASDILSVKHLLLILESNLQRLALSAEWLKHVDSAPAMGSASHIVTASLRASLKNGIGRKRVRNSDSNPSSKSASGLGMLWWRGGRISRRLFSWKVLPRPLVSKAARQAGCVKIPGILYPENSDFAKRSKYVSWRAAVESSTTVEQLALQVRELDSNIRWHDIGNKNPSSIVDKEFKKSFRLFKKVIVRRKCIEGEAAKYLLDFGKRKIIPEIVLKHGSVVEESSSRRRKYWLNESYVPLYLLKSFEEKRIARRSSKISSGKPSDVGVVVKKPSKERGFSYLFAKAERSEYHQCAHCNKDVPIREAVCCQYCKGFFHKRHVRKTAGSVTAECMYTCHRCLGAKYVKLDSKVGKSDGKRGRNKKKNMSAQHQRSKKASVGCRSVRVKSSKKEVKGPWSLRSQKKKKVAVVVPLRRSPRKAKCNSLQNKKVGGIKKDKQVKSKKATYKKPTKVTSWRKKRTQTYRSYWINGLLLSRKPDDERVANFKSKSFVASAKSDILDQPKCHLCCEGGYTSTLNYISCERCGEWFHADAFGLDVEKSDKLIGFRCHVCRKSTPPVCPQVSTKRRRDIRNELSGEATNAVSQCEGLQNDTRNELSEGATHNGLHSSEVDQNVVQNEHSEEATNIRHPSEINSLQDLLANEDNQVSFPVDEPFHRKEQYSDHSFAPGSRFEVSNGQLPDYVKENTGETQISNKKLNSELISCNGNHTPKENTIEPEQDAIVTSFDKLQTSSCNDDVDVIQTELAPSGCEPPS >Manes.14G005800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1661053:1672290:-1 gene:Manes.14G005800.v8.1 transcript:Manes.14G005800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGKIVEKEFEGYGVFSGFIQSYDASSGFFEIVYEDGDSEELDFSEVAALLDCKEANPADHKQRLGRRPKKRRRVEPRKREDRGGEEELGNAISHTRANAENLGIESGGLVEANGKFHMDGNVVVTDGFIGNLREVDRGNRHLGNVTVDLNEALEKGSGVVETLRERGSDDGSTIGNCSIKEALDLNAGFNLNLNEAFDMNEEDDLNTHILRNLKKRECIDLNLDVNSDVDENMWEVDMGVTHTERQKKECGFDLNFGMDKEVKVDLDGDFGGEAKERTYCNIVKLGTGEMDDASGALDRIRKQGATVNGTLQEVHVQNDSYVGLAISGEDSRAGDSAEVHDAKNTEEDSAEVVDGNRCYMISANKEGSCGRRRRGRRRSIANSLNSAPEATVFTDAAVIYGNEGEIGSAYKESNVSQRRAKLVDTSSSALETSFGYAEVSKKDCIVVSDGKQDDIGSASKEVTGNCRKRRKFSDHVNATPQMTVLRRSTRRGSAKTNISTTTALSEVHDLSVSPAVSALTEERPAKSFCEWPEEPAVLPPKVQLPPSSQNLDLDGISIINILSVYACLRSFSILLFLSPFELEEFVAAMKCNSPSLLFDCIHVSLLQTLRLHLEYLSNEGSKSASICLRSINWGLLDLNTWPVFMVEYFLIHGSDLKPCFNFCHLNLLKNDYYKQPVSVKIEILKQLCDDIIEVEAIRSELDRRSLGAESDMDFDRNKGAGVFKKRRARTGMDISAGSCLTEEVVDDTTDWNSDECCLCKMDGSLICCDGCPAAFHSKCVGVANEYLPEGDWFCPECAIDRCTPWISPRKSLRGAEPLGVDCYGRLFFSCCGYLLVSDSCETESLFTYYHRDDINAVIEVLRSSQMAYSSILEAIHKHWDIPVSLYGASRNLDLCLDMCMPQASLAYPERCTIKGDIDNESKPEEKSVTGCSGHINFEVSKPLSLTSVSSEGSAEIAQASLQNQDFQKEGPDCSNRTADFLNESDIQGKFPPSEDNSLTSSSLDLRGKNVIASAADGHLSSALDQTNGNTSQVQLGTGYTNYYSFGHIASSIAEEFMHKSSDKSIEDSIKTDEEIISAQMKIISKRTAKFCWSNIPRLNVGVQKEKCGWCFTCRVSSDGLGCLFNLCLDPVQRGPVDGVVGLPSKRIEKAHLTDIISHILLIEDRLQGLLLGPWLNPHYSRVWRESVLKASDILSVKHLLLILESNLQRLALSAEWLKHVDSAPAMGSASHIVTASLRASLKNGIGRKRVRNSDSNPSSKSASGLGMLWWRGGRISRRLFSWKVLPRPLVSKAARQAGCVKIPGILYPENSDFAKRSKYVSWRAAVESSTTVEQLALQVRELDSNIRWHDIGNKNPSSIVDKEFKKSFRLFKKVIVRRKCIEGEAAKYLLDFGKRKIIPEIVLKHGSVVEESSSRRRKYWLNESYVPLYLLKSFEEKRIARRSSKISSGKPSDVGVVVKKPSKERGFSYLFAKAERSEYHQCAHCNKDVPIREAVCCQYCKGFFHKRHVRKTAGSVTAECMYTCHRCLGAKYVKLDSKVGKSDGKRGRNKKKNMSAQHQRSKKASVGCRSVRVKSSKKEVKGPWSLRSQKKKKVAVVVPLRRSPRKAKCNSLQNKKVGGIKKDKQVKSKKATYKKPTKVTSWRKKRTQTYRSYWINGLLLSRKPDDERVANFKSKSFVASAKSDILDQPKCHLCCEGGYTSTLNYISCERCGEWFHADAFGLDVEKSDKLIGFRCHVCRKSTPPVCPQVSTKRRRDIRNELSGEATNAVSQCEGLQNDTRNELSEGATHNGLHSSEVDQNVVQNEHSEEATNIRHPSEINSLQDLLANEDNQVSFPVDEPFHRKEQYSDHSFAPGSRFEVSNGQLPDYVKENTGETQISNKKLNSELISCNGNHTPKENTIEPEQDAIVTSFDKLQTSSCNDDVDVIQTELAPSGCEPPS >Manes.14G007500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:394541:401192:1 gene:Manes.14G007500.v8.1 transcript:Manes.14G007500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLLRSTSTAPLIEAPHVELSPSSSDRFKVSSINFKHHLKSSQSIFVTSVPSRSSSIHRRSARSFQSIKATATEIPPAVLKSRSDGRTKVGINGFGRIGRLVLRIATMRDDIDIVAVNDPFIDTKYMAYMFKYDSTHGVYKGSIKVLDESTLEINGKQIKVSSRRDPAEIPWGNYGAEYVIESSGVFTTIDKASAHKKGGAKKVVISAPSADAPMFVVGVNERTYKPNMDIVSNASCTTNCLAPLAKVVNEEFGIIEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTANVSVVDLTCRLQKSASYEDVKAAIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSASFVKLVTWYDNEWGYSNRVLDLIEHMALVAGHN >Manes.14G007500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:394541:401192:1 gene:Manes.14G007500.v8.1 transcript:Manes.14G007500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLLRSTSTAPLIEAPHVELSPSSSDRFKVSSINFKHHLKSSQSIFVTSVPSRSSSIQRSARSFQSIKATATEIPPAVLKSRSDGRTKVGINGFGRIGRLVLRIATMRDDIDIVAVNDPFIDTKYMAYMFKYDSTHGVYKGSIKVLDESTLEINGKQIKVSSRRDPAEIPWGNYGAEYVIESSGVFTTIDKASAHKKGGAKKVVISAPSADAPMFVVGVNERTYKPNMDIVSNASCTTNCLAPLAKVVNEEFGIIEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTANVSVVDLTCRLQKSASYEDVKAAIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSASFVKLVTWYDNEWGYSNRVLDLIEHMALVAGHN >Manes.05G032550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2740016:2741466:1 gene:Manes.05G032550.v8.1 transcript:Manes.05G032550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTPSPISSLFTLEILSYLLLQLLSLSLFLSWIGFAALISHIGVLYLCIVEFKWGLAGAAATYDVSAWGIALAQVVYVVGWSKDGGTGLSWLAFKEIWGFARLSIASVVMICLEIWYFMTIIVLTGHLEDPFIAVGSLSICMNINGWEGMLFLGINAAKIKNKKKKIENLFSFLILVFRCSFSRTSFIELKLPCECQCSSL >Manes.09G119300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32208602:32215920:1 gene:Manes.09G119300.v8.1 transcript:Manes.09G119300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAGGYPGKRRWRCLVLGVFFLVVLSMLVPLVFLLGLYNGFHSYGYSSDRPSSSSDSFSNGDRVDLGTHLTHYKRDQSNIQEIIDHFAPTLPNLEVALRNNTNEARNVSSNTSIKHDAQHQRAPMMPSHSLPQPLPVGNNNDKAGATKVSESTKSAGEESDKLCELRFGSYCKWHREYREDMKDSMVKKLKDRLFVARAYFPSIAKMPSQNKLSQELKQNIQDFERILSESTTDADLPSQIELKLQKMEVAIAKSKTFRVEFHNVEKKLRQILDMTEDEANFHMRQSAFLYQLAVQTMPKSLHCLSMKLTVEYFNSSLHDMAPPPSEKFSDPTLHHFVIFSKNILASSVVINSTVMHARDSGNLVFHVLTDKQNYFAMKLWFLRNTYGEAVIQVLNVEHLDLDYHDKTSLLSMSLPVEFHVSFVGVDSPSATHLKTEYISGFSHAHYLLPYIFQNLKKVVVLDDDVVVQQDLSSLWNLDMGGKVNGALQLCSVRLGHLASYLGGNSFDKNSCVWMSGLNVIDLARWRELDLTETYRKLGQQVGKLTPSNEASALSASLLTFQDQIYALDNTWALSGMGHDYGLDVDDIKNAAVLHYNGIMKPWLELGIPKYRRYWRSFLNRDDNFLGECNVNQ >Manes.09G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32208602:32215920:1 gene:Manes.09G119300.v8.1 transcript:Manes.09G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAAGGYPGKRRWRCLVLGVFFLVVLSMLVPLVFLLGLYNGFHSYGYSSDRPSSSSDSFSNGDRVDLGTHLTHYKRDQSNIQEIIDHFAPTLPNLEVALRNNTNEARNVSSNTSIKHDAQHQRKGAPMMPSHSLPQPLPVGNNNDKAGATKVSESTKSAGEESDKLCELRFGSYCKWHREYREDMKDSMVKKLKDRLFVARAYFPSIAKMPSQNKLSQELKQNIQDFERILSESTTDADLPSQIELKLQKMEVAIAKSKTFRVEFHNVEKKLRQILDMTEDEANFHMRQSAFLYQLAVQTMPKSLHCLSMKLTVEYFNSSLHDMAPPPSEKFSDPTLHHFVIFSKNILASSVVINSTVMHARDSGNLVFHVLTDKQNYFAMKLWFLRNTYGEAVIQVLNVEHLDLDYHDKTSLLSMSLPVEFHVSFVGVDSPSATHLKTEYISGFSHAHYLLPYIFQNLKKVVVLDDDVVVQQDLSSLWNLDMGGKVNGALQLCSVRLGHLASYLGGNSFDKNSCVWMSGLNVIDLARWRELDLTETYRKLGQQVGKLTPSNEASALSASLLTFQDQIYALDNTWALSGMGHDYGLDVDDIKNAAVLHYNGIMKPWLELGIPKYRRYWRSFLNRDDNFLGECNVNQ >Manes.06G097800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23197755:23201525:1 gene:Manes.06G097800.v8.1 transcript:Manes.06G097800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLAIAFLFFTSLSLSDPSFSHPSCSDSRAPVNLNTTLRFCPYNGSSCCNSTGDMQLQKLFQAMNISNPACASLLKSILCARCHPFSAELFTSNYVRRPVPVLCNSTVSRNSSNDFCSKVWDTCENVSVLNSPFAPSLQGQVGLPVDSNLTKLTDLWQSKTDFCEAFGRASADGLCFNGEPVTLNNTGSSSPPAGLCLEKIGNGSYLTMVGHPDGSNRAFFSSQPGKIWLATIPEEGSGGILEIDESNPFIDLTDEVYFNSEIGLLGMAFHPNFAQNGRFFASFICDKFRWPGCVGRCSCNSDVHCDPSMLVSDNGVQPCQYHSVIAEYSVNNTAVEASLATAAKSLEVRRILAMGLPFTSNHGGQILFGPKDGYLYFMMGDGGGPRNAGDPYNFSQNKKSLLGKIMRLDVDNIPSAKEINNLGLWGNYSTPKDNPFSEDGELLPEIWALGFRNPWRCSFDSKRPSYFICADVGQDVYEEVDIITKGGNYGWRVYEGPYLYDPPSSPGGNTTLNSVNLITPVMGYNHSEVYKNEGSASITGGYFYRSKTDPCMYGRYLYADLYAGAVWAGTETPEGSGNFTTSKIPFSCAKDTPIQCISIPGSDFPSLGYILSFGEDNRKDIFVLASSGVYRVVRPSRCYYTCSKENVTNVASPSPATPPTSSAGQLQCRCSCILVLISSLLLLWFGVI >Manes.06G097800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23197761:23201525:1 gene:Manes.06G097800.v8.1 transcript:Manes.06G097800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLAIAFLFFTSLSLSDPSFSHPSCSDSRAPVNLNTTLRFCPYNGSSCCNSTGDMQLQKLFQAMNISNPACASLLKSILCARCHPFSAELFTSNYVRRPVPVLCNSTVSRNSSNDFCSKVWDTCENVSVLNSPFAPSLQGQVGLPVDSNLTKLTDLWQSKTDFCEAFGRASADGLCFNGEPVTLNNTGSSSPPAGLCLEKIGNGSYLTMVGHPDGSNRAFFSSQPGKIWLATIPEEGSGGILEIDESNPFIDLTDEVYFNSEIGLLGMAFHPNFAQNGRFFASFICDKFRWPGCVGRCSCNSDVHCDPSMLVSDNGVQPCQYHSVIAEYSVNNTAVEASLATAAKSLEVRRILAMGLPFTSNHGGQILFGPKDGYLYFMMGDGGGPRNAGDPYNFSQNKKSLLGKIMRLDVDNIPSAKEINNLGLWGNYSTPKDNPFSEDGELLPEIWALGFRNPWRCSFDSKRPSYFICADVGQDVYEEVDIITKGGNYGWRVYEGPYLYDPPSSPGGNTTLNSVNLITPVMGYNHSEVYKNEGSASITGGYFYRSKTDPCMYGRYLYADLYAGAVWAGTETPEGSGNFTTSKIPFSCAKDTPIQCISIPGSDFPSLGYILSFGEDNRKDIFVLASSGVYRVVRPSRCYYTCSKENVTNVASPSPATPPTSSAGQLQCRCSCILVLISSLLLLWFGVI >Manes.06G097800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23197755:23201525:1 gene:Manes.06G097800.v8.1 transcript:Manes.06G097800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLAIAFLFFTSLSLSDPSFSHPSCSDSRAPVNLNTTLRFCPYNGSSCCNSTGDMQLQKLFQAMNISNPACASLLKSILCARCHPFSAELFTSNYVRRPVPVLCNSTVSRNSSNDFCSKVWDTCENVSVLNSPFAPSLQGQVGLPVDSNLTKLTDLWQSKTDFCEAFGRASADGLCFNGEPVTLNNTGSSSPPAGLCLEKIGNGSYLTMVGHPDGSNRAFFSSQPGKIWLATIPEEGSGGILEIDESNPFIDLTDEVYFNSEIGLLGMAFHPNFAQNGRFFASFICDKFRWPGCVGRCSCNSDVHCDPSMLVSDNGVQPCQYHSVIAEYSVNNTAVEASLATAAKSLEVRRILAMGLPFTSNHGGQILFGPKDGYLYFMMGDGGGPRNAGDPYNFSQNKKSLLGKIMRLDVDNIPSAKEINNLGLWGNYSTPKDNPFSEDGELLPEIWALGFRNPWRCSFDSKRPSYFICADVGQDVYEEVDIITKGGNYGWRVYEGPYLYDPPSSPGGNTTLNSVNLITPVMGYNHSEVYKNEGSASITGGYFYRSKTDPCMYGRYLYADLYAGAVWAGTETPEGSGNFTTSKIPFSCAKDTPIQCISIPGSDFPSLGYILSFGEDNRKDIFVLASSGVYRVVRPSRCYYTCSKENVTNVASPSPATPPTSSAGQLQCRCSCILVLISSLLLLWFGVI >Manes.06G097800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23197761:23201525:1 gene:Manes.06G097800.v8.1 transcript:Manes.06G097800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLAIAFLFFTSLSLSDPSFSHPSCSDSRAPVNLNTTLRFCPYNGSSCCNSTGDMQLQKLFQAMNISNPACASLLKSILCARCHPFSAELFTSNYVRRPVPVLCNSTVSRNSSNDFCSKVWDTCENVSVLNSPFAPSLQGQVGLPVDSNLTKLTDLWQSKTDFCEAFGRASADGLCFNGEPVTLNNTGSSSPPAGLCLEKIGNGSYLTMVGHPDGSNRAFFSSQPGKIWLATIPEEGSGGILEIDESNPFIDLTDEVYFNSEIGLLGMAFHPNFAQNGRFFASFICDKFRWPGCVGRCSCNSDVHCDPSMLVSDNGVQPCQYHSVIAEYSVNNTAVEASLATAAKSLEVRRILAMGLPFTSNHGGQILFGPKDGYLYFMMGDGGGPRNAGDPYNFSQNKKSLLGKIMRLDVDNIPSAKEINNLGLWGNYSTPKDNPFSEDGELLPEIWALGFRNPWRCSFDSKRPSYFICADVGQDVYEEVDIITKGGNYGWRVYEGPYLYDPPSSPGGNTTLNSVNLITPVMGYNHSEVYKNEGSASITGGYFYRSKTDPCMYGRYLYADLYAGAVWAGTETPEGSGNFTTSKIPFSCAKDTPIQCISIPGSDFPSLGYILSFGEDNRKDIFVLASSGVYRVVRPSRCYYTCSKENVTNVASPSPATPPTSSAGQLQCRCSCILVLISSLLLLWFGVI >Manes.06G097800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23198647:23201525:1 gene:Manes.06G097800.v8.1 transcript:Manes.06G097800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQKLFQAMNISNPACASLLKSILCARCHPFSAELFTSNYVRRPVPVLCNSTVSRNSSNDFCSKVWDTCENVSVLNSPFAPSLQGQVGLPVDSNLTKLTDLWQSKTDFCEAFGRASADGLCFNGEPVTLNNTGSSSPPAGLCLEKIGNGSYLTMVGHPDGSNRAFFSSQPGKIWLATIPEEGSGGILEIDESNPFIDLTDEVYFNSEIGLLGMAFHPNFAQNGRFFASFICDKFRWPGCVGRCSCNSDVHCDPSMLVSDNGVQPCQYHSVIAEYSVNNTAVEASLATAAKSLEVRRILAMGLPFTSNHGGQILFGPKDGYLYFMMGDGGGPRNAGDPYNFSQNKKSLLGKIMRLDVDNIPSAKEINNLGLWGNYSTPKDNPFSEDGELLPEIWALGFRNPWRCSFDSKRPSYFICADVGQDVYEEVDIITKGGNYGWRVYEGPYLYDPPSSPGGNTTLNSVNLITPVMGYNHSEVYKNEGSASITGGYFYRSKTDPCMYGRYLYADLYAGAVWAGTETPEGSGNFTTSKIPFSCAKDTPIQCISIPGSDFPSLGYILSFGEDNRKDIFVLASSGVYRVVRPSRCYYTCSKENVTNVASPSPATPPTSSAGQLQCRCSCILVLISSLLLLWFGVI >Manes.04G010500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1385359:1391836:-1 gene:Manes.04G010500.v8.1 transcript:Manes.04G010500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGGYGRFCNAGLPSDITFIVDGINFHLHKFPLVSRCGKIERICEESLGKSFTTALEDFPGGPDTFLIAVKFCYGMRAELTPRNIVNVSCAADYLEMTDEYGEDNLLSKSESFLHKNVLRNWKDCILALQSCDPFTPRAEKLQIVSKCLNALSMMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSTESDWWFEDISYLSVGLFEKLIHTMETRGIRPESLVGAIMYYARKYLPGLGRWQSGQSGKTRTVASFSLTPAAVDQKVLLEAIEKLLPEKKGKSFCRFLLGLLRVALILGVKQACKDSLERRIGMQLEMATLDALLIPTYSDSDTLYDTDCMERIVHHFMTSESRVTSFSPSLDLETSPSSEPLRKVAKLMDNYIAEVASDVNLKPMKIRSLADALPESSRPLHDGLYRALDIYFKAHPWLSEREKEELCNVIDYQKLSIDACAHASQNERLPLRIVLQVLFFEQMQLRTALAGCLHVLDTESAPAGHMMVPADVAGQIVQRDGWATVVRENQVLKVDMENMRSRVGELEEEFGKIKQEMKRVTKSHSSLSSPRMVARKIGCKLLPRSSDAEPDTIDSTGPTPRASIEQPRSSRHSRHRKSFSLF >Manes.04G010500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1385359:1391836:-1 gene:Manes.04G010500.v8.1 transcript:Manes.04G010500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRFCNAGLPSDITFIVDGINFHLHKFPLVSRCGKIERICEESLGKSFTTALEDFPGGPDTFLIAVKFCYGMRAELTPRNIVNVSCAADYLEMTDEYGEDNLLSKSESFLHKNVLRNWKDCILALQSCDPFTPRAEKLQIVSKCLNALSMMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSTESDWWFEDISYLSVGLFEKLIHTMETRGIRPESLVGAIMYYARKYLPGLGRWQSGQSGKTRTVASFSLTPAAVDQKVLLEAIEKLLPEKKGKSFCRFLLGLLRVALILGVKQACKDSLERRIGMQLEMATLDALLIPTYSDSDTLYDTDCMERIVHHFMTSESRVTSFSPSLDLETSPSSEPLRKVAKLMDNYIAEVASDVNLKPMKIRSLADALPESSRPLHDGLYRALDIYFKAHPWLSEREKEELCNVIDYQKLSIDACAHASQNERLPLRIVLQVLFFEQMQLRTALAGCLHVLDTESAPAGHMMVPADVAGQIVQRDGWATVVRENQVLKVDMENMRSRVGELEEEFGKIKQEMKRVTKSHSSLSSPRMVARKIGCKLLPRSSDAEPDTIDSTGPTPRASIEQPRSSRHSRHRKSFSLF >Manes.04G010500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1385359:1391837:-1 gene:Manes.04G010500.v8.1 transcript:Manes.04G010500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQFPLVSRCGKIERICEESLGKSFTTALEDFPGGPDTFLIAVKFCYGMRAELTPRNIVNVSCAADYLEMTDEYGEDNLLSKSESFLHKNVLRNWKDCILALQSCDPFTPRAEKLQIVSKCLNALSMMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSTESDWWFEDISYLSVGLFEKLIHTMETRGIRPESLVGAIMYYARKYLPGLGRWQSGQSGKTRTVASFSLTPAAVDQKVLLEAIEKLLPEKKGKSFCRFLLGLLRVALILGVKQACKDSLERRIGMQLEMATLDALLIPTYSDSDTLYDTDCMERIVHHFMTSESRVTSFSPSLDLETSPSSEPLRKVAKLMDNYIAEVASDVNLKPMKIRSLADALPESSRPLHDGLYRALDIYFKAHPWLSEREKEELCNVIDYQKLSIDACAHASQNERLPLRIVLQVLFFEQMQLRTALAGCLHVLDTESAPAGHMMVPADVAGQIVQRDGWATVVRENQVLKVDMENMRSRVGELEEEFGKIKQEMKRVTKSHSSLSSPRMVARKIGCKLLPRSSDAEPDTIDSTGPTPRASIEQPRSSRHSRHRKSFSLF >Manes.04G010500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1385359:1391837:-1 gene:Manes.04G010500.v8.1 transcript:Manes.04G010500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQFPLVSRCGKIERICEESLGKSFTTALEDFPGGPDTFLIAVKFCYGMRAELTPRNIVNVSCAADYLEMTDEYGEDNLLSKSESFLHKNVLRNWKDCILALQSCDPFTPRAEKLQIVSKCLNALSMMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSTESDWWFEDISYLSVGLFEKLIHTMETRGIRPESLVGAIMYYARKYLPGLGRWQSGQSGKTRTVASFSLTPAAVDQKVLLEAIEKLLPEKKGKSFCRFLLGLLRVALILGVKQACKDSLERRIGMQLEMATLDALLIPTYSDSDTLYDTDCMERIVHHFMTSESRVTSFSPSLDLETSPSSEPLRKVAKLMDNYIAEVASDVNLKPMKIRSLADALPESSRPLHDGLYRALDIYFKAHPWLSEREKEELCNVIDYQKLSIDACAHASQNERLPLRIVLQVLFFEQMQLRTALAGCLHVLDTESAPAGHMMVPADVAGQIVQRDGWATVVRENQVLKVDMENMRSRVGELEEEFGKIKQEMKRVTKSHSSLSSPRMVARKIGCKLLPRSSDAEPDTIDSTGPTPRASIEQPRSSRHSRHRKSFSLF >Manes.04G010500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1385359:1391837:-1 gene:Manes.04G010500.v8.1 transcript:Manes.04G010500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQFPLVSRCGKIERICEESLGKSFTTALEDFPGGPDTFLIAVKFCYGMRAELTPRNIVNVSCAADYLEMTDEYGEDNLLSKSESFLHKNVLRNWKDCILALQSCDPFTPRAEKLQIVSKCLNALSMMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSTESDWWFEDISYLSVGLFEKLIHTMETRGIRPESLVGAIMYYARKYLPGLGRWQSGQSGKTRTVASFSLTPAAVDQKVLLEAIEKLLPEKKGKSFCRFLLGLLRVALILGVKQACKDSLERRIGMQLEMATLDALLIPTYSDSDTLYDTDCMERIVHHFMTSESRVTSFSPSLDLETSPSSEPLRKVAKLMDNYIAEVASDVNLKPMKIRSLADALPESSRPLHDGLYRALDIYFKAHPWLSEREKEELCNVIDYQKLSIDACAHASQNERLPLRIVLQVLFFEQMQLRTALAGCLHVLDTESAPAGHMMVPADVAGQIVQRDGWATVVRENQVLKVDMENMRSRVGELEEEFGKIKQEMKRVTKSHSSLSSPRMVARKIGCKLLPRSSDAEPDTIDSTGPTPRASIEQPRSSRHSRHRKSFSLF >Manes.04G010500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1385359:1391836:-1 gene:Manes.04G010500.v8.1 transcript:Manes.04G010500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELTPRNIVNVSCAADYLEMTDEYGEDNLLSKSESFLHKNVLRNWKDCILALQSCDPFTPRAEKLQIVSKCLNALSMMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSTESDWWFEDISYLSVGLFEKLIHTMETRGIRPESLVGAIMYYARKYLPGLGRWQSGQSGKTRTVASFSLTPAAVDQKVLLEAIEKLLPEKKGKSFCRFLLGLLRVALILGVKQACKDSLERRIGMQLEMATLDALLIPTYSDSDTLYDTDCMERIVHHFMTSESRVTSFSPSLDLETSPSSEPLRKVAKLMDNYIAEVASDVNLKPMKIRSLADALPESSRPLHDGLYRALDIYFKAHPWLSEREKEELCNVIDYQKLSIDACAHASQNERLPLRIVLQVLFFEQMQLRTALAGCLHVLDTESAPAGHMMVPADVAGQIVQRDGWATVVRENQVLKVDMENMRSRVGELEEEFGKIKQEMKRVTKSHSSLSSPRMVARKIGCKLLPRSSDAEPDTIDSTGPTPRASIEQPRSSRHSRHRKSFSLF >Manes.04G010500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1385359:1391837:-1 gene:Manes.04G010500.v8.1 transcript:Manes.04G010500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRFCNAGLPSDITFIVDGINFHLHKFPLVSRCGKIERICEESLGKSFTTALEDFPGGPDTFLIAVKFCYGMRAELTPRNIVNVSCAADYLEMTDEYGEDNLLSKSESFLHKNVLRNWKDCILALQSCDPFTPRAEKLQIVSKCLNALSMMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSTESDWWFEDISYLSVGLFEKLIHTMETRGIRPESLVGAIMYYARKYLPGLGRWQSGQSGKTRTVASFSLTPAAVDQKVLLEAIEKLLPEKKGKSFCRFLLGLLRVALILGVKQACKDSLERRIGMQLEMATLDALLIPTYSDSDTLYDTDCMERIVHHFMTSESRVTSFSPSLDLETSPSSEPLRKVAKLMDNYIAEVASDVNLKPMKIRSLADALPESSRPLHDGLYRALDIYFKAHPWLSEREKEELCNVIDYQKLSIDACAHASQNERLPLRIVLQVLFFEQMQLRTALAGCLHVLDTESAPAGHMMVPADVAGQIVQRDGWATVVRENQVLKVDMENMRSRVGELEEEFGKIKQEMKRVTKSHSSLSSPRMVARKIGCKLLPRSSDAEPDTIDSTGPTPRASIEQPRSSRHSRHRKSFSLF >Manes.04G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1385359:1391836:-1 gene:Manes.04G010500.v8.1 transcript:Manes.04G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGKVTGFHKEGNDWFCNAGLPSDITFIVDGINFHLHKFPLVSRCGKIERICEESLGKSFTTALEDFPGGPDTFLIAVKFCYGMRAELTPRNIVNVSCAADYLEMTDEYGEDNLLSKSESFLHKNVLRNWKDCILALQSCDPFTPRAEKLQIVSKCLNALSMMVCTDPSLFGWPMMMYGRLQSPGGSILWNGINTGARIRSTESDWWFEDISYLSVGLFEKLIHTMETRGIRPESLVGAIMYYARKYLPGLGRWQSGQSGKTRTVASFSLTPAAVDQKVLLEAIEKLLPEKKGKSFCRFLLGLLRVALILGVKQACKDSLERRIGMQLEMATLDALLIPTYSDSDTLYDTDCMERIVHHFMTSESRVTSFSPSLDLETSPSSEPLRKVAKLMDNYIAEVASDVNLKPMKIRSLADALPESSRPLHDGLYRALDIYFKAHPWLSEREKEELCNVIDYQKLSIDACAHASQNERLPLRIVLQVLFFEQMQLRTALAGCLHVLDTESAPAGHMMVPADVAGQIVQRDGWATVVRENQVLKVDMENMRSRVGELEEEFGKIKQEMKRVTKSHSSLSSPRMVARKIGCKLLPRSSDAEPDTIDSTGPTPRASIEQPRSSRHSRHRKSFSLF >Manes.14G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11758055:11759289:-1 gene:Manes.14G121600.v8.1 transcript:Manes.14G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCKSWFEYMAFCFLCNDTFDLSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYSFEDLLGLWDDVYEYESNIVLWNPTTSDTKILPQSNLSHPPPSESFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDIFLNSWELPRYKFENDISDYSYDHRAHTGANGTFHWCAKERDHSRNLIVSFDLSNEVIKTAALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVAYPEYLEMYLPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVHPRNYLQAVPYMESHTLLKGCNKLEDEQNSGDAAQC >Manes.08G163400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMKGALTPKEVCEGLGLFELKNRKWHIQGTCALRGDGLYEGLDWLASTLKEMRAAGYSSVSTSSF >Manes.08G163400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836407:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMVLPCFSQL >Manes.08G163400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39829697:39832336:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMVLPCFSQL >Manes.08G163400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39829697:39831883:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMVYYPFLCTCIIKWPNVYLDLDTYTI >Manes.08G163400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMKGALTPKEVCEGLGLFELKNRKWHIQGTCALRGDGLYEGLDWLASTLKEMRAAGYSSVSTSSF >Manes.08G163400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMVLPCFSQL >Manes.08G163400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMIQCARSS >Manes.08G163400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMKGALTPKEVCEGLGLFELKNRKWHIQGTCALRGDGLYEGLDWLASTLKEMRAAGYSSVSTSSF >Manes.08G163400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39834406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMIQCARSS >Manes.08G163400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMVLPCFSQL >Manes.08G163400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMKGALTPKEVCEGLGLFELKNRKWHIQGTCALRGDGLYEGLDWLASTLKEMRAAGYSSVSTSSF >Manes.08G163400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39828903:39836406:1 gene:Manes.08G163400.v8.1 transcript:Manes.08G163400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDSFFGNNEMRIVMLGLDAAGKTTILYKLHNGKVFYTVSTIGFNVEKVQYKNVMFTVWDIAGQGKLRPFWRHFFNNTVGLIYVVDSLDRERIGIAKEEFQAIIKDPFMLNSVILVFANKQDMVLPCFSQL >Manes.09G079638.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762884:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762884:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.09G079638.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15752506:15762883:-1 gene:Manes.09G079638.v8.1 transcript:Manes.09G079638.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCSPYASILKGLNQVNNNFYMILSKFPFHFTHFHFSVLTVPPNGTEPQSHSDQNNSLQNSNEPEPSISGKIFNSAPKMGSYKLGDSTFYSLIENYANLCDFKSLEKVLNQMRLENRVFMERSFVLMFKAYGKAHLPEKAIELFDRMSNEFQCRRTVKSFNSVLNVIIQEGLYNRAMEFYSYVVGTKHMNISPNVLSFNLIIKAMCKLGLVDDAIEAFREMPTRKCVPDAYTYCTLMDGLCKEDRIDEAVSLLDEMQIEGCFPSPVMFNVLINGLCKKGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKALSLLDRMVMSKCVPNEVTYGTIINGLVKQGKALDGARVLLLMEERGYRVNEYVYSMLISGLFKEGKCQVAMKLFQESIEKGCKLNTILYSAFVDGLCREGKPDEAMQILSEMANKGCKPNAFTYSSLMKGFFETGNSHKAIEVWRDMEKNNCTQNEVCYSVLIHGLCKNGNVNEAMMVWEQMVGKGFRPDVVAYSSLIHGLCNAGLIKEALKLYNEMLCLESHSQPDVITYNILFNALCKQSSISHAVDLLNRMLDHGCDPDLVTCNIFLRMLGEKLDPPQDGRDFLDELVVRLLKRQRILGASKIIEVMLQKCLAPKSSTWARIVQDLCKPKKIQAVIDKCWSNLYC >Manes.11G068490.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15869198:15869691:-1 gene:Manes.11G068490.v8.1 transcript:Manes.11G068490.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPRIVSAKQILRRILLSQETTDVPKGHFAVYVGENQKKRFSVPISYLKHPSFQNLLSQAEEEFGFHHPMGGLTIPCSEQVFTDLIFSL >Manes.13G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1102482:1104009:1 gene:Manes.13G006000.v8.1 transcript:Manes.13G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVQLGPFLSALMRMKQYHSVLSLSTEIEYLGISHNVHSLSILINCFCRLHHLDFGFSLLGKILKIGLEPNIVTFNTLINGLCIEGKINRAVDLFNHIVERGYQPDVHTYSVIVNGLCKCGNTNVAIEVLKEMVERGCEPNAVTYNTIIDSLCKNELVAEALDILSQMRSKQNQALALLKEMVRHNISPVIVTFNILIDSLCKEGMISKAQSMINTMIQRGVEPDVFTYNPLIDGYCMLSQMDEARKIFGLMVRHGIANVFSYNILINGYWLVGSREADVITLSTMIHGLCKHGDIDEALVIVKAMEKSRLKPSCVTYTILIDAICQAGWFYDAKKIFSRLFENGLQPNVCTYSILLKGFCKEGLLDEAYTVFRGMEEGECLPNDYCYNVIIQGFLRHENMSKALQLIDEMVDKGFSADVTTIELLTHLGRYNNLILRKLRNQSENPKGVNVK >Manes.10G073332.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13753464:13754534:1 gene:Manes.10G073332.v8.1 transcript:Manes.10G073332.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLLNSMLPHISKSYLLIDTAAKIWKALSLTYSKIGNDAQIYDIRNKIHGTKQGEMTISQFYSELCGLWQELDYYQDFQADCTGDAVKFRRMIEKERVYDFLAGLNNEYDPIRVQVLGRNHFPSLEEAHAHVQQEESRRHAMLHTAPVEKAGLTTSLSTPQPPTSEKDHLHCDYCGKSRHTKETCWKLHGRPTRGCGGKRGTSKNQAKLAETVEEPFKETTTTEFLSPNELQSLKRLLSHIDTSSSSGATSNFVKSGNAFSFNNVPWIIDSGANRHMIGSYKGFLNYSPLTKDSVRIVDGSFTSISGIGSFICTSNIKLSSVFHVPHFLVNLLSVSAITNALNCKIEFFPDHCVI >Manes.18G083500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7701883:7704854:-1 gene:Manes.18G083500.v8.1 transcript:Manes.18G083500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRCDHSQVETDTDTDSDTETMRCISCKEEYGTIDAGTCKECYEEASETEEELKREIEDLKAKVAFLRFWSQLDHHHSNRSFGPCFTDVVLVASSDTGSTGSPVPVPANKAVLASRSPVFKAMLENEMEESRSGTIKISDVSYDALRAFVNYLYTAEACLDEQLACDLLVLAEKYQVKHLKGYCEKFLVSKLNWDNSVPSYAFAHQHNAKHMLDAALSLIIDNMDKLTKREEYMELVERDPRLVVEIYEAYLSKQVNTAAQRVLP >Manes.18G083500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7701882:7704855:-1 gene:Manes.18G083500.v8.1 transcript:Manes.18G083500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRCDHSQVETDTDTDSDTETMRCISCKEEYGTIDAGTCKECYEEASETEEELKREIEDLKAKVAFLRFWSQLDHHHSNRSFGPCFTDVVLVASSDTGSTGSPVPVPANKAVLASRSPVFKAMLENEMEESRSGTIKISDVSYDALRAFVNYLYTAEACLDEQLACDLLVLAEKYQVKHLKGYCEKFLVSKLNWDNSVPSYAFAHQHNAKHMLDAALSLIIDNMDKLTKREEYMELVERDPRLVVEIYEAYLSKQVNTAAQRVLP >Manes.18G083500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7701883:7705070:-1 gene:Manes.18G083500.v8.1 transcript:Manes.18G083500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRCDHSQVETDTDTDSDTETMRCISCKEEYGTIDAGTCKECYEEASETEEELKREIEDLKAKVAFLRFWSQLDHHHSNRSFGPCFTDVVLVASSDTGSTGSPVPVPANKAVLASRSPVFKAMLENEMEESRSGTIKISDVSYDALRAFVNYLYTAEACLDEQLACDLLVLAEKYQVKHLKGYCEKFLVSKLNWDNSVPSYAFAHQHNAKHMLDAALSLIIDNMDKLTKREEYMELVERDPRLVVEIYEAYLSKQVNTAAQRVLP >Manes.18G083500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7701883:7704854:-1 gene:Manes.18G083500.v8.1 transcript:Manes.18G083500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRCDHSQVETDTDTDSDTETMRCISCKEEYGTIDAGTCKECYEEASETEEELKREIEDLKAKVAFLRFWSQLDHHHSNRSFGPCFTDVVLVASSDTGSTGSPVPVPANKAVLASRSPVFKAMLENEMEESRSGTIKISDVSYDALRAFVNYLYTAEACLDEQLACDLLVLAEKYQVKHLKGYCEKFLVSKLNWDNSVPSYAFAHQHNAKHMLDAALSLIIDNMDKLTKREEYMELVERDPRLVVEIYEAYLSKQVNTAAQRVLP >Manes.15G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11032275:11033687:-1 gene:Manes.15G136400.v8.1 transcript:Manes.15G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVQLPPKGILKNNALMKYVFDTSAYPREHKELKNLREATEKRYGNDSRMAVPVDEGQFLSMLVKIMNAKKTLEIGVFTGYSLLSTALALPDDGQITGIDIDQEAYEFGLQFIRQAGVEHKINFIQSIGIEGVNQILNNQDKVPKEEFDFAFVDADKHGYKQYHEQLLKLVKVGGVIAYDNTLWYGLVVEEEDAVPEDLRGSTKAILDFNEALASDPRVEISHVSIGDGVTLCRRLV >Manes.15G136400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11032275:11033687:-1 gene:Manes.15G136400.v8.1 transcript:Manes.15G136400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVQLPPKGILKNNALMKYVFDTSAYPREHKELKNLREATEKRYGNDSRMAVPVDEGQFLSMLVKIMNAKKTLEIGVFTGYSLLSTALALPDDGQITGIDIDQEAYEFGLQFIRQAGVEHKINFIQSIGIEGVNQILNNDKVPKEEFDFAFVDADKHGYKQYHEQLLKLVKVGGVIAYDNTLWYGLVVEEEDAVPEDLRGSTKAILDFNEALASDPRVEISHVSIGDGVTLCRRLV >Manes.15G136400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11032275:11033687:-1 gene:Manes.15G136400.v8.1 transcript:Manes.15G136400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVQLPPKGILKNNALMKYVFDTSAYPREHKELKNLREATEKRYGNDSRMAVPVDEGQFLSMLVKIMNAKKTLEIGVFTGYSLLSTALALPDDGQITGIDIDQEAYEFGLQFIRQAGVEHKINFIQSIGIEGVNQILNNVSVSSKLNLKKKRRADFLTGVLFVLMLKQQDKVPKEEFDFAFVDADKHGYKQYHEQLLKLVKVGGVIAYDNTLWYGLVVEEEDAVPEDLRGSTKAILDFNEALASDPRVEISHVSIGDGVTLCRRLV >Manes.14G067100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5619184:5624078:1 gene:Manes.14G067100.v8.1 transcript:Manes.14G067100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENSDGFVRADQIDLKSLDEQLERHLNKVWTMDKNKRPDDSNIAPLPTAAVNATKTSAATFKKDRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRTEAEIASLRAAFSQEVAVWHKLDHPNVTKFIGATMGSSELQIQTENGHIGMPSNICCVVVEYLAGGALKSYLIKNRRRKLAFKVVVELALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAVDISKGGGMIPPDQQGGCLCFRRYRGP >Manes.10G147000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31614279:31615183:1 gene:Manes.10G147000.v8.1 transcript:Manes.10G147000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINREQLGNIIRRGQAIRPGNGSSNEGISQFIEVVSELLKILKESGQLLEESGQLVKVLSQLEKVLSQLDKVSSQLNGVNPTPEVSSQLREAISELQKVLSELEKALREGSIDSSQLENVSSQLENVSSQLEDVSSSLLETVKQPVVQIIVDLAAKDMNASYLCAQFYPGKPHFSCGN >Manes.17G118669.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33329354:33332632:1 gene:Manes.17G118669.v8.1 transcript:Manes.17G118669.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTEQPPNNIHTLRAERDLGANLEVDLASKLEDYLLKIYSGKITAATASLNLAEVASLLQGSIQVYSRKVQYLYNLVLHALESLSQKSLSIYWEPLCQLCSTFVEEQAEGTSVQAEQSGSHAVSDEENDQFWCLDDVPGWFKYCTLYGDAIMQISAKLFIILQWKQIIP >Manes.15G029400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2338384:2341278:1 gene:Manes.15G029400.v8.1 transcript:Manes.15G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKNEIAKDVTELIGKTPLVYLNNVVDGCVARVAAKLEMMEPCSSVKDRIGYSMIADAEAKGLITPGQSVLIEPTSGNTGIGLAFMAAAKGYRLIITMPASMSLERRIILRAFGAELVLTDPAKGMKGAIQKAEEILAKTPNAYMLQQFENAANPKVHYETTGPEIWKGSGEKVDALVSGIGTGGTITGAGKYLKEQNPNIKIYGVEPVESPVLSGGKPGPHKIQGIGAGFIPGVLEVDIIDEVVQISSEESIETAKLLALKEGLLVGISSGAATAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVRREAESMTFES >Manes.07G053600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:7592541:7594338:1 gene:Manes.07G053600.v8.1 transcript:Manes.07G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVESPMNHTKYNDIISTLPRQENVFGPLLDQYIHEYQGFWFRTSLLENIMSVQENFIPQPNDIIICTHPKCGTTWLKALCSAILTRTQFNDFSSNPLFTKSPHDIVPWIEISVQRNSELSLFATHIPYTSLPKSIVESKCKIVYLSRDPKDLFISIWQFVCKLRKEAIPLETAYQYFCKGINIYGPCWDHILGYWKASLEFPERILFIKYEDLMNDTCSYVKRLAEFLDFPFSAEEERQGLVQKIVNLCSFETLSNLEVNRNSLENSATGALKIENNAFFRKGKVGDWKNYLTAEMGAHLDQITEQKFSGSGLSFQAQDLQCLSEGKS >Manes.07G130700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33285773:33288895:-1 gene:Manes.07G130700.v8.1 transcript:Manes.07G130700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD7 MAASWVLSECGLSPLPRIYPQPRTGFASKNTNLLKLRPLPVSTSHNLGSSFKVSSWSKQRNWALNVAAPVNVTTVSREEDEEREEINGVDDTEREFFDPGSPPPFKLADIRAAIPKHCWVKDPWRSMSYVVRDVAVVFGLAAIAAYFNNWVVWPLYWFCQGTMFWALFVLGHDCGHGSFSNNAKLNSVMGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIFRSLDNATKMLRFTLPFPMLAYPFYLWSRSPGKKGSHFHPDSDLFVPNERKGIVTSTACWTAMAALLVCLNFVMGPVQMLKLYGIPYWEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSGPLPFHLLGSLIRSMKQDHYVSDTGDVVYYQTDSNLFGGEKKE >Manes.07G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33285774:33288895:-1 gene:Manes.07G130700.v8.1 transcript:Manes.07G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FAD7 MAASWVLSECGLSPLPRIYPQPRTGFASKNTNLLKLRPLPVSTSHNLGSSFKVSSWSKQRNWALNVAAPVNVTTVSREEDEEREEINGVDDTEREFFDPGSPPPFKLADIRAAIPKHCWVKDPWRSMSYVVRDVAVVFGLAAIAAYFNNWVVWPLYWFCQGTMFWALFVLGHDCGHGSFSNNAKLNSVMGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIFRSLDNATKMLRFTLPFPMLAYPFYLWSRSPGKKGSHFHPDSDLFVPNERKGIVTSTACWTAMAALLVCLNFVMGPVQMLKLYGIPYWIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSGPLPFHLLGSLIRSMKQDHYVSDTGDVVYYQTDSNLFGGEKKE >Manes.12G053200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4956309:4956662:1 gene:Manes.12G053200.v8.1 transcript:Manes.12G053200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAIVGLWALASVLLLVIGSGNTVQGITCIEALTELAPCTPFAGGTAPSPNPLCCSPVQNVNKEATTTEIRRQLCLCFQQAGSSAHINLQKLKQIPDLCHLQIPDPIDPTDCSK >Manes.14G150650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17078545:17079399:1 gene:Manes.14G150650.v8.1 transcript:Manes.14G150650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWHKFSSVAHPQTNGQTEVTNRAILQGLKKRLDGAKENWAEELNSILWALRTTPRAPTKETPFALAYGTEAVVPVELQIPTHRVQFVSENTNEDKLRSNLDALEEVREEAQVRTAAYQQRVARYYNQKVRERSLKVGDLTLRNLEATGKRAAAGKLAPTWEGPFKVTKVVKPGVYRIEDMQGNPEPHAWNIQHLKRYFP >Manes.01G098400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29974643:29986955:1 gene:Manes.01G098400.v8.1 transcript:Manes.01G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSMRSITISSSSSNGFIDLMKIKVASRHISYRTLFHTILILAFLLPFVFILTAIVTLEGVNKCSSFDCLGRRLGPRFLGRVDDSGQRLEKDLYKILNQVNNEEIPDGLKLPDSYNQLVSEIMSKKYDAKTFAFMLRAMMEKFEREIRESKFSELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARKQLPSPELLPLLSDNSYHHFVLSTDNILAASVVVSSTVQSSLKPEKIVFHVITDKKTYAGMHSWFALNSVAPAIVEVKGVHQFDWLTRENVPVLEAVENHTGIRNYYHGNHIAGTNLSTTTPRMFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDVVIQRDLSPLWEIDLGGKVNGAVETCKGEDDWVMSKHFKNYFNFSHPLVAKNLDPNECAWAYGMNIFDLRAWRKTNIRETYHSWLKDNLKSDLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNKTNIESVKKAAVIHYNGQSKPWLQIGFEHLRPFWTKYVNYTNDFVRNCHILKS >Manes.04G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4607211:4610666:-1 gene:Manes.04G035300.v8.1 transcript:Manes.04G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQERFDPVSVLLPRSLSKRRSLRSRVDRDDRGWTLLHIGAKKGNLKEVKRLLDAGMDVNAPAWGPKSKGVTPLHLAAEGGHLEVMDELLERGADIDARTWGACGWTPLHSAAKERKKEAVKFLLENGAFLPDDINDIRFNPPLHYCPGLEWAYDESKRQQRENVSAGDSSYESEN >Manes.17G015182.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8073203:8074664:1 gene:Manes.17G015182.v8.1 transcript:Manes.17G015182.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHACAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.05G203050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32796687:32797759:1 gene:Manes.05G203050.v8.1 transcript:Manes.05G203050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERKDEKIDEFSFFLCVFSIRNANPYCLIFDCLTRQKGVSKANAEKSIKLVSEDGESRLALAKLSMYHILVQGSKQWLQVHDVPKETHKSRKIHRLQSLQLGVN >Manes.03G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3654728:3659624:-1 gene:Manes.03G041500.v8.1 transcript:Manes.03G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAAITSIGVRGKDSVCVVTQKKVPDKLLDQTSVSHLFPITKYLGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLAKWIADKSQVYTQHAYMRPLGVVAMVLGIDDESGPQLYKCDPAGHFYGHKATSAGLKEQEAINFLEKKMKNDPAFSYEETVQTAISALQSVLQEDFKATEIEVGVVRADSRVFRVLSTEEIDEHLTAISERD >Manes.13G113100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31876982:31879253:1 gene:Manes.13G113100.v8.1 transcript:Manes.13G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTETPPPPLHQVTAPFSNPTMNKNQTQRTRPWPGFPTSKALGSSFGDANCMEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLTCAFLRALIARAAKSGTCKLLAAMANAHCTLAIDTHKFSVIELAGFVDLTPWHRFGFTAANAAILEAIEGYSSVHIVDLSMTHCMQVPTLIDAIANRFEVTPLIKLTVAGATEDIPPMLDLSYEELGSKLINFARSRNVIMEFRVVPSSYADGFSSLIEQLRVQNMVYAESGEALVINCQMLLHYIPEETLFSINPSTNSSNPFTFESSSSSSSMSSLRTMFLKSLRSLDPTVVVLVDEDVDLTSNNLVCRLRSAFNYLWIPYDTVDTFLPRGSKQRQWYEADICWKIENIIAHEGLQRIERLEPKSRWVQRMRNANFRSICFCEDAVSEVKTMLGEHAAGWGLKKEEDDHLVLTWKGHNVVFATAWMSA >Manes.14G024600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2308579:2309322:-1 gene:Manes.14G024600.v8.1 transcript:Manes.14G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVGPTCNDISLPKEQEQEHNIQHVSSLKKGQHTSPSKKAVFLSFNHLIAVAVITVLSATGMVSPEDFAFVVFSFIYMYFLSKVAFPCVNPSKESLVFDPKNKTLRLYVFVAAIIGLYLPIAYIVEGIFEGDTEGIKAAAPHVFLLASQVFMEGVAFSGSFALPIRVFVPVFYNSRRIFTIVDWLRNEISKVEHDYGGSPRRLLIGRALAVANMAFWCFNLFGFLLPVFLPKAFKKYYSEHKIKD >Manes.12G000100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:23375:27914:1 gene:Manes.12G000100.v8.1 transcript:Manes.12G000100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGITGHAGIFAAAAAAATATTHNHNHHHNHTQNLGINLIGGGGGGRDHHYHHQLFSRDQQQMMRSFDAANNYDGSLLAMNVSASIGQRSQFQQPRAAAGDDRRTIDPS >Manes.12G000100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:23747:27627:1 gene:Manes.12G000100.v8.1 transcript:Manes.12G000100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGITGHAGIFAAAAAAATATTHNHNHHHNHTQNLGINLIGGGGGGRDHHYHHQLFSRDQQQMMRSFDAANNYDGSLLAMNVSASIGQRSQFQQPRAAAGDDRRTIDPS >Manes.12G000100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:23516:27627:1 gene:Manes.12G000100.v8.1 transcript:Manes.12G000100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGITGHAGIFAAAAAAATATTHNHNHHHNHTQNLGINLIGGGGGGRDHHYHHQLFSRDQQQMMRSFDAANNYDGSLLAMNVSASIGQRSQFQQPRAAAGDDRRTIDPS >Manes.12G000100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:23375:27627:1 gene:Manes.12G000100.v8.1 transcript:Manes.12G000100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGITGHAGIFAAAAAAATATTHNHNHHHNHTQNLGINLIGGGGGGRDHHYHHQLFSRDQQQMMRSFDAANNYDGSLLAMNVSASIGQRSQFQQPRAAAGDDRRTIDPS >Manes.12G000100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:25508:27627:1 gene:Manes.12G000100.v8.1 transcript:Manes.12G000100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGITGHAGIFAAAAAAATATTHNHNHHHNHTQNLGINLIGGGGGGRDHHYHHQLFSRDQQQMMRSFDAANNYDGSLLAMNVSASIGQRSQFQQPRAAAGDDRRTIDPS >Manes.14G040501.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3447947:3449599:1 gene:Manes.14G040501.v8.1 transcript:Manes.14G040501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPRLVQAKRKANDGLVLKAPRLVHQDLSKDNLLCDDLVEASHVSKYTSANEGKYALDENSAIEGQHLVMLRDKKELSPSGDEVKEVLRLYHKVLNELLKKNRKALMQKPNIHLVAASILRDRHTWLNTVKRLGSIPGVEVGDEFQYRAELCIIGLHHQIEKGIDYMEKDRMKLATSIVSSGRYPNFMNSSDVLIYSGEGGNPLVQNKRPPKDQALTHGNLALKNNMDQKVPVRVILKQMWKGSKVSTYVYDGLYHVEKCWQERGKFGKLIFKFKLKRISGQPQRTQGLPSKIDKYPSNRKVILASNTFEDNEKVTVGTRNTLDDKRPSSHVFDKTYSVIFNQSKSIGCDCIDGCSDFKDWSCKIKNGKVLPYDHNERLIVRKLRIFECGPFCKCYGSCINRVTQRGIRFQLQVFMTKSGRWGVRSKQYIPAGSFICECFGLHQAKEGIEHLLGKENNCDARICQNNRVLPLHNLSHPSFPENDEYFTIDITEFDNVGSFINHSYSPNLHVQNVLHGDSKKPHLMLFAMKDIHPLQKLTYNYNCGTKF >Manes.07G063214.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11171748:11174770:1 gene:Manes.07G063214.v8.1 transcript:Manes.07G063214.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDIPSTDVKNTATTRPKLTLLPLVALIFYEVSGGPFGVEDSVRAGGGPLLSLLGFLIFPLIWSVPEALVTAELATSFPENGGYVIWISSAFGRFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFNQLIYRIPALLGITISLTYLNYRGLHIVGFSAVSLAVFSLCPFAVMGILSIPRIRPKQWLAVDFRKVEWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALFGAVILVVCSYLIPLLAGTGALKNSSSDWTDGFFAEVGLLIGGVWLKWWIQAASAISNLGLFEAEMSGDAFQLLGMSEMGMLPAIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIDKPELDRPYKVPLGTFGATLLCLPPAALLVLVMCLASLRTFLISGAVIVLGFILYPILGHAKHRKWTKFDTEQPAVPSGTGLLGSSDISQPCQEAADEASVLLLSDLPTGRTDQPGYEILVEAKDK >Manes.12G028700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2487248:2489718:1 gene:Manes.12G028700.v8.1 transcript:Manes.12G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQLLLFLLLPLFFLSSSNVIFGSAKESSARENPFTPKASLMRYWSKQINIKFPISPFLLSKASPLNAVNSATFVKLAAQNGGLSSHLSAFCSSANLLCFPDLSSNLHSQTHDKNSKFTVYSNQNFTNYGTSQADGSQSFKKYSESENSAMDSFRRYSRNSQDGRDKFSIYAHHANVADDNFNTYGTGATGGEGEFKKYNVDVNFQNLRFTSYGNDGENRAHKFSTYVENANVGVEWFTSYGKNGDRSPNEFDGYDEGVNLAESNFTNYGNNENGGNDTFKSYGSRGNQPSSSFQSYGEGGKGGIESFTGYSERSSVGTDAFKSYGKNSHAEKINFTSYFGQSFAFGDNFTGYGQGADEKSTVGFSTYGEGDGQRNFKEYAKGGASFVKYNVTRVPPGATENLAKKLVEPGKFFREAMLQKGTLMPMPDIRDKMPKRSFLPRSITSKLPFSTAKISELKEIFHASDSSSMETMLLDALKECERAPSRGETKRCVGSAEDLIDFATSVLGHNAVVRTTENVNGWKQDIELGTVKGINGGKVTKSVSCHQSLYPYLLYYCHSVPKVRVYEADILDPNSKARINHGVAVCHLDTSAWSSTHGAFLALGSGPGEIEVCHWIFENDMTWTIADE >Manes.07G071000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14153451:14170332:-1 gene:Manes.07G071000.v8.1 transcript:Manes.07G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEFRPLDEQSLIEYIKATPVLSAKIGKNYDDLKIKEVGDGNLNFVYIVVGSAGSFVMKQALPYVRSIGESWPMTKERSYFEALALKEHGQLCPEHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLVAGIEYPYLAEHMSDYMSRTLFYTSLLYRTTTQHKHDVAEFCGNVGLCRLTEQVIFSDPYKVSQYNHWTSPYLDDDAEAVREDNILKLEIAELKSKFCERAQALIHGDLHTSSVMVTPYSTQVIDPEFAFYGPMGFDLGAFIGNLILAYFAQDGHADPANDRKSYKEWILRTIEDSWNLFNKKFTALWNEHKDGPGEAYLPAIYNNPELQQLVQQKFMKDLFHDTLGFGAAKIIRRIFGVAHVEDFESIKEASKRAECERQALKFAKLLLKERRGFQSINDVVSAIRA >Manes.13G011500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1487848:1489685:1 gene:Manes.13G011500.v8.1 transcript:Manes.13G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCKYYLPEKPWLIGMAIGGLIIGWMMLSGFIHFGETTFLCSLASSTAREKAKYEETPIQLQAIVHYATSRIVPQQSFAEISITFNVLKALAPCNFLVFGLGHDSVMWNSLNPRGTTVFLEEDPKWVKSVLKDAPNLQAYTVQYRTQLREADQLLSTYRTEPYCSPYKAYLRGNYNCKLALTGLPEMVYDKEWDLIMIDAPRGYFAEAPGRMGAIFSAAVMARARKGSGVTHVFLHDIDRKVEKVYAEEFLCRKYVVNGVGRLWHFEIPPAANLTTNGGGDTGGEWFC >Manes.03G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27048163:27060186:-1 gene:Manes.03G142200.v8.1 transcript:Manes.03G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQYIPLFETKEAKAWLFYRLFSVTVFLAVCFIWVYRITNLPKNGEDGRWVWIGLLAAELWFGLYWLITQALRWNPVFRSTFKDRLSWRYQDELPGVDIFVCTANFKIEPPIMVVNTVLSVLAYDYSPEKLSVYLSDDGGSDLTFYALLEGSEFARHWIPYCKKFKVEPRSPAAYFADSKSCMDLSHRIEASVAIKKLYEEMKERIESATKLGRIPEEQRSKHEGFSQWDSYSSRLDHDTILQILIDGKDPNAKDIDGCGLPTLVYLAREKRPQHPHHFKAGAMNALIRVSSKISNGPIILNLDCDMYSNNSLAVHDALCFFLDEEKSHEVAFAQYPQHFENITKNDVYSNSLRVVFNVEFHGLDGFGGPTYGGTGCFQRRDVLCGRKFTKDSKFEWKEDDDHKRLRSILELEQETKSLASCTYEQNTQWGKEMGLRYGCLLEDVITGLSIHCRGWKSVYINPERKAFTGLAPTTLSQTLVQHKRWAEGAFQILFSNYSPLSYARGKISFGLQLGYCYYCFWCPSSIPVLCYCIFPSLYLLKGISLFPQISSPWFLPFAYVISTRYIYSLAEFLWSGGTILGWWCEQRMWLYKRTSSFFFGFIDTILKMLGFTESTFVVTAKFTDEDVLKRYEKEMMEFGDSSPMFAILATLAMLNLFCFIGVVNKVIMNGDVFSLYKTMPLQTLLCIALVLINLPLYQGLFLRNDNGKLPSSLAFKSFVVALLASSSFTLLY >Manes.07G056300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6419891:6424729:1 gene:Manes.07G056300.v8.1 transcript:Manes.07G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTASSALTFHTSILAKSQRGRLNKKIFGLPVPVNGVSRNVKQVKKGAIRHGVVRAVAEVSVKESESLDLLGMDVVAEGELREKGFLGMRKTKLVCTIGPACCSSEELERLAMGGMNVARLNMCHGSREWHRNVIRLIKKLNEQKGYCVSVMIDTEGSQIFVVDHGAPSSLKAEEGSIWLFTAKKFEGSLPFTVQANYEGFSEGIVVGDELVIDGGMACFEVIEKIGNDLRCKCTDSGLFLPRAKLSFWREGVLVERNYGLPTLSAKDWADVDFGISEGVDLIAMSFVNDADSVKHLKDYLSSNSDKSIRVLAKIESLDSLQKLEEIAEASDGIMVARGDLGVEIPLEQIPTVQEEITRICRQLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGLYGQKALSVLRMVSSRMELWSREENRQTNLRTHQLGESLQECIAEEICNSAAEMANNLGVDAIFVYTKHGEMASLLSRNRPHSPIFAFTSDTTTQMALNLQWGVSPVLVDLSDDMEDNISKTIELMQTKAMIKAGDFVLVVSDLTPARASSSAFQSIQVRTVV >Manes.08G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38605992:38612475:-1 gene:Manes.08G143700.v8.1 transcript:Manes.08G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYSKGINKAIEILDELVEKDSETMDVRSKEQVISRMKAAVASKQFGLEDILSNLIADACIQVCPKNPANFNVDNVRVAKLVGGGLHDCKIVRGMVLKSDTVGTIKRVEKAKVAVFAGGVDTSATETKGTVLIHSANQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAIAHLKLSQPNPDDLGYVDSISVEEIGGARVTIVKNEEGGNSVCTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIIPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPKTLAENAGLNAMEIISSLYAEHASGNTKVGIDLDEGVCKDMSAMSIWDLYVTKFFALKYAADAACTVLRVDQIIMAKPAGGPKRDPPAGVDED >Manes.16G028300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3128015:3133967:-1 gene:Manes.16G028300.v8.1 transcript:Manes.16G028300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGIRSGSYGSLEKHFQQHQQNGGGVHLPIQTISRTKPAKMLKDKERLFHWICKFAGRKKVGMLFLCIISAAVFVWVLYVGKGEDSQEGDRVPNFSLNSTVPFSNPENQTRILEELPLPLPSPSPPPPPPPPPPLPSPPPPPPPPPSPHYFTGYTLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKLPSFSPIVNSLTYIYEDPLSRDGEFGGSEFGGYPTLKQRSDSYDIRESMSIHCGFVRGKKPGRNTGFDMDEIDLAAMEQCRGVVVASAIFGAFDNIQQPSNISEYSKKTVCFFMFVDEETEAYLKKNSDLDGSRKIGIWRIVVVHNLPYADGRRNGKVPKLLAHRMFPNARFSLWIDGKLELIVDPYQILERHLWRKNATLAISRHYKRFDVFVEAEANKAAGKYDNASIDFQIGFYKKEGLIPYSVAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIRAKTNWTVNMFLDCERRNFVVQKYHRDVLEHMAHLPPAVYPPPPPRVLVYEPPKRAFETSADKVTSVPVRRAKRGRKSGSRRHRKVVAGGRDIDSS >Manes.16G028300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3127088:3134019:-1 gene:Manes.16G028300.v8.1 transcript:Manes.16G028300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGIRSGSYGSLEKHFQQHQQNGGGVHLPIQTISRTKPAKMLKDKERLFHWICKFAGRKKVGMLFLCIISAAVFVWVLYVGKGEDSQEGDRVPNFSLNSTVPFSNPENQTRILEELPLPLPSPSPPPPPPPPPPLPSPPPPPPPPPSPHYFTGYTLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKLPSFSPIVNSLTYIYEDPLSRDGEFGGSEFGGYPTLKQRSDSYDIRESMSIHCGFVRGKKPGRNTGFDMDEIDLAAMEQCRGVVVASAIFGAFDNIQQPSNISEYSKKTVCFFMFVDEETEAYLKKNSDLDGSRKIGIWRIVVVHNLPYADGRRNGKVPKLLAHRMFPNARFSLWIDGKLELIVDPYQILERHLWRKNATLAISRHYKRFDVFVEAEANKAAGKYDNASIDFQIGFYKKEGLIPYSVAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIRAKTNWTVNMFLDCERRNFVVQKYHRDVLEHMAHLPPAVYPPPPPRVLVYEPPKRAFETSADKVTSVPVRRAKRGRKSGSRRHRKVVAGGRDIDSS >Manes.16G028300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3124912:3134019:-1 gene:Manes.16G028300.v8.1 transcript:Manes.16G028300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSLGIRSGSYGSLEKHFQQHQQNGGGVHLPIQTISRTKPAKMLKDKERLFHWICKFAGRKKVGMLFLCIISAAVFVWVLYVGKGEDSQEGDRVPNFSLNSTVPFSNPENQTRILEELPLPLPSPSPPPPPPPPPPLPSPPPPPPPPPSPHYFTGYTLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKLPSFSPIVNSLTYIYEDPLSRDGEFGGSEFGGYPTLKQRSDSYDIRESMSIHCGFVRGKKPGRNTGFDMDEIDLAAMEQCRGVVVASAIFGAFDNIQQPSNISEYSKKTVCFFMFVDEETEAYLKKNSDLDGSRKIGIWRIVVVHNLPYADGRRNGKVPKLLAHRMFPNARFSLWIDGKLELIVDPYQILERHLWRKNATLAISRHYKRFDVFVEAEANKAAGKYDNASIDFQIGFYKKEGLIPYSVAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIRAKTNWTVNMFLDCERRNFVVQKYHRDVLEHMAHLPPAVYPPPPPRVLVYEPPKRAFETSADKVTSVPVRRAKRGRKSGSRRHRKVVAGGRDIDSS >Manes.18G060900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5389713:5392396:1 gene:Manes.18G060900.v8.1 transcript:Manes.18G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVPENLTRDQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPSGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKVESELSEVCASILRLLDSNLIPSATASESKVFYLKMKGDYHRYLAEFKVGDERKSAAEDTMLAYKAAQDIALSDLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >Manes.18G060900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5389713:5392396:1 gene:Manes.18G060900.v8.1 transcript:Manes.18G060900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVPENLTRDQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPSGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKVESELSEVCASILRLLDSNLIPSATASESKVFYLKMKGDYHRYLAEFKVGDERKSAAEDTMLAYKAAQDIALSDLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQVGVIFLFPFFPKQ >Manes.18G107700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10651805:10655421:-1 gene:Manes.18G107700.v8.1 transcript:Manes.18G107700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVGLLKSVEERALLKKVEDEQKKEQVPYLHKDCISNILIRLPLESLQSSRFVCKPWYSIINSPIFVDAHLRRSESVLIFLKSVSRERLYPYFGISMPPEQPNNFCVETSLLQSESVPIFGQPTISSAPKFFIQFMEFKEGKGKIREYNLSCLGHIRATCNGLILLGNKLKKGGLVVMNPVTRKLIALPLGTIYPPQDESYGFVLNAATGEYKVVHLFRDELGYVSCEILNLGSRMWREVNGPSFGLFGWFGYTPVSAIGALHWVPEIDHNDHIVSMEVATEKFHTVPLPKSCRTYDRIIEMAGFLCFVTHQELDIDIWNLKSLNGGVWMKQYTISTGSVLDMVPMFSLRISGDLIFKRDEDGSFYAYDFRFKVMRKVEMDKQGFPLSGTYLPHVNSLIWLRTQDV >Manes.18G107700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10651805:10655421:-1 gene:Manes.18G107700.v8.1 transcript:Manes.18G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEQPNNFCVETSLLQSESVPIFGQPTISSAPKFFIQFMEFKEGKGKIREYNLSCLGHIRATCNGLILLGNKLKKGGLVVMNPVTRKLIALPLGTIYPPQDESYGFVLNAATGEYKVVHLFRDELGYVSCEILNLGSRMWREVNGPSFGLFGWFGYTPVSAIGALHWVPEIDHNDHIVSMEVATEKFHTVPLPKSCRTYDRIIEMAGFLCFVTHQELDIDIWNLKSLNGGVWMKQYTISTGSVLDMVPMFSLRISGDLIFKRDEDGSFYAYDFRFKVMRKVEMDKQGFPLSGTYLPHVNSLIWLRTQDV >Manes.09G106600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30981162:30984458:1 gene:Manes.09G106600.v8.1 transcript:Manes.09G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAASDPEHKTKDREEEENAPAADDEDTGAQVAPIVKLEEVAITTGEEDEDPILDLKAKLYRFDKEGNQWKERGVGNVKLLKHKESGKVRLVMRQSKTLKICANHLVVPSINVQEHHGNDKSCVWHAADFADGELKDELFCIRFASVENCKTFMEKVQEVAESQGKTEESKEAADAAGLLEKLSVADAKTGGKEKEEAPVEAKEHSEAEGEKAKGDGKKEDEVASSA >Manes.05G195240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31917506:31921719:-1 gene:Manes.05G195240.v8.1 transcript:Manes.05G195240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSIKKLLCFESRRNQVASESVHGKNLAAKWITSCDACVEENKDCNCHRLGDVKSPVDGVQAEGNDSFAHAVINMIGMLIGLGQLSTPYALENGGWSSAVLLIGLGIICAYSSHLLGKCLDKNPKSRSYADIGQESFGSKGRVLVLTFIYMEIFMALVSYTISLHDNITIVFSGARLSLPCLKLSTSQLLTIMAVLVALPSLWIRNLSSISFLSTGGILMSLVIFISVACTPVFEGIKANHSIPVLRLRKIPAISGLYIFSYAGHIVFPNLYKSMKDPSKFTKASIVSFASVTTLYTALAFMGAKLFGPEVSSQITLSMPPHLIVTKIALWATILTPMTKYALEFAPFAIQLEHSLPNSMSPRMKMIIRGVVGSIVLLLILALALCVPYFEHVLGLTGSLVSISICIVFPCAFYTKICWPHISKRLLILNITLIAFGFLLGTVGTISSSKLLIATLIRAHST >Manes.15G137600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11118396:11120026:1 gene:Manes.15G137600.v8.1 transcript:Manes.15G137600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGKELMKQVLNKVGENNMTPAMKESLEKSTPDSKVLPAATSNFAFRVLVNGDDRSRSTWKPNVQEKRLFSYILDRYIRVKFTPYALRCISKAGGIDEYLLKTPYKKMETELGLVWKSKIEKMYEELGKVEVAFFSPEGEAKFEQSFKDLKLSEREARRQFRQQMYAGLAEQKKAEEGRSYISFVLASSDDYPDKLVANF >Manes.12G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11561211:11565793:1 gene:Manes.12G081500.v8.1 transcript:Manes.12G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTSTASFTHTTLSNPKWVLPYRTQNLRDRYIIGKKLGQGQFGTTYLCTHKPSGLNYACKSIPKRKLLCKEDFEDVWREIQIMHHLSEHAHVVRIRGAYEDAYCVHLVMELCEGGELFDRIVKKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFHSVEEDAALKATDFGLSVFYKPGETLSDVVGSPYYVAPEVLRKQYGPEADVWSAGIILYILLSGVPPFWAETEMGIFRQILQGKIDFESEPWPSISESAKDLIRKMLERNPRRRLSAHEVLCHPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDDSGTITFDELKEGLKRVGSELTESEIKDLMDAADIDNSGTIDYGEFLAATIHLNKLEREENLVSAFSFFDKDGSGYITIDELQQACKEFGLSELHLEEMIKEIDQDNDGQIDYGEFAAMMRKGNGGVGRRTMRSTINLGEALGLAKNGPKEFD >Manes.08G152600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38997376:39001792:1 gene:Manes.08G152600.v8.1 transcript:Manes.08G152600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKIFAILFMATSIFLSLLYIPAKLTIPMSRFTPVININMLKDHKPYPVNFAYLISASRGDSKKLMRVIRALYHPGNYYLIHVDADAPEVEHRAIREFVSSDPVFNLVGNVWIVGKSNLVTYRGPTMLATTLHAMAILLRTCKWDWFINLSASDYPLVTQDDLIDAFSALPRDLNFIQHSSHLGWKLNKRAKPIIIDPGLYKLNKSEIWWVIKQRSLPTAFKLYTGSAWTILSRSFAEYCILGWDNLPRTLLLYYTNFVSSPEGYFQTLICNSEDYRNTTANHDLHYITWDTPPKQHPRNLGLKDYRRMILSSRPFARKFKKNDPVLDKIDRDLLKRYNGQFTYGGWCLWSGKRQKACSGLQNEKYGVLKPGPGSRRLKSLLTKLVSENNFTKRRCR >Manes.11G079200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11873819:11876860:1 gene:Manes.11G079200.v8.1 transcript:Manes.11G079200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASMYAVGRRRKKPSIPEMVVYVPPLRIPSQSDLQRSLKGLIPRDLVDRLACLRNQISLVAGDTAGSAITELSRALEEYLSLLIGLTKKEHGLEGLVEFKWKVLEDGHHDSSVSNSWFELLSVVHMMAILTLSEADLLMIPKDHSGSGIRVVSSDCKRDAVDLLLKAAGYLEFCVRDVLVRIPADIKKGFSKDLQDGVLEALSIQALGQGTEIQLGLAVESQKATLSVKRRLACEQLIYFSQAYHCLSKCDMNHEYGKKHLWFIKWKFLEAKAAAYYYHGLILDKGSEPACHVSAVCCFLAAEELLTESKKACLSFCLAAPVTRSPPLWGAMKHLHQKIPEVASRKSQMYGYLLEEEKGLQALPDLPDFQLSLRPDDYVLPEMDEAWDRERWNLQSQSLKEHLKDSEDEIETE >Manes.11G079200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11874234:11876860:1 gene:Manes.11G079200.v8.1 transcript:Manes.11G079200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASMYAVGRRRKKPSIPEMVVYVPPLRIPSQSDLQRSLKGLIPRDLVDRLACLRNQISLVAGDTAGSAITELSRALEEYLSLLIGLTKKEHGLEGLVEFKWKVLEDGHHDSSVSNSWFELLSVVHMMAILTLSEADLLMIPKDHSGSGIRVVSSDCKRDAVDLLLKAAGYLEFCVRDVLVRIPADIKKGFSKDLQDGVLEALSIQALGQGTEIQLGLAVESQKATLSVKRRLACEQLIYFSQAYHCLSKCDMNHEYGKKHLWFIKWKFLEAKAAAYYYHGLILDKGSEPACHVSAVCCFLAAEELLTESKKACLSFCLAAPVTRSPPLWGAMKHLHQKIPEVASRKSQMYGYLLEEEKGLQALPDLPDFQLSLRPDDYVLPEMDEAWDRERWNLQSQSLKEHLKDSEDEIETE >Manes.16G103300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30841522:30844037:-1 gene:Manes.16G103300.v8.1 transcript:Manes.16G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGNIGHNSRTCTNFRGTLVAGVRLFGVQLDLSSSSSSSSSSIAMKKSFSMECLSSSSSPSSSSLCSSLVPADDIPDRTSTGYLSDGLIGPIQERKKGVPWTEEEHRTFLIGLERLGKGDWRGISRNYVTTRTPTQVASHAQKYFLRLASLNSKKRRSSLFDMVGTRNSKQSDHQVPSHQYLKPDTILPSLLQGLHSTDNNQETEYHHQLAPCHHLLPLPRPSDRSKPTSSDVVASNDDLELTLACPPPLEGNKSSPSSFLISIRAT >Manes.03G075182.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12472827:12474438:-1 gene:Manes.03G075182.v8.1 transcript:Manes.03G075182.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFKAKMRENFEMSDLGKLSYYLGIEVKQRPHSITLNQAGYAEKILEKLGMAECKPCRVPMDTRVKLSKSEESPPVDATLYRSAIGSLIYLVNTRPDLAYSVGMVSRFMEAPTTKHLAAVKQILRYVKGTLHHGCNYNKVEEEEFKLVGYSDSDLARDVDDRKSTTGVIYFLSQSPVTWISQKQKVVALSSCEAEYIAATTGTCQGTWISRLLHELIGWKTNKFELRVDNKSAIALTKNPVYHNRSKHIHIKFHFLRECVQRGEVEVEYVQTEEQLADILTKPLSRDKINELSMMIRIEDKEECSHSIKENGFSEICCKAVGGRRARQGLLQNYSRSESSAKSAAELQQVGARQDLLQSHWRSKLGSCVHLLLGDQIKRLQEINLSAYTG >Manes.10G002900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:428241:430450:-1 gene:Manes.10G002900.v8.1 transcript:Manes.10G002900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTERQGERELLELFEAAKKAADAAEEDGVAEESRCLDALVQLKAFPVTIQLLVSTQVGKHLRPLTKHPRRNIQDLASDVFALWKKVVLEQTHGDKNSVKAKPGNAENVKPEKLQKTTPVKVEKFSKNAMVKVEKINQNGAPRSAKVVKSETAMTSHNPSAPVGCIPKCNDALRDRIREQIYEALYKVSGEAEEDSWGDVNACDPIQVAVSVESVLFKHWGRSNGSHKVKYRSLMFNIKDAKNPDFRRKILLGQVKAEEIVHLSSEEMASDEMQQKNQQIKEKALFHCELGGAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Manes.10G002900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:428241:430450:-1 gene:Manes.10G002900.v8.1 transcript:Manes.10G002900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTERQGERELLELFEAAKKAADAAEEDGVAEESRCLDALVQLKAFPVTIQLLVSTQVGKHLRPLTKHPRRNIQDLASDVFALWKKVVLEQTHGDKNSVKAKPGNAENVKPEKLQKTTPVKVEKFSKNAMVKVEKINQNGAPRSAKVVKSETAMTSHNPSAPVGCIPKCNDALRDRIREQIYEALYKVSGEAEEDSWGDVNACDPIQVAVSVESVLFKHWGRSNGSHKVKYRSLMFNIKDAKNPDFRRKILLGQVKAEEIVHLSSEEMASDEMQQKNQQIKEKALFHCELGGAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Manes.17G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27668646:27669884:1 gene:Manes.17G076600.v8.1 transcript:Manes.17G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNSKVLGNPLHYITAERSLPAIKRSVIQVVRLKEDKLQAPKTLNVSRRDALLCVTAEALGGFTILSAVEPAEARVGRVEMKKKIMQKLEKLREENAGISKTKTEQTTNTRSPTKEKILPNPQEGPIIEAIIP >Manes.17G076600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27666422:27670415:1 gene:Manes.17G076600.v8.1 transcript:Manes.17G076600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIRVVRLKEDKLQAPKTLNVSRRDALLCVTAEALGGFTILSAVEPAEARVGRVEMKKKIMQKLEKLREENAGISKTKTEQTTNTRSPTKEKILPNPQEGPIIEAIIP >Manes.17G076600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27666422:27670109:1 gene:Manes.17G076600.v8.1 transcript:Manes.17G076600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNSKVLGNPLHYITAERSLPAIKRSVIQVVRLKEDKLQAPKTLNVSRRDALLCVTAEALGGFTILSAVEPAEARVGRVEMKKKIMQKLEKLREENAGISKTKTEQTTNTRSPTKEKILPNPQEGPIIEAIIP >Manes.17G076600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27666323:27670414:1 gene:Manes.17G076600.v8.1 transcript:Manes.17G076600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNSKVLGNPLHYITAERSLPAIKRSVIQVVRLKEDKLQAPKTLNVSRRDALLCVTAEALGGFTILSAVEPAEARVGRVEMKKKIMQKLEKLREENAGISKTKTEQTTNTRSPTKEKILPNPQEGPIIEAIIP >Manes.08G121400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36180940:36184736:1 gene:Manes.08G121400.v8.1 transcript:Manes.08G121400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVAAYKPYFDVDTTDVLERIRDSLFPFRGTFSEKTAENPDLYGPFWICTTLIFVAASIGTFVTYISHKLQNKEWDYDINLVTWSAGVFYGYVTIVPLGLYVILKYFSAPSGLVQLFCLYGYSLFIFIPALCLSIIPLEIFRWVIAGVAGFMSATFLALNLRAHIKSAGERWFLIVASIFLLQLALSVVLKLYLFTVSV >Manes.08G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36180095:36184736:1 gene:Manes.08G121400.v8.1 transcript:Manes.08G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNYTSIDNQKVSGPVPSVPDPDHISVKFAESNLQTFPPSEHGKISGGSYPPRDADDTFSKPVSGSEESQHGGWLRMFTVAAYKPYFDVDTTDVLERIRDSLFPFRGTFSEKTAENPDLYGPFWICTTLIFVAASIGTFVTYISHKLQNKEWDYDINLVTWSAGVFYGYVTIVPLGLYVILKYFSAPSGLVQLFCLYGYSLFIFIPALCLSIIPLEIFRWVIAGVAGFMSATFLALNLRAHIKSAGERWFLIVASIFLLQLALSVVLKLYLFTVSV >Manes.08G121400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36180095:36184736:1 gene:Manes.08G121400.v8.1 transcript:Manes.08G121400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLVDHTLLVMLMAYTFSKPVSGSEESQHGGWLRMFTVAAYKPYFDVDTTDVLERIRDSLFPFRGTFSEKTAENPDLYGPFWICTTLIFVAASIGTFVTYISHKLQNKEWDYDINLVTWSAGVFYGYVTIVPLGLYVILKYFSAPSGLVQLFCLYGYSLFIFIPALCLSIIPLEIFRWVIAGVAGFMSATFLALNLRAHIKSAGERWFLIVASIFLLQLALSVVLKLYLFTVSV >Manes.08G121400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36180095:36184736:1 gene:Manes.08G121400.v8.1 transcript:Manes.08G121400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNYTSIDNQKVSGPVPSVPDPDHISVKFAESNLQTFPPSEHGKISGGSYPPRDADDTFSKPVSGSEESQHGGWLRMFTVAAYKPYFDVDTTDVLERIRDSLFPFRGTFSEKTAENPDLYGPFWICTTLIFVAASIGTFVTYISHKLQNKEWDYDINLVTWSAGVFYGYVTIVPLGLYVILKYFSAPSGLVQLFCLYGYSLFIFIPALVSFLFLLKFVMK >Manes.16G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1934718:1939503:-1 gene:Manes.16G018900.v8.1 transcript:Manes.16G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLFFQSFLLFILFSFCVSDDTLQATQMIKDGNFLISKENTFALGFFSPGSSSYRYLGIWFHKIPEQTVVWVANRNFPIHGFSGILSINPHGNLAIHADHEQKLQVWSTNVSVEATESCTAQLLDSGNLVLVQGRSKRIVWQSFDYPTDTIIKGMKLGINWRQGLDWSLTSWRSADDPGTGNYSAKVETSGSPQYFIYNGDKRRWRSVSWPWPWKTLPNLSNYSFVNNQDEIYFTFSGDLNSSIVRIRMDYSGLIKWSIWHEGDGQWKEFWSAPKSLCDFYGYCGAYSNCYLSNGLTFECSCLPGYEPKSPRDWRLRDGSGGCVRKRLESSSLCGNGEGFVKVEHVKLPDSSAAVWVDMSMSRSDCEQECKRNCSCAAYASIPIAGKGMGCLAWYGALIDTINFEDQSKNDLYVRVDALELAELARSSKGFLETKGMVAILVFSSVSAWFVISIFVYLWLYNRRKGTTRETRNKRLVYSIDTLPEDGLSGIESHPHLEFFCFSAILHATNNFSPANILGQGGFGPVYKGLLPNGQEVAVKRLSKNSRQGVEEFKNEVMLIAKLQHRNLVKLLGCCIEKEEQMLVYEYLPNKSLDSFLFDETRSFLLDWKKRFNIIIGIARGILYLHQDSSLRIIHRDLKCSNILLDGDMNPKISDFGMARTFINDQLHDKTNRVVGTYGYMSPEYAVFGKFSIKSDVFSFGVILLEIISGKKSNGFNVEDASLSLIGHVWELWSEDKALELVDSSLKDSYVTNEVLRCIQIGLLCVQEDAIDRPTMLVVVLMLNSEMALPSPKQPAFIFRKSINNSNSTVGEEGSCSVNELTITGVVTR >Manes.14G105000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8786216:8802700:-1 gene:Manes.14G105000.v8.1 transcript:Manes.14G105000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAESTSAPVIRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDVESMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVDKQSAHFFGVTINEQQAELGIVVRVTSSAQSKFKLLYFEQDANGGYGLALQEDSEKTGKMTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKKLEGLQPCEISELKAGTHIFAVYGDNFFKTASYTIEALCAKSYEDSTQKLKEIEVQILRKRNELRQFETEYRKALARFQEVTNKYSQEKQSVDELLKQRDNTHASFTVMRTVSNLSNGSTSKVPGEDSKAESPGEDGSDGKDKSGKKKWFNLNLKGSDKKLG >Manes.14G105000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8789858:8799811:-1 gene:Manes.14G105000.v8.1 transcript:Manes.14G105000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVDKQSAHFFGVTINEQQAELGIVVRVTSSAQSKFKLLYFEQDANGGYGLALQEDSEKTGKMTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKKLEGLQPCEISELKAGTHIFAVYGDNFFKTASYTIEALCAKSYEDSTQKLKEIEVQILRKRNELRQFETEYRKALARFQEVTNKYSQEKQSVDELLKQRDNTHASFTVMRTVSNLSNGSTSKVPGEDSKAESPGEDGSDGKDKSGKKKWFNLNLKGSDKKLG >Manes.14G105000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8786216:8802700:-1 gene:Manes.14G105000.v8.1 transcript:Manes.14G105000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAESTSAPVIRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDVESMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVDKQSAHFFGVTINEQQAELGIVVRVTSSAQSKFKLLYFEQDANGGYGLALQEDSEKTGKMTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKKLEGLQPCEISELKAGTHIFAVYGDNFFKTASYTIEALCAKSYEDSTQKLKEIEVQILRKRNELRQFETEYRKALARFQEVTNKYSQEKQSVDELLKQRDNTHASFTVMRTVSNLSNGSTSKVPGEDSKAESPGEDGSDGKDKSGKKKWFNLNLKGSDKKLG >Manes.14G105000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8786216:8802439:-1 gene:Manes.14G105000.v8.1 transcript:Manes.14G105000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAESTSAPVIRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDVESMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVDKQSAHFFGVTINEQQAELGIVVRVTSSAQSKFKLLYFEQDANGGYGLALQEDSEKTGKMTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKKLEGLQPCEISELKAGTHIFAVYGDNFFKTASYTIEALCAKSYEDSTQKLKEIEVQILRKRNELRQFETEYRKALARFQEVTNKYSQEKQSVDELLKQRDNTHASFTVMRTVSNLSNGSTSKVPGEDSKAESPGEDGSDGKDKSGKKKWFNLNLKGSDKKLG >Manes.14G105000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8781554:8802700:-1 gene:Manes.14G105000.v8.1 transcript:Manes.14G105000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAESTSAPVIRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDVESMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPIGTSVSGKVDKQSAHFFGVTINEQQAELGIVVRVTSSAQSKFKLLYFEQDANGGYGLALQEDSEKTGKMTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKKLEGLQPCEISELKAGTHIFAVYGDNFFKTASYTIEALCAKSYEDSTQKLKEIEVQILRKRNELRQFETEYRKALARFQEVTNKYSQEKQSVDELLKQRDNTHASFTVMRTVSNLSNGSTSKVPGEDSKAESPGEDGSDGKDKSGKKKWFNLNLKGSDKKLG >Manes.04G027700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3304426:3306410:-1 gene:Manes.04G027700.v8.1 transcript:Manes.04G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQQRLPQSSGFRHTIFLFFVILAMLYVVYSSYLLLYKDRQCITKVDNLDFLTNMSTLFHLNDEQDQNSLEPILFPQKAQTYSTEVKHIVFGIAASANLWEKRKEYVKTWWRPRETRGIVWMDRKVRTRRNEGLPEIRVSKDTSKFKYTNRQGHRSAIRISRVVSEALRLGLKDVRWFVMGDDDTVFVVDNVVRILSKYDHRQFYYVGSSSESHLQNIYFSYSMAYGGGGFAISYPLALELAKMQENCIQRYPGLYGSDDRIQACMAELGVPLTKEPGFHQYDVYGNLLGLLGAHPVTPLASLHHLDVVEPIFPGMTRAKAVEHLFKSIRLDSGSIMQQSICYDSKRYWSISVSWGFVVQIWRGVVSPRELEIPTRTFLNWYRKADYKAYAFNTRPVTKHPCLKPFIFYMISSKYDRPKKQTVGVYYRHKSRAPYCRWRMESPEKIASVVVVKRPDLFRWQQSPRRDCCRVLPTNKTSTLYLWVGKCRRGEISELKQQ >Manes.04G114800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31781492:31782838:-1 gene:Manes.04G114800.v8.1 transcript:Manes.04G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISNVYVFLIIYLFCIISSFSLSETTASNATGFTANLIHRDSPISPLYNSNDNHFCRLRSSFYRSIMRANRFRPNSVSVKALQSDIVPGGGEYFMRISIGTPPVELLAIADTGSDLIWVQCQPCDLCYQQKSPIFDPRRSSSYREVSCGSRICNALNSEARVCTPRGSVKACGYSYSYGDHSFTDGLLATERFTLGSMNSSSVYLQPVAFGCGTRNGGTFDETGSGIIGLGGGSLSLISQLTTKISGKFSYCLVTISEESNNSTSKISFGIDMLVSDPDSVVSTPLVSKEPDTFYYLTLEAISVGNKRLSYKHSLNENVQEGNIIIDSGTTLTFLDSEFFNELESALEEAVEAERVSDPHGIFSICFRDEKDVNLPIITAHFTDADIELQPVNTFAKADKDLLCLTMIPSNGIAIFGNMAQTNFLIGYDIEKSSVSFMPTDCTKQS >Manes.14G053400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4534503:4538367:1 gene:Manes.14G053400.v8.1 transcript:Manes.14G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISASNLEDVPSVDLMTELLRRMKCSTKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLAKQGVKVDKVLNFAIDDVILEERITGRWIHPSSGRTYHTKFSPPNVPGIDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYKNRGVVADLRAEKPPKEVTSEVQKVLSS >Manes.17G087700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29542729:29544189:1 gene:Manes.17G087700.v8.1 transcript:Manes.17G087700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSSSTAKWKLVVGHHAILSAGHHGITVELLQQLVPILQFMQNIKSIVSQCSNIQFITSGGGSKAWRGDIRKWDPEELKLYYDGQGFMSVQMTDSMAAFAFYDAFGSVLHQWSISKESHSAA >Manes.15G169350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14595050:14597633:1 gene:Manes.15G169350.v8.1 transcript:Manes.15G169350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSSMESDPSEWESEPFSLARSGGDDRARDPEGSVEVSREVMVQRRDVSLQVNMDEESMEKSKASKSSSSGEVDPSMLSTATKRGRKWGRARAPKQWGRTRKGRLWKRFRLDAEDGSSSGQGPTRCLRCGRLHRGPCRVGTTACFRCGQEGHFARECPTAPRRMWSQQRVSGAVAQASVPGRGADTSKAVMPGVDLRRGV >Manes.13G029200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3832156:3839176:1 gene:Manes.13G029200.v8.1 transcript:Manes.13G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRNENDGNSEPTQFPAQISHLALDIGGSLIKVVYFSTSRDNCIDCEGESSANDTLRLFSGNCKHPVLQGRLHFAKFETSKIKDCLEFISSKELHLGGFQHHENPSKDRKFIKATGGGAYKFAELFKENLGIDLDKEDEMDCLVAGANFLLKAVHREAYTFMDGQKEFVQIDQSDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTFWGLGRLLTKCKSFDELLELSHQGNNRAIDMLVGEIYGGMDYSKIGLSSTTIASSFGKAVSDNKELEDYKPEDVARSLLRMISNNIGQISYLNALRFGLKRIFFGGFFIRGQPYTMDTISVAVHFWSKGEAKAMFLRHEGFLGALGAYVSYAKHSNDNVVCNQSTQLPVSASYAADPNYNPLSGDFNDNESIQCSVYAA >Manes.15G029700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2349631:2352495:1 gene:Manes.15G029700.v8.1 transcript:Manes.15G029700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDPFHIFSDQVEEYATPDGYGSPVSMGGQQNEQFELNEQFVQTDQIVQSDQILQNDVPSENNGGTHHEEHNLLWPVLPGDSGEGLPYAPIDWPVPGDVWTWRVGKRFNSFGYFQDRFLYLPNRLGKQSFASKTAVANYIQSQFQGADVDAFFASFAWKIPVNIQALAKEKPAFPISENPLQDEKVGGQEEKKEENLHFGRGKRNRNVASTPKQANQNGATSSTTPKRKKQSGAKKSPAPKRSKTKPSNTPKRTRQNAATLSSTAKRKTRHSSKLSVPRDQEGGVLSTQELTVDPIPEDFDNYLNSLEGMLTQPVSEIQLLSSASMDSYIAQNEMAEARTMLSSLLVMDFPSLVSTNKISELTSLASKLRKDPRLNAEQLVKLKLIEEISSFSEVFMESREIIEQVNEFFATLEGKKARVTSLKNEYNELKEKADQLQSQVDSSILTVQEIDIQIALLQSRRAELTDEIEADKAAKVGVAYAQKVAANAIPKIVHEIQQSNSRIPELEMKKTNAVKRESEILAKFAPLEGLSF >Manes.15G029700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2349471:2352536:1 gene:Manes.15G029700.v8.1 transcript:Manes.15G029700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDPFHIFSDQVEEYATPDGYGSPVSMGGQQNEQFELNEQFVQTDQIVQSDQILQNDVPSENNGGTHHEEHNLLWPVLPGDSGEGLPYAPIDWPVPGDVWTWRVGKRFNSFGYFQDRFLYLPNRLGKQSFASKTAVANYIQSQFQGADVDAFFASFAWKIPVNIQALAKEKPAFPISENPLQDEKVGGQEEKKEENLHFGRGKRNRNVASTPKQANQNGATSSTTPKRKKQSGAKKSPAPKRSKTKPSNTPKRTRQNAATLSSTAKRKTRHSSKLSVPRDQEGGVLSTQELTVDPIPEDFDNYLNSLEGMLTQPVSEIQLLSSASMDSYIAQNEMAEARTMLSSLLVMDFPSLVSTNKISELTSLASKLRKDPRLNAEQLVKLKLIEEISSFSEVFMESREIIEQVNEFFATLEGKKARVTSLKNEYNELKEKADQLQSQVDSSILTVQEIDIQIALLQSRRAELTDEIEADKAAKVGVAYAQKVAANAIPKIVHEIQQSNSRIPELEMKKTNAVKRESEILAKFAPLEGLSF >Manes.15G029700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2349631:2352492:1 gene:Manes.15G029700.v8.1 transcript:Manes.15G029700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDPFHIFSDQVEEYATPDGYGSPVSMGGQQNEQFELNEQFVQTDQIVQSDQILQNDVPSENNGGTHHEEHNLLWPVLPGDSGEGLPYAPIDWPVPGDVWTWRVGKRFNSFGYFQDRFLYLPNRLGKQSFASKTAVANYIQSQFQGADVDAFFASFAWKIPVNIQALAKEKPAFPISENPLQDEKVGGQEEKKEENLHFGRGKRNRNVASTPKQANQNGATSSTTPKRKKQSGAKKSPAPKRSKTKPSNTPKRTRQNAATLSSTAKRKTRHSSKLSVPRDQEGGVLSTQELTVDPIPEDFDNYLNSLEGMLTQPVSEIQLLSSASMDSYIAQNEMAEARTMLSSLLVMDFPSLVSTNKISELTSLASKLRKDPRLNAEQLVKLKLIEEISSFSEVFMESREIIEQVNEFFATLEGKKARVTSLKNEYNELKEKADQLQSQVDSSILTVQEIDIQIALLQSRRAELTDEIEADKAAKVGVAYAQKVAANAIPKIVHEIQQSNSRIPELEMKKTNAVKRESEILAKFAPLEGLSF >Manes.11G108400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25099953:25111974:-1 gene:Manes.11G108400.v8.1 transcript:Manes.11G108400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKGVGSSSVVRVAEMEKMSLEQLKAFKEQSDLEVNLLQESLNNIRTATGRLEIASSALYDLSLRPQGKKMLVPLTASLYVPGKLDDADKVLVDIGTGYFVELASKKKTVADEAGAVLQAKLKQMVPAT >Manes.11G108400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25099953:25111974:-1 gene:Manes.11G108400.v8.1 transcript:Manes.11G108400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKGVGSSSVVRVAEMEKMSLEQLKAFKEQSDLEVNLLQESLNNIRTATGRLEIASSALYDLSLRPQGKKMLVPLTASLYVPGKLDDADKVLVDIGTGYFVEKTMAEGKDYCERKINLLKSNFDQLIELASKKKTVADEAGAVLQAKLKQMVPAT >Manes.09G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33332246:33338829:1 gene:Manes.09G131900.v8.1 transcript:Manes.09G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHHQIISETSNASDIPVSEVYWSLVEKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYYGQYMRTSDAGYLSESYIFYEAILSREYFKDGLFQDLNLANKQLRFLARFLMVCLVLNRREVVHQLVNQLKMLVDECRGTFQETDFKEWKLVVQEIIRFLKADTAFMNIRPLRYSLVLDPHPESLPRIATRRNLRLRDAILSSYHHNEVKFSELTLDTFRMLQCLEWEPSGSFYQSNSTKIAQNGGPGPSRINYTQDITDPTLPANSRKTVLYRPSVTHFLAVLGMICEELPADGVLLIYLSASGRVGQTISSASAAGTSLNAAENVVRNFQSHTIYSDAASTSPFSSSNSLNPSSRRSKGECLYFGARGNGGLNSIYPTDLVPFTRKPLFIVIDSDVSDAFKTISGAEKGEPAAILISPSCSVSLTAAESSRHQSGSLFTLFLTTPLQAFCLLIGLSGSDIEMETYDKAEKSLFSSLNDWGSALATFDTLDPVWAQILGDPFLRRLLLRFLFCRAVLTLYGPCFGKKEYHPECVPSLPAPLLPTATASQTVVLQMAHIFGATKKFIFSEGIVLPEHKQSDLEMTSAP >Manes.15G118700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9465156:9466190:1 gene:Manes.15G118700.v8.1 transcript:Manes.15G118700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYIFTNGCLDDDAFLPSFAGNVVKLTPSTPELMGNDRVSMRKTTGELPSYLTGELISGDYGWDTAGLSADLKTIAKNRELEVIHCR >Manes.09G021650.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506654:4509891:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKVY >Manes.09G021650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506656:4509891:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKFQLLTEE >Manes.09G021650.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506654:4509891:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKVY >Manes.09G021650.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4504878:4509891:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKMEEKGIGKESSRGQPLLCLLCCLQI >Manes.09G021650.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506632:4509651:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKIA >Manes.09G021650.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506656:4509891:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKFQLLTEE >Manes.09G021650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4500020:4509651:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKTKEQKRELLSFQAFGRRKQYLCRERRDEQVRGIAGCWCQNCWKILLSLPTYCSHVLPSSL >Manes.09G021650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4504878:4509891:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKMEEKGIGKESSRGQPLLCLLCCLQI >Manes.09G021650.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506654:4509892:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRM >Manes.09G021650.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4500020:4509651:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRM >Manes.09G021650.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4500102:4500362:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYVELLDAGVRIAGRFYSHCPHTARMYYHPPSNSDNHHLYHHDDGHDGGSFTHAQVQDSTRLSSCGDKAAKAFDASDLIFYSVM >Manes.09G021650.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4504877:4509892:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRM >Manes.09G021650.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506632:4509651:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRMLLKLEEIKRSGTGGRLDPYLYKIA >Manes.09G021650.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506632:4509651:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRM >Manes.09G021650.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4506656:4509892:-1 gene:Manes.09G021650.v8.1 transcript:Manes.09G021650.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLFPSPLERTVASALLLLSNTKPLSPSPEFGFDGGTDKALREGSESKSSSEEISSSKSHSSSLTSDNDSSKEIRAAHNLRIVAVVARCHEMKFKVVRKRRTKVIWSSDSEHPEVVLALPATVSSKSESTEASCLSSTSSAASSARSCYEIRSMKRNQMESCENPKRRKVDSGYGSGSSYMRRQAEKILDFLSRGSSSEVRIRQVLGDSPDTSKALRM >Manes.08G012602.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1413954:1416268:-1 gene:Manes.08G012602.v8.1 transcript:Manes.08G012602.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLLFNLVEGFTQLCGKSKDITVLPCGHTIHLECVKEMERHFQYACPVCSKSYCDMSRVWEKLDQEVASTPMPQMYENNMVWILCNDCGETSEVIFHIVAHKCLRCNSYNTRQTRGIPTSCSSGFEEM >Manes.08G012602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1414738:1417638:-1 gene:Manes.08G012602.v8.1 transcript:Manes.08G012602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTASIVGFAWGSIFAPNATSLMMMMLLFNLVEGFTQLCGKSKDITVLPCGHTIHLECVKEMERHFQYACPVCSKSYCDMSRVWEKLDQEVASTPMPQMYENNMVWILCNDCGETSEVIFHIVAHKCLRCNSYNTRQTRGIPTSCSSGFEEM >Manes.08G012602.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1413954:1416268:-1 gene:Manes.08G012602.v8.1 transcript:Manes.08G012602.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLLFNLVEGFTQLCGKSKDITVLPCGHTIHLECVKEMERHFQYACPVCSKSYCDMSRVWEKLDQEVASTPMPQMYENNMVWILCNDCGETSEVIFHIVAHKCLRCNSYNTRQTRGIPTSCSSGFEEM >Manes.08G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9978980:9980768:-1 gene:Manes.08G066800.v8.1 transcript:Manes.08G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPKSVSMRLYQLWKGNNKFLCGGRFVFGPDAGSLFLTSFLIGGPAIAFCIKMILIFGKEDPSYHSPVLSGALILLVMDFTFLVLTSSRDPGIIPRNLYPPESDETVDVSTSSMEWVNSKVSNMKLPRTKDVIINGHTIKVKFCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYPFFMCFISSSTSLCIYVFVFSWMNVLRQEGSIWGVMSRDILSVVLIVYCFIAVWFVGGLTVFHFYLICTNQTTYENFRYRYDKKENPFRKGIMSNFKEVFFSKIPPSAVNFRAWVSEDVDITMHNPDLNGSFIDSKERFDVEMGGKLDKDGGVRLPNSLQQNLDYEGMGDNLKTKGGEDVAFDPFFHHATKPPTIP >Manes.02G204600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:17720248:17721993:-1 gene:Manes.02G204600.v8.1 transcript:Manes.02G204600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAKFSFNELSCSGFQDNFVSQTVGSEVADCGDTRNDSFCSDYGFCQENFWDKESLISRYEEQEQLQQSFLDYMQFDDLRSNILSPPLEICLEEITKLGEIQNGVQGDDPKEDKSYPISLESLQLLRNYSTGFKQLTSGRVIEPSDCIRPANVSGQGLSTEDIIRIAGARFIQSSCHGFDVDSMFNNPFYLSSSGLSDEDTKMVQLAESLLASAEKIGNQQFDVASRSLKLCSSSSSSDGNPVERVVYHFAEALREKIETETGRFISKGLGKKQLFDIEKSMMNANPTILAAHQAIPFSQIFHFAGIQAIVDNVADARRIHIIDFTIRNGLQWTVLMQALVSRHECPVELLKITAITTACENLIQTTGKRLTSFAHTMNLNFSFKIVVVSDFLDLKEDMLELDDEETLAIYTEYFPRSLIVLPKRLESVMRVIKNINPCVMVVVEVEANYNSPTFVNRFIEALFYYSAYFDCFEACMERDDSNRLILESMYSGRAIRCILAIEEEERMIRSVKLDVWRSFYGRFGMMEIDLSSSSLLQANLIVKKFACGIYCTLDQNGRSLIVGWKGTPLHSLSAWKFVL >Manes.08G126300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36626090:36627016:-1 gene:Manes.08G126300.v8.1 transcript:Manes.08G126300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYTYPYRSSSVYNSPRADDWSKTSYASDHVCRPVIIDAEGRKRPIVSFGPAQNSDFFVTKTETIVQEHVISPFASEHKHNFCSPLDGCGVVEETWNRSSSPVHDRPPKVNDFITKLQTEGSRPRFGPVNAANWQKSNGNAYRSDSPMPVEPASMTGGGWSRPNHSTWGTKPSPTTDPRFRYTEPAYPETFDRKGATPEPPMITHGGWLRPSRATWSSPPPESSLSKPTSDINAAIGILKEAVKPSVYTAPHSSYNDPGFTETIDSREAARRYGKFNFASRPYTADDNYSTTIDSREAARKYRGTAV >Manes.11G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28528354:28533669:-1 gene:Manes.11G123600.v8.1 transcript:Manes.11G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKATGHGGGLPPPSGRFSSFSLPGSSFNVKPEPQSSAFPPMAPVGSSDPSHFGHGSDSSRFSHDISRMPDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGGADAPSLSDENEEDLLSIYLDMDKFNSSSATSTFQLGESSTPASGSAVPADFGAGPSERPRVRHQHSQSMDGSTTIKPEMLVSGAEEVSPADSKKSISAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTSENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQAMPNGGAMMNFASFGGGQQFYPNNHAMHTLLTTQQFQQLQIHSQKQQHQFQQHQLHQLQQQQQQQQEQQPVGDLKMRGTMRSPNQKENASDINPPATNE >Manes.11G123600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28527773:28533721:-1 gene:Manes.11G123600.v8.1 transcript:Manes.11G123600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDKATGHGGGLPPPSGRFSSFSLPGSSFNVKPEPQSSAFPPMAPVGSSDPSHFGHGSDSSRFSHDISRMPDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGGADAPSLSDENEEDLLSIYLDMDKFNSSSATSTFQLGESSTPASGSAVPADFGAGPSERPRVRHQHSQSMDGSTTIKPEMLVSGAEEVSPADSKKSISAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTSENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQAMPNGGAMMNFASFGGGQQFYPNNHAMHTLLTTQQFQQLQIHSQKQQHQFQQHQLHQLQQQQQQQQEQQPVGDLKMRGTMRSPNQKENASDINPPATNE >Manes.12G099451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24140308:24140796:1 gene:Manes.12G099451.v8.1 transcript:Manes.12G099451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSPTTTSSNLPYAPCPSATDLVSMINSEDIAYIALIFRISKMADGMVTKHICVIVFVKQLRLGLRFPLDPFIMKVLSLFQSSIAQIHHNSWRILISFMFLCWFHYLTPYARVFASMYPLSYKGAEDFYYFIPKKGSGLFYSFPESNKN >Manes.01G000500.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1384264:1386540:-1 gene:Manes.01G000500.v8.1 transcript:Manes.01G000500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPEMTTAASPHIIHLSPPLPHTTSTACSPHAHSCRWWPYSNSNDFGANTAMILIILLCALICALALNTAIRCFLNGGHHPPDRLPQNRREIEEQRKPNTEAGAAPLVVAPTLVYSAGMKLGGEEADCAICLSEFMEGDGIRVLGTCKHGFHVHCIERWLSCHFSCPTCRRSCLASVPSSSETSETPRNDLNNPSQQPETPMATGQFTSTP >Manes.01G000500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1384264:1386540:-1 gene:Manes.01G000500.v8.1 transcript:Manes.01G000500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPEMTTAASPHIIHLSPPLPHTTSTACSPHAHSCRWWPYSNSNDFGANTAMILIILLCALICALALNTAIRCFLNGGHHPPDRLPQNRREIEEQRKPNTEAGAAPLVVAPTLVYSAGMKLGGEEADCAICLSEFMEGDGIRVLGTCKHGFHVHCIERWLSCHFSCPTCRRSCLASVPSSSETSETPRNDLNNPSQQPETPMATASPAASMLIF >Manes.01G000500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1384264:1386588:-1 gene:Manes.01G000500.v8.1 transcript:Manes.01G000500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPEMTTAASPHIIHLSPPLPHTTSTACSPHAHSCRWWPYSNSNDFGANTAMILIILLCALICALALNTAIRCFLNGGHHPPDRLPQNRREIEEQRKPNTEAGAAPLVVAPTLVYSAGMKLGGEEADCAICLSEFMEGDGIRVLGTCKHGFHVHCIERWLSCHFSCPTCRRSCLASVPSSSETSETPRNDLNNPSQQPETPMATGQFTSTP >Manes.01G000500.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1384264:1386540:-1 gene:Manes.01G000500.v8.1 transcript:Manes.01G000500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPEMTTAASPHIIHLSPPLPHTTSTACSPHAHSCRWWPYSNSNDFGANTAMILIILLCALICALALNTAIRCFLNGGHHPPDRLPQNRREIEEQRKPNTEAGAAPLVVAPTLVYSAGMKLGGEEADCAICLSEFMEGDGIRVLGTCKHGFHVHCIERWLSCHFSCPTCRRSCLASVPSSSETSETPRNDLNNPSQQPETPMATGQFTSTP >Manes.01G000500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1384264:1386540:-1 gene:Manes.01G000500.v8.1 transcript:Manes.01G000500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPEMTTAASPHIIHLSPPLPHTTSTACSPHAHSCRWWPYSNSNDFGANTAMILIILLCALICALALNTAIRCFLNGGHHPPDRLPQNRREIEEQRKPNTEAGAAPLVVAPTLVYSAGMKLGGEEADCAICLSEFMEGDGIRVLGTCKHGFHVHCIERWLSCHFSCPTCRRSCLASVPSSSETSETPRNDLNNPSQQPETPMATGQFTSTP >Manes.01G000500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1384264:1386595:-1 gene:Manes.01G000500.v8.1 transcript:Manes.01G000500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPEMTTAASPHIIHLSPPLPHTTSTACSPHAHSCRWWPYSNSNDFGANTAMILIILLCALICALALNTAIRCFLNGGHHPPDRLPQNRREIEEQRKPNTEAGAAPLVVAPTLVYSAGMKLGGEEADCAICLSEFMEGDGIRVLGTCKHGFHVHCIERWLSCHFSCPTCRRSCLASVPSSSETSETPRNDLNNPSQQPETPMATGF >Manes.01G000500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1384264:1386540:-1 gene:Manes.01G000500.v8.1 transcript:Manes.01G000500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPEMTTAASPHIIHLSPPLPHTTSTACSPHAHSCRWWPYSNSNDFGANTAMILIILLCALICALALNTAIRCFLNGGHHPPDRLPQNRREIEEQRKPNTEAGAAPLVVAPTLVYSAGMKLGGEEADCAICLSEFMEGDGIRVLGTCKHGFHVHCIERWLSCHFSCPTCRRSCLASVPSSSETSETPRNDLNNPSQQPETPMATASPAASMLIF >Manes.01G000500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1384264:1386588:-1 gene:Manes.01G000500.v8.1 transcript:Manes.01G000500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQPEMTTAASPHIIHLSPPLPHTTSTACSPHAHSCRWWPYSNSNDFGANTAMILIILLCALICALALNTAIRCFLNGGHHPPDRLPQNRREIEEQRKPNTEAGAAPLVVAPTLVYSAGMKLGGEEADCAICLSEFMEGDGIRVLGTCKHGFHVHCIERWLSCHFSCPTCRRSCLASVPSSSETSETPRNDLNNPSQQPETPMATASPAASMLIF >Manes.18G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9259421:9262888:1 gene:Manes.18G100100.v8.1 transcript:Manes.18G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVKTTFLPSSFHRCSTIHISSNRARTTQLQDKIGEIFMPEGKIVSWVKSEGDKLSNGESVIVVESDKADMDVETFYDVYLAAIMVEEGGVASVGSAIALLAETEEEIAEARSKASSSSAAEPGSTSISAPEPPQPEKIADPAGPAIPEAAAAVASAVHPATEGGKRVVASPYAKKLKVERVVVSGPTGRIVAKDVEGAAAASLASATANLGATVSPPPGIELGTVVPFTTMQEAVSRNMVESLAVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALVKHPVVNSSCRDGNSFTYNSSINIAVAEDMDGGLITPVLQDADKADIYSLSRKWKELVGKAQAKQLQPHEYNTGTFTLYNLGMFGVDWFDAILPPGTGAIMAVGASQPSVVATKDGSTCLKNQMQVNVTADHRIICGADLASFLQTLANIIEDPKDLTL >Manes.10G114950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28096847:28098984:1 gene:Manes.10G114950.v8.1 transcript:Manes.10G114950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSLEDWLHPTLGSDEVPKSLNIVQRLNIAIDVASALEYLHYQCGTPVVHCDLKPSNVLLDGEMVGHVSDFGLVKFLSNGMLDHSTNHSSSLGIRGTIGYCPPEYGVGSELSTHGDVFSFGILLLEMFTGKRPTDDMFQNNLSLHSFVKKGLPEQVREIADPNLFQMGLNVDATSNHNHNFKNRRNNMFIEFLASTLEIGVYCSMESPQERMNIIDVVVQLNSIRNKLVGTRLPNDREIVSALQVAGTQD >Manes.01G038400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7328123:7330071:1 gene:Manes.01G038400.v8.1 transcript:Manes.01G038400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQATEVVKPEADQACGSHNQINMEDEAIGNLQFFEKNDWGTPIVIREKYLLKMLESLKQATEKPVKSRIQRVPYMLRENKELKKYCRPSVVAIGPIHHGHPNFEYGEKMKLILASNFLESHGIDAKVVYRQVYDNLAKLNMCYAEDVRKHFSDEALAWMFLVDGCATLHLIDCFVQDYPEHTDDGKLQRMNIAKEQVAFAGMDIFLLENQLPYELLELLISSVVGQREQEIIRVSISEFISLSNIFLKSARKSRKEATPPCHLLDLLREEILANFEKTKMIKPANIINEESPTFHNVKQLKVSGIQFKPSYTGSLEISFQQGFFSKLRLPAVIIDELFLSKVLSLVSYEKCPDFLNDKE >Manes.01G038400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7328122:7330071:1 gene:Manes.01G038400.v8.1 transcript:Manes.01G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQATEVVKPEADQACGSHNQINMEDEAIGNLQFFEKNDWGTPIVIREKYLLKMLESLKQATEKPVKSRIQRVPYMLRENKELKKYCRPSVVAIGPIHHGHPNFEYGEKMKLILASNFLESHGIDAKVVYRQVYDNLAKLNMCYAEDVRKHFSDEALAWMFLVDGCATLHLIDCFVQDYPEHTDDGKLQRMNIAKEQVAFAGMDIFLLENQLPYELLELLISSVVGQREQEIIRVSISEFISLSNIFLKSARKSRKEATPPCHLLDLLREEILANFEKTKMIKPANIINEESPTFHNVKQLKVSGIQFKPSYTGSLEISFQQGFFSKLRLPAVIIDELFLSKVLSLVSYEKCPDFLNDKEVTSYICFMDSLIDHPDDVKVLRKSNILMNFFGSDEEIAQLFTRLGNELIPNPFLYANVRYEIEEHYRKTWQPWIYGVIKVFYDYWAMLKVGAVIAILLTVVQTYFSLPLHRTKP >Manes.04G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28505930:28507947:1 gene:Manes.04G083700.v8.1 transcript:Manes.04G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHVRVGLADPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPSNLDEFGQQPLDQNEVRKDQNQPPKLVMRDSKQNHIESPIPVMENNSHGKIENKLIDLNARPQRIHGQNSTNQEQGIDVMSSSNHESASVVPVGSFKREHEK >Manes.07G061980.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10817049:10823557:-1 gene:Manes.07G061980.v8.1 transcript:Manes.07G061980.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIILIEEFIEEEDERTNQILFPKLGSLVLLDLPKLIRFCTGYQIEFQSLRYLKIIGCNALMCLVPSVPHTSMMEKQNDTEMNQNQNQNLNAEIQSLFNGMVGFSKLEILSLSDINELKRIWHSPLAANSFFKLKSLDVFNCHRLMTIFPSNVLERFYRMEVLHVRNCDSLQEIYQLEGFNFDEAFELRILNIARLGSLKHVWRKDPQGVFSFQNLKSVKVSDCNVLNYLFPGTHIFECQKLTTLDVHNCHKIIKFSSQEIQEEGKQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINIQNKSRPFIIGFLERLYSVETILVQGRNSLEELEELEELFSYEGLAREEEEHPRTLARVKNLKLEHVFNLKHIWDPDSGLKPLLQYLETLTVSQCYSLINIAPSSSSFQNLATLEVIHCAGLANLITASTAKSMVQLTKMTVRRCNLMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGDLKTPKLRGILLGDQQHWEGNLNATLAAMTFCKYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYIKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGLSADDGLLPRLKKLQLTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKVELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMIIHECPSLKNIFATQTMVNTIDEFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLKELLPFEGLVGDQEDISTIPHIRALTLKILPDLKHIWNPDGQLHDPLFQSLETLKIKSCGNLIVLAPSSVSLGNLKTLKVFGCKTLANIFTSAAAKSMVQLETLNVRFCNMLTEIIGGVEEDGSTDEIVFSKMKLLKLEDLQNLTCFSLGSYTFKFPSLEQVTVLKCPKLRIFTVRKLSAPKIHGVFAGLRSNRTFHWEGDLNATIEQIYMKYIGFKEIYDIQLSNFPMLKEKWHGQFPFENLRHIRRLVVDECAFFSNAISSNLLKHLSCLYMLAVERCDSVEDLFDLEGLNDDEDNDGLLKSLKELRLIDLPRLRHVWNKDPQGILSFRNLALLKVENCSSLTNIFTLSMALGLVKLKHLELKRCNLVQHIITKEVEEEIAKDNTIFPSMESMSLDCLPNLSCFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVFIPSLEELRVEYNTIKNLCSQTSQADFLCGLKVH >Manes.07G027517.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2929634:2930493:1 gene:Manes.07G027517.v8.1 transcript:Manes.07G027517.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATILAILSLLVGVCSLSFTVGKTIWDKRKQRSSDAKKLETNFENLKSELYALLHLATEMERTANEHGATSDHEYNYWRNTRISEISNEAATLVSQNKLENKNLSKKKRAELSKKMKDCADKVRRLRKDANAFLSRFEKKYRNKKRSSKKKEPRKEK >Manes.10G039100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4008321:4009942:1 gene:Manes.10G039100.v8.1 transcript:Manes.10G039100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.15G102100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8011084:8022664:-1 gene:Manes.15G102100.v8.1 transcript:Manes.15G102100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEITGEPAAAADTNNNNNNTNAITTKNLDSDAKTKSESEFNVYKLVDMFTKLNPLAKEFFPSSYNNDNKTRDNLHLTDFAEPNKQSANDNFPTNQRRRNNYNQGKRRLNGRAYRAQREDSVRRTVYVSDIDQHVTEERLAGLFSSCGQVVDCRVCGDPHSVLRFAFVEFADEQGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESTCGEVTRLRLLGDQVHSSRIAFVEFARIHLVL >Manes.15G102100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8011084:8022663:-1 gene:Manes.15G102100.v8.1 transcript:Manes.15G102100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEITGEPAAAADTNNNNNNTNAITTKNLDSDAKTKSESEFNVYKLVDMFTKLNPLAKEFFPSSYNNDNKTRDNLHLTDFAEPNKQSANDNFPTNQRRRNNYNQGKRRLNGRAYRAQREDSVRRTVYVSDIDQHVTEERLAGLFSSCGQVVDCRVCGDPHSVLRFAFVEFADEQGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKAEVKNFFESTCGEVTRLRLLGDQVHSSRIAFVEFARAESAIIALNCSGMVLGSQPIRVSPSKTPVRPRVTRPTLH >Manes.15G102100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8011084:8022665:-1 gene:Manes.15G102100.v8.1 transcript:Manes.15G102100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEITGEPAAAADTNNNNNNTNAITTKNLDSDAKTKSESEFNVYKLVDMFTKLNPLAKEFFPSSYNNDNKTRDNLHLTDFAEPNKQSANDNFPTNQRRRNNYNQGKRRLNGRAYRAQREDSVRRTVYVSDIDQHVTEERLAGLFSSCGQVVDCRVCGDPHSVLRFAFVEFADEQGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKAEVKNFFESTCGEVTRLRLLGDQVHSSRIAFVEFARIHLVL >Manes.15G102100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8011084:8022663:-1 gene:Manes.15G102100.v8.1 transcript:Manes.15G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAEITGEPAAAADTNNNNNNTNAITTKNLDSDAKTKSESEFNVYKLVDMFTKLNPLAKEFFPSSYNNDNKTRDNLHLTDFAEPNKQSANDNFPTNQRRRNNYNQGKRRLNGRAYRAQREDSVRRTVYVSDIDQHVTEERLAGLFSSCGQVVDCRVCGDPHSVLRFAFVEFADEQGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESTCGEVTRLRLLGDQVHSSRIAFVEFARAESAIIALNCSGMVLGSQPIRVSPSKTPVRPRVTRPTLH >Manes.01G244500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40504470:40520480:1 gene:Manes.01G244500.v8.1 transcript:Manes.01G244500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETMSSCHSTSTIDPTNHLPLSILRSDTVPPAPTRSGSTIDWLPEFAGYAWIAYGASSLLVISHLPSPLSSDESLIGPILRQVFELSGDHSSAVTSVSWSPVTPSIGELAAASDSCIYVFSHDSQSSKGSFCWSQNAVLLQCTKVEAIKWTGSGDGIVSGGIDVILWRRRNRSWEIAWKFKRDEPQNLVSATWSIEGPSATAARPNNLLIKESSSESKCVLVCYGDSISEYEKFELRHPQPVLMIQWRPPTERQPQRDAKYLVRNMLLTCCLDGTARLWTEVDNGKVRKFGKENNDRKTTRKFFCVAAVIEINQVLNGNLGMDIFLNWAIESRGIYRTGEDINQFFSTERYRHGVGRCEWLIGFGPGTVITFWAVHCLDDVSPMRFPRVTLWKRQELHGADFSKFKDSLLLNKVIILRNCLSGPPEICSLVHLLPCNSLIWSLLHTQRSGDDENSLVNSSTIYKYSSCSAVQNGGHGGKILEVAMHPYIYELQLAASLDSNGLILFWFVSTMSNCNPELSTLTPTWKICGKFATHDSFSKYTSLKWSPSVSDEDRVLLMGHVGGIDCFVVKLSQIEGGEDIICHFICTIPLTGHGPYEDNVLNIFAIPLPSTCNKTFRYNKFMLLGVSMKSFEALSWEVTLHSYDLPESTCGCNFDDQNSHRFTWKFENTFANKRYCLGVNPCSSQFPEPYSHDQITSFSMVGPGNLIPTHEKLEFDRDSCCSVPAYIMATGFSDGSLKLWRSNPSKLPTPHNQIPWELVGKFVAHQGPVNSICLTDCGQKIATISAGSNTDGTSILHIWGSINLIGAGSFVLEDILSIDGDVVALKWLALGNGQLFLGVCTHNNLQVYAQKHSGGQTVVNLGKSLNLKNWSCIAVTYTLPAIHDLLWGPQAAAIIVHDDYFSLFSQWLFLVDDEQQAKCHPNFIGEDGEGAKDKCTPLSIFTDLDSISDLRKSSLPIKIKDNIDFLSSSGQLKHGSHNLLGFWSLVEIAEKLVRTLPVYHPEALLMNLYSGNWKRAYVSLRHLVEYLTSAHASEKKCSSGKKWHIVPQISLSNYFEGPILKDSMNKGFQWSADASASQIFVYDTVSNDSNHLFTSSTTSELCGFVEPIENMYELADLTNIEKLQILAIIDLLTEIQQSASAYENLDEPGQRFWVALRFQQLHYCRGFGRAPSVEELVVDTRLMSWAFHSDCQETLMSSFLPSEPTWKEMQTLGVGFWFTNVAQLRTRVEKLARKQYLRKRDPKDCALLYIALNRLQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGKHQLELAIAFFLLGGDNYSAITVCAKNLGDEQLALIICHLVEGQGGPLEHHLITKFMLPSAAERGDYWLASLLEWELGNYLQSFLCMLGFQTSSVIDKYAVSSNHAAFMDPHIGLHCLKLASKSCMRNAVGEQNASVLSRLATFMVATAFCRSGLPLEALECLSSSSSISGSMDQGSISDVDHSQILHGILKPAASDSLNWLSGNVALHLESCTKLDLALQYFSKLMREHPSWPDSNLGSIQLSACFRDCEIHQYEELQKKFQENFYTGLSKFGQKFSVASPHLIKMILVWLCNNGLLFIGYDILLCYASVDHSKDESHPVGNLVLYPLLHKPLLKSIQDISLLLSRFIVSCNITCLQQKSCDIENDVSGEVRSTWSNTRGHFFQGIMPMLWSLRSAMRINCCFLSEDVTARSLVILDLYEFYVHFASAWLKKNSKGLLLMVQPLLITCTNGHIPYEVDMSNLKNILYHIAELLASDLSVDDAGSGHAITKNDPCKLDRGATRSFSEDEKWHIIGACLWQHMSRFVKHKLHSLSINLEDICFSGASHGITEQVVSFSQILSKLLMATLVHVSSYHVKVFGSSLLLKLENRFNIPTLAWLRESSPSQAKVLYQDASADIVNSRDELSTFDILWATCADPSIIREGFAQEKINWPQFVNHRSSEDWSDLYKSIREDHETKEVLDHEVRLGNNPVSDEVGSPAKGLFKGGRAFLTSWQKDSTNAREVTHFQTAKEIFKRDGELLEALCINSVSEGQAAISSNRKGIIFFNWEDGIPSADQSEYIWANADWPPNGWAGAESTPIPTYVSPGVGLGSKKGAHLGLGGATLGMGSLARPRKELTGGGAFGIPGYAGIGASGLGWEVQDEFEEFVDPPATMENISTRAFSSHPSRPFFLVGSSNTHIYLWEFGKDKATATYGVLPAANVPPPFALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIRPTESSLCFNGHASDVTYVTSSGSVVATAGHSSNGANVVVWDTLAPPTTSQASIICHEGGARSISVFDHDIESNSISPLIVTGGRGGDVGLHDFRYIATGRTKRHRHFDNCDGRSNMPSNMDLQAGVGEKVGDHNQNGMLWYIPKAHLGSVTKITTIPHTSLFLTGSKDGDVKLWDAKAAKLVYHWPKLHERRTFLRPSSRGFGGVVKVAVTDIQVVSHGFLTCGGDGLVKFLQIKDNQHEKFN >Manes.03G008900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:720692:723376:-1 gene:Manes.03G008900.v8.1 transcript:Manes.03G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGAKRESRTLYSNASVSDCPSFSNLRQSVLATHSSTSRFQGQHETSQRDNGVRKKPKVDGAKLELQAEYANPSISISPLASAASFLPHSEPGIPTSGLILDHQNADCNACLSHIVKETPASDGYSWRKYGQKQVKNSNSSRSYYKCAHSDCHAKKKVQRCDHHSHIIDIVYIGDHNHDLSQNKCNVSRVSAASSKLAAGRRFVDSIQKVDGADMSICQEDPKQASVHIAESEQSSSSSYGDIRIKVEEHNGNGMDSKRFVAEHQKNSSCGIADAEVLEKLGAEPMLKKRSVYSALLLEANKEIKLVVHATADRGISTDGYRWRKYGQKMVKGNSHLRSYYRCTSTGCPARKHVERATDNAATATITYEGKHDHDMPVLKKQKGPESLGRISSAATLNGADCKKTKSLSSQRISAEWSGDLMDEKVLELGGEKALESARTLLSIGIELEHC >Manes.S018313.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:171937:172104:1 gene:Manes.S018313.v8.1 transcript:Manes.S018313.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.13G064027.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7768920:7773010:-1 gene:Manes.13G064027.v8.1 transcript:Manes.13G064027.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVIAHCSLFLYCNFTDFNSPLSQDVSNHTLQVTVKSLILSKVEIAESNDRHVLELPRIRQSSDG >Manes.02G002950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:331377:332510:1 gene:Manes.02G002950.v8.1 transcript:Manes.02G002950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCCLFCVFLDSSDRFCERFTVCWICFTGALTCDCSVEWRIALSPIDACSDRSRDGSCDERQWVSPFAFQVGLWAWWFVVLVWGFVS >Manes.12G097720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21990685:21991326:1 gene:Manes.12G097720.v8.1 transcript:Manes.12G097720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICWTLWHNKNNVVWKAQGRTTSGVFFMALKFLQQWRGACSDSISCTNVMSALIVWSPPPQGWIKVNINASLNSQRSSLGFGCVVRDANGRFMAAKAGCFCSQMEVLVVSINNASLDDLSPFGLLVQGCKLLLSSYEEARCDFIHRSANDVAHVLATSAHSESGQGVWVHVPEFGFMSLLLI >Manes.01G255100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41238171:41242079:1 gene:Manes.01G255100.v8.1 transcript:Manes.01G255100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDKCALDCSKTPQKKLQSKGFLVGLDLFLEVAGLETEPDAELRCQFDNCINFFWKEICALDAAFPPMLGDGQSVDLFKLFLAVRQKGGYDAVSHNCLWDVVAEESGLSLNLASSVKLVYAKYLDALERWLERPVDRKSSKSKSSNITNSETKLSDSGLNVGEVSMELGSEFKALFSEIMDWKSELNVSAEVDSNGDDKCIVDDEESVHIDTGNSVIDFVEVGKLGYSVSKSQKSDSFFDGDHKCKDVQKHLESNLTSLKGCDEDEVKSTVVEIDGRKKEDNGNENDVMVSDSDAVEESISSYKRKRESMYGVLNWITGIARNPCDPVVVPLPEMSKWESYANEESWKQILLVREALFLKRDVNSGAGSVCQKNRKMHPCMYDDQVGFAYNFRERINCSRKLLHRKTVFQSQACSQLSSSTTVTGSDSCTKGVFDGDSSSKYSVFDLPVEKTIPLGPDFQAEVPEWTGVVSESNSKWAGTRVWPPKKVDNRLVIEQEPIGKGRKDACGCEVPKSVECVRFHNAERRLRVKRELGLAFKHWRFDKMGEDVRLSWTEEEERKFEAIVRLNPPSLDKCFWNDIFKFFPTKGRGDLVSYYFNVFLLQRRAQQNRSTPNNIDSDDDESECGLVTNGSGREAAPKSPGSLLYSAKKAPRKGGR >Manes.01G255100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41238167:41242114:1 gene:Manes.01G255100.v8.1 transcript:Manes.01G255100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGDKCALDCSKTPQKKLQSKGFLVGLDLFLEVAGLETEPDAELRCQFDNCINFFWKEICALDAAFPPMLGDGQSVDLFKLFLAVRQKGGYDAVSHNCLWDVVAEESGLSLNLASSVKLVYAKYLDALERWLERPVDRKSSKSKSSNITNSETKLSDSGLNVGEVSMELGSEFKALFSEIMDWKSELNVSAEVDSNGDDKCIVDDEESVHIDTGNSVIDFVEVGKLGYSVSKSQKSDSFFDGDHKCKDVQKHLESNLTSLKGCDEDEVKSTVVEIDGRKKEDNGNENDVMVSDSDAVEESISSYKRKRESMYGVLNWITGIARNPCDPVVVPLPEMSKWESYANEESWKQILLVREALFLKRDVNSGAGSVCQKNRKMHPCMYDDQVGFAYNFRERINCSRKLLHRKTVFQSQACSQLSSSTTVTGSDSCTKGVFDGDSSSKYSVFDLPVEKTIPLGPDFQAEVPEWTGVVSESNSKWAGTRVWPPKKVDNRLVIEQEPIGKGRKDACGCEVPKSVECVRFHNAERRLRVKRELGLAFKHWRFDKMGEDVRLSWTEEEERKFEAIVRLNPPSLDKCFWNDIFKFFPTKGRGDLVSYYFNVFLLQRRAQQNRSTPNNIDSDDDESECGLVTNGSGREAAPKSPGSLLYSAKKAPRKGGR >Manes.01G176900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35719763:35720559:-1 gene:Manes.01G176900.v8.1 transcript:Manes.01G176900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFLGNLAKQILSLSVFPLNKAAAGCLNVPKGFLAVYIGETEKKRFLVPVSYLNEPSFQDLLTKAEEEFGFDHPMGGLTIPCGEDAFLHVTSSLSRA >Manes.08G162800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39794402:39800451:1 gene:Manes.08G162800.v8.1 transcript:Manes.08G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLRTYASLSLVATIAVIYHAFNSRGQFYPAMVYLSTSKINLVLLLNMGLVIMCMLWQLTKSIFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVPTLSHIRIVSFLGFLLLLDSLFLYSSVKFLIETRQASVSLFFSFEYMILATTTISTFVKYIFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYIRYRKITSNMNDQFPDATPEELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVEPSESGVQHGSQSDTRRQGTGSTTTGPQGSVSSVPDDNLGEHQARLQEAAAAAAAVYEKSFVYPSANTLVWSPGYVFLPQAQRPLADAANGESNRGQSQRESAFHGPSNLSMPQFPHVFVPFQVPGANANSGDMLGSIPSSELEAQKKLIQHQIEVLQRQLQLLHQPKGEDRLHMDPTPSSESKGKTVVSSSSTPSDFSHHGESDL >Manes.06G065800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20179713:20190954:1 gene:Manes.06G065800.v8.1 transcript:Manes.06G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPFAGVDGGGGGGVAVMSGPVNPIDPSTPSKTSVKNSALKSPILIFLFFHKAIRSELDGLHCAAMNFATAGGDIKPLRRKYHFFCAIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVLFDQLFELLNSNKQGEETFRRELASRTGALQTSISQHMSKEEEQVFPLLIEKFSFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISSDEYQDMHKCLCKIIPKEKLLHQVIFAWMDGAKLSVCTSCQDESKACYQDSGPPILICQSEKTHCACESSRLGKRKYMELSSDPANSTMFHPIDEILLWHAAIRRELNDIAEAARKIQLSGDFSDLSAFNKRLQFIAEVCIFHSIAEDKVIFPAVDAELSFAQEHAEEEIQFDKLRCLIESIQSAGVNTSLTEFYTKLCLQADHIMDTIQKHFQNEEAQVLPLARKHFSATRQRELLYQSLCVMPLKLIECVLPWLVGSLSEEAAKSFLQNMCMAAPASDSALVTLFSGWACKGRPRNTCLSSGAIGCCPARILTRSQEDSKQSFCESNPLLCTSEKFSCTQADEADDSKRLVKRGTGNLELQEDSDACQSVGTSNISRLSCNTKSCCVPGLGVNSINLRISSLAAAKALRSLSFSPSAPSLNSSLFHWETDASPTDSACASRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETLLRQFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISYLLSELTQLQERLKSNSLSEELTVKFSGSSDHNDAFRKYSELATKLQGMCKSIRVTLDQHVFREELELWPLFDMHFSVEEQEKIVGRIIGTTGAEVLQSMLPWVTSALTQEEQNKMMDTWKNATKNTMFSEWLNEWWDGTSAASSQVTSSESCISLGTDLHESLDHSDHTFKPGWKDIFRMNQNELEAEIRKVSRDSSLDPRRKAYLIQNLMTSRWIAAQQNSPQARNGEFSNGEDLLGCYPSFRNQEKQEFGCEHYKRNCKLRAACCGKLFTCRFCHDKVSDHSMDRKATSEMMCMRCLKIQPVGPVCTTPSCGGFSMAKYYCSICKFFDDERNVYHCPFCNLCRVGNGLGVDFFHCMKCNCCLAMKLVDHKCREKGLEINCPICCDFLFTSSLSVKALPCGHFMHSNCFQAYTCSHYICPICSKSLGDMSVYFGMLDALLASEELPEEYRDRCQDILCNDCDKKGTAPFHWLYHKCSFCGSYNTRVIKADVTNSVCSTSNQ >Manes.06G065800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20181117:20190954:1 gene:Manes.06G065800.v8.1 transcript:Manes.06G065800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEEQVFPLLIEKFSFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISSDEYQDMHKCLCKIIPKEKLLHQVIFAWMDGAKLSVCTSCQDESKACYQDSGPPILICQSEKTHCACESSRLGKRKYMELSSDPANSTMFHPIDEILLWHAAIRRELNDIAEAARKIQLSGDFSDLSAFNKRLQFIAEVCIFHSIAEDKVIFPAVDAELSFAQEHAEEEIQFDKLRCLIESIQSAGVNTSLTEFYTKLCLQADHIMDTIQKHFQNEEAQVLPLARKHFSATRQRELLYQSLCVMPLKLIECVLPWLVGSLSEEAAKSFLQNMCMAAPASDSALVTLFSGWACKGRPRNTCLSSGAIGCCPARILTRSQEDSKQSFCESNPLLCTSEKFSCTQADEADDSKRLVKRGTGNLELQEDSDACQSVGTSNISRLSCNTKSCCVPGLGVNSINLRISSLAAAKALRSLSFSPSAPSLNSSLFHWETDASPTDSACASRPIDNIFKFHKAIRKDLEYLDVESGKLNDCNETLLRQFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISYLLSELTQLQERLKSNSLSEELTVKFSGSSDHNDAFRKYSELATKLQGMCKSIRVTLDQHVFREELELWPLFDMHFSVEEQEKIVGRIIGTTGAEVLQSMLPWVTSALTQEEQNKMMDTWKNATKNTMFSEWLNEWWDGTSAASSQVTSSESCISLGTDLHESLDHSDHTFKPGWKDIFRMNQNELEAEIRKVSRDSSLDPRRKAYLIQNLMTSRWIAAQQNSPQARNGEFSNGEDLLGCYPSFRNQEKQEFGCEHYKRNCKLRAACCGKLFTCRFCHDKVSDHSMDRKATSEMMCMRCLKIQPVGPVCTTPSCGGFSMAKYYCSICKFFDDERNVYHCPFCNLCRVGNGLGVDFFHCMKCNCCLAMKLVDHKCREKGLEINCPICCDFLFTSSLSVKALPCGHFMHSNCFQAYTCSHYICPICSKSLGDMSVYFGMLDALLASEELPEEYRDRCQDILCNDCDKKGTAPFHWLYHKCSFCGSYNTRVIKADVTNSVCSTSNQ >Manes.13G011300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1477524:1482561:1 gene:Manes.13G011300.v8.1 transcript:Manes.13G011300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPMLLPLKHSQPVMEGIYNDPSSLPFHGNGYTPQMPQRPFASFATPPPSVNCHGQLYNVQDLPNSDPSFYQHFMTPNVPSIVSQTSLSSAKWPDSINSQGDGNRFGHQPGYLPAMGTFGRGSNYPGDTGGFNLLEQGYAGFESNGLWSDWPKPGNGKGSMLHLSSATTGPKPVGSLGFSANHFGMASQQKESFYGFGTRASNKSYPQGQTNRNSGYDVSSSMFGINGQSWPTLHEARQAGRCNDFSCSCNFALDTLGERNRGPRAFKPRSQTSANGSVIDSRRTAVSDVYNESYNRLDFVTDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYHEAKEKTGTSPIFLLFSVNASAQFCGVAEMVGAVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKIFKNYESHSSILDDFHFYEERQKAMQARKSRQQQQSSLVPTSVIGDGEQSPVSISNDFIKKMSKSFAEALSVNETVTELSISHLPQGAMGFENEKRS >Manes.13G011300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1477524:1482568:1 gene:Manes.13G011300.v8.1 transcript:Manes.13G011300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRPFASFATPPPSVNCHGQLYNVQDLPNSDPSFYQHFMTPNVPSIVSQTSLSSAKWPDSINSQGDGNRFGHQPGYLPAMGTFGRGSNYPGDTGGFNLLEQGYAGFESNGLWSDWPKPGNGKGSMLHLSSATTGPKPVGSLGFSANHFGMASQQKESFYGFGTRASNKSYPQGQTNRNSGYDVSSSMFGINGQSWPTLHEARQAGRCNDFSCSCNFALDTLGERNRGPRAFKPRSQTSANGSVIDSRRTAVSDVYNESYNRLDFVTDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYHEAKEKTGTSPIFLLFSVNASAQFCGVAEMVGAVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKIFKNYESHSSILDDFHFYEERQKAMQARKSRQQQQSSLVPTSVIGDGEQSPVSISNDFIKKMSKSFAEALSVNETVTELSISHLPQGAMGFENEKRS >Manes.13G011300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1477524:1482561:1 gene:Manes.13G011300.v8.1 transcript:Manes.13G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNMSQDQPSLVLDENVVDSSRAAIAVGSLRVDAPPNASPSQTQSACHGGNGNIVGTWGTCLPDVNAEGLDSSYGIYNDPSSLPFHGNGYTPQMPQRPFASFATPPPSVNCHGQLYNVQDLPNSDPSFYQHFMTPNVPSIVSQTSLSSAKWPDSINSQGDGNRFGHQPGYLPAMGTFGRGSNYPGDTGGFNLLEQGYAGFESNGLWSDWPKPGNGKGSMLHLSSATTGPKPVGSLGFSANHFGMASQQKESFYGFGTRASNKSYPQGQTNRNSGYDVSSSMFGINGQSWPTLHEARQAGRCNDFSCSCNFALDTLGERNRGPRAFKPRSQTSANGSVIDSRRTAVSDVYNESYNRLDFVTDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYHEAKEKTGTSPIFLLFSVNASAQFCGVAEMVGAVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKIFKNYESHSSILDDFHFYEERQKAMQARKSRQQQQSSLVPTSVIGDGEQSPVSISNDFIKKMSKSFAEALSVNETVTELSISHLPQGAMGFENEKRS >Manes.13G011300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1477214:1482736:1 gene:Manes.13G011300.v8.1 transcript:Manes.13G011300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNMSQDQPSLVLDENVVDSSRAAIAVGSLRVDAPPNASPSQTQSACHGGNGNIVGTWGTCLPDVNAEGLDSSYGIYNDPSSLPFHGNGYTPQMPQRPFASFATPPPSVNCHGQLYNVQDLPNSDPSFYQHFMTPNVPSIVSQTSLSSAKWPDSINSQGDGNRFGHQPGYLPAMGTFGRGSNYPGDTGGFNLLEQGYAGFESNGLWSDWPKPGNGKGSMLHLSSATTGPKPVGSLGFSANHFGMASQQKESFYGFGTRASNKSYPQGQTNRNSGYDVSSSMFGINGQSWPTLHEARQAGRCNDFSCSCNFALDTLGERNRGPRAFKPRSQTSANGSVIDSRRTAVSDVYNESYNRLDFVTDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYHEAKEKTGTSPIFLLFSVNASAQFCGVAEMVGAVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKIFKNYESHSSILDDFHFYEERQKAMQARKSRQQQQSSLVPTSVIGDGEQSPVSISNDFIKKMSKSFAEALSVNETVTELSISHLPQGAMGFENEKRS >Manes.13G011300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1477214:1482633:1 gene:Manes.13G011300.v8.1 transcript:Manes.13G011300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPMLLPLKHSQPVMEGIYNDPSSLPFHGNGYTPQMPQRPFASFATPPPSVNCHGQLYNVQDLPNSDPSFYQHFMTPNVPSIVSQTSLSSAKWPDSINSQGDGNRFGHQPGYLPAMGTFGRGSNYPGDTGGFNLLEQGYAGFESNGLWSDWPKPGNGKGSMLHLSSATTGPKPVGSLGFSANHFGMASQQKESFYGFGTRASNKSYPQGQTNRNSGYDVSSSMFGINGQSWPTLHEARQAGRCNDFSCSCNFALDTLGERNRGPRAFKPRSQTSANGSVIDSRRTAVSDVYNESYNRLDFVTDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYHEAKEKTGTSPIFLLFSVNASAQFCGVAEMVGAVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKIFKNYESHSSILDDFHFYEERQKAMQARKSRQQQQSSLVPTSVIGDGEQSPVSISNDFIKKMSKSFAEALSVNETVTELSISHLPQGAMGFENEKRS >Manes.13G011300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1477524:1482568:1 gene:Manes.13G011300.v8.1 transcript:Manes.13G011300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPMLLPLKHSQPVMEGIYNDPSSLPFHGNGYTPQMPQRPFASFATPPPSVNCHGQLYNVQDLPNSDPSFYQHFMTPNVPSIVSQTSLSSAKWPDSINSQGDGNRFGHQPGYLPAMGTFGRGSNYPGDTGGFNLLEQGYAGFESNGLWSDWPKPGNGKGSMLHLSSATTGPKPVGSLGFSANHFGMASQQKESFYGFGTRASNKSYPQGQTNRNSGYDVSSSMFGINGQSWPTLHEARQAGRCNDFSCSCNFALDTLGERNRGPRAFKPRSQTSANGSVIDSRRTAVSDVYNESYNRLDFVTDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYHEAKEKTGTSPIFLLFSVNASAQFCGVAEMVGAVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKIFKNYESHSSILDDFHFYEERQKAMQARKSRQQQQSSLVPTSVIGDGEQSPVSISNDFIKKMSKSFAEALSVNETVTELSISHLPQGAMGFENEKRS >Manes.13G011300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1477214:1482621:1 gene:Manes.13G011300.v8.1 transcript:Manes.13G011300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPMLLPLKHSQPVMEGIYNDPSSLPFHGNGYTPQMPQRPFASFATPPPSVNCHGQLYNVQDLPNSDPSFYQHFMTPNVPSIVSQTSLSSAKWPDSINSQGDGNRFGHQPGYLPAMGTFGRGSNYPGDTGGFNLLEQGYAGFESNGLWSDWPKPGNGKGSMLHLSSATTGPKPVGSLGFSANHFGMASQQKESFYGFGTRASNKSYPQGQTNRNSGYDVSSSMFGINGQSWPTLHEARQAGRCNDFSCSCNFALDTLGERNRGPRAFKPRSQTSANGSVIDSRRTAVSDVYNESYNRLDFVTDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYHEAKEKTGTSPIFLLFSVNASAQFCGVAEMVGAVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKIFKNYESHSSILDDFHFYEERQKAMQARKSRQQQQSSLVPTSVIGDGEQSPVSISNDFIKKMSKSFAEALSVNETVTELSISHLPQGAMGFENEKRS >Manes.13G011300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1479157:1482567:1 gene:Manes.13G011300.v8.1 transcript:Manes.13G011300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRPFASFATPPPSVNCHGQLYNVQDLPNSDPSFYQHFMTPNVPSIVSQTSLSSAKWPDSINSQGDGNRFGHQPGYLPAMGTFGRGSNYPGDTGGFNLLEQGYAGFESNGLWSDWPKPGNGKGSMLHLSSATTGPKPVGSLGFSANHFGMASQQKESFYGFGTRASNKSYPQGQTNRNSGYDVSSSMFGINGQSWPTLHEARQAGRCNDFSCSCNFALDTLGERNRGPRAFKPRSQTSANGSVIDSRRTAVSDVYNESYNRLDFVTDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYHEAKEKTGTSPIFLLFSVNASAQFCGVAEMVGAVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKIFKNYESHSSILDDFHFYEERQKAMQARKSRQQQQSSLVPTSVIGDGEQSPVSISNDFIKKMSKSFAEALSVNETVTELSISHLPQGAMGFENEKRS >Manes.14G160200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24662713:24667737:1 gene:Manes.14G160200.v8.1 transcript:Manes.14G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLPFPGLPFTSFALLFFLSFTTALIHPLRGRIRRLPETSGTSTSEDFQTFYYTQTLDHFNYRPESYTTFKQRYLINFKYWGGANVSAPIFAYLGAEEPIDVDLSIIGFLTENAAQFGALVVFIEHRFYGKSVPSRSFIKALGNANLRGYFNSAQALADYAEILIYLKKKLSAPYSPVIVIGASYGGMLASWFRLKYPHVALGALASSAPLLYFDNITPQDAYFWVVTKDFREASESCYQTIRKSWSEIDNVASQTNGLSILSKRFNTCYPLKDPSDLREFLISMYANAAQYDAPPEYPVNMICDAIDEGPLGEDILSKIYAGVVASSGTSPCYVNPHVTLTETDLGWEWQTCSEIVHPEGILNNSMFPLDPFILSSRIKQCKTEFGVVPRPHWITTYYGGQDIKLILQRFGSNIIFSNGLRDPLSSGGVLENISDTLLAVYTINGSHALDVLRVEASDPQWLIMQRKTEVEIIKGWIAKYYADLLAYKRY >Manes.15G180633.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23469608:23470451:-1 gene:Manes.15G180633.v8.1 transcript:Manes.15G180633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKTLNFLPSFQRQTPTEIIMSSPSSSHLLHRHHYTRTFPSLTNSITHKPSSLIPPRKTSRRKRRRKKKEISEETAAILPFLGF >Manes.03G191900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31041758:31051367:1 gene:Manes.03G191900.v8.1 transcript:Manes.03G191900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSYQQESSDDALSNGSSSSDEEQINEQINEEEEDEEELEAVARSADSDDDEAADDNGDDVNGDADDVEEDGNNNEISKREKERLKEMEKLKKHKIQEILDAQNAAIDADMNNKGKGRLKYLLQQTELFAHFAKPDQSSSQKKAKGRGRHASKITEEEEDEEYLKEEEDGLSGAGNTRLVAQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKHIREDLLVAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNVAMQLRKCCNHPYLFQGAEPGPPFTTGEHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYQYCRIDGNTGGEDRDASIETFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDSAELYDFDDDKDENKFDFKKIVSDNWIEPPKRERKRNYSESDYFKQTMRQSGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRFLMQTHQKNQIKDTIDVDEPEESGEPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIVSEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRAMGRQTESTSSQKRRKQLTMDDYVSSGKRRK >Manes.04G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4155269:4157303:1 gene:Manes.04G032700.v8.1 transcript:Manes.04G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFDPWPVFFKREFNRNWPFLVGFAVTGAIITKFSLGLTEEDAKNSPFVQRHKR >Manes.04G014401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1884391:1885528:1 gene:Manes.04G014401.v8.1 transcript:Manes.04G014401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTELWNLDLSDNRLSGSIPAWIGNITSLLILHLRSNFFSSKIPTQLCHLLNLHVLDLADNNLSGQIPQCLGNLSGMISDVPSELVHQYQGRVTIVAKGRELEYSSTLSLVKIIDLSANNLTGKVPEEITSLLRLGTLNLSMNHLTGRIPPMIGSLRWLETLDLSKNQLSGTIPSSISMISSLNHFNLSYNNLAGRIPLGNQLQTLNDPSIYEGNPGLCGDPLKRKCPDDNISSETSEEDNDENEIFDTLWFYVGLASGFIVGFWAVCGSLLLNKSWRLKYFKFIEEKKEKACVSIAVTLAHWKGRMKSIRNRDTQATFSIT >Manes.01G151500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33977763:33982284:1 gene:Manes.01G151500.v8.1 transcript:Manes.01G151500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGAQFQVRSLDGFRVLREETNASGGGGLILNSGGCLSIQFGFKRSLKLQCRGGRKSIIISCNSSRGSSSDGGGQSAHAHDDHDHDFLQASLLISETLLHYRMRRKGFQEEMTWRLPGRWNPFAILSKESRPDLSFVGHEFLRRFQSPTIFLKVSCDGDFLLPIIVGEFAIQKLIDNFRGGDDNGDCPDQFQLVRNLVERLGYKVKMVRITERVVNTYFARVYFSKPGENKILSVDARPSDAINVANRCKVPIHVSKQVVFTDAIRISYGMGSMHDRKLTHDESLDSPADDPDSLSEELDIVKNMNLAIKEERYGDAAMWRDKLMELRQTHEQ >Manes.04G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1602298:1614650:-1 gene:Manes.04G011800.v8.1 transcript:Manes.04G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPTENGVNGDDEREEEEEEEVDEEEEEPRLKYQRIGGSIPTLLSNDAASCIAVAERMIALGTLDGTVHILDFLGNQVKEYPVHTAAVNDLSFDIEGEYVGSCSDDGSVVVHSLFTDEKMKFDYHRPMKAIALDPEYSRKTSRRFVAGGLAGHLYFNSKKWLGYRDQVLHSGEGPIHAVKWRRSLIAWANDAGVKVYDAANAQRVTFIERPQGSPRPELLLPHLVWQDDTLLVIGWGTSVKIASIRTNEHKGTNGTYRQIPVASMNKVDIVASFQTSYYISGIAPFGDSLVVLAYIPGEENGEKEFSSTIPSRQGNAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHSPFSGSSYAGGQWAAGVEPLYYIVSPKDVVIAKPRDAEDHIVWLLKHGRHEKALAAVEAGQARSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNSSFHKDLLLTVKSWPPVIYSALPVISTIEPQLNTSSMTDALKEALAELYVIDGQYEKACTLYADLMKPDIFEFIEKHSLHDAIREKVVHLMMVDCKRAVPLFIQNKDLIPPAEIVSQLLAARNKCDSRYFLHVYLRSLFEASPHAGKDFHDMQVELYADYDPKMLLPFLRSSQHYTLEKAYDICVKRDLLREQVFVLGRMGNAKEALAIIINKLGDMEEAVEFVTMQHDDELWEELIKQCLHKPEMVGMLLEHTVGNLDPLYIVNVVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLVKYYKEARRAVCLTSEGDGARAKSDDSRDFQATERALSVRTMEVKSKTRGDSRCCMCFDPFSIQNVSVIVFFCCHAYHTTCLMDSMHSVSDQKGSGENDEYEDDDDEEDEDDDTRSGVPRLRCILCTTAAS >Manes.02G093000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7278723:7280036:1 gene:Manes.02G093000.v8.1 transcript:Manes.02G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKERRKRELHMITRSKLVEKLRDYQIRSKHRCSARIVFSRKPHITSCVVAYYRAIVKHGGALLWSTISGPSPYSLG >Manes.15G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3875770:3878742:1 gene:Manes.15G050600.v8.1 transcript:Manes.15G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNLESYPPVFRRQNTNPEAQAQAQISCLDDNAKELNQEFDPIPVVDLQCLNLDELVEACKQWGLFRLVNHGVPLTLVSQLEDHSKKLFSLSFESKQALVASSPVSYFWGTPALTPSGDSLSRSPQNINWVEGFNVPLNQLSQFEAEDPTFDSFRLLLEEYGRHLAGLATTIFQAMAKALNLSPDQSKAYLSESTGFIRVYRYPQCSMADETWGMEAHTDSSVLSILNQDLGGFELLKDDKWLQVQLIPDTLILNLGDMMQAISDDEYKSVKHRVKPNKHGERYSICYFVFPAEGSVIQSSKYKPFTYSDFQAQVQQDIKTLGSKIGLDRFRFNQTEADDPAS >Manes.13G065500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8239380:8246151:1 gene:Manes.13G065500.v8.1 transcript:Manes.13G065500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNSNNNNNNRQVKSNAVPNQSPDNIEDGIWRLKIHDNQEQGGMAPSSPYPDRPGEPDCIYYLRTGLCGYGSNCRFNHPPAALGTQFIEELPERVGQPDCGYYLKTGTCKYGSTCKYHHPRDRNGAGPVSFNILGLPMRQDEKSCPYYMRTGSCKFGVACKFHHPHPAPLGTGLPLTGPAVSGPMGTSIMPSSGLPYLGGLPAWSLPRTPYVSGPRPQGPQAYMPVVLSPSQGVIPAQGWNTYVGNLNPMSSASVLGSSLVYNSRNQGESGSSGQVLLLSTTTSNLPERPDQPECRYYMNTGTCKYGSDCKYHHPKERIAQLATSSVGSPGLPSRPAQPVCSNYSMYGLCKFGPTCRFDHPFPGYPYSYSLNLQPVSIFDSSLFTYPRMSPPALSSESPVSLSPKFPDWVRNPDTASNKHQNSDKNTKISDDHPEQAGSPPLRSSHSSSEPSHD >Manes.07G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6562740:6569061:1 gene:Manes.07G057400.v8.1 transcript:Manes.07G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLPMRPRFAELLTRQWLKPLSLRAFSSSSDYSNQSRGGLPRFFSEVIPSSKGGVVRVQDDEFWHMTKVLRLSTDDRVELFNGKGGLVEGCIQKIDRNGLDFLALEDPKLVLPDTTKWHVFAAFGTLKGGRADWLVEKCAELGASSVTPLLTERSPSISENRVDRLQRVILAATKQCQRLHEMILNPPTKIDGLLPLLAQSKLSFLAAAEATPVVSVLASSRKESSGLIIVGPEGDECRFSKQIRSVPLLILEDNNGFKRWGML >Manes.07G057400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6562740:6577251:1 gene:Manes.07G057400.v8.1 transcript:Manes.07G057400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLPMRPRFAELLTRQWLKPLSLRAFSSSSDYSNQSRGGLPRFFSEVIPSSKGGVVRVQDDEFWHMTKVLRLSTDDRVELFNGKGGLVEGCIQKIDRNGLDFLALEDPKLVLPDTTKWHVFAAFGTLKGGRADWLVEKCAELGASSVTPLLTERSPSISENRVDRLQRVILAATKQCKQLIWSKAA >Manes.07G057400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6562675:6578014:1 gene:Manes.07G057400.v8.1 transcript:Manes.07G057400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLPMRPRFAELLTRQWLKPLSLRAFSSSSDYSNQSRGGLPRFFSEVIPSSKGGVVRVQDDEFWHMTKVLRLSTDDRVELFNGKGGLVEGCIQKIDRNGLDFLALEDPKLVLPDTTKWHVFAAFGTLKGGRADWLVEKCAELGASSVTPLLTERSPSISENRVDRLQRVILAATKQCQRLHEMILNPPTKIDGLLPLLAQSKLSFLAAAEATPVVSVLASSRKESSGLIIVGPEGDFTEREVAMMMGAGATAVGLGPLRLRVETATMALLATLMLWSDAQQLSDV >Manes.03G006050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:518068:518397:-1 gene:Manes.03G006050.v8.1 transcript:Manes.03G006050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSVAFLYFFKLSARFYGPYKILKKIGAIAYRLHLPDGVQIHNIFHVSLLHKYLGTRPEPLPNLPPVAHDSSPLPTPSKILEKRMVQKGRCHPYTEVLVQWHSTRSSDAA >Manes.13G075201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12460866:12462695:1 gene:Manes.13G075201.v8.1 transcript:Manes.13G075201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLINYIKLHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLYSRGIDPQTHRSLNSTAAATTTTTTTTATAPLSNSRSSSSNYNNNQNNKNNTNVSQIETQDSLAQFMKAPDCINMNICNSNIKIPTDSSAEESNCSSGVTTEEVCPEINLELYIGLPYQKKPQVSSNFKEKKQANHHHHQQTQQQNLTYGCSGASAKDVCLCCNLGLQSNQTCSCRVIETSFTADSFSRYYKPLNS >Manes.01G207800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37973166:37975810:-1 gene:Manes.01G207800.v8.1 transcript:Manes.01G207800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPSAARLIEKPHRNESRVVETERDNPLLEKVHLQPENTNTTVERVELQQANSSKTMLGKKVQKFPAKVRRSARLQNSVMDKDNEDIEHILEEISVSESEQEDEPADEELPEPTLNKKILHEKVDYLIQLLKAQQKTMDAFNSTVIGKTFCGENSVMGDLTYKSLYIDSQKKVEALTEENLQLNRKLEFALGKIEVYEKGNRVVPEVLEKLKDLFKDAFWLSSLTRVTEATRNCTASENGDDCKNSAKRKRLDKVTNKN >Manes.05G025800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2271493:2271732:1 gene:Manes.05G025800.v8.1 transcript:Manes.05G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAMAAENIGRRPNGEHAKDRCGYFQMPLHYPRYTRAEYETMPEWKLDCLLKEYGLPITGNVENKRKFAMGAFLWPN >Manes.01G011600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3624845:3626833:1 gene:Manes.01G011600.v8.1 transcript:Manes.01G011600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNGPRLSGMQKQVLSLYRAFLRAARLKSPEDRRQIESMVSAEFRHNSQHVDRKNFLYIEYLLRRDKPYMFPLMIQFHHFGKTCSLLCIEQL >Manes.01G011600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3625136:3625728:1 gene:Manes.01G011600.v8.1 transcript:Manes.01G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNGPRLSGMQKQVLSLYRAFLRAARLKSPEDRRQIESMVSAEFRHNSQHVDRKNFLYIEYLLRRGVTGLHICPICLHIVFRGRKTKG >Manes.01G011600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3625136:3625432:1 gene:Manes.01G011600.v8.1 transcript:Manes.01G011600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNGPRLSGMQKQVLSLYRAFLRAARLKSPEDRRQIESMVSAEFRHNSQHVDRKNFLYIEYLLRRGKKQLDQLKSPDTVGLSSLYINLHQSQNHKP >Manes.16G124800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32652123:32660322:1 gene:Manes.16G124800.v8.1 transcript:Manes.16G124800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNKELVFLILQFLDEEGLKGAAHMLENETGLYFNMEFFEEMLLNGHWDEAEKYLAGFTKLDDNRYSTKIFFEIRKQKFLEQLDKNERAKALDILMKDLKVFAPDNEVLFKEMTQLLTLNDIRENDSLSLYGDTESARKILTLEVKKVIEANPLLHDKLKFPSIRSQRLRRLINQGLNWQHIHCTHPQPNPNISTLFVDHVCLPPEDQLLSTSSDNNLVTTSMTAPKSPASSISTPSTVSHSEIWSGTICLGGSTNLDGLKTNGVSEYFPSETSNEETSAVSHPGESFLDNANDFSSTIHPDQSILRILDDLPESPQKNNSFESHRQISNTDLPKNVARILTEASSPMSMDFHPVKQTILLVGTNMGDIGLWKVSSGEKLLSRNFKVWDIGACSMKFKTAFLKDPCVSVNCIAWSPEGSLFGAAYSKHIVQLYSYAGLYDVQQQMEIDAHVGGVNDLAFSAVANKLLVITCGDDKMIKVWDVTTGVRMYSFEGHDSAVYSICPHSKENIHFIFSTSVDGHIKAWLYDNCGARVDIDAPGLGCTSLAYNADDRRLFSCGTNKCGESFLVEWNESEGTIKRVYSGLQKNSLAVVQFDIMKNQFLAAGDEHVIKIWDMDKVDLFSTIDADGGLPENPCIRFNKDGTLLAVFANENRIKILATDRGLQLQPISENCSVDASRVLSDTFMKNWESKGLEAVKCEFTGKADRKLFEINKPSQCRTLWLPSSYVKANKISKLVYNNAGDAILALVSNGIHLFWKWQLTDLNASGKATTEVLPQQWQPKCGSRLMINDLTGTKYEETLPCFALSKNDSYLMSASGGKISLFNLMSFKKMLCIMPPPPATTSLAFLPQDNNIVAIGRDDSTILIYNVRLAKVIHKLEGHSKRVSSVAFSEALNVLVSSGADSQILVWNIEGWEKHRSRFLEIPDKKKPVALLDTHIQFHQDQTQFLAVNERHLTIYEARNLECLKEWGRGDLAPISQATFSCDSQMVYASFMDGSVSIFGASHLQLLCRITSTAYLPVGSRDDAYPLVIAAHPQKPNQFTVGLSNGGVIVFEPLNPTGKWSTFPPFEDGSAGAMSVESDNDDCGSSQPDFNDFRVRSN >Manes.16G124800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32652150:32660322:1 gene:Manes.16G124800.v8.1 transcript:Manes.16G124800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNKELVFLILQFLDEEGLKGAAHMLENETGLYFNMEFFEEMLLNGHWDEAEKYLAGFTKLDDNRYSTKIFFEIRKQKFLEQLDKNERAKALDILMKDLKVFAPDNEVLFKEMTQLLTLNDIRENDSLSLYGDTESARKILTLEVKKVIEANPLLHDKLKFPSIRSQRLRRLINQGLNWQHIHCTHPQPNPNISTLFVDHVCLPPEDQLLSTSSDNNLVTTSMTAPKSPASSISTPSTVSHSEIWSGTICLGGSTNLDGLKTNGVSEYFPSETSNEETSAVSHPGESFLDNANDFSSTIHPDQSILRILDDLPESPQKNNSFESHRQISNTDLPKNVARILTEASSPMSMDFHPVKQTILLVGTNMGDIGLWKVSSGEKLLSRNFKVWDIGACSMKFKTAFLKDPCVSVNCIAWSPEGSLFGAAYSKHIVQLYSYAGLYDVQQQMEIDAHVGGVNDLAFSAVANKLLVITCGDDKMIKVWDVTTGVRMYSFEGHDSAVYSICPHSKENIHFIFSTSVDGHIKAWLYDNCGARVDIDAPGLGCTSLAYNADDRRLFSCGTNKCGESFLVEWNESEGTIKRVYSGLQKNSLAVVQFDIMKNQFLAAGDEHVIKIWDMDKVDLFSTIDADGGLPENPCIRFNKDGTLLAVFANENRIKILATDRGLQLQPISENCSVDASRVLSDTFMKLAIHTPSTVSCAGIADEALTNWESKGLEAVKCEFTGKADRKLFEINKPSQCRTLWLPSSYVKANKISKLVYNNAGDAILALVSNGIHLFWKWQLTDLNASGKATTEVLPQQWQPKCGSRLMINDLTGTKYEETLPCFALSKNDSYLMSASGGKISLFNLMSFKKMLCIMPPPPATTSLAFLPQDNNIVAIGRDDSTILIYNVRLAKVIHKLEGHSKRVSSVAFSEALNVLVSSGADSQILVWNIEGWEKHRSRFLEIPDKKKPVALLDTHIQFHQDQTQFLAVNERHLTIYEARNLECLKEWGRGDLAPISQATFSCDSQMVYASFMDGSVSIFGASHLQLLCRITSTAYLPVGSRDDAYPLVIAAHPQKPNQFTVGLSNGGVIVFEPLNPTGKWSTFPPFEDGSAGAMSVESDNDDCGSSQPDFNDFRVRSN >Manes.16G124800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32652111:32660322:1 gene:Manes.16G124800.v8.1 transcript:Manes.16G124800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNKELVFLILQFLDEEGLKGAAHMLENETGLYFNMEFFEEMLLNGHWDEAEKYLAGFTKLDDNRYSTKIFFEIRKQKFLEQLDKNERAKALDILMKDLKVFAPDNEVLFKEMTQLLTLNDIRENDSLSLYGDTESARKILTLEVKKVIEANPLLHDKLKFPSIRSQRLRRLINQGLNWQHIHCTHPQPNPNISTLFVDHVCLPPEDQLLSTSSDNNLVTTSMTAPKSPASSISTPSTVSHSEIWSGTICLGGSTNLDGLKTNGVSEYFPSETSNEETSAVSHPGESFLDNANDFSSTIHPDQSILRILDDLPESPQKNNSFESHRQISNTDLPKNVARILTEASSPMSMDFHPVKQTILLVGTNMGDIGLWKVSSGEKLLSRNFKVWDIGACSMKFKTAFLKDPCVSVNCIAWSPEGSLFGAAYSKHIVQLYSYAGLYDVQQQMEIDAHVGGVNDLAFSAVANKLLVITCGDDKMIKVWDVTTGVRMYSFEGHDSAVYSICPHSKENIHFIFSTSVDGHIKAWLYDNCGARVDIDAPGLGCTSLAYNADDRRLFSCGTNKCGESFLVEWNESEGTIKRVYSGLQKNSLAVVQFDIMKNQFLAAGDEHVIKIWDMDKVDLFSTIDADGGLPENPCIRFNKDGTLLAVFANENRIKILATDRGLQLQPISENCSVDASRVLSDTFMKLAIHTPSTVSCAGIADEALTNWESKGLEAVKCEFTGKADRKLFEINKPSQCRTLWLPSSYVKANKISKLVYNNAGDAILALVSNGIHLFWKWQLTDLNASGKATTEVLPQQWQPKCGSRLMINDLTGTKYEETLPCFALSKNDSYLMSASGGKISLFNLMSFKKMLCIMPPPPATTSLAFLPQDNNIVAIGRDDSTILIYNVRLAKVIHKLEGHSKRVSSVAFSEALNVLVSSGADSQILVWNIEGWEKHRSRFLEIPDKKKPVALLDTHIQFHQDQTQFLAVNERHLTIYEARNLECLKEWGRGDLAPISQATFSCDSQMVYASFMDGSVSIFGASHLQLLCRITSTAYLPVGSRDDAYPLVIAAHPQKPNQFTVGLSNGGVIVFEPLNPTGKWSTFPPFEDGSAGAMSVESDNDDCGSSQPDFNDFRVRSN >Manes.16G124800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32652111:32660322:1 gene:Manes.16G124800.v8.1 transcript:Manes.16G124800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNKELVFLILQFLDEEGLKGAAHMLENETGLYFNMEFFEEMLLNGHWDEAEKYLAGFTKLDDNRYSTKIFFEIRKQKFLEQLDKNERAKALDILMKDLKVFAPDNEVLFKEMTQLLTLNDIRENDSLSLYGDTESARKILTLEVKKVIEANPLLHDKLKFPSIRSQRLRRLINQGLNWQHIHCTHPQPNPNISTLFVDHVCLPPEDQLLSTSSDNNLVTTSMTAPKSPASSISTPSTVSHSEIWSGTICLGGSTNLDGLKTNGVSEYFPSETSNEETSAVSHPGESFLDNANDFSSTIHPDQSILRILDDLPESPQKNNSFESHRQISNTDLPKNVARILTEASSPMSMDFHPVKQTILLVGTNMGDIGLWKVSSGEKLLSRNFKVWDIGACSMKFKTAFLKDPCVSVNCIAWSPEGSLFGAAYSKHIVQLYSYAGLYDVQQQMEIDAHVGGVNDLAFSAVANKLLVITCGDDKMIKVWDVTTGVRMYSFEGHDSAVYSICPHSKENIHFIFSTSVDGHIKAWLYDNCGARVDIDAPGLGCTSLAYNADDRRLFSCGTNKCGESFLVEWNESEGTIKRVYSGLQKNSLAVVQFDIMKNQFLAAGDEHVIKIWDMDKVDLFSTIDADGGLPENPCIRFNKDGTLLAVFANENRIKILATDRGLQLQPISENCSVDASRVLSDTFMKNWESKGLEAVKCEFTGKADRKLFEINKPSQCRTLWLPSSYVKANKISKLVYNNAGDAILALVSNGIHLFWKWQLTDLNASGKATTEVLPQQWQPKCGSRLMINDLTGTKYEETLPCFALSKNDSYLMSASGGKISLFNLMSFKKMLCIMPPPPATTSLAFLPQDNNIVAIGRDDSTILIYNVRLAKVIHKLEGHSKRVSSVAFSEALNVLVSSGADSQILVWNIEGWEKHRSRFLEIPDKKKPVALLDTHIQFHQDQTQFLAVNERHLTIYEARNLECLKEWGRGDLAPISQATFSCDSQMVYASFMDGSVSIFGASHLQLLCRITSTAYLPVGSRDDAYPLVIAAHPQKPNQFTVGLSNGGVIVFEPLNPTGKWSTFPPFEDGSAGAMSVESDNDDCGSSQPDFNDFRVRSN >Manes.16G124800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32652123:32660322:1 gene:Manes.16G124800.v8.1 transcript:Manes.16G124800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNKELVFLILQFLDEEGLKGAAHMLENETGLYFNMEFFEEMLLNGHWDEAEKYLAGFTKLDDNRYSTKIFFEIRKQKFLEQLDKNERAKALDILMKDLKVFAPDNEVLFKEMTQLLTLNDIRENDSLSLYGDTESARKILTLEVKKVIEANPLLHDKLKFPSIRSQRLRRLINQGLNWQHIHCTHPQPNPNISTLFVDHVCLPPEDQLLSTSSDNNLVTTSMTAPKSPASSISTPSTVSHSEIWSGTICLGGSTNLDGLKTNGVSEYFPSETSNEETSAVSHPGESFLDNANDFSSTIHPDQSILRILDDLPESPQKNNSFESHRQISNTDLPKNVARILTEASSPMSMDFHPVKQTILLVGTNMGDIGLWKVSSGEKLLSRNFKVWDIGACSMKFKTAFLKDPCVSVNCIAWSPEGSLFGAAYSKHIVQLYSYAGLYDVQQQMEIDAHVGGVNDLAFSAVANKLLVITCGDDKMIKVWDVTTGVRMYSFEGHDSAVYSICPHSKENIHFIFSTSVDGHIKAWLYDNCGARVDIDAPGLGCTSLAYNADDRRLFSCGTNKCGESFLVEWNESEGTIKRVYSGLQKNSLAVVQFDIMKNQFLAAGDEHVIKIWDMDKVDLFSTIDADGGLPENPCIRFNKDGTLLAVFANENRIKILATDRGLQLQPISENCSVDASRVLSDTFMKLAIHTPSTVSCAGIADEALTNWESKGLEAVKCEFTGKADRKLFEINKPSQCRTLWLPSSYVKANKISKLVYNNAGDAILALVSNGIHLFWKWQLTDLNASGKATTEVLPQQWQPKCGSRLMINDLTGTKYEETLPCFALSKNDSYLMSASGGKISLFNLMSFKKMLCIMPPPPATTSLAFLPQDNNIVAIGRDDSTILIYNVRLAKVIHKLEGHSKRVSSVAFSEALNVLVSSGADSQILVWNIEGWEKHRSRFLEIPDKKKPVALLDTHIQFHQDQTQFLAVNERHLTIYEARNLECLKEWGRGDLAPISQATFSCDSQMVYASFMDGSVSIFGASHLQLLCRITSTAYLPVGSRDDAYPLVIAAHPQKPNQFTVGLSNGGVIVFEPLNPTGKWSTFPPFEDGSAGAMSVESDNDDCGSSQPDFNDFRVRSN >Manes.01G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26228366:26235635:1 gene:Manes.01G063800.v8.1 transcript:Manes.01G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWSDLPSDLLLQIAQKINSFEDLFAFSLVSRAWNERSSNTAPWLILPEKNGTGSESREFLSLYRGRVYRLLLPEAKGKQCLSSQGWLMIIEFHRVISLVHPISHLKISLPQFIRYPDGEDGKYFYIDKISKFVLSSNPSRTSDFRVMAIYGDDKKLACCRPGDEEWTKIDLGSSPCTDLINYKGQFCVLDEKFRVLAVDFKGPNSAANVQLVSELEPKFRAIWELRRRLQLIHGAPARWIQFYVVESEGAIMIVRRMKQVRRVVGESDSRYQSCKFEILKQDFDEKRWRVVKNLGNKALFLGEHSSSFFITAHCGSGCKPNCIYYAEDLSAEIEDVGVYNLTYGCTEPLFKDAYSISQQTSTFCNEATTANQQVEEQPN >Manes.12G100100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:25866491:25867763:-1 gene:Manes.12G100100.v8.1 transcript:Manes.12G100100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRAFSRSVVFLLAFIACLQILFTEARPIKSMNKQGRDNNINVHQSKNTNDSQQIPRTAFADSEASMDDFRPTSPGFSPGVGHPKDITNSNVELSVEEFKDDHRPTKLSAACPNPEVGHATKLHQSKSGKSSKSSQPKPSSHFSQSESGNDEISSTGVPAVNDFRPTPPGHSPGLGHHFSQDGSDGEIDPTPLGTGSGNNDTPTPGHSPGVGRPIINKSNLGEKKGSQPRSWNHIPDNAQHQTVPLNSNALHASAAASEDDFRPTSPGFSPGVGHPKTLITSSNIEKPVIGFKDDYRPTQPGHSPGVGHAHEKNDAEPNP >Manes.09G070500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:14687066:14688024:-1 gene:Manes.09G070500.v8.1 transcript:Manes.09G070500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYALVSVFILFLNLATLLSSLACPYCPYPTPPSKPPKYPPKLPPKHPPIVKPPPHPKPPRPPAVPKPPHVPKPPITFPPPKPPVTPSPPHYPKPPVTPSPPTGTPCPPPPPPPPVPCPPPPSKPETCPIDTLKLGACVDILGGLVHIVIGSSAKDACCPVLQGLADLDAALCLCTTIKAKLLNINIIIPIALEVLVDCGKTPPLGFKCPA >Manes.09G070500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:14687041:14688024:-1 gene:Manes.09G070500.v8.1 transcript:Manes.09G070500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYALVSVFILFLNLATLLSSLACPYCPYPTPPSKPPKYPPKLPPKHPPIVKPPPHPKPPRPPAVPKPPHVPKPPITFPPPKPPVTPSPPHYPKPPVTPSPPTGTPCPPPPPPPPVPCPPPPSKPETCPIDTLKLGACVDILGGLVHIVIGSSAKDACCPVLQGLADLDAALCLCTTIKAKLLNINIIIPIALEVLVDCGKTPPLGFKCPA >Manes.09G070500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:14687078:14688024:-1 gene:Manes.09G070500.v8.1 transcript:Manes.09G070500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYALVSVFILFLNLATLLSSLACPYCPYPTPPSKPPKYPPKLPPKHPPIVKPPPHPKPPRPPAVPKPPHVPKPPITFPPPKPPVTPSPPHYPKPPVTPSPPTGTPCPPPPPPPPVPCPPPPSKPETCPIDTLKLGACVDILGGLVHIVIGSSAKDACCPVLQGLADLDAALCLCTTIKAKLLNINIIIPIALEVLVDCGKTPPLGFKCPA >Manes.05G007604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1124242:1125013:-1 gene:Manes.05G007604.v8.1 transcript:Manes.05G007604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHYSFLFIRCKKMFFLLKFIFSQISIIAFIEVSFNSQDDRNPTMLIKLEQLFLNELNRDKEERVNLLEDHAKTETTTLESRLYDPHGQGV >Manes.04G037600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5089178:5097802:-1 gene:Manes.04G037600.v8.1 transcript:Manes.04G037600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLWSFLVICLCYVAWWKHESYKTNKLIREQGIKGPPPSLLLGNIPEMERMVSQNSETPQIDGPLIVLPYLKHWAKNYGKLFKFALGGIQLLYVNNLSIVREINLFTSFELGKPAYLQNDRGVLLGKGLNTANGDVWHHQRKTIAPQLYMHKVKDMVNLMVESGSMVVKAWEKIIDREGEGGMVDIVVDEHARIFTTYIASKIIFGSDHHKGIKVFSKCHELLKAMGETTTLGIPLLRFLPIERNRKTWRLAKEIHGMIMDIAKERSGSTSHQDLLQAIIEGSKNGELGKLTEDEFIVDNCKNMMFGGYQSPAVAAIWGLMLLASHPEWQDRARFEVLEICKGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAMQNVKLGELKVPKGMDIWIWLPALHRNPEYWGADADVFNPERFTNGVTGACKSSQAYIPFGLGARVCPGQNLALIELKVFFAVILSNFKLTISPKYRHSPTYGLLLEPEHGVNLLIQKI >Manes.17G042800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23586487:23587407:-1 gene:Manes.17G042800.v8.1 transcript:Manes.17G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKQVSSDGLDWSQTLLQQARTLELPKPPIVRGQQQQNQQQSEPLKCPRCDSTNTKFCYYNNYNKSQPRHFCKTCKRHWTKGGTLRNVPIGGGRKNKRLKTSKNTAKTSSAAATRSATSTRTNSGSIINRVNPQIVIQAQHQKQNLPLAHGDQKSVSEIMCQAMISPPSLALQQNSMSCSNFSSKSFNTGNSGVFLGSTLSLPQNQGLHFPYSSSSTFDTHPSLISTSLQSSNLYNYRSGEAMEDSTITTVMPATSNTISHQPWQVPNASCGMDMTSYWNWDDIDTFVSTNLNVPWDDSELKP >Manes.18G037801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3338883:3343829:1 gene:Manes.18G037801.v8.1 transcript:Manes.18G037801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPDGLRKLIVPLGKDLGGGISEDEEDSGGKRNCMENEDEEWVCRLEDQILEGTVPLVGFVRMILHSGKYENGDRLSPENERTIVERLLPYHPECKKKIGCGIDYITVGHHPDFETSRSLFIIREDGQLGMIRKNYPLYADSFILRHFRRRRWS >Manes.06G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16106220:16111668:-1 gene:Manes.06G049900.v8.1 transcript:Manes.06G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFIRRLAKAAPIGYWGQPKSNYGGFRIPFGAIAAVSGGISYLYYYSSPNLAYLDQVKEGDAQKVALKSNKWIEFKLQDTARVSHNTQLFRFSFDPSAKLGLDIASCILTRAPLGQDAEGKTKYVIRPYTPISDPDAKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKLSYSPNMKKHIGMIAGGTGITPMLQIIEAILKNPDDNTQVSLLYANISPDDILLKQKLDFLAASHSNLKVFYTVDNPSKNWKGGVGYISKDMVLKGLPSPSDDTLILVCGPPGMMKHISGDKAKDYSQGELTGILKELGYAEQMVYKF >Manes.13G077768.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22034169:22034610:-1 gene:Manes.13G077768.v8.1 transcript:Manes.13G077768.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVRTVLVDTCSFVNILILNVFNKLGSDKNSPVRASYPLVGLEDKTVAMLGTINLLLVLGDEKYRRELYVVFVVVDILFAYNVILSRPVLNYHSIIINMGAMCLKLSAPGGIVVV >Manes.09G072126.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11747773:11748939:1 gene:Manes.09G072126.v8.1 transcript:Manes.09G072126.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDVLMPIIHQEHKSTSFLCGLEELPDDLLVNILCQLSDRLLVRCRCVCRTWNNLISNVCIPKAFAASPLCGLLYRGYKYGLSKNAFAGYIPYGQPLDLVPNNSQAFVNSYTALLPFENSPGDFCDCCSGLLLFVNLPILQFYVCNPVTKQCAAIPTSSAYAKPIHASLAFNPSESPHYKVFCIDRMCSHILNLHIFSSDVGKWVTHLFTHNLRIYGTPKIMRAIYLNGSIFVCATMLHMLIFSLSKEKSLSIELPEYKKHEYVAFFGVSKGCLYYSNHDNSILFLWRLEVNRWILMHNICFNDLAKDPFGSVLCQSHDFMIMPCALHPTSNIIFLWCPRMIISYHLDNHTIELICEMDKVSDILMGQYTVRVYTHWIGNLKDFN >Manes.08G072166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:13495843:13496283:-1 gene:Manes.08G072166.v8.1 transcript:Manes.08G072166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKRLYKELNNVLIPFSTDVKTQHVQGEQMVVMSFLAGLPLEFETAKSHILSDSEMSLLYDAFTRVLRIKSSSLVPSHTTNILVRHNDSGRQNNRGGNRRGFNGSRGSQHPGEAVPTSNSSGIICYYCREPGHTKNTCMKLQNKN >Manes.06G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3528829:3533141:1 gene:Manes.06G020200.v8.1 transcript:Manes.06G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQADTVSSGSNQSSSSSSTTQSSPLETLNKQPQVPPFSGFPAYGDFQMYPIVYPALVPGLTYLQNQEQMNRGAGIYAVPVPPFMGPIAGLSSNTLIPLTYNIPTRTSADVGAANDQAQGGQPQQQQQAAPQRQVVVRRFQIAFQLDLLLILKLAAVIFLFNQEGSRTRLVVLVFFASIVYLYQTGALTPIVQWLSQSMRRAAQPPHPPRPVVRGENAPGAARQNENVALAEGQAGADNENRPAEDGNQAVENENVAEPGGAEGGNHWWGIVKEIQMIVFGFITSLLPGFHNID >Manes.08G111100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35044109:35045397:-1 gene:Manes.08G111100.v8.1 transcript:Manes.08G111100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCQEELLIQKICSFYDQISNLESLKPSKDVDMLFTQLVLTCIPPNPIEVNKLSKKIQEIRFKLIRLCGEAEGHLENHFSTILGSFENPLDNLNIFPYYSNYLKLSHLEFTILKQHYPHVPSQVAFVGSGPLPLTSIVLACNHLTTTTFHNYDIDPSANSRALRLVSSHPDVSKRFFFHTTDILNVADGLKEFDVVFLAALVGMNNEEKLRVINHLAKYMAPGAILMLRSAHGARAFLYPVVDPCELAGFEVLSVFHPTDEVINSVVIARKHQMSVQSSPVDQQPLVSNMIQLPSKCSEIPAFNPLNHGNMIEELAIEEQL >Manes.15G076000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5751542:5754989:1 gene:Manes.15G076000.v8.1 transcript:Manes.15G076000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVGPRYGDSIFAGGEHVNAEMFSLTYGTIVRQLLTDLEEVEEVNRQLDQMGYNIGIRLVDEFLVKSDVSRCVAFRETAEVIAKVGLKMFLGLSASVTNWNADGTCCSMVLEDNPFVDFVELPDTCQGLYYCNILSGVIRGALEMVSMETQVTWARDMLRGDDAFELRVKLLKQVPEEYPYKDDK >Manes.15G076000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5751542:5755639:1 gene:Manes.15G076000.v8.1 transcript:Manes.15G076000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPVGPRYGDSIFAGGEHVNAEMFSLTYGTIVRQLLTDLEEVEEVNRQLDQMGYNIGIRLVDEFLVKSDVSRCVAFRETAEVIAKVGLKMFLGLSASVTNWNADGTCCSMVLEDNPFVDFVELPDTCQGLYYCNILSGVIRGALEMVSMETQVTWARDMLRGDDAFELRVKLLKQVPEEYPYKDDK >Manes.01G158200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34382088:34386799:-1 gene:Manes.01G158200.v8.1 transcript:Manes.01G158200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEMNGNNNNQEVTIFNSREINPSSLFHSIVALGLWMGGIHFNFLLFFIPLLFLPFSKFLLFFGLQLVLMFIPVNENSNLGRRLARYLAKHVSSHFPVFLHVEDINAFHADRAYVFGYEPHSVFPIGMGILSESVGLMPLPKIKILASSAVFITPFIRHIWTWCGLTPATKQNFTSLLKAGYSCVLVPGGVQETCYMKHGTESHVFNWWKPEGKLFIKIARTIKFAPIIFWGILGSPFPYQHPIHVVVGKPIEVRKNPQPTMEEVVEVQNQFITALKDLFERHKSRVGYPDLKLEIM >Manes.01G158200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34382088:34386799:-1 gene:Manes.01G158200.v8.1 transcript:Manes.01G158200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKEMNGNNNNQEVTIFNSREINPSSLFHSIVALGLWMGGIHFNFLLFFIPLLFLPFSKFLLFFGLQLVLMFIPVNENSNLGRRLARYLAKHVSSHFPVFLHVEDINAFHADRAYVFGYEPHSVFPIGMGILSESVGLMPLPKIKILASSAVFITPFIRHIWTWCGLTPATKQNFTSLLKAGYSCVLVPGGVQETCYMKHGTEIAFLKSRRGFIRIAMETGKPLVPVFCFGQSHVFNWWKPEGKLFIKIARTIKFAPIIFWGILGSPFPYQHPIHVVVGKPIEVRKNPQPTMEEVVEVQNQFITALKDLFERHKSRVGYPDLKLEIM >Manes.02G218800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:33628734:33632625:-1 gene:Manes.02G218800.v8.1 transcript:Manes.02G218800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVHYSMLIIENLIELWNRLTSNLEMLVISSTFILIIITLYFMRKPRKIYLVDFACYKPAPSYKCPKEHCMKVVDKEEVFTEESLEFVRKILEKSGIGQDAYGSNGVLQIPQDLCMAEARKETEMVVFGAVDELLAKTGVKPADIGILVVNSSVFNPQPSLSAMIVNRYKLRGNVLSYNLGGMGCSAGLISIHLAKDLLQVHPNSYALVVSTENATSSWYEGNERSMLITNCLFRVGGVAVLLSNRSFDRRCSKYQLMNSIRTHKGADDKSYNCVMQREDKNDAIGISLSKELVGVAGDALKANITTLGPLVLPLFEQLKFLATLIMKKILKIKIKSYIPDFKLAFEHFCIHPGGRAVLDTVEKSLDLTEWHMEPSRMTLYRFANTSSTSIWYELAYSEAKGRIKKGNRVWQLGFGSGFKCNSVVWRALRSINPANEENPWIDEINDFPVHIPKATPVMY >Manes.02G079900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6233317:6234690:1 gene:Manes.02G079900.v8.1 transcript:Manes.02G079900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIASTKYTLKYLSQAKDEVKERLCDRSNKATTKTLAKENSKPQEFKLHTEQRAYKRAMFNYSVATKLYIMELQKKQIEKIQKIIEEEEIRMLRKEMIPRAQLMPFFDRPFFPQRSSRPLTIPREPSFHMLNSKC >Manes.02G079900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6233317:6234691:1 gene:Manes.02G079900.v8.1 transcript:Manes.02G079900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIASTKYTLKAKDEVKERLCDRSNKATTKTLAKENSKPQEFKLHTEQRAYKRAMFNYSVATKLYIMELQKKQIEKIQKIIEEEEIRMLRKEMIPRAQLMPFFDRPFFPQRSSRPLTIPREPSFHMLNSKC >Manes.08G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2010016:2014286:1 gene:Manes.08G020200.v8.1 transcript:Manes.08G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTIVSVKARQIFDSRGNPTVEADVKLSDNHLARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVENVNTIIGPALVGKDPADQVAIDNLMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAHVKGIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGAASFKEAMKMGAEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIDKAGYTGKVVIGMDVAASEFYGSDKTYDLNFKEENNNGSQKISGEALKDLYKSFVSDYPIVSIEDPFDQDDWEHYAKLTGEIGAKVQIVGDDLLVTNPKRVEKAIKEKACNALLLKVNQIGSVTESIEAVKMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGANFRAPVEPY >Manes.10G019900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1940987:1943550:1 gene:Manes.10G019900.v8.1 transcript:Manes.10G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCPNFDREDGLDTVLEVPIPEEMFASNKNRSWQNFKAWMRPHTDHRSLSNIFGGRNSEIQLLLGLVGAPLIPLPICSDHQFINHNIKDQPIEASIAKYIVQEYVAAVGGEKALNCVESMYAMGKVKMGASEFCAGEGSLNSKVVKVKDLMNGGGEIGGFVLWQKRPEFWCLELVVSGCKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPKSTANLFSNSICIGEKAIDDEGCFVLKLEAAPSSLRARSTGNVELMKHTVWGYFSQRTGLLVQLEDSHILRIKASGRNETIYWETTMESKITDYRTIDGINIAHGGRTSVSLARFGENSESKSKTRMEEIWAIEEVDFNIKGLSIDCFLPPSDLKKVEEEGYGVLNCNNEKLPLQIRSASTRIHASKVVHVYVDDLENSIEDDDEEDH >Manes.06G068400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20474404:20474718:1 gene:Manes.06G068400.v8.1 transcript:Manes.06G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASSRRSIMYSSSLVALVVFLIFMLQIWVCSDCKAGAIRIFPDNGMPSKLKHRNITTTDNKATKQDLLRKFFNGRASSFNSSKKGFEENKRRVPSCPDPLHN >Manes.11G073400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10641229:10643562:-1 gene:Manes.11G073400.v8.1 transcript:Manes.11G073400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSLELKLMYCKDLQAFNFFQKLLVYVLVSLESDDPDKKINQNQKQKTPTDAEGDGNPEWNHEMYFDLSKVSFVNCDHLFIHFDLCHEGLLFGDKTIGEVRVPLKCLIQDSSEIVRFVNYQVRSPDGQPNGFLNFSYTVHAKAEISGYSAVVHHQHQSPESLSPEIHHYPSPQFETYPAAQVWYPSHDYPPAPHAYYHPPPMPLPPPPPPPFPLLNHPPPPLPPHPHGICYHPPGFQPWTPTGRYDVHSVLRNWRSTGRESQSYWHSYWNRR >Manes.01G205600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37856483:37857980:-1 gene:Manes.01G205600.v8.1 transcript:Manes.01G205600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFKIPQLIAIISVLLVISVKGQISTPCTSSMITSFTPCINYITGSSSNGKSPTASCCNALASLTSTNMDCACLIITASVPVQVPIIRTLAISLPRACNMSGVPLQCKASGTPLPAPGPVLLGPTLPPPAAAALSPRASKAVALAPAPASETTLPLTPPSPPVQIMAPPTTTGIRPVLYPSASMPSHIAPPISLLLFISIMVLKCY >Manes.01G136900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32938250:32941850:-1 gene:Manes.01G136900.v8.1 transcript:Manes.01G136900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVRIFNRHLLNTPTSFLKVPLLSYRSLSPQYHRPSHLISTASLSLSRTNSYFSPLFHSPISPKPNLSSFFLSISSSHRLNTSTPTNYSNFSFKFHPNDGVFAWHRAPGSGVVGAVGAKDPVVTVVLLGWLGAKQKHLNKYVEWYNSRGINAITFIVEVGELLWFDLGERVEKRVTALANELISWVSESQEDGRERCLVFHTFSNTGWFVYGYILDILQAREDLKEKIKGCVVDSGGGDPFNPKVWAAGFSAALLKKRSSGAQLLVEAKAINELGSQACESNIQEKEPPLVEAMVLSVLEKLFSVVLKSPDVDQKVKKIVSILSKEQPSCPQLYLYSTADKVVTFQSIESLINDQRKMGRKVLSYNFGSSPHVDHYRTFPDTYLSVLHNFLKDCFAVVKQAT >Manes.01G136900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32938250:32941816:-1 gene:Manes.01G136900.v8.1 transcript:Manes.01G136900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVRIFNRHLLNTPTSFLKVPLLSYRSLSPQYHRPSHLISTASLSLSRTNSYFSPLFHSPISPKPNLSSFFLSISSSHRLNTSTPTNYSNFSFKFHPNDGVFAWHRAPGSGVVGAVGAKDPVVTVVLLGWLGAKQKHLNKYVEWYNSRGINAITFIVEVGELLWFDLGERVEKRVTALANELISWVSESQEDGRERCLVFHTFSNTGWFVYGYILDILQAREDLKEKIKGCVVDSGGGDPFNPKVWAAGFSAALLKKRSSGAQLLVEAKAINELGSQACESNIQEKEPPLVEAMVLSVLEKLFSVVLKSPDVDQKVKKIVSILSKEQPSCPQLYLYSTADKVVTFQSIESLINDQRKMGRKVLSYNFGSSPHVDHYRTFPDTYLSVLHNFLKDCFAVVKQAT >Manes.01G136900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32938250:32941850:-1 gene:Manes.01G136900.v8.1 transcript:Manes.01G136900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVRIFNRHLLNTPTSFLKVPLLSYRSLSPQYHRPSHLISTASLSLSRTNSYFSPLFHSPISPKPNLSSFFLSISSSHRLNTSTPTNYSNFSFKFHPNDGVFAWHRAPGSGVVGAVGAKDPVVTVVLLGWLGAKQKHLNKYVEWYNSRGINAITFIVEVGELLWFDLGERVEKRVTALANELISWVSESQEDGRERCLVFHTFSNTGWFVYGYILDILQAREDLKEKIKGCVVDSGGGDPFNPKVWAAGFSAALLKKRSSGAQLLVEAKAINELGSQACESNIQEKEPPLVEAMVLSVLEKLFSVVLKSPDVDQKVKKIVSILSKEQPSCPQLYLYSTADKVVTFQSIESLINDQRKMGRKVLSYNFGSSPHVDHYRTFPDTYLSVLHNFLKDCFAVVKQAT >Manes.01G136900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32938250:32941850:-1 gene:Manes.01G136900.v8.1 transcript:Manes.01G136900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVRIFNRHLLNTPTSFLKVPLLSYRSLSPQYHRPSHLISTASLSLSRTNSYFSPLFHSPISPKPNLSSFFLSISSSHRLNTSTPTNYSNFSFKFHPNDGVFAWHRAPGSGVVGAVGAKDPVVTVVLLGWLGAKQKHLNKYVEWYNSRGINAITFIVEVGELLWFDLGERVEKRVTALANELISWVSESQEDGRERCLVFHTFSNTGWFVYGYILDILQAREDLKEKIKGCVVDSGGGDPFNPKVWAAGFSAALLKKRSSGAQLLVEAKAINELGSQACESNIQEKEPPLVEAMVLSVLEKLFSVVLKSPDVDQKVKKIVSILSKEQPSCPQLYLYSTADKVVTFQSIESLINDQRKMGRKVLSYNFGSSPHVDHYRTFPDTYLSVLHNFLKDCFAVVKQAT >Manes.07G089900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28208424:28216247:1 gene:Manes.07G089900.v8.1 transcript:Manes.07G089900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAAKAKEIAEKKFFAKDLAGAKRFALKAQNLYPGLEGVMQMVATLDVYISAENKINGEPDWYGILGVDPKADDDTVRKQYRKLALMLHPDKNKSVGADGAFKLISEAWSLLSDKSKRAVHDQKRRNSKVSSQKATNPAGGPSTTAGSGGFYNFAKSSAKAHKSTPRTGHSSTPVASQKSKPNTFWTVCHRCKMQYEYLRVYLNHNLLCPNCHEPFLAVETAPPPSNGSKTSTTWNFSQPRQGSSHHAASKNTSNSASNNVPPPNAGSVRTDSYSQTNFQWGPFSRAGGASSVAQAATVVQQAYEKVKREREEAQAATKREEALKKKNNVSKRTGGVSSGGYSNSAKRRKTMEDVGLSNHENNATNQMAAGGASTSDISGSKKGNFGTGSVSGVTQPCGTRDVSQFGIQTLLMEKARKEISQKLNEWNSATATISSVKNEKSNVKENDGGETSEGKPEMCDQNKFAQQLDPDNGIHGIKLSSGSSSTKADLDPSETMSIDVPDPDFHNFDKDRTENCFEENQVWAAYDGDDGMPRYYAMVHKVISLNPFKMRISWLNSKTNTELGPLNWVGSGFSKTCGEFRVGRYEVYNSLNSFSHKVKWTKGSRGVICIYPKKGDVWALYRNWSPEWNELTEDEVIHKYDMVEVLEDYREELGVTVAPLVKVAGFKTVFHQHLDPGEIRRIPKEEMFRFSHHVPSYLLTGQEGPNAPKGCRELDPAATPLELLQVIIDVKEEEILVEGAKQEKR >Manes.07G089900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28208417:28216772:1 gene:Manes.07G089900.v8.1 transcript:Manes.07G089900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAAKAKEIAEKKFFAKDLAGAKRFALKAQNLYPGLEGVMQMVATLDVYISAENKINGEPDWYGILGVDPKADDDTVRKQYRKLALMLHPDKNKSVGADGAFKLISEAWSLLSDKSKRAVHDQKRRNSKVSSQKATNPAGGPSTTAGSGGFYNFAKSSAKAHKSTPRTGHSSTPVASQKSKPNTFWTVCHRCKMQYEYLRVYLNHNLLCPNCHEPFLAVETAPPPSNGSKTSTTWNFSQPRQGSSHHAASKNTSNSASNNVPPPNAGSVRTDSYSQTNFQWGPFSRAGGASSVAQAATVVQQAYEKVKREREEAQAATKREEALKKKNNVSKRTGGVSSGGYSNSAKRRKTMEDVGLSNHENNATNQMAAGGASTSDISGSKKGNFGTGSVSGVTQPCGTRDVSQFGIQTLLMEKARKEISQKLNEWNSATATISSVKNEKSNVKENDGGETSEGKPEMCDQNKFAQQLDPDNGIHGIKLSSGSSSTKADLDPSETMSIDVPDPDFHNFDKDRTENCFEENQVWAAYDGDDGMPRYYAMVHKVISLNPFKMRISWLNSKTNTELGPLNWVGSGFSKTCGEFRVGRYEVYNSLNSFSHKVKWTKGSRGVICIYPKKGDVWALYRNWSPEWNELTEDEVIHKYDMVEVLEDYREELGVTVAPLVKVAGFKTVFHQHLDPGEIRRIPKEEMFRFSHHVPSYLLTGQEGPNAPKGCRELDPAATPLELLQVIIDVKEEEILVEGAKQEKR >Manes.07G089900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28208419:28216323:1 gene:Manes.07G089900.v8.1 transcript:Manes.07G089900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAAKAKEIAEKKFFAKDLAGAKRFALKAQNLYPGLEGVMQMVATLDVYISAENKINGEPDWYGILGVDPKADDDTVRKQYRKLALMLHPDKNKSVGADGAFKLISEAWSLLSDKSKRAVHDQKRRNSKVSSQKATNPAGGPSTTAGSGGFYNFAKSSAKAHKSTPRTGHSSTPVASQKSKPNTFWTVCHRCKMQYEYLRVYLNHNLLCPNCHEPFLAVETAPPPSNGSKTSTTWNFSQPRQGSSHHAASKNTSNSASNNVPPPNAGSVRTDSYSQTNFQWGPFSRAGGASSVAQAATVVQQAYEKVKREREEAQAATKREEALKKKNNVSKRTGGVSSGGYSNSAKRRKTMEDVGLSNHENNATNQMAAGGASTSDISGSKKGNFGTGSVSGVTQPCGTRDVSQFGIQTLLMEKARKEISQKLNEWNSATATISSVKNEKSNVKENDGGETSEGKPEMCDQNKFAQQLDPDNGIHGIKLSSGSSSTKADLDPSETMSIDVPDPDFHNFDKDRTENCFEENQVWAAYDGDDGMPRYYAMVHKVISLNPFKMRISWLNSKTNTELGPLNWVGSGFSKTCGEFRVGRYEVYNSLNSFSHKVKWTKGSRGVICIYPKKGDVWALYRNWSPEWNELTEDEVIHKYDMVEVLEDYREELGVTVAPLVKVAGFKTVFHQHLDPGEIRRIPKEEMFRFSHHVPSYLLTGQEGPNAPKGCRELDPAATPLELLQVIIDVKEEEILVEGAKQEKR >Manes.07G089900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28208430:28216247:1 gene:Manes.07G089900.v8.1 transcript:Manes.07G089900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAAKAKEIAEKKFFAKDLAGAKRFALKAQNLYPGLEGVMQMVATLDVYISAENKINGEPDWYGILGVDPKADDDTVRKQYRKLALMLHPDKNKSVGADGAFKLISEAWSLLSDKSKRAVHDQKRRNSKVSSQKATNPAGGPSTTAGSGGFYNFAKSSAKAHKSTPRTGHSSTPVASQKSKPNTFWTVCHRCKMQYEYLRVYLNHNLLCPNCHEPFLAVETAPPPSNGSKTSTTWNFSQPRQGSSHHAASKNTSNSASNNVPPPNAGSVRTDSYSQTNFQWGPFSRAGGASSVAQAATVVQQAYEKVKREREEAQAATKREEALKKKNNVSKRTGGVSSGGYSNSAKRRKTMEDVGLSNHENNATNQMAAGGASTSDISGSKKGNFGTGSVSGVTQPCGTRDVSQFGIQTLLMEKARKEISQKLNEWNSATATISSVKNEKSNVKENDGGETSEGKPEMCDQNKFAQQLDPDNGIHGIKLSSGSSSTKADLDPSETMSIDVPDPDFHNFDKDRTENCFEENQVWAAYDGDDGMPRYYAMVHKVISLNPFKMRISWLNSKTNTELGPLNWVGSGFSKTCGEFRVGRYEVYNSLNSFSHKVKWTKGSRGVICIYPKKGDVWALYRNWSPEWNELTEDEVIHKYDMVEVLEDYREELGVTVAPLVKVAGFKTVFHQHLDPGEIRRIPKEEMFRFSHHVPSYLLTGQEGPNAPKGCRELDPAATPLELLQVIIDVKEEEILVEGAKQEKR >Manes.07G007400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:885058:886686:1 gene:Manes.07G007400.v8.1 transcript:Manes.07G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLPTEVLLEILVRLPAKSLVRFSCVSKSWYFLIFSPDFNFMYTQFTSTINKDKPAQIMIRNYSRTYGKEMFTMHNDDMSFGDYQILDCPFKSTYGYLLDVIGSCNGLVCLTDRNVPMNRGWYATESPIPTPKPIFLWNPSLGISMNLPLIGDAFNRVVLGLGFDSINFDYKVVRIEFCYGAVCEIDIFRLSEDSWRRFGGKRKVGFPSYYDMRSPQAFVNGNINWIGCYIEEDEEEITCKTLIVATFDVGKEVFGDLEVPKELETGGDDEFQLSLVVLHKSLSLIRYEDGEYPCFNRCCIWMMHEYGVAESWTKMYTISPYNGFSRTLNIRTNGELLLVTSNGGLVSFDPESRSIRQLKVYGEQFSFVVGTYMESLVLSEGFSRILLQAAFSKATPHDKARGNYSVIKPSRLKRNRIWKNSNNQRD >Manes.02G205600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18045094:18047903:-1 gene:Manes.02G205600.v8.1 transcript:Manes.02G205600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMFLSVVLAVLFLLLALNFCLRKCMQHRNLPPSPPALPIIGHLHLVNLPLHRSLHALSLKYGPIISLRFGSRRVMVISSPSAVEECLTTNDIVFANRPSLTVQKYVGYNNTTLATASYGDHWRNLRRISSLEVFSSSRLNSFKDIRRDEIKIFLKKLYNLSSHDFAKVELKPMLTELTFNIIMRMVAGKRYYGEEVAGKDKAEAEQFREMIMETFAYAGASYLGDFLTFLKWIDYQGFVKRVMRLAKRTDRFLQNLIDEHRRDDKTRPERRKDTMIGHLISMQESQPEYYTDEVIKGLVLDIVFGGTESSAVTLEWAMSNLLNHPEILEKAKDDLDSQIGEESLMDESDISKLPYLQNIISETLRLHPPGPLLLPHLSSQECSVGGYHVEPNTMLLVNQWAIHRDPELWDDATEFKPERFDSSAGQGSEAYKYFPFGLGRRSCPGMALANRVVGFSLGSVIQCFEWKRVSDQEIEMSEGRGLSMPKAEPLEAMCKVRNIMKNVLSSSF >Manes.S020275.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:201376:201750:1 gene:Manes.S020275.v8.1 transcript:Manes.S020275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.02G035800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2970862:2975374:-1 gene:Manes.02G035800.v8.1 transcript:Manes.02G035800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSSFAAFFLIHICLLLTLCFAEDPFVTYSFEISYITASPLGVPQQVIAINGKFPGPTINVTTNNNVVVNVRNKLDESLLMHWSGIQQRRSSWQDGLAGTNCPIHPKWNWTYQFQVKDQIGSFFYFPSLHMQRASGGYGSFIINNRPIIPIPFDTPFGDIVILIGDWYKRNHTALRRALDEGKDLGMPDGVLINGKGPYQYNTTLVPDGIDYETIEVHPGKTYRLRVHNVGTSTSLNFRIQNHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESQWKRVTGVAVLHYTNSKGKAKGPLPDAPNDEFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEVYVLKNKPPVTINGKNRTTLSGISFVNPSTPIRLADQFKVKGVYKLDFPSKPLEGPPKMETSVINGTYRGFMEVILQNNDTKMQSYHMSGYAFFVVGMDYGEWTDNSRGTYNKWDGIARSTIQVYPGAWTAILVSLDNVGVWNLRTENLDSWYLGQETYVRVVNPEATNKTELPLPENALFCGSLSRMQKPQDISSFAASIKGDKSKLFLTLLMIACATMCIFR >Manes.07G143100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34256957:34258547:1 gene:Manes.07G143100.v8.1 transcript:Manes.07G143100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGGSCSSVEKVMGNYGDQPHVLAVDDNLIDRKLVEKLFKNSSCKVTTAENGLKALEYLGLGVDGRNKLDTNVSKVNLIITDYSMPGMTCYELLKKIKESSIMKEVPVVIMSSENIPTRITKCLEEGAQMFMLKPLKQSDVKKLRAFVGFKLSTQGYRIQKFCGKPTDCKVGLQWAYVLCRTITFHMRDTLAQHELQPAIANPTKALCR >Manes.14G153000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17875968:17883202:1 gene:Manes.14G153000.v8.1 transcript:Manes.14G153000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVANSFDLWQKDAFFSAAEEVQESADVMQSAYRMWIREKKEGSNPEYLDELSRDLQTALGTAKWQLEEFERAVRLSHGHRSDDIAASRHKQFVAAIESQIADVEAALREAFSEEGKQPLQWVTLNKEECDDLALFLSGTPQNPQIGKDKSTEHRLVECPLMEIRHMRKDVEHNLNATCSAGSYNEKDVNDVVTINKHDEFIIDLEGRETSRMKDDIICQSDKTIGARRTWGSPNVDALKIVIAEESEHRNGSMPRIEATPKEKGSKRLFWKPRSGEYSRAKGSSYMFNQLFGQVGGFQRQASSNLQFSCSVQLTLALMLSIFLIVPFLLYSA >Manes.14G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17875971:17883202:1 gene:Manes.14G153000.v8.1 transcript:Manes.14G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVANSFDLWQKDAFFSAAEEVQESADVMQSAYRMWIREKKEGSNPEYLDELSRDLQTALGTAKWQLEEFERAVRLSHGHRSDDIAASRHKQFVAAIESQIADVEAALREAFSEEGKQPLQWVTLNKEECDDLALFLSGTPQNPQIGKDKSTEHRLVECPLMEIRHMRKDVEHNLNATCSAGSYNEKDVNDVVTINKHDEFIIDLEGRETSRMKDDIICQSDKTIGARRTWGSPNVDALKIVIAEESEHRNGSMPRIEATPKEKGSKRLFWKPRSGEYSRAKGSSYMFNQLFGQVGGFQRQASSNLQFSCSVQLTLALMLSIFLIVPFLLYSA >Manes.14G153000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17876247:17878688:1 gene:Manes.14G153000.v8.1 transcript:Manes.14G153000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVANSFDLWQKDAFFSAAEEVQESADVMQSAYRMWIREKKEGSNPEYLDELSRDLQTALGTAKWQLEEFERAVRLSHGHRSDDIAASRHKQFVAAIESQIADVEAALREAFSEEGKQPLQWVTLNKEECDDLALFLSGTPQNPQIGKDKSTEHRLVECPLMEIRHMRKDVEHNLNATCSAGSYNEKDVNDVVTINKHDEFIIDLEGRETSRMKDDIICQSDKTIGARRTWGSPNVDALKIVIAEESEHRNGSMPRIEATPKEKGSKRLFWKPRSGEYSRAKGSSYMFNQLFGQVGGFQRQASSNLQFSCSVQLTLALMLSIFLIGKFFIIFNF >Manes.10G014500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1464283:1468477:-1 gene:Manes.10G014500.v8.1 transcript:Manes.10G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVWIFLLHIILLGYIHANSLLGYALQFQNETDKLSLLAIKDAITVDPHGIFSSWNNSLHHCQWKGISCSTRHPGRVTILNLSSQDLAGFLSPHIGNLSFLRSVFIQNNSFHGEIPQQIGNLFRLHILYLNNNSFQGEIPKNLTNCKDLREIKLIANNLTGKLPVELRSLQNLAVLDLSLNNFPGKISPAIGNFSSLTFLGLLEARLEGIIPEEIGKLTRLQTLLIGGNNLTGTIPSSFFNLSSLTHVSLAFNNLEGSLPGDIGVRFPNIKGLVIGGNRFTGAIPISLSNASQLEVISFPLNRFTGPVPEELGSLANLSWIGLNDNMLGTRTGDDLSFISYLTNCSKLQKLFLGRNLLKGPLPNSIANLSSQIRFLSIGKNQIYGNLPSEIGNLVNLNSLDLQDNMLHGSIPPTIGNLQHLFQLYFHGNKFTGQIPSTLGNLTFLYDLTFGENNLLGNIPPSLGNCRNLLNLYLALNKLDGHIPVSMFGLSSILFIDLSFNSFTGSLPPDVGNLKQLESLDVSQNKLSGSIPSTLGSCLSLMSLRMNGNSFQGTIPQTLSALRGLNELDISSNNLSGMLPEDLGGLPFLQILNISFNDLEGEVPETGIFKNSSAISLVGNKKLCGGIPELKFPACTFLHPNQRRISRALKVIVPSIVVPICSAVLLGLLILRYRKNNSVVNPSSASVENTLIRISYQELFQATAGFSEAKLIGSGSHGTVYKGVLHKTRSFIAVKVFDFHCRGASKSFTSECKALRNVRHRNLIKILSVCSTVDYQGNDFRAIIYEFMPGGSLESWLHPQKDVNKEDICRRLNLEQRLNIAIDIASALEYLHCHCQPSIVHCDLKPSNVLLDNDMVAHVGDFLAQVLSRTSINLNDDKSSSAIIKGSIGYVAPEYGIGNGANVQGDVYSFGILLLEMFTAKRPTDNKFQAGLNLHNFTRKALPNRVMDIVDQKLISDEETTGGKIQEFMVSVLKIGISCSVETPGDRMKIRDAVRELQRIKEAYGSLWNRTLLPYK >Manes.10G014500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1464283:1468477:-1 gene:Manes.10G014500.v8.1 transcript:Manes.10G014500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVWIFLLHIILLGYIHANSLLGYALQFQNETDKLSLLAIKDAITVDPHGIFSSWNNSLHHCQWKGISCSTRHPGRVTILNLSSQDLAGFLSPHIGNLSFLRSVFIQNNSFHGEIPQQIGNLFRLHILYLNNNSFQGEIPKNLTNCKDLREIKLIANNLTGKLPVELRSLQNLAVLDLSLNNFPGKISPAIGNFSSLTFLGLLEARLEGIIPEEIGKLTRLQTLLIGEGSLPGDIGVRFPNIKGLVIGGNRFTGAIPISLSNASQLEVISFPLNRFTGPVPEELGSLANLSWIGLNDNMLGTRTGDDLSFISYLTNCSKLQKLFLGRNLLKGPLPNSIANLSSQIRFLSIGKNQIYGNLPSEIGNLVNLNSLDLQDNMLHGSIPPTIGNLQHLFQLYFHGNKFTGQIPSTLGNLTFLYDLTFGENNLLGNIPPSLGNCRNLLNLYLALNKLDGHIPVSMFGLSSILFIDLSFNSFTGSLPPDVGNLKQLESLDVSQNKLSGSIPSTLGSCLSLMSLRMNGNSFQGTIPQTLSALRGLNELDISSNNLSGMLPEDLGGLPFLQILNISFNDLEGEVPETGIFKNSSAISLVGNKKLCGGIPELKFPACTFLHPNQRRISRALKVIVPSIVVPICSAVLLGLLILRYRKNNSVVNPSSASVENTLIRISYQELFQATAGFSEAKLIGSGSHGTVYKGVLHKTRSFIAVKVFDFHCRGASKSFTSECKALRNVRHRNLIKILSVCSTVDYQGNDFRAIIYEFMPGGSLESWLHPQKDVNKEDICRRLNLEQRLNIAIDIASALEYLHCHCQPSIVHCDLKPSNVLLDNDMVAHVGDFLAQVLSRTSINLNDDKSSSAIIKGSIGYVAPEYGIGNGANVQGDVYSFGILLLEMFTAKRPTDNKFQAGLNLHNFTRKALPNRVMDIVDQKLISDEETTGGKIQEFMVSVLKIGISCSVETPGDRMKIRDAVRELQRIKEAYGSLWNRTLLPYK >Manes.10G014500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1464283:1468477:-1 gene:Manes.10G014500.v8.1 transcript:Manes.10G014500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVWIFLLHIILLGYIHANSLLGYALQFQNETDKLSLLAIKDAITVDPHGIFSSWNNSLHHCQWKGISCSTRHPGRVTILNLSSQDLAGFLSPHIGNLSFLRSVFIQNNSFHGEIPQQIGNLFRLHILYLNNNSFQGEIPKNLTNCKDLREIKLIANNLTGKLPVELRSLQNLAVLDLSLNNFPGKISPAIGNFSSLTFLGLLEARLEGIIPEEIGKLTRLQTLLIGGDIGVRFPNIKGLVIGGNRFTGAIPISLSNASQLEVISFPLNRFTGPVPEELGSLANLSWIGLNDNMLGTRTGDDLSFISYLTNCSKLQKLFLGRNLLKGPLPNSIANLSSQIRFLSIGKNQIYGNLPSEIGNLVNLNSLDLQDNMLHGSIPPTIGNLQHLFQLYFHGNKFTGQIPSTLGNLTFLYDLTFGENNLLGNIPPSLGNCRNLLNLYLALNKLDGHIPVSMFGLSSILFIDLSFNSFTGSLPPDVGNLKQLESLDVSQNKLSGSIPSTLGSCLSLMSLRMNGNSFQGTIPQTLSALRGLNELDISSNNLSGMLPEDLGGLPFLQILNISFNDLEGEVPETGIFKNSSAISLVGNKKLCGGIPELKFPACTFLHPNQRRISRALKVIVPSIVVPICSAVLLGLLILRYRKNNSVVNPSSASVENTLIRISYQELFQATAGFSEAKLIGSGSHGTVYKGVLHKTRSFIAVKVFDFHCRGASKSFTSECKALRNVRHRNLIKILSVCSTVDYQGNDFRAIIYEFMPGGSLESWLHPQKDVNKEDICRRLNLEQRLNIAIDIASALEYLHCHCQPSIVHCDLKPSNVLLDNDMVAHVGDFLAQVLSRTSINLNDDKSSSAIIKGSIGYVAPEYGIGNGANVQGDVYSFGILLLEMFTAKRPTDNKFQAGLNLHNFTRKALPNRVMDIVDQKLISDEETTGGKIQEFMVSVLKIGISCSVETPGDRMKIRDAVRELQRIKEAYGSLWNRTLLPYK >Manes.15G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9490488:9493649:-1 gene:Manes.15G119100.v8.1 transcript:Manes.15G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLSFLLFTLILSLSAKARNALRNPTSYSALGHDDATPQGSNFTFVCDPSRYASLGLDMSNFAFCDSSLSYDVRARDLVNHMTLHEKVRQLGDLAYGVPRLGLPKYEWWSEALHGVSNVGPGTFFDDLVPGATSFPSVILTTASFNESLWKNIGQVVSTEARAMYNLGRAGLTYWSPTINVVRDPRWGRAIETPGEDAFVVGTYASNYVRGLQDVEGTENYTDLNSRPLKVSSCCKHFAAYDVDNWKGVERYSFDARVTEQDMVETFLRPFEMCVKDGDVSSIMCSYNRVNGVPTCADPKLLNQTIRGDWNLHGYIVSDCDSIQVLVDNHKYLGDTKEDAVAQTLRAGLDLDCGIYYTNFTQKSVEQGKAREEHIDRSLTYLYVVLMRLGFFDGIPQFQSLGKKDICSKQHIELAAQAAREGIVLLKNDNDTLPLRSDKIKTLAVVGPHANATSAMLGNYAGVPCRIISPIDGFSRHADVDYKIGCDVTCKNESLIFPATKAAKKAGATIIVAGIDLSVEAESLDREDLLLPGYQTQFINQVANAAKGPVILVIMSAGGIDISFAKSNSNIKAILWAGYPGQEGGRAIADVVFGKYNPGGKLPLTWHEADYVDQLPMTYLQLRPDDINGYPGRTYRFFNGSIVYPFGYGLSYTKFKYNLTSSSSSVHIKLNRLQHCRPLIYDKDSFRPPCPSVVTDHLSCDDDIKFEVEVANVGSRDGSEVVMVYSKPPEGIVGAHIKQVIGFKRLFVKAGSRKKVKFNLNLCKSLRLIDYSAYSVLPSGKHTIVLGDDIVSFPLQISFN >Manes.01G073400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27564741:27569171:1 gene:Manes.01G073400.v8.1 transcript:Manes.01G073400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGGRDPFSDFGDPFGGFGGFGGFGSQRSLMSSFFGGRDPFDDPFFTRPFGGMFESSFFGSNGSPFASMHPSAFLEHQAPEPKLSRGPIIEELNSDDEKDEADKDKKENPRKHGRSSKGPYVEDPDDDDAEERKNKHLQYINSRNKFQYMSQQPQTRSFTFQSSTVTYGGPNGAYHTSSKSRRTGSDGVMIEESKEADSATRQANHKISRGLHNKGHTLERRLNSDGKVDTMQTLHNLQEDELAGFEEAWKGNARHSLPGWNGSFSRHDGAGVGGQNSRAGRGGWALPSTEHTQHLNRTNVRDRAGSSQMQQSGVMKGSSDLKDKSVYSRGRTRD >Manes.01G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27564741:27569171:1 gene:Manes.01G073400.v8.1 transcript:Manes.01G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRGGRDPFSDFGDPFGGFGGFGGFGSQRSLMSSFFGGRDPFDDPFFTRPFGGMFESSFFGSNGSPFASMHPSAFLEHQAPEPKLSRGPIIEELNSDDEKDEADKDKKENPRKHGRSSKGPYVEDPDDDDAEERKNKHLQYINSRNKFQYMSQQPQTRSFTFQSSTVTYGGPNGAYHTSSKSRRTGSDGVMIEESKEADSATRQANHKISRGLHNKGHTLERRLNSDGKVDTMQTLHNLQEDELAGFEEAWKGNARHSLPGWNGSFSRHDGMGAGVGGQNSRAGRGGWALPSTEHTQHLNRTNVRDRAGSSQMQQSGVMKGSSDLKDKSVYSRGRTRD >Manes.16G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26243319:26248627:-1 gene:Manes.16G068400.v8.1 transcript:Manes.16G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRDEGSLVINNNNVFAALETLRKKKKSDKERGTSKSGKGSSKSRQPEQQQKEPKPEVFWAPAPLNAKSWADVDDEDDDDYFATTAPPQVVWGSSEAHQSDDKPTNIEESESEEDILDEGDDEVDEDHDHEPEVPMHPEPGVKKLPEVSAVPKETERQLSKKERKKKELAELEALLADFGVSQKDSNGEDQSRDAPQERKDAELDAEGEKKGSAPGESKSAKKKKKKDKTKEVKEPKDQLNSSEVSNRADEVAGTEQVEEDSSAVDVKERLKRMTSAKKKKSSKEMDNAGKAAAQEAAARSAKLAAAKKKEKNHYNQQPVR >Manes.12G098060.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23277834:23279172:1 gene:Manes.12G098060.v8.1 transcript:Manes.12G098060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIYLCLDFILNCEQIFFLYYMCLFYATFWSGNSKFFLFGCFDHVLICSSVMLMFQCQSHLVLYYVVFKGQTIAGYSGTIGFIPLL >Manes.16G066400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26888689:26892369:1 gene:Manes.16G066400.v8.1 transcript:Manes.16G066400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACAYPASRVRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKTNFREGTQATPRNKGAAAASS >Manes.12G101202.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:26894121:26894749:1 gene:Manes.12G101202.v8.1 transcript:Manes.12G101202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLYHFTSSLSQVPIPLPHTASHLPTSLSLKPQSQLKHADGRESLLTVLVPSPFSSFSSVPQPRPPTHPVASPAATHPPTDPPTCESQPESLLSILRVFSPAATAIHPPSGTFPFALQIFRR >Manes.13G125400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33296493:33298307:-1 gene:Manes.13G125400.v8.1 transcript:Manes.13G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKERTTRTRGAFQNIHQNTLQSKMAELSLIKEDLQKEKGSTTQSYFAYRTLTDELGKLQSTLAVVKNRTSMSTSMSNAMILELVSKLEAINMSIRSKMEEEVEMKQTINEINEAMDEARKEKETNKRDMEEERRVRSELKQVLRMRRQKLRTLQLQIQAVERESEAFLASAAEALELLNNSRTDNNCTIQLTMEEYKDMKERAKEETTLAEWRVSLFMKQQLAAEVNRNMALSRLKELRRRKSKEKEKNEDAQITREIEEHQPSPAREEGQVKKREALSKPPGKAAGKLSKNSRKNKRRGKKKKQSILFQIRRFFVRNISRFFR >Manes.15G165400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14016907:14020645:1 gene:Manes.15G165400.v8.1 transcript:Manes.15G165400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRELEDSGEMSRSNNSIKAVMAKLTEIESLLEAFEGCRGVFHTSAFTDPAGLSGYTKSMAEVEVKAGENVIMACSRTPSVRNCVLTSSLLACLWRDASTQDLSPVINHESWSDESLCIDKKLWYALGKLRAEKAAWRIAKERGLKLVTICPGLITGPDFFRRNPTATIAYLKGAREMFADGLLATVDVMKLAEAHACLLEAMNKTAFGRYIYFDHVIDGEKEADKLAKKIGMPAEKICGNESINFLARFELSNKKLLNLMSRTLRSCYNER >Manes.15G165400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14016908:14020645:1 gene:Manes.15G165400.v8.1 transcript:Manes.15G165400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRELEDSGEMSRSNNSIKAVMAKLTEIESLLEAFEGCRGVFHTSAFTDPAGLSGYTKSMAEVEVKAGENVIMACSRTPSVRNCVLTSSLLACLWRDASTQDLSPVINHESWSDESLCIDKKLWYALGKLRAEKAAWRIAKERGLKLVTICPGLITGPDFFRRNPTATIAYLKGAREMFADGLLATVDVMKLAEAHACLLEAMNKTAFGRYIYFDHVIDGEKEADKLAKKIGMPAEKICGNESINFLARFELSNKKLLNLMSRTLRSCYNER >Manes.15G165400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14016260:14020645:1 gene:Manes.15G165400.v8.1 transcript:Manes.15G165400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIESEDRRLMEMEELKNRMLLACADLSRRKDQEDFQGSRLSSSDFDVDDHCGQKLVCVTSGVSFLGLAIVNRLLRRGYSVRIIVHTEEDMEKLRELEDSGEMSRSNNSIKAVMAKLTEIESLLEAFEGCRGVFHTSAFTDPAGLSGYTKSMAEVEVKAGENVIMACSRTPSVRNCVLTSSLLACLWRDASTQDLSPVINHESWSDESLCIDKKLWYALGKLRAEKAAWRIAKERGLKLVTICPGLITGPDFFRRNPTATIAYLKGAREMFADGLLATVDVMKLAEAHACLLEAMNKTAFGRYIYFDHVIDGEKEADKLAKKIGMPAEKICGNESINFLARFELSNKKLLNLMSRTLRSCYNER >Manes.15G165400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14016317:14020646:1 gene:Manes.15G165400.v8.1 transcript:Manes.15G165400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVKAGENVIMACSRTPSVRNCVLTSSLLACLWRDASTQDLSPVINHESWSDESLCIDKKLWYALGKLRAEKAAWRIAKERGLKLVTICPGLITGPDFFRRNPTATIAYLKGAREMFADGLLATVDVMKLAEAHACLLEAMNKTAFGRYIYFDHVIDGEKEADKLAKKIGMPAEKICGNESINFLARFELSNKKLLNLMSRTLRSCYNER >Manes.08G128400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36932941:36936121:1 gene:Manes.08G128400.v8.1 transcript:Manes.08G128400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNWVTCVYQCRIRGRSCLITITWSKNLMGQGLSIGMDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGFGPEPLEGFYVGVVVDGQMVLLLGDMTKEAFKKTSASLIPSNAVLVSKREHVFGKKVFSTRAQFCDNGPIHDLVIECDTIGISDPFLVVRVDCKTVMQVKRLRWKFRGNHTILVDGLAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQPHTDPNVLPWSFSQRVLDSKSQNLGFSLILYAWKNE >Manes.08G128400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36932827:36936493:1 gene:Manes.08G128400.v8.1 transcript:Manes.08G128400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNWVTCVYQCRIRGRSCLITITWSKNLMGQGLSIGMDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGFGPEPLEGFYVGVVVDGQMVLLLGDMTKEAFKKTSASLIPSNAVLVSKREHVFGKKVFSTRAQFCDNGPIHDLVIECDTIGISDPFLVVRVDCKTVMQVKRLRWKFRGNHTILVDGLAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQPHTDPNVLPWSFSQRVLDSKSQNLGFSLILYAWKNE >Manes.08G128400.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36932826:36936043:1 gene:Manes.08G128400.v8.1 transcript:Manes.08G128400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNWVTCVYQCRIRGRSCLITITWSKNLMGQGLSIGMDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGFGPEPLEGFYVGVVVDGQMVLLLGDMTKEAFKKTSASLIPSNAVLVSKREHVFGKKVFSTRAQFCDNGPIHDLVIECDTIGISDPFLVVRVDCKTVMQVKRLRWKFRGNHTILVDGLAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQPHTDPNVLPWSFSQRVLDSKSQNLGFSLILYAWKNE >Manes.08G128400.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36932941:36935419:1 gene:Manes.08G128400.v8.1 transcript:Manes.08G128400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNWVTCVYQCRIRGRSCLITITWSKNLMGQGLSIGMDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGFGPEPLEGFYVGVVVDGQMVLLLGDMTKEAFKKTSASLIPSNAVLVSKREHVFGKKVFSTRAQFCDNGPIHDLVIECDTIGISDPFLVVRVDCKTVMQVKRLRWKFRGNHTILVDGLAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQPHTDPNVLPWSFSQRVLDSKSQNLGFSLILYAWKNE >Manes.08G141900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37839813:37844501:1 gene:Manes.08G141900.v8.1 transcript:Manes.08G141900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Manes.08G141900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37839759:37844501:1 gene:Manes.08G141900.v8.1 transcript:Manes.08G141900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Manes.08G141900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37840574:37844060:1 gene:Manes.08G141900.v8.1 transcript:Manes.08G141900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGFHPFFYSYGKGRKLRNCLFFRFFAFCGFYSYTFFLQSVPSMSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Manes.08G141900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37839725:37844501:1 gene:Manes.08G141900.v8.1 transcript:Manes.08G141900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Manes.08G141900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37839607:37844501:1 gene:Manes.08G141900.v8.1 transcript:Manes.08G141900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Manes.16G073200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27539965:27548361:-1 gene:Manes.16G073200.v8.1 transcript:Manes.16G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSRLLRTLHPLFPSSAPMARVFSRATSLPLSNPLLTTAFSSIHSSSSLSFTPKFNRFRVLWLSKHSAFGKNFHALSGEGDGRLLRPTGGRVWAAQRGYRKVRRRAVPKIREKGLELNVSICIEEKLPDDPEILSIAELLRLNVPMAMKLAFDDLKDSVHKTRDMAIRDVGGFESVELSVLLCNDEFIRELNKEWRDEDHATDVLSMSQHVPQLKLPILMLGDIVISVETAARQAEERGHTLIDEIRILLVHGLLHLLGFDHEISEEAEAEMEKEEELLLKSLGWKGKGLIQSAYDAETNVNLQTENLHDRKKEGCLRFYKPKFNYIFCDMDGTLLNSRSQISWTNAKALKEALSRGVKVVIATGKARPAAISILKKVELAGKDGVISELSPGVFLQGLLVYGRQGREIFRSNLDPSVCREACLYSLEHKVPLVAFSNDRCLTLFDHPIVDSLHAIYHEPKAEIMPSVEHLLAAADIQKLIFLDTVDGVASSLRPYWSQATGDRADVVQAIPYMLEIVPRGTSKGSGVKLLLDHLGITAKEVMAIGDGENDIEMLELASLGIALSNGSEKTKAVADIIGASNDEDGVADAIYRYAF >Manes.16G073200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27539965:27548361:-1 gene:Manes.16G073200.v8.1 transcript:Manes.16G073200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSRLLRTLHPLFPSSAPMARVFSRATSLPLSNPLLTTAFSSIHSSSSLSFTPKFNRFRVLWLSKHSAFGKNFHALSGEGDGRLLRPTGGRVWAAQRGYRKVRRRAVPKIREKGLELNVSICIEEKLPDDPEILSIAELLRLNVPMAMKLAFDDLKDSVHKTRDMAIRDVGGFESVELSVLLCNDEFIRELNKEWRDEDHATDVLSMSQHVPQLKLPILMLGDIVISVETAARQAEERGHTLIDEIRILLVHGLLHLLGFDHEISEEAEAEMEKEEELLLKSLGWKGKGLIQSAYDAETNVNLQTENLHDRKKEGCLRFYKPKFNYIFCDMDGTLLNSRSQISWTNAKALKEALSRGVKVVIATGKARPAAISILKKVELAGKDGVISELSPGVFLQGLLVYGRQGREIFRSNLDPSVCREVMAIGDGENDIEMLELASLGIALSNGSEKTKAVADIIGASNDEDGVADAIYRYAF >Manes.16G073200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27539965:27548361:-1 gene:Manes.16G073200.v8.1 transcript:Manes.16G073200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSRLLRTLHPLFPSSAPMARVFSRATSLPLSNPLLTTAFSSIHSSSSLSFTPKFNRFRVLWLSKHSAFGKNFHALSGEGDGRLLRPTGGRVWAAQRGYRKVRRRAVPKIREKGLELNVSICIEEKLPDDPEILSIAELLRLNVPMAMKLAFDDLKDSVHKTRDMAIRDVGGFESVELSVLLCNDEFIRELNKEWRDEDHATDVLSMSQHVPQLKLPILMLGDIVISVETAARQAEERGHTLIDEIRILLVHGLLHLLGFDHEISEEAEAEMEKEEELLLKSLGWKGKGLIQSAYDAETNVNLQTENLHDRKKEGCLRFYKPKFNYIFCDMDGTLLNSRSQISWTNAKALKEALSRGVKVVIATGKARPAAISILKKVELAGKDGVISELSPGVFLQGLLVYGRQGREIFRSNLDPSVCREACLYSLEHKVPLVAFSNDRCLTLFDHPIVDSLHAIYHEPKAEIMPSVEHLLAAADIQVMAIGDGENDIEMLELASLGIALSNGSEKTKAVADIIGASNDEDGVADAIYRYAF >Manes.14G008100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:434094:436703:1 gene:Manes.14G008100.v8.1 transcript:Manes.14G008100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVVGGKLKLKGKALNVKPGGLKKKKKQKKHYDQVSEVLENELSADQKTEELTVTGEDVVNENGKVSEEGKAAAYDDHLTPAERRYIEQREKIDIHRMAKEADKSHRDRIQGFNQYLANMSEHYDIPKVGPG >Manes.14G008100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:434296:436718:1 gene:Manes.14G008100.v8.1 transcript:Manes.14G008100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVVGGKLKLKGKALNVKPGGLKKKKKQKKHYDQVSEVLENELSADQKTEELTVTGEDVVNENGKVSEEGKAAAYDDHLTPAERRYIEQREKIDIHRMAKEADKSHRDRIQGFNQYLANMSEHYDIPKVGPG >Manes.14G008100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:434296:436703:1 gene:Manes.14G008100.v8.1 transcript:Manes.14G008100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVVGGKLKLKGKALNVKPGGLKKKKKQKKHYDQVSEVLENELSADQKTEELTVTGEDVVNENGKVSEEGKAAAYDDHLTPAERRYIEQREKIDIHRMAKEADKSHRDRIQGFNQYLANMSEHYDIPKVGPG >Manes.04G008400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1051874:1054407:1 gene:Manes.04G008400.v8.1 transcript:Manes.04G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISPSSPLKSLVPTRRRLARLTPNKLILHCIYRSDSVHFSNGVGSSRADWQSSCAILASKVVSQQQPTDISGGDSVGGGGGGGDHVAAVNGHKTSIDLNLIPLNKGSTDDGNSSNNKPMKPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYITRVISHPQALAQCELTLTKLGLQAAREAVDDTAGAAEYIAANNLRDTAAIASARAAELYGMQILADGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSRGD >Manes.02G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1088553:1091894:-1 gene:Manes.02G010400.v8.1 transcript:Manes.02G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETRLVRICIEAACETRESVEKWRRQRRTLERIPSLLADAILRRLIHRGLLFPSLLEVFKHSVEVVDLRGENAVDAEWIAYLGAFRCLSSLNLADCHRINSSALWALTGMTSLRELDLSRCAKVTDAGIKHLLSISTLEVLRISETGLTANGVTLISALRNLSVLDLGGLPVTDAALSSLQVLKKLEYLDLWGSNITNKATTVLQLFPKLSFLNLAWTSITRLPNLSSLECLNLSNCVIESVSEGDGNKAPLTKLVYSGTTFANDADVFLHIEPTFLSFLDVSNSSLQRFYFLTCMKMLEHLDLSSSMIGDDSVEFIACIGSTLRNLNLCKTRVTTAGVEILAQHVPNLEFLSLSHTPIDDLAISYIGTIPSLKVVDLSNTNITGFIHQVGEEANLIPSLTALQRLNCLQSLNLEHTQVRDVALDAISSFQELSHLSLKSASLTDVALHHLSPLSKLTNLAFCDAVLTNSALDLFIPPVYLKMLDLRGCWLLTEDAISSFCKKHPLIKVKHELLDVSPSEQSSCNRSSPSRILLRPSQMNGKQGKMPLSPYFIDQRLKYSREELLSLQCQASSHGSSDA >Manes.02G010400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1088553:1091894:-1 gene:Manes.02G010400.v8.1 transcript:Manes.02G010400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFAASSIAAFFSLLCSKWIAYLGAFRCLSSLNLADCHRINSSALWALTGMTSLRELDLSRCAKVTDAGIKHLLSISTLEVLRISETGLTANGVTLISALRNLSVLDLGGLPVTDAALSSLQVLKKLEYLDLWGSNITNKATTVLQLFPKLSFLNLAWTSITRLPNLSSLECLNLSNCVIESVSEGDGNKAPLTKLVYSGTTFANDADVFLHIEPTFLSFLDVSNSSLQRFYFLTCMKMLEHLDLSSSMIGDDSVEFIACIGSTLRNLNLCKTRVTTAGVEILAQHVPNLEFLSLSHTPIDDLAISYIGTIPSLKVVDLSNTNITGFIHQVGEEANLIPSLTALQRLNCLQSLNLEHTQVRDVALDAISSFQELSHLSLKSASLTDVALHHLSPLSKLTNLAFCDAVLTNSALDLFIPPVYLKMLDLRGCWLLTEDAISSFCKKHPLIKVKHELLDVSPSEQSSCNRSSPSRILLRPSQMNGKQGKMPLSPYFIDQRLKYSREELLSLQCQASSHGSSDA >Manes.02G010400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1088553:1091894:-1 gene:Manes.02G010400.v8.1 transcript:Manes.02G010400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETRLVRICIEAACETRESVEKWRRQRRTLERIPSLLADAILRRLIHRGLLFPSLLEVFKHSVEVVDLRGENAVDAEWIAYLGAFRCLSSLNLADCHRINSSALWALTGMTSLRELDLSRCAKVTDAGIKHLLSISTLEVLRISETGLTANGVTLISALRNLSVLDLGGLPVTDAALSSLQVLKKLEYLDLWGSNITNKATTVLQLFPKLSFLNLAWTSITRLPNLSSLECLNLSNCVIESVSEGDGNKAPLTKLVYSGTTFANDADVFLHIEPTFLSFLDVSNSSLQRFYFLTCMKMLEHLDLSSSMIGDDSVEFIACIGSTLRNLNLCKTRVTTAGVEILAQHVPNLEFLSLSHTPIDDLAISYIGTIPSLKVVDLSNTNITGANSSLKFLCLGFCILIPLHNDIKT >Manes.05G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19147377:19149427:1 gene:Manes.05G131600.v8.1 transcript:Manes.05G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKAQKTKAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFSNKDIVAQIISASIAGDMVLASAYSHELPRYGLEVGLTNYAAAYCTGLLLARRTLKMLEMDEEYEGNVEATGEDFSVEPAESRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDGKQLDAEVHRKYIYGGHVAAYMRVIWLTHFMMLHVL >Manes.05G131600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19147377:19150499:1 gene:Manes.05G131600.v8.1 transcript:Manes.05G131600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKAQKTKAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFSNKDIVAQIISASIAGDMVLASAYSHELPRYGLEVGLTNYAAAYCTGLLLARRTLKMLEMDEEYEGNVEATGEDFSVEPAESRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDGKQLDAEVHRKYIYGGHVAAYMRTLMEDEPEKYQLHFSEYLKRGIEADGMEEMYKKVHAAIRADPTAKKSEKQPPKEHKRYNLKKLTYEERKAKLIERLQALNSAADDEDDE >Manes.16G035300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4352219:4355900:1 gene:Manes.16G035300.v8.1 transcript:Manes.16G035300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISLKKTKEDSSKRVKRSAAAKSNGFKWKNRPRRESAASADGNPNVPVEDLAATRIQTAFRAYMARKTLRRLKGATRLQILTQNYSVKKKAKIALHCLHTWSKIQAEIRSRRQCMVIEGRLRQKKLENQLKLEAKLHDLEVEWSGGSDTMEEILARIHQREEAAVKRERAMAYAFSHQWRANSSQNLGLVNYEPGKANWGWSWKERWIAARPWESRIPAQIISPKKVQKQEKNKAGRKTNSPTAKTPISSKPSLSNGKGNPKARRLSYPGAEKGARHEGSIKTEEAKF >Manes.16G035300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4352497:4355900:1 gene:Manes.16G035300.v8.1 transcript:Manes.16G035300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISLKKTKEDSSKRVKRSAAAKSNGFKWKNRPRRESAASADGNPNVPVEDLAATRIQTAFRAYMARKTLRRLKGATRLQILTQNYSVKKKAKIALHCLHTWSKIQAEIRSRRQCMVIEGRLRQKKLENQLKLEAKLHDLEVEWSGGSDTMEEILARIHQREEAAVKRERAMAYAFSHQWRANSSQNLGLVNYEPGKANWGWSWKERWIAARPWESRIPAQIISPKKVQKQEKNKAGRKTNSPTAKTPISSKPSLSNGKGNPKARRLSYPGAEKGARHEGSIKTEEAKF >Manes.16G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4351630:4356157:1 gene:Manes.16G035300.v8.1 transcript:Manes.16G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFKTIISLKKTKEDSSKRVKRSAAAKSNGFKWKNRPRRESAASADGNPNVPVEDLAATRIQTAFRAYMARKTLRRLKGATRLQILTQNYSVKKKAKIALHCLHTWSKIQAEIRSRRQCMVIEGRLRQKKLENQLKLEAKLHDLEVEWSGGSDTMEEILARIHQREEAAVKRERAMAYAFSHQWRANSSQNLGLVNYEPGKANWGWSWKERWIAARPWESRIPAQIISPKKVQKQEKNKAGRKTNSPTAKTPISSKPSLSNGKGNPKARRLSYPGAEKGARHEGSIKTEEAKF >Manes.10G105501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25526590:25530011:1 gene:Manes.10G105501.v8.1 transcript:Manes.10G105501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNNTTSRLAETTEEARPRVPKKRALLIAVTYKGTKYELKGTINDVKTMKAWLIDNFEFKQENILILSENEPDKELNPTRNNIQNSMKWLVGDCQAGVGDSLVFYFSGHGLRQPDFEDDEIDGFDETICPVDYVESGMILDNEINSTIVRPLPKDVTLHAIIDACHSGTVLDLPYVYNRETKKWDDNRPPSGVNKNTSGGLAITISACRDDQMAADTDAFSKEDLKMSGALTHTLTSHVEKGHEITYGDLLDAIYKDIEEADQRGCIACRCFRSLCHERLLQ >Manes.18G083000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7639818:7653563:1 gene:Manes.18G083000.v8.1 transcript:Manes.18G083000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPYIHHTRYVPRHPQPPPPAPPQPQPQPQPQPQSHPHPDHPFPNNPNVYAPHHSSLLTAPPPLRPQPRPPPPQPSYHSLPAPPQFNPHNSQFSYNPNSFNSNHPRSHPDVHNFPQSPRFMHHKPFDDDLPRRLPDYIRDDRPDLWDSPRFLPDRWPSRPYPPANLEADSYRRPLDNQPMSPVKIRRELEGNSRFVGEHKQREEHILGRGDDNYHRRGQFGSTSNRSSRDFRMVSNQMNHSSPGDNLRGLPYDDGMDENQRWVHDREVNEDAHFSFIERGSNEIGDAAGIRVAAGKREHYRCREVNAQLERHSSKGSREDNYEFSRISRKPPQKKSVLLRIQKPNYRNREDERLHYLGYLDDNKYSSFRGKEQNMYQNHDMEEQVREGSPVELDVSFKSNSLVAKAIATPSSAGVSDLHVTPRNEKVRKVLILNKDSSGSSAIKPSAGTGKLENAALATTSSSDKDLLQPKVEAAASGIGNVHDSSSLPGSSGTITSVENSKLETSTKSSVSNKGGTNVISGKTSSLKVAKKKKIVKRVVKKVVNPLSSSSSQPTTKCDGSLTADGFAYGLPASSEPEKSVAEASVDIVIPQPHSNETIMMPDTENDRVERFAKIMVSDNDTITDSGGLCVPNIKRKRSHSSSPLGSSGRKESKINEKMANGNSANYLHVSSTDKDFGKLLDGTASSDIDSLEPASKQLCLDADSLLLENNAASGSPKDLEMKTHSAKGNTDFGFLSSEEIKIHEGPASSCNTTLGCESDSGLISDGVTVSDIRTTDVRSKEACINQGNHLVENGVVDQFLNANFSAGSGKIFWQSYSGERTIQNVAPHASCSNEVGTHFHSDSGHTIAGEINFSCHGTIDDVCKRPSSDRVSLSLENVPTGGSPNCMISTDGSKEDTPSIKNSNTNIEMPQLHISKSEVNNSYLKPVNMVTSGTWVDTTLRLSFKDPTPTEFTVSGGGSENVGLQGCKDGINDFYQRSSPDVMEANVSVNRTINVGPDGTSPKNQKKRKFSCSQLESTNQLTRLMASYESEGPLSADISELALEVSSNSGNGLMQPEVDTSVCAMNHLFTPDFPPLQKETVPLDNCSVGGYHGTVDSLRDGKQLADATLIMAGSSHQSNSIHIESAEAETMDLDAGEEQDIVDSGTAQCQFPSELRFPDSNERLPRADVENDFQHMKNDLPSMSSYLSSLKDGNEVSTINSSGEAMGFLSDTLSDMDCLETLPDIPGTSHSQLSIEEVVMDQEILLEKHAIQGGSNLSVGTTGSPNTEINSNSNHGVENDFSFSGKNGLLPSQNSRNSTQIANTMSGGHRRKIQPAQAVSKIFPGRSSVVFTASKNTASSTHISKPRTWHRTENPSTLGQPGNKAFSSTAPTQWKFPKKITKFQNTSYIRKGNSLVRKPTTVAAQSQSSHGLSSSVNRMNSLGTDELKKNAGSDTRTGVAEPSNFARTGVTAAFERPRTPPLTCATKLPNHATNSLGDCKSSLPGEPLHNCAVETASDNMNSAVSNGVLKSSENAIVISENPITQTGQINNLDCHNELNGGSAVSSNANNITYVKRKSNQLVAASRPCSPSVRDANNIPGLPSDGYYKRRKNQLVRTSVESHVQPTVIMPDETVNPDGQTPHNITSRRSSSKRRSRKAMTKSCKPSKFSFVWTLHSAQLSNGDDSLHRQKFLPHLFPWKRATYWRSFTPNTATNPSNSSLSTIRKLLLLRKRDTVYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKRSKKANEEATLAVAEAEKKKREQSDASGAVSGTKNRNCSSHKSVHSINLHPGERIFRIGSFRYKMDSSRRTLQRISDEDSSRSAAFQTEKDSKRPYVPRRLVIGKDEYVRIGNGNQLVRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFTRFGKCNKDDGKCPYIHDASKIAVCTKFLNGLCFNSDCKLTHKVIPERMPDCSYYLQGLCTNKNCPYRHVHVNPNASTCEGFLRGYCADGNECRKKHSYVCPAYEATGSCPQGSKCKLHHPKNRSKGKKSKQSREKKNALGRYFGSMHTSVSEPGTALPGTYSAQDNSKVYIGGSIGDYISLDDGEAARETIYLADEQASFSEGDPLDLQLVELDELIKPIRIMT >Manes.18G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7639818:7653563:1 gene:Manes.18G083000.v8.1 transcript:Manes.18G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPYIHHTRYVPRHPQPPPPAPPQPQPQPQPQPQSHPHPDHPFPNNPNVYAPHHSSLLTAPPPLRPQPRPPPPQPSYHSLPAPPQFNPHNSQFSYNPNSFNSNHPRSHPDVHNFPQSPRFMHHKPFDDDLPRRLPDYIRDDRPDLWDSPRFLPDRWPSRPYPPANLEADSYRRPLDNQPMSPVKIRRELEGNSRFVGEHKQREEHILGRGDDNYHRRGQFGSTSNRSSRDFRMVSNQMNHSSPGDNLRGLPYDDGMDENQRWVHDREVNEDAHFSFIERGSNEIGDAAGIRVAAGKREHYRCREVNAQLERHSSKGSREDNYEFSRISRKPPQKKSVLLRIQKPNYRNREDERLHYLGYLDDNKYSSFRGKEQNMYQNHDMEEQVREGSPVELDVSFKSNSLVAKAIATPSSAGVSDLHVTPRNEKVRKVLILNKDSSGSSAIKPSAGTGKLENAALATTSSSDKDLLQPKVEAAASGIGNVHDSSSLPGSSGTITSVENSKLETSTKSSVSNKGGTNVISGKTSSLKVAKKKKIVKRVVKKVVNPLSSSSSQPTTKCDGSLTADGFAYGLPASSEPEKSVAEASVDIVIPQPHSNETIMMPDTENDRVERFAKIMVSDNDTITDSGGLCVPNIKRKRSHSSSPLGSSGRKESKINEKMANGNSANYLHVSSTDKDFGKLLDGTASSDIDSLEPASKQLCLDADSLLLENNAASGSPKDLEMKTHSAKGNTDFGFLSSEEIKIHEGPASSCNTTLGCESDSGLISDGVTVSDIRTTDVRSKEACINQGNHLVENGVVDQFLNANFSAGSGKIFWQSYSGERTIQNVAPHASCSNEVGTHFHSDSGHTIAGEINFSCHGTIDDVCKRPSSDRVSLSLENVPTGGSPNCMISTDGSKEDTPSIKNSNTNIEMPQLHISKSEVNNSYLKPVNMVTSGTWVDTTLRLSFKDPTPTEFTVSGGGSENVGLQGCKDGINDFYQRSSPDVMEANVSVNRTINVGPDGTSPKNQKKRKFSCSQLESTNQLTRLMASYESEGPLSADISELALEVSSNSGNGLMQPEVDTSVCAMNHLFTPDFPPLQKETVPLDNCSVGGYHGTVDSLRDGKQLADATLIMAGSSHQSNSIHIESAEAETMDLDAGEEQDIVDSGTAQCQFPSELRFPDSNERLPRADVENDFQHMKNDLPSMSSYLSSLKDGNEVSTINSSGEAMGFLSDTLSDMDCLETLPDIPGTSHSQLSIEEVVMDQEILLEKHAIQGGSNLSVGTTGSPNTEINSNSNHGVENDFSFSGKNGLLPSQNSRNSTQIANTMSGGHRRKIQPAQAVSKIFPGRSSVVFTASKNTASSTHISKPRTWHRTENPSTLGQPGNKAFSSTAPTQWKFPKKITKFQNTSYIRKGNSLVRKPTTVAAQSQSSHGLSSSVNRMNSLGTDELKKNAGSDTRTGVAEPSNFARTGVTAAFERPRTPPLTCATKLPNHATNSLGDCKSSLPGEPLHNCAVETASDNMNSAVSNGVLKSSENAIVISENPITQTGQINNLDCHNELNGGSAVSSNANNITYVKRKSNQLVAASRPCSPSVRDANNIPGLPSDGYYKRRKNQLVRTSVESHVQPTVIMPDETVNPDGQTPHNITSRRSSSKRRSRKAMTKSCKPSKFSFVWTLHSAQLSNGDDSLHRQKFLPHLFPWKRATYWRSFTPNTATNPSNSSLSTISRKLLLLRKRDTVYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKRSKKANEEATLAVAEAEKKKREQSDASGAVSGTKNRNCSSHKSVHSINLHPGERIFRIGSFRYKMDSSRRTLQRISDEDSSRSAAFQTEKDSKRPYVPRRLVIGKDEYVRIGNGNQLVRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFTRFGKCNKDDGKCPYIHDASKIAVCTKFLNGLCFNSDCKLTHKVIPERMPDCSYYLQGLCTNKNCPYRHVHVNPNASTCEGFLRGYCADGNECRKKHSYVCPAYEATGSCPQGSKCKLHHPKNRSKGKKSKQSREKKNALGRYFGSMHTSVSEPGTALPGTYSAQDNSKVYIGGSIGDYISLDDGEAARETIYLADEQASFSEGDPLDLQLVELDELIKPIRIMT >Manes.18G083000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7639818:7653563:1 gene:Manes.18G083000.v8.1 transcript:Manes.18G083000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPYIHHTRYVPRHPQPPPPAPPQPQPQPQPQPQSHPHPDHPFPNNPNVYAPHHSSLLTAPPPLRPQPRPPPPQPSYHSLPAPPQFNPHNSQFSYNPNSFNSNHPRSHPDVHNFPQSPRFMHHKPFDDDLPRRLPDYIRDDRPDLWDSPRFLPDRWPSRPYPPANLEADSYRRPLDNQPMSPVKIRRELEGNSRFVGEHKQREEHILGRGDDNYHRRGQFGSTSNRSSRDFRMVSNQMNHSSPGDNLRGLPYDDGMDENQRWVHDREVNEDAHFSFIERGSNEIGDAAGIRVAAGKREHYRCREVNAQLERHSSKGSREDNYEFSRISRKPPQKKSVLLRIQKPNYRNREDERLHYLGYLDDNKYSSFRGKEQNMYQNHDMEEQVREGSPVELDVSFKSNSLVAKAIATPSSAGVSDLHVTPRNEKVRKVLILNKDSSGSSAIKPSAGTGKLENAALATTSSSDKDLLQPKVEAAASGIGNVHDSSSLPGSSGTITSVENSKLETSTKSSVSNKGGTNVISGKTSSLKVAKKKKIVKRVVKKVVNPLSSSSSQPTTKCDGSLTADGFAYGLPASSEPEKSVAEASVDIVIPQPHSNETIMMPDTENDRVERFAKIMVSDNDTITDSGGLCVPNIKRKRSHSSSPLGSSGRKESKINEKMANGNSANYLHVSSTDKDFGKLLDGTASSDIDSLEPASKQLCLDADSLLLENNAASGSPKDLEMKTHSAKGNTDFGFLSSEEIKIHEGPASSCNTTLGCESDSGLISDGVTVSDIRTTDVRSKEACINQGNHLVENGVVDQFLNANFSAGSGKIFWQSYSGERTIQNVAPHASCSNEVGTHFHSDSGHTIAGEINFSCHGTIDDVCKRPSSDRVSLSLENVPTGGSPNCMISTDGSKEDTPSIKNSNTNIEMPQLHISKSEVNNSYLKPVNMVTSGTWVDTTLRLSFKDPTPTEFTVSGGGSENVGLQGCKDGINDFYQRSSPDVMEANVSVNRTINVGPDGTSPKNQKKRKFSCSQLESTNQLTRLMASYESEGPLSADISELALEVSSNSGNGLMQPEVDTSVCAMNHLFTPDFPPLQKETVPLDNCSVGGYHGTVDSLRDGKQLADATLIMAGSSHQSNSIHIESAEAETMDLDAGEEQDIVDSGTAQCQFPSELRFPDSNERLPRADVENDFQHMKNDLPSMSSYLSSLKDGNEVSTINSSGEAMGFLSDTLSDMDCLETLPDIPGTSHSQLSIEEVVMDQEILLEKHAIQGGSNLSVGTTGSPNTEINSNSNHGVENDFSFSGKNGLLPSQNSRNSTQIANTMSGGHRRKIQPAQAVSKIFPGRSSVVFTASKNTASSTHISKPRTWHRTENPSTLGQPGNKAFSSTAPTQWKFPKKITKFQNTSYIRKGNSLVRKPTTVAAQSQSSHGLSSSVNRMNSLGTDELKKNAGSDTRTGVAEPSNFARTGVTAAFERPRTPPLTCATKLPNHATNSLGDCKSSLPGEPLHNCAVETASDNMNSAVSNGVLKSSENAIVISENPITQTGQINNLDCHNELNGGSAVSSNANNITYVKRKSNQLVAASRPCSPSVRDANNIPGLPSDGYYKRRKNQLVRTSVESHVQPTVIMPDETVNPDGQTPHNITSRRSSSKRRSRKAMTKSCKPSKFSFVWTLHSAQLSNGDDSLHRQKFLPHLFPWKRATYWRSFTPNTATNPSNSSLSTISRKLLLLRKRDTVYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKRSKKANEEATLAVAEAEKKKREQSDASGAVSGTKNRNCSSHKSVHSINLHPGERIFRIGSFRYKMDSSRRTLQRISGLLNNSFVT >Manes.18G083000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7639818:7653563:1 gene:Manes.18G083000.v8.1 transcript:Manes.18G083000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPYIHHTRYVPRHPQPPPPAPPQPQPQPQPQPQSHPHPDHPFPNNPNVYAPHHSSLLTAPPPLRPQPRPPPPQPSYHSLPAPPQFNPHNSQFSYNPNSFNSNHPRSHPDVHNFPQSPRFMHHKPFDDDLPRRLPDYIRDDRPDLWDSPRFLPDRWPSRPYPPANLEADSYRRPLDNQPMSPVKIRRELEGNSRFVGEHKQREEHILGRGDDNYHRRGQFGSTSNRSSRDFRMVSNQMNHSSPGDNLRGLPYDDGMDENQRWVHDREVNEDAHFSFIERGSNEIGDAAGIRVAAGKREHYRCREVNAQLERHSSKGSREDNYEFSRISRKPPQKKSVLLRIQKPNYRNREDERLHYLGYLDDNKYSSFRGKEQNMYQNHDMEEQVREGSPVELDVSFKSNSLVAKAIATPSSAGVSDLHVTPRNEKVRKVLILNKDSSGSSAIKPSAGTGKLENAALATTSSSDKDLLQPKVEAAASGIGNVHDSSSLPGSSGTITSVENSKLETSTKSSVSNKGGTNVISGKTSSLKVAKKKKIVKRVVKKVVNPLSSSSSQPTTKCDGSLTADGFAYGLPASSEPEKSVAEASVDIVIPQPHSNETIMMPDTENDRVERFAKIMVSDNDTITDSGGLCVPNIKRKRSHSSSPLGSSGRKESKINEKMANGNSANYLHVSSTDKDFGKLLDGTASSDIDSLEPASKQLCLDADSLLLENNAASGSPKDLEMKTHSAKGNTDFGFLSSEEIKIHEGPASSCNTTLGCESDSGLISDGVTVSDIRTTDVRSKEACINQGNHLVENGVVDQFLNANFSAGSGKIFWQSYSGERTIQNVAPHASCSNEVGTHFHSDSGHTIAGEINFSCHGTIDDVCKRPSSDRVSLSLENVPTGGSPNCMISTDGSKEDTPSIKNSNTNIEMPQLHISKSEVNNSYLKPVNMVTSGTWVDTTLRLSFKDPTPTEFTVSGGGSENVGLQGCKDGINDFYQRSSPDVMEANVSVNRTINVGPDGTSPKNQKKRKFSCSQLESTNQLTRLMASYESEGPLSADISELALEVSSNSGNGLMQPEVDTSVCAMNHLFTPDFPPLQKETVPLDNCSVGGYHGTVDSLRDGKQLADATLIMAGSSHQSNSIHIESAEAETMDLDAGEEQDIVDSGTAQCQFPSELRFPDSNERLPRADVENDFQHMKNDLPSMSSYLSSLKDGNEVSTINSSGEAMGFLSDTLSDMDCLETLPDIPGTSHSQLSIEEVVMDQEILLEKHAIQGGSNLSVGTTGSPNTEINSNSNHGVENDFSFSGKNGLLPSQNSRNSTQIANTMSGGHRRKIQPAQAVSKIFPGRSSVVFTASKNTASSTHISKPRTWHRTENPSTLGQPGNKAFSSTAPTQWKFPKKITKFQNTSYIRKGNSLVRKPTTVAAQSQSSHGLSSSVNRMNSLGTDELKKNAGSDTRTGVAEPSNFARTGVTAAFERPRTPPLTCATKLPNHATNSLGDCKSSLPGEPLHNCAVETASDNMNSAVSNGVLKSSENAIVISENPITQTGQINNLDCHNELNGGSAVSSNANNITYVKRKSNQLVAASRPCSPSVRDANNIPGLPSDGYYKRRKNQLVRTSVESHVQPTVIMPDETVNPDGQTPHNITSRRSSSKRRSRKAMTKSCKPSKFSFVWTLHSAQLSNGDDSLHRQKFLPHLFPWKRATYWRSFTPNTATNPSNSSLSTISRKLLLLRKRDTVYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKRSKKANEEATLAVAEAEKKKREQSDASGAVSGTKNRNCSSHKSVHSINLHPGERIFRIGSFRYKMDSSRRTLQRISDEDSSRSAAFQTEKDSKRPYVPRRLVIGKDEYVRIGNGNQLVRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFTRFGKCNKDDGKCPYIHDASKIAVCTKFLNGLCFNSDCKLTHKVIPERMPDCSYYLQGMCM >Manes.18G083000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7639818:7653563:1 gene:Manes.18G083000.v8.1 transcript:Manes.18G083000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPYIHHTRYVPRHPQPPPPAPPQPQPQPQPQPQSHPHPDHPFPNNPNVYAPHHSSLLTAPPPLRPQPRPPPPQPSYHSLPAPPQFNPHNSQFSYNPNSFNSNHPRSHPDVHNFPQSPRFMHHKPFDDDLPRRLPDYIRDDRPDLWDSPRFLPDRWPSRPYPPANLEADSYRRPLDNQPMSPVKIRRELEGNSRFVGEHKQREEHILGRGDDNYHRRGQFGSTSNRSSRDFRMVSNQMNHSSPGDNLRGLPYDDGMDENQRWVHDREVNEDAHFSFIERGSNEIGDAAGIRVAAGKREHYRCREVNAQLERHSSKGSREDNYEFSRISRKPPQKKSVLLRIQKPNYRNREDERLHYLGYLDDNKYSSFRGKEQNMYQNHDMEEQVREGSPVELDVSFKSNSLVAKAIATPSSAGVSDLHVTPRNEKVRKVLILNKDSSGSSAIKPSAGTGKLENAALATTSSSDKDLLQPKVEAAASGIGNVHDSSSLPGSSGTITSVENSKLETSTKSSVSNKGGTNVISGKTSSLKVAKKKKIVKRVVKKVVNPLSSSSSQPTTKCDGSLTADGFAYGLPASSEPEKSVAEASVDIVIPQPHSNETIMMPDTENDRVERFAKIMVSDNDTITDSGGLCVPNIKRKRSHSSSPLGSSGRKESKINEKMANGNSANYLHVSSTDKDFGKLLDGTASSDIDSLEPASKQLCLDADSLLLENNAASGSPKDLEMKTHSAKGNTDFGFLSSEEIKIHEGPASSCNTTLGCESDSGLISDGVTVSDIRTTDVRSKEACINQGNHLVENGVVDQFLNANFSAGSGKIFWQSYSGERTIQNVAPHASCSNEVGTHFHSDSGHTIAGEINFSCHGTIDDVCKRPSSDRVSLSLENVPTGGSPNCMISTDGSKEDTPSIKNSNTNIEMPQLHISKSEVNNSYLKPVNMVTSGTWVDTTLRLSFKDPTPTEFTVSGGGSENVGLQGCKDGINDFYQRSSPDVMEANVSVNRTINVGPDGTSPKNQKKRKFSCSQLESTNQLTRLMASYESEGPLSADISELALEVSSNSGNGLMQPEVDTSVCAMNHLFTPDFPPLQKETVPLDNCSVGGYHGTVDSLRDGKQLADATLIMAGSSHQSNSIHIESAEAETMDLDAGEEQDIVDSGTAQCQFPSELRFPDSNERLPRADVENDFQHMKNDLPSMSSYLSSLKDGNEVSTINSSGEAMGFLSDTLSDMDCLETLPDIPGTSHSQLSIEEVVMDQEILLEKHAIQGGSNLSVGTTGSPNTEINSNSNHGVENDFSFSGKNGLLPSQNSRNSTQIANTMSGGHRRKIQPAQAVSKIFPGRSSVVFTASKNTASSTHISKPRTWHRTENPSTLGQPGNKAFSSTAPTQWKFPKKITKFQNTSYIRKGNSLVRKPTTVAAQSQSSHGLSSSVNRMNSLGTDELKKNAGSDTRTGVAEPSNFARTGVTAAFERPRTPPLTCATKLPNHATNSLGDCKSSLPGEPLHNCAVETASDNMNSAVSNGVLKSSENAIVISENPITQTGQINNLDCHNELNGGSAVSSNANNITYVKRKSNQLVAASRPCSPSVRDANNIPGLPSDGYYKRRKNQLVRTSVESHVQPTVIMPDETVNPDGQTPHNITSRRSSSKRRSRKAMTKSCKPSKFSFVWTLHSAQLSNGDDSLHRQKFLPHLFPWKRATYWRSFTPNTATNPSNSSLSTIRKLLLLRKRDTVYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKRSKKANEEATLAVAEAEKKKREQSDASGAVSGTKNRNCSSHKSVHSINLHPGERIFRIGSFRYKMDSSRRTLQRISGLLNNSFVT >Manes.18G083000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7639818:7653563:1 gene:Manes.18G083000.v8.1 transcript:Manes.18G083000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSPYIHHTRYVPRHPQPPPPAPPQPQPQPQPQPQSHPHPDHPFPNNPNVYAPHHSSLLTAPPPLRPQPRPPPPQPSYHSLPAPPQFNPHNSQFSYNPNSFNSNHPRSHPDVHNFPQSPRFMHHKPFDDDLPRRLPDYIRDDRPDLWDSPRFLPDRWPSRPYPPANLEADSYRRPLDNQPMSPVKIRRELEGNSRFVGEHKQREEHILGRGDDNYHRRGQFGSTSNRSSRDFRMVSNQMNHSSPGDNLRGLPYDDGMDENQRWVHDREVNEDAHFSFIERGSNEIGDAAGIRVAAGKREHYRCREVNAQLERHSSKGSREDNYEFSRISRKPPQKKSVLLRIQKPNYRNREDERLHYLGYLDDNKYSSFRGKEQNMYQNHDMEEQVREGSPVELDVSFKSNSLVAKAIATPSSAGVSDLHVTPRNEKVRKVLILNKDSSGSSAIKPSAGTGKLENAALATTSSSDKDLLQPKVEAAASGIGNVHDSSSLPGSSGTITSVENSKLETSTKSSVSNKGGTNVISGKTSSLKVAKKKKIVKRVVKKVVNPLSSSSSQPTTKCDGSLTADGFAYGLPASSEPEKSVAEASVDIVIPQPHSNETIMMPDTENDRVERFAKIMVSDNDTITDSGGLCVPNIKRKRSHSSSPLGSSGRKESKINEKMANGNSANYLHVSSTDKDFGKLLDGTASSDIDSLEPASKQLCLDADSLLLENNAASGSPKDLEMKTHSAKGNTDFGFLSSEEIKIHEGPASSCNTTLGCESDSGLISDGVTVSDIRTTDVRSKEACINQGNHLVENGVVDQFLNANFSAGSGKIFWQSYSGERTIQNVAPHASCSNEVGTHFHSDSGHTIAGEINFSCHGTIDDVCKRPSSDRVSLSLENVPTGGSPNCMISTDGSKEDTPSIKNSNTNIEMPQLHISKSEVNNSYLKPVNMVTSGTWVDTTLRLSFKDPTPTEFTVSGGGSENVGLQGCKDGINDFYQRSSPDVMEANVSVNRTINVGPDGTSPKNQKKRKFSCSQLESTNQLTRLMASYESEGPLSADISELALEVSSNSGNGLMQPEVDTSVCAMNHLFTPDFPPLQKETVPLDNCSVGGYHGTVDSLRDGKQLADATLIMAGSSHQSNSIHIESAEAETMDLDAGEEQDIVDSGTAQCQFPSELRFPDSNERLPRADVENDFQHMKNDLPSMSSYLSSLKDGNEVSTINSSGEAMGFLSDTLSDMDCLETLPDIPGTSHSQLSIEEVVMDQEILLEKHAIQGGSNLSVGTTGSPNTEINSNSNHGVENDFSFSGKNGLLPSQNSRNSTQIANTMSGGHRRKIQPAQAVSKIFPGRSSVVFTASKNTASSTHISKPRTWHRTENPSTLGQPGNKAFSSTAPTQWKFPKKITKFQNTSYIRKGNSLVRKPTTVAAQSQSSHGLSSSVNRMNSLGTDELKKNAGSDTRTGVAEPSNFARTGVTAAFERPRTPPLTCATKLPNHATNSLGDCKSSLPGEPLHNCAVETASDNMNSAVSNGVLKSSENAIVISENPITQTGQINNLDCHNELNGGSAVSSNANNITYVKRKSNQLVAASRPCSPSVRDANNIPGLPSDGYYKRRKNQLVRTSVESHVQPTVIMPDETVNPDGQTPHNITSRRSSSKRRSRKAMTKSCKPSKFSFVWTLHSAQLSNGDDSLHRQKFLPHLFPWKRATYWRSFTPNTATNPSNSSLSTIRKLLLLRKRDTVYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKRSKKANEEATLAVAEAEKKKREQSDASGAVSGTKNRNCSSHKSVHSINLHPGERIFRIGSFRYKMDSSRRTLQRISDEDSSRSAAFQTEKDSKRPYVPRRLVIGKDEYVRIGNGNQLVRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFTRFGKCNKDDGKCPYIHDASKIAVCTKFLNGLCFNSDCKLTHKVIPERMPDCSYYLQGMCM >Manes.16G004850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:601648:602981:1 gene:Manes.16G004850.v8.1 transcript:Manes.16G004850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASGLISSSRVCSSKRINAAISVPKLPRIRFPVPKTTSTNLVEDLILRNGFTNTIPVEKSVTLPRIDKETFVSSSTSKATTKLYAILEAVADRVEMHKNVGEQRDNWNKLLLNSINMITLTATTMAGVAAAGGEGSPLLALNVGSTLLFIAATGMLCIMNKIQPSQLAEEQRNATKLFRQLQSQIQTTLALYDPTELDVKDAMDKVLALDKAYPLPLLGKMIEKFPAKFEPAVWWPKTKNFQRNSKRSGKNGWSEELKVEMREVIEVIKGKDTEDYMRLGNLALKINKVLAISGPLLTGIAAAGSAFVGNSSWAAIVAVAAGALATTVNTFEHAGQVGMVVEMYRNCAGFFSLLDESIESTLEEADFDRREDGEIFEMKVALQLGRSTSELRDLAQKSSYFNIEGTSIDEFASKLF >Manes.17G074300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27439885:27449319:-1 gene:Manes.17G074300.v8.1 transcript:Manes.17G074300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSVGFNPQTQEGEKRVLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAVSTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDAYLPAELGAPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRTNRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYFKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGIKDDDFGMNSPLMWLRGDGDRGIQSMSFQGLGVTPWMQPRVDASMLGLQTDMYQAMAAAALQEMRAIDPSKSSTTSLLQFQQHPNLPSRTAALMQPQMLQQPQSQQAFLQGVQENQHQPRTQVQTQSHLVQQQLHSQHSLNGPQQQPQQQQQHPLSQQQLVEHQQIPNVVSAMSQFASASQSQSPPLPAISSLCQQQSFSDSNGNPVTSSVVSPMHSLIGSFSQDESTHLINLPRTSALITSSAWPSKRAAVEPLISSGAPQCVLSQVEQLGGPQTNISQSSVSLPPFPGRECSIDQEGGTDPQSHLLFGFNIEPSSLQLQSGMSSLRGVGSDCDSTTIPFSSSNYMSTTGTDFSLNPAMTPSSCIDESGFLQSPENVGQVNPPTRTFVKVYKSGSFGRSLDITKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPIQRLSNGSCDDYASRQDSRNLSTGITSVGSLDY >Manes.17G074300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27441545:27449328:-1 gene:Manes.17G074300.v8.1 transcript:Manes.17G074300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSVGFNPQTQEGEKRVLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAVSTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDAYLPAELGAPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRTNRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYFKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGIKDDDFGMNSPLMWLRGDGDRGIQSMSFQGLGVTPWMQPRVDASMLGLQTDMYQAMAAAALQEMRAIDPSKSSTTSLLQFQQHPNLPSRTAALMQPQMLQQPQSQQAFLQGVQENQHQPRTQVQTQSHLVQQQLHSQHSLNGPQQQPQQQQQHPLSQQQLVEHQQIPNVVSAMSQFASASQSQSPPLPAISSLCQQQSFSDSNGNPVTSSVVSPMHSLIGSFSQDESTHLINLPRTSALITSSAWPSKRAAVEPLISSGAPQCVLSQVEQLGGPQTNISQSSVSLPPFPGRECSIDQEGGTDPQSHLLFGFNIEPSSLQLQSGMSSLRGVGSDCDSTTIPFSSSNYMSTTGTDFSLNPAMTPSSCIDESGFLQSPENVGQVNPPTRTFVKVYKSGSFGRSLDITKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPIQRLSNGSCDDYASRQDSRNLSTGITSVGSLDY >Manes.11G103601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23508213:23508709:1 gene:Manes.11G103601.v8.1 transcript:Manes.11G103601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPNSVKLNLKSNEVMDMQTKVLVGTYMILKDEIIWTAYWVSLLTPSATGIPIRFEQSHVQEVIKMMEIEIGGGWIFHKLCKARGFLRFVKLESIYKLLICQFLLTNIENMLLMMYSFAMTKLYFELMG >Manes.11G103601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23507977:23510162:1 gene:Manes.11G103601.v8.1 transcript:Manes.11G103601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPNSVKLNLKSNEVMDMQTKVLVGTYMILKDEIIWTAYWVSLLTPSATGIPIRFEQSHVQEVIKMMEIEIGGGWIFHKLCKVCVGKKTCKIEVS >Manes.06G145400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27214053:27214842:-1 gene:Manes.06G145400.v8.1 transcript:Manes.06G145400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRFSGAKIYPGKGIRFIRSDSQVFLFANSKCKSYFHNRLKPSKLTWTAMYRKQHKKDIAAETIKKRRRTTKRPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVIAKVQKTQGKGSLTKGAAPKGPKLGGGGGKR >Manes.06G036800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10932533:10933018:-1 gene:Manes.06G036800.v8.1 transcript:Manes.06G036800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLVVVEMFNALNNLSENQLLFVIPPWSNLWLVASIILTMLFHILILYVHPLFVLFSVSCFPFSFFLFPFSFILFTISLEKYFTHCHEALVER >Manes.08G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31857045:31862000:1 gene:Manes.08G094200.v8.1 transcript:Manes.08G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAATDAEHNTKEREEDANVLAADDEDTGAQVAPIVKLEEVPVSTGEEDEDPILDLKAKLYRFDKEGNQWKERGVGNVKLLKHKESGKVRLVMRQSKTLKICANHIVGPSINVQEHHGNDKSCVWHAADFADGELKDELFCIRFASVENCKAFMETVQDVAETQVKNEERKEAEDAAGLLEKLSVVDSKTDGKEKEQALVEEKGQNEAAGEKAKSDAKKEDEPASS >Manes.06G166900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29268805:29272232:-1 gene:Manes.06G166900.v8.1 transcript:Manes.06G166900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKQEHVYKHPWERVTSASWRKFTDPENKRILSHVLEVDTLNWKLDRESGKLYTTRAVTVHAPGPWFIRKIIGQDICHCVESTVVDARTQSMQLTSRNISLQKFIEVEEKIRYHPHPDNPSEWTLCQQETSIRIKPLSALASMAEKIEQRCVEKFLQNSAKGREVMERICKYLEAESRGIAL >Manes.06G166900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29268805:29272232:-1 gene:Manes.06G166900.v8.1 transcript:Manes.06G166900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKQEHVYKHPWERVTSASWRKFTDPENKRILSHVLEVDTLNWKLDRESGKLYTTRAVTVHAPGPWFIRKIIGQDICHCVESTVVDARTQSMQLTSRNISLQKFIEVEEKIRYHPHPDNPSEWTLCQQETSIRIKPLSALASMAEKIEQRCVEKFLQNSAKGREVMERICKYLEAESRGIAL >Manes.06G166900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29268805:29272232:-1 gene:Manes.06G166900.v8.1 transcript:Manes.06G166900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNWNSNQASIKSNMVRAYKQEHVYKHPWERVTSASWRKFTDPENKRILSHVLEVDTLNWKLDRESGKLYTTRAVTVHAPGPWFIRKIIGQDICHCVESTVVDARTQSMQLTSRNISLQKFIEVEEKIRYHPHPDNPSEWTLCQQETSIRIKPLSALASMAEKIEQRCVEKFLQNSAKGREVMERICKYLEAESRGIAL >Manes.06G166900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29269367:29269924:-1 gene:Manes.06G166900.v8.1 transcript:Manes.06G166900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKQEHVYKHPWERVTSASWRKFTDPENKRILSHVLEVDTLNWKLDRESGKLYTTRAVTVHAPGPWFIRKIIGQDICHCVESTVVDARTQSMQLTSRNISLQKFIEVEEKIRYHPHPDNPSEWTLCQQETSIRIKPLSALASMAEKIEQRCVEKFLQNSAKGREVMERICKYLEAESRGIAL >Manes.06G166900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29268805:29272232:-1 gene:Manes.06G166900.v8.1 transcript:Manes.06G166900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKQEHVYKHPWERVTSASWRKFTDPENKRILSHVLEVDTLNWKLDRESGKLYTTRAVTVHAPGPWFIRKIIGQDICHCVESTVVDARTQSMQLTSRNISLQKFIEVEEKIRYHPHPDNPSEWTLCQQETSIRIKPLSALASMAEKIEQRCVEKFLQNSAKGREVMERICKYLEAESRGIAL >Manes.13G010700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1443727:1449104:-1 gene:Manes.13G010700.v8.1 transcript:Manes.13G010700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKSGSFKMLEPSKPPPSKKSRKERIRGKLPGTTGTTEVMEPEIWKEFPEDLFEAVIARLPIATFFRFRTVCRKWNSLLDSQSFSQRCAQVPQANPWFYTITHENVNSGAIYDPSLKKWHHPTISSLPTKMIVLPVASSGGLVCFIDIGHRNFYVCNPLTQSFKELPARSVKVWSRIAVGMTLNGNASSGGYKILWVCCDGEYEVYDSVENSWTRPGSMPANIKLPLSLNFRSQAVSIDDTVYFMRSDPEGIVSYNMVTGVWKQFIIPAPLHLSDHTLAECSGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVKSREWLKVPGCLVPRGRKRQWIACGTAFHPCLTATA >Manes.13G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1443727:1449104:-1 gene:Manes.13G010700.v8.1 transcript:Manes.13G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELAMLRQLIAQLQDLFNLHASPPPPLHPPLPLHHQPFLQLHHHRDENHHRWCILDINDGSADDYCDFVMAAGKSGSFKMLEPSKPPPSKKSRKERIRGKLPGTTGTTEVMEPEIWKEFPEDLFEAVIARLPIATFFRFRTVCRKWNSLLDSQSFSQRCAQVPQANPWFYTITHENVNSGAIYDPSLKKWHHPTISSLPTKMIVLPVASSGGLVCFIDIGHRNFYVCNPLTQSFKELPARSVKVWSRIAVGMTLNGNASSGGYKILWVCCDGEYEVYDSVENSWTRPGSMPANIKLPLSLNFRSQAVSIDDTVYFMRSDPEGIVSYNMVTGVWKQFIIPAPLHLSDHTLAECSGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVKSREWLKVPGCLVPRGRKRQWIACGTAFHPCLTATA >Manes.13G010700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1443727:1449104:-1 gene:Manes.13G010700.v8.1 transcript:Manes.13G010700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKSGSFKMLEPSKPPPSKKSRKERIRGKLPGTTGTTEVMEPEIWKEFPEDLFEAVIARLPIATFFRFRTVCRKWNSLLDSQSFSQRCAQVPQANPWFYTITHENVNSGAIYDPSLKKWHHPTISSLPTKMIVLPVASSGGLVCFIDIGHRNFYVCNPLTQSFKELPARSVKVWSRIAVGMTLNGNASSGGYKILWVCCDGEYEVYDSVENSWTRPGSMPANIKLPLSLNFRSQAVSIDDTVYFMRSDPEGIVSYNMVTGVWKQFIIPAPLHLSDHTLAECSGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYNVKSREWLKVPGCLVPRGRKRQWIACGTAFHPCLTATA >Manes.12G154200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36176004:36185917:-1 gene:Manes.12G154200.v8.1 transcript:Manes.12G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSRAHSRMKSSSVVRFISRRPSPSAITFYMILLFAFSLFVFVFNARDILDNEPKSRFSEESLSQSQSQSQSQSQSQPQSVQMSNEKLQDTPLNYGLRTCVNPTAKYKAAQGWDRYITVKSNGGLNQMRTGISDMVAVAHIMNATLVIPQLDKRSFWQDTSTFSDIFDELHFITSLQNDVRIIKELPKGLESIPRARKHFTSWSGIGYYEEMTQLWKEFQVIHVAKSDSRLANNDLPLDIQRLRCRALYQALRFSPPIESLGKKLVERLRSRGGGYIALHLRYEKDMLSFTGCTYGLTQAESEELRLMRENTNHWKVKKINSTEQREGGFCPLTPKEVGIFLQALGYSPSTMIYIAAGEIYGGRSQLVELTSRFPNTVFKETLATPEELKAFSNHASQTAALDYIISIESDVFIPSYSGNMARAVEGHRRFLGHRKTITPDRKELVKLFDKLESGQLKEGSSFLHIVQEMHKNRQGAPRKRKGPLPGVKGRARFRTEESFYENPYPECICRSKRGL >Manes.16G019000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1940518:1943949:-1 gene:Manes.16G019000.v8.1 transcript:Manes.16G019000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLLFILLLILRFIFATPIDTITINQTLADGNFLISKNNSFTLGFFTPGSSSYRYLGIWFYKVPRQTVVWVANRNNPINGSSGVVSINQYGNLVLYSNLEEKIPVWSTNISAEDASASEAQLLDSGNLVLVKTTSKRIVWQSFDYPTNTMLRGMKFGLDQRRGLDWSLTSWRSADDPGNSEYSVKLDPRGSPQYFLYKGESRYWRSVPWPWRTIANVYNYSFVNTKHEIYFSYNYSDPSVIIRMMLDEGGFVKWFTWHEIEARWKEFWSAPKYRCEWYGVCGANSKCEPNNVNTFECSCLPGYEPKFPRDWHLRDGSGGCVRRRLQSSPDCENGDGFLKVEHVKVPDTTAATWVAMGMTHLDCEHECKKNCSCSAFSSIPIAGKGTGCLAWYGDLMDIIDLSDYSGYDLYIRVDAFELAVDGSKSYRFLQKKGMLVVGIPSLISVWLVIIIFIYFWFWKRRKAVISKNRLNKKIFDSSIGLNNYEDSLEENGLRGSGNQPNLIFFDFSTILAATNNFSATNILGQGGFGSVYKGKLSNGQEIAVKRMSKNSKQGIREFKNEAILIAKLQHRNLVKLIGCCIQKKEQVLIYEYLPNKSLDSFLFDQERRFLLDWEMRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDGEMNPKISDFGMARLFRSDQIQDVTNRVVGTYGYMSPEYAVFGKFSVKSDVFSFGVILLEIISGKRSNGFNQEDTSLSLIGHVCDKLLSP >Manes.16G019000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1940518:1943949:-1 gene:Manes.16G019000.v8.1 transcript:Manes.16G019000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLLFILLLILRFIFATPIDTITINQTLADGNFLISKNNSFTLGFFTPGSSSYRYLGIWFYKVPRQTVVWVANRNNPINGSSGVVSINQYGNLVLYSNLEEKIPVWSTNISAEDASASEAQLLDSGNLVLVKTTSKRIVWQSFDYPTNTMLRGMKFGLDQRRGLDWSLTSWRSADDPGNSEYSVKLDPRGSPQYFLYKGESRYWRSVPWPWRTIANVYNYSFVNTKHEIYFSYNYSDPSVIIRMMLDEGGFVKWFTWHEIEARWKEFWSAPKYRCEWYGVCGANSKCEPNNVNTFECSCLPGYEPKFPRDWHLRDGSGGCVRRRLQSSPDCENGDGFLKVEHVKVPDTTAATWVAMGMTHLDCEHECKKNCSCSAFSSIPIAGKGTGCLAWYGDLMDIIDLSDYSGYDLYIRVDAFELAVDGSKSYRFLQKKGMLVVGIPSLISVWLVIIIFIYFWFWKRRKAVISKNRLNKKIFDSSIGLNNYEDSLEENGLRGSGNQPNLIFFDFSTILAATNNFSATNILGQGGFGSVYKGKLSNGQEIAVKRMSKNSKQGIREFKNEAILIAKLQHRNLVKLIGCCIQKKEQVLIYEYLPNKSLDSFLFDQERRFLLDWEMRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDGEMNPKISDFGMARLFRSDQIQDVTNRVVGTYGYMSPEYAVFGKFSVKSDVFSFGVILLEIISGKRSNGFNQEDTSLSLIGHISLCLIAQPTACIENFFG >Manes.16G019000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1940518:1943947:-1 gene:Manes.16G019000.v8.1 transcript:Manes.16G019000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLLFILLLILRFIFATPIDTITINQTLADGNFLISKNNSFTLGFFTPGSSSYRYLGIWFYKVPRQTVVWVANRNNPINGSSGVVSINQYGNLVLYSNLEEKIPVWSTNISAEDASASEAQLLDSGNLVLVKTTSKRIVWQSFDYPTNTMLRGMKFGLDQRRGLDWSLTSWRSADDPGNSEYSVKLDPRGSPQYFLYKGESRYWRSVPWPWRTIANVYNYSFVNTKHEIYFSYNYSDPSVIIRMMLDEGGFVKWFTWHEIEARWKEFWSAPKYRCEWYGVCGANSKCEPNNVNTFECSCLPGYEPKFPRDWHLRDGSGGCVRRRLQSSPDCENGDGFLKVEHVKVPDTTAATWVAMGMTHLDCEHECKKNCSCSAFSSIPIAGKGTGCLAWYGDLMDIIDLSDYSGYDLYIRVDAFELAVDGSKSYRFLQKKGMLVVGIPSLISVWLVIIIFIYFWFWKRRKAVISKNRLNKKIFDSSIGLNNYEDSLEENGLRGSGNQPNLIFFDFSTILAATNNFSATNILGQGGFGSVYKGKLSNGQEIAVKRMSKNSKQGIREFKNEAILIAKLQHRNLVKLIGCCIQKKEQVLIYEYLPNKSLDSFLFDQERRFLLDWEMRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDGEMNPKISDFGMARLFRSDQIQDVTNRVVGT >Manes.16G019000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1940518:1943947:-1 gene:Manes.16G019000.v8.1 transcript:Manes.16G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLLFILLLILRFIFATPIDTITINQTLADGNFLISKNNSFTLGFFTPGSSSYRYLGIWFYKVPRQTVVWVANRNNPINGSSGVVSINQYGNLVLYSNLEEKIPVWSTNISAEDASASEAQLLDSGNLVLVKTTSKRIVWQSFDYPTNTMLRGMKFGLDQRRGLDWSLTSWRSADDPGNSEYSVKLDPRGSPQYFLYKGESRYWRSVPWPWRTIANVYNYSFVNTKHEIYFSYNYSDPSVIIRMMLDEGGFVKWFTWHEIEARWKEFWSAPKYRCEWYGVCGANSKCEPNNVNTFECSCLPGYEPKFPRDWHLRDGSGGCVRRRLQSSPDCENGDGFLKVEHVKVPDTTAATWVAMGMTHLDCEHECKKNCSCSAFSSIPIAGKGTGCLAWYGDLMDIIDLSDYSGYDLYIRVDAFELAVDGSKSYRFLQKKGMLVVGIPSLISVWLVIIIFIYFWFWKRRKAVISKNRLNKKIFDSSIGLNNYEDSLEENGLRGSGNQPNLIFFDFSTILAATNNFSATNILGQGGFGSVYKGKLSNGQEIAVKRMSKNSKQGIREFKNEAILIAKLQHRNLVKLIGCCIQKKEQVLIYEYLPNKSLDSFLFDQERRFLLDWEMRFNIIIGIARGILYLHQDSRLRIIHRDLKSSNILLDGEMNPKISDFGMARLFRSDQIQDVTNRVVGTYGYMSPEYAVFGKFSVKSDVFSFGVILLEIISGKRSNGFNQEDTSLSLIGHVWDLWREGKALEIVDSSMESSYNHHEAMRCIQIGLLCVQENAANRPTMSAVYLMLSSETALPSPEQPAFVFRTYYNTSNSRVREEGSCSVKELSITTVATR >Manes.18G144957.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21766635:21768126:1 gene:Manes.18G144957.v8.1 transcript:Manes.18G144957.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.07G119800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32454910:32461615:1 gene:Manes.07G119800.v8.1 transcript:Manes.07G119800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLSIAHFPNYRLHQARSPKHKLTKASCLVFRSFLSFSLLFFHFLFLSVLLDMNGKGTWVDEGLKMEEIVKDKSVFMWGYLPGALPQRAPILSPVVVRPDGYTWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTESAVIKAAAGWAHCVATTDSGEVYTWGWKECIPSGKVFGDPSLAGGLERDVLERQSPFLTEQVSPRSQGSRSSGGIDSRISGDETTKRRKLSSAKQTAESSSSNDETLSALPCLVLLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDSSYGNDRTAALPRGGLTSERHGFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELKPVCVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETTPRLLDAPSLEDVNAKIVSCGARHTAIVTEDAKVFCWGWNKYGQLGLGDVIDRNIPSLVSIDGCVPRNVACGWWHTVLLAESPA >Manes.07G119800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32454910:32461615:1 gene:Manes.07G119800.v8.1 transcript:Manes.07G119800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLSIAHFPNYRLHQARSPKHKLTKASCLVFRSFLSFSLLFFHFLFLSVLLDMNGKGTWVDEGLKMEEIVKDKSVFMWGYLPGALPQRAPILSPVVVRPDGYTWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTESAVIKAAAGWAHCVATTDSGEVYTWGWKECIPSGKVFGDPSLAGGLERDVLERQSPFLTEQVSPRSQGSRSSGGIDSRISGDETTKRRKLSSAKQTAESSSSNDETLSALPCLVLLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDSSYGNDRTAALPRGGLTSERHGFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELKPVCVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAEVPYKCQFHFVIR >Manes.07G119800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32454910:32461615:1 gene:Manes.07G119800.v8.1 transcript:Manes.07G119800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLSIAHFPNYRLHQARSPKHKLTKASCLVFRSFLSFSLLFFHFLFLSVLLDMNGKGTWVDEGLKMEEIVKDKSVFMWGYLPGALPQRAPILSPVVVRPDGYTWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTESAVIKAAAGWAHCVATTDSGEVYTWGWKECIPSGKVFGDPSLAGGLERDVLERQSPFLTEQVSPRSQGSRSSGGIDSRISGDETTKRRKLSSAKQTAESSSSNDETLSALPCLVLLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDSSYGNDRTAALPRGGLTSERHGFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELKPVCVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETTPRLLDAPSLEDVNAKIVSCGARHTAIVTGM >Manes.07G119800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32454910:32461615:1 gene:Manes.07G119800.v8.1 transcript:Manes.07G119800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLSIAHFPNYRLHQARSPKHKLTKASCLVFRSFLSFSLLFFHFLFLSVLLDMNGKGTWVDEGLKMEEIVKDKSVFMWGYLPGALPQRAPILSPVVVRPDGYTWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTESAVIKAAAGWAHCVATTDSGEVYTWGWKECIPSGKVFGDPSLAGGLERDVLERQSPFLTEQVSPRSQGSRSSGGIDSRISGDETTKRRKLSSAKQTAESSSSNDETLSALPCLVLLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDSSYGNDRTAALPRGGLTSERHGFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELKPVCVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETTPRLLDAPSLEDVNAKIVSCGARHTAIVTEDAKVFCWGWNKYGQVFCLTLIKYHI >Manes.07G119800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32454910:32461615:1 gene:Manes.07G119800.v8.1 transcript:Manes.07G119800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLSIAHFPNYRLHQARSPKHKLTKASCLVFRSFLSFSLLFFHFLFLSVLLDMNGKGTWVDEGLKMEEIVKDKSVFMWGYLPGALPQRAPILSPVVVRPDGYTWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTESAVIKAAAGWAHCVATTDSGEVYTWGWKECIPSGKVFGDPSLAGGLERDVLERQSPFLTEQVSPRSQGSRSSGGIDSRISGDETTKRRKLSSAKQTAESSSSNDETLSALPCLVLLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDSSYGNDRTAALPRGGLTSERHGFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELKPVCVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAERMRKCFAGDGTSMASLGWGT >Manes.07G119800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32454910:32461615:1 gene:Manes.07G119800.v8.1 transcript:Manes.07G119800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLSIAHFPNYRLHQARSPKHKLTKASCLVFRSFLSFSLLFFHFLFLSVLLDMNGKGTWVDEGLKMEEIVKDKSVFMWGYLPGALPQRAPILSPVVVRPDGYTWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTESAVIKAAAGWAHCVATTDSGEVYTWGWKECIPSGKVFGDPSLAGGLERDVLERQSPFLTEQVSPRSQGSRSSGGIDSRISGDETTKRRKLSSAKQTAESSSSNDETLSALPCLVLLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDSSYGNDRTAALPRGGLTSERHGFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELKPVCVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETTPRLLDAPSLEDVNAKIVSCGARHTAIVTACEKNLWGVGWIVGVALQIHNHRHMVVCNPMSLLRLVSRKLF >Manes.07G119800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32454910:32461615:1 gene:Manes.07G119800.v8.1 transcript:Manes.07G119800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPLSIAHFPNYRLHQARSPKHKLTKASCLVFRSFLSFSLLFFHFLFLSVLLDMNGKGTWVDEGLKMEEIVKDKSVFMWGYLPGALPQRAPILSPVVVRPDGYTWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGEIPEPFPLPTESAVIKAAAGWAHCVATTDSGEVYTWGWKECIPSGKVFGDPSLAGGLERDVLERQSPFLTEQVSPRSQGSRSSGGIDSRISGDETTKRRKLSSAKQTAESSSSNDETLSALPCLVLLNPGVRIATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDSSYGNDRTAALPRGGLTSERHGFRVPGSYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELKPVCVSSLLGIRIEGVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETTPRLLDAPSLEDVNAKIVSCGARHTAIVTACEKNLWGVGWIVGVALQIHNHRHMVVCNPMSLLRLVSRKLF >Manes.07G127602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32996224:32999353:-1 gene:Manes.07G127602.v8.1 transcript:Manes.07G127602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATCGFVFYAIFLTYSNCFLGNASKFNNETDRSALQAIKDAISLDPNGVLNSWNNSLPYCQWQGVSCSSKHRQRVTVLDLSSQGLVGRVPAHIGNLSFLRIILLHNNSFHGEIPPEIGKLLRLRIIWLGNNSFQGEVPRNLTSCANLRQINFIDNNLTGKIPDELSLIPNLAALGLGQNNFKGKIPPSLGNISSLFLLSLEEVSLEGNIPKDLGRLMRMEYLALGSNNLTGNIPSSFYNLSRLTTLSLPNNQLKGNLPADIGFSLPNLQHLGLGMNQFTGVIPSSLSNASQLQLISFTDNRFSGSIPEELGRLANLSWIGLSGNVLGTRSGNDLSFITYLTNCTKLERLFVGGNLLKGPLPEAIANLSTQMKYLSLGINQITGTIPRGIGNLVNLNLLDMQYLLLFGSIPTGIGNVQKLLQLYIRGNQLEGQIPPSLGNLTALYDLQLSQNNLSGAIPPNLGYCQKLLRLDLSVNDIDGFIPATVFGLPSIVSINLSHNYITGTLSPNNKMSGAVPSTLGSCLSLETICMNDNSFEETIPETLSNLRGLNELDLSHNNLSGMIPESLGRLPFLQILNVSFNDLNGEVPQTGFFTNASVISLTGNRKLCGGIAQLKLPGCTVPHSNERGRSLSPKVMAPLIVLAVCLVLFAGFFILRYKRRKSAENPASSSLNNPFIKISYHELLQATDGFSNDKLIGSGSYGSVYKGILHESQSPIAVKVFNLHHRGASKSFISECKALRNIRHRNLLKIISVCAGVDYQGNDFKAVIYEFMTRGSLESWLHPQQIADDEHDLNRSLNLVERLNIAIDVASALEYLHCHCQLPIVHGDIKPSNVLLDDDMVAHVGDFGLAKVLSKVSTSVQNDQSSSDFIKGLVGYVAPEYGMGEGASIQGDVYSFGILLLEIFTARRPTDDMFQGDMNLHNFIRMSLPGRLMDIVDPKLIHEKDTIGRSVSDCLAAVLRTGLSCSMEMPKDRMEIKNVVRELHKVKEAYERKGNDGAEQFK >Manes.14G010700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:656305:661499:1 gene:Manes.14G010700.v8.1 transcript:Manes.14G010700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQILTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKKFRVLTPAEIDDYLAEVE >Manes.14G010700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:656305:661499:1 gene:Manes.14G010700.v8.1 transcript:Manes.14G010700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDEASVQKIQILTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKKFRVLTPAEIDDYLAEVE >Manes.02G221600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21422744:21425656:1 gene:Manes.02G221600.v8.1 transcript:Manes.02G221600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDSKISTATSFRRPSNRLLYDRRYGWVFDEWKDPSEEALAGGRGMFCILPLTKAFLNTAKSSINLAADFALKVLEKPDLLSPQALRANLDKQLKQVISSIKTPEINIFALNVKKSCETSNFSSHLQTGTTESDMTRSM >Manes.04G160100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35468985:35479110:-1 gene:Manes.04G160100.v8.1 transcript:Manes.04G160100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGDPQNQHQQPGGEFLRGPPPQPPMMRQLSSSSATLNPAEYYHNSSASEPPLPPYDAHGDIFGAKRMRKLTQRRAVDYTSTVVRYMQIRMWQRDSRDRTALQPTPAAAIDMLPTTAYSDNPSTTFAAKFVHASLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCYEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAGKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETTQIEVTSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDPARDKFNMGQNQSYGEPNPALAGHLPGNFPLPEPPITPGPFAPGLTRNEGTIPGVGAAMPLAIPSLDTSGQGEQKQSVPISMPLGAPPLPPGPHSSLLAANQQQGYQNNPQQMQQQQHQALPQQMPPLPMPPPNMQQLQPPSHLNLLPHPHIPRPPQVPPHGMPSPVPSSMPGSLPVPSSMTASHPLQMPGPMGMQGTMNQMVPPVPRGHFMGMNPMHSGSLSTSAAAPVGAFRNGLPNMQGPSNATGNQMYPQGGSFKRPQGGQMPMMPGFNPYQSGSQLGMPPPLQPGPPPHSHTPQ >Manes.04G160100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35468985:35479110:-1 gene:Manes.04G160100.v8.1 transcript:Manes.04G160100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDSRDRTALQPTPAAAIDMLPTTAYSDNPSTTFAAKFVHASLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCYEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAGKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETTQIEVTSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDPARDKFNMGQNQSYGEPNPALAGHLPGNFPLPEPPITPGPFAPGLTRNEGTIPGVGAAMPLAIPSLDTSGQGEQKQSVPISMPLGAPPLPPGPHSSLLAANQQQGYQNNPQQMQQQQHQALPQQMPPLPMPPPNMQQLQPPSHLNLLPHPHIPRPPQVPPHGMPSPVPSSMPGSLPVPSSMTASHPLQMPGPMGTMNQMVPPVPRGHFMGMNPMHSGSLSTSAAAPVGAFRNGLPNMQGPSNATGNQMYPQGGSFKRPQGGQMPMMPGFNPYQSGSQLGMPPPLQPGPPPHSHTPQ >Manes.04G160100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35468985:35479110:-1 gene:Manes.04G160100.v8.1 transcript:Manes.04G160100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDSRDRTALQPTPAAAIDMLPTTAYSDNPSTTFAAKFVHASLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCYEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAGKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETTQIEVTSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDPARDKFNMGQNQSYGEPNPALAGHLPGNFPLPEPPITPGPFAPGLTRNEGTIPGVGAAMPLAIPSLDTSGQGEQKQSVPISMPLGAPPLPPGPHSSLLAANQQQGYQNNPQQMQQQQHQALPQQMPPLPMPPPNMQQLQPPSHLNLLPHPHIPRPPQVPPHGMPSPVPSSMPGSLPVPSSMTASHPLQMPGPMGMQGTMNQMVPPVPRGHFMGMNPMHSGSLSTSAAAPVGAFRNGLPNMQGPSNATGNQMYPQGGSFKRPQGGQMPMMPGFNPYQSGSQLGMPPPLQPGPPPHSHTPQ >Manes.04G160100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35468985:35479110:-1 gene:Manes.04G160100.v8.1 transcript:Manes.04G160100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGDPQNQHQQPGGEFLRGPPPQPPMMRQLSSSSATLNPAEYYHNSSASEPPLPPYDAHGDIFGAKRMRKLTQRRAVDYTSTVVRYMQIRMWQRDSRDRTALQPTPAAAIDMLPTTAYSDNPSTTFAAKFVHASLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCYEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAGKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETTQIEVTSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDPARDKFNMGQNQSYGEPNPALAGHLPGNFPLPEPPITPGPFAPGLTRNEGTIPGVGAAMPLAIPSLDTSGQGEQKQSVPISMPLGAPPLPPGPHSSLLAANQQQGYQNNPQQMQQQQHQALPQQMPPLPMPPPNMQQLQPPSHLNLLPHPHIPRPPQVPPHGMPSPVPSSMPGSLPVPSSMTASHPLQMPGPMGTMNQMVPPVPRGHFMGMNPMHSGSLSTSAAAPVGAFRNGLPNMQGPSNATGNQMYPQGGSFKRPQGGQMPMMPGFNPYQSGSQLGMPPPLQPGPPPHSHTPQ >Manes.04G160100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35468985:35479110:-1 gene:Manes.04G160100.v8.1 transcript:Manes.04G160100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTAYSDNPSTTFAAKFVHASLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCYEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTAGKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETTQIEVTSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDPARDKFNMGQNQSYGEPNPALAGHLPGNFPLPEPPITPGPFAPGLTRNEGTIPGVGAAMPLAIPSLDTSGQGEQKQSVPISMPLGAPPLPPGPHSSLLAANQQQGYQNNPQQMQQQQHQALPQQMPPLPMPPPNMQQLQPPSHLNLLPHPHIPRPPQVPPHGMPSPVPSSMPGSLPVPSSMTASHPLQMPGPMGMQGTMNQMVPPVPRGHFMGMNPMHSGSLSTSAAAPVGAFRNGLPNMQGPSNATGNQMYPQGGSFKRPQGGQMPMMPGFNPYQSGSQLGMPPPLQPGPPPHSHTPQ >Manes.08G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25555450:25562687:-1 gene:Manes.08G085300.v8.1 transcript:Manes.08G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKKRKEPNKYTSPVNFIKVGSLDTIAWVRSYSRIVPPPPAYAKPVVRVSNNVAHLGSPKDSPKPRQLLSLPPFPRLPLPRKNMVAFHVTAISWLKYYFNEIPDSTIQSHFNKGLVHMQLPSCSNSIKQGGPTSIRKIKPSDVMEEGARIHIPVSVAETSISKRFGVIPSGTLCPNADEIEYLQRLVQYKDSALIVLNKPPKLPVKGNLPVHNSMDALAAAALSYDNDEGPKLVQRLDAESSGLILLGRTRESIGLLQWLFNDQNNPISCCKAWNDAREAMYQRYWALVIGTPKEKEGLIRAPLTKVLLNDGKTDRVVLAQCSGFEALTEYRVLGPKINGCSWIELRPLTRRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFSGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVIPNIAKFVDVLNQKSENLCQALDAKPDLLRFVASMPSHMKISWNLMSSYLV >Manes.06G161900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28921100:28926306:-1 gene:Manes.06G161900.v8.1 transcript:Manes.06G161900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEATNVLLSKIKIMDSENASKILGYILIQGLADNDLLSLAFGSQALLQNVVVKTKTHLELSTNALSTHSETSSPSPFSPISRPSNNYNPFLQSSAQANNFIGFAKNPSPRSWPASGLTNKPIAAPISAKASPFLSYDNICAGSPLVPPFSKNGGNSSGDASSNSSDLLNEYHLGGYSPFNDELSPENEELMDPMLQFDGFNMNNADTHLERRRFSESDACIGIEDGSFGIGLKPSMSFARGFCKNGDNCKFIHGGFEGDSITEGSGGGVMGVSLKEMDDIYLQQHEEKMRIKSTQQQQRLAYNNHMNFQLRQESDTQRMGAASVMMGDGCYKFGQSRSERNDLMLMAMEEKAKSASRQIYLTFPADSTFKDEDVSNYFSTFGPVQDVRIPYQQKRMFGFVTFVHPETVKFILSGGNPHFICDSRVLVKPYKEKGKLANKRQQHIQQQLLDKGDFSPGSSPSGLDPRGLYDFPLARMLYNTQEMMLRRKLEQQVELQQKMELQGRRLINLQLPDLRGDYFHHHQQSQSAGTPISFLSHTSIDINQNLISEVKNRDTLQGRLINDKGDASATTIASSFTVGEQKLKHEVNTTCIQSKDTVNVEKEKLYSIQSKDTVNVEKEKLYPEGCNANECHGTTVEHGLPDSLFASTKSLGSPGRFSSLLVESKDSTKFPGTSSSEIST >Manes.06G161900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28921100:28926306:-1 gene:Manes.06G161900.v8.1 transcript:Manes.06G161900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEATNVLLSKIKIMDSENASKILGYILIQGLADNDLLSLAFGSQALLQNVVVKTKTHLELSTNALSTHSETSSPSPFSPISRPSNNYNPFLQSSAQANNFIGFAKNPSPRSWPASGLTNKPIAAPISAKASPFLSYDNICAGSPLVPPFSKNGGNSSGDASSNSSDLLNEYHLGGYSPFNDELSPENEELMDPMLQFDGFNMNNADTHLERRRFSESDACIGIEDGSFGIGLKPSMSFARGFCKNGDNCKFIHGGFEGDSITEGSGGGVMGVSLKEMDDIYLQQHEEKMRIKSTQQQQRLAYNNHMNFQLRQESDTQRMGAASVMMGDGCYKFGQSRSERNDLMLMAMEEKAKSASRQIYLTFPADSTFKDEDVSNYFSTFGPVQDVRIPYQQKRMFGFVTFVHPETVKFILSGGNPHFICDSRVLVKPYKEKGKLANKRQQHIQQQLLDKGDFSPGSSPSGLDPRGLYDFPLARMLYNTQEMMLRRKLEQQVELQQKMELQGRRLINLQLPDLRGDYFHHHQQSQSAGTPISFLSHTSIDINQNLISEVKNRDTLQGRLINDKGDASATTIASSFTVGEQKLKHEVNTTCIQSKDTVNVEKEKLYSIQSKDTVNVEKEKLYPEGCNANECHGTTVEHGLPDSLFASTKSLGSPGSHVSNKGWHDQTDQPC >Manes.06G161900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28921100:28926306:-1 gene:Manes.06G161900.v8.1 transcript:Manes.06G161900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEATNVLLSKIKIMDSENASKILGYILIQGLADNDLLSLAFGSQALLQNVVVKTKTHLELSTNALSTHSETSSPSPFSPISRPSNNYNPFLQSSAQANNFIGFAKNPSPRSWPASGLTNKPIAAPISAKASPFLSYDNICAGSPLVPPFSKNGGNSSGDASSNSSDLLNEYHLGGYSPFNDELSPENEELMDPMLQFDGFNMNNADTHLERRRFSESDACIGIEDGSFGIGLKPSMSFARGFCKNGDNCKFIHGGFEGDSITEGSGGGVMGVSLKEMDDIYLQQHEEKMRIKSTQQQQRLAYNNHMNFQLRQESDTQRMGAASVMMGDGCYKFGQSRSERNDLMLMAMEEKAKSASRQIYLTFPADSTFKDEDVSNYFSTFGPVQDVRIPYQQKRMFGRQQHIQQQLLDKGDFSPGSSPSGLDPRGLYDFPLARMLYNTQEMMLRRKLEQQVELQQKMELQGRRLINLQLPDLRGDYFHHHQQSQSAGTPISFLSHTSIDINQNLISEVKNRDTLQGRLINDKGDASATTIASSFTVGEQKLKHEVNTTCIQSKDTVNVEKEKLYSIQSKDTVNVEKEKLYPEGCNANECHGTTVEHGLPDSLFASTKSLGSPGSHVSNKGWHDQTDQPC >Manes.06G161900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28921100:28926306:-1 gene:Manes.06G161900.v8.1 transcript:Manes.06G161900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEATNVLLSKIKIMDSENASKILGYILIQGLADNDLLSLAFGSQALLQNVVVKTKTHLELSTNALSTHSETSSPSPFSPISRPSNNYNPFLQSSAQANNFIGFAKNPSPRSWPASGLTNKPIAAPISAKASPFLSYDNICAGSPLVPPFSKNGGNSSGDASSNSSDLLNEYHLGGYSPFNDELSPENEELMDPMLQFDGFNMNNADTHLERRRFSESDACIGIEDGSFGIGLKPSMSFARGFCKNGDNCKFIHGGFEGDSITEGSGGGVMGVSLKEMDDIYLQQHEEKMRIKSTQQQQRLAYNNHMNFQLRQESDTQSTFGPVQDVRIPYQQKRMFGFVTFVHPETVKFILSGGNPHFICDSRVLVKPYKEKGKLANKRQQHIQQQLLDKGDFSPGSSPSGLDPRGLYDFPLARMLYNTQEMMLRRKLEQQVELQQKMELQGRRLINLQLPDLRGDYFHHHQQSQSAGTPISFLSHTSIDINQNLISEVKNRDTLQGRLINDKGDASATTIASSFTVGEQKLKHEVNTTCIQSKDTVNVEKEKLYSIQSKDTVNVEKEKLYPEGCNANECHGTTVEHGLPDSLFASTKSLGSPGSHVSNKGWHDQTDQPC >Manes.06G161900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28921100:28926306:-1 gene:Manes.06G161900.v8.1 transcript:Manes.06G161900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEATNVLLSKIKIMDSENASKILGYILIQGLADNDLLSLAFGSQALLQNVVVKTKTHLELSTNALSTHSETSSPSPFSPISRPSNNYNPFLQSSAQANNFIGFAKNPSPRSWPASGLTNKPIAAPISAKASPFLSYDNICAGSPLVPPFSKNGGNSSGDASSNSSDLLNEYHLGGYSPFNDELSPENEELMDPMLQFDGFNMNNADTHLERRRFSESDACIGIEDGSFGIGLKPSMSFARGFCKNGDNCKFIHGGFEGDSITEGSGGGVMGVSLKEMDDIYLQQHEEKMRIKSTQQQQRLAYNNHMNFQLRQESDTQSTFGPVQDVRIPYQQKRMFGFVTFVHPETVKFILSGGNPHFICDSRVLVKPYKEKGKLANKRQQHIQQQLLDKGDFSPGSSPSGLDPRGLYDFPLARMLYNTQEMMLRRKLEQQVELQQKMELQGRRLINLQLPDLRGDYFHHHQQSQSAGTPISFLSHTSIDINQNLISEVKNRDTLQGRLINDKGDASATTIASSFTVGEQKLKHEVNTTCIQSKDTVNVEKEKLYSIQSKDTVNVEKEKLYPEGCNANECHGTTVEHGLPDSLFASTKSLGSPGRFSSLLVESKDSTKFPGTSSSEIST >Manes.06G161900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28921100:28926306:-1 gene:Manes.06G161900.v8.1 transcript:Manes.06G161900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCEATNVLLSKIKIMDSENASKILGYILIQGLADNDLLSLAFGSQALLQNVVVKTKTHLELSTNALSTHSETSSPSPFSPISRPSNNYNPFLQSSAQANNFIGFAKNPSPRSWPASGLTNKPIAAPISAKASPFLSYDNICAGSPLVPPFSKNGGNSSGDASSNSSDLLNEYHLGGYSPFNDELSPENEELMDPMLQFDGFNMNNADTHLERRRFSESDACIGIEDGSFGIGLKPSMSFARGFCKNGDNCKFIHGGFEGDSITEGSGGGVMGVSLKEMDDIYLQQHEEKMRIKSTQQQQRLAYNNHMNFQLRQESDTQRMGAASVMMGDGCYKFGQSRSERNDLMLMAMEEKAKSASRQIYLTFPADSTFKDEDVSNYFSTFGPVQDVRIPYQQKRMFGRQQHIQQQLLDKGDFSPGSSPSGLDPRGLYDFPLARMLYNTQEMMLRRKLEQQVELQQKMELQGRRLINLQLPDLRGDYFHHHQQSQSAGTPISFLSHTSIDINQNLISEVKNRDTLQGRLINDKGDASATTIASSFTVGEQKLKHEVNTTCIQSKDTVNVEKEKLYSIQSKDTVNVEKEKLYPEGCNANECHGTTVEHGLPDSLFASTKSLGSPGRFSSLLVESKDSTKFPGTSSSEIST >Manes.10G064400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9111857:9113515:1 gene:Manes.10G064400.v8.1 transcript:Manes.10G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMKGKLVKRLKSIKPIGYLKLDRVLQVNAVDGFIETFTKSSSLSAETQFIAKESVQEKVEERRVLNQEPDIIVVEELMRDLEEDDMENGDDIDDKENIGPHVGVKGKMETSFRQQTPLSEVDVSSFRRPDLNSGTLFDPNLLAAFEEAVKEHFRMSESERQARIEKENLERRKEEGSEREARNLEKCEEEPPPKSRRIEEEEEEEEKPLLSYDEKCPPGGSDSVILYTTTLRGIRKTFEDCNSVRFLLESFRVIFYERDVSMHMEFKEELWRVMEGKAKPPRLFIRGRYIGGAEEVLRLHEQGKFRQLFEGVPIDESTGPCEGCAGFRFVVCFNCSGSHRITEDNGLSRKCQDCNENGLIICPLCC >Manes.17G038908.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20433305:20444615:-1 gene:Manes.17G038908.v8.1 transcript:Manes.17G038908.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLQVHKPLSCRAVFQERTLLNIKPFLGFFPRVKTSHFSNLTSGGNRYPIGGSSLHFIVAADFSKRRHRKISTAKSKRPPPKGFKPKKSAGASLLKRDAKNKGIKEVSIALESRQIVDPNKKILEIKPDIDEEQAIEFTEYKKVNKERTTKDASSISKKAFVAKSNQGMENGTVGSVECMSGPVDEITIEERQFGNSKSDSIVNENVGTDEKSTKDPSLKLKMEMEENSQKQEIEVLAEENFSRGNKLFVYPQLAKPDKYIQVYLNRSLSTLNNEPDVLIMGAFNEWRWKSFTMRLNKTHLKGDWWSCQVHVPKEAYKMDFVFFNGKNAYDNNDKRDFCIPIEVGMDAFPSDDFLLEEKCREQSERERRAEEQRQREEEKAASEADRAKAKVKTEKSQEILLQLMKNAARSVDNVWYIEPSEFHGGGLVQLYYNKSSGPLSHANDLWIHGGYNNWNDGLVFVEKLVKSERKEGDWWYANVVVPDQVLVLDWVFADGPPQSAIVYDNNQRQDFHAVVAKPIPEGLFSFEEEHQKHRKPQEERQLREEDIRAKAARTTRMKAERKERTLKRFLLYQKHILYTDPLDVQAGTAVTIFYNPANTILNGKSEVWIRCSFNHWTHHNGPLPPQKMLPADNGSHVKSTVKVPLDAYMMDLVFSEREDGGIFDNGDGMDYHIPVFGGTVKEPPMHIVHVAVEMAPIAKVGGLGDVITGISRAVQDLNHSVDIILPKYDCLNLSHVKDFYHQKSYSWGGTEIKVWYGKVEGLSVYFLEPVNGMFSTGCIYGCRNDSERFGFFCHAALEFLLQNELHPDIIHCHDWSSAPVAWLFKDHYMDYGLSKARVVFTIHNLEFGAGHIAKAMAYSDKSTTVSPTYSKEVAGNPAVSPHLRKFHGILNGIDPDIWDPYNDEFIPVSYISENVVEGKEQPRKLCSRGLD >Manes.12G060102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5220197:5222051:-1 gene:Manes.12G060102.v8.1 transcript:Manes.12G060102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFGGVVIYDCFVGFPILVGLILVDCFKIKTCKSPLQYRSRRKMPRGLSDGVSDMEVSFTTLPREISLSLSPMPNSNLNRILSAAVISTASLSLKPMPAFAKISHPELVNFEVQEIQALCYSTQTNRPQIENEMKDHPMWRLDCLTSPPPSLSFLDSSPEIPAGGKLPDQGELAKKLFVDSKLVVYEDIIVGTGQPINDQKLLQVNFHYDFYESSEKRRRWGNYGSKKNSKRKPEEIHLCKHNFGKGFEKGIQGMREGGIRKIFVPTEFSPGENSGAFYVELLQVCPSVQCHIRNKFP >Manes.08G103600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34279394:34281739:1 gene:Manes.08G103600.v8.1 transcript:Manes.08G103600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCTCTLFQLLVLLSIILAPTNANEEGDALYALRRAVKDPGLVLQSWDPTLVDPCTWFHVTCDSDNRVTRLDLGNAKLLGNLVPELGKLQRLQYLELYMNELVGPMPKELGNLKSLVSLDLYHNNLTGSIPASISKLSSLKFLRLNGNRLSGRIPRELTKLGNLKILDVSDNDLCGTIPTSGSFCKFSEESFVNNPRLEGPELMGFVRYVGGGSC >Manes.10G015742.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1592040:1595706:1 gene:Manes.10G015742.v8.1 transcript:Manes.10G015742.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLANLGASWSSILHVHLIFLVSITMLSLQPEICLSFKMGNETDRLALLEFKAKIASDPYGTLRSWNNSVNFCKWQGVTCGRKHHRVTSLDLHELSLSGTISPYIGNLTFLRFLNLSDNRFYGEIPQEVGRLFRLRIFSLKTNILRGEIPVNISFCSELRIMTLAVNGLVGKIPAELSSLKKLMGLFLGTNKLTGKIPHSFGNLSSLQRLFLQYNHLEGNIPNELGRITSLTMLGMGINNLVGPIPTTLYNISSITALSVPDNQLNGRLPEKIGLTLPNLQFFHFGGNKFHGSIPASLTNASQLQILDIGSNRLTGPIPKNLGDLTGLQRLNMEKNFLGNNSSQDLAFITSLSNCSNLRKLYLADNNFGGVFPATIVNMSTLGDLGLGINQISGRIPADIGNLVNLYRLGLEQNLFYGSIPNSFGKLQKLQALLLHTNMLSGQIPQSLGNITQLSDLWLGRNKLEGNMTSITNCQNLHILDVGNNNLTGSIPPQIFALPFLSLVLNLSYNSFTGPLPREIGHLKNIGTLDITESKLSGEIPGSIGECLSLTDLYMPGNFLQGPIPSSLASLRGLRYLDLARNNLSGKIPKEIEKLPFLQFLNLSFNNLEGEVPTKGVFSSRSAVSLDGNKNLCGGIPELQLPACPIKQKKHKKPLVAIILAATMSLILLLSAITSLRLLYWRKSKKNPSSNPFTLDKLFQISYNELLQATQGFSSDNLIGEGSFGSVYRGSLDLEGERIVAVKVLNLQQHGASKSFIAECRALRSIRHRNLVKILTCCSSIDFKGNDFKALVLDFMENGSLETWLHPEEDGTSQSRNLNLLQRLRVAVDLSSALHYLHDLCETPIIHCDLKPSNILLDNDMTAHLGDFGLARLLSKNTSNSSQGQTNSIGMKGTIGYIPPGNKIFNTLIYQINMVVIYVTKIVGYVCIQNME >Manes.10G015742.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1592040:1595684:1 gene:Manes.10G015742.v8.1 transcript:Manes.10G015742.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLANLGASWSSILHVHLIFLVSITMLSLQPEICLSFKMGNETDRLALLEFKAKIASDPYGTLRSWNNSVNFCKWQGVTCGRKHHRVTSLDLHELSLSGTISPYIGNLTFLRFLNLSDNRFYGEIPQEVGRLFRLRIFSLKTNILRGEIPVNISFCSELRIMTLAVNGLVGKIPAELSSLKKLMGLFLGTNKLTGKIPHSFGNLSSLQRLFLQYNHLEGNIPNELGRITSLTMLGMGINNLVGPIPTTLYNISSITALSVPDNQLNGRLPEKIGLTLPNLQFFHFGGNKFHGSIPASLTNASQLQILDIGSNRLTGPIPKNLGDLTGLQRLNMEKNFLGNNSSQDLAFITSLSNCSNLRKLYLADNNFGGVFPATIVNMSTLGDLGLGINQISGRIPADIGNLVNLYRLGLEQNLFYGSIPNSFGKLQKLQALLLHTNMLSGQIPQSLGNITQLSDLWLGRNKLEGNMTSITNCQNLHILDVGNNNLTGSIPPQIFALPFLSLVLNLSYNSFTGPLPREIGHLKNIGTLDITESKLSGEIPGSIGECLSLTDLYMPGNFLQGPIPSSLASLRGLRYLDLARNNLSGKIPKEIEKLPFLQFLNLSFNNLEGEVPTKGVFSSRSAVSLDGNKNLCGGIPELQLPACPIKQKKHKKPLVAIILAATMSLILLLSAITSLRLLYWRKSKKNPSSNPFTLDKLFQISYNELLQATQGFSSDNLIGEGSFGSVYRGSLDLEGERIVAVKVLNLQQHGASKSFIAECRALRSIRHRNLVKILTCCSSIDFKGNDFKALVLDFMENGSLETWLHPEEDGTSQSRNLNLLQRLRVAVDLSSALHYLHDLCETPIIHCDLKPSNILLDNDMTAHLGDFGLARLLSKNTSNSSQGQTNSIGMKGTIGYIPPGRRPTDEVFTDGLNLHSFVSSKLPGHVMQALDPKLIATGEFRAEEIVEGNESSDDGQIEIQENNIKIENLKLHVSNVKECVVSVLKIGLACSAELPGDRMNMRDVTRKLNIIMDAILRARTHEDPRIVNHK >Manes.10G015742.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1592040:1595651:1 gene:Manes.10G015742.v8.1 transcript:Manes.10G015742.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLANLGASWSSILHVHLIFLVSITMLSLQPEICLSFKMGNETDRLALLEFKAKIASDPYGTLRSWNNSVNFCKWQGVTCGRKHHRVTSLDLHELSLSGTISPYIGNLTFLRFLNLSDNRFYGEIPQEVGRLFRLRIFSLKTNILRGEIPVNISFCSELRIMTLAVNGLVGKIPAELSSLKKLMGLFLGTNKLTGKIPHSFGNLSSLQRLFLQYNHLEGNIPNELGRITSLTMLGMGINNLVGPIPTTLYNISSITALSVPDNQLNGRLPEKIGLTLPNLQFFHFGGNKFHGSIPASLTNASQLQILDIGSNRLTGPIPKNLGDLTGLQRLNMEKNFLGNNSSQDLAFITSLSNCSNLRKLYLADNNFGGVFPATIVNMSTLGDLGLGINQISGRIPADIGNLVNLYRLGLEQNLFYGSIPNSFGKLQKLQALLLHTNMLSGQIPQSLGNITQLSDLWLGRNKLEGNMTSITNCQNLHILDVGNNNLTGSIPPQIFALPFLSLVLNLSYNSFTGPLPREIGHLKNIGTLDITESKLSGEIPGSIGECLSLTDLYMPGNFLQGPIPSSLASLRGLRYLDLARNNLSGKIPKEIEKLPFLQFLNLSFNNLEGEVPTKGVFSSRSAVSLDGNKNLCGGIPELQLPACPIKQKKHKKPLVAIILAATMSLILLLSAITSLRLLYWRKSKKNPSSNPFTLDKLFQISYNELLQATQGFSSDNLIGEGSFGSVYRGSLDLEGERIVAVKVLNLQQHGASKSFIAECRALRSIRHRNLVKILTCCSSIDFKGNDFKALVLDFMENGSLETWLHPEEDGTSQSRNLNLLQRLRVAVDLSSALHYLHDLCETPIIHCDLKPSNILLDNDMTAHLGDFGLARLLSKNTSNSSQGQTNSIGMKGTIGYIPPEYGIGCKATTNGDVYSFGIILLEIFTGRRPTDEVFTDGLNLHSFVSSKLPGHVMQALDPKLIATGEFRAEEIVEGNESSDDGQIEIQENNIKIENLKLHVSNVKECVVSVLKIGLACSAELPGDRMNMRDVTRKLNIIMDAILRARTHEDPRIVNHK >Manes.18G126800.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15597367:15601186:-1 gene:Manes.18G126800.v8.1 transcript:Manes.18G126800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVKTVAKAVSEYQYPWREKLAKHKDELSKGVWGYWNLGAWTPLHISARRRARLRKEVLLAGEDWPYDPERKEMRIKMKGHKCDRISAEKRENTAKLMERMPAMLLAYKKRRWEKKMKEEDKKFKLKEDDKKSK >Manes.18G126800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15597367:15601186:-1 gene:Manes.18G126800.v8.1 transcript:Manes.18G126800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVKTVAKAVSEYQYPWREKLAKHKDELSKGVWGYWNLGAWTPLHISARRRARLRKEVLLAGEDWPYDPERKEMRIKMKGHKCDRISAEKRENTAKLMERMPAMLLAYKKRRWEKKMKEEDKKFKLKEDDKKSK >Manes.18G126800.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15597367:15601211:-1 gene:Manes.18G126800.v8.1 transcript:Manes.18G126800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVKTVAKAVSEYQYPWREKLAKHKDELSKGVWGYWNLGAWTPLHISARRRARLRKEVLLAGEDWPYDPERKEMRIKMKGHKCDRISAEKRENTAKLMERMPAMLLAYKKRRWEKKMKEEDKKFKLKEDDKKSK >Manes.18G126800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15597367:15601251:-1 gene:Manes.18G126800.v8.1 transcript:Manes.18G126800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVKTVAKAVSEYQYPWREKLAKHKDELSKGVWGYWNLGAWTPLHISARRRARLRKEVLLAGEDWPYDPERKEMRIKMKGHKCDRISAEKRENTAKLMERMPAMLLAYKKRRWEKKMKEEDKKFKLKEDDKKSK >Manes.18G126800.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15597367:15601186:-1 gene:Manes.18G126800.v8.1 transcript:Manes.18G126800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVKTVAKAVSEYQYPWREKLAKHKDELSKGVWGYWNLGAWTPLHISARRRARLRKEVLLAGEDWPYDPERKEMRIKMKGHKCDRISAEKRENTAKLMERMPAMLLAYKKRRWEKKMKEEDKKFKLKEDDKKSK >Manes.18G126800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15597296:15601227:-1 gene:Manes.18G126800.v8.1 transcript:Manes.18G126800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVKTVAKAVSEYQYPWREKLAKHKDELSKGVWGYWNLGAWTPLHISARRRARLRKEVLLAGEDWPYDPERKEMRIKMKGHKCDRISAEKRENTAKLMERMPAMLLAYKKRRWEKKMKEEDKKFKLKEDDKKSK >Manes.18G126800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15597367:15601252:-1 gene:Manes.18G126800.v8.1 transcript:Manes.18G126800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVKTVAKAVSEYQYPWREKLAKHKDELSKGVWGYWNLGAWTPLHISARRRARLRKEVLLAGEDWPYDPERKEMRIKMKGHKCDRISAEKRENTAKLMERMPAMLLAYKKRRWEKKMKEEDKKFKLKEDDKKSK >Manes.18G126800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15597367:15601211:-1 gene:Manes.18G126800.v8.1 transcript:Manes.18G126800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVKTVAKAVSEYQYPWREKLAKHKDELSKGVWGYWNLGAWTPLHISARRRARLRKEVLLAGEDWPYDPERKEMRIKMKGHKCDRISAEKRENTAKLMERMPAMLLAYKKRRWEKKMKEEDKKFKLKEDDKKSK >Manes.12G048400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4453647:4455799:-1 gene:Manes.12G048400.v8.1 transcript:Manes.12G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYKFHSVLQLQHTTKSSLQRVQTNIMDFSSNFIAILGVVAVLLLYKQWRARKHSSKGHPLPPQVPGALPIIGHLHKLGARKPLARILGDIADKHGPIFSIMMGIHRTVVVSDQHILKEFYTTNDKFLASRPLSRQTKYLAYNGAVFGFTPYSSYWRDVRKLTTVEVLSPHRLKSFKDVRTSEVSHVVKDLFRNFKENKNNPIKVNMSELFDHLVLNIITIMVAGKRYFEGENNGHGEKGRPIGQVMREFTHAAGAFVPSDMIPFLGWTDFFGPVKSMKKVMKELDSIFEVWVQEHELRRLNGEVETPRDFIDVLLTAIEDDSVFGNSRETVIKAIILSLIVGGADSTSITLTWILANLLNHRRELELAQKEIDEKIGRDRPVEESDTENLVYLKAIIKETLRLFPAGPLAVPREAMEDCTLSGYHIPKGTRLFINLWKLHRDPSAWPNPEEFKPDRFLTTHADVDVLGQNFELVPFSSGRRSCPGINFAMQVILLGMARLLQGFNFSTPNNEPVDMTESLNISLDKETPLVVMITPRLAPEFYQY >Manes.03G087700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19009685:19011127:1 gene:Manes.03G087700.v8.1 transcript:Manes.03G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSPRLQLLPQPLPPPITTFTAAETASKTSKKLALLPLVFLIFFEVSGGPYAAESPVGAAGPLWAILGFLVFPFIWSIPEALITAELTTVFPGNGSFVIWADQAFGPFWGSMLGSRKFLTGVLNLASYPVLCIDYLKLVFPVFSAGLPRYVAVFVLTLMLSFLNYTGLAIVGYTAVTLGVVSLSPFVVMTLFSIPKIDPSRWISLGEKGAQKDWTLFSNTLFWKLNSWDSASNLAGEVEDPQRTFPKALFAAALVTCLGHLVPILAATGAIPPKQEDWINGSFADFGEVIAGKWLKVWIEIGACLSVVGLYEAQLSSCVYQVVGIAKLGFLPVFLGMRSRWFNTPWVGILVSTIIALAGSCLDFADIISSVNFLYCLVMLLEFASFLWLRRKLPNIKRPFRVPMGLPGLVIMCLIPCGFLVYVMAVATTTVYLVSAILTFLSIVWYFLINLCRSKMWVQFNNAGGQLECEDYPLDKLF >Manes.05G041100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3314288:3317234:1 gene:Manes.05G041100.v8.1 transcript:Manes.05G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSELEFSLPENLLQPLFRTSKSSNLKEALEILVETSRIDEGRADLASKDILPTVLQLTQSIPYPSGHQCLMLALKLLRNLCAGEIANQTLFIALNGPGIISIVLRPAVLASDPDHGIIRMGLQVLANVSLAGEEHQQSIWRCFFPREFVALAKFSSRDILDPLCMIIYTCCDGNPSLFAELSGDQGLPIMAEVVRTASAVGFGEDWLKLLLSRICLEEVHFPQLFSRFYSVEESENSEDINSSDGHFSREQAYLLSIVSEILNERLREITVAIDFGLYIFGIFKRSLGVIDFGSKANSGLPTGSAATDVLGYSLTILRDICAQHCKSSLNEDLMDVVDKLLSDGFLELLLSVLRDLEPPTIIKKAMKLNGSQAADPSHSFRSCPYIGFRRDIVAVIGNCAFQRRSVQDYIREKKSILLMLQQCVTDEDNPFLREWGIWSVRNLLEGNADNQQAVAELELQGSVDVPELAGLGLRVEVDPKTGRARLVNVS >Manes.S095224.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251221.1:5234:9852:1 gene:Manes.S095224.v8.1 transcript:Manes.S095224.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWDEESIFIASLIVEDTPDRQFKHKKRSDLHFKTPPSASSRRKRRAQRKSPILISVPAISLEEEELPEKQENHQKEKTEIVAKEERKTEGDELKKDDSGVSCSNSVFPCMDKLREELSCAICLEICFEPSTTSCGHRANF >Manes.S095224.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251221.1:5234:6285:1 gene:Manes.S095224.v8.1 transcript:Manes.S095224.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWDEESIFIASLIVEDTPDRQFKHKKRSDLHFKTPPSASSRRKRRAQRKSPILISVPAISLEEEELPEKQENHQKEKTEIVAKEERKTEGDELKKDDSGVSCSNSVFPCMDKLREELSCAICLEICFEPSTTSCGHR >Manes.S095224.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251221.1:5234:6327:1 gene:Manes.S095224.v8.1 transcript:Manes.S095224.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWDEESIFIASLIVEDTPDRQFKHKKRSDLHFKTPPSASSRRKRRAQRKSPILISVPAISLEEEELPEKQENHQKEKTEIVAKEERKTEGDELKKDDSGVSCSNSVFPCMDKLREELSCAVSRSISRTDF >Manes.11G032000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3123645:3125207:1 gene:Manes.11G032000.v8.1 transcript:Manes.11G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLLLLFLAATTVTSHSHASELSFLHQIHLLRPQSGAAGDHLPGITCLSWRLGVETNNIVGWITVPGECEGYVGHYMLGQQYREDSKAVTDEAFLYAASLKLAGDGNDIWIFDIDETTLSNLPYYASHGFGAQPFNATLFNKWVLEGKAPALPESLKLYRKLRSLGIKIVFITGRTEDQRTVTANNLKKAGYSSWLKLILKSSSYSGKTAVFYKSSERAKLEKNGYRIIGNIGDQWSDLLGTNTGNRTFKLPDPMYYIS >Manes.11G032000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3123645:3125207:1 gene:Manes.11G032000.v8.1 transcript:Manes.11G032000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLLLLFLAATTVTSHSHASELSFLHQIHLLRPQSGAAGDHLPGITCLSWRLGVETNNIVGWITVPGECEGYVGHYMLGQQYREDSKAVTDEAFLYAASLKLAGDGNDIWIFDIDETTLSNLPYYASHGFGAQPFNATLFNKWVLEGKAPALPESLKLYRKLRSLGIKIVFITGRTEDQRTVTANNLKKAGYSSWLKLILK >Manes.09G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7914766:7919722:-1 gene:Manes.09G045200.v8.1 transcript:Manes.09G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRSATMRNSPIKLVLALYCLVSVVYSDTDPNDWTILKAFRDGLENPELLEWPANGNDPCGQSWNHVHCVNSRVSQIQVQNMSLKGTLPQNLNQLIMLENLGLQRNQFTGPLPSFSRLSKLQYAYLDYNQFDAIPSDFFVGLESLQILALDNNPLNATTGWMFPKDLQGSSQLTNLSCINCNLAGPLPDFLGSLLSLQNLKLSGNSLSGELPPSFKGGMSLQNLWLNDQKGGGLSGTIDVVATMESVTVLWLHGNQFTGKIPESIGNLTLLKDLNLNTNRLVGLVPDNLKNMPLQHLDLNNNQLMGPTPMFKAAKVSCSSNSFCLSTPGVPCAPEVMALLEFLDGLNYPPRLVSSWIGNDPCSSWLGVTCNSNKVYSISLPKYNLSGTLSPSVAKLDSLQHINLGGNSLSGPVPANWTALTSLKTLDLSSNNISPPFPRFPTTVDVVIDGNPLLTGGKPDQSPNKNPSSGSFDSPKSPSQTKGTNSNPGDSVESAKQKSKRSTFVAIVAPVASVAFVAVLIIPLSIYYSKKRKDRFQASSSLVIHPRDPSDSDNTLKIVVANNTNGSTSTITGSGSASRDSSGIGESHVIEAGNLVISIQVLRNVTKNFCPENELGRGGFGVVYKGELDDGTKIAVKRMESGVISSKALDEFQAEIAVLSKVRHRNLVSLLGYSIAGNERILVYEYMPQGALSKHLFHWKSLKLEPLSWKRRLNIALDVARGMEYLHNLAHRSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDEDRPEESQYLAAWFWHIKSDKEKLRAAIDPALDVKDETFESISTIAELAGHCTAREPNQRPDMSYAVNVLAPLVDKWKPLDDDTEEYCGIDYSLPLNQMVKGWQEAEGKDFSYVDLEDSKSSIPARPTGFAESFTSADGR >Manes.06G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16266712:16268672:-1 gene:Manes.06G053600.v8.1 transcript:Manes.06G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLAPRYESDYDSSSSSVTIPGSSSSWMSNLSSLSVTSSLPESSSLSSTHKPHEANQAAWEAIKGLQIASGGVGLDHFRLLRRLGSGDLGNVYLCRIRNPVVGLPQCFYAMKVVDKEALAIRNKLQRAKMEKEILSMIDHPFLPTLYAEFEASHYSCLVMEYCPGGDLYAVRQRQPGRRFPILAAKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSLKCDVVPKLISSNKSNLGAIDHKPKTSTPSCATYMQPLRSCFSASNKKKQKASRVTTITERVDSDGGGGGGGGCYRIDQELDNPELVAEPINARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFLYEMLYGRTPFKGENNERTLINILKQPLSFPRTVISSSKELEERVKVEDLISKLLVKNPKKRIGSFKGSAEIKRHEFFKGVNWALIRSVKPPEVPRDSCKLTSRVLIPKLSKKEKEAPYQIPNHHRFDYF >Manes.11G085400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12873997:12876419:-1 gene:Manes.11G085400.v8.1 transcript:Manes.11G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSILSDRLRINGSLARRAIRELMARGLIRMVSAHASQQIYTRATNT >Manes.01G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4938360:4943154:1 gene:Manes.01G021900.v8.1 transcript:Manes.01G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSQINNQEQSVPNKEQRTRPSSDQEETQARVPLRNPHHGLKEKMRALTLLYEQQKKASSALRNLSPKPEEKRFSTHPSVDLLSSCKKNEKAFKETKDYKQENVMRENTMPMVPTLHNEKLNSTVTRTFVLPQPPAEDAKENLVMGPDRVIGFSSCARKANVSTTVARRLSMGVSVAQTEPKGFLGKENVREMEKLEAVSEKNEATGSRILVFVRLRPMFKKEREAGLRCCVKIVNRKDVYLTEFANENDYLRLKRLCGRHFTFDASFSDSTSQQEVYSTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFTKIRQRSYDGNHMVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQRGNQNRTTEPTRANETSSRSHAILQVVVEYQVKDASMNVINRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWADRAKKIRTKAYEANVEIQHLPESETDLASLLLEVQKENRELRVQLARQQQKLLTLQAQSLAANASPTPSSISSLLTPPTAQPNEKRKTRPSFLARNCFTPESRKKGENETVKELQQTVKTLEAEIERMKKDHALQMKQKDERIRELSRKSDKPLGGVSKRVVPGRGNLGAKESNLGELKSTSQRFKSPVPTAKKRSFWDITTANSPSVATLNGRKTRSHIIAEPSAAPSMLLQPGFSRQVHDPLK >Manes.12G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:520510:525328:-1 gene:Manes.12G003200.v8.1 transcript:Manes.12G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLFISLSLFLLIALLFKFLTADGDFTLMSRRHVKREEIEDKVVWITGASRGIGEILAKQLASLGAKLILSARNEAELERVKKQLTGKHAPDEVKILPLDLASGEDSLGKAVEKAESFFSGAGVDYMIHNAAYERPKSAALDVTEESLQATFNINVLGTISLTRLLATSMVNRGRGHFVVMSSAAGKVPTPGQAVYSASKFALNGYFQSLRSELYQRGIRVTIVCPGPIETSNGYGVTTSTKKGSEKRVSSERCAELTIIAATHGLKEAWISHQPVLAVLYLVQYMPTIGYWLMDKIGGKRVEVAAQKGNTYSWGLLFGKKKA >Manes.S035716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:929156:929968:-1 gene:Manes.S035716.v8.1 transcript:Manes.S035716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRHSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.02G199900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16962342:16967186:1 gene:Manes.02G199900.v8.1 transcript:Manes.02G199900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNLRKGEEISKSICKAIEKSSLSVIIFSEKYAFSKWCLDEVVKILECKKMNGQLVIPVFYRIDPMHVRNQSGSFEAAFAKHEQEKIDKVERWRAALKEAANVSGWDSMVTRPDSKLIEEIVGDILKKLYEISPSKSIGLVGIDSRLKQIESLLCMDSTNVLMVGIWGMGGIGKTTLAGAIFDRISIQYESCCFLVNVREQLKRCQLAQLRDELFSKLLEENIDTRTLSLGVNFLKDRLRRKKVLVVLDDIDTSTRLQELLPEQREMFGPGSRILVTSRDKQVLKIAVDEIYEVEELNHEEALQLFCLNAFKKTCLEIDYLERSKRVVNYAKGNPLALRVLGSALLGRNEEDWDSALEKLENVQNFEIQNVLRISYDGLNRDEKKIFLDIACFFRGEDRNFAMKILSGCYSSVHYTISTFIDKSLVSVSNNKLEMHDLLQEMGWSIVGEESELENRSRLWNPKDVYCVLTKKKGTKAIEGISLDLSAAREMHLESDAFAGMDHMRILKFYMSNSSIGYKDKVQLPRRGLRSLSDELRYLHWYRFPSKSLPLKFCAENLVVLDLPHSNVEQLWTGEQDLMNLKQIGLSYSKYLTKIPDLSQAKNVESINLEGCKSLVELPSSIQYLHKLEYLNLRLCKSLRRLPSRIDSKLLRILDISHCPNVKHCPEILENVEELHLCRSGLKELPQSVHKVKALEIVWLIGCSNITKFPHVSMNVRELYLSETSIKEVPSSIEFLTGLEILEMISCSKLQRIPSSISKLKSLEILVLSRCSKLENFPEILEPMESLACLYLDYCENLKSLPDSIYNLKSLEHLHLSGTAIQELPSSIEHLNCLKELKLDECKKLVSLPTSIRKVSELRSIYLNHCKNLRALPELPQSLKVVEANGCRAMEAFSSSKKFSFMNLCFTNCFRLDQRARSEIVENSHSTVQFLTSKFGEYKDQVRILFQGSEIPECFHEQTLGTSLSIQLPANWHQYQGIAFCIVFTSEDPSIVCRISRFTCESHFRSNNKENEEKIFNWVCFVDDLHLHEPDQVLLWYDPCIKALKGDGSDKEEDWFSKYSSASFQFYPQRWRKFQKHCNVKKCGVLLL >Manes.17G027900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19762263:19769838:1 gene:Manes.17G027900.v8.1 transcript:Manes.17G027900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGLAILMRTRMKTSTDPSRLPLLPLTGKVNQMLTDAKGNAFDTSVPQAAAEEAQGPTKLNPPADSTSYRDFDAVRESMHSAISLNKAEVLDVVLDDFSEGYFSLSFENRRKLLITLAQVYDLNRAQVRDLIKQYLGLELPGGNASESSTVDEEAMLSCFYRVERNLRQVLKPAYEVLFERLNNRPGGLKFLSIFRADVLSILAEENIASLRALDSYLKEKLNTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGVGRRCFGYLHPAIRGEPLIFIEVALLRNVAQTIQEVLWDTPPIPESEATCALFYSISSTQESTWESFLSNVSLNWLKERCPIYLESFPGKSGMDVMLKLLTSTKFEWISSPELLSALKPPLMRLCARYLLEEKKRGKALDSVANFHLQNGAMIERINWMADRSEKGLRQSGGIMVNYIYRVERIDECAHSYFSTGHIHASNDIRRYTLGV >Manes.17G027900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19762263:19769838:1 gene:Manes.17G027900.v8.1 transcript:Manes.17G027900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGLAILMRTRMKTSTDPSRLPLLPLTGKVNQMLTDAKGNAFDTSVPQAAAEEAQGPTKLNPPADSTSYRDFDAVRESMHSAISLNKAEVLDVVLDDFSEGYFSLSFENRRKLLITLAQVYDLNRAQVRDLIKQYLGLELPGGNASESSTVDEEAMLSCFYRVERNLRQVLKPAYEVLFERLNNRPGGLKFLSIFRADVLSILAEENIASLRALDSYLKEKLNTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGVGRRCFGYLHPAIRGEPLIFIEVALLRNVAQTIQEVLWDTPPIPESEATCALFYSISSTQPGLAGINLGKFLIKRVIKLVKREMPHISTFATISPIPGYMQWLLSKLASQSILAEVENMSPSSSSGSVSTFRENILEPEEEKLLLSCSEESFPGKSGMDVMLKLLTSTKFEWISSPELLSALKPPLMRLCARYLLEEKKRGKALDSVANFHLQNGAMIERINWMADRSEKGLRQSGGIMVNYIYRVERIDECAHSYFSTGHIHASNDIRRYTLGV >Manes.17G027900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19762263:19769838:1 gene:Manes.17G027900.v8.1 transcript:Manes.17G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLQLNAWFDFSYRDFDAVRESMHSAISLNKAEVLDVVLDDFSEGYFSLSFENRRKLLITLAQVYDLNRAQVRDLIKQYLGLELPGGNASESSTVDEEAMLSCFYRVERNLRQVLKPAYEVLFERLNNRPGGLKFLSIFRADVLSILAEENIASLRALDSYLKEKLNTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGVGRRCFGYLHPAIRGEPLIFIEVALLRNVAQTIQEVLWDTPPIPESEATCALFYSISSTQPGLAGINLGKFLIKRVIKLVKREMPHISTFATISPIPGYMQWLLSKLASQSILAEVENMSPSSSSGSVSTFRENILEPEEEKLLLSCSEESFPGKSGMDVMLKLLTSTKFEWISSPELLSALKPPLMRLCARYLLEEKKRGKALDSVANFHLQNGAMIERINWMADRSEKGLRQSGGIMVNYIYRVERIDECAHSYFSTGHIHASNDIRRYTLGV >Manes.12G123700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32813474:32815417:1 gene:Manes.12G123700.v8.1 transcript:Manes.12G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWSLQNLLFLLLLVLPPANSISFQKPRFDSLDPSIIYEGGATASVGAVEFNSDTYMCQVGRITYADKVPLWDSKSRRLTDFTNHFSFYIDVQGRSSYAAGFAFFLSPVGFHIPPNSAGGFLGLFNITTSDSPQNQIVHVEFDSFPNTEWDPQVQHVGINNNSVHSATYTYWNTSLHTGDTADVWITYAASTRNLTVSWKYQTTQAYNENTSLSYIIDLREVLPEWIQIGFTAATSNLIERHVLQSWEFKSSLEMKETNGENSKKVGLIVGLAVPLAVLISAVVLVFGILWRRKQKRRETPETMNLTSINEDLERGAIPRKFSYNDLDSATKNFSHERKLGEGGFGAVYKGYLANLDMAVAVKKISRGSKQGKKEYITEVRVISRLRHRNLVQLIGWCHEGGEFLLVYEFMPNGSLDSHLFGKKSPLIWAVRYRAALGLAAALLYLHEEWEQCVVHRDIKSSNIMLDSNFNVKLGDFGLARLMDHELGPQTTGLAGTLGYLAPEYISTGRASKESDIYSFGVVALEIATGKKANDPFGQNSDKKLVEWIWDLYGSGKLRIATDERVRQDFDEKEAECLMVVGLWCAHPDYNLRPSIRQAMQVLNFEAELPILPGKMPVPLYHVPTSSSVCSAEPLISCSSIEAGR >Manes.17G121600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32647078:32657806:-1 gene:Manes.17G121600.v8.1 transcript:Manes.17G121600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVESSDQRRATVSQREQWMVQDQVFQIYDIFANIPHKTRTLMLELQRDNHIEYLTRGLKQLSSSFVVLDANRPWLCYWILHSIALLGESIDYELENNVIDFLKHCQDPNGGYGGGPGQMPHLATTYAAVNSLITLGGRRALSSINRGKLYAFLRGMKDPSGAFRMHDAGEIDVRACYTAISVASILNILDDELIKGVGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLAAMILINEANRLDLAGLLDWVVFRQGVECGFQGRTNKLVDGCYSFWQGGVFALLKGLHSVDGEHVALSDAEEGDSATDGTSEGEDGNSLETDETCHIKQGVGDGEMAPLFHSVALQQYIILCSQEQEGGFRDKPGKARDYYHTCYCLSGLSVCQYSQSKDEHSPPLPRAVLGPYSNLLEPVHPLYNVVLKQYQEAHEFFSSQSTS >Manes.15G132600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10680475:10681416:1 gene:Manes.15G132600.v8.1 transcript:Manes.15G132600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAYPNSYDRFSGSSQPVFSQDSTTTGIPVSSTSQFYSSENSRSESQLQSKVRDPWSTGLCDCFSDRRNCCITFWCPCFTFGRIAEIVDKGSSACGVNGALYTLIACVTGCPCCYSCFYRAKMRQQYGLKESPCGDCLVHCFCEYCALCQEYRELKNRGYDLPIGWHGNVEKKNQNLEMASVPPAVEGGMTR >Manes.07G113600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31900659:31901399:-1 gene:Manes.07G113600.v8.1 transcript:Manes.07G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKQGNLYILTLTGPDEHRLNPTLIDSIQSALRQLRLQPLSPSSALITTAHGKFFCNGYDLARARSAPSAAITQSYVRLMSSKFRSLISDLISLPMPTIAAVTGHASAAGFILALAHDYVLMRKDRGFLYMSELDIGLAIPAWFMAMLKCKIGDANVRREVVLTAAKLTAKMAVERRIVHSAHDSAEATVEAAIGLGNELVSKKWEGQAYASNRLVVLGEVLDKIGFDETVEGRNGDSIKSKL >Manes.01G051500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10010833:10016412:1 gene:Manes.01G051500.v8.1 transcript:Manes.01G051500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIHRFALTKTRHLRFFSHSATAALVEEPAIEAAPLTYLEGFPQPGSIETILAVPRSTSGKNIAAKERKAGRVPSIVFEQEDGQHGGNKRLISVQSNHVRNLVEKLGRSFFLSRLFNLEVRPEFGSDEIIEKVRVLPRVLHLHSISDTPINVTFIRAPSTALLKVNVPLVFIGEDVSPGLRKGSYLNIIKRTVKFLCPPDIIPPYIEVDLSELDVGQKLVTGDLKVHPALKLFQRKDQAVVKIMGARVSDQKKSK >Manes.05G186752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:18846024:18846714:-1 gene:Manes.05G186752.v8.1 transcript:Manes.05G186752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADVLGPEKNGYVRAYGPGKNVTEYFGARPTKIELLRQLDTSRREANERVQQIQKEASEQVNDVKKQMDEKLAEMNRIWEQKFKMLLEKNNNIASVSD >Manes.03G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:474117:482266:-1 gene:Manes.03G005500.v8.1 transcript:Manes.03G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGGITGDLNWFLQFILTLFIIALGFLYLVKNTATKYFEVDGNFEGGGGGVGTSISNRNSAAPTIHMEMEDSYCANCGNHGTKKCSRCKSVRYCSAKCQETHWKSEHKSKCKDFVRVNSTQNSKSSFGLKASGVEGRTYSGIALVPASGTSKLTKKPTEVLFPYDDFIKLYYWDKAAFPPCGLLNCGNSCFANVVLQCLTFTRPLLGYLLEKGHQRECRRNDWCFLCEFQVHVERVSQNLCSFSPMNILSRLPNIGGNLGYGRQEDAHEFMRFAIDTMQSACLDEFGGEKAVSPDSQETTFIQHVFGGHLQSQVMCTKCEKISNQFENMMDLTVEIHGDAASLEDCLDQFTAKEWLHGENMYKCDGCNDYVKAWKRLTIQQAPNILTIAFKRFQSGRFGKINKRVTFPETLDLSPYTSKGDGTDLYKLYAVVVHVDMLNASFFGHYICYIKDLCGNWYRVDDCKVRSVELEEVLSEGAYMLLYSRVSVRPSCLKTVGGLKEQDPARKVEIGSCTNEKIECTKEKDCFSVLESPESLVSERNSEFVNEHDFASNSAVSMDISSCGNKSCSRVDSEDMDIKSLLSSGTVVLNCDRDATVAFNSEAAGADSDHMNAIDCYSNTSVLVEISEGDKDSSSSTNSKAVVLEDSVNTGQVSSESSSGIIKDSKVNGDVYSFSGLATTKTSTETRYQK >Manes.04G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27144933:27148870:1 gene:Manes.04G071700.v8.1 transcript:Manes.04G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMKELPAPSNSTASSSPSTLHHLKEIASLIETGAYAREVRRIVRAVRLTMALRRKLKAQVLSAFLKFALTPGSEPYDRLASYVPKEDEHEMEVDTATSVTQTPVKHPLPEVEMFCYLLVLIYLIDQKKYNEAKACSSASVARLKNLNRRTVDVLASRLYFYYSLSYELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMENALRPYFELTNAVRIGDLELFKSVAEKFSTTFSSDRTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSANPIADAESIIAKAIHDGAIDATLDHANGWMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >Manes.15G107900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8642465:8644825:1 gene:Manes.15G107900.v8.1 transcript:Manes.15G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETNSATEPIGQNLIKLISNLCFSVFVFSVLIFTVIAITYQPPDPWLESAPALTKFFTQSENATFKNDDSILKTGEDLQTVSAPAVPPALAIKPITEQVIEKSEEKVANMTLKSSGCEDLQIVNCSDPRVLITVEKFNLKWFKSIVFLEYQTPVNGSKPDECDVAWRFRNKKEKSWRKYRDFRRFKFGVEENCTYKIVHASGWHSGINARRQKIRVNATKSGRNNPKIASPVRDDEINDTIPSLGSEMNFRKGRYLYYSRGGDYCKGMNHYMWSFLCGLGEAMYLNRTFVMDLSICLAGSYNPSGKDEEGKDFRYYFDFEHLKEMASIVEESDFLRDWKKWDRAHKKKVPVRKVVTHKVMPAQLKKDKSTIIWRQFDAPEPENYWYRVCEGQAAKYIQRPWHALWKSKRLMNIVTEISGQMDWDFDAVHVVRGRKAQNKELWPHLDADTSPDALVAKLQGMVQPWRNLYIATNEPFYNYFDKLRSHFKVHLLDDYKALWGNTSDWYNETMLLNNGRPAEFDGYMRVAVDTEVLYRAKTRVETFYNLTSDCKDGINTC >Manes.16G032000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3813233:3814466:1 gene:Manes.16G032000.v8.1 transcript:Manes.16G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRQLEVFPTTRRVALLLLPLPVCFHCIPSWLYCFSLLSLSRMFLFSVALGGSDRAALLGLGSGFQARIPICFRLEVPISYRVVFLVKVLLFLGWIVCFTGFWWFHFGLCPRGLWACCMDLPYVC >Manes.10G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24719342:24722491:-1 gene:Manes.10G098600.v8.1 transcript:Manes.10G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKVKTEAMQIIDSFQILPKLVVFDLDYTLWPFYCDCLSKREMPSLYPHAKAILYALKEKGIDVAIASRSPTPDIAKTFLDKLSIKSMFVADEIFSSWTHKTEHFQRIHSRTGVPFNSMLFFDDEDRNIQAVSKMGVTSILVDEGVNLGALRQGLTKFAQNVNTSEKNKEKCLKFSQNSNSSKKKEEE >Manes.13G003200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:919555:924348:1 gene:Manes.13G003200.v8.1 transcript:Manes.13G003200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPFIYLILWVFQSVLNNKFYMRTSFLQELLAIQQQGPRAIGFFGTRNMGFTNQELIEILSYALVITKNHIFTSCVSGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLAKVENVIEKPHNDHLPLIEASRLCNMDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLN >Manes.02G026950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2278337:2291100:-1 gene:Manes.02G026950.v8.1 transcript:Manes.02G026950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAQHAAHNGHAVHDQIIQENPAMRAPMPRERIMRELATPVGDQASLCITYPPLTVPFELKTGLIHLLPKFRGLENESPHKHLKEFNIVCSSMRPQGISEDHVKLRAFPFSLDDYAKDWLFYLPPGSITSWDDMVITFLNKYFPTHKAIGVRREISSIRQKPSEDLYDYWERFKRLCAGCPQHEISDKALIEFFYGGLLSSERRFIDVACGGSITDKTPREMRELISTLAASSRQYGEEKQLQRGVNEVSSSPISELTSFMKDFAIGLVQQVQASQPPRPCGICAYVGHPTDQCPTLQEDNQQVNAIGGYNYQPKHDPYSNTYNPGWKDHPNFSYRRANNNQNYQRNQAQPAPPNSIQHLEKMMETMVKAMQGIRQDIGQLTASMSRSESQASFLLLVQSSFRNFAYLGICLTASSRVFCAGGVSDGARLAGSWRWGCADVSVCAGVVSDCAGFCATCFSSSYLAS >Manes.02G026950.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2278332:2291447:-1 gene:Manes.02G026950.v8.1 transcript:Manes.02G026950.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAQHAAHNGHAVHDQIIQENPAMRAPMPRERIMRELATPVGDQASLCITYPPLTVPFELKTGLIHLLPKFRGLENESPHKHLKEFNIVCSSMRPQGISEDHVKLRAFPFSLDDYAKDWLFYLPPGSITSWDDMVITFLNKYFPTHKAIGVRREISSIRQKPSEDLYDYWERFKRLCAGCPQHEISDKALIEFFYGGLLSSERRFIDVACGGSITDKTPREMRELISTLAASSRQYGEEKQLQRGVNEVSSSPISELTSFMKDFAIGLVQQVQASQPPRPCGICAYVGHPTDQCPTLQEDNQQVNAIGGYNYQPKHDPYSNTYNPGWKDHPNFSYRRANNNQNYQRNQAQPAPPNSIQHLEKMMETMVKAMQGIRQDIGQLTASMSRSESQASFLLLVQSSFRNFAYLGICLTASSRVFCAGGVSDGARLAGSWRWGCADVSVCAGVVSDCAGFCATCFSSSYLAS >Manes.02G026950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2278332:2291447:-1 gene:Manes.02G026950.v8.1 transcript:Manes.02G026950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAQHAAHNGHAVHDQIIQENPAMRAPMPRERIMRELATPVGDQASLCITYPPLTVPFELKTGLIHLLPKFRGLENESPHKHLKEFNIVCSSMRPQGISEDHVKLRAFPFSLDDYAKDWLFYLPPGSITSWDDMVITFLNKYFPTHKAIGVRREISSIRQKPSEDLYDYWERFKRLCAGCPQHEISDKALIEFFYGGLLSSERRFIDVACGGSITDKTPREMRELISTLAASSRQYGEEKQLQRGVNEVSSSPISELTSFMKDFAIGLVQQVQASQPPRPCGICAYVGHPTDQCPTLQEDNQQVNAIGGYNYQPKHDPYSNTYNPGWKDHPNFSYRRANNNQNYQRNQAQPAPPNSIQHLEKMMETMVKAMQGIRQDIGQLTASMSRSESQASFLLLVQSSFRNFAYLGICLTASSRVFCAGGVSDGARLAGSWRWGCADVSVCAGVVSDCAGFCATCFSSSYLAS >Manes.02G026950.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2278337:2290674:-1 gene:Manes.02G026950.v8.1 transcript:Manes.02G026950.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAQHAAHNGHAVHDQIIQENPAMRAPMPRERIMRELATPVGDQASLCITYPPLTVPFELKTGLIHLLPKFRGLENESPHKHLKEFNIVCSSMRPQGISEDHVKLRAFPFSLDDYAKDWLFYLPPGSITSWDDMVITFLNKYFPTHKAIGVRREISSIRQKPSEDLYDYWERFKRLCAGCPQHEISDKALIEFFYGGLLSSERRFIDVACGGSITDKTPREMRELISTLAASSRQYGEEKQLQRGVNEVSSSPISELTSFMKDFAIGLVQQVQASQPPRPCGICAYVGHPTDQCPTLQEDNQQVNAIGGYNYQPKHDPYSNTYNPGWKDHPNFSYRRANNNQNYQRNQAQPAPPNSIQHLEKMMETMVKAMQGIRQDIGQLTASMSRSESQASFLLLVQSSFRNFAYLGICLTASSRVFCAGGVSDGARLAGSWRWGCADVSVCAGVVSDCAGFCATCFSSSYLAS >Manes.02G026950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2278332:2291447:-1 gene:Manes.02G026950.v8.1 transcript:Manes.02G026950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDAQHAAHNGHAVHDQIIQENPAMRAPMPRERIMRELATPVGDQASLCITYPPLTVPFELKTGLIHLLPKFRGLENESPHKHLKEFNIVCSSMRPQGISEDHVKLRAFPFSLDDYAKDWLFYLPPGSITSWDDMVITFLNKYFPTHKAIGVRREISSIRQKPSEDLYDYWERFKRLCAGCPQHEISDKALIEFFYGGLLSSERRFIDVACGGSITDKTPREMRELISTLAASSRQYGEEKQLQRGVNEVSSSPISELTSFMKDFAIGLVQQVQASQPPRPCGICAYVGHPTDQCPTLQEDNQQVNAIGGYNYQPKHDPYSNTYNPGWKDHPNFSYRRANNNQNYQRNQAQPAPPNSIQHLEKMMETMVKAMQGIRQDIGQLTASMSRSESQASFLLLVQSSFRNFAYLGICLTASSRVFCAGGVSDGARLAGSWRWGCADVSVCAGVVSDCAGFCATCFSSSYLAS >Manes.15G074200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5609790:5612910:1 gene:Manes.15G074200.v8.1 transcript:Manes.15G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVREKMTQLYRKINVHVNIKWSILERVSVFRQFFQFIWDRILACSIGKPVRYRRLTRRPSSPPPEAIEAGGLESSEESTAMFSGYNTDSDLVTLKISLLGDCQIGKTSFVIKYVGDEQEKKSLEMTGLNLMDKTLLVQGARITFSIWDVGGDSNSLDHVPLACKDAVAILFMFDLTSRCTLNSVTEWYNQARKWNQTAIPILIGTKFDDFVRLPHNLQWTIVTQARAYAKAMKATLFFSSAKHNINVNKIFKFIMAKLFNLPWSVERNLTIGEPIIDF >Manes.02G205350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17938449:17946606:1 gene:Manes.02G205350.v8.1 transcript:Manes.02G205350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMFFSLALLLSFLLLAFNFCLRRSTQHRNLPTSPFALPIIGHLHLVNLPLHRSLHALSQKYGPIISLRFGFRRVIVLSSPSIVEECFTKNDIVFSNRPPLTILKYVTYNCTTLGTTSYGDHWRKLRRIGTHEVFSSSRLNVFTGIRRDEIKIFMNKLHSVSSHDFAKVVLRPMLMELTFNIMMRMVAGKRYYGEEVTANDKAEAEEFREMITEMFKYTGASYLGDFLPFLKLIDYQGFLKRVKRLGKRTDRFLQNLIDEHRCASPERKKDTMIGHLLSMQESQPEYYTDDIIKALILDVIFGGTESAAVTLEWAMSDLLNHPEAMEKVKKELDIHISENSLMNESDISKLSYLQNIITETMRLHPPGPLLIRHLSSQDCSIGGYHVKPNTMLIVNAWAIHRDPEVWDDATGFKPERFESSAGQGSEVYKYMPFGLGRRSCPGMGLANRVMVFALGSMIHCFEWRKASDQKIDMSEGYGLTMPMAKPLKAMCKARSVMKNKLY >Manes.15G047600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3654672:3662556:1 gene:Manes.15G047600.v8.1 transcript:Manes.15G047600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFWVDESNSPSTSSSHPRIHPQPPSSRRHSGNNVFRLLARREVCPRAKHACKNWWGESFDKRLDSFALSAESGVDARRALVSWVEAESLLNLSAKYCPLLPPPRSTIAAAFSSDGKVLASTHGDHTVKIMDCRTGKCLRVLSGHRRTPWVVRFHPTCPEILASGSLDHEVRLWNANAAECIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNKGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATSPGDVGRIPLQQTDGDVGSSRVQQRFDPSTSVRLLTYSTPSGQYELLLSPIEPNSSSPVPEEGQTDSFMGEIDNEAPQFAMDTAETAEVHTVERNTSTLPFGDQLYWEIPFLHGWLVGQSQTAHHAMGSLNGLTHENLRTFGETENHASSPVMPISIGQSRGNGRSGLHYRSSRSQTSATGSGGSSAFDSMGRNENDALPVASRVQSELATSLAAAAAAELPCTVKLRIWPYDVKDPFVPLDAEQCRLTISHAVLCSEMGAHFSPCGRFLAACVACVLPHVEADPGLQGQVLNENAGAATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDRETTVPIYTILEVYRVSDMKLVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRIFQYDNSHGTNYTSSFLDERMLEEAVANMVSLNTAFRGVDELFPAT >Manes.15G047600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3654672:3662565:1 gene:Manes.15G047600.v8.1 transcript:Manes.15G047600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFWVDESNSPSTSSSHPRIHPQPPSSRRHSGNNVFRLLARREVCPRAKHACKNWWGESFDKRLDSFALSAESGVDARRALVSWVEAESLLNLSAKYCPLLPPPRSTIAAAFSSDGKVLASTHGDHTVKIMDCRTGKCLRVLSGHRRTPWVVRFHPTCPEILASGSLDHEVRLWNANAAECIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNKGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATSPGYLCYPPPTVYLADAHPERRLGFGDELPLMSLPFLMRPSFARDVGRIPLQQTDGDVGSSRVQQRFDPSTSVRLLTYSTPSGQYELLLSPIEPNSSSPVPEEGQTDSFMGEIDNEAPQFAMDTAETAEVHTVERNTSTLPFGDQLYWEIPFLHGWLVGQSQTAHHAMGSLNGLTHENLRTFGETENHASSPVMPISIGQSRGNGRSGLHYRSSRSQTSATGSGGSSAFDSMGRNENDALPVASRVQSELATSLAAAAAAELPCTVKLRIWPYDVKDPFVPLDAEQCRLTISHAVLCSEMGAHFSPCGRFLAACVACVLPHVEADPGLQGQVLNENAGAATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDRETTVPIYTILEVYRVSDMKLVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRIFQYDNSHGTNYTSSFLDERMLEEAVANVPTYALEC >Manes.15G047600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3654672:3662542:1 gene:Manes.15G047600.v8.1 transcript:Manes.15G047600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFWVDESNSPSTSSSHPRIHPQPPSSRRHSGNNVFRLLARREVCPRAKHACKNWWGESFDKRLDSFALSAESGVDARRALVSWVEAESLLNLSAKYCPLLPPPRSTIAAAFSSDGKVLASTHGDHTVKIMDCRTGKCLRVLSGHRRTPWVVRFHPTCPEILASGSLDHEVRLWNANAAECIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNKGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATSPGYLCYPPPTVYLADAHPERRLGFGDELPLMSLPFLMRPSFARDVGRIPLQQTDGDVGSSRVQQRFDPSTSVRLLTYSTPSGQYELLLSPIEPNSSSPVPEEGQTDSFMGEIDNEAPQFAMDTAETAEVHTVERNTSTLPFGDQLYWEIPFLHGWLVGQSQTAHHAMGSLNGLTHENLRTFGETENHASSPVMPISIGQSRGNGRSGLHYRSSRSQTSATGSGGSSAFDSMGRNENDALPVASRVQSELATSLAAAAAAELPCTVKLRIWPYDVKDPFVPLDAEQCRLTISHAVLCSEMGAHFSPCGRFLAACVACVLPHVEADPGLQGQVLNENAGAATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDRETTVPIYTILEVYRVSDMKLVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRIFQYDNSHGTNYTSSFLDERMLEEAVANMVSLNTAFRGVDELFPAT >Manes.15G047600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3654672:3662618:1 gene:Manes.15G047600.v8.1 transcript:Manes.15G047600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFWVDESNSPSTSSSHPRIHPQPPSSRRHSGNNVFRLLARREVCPRAKHACKNWWGESFDKRLDSFALSAESGVDARRALVSWVEAESLLNLSAKYCPLLPPPRSTIAAAFSSDGKVLASTHGDHTVKIMDCRTGKCLRVLSGHRRTPWVVRFHPTCPEILASGSLDHEVRLWNANAAECIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNKGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATSPGYLCYPPPTVYLADAHPERRLGFGDELPLMSLPFLMRPSFARDVGRIPLQQTDGDVGSSRVQQRFDPSTSVRLLTYSTPSGQYELLLSPIEPNSSSPVPEEGQTDSFMGEIDNEAPQFAMDTAETAEVHTVERNTSTLPFGDQLYWEIPFLHGWLVGQSQTAHHAMGSLNGLTHENLRTFGETENHASSPVMPISIGQSRGNGRSGLHYRSSRSQTSATGSGGSSAFDSMGRNENDALPVASRVQSELATSLAAAAAAELPCTVKLRIWPYDVKDPFVPLDAEQCRLTISHAVLCSEMGAHFSPCGRFLAACVACVLPHVEADPGLQGQVLNENAGAATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDRETTVPIYTILEVYRVSDMKLVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRIFQYDNSHGTNYTSSFLDERMLEEAVANVPTYALEC >Manes.15G047600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3654672:3662556:1 gene:Manes.15G047600.v8.1 transcript:Manes.15G047600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFWVDESNSPSTSSSHPRIHPQPPSSRRHSGNNVFRLLARREVCPRAKHACKNWWGESFDKRLDSFALSAESGVDARRALVSWVEAESLLNLSAKYCPLLPPPRSTIAAAFSSDGKVLASTHGDHTVKIMDCRTGKCLRVLSGHRRTPWVVRFHPTCPEILASGSLDHEVRLWNANAAECIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNKGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATSPGDVGRIPLQQTDGDVGSSRVQQRFDPSTSVRLLTYSTPSGQYELLLSPIEPNSSSPVPEEGQTDSFMGEIDNEAPQFAMDTAETAEVHTVERNTSTLPFGDQLYWEIPFLHGWLVGQSQTAHHAMGSLNGLTHENLRTFGETENHASSPVMPISIGQSRGNGRSGLHYRSSRSQTSATGSGGSSAFDSMGRNENDALPVASRVQSELATSLAAAAAAELPCTVKLRIWPYDVKDPFVPLDAEQCRLTISHAVLCSEMGAHFSPCGRFLAACVACVLPHVEADPGLQGQVLNENAGAATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDRETTVPIYTILEVYRVSDMKLVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRIFQYDNSHGTNYTSSFLDERMLEEAVANVPTYALEC >Manes.15G047600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3654668:3662617:1 gene:Manes.15G047600.v8.1 transcript:Manes.15G047600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFWVDESNSPSTSSSHPRIHPQPPSSRRHSGNNVFRLLARREVCPRAKHACKNWWGESFDKRLDSFALSAESGVDARRALVSWVEAESLLNLSAKYCPLLPPPRSTIAAAFSSDGKVLASTHGDHTVKIMDCRTGKCLRVLSGHRRTPWVVRFHPTCPEILASGSLDHEVRLWNANAAECIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNKGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATSPGYLCYPPPTVYLADAHPERRLGFGDELPLMSLPFLMRPSFARDVGRIPLQQTDGDVGSSRVQQRFDPSTSVRLLTYSTPSGQYELLLSPIEPNSSSPVPEEGQTDSFMGEIDNEAPQFAMDTAETAEVHTVERNTSTLPFGDQLYWEIPFLHGWLVGQSQTAHHAMGSLNGLTHENLRTFGETENHASSPVMPISIGQSRGNGRSGLHYRSSRSQTSATGSGGSSAFDSMGRNENDALPVASRVQSELATSLAAAAAAELPCTVKLRIWPYDVKDPFVPLDAEQCRLTISHAVLCSEMGAHFSPCGRFLAACVACVLPHVEADPGLQGQVLNENAGAATSPTRHPISAHQVMYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDRETTVPIYTILEVYRVSDMKLVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRIFQYDNSHGTNYTSSFLDERMLEEAVANVPTYALEC >Manes.08G004115.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:724573:755400:1 gene:Manes.08G004115.v8.1 transcript:Manes.08G004115.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNHTQINQLWQLRYRPPNSSLSLPLLFTSLYSQPAPTTTFKKMWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNECGYDSNFQQPPDGTASSSSGKKTRISRNKSDITGRRLNFGAENYKADKRSGGSEKSARRFAVCLKKRRTAKSVPSKCGSRSSKDSSLFGCGLGIGIMYMMSAEKAEISKLSNAMDEIAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRAGTGHNNDPKVIKVSGIPMIDDVECPSSGLIEEPEPQLLEMDQLEAELASELQKLPWSYPEASGHEGVEPNMDKEPTNLQCHGVLPSELDRKLSHLLIEQQGNQIEELESELHSAQSKLHEKEAELQALKDCVKCLTEFSLLTVSDDETVTYVEQECASEWDNESNMGSESRKSTVGMKRSIGTA >Manes.08G004115.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:721703:728222:1 gene:Manes.08G004115.v8.1 transcript:Manes.08G004115.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNGCGYDSNFQQPPDGIFRFSSSASASSPSGKKTRISRKKSGITGRRLNFGAENYKADKRSGGSEKSARRRLNFGAEDYKADKRSGCSEKSARRLAVCLKKKRTAKSVPSKCRSCSSKDSSLFGCGLGIGIMYMMSAEKAEISKLSNAMDEIAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRAGTGHNNDPKVIKVSGIPMIDDVECPSSGLIEEPEPQLLEMDQLEAELASELQKLPWSYPEASGHEGVEPNMDKNETFSGGLHKLEGQSNISFQCHGVLPSELDQKLSHLHIEQLENQIEELESKLHAAQSKLNEKEAELDCVKLLTEIFPSTVSDDEAVTFAEQEYVITNAGITYCKSKFQLKKCDYQKARDYLIKT >Manes.08G004115.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:721703:728223:1 gene:Manes.08G004115.v8.1 transcript:Manes.08G004115.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNHTQINQLWQLRYRPPNSSLSLPLLFTSLYSQPAPTTTFKKMWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNECGYDSNFQQPPDGTASSSSGKKTRISRNKSDITGRRLNFGAENYKADKRSGGSEKSARRFAVCLKKRRTAKSVPSKCGSRSSKDSSLFGCGLGIGIMYMMSAEKAEISKLSNAMDEIAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRAGTGHNNDPKVIKVSGIPMIDDVECPSSGLIEEPEPQLLEMDQLEAELASELQKLPWSYPEASGHEGVEPNMDKNETFSGGLHKLEGQSNISFQCHGVLPSELDQKLSHLHIEQLENQIEELESKLHAAQSKLNEKEAELDCVKLLTEIFPSTVSDDEAVTFAEQEYVITNAGITYCKSKFQLKKCDYQKARDYLIKT >Manes.10G086400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22230224:22231057:-1 gene:Manes.10G086400.v8.1 transcript:Manes.10G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLENLVESIKSKVRALKKSKKPYVKMDKSSSVKVEIRSRKARKLIDKTLKVADRPGKRSIS >Manes.14G029400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2678988:2684900:-1 gene:Manes.14G029400.v8.1 transcript:Manes.14G029400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGIASSQSLKKKTSLFKIQILVVDDDSTSLAIVSSMLKKCSLQDCMIDLSVVTVKNSLDALSNLRVQNGAFDLVITDLHMPVMNGLELQKQVKEEFKIPVIIMSADDKEKEILKSLESEAAFYMKKPVNPEDLKIVWQYAVASKKGKKVVMEQEIGSGQEETSSNDKLHHISSSSENEESGPKKKKGRKRVRDQGQEERDAAPAQAAAAPAPAPPKKAKVIWTNTLHDLFLQAVSHIGLEKAVPKKILEFMNVPGLTRENVASHLQKYRMFLKKVAEKGFWTSRSLAERAFRSSFANGYTSMYQNPHKQFSPFLGQQPSFQPGYGGNLSGFRSSSSGLSRFPSQEAPTSNSVPQLHYGQSSLFGNPTSFQQRPLVFANPCLANRSTSNNTGINLSSDTATQHGLTIGATPMQMQQQQNQANPQSNIIDTPFTLLSTGIGCSSMATSNYAGIILTSDGELIGTGKTRFNGIDLSSGSNNGGKVLMNMTHDNSMNNPPTGNGIFGGLCAQGSCSSSTVLGSTNQYSPTFIATNQENTLMLPPQSQQHTSTGLRNAGGENDLMTNASTLGTISDNHQQELGEVDLDELLFGSPYTTPYQDQNSEVSMNVNLHSSPYQAEVGGPVNEFLNSEFSTLCTIADQTPWSEKSSAQACLPQCNNLEHTLNGMDLDEAPISGNEVWGDDILNFLLGDEVY >Manes.09G011672.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2458915:2462193:1 gene:Manes.09G011672.v8.1 transcript:Manes.09G011672.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLLSLPLYQLAESLPFPILYFLSSFPISDPSLKTTDERSMMMMMKMPWTRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKMDKAVEFFDDMVARGNQPDVRTFNVIVNGLCKFGKTNVAIGLLKGMADRGCAPNVVTYNAIIDALCKDVLVGEALELFSQMRNKGISPDVITYTGLIHSVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDHFKEALTLLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPNVVTYSSLMDGYCLYNQIDKARKVFDLMATNEIADIFSYTILINGYCKCKMIDDAKELFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAQELFKNMCCHGHQPDIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLSLNNDLILSKLRNRSEASKGVQ >Manes.03G030932.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2510301:2512798:1 gene:Manes.03G030932.v8.1 transcript:Manes.03G030932.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDVVTTKTYQNVIYQNKFLFKNKIVLDVGAGTGILSLFCAKAGAAHVYAVECSDMADMAKEIVESNGFSEVVTVLKGKIEEIELPVAKVDIIISKWMGYFLLYENMLNTVLYVRDKWLVSDGILLPDKASLYLTAIEDADYKEDKIEFWNNVYGFNMSCTKKQAIMEPLVDTVDQKQIVTDCQLLKIMDISQMVSGDASFTVPFKLVAERDDYIHALVAYFDVSFTKCRKLMGFSTGPRSRATHWKQTILYLEDVLTICEGEVLSGNMTVAPDQKNPRDINIMIKYALNGQRCVVSRTQYYKMR >Manes.02G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4238726:4260032:1 gene:Manes.02G052600.v8.1 transcript:Manes.02G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPHFSATSHCSSVSRFVDFGSRSGGKWRSNLLLIRTLRSRPLARSFSVKNVSSEPKTKLENPISGEDASSIASSIKHHAEFTPLFSPEKFELPKAFVATAQSVRDALIINWNATYECYDRLNLKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALTKLGHDLESVARHEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQRITKDGQEEVAEDWLEMGNPWEIVRNDISYPVKFYGKVVSGSDGKKHWIGGEDIMAVAYDLPIPGYKTKSTINLRLWSTKAPAEDLDLSAFNAGEQTKAYEALANAEKICYILYPGDNSLEGKILRLKQQYTLCSASLQDIIERFERRSGSNIKWEDFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTTAYTNHTVLPEALEKWSLDLMQNLLPRHVEIIEMIDEQLINTIVSEYGREDSDLLEKKLKEMRILENVDLPSTFANLIAKPKESSAAAISENSDEAGKLINEKDEVDSGDETESKGGPKSTGARKKEEVVAEPPPKMVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNSFYKLWPNKFQNKTNGVTPRRWIRFCNPDLSKIITEWTGSEEWVLNTEKLAELRKFADNEDFQTQWRAAKKSNKMKVASLLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSAVERKAKYVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAKAHEIAGLREERAMGKFVPDPRFEEVKDFVRTGVFGSCNYNELLGSLEGNEGFGRADYFLVGKDFPSYVECQEKVDEAYQDQRRWTNMSIMNTAGSYKFSSDRTIHEYAKDIWNIGPIILP >Manes.04G003400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:598657:602130:-1 gene:Manes.04G003400.v8.1 transcript:Manes.04G003400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTVPTQCMVKIAPKNQFASTIIKSPCSLGSVRSISKSFGLKCSQNFKASMAVYKIKLIGPEGEEHEFDAADDTYILDAAESAGVELPYSCRAGACSTCAGKMVSGSVDQSDGSFLDETQMSEGYLLTCVSYPTSDCVIHTHKESELC >Manes.04G003400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:598657:602130:-1 gene:Manes.04G003400.v8.1 transcript:Manes.04G003400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTVPTQCMVKIAPKNQFASTIIKSPCSLGSVRSISKSFGLKCSQNFKASMAVYKIKLIGPEGEEHEFDAADDTYILDAAESAGVELPYSCRAGACSTCAGKMVSGSVDQSDGSFLDETQMSEGYLLTCVSYPTSDCVIHTHKESELC >Manes.17G102850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31062460:31063291:-1 gene:Manes.17G102850.v8.1 transcript:Manes.17G102850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISCSFIFIIGFHKALLYLLENIHVLLCQVACCKTVVITCGKCNKINCMRWDFPVHNTKW >Manes.06G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24108252:24117618:-1 gene:Manes.06G109200.v8.1 transcript:Manes.06G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTQKTTPPPSSCCASPSDSASVRLEAATAPSSVVPNAVQDWSDRRLEDQLGEKAAIASLIRPADSLPEPSTNATAKAGIPVMLRAQTRHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFIEVVLLEPDKHVVALADAYFFPPFQPSLLHRTKGGPVIPTKLPPRRARIVVYNKRSNETSKWIVELSEVHAVTRGGHHRGKVISSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMELVMVDAWCVGYHSEADAPSKRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQNMKVIEFEDHKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRINGYFVEWQKWNFRIGFNPREGLVIHSVAYVDGSGGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGAVQPGETRKYGTIIAPGLYAPVHQHFFVARMNMAVDCKPGEALNQVVEVDVKVEKPGENNVHNNAFYAEETLLRSELQAMRDCNPLTARHWIVRNTRTVNRMGQLAGYKLVPGSNCLPLAGPKAKVLRRAAFLKHNLWVTPYARHEMFPGGEFPNQNPRVGEGLATWVKQNRSVEETDIVLWYVFGIIHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPNACELDTKDTDIKDNGVAKPLQSGLLAKL >Manes.06G109200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24108252:24117618:-1 gene:Manes.06G109200.v8.1 transcript:Manes.06G109200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTQKTTPPPSSCCASPSDSASVRLEAATAPSSVVPNAVQDWSDRRLEDQLGEKAAIASLIRPADSLPEPSTNATAKAGIPVMLRAQTRHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFIEVVLLEPDKHVVALADAYFFPPFQPSLLHRTKGGPVIPTKLPPRRARIVVYNKRSNETSKWIVELSEVHAVTRGGHHRGKVISSQVVPDDAVEYAECEAVVKDFPPFREAMKKRGIEDMELVMVDAWCVGYHSEADAPSKRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQNMKVIEFEDHKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRINGYFVEWQKWNFRIGFNPREGLVIHSVAYVDGSGGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGAVQPGETRKYGTIIAPGLYAPVHQHFFVARMNMAVDCKPGEALNQVVEVDVKVEKPGENNVHNNAFYAEETLLRSELQAMRDCNPLTARHWIVRNTRTVNRMGQLAGYKLVPGSNCLPLAGPKAKVLRRAAFLKHNLWVTPYARHEMFPGGEFPNQNPRVGEGLATWVKQNRSVEETDIVLWYVFGIIHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPNACELDTKDTDIKDNGVAKPLQSGLLAKL >Manes.06G109200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24108252:24117618:-1 gene:Manes.06G109200.v8.1 transcript:Manes.06G109200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTQKTTPPPSSCCASPSDSASVRLEAATAPSSVVPNAVQDWSDRRLEDQLGEKAAIASLIRPADSLPEPSTNATAKAGIPVMLRAQTRHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFIEVVLLEPDKHVVALADAYFFPPFQPSLLHRTKGGPVIPTKLPPRRARIVVYNKRSNETSKWIVELSEVHAVTRGGHHRGKVISSQVVPDDAVEYAECEAVVKDFPPFREAMKKRGIEDMELVMVDAWCVGYHSEADAPSKRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQNMKVIEFEDHKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRINGYFVEWQKWNFRIGFNPREGLVIHSVAYVDGSGGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGAVQPGETRKYGTIIAPGLYAPVHQHFFVARMNMAVDCKPGEALNQVVEVDVKVEKPGENNVHNNAFYAEETLLRSELQAMRDCNPLTARHWIVCFWNHSCSSIGRLASDARRAHWFYAYAARVLQLLSCCGCPTKCM >Manes.06G109200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24108252:24117618:-1 gene:Manes.06G109200.v8.1 transcript:Manes.06G109200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTQKTTPPPSSCCASPSDSASVRLEAATAPSSVVPNAVQDWSDRRLEDQLGEKAAIASLIRPADSLPEPSTNATAKAGIPVMLRAQTRHPLDPLSAAEISVAVATVRAAGATPEVRDGMRFIEVVLLEPDKHVVALADAYFFPPFQPSLLHRTKGGPVIPTKLPPRRARIVVYNKRSNETSKWIVELSEVHAVTRGGHHRGKVISSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMELVMVDAWCVGYHSEADAPSKRLAKPLIFCRTESDCPMENGYARPVEGIYVLVDMQNMKVIEFEDHKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIVQPEGPSFRINGYFVEWQKWNFRIGFNPREGLVIHSVAYVDGSGGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGAVQPGETRKYGTIIAPGLYAPVHQHFFVARMNMAVDCKPGEALNQVVEVDVKVEKPGENNVHNNAFYAEETLLRSELQAMRDCNPLTARHWIVCFWNHSCSSIGRLASDARRAHWFYAYAARVLQLLSCCGCPTKCM >Manes.15G124100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9883737:9889914:1 gene:Manes.15G124100.v8.1 transcript:Manes.15G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSESEKTAKTEGRPWRWVITGAVIALIVALTTASINTPKISLFGLPCSCPQRYSGMVEDCCCDYETVDRLNEEVLYPSLQDLVKTPFFRYFKVKLGCDCPFWPDDGMCFLRDCSVCECPESEFPKAFRTPFYRGLSSDDLQCQEGKPQAAVDRTLDSKAFRGWTETDNPWTNDDETDNAEMTYVNLQLNPERYTGYSGPSARRIWDAIYSENCPKYPSEELCQEERVLYKLISGLHSSISIHIADAYLLDKSKNLWGRNTTLMYDRVLRYPDRVRNLYFTYLFVLRAVMKAADYLEQAEYDTGNPTEDLKAHSLMRQLLYNSKLQAACPLPFDEAKLWKGQRGPELKQKIQEQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGWDHLGQTLQLQRNEVIALMNLLNRLSESVKLVHEMGPEVEMITEGQISPPTASSRLWQRMLSLFKS >Manes.15G124100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9883803:9889914:1 gene:Manes.15G124100.v8.1 transcript:Manes.15G124100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSESEKTAKTEGRPWRWVITGAVIALIVALTTASINTPKISLFGLPCSCPQRYSGMVEDCCCDYETVDRLNEEVLYPSLQDLVKTPFFRYFKFKGYGPIVWQVKLGCDCPFWPDDGMCFLRDCSVCECPESEFPKAFRTPFYRGLSSDDLQCQEGKPQAAVDRTLDSKAFRGWTETDNPWTNDDETDNAEMTYVNLQLNPERYTGYSGPSARRIWDAIYSENCPKYPSEELCQEERVLYKLISGLHSSISIHIADAYLLDKSKNLWGRNTTLMYDRVLRYPDRVRNLYFTYLFVLRAVMKAADYLEQAEYDTGNPTEDLKAHSLMRQLLYNSKLQAACPLPFDEAKLWKGQRGPELKQKIQEQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGWDHLGQTLQLQRNEVIALMNLLNRLSESVKLVHEMGPEVEMITEGQISPPTASSRLWQRMLSLFKS >Manes.15G124100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9883737:9889914:1 gene:Manes.15G124100.v8.1 transcript:Manes.15G124100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSESEKTAKTEGRPWRWVITGAVIALIVALTTASINTPKISLFGLPCSCPQRYSGMVEDCCCDYETVDRLNEEVLYPSLQDLVKTPFFRYFKVKLGCDCPFWPDDGMCFLRDCSVCECPESEFPKAFRTPFYRGLSSDDLQCQEGKPQAAVDRTLDSKAFRGWTETDNPWTNDDETDNAEMTYVNLQLNPERYTGYSGPSARRIWDAIYSENCPKYPSEELCQEERVLYKLISGLHSSISIHIADAYLLDKSKNLWGRNTTLMYDRVLRYPDRVRNLYFTYLFVLRAVMKAADYLEQAEYDTGNPTEDLKAHSLMRQLLYNSKLQAACPLPFDEAKLWKGQRGPELKQKIQEQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGWDHLGQTLQLQRNEVIALMNLLNRLSESVKLVHEMGPEVEMITEGQISPPTASSRLWQRMLSLFKS >Manes.15G124100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9883803:9889914:1 gene:Manes.15G124100.v8.1 transcript:Manes.15G124100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSESEKTAKTEGRPWRWVITGAVIALIVALTTASINTPKISLFGLPCSCPQRYSGMVEDCCCDYETVDRLNEEVLYPSLQDLVKTPFFRYFKFKGYGPIVWQVKLGCDCPFWPDDGMCFLRDCSVCECPESEFPKAFRTPFYRGLSSDDLQCQEGKPQAAVDRTLDSKAFRGWTETDNPWTNDDETDNAEMTYVNLQLNPERYTGYSGPSARRIWDAIYSENCPKYPSEELCQEERVLYKLISGLHSSISIHIADAYLLDKSKNLWGRNTTLMYDRVLRYPDRVRNLYFTYLFVLRAVMKAADYLEQAEYDTGNPTEDLKAHSLMRQLLYNSKLQAACPLPFDEAKLWKGQRGPELKQKIQEQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGWDHLGQTLQLQRNEVIALMNLLNRLSESVKLVHEMGPEVEMITEGQISPPTASSRLWQRMLSLFKS >Manes.15G124100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9883803:9889914:1 gene:Manes.15G124100.v8.1 transcript:Manes.15G124100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSESEKTAKTEGRPWRWVITGAVIALIVALTTASINTPKISLFGLPCSCPQRYSGMVEDCCCDYETVDRLNEEVLYPSLQDLVKTPFFRYFKVKLGCDCPFWPDDGMCFLRDCSVCECPESEFPKAFRTPFYRGLSSDDLQCQEGKPQAAVDRTLDSKAFRGWTETDNPWTNDDETDNAEMTYVNLQLNPERYTGYSGPSARRIWDAIYSENCPKYPSEELCQEERVLYKLISGLHSSISIHIADAYLLDKSKNLWGRNTTLMYDRVLRYPDRVRNLYFTYLFVLRAVMKAADYLEQAEYDTGNPTEDLKAHSLMRQLLYNSKLQAACPLPFDEAKLWKGQRGPELKQKIQEQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGWDHLGQTLQLQRNEVIALMNLLNRLSESVKLVHEMGPEVEMITEGQISPPTASSRLWQRMLSLFKS >Manes.15G124100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9883803:9889914:1 gene:Manes.15G124100.v8.1 transcript:Manes.15G124100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSESEKTAKTEGRPWRWVITGAVIALIVALTTASINTPKISLFGLPCSCPQRYSGMVEDCCCDYETVDRLNEEVLYPSLQDLVKTPFFRYFKFKGYGPIVWQVKLGCDCPFWPDDGMCFLRDCSVCECPESEFPKAFRTPFYRGLSSDDLQCQEGKPQAAVDRTLDSKAFRGWTETDNPWTNDDETDNAEMTYVNLQLNPERYTGYSGPSARRIWDAIYSENCPKYPSEELCQEERVLYKLISGLHSSISIHIADAYLLDKSKNLWGRNTTLMYDRVLRYPDRVRNLYFTYLFVLRAVMKAADYLEQAEYDTGNPTEDLKAHSLMRQLLYNSKLQAACPLPFDEAKLWKGQRGPELKQKIQEQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSDDGWDHLGQTLQLQRNEVIALMNLLNRLSESVKLVHEMGPEVEMITEGQISPPTASSRLWQRMLSLFKS >Manes.07G143201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34258548:34266508:1 gene:Manes.07G143201.v8.1 transcript:Manes.07G143201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDESITLKRKQVIASALVKHLSLDPGTSLGVSGKDDIMSLYTKILKSSGKPFLQKENEEVMKWIEFAESFPVDCQACLDALTGFNQDLAQKSILLGNGTTPSEADVIVFSVIHSSVIGLSHLEREKLTHVMRWMDYIQHKEEFAHLFEKILLKKPAFEFLLQGTKGMAKVEVDSNAKKTLESTKNTEKSEADKSTKKNVAGKKVTENKEAVPEKKKPSEKERAEKDKELSVSLLNIQVGLIRKASKHPSADSLLVEEIDVGDAKLRQVVSGLAKYCSPDELTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHSVVEPLLPPEGAKIGERVSFSGIDGKPEDVLNPKKKQLEKITLNLFTDDKGVATFKGIPFMTSGGPCTSSIPKASIK >Manes.14G002400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1457965:1460696:1 gene:Manes.14G002400.v8.1 transcript:Manes.14G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEVCGKNNNKLRKGLWSPEEDDKLMNYMLNNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSLLGNRWSQIAAGLPGRTDNEIKNFWNSTIKKRLKNLTSSAASPNTSDSYSEPSKEVAAAAAAAIVGGGFISMQEQAMMNPMCTDPSLSSASSSNTSMQAMFLNQMDSSPTLDHGLSMYGANAYFNNNAPPCMTPIGITSGDDLHGNQGILGGVNIGIGGELHIPPLESIIIEENARTEDIATHGNTTNNYPFSNVNKMNSNCNKAENMAAGIGNLWQGEDLKVGDWDLEELMKDVSSFPFLDFSN >Manes.04G019201.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2353944:2356741:-1 gene:Manes.04G019201.v8.1 transcript:Manes.04G019201.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILQFLENKTILLTGVTGFLAKKKILRVQPNVKKLYLLLRAADATSASYRFHSEVIGKDLFRVAKETLGANFDAIISKKIIVVCGDVFYGDLGIKDSSLREEMMNELDIVLNFAATTNFYERYDIAFGTNTIGPKNVICFAKTCLKVKLFVQISTAYVCGESSGLIPEKPYRLGETLNGVSGLDIDYEKKLIDTKLDELRAQGVTETEIKHAMKDMGTERAKRYGWPNTYVFTKAMGEMLIGNIKGNLALVIIRPSMITSTFKDPFPGWIEGARTIDALTVSYGKGKLTFFVVDLESIVDVIPGDMVVNAIIAAMVAHANQPCDEVIYHVGSSLQNPMRYSNFRDYLIQYFTNKPWMDKKGKPIKVNKPTIFNSISNFNRFIKIRYWPLLKVIYGD >Manes.04G019201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2353944:2356741:-1 gene:Manes.04G019201.v8.1 transcript:Manes.04G019201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILQFLENKTILLTGVTGFLAKIFVEKILRVQPNVKKLYLLLRAADATSASYRFHSEVIGKDLFRVAKETLGANFDAIISKKIIVVCGDVFYGDLGIKDSSLREEMMNELDIVLNFAATTNFYERYDIAFGTNTIGPKNVICFAKTCLKVKLFVQISTAYVCGESSGLIPEKPYRLGETLNGVSGLDIDYEKKLIDTKLDELRAQGVTETEIKHAMKDMGTERAKRYGWPNTYVFTKAMGEMLIGNIKGNLALVIIRPSMITSTFKDPFPGWIEGARTIDALTVSYGKGKLTFFVVDLESIVDVIPGDMVVNAIIAAMVAHANQPCDEVIYHVGSSLQNPMRYSNFRDYLIQYFTNKPWMDKKGKPIKVNKPTIFNSISNFNRFIKIRYWPLLKVIYGD >Manes.04G019201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2353944:2356740:-1 gene:Manes.04G019201.v8.1 transcript:Manes.04G019201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILQFLENKTILLTGVTGFLAKIFVEKILRVQPNVKKLYLLLRAADATSASYRFHSEVIGKDLFRVAKETLGANFDAIISKKIIVVCGDVFYGDLGIKDSSLREEMMNELDIVLNFAATTNFYERYDIAFGTNTIGPKNVICFAKTCLKVKLFVQISTAYVCGESSGLIPEKPYRLGETLNGVSGLDIDYEKKLIDTKLDELRAQGVTETEIKHAMKDMGTERAKRYGWPNTYVFTKAMGEMLIGNIKGNLALVIIRPSMITSTFKDPFPGWIEGARTIDALTVSYGKGKLTFFVVDLESIVDVIPGDMVVNAIIAAMVAHANQPCDEVIYHVGSSLQNPMRYSNFRDYLIQYFTNKPWMDKKGKPIKVNKPTIFNSISNFNRFIKIRYWPLLKVLELANIVFCQIFVNTYNNLNRKIKLVKQLVELYRPYLFFHGIFDDSNLDKLRIAVGMNDKEDDIFFMDPKSIDWDNYFLNSHIPGVVKFVF >Manes.04G019201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2353944:2356741:-1 gene:Manes.04G019201.v8.1 transcript:Manes.04G019201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILQFLENKTILLTGVTGFLAKKKILRVQPNVKKLYLLLRAADATSASYRFHSEVIGKDLFRVAKETLGANFDAIISKKIIVVCGDVFYGDLGIKDSSLREEMMNELDIVLNFAATTNFYERYDIAFGTNTIGPKNVICFAKTCLKVKLFVQISTAYVCGESSGLIPEKPYRLGETLNGVSGLDIDYEKKLIDTKLDELRAQGVTETEIKHAMKDMGTERAKRYGWPNTYVFTKAMGEMLIGNIKGNLALVIIRPSMITSTFKDPFPGWIEGARTIDALTVSYGKGKLTFFVVDLESIVDVIPGDMVVNAIIAAMVAHANQPCDEVIYHVGSSLQNPMRYSNFRDYLIQYFTNKPWMDKKGKPIKVNKPTIFNSISNFNRFIKIRYWPLLKVLELANIVFCQIFVNTYNNLNRKIKLVKQLVELYRPYLFFHGIFDDSNLDKLRIAVGMNDKEDDIFFMDPKSIDWDNYFLNSHIPGVVKFVF >Manes.02G038950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3200816:3201425:-1 gene:Manes.02G038950.v8.1 transcript:Manes.02G038950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMKRPKLFAAYRQFLRLKKYTIMENNGVTSQEATDSGLSKGANATSTRGEDVECKAQKIQALEAALRNTNFVVLEETKKLSIA >Manes.03G182300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30415472:30421326:1 gene:Manes.03G182300.v8.1 transcript:Manes.03G182300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICTSSSTSFSSYDNPIISAPADGSSSGAAVDDAFEDACSICLEPFSAQDPPTVTSCKHEYHLQCILEWSQRSKECPICWQLLVLKDPACQELLAAVETERCLRSRNTSSTASINLPHFHEDFDVEQDYYSDDSDSDEHIMQHLAAAASRAHYFRRRERQRSSEQGSSQFLIFNSASNVPSAQQTHSSTEEGQDVYNGSSGGNLPTHLMPSEAVPSVAPPVVNVVSSAAINRDVHSKPRVFTRPSPTDAPQNPSEVLSFSESIKSKWIAASARYKDSFSKSTRVMKEKLCARNNSVKELSKGVQREMSAGIAGVARMIERLDITSKRTGASSPVSDLRPGASDLFSKGKGMQENIITQSFDEKSKEIAHAASMDVSSHVSCTVPGLNIQRDH >Manes.09G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32498514:32500102:-1 gene:Manes.09G122300.v8.1 transcript:Manes.09G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAMAQRLQELMVLFFLAIFSKAVAGLKPYARANITPDTAINNYCLSWRLAVETNNVRGWRTVPVQCLRYIEAYMLGGQYERDVEFITEQILSYVSGIDLADDGLDAWILDVDDTCISNMFYYKGKRYGCDPYDPAGFKAWALMGGCPAIPPVFRLFRNLVETGFKVFLVTGRDQETLGQATVDNLHSQGFIGYERLILRTASYKGQSAVTFKSDIRRQLVEEGYRIWGNVGDQWSDLQGEFLGNRTFKLPNPMYFVP >Manes.09G122300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32498547:32502442:-1 gene:Manes.09G122300.v8.1 transcript:Manes.09G122300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAMAQRLQELMVLFFLAIFSKAVAGLKPYARANITPDTAINNYCLSWRLAVETNNVRGWRTVPVQCLRYIEAYMLGGQYERDVEFITEQILSYVSGIDLADDGLDAWILDVDDTCISNMFYYKGKRYGCDPYDPAGFKAWALMGGCPAIPPVFRLFRNLVETGFKVFLVTGRDQETLGQATVDNLHSQGFIGYERLILRTASYKGQSAVTFKSDIRRQLVEEGYRIWGNVGDQWSDLQGEFLGNRTFKLPNPMYFVP >Manes.10G092532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23840208:23843481:1 gene:Manes.10G092532.v8.1 transcript:Manes.10G092532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLTSIASEEINLVYGFKNDLRKLQTTLSTIKAILVDADKKQEESLAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRMVRKVCDFFSSSNQIAFRFKMSHRIKDIRERLDEVAKEMSDFGFIIRKEVGVDMRIKSSWRETDSFVLKSEIIGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSKEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNMIWSQLRKYLMVGATGSRILVTTRSTRVALAMGVDCPYALAGLTEDQSWDLFEMLAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYEFGKEDLIQLWMAQGYVQSRSQSKDESLEEIGEGYFNELLFRSFFHKEKYCYKMHDLINDLAQSMAGESCFVLDDNTKHVPNRIQHVFSGNLSFEECFKQLKNRGLRTLYCEYYGDKLSLNLDSIFSHCRSIRALSFLRCRINKLPDSIGKLKHLRYLELFGNDEIRSLPNSICNLLVFMPLGLGRLTNLQTLSTFVVGNDERRRCSSLNELNSLNQLTGTISIRRLENVKNAALKSNQVNLKEKKHLHSLRLEWGDSDGGNSELLLDNLHPHPKLKYLDVQRYGGLRFSNWLSSITNLVDITLYKCPKCEHLPPLDNLPHLESLCLIQFDSLEYISDEDNLFSALSASTTTFFPSLKLLNIDFCRNLKGWWRTCMEAKMVPQFPCLSRLIISNCPNLTLMPTFPSLDTELSLSYVSIRPLQRTLQMAAMGSTLPSASSSVTAPFSKLKTLWLQGIENIASLPGEWTQNLSFLEELYVDYNMEISDEDEHGIFKWRCLVSLRRLTLSNLSNLVSLPRELQYVTTLQRLSIWSCSNLRALPDWIGNLTALENLNIYDCPELESLSRGVRQITTLQRLSIRGCPRLSERCGHDTAADWPNISHIPNVWIDGRAIQEEGRYLL >Manes.18G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8159763:8161196:1 gene:Manes.18G088600.v8.1 transcript:Manes.18G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAVEGDKYRSYLHGEEEKNTKWRFGGPPNYDTVNKLFEEGRTKVWPPGSLEEQVQNLVKTWEMEVFHKTCFDDYKSIDPKKYTSSLNGRKPVTLEEKRKLGGGYNTFMQTSLPVKFRAYDPEKETVDTAHVAFTTAFPRGFALEVLQVYSGPPVIVYKFRHWAYMEGPFKGHAPTGELVELYGMSIFEVDEHMKIVKVEFFMNGGDLLGSLMKGATLDASTIDAASSCPFLKGTG >Manes.18G088600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8160201:8161196:1 gene:Manes.18G088600.v8.1 transcript:Manes.18G088600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFHKTCFDDYKSIDPKKYTSSLNGRKPVTLEEKRKLGGGYNTFMQTSLPVKFRAYDPEKETVDTAHVAFTTAFPRGFALEVLQVYSGPPVIVYKFRHWAYMEGPFKGHAPTGELVELYGMSIFEVDEHMKIVKVEFFMNGGDLLGSLMKGATLDASTIDAASSCPFLKGTG >Manes.11G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31310951:31313675:1 gene:Manes.11G148500.v8.1 transcript:Manes.11G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALHGSKKIPKLNSINGSVSPINTRLNIDSTLIRYLRGGSPILSSSCNGNNDAFYSPAISANNSSFGRSLSPLSSVENVMSTPMFGTPVKVVDDDVLVMDGILVESVSGGRSSRSLASDSSDSPSNSSSSSPGIRAYKTDLCRSWEDFGHCRYGSKCQFAHGKEELRPTCFPMKSKAETHTFKSQTSGSYSSGQKSRFLMAEAAAAASQTASVSKPEYKNKSPATNIHSVYSSKNTSPVIPPVQRIKPEVHNKSPRTTIMPRDWSPLDDGIKVDLPGDIGYSPKKDVDAYIHSILHGPRTRKRLPVFTEFSQ >Manes.02G019350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1776064:1780385:1 gene:Manes.02G019350.v8.1 transcript:Manes.02G019350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENLPEKNLKQQLALAVRSIQWSYAIFWTISARQPGMLEWGDGYYNGDIKTRKTIQSIELNADQLGLQRSEQLRELYESLSAGEASPQARRPSVALSPEDLTDTEWYYLVCMSFVFNTGQGLPGRTLATGQPIWLCNAHYADSKIFSRSLLAKTVVCFPFLRGVVELGVTELVLDDPSLIQHVRTSFLEIPYPIVAAKTSTRSDKELADAAFDQEIVEAKLIPAVGSDELDVVSPNHSSNNQPEEDSFMVERINGGASQVLSWQLMDDDRVRYSLNSSDCISQTIEDPVKVVPVANNEKQDNHCLKDVQDCNHTKLTALDVRSDDFHYQSVLSSLLKTSHPLILGSHCQNGKKVSSFVGWKKVGLVPYQKQKGATQKLLKKILFDVPRMHVNGLPESPEDSSNRVGVWRPEADDVGANHALVERKRREKLNERFMILKSIVPSINKVDKVSILDETIEYLQELERRVEELESCKELTELEARTRRKPQDAIERTSDNCGSYKSGNKKKPPANKRKACNIDETEQEMDYDISKDTSTDNITVSMNEKDVSIEMKCPWREGLLLEIIDAASHLHLDSHSVQSSTIDGILYLTIKSKKRLTAGSARAIKQVLERVASKC >Manes.02G019350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1776054:1780903:1 gene:Manes.02G019350.v8.1 transcript:Manes.02G019350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENLPEKNLKQQLALAVRSIQWSYAIFWTISARQPGMLEWGDGYYNGDIKTRKTIQSIELNADQLGLQRSEQLRELYESLSAGEASPQARRPSVALSPEDLTDTEWYYLVCMSFVFNTGQGLPGRTLATGQPIWLCNAHYADSKIFSRSLLAKSASIQTVVCFPFLRGVVELGVTELVLDDPSLIQHVRTSFLEIPYPIVAAKTSTRSDKELADAAFDQEIVEAKLIPAVGSDELDVVSPNHSSNNQPEEDSFMVERINGGASQVLSWQLMDDDRVRYSLNSSDCISQTIEDPVKVVPVANNEKQDNHCLKDVQDCNHTKLTALDVRSDDFHYQSVLSSLLKTSHPLILGSHCQNGKKVSSFVGWKKVGLVPYQKQKGATQKLLKKILFDVPRMHVNGLPESPEDSSNRVGVWRPEADDVGANHALVERKRREKLNERFMILKSIVPSINKVDKVSILDETIEYLQELERRVEELESCKELTELEARTRRKPQDAIERTSDNCGSYKSGNKKKPPANKRKACNIDETEQEMDYDISKDTSTDNITVSMNEKDVSIEMKCPWREGLLLEIIDAASHLHLDSHSVQSSTIDGILYLTIKSKKRLTAGSARAIKQVLERVASKC >Manes.15G094700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7370538:7383502:-1 gene:Manes.15G094700.v8.1 transcript:Manes.15G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSSLLLFFFFLLHPVPSTANIHKANSLLAEPASTIAFKPLDDTPPTVYFEVTKPINVPKVESCAYTILQQDFGYTYGKPPVLANYTPPSHCPSHHFSKIVLEWNATCKGRQFDRIFGVWLGGVELLRSCTAEPRATGIFWSVQKDITRYYSLLVKNETQELAVYVGNLVDSTYTGVYHVNITLYFYPADEKLRYYEDGLNNIKGAHGSKADLILPFSRDLPLNDGLWYEIVNSTATQLKKFKIPQNVYRAVLEVYVSFHENDEFWYSNYPNEYIIANNLTSTPGNGPFREVVVSLDGEIVGAIWPFTVIYTGGINPLLWRPITAIGSFNLPSYDIEITPFLGSLLDGKTHELGFSVTNALNVWYIDANLHLWLDHKSTKTEGKVLTHENTPLVFSLISSFKDLNGTFLSTARRSISSKGWVKSSLGKITTRFNQNFSYINSMELGDDGNLQIVNQKINFNDSVSFRKLTSSVHSFRSLKDFSIDLYSNFLDQGNGTSFYVTNLTLGFNEKKSKDAGFRFGTGSLKNLQSAQGSMVVENNLVVSGVGSTQQAYKYDDVFMVAANRETETSYLPSYLQFKTAWIFPLVIGW >Manes.15G005100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:554307:557922:-1 gene:Manes.15G005100.v8.1 transcript:Manes.15G005100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIFPLEEYNFGGIWDDLTSTSKSPKNLDGVNMGKIGRLFGSENWGDDGGTDYGFNQELVEEGLLFSKYQQQSYLDYEVFDDRSFDILSPTLLPCMEEIAKLGKISGGIQDASESKKKNQHDFSYASLELLKKYDIGRLSGRQIVQPRCDAQYTKFASQELSTEEIIRIAGARFIQSSCEVVGIPSMLKNPIGLSFSELSDEAAKNVELVEFLLASAEKVGHQQFERASRLLKYCDNFSSGTGNPVQRVVHYFSEALRERINLGTGRISSKGFWKKQSSDLNQEMTTLNEASLACHERIPFFQVARFTGIQAIIDNVAGAKRIHIIDLEIRCGAQWPVLMQALVSRYDCPLELLKISAIGTSSKQMIEDTGKRLASFAESMDIPFSFKVVMVPDMLDLKEDLFELDAEEAIAIYSEYSFMSLIFVPNRLESIMRVLRNIIPRVMVVMEVEANNNSPSFVKRFIESLFFYGSYFDCFDACMGRDDPNRVITELIFFHQGIRNIVAKEGEERIIRHVKIDVWRSFFARFGIIETELSTSSLYQASLVLKKFDCGSSCTLGMNEKSLLIGWKGTPMHSLSVWKFT >Manes.15G005100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:554308:557921:-1 gene:Manes.15G005100.v8.1 transcript:Manes.15G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIFPLEEYNFGGIWDDLTSTSKSPKNLDGVNMGKIGRLFGSENWGDDGGTDYGFNQELVEEGLLFSKYQQQSYLDYEVFDDRSFDILSPTLLPCMEEIAKLGKISGGIQDASESKKKNQHDFSYASLELLKKYDIGRLSGRQIVQPRCDAQYTKFASQELSTEEIIRIAGARFIQSSCEVVGIPSMLKNPIGLSFSELSDEAAKNVELVEFLLASAEKVGHQQFERASRLLKYCDNFSSGTGNPVQRVVHYFSEALRERINLGTGRISSKGFWKKQSSDLNQEMTTLNEASLACHERIPFFQVARFTGIQAIIDNVAGAKRIHIIDLEIRCGAQWPVLMQALVSRYDCPLELLKISAIGTSSKQMIEDTGKRLASFAESMDIPFSFKVVMVPDMLDLKEDLFELDAEEAIAIYSEYSFMSLIFVPNRLESIMRVLRNIIPRVMVVMEVEANNNSPSFVKRFIESLFFYGSYFDCFDACMGRDDPNRVITELIFFHQGIRNIVAKEGEERIIRHVKIDVWRSFFARFGIIETELSTSSLYQASLVLKKFDCGSSCTLGMNEKSLLIGWKGTPMHSLSVWKFT >Manes.17G053800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25092955:25094634:1 gene:Manes.17G053800.v8.1 transcript:Manes.17G053800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVRAVGKSTSCRGVAFESNCDRLRLTAPPSFSQNGQSGRWVWIPRSQSKVFSNVIPFDNYLERTMSRSSSHFCDLDFTDVAVADEENRLQELVENEEPCQLEKQNKVGDVEKQDQTPKAKPAKPGKVSRLSIILLDQGLFTVYKRLFMVSLTLNILALILSATGHFPYGKRNPALFAIGNILALSLCRSEAFLRVVFWLAVKLFGRQWVPLFLKTAITSFLQSVGGIHSGCGVSSIAWLVYAIVLSFKDRENNPTEIIAVASTILSLLCVSSLAAFPLVRHLHHNVFERTHRFAGWTALGLLWIFVVLTTSYNPNSKTYVDFCGSHFYKKQEFWFTLVITAIIFLPWLTVSRVPVKVSSTSSHASIIKFEGGVQAGLLGRISPSPLSEWHAFGIISNGEKEHMMLAGAVGDFTKSLVSNPPNYLWIRKLHFAGLPYLINLYKKVLMVATGSGICVFLSFLLQPSSADVCLLWVAKGIEENFGKEIMDMVSGYSKDKIIVHDTAVLGRPSVAKMSVEAAKNWGAEVVIVTSNPEGSRDVVSACKASGIPAFGPIWDS >Manes.11G022802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2372232:2380504:1 gene:Manes.11G022802.v8.1 transcript:Manes.11G022802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFTGQTRLPKFAVPKFAVPQRYNLHLKPDLTLCSFSGTIIINLSINEPTKFIGMLFWLLMLRKYYPSDTLLDCDDEIFVLVFPEILGVGEGVLQIKFSGMLNEHLRGFYKCTYMDGEEKKNMAVTQFEAVDARRCFPCWDEPALKLTALSSMPIIDEKHDGNVKTVYFEESPFMSTYLVAVVIGVKVRVYCPVGKSDKGKYALSIAIKALDLYTAYFSTPCPLPKLDMVAVPEFAGGAMENYGLIIYLVLRDDLQSTAARMQRLTIVLHEVAHQWFGNMVTMEWWTHLWLNEGFATWISYMATDRLFPEWKIWTQFLQATSSGLGMDALEGLHPIEVEIQHARSMDEIFDAISYMKGSAIIRMLQGYLGDDMIQKSLSSYMKKYGWGKARTEDLWSLISEESGVQFHFLMVKVTLASFFLSRKLGWESKPVESHLNALLRGEIYIVLATFGHDKTQNEALQRFQILLNDRNTSVLSVCTRKWLRVLVEDLSEADTVQEKERILSCIASCPDPEIVLEALNFMVSDEVLDQDIIYGLPGISLEGHETAWRWLKENWDKILRKYGAALLITHFIRDIISPFCSNEKADEVEQFFVSRANPSIATNLKQSIEKLRMKARWISSTKQEDSLQELVK >Manes.09G144500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34265832:34267533:1 gene:Manes.09G144500.v8.1 transcript:Manes.09G144500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFTSYVDLKKEAMKDLEAGPDPDIEMANSSNTMDRNLGLFLEEAENVKKEMGSIRDILVRLQESNEEIKSQHKPEALKSLRNKINIDIVTVLKKAKAIKTQLEEMDRANAANRRLSGFKEGTPIYRTRLAVTNGLRKKLKELMMDFQGLRQKMMIEYKETVERRYFTVTGEYPDEEIIDKIISDDNGGEEFLKRAIQEHGKGKVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAVMVEAQGEQLDEIEHHVFNASHYVKDGTKELKSAKDYQRSSRKWMCIGVILLLLIILVIIIPVATSFSDS >Manes.05G010000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:972871:977205:1 gene:Manes.05G010000.v8.1 transcript:Manes.05G010000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITQLRSKQKEIGGLRSLMAECPEDKDMLDMANEELSQATEEEKRLQNLLLKSLLPSDDADERDCILEVRAGTGGEEASLFAMDVFKMYERYSQKKGWKYEVVDITASDLKGYKEASAAISGAGVFGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVQLRNEDLRIDTYRSGGSGGQHANTTNSAVRVTHLRTGITVSIQDERSQHMNKAKALKVLCAKLYEMERLRIQMTRSKLRSEQVGSGDRSERIRTYNFPQGRVTDHRVGITHHGIDGVMQGECLDVFIDALLLQQEMNAIASFSSIE >Manes.05G010000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:973180:977205:1 gene:Manes.05G010000.v8.1 transcript:Manes.05G010000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLSAIEHRSASLENFINTQLEVSPTEHARANKELQKLRGSMDLITQLRSKQKEIGGLRSLMAECPEDKDMLDMANEELSQATEEEKRLQNLLLKSLLPSDDADERDCILEVRAGTGGEEASLFAMDVFKMYERYSQKKGWKYEVVDITASDLKGYKEASAAISGAGVFGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVQLRNEDLRIDTYRSGGSGGQHANTTNSAVRVTHLRTGITVSIQDERSQHMNKAKALKVLCAKLYEMERLRIQMTRSKLRSEQVGSGDRSERIRTYNFPQGRVTDHRVGITHHGIDGVMQGECLDVFIDALLLQQEMNAIASFSSIE >Manes.05G010000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:972871:977205:1 gene:Manes.05G010000.v8.1 transcript:Manes.05G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINRLKWSINVVQAIEQLQSVSAISHTNPCYRKSLLPSATDNVFLFSARSFSTELQSQLSPDLIRIMERRLSAIEHRSASLENFINTQLEVSPTEHARANKELQKLRGSMDLITQLRSKQKEIGGLRSLMAECPEDKDMLDMANEELSQATEEEKRLQNLLLKSLLPSDDADERDCILEVRAGTGGEEASLFAMDVFKMYERYSQKKGWKYEVVDITASDLKGYKEASAAISGAGVFGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVQLRNEDLRIDTYRSGGSGGQHANTTNSAVRVTHLRTGITVSIQDERSQHMNKAKALKVLCAKLYEMERLRIQMTRSKLRSEQVGSGDRSERIRTYNFPQGRVTDHRVGITHHGIDGVMQGECLDVFIDALLLQQEMNAIASFSSIE >Manes.14G026800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2481257:2484539:1 gene:Manes.14G026800.v8.1 transcript:Manes.14G026800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVLCRQGFVSSYTCLFFEASATAPRRVQERVKVLAGHGLRAQTQTHDFFSRPFRTKGYIPLVIADQGEYVDSKVNGGFLLRTPHKLHRGRTAGLVCNITKSDSGVEVTKQLWETLKEAITAYTGLSPATFFTVLALGLAVYYVISEFFGSSNDHPRPRSVEEQIQPLPPPVQLGEITEEELKQYDGTDPKKPLLMAIKGQIYDISQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLTGDISGLGPFELESLQDWEYKFMSKYVKVGTIKKPVPVTDEATSAGEPAETKEADIAKPAEDGASADGAVEAPGAEAKE >Manes.18G108000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10669218:10681989:1 gene:Manes.18G108000.v8.1 transcript:Manes.18G108000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDMLEFADVLRLNLEAAIKEDADWYNRFMPLSQMILELVINRSLIRMIQQVIDEDGSVKDSASSALRKSRDQVRMLEKKLYQLMDSIIRKDMKEASFLEVSNVEGRWCIKSGSNQLTSFKGLLLSSDSGTGSILEPLSAVPLNDELQRARASVAKAEADVLLMLSEKMQKDLDDIEKVLNNVIQLDAINARATYSISFGGACPDLYLPKDMDGYFTVESSEKNDSKASNTFTREWLLYMPKAYHPLLLQQHRQNLQKAQKDAKNATAVSAVEKAHPIPVDLFIDRKTRVLVITGPNTGGKTICLKTVGLNAMMAKSGLYVLSAESAQLPWFDYILADIGDEQSLSQSLSTFSGHLKQISDIRSQSTNRSLVLLDEVGAGTNPLEGAALGMSLLESFADGGALLTIATTHHGELKSLKYSNGAFENACMEFDEVNLKPTYKILWGIPGRSNAINISEKLGLPATIISNARELYGAASAEINEVIIDMERFKQDFQELLYEAQHHLMLSRNLHEKLLLARRKIVEHRSSQRNRKMQEISKAAALARSALHKKVRHLRAYLVKSSEPRKTNKTKLVASDQCPTGDNSGNTTGSRSSTAVEIKTQSPSVRTELPQVGDTVHVSSLGRKAIVLRVDRSKDEIVVQAGIMKLKVKLMDIGT >Manes.18G108000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10669218:10681989:1 gene:Manes.18G108000.v8.1 transcript:Manes.18G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCAAFGNRITICNPLPVNKLFRFSDVSSVRLNKYCGGHRFPKQIFCSTVFLPQNNYLEQKKDGVHYDSLRVLEWDKLCDLVSSFAGTSLGREATKVQLWSFNRSYEKSLMLLQETNAAVEMHKHGACRLDFTGIDLLLVKSAIGHARRGLPLGANEAMAVKDMLEFADVLRLNLEAAIKEDADWYNRFMPLSQMILELVINRSLIRMIQQVIDEDGSVKDSASSALRKSRDQVRMLEKKLYQLMDSIIRKDMKEASFLEVSNVEGRWCIKSGSNQLTSFKGLLLSSDSGTGSILEPLSAVPLNDELQRARASVAKAEADVLLMLSEKMQKDLDDIEKVLNNVIQLDAINARATYSISFGGACPDLYLPKDMDGYFTVESSEKNDSKASNTFTREWLLYMPKAYHPLLLQQHRQNLQKAQKDAKNATAKKFQGDNGTWKGERNVDILSLEMQVSAVEKAHPIPVDLFIDRKTRVLVITGPNTGGKTICLKTVGLNAMMAKSGLYVLSAESAQLPWFDYILADIGDEQSLSQSLSTFSGHLKQISDIRSQSTNRSLVLLDEVGAGTNPLEGAALGMSLLESFADGGALLTIATTHHGELKSLKYSNGAFENACMEFDEVNLKPTYKILWGIPGRSNAINISEKLGLPATIISNARELYGAASAEINEVIIDMERFKQDFQELLYEAQHHLMLSRNLHEKLLLARRKIVEHRSSQRNRKMQEISKAAALARSALHKKVRHLRAYLVKSSEPRKTNKTKLVASDQCPTGDNSGNTTGSRSSTAVEIKTQSPSVRTELPQVGDTVHVSSLGRKAIVLRVDRSKDEIVVQAGIMKLKVKLMDIGT >Manes.18G108000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10669218:10681989:1 gene:Manes.18G108000.v8.1 transcript:Manes.18G108000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCAAFGNRITICNPLPVNKLFRFSDVSSVRLNKYCGGHRFPKQIFCSTVFLPQNNYLEQKKDGVHYDSLRVLEWDKLCDLVSSFAGTSLGREATKVQLWSFNRSYEKSLMLLQETNAAVEMHKHGACRLDFTGIDLLLVKSAIGHARRGLPLGANEAMAVKDMLEFADVLRLNLEAAIKEDADWYNRFMPLSQMILELVINRSLIRMIQQVIDEDGSVKDSASSALRKSRDQVRMLEKKLYQLMDSIIRKDMKEASFLEVSNVEGRWCIKSGSNQLTSFKGLLLSSDSGTGSILEPLSAVPLNDELQRARASVAKAEADVLLMLSEKMQKDLDDIEKVLNNVIQLDAINARATYSISFGGACPDLYLPKDMDGYFTVESSEKNDSKASNTFTREWLLYMPKAYHPLLLQQHRQNLQKAQKDAKNATAVSAVEKAHPIPVDLFIDRKTRVLVITGPNTGGKTICLKTVGLNAMMAKSGLYVLSAESAQLPWFDYILADIGDEQSLSQSLSTFSGHLKQISDIRSQSTNRSLVLLDEVGAGTNPLEGAALGMSLLESFADGGALLTIATTHHGELKSLKYSNGAFENACMEFDEVNLKPTYKILWGIPGRSNAINISEKLGLPATIISNARELYGAASAEINEVIIDMERFKQDFQELLYEAQHHLMLSRNLHEKLLLARRKIVEHRSSQRNRKMQEISKAAALARSALHKKVRHLRAYLVKSSEPRKTNKTKLVASDQCPTGDNSGNTTGSRSSTAVEIKTQSPSVRTELPQVGDTVHVSSLGRKAIVLRVDRSKDEIVVQAGIMKLKVKLMDIGT >Manes.18G108000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10669218:10681989:1 gene:Manes.18G108000.v8.1 transcript:Manes.18G108000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDMLEFADVLRLNLEAAIKEDADWYNRFMPLSQMILELVINRSLIRMIQQVIDEDGSVKDSASSALRKSRDQVRMLEKKLYQLMDSIIRKDMKEASFLEVSNVEGRWCIKSGSNQLTSFKGLLLSSDSGTGSILEPLSAVPLNDELQRARASVAKAEADVLLMLSEKMQKDLDDIEKVLNNVIQLDAINARATYSISFGGACPDLYLPKDMDGYFTVESSEKNDSKASNTFTREWLLYMPKAYHPLLLQQHRQNLQKAQKDAKNATAKKFQGDNGTWKGERNVDILSLEMQVSAVEKAHPIPVDLFIDRKTRVLVITGPNTGGKTICLKTVGLNAMMAKSGLYVLSAESAQLPWFDYILADIGDEQSLSQSLSTFSGHLKQISDIRSQSTNRSLVLLDEVGAGTNPLEGAALGMSLLESFADGGALLTIATTHHGELKSLKYSNGAFENACMEFDEVNLKPTYKILWGIPGRSNAINISEKLGLPATIISNARELYGAASAEINEVIIDMERFKQDFQELLYEAQHHLMLSRNLHEKLLLARRKIVEHRSSQRNRKMQEISKAAALARSALHKKVRHLRAYLVKSSEPRKTNKTKLVASDQCPTGDNSGNTTGSRSSTAVEIKTQSPSVRTELPQVGDTVHVSSLGRKAIVLRVDRSKDEIVVQAGIMKLKVKLMDIGT >Manes.04G004700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:668299:669729:1 gene:Manes.04G004700.v8.1 transcript:Manes.04G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSTDPLVVGRVIGDVIDYFTPVVKMTVSYNSNKQVYNGHELFPSAVTHKPKVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWLVTDIPGTTDATFGRELVSYEMPRPNIGIHRFVFLLFKQQRRQTVATPSSRDKFNTRKFAEENGLGLPVAAVFFNAQRETAARRR >Manes.01G160700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34601704:34604459:1 gene:Manes.01G160700.v8.1 transcript:Manes.01G160700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKDFVNGVHPIDDFDPRTPPPFKIGEIRAAIPKHCWVKNPWSSFSYVLRDVVVIFALAAAALYFNSWPVLLLYWFAQGTMFWAIFVLGHDCGHGSFSDSPLLNNVVGHILHSSILVPYHGWRISHRTHHQNHGNVEKDESWVPLPEKIYKKLESSTRIMRYTVPLPLLAYPLYLWWRSPGKEGSHFNPSSNLFAPEERKQVLTSTVCWTIMLLLLLYSSFVFGPVQVIKLYGVPYIIFVMWLDFVTYLHHHGHEQKLPWYRGEEWNYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATKAAKPVLGKYYREPKKSGPFPFHLFKNLVKSFREDHYVSDVGGVLYYQTDPNFYKSAKSKLN >Manes.07G048400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5617240:5634604:1 gene:Manes.07G048400.v8.1 transcript:Manes.07G048400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVSSESKTQPEQSPNNNAPTVIDVFSASAYGDFEKLRKFVEQDGASLSKPDGNGYYALQWAALNNFADIAQYIIEHGGNVNAADNLQQTALHWAAVRGSIAVADVLLQNGARVEATDVNGYRAVHVSAQYGQTAFLNNLVAKYHADFDAPDNEGRSPLHWAAYKGYADTVRLLLFRDASQERQDREGCTPLHWAALRGNIEACTVLVHAGTKKELTVKDKAGFTPVQLASDKGHRHVALFLSNAQRSQSKHWGDKICRGKMGDIGYAPILLSIIIFLMFLFINSVIAAPNLPKITAVVGLWGWAALSLAVAALIMFYRCSSKDPGFIKRLEDLGRDKDAEIIRPVRSKHCPACKRCVEQFDHHCPWISNCVGKRNKRDFFIFLCLGTLTSFLGAAITIQRIWTAVESLHTEGRWIRYVVVQHPGVVTFLVLDMIIFIAATTLTTAQASQIARNITTNELANAARYGYLRSPDGRFRNPYNHGCRKNCADFLIQGYTDDDEIAWPPLQQAAS >Manes.07G048400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5617240:5634604:1 gene:Manes.07G048400.v8.1 transcript:Manes.07G048400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVSSESKTQPEQSPNNNAPTVIDVFSASAYGDFEKLRKFVEQDGASLSKPDGNGYYALQWAALNNFADIAQYIIEHGGNVNAADNLQQTALHWAAVRGSIAVADVLLQNGARVEATDVNGYRAVHVSAQYGQTAFLNNLVAKYHADFDAPDNEGRSPLHWAAYKGYADTVRLLLFRDASQERQDREGCTPLHWAALRGNIEACTVLVHAGTKKELTVKDKAGFTPVQLASDKGHRHVALFLSNAQRSQSKHWGDKICRGKMGDIGYAPILLSIIIFLMFLFINSVIAAPNLPKITAVVGLWGWAALSLAVAALIMFYSKDPGFIKRLEDLGRDKDAEIIRPVRSKHCPACKRCVEQFDHHCPWISNCVGKRNKRDFFIFLCLGTLTSFLGAAITIQRIWTAVESLHTEGRWIRYVVVQHPGVVTFLVLDMIIFIAATTLTTAQASQIARNITTNELANAARYGYLRSPDGRFRNPYNHGCRKNCADFLIQGYTDDDEIAWPPLQQAAS >Manes.07G048400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5617240:5634604:1 gene:Manes.07G048400.v8.1 transcript:Manes.07G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVSSESKTQPEQSPNNNAPTVIDVFSASAYGDFEKLRKFVEQDGASLSKPDGNGYYALQWAALNNFADIAQYIIEHGGNVNAADNLQQTALHWAAVRGSIAVADVLLQNGARVEATDVNGYRAVHVSAQYGQTAFLNNLVAKYHADFDAPDNEGRSPLHWAAYKGYADTVRLLLFRDASQERQDREGCTPLHWAALRGNIEACTVLVHAGTKKELTVKDKAGFTPVQLASDKGHRHVALFLSNAQRSQSKHWGDKICRGKMGDIGYAPILLSIIIFLMFLFINSVIAAPNLPKITAVVGLWGWAALSLAVAALIMFYRCSSKDPGFIKRLEDLGRDKDAEDPLLNVDLNNCSVWMGNWSQLCPTCKIIRPVRSKHCPACKRCVEQFDHHCPWISNCVGKRNKRDFFIFLCLGTLTSFLGAAITIQRIWTAVESLHTEGRWIRYVVVQHPGVVTFLVLDMIIFIAATTLTTAQASQIARNITTNELANAARYGYLRSPDGRFRNPYNHGCRKNCADFLIQGYTDDDEIAWPPLQQAAS >Manes.07G048400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5617240:5634604:1 gene:Manes.07G048400.v8.1 transcript:Manes.07G048400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEVVSSESKTQPEQSPNNNAPTVIDVFSASAYGDFEKLRKFVEQDGASLSKPDGNGYYALQWAALNNFADIAQYIIEHGGNVNAADNLQQTALHWAAVRGSIAVADVLLQNGARVEATDVNGYRAVHVSAQYGQTAFLNNLVAKYHADFDAPDNEGRSPLHWAAYKGYADTVRLLLFRDASQERQDREGCTPLHWAALRGNIEACTVLVHAGTKKELTVKDKAGFTPVQLASDKGHRHVALFLSNAQRSQSKHWGDKICRGKMGDIGYAPILLSIIIFLMFLFINSVIAAPNLPKITAVVGLWGWAALSLAVAALIMFYSKDPGFIKRLEDLGRDKDAEDPLLNVDLNNCSVWMGNWSQLCPTCKIIRPVRSKHCPACKRCVEQFDHHCPWISNCVGKRNKRDFFIFLCLGTLTSFLGAAITIQRIWTAVESLHTEGRWIRYVVVQHPGVVTFLVLDMIIFIAATTLTTAQASQIARNITTNELANAARYGYLRSPDGRFRNPYNHGCRKNCADFLIQGYTDDDEIAWPPLQQAAS >Manes.04G092500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29780058:29784558:1 gene:Manes.04G092500.v8.1 transcript:Manes.04G092500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCWSKISKVCMCCRGKNIGADNNKKNIQNEHGDCTCKDIHQIDSTQKWEEKLSEANRDGKSIIVNFCSSWCAPSKSIARTFCDLAEKYSSIVFLSVDIDELGELSSSWEVKSTPTFFFLKNGRQVDKLVGADKRELQKKTAALSSLPNDS >Manes.04G092500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29780098:29784558:1 gene:Manes.04G092500.v8.1 transcript:Manes.04G092500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCWSKISKVCMCCRGKNIGADNNKKNIQNEHGDCTCKDIHQIDSTQKWEEKLSEANRDGKSIIVNFCSSWCAPSKSIARTFCDLAEKYSSIVFLSVDIDELGELSSSWEVKSTPTFFFLKNGRQVDKLVGADKRELQKKTAALSSLPNDS >Manes.04G092500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29782827:29783595:1 gene:Manes.04G092500.v8.1 transcript:Manes.04G092500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRGKNIGADNNKKNIQNEHGDCTCKDIHQIDSTQKWEEKLSEANRDGKSIIVNFCSSWCAPSKSIARTFCDLAEKYSSIVFLSVDIDELGELSSSWEVKSTPTFFFLKNGRQVDKLVGADKRELQKKTAALSSLPNDS >Manes.04G092500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29780098:29784558:1 gene:Manes.04G092500.v8.1 transcript:Manes.04G092500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCWSKISKVCMCCRGKNIGADNNKKNIQNEHGDCTCKDIHQIDSTQKWEEKLSEANRDGKSIIVNFCSSWCAPSKSIARTFCDLAEKYSSIVFLSVDIDELGELSSSWEVKSTPTFFFLKNGRQVDKLVGADKRELQKKTAALSSLPNDS >Manes.14G064001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5308776:5317366:-1 gene:Manes.14G064001.v8.1 transcript:Manes.14G064001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATLAFASLHPRQHIIPASSITRPPLWPIHRLRNASCIVSATEIYRAEHVSANRYRSGSTTLITARSSIRENSSPHITAFNKLIEALINRVDLSESQAKGETDEEVVGLARAMIKHARKVEGLVDAIDIVNISTGASILAAACGAKVAKQGNGSSSSACGSADVLETLGGVSRCVNAAGIGFMMSPEYLPAMKIVSPIRKKLKVYKMAKALQRFGMKTTLVVHSDGLDEMSPLAFNNITREKIEKFSLDPCNGIGIPRCTLDSLRSGGPDYNAEIPKRILNAAAALSVRGCVNSLGEGVPLAREIQLSGKAVKTLDLRIKVSNTT >Manes.13G144900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35843406:35847995:1 gene:Manes.13G144900.v8.1 transcript:Manes.13G144900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTKGTVTPFASMFPFDEAQKAVKRVEDAIAEKQKELDHLKQFIADNTNLINLVSCLPDELHHDVMVPFGKAAFFPGRLIHTNEFRVLLGEGYYADRTAKQTIEILKRRGKALDSQAESLKANIKDLRAEASFFGSTAAEAAEGLVEIREDYVEKSSSADQSKSEKGDNKVTIEDDEYAHIMSRLDELEKEELAAEGENDSDEDEHTYTADSDNESGEDECIDIVEGDNESGEDAQINAAKRDSDGHEYNQTEANFNNFASQTSLKTRKTQKQTEVKNLSVEALSNKFHNQLDTTDQPNCTGLTVQPVPKDDMSNRKHPTRIEKSIPDEKDLLLPGVKKKVEAASSSRNECFTGPIEECSSITEENSQNQTVTSSQTPVRASSPAFDSSKAFTGSIVERVDNVPTSQERSATSSQVLLV >Manes.13G144900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35843406:35847995:1 gene:Manes.13G144900.v8.1 transcript:Manes.13G144900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTKGTVTPFASMFPFDEAQKAVKRVEDAIAEKQKELDHLKQFIADNTNLINLVSCLPDELHHDVMVPFGKAAFFPGRLIHTNEFRVLLGEGYYADRTAKQTIEILKRRGKALDSQAESLKANIKDLRAEASFFGSTAAEAAEGLVEIREDYVEKSSSADQSKSEKGDNKVTIEDDEYAHIMSRLDELEKEELAAEGENDSDEDEHTYTADSDNESGEDECIDIVEGDNESGEDAQINAAKRDSDGHEYNQTEANFNNFASQTSLKTRKTQKQTEVKNLSVEALSNKFHNQLDTTDQPNCTGLTVQPVPKDDMSNRKHPTRIEKSIPDEKDLLLPGVKKKVEAASSSRNECFTGPIEECSSITEENSQNQTVTSSQTPVRASSPAFDSSKAFTGSIVERVDNVPTSQERSATSSQSPNSQPSKPVSRFKMQRR >Manes.13G144900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35843406:35847995:1 gene:Manes.13G144900.v8.1 transcript:Manes.13G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTKGTVTPFASMFPFDEAQKAVKRVEDAIAEKQKELDHLKQFIADNTNLINLVSCLPDELHHDVMVPFGKAAFFPGRLIHTNEFRVLLGEGYYADRTAKQTIEILKRRGKALDSQAESLKANIKDLRAEASFFGSTAAEAAEGLVEIREDYVEKSSSADQSKSEKGDNKVTIEDDEYAHIMSRLDELEKEELAAEGENDSDEDEHTYTADSDNESGEDECIDIVEGDNESGEDAQINAAKRDSDGHEYNQTEANFNNFASQTSLKTRKTQKQTEVKNLSVEALSNKFHNQLDTTDQPNCTGLTVQPVPKDDMSNRKHPTRIEKSIPDEKDLLLPGVKKKVEAASSSRNEAPNWTTKSRFDRFKCFTGPIEECSSITEENSQNQTVTSSQTPVRASSPAFDSSKAFTGSIVERVDNVPTSQERSATSSQSPNSQPSKPVSRFKMQRR >Manes.18G076200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6939142:6945623:-1 gene:Manes.18G076200.v8.1 transcript:Manes.18G076200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQDTKIVKTETPSEDSPDIESQIKDAMRSRVNHFKEQADSLTFEGVRRLLEKDLGLQKYALDVHKRFVKQCLVECLEGAVDDNVSKDSGENGEKHAHLTKKEATESPEGHESRNDIKESYSEDEQKMEDSPVMGLLTGKKPPKSETKETVDDGNKEVPSESSIKKALLKRASYIKANSEKITMAGLRRLLEEDLRLEKHALDPYKTFISKQLDELLQSSEVPEPKKKNIKAESQGKASKKTSREDSSDSPDSESEEEDEEEVKPKKKIGAKQKTLNSEVAKKRKRLEKESKVSGKKRIKPVETVPEENSDAEDGLNASEDSHSQSSAEKPVKKKEAPTPAYGKRVEHLKSVIKSCGMSVPPVIYKKIKQVPESKREAQLIKELEEILSREELSSNPSEKEIKEVRKRKERAKELEGIDTSNIVSSSRRRSTTSYVAPPKPKISVESESDSGDAEDTDEDDDDDNEEEENDEEDDGDNDGDGDSQSDEGK >Manes.18G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6939142:6945623:-1 gene:Manes.18G076200.v8.1 transcript:Manes.18G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQDTKIVKTETPSEDSPDIESQIKDAMRSRVNHFKEQADSLTFEGVRRLLEKDLGLQKYALDVHKRFVKQCLVECLEGAVDDNVSKDSGENGEKHAHLTKKEATESPEGHESRNDIKESYSEDEQKMEDSPVMGLLTGKKPPKSETKETVDDGNKEVPSESSIKKALLKRASYIKANSEKITMAGLRRLLEEDLRLEKHALDPYKTFISKQLDELLQSSEVPEPKKKNIKAESQGKASKKTSREDSSDSPDSESEEEDEEEVKPKKKIGAKQKTLNSEVAKKRKRLEKESKVSGKKRIKPVETVPEENSDAEDGLNASEDSHSQSSAEKPVKKKEAPTPAYGKRVEHLKSVIKSCGMSVPPVIYKKIKQVPESKREAQLIKELEEILSREELSSNPSEKEIKEVRKRKERAKELEGIDTSNIVSSSRRRSTTSYVAPPKPKISVESESDSGDAEDTDEDDDDDNEEEENDEEDDGDNDGDGDSQSDEENGDDSD >Manes.08G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3370902:3372171:-1 gene:Manes.08G034800.v8.1 transcript:Manes.08G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLSSLAKRLQGKVALITGGASGIGETTARLFARNGAKVVIADVQSELGSSVSEKIESDSGQPVSYVHCDVTKEADVENAVNTAVSKYGKLDIMFNNAGISGKYDPSIFSTEAEDFNKVIDINVYGGLLGAKHAARVMIPEKKGSILFTSSLAAVIYGGVPHAYTASKHAVVGITKNLAVELGKHGIRVNCISPAAVFTPLAGRALGLDEKTLEEFNLSIANLKGVKLDVNDMAEAALYLASEESKFVSGMNLVVDGGYSLRHSSLGIDKS >Manes.05G083800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6929196:6939141:1 gene:Manes.05G083800.v8.1 transcript:Manes.05G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHSNLTVPNRSRSSQSPSPSHSASASATSSIHKRKLAAAASAASEDRAPPFPPSSFSADTRDGALTSNDDLESISARGADSDSDADDSDAVVDDDEDEFDNDSSMHMFTAARLETNAGSLAASAGSDGGGGSSARNTKLKMANSTVKIEKSDSGKDERATGNVTLGSTTAGSSAAGIGAKEDAVKIFTENLQTSGAYTAREESLKREEEAGRLKFACLSNDGIDEHMIWLIGLKNIFARQLPNMPKEYIVRLLMDRSHKSVMVIRRNLVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQYARDMDGLTHFLTYADNNAVGYFIKQGFTKEIYLDKDRWQGYIKDYDGGILMECKIDQKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKDAGIPKKIIKVEDIPGLREAGWTPDQWGHSRFNAFNTSTDSAMNQKNWTAFMRSLLKSMHDHVDAWPFKDPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTFDMFVADVKRMFANARTYNSPDTIYYKCATRLESHFESKVQSGFQSAAKIQQ >Manes.01G207600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37966662:37969678:1 gene:Manes.01G207600.v8.1 transcript:Manes.01G207600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMQAFQHRTLSVKLWPPSQSTRLMLVERMTKNLITPSIISRKYGLLSKEEAEEDAKEIEESAFAVADQHHQKEPDGDGSSAVQVYAKESSKLMLEVLKRGPRRKEDGEAAAIEKSAAVHGDVFDISGGQRAFISAEEAEGLFKPLKEPGNQYSKICFSNRSFGLDAAGVAEPILSSIKDQLTEVDLSDFVAGRPESEALQVMNIFSLALEGSNLRYLNLSNNALGEKGVRAFEALLKSQNSLEELYLINDGISEEAACAVRELIPSTGKLKVLEFHNNMTGDEGAVAIADIVKRSPMLENFRCSSTRIGSDGGVVLAEALGACSRLRKLDLNDNMFGVEAGVALSKSIVAFADLTEIYLNYLNLEDEGAEALSNALKESAPSLEVLEMAGNDITAKGASALAACIAAKQFLSKLNLSENELKDEGGILIAKAIESGHGQLNEVDLSTNSIRRAGARLLGQAVVLKPGFKLLNINGNFISDEGIDEVKDIFKNLPGVLGPLDENDPEGEDLDEAKEVDADNEDELESKLKGLEIKHDE >Manes.01G207600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37966386:37970464:1 gene:Manes.01G207600.v8.1 transcript:Manes.01G207600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMQAFQHRTLSVKLWPPSQSTRLMLVERMTKNLITPSIISRKYGLLSKEEAEEDAKEIEESAFAVADQHHQKEPDGDGSSAVQVYAKESSKLMLEVLKRGPRRKEDGEAAAIEKSAAVHGDVFDISGGQRAFISAEEAEGLFKPLKEPGNQYSKICFSNRSFGLDAAGVAEPILSSIKDQLTEVDLSDFVAGRPESEALQVMNIFSLALEGSNLRYLNLSNNALGEKGVRAFEALLKSQNSLEELYLINDGISEEAACAVRELIPSTGKLKVLEFHNNMTGDEGAVAIADIVKRSPMLENFRCSSTRIGSDGGVVLAEALGACSRLRKLDLNDNMFGVEAGVALSKSIVAFADLTEIYLNYLNLEDEGAEALSNALKESAPSLEVLEMAGNDITAKGASALAACIAAKQFLSKLNLSENELKDEGGILIAKAIESGHGQLNEVDLSTNSIRRAGARLLGQAVVLKPGFKLLNINGNFISDEGIDEVKDIFKNLPGVLGPLDENDPEGEDLDEAKEVDADNEDELESKLKGLEIKHDE >Manes.18G107100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10561773:10566335:1 gene:Manes.18G107100.v8.1 transcript:Manes.18G107100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLLWFLSFLCFSAFSLSFGSDVERQALLEFKGQLKDPLNMLDSWKESDSPCNFSGITCDSTSGKVTEISLDNKSLSGEISPSIFVLESLTTLWLPYNLLSGKLPLEIINCSNLRVLNLTGNQLVGVLPDLSPLRNLEFLDLALNYFSGGFPTWLGNLTGLVELSIGQNEYDEGEIPENIGNLKNLTLLFLADSHLRGEIPESIFELWKLETLDISRNKISGKFPKSISKLQKLTKIELFVNNLTGEMPPELANLTLLREIDISSNQMYGKLPERIGNLKYLKVFQLYDNNFSGELPAGFGEMQHLDGFSIYGNNFSGEFPEILGRFSPLNSIDISDNRFSGSFPRFLCENRNLQYLLALGNRFSGELPDSYAKCKSLLRFRINKNKLSGQIPDGIWALPLVSILDFSDNDFSGQISPKIGLSTSLNQLIMQNNRFSGQLPSELGQLRNLEKLSLQNNSFSGEMPSEIGALKQLSSLHLEENSLTGSIPSKLSDCSRLVDLNLASNYLSGEIPHAFSLMSSLNSLNLSRNKLTGLIPEDLEKLKLSSIDLSGNQFSGRIPSVLLTMGGDKAFLGNMELCVDENSKNIIDSKINFCPRKQNQERSLGNKLVLFSIIIFALAIALAGLLLVRYKNFKQGQVDSESGLNPKWKLASFDQLDIEADEIRNLEEENLIGKGGTGKVYRLDLKRSGCTVAVKQLWKGDGLKLSAAEMEILGKIRHRNILKLYASLLQEGSCFLVFEYMAKGNLFNALHRRIKDDLPELDWHLRHKIALAAAKGIAYLHHDCSPPIIHRDIKSSNILLDDDYEPKIADFGVAKLAEMSCNGCDSSSLAGTHGYIAPEMAYTLKVTEKSDVYSFGVVLLELITGRRPIDEAYGEGKDIVYWVWKHLNDRENVLKVLDSKIRSESVQEDMIKVLKIAILCTTKLPNLRPNMREVVKLLVDADPCNFKSLGSNSEKN >Manes.18G107100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10562342:10566305:1 gene:Manes.18G107100.v8.1 transcript:Manes.18G107100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLLWFLSFLCFSAFSLSFGSDVERQALLEFKGQLKDPLNMLDSWKESDSPCNFSGITCDSTSGKVTEISLDNKSLSGEISPSIFVLESLTTLWLPYNLLSGKLPLEIINCSNLRVLNLTGNQLVGVLPDLSPLRNLEFLDLALNYFSGGFPTWLGNLTGLVELSIGQNEYDEGEIPENIGNLKNLTLLFLADSHLRGEIPESIFELWKLETLDISRNKISGKFPKSISKLQKLTKIELFVNNLTGEMPPELANLTLLREIDISSNQMYGKLPERIGNLKYLKVFQLYDNNFSGELPAGFGEMQHLDGFSIYGNNFSGEFPEILGRFSPLNSIDISDNRFSGSFPRFLCENRNLQYLLALGNRFSGELPDSYAKCKSLLRFRINKNKLSGQIPDGIWALPLVSILDFSDNDFSGQISPKIGLSTSLNQLIMQNNRFSGQLPSELGQLRNLEKLSLQNNSFSGEMPSEIGALKQLSSLHLEENSLTGSIPSKLSDCSRLVDLNLASNYLSGEIPHAFSLMSSLNSLNLSRNKLTGLIPEDLEKLKLSSIDLSGNQFSGRIPSVLLTMGGDKAFLGNMELCVDENSKNIIDSKINFCPRKQNQERSLGNKLVLFSIIIFALAIALAGLLLVRYKNFKQGQVDSESGLNPKWKLASFDQLDIEADEIRNLEEENLIGKGGTGKVYRLDLKRSGCTVAVKQLWKGDGLKLSAAEMEILGKIRHRNILKLYASLLQEGSCFLVFEYMAKGNLFNALHRRIKDDLPELDWHLRHKIALAAAKGIAYLHHDCSPPIIHRDIKSSNILLDDDYEPKIADFGVAKLAEMSCNGCDSSSLAGTHGYIAPEMAYTLKVTEKSDVYSFGVVLLELITGRRPIDEAYGEGKDIVYWVWKHLNDRENVLKVLDSKIRSESVQEDMIKVLKIAILCTTKLPNLRPNMREVVKLLVDADPCNFKSLGSNSEKN >Manes.18G107100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10562640:10566887:1 gene:Manes.18G107100.v8.1 transcript:Manes.18G107100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLLWFLSFLCFSAFSLSFGSDVERQALLEFKGQLKDPLNMLDSWKESDSPCNFSGITCDSTSGKVTEISLDNKSLSGEISPSIFVLESLTTLWLPYNLLSGKLPLEIINCSNLRVLNLTGNQLVGVLPDLSPLRNLEFLDLALNYFSGGFPTWLGNLTGLVELSIGQNEYDEGEIPENIGNLKNLTLLFLADSHLRGEIPESIFELWKLETLDISRNKISGKFPKSISKLQKLTKIELFVNNLTGEMPPELANLTLLREIDISSNQMYGKLPERIGNLKYLKVFQLYDNNFSGELPAGFGEMQHLDGFSIYGNNFSGEFPEILGRFSPLNSIDISDNRFSGSFPRFLCENRNLQYLLALGNRFSGELPDSYAKCKSLLRFRINKNKLSGQIPDGIWALPLVSILDFSDNDFSGQISPKIGLSTSLNQLIMQNNRFSGQLPSELGQLRNLEKLSLQNNSFSGEMPSEIGALKQLSSLHLEENSLTGSIPSKLSDCSRLVDLNLASNYLSGEIPHAFSLMSSLNSLNLSRNKLTGLIPEDLEKLKLSSIDLSGNQFSGRIPSVLLTMGGDKAFLGNMELCVDENSKNIIDSKINFCPRKQNQERSLGNKLVLFSIIIFALAIALAGLLLVRYKNFKQGQVDSESGLNPKWKLASFDQLDIEADEIRNLEEENLIGKGGTGKVYRLDLKRSGCTVAVKQLWKGDGLKLSAAEMEILGKIRHRNILKLYASLLQEGSCFLVFEYMAKGNLFNALHRRIKDDLPELDWHLRHKIALAAAKGIAYLHHDCSPPIIHRDIKSSNILLDDDYEPKIADFGVAKLAEMSCNGCDSSSLAGTHGYIAPEMAYTLKVTEKSDVYSFGVVLLELITGRRPIDEAYGEGKDIVYWVWKHLNDRENVLKVLDSKIRSESVQEDMIKVLKIAILCTTKLPNLRPNMREVVKLLVDADPCNFKSLGSNSEKN >Manes.17G089040.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29744660:29746659:-1 gene:Manes.17G089040.v8.1 transcript:Manes.17G089040.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEPTMLPLIFAAFIICFCSFSSLAELQSFQQPLKSDGSLSFLVIGDWGRRGLYNQSEVALQMGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSSSNAKWKLVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSSHSNIQFITSGGGSKAWRGDIRKWDPEELKLYYDGQGFMSVQMTDSTAAFAFYDAYGSVLNQWSISKESHSAA >Manes.14G059500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4961446:4966041:1 gene:Manes.14G059500.v8.1 transcript:Manes.14G059500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERITEFPHTHMDRRPRKRARLGWDVPQLPKAQVGLFCGQEVGNETSYASSGATSDLTTSTSLFVKGVATNGSPPWREDNKDGHYMFAIGENLTSRYKIHSKMGEGTFGQVLECWDREKKEMVAIKIVRGIKKYREAAMIEVEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLRLIHTDLKPENILLVSPEYVKVPDYKGMSRMPKESSYFKRVPKSSSIKVIDFGSTTYERQEQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVEYAYYLQLHHGCLLFHVLFA >Manes.14G059500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4961490:4966041:1 gene:Manes.14G059500.v8.1 transcript:Manes.14G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERITEFPHTHMDRRPRKRARLGWDVPQLPKAQVGLFCGQEVGNETSYASSGATSDLTTSTSLFVKGVATNGSPPWREDNKDGHYMFAIGENLTSRYKIHSKMGEGTFGQVLECWDREKKEMVAIKIVRGIKKYREAAMIEVEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLRLIHTDLKPENILLVSPEYVKVPDYKGMSRMPKESSYFKRVPKSSSIKVIDFGSTTYERQEQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYIRRGRLDWPEGATSRESIKAVMKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYDPSDRLTAREALRHPFFARGQFRR >Manes.14G059500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4961490:4966041:1 gene:Manes.14G059500.v8.1 transcript:Manes.14G059500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGQVLECWDREKKEMVAIKIVRGIKKYREAAMIEVEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLRLIHTDLKPENILLVSPEYVKVPDYKGMSRMPKESSYFKRVPKSSSIKVIDFGSTTYERQEQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDRHAEKYIRRGRLDWPEGATSRESIKAVMKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYDPSDRLTAREALRHPFFARGQFRR >Manes.05G108200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10490697:10494201:-1 gene:Manes.05G108200.v8.1 transcript:Manes.05G108200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWNSFFLILILSLLLNLAFSKTLKRDVKALNEVKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSIQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVIPPEIGELKSLTHLYLSFNNFKGEIPKELANLPELRYLYLHENRFSGRIPAELGTLQHLRHLDVGSNHLVGTIRELIRFEGCFPALRNLYLNNNYLTGGVPAQLANLSNLEILHLSYNKMSGIIPAALAHIPRLTHLYLDHNQFSGRIPDAFYKHPYLKEMYIEGNAFKPGVNPIGVHKVLEVSDADFLV >Manes.17G036400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21490382:21491126:-1 gene:Manes.17G036400.v8.1 transcript:Manes.17G036400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKKSYGRLKICCLVSSLLLIIIIVVLVILFFTIFKPKEPHIANRYVTLERYKAAWPELFLNFTLGIGVTIDNKNYGGFKFENTTAYVSYRGNVIGQAPIAADTISARSKHDLNTSVTIFADKLFRQDGNFTQDFLRGIVNITSASTLHGKVSVLSLFKAKATSLTICDISIFILPQQALSICKSKVSL >Manes.15G046600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3571558:3575926:-1 gene:Manes.15G046600.v8.1 transcript:Manes.15G046600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPFQDLNLSPSASTSLPSTNAAVITPPPLLIPKIEPKLEPLDSLVETPQSQEPQDSFFPDFTPNFVSNSEHTPQSQSSAFSSSQQDNVYSEYHRISELFRTAFAKRLQEQYGDVSVLDPDSRAIFPVGEDNSISSVLVTRPPRRYPKRSSDLVRVTDLGIEDQRYFRGVVRRTRMLYDALRIFSVLDEVKRRGEGLGRRARGDLLASSVMRDRGLWLNRDKRIVGSIPGVQVGDLFFFRMELCVVGLHGHTQAGIDYLPASQSSSREPIATSIIVSGGYEDDEDSGDMLIYTGHGGQDKFFRQCMHQKLEGGNLALERSMHYGIEVRVIRGFKYAGSFTNKIYVYDGLYKIHDCWFDVGKSGFGVYKYKLMRIDGQPEMGSSIWRFAQSLRTSPLSARPRGYLSLDISNKKEKMPVMLFNDIDNNHEPLCYEYLARTVFPPVAFNHSSNGTGCDCVSGCTDDCLCSMKNGGKFAYDQNGFLLRGKPLIFECGAFCKCPPSCRNRVSQQGVKNTLEIFRSRETGWGVRSLDLIHAGAFICEYAGVVLTRDQAKVFTMNGDSLIYPSRFSQKWTEWGDLSQIYPDYVRPSYPSIPPLDFAMDVSRMRNVACYMSQSSTPNVLVQPVLYDHNNLMFPHLMLFAMENIPPLRELSLDYGVVDEWTGKLSICN >Manes.15G046600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3571558:3575926:-1 gene:Manes.15G046600.v8.1 transcript:Manes.15G046600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPFQDLNLSPSASTSLPSTNAAVITPPPLLIPKIEPKLEPLDSLVETPQSQEPQDSFFPDFTPNFVSNSEHTPQSQSSAFSSSQQDNVYSEYHRISELFRTAFAKRLQEQYGDVSVLDPDSRAIFPVGEDNSISSVLVTRPPRRYPKRSSDLVRVTDLGIEDQRYFRGVVRRTRMLYDALRIFSVLDEVKRRGEGLGRRARGDLLASSVMRDRGLWLNRDKRIVGSIPGVQVGDLFFFRMELCVVGLHGHTQAGIDYLPASQSSSREPIATSIIVSGGYEDDEDSGDMLIYTGHGGQDKFFRQCMHQKLEGGNLALERSMHYGIEVRVIRGFKYAGSFTNKIYVYDGLYKIHDCWFDVGKSGFGVYKYKLMRIDGQPEMGSSIWRFAQSLRTSPLSARPRGYLSLDISNKKEKMPVMLFNDIDNNHEPLCYEYLARTVFPPVAFNHSSNGTGCDCVSGCTDDCLCSMKNGGKFAYDQNGFLLRGKPLIFECGAFCKCPPSCRNRVSQQGVKNTLEIFRSRETGWGVRSLDLIHAGAFICEYAGVVLTRDQAKVFTMNGDSLIYPSRFSQKWTEWGDLSQIYPDYVRPSYPSIPPLDFAMDVSRMRNVACYMSQSSTPNVLVQPVLYDHNNLMFPHLMLFAMENIPPLRELSLDYGVVDEWTGKLSICN >Manes.15G046600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3571558:3575900:-1 gene:Manes.15G046600.v8.1 transcript:Manes.15G046600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVPFQDLNLSPSASTSLPSTNAAVITPPPLLIPKIEPKLEPLDSLVETPQSQEPQDSFFPDFTPNFVSNSEHTPQSQSSAFSSSQQDNVYSEYHRISELFRTAFAKRLQEQYGDVSVLDPDSRAIFPVGEDNSISSVLVTRPPRRYPKRSSDLVRVTDLGIEDQRYFRGVVRRTRMLYDALRIFSVLDEVKRRGEGLGRRARGDLLASSVMRDRGLWLNRDKRIVGSIPGVQVGDLFFFRMELCVVGLHGHTQAGIDYLPASQSSSREPIATSIIVSGGYEDDEDSGDMLIYTGHGGQDKFFRQCMHQKLEGGNLALERSMHYGIEVRVIRGFKYAGSFTNKIYVYDGLYKIHDCWFDVGKSGFGVYKYKLMRIDGQPEMGSSIWRFAQSLRTSPLSARPRGYLSLDISNKKEKMPVMLFNDIDNNHEPLCYEYLARTVFPPVAFNHSSNGTGCDCVSGCTDDCLCSMKNGGKFAYDQNGFLLRGKPLIFECGAFCKCPPSCRNRVSQQGVKNTLEIFRSRETGWGVRSLDLIHAGAFICEYAGVVLTRDQAKVFTMNGDSLIYPSRFSQKWTEWGDLSQIYPDYVRPSYPSIPPLDFAMDVSRMRNVACYMSQSSTPNVLVQPVLYDHNNLMFPHLMLFAMENIPPLRELSLDYGVVDEWTGKLSICN >Manes.18G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6155997:6161060:1 gene:Manes.18G068300.v8.1 transcript:Manes.18G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIMTALSSAFLPSQLTNSRRCFFNAYYTQPIKQRIFAPKIRASTTASVETKPADPVLVEKEVSTNKNIFACPVCCEPLSLIGDRVLSVDSAGSSLQCGCCKKTYYGKETHLELTVSGGSSKYDDSMPLATEMFRLPLISFLYERGWRQNFIWGGFPGPKKEFELMKGYLKPVLGGNIIDASCGSGLFSRLFAKSGLFSLVVALDYSENMLQQCYQFITQEENFPKENLMLVRADISRLPFLSGSVDAVHAGAAIHCWPSPSAAVAEISRVLKPEGVFVASTFILDGPFSFFPLMGPLRQNMTQLVGNQLFFHERELEDICRACGLVGFTAIRNRRFVMFSARKPS >Manes.18G068300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6155997:6161060:1 gene:Manes.18G068300.v8.1 transcript:Manes.18G068300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIMTALSSAFLPSQLTNSRRCFFNAYYTQPIKQRIFAPKIRASTTASVETKPADPVLVEKEVSTNKNIFACPVCCEPLSLIGDRVLSVDSAGSSLQCGCCKKTYYGKETHLELTVSGGSSKYDDSMPLATEMFRLPLISFLYERGWRQNFIWGGFPGPKKEFELMKGYLKPVLGGNIIDASCGSGLFSRLFAKSGLFSLVVALDYSENMLQQCYQFITQEENFPKENLMLVRADISRLPFLSGSVDAVHAGAAIHCWPSPSAANMTQLVGNQLFFHERELEDICRACGLVGFTAIRNRRFVMFSARKPS >Manes.02G016600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1572758:1582257:1 gene:Manes.02G016600.v8.1 transcript:Manes.02G016600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDSTTGAAMPPLTAMPVSAGERYAVGARKKMSASFANFFRIAAAAQQLAPHLQPGSASNPTEFFRLCLSLARGIDYAVANNEVPPKAQDLPLLLKQVCLRKNDLLLQAAIMVLMISVKNACKVGWFSDKDSQELIALANEIANSFCGPGDVNTVLSDSLSIISTVMSRFYPLMKMGQLLASLEVKPGYGAYAVDFHISKNITHSQQEKIRLFIVQRDNMETSACIVSPQKVNFLLNGKGVERRTNVSMDTGPQIPTNVTAMLKYGTNLLQAVGQFNGDYIIAVAFMSVTPLLEIPVLLDYEQSNVAAADPDSDIIEGPSRVSLNCPISYTRIRTPVKGRSCKHLQCCDFSNFLDINSRRPSWRCPHCNQHVCYTDIRVDQNMVKVLKEVGENVADVIISADGSWKAILENDDNTDQTCKETVNCQKETPGQQEPATSSDLPIVMDLTEDDYRIDVMSISDVEDKKPSQAALQSRSLATNLTMPNVVGQNVASQVENSFWSDVYLNHGSGTSNAGPGIQMVTGTSGITDAVSPVLNRDAIGHGNNNLTSLLQNQISASGNLQQSQLVNAAVNNEYGRLTHIPRHVNRTPVAVQALPVPSQTSIQQQRSRTNLNSAIPNGSSVTSQAALPITPTSNGFNMASNHMMDRQQQHLRSHTNPHQVLDRNPPSLQHHPTAQNRNHPDRSFNMGQSAQKVAGVSNSGQLPGAVGASSVFRNESQNLHQQQALRMPQSRIQSQSVSRASSALPRIQTQQGVAQVGAGNTAGFPNTLNARFAAAAQRAVQITRQPPSVPVQIPTSRGTSNLNTDGTRAPTMVQRVNVGAAAQVNTGSDGVVNLPSEQNWRPTGRMRGSLSGQAAAAYGDLIIQPTQPAPAAAPKPPATSSLPSVPPPLQALLANSRNFYVPQTQNNTQPASVNGNSGTLP >Manes.02G016600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1576908:1582257:1 gene:Manes.02G016600.v8.1 transcript:Manes.02G016600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYILGFYPLMKMGQLLASLEVKPGYGAYAVDFHISKNITHSQQEKIRLFIVQRDNMETSACIVSPQKVNFLLNGKGVERRTNVSMDTGPQIPTNVTAMLKYGTNLLQAVGQFNGDYIIAVAFMSVTPLLEIPVLLDYEQSNVAAADPDSDIIEGPSRVSLNCPISYTRIRTPVKGRSCKHLQCCDFSNFLDINSRRPSWRCPHCNQHVCYTDIRVDQNMVKVLKEVGENVADVIISADGSWKAILENDDNTDQTCKETVNCQKETPGQQEPATSSDLPIVMDLTEDDYRIDVMSISDVEDKKPSQAALQSRSLATNLTMPNVVGQNVASQVENSFWSDVYLNHGSGTSNAGPGIQMVTGTSGITDAVSPVLNRDAIGHGNNNLTSLLQNQISASGNLQQSQLVNAAVNNEYGRLTHIPRHVNRTPVAVQALPVPSQTSIQQQRSRTNLNSAIPNGSSVTSQAALPITPTSNGFNMASNHMMDRQQQHLRSHTNPHQVLDRNPPSLQHHPTAQNRNHPDRSFNMGQSAQKVAGVSNSGQLPGAVGASSVFRNESQNLHQQQALRMPQSRIQSQSVSRASSALPRIQTQQGVAQVGAGNTAGFPNTLNARFAAAAQRAVQITRQPPSVPVQIPTSRGTSNLNTDGTRAPTMVQRVNVGAAAQVNTGSDGVVNLPSEQNWRPTGRMRGSLSGQAAAAYGDLIIQPTQPAPAAAPKPPATSSLPSVPPPLQALLANSRNFYVPQTQNNTQPASVNGNSGTLP >Manes.15G011400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1010072:1013436:1 gene:Manes.15G011400.v8.1 transcript:Manes.15G011400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGIIKGGVGGGGGGGRVGIGEEDMGDGMQCSDHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIRGSSSSSSSPSFRSDIGAGVGASNGGGGAPSLSLAARPTSTKGRNEGGNNGHYQEYYTRRARIPFLLAKKKKKIMVASDRDIIFKRSKSTTTPGRNHFLYASTSTDDGEDFSPRRKGGFWSFLYLSSSKFSATKKTDKVSSLTVTASTSALASVSTAAAATTTNGSMVKPKEKCLGSSLSKKGDIVVVEDDDSPNSQATASASSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPKVPAAASNMKERVKCGGIFGGFMITSSSSSSSSSSYWVSSSAEDVNGKPGAGVAAGTLAHGRSRSWGWAFASPMRAFSKPSSKDGKRDIREASNKNTAPNLSAIPSLLAVRG >Manes.08G011500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1350968:1353256:1 gene:Manes.08G011500.v8.1 transcript:Manes.08G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVNYEKIVRDTCRGIGFTSADVGLDADKCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNENGAMVPVRVHTVLISTQHDETVTNDQIAADLKEHVIKPVIPAQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDKDILALIKENFDFRPGMIAINLDLKKGGNFRYQKTAAYGHFGRDDPDFTWETVKLLKPKA >Manes.13G152800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36715701:36721088:-1 gene:Manes.13G152800.v8.1 transcript:Manes.13G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFDGNMAPDKLEGKHAAMLVCWILGSGSLLTWNSMLTIEDYYGFLFPQYHPSRVLSLVYQPFAIGTLAVLTYNEAKINTRKRNLFGYVLLSIGSLLVLVLDVATSGKGGLGTFTGISAITGMFGFADAFVQGGMIGDLSFMQAEFLQSFLAGLATSGTLTSALRLITKAAFENSRDGLRKGAILFFAISAFFELLCVILYAYIFPKVPMVKYYRSKAALEGSKTVLDDLVAGGIQTLLPVETEEDTKQQDRLSSRELLIQNIDYEIDLFLVYVLTLSIFPGFLSEDAGSHSSGGWYSLVLITMFNVGDLVGRYIPLLQLLKLESRKGLMIAVLSRFLLIPAFYFTVKYGDQGWMIILTSFLGLTNGYLTVCVVTSAPEGYKGPEQNALGNLLVFFLFGGIFAGAALDWLWLIGKGWGVKLIKLLPYLVV >Manes.09G159000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35458364:35462874:1 gene:Manes.09G159000.v8.1 transcript:Manes.09G159000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGREVASGIVSESKEVKDLSVESRRKLDNVSVTDASSIVEIQNEETQKKKMEGDQKPRGERRRYKPNPRLSNPPKHLQGEQVAAGWPLWLSAVCGEALNGWIPRQADTFEKIDKIGSGTYSNVYKARDMLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHLNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFTESQVKCYMRQLLSGLEHCHKRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNHKHPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLARKPIMPGRNEVEQLHKIYKLCGSPSDEYWKTSKLPNATLFRPREPYKRCIRETFKDFPLSSLPLIETLLAFDPAERQTATAALRSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAAAKAQGDNTKKLRTRERVRQMPAPEASAEIQSNIDKRRLITYANAKSKSEKFPPPHQDGALGYPIGLSQHIDPAIVPVDAHYSSTSLTYSKEPVQIWSGPLVDPAGLGAPRRKKNAAGDTRESSKTSVATRKGKTGDTQFKGKKMLA >Manes.09G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35457885:35462914:1 gene:Manes.09G159000.v8.1 transcript:Manes.09G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGREVASGIVSESKEVKDLSVESRRKLDNVSVTDASSIVEIQNEETQKKKMEGDQKPRGERRRYKPNPRLSNPPKHLQGEQVAAGWPLWLSAVCGEALNGWIPRQADTFEKIDKIGSGTYSNVYKARDMLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHLNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPGVKFTESQVKCYMRQLLSGLEHCHKRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNHKHPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLARKPIMPGRNEVEQLHKIYKLCGSPSDEYWKTSKLPNATLFRPREPYKRCIRETFKDFPLSSLPLIETLLAFDPAERQTATAALRSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAAAKAQGDNTKKLRTRERVRQMPAPEASAEIQSNIDKRRLITYANAKSKSEKFPPPHQDGALGYPIGLSQHIDPAIVPVDAHYSSTSLTYSKEPVQIWSGPLVDPAGLGAPRRKKNAAGDTRESSKTSVATRKGKTGDTQFKGKKMLA >Manes.04G074762.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29047850:29048533:-1 gene:Manes.04G074762.v8.1 transcript:Manes.04G074762.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNIINIKVEKENAMKKHRQLQKFANLFRFIEICLILALISRFSVGLPVAVKNSTEYFKDITVILLSPRFVFILGNAIVITLFAKSGQFSGQDPSEKNSRTDLYEEFVEKSERNQIMQRYEAGNREKQSSCVECIVTEATCTSVESKNYQRSQSEKLDRVTINKPCIQLRRSATEKCRGSADSGEGWVKVSYPEDNMSNEEFRCTVEAFIARQKRFRKDEENSIG >Manes.03G182500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30421982:30424050:-1 gene:Manes.03G182500.v8.1 transcript:Manes.03G182500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAILRRTNSYAVRSMVDALRIGGSSRSYAKLTVGTDIVSAAPDVSLQKARSWDEGVSSKFSTTALKDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKNNIDKFKAKGIDSVICVSVNDPYVMNGWAEKLQAKDAIEFYGDFDGSFHKSLELDKDLSVALLGRRSQRWSAYVEDGKIKVLNVEEVPSDFKVSGGEVILGQI >Manes.05G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1678975:1683524:-1 gene:Manes.05G018300.v8.1 transcript:Manes.05G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLFRSKSCGLVGLTEFSSVPPPSPFLEDDDEDEEEEDEEEDYEYEDQEYNGALRNPISTPFISPGSRFGARGGREGNERGRHGSNQFAVLDILVAALRKSLVTCSVEREDVSSMDISWPTDVRHVSHVTFDRFNGFLGLPTEFEPEVPRKAPSASANVFGVSANSMQCTYDDKGNSVPTILLMMQKRLYVEGGLKAEGIFRINAENSHEEYVRDQLNKGVVPRGIDVHCLAGLIKAWFRELPSGVLDSLTAEQVMHCNTEDDCTQLVKLLPPTEAALLDWAINLMADVVEHEQYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNLLKTLILKNLHNREESAAKARLLSVFSESPGDKSESCQPNLNSKPCKTSLDACAPEVPSTGEFLRSATMNSIESNAKEKSQKKNDGEEELNSISGSNAHSCEMGTLENGCKGEYDNGDWLSLRKGVRRLCRHPVFQLSKPAKKSRELGIVNNRGGGGEAWA >Manes.01G269600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42314065:42315915:-1 gene:Manes.01G269600.v8.1 transcript:Manes.01G269600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLAISPRKLRSDLYSYSYQSHSNTPLVISVLASLIERTMARNERIAKICSWALSKDFRTRVFDCYETPDMTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQANLGFRINARNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNELNKLELEFLFMMGFKMHVKVSVFESYCCHLEREVGIGGGYHIEKTLRCAEEIKSAQNEEKRYINQITRIML >Manes.12G098240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22040867:22041404:1 gene:Manes.12G098240.v8.1 transcript:Manes.12G098240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIGGHVGRTNIGQVALIPSLALSLYSCIIWICSSISAILTLLTHNYTPYILRLLLDRLKAKPSTKNNFDINLSINLTSRDYERVERREREQREGRILLY >Manes.01G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9932183:9937403:1 gene:Manes.01G051000.v8.1 transcript:Manes.01G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSCSQSLLRRGPTLPPSVSRLRVINRPGNSPLLTRNAQIFDSHSKFSKNKWKISCFRHEDFSPVNPKSDGVEHCLPEKLVKKPELENPTDVKKNWISSLREAADFVYRAIGSRWTVPWTAETILQVMLLWVVSFWFIGSWVIPFAAHMAGFNKESLTFRGQALFSLVTDVTEGLAGIAILHRCLSRFCPLPSDWFRFSLKGNWLLDVALGCFMFPLVNRLSQFNLSLLPILPSTPVTLSSVEQSIAARDPVAMTLYAIVVSVCAPVWEEIVFRGFLLPSLTRYMPVWCAILVSSVAFALAHFNVQRMLPLIFLGVVMGVIFTRSRNLMPSMLLHSLWNGFVFLDLMR >Manes.09G012402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2946051:2946675:1 gene:Manes.09G012402.v8.1 transcript:Manes.09G012402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVINMISSGALLFINTNNYIVKFIIYFY >Manes.14G000700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21145:36867:1 gene:Manes.14G000700.v8.1 transcript:Manes.14G000700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMDPELHRARIFMREKIFAIILQRQPQPVSEPQKQKFKDIAKRLEEGLFKAAQSKEDYMNLNTLESRLSSLIKRAPVNNHNQRHGQLVNPSSSIGTMIPTPGMSHSGNSNLMVSSADTVMTASSGCDSISVTTMNTGSLLPSSSLHSSFSRSDGTMSNGYQQTLANFSISSGGSLPSMGGQRMTSQMIPTPGYNNSNNNNKSNNQSFVNMESSSSLGGYSTVESTMASQPQQQKQYAGGQNSHILQNLGSQMGSSIRSGLQQKSYGFSNGALNSGMGMITNNLQLVSEPCASEGYMTPTPYASSPKPLQQHFDQQQQQIVHGEGYGISNADSFGSGNFYNTVTSVGSMMNAQNLTSMSLRPMPKTNSSLVNNQLNLHGMQQGAHIKPQSADQSEKMNFQSLPSRDSILQTHQQQQFQQHLHQFPQQQFVQQQCIKNQQNQQHQHLLHDAFDQSQPSNPSNRVKHEPGVEHHNEVLHLQTSQQFQMSELQNQFQQNVVEDHSQVAQNLSQPSGQHDMCSSLAQNSQQMQQMLHPHQLVSESQSDFNCHSIGAPSATIMQGQWRPHLQDRAGIPSMSHEQHVQEDFHQRISGQDEAQRNNLASEGSNIVQSAAPRNSSETQHSNGVVCRSGNANRDRQFRNQQKWLLFLRHARRCTAPEGKCSDVNCITVQKLLRHMDRCNSSPCPYPRCHHTRILIQHNKHCRDAGCPVCIPVKNYLEAQMRARTRPSSDSCFSIKSNNTSDNSAKFISKNPAVETSEELHPSLKRMKVEQSPQSFKPENETAVVSASVATDSHISQDVKLQDYKQGDAFVPVKSEYMEIKLELPLSSLQGSPSNNEKKKDIVDRNSQKPNGEAIVQDESTDLSKQESIKVEKETDQGKQEISAQPADNATGTKSGKPKIKGVSLTELFTPEQVREHIMGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGSAIQKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKQERQERARIQVKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCVLMVSGNRWVCHQCKNFQICDNCYEAEQKREERERHPINQREKHALYRVEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Manes.14G000700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21166:36892:1 gene:Manes.14G000700.v8.1 transcript:Manes.14G000700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHISGQICGQVPNQLPQQNGNPLPAVQLQNLAASSGGGLTSPSMFTMDPELHRARIFMREKIFAIILQRQPQPVSEPQKQKFKDIAKRLEEGLFKAAQSKEDYMNLNTLESRLSSLIKRAPVNNHNQRHGQLVNPSSSIGTMIPTPGMSHSGNSNLMVSSADTVMTASSGCDSISVTTMNTGSLLPSSSLHSSFSRSDGTMSNGYQQTLANFSISSGGSLPSMGGQRMTSQMIPTPGYNNSNNNNKSNNQSFVNMESSSSLGGYSTVESTMASQPQQQKQYAGGQNSHILQNLGSQMGSSIRSGLQQKSYGFSNGALNSGMGMITNNLQLVSEPCASEGYMTPTPYASSPKPLQQHFDQQQQQIVHGEGYGISNADSFGSGNFYNTVTSVGSMMNAQNLTSMSLRPMPKTNSSLVNNQLNLHGMQQGAHIKPQSADQSEKMNFQSLPSRDSILQTHQQQQFQQHLHQFPQQQFVQQQCIKNQQNQQHQHLLHDAFDQSQPSNPSNRVKHEPGVEHHNEVLHLQTSQQFQMSELQNQFQQNVVEDHSQVAQNLSQPSGQHDMCSSLAQNSQQMQQMLHPHQLVSESQSDFNCHSIGAPSATIMQGQWRPHLQDRAGIPSMSHEQHVQEDFHQRISGQDEAQRNNLASEGSNIVQSAAPRNSSETQHSNGVVCRSGNANRDRQFRNQQKWLLFLRHARRCTAPEGKCSDVNCITVQKLLRHMDRCNSSPCPYPRCHHTRILIQHNKHCRDAGCPVCIPVKNYLEAQMRARTRPSSDSCFSIKSNNTSDNSAKFISKNPAVETSEELHPSLKRMKVEQSPQSFKPENETAVVSASVATDSHISQDVKLQDYKQGDAFVPVKSEYMEIKLELPLSSLQGSPSNNEKKKDIVDRNSQKPNGEAIVQDESTDLSKQESIKVEKETDQGKQEISAQPADNATGTKSGKPKIKGVSLTELFTPEQVREHIMGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGSAIQKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKQERQERARIQVKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCVLMVSGNRWVCHQCKNFQICDNCYEAEQKREERERHPINQREKHALYRVEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Manes.14G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21128:36896:1 gene:Manes.14G000700.v8.1 transcript:Manes.14G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHISGQICGQVPNQLPQQNGNPLPAVQLQNLAASSGGGLTSPSMFTMDPELHRARIFMREKIFAIILQRQPQPVSEPQKQKFKDIAKRLEEGLFKAAQSKEDYMNLNTLESRLSSLIKRAPVNNHNQRHGQLVNPSSSIGTMIPTPGMSHSGNSNLMVSSADTVMTASSGCDSISVTTMNTGSLLPSSSLHSSFSRSDGTMSNGYQQTLANFSISSGGSLPSMGGQRMTSQMIPTPGYNNSNNNNKSNNQSFVNMESSSSLGGYSTVESTMASQPQQQKQYAGGQNSHILQNLGSQMGSSIRSGLQQKSYGFSNGALNSGMGMITNNLQLVSEPCASEGYMTPTPYASSPKPLQQHFDQQQQQIVHGEGYGISNADSFGSGNFYNTVTSVGSMMNAQNLTSMSLRPMPKTNSSLVNNQLNLHGMQQGAHIKPQSADQSEKMNFQSLPSRDSILQTHQQQQFQQHLHQFPQQQFVQQQCIKNQQNQQHQHLLHDAFDQSQPSNPSNRVKHEPGVEHHNEVLHLQTSQQFQMSELQNQFQQNVVEDHSQVAQNLSQPSGQHDMCSSLAQNSQQMQQMLHPHQLVSESQSDFNCHSIGAPSATIMQGQWRPHLQDRAGIPSMSHEQHVQEDFHQRISGQDEAQRNNLASEGSNIVQSAAPRNSSETQHSNGVVCRSGNANRDRQFRNQQKWLLFLRHARRCTAPEGKCSDVNCITVQKLLRHMDRCNSSPCPYPRCHHTRILIQHNKHCRDAGCPVCIPVKNYLEAQMRARTRPSSDSCFSIKSNNTSDNSAKFISKNPAVETSEELHPSLKRMKVEQSPQSFKPENETAVVSASVATDSHISQDVKLQDYKQGDAFVPVKSEYMEIKLELPLSSLQGSPSNNEKKKDIVDRNSQKPNGEAIVQDESTDLSKQESIKVEKETDQGKQEISAQPADNATGTKSGKPKIKGVSLTELFTPEQVREHIMGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGSAIQKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKQERQERARIQVKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCVLMVSGNRWVCHQCKNFQICDNCYEAEQKREERERHPINQREKHALYRVEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Manes.14G000700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21166:36862:1 gene:Manes.14G000700.v8.1 transcript:Manes.14G000700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHISGQICGQVPNQLPQQNGNPLPAVQLQNLAASSGGGLTSPSMFTMDPELHRARIFMREKIFAIILQRQPQPVSEPQKQKFKDIAKRLEEGLFKAAQSKEDYMNLNTLESRLSSLIKRAPVNNHNQRHGQLVNPSSSIGTMIPTPGMSHSGNSNLMVSSADTVMTASSGCDSISVTTMNTGSLLPSSSLHSSFSRSDAMVAGTMSNGYQQTLANFSISSGGSLPSMGGQRMTSQMIPTPGYNNSNNNNKSNNQSFVNMESSSSLGGYSTVESTMASQPQQQKQYAGGQNSHILQNLGSQMGSSIRSGLQQKSYGFSNGALNSGMGMITNNLQLVSEPCASEGYMTPTPYASSPKPLQQHFDQQQQQIVHGEGYGISNADSFGSGNFYNTVTSVGSMMNAQNLTSMSLRPMPKTNSSLVNNQLNLHGMQQGAHIKPQSADQSEKMNFQSLPSRDSILQTHQQQQFQQHLHQFPQQQFVQQQCIKNQQNQQHQHLLHDAFDQSQPSNPSNRVKHEPGVEHHNEVLHLQTSQQFQMSELQNQFQQNVVEDHSQVAQNLSQPSGQHDMCSSLAQNSQQMQQMLHPHQLVSESQSDFNCHSIGAPSATIMQGQWRPHLQDRAGIPSMSHEQHVQEDFHQRISGQDEAQRNNLASEGSNIVQSAAPRNSSETQHSNGVVCRSGNANRDRQFRNQQKWLLFLRHARRCTAPEGKCSDVNCITVQKLLRHMDRCNSSPCPYPRCHHTRILIQHNKHCRDAGCPVCIPVKNYLEAQMRARTRPSSDSCFSIKSNNTSDNSAKFISKNPAVETSEELHPSLKRMKVEQSPQSFKPENETAVVSASVATDSHISQDVKLQDYKQGDAFVPVKSEYMEIKLELPLSSLQGSPSNNEKKKDIVDRNSQKPNGEAIVQDESTDLSKQESIKVEKETDQGKQEISAQPADNATGTKSGKPKIKGVSLTELFTPEQVREHIMGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGSAIQKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFKRLKQERQERARIQVKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENIVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCVLMVSGNRWVCHQCKNFQICDNCYEAEQKREERERHPINQREKHALYRVEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Manes.11G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24366096:24367489:1 gene:Manes.11G105800.v8.1 transcript:Manes.11G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLCFSFLLFFVSSVTACDRSGACGYGSLAPGFNGGQLAAGIPTLYKDGAGCGACFQIRCKNKTLCSSKGTTVILTDLNHNNQTDFVLSSRAFMAMANQGMGKDILRLGTVDVEYKRVPCEYKNQNLGVRVEESSQKPNYLAIKLLYQGGQTEIISIDMAKVGSSNWGYMSRKSGAIWDISRVPAGPLQFRFVVTAGYDGKWIWAKSVLPADWKPGVVYDSGIQIIDIAQEGCSPCNDRVWN >Manes.03G041700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGKSVEDGIKLSKRLYFGKDRAVAPPKPQMSMDKSSETFLPRAPMVYAVISDPGIVDNPDMTSYQPHVHGRCDPPALIPLQMNRIELEADSYLDSVIVRFSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPRKSYSTELIAMDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSVSSSHIYGGVILHSPSVHDVDQRELFSLYLFPGGKSSGKAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKLPQKNDSSKVDSQGQRRIMLQNFGVGFGNLKATAENIRPGVEEPKLPEAAELIIKAASNCCGRLCDRCCCMCCIQCFSKMNNQCAIALTQLWTALACFGCVECCSQLCCCCGNE >Manes.03G041700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGKSVEDGIKLSKRLYFGKDRAVAPPKPQMSMDKSSETFLPRAPMVYAVISDPGIVDNPDMTSYQPHVHGRCDPPALIPLQMNRIELEADSYLDSVIVRFSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPRKSYSTELIAMDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIGTYCNHYFLRMLAMISRGQYGAAYDVDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKLPQKNDSSKVDSQGQRRIMLQNFGVGFGNLKATAENIRPGVEEPKLPEAAELIIKAASNCCGRLCDRCCCMCCIQCFSKMNNQCAIALTQLWTALACFGCVECCSQLCCCCGNE >Manes.03G041700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGKSVEDGIKLSKRLYFGKDRAVAPPKPQMSMDKSSETFLPRAPMVYAVISDPGIVDNPDMTSYQPHVHGRCDPPALIPLQMNRIELEADSYLDSVIVRFSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPRKSYSTELIAMDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKLPQKNDSSKVDSQGQRRIMLQNFGVGFGNLKATAENIRPGVEEPKLPEAAELIIKAASNCCGRLCDRCCCMCCIQCFSKMNNQCAIALTQLWTALACFGCVECCSQLCCCCGNE >Manes.03G041700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGKSVEDGIKLSKRLYFGKDRAVAPPKPQMSMDKSSETFLPRAPMVYAVISDPGIVDNPDMTSYQPHVHGRCDPPALIPLQMNRIELEADSYLDSVIVRFSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPRKSYSTELIAMDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSVSSSHIYGGVILHSPSVHDVDQRELFSLYLFPGGKSSGKAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIGTYCNHYFLRMLAMISRGQYGAAYDVDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKLPQKNDSSKVDSQGQRRIMLQNFGVGFGNLKATAENIRPGVEEPKLPEAAELIIKAASNCCGRLCDRCCCMCCIQCFSKMNNQCAIALTQLWTALACFGCVECCSQLCCCCGNE >Manes.03G041700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGKSVEDGIKLSKRLYFGKDRAVAPPKPQMSMDKSSETFLPRAPMVYAVISDPGIVDNPDMTSYQPHVHGRCDPPALIPLQMNRIELEADSYLDSVIVRFSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPRKSYSTELIAMDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIGTYCNHYFLRMLAMISRGQYGAAYDVDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKVSIDPSKNICGICLL >Manes.03G041700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGKSVEDGIKLSKRLYFGKDRAVAPPKPQMSMDKSSETFLPRAPMVYAVISDPGIVDNPDMTSYQPHVHGRCDPPALIPLQMNRIELEADSYLDSVIVRFSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPRKSYSTELIAMDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSVSSSHIYGGVILHSPSVHDVDQRELFSLYLFPGGKSSGKAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIGTYCNHYFLRMLAMISRGQYGAAYDVDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKVSIDPSKNICGICLL >Manes.03G041700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFGKSVEDGIKLSKRLYFGKDRAVAPPKPQMSMDKSSETFLPRAPMVYAVISDPGIVDNPDMTSYQPHVHGRCDPPALIPLQMNRIELEADSYLDSVIVRFSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPRKSYSTELIAMDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSVSSSHIYGGVILHSPSVHDVDQRELFSLYLFPGGKSSGKAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKVSIDPSKNICGICLL >Manes.03G041700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSVSSSHIYGGVILHSPSVHDVDQRELFSLYLFPGGKSSGKAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIGTYCNHYFLRMLAMISRGQYGAAYDVDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKLPQKNDSSKVDSQGQRRIMLQNFGVGFGNLKATAENIRPGVEEPKLPEAAELIIKAASNCCGRLCDRCCCMCCIQCFSKMNNQCAIALTQLWTALACFGCVECCSQLCCCCGNE >Manes.03G041700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3677566:3685334:1 gene:Manes.03G041700.v8.1 transcript:Manes.03G041700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKRYMEKECRPESGGFLKPYIFILTIPNVDGGSTLSIKVSWIQKLLYRNGEFSLIVPFSFPDYVTPPVKKLAKKEKIQLNINSGTETEIMCKATSHPLKQLKREAGKSGFLYEADVLTWTDIDFAVSYSVSSSHIYGGVILHSPSVHDVDQRELFSLYLFPGGKSSGKAFRKEIVFLIDISGSMEAKPLEGAKNALIGTLTKLDAKDSFNILAFNGETYSFSSSMELATAETVERAVEWINLKFIAGGGTNILLPLNQAMELVSNTHGSFPVIYLITDGAVENERQICDVMQSHLTSKGSICPRIYTFGIDSVEPQMQKLFAKGLSTILANITIDTLDDLDDVEVYPSRIPDLSSESLLIISGRYRGNFPETLKAKAVLEDLSNFVVDLKIQNAKDMPFDKIFAKQQIDLLTAQAWFSENKRLEDKVVEMSIQTGIVSEYTRLSLLETQKGNQATESPGAHKLPQKNDSSKVDSQGQRRIMLQNFGVGFGNLKATAENIRPGVEEPKLPEAAELIIKAASNCCGRLCDRCCCMCCIQCFSKMNNQCAIALTQLWTALACFGCVECCSQLCCCCGNE >Manes.09G183300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37100143:37101304:-1 gene:Manes.09G183300.v8.1 transcript:Manes.09G183300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGKDIKHGRVLKLVHPGGFVEIHQSPIRADEVMKKNPRHCVTRPDVFKFPWIVVRPESVLKPGGVFYIVPFHTIHRLLQRNRYQNQQDPPLLQLFSLDSSVQETYFHHLVKQQDSNISPKRTKVDCKIEDWPKDTIFPEYVVRSNQFHDPYCSEESLIKSRFELEHFDDSPKKPFPVDHWRANFDVKVQQEPMQQAPIHSFVEPRPSFSSDIAATYSCIKDELGPSNSQEYKPLKSCLKKDNNGKSRGFRVKFAIPDEYDKDD >Manes.07G075300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20513724:20514550:-1 gene:Manes.07G075300.v8.1 transcript:Manes.07G075300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVRSNGFDANHLLPLWIDDPTSNCCEWERVMCNSTTESYWSYNYEWYVNLSMFHQLKKLKTLNVFYNHFDCSIDNQGCERLSKLKKFEVLDLSWNRFNNNMLLSLDALTSIKTLILGYNRMESSFSIQGMFDI >Manes.07G014101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1728076:1733808:-1 gene:Manes.07G014101.v8.1 transcript:Manes.07G014101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKLRYIISNKSFSQLLKEQIQPYTEETRETGKMSTTLSDHQDTTLERILLITNFVVELPSAVLDQLSSVHKPRYALISMIMSFIIMLISVLDFFRMGRRQRVKWMKRDKIIPWFYSQGPSFKPLGTFADVVGLICSIFQCVSAAVAYEFLSHKSDNPIKISVWPLIFAFGVLLTRFPRNHRSEIHLENPAQRNLRELQDSETSLYYGDKNSYWELSEMAEEAKKRAEIARLKGHVESVVRLKGLDIYPIEQYYSS >Manes.14G151000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17104982:17105842:-1 gene:Manes.14G151000.v8.1 transcript:Manes.14G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNSNVEAQISTELQLIARITQIHCSISKLDSLRPSKQVNTLFSRLVKLCIPSSSIDIRSLSPEVQEMRNSLIVLCGRAEGLLELEFAIFLNKIPQPLDNLDLFPYYENYVKLANLEYRILGENGVVQPKKVAFVGSGPMPLTSLVMATHHLKSTHFDNFDIDKTANDMARGIVASDGELEKRMKFEMCDVMELREKLGEYDCIFLAALVGMSKEEKVKIIGHIRKYMKEGGILLVRSANGARAFLYPVVEEHDLVGFEVLSIFHPTNDVINSIVLARKPIAF >Manes.06G144000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27122744:27125598:-1 gene:Manes.06G144000.v8.1 transcript:Manes.06G144000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSISPSIQLKIRPYFRSKFNRNSLITYARIDNSQTSKDQQQELNLSVLRFTFGIPGLDESYLPRWIGYGFGSLLLLNHFLGSNSATSPPQLRTEALGLSLAAFSIALPFFGRFLKGAAPVDRPSLPQGAEQVFLMSEDISNTQKEDLAWATYVLLRNTNTIAVLITIQGKLCVRGYWNTPDNFPKAQLLDWFKIQIENIGLFDMKETLYLPQTAESGLWEMLPKGTRSLLVEPVIQDIDQSTNEMEKTVDLVLLASSIVYAYSDKDRAWIRAVANKFAGCR >Manes.06G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27123048:27125598:-1 gene:Manes.06G144000.v8.1 transcript:Manes.06G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSISPSIQLKIRPYFRSKFNRNSLITYARIDNSQTSKDQQQELNLSVLRFTFGIPGLDESYLPRWIGYGFGSLLLLNHFLGSNSATSPPQLRTEALGLSLAAFSIALPFFGRFLKGAAPVDRPSLPQGAEQVFLMSEDISNTQKEDLAWATYVLLRNTNTIAVLITIQGKLCVRGYWNTPDNFPKAQLLDWFKIQIENIGLFDMKETLYLPQTAESGLWEMLPKGTRSLLVEPVIQDIDQSTNEMEKTVDLVLLASSIVYAYSDKDRAWIRAVANKFAGESICSES >Manes.06G144000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27122318:27125618:-1 gene:Manes.06G144000.v8.1 transcript:Manes.06G144000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSISPSIQLKIRPYFRSKFNRNSLITYARIDNSQTSKDQQQELNLSVLRFTFGIPGLDESYLPRWIGYGFGSLLLLNHFLGSNSATSPPQLRTEALGLSLAAFSIALPFFGRFLKGAAPVDRPSLPQGAEQVFLMSEDISNTQKEDLAWATYVLLRNTNTIAVLITIQGKLCVRGYWNTPDNFPKAQLLDWFKIQIENIGLFDMKETLYLPQTAESGLWEMLPKGTRSLLVEPVIQDIDQSTNEMEKTVDLVLLASSIVYAYSDKDRAWIRAVANKFAGVCAFFI >Manes.11G103700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23632453:23639941:-1 gene:Manes.11G103700.v8.1 transcript:Manes.11G103700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQYADSGANAYVGAQMQHISAQRMDSRSDNDHFQGRLEAFTPEREHPYVTSKAEGQWRWERDESKVSNPMASRILNEGQAVDGSRSYFQGKGLGLGPEPKLALEKQGINDPRFGPCEEDMQVGLKEKPLLQTFEGIEQKFLDDITKLAKEQNDAEDAENARHREKINAINSQYQEQLAALRARHASRRGECLRKESHARQQQYQQAVIDRYPSSGMDPGDPHGYSGVSSSAAINEAHQAYNTNNFKSYRERGQFLGGSRDQGFEPRGPYHGGRYDTGAGYY >Manes.11G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23632272:23639943:-1 gene:Manes.11G103700.v8.1 transcript:Manes.11G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQYADSGANAYVGAQMQHISAQRMDSRSDNDHFQGRLEAFTPEREHPYVTSKAEGQWRWERDESKVSNPMASRILNEGQAVDGSRSYFQGKGLGLGPEPKLALEKQGINDPRFGPCEEDMQVGLKEKPLLQTFEGIEQKFLDDITKLAKEQNDAEDAENARHREKINAINSQYQEQLAALRARHASRRGECLRKESHARQQQYQQAVIDRYPSSGMDPGDPHGYSGVSSSAAINEAHQAYNTNNFKSYRERGQFLGGSRDQGFEPRGPYHGGRYDTGAGYY >Manes.13G109200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31447473:31448457:1 gene:Manes.13G109200.v8.1 transcript:Manes.13G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKVHSQGNVPFSWEDSPGISKASHQDTTLHVLNLSSSSPKPTSFIPQDSDTSSLKESSAHQDKKIPLPPCKNLQLPTQRSTSAKGFGCWQEDPFIAAFKECTKNVRNGNKVQPCENKKNVTGFKVKKSRLIFSCKNSCDVTDDNLVRLSHLPPIPRYRVRG >Manes.14G034700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3097832:3098473:-1 gene:Manes.14G034700.v8.1 transcript:Manes.14G034700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSTSPCSGSSSLQFCTFLLIISLLLLSKVQESSAAAIATTRTSRTAYINFLKTACNSTTYPKLCYVSLSPYASTIKTNDLKLCNTALNITLKVARNTYKLFKTMSRQKALSKTEVGVIKDCQEEVGDSIDELKQALKALKILNANDKNVEFQIADIKTWVSAALTDENTCTDGFDGLKIGSALKKQISKIISNFASFTSNALALINKLDQ >Manes.13G075750.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18225254:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVMNHYYTTPSH >Manes.13G075750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18218184:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVPWTTRVRLLPHRFKIKQPNLMHELLIPVFRMIVEVFPLIRHLFALIDANVLRLL >Manes.13G075750.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18225254:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILVICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVMNHYYTTPSH >Manes.13G075750.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18212696:18232005:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILVICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVPWTTRVRLLPHRFKIKQPNLMHELLIGSRCET >Manes.13G075750.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18219908:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILVICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEALLCW >Manes.13G075750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18218184:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILVICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVPWTTRVRLLPHRFKIKQPNLMHELLIPVFRMIVEVFPLIRHLFALIDANVLRLL >Manes.13G075750.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18219908:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEALLCW >Manes.13G075750.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18220854:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEKR >Manes.13G075750.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18218296:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILVICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVPWTTRVRLLPHRFKIKQPNLMHELLIVSLTIVKSLCFYLVISLINY >Manes.13G075750.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18218296:18231332:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVPWTTRVRLLPHRFKIKQPNLMHELLIVSLTIVKSLCFYLVISLINY >Manes.13G075750.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18212639:18231990:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVPWTTRVRLLPHRFKIKQPNLMHELLIGSRCET >Manes.13G075750.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18212716:18231990:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVPWTTRVRLLPHRFKIKQPNLMHELLIIVHEK >Manes.13G075750.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18212712:18232005:-1 gene:Manes.13G075750.v8.1 transcript:Manes.13G075750.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADNSSADALSTIWKHSYKGGEWRSCVNKSSGGLPESNGYIYVEANGGLNQQRILVICNAVAVAGFLNATLLIPNFHFHSIWRDPSKFGDIYDEDYFISTLENDVRVVDKIPLYLMEWFDHNMTNVYNFRVKAWSSIHYYEEVVLRRLLEEKVIRISPFANRLSFDAPPAVQQLRCLANYEALRFSNPILTLGEALVSRMKERSVNHGGKYVSVHLRFEEDMVAFSCCVCDGGEQEEKNMIAARERGWKGKFTKPGRTICPGAIRLNGKCPLTPLEVPWTTRVRLLPHRFKIKQPNLMHELLIIVHEK >Manes.07G078500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24021058:24034426:1 gene:Manes.07G078500.v8.1 transcript:Manes.07G078500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVAKVLDSNSQSVMLGLKRKRASRYAAYFAEASRITSPEWPTVRFSTYKPRKQRRLTGSRSKVVSCGCHFRRSLLRCYSNFMRTGVLLRLMFYQNGEWTDFSPDIVALVRKDLQERKPAIELELEGQRCMLDLLHMFLVDMKTGFQQPIAWIDEKGGCFFPEIFIDNREPQACCQHNCVNDQGPTFREPKVPHEIRLQLEIDINGLDHCQSKLECSGESDAFVKHIEIVQNPMSVNVVEVEDNCNRKPDEQISQFFEENQHIKTNVSTGIESVNEKLDSDTVQNMFLTGMKNFSVTDILDIRRCSSTPMQAQLEIFQKQIELTKRCRGDANVRYAWLASSKALLSTVKLYGLGNCGQSASKSKYGIGVHLYAANCCESSANFCDVDENGVRHMVLCRVILGKMELVQPGSQQWYPSSENFDSGVDDLQNPSQYIVWSMNMNTHIYPEFVVSFKSSSHAEGILAGTDIKHDVSGITTSSQGAQQNVPNESPVDLNLPVESPDLNLPTECPIAGLGSVSQPESGGSLGKAPSFGSNNTRTPKSPWMPFPMLFSAISSKVPRAEMERVTTYYEQFQAKKISRGDFIKRLRLIVGDALLKSTITSLQCKIPLVGTGTVKSTAEG >Manes.07G078500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24021058:24034463:1 gene:Manes.07G078500.v8.1 transcript:Manes.07G078500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVAKVLDSNSQSVMLGLKRKRASRYAAYFAEASRITSPEWPTVRFSTYKPRKQRRLTGSRSKVVSCGCHFRRSLLRCYSNFMRTGVLLRLMFYQNGEWTDFSPDIVALVRKDLQERKPAIELELEGQRCMLDLLHMFLVDMKTGFQQPIAWIDEKGGCFFPEIFIDNREPQACCQHNCVNDQGPTFREPKVPHEIRLQLEIDINGLDHCQSKLECSGESDAFVKHIEIVQNPMSVNVVEVEDNCNRKPDEQISQFFEENQHIKTNVSTGIESVNEKLDSDTVQNMFLTGMKNFSVTDILDIRRCSSTPMQAQLEIFQKQIELTKRCRGDANVRYAWLASSKALLSTVKLYGLGNCGQSASKSKYGIGVHLYAANCCESSANFCDVDENGVRHMVLCRVILGKMELVQPGSQQWYPSSENFDSGVDDLQNPSQYIVWSMNMNTHIYPEFVVSFKSSSHAEGDMLLLLRFLFLDENYAL >Manes.07G078500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24021058:24034463:1 gene:Manes.07G078500.v8.1 transcript:Manes.07G078500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVAKVLDSNSQSVMLGLKRKRASRYAAYFAEASRITSPEWPTVRFSTYKPRKQRRLTGSRSKVVSCGCHFRRSLLRCYSNFMRTGVLLRLMFYQNGEWTDFSPDIVALVRKDLQERKPAIELELEGQRCMLDLLHMFLVDMKTGFQQPIAWIDEKGGCFFPEIFIDNREPQACCQHNCVNDQGPTFREPKVPHEIRLQLEIDINGLDHCQSKLECSGESDAFVKHIEIVQNPMSVNVVEVEDNCNRKPDEQISQFFEENQHIKTNVSTGIESVNEKLDSDTVQNMFLTGMKNFSVTDILDIRRCSSTPMQAQLEIFQKQIELTKRCRGDANVRYAWLASSKALLSTVKLYGLGNCGQSASKSKYGIGVHLYAANCCESSANFCDVDENGVRHMVLCRVILGKMELVQPGSQQWYPSSENFDSGVDDLQNPSQYIVWSMNMNTHIYPEFVVSFKSSSHAEGDMLLLLRFLFLDENYAL >Manes.07G078500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24021058:24034426:1 gene:Manes.07G078500.v8.1 transcript:Manes.07G078500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVAKVLDSNSQSVMLGLKRKRASRYAAYFAEASRITSPEWPTVRFSTYKPRKQRRLTGSRSKVVSCGCHFRRSLLRCYSNFMRTGVLLRLMFYQNGEWTDFSPDIVALVRKDLQERKPAIELELEGQRCMLDLLHMFLVDMKTGFQQPIAWIDEKGGCFFPEIFIDNREPQACCQHNCVNDQGPTFREPKVPHEIRLQLEIDINGLDHCQSKLECSGESDAFVKHIEIVQNPMSVNVVEVEDNCNRKPDEQISQFFEENQHIKTNVSTGIESVNEKLDSDTVQNMFLTGMKNFSVTDILDIRRCSSTPMQAQLEIFQKQIELTKRCRGDANVRYAWLASSKALLSTVKLYGLGNCGQSASKSKYGIGVHLYAANCCESSANFCDVDENGVRHMVLCRVILGKMELVQPGSQQWYPSSENFDSGVDDLQNPSQYIVWSMNMNTHIYPEFVVSFKSSSHAEGILAGTDIKHDVSGITTSSQGAQQNVPNESPVDLNLPVESPDLNLPTECPIAGLGSVSQPESGGSLGKAPSFGSNNTRTPKSPWMPFPMLFSAISSKVPRAEMERVTTYYEQFQAKKISRGDFIKRLRLIVGDALLKSTITSLQCKIPLVGTGTVKSTAEG >Manes.14G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10410974:10419949:1 gene:Manes.14G130500.v8.1 transcript:Manes.14G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLFTSPTATFLCSISKTLSIKSTLTRTLPFFTASITTMSVNLQSHAFAGNPLRSKTLKPTDPLSPTLALETLKTQLLDNTHQLSSINFRVLPFRKGRPLASSSGDGDLVPNWHLRWISLADFRSFLASSRVELSGESLVYLGSRSEEDVVYWAVDVSEENNLINVLGSKRFCFVELRTLMVATDWTDERAMGDLAIAGHARALLEWHNLARFCGHCGAKAVPAEAGRRKQCSNELCKKTIYPRVDPVVIMLVIDRKNDCVLLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPNSMPCQLMVGFFAYAKSLEINVDKAELEDAAWHSREDVQKALMVAEYKKAQRTAAAKVDQMCKGVEKGQNLSADFNVESGELAPMFFPGPFAIAHHLISSWVNQSSTIGVEALWKQPSSSLSNL >Manes.14G130500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10410496:10419310:1 gene:Manes.14G130500.v8.1 transcript:Manes.14G130500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLFTSPTATFLCSISKTLSIKSTLTRTLPFFTASITTMSVNLQSHAFAGNPLRSKTLKPTDPLSPTLALETLKTQLLDNTHQLSSINFRVLPFRKGRPLASSSGDGDLVPNWHLRWISLADFRSFLASSRVELSGESLVYLGSRSEEDVVYWAVDVSEENNLINVLGSKRFCFVELRTLMVATDWTDERAMGDLAIAGHARALLEWHNLARFCGHCGAKAVPAEAGRRKQCSNELCKKTIYPRVDPVVIMLVIDRKNDCVLLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPNSMPCQLMVGFFAYAKSLEINVDKAELEDAAWHSREDVQKALMVAEYKKAQRTAAAKVDQMCKGVEKGQNLSADFNVESGELAPMFFPGPFAIAHHLISSWVNQSSTIGVEALWKQPSSSLSNL >Manes.16G034751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4226333:4229018:-1 gene:Manes.16G034751.v8.1 transcript:Manes.16G034751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSSSSSVCLLLSLSFVFACLLIFLPISLAKTTNSTRLPRQLSINYYAKNCPQLEQLVGSVTSQQFKETPVSGPATIRLFFHDCFVEGCDASILISTKPGSKELAEKDAEDNKDLRVEGYESIRKAKALVESKCPGVVSCADILAIAARDYVHLAGGPYYQVKKGRWDGKISMASRVPYNLPQANSTIDQLLKLFNSKGLTPQDLVVLSGAHTIGFAHCKHFINRLYNYGGTKQPDPVIDPRLLKALRMSCPQFGGNEDIVAPFDVTTPFLFDHAYYGNLEAKLGLLASDQALFLDPRTKPLVQQLGTNKQKFFQAFSQAMDKMGSIGVKRGRRHGEKRRDCSIHGL >Manes.15G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10433365:10434689:1 gene:Manes.15G130700.v8.1 transcript:Manes.15G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYKTKKNQPAKGNRLLISVTVLGSAGPIRFVVNEEELVANVIDTALKSYAREGRLPILSSDLNDFFLYCPNAGSGALSPWETIGSVGCRNFMLCKKPQPVKVDTDDAKTSAIPTQKGSGSWKSWINKSRNLKISSH >Manes.15G130700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10433365:10434690:1 gene:Manes.15G130700.v8.1 transcript:Manes.15G130700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYKTKKNQPAKGNRLLISVTVLGSAGPIRFVVNEEELVANVIDTALKSYAREGRLPILSSDLNDFFLYCPNAGSALSPWETIGSVGCRNFMLCKKPQPVKVDTDDAKTSAIPTQKGSGSWKSWINKSRNLKISSH >Manes.18G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9033269:9034679:1 gene:Manes.18G097700.v8.1 transcript:Manes.18G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFVPHKCFLLLLIFMALIVDISVGLRQQIALNGDDNVDHGHEYSKQGEREEQGSELYPTGSSLPDCSHACGPCFPCKRVMVSFKCSIGESCPIVYRCMCKGKYYHVPSA >Manes.08G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2418800:2422496:-1 gene:Manes.08G024000.v8.1 transcript:Manes.08G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP22 MEKLFPFGLSLLLATTSVFPHFPFLSHASENVYSRPSARSVIFTRHKGSESEPQQVHISLVGKDHMRVTWITDDKHVESRVEYGKQPGKYNAIATGEQTSYRYFFYSSGKIHHVKIGPLESATTYYYRCGGYGPEFSFKTPPSAFPIEFAVVGDLGQTEWTKSTLEHVGSRDYDVFLLPGDLSYADSHQPLWDSFGRLVEPYATQRPWMVTEGNHEIETVPIIFPHGFKAYNARWRMPYEESGSASNLYYSFEVGGVHVIMLGSYTDFASDSDQYKWLVGDLGGVDRKKTPWVVVLLHAPWYNTNLAHQGEGEEMRKAMEDLLYEARVDVVFAGHVHAYERFTRIYNNKADPCGPMYITIGDGGNREGLALTFKNPGSSLSLYREPSFGHGRLRILDEKRAHWSWQRNNDSDSVEADEVWLDNLTTSKACWASVDAQQQQPSSSANEEL >Manes.01G049330.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13739958:13748881:1 gene:Manes.01G049330.v8.1 transcript:Manes.01G049330.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRREIVNGMPGQYVWITYKQVYDLVIKVGNSIRSRGVEPGGKCGIYGANCSEWIVSMEACNAHGLFCVPLYDTLGVGAVEYIICHAEVSIAFVEEKKIPELLKTFPNSTKYMKTIVSFGKVAPEQREQIENFGLTVYSWEEFLKLGEDKQYDLPVKKKSDICTIMYTSGTTGDPKGVMISNDSIVTIIAGVNKILECVNEQLITKDVYLSYLPLAHIFDRVIEELFISHGASIGFWRGDIKLLLEDIGELKPTIFCAVPRVLDRIRSGLMQKIASGSFLKQKLFNVAYSYKLKSMKKGHSHDEASPLCDKIIFDKVKQGLGGNVRLILSGAAPLAIHVEAFLRVVSCAHVLQGYGLTETCAGTFVSLPNEMTMLGTVGPPAPNVDVCLESVPEMNYDALSSTPRGEICIRGKTLFSGYYKQEDLTKEVLIDGWFHTGDIGEWQHDGSLKIIDRKKNIFKLSQGEYVAVENLENIYVLASAVDSIWVYGNSFESFLVAVINPNNNALENWAKEHGVGGDFNSLCENPKAKEYILGELSKTGKEKKLKGFEFVKSVHLDPEPFDIERDLLTPTYKKKRPQLLKYYQDVIDKMYKSAS >Manes.01G049330.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13739322:13748881:1 gene:Manes.01G049330.v8.1 transcript:Manes.01G049330.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMKYLIEVEKPKDALDGRPSVGPVYRSVFAKDGFPPPIPGMDSCWDIFRSSVEKYPDNPMLGRREIVNGMPGQYVWITYKQVYDLVIKVGNSIRSRGVEPGGKCGIYGANCSEWIVSMEACNAHGLFCVPLYDTLGVGAVEYIICHAEVSIAFVEEKKIPELLKTFPNSTKYMKTIVSFGKVAPEQREQIENFGLTVYSWEEFLKLLITKDVYLSYLPLAHIFDRVIEELFISHGASIGFWRGDIKLLLEDIGELKPTIFCAVPRVLDRIRSGLMQKIASGSFLKQKLFNVAYSYKLKSMKKGHSHDEASPLCDKIIFDKVKQGLGGNVRLILSGAAPLAIHVEAFLRVVSCAHVLQGYGLTETCAGTFVSLPNEMTMLGTVGPPAPNVDVCLESVPEMNYDALSSTPRGEICIRGKTLFSGYYKQEDLTKEVLIDGWFHTGDIGEWQHDGSLKIIDRKKNIFKLSQGEYVAVENLENIYVLASAVDSIWVYGNSFESFLVAVINPNNNALENWAKEHGVGGDFNSLCENPKAKEYILGELSKTGKEKKLKGFEFVKSVHLDPEPFDIERDLLTPTYKKKRPQLLKYYQDVIDKMYKSAS >Manes.01G049330.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13739323:13748881:1 gene:Manes.01G049330.v8.1 transcript:Manes.01G049330.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMKYLIEVEKPKDALDGRPSVGPVYRSVFAKDGFPPPIPGMDSCWDIFRSSVEKYPDNPMLGRREIVNGMPGQYVWITYKQVYDLVIKVGNSIRSRGVEPGGKCGIYGANCSEWIVSMEACNAHGLFCVPLYDTLGVGAVEYIICHAEVSIAFVEEKKIPELLKTFPNSTKYMKTIVSFGKVAPEQREQIENFGLTVYSWEEFLKLGEDKQYDLPVKKKSDICTIMYTSGTTGDPKGVMISNDSIVTIIAGVNKILECVNEQLITKDVYLSYLPLAHIFDRVIEELFISHGASIGFWRGDIKLLLEDIGELKPTIFCAVPRVLDRIRSGLMQKIASGSFLKQKLFNVAYSYKLKSMKKGHSHDEASPLCDKIIFDKVKQGLGGNVRLILSGAAPLAIHVEAFLRVVSCAHVLQGYGLTETCAGTFVSLPNEMTMLGTVGPPAPNVDVCLESVPEMNYDALSSTPRGEICIRGKTLFSGYYKQEDLTKEVLIDGWFHTGDIGEWQHDGSLKIIDRKKNIFKLSQGEYVAVENLENIYVLASAVDSIWVYGNSFESFLVAVINPNNNALENWAKEHGVGGDFNSLCENPKAKEYILGELSKTGKEKKLKGFEFVKSVHLDPEPFDIERDLLTPTYKKKRPQLLKYYQDVIDKMYKSAS >Manes.11G078700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11711256:11715295:-1 gene:Manes.11G078700.v8.1 transcript:Manes.11G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYERPPHEHYPPPGYAPPYPPPGYPSSAPAPPPPPYEGYPPPPPPSGYPGYPPPGSRPPYEGYQGYFNEGYPPPQPPGPPPYQHYHYEHHHYQDNSDGCTSFLRGCLAALCCCCVLEECCF >Manes.09G065100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10792961:10796742:1 gene:Manes.09G065100.v8.1 transcript:Manes.09G065100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHDDVVMISPSEKERDLSVITVNCPDKTGLGCDLCRIILFFGLNIVKGASGISYYRPELQQPPRPPDVFLLKLCCHDRKGLLHNVTEVLCELELTIKKVKVSTTPDGRVMDLFFITDTRELLHTNKRKEDTYEHLKAVMGDAMISCDIEMVGPEITACSQESSFLPTTITDDMLQLEIPDALPSSLTSTSVSVAMDNLLSPAHTLVQIACQDHKGLLYDIMRTLKEYNMQISYGRFTIKQRRNCEIDLFIVQADGKKVVDPNKQRALSSRLEMELLRPLRVAVVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLKTCIFSAEIRRRMIGDREFEVYRVLLDEGESLSIPRSKILEAVWNMLMGWA >Manes.09G065100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10792961:10796691:1 gene:Manes.09G065100.v8.1 transcript:Manes.09G065100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHDDVVMISPSEKERDLSVITVNCPDKTGLGCDLCRIILFFGLNIVKGDLSTDGKWCYIVFWVAGNSSTRWGLLKKRLLAVCPSCYSASGISYYRPELQQPPRPPDVFLLKLCCHDRKGLLHNVTEVLCELELTIKKVKVSTTPDGRVMDLFFITDTRELLHTNKRKEDTYEHLKAVMGDAMISCDIEMVGPEITACSQESSFLPTTITDDMLQLEIPDALPSSLTSTSVSVAMDNLLSPAHTLVQIACQDHKGLLYDIMRTLKEYNMQISYGRFTIKQRRNCEIDLFIVQADGKKVVDPNKQRALSSRLEMELLRPLRVAVVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLKTCIFSAEIRRRMIGDREFEVYRVLLDEGESLSIPRSKILEAVWNMLMGWA >Manes.09G150000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34738105:34747168:-1 gene:Manes.09G150000.v8.1 transcript:Manes.09G150000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVNIIEGSQVWVEDPGDAWIDGLVIKITGKNVVVETPDGKKVTASLSKLYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMQQYKGAPFGELSPHVFAVADVAYRAMVNEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGNPKSFHYLNQSNCYELVGVSDAHDYLATRRAMDIVGISAKEQEAIFRVVAAILHLGNIVFTKGKDVDSSVPKDEQAKFHLKMTAELLMCNPVALEDALCKRVMITPEEVIKRSLDPQSALVSRDGLAKTIYSRLFDWLVDKINNSIGQDANSKCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKVHKRFIKPKLSRTDFTIAHYAGEVLYQSDQFLDKNKDYVVPEHQDLLSASKCSFVAGLFPQLPEETSKSSKFSSIGSRFKLQLQSLMDTLNSTEPHYIRCVKPNNLLKPAIFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFVNRFGLLAPEALEGNYDEKAACKKILEKKGLQGFQIGKTKVFLRAGQMAELDARRSEVLSTAAKTIQRRIRTHCARKRFIALRKAAVSIQALWRGRLACKLFNRLKREAAAVKIQKHVRRYEARKAYKKLHVCALTLQTGLRAMAAHKEFRYRKQTKAAIIIQTQWRCHKAVSYYSRLKKGAIVSQTRWRGRIARKELRKLKMEARETGALKEAKNKLEKQVEEITWRLQLEKRLRTDLEEAKANEATKFQNSIEELNKKLEETNALLVKEREAAKQVIEEAPPVIKETEVLVEDTKKVESLTAEVEELKASLESEKERADSNEQKYNEVQEACEEKQKKLEDTEKKVQQLQESLQRLEEKLTNLESENQVLRQQAVSMAPNKFLSGRSRSIMQRAESHIPVEAKAGLELHSASLNQRDSEVDDKPQKSLNEKQQENQELLIRCIAQHLGFSGNRPIAACIIYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGAPQGVNLSLINGAINGGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRISRASLVKGVRSVANTAAQQALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSSEVISNMRVLMTEDSNNAVSSSFLLDDDSSIPFSVDDLSKSMEQIDIADIEPPPLIRENSGFSFLLPRAD >Manes.02G207151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18446111:18449815:-1 gene:Manes.02G207151.v8.1 transcript:Manes.02G207151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSLIEPLLLRNGLVNLLLLSARLFIFLNRITNRCYLVQKLKETKVFPRHSAMSFIGKMDLVLLLLTSGRDPGIIPRNAHPPKPEGFDGTADVGAGQTPQLRLPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFEHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFVFCWVYIRRIMSSEHTSIWKAMIKSPASIVLIVYTFISMWFVGGLTAFHLYLISTNQDRRANPYNKGVVENFKEIFCSAIPLSKNNFRAKVSREPALPTDRGFMSSNMGKAVDDIEMGRKTVWGDMGAMADNVEGQVTNNDHLNIKDGNLSELSPEVRTAVQEGDHGGIHPRRSSWGRKSGSWDMSPEVLALAARVRETNHVGGSSSSSLTTEKRVS >Manes.12G041200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3873710:3875540:1 gene:Manes.12G041200.v8.1 transcript:Manes.12G041200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSVSPSFSFSSLQSKNHSTSFTRGFCSVNPRLSSLPDTNLKKQLGLRFWTPTRTPHGISVRCNSSTRPGGPGSGDNESRNVLDAFFVGKALAEALSERIESTVGDFLNIVGRLQAEQQRQIQDFQVDVLERARRAKENAAREAIEAQGLVSNATRVDPKSATNGMNSKTSSSSINSVTPANPPSPNSTVVPTAERGRDPAAEGPASGG >Manes.15G140700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11410752:11411335:1 gene:Manes.15G140700.v8.1 transcript:Manes.15G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSKPMIATQAEMVEARVPISYRDQCAHLLIPLNKCRQAEFYLPWKCENERHVYEKCEYELVMERMLKMQKVREEEAKLKQAQKQGIPIPLIPKTANA >Manes.03G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23328679:23333779:1 gene:Manes.03G110800.v8.1 transcript:Manes.03G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCSSSSSSSSSSAKLWIVHGIVAGAAIAAAFGARAYLGRSGKFRSRVVGIIPARFASSRFEGKPLVQILGKPMIQRTWERAKLATTLDRIVVATDDEKIAECCRGFGAEVIMTSESCRNGTERCNEALGKLEKKYDVVVNIQGDEPLIEPEIIDGIVKALQASPDAVFSTAVTSLKPEDAFDPNRVKCVVDNRGYAIYFSRGLIPYNKSGKVNPQFPYLLHLGIQSYDAKFLKIYPELKPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDIPEDVEKIETLMREQNLS >Manes.18G146100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:30478894:30479749:-1 gene:Manes.18G146100.v8.1 transcript:Manes.18G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAILRVVAGAIKPNGSWFLLLPIPMMSRGFVVGVARLPCISAVSGLLSSSSDASSRFLVTGESRCASGDHAYLRNLIYDGALDADSDRRRECNRCASLGSPGWRWRASWSGQLLWRG >Manes.12G068900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7241649:7249783:-1 gene:Manes.12G068900.v8.1 transcript:Manes.12G068900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLSVFFRHCNRQGCEIPIKDLDNLMSLLAEKKRKMEQEEAERNMQILLDFLQYLRKQKVDELNEVRTDLQYIKEDIEAVERHRIELYRTRDRYSMKLWMLGDDPNVRKPWPSTLDRNSGGVISSSLNAKGGLTAGNLPTKTMDGKSQVSSHGLQRKDTLSGSDSQYNRSGLSVVRKKRVHAQFNDLQECYLQKRRLLTTQPHNQQERDKNIIDREGYSTGLVEFQSVLNTFTQYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSTVLNDPADVHCPVVEMSTRSKLSCLSWNKYAKNQIASSDYEGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTNQEASVINIDMKANICCVKYNPGSSNYIAVGSADHHIHYYDLRNISHPLHMFSGHRKAVSYVKFLSNNELASASTDSTLRLWDVKDNVPVRTFRGHTNEKNFVGLSVNRDYIACGSETNEVFVYHKEISRPVTWHRFGSPEMDDADEDAGSYFISAVCWKSDSPTMLAANSQGTIKVLVLAA >Manes.12G068900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7241357:7249791:-1 gene:Manes.12G068900.v8.1 transcript:Manes.12G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSTGAIVPAVKPEPRPSPSFAAAAAASPDQTAQAGAKDAGFSELEKDFLCPICMQIIKDAFLTACGHSFCYMCIITHLRNKSDCPCCGHYLTSNQLFPNFLLQKLLKKVSARQTSKNASPVECFLQALQQGCEIPIKDLDNLMSLLAEKKRKMEQEEAERNMQILLDFLQYLRKQKVDELNEVRTDLQYIKEDIEAVERHRIELYRTRDRYSMKLWMLGDDPNVRKPWPSTLDRNSGGVISSSLNAKGGLTAGNLPTKTMDGKSQVSSHGLQRKDTLSGSDSQYNRSGLSVVRKKRVHAQFNDLQECYLQKRRLLTTQPHNQQERDKNIIDREGYSTGLVEFQSVLNTFTQYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSTVLNDPADVHCPVVEMSTRSKLSCLSWNKYAKNQIASSDYEGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTNQEASVINIDMKANICCVKYNPGSSNYIAVGSADHHIHYYDLRNISHPLHMFSGHRKAVSYVKFLSNNELASASTDSTLRLWDVKDNVPVRTFRGHTNEKNFVGLSVNRDYIACGSETNEVFVYHKEISRPVTWHRFGSPEMDDADEDAGSYFISAVCWKSDSPTMLAANSQGTIKVLVLAA >Manes.12G110800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30803535:30805374:1 gene:Manes.12G110800.v8.1 transcript:Manes.12G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTETPPPPLQFHGQITAPISNPTVNKINQTQRTRPWPGFPTSKALGSLGDANCMEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLTCAFLRALVARAAKNGTCKLLAAMANANCTLAINTHKFSVIELAGFVDLTPWHRFGFTAANAAIIEAIDGYSSVHIVDLSMTRCMQIPTLIDAIANRFEVTPLIKLTVAGATEDIPPMLDLSYDELGAKLINFARSRNVIMEFRVVHSSYADGFSSLIEQLRVQNLVYTENGEALVVNCQMLLHYIPEETISSSIPRTNSSNPYSVVESSLRTMFLKSLWSLDPTIVVLVDEDADLISNNLVCRLRSAFNYLWIPYDTMDTFLPRGSKQRQWYEADICWKIENVIAHEGLQRVERLETKSMWVQRMKNANFRSISFGEDAVSEVKTMLGEHAAGWGLKKEEDDLVLTWKGHNVVFATAWMSA >Manes.10G121200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28678995:28679387:-1 gene:Manes.10G121200.v8.1 transcript:Manes.10G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQLFTKQLSRTDVLSALSVPMDLTGFTWRFRLSTRSTGRYPKPVILQSSWHRFVEQKGLIPNDRVMFFLDHDEENGIRCRVRAQRKIMRLFGYDFWVDVQDLHFYGV >Manes.01G018600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4384482:4388267:-1 gene:Manes.01G018600.v8.1 transcript:Manes.01G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDGEVVSNKQVIFKDYVPGILQESDMYITNSTIKLKVPSDTKAVLVKNLYLSCDPYMRIRMRSLQGSYFAPFNPGSPITGRGVAKVVDSSHPDFKKGDLVYGMTGWEEYSLIAATESLFKIHDKDVPLSYYLGILGMPGLTAYAGFYEICSPKKGEYVFVSAASGAVGQLVGQFAKLLGCYVVGSAGSKDKVDLLKNKFGFDDAFNYKEEPDLNAALRRYFPEGIDIYFENVGGKMLDAVLLNMRVHGRISVCGMISQYNLEQPEGVHNLMLLVMKRIRMEGFMVADYTHLYSKYLEMVLPLIKEEKIVYVEDTAQGIESGPSALIGLFAGRNIGKQVVAVASE >Manes.17G035396.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16890912:16891321:-1 gene:Manes.17G035396.v8.1 transcript:Manes.17G035396.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTTAHHLKDRHDHQKSHSKDAWPSQVLQPQSAWPGQVLQLCMPGPARSYNRVWAIGVTLVYPQPHTHRIMQCVNFMKLMQTPYYTLMMHDICSK >Manes.15G043650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3383107:3385377:1 gene:Manes.15G043650.v8.1 transcript:Manes.15G043650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATGRLAFLSLLCLHAFILSVLARDVATVTKDDDEKFLGTGKGGGFGGGGGFGGGAGGGGGFGGGGGFGGGAGGGGGFGGGAGGGGGFGGGGGHGGGVGGGAGGGAGGGIGGGIGKGGGLGGGGGKGGGIGGGIGKGGGLGGGIGKGGGLGGGIGKGGGLGGGHGGGIGKGGGGGIGGGIGKGGGLGGGIGKGGGGGLGGGIGKGGGLGGGHGGGIGKGGGGGLGGGIGKGGGLGGGHGGGIGKGGAGGIGGGIGKGGGLGGGIGKGGGLGGGIGKGGGVGGGAGGGFGKGGGVGGGFGKGGGIGGGGGFGKGGGVGGGFGKGGGIGGGGGFGKGGGVGGGFGGGAGGGFGGGGGGFGGGGGGGIGHH >Manes.13G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8445012:8447688:-1 gene:Manes.13G066200.v8.1 transcript:Manes.13G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFIFYLLLLLCIFSFADAGSIGVNYGRIANNLPSAVKVVQLLKSQGLGRVKVFDTDPAVLRALSGSGIKVTVDLPNELLYSAAKRMSFAFSWVQRNVVAYYPSTQIEAIAVGNEVFVDPHNTTKFLIPAMKNIHQALVKLNLHSAIKISSPIALSALQSSYPSSAGAFRPEFIEPVFKPMLDFLRETGSYLMVNAYPFFAYESNSDVISLDYALFRENPGVVDPGNGLRYFNLFDAQIDAVFAAMSALKYDDIRMVVTETGWPSKGDENEIGATIQNAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNEDEKNGPTSERNYGLFYPNEQKVYNIPFTVEGLKDYKDSRSSVSGGQQVTTPVVGGVSKSTTGNTWCMANQDVGKEKLQAALDYACGEGGADCRSIQPGATCYDPNTIEAHASYAFNSYYQKKGRDIGACYFGGAAYVVTQVPKYGQCEFPTGY >Manes.01G239400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40104722:40105426:-1 gene:Manes.01G239400.v8.1 transcript:Manes.01G239400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHHPEENHQEVQHPPPKINDGKDPDYRPHKCKRMAPLTYAPRDPRRTLCTFITVFLLLAGVTVLIVWLIYRPHKPQFTVVGAAIYDLNTTSPPFISTSMQFTFVTRNPNKRVTIMYDKLSAYVSYRNQAITPPVVLPPLYHEKKSTVTLSPVLGGAGVPVSADVANGLMMDEGYGVVALRVVLLGKLRWKAGAIRTGRYGVYVKCDIWLGLKKGVVGQVPLLGSPQCKVDV >Manes.18G062500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5484691:5502867:1 gene:Manes.18G062500.v8.1 transcript:Manes.18G062500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGWLTALSRRHLLSSAAVERAVGGGDWHRKVSTLRRDLFWDGFLYSYSFAIGKSNSSGKAEIQLMNCRYIHSTGTCNAKESSYYEILGVPENASQDEIKRAFRSLAKQYHPDANKNNPSTKRKFQEIREAYEVLKDSEKRADYDGRHARSSEKVEYGANRADWFGYDADDAAKFRSGAANAGGFTYSYRSNFSDSFQKIFSEIFEDETNQFASDIQVELLLTFTEAARGCTKNLSFDAYVPCDSCDGRGFPVEAKTRVCPTCRGIGKITIPPFTSNCSTCKGSGRIIKDYCMSCGGSGVVEGVREVKVTIPAGVDSGDTIRVPEAGNTGGRGHQPGNLFINLKIADDPVFTRDGADVYVDANISFTQAILGGKIDIPTLSGKYQVKIPKGVQPGQLIVLRGKGLPKHGFHRDHGDQFVRFCINFPT >Manes.18G062500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5484691:5506199:1 gene:Manes.18G062500.v8.1 transcript:Manes.18G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGWLTALSRRHLLSSAAVERAVGGGDWHRKVSTLRRDLFWDGFLYSYSFAIGKSNSSGKAEIQLMNCRYIHSTGTCNAKESSYYEILGVPENASQDEIKRAFRSLAKQYHPDANKNNPSTKRKFQEIREAYEVLKDSEKRADYDGRHARSSEKVEYGANRADWFGYDADDAAKFRSGAANAGGFTYSYRSNFSDSFQKIFSEIFEDETNQFASDIQVELLLTFTEAARGCTKNLSFDAYVPCDSCDGRGFPVEAKTRVCPTCRGIGKITIPPFTSNCSTCKGSGRIIKDYCMSCGGSGVVEGVREVKVTIPAGVDSGDTIRVPEAGNTGGRGHQPGNLFINLKIADDPVFTRDGADVYVDANISFTQAILGGKIDIPTLSGKYQVKIPKGVQPGQLIVLRGKGLPKHGFHRDHGDQFVRFCINFPTEINERQRAILEELALEEIKNGNGTSIEGNWLYQQLSTG >Manes.18G062500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5484691:5506199:1 gene:Manes.18G062500.v8.1 transcript:Manes.18G062500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGWLTALSRRHLLSSAAVERAVGGGDWHRKVSTLRRDLFWDGFLYSYSFAIGKSNSSGKAEIQLMNCRYIHSTGTCNAKESSYYEILGVPENASQDEIKRAFRSLAKQYHPDANKNNPSTKRKFQEIREAYEVLKDSEKRADYDGRHARSSEKVEYGANRADWFGYDADDAAKFRSGAANAGGFTYSYRSNFSDSFQKIFSEIFEDETNQFASDIQVELLLTFTEAARGCTKNLSFDAYVPCDSCDGRGFPVEAKTRVCPTCRGIGKITIPPFTSNCSTCKGSGRIIKDYCMSCGGSGVVEGVREVKVTIPAGVDSGDTIRVPEAGNTGGRGHQPGNLFINLKIADDPVFTRDGADVYVDANISFTQAILGGKIDIPTLSGKYQVKIPKGVQPGQLIVLRGKGLPKHGFHRDHGDQFVRFCINFPTEINERQRAILEELALEEIKNGNGTSIEGNWWQRFLEHVKGPTFMLEFSLFMLALLFLHKIIG >Manes.06G072901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20900893:20901623:-1 gene:Manes.06G072901.v8.1 transcript:Manes.06G072901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKLPDPFYLQLHRVSDARHSDDYALNKALRANLEVKRKGC >Manes.02G054900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4372809:4375625:-1 gene:Manes.02G054900.v8.1 transcript:Manes.02G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVAIGDCGSVIPELETEKSLTAAAKHIARASGSKKNVTDDAKKILADLDTQLFNTSTVNEIKVDTPGEIEGQLNFIQEKIMSWETDQSMMRDSGLDEATQFLNATEEARKLAERLETLSLNKDDGKKELLTRARDILQIAMGRLEEEFKHHLDQNRRAFEPERMSFCLSEECGTEPGSVISLGDGSVEPLISRDSISRTSEEFIIDLVNPEVISHLRKIANLMFISGYGDECSQAYISVRRDALDECFFILKKKEVSFEDVVKLEWGSLNSQITKWVQAMKIFVSVYLPSEKRLTQLIFGEHDFFVRTNGGEILWRLRDSVMEAYLDFENAIETGVPPHPCAGGGIHDLTRYVMNYINTLNDYHETLNFLLKGLDGEDPISLSPVPSGITYDASPMALHCRSVASILECNLDDTAKLYRDPSLQHIFLMNNIHYMAQELDNLKLMHLFADDWIRKLNWKVQQRLMSYERTTWVSVLSLLMVVGPSYSVSKNLIKEKLRRFHLAFEEVYRTQTAWLIPDAALREDLHISITTKVIQAYRTFVGRYSNFITPKNVKYSFDDLENFLLDLFQGSKKSLPYRRFFFVI >Manes.06G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21958141:21961868:-1 gene:Manes.06G084200.v8.1 transcript:Manes.06G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWVSPDAIATLLSNPKPDSLTDIPEIIVQITKLEPKGKSYGFDANDGKMKIKAIFNSRLSSEIISGNIQNLGLIRILDYTVNEIPSKSENYLIITKCEVVSPALDLEIKDEVKKEEAGIILKPKHEHEIKSEVKKETGGILLKPKQEMVAKSAAQIVHEQHRNMAPTARMAMTRRVHPLVSLNPYQGNWTIKVRVTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAARKFYDKFQLGKVYYISKGTLRVANKQFRTVQNDYEMTLNENSEVEEASNEAAFIPETKFNFVPIDQLGPYVNSAELVDVVGVVQSVSPTMSIRRKSNNEIVPKRDITIADETKKTVVVSLWNDLATDVGQELLEIADKSPVVAIKSLKVGDFQGVSLSTLGRSIVQINPDIAESKKLRCWYDSEGKETSMASVGLGLSPSTKSGARSMYSDRVSISHITSNPSLGGDKPAFFSIRAYISFIKPDQSMWYRACKTCNKKVTGGVEGGYWCEGCQKNDPECSLRYIMVVKVSDASGEGWVSAFNLESEKIIGCSADELDKLKSEEGGSEYQMKLKKATWNPHLFRVSVAQHEYNNEKRQRVTVRAVAPVDFAAESRYLLEEISKMKGSQ >Manes.12G044800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3978054:3979390:-1 gene:Manes.12G044800.v8.1 transcript:Manes.12G044800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTASEDGVLKLVLPGRYVEVYRQPVGAAEILKKYPRHSVTRPDVFEYPWVVVKPESVLKLGKVFFVVPNHTLYNLMKSHREKNLKPDNKQVQNQDSPIKSQAGSTPKHRNCHTKLYQSPPTTSCSWIPSLDHDRDSRTRRQNKVGSWPDVVLKNKTPLLRLLEDKAVKNSRIMTRSSNHEEDHNIDKNITLEFHKKRYGNLEFRDKQEVTMLKSCLRKPDSARKSIQLKVSFFLPAKHEEHQRRVRVSP >Manes.11G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8869957:8877965:-1 gene:Manes.11G063600.v8.1 transcript:Manes.11G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDHYRASTSLRRGSSSAWRNNVLDVFSASSRDEDDEEALKWAALEKLPTYDRLRKGILVSVSKGGANELDVDNLGFNERKTLLERLVKVAEEDNEKFLLKLKNRLDRVGIEVPTIEVRYEHLNIEAEALVGSNALPSFLNFTISIAEGLLNYLHIFPSRKRPLTILNDVSGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPNLKVSGNVTYNGHTLNEFIPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTQHEMLAELSRREKAANIKPDPDLDFFMKAAATEGQETSVVTDYILKILGLDICADTLVGNEMIRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFSIVNSLRQSIHILNGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREHVLEFFEYMGFKCPERKGVADFLQEVTSKKDQQQYWARKDRLYRFITVREFAEAFQSYEVGRKIAGDLKTPFDRRKNHPAALATKHYGVGKMELLKANFSREYLLMKRNSFVYIFKLSQLIMMATIGMTLFFRTEMKRDDLEDAGVYLGALFFTLITIMFNGMAELSMTIAKLPVFYKQRNLLFFPAWSYSIPSWILKIPVTFLEVGVWVFLTYYVIGFDPNVGRLFKQYMLLLLVNQMASALFRFIASVGRNMIVANTFGSFALLTLFALGGFVLSREDIKKWWIWGYWVSPLMYGQNAIVANEYLGHSWSHIPANSNSTDSLGVQFMKSRGFFPNAYWYWLGVAASAGYILLFNLAYTVALTFLDSFEKPQAVISDEPEESKSSERAIQLSKLESSHRTNTESRTSGIDESNHNRKKGMVLPFEPRSITFDNVMYSVDMPQEMKNQGVLDDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPQEVDSETRRMFVEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRHSCHLIKYFEGMEGVSKITDGYNPATWMLEVTSAAQELALGVDFAEIYRNSDLYRRNKTMIQELSKPAPGTQDLYFPTKYSQPFLTQCLACLWKQSWSYWRNPPYTGVRFWFTTFIALMFGTIFWDLGSKKGKEGDLSNAMGSMYAAVLFLGVQNASSVQPVVAVERTVFYREKAAGLYSAMPYAYAQALIELPYIFAQAGVYSVITYAMIGFEWTAAKFFWYLFFLYFTLLYFTFYGMMTVAVTPNHHIASIVSSAFYAIWNLFSGFIIPRTKMPVWWRWYYWGCPISWTLYGLIASQFADIKTMLGDSGKTVEEYVNDFYGIKHDFVGVAAGVIVGITVLFAFIFGISIKAFNFQRR >Manes.14G157656.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20364905:20365415:1 gene:Manes.14G157656.v8.1 transcript:Manes.14G157656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSPGYSTNEDVLLCRNYLNASQDPVIGKQQCSQRFWSRVAEAYEVAKNEFWESRNPQSLQCRMQVIEKAIRKLNGCYRQVENLHPSGASEQDLLNQAKTLLMQDPSYKKGFKFDHVWSMMQDAEKFKDSSSKKN >Manes.09G013400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2994450:2997297:1 gene:Manes.09G013400.v8.1 transcript:Manes.09G013400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYPPNVYTYSVIINGMCKFGKTSVAIRLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALGLLKKMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVTYSSLMDGYCLGSQIDKARKVFDLMVTNEIADIFSYNILINGYCKCKMIDDAKQIFDEMSHKGLVPDAVTYHTLIKAMFQAGRPQTAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGTCKDMITTSPF >Manes.09G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33363210:33365028:1 gene:Manes.09G132400.v8.1 transcript:Manes.09G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLFKTWSSPFGLRIVWALKLKGIQYESIDEDLTNKSPLLLQYNPVYKKIPVLLHNGQPIVESLIILEYIDETWKKNPLLPEDPHKRATARFLAKFGDDKVMSSIWAILTKEGKEQEEASVQAIENLKFLEEELKGKRFFGGEGIGFLDIALGWLANLVPVLEEILGYEVIDKAGFPFLSEWMLEFSSFPEIKENLPLHDKLVTKFRAYLAAAPDK >Manes.05G132100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19544620:19566475:1 gene:Manes.05G132100.v8.1 transcript:Manes.05G132100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGPGQPADTDMEDAAAAAAPPPPSDSQQHHAPTGGIDNIPATLSHGGIFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETGENVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPKYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELGFLNENAKRYIRQLNFYPRQSFTEKFPNVHPAAIDLVEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMTPFNFDFEQHALTEEQMKELIYREALAFNPEYQHHQ >Manes.05G132100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19544670:19566475:1 gene:Manes.05G132100.v8.1 transcript:Manes.05G132100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGPGQPADTDMEDAAAAAAPPPPSDSQQHHAPTGGIDNIPATLSHGGIFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETGENVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELGFLNENAKRYIRQLNFYPRQSFTEKFPNVHPAAIDLVEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMTPFNFDFEQHALTEEQMKELIYREALAFNPEYQHHQ >Manes.05G132100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19544621:19566475:1 gene:Manes.05G132100.v8.1 transcript:Manes.05G132100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGPGQPADTDMEDAAAAAAPPPPSDSQQHHAPTGGIDNIPATLSHGGIFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETGENVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPKYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELGFLNENAKRYIRQLNFYPRQSFTEKFPNVHPAAIDLVEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMTPFNFDFEQHALTEEQMKELIYREALAFNPEYQHHQ >Manes.05G132100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19544670:19566475:1 gene:Manes.05G132100.v8.1 transcript:Manes.05G132100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGPGQPADTDMEDAAAAAAPPPPSDSQQHHAPTGGIDNIPATLSHGGIFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETGENVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELGFLNENAKRYIRQLNFYPRQSFTEKFPNVHPAAIDLVEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMTPFNFDFEQHALTEEQMKELIYREALAFNPEYQHHQ >Manes.17G056000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25402321:25405395:1 gene:Manes.17G056000.v8.1 transcript:Manes.17G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLTDTLTPSTTPHSRPLPIREDCWSEEATSTLVEVWGKRYLELNRGNLRQKDWQEVADAVNAKHGHTKKTHRTDVQCKNRIDTIKKKYKIEKARVTSSNGTLTSSWPFFESLDTLIGSNFTAKKQAPPSPPVALPLTSYRRTPSSTPPTAAVALPQKRPLDDGYFRRNYSAMAAAAAAAAESESEEELEEEEEEGGRERERERENEEDEEGEGIRRLAQAIERFGEAYERVESEKLRQMLDLEKQRMKFAKDLEMERMRIFTETQIQLEKIKKGKRSGSNASQ >Manes.07G098100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30227600:30227977:1 gene:Manes.07G098100.v8.1 transcript:Manes.07G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGRFFKCFGKCPKIGSSVFKYAACFNFQDRQIWSRFLEEDYSIPRDVPRGHMAVYVGEDCKRYVIKVTLLKNPLFLPLLDEAEEIFGFATGSKLYIPCNEIIFISVLKSVNPTQGRRFQFWF >Manes.07G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2080799:2084467:1 gene:Manes.07G017900.v8.1 transcript:Manes.07G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLDLLLPLFLSLFAIFSPTHEAEITSLPLAQNNLSSRCPMDLNYVLTIPWNKTACQNYQPSKNKTANLPSDVTNKDPCCTGLLHLFGVGLAQHLKETSLFQLPSLPTSVSCLQDYQAKLSSLSFPDDIVSHCFNPMEFVLTPNICAHVQTTQDWVAELGKSTVLDSACRPDLVDLTACSACVTAGFKVQSDLILIDGNATHATDCFYFTVLYAAGIVNEFGPESNGAVTCIFGLDLDSNMGSSNKGHSALVFGLTGASVAVLVMSSLLGVCFWYDKKWRKKSNSSFPFDLEEPESRPRLRPNTGSIWFKIHDLEKATGNFSQKNFIGRGGFGMVYKGTLSDGSIVAVKRIIESDIQGDAEFCNEVEIISNLKHRNLVPLRGCCVVDDDDENYTERGSQRYLVYDYMSNGNLEDHLFPAFDDQTRKKLLTWPQRKSVILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGIVVLEIMCGRKALDLSSSGPPRAFLITDWVWSLVKAGKVEDALDVSLLRDGDFSISNPRTIMERFVLVGILCAHVMVALRPTILDALKMLEGDIEVPPIPDRPMPLSHPSSFGDGFTISPALSFPQVNSGDMLRNVLVKLLLTVVDTIESQMFSLNQTMKKLQDNLLLNVKWIAEKKALPERSYDA >Manes.16G062701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24507037:24507883:1 gene:Manes.16G062701.v8.1 transcript:Manes.16G062701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFLFCCVLLAIRTSLVRVLFLFFISIGVVIVLSSILVDDKISVIAILGRVWYLIRLAEVCHS >Manes.08G010200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1233416:1234090:-1 gene:Manes.08G010200.v8.1 transcript:Manes.08G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAKKNMVFLRLFIGKLQKGLSSFSSKETEHHHKDVKATLMVPDDVKEGQFAVLAIKGDEIRRFVLELSFLNNPAFVKLLKLAEEEFGFRHKGALAVPCRPEELQKILGAGGRREMIDYCAQEWTTDVY >Manes.15G157900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13024881:13036031:1 gene:Manes.15G157900.v8.1 transcript:Manes.15G157900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLHALQHSAAGHDISVIAKTAVEEIVSAPASAICKKLSFDLIRSTRLTADLWDSVCTSVSADLQFHDPDVAAAAVSILAALPSYSLSKLIMDSNAKISACFDSPSDNLRFSITETLGCILARDDMVTLCENSMNLLDKVSKWWARIGKNMLDRSDAVAKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKRRNALMSRSLILPVENFRATVFPLVYSVKAVASGKVEVIRKVSKGAASGANGSVVDSNADKIVGVSDMVTHLAPFLASSLDPALIFEVGINMLYLADMPGGKPEWASQSIVAILTLWDRQEFSSARESIVRAVVTNLHLLELHMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTEIASLFEDARIRDDLNSVRNKSLFREELVASLVESCFQLSLPLPEQTHSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTVKDGASQDQILNETRLQNLQRELVKNLRAVNTPRVCARLIWAIAEHINLDGLDPLLADDPEDTLNILVSNIHKVLCSVDSSANTSNRLQDVQAVLLSAQRLGSRNPRAGQLLTKELEEFRNNGLADSVNKHQCRLILQRIKYVQNHPDDRF >Manes.15G157900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13024881:13036030:1 gene:Manes.15G157900.v8.1 transcript:Manes.15G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLHALQHSAAGHDISVIAKTAVEEIVSAPASAICKKLSFDLIRSTRLTADLWDSVCTSVSADLQFHDPDVAAAAVSILAALPSYSLSKLIMDSNAKISACFDSPSDNLRFSITETLGCILARDDMVTLCENSMNLLDKVSKWWARIGKNMLDRSDAVAKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKRRNALMSRSLILPVENFRATVFPLVYSVKAVASGKVEVIRKVSKGAASGANGSVVDSNADKIVGVSDMVTHLAPFLASSLDPALIFEVGINMLYLADMPGGKPEWASQSIVAILTLWDRQEFSSARESIVRAVVTNLHLLELHMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTEIASLFEDARIRDDLNSVRNKSLFREELVASLVESCFQLSLPLPEQTHSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTVKDGASQDQILNETRLQNLQRELVKNLRAVNTPRVCARLIWAIAEHINLDGLDPLLADDPEDTLNILVSNIHKVLCSVDSSANTSNRLQDVQAVLLSAQRLGSRNPRAGQLLTKELEEFRNNGLADSVNKHQCRLILQRIKYVQNHPDDRWAGVSEATGDYPFSHHKLTVQFYEAAAAQDRKLEGLVHKAILELWRPDPSELTILLTKGIDSKLLKVMPAAYTLTGSSDPSYVEAYHLVDSSDGRITLHLKVLNLTELELNRADIRVGLSGALYFMDGAHQAVRQLRSLVSQDPVLCSVTVGVSHFERCALFIQVLYYPFYGSGAVGDYDGDYAEEDPQITRQKRSSRLELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAVVEYTGTYIYEGSGFKAIAAQQHGSSPFLSGLKSLSSKPFHSVCSHIIRTVAGFELCYAAKTWFGGFMGMMVFGASEVSRNVDLGDETTTMLCKFVIRASDASITKEIESDLQGWLDDLTDGSVEYMPEDEVKEAAAERLRISMERIALLKAAQPPPKSPKSDDEEEAEGKKEKDGEKKDGEKNGNPKGTLSKLSAEEVEHMALQAAVLQEWHALCKERNTQVN >Manes.15G157900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13024881:13036031:1 gene:Manes.15G157900.v8.1 transcript:Manes.15G157900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLHALQHSAAGHDISVIAKTAVEEIVSAPASAICKKLSFDLIRSTRLTADLWDSVCTSVSADLQFHDPDVAAAAVSILAALPSYSLSKLIMDSNAKISACFDSPSDNLRFSITETLGCILARDDMVTLCENSMNLLDKVSKWWARIGKNMLDRSDAVAKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKRRNALMSRSLILPVENFRATVFPLVYSVKAVASGKVEVIRKVSKGAASGANGSVVDSNADKIVGVSDMVTHLAPFLASSLDPALIFEVGINMLYLADMPGGKPEWASQSIVAILTLWDRQEFSSARESIVRAVVTNLHLLELHMQVSLFKRLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTEIASLFEDARIRDDLNSVRNKSLFREELVASLVESCFQLSLPLPEQTHSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTVKDGASQDQILNETRLQNLQRELVKNLRAVNTPRVCARLIWAIAEHINLDGLDPLLADDPEDTLNILVSNIHKVLCSVDSSANTSNRLQDVQAVLLSAQRLGSRNPRAGQLLTKELEEFRNNGLADSVNKHQCRLILQRIKYVQNHPDDRWAGVSEATGDYPFSHHKLTVQFYEAAAAQDRKLEGLVHKAILELWRPDPSELTILLTKGIDSKLLKVMPAAYTLTGSSDPSYVEAYHLVDSSDGRITLHLKVLNLTELELNRADIRVGLSGALYFMDGAHQAVRQLRSLVSQYSAV >Manes.01G182700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36120959:36124225:1 gene:Manes.01G182700.v8.1 transcript:Manes.01G182700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVNYGRLAPSEPGGSSPPVDQPEHATPHPSRKRGVILLSLLSIVLIIASAVSATLLIGLRTRASSSQPSPVLARKPTKAISKTCSKTRFRSLCVNSLIDFPGSSAASEEELVHISFNVTLQHFTKALYLSSEISYLQMDTHVRSAYEDCLELLDDSVDALSRSLYTVAPSLDGNTGEQIQPGGSAEDVVTWLSAALTNHDTCTEGFADLNGAVKDHMSEKLKDLSELVSNCLAIFSAVDSGDFSGVPIQNRRRLMDAEISEENADNLPNWLGRKERRLLSLPVSAIQADIIVSQDGNGTCKTIAEAIKKAPEYSTRRTIIYVKAGRYEENNLKVGRKKWNLMFIGDGKGKTVISGRKSIFDNITTFHTAAFAATGAGFISRDITFQNWAGPAKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSNRQFFRECDIYGTVDFIFGNAAVVFQNCSIYARKPMPLQKNTITAQNRKDPNQNTGISIHACRLYAMPDLRAANGSFQTFLGRPWKLYSRTVYMLTYMGDHIHPRGWLEWNATFALDTLYYGEYMNYGPGGPVGQRVKWPGYRVINSTIEASRFTVGEFIYGSSWLPSTGVAFLAGLAV >Manes.08G136900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37367332:37372348:1 gene:Manes.08G136900.v8.1 transcript:Manes.08G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPTMHAQFPSSSMMQPGAHYMQHQQAQQMTPQSLMAARSSMLYTQQQFAALQQQQALHSQLGMSSGGSSGLHMLQSEASTAGGSGGLGAGGFPDFGRDAGGRGMACGSKQDIGSAGSAEGRGGNSGGGGSDGGETLYLKSADDGN >Manes.15G086600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6639138:6640282:-1 gene:Manes.15G086600.v8.1 transcript:Manes.15G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGMKLLKNGKSFPVHLLRGSVSGVSRSIRMETKIVGDPRLQLPGPIPAQYFLGSQPNLGSVYHAPLNGCNTLLLSRYRGSIKYDDDDIEDDYPGSEEDFDDVDEFESFDHDDDDDDVNDYDDDDDNDIDVRKRNK >Manes.18G122500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13217356:13219867:-1 gene:Manes.18G122500.v8.1 transcript:Manes.18G122500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLDKKIAFPFLVIVFLGVVVRLYNGLVAKPNRLRSMLKKQGINGPPPTFLLGNIREIMKSLSSIEKNNDPPLTHNCAALTFPFFEQWFKEYGQVFVYSLGNLQIVNLHQPELVKEFTTCVSLDLGKTSLQLDDLGPLLGQGIVASNGAFWSHQRKIIAPELYMEKIKGMVNLITESANALLNSWKSMIERDGGMADIKVDEGLGSFSADVISRACFGSNYYKGEKIFLKLKDLLKASSKKDLAVGIPGMRYLPTKSNREAWALEKEIRNLILKVVKERQEAADEKDLLQMILEGAKNSNLSREETDRFIVDNCKNVYMAGWETTTVSASWCLMLLAINQEWQDRVRAEVLEICGGSMLDSDMIRKMKLLNAVIHETLRLYPPVPVIAREALKDMKLGNINVPKGVNIWTTVLLLHTDPEIWGSDSYKFNPERFANGIAGVCKYPFFYMPFGVGPRVCLGQNLAIVELKIVLALILSNFSFTISPRYVHSPIFNLVIKPEHGVNLWVKKL >Manes.13G145848.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36133372:36187100:1 gene:Manes.13G145848.v8.1 transcript:Manes.13G145848.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRQK >Manes.13G145848.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36171738:36187531:1 gene:Manes.13G145848.v8.1 transcript:Manes.13G145848.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMVQV >Manes.13G145848.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36172423:36187100:1 gene:Manes.13G145848.v8.1 transcript:Manes.13G145848.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRPKGTT >Manes.13G145848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36183081:36187100:1 gene:Manes.13G145848.v8.1 transcript:Manes.13G145848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYAFIGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRQK >Manes.13G145848.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36132546:36187100:1 gene:Manes.13G145848.v8.1 transcript:Manes.13G145848.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLSDNPDIDEEDAPSSPVQNGHQYPKQSRLDPPPLDDTQLHQQQELDEEEEEEDDEAPHKPEEDAEGQEDEEQEEQAEEKQPAQDSEESQSSSSEDEKPEFVFVELPEVRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRQK >Manes.13G145848.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36171476:36187100:1 gene:Manes.13G145848.v8.1 transcript:Manes.13G145848.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRQK >Manes.01G157200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34315416:34320454:-1 gene:Manes.01G157200.v8.1 transcript:Manes.01G157200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASCIRSTDQAVEEIMRIHRSLPTRPGMDEVEAARALIINVDKEEQARIESISRQTKSLDVPEEFFTILQEMQKNLVYFQSKEQKREALKLLDLENVHSLFDEYIQRASKCLPSSSSSPSSSSSSNYANGSASTVSSSGFSRVSAPISVDKSSITASSNLYYSDQNPVRTTELFTRDDSYVKKTKSSFYSDGIGVPSMPQIVDSTLKITLNTPGQDGDKLSLIKLASLIEVSAKKGTRDLNLQNKLMDQIEWVPDSIGKLSNLVSLDLSENRIVALPSTIGGLSSLTKLDLHSNRIAQLPESIGDLLSLVFLDLRANQLSSLPATFSRLVRLEELDLSSNQLSLLPESIGSLISLKTLNVETNNIEEIPHTIGRCSSLRELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLLNLKELDVSFNELESVPESLCFATSLIKINVGNNFADLQSLPRSIGNLENLEELDISNNQIRVLPDSFRMLTRLRILRVEENPLEVPPRHIAEKGAQAVVQYMAEIVERRNVKTQPIKQKKSWAQICCFSRSNKRKHNDMGVMDYVKA >Manes.01G157200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34315972:34320454:-1 gene:Manes.01G157200.v8.1 transcript:Manes.01G157200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASCIRSTDQAVEEIMRIHRSLPTRPGMDEVEAARALIINVDKEEQARIESISRQTKSLDVPEEFFTILQEMQKNLVYFQSKEQKREALKLLDLENVHSLFDEYIQRASKCLPSSSSSPSSSSSSNYANGSASTVSSSGFSRVSAPISVDKSSITASSNLYYSDQNPVRTTELFTRDDSYVKKTKSSFYSDGIGVPSMPQIVDSTLKITLNTPGQDGDKLSLIKLASLIEVSAKKGTRDLNLQNKLMDQIEWVPDSIGKLSNLVSLDLSENRIVALPSTIGGLSSLTKLDLHSNRIAQLPESIGDLLSLVFLDLRANQLSSLPATFSRLVRLEELDLSSNQLSLLPESIGSLISLKTLNVETNNIEEIPHTIGRCSSLRELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLLNLKELDVSFNELESVPESLCFATSLIKINVGNNFADLQSLPRSIGNLENLEELDISNNQIRVLPDSFRMLTRLRILRVEENPLEVPPRHIAEKGAQAVVQYMAEIVERRNVKTQPIKQKKSWAQICCFSRSNKRKHNDMGVMDYVKA >Manes.08G013802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1544272:1585397:1 gene:Manes.08G013802.v8.1 transcript:Manes.08G013802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGTSIPAPEAIQVLVSSLADESPIVREASMASLKDISSLNPLLVLDCCSAVSRGGRRRFGNMAAVFQVMALGVRALDKRDVDPAYMAKLAKIATTEMISSKELNADWQRAAAALLVSIGSHLPDLMMEEIFLHLSGPSSALPAMVQILADFASADALQFTPRLKGVLSRVLPILGSVRDAYRPIFANAFKCWCQAAWQYNLDFPSHSPLDADVMSFLNSAFELLLRVWAISRDLKVRTSSVEALGQMVGLITRTQLKAALPRLIPTVLELYKKDQDIALLATSSLHNLLNASLLSETGPPLLDFEDLTVILSTLLPVVCINNDRKETSDFSVGLKTYNEVQRCFLTVGLVYPDDLFTFLLNKCRLKEESLTFGALCVLKHLLPRSSEAWHNKRPLLVEAVKSLLDEQNLGVRMALSELIVVMASHCYLVGPSGELFVEYLVRHCALSDFERNGPDNYKVKLGRFCPIELRTICEKGLLLITITIPEMEHILWPFMLTMIIPQIYTGAVAMVCRCISELCRHRGSSISSMLSECKARADIPSPEELLARLLVLLHDPLAREQLATHILTVLCYLAPLFPKNINLFWQDEIPKMKAYVSDTEDLKQDPSYQDTWDDMIINFLSESLDVIQDPDWVISLGNAFTNQYELYTPDDEHAALLHRCLGMLLQKVDNRAYVRNKIDWMYKQANIAIPTNRLGLAKAMGLVAASHLDTVLDKLKDILANVGQSIFQRLLSFFSDSYKTEESDDIHAALALMYGYAARYAPSTVIEARIDALVGTNMLSRLLHVRHPTAKQAVITAIDLLGRAVINAAENGASFPLKRRDMLLDYILTLMGRDENDGFADSCLELLHTQALALSACTTLVSVEPKLTIETRNHVMKATLGFFALPNEPEDVVNPLIDNLITLLCAILLTSGEDGRSRAEQLLHILRQIDQYVSSPVEYQRRRGCLAVHEMLLKFRMLCVSGYCALGCHGSCAHSKQIDRTLHGNFSNLPSAFVLPSREALCLGERVIMYLPRCADTNSEVRKVSAQILDQLFSISLSLPKPVGSSSGVDIELSYGALSSLEDVIAILRSDASIDPSEVFNRIVSSICILLTKNELVATLHGCTAAICDKIKQSAEGAIQAVIEFVSKRGNELSETDISRTTQSLLSAVVHATEKHLRLETLGAISSLAENTSSKIVFDELLASAARDIVTKDISRLRGGWPMQEAFYAFSQHALLSFQFLEHLVSVLNQTPVDKGDLEKGDSSSHFAEGQIEDDILQAAMLALTAFFRGGGKVGKRAVEQSYASVLAALTLQFGSCHGLASSGQQEPLRALLAAFQAFCECVGDLEMGKILARDGEQNEKEKWINLIGDLAGSVSIKRPKEVQTISLILTKSLNRHQIFQREAAAAALSEFVRYSGGFSSLLEQMVEALCRHVSDESPTVRCLCLRGLVQIPSIHIYQYTTQILGVIVALLDDLDESVQLTAVSCLLTVLDSSPSDAVEPILLNLSLRLRNLQICLNTKMRATAFAAFGALSSYGVGAHLEIFLEQIHAAIPRLVLHLHDEDTSVRQACRNTLKRITPLMEMEGLSTLVNLQYFTSDHRSDYEDFLRDFARQVAQHLPSRVDTYLASTIQALDAPWPIIQANAIYLASSMLSLSDDQRILILYYAQVFGMLVGKMSRSADAVVRATCSSALGLLLKSTNSLSWRTVRLDRVESSRRVHDSESKR >Manes.16G060200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23329075:23337165:1 gene:Manes.16G060200.v8.1 transcript:Manes.16G060200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGGFFVDAKAVRVENIFLEFLKSFRLDGHHNMEEAYYEAEIEAMRANESTTMFIDFSHVMHFNDLLQKAIADEYLRVEPYLKNACKRFVMELKPTFISDDNPNKDINVAFFNIPFSKRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFRCLECGGVIKNVEQQFKYTEPTICVNATCNNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHDIVEQARAGDTVIFTGSVVVIPDILALASPGERAECRREAPQRKNSAVGQEGVRGLRALGVRDLSYRLAFIANSVQVCDGRRDTDIRNRKKDVDEDDNQQFTAEEIDEIQRMRNTPDFFNKLVDSVTPTVFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQVDYHIAHHIVRVHQKHEEALAPAFTTAQLKRYIAYAKTLKPKLNSEAKKLLVDSYVALRKGDTTPGSRVAYRMTVRQLEALIRLSEAIARSHLENQVQPRHVRLAVRLLKTSIISVESSEIDLSEFQEGNRDDNDDNDGDDGNDGTGQDDTQPNNTNSAPVSGNTAQGVGSTSQQGKKLVISEEYFQRVTQALVMRLRQHEEAVLRDGTGLAGMRQGELIRWYVEQQNQKNSYSSVEEAKNEATNIKAIIESLIRREGFLIVVDDGRQTEADGESGRQTLSRDDRILAVAPNYVVE >Manes.17G077767.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27760327:27762128:-1 gene:Manes.17G077767.v8.1 transcript:Manes.17G077767.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFVASRLNVPGVWQMPQGGIEVNEEPRSAAIRELREETGIVSAEIIAEVPSWLTCDFPPAVKAKVNRL >Manes.10G117800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28303400:28307825:-1 gene:Manes.10G117800.v8.1 transcript:Manes.10G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQDSHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKSKFYGRVKA >Manes.11G154600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32149415:32152285:1 gene:Manes.11G154600.v8.1 transcript:Manes.11G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVRFSFQLLVLGFLLSSTSHHHVSARLRRNIQSRSVSESAAASKDFTTFYYPQTLDHFNYKPESYTTFNQRYVINFKYWSGPNTSAPILVFFGAEENLDDDIHGIGFLTDNASRFKALLLYIEHRYYGKSVPFGSSKEALKNASSLGYFSSAQALADYAAVIMHVQKNYSAKTSPVIVIGGSYGGLLASWFRLKYPHIALGALASSAPILYFDDSVPLVGFYSIVTKDFKETSESCYKTISESWAEIERIASEPNGLALLSKKFKTCNPLKKSSELKDYLDAIYTEAAQFDYPPTYRVSLVCDGVDGAPNGTDILGRIFAGVAAYLGEKSCYDLNIFNDLTDETSLAWAWQTCSELVLRIGHDKDTMFQASPFNLNNYIKDCKNLFGVLPQPQWITTYYGGHDLKLILHRFASNIIFSNGLRDPYSSGGVLENISDTIVAISTINGSHCLDIHQEQPSDPQWLVMQRKTEVEIIQGWISKYYEDLQLNNPEYSV >Manes.07G027600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2919356:2920343:1 gene:Manes.07G027600.v8.1 transcript:Manes.07G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTILSLLVGVCSLAFTVGKTIWDERKQRSSDAKKSEPNFKNLNLELYGLLHLATEMERKADELGETSDQEYKFWRNTRIAEISNEAATLVSQYKLERKNLSKKKLAELSKKMEDCADRVRRLREDADAFLSRFEKKYRNKKISSKKKQPRKEK >Manes.05G032300.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2724070:2728386:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.05G032300.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2724070:2728386:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.05G032300.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2724196:2728463:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.05G032300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2724072:2728386:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.05G032300.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2722958:2728386:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.05G032300.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2724072:2728386:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.05G032300.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2724070:2728386:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.05G032300.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2724072:2728386:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.05G032300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2724196:2728463:-1 gene:Manes.05G032300.v8.1 transcript:Manes.05G032300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETTTHFTKTLSRLLSARRTSSTTITDSTLLRDSRLVFSFCSKALKVSAQRSFLQEGKQVHACLMKLGLCNVLSLQNQILYTHVKCKEFTDAHRLFDEMGVRNVVTWNTVICGLVDYGSIYEPNLHMGFSYFKRMLLDRVSMDPITLNGLLRACLQLNNVEIGRQLHCFILKLGFELSCFVNSALIDLYGKFGLVKEARNIFDKVLCRDLVLWNVMSSCYALNSLGEEAFRVFNLMRGENLIGDGFTFSIMLNSCAILGSYVLGTQIHGLIMKLSFDLDMLVASGLVDMYAKSENVEDARKAFDYVSAKNVVSWNTMIVAYGQHGDGKEAMKLLKEMLREVFAPDELTLASILSSCGTVSASCEIMQVHAYVVKMGFHSFLSIGNALINAYAKCGNPACALECFNSVLKPNHVTWTSLISAYAFNSFPELSIEMFEKMSSTGVMPDKIAFLGVLSACSHAGLIKEGLHYFSLMIKHYQIIPDVEHYSCLIDLLGRAGLLDEAFNVLTSMPFEQRSDNLAALIGACKIHGYLKLAKWVAEKLLELEPNMPVNYALISNMYASKDQWHDVARIRKLMKDRGNNKVPGCSWMELGGAIHTFVSSDKSHPQASEVYCILGILLRLMRNELQAMDENFLYE >Manes.02G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10360028:10362281:1 gene:Manes.02G136400.v8.1 transcript:Manes.02G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEKNGWTSVPQFGGWDSKTPVNTDYSVVFQQARANRKQQKRDIKHPSLGNEREFAHTQQDDSVMRKKKILTYINCCIKP >Manes.12G089180.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14694189:14699864:1 gene:Manes.12G089180.v8.1 transcript:Manes.12G089180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYDSLCSLMRSYTRYIRKMMTGNGLFYPIVGIVSCVAFIYMSFGDLRVTYHREPELTFVTRNGTQFMVDGRPFYINGWNSFWLMDHSAEEDRRPRVRAMLEAGAKMGLTVCRAWAFNDGGYNALQVSPGQFDERVFKALDHVIAEARQHGVRLLLSIVNNLKAYGGKTQYVKWAWEEGIGLSSSNDSFFFDPTIRRYFKNYVKTLLTRKNTITGIEYRNDPSIFGWELMNEPRCVSDPSGDTLQEWIEEMSAFVKSIDKNHLLTVGLEGFYGPTNPKRSTMNPENWASILGTDFIRNSKVQHIDFASVHIYPDHWFQHQTFEEKVTFVSKWMLSHIEDGQHELSKPVFFTEYGFSNLNKDFQPSQRDRFYKSILDIIYKSAKRKRAGAGALVWQFFVEEMEEFNDDFGIVPWERPSTYRYFTDQSCRLARLRGIHRQNQILRELCLQTEN >Manes.12G089180.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14694189:14699864:1 gene:Manes.12G089180.v8.1 transcript:Manes.12G089180.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHEHMRSYTRYIRKMMTGNGLFYPIVGIVSCVAFIYMSFGDLRVTYHREPELTFVTRNGTQFMVDGRPFYINGWNSFWLMDHSAEEDRRPRVRAMLEAGAKMGLTVCRAWAFNDGGYNALQVSPGQFDERVFKALDHVIAEARQHGVRLLLSIVNNLKAYGGKTQYVKWAWEEGIGLSSSNDSFFFDPTIRRYFKNYVKTLLTRKNTITGIEYRNDPSIFGWELMNEPRCVSDPSGDTLQEWIEEMSAFVKSIDKNHLLTVGLEGFYGPTNPKRSTMNPENWASILGTDFIRNSKVQHIDFASVHIYPDHWFQHQTFEEKVTFVSKWMLSHIEDGQHELSKPVFFTEYGFSNLNKDFQPSQRDRFYKSILDIIYKSAKRKRAGAGALVWQFFVEEMEEFNDDFGIVPWERPSTYRYFTDQSCRLARLRGIHRQNQILRELCLQTEN >Manes.12G089180.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14694189:14699864:1 gene:Manes.12G089180.v8.1 transcript:Manes.12G089180.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGNGLFYPIVGIVSCVAFIYMSFGDLRVTYHREPELTFVTRNGTQFMVDGRPFYINGWNSFWLMDHSAEEDRRPRVRAMLEAGAKMGLTVCRAWAFNDGGYNALQVSPGQFDERVFKALDHVIAEARQHGVRLLLSIVNNLKAYGGKTQYVKWAWEEGIGLSSSNDSFFFDPTIRRYFKNYVKTLLTRKNTITGIEYRNDPSIFGWELMNEPRCVSDPSGDTLQEWIEEMSAFVKSIDKNHLLTVGLEGFYGPTNPKRSTMNPENWASILGTDFIRNSKVQHIDFASVHIYPDHWFQHQTFEEKVTFVSKWMLSHIEDGQHELSKPVFFTEYGFSNLNKDFQPSQRDRFYKSILDIIYKSAKRKRAGAGALVWQFFVEEMEEFNDDFGIVPWERPSTYRYFTDQSCRLARLRGIHRQNQILRELCLQTEN >Manes.10G095500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24298520:24299671:-1 gene:Manes.10G095500.v8.1 transcript:Manes.10G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEKKKIKSQLKSSYTSKEANAPKLWPYLPPQLIYLIEQQPPLSQNKTFSRAVTKSWRLPSKCNPNPAPPWLQLTFDDQEPADIQIYSFDVRFKEACLWCWREELHIRCKSQFLGCFHGVLLCQQLSISSYRNPSPCLAKRELAVDGKMGFALWDVKYPVLYAASYQSPHPENKCTLIYLTGIARPAFAFYRTWKGTGQWIKKDSTIIDPHCSDPNERSHLLRFTSGIWFKQKFYALSLQGTLAVIEEIDSDLRITALGNKRAVPSVTCKHFRECMIQSEGEILLVFLVSRDTIKVVDYVEIYKLDVDNLRWIKKESFGNRTLILGINCYMSVLASKVRCRRNCVYYTPFSADGWCVYDMETAVISTPSKSQLWIDPTAED >Manes.14G077000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6415715:6419396:-1 gene:Manes.14G077000.v8.1 transcript:Manes.14G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGSFLKVILKNFDVLAGPVVSLVYPLYASIRAIETKSVVDDQQWLTYWVLYSMITLIELTFAKVIEWIPIWSYAKLILTCWLVIPYFSGAAYVYEHFVRPLFVNPQQTINIWYVPRKKDVFNKSDDILTAAEKYIEENGTEAFEKLIHRADKSRSNIYANTIFDEDYRY >Manes.06G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25390243:25394965:1 gene:Manes.06G123700.v8.1 transcript:Manes.06G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTYAVPPPPSRTPDPAAGDAASDDGTDRLRTYQVWKGSNIFFLKGRLIFGPDARSLLLTIFLIVAPVAIFCVFVARKLMDDFPHDWGISILVITVVLTSIDLILLLLTSGRDPGIIPRNAHPPELEGYEGHTEATTGQTPPFRLPRTKDVVINGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSATLLCLYVHGFCWVYIKRIMNSEQTTLWKAMAKTPASIVLVVYTFISVWFVGGLTVFHLYLISKNQSTYENFRYRYDGLANPFDKGLLENFMQVFCSSIPPSKNNFRAKVSKDQRMVGSLVSSNIEKSAVDIEMGRKPVWDDTAREALDDYDRAIRNDDNLDEDGGLADISPDLSRILPPQGLEGRSVLHSRRSSMGRKSGSWEISPDVLALAAGVGESKRVTSGNLTSETHQSQTNTKM >Manes.06G006500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1119399:1121376:1 gene:Manes.06G006500.v8.1 transcript:Manes.06G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFGTAETVAAFLSFVFLFLFLWPWCWSRITNSILIDWPVFGMIPELLYNLSRVHDFLTFLLQQSRGTFFFKGPWFSGMDFLLVGDPMNVHHILSKNFANYPKGPEFKQIFEPLGDGIFNSDFDNWSIQRRIFHCILLKNNKFELAVDITLKQKILQSLLPIMENVSQVDIQDVFQRFTFDNICQLVLGFDPNSLSIEFPQIPYQQAFDDMGETFIYRHAVPGSIWKAQKWLQIGKEKKFKQAWKIFDEFLEQCLTRKREQLSQNCRDQIEGEGFDLLTYFLAEDDDFEATAAKIGIQTKSNKFLRDMAFNLFLAGRDTIGASLIWLFWLVGTHPSVEKKILEEIKENLGEKTNEKRRVFSTEEVRKLVYLHAVICEVLRLYPSVPFEHKVSIEEDILPSGHNVPKNMRILFSFYSMGRMEEIWGKDCLEFKPERWISERGRIKHVPSYKFVAFNAGPRSCLGKELAFIQMKTIVSFVIWNYSLQVVENQSVSPSVSLLLYMKKGLKVRVFKRFAP >Manes.17G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26926613:26932447:-1 gene:Manes.17G069300.v8.1 transcript:Manes.17G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYAKNFFHRFCSDDIQMEGIPHGSFFSSDLLPSLGARINQATTLRRHIISPYNSRYRAWEMWLVVLVIYSAWISPFEFAFLTYKKDDALSIIDNIINGFFAIDIVLTFFVAYLDSHTYLLVDNPKKIAIRYISTWFLFDVCSTAPFQSLSLLLTNQSSSQIGFRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPYFKEDSLWDRYIAAIYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTIRAATEFATRNQLPPHIQDQLLSHLCLKFKTEGLKQQETLNGLPKAIRSSIAHHLFYPIVEKVYLFQGVSYDFLFQLVSEMEAEYFPPKEDIILQSEASTELYILVSGTVDLMSCADGIYQVIGKATAGDIFGEIGVLYCRPQPFTARTSEISQILRLSRTSLINTIQANMEDGHIIMNNLFKKLQGSESTGFDYQNKAPGKILNAWCDEGSNEGWGSEAICQNYSQEEPMMQEAGNSSAEELEARGKSRGIGHNFLTQGPDIHSTIEDDQMALQFHTAVHKGHIEMVKILLEGGANAEKSDAIGRTPKALAEQQETKSIYDLLNNKNTRKIDEYIIDFIEPKSDETKKPSKQKGVGGPNYFNVHSKMVPTNSSPRPHSCPNDGETKNTKKRVTIHMQFHNRSKLQRPFGKLIMLPDSIEELLRIGGEKFGGYKFSRVMNAENAEIDDTCVIRDGDHLFLRQNDSENSDCDVT >Manes.17G069300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26926476:26932447:-1 gene:Manes.17G069300.v8.1 transcript:Manes.17G069300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVLVIYSAWISPFEFAFLTYKKDDALSIIDNIINGFFAIDIVLTFFVAYLDSHTYLLVDNPKKIAIRYISTWFLFDVCSTAPFQSLSLLLTNQSSSQIGFRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPYFKEDSLWDRYIAAIYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTIRAATEFATRNQLPPHIQDQLLSHLCLKFKTEGLKQQETLNGLPKAIRSSIAHHLFYPIVEKVYLFQGVSYDFLFQLVSEMEAEYFPPKEDIILQSEASTELYILVSGTVDLMSCADGIYQVIGKATAGDIFGEIGVLYCRPQPFTARTSEISQILRLSRTSLINTIQANMEDGHIIMNNLFKKLQGSESTGFDYQNKAPGKILNAWCDEGSNEGWGSEAICQNYSQEEPMMQEAGNSSAEELEARGKSRGIGHNFLTQGPDIHSTIEDDQMALQFHTAVHKGHIEMVKILLEGGANAEKSDAIGRTPKALAEQQETKSIYDLLNNKNTRKIDEYIIDFIEPKSDETKKPSKQKGVGGPNYFNVHSKMVPTNSSPRPHSCPNDGETKNTKKRVTIHMQFHNRSKLQRPFGKLIMLPDSIEELLRIGGKLCMTSNEHLNVNLNIRNKNYILISSVNRSKNKCKQKNEQRHQTLHKN >Manes.17G069300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26926476:26932447:-1 gene:Manes.17G069300.v8.1 transcript:Manes.17G069300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYAKNFFHRFCSDDIQMEGIPHGSFFSSDLLPSLGARINQATTLRRHIISPYNSRYRAWEMWLVVLVIYSAWISPFEFAFLTYKKDDALSIIDNIINGFFAIDIVLTFFVAYLDSHTYLLVDNPKKIAIRYISTWFLFDVCSTAPFQSLSLLLTNQSSSQIGFRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPYFKEDSLWDRYIAAIYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTIRAATEFATRNQLPPHIQDQLLSHLCLKFKTEGLKQQETLNGLPKAIRSSIAHHLFYPIVEKVYLFQGVSYDFLFQLVSEMEAEYFPPKEDIILQSEASTELYILVSGTVDLMSCADGIYQVIGKATAGDIFGEIGVLYCRPQPFTARTSEISQILRLSRTSLINTIQANMEDGHIIMNNLFKKLQGSESTGFDYQNKAPGKILNAWCDEGSNEGWGSEAICQNYSQEEPMMQEAGNSSAEELEARGKSRGIGHNFLTQGPDIHSTIEDDQMALQFHTAVHKGHIEMVKILLEGGANAEKSDAIGRTPKALAEQQETKSIYDLLNNKNTRKIDEYIIDFIEPKSDETKKPSKQKGVGGPNYFNVHSKMVPTNSSPRPHSCPNDGETKNTKKRVTIHMQFHNRSKLQRPFGKLIMLPDSIEELLRIGGKLCMTSNEHLNVNLNIRNKNYILISSVNRSKNKCKQKNEQRHQTLHKN >Manes.01G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6676271:6678880:1 gene:Manes.01G034800.v8.1 transcript:Manes.01G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNCVIYSTSEKNPNCFSPSSSSSSSGMVLVDIASLSLSPSYGVIPPSSSSSSSSMETGRGSWVFSLMGKGSDCSDVFLENNDTENHNANSNDENPNNENINSGKETDSGQSKLCARGHWRPAEDTKLKELVAHYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAHRRRKLTQSVYRRSEETSSFLCRDPATRSEPPPPPPPTYCLNIPNAVGLTNLSPYPVGPFNGGVDYGLNGSRIQLPLTGFCAQQTPFDFFPGPKSNDMIGSIFSHRPADEPHNSSFYPQRHHDTMAMQQSNYQTPYYLSASTPPPPPPPPPPQVSATEPSPSSSSSSVAENTRTVHFETIPPPPFIDFLGVGAT >Manes.09G091166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27309977:27310256:-1 gene:Manes.09G091166.v8.1 transcript:Manes.09G091166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNIVSIYQPAISIFKDENFEFWNIKIRTLFKSQDLWDLVEKGYPDPDEETRLKENKKKDSKALFFIEQVQQLLVEMLG >Manes.05G154301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26572361:26584378:-1 gene:Manes.05G154301.v8.1 transcript:Manes.05G154301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPLINEKWDLLFVYASCNDKDRINQFRSLVDYKNNLRSKFCVVGDFKYYTNGREKRGGNGVSRYKTVPTFINYMGLVYLDFRGPPMTWSNRRDGQDHITERIDRSMASTPWGTTHSNAQVFHLDDLGSDHRPLLLVVDSTF >Manes.11G083203.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18599597:18603708:-1 gene:Manes.11G083203.v8.1 transcript:Manes.11G083203.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKVVETVIVGNYVEMETEGNSRNMKTRLSKFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASLLHGQVEGVKHSGPNKIVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAAAVYWAFGDMLLNHSNAFSLLPRSPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGLHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFRSGAARENAVEQPPKFVGRWVGAYMINVFVVVWVLIVGFGFGGWASMTNFIHQIDTFGLFTKCYQCPPPPPINVNATAAAPPPLHHPNNRTHHL >Manes.02G101400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7933016:7935474:-1 gene:Manes.02G101400.v8.1 transcript:Manes.02G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFMLFLLANNANSLLTPNGSNSNRFVTSEEAYLKWLKAKQSGSFKHALFQKAKNRFKPCLTIKVNKKLSSVDFRTVRKAVNSIPVVNNCRVVISIGAGTYREKVEIPASMAYITLEGAGADKTIIEWDDTADKMGQGGHQLGTFGSATFAVNSPYFIAKNITFKNKAPSPPSGALGKQAVALRISADTAAFIGCKFIGAQDTLYDHIGRHYFKESYIEGSVDFIFGNGLSLYENCHLHAVTNRFGALTAQKRGSLLQETGFSFVNCKVTGSGALYLGRAWGTFSRVVFAYTYMDKIITPRGWYNWGDKNREMTVFYGQYKCSGPGADFGGRVSWSRELTEQEAKPFISVNFIDGHEWLPSS >Manes.02G101400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7933016:7935474:-1 gene:Manes.02G101400.v8.1 transcript:Manes.02G101400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFMLFLLANNANSLLTPNGSNSNRFVTSEEAYLKWLKAKQSGSFKHALFQKAKNRFKPCLTIKVNKKLSSVDFRTVRKAVNSIPVVNNCRVVISIGAGTYREKVEIPASMAYITLEGAGADKTIIEWDDTADKMGQGGHQLGTFGSATFAVNSPYFIAKNITFKNKAPSPPSGALGKQAVALRISADTAAFIGCKFIGAQDTLYDHIGRHYFKESYIEGSVDFIFGNGLSLYENCHLHAVTNRFGALTAQKRGSLLQETGFSFVNCKVTGSGALYLGRAWGTFSRVVFAYTYMDKIITPRGWYNWGDKNREMTVFYGQYKCSGPGADFGGRVSWSRELTEQEST >Manes.02G101400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7933016:7935500:-1 gene:Manes.02G101400.v8.1 transcript:Manes.02G101400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFMLFLLANNANSLLTPNGSNSNRFVTSEEAYLKWLKAKQSGSFKHALFQKAKNRFKPCLTIKVNKKLSSVDFRTVRKAVNSIPVVNNCRVVISIGAGTYREKVEIPASMAYITLEGAGADKTIIEWDDTADKMGQGGHQLGTFGSATFAVNSPYFIAKNITFKNKAPSPPSGALGKQAVALRISADTAAFIGCKFIGAQDTLYDHIGRHYFKESYIEGSVDFIFGNGLSLYENCHLHAVTNRFGALTAQKRGSLLQETGFSFVNCKVTGSGALYLGRAWGTFSRVVFAYTYMDKIITPRGWYNWGDKNREMYVPPSHLQFQ >Manes.05G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19654215:19661067:1 gene:Manes.05G132200.v8.1 transcript:Manes.05G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTGILLLLSLIFTATVSTSTTSLHRHLLHQPFFPLSTTVPPVQPPSLPPQAQAQAQPLPQTQPKYPFSATPNIPQKPFFPSFPSPPPPPSFSTLATFPANISSLLFPHSPSPTSHRHIIISISISVSLLFAAILAALSAFFLYSRRQHHRYVNTSEKTSRSDSLRLFPPNTIPSDGSPKPPKLPHRPGVLNTSSEFLYLGTLVNSRSGVDDHQKITSSSHAGVKIGITSSPYQKLGSPELKPLPPLPKHNYTPTYRSGEVLVGSYKDDEADTDTEEDEFFSPRGSSGRKETMQESPVRVESSSRREFRGIHGETFGSRSFNSRTASYPISNSCSPANSIPTSPSPLSNLSPISSKSKSPEIIISFPGPIQTVKRSPLSISLSSSPSFSGRDSGNTQNSPERNSGTLERSNLSPTRIETTKQFVPTKLPPPPPPPPPPRFWEIPVGVIPAPDVDMGSSGPPVLVTPSRPVFIQNGIPVVANEQSQSSACFERNDETLKPKLKPLHWDKVRASSDRAMVWDQIKSSSFQLNEEMIETLFTVNNSNMNTKDNNPRRQSLPLQNQENRVLDPKKSQNIAILLRALNVTIDEVCEALLEGNSDTLGTELLESLLKMAPTKEEEHRLKEFKDESPFKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFDSEVEYLKRSFETLEVACAELRNSKMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRSEGSRLSGVNQNQTAERSEQSTFQDDVEFRKLGLQVVSGLSGELTNVKKAAAMDSDVLSSEVAKLAMGIAKVREVLELNEEIVVKESSKKFSESMNGIVKKAEDEIIRIQAQEKIALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSILDQVCKEVGKINERTIYSSVRPMPINPNLPPVFPGLNGRQQYGTLDDENYSLS >Manes.06G166100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29228026:29230082:-1 gene:Manes.06G166100.v8.1 transcript:Manes.06G166100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRHQHSSVVQKVARQVHLCSSLSHDALRGSSYGGLEKPAQFAYGNYYNAGLQSPMTRAQVLVQAPSEKGLASFAVDFLMGGVSAAVSKSAAAPIERVKLLIQNQNEMIKAGRLSEPYKGIGDCFSRTIKEEGFLSLWRGNTTNVIRYFPTQAFNFAFKDYFKSLFNYNKDRDGYWKWFAGNLASGGAAGASSSLFVYSLDYARTRLANDAKATKNGGERQFNGLIDVYRKTLKSDGIAGLYRGFNVSWVGIIIYRGLYFGMYDSLKPVVLTGNLQGSFLASFALGWAVTTGASLAAYPLDTVRRRMMMTSGEAVKYKNSFDAFSQILKQEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGA >Manes.06G166100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29228026:29229823:-1 gene:Manes.06G166100.v8.1 transcript:Manes.06G166100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRHQHSSVVQKVARQVHLCSSLSHDALRGSSYGGLEKPAQFAYGNYYNAGLQSPMTRAQVLVQAPSEKGLASFAVDFLMGGVSAAVSKSAAAPIERVKLLIQNQNEMIKAGRLSEPYKGIGDCFSRTIKEEGFLSLWRGNTTNVIRYFPTQAFNFAFKDYFKSLFNYNKDRDGYWKWFAGNLASGGAAGASSSLFVYSLDYARTRLANDAKATKNGGERQFNGLIDVYRKTLKSDGIAGLYRGFNVSWVGIIIYRGLYFGMYDSLKPVVLTGNLQGSFLASFALGWAVTTGASLAAYPLDTVRRRMMMTSGEAVKYKNSFDAFSQILKQEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGA >Manes.08G071200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13525058:13528390:-1 gene:Manes.08G071200.v8.1 transcript:Manes.08G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEQGNEEVSETKTTAKESPHEEEHQPDAPKTGGTSREMSDANEEEEEDEERKIELGPQYTLKEQLEKDKDDESLRRWKEQLLGSVDFNSVGETLDPEVKILSLAIKSSGRPDIVLSIPEDGNLKGVWFTLKEGSRYSLQFTFHVGHNIVSGLKYTNTVWKTGVKVDSTKEMLGTFSPQAEPYTHEMPEETTPSGIFAKGSYSAKSRFVDDDNKCYLEINYTFDIRKEWQPIETS >Manes.16G137200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33777334:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPHLFQVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33777159:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33777319:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33777319:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33778143:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33777334:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPHLFQVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33777278:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33777278:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33777159:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.16G137200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33774411:33786867:1 gene:Manes.16G137200.v8.1 transcript:Manes.16G137200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGNIYSRRARVFAVASLIYLDYKAVQQIDKWTVDSKRAALWDKAHERNAKRALNLIIELEGLWVKLGQYLSTRADVFPHAYVSHLKKLQDSLPPRPVQEVCHTIEKELGKSMDDLFSYFDRTPLATASIAQVHRARLINEEEVVVKVQHAGIKTVILEDLKNAKSVVDWIAWAEPQYDFNPIMDEWCKEAPKELDFNIEAENTRTVARNLGCRKNDDDSKPVKSVDVLIPEVIQSTEKVLILEYMDGIRLNDNESLEAYGVDKQSVVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHHPVLLDFGLTKKISTSMKQALAKMFLASAEGDHVALLSAFAEMGLRLRLDIPDQAMEVTNTFFRTSTLANEAFENMKSLAEQRSKQMKVIQEKVKLKEKELKRFDPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIVYQEVMRPFAESALLGSVNKGPAVNSQWIYDTPPHSDVETKLRQLLIELGNEEKILGIQVCAYKDGKVVIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMLHWLIDNGKVKLDDNIANVWPEIRTNGKDQIKVCHVLNHTSGLHNALAVLRGANPLLMCDWEECMNQICMSVPETAPGQEQLYHYFSFGWLCGGIIEHASGKKFQEILKEAIIQPLNIEGELYVGIPPGVESRLASLTVDMNNLSDLLEMYSRLDLPSSFQPSNIVQLVTTLPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGTIPPPHSSFSKPPLGSHPHIPKFPSEKTPKKQKGRNGSDGTRLATDPSSSTSDVSAAGSFASGDGSRKNSVEKIFTNPRIHDAFLGVGEHENLAMPNGKFGLGFRRAILNDGSFSGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSYGGLTREIIQLVCSELNIPLPEDFSSSGDRGPDVQLNIGGIAD >Manes.03G189700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30927676:30928453:1 gene:Manes.03G189700.v8.1 transcript:Manes.03G189700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKKTFMFIRLVSAAGTGFFYVKRKSSKKIAEKLEFRKYDPRVNRHVLFTEAKMK >Manes.11G159100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32480879:32482701:-1 gene:Manes.11G159100.v8.1 transcript:Manes.11G159100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRIKYPDGWELIEPTLRELDAKMREAENDSHDGKRKCETLWPIFRIAHQRSRYIFDIYYKTNEISKELYEFCLEQGYGDRNLIAKWKKPGYERLCCLRCIQPRDHNFGTTCVCRVPKHLREEKVVECVHCGCKGCASGD >Manes.11G159100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32481395:32482437:-1 gene:Manes.11G159100.v8.1 transcript:Manes.11G159100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRIKYPDGWELIEPTLRELDAKMREAENDSHDGKRKCETLWPIFRIAHQRSRYIFDIYYKTNEISKELYEFCLEQGYGDRNLIAKWKKPGYERLCCLRCIQPRDHNFGTTCVCRVPKHLREEKVVECVHCGCKGCASGD >Manes.11G159100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32480879:32482701:-1 gene:Manes.11G159100.v8.1 transcript:Manes.11G159100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRIKYPDGWELIEPTLRELDAKMREAENDSHDGKRKCETLWPIFRIAHQRSRYIFDIYYKTNEISKELYEFCLEQGYGDRNLIAKWKKPGYERLCCLRCIQPRDHNFGTTCVCRVPKHLREEKVVECVHCGCKGCASGD >Manes.13G150800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36604366:36608414:-1 gene:Manes.13G150800.v8.1 transcript:Manes.13G150800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNSSCKNKKTANQSMLLCCKLFISESRNHAALDSIERAARLDPETVVVNKFEDRAYNRIRYTLVSYVVLDTTGNAIYSPLQQTVLAMAEAAYGAINLESHSGAHPRLGVVDDIVFHPLARASLDEAAWLAKAVAADIGSRFQVPVFLYAAAHPTGKALDTIRRELGYYRPNSMGYQWAGWNMPEILPEKPNEGPQHVSRARGISMIGARPWVGLYNVPILSTDVSATRRIAKMVSARGGGLPTVQTLGLVHGEDSTEIACMLLEPNQIGADRVQSRVEMLAAEEGLDVEKGYFTDFSPEMIIEKYMNLTSANRD >Manes.01G245700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40608398:40611452:1 gene:Manes.01G245700.v8.1 transcript:Manes.01G245700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGGLDEIEIMKLKKDIKRHEDNLTFLKSEENRVDESILQLQVISAKYHLNSTSQGTSKNGGSHNEEETMEQILKQETTAAAMLCQLKTHHSVLLSNLPVTKDVLGVVATLAGVENDNLNRLLSEFLGLETMLALVCKTFEGVKALEKYDWEGKIINSAGLHGLGCSMGRKINGRFLVICLEDLRPYSGGFVDDDIQKRLDLSKPRLADGSYPSGFVDFAVNMINLDYRNLFCVTAKGNGLRETLFYALFSHLQVYRTREEMLRALPCITDGAVSLDGGMINRHGAFALGNRTDVEVKFPVISSRNLPAADEVNVEYAIKVLKLEQLNISEDMRREQSLLEKTKAELIAKYNT >Manes.13G068132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9288443:9291043:-1 gene:Manes.13G068132.v8.1 transcript:Manes.13G068132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHYDHSHRPLEFNIGDMVLLRLQPYRQSTLASRKNQKLAAKYFGPFEVLERVGSMAYKLKLPVESKLYPVFYVSTLKPYHVGNDDFETALPPVTGKMEVLVH >Manes.03G103501.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22729842:22732718:1 gene:Manes.03G103501.v8.1 transcript:Manes.03G103501.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEETQKCGNSNNNGGGCGVNIGNIGRSSKKQKQKKVPQRGLGVAQLEKIRLEEQQKKDGSTILTSASSSPPPPKPSNLSPSVPIPNYQSYSASSASSPNSILRPQNIDTLVHSLGWQSVSVQGHGNMPKLWNSCDFNLEKDTAYGIHPELSFRSSFNLPFQSNSIWPLPSLMQRAQYQPPLPPAAASSMVNVPSSSSSSLQILHVELPSNQSYYGNYTPIWPEEENVVGLKRPYPFALDNPPGPSFPCKFPPVVRPIGRSDESASFANGGTFNFIPANSNFREGPSCSNSISEPKPNSKKIIDGFSSGDFLTLGLPTATSTRPNSNLKPPSASLAIRNYGNFDFDSLPYQGSMEDPSIEQEPSVPNQLQHYCSFLPPATVQIGQPAKTISNCSGDEVVEENLDLSLKL >Manes.03G103501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22729842:22732718:1 gene:Manes.03G103501.v8.1 transcript:Manes.03G103501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEETQKCGNSNNNGGGCGVNIGNIGRSSKKQKQKKVPQRGLGVAQLEKIRLEEQQKKDGSTILTSASSSPPPPKPSNLSPSVPIPNYQSYSASSASSPNSILRPQNIDTLVHSLGWQSVSVQGHGNMPKLWNSCDFNLEKDTAYGIHPELSFRSSFNLPFQSNSIWPLPSLMQRAQYQPPLPPAAASSMVNVPSSSSSSLQILHVELPSNQSYYGNYTPIWPEEENVVGLKRPYPFALDNPPGPSFPCKFPPVVRPIGRSDESASFANGGTFNFIPANSNFREGPSCSNSISEPKPNSKKIIDGFSSGDFLTLGLPTATSTRPNSNLKPPSASLAIRNYGNFDFDSLPYQGSMEDPSIEQEPSVPNQLQHYCSFLPPATVQIGQPAKTISNCSGDEVVEENLDLSLKL >Manes.03G103501.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22729842:22732837:1 gene:Manes.03G103501.v8.1 transcript:Manes.03G103501.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEETQKCGNSNNNGGGCGVNIGNIGRSSKKQKQKKVPQRGLGVAQLEKIRLEEQQKKDGSTILTSASSSPPPPKPSNLSPSVPIPNYQSYSASSASSPNSILRPQNIDTLVHSLGWQSVSVQGHGNMPKLWNSCDFNLEKDTAYGIHPELSFRSSFNLPFQSNSIWPLPSLMQRAQYQPPLPPAAASSMVNVPSSSSSSLQILHVELPSNQSYYGNYTPIWPEEENVVGLKRPYPFALDNPPGPSFPCKFPPVVRPIGRSDESASFANGGTFNFIPANSNFREGPSCSNSISEPKPNSKKIIDGFSSGDFLTLGLPTATSTRPNSNLKPPSASLAIRNYGNFDFDSLPYQGSMEDPSIEQEPSVPNQLQHYCSFLPPATVQIGQPAKTISNCSGDEVVEENLDLSLKL >Manes.03G103501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22729842:22732718:1 gene:Manes.03G103501.v8.1 transcript:Manes.03G103501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEETQKCGNSNNNGGGCGVNIGNIGRSSKKQKQKKVPQRGLGVAQLEKIRLEEQQKKDGSTILTSASSSPPPPKPSNLSPSVPIPNYQSYSASSASSPNSILRPQNIDTLVHSLGWQSVSVQGHGNMPKLWNSCDFNLEKDTAYGIHPELSFRSSFNLPFQSNSIWPLPSLMQRAQYQPPLPPAAASSMVNVPSSSSSSLQILHVELPSNQSYYGNYTPIWPEEENVVGLKRPYPFALDNPPGPSFPCKFPPVVRPIGRSDESASFANGGTFNFIPANSNFREGPSCSNSISEPKPNSKKIIDGFSSGDFLTLGLPTATSTRPNSNLKPPSASLAIRNYGNFDFDSLPYQGSMEDPSIEQEPSVPNQLQHYCSFLPPATVQIGQPAKTISNCSGDEVVEENLDLSLKL >Manes.03G103501.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22729842:22737609:1 gene:Manes.03G103501.v8.1 transcript:Manes.03G103501.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEEETQKCGNSNNNGGGCGVNIGNIGRSSKKQKQKKVPQRGLGVAQLEKIRLEEQQKKDGSTILTSASSSPPPPKPSNLSPSVPIPNYQSYSASSASSPNSILRPQNIDTLVHSLGWQSVSVQGHGNMPKLWNSCDFNLEKDTAYGIHPELSFRSSFNLPFQSNSIWPLPSLMQRAQYQPPLPPAAASSMVNVPSSSSSSLQILHVELPSNQSYYGNYTPIWPEEENVVGLKRPYPFALDNPPGPSFPCKFPPVVRPIGRSDESASFANGGTFNFIPANSNFREGPSCSDSISEPKPNSKKIIDGFSSGDFLTLGLPTATSTRPNSNLKPPSASLAIRNYGNFDFDSLPYQVSMEDPSIEQGPSVPNQLQHYCSFLPPATVQIGQPTKTISNCSGDEVVEENLDLSLKL >Manes.05G135252.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18450897:18474315:1 gene:Manes.05G135252.v8.1 transcript:Manes.05G135252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNIPVIVKKHKIKWWGSFRNSTTEEVVNNWIIKRAQFPTVSYASKLTLQGEPSFGAQKAQCQALLVAAKTLEKCKMICQQMFNHLASGESVKKGRDKANFHSCSNSIKLLSTSSLSNSLILLCSFPSSSASSDEEDDSERLKLTQKEKKESKKKEKKGQLACWCVTEDIGRLSNIPSITYATYREDEPKHVIQTIVKSRFSISDIPDRHLKIKGVDYSSSVPQAVYNPVENYLNQKVEIAPSSPSSPSASAITENINNINRELNIHIHSKDRYKTAFTVPFGQYEWN >Manes.13G081001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13682144:13683451:1 gene:Manes.13G081001.v8.1 transcript:Manes.13G081001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNQNTLNTGSESLKPVFSIAAVKLQGSNNYVSWAASVELWFVGQGYDDHLTKNVTDITATDRPNWVKIDAQLCSLLWHSLDPKFLALFQSCKTFCIWTRAKTLYTNDIQRIYKVVSDMVHLQQNHQDMASYLGQVETLKDEFNSLMPLTNDVDAQEGQRDKFFMVLALIGLRSNLCSVKDQILTARLLRISLSKSDATDMESSILEVQGNQGQGGNRKGKGKKFHCSYCDKKGHTRDACWALHGRPPRSNQSDNTGKPAAHLAQSNVKDLLPQPTNKSQDLDSIILIGEDYKEYLQFQAAKQHPPSTSIAHSGPEY >Manes.17G051501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24833807:24834905:1 gene:Manes.17G051501.v8.1 transcript:Manes.17G051501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRESNAEPRSKNVCAACKYVKRKCNECCLLSPYFPVERMADLEAAHRVFGISNMNKMIKRLQVQDRDKAAKSLLWEALIWKQDPVNGPLGQYWKLERENQFLKNQLNLQQQVLPYAAMGMQEFNGKETVPNSDFVTNFETLELDLTMITGTGNTEQLLSSLSSPLWPNPQDQQCISEERKLPSFRAKEAGNPERSQEISFCEQGESTVGSNFVHGQQRLTQNTGDFHCPRSAPEPSSRRPEKARTDGSSRS >Manes.12G051001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4490728:4493159:-1 gene:Manes.12G051001.v8.1 transcript:Manes.12G051001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSHIKTVIWALAFIFLFSLWRTMNRKSEREKNRVPEPAGAWPLIGHLHLLGGKEPACKILGAIADKAGPFYSLRLGMNRILVVSGWEMVKECLAKNDIVFATRASIAAGKHLGYNNAIFALAPYGEYWRDMRKLATLQLLSSHRLEILKHVRLSEVDMFLKDLYNICAENANNLAKVTISKLLERVTFNINLKMLAGKRFSSSTYGEENSEPWRYKKAIEEALYLSGIFVMSDAIPWLEWLDHQGHISAMKRTAKEIDAVVGTWLEEHLRKKSSKEDSIGESDLMDVMLENLAEDSVMSGHSRDTVVKAMVMILTLTGAGSTAVTLTWALSLLLNNPSVLKSAQEELDIHVGKDKWVQESNIQKLNYLQAIVKETLRLYPPGPLTGIREAMEDCDLGGYHVSQGTRLVANIWKLQRDPRIWENPSEFQPERFLTTHAHVDFRGQNFEYIPFSSGRRSCPAITFGLKVVHLILARVLQGFDLRTVKGLPVCMKEGPGISLSKVNPLEVIVKPRLDLELYQCLGRSNYMSF >Manes.08G068460.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13229284:13242620:1 gene:Manes.08G068460.v8.1 transcript:Manes.08G068460.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEGSLDMARDILVLGFDLSLPSNISLSPIGTYGSEATSFLS >Manes.05G016100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1526785:1527495:-1 gene:Manes.05G016100.v8.1 transcript:Manes.05G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHHLEENHQEVQTQPKTNNGKDLDSRPVKRKRMAPLLTYAPREPRRTFCTFITILLLLVGLTILILWLVYRPHKPQFTVAGAAVYILNTTSLPFISTSMQFTLVTRNPNKRVAITYDKLSAYVSYRNQAITPPVVLPPLYHETKSTVALSPVLGGAGVPVSVEVANGLMMDQSYGVVPLRVVLLGRLKYKAGSIWTGRYGVYVKCDIWVGLRRDVVGQVPLLGSPRCKVDVSS >Manes.02G200162.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17052543:17055312:1 gene:Manes.02G200162.v8.1 transcript:Manes.02G200162.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEKVQQLGNFAAGASRLGLPAYEWWSEALHGVSNTGPGTFFDETVPGATSFPTVLLTTASFNQSLWKRIGQVVSSEARAMYNLGRAGLTYWSPNINVVRDPRWGRIQETPGEDPYIVGTYASTYVRGLQDIEGTENITDLNSRPLKVAACCKHYTAYDIEDWMGVDRFHFDARVTEQDMLETFQKPFEMCVKDGDASSVMCSFNRINGVPVCADKKLMQDTIRGDWDLHGYIVSDCDSIEVMVDGHKWLGDTQEDAVSQVLKAGLDLDCGDYYPKSLKKAVMQGQVSEAEVDKSLKYLYVVLMRLGYFDGSRFNSLGKKDICTHENFELAAEAAKQGIVLLKNDNETLPLNSSKYKKLAVIGPHGNATKAMIGNYAGVPCRYVSPIEGFSAFGEVKYEMGCGDVACKNDSLIFPAMEAAREADATILVVGLDLSVESEGRDRVDLLLPGYQNLLINQVSKASKGPVILVIMTAGGVDISFAKESTNIQSILWAGYPGQEGGRAIADIVFGKHNPGGRLPLTWYEAEYANLVPMTSMTLRPIANPVANLSYPGRTYKFFNGSTVYPFGYGLSYTNFNYKIAPSKTLIKIKLNKYQHCSNLNYEYNDDKPYCPAVLVDDCPCEQEFRVAITVKNVGKMDGSEVVIVYSKPPKGITETHAKQVIGFERVFVQAGGETKTKFRFNVCKSLAIVDKKGYKVLPSGLHTIMVGDANVSFFVSVQYYK >Manes.09G116200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31888209:31889498:-1 gene:Manes.09G116200.v8.1 transcript:Manes.09G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPSNPSPAIAEITPDINLFDKYELGKLLGCGAFAKVYHARNVVTGQSVAIKAVSKQKVMKGGFIGQIKREISIMRRLHHPRVVKLLEVLATKTKIYFVMEFAKGGELFAKVAKGRFSEDLSRKYFQQLITAVGYCHARGVFHRDLKPENLLLDENWDLKVSDFGLSAVNDQIQSDGLLHTLCGTPAYVAPEILGKKGYDGAKADVWSCGVILYVLIAGYLPFNDTNLMVMYRKIYRGQFRFPKWTSPDLRRFLSRLLDANPETRITVDEILRDPWFKQDFKGIEFHLEDSDLKRQENHKSLNAFDIISFSSGFDLSGLFNDCDISACNERFVSSESPAKIIDRIEEIAEAENVKVTKNRDCGAKLEGIDGTFVMAIEIYQLTEQLVVVEVKGKEMNAKPGQEIWKDKLRPKLGSLVYEAAPTASGE >Manes.17G066700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26668687:26670188:-1 gene:Manes.17G066700.v8.1 transcript:Manes.17G066700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSFAGKAVKLTPSSPELMGNGRVSMRKTGGKAVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Manes.09G090100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:26624410:26624523:1 gene:Manes.09G090100.v8.1 transcript:Manes.09G090100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDQDLGFFANFLGIFIFALVIAYHYVMADPKYEGN >Manes.09G021800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4510700:4516217:-1 gene:Manes.09G021800.v8.1 transcript:Manes.09G021800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFDKSVKEELPPVLNPTAEQPPLFDGTIRLYLAYGCPFAQRVWITRNYKGLQDDIKLIPLNLQSKPAWYGEKVYPSNRVPALEHNGKIIGESLDVMKYLDSNFDGQSLLPDDPAKKEYAEELFVYTDTFNKIVFTSFKGDPVKEAGSAFDYLENALHKFDDGPFLLGQFSLVDIAYTTFVERFHVFLLEVFKYDITAGRPKLAAWIEEMNKIEAYKQTKLDPKEHVESFKKYFLAQ >Manes.09G021800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4510833:4516217:-1 gene:Manes.09G021800.v8.1 transcript:Manes.09G021800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFDKSVKEELPPVLNPTAEQPPLFDGTIRLYLAYGCPFAQRVWITRNYKGLQDDIKLIPLNLQSKPAWYGEKVYPSNRVPALEHNGKIIGESLDVMKYLDSNFDGQSLLPDDPAKKEYAEELFVYTDTFNKIVFTSFKGDPVKEAGSAFDYLENALHKFDDGPFLLGQFSLVDIAYTTFVERFHVFLLEVFKYDITAGRPKLAAWIEEMNKIEAYKQTKLDPKEHVESFKKYFLQAQ >Manes.09G021800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4510833:4516217:-1 gene:Manes.09G021800.v8.1 transcript:Manes.09G021800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFDKSVKEELPPVLNPTAEQPPLFDGTIRLYLAYGCPFAQRVWITRNYKGLQDDIKLIPLNLQSKPAWYGEKVYPSNRVPALEHNGKIIGESLDVMKYLDSNFDGQSLLPDDPAKKEYAEELFVYTDTFNKIVFTSFKGDPVKEAGSAFDYLENALHKFDDGPFLLGQFSLVDIAYTTFVERFHVFLLEVFKYDITAGRPKLAAWIEEMNKIEAYKQTKLDPKEHVESFKKYFLAQ >Manes.03G106600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23004478:23017144:-1 gene:Manes.03G106600.v8.1 transcript:Manes.03G106600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMAGGSVADLIQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLGENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLYPMKVLFIIPRENPPQLDEHFSRPIKEFVSLCLKKAPAERPSAKELLKHRFIKNARKSPRLLERIRERPKYQIKDAETPRNGPRAVAQSSGTVKVTRDVGDEGTVRASGQGKPLKNAGWDFSIGGSQSTGTIRSAVRSPQVREKKTEISYNKVTPRPTESGDLLSASGNALEESPEISFGKDARGTHHDEHQDNSHDYEELSVSGSGTVVIRTPRGSQSSTVFRDQSNLSSSTVGSFEDASSSGTVVFRGQHHDSDSPLTPKSILGIQERTSSASLEDSAVNLAEAKAAMQAGLRKGNARERSALNKLNNDGHENRRREQMTNTSDSSSSRDYLDAQRAFPRSRQASEDEASARIASSSAPLSVLFMPSLKEAVGDDSEGSMLRAVRNSLVNMELMKPGSADLLVRSLLQRLSSSKEPSMKDLQELASRLFSKGKAAPEETQNATAEAENRKKQQNKEYNSNANLSPLARFLLSRWQSQVSHPT >Manes.03G106600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23004474:23017156:-1 gene:Manes.03G106600.v8.1 transcript:Manes.03G106600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGLMEAAGTRFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLIQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLGENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLYPMKVLFIIPRENPPQLDEHFSRPIKEFVSLCLKKAPAERPSAKELLKHRFIKNARKSPRLLERIRERPKYQIKDAETPRNGPRAVAQSSGTVKVTRDVGDEGTVRASGQGKPLKNAGWDFSIGGSQSTGTIRSAVRSPQVREKKTEISYNKVTPRPTESGDLLSASGNALEESPEISFGKDARGTHHDEHQDNSHDYEELSVSGSGTVVIRTPRGSQSSTVFRDQSNLSSSTVGSFEDASSSGTVVFRGQHHDSDSPLTPKSILGIQERTSSASLEDSAVNLAEAKAAMQAGLRKGNARERSALNKLNNDGHENRRREQMTNTSDSSSSRDYLDAQRAFPRSRQASEDEASARIASSSAPLSVLFMPSLKEAVGDDSEGSMLRAVRNSLVNMELMKPGSADLLVRSLLQRLSSSKEPSMKDLQELASRLFSKGKAAPEETQNATAEAENRKKQQNKEYNSNANLSPLARFLLSRWQSQVSHPT >Manes.03G106600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23004599:23017127:-1 gene:Manes.03G106600.v8.1 transcript:Manes.03G106600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGLMEAAGTRFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLIQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLGENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLYPMKVLFIIPRENPPQLDEHFSRPIKEFVSLCLKKAPAERPSAKELLKHRFIKNARKSPRLLERIRERPKYQIKDAETPRNGPRAVAQSSGTVKVTRDVGDEGTVRASGQGKPLKNAGWDFSIGGSQSTGTIRSAVRSPQVREKKTEISYNKVTPRPTESGDLLSASGNALEESPEISFGKDARGTHHDEHQDNSHDYEELSVSGSGTVVIRTPRGSQSSTVFRDQSNLSSSTVGSFEDASSSGTVVFRGQHHDSDSPLTPKSILGIQERTSSASLEDSAVNLAEAKAAMQAGLRKGNARERSALNKLNNDGHENRRREQMTNTSDSSSSRDYLDAQRAFPRSRQASEDEASARIASSSAPLSVLFMPSLKEAVGDDSEGSMLRAVRNSLVNMELMKPGSADLLVRSLLQRLSSSKEPSMKDLQELASRLFSKGKAAPEETQNATAEAENRKKQQNKEYNSNANLSPLARFLLSRWQSQVSHPT >Manes.03G106600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23004474:23017143:-1 gene:Manes.03G106600.v8.1 transcript:Manes.03G106600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGLMEAAGTRFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLIQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLGENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLYPMKVLFIIPRENPPQLDEHFSRPIKEFVSLCLKKAPAERPSAKELLKHRFIKNARKSPRLLERIRERPKYQIKDAETPRNGPRAVAQSSGTVKVTRDVGDEGTVRASGQGKPLKNAGWDFSIGGSQSTGTIRSAVRSPQVREKKTEISYNKVTPRPTESGDLLSASGNALEESPEISFGKDARGTHHDEHQDNSHDYEELSVSGSGTVVIRTPRGSQSSTVFRDQSNLSSSTVGSFEDASSSGTVVFRGQHHDSDSPLTPKSILGIQERTSSASLEDSAVNLAEAKAAMQAGLRKGNARERSALNKLNNDGHENRRREQMTNTSDSSSSRDYLDAQRAFPRSRQASEDEASARIASSSAPLSVLFMPSLKEAVGDDSEGSMLRAVRNSLVNMELMKPGSADLLVRSLLQRLSSSKEPSMKDLQELASRLFSKGKAAPEETQNATAEAENRKKQQNKEYNSNANLSPLARFLLSRWQSQVSHPT >Manes.03G106600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23004506:23017147:-1 gene:Manes.03G106600.v8.1 transcript:Manes.03G106600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGLMEAAGTRFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLIQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLGENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLYPMKVLFIIPRENPPQLDEHFSRPIKEFVSLCLKKAPAERPSAKELLKHRFIKNARKSPRLLERIRERPKYQIKDAETPRNGPRAVAQSSGTVKVTRDVGDEGTVRASGQGKPLKNAGWDFSIGGSQSTGTIRSAVRSPQVREKKTEISYNKVTPRPTESGDLLSASGNALEESPEISFGKDARGTHHDEHQDNSHDYEELSVSGSGTVVIRTPRGSQSSTVFRDQSNLSSSTVGSFEDASSSGTVVFRGQHHDSDSPLTPKSILGIQERTSSASLEDSAVNLAEAKAAMQAGLRKGNARERSALNKLNNDGHENRRREQMTNTSDSSSSRDYLDAQRAFPRSRQASEDEASARIASSSAPLSVLFMPSLKEAVGDDSEGSMLRAVRNSLVNMELMKPGSADLLVRSLLQRLSSSKEPSMKDLQELASRLFSKGKAAPEETQNATAEAENRKKQQNKEYNSNANLSPLARFLLSRWQSQVSHPT >Manes.03G106600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23004478:23017144:-1 gene:Manes.03G106600.v8.1 transcript:Manes.03G106600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLIFRFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLNQTKLWIIMEYMAGGSVADLIQSGPPLDEMSIACILRDLLHAVEYLHNEGKIHRDIKAANILLGENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADLYPMKVLFIIPRENPPQLDEHFSRPIKEFVSLCLKKAPAERPSAKELLKHRFIKNARKSPRLLERIRERPKYQIKDAETPRNGPRAVAQSSGTVKVTRDVGDEGTVRASGQGKPLKNAGWDFSIGGSQSTGTIRSAVRSPQVREKKTEISYNKVTPRPTESGDLLSASGNALEESPEISFGKDARGTHHDEHQDNSHDYEELSVSGSGTVVIRTPRGSQSSTVFRDQSNLSSSTVGSFEDASSSGTVVFRGQHHDSDSPLTPKSILGIQERTSSASLEDSAVNLAEAKAAMQAGLRKGNARERSALNKLNNDGHENRRREQMTNTSDSSSSRDYLDAQRAFPRSRQASEDEASARIASSSAPLSVLFMPSLKEAVGDDSEGSMLRAVRNSLVNMELMKPGSADLLVRSLLQRLSSSKEPSMKDLQELASRLFSKGKAAPEETQNATAEAENRKKQQNKEYNSNANLSPLARFLLSRWQSQVSHPT >Manes.14G161200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23511638:23514088:-1 gene:Manes.14G161200.v8.1 transcript:Manes.14G161200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYEQQQVQNQMMLSMDMRNNKVIDGRDPTDEERLEIVDLSGMSLDSLPNPSLNLATICKLNLSNNNLQNIPESLTARLLNVVVLDVHSNQLKSLPNSIGCLTKLKVLNAAGNLLRFLPKTIENCRCLEELNANFNKLSMLPDNIGYELLNLKKLSVNSNKLMFLPNSISHLTSLKILDARLNNLRSLPGDLENLINLQYLNVSQNFQHLETLPYSIGLLFSLVELDVSYNRITSLPDSIGCLRKLQKLRVEGNPLVSPPIEVVEHGVHMVKEYLSEKMNSGHRSSIKKKSWVGKLVKYGTLNGSLRNHNYSSEETEGFIMSEYRSIDGLTSPRYTGMFSPRHRFFSHKRIFTR >Manes.02G078351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6031394:6032791:-1 gene:Manes.02G078351.v8.1 transcript:Manes.02G078351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREGSKGSKEREKEKEKGEEELSWPNRKTGRCNQNHFDNFLENLNTSKTGAVILLPLENLALSALRLPKLSQPFSLTKIWDRDLHDFIPFIYKINFYFYF >Manes.09G039700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7301496:7305399:1 gene:Manes.09G039700.v8.1 transcript:Manes.09G039700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTHKSLLIVLPKSLLEPNICNCNSNHLYHFLQYRSIVKVRLKWVKNRSLDHIIDTETELKAACLLKDAVKRSPTGFLTAKSVADWQKLLGLTVPVLRFLRRYPTLFSEFPHARYANLPCFRLTDTALMLDSQEQNIHQNYESDTVERLCRVLMMTKSRTVPLQSLHPLKWDLGLPDNFEKILIPKYPNYFQFVKALNGISCLRLVQWKEEFAVSALQRSNESKEMGNKYEQFKRGQTTLAFPMRFPRGYGAQKKVRAWMEEFQKLPYISPYEDSRLIDPNSELMEKRVVGVLHELLSLTIHKKTKRNYLRSLREQLILPHKFTRLFTRYPGIFYLSLKCKTTTVALREGYQRGKLVNPHPLASLREKFYHVMRTGLVYRNKGADMIPEDILLNDVENENGPNESEEESEAGDDYYVDNSEIEEGSDEE >Manes.09G039700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7301560:7308826:1 gene:Manes.09G039700.v8.1 transcript:Manes.09G039700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTHKSLLIVLPKSLLEPNICNCNSNHLYHFLQYRSIVKVRLKWVKNRSLDHIIDTETELKAACLLKDAVKRSPTGFLTAKSVADWQKLLGLTVPVLRFLRRYPTLFSEFPHARYANLPCFRLTDTALMLDSQEQNIHQNYESDTVERLCRVLMMTKSRTVPLQSLHPLKWDLGLPDNFEKILIPKYPNYFQFVKALNGISCLRLVQWKEEFAVSALQRSNESKEMGNKYEQFKRGQTTLAFPMRFPRGYGAQKKVRAWMEEFQKLPYISPYEDSRLIDPNSELMEKRVVGVLHELLSLTIHKKTKRNYLRSLREQLILPHKFTRLFTRYPGIFYLSLKCKTTTVALREGYQRGKLVNPHPLASLREKFYHVMRTGLVYRNKGADMIPEDILLNDVENENGPNESEEESEAGDDYYVDNSEIEEGSDEE >Manes.11G134400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29970132:29972492:1 gene:Manes.11G134400.v8.1 transcript:Manes.11G134400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSFSKSYLFVYNSLQAFGWTIACFRVFSSFVSTHSLNGAYASAGELIFFLQIVAFLEVIHGALGIVPSGVLFPFMQWAGRTHFLFFVHNLVEVQELPSIFITFLAWCLSEVIRYPHYALNSIGNCPSWITYLRYTTFIVIYPIGLAPGEMWLMYQGLPFAKKNNLYAGFFAALPFSYYDFVRVCLTSSKICLVAYHF >Manes.11G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29970132:29974554:1 gene:Manes.11G134400.v8.1 transcript:Manes.11G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSFSKSYLFVYNSLQAFGWTIACFRVFSSFVSTHSLNGAYASAGELIFFLQIVAFLEVIHGALGIVPSGVLFPFMQWAGRTHFLFFVHNLVEVQELPSIFITFLAWCLSEVIRYPHYALNSIGNCPSWITYLRYTTFIVIYPIGLAPGEMWLMYQGLPFAKKNNLYAGFFAALPFSYYDFVRVGLVFYPFLWFNLYLHLLKQRRSKLGKHHEKKK >Manes.10G123300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28946481:28948329:1 gene:Manes.10G123300.v8.1 transcript:Manes.10G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWVFQQSLSADNSYGAPSSATTVSSSFPDYTQHNQADSSSSGESHRFKTSNADHPSPALSSSCSSSSPIGGGSLALLTEFPSVFPVHSQELFHLLVAFAEAAEENNFVLGVFLFNQIRSSANSQVGAVSKIAVLFANALSFKMFRIGPPSSWYCSTRLENQLYEECPYVKLAHFTVNQAILEAFAGKTRVHIIDFSIRQGTQWLALMQAFALRPGGPPKFRLTGIGPRVSSDFDHLQEVGWKLAQVAETLDIEFEYRGFVAQSLDDLDANMLELRPTESESIAVNSIFELHKLLGKPGAIDKVLSMVKQMKPEIFTIAEQEADTNDPIFLNRFINCLHHYLALFESLQGSTITQDERTSDLYFGLQIRDILAYEEWNRIERYEKLTGWRARLGSAGFEPTHTGSNALKEARTLLCLLAGDNSKGYTLEENNGCLMVGWNNLPLIATSAWRPGI >Manes.05G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1880343:1881709:1 gene:Manes.05G020800.v8.1 transcript:Manes.05G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLHRGPWTPREDTLLIKYIQSRGEGNWRSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPDEDDLIIRMHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLSKRLRSQGTDPNTHKKLAETVQQQGKKKKKNNNNTSRNSKNKNNKAKVELEKAKVIHLPRPVRFSSLSLLRNDSFECNTSLSSCQGGEGEGEGGLSAEAVTENPWCSFKYDHDDGISFLVGDVDHDIVNGPDLGCVPASNANLEKLYEEYLQLLKTDDDHGKLDYSFAESLSL >Manes.16G090800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29711198:29713893:-1 gene:Manes.16G090800.v8.1 transcript:Manes.16G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYFKFLSAKEYDSISVDASVISVDDLKRKIFEYKYKSKKKTDSNGLCLGTDLNLVVINAQTNDCYVDDMMIPNNTSVLIRRVPGTRRRKPYDTATVVVIEKQQPLSVSCRTGSSSSNTSSKTVVTASSRSNPENPCVSSVSASTLTSLSSKRCSEESSFAYDDGFGDDVYVIPRTKPVQSSMSSVDAESDEDSKIKALVNTPALDWQLEGCNAAGSGTRGVNGGGFGRMYGHGFGGLGKKTPPEGYICHRCNVPGHFIQHCPTNGDPNYDMKRVRLPTGIPKSMLMPNPDGSYALPSGATAVLRPNDGSFEKEIVGCVPLKRSWSVSDLPPELLCSLCKQVMKDAVLTSKCCFKSFCDKCIRDHLIISKLKCVCGTTNVLADYLIPNMTLRDTINRFSESCSGNSSIGENAKSNSSQVSNRESANWSQDQMSKTKLPAESFHDEQKTTLDNLEDGANKRKLLDAPSQMTKKARTTGVSEGAIGSTRMNDTASQSQGSVLVFSSEGGKGNKEKEVVEDKVQQKLVSVKGGKKRRGKKNYDEECEGYLMPVGSYAYNPYWAGVQVGMEGYVAPCYAGGAMNYGLSPFGTAFNGVMNGACFSMQQMGRRQ >Manes.01G030900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6136476:6142012:1 gene:Manes.01G030900.v8.1 transcript:Manes.01G030900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSRNAYNFGCFSFLHYISFLCFCLLPLLSPPFAHLLSSLFSSHSSSMETMLSICASISSPNPKSTCSFNPTSHLLRNHQKDRRFLYLKYKANGYSHLSVSSSFPIRYSKGEVDESQSLTLDNIRHSLIEQEDSIIFSLLLRAQYDYNADTYDPDAFSMKGFQGSMVEYIVRETEKVHAQMGRYRSPDEHPYFPEDLPKSTFPCLQYPQILHPCAGSININKKVWAVYFRDLLPRLVKAGDDGNCGSAAVCDTMCLQAMSRRIHYGKFVAEAKFLQSPAEYEAAIRQQAR >Manes.15G013150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1122488:1123705:-1 gene:Manes.15G013150.v8.1 transcript:Manes.15G013150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFENDWSNLCSHKKLISNNFWKKLASSKGSYSSMFSKRASRSQYRNKFHFLVKAGRKILEN >Manes.10G088310.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22551005:22552004:-1 gene:Manes.10G088310.v8.1 transcript:Manes.10G088310.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFETSLYSTSPTMAECPSTSSKRIRLSFLQDSFTVKPNWSELLPELLHLILLKLSLVQITRCMAACSSWRSIAESYSLPQTPWLLLPAGNEQHHVDIRCFFSLEDNKAYQIKNIDKLFGDDAWCIGSFHGWLVLLDDDAKPFLLNPSSQVRIQLPAI >Manes.17G067400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26781711:26782624:-1 gene:Manes.17G067400.v8.1 transcript:Manes.17G067400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSEINLTNLTSAWLPVAAAVFLVLHNRSKSEGLVGGLAQMQPSSLHSNFFSSLRQVEKRLKLESPTQPFSLSPPPPLPPAYLRVNELSTESLSTPIYLHVDQEPNTNNSTPLQESSEPPPAFLSSSLHSSSASQNPHHEIPQEQLKTIYGTETNGVDEIELLMQLLGLSDIEQRNHEKEEEKERSCDDSCRCEGGFYDKIVGAKGPKCKKEVERFEGWIKYYLQNCGGEEKREPLRVAFLLLGKAAFQYEDGDGASFGGLEFPSTIEEFLKYDPPRESK >Manes.06G034615.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10088290:10089757:1 gene:Manes.06G034615.v8.1 transcript:Manes.06G034615.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASIIIALVCILTAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.01G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31198448:31202109:-1 gene:Manes.01G114500.v8.1 transcript:Manes.01G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEGVKEQEQVDGSSMPPSKEEEEVVKKKYGGIMPKKPPLISKDNERAYFDSADWALGKHGGEKPKGPLEALRPKLQPTQQQTRYRKSPYAPADGEDTGSSPSEDAPANE >Manes.15G060900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4648032:4648319:1 gene:Manes.15G060900.v8.1 transcript:Manes.15G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQEKIIQYKYHIFFSLIISLVLATLIFLAPSFVTILAYFWPLFLSTALFLVAVVFFGKTSPPATESSGDKAGEGLLDYVAGQSEQVVENFTPE >Manes.10G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1449239:1452671:-1 gene:Manes.10G014100.v8.1 transcript:Manes.10G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSLTEMGSNGENAIRLEEKKKREQELLSHIIQEAGEYKVEFYRKREITCENNKAANREKEKIFVSNQEKFHAEANKNYWKAIAELIPNEVAAIEKKREKKDQERKPGIIVIRGPKPGKPTELSRMYQILTKLKHDTPPHLNFSPPAAPAATASVQAADVVTIPEAVSVA >Manes.08G165900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40039632:40049444:-1 gene:Manes.08G165900.v8.1 transcript:Manes.08G165900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRQFLASYYDKYPFAPLSDDVSRLSSEIRSLASGLLKDFPPSQVERLLVEEADRQPVHKIDENMWKNREHMEEILFLLDHSRWPSALRQPSTPEDSELATDLSNIRDKFHKTLKTLESFQVKNSERIFNTVMTYMPQDFRGTLIRQQRERSEKNKQAEVDALVNSGGSIRDRYALLWKQQMDRRRQLAQLGCATGVYKTLVKYLVGVPQVLLDFIRTINDDDGPMEEQRQRYGPPLYSLTALVLSIRLFITLSWRRFEASKLNEHQVAMLKQAVDVYSHEFERFINFISEVFANSPFFISAEVAGALDARTNDEFKETSVPAGQTHEVSLLVDSMNSYIAWDFSLIQGKMNMDIGFSVECVDPSGQKTLILPYRRCEADQGNFCTCMAGTYKLIWDNSYSAFFKKVIRYKVDCIPPVVEPMQSANDA >Manes.05G073400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6048244:6051801:-1 gene:Manes.05G073400.v8.1 transcript:Manes.05G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLPSFAISRCCYSCGAVESSKLTLTTRYTSGKKMELGFGRTDVEKRLLVGEVAQNVRSTLHWNHNSGVFAAARVPVQETEQILSTITEVDELQKVSSYLFRTQIGGNVKVSVRKKNAKYAVYIEVSSLELGNSDYRLVLAWGIYRSDSSCFMPLDSQRLDPVARTMETPFVQNAFAIFSLELEFEAKQTPFSLSFLLKSMFNTDSSGSEIRNHKKANFSVPIGFSSGYPDPLGLSFSTDGSMNFAFFSRNAEGVVLCLYDDSTTDKPALELDLDPYVNRSGDVWHASLEGACTFSSYGYRCMGGILQGETGKDYVERVLLDPYARIIVNFTADHGSHSSLKYLGRLCKEPAFEWSDEVYPNLDMEKLVVYRLNVKRFTEHKSSQLYSDIAGTFAGLTEKLNHIKNLGVNAVLLEPIFPFDEEKGPFFPRHFFSPSNIYGPSGGSISAITSMKEMVKQFHANGIEVLLEVVFTHTAEGGSLQGIDDFSYYYANRAVELESRNALNCNYPIVQRMILDSLRHWVTEYHIDGFCFINASFLQRGFHGEILSRPPLVEAIAFDPLLSKTKIIADCWDPEDVIPKDTCFPHWKRWAEMNAKFCFDVRNFLRGESLLSDLATRLCGSGDIFSSGRGPAFSFNYVARNSGLPLVDLVSFSSSELASELSWNCGEEGATNKTPVLERRLKQIRNYLFILYVSLGVPVLNMGDECGQSSNGSTSYGDRKPFDWNALSMGFGIQMTRFISFMSSLRRRRSDVLQKRNFMKEENIDWHGSGQSPPRWEDRSCKFLAMTLKTEKTENKLSPESSNIKGDLFMAFNAYPHSESVILPPVPEGMTWHRLVDTSLPFPGFFSEDGEPVFEQMAGLIAYEMKSHSCTLFEATSLGG >Manes.18G078950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7245467:7256224:1 gene:Manes.18G078950.v8.1 transcript:Manes.18G078950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVEEEEEKAVFDAEAAGALVKELRGTFSSGKTRSYEWRVSQLKSLLKLCDENENEIAGALRQDLSKPELETIVYEVGMLKNSCRVALKELKHWIAPEKAKTSLTSFPSSAQILPEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEIAPATSSLLSKLLLKYLDSSAIRVIEGAVAETTALLEQKWDKIFYTGNGRVGRIVMAAAAKHLTPVLLELGGKSPVVIDAGINLQVATRRIIAGKWGCNNGQACISPDYIITTNDYAPKLVDTLKTELERFYGKTPLESKDLSRIVNSNHFARLTKLLDEDKVSGKIVYGGARDKENLKIAPTILLDVPRDSLIMNEEIFGPLLPIILVNKIEESFDLINSGTKPLAAYLFSNNKKLKEQFVMSVSAGGLLINDTALHLAVETLPFGGVGESGMGAYHGKFSFDAFTHKKAVLYRSLVGDAVIRYPPYTTGKMRLMKALIGGGIWNIIRALFGWGKV >Manes.16G060576.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19002048:19005272:1 gene:Manes.16G060576.v8.1 transcript:Manes.16G060576.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGANHGYELLWIIVIGLVFALIIQSLAANLGVSTEKHLAELCKVEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVLVIQEKSGRFRGTGVWKIPTGVVDEGEDIFKAAMREVKEETGDMGHLLASRRMARKLSLWFR >Manes.12G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6275488:6282723:-1 gene:Manes.12G061400.v8.1 transcript:Manes.12G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSKRSVSAILRAGGSPCRRSVAAAPLSCSSHLADSAVDGDNRVRWYSAVTSVKLVPNKAGASTQLNLKNGLFLGNRYESTAAESDASSPPPPVGEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLGVTEPELLKDAADLDIRIQTDKDNGIVTITDSGIGMTRQELIDCLGTIAQSGTAKFLKALKDSKDAGADNNLIGQFGVGFYSAFLVSDRVVVSTKSPKSDKQYVWEGEANASSYTIREETDPEKIIPRGTRLTLYLKHDDKGFANPERIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEEPTEAKKDEQDNTTEKKKKTKTVVERYWDWELTNETQPLWLRNPKEVSTEEYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSVIYVPAVAPTGKDDIVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISLSENREDYEKFWENCGKYLKLGCIEDRENHKRIAPLLRFFSSQSEEEMISLDEYVENMKPDQKAIYYIASDSVTSAKNTPFLERLIEKDIEVLFLVDPIDEVAIQNLKSYKEKDFVDISKEDLDLGDKNEEKEKEMKQEFGQTCDWIKKRLGDKVASVQISNRLSSSPCVLASGKFGWSANMERLMKSQTVGDVSSLEFMRGRRVFEINPEHAIIKSLHEACRINPDDEDALKAIDLLYDAALVSSGFTPENPAQLGGKIYEMMRIAISGKWSTPASRQAHIPETLEAEVVEPVEAGSHK >Manes.06G121500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25244607:25246496:1 gene:Manes.06G121500.v8.1 transcript:Manes.06G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLWTLLTHVHAVSGPVLMLLYPLYASVMAIESPSKLDDEQWLAYWIIYSFLTLTEMILQSILEWIPIWYTLKLALVAWLVLPQFRGAAFIYERFVRENIKKYTGARDHQHHSHQSSPNGSGKAKKKFVQFITPKKGEQEPY >Manes.14G084000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6949939:6956847:-1 gene:Manes.14G084000.v8.1 transcript:Manes.14G084000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSTIDVDELLQIGTRCRDLRKEKEMLNGSQSHSFELIRRLELHVKSLLEARAEDRKLIQKLERELLNCSQQIDYLQDQINARNAEVYSLGEHVHDLELKLADMDNLQVKVGQLQGEIERSDTECFLLTQELKSKELELQKSVLCIEKLEESISSLTLDSQCEIESMKLDMMALEQACFEARRDQKETTLEKATMDKLIKEQEIRVYDAEEIIDCLEKENKELREKFVTSEMHARQFLQKIEEWQEKEDKSQLNIQHQLSESESKNMLREKSACGELLGQLFSKLAIVLAPESSLKKKMERMSHQITEYEVLVKQLKEDLREEKLKAKEEADDLAQEMAELRYQMTSLLEEECKRRACIEHASLQRIAELEAQIQKEQRPFCGITKFHEA >Manes.12G092100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17879727:17883191:1 gene:Manes.12G092100.v8.1 transcript:Manes.12G092100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVTTDDAKAFAEKENTFFMETSALESLNVDNAFTEVLTQIYRVVSRKALDIGDDPTSLPKGQTINVGKDDVSAMKKDGCCSA >Manes.14G136884.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11952121:11953527:1 gene:Manes.14G136884.v8.1 transcript:Manes.14G136884.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSIPSCHNLRVMDISYNHLSGKIPNWIRNMSSLQILDLSQNNISGSLPSNFCPRDLTEVHLSKNMLQGLLKDSFYNCPSLVVLDLSHNNLIGRIPKWIGEIPLGYILLSHNHFEGEIPIQLCKLDKLSLIDLSYNNLSGHIPHCLRCSSNYWYRQQEALLDPPTTALAPSVAYSPDVQPEQPVEFTTKNSSYFYQPSILHYFSGIDLSCNNLTGEIPPELGKLDMIKVLNLSHNKLIGAIPPTFSNLRQIESLDLSYNNLQGKIPSQLTQLYSLAVFNVAHNNLSGKTPERVAQFATFDQSSYEGNPLLCGLPLPKSCNNTSPSPPVTPTEEKEDNGFMDMGVFYVSFVVSYIMVLLAIAAVLYINPYWRRRWFYFIETSLTNCYYFLVDNIPLLSKLGVS >Manes.02G036100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3006972:3008309:1 gene:Manes.02G036100.v8.1 transcript:Manes.02G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKSHFFLLLCCFLFISINSTFSLESETDCTGRWIHIRRLPSRFNLDLLTNCSQYPLFDNFCPYLTNHGLGPKTHNRSQSWYRSDPLLLEVIFHRRMLEYPCLTADPNLANAVYLPYYAAIDALRYLYGPDVNTSMEHGLELFNFLQENDARIWARRKGIDHFLVMARPAWDFSQSLAVDPPVWGTSFLELKEFYNVTALVVEGRAWPWQEQAVPYLTSFHPPTLALFDSWIKRVKSSRRTTLMLFAGGGGAGAGLNIRRSIRHECENNSHSASFLASGGYSSVYYTKVCDLVDCSNGICEHDPIRYMRPMLQATFCLQPPGDTPTRRSTFDAIVAGCIPVFFEEQSAKMQYRWHLPEETYDDFAVFIAKEEVVYKGLKILDVLMGIPRDQVRRMREKVIELMPRVVYRKHGSSLGLRTKKDAFDIAIEGALERINSRLKSGRF >Manes.04G154800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35008478:35012473:1 gene:Manes.04G154800.v8.1 transcript:Manes.04G154800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKSFQVSKEKLGFDSQRFTTVLIVCLIALPVSLMVSMMYRNSTFQSSLVPGENAKKSMASGVESEIHSPESPIFPTGKLLNGSLEPGINQESDSRNATSPGPEPTSKNTDKLLNGTSNPGLGKESGSRNDSSQVQPPRVGDDKLLQGLVPPGIFDEGSCLSRYQSMLYRQPSSNKPSSYLLSKLRNYEDLHKRCGPYSKAYNRAVRRLGARSTISRSSRGCKYIVWIPANGLGNRMLSMAATFLYALLTNRVLLVDHGDDMADLFCEPFPNSSWLLPRNFPLKQLGVLETRRAHSYGNMLKTGIVNMSNDQSLPSHLYLNLDHSDYDLDKKLFYCDESEAPLRKVTWLILLSDQYFSSYFFMSPAYKEEVSKLFPEKNTVFQYLGSYLFNPSNQAWGLITRFYDAYLAKADERIGLQIRVYAKTTPFQTVIDQIFACSLKENILPDIETQKSVPSHSQSKNKTSKAILITSLYSEFYANLSNMYWTRPTTTDEVIGVFQPSHEEYQHFGNNIHNMKAWAEIYLLSLSDVLVTSAWSTFGYVAQGLGGLKPWILHKTDDNKIPKPPCVRDLSMEPCFHFPPTYDCQAKVKTDAGSLFPYLRHCVDFNHGVKLVTEI >Manes.04G154800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35008478:35012473:1 gene:Manes.04G154800.v8.1 transcript:Manes.04G154800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKSFQVSKEKLGFDSQRFTTVLIVCLIALPVSLMVSMMYRNSTFQSSLVPGENAKKSMASGVESEIHSPESPIFPTGKLLNGSLEPGINQESDSRNATSPGPEPTSKNTDKLLNGTSNPGLGKESGSRNDSSQVQPPRVGDDKLLQGLVPPGIFDEGSCLSRYQSMLYRQPSSNKPSSYLLSKLRNYEDLHKRCGPYSKAYNRAVRRLGARSTISRSSRGCKYIVWIPANGLGNRMLSMAATFLYALLTNRVLLVDHGDDMADLFCEPFPNSSWLLPRNFPLKQLGVLETRRAHSYGNMLKTGIVNMSNDQSLPSHLYLNLDHSDYDLDKKLFYCDESEAPLRKVTWLILLSDQYFSSYFFMSPAYKEEVSKLFPEKNTVFQYLGSYLFNPSNQAWGLITRFYDAYLAKADERIGLQIRVYAKTTPFQTVIDQIFACSLKENILPDIETQKSVPSHSQSKNKTSKAILITSLYSEFYANLSNMYWTRPTTTDEVIGVFQPSHEEYQHFGNNIHNMKAWAEIYLLSLSDVLVTSAWSTFGYVAQGLGGLKPWILHKTDDNKIPKPPCVRDLSMEPCFHFPPTYDCQAKVKTDAGSLFPYLRHCVDFNHGVKLVTEI >Manes.04G154800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35008389:35012473:1 gene:Manes.04G154800.v8.1 transcript:Manes.04G154800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKSFQSSLVPGENAKKSMASGVESEIHSPESPIFPTGKLLNGSLEPGINQESDSRNATSPGPEPTSKNTDKLLNGTSNPGLGKESGSRNDSSQVQPPRVGDDKLLQGLVPPGIFDEGSCLSRYQSMLYRQPSSNKPSSYLLSKLRNYEDLHKRCGPYSKAYNRAVRRLGARSTISRSSRGCKYIVWIPANGLGNRMLSMAATFLYALLTNRVLLVDHGDDMADLFCEPFPNSSWLLPRNFPLKQLGVLETRRAHSYGNMLKTGIVNMSNDQSLPSHLYLNLDHSDYDLDKKLFYCDESEAPLRKVTWLILLSDQYFSSYFFMSPAYKEEVSKLFPEKNTVFQYLGSYLFNPSNQAWGLITRFYDAYLAKADERIGLQIRVYAKTTPFQTVIDQIFACSLKENILPDIETQKSVPSHSQSKNKTSKAILITSLYSEFYANLSNMYWTRPTTTDEVIGVFQPSHEEYQHFGNNIHNMKAWAEIYLLSLSDVLVTSAWSTFGYVAQGLGGLKPWILHKTDDNKIPKPPCVRDLSMEPCFHFPPTYDCQAKVKTDAGSLFPYLRHCVDFNHGVKLVTEI >Manes.04G154800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35009318:35012473:1 gene:Manes.04G154800.v8.1 transcript:Manes.04G154800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMKSFQVSKEKLGFDSQRFTTVLIVCLIALPVSLMVSMMYRNSTFQSSLVPGENAKKSMASGVESEIHSPESPIFPTGKLLNGSLEPGINQESDSRNATSPGPEPTSKNTDKLLNGTSNPGLGKESGSRNDSSQVQPPRVGDDKLLQGLVPPGIFDEGSCLSRYQSMLYRQPSSNKPSSYLLSKLRNYEDLHKRCGPYSKAYNRAVRRLGARSTISRSSRGCKYIVWIPANGLGNRMLSMAATFLYALLTNRVLLVDHGDDMADLFCEPFPNSSWLLPRNFPLKQLGVLETRRAHSYGNMLKTGIVNMSNDQSLPSHLYLNLDHSDYDLDKKLFYCDESEAPLRKVTWLILLSDQYFSSYFFMSPAYKEEVSKLFPEKNTVFQYLGSYLFNPSNQAWGLITRFYDAYLAKADERIGLQIRVYAKTTPFQTVIDQIFACSLKENILPDIETQKSVPSHSQSKNKTSKAILITSLYSEFYANLSNMYWTRPTTTDEVIGVFQPSHEEYQHFGNNIHNMKAWAEIYLLSLSDVLVTSAWSTFGYVAQGLGGLKPWILHKTDDNKIPKPPCVRDLSMEPCFHFPPTYDCQAKVKTDAGSLFPYLRHCVDFNHGVKLVTEI >Manes.14G149700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16779009:16779922:1 gene:Manes.14G149700.v8.1 transcript:Manes.14G149700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGGRRANVFDPFSLDFWDPFYGFPFPSTSLSVSAPRSESANETSAFAKARIDWKETPEAHVFKADLPGIKKEEVKVEVEEGRVLQISGERSKEKEEKNDKWHRVERSRGKFLRRFRLPENAKVDEVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Manes.10G002000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:481790:494725:1 gene:Manes.10G002000.v8.1 transcript:Manes.10G002000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKGVVGGSGTGLKDLPYNVGEPYPTAWGSWTHHRGTSKDDGSPVSIFSLSGSNAQDGHLAAGRNGVKRLRTVRHPNILSFLYSTEVETFDGSTSRITLYMVTEPVMPLSDKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVSLASVVVTPTLDWKLHAFDVLSEFDGNSESATGPMLQYEWLVGLQYKPMELAKSDWVAIRKSPPWAIDSWGLGCFIYELFSGTKLGKTEELRNTGSIPKSLLQDYQRLLSSMPSRRMNTSKLLENSEYFQNKLVDTIHFMEIFTLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTAFLKMGSWLPAEEFNVKVLPTIVKLFASNDRAVRVSLLQHIDQFGESLSAQVVDEQVYPHIATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGIMALCATSSYYDINEIATRILPNVVVLTIDADSDVRSKAFKAVDQFLQILKQYYEKTTAGDTSAAGTVGISSIPGNASLLGWAMSSLTLKGKPSEQASLPPINSGAPLSSTASNASSAMDAPSTAPARVNSSTDLADQPVPVSPTSTDGWGEIENGIHEEIDSEKDGWDDIEPLEEPKASQALANIQAAQKQPVSQPKPEAATLRPKNTVKATKDDDDLWGSIAAPAPRSTSKPLNVKAASTLDDDDPWAAIAAPPPTTRARPLAAGRGRGAKLAAPKLGAQRINRTSSGM >Manes.10G111201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26262191:26262708:1 gene:Manes.10G111201.v8.1 transcript:Manes.10G111201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFLVLILGGSISCSIGVELESLPYREGRKQEIACQLAGKANIRTTASFLACGDVKINQKKAKENLRKYETLQPSASQQIVVLRYNQIIMRRLLAKGPSTGKGPDPCSHVVGSVSCTGGHG >Manes.02G064950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4944041:4945971:-1 gene:Manes.02G064950.v8.1 transcript:Manes.02G064950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLSIDQTKEALATQGCLLNLCFSRQQPQDLLDIILNVTTLLKERDISKKNARDFKFICIHVDPSIGWSASIYHFCSANPWALYFPCQKSTVLPCILLQFFFFLFFP >Manes.08G053050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5700187:5709856:-1 gene:Manes.08G053050.v8.1 transcript:Manes.08G053050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCARAELHDILTSRKAEEAAWRRFQAAAWLESLVGPLGISSHPTEKEFVSRLRNGLILCNAINKVHPGAVPKVVENCTPLQSLNRESQPLPAYQYFENVRNFLVAVEELKLPAFEASDIERDALEAGSAAKVVDCILALKFYHECKQMNGGNGFTKPIRSPMVMRPAGMNNSGSLSSDSCRCLDMSAASEMPADDIQKLSDLIVKLLIEHMTDTKENIHDNVLTFFRTGNMDMEKLVCRIMASCFEDKLQNNSPELHSMFKMFLKEKSGSQDNPTSVPLKESSALGDSECCRACLRKGDCKHRHLFQMQEEELVDLKALLTNIKTEFEDLQSKFQTDLRELGHQVQEISTAAQGYHSILKENRNLYNLVQDLKGNIRVYCRIRPAIAGEKSNAIDFIGKDGSLVILDPLKPKKDGRKMFQFNRVFGPTATQDEVYNDIQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTKDMGINYLALSNLFQMSRERKEIISYDIQVQMVEIYNEQVRDLLAEDSSTTKYPFTSLEIRSCSGDNGLSLPDAKMYSVTSTTDVLNLMKLGETNRVVSSTAINNRSSRSHGILTVHVHGKDTSGSTLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNSHVPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAARANKESGEIMQLKEQVDNLKKLLASKEQRPPCEKPKALTEQTPPRMRRLSIEKGSNMNSQTVNPVDSKRSKTPSAPNRFRRLSLEGPKYSKNDHFQTKVAPDDISKPLYPEAVTLHNYGQSQDPEAMSKMNGHSGIGSSMMELYRLSTPRSPTSSSQKKHLVKTPSMTQIPLQLPTTPESQARNTVQITMQNELALSTDFQTSKLTSSGNGKGSQIRKSLRTIGKLINGSEKRNQRHSNEVLSPVNVTSSSNRDVKSPLSANARAMRKQSLTGVQASGFDRSRRSSLGGKPVDSAHTDESRRHARTPPPVHSSKRTTKLLL >Manes.08G053050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5700187:5709856:-1 gene:Manes.08G053050.v8.1 transcript:Manes.08G053050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCARAELHDILTSRKAEEAAWRRFQAAAWLESLVGPLGISSHPTEKEFVSRLRNGLILCNAINKVHPGAVPKVVENCTPLQSLNRESQPLPAYQYFENVRNFLVAVEELKLPAFEASDIERDALEAGSAAKVVDCILALKFYHECKQMNGGNGFTKPIRSPMVMRPAGMNNSGSLSSDSCRCLDMSAASEMPADDIQKLSDLIVKLLIEHMTDTKENIHDNVLTFFRTGNMDMEKLVCRIMASCFEDKLQNNSPELHSMFKMFLKEKSGSQDNPTSVPLKESSALGDSECCRACLRKGDCKHRHLFQMQEEELVDLKALLTNIKTEFEDLQSKFQTDLRELGHQVQEISTAAQGYHSILKENRNLYNLVQDLKGNIRVYCRIRPAIAGEKSNAIDFIGKDGSLVILDPLKPKKDGRKMFQFNRVFGPTATQDEVYNDIQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTKDMGINYLALSNLFQMSRERKEIISYDIQVQMVEIYNEQVRDLLAEDSSTTKYPFTSLEIRSCSGDNGLSLPDAKMYSVTSTTDVLNLMKLGETNRVVSSTAINNRSSRSHGILTVHVHGKDTSGSTLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNSHVPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAARANKESGEIMQLKEQVDNLKKLLASKEQRPPCEKPKALTEQTPPRMRRLSIEKGSNMNSQTVNPVDSKRSKTPSAPNRFRRLSLEGPKYSKNDHFQTKVAPDDISKPLYPEAVTLHNYGQSQDPEAMSKMNGHSGIGSSMMELYRLSTPRSPTSSSQKKHLVKTPSMTQIPLQLPTTPESQARNTVQITMQNELALSTDFQTSKLTSSGNGKGSQIRKSLRTIGKLINGSEKSPSIYRNQRHSNEVLSPVNVTSSSNRDVKSPLSANARAMRKQSLTGVQASGFDRSRRSSLGGKPVDSAHTDESRRHARTPPPVHSSKRTTKLLL >Manes.08G053050.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5700187:5709856:-1 gene:Manes.08G053050.v8.1 transcript:Manes.08G053050.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCARAELHDILTSRKAEEAAWRRFQAAAWLESLVGPLGISSHPTEKEFVSRLRNGLILCNAINKVHPGAVPKVVENCTPLQSLNRESQPLPAYQYFENVRNFLVAVEELKLPAFEASDIERDALEAGSAAKVVDCILALKFYHECKQMNGGNGFTKPIRSPMVMRPAGMNNSGSLSSDSCRCLDMSAASEMPADDIQKLSDLIVKLLIEHMTDTKENIHDNVLTFFRTGNMDMEKLVCRIMASCFEDKLQNNSPELHSMFKMFLKEKSGSQDNPTSVPLKESSALGDSECCRACLRKGDCKHRHLFQMQEEELVDLKALLTNIKTEFEDLQSKFQTDLRELGHQVQEISTAAQGYHSILKENRNLYNLVQDLKGNIRVYCRIRPAIAGEKSNAIDFIGKDGSLVILDPLKPKKDGRKMFQFNRVFGPTATQDEVYNDIQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGGSTKDMGINYLALSNLFQMSRERKEIISYDIQVQMVEIYNEQVRDLLAEDSSTTKYPFTSLEIRSCSGDNGLSLPDAKMYSVTSTTDVLNLMKLGETNRVVSSTAINNRSSRSHGILTVHVHGKDTSGSTLRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVITALAQKNSHVPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAARANKESGEIMQLKEQVDNLKKLLASKEQRPPCEKPKALTEQTPPRMRRLSIEKGSNMNSQTVNPVDSKRSKTPSAPNRFRRLSLEGPKYSKNDHFQTKVAPDDISKPLYPEAVTLHNYGQSQDPEAMSKMNGHSGIGSSMMELYRLSTPRSPTSSSQKKHLVKTPSMTQIPLQLPTTPESQARNTVQITMQNELALSTDFQTSKLTSSGNGKGSQIRKSLRTIGKLINGSEKRNQRHSNEVLSPVNVTSSSNRDVKSPLSANARAMRKQSLTGVQASGFDRSRRSSLGGKPVDSAHTDESRRHARTPPPVHSSKRTTKLLL >Manes.12G014300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1390779:1394902:-1 gene:Manes.12G014300.v8.1 transcript:Manes.12G014300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRGIFTLHRATFVQRHSEKLVIGIRSFSTQAATNAGNPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGTDWIVNEVKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERINLERARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKERAERELLEAAA >Manes.12G014300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1391042:1394865:-1 gene:Manes.12G014300.v8.1 transcript:Manes.12G014300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRGIFTLHRATFVQRHSEKLVIGIRSFSTQAATNAGNPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGTDWIVNEVKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERINLERARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKERAERELLEAAA >Manes.10G111001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26244679:26245574:1 gene:Manes.10G111001.v8.1 transcript:Manes.10G111001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTWNCQGVRNPLTIHNLKRMLKIHSPDILCLLKTKNNLGFSGDSFTWNNRRGGNANIRERLDRGLVSPQWLCCYSNASIMPLEDDESDHRSILLNTNSNCPKLKTLFYFDERWLNNNEIEELIKIAWEKQRTSNPNSR >Manes.18G040150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3560709:3561134:1 gene:Manes.18G040150.v8.1 transcript:Manes.18G040150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding INSIFFLFFHFLPFSQQFSFIINLSFISVFVFHFLCFLTYFSFIFFHFLLLFLPLSIIFPYFFFHYSSFAFFSLISILYFFFLSFFSISFIFSFIFLHFFFIFLSFSFFFLIFFNFPLFPYFLFIFIIIFFYFLFFLIFSFV >Manes.17G123800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32965294:32968021:1 gene:Manes.17G123800.v8.1 transcript:Manes.17G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCYYKDLLPLTAMLVTVIIGVGSNILFKAASLKGMSYLVFLFYSNFINTLLMLPVPFLLCRRTVFPLFKFPLLSRICAIGTIGLFCQLIGYKGIQYSSPTMASAMSNLMPAWTFLFAGIFRMEKLDWRSSSTQSKIIGTIVSIMGALMMVLYKGPKLESSTSSKTSPISLHHQHMGSPLSHWLIGGLFLGIQHIGFAFIYILQTQIMQICPSEILLTFACFLYTTIIAAPVCFIAEPELSAWRISPDITMVSILYAGVLGGAFLGIMHLWCLHLKGPVYVAAFSPLTIAVAAAMASAFLGEALHLGSVIGAVLITSGVYSVIWGKAKEEEMREILSSSSTVSPLLKAHGVEESLNKSEC >Manes.18G088900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8182345:8187476:-1 gene:Manes.18G088900.v8.1 transcript:Manes.18G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNAITIFLLLLNISLFPAISALNQEGLTLLSWLSTFNSSSFSSTFFSSWDPSHQTPCKWKYIKCSSNGFVTEIAITSIKLPSGFPAQLLSFNHLTALIISHGNITGEIPPSIGNLSSLITLDLSFNALSGNIPVEIGKLSQLQSLSLNSNLLHGEIPGEIGNCSRLRQLELFDNQLSGKIPPEIGQLLALETFRAGGNQGIHGEIPMQISNCKGILYLGLADTGISGQIPSSLGELKYLKTLSVYTANLTGNIPPEIGNCSALEDLFLYENQLLGKIPEELASLKNLKRLLLWQNNLTGNIPEALGNCSDLKMIDLSMNSLTGVIPASLVKIVALEELLLSYNSISGEIPNFIGDISALKQLELDNNRFSGEIPAAIGQLKELSLFYAWQNQLHGSIPSELANCEKLQALDLSHNLLTGSVPHSLFHLKNVSQLLLLSNGLSGEIPSDISKCIGLIRLRLGSNNFTGRIPPEIGFLRKLSFLELSDNQFTGEIPSEIGNCTQLEMVDLHGNKLEGSIPATLEFLVNLNVLDLSMNRISGAIPENLGKLTSLNKLVIGENHITGFIPNSLGLCKDLQLLDMSSNRLTGPIPEEIGQLQGLDILLNLSRNSLTGPIPGSFGNLSKLANLDISHNKLTGTLAVLGTLDNLVSLDVSYNKFSGFLPDTKFFHDLPTTAYEGNPELCINRNKCPLSGNRHGNHTKNLIICTLLSLTATLLVVLVGVLLFIRIQRATFARKEEDNILEWDFTPFQKLNFSVNDIVTELSDSNIIGKGCSGMVYRVETPLKQVIAVKKLWPVKNGEFPERDWFSAEVRTLGSIRHKNIVRLLGCCNNGKTRLLLFDYISNGSLAGLLHEKKMFVDWDARYNIMLGAAHGLAYLHHDCTPPIVHRDIKANNILVGPQFEAFLADFGLAKLVDSAECSIASNTVAGSYGYIAPEYGYSFRITEKSDVYSYGVVLLEVLTGKEPTDNRIPEGAHIVTWVNKELRERKREFTAIVDQQLLLRSGTQLQEMLQVLGVALLCVNPSPEERPTMKDVTAMLKEIRHQNEDYENTYCNGKAASTNPKAPLHSSSFSRSSEPLIRSPS >Manes.02G220690.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35178742:35180445:-1 gene:Manes.02G220690.v8.1 transcript:Manes.02G220690.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKCVTYQAWYGTCMISLGLCFQYFVGCNLLFLSKLWIASCIKAFNPEPVLPPISGRPEQVEKVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.02G220690.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35178742:35180680:-1 gene:Manes.02G220690.v8.1 transcript:Manes.02G220690.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISGMAFNPEPVLPPISGRPEQVEKVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.02G220690.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35178742:35180445:-1 gene:Manes.02G220690.v8.1 transcript:Manes.02G220690.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKCVTYQAWYGTCMISLGLCFQYFVGCNLLFLSKLWIASCIKAFNPEPVLPPISGRPEQVEKVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.02G220690.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35178742:35180445:-1 gene:Manes.02G220690.v8.1 transcript:Manes.02G220690.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHISGMAFNPEPVLPPISGRPEQVEKVLKTRYHDAMTKLQPQGNELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.02G220690.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35178742:35180533:-1 gene:Manes.02G220690.v8.1 transcript:Manes.02G220690.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKCVTYQAWYGTCMISLGLCFQYFVGCNLLFLSKLWIASCIKAFNPEPVLPPISGRPEQVEKVLKTRYHDAMTKLQPQGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTYFWC >Manes.13G005600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1088799:1089668:-1 gene:Manes.13G005600.v8.1 transcript:Manes.13G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIASKVDAATTNRGGGKAGQMDRTGKDKGGHAKYECPHCKTTAPDLKSMQIHHDARHPKIPFEEDKLANLHATHVADCSKGRPGVRGSFKK >Manes.01G024200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5189208:5190457:1 gene:Manes.01G024200.v8.1 transcript:Manes.01G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKLFGGPEECHSSESGWTMYLGSPIHGGDDDDENDDKHSNKDDDDEDENGGNDKNHYIEDDSDDSMTSDASSGPNNQGSAHYKLQKHVDDNKHLPEKKQENQQKAERGMIKKWDLLQEDHHNKNAPAQSSSKVRKSISLIGKRN >Manes.04G164400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35758010:35758576:-1 gene:Manes.04G164400.v8.1 transcript:Manes.04G164400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCTDTLFTLYFILFPSIFFISQGVFYEELAQGIAIKREEKTTHLHFYFHDIVGGKNSTVVRIAGPPNSSIANFGNTMMMDDPLTEGPEITSKLIGKAQGLYAIAAQNDFSLLMVVNYVFTEGDYNGSSISILGRNHIFDDVREMPVVGGSGAFRLAHGYALAHTVQIDMETGDATVEYNIYVTHF >Manes.09G086600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26135553:26144718:-1 gene:Manes.09G086600.v8.1 transcript:Manes.09G086600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQAGGASQTDQKSACCGS >Manes.09G086600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26136792:26144718:-1 gene:Manes.09G086600.v8.1 transcript:Manes.09G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQAGGASQTDQKSACCGS >Manes.09G086600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26135553:26144508:-1 gene:Manes.09G086600.v8.1 transcript:Manes.09G086600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQAGGASQTDQKSACCGS >Manes.09G086600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26137098:26144508:-1 gene:Manes.09G086600.v8.1 transcript:Manes.09G086600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQAGGASQTDQKSACCGS >Manes.09G086600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26135553:26144718:-1 gene:Manes.09G086600.v8.1 transcript:Manes.09G086600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQAGGASQTDQKSACCGS >Manes.02G224801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37461746:37490987:-1 gene:Manes.02G224801.v8.1 transcript:Manes.02G224801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPEVHPRITVAGETATRWEPVTDQPLSPKDERIVSANPPPDAAIVDVTEQPQPSDAGGDLSVLPPLNAYTSHEQMLSYGSYGNNAGAWDGYPQYLNAADGMHISPVVYNENPSLMFHSGYGFNPEMAYGQYSPVATPLPSIMLEGPLYSTKQIPFSPSFYPQHAHPNVPSSVPVSSSELMMSESNTDNLPFGPGSGYFVQFGSYGGNMSGTPGSGPLTSPTVYPQPMGILGPYEHHMQQVSQQRLPGYGLTSTSSVGRYQHGGSFQSANFGSGSVSYPGVYDRVPLTLDKGRRRERDQDSVYSSNDSFAFDRNRGPRASELKGKMTEQISQSGHGKDYSSSSEFQLDLYNRPDFVSDYENARFFIIKSFSEDNVHKSIKYSVWASTPHGNKKLDVAYREAKESGSNCPVFLLFSVNASGQFCGVAQMVGPVNFEKDADYWQQDRWSGQFPVQWHIIKDVPNSRFRHILLKNNDNKPVTHSRDAQEVKLEQGIEMLKIFKEHVMHTSILDDFGFYDQRERALKERRARQVANSTTDTLSLLADETVSQISNSFAQNLHLEDGRKETSASIANRSTVTDSSAYDPSSRV >Manes.06G034300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9855443:9867885:1 gene:Manes.06G034300.v8.1 transcript:Manes.06G034300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRFLQRSKGSDVMATKQALLLIIVLQYIPRFIRIIPITSEMKRTTGVFAETAWAGAAYYMLLYMLASHIVGAFWYLLAVERQDTCWQKACSDPTTSNCDKNYLYCGNEHMNGYAAWSNISGDVLKNHCEAEENGPFDYGIYENALSSGIASSKKFISKYCYCLWWGLQNLSTLGQGLQTSTYPLEVIFSIALAILGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSMLKEGDFCGEELLTWALDPKSGVNLPSSTRTVKAITEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKNMELRRKEEEEVEADEVRSNASGGSYSIGATFLATRFAANALRGVHRNRNAKTARELVKLQKPPEPDFSAEDAD >Manes.06G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9855443:9867885:1 gene:Manes.06G034300.v8.1 transcript:Manes.06G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGYKSQYLGGQREKFVRLDDLDSRLSSSSDTGVKKCGFGISHSGQGTNTTSRSFKKGIRKGSEGLKSIGRSLRFGVSRAVFPEDLKVSEKKIFDPQDIFLLWCNRLFFISCILAVSVDPLFFYLPVFNDSSHCLEIDRKLAITVTTLRTIIDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPAQIAKRYLRQYFIIDFLSVVPVPQIMVWRFLQRSKGSDVMATKQALLLIIVLQYIPRFIRIIPITSEMKRTTGVFAETAWAGAAYYMLLYMLASHIVGAFWYLLAVERQDTCWQKACSDPTTSNCDKNYLYCGNEHMNGYAAWSNISGDVLKNHCEAEENGPFDYGIYENALSSGIASSKKFISKYCYCLWWGLQNLSTLGQGLQTSTYPLEVIFSIALAILGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFESMDERLLDAICERLKPCLFTENTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSMLKEGDFCGEELLTWALDPKSGVNLPSSTRTVKAITEVEAFALIAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKNMELRRKEEEEVEADEVRSNASGGSYSIGATFLATRFAANALRGVHRNRNAKTARELVKLQKPPEPDFSAEDAD >Manes.05G017100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1591059:1593163:1 gene:Manes.05G017100.v8.1 transcript:Manes.05G017100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESMSISVNGQSRVPPGFRFHPTEEELLHYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNGRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRIEDNINVVDANVSNVLGEAAQEDGWVVCRVFKKKNHHKTLDSPLSSNSINMEARTTEMFKPFNEGVVDRLLQYMGTKSEEEKEANNISSRFLRPTDATINNVYHDRCMKLPSLESPNSSCSQNCYPPMINEGSISNQVSSMDPNSVYPLDSGLTNWAALDRLVASQLNGQTETSRQFGCFNEPNMSYCNPVEQHQPDLQFPTVRPSFSLPYSTTYQGILQDYNNETELWNFSTRSPSSTADPICYVSKSSV >Manes.12G095501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:19572864:19575314:1 gene:Manes.12G095501.v8.1 transcript:Manes.12G095501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSESRDTLPFDPEIERTLRRLRKQAAEASSEATEFYQQAAPMAEHNPQDAAPNGLAVQNQIVQENPANRPQVQRERTMRELATPIGDYAPLCITYPPLTVPFELKSGLIHHLPKFRGLQNENPHKHLKEFKIICSSMRPQGISEDHVKLRAFPFSLDDHTKDWLFYLPPGSITSWDDMVQAFLDKYFPPSKSIGIIREITSIRQKPTEDLNDYWERFERLCTGCPQHDMSDKALIQFFYGGLIPSERKLINVACGGSILDKTPREMKELISNLAASSKQYEEEGQTQRGIYEVRTSSVESQISKLTSLVEKIALGQVQQIQAPQPPRPCEICLHVGHPTDQCPTLQEDHHQVNAIGRYNNQPRYDPYSNTYNPGWKDHPNFSYGKSNSDQNYQSYQRNQAQPAPSTPNQNLEKIMQTMMETMVSTMQGVRQDLGQMTTSMQGVRQDLSQMATSIGQLQSQGKLPSQTETNPRQNVSAITLRSGKELRDTNHEQEKEPKPPEASPSQSVAPPAQKTDLKVSFHIPPPFPKRFERTQKEKEEKEILDTFRKVQINIPLLDAVKQIPRYAKFLKELCTNRRKLAEREKVSVGEVVTAVIKRELPTKCKDKGMFAISCKIGNVGIRKAMCDLGASINVMPLSIYKSLNACALKETRVVIQLADRSVVYPIGVLEDVLVQVNELVFPADFYVIDTKEDSYNTSSDILLGRPFLSTARTKIDVHDGTLTMEFEGEVIKYNVYDSMKYPHDISPIYGLDIVDCLSQEIFNENQDDILNSDFCRDTDQVQIKKEPKPKETVCSIQQIVCSQAQIGENSVAPL >Manes.09G066602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10940037:10980624:-1 gene:Manes.09G066602.v8.1 transcript:Manes.09G066602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAEKAIMEKYSQPCCMGTQTALSTYGSVGRFLCPRLFQSPCGSSVISSSVHFLIPFPISNSRLACPGISI >Manes.12G016800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1568031:1572985:1 gene:Manes.12G016800.v8.1 transcript:Manes.12G016800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPSKSSENREFGGSSVPSSNTSTSSRSSNLASNRPVTSDNTSPPPSDSASYLGYGHGSKPTQAVNSKLNGNSVIATYEALPGFKDVPNSEKQNLFIRKLKLCCVVFDFTDPSKNLKEKDIKRQTLVELVDYASSANGKFTETVMEEGIKMVSVNLFRSLTPQPRENKALEAFDLEEEEPLMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRSLIPLHKPKSLPMYHQQLSYCISQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEILEATQPAEFQRCMVPLFRQIARCLSSLHFQVAERALYLWNNDHIENLIRQNRKVILPIIFPALEKNGRKHWNQVVQSLTVNVRKIFADIDPELFEECLKQFEEDEAKAEDMKMKQEATWKRLEEIAASKTTNSEAVHVPHTAPTLTTSG >Manes.12G016800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1568031:1572985:1 gene:Manes.12G016800.v8.1 transcript:Manes.12G016800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPSKSSENREFGGSSVPSSNTSTSSRSSNLASNRPVTSDNTSPPPSDSASYLGYGHGSKPTQAVNSKLNGNSVIATYEALPGFKDVPNSEKQNLFIRKLKLCCVVFDFTDPSKNLKEKDIKRQTLVELVDYASSANGKFTETVMEEGIKMVSVNLFRSLTPQPRENKALEAFDLEEEEPLMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRSLIPLHKPKSLPMYHQQLSYCISQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEILEATQPAEFQRCMVPLFRQIARCLSSLHFQVAERALYLWNNDHIENLIRQNRKVILPIIFPALEKNGRKHWNQVVQSLTVNVRKIFADIDPELFEECLKQFEEDEAKAEDMKMKQEATWKRLEEIAASKTTNSEAVHVPHTAPTLTTSG >Manes.12G126900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33324738:33340120:1 gene:Manes.12G126900.v8.1 transcript:Manes.12G126900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIIYIVNKENYIHLEQVAKEDYLELRQEASDLQEYSNAKLDRVTRYLGVLAEKTRKLDQVVLETDARISPLINEKKRLFNDLLTAKGNIKVFCRARPLFEDEGPSIVEFPDDCTIRVNTGDDSIANPKKDFEFDKVYGPHIGQAELFSDVQPFVQSALDGYNVSVFAYGQTRSGKTHTMEGSSHDRGLYARCFEELFDLANSDSTSTSRFNFSVTVFELYNEQTRDLLSESESSLQKICMGSAESFIELVQEKVDNPLDFSRVLKAAFQSRGNDTSKFNVSHLIITVHIYYNNLISGENLYSKLSLVDLAGTEGLITEDESGERVTDLLHVMKALSALGDVLSSLTSRKDVIPYENSMLTTLLADSLGGSSKTLMILNVCPNAANLSETLSSLNFCARARNAMLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLRQEVLGLKQALKEANDQCVLLYNEVQKAWKVSFTLQSDLKSENIMLVDKHKIEKEQNAQLRNQVAQLLQMEQEQKMQMMQRDSTIETLEAKIKSMESQLSKALHSNEASSKFGSESGPGVSSISKATTDGMDSSSVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASLGGSPQMSSPFSIGTINSQSRDMGRNDSNNKGRSMDAIASPLVSDRSDGTVALVKSGSEKVKSTPAGEYLTAALNDFDPEQYDGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKANTGRSRSSSRGNSPGRSPVRYADEQIHGFKVNIKPEKKSKLSSVVMRMRGIDQDTWRQQVTGGKLREIQEEAKSFAIGNKSLAALFVHTPAGELQRQIRSWLAESFEFLSVTGDDASGGSTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLSEYAKRVYSSQLQHLKDIAGTLSTEVAEDATQVAKLRSALESVDHKRRKLLQQMRSDVALLTLDDGGSPIHNPSTAVEDARLASLISLDGILKQVKDILRQSSVNALSKTKKKALLSSLDELAERMPSLLEIDHPCAQRQIADARHVVELIPEEDDHLHESVHNRKSSLDLGTGNETDVAQWNVLQFNTGSTTPFIIKCGANSDSELVIKADARVQEPKGGEIVRVVPRPPVLENKSLEEMKQVFSQLPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDVKS >Manes.12G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33320459:33340120:1 gene:Manes.12G126900.v8.1 transcript:Manes.12G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRNRWNWEVSGFEPRKSSASVEPEEHRVAAPLVRRYSISAASVLPRENSELSKQALASKVQRLKDKVKVAKEDYLELRQEASDLQEYSNAKLDRVTRYLGVLAEKTRKLDQVVLETDARISPLINEKKRLFNDLLTAKGNIKVFCRARPLFEDEGPSIVEFPDDCTIRVNTGDDSIANPKKDFEFDKVYGPHIGQAELFSDVQPFVQSALDGYNVSVFAYGQTRSGKTHTMEGSSHDRGLYARCFEELFDLANSDSTSTSRFNFSVTVFELYNEQTRDLLSESESSLQKICMGSAESFIELVQEKVDNPLDFSRVLKAAFQSRGNDTSKFNVSHLIITVHIYYNNLISGENLYSKLSLVDLAGTEGLITEDESGERVTDLLHVMKALSALGDVLSSLTSRKDVIPYENSMLTTLLADSLGGSSKTLMILNVCPNAANLSETLSSLNFCARARNAMLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLRQEVLGLKQALKEANDQCVLLYNEVQKAWKVSFTLQSDLKSENIMLVDKHKIEKEQNAQLRNQVAQLLQMEQEQKMQMMQRDSTIETLEAKIKSMESQLSKALHSNEASSKFGSESGPGVSSISKATTDGMDSSSVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASLGGSPQMSSPFSIGTINSQSRDMGRNDSNNKGRSMDAIASPLVSDRSDGTVALVKSGSEKVKSTPAGEYLTAALNDFDPEQYDGLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKANTGRSRSSSRGNSPGRSPVRYADEQIHGFKVNIKPEKKSKLSSVVMRMRGIDQDTWRQQVTGGKLREIQEEAKSFAIGNKSLAALFVHTPAGELQRQIRSWLAESFEFLSVTGDDASGGSTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLSEYAKRVYSSQLQHLKDIAGTLSTEVAEDATQVAKLRSALESVDHKRRKLLQQMRSDVALLTLDDGGSPIHNPSTAVEDARLASLISLDGILKQVKDILRQSSVNALSKTKKKALLSSLDELAERMPSLLEIDHPCAQRQIADARHVVELIPEEDDHLHESVHNRKSSLDLGTGNETDVAQWNVLQFNTGSTTPFIIKCGANSDSELVIKADARVQEPKGGEIVRVVPRPPVLENKSLEEMKQVFSQLPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDVKS >Manes.01G260500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41642411:41649887:-1 gene:Manes.01G260500.v8.1 transcript:Manes.01G260500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGHAEGLSEPGSSKDYLLCDPEPEFDDGDDGFISEEILYTASFDELGDNTLKYDTVIWVSISLLLVLAWGVGILMLLYLPVRRYVLQKEISSRKLHVTPNEIVYKVARPSFVPFWGVTAIERRVPLSMVIDIIIEQGCLQSVYGIHTFRVESIASGKAAPVDELQVQGVVNPALLRKVIITEAAKNMQDVGKGCKSGTYAGEGESMSRTVSSSEGPTAFKSPTKSWKMTASPRYAFMEPRTAVPSEVVLNKLEELSKSVKKIESLIEKSQTAPESSQN >Manes.13G017800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1713791:1719823:-1 gene:Manes.13G017800.v8.1 transcript:Manes.13G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGNGLSLSLFCFFLFFLNTKVVVSLSPDGLSLLSLKSAVDQPSGDSPFADWNDDDPTPCRWTGISCMNISGFPDPRVVGIAISGKNLRGYIPSELGSLVYLRRLNLHSNNFYGSIPVELFNATSLHSLFLYGNNLSGPLPPSICKLPRLQNLDLSNNSLSGPLPENLNNCKQLQRLILARNKFSGEIPAGIWPELDNLVQLDLSSNEFNGSIPNDLGELKSLSNPLNLSFNHLSGRIPKSLGNLPVTVSFDLRHNNLTGEIPQTGSFVNQGPTAFLNNPLLCGFPLQKPCKDSTASSPASQNSTPESDNNPSKGLSSGLIILISLADAAGVALIGLVIIYVYWKKKDDSKGCSCTGKTKFGENEKSQACGLCSCANGFANEDSEIEDVEKAERGKGEGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGEQRYREFVAEVQAIGKVKHPNIVKLRAYYWAPDEKLLISDFISNGNLANALRGRSGQASSGLSWATRLRIAKGTARGLAYLHECSPRKFVHANIKPSNILLDNEFQAYISDFGLSRLINITGNNPSSSGGFIGGALPYLKSVQTERSNSYYAPEARVPGNRPTQKWDVYSFGVVLLELLTGKSPEFSPTTSTSIEIPDLVRWVRKGFEEENPLSEMVDPLLLQEVHAKKEVLAVFHVALACTESDPEVRPRMKTVSENLERIGT >Manes.14G093201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7776163:7778597:1 gene:Manes.14G093201.v8.1 transcript:Manes.14G093201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVARQLIFGRGKRSLGVESDERKKKIQKFKDLKLKAVYMYAAGFYTYSIFALIFWETRRLDFRVSMSHHVATVILIVLFGRVDSVVLALHDASDIFLEIGKMSKYSCAEWMASFAFILFVLSWIILLLIYYAFWVLWKKEEIGKLEKKCRK >Manes.14G067750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5650264:5650499:-1 gene:Manes.14G067750.v8.1 transcript:Manes.14G067750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIQLRRHTKSDQAQYKYTISGYIIFNTNTEFHMFQEYK >Manes.10G108350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26123725:26124819:1 gene:Manes.10G108350.v8.1 transcript:Manes.10G108350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSITRLKLLLLLMLIIDGTIPSSLGVELESLASKKGRFDLQGEGKSNTWKKSYLRFEDHVKRNHMRENFRSKHENIQTALAFDKTIDANFRHNHMIMRRLLQKGASFSKPIPCHYTTGKGSCSPSGGRG >Manes.12G100200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:25811646:25812113:-1 gene:Manes.12G100200.v8.1 transcript:Manes.12G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVFTCFFFSLVIFSYIVCVEGRNLKCVECFNASPDDFKSLTRNPSRGNTDASSSHDGSQDVIEPSDGYIDAFRPTNPGHSPGVGH >Manes.08G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38187870:38188936:1 gene:Manes.08G143100.v8.1 transcript:Manes.08G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVLLALAVAFSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNYAVEKLQKLFANA >Manes.06G114500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24504739:24559219:1 gene:Manes.06G114500.v8.1 transcript:Manes.06G114500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYDNWERLVRATLNREQLRTAGQGHERIPTGIAGAVPPSLVRTTNIDAILQAADEVQDEDPVVARILCEQAYSMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKRDGAQIDRSHDIEHLWEFYQRYKRLHRVDDMQREEQKWRESGTFSSANLGELELRSREMKKVFATLRALVEVMEALSKDADPDGVGRLIMEELRRIKKVGEDIPYNIVPLEAPSLTNAIGIFPEVRGAISAIKYTEHFPRLPADFEISGDRDADLFDLLEHVFGFQKDNVRNQRENVILTIANAQSRLGIPVQADPKIDEKAINDVFFKVLDNYIKWCRYLRTRLVWNSIEAINRDRKLFLVSLYFLIWGEAANIRFLPECICYIFHHMAKELDAILDHGEAYHAASCTTDSGSVSFLSQIICPIYDIMAREAARNNGGKAAHSSWRNYDDFNEYFWSPACFDLDWPMRKDSSFLLKPKKRKRTGKSTFVEHRTFFHLYRSFHRLWIFLTLMFQALTIIAFNHGHIDLDTFKFVLSIGPSFAIMNFIESSLDVVLMFGAYSTARGMAISRLVIRFFWWGLSSVFVTYVYIKVLEERNQQGSNSPYFRIYILVLGVYAALRLFLALLLKFPACHTLSDISDQSFFQFFKWIYQERYFVGRGLFEKISDYCRYVLFWLVVLACKFTFAYFLQIRPLVNPTNIIINLRTVEYSWHDLISKNNNHTLTVVSLWAPVVAIYIMDIHIWYTVLSAIVGGIMGARGRLGEIRSLDMVHRRFESFPEAFVKNLVSPQAKRMPFNRQASQESQDTNKEDAAMFAPFWNEIIKSLREEDYISNREMDLLSIPSNTGSLRLVQWPLFLLSSKILLAIDLALDCKDTQADLWNRICRDEYMEYAVQECYYSVEKILHSLVDGEGRLWVERIFREINNSILEESLLVTLSLKKLPLVVRRFTALTGLLIRDQPELAKGAANALYQLYEVVTHDLLSTDLREQLDTWNILARARNEGRLFSTIQWPRDPEIKEQVKRLHLLLTVKDTAANIPKNLEARRRLQFFTNSLFMDMPAAKPVSEIIPFCVFTPYYSETVLYSYSELQDENEDGISILFYLQKIFPDEWGNFLERIGRGGESSGDVDFQKNSSDTLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLERRSLGVDDHSQAGFFATQGFELSRESRAQADLKFTYVVSCQIYGQQKQRKDKEAADIALLLQRNEALRVAFIHVEESGSADGTVSKEFFSKLVKADIHGKDQEIYSIKLPGDPKLGEGKPENQNHAIVFTRGEAIQTIDMNQDNYLEEAMKMRNLLQEFHAKHGIRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLAQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFYFTTVGYYVCTMMTVLTVYIFLYGRVYLAFSGLDSAISTEAKLLGNTAFEAVLNAQFLVQIGVFTAVPMIMGFILELGLLKAVFSFVTMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTDGGATSFILLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDNWTSWLMYKGGVGVKGDDSWESWWNEEQMHIQTIRGRILETILSLRFLMFQYGIVYKLHLTGKDTSLTIYGFSWIVLIFIVMIFKIFTYSPKKSKGVFEECIDFKLFMRFIQGVTSIGLVAALCLVVAFTDLTIADLFASLLAFIPTGWAILSLAVTWKRVVWSLGLWDSVREFARLYDAGMGMIIFAPIAFLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVDV >Manes.04G037401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4998611:5009601:-1 gene:Manes.04G037401.v8.1 transcript:Manes.04G037401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGSMRAIKLISLLESKESTLQSMMFLLWSFLVICLCYVAWWKHESYKTNKLIREQGIKGPPPSLLLGNIPEMERMVSQNSETPQIDGPLIVLPYLKHWAKNYGKLFKFALGGIQLLYVNNLSIVREINLFTSFELGKPAYLQNDRGVLLGKGLNTANGDVWHHQRKTIAPQLYMHKVKDMVNLMVESGSMVVKAWEKIIDREGEGGMVDIVVDEHARIFTTYIASKIIFGSDHHKGIKVFSKCHELLKAMGETTTLGIPLLRFLPIERNRKTWRLAKEIHGMIMDIAKERSGSTSHQDLLQAIIEGSKNGELGKLTEDEFIVDNCKNMMFGGYQSPAVAAIWGLMLLASHPEWQDRARFEVLEICKGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAMQNVKLGELKVPKGMDIWIWLPALHRNPEYWGADADVFNPERFTNGVTGACKSSQAYIPFGLGARVCPGQNLALIELKVFFAVILSNFKLTISPKYRHSPTYGLLLEPEHGVNLLIQKI >Manes.17G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25690318:25694333:1 gene:Manes.17G058000.v8.1 transcript:Manes.17G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQETHETKAIVGNLGQSNQGTSETSSADSSNSDNRIVLENPSVPNLGERVSARRENRDFDANMNRLDLAKRVGDKEASNGKGIGEPLDEGTSRNSLNFVDEVVLERMIVINSGEIVSIGGANKDSARKIDEPRLNGRDIQRRDQEAFVTQRNSEALHKVEQESLRVSSISIDGVVLETVIIVNSEENIAIREGNEQSQVKSCGLESSKVMMDKSKTQVCKEKKQSCVIDMKCPSGGGGSHKDGDGERVCRICHLGAEGLAETTETTASMDLIQLGCVCKDELGIAHAYCAEAWFKLKGNRVCEICGETAKNITGVGDNRFMDEWNQRRFIGSSSSSSDRARGCWRGQPFCNFLMSCLVIAFVLPWFFRVNIF >Manes.01G073500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27580068:27584350:1 gene:Manes.01G073500.v8.1 transcript:Manes.01G073500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTTTSMILKEMFSNRLPSSSSSLATSTSTCLSLPNLHGTALTFTRRQFSFSRILCAASDEKKVSARLSQINHLLQEAEERASSAGSEPPPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKDAYWLSDRIRERIMQMEKNRINKDGELVISSTKTRTQKGNIEDALSKLQAIIDAASYVPPPPSEEQKKKIAKICFFFIMQWISELSRLSR >Manes.01G073500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27580059:27584350:1 gene:Manes.01G073500.v8.1 transcript:Manes.01G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTTTSMILKEMFSNRLPSSSSSLATSTSTCLSLPNLHGTALTFTRRQFSFSRILCAASDEKKVSARLSQINHLLQEAEERASSAGSEPPPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKDAYWLSDRIRERIMQMEKNRINKDGELVISSTKTRTQKGNIEDALSKLQAIIDAASYVPPPPSEEQKKKIAKMAAIGEQKRLKSKKALSDKKAFRRSRDSWD >Manes.01G073500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27580068:27584290:1 gene:Manes.01G073500.v8.1 transcript:Manes.01G073500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTTTSMILKEMFSNRLPSSSSSLATSTSTCLSLPNLHGTALTFTRRQFSFSRILCAASDEKKVSARLSQINHLLQEAEERASSAGSEPPPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKDAYWLSDRIRERIMQMEKNRINKDGELVISSTKTRTQKGNIEDALSKLQAIIDAASYVPPPPSEEQKKKIAKMAAIGEQKRLKSKKALSDKKAFRRSRDSWD >Manes.01G073500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27580068:27584295:1 gene:Manes.01G073500.v8.1 transcript:Manes.01G073500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTTTSMILKEMFSNRLPSSSSSLATSTSTCLSLPNLHGTALTFTRRQFSFSRILCAASDEKKVSARLSQINHLLQEAEERASSAGSEPPPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKDAYWLSDRIRERIMQMEKNRINKDGELVISSTKTRTQKGNIEDALSKLQAIIDAASYVPPPPSEEQKKKIAKICFFFIMQWISELSRLSR >Manes.09G000500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:459723:477394:1 gene:Manes.09G000500.v8.1 transcript:Manes.09G000500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMVVDPKPTLELPPSIPALRLDLQQAFADLSNAEEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVEQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTSKMNLSDEVDLEDYVYRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTNFEFYK >Manes.14G112684.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13094512:13112268:-1 gene:Manes.14G112684.v8.1 transcript:Manes.14G112684.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRLADSVSLFMNPQPRPIRSQRITTHFSPVIHHLTWDRLLWKGEKQKHDLFLLRRAKSLQAVATSVVPASADGAQYRKQLAESCGFRQIGEPLPRNVTLKEIIDTLPRKVFEIDDMKAWKLVLISATSYALGLFMISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLLEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLDEDTAWHPVWKEEFNSSPMLRKAIIYGYGPFRPWMSIAHWLIWHFDVKKFRPSEVKRVKISLACVFAFMAIGWPLIIYKTGIMGWIKFWLMPWLGYHFWMSTFTVVHHTAPHIPFKSSDEWNAAEAQLTGTVHCDYPRWIEILCHDINVHIPHHISARIPSYNLREAHKSIQDNWGKYLNEATWNWRLMKTIMTMCHVYDKEENYIAFDKLAPADSQPVTFLKRVMPDYA >Manes.12G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27502379:27506555:1 gene:Manes.12G103000.v8.1 transcript:Manes.12G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSNNLQGWLRILYVVFAFCSALFLGALKAVLVGPIAASILVGGNVGVILLMFPAHVAWAIYTLVKTNRFDALLKAALLIVLPVLFGIWLGLSIAASVLVGVGYGFFTPWVSTFEAFRHSSESKKFYHCIVDGTWGTIKDSCTVVTDFADMCYHSYPLYLKELRHSPASNEQRHIRLIHVPGSIIAGLMGLVVDVPLFTAIVIVKSPYMLFKGWFRLIHDLISREGPFLETACIPIAGLTILLWPLIVVGSILVTIFSSIFIGLYASVVVYQERSFRRGIAYVIAMVAEFDEYTNDGLYLREGSILPKPRYRKKKASNSSELSVGGKNGKLGPATAEAPAMLVPSLAPSRSVRETIQELKMIQIWGTIMRSCETRGKELLDAGAITVGDLNDCLKGKNANEGAIIGVGLPCYSLLQTLIYSIKAGSDGFVMVDGVEITHLNRPNDKLFDWFFHPLLVLKEQIRVIRLGEGEERFLQKVVLFGNDTKRIEAWDNDSNVPQEALRAAQLQGISRRMIGMVRSVSKFPTYRRRFRLVVKALITYSTDKEGTGRSNSVKCNSIRSVEDV >Manes.15G099650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7812907:7822151:-1 gene:Manes.15G099650.v8.1 transcript:Manes.15G099650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILEPSRAFQHVPEPSRRWQIRTSLCLAPQANHRCRPTNSVGQPATARSSRAPACAPSTRLPCAPAPRAPQLCLRVLRVAPPLRRAPQRPAPVGVPRARCHSAPHPLCVLQLLHTPQAQLAPVGLPRLANCLCASPLPPTPTAGRIAPLAELANPAARLLFFSIDWPVPLILGQFRSLGRFAIPIDLRPHIFGEFVGVSPSVRDTCLNCHPETVSSHPRITNG >Manes.11G041232.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4532030:4537437:1 gene:Manes.11G041232.v8.1 transcript:Manes.11G041232.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRRMETRDQNESGSSPEKTIGPKSYSYGELASVTGHFSLNNLIGRGGFGHVFKALLDGEIRAIKRLDFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWKTRMKIATGSAKGLEYLHEHCKPKIIHLDIKPDNILLDEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPFTTKLGKYSDKSDIYSFGVTLLELITGRKPIDNGTDIVTWANPLIKKALKRRYTNFIDSNLQSFDYEQMYQMVSCVDSCLNQPPSSRPTMEKIRLVLEGKSLSKELYDHKLRRSIIHTDPKGSRSSPELNRSIITGPRQYSFEQLVKATNYFSNNDLIGEGGFGQVYRGLLDGETLAIKKLKNHRDLQSQEYLKNEIIVVSSIRHKNLVELLGYCIEGANKFLVFKYFPNKSLSSQLHESDQDLNWETRINIAKGSAKGLEYLHEHCEPPIIHLNIKSDNILLDEDFKPKVADFGLARFFSETIIHIFKSATMETKAINYRKKTHRRRRL >Manes.11G041232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4532030:4537437:1 gene:Manes.11G041232.v8.1 transcript:Manes.11G041232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRRMETRDQNESGSSPEKTIGPKSYSYGELASVTGHFSLNNLIGRGGFGHVFKALLDGEIRAIKRLDFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWKTRMKIATGSAKGLEYLHEHCKPKIIHLDIKPDNILLDEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPFTTKLGKYSDKSDIYSFGVTLLELITGRKPIDNGTDIVTWANPLIKKALKRRYTNFIDSNLQSFDYEQMYQMVSCVDSCLNQPPSSRPTMEKIRLVLEGKSLSKELYDHKLRRSIIHTDPKGSRSSPELNRSIITGPRQYSFEQLVKATNYFSNNDLIGEGGFGQVYRGLLDGETLAIKKLKNHRDLQSQEYLKNEIIVVSSIRHKNLVELLGYCIEGANKFLVFKYFPNKSLSSQLHESDQDLNWETRINIAKGSAKGLEYLHEHCEPPIIHLNIKSDNILLDEDFKPKVADFGLARFFSETIIHIFKSATMETKAYIDPYAIKTGEYTVKSDVYSFGIMLLELITGRRLIEEDGSDVVEWAKSEIKKALRDKKFERFVDSRLQMFDDEEAYRMLFCIDICINNIPKFRPSMKKILLTLDGILPQQIMQ >Manes.11G041232.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4532030:4537437:1 gene:Manes.11G041232.v8.1 transcript:Manes.11G041232.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRRMETRDQNESGSSPEKTIGPKSYSYGELASVTGHFSLNNLIGRGGFGHVFKALLDGEIRAIKRLDFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWKTRMKIATGSAKGLEYLHEHCKPKIIHLDIKPDNILLDEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPFTTKLGKYSDKSDIYSFGVTLLELITGRKPIDNGTDIVTWANPLIKKALKRRYTNFIDSNLQSFDYEQMYQMVSCVDSCLNQPPSSRPTMEKIRLVLEGKSLSKELYDHKLRRSIIHTDPKGSRSSPELNRSIITGPRQYSFEQLVKATNYFSNNDLIGEGGFGQVYRGLLDGETLAIKKLKNHRDLQSQEYLKNEIIVVSSIRHKNLVELLGYCIEGANKFLVFKYFPNKSLSSQLHESDQDLNWETRINIAKGSAKGLEYLHEHCEPPIIHLNIKSDNILLDEDFKPKVADFGLARFFSETIIHIFKSATMETKAQNLKLRRLCGIKNLKDL >Manes.09G101500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29947666:29950512:-1 gene:Manes.09G101500.v8.1 transcript:Manes.09G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSNGGMLYHEVQEAKLCAVHCVNTVLQGPFFSEFDLAALASDLDCKERQMMQEGGASTGDFLSAESHNVSLGGDFSIQVVQKALEVWDLQVIPLSSPVAEPAQIDPDLENAFICHLHDHWFCIRKVNGEWYNFDSLYAAPEHLSKFYLAAYLDSLKSSGWSIFLVRGSFPKEFLISSSEASNGYGQWLSPEDAERITKSCNSAPDSQRISATRQHSDLAEDMLTDFEDEDLKAAIAASLMDSSQAKASVEAGSTANDNKEGEEKNA >Manes.01G178100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35789567:35792514:1 gene:Manes.01G178100.v8.1 transcript:Manes.01G178100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSRGESGDAPENDKGDQGNDNGSNNGGSDPQDSSTTPPQKNAPPSSQSANGAPIGPVLGRPMEDIKSLYTVGKELGRGQFGVTHLCTHKVTGEQFACKTIAKRKLVNKEDVEDVKREVQIMHHLTGQPNVVDLKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFYKPGEVFKDIVGSAYYIAPEVLKRKYGPEADIWSIGIMLYILLSGVPPFWAESEHGIFNAILRGHVDFASDPWPSISPQAKDLVRKMLNSDPKQRLTAIQVLSHPWIKEDGEAPDTPLDNAVLSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFRGMDTDNSGTITLEELKQGLSKQGTKLSEYEVKQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQHFDKDNSGYITTEELEQALRDYGMHDGREIKEIICEVDADNDGRINYDEFVAMMRKGNPEAIPKKRRDTLFV >Manes.11G137500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30305039:30312494:-1 gene:Manes.11G137500.v8.1 transcript:Manes.11G137500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKGTRFDPEFSPNSRETMSSDEDEVQRGVSAAESDDEDDEFDDADSGAGSDDFDLLELGETGAEFCQIGNLTCSVPFELYDLLGLEDILSVEVWNEVLTEEERFSLTKYLPDLDQATFMLTLKELFEGQNFHFGSPIKKLFQMLKGGLCEPRVALYRDGLGFFQKRKHYHLLRSHQNNMVSSLCQIRDAWLNCKGYSVEEKLRVLNIIKSEKSLMYEKMVEDLESDSSEKEELGDGLWRKRVKDRKTVVQQGHNSAYRAGSNLESTPQMPSVGSKVPKYAKQNPKGILKLAASKAFSPKETVGRSPSLYRDLDANSRPYGLPAPISRQKVIGFDSRAARKLRNQMIINDDDDNDDDDAEDAEDAAYTKSVQRDRNVARSGMRDKNGVLKAGKKHGLLRSEELGTDSFTGFPSSSKNDVHAYVRKGVAAKLPKIQTSHEFGKKATYPENFQQFAIGDRLNSLKGRSTYMKVNQFDLSEHGEWHGKNQGHAISMDSSLKADDQNMTSKTWKAGREFPDLNYKTYQASSPQLNDRVLLSDLKAKSSQEKIKANFLQNGGPDKGAKKLNRMYAKNEETESDSSEQFDDGEDDDDDDDEEEEEEEEEDSYPLARSKLTYPSGIMKGSQTSFLRSSLDAKKGKFVKKDMPENSMAFDGMTGFSKKMEGFSELEHMPGYSSKAKQKGKMRDSSPLHSSGAKVLKNSSRIGLGKVTDDDDRKRSHKVGKKGQARESTESLHVSSLRAYPPDRNRKGEVSHDYTIDEEDDSLETRLLADENALVRLGKRGQGSEAYVPDRHDRSDAAVKGFNLMTKKRKAKEELSNIDRRNEDGNMQSSLQQQIDDSISLKKRGKRKVEADTGISDMETPEPPVTEMGEREMDLDSKPQKKPYTPITPTVHTGFSFSIIHLLSSVRLAMISPLSEDPLEVVKPSEEQKGKQEGDTKGVVSHKSADVNKADHALQVSVPSLTVQEIVNRVRSNPGDPCILEMQEPLQDLVRGVLKIFSSKTAPLGAKGWKALGVYEKSTKSWSWIGPVSHTLTDHETVEEVTSPEYWGLPHKMLVKLVDSFANWLKSGQETLQQIGSLPAPPVSLMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEEVLRYSIPDRAFSYTAADSKKSIVAPLRRCGGKPTAKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQPDQGAVTVAFHGNVDQSGFDLGSDLNVVPPGSDDTKRIDPVCNDAKKSMEDNAGSSHVSEQGNLDQGHSMVWDTLSLNSMRENKLLCQENSTNEDFDDETFGRERPVGLLSASLS >Manes.11G141300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30684851:30685719:-1 gene:Manes.11G141300.v8.1 transcript:Manes.11G141300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGPSSILAVQYYADHIFTTVTAAAAVVDEWIANTMHIHKRKLSKLLIGLDTEWCLPTEPNGHQQVAIIQLCVGKRCLIFQLYHADDIPQSLIQFLGNYKFRFIGKGVWNDADKLYEDYGLVVAHPKDVSYWAAKKYHDRYYRKMGLKGLVLDLLGKVIPKPREITMSEWNTKELTIEQIEYACLDAFVSLELGICLSKPTHENMSAKTIVYQPAYEYEQLDEELILGQSFAECKDLRRMKPAKKFGSQKSSKRSHTTKKRASKRKEKSKQVELIDEMDAYYSF >Manes.13G149401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36501022:36501192:-1 gene:Manes.13G149401.v8.1 transcript:Manes.13G149401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEQLDDEPKQLNINIKKKKRNHGSTTFFVFVDYLFLFIFLGFLCFILFKMVGI >Manes.08G064400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8954352:8959091:1 gene:Manes.08G064400.v8.1 transcript:Manes.08G064400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTTAKATSLSKECEMEKEDKGKDKEEEKLCKRCKQTYTSSSNAASACRFHPSFFVCRHHDDQKRYYELGPNDPPYAAKFYDCCGAEHPEASGCTTSFHVSYDD >Manes.15G187733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27623976:27627518:-1 gene:Manes.15G187733.v8.1 transcript:Manes.15G187733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSGHWFQDELRDALLLVFANKKDLRNAMNAAEITDKLGLHSLRQRHWYIQSTCASSGEGLYEGLDWLSNNIANKA >Manes.02G187000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14971483:14973749:1 gene:Manes.02G187000.v8.1 transcript:Manes.02G187000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSPSLDSSWWVFTLPAMLQTQNLFNPLFLFFVFMSFFVITLSTWAFSNGGLAWKNGRSQMGDVPIPGPQGLPFLGSLFSLSHGLAHRTLACMASRLSATKLMAFSLGSTPAVVSSDPQIAREILTSPHFANRPIKQSAKSLMFSRAIGFAPNGAYWRLLRRIASTHLFAPKRIAAHEPWRQLDCAVMLRDIHNEQSLNGSVHLLKHLQNASLNNIMGTVFGKRYDLTQCNEEAEELQEIVREGFELLGAFNWSDYLPLLNYFYDPCHIKERCSALVPRVKKLVKKIIEEHDQTKMSKKITDNSDFVYVLLSLEGDEKLEEDDMVAVLWEMIFRGTDTTALLTEWAMAEVILNPDIQAKLRNELDFVVGDRSVTDSDISKLPYLQAVVKETLRVHPPGPLLSWARLSTSDVHLSNGMVIPSNTVAMVNMWAITHDPNVWDDALVFKPERFMESVSGSDVDVRGVDLRLAPFGAGRRVCPGKNLGLATVNLWVAKLVQHFEWVQDTANPVDLSEVLKLSCEMKNHLSAVAIPRNGFKFF >Manes.08G067100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10211381:10216527:-1 gene:Manes.08G067100.v8.1 transcript:Manes.08G067100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAETIRQRSFNRINSSIGLIQLGRSGSDDTLMEEQYCIKEMQELHGYLDMVKEMIRPGCSQEMLQVALNSLAFLFDILTSMSSTPRPLASL >Manes.18G025260.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5690821:5693741:-1 gene:Manes.18G025260.v8.1 transcript:Manes.18G025260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAMVPLKLLVLLILVCVTAPPAMAASLANPVCQDKCGDVNFYFTFGVGEGCYMNKSFEVVCNDSFTPPKPFLKSINMELLALVSSSDAVLVNNPVIHSDCGDKVSTNRGVNMSGTATGCDNYAMLVQDGETVGGCLSICRNESSNTRGCYGLNCCQATIPPNIQSFEANMTDLSGEIDCIGRKSAFMVHQDLFDLRSLDEMLQMDHVPARIEWAKFQGNCDLSETITPYINCTSDSKNCQDDSKCTDGGNYNCDLFCMHTPGGYDCPCPTENYENISNICYPSHLFSNQKSHSKFIIIGCASGLGFLLLLIGLWLLYKLMKRRHAMKLKQKFFKRNGGLLLQQQLSSEGNNVEQTKLFACNELENATDHYHENRILGQGGQGGQGTVYKGMLTDGRVVAIKKSKVVDEDKLEQFINEVLILSQINHRNVVKLLGCCLGTEVPLLVYEFIPNGTLFQYIHNQNEELPLTWEMRLRIATEVAGALSYLHSAASLPIYHRDIKSSNILLDDKFRAKVADFGTSKSISIDQTHVTTRVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVIIELLTGQKPISPLRSVEARSLATYFLDSMEANRLFEIVDVRVLKEGGKEEIIAVAKLAKRCLDLSGKKRPSMKTVAMELEGIRASQGFSLTIEQDQEEVDFSVGDYTGPWDVDSSSTGSLNSVESTVATIGCLFS >Manes.02G213062.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27419887:27421304:1 gene:Manes.02G213062.v8.1 transcript:Manes.02G213062.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRCVDATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFQTNVKPWKSRKGTLDPALEAAEAKSKIITVSKDGKGKFKTVTDAIKSIPSQNKERVIIKIGPGVHNEKIEIEKTKPFITFLGDPKAMPTLAFGGTARKYGTHYSGTVTIDSDYFMGVNIIFQNTAPEPESNKPGGQAVALRINGDKAAFYNCKFLGFQDTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESQLNVVDNKDLTFITAQGKEQKSENFGYSFVQCKITGSGSGSFLGRAWRKMPEVTFSYTEMGAVVNPLGWSDNRQPDRDSTVFFAEYKNSGPGSNPKGRVKFTKQLKDAQAKNFLSLGYIQGSKWLLPPPK >Manes.03G138300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26481414:26481791:1 gene:Manes.03G138300.v8.1 transcript:Manes.03G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQNCDPAIMHSSIALLQERFRQLERAKEMRQQRELLRLFSEAEQVKSAKGYEPSRLFFHSELISSSPGQPLQASIYLHSNTENNHTNLRINETPDWVNIWSKNTVTHITNNFDDSDVDTSLHL >Manes.02G021400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1896791:1899909:-1 gene:Manes.02G021400.v8.1 transcript:Manes.02G021400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCFSNGAELNRAPPYYYYPRASEERVPLSSHHGAASTLSTGLLVDTNLDTSVPDAYTPPPPPMPFDVALGRSQTPQRAQGASCDKDVGAVQTTSDSVQETTGMDSRGTPAKCEDIKVSSGKEHINLVLDSAKEPEIEPPNSVEPVVSATEEDVCPICLEEYDAENPKITTKCEHHFHLACILEWMERSETCAVCDKEMIIDPPID >Manes.04G016700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2124586:2126929:1 gene:Manes.04G016700.v8.1 transcript:Manes.04G016700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEIPIDPSQFFTNFSATQQLLPPNPTAATAPKTQQLLPSLNRLRSKDRHKKVEGRGTRIRIPADCAARIFQLTRELGHRTSGETIEWLLYHVPASDFPTTTTAASTCSDTIAVTANSSLHVMPSATSNAASNSSSSSPCSKQKISKSKKTNTPLHVSLNSCASVSGSSFPASNSLDAVLLSTPIRRESEIVRMPMAVVEPERKKEDLRGEFDLFPGANGSLPNMSFTSLLMQLERED >Manes.04G016700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2124595:2126929:1 gene:Manes.04G016700.v8.1 transcript:Manes.04G016700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEIPIDPSQFFTNFSATQQLLPPNPTAATAPKTQQLLPSLNRLRSKDRHKKVEGRGTRIRIPADCAARIFQLTRELGHRTSGETIEWLLYHVPASDFPTTTTAASTCSDTIAVTANSSLHVMPSATSNAASNSSSSSPCSKQKISKSKKTNTPLHVSLNSCASVSGSSFPASNSLDAVLLSTPIRRESEIVRMPMAVVEPERKKEDLRGEFDLFPGANGSLPNMSFTSLLMQLERED >Manes.04G016700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2124595:2126929:1 gene:Manes.04G016700.v8.1 transcript:Manes.04G016700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEIPIDPSQFFTNFSATQQLLPPNPTAATAPKTQQLLPSLNRLRSKDRHKKVEGRGTRIRIPADCAARIFQLTRELGHRTSGETIEWLLYHVPASDFPTTTTAASTCSDTIAVTANSSLHVMPSATSNAASNSSSSSPCSKQKISKSKKTNTPLHVSLNSCASVSGSSFPASNSLDAVLLSTPIRRESEIVRMPMAVVEPERKKEDLRGEFDLFPGANGSLPNMSFTSLLMQLERED >Manes.04G016700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2124595:2126884:1 gene:Manes.04G016700.v8.1 transcript:Manes.04G016700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQEIPIDPSQFFTNFSATQQLLPPNPTAATAPKTQQLLPSLNRLRSKDRHKKVEGRGTRIRIPADCAARIFQLTRELGHRTSGETIEWLLYHVPASDFPTTTTAASTCSDTIAVTANSSLHVMPSATSNAASNSSSSSPCSKQKISKSKKTNTPLHVSLNSCASVSGSSFPASNSLDAVLLSTPIRRESEIVRMPMAVVEPERKKEDLRGEFDLFPGANGSLPNMSFTSLLMQLERED >Manes.03G090703.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20627038:20630832:1 gene:Manes.03G090703.v8.1 transcript:Manes.03G090703.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEPSNPTSQPPVSSSNIEAADATSKMKRKSMKPRSVVWDHFSKFIDDMGIQKGKCNYCEKEFYCDPKKNGTSTLKHHMSACIKNPHSVTTRQSQLSLQPLSSSTQEGGGNYQFGTLSSWHFDQDVARHKLAKMIIIDELPFMLVEGEGFKEWVECIQPKFRIPSRWTISRDCYDLYLEERKKLKSYFQKCSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKILNFCPIGSHKGDDIGMAIESCLLNWGIKRVFTVTVDNASSNDVAVTYLKKKINGWGFRILNCKYLHMRCIAHIINLVVVDGLKENIEAVKRVREAVRYVRQSPARLQKFKSCCEMEGIQSKCHLSLDVSTRWNSTYLMLRTAEKFENAFDRFATIDPCFKFDLVSGKECDDVPDSLDWDYIRKIVDFLGHFYDLTLKISGSRYVTSNIFFDEISSVDCLLQEWKISDDLTLANMGEKMKEKFDKYWGDPDKMNKLIYIAVVMDPRYKMEFMGFALSAVYGNGKGLDLTDKIKSAVYELFDEYKRMFANENANINSIAIENLDEEGSKKRSRMNLGSQFLKHKIEIGEAKNKSDLDCYLNESIHVVDEKDEFDILLWWKLNSNRFPILSHMARDILAIPISTVASESAFSTGGRVLDSFRSSLTPKVVEALICAQDWLRKSYCRKSIEEQIADMERLEEAFANCEVGSSINENSQNTVN >Manes.03G090703.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20627038:20630832:1 gene:Manes.03G090703.v8.1 transcript:Manes.03G090703.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEPSNPTSQPPVSSSNIEAADATSKMKRKSMKPRSVVWDHFSKFIDDMGIQKGKCNYCEKEFYCDPKKNGTSTLKHHMSACIKNPHSVTTRQSQLSLQPLSSSTQEGGGNYQFGTLSSWHFDQDVARHKLAKMIIIDELPFMLVEGEGFKEWVECIQPKFRIPSRWTISRDCYDLYLEERKKLKSYFQKCSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKILNFCPIGSHKGDDIGMAIESCLLNWGIKRVFTVTVDNASSNDVAVTYLKKKINGWGFRILNCKYLHMRCIAHIINLVVVDGLKENIEAVKRVREAVRYVRQSPARLQKFKSCCEMEGIQSKCHLSLDVSTRWNSTYLMLRTAEKFENAFDRFATIDPCFKFDLVSGKECDDVPDSLDWDYIRKIVDFLGHFYDLTLKISGSRYVTSNIFFDEISSVDCLLQEWKISDDLTLANMGEKMKEKFDKYWGDPDKMNKLIYIAVVMDPRYKMEFMGFALSAVYGNGKGLDLTDKIKSAVYELFDEYKRMFANENANINSIAIENLDEEGSKKRSRMNLGSQFLKHKIEIGEAKNKSDLDCYLNESIHVVDEKDEFDILLWWKLNSNRFPILSHMARDILAIPISTVASESAFSTGGRVLDSFRSSLTPKVVEALICAQDWLRKSYCRKSIEEQIADMERLEEAFANCEVGSSINENSQNTVN >Manes.03G090703.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20625655:20630832:1 gene:Manes.03G090703.v8.1 transcript:Manes.03G090703.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEPSNPTSQPPVSSSNIEAADATSKMKRKSMKPRSVVWDHFSKFIDDMGIQKGKCNYCEKEFYCDPKKNGTSTLKHHMSACIKNPHSVTTRQSQLSLQPLSSSTQEGGGNYQFGTLSSWHFDQDVARHKLAKMIIIDELPFMLVEGEGFKEWVECIQPKFRIPSRWTISRDCYDLYLEERKKLKSYFQKCSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKILNFCPIGSHKGDDIGMAIESCLLNWGIKRVFTVTVDNASSNDVAVTYLKKKINGWGFRILNCKYLHMRCIAHIINLVVVDGLKENIEAVKRVREAVRYVRQSPARLQKFKSCCEMEGIQSKCHLSLDVSTRWNSTYLMLRTAEKFENAFDRFATIDPCFKFDLVSGKECDDVPDSLDWDYIRKIVDFLGHFYDLTLKISGSRYVTSNIFFDEISSVDCLLQEWKISDDLTLANMGEKMKEKFDKYWGDPDKMNKLIYIAVVMDPRYKMEFMGFALSAVYGNGKGLDLTDKIKSAVYELFDEYKRMFANENANINSIAIENLDEEGSKKRSRMNLGSQFLKHKIEIGEAKNKSDLDCYLNESIHVVDEKDEFDILLWWKLNSNRFPILSHMARDILAIPISTVASESAFSTGGRVLDSFRSSLTPKVVEALICAQDWLRKSYCRKSIEEQIADMERLEEAFANCEVGSSINENSQNTVN >Manes.03G090703.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20627415:20630832:1 gene:Manes.03G090703.v8.1 transcript:Manes.03G090703.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEPSNPTSQPPVSSSNIEAADATSKMKRKSMKPRSVVWDHFSKFIDDMGIQKGKCNYCEKEFYCDPKKNGTSTLKHHMSACIKNPHSVTTRQSQLSLQPLSSSTQEGGGNYQFGTLSSWHFDQDVARHKLAKMIIIDELPFMLVEGEGFKEWVECIQPKFRIPSRWTISRDCYDLYLEERKKLKSYFQKCSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKILNFCPIGSHKGDDIGMAIESCLLNWGIKRVFTVTVDNASSNDVAVTYLKKKINGWGFRILNCKYLHMRCIAHIINLVVVDGLKENIEAVKRVREAVRYVRQSPARLQKFKSCCEMEGIQSKCHLSLDVSTRWNSTYLMLRTAEKFENAFDRFATIDPCFKFDLVSGKECDDVPDSLDWDYIRKIVDFLGHFYDLTLKISGSRYVTSNIFFDEISSVDCLLQEWKISDDLTLANMGEKMKEKFDKYWGDPDKMNKLIYIAVVMDPRYKMEFMGFALSAVYGNGKGLDLTDKIKSAVYELFDEYKRMFANENANINSIAIENLDEEGSKKRSRMNLGSQFLKHKIEIGEAKNKSDLDCYLNESIHVVDEKDEFDILLWWKLNSNRFPILSHMARDILAIPISTVASESAFSTGGRVLDSFRSSLTPKVVEALICAQDWLRKSYCRKSIEEQIADMERLEEAFANCEVGSSINENSQNTVN >Manes.03G089503.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19640832:19642479:1 gene:Manes.03G089503.v8.1 transcript:Manes.03G089503.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVIAKLYSVFFKYQQKSLLQSLSQLPFSDKADPFGLTSRPHESIASSNPLFTDGVATKDIHVDPFSSLSLRIFLPETALASPLATSRDYDVPTYGGYSPPTSKFNRKLPVMLQFHGGGFVSGSNDSVANDTFCRRIAKLCDVIVVAVGYRLAPESRYPAAFEDGLTVLNWLAKQANLASCRRSFQLSAAAQAVGEVNLEKKFAAASESLRRGIMFANSLYL >Manes.03G089503.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19640833:19642674:1 gene:Manes.03G089503.v8.1 transcript:Manes.03G089503.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVIAKLYSVFFKYQQKSLLQSLSQLPFSDKADPFGLTSRPHESIASSNPLFTDGVATKDIHVDPFSSLSLRIFLPETALASPLATSRDYDVPTYGGYSPPTSKFNRKLPVMLQFHGGGFVSGSNDSVANDTFCRRIAKLCDVIVVAVGYRLAPESRYPAAFEDGLTVLNWLAKQANLASCRRSFQLSAAAQAVGEVNLEKKFAAASESLRRGRLIL >Manes.06G108600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24054979:24055764:-1 gene:Manes.06G108600.v8.1 transcript:Manes.06G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQHQQQRPGLEGFKGVLPEKGGGPTTSQIIAVVTLLPLSGTLLFLAGITLTGTLIGLAVTTPVFVICSPVLVPAALVIGLAVVGFLASGAFGITALSSLSWMANYLREMRGKLPQQMEQAKKRMQETAGQMGQKARETGQAVQSRAQEGTRGTEGGRT >Manes.14G065300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5458994:5462574:1 gene:Manes.14G065300.v8.1 transcript:Manes.14G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSNYLRLISWTKQLTCLVNQGLHHQALVLFNHMQTSLALSLDPYVFSLLLKSCSAIHRPQLGAAVHSHAVKMGLLSNPFIACALVDMYGKCVSLFSARKLFDEIPQRNVVVWNAMISLYTHSNLLHDALCLFESMDSVPNASTCNAIIAGLSGMEGGSPKAIAFYWRMRELDLKPNFITLLALLPACVGIAALDLVKEIHGHSIRNNIDPHPQLRSGLVDAYGRCGYLVNASHVFYSMKERDVVAWSSLISAYALHGEARCALEIFGQMEMAKVRPDDITFLAVLKACSHAGLADEALDFFSRMREDYGLHPNTDHYSCLVDVLSRAGRLYEAYKVIQDMPVKVTAKAWGALLGACRTYGEVELAEIAGRALFEIEPDNPANYVLLARIYASVGRHEEAQRMRREMTERGVKVAPGSSWVV >Manes.10G047933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5262716:5263778:1 gene:Manes.10G047933.v8.1 transcript:Manes.10G047933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFALVVPLFLLLSILSSINARKDLDEYWKGVTKDQPVPEAMQKLLQASDEKTNCHTTKTLEPNADLKIYHNDDFLKEGKSSFLQQFEPRPDVSIYHNDIGLKTRISLEYH >Manes.01G192000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36717853:36723163:1 gene:Manes.01G192000.v8.1 transcript:Manes.01G192000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASEVTDGPVLGFINKRLRALRKKYNRILQMEESISQGKPINKEQVDVLRSKPSVSAAIEELEKLRQPLATAVSEEIALAIQRHQQQSSISDNAIADKDDSEKTNCDTDGGTPSDGGSVVEVLLNLLYFGSMFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDVLSKLGGLLISRPVDSGLSHKHALQRCIQHAKLWLANSDQPIEANANTSYAQLRERLNKIMASDYFTTTPEMKAPVEVAADAAGNYASFQVPGHSAPAQVEGSVEQYEAKLTTCKLGICWQWIRLLQ >Manes.01G192000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36717853:36723163:1 gene:Manes.01G192000.v8.1 transcript:Manes.01G192000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASEVTDGPVLGFINKRLRALRKKYNRILQMEESISQGKPINKEQVDVLRSKPSVSAAIEELEKLRQPLATAVSEEIALAIQRHQQQSSISDNAIADKDDSEKTNCDTDGGTPSDGGSVVEVLLNLLYFGSMFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDVLSKLGGLLISRPVDSGLSHKHALQRCIQHAKLWLANSDQPIEANANTSYAQLRERLNKIMASDYFTTTPEMKAPVEVAADAAGNYASFQVPGHSAPAQVEGSVEQYEAKEELETEILVEVVSSEQEQARPSEVSMIRR >Manes.01G192000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36717853:36723163:1 gene:Manes.01G192000.v8.1 transcript:Manes.01G192000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASEVTDGPVLGFINKRLRALRKKYNRILQMEESISQGKPINKEQVDVLRSKPSVSAAIEELEKLRQPLATAVSEEIALAIQRHQQQSSISDNAIADKDDSEKTNCDTDGGTPSDGGSVVEVLLNLLYFGSMFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDVLSKLGGLLISRPVDSGLSHKHALQRCIQHAKLWLANSDQPIEANANTSYAQLRERLNKIMASDYFTTTPEMKAPVEVAADAAGNYASFQVPGHSAPAQVEGSVEQYEAKLTTCKLGICWQWIRLLQ >Manes.01G192000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36717853:36723163:1 gene:Manes.01G192000.v8.1 transcript:Manes.01G192000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASEVTDGPVLGFINKRLRALRKKYNRILQMEESISQGKPINKEQVDVLRSKPSVSAAIEELEKLRQPLATAVSEEIALAIQRHQQQSSISDNAIADKDDSEKTNCDTDGGTPSDGGSVVEVLLNLLYFGSMFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDVLSKLGGLLISRPVDSGLSHKHALQRCIQHAKLWLANSDQPIEANANTSYAQLRERLNKIMASDYFTTTPEMKAPVEVAADAAGNYASFQVPGHSAPAQVEGSVEQYEAKLTTCKLGICWQWIRLLQ >Manes.01G192000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36718030:36719002:1 gene:Manes.01G192000.v8.1 transcript:Manes.01G192000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASEVTDGPVLGFINKRLRALRKKYNRILQMEESISQGKPINKEQVDVLRSKPSVSAAIEELEKLRQPLATAVSEEIALAIQRHQQQSSISDNAIADKDDSEKTNCDTDGGTPSDGGSVVEVLLNLLYFGSMFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDVLSKLGGLLISRPVDSGLSHKHALQRCIQHAKLWLANSDQPIEANANTSYAQLRERLNKIMASDYFTTTPEMKAPVEVAADAAGNYASFQVPGHSAPAQVEGSVEQYEAKVLLHFF >Manes.01G192000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36717853:36723163:1 gene:Manes.01G192000.v8.1 transcript:Manes.01G192000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASEVTDGPVLGFINKRLRALRKKYNRILQMEESISQGKPINKEQVDVLRSKPSVSAAIEELEKLRQPLATAVSEEIALAIQRHQQQSSISDNAIADKDDSEKTNCDTDGGTPSDGGSVVEVLLNLLYFGSMFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDVLSKLGGLLISRPVDSGLSHKHALQRCIQHAKLWLANSDQPIEANANTSYAQLRERLNKIMASDYFTTTPEMKAPVEVAADAAGNYASFQVPGHSAPAQVEGSVEQYEAKDEDTANLLGHETGDNLSSHDEELQKEELETEILVEVVSSEQEQARPSEVSMIRR >Manes.01G192000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36717853:36723163:1 gene:Manes.01G192000.v8.1 transcript:Manes.01G192000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASEVTDGPVLGFINKRLRALRKKYNRILQMEESISQGKPINKEQVDVLRSKPSVSAAIEELEKLRQPLATAVSEEIALAIQRHQQQSSISDNAIADKDDSEKTNCDTDGGTPSDGGSVVEVLLNLLYFGSMFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDVLSKLGGLLISRPVDSGLSHKHALQRCIQHAKLWLANSDQPIEANANTSYAQLRERLNKIMASDYFTTTPEMKAPVEVAADAAGNYASFQVPGHSAPAQVEGSVEQYEAKLTTCKLGICWQWIRLLQ >Manes.01G192000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36717853:36723163:1 gene:Manes.01G192000.v8.1 transcript:Manes.01G192000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASEVTDGPVLGFINKRLRALRKKYNRILQMEESISQGKPINKEQVDVLRSKPSVSAAIEELEKLRQPLATAVSEEIALAIQRHQQQSSISDNAIADKDDSEKTNCDTDGGTPSDGGSVVEVLLNLLYFGSMFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDVLSKLGGLLISRPVDSGLSHKHALQRCIQHAKLWLANSDQPIEANANTSYAQLRERLNKIMASDYFTTTPEMKAPVEVAADAAGNYASFQVPGHSAPAQVEGSVEQYEAKLTTCKLGICWQWIRLLQ >Manes.09G011902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2811075:2812795:1 gene:Manes.09G011902.v8.1 transcript:Manes.09G011902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPSRAQFSRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLELEPDVVTFTTLINGLCIESKIDKAVEFFDDMVACGYQPDVRTYSVIINGLCKFGKTNLAIGLLKGMPDRGCEPKVVTYIAILDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNILIDTLCKKGLISNAENIIKIMIQRGVEPTIVTYSLLMDGYCLGSQIDKARKLFDLMVTNQIADIFSYNILINGYCKCKMIDDAKQIFYEMSHKGLVPDAVTYHTLIKAMFQAGRRQTAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEVYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVV >Manes.01G002051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1734049:1740980:-1 gene:Manes.01G002051.v8.1 transcript:Manes.01G002051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNGREGGGNRADENGSNGESIIQAAYSHQAAAVVSSDSVTNISNNSNNIYSNTTPPHSPARSASPLLFAPQVPVAPLQRPDGPPFLNQMWRNESPRVVDHPSEQGIPTIISWNYGGNEVFLEGSWDNWMSRKQLQRSGKDHSILMVLPSGIYHYKFIVDGEWRYIPDLPFVADEMGCVCNLLDVNNNVPENLDSVAEFEVPPSPDSTYGQSFPTEEDFAKEPLVVPQQLHLTVLDMENSNEDSSSSKPQHVVLNHLFIEKGWASQSLVALGLTHRFQSKFVTVVLYKPVKR >Manes.02G151500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11593715:11595359:-1 gene:Manes.02G151500.v8.1 transcript:Manes.02G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHLVCDADSLLLSSTPSTDINTNRHTDIEPLELFPSASYSSIQHTPLLSSFLSSPHPSLIENPKASSTDGDKEEVTVALHIGLPDHSHSSINPNVKVHANVVTKYWIPTPEQILIGFTHFSCHVCFKTFNRYNNLQMHMWGHGSQYRRGPESLKGTQPRAMLGIPCYCCAEGCKNNIQHPKAKPLKDFRTLQTHYKRKHGLKPFMCRKCGKFLAVKGDWRTHEKNCGKRWLCICGSDFKHKRSLKDHIKAFGSGHGPFPPSSSYDGIQLFDDGSALA >Manes.02G080100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6241247:6243524:-1 gene:Manes.02G080100.v8.1 transcript:Manes.02G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAQAGLSLIKQLASCDNKSRNRSLGVLLKTWLPSQSQISDDDMKKLWKGLFYCVWHADKLPAQSHLIDRLSSILPQLHLPVSVQYFSVFLLTMRREWTGIDGLRLDKFYLLIRKFMHFFFLLLKKNSWDLELSARLMSVLVENTFLADDKLLGNGVNYHIASVFLEELRPLLPLSKEVVDVLLGPFVSIMGKMSDKILLGKIKSNVFDWLLKMGNKLLEIKKSMVDVDSGDDAFVLGSIALAFGFSAKFYELGSAVECPQGNRKVLFGLHEQFLKLEKDFASLGIDISLPEIKEDTDEDEVPNLVPLSTGMEGAGSDTDMANMSGSKRLKKCKKGKKASSSSRKKTKKQKDLISDCDTEEDDNTVVPNCGKSNEESNHDSNLITFNESVISNLQMQFEKVATEVNLDKNVGSACDFLEVSGNGIVSKKRKRAKGMDKQQSDDPELRGEVNDNSGATVKSTEKSAKKVRFSMKNNLVWKPHSPLPPQSLRIPPSVTPRGSALKKGIPPGPIREMPATKKAKQKAKAVKKSRKVIIGVAPAIKRLKKLKTVSI >Manes.05G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1046492:1054356:1 gene:Manes.05G009000.v8.1 transcript:Manes.05G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLCDPPLVNRFPSVSSFSPKSFLANENYRLETHLRSSFLGAKSLQMFRSAAKTSKLGSNGRRRMLAMASLGGFLGGMFKGTDTGESTRQQYAPTVTLINGLEAEMSALSDSKLREKTSSLKERAQMGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEQRRENYLCDITYVTNSELGFDFLRDNLATSVEELVLRSFNYCIIDEVDSILIDEARTPLIISGPAEKPSDRYYKAAKVASAFERDIHYTVDEKQKTVLLTEQGYEDAEEILDVKDMYDPREQWALYILNAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMIRKDESDVVFRATTGKWRAVVVEISRMHKTGRPVLVGTTSVEQSDALSEQLCEAGIPHEVLNAKPENVEREAEIVAQSGRVGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPTEGGFVSVKKLPPRKTWKVNESLFPCNLTNENTNLAEEAIQLAVKTWGQRSLTELEAEDRLSYSCEKGPAQDEVIAKLRYAFLGIVREYKVYTEEERKKVISAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALQSDNLQSLIIEYAELTMDDILEANIGSDAPKESWDLEKLISKVQQYCYLLNDLIPDMLRSKCSSYEDLQNYLRLRGREAYLQKRDIVEQEAPGLMTEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSIYQFQPVLVKKDQEQSQNEKAAKLVTNGRGGKKKASPANVAESSSAASPQASA >Manes.05G114900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14544343:14548506:-1 gene:Manes.05G114900.v8.1 transcript:Manes.05G114900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQDRSKPLHNFSLPSFKWGHQRVLRCVKVTDDPHLRSSSSIPGGFQPKPTNLETPKNHKPISIQENPISPDLRFNGVAKRLKVSPVEEERGNDDSIRPWNLRTRRAACKAPLRIEEKTNVDSPRKALEIDSLRRNATSSVKRQSTEPEKERVKFSVPLSKEEIEQDFMEICRIRPPRRPKKRPRIVQKYLDSVFPGLWLTEVTPDSYKVPEAPES >Manes.05G114900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14544157:14548567:-1 gene:Manes.05G114900.v8.1 transcript:Manes.05G114900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQDRSKPLHNFSLPSFKWGHQRVLRCVKVTDDPHLRSSSSIPGGFQPKPTNLETPKNHKPISIQENPISPDLRFNGVAKRLKVSPVEEERGNDDSIRPWNLRTRRAACKAPLRIEEKTNVDSPRKALEIDSLRRNATSSVKRQSTEPEKERVKFSVPLSKEEIEQDFMEICRIRPPRRPKKRPRIVQKYLDSVFPGLWLTEVTPDSYKVPEAPES >Manes.05G114900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14547299:14548305:-1 gene:Manes.05G114900.v8.1 transcript:Manes.05G114900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQDRSKPLHNFSLPSFKWGHQRVLRCVKVTDDPHLRSSSSIPGGFQPKPTNLETPKNHKPISIQENPISPDLRFNGVAKRLKVSPVEEERGNDDSIRPWNLRTRRAACKAPLRIEEKTNVDSPRKALEIDSLRRNATSSVKRQSTEPEKERVKFSVPLSKEEIEQDFMEICRIRPPRRPKKRPRIVQKYLDSVFPGLWLTEVTPDSYKVPEAPES >Manes.05G114900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14547109:14548531:-1 gene:Manes.05G114900.v8.1 transcript:Manes.05G114900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQDRSKPLHNFSLPSFKWGHQRVLRCVKVTDDPHLRSSSSIPGGFQPKPTNLETPKNHKPISIQENPISPDLRFNGVAKRLKVSPVEEERGNDDSIRPWNLRTRRAACKAPLRIEEKTNVDSPRKALEIDSLRRNATSSVKRQSTEPEKERVKFSVPLSKEEIEQDFMEICRIRPPRRPKKRPRIVQKYLDSVFPGLWLTEVTPDSYKVPEAPES >Manes.08G080200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23522829:23531979:-1 gene:Manes.08G080200.v8.1 transcript:Manes.08G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDHQEPTIEINGLRFTYPGIDGHPPPGSKPLIDDFSLTLKSGDRCLLVGSNGAGKTTILKILGGKHMVEPQMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGFEVPIQMDVSAEKMIFGVAGIDPQRRAELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLRPFKVLLLDEITVDLDVLARADLLKFLRKECEERGATIIYATHIFDGLEDWPTHIVYVANGKLQLAMPMAKVKEISNLSLMRTVESWLRKEKDEERKRRKERKQSGVPEFEKQVEGSRVTGDPAGAAVRVMNNGWAAGRLHSTIAGEENFFLSSNRVLRQ >Manes.09G150200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34752152:34753992:-1 gene:Manes.09G150200.v8.1 transcript:Manes.09G150200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAAIADSQPQPPTMHAQFPSSSIMQQGAQYMQHQQAQQMTSQSLMGARSSMLYTQQQLASLQQQQAVHSQLGMSSGGSPGLHMLQSEASTAGGSGGLGIGGFPDFGRDAGGRGMAGGSKQYIGSAGSADGRGGSSGGGGNDGGETLYLKSADDGN >Manes.09G150200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34752152:34758268:-1 gene:Manes.09G150200.v8.1 transcript:Manes.09G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQEMQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQAKLQRNLMYLAAIADSQPQPPTMHAQFPSSSIMQQGAQYMQHQQAQQMTSQSLMGARSSMLYTQQQLASLQQQQAVHSQLGMSSGGSPGLHMLQSEASTAGGSGGLGIGGFPDFGRDAGGRGMAGGSKQYIGSAGSADGRGGSSGGGGNDGGETLYLKSADDGN >Manes.09G150200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34752152:34758177:-1 gene:Manes.09G150200.v8.1 transcript:Manes.09G150200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQEMQQHLMQMQPMMAAYYPNNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQAKLQRNLMYLAAIADSQPQPPTMHAQFPSSSIMQQGAQYMQHQQAQQMTSQSLMGARSSMLYTQQQLASLQQQQAVHSQLGMSSGGSPGLHMLQSEASTAGGSGGLGIGGFPDFGRDAGGRGMAGGSKQYIGSAGSADGRGGSSGGGGNDGGETLYLKSADDGN >Manes.10G087532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22393898:22394572:-1 gene:Manes.10G087532.v8.1 transcript:Manes.10G087532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSIFTHAGRPFGHLDHGRMLSNEEYRAAHLYVLLNCPEIDPFIEIFYSHLRETIPNISDQQIERMREQELANWLKDYVGRKEVDNCIYQIAQGPSRKVQSYKGYFVNGFKFHRHDYGR >Manes.02G212292.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:26828005:26829883:1 gene:Manes.02G212292.v8.1 transcript:Manes.02G212292.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVETDASQWGIGAVLQQENRPIAFISRAFGPRNQGLSMHEKKIPKSGDKDTILVVVCRFTKYSHVISLKHPISASVVAKAFIDNVFRLHGALYGYSPPFLPLISEGIPAVEEVGKFLQERQWPSGRLALRQKLKLSARFYGPFQVLSRVGAVAYKLRLPAACSIHPVFHVSMLKKKLGGEGISPVIDLPATQEAEMLITREKILATRKITSRQETVLQGLVKWLNLSVEDATWEDKDLILVNFQNFYILGDKNVLMEEVLSHTEGGDIRGRIT >Manes.02G154400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12010522:12011589:-1 gene:Manes.02G154400.v8.1 transcript:Manes.02G154400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMDFYSSRHPQSAPFGGELMEALEPFMKSASSSTTPSPSSLPPLPSTSYNYLPFSYSPSASQDQAFFHPDGCSTSTTLQFSTGFSIDDPTALQQPGSIGLNHLTPTQIHQIQTQVQLQNQNGFHFQSYYNQNHHTPSFLGPKPIPMKQVGSPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHQGSHIQGKFGEYKPLPSSVDAKLEAICESLAESQKQGGKAEKKSSTKKKAQVGTTPVLAAAKEDESQQEDALVKCCKVETPSPLLTESEGSGGSSPLSDLTFPDFEEAPLDVDSGNFNLEKYPSYEIDWASLLS >Manes.13G077643.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12298314:12300652:-1 gene:Manes.13G077643.v8.1 transcript:Manes.13G077643.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVGDSGKGKVRVLIEKATNSTAAEVDPRLLEAIKSVVRYSDSELRLAAQTLMDHMKRDHSQVRYLTLLIIDQLFMRSKLFRTLLVENLDQLLSLSVGFRRNLPLPAPPAVASVLRSRAIEFLEKWNDSFGIHYRQIRLGFDYLKHTLRFQFPNIQANAARIQQERREREMRSKEILQSKFAILKGNLSSIKEEVQSTLDEIGECLKIVHTEKETIPLGPLDDEDFEEEGEKIHENSENKVVFDALRELYKLLVTKHLVSVQEWISVLIRVEVSDNRSRDSMLKEFIDMQNRLQTVKKKCIELGYALPDTTKREKNEEEDFWEEGKIESFEHESSNALNKLNDNTLVASTSGEVKNKSPESSKGKANCNQSQSCEHGGTDSSSLRSKLLAEAPVINWGTFLNNWGSDQVFLANQRGLELESHWGRVDYDAVIPAEKIAELNIRRTIYEEEQVEIQPCRAPLHKGGLCQRRDLRACPFHGPIIPRDDEGNPINKSTSTSDTILDSDLVEQLAKQAVKNVRDRDTEDAKKRKLDKQSQKRAKLAKIREHNEAVLRDAALASTSNSAFVGEEVMATTGGRSALNKKESLASMLHKKESSKDRLAQRLLNTRTRDATMRQLTLGEDANYREAFPNQW >Manes.08G035700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3460046:3462829:1 gene:Manes.08G035700.v8.1 transcript:Manes.08G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHNIASECVCYVHCNFCNTNLVVNVPGNITVNVVTVKCGHCSNLLSLNTEALPQNTHNLQNVLKQNIFYQDLSEESGSSKSTEVSASDSSSENEHPRTLSVHGTMGKRQRAPSAYNKFIKEEIRRLKINNPKITHKEAFSTAAKNWAHLPHTDFGLTLNGNIKEIEGQGA >Manes.08G035700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3460046:3462829:1 gene:Manes.08G035700.v8.1 transcript:Manes.08G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHNIASECVCYVHCNFCNTNLVVNVPGNITVNVVTVKCGHCSNLLSLNTEALPQNTHNLQNVLKQNIFYQDLSEESGSSKSTEVSASDSSSENEHPRTLSVHAGTMGKRQRAPSAYNKFIKEEIRRLKINNPKITHKEAFSTAAKNWAHLPHTDFGLTLNGNIKEIEGQGA >Manes.10G091000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23522192:23523794:1 gene:Manes.10G091000.v8.1 transcript:Manes.10G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFARKAVSSAAKGSLAGSHHSRRPQRVSLPPYASHGSVVNAQLKSKVTPPTSSQLGKFLGFVDPPRSEISKVISKFIKLHIRQNPGMKKNRNFEEKLMSLLQNQQRIGIQEISKVLFPN >Manes.10G144600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31257499:31260209:-1 gene:Manes.10G144600.v8.1 transcript:Manes.10G144600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILSPSARLSSSTTAQKPPQIPPPPKTHLPIPPHKPLLTTALTAAIAATTILTTAGPSLADPAQTYHIYYGTAASAANYGGYGGNSDKKASAEYIYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKRTEKEYLTFLAGFRQLAPKDMVLNNLALSDVDLQDLISGADSVKSEEKKDEDGQLYYVYEIDGIGKHSLIKV >Manes.10G144600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31257499:31260209:-1 gene:Manes.10G144600.v8.1 transcript:Manes.10G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILSPSARLSSSTTAQKPPQIPPPPKTHLPIPPHKPLLTTALTAAIAATTILTTAGPSLADPAQTYHIYYGTAASAANYGGYGGNSDKKASAEYIYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKRTEKEYLTFLAGFRQLAPKDMVLNNLALSDVDLQDLISGADSVKSEEKKDEDGQLYYVYEIDGIGKHSLIKVTCAKNKLYAHFVNAPTPEWNKDEETLRHLHDSFKTVGSF >Manes.08G039900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3902469:3905350:1 gene:Manes.08G039900.v8.1 transcript:Manes.08G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATYPPPPPYYRLYKDYLQNPKSAPEPPPPIERTYVCFGANYTTDYVLPSLEEQGVRRLYPNGPNVDFKKELRSLNRELQLHLLELADVLIERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQAVEDIKRRREEAQKLLKEALGTLDGQ >Manes.12G090016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15937791:15938631:1 gene:Manes.12G090016.v8.1 transcript:Manes.12G090016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVMARSRTALHVRTRNCGKQQRSAAHLWWLIGLMERVKFVFLDLRVWAVVA >Manes.14G008900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:496270:500761:-1 gene:Manes.14G008900.v8.1 transcript:Manes.14G008900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKSVSSLKEADLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMDHGSKVILCSHLGRPKGVTPKYSLKPLVPRLSELLGVQVKIANDCIGEEVEKLVAELSEGGVLLLENVRFHKEEEKNDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLGKVDILILGGGMIFTFYKAQGHSVGSSLVEEDKLDLATSLIEKAKAKGVSLLLPTDVVIADKFAADADSKVVPASAIPDGWMGLDVGPDAIKTFCEALDTTKTIIWNGPMGVFEFDKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Manes.09G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11386828:11391534:1 gene:Manes.09G068100.v8.1 transcript:Manes.09G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNGTEMRQASKFKRICVFCGSSQGRKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGVTVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINPSARNIIVSAPTAKELVMKLEEYVPCHERVASKLSWEINQLEYSQSYDISR >Manes.09G068100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11387325:11391534:1 gene:Manes.09G068100.v8.1 transcript:Manes.09G068100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSQAVHDGGRHVIGVIPKTLMPRELTGVTVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINPSARNIIVSAPTAKELVMKLEEYVPCHERVASKLSWEINQLEYSQSYDISR >Manes.09G068100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11386828:11391534:1 gene:Manes.09G068100.v8.1 transcript:Manes.09G068100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSQAVHDGGRHVIGVIPKTLMPRELTGVTVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINPSARNIIVSAPTAKELVMKLEEYVPCHERVASKLSWEINQLEYSQSYDISR >Manes.13G082024.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11803108:11805181:1 gene:Manes.13G082024.v8.1 transcript:Manes.13G082024.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCELCKFPARIYCESDRACICWDCDTKVHGANFLVSRHARNLLCQTCESITPWKATGARLDRTVSICDLCANGANLKKEKPEEPSDDVSTEDGDDLSTGDRLEDERAADNQVVPRSSASPPPAISSSSSSSSSSQETVSGFTRRVFCESVNVKSLKRSREGLIDLRSMFQVDADRSASPRCYGVPALTTQVRGGGGDGETVSVDCSLSWRPLKDRRTETECSFKAGSRSVAVIVSLERLHQHSNEEPNKSETVDADSSGNASRPI >Manes.08G113100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35232226:35237322:1 gene:Manes.08G113100.v8.1 transcript:Manes.08G113100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASIHSSAVEKKLEHLPSMVAGVWSDDSNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTRVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVIEANIIGPLVHLLQNAEFDIKKEAAWAISNATSGGSHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNLGNTGGVNQYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLETYWLEEEDETMPPGDASQSGFQFGGGEMPAVPSGGFNFS >Manes.08G113100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35232226:35238353:1 gene:Manes.08G113100.v8.1 transcript:Manes.08G113100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAEHSNYQLRCSYLTSHYWLVVYSGASLCVLLFFILLRFLELEHLPSMVAGVWSDDSNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTRVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVIEANIIGPLVHLLQNAEFDIKKEAAWAISNATSGGSHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNLGNTGGVNQYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLETYWLEEEDETMPPGDASQSGFQFGGGEMPAVPSGGFNFS >Manes.08G113100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35232204:35238353:1 gene:Manes.08G113100.v8.1 transcript:Manes.08G113100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASIHSSAVEKKLEHLPSMVAGVWSDDSNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTRVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLGHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVIEANIIGPLVHLLQNAEFDIKKEAAWAISNATSGGSHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNLGNTGGVNQYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLETYWLEEEDETMPPGDASQSGFQFGGGEMPAVPSGGFNFS >Manes.18G141005.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25557693:25565010:1 gene:Manes.18G141005.v8.1 transcript:Manes.18G141005.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMYGQDGESAPPSYGGYGGGSAGGYGGGSGGGGGGGGYGGGASGGGYGGDGGYGGSGGGRGGHGGRGGGRGYGGNSQNRGGGGGYQGGDRGGRGGGGGGRGGGRGGSGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPSGANNDRGNGAGGGYNKGGSGGGYGGNRGGRGGNYDGNRSNNYSDGGRGGSYDNRSGGGSRGASYGGNQGRDNGAYNQIPPPALPSYGSGSGSASGSYPPPPNSYGGNTNYGMEAVPPPTSYTGGPTSYPPSYGGPVSGYGGDVPADVRTGGRGGQPGGPRYEGSGGYGGAAAAGAPAKIKQCDENCDDTCDNSRIYISNLPPDVTTEELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVSMAEKSAPRSQPSYDHGGGRGGYGGGDRRRDSYRDGGSGPDRHHHSGNRSRPY >Manes.18G141005.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25557693:25565010:1 gene:Manes.18G141005.v8.1 transcript:Manes.18G141005.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMYGQDGESAPPSYGGYGGGSAGGYGGGSGGGGGGGGYGGGASGGGYGGDGGYGGSGGGRGGHGGRGGGRGYGGNSQNRGGGGGYQGGDRGGRGGGGGGRGGGRGGSGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPSGANNDRGNGAGGGYNKGGSGGGYGGNRGGRGGNYDGNRSNNYSDGGRGGSYDNRSGGGSRGASYGGNQGRDNGAYNQIPPPALPSYGSGSGSASGSYPPPPNSYGGNTNYGMEAVPPPTSYTGGPTSYPPSYGGPVSGYGGDVPADVRTGGRGGQPGGPRYEGSGGYGGAAAAGAPAKIKQCDENCDDTCDNSRIYISNLPPDVTTEELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVSMAEKSAPRSQPSYDHGGGRGGYGGGDRRRDSYRDGGSGPDRHHHSGNRSRPY >Manes.14G164700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26010249:26014218:1 gene:Manes.14G164700.v8.1 transcript:Manes.14G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNHFLKMGSIGNLWFLALVLLSTLSLLSATGKKTYIVHMNHNLKPLSHATHHHWYQSLTSTSDSILYTYTAAFPGFAAYLDPEEADSLKKMDSVLNVFESRLRSLHTTRSPEFLGIHSNFGLGDGRQFQEIEQAAHNVIIGFVDTGVWPESKSFDDTGLPEIPKRWKGKCLSAKDFNPKLCNKKLIGARYFLKGLEKEAPGEEPLSPLDYNGHGTHTASTAAGSPVANVSVGRYGSGTVRGMAVRARVAIYKACGNRGCADADTLAAIDRAILDGVDVISMSFGNDTGVPYHEDTNALGAFHAMQHGVFVSAAGGNSGYRRSLMGNMAPWMLTVGAGSIDRDFPAYILLGNKQLFRGISIYDGPRMGKKLVGLVYNKGNNSLSNYCSKGTVEPALVRGKVVICDAGESTSVENGLRVRKAGGVGMIVVNPFALKELSVENDLVPTVAIGTKVGNLIKEYEKTNPNPKVILGFGGVQVNVKPSPMVAEFSCRGPNPVTPQILKPDIIAPGVNIMAAWPEAVSPSRLKMDKRTVKFNYMTGTSMACPHASGIAALIKAAHPSWSISAIKSAMMTTAYNLDNTNFPIRDVATGKRANPWDFGSGHVNPAKAFSPGLIYDISKQDYAKFFCSLNYPLDQVKLNIDCSEKFADIGQLNYPSFSVFFTGNRTMVQYSRELTNVGPANSIYGVTVDAPPSVAVTVNPRRLVFRRVGEKHKYTVTFTDKSDKKSNVQATFGWIMWSNNDYKVRSPVAFAWP >Manes.14G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3189186:3198288:1 gene:Manes.14G036500.v8.1 transcript:Manes.14G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKEICLEGFKSYATRTVVQGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSIVFDNSDRSRSPLGYVDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKDAALKTLEKKQTKVDEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCIAYEYVQAEKIRDSAVGEVEQIKAKIVEIDNDKEKTQLEIQEMETKISQLTAEKEANMGGEVKILSEKVHALSQDLVREVSVLNNKEDTLTSENENSEKLVCSIEDLKQSVEERASAVRNSEEGAADLKKKVEELSKSLEEHEKDYQGVLAGKSSGNEEKCLEDQLTEAKVAVGNAETELKQLKTKISHCEKELKEKKHQLMSKREEEVAIENELNARKKDVENVKLALESLPYMEGQMEAMQKDRASEMELMQKLKDKIRDLLAQLSNVQFTYRDPVKNFDRSKVKGVVARLIKVKDSSTMTALEVTAGGKLFNVVVDTENTGKQLLQNGDLRRRVTIIPLNKIQSRTVPQKVQQAAGRLVGKGNAGLALSLVGYDEDLRNAMEYVFGSTFVCKTIDAAKEIAFNREISTPSVTLEGDIFQPSGLLTGGSRKGGGNLLRQLHELAEAESDLLLHERKLSEIEAKIMDILPLHKKFIDLKKQLELKQYDLTLFQGRAEQNEHHKLGELVKKIEQELEEANSMVKEKQVAYNDCVSMVLMLEKSIKEHDNNREGRLKDLQKKIKAIKSEVQLASKELKGHENERERLIMEQEAVFKEQISLESQLNSMRMQITHLNLEVDEQKAKVVSIRKDHDQVQSELNLIRQKMKECDSQISSILKEQKQLEHNVGEIKLERKKLENEVKRLEMEQKDCSMKVDKLIEKHAWIAAEKPLFGRSGTDYDFMSRDPYKAREELEKLQAEQSGLEKRVNKKVMAMFEKAEDEYNELMSKKNIIENDKSKIKKVIEELDEKKKATLKVTWTKVNNDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKQNK >Manes.14G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3189186:3198288:1 gene:Manes.14G036500.v8.1 transcript:Manes.14G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKEICLEGFKSYATRTVVQGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSIVFDNSDRSRSPLGYVDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKDAALKTLEKKQTKVDEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCIAYEYVQAEKIRDSAVGEVEQIKAKIVEIDNDKEKTQLEIQEMETKISQLTAEKEANMGGEVKILSEKVHALSQDLVREVSVLNNKEDTLTSENENSEKLVCSIEDLKQSVEERASAVRNSEEGAADLKKKVEELSKSLEEHEKDYQGVLAGKSSGNEEKCLEDQLTEAKVAVGNAETELKQLKTKISHCEKELKEKKHQLMSKREEEVAIENELNARKKDVENVKLALESLPYMEGQMEAMQKDRASEMELMQKLKDKIRDLLAQLSNVQFTYRDPVKNFDRSKVKGVVARLIKVKDSSTMTALEVTAGGKLFNVVVDTENTGKQLLQNGDLRRRVTIIPLNKIQSRTVPQKVQQAAGRLVGKGNAGLALSLVGYDEDLRNAMEYVFGSTFVCKTIDAAKEISTPSVTLEGDIFQPSGLLTGGSRKGGGNLLRQLHELAEAESDLLLHERKLSEIEAKIMDILPLHKKFIDLKKQLELKQYDLTLFQGRAEQNEHHKLGELVKKIEQELEEANSMVKEKQVAYNDCVSMVLMLEKSIKEHDNNREGRLKDLQKKIKAIKSEVQLASKELKGHENERERLIMEQEAVFKEQISLESQLNSMRMQITHLNLEVDEQKAKVVSIRKDHDQVQSELNLIRQKMKECDSQISSILKEQKQLEHNVGEIKLERKKLENEVKRLEMEQKDCSMKVDKLIEKHAWIAAEKPLFGRSGTDYDFMSRDPYKAREELEKLQAEQSGLEKRVNKKVMAMFEKAEDEYNELMSKKNIIENDKSKIKKVIEELDEKKKATLKVTWTKVNNDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVASKQNK >Manes.15G150700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12495880:12497942:-1 gene:Manes.15G150700.v8.1 transcript:Manes.15G150700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMLCDELLQEIFSKLASKSSPLPPSSTALSVSLVSKRWLRLYRTSKTSLSLRLTPENSTIQSLPSLLSHYPFLSSLSLLFSSEPTSTTPTSITTAFNDNLLFIVSNFCSKLKHLRFLAGPVSISSLFSLSNTCALLTSLTIFLSRPLFLNWVANFSNLKELSVYVSDSDGIGNEFYLNRESDLYVNQEIDGELGLESLYLSGIKRDDSGFGWLWRSCKKLKKLQLKSCEGIGDGGSFFSFIRCLKDLQELELRTCRSIANGVLLRLAVYCDSLNSLLVYDGGSREGLLHFINNCRCNHLQKLDLRLPLDLNNNHLSAIAANFRCLSTLRLQSCCLVTGEGLKALGIARSSELNELALINCDVVGRESGLLATLGQHLKQLRKLDLSHNESLLDKEFISMLVSCNNLIELRLRGCKRLTSLSILSMFKSCKRLQNVDIVHCCGIEAEAVESFVLNSPQLRQIQVEENKISDVARKWASHKFIEIAS >Manes.17G016050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8132358:8133819:1 gene:Manes.17G016050.v8.1 transcript:Manes.17G016050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKFIPRGC >Manes.15G041900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3235403:3239468:1 gene:Manes.15G041900.v8.1 transcript:Manes.15G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNSLLKVLLRSPDTVSSKYQAKQLHAQILKFKPSSSTYLSTLISIYAKFNLLHDSLLLFNTLHSPPILAYKSIIRCYAAHNLLVQSLGCFVQMRASGKCPDHNVFPSVVKSCALLSDLKLGESVHGCIIRLGMDFDLYTGNALLNMYAKFQSLGKSGSQGNGMYKMFDGMSERMFCSEVSGELVHKSEKRIMSMDQNPSLNKARSVSPQINGSSEVIDRFYREIHDSYGEKAERSTTMDSVRKIFEMMPRRDVVSWNTVITGNAQNGMYEEALMMVREMGNADLKPDSFTLSSVLPIFAEYVNVSKGKEIHGYAIRNSFDEDVFIGSGLIDMYAKCSRVEDSLRVFRILPQRDAISWNSIVAGCVQNSLYDEGLRLFWQMVKAKVKPKQVSFSSILPACAQLTKLNLGKQLHGYIIRAGFDNNLFISSSLVDMYTKCGNVKVARRIFDKMEQHDMVSWTAIIMGYALHGHARDAISLFEQMEIRGVRPNYVVFMAVLTACSHAGLVDEAWKYFNSMTQNYGIAPGLEHVTAMADGLGRAGKLDEAYKLISSLDRPVGSVWSTLLAACRVHKNIELAEKVAKEIFEVDPENVGAYILLSNIYAAARRWRDASKLRLFMRDKGIKKTPACSWIEVKNKMHAFTSGDKSHPNYDKVHETLKVLLEKMEQEGYVPDTSEVFHDVEEEQKKQLLYGHSERLAIAFGIISTAAGTTIRVTKNIRVCTDCHTATKFISKIVEREIILRDNNRFHHFKGGNCSCGDYW >Manes.14G111500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12672824:12676862:-1 gene:Manes.14G111500.v8.1 transcript:Manes.14G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKTHQIFLQFPDSGVQTLTLDHTQAITLHSLKRSLFPNGNLSSFYFTLNGKLLHDSTVIPNPQISCLSTLILRSRLPGGGGDGGATGAESRDCYLNMYADKKPDKVDPNEQRLSKWVNCALSNEPLTQPCVIDRLGNIFNKEALVQALIGKRLPKEFGYIKGLKDMLNIKLEPIPGGESNNARFHCPVTGLEFNGKYKFFALKNCGHVLSAKALKEVKSSSCLVCYKEFEESDKVVINGNEEEVAFLRVKMEEERSKVKDKKPKKVKNGEMAVAVNGEDSVVLDPQRLSGKKHGIFDAKGVEKVDGRVEANGKIENLKGATNGSSVKRFKAADMAPANATKEVYASIFTSSKKSNFKETFSCRSLPLGRN >Manes.15G172300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15250850:15267967:1 gene:Manes.15G172300.v8.1 transcript:Manes.15G172300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAMGVDEDMAPKKKKEPTENEKRRMKIVPGTLMKAEMRPGGGDARPSDGDQVIYHCTIRTLDGVVVESTRLEYGGKGTPIRQVLGKSKMLLGLLEGLPTMLKGEVAMFKMKPEMHYGEVDCPVSPPSSFPQEDELHFEIEMIDFSKVKVVGDDFGVIKKVVNEGLGWESPREPYEVKARISAKTGNGKVILSHTEEPYCFTFGKSEVPKGLEMGMGTMAQEEKAVIYVTNEYLTESPLMSLIEDLDEVHFEVELVHFTQVRDMLGDGRLIKRRLHDGKGEFPMDCPLHDSLLRVHYKGMLLNEEKKVFYDTRVDNDGQPLEFSSGEGLVPEGFEMCVRLMLPGEIALVTCPPDYAYDKFQRPANVPEGAHIQWEIELLGFEMPKDWTGMDFPSIMGEAEKIRNTGNRLFKEGKFELSKAKYEKVLREFNHVNPQDDEEGKVFADTRNLLNLNLAACYLKLGECKKSIEYCNKVLDINPAHAKALYRRGMAYMTDGDFEEAKNDFEKMMKVDKSSEPDATAALQKLKQKKQEFEKKARKQFKGLFDKKPGEIADVGTQDTEERGTSENQNQRKDDQEDSDGIEEEALLQNAANAPRVGLFSRLWPTGKRLFSALGLQRCTIL >Manes.15G172300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15250850:15267967:1 gene:Manes.15G172300.v8.1 transcript:Manes.15G172300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGTPIRQVLGKSKMLLGLLEGLPTMLKGEVAMFKMKPEMHYGEVDCPVSPPSSFPQEDELHFEIEMIDFSKVKVVGDDFGVIKKVVNEGLGWESPREPYEVKARISAKTGNGKVILSHTEEPYCFTFGKSEVPKGLEMGMGTMAQEEKAVIYVTNEYLTESPLMSLIEDLDEVHFEVELVHFTQVRDMLGDGRLIKRRLHDGKGEFPMDCPLHDSLLRVHYKGMLLNEEKKVFYDTRVDNDGQPLEFSSGEGLVPEGFEMCVRLMLPGEIALVTCPPDYAYDKFQRPANVPEGAHIQWEIELLGFEMPKDWTGMDFPSIMGEAEKIRNTGNRLFKEGKFELSKAKYEKVLREFNHVNPQDDEEGKVFADTRNLLNLNLAACYLKLGECKKSIEYCNKVLDINPAHAKALYRRGMAYMTDGDFEEAKNDFEKMMKVDKSSEPDATAALQKLKQKKQEFEKKARKQFKGLFDKKPGEIADVGTQDTEERGTSENQNQRKDDQEDSDGIEEEALLQNAANAPRVGLFSRLWPTGKRLFSALGLQRCTIL >Manes.15G172300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15250850:15267967:1 gene:Manes.15G172300.v8.1 transcript:Manes.15G172300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPAMGVDEDMAPKKKKEPTENEKRRMKIVPGTLMKAEMRPGGGDARPSDGDQVIYHCTIRTLDGVVVESTRLEYGGKGTPIRQVLGKSKMLLGLLEGLPTMLKGEVAMFKMKPEMHYGEVDCPVSPPSSFPQEDELHFEIEMIDFSKVKVVGDDFGVIKKVVNEGLGWESPREPYEVKARISAKTGNGKVILSHTEEPYCFTFGKSEVPKGLEMGMGTMAQEEKAVIYVTNEYLTESPLMSLIEDLDEVHFEVELVHFTQVRDMLGDGRLIKRRLHDGKGEFPMDCPLHDSLLRVHYKGMLLNEEKKVFYDTRVDNDGQPLEFSSGEGLVPEGFEMCVRLMLPGEIALVTCPPDYAYDKFQRPANVPEGAHIQWEIELLGFEMPKDWTGMDFPSIMGEAEKIRNTGNRLFKEGKFELSKAKYEKVLREFNHVNPQDDEEGKVFADTRNLLNLNLAACYLKLGECKKSIEYCNKVLDINPAHAKALYRRGMAYMTDGDFEEAKNDFEKMMKVDKSSEPDATAALQKLKQKKQEFEKKARKQFKGLFDKKPGEIADVGTQDTEERGTSENQNQRKDDQEDSDGIEEEALLQNAANAPRVGLFSRLWPTGKRLFSALGLQRCTIL >Manes.11G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10407945:10411555:1 gene:Manes.11G071800.v8.1 transcript:Manes.11G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQVLKQQEELIFRSKLPDIYIPKHLPLHSYIFENISSHSSKPCLINGVTGNVYTYSEVELTARRVASGLNNLGIKQGEVIMLLLHNSPEFVLSFLGASFRGAIATAANPFFTPAEIAKQAKGSNTKLIITQAAYAEKVKDLANDYGIKILCIDSAPDGCLHFSELSEADERDMPDVDISPEDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSDDVILCVLPMFHIYALNSIMLCGLRVGAAILIMPKFEIGALLQLIQKYKITVAPIVPPIVLAIAKSPDTEKYDLSSIRMLKSGAAPLGKELEDTVRAKFPQATLGQGYGMTEAGPVLAMCLAFAKEPFNIKPGACGTVVRNAEMKIVDPETGDSLPRNQPGEICIRGDQIMKGYLNDPEATARTIDKQGWLHTGDIGYIDDDDELFIVDRLKEIIKYKGFQVAPAEIEALLLAHPEISDAAVVGMKDESAGEVPVAFVVRSGKSEITQDEIKQYISKQVVFYKRIGRVFFMEAIPKAPSGKILRKNLREKLATDFQK >Manes.15G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3419005:3420776:1 gene:Manes.15G044200.v8.1 transcript:Manes.15G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGFSSKRNRRKPANGVQHGHHGLGSYEPLDSASTVLDITGEPVNAHSELRNKNREPLNYKIRKKVSFNLNVQSYEPIPKEESTDHFWETEEDEKKEEISKDTAKGSQSPSLSEGDSTETKMASFPSNYRYRNCIDSNEEEDEESDLDDDDDDLDEDDESGGDIDDMGISQEEFSEKFMSLSVSSNKRDSSTEFAQEKSENLKPPGDMNKGGFKSIGTNRNARDRSQYVHSVLNPVENLSQWKAVKAKGMPPVKRLRKENVALEQQVEKPTPQMQEIAVDASLSNWLFSSDTRQPRATSITKSSTTPVQTVSSKTSSFGSTFSGRVGEDMPILDITNLEA >Manes.10G108901.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26626828:26630457:-1 gene:Manes.10G108901.v8.1 transcript:Manes.10G108901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMRDSSMAGNRNMIKVDEKTHHLSLGFHFDPSDCGIPSAKQLRTFLLPCQEVWPSSEGRKKMPNNETLFSNFRNLRVFDLHNSGIEKVPTCIQKMKYLRYLDLSRNDKIKALPNSISRLQLLQVLKLSNCEELRELPKDITKLVNLRHLDCERCWSLTHMPCGLGKLTSLQMLTWFPVAKDSSVSNHVGGLVELNRLNLRGRIEIRNLKCVKDESEFESANLMEKQLLQSLSLCWNRDDDDDDNDNVDVNYDERCLERLRPHENLKKLKVCDYGGTKFPDWLSSLTNLVNICIQDCGNCDRLPRLDRIPSLQYLRIDGFPKLEFIYHEGDNFPGAGGGGNESTFFPSLKELYILDCPHLKSWWKKGDDLTMKITAELPHFTRLSKLEISECPQLTCMPLFPNLDEKLLLENCSLVQHLQQMIKMSIVQAVPSTSSSIVQAVPSTSSSSSSSSSMLGLSKLKALWVVSIEDLKAFPQELLLILTSLEELHLKDCPRLASLPLEMRQQLTLRALDIKGCSQLKEKYGTRKCSDWRIISHIPNIQIDGQKVQWDRKYLGDQEDSSIVPASPLSKLKTLIIEDLESLPEDWLPNLTRLQHRIQST >Manes.10G108901.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26626828:26630457:-1 gene:Manes.10G108901.v8.1 transcript:Manes.10G108901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMRDSSMAGNRNMIKVDEKTHHLSLGFHFDPSDCGIPSAKQLRTFLLPCQEVWPSSEGRKKMPNNETLFSNFRNLRVFDLHNSGIEKVPTCIQKMKYLRYLDLSRNDKIKALPNSISRLQLLQVLKLSNCEELRELPKDITKLVNLRHLDCERCWSLTHMPCGLGKLTSLQMLTWFPVAKDSSVSNHVGGLVELNRLNLRGRIEIRNLKCVKDESEFESANLMEKQLLQSLSLCWNRDDDDDDNDNVDVNYDERCLERLRPHENLKKLKVCDYGGTKFPDWLSSLTNLVNICIQDCGNCDRLPRLDRIPSLQYLRIDGFPKLEFIYHEGDNFPGAGGGGNESTFFPSLKELYILDCPHLKSWWKKGDDLTMKITAELPHFTRLSKLEISECPQLTCMPLFPNLDEKLLLENCSLVQHLQQMIKMSIVQAVPSTSSSIVQAVPSTSSSSSSSSSMLGLSKLKALWVVSIEDLKAFPQELLLILTSLEELHLKDCPRLASLPLEMRQQLTLRALDIKGCSQLKEKYGTRKCSDWRIISHIPNIQIDGQKVQWDRKYLGDQEDSSIVPASPLSKLKTLIIEDLESLPEDWLPNLTRLQHRIQST >Manes.05G201920.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32609617:32609931:1 gene:Manes.05G201920.v8.1 transcript:Manes.05G201920.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLQQNHQDMASYLGQVDTLKNEFNSLMPLTNDVDAQEGQRDKFFMVLALIGLRSDLCSVKDQILTDSVIPTLEDVSARLLRISLSKNDATDMESSVLAVQGN >Manes.07G114500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31980799:31981509:1 gene:Manes.07G114500.v8.1 transcript:Manes.07G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRAAAATAAATKEVGEPNGSAPQNIVKGKEPRYRGVRKRPWGKFAAEIRDPWKKTRVWLGTFDSAEGAARAYDAAALSLRGPKAKTNFVVSSSHLSPLTYQTPTDPFMDYRLYPSNRFDEHHVNPQRPTSSSQSSTVESFSGPRPPATTTATKKSGLLLAKTAPKKTHRRTQPMLPDDCHSDCDSSSSVVDDGDIASSSSLCCRKPLPFDLNFPPSDQVDFVMDDPHCTTLCL >Manes.09G186150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37305793:37306947:1 gene:Manes.09G186150.v8.1 transcript:Manes.09G186150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANLFSAVPNFQGSKFGKSVQLCTSKPFCHCCRSNYTLNWLKNIESEALHRKEGFRGRTPKVNFFKSYCIIIRFLILSFRRIQFSLVNKSAKRVVDFLANNFLSGKLSPFRIPSATE >Manes.05G013901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:719607:721444:-1 gene:Manes.05G013901.v8.1 transcript:Manes.05G013901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFHSFSFIWVLAICSSLWVCKALDCQLNESSNYKVAYTITVDQSGNGNFTTIQSAIDSIPDVNTRWIRIRISPETYREKVTIPVKKPCIFLQGEGSELTRIEWGDHGVTGTSATFTSYPENIVAKGITFKNTYNNPHGQAVSARIRGDKSAFYDCTFLGVQDTFWDEKGRHYFHKCYIEGAVDFIFGKGKSIYEKCIIFVNMGRYEPESPGYITAQKKEWAEHESGFVFKNCEINGTGKAYLGRAWGPYSTVVIHNSTFSDVVVPQGWDAWEYVNQEGNFTYVEVDNKGLGADTSNRVPWVKKLDATELSKFLSLSYVDSDGWLAKLPNIEE >Manes.10G005950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:765474:766287:1 gene:Manes.10G005950.v8.1 transcript:Manes.10G005950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTLVSTDPQFITCNVLLDNGDSWLVSFVYASPDISLRRRLWHSVLGFNGSEKSWLLLGDFNSFTSENEQTGYVNVHSIGASDFRQWIFDNSLIDLGFEGTPFTWSKGGINSSYKAARLDRCLCTEIWRMTFSRATVIHAPKLHSDHCPIFMNCFGVTNSSVRRFHFQAAWTAHKDFVDVVSRGWKQNTSLFDNLKSTKDSLSQWNRSEFGNIFHNKQRLIRRIDGVQKSLAIRRTRGLNYTGFNNLGRNGLFL >Manes.16G036700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4654196:4655707:-1 gene:Manes.16G036700.v8.1 transcript:Manes.16G036700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRSSVVTSIAERWRELSGENDWKGLLDPLDIDLRLSILHYGDRVAATTYAFNDKVSSDCRGFSRYPPEEFFSKLGVTKRNPSLDYTLTDFIYSRVEKDVFHWDSEPISTWCAYVAVATDEAKTKLGRRDIVVSWRGTLLHVEWDKCLEALPCLASDLFGNLHNPHVHCGFHSLYTSKDQNSTYNKTSAREQVLAAVRKLVDQYKDEEVSITITGNSLGSAMATLTAMDIAYNDYNKPSSEPKKSFPVTAIVFASPRVGDLGFKKIYDDLKDVHLLRITNANDLVPDLPPFGFIHVGENLPIDTSESKFLKSDVFPHMLDVYLHGVAGTQGSKGGFNLEVPFDLAIINKLTDGLTDEYNKNIPAKWWVEENQGMVQNDDGTYTAQFYVPDPPVVALN >Manes.06G164500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29105578:29112198:-1 gene:Manes.06G164500.v8.1 transcript:Manes.06G164500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVAQGDDPSMEKKRTPACIFFNDFRHVLKLDELGLEIARIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSITTSFVAEEDTIGKVSTEAQESESLEAGSLVNSESKELIPQNGKSLITSFDIAKIENERRHIPSASSAVVIGAILGFIQAIFLISGAKPLLNFMGVGSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPLFMFVFRLGVSGAAIAHVISQYLISIILLRRLMEQVDLLPPSAKNLQFSKFLKNGFLLLMRVVAVTFCVTLSASLAARQGSTSMAAFQVCLQVWLTTSLLADALAVAGQAILASAFAKRDYDKATATASRILQLGLLLGLMLAVVLGIGLSFGARLFTTDVNVLHMISIGIPFVAGTQPINALAFVFDGVNFGASDFAYSAYSMVVVAIVSILCLVFLSSSYKFIGIWVALGIYMSLRASAGFWRIGTRTGPWKFIRMS >Manes.06G164500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29105578:29112155:-1 gene:Manes.06G164500.v8.1 transcript:Manes.06G164500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVAQGDDPSMEKKRTPACIFFNDFRHVLKLDELGLEIARIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSITTSFVAEEDTIGKVSTEAQESESLEAGSLVNSESKELIPQNGKSLITSFDIAKIENERRHIPSASSAVVIGAILGFIQAIFLISGAKPLLNFMGVGSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPLFMFVFRLGVSGAAIAHVISQYLISIILLRRLMEQVDLLPPSAKNLQFSKFLKNGFLLLMRVVAVTFCVTLSASLAARQGSTSMAAFQVCLQVWLTTSLLADALAVAGQAILASAFAKRDYDKATATASRILQLGLLLGLMLAVVLGIGLSFGARLFTTDVNVLHMISIGIPFVAGTQPINALAFVFDGVNFGASDFAYSAYSMVVVAIVSILCLVFLSSSYKFIGIWVALGIYMSLRASAGFWRIGTRTGPWKFIRMS >Manes.06G164500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29106383:29112155:-1 gene:Manes.06G164500.v8.1 transcript:Manes.06G164500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVAQGDDPSMEKKRTPACIFFNDFRHVLKLDELGLEIARIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSITTSFVAEEDTIGKVSTEAQESESLEAGSLVNSESKELIPQNGKSLITSFDIAKIENERRHIPSASSAVVIGAILGFIQAIFLISGAKPLLNFMGVGSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPLFMFVFRLGVSGAAIAHVISQYLISIILLRRLMEQVDLLPPSAKNLQFSKFLKNGFLLLMRVVAVTFCVTLSASLAARQGSTSMAAFQVCLQVWLTTSLLADALAVAGQAILASAFAKRDYDKATATASRILQLGLLLGLMLAVVLGIGLSFGARLFTTDVNVLHMISIGIPFVAGTQPINALAFVFDGVNFGASDFAYSAYSMVVVAIVSILCLVFLSSSYKFIGIWVALGIYMSLRASAGFWRIGTRTGPWKFIRMS >Manes.09G137700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33763779:33767930:-1 gene:Manes.09G137700.v8.1 transcript:Manes.09G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVEGGGTLSEIYQTAKKLLLRARDGVERLERLESSTSSGGLDSPELSFTVKKDISQIQSLCAEMDRLWRLIAGKPQRDLWKRKVEQVAEEAESLKQSLDRYFSRNQRRMREAQERAELLGRANGESSHVLRIFDEEAQAMQSVQNSKRMLQESLSTGAAILSKYSEQRERLKNAQRKALDVLNTVGLSNSVLRLIERRNRVDRWIKYVGMLITLAILYFLVSWNR >Manes.05G159401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27213299:27213616:1 gene:Manes.05G159401.v8.1 transcript:Manes.05G159401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRMTVPKVSEGLGYCDLRQFNLALLAKQGYRLLKYPNSLAAKILKACYFAHSDFMTIAIGANPSQIWRSICASRFILQKGCQKCVGDGSSINIWKDLWLLNN >Manes.11G099200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20982936:20985510:-1 gene:Manes.11G099200.v8.1 transcript:Manes.11G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETIKQRKAEKGFRDMMNVHRNSPKASPGRRSTTLKHLFDLDSKNNNDNFSSSTRIISQSSSDPENERILSIISHCSTIFTFTDPLESPSLQDIKRGNLIQLLSILKSLKKPLPDQILSPLVTMISVNLFHSIPPSNNNAAITGDFLDDEEFGSALSPTWPHLQLVYDILLRLVLNMDPKVLRNYIDQPFLTNVLCLFQSEDPRERESLKNVYHRIYSKFTFYRSFMRKSMSEVFLHYIFETEKHCGIGELLEIWGSIINGFTVPLKEEHKLFLMRVLIPLQKGKGMQLYHRQLSYCVNQFVQKEPMLGGIVIRGVLKYWPITNCQKEVLLIGELEELVENIDPDHYRKLALPLCTQITRCLNSWNSQVAERALYVWNNEQFVKMAHSALEEVFPVVVEGMEKNLKWHWSKSVKQLTENVKAMLEEMDPSLYEKCLQEIGQKEYLAEQEEANRKKKWERIEMEAAKNQFLQPQKFICVSH >Manes.01G165900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34979028:34981218:-1 gene:Manes.01G165900.v8.1 transcript:Manes.01G165900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSIKLKAVIDKEKNRVILSELDSDFIDVLLSFLTMPMGTIIKLTHSQLPTLGCMNNLYASVKNLDIGRFRTSSCKEMLQHPRNGAANHCRYLKLNIDTGTTPVSYFYCGDLGCLTSKHKFSHKKYSRCDCGKQMNGGVFVRGLTRPIISDELELMPASTAASFSLLAKLGIMDLDTIQERTFDVGLQEVLNLLKGSLESKTPLTEILLKQKQVPESRKENLGGGCFREQKIGEHVTDGNTKICILLICSFSFLAFPLGLRAYHSKGCITHLYDSVEELDTEKYFKSNDHKESLLSPKVASGFAYKNQLLGLEEEQYYWSRDDPSYIYSHKPTGKTFTTLTMIDPKAPAYKEATSNGGFVLKPAMFTVTGDLRVTPISPVSGLSILNRLKVPFSDIEEHICIWAMKRYAVRLLMASFISESALTDAFIPKRLKQEQ >Manes.13G144800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35827763:35839176:-1 gene:Manes.13G144800.v8.1 transcript:Manes.13G144800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCENLGFLYVHRRGRLGFVFRLVFGLWFGFVVLSPVAGLRPLKERNRSWGDEWLFIRKDENDLGPFSAWNITGTYRGTWKFLDSTNSSSRFPDFRKSNGESVIELVSTPTKITGVHYVQGVIIFHDVFDNTHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRDKIWRRKNSPIYEMEKHCNIEIAAQITRVSSSQNDGDRDRYHIEGLMESPAVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNSGEGWETMRRELSVLYSRFYGILLGGILVMYEFHNFLRPILLLMYSFWIPQIFTNVIRDSRKPLHPHYIIGMTITRLAIPLYIFGCPNNFMRIEPDKTWCMCLSIFIGLQASVLLLQHYLGSRWFIPRQILPEKYSYYRRFDQDTTQATDCVICMTAIDLTQRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >Manes.13G144800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35826907:35839176:-1 gene:Manes.13G144800.v8.1 transcript:Manes.13G144800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCENLGFLYVHRRGRLGFVFRLVFGLWFGFVVLSPVAGLRPLKERNRSWGDEWLFIRKDENDLGPFSAWNITGTYRGTWKFLDSTNSSSRFPDFRKSNGESVIELVSTPTKITGVHYVQGVIIFHDVFDNTHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGELSQEEDYILSNPYHLLGVFSSQVFQESPRDKIWRRKNSPIYEMEKHCNIEIAAQITRVSSSQNDGDRDRYHIEGLMESPAVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNSGEGWETMRRELSVLYSRFYGILLGGILVMYEFHNFLRPILLLMYSFWIPQIFTNVIRDSRKPLHPHYIIGMTITRLAIPLYIFGCPNNFMRIEPDKTWCMCLSIFIGLQASVLLLQHYLGSRWFIPRQILPEKYSYYRRFDQDTTQATDCVICMTAIDLTQRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >Manes.03G135240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26257261:26264373:-1 gene:Manes.03G135240.v8.1 transcript:Manes.03G135240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAPAYVKQGTYDFNDDDDDDGPLVFKRGSNSTSKQNQLNLETRKPLLSSQNSNGQSSNVQKGKNAVPSSKASPVKSPIGSPKASTSSVMASSVKSLVPNSKASSSLDEHMKQASKHNTSNVVKEDINSVKRESNDAEDDSEDDLPLSSRIKGTNRSAPNVVKDEDSDDDNTPLSKKYIMKSSTGTLDSKPNNSSLKKPLASKILENGSIMRDKQQKTSQLPTKRPIDKPNSSDQSSSKKPKLSDVSTTTKIKQVTVKPEQKADDDACDNVVLSQRKKMGSSDNKLPSSKQNATKVASSSVQKKNIKNKKQIKNAKYSKSTKMQPSSTDGQKKWTTLVHNGVIFPPPYQPHSIKILYKGQPVNLTPEQEEVATMFAVMKDTDYMQKPKFLENFWTDWRKLLGKNHVIQKLEDCDFTPIYEWHQQEKEKKKQMSTEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKDAPIPDCPIPGESWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIWNIRAAYQKDFKSKDLTKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPGSSNLKFDFLGKDSIRYENTVEVKHEVYEAIGTFQKGKKQTDDLFDKLDTTKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKVVIYQRANKEVAIICNHQRTISKSHGAQMSRLTEKIEELKATLKELKIDLDRAKKGKPPLKDSAGKRKRNLSPEAIEKKIASTNQRIEKMELAMKTKEDLKTVALGTSKVNYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPQFRF >Manes.10G000600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:598513:602360:-1 gene:Manes.10G000600.v8.1 transcript:Manes.10G000600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSADSVERVDGNGGETRRDTTASANCSSSAIASGYRLFGRQASVHQFMGGGKAADVLLWKRRRVSFGVIVVATVTWFIFERSGLPFLTICSDVLLVVTVLLFVQANYAAIRNKQPQSLPELVLSEEMVNNAAASFRVKINNVLLMAHDITYGKDFRLFFKVVVSLWLLSATGSYFSFFTLAYAGTILSITVPALYNQYEEHIDKYCGMIHRKLSHHYKIVDESVISRIPRSLSKDKDA >Manes.10G000600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:598513:600894:-1 gene:Manes.10G000600.v8.1 transcript:Manes.10G000600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKFSLMVAADVLLWKRRRVSFGVIVVATVTWFIFERSGLPFLTICSDVLLVVTVLLFVQANYAAIRNKQPQSLPELVLSEEMVNNAAASFRVKINNVLLMAHDITYGKDFRLFFKVVVSLWLLSATGSYFSFFTLAYAGTILSITVPALYNQYEEHIDKYCGMIHRKLSHHYKIVDESVISRIPRSLSKDKDA >Manes.17G015160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7937749:7939210:1 gene:Manes.17G015160.v8.1 transcript:Manes.17G015160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVELLGPCKGAMHLQVEGTLVAPAKSSQHRKNSWVTFRYLDRLTVSGGGAFDGQGEIAWQRKSCGGGCKKALPVNLRFEFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIKRSEEINIINSNIITGDDCISIGRGSRRVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCMNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.08G156000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39302505:39309834:1 gene:Manes.08G156000.v8.1 transcript:Manes.08G156000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSIVIQKLLSTNAHLGRRVAADHFKIYTYGIRNSQAIIDSDKTLICLRNAANFIAHLARDKKARFLFVNTNPLFDEIVEQMTKKIGLYSPRDNILWRMGGFLTNSFSPKKFRSRNKKVCFGPIQPPDCVVVLDTERKSSVILEADRLQVPIVALVDSSMPWEFYKKIAYPIPANDSVQFVYLFCNMITKTFLLEQKKLKAMRGDVAVEEPVASKKESRENVKQIEQSESESESKVSTPIEELLVVPYQNLTPAPNDIVEIKQLLDKLVVVKFNGSLGTSLGFSGPKSAIEVRNGLTSLDLIVNQVESLNSKYGCHVPLVLMNATKTHDDTLKVLGKYSKSSVDIHPFILESLGEHNSNEKSYASDDASAFLSLMKSGTLDVLLSQGKEYAHVISSDNYAAALDPNVLNHLIQNKIEYCMEVTPTASTYMRNSMANQRQGRFQLREIMQNPSKHLMEEFKFIDTRSLWVNLKAIKRLVDTNALKMENLSISKLFDQAVGIIVPQSRFVPLNATSDLLLLQSDLYSSSEGTLVWNAARDNPINPSIELGPEFEKVSDYLSRFKSIPSITGLDGLKVIGDVWFGAGVTLKGRVSIVAKPGQKLEIPDGVVLENKEINDPADI >Manes.08G156000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39302505:39309834:1 gene:Manes.08G156000.v8.1 transcript:Manes.08G156000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSIVIQKLLSTNAHLGRRVAADHFKIYTYGIRNSQAIIDSDKTLICLRNAANFIAHLARDKKARFLFVNTNPLFDEIVEQMTKKIGLYSPRDNILWRMGGFLTNSFSPKKFRSRNKKVCFGPIQPPDCVVVLDTERKSSVILEADRLQVPIVALVDSSMPWEFYKKIAYPIPANDSVQFVYLFCNMITKTFLLEQKKLKAMRGDVAVEEPVASKKESRENVKQIEQSESESESKVSTPIEELLVVPYQNLTPAPNDIVEIKQLLDKLVVVKFNGSLGTSLGFSGPKSAIEVRNGLTSLDLIVNQVESLNSKYGCHVPLVLMNATKTHDDTLKVLGKYSKSSVDIHPFILESLGEHNSNEKSYASDDASAFLSLMKSGTLDVLLSQGKEYAHVISSDNYAAALDPNVLNHLIQNKIEYCMEVTPTASTYMRNSMANQRQGRFQVQCHLNLMVLYPCRCDHV >Manes.07G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26601499:26603502:1 gene:Manes.07G084300.v8.1 transcript:Manes.07G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKAYGKVDEAEQARLDARRRTRKRITIISISSILLVTTVVAAVVGTRASSGNSENGGDQAKYLSASVKAVCDVTLYKDSCYSSLAPLAKSNQLHPEELFSLSIQVAMNELSKASQYFSTKSGSLFGGVTDSMTIAALKDCNDLLGLAMDHLNSSLSSAGDVSMLDAVDDLRSWLSAAGSSQQTCIDGLGETNLKNYAQNYLKTTSELTSNSLAIITWIYKAASSVKMRRLMNYGEQEKVEMPKWLHQRDRQLLQTKDLKKMADVIVAQDGSGKYKRIGDALKSVPNKSKKRFVIYVKKGIYNENVRVEKSKWNVMIIGDGMDATTVSGSLNFVDGTPTFSSATFAVFGKGFIARDMGFQNTAGANKHQAVALMSNGDHSVFYQCSFNAFQDTLYAHSNRQFYRECNIYGTVDFIFGNSAVVIQNCKILPRKPMLGQQNTITAQGRFDPNQNTGISIQNCTIQPFGNLTTTKTFLGRPWKNYSTTVYMNSMMGSLIDPSGWLPWTGNTAPPTIFYAEYQNLGPGASTKNRVKWKGLKSITKKLASQFTVKAFLKGDTWIPQTGISYNSGL >Manes.05G078400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6410737:6414727:1 gene:Manes.05G078400.v8.1 transcript:Manes.05G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNGQGLEQGLKTEEFSTHEVDATSNAVENSLSSKVKDVGSISNREMYFRADKIDFKSWDIQLDKHLSRAWSRDREVQRRREEWEIDLIKLDLRHVIAQGTYGIVYRGNYDGQDVAVKILNWGEDGIATAAEIAAVRSSFRQEVAVWHKLDHANVTKFVGASMGTSDLKLPAKSPMNGSYDSHPSTACCVILEYLPGGTLKKFLIRNRRKKLAFKVVIQLALDLSRGLSYLHSKRIVHRDVKTENMLLDVHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFAEVSSLVVRQNLRPEIPRCCPSSFAGIMRKCWDANPDKRPEMDEVVRLLEAIDTSKGGGMIPEDQTTGCFCFSSVRGP >Manes.09G153400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35005786:35009457:1 gene:Manes.09G153400.v8.1 transcript:Manes.09G153400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDGTSYGAFTYENLEREPYWSSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKDVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKAQGIDLSIYGSSKVVGTQAPVQLGSLRAADGKE >Manes.09G015900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3333196:3338441:1 gene:Manes.09G015900.v8.1 transcript:Manes.09G015900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEDNSSPAPAPEPAPTPAPQEPSAAVPENQTIVPQIQPIIAPAVPSFVPPIAPIPPVPPPAIPRPLAPLPIRPPVLRPPTVSQNVEDRTSDSDSDQGDSGAAGTVPGSTGDYEFSEGSRIARERKQKIVQEIMMKRRAAALAVPTNDMAVRARLRRLGEPITLFGEREMERRDRLRMLMAKLDAEGQLEKLMKAHEDEEAAATAATVDAEEEMLQYPFYTEGSKELLDARIDITKYSILKAASRLQRARRKRDDPDEDLDAEIDWALKQAGSLVLDSSEIGDDRPLSGCSFSNDGKLLGTCALSGGAKIWSMPQVNKVGTLKGHTERATDIAFSPVQNHLATASADRTARLWNADGSVLGTFEGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDVDTGVELLLQEGHSRSIYGLSFHQDGSLAGSCGLDALARVWDLRTGRSILALEGHVKPILGISFSPNGYHLATGGEDNTCRIWDLRKKKPLYIIPAHSNLISQVKFEPQEGYYLVTASYDMTAKVWSGRDFKPVKTLSGHEAKVTSLDISADGRYIATVSHDRTIKLWSSSSNEKDAMDVD >Manes.03G077200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13686267:13687700:1 gene:Manes.03G077200.v8.1 transcript:Manes.03G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKILTFFFFVLFNMWLCSSYQSLAKEREPNDVLILGLIHSRASLPIPNASSSSRKRQAHALDMEVPLRGIGNGYLLSLNIGNPPQSIQVYMDTGSDLNWVPCGSFLCMDCDEYGSIKGTFSPYQSSSSYRDSCASPYCTDVHSSDNYFDPCTVAGCPLATLIGSNCSMPCPSFAYTYGGGLVTGTLTKDELRVYGRGSGGASVTSNIQNFCFGCVGSAYREPIGIAGFGRGSLSLPSQLGFLQKGFSHCFLAFRYAKNPNVSSPLVIGDMALSSKDKMQFTPTLKSLIYPNYYYIGLQAITVGNSSALQVPSSLREFDSHGNGGMLIDSGTTYTHLPEPLYSQLLSVVESMVNYPRATVKTRASFDLCYKVPCPNNTCMSDDDNLPSITFLFLNNASLTLPRGTRFYAVSPPINSTVVKCLLFQRLDVGDFGPAGIFGSFQQQNVEVVYDLENERIGFQPTDCASVAISQELHNK >Manes.14G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3842346:3845224:1 gene:Manes.14G044300.v8.1 transcript:Manes.14G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHQRSQSSSSLSTNELCFKLKRLASRHEQMKIAYHELKSQINSGLLEAEGVFASLAIPLIKLVGLKTKEMAEEGRFTTIIINNHSPQGSRRNGVELESSDATTGREQGYQIGKLEEESYATRATIAGKELMDKQQTNLLQLVRLLRQIEFQVNSRQDDILGTLDSHRISLNKFFQKSVSYISALHSQNRDIFLITLRLLREIFNNVNAILSSVEGGVEHLIQGLAEKMCIPMVEYVKGLKDDMRNGTCVRLLAMVEEMERMIRKGALELEEARKKIRVAEEGKTEAICKLKTIEERVRRMNEHLSLDETGLRGPPTSHMLLGMEEEKAKDDKLLWKLTKRKRKHEAPASPMGPDGLLRFDTSNGHYKSAGVRLSFNHKQITKGCTRALGPQTPCLNTWIPLGSSPSQAIKPPTSCKRIIP >Manes.09G072000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253392:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYANTRLYVGHLASRTRSRDLEYLFSKYGRVRDVDMKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253392:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPARSHSPRRGRSRSPSYSRGRSYSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253392:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPARSHSPRRGRSRSPSYSRGRSYSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253482:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPARSHSPRRGRSRSPSYSRGRSYSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253392:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYANTRLYVGHLASRTRSRDLEYLFSKYGRVRDVDMKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPARSHSPRRGRSRSPSYSRGRSYSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253482:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYANTRLYVGHLASRTRSRDLEYLFSKYGRVRDVDMKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPARSHSPRRGRSRSPSYSRGRSYSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253392:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253392:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPARSHSPRRGRSRSPSYSRGRSYSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253468:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253468:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYANTRLYVGHLASRTRSRDLEYLFSKYGRVRDVDMKRDYAFVEFSDPRDADDARYHLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.09G072000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12249788:12253468:-1 gene:Manes.09G072000.v8.1 transcript:Manes.09G072000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLRRGRSYSRSPARSHSPRRGRSRSPSYSRGRSYSRSRSPAPKRERSIENEDRSPSQELKNGLPAKSRKRSPTPDEGSPRVSPKSRKLDDQQERSDYSGSPRRRSRSRSRSPERQSPGDGRYGSPAQTNGRSRSPSPRDDRSPVDDDYEDNRRSPRGSESP >Manes.03G156000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28456113:28467349:-1 gene:Manes.03G156000.v8.1 transcript:Manes.03G156000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSYWVDESNAPSTSSSHRRIHHQPPSCARHRGDNVFRLLARREICPRAKHASKKLWSECSDQQLDSFELRSESALDARRALISWVETESLLHLSAKYCPLSPLPRSTIAAGFSSDGKTLASTHGDHTVKIIDCRTGKCLKVLRGHRRTPWVVRFHPLCSEILASGSLDHEVRLWNANTADCIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNRGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATTPGYLHYHQPSVYLADAHRGTRLSLGDELPLAAWPTLIWPSFARDVARIPRQQTNGDVSSSRVQQRLDHSTPMQLLTYSISSGQYELRLSPIEPYSSSPVPEETQTNSFMDNEAPQFSMDTVETAEVQTVERNNSMLPSGDQLYWEVPFLHGWLAGQSQTGSHAMGSLNVLAHENLRAYGESENHISSPVIPINIGLSRGNERSDLHYRSSRPQTISATGSHETTAFDRVARSEIDALAVASRIQSELATSLSAAAAAELPCTVKLRIWPYDVKDPFAPFNAEQCRLTIPHAVLCSEMGAHFSPCGRFLAACVACLLPHPETDPGLQGQVLRDNAEAATSPTRHPISAHQVIYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDRETSVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDTSHATKFTSSYLDEHMLEVPTYALEC >Manes.03G156000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28456113:28467349:-1 gene:Manes.03G156000.v8.1 transcript:Manes.03G156000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSYWVDESNAPSTSSSHRRIHHQPPSCARHRGDNVFRLLARREICPRAKHASKKLWSECSDQQLDSFELRSESALDARRALISWVETESLLHLSAKYCPLSPLPRSTIAAGFSSDGKTLASTHGDHTVKIIDCRTGKCLKVLRGHRRTPWVVRFHPLCSEILASGSLDHEVRLWNANTADCIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNRGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATTPGYLHYHQPSVYLADAHRGTRLSLGDELPLAAWPTLIWPSFARDVARIPRQQTNGDVSSSRVQQRLDHSTPMQLLTYSISSGQYELRLSPIEPYSSSPVPEETQTNSFMDNEAPQFSMDTVETAEVQTVERNNSMLPSGDQLYWEVPFLHGWLAGQSQTGSHAMGSLNVLAHENLRAYGESENHISSPVIPINIGLSRGNERSDLHYRSSRPQTISATGSHETTAFDRVARSEIDALAVASRIQSELATSLSAAAAAELPCTVKLRIWPYDVKDPFAPFNAEQCRLTIPHAVLCSEMGAHFSPCGRFLAACVACLLPHPETDPGLQGQVLRDNAEAATSPTRHPISAHQVIYELRIYSLEEAT >Manes.03G156000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28456113:28467349:-1 gene:Manes.03G156000.v8.1 transcript:Manes.03G156000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSYWVDESNAPSTSSSHRRIHHQPPSCARHRGDNVFRLLARREICPRAKHASKKLWSECSDQQLDSFELRSESALDARRALISWVETESLLHLSAKYCPLSPLPRSTIAAGFSSDGKTLASTHGDHTVKIIDCRTGKCLKVLRGHRRTPWVVRFHPLCSEILASGSLDHEVRLWNANTADCIGSRDFYRPIASIAFHAHGELLAVASGHKLYIWQYNNRGETSSPAIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTLATTPGYLHYHQPSVYLADAHRGTRLSLGDELPLAAWPTLIWPSFARDVARIPRQQTNGDVSSSRVQQRLDHSTPMQLLTYSISSGQYELRLSPIEPYSSSPVPEETQTNSFMDNEAPQFSMDTVETAEVQTVERNNSMLPSGDQLYWEVPFLHGWLAGQSQTGSHAMGSLNVLAHENLRAYGESENHISSPVIPINIGLSRGNERSDLHYRSSRPQTISATGSHETTAFDRVARSEIDALAVASRIQSELATSLSAAAAAELPCTVKLRIWPYDVKDPFAPFNAEQCRLTIPHAVLCSEMGAHFSPCGRFLAACVACLLPHPETDPGLQGQVLRDNAEAATSPTRHPISAHQVIYELRIYSLEEATFGLVLASRAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDRETSVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDTSHATKFTSSYLDEHMLEVEAMTDVPTYALEC >Manes.10G031800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3239400:3242863:1 gene:Manes.10G031800.v8.1 transcript:Manes.10G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALLTSLSMENYHPSTLLSMDSSSLTHDELEREMTRPIILSRPPDINLPLSSEPSPPTSLAWNDPCDILDVSLAPQTYEVETVVTVPKVAKKCTKRIDSIWGAWFFFSFYFKPVLNEKSKCKVIRDSNGVSGYEKSDLQLDAFLVQHDMENMYMWAFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHKMQRKHYRGLSNPQCVHGIEIVRSPNLVNLDEEERKKWVELTGRDINFTVPPEASDFVSWRNLPNTDFELERPLHPLKSNGNSQPRKLLNVTGLNLSTHSSDHSNSESVDHSPISHKRKKDYLPHGNEDECLANNSHGERVDMNIHPVEPAWINEFSGVMKNVYGPVAAAKTIYEDDEAFLIIISLPFADLQRVKVTWRNTKLRGIVKISCISTACMPFIKRHDRTFKLTDPTPEHCPPGEFVREIPLPNRIPEDAELEAYRDETGTMLEIIVPKYRVGPEEHEVRVCLRPLLGGNGHLST >Manes.10G031800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3239530:3243362:1 gene:Manes.10G031800.v8.1 transcript:Manes.10G031800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALLTSLSMENYHPSTLLSMDSSSLTHDELEREMTRPIILSRPPDINLPLSSEPSPPTSLAWNDPCDILDVSLAPQTYEVETVVTVPKVAKKCTKRIDSIWGAWFFFSFYFKPVLNEKSKCKVIRDSNGVSGYEKSDLQLDAFLVQHDMENMYMWAFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHKMQRKHYRGLSNPQCVHGIEIVRSPNLVNLDEEERKKWVELTGRDINFTVPPEASDFVSWRNLPNTDFELERPLHPLKSNGNSQPRKLLNVTGLNLSTHSSDHSNSESVDHSPISHKRKKDYLPHGNEDECLANNSHGERVDMNIHPVEPAWINEFSGVMKNVYGPVAAAKTIYEDDEAFLIIISLPFADLQRVKVTWRNTKLRGIVKISCISTACMPFIKRHDRTFKLTDPTPEHCPPGEFVREIPLPNRIPEDAELEAYRDETGTMLEIIVPKYRVGPEEHEVRVCLRPLLGGNGHLST >Manes.15G085700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6592755:6599640:-1 gene:Manes.15G085700.v8.1 transcript:Manes.15G085700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAQKELFDRCGGNWKRAWRFLQAVEESSGMVETSAGNQMQITTGRYHTLLISNSSVYSCGFGLCGVLGHGPETTQCVAFTRISFPSLAHVVQVSASHNHAAFILESGKVFTCGDNSSFCCGHPDTSRPIFRPRLIEALKGVPCKQVAAGLNFTVFLSRQGHVYSCGTNIHGQLGHGDMVDRPTPKIIELLEGVGSVVQIAAGPSYVLAVTDNGAVYSFGYGSNFCLGHGEQHNEFLPCAIQTFRRKGIHIVRVSAGDEHAVALDSSGFVYTWGKGYCGALGHGGEIDKTLPELLNSLKSHIAVQISTGLYHTVAVTSQGRIFGFGDNETAQLGHDTLRGCLEPTEIFVPELAEDTGLASKSE >Manes.15G085700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6592755:6599640:-1 gene:Manes.15G085700.v8.1 transcript:Manes.15G085700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAQKELFDRCGGNWKRAWRFLQAVEESSGMVETSAGNQMQITTGRYHTLLISNSSVYSCGFGLCGVLGHGPETTQCVAFTRISFPSLAHVVQVSASHNHAAFILESGKVFTCGDNSSFCCGHPDTSRPIFRPRLIEALKGVPCKQVAAGLNFTVFLSRQGHVYSCGTNIHGQLGHGDMVDRPTPKIIELLEGVGSVVQIAAGPSYVLAVTDNGAVYSFGYGSNFCLGHGEQHNEFLPCAIQTFRRKGIHIVRVSAGDEHAVALDSSGFVYTWGKGYCGALGHGGEIDKTLPELLNSLKSHIAVQVCARKRKTFVLVDSGHVYGFGWMGYGSLGFPDRGVSGRVRRPRILDSLRAYRVSQISTGLYHTVAVTSQGRIFGFGDNETAQLGHDTLRGCLEPTEIFVPELAEDTGLASKSE >Manes.15G085700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6592652:6599640:-1 gene:Manes.15G085700.v8.1 transcript:Manes.15G085700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAQKELFDRCGGNWKRAWRFLQAVEESSGMVETSAGNMQITTGRYHTLLISNSSVYSCGFGLCGVLGHGPETTQCVAFTRISFPSLAHVVQVSASHNHAAFILESGKVFTCGDNSSFCCGHPDTSRPIFRPRLIEALKGVPCKQVAAGLNFTVFLSRQGHVYSCGTNIHGQLGHGDMVDRPTPKIIELLEGVGSVVQIAAGPSYVLAVTDNGAVYSFGYGSNFCLGHGEQHNEFLPCAIQTFRRKGIHIVRVSAGDEHAVALDSSGFVYTWGKGYCGALGHGGEIDKTLPELLNSLKSHIAVQISTGLYHTVAVTSQGRIFGFGDNETAQLGHDTLRGCLEPTEIFVPELAEDTGLASKSE >Manes.15G085700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6592132:6599799:-1 gene:Manes.15G085700.v8.1 transcript:Manes.15G085700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAQKELFDRCGGNWKRAWRFLQAVEESSGMVETSAGNMQITTGRYHTLLISNSSVYSCGFGLCGVLGHGPETTQCVAFTRISFPSLAHVVQVSASHNHAAFILESGKVFTCGDNSSFCCGHPDTSRPIFRPRLIEALKGVPCKQVAAGLNFTVFLSRQGHVYSCGTNIHGQLGHGDMVDRPTPKIIELLEGVGSVVQIAAGPSYVLAVTDNGAVYSFGYGSNFCLGHGEQHNEFLPCAIQTFRRKGIHIVRVSAGDEHAVALDSSGFVYTWGKGYCGALGHGGEIDKTLPELLNSLKSHIAVQVCARKRKTFVLVDSGHVYGFGWMGYGSLGFPDRGVSGRVRRPRILDSLRAYRVSQISTGLYHTVAVTSQGRIFGFGDNETAQLGHDTLRGCLEPTEIFVPELAEDTGLASKSE >Manes.15G085700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6592733:6599688:-1 gene:Manes.15G085700.v8.1 transcript:Manes.15G085700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAQKELFDRCGGNWKRAWRFLQAVEESSGMVETSAGNMQITTGRYHTLLISNSSVYSCGFGLCGVLGHGPETTQCVAFTRISFPSLAHVVQVSASHNHAAFILESGKVFTCGDNSSFCCGHPDTSRPIFRPRLIEALKGVPCKQVAAGLNFTVFLSRQGHVYSCGTNIHGQLGHGDMVDRPTPKIIELLEGVGSVVQIAAGPSYVLAVTDNGAVYSFGYGSNFCLGHGEQHNEFLPCAIQTFRRKGIHIVRVSAGDEHAVALDSSGFVYTWGKGYCGALGHGGEIDKTLPELLNSLKSHIAVQVCARKRKTFVLVDSGHVYGFGWMGYGSLGFPDRGVSGRVRRPRILDSLRAYRVSQISTGLYHTVAVTSQGRIFGFGDNETAQLGHDTLRGCLEPTEIFVPELAEDTGLASKSE >Manes.02G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1456091:1457295:1 gene:Manes.02G015300.v8.1 transcript:Manes.02G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAAYVVFRTIQSRWTVPWTAETIMQVMVLWVVSFWFIGSWVIPFAAHMAGFSKESLTFRGQALFSLVTDATEGLAGIAILHRCLSRFRPLPSGWFIFSLKGNWLFDVAFGCFMFPLVNQLSQFNLSLLPILPSTPVALSSVEQSIAARDPVAMSLYLIVVSVCAPVWEEIVFRGFLLPSLTRYMPVSCAILVSSVAFALAHFNVQRMLPLIFLGVVLGIIFTRSRNLMPSMLLHSLWNGFVFLDLMR >Manes.02G015300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1452902:1457723:1 gene:Manes.02G015300.v8.1 transcript:Manes.02G015300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYCSHSLSERGPIIIPSISGLGVISRLGNSPLSIRNAQFFESYSKLSKNKWKISCFRHEDFSPENPKSDGVEHCLHEKLVKKPELNNPADVKKDWISNLQEAAYVVFRTIQSRWTVPWTAETIMQVMVLWVVSFWFIGSWVIPFAAHMAGFSKESLTFRGQALFSLVTDATEGLAGIAILHRCLSRFRPLPSGWFIFSLKGNWLFDVAFGCFMFPLVNQLSQFNLSLLPILPSTPVALSSVEQSIAARDPVAMSLYLIVVSVCAPVWEEIVFRGFLLPSLTRYMPVSCAILVSSVAFALAHFNVQRMLPLIFLGVVLGIIFTRSRNLMPSMLLHSLWNGFVFLDLMR >Manes.12G015100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1450482:1451225:1 gene:Manes.12G015100.v8.1 transcript:Manes.12G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVLYALILPTSFIMFICTYLLAYFIIFRNWGPKNRAEASSSFMSLTHGTPAVILAIRSLVHTQTSQAFASPNSTLHHRVLEFSMAYFLMDLLHYIIFFPTDILFILHHLATLYVLITCRYMVHHGAYGILMLLILAEVTSPCQNIWSIARFRKAEVPAAARLYEFLSPPFLAYYSVVRGVLGPLFAFKMGAFYLSKEGKDLVPGWAWISWMIVTVTAILVSILWVSNHWKGWLRKRSLAQKKLG >Manes.12G065200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6389519:6393768:-1 gene:Manes.12G065200.v8.1 transcript:Manes.12G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVEEALRAKEMAEKRFAEKDFAGAKNYALKAKTLCPGLEGISQMVATFEVYIASEVNCNGEIDLYSVLGLKPSADRNAVKKQYKKMAVLLHPDKNKTVGADGAFKLVSEAWTVLSDNLKRSSYDLKRTKLMASSVAQTNFSSVHTAGVTGYNKFSNSSSTHGLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGTGPVNGSFPYCPWSCVPGSGYGSHGYDGVTYVPNTATVFAGNGVSGLHSGHGYEYVSNVSFQWSSLSGTSAGVVGHNVSSAVSTDAVYQVNGNGTGARANLRSAANGKHSIKTSISNMNSNVPASYNEYSGAKAGRPDKRRKVVVGANLRNEYEEKGSNSAPQAGLANGYASVEQGPKLSNPNELPARRISIAPAFDARKLLIDKARTDIRKKLEEIRSVAAAAAVALKEKAQDQSREAAVAPKCDNSDVSGHQTKPIKTGPMSITVPDPDFHDFDKDRSEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILITYLNSKTDSEFGQVNWIYSGFTKSCGHFRAWNTDIVDQVNVFSHLLKGEKAGRGGCVRIYPKSGDIWAIYRNWSPDWNRATPDHLRHQYEMVEVLDDYSEELGVCVTPLIKLEGFKTVYLRNTNKDAIRWIPRREMLRFSHQVPSWALEGEGRNLPGKCWDLDPAATPDELLHAAEAKA >Manes.05G109700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11051201:11057290:1 gene:Manes.05G109700.v8.1 transcript:Manes.05G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSVGCFSSAQEDHLTKKTSNSSSNVKKDPAFFLPSLSSSKKQNNNIDNINKEQLDEDLAEQAIAAAMLFRHHQRNGTLPFPRSSSVSSTSHQGSNKKHSFTKSSSSRQRSLADPLFKPSQLVNQDLKIEDAETKHLILVHGGGFGAWCWYKIITLLVESGFTVDAVDLTGSGIHSSDTNTIMNLSQYTKPLTDIFHKLHQGEKVILVGHDIGGACISYLMEMFPSQIAKSVFIAATMLQNGQSALDVLSQQTGSNDLMRQAQVFLYANGKDKPPTAIDLDKEFLADLLFNQSSAKDIALASVSMRPIPFAPLAEKLSLSDKNYGSIRRFYVKTQEDCAIPVSLQESMIESNPPEQVFQVKGSDHAPFFSKPQALHRILIEILQIPPKQT >Manes.05G069100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5696661:5702256:1 gene:Manes.05G069100.v8.1 transcript:Manes.05G069100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVKENKSTEESVKLFVGQVPKHMTEPQLLAMFKEFALVDEVNIIKDKTTRASREHKLFVGMLPKNVSEAEVSEVFSKYGTVKDLQILRGSQQTSKGCAFLKYETKEQALAALEAVNGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQASSLPNTDSQHPSLFGALPMGYVPPYNGYGYQASGTYGIMPYRMPPLQNQPAFHGMIPQVNQGNALRGGMRPDLASGMAPRNYAMPPASYVGSAYPAVPAIQYPMTYPGGMMSHQPLSGSPSTVPSAIASSNSATFSGVGSNSAGQLEGPPGANLFIYHIPQEFGDQELANAFQPFGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQNAINMMNGFQLGGKKLKVQLKRDNKQTKPY >Manes.05G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5696661:5702256:1 gene:Manes.05G069100.v8.1 transcript:Manes.05G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVKENKSTEESVKLFVGQVPKHMTEPQLLAMFKEFALVDEVNIIKDKTTRASRGCCFLICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAEVSEVFSKYGTVKDLQILRGSQQTSKGCAFLKYETKEQALAALEAVNGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQASSLPNTDSQHPSLFGALPMGYVPPYNGYGYQASGTYGIMPYRMPPLQNQPAFHGMIPQVNQGNALRGGMRPDLASGMAPRNYAMPPASYVGSAYPAVPAIQYPMTYPGGMMSHQPLSGSPSTVPSAIASSNSATFSGVGSNSAGQLEGPPGANLFIYHIPQEFGDQELANAFQPFGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQNAINMMNGFQLGGKKLKVQLKRDNKQTKPY >Manes.15G087800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6721693:6730873:-1 gene:Manes.15G087800.v8.1 transcript:Manes.15G087800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAMVVNGGGVKVASQAYLESKAVKDTRVLIADLCRQFYNLGWVSGTGGSITIKVHDDSIPKPQQLILMSPSGVQKERMEPEDMYVLAANGDILSSPSPKPYPNKPPKCSDCAPLFLKAYDMRNAGAVIHSHGIESCIVTMVKPASKEFRITHMEMIKGIKGHGYYDELVVPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLHQLGLDWSTPSHGPLQNVKGILGNNVMNTSVKAVMKDSNHEIEPLPRCIVLDIEGTTTPISFVADVLFPYARDNVGRHLYATYETAETQDDIKLLRSQVEDDLTQGVNGAVPIPPDDAGKEDVIAALVANVEAMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPETLEKWHSLGIKVYIYSSGSRLAQRLIFGKTNYGDLRKYLSGFFDTAVGNKKETRSYVEISDSLGVDKPSEILFVTDVLQEAVAAKGAGLEAVISIRPGNAPLPENHGFKTVKTFAEI >Manes.13G119100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32567839:32570296:-1 gene:Manes.13G119100.v8.1 transcript:Manes.13G119100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEEENRQLPSSALVFDGLYCEEEGIEEDYACVDDQTVRKESPFSCVFLEQDLFWEDDELVTLISKEKETRFCFDNVVSDGSLMMVRKEAIDWVLRVKAHYGFTALTGVLAVNYFDRFISSLNFPRDKPWMGQLAVVACLSLAAKVEETQVPLLLDLQVEESKYVFEAKTIKRMELLVLSTLQWRMNPVTPISFFDHIIRRLGLKNQLHWEFFRRSERLLLSVFADSRFMSYLPSTLATSIMLHVIKEVEPCNQVEHQHQLMSVIKISEDKVNECYKLVLELSGSENRSCKRKCPLTPSSPNGVIDAYFSCDSSNESWAVASTVSSPPVTRFKRNRTQDQQMRVPSLNRMFVDVLSSPH >Manes.13G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32568128:32570279:-1 gene:Manes.13G119100.v8.1 transcript:Manes.13G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEEENRQLPSSALVFDGLYCEEEGIEEDYACVDDQTVRKESPFSCVFLEQDLFWEDDELVTLISKEKETRFCFDNVVSDGSLMMVRKEAIDWVLRVKAHYGFTALTGVLAVNYFDRFISSLNFPRDKPWMGQLAVVACLSLAAKVEETQVPLLLDLQVEESKYVFEAKTIKRMELLVLSTLQWRMNPVTPISFFDHIIRRLGLKNQLHWEFFRRSERLLLSVFADSRFMSYLPSTLATSIMLHVIKEVEPCNQVEHQHQLMSVIKISEDKVNECYKLVLELSGSENRSCKRKCPLTPSSPNGVIDAYFSCDSSNESWAVASTVSSPPVTRFKRNRTQDQQMRVPSLNLLEAQHFCVSPIINAFRAYNLNLIGTQTKEKERKMRNFLCDLFI >Manes.S036116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:947751:947909:1 gene:Manes.S036116.v8.1 transcript:Manes.S036116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.08G172650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41087154:41087860:1 gene:Manes.08G172650.v8.1 transcript:Manes.08G172650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRDGIFADYRPPFISTFLTKQLRASLDTAEFTADLIMERMYTFVLQC >Manes.17G081800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28661195:28662907:1 gene:Manes.17G081800.v8.1 transcript:Manes.17G081800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHFEEHQKDEALGVSMQTLPILPSLDSRPNSSNPQAEPGPPHEALFFVLAYLPVYELINMSEVCMSFRDAVNKDVLPWLDIIIDRPLSSRLSNEILWEITTKANGRLRALVLRNCQKITNDGLQRVAEKNPFITKLHLPACTGLTPEGIIRAVNTLSHHQNNLKSLQINGIYNIKKEHVETIFSHLKMNPLQQKLPPILYHHYRSSSSRSTESDRIIDVGICPQCNEVRMVFDCPRETCRQMRGQLFEICRGCNFCIPRCEECGGCVCAEELEEAACPDILCSNCWLNLPKCNYCNKPYCKQHSNQQFSSPGCTGFICEACHMTALVDS >Manes.09G172850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36327966:36328484:1 gene:Manes.09G172850.v8.1 transcript:Manes.09G172850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNYYFFFFIVLAIPTHLAADFAPDNCEKTPFLGECTSLLKSAPEADKKDVQSFAKFALKTTCSNGVTMQEKISSLLKTTSDEFVKKCLTKCSELYLGSTKKLEESIVAFDSKAYKDVQASLTEAIAGSDDCRVSFKEKVEAEDPLGDLNFEFNKLCSVSKKLVEMAETN >Manes.07G129800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33152660:33156103:-1 gene:Manes.07G129800.v8.1 transcript:Manes.07G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLSSSIVFFVVTVTFLVFFLSLPLTTHALGSGSTMAVTSDSVCGIVAAKSARSIICYKTSGEIISVEPIVSFSSISGGQNFFCGIRSGGYAFLCWNTFSSSNNLSISDPQRVYFNTTVLLQNLAVGRTHVCGILNDTANVNGTGIVKCWRGDGNISSQTPADGDQFESISSGYGFSCGILMNSGRIRCWGNNPIAREIESDFGNMSIDSIAAGGSHVCGVNSTGFLVCKGDNSSGQLDVPANSSLKYYQLSLGADYSCALRRNGSVVCWGGRGLYSVDGTVGIFFESIVSGSNFTCGLTTSNFSIMCWGPGWPNVDDSGVQILPFTDQILPWPCVQTSCEDRLFPDSDRLCSGSGNVCYPPGFKAIVIPSPPAPSPLLPSPPPPTIPPSPSSSSKELTNGLLVFAIVGSVGAFAGICTIIYCLWTGVCFGKKKVHNSVQPTISRAGSNGGTTSNNSGLISRSSTIRRQSSRAMRRQRSGTSSKHADRAEEFTLAELAAATNDFSLENKIGAGSFGVVYKGKLADGREVAIKRGETGQMTKKFQEKESAFESELAFLSRLHHKHLVRLVGYCEDGDEKLLVYEYMKNGALYDHLHDKNNIQKNSSVINSWKIRIKIALDAARGIEYLHNYAVPTIIHRDIKSSNILLDANWTARVSDFGLSLMGPESERDYRPMKAAGTVGYIDPEYYGLNVVTAKSDVYGLGVVLLELLTGKRAILKGDDNGGTPTSIVDFAVPRIMSGELIKVLDPRIGPPDVNEAEAVELVAYTALHCVNLEGKDRPTMTDIVANLERALSLCDGSHGSISSGTISIVSE >Manes.S095309.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251181.1:21847:23040:1 gene:Manes.S095309.v8.1 transcript:Manes.S095309.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECITSQLITSRMNLSPIALYMVQLCQNVEWVLLSHSPLDSSDQTLGQQTHCWGPRFLGSEPTQVDSNEGPNIHEHNSKLFPKNPLKHHGIIIEKHARKGWTGHFRRQVRRPKVPPEPKVRQVRRHLRRPKLPDRGETHACSAAPSAAESARQRRKSPFGGKLRQPKAASTSTFGGRKFLRLPNLVSPRMAETQLPYAFMPPISSKHA >Manes.11G059000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7160923:7162202:-1 gene:Manes.11G059000.v8.1 transcript:Manes.11G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNGNITTTMHHGAPLSYGSDPSSPNTTFVQADPSTFRTIVQKLTGATDDPSTQKLPLTHSNRSSAIPGPKKPAFKLHERRQSAKNLQINLTSNSTINNSFEHPDLFQFRQRAGFLVSPVSTLDFFGARASPKSPCEEFCSDGGPSKEEEKAIADKGFYLHPSPLSTPRGAEPPELLPLFPLRSLRDDDEDQDDEDRNSCS >Manes.11G001601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:296765:303902:1 gene:Manes.11G001601.v8.1 transcript:Manes.11G001601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGSGLFPLNTRSHQKGPKPTALTNTIPTSTLDVLYRWHLPEPDAIDVSGSSDCSLAKSRTVVVLLGWLGSKQKHLKKYADWYTSRGFHAITFTFPMAEILSYRVDGKAEQDIDLLVNHLADWLEEEHGKSLIFHTFSNTGWLTYGVILEKLQNQDPYLMGRIKGCIVDSAPVAAADPQVWASGFSAAFLKKNSVAAKVNMSSNESNMEVLVGSKTLVEPKPAATEAALLVILEKIFEVILNLPTVNRRLSDVLSLLSSGQPSCPQLYIYSSADRVIPAVSVESFIEDQRRAGHEVRACNFVFTPHVDHFRNDPKLYSTQLSQFLDENVLACCKRA >Manes.06G149300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27772858:27773575:-1 gene:Manes.06G149300.v8.1 transcript:Manes.06G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTGLFVGSNRGHIVTKKELAPRPSDRKGKTSKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAAGGGEKKK >Manes.01G189600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36557315:36559815:-1 gene:Manes.01G189600.v8.1 transcript:Manes.01G189600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSQVTVSRSFCMIEEGDAMENKCIKRRRRDPSVVNSTLSSNVNEHEQAHRKQGDQTVAAASATTVKRSSRFRGVSRHRWTGRFEAHLWDKGSWNPTQRKKGKQVYLGAYDEEESAARAYDLAALKYWGTSTFTNFPASDYEKEIEIMKNVTKEEYLANLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLRPGADPSPPPARESKSTADILQPITTFSNHISPIDKTTPQQLPILHSDNPYIVEDLNRPLKQDIFQTNPPISPCTKTSSSPTALSLLLKSSMFKELVEKNLNADENDDAEVKVVPEIGNNEVREIFYNGISHIPFPYLCPSDGDALPLYNRTGHSL >Manes.02G092700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7262953:7266526:1 gene:Manes.02G092700.v8.1 transcript:Manes.02G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKLQRASTIAALVLFLGIVMMSSFTSAERLLKERKSQDLLDKEISKPGFLVRMSHFLWQSDKTSYEPVWPEMEFGWKIVVGTIVGFFGAALGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLDMPLIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFIGTSAKALFKGIDTWKKETMMKKEAAKQLESESKPGDGEGEDYKPLPSGPAAIPDDDNVHILQNIYWKEAALLAYVWLGFLAVQIVKSYVKTCSTTYWILNALQVPIAASVTIFEAICLRKGTRVIASKGKEATNWKFLQILLYCSCGIVAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYLLNRFPVPYASYFVLVATIAAFAGQHVVRKIIALLGRTSIIIFILALTIFVSAISLGGVGIADMVEKLENKEYMGFQNLCYH >Manes.15G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5810343:5812075:1 gene:Manes.15G077300.v8.1 transcript:Manes.15G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLANLNGITETLPTTPRLTSKQKTPKRTKVIALLGKKQVNFEQGNPLQTTRRLALGLASISLVGNSGNGVSLAEDNGFWQLDFPLNVSPTVENKITNEKTGTRSFLKKGVYMANIGVAGSMYRIRKYAFDLLAMEDLIGPDTLNYVRKYLRLKSTFMYYDFDKVISAAPVNDKQSLTDLANRLFDNFEELEDASRRKNLPQTESSYQGTKVILQEVMDKIPEI >Manes.11G121200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28162884:28165678:1 gene:Manes.11G121200.v8.1 transcript:Manes.11G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEDGWTKGMGGSLPVESVQALASKNLKDIPHRYIRPELEFDDVSVDEALQIPVIDMSKLVGNHSDHGDELAELHQACENWGFFQLINHGVPEEVMENMKMETEEFFKLPLEEKMACAQLADSIEGYGQAFVVSEEQKLDWGDMLFLLSKPNSIRKMRFWPTIPTSFRETFDKYSTEVQRVAECLVRLMGRNLEIEPKKLGSMFEDGIQSIRLNYYPPCVEANKVTGLNTHSDSTGLTLLIQANEVQGLQIKKNGKWVPIKPIPGAFIVNIGDIIEIMSNGKYKSIEHRAVVNPEKERLSIAAFHGPNMKSIIAPLEDLVKKETPNYKSLTHEEFVKLVVRSKIDGKSIVGRMKLEH >Manes.12G020500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1801354:1805363:1 gene:Manes.12G020500.v8.1 transcript:Manes.12G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGDEDDAVLSDVEGEDPVPIVIRSPSLDNVTVEKYRDLLAELDRERAAREAAETSKSELQVSFNRLKALAHEAIKKRDECGRQRDEALRLKEEALKEKERISAELVELSKFKEESVKQRDEIGKQFEEAVKARDELQSEIENSRHMLVSGIEKISGKVSNFKNFAASGLPRSQKYNGLPAVAYGVIKRTNEIVEELLRQIDATAKSRNEAREQMEQRNYEIAIEVSQLEATISRLRDEVEKKTSLIENLEKSVAEKEGKFSEIEREMFEKTQLVENEALELRELISEYEDKLRNLDSRMELQRPLLIDQLNLVATIHDRLYDAIKIVDANHLDSELSESLFLPRHTDMEENIRASLAGMESIYQLARIVGEKTRDLLEEKSREVKSLNESLDRLVKEKEQIGSLLRSALSKRSRLDETNELFKAAENGLKEVGIDFKFSEILGDHKVPASQDRDGSLDMEGDEIYTLAGALENIVKTSHLEIIELRHSVEELRAEVSLLKEHIEAQAKELDHRMRRIEELEEKERVANESVEGLMLDVAAAEEEITRWKVAAEQEAAAGRAVEQEFVAQLSALKQELEETRLAMLESEKKLKFKEETAAAAMAAREAAEKSLILADMRASRLRDRVEELSHQLEEFETREDSRGRSGPRYVCWPWQWLGIDFMGIRRPETQQQSSNEMELSEPLL >Manes.17G068700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26878653:26884723:-1 gene:Manes.17G068700.v8.1 transcript:Manes.17G068700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAALVFKIPTTKLPPCTFYFSTSSLASPLYNITTCVASFKQCILDKSFKKGQQLHAQLLITGLQALSPAYTTSLINMYAKCNRMNQALLVFNSTPDCDRNVFTHNAIISGFVSNGLARYGIEFYKEMRRLGVLPDKVTLPCLLKGLCEITEVYEVKKIHGLAFKLGLELDVFVGSALVSSYLKFALVDEAHVLFDKMPERDVVLWNSMINGCAQTGKFNEALGVFRRMRKEGVPIDGFTVTGILSVFAVTGDFDKGRTVHGFVIKVGFGSDLSICNALIDMYGKCDCIAVALEIFEEMDEKDIYSWNSIISISEQAVDYDETLRLFNRMFNYGIHPDLVTIKTVLAACSHVAALMHGREIHSYIIVNGLAKDGKSEDINYVLLNNAILDMYAKCGSMRDAHLVFDQMICKDVASWNIMILGYGMHGYGNEALGLFSLMCATGFMPNEVTFVGVLSACGHAGFVTLGREFLRQMELKYGVTPSIDHYACVIDMLGRAGQLEEAYELAKTMPVKANPVAWRALLAACQLHCNADLAEIVARHIFELDPGHCGSYVLMSNVYVTAGRYKEVLDVRHTMRQQNVKKAPGCSWIELKNGLHTFITGDQIHPEANCIYSVLRLLTSRLSEQGYVPHLV >Manes.17G124400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33029347:33032153:1 gene:Manes.17G124400.v8.1 transcript:Manes.17G124400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLYSSSFVEPTKLESIVKTFASKQEPLSLCLSAVGSLPNDNNVLFLAPTPSLSLLQFHSQLYDALKKEGIEITEEFRPDSWIPYCAVAQDVPKARIGEAFCVLRDLKLPVTGYAMDIGLVEFSPVRELFSYVLGNSIEG >Manes.17G124400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33029378:33032148:1 gene:Manes.17G124400.v8.1 transcript:Manes.17G124400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLYSSSFVEPTKLESIVKTFASKQEPLSLCLSAVGSLPNDNNVLFLAPTPSLSLLQFHSQLYDALKKEGIEITEEFRPDSWIPYCAVAQDVPKARIGEAFCVLRDLKLPVTGYAMDIGLVEFSPVRELFSYVLGNSIEG >Manes.17G124400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33029378:33032148:1 gene:Manes.17G124400.v8.1 transcript:Manes.17G124400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLYSSSFVEPTKLESIVKTFASKQEPLSLCLSAVGSLPNDNNVLFLAPTPSLSLLQFHSQLYDALKKEGIEITEEFRPDSWIPYCAVAQDVPKARIGEAFCVLRDLKLPVTGYAMDIGLVEFSPVRELFSYVLGNSIEG >Manes.09G107500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30845332:30846825:-1 gene:Manes.09G107500.v8.1 transcript:Manes.09G107500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Manes.09G107500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30845332:30846790:-1 gene:Manes.09G107500.v8.1 transcript:Manes.09G107500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Manes.12G038200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3301621:3305426:-1 gene:Manes.12G038200.v8.1 transcript:Manes.12G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSAATVPFEQMDKGTGKNQHNRLCLLASLSAFFWILLLYVHFVVLGSDTVDESVKLDAHPLNPESKTPVFVTDARLTITRSKTTPMIDASLRNSPSSNSVQKTETFPFVRALRTIDNKSDPCGGKYIYVHDLPPRFNEDMLKECRSLSLWTNMCKFTSNAGLGPPLENVEGVFSNTGWHATNQFAVDVIFSNRMKQYECLTNDSSIAAAIFVPFYAGFDIARYLWGYNTSTRDAASLDLVDWLMKRPEWGIMGGRDHFLVAGRITWDFRRLTDEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDDDVFSWQERMRNLERKWLFSFAGAPRPDNSKSIRGQIIDQCRKSKVGKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNVSIEEVLSKIPPEQVKIMREKVISLIPRLIYADPRSKLETLKDAFDVAVQAVINKVTKLRRNIIEGRTDYDNFVEENSWKYELLDEGQREVGAHEWDPFFSKPKDGNSDSGGSSAEAAKKSWKNEQRDQS >Manes.12G038200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3301621:3305426:-1 gene:Manes.12G038200.v8.1 transcript:Manes.12G038200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSAATVPFEQMDKGTGKNQHNRLCLLASLSAFFWILLLYVHFVVLGSDTVDESVKLDAHPLNPESKTPVFVTDARLTITRSKTTPMIDASLRNSPSSNSVQKTETFPFVRALRTIDNKSDPCGGKYIYVHDLPPRFNEDMLKECRSLSLWTNMCKFTSNAGLGPPLENVEGVFSNTGWHATNQFAVDVIFSNRMKQYECLTNDSSIAAAIFVPFYAGFDIARYLWGYNTSTRDAASLDLVDWLMKRPEWGIMGGRDHFLVAGRITWDFRRLTDEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDDDVFSWQERMRNLERKWLFSFAGAPRPDNSKSIRGQIIDQCRKSKVGKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNVSIEEVLSKIPPEQVKIMREKVISLIPRLIYADPRSKLETLKDAFDVAVQAVINKVTKLRRNIIEGRTDYDNFVEENSWKYELLDEGQREVGAHEWDPFFSKPKDGNSDSGGSSAEAAKKSWKNEQRDQS >Manes.06G132700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26106424:26108880:-1 gene:Manes.06G132700.v8.1 transcript:Manes.06G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLAISREGSHYNGRMTSFVVLSCMMAAMGGVIFGYDIGISGGVTSMEPFLKKFFPEVYRKMKEDTEISNYCKFDSQLLTSFTSSLYVAGLVASFFASSITKAFGRKPSILLGGAAFLAGAALGGAASNVFMLIFGRVLLGVGVGFANQSVPLYLSEMAPPRYRGAVNNGFQFSVGIGALSANLINYGTEKIKGGWGWRISLAMAAVPASILTLGALFLPETPNSLIQRANNHERAKLVLQRVRGTTDVQAELDDLIKASSISRTVENPFKNIIRRKYRPQLVMAIAIPFFQQVTGINVIAFYAPILFRTIGLGESASLLSSIVTGVVGTTSTFISMLVVDKLGRRALFIFGGIQMFVSQVMVGGFMAAELGDHGGMDKGYACLVLILICVYVAGFGWSWGPLGWLVPSEIFPLEIRSAGQSIVVAVSFLFTFIVAQTFLSMLCHFKSGIFFFFGVWVVIMTAFVYFLLPETKNMPIEKMDIVWREHWFWKRIVGEAVDDSKMETA >Manes.12G123300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32853802:32856155:1 gene:Manes.12G123300.v8.1 transcript:Manes.12G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYHNIKTSPFYSYFLFILLLPFTNPISFEITHFDPNDTSIIYEGGAVPTAGNVEFTNVDFMCQVGRATYVEKVPIWNSKTKQLSDFTTHFSFFIDIQGRNQYGSGLAFFLAPVGSHIPLNSAGGFFGLYNFSNIYSYESQIVHIEFDSYFNREWDPLFLHVGINNNSLQSAAVTRWNARFHTGDPADVWITYNATTKNLSLSWKYQTTSLPEENTSLSLIIDLTTVLPEWVAIGFSASTSNRIERHVLQSWKFNSTLEMHEEKENNSKKIILTTSLSVTGGFLLVAVGAFLVFWMRKKKREKTKEKMKLILMNDEIESVAGPRRYSYEDLVLATNNFSRERRLGRGGFGAVYKGYNIHSGKAFAVKKITKRSNIDVRNEYMAEVKIISQLRHRNLVPITGWCHEKGEFLLVYEFMPNGSLDSHLFKKKIPLTWTARYRIALGLANALLYLHEGPERCVLHRDIKASNIMLDSNVEARLGDFGLALFMEPDLGPETRGLAGTNGYMAPEYIRTRRASKESDVYSFGLVALEIVTGRMAVDPIGEEDEAITLVRWVWDLYETGELNKAVDGILTDFDETQVKCLLIVGLWCAYPDKNKRPSIMQAIQVLEFKAELPNLRAMNTDSETNAARPSISFGEPAMSHSSFGVGR >Manes.09G160200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35540272:35543237:1 gene:Manes.09G160200.v8.1 transcript:Manes.09G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSSVSVQARPSLAANRISSLKSFSLSSQRSFLSFRVQPVPARLRISCAAKPETVEKVCEIVKQQLALSPETAVTGESKFAALGADSLDTVEIVMGLEEEFGINVEEESAQSIATVQDAADLIEKLVEKKSA >Manes.12G033700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2955109:2965688:-1 gene:Manes.12G033700.v8.1 transcript:Manes.12G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIGGFMLLLAAALICSAFVAYAASVFQPISDSHRSAALDLFTPLDGSFGSLEKTYEALRTFEVLGIEKRLEIGLPACRSVLETFGSSSSGSKDLFYALKVNGILKCGISEEVFEGIVPKLQAAVSSASSLLDFYHSTGSLTLLKDQTSKDDLHLGDAEGIFRSIKALSQSDGRWRYSSNKPDSSTFAAGLALEALAGVVSLSSSEIDESLIATTKNDIVKLFDIIEKYDDGAFYFDEKLVDEHEHQGPLSTTSSVVRGLTAFAAVTSGSLNLSGDKIVGLAKFFLGIGIPGDSKDLFNQIDSLACLENSRVSIPLILSLPATVLSLTKMDMLKVKVNSALGSSAPPLTVKLVQVLSSGSKDTSVFDNQELKFDPESAEYFLDALPKSVDVGSYTFVFETVLHDPEHKKVYTTGSQTQVPIFFTGVIKVDNAEIEVLDSDLGIVDTKRKLDLAGENLVSLSANHLQKLHLSFQLTTLLGHAFEPHQAILKLRHDTGVEHIFLIGNTGKKFEITLDFLGLVEKFFYLSGKYDLQLTVGDAVMENSFLSAIGSVELNLPEPPEKAPRPPPQPVDPYSRYYPKAEITHIFRAPDKRPPEELSLTFLGLTLLPFIGFLIGLLRLGVNLKNFPSASVPAIFAILFHVGIAAVLLLYVLFWLKLDLFTTLKGLGLLGAFLMFVGHRVLSHLASTSVKLKSA >Manes.02G175400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13836931:13841321:-1 gene:Manes.02G175400.v8.1 transcript:Manes.02G175400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHQGAKEIKFLTSENRKMDLISSCNMRVSEISAPQNKSFSYGFRPLSNRNFEFSFWMHPSNLIPMKSSTLHAKKRNSQTEPVLKPTLIEEASMDNEDEEEQLLFGDLEDEALMDGDGDDLEGDNLEDQYVEDEAELYVGDGGGGGGISLAGTWWDKEALRIAEEVCQSFDGELKIYAFKTLSNSTIQVRIERLTNKSGSPTMEDIEAFSTTYRAWLDEAELAKIIPNDISLEVSSPGVERVVQIPEELDRFKDRPMYVKYVTEIVMSDSSSESDGVFRLISFDIETKCCTWGLADVRINREKAGKGRPLSKKQREWRLSTPFDSLRLVRLFSEI >Manes.15G187022.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27180187:27182006:-1 gene:Manes.15G187022.v8.1 transcript:Manes.15G187022.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISIYFSLTSLLLLFVFVGRVQPVVFDVNNYGGKADGNAWKEACSATGSNRVVVPKGTYSIGLTDLNGPCKGAMELQVRGTLVSPINPSEHTKDNWIIFAYIDRFTLSGGGTFDGQGQVAWKQNNCGRNPKCKRFPVSLWFDFITNSVIQNILKIFP >Manes.01G226200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39140907:39143980:-1 gene:Manes.01G226200.v8.1 transcript:Manes.01G226200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLRKGRWTAEEDEKLMKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLRTDLKRGNISSDEEEIIFKLHASLGNRWSLIACHLPGRTDNEIKNYWNSHLSRKVHIFRKPGNENRQLVIDTANLGTRTGTSKRGKTPGRSCAVKNNNKSQLHKQPASLTNETDEYSTGFSKDDGIIPMPQTPVLEKETLPVASEDMTILDLDQCVEDEDKEQMDLVIPCPCRETAEGNREGLVLNSSEEKESQLVSCGDEKESEMLGPCRWFDGEMLLFDDIIQNELLDDEGALNEGREDDFSLIRLEGEKKITGRNEDHGKQIVETGNPNNSRDELYRCSSIGSCSDEWSWENLVQWDENVDNMVTCFWEGDNLGEGENQKLQEMDNAKQNAFVAWLLS >Manes.06G088800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22468691:22475927:1 gene:Manes.06G088800.v8.1 transcript:Manes.06G088800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQAVSVTPAIDQSGGYNSGRIRVGLENDKASHKSYGNSNHNKNGELSCGSELGESGRESSNSESVSFRLRNLQKYIEGEQVAAGWPAWLSAVAGEAIRGWVPLKADAYEKLEKIGQGTYSSVFRARELETGRIVALKKVRFDNFEPESVRFMAREILILRRLNHPNVMKLDGLITSRLSCSIYLVFEYMEHDITGLLSCPDVRFSGSQIKCYMKQLLSGLEHCHSKGVMHRDIKGSNLLVNNEGILKVGDFGLANFCNAGHRQPLTSRVVTLWYRPPELLLGSTDYGASVDLWSVGCVFAELLLGKPVLQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSCLHETFKDLPTTAVNLIETLLSVEPYKRGTATSALASEYFTTKPYACEPSSLPKYPPSKEIDAKNREEARRRKISGRIRGAETRKPTRMPCGISKLVPAEDAATRVQCAQNNTGNNKCIPKGGDGRSGGEARKPSFDKLEEIFHIKNASQEDIPFSGPIQVSSSSGFAWAKRQKDDASIRSHNRSISRGHSNNGLGFSNALQEKNGFDSRQHDNGDVTYGIRTNSRGHDSSEISKRTLQKQWSQFERPDSFDASEGYHSQELSLALYQREEMEAKRNNLKFGSIIEVVMMNIEFRDSTAAERTTSASGCLSKGREGN >Manes.06G088800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22468690:22476108:1 gene:Manes.06G088800.v8.1 transcript:Manes.06G088800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQAVSVTPAIDQSGGYNSGRIRVGLENDKASHKSYGNSNHNKNGELSCGSELGESGRESSNSESVSFRLRNLQKYIEGEQVAAGWPAWLSAVAGEAIRGWVPLKADAYEKLEKIGQGTYSSVFRARELETGRIVALKKVRFDNFEPESVRFMAREILILRRLNHPNVMKLDGLITSRLSCSIYLVFEYMEHDITGLLSCPDVRFSGSQIKCYMKQLLSGLEHCHSKGVMHRDIKGSNLLVNNEGILKVGDFGLANFCNAGHRQPLTSRVVTLWYRPPELLLGSTDYGASVDLWSVGCVFAELLLGKPVLQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSCLHETFKDLPTTAVNLIETLLSVEPYKRGTATSALASEYFTTKPYACEPSSLPKYPPSKEIDAKNREEARRRKISGRIRGAETRKPTRMPCGISKLVPAEDAATRVQCAQNNTGNNKCIPKGGDGRSGGEARKPSFDKLEEIFHIKNASQEDIPFSGPIQVSSSSGFAWAKRQKDDASIRSHNRSISRGHSNNGLGFSNALQEKNGFDSRQHDNGDVTYGIRTNSRGHDSSEISKRTLQKQWSQFERPDSFDASEGYHSQELSLALYQREEMEAKRNNLGFRDQGDKVDFSGPLLTQSNRVDELLERHERHIRQAVRKSWFQRGKKHGK >Manes.06G088800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22468695:22475927:1 gene:Manes.06G088800.v8.1 transcript:Manes.06G088800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQAVSVTPAIDQSGGYNSGRIRVGLENDKASHKSYGNSNHNKNGELSCGSELGESGRESSNSESVSFRLRNLQKYIEGEQVAAGWPAWLSAVAGEAIRGWVPLKADAYEKLEKIGQGTYSSVFRARELETGRIVALKKVRFDNFEPESVRFMAREILILRRLNHPNVMKLDGLITSRLSCSIYLVFEYMEHDITGLLSCPDVRFSGSQIKCYMKQLLSGLEHCHSKGVMHRDIKGSNLLVNNEGILKVGDFGLANFCNAGHRQPLTSRVVTLWYRPPELLLGSTDYGASVDLWSVGCVFAELLLGKPVLQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSCLHETFKDLPTTAVNLIETLLSVEPYKRGTATSALASEYFTTKPYACEPSSLPKYPPSKEIDAKNREEARRRKISGRIRGAETRKPTRMPCGISKLVPAEDAATRVQCAQNNTGNNKCIPKGGDGRSGGEARKPSFDKLEEIFHIKNASQEDIPFSGPIQVSSSSGFAWAKRQKDDASIRSHNRSISRGHSNNGLGFSNALQEKNGFDSRQHDNGDVTYGIRTNSRGHDSSEISKRTLQKQWSQFERPDSFDASEGYHSQELSLALYQREEMEAKRNNLGFRDQGDKVDFSGPLLTQSNRVDELLERHERHIRQAVRKSWFQRGKKHGK >Manes.01G106600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30586148:30587499:-1 gene:Manes.01G106600.v8.1 transcript:Manes.01G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSAIGFEGYEKRLEISFSEPGFFADPGGMGLRSLSKSQIDEILKPAECTIVDSLSNDHVDSYVLSESSLFVYPYKLIIKTCGTTKLLLSIPAILKLAGALYLTVHSVRYTRGSFIFPGAQSFPHRNFSEEVAVLDGHFGKLGLDSVAYVMGSPEKGQKWHVYSATADVACHLGRVHTLEMCMTGLDRERASVFYKQNSISAAVMTEESGIRKILPQSDICDFDFEPCGYSMNAIERDAVSTIHVTPEDGFSYASFEAVGYKLEYVNLSELLERVLACFEPTEFSIALHSDVLDDKVGKKLFLDVKGYWCGGRNYEELGKGGSIIYQSFVKCKGCASPRSILKYSWSEDEKDEEV >Manes.05G063600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5313672:5315721:1 gene:Manes.05G063600.v8.1 transcript:Manes.05G063600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFPHEDALDIRHRYKPDVIKGDMDSIRTEVLDFYTSLGTKAVDESHDQETTDLHKCISHIRDCTPDLDKSNLCVLVAGAIGGRFDHEAGNINVLYLFSTMRIILVSDDCLIYLLPKAHHHEIYIQSSVEGPHCGLIPIGMPSGSTTTTGLQWDLTNAEMTFGGLVSTSNIVRGERVTVQSSSDLLWTISIKKA >Manes.05G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5313335:5315721:1 gene:Manes.05G063600.v8.1 transcript:Manes.05G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMTHSSTFLLPTISSDHRPYVLVMLNQRLPRFTPLLWKHAQLRLCADGGANRVYDEMPLLFPHEDALDIRHRYKPDVIKGDMDSIRTEVLDFYTSLGTKAVDESHDQETTDLHKCISHIRDCTPDLDKSNLCVLVAGAIGGRFDHEAGNINVLYLFSTMRIILVSDDCLIYLLPKAHHHEIYIQSSVEGPHCGLIPIGMPSGSTTTTGLQWDLTNAEMTFGGLVSTSNIVRGERVTVQSSSDLLWTISIKKA >Manes.06G135500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26334528:26337003:1 gene:Manes.06G135500.v8.1 transcript:Manes.06G135500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYHLFYQYNPDSAVWGNITWGHAVSRDLIHWFHLPFAMVPDQWYDINGVWTGSATLLPDGQIVMLYTGDTIDSVQVQNLAYPANLSDPLLIDWIKYPGNPVLVPPPGIETDEFRDPTTGWLGPDGKWRITIGSRVNETIGVSLVYQTTNFTTYELLEGFLHAVPGTGMWECVDFYPVAINGSLGLDTSANGPDTKHVLKASLDDTKIDHYALGTYDPVTDRWTPDNPKEDVGIGLRVDYGRYYASKTFYDQQKKRRILWGWINETDTEEDDLEKGWASVQTIPRAVLFDNKTGTNLLQWPVEEIESLRLNSTDFEEILIGPGSVVPLDIGVATQLDIFAEFETELISDSAVEEYDCSGGAVDRSSLGPFGILVIADQTLSELTPVFFRPVNSTDGTLKTYFCADETRSSKAPDVFKQVYGSTVPVLEGEKLGMRVLVDHSIVESFAQGGRTVMTSRIYPTEAIYGAARLFLFNNATSVNVKATLKIWQLNSAFIRPFPL >Manes.06G135500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26333155:26337003:1 gene:Manes.06G135500.v8.1 transcript:Manes.06G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPTSEYNEHAPYTSLRGQPSTAGPPIKLRRPLKVFSVTFASFIFLLSLVTLIINQSQEPLPTPNKNPSPSTPKATSFAKREPRGVAEGVSAKSNPSFFSDGVSYNWTNAMFYWQRTAYHFQPERNWMNDPDGPLFHMGWYHLFYQYNPDSAVWGNITWGHAVSRDLIHWFHLPFAMVPDQWYDINGVWTGSATLLPDGQIVMLYTGDTIDSVQVQNLAYPANLSDPLLIDWIKYPGNPVLVPPPGIETDEFRDPTTGWLGPDGKWRITIGSRVNETIGVSLVYQTTNFTTYELLEGFLHAVPGTGMWECVDFYPVAINGSLGLDTSANGPDTKHVLKASLDDTKIDHYALGTYDPVTDRWTPDNPKEDVGIGLRVDYGRYYASKTFYDQQKKRRILWGWINETDTEEDDLEKGWASVQTIPRAVLFDNKTGTNLLQWPVEEIESLRLNSTDFEEILIGPGSVVPLDIGVATQLDIFAEFETELISDSAVEEYDCSGGAVDRSSLGPFGILVIADQTLSELTPVFFRPVNSTDGTLKTYFCADETRSSKAPDVFKQVYGSTVPVLEGEKLGMRVLVDHSIVESFAQGGRTVMTSRIYPTEAIYGAARLFLFNNATSVNVKATLKIWQLNSAFIRPFPL >Manes.11G052900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6590042:6592945:1 gene:Manes.11G052900.v8.1 transcript:Manes.11G052900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRPRFPTENKEYENLSQDPMCNIPGTCEIAAPNPKMPVATSNAVTGSFKTQLCAKFRLGYCSYGYKCSFAHGIGELRKRLVNVQGPVVNQSNICRMFYSRNECTYGDRCRFLHVSPDNIKRDMGYCRESSSLRIGTTGFSGGQRSGFASSKLAMKKRICNKWERTGSCPYGKTCCFAHGQAELEKPIGDVELASGLAPTNTSKALPISKSVVGTSYQLQVQGMKCTFKKRAIQKISGIYADWIEDMPLLHNSLNIAEKCS >Manes.11G052900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6590042:6592945:1 gene:Manes.11G052900.v8.1 transcript:Manes.11G052900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRPRFPTENKEYENLSQDPMCNIPGTCEIAAPNPKMPVATSNAVTGSFKTQLCAKFRLGYCSYGYKCSFAHGIGELRKRLVNVQGPVVNQSNICRMFYSRNECTYGDRCRFLHVSPDNIKRDMGYCRESSSLRIGTTGFSGGQRSGFASSKLAMKKRICNKWERTGSCPYGKTCCFAHGQAEPTQAMAMMEAILSFRQE >Manes.11G052900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6590042:6592945:1 gene:Manes.11G052900.v8.1 transcript:Manes.11G052900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRPRFPTENKEYENLSQDPMCNIPGTCEIAAPNPKMPVATSNAVTGSFKTQLCAKFRLGYCSYGYKCSFAHGIGELRKRLVNVQGPVVNQSNICRMFYSRNECTYGDRCRFLHVSPDNIKRDMGYCRESSSLRIGTTGFSGGQRSGFASSKLAMKKRICNKWERTGSCPYGKTCCFAHGQAEPTQAMAMMEAILSFRQE >Manes.06G044901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14090447:14096556:-1 gene:Manes.06G044901.v8.1 transcript:Manes.06G044901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIRDCPQLKKRSENKKGEDWEFISHIQNIEVDRQTIQEEGRYLLDDEASAFMGSQEPAVNKLKEPILDEITAALVSRFELNFTRQDTASLWFLCKQVALLEWTDDLEVFILKGYGKSINYRMGVPLLEDVVQSMEQASKAHEGRGEYAIKD >Manes.08G127300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36831150:36835353:-1 gene:Manes.08G127300.v8.1 transcript:Manes.08G127300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKIAIAKDVTELIGKTPLVYLNRIVDGCVARIAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIEPGVSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKGSGGKVDAFVSGIGTGGTVTGAGQYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVGVLDEVVQVSSEEAIETAKLLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFET >Manes.08G127300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36831150:36835353:-1 gene:Manes.08G127300.v8.1 transcript:Manes.08G127300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKIAIAKDVTELIGKTPLVYLNRIVDGCVARIAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIEPGVSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKGSGGKVDAFVSGIGTGGTVTGAGQYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVGVLDEVVQVSSEEAIETAKLLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFET >Manes.08G127300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36831150:36835369:-1 gene:Manes.08G127300.v8.1 transcript:Manes.08G127300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKIAIAKDVTELIGKTPLVYLNRIVDGCVARIAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIEPGVSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKGSGGKVDAFVSGIGTGGTVTGAGQYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVGVLDEVVQVSSEEAIETAKLLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFET >Manes.08G127300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36831150:36835399:-1 gene:Manes.08G127300.v8.1 transcript:Manes.08G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKIAIAKDVTELIGKTPLVYLNRIVDGCVARIAAKLEMMEPCSSVKDRIGYSMIADAEEKGLIEPGVSVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSLERRMVLRAFGAELVLTDPARGMKGAVQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEIWKGSGGKVDAFVSGIGTGGTVTGAGQYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVGVLDEVVQVSSEEAIETAKLLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFESVKREAENMVFET >Manes.08G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34634365:34636883:-1 gene:Manes.08G106900.v8.1 transcript:Manes.08G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSSIKKKLRQRGIDPNTHKPLSEVENDKEKQLANSKNNEKASFISNNELNLIETANSKPSTISSSSKITSNNDHSSNLTPTPSTQEFFLDRYAASHESSTTSCRPSDLVGYLPFQKLSYRPNIGLSVNPNTSICFNPNSSSSEMISEFNSSTTPSILPSISNSMFQTPIRVKPSVSLPSDNPSVRSCDVSGVQNWEASSFSNNGSSCSNGSNSGIELQNTTTFFESNAFSWGLADCGKPGEEAQLRSLENDTEDMKWSEYLSTPFLLGTAIQNQTSQPMYSDVKPETNFITEGSSGSWQQNHQHHHQQVSQPSDIYAKDLQRLAVAFGQTL >Manes.08G146700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38222176:38223099:-1 gene:Manes.08G146700.v8.1 transcript:Manes.08G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLAASAVLVLALAFSMASAYDPSPLQDFCVAMNDPKNAVFVNGKFCKNPNLTVVDDFSFSGLNIPGNTENRVRSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHIHPRATEILVVIEGTLYVGFVTSNPNRLITKVLYPGDVFVFPIGLIHFQFNVGKKNAVAFAGLSSQNPGVITIANAVFGSNPSINPDVLAKAFQLDKNVVTSLQKLFWDSN >Manes.01G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6207103:6212326:1 gene:Manes.01G031600.v8.1 transcript:Manes.01G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGSRHPSPPVSSSSLPPSSSKSSSATSARPTTIALPPRSSNESFFTSGLGVGFSPGPMTLVSSLFSDSDDFKSFSQLLAGAMDSPAANSNNKSPLPPVPQADKNSAANVSRPANLSIAPPSQMFTVPRGLSPMVFLDSPALGLLSPEGNIGMRRQQALAQVTAQAAQVHSNMHIQAHYSSSLPSAPATSSTPLSSIPDPTASVQESSDFSPDQRSQASSLVVDKPTDDGYNWRKYGQKQVKGSECPRSYYKCTQPTCPVKKKVERSLDGQVTEIIYKGQHNHQAPQPKRAKDAGSLNGNSSNQSKFELASQFQSGNVNKSKDRKDQESSQATHELLSGTSDSEEVGDTETGVDENDEDEPDPKRRNTDVKVADPASSHRTLTESRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTSAGCRVRKHVERAATDPRAVITTYEGKHNHDVPAAKGSSHSTANSNSSELRQQNVEKNALDHRTKNQPPITRLLLKEEQIT >Manes.05G187100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30756610:30761948:-1 gene:Manes.05G187100.v8.1 transcript:Manes.05G187100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLVGVVEEEGQSNVTVLASSTSAESVCQNSSELKERNYMGLSDCSSVDSSVVPSASDERKNRLNLKATELRLGLPGSQSPERNSELCLLSSTQLDEKPFFPLHPSNDGHCSSAQKNVVLGNKRGFSDAMDGFSEGKFLSNSEVNVILSPRPSSNLGLKPGSALENFGPQAGKVKDIVAPKVPQERPHGTHDNRPNHSASTNNNSSAPATKAQVVGWPPIRSFRKNSLATTSKNTEEVDGKAGSGALFVKVSMEGAPYLRKVDLRNYSAYQELSSALEKMFSCFTIGQYGSHGALEREMLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIMKSSDAIGLAPRAVEKCKNRN >Manes.05G187100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30756610:30760569:-1 gene:Manes.05G187100.v8.1 transcript:Manes.05G187100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLVGVVEEEGQSNVTVLASSTSAESVCQNSSELKERNYMGLSDCSSVDSSVVPSASDERKNRLNLKATELRLGLPGSQSPERNSELCLLSSTQLDEKPFFPLHPSNDGHCSSAQKNVVLGNKRGFSDAMDGFSEGKFLSNSEVNVILSPRPSSNLGLKPGSALENFGPQAGKVKDIVAPKVPQERPHGTHDNRPNHSASTNNNSSAPATKAQVVGWPPIRSFRKNSLATTSKNTEEVDGKAGSGALFVKVSMEGAPYLRKVDLRNYSAYQELSSALEKMFSCFTIGQYGSHGALEREMLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIMKSSDAIGLAPRAVEKCKNRN >Manes.18G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3047051:3049288:1 gene:Manes.18G033400.v8.1 transcript:Manes.18G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPTSPLLQIQPPTYGNLITILSIDGGGIRGIVPATILAYLEAQFQELDGEDARLADYFDVIAGTGTGGLITAMLTAPNQQNRPLFSAKDIKLFYLQHCPRIFPQKRGICGSLWNRFKSLLGPSYDGKYLHRQIKQKLGEVRVNEALTNVVIPCFDIKRLQPTIFSTYEAKKSPCLNAKLSDICIGTSAAPTYLPAHYFKNQDPKGNVKEFHLIDGGVAANNPTLVAITQVTKQIFDENPDFFPVKPMDYGRFVVISIGTGTPKMEQKYNAKMAAKWGTLGWLLHGGSVPLVDVFTQASADMIDFHISVAFQALHSEANYLRIQEDTLTGRDSSVDIATKEQLDRLVIIGEKLLMKPVSRVDYLETGISEPVQNGGTNAEALTKFAKMLSMEKRLREKNSPTATDI >Manes.02G052520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4221557:4230068:1 gene:Manes.02G052520.v8.1 transcript:Manes.02G052520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSHFSATSHCSSVSRFVDLGSRSGGKWRSNLLLIRTLRSRPLARSFSVKNVSSEPKTKLENPISGEDASSIASSIKYHAEFTPLFSPEKFELPKAFVATAQSVRDALIINWNATYECYDRLNLKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALTKLGHDLESVARHEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQRITKDGQEEVAEDWLEMGNPWEIVRNDISYPVKFYGKVVSGSDGKKHWIGGEDIMAVAYDLPIPGYKTKSTINLRLWSTKAPAEDLDLSAFNAGEHTKAYEALANAEKICYILYPGDNSLEGKSLRLKQQYTLCSASLQDITARFERRSGSNIKWEDFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTTAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELISTIVTENGREDSDLLEKKLKEMRILENVDLPSTFANLIAKPKESSAAAINENSDEAGKLINEKAEVDSGDETESKGEAKSTGARKKEEVVAEPPPKMVRMANLCVVGGHAVNGVAEIHSEIVRDEVFNSFYKLWPNKFQNKTNGVTPRRWIRFCNPDLSKIITEWTGSEEWVLNTEKLAELRKFADNEDFQTQWRAAKKSNKMKVASLLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSAVERKAKYVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAKAHEIAGLRTERAMGKFVPDPRFEEVKDFVRTGVFGSCNYDELLGSLEGNEGFGRADYFLVGKDFPSYVECQEKVDEAYQDQRRWTNMSIMNTAGSYKFSSDRTIHEYAKDIWNIEPIILS >Manes.07G062220.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10826133:10829178:-1 gene:Manes.07G062220.v8.1 transcript:Manes.07G062220.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDILISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHDESGKLKNRTVELQQAVEEATRKGEEIYERVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKTEKKTLAIHELANKGDHNPISFRPPLQQIVAPSVYAREGLNSRKLFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKVEEIPGRANRLYERLKKELEKEKTKLEKEKTELEKEKKVLIILDDIWKQLDLNAVGIPFGDDFKGCKIFLTSRSQDVLSREMGTQKEFRLDVLQDEEARSLFEITVAIAKDSEFQPIAAEIAKKCAGLPFLLCTVATDLKNRGLYAWKYKLKQLSEFNNEEIYSKVRAILESTYNNLSSNEIKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKNITVEDARDKLRVWIDTLKAQSLLQDGDMYGFVKIHDVVRETALSIASREQHAFIVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLGIPDQFFKCIRNLEVVDFTGIHFVSLTSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYIVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGRLQRFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLISGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMKVEDCPKMEAIVIDESENSNEVLEFNRLRSLNLQNLPNLRTFQSKMKAPLKIEEFLS >Manes.11G061400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8598441:8603960:-1 gene:Manes.11G061400.v8.1 transcript:Manes.11G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCRLLRVRSLSTFPLPIHVSQRPLYPQRHLFQCNLRIQQLGKLGRVEEARHVFDSMSQRDSVSWNSMINAYIQNNNIRDAKLLFNAFDHKNVRTWTILLSGYAKAGLIEEAKTVFQSMPERNVVSWNAMLAGYVQNGDIKNARKLFDEMPEKNISSWNSIITGYSRCGLMREARELFDRMGERNCVSWMVMVSGYVEISQYREGWHIFLIMMNSGLMPDQSILVVGLSAIMGLNNLDLISSLRTITMKLGYEGDVVVGTAILNAYMRSGSLDNAAKFFEAMPVRNEYSWTSMIAAFSQCGRLDDAIALYERESEKSVPTRTTMMAAYMQKGRIDDARHIFDQIENPNLVTWNAMIGGYAQNGMVEEAKAMFLQMPVRNAASWAAMIAGFVQNGNFREGLELFSELLRTGMVPSRSSFTSALVACANIGDVEIGKQIHSLTIKTRSDSNPFVGNGLISMYAKCNNIGDVSQAFSTMNARDTVSWNSLISGLSENNMLNDAWNTFEKMPMRDVVSWTAIISAYMQAGEGERALKLFLDMLSAGVKPKHITVTSLLSACGNLGAICLGEQFHGLLFKYGFDSCLSVLNALISMYFKCGSLDGLYVFEEMLDRDLFTWNAVLVGCAQNGLGKEAIKIFRQMEEAGVLPNEISFLGVLRACSQAGLVKEGWDYFISMTQDYGMIPSVCHYTCMVDLLGRAGKLSEAEALIQNMPIKADCVIWDAFLAACRIHQNMEIGQRVAEKLLEMGTKRSETYLLLSNIYAYQGMWDKAREIRELMKHIAVKKEPGISWIQIKNKVHCFLVGDKKHDAIDEIHLALEDFYRCFRAAGYPDTKVVLHGVEEEQKKNEQDYHSEKLALVCGILRTPNGAPIQIMQNLRICLDCHSFMKFMSKVTKRKIIVRDGNQFHHIWDGTCSCGDFW >Manes.18G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9388109:9391130:-1 gene:Manes.18G101100.v8.1 transcript:Manes.18G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIRTSSSSSSSSVSFLLLAILLIRMAESVTPPAVDSSKAAVHIVYTERPQDEEPEAYHIRTLASVLGSNEAAKEALVYSYKTAASGFSARLTPEQVEQISKQPGVLQVVPSRTLQLHSGPGRLH >Manes.02G216300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31640634:31645345:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGNSQNRGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKTALRSQPSYDHGGGGRGGYGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >Manes.02G216300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31640264:31645345:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGNSQNRGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKTALRSQPSYDHGGGGRGGYGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >Manes.02G216300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31640182:31645410:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGNSQNRGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKTALRSQPSYDHGGGGRGGYGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >Manes.02G216300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31640184:31645393:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGNSQNRGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKTALRSQPSYDHGGGGRGGYGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >Manes.02G216300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31640184:31645345:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKTALRSQPSYDHGGGGRGGYGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >Manes.02G216300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31640634:31645345:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGNSQNRGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKTALRSQPSYDHGGGGRGGYGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >Manes.02G216300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31640634:31645345:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGNSQNRGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKTALRSQPSYDHGGGGRGGYGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >Manes.02G216300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31641446:31645373:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGNSQNRGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNSIDLATVSFSFSS >Manes.02G216300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31640634:31645345:-1 gene:Manes.02G216300.v8.1 transcript:Manes.02G216300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDGESAPPSYGGYGGGSASGGGGGGGGGGYGGGASGGGYGGDGGYGSRGGRGGGGGGRGYGGNSQNRGYQGGDRGGRGGGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGTPSPAGASNDRGNGAGGGYNRGSGGYGGNRGGRGGNYDGNRSSNYNDGGRGGSYDNRSGGGSRGGSYGGNHGRDDGSYNQMPPPEIPSYGSASGNYPPPPNSYGGNANYGMEAVPPPQSYTGGPTSFPPSYGGPVSGYGGDAPADVRTGGRGGPSGGYGSGGSRYEGGRGFGSAGTDASAKIKQCDGNCDDTCDNSRIYISNLPPDVTTDELRELFGGIGQVGRIKQKRGYKDQWPWNIKLYTDEKGNNKGDAVLSYEDPSAAHSAGGFYNNYDMRGYKISVAMAEKTALRSQPSYDHGGGGRGGYGGGDRRRDSYRDGGSGPDRHHHGGNRSRPY >Manes.09G064700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10664198:10666051:-1 gene:Manes.09G064700.v8.1 transcript:Manes.09G064700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVETMEINDSSNAHSHDNGHLRGSTNSSKENSSEKDVFVNHAEVAWHERRKQWVGDPSQKSQRMLREPIMSWTTTYEDLLCSTEPFQQPIPLAEMVDFLVDIWHEEGLYD >Manes.09G134600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33464763:33488750:1 gene:Manes.09G134600.v8.1 transcript:Manes.09G134600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDAFDVQILDEKLSKLNNSQQSIESLSRWCILHRKKARQIVEIWDKLFNSSQREQRVSFLYLANDILQNSRRKGSEFVNEFWKVLPATLKQVYENGDEPGKKVVTRLVDIWEERKVFGSRGQGLKDEMLGKNPSPPPPPPQVASNGKSSNPIKIVKRDAHSVRIKLAVGGLPEKILTAFQSVSDEHLDEEAALNKCKAAVFHVGKIREEVENGLSLGNQQGSTFVDDLQVQENVLQQCIGKLESAEATRAMLISQLTEALKDQESKLDVIRAELQVARGQIEQAVNLRTRLTSLTLPGSTTSIPMLDAAKIIEHNTPPLQSTSTPLPTLAQPVVSFAPMKTLDEESKKAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASMNGGLKSTGFTSGLTMFPSEKRPKLENPMPVSDSNSDVGNTTYFNPLQQQPGTTVPLVPPTSSMQSMSQSNQIQTSFGMLPPLPPPPPLSPASAPTNQFAQSSGMMLGMMPYGYGANALPPPPPLPPHIAMGLARPAAQSSQQSQSQQPQQQQQTPATGGYYRPPGVGFYGQNHQSATPPVPRQ >Manes.06G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25999952:26004084:1 gene:Manes.06G130700.v8.1 transcript:Manes.06G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAADIKNRMASQPAANDGRPPTVQIRGQPVNQKSGCCSS >Manes.06G130700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25999952:26004084:1 gene:Manes.06G130700.v8.1 transcript:Manes.06G130700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKDDSYIDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAADIKNRMASQPAANDGRPPTVQIRGQPVNQKSGCCSS >Manes.09G160601.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558861:35565918:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPG >Manes.09G160601.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558861:35565918:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPG >Manes.09G160601.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558941:35565620:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGLP >Manes.09G160601.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558941:35565620:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPVLVQNLLGREQYIEAARFSCAYGLIEHFPPQHILQKHLQSIRRRACISMNRKDLPEAQVEAINKEVRALRAMSACMADFKLDSKILTQNITELIVELEKQKASIRSFPPATPIVQPQLQGGINHTIGTSSPKPQLRNRDSTDRLQFQGNNKRLKMSVLRL >Manes.09G160601.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558900:35565620:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPG >Manes.09G160601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558861:35565959:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPVLVQNLLGREQYIEAARFSCAYGLIEHFPPQHILQKHLQSIRRRACISMNRKDLPEAQVEAINKEVRALRAMSACMADFKLDSKILTQNITELIVELEKQKASIRSFPPATPIVQPQLQGGINHTIGTSSPKPQLRNRDSTDRLQFQGNNKRLKMSVLRL >Manes.09G160601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558899:35565959:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPVLVQNLLGREQYIEAARFSCAYGLIEHFPPQHILQKHLQSIRRRACISMNRKDLPEAQVEAINKEVRALRAMSACMADFKLDSKILTQNITELIVELEKQKASIRSFPPATPIVQPQLQGGINHTIGTSSPKPQLRNRDSTDRLQFQGNNKRLKMSVLRL >Manes.09G160601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558941:35565620:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPVLVQNLLGREQYIEAARFSCAYGLIEHFPPQHILQKHLQSIRRRACISMNRKDLPEAQILLQVEAINKEVRALRAMSACMADFKLDSKILTQNITELIVELEKQKASIRSFPPATPIVQPQLQGGINHTIGTSSPKPQLRNRDSTDRLQFQGNNKRLKMSVLRL >Manes.09G160601.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558941:35565620:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGLP >Manes.09G160601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558861:35565959:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPVLVQNLLGREQYIEAARFSCAYGLIEHFPPQHILQKHLQSIRRRACISMNRKDLPEAQVEAINKEVRALRAMSACMADFKLDSKILTQNITELIVELEKQKASIRSFPPATPIVQPQLQGGINHTIGTSSPKPQLRNRDSTDRLQFQGNNKRLKMSVLRL >Manes.09G160601.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35558941:35565620:1 gene:Manes.09G160601.v8.1 transcript:Manes.09G160601.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVMDHLKLAEFKKESLRKSFDQAHAQASSILLFTLQWKDLEDQFESLKNPLLKQAQELALQEKKLEENTQVLKQREEEVEIKFRWVEERLDELQLKENQLDFIKKWIQECTLELSLKEKQLNLAREEAENCNVKVSMKKEQLGLVQEELDECNEELRVKKEQLVTVQNLMEELTGELASKETNLGLVKMKIDECCKELCAKDQQLGSVQKSLQECSKELETKQEELEKVKILSKERIDELELKERELDAIKNSISDCNGKLKLNEKQLETLQELTEKCSRELGFKERKVGDIQKSIDECLKELDLEKKELDATQKMTNEYQKEVNLKKEELSRVQKLIEERSKDLASKENHLDSIKVLIEENTEELEAKEQQYDAIKKSVDECSAKLSLKETEVKLIEKSIRNLSSKLQSEEKKLDSVQKLIEERLKDLDSKEKHLDLIKVLIKENTEELEAKEKQHDATKKSMNECSAKLTMKETEVELIENSIRNLSSKLQSEEKELDSVQKLIDERLKDLDAKEKQLASMKLLIEENDEELAAKEKKHNAIKKSITECSAKLTLKEKELERTEKSIGDLSSKLLLEEKKLKFVQMEAKQQAKEFQLKEKKLNSLKGTLKTYFDDLELKDREYNAVRGSIEEKNEELKSKEELLKSVQISIIECTEQLELMKKQQSTVQKSIVECSNELEAKKNNIDLMEKSLKECSDLLALEEAKLDSIRRFHKEHEEKKRYFDSLERTLEERLEKLQMKERHFEECVKKFELQREKLGSMQKSVEELYKKVELKQKQLNYNVQPENLMLNFTGNHLSVGYQSCISNDGQKLQLLLNEHLRKHDLVHREVFIAIQKSPDPAKLVLDAMHGFYPAGLKKGNMEFDLSVIRRSCTTLLEQLLKISPQIKPEIKQEAMKLAGEWKARMSAVEENSLEVLGFLQLLVAFKLASAFCPDEIQSFLGTVSQHRQAPELRQALGNAKTLSGSNILSSNVKVEPMEHLHVSGPVCSSSANFESAVIMDGSFLLFQNEDLIEYELKPDEVLAVFKSSPNPARFVLDLVQASYIQHWKNEDRGLDASVLRSKILILEQLMKVSPKISPLEREAAKKLAVSWKENIRLQTENQMEVWAFLLFLAVYGLVSFFVGDEILQLIYIIAHLRHAPEICRILGFTAKVPG >Manes.01G000496.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1244281:1244814:-1 gene:Manes.01G000496.v8.1 transcript:Manes.01G000496.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLDFSTAFHPQTDGQLERTIQTIEDMLRMCVLDFGGSWKQHLPLVEFAYNNSYHASIGMAPYEALYGRKCRSPVC >Manes.14G055700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4767131:4769227:1 gene:Manes.14G055700.v8.1 transcript:Manes.14G055700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGPPLPKFGEWDVNDPASAEGYTVIFNKARDEKKTKNDAPTKITSPRNDAGRKDDDSHQNPPKWKWLCCG >Manes.14G055700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4767131:4767978:1 gene:Manes.14G055700.v8.1 transcript:Manes.14G055700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGPPLPKFGEWDVNDPASAEGYTVIFNKARDEKKTKNDAPTKITSPRNDAGRKDDDSHQNPPKVHVLNEVKYSCY >Manes.07G143516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34361830:34362908:-1 gene:Manes.07G143516.v8.1 transcript:Manes.07G143516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLKIESSLSHINSLKDLYLWNFNGTEALPEWLGNLQSLRNLSISNCENLKYFPSATVMQRLSNLRELCILGCLFLGKNCAKGSGSEWSKISHVPYIYIREECVG >Manes.12G112901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31185210:31187487:-1 gene:Manes.12G112901.v8.1 transcript:Manes.12G112901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVHYIKCLIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.01G088300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28968899:28970496:-1 gene:Manes.01G088300.v8.1 transcript:Manes.01G088300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLFFFFFFFFFIFFALHVNSSFAATNFNVLFYGAKPNGVTDSTKPFLDAWAAACSSTGSTMIYVPKGRYLLGPMIFRGACKSSDITIRIDGTLVAPADYHILGQAANWFSFEGVAGVSIVGGALDAKGSPLWACKAKGSNCPNGATTLSFTNSNNININGLLSLNSQMFHIVINGCQNVKVEGIKVIAAGDSPNTDGIHVQLSTNVEIINSSIKTGDDCISIGPGTKNLWVEGVKCGPGHGISIGSLAKDAEEEGVQNVTVKRTIFINTQNGFRIKSWARPSNGFVQRVRFLDAIMHNVQNPIIIDQNYCPHNLNCPNKVSGVKVNDVIYQGIRGTSATPIAIKFDCSSKNPCSAIRLQNVNLTYSNQAAQSSCVNVVGKRIGLVKPDNCL >Manes.13G089986.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20334844:20337299:-1 gene:Manes.13G089986.v8.1 transcript:Manes.13G089986.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMYLLVSVFVIALNDVPSKISILKSHKTLTLTEIIPQICQGSFNDVPSVFVIALTCKQQLRREKQ >Manes.03G011200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:953618:957942:-1 gene:Manes.03G011200.v8.1 transcript:Manes.03G011200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLLLLLLILLLYMPSWIFHFSLVHALPVHDSSVSSPASSPPSTLSASMAAFSPGIQSQMGTEEEDHHKMKLDKKIVIFLIVTCGVLAIIVILSLFGCCIYYWKLYQRKKNSQFSEVEKGVSATTFLGKINSLRMVSNRGSVSLIDYELLEKSTQNFGDENLLGKGGFGRVYKALLEDDKHVAVKKLDCAGEDARREFENEVDLLSKIHHPHIISLLGYSVHEDMGFIVYELMQNGSLEDLLHGPSRGASLSWHMRLKIALDIARGLEYLHEFCKPVVIHRDLKSSNILLDSNFNAKLSDFGLAVADSSQNRNKLKLSGTVGYVAPEYMLDGELTEKSDVYAFGIVLLELLLGRRPVEKLAPAHCQSIVTWAMPQLTNRASLPNIVDPVIKDTVDEKYLFQVAAVAVLCVQPEPTYRPLITDVVHSLVPLIPVELGGTLKSCNTG >Manes.02G044000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3611637:3612149:-1 gene:Manes.02G044000.v8.1 transcript:Manes.02G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRAMLMTTLFLGLIASSSIAQGPISSPPTASTMPPTTTAMPPTSSATPPSSPVTPPPAATPLPPQPTASPPPSATPTASPPTLVPTMSPGSSPPTPATAPESSPPEMPATPPAPIAPTQSPAPSSPTPPSPAATPTSTPESGAFVHGSRVGALLSVLGGVALVAIFA >Manes.02G150200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11815293:11818683:1 gene:Manes.02G150200.v8.1 transcript:Manes.02G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENLALHISLVLFMLLHCSLTVAFDSTEEANALLKWAATLHNPKDSNISSWPLLPQNATNSIPRTSPCNWVGLSCNINGRVERLNLTNAGLNGKLHELYFSAFSDLAYIDLSVNILSGNIPLGITKLSKLIYLDLAYNLLSGTIPPEIGLLTNLDTLHLSANQLSGPIPSTIGQLSSLTELALYTNNLDGPIPPSIVNLTKMARLLLYENQISDLIPPEMGNLTSLVQLYMNTNNLSGPIPSTFGELKNLTVLYMFRNQLSGSIPLEIGNVKSLTALSLYGNNLSGQVPATLGGLTNLELLHLYENKLSGPIPDELANLTSMVELDLSENQLNGSIPSFLSNLKMLERLYLRDNQLSGTIPEQIASLPNLSVLLLDSNQLIGQLPQTICQNEKLQNFTVFNNRLDGPIPKSFKDCKSFVRVRLEGNQFTGNVSEDFGVYPQLQYIDLSSNNFYGEISSNWGECPNLRTLAMAGNNIRGTIPPEIGNATQLQALNLSSNKLSGRIPMEFGKLDLVKVILNDNQLSGGIPSEFGLLADLKYLDLSANKLNQSIPENIGNLPKLFYLNLSRNEFSQKIPIRLRKLTHLSELDLSRNLLKGEIPSELSSLESLEVLNLSHNNLSGSIPDSFGGMNGLLTIDVSYNELEGPIPSNKAFQNASIEAFQGNKGLCGDVPGLQTCKILTNKSTSKKSHKMLFLIIFLPICGVFSLLGFLGVFFFLRKRKEDADAQQGSQEDGESFFISSSEGRITHDEIIKSTDCFNSLYCIGKGGHGSVYKANLQSGSTVAVKKIHQFQDGEKTCRKEFLNEIRALTKIRHRNIVKLFGFCSHSRYSFLVYEYLDGGNLATILGNDKEAKELDWSKRINIVKGVANALFYMHHNCSPPIVHRDITSKNILLDSEYEAHVSDFGTAKLLNADSSHWTALAGTYGYIAPELAYTMKVSEKCDVYSFGVVALEIINGKHPGEIVSSVASPSAQNLVLEDFLDQRLPTPSAQVQDELRKIKKIAIACLHSNPQSRPTMHKISQVLAVQTPPFSSLG >Manes.12G026200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2310222:2316547:-1 gene:Manes.12G026200.v8.1 transcript:Manes.12G026200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTTTLSYWLNWRFFLCAVFILLAMIFAVYLIWKYEGYKRSESERRENQRERMESSYKDEAWKTCLKGIHPAWLLLLRITAFIIMFSLITANTVVDGIGIFYFYTQWTFTLVTIYFAIGSSISFYGCCKHRNGFDSDQTYRGNIDAEQGNYTAPSLGETEDMSTLPKSLDSNGEPSHCCTAGAWGYIFQIIFQTSAGAVMLTDIVFWFILYPFLMAKDYSLDFLNACMHSVNAVLLLGDTFLNCLRFPTFRIAYFILWTCIFVIFQWIIHACISMWWPYPFLDLSSPYAPLWYLGVGLMHVLSYGMFGLIIKLKHFWLSRSYPESYQGFR >Manes.12G026200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2310504:2316462:-1 gene:Manes.12G026200.v8.1 transcript:Manes.12G026200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDVTSLNNLLSFLTGSSKHTWQPVMTANTTTLSYWLNWRFFLCAVFILLAMIFAVYLIWKYEGYKRSESERRENQRERMESSYKDEAWKTCLKGIHPAWLLLLRITAFIIMFSLITANTVVDGIGIFYFYTQWTFTLVTIYFAIGSSISFYGCCKHRNGFDSDQTYRGNIDAEQGNYTAPSLGETEDMSTLPKSLDSNGEPSHCCTAGAWGYIFQIIFQTSAGAVMLTDIVFWFILYPFLMAKDYSLDFLNACMHSVNAVLLLGDTFLNCLRFPTFRIAYFILWTCIFVIFQWIIHACISMWWPYPFLDLSSPYAPLWYLGVGLMHVLSYGMFGLIIKLKHFWLSRSYPESYQGFR >Manes.18G129120.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21828371:21829860:1 gene:Manes.18G129120.v8.1 transcript:Manes.18G129120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDNWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGMRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYRGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.01G009300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3021816:3025389:-1 gene:Manes.01G009300.v8.1 transcript:Manes.01G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLNSVIESSGYALYSIFITHCRTIKLGLLTDIYTANNILSHYTRCSYGGIVLASKLFDEMAHRDTVTWNTMIAGHVNSGNCEAAWELHKVMKRCGFNTDAYAFGSILKGVACACRPDLGQQMHSLIVKMGYEENVYAGSALLDMYAKCKRVEDAFLVFQRMPQRNSVSWNALIAAFVQEGNRDTAFWLFHCMEEEGVRLDDGTFSPLLTLLNEDKFCKSTMQLHCKIIKRGVEFDNNVCNATITSYSECGSLEDAKRVFDGTVGTRDLVTWNSMLAAYLVHGREKIAFRLFLDMQQSGFEPDIYTYTSIISACSHKGQGRSFHGLVIKRGLEQSVPICNAVIAMYLDSSNKSVEDALIVFHSMESKDRVSWNSILTGFSQIGFSEDAPEFFRHMRYSAVEIDHYAFSAVLRSCSDLATLQLGQQIHVLTFKSGFELNDFVASSLINMYSKCGIIEDAHKSFEDSTRDSSITWNSIMFAYGQHGQGDVALNLFFQMRERKVKVDHISFVAVLTACSHTGLVEEGRYFLKSMASDYGIPPRMEHYACAIDLFGRAGHLDEAKALVESMPFKPDAMVWKTLLGACRACGDIELAAQVANHLLELEPEEHCTYIILSNMYGRLKRWDDKACMTRLMRERKVKKVPGWSWIEVNNEVHAFIADDRSHPHCKEMYQRLAELMEEIKWLDYIASLDSLSDDVDLNGYCNYSC >Manes.05G161000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27395804:27396500:-1 gene:Manes.05G161000.v8.1 transcript:Manes.05G161000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAVQNSSHQIKEPAPKIPKLQENCAHEVSENPISLFRVKKLSDKAILPARASALSAGYDLSSATGTKVPARGKTLIPTDLSIAIPEGTYARIAPRSGLAWKHSIDVGAGVIDADYRGPVGVILFNHSDADFEVKAGDRVAQLIIEKIMTPDVFEVEDLDATARGEGGFGSTGV >Manes.02G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8235571:8239454:-1 gene:Manes.02G105700.v8.1 transcript:Manes.02G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWYSRLEREEMVSRCKARKRYMKQLVNARQAVSASHAMYLRSLRATGSVLLQFSSTEANLHLHHHRHHQHHLPPVSPSPPPLPPTPPPPPPPMSPSSDTWTSITTSTALPPPPPPPPPQSSSWDFWDPFVPSPPQTSRSVTEEEWEEVTTATASEVAVTPTGTAASLTAPPSVMSGFSKDTGSGSGSKLAMVVSRNGKDLAEIVKEVDEYFLKAADAGAHLSLLLEVPTPNFSCQSKGGKVYDHGCNLTNPSLWTWGSSPKMNGFGKMGEGMAGNTTGISHCSTVERLYAWEKKLFQEVKNAESIKIEHEKKVALLRKLEVKRADYVKTEKTKKEVEKLESLMMVATQAIETTSAEIIKLRETELYPQLLELVKGLMCMWRSMYESHQVQMHIVQQLKYLNTIPSTEPTSEIHRQSTLQLELEVQQWHQSFCNLMKAQRDYIQSLSGWLRLSLFQFNANPLSRTNQESQIYSICEEWHHAVDRIPDKVASEGIKSFLTVIHAIVVQQAEEHKQKKRSESASKEFEKRTAELRSLERKYGPYSVPETSGNTRSKDPVVVKRAKVEIMRAKAEEEKSKHEKSVSITRAMTLNNLQMGFPHVFQAIVGFSSVCMHAFESVYNQAKSTQTVNDVKRILP >Manes.17G107900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31476262:31482798:1 gene:Manes.17G107900.v8.1 transcript:Manes.17G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAELRVLIWVLLGLWIHVVWGVKTAQEAPFRIHTLFSVECQNYFDWQTVGLMHSFKKAGQPGPITRLLSCTEEEKKNYRGMHLAPTFEVPSMSRHPRTGDWYPAINKPAGIVHWLKHSKDAENVDWVVILDADMIIRGPIIPWELGAEKGKPVAAYYGYLVGCDNILAQLHTRHPELCDKVGGLLAMHMDDLRALAPMWLSKTEEVREDRAHWATNITGDIYGQGWISEMYGYSFGAAEVGLHHKINDNLMIYPGYTPREGVQPILLHYGLSFRVGNWSFSKLKHHEDDIVYDCGRLFPEPPYPREVKLMESNPNKRRGLFLSIECINTLNEGLLLQHATNGCSKPKWSKYLSFLKSKTFAELTRPKLLTPDSIKDVAAKEKQVINEPQKPYPKIHTIFSTECTPYFDWQTVGLMHSFQLSGQPGNITRLLSCTDEDLKRYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHANIDAEFIVILDADMILRGPITPWEFKAARGRPVSTPYDYLIGCDNVLAKLHTRHPEACDKVGGVIIMHIEDLRKFAMLWLHKTEEVRADKAHYATNITGDIYESGWISEMYGYSFGAAELQLQHIISREILIYPGYVPQPGVKYRVFHYGLEFKVGNWSFDKANWRDTDMTNKCWAKFPDPPDPSTLDRTDEDILQKDLLSIECGKTLNEALLLHHKKSNCPDPSSLSKPNSDTAKEVVSSRKFGKIDGSNAGLLSNPVPIKHSQKLTLPAANDGIFGSLRFWVIVLWAVSGLGFVAVMFMLFLGRKSKGTKGKSYRNKRRSSYSGFLDTSGRERLSRNAESSL >Manes.15G143500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11762110:11765601:-1 gene:Manes.15G143500.v8.1 transcript:Manes.15G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPPDLSLDFRPTYVPKTISDFLKEVSLIGDVSEKVSKLDGFVKGLEEEMNKIDAFKRELPLCMLLLNDAILFLKAESIQYAASNNPPILEEFIPLKKNCDDDDDDDEQDGRINKEKDSRDKKNWMSSVQLWNTNDHPSTNYIFDKKQSLKLESKITKRGNQYEKEDTFQVCNSRSAARAFMPFKTYSGLSRNDDNDNNSNGELPVPGLSLLTPGIKNFREESSSTSSRISCSRAVSSSAPNPHSNLQNGPQPHQQQTARKQRRCWSPELHRRFVNALQQLGGSQAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRMPPATAASANQSVVVLGGLWMSKDQYGDSMKATSSQSGSPQGPLQFAGNTGGTSTTGGDSMEDDEDAKSEGYSWKSHIHRSVKDDV >Manes.01G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34157736:34161439:1 gene:Manes.01G154900.v8.1 transcript:Manes.01G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSGSSVCLRDSAYQLPLPVSNDCYKRRSAKWRSPQAAVIPNFHLPMRSFEVKNRTSSEDIKSLRLITAIKTPYLPDGRFDLEAYDALVNVQIVNGVEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGLVSHFESVLPMGPTIIYNVPSRTGQDIPPRVINTIAQSPNLAGVKECMGNDRVEQYTEQGIVVWSGNDDQCHDARWNHGATGVISVTSNLVPGLMRKLMFEGKDPALNTKLMPLIEWLFQEPNPIALNTALAQLGVVRPVFRLPYVPLPLPKRVEFVNLVKSIGREKFVGEKDVLVLDDNDFILVGRY >Manes.09G163100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35733878:35740478:-1 gene:Manes.09G163100.v8.1 transcript:Manes.09G163100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRLQAVRSLYRTLEIQDYSYLVGRSRSYSHFSNGISFCPLRGQNTLPWTHGKTTLHSSMAMELSIFFNSKRLVTTEARAPPQARQMGALKVSISSPGFIYEPYAPRESIPFWRRWFTKSGWRRTKEDIILEMKSAYAISKLRKSGYSKHEFYKKAIDLYKETNTLLANGDKNSLRKIVTEKMYSELKNEIKHRESMWNKVYWEMIEPVVKIRTLRARLIGVDRKDLNKVFIQLTLELLTKQKFEAYDSKGITVAGDKTKELVHPSVLNPKTNALPFLRRQHHISPNCPSILSITTSSFTPKSRSLSSFADRPTAAYYDNLVNAAGDERDFNTLRYLLNKRVRDSCFNTTNTFRFITNTENSLSVLDDLTETLARLDSGVPRTSAYNALIARLCKLGRIKESLHIVDIMARGQYGLSACSFHPILRALTKKKKMEVAWKVIEKMRAVGVLPDLTAFNYLLTAYCYNGNSVPAIKVMKKIEEEGLGADARTYDALVLGACRAGKVEGALVLLRRMENDGLHILYSTYMHVINALLKLGYYEQAVKFVMIYGGRDAVLDTEIFGILASKLIKLERLEEATIVLEEMERRHLVMGDKLRDCYNLKVKNVKLC >Manes.11G124101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28573351:28575005:-1 gene:Manes.11G124101.v8.1 transcript:Manes.11G124101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRRLGFSEDEISSIIRKYPGCMAFSEKKVIGTINFLVCKMGWQRAAVTEFPLVLGLNLERRIMPRCSVLRVLLLKGLIKADTHLSSVLIPSEKLFLEKFVIKYQEHVPELLDIFQKKVGLTELGFSLDDKSRISGLKNV >Manes.17G079156.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28200710:28220473:1 gene:Manes.17G079156.v8.1 transcript:Manes.17G079156.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEAQFGIDAPADQFHDVFSCRPHHISNMSPHNIHDCDLHEGEWGKEGAIVCWKYFHDGSAKVAKQVIETIDDVNLLTVFKMIEGDLMKEYKSFKFTVQATPKGEGSVVRWTLEYEKIHENIQDPYSLLEFIVQFSKDVSAHLVKCPKK >Manes.02G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3858393:3860540:-1 gene:Manes.02G047300.v8.1 transcript:Manes.02G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPFNMNIHCTSSMETFTHMAPYNICSCHTLNVHKGDHYMAFLSSPMPKCCTFSFGSNQNNDVEDKCNAGISLISDQESLMHHQQSSKLCARGHWKPAEDAKLKELVALYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINKSAFSEEEEEKLMTAHRVYGNKWALIARLFPGRTDNAVKNHWHVIMARKYREQSFAYRRRKQTEAAKRRVEDGSSSATRETAINVTETKSPYLCNAIITKPSLHFPLEGCKGFCDVTSSEEAATSGTVLFVGSSNLLSLPHGSCPEQTPFDFFSGHKSYEISSQNGTTFTVSHNMQQSSNHHLSAGFSDSMASPTSRVSNCEPSSSSSISSSDNNATCHFQTTISPPFIDFLGVGAS >Manes.01G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30085879:30090288:1 gene:Manes.01G099900.v8.1 transcript:Manes.01G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLNASPASPVFAPSPHVSKVLRTSCCFVPKTGNFPLKFPQLSLPRSRIPSIPASASSIGLEKKKRVDESQNLTLEHIRHSLIRQEDSIIFSLLERSQYCYNADTYDPDAFAMDGFHGSLVEFILKETEKLHAQVGRYKSPDEHPFFPDLPEPVLPPMQYPQVLHPVADTININKRVWDMYFRDLLPRLVKDGNDGNAGSAAVCDTICLQVLSKRIHYGKFVAEAKFRDSPDAYEAAIRAQDRERLMDLLTYKKVEEAITKRVEMKAMTFGQEVTVYSEDDRHDPAYKIEPSLVAHLYGEWIMPLTKEVQVMYLLRRLD >Manes.11G074100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10675799:10683021:-1 gene:Manes.11G074100.v8.1 transcript:Manes.11G074100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPESGLRSPSNPSQLSWVNLSRNLILAYQSFGVVYGDLSTSPLYVYTSTFFGNLQNHQNEEAIFGAFSLIFWTLTFLPLIKYVFILLGADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSAYKYGPTAHVTSSSALKRFLEKHKTLRTALLVVVLFGACMVIGDGVLTPAISVLSSVSGLEAYETKLTKGEVLLLACVILVGLFALQHFGTHRVAFMFAPIVIIWLVSIFSIGLYNTIHWNPKIVRAISPHYMIKFFGQTGKDGWISLGGILLSITGTEAMFADLGHFTASSIRIAFAFVIYPCLVVQYMGQAAFLSKNVDSIKNSFYASIPKPVFWPVFVIATLAAIVGSQAVITATFSIVKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMVLTLAVTLGFQDTTLIGNAYGLACMTVMYITTFLMSLVIVFVWQRSVLLAATFLIVFWFIEGVYLSAALMKVPLGGWAPLVLSVIFMLVMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPFVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGDFENKLIQSIAEFIQMEAVEPQFSNSESPSLDGRMAVMSIRSIQSSLSLIVTDEDIINIDDSIQSSKSLTLRSLQSTYDDDNPQIKRRQVRFVLPENPSMDSSVREELMDLIQAKEAGVAYIMGHSYVKARRTSPFLKKLAIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Manes.10G089200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22883486:22916963:-1 gene:Manes.10G089200.v8.1 transcript:Manes.10G089200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLTSIASEEINLVYGFKNDLRKLQTTLSTIKAILIDADEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRVVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIIRKEVGVDMRIKSSWRETDSFVLKSEIIGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSNEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNRIWSQLRKYLMVGAIGSRILVTTRSTRVALAMGVDCPYALAGLTEDQSWDLFEMLAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYEFGKEDLIQLWMAQGYVQSRSQSKDENLEEIGEGYFNELLFRSFFQKANNCYKMHDLISDLAQSMAGDSCLVLDDNTKYVPNRIQHVFSGNLSFEECFKQLKNRGLRTLYCDYYGDKLSLYLDSIFSNCRSIRALSLRCNINELPDSIGKLKHLRYLGLFGNNEISSLPNSICNLYNLQTLILEDCKRFEELPTDMRKLICLKQLIIRHCYRLDFMPLGLGRLTNLQTLSTFVVGSDEGRRCSSLNELNSLNQLRGTISIQGLENVKNAALESNQVNLKEKKHLHSLRLKWRDSDGGNSELLLDNLHPHPKLKHLDVQCYGGLRFSNWLSSITNLVDITLYKCPKCEHLPPLDNLPHLESLDISQFDSLEYISDEDNLFSALSASTTTTFFPSLKFLNIDFCRNLKGWWRTCMEAKMVPQFPCLSHLMISNCPNLTLMPRFPSLDTRLDLSYVSIRPLQRTLQMAAMASALPSASSSVTASFSKLKTLWLQGVENLASLPSEWMQNLSLLEELFVGHSMEISDEDERGIFKWRCLLSLRRLTLSNLSNLVSLPRELQYVTTLQRLSIWSCSNLRALPDWIGNLTALENLSIDDCPELESLSRGMRQITALQRLTIRGCPRLSERCGHDTAADWPNISHILNVRIDGRDIQKEGRYLL >Manes.10G089200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22913102:22917149:-1 gene:Manes.10G089200.v8.1 transcript:Manes.10G089200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLTSIASEEINLVYGFKNDLRKLQTTLSTIKAILIDADEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRVVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIIRKEVGVDMRIKSSWRETDSFVLKSEIIGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSNEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNRIWSQLRKYLMVGAIGSRILVTTRSTRVALAMGVDCPYALAGLTEDQSWDLFEMLAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYEFGKEDLIQLWMAQGYVQSRSQSKDENLEEIGEGYFNELLFRSFFQKANNCYKMHDLISDLAQSMAGDSCLVLDDNTKYVPNRIQHVFSGNLSFEECFKQLKNRGLRTLYCDYYGDKLSLYLDSIFSNCRSIRALSLRCNINELPDSIGKLKHLRYLGLFGNNEISSLPNSICNLYNLQTLILEDCKRFEELPTDMRKLICLKQLIIRHCYRLDFMPLGLGRLTNLQTLSTFVVGSDEGRRCSSLNELNSLNQLRGTISIQGLENVKNAALESNQVNLKEKKHLHSLRLKWRDSDGGNSELLLDNLHPHPKLKHLDVQCYGGLRFSNWLSSITNLVDITLYKCPKCEHLPPLDNLPHLESLDISQFDSLEYISDEDNLFSALSASTTTTFFPSLKFLNIDFCRNLKGWWRTCMEAKMVPQFPCLSHLMISNCPNLTLMPRFPSLDTRLDLSYVSIRPLQRTLQMAAMASALPSASSSVTASFSKLKTLWLQGVENLASLPSEWMQNLSLLEELFVGHSMEISDEDERGIFKWRCLLSLRRLTLSNLSNLVSLPRELQYVTTLQRLSIWSCSNLRALPDWIGNLTALENLSIDDCPELESLSRGMRQITALQRLTIRGCPRLSERCGHDTAADWPNISHILNVRIDGRDIQKEGRYLL >Manes.10G089200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22913102:22917149:-1 gene:Manes.10G089200.v8.1 transcript:Manes.10G089200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLTSIASEEINLVYGFKNDLRKLQTTLSTIKAILIDADEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRVVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIIRKEVGVDMRIKSSWRETDSFVLKSEIIGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSNEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNRIWSQLRKYLMVGAIGSRILVTTRSTRVALAMGVDCPYALAGLTEDQSWDLFEMLAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYEFGKEDLIQLWMAQGYVQSRSQSKDENLEEIGEGYFNELLFRSFFQKANNCYKMHDLISDLAQSMAGDSCLVLDDNTKYVPNRIQHVFSGNLSFEECFKQLKNRGLRTLYCDYYGDKLSLYLDSIFSNCRSIRALSLRCNINELPDSIGKLKHLRYLGLFGNNEISSLPNSICNLYNLQTLILEDCKRFEELPTDMRKLICLKQLIIRHCYRLDFMPLGLGRLTNLQTLSTFVVGSDEGRRCSSLNELNSLNQLRGTISIQGLENVKNAALESNQVNLKEKKHLHSLRLKWRDSDGGNSELLLDNLHPHPKLKHLDVQCYGGLRFSNWLSSITNLVDITLYKCPKCEHLPPLDNLPHLESLDISQFDSLEYISDEDNLFSALSASTTTTFFPSLKFLNIDFCRNLKGWWRTCMEAKMVPQFPCLSHLMISNCPNLTLMPRFPSLDTRLDLSYVSIRPLQRTLQMAAMASALPSASSSVTASFSKLKTLWLQGVENLASLPSEWMQNLSLLEELFVGHSMEISDEDERGIFKWRCLLSLRRLTLSNLSNLVSLPRELQYVTTLQRLSIWSCSNLRALPDWIGNLTALENLSIDDCPELESLSRGMRQITALQRLTIRGCPRLSERCGHDTAADWPNISHILNVRIDGRDIQKEGRYLL >Manes.10G089200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22913102:22917149:-1 gene:Manes.10G089200.v8.1 transcript:Manes.10G089200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLTSIASEEINLVYGFKNDLRKLQTTLSTIKAILIDADEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRVVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIIRKEVGVDMRIKSSWRETDSFVLKSEIIGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSNEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNRIWSQLRKYLMVGAIGSRILVTTRSTRVALAMGVDCPYALAGLTEDQSWDLFEMLAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYEFGKEDLIQLWMAQGYVQSRSQSKDENLEEIGEGYFNELLFRSFFQKANNCYKMHDLISDLAQSMAGDSCLVLDDNTKYVPNRIQHVFSGNLSFEECFKQLKNRGLRTLYCDYYGDKLSLYLDSIFSNCRSIRALSLRCNINELPDSIGKLKHLRYLGLFGNNEISSLPNSICNLYNLQTLILEDCKRFEELPTDMRKLICLKQLIIRHCYRLDFMPLGLGRLTNLQTLSTFVVGSDEGRRCSSLNELNSLNQLRGTISIQGLENVKNAALESNQVNLKEKKHLHSLRLKWRDSDGGNSELLLDNLHPHPKLKHLDVQCYGGLRFSNWLSSITNLVDITLYKCPKCEHLPPLDNLPHLESLDISQFDSLEYISDEDNLFSALSASTTTTFFPSLKFLNIDFCRNLKGWWRTCMEAKMVPQFPCLSHLMISNCPNLTLMPRFPSLDTRLDLSYVSIRPLQRTLQMAAMASALPSASSSVTASFSKLKTLWLQGVENLASLPSEWMQNLSLLEELFVGHSMEISDEDERGIFKWRCLLSLRRLTLSNLSNLVSLPRELQYVTTLQRLSIWSCSNLRALPDWIGNLTALENLSIDDCPELESLSRGMRQITALQRLTIRGCPRLSERCGHDTAADWPNISHILNVRIDGRDIQKEGRYLL >Manes.10G089200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22913102:22917148:-1 gene:Manes.10G089200.v8.1 transcript:Manes.10G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLTSIASEEINLVYGFKNDLRKLQTTLSTIKAILIDADEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRVVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIIRKEVGVDMRIKSSWRETDSFVLKSEIIGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSNEVPNLSLEQLQIELRQCLEGKKYLLVLDDVWNINNRIWSQLRKYLMVGAIGSRILVTTRSTRVALAMGVDCPYALAGLTEDQSWDLFEMLAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSILENELWKVFESDSDIGPVLKLSYDDLPYHLKQCFAYCAMFPKDYEFGKEDLIQLWMAQGYVQSRSQSKDENLEEIGEGYFNELLFRSFFQKANNCYKMHDLISDLAQSMAGDSCLVLDDNTKYVPNRIQHVFSGNLSFEECFKQLKNRGLRTLYCDYYGDKLSLYLDSIFSNCRSIRALSLRCNINELPDSIGKLKHLRYLGLFGNNEISSLPNSICNLYNLQTLILEDCKRFEELPTDMRKLICLKQLIIRHCYRLDFMPLGLGRLTNLQTLSTFVVGSDEGRRCSSLNELNSLNQLRGTISIQGLENVKNAALESNQVNLKEKKHLHSLRLKWRDSDGGNSELLLDNLHPHPKLKHLDVQCYGGLRFSNWLSSITNLVDITLYKCPKCEHLPPLDNLPHLESLDISQFDSLEYISDEDNLFSALSASTTTTFFPSLKFLNIDFCRNLKGWWRTCMEAKMVPQFPCLSHLMISNCPNLTLMPRFPSLDTRLDLSYVSIRPLQRTLQMAAMASALPSASSSVTASFSKLKTLWLQGVENLASLPSEWMQNLSLLEELFVGHSMEISDEDERGIFKWRCLLSLRRLTLSNLSNLVSLPRELQYVTTLQRLSIWSCSNLRALPDWIGNLTALENLSIDDCPELESLSRGMRQITALQRLTIRGCPRLSERCGHDTAADWPNISHILNVRIDGRDIQKEGRYLL >Manes.05G180200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29721161:29723366:1 gene:Manes.05G180200.v8.1 transcript:Manes.05G180200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKFEEDDAPRSFQSVGLVLGVTGIVGNSLAEILPLSDTPGGSWKVYGVARRPRPSWNADHPVEYVQCDLSDEAETQSKLSQLTDVTHIFYVTWTNRSSEAENCEINGLMLRNVLKAVIPNAPNLRHICLQTGGKHYVGPFELFGKIQPHDPPFTEDLPRLNAPNFYYTLEDILFEEVAKKEGLAWSVHRPDQIFGFSPYSLMNIIGTLCVYAAICKHEGSPLLFPGTKQAWNCYSIASDADLIAEQQIWASVDPYARNEAFNCHNGDVFKWKHFWKFLAEEFGIERYGFEEGEKRLSLVEMMKDKGPVWEEIVKEHQLQPTKLEEVGVWWFADLILGGESVISSMNKSKEHGFLGFRNSKNSFISWIDKMKAYKIVP >Manes.17G024741.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:15088037:15088358:-1 gene:Manes.17G024741.v8.1 transcript:Manes.17G024741.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYTAWYLTIASLIHGQIDGVEHSGPSTMVLYFTRATNILYTFGGHAVTV >Manes.12G020800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1839395:1840582:-1 gene:Manes.12G020800.v8.1 transcript:Manes.12G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHEIENNPYFITPQQHNEGQHPHTLPTPAGTPPSPALLSPPPQRWERQDQPQSRRVPKPQRQRSKPQPQEQVDVQNKRKPPAPHPQSNPHDQQPDGEYRSPWMFPHRPDEDNRSHDPQRDGKYRSPWIQPSSHNPDEDIKMSPQQTRPQHQDQHPKKLKSARVTEPKDQDHYPLHPIPSDSLPPAQQQDRSRPPRGLRAPAPQQTRPITWLGAALCAIFWIVVFLGGLIVLIVYLVYRPRSPRFEVSSVTLNAAYIDAGSLLNADISVLANFTNPNKKLDLGFSRMIIDLYYGNTLIATQYIDSFLAPKAESRFANVHMLTSQVRLPLGDSAQLQDEINRNAIIFNVKAVFRVRSKLGSLLTYSYRLYGHCTIMVTAPPTGVLRVTRCKTKR >Manes.15G157700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13010476:13016259:1 gene:Manes.15G157700.v8.1 transcript:Manes.15G157700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGHDTKHHLSSKLVFLLFCVGLLGVVFIADVVWTSSSSISSAYQSVAFNWALQTSGKVLFVNATDKKDNEKEAPRGKKHHPAYKFLPATYADLPAPELEWEQMPSAPVPRLDGYAIQIKNLLYAFAGYGTLDYVHSHIDVYNFTDDTWGERFDIPKDMAHSHLGIATDGRYIYIVSGQYGPQCRTAITKSFSLDTETKKWRSMPPLPAPRYAPATQIWRGRLHVMGGSKENRHTPGVDHWSIAVKDGEAIEKEWRTEVPIPRGGPHRVCAVVNDRLFVIGGQEGDFMPKPGSPSFKCSRRNEVVYGDVYMLDSEMNWKALPAMPKPNSHIECAWVLVNNSIIITGGTTEKHPVTKRMILVGEVFQFHLDSLTWSVIGKLPFRVKTALTGFWNGWLYFTSGQRDRGPDNPHPQKVIGEMWRTKLHL >Manes.15G157700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13010476:13016259:1 gene:Manes.15G157700.v8.1 transcript:Manes.15G157700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGHDTKHHLSSKLVFLLFCVGLLGVVFIADVVWTSSSSISSAYQSVAFNWALQTSGKVLFVNATDKKDNEKEAPRGKKHHPAYKFLPATYADLPAPELEWEQMPSAPVPRLDGYAIQIKNLLYAFAGYGTLDYVHSHIDVYNFTDDTWGERFDIPKDMAHSHLGIATDGRYIYIVSGQYGPQCRTAITKSFSLDTETKKWRSMPPLPAPRYAPATQIWRGRLHVMGGSKENRHTPGVDHWSIAVKDGEAIEKEWRTEVPIPRGGPHSY >Manes.15G157700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13010459:13016259:1 gene:Manes.15G157700.v8.1 transcript:Manes.15G157700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGHDTKHHLSSKLVFLLFCVGLLGVVFIADVVWTSSSSISSAYQSVAFNWALQTSGKVLFVNATDKKDNEKEAPRGKKHHPAYKFLPATYADLPAPELEWEQMPSAPVPRLDGYAIQIKNLLYAFAGYGTLDYVHSHIDVYNFTDDTWGERFDIPKDMAHSHLGIATDGRYIYIVSGQYGPQCRTAITKSFSLDTETKKWRSMPPLPAPRYAPATQIWRGRLHVMGGSKENRHTPGVDHWSIAVKDGEAIEKEWRTEVPIPRGGPHRVCAVVNDRLFVIGGQEGDFMPKPGSPSFKCSRRNEVVYGDVYMLDSEMNWKALPAMPKPNSHIECAWVLVNNSIIITGGTTEKHPVTKRMILVGEVFQFHLDSLTWSVIGKLPFRVKTALTGFWNGWLYFTSGQRDRGPDNPHPQKVIGEMWRTKLHL >Manes.15G157700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13010459:13016259:1 gene:Manes.15G157700.v8.1 transcript:Manes.15G157700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGHDTKHHLSSKLVFLLFCVGLLGVVFIADVVWTSSSSISSAYQSVAFNWALQTSGKVLFVNATDKKDNEKEAPRGKKHHPAYKFLPATYADLPAPELEWEQMPSAPVPRLDGYAIQIKNLLYAFAGYGTLDYVHSHIDVYNFTDDTWGERFDIPKDMAHSHLGIATDGRYIYIVSGQYGPQCRTAITKSFSLDTETKKWRSMPPLPAPRYAPATQIWRGRLHVMGGSKENRHTPGVDHWSIAVKDGEAIEKEWRTEVPIPRGGPHRVCAVVNDRLFVIGGQEGDFMPKPGSPSFKCSRRNEVVYGDVYMLDSEMNWKALPAMPKPNSHIECAWVLVNNSIIITGGTTEKHPVTKRMILVGEVFQFHLDSLTWSVIGKLPFRVKTALTGFWNGWLYFTSGQRDRGPDNPHPQKVIGEMWRTKLHL >Manes.09G002700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:795199:817711:-1 gene:Manes.09G002700.v8.1 transcript:Manes.09G002700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISLEIGEKMQPEVILPVSADVSFACNGFPKYKLGPDNQILDEPKEDNKGPSLKEVVEQETVQLTDQHKRLSVRDLATKFDKNLTAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEEERNAQNSKNQAPDVEELIEEVQEARRIKLLHQPSKVMEMELELHALRIQIREKSIFSVKLQNELAMSKRAEENKSSPYVIDGSETLGSCLQLQPRSNASPPPLSKCSIQWCRVSSDGSQKEVISGANKSIYSPEPLDVGRILQADIMSNSQRIMTVTTIGPIEIAAGLGSYVEALLRKSSSEFNVVISKMNGQDHPSHSVHTFNVGKMRIKLCRGWITKAREIYSSSMQVTFLSRLYWYKMRGSSKNYIGSLSI >Manes.09G002700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:795199:817711:-1 gene:Manes.09G002700.v8.1 transcript:Manes.09G002700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISLEIGEKMQPEVILPVSADVSFACNGFPKYKLGPDNQILDEPKEDNKGPSLKEVVEQETVQLTDQHKRLSVRDLATKFDKNLTAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEEERNAQNSKNQAPDVEELIEEVQEARRIKLLHQPSKVMEMELELHALRIQIREKSIFSVKLQNELAMSKRAEENKSSPYVIDGSETLGSCLQLQPRSNASPPPLSKCSIQWCRVSSDGSQKEVISGANKSIYSPEPLDVGRILQADIMSNSQRIMTVTTIGPIEIAAGLGSYVEALLRKSSSEFNVVISKMNGQDHPSHSVHTFNVGKMRIKLCRGWITKAREIYSSSMQLCGARDDANAAAKALFWQPRKGLSFVLRFESERERNAAIMLARKYALDCNVTLAVPDDLV >Manes.09G002700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:795199:817711:-1 gene:Manes.09G002700.v8.1 transcript:Manes.09G002700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISLEIGEKMQPEVILPVSADVSFACNGFPKYKLGPDNQILDEPKEDNKGPSLKEVVEQETVQLTDQHKRLSVRDLATKFDKNLTAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEEERNAQNSKNQAPDVEELIEEVQEARRIKLLHQPSKVMEMELELHALRIQIREKSIFSVKLQNELAMSKRAEENKSSPYVIDGSETLGSCLQLQPRSNASPPPLSKCSIQWCRVSSDGSQKEVISGANKSIYSPEPLDVGRILQADIMSNSQRIMTVTTIGPIEIAAGLGSYVEALLRKSSSEFNVVISKMNGQDHPSHSVHTFNVGKMRIKLCRGWITKAREIYSSSMQLCGARDDANAAAKALFWQPRKGLSFVLRFESERERNAAIMLARKYALDCNVTLAVPDDLV >Manes.09G011709.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2566675:2570065:1 gene:Manes.09G011709.v8.1 transcript:Manes.09G011709.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTRLHDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTLINGLCMEGKIDKAVEFFDDMVARGYQPDVRTFTVIVNGMCKFGNTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDKLVGEALELFAQMRNKGISPNVITYTGLIQGVCKLCQKNQALALMNEMVEQNILPDVYTFNVLIDALCKDGMVAEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDHFKEALALLKEMVGRNISPNVFTFSILIDTLCKKGLVSNAQNIFKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKLFDLMVTNEIASIFSYNILINGYCKCKMIGDAKEIFDEMFHKGLVPNAVTYHTLIKAMFQAGRPQTAKELFEDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVLVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIKGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNNLILRLLKVCNEGSTN >Manes.06G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23156954:23170685:-1 gene:Manes.06G097400.v8.1 transcript:Manes.06G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDDTPKRPPDKDDGDTLSPSSPAEVEPLSDVPLSDAPILFLVFSHKALRHELSDLHRLAVTASKSLSNQRELILELRRRFDFFRLAHKYHSAVEDEVIFLALDVRIKNIVHKYSLEHNCIDDLFDSVFHCLDSLEANEDNSETFQELISCIRTMHSYICQHMLKEEEQVFPLLLEQFSPNEQASFVWEFFCSIPVILLEELLPWIISFLSPEKQVEVIHCIRKIVPKEKYLQEVIISWLCRNDQSSFGAFTKIGKEARDGCMRSILQLHCSDSPARETGESKDCVPAAVRSNMVEHLHLWHRAIQKDLKKILEEAYQGRNSKSSLKSDSIVVRLKFLADVIIFYSNALKKFFYPVLNKLANNHLSMCSSDQFSIETHIESLHQFLQCNAKNGLGLSQFVEKLCYELESLVRDIGKQFYFQETEVFPLISKNCSDDTQWELLYTSLRLMPLGLLKCVIPWYAAHLSVDESRSFLHFINQMGDNFANTSFPSLLLEWFHIDYSGKSSGDIGKDLQKIFKSRCSFLCEQIKETAGCSSLHPNKQPCGESKSSKTELIPTNKGKKLFSYTSSGPHKAETYETLYASEINLHVFFPGTKRLLLPFPKLPGGESSATSITDEPKPMDLIFFFHKALKKDFECLVSGSARLVENIMFLMEFSKRFHLLWLRYQFHSDTEDEIAFPALEAKGKVQNISYSYTMDHKLEVKHFHEISLILDKMSKLHISVSSADSFMQAQIMVKYNKLCVKLHHMCKSMHKLLSDHIHHEEIELWPLFRECFSIQEQEKILGLMLGKARAETLQDMIPWLIGSLTPEEQHAMMSLLRKVTKNTMFDEWLGEWWEGHDIAHVAEEPNTLCTSDPLEIISKYLSTDALEEQGNILCDKGIKLDCFGTNVDILGKCKLDDDAKVSEVNQNNEGSKSEKLVSEIENKTCDEVAGVRVKTDKPGQPFQSNPKSGHPEHILTISQDELEAAIRRVSRDSSLDPQNKSYIIQNLLMSRWIVRQRISHTQSIISSNGEEIPGGHPSYRDALKVTLGCKHYKRNCKLVTPCCNELYTCIRCHDEVADHSTERRTITKMMCMKCLAIQPIAQTCSTVSCNNLSMARYYCKICKLFDDEREIYHCPFCNLCRLGKGLGIDYFHCMNCNACMSRSLLVHTCREKCLEENCPICHDYIFTSSNPVKALPCGHLMHSTCFQDYTCTQYTCPICSKSLGDMQVYFKMLDALLAEEKMPDEYSDRTQAILCNDCEKKGAAPFHWHYHKCQYCGSYNTRLL >Manes.01G105700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30536655:30537029:-1 gene:Manes.01G105700.v8.1 transcript:Manes.01G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKHNLGKEECNSSESGWTTYIASPMQEDDNDCSDNDNDHNPIANDGNADDCEQLSDDSMASDASSGPHHPPNRGTTSFKHDKGNHFKNCSPAAKPKKKEKTNDGNSTHKHKRLSANRKYSK >Manes.09G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31647589:31650047:1 gene:Manes.09G114300.v8.1 transcript:Manes.09G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGQSNPIPAMTSGQPLEKPVVVIGPQFLAQYPVDLIIASKLLALGENNFSVTDLNGTLIFKVKSKLLSIHDRHFLQDAAGNTLVTLRHKIATAHKRWEIFRGESKDAKDLLFSAKKSSIIQFKTELDVFLCSNTSENVPDFKIKGTWKERSCRIYLGESNTVIAQVHRRLTVKMALLDADNFAVTVYPNVDYAFVVALVVVLDEINDDNSDDD >Manes.03G042400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3708178:3715424:1 gene:Manes.03G042400.v8.1 transcript:Manes.03G042400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVETAPLGRFQYQRLELKRWVPAFLSSHKTLFTVLWIAAFASVFVWQRNVVGGGFAVFWRVPMRPMPRLRPVAFNLTDFGGVGDGVTLNTEAFERAVLAISKLGKKGGGQLNVPPGRWLTAPFNLTSHMTLFLADDAVILGIEDEKYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLKDVVITGHNGTINGQGQTWWKKYRQKLLNHTRGPLVQIMWSSDILIANITLKDSPFWTLHPYDCKNVTIRNVTILAPVIGAPNTDGIDPDSCEDMIIENCYISVGDDGIAIKSGWDQYGIAYGRPSMNILIRNLVVRSMVSAGVSIGSEMSGGVSNITVENLIVWSSRRAVRIKTAPGRGGYVRHITYRNLTFDNVRVGIVIKTDYNEHPDEGYDRKAVPKLEDISFTGVHGQGVRVPVRIHGSEDIPVRNVSFRDMSVGITYKKKHIFQCAFVQGRVIGTIFPAPCENLDRYDEQEHLIKHSVSQNLTDIDYDF >Manes.12G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9398839:9401808:1 gene:Manes.12G076900.v8.1 transcript:Manes.12G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTFLQIPAASTKHHLGVSWRPISTQTSLISCIKPRCHLSLMPQPTPKALNNLPSMVNNCTRTMEELRERTQKELLTPANPTASLKLIDSIQRLGLGYHFEEEISMLLERFVDWNPDDQDLLATALRFRLLRHNGFPASSDVFSEFKGRDGKFKQSLSKDPLGLLSLYEASYLGTKEEEELVQAMEFTRTHLQKRSFSLLPSQHRRQVVQALEVPRHLRMSRLEARNYIDEYSRESNHSPDLLDLAKLDFNAVQQLHQKELVEIIRWWKQLGLVEKLGFARDRPLECYLWTVGIFPEPYNSNCRIELTKTIAILLVIDDIFDTYGSLPDLVLFTEATRRWDLSAMESLPEYMKICYMALYNTTNDIAYMVLKQHGWSIVPHLKRTWIDMFEAFLAEAKWFNKEYVPSLEEYLVNGVTTGGTYMALVHSFFLMGQGVNKQTLALMEPYPDLFTFSGKILRLWDDLGTAREEQERGDVASSIECFMRESRISGEDEARKQIRELIRSLWIELNGELVAPSSMPLCIVNASFNLARTAQVVYQHGDDKKGSSVDDQVQALIYKPIPLDDEAKVVHDLETMVVI >Manes.10G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27123865:27131961:1 gene:Manes.10G110600.v8.1 transcript:Manes.10G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMMSEEAGQMLVVYDEPSEQRSLSLDDTSSTEESPDETRLSLETSNDAIPYVGQRFATHDAAYEFYSEFARRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTANENKPQRNRKSSRCGCQAYMRISKTTELGTPEWRVTGFANHHNHELLEPNQVRFLPAYRTISDMDKSRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKLDPEDESIDLLRMCRNIREKDPNFKFEYTLDSNNRLENIAWSYPSSIQSYEIFGDAVVFDTTHRLTAFDMPLGIWVGVNNYGMSCFFGCVLLREENLRSLSWALKAFLGFMSGKAPQTILTDQNMCLKEAIAMEMPTTKHALCIWMIVAKFPSWFNAVLGERYNEWKAEFHRLYNLESVEDFELGWRDMVNSFELHNNRHITNLYALRTLWALPYLRSHFFAGMTATGHSKSINAFIQRFLSAQTRLAHFVEQVAVAIDFKDQAAEQQTMQQNLQNICLKTGAPMESHAASVLTPYAFSKLQEQLVLAAHYASFQMEDGYLVRHHTKLEGGRKVYWVPREGIISCSCHQFEFSGILCRHALRVLSTGNCFQIPERYLPIRWRKISISPAKPLLNSSNDHGERMQLLQNMVSTLITESSKSKERMDIATEQVSILLSRIREQPIPGSHGVRDIATIHRNL >Manes.17G078200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27804969:27813123:1 gene:Manes.17G078200.v8.1 transcript:Manes.17G078200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFNRIPSSGHTTPSPPHSPLRSPRYRHGGSRSKRFTSPSLPPGRTPAHRLAWRLLSVLIRCQGILLFAPLIYISGMLLYMGTVSFDVVSIIKYRPAPGSVYRSPQFYQKLRPEIDADNSSDDALSTLWKNSHKAGEWRSCVKKSSGGLPESNGYLYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHYHSIWKDPSTFSDIYDEDYFISTLKNDVRVVDKIPEHLMERFDHNFTNVFNFRVKAWSSIQYYKDVVLPALLEEKVIRIFPFANRLSFDAPPAVQRLRCLANYEALRFSNTILTLGEALVARMKERSANHAGKYVSVHLRFEEDMVAFSCCVFDGGEEEEKDMAAARERGWKGKFTRPGRTIRPGAIRLDGKCPLTPLEVGLMLRGMGFDKNTHIFLASGKIYNAEKYMAPLLEMFPNLLTKEKLASEDELAPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRVLYGGHSKTIRPDKRKLAVLFDDPNIGYSFVCLKFSSQMINFISQVWKIGRKMEELQATYAEYAVSQ >Manes.17G078200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27804969:27813123:1 gene:Manes.17G078200.v8.1 transcript:Manes.17G078200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFNRIPSSGHTTPSPPHSPLRSPRYRHGGSRSKRFTSPSLPPGRTPAHRLAWRLLSVLIRCQGILLFAPLIYISGMLLYMGTVSFDVVSIIKYRPAPGSVYRSPQFYQKLRPEIDADNSSDDALSTLWKNSHKAGEWRSCVKKSSGGLPESNGYLYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHYHSIWKDPSTFSDIYDEDYFISTLKNDVRVVDKIPEHLMERFDHNFTNVFNFRVKAWSSIQYYKDVVLPALLEEKVIRIFPFANRLSFDAPPAVQRLRCLANYEALRFSNTILTLGEALVARMKERSANHAGKYVSVHLRFEEDMVAFSCCVFDGGEEEEKDMAAARERGWKGKFTRPGRTIRPGAIRLDGKCPLTPLEVGLMLRGMGFDKNTHIFLASGKIYNAEKYMAPLLEMFPNLLTKEKLASEDELAPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRVLYGGHSKTIRPDKRKLAVLFDDPNIGWKSFKQHMLSMRSHSDSKGFELKRPNDSIYSFPCPDCMCRLHKTNRRSLAT >Manes.17G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27804946:27813136:1 gene:Manes.17G078200.v8.1 transcript:Manes.17G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFNRIPSSGHTTPSPPHSPLRSPRYRHGGSRSKRFTSPSLPPGRTPAHRLAWRLLSVLIRCQGILLFAPLIYISGMLLYMGTVSFDVVSIIKYRPAPGSVYRSPQFYQKLRPEIDADNSSDDALSTLWKNSHKAGEWRSCVKKSSGGLPESNGYLYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHYHSIWKDPSTFSDIYDEDYFISTLKNDVRVVDKIPEHLMERFDHNFTNVFNFRVKAWSSIQYYKDVVLPALLEEKVIRIFPFANRLSFDAPPAVQRLRCLANYEALRFSNTILTLGEALVARMKERSANHAGKYVSVHLRFEEDMVAFSCCVFDGGEEEEKDMAAARERGWKGKFTRPGRTIRPGAIRLDGKCPLTPLEVGLMLRGMGFDKNTHIFLASGKIYNAEKYMAPLLEMFPNLLTKEKLASEDELAPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRVLYGGHSKTIRPDKRKLAVLFDDPNIGWKSFKQHMLSMRSHSDSKGFELKRPNDSIYSFPCPDCMCRLHKTNRRSLAT >Manes.17G078200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27804969:27813123:1 gene:Manes.17G078200.v8.1 transcript:Manes.17G078200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAFNRIPSSGHTTPSPPHSPLRSPRYRHGGSRSKRFTSPSLPPGRTPAHRLAWRLLSVLIRCQGILLFAPLIYISGMLLYMGTVSFDVVSIIKYRPAPGSVYRSPQFYQKLRPEIDADNSSDDALSTLWKNSHKAGEWRSCVKKSSGGLPESNGYLYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHYHSIWKDPSTFSDIYDEDYFISTLKNDVRVVDKIPEHLMERFDHNFTNVFNFRVKAWSSIQYYKDVVLPALLEEKVIRIFPFANRLSFDAPPAVQRLRCLANYEALRFSNTILTLGEALVARMKERSANHAGKYVSVHLRFEEDMVAFSCCVFDGGEEEEKDMAAARERGWKGKFTRPGRTIRPGAIRLDGKCPLTPLEVGLMLRGMGFDKNTHIFLASGKIYNAEKYMAPLLEMFPNLLTKEKLASEDELAPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRVLYGGHSKTIRPDKRKLAVLFDDPNIGYSFVCLKFSSQMINFISQVWKIGRKMEELQATYAEYAVSQ >Manes.13G061750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7190862:7191182:-1 gene:Manes.13G061750.v8.1 transcript:Manes.13G061750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMISKKLTFICLIILVWMLLAREANAKLISNDAMRRNIIPGCSPKYPRQCHKQQVNPYQRGCPGNFRCRSSNSKTHKVNSNTYQEEHELTDQYEHELEQQNHEDM >Manes.11G118800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27666743:27670278:1 gene:Manes.11G118800.v8.1 transcript:Manes.11G118800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYCLLRWKASLDNQSQSVLDSWVGRGPCKWIGVTCDSFGSITILSLINLGLRGTLHSFNFSCFPNLTRLEIRNNSLHGTLPSQISNLSKITYLNLHGNHLTGNIPSEIGMLSTLSELYLSRNNFTGLIPTSMTKLENLSILYLWANKLSGSIPSEIGFLKSLKELDLSINNLTGAIPSSIGHLRNLSRLGLLLNKLSGSLPLEFNNLTRLKSLELGENGFTGHLPEDVCLGGLLENFAPAFNHFSGSIPKTLRNCTSLFRLRLDWNQLTGNISEQLGIYPHLDYMDLSNNRFHGEIPRKLGQWKNITSLKFSNNNISGSIPLELGNATQLHLIDLSWNHLQGQVPKELAKLKLLIKLCLNNNNLFGVVPLDFKVLSNLDHLNLAANNLSGPIPGQLGELSNLLILNLSRNEFTAGIPFELGNLHFLQVLDLSHNLLMGNIPQQLGQLRTLEVLNLSNNMLSGSIPTTFDNLWGLTVVDISYNELEGSIPDVKAFREAPFEVYRNNKGLCGNASSLKACTSIKSGKTSRAKRKKVVIVIVLPVLAALFLVFLIGGLLILLPLRRRQAQSRELQDKDILVIPGHDQELQYETIIDATENFNSNYCIGVGGCGVVYKAVLPSGRVFAVKKLHSLQESDKSKNLKAFEREIQVLLEIRHRNIVKLHGFCSHSKDSFLVYEFVEKGSLRSILNSDEEAAELDWIKRQNIVKGVANALSYMHHNCPFPIIHRDISSNNILLDSEYEPRISDFGTARLLLSDSSNKASFAGTFGYTAPELAYTMQVNEKCDVYSFGVIALELVMGTHPCNLISSLWSSISSSPLSSSDDHDKLLKDVIDQRLLLPQNQVAESLVYITMLAFSCLHLNPKSRPTMQQISSKLTSKHPLVSKSFSTIKLEELLSNNIANI >Manes.11G118800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27666743:27670264:1 gene:Manes.11G118800.v8.1 transcript:Manes.11G118800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYCLLRWKASLDNQSQSVLDSWVGRGPCKWIGVTCDSFGSITILSLINLGLRGTLHSFNFSCFPNLTRLEIRNNSLHGTLPSQISNLSKITYLNLHGNHLTGNIPSEIGMLSTLSELYLSRNNFTGLIPTSMTKLENLSILYLWANKLSGSIPSEIGFLKSLKELDLSINNLTGAIPSSIGHLRNLSRLGLLLNKLSGSLPLEFNNLTRLKSLELGENGFTGHLPEDVCLGGLLENFAPAFNHFSGSIPKTLRNCTSLFRLRLDWNQLTGNISEQLGIYPHLDYMDLSNNRFHGEIPRKLGQWKNITSLKFSNNNISGSIPLELGNATQLHLIDLSWNHLQGQVPKELAKLKLLIKLCLNNNNLFGVVPLDFKVLSNLDHLNLAANNLSGPIPGQLGELSNLLILNLSRNEFTAGIPFELGNLHFLQVLDLSHNLLMGNIPQQLGQLRTLEVLNLSNNMLSGSIPTTFDNLWGLTVVDISYNELEGSIPDVKAFREAPFEVYRNNKGLCGNASSLKACTSIKSGKTSRAKRKKVVIVIVLPVLAALFLVFLIGGLLILLPLRRRQAQSRELQDKDILVIPGHDQELQYETIIDATENFNSNYCIGVGGCGVVYKAVLPSGRVFAVKKLHSLQESDKSKNLKAFEREIQVLLEIRHRNIVKLHGFCSHSKDSFLVYEFVEKGSLRSILNSDEEAAELDWIKRQNIVKGVANALSYMHHNCPFPIIHRDISSNNILLDSEYEPRISDFGTARLLLSDSSNKASFAGTFGYTAPELAYTMQVNEKCDVYSFGVIALELVMGTHPCNLISSLWSSISSSPLSSSDDHDKLLKDVIDQRLLLPQNQVAESLVYITMLAFSCLHLNPKSRPTMQQISSKLTSKHPLVSKSFSTIKLEELLSNNIANI >Manes.11G118800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27666743:27670264:1 gene:Manes.11G118800.v8.1 transcript:Manes.11G118800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYCLLRWKASLDNQSQSVLDSWVGRGPCKWIGVTCDSFGSITILSLINLGLRGNIPSEIGMLSTLSELYLSRNNFTGLIPTSMTKLENLSILYLWANKLSGSIPSEIGFLKSLKELDLSINNLTGAIPSSIGHLRNLSRLGLLLNKLSGSLPLEFNNLTRLKSLELGENGFTGHLPEDVCLGGLLENFAPAFNHFSGSIPKTLRNCTSLFRLRLDWNQLTGNISEQLGIYPHLDYMDLSNNRFHGEIPRKLGQWKNITSLKFSNNNISGSIPLELGNATQLHLIDLSWNHLQGQVPKELAKLKLLIKLCLNNNNLFGVVPLDFKVLSNLDHLNLAANNLSGPIPGQLGELSNLLILNLSRNEFTAGIPFELGNLHFLQVLDLSHNLLMGNIPQQLGQLRTLEVLNLSNNMLSGSIPTTFDNLWGLTVVDISYNELEGSIPDVKAFREAPFEVYRNNKGLCGNASSLKACTSIKSGKTSRAKRKKVVIVIVLPVLAALFLVFLIGGLLILLPLRRRQAQSRELQDKDILVIPGHDQELQYETIIDATENFNSNYCIGVGGCGVVYKAVLPSGRVFAVKKLHSLQESDKSKNLKAFEREIQVLLEIRHRNIVKLHGFCSHSKDSFLVYEFVEKGSLRSILNSDEEAAELDWIKRQNIVKGVANALSYMHHNCPFPIIHRDISSNNILLDSEYEPRISDFGTARLLLSDSSNKASFAGTFGYTAPELAYTMQVNEKCDVYSFGVIALELVMGTHPCNLISSLWSSISSSPLSSSDDHDKLLKDVIDQRLLLPQNQVAESLVYITMLAFSCLHLNPKSRPTMQQISSKLTSKHPLVSKSFSTIKLEELLSNNIANI >Manes.03G020800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1758928:1759529:-1 gene:Manes.03G020800.v8.1 transcript:Manes.03G020800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRTPKPSLCNNSSSYNHLLAADMNVQTTFTTKDLDMFYNDFKDRRDTVDEGKAKKRNSNKRLNGSYMKFSKAIPAKNYGTKRREDYEDNRKNKAKNFLGWQQNSSRDEGKRWLVEQQLKELELMDISNVDHVLDIEEILHYYSRLTCPAYLDIVDKFLMDIYAEFLGPPGTPCSVNSRQTTLLPSL >Manes.01G008100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2819896:2821943:1 gene:Manes.01G008100.v8.1 transcript:Manes.01G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSLNSSSSHDQEEEEALDLHYQLNLIPQPSPGGTTSGFTSSAIHMRQLLITCAELISQSDFSAAHRLISVLSSGSSPYGDSRERLVHQFVKALSLRLNPHAAAISCALMNIGNTTLSVGAAGGASSAATGDANVGSLISREYEEEALQSCYLSLNQITPFIRFSHLTANQAILEAIEVGQQAIHIIDFDIMHGVQWPPLMQALAERSSNNLSPPPVLRITGTGHDLNILHRTGDRLFKFAQSLGLKFQFHPLLVSNNDPSSLPSAITLLPDEVLAVNCVHYLHRLLKNDSRDLRIFLHRIKALNPKVVTIAEREANHNHPFFFQRFLEALDHYTAIFDSLEATLPPNSRERLAVEQIWFGREIMDIVAAEGEDRRERHERFESWEMMLRSTGFTNVPLSPFALSQAKLLLRLHYPSEGYHLQILSNSFFLGWQNHALFSVSSWH >Manes.04G110545.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31490433:31493640:1 gene:Manes.04G110545.v8.1 transcript:Manes.04G110545.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMNNSFTGSFQLPNFNHRDLVKLEISSNNITGQLPKEFGLVLSNLCYINMSRNSFHGNVPSSVGEIRQLRYMDLSYNNFSGVLPGSILGNGTDLYYLYLSNNNFNGIGGESSSISAELFVLDMSNNNLSDTIPLQLCNMVSLRILDLSENRLHGSLPSCFNSPWLQFLFLEKNSLSGSIPYVLSTSPSLVALDLRDNKFTGNLPTWINQLSELRVLSLGGNPLGGHLPEQLCELRNVSILDLSRNLLSGSIPSCFNNISFGNVREHNNFEYTPKSLGDFLPFTFYYSLYDGTLEFEVEELFHMSSSKEVEVEFAMKYKYNPYKGDIVNLLAGIDLSCNELNGSIPSEFGDLHEILSLNLSQNNLSGYIPISFSNLESLESLDLSFNNLSGEIPSQLVALSFLETFNVSYNNLSGRIPDEGQFGTFDESSYRGNPGLCGPIVNRSCDAAEVPPTPPSNEKEEEEEEEGGVDMAWFNWSFNASYVTIVFVLMVTLRINREWRMLWFYWVDVCIYYISIQLFGSDRLCL >Manes.05G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20829961:20831526:-1 gene:Manes.05G122200.v8.1 transcript:Manes.05G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFILISIFISLASSLATGAQYNVLTYGAKPDGTTDSTRAFISAWKHACASTRPATIKISAGRFFISKILFQGPCKNTAILISIDGTLVAPSDIWAIGNAGNWLHFENVNGVTVSGGVLDGQGSGLWSCKASGKHCPSGATSLRFSGSRNIAITGLTSLNSQMFNIDINGCHNAKLQGITVSSPGNSPNTDGIHVQMSSDVTILNSKVGTGDDCISIGPGTTNLWIENFICGPGHGISIGSLGKDLREAGVQNVTVKSARIAGTQNGLRIKSWGRPSSGFARNIIFQHVAMNNVNNPIVIDQNYCPHNKNCPGQASGVKINDVTYQDIHGTSATEVAVKFDCSKRNPCTGIRMEDVKITYNNRPADASCNNANGKASGVVQPSSCPS >Manes.11G106200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:24536695:24537582:-1 gene:Manes.11G106200.v8.1 transcript:Manes.11G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMFHGRSDSLPSRPHPLMSQLDEHICRLRASEAISTSSTSISHKLDGLQDLHDCVDKLLLLPLTQQGLAQENNRKWVDELLDGSLRLLDVCNSAKDALLQTKEYTLELQSTIRRRQGGENGLAIEIKKYLTSRNMAKKAIKKALTNLKGLENKWSVSCIDSGNEIATLVALLREVQAITLCVLKSFMSFISGPKTQSKSSHWSLVSKLVLHRRIASEENEMEENEFVMADAALESLVGCKAACKYENYMLMENVQKHLKDLEMCIQDLEDGTQSLFRRMIKTRVSFLNILNQ >Manes.09G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33991986:33993035:-1 gene:Manes.09G141300.v8.1 transcript:Manes.09G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFFSAVLAGYFAWRSVRSSPEIDSINSDDSTVERKPLNHKREFNVKKMIQNGFWVFVDMASGKYLWRNVKEMRKDEKVKSC >Manes.10G089758.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22800584:22801153:1 gene:Manes.10G089758.v8.1 transcript:Manes.10G089758.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYRLIYGKACHLPVELEHKAYWAVKSCNLNEKEAGANRKLQLQKLEEIRRDAYEASWDYKAKTKAFHDKNISRKYFQVGDKVLLFDSRFKLFPGKLRSRWIGPFLVEHVYPHGAIDIQSPQTSKVFKVNGHRLKKFYEGFTVHLMEEVPLDPPAPNSWSRHCCPAQDRKQGATGRQPRGGDLPKSPR >Manes.15G004100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20051:39990:1 gene:Manes.15G004100.v8.1 transcript:Manes.15G004100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQFAEQAMAAQLVGPDEIEFAELGRSLRSSFRSHVSSFRSISSALSDRQSEGGGEIELQWAAVERLPTFTRITTALFEETGGTCENGDANKGKRIVNVAKLGAQERHMFIEKLIKHIEHDNLRLLQKLRKRIDKVGVRLPTVEVRYRNLSVEADCKVVRGSPLPTLWNTAKSILSEFVKLPWSEKDAKISILKDVSGIIKQRRMTLLLGPPGCGKTTFLLALSGKLSHSLKVTGEISYNGYKLEEFVPRKTSAYISQFDLHIPEITVRETIDFSAQFQGIGSRADIMTEVSRREKQAGIVPDPDVDAYMKAVSIEGLKSNLQTDYILKILGLDICADTMVGDGMRRGISGGQKKRMTAGEMIVGPTKALFMDEISNGLDSSTTFQIVSCLQHLAHITDATALISLLQPAPETFDLFDDVILMAEGKIVYHGPRSSICKFFEDCGFRCPERKGVADFLQEVISRKDQAQYWCRTEPYSYVSVDQFVIKFKECQLGQKLNQVLSKPFDKSESHKSSLSFNKYSLPKWELLKACTRREFLLMKRNSFIYVFKTTQLVIIAAITMTVLLRTRLAVDMLHANDYMGAIFYSLLLLLVDGFPELQMTVSRLAVFYKQKELCFYPAWAYVIPATILKVPLSFLEAVVWTSLTYYVIGFSPEAGRFFRQLLLLFVIHLTSISMFRLIASICQTMVVSTAVGSLFIVVSLLFGGFIIPKPYMPAWLDWGFWLSPLTYGEIGLCVNEFLAPRWQKIASANTTIGQQILEIRGLNYQDYFYWISIGVLIGFTVLFNFGFILALTFLKPPGRSRAIISHEKYHQLQEKIDDSNHVDKDRRLDDGNPTPNTAAKEGRMVLPFEPLTITFQHLQYYVDAPLEMRKRGFAQKKLQLLSDITGAFRPGILTALMGVSGAGKTTLMDVLCGRKTGGTIDGEISIDGYPKVQDAFARISGYCEQTDIHSPQITVEESLAYSAWLRLPSEIDRKTKSEFVNEVLEIIELDGIKDSLVGLPGMSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVETGRTVVCTIHQPSIDIFEAFDELILLKTGGRTIYSGPLGQHSSSVIEYFESIPGIPKIKDNYNPATWMLEVTSKSVEAELGVDFGRIYEQSSLYKENQELVKQLSLPTPGSKELNFPTRFPQNGWEQFKACLWKHHLSYWRSPSYNLTRIVYMMTASILFGAVFWQHGKKMC >Manes.15G004100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30352:39990:1 gene:Manes.15G004100.v8.1 transcript:Manes.15G004100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLKICSLQFLFQILGLDICADTMVGDGMRRGISGGQKKRMTAGEMIVGPTKALFMDEISNGLDSSTTFQIVSCLQHLAHITDATALISLLQPAPETFDLFDDVILMAEGKIVYHGPRSSICKFFEDCGFRCPERKGVADFLQEVISRKDQAQYWCRTEPYSYVSVDQFVIKFKECQLGQKLNQVLSKPFDKSESHKSSLSFNKYSLPKWELLKACTRREFLLMKRNSFIYVFKTTQLVIIAAITMTVLLRTRLAVDMLHANDYMGAIFYSLLLLLVDGFPELQMTVSRLAVFYKQKELCFYPAWAYVIPATILKVPLSFLEAVVWTSLTYYVIGFSPEAGRFFRQLLLLFVIHLTSISMFRLIASICQTMVVSTAVGSLFIVVSLLFGGFIIPKPYMPAWLDWGFWLSPLTYGEIGLCVNEFLAPRWQKIASANTTIGQQILEIRGLNYQDYFYWISIGVLIGFTVLFNFGFILALTFLKPPGRSRAIISHEKYHQLQEKIDDSNHVDKDRRLDDGNPTPNTAAKEGRMVLPFEPLTITFQHLQYYVDAPLEMRKRGFAQKKLQLLSDITGAFRPGILTALMGVSGAGKTTLMDVLCGRKTGGTIDGEISIDGYPKVQDAFARISGYCEQTDIHSPQITVEESLAYSAWLRLPSEIDRKTKSEFVNEVLEIIELDGIKDSLVGLPGMSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVETGRTVVCTIHQPSIDIFEAFDELILLKTGGRTIYSGPLGQHSSSVIEYFESIPGIPKIKDNYNPATWMLEVTSKSVEAELGVDFGRIYEQSSLYKENQELVKQLSLPTPGSKELNFPTRFPQNGWEQFKACLWKHHLSYWRSPSYNLTRIVYMMTASILFGAVFWQHGKKINNQQDLFIMLGSMYTAVIFFGINNCSTVLPYVATERSILYRERFAGMYSPWAYSFAQVLVEVPYLFIIAIIYVVVVYPMVGYSMSAYKIFWAFYAMFCTLLCFNYLGMLLVSLTPNIQVASILASSAYTMLILFSGFVVPKPRIPKWWLWLYYLCPTSWILNGMLTSQFGDINKEILVFGEKKTVAAFLEDYFGFHHSFLGVIGAVLIIFPFVSASLFAYFIGKLNFQRR >Manes.15G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20051:39990:1 gene:Manes.15G004100.v8.1 transcript:Manes.15G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQFAEQAMAAQLVGPDEIEFAELGRSLRSSFRSHVSSFRSISSALSDRQSEGGGEIELQWAAVERLPTFTRITTALFEETGGTCENGDANKGKRIVNVAKLGAQERHMFIEKLIKHIEHDNLRLLQKLRKRIDKVGVRLPTVEVRYRNLSVEADCKVVRGSPLPTLWNTAKSILSEFVKLPWSEKDAKISILKDVSGIIKQRRMTLLLGPPGCGKTTFLLALSGKLSHSLKVTGEISYNGYKLEEFVPRKTSAYISQFDLHIPEITVRETIDFSAQFQGIGSRADIMTEVSRREKQAGIVPDPDVDAYMKAVSIEGLKSNLQTDYILKILGLDICADTMVGDGMRRGISGGQKKRMTAGEMIVGPTKALFMDEISNGLDSSTTFQIVSCLQHLAHITDATALISLLQPAPETFDLFDDVILMAEGKIVYHGPRSSICKFFEDCGFRCPERKGVADFLQEVISRKDQAQYWCRTEPYSYVSVDQFVIKFKECQLGQKLNQVLSKPFDKSESHKSSLSFNKYSLPKWELLKACTRREFLLMKRNSFIYVFKTTQLVIIAAITMTVLLRTRLAVDMLHANDYMGAIFYSLLLLLVDGFPELQMTVSRLAVFYKQKELCFYPAWAYVIPATILKVPLSFLEAVVWTSLTYYVIGFSPEAGRFFRQLLLLFVIHLTSISMFRLIASICQTMVVSTAVGSLFIVVSLLFGGFIIPKPYMPAWLDWGFWLSPLTYGEIGLCVNEFLAPRWQKIASANTTIGQQILEIRGLNYQDYFYWISIGVLIGFTVLFNFGFILALTFLKPPGRSRAIISHEKYHQLQEKIDDSNHVDKDRRLDDGNPTPNTAAKEGRMVLPFEPLTITFQHLQYYVDAPLEMRKRGFAQKKLQLLSDITGAFRPGILTALMGVSGAGKTTLMDVLCGRKTGGTIDGEISIDGYPKVQDAFARISGYCEQTDIHSPQITVEESLAYSAWLRLPSEIDRKTKSEFVNEVLEIIELDGIKDSLVGLPGMSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVETGRTVVCTIHQPSIDIFEAFDELILLKTGGRTIYSGPLGQHSSSVIEYFESIPGIPKIKDNYNPATWMLEVTSKSVEAELGVDFGRIYEQSSLYKENQELVKQLSLPTPGSKELNFPTRFPQNGWEQFKACLWKHHLSYWRSPSYNLTRIVYMMTASILFGAVFWQHGKKINNQQDLFIMLGSMYTAVIFFGINNCSTVLPYVATERSILYRERFAGMYSPWAYSFAQVLVEVPYLFIIAIIYVVVVYPMVGYSMSAYKIFWAFYAMFCTLLCFNYLGMLLVSLTPNIQVASILASSAYTMLILFSGFVVPKPRIPKWWLWLYYLCPTSWILNGMLTSQFGDINKEILVFGEKKTVAAFLEDYFGFHHSFLGVIGAVLIIFPFVSASLFAYFIGKLNFQRR >Manes.15G004100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20051:39991:1 gene:Manes.15G004100.v8.1 transcript:Manes.15G004100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQFAEQAMAAQLVGPDEIEFAELGRSLRSSFRSHVSSFRSISSALSDRQSEGGGEIELQWAAVERLPTFTRITTALFEETGGTCENGDANKGKRIVNVAKLGAQERHMFIEKLIKHIEHDNLRLLQKLRKRIDKVGVRLPTVEVRYRNLSVEADCKVVRGSPLPTLWNTAKSILSEFVKLPWSEKDAKISILKDVSGIIKQRRMTLLLGPPGCGKTTFLLALSGKLSHSLKVTGEISYNGYKLEEFVPRKTSAYISQFDLHIPEITVRETIDFSAQFQGIGSRADIMTEVSRREKQAGIVPDPDVDAYMKAVSIEGLKSNLQTDYILKILGLDICADTMVGDGMRRGISGGQKKRMTAGEMIVGPTKALFMDEISNGLDSSTTFQIVSCLQHLAHITDATALISLLQPAPETFDLFDDVILMAEGKIVYHGPRSSICKFFEDCGFRCPERKGVADFLQEVISRKDQAQYWCRTEPYSYVSVDQFVIKFKECQLGQKLNQVLSKPFDKSESHKSSLSFNKYSLPKWELLKACTRREFLLMKRNSFIYVFKTTQLVIIAAITMTVLLRTRLAVDMLHANDYMGAIFYSLLLLLVDGFPELQMTVSRLAVFYKQKELCFYPAWAYVIPATILKVPLSFLEAVVWTSLTYYVIGFSPEAGRFFRQLLLLFVIHLTSISMFRLIASICQTMVVSTAVGSLFIVVSLLFGGFIIPKPYMPAWLDWGFWLSPLTYGEIGLCVNEFLAPRWQKIASANTTIGQQILEIRGLNYQDYFYWISIGVLIGFTVLFNFGFILALTFLKPPGRSRAIISHEKYHQLQEKIDDSNHVDKDRRLDDGNPTPNTAAKEGRMVLPFEPLTITFQHLQYYVDAPLEMRKRGFAQKKLQLLSDITGAFRPGILTALMGVSGAGKTTLMDVLCGRKTGGTIDGEISIDGYPKVQDAFARISGYCEQTDIHSPQITVEESLAYSAWLRLPSEIDRKTKSEFVNEVLEIIELDGIKDSLVGLPGMSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVVETGRTVVCTIHQPSIDIFEAFDELILLKTGGRTIYSGPLGQHSSSVIEYFESIPGIPKIKDNYNPATWMLEVTSKSVEAELGVDFGRIYEQSSLYKENQELVKQLSLPTPGSKELNFPTRFPQNGWEQFKACLWKHHLSYWRSPSYNLTRIVYMMTASILFGAVFWQHGKKITEYSIQGKICGNVFSLGLFFCTGASGGTIFIHYCNYLCCRRISYGWLFHVCLQDILGILCHVLYIAMFQLPGNAASIIDTKYSSCFYSSIICIHNADFVLRVRCA >Manes.01G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32946277:32951207:1 gene:Manes.01G137000.v8.1 transcript:Manes.01G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMESNSLRYGRGSQPFSANSFKTRRSGYEPSDTETDWQESPQCDQNQNSVVFVPQSTKLNLDLPRNISPIKHGSSRKLSSKFDDCSPTRDPTASPVRRRHTSKSPYKTRTGDGRTTSPVSVRRNVSPFSKSEHRRQVSPFKPGREEPDMYKNDEIVGSSRRKNQRTPNREERGSFSQFGEVSRMSERAHVRRSATAPKLRAKENKDQENDHGHREQKGERSSSPLPRSMTNKQREKEASHTKTPSVGELNEMVANIKMSRAPTPMFNAPIFESTESISPGDIFFSREHAALMMQKNSLPKNGNDGVNLIPRPTRFPQMDSELQQLSTNNASVEHSAPSKLTSAGSQSTMISSFAASRQSSDKFSSESSKISDSSRTSSSWRKFTANRKKSQADAWFSCMRRGPCRTSRSPGKQHFDEASFIGKAFVVERLRQFWADKHQPCSLNGFTCHKQEAQLLKQLVSLDNIPHILLKGPSGAGKRSLAMALLCEIFGDTCRNISHDLRYFQVQENRAMQVAVPVTSSVHHVELNVNLEPNAKYALMGIVKEISNAYAIVPEVSNVKFRPDYRVLVLYQVDKATENVQHLIKWIMDCYTDACKLILCCEDDADITEPVKNRCKVIKIDAPVTHEIMEVLIQIARKEDFDLPMNFAARIAAKSKQNLRKAIMALEACKAHNYPFADDQPIPFGWEEVLVEVAKEILTDPSPNRLFSVRGKLQKLLLDFVHPKLILLKLVEQFLKGVDSSSRRELFYWHGYYDKRLPTGPSALLKLEEFVAKFMSIHRKSSGKSSA >Manes.01G137000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32946301:32951207:1 gene:Manes.01G137000.v8.1 transcript:Manes.01G137000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMESNSLRYGRGSQPFSANSFKTRRSGYEPSDTETDWQESPQCDQNQNSVVFVPQSTKLNLDLPRNISPIKHGSSRKLSSKFDDCSPTRDPTASPVRRRHTSKSPYKTRTGDGRTTSPVSVRRNVSPFSKSEHRRQVSPFKPGREEPDMYKNDEIVGSSRRKNQRTPNREERGSFSQFGEVSRMSERAHVRRSATAPKLRAKENKDQENDHGHREQKGERSSSPLPRSMTNKQREKEASHTKTPSVGELNEMVANIKMSRAPTPMFNAPIFESTESISPGDIFFSREHAALMMQKNSLPKNGNDGVNLIPRPTRFPQMDSELQQLSTNNASVEHSAPSKLTSAGSQSTMISSFAASRQSSDKFSSESSKISDSSRTSSSWRKFTANRKKSQADAWFSCMRRGPCRTSRSPGKQHFDEASFIGKAFVVERLRQFWADKHQPCSLNGFTCHKQEAQLLKQLVSLDNIPHILLKGPSGAGKRSLAMALLCEIFGDTCRNISHDLRYFQVQENRAMQVAVPVTSSVHHVELNVNLEPNAKYALMGIVKEISNAYAIVPEVSNVKFRPDYRVLVLYQVDKATENVQHLIKWIMDCYTDACKLILCCEDDADITEPVKNRCKVIKIDAPVTHEIMEVLIQIARKEDFDLPMNFAARIAAKSKQNLRKAIMALEACKAHNYPFADDQPIPFGWEEVLVEVAKEILTDPSPNRLFSVRGKLQKLLLDFVHPKLILLKLVEQFLKGVDSSSRRELFYWHGYYDKRLPTGPSALLKLEGLTHSICRICGQVHEHTPKEFWQIIGMINSH >Manes.01G137000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32946283:32951207:1 gene:Manes.01G137000.v8.1 transcript:Manes.01G137000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMESNSLRYGRGSQPFSANSFKTRRSGYEPSDTETDWQESPQCDQNQNSVVFVPQSTKLNLDLPRNISPIKHGSSRKLSSKFDDCSPTRDPTASPVRRRHTSKSPYKTRTGDGRTTSPVSVRRNVSPFSKSEHRRQVSPFKPGREEPDMYKNDEIVGSSRRKNQRTPNREERGSFSQFGEVSRMSERAHVRRSATAPKLRAKENKDQENDHGHREQKGERSSSPLPRSMTNKQREKEASHTKTPSVGELNEMVANIKMSRAPTPMFNAPIFESTESISPGDIFFSREHAALMMQKNSLPKNGNDGVNLIPRPTRFPQMDSELQQLSTNNASVEHSAPSKLTSAGSQSTMISSFAASRQSSDKFSSESSKISDSSRTSSSWRKFTANRKKSQADAWFSCMRRGPCRTSRSPGKQHFDEASFIGKAFVVERLRQFWADKHQPCSLNGFTCHKQEAQLLKQLVSLDNIPHILLKGPSGAGKRSLAMALLCEIFGDTCRNISHDLRYFQVQENRAMQVAVPVTSSVHHVELNVNLEPNAKYALMGIVKEISNAYAIVPEVSNVKFRPDYRVLVLYQVDKATENVQHLIKWIMDCYTDACKLILCCEDDADITEPVKNRCKVIKIDAPVTHEIMEVLIQIARKEDFDLPMNFAARIAAKSKQNLRKAIMALEACKAHNYPFADDQPIPFGWEEVLVEVAKEILTDPSPNRLFSVRGKLQKLLLDFVHPKLILLVMHLILNLEVFILSLAKNYISDRVINPTSRNSSNNSSREWIPVREGNFFIGMVIMIRGSQQDQVLCSN >Manes.01G137000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32946301:32951243:1 gene:Manes.01G137000.v8.1 transcript:Manes.01G137000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMESNSLRYGRGSQPFSANSFKTRRSGYEPSDTETDWQESPQCDQNQNSVVFVPQSTKLNLDLPRNISPIKHGSSRKLSSKFDDCSPTRDPTASPVRRRHTSKSPYKTRTGDGRTTSPVSVRRNVSPFSKSEHRRQVSPFKPGREEPDMYKNDEIVGSSRRKNQRTPNREERGSFSQFGEVSRMSERAHVRRSATAPKLRAKENKDQENDHGHREQKGERSSSPLPRSMTNKQREKEASHTKTPSVGELNEMVANIKMSRAPTPMFNAPIFESTESISPGDIFFSREHAALMMQKNSLPKNGNDGVNLIPRPTRFPQMDSELQQLSTNNASVEHSAPSKLTSAGSQSTMISSFAASRQSSDKFSSESSKISDSSRTSSSWRKFTANRKKSQADAWFSCMRRGPCRTSRSPGKQHFDEASFIGKAFVVERLRQFWADKHQPCSLNGFTCHKQEAQLLKQLVSLDNIPHILLKGPSGAGKRSLAMALLCEIFGDTCRNISHDLRYFQVQENRAMQVAVPVTSSVHHVELNVNLEPNAKYALMGIVKEISNAYAIVPEVSNVKFRPDYRVLVLYQVDKATENVQHLIKWIMDCYTDACKLILCCEDDADITEPVKNRCKVIKIDAPVTHEIMEVLIQIARKEDFDLPMNFAARIAAKSKQNLRKAIMALEACKAHNYPFADDQPIPFGWEEVLVEVAKEILTDPSPNRLFSVRGKLQKLLLDFVHPKLILLKLVEQFLKGVDSSSRRELFYWHGYYDKRLPTGPSALLKLEEFVAKFMSIHRKSSGKSSA >Manes.01G137000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32945607:32951243:1 gene:Manes.01G137000.v8.1 transcript:Manes.01G137000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMESNSLRYGRGSQPFSANSFKTRRSGYEPSDTETDWQESPQCDQNQNSVVFVPQSTKLNLDLPRNISPIKHGSSRKLSSKFDDCSPTRDPTASPVRRRHTSKSPYKTRTGDGRTTSPVSVRRNVSPFSKSEHRRQVSPFKPGREEPDMYKNDEIVGSSRRKNQRTPNREERGSFSQFGEVSRMSERAHVRRSATAPKLRAKENKDQENDHGHREQKGERSSSPLPRSMTNKQREKEASHTKTPSVGELNEMVANIKMSRAPTPMFNAPIFESTESISPGDIFFSREHAALMMQKNSLPKNGNDGVNLIPRPTRFPQMDSELQQLSTNNASVEHSAPSKLTSAGSQSTMISSFAASRQSSDKFSSESSKISDSSRTSSSWRKFTANRKKSQADAWFSCMRRGPCRTSRSPGKQHFDEASFIGKAFVVERLRQFWADKHQPCSLNGFTCHKQEAQLLKQLVSLDNIPHILLKGPSGAGKRSLAMALLCEIFGDTCRNISHDLRYFQVQENRAMQVAVPVTSSVHHVELNVNLEPNAKYALMGIVKEISNAYAIVPEVSNVKFRPDYRVLVLYQVDKATENVQHLIKWIMDCYTDACKLILCCEDDADITEPVKNRCKVIKIDAPVTHEIMEVLIQIARKEDFDLPMNFAARIAAKSKQNLRKAIMALEACKAHNYPFADDQPIPFGWEEVLVEVAKEILTDPSPNRLFSVRGKLQKLLLDFVHPKLILLKLVEQFLKGVDSSSRRELFYWHGYYDKRLPTGPSALLKLEEFVAKFMSIHRKSSGKSSA >Manes.01G137000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32946283:32951207:1 gene:Manes.01G137000.v8.1 transcript:Manes.01G137000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMESNSLRYGRGSQPFSANSFKTRRSGYEPSDTETDWQESPQCDQNQNSVVFVPQSTKLNLDLPRNISPIKHGSSRKLSSKFDDCSPTRDPTASPVRRRHTSKSPYKTRTGDGRTTSPVSVRRNVSPFSKSEHRRQVSPFKPGREEPDMYKNDEIVGSSRRKNQRTPNREERGSFSQFGEVSRMSERAHVRRSATAPKLRAKENKDQENDHGHREQKGERSSSPLPRSMTNKQREKEASHTKTPSVGELNEMVANIKMSRAPTPMFNAPIFESTESISPGDIFFSREHAALMMQKNSLPKNGNDGVNLIPRPTRFPQMDSELQQLSTNNASVEHSAPSKLTSAGSQSTMISSFAASRQSSDKFSSESSKISDSSRTSSSWRKFTANRKKSQADAWFSCMRRGPCRTSRSPGKQHFDEASFIGKAFVVERLRQFWADKHQPCSLNGFTCHKQEAQLLKQLVSLDNIPHILLKGPSGAGKRSLAMALLCEIFGDTCRNISHDLRYFQVQENRAMQVAVPVTSSVHHVELNVNLEPNAKYALMGIVKEISNAYAIVPEVSNVKFRPDYRVLVLYQVDKATENVQHLIKWIMDCYTDACKLILCCEDDADITEPVKNRCKVIKIDAPVTHEIMEVLIQIARKEDFDLPMNFAARIAAKSKQNLRKAIMALEACKAHNYPFADDQPIPFGWEEVLVEVAKEILTDPSPNRLFSVRGKLQKLLLDFVHPKLILLVMHLILNLEVFILSLAKNYISDRVINPTSRNSSNNSSREWIPVREGNFFIGMVIMIRGSQQDQVLCSN >Manes.04G052933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11023934:11025259:-1 gene:Manes.04G052933.v8.1 transcript:Manes.04G052933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKKKKKKKKKKKQKNEKKKKKIKKKKKKKKQKKKKEKKQKKKEKKQKKKKKKEKKQKKKKQKEEELMKKKRKEEEEKNNWG >Manes.17G019700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10542161:10551279:-1 gene:Manes.17G019700.v8.1 transcript:Manes.17G019700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIKNLRDVALGRDQKDDESSAESRDTRARSSWAQVVSGEQGNCDQASSDYSHGSRPTNQWREEELSEGINERRPSRRTPKDDYEGCERSERDKQHDYNQNQWDGKKGGEENNDGWETVGRKHPKRSHKIEKEHWNGYKRPPSEQVYSDEVENGEKLVPSDQELADLSQAFNRLWQLDINRLVPGRDYQIDCGEGKKVFEREDMAEGSLFSWLKEDVLRRPTFSRFCSLLDNYNPNEGCKEVVTYEEKQEQAAFIEEISRTAPIKYIHKLLAFKGIVSEDYHDFKRILTSLWFDLYGRGGTSGSSSAFEHVFVGEVKQRGEKEVSGFHNWLQPDSDTQLLTVQFEWNGILKSVSSILVGVSPEFEVALYTLCFYLGGEDNHMELGPYPVNIKCYRFGNRIGSVFPIAEC >Manes.17G019700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10542161:10551263:-1 gene:Manes.17G019700.v8.1 transcript:Manes.17G019700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIKNLRDVALGRDQKDDESSAESRDTRARSSWAQVVSGEQGNCDQASSDYSHGSRPTNQWREEELSEGINERRPSRRTPKDDYEGCERSERDKQHDYNQNQWDGKGGEENNDGWETVGRKHPKRSHKIEKEHWNGYKRPPSEQVYSDEVENGEKLVPSDQELADLSQAFNRLWQLDINRLVPGRDYQIDCGEGKKVFEREDMAEGSLFSWLKEDVLRRPTFSRFCSLLDNYNPNEGCKEVVTYEEKQEQAAFIEEISRTAPIKYIHKLLAFKGIVSEDYHDFKRILTSLWFDLYGRGGTSGSSSAFEHVFVGEVKQRGEKEVSGFHNWLQFYLEEAKGRVDYQGYIFPRRRGQIPDSDTQLLTVQFEWNGILKSVSSILVGVSPEFEVALYTLCFYLGGEDNHMELGPYPVNIKCYRFGNRIGSVFPIAEC >Manes.17G019700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10542161:10551263:-1 gene:Manes.17G019700.v8.1 transcript:Manes.17G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIKNLRDVALGRDQKDDESSAESRDTRARSSWAQVVSGEQGNCDQASSDYSHGSRPTNQWREEELSEGINERRPSRRTPKDDYEGCERSERDKQHDYNQNQWDGKKGGEENNDGWETVGRKHPKRSHKIEKEHWNGYKRPPSEQVYSDEVENGEKLVPSDQELADLSQAFNRLWQLDINRLVPGRDYQIDCGEGKKVFEREDMAEGSLFSWLKEDVLRRPTFSRFCSLLDNYNPNEGCKEVVTYEEKQEQAAFIEEISRTAPIKYIHKLLAFKGIVSEDYHDFKRILTSLWFDLYGRGGTSGSSSAFEHVFVGEVKQRGEKEVSGFHNWLQFYLEEAKGRVDYQGYIFPRRRGQIPDSDTQLLTVQFEWNGILKSVSSILVGVSPEFEVALYTLCFYLGGEDNHMELGPYPVNIKCYRFGNRIGSVFPIAEC >Manes.03G016500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1404380:1412346:-1 gene:Manes.03G016500.v8.1 transcript:Manes.03G016500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGMVAGSYRRNELVRIRHDSDSGPKPLKNLNGQTCQICGDNVGLTASGDTFVACNECAFPVCRPCYEYERKDGTQCCPQCKTRYRRHKGSPRVEGDEDEDDVDDLENEFNYAQGNSKTIRRWQGEDVELSSSSRHESQQPIPLLTNGQPVSGEIPCATPDTQSVRTTSGPLGPPEKHVNSSPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNMMQMTNRYNEGKGDMEGTGSNGEELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRATHPVKDAYPLWITSVICEIWFALSWLLDQFPKWSPINRETYLERLALRYDREGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPVLGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRSGNKKYMDKKRAMKRTESTVPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPLTSIPLLAYCMLPAFCLLTGKFIIPEISNFASMWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDDDGEFAELYVFKWTSLLIPPTTVLIVNLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDPTKASSKGQCGINC >Manes.18G006200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:949721:954616:1 gene:Manes.18G006200.v8.1 transcript:Manes.18G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPSRIDHLPAVSLCQARCKFLEEALYQSYALADAHVAYMHSLKALGPSLCRFFGQNLYNNNNHSNADSAVAKPDPSKSSLSPGHYPSSSNSEPHLDFPSDSEDEEFKDTDFDLLHRIHPNDFDRQTPTPSRLYSYSYSDHNKYEYYTNSVGLFRSRESPYAASSPDGGSSWKTPSPPPSGSTWEFLNLFDTYERYELSVKDKQGLHELKGELENKVREDSVNLAGDEKQRKTILKVESDVKLYEVHVVHTNVVSEKEKIDFVESKKQNTTEVMRELEALFERASDSGNQLLKILDTGKFRCYHKTSIYQGVSSKMLRAFPPSLLVIHSTNTESSSTEKIGSVGLGFDEDLAVISLNLSSTLKKLCMWEKKTMMKSRQIKNIGKKGADANEVDSAQTLVRALSTKIKVAIQVIDRTSITISKLRDEEMWPLMSELIQKLLEMWKAMLECHRHQSQAVLEARGLDAILSNGKFSEIHLEAGIQLKIELQNCNLSFSNWISAQKGYVKALNDWILKCLPYEPEEMPDETEPFSSGKAGVPPVFAFFNQWSHAIGAVSEMEVINSMYGLFMSINQLVERHYKHLQQRLTADKDLKNRIKILQREERRMQKVLQAQGKKMLLASRNTRGTPGGALHQSKMTNNNSIQSCLKKMFTAIERCSANSVQVYQELHVRVEECSLTRGGKPEDP >Manes.02G207933.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19508201:19517055:-1 gene:Manes.02G207933.v8.1 transcript:Manes.02G207933.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQCFTFLVAIILILGLGYCRNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCHKIELWFSDERIKNFKSRESILDDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKSNESEVDRKSKLYQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECKGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYNNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLESLPINVLEGMKGLRVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEKLPAEIGSLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPHRYKMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGYSKVCIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLPYLSNLRKVEIYGCDELKYFIPLSMARELRQLHSMKVTVCKKMEGIFYRNKVNDEIESPLITLHLENLPNFIGFIYKDIEESSASQMNNRMEIVQSKTEPVENISILFSSLWLRLSKLQKLILYNCGLGKALFPPSVAQQFTQLKELKILACHKMEYIVAEAKEEEKSKGISKIAFPNLTKLDLGDLPELVAFFADNDISFELYSLVCLEIWSCPKLKTHYCETPDSSTLDKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFLEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTSLNLSHLPNLMGFCKDNNVSLEWSLLERLWFSEIVGSKIVSVLKSSTLSTSDVVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKNQRDPSVSNTDESCAFPSKLIQQLQNLKQLTINGSDSVEVIFSFEGLINGVLNSVEEICLLNLPNLKHLWFKIPPEITAFQNLRKLIVNDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKRMGTFSYGSLSMPKLEKVMIDEGWHRLMRSDPNLNAKMSELLRDIRYKETILGNYSQMSELLKMNQYEEEGEAEFES >Manes.02G207933.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19508201:19528963:-1 gene:Manes.02G207933.v8.1 transcript:Manes.02G207933.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCHKIELWFSDERIKNFKSRESILDDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKSNESEVDRKSKLYQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECKGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYNNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLESLPINVLEGMKGLRVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEKLPAEIGSLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPHRYKMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGYSKVCIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLPYLSNLRKVEIYGCDELKYFIPLSMARELRQLHSMKVTVCKKMEGIFYRNKVNDEIESPLITLHLENLPNFIGFIYKDIEESSASQMNNRMEIVQSKTEPVENISILFSSLWLRLSKLQKLILYNCGLGKALFPPSVAQQFTQLKELKILACHKMEYIVAEAKEEEKSKGISKIAFPNLTKLDLGDLPELVAFFADNDISFELYSLVCLEIWSCPKLKTHYCETPDSSTLDKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFLEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTSLNLSHLPNLMGFCKDNNVSLEWSLLERLWFSEIVGSKIVSVLKSSTLSTSDVVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKNQRDPSVSNTDESCAFPSKLIQQLQNLKQLTINGSDSVEVIFSFEGLINGVLNSVEEICLLNLPNLKHLWFKIPPEITAFQNLRKLIVNDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKRMGTFSYGSLSMPKLEKVMIDEGWHRLMRSDPNLNAKMSELLRDIRYKETILGNYSQMSELLKMNQYEEEGEAEFES >Manes.02G207933.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19508201:19528940:-1 gene:Manes.02G207933.v8.1 transcript:Manes.02G207933.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCHKIELWFSDERIKNFKSRESILDDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKSNESEVDRKSKLYQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECKGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYNNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLESLPINVLEGMKGLRVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEKLPAEIGSLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPHRYKMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGYSKVCIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLPYLSNLRKVEIYGCDELKYFIPLSMARELRQLHSMKVTVCKKMEGIFYRNKVNDEIESPLITLHLENLPNFIGFIYKDIEESSASQMNNRMEIVQSKTEPVENISILFSSLWLRLSKLQKLILYNCGLGKALFPPSVAQQFTQLKELKILACHKMEYIVAEAKEEEKSKGISKIAFPNLTKLDLGDLPELVAFFADNDISFELYSLVCLEIWSCPKLKTHYCETPDSSTLDKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFLEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTSLNLSHLPNLMGFCKDNNVSLEWSLLERLWFSEIVGSKIVSVLKSSTLSTSDVVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKNQRDPSVSNTDESCAFPSKLIQQLQNLKQLTINGSDSVEVIFSFEGLINGVLNSVEEICLLNLPNLKHLWFKIPPEITAFQNLRKLIVNDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKRMGTFSYGSLSMPKLEKVMIDEGWHRLMRSDPNLNAKMSELLRDIRYKETILGNYSQMSELLKMNQYEEEGEAEFES >Manes.02G207933.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19508201:19528963:-1 gene:Manes.02G207933.v8.1 transcript:Manes.02G207933.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCHKIELWFSDERIKNFKSRESILDDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKSNESEVDRKSKLYQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECKGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKRNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYNNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLESLPINVLEGMKGLRVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEKLPAEIGSLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPHRYKMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGYSKVCIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLPYLSNLRKVEIYGCDELKYFIPLSMARELRQLHSMKVTVCKKMEGIFYRNKVNDEIESPLITLHLENLPNFIGFIYKDIEESSASQMNNRMEIVQSKTEPVENISILFSSLWLRLSKLQKLILYNCGLGKALFPPSVAQQFTQLKELKILACHKMEYIVAEAKEEEKSKGISKIAFPNLTKLDLGDLPELVAFFADNDISFELYSLVCLEIWSCPKLKTHYCETPDSSTLDKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKVSLIKNQRDPSVSNTDESCAFPSKLIQQLQNLKQLTINGSDSVEVIFSFEGLINGVLNSVEEICLLNLPNLKHLWFKIPPEITAFQNLRKLIVNDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFRNCKRMGTFSYGSLSMPKLEKVMIDEGWHRLMRSDPNLNAKMSELLRDIRYKETILGNYSQMSELLKMNQYEEEGEAEFES >Manes.03G204700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31995340:32004108:-1 gene:Manes.03G204700.v8.1 transcript:Manes.03G204700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIDDDFGDIYADVEVQASSAINSISNFAKLYTEPQEEEEECNNKVTTREVNRSPDEGILKSDLKQLSSICEKSNISEAGGSDSEDDLNIVLNDEDCKGFPVAAERNDCCDGFEEGKDEDDDGFCSIKRSHDKNGLHSSANWLGSDHGNGVKGGYNSQYPQYKHLKPYGSSFSSNNKVNNSVGLVCSSKSARGEWEDNRCKQNKISSTGQVANNRAMANSGGYGFSLPWYRTILDVNIDAFEEKKWKNPGVDISDFFNFGFNEESWKQYCISLEQLRQQCYMHARFNNKKSSKLTQAFEIELEHERSAQETIVEDISQVGSTSKSADMVPKGRAIQVEDTTTGRQPTMDLRRPRLRDSDVVIQINVQDSNGNSSGTNDEELCHIDKSGLEASKTMDLYVDDMRDIHYSGSDSADESSAKSMGHIRSSSTNRHSQSDPSQMPLDLGGHDREQNSGADRYHHHHKVSAQTSAEISEEMETIEKVEEENGRNMCESEQYLSETELSIGYRSHFSLTSSYSGSDSEASRDSICSIPGRSDSLSRRQSSGAMLQEFVGSDNKYPESHGGVKKLDDSQHYSRNRSPILEARWNKNRRLRRVAEGRILPDTDNDASPMCGNQLYMDHHRRSEKMHDFGDYKGGYFPYDRQRDVFSCYGGERFADDHFRDICRKHPRIKYRRSIRDEIKPMERRNWNEDNLHKRRFGLDDREDVDRDWDCGGRDLSPEGLISHTYRDSRISVSKYKNFKQRGLQWRRKGDKMQSEKKTNYDDFLLANKNVDDVMLQKCDSHIPFIGRETNMHRRRDRYDYSPPLNLGNSWCVETADEHWNLNQQHLPSWSHIESYEANEGRWKDKMSQRSEIFDVADRYGRHRREIRGDKYRGSQWVDNHNDVDNADEDIVYTDDQIHWRRRKSSRKCGVLHEMHVESILKHQDDELYAKQSSCSYEKFSRHETFHAKCRSASGGWVVDDMQLEWHRRKMFKGESGAGFLNRNSYMMGRVEHKRTSGRCNDPVDLIFGEGKSSGRCSHTESLMSNGKFKKMDLKFAKERKVLKDFNETQTGKAAQTGTGISKLEDGRDNGKWLDKFPATKHNAGLDIEEGQIVPEEPFIEDPLEKKDASGSAAHISNVKKVVCNENVPRRCETNKVYDDQHILDTLAKMEKRRERFKDPVAFKREPDNSLTPQADLVGDPVETKHIRPARNRRWK >Manes.03G204700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31995653:32004108:-1 gene:Manes.03G204700.v8.1 transcript:Manes.03G204700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIDDDFGDIYADVEVQASSAINSISNFAKLYTEPQEEEEECNNKVTTREVNRSPDEGILKSDLKQLSSICEKSNISEAGGSDSEDDLNIVLNDEDCKGFPVAAERNDCCDGFEEGKDEDDDGFCSIKRSHDKNGLHSSANWLGSDHGNGVKGGYNSQYPQYKHLKPYGSSFSSNNKVNNSVGLVCSSKSARGEWEDNRCKQNKISSTGQVANNRAMANSGGYGFSLPWYRTILDVNIDAFEEKKWKNPGVDISDFFNFGFNEESWKQYCISLEQLRQQCYMHARFNNKKSSKLTQAFEIELEHERSAQETIVEDISQVGSTSKSADMVVRQLELPKGRAIQVEDTTTGRQPTMDLRRPRLRDSDVVIQINVQDSNGNSSGTNDEELCHIDKSGLEASKTMDLYVDDMRDIHYSGSDSADESSAKSMGHIRSSSTNRHSQSDPSQMPLDLGGHDREQNSGADRYHHHHKVSAQTSAEISEEMETIEKVEEENGRNMCESEQYLSETELSIGYRSHFSLTSSYSGSDSEASRDSICSIPGRSDSLSRRQSSGAMLQEFVGSDNKYPESHGGVKKLDDSQHYSRNRSPILEARWNKNRRLRRVAEGRILPDTDNDASPMCGNQLYMDHHRRSEKMHDFGDYKGGYFPYDRQRDVFSCYGGERFADDHFRDICRKHPRIKYRRSIRDEIKPMERRNWNEDNLHKRRFGLDDREDVDRDWDCGGRDLSPEGLISHTYRDSRISVSKYKNFKQRGLQWRRKGDKMQSEKKTNYDDFLLANKNVDDVMLQKCDSHIPFIGRETNMHRRRDRYDYSPPLNLGNSWCVETADEHWNLNQQHLPSWSHIESYEANEGRWKDKMSQRSEIFDVADRYGRHRREIRGDKYRGSQWVDNHNDVDNADEDIVYTDDQIHWRRRKSSRKCGVLHEMHVESILKHQDDELYAKQSSCSYEKFSRHETFHAKCRSASGGWVVDDMQLEWHRRKMFKGESGAGFLNRNSYMMGRVEHKRTSGRCNDPVDLIFGEGKSSGRCSHTESLMSNGKFKKMDLKFAKERKVLKDFNETQTGKAAQTGTGISKLEDGRDNGKWLDKFPATKHNAGLDIEEGQIVPEEPFIEDPLEKKDASGSAAHISNVKKVVCNENVPRRCETNKVYDDQHILDTLAKMEKRRERFKDPVAFKREPDNSLTPQADLVGDPVETKHIRPARNRRWK >Manes.03G204700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31995275:32004277:-1 gene:Manes.03G204700.v8.1 transcript:Manes.03G204700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIDDDFGDIYADVEVQASSAINSISNFAKLYTEPQEEEEECNNKVTTREVNRSPDEGILKSDLKQLSSICEKSNISEAGGSDSEDDLNIVLNDEDCKGFPVAAERNDCCDGFEEGKDEDDDGFCSIKRSHDKNGLHSSANWLGSDHGNGVKGGYNSQYPQYKHLKPYGSSFSSNNKVNNSVGLVCSSKSARGEWEDNRCKQNKISSTGQVANNRAMANSGGYGFSLPWYRTILDVNIDAFEEKKWKNPGVDISDFFNFGFNEESWKQYCISLEQLRQQCYMHARFNNKKSSKLTQAFEIELEHERSAQETIVEDISQVGSTSKSADMVPKGRAIQVEDTTTGRQPTMDLRRPRLRDSDVVIQINVQDSNGNSSGTNDEELCHIDKSGLEASKTMDLYVDDMRDIHYSGSDSADESSAKSMGHIRSSSTNRHSQSDPSQMPLDLGGHDREQNSGADRYHHHHKVSAQTSAEISEEMETIEKVEEENGRNMCESEQYLSETELSIGYRSHFSLTSSYSGSDSEASRDSICSIPGRSDSLSRRQSSGAMLQEFVGSDNKYPESHGGVKKLDDSQHYSRNRSPILEARWNKNRRLRRVAEGRILPDTDNDASPMCGNQLYMDHHRRSEKMHDFGDYKGGYFPYDRQRDVFSCYGGERFADDHFRDICRKHPRIKYRRSIRDEIKPMERRNWNEDNLHKRRFGLDDREDVDRDWDCGGRDLSPEGLISHTYRDSRISVSKYKNFKQRGLQWRRKGDKMQSEKKTNYDDFLLANKNVDDVMLQKCDSHIPFIGRETNMHRRRDRYDYSPPLNLGNSWCVETADEHWNLNQQHLPSWSHIESYEANEGRWKDKMSQRSEIFDVADRYGRHRREIRGDKYRGSQWVDNHNDVDNADEDIVYTDDQIHWRRRKSSRKCGVLHEMHVESILKHQDDELYAKQSSCSYEKFSRHETFHAKCRSASGGWVVDDMQLEWHRRKMFKGESGAGFLNRNSYMMGRVEHKRTSGRCNDPVDLIFGEGKSSGRCSHTESLMSNGKFKKMDLKFAKERKVLKDFNETQTGKAAQTGTGISKLEDGRDNGKWLDKFPATKHNAGLDIEEGQIVPEEPFIEDPLEKKDASGSAAHISNVKKVVCNENVPRRCETNKVYDDQHILDTLAKMEKRRERFKDPVAFKREPDNSLTPQADLVGDPVETKHIRPARNRRWK >Manes.03G204700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31995280:32004277:-1 gene:Manes.03G204700.v8.1 transcript:Manes.03G204700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIDDDFGDIYADVEVQASSAINSISNFAKLYTEPQEEEEECNNKVTTREVNRSPDEGILKSDLKQLSSICEKSNISEAGGSDSEDDLNIVLNDEDCKGFPVAAERNDCCDGFEEGKDEDDDGFCSIKRSHDKNGLHSSANWLGSDHGNGVKGGYNSQYPQYKHLKPYGSSFSSNNKVNNSVGLVCSSKSARGEWEDNRCKQNKISSTGQVANNRAMANSGGYGFSLPWYRTILDVNIDAFEEKKWKNPGVDISDFFNFGFNEESWKQYCISLAFEIELEHERSAQETIVEDISQVGSTSKSADMVPKGRAIQVEDTTTGRQPTMDLRRPRLRDSDVVIQINVQDSNGNSSGTNDEELCHIDKSGLEASKTMDLYVDDMRDIHYSGSDSADESSAKSMGHIRSSSTNRHSQSDPSQMPLDLGGHDREQNSGADRYHHHHKVSAQTSAEISEEMETIEKVEEENGRNMCESEQYLSETELSIGYRSHFSLTSSYSGSDSEASRDSICSIPGRSDSLSRRQSSGAMLQEFVGSDNKYPESHGGVKKLDDSQHYSRNRSPILEARWNKNRRLRRVAEGRILPDTDNDASPMCGNQLYMDHHRRSEKMHDFGDYKGGYFPYDRQRDVFSCYGGERFADDHFRDICRKHPRIKYRRSIRDEIKPMERRNWNEDNLHKRRFGLDDREDVDRDWDCGGRDLSPEGLISHTYRDSRISVSKYKNFKQRGLQWRRKGDKMQSEKKTNYDDFLLANKNVDDVMLQKCDSHIPFIGRETNMHRRRDRYDYSPPLNLGNSWCVETADEHWNLNQQHLPSWSHIESYEANEGRWKDKMSQRSEIFDVADRYGRHRREIRGDKYRGSQWVDNHNDVDNADEDIVYTDDQIHWRRRKSSRKCGVLHEMHVESILKHQDDELYAKQSSCSYEKFSRHETFHAKCRSASGGWVVDDMQLEWHRRKMFKGESGAGFLNRNSYMMGRVEHKRTSGRCNDPVDLIFGEGKSSGRCSHTESLMSNGKFKKMDLKFAKERKVLKDFNETQTGKAAQTGTGISKLEDGRDNGKWLDKFPATKHNAGLDIEEGQIVPEEPFIEDPLEKKDASGSAAHISNVKKVVCNENVPRRCETNKVYDDQHILDTLAKMEKRRERFKDPVAFKREPDNSLTPQADLVGDPVETKHIRPARNRRWK >Manes.06G123000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25334100:25341190:1 gene:Manes.06G123000.v8.1 transcript:Manes.06G123000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREEENKKEMEIVWQTPANPPEKHDYIFLNGRRHVRPYYFEFISHVKNRWAGKTIVDLFAEEFKGRPYDYYVTAVKCGRIQVDGEMVPVSYIVKPSQKISHFLHRHEPPVMAWDVSVLQKDPDVVTICKPASVPVHPCGQYRKNTVVGILQAEHGLSPLFPVHRLDRLVSGLLILARNALKADLFRQEIEAGMVQKQYIAKVIGIFPEDEQVVDVNINYNAREGRSTAEVGDSCSDSPAKGKAACTKFTRISTNGTHSIVLCKPITGRTHQIRVHLQYTGHPIANDFLYLSEHAANRCAGGMSADRAARSSSFCLTPEDCSDKCEENTREDFSIDPMCTNCPNLAPKGYDVYEEGLWLHCVKYSGPGWIYECPYPDWAFLT >Manes.S020602.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:201775:201942:1 gene:Manes.S020602.v8.1 transcript:Manes.S020602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.08G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4365226:4366539:1 gene:Manes.08G044100.v8.1 transcript:Manes.08G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKYWNPPPPYLSSPSLPLPPPDLVSSTSQPPRPPQWPRTHRSRNMHQKRRINVSICANQKPSTGVGGGRSTNKEKSSKEIIEGVNDGKCSNSISAETSAASSVSSSLSRHGWWYEEEKMFPLKKRRISLERFTAQECENKNEEIKEVTSTSSWRCSRANGVGWRCSNQRREGYALCEHHINHSRMRDRKRRRNPSSNASRRCWGSKQQQQSGGKDYEVGKKRGRRMLMISTILDRTVPLLATT >Manes.06G023200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4720174:4723078:1 gene:Manes.06G023200.v8.1 transcript:Manes.06G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIRSNSLKRFFSLKRHGLEEGITIEEDKRAFSNSKFVQEPEHSPKPTWRCFSFKEISDATNAFSPENLVGKGGYAEVYKGMLRDGEKIAVKRLTKACNDERKEKEFLTEIGTIGHVSHPNVLSFFGCCMDNGLYLIFHFSSGGSVASHLHDEKFPVMDWKTRYKIAIGTARGLHYLHKGCKRRIIHRDIKSSNILLTADFEPLISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYMHGIVDEKTDVFAFGVFLLEIISGRRPVDGSHQNIHSWAKPILNQGEIEKLVDPRVGGAYDASQLIRLAFAASLCIRASSTWRPTMSEVLEVMQEGEIDKERWKMPKEEEKEEELWEFEDLEYECDSYFSISPQDSFSTRSSLPCYNQLNYYV >Manes.18G056700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4890721:4895076:1 gene:Manes.18G056700.v8.1 transcript:Manes.18G056700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNVLISRCPIHLHNYNVSRSMSVPANPSLRFSQSQTPLAVRCPKLSRDGDIRIHRNSQNTANFTVRASSETSPPHLASSSNNSKLVFVSSAITVALAVANRVLYKLALVPMKQYPFFLAQFTTFGYVMIYFSILFMRYRAGIVTREMIGLPKSRFVAIGILEALGVATGMSSAAMLPGPAIPILNQTFLVWQLAFSAILLGRRYSFNQITGCMLVAFGVVVAVASGSSADQMLPGVEFIWPALMIISSAFQAGASIIKEFIFVDAAKRLKGKSLDIFVVNSFGSGFQALFVLLLLPLLSNLKGIPFAQLPSYLKSGAGCLVNIGRDVPACHNG >Manes.18G056700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4890721:4895076:1 gene:Manes.18G056700.v8.1 transcript:Manes.18G056700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNVLISRCPIHLHNYNVSRSMSVPANPSLRFSQSQTPLAVRCPKLSRDGDIRIHRNSQNTANFTVRASSETSPPHLASSSNNSKLVFVSSAITVALAVANRVLYKLALVPMKQYPFFLAQFTTFGYVMIYFSILFMRYRAGIVTREMIGLPKSRFVAIGILEALGVATGMSSAAMLPGPAIPILNQTFLVWQLAFSAILLGRRYSFNQITGCMLVAFGVVVAVASGSSADQMLPGVEFIWPALMIISSAFQAGASIIKEFIFVDAAKRLKGKSLDIFVVNSFGSGFQALFVLLLLPLLSNLKGIPFAQLPSYLKSGAGCLVNIGRDVPGCDGSPLLPLLYVATNVAFNISLLNLVKISSAVVSSLAVMLSVPISIYVLSLPLPYLPEGASLSPFFLLGSVILVLGLVLYTVARPVKQASN >Manes.S051516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1760891:1761058:-1 gene:Manes.S051516.v8.1 transcript:Manes.S051516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.08G164700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39957935:39962653:-1 gene:Manes.08G164700.v8.1 transcript:Manes.08G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKALMLCGLVGFLGLLSAATGFGAEATRIKGSEVQFTSATQCTYPRSPALALGLTSAVALMLAQVIINVATGCICCKRSPNPSNTNWTIALVCFVTSWFTFVIAFLLLLTGAALNDQHGEESLYFGSYYCYVVKPGVFAGGAVLALASVTLGILYYLTFHSSKSVNGPWDNSSASNPSGIAMGQPQIAPPGSQDPVFVHEDTYMRRQFT >Manes.01G008701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2883729:2884135:1 gene:Manes.01G008701.v8.1 transcript:Manes.01G008701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQHMEPPLCANGCGFYGSVQNANLCSKAAIEAPTSSIARPSLDGETVAGSCDQTASKSRSNPCNSCNKRLGLMGFNCRCGNAFCRWHRQPEDHACTVDFKELGRELLIKQNPLCKADKLQNRI >Manes.15G053800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4134024:4139450:1 gene:Manes.15G053800.v8.1 transcript:Manes.15G053800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCAKQSPAPMKEPVMTYLQYPAPLARYEDVAASPKLFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGFEKIVRERRWKEVTTIFNFPSTATNASFVLRKYYGSLLHHYEQLYFLKTRGGPPGSSVPLQSSFASQVPAQVIVQPSPEYEAATSPQKGTNTAELCGARAAASGTSQVIGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQHQSCRVPQHYNVSANNFGNAVAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYLGKDREISRMIGELWNKLKESEKAVYQEKAIKDKERYRIEMENYRERLKTGRVISDAVPLQQWLPEQDIDMVDAAMKPDETEGVDSPQTMGNDSSCGNSDSEDEYKTTEKDLDGAASLGMQFNVDDDYMIMNTSAEAAAFEPSSKEGNIGDQGVEMVGNVGDENLENMVVEEGTEKVADEEQCCSFSTT >Manes.15G053800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4134022:4139557:1 gene:Manes.15G053800.v8.1 transcript:Manes.15G053800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCAKQSPAPMKEPVMTYLQYPAPLARYEDVAASPKLFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGFEKIVRERRWKEVTTIFNFPSTATNASFVLRKYYGSLLHHYEQLYFLKTRGGPPGSSVPLQSSFASQVPAQVIVQPSPEYEAATSPQKGTNTAELCGARAAASGTSQVIGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQHQSCRVPQHYNVSANNFGNAVAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYLGKDREISRMIGELWNKLKESEKAVYQEKAIKDKERYRIEMENYRERLKTGRVISDAVPLQQWLPEQDIDMVDAAMKPDETEGVDSPQTMGNDSSCGNSDSEDEYKTTEKDLDGAASLGMQFNVDDDYMIMNTSAEAAAFEPSSKEGNIGDQGVEMVGNVGDENLENMVVEEGTEKVADEEQCCSFSTT >Manes.15G053800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4134805:4139450:1 gene:Manes.15G053800.v8.1 transcript:Manes.15G053800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCAKQSPAPMKEPVMTYLQYPAPLARYEDVAASPKLFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGFEKIVRERRWKEVTTIFNFPSTATNASFVLRKYYGSLLHHYEQLYFLKTRGGPPGSSVPLQSSFASQVPAQVIVQPSPEYEAATSPQKGTNTAELCGARAAASGTSQVIGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQHQSCRVPQHYNVSANNFGNAVAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYLGKDREISRMIGELWNKLKESEKAVYQEKAIKDKERYRIEMENYRERLKTGRVISDAVPLQQWLPEQDIDMVDAAMKPDETEGVDSPQTMGNDSSCGNSDSEDEYKTTEKDLDGAASLGMQFNVDDDYMIMNTSAEAAAFEPSSKEGNIGDQGVEMVGNVGDENLENMVVEEGTEKVADEEQCCSFSTT >Manes.15G053800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4133718:4139557:1 gene:Manes.15G053800.v8.1 transcript:Manes.15G053800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCAKQSPAPMKEPVMTYLQYPAPLARYEDVAASPKLFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGFEKIVRERRWKEVTTIFNFPSTATNASFVLRKYYGSLLHHYEQLYFLKTRGGPPGSSVPLQSSFASQVPAQVIVQPSPEYEAATSPQKGTNTAELCGARAAASGTSQVIGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQHQSCRVPQHYNVSANNFGNAVAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYLGKDREISRMIGELWNKLKESEKAVYQEKAIKDKERYRIEMENYRERLKTGRVISDAVPLQQWLPEQDIDMVDAAMKPDETEGVDSPQTMGNDSSCGNSDSEDEYKTTEKDLDGAASLGMQFNVDDDYMIMNTSAEAAAFEPSSKEGNIGDQGVEMVGNVGDENLENMVVEEGTEKVADEEQCCSFSTT >Manes.15G053800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4134034:4139558:1 gene:Manes.15G053800.v8.1 transcript:Manes.15G053800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNCRIPIIGGRELDLHRLFVEVTSRGGFEKIVRERRWKEVTTIFNFPSTATNASFVLRKYYGSLLHHYEQLYFLKTRGGPPGSSVPLQSSFASQVPAQVIVQPSPEYEAATSPQKGTNTAELCGARAAASGTSQVIGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQHQSCRVPQHYNVSANNFGNAVAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYLGKDREISRMIGELWNKLKESEKAVYQEKAIKDKERYRIEMENYRERLKTGRVISDAVPLQQWLPEQDIDMVDAAMKPDETEGVDSPQTMGNDSSCGNSDSEDEYKTTEKDLDGAASLGMQFNVDDDYMIMNTSAEAAAFEPSSKEGNIGDQGVEMVGNVGDENLENMVVEEGTEKVADEEQCCSFSTT >Manes.15G053800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4134034:4139558:1 gene:Manes.15G053800.v8.1 transcript:Manes.15G053800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCAKQSPAPMKEPVMTYLQYPAPLARYEDVAASPKLFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGFEKIVRERRWKEVTTIFNFPSTATNASFVLRKYYGSLLHHYEQLYFLKTRGGPPGSSVPLQSSFASQVPAQVIVQPSPEYEAATSPQKGTNTAELCGARAAASGTSQVIGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQHQSCRVPQHYNVSANNFGNAVAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYLGKDREISRMIGELWNKLKESEKAVYQEKAIKDKERYRIEMENYRERLKTGRVISDAVPLQQWLPEQDIDMVDAAMKPDETEGVDSPQTMGNDSSCGNSDSEDEYKTTEKDLDGAASLGMQFNVDDDYMIMNTSAEAAAFEPSSKEGNIGDQGVEMVGNVGDENLENMVVEEGTEKVADEEQCCSFSTT >Manes.15G053800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4134033:4139558:1 gene:Manes.15G053800.v8.1 transcript:Manes.15G053800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCAKQSPAPMKEPVMTYLQYPAPLARYEDVAASPKLFMATLEKLHASMGTKFMIPIIGGRELDLHRLFVEVTSRGGFEKIVRERRWKEVTTIFNFPSTATNASFVLRKYYGSLLHHYEQLYFLKTRGGPPGSSVPLQSSFASQVPAQVIVQPSPEYEAATSPQKGTNTAELCGARAAASGTSQVIGVIDGKFESGYLVTVTIGTEKLKGVLYQAPQHQSCRVPQHYNVSANNFGNAVAVSGTQRRRRRKKNEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYLGKDREISRMIGELWNKLKESEKAVYQEKAIKDKERYRIEMENYRERLKTGRVISDAVPLQQWLPEQDIDMVDAAMKPDETEGVDSPQTMGNDSSCGNSDSEDEYKTTEKDLDGAASLGMQFNVDDDYMIMNTSAEAAAFEPSSKEGNIGDQGVEMVGNVGDENLENMVVEEGTEKVADEEQCCSFSTT >Manes.03G204600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31989968:31994373:-1 gene:Manes.03G204600.v8.1 transcript:Manes.03G204600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSSSSASSSSTSSRASFSPFTSPQDTNQKYIKYRSKFSFKIPTKNLPIPSSSKQSSPVLDQRPLFSESESTPRNRATERSIKSEKDSFEGLLVARRPVVEISGSEDSTQGSDNNVDDSKKKSSAIIDAGLEEFAKKMPIFEPERVEAAGSSQGKPLTVNLDLALYKAKVLARNYRYAEAQEILEKCISYWPEDGRPYVALGKILNKQSKTAEARAVYEKGCQATHGENAYIWQEFQFGAECMECWAVLENKMGNIRRARELFDAATVADKRHTAAWHGWAVLELKQGNVKKARQLLAKGLKFSGGNEYIYQTLALLEAKANRYEQARYLFRQATKCNPRSCASWLAWAQLEMRQENNLAARQLFEKAVQASPKNRFAWHVWGVFEYNMGNIEVARKLLKIGHSLNPRDPVLLQSLALLEYKHSTANLARVLFRKASELDPRHQPVWIAWGWMEWKEGNISTARELYQRALSIDSTSESAARCLQAWGVLEQRAGNLSLARRLFRSSLNINSQSYITWMTWAQFEEDQGNSVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPAIDSVKKLLNLDPNRYSKTQESLRNISGERESSSDGPSSDNDADGIKNGTGFDLNSFIKVRLSLDPTKLDIKLETSGPPAPWRASQRRLWRSKKRTTAEV >Manes.03G204600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31989968:31994373:-1 gene:Manes.03G204600.v8.1 transcript:Manes.03G204600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSSSSASSSSTSSRASFSPFTSPQDTNQKYIKYRSKFSFKIPTKNLPIPSSSKQSSPVLDQRPLFSESESTPRNRATERSIKSEKDSFEGLLVARRPVVEISGSEDSTQGSDNNVDDSKKKSSAIIDAGLEEFAKKMPIFEPERVEAAGSSQGKPLTVNLDLALYKAKVLARNYRYAEAQEILEKCISYWPEDGRPYVALGKILNKQSKTAEARAVYEKGCQATHGENAYIWQCWAVLENKMGNIRRARELFDAATVADKRHTAAWHGWAVLELKQGNVKKARQLLAKGLKFSGGNEYIYQTLALLEAKANRYEQARYLFRQATKCNPRSCASWLAWAQLEMRQENNLAARQLFEKAVQASPKNRFAWHVWGVFEYNMGNIEVARKLLKIGHSLNPRDPVLLQSLALLEYKHSTANLARVLFRKASELDPRHQPVWIAWGWMEWKEGNISTARELYQRALSIDSTSESAARCLQAWGVLEQRAGNLSLARRLFRSSLNINSQSYITWMTWAQFEEDQGNSVRAEEIRNLYFQQRTEVVDDASWVMGFLDIIDPAIDSVKKLLNLDPNRYSKTQESLRNISGERESSSDGPSSDNDADGIKNGTGFDLNSFIKVRLSLDPTKLDIKLETSGPPAPWRASQRRLWRSKKRTTAEV >Manes.09G121100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32388086:32392983:-1 gene:Manes.09G121100.v8.1 transcript:Manes.09G121100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHSDRTSQDHTLFAAHGHADGLAVNSSVHPATCVMCRRNFNPDSDDLETISICGDCKFLLLEDLGDSTVESLRRRSRRGRRTGYSSSESIENFFSQQLSHVINQSRQNQSIVPGHDNSSVNGDASVRLLQHMSSHTTPTGSRRWQRVLSDTESEGIDNLDSLYGENETTPSVSWSRVYHGESDAISFSAYGGDSDVDVDGQSFVDTEIFIRPGEGSSVDSDTDIDPMHAGLNHWTYDGEEEDDEEEEDGEWEEADIEELSIESVVARPRVQNYIISSPPEGNGSRNGHQPSYSPEFGGLTHWRIRQDGQTYNRDIFANLEESELPRYVGNSGDYLDARGFEEFLEHLAETDSSRRGAPPAATSFVNSLPLVIINEEHEKHDGLACAICKDVLSIGTKVNRLPCLHLYHPYCILPWLSARNSCPLCRYELPTDDKEYEEGKRNNRDRMGIHEIQQQDPIEDSSSDVSDGSEEDGEFDQGGMERRELLDMDPPVSSSGREGSRRRWFFLAAAPIVSLMGFVLVLWLGNNQGRGPTRHCNLPERGLHQIHVPGSSTPNQRGNRSRRWWSLF >Manes.10G146500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31355926:31358066:1 gene:Manes.10G146500.v8.1 transcript:Manes.10G146500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLVNFNQKIDYVFKIVLIGDSEVGKSQLLARFARKEFTIIIDRKTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHINKWLEELQGHADRNIVIMLVGNKSDLGTLRAVPIEDAKDFAQKENLFLMETSAFLFILTEIYRIGNEIVVPGPERESGIRSSGCCR >Manes.08G076700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18356878:18358341:-1 gene:Manes.08G076700.v8.1 transcript:Manes.08G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVSDRPAVGGGIPVDEIEKNFSKFVNIKGVQETCASGGDDTINVEEEQEDFEPCGREPVRKALEKSAKLLSEEVDEPETALLLLFSDEGTQPALTLVSAMKGSREKLGAPPRKLTVSWAPNVYDPVPNSLSHTVKSKQKKYRKDRDGSGNYKKNGKKGQKGNSKGGKDKKQFRKSSGSGRLDKCYKAMNTYDAPEDFGEFDIGSPDYCGSSFLKKSPINFHYGVAEAL >Manes.01G041900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8336954:8339879:-1 gene:Manes.01G041900.v8.1 transcript:Manes.01G041900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGGTSWLAAVKRAFRSPTKDNEKKSCRRREDREPEDEEKKRGKRRWIFRKSSSTQETTVIQHSAERSIITAAAISDAADAKQRHALAMAMATTAAAQAAVATAQAAVEVVRLTRPTLFVKQHFAAIAIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKRAKMTLHCMQALMRVQARVRDQRKRLSYEGTTNSISTDLNSLWGSQLADRKSISRDESSTADEWVNWDDQHPHSLEEIQVMLQETKEVALKREKAIAHAFSHQMWRPSRVTYASEGELEEKPRWHDRWTRRKQLENRGIRGLNDQREPIKTVEIDTSQPYTFSTPIARKSHHEYHHYQQQRPSSYSVASPLSRAHSTFPLQSPVTPSPSKARTLLQVHSASPRCFREDGNQQDRYHKCVTTSMPNYMAATASAKARFRSQSAPRQRPSTPEREKLGSTSAAKKRLNFPVPDPVTSNNVADYSLRSPSYKGNVHEDYNMSSCCTDSLGDEVSPPSTNDLSRWLR >Manes.01G041900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8337039:8339786:-1 gene:Manes.01G041900.v8.1 transcript:Manes.01G041900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTAAAQAAVATAQAAVEVVRLTRPTLFVKQHFAAIAIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKRAKMTLHCMQALMRVQARVRDQRKRLSYEGTTNSISTDLNSLWGSQLADRKSILMQSRDESSTADEWVNWDDQHPHSLEEIQVMLQETKEVALKREKAIAHAFSHQMWRPSRVTYASEGELEEKPRWHDRWTRRKQLENRGIRGLNDQREPIKTVEIDTSQPYTFSTPIARKSHHEYHHYQQQRPSSYSVASPLSRAHSTFPLQSPVTPSPSKARTLLQVHSASPRCFREDGNQQDRYHKCVTTSMPNYMAATASAKARFRSQSAPRQRPSTPEREKLGSTSAAKKRLNFPVPDPVTSNNVADYSLRSPSYKGNVHEDYNMSSCCTDSLGDEVSPPSTNDLSRWLR >Manes.01G041900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8337039:8339786:-1 gene:Manes.01G041900.v8.1 transcript:Manes.01G041900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTAAAQAAVATAQAAVEVVRLTRPTLFVKQHFAAIAIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKRAKMTLHCMQALMRVQARVRDQRKRLSYEGTTNSISTDLNSLWGSQLADRKSISRDESSTADEWVNWDDQHPHSLEEIQVMLQETKEVALKREKAIAHAFSHQMWRPSRVTYASEGELEEKPRWHDRWTRRKQLENRGIRGLNDQREPIKTVEIDTSQPYTFSTPIARKSHHEYHHYQQQRPSSYSVASPLSRAHSTFPLQSPVTPSPSKARTLLQVHSASPRCFREDGNQQDRYHKCVTTSMPNYMAATASAKARFRSQSAPRQRPSTPEREKLGSTSAAKKRLNFPVPDPVTSNNVADYSLRSPSYKGNVHEDYNMSSCCTDSLGDEVSPPSTNDLSRWLR >Manes.01G041900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8337039:8339786:-1 gene:Manes.01G041900.v8.1 transcript:Manes.01G041900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGGTSWLAAVKRAFRSPTKDNEKKSCRRREDREPEDEEKKRGKRRWIFRKSSSTQETTVIQHSAERSIITAAAISDAADAKQRHALAMAMATTAAAQAAVATAQAAVEVVRLTRPTLFVKQHFAAIAIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKRAKMTLHCMQALMRVQARVRDQRKRLSYEGTTNSISTDLNSLWGSQLADRKSILMQSRDESSTADEWVNWDDQHPHSLEEIQVMLQETKEVALKREKAIAHAFSHQMWRPSRVTYASEGELEEKPRWHDRWTRRKQLENRGIRGLNDQREPIKTVEIDTSQPYTFSTPIARKSHHEYHHYQQQRPSSYSVASPLSRAHSTFPLQSPVTPSPSKARTLLQVHSASPRCFREDGNQQDRYHKCVTTSMPNYMAATASAKARFRSQSAPRQRPSTPEREKLGSTSAAKKRLNFPVPDPVTSNNVADYSLRSPSYKGNVHEDYNMSSCCTDSLGDEVSPPSTNDLSRWLR >Manes.11G017733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2006088:2010383:1 gene:Manes.11G017733.v8.1 transcript:Manes.11G017733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSTEGLPMLYEGGVADNTTVEEVKFADSEGVKVADKSIGGDVEEGCDGEDVEVGCDGGDVEEGSDGGDVEESSDGEDFEESSDGEEDSSDSENSTRPILVRNEDEPYGYDDPDYEGNQREVYINFRRQYKETDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDDEFTIGCKEALVYAIEEQNRKGANLRLLDIIKANAESVALYHITFRAEDVSQVKRTNKQR >Manes.05G188800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31057853:31058901:-1 gene:Manes.05G188800.v8.1 transcript:Manes.05G188800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSPCASCRLLRRRCTKDCVFAPYFPSNEPCKFAIVHKVFGASNVSKMLQAIPAHQREDAARSLVYEANARVKDPVYGCVGAISILQNQVSQLQMQLSEARAEILCIQMLEKPTIPNHLNHDVKSSSVYPATNFNTFPQSHCFNFESPSNVIIQDPLKSESLWT >Manes.01G107200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30624865:30625362:-1 gene:Manes.01G107200.v8.1 transcript:Manes.01G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGLERLVVSLKSKIRSLKMKKLYDKIEKSESMRVEIRSRKARKLIEETMKIADSPKSKTYAF >Manes.17G114500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32213120:32214217:-1 gene:Manes.17G114500.v8.1 transcript:Manes.17G114500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAANFFSSPSFWFLLKPPFPVCSEISLQFLLLSPSTIAVKMAAGKVAHVVYKGPSIVKEILYGLALGLTAGGLWKMNHWNNQRRAKEFYDLLERGEISVVVEEE >Manes.03G056000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5460256:5461485:-1 gene:Manes.03G056000.v8.1 transcript:Manes.03G056000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKSDCISIFWSSANQPFKDIFLVLISDRMVNQIVKAEALMNKVYEADVFVFLPMRRKWYGEPLLGWLQSRCMTTSKRVQDRSKRKRVHDLEIVTEKWKIVSKVMGVMEVLKQEVEMVIPVRNLEQYRTRINLPKPYKISEFLLKSPKLFELYKDQRGVLWCGMTKEAEDLLEEQERLVEEHSDKAAEYVTRCLMMSTDKQLRMDKIAHFRRDFGLPMDFRTKWVHKYPELFRVVKSGDGEDYLKLVSWKPAWAITELEKKILGATEISVHEPGLLSLPFLLKFPPNYKKLVYRYGGKIEHFQKRSYLSPYADARGLTAGSLEFDKRAVAIMHELLSFTIDKRLVTDHLTHFRREFVMPQKLMRLLLKHAGIFYVSERGKRFSVFLTEAYEGQELIEKGPLVVWKENF >Manes.07G020600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2301421:2302199:1 gene:Manes.07G020600.v8.1 transcript:Manes.07G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEIIDKVLSQTDVDYRLAFPTASLWGIQIPEGQNAMEFEATSADNIHEHWNFRLCLRNSNNGERYRKPELTGDWLQFVRSKNLRKGNKIILTMELDEATGERSYTIRAETKLMGSWVPIA >Manes.03G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:927355:930318:1 gene:Manes.03G010900.v8.1 transcript:Manes.03G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRMVMMPRKKKTGTVPVYLNVYDLTPMNGYAYWFGLGIYHSGVQVHGVEYGFGAHDHSSGGIFEVEPRKCPGFTFRKSILIGRTDLGPKEVRSFMEKLAQDYTGNSYHLITKNCNHFCNDVCIKLTGKAIPSWVNRLARLGFFCNCVLPAELSETKVRQVRSQTNVQERDKKKLRSRSSRVKSTPATIPSPSLTPRSSSSGSSRQRCRPPQTTVHDTSTSTLSLKL >Manes.06G170901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29550249:29550494:-1 gene:Manes.06G170901.v8.1 transcript:Manes.06G170901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKDDIKYGTAQAKLSEDESLRVRYKHGTPLEGGKIADSETVDLFSSAHNIPNTASKSNPSDSTTQSHNKSAEGNAPTG >Manes.01G130800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32403459:32408981:1 gene:Manes.01G130800.v8.1 transcript:Manes.01G130800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKTRRNEPTAKGTIIFDANSTITVSPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPGAARAWVATLQATQLVLKAHKEAVNSLSGNGSAKLGTIATVVAAANSTALECSKEIEAAMQISLRNALGMVNNRVIDGPMDDLTIMKETLKVKDEELQNLARDLRARDTTIKDIAEKLSETAEAAEAAASAAHTIDEQRRIACAEIERLSRVSQKQLESSTLKLKESEEKVMTLSKERDELIKQRDSALQEAHLWRSELAKARERVVILEGAVVRAEEKVRVAEADAEARIKEASQKEAVAMNEKQELLAYLNALQAQLQRQQIDTKEMFQKTESSNVVGSSPPLTKHVDLSEENVDKACLSVSRVLPHSGESVVHMGVDQTNLRPIGDTEWSDIEPTESRIADVREVAPEAEGSSLDITVVSSPVNNHHEQGGNDFHQP >Manes.01G130800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32403460:32408981:1 gene:Manes.01G130800.v8.1 transcript:Manes.01G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGASTRAVDAQSSLERIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPTAKGTIIFDANSTITVSPVNFHGLPKYDGCCFYIGTPQKKDYFLCAETPGAARAWVATLQATQLVLKAHKEAVNSLSGNGSAKLGTIATVVAAANSTALECSKEIEAAMQISLRNALGMVNNRVIDGPMDDLTIMKETLKVKDEELQNLARDLRARDTTIKDIAEKLSETAEAAEAAASAAHTIDEQRRIACAEIERLSRVSQKQLESSTLKLKESEEKVMTLSKERDELIKQRDSALQEAHLWRSELAKARERVVILEGAVVRAEEKVRVAEADAEARIKEASQKEAVAMNEKQELLAYLNALQAQLQRQQIDTKEMFQKTESSNVVGSSPPLTKHVDLSEENVDKACLSVSRVLPHSGESVVHMGVDQTNLRPIGDTEWSDIEPTESRIADVREVAPEAEGSSLDITVVSSPVNNHHEQGGNDFHQP >Manes.05G170600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28463192:28467718:-1 gene:Manes.05G170600.v8.1 transcript:Manes.05G170600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTRVGTRLQPKKVPVKPEKGKVEKIQRNKQRDATRTARKIGQSSSRERKIALQQDVDQLKKKLRYEENVHRALERAFNRPLGALPRLPPYLPAATLELLAEVAVLEEEVVRLEEQVVHFRQDLYQEAVYISSSKKNVESFADLYDLSPNKNPKPEHAKIIARNFLASTISTIRHLPSFSDDEPGKENQLCTNSMKQNKNSSIHKAQTSRPPEKRPPIDGKPAEKHLNPQKLQLECRTAGQENAEARIGTADDPNKISEDILKCLSSIFLRMSSTKSRRTSENLPFLSTLVSQENVEETEYRDPYGICSQFGKRDIGPYKHLFAIEAGTINPNRKSNSLFLLHRLKLLLGKLASINLQKLTHQEKVAFWINIYNSCMMNAFLEYGIPENPEMIVALMQKAKINVGGHLLNAITIEHFILRLPYHSKYTSSKCAKNDEMTVRSKFGLELSEPLVTFALSCGSWSSPAVRVYSASQVENELDVAKREYLQAAVGISSRKFAIPKLLDWYLLDFAKDLESLLDWICLQIPSELGKEAIKCLERGKVEPDSQFVQIMPYEFSFRYLLYT >Manes.05G170600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28463192:28467718:-1 gene:Manes.05G170600.v8.1 transcript:Manes.05G170600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTRVGTRLQPKKVPVKPEKGKVEKIQRNKQRDATRTARKIGQSSSRERKIALQQDVDQLKKKLRYEENVHRALERAFNRPLGALPRLPPYLPAATLELLAEVAVLEEEVVRLEEQVVHFRQDLYQEAVYISSSKKNVESFADLYDLSPNKNPKPEHAKIIARNFLASTISTIRHLPSFSDDEPGKENQLCTNSMKQNKNSSIHKAQTSRPPEKRPPIDGKPAEKHLNPQKLQLECRTAGQENAEARIGTADDPNKISEDILKCLSSIFLRMSSTKSRRTSENLPFLSTLVSQENVEETEYRDPYGICSQFGKRDIGPYKHLFAIEAGTINPNRKSNSLFLLHRLKLLLGKLASINLQKLTHQEKVAFWINIYNSCMMNAFLEYGIPENPEMIVALMQKAKINVGGHLLNAITIEHFILRLPYHSKYTSSKCAKNDEMTVRSKFGLELSEPLVTFALSCGSWSSPAVRVYSASQVENELDVAKREYLQAAVGISSRKFAIPKLLDWYLLDFAKDLESLLDWICLQIPSELGKEAIKCLERGKKKLREAAGWSKCLVAFSWSMGSHQPAM >Manes.12G070300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7527026:7527824:-1 gene:Manes.12G070300.v8.1 transcript:Manes.12G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGIHPQRQWISYVTQSGRLMHIMMTKIHHVGKVYHFRARRQMAESLGQIAKFKRRYGQEDDEDTTK >Manes.05G040600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3281287:3282452:1 gene:Manes.05G040600.v8.1 transcript:Manes.05G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSSFTLLSIADAASWYCAFLLVILLLQSSMRETYDPCEIVRGNQMLERPCDEIYVVQEGETLHTISDKCGDPFIVEKNPHIHDPDDVFPGLVIKITPSKSTHMLLR >Manes.14G165300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:26162964:26171724:1 gene:Manes.14G165300.v8.1 transcript:Manes.14G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTAVGGAILTAYLQGLFDKLASHDFLKYACQEKVLAEVEKWESMLKKIYAVLDDAEEKQLTNRLVKIWVSELRDLAYDVEDVLDEFATEALRRRLVVKPLAITSKVQKSITTCFVGVNPRTLKLNAKMLSKMEEITTRLENITAGKHDLDLRETTGRSSNCVRERQPSTSLVNEAKVYGREPDQKAILLLLKNKVSSAEICVIPIIGMGGIGKTTLAQLIFNDATLHFDFKSWVSVGENFDVIGMTKTILQSEDSDAKDLDSLQVKLKEKLSGKKFLIILDDVWSENYDDWTLFCGPLEAGAPGSRIIVTTRNQGVSLMMGNIPAYSLKELSDDDCLAVFAQHALGAKDFDAHSQLKELGKKIAKRCQGLPLAAKALGGLLRGKLNCNVWEEVLNSKIWDLPQEKNSVLPALRLSYHHLPSHLKRCFAYCSMFPKDYKFDKEELVLLWMAEGFLRQPNQMKPIEDLGHEYFNDLLSRSFFQQSSSSDAQYVMHDLIRNLARSINEEICFNLDDKLDGAKPNPKVRHSSFSRHVCDISKRFEVFNEMKSLRTFLALPILPSNYQQLSSKVLHEFVPKLRCLTVLSLAGYCFDELPSSIGALKHLRYLNLSHSEITMLPESSSKLLNLQTLKLRGCRKLIKLPTGISNLINLQYLDISETDSLVEMPPHIGNLTNLHCLPKFIVAKGHGVSIMELMNLCHLHGELRIAGLHNVANVRDSELVNLKEKQNIDALTLEWIGNSHGVRSLRDELQVLHSLMPHQNLHKLSIKYYAGTVFPLWVGDPKFINLMHLELCNCPNITSLPAVGQLPLLQKLSIAGMDRVKEVGIEFYGINSSSAKAFPSLETLTIKNMVEWEQWSSEELQQTFVKLRELRMKNCPKLVGKLPRSFPSLEKLDICDCPQLAELPEILPSLRKLNVEKCQEMVLRSARDLVSLTTLKIKRISGLISLHEVLIQALVAIEDMEIVGCHELMYLWLDGSNVNKLTSMRRLGIQNCKQLVSLVGGEEGLLPCNLEVLSIQKCGHLNKLPDGLHSLTSLRFLRICSCPKLVSFPATGLPNFLRHFMIMDCNSLVSLPEGIICHGDGANEMSHLKKLAIEGCSSCMSFPMGKFPDSLRTLTICFCTNQLLELQHDKFLHLTYLEIKDCHELEFFPEGGLPIPTLIYFTISRCENLKCLPRQMQNLVSLQYLEISDCGGMLSFPGGGFPPNLTTLRIRDCKNLRQPMSEWGLHKLNFLKRLSIKGTSPSTDVVSFPDDGLLLPTSLTLLWIDGLQNLKCISRGLQSLTSLESLWIWNCPKLHSLPKEGLSATLGFLEILSCPLLKQRCLNRKGDYWPVISHIPCVTIDSKRSGMPLNPWFMAHYLVQTWDHA >Manes.13G026000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3461443:3466478:1 gene:Manes.13G026000.v8.1 transcript:Manes.13G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTLLPLLLILLMEVVASASNETGIHPFVCNEDVKKCNASLYHINKGLQMKQVAFLYSVNSTQISPILRENIQDYLVTVPCSCKTVNGTRGYFYDASYKVLKDDTFLNVSKQNYSGQAWEVEDEEDIFKTGNEVPMHLLCGCVENDSQVVVTYTVQNQDTLSGIASRLSSTISAILSMNGFLNGDPSFIQEDWVLYIPKEMDGIPAPPPLPSSDTASRKRQNWTIIIGILSATTLLLMTTLIIIFLRRKGSHQNSSKDPKTVSTSMSTTRSRGLSLHILNMEIKEDGTALESDKPVIYTAEEIEEATNNFDETRKIGAGGYGSVYFGVMGEQEVAIKKMKSNKSREFFAEVKVLCKVHHINVVELLGYASGDDHLYLVYEYIRNGSLSDHLHDPLLKGYQPLSWVARTQIALDAAKGIEYIHDHTKKRYVHRDIKSTNILLDEGLRAKVADFGLAKLVERTSDEDLIATRLVGTPGYLPPESVKELQVTTKTDVFAFGVVLAELITGQRALVRDNWEPNKMRSLITVVYKIFEDEDPESALESAVDRNLRGSFPMDDVYKMAEIAEWCLSEDPINRPEIRDILPMLSNIMTSSVEWEASLGGNSPVFSGIYNGR >Manes.01G163500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34774330:34779940:-1 gene:Manes.01G163500.v8.1 transcript:Manes.01G163500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGIVSVFNKFLSIFILLFHLGCFTFTFRDHQPSTKKRKASPPSPTSSSSSRLKPHRALSSSWTYLKRIFYSKTYKASSSQDQSPVPTLTSARSSQQSIVSMIPPEEHSSDVPPRTKSSGSCQESEISTNDQFFPLRNDIFPCTACGEIFQKPQLLEQHQAIKHAVSELQDGDSGKNIVHIIFKTGWSCKEKNPEIRRILKIHNSPKILSRFEEYREVVKAKAARNSVVKRRDERCVADGNELLRFYCSTFICDLGANENSSICNQQYCSVCGIIKSGFSPKMDGISTLSSSWMAHVSIPEEVEEEFKFMNVKRAMLVCRVVAGRVGCEMEDEEEVDKENGGFDSVVGRGGSGVHSRLDEEELMVFNPRAVLPCFVIVYTV >Manes.07G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3548804:3568796:-1 gene:Manes.07G032400.v8.1 transcript:Manes.07G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKLLQDTRGYICGRCRQRLSPNTTNVAEIKCPACQRLASITPAAPTNKSSSSNNKADAKFCSTVSQVVQNFKNTFSGSRHYRQDIETEKHKSMNCVPSPLELSSSLTSRSDQRPRKRALLIGVTYKNWKHKLMGTVNDVKNMRRLLIESLGFHSQNILVLTEDETGPTLIPTKKNIERSLEWLVQDCQGGDSLVFYYSGHGLRQPDFDSDERDGFDETICPVDFMKEGMILDNYINSTIVQPLPEGVTLHAIVDACHSGTILDLVHVYNRDIGKWEDNSPPNGTRKHTNGGLAISISACEDHQVAADTSAFTDKGMNGALTYILVEIVKKYPGPTYGDLVDLIHETINQVNSSGSHFSRFLRSKFNNMILQKPQLSGSEPFDVKKKHFIL >Manes.07G032400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3548804:3568796:-1 gene:Manes.07G032400.v8.1 transcript:Manes.07G032400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKLLQDTRGYICGRCRQRLSPNTTNVAEIKCPACQRLASITPAAPTNKSSSSNNKADAKFCSTVSQVVQNFKNTFSGSRHYRQDIETEKHKSMNCVPSPLELSSSLTSRSDQRPRKRALLIGVTYKNWKHKLMGTVNDVKNMRRLLIESLGFHSQNILVLTEDETGPTLIPTKKNIERSLEWLVQDCQGGDSLVFYYSGHGLRQPDFDSDERDGFDETICPVDFMKEGMILDNYINSTIVQPLPEGVTLHAIVDACHSGTILDLVHVYNRDIGKWEDNSPPNGTRKHTNGGLAISISACEDHQVAADTSAFTDKGMNGALTYILVEIVKKYPGPTYGDLVDLIHETINQVNSSGSHFSRFLRSKFNNMILQVLSPGRTWRDPSESPARRDPEESQIYHGPGRGKDLSNGAVCGNERDFTISKVSRSTH >Manes.04G080000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28185038:28185337:1 gene:Manes.04G080000.v8.1 transcript:Manes.04G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILSAKKILLRSPSANQTASVAMEVPKGYLAVYVGEKPMKRFVIPVSYLNTPSFQDLLIQAEEEFGYDHPMGGLTIPCSENMFIDVISGLNCS >Manes.06G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2707125:2707811:1 gene:Manes.06G016400.v8.1 transcript:Manes.06G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAFSRGAHSMFSKPMIRKACHRKSTGNSSYSSSPDGMREMSNKVDGEEMKMKKKHVRDDGSCCWVPHERTGIFYPKGQEKVMEDIPSEAAKDVLDINYFSHN >Manes.12G088290.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:16613512:16614547:1 gene:Manes.12G088290.v8.1 transcript:Manes.12G088290.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKSKPQAQFKSNFLKLIFPLNNNIIKTQRSLENFEIYQLTFHCFLESNKIQEININPWVNNFKTSPSHKNLRIEEMKVGISRSRCAGEEHGESNRKWENIARPVATPAAAVARSVAARAAAVARATVVARAAASRVERRQQKLFRFHFD >Manes.13G122601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33056593:33058667:-1 gene:Manes.13G122601.v8.1 transcript:Manes.13G122601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSADEGQFLSMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIEKAGVAHKIDFREGPALPVLDQLIQDEKCHGTFDFIFVDADKDNYLNYHKRTIELVKVGGLIGYDNTLWNGSVAAPPDAPLRKYVRFYLDYVLQFNKAIAADPRVEICQLPVGDGITLCRRIS >Manes.09G178100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36817350:36823543:1 gene:Manes.09G178100.v8.1 transcript:Manes.09G178100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSTRTEVRKKAYKTGVDADEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQHLLDASQNAAAIEKRLETIPVMVRGVWSDDPALQLEATTQFRKLLSIERSPPIDEVIKAGVVPRFVEFLGRHDLPQLQFEAAWALTNVASGTSEHTRVVIDHGAVPMFVQLLGSASDDVREQAVWALGNVAGDSPSCRDLVLGHGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPPTPFDQVKPALPILRQLIHLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCQRLVELLLHPSPTVLIPALRTVGNIVTGDDAQTQFVIDNRALPCLYQLLVQNHKKSIKKEACWTISNITAGNRAQIQAVIEANIILPLVQLLQHAEFDIKKEAAWAISNATSGGSHEQIQFLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKEMGKNGGVNLYAQMIDECDGLDKIENLQTHDNNEIYEKAVKILERYWAEEEEEQNVQDGVDGNQQAFDFGTNQPNAPPGGFKFG >Manes.08G040766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3989000:3989559:-1 gene:Manes.08G040766.v8.1 transcript:Manes.08G040766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSFKHFLVLLLVFSGELIVYQTEGKLCNDTLKPLPGSGGKCVIYGCLILCDTFHKGSLAECVNVSAGSRCVCTWQC >Manes.05G084800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7001206:7001705:-1 gene:Manes.05G084800.v8.1 transcript:Manes.05G084800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISASTPASLTTRATLVHKRSAGVSPLPVLGLPAMALKGKVRCSMKEKPSVQENWCKKGMSASLFAAVCAATMSSPALALVDDRMSTEGTGLPFGLSNNLLGWILLGVFGLIWGFYIVYTSTLDEDDESGLSL >Manes.14G033000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2958675:2963719:1 gene:Manes.14G033000.v8.1 transcript:Manes.14G033000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDPPKGVPGLVALPRSLSSIAVSIGGLAIFLILASLLLVSYPIGSTVHEYFYGVDNYNKLDLPIYSGSESNAVRILDRNVDAIDRNSPSGLSLEVPISSSGVNDSVDDIDKNSWPSKSHLQTTSANARQEVGVKEKGSSVASGAKRDVAFNNSGNADKGSDESSPAASAPDSKSEAKPVLSDVSSNATPISSDDSGCDLYRGTWFFDSRGPSYANNTCPVLTQMQNCQGNGRPDKEYENWRWRPSQCELPRFDAKKFLELMRGKTLAFIGDSVARNQMESMLCLLWQVEVPKNRGNRRMQRYYFRSTSTMIVRIWSSWLVHKTPEPFDFAPEGVVKVHLDAPDEDFMEFISSFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDKSRSMKINNIEAFGISTETILTSMVTHPNYTGLTILRSYSPDHYEDGAWNTGGSCSGKVKPLAPGELVENGFTDTMYKKQVTAFGHAIKKATNKSRLRLMDITKPFSYRHDGHPGPYRSPDPNKITKRGPNGRPPPQDCLHWCMPGPVDTWNEFVLEIIRREFEGNQRS >Manes.16G064101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25039375:25040899:1 gene:Manes.16G064101.v8.1 transcript:Manes.16G064101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTLRWRIPLNRSCSIWAFIPLELSPSLTPIYFAMAISLIFKPSSVLLIYKDHPSCWVHQRYKVLNGTSLHNLGFVFMKY >Manes.15G094500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7361186:7363429:1 gene:Manes.15G094500.v8.1 transcript:Manes.15G094500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKGKDIAEGSSRSGGGGGGGGANATDQQNPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCHANHVLDFLRYLDQFGKTKVHLQGCVYFGQPEPPSPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRLYLREVRDSQAKARGIPYKKKKKKRNPMKASDDHQSSSFPMQ >Manes.04G050100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8272424:8283536:-1 gene:Manes.04G050100.v8.1 transcript:Manes.04G050100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDIKREVNKKVLKVPGIEQKILDATSNEPWGPHGTLLAEIAQATRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIIEVRQKAAANRDKFRSTSAGGMYRPSSYSSAGGYGDRNDNDRYEGRYGSRDEDRNGYGSGKDGEYNYRDDDRYGRYGDSYSRDGDRNGRDYEERYSRDGYRDDDYRGRSQSVDDYGSRSRSSDRDRGYDDDSQSSSRGSGARVDGQPHDGSIARQHEQKFSEQNIAPPSYQEALSESRSPGYNERNGETSAASAPGVSSPAAPRASSPPAAKAASPSASNNPTQATTILTATVTPAGQEVEVADEFDPRSPVSAGPIHTAAPAVATTSNNAEIDLLGSLSDSFTANPLAIMPVASATTTSEADAQPNFSESMFVATQPASSVMNQPFEDPFGDNPFKAIPSANDPMSAQQASVSAATFMPTVTQNAEMPPTVPQGTLNNFDFGDTSSGMTYSAVTVQPASTNSQFMPQELPTSHQETDILADILPPSGPSPAGPTQPGFSAVVVQPGEPSANIYGSYNSQAGSVALVAPNVGPHPAQFNSGNFLPPGGSTAPFPSNMAPQTPAGPGTQFNNGNLLSQQSSVGPGVSSGSHHPSDGPTPQYNNGNFLPAAQVAHHTSSGPTPQFNNANFLPHQGSASLAVSQAANHAAGPTPRFNDGNFLQHQGSAAPVGSQVAQQTTGPTPQFNNGNLMQQGFAAPVVSQVGYQAPSGSAAQHNSDLFSLGSNAVMASQPALPSSTGLLAQSSKDKFETKSTVWADTLNRGLVNLNISGSKINPLADIGIDFDAINRKEKRMEKPTTAAVTSTVTMGKAMGSGSGMGRAGAGALRASVNPMMNPGMGMASGMNMGMGGAPGMGVYGGVGQPMGMGMGVGVNMNMNRPMGMGMGQGGHMQPPGSAMPGGYNPMMGAGGYTQQPYGGGFR >Manes.04G050100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8272424:8283536:-1 gene:Manes.04G050100.v8.1 transcript:Manes.04G050100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDIKREVNKKVLKVPGIEQKILDATSNEPWGPHGTLLAEIAQATRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIIEVRQKAAANRDKFRSTSAGGMYRPSSYSSAGGYGDRNDNDRYEGRYGSRDEDRNGYGSGKDGEYNYRDDDRYGRYGDSYSRDGDRNGRDYEERYSRDGYRDDDYRGRSQSVDDYGSRSRSSDRDRGYDDDSQSSSRGSGARVDGQPHDGRQHEQKFSEQNIAPPSYQEALSESRSPGYNERNGETSAASAPGVSSPAAPRASSPPAAKAASPSASNNPTQATTILTATVTPAGQEVEVADEFDPRSPVSDSFTANPLAIMPVASATTTSEADAQPNFSESMFVATQPASSVMNQPFEDPFGDNPFKAIPSANDPMSAQQASVSAATFMPTVTQNAEMPPTVPQGTLNNFDFGDTSSGMTYSAVTVQPASTNSQFMPQELPTSHQETDILADILPPSGPSPAGPTQPGFSAVVVQPGEPSANIYGSYNSQAGSVALVAPNVGPHPAQFNSGNFLPPGGSTAPFPSNMAPQTPAGPGTQFNNGNLLSQQSSVGPGVSSGSHHPSDGPTPQYNNGNFLPAAQVAHHTSSGPTPQFNNANFLPHQGSASLAVSQAANHAAGPTPRFNDGNFLQHQGSAAPVGSQVAQQTTGPTPQFNNGNLMQQGFAAPVVSQVGYQAPSGSAAQHNSDLFSLGSNAVMASQPALPSSTGLLAQSSKDKFETKSTVWADTLNRGLVNLNISGSKINPLADIGIDFDAINRKEKRMEKPTTAAVTSTVTMGKAMGSGSGMGRAGAGALRASVNPMMNPGMGMASGMNMGMGGAPGMGVYGGVGQPMGMGMGVGVNMNMNRPMGMGMGQGGHMQPPGSAMPGGYNPMMGAGGYTQQPYGGGFR >Manes.04G050100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8272424:8283552:-1 gene:Manes.04G050100.v8.1 transcript:Manes.04G050100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDIKREVNKKVLKVPGIEQKILDATSNEPWGPHGTLLAEIAQATRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIIEVRQKAAANRDKFRSTSAGGMYRPSSYSSAGGYGDRNDNDRYEGRYGSRDEDRNGYGSGKDGEYNYRDDDRYGRYGDSYSRDGDRNGRDYEERYSRDGYRDDDYRGRSQSVDDYGSRSRSSDRDRGYDDDSQSSSRGSGARVDGQPHDGRQHEQKFSEQNIAPPSYQEALSESRSPGYNERNGETSAASAPGVSSPAAPRASSPPAAKAASPSASNNPTQATTILTATVTPAGQEVEVADEFDPRSPVSAGPIHTAAPAVATTSNNAEIDLLGSLSDSFTANPLAIMPVASATTTSEADAQPNFSESMFVATQPASSVMNQPFEDPFGDNPFKAIPSANDPMSAQQASVSAATFMPTVTQNAEMPPTVPQGTLNNFDFGDTSSGMTYSAVTVQPASTNSQFMPQELPTSHQETDILADILPPSGPSPAGPTQPGFSAVVVQPGEPSANIYGSYNSQAGSVALVAPNVGPHPAQFNSGNFLPPGGSTAPFPSNMAPQTPAGPGTQFNNGNLLSQQSSVGPGVSSGSHHPSDGPTPQYNNGNFLPAAQVAHHTSSGPTPQFNNANFLPHQGSASLAVSQAANHAAGPTPRFNDGNFLQHQGSAAPVGSQVAQQTTGPTPQFNNGNLMQQGFAAPVVSQVGYQAPSGSAAQHNSDLFSLGSNAVMASQPALPSSTGLLAQSSKDKFETKSTVWADTLNRGLVNLNISGSKINPLADIGIDFDAINRKEKRMEKPTTAAVTSTVTMGKAMGSGSGMGRAGAGALRASVNPMMNPGMGMASGMNMGMGGAPGMGVYGGVGQPMGMGMGVGVNMNMNRPMGMGMGQGGHMQPPGSAMPGGYNPMMGAGGYTQQPYGGGFR >Manes.04G050100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8272424:8283536:-1 gene:Manes.04G050100.v8.1 transcript:Manes.04G050100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDIKREVNKKVLKVPGIEQKILDATSNEPWGPHGTLLAEIAQATRNYHEYQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIIEVRQKAAANRDKFRSTSAGGMYRPSSYSSAGGYGDRNDNDRYEGRYGSRDEDRNGYGSGKDGEYNYRDDDRYGRYGDSYSRDGDRNGRDYEERYSRDGYRDDDYRGRSQSVDDYGSRSRSSDRDRGYDDDSQSSSRGSGARVDGQPHDGSIARQHEQKFSEQNIAPPSYQEALSESRSPGYNERNGETSAASAPGVSSPAAPRASSPPAAKAASPSASNNPTQATTILTATVTPAGQEVEVADEFDPRSPVSDSFTANPLAIMPVASATTTSEADAQPNFSESMFVATQPASSVMNQPFEDPFGDNPFKAIPSANDPMSAQQASVSAATFMPTVTQNAEMPPTVPQGTLNNFDFGDTSSGMTYSAVTVQPASTNSQFMPQELPTSHQETDILADILPPSGPSPAGPTQPGFSAVVVQPGEPSANIYGSYNSQAGSVALVAPNVGPHPAQFNSGNFLPPGGSTAPFPSNMAPQTPAGPGTQFNNGNLLSQQSSVGPGVSSGSHHPSDGPTPQYNNGNFLPAAQVAHHTSSGPTPQFNNANFLPHQGSASLAVSQAANHAAGPTPRFNDGNFLQHQGSAAPVGSQVAQQTTGPTPQFNNGNLMQQGFAAPVVSQVGYQAPSGSAAQHNSDLFSLGSNAVMASQPALPSSTGLLAQSSKDKFETKSTVWADTLNRGLVNLNISGSKINPLADIGIDFDAINRKEKRMEKPTTAAVTSTVTMGKAMGSGSGMGRAGAGALRASVNPMMNPGMGMASGMNMGMGGAPGMGVYGGVGQPMGMGMGVGVNMNMNRPMGMGMGQGGHMQPPGSAMPGGYNPMMGAGGYTQQPYGGGFR >Manes.07G132600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33423191:33430294:-1 gene:Manes.07G132600.v8.1 transcript:Manes.07G132600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPKPIPENANNGEEELDEEDEEEIQPELPNTRTRESRARIEREKLENLFRRIQTERVPLRVHDVIIKGNAKTKDSLIEAETALLKDVSSMQELLEASKDVNFGLQALQVFDSVRITLDSGPPELPGTANVIIEVVETKSPLSGEVGAYTKGEARSSTVEGSVKYKNIFGYGDIWDASLAYGGDHMAEVSSGVYLPRFRGLAPMTARVFLLSQDWLKFSSFKERTLGFSLGLVSSRNHDLVYNLSWRTLSDPSQTASRSIRRKLGHGLLSSLKYTFKIDRRNSHLRPTHGYAFVSTTQIGGLAPDSRSIRFLRQEFDLRYALPLGVLHSALNLGISGGLIFPWGTGFLNMPSPLPERFFLGGNLSPICTLGGPMALYGFRTRGLGPTDTRRQLQSNPTDDNADPGRDYIGGDLAVTAFADFSFDFPSKWCQSKGIHGHMFASAGNIDKLTENAYQNFSLQKFVESFRTSVGVGVIIPTNLFRLELNYCYMLRKFDYDHGKSGFRVSFSTPS >Manes.07G039387.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4239422:4241185:1 gene:Manes.07G039387.v8.1 transcript:Manes.07G039387.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIEGIVVGILGIEGMLGIGGRVTFGTAGMVGKLGSGGKVGNDGCGRVGIEGIGGTAGFGKFGTEGKGGNCKRLRAAKLTSMLKSDKETKKARMKQLKETM >Manes.15G142500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11535830:11543571:-1 gene:Manes.15G142500.v8.1 transcript:Manes.15G142500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKENVSKRLASTRKENAEKEKQQKEAARALEQIEAKAKRSYQKDVATFKEASNVHALDIQEDGNEEWEHDNTSGYYYNKSTGLHYDPKSGFYYTDALGKWVTQEEAYASIQVSSSSKLKESSLKKPILMSTAGLAKETKSAAQNGRPPGPVVSASLNPMRSVKGATSAVAVNKRKRQDEKPKVISEEEKVALKAREAAKKRVDEREKSLLGLYKR >Manes.15G142500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11536140:11543571:-1 gene:Manes.15G142500.v8.1 transcript:Manes.15G142500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYWVSQGNKWCDFCKIYISNNPSSIRNHELGQRHKENVSKRLASTRKENAEKEKQQKEAARALEQIEAKAKRSYQKDVATFKEASNVHALDIQEDGNEEWEHDNTSGYYYNKSTGLHYDPKSGFYYTDALGKWVTQEEAYASIQVSSSSKLKESSLKKPILMSTAGLAKETKSAAQNGRPPGPVVSASLNPMRSVKGATSAVAVNKRKRQDEKPKVISEEEKVALKAREAAKKRVDEREKSLLGLYKR >Manes.02G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3142598:3144790:-1 gene:Manes.02G038300.v8.1 transcript:Manes.02G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYFKQEHDLENRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRRRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGYNIPL >Manes.02G038300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3142598:3144817:-1 gene:Manes.02G038300.v8.1 transcript:Manes.02G038300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVVFLGVMSKSYFKQEHDLENRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRRRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGYNIPL >Manes.02G038300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3142598:3144762:-1 gene:Manes.02G038300.v8.1 transcript:Manes.02G038300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRTDGRRLLGSEKNIQIGFLYLVPADLTVGQFVYVIRRRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGYNIPL >Manes.02G038300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3141446:3145561:-1 gene:Manes.02G038300.v8.1 transcript:Manes.02G038300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYFKQEHDLENRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRRRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGYNIPL >Manes.15G148300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12226496:12228726:-1 gene:Manes.15G148300.v8.1 transcript:Manes.15G148300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVSKKPAEATSAINLNNNIQYTTELSSYEAACRLDEDLQSFDNSLQVRTNHVINTLAVGVEVRALSFDSLKEVTECLLEMNQEVVKVILECKKDIWKNQELFELVEEYFENSLQTLDFCTALDKCLKRARDSQLFILVALQQFEEESEAGGNRYVRTLDGLKNFKASGDPFTEEFFQIFQSVYKQQMLMLEKLQLRKNKLDKKLKYIHAWRKVSGMIFVATFASVLICSVVAAAMAAPPVAAALAAATSIPLGSMGKWIDSLWRNYENALKGQKELISTMQVGTYVAIKDLDNIRVLINRLEIDIEALMVNAAFAIKEEAVKVAIKEIKKKLEVFMKHVEELGVQADTCSRDIRRARTVVLQRIIKHPNN >Manes.15G148300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12226609:12228697:-1 gene:Manes.15G148300.v8.1 transcript:Manes.15G148300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVSKKPAEATSAINLNNNIQYTTELSSYEAACRLDEDLQSFDNSLQVRTNHVINTLAVGVEVRALSFDSLKEVTECLLEMNQEVVKVILECKKDIWKNQELFELVEEYFENSLQTLDFCTALDKCLKRARDSQLFILVALQQFEEESEAGGNRYVRTLDGLKNFKASGDPFTEEFFQIFQSVYKQQMLMLEKLQLRKNKLDKKLKYIHAWRKVSGMIFVATFASVLICSVVAAAMAAPPVAAALAAATSIPLGSMGKWIDSLWRNYENALKGQKELISTMQVGTYVAIKDLDNIRVLINRLEIDIEALMVNAAFAIKEEAVKVAIKEIKKKLEVFMKHVEELGVQADTCSRDIRRARTVVLQRIIKHPNN >Manes.06G134100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26238251:26239131:-1 gene:Manes.06G134100.v8.1 transcript:Manes.06G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKSFFLWEVVLLSFMLFLASAMGLRQLQESYKPHATAEDATWGGNYNARPPAVQL >Manes.01G117100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31395608:31404437:-1 gene:Manes.01G117100.v8.1 transcript:Manes.01G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFGVRKGAWTPEEDNLLRKCVDKYGEGKWHQVPLKAGLNRCRKSCRLRWLNYLKPNIKKGEFEADEVDLIIRLHKLLGNRWALIAGRIPGRTANDVKNYWNTHLRKKEASARINAKKHSTPTMTKASIIKPRPFNLPKKVFWSSNGKTPVTLTTHVPVIDNNNTLCKPCMPSPPLDDHLNGWLESLFNDNEVKQKDTSCASGSGLRRDSQQWAFRRRNECSSCACGRHRGRRRGFQ >Manes.05G167466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28145072:28147171:1 gene:Manes.05G167466.v8.1 transcript:Manes.05G167466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSPYFNTTIAGIFVLLLFSCYLQRKWRSRAFKGKLAPQPQGAWPLTGHFALLTGTQDPHLTLSAVADTYGPVFTIRIGVRPLLVVSSSEVAKEIFTSNDSNVTFRPALVASKLLGYNYAFFPFTPGGPYWREMRKIAISELLSNSRLELLKHIRSQEVETSIKELYRAFVNKKTIEMKQWFSDLNLNVLLRMIIGKKYFGAGGVGDEKERRRLQEEIKVLFHYLGMLVLRDAVPFLGWMDVGGHEKAMKKNAKELDDFMVKWLQEHKQKRSNNLDDAEDEKDFMDVMLSILDGKSLEGYDADTIIKAVSLSLIASNETVTVGMTWALAALLNNKPLLKKAQQELDKIVGKERLVDEKDIDKLDYLQAIVKETLRLYPPAFIPGPRQFIEDCTIGGYHVPKNTWLMVNVWKIQRDPRVWPDPTEFKPERFLTTHKNVDVRSQNFELLPFGGGRRGCPGASHSLHMIHLTLATLLHAFEISTPTDAAIDMTPGFGLSNMKIAPLEVVVSPRLPPSCFEQ >Manes.09G107800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30392298:30397064:1 gene:Manes.09G107800.v8.1 transcript:Manes.09G107800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSNFSETENQSKDEEKEDQHSMFSVKSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGVLSGILLQVFYGLVGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQVEGVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATVYVFTLTLPSATAVYWAFGDELLNHSNAFSLLPKSGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPSFLRSWTTMYAINTFIVVWVLVVGFGFGGWASMTNFVKQVDTFGLFAKCYQCKPPLPPPTSNTTAAAHHH >Manes.09G107800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30392298:30396880:1 gene:Manes.09G107800.v8.1 transcript:Manes.09G107800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQKQAEEAIVSNFSETENQSKDEEKEDQHSMFSVKSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGVLSGILLQVFYGLVGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVVHGQVEGVTHTGPKKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATVYVFTLTLPSATAVYWAFGDELLNHSNAFSLLPKSGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPSFLRSWTTMYAINTFIVVWVLVVGFGFGGWASMTNFVKQVDTFGLFAKCYQCKPPLPPPTSNTTAAAHHH >Manes.05G184200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30265239:30270267:-1 gene:Manes.05G184200.v8.1 transcript:Manes.05G184200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMADHYLLGWILASVAGLFAFYYMVYLVVKREERNNRQDLLQAGSESVKTMSANSGECRSDDDGDFDVIIVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCMEEIDAQRVFGYALFKDGKHTQLAYPLEKFHSDVAGRSFHNGRFIQRMREKAASLPNVRLEQGTVTSLLEEEGTIKGVLYKTKTGEELTAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCQLPYANHGHVILADPSPILFYPISSTEVRCLVDVPGQKVPSVSSGEMANYLKTVVAPQVPPEIYNSFVAAVDKGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLRDLHDAPTLCRYLESFYTLRKPVASTINTLAGALYKVFCASPDEARKEMRQACFDYLSLGGVFSTGPVSLLSGLNPRPLSLVLHFFAVAIYAVGRLLLPFPSPRRMWIGARLISGASGIIFPIIKAEGARQMFFPATVPAYYRTPPRKCN >Manes.10G117600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278869:28281937:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278859:28282090:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278863:28280288:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28279086:28279618:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278809:28282293:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278811:28281203:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278809:28282293:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278859:28282090:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278926:28281937:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.10G117600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28278926:28281937:-1 gene:Manes.10G117600.v8.1 transcript:Manes.10G117600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSERSKPWNIYTTPDPTSSQTGAIDREAPWKSFGTSMNAIAFGFVATAILISMFLIMAIFEHLFRPNPSFSSPQGLINRSLQSGPVDKLGNPQTVATTSYAVDFSVLMPGQHCPTYIAQPAPLPCTREGIYWPSHENNNLMFP >Manes.04G112150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31640601:31648984:-1 gene:Manes.04G112150.v8.1 transcript:Manes.04G112150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIIIPDRTVSFEYMLFDGDPDDLRTVVATPTQMSPWIEAAELKLKHRIGRGTFGDVWLATHHQFADDFEEYHEVAVKVLHPLKEDLTQMFVDRFERIFLRSREMQGVGWLHGVSIMNGQICIAMKFYEGSVADRIAWLKGGKLQFSDILRYGIDLVKGIQELHSIGLLVLNLKPSNFLFNDHDRVVLGDFGIPYLLHGIPLMNSEMALRLGTPNYMAPEQWDPEARGPMSFETDSWGLGCSIVEMLTGIQPWFGKSIEEIYQSVVIKQEKPQIPSGLPFAVENVIKGCFEYDLRNRPVMEDILFAFQSLHNVVYSAGGWVGLESRALAEKSSFGGYTAWYLSKDHLQVGDTVRSRKPMNACKPQNVDVPNGTVVDVGSDADRNGFVLVKIAGIHNPLRVQESTLERVTSGFAAGDWVRLKEEHGRHSPVGIIHFVDREGGGVTVGFVGLETLWMGSSSELQMAKAYCVGQFVTLKANVAAPRFEWPRKRGGRWATGRISQILPNGCLVVGFPGRLVFGDESNSFLADPAEVEQVSFDTCPGVVQKYQHVEDFHWAVRPLAIALGVFTAMKLTISVGRRVSTKLRKRQTSGNGQDGSGGNNAAWLPPPFANILFKEAIPTATVRTICEENEYEQNEEKGGEDDQMDGVAEKVQCMTLLWPETNKRGEKLSLAKYASWRQEQKAQAARLQKQLKAKCEFEQLIEEQLNRFRANYKREMVPTRLKDVSQSLMPKWAPPHELAALAWLGEWRPSAILDLLHGLVHSPSISWASSLKGSNDTERLLSQVMNEIRIEESVIDEEMAEIQATCIFHLSFAPFNNHLSHTAKMTCVQAEFKKIERVITKAQQLRFKALELVVKKVLSQTDAAELLVAFVGIQDLIHQFATTQKLPKRPGTAPGRTLLHG >Manes.04G112150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31640601:31648986:-1 gene:Manes.04G112150.v8.1 transcript:Manes.04G112150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIIIPDRTVSFEYMLFDGDPDDLRTVVATPTQMSPWIEAAELKLKHRIGRGTFGDVWLATHHQFADDFEEYHEVAVKVLHPLKEDLTQMFVDRFERIFLRSREMQGVGWLHGVSIMNGQICIAMKFYEGSVADRIAWLKGGKLQFSDILRYGIDLVKGIQELHSIGLLVLNLKPSNFLFNDHDRVVLGDFGIPYLLHGIPLMNSEMALRLGTPNYMAPEQWDPEARGPMSFETDSWGLGCSIVEMLTGIQPWFGKSIEEIYQSVVIKQEKPQIPSGLPFAVENVIKGCFEYDLRNRPVMEDILFAFQSLHNVVYSAGGWVGLESRALAEKSSFGGYTAWYLSKDHLQVGDTVRSRKPMNACKPQNVDVPNGTVVDVGSDADRNGFVLVKIAGIHNPLRVQESTLERVTSGFAAGDWVRLKEEHGRHSPVGIIHFVDREGGGVTVGFVGLETLWMGSSSELQMAKAYCVGQFVTLKANVAAPRFEWPRKRGGRWATGRISQILPNGCLVVGFPGRLVFGDESNSFLADPAEVEQVSFDTCPGVVQKYQHVEDFHWAVRPLAIALGVFTAMKLTISVGRRVSTKLRKRQTSGNGQDGSGGNNAAWLPPPFANILFKEAIPTATVRTICEENEYEQNEEKGGEDDQMDGVAEKVQCMTLLWPETNKRGEKLSLAKYASWRQEQKAQAARLQKQLKAKCEFEQLIEEQLNRFRANYKREMVPTRLKDVSQSLMPKWAPPHELAALAWLGEWRPSAILDLLHGLVHSPSISWASSLKGSNDTERLLSQVMNEIRIEESVIDEEMAEIQATCIFHLSFAPFNNHLSHTAKMTCVQAEFKKIERVITKAQQLRFKALELVVKKVLSQTDAAELLVAFVGIQDLIHQFATTQKLPKRPGTAPGRTLLHG >Manes.17G049000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24644514:24645743:-1 gene:Manes.17G049000.v8.1 transcript:Manes.17G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLSFFFLLAFFFIQTHARDSQFFSKVSATTTPTTTINSKEAAAIPNKEENLNKQEQEPTFMPETQNAYGLYGQESTQTQFPTTNKLGNEPYTTATYSPYRTQTQFPTTTKLGNEPYTTATYSPYRTQTQTQETYDPNTNTNTNTNTNTNTGANYYNNKQYSNNAYEEEQQNLSETSLQESSYTTPMSDQNNNHHYYNGANGYKNEGKQGMSDTRFLQNGKYYYNVKGENNYYPNQYQDSRDNYDTKGYYNNNENTSEYGNSMESYQNQENFQDFQDERYVP >Manes.07G067700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17272056:17279747:1 gene:Manes.07G067700.v8.1 transcript:Manes.07G067700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKTPWRRKSRSFQLQLQGAIGTIQSPFLFLFTNYCHYSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVISMSKTIELVGISHDVYSLNILINCFCRSHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDVYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYGAIIDALCKDELVGEALELFSQMRNKRISPDVITYTSLIHGVCKLGQKNQALALMNEMVEQDILPNVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDHFKEALALLKEMVGWNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVKMSHKGLVPDVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCSQGNLDEALTLLKKMEESQLKPNLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCREGLIEEAYKIFRDMEKGGCLPNNWCYNIIIQGFLKHEDLGKASELINEMVDKGFSADATTTELVVHLLCNEDLILRLLKVRNEGSAN >Manes.16G072400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27434291:27441869:1 gene:Manes.16G072400.v8.1 transcript:Manes.16G072400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGNKYKLGRKIGSGSFGQIYLASHINNGEIVAVKIENNKTKHPMLLYEAKLYSILQGASGIPSIRWCGVDGDDNALVLDLLGPSLEDLFVYCGRKLSLKTVLMLADQMISRIEYLHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDLTTHRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSLPVEFVSYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTILKFRQTLAKKQPQPMGFQPVSGVMSGGDVAVDVDKHKGDVTECKGSNSAVHPDVHMELRSSSQNLNTDNPIDKHMVTNVPMPATSLALSSSIGRNVKKLEGANEAVNIGHAFGNKTGASRLMRVSSAK >Manes.16G072400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27434346:27441853:1 gene:Manes.16G072400.v8.1 transcript:Manes.16G072400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGNKYKLGRKIGSGSFGQIYLASHINNGEIVAVKIENNKTKHPMLLYEAKLYSILQGASGIPSIRWCGVDGDDNALVLDLLGPSLEDLFVYCGRKLSLKTVLMLADQMISRIEYLHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDLTTHRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSLPVEFVSYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDYIFDWTILKFRQTLAKKQPQPMGFQPVSGVMSGGDVAVDVDKHKGDVTECKGSNSAVHPDVHMELRSSSQNLNTDNPIDKHMVTNVPMPATSLALSSSIGRNVKKLEGANEAVNIGHAFGNKTGASRLMRVSSAK >Manes.15G027000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2140801:2148719:1 gene:Manes.15G027000.v8.1 transcript:Manes.15G027000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQDGIETAKLMWSRIPNSEDGQFEGVGLLNSSDANAVESLDYEVIENYAYREEQAQRGKLYVGYYVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFSLTFSIIQKSYFAGFVVYVLINLVLVYSSVYIITQFAPAAAGSGIPEIKGYLNGVDIHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFVIWDISDGQEDYSFGELLPMAVIGVIGGLLGALFNQLTLYITRWRRNSLHKKSIQVKVIEACLISVITSAISFGLPLLRKCSPCPEADADSGIECPRPPGMYGNYVNFYCDKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGMAVPAGQFVPGIMIGSTYGRLIGMFVVKFYNKPNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEVQAQLRGIPLLDTRPKYQMRKMTAREACGSQKVVSFPRVVKVADVVSILRSNKHNGFPVIDHARNGETLVIGLVLRSHLLVLLQSKGDFQHSPLPCDPRAGSRSIRHSFSEFVKPVSSKGISIEDIHLSSDDWEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRELGLRHIFVVPRASRVIGLITRKDLFIEDNEDSTSTELQSTSVRAPHLDRRMFTRNMDMERPLLNGLLVQNHVPG >Manes.15G027000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2140667:2148719:1 gene:Manes.15G027000.v8.1 transcript:Manes.15G027000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQDGIETAKLMWSRIPNSEDGQFEGVGLLNSSDANAVESLDYEVIENYAYREEQAQRGKLYVGYYVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFSLTFSIIQKSYFAGFVVYVLINLVLVYSSVYIITQFAPAAAGSGIPEIKGYLNGVDIHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFVIWDISDGQEDYSFGELLPMAVIGVIGGLLGALFNQLTLYITRWRRNSLHKKSIQVKVIEACLISVITSAISFGLPLLRKCSPCPEADADSGIECPRPPGMYGNYVNFYCDKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGMAVPAGQFVPGIMIGSTYGRLIGMFVVKFYNKPNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEVQAQLRGIPLLDTRPKYQMRKMTAREACGSQKVVSFPRVVKVADVVSILRSNKHNGFPVIDHARNGETLVIGLVLRSHLLVLLQSKGDFQHSPLPCDPRAGSRSIRHSFSEFVKPVSSKGISIEDIHLSSDDWEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRELGLRHIFVVPRASRVIGLITRKDLFIEDNEDSTSTELQSTSVRAPHLDRRMFTRNMDMERPLLNGLLVQNHVPG >Manes.15G027000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2140667:2148719:1 gene:Manes.15G027000.v8.1 transcript:Manes.15G027000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQDGIETAKLMWSRIPNSEDGQFEGVGLLNSSDANAVESLDYEVIENYAYREEQAQRGKLYVGYYVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFSLTFSIIQKSYFAGFVVYVLINLVLVYSSVYIITQFAPAAAGSGIPEIKGYLNGVDIHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFVIWDISDGQEDYSFGELLPMAVIGVIGGLLGALFNQLTLYITRWRRNSLHKKSIQVKVIEACLISVITSAISFGLPLLRKCSPCPEADADSGIECPRPPGMYGNYVNFYCDKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGMAVPAGQFVPGIMIGSTYGRLIGMFVVKFYNKPNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEVQAQLRGIPLLDTRPKYQMRKMTAREACGSQKVVSFPRVVKVADVVSILRSNKHNGFPVIDHARNGETLVIGLVLRSHLLVLLQSKGDFQHSPLPCDPRAGSRSIRHSFSEFVKPVSSKGISIEDIHLSSDDWEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRELGLRHIFVVPRASRVIGLITRKDLFIEDNEDSTSTELQSTSSSSFR >Manes.15G027000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2140603:2148719:1 gene:Manes.15G027000.v8.1 transcript:Manes.15G027000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQDGIETAKLMWSRIPNSEDGQFEGVGLLNSSDANAVESLDYEVIENYAYREEQAQRGKLYVGYYVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFSLTFSIIQKSYFAGFVVYVLINLVLVYSSVYIITQFAPAAAGSGIPEIKGYLNGVDIHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFVIWDISDGQEDYSFGELLPMAVIGVIGGLLGALFNQLTLYITRWRRNSLHKKSIQVKVIEACLISVITSAISFGLPLLRKCSPCPEADADSGIECPRPPGMYGNYVNFYCDKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGMAVPAGQFVPGIMIGSTYGRLIGMFVVKFYNKPNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEVQAQLRGIPLLDTRPKYQMRKMTAREACGSQKVVSFPRVVKVADVVSILRSNKHNGFPVIDHARNGETLVIGLVLRSHLLVLLQSKGDFQHSPLPCDPRAGSRSIRHSFSEFVKPVSSKGISIEDIHLSSDDWEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRELGLRHIFVVPRASRVIGLITRKDLFIEDNEDSTSTELQSTSSSSFR >Manes.15G027000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2140801:2148719:1 gene:Manes.15G027000.v8.1 transcript:Manes.15G027000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQDGIETAKLMWSRIPNSEDGQFEGVGLLNSSDANAVESLDYEVIENYAYREEQAQRGKLYVGYYVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFSLTFSIIQKSYFAGFVVYVLINLVLVYSSVYIITQFAPAAAGSGIPEIKGYLNGVDIHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFVIWDISDGQEDYSFGELLPMAVIGVIGGLLGALFNQLTLYITRWRRNSLHKKSIQVKVIEACLISVITSAISFGLPLLRKCSPCPEADADSGIECPRPPGMYGNYVNFYCDKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGMAVPAGQFVPGIMIGSTYGRLIGMFVVKFYNKPNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEVQAQLRGIPLLDTRPKYQMRKMTAREACGSQKVVSFPRVVKVADVVSILRSNKHNGFPVIDHARNGETLVIGLVLRSHLLVLLQSKGDFQHSPLPCDPRAGSRSIRHSFSEFVKPVSSKGISIEDIHLSSDDWEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRELGLRHIFVVPRASRVIGLITRKDLFIEDNEDSTSTELQSTSSSSFR >Manes.15G027000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2140603:2148719:1 gene:Manes.15G027000.v8.1 transcript:Manes.15G027000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHLQDGIETAKLMWSRIPNSEDGQFEGVGLLNSSDANAVESLDYEVIENYAYREEQAQRGKLYVGYYVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFSLTFSIIQKSYFAGFVVYVLINLVLVYSSVYIITQFAPAAAGSGIPEIKGYLNGVDIHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWKSQLMWRVFFTSAIVAVVVRTAMGWCKSGKCGHFGSGGFVIWDISDGQEDYSFGELLPMAVIGVIGGLLGALFNQLTLYITRWRRNSLHKKSIQVKVIEACLISVITSAISFGLPLLRKCSPCPEADADSGIECPRPPGMYGNYVNFYCDKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGMAVPAGQFVPGIMIGSTYGRLIGMFVVKFYNKPNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEVQAQLRGIPLLDTRPKYQMRKMTAREACGSQKVVSFPRVVKVADVVSILRSNKHNGFPVIDHARNGETLVIGLVLRSHLLVLLQSKGDFQHSPLPCDPRAGSRSIRHSFSEFVKPVSSKGISIEDIHLSSDDWEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRELGLRHIFVVPRASRVIGLITRKDLFIEDNEDSTSTELQSTSVRAPHLDRRMFTRNMDMERPLLNGLLVQNHVPG >Manes.04G095200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30090351:30096323:1 gene:Manes.04G095200.v8.1 transcript:Manes.04G095200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLDRQQSTGSTQWKVSGEIQEKVANDVEKFGTSFCDDATATDDDSDSDAWPDTDDYDSEASPRSPKSCKKSKWFKKFLKGLEYMSTEEINDPARQWHCPACQGAAGAIKRYLSLKTLVKHAKTKGSRRVRLHRELAQLLEEKLLGSQVSSAALGGEACGNWKGLKEEKKDQEIVWPPMVVITNTIHKKDENNKWAGMTTQQLLDLFNSYDTIVKAQHFYNSNGHCGMSVLIFESSARGYLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGERQLYGYMAVKEDVDTFNQYSLKGKPKLKYEMRSYKEMVVNGIRQMSQDNLQLPWLQNRIAEQQSHAKDLEEYNGMLKEKLNKATKDMEILRLKAKQQHEQDLEEMQFLERFYKDQINSILEARKGKDGDFEYTQQKEMQENAEQSSANPSNRNDYINNPKKEKRRMTESSEQIIQENLNVAPIACEGTIFKTVMGRRPRTEPTPRGEKGLGYPEKGRAERQESFRRKATIADPGETIASEATYGHMVHICLIMISGNWFTSHPSKKGDHSSYS >Manes.04G095200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30088182:30096323:1 gene:Manes.04G095200.v8.1 transcript:Manes.04G095200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEPSAEPWLHAEERVESSLGFCEICREKKEKQQLIKNRNCGHPFCLDCISKCAEENIKLGLKIIACPGLNCEYVLQLESFKHLISKDVLSLWEKAPSLELVPNSTYRGNEETKSAYSYYKDTPPIFDDTTPMEPGLDRQQSTGSTQWKVSGEIQEKVANDVEKFGTSFCDDATATDDDSDSDAWPDTDDYDSEASPRSPKSCKKSKWFKKFLKGLEYMSTEEINDPARQWHCPACQGAAGAIKRYLSLKTLVKHAKTKGSRRVRLHRELAQLLEEKLLGSQVSSAALGGEACGNWKGLKEEKKDQEIVWPPMVVITNTIHKKDENNKWAGMTTQQLLDLFNSYDTIVKAQHFYNSNGHCGMSVLIFESSARGYLEADWLHRHFAEEEAGRNAWNNRPVYFLPSGERQLYGYMAVKEDVDTFNQYSLKGKPKLKYEMRSYKEMVVNGIRQMSQDNLQLPWLQNRIAEQQSHAKDLEEYNGMLKEKLNKATKDMEILRLKAKQQHEQDLEEMQFLERFYKDQINSILEARKGKDGDFEYTQQKEMQENAEQSSANPSNRNDYINNPKKEKRRMTESSEQIIQENLNVAPIACEGTIFKTVMGRRPRTEPTPRGEKGLGYPEKGRAERQESFRRKATIADPGETIASEATYGHMVHICLIMISGNWFTSHPSKKGDHSSYS >Manes.02G085700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6750911:6752244:1 gene:Manes.02G085700.v8.1 transcript:Manes.02G085700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGVVAKRLDALVGRPGIMLLFPLYASLRAIESPSTLDDQQWLTYWIIYSFITLFELSCWRILIWLPFWPYVKLLFCMWLVLPVFNGAAYIYENLVRKYVKIGGRVNGNYSEDQRKVLQMMSLDARKSVVQYVDKYGWDAFERAVKAAEREVKKH >Manes.02G085700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6750911:6752244:1 gene:Manes.02G085700.v8.1 transcript:Manes.02G085700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGVVAKRLDALVGPGIMLLFPLYASLRAIESPSTLDDQQWLTYWIIYSFITLFELSCWRILIWLPFWPYVKLLFCMWLVLPVFNGAAYIYENLVRKYVKIGGRVNGNYSEDQRKVLQMMSLDARKSVVQYVDKYGWDAFERAVKAAEREVKKH >Manes.01G065100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26466271:26470007:1 gene:Manes.01G065100.v8.1 transcript:Manes.01G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKILVTGGAGFIGSHTVVQLLKEGFRVSIIDNLDNSVIEAVDRVRELVGPQLSQKLEFNKGDIRNKDDLEKLFSGTKFDAVIHFAGLKAVAESVEYPRRYFDNNLIGTINLYKVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEAISRDIQKAEPEWRIILLRYFNPVGAHESGKLGEDPKGIPNNLMPYIQQVAVGRLPELKVYGHDYPTKDGSAIRDYIHVMDLADGHIAALRKLFTREEIGCVAYNLGTGRGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTDKAAKELNWKAKYGIEEMCRDQWKWASNNPWGYKSKP >Manes.02G018450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1684014:1684368:1 gene:Manes.02G018450.v8.1 transcript:Manes.02G018450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKVSDTPAAPFRKNVRGTRPLPRRGQIKSKIAANAFHSIVSVLSRASAHRHHSHRKPYLRER >Manes.03G185400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30610596:30615218:1 gene:Manes.03G185400.v8.1 transcript:Manes.03G185400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFIMPSPSSSKPLKFSSSSSSNPNPNSAASSSSLLCRHSPSATLDILILILVLFSGIFLITSYFSYIFRSFSIILSHYSLHLSVHVPPVPYICGFLALFLLSILFVEFCCGPRSRKCEKSGCKGLKKAMEFDLQLQTEDCVKSSGAKEIDKLPWKGGTEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIAKLEGWGLKKGRRHKKALANVSVNGGGDHR >Manes.03G185400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30610596:30615218:1 gene:Manes.03G185400.v8.1 transcript:Manes.03G185400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLFIMPSPSSSKPLKFSSSSSSNPNPNSAASSSSLLCRHSPSATLDILILILVLFSGIFLITSYFSYIFRSFSIILSHYSLHLSVHVPPVPYICGFLALFLLSILFVEFCCGPRSRKCEKSGCKGLKKAMEFDLQLQTEDCVKSSGAKEIDKLPWKGGTEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIAKLEGWGLKKGRRHKKGAERGIHYSAFSWSGRRGLWLMCRLMEEEIIADCL >Manes.13G144200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35771854:35777277:1 gene:Manes.13G144200.v8.1 transcript:Manes.13G144200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGESSGGGVMAMTMIDETYEFSAPRFYDFSKGESEEDIRKAELWFDTALSYAPSPFMPRIKTGRSFKVDSLCDFSEADQMPKATESSDSASASSSSDIKSQEVMPPTETREEESTLHEANKENIANIVVNGSCQHDKEETICTEETKRCSSSIQNEPTGCKENSNTETCCTPKPPACSQKEGRLTNSKKHQSAKHIASLVRNPSSLKLKSQPQSSQLKGSRPESVRRDPTAKNAAGTPNLAQENQAIKRQKLEGGRSRQILNVKPPQPLHHKSKLGVRSGNSISSVANKMQKEDRKVYIREPSAPRTFVSMAEMMKKFQSSTRDLSLPYIKSSLSHRKPQLSLTRPKEPELETAQRVRSVKIKSTAELEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPQPPEFQEFHLETTARATQNPESASVASTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAEKDRKFILDLMQKQLEEERARIPKANPYPYTTDYPVVPPKPEPKLCTKPEPFQLESLVRHEEEMQREMEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPLTQVEQFSLRTDNRAVDRAEFDQKVKEKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVFRRKERQRMMINNAVSSPASCMR >Manes.13G144200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35771854:35777277:1 gene:Manes.13G144200.v8.1 transcript:Manes.13G144200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGESSGGGVMAMTMIDETYEFSAPRFYDFSKGESEEDIRKAELWFDTALSYAPSPFMPRIKTGRSFKVDSLCDFSEADQMPKATESSDSASASSSSDIKSQEVMPPTETREEESTLHEANKENIANIVVNGSCQHDKEETICTEETKRCSSSIQNEPTGCKENSNTETCCTPKPPACSQKEGRLTNSKKHQSAKHIASLVRNPSSLKLKSQPQSSQLKGSRPESVRRDPTAKNAAGTPNLAQENQAIKRQKLEGGRSRQILNVKPPQPLHHKSKLGVRSGNSISSVANKMQKEDRKVYIREPSAPRTFVSMAEMMKKFQSSTRDLSLPYIKSSLSHRKPQLSLTRPKEPELETAQRVRSVKIKSTAELEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPQPPEFQEFHLETTARATQNPESASVASTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKIFESKGELGIFCNAKKQVTIPQEFHFATNERIPPPAVVADMFDKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAEKDRKFILDLMQKQLEEERARIPKANPYPYTTDYPVVPPKPEPKLCTKPEPFQLESLVRHEEEMQREMEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPLTQVEQFSLRTDNRAVDRAEFDQKVKEKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVFRRKERQRMMINNAVSSPASCMR >Manes.13G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35771854:35777277:1 gene:Manes.13G144200.v8.1 transcript:Manes.13G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGESSGGGVMAMTMIDETYEFSAPRFYDFSKGESEEDIRKAELWFDTALSYAPSPFMPRIKTGRSFKVDSLCDFSEADQMPKATESSDSASASSSSDIKSQEVMPPTETREEESTLHEANKENIANIVVNGSCQHDKEETICTEETKRCSSSIQNEPTGCKENSNTETCCTPKPPACSQKEGRLTNSKKHQSAKHIASLVRNPSSLKLKSQPQSSQLKGSRPESVRRDPTAKNAAGTPNLAQENQAIKRQKLEGGRSRQILNVKPPQPLHHKSKLGVRSGNSISSVANKMQKEDRKVYIREPSAPRTFVSMAEMMKKFQSSTRDLSLPYIKSSLSHGDAASLIQRKPQLSLTRPKEPELETAQRVRSVKIKSTAELEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPQPPEFQEFHLETTARATQNPESASVASTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKIFESKGELGIFCNAKKQVTIPQEFHFATNERIPPPAVVADMFDKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAEKDRKFILDLMQKQLEEERARIPKANPYPYTTDYPVVPPKPEPKLCTKPEPFQLESLVRHEEEMQREMEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPLTQVEQFSLRTDNRAVDRAEFDQKVKEKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVFRRKERQRMMINNAVSSPASCMR >Manes.13G144200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35772707:35777277:1 gene:Manes.13G144200.v8.1 transcript:Manes.13G144200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKTGRSFKVDSLCDFSEADQMPKATESSDSASASSSSDIKSQEVMPPTETREEESTLHEANKENIANIVVNGSCQHDKEETICTEETKRCSSSIQNEPTGCKENSNTETCCTPKPPACSQKEGRLTNSKKHQSAKHIASLVRNPSSLKLKSQPQSSQLKGSRPESVRRDPTAKNAAGTPNLAQENQAIKRQKLEGGRSRQILNVKPPQPLHHKSKLGVRSGNSISSVANKMQKEDRKVYIREPSAPRTFVSMAEMMKKFQSSTRDLSLPYIKSSLSHRKPQLSLTRPKEPELETAQRVRSVKIKSTAELEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPQPPEFQEFHLETTARATQNPESASVASTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKIFESKGELGIFCNAKKQVTIPQEFHFATNERIPPPAVVADMFDKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAEKDRKFILDLMQKQLEEERARIPKANPYPYTTDYPVVPPKPEPKLCTKPEPFQLESLVRHEEEMQREMEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPLTQVEQFSLRTDNRAVDRAEFDQKVKEKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVFRRKERQRMMINNAVSSPASCMR >Manes.13G144200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35772707:35777277:1 gene:Manes.13G144200.v8.1 transcript:Manes.13G144200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIKTGRSFKVDSLCDFSEADQMPKATESSDSASASSSSDIKSQEVMPPTETREEESTLHEANKENIANIVVNGSCQHDKEETICTEETKRCSSSIQNEPTGCKENSNTETCCTPKPPACSQKEGRLTNSKKHQSAKHIASLVRNPSSLKLKSQPQSSQLKGSRPESVRRDPTAKNAAGTPNLAQENQAIKRQKLEGGRSRQILNVKPPQPLHHKSKLGVRSGNSISSVANKMQKEDRKVYIREPSAPRTFVSMAEMMKKFQSSTRDLSLPYIKSSLSHGDAASLIQRKPQLSLTRPKEPELETAQRVRSVKIKSTAELEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPQPPEFQEFHLETTARATQNPESASVASTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKIFESKGELGIFCNAKKQVTIPQEFHFATNERIPPPAVVADMFDKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAEKDRKFILDLMQKQLEEERARIPKANPYPYTTDYPVVPPKPEPKLCTKPEPFQLESLVRHEEEMQREMEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPLTQVEQFSLRTDNRAVDRAEFDQKVKEKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVFRRKERQRMMINNAVSSPASCMR >Manes.13G144200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35771854:35777277:1 gene:Manes.13G144200.v8.1 transcript:Manes.13G144200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGESSGGGVMAMTMIDETYEFSAPRFYDFSKGESEEDIRKAELWFDTALSYAPSPFMPRIKTGRSFKVDSLCDFSEADQMPKATESSDSASASSSSDIKSQEVMPPTETREEESTLHEANKENIANIVVNGSCQHDKEETICTEETKRCSSSIQNEPTGCKENSNTETCCTPKPPACSQKEGRLTNSKKHQSAKHIASLVRNPSSLKLKSQPQSSQLKGSRPESVRRDPTAKNAAGTPNLAQENQAIKRQKLEGGRSRQILNVKPPQPLHHKSKLGVRSGNSISSVANKMQKEDRKVYIREPSAPRTFVSMAEMMKKFQSSTRDLSLPYIKSSLSHGDAASLIQRKPQLSLTRPKEPELETAQRVRSVKIKSTAELEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPQPPEFQEFHLETTARATQNPESASVASTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAEKDRKFILDLMQKQLEEERARIPKANPYPYTTDYPVVPPKPEPKLCTKPEPFQLESLVRHEEEMQREMEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPLTQVEQFSLRTDNRAVDRAEFDQKVKEKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVFRRKERQRMMINNAVSSPASCMR >Manes.14G015400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1053060:1059059:-1 gene:Manes.14G015400.v8.1 transcript:Manes.14G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYELSDSSGTDDDLPPSHRNRFQSGVRPAANGRSTAVGSASLPRAHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRKLLSRVNADDMIRRIREWRKTNGLQPGMPNTAQPAHDPTPSPTVSASRKKPKASQSVASLSVGAPSPALPSSQPSSSALRRGPPPGPRSKKSKPSMQYPSTGLTGRAQANIRSSSGAFATDEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRPGPGRGNKKSMARGGPLAGAGRGRGTMKGQSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGASHPDPIEIEKAKKVLKEHEQALVDAIAKLEDASDGESADGGHPFSHGQSMDQDRVWRKRPYDEMGGDGRVSDSKKMARGGRVGSVEHQDDGHEI >Manes.17G119457.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34456749:34460597:-1 gene:Manes.17G119457.v8.1 transcript:Manes.17G119457.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHIHHHHQHRPHRISVPPRDATFSPTSSTTTTTTSSSRPYPAYSYISTTPTPTPSKHRLSSLSLPSKSSSSAKSSLPFLFLLLFSLRSLYSLLPFLRSSPSFSLFPFSFLVSLLSFLLSLSFSLFSSPSPKTPFHFRNHSQPIFSLSSISQSQHKLLLSKSLLLAVVFLLRFQALRYCGTAAMILAELSGNVAARFIVEVKKHNVGATRYGNYTGNKFSGFLALFLGLYLLSISWDRIDCFPLSTSFIVRYGFSVFPRQNCFRISPMLLPFLSGFLGCYERVSVNWGTIRQLGPKRIRLVSLFYTTILLFIPAVVSFFLFEGDKAGVSVGNFGWPLANTVVFGVLLSENYSEDKLASPKDFHREFFVTFVCTIVLELFYFPELSLWGLLLCGLLLYFGVRKLDPSFSNYLELGLESSEPLSSSVMKPIRHILSERKSRKIALFLLINTGYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANSQFNYGRGRVEVLSGYVNAVFLVLVGALIVLESFERILDPQEISTNSLLTVSIGGLVVNVVGLIFFHEEHHHAHGGSCSHSHSHSHSHSHTHHHYQHSNHSEGHEKHDECISVSHDCHDKTLTISCAHGKHQRCDHQDSGSSNCCNDHNHTHDNDCNNHGHIDHDHHYDAHHDHDHHDHVHHDHDHHDHVHHDHDHDHSHDHEPLDHHHIHGNFPHNRTQSHHSDSKSNSSMRNSCSEQRKLISEKEESQKHHHHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLVADPACSIFISVLIVSSVIPLLRNSAEILLQRVPRAHEQDLRVAINDVMKIKGVHGIQNLHVWSFTNTDVVGTLHLHVSAETDKASMKAEVSRILYDAGIKDLTMQVECIK >Manes.11G002600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:353709:356613:-1 gene:Manes.11G002600.v8.1 transcript:Manes.11G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRPCSVLFAIMIAFSSVCLANPSSKLKWRKQNNEQSNNCDVYQGSWLYDDSYPLYDSNACPFIRKEFDCLKYGRPDQLYLHYRWQPTACDLPRFDGVNFLESVRGKKIMFIGDSVSFNQFESLLCLLHAAVPNSNIREDKNASIPTVIFENYQVSIMLFHSLLLVDVEQEDIGRVLKLDSLKNGNLWKDMDVLVFNTWLWWYRRGPKQPWDYVQDGGTVLKDMDRMDAFRKALITWAKWVDSDEVDTSKTRVIFQGISPSHYNGSEWNEPGVMNCSKETQPISGSIYPNGLPLALHVLEDVLSGIKKPVHLLNITTLSQLRKDAHPSSYNGFRGMDCTHWCIAGLPDTWNQLLYTALIN >Manes.11G002600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:339335:356613:-1 gene:Manes.11G002600.v8.1 transcript:Manes.11G002600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRPCSVLFAIMIAFSSVCLANPSSKLKWRKQNNEQSNNCDVYQGSWLYDDSYPLYDSNACPFIRKEFDCLKYGRPDQLYLHYRWQPTACDLPRFDGVNFLESVRGKKIMFIGDSVSFNQFESLLCLLHAAVPNSNIREDKNASIPTVIFENYQVSIMLFHSLLLVDVEQEDIGRVLKLDSLKNGNLWKDMDVLVFNTWLWWYRRGPKQPWDYVQDGGTVLKDMDRMDAFRKALITWAKWVDSDEVDTSKTRVIFQGISPSHYKWMGTNQNSQRHEKGV >Manes.11G002600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:353708:356613:-1 gene:Manes.11G002600.v8.1 transcript:Manes.11G002600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRPCSVLFAIMIAFSSVCLANPSSKLKWRKQNNEQSNNCDVYQGSWLYDDSYPLYDSNACPFIRKEFDCLKYGRPDQLYLHYRWQPTACDLPRFDGVNFLESVRGKKIMFIGDSNYQVSIMLFHSLLLVDVEQEDIGRVLKLDSLKNGNLWKDMDVLVFNTWLWWYRRGPKQPWDYVQDGGTVLKDMDRMDAFRKALITWAKWVDSDEVDTSKTRVIFQGISPSHYNGSEWNEPGVMNCSKETQPISGSIYPNGLPLALHVLEDVLSGIKKPVHLLNITTLSQLRKDAHPSSYNGFRGMDCTHWCIAGLPDTWNQLLYTALIN >Manes.11G122816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28386240:28390829:-1 gene:Manes.11G122816.v8.1 transcript:Manes.11G122816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIILSVLGKISNLLIQESDSLLGVEDQIQCIETQLRKKADISDKFTGKTAFIETVYDLEDVIDQLIIKSAQRRIRYACIRSVMAFVHLPMSLFYILALVDLLDCYRLREKLEKIKIRNSKGYGMIKRSGYWHKSFGIYEVGIGYSVISPVMGLFEALATQQELRPDVRRQARRLRDKFRSLHDILKYVEQSKELSEAGMAWMEELCDVCRSAENVVGFFMQHMKNGRGGPFQNLVWAPRHVISQHKLSRQMARINDKIRDLSGQRLEAIVVSWSDNSKSLCRKRKPHPLDADQLDIVSFHEDVDAVTAQLLKDDPRCITISIVGFRGVGKTSLAKLIYESQTIVDHFPRRIWVSNVCQLRQVVNDCLLDEKHFIVVDDKEAGIEFLRNMGRVFNDISNGTRLLFTVSNLREAPPVTETSLTYSLHLRSHDESWALFTHTLKVNICREMENLKGHIIRKCGGLPWVIVELSELLSQKDATLKEWSKVLDHLNQDQEPWLKILDEINKHLPLHLRRCLFYFGLFPAGFKIPARRLIALWVAEGLGRQQSNEQSPEYVAEACLIELMNYNMVQVTEKKLNGKVKTCCLPEALLVHWFSKAKEANFLQGHSDVSNTNIGVIRRLADHLQQSDAIFDDIHGYSNASLYSRYRDVVSFLSFDTREGSRPGEDIGNFLDRSISSNCFRFLWVLDLENVYKPKLPKAVGQLTCLRYFGLRSTYLVMLTVSINKLLNLQTLDLKRTCIETLPSSIWKMQKLRHLFLDESFHRALRPQEDSSLVDLQTLWGAFVDEASTVRNGLDASLNITKLGMKCKISVPSQNAAMSLQLDNVANWVVKLKQLQCLRLKSFDESGQPWDLQLQSLIEHVKLSNIYLVGKLKNQHLVSELPKSLIELTLSASGLVEDPMQALYKLPNLRIIRLLSKSFIGKKMLCSFGGFPKLEILKLWELELLEEWNVEEGAVPSLKDLEIRSCRNLKMLPHGLQHVGTLRELKLTKLPMVSSRIKDNLGGECDKIAHIRHVWMED >Manes.01G055300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:23214948:23216662:1 gene:Manes.01G055300.v8.1 transcript:Manes.01G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSNLLNNDDEFTQLGSSALSHHIVSLTSTTYGLLNLDPPPQSAATTPPTPPARFTLGSIFPSPLCEPKSLCPDPRPLRSDCPETIDSWELMSGLDNESFRFSPIIKKDRTASTEKENSNPNFTFNPTLESNILKPLKNSGFATSTPGSTPLKDNAHLLDRYEKLCPPNGENRVVIYTTTLRGIRKTFEACNFIRTAIEGFEVLICERDVSMDLGFREELRELMKGKEREATVPPRLFVKGRYVGGVEEVMKIVEEGKMGELLQGLPKKRAGDVCDGCGDVRFLPCFSCNGSSKIVMVVKEELGQKQGRTLVVRCPDCNENGLVLCPICA >Manes.12G117300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31855761:31857222:1 gene:Manes.12G117300.v8.1 transcript:Manes.12G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVPAAFFSSPDSMLGNPFPFSSNGSTPGDCSELFLATVDESKELNPNPVNNNFNSDDPNPSIISVVDERKRRRMISNRESARRSRIRKQKHLENLRNQVNQLRVENRALMNRLHSVLYHYQSVQRENDQLRSEYSVLRQNLSNIRQILMLRQLQQFTSAWPCNNINTTEQTISPLVTT >Manes.08G113700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35257568:35261954:-1 gene:Manes.08G113700.v8.1 transcript:Manes.08G113700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRVNYKRNFKSRVRSKDKDSDDSDEDYVVEAEENPTDGDSYNSGNCLDDYSSEESFDSFIEEEEEEEEFRNVVRSKNIKGSQPNGNIGGKTSQKRKRVSYEEEGDEDYVHEGDDDDEDDDEFTLDDDDFLDEDEELTAKKKRNNMRVGKRRTEKRGSRRGPKKQRKSRVSKKPSVQKGRKNRRLRKKERCKYDDEYDVDFIDDSAIIREKSSENSNVRRRRRYVMYSDSDFMPSGSSDYEFTISEDEREQVREASKLYGELKTSLRSSSSIKKIQQIGDLCEQGKSIVRKGKEKVKEVRAEVGKQVCGICLSEEDKRRLRGILNCCDHYFCFTCIMEWSKVESRCPLCKQRFKTITKDGRTAVGVDLRNMVVEVPKRDQVYQPSEEEIRNFIDPYENVICTECHEGGDDGLMLLCDLCDSPAHTYCVGLGRQVPEGNWYCDGCRPVALGSSSFQTQELLPDQRTTSNTFNRSSLVSNNGDDLDPTLDSSPCLAFAQVVGNLSSPRFSSGDVQAASPVSGAGAPTLSMRRHIHRRIQNILSISRMYNMGSRAGDVSAANLYSDPSMPQIDQCRENAIQSSRTQEMGSLQSASFDVRLHDHPSSSLQNGDLFAISSIQLRTQAVHDPTITTIDRSVNLTLWPELTGINSASCHDQSNQGNSRPGIVSEVNLVPHKAREESQFYVVKEQLQSMVKSHLGSLSQGIDLGHDTFKEITRSSTHTILAGCGLEHKRSEVQFMPLPSICTHVERVAAGQTSIMKGLCLSCFDSFVRDVVKRIMDTRLPQWLSLGL >Manes.08G113700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35256030:35261866:-1 gene:Manes.08G113700.v8.1 transcript:Manes.08G113700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRVNYKRNFKSRVRSKDKDSDDSDEDYVVEAEENPTDGDSYNSGNCLDDYSSEESFDSFIEEEEEEEEFRNVVRSKNIKGSQPNGNIGGKTSQKRKRVSYEEEGDEDYVHEGDDDDEDDDEFTLDDDDFLDEDEELTAKKKRNNMRVGKRRTEKRGSRRGPKKQRKSRVSKKPSVQKGRKNRRLRKKERCKYDDEYDVDFIDDSAIIREKSSENSNVRRRRRYVMYSDSDFMPSGSSDYEFTISEDEREQVREASKLYGELKTSLRSSSSIKKIQQIGDLCEQGKSIVRKGKEKVKEVRAEVGKQVCGICLSEEDKRRLRGILNCCDHYFCFTCIMEWSKVESRCPLCKQRFKTITKDGRTAVGVDLRNMVVEVPKRDQVYQPSEEEIRNFIDPYENVICTECHEGGDDGLMLLCDLCDSPAHTYCVGLGRQVPEGNWYCDGCRPVALGSSSFQTQELLPDQRTTSNTFNRSSLVSNNGDDLDPTLDSSPCLAFAQVVGNLSSPRFSSGDVQAASPVSGAGAPTLSMRRHIHRRIQNILSISRMYNMGSRAGDVSAANLYSDPSMPQIDQCRENAIQSSRTQEMGSLQSASFDVRLHDHPSSSLQNGDLFAISSIQLRTQAVHDPTITTIDRSVNLTLWPELTGINSASCHDQSNQGNSRPGIVSEVNLVPHKAREESQFYVVKEQLQSMVKSHLGSLSQGIDLGHDTFKEITRSSTHTILAGCGLEHKRSEVQFMPLPSICTHVERVAAGQTSIMKGLCLSCFDSFVRDVVKRIMDTRLPQWLSLGL >Manes.12G117800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31906053:31910142:1 gene:Manes.12G117800.v8.1 transcript:Manes.12G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNNDDHSNNNTHWLGFSLSPHMQMEVPSASNHHYQAQSATSSVPTAISASLFHSQSHSHLSYGNLFDVEGENGGCYSTLPVMPLKSDGSLCLMEALTRSQLKATMVTSSTPKLEDFFGGATMGTHHYETNDREAMALSLDSMYYHQDSEHQPNNQNCLNHLQQNPSRHQQHHQIQVQQYPYYDTNFKSYEMFVGEEPKETQQASDCNLQLPTVGDDGIKGMRNWVSRNYTSNHAMDQQKMISCMGENGGESGAISAMAYGDLQSLSLSMSPGSQSSCVTGSQQISHAGTDCAAMETKKRGPDKVDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVERIMASNTLLAGELARRNKDTGSGNEAINHNPSTQNGIGEAIPSLKNDESEPDWKMVLYQSSQQIDQKATNAMDNYKTQIFSLNPDNVIGIDSMSSVHQQEVEDSTKMGTHLSNASSLVTSLSSSREGSPDRTRLPMLFAMPPSATSKLLTNPASNMNSWIPTAQLRPAVSLPQLPVFASWTDA >Manes.12G117800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31906053:31910142:1 gene:Manes.12G117800.v8.1 transcript:Manes.12G117800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNNDDHSNNNTHWLGFSLSPHMQMEVPSASNHHYQAQSATSSVPTAISASLFHSQSHSHLSYGNLFDVEGENGGCYSTLPVMPLKSDGSLCLMEALTRSQLKGEEPKETQQASDCNLQLPTVGDDGIKGMRNWVSRNYTSNHAMDQQKMISCMGENGGESGAISAMAYGDLQSLSLSMSPGSQSSCVTGSQQISHAGTDCAAMETKKRGPDKVDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVERIMASNTLLAGELARRNKDTGSGNEAINHNPSTQNGIGEAIPSLKNDESEPDWKMVLYQSSQQIDQKATNAMDNYKTQIFSLNPDNVIGIDSMSSVHQQEVEDSTKMGTHLSNASSLVTSLSSSREGSPDRTRLPMLFAMPPSATSKLLTNPASNMNSWIPTAQLRPAVSLPQLPVFASWTDA >Manes.12G117800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31906053:31910142:1 gene:Manes.12G117800.v8.1 transcript:Manes.12G117800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSTPKLEDFFGGATMGTHHYETNDREAMALSLDSMYYHQDSEHQPNNQNCLNHLQQNPSRHQQHHQIQVQQYPYYDTNFKSYEMFVGEEPKETQQASDCNLQLPTVGDDGIKGMRNWVSRNYTSNHAMDQQKMISCMGENGGESGAISAMAYGDLQSLSLSMSPGSQSSCVTGSQQISHAGTDCAAMETKKRGPDKVDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVERIMASNTLLAGELARRNKDTGSGNEAINHNPSTQNGIGEAIPSLKNDESEPDWKMVLYQSSQQIDQKATNAMDNYKTQIFSLNPDNVIGIDSMSSVHQQEVEDSTKMGTHLSNASSLVTSLSSSREGSPDRTRLPMLFAMPPSATSKLLTNPASNMNSWIPTAQLRPAVSLPQLPVFASWTDA >Manes.10G017900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1826429:1830803:1 gene:Manes.10G017900.v8.1 transcript:Manes.10G017900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNKNKKINRMSYSSGMPDVVLGCVMPYIDDPRDRDAVSLVCRRWYELDALTRKHVTIALCYTTSPDRLRRRFNHLESLMLKGKPRAAMFNLIPEDWGGFVTPWVNEIAESFNCLKSLHFRRMIVTDSDLERLAKSRGRVLQVLKLEKCSGFSTDGLLHVGRLCRQLRTLFLEENSITEKDGDWLHEIALNNTVLETLNFYMTDLNKIRFEDLELIAKNCRNLVSVKISDCEILDLVGFFHAAAGLEEFCGGSFNDAPDKYSAVTFPRKLCRLGLSYMGKNEMLIVFPFASMLKKLDLLYALLDTEDHCLLIQKCCNLEVLETRNVIGDRGLEVLASSCKRLKRLRIERGADEQGMEDEEGVVSQRGLIALAQGCLELEYMAIYVSDITNAALEHIGTHLKRLNDFRLVLLDREERITDLPLDNGVRSLLRQRKLRRFALYLRPGGLTDVGLGYIGQYSINVRWMLLGYVGESDEGLLAFSNGCPSLQKLEMRGCCFTESALARAVMQLTSLRYLWVQGYRASSINGRDLLAMARPFWNIELIPPRKVVMVNQVGEDVVVEQPAHILAYYSLAGPRRDCPSTVVPLVVGPVAA >Manes.10G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1826429:1831005:1 gene:Manes.10G017900.v8.1 transcript:Manes.10G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNKNKKINRMSYSSGMPDVVLGCVMPYIDDPRDRDAVSLVCRRWYELDALTRKHVTIALCYTTSPDRLRRRFNHLESLMLKGKPRAAMFNLIPEDWGGFVTPWVNEIAESFNCLKSLHFRRMIVTDSDLERLAKSRGRVLQVLKLEKCSGFSTDGLLHVGRLCRQLRTLFLEENSITEKDGDWLHEIALNNTVLETLNFYMTDLNKIRFEDLELIAKNCRNLVSVKISDCEILDLVGFFHAAAGLEEFCGGSFNDAPDKYSAVTFPRKLCRLGLSYMGKNEMLIVFPFASMLKKLDLLYALLDTEDHCLLIQKCCNLEVLETRNVIGDRGLEVLASSCKRLKRLRIERGADEQGMEDEEGVVSQRGLIALAQGCLELEYMAIYVSDITNAALEHIGTHLKRLNDFRLVLLDREERITDLPLDNGVRSLLRQRKLRRFALYLRPGGLTDVGLGYIGQYSINVRWMLLGYVGESDEGLLAFSNGCPSLQKLEMRGCCFTESALARAVMQLTSLRYLWVQGYRASSINGRDLLAMARPFWNIELIPPRKVVMVNQVGEDVVVEQPAHILAYYSLAGPRRDCPSTVVPLVVGPVAA >Manes.11G096900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310316:20314530:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.11G096900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310315:20314530:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.11G096900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310316:20314530:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSAGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.11G096900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310316:20313839:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.11G096900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310316:20314530:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.11G096900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310316:20314530:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.11G096900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310316:20314530:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.11G096900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310316:20314530:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSAGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.11G096900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20310316:20314530:-1 gene:Manes.11G096900.v8.1 transcript:Manes.11G096900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGRVHPDCVNASNPYHECAVACLEKIAQGQGRKEKKKSGSFIFEASRSFGRKKKGSESLPRSARDNISAVKTVYSTDSLSPRSPLSTKKKVESENSQSSSSSGQHSEEAFPQDHSFDKGQVQSTEFVHPSGNLMPNGTKSLSLGSFTCFAIVPPTNPEDDQKSLASSEKASSPISKNLEVTNAPTSKSLNSTFTNISRASEESDDEIQSVISDSCVSVGKYHVRSTAASILQLILDKYGDIAANCRLESTSLRAYYLECLCSVVQELQSTSLNQLSKSKVKEFLAVLKDIESVQIDVSWLRSILNELTEAMELNKQQQAAEEAKSSCIHTIESTKKELESMMEDLAKKEKEVAAAKALVEETKARLRELKLESCRLSDTILSTRSKMEKFHIKPFADEIL >Manes.09G048350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10240629:10241966:-1 gene:Manes.09G048350.v8.1 transcript:Manes.09G048350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHSRIVLLFFFLYATFTIFCYASNVSEAKTKPTKLITKLIHRNSIHSPFHNPHHSIEDKAKFIFENSLARFTNYKTDLAAGTDMGLLFLVRFYIGNPAISQLAVMDTASNLLWVQCSPNWYISRRSPIPLLDPLKSSTYASMPCKSEFCSYFPSSSCINEQCTYNISYVNAPPSTGNAATEQLLFESDENIVVVPQVIFGCSKVNKEYIDGGINGVFGLGPKKLSMARQLANKFSYCIGDFYDPNYNYNRLILGDEARLEGDTTPLEMSELHYYLNLQEISIGENTLDIDKKVFIRNITDKSKLSGVIIDSGSVATWLVNEAYYRFRNEVKRILGDSIVDTKECRWCLCYEGTMAQNLTNFPEVVYHFSEEAVLEVGFDGIFYQATASIFCMAVYPSSRLPDKPFQDATVIGVMAQQNHNVAYDLQEKKLYLESIDCQVYEG >Manes.06G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4845922:4847501:1 gene:Manes.06G023400.v8.1 transcript:Manes.06G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MSTAGIFTAPIIGSGYQGLKAKSTNELFPAKDSIAWSRKTITNGSRIHCMKTWNPINNKKFETLSYLPPLSDESIAKEIDYMMQKGWIPCLEFDQVGHVRRENSQTPGYYDGRYWTMWKLPMFGCNDSSQVLNEIHECKQAYPNAYIRCLAFDNKHQGQCMAFIIQKPNTP >Manes.07G057300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6534972:6535630:1 gene:Manes.07G057300.v8.1 transcript:Manes.07G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVTILCILAILLIISSTLTNAIRPDPASGSVTPAKIREWGFDEAEKAEVEDSCEGGEEECLMRRTLAAHIDYIYTQKIKP >Manes.01G268801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42245283:42245669:-1 gene:Manes.01G268801.v8.1 transcript:Manes.01G268801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRRLLLFFSPLTFYYSLWLAQPIIIITRSLACPPPPPPAPTATCPRDALKLGVCVKLLDDLLHLVVGTPPKKPCCPLIEGLVELEAAVCLCTALIKANVLGINLNVSISLSLLLNYCGKGIPAGFQCA >Manes.06G016000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2665507:2667948:1 gene:Manes.06G016000.v8.1 transcript:Manes.06G016000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFLFRQFSTLLDSVSIKPTSAEPQFHGNLRRSWNSIPIPNRTLPEPKGQDLDFINVAHSHLIHTDWDKLNSLSTHFTPFRVKHILLRMKKDHVLSLELFNWVKTQNPNSLTLETHSIILHTLTKNRKFKSAELILKSILIPSSIDIADKLFDAILYSYRMCDSSPRVFDSLFKTYAHMKRFRDATDTFFHMKDYGFFPTVESCNAYLSSLLDLHRVDIALGFYKEMSRCRISPNVYTLNMVMSAFCKSGKLDKAVKVFEEMHNLGISPNDTSYNTLIIGYCRKGLLNSAVKLKNMMRERGLEANVVTFNTLIYGFCKEGKIHEASKVFSDMKVLNVPPNTITYNTLINGYSQVGNSEMGSMLYEEMSRNGVKADILTYNALISGLCNEGKTKKAAYMVKELDKLNLFPNASTFSALIIGQCMRNNSDRAFQLYKSMVRSGCHPNKQTFNILISAFCKNDDYEGAFTVLIEMFERCMAPGSDVLLEIYHGLCLCGKDHLAMKLCNEMEARTIMPEGFEKAKPIDNI >Manes.06G016000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2665497:2669935:1 gene:Manes.06G016000.v8.1 transcript:Manes.06G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFLFRQFSTLLDSVSIKPTSAEPQFHGNLRRSWNSIPIPNRTLPEPKGQDLDFINVAHSHLIHTDWDKLNSLSTHFTPFRVKHILLRMKKDHVLSLELFNWVKTQNPNSLTLETHSIILHTLTKNRKFKSAELILKSILIPSSIDIADKLFDAILYSYRMCDSSPRVFDSLFKTYAHMKRFRDATDTFFHMKDYGFFPTVESCNAYLSSLLDLHRVDIALGFYKEMSRCRISPNVYTLNMVMSAFCKSGKLDKAVKVFEEMHNLGISPNDTSYNTLIIGYCRKGLLNSAVKLKNMMRERGLEANVVTFNTLIYGFCKEGKIHEASKVFSDMKVLNVPPNTITYNTLINGYSQVGNSEMGSMLYEEMSRNGVKADILTYNALISGLCNEGKTKKAAYMVKELDKLNLFPNASTFSALIIGQCMRNNSDRAFQLYKSMVRSGCHPNKQTFNILISAFCKNDDYEGAFTVLIEMFERCMAPGSDVLLEIYHGLCLCGKDHLAMKLCNEMEARTIMPEGFEKAKPIDNI >Manes.04G025600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3017747:3027493:1 gene:Manes.04G025600.v8.1 transcript:Manes.04G025600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKEIKALFERYSDDGIMTIDHLRRFLVEIQKQDNATVGDAQDIFYHLHELELGLNLDAFFKYLFSDINPPLDPKLGVHHDMTAPLSHYFIFTGHNSYLTGNQLSSDCSDVPIIDALQKGVRVIELDLWPNSNKDDVDVLHGRTLTTPVELIKCLRSIKEHAFTASDYPVAITLEDHLTPDLQAKVAEMVTQTFGDILFFPGSEGLKELPSPEFLKRRIIISTKPPKEYLEAKEKKEKDSEDADDMNDLDEDCDDEDEDDEGDITSKRYVAREYKQLITIHAGKPKGGLEECFKVDPEKVRRLSLSEPQLESAAEAHAKEIIRFTQRNILRVYPKGTRIDSSNYNPLIGWMHGAQMVAFNMQGHGKSLWSMYGMFRANGGCGYVKKPDFLLKSSSHGFDPRVELPVKTILKVKVYMGKGWSDDFKGTSFDVFSTPDFYVRVGIAGVPADSVMKTTEARTNTWTPVWNEELKFPLTVPELALLRIEVNEYDMSEKDDFGGQTCLPVFELRRGIRAVPLYNFEGEKYNSTKLLMQFDFA >Manes.10G061600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8624861:8629010:1 gene:Manes.10G061600.v8.1 transcript:Manes.10G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFAVRATPSTIATQASRQALKPATLLAPGIVNVFAAYKYKDVIFDGCTKILGAGKPSSSRPVVFCRPNFPSLPELSLSTATQSPNRHLHSYSSKGKKFSQLSAASASLFGDQDGLSYGIPRLPVRRRSSDLPKAYKNDFFRFPFPVLAEKPEWWWRTLACLPYLIALQISDAGYFIRSFLEHYDYLEDLIFFVPGAVSRLPTWFTMVYCYIAYIGIVKNREWPHFFRFHLMMGMLLETAMQLIWYTSNFFPLIHYNGTYGMYYWAGVGLAYILVLLQCVSCALLGGYAHIPVISDAALIHTLFNIGGFQRPF >Manes.10G114139.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27412059:27414281:1 gene:Manes.10G114139.v8.1 transcript:Manes.10G114139.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGRQTEEEETSSCRKFLSSIPDPMSNNSNIYDNARQVKSNAVPNQSPDNIEDAIWQLNLHDHQKQGSPYPNRPGEPDCMYYLRTGFCGYGSNCRFHHPPATQDFGGGLPERVGQPECQAGSLCSLL >Manes.18G145446.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28436040:28437299:1 gene:Manes.18G145446.v8.1 transcript:Manes.18G145446.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGIDLLSYSVCIFLSSASLYILHNLHKSD >Manes.03G037400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3261990:3264132:1 gene:Manes.03G037400.v8.1 transcript:Manes.03G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRRHSSPSLLLNLPLLILLLSVVVFLLFFYAISSSSSSHAKLRPATVKKSWDSLNVFLILFAVLCGIFARKNDDDHSAPADDTHNFNRNVLRGKEERRTVSNNWFDQFTVATPATGGIRRLKRSSSSYPDLRNEYFWESGDDRSRFFDDFEISKYRSSSSLSGYVYDRRQRSVFEESVDVKEIPVDTCVLRSSLQSPSPPLPKSPTPPPPPPPPPPPPSATSNQKQKRFFKTVQRKEKIEKPGENEYEFSRKGNSPPKPPPPPPPPPRLPPPPPVNKATRSERKHWSKKTNATKELKMALISLYHQSKRKKQQKTRSLYDDTLQSPPELPSFLVPPPSPPPPPPPPPPPPTVFRSLFRKGSKNKRIHSFSAPPPPPPPPPPPRQSFSTLQRWSKRKGQISHPTPPPPPAPVTPTQAPFRRRNNTITTGRPPLPTKANNFYDENENIVGKSTLIPMPPPPPLPPFKVPGFKFMIKGDYVKIRSGQSSRCSSPEFEEVDKRSTETVNMMKSEEARGGSLFCPSPDVNAKADSFIARLRDEWRLEKINSM >Manes.08G173600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40831716:40831934:-1 gene:Manes.08G173600.v8.1 transcript:Manes.08G173600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYKVQGVVIVMVLLLIITSPPCYARLLMEKVSLADTQVMDKYRPLLLNLLPKGPVPPSAPSKRTNDSVN >Manes.01G036900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7172340:7175484:1 gene:Manes.01G036900.v8.1 transcript:Manes.01G036900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYSEARQQQQQAIPTLVGKRPRSDYDVPSGHELPNFYPRDDDRAALRAIRDSESIGASYDRYLRSAPMSTYSGGQSARPISGVPSRPVDDPRIVGIGSLDPGATVKDRTMGLGSGRPEATLPPDATSTLFVEGLPSDCTRREVSHIFRPFVGYKEVRLVSKESRRGGDPLVLCFVDFLSPAHAATAMDALQGYKFDEHDRDSVHLRLQFARYPGARSGGGHRGKR >Manes.15G151900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12641357:12644787:1 gene:Manes.15G151900.v8.1 transcript:Manes.15G151900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSKTIEHKAFARVGLLGNPSDVYYGRTISFSLGNFWATVQLQPSDDLVINPHPKHDLVQFSSLDHLVNRLQSEGYYGGVRLLMAICRVFHKHCKENQINLHSGNFTLSYDTNIPRQTGLSGSSAIVTAALNCLLDFYKVRHLIKVEIRPKLVLSAEQELGIVAGLQDRVAQVYGGLVNMDFSKENMDKLGHGIYTPMDICLLPPLHLIYAENPSDSGKVHSTVRQRWLSGDKFIISSMEKVAEIALEGRTAILNKDYAKLADLMNRNFDLRRSMFGDDVLGALNIEMVEVARRVGAASKFTGSGGAVVVYCPEGPSQVKLLEDACQKAGFVIQPVQVVPSLLNEVDLKTLS >Manes.15G151900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12641819:12645377:1 gene:Manes.15G151900.v8.1 transcript:Manes.15G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSKTIEHKAFARVGLLGNPSDVYYGRTISFSLGNFWATVQLQPSDDLVINPHPKHDLVQFSSLDHLVNRLQSEGYYGGVRLLMAICRVFHKHCKENQINLHSGNFTLSYDTNIPRQTGLSGSSAIVTAALNCLLDFYKVRHLIKVEIRPKLVLSAEQELGIVAGLQDRVAQVYGGLVNMDFSKENMDKLGHGIYTPMDICLLPPLHLIYAENPSDSGKVHSTVRQRWLSGDKFIISSMEKVAEIALEGRTAILNKDYAKLADLMNRNFDLRRSMFGDDVLGALNIEMVEVARRVGAASKFTGSGGAVVVYCPEGPSQVKLLEDACQKAGFVIQPVQVVPSLLNEVDLKTLS >Manes.14G170300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28025043:28030024:1 gene:Manes.14G170300.v8.1 transcript:Manes.14G170300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAIVTVGYQLLFFIVTALLKFDKVTDFAGSTNFVILAVLTLILKGTWHFRQVVLSLLVVLWGLRLGLFLLMRILQWGEDRRFDEMRNNLGRLAIFWIFQAVWVWTVSLPVTVVNASDRNPSIQAEDIIGWIMWSVGVSVEAAADQQKLTFKNSPENRGKWCNVGLWKYSRHPNYFGEIFLWWGIFVASTALLKGAEWLVILSPIFLTLLLFFVSGIPLLEESADKKFGNVASYRAYKRSTSPLIPLPPVVYGNLPSWFKTTFLFEYPFYSRNLPNEGTT >Manes.14G170300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28025043:28030024:1 gene:Manes.14G170300.v8.1 transcript:Manes.14G170300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAIVTVGYQLLFFIVTALLKFDKVTDFAGSTNFVILAVLTLILKGTWHFRQVVLSLLVVLWGLRLGLFLLMRILQWGEDRRFDEMRNNLGRLAIFWIFQAVWVWTVSLPVTVVNASDRNPSIQAEDIIGWIMWSVGVSVEAAADQQKLTFKNSPENRGKWCNVGLWKYSRHPNYFGEIFLWWGIFVASTALLKGAEWLVILSPIFLTLLLFFVSGIPLLEESADKKFGNVASYRAYKRSTSPLIPLPPVVYGNLPSWFKTTFLFEYPFYSRNLPNEGTTWNRMEQSSVGVSSGLKKMS >Manes.14G170300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28025043:28029472:1 gene:Manes.14G170300.v8.1 transcript:Manes.14G170300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAIVTVGYQLLFFIVTALLKFDKVTDFAGSTNFVILAVLTLILKGTWHFRQVVLSLLVVLWGLRLGLFLLMRILQWGEDRRFDEMRNNLGRLAIFWIFQAVWVWTVSLPVTVVNASDRNPSIQAEDIIGWIMWSVGVSVEAAADQQKLTFKNSPENRGKWCNVGLWKYSRHPNYFGEIFLWWGIFVASTALLKGAEWLVILSPIFLTLLLFFVSGIPLLEESADKKFGNVASYRAYKRSTSPLIPLPPVVYGNLPSWFKTTFLFEYPFYSRNLPNEGTTWRISSW >Manes.14G170300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28025043:28029455:1 gene:Manes.14G170300.v8.1 transcript:Manes.14G170300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLALTAIVTVGYQLLFFIVTALLKFDKVTDFAGSTNFVILAVLTLILKGTWHFRQVVLSLLVVLWGLRLGLFLLMRILQWGEDRRFDEMRNNLGRLAIFWIFQAVWVWTVSLPVTVVNASDRNPSIQAEDIIGWIMWSVGVSVEAAADQQKLTFKNSPENRGKWCNVGLWKYSRHPNYFGEIFLWWGIFVASTALLKGAEWLVILSPIFLTLLLFFVSGIPLLEESADKKFGNVASYRAYKRSTSPLIPLPPVVYGNLPSWFKTTFLFEYPFYSRNLPNEGTTW >Manes.16G107900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31331331:31332998:-1 gene:Manes.16G107900.v8.1 transcript:Manes.16G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAEQNFLDMTLQKINPNRDEQDLFWVGGNGASEYESTTAESSMEASISSTDLEEDASSSSSTLNGPLYELSELMAQLPVKRGLSKFYQGKSQSYTSLASVKSLEDLAKKVTVIPYRSKMKSCKSYARVLDVNKCYSPKAAISKRDSRSRGSFLSSLGRR >Manes.01G197950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37223989:37227302:-1 gene:Manes.01G197950.v8.1 transcript:Manes.01G197950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKSIQLIKPNANCCLGRSWCVPWCPGGKIIISDSNTINIVYGIYVYIYKSAERKKKSHHGKS >Manes.14G042701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3757658:3762297:-1 gene:Manes.14G042701.v8.1 transcript:Manes.14G042701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVACFLFDLCSISPPLLKDLKQIQQKLLSLPLAPLIEHIPSMECLLQLANLYAISSPSSSRHRSECLRDRIGLCYVFKNRISSSYELKIAYSPGENFGLHAFLPEIDDSRALRSGDVKLPTVLSDVLYSWEDKDFMRKVIVLTSCLPEKIDTAMKNTLTDAADKCVSVEFVLFDQSASHLSNVQENINCFARSLADLDNCSFQTFLPDSRLFHSLVKQWLLDLRDDVEEPLQARFIFKSNLVGSLNQINCSLSISVGHKIDGFDACKTCRCHGIVLDNEAKNKVEGPSCPVTGRDLGRADVIENSVRVGDKTILFMPSFHSTIKLHRVSSPIEFRIIETTNLMSLSEGVIFGTSYFVAPSACNEIETSSEEMNQSELNNQLFQGVCGALHTMDRGLVCSSYYNVDTMRETAFHCYYILQPSDKGPMLLRRLAGLEEVMPIPDINQFVDSSIKLRDYNPIQHERGFHQKLNSLVKESLQFASVTPKWNEATSELHSNQQDSSEVTVQPNCAIDNIVIEDESPQLNLTVREDKTTSSIAEEWEQLVICEVPKMHSSPYISKAKTVTLVLSSAESNKQLDINTSRILERLEVPRKLKTKVSSPVVRSSSLSETCLPTKRPLIPFQQPLHATDREAKKETQMKRRLVSSGTGNINEFACA >Manes.02G118000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9031142:9035131:-1 gene:Manes.02G118000.v8.1 transcript:Manes.02G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTANHVAMEIEASRPAGTNGTVVGLSPLSETLWREKTNAELVGDVSARLTWKDLTVTVTLSNGETQNVLEGLTGYAEPGSFTALMGPSGSGKSTLLDALSSRLAANAFLSGSILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETIWYSARLRLPDKMPRSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGLASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFESSEDPLDKITTAEAIRTLVDHYRTSQHYYAAREKVEEISKVRGTVLDSGGSQASFLRQAFTLTKRSFINMSRDFGYYWLRLVIYIVVTICIGTIYLDVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVVAFVIGNTISAMPFLIMITFISGTICYFMVGLHPGFEHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLRGLWFDNQTPDLPKIPGEYILENIFQIDVHRSKWVDLSVIFSMIVAYRIIFLIMIKISEDVTPWIRGFIARRRMQQKNGTQNTTVAPDGLTQSPSLRNYVANRPAGIGRR >Manes.S026152.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2206077:2206199:1 gene:Manes.S026152.v8.1 transcript:Manes.S026152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.11G020700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2207495:2214673:-1 gene:Manes.11G020700.v8.1 transcript:Manes.11G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASSPKPTPSPNPMDPSPPPPPPQPQSQSQPPPTALTNSNSNTTALTPPQSQPQAQAQAPTSSMSNQNQQIASPSLDRQISSPPLSSQQQQQPIGQQQQQQQSQQQLQQQNITAMSNYQIPQSLQRSPSMSRLSQINQQQQQQNQYSGILRQQQQQQGLYAQVNFGGSGSIQQNLQNQQMSGTNLSRSALLGQSGHLPMLTGAGAGSVAAAAAAQLNLLASKAGLVQGSQFHPGNSPGQPLQGMQAMGMMGSLNLTQQLRPNGALNYAQRQQLAQQNSLTSHQVPNLSRTFMNPQLSSLAQNAQPAMMQNSLSQQQWLKQMPAISGPASPLRLQQQQRQSQVLLQQQLASSPQLLQNSMPLSQQQLSQLVHQQSSMGHQQLHQQQQQPQQQLQQQQQLLQQHQQPHQQLQQQLPSHQSQQQQSPRMQGPTGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKMHDLVSQVDSQGKLDPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDLLLHLEKNWHLTIPGFSTEERNFQRKPNVQLSSDLHKKRLDMIRALRESSRSETNNNNNPKEIIRQGLGNPIVTNHLIRPPSSEQLVSQSTSSQILQQITRF >Manes.11G020700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2207495:2214673:-1 gene:Manes.11G020700.v8.1 transcript:Manes.11G020700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAASSPKPTPSPNPMDPSPPPPPPQPQSQSQPPPTALTNSNSNTTALTPPQSQPQAQAQAPTSSMSNQNQQIASPSLDRQISSPPLSSQQQQQPIGQQQQQQQSQQQLQQQNITAMSNYQIPQSLQRSPSMSRLSQINQQQQQQNQYSGILRQQQQQQGLYAQVNFGGSGSIQQNLQNQQMSGTNLSRSALLGQSGHLPMLTGAGAGSVAAAAAAQLNLLASPLQGMQAMGMMGSLNLTQQLRPNGALNYAQRQQLAQQNSLTSHQVPNLSRTFMNPQLSSLAQNAQPAMMQNSLSQQQWLKQMPAISGPASPLRLQQQQRQSQVLLQQQLASSPQLLQNSMPLSQQQLSQLVHQQSSMGHQQLHQQQQQPQQQLQQQQQLLQQHQQPHQQLQQQLPSHQSQQQQSPRMQGPTGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKMHDLVSQVDSQGKLDPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDLLLHLEKNWHLTIPGFSTEERNFQRKPNVQLSSDLHKKRLDMIRALRESSRSETNNNNNPKEIIRQGLGNPIVTNHLIRPPSSEQLVSQSTSSQILQQITRF >Manes.09G173300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37571073:37573087:1 gene:Manes.09G173300.v8.1 transcript:Manes.09G173300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSARAGRQKQLYQDQLRLVAGCIPYKLDKNAKEKKCRVEDSVLILMISTPNREDLVFPKGGWENDETLDQAACREALEEAGVTGLLGEKPLGVWEFRSKRSQNSCSLVGGCKGYMFALEVTEELDKWPGQATYIRKWLKTEEAFKFCRYDWMREALKNFLAGLSKNDTHEKKEESTEMVSSGCFVKPPGDVQHHEQPSMESCLLTIYNPIVKTRKS >Manes.07G035300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3825475:3830965:-1 gene:Manes.07G035300.v8.1 transcript:Manes.07G035300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALRSISATTSSFSLSTRSSLLRRSPKPIAKFPPSIVPSPSLPSTIHSPALLSQKCFLFAPLHKPFKTHFPIRASSDSSASSKPQPIANPSPQGAKLVPLIVSVSVGLILRFCIPKPVEITPQAWQLLAIFLSTIAGLILNPLPVGAWAFLGLTTSIVTRTLTFSAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKMLGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPGDASSRKLGAFLIQSQLQSAGNSSALFLTAAAQNLLCLKLAEELGVIISSPWVSWFKAASLPALVSLLATPFILYKLYPPETKDTPDAPAMAAKKLEKLGPVTKNEWIMVGTMVLAVTMWVLGDAFGIPSVVAAMIALSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMASQLTSLGIVTWMSGSVANILQSLSLSWPAAFGVLQASYFFIHYLFASQTGHTGSLYSAFLAMHFAAGVPSVLAALALSYNTNLFGNLTHYSSGQAAVYYGAGYVDLPDVFKLGFLMAIVNAIIWGTVGTVWWKFLGLY >Manes.07G035300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3825969:3830965:-1 gene:Manes.07G035300.v8.1 transcript:Manes.07G035300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALRSISATTSSFSLSTRSSLLRRSPKPIAKFPPSIVPSPSLPSTIHSPALLSQKCFLFAPLHKPFKTHFPIRASSDSSASSKPQPIANPSPQGAKLVPLIVSVSVGLILRFCIPKPVEITPQAWQLLAIFLSTIAGLILNPLPVGAWAFLGLTTSIVTRTLTFSAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKMLGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPGDASSRKLGAFLIQSQLQSAGNSSALFLTAAAQNLLCLKLAEELGVIISSPWVSWFKAASLPALVSLLATPFILYKLYPPETKDTPDAPAMAAKKLEKLGPVTKNEWIMVGTMVLAVTMWVLGDAFGIPSVVAAMIALSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMASQLTSLGIVTWMSGSVANILQSLSLSWPAAFGVLQASYFFIHYLFASQTGHTGSLYSAFLAMHFAAGVPSVLAALALSYNTNLFGNLTHYSSGQAAVYYGAGYVDLPDVFKLGFLMAIVNAIIWGTVGTVWWKFLGLY >Manes.07G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3825454:3830973:-1 gene:Manes.07G035300.v8.1 transcript:Manes.07G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALRSISATTSSFSLSTRSSLLRRSPKPIAKFPPSIVPSPSLPSTIHSPALLSQKCFLFAPLHKPFKTHFPIRASSDSSASSKPQPIANPSPQGAKLVPLIVSVSVGLILRFCIPKPVEITPQAWQLLAIFLSTIAGLILNPLPVGAWAFLGLTTSIVTRTLTFSAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKMLGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPGDASSRKLGAFLIQSQLQSAGNSSALFLTAAAQNLLCLKLAEELGVIISSPWVSWFKAASLPALVSLLATPFILYKLYPPETKDTPDAPAMAAKKLEKLGPVTKNEWIMVGTMVLAVTMWVLGDAFGIPSVVAAMIALSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMASQLTSLGIVTWMSGSVANILQSLSLSWPAAFGVLQASYFFIHYLFASQTGHTGSLYSAFLAMHFAAGVPSVLAALALSYNTNLFGNLTHYSSGQAAVYYGAGYVDLPDVFKLGFLMAIVNAIIWGTVGTVWWKFLGLY >Manes.07G035300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3825472:3831006:-1 gene:Manes.07G035300.v8.1 transcript:Manes.07G035300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALRSISATTSSFSLSTRSSLLRRSPKPIAKFPPSIVPSPSLPSTIHSPALLSQKCFLFAPLHKPFKTHFPIRASSDSSASSKPQPIANPSPQGAKLVPLIVSVSVGLILRFCIPKPVEITPQAWQLLAIFLSTIAGLILNPLPVGAWAFLGLTTSIVTRTLTFSAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKMLGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPGDASSRKLGAFLIQSQLQSAGNSSALFLTAAAQNLLCLKLAEELGVIISSPWVSWFKAASLPALVSLLATPFILYKLYPPETKDTPDAPAMAAKKLEKLGPVTKNEWIMVGTMVLAVTMWVLGDAFGIPSVVAAMIALSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMASQLTSLGIVTWMSGSVANILQSLSLSWPAAFGVLQASYFFIHYLFASQTGHTGSLYSAFLAMHFAAGVPSVLAALALSYNTNLFGNLTHYSSGQAAVYYGAGYVDLPDVFKLGFLMAIVNAIIWGTVGTVWWKFLGLY >Manes.18G025208.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5674930:5681397:-1 gene:Manes.18G025208.v8.1 transcript:Manes.18G025208.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPVTVEEQLILKAIKEECPWENLSKRLQATLTSKEEWHRRIVEHCIKKRQQWNTSFARKVCREGEYYEDMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEKPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKVRLIYFDVPVYPDDHFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLSQLQAAASFACRLGWAVKLIDPGSILQDTSIPGSPKIALSDEEDAAYASISSNVFNDGDVAQQEDISGIENYGLHSGHARVAFIVDANITSYLMMGSVSPAGVEVDLGLAASGDVKEHGVLPWVEALEAVEREPLEAGTSAREEVGAREVTSATGRLARKVSKVALMFFRDIVKFLEGLILKAKVRRKRQNHQQMNASFPVVLKTRHEHALLDIILPRDRGQSEKVDLIQHGQPRKVVITWGDIPPRKVHIPRYPRAFLQLHHFKILDPTLNGVLILREDRQPSSGSKIVKPLANPDQTKKCGK >Manes.12G134600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34161418:34164658:-1 gene:Manes.12G134600.v8.1 transcript:Manes.12G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFVNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKAKEVGVMFIETSAKAGFNIKPLFRKIASALPGMETLSSTKQEDMVDVNLKPTVNSSQSEQQGGGCAC >Manes.07G118000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32336576:32342600:-1 gene:Manes.07G118000.v8.1 transcript:Manes.07G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRGDLENGFPGFIPERRAVQRVHATRPVNSNSLVFLVTVLLLFMILNSQQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAMASGLLSHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVPTTASMSDEEINALPVHKYKVTALQSGGSSMQQASSSVAAEQKKQDTANAVGSMKASDDELTCSVCLEQVNVGELVRTLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSGWHEGQGGTDASYMV >Manes.07G118000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32336576:32342600:-1 gene:Manes.07G118000.v8.1 transcript:Manes.07G118000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGTRGDLENGFPGFIPERRAVRVHATRPVNSNSLVFLVTVLLLFMILNSQQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAMASGLLSHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVPTTASMSDEEINALPVHKYKVTALQSGGSSMQQASSSVAAEQKKQDTANAVGSMKASDDELTCSVCLEQVNVGELVRTLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSGWHEGQGGTDASYMV >Manes.01G049616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:15847034:15854244:1 gene:Manes.01G049616.v8.1 transcript:Manes.01G049616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHFLSLFLGLSVFVLFSGHFAVAGVETCSGIVSMRYRYDKISITDFGGVGDGRTLNTKAFREAIYRIQHLRRRGGTLLYIPPGVYLTGSFNLTSHMTLYLARGAVIRATQDYSDWPLIAPLPSYGRGRERPGGRYISFIHGDGLHDVVITGENGTIDGQGDVWWNMWRQRTLQFTRPNLVEIVNSRSIIISNVIFRNSPFWNIHPVYCSHVVIRYVTILAPHDSPNTDGIDPDSSSDVCIEDSFISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVTGSSPFAGIAVGSETSGGVENVLAENINLYNMGVGIHVKTNIGRGGFIRNITFYDVYMENVRKGIKIAGDVGDHPDENFNPNALPVVKGITLKSVWGEKVQQPGSIQGLKNSPFTGICLSNINLHGVPGPRSTPWKCSAVRGAAHEVSPWPCSELTATHQTASCSDHI >Manes.18G117100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12115074:12118486:1 gene:Manes.18G117100.v8.1 transcript:Manes.18G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAVDHVIGTIMSALQEEATLLVDIKDELEEISKELVSMRSFLQDAERNKVMSKGEETWVAEVRDTAHQIEDLIDDYMYDMYRKQYSTGARRIFLTLKSLLEKLRIASKLRQINKNIIGMDERRKRFENDHIEGFNDHCHLTLYPRDSAVFMKEDDVVGFVDESRLLKTWLVDGEKHLNLLSVVGMGGSGKTTLVAKTCNNETAKSYFDCYAWVTVSQTYARDDLLRKLIKECHESGKARVPNDLGTKDFKDLVEYLIGYLKHKKYLVILDDVWDISLWENIKASLPNNEFGSRIIFTTRNEDVGSFSSNVRSHMLTIKPLKNEEAWDLFCKKAFFSNPDKSCPEELKPLALELVGKCDGLPLAIVALGGVMSSKKSTREWSSVWDNLNWQLNNNPRLEIVKSILLLSFNYLPSPLKYCFLYCCLFPEDYKIRRQRLIRLWIAEGFIQNVDRTTPNEVAERYFMELTLRSMLQVESRNARGRPKACKMHDFLREIGISMLEREKFGVVYDEKVKINKCQLHQARRLSIQTTNWDLQSFDNMTRLHSLLVFVDSSVSFSNTSSPNLKLMRSLDLENVAINSLPEVLGTLFNLRYLNLRGTQVEKLPKSIGKLRNLESLDITNTNVKELPSEVAELQNLYHLIMRSKGITNNLERFFVFQWSASTI >Manes.10G100511.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24923070:24923387:-1 gene:Manes.10G100511.v8.1 transcript:Manes.10G100511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNCFILAFFFALSFSSMDVGFAARYLLQAPPLPSVPNLPKPALPPMPAVPTLPQPTLPPLPSLPTIPTVPKVTFPPLPSIPSIPTIPTTIPSIPFLSPPPGN >Manes.10G068300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10430562:10451155:-1 gene:Manes.10G068300.v8.1 transcript:Manes.10G068300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVEKKRKQEEQVESGYSLRSQPSHFDETGGSSSDSCKDVDVVTVLPECSISIAADSMNPHMVADCRSKDQSFVSSRIRSFEDSSLNYDSVHDTVSVSSSGFEFQKTERAPQRVPIVPFSKPMPSKWDDAQKWIASPSSSRTGQTHLQGGQGVGSRKVGSVAYLSRQSSTKVVVEVPAQKVVAFEEPETKRVDSNQAKKETGVQKFVGWEADPYPIADSYGKPVLMIENSVMESAISLSQHDSSVAIHSATAFIPPPSTARSVSMRDMGTEMTPIASQEPSRNGTPVRATTPIRSPSSSRPSSPGRAALTSSLTNPPNANNELSEKELQIKTRREIMALGTQLGKMNIAAWASKEEEDKDASMSLKTVPAELPTKSVIEIRAAAWEEAEKAKYMARFKREEMKLQAEENHQKAKTEAEMRKIEGLICEFHVDVLWFYPSETCSMALFFLVSESVCSLVPAKVSTCGN >Manes.10G068300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10430562:10451155:-1 gene:Manes.10G068300.v8.1 transcript:Manes.10G068300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIQKPLGGGFSPGKLRSMLLGVEKKRKQEEQVESGYSLRSQPSHFDETGGSSSDSCKDVDVVTVLPECSISIAADSMNPHMVADCRSKDQSFVSSRIRSFEDSSLNYDSVHDTVSVSSSGFEFQKTERAPQRVPIVPFSKPMPSKWDDAQKWIASPSSSRTGQTHLQGGQGVGSRKVGSVAYLSRQSSTKVVVEVPAQKVVAFEEPETKRVDSNQAKKETGVQKFVGWEADPYPIADSYGKPVLMIENSVMESAISLSQHDSSVAIHSATAFIPPPSTARSVSMRDMGTEMTPIASQEPSRNGTPVRATTPIRSPSSSRPSSPGRAALTSSLTNPPNANNELSEKELQIKTRREIMALGTQLGKMNIAAWASKEEEDKDASMSLKTVPAELPTKSVIEIRAAAWEEAEKAKYMARFKREEMKLQAEENHQKAKTEAEMRKIEGLICEFHVDVLWFYPSETCSMALFFLVSESVCSLVPAKVSTCGN >Manes.10G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10430456:10451176:-1 gene:Manes.10G068300.v8.1 transcript:Manes.10G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIQKPLGGGFSPGKLRSMLLGVEKKRKQEEQVESGYSLRSQPSHFDETGGSSSDSCKDVDVVTVLPECSISIAADSMNPHMVADCRSKDQSFVSSRIRSFEDSSLNYDSVHDTVSVSSSGFEFQKTERAPQRVPIVPFSKPMPSKWDDAQKWIASPSSSRTGQTHLQGGQGVGSRKVGSVAYLSRQSSTKVVVEVPAQKVVAFEEPETKRVDSNQAKKETGVQKFVGWEADPYPIADSYGKPVLMIENSVMESAISLSQHDSSVAIHSATAFIPPPSTARSVSMRDMGTEMTPIASQEPSRNGTPVRATTPIRSPSSSRPSSPGRAALTSSLTNPPNANNELSEKELQIKTRREIMALGTQLGKMNIAAWASKEEEDKDASMSLKTVPAELPTKSVIEIRAAAWEEAEKAKYMARFKREEMKLQAEENHQKAKTEAEMRKIEVEVERMRGQAQDKLMNKLAAASHKAEEKRAAAEAKRNRQAAKTEQQAEYIRRTGRTPPSFSFCRWCS >Manes.10G068300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10430562:10451155:-1 gene:Manes.10G068300.v8.1 transcript:Manes.10G068300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIQKPLGGGFSPGKLRSMLLGVEKKRKQEEQVESGYSLRSQPSHFDETGGSSSDSCKDVDVVTVLPECSISIAADSMNPHMVADCRSKDQSFVSSRIRSFEDSSLNYDSVHDTVSVSSSGFEFQKTERAPQRVPIVPFSKPMPSKWDDAQKWIASPSSSRTGQTHLQGGQGVGSRKVGSVAYLSRQSSTKVVVEVPAQKVVAFEEPETKRVDSNQAKKETGVQKFVGWEADPYPIADSYGKPVLMIENSVMESAISLSQHDSSVAIHSATAFIPPPSTARSVSMRDMGTEMTPIASQEPSRNGTPVRATTPIRSPSSSRPSSPGRAALTSSLTNPPNANNELSEKELQIKTRREIMALGTQLGKMNIAAWASKEEEDKDASMSLKTVPAELPTKSVIEIRAAAWEEAEKAKYMARFKREEMKLQAEENHQKAKTEAEMRKIEGLICEFHVDVLWFYPSETCSMALFFLVEVERMRGQAQDKLMNKLAAASHKAEEKRAAAEAKRNRQAAKTEQQAEYIRRTGRTPPSFSFCRWCS >Manes.10G068300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10430456:10451163:-1 gene:Manes.10G068300.v8.1 transcript:Manes.10G068300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGVEKKRKQEEQVESGYSLRSQPSHFDETGGSSSDSCKDVDVVTVLPECSISIAADSMNPHMVADCRSKDQSFVSSRIRSFEDSSLNYDSVHDTVSVSSSGFEFQKTERAPQRVPIVPFSKPMPSKWDDAQKWIASPSSSRTGQTHLQGGQGVGSRKVGSVAYLSRQSSTKVVVEVPAQKVVAFEEPETKRVDSNQAKKETGVQKFVGWEADPYPIADSYGKPVLMIENSVMESAISLSQHDSSVAIHSATAFIPPPSTARSVSMRDMGTEMTPIASQEPSRNGTPVRATTPIRSPSSSRPSSPGRAALTSSLTNPPNANNELSEKELQIKTRREIMALGTQLGKMNIAAWASKEEEDKDASMSLKTVPAELPTKSVIEIRAAAWEEAEKAKYMARFKREEMKLQAEENHQKAKTEAEMRKIEVEVERMRGQAQDKLMNKLAAASHKAEEKRAAAEAKRNRQAAKTEQQAEYIRRTGRTPPSFSFCRWCS >Manes.09G048862.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10314602:10318368:1 gene:Manes.09G048862.v8.1 transcript:Manes.09G048862.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFWSSQEQQTQPQPQNAPSQSWYPPSVVSSPNSSRPVTPSSSSSSNYVQRPTDRPQSPSHVSPSEAAGVIALLKDKSVDELRKLLSDKDAYHQFLLSVDQIRDELRKETLQLARENLDKEPRIMELRNQSRIIRTTELAAAQEKLNELYRQKEEIMRSCSPVSLLQRLQEGMNKTEEESESLHRQLIDREIDLPAFVQKYKKLRTTYHRRALIHLAAKTSTG >Manes.09G048862.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10314602:10318368:1 gene:Manes.09G048862.v8.1 transcript:Manes.09G048862.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFWSSQEQQTQPQPQNAPSQSWYPPSVVSSPNSSRPVTPSSSSSSNYVQRPTDRPQSPSHVSPSEAAGVIALLKDKSVDELRKLLSDKDAYHQFLLSVDQVKIQNNIRDELRKETLQLARENLDKEPRIMELRNQSRIIRTTELAAAQEKLNELYRQKEEIMRSCSPVSLLQRLQEGMNKTEEESESLHRQLIDREIDLPAFVQKYKKLRTTYHRRALIHLAAKTSTG >Manes.18G022950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2225549:2227708:-1 gene:Manes.18G022950.v8.1 transcript:Manes.18G022950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKEGSHFSVWLLFVKHLVWFLEKNSSMADKSKILIIGGTGYFGKFVVEASAKSGHPTFVLVRETTLSDPAKGKLIENFKNLGVTLLHGDLYDHQSLVNAIKQVDAVISTVGALQLADQTKIISAIKEAGNVKRFLPSEFGNDVDHVHAVEPAKSVFETKAQIRRATEAAGIPYTYVPANFFASFILPILLKSGGDKVTILGDGNVKAIFNKEDDIATYTVKAVDDPRTLNKTLLIMPPNNIYTFNELVALWEKKVGKTLEKIYVPEEQLLKDIQDAPIPFNVGLAINHSIFIKGDQTNFEIDPSWGVEASELYPDVKYSTVDEMLDQLV >Manes.14G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2437803:2441678:-1 gene:Manes.14G026500.v8.1 transcript:Manes.14G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSAVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSAALVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMITT >Manes.09G148400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34605702:34613760:-1 gene:Manes.09G148400.v8.1 transcript:Manes.09G148400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGINTRQRKRKRKGIDYNAEIPFEKRPPPGFFDVTDEDRPVEQPKFPTTIEELEGKRRIDVEAQLRKQDIAKNKIAQRQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEEIAKMGYASDLLAGDEALTEGSGATRALLANYAQTPQQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKREIQTPNPMLTPSATPGGAGFTPRIGMTPSRDGYSFGMTPKGTPIRDELHINEDMDMHDSAKLEQRRQADIRRNLRSGLGNLPQPKNEYQIVIQPPPEDQEEAEEKIEEDMSDRIAREKAEEEARQQALLKKRSKVLQRELPRPPSASLELIRNSLLRADEDKSSFVPPTFIELADEMIRKELLTLLEHDNAKYPLDEKLIKEKKKGAKRAANAVSVPIIEDFEEDELKEADNLIKEEAHYIRVAMGHESESLDVFVDAHKTCLNDLMYFPTRNAFGLSSVAGNVEKLAALQNEFENVKMRLEAEREKALRLEKKVNVLTQGYQTRSERQLLPPIESILKQMDTAGTELECFQALQKQEQLAATHRINSLWEEVQKQKELEQTLQRRYGNLVAELERIQHLTTNYRTLAKQQEEIAEKNRALEFVEIAAKQAAMQNSETSEPLPSDNVGSTVLVDSSNLAEQQTNEAQDLVHASPKHNINADSGNEHAAMDTDVSLSTDAPSVVEGLHSVNVTEADESDKEVTAQNLNKQGGNISDVVVAEGNMLNDKSVSGNAGGIAMSTEGSADDIEDGAVAEDQQIVTEATNPDGVAIKQGEIGEGERAEAAEVVKDGANSE >Manes.07G116804.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32247189:32247782:1 gene:Manes.07G116804.v8.1 transcript:Manes.07G116804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNVVRSYSPASRRLDYSASPGRRLADRLRSPGGPPPARNGDHIRRSYSPGYGVDEKCHGYSEQVFSLFYHFKILLFLPFSAVDSKSGRVYLYYTIIKI >Manes.14G170700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28058679:28064092:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTFSKALNFGSLAARSLNSCIIFPLKCSIRTGRMESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQLQEIVLDHVSACKKGRPLSHLW >Manes.14G170700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28058679:28064094:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTFSKALNFGSLAARSLNSCIIFPLKCSIRTGRMESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKKIEREKQIIVLHK >Manes.14G170700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28058679:28064094:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKKIEREKQIIVLHK >Manes.14G170700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28058679:28064094:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTFSKALNFGSLAARSLNSCIIFPLKCSIRTGRMESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKKIEREKQIIVLHK >Manes.14G170700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28057694:28064093:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTFSKALNFGSLAARSLNSCIIFPLKCSIRTGRMESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQLQEIVLDHVSACKKGRPLSHLW >Manes.14G170700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28057694:28064093:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQLQEIVLDHVSACKKGRPLSHLW >Manes.14G170700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28058679:28064092:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTFSKALNFGSLAARSLNSCIIFPLKCSIRTGRMESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQLQEIVLDHVSACKKGRPLSHLW >Manes.14G170700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28058489:28064093:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQLQEIVLDHVSACKKGRPLSHLW >Manes.14G170700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28058679:28064092:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTFSKALNFGSLAARSLNSCIIFPLKCSIRTGRMESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQLQEIVLDHVSACKKGRPLSHLW >Manes.14G170700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28058679:28064092:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTFSKALNFGSLAARSLNSCIIFPLKCSIRTGRMESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQLQEIVLDHVSACKKGRPLSHLW >Manes.14G170700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28057694:28064103:-1 gene:Manes.14G170700.v8.1 transcript:Manes.14G170700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSFTFSKALNFGSLAARSLNSCIIFPLKCSIRTGRMESNDNNGNLKDNDGGSRGAFIVLEGLDRSGKTSQSSKLLSFLEGLGHPTELWRFPDRTTSIGQMISAYLSNQSQLDDHTIHLLYSANRWEKSSLMETQLKSGTTLVVDRYSYSGVAFSSAKGLDFEWCKAPEIGLLAPDLVLYLDIPPEKAAERGGYGGERYEKLEFQRKVAECYQALRDPSWKIIDGCQPMEEIQKQLQEIVLDHVSACKKGRPLSHLW >Manes.02G022100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1943172:1949120:1 gene:Manes.02G022100.v8.1 transcript:Manes.02G022100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECSKLSACCWTSEFDGSIPEGQNEENEEKDEVDDLPAFREYTIETLKMATSGFAVENIVSEHGERAPNVVYKGKFENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCCEGDERLLVTEFMPNDTLAKHLFHWEAQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQKDTEVPSHVLMGIPDGAAPLTLSPLGEACSRMDLTAIHEIIEKIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFRHKDFRAAIECYSQFIEVGTMVSPTVYARRSLCYLMNEMPQEALNDAVQAQVISPIWHIASYLQAAALFALGRESEAQAALKEGSTLENQRTTNS >Manes.02G022100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1943181:1948376:1 gene:Manes.02G022100.v8.1 transcript:Manes.02G022100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECSKLSACCWTSEFDGSIPEGQNEENEEKDEVDDLPAFREYTIETLKMATSGFAVENIVSEHGERAPNVVYKGKFENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCCEGDERLLVTEFMPNDTLAKHLFHWEAQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQKDTEVPSHVLMGIPDGAAPLTLSPLGEACSRMDLTAIHEIIEKIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFRHKDFRAAIECYSQFIEVGTMVSPTVYARRSLCYLMNEMPQEALNDAVQAQVISPIWHIASYLQAAALFALGRESEAQAALKEGSTLENQRTTNS >Manes.02G022100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1943998:1948376:1 gene:Manes.02G022100.v8.1 transcript:Manes.02G022100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECSKLSACCWTSEFDGSIPEGQNEENEEKDEVDDLPAFREYTIETLKMATSGFAVENIVSEHGERAPNVVYKGKFENQRRIAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCCEGDERLLVTEFMPNDTLAKHLFHWEAQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQKDTEVPSHVLMGIPDGAAPLTLSPLGEACSRMDLTAIHEIIEKIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFRHKDFRAAIECYSQFIEVGTMVSPTVYARRSLCYLMNEMPQEALNDAVQAQVISPIWHIASYLQAAALFALGRESEAQAALKEGSTLENQRTTNS >Manes.10G005400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:724006:730641:-1 gene:Manes.10G005400.v8.1 transcript:Manes.10G005400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSCSNFRSSSSLLLSPSTPSSRLPHSLFASKLRLSQKLKFQNRLVIRNACGFDENGSGNGFPITPNNLFMQEAIGAEYGEGFETFRQDGLLKVDVDFLNDRLQEGFLKRIRYAMKPDEAYGLIFSWDNVVADTRAMKLNVWKQLASEEGKEIPDDGHAQRLMLYAGADHVLHKVLHWETAENEIDRLKLRLSQLYYDHLLRLRESIEGLKAWLDAVARARIPCAVVSSLDRVNMVGTLERMGLKNYFQAIVTEEDGMESIAHKFLSAALKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYELVQADLAVASFNELSVINLRRLFANKGSGFMDRQKQIIEKSPSKRKLTVDTIF >Manes.18G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9987028:10009416:-1 gene:Manes.18G104100.v8.1 transcript:Manes.18G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNNAIITTSTSTSNGSNTAHSNPHDPASYFLKLARQYSPAAGAPFASKMMEEDGREDEPTELNTINSSGGFLVMSTDKLSVKYTNVNLHGHDVGAVQANKPAPVKRLVYYFEIYVKNAGAKGQIAIGFTKEGFKMRRQPGWEANSYGYHGDDGYLYRGPGKSEPFGPTFTAKDTVGAGINYVSQEFFFTKNGAVVGAVSNEMEGPLFPTVAVHSQNEEIEVNFGQKRFAFDLKEYEAQERMKQQLSIEKISLPPNISYGLVRSYLLHYGYEETLISFDLAGKSTIPPICIAQENAVDEQDIMYALNQRRTLRQLIRKGDIDAAISKLRDWYPKIVEDEGSATCFLLHCQKFIELVRVGSLGEAVRYGRIELAKFFELPGFDDLVQDCIALLAYEQPQESSVAYLLEDSQREIVADAVNAMILSTNPSVEDLQACIRSYLERLLRQLTACCLERRSLNDDQGEAFHLQRVLNSGKKARC >Manes.01G177800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35771113:35778165:1 gene:Manes.01G177800.v8.1 transcript:Manes.01G177800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLDKLPSLSTIERIRRFSSSACRPSANDMGMGNCWIEGRGCSSSNSCEEDYEEYTGETFSWKRHTRDLSHGDSFNRSTMSLGRKSMVCGTVCDSWYFPDHQYKSKSNDKGLHNLRNKFLKGIPKFVKIVEVGPRDGLQNEKNTVPTGVKIELIRRLVSSGLPVVEATSFVSPKWVPQLADARDVMRAVQSLEGSRLPVLTPNLKGFEAAVAAGAKEVAVFASASESFSKSNINCSIEESLVRYRAVTHAAKELGIPVRGYVSCVVGCPVEGAISPSKVAYVAKELYDMGCFEISLGDTIGVGTPGTVIPMLEVVMDVVPVEKLAVHFHDTYGQSLPNILVSLQMGISTVDSSVAGLGGCPYAKGASGNVATEDVVYMLDGLGVKTNVDLPKLLSAGDFISKHLGRPSGSKTAVALTRVTADASKI >Manes.12G072400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7875380:7879882:1 gene:Manes.12G072400.v8.1 transcript:Manes.12G072400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDIPYDQIRELQISLRKEAGLASYIPEDPQLPDLPSLQDAISELDPSPPYLRCKLCKGRLVRVNSVICVFCGRQQSKDPPPEPIKFSSTFAYRWFLQSLDLDGSELVAPSVETNESNRGQRTPKIEVPLSDFLDLEIRWPSEPEKFASSVSEKTPAQWLSTQSLAGVDLDNFFSEAKVDPVSASTEEQFELKKHEDATGSDAFGSQGNLSLFENVKPSEEVPIWSKKDEGGDSFSGWEADFQSAGSGTQQQELKSSDPFMGYSGTGAQQQEFQLFDPFAGSYSVDLSSQMDFVFGSGKDLVADKTKETIISASDDWFEGDLWRKSDTGVAVQEDLSEVPVNAKDHRTDGSRDNSSSMNIDWIQADQWQTTTSSNIAADNKTVNEDDDSFDAWNDFTSSTTAEVPSINSLKQDVSGTVLSAEQEPEDLFSGTIKSKDVDFGGFSQQDYFSGTFHNHNGSAEANIMGSGSSVSASMTGLNGRDGESREEVERMEKLSKENSKLKGDAAEMLMSQMHDLSFMLATDLSLPHKKDPQKIDPFSVLSDKD >Manes.17G015177.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8040186:8041647:1 gene:Manes.17G015177.v8.1 transcript:Manes.17G015177.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.16G084000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29014319:29019911:1 gene:Manes.16G084000.v8.1 transcript:Manes.16G084000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAISSLFSSKNCNLHNSPFSPFHYRSSILHTKSITHLCPNLYSNIYKKNPCNFINFDKKFKSSLAPIMASAFKPEQARAPPAIPLPHPSVTKFKIGLCQLLVTADKERNIAHARKAIEEAAGKGAQLVVLPEIWNSPYSNDSFPVYAEDIDAGSNSSPSTAMLSEVARLLKITIVGGSIPERYGDQLYNTCCVFDTEGKLIAKHRKIHLFDIDIPGKITFIESRTLTAGQSPTIVDTEVGRIGIGICYDIRFQELAMIYAARGAHLICYPGAFNMTTGPLHWELLQRARAVDNQLYVATCSPARDVGAGYVAWGHSTLVGPFGEVLATTEHEQDIIIAEIDYSLIEQRRTNLPLTKQRRGDLYQLVDIQRLNSQP >Manes.16G084000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29014319:29019911:1 gene:Manes.16G084000.v8.1 transcript:Manes.16G084000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAISSLFSSKNCNLHNSPFSPFHYRSSILHTKSITHLCPNLYSNIYKKNPCNFINFDKKFKSSLAPIMASAFKPEQARAPPAIPLPHPSVTKFKIGLCQLLVTADKERNIAHARKAIEEAAGKGAQLVVLPEIWNSPYSNDSFPVYAEDIDAGSNSSPSTAMLSEVARLLKITIVGGSIPERYGDQLYNTCCVFDTEGKLIAKHRKIHLFDIDIPGKITFIESRTLTAGQSPTIVDTEVGRIGIGICYDIRFQELAMIYAARGAHLICYPGAFNMTTGPLHWELLQRARCYSIHLFQFVD >Manes.16G084000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29014319:29019911:1 gene:Manes.16G084000.v8.1 transcript:Manes.16G084000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAISSLFSSKNCNLHNSPFSPFHYRSSILHTKSITHLCPNLYSNIYKKNPCNFINFDKKFKSSLAPIMASAFKPEQARAPPAIPLPHPSVTKFKIGLCQLLVTADKERNIAHARKAIEEAAGKGAQLVVLPEIWNSPYSNDSFPVYAEDIDAGSNSSPSTAMLSEVARLLKITIVGGSIPERYGDQLYNTCCVFDTEGKLIAKHRKIHLFDIDIPGKITFIESRTLTAGQSPTIVDTEVGRIGIGICYDIRFQELAMIYAARGAHLICYPGAFNMTTGPLHWELLQRARCYSIHLFQFVD >Manes.14G099200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8240923:8242081:-1 gene:Manes.14G099200.v8.1 transcript:Manes.14G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHCLEFEANDDQDDYFYAELRQQVLLLISDDNDDLAETRSSNFPADCKPGSNRLTGSFPVKLRPESYFDWWGTRDADSVPTWLVNLWRNGNGTGVFIPQSRRRCVRGRMNKGRRRAYK >Manes.07G091300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28382478:28396451:1 gene:Manes.07G091300.v8.1 transcript:Manes.07G091300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIEQLQVRDRFYKIFMPNCQVISLFEIPKKMSCCGGNCGCGSGCKCGSGCNGCGMYPDISENTRTETLIAGVAPPKMSDEGTEMNFGAESGNGCKCGSSCSCDPCNCK >Manes.15G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4636966:4640814:-1 gene:Manes.15G060600.v8.1 transcript:Manes.15G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPQSHNTPAVNNEEDEWDTDGFVIPSLGIEGPDQAKPDDSVVEPAKPPSPKAKKEENIYLGPHGAPPTQSKQQELNSSSRKQRFKQKLKEADRRNSGTGRENKLENLRELVGGGKGSSNIMGKGSPRDWLDPHCHESQLEKWSSH >Manes.17G107500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31459479:31466782:1 gene:Manes.17G107500.v8.1 transcript:Manes.17G107500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKHRLQNRIQTQHDDSDPFGVTTRPEESVAAPNPSFADGFATKDIHIDAFTSLSVRIFLPESALNPPEPDSRPPSRIKSKSNLKRLDLDQNDNTTGLIANRHNQSWRRNSVGPSATNIITGSSLREESRRNSYGGSNDVEAFNFKSDGVGGVYRGYSPSVDNSRKLPIMLQFHGGGWVSGSKDSVANDLFCRRIARFCDVIVVAVGYRLAPENRYPAAFEDGMKVLNWLAKQANLAECSKSMGNAKGGTEFKKADLQRHVVDAFGASMVEPWLAAHGDPSRCILLGVSCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGSVPTHSEIKLANSYFYDKQMCMLAWKLFLPEEEFSLDHPAANPLVPGRGPPLKLMPPTLTIVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISFRGHEFSY >Manes.17G107500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31459479:31465438:1 gene:Manes.17G107500.v8.1 transcript:Manes.17G107500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKHRLQNRIQTQHDDSDPFGVTTRPEESVAAPNPSFADGFATKDIHIDAFTSLSVRIFLPESALNPPEPDSRPPSRIKSKSNLKRLDLDQNDNTTGLIANRHNQSWRRNSVGPSATNIITGSSLREESRRNSYGGSNDVEAFNFKSDGVGGVYRGYSPSVDNSRKLPIMLQFHGGGWVSGSKDSVANDLFCRRIARFCDVIVVAVGYRLAPENRYPAAFEDGMKVLNWLAKQANLAECSKSMGNAKGGTEFKKADLQRHVVDAFGASMVEPWLAAHGDPSRCILLGVSCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGSVPTHSEIKLANSYFYDKQMCMLAWKLFLPEEEFSLDHPAANPLVPGRGPPLKLMPPTLTIVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISFRGHEFSY >Manes.17G107500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31459479:31466782:1 gene:Manes.17G107500.v8.1 transcript:Manes.17G107500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKHRLQNRIQTQHDDSDPFGVTTRPEESVAAPNPSFADGFATKDIHIDAFTSLSVRIFLPESALNPPEPDSRPPSRIKSKSNLKRLDLDQNDNTTGLIANRHNQSWRRNSVGPSATNIITGSSLREESRRNSYGGSNDVEAFNFKSDGVGGVYRGYSPSVDNSRKLPIMLQFHGGGWVSGSKDSVANDLFCRRIARFCDVIVVAVGYRLAPENRYPAAFEDGMKVLNWLAKQANLAECSKSMGNAKGGTEFKKADLQRHVVDAFGASMVEPWLAAHGDPSRLPMDSSSWNNLMMIFYCSNRCILLGVSCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGSVPTHSEIKLANSYFYDKQMCMLAWKLFLPEEEFSLDHPAANPLVPGRGPPLKLMPPTLTIVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISFRGHEFSY >Manes.01G263200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41801191:41804882:1 gene:Manes.01G263200.v8.1 transcript:Manes.01G263200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKRWVRRNKDYVHSLESLANGLTWLLPERFSASEIGPEAVTAILGVITAINEHIIDTAPTQWQDSSAEPYHFPYSLCISAIKDLETLVEVTAQHYYGEDKKWNFLAVTEALKVLVRLALFWNSGYKILLHGGETPNLEKHSNLCSQQTVGGFSKPANHHGPSHLRDINGWNPWNLEGRALSALSRFGENARMVSDRVWLVRVQHPQAIMKPLSPVVERMTLSTILSEKGLRGALFVMGEVLYITRPLIYVLFIRKHGIRSWIPWFFSLAVDFIGIGVLTQVAKSIHGGKGQPFHLTVSENDELKRRKLLWALYLMRDPFFSKYTRQRLESTEKLMEPVPIVGLLTAKIVELVIGAQTRYTYMSGS >Manes.02G100500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7823128:7829117:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRVCPSFDSFNSLSRAHHPGALEIRVNVEEASNYFHFKLSQDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7822610:7829151:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRVCPSFDSFNSLSRAHHPGALEIRVNVEEASNYFHFKLSQDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7823128:7829115:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRVCPSFDSFNSLSRAHHPGALEIRVNVEEASNYFHFKLSQDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7823128:7829119:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7822482:7829166:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCLTITLTVPKIPVAIRRGKKAEREHMVIRGRYLGTEAENILQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7823128:7829117:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7822483:7829165:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7822609:7829152:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRVCPSFDSFNSLSRAHHPGALEIRVNVEEASNYFHFKLSQDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7822482:7829166:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7823128:7829115:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVYPVCSVLLQDCLKDFTRLAFWRLSIYPSSYHVPNPVLLPTLGYVVEYTNFEANIVRSNCQTSVMKRDSAEIETLNEEVLLDNYPDCSKNPSGNKERQKSRKRTHGNKGKVPWNRGRKHTAETRALIKQRTIGALRDPQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.02G100500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7822482:7829166:-1 gene:Manes.02G100500.v8.1 transcript:Manes.02G100500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFCLTITLTVPKIPVAIRRGKKAEREHMVIRGRYLGTEAENILQVRKKMSEHPHSHSDEIKLKIGSSLRRIWAKRLKWKRLREKFFLSWSKSIAEAARKGGIDQQELDWDSYDKIKEEVTLKQLQRAKDKEKAKELAKITAERAAQAKAEKIAKFAQKRKEREEKAKAREAKKKASRRSKKKARKLSFVQELTLKQRLTKIRKKKSINSQVITQGELSVSHSPAWKKLDVELMKKGKVQKEVSLADQIQAAKNKRTEPMDREALEESSTDHLFT >Manes.15G107800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8633111:8642265:1 gene:Manes.15G107800.v8.1 transcript:Manes.15G107800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATRRLLRDVLYKRVISTPVGGVRHCSSIAETPPKIPHFSRKGRLLTGVTIGLVIAGGAYVSTVDEATFCGWLFNATKLVNPFFALLDAEDAHRLAVAAAARGWVPREKRSDPSILGLEVWGRKFSNPIGLAAGFDKNAEAIEGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLRKEGAIINRCGFNSEGIVVVAKRLGAQHGKRMLAETSSTSAPPSDGKKHGGKAGPGILGVNLGKNKTIEDAAADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTISRPDCIRQSPMAEEAGGLSGKPLFNLSTNVLKEMYILTRGKIPLIGCGGISSGEDAYKKIRAGASLVQLYTAFAYGGPALIPQLKAELAECLQRDGFKSILEAVGADYR >Manes.15G107800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8634415:8642265:1 gene:Manes.15G107800.v8.1 transcript:Manes.15G107800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATRRLLRDVLYKRVISTPVGGVRHCSSIAETPPKIPHFSRKGRLLTGVTIGLVIAGGAYVSTVDEATFCGWLFNATKLVNPFFALLDAEDAHRLAVAAAARGWVPREKRSDPSILGLEVWGRKFSNPIGLAAGFDKNAEAIEGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLRKEGAIINRCGFNSEGIVVVAKRLGAQHGKRMLAETSSTSAPPSDGKKHGGKAGPGILGVNLGKNKTIEDAAADYVQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTISRPDCIRQSPMAEEAGGLSGKPLFNLSTNVLKEMYILTRGKIPLIGCGGISSGEDAYKKIRAGASLVQLYTAFAYGGPALIPQLKAELAECLQRDGFKSILEAVGADYR >Manes.01G057000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:24343440:24344414:-1 gene:Manes.01G057000.v8.1 transcript:Manes.01G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEISRMSDSLYFLYILVQSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDIAQSSEES >Manes.11G128400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29520561:29525091:-1 gene:Manes.11G128400.v8.1 transcript:Manes.11G128400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLDRQDSDVVFQRHLPSCMWRMFQILDYHHWHSVKKLSPRIKHRRGKHAICFRNPKPFSFENYRDEVQSYLDADAVPLLDKQQITEGTQTDRISGKAHIKALIAKEASARSELQRTHSIHHLSSEWKNPIIILHKSAAAASSGLQIQSLPKSPKEKVTCSEKYNLSDTMNDQGCLKQHSLSVKQEFSAEKDDKLVNASLNQNLSDAKQLVRGISSRQFMEGVDVLELFKVNKKLFLEILQDPEAQAAKDFHVQLKSHKKVRLKKSVSFPLADSQSTRFLRPSTLEHKQKEIWSFPKEEKFPDESEVPKFVVSNSSEDSHDKSLCFKSYDSGVFAVTQETDSSSSVLSGGSSKQGWQRSFMLHLKDVMKRIKHTLKESKKEDKQKSMDTILHGELSSDEKDNPVRLEDTTHLDGKENFRSCHENNGSDNDLSKGRLPHIRRISSLNESLDRYARLSEFSITKEAKWHDYQSKSLKLTNEDKFPSTGYSFKSARRRLSLPDLQTFCPLSNETSHDSLHSGMPMKTSIDYDTNEKNDCNNLKSVSIRIDRKQFEPPETVEEAELKKNMVEKPNSCEHDENSVEPIVGIEVEISNTGEQYEDIVEPEMPKPSPCQDQEIGPTLIFSEDHEKQTPTSVPEKHVQDEITGQAEFLVSKGCELDSRLACIDEPDTSVNLQDRPEKDETGHSLHFESSELDDIDFNYVRDVLEVSGFIDQGCLENWHSLDQPLSPTLFKELEAYLHHESEYSSEDVGGDCDHQLLFDLINEVLLQMYKSWLAYFPKSAFVQRVRPLPKGNHTLDEVWKRISWYRSPRLNTQNSLDDIVDKDLAKDDSWMNIQLDVEDIALDLEDLIFDELVDELMHS >Manes.11G128400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29520561:29525091:-1 gene:Manes.11G128400.v8.1 transcript:Manes.11G128400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQSYLDADAVPLLDKQQITEGTQTDRISGKAHIKALIAKEASARSELQRTHSIHHLSSEWKNPIIILHKSAAAASSGLQIQSLPKSPKEKVTCSEKYNLSDTMNDQGCLKQHSLSVKQEFSAEKDDKLVNASLNQNLSDAKQLVRGISSRQFMEGVDVLELFKVNKKLFLEILQDPEAQAAKDFHVQLKSHKKVRLKKSVSFPLADSQSTRFLRPSTLEHKQKEIWSFPKEEKFPDESEVPKFVVSNSSEDSHDKSLCFKSYDSGVFAVTQETDSSSSVLSGGSSKQGWQRSFMLHLKDVMKRIKHTLKESKKEDKQKSMDTILHGELSSDEKDNPVRLEDTTHLDGKENFRSCHENNGSDNDLSKGRLPHIRRISSLNESLDRYARLSEFSITKEAKWHDYQSKSLKLTNEDKFPSTGYSFKSARRRLSLPDLQTFCPLSNETSHDSLHSGMPMKTSIDYDTNEKNDCNNLKSVSIRIDRKQFEPPETVEEAELKKNMVEKPNSCEHDENSVEPIVGIEVEISNTGEQYEDIVEPEMPKPSPCQDQEIGPTLIFSEDHEKQTPTSVPEKHVQDEITGQAEFLVSKGCELDSRLACIDEPDTSVNLQDRPEKDETGHSLHFESSELDDIDFNYVRDVLEVSGFIDQGCLENWHSLDQPLSPTLFKELEAYLHHESEYSSEDVGGDCDHQLLFDLINEVLLQMYKSWLAYFPKSAFVQRVRPLPKGNHTLDEVWKRISWYRSPRLNTQNSLDDIVDKDLAKDDSWMNIQLDVEDIALDLEDLIFDELVDELMHS >Manes.02G057700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4528010:4530918:1 gene:Manes.02G057700.v8.1 transcript:Manes.02G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIITILLRLLPLLDFIFVTTLAQNPPPYTPSDLILINCGASSDDASGDGRRWNGDAHSKFCPFNSQTSPASEASQQDQSIDRVPYMTARIIHSKLTCTFPVLPGTKFIRLYFYPDAYSGLSTSASFFTVTANDYTLLSNFSAYLAVSAKTQAGPYLFKEFIVTVWEDQELKLTFNPSLNSFAFINGIEIVSAPDGLYTRDMDNPYTLVNSNIFFQLDNTTSLETVIRLNVGGQDVNDTGMFRTWRDDSEYIFGGSGLVHKWRDDVTIKYTKDTPAYSAPPNVYITKRSMGPEPLINLNYNLTWHFPVDSGFNYLVRLHFCETEDVITGIDQRVFFIFINNMTAEEEADVFFWSGGRDIPVHKDYVVAVPKGSPSNQDLWLALHPNMHSNPTCADAILNGLEIFKLNNSDGNLAGPNPERLTVPAPPEQHPMLVGRTKRKEPSHVVVIVGSVVSVLFAITLILCFSVYRPKSKAKDKSSMLLFSYTSSSATIALSLPTDICRKFTIAEIRASTRNFDDQTVIGSGGFGTVYKGYIDSGSIPVAIKRLASYSKQGIREFHTEIEMLSKLRHLHLVSLIGYCDDQGEMILIYEYIPHGNLQNHLYKTKNPPLPWKQRLQICIGAARGLHYLHTGAKHCIIHRDVKSSNILLDKNWVAKVSDFGLSKTGPTGEDQTHVSTVVRGSFGYLDPEYYRRQQLTEKSDVYSFGVVLFEVLCARPPVISHLPKEEVILVDWARKCYRRGGLDQIIDPQLKGDIAAVSLNKFGEIADCCLKDKAIERPTMGDVVWSLEFALQLQETAEKIDNAGDVVSESQIGSLVTQEETTTNDDELFTHSGGPVSGSRSTVSIGRSK >Manes.12G060100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5199547:5205024:-1 gene:Manes.12G060100.v8.1 transcript:Manes.12G060100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLNRILATVMISSAALSLTPKPAFAYLTHPASTDSIIFDAKEKMKTMGYHSFFTQKDHESSGDKGNEMQDEIKCGNVVSRLFQMVNKDYKCPTLDHSVSELSKTNCGTFPLEKGKLLKKVLEDENYHVEKMYSSEVWYRDVKKGKGAIATDDQILQLRIHYNLYNDAGDALFNSLEHKTSSVEVHLCDHIFGPGVVKAIKDMRVGGIRRIILPEEYAPMISKRGTPNIKAYGVMDVELVAVCASPVCCS >Manes.14G039200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3386878:3397270:-1 gene:Manes.14G039200.v8.1 transcript:Manes.14G039200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPLVARETSSYTRSTDQMCCEDDSLHLSAEEEIAAEESLSIYCKPVELYNILQRRALRNPSFLQRCLNYKIQAKHKKRIQVTISPFMTANGSSPCSLFPLYILLARAFSDIGVAEYSAVYRFRRACVLTKFSGADGSTPAQANFVFPEINKLALDAKSGSLAFLFVSFAGAQSPVHSIHLSKGHLANFGGCCLLGTIPLESLYMSWENSSNLSLGQRGEVISQVDMKSCFLKLSCFNDDKCLSIQFPYSSETVNISQHIQVNISVEEVGAKEKSPYHSYTCGDMSSSSLSHITRIIRLRAGNVIFNYRYYNNKLQKTEVTENFSCPFCLVKCASFKGLRYHLPSSHDLFNFEFWVTEEFQAVNISVKTDIWRSEIVAHGVDPKQQTFFFCSKKPKHKIHKKLVQNARHVHPFTLESNMRLRASCILDKTDGTEHVPCSFDDVGASGSAIQAYPDPDCVHSASGNNFSPPAMLQFAKTRKLSIERSDLRNRTLLHKRQFFHSHRAQPMTIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALLWCWKLFMIKLWNHGLLDARTMNKCSITLEQYQKQVPDAAKT >Manes.14G039200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3387081:3397270:-1 gene:Manes.14G039200.v8.1 transcript:Manes.14G039200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPLVARETSSYTRSTDQMCCEDDSLHLSAEEEIAAEESLSIYCKPVELYNILQRRALRNPSFLQRCLNYKIQAKHKKRIQVTISPFMTANGSSPCSLFPLYILLARAFSDIGVAEYSAVYRFRRACVLTKFSGADGSTPAQANFVFPEINKLALDAKSGSLAFLFVSFAGAQSPVHSIHLSKGHLANFGGCCLLGTIPLESLYMSWENSSNLSLGQRGEVISQVDMKSCFLKLSCFNDDKCLSIQFPYSSETVNISQHIQVNISVEEVGAKEKSPYHSYTCGDMSSSSLSHITRIIRLRAGNVIFNYRYYNNKLQKTEVTENFSCPFCLVKCASFKGLRYHLPSSHDLFNFEFWVTEEFQAVNISVKTDIWRSEIVAHGVDPKQQTFFFCSKKPKHKIHKKLVQNARHVHPFTLESNMRLRASCILDKTDGTEHVPCSFDDVGASGSAIQAYPDPDCVHSASGNNFSPPAMLQFAKTRKLSIERSDLRNRTLLHKRQFFHSHRAQPMTIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALLWCWKLFMIKLWNHGLLDARTMNKCSITLEQYQKQVPDAAKT >Manes.14G039200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3387081:3397270:-1 gene:Manes.14G039200.v8.1 transcript:Manes.14G039200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPLVARETSSYTRSTDQMCCEDDSLHLSAEEEIAAEESLSIYCKPVELYNILQRRALRNPSFLQRCLNYKIQAKHKKRIQVTISPFMTANGSSPCSLFPLYILLARAFSDIGVAEYSAVYRFRRACVLTKFSGADGSTPAQANFVFPEINKLALDAKSGSLAFLFVSFAGAQSPVHSIHLSKGHLANFGGCCLLGTIPLESLYMSWENSSNLSLGQRGEVISQVDMKSCFLKLSCFNDDKCLSIQFPYSSETVNISQHIQVNISVEEVGAKEKSPYHSYTCGDMSSSSLSHITRIIRLRAGNVIFNYRYYNNKLQKTEVTENFSCPFCLVKCASFKGLRYHLPSSHDLFNFEFWVTEEFQAVNISVKTDIWRSEIVAHGVDPKQQTFFFCSKKPKHKIHKKLVQNARHVHPFTLESNMRLRASCILDKTDGRKDFPNANIGAVAGTEHVPCSFDDVGASGSAIQAYPDPDCVHSASGNNFSPPAMLQFAKTRKLSIERSDLRNRTLLHKRQFFHSHRAQPMTIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALLWCWKLFMIKLWNHGLLDARTMNKCSITLEQYQKQVPDAAKT >Manes.14G039200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3386878:3397270:-1 gene:Manes.14G039200.v8.1 transcript:Manes.14G039200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPLVARETSSYTRSTDQMCCEDDSLHLSAEEEIAAEESLSIYCKPVELYNILQRRALRNPSFLQRCLNYKIQAKHKKRIQVTISPFMTANGSSPCSLFPLYILLARAFSDIGVAEYSAVYRFRRACVLTKFSGADGSTPAQANFVFPEINKLALDAKSGSLAFLFVSFAGAQSPVHSIHLSKGHLANFGGCCLLGTIPLESLYMSWENSSNLSLGQRGEVISQVDMKSCFLKLSCFNDDKCLSIQFPYSSETVNISQHIQVNISVEEVGAKEKSPYHSYTCGDMSSSSLSHITRIIRLRAGNVIFNYRYYNNKLQKTEVTENFSCPFCLVKCASFKGLRYHLPSSHDLFNFEFWVTEEFQAVNISVKTDIWRSEIVAHGVDPKQQTFFFCSKKPKHKIHKKLVQNARHVHPFTLESNMRLRASCILDKTDGRKDFPNANIGAVAGTEHVPCSFDDVGASGSAIQAYPDPDCVHSASGNNFSPPAMLQFAKTRKLSIERSDLRNRTLLHKRQFFHSHRAQPMTIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFSRLHGHDLARAPALLWCWKLFMIKLWNHGLLDARTMNKCSITLEQYQKQVPDAAKT >Manes.05G042100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3399955:3404182:1 gene:Manes.05G042100.v8.1 transcript:Manes.05G042100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFEVQKRPGGRPLGPPPRGRPPLQPVDREKTCPLLLRVFTKIGSHHSKEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAATRRDARLSFAFVYPDKNGRFVVREVGKTYSNRNGKLDDGKALAELGFQIGDYLDVAIL >Manes.18G056901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4751999:4752175:1 gene:Manes.18G056901.v8.1 transcript:Manes.18G056901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESASLLALLMFQYKLATQVSEQMIAHRRGLLTRKKNPAKNGLKRWWLQEVKSTKNA >Manes.02G139900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10768539:10769527:1 gene:Manes.02G139900.v8.1 transcript:Manes.02G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALSPCFHQTRSSPVKLIFWEGATRILAGKHIAGEIMFENPDKIICHADSFFIGHPIPALAIDDELKPGQTYFLLPIDRISFNHVLSAASLAALTSSSCPTPAPINFSECDPFQYVKGPNGRILIKVVPEFITRLLNRGKEQENNGSASENGNSFLCSTPELKKHYEQLVRSKEQTWSPKLETISEFKIRYSPCRLLGLEWKQKEENYA >Manes.08G088200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25326807:25329840:1 gene:Manes.08G088200.v8.1 transcript:Manes.08G088200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCNGGLNQMRAVICDMVAIARYLNVTLIVPELDKTSFWADPSLVNCDIVAIMRYIKGSKVEVLSKQGVPSGFWRCAEIICGNGHTYTVRYEGHASTIDETVMERISRNAIRPCPPLPEIAEDWVPGDVVEVFDDFSWKTATISKMLGKKYFLVRIIGSSLEFKVSKFDIRTRQSWQDDEWIVIGKGPGSCEGAKHDNNSTTKCERKSMNNVRMAKTRLNERVKNDCFPKVNKENLQEPNIVFNRTLKRGPYGYKQAEAHNGAAQKFRAVEKEGNLVIIIQTVMMIQMMNLLV >Manes.10G049800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5496661:5497365:1 gene:Manes.10G049800.v8.1 transcript:Manes.10G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASFAFFMLLLFSFLLLSFPITMVTARPLELKHSRVSITFKPKTSYRGRDFGGRDVESCLPKGFHQTSAPSRYINYGTLGSTMCETGKKHVDAP >Manes.13G084916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14147029:14166240:1 gene:Manes.13G084916.v8.1 transcript:Manes.13G084916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREQGSNSQPAEAAITADQTSKGYYKFGRSPTAFLHLNQTCVCVASKF >Manes.12G139400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34581465:34582376:-1 gene:Manes.12G139400.v8.1 transcript:Manes.12G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGAAYAEVYLMGKLQKEKLKKAEEERRRRNSSTGSEEKKVSSSGLIRSNKKVHPGNYFPGAATSSAGNQGE >Manes.03G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23031605:23033226:-1 gene:Manes.03G106900.v8.1 transcript:Manes.03G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKAHEGKRSSRERVMFKDDCIVDEDLDDDMEEEDGSGGFVDDEKKKGVGMVHGKRGNSGGGGSSGGSSSPSCQVERCGADLTEAKRYHRRHKVCEIHAKAPAVIVSGIRQRFCQQCSRFHELSEFDEAKRSCRRRLAGHNERRRKSSAESYGEGSSGRKGLFGGQAQLKESQFRQADERGKYHITIPGNNSATYKRSQIL >Manes.15G014400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1194831:1207506:-1 gene:Manes.15G014400.v8.1 transcript:Manes.15G014400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSYINEATSNKNGENTNKSRQRKRKLSDMLGPQWSKEELERFYKAYRKHGKDWEKITATVRDRSVENVEALYTMNRAYLSLPRGYASAAGLIAMMTDHYSNLEESESEQETNEPVVAHQKPQKGARGTKELDASPAPDLVQSQSGASNYGCLSLLKKRRSGSRPWAVGKRTPRVPVSYSFDKDNREKYISPIRQGLKLKGDALDDDVAHEIALVLTEASQKAGSPQVSQTPKRKPETPSPVRNGEHVPAESETTSTKLRGSEMDEGGCELSLGRTEADLGHYVRNKRFAKGKRYHVRKPEVEENVDDHLDDLKEACSGTEEGQKLGAVKGKLEMEGLGTKLVRSSSKGPRKRSKKVLFGEGEADAFDALQALADLSLRLPEAPVDTESSVHVEEQKNEIVSKTKLKGNHSTPRVKVASKTTKQGKGFPHVVKSIPEVKDGDHQINVGIRKRRKKSLPSKILENEERIDSHLGEFQKVEGTDDVSHLINKAKCSHDSAYQKQGKLMKSQELTSTDHGRDSNDSAPSSIQVLSSNQFNLPTKVRSRRKVKSPKPSIDKDAPSSENIVNGQYNIAIPSLNDNAFNLKKKLSNCLSWYQVRRWCVFEWFYSAIDYPWFAKREFVEYLDHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLNQYRESVRNHYTELRAGTRDGLPTDLARPLSVGQRIIALHPRTREIHDGSVLTVDHNRCRVQFDEPELGVEFVMDVDCMPLNPLENMPASLTRHNIFFNKFIENLNDIKMNGQPMERKVEGYIKLAPCENLENGTGFPHTSPSTHLISNLFQHAKGGSTNSTMQVSVESGEPVIAQPFILAHVQAKEADIQALSELTRALDKKEAVVSELKRLNDEVENQKNGENSLNDSELFKKHYAAVLLQLNEVNEQVSSALYCLRQRNTYQGNNPHIWLKPIICIGEPAGSCGSVDHSADETQEPGSHVSEIVESSRAKAQTMVDAAMQALSSLKKGGSGFESIEEAIDFVNNQLSVDDLSTASMQTSTTANLAHSSLASQDQPSSCATNVGTNNHAPGTHLDLISDQNEAQIPSELITQCVATLLMIQKCTERQFPPSDVAQVLDSAVTSLKPCCSQNLPIYADIQKCMGIIRNQILALIPT >Manes.15G014400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1194831:1207506:-1 gene:Manes.15G014400.v8.1 transcript:Manes.15G014400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSYINEATSNKNGENTNKSRQRKRKLSDMLGPQWSKEELERFYKAYRKHGKDWEKITATVRDRSVENVEALYTMNRAYLSLPRGYASAAGLIAMMTDHYSNLEESESEQETNEPVVAHQKPQKGARGTKELDASPAPDLVQSQSGASNYGCLSLLKKRRSGSRPWAVGKRTPRVPVSYSFDKDNREKYISPIRQGLKLKGDALDDDVAHEIALVLTEASQKAGSPQVSQTPKRKPETPSPVRNGEHVPAESETTSTKLRGSEMDEGGCELSLGRTEADLGHYVRNKRFAKGKRYHVRKPEVEENVDDHLDDLKEACSGTEEGQKLGAVKGKLEMEGLGTKLVRSSSKGPRKRSKKVLFGEGEADAFDALQALADLSLRLPEAPVDTESSVHVEEQKNEIVSKTKLKGNHSTPRVKVASKTTKQGKGFPHVVKSIPEVKDGDHQINVGIRKRRKKSLPSKILENEERIDSHLGEFQKVEGTDDVSHLINKAKCSHDSAYQKQGKLMKSQELTSTDHGRDSNDSAPSSIQVLSSNQFNLPTKVRSRRKVKSPKPSIDKDAPSSENIVNGQYNIAIPSLNDNAFNLKKKLSNCLSWYQVRRWCVFEWFYSAIDYPWFAKREFVEYLDHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLNQYRESVRNHYTELRAGTRDGLPTDLARPLSVGQRIIALHPRTREIHDGSVLTVDHNRCRVQFDEPELGVEFVMDVDCMPLNPLENMPASLTRHNIFFNKFIENLNDIKMNGQPMERKVEGYIKLAPCENLENGTGFPHTSPSTHLISNLFQHAKGGSTNSTMQVSVESGEPVIAQPFILAHVQAKEADIQALSELTRALDKKEAVVSELKRLNDEVENQKNGENSLNDSELFKKHYAAVLLQLNEVNEQVSSALYCLRQRNTYQGNNPHIWLKPIICIGEPAGSCGSVDHSADETQEPGSHVSEIVESSRAKAQTMVDAAMQALSSLKKGGSGFESIEEAIDFVNNQLSVDDLSTASMQTSTTANLAHSSLASQDQPSSCATNVGTNNHAPGTHLDLISDQNEAQIPSELITQCVATLLMIQVSIRNVQNGSFRQVMLPRY >Manes.15G014400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1194831:1207506:-1 gene:Manes.15G014400.v8.1 transcript:Manes.15G014400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKSRSVNKRFSYINEATSNKNGENTNKSRQRKRKLSDMLGPQWSKEELERFYKAYRKHGKDWEKITATVRDRSVENVEALYTMNRAYLSLPRGYASAAGLIAMMTDHYSNLEESESEQETNEPVVAHQKPQKGARGTKELDASPAPDLVQSQSGASNYGCLSLLKKRRSGSRPWAVGKRTPRVPVSYSFDKDNREKYISPIRQGLKLKGDALDDDVAHEIALVLTEASQKAGSPQVSQTPKRKPETPSPVRNGEHVPAESETTSTKLRGSEMDEGGCELSLGRTEADLGHYVRNKRFAKGKRYHVRKPEVEENVDDHLDDLKEACSGTEEGQKLGAVKGKLEMEGLGTKLVRSSSKGPRKRSKKVLFGEGEADAFDALQALADLSLRLPEAPVDTESSVHVEEQKNEIVSKTKLKGNHSTPRVKVASKTTKQGKGFPHVVKSIPEVKDGDHQINVGIRKRRKKSLPSKILENEERIDSHLGEFQKVEGTDDVLSSNQFNLPTKVRSRRKVKSPKPSIDKDAPSSENIVNGQYNIAIPSLNDNAFNLKKKLSNCLSWYQVRRWCVFEWFYSAIDYPWFAKREFVEYLDHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLNQYRESVRNHYTELRAGTRDGLPTDLARPLSVGQRIIALHPRTREIHDGSVLTVDHNRCRVQFDEPELGVEFVMDVDCMPLNPLENMPASLTRHNIFFNKFIENLNDIKMNGQPMERKVEGYIKLAPCENLENGTGFPHTSPSTHLISNLFQHAKGGSTNSTMQVSVESGEPVIAQPFILAHVQAKEADIQALSELTRALDKKEAVVSELKRLNDEVENQKNGENSLNDSELFKKHYAAVLLQLNEVNEQVSSALYCLRQRNTYQGNNPHIWLKPIICIGEPAGSCGSVDHSADETQEPGSHVSEIVESSRAKAQTMVDAAMQALSSLKKGGSGFESIEEAIDFVNNQLSVDDLSTASMQTSTTANLAHSSLASQDQPSSCATNVGTNNHAPGTHLDLISDQNEAQIPSELITQCVATLLMIQKCTERQFPPSDVAQVLDSAVTSLKPCCSQNLPIYADIQKCMGIIRNQILALIPT >Manes.11G101450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:22623362:22624471:-1 gene:Manes.11G101450.v8.1 transcript:Manes.11G101450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEKKISPFSFSDSCFPVSHSHLHQRITCNLHLNLYCDHLPLLTLDAPLHLPLFSRPTTTGNHSSLYLLLPVLLLQGFSLFSTMDGISLFVVEFFAPKGYC >Manes.12G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4993161:4995009:-1 gene:Manes.12G054100.v8.1 transcript:Manes.12G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEVQNGGSAKADVEVTFTAVKPQLLIEAPKANDAVQFYKAAFGAVEAGRITQPKRKAEQELPHIISAQLQLAGTTIIVSDLVDDSAPVKTVGTGISLCLETEDIETAISKAVSAGAVAEGEIVEGDGAYYGGGRVGKVKDPYGLVWVISSPAKKSITDAEV >Manes.05G002100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:240111:245217:1 gene:Manes.05G002100.v8.1 transcript:Manes.05G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRAKLLFILCVLSYALAAIAGKSYYDTLQVPRSASDEQIKRAYRKLALKYHPDKNPGNEEANKRFAEINNAYEVLSDSQKRDIYDKYGEEGLKQHMASGGRGGGMGMNINDIFRDFFSGGGEMEEEETIVKGDDVIVELEATLEDLYMGGSLKVWREKNIIKPAPGKRRCNCRNQVYHRQIGPGMFQQMTEQVCDQCPNVKYEREGYFVTVDIEKGMQDGQEVIFYEDGEPKIDGEAGDLKFRIRTAPHDRFRREGNDLHTSVTIRLVQALVGFEKTIKHLDGHLLDISTKGITKPTEVRKFKGEGMPLHFSTKKGDLYVTFEVLFPTSLTEDQKTKIKEILG >Manes.11G065775.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9216033:9219802:-1 gene:Manes.11G065775.v8.1 transcript:Manes.11G065775.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSSIYNILEIVLSRKIPQSNGGIKEACRFYLIESSFALAIAFFINGAVISVSGAVCNSSNLNPEDQSKCKDLDLNQASFLLRHVLGSWTSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASISAITWIIGSLIMAINIYYLATGFIKILLHGHLEVVEVVFLGIFGFSAMALYLAAIAYLVFRKNKEATHLLALTTPESRQMSNELGDTPMHNLPREDIVRMQLPQRRSTEEVVGD >Manes.11G065775.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9215908:9221553:-1 gene:Manes.11G065775.v8.1 transcript:Manes.11G065775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNDCSVREYDMERFQLLNHFQFCWPVNEACRFYLIESSFALAIAFFINGAVISVSGAVCNSSNLNPEDQSKCKDLDLNQASFLLRHVLGSWTSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASMILSFELPFALIPLLKFTSCQTKMGMHANSTVISAITWIIGSLIMAINIYYLATGFIKILLHGHLEVVEVVFLGIFGFSAMALYLAAIAYLVFRKNKEATHLLALTTPESRQMSNELGDTPMHNLPREDIVRMQLPQRRSTEEVVGD >Manes.11G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29023760:29026448:-1 gene:Manes.11G127400.v8.1 transcript:Manes.11G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTLMGSLKFQFPPLKICQIPQKLHFQASIITCSLRGGPRKPLWRSKRLSTEAIQAIQSLKLAKSSTLRLEEVFNSKLSRLLKADLLDTLDVLQNQNELDLALKVFEFVQKEVWYKPDISLYQSMIQMLGKNKLIEVAEEFFSKVEEEGLKPDTRTYTEMIGAYLRADMIDKAMETYGNMKASGCTPDKLTFTILIRNLEDAGREELVSSIKKECGEYMDYPEKFLEEVEQKKHVKRQTLKLV >Manes.15G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8348608:8361172:1 gene:Manes.15G105500.v8.1 transcript:Manes.15G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAESDEPDKKRPHLTSLLSPSMARNPTTSPPSNKTVDVAVLQDQNQKLVQQLDAQKHELQDIEAKIQLLKEKQTSYDDMLITVNQLWNQLVDDLVLLGVRAGGGQDGLETLNHSDYSGGSIPSCPAEEIFLCRLLGMDSIQSNGNNGVVGCVEEALSSRRSSTMKLMKYLEDIIDAQRVRTGSIAQALLGNLSTEDSIIQFSRMDDMMKEEAKNLRNVIDVLHLKHKEYTDEIQTSISRNSVDQSEIKRIAGEIEEIMAELEESRRKLVNLKMQKDAAARMHTPASSAVNGSLTPEKPTERSKGLRELKDSIEETKILVADRLSEFQDAQDENHILSNELENLQEELKDDKYIHSSRLYNLLNDQLQHWHAEAERYKALTDSLQADRSLVVRREKEVNAKIESADSARNIVGTSESRIEELELQLQKCILEKNDLEIKMEEAMQDSGRKDIKAEFRVMAAALSKEMGMMEAQLTRWKQTAHEALSLREESQSLRVLLSEKTNEQKCLTSKCAEQMSEIKSLKTLIEKLQKEKLELQIILDMYGQEGYDSRDMLEIKESERKAHSQAEVLRSALDEHSLELRVKAANEAEAACQQRLSTAEAEIVELRAKLDASERDVWELSEAIKNKDREAEAYISEIETIGQAYEDMQTQNQHLLQQVTERDDYNIKLVSDSVKTKQAQSTLLAEKQALSKQLQQVNASVEYLKMRIAQSEDQMKVCLAEAIGLTEEDRHLAVNLETARWELIDAEKELKWLKYSGSSSEKEYEQIQKKIDEVQTELHNERSERKKLQEELMELNDKIAELSSESGEAAIQRLQEELKECKSMLKCSVCSDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQSDVRFVKI >Manes.11G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27487752:27491599:1 gene:Manes.11G117300.v8.1 transcript:Manes.11G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETLIFVFLLVSQSCNAFETNRCAPSACGNIRNISYPFRLETDSINCGDHRYNLSCENNSTVLNLYGGKYYVQAINYHNFTIRLVDAGVRQHDCSSIPRFPLSHYHFRQGDPYWSDEGLGYSSLSGSILFLKCQNPVNFSLYPYMDAAPCISTGLKYSYVMVIRSPWVSNLMDLCSVEMMTLIPSAALDYYSYYGQKNVSFNEIHKYLAFGFEISWFDSLYCVNCTYGCYLENNTNRVHCRTWKSKIDIGYRLRVAVYYWLPMNILPNVGKFCALRTTFGALFIAAFLKYKWQRRHLSGYDAIEEFLQNHNNLMPIRYSHSDIKKITGSFKEKLGEGGFGCVYKGKLRSGKVAAIKILNSSKANGQDFINEVATIGRIHHANVVQLIGFCFERSKQALIYEFMPNGSLNNYIGRQEGSISLSWEKLYEISLGVAHGIEYLHEGCDMQILHFDIKPHNVLLDENFKPKISDFGLAKFYPTKGNIASLTAVRGTMGYMAPEFFYKNIGRVSYKADVYSFGMLILEMADKRKNVNSHAEHLSEVHYPFWVHDQLSNGKLPIEDITEGENVIARKIILTGLWCVQMQPCDRPPMKKVIEMLEGDLESLQLPPRPILFPATPVTMDRGELSSELGSSSLIENSS >Manes.06G086100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22186272:22187183:1 gene:Manes.06G086100.v8.1 transcript:Manes.06G086100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHNNSASSANDPRQPSAAKPYSPPMVLPQDLPVDYAGFIAVIFGVAGVMFRYKLCSWLAIIFCAQSLVNMRNVENDLKQVSMASM >Manes.06G086100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22186272:22188830:1 gene:Manes.06G086100.v8.1 transcript:Manes.06G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHNNSASSANDPRQPSAAKPYSPPMVLPQDLPVDYAGFIAVIFGVAGVMFRYKLCSWLAIIFCAQSLVNMRNVENDLKQVSMASMFAIMGLVTNYLGPARHSPQAQK >Manes.05G013304.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:757165:758544:1 gene:Manes.05G013304.v8.1 transcript:Manes.05G013304.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFKKMSINASSSSSNCEVDIENISFDTGLQSNVMRHSLDVRNQVCEAYLLKGSCQPCSHKFSQRVDGNRNKRFIVSCITKDATYCLYCYLFLSGRSERGHDSFVTEGFTNRRKKERLREHVGDHWGPLMLHNNRQSW >Manes.05G134000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23548327:23551202:1 gene:Manes.05G134000.v8.1 transcript:Manes.05G134000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWSVWLYIISLIIIIATHWIYRWRNPKCNGKLPPGSMGIPFIGETIQFLIPSKSLDVPNFIKKRMNKYGPLFRTNLVGRPVIVSSDPDFNYYLLQREGKLVERWYMDSFSKLLHHDVTQIIIKHGSIHKYLRNLVLGHFGPEPLKDKLLPQLESAISQRLQDWSKQPSIEAKSASSAMIFDFTAKILFSYEPEKSGENIGEIFSNFLQGLMSIPLNIPGTAFHRCLKNQKRAIQMITEILKERRSNPEIHKGDFLDQIVEDMKKDSFWTEEFAIYMMFGLLLASFETISSTLALAIIFLTDNPPVVQKLTVSNAIFLMASSEISYV >Manes.14G091600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7568545:7574875:1 gene:Manes.14G091600.v8.1 transcript:Manes.14G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVLSRSLAAAALVSLPTSTPFSRKHLNNKSFSLISTFLPQSNGLKKGFSCTGLNWKLEKRNNRIAVRCDAAVAEKEAADTSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGDLEIRIKSDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLQVLKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPRSDKQYVWEAVADSSSYVIKEESDPEKLLRRGTQITLYLREDDTYEFSDPARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEEAKPEDEKKKTKKTKTEKYWDWELANETKPIWMRSPKEVEKDEYHEFYKKTFNEFLDPIAYTHFTTEGEVEFRSVLYIPGMGPLNNEEVVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQELSESENKEDYKKFWENFGRFLKLGCIEDTGNHKRITPLLRFYTSKSEDELITLDEYVENMGEKQKAIYYLATDSLKSAKSAPFLEKLVQKGIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEFNLLCDWIKQQLGDKVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEINPDHPIIKDLNAACKNSPDSSDAKRAVDLLFDTALISSGFSPDSPAELGNKIYEMMAIALGGRWGRSEDDEAEAVDNNAAEAESTANADEATDPEVVEPSEVRAENDPWNN >Manes.05G015100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1405379:1411878:-1 gene:Manes.05G015100.v8.1 transcript:Manes.05G015100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVEQGRVYCDKEEQEEEEEGEEEEEMTPNKLPFSSPSSSSSSSTKYRNFLPQHQDLWLGSSESQQEYKSRESSSLNFDKRLELMDLTLGNDNGTVNTGGTTHEQPIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDPGDIVSFQRGVGESGKHRLYIDWRRRPNAPDPTSFAHLELQNQLHFPQSLRWGRLYSLPQPLSSIARPYEQPQFQHLSYGIHHQPYHQQYHYNQQGQYYLRPAGALPIGAVHQQGGGQAPIVIDSVPVVHGRSVGKRLRLFGVNVECPTQDDRSSFSSSSGTIQAHGSSTTMGSFSPHLTASSLQPPQSRLPSSGPMATTQEAEFSKKGKTSLSFDLDL >Manes.05G015100.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1407745:1411878:-1 gene:Manes.05G015100.v8.1 transcript:Manes.05G015100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVEQGRVYCDKEEQEEEEEGEEEEEMTPNKLPFSSPSSSSSSSTKYRNFLPQHQDLWLGSSESQQEYKSRESSSLNFDKRLELMDLTLGNDNGTVNTGGTTHEQPIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDPGDIVSFQRGVGESGKHRLYIDWRRRPNAPDPTSFAHLELQNQLHFPQSLRWGRLYSLPQPLSSIARPYEQPQFQHLSYGIHHQPYHQQYHYNQQGQYYLRPAGALPIGAVHQQGGGQAPIVIDSVPVVHGRSVGKRLRLFGVNVECPTQDDRSSFSSSSGTIQAHGSSTTMGSFSPHLTASSLQPPQSRLPSSGPMATTQEAEFSKKGKTSLSFDLDL >Manes.05G015100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1407744:1411888:-1 gene:Manes.05G015100.v8.1 transcript:Manes.05G015100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVEQGRVYCDKEEQEEEEEGEEEEEMTPNKLPFSSPSSSSSSSTKYRNFLPQHQDLWLGSSESQQEYKSRESSSLNFDKRLELMDLTLGNDNGTVNTGGTTHEQPIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDPGDIVSFQRGVGESGKHRLYIDWRRRPNAPDPTSFAHLELQNQLHFPQSLRWGRLYSLPQPLSSIARPYEQPQFQHLSYGIHHQPYHQQYHYNQQGQYYLRPAGALPIGAVHQQGGGQAPIVIDSVPVVHGRSVGKRLRLFGVNVECPTQDDRSSFSSSSGTIQAHGSSTTMGSFSPHLTASSLQPPQSRLPSSGPMATTQEAEFSKKGKTSLSFDLDL >Manes.05G015100.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1405379:1411878:-1 gene:Manes.05G015100.v8.1 transcript:Manes.05G015100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVEQGRVYCDKEEQEEEEEGEEEEEMTPNKLPFSSPSSSSSSSTKYRNFLPQHQDLWLGSSESQQEYKSRESSSLNFDKRLELMDLTLGNDNGTVNTGGTTHEQPIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDPGDIVSFQRGVGESGKHRLYIDWRRRPNAPDPTSFAHLELQNQLHFPQSLRWGRLYSLPQPLSSIARPYEQPQFQHLSYGIHHQPYHQQYHYNQQGQYYLRPAGALPIGAVHQQGGGQAPIVIDSVPVVHGRSVGKRLRLFGVNVECPTQDDRSSFSSSSGTIQAHGSSTTMGSFSPHLTASSLQPPQSRLPSSGPMATTQEAEFSKKGKTSLSFDLDL >Manes.05G015100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1405863:1411364:-1 gene:Manes.05G015100.v8.1 transcript:Manes.05G015100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVEQGRVYCDKEEQEEEEEGEEEEEMTPNKLPFSSPSSSSSSSTKYRNFLPQHQDLWLGSSESQQEYKSRESSSLNFDKRLELMDLTLGNDNGTVNTGGTTHEQPIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDPGDIVSFQRGVGESGKHRLYIDWRRRPNAPDPTSFAHLELQNQLHFPQSLRWGRLYSLPQPLSSIARPYEQPQFQHLSYGIHHQPYHQQYHYNQQGQYYLRPAGALPIGAVHQQGGGQAPIVIDSVPVVHGRSVGKRLRLFGVNVECPTQDDRSSFSSSSGTIQAHGSSTTMGSFSPHLTASSLQPPQSRLPSSGPMATTQEAEFSKKGKTSLSFDLDL >Manes.05G015100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1407744:1411888:-1 gene:Manes.05G015100.v8.1 transcript:Manes.05G015100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVEQGRVYCDKEEQEEEEEGEEEEEMTPNKLPFSSPSSSSSSSTKYRNFLPQHQDLWLGSSESQQEYKSRESSSLNFDKRLELMDLTLGNDNGTVNTGGTTHEQPIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSTSNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDPGDIVSFQRGVGESGKHRLYIDWRRRPNAPDPTSFAHLELQNQLHFPQSLRWGRLYSLPQPLSSIARPYEQPQFQHLSYGIHHQPYHQQYHYNQQGQYYLRPAGALPIGAVHQQGGGQAPIVIDSVPVVHGRSVGKRLRLFGVNVECPTQDDRSSFSSSSGTIQAHGSSTTMGSFSPHLTASSLQPPQSRLPSSGPMATTQEAEFSKKGKTSLSFDLDL >Manes.07G072614.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:20192819:20193471:1 gene:Manes.07G072614.v8.1 transcript:Manes.07G072614.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQHYLVMTLLLLLLLPLLIIGSHQTLCLIQPSSNTTVRLRTKSTCKLISGIYKTQIFNTIAKM >Manes.11G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11233582:11236782:-1 gene:Manes.11G076300.v8.1 transcript:Manes.11G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAARRVYSLCSTQPSWRPNQAASAIVSRNPIAGGDSSLSEDFKSKALNDFFAFRPHFHLPNRGFSSESLTPRNEEGIISDIPATVAAVKNPTSKIVYDEYNHERFPPGDPSKRAFAYFVLTGGRFVYASIIRLLILKFVLSMSATKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDLKVANSVDLASLRDPQADADRVKNPEWLIVIGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLDENKLMIG >Manes.12G039212.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3200966:3236113:-1 gene:Manes.12G039212.v8.1 transcript:Manes.12G039212.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACLLLLSLIFHFYSSVSDSSLQEGYSLSVNGVLVSPTGVFSARFYPVGDNAYCFSIWFTEPSCNRDSCTIVWMANRDFPVNGVRSKLWLQTTGNLVLTDAGPRSIAWSTHTASLSSTELILLDTGNLVLRSLNGVILWQSFDSPTNTLLPSQRFNKDVQLVSSRTPTNFSSGFYKLFFDNDNLLRLLYDDSEVTSVYWPTPWLPPWLTNRFPYNSSRFAFLDSLGKFTSSDNLSFVSADYGVRLQRRLPLDFDGNLRLYSRKEDNTTWVVSWQALSQPCRIHGACGPNSVCNYDPVSGRRCSCLPGYQEKNANDLSSGCESKFNNISSDNDTYQTRFIRLSHVEFYGYDHRVYYGYTLDMCRNLCLSLSDCKGFQYRYLEGGLSLMKEFKLTAYFSACYPKVLLLNGRRSPNVDTTLYLKVSKNSLLSNEEEFSEFGLNCSDEILRPLERAYPKSSQNEQLQLILLFAIALGAVEITGIFLVWCFMFSSSQNSNEASQGYHHHHPEITGFRRFTYSELKKATGNFSVEIGRGARGTVYKATLPDNRIAAIKRLNSIANQGEAEFLAEVSIIGKLNHMNLIEMWGYCAERNHRLLVYEYMEHGSLAESLSSRLLDWPKRFQIALGIARGLAYLHEESLECVLHCDVKPQNILLDSNYQPKVSDFGLSKMLNRSSGHLSDSSFSRIRGTRGYMAPEWVSNLPITSKVDVYSYGIVVLEIITGKSPANGESSSSGEGKELQRGLVTWVTENKKNEGESWMKKIIDPTLKGEYNKGEMEIIAKLAMQCVKEDRNARPAMSKVVEILLHRSQYSSPVKIDRLSSE >Manes.11G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28935345:28952865:-1 gene:Manes.11G126700.v8.1 transcript:Manes.11G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEDEKQWSCPKAGAVNLQRVGSLVRDIGEPCLSQSPIKVVIAISRMLKPDKWQAIFDTDGKVFGFQKALKSILVGGVDPAIRPEVWEFLLGCYSLDSTAEYRRQLRTARRERYEDLVRQCQMMHSSVGTGALAYIVGSKIMDMRMLSKDEGRGEAKVKSRHTSFDAANKLENYSDWNNNCTETPYECERESSSDSGELVSVRGSTDSPTYDSCFLPASGQYSSGSHERGGEVHESQYVAKSYFDFPPLPVTDLFDRGEDEGECVVHDFEPSTQQTLSFQDGRMHIFQIDNNIDLIMESGGSPANNVSHYNNSEIEIVHDDDHEPVLWSNNQEYEKEIVNRLRISDVPETSLLNAASQGGATGEDKVSEWLWTLHRIVVDVVRTDCHLEFYEDKKNLARMSDILAVYAWIDPATGYCQGMSDLLSPFVFLFEDNADAFWCFEMLIRRMHENFQMEGPTGVIKQLQALWHILEFTDREIFAHLSRIGAESLHFAFRMLMVLFRRELSFNEALQMWEMMWAADFDESLAFDLEENCPEPLVLHLPRDSGGEIKEESTENGNGKSTEHGNGKSTENGNGCSNDSSQMKHANMDHSMSEDTAMKSALAYPFCGLTRSLWSRSDRMHIGNLVSSTKNGDDELPVFCVAAILIMNRHKIIRETRSIEDMIKIFNDRLPKIHVKRCIRAAIKLRKKYFHKWKDQKVQQVIFWSIWFLINFLLWTLLTG >Manes.07G062332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9332439:9335962:-1 gene:Manes.07G062332.v8.1 transcript:Manes.07G062332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAISQAAAASTDKTPNHARPPLLPSEKDQQNNGGHVPARKPRGKQVPSRYLSPSPSSSTTTTSTTSSSSSASSFSKRFPSPLLSRSTNSGPAHTPSSFSYFSGPKRSQSVDRRPLVTPRPTTPNPESKQGNATEMSAATRMLITSTRSLSAKAVTSPNVGRKATPERRKATPVRDQGENSKPLDQHRWPGGPMLSRSLDCSGGDKRSLGSGLMMVKSLQPSVMVDERRLSLDLGNAKQNPDANSVIQYSLTGDLTVSDSDSVSSGSTLGLHELGSGISKGKNGARGIFVSARFWQETNSRLRRLQDPGSLLPTSPNSRMSISSKTSQSKRFGVDGTVTSPRTIASSTIRGATRPASPTKLWTPTASSPSRGISSPSRVRPMSSYPGSTPSILSFSVDLRRGKMGEDRIVDAHMLRLLYNRYLQWRFVNAKADASFMLHRLNAEKILWYVWVTISELRHSVILKRIKLLLLRQKLKLTSILKGQVRFTNSLYFN >Manes.05G040100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3264292:3267395:-1 gene:Manes.05G040100.v8.1 transcript:Manes.05G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVTTIIGFGMSATFIVFVCTRIICGRLRASASRQMFEIESRNIDLEQPEHRISGLEPVLVSAIPTLKFSREAFSAVEDTQCSICLGEYQEKEVLRIMPKCGHNFHLSCIDVWLRKQSTCPVCRFPVQDSLATKRMRHATVSLVRSIDSPETSTEHSRQWLLPGSEHSEGNASHQENLNTVHGNPESAQGEPQTRD >Manes.14G091201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7540047:7542417:1 gene:Manes.14G091201.v8.1 transcript:Manes.14G091201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPMGKVLMLCFILSICYLQLFYISAENTWLAPALYVFGDSTVDPGNNNNLPTRAKANFLPYGIDFNNTPTGRFTNGMTIADCVATLLGLPFPLAYMNLSETERSKISTGLNYGSSCGILPETGSSEGSCLTLDKQIDLFKSTTNNDMLRENLEMHLSKSIFFISIGPNDYFLNYFKNSSDISKLFSPDEFAKFLINELAKRLQILYELGARKFLLNGIGPLGCTPGMINSTQHEGECVEFINKVVNLYNVELSTKLLYLQSQLPGSLFTHSDNFQHFQDMKESHETYGITNINSTRWIPNQALCLARDKYLFFDAFHTSGGANMIYARRCFNETSICIPLNIMQLASA >Manes.04G045344.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7738973:7747590:1 gene:Manes.04G045344.v8.1 transcript:Manes.04G045344.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTAGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPGKIYPSVCIASWMGFLNEFEAGERATIFIVPRDAFGNDVSSTGEELNSYNFTVSVLYANGSLANVPNITHVGWNELGIISIEFIAEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQQDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSISNMPFAYTVFIGYCDGSASTVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSQTEISPAPSDVTMNISAGHFEVAASVFHVIYTAEKSGIYEIYAFCGNILLSGVQSFRKEVKAGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIVEFSKPNCGSLLQDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIDVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPIWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKSLIFDKARDEFEFCVGDPDLLNFPGKKSLFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYSDCTDNISMPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNFQKESSSADLSEKTTDLTGGCSRYLSIYHRTSSFRQRSSRHSELAESGQDIHSPSQSTKGHHLQALPDFMPLAIEKGS >Manes.16G027366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2947182:2949458:1 gene:Manes.16G027366.v8.1 transcript:Manes.16G027366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGPASPTRPRDGSHAPPLSFPDVYNIIPIHDLLSDHPSLRYPEVRAAAAALRDVSNLLRLPFVTRDLRMDLMDWLGLLFGFQRDNVRNQREHLVLHLANSQMRQKPPPTIPDELELKVLRRFRSKVLSNYTSWCSYVGRKSQLALSKRNNKSSDLQRELLYVALYLLIWGESGQLRFMPECICYIYHHMAMELNQVLDKWLDPNTGGPFLPSVYGDCAFLKSIIMPFYQMIKTEVDSSNNGTKPHSAWRNYDDLNEFFWSRRCFRKLGWPINFGSNYFATVVKNKRIGKTGFVEQRSFWIVFRSFDRLWILLILFLQASVIVSWEDTKYPWQALESRDVQVKLLTCFITWGGLRFLQSILDAGTQYSLVSKDTILLGLRMVLKCLVALTWTIVFAVFYASIWRAKNSAGFWSDEANHRIVTFLEAVFVFVIPELLALVFFVLPWIRNALEALDWSVLYVLTWWFHTRIYVGRGLREGLVNNVRYTLFWIAVLASKFTFSYFLQIKPMVAPTRALLNLRNVTYNWREFFVSSNRIAVVLIWMPVVLIYFMDLQIWYSIFSSFTGAMIGLFSHLGEIRNTEHLRHRFQFFASALQFNLMPEEQLHSPRMTLVKKLRDAIHRFRLRYGLGQPYKKIESSQVEATRFALIWNEIITTFREEDIISDRENELLQLPPNCWNIRVIRWPCVLLCNELLLALNQAQELADAPDRWIWLKISKNEHRRCAVIEAYDSIKNLLLTIVKYHTEEHSIVEKLFDEID >Manes.08G083670.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19322408:19322904:1 gene:Manes.08G083670.v8.1 transcript:Manes.08G083670.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSKFIVLPPKFVVLPSKFVVLPSKITVWLKSQRHDIFAHIPGEGHNLQEHSVLVRGGRVKDSPGVKSHCIRGVKDLLGIPDRRRGRSKYGAEKPKSI >Manes.S034016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:864263:864688:-1 gene:Manes.S034016.v8.1 transcript:Manes.S034016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.14G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12464750:12473340:1 gene:Manes.14G141600.v8.1 transcript:Manes.14G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGACLRCCLVIFAVVSALAVCGPALYWRFKKTIGQSGSKISCPPCICDCPPPLSLLKIAPGLANLSVTDCGSDDPDLKTEMEKQFVDLLTEELKLQEAVAEEHAHHMNITFAEAKRVASQYQREAEKCNTATETCEAAREQAEALLIRERKVTSLWEQRARRLGWEGE >Manes.17G059300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25812295:25813455:1 gene:Manes.17G059300.v8.1 transcript:Manes.17G059300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTALALVFLLAVITFASNTEGRKLLMSNTEEYNNKVGSITVSSLFASLISSALPKGTVSSSSPSKKGHTTLDNEQLFQRHLSSIDRILQSVPSPGAGH >Manes.16G046900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8488763:8492461:1 gene:Manes.16G046900.v8.1 transcript:Manes.16G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSPRTDSFDYRTELLSPPPPGEGVPAVEGIPSWRINMDKIQLPEKRVETHRFGLTHVFRTKRQRQIAEYYNRQEKLLESFNEVDSFSELGILPDALTKKEEKEVERNEKLAIYASNIANMVLFVAKVYASVESKSMAVIASTVDSLLDLLSGFILWFTDYAMRTPNHYRYPIGKQRMQPVGIVVFASVMATLGLQILFESGRQLITKAQPERDPNKEKWMIGIMVSVTVVKLFLTVYCRRFKNEIVRAYAKDHLFDVVTNSIGLAAAVLAIKFYWWIDPLGAILIALYTIKNWSGTVMENVWSLIGRTAPPEYLAKLTYLIWNHDEKIKNIDTIRAYTIGCHYFVEADIVLPEDMSLCHAHNIGEKLQEKLEQLPEVERAFVHVDFESTHYPEHKPKRTN >Manes.05G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19943259:19945053:1 gene:Manes.05G120400.v8.1 transcript:Manes.05G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASKPHVLLVPYPGQGHVNPMIQFARRLVSKGLKATLVTSIFIAKSMKLGSSVGPVQLDVISDGYDNGGFPKADSVDTYLERLKVAGSRTLSELILKYQNTSNPIHCVIYEPFLPWALDVAKEFGLLGAAFFTQPCAVDYIYYNIQHKLLTLPISSTPVSIPGLPLLEFRDLPSFVRLPDSYPAHLEMLINQFSNADKADFILINTFYELEPEAVETMSKVCPVLAVGPTVPSIYLDKRIEDDDDYGVDLFPLDASISSNWLSTKPERSVVYVSFGSFSGLSEEQMEEILWGLKKSNFYFLWVIRATEEAKLPNTFMEELGDKGLVVNWSCQVKLLTNKAVGCFLTHCGWNSTIEAISLGVPMVAMPLWSDQPANAKLVEDVWKVGIRVKVDEEKGIVTRDEIELCVREIMEGERGKEVKKNVEKWSELAIEAISEAGTSDKDIDEFVSKLVKT >Manes.09G167400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35985983:35993656:1 gene:Manes.09G167400.v8.1 transcript:Manes.09G167400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAQRRLKAIQDHLISSSTNDHSPLLANLTASHYFFSQGYSVVLPEELQTGKWNVYRSVRSPLKLITRFPDHPEIATLHDNFVHAVETFQDYKYLGTRIRQDGTVGGYTWMTFGEVGTAREAIGSGLYYHGIQKETCIGIYFINRPEWLVVDHACAAYSYISVPLYDTLGPDAVEYIVNHAGVQAVFCVPQTLNTLLSFLSKIPSVRLIVVVGGDDGHLSALPSMSGVKLISYLKLLKEGHNNRQPFFPPKPEDVATICYTSGTTGTPKGVVLTHGNLIASVAGLSLALKFYPSDIYISYLPLAHIYERTNQVVLAYYGVATGFYQGDNLKLVDDLASLRPTIFCSVPRLYNRIYDGIMNAVKASGVLKERLFRAAYNSKMHALMSGHNPSPMWDILVFNKIKAKLGGRVRFLGSGASPLSPEIMDFLRVCFGCPVHEGYGMTETSSVISIMDEGDKLSGHVGSPNSACEIKLVDVPEMNYTSEDQPYPRGEICVRGPTIFRGYYKDVVQTREALDDDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYTRCRFVSQCFIYGDSFNSCLVAVAAVDPDVLRDWAASERIKYDDLGQVCNDPRARAAVLAEMDEVGKEAQLRGFEFAKAVTLVPEPFTLENGLLTPTFKIKRPQAKEYFAKAISDMYAELSSSDPRPLM >Manes.16G056800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20200176:20208539:-1 gene:Manes.16G056800.v8.1 transcript:Manes.16G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSKIRKPKSPNRNAIAQFSMARKSSLLKQTIVLSAFSILAIYALLNTFFNPTIFPSSLFAEHHSRDNFGFPGEVAKSDVNKVKIFMYDLPKKFTTGIIEQHALARGSKDASQAKYPGHQHMGEWHLFSDLNRPERDRIGSPLIKVDDPDEADLFYVPVFSSLSLIVNPIRPAGAEPVSVPQYSDEDMQEQLVKWLEKQVYWRRNRGRDHVIIAGDPNALYRVLDKVKSAILLLSDFGRVRPDQGSLVKDVIIPYAHRINTYNGDIGVKDRKTLLFFMGNRYRKDGGKIRDLLFQMLENEEDVIIKHGTQSRESRRAASRGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDGIELPFEYNIDYTKIAIFVGTTASLKPGYLVKMLRKITTKRILEYQKELKEVRRCFDYGNSNVTVNEIWREVAHKLPLVKLMINRDKRLVKRESSEPECSCLCTNQSGIITSV >Manes.16G056800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20200702:20208539:-1 gene:Manes.16G056800.v8.1 transcript:Manes.16G056800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSKIRKPKSPNRNAIAQFSMARKSSLLKQTIVLSAFSILAIYALLNTFFNPTIFPSSLFAEHHSRDNFGFPGEVAKSDVNKVKIFMYDLPKKFTTGIIEQHALARGSKDASQAKYPGHQHMGEWHLFSDLNRPERDRIGSPLIKVDDPDEADLFYVPVFSSLSLIVNPIRPAGAEPVSVPQYSDEDMQEQLVKWLEKQVYWRRNRGRDHVIIAGDPNALYRVLDKVKSAILLLSDFGRVRPDQGSLVKDVIIPYAHRINTYNGDIGVKDRKTLLFFMGNRYRKDGGKIRDLLFQMLENEEDVIIKHGTQSRESRRAASRGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDGIELPFEYNIDYTKIAIFVGTTASLKPGYLVKMLRKITTKRILEYQKELKEVSSCFMHY >Manes.16G056800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20202764:20208539:-1 gene:Manes.16G056800.v8.1 transcript:Manes.16G056800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSKIRKPKSPNRNAIAQFSMARKSSLLKQTIVLSAFSILAIYALLNTFFNPTIFPSSLFAEHHSRDNFGFPGEVAKSDVNKVKIFMYDLPKKFTTGIIEQHALARGSKDASQAKYPGHQHMGEWHLFSDLNRPERDRIGSPLIKVDDPDEADLFYVPVFSSLSLIVNPIRPAGAEPVSVPQYSDEDMQEQLVKWLEKQVYWRRNRGRDHVIIAGDPNALYRVLDKVKSAILLLSDFGRVRPDQGSLVKDVIIPYAHRINTYNGDIGVKDRKTLLFFMGNRYRKDGGKIRDLLFQMLENEEDVIIKHGTQSRESRRAASRGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDGIELPFEYNIDYTKIAIFVGTTASLKPGYLVKMLRKITTKRILEYQKELKEVSSCFMHY >Manes.16G056800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20200401:20208539:-1 gene:Manes.16G056800.v8.1 transcript:Manes.16G056800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSKIRKPKSPNRNAIAQFSMARKSSLLKQTIVLSAFSILAIYALLNTFFNPTIFPSSLFAEHHSRDNFGFPGEVAKSDVNKVKIFMYDLPKKFTTGIIEQHALARGSKDASQAKYPGHQHMGEWHLFSDLNRPERDRIGSPLIKVDDPDEADLFYVPVFSSLSLIVNPIRPAGAEPVSVPQYSDEDMQEQLVKWLEKQVYWRRNRGRDHVIIAGDPNALYRVLDKVKSAILLLSDFGRVRPDQGSLVKDVIIPYAHRINTYNGDIGVKDRKTLLFFMGNRYRKDGGKIRDLLFQMLENEEDVIIKHGTQSRESRRAASRGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDGIELPFEYNIDYTKIAIFVGTTASLKPGYLVKMLRKITTKRILEYQKELKEVSSCFMHY >Manes.16G056800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20200176:20208539:-1 gene:Manes.16G056800.v8.1 transcript:Manes.16G056800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSKIRKPKSPNRNAIAQFSMARKSSLLKQTIVLSAFSILAIYALLNTFFNPTIFPSSLFAEHHSRDNFGFPGEVAKSDVNKVKIFMYDLPKKFTTGIIEQHALARGSKDASQAKYPGHQHMGEWHLFSDLNRPERDRIGSPLIKVDDPDEADLFYVPVFSSLSLIVNPIRPAGAEPVSVPQYSDEDMQEQLVKWLEKQVYWRRNRGRDHVIIAGDPNALYRVLDKVKSAILLLSDFGRVRPDQGSLVKDVIIPYAHRINTYNGDIGVKDRKTLLFFMGNRYRKDGGKIRDLLFQMLENEEDVIIKHGTQSRESRRAASRGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDGIELPFEYNIDYTKIAIFVGTTASLKPGYLVKMLRKITTKRILEYQKELKEVMTI >Manes.16G056800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20200176:20208539:-1 gene:Manes.16G056800.v8.1 transcript:Manes.16G056800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSKIRKPKSPNRNAIAQFSMARKSSLLKQTIVLSAFSILAIYALLNTFFNPTIFPSSLFAEHHSRDNFGFPGEVAKSDVNKVKIFMYDLPKKFTTGIIEQHALARGSKDASQAKYPGHQHMGEWHLFSDLNRPERDRIGSPLIKVDDPDEADLFYVPVFSSLSLIVNPIRPAGAEPVSVPQYSDEDMQEQLVKWLEKQVYWRRNRGRDHVIIAGDPNALYRVLDKVKSAILLLSDFGRVRPDQGSLVKDVIIPYAHRINTYNGDIGVKDRKTLLFFMGNRYRKDGGKIRDLLFQMLENEEDVIIKHGTQSRESRRAASRGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDGIELPFEYNIDYTKIAIFVGTTASLKPGYLVKMLRKITTKRILEYQKELKEEMEE >Manes.16G056800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20200176:20208539:-1 gene:Manes.16G056800.v8.1 transcript:Manes.16G056800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSSKIRKPKSPNRNAIAQFSMARKSSLLKQTIVLSAFSILAIYALLNTFFNPTIFPSSLFAEHHSRDNFGFPGEVAKSDVNKVKIFMYDLPKKFTTGIIEQHALARGSKDASQAKYPGHQHMGEWHLFSDLNRPERDRIGSPLIKVDDPDEADLFYVPVFSSLSLIVNPIRPAGAEPVSVPQYSDEDMQEQLVKWLEKQVYWRRNRGRDHVIIAGDPNALYRVLDKVKSAILLLSDFGRVRPDQGSLVKDVIIPYAHRINTYNGDIGVKDRKTLLFFMGNRYRKDGGKIRDLLFQMLENEEDVIIKHGTQSRESRRAASRGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDGIELPFEYNIDYTKIAIFVGTTASLKPGYLVKMLRKITTKRILEYQKELKEEMEE >Manes.11G033300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3194457:3197115:-1 gene:Manes.11G033300.v8.1 transcript:Manes.11G033300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHFKEIEVPQQRHFNYVPFPSVLAPNSSLSFPSSVSSLILSIKTEKPYLESLLHKSGAILFRGFPVNTASDFNDVVEAFGFEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFFCEVEPRSGGETPIVLSHIIYERMKEKYPDFVERLEEHGLIYNRVLGEDDDPSSPIGRGWKSTFLTKDKSVAEERAAKLGMELEWLEDGVKTIMGPIPAVKYDKSRNRKIWFNSMVAAYTGWEDARNDPKKAVTFGDGKPLPGDIIYDCLKILDDESVAIPWQKGDVLLIDNWAVLHARKSFDAPRRVLASLCK >Manes.02G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3489943:3507050:-1 gene:Manes.02G042700.v8.1 transcript:Manes.02G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDERPSAKRWLPLEANPDVMNQFLWGLGLPESEAECYDVYGLDEELLEMVPKPVLAVLFLFPITQQSEEERMRQEGVNKEPSSKVYFMKQTVGNACGTIGLLHAVGNITSDIKLFEGSFLDKFFKSTAQMDPLERAAFLENDREMEVAHSVAATAGDTEASENVDTHFICFTCVEGELFELDGRKSGPISHGASSPSSLLQDAAKVIRDMIQKNPDSLNFNVIAISKKSEGSI >Manes.06G025950.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5456511:5457110:-1 gene:Manes.06G025950.v8.1 transcript:Manes.06G025950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSAPLIGTNFRSWCRAIRIALGAKQKLEFIEGTVTIPDKGSNSYEQWKRCDFIVISWILNSISRELVDGFIYTASARDLWLEITERFGECNGTMIYELQRKISLISQDNAPASVYFTKLKGFWDELGSMATLPPCTCGASKAIDEINNRNRLMQFLMGLSDAYGTVRDQILGMDPLPSVNKAYSMVLKFESQKDIL >Manes.14G109000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9154827:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILWQRSLQLCNQQQAWQDSPEGRKMLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9153089:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPVHHILLNPPSLQFPFTSHPKTLKPSLSPSSSTTATSSTIVMKDRPPSSYGAVYVPPHQRLRSVINASNYSSSSAVPASPFDSKVSKNQSSVLSHIHSSRVPYFQQQQEKQRGNGVCDSNHVNNNSNNHKFVSAYDDGISEEGSDREMECSMVMHGAFLSDNMEEWRRKLIMLLRDKEKQELVSREKKDRRDFEQIATLASRMGLYSHLYVKVVVVSKVPLPNYRFDLDDKRPQREVSLPFGLQRRVDSYLREYLFQKSKTKERFPDISFSRSSSSSSLATDEGLFEQPELPVSSKAVMDKILWQRSLQLCNQQQAWQDSPEGRKMLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9153089:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPVHHILLNPPSLQFPFTSHPKTLKPSLSPSSSTTATSSTIVMKDRPPSSYGAVYVPPHQRLRSVINASNYSSSSAVPASPFDSKVSKNQSSVLSHIHSSRVPYFQQQQEKQRGNGVCDSNHVNNNSNNHKFVSAYDDGISEEGSDREMECSMVMHGAFLSDNMEEWRRKLIMLLRDKEKQELVSREKKDRRDFEQIATLASRMGLYSHLYVKVVVVSKVPLPNYRFDLDDKRPQREVSLPFGLQRRVDSYLREYLFQKSKTKERFPDISFSRSSSSSSLATDEGLFEQPELPVSSKAVMDKILWQRSLQLCNQQQAWQDSPEGRKMLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9153089:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFATVQSATGLAEGRKMLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9154457:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9154827:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFATVQSATGLAEGRKMLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9153089:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPVHHILLNPPSLQFPFTSHPKTLKPSLSPSSSTTATSSTIVMKDRPPSSYGAVYVPPHQRLRSVINASNYSSSSAVPASPFDSKVSKNQSSVLSHIHSSRVPYFQQQQEKQRGNGVCDSNHVNNNSNNHKFVSAYDDGISEEGSDREMECSMVMHGAFLSDNMEEWRRKLIMLLRDKEKQELVSREKKDRRDFEQIATLASRMGLYSHLYVKVVVVSKVPLPNYRFDLDDKRPQREVSLPFGLQRRVDSYLREYLFQKSKTKERFPDISFSRSSSSSSLATDEGLFEQPELPVSSKAVMDKILWQRSLQLCNQQQAWQDSPEGRKMLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKVSEASSGN >Manes.14G109000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9158753:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9155328:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9154457:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9153089:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILWQRSLQLCNQQQAWQDSPEGRKMLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.14G109000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9156418:9172115:1 gene:Manes.14G109000.v8.1 transcript:Manes.14G109000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFRKSLPAYKEKDAILTAISQNQVVIISGETGCGKTTQIPQFILESEIESVQGAGCSIICTQPRRISAMSVSERVASERGEQLGECVGYKVRLEGIRGRDTRLLFCTTGILLRRMLVDRNLRGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELRLILMSATLDAELFSSYFGGAPILRIPGFTYPVQTHFLENILEMTGYKLTLHNQIDDYGQEKVWRTSKQAPGKRKSQIASSVEEALRAADFKEYSPQTRESLSCWNPDCIGFNLIEYLLCNICENERPGAVLVFMTGWDDICSLKDKLLSHPILGDPSQVLLLTCHGSMASSEQRLIFDEPNDGARKIVLATNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIDYLKIIGALDKNENLTVLGQYLTMLPLEPKLGKMLILGAIFNCLDPILTVVCGLSVRDPFLTPMDKRDLAEAAKSQFSRDYSDHLALVRAYEGWKDAEIDFAGYDYCWKNFLSIQSMKAIDTLRNEFFSLLKDAGLVDSNTATCNAWSHEEHLIRAIICYGLYPGLSSVVHNEKSFSLKTMEDGQVLLYSNSVNARESKIPYPWLVFNEKIKVNAVFLRDSTAISDSVLLLFGGSISKGDIDGHLKMLGGYLEFFMEPDIAETYQSLRRELDELIQTKLLNPRMDIHAYHELLSAIRLLVSEDKCDGKFVFGRQVLKPSKISVISTQPALMSRTESGPGGDNSKSQLQTLLTRSGYAAPIYKTRQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLMGGTQTGHEYVNHMSMLVKKSKKDHY >Manes.12G073800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8075786:8077997:-1 gene:Manes.12G073800.v8.1 transcript:Manes.12G073800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGAIGNLRSVIAIAFRVFSLDTRSLNSETYKFFDSVEKHYNKRLFSVYAGMTSQRRDEPPETRAKSSSISEIDLLLEGIDGGLKNALANMDTLCHM >Manes.12G073800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8075786:8077997:-1 gene:Manes.12G073800.v8.1 transcript:Manes.12G073800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGAIGNLRSVIAIAFRVFSLDTRSLNSETYKFFDSVEKHYNKRLFSVYAGMTSQRRDEPPETRAKSSSISEIDLLLEGIDGGLKNALANMDTLCHM >Manes.11G117600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27516782:27519985:-1 gene:Manes.11G117600.v8.1 transcript:Manes.11G117600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISCEQDSWVSSRNLNQEEDQTESSYSQANPDQGDVFYEETDEIWQKVNQAAINNHDNSCSRGTFSSKSRSNSKKKKNQVLLEGYVEVANTNEDDLKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEQHKSPERSSPAAETASSPIANWKISSPGDHPEDVKARLKFWAQAVACTVRLCS >Manes.11G117600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27516930:27519985:-1 gene:Manes.11G117600.v8.1 transcript:Manes.11G117600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISCEQDSWVSSRNLNQEEDQTESSYSQANPDQGDVFYEETDEIWQKVNQAAINNHDNSCSRGTFSSKSRSNSKKKKNQVLLEGYVEVANTNEDDLKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEQHKSPERSSPAAETASSPIANWKISSPGDHPEDVKARLKFWAQAVACTVRLCS >Manes.11G117600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27516930:27519985:-1 gene:Manes.11G117600.v8.1 transcript:Manes.11G117600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISCEQDSWVSSRNLNQEEDQTESSYSQANPDQGDVFYEETDEIWQKVNQAAINNHDNSCSRGTFSSKSRSNSKKKKNQVLLEGYVEVANTNEDDLKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEQHKSPERSSPAAETASSPIANWKISSPGDHPEDVKARLKFWAQAVACTVRLCS >Manes.11G117600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27516881:27519985:-1 gene:Manes.11G117600.v8.1 transcript:Manes.11G117600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISCEQDSWVSSRNLNQEEDQTESSYSQANPDQGDVFYEETDEIWQKVNQAAINNHDNSCSRGTFSSKSRSNSKKKKNQVLLEGYVEVANTNEDDLKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEQHKSPERSSPAAETASSPIANWKISSPGDHPEDVKARLKFWAQAVACTVRLCS >Manes.13G095400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27915769:27916746:-1 gene:Manes.13G095400.v8.1 transcript:Manes.13G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQHSSLTTTCIVIAALLCLLLLLPPASSFHQQLARGFLFEDKTRLGSRPPSCHNKCNGCHPCMAVQVPTVPSPTGFSQRSEVFAHISFTFRL >Manes.10G072700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13443759:13447049:-1 gene:Manes.10G072700.v8.1 transcript:Manes.10G072700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSAIFTSFFSPKAGTLLNPSPSSSCLLPASPSPATVRMWRTVTCATVSSQQQERRPRGIMKPRPVSPEMAEFVGASEIPRTQALKRIWAYIKEHNLQDPNDKKIIICDEKLKKIFGGRDRVGFLEIAGLISPHFLK >Manes.10G072700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13443592:13447049:-1 gene:Manes.10G072700.v8.1 transcript:Manes.10G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSAIFTSFFSPKAGTLLNPSPSSSCLLPASPSPATVRMWRTVTCATVSSQQQERRPRGIMKPRPVSPEMAEFVGASEIPRTQALKRIWAYIKEHNLQDPNDKKIIICDEKLKKIFGGRDRVGFLEIAGLISPHFLK >Manes.10G072700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13444397:13447049:-1 gene:Manes.10G072700.v8.1 transcript:Manes.10G072700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSAIFTSFFSPKAGTLLNPSPSSSCLLPASPSPATVRMWRTVTCATVSSQQQERRPRGIMKPRPVSPEMAEFVGASEIPRTQALKRIWAYIKEHNLQDPNDKKIIICDEKLKKIFGGRDRVGFLEIAGLISPHFLK >Manes.06G180001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30713431:30729493:-1 gene:Manes.06G180001.v8.1 transcript:Manes.06G180001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELRELQVGEEVESHVPTEATGHALPQAPPGARRPEQEALLQQLTEIFRQVAGVAQPAIVPPPAPAPAPARPPIDKLRKYGATEFKGRKEDDASTAEYWLQSTDRVLQQLQCSPEDSLLCAVSLLKEEAYQWWDTVAQTVQPMQRTWEFFLNEFRKRYIGDIYMEERKREFIYLRQGRMTVAEYEREFIRLSRYAREMIPTEEAKCKRFEQGLNTEIRMLLVALQIRDFSALVNAALNVEKVREEDQSRSQRSQQKRTHSQSQSQGQMIASQGSSKRQKSFQPARSSQSQWQGQKSTQSLASGSVQQTASVASSGGSGRNLPPECNHCRRRHTGTCRLLTGACFICGSMDHIMRDCPKKQTASTPATERTAPVTQKTRSKGRSEPTGTSSQRVSETVDRPESRAPARAYAIKAREDQDSPDVIMGCRFKEQ >Manes.15G029000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2313083:2326725:1 gene:Manes.15G029000.v8.1 transcript:Manes.15G029000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRYGDPSGFMVKNKSSSGCLIMRKKGNDGVGGVGSSGSRKVFGSKKEKKRARMDMSDSGSSGELLMPPRRKIGSETLRVCNGLYDKGIVEQNDIGRKRSREEIARSNEVDVIGRNGDDFSERMRNRLDEFDFDDYGGNDGEMMRRKRFDEDGKEGRRFFGSMMLGRSGIGREYERSGIEREYERSGIEREYETGSTRHPIVDRRKSSYFGRTSRLNWRDHGDRDGPHPSTSFYRDKYDSDEPIRVQGKNGVLKVMVNKKKVGGSLKSYGLLEAEEKRKGLRIEDTVKKNVLIHPLFYPESKSADKASSFVRTLEKPVNMPKSLSTKNSSTRNSKVRDHDSEDSDASLKLGLNDMEACNSSKTPLPTKNFKGRGVHSVDSDTSLKLGLKNTEAHKSVKGASSGAEITTCNQLPSARVKEGKVKRGTGTEKQKLRERIRGMLLNAGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLNDEEEEAKSKADGSPFTPLSDEVLSQLTRKTRKKMEKEMKRKRRDSSDSENAQETAARKSSSSRHDEESIDSGSHGEKLTSSMKQGSKSLKSGTNGNSSLNVNTEGESSIPHLHDGMEKMPSGSNSHQGRKSRKLGRCTLLARNSNEGLNSESDGFVPYAGKRTVLSWLIDCGSVQLSQKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLECQIDAWNRQESIEHIGFHSLNMDGDDPNDDMCGLCGDGGDLICCDGCPSTFHQSCLGIQMLPPGDWHCPHCTCKFCGIASENISQGDGDGATACELLTCSMCAKKYHKSCIQEIDALSIDTNPSFTCFCGKTCRELFEQLQKYIGVKNELEAGFSWSLIHKTDVDLDIPPQGLSQRVECNSKLAVALSVMDECFLPIVDRRSGINLIHNVLYNCRSNFSRLNFSGFYAAILEKGDEIISAASIRFHGTQLAEMPFIGTCHIYRRQGMCHRLFCAIESALCSLKVQKLIIPAISELADTWAKVFYFTTLDESLKQELKSMNILVFPGIDMLQKQLLDQENIDGNWTTSPGAKRREFKDGQSIILEAAVKSDIDSSTMQDDLNECDNGGLECSSGTNDELAATNSGSQSFDSGDKLDETALEKKSQCISSTSHDLDKTANSDSPGGDNYQSCIQGDGSPTNSDSRCLGVSLDDTSVMSSPLVASDELKTLVSINRNTCADSDSGNKLADLTSNKKCLINSDPRGDREEENELEAGSPVIDNIQSCEKGKIGDARALNLNEYTSDELRISIEEGTKPVDSQYEVELAFKGKRQLDLGANNSAAEMLAKSAMDSHNEDKSHSGPDDIRTIKVDVAGLEAVPSTTGTTVDNCSEKVDEVPIMSVSMSNAADESSCKLT >Manes.15G029000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2313083:2326125:1 gene:Manes.15G029000.v8.1 transcript:Manes.15G029000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRYGDPSGFMVKNKSSSGCLIMRKKGNDGVGGVGSSGSRKVFGSKKEKKRARMDMSDSGSSGELLMPPRRKIGSETLRVCNGLYDKGIVEQNDIGRKRSREEIARSNEVDVIGRNGDDFSERMRNRLDEFDFDDYGGNDGEMMRRKRFDEDGKEGRRFFGSMMLGRSGIGREYERSGIEREYERSGIEREYETGSTRHPIVDRRKSSYFGRTSRLNWRDHGDRDGPHPSTSFYRDKYDSDEPIRVQGKNGVLKVMVNKKKVGGSLKSYGLLEAEEKRKGLRIEDTVKKNVLIHPLFYPESKSADKASSFVRTLEKPVNMPKSLSTKNSSTRNSKVRDHDSEDSDASLKLGLNDMEACNSSKTPLPTKNFKGRGVHSVDSDTSLKLGLKNTEAHKSVKGASSGAEITTCNQLPSARVKEGKVKRGTGTEKQKLRERIRGMLLNAGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLNDEEEEAKSKADGSPFTPLSDEVLSQLTRKTRKKMEKEMKRKRRDSSDSENAQETAARKSSSSRHDEESIDSGSHGEKLTSSMKQGSKSLKSGTNGNSSLNVNTEGESSIPHLHDGMEKMPSGSNSHQGRKSRKLGRCTLLARNSNEGLNSESDGFVPYAGKRTVLSWLIDCGSVQLSQKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLECQIDAWNRQESIEHIGFHSLNMDGDDPNDDMCGLCGDGGDLICCDGCPSTFHQSCLGIQMLPPGDWHCPHCTCKFCGIASENISQGDGDGATACELLTCSMCAKKYHKSCIQEIDALSIDTNPSFTCFCGKTCRELFEQLQKYIGVKNELEAGFSWSLIHKTDVDLDIPPQGLSQRVECNSKLAVALSVMDECFLPIVDRRSGINLIHNVLYNCRSNFSRLNFSGFYAAILEKGDEIISAASIRFHGTQLAEMPFIGTCHIYRRQGMCHRLFCAIESALCSLKVQKLIIPAISELADTWAKVFYFTTLDESLKQELKSMNILVFPGIDMLQKQLLDQENIDGNWTTSPGAKRREFKDGQSIILEAAVKSDIDSSTMQDDLNECDNGGLECSSGTNDELAATNSGSQSFDSGDKLDETALEKKSQCISSTSHDLDKTANSDSPGGDNYQSCIQGDGSPTNSDSRCLGVSLDDTSVMSSPLVASDELKTLVSINRNTCADSDSGNKLADLTSNKKCLINSDPRGDREEENELEAGSPVIDNIQSCEKGKIGDARALNLNEYTSDELRISIEEGTKPVDSQYEVELAFKGKRQLDLGANNSAAEMLAKSAMDSHNEDKSHSGPDDIRTIKVDVAGLEAVPSTTGTTVDNCSEKVDEVPIMSVSMSNAADESSCKLT >Manes.15G029000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2313083:2326124:1 gene:Manes.15G029000.v8.1 transcript:Manes.15G029000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRYGDPSGFMVKNKSSSGCLIMRKKGNDGVGGVGSSGSRKVFGSKKEKKRARMDMSDSGSSGELLMPPRRKIGSETLRVCNGLYDKGIVEQNDIGRKRSREEIARSNEVDVIGRNGDDFSERMRNRLDEFDFDDYGGNDGEMMRRKRFDEDGKEGRRFFGSMMLGRSGIGREYERSGIEREYERSGIEREYETGSTRHPIVDRRKSSYFGRTSRLNWRDHGDRDGPHPSTSFYRDKYDSDEPIRVQGKNGVLKVMVNKKKVGGSLKSYGLLEAEEKRKGLRIEDTVKKNVLIHPLFYPESKSADKASSFVRTLEKPVNMPKSLSTKNSSTRNSKVRDHDSEDSDASLKLGLNDMEACNSSKTPLPTKNFKGRGVHSVDSDTSLKLGLKNTEAHKSVKGASSGAEITTCNQLPSARVKEGKVKRGTGTEKQKLRERIRGMLLNAGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLNDEEEEAKSKADGSPFTPLSDEVLSQLTRKTRKKMEKEMKRKRRDSSDSENAQETAARKSSSSRHDEESIDSGSHGEKLTSSMKQGSKSLKSGTNGNSSLNVNTEGESSIPHLHDGMEKMPSGSNSHQGRKSRKLGRCTLLARNSNEGLNSESDGFVPYAGKRTVLSWLIDCGSVQLSQKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLECQIDAWNRQESIEHIGFHSLNMDGDDPNDDMCGLCGDGGDLICCDGCPSTFHQSCLGIQLFEQLQKYIGVKNELEAGFSWSLIHKTDVDLDIPPQGLSQRVECNSKLAVALSVMDECFLPIVDRRSGINLIHNVLYNCRSNFSRLNFSGFYAAILEKGDEIISAASIRFHGTQLAEMPFIGTCHIYRRQGMCHRLFCAIESALCSLKVQKLIIPAISELADTWAKVFYFTTLDESLKQELKSMNILVFPGIDMLQKQLLDQENIDGNWTTSPGAKRREFKDGQSIILEAAVKSDIDSSTMQDDLNECDNGGLECSSGTNDELAATNSGSQSFDSGDKLDETALEKKSQCISSTSHDLDKTANSDSPGGDNYQSCIQGDGSPTNSDSRCLGVSLDDTSVMSSPLVASDELKTLVSINRNTCADSDSGNKLADLTSNKKCLINSDPRGDREEENELEAGSPVIDNIQSCEKGKIGDARALNLNEYTSDELRISIEEGTKPVDSQYEVELAFKGKRQLDLGANNSAAEMLAKSAMDSHNEDKSHSGPDDIRTIKVDVAGLEAVPSTTGTTVDNCSEKVDEVPIMSVSMSNAADESSCKLT >Manes.15G029000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2313083:2326124:1 gene:Manes.15G029000.v8.1 transcript:Manes.15G029000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRYGDPSGFMVKNKSSSGCLIMRKKGNDGVGGVGSSGSRKVFGSKKEKKRARMDMSDSGSSGELLMPPRRKIGSETLRVCNGLYDKGIVEQNDIGRKRSREEIARSNEVDVIGRNGDDFSERMRNRLDEFDFDDYGGNDGEMMRRKRFDEDGKEGRRFFGSMMLGRSGIGREYERSGIEREYERSGIEREYETGSTRHPIVDRRKSSYFGRTSRLNWRDHGDRDGPHPSTSFYRDKYDSDEPIRVQGKNGVLKVMVNKKKVGGSLKSYGLLEAEEKRKGLRIEDTVKKNVLIHPLFYPESKSADKASSFVRTLEKPVNMPKSLSTKNSSTRNSKVRDHDSEDSDASLKLGLNDMEACNSSKTPLPTKNFKGRGVHSVDSDTSLKLGLKNTEAHKSVKGASSGAEITTCNQLPSARVKEGKVKRGTGTEKQKLRERIRGMLLNAGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLNDEEEEAKSKADGSPFTPLSDEVLSQLTRKTRKKMEKEMKRKRRDSSDSENAQETAARKSSSSRHDEESIDSGSHGEKLTSSMKQGSKSLKSGTNGNSSLNVNTEGESSIPHLHDGMEKMPSGSNSHQGRKSRKLGRCTLLARNSNEGLNSESDGFVPYAGKRTVLSWLIDCGSVQLSQKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLECQIDAWNRQESIEHIGFHSLNMDGDDPNDDMCGLCGDGGDLICCDGCPSTFHQSCLGIQMLPPGDWHCPHCTCKFCGIASENISQGDGDGATACELLTCSMCAKKYHKSCIQEIDALSIDTNPSFTCFCGKTCRELFEQLQKYIGVKNELEAGFSWSLIHKTDVDLDIPPQGLSQRVECNSKLAVALSVMDECFLPIVDRRSGINLIHNVLYNCRSNFSRLNFSGFYAAILEKGDEIISAASIRFHGTQLAEMPFIGTCHIYRRQGMCHRLFCAIESALCSLKVQKLIIPAISELADTWAKVFYFTTLDESLKQELKSMNILVFPGIDMLQKQLLDQENIDGNWTTSPGAKRREFKDGQSIILEAAVKSDIDSSTMQDDLNECDNGGLECSSGTNDELAATNSGSQSFDSGDKLDETALEKKSQCISSTSHDLDKTANSDSPGGDNYQSCIQGDGSPTNSDSRCLGVSLDDTSVMSSPLVASDELKTLVSINRNTCADSDSGNKLADLTSNKKCLINSDPRGDREEENELEAGSPVIDNIQSCEKGKIGDARALNLNEYTSDELRISIEEGTKPVDSQYEVELAFKGKRQLDLGANNSAAEMLAKSAMDSHNEDKSHSGPDDIRTIKVDVAGLEAVPSTTGTTVDNCSEKVDEVPIMSVSMSNAADESSCKLT >Manes.15G029000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2313083:2326725:1 gene:Manes.15G029000.v8.1 transcript:Manes.15G029000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRYGDPSGFMVKNKSSSGCLIMRKKGNDGVGGVGSSGSRKVFGSKKEKKRARMDMSDSGSSGELLMPPRRKIGSETLRVCNGLYDKGIVEQNDIGRKRSREEIARSNEVDVIGRNGDDFSERMRNRLDEFDFDDYGGNDGEMMRRKRFDEDGKEGRRFFGSMMLGRSGIGREYERSGIEREYERSGIEREYETGSTRHPIVDRRKSSYFGRTSRLNWRDHGDRDGPHPSTSFYRDKYDSDEPIRVQGKNGVLKVMVNKKKVGGSLKSYGLLEAEEKRKGLRIEDTVKKNVLIHPLFYPESKSADKASSFVRTLEKPVNMPKSLSTKNSSTRNSKVRDHDSEDSDASLKLGLNDMEACNSSKTPLPTKNFKGRGVHSVDSDTSLKLGLKNTEAHKSVKGASSGAEITTCNQLPSARVKEGKVKRGTGTEKQKLRERIRGMLLNAGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLNDEEEEAKSKADGSPFTPLSDEVLSQLTRKTRKKMEKEMKRKRRDSSDSENAQETAARKSSSSRHDEESIDSGSHGEKLTSSMKQGSKSLKSGTNGNSSLNVNTEGESSIPHLHDGMEKMPSGSNSHQGRKSRKLGRCTLLARNSNEGLNSESDGFVPYAGKRTVLSWLIDCGSVQLSQKVQYMNRRRTKVMLEGWITRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLECQIDAWNRQESIEHIGFHSLNMDGDDPNDDMCGLCGDGGDLICCDGCPSTFHQSCLGIQMLPPGDWHCPHCTCKFCGIASENISQGDGDGATACELLTCSMCAKKYHKSCIQEIDALSIDTNPSFTCFCGKTCRELFEQLQKYIGVKNELEAGFSWSLIHKTDVDLDIPPQGLSQRVECNSKLAVALSVMDECFLPIVDRRSGINLIHNVLYNCRSNFSRLNFSGFYAAILEKGDEIISAASIRFHGTQLAEMPFIGTCHIYRRQGMCHRLFCAIESALCSLKVQKLIIPAISELADTWAKVFYFTTLDESLKQELKSMNILVFPGIDMLQKQLLDQENIDGNWTTSPGAKRREFKDGQSIILEAAVKSDIDSSTMQDDLNECDNGGLECSSGTNDELAATNSGSQSFDSGDKLDETALEKKSQCISSTSHDLDKTANSDSPGGDNYQSCIQGDGSPTNSDSRCLGVSLDDTSVMSSPLVASDELKTLVSINRNTCADSDSGNKLADLTSNKKCLINSDPRGDREEENELEAGSPVIDNIQSCEKGKIGDARALNLNEYTSDELRISIEEGTKPVDSQYEVELAFKGKRQLDLGANNSAAEMLAKSAMDSHNEDKSHSGPDDIRTIKVDVAGLEAVPSTTGTTVDNCSEKVDEVPIMSVSMSNAADESSCKLT >Manes.05G093600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8595975:8599269:1 gene:Manes.05G093600.v8.1 transcript:Manes.05G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQRRKRKRIYKPNANFFSVRSLTVCLSFFVFLLFISSDRSPIRTGSFRPVLSVPTSLSLLPTRLALTGDFFDARSLSLIVEDRVLLPDHLLLIVSNKLPSGDNIHCVYYSLFNSSSSEDVVLKPAMSVDGYRGDRSLVRCQLPPMNFSAAVDLRRTWEVEGDVLLRGNTAVVVPSWNRVVYEAILDLDSAVVFVKGLNLRPHEESDPTQFRCHFSLSNFDKDKGFVFTTEATAAAQEVVRCSFPRSVRRNPEKAQGIRVTVSRVDAGENAIEPLPSVAKVHSTKSYKRSYGGKKYELCSCTMLWNQASFLREWIVYHAWLGVERWFIYDNNSDDGIQEVIDELNLQNYNVSRHAWPWTKAQEAGFSHCALRARNECKWLGFFDVDEFFYFPPHRRQDMQGQNSLRTLVANYSNSPTYAEIRTICHSFGPSGLTAYPSQGVTVGYTCRLQAPERHKSIVRPELLDVTLLNVVHHFKLQRGYRYLNVPESKAVVNHYKYQAWDTFKAKFFRRVSTYVANWQEDQNKGSKDRAPGLGTVAIEPPDWRLRFCEVWDTGLKDFVLANFAATATGLLPWERSPF >Manes.13G077901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12946173:12947009:1 gene:Manes.13G077901.v8.1 transcript:Manes.13G077901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEPSMYFTPSPSLSNLLTKSPSLSCLLLLLCHTFQISTSHPLLLVSPLNLGISSPKAKSPSSRCVDATHLPHMHSSFVEHVKSRMMEDIPIGMLNLKGPGVCD >Manes.17G035505.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21601076:21603977:-1 gene:Manes.17G035505.v8.1 transcript:Manes.17G035505.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEYSFSDVIYVNSQGILFLVLFLLFVPCLSSYLFQLLLCIKKIESFSTYADFELFGSELRNQESKLEFSEDEEELLIRMFNLVGKSFEIISWRNRS >Manes.10G011700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1221112:1223887:-1 gene:Manes.10G011700.v8.1 transcript:Manes.10G011700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSGMCSGSKGETFPANPTMEVESRISKDGILCKCSVLLELSASDDLVGFKSEIEEKGLDVDEASYWYGRRIASKKMGLEERTPLMIAAMFGSTNVLKYIIDTGKVDVNRACGWDKVTALHCAVAGGSSSLVEVVKLLLDASADVNCFDANGNKPKDLLNPSLKSPCNSRRKLVELLLKGESPSEDEEEKLIMMSLPAKEGAEKKEYPIDVSLPDINNGIYGTDEFRMYCFKVKPCSRAYSHDWTECPFVHPGENARRRDPKKYPYSCVPCPEFRKGACQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCSRKVCFFAHKPEELRPVYASTGSAMPSPKSISVSSMDMATLTPLSLGSPSLTLPAVSTPPMSPLTAASSSPKSGGLWQNKVNLTPPALQLPGSRLKTALCARDLDLEMKLLGLENHINQLQQQQLMDDLSGLSSPSCWSKDFNGIGDLKPTNLDDVFGSLDLSLLSPLQGMSLNPSTPTQLQSPTGLQIRQNMNQLRSSYPANLSSTSLRKPASHGFDSSAAVAAAVMNSRSSAFAKRSHSFIDRGAATNRFGITAAANSVSMMSSNLSDWSSPDGKLDWGVQGDELNKLRKSASFGFRSTNSTTAANLTLSKVDEPDVSWVNSLVKDVPTAGFGVKGVHESVPPWMEQLYIEQEQMVA >Manes.10G011700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1221002:1224347:-1 gene:Manes.10G011700.v8.1 transcript:Manes.10G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSKGETFPANPTMEVESRISKDGILCKCSVLLELSASDDLVGFKSEIEEKGLDVDEASYWYGRRIASKKMGLEERTPLMIAAMFGSTNVLKYIIDTGKVDVNRACGWDKVTALHCAVAGGSSSLVEVVKLLLDASADVNCFDANGNKPKDLLNPSLKSPCNSRRKLVELLLKGESPSEDEEEKLIMMSLPAKEGAEKKEYPIDVSLPDINNGIYGTDEFRMYCFKVKPCSRAYSHDWTECPFVHPGENARRRDPKKYPYSCVPCPEFRKGACQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCSRKVCFFAHKPEELRPVYASTGSAMPSPKSISVSSMDMATLTPLSLGSPSLTLPAVSTPPMSPLTAASSSPKSGGLWQNKVNLTPPALQLPGSRLKTALCARDLDLEMKLLGLENHINQLQQQQLMDDLSGLSSPSCWSKDFNGIGDLKPTNLDDVFGSLDLSLLSPLQGMSLNPSTPTQLQSPTGLQIRQNMNQLRSSYPANLSSTSLRKPASHGFDSSAAVAAAVMNSRSSAFAKRSHSFIDRGAATNRFGITAAANSVSMMSSNLSDWSSPDGKLDWGVQGDELNKLRKSASFGFRSTNSTTAANLTLSKVDEPDVSWVNSLVKDVPTAGFGVKGVHESVPPWMEQLYIEQEQMVA >Manes.16G031200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3740859:3742686:-1 gene:Manes.16G031200.v8.1 transcript:Manes.16G031200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAARSVLRSNAVRTVRLVSGPKSGPGSKPVFSPFRISKQNPLSQRIFRSPVELSCCVETMLPYHTATASALLNSMLSASRRSYGWTPEDCNDDV >Manes.01G095600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29756014:29758425:1 gene:Manes.01G095600.v8.1 transcript:Manes.01G095600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRFPPEIQTDILSRLSVDDLLRFRCISKSWCAQIDDAVFIKAHLKKFFNTCSSLYLLFADSHPNSLYFASFESLGNAVELDNPLKGPSDAHHNIKMVGSCNGLICYGNAAGTIALLNPLTTKHHILPFLPLDFHLEGKSTWGAWAFGFGYDPISDDYKVVRLGQYLCLFDQFFDTETMVCSLKANTWRRIPGMSYVLGFDQKMGVLVGDSLHWLVGRHRIVLNPNLIVGFNLEVEEFREVPAPELIGENLSINLGVVGDWLSLTANYERMRLDVWVMKEYGEEESWSRLIVIKPNELAPLKCMRTLAFSKKGDELLLRLVDGSLVWYNLKEKSVKSVEIPIAVTPFVVEAFRGSLVSPCLNKEETDAKKVRPQKGKNNRKRDEFLSKGFKLVL >Manes.01G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29756014:29758426:1 gene:Manes.01G095600.v8.1 transcript:Manes.01G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRFPPEIQTDILSRLSVDDLLRFRCISKSWCAQIDDAVFIKAHLKKFFNTCSSLYLLFADSHPNSLYFASFESLGNAVELDNPLKGPSDAHHNIKMVGSCNGLICYGNAAGTIALLNPLTTKHHILPFLPLDFHLEGKSTWGAWAFGFGYDPISDDYKVVRLGQYLCLFDQFFDTETMVCSLKANTWRRIPGMSYVLGFDQKMGVLVGDSLHWLVGRHRIVLNPNLIVGFNLEVEEFREVPAPELIGENLSINLGVVGDWLSLTANYERMRLDVWVMKEYGEEESWSRLIVIKPNELAPLKCMRTLAFSKKGDELLLRLVDGSLVWYNLKEKSVKSVEIPIAVTPFVVEAFRGSLVSPCLNKEETDAKKVRPQKGKNNRKRDEFLSKGFKLVL >Manes.09G163300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35746995:35752640:-1 gene:Manes.09G163300.v8.1 transcript:Manes.09G163300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHETIPLQSSSSFLSTSTIIALCVFFALLCACIVIGHLLEENRWANESITALLLGLCAGAIVLVIEKWQDSRLLVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTTILLFGILGTVISFCLISLGAFLLFKKIGLTSLTLQQFLAIGAILSATDSVCTLQVLSQEETPFLYSIVFGEGVVNDATSIVLYNAVQSLNFNNIDAKVTLNLLGNFLYLFFTSTALGIVVGLLSAFVIKTLYFGRHSTDREVALMMLLAYLSYLLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATMSFIAETFIFVYVGMDAFDIENWKESHASAGTLVTISATFFALVLIGRAAFVFPLANIINCFQKRQGNKIEFKQQFIMWWAGLMRGAVTIALSYNQQITSSDSALMITCTITVVLFSTIVCGSVTKPLIGAVLLRNRKPMVSDATDIPSLEDLRQLFIEDGDPSEQRNNQMTQKASSLRLLITHPTITVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGTADETSAAV >Manes.09G163300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35746995:35752641:-1 gene:Manes.09G163300.v8.1 transcript:Manes.09G163300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMISLYLNLKFDWLLLSCLRFQVKKKQFFKNFTTILLFGILGTVISFCLISLGAFLLFKKIGLTSLTLQQFLAIGAILSATDSVCTLQVLSQEETPFLYSIVFGEGVVNDATSIVLYNAVQSLNFNNIDAKVTLNLLGNFLYLFFTSTALGIVVGLLSAFVIKTLYFGRHSTDREVALMMLLAYLSYLLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATMSFIAETFIFVYVGMDAFDIENWKESHASAGTLVTISATFFALVLIGRAAFVFPLANIINCFQKRQGNKIEFKQQFIMWWAGLMRGAVTIALSYNQFSNTQQITSSDSALMITCTITVVLFSTIVCGSVTKPLIGAVLLRNRKPMVSDATDIPSLEDLRQLFIEDGDPSEQRNNQMTQKASSLRLLITHPTITVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGTADETSAAV >Manes.09G163300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35746995:35752640:-1 gene:Manes.09G163300.v8.1 transcript:Manes.09G163300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHETIPLQSSSSFLSTSTIIALCVFFALLCACIVIGHLLEENRWANESITALLLGLCAGAIVLVIEKWQDSRLLVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTTILLFGILGTVISFCLISLGAFLLFKKIGLTSLTLQQFLAIGAILSATDSVCTLQVLSQEETPFLYSIVFGEGVVNDATSIVLYNAVQSLNFNNIDAKVTLNLLGNFLYLFFTSTALGIVVGLLSAFVIKTLYFGRHSTDREVALMMLLAYLSYLLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATMSFIAETFIFVYVGMDAFDIENWKESHASAGTLVTISATFFALVLIGRAAFVFPLANIINCFQKRQGNKIEFKQQFIMWWAGLMRGAVTIALSYNQFSNTQQITSSDSALMITCTITVVLFSTIVCGSVTKPLIGAVLLRNRKPMVSDATDIPSLEDLRQLFIEDGDPSEQRNNQMTQKASSLRLLITHPTITVHYLWRKFDDRFMRPVFGGRGFVPFVPGSPTGTADETSAAV >Manes.14G148419.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16953374:16958921:-1 gene:Manes.14G148419.v8.1 transcript:Manes.14G148419.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAADEEVESHAPSKAAAPAAAPPPAAAPPPAAAGGLGQDALFQQIAELIRRVTQNVPEVPPPPVAVQVPPPVVARPPIEKLRKYGATEFRGKKEDDPSAAEFWLESTERVLQQLQCSPVESLMCAVSLLKDEAYRWWTTLTQMVRPERQTWEFFLSEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCRRFEQGLHADIRMYLTAMHIKELSVLVETAHSLERIKEEEQSRKQKGQQKRSQSQYQGQSSISQTSSKRHREFQQTGQRGLPRQSQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPIQTERSLPTGSRGRGRGRGESSSAQSHRVSETVDRPDTRAPARAYAIRAREDQDKPDVIAGEGTSKGKEIARD >Manes.15G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7858355:7862389:1 gene:Manes.15G100500.v8.1 transcript:Manes.15G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVKYISEWSSIAKEALSQTFIVAKFLCLLHVTNAYICSPTLVFGPSMLPTLNLSGDVLLAEHVSHRLGKVGPGDVVLVRSPLDPRKIVTKRIVGMEGDRISFSLDPSSTDSCHTIVVPKGHVWIQGDNVYASSDSRHFGPVPYGLIQGKVFCRVWPPYGFGALE >Manes.10G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1189206:1191566:-1 gene:Manes.10G011000.v8.1 transcript:Manes.10G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMKLSSRFIHKWSNSSILVLVFFLLLCKWFAYSDKFKSVAESFFLSGLKLAEIREKDDDMEVLLPPKDCDFYTGKWVLDNVTHPLYKEEECEFLSRQVTCLRNGRRDSLYQNWRWQPRDCSLPKFKSRLLLEKLRGKRLMFVGDSLNRNQWESMVCLVQSAISPGKKSLDESGSSSIFRIEDYNATIEFYWSPFLVESNSDDSLKHSILDRMIMPESINKHGENWKGVNYLIFNSYIWWMNSLNMKVLKQGFMFNGSNVEYDEIERTVAYGRVVRTLAKWINENVDPNLTSVYFMSMSPLHIKSSDWNNPEGLKCVRETTPVATMNAPLDVGTDKRLHYIVRNVTKSMKVPVHIINITTMSEYRKDAHTSIYTTRQGQLLTVEQQQNPAVYADCIHWCLPGLPDIWNELLYTHIVSQS >Manes.15G128100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10219331:10222201:1 gene:Manes.15G128100.v8.1 transcript:Manes.15G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYDGFKEILKIQKFRRLVSYTGFYCFVAVLSYAYTSNTTRAGYSRADQFYASYPAGTELLTDTAKLYKAALGNCFESEEWGPIEFCIMAKHFDRQGKSPYAYHAQYVAHLLSHGQLDGSG >Manes.15G128100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10219339:10222201:1 gene:Manes.15G128100.v8.1 transcript:Manes.15G128100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYDGFKEILKIQKFRRLVSYTGFYCFVAVLSYAYTSNTTRAGYSRADQFYASYPAGTELLTDTAKLYKAALGNCFESEEWGPIEFCIMAKHFDRQGKSPYAYHAQYVAHLLSHGQLDGSG >Manes.05G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1837000:1839655:1 gene:Manes.05G020300.v8.1 transcript:Manes.05G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTHRVERSEIKPGDHIYTYRAVFTYSHHGIFVGGNKVVHFTPRRNAGSSSDTSSDFYDSTSSIPSSCDIPDCGFRQPNSGVALSCLDCFLGKGSLYCFEYGVTPSVFLAKVRGGTCTTAASDPSETVIHRAMYLLQNGFGNYDLLGNNCEDFALYCKTGLLVMEKQGVGRSGQASSVVGAPLAALLSSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRSDVIKVAVEDLAAELGWADHQASPRLMAR >Manes.01G188200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36496348:36502546:1 gene:Manes.01G188200.v8.1 transcript:Manes.01G188200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSSAKVDTTQRSHTPSGVSRISSKTSRSSVPSTLTIPSYSGRSNSECPPTPRTEGEILSSPNLKAFSFNELKNATRNFRPDSLLGEGGFGYVFKGWIDENTMTAVKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLPWAVRIKVAIGAARGLSFLHDAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVIGRLTAKSDVYSFGVVLLELLSGRCAVDKTKVGVEQNLVDWAKPYLGDKRRLFRIMDTKLGGQYPQKGAYMAANLALQCLSTEAKVRPRMSEVLTTLEQIDSPKTVATHSQPQLQIPSQKSPMRHHHSPLNLTPSASPLVSHRQSPRVR >Manes.01G188200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36496348:36502545:1 gene:Manes.01G188200.v8.1 transcript:Manes.01G188200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSSAKVDTTQRSHTPSGVSRISSKTSRSSVPSTLTIPSYSGRSNSECPPTPRTEGEILSSPNLKAFSFNELKNATRNFRPDSLLGEGGFGYVFKGWIDENTMTAVKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLPWAVRIKVAIGAARGLSFLHDAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVIGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRCAVDKTKVGVEQNLVDWAKPYLGDKRRLFRIMDTKLGGQYPQKGAYMAANLALQCLSTEAKVRPRMSEVLTTLEQIDSPKTVATHSQPQLQIPSQKSPMRHHHSPLNLTPSASPLVSHRQSPRVR >Manes.15G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12761509:12766253:1 gene:Manes.15G153700.v8.1 transcript:Manes.15G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYKLITDDLTGLLLLSPFPHRENVEILKLPTRKGTEIVAMYIRHPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPILIIHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEHFPEYIRHLKKFISTVEKSPSQRYSSRRSTDQFEQARKSTDVFEVSRKSTDRREKPRHSTDRPEKLKGQSNHAEKLEKLKNQSNNADKLEKLRISFDQMERSRRSVDCLEKSRKSIDHQLERARKSVDRLDRIRTG >Manes.01G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9202727:9205414:1 gene:Manes.01G050400.v8.1 transcript:Manes.01G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKMSGIVVGLPIIFVSFLVSRCYSCTFTITNNCPQTIWPGTLAGSGSPQLPTTGLRLDPGQGVRVPTVPGWSGRIWARTGCKFDAFGLGSCQTGDCGGRLECNGIGATPPASLFEITLGRGNDKDFYDVSIVDGYNLPLVAAPRGVHGACNATGCASDINMGCPRELQVVGGDGGGGGVVACRSACEAFGLDQYCCSGEFANPTTCRPSFYSFIFKRACPRAYSYAFDDGTSTFTCKASDYAIVFCPNANGIRMSDGTVGTTSAAVIHKPNDGETVKMSSSSNIHLPIPMWILLFILNLSLKT >Manes.18G075600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6889150:6889818:-1 gene:Manes.18G075600.v8.1 transcript:Manes.18G075600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPENKIQSGTSKAMAATSPAAANKKKYKGVRMRSWGSWVSEIRAPNQKVRIWLGSYSTPEAAARAYDAALLCLKGSSANLNFPITSSHYIPDTVMSPKSIQKVAAAAANSFMGNAAAAATASSPTPATPSVSSPPLPSSFSSSPSPSSSISSTFSDQLDEDVSLVQSLGSYSETIPMLDDSWYNFEELQSPKFLDQMLNPPMTMMDDFYEGDIRLWSFAE >Manes.04G080450.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28216349:28216630:-1 gene:Manes.04G080450.v8.1 transcript:Manes.04G080450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHAKQLLGRSANQSASNFKDVPKGHLAVYVGEGQKKRFIVPVALLNKSSFQKLLRKAEEEFGFNHPMGAITIPCREDIFIDLTSS >Manes.13G056800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6603835:6605589:-1 gene:Manes.13G056800.v8.1 transcript:Manes.13G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAHCLVLAYPAQGHINPMLQFSKRLEHKGLQVTLVTTRAISNTIHKAPSSSSSSSIALETISDGYDEGGIIHAENIQAYFDRFWEVGPKSLVNLVERLNSSGSGSSVDCIIYDAFMPWGLDVAKKFGLVSAAFFTQSCAVDSIYYHVHKELIKLPVSESELILLPGLPPLEPQDLPSFVYHLGTYPAFFDMLLDQYTNIHKADWIFCNTFYELEKEVTDWLAKIWPFRTIGPSIPSAYLDKRLENDRDYGFSLFKPKNDVCMAWLDEKPKGSVVYVSFGSLIDLSIEQMQELAWGLKRSKSYFLWVVRETEEAKLPENFQEETSKKGLVVTWCSQLEVLAHESVGCFLTHCGWNSSLEALSLGVPMVAMPQRTDQSTNAKYIMDVWKMGLKTPVDEKGIARWKSIQCCIEEIMEGEKGKEIKRNASKWRELSNQAVDEGGSSDKNIDEFVMRFSFRRML >Manes.06G034701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:9469124:9469342:1 gene:Manes.06G034701.v8.1 transcript:Manes.06G034701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNNKFPCCRIIALIPLVSITIANKHAKTRLWIKFVTFSIPNIRKTFRPKHTQGTIVKIIPIQHVKRCVML >Manes.16G050800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13287960:13290276:-1 gene:Manes.16G050800.v8.1 transcript:Manes.16G050800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTQPRMQRNNAMVCVLIIFLINVNGEISPFDDHGNHFHDQMKKLQALKSSLIRRDLASSPSPSPSFSAPAPSPQAGAVGSPRVFRVTSFGADPSGKLDSTEALEKAIAAAFEGPKEWSLMEGIINLGGAQIYLEGGIYKISQPLRLPAAGAGNLMISGGTLLASDDFPTDGYLIDLSSSSSSSSYNYEYITLKDLMLDCNYRGGGISVINSLRTSIDNCYITHFNTNGILVQQGHETYIRNSFLGQHITAGGDPGERNFSGTAINLMGNDNAVTDVIIFSAATGILVSGQANTLSGVHCYNKATGFGGTGIYLKLPNLTQTRILNCYLDYTGIVAEDPNQLTITNSFFLGDAYIALKSVNGVAKGVTIVDNMFSGSNKGIDIVQLQQSNGPFKEIDQVVVDRNNVQGMNIRATNAKESVEGNGTSWSVDFSPILLFPNLINHVQYSLSANGASFPNYALRNVSENRVVIESDVAVAARVFVTVNQAVTI >Manes.16G050800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13288152:13290276:-1 gene:Manes.16G050800.v8.1 transcript:Manes.16G050800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTQPRMQRNNAMVCVLIIFLINVNGEISPFDDHGNHFHDQMKKLQALKSSLIRRDLASSPSPSPSFSAPAPSPQAGAVGSPRVFRVTSFGADPSGKLDSTEALEKAIAAAFEGPKEWSLMEGIINLGGAQIYLEGGIYKISQPLRLPAAGAGNLMISGGTLLASDDFPTDGYLIDLSSSSSSSSYNYEYITLKDLMLDCNYRGGGISVINSLRTSIDNCYITHFNTNGILVQQGHETYIRNSFLGQHITAGGDPGERNFSGTAINLMGNDNAVTDVIIFSAATGILVSGQANTLSGVHCYNKATGFGGTGIYLKLPNLTQTRILNCYLDYTGIVAEDPNQLTITNSFFLGDAYIALKSVNGVAKGVTIVDNMFSGSNKGIDIVQLQQSNGPFKEIDQVVVDRNNVQGMNIRATNAKESVEGNGTSWSVDFSPILLFPNLINHVQYSLSANGASFPNYALRNVSENRVVIESDVAVAARVFVTVNQAVTI >Manes.11G066200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9251252:9266715:-1 gene:Manes.11G066200.v8.1 transcript:Manes.11G066200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVQPQFIVSTGNRSFSNAPLIENSDSDKIVVPDKTSWKNLFAYIGPGFLVSIAYIDPGNFETDLQSGAEYKYELLWIILVASCAALLIQSLAANLGVVTGKHLAEHCKAEYPRVPNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVSTIAACFLVELGYAKPSASEVLYGLFVPQLKGHGATGLAISLLGAMVMPHNLFLHSALVLSRKIPQSTGGIKEARRFYLIESAFALAIAFFINVSVISVSGAVCKSSNLNPEDQSKCKDLDLNQASFLLRNVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASMILSFELPFALIPLLKFTSCQTKMGIHANSTVV >Manes.11G066200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9251252:9266475:-1 gene:Manes.11G066200.v8.1 transcript:Manes.11G066200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVQPQFIVSTGNRSFSNAPLIENSDSDKIVVPDKTSWKNLFAYIGPGFLVSIAYIDPGNFETDLQSGAEYKYELLWIILVASCAALLIQSLAANLGVVTGKHLAEHCKAEYPRVPNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVSTIAACFLVELGYAKPSASEVLYGLFVPQLKGHGATGLAISLLGAMVMPHNLFLHSALVLSRKIPQSTGGIKEARRFYLIESAFALAIAFFINVSVISVSGAVCKSSNLNPEDQSKCKDLDLNQASFLLRNVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASMILSFELPFALIPLLKFTSCQTKMGIHANSTVV >Manes.11G066200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9251252:9266715:-1 gene:Manes.11G066200.v8.1 transcript:Manes.11G066200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVQPQFIVSTGNRSFSNAPLIENSDSDKIVVPDKTSWKNLFAYIGPGFLVSIAYIDPGNFETDLQSGAEYKYELLWIILVASCAALLIQSLAANLGVVTGKHLAEHCKAEYPRVPNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVSTIAACFLVELGYAKPSASEVLYGLFVPQLKGHGATGLAISLLGAMVMPHNLFLHSALVLSRKIPQSTGGIKEARRFYLIESAFALAIAFFINVSVISVSGAVCKSSNLNPEDQSKCKDLDLNQASFLLRNVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASMILSFELPFALIPLLKFTSCQTKMGIHANSTVISALTWIIGSLIMAINIYYLATGFINILLHGHLKVVEVVFLGIFGFSAMALYLAAIAYLVFRKNKEATHLLALTTPESRQMANESADTLMHNLPREDIVSMQLPQIRSTEVD >Manes.11G066200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9251252:9266475:-1 gene:Manes.11G066200.v8.1 transcript:Manes.11G066200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVQPQFIVSTGNRSFSNAPLIENSDSDKIVVPDKTSWKNLFAYIGPGFLVSIAYIDPGNFETDLQSGAEYKYELLWIILVASCAALLIQSLAANLGVVTGKHLAEHCKAEYPRVPNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVSTIAACFLVELGYAKPSASEVLYGLFVPQLKGHGATGLAISLLGAMVMPHNLFLHSALVLSRKIPQSTGGIKEARRFYLIESAFALAIAFFINVSVISVSGAVCKSSNLNPEDQSKCKDLDLNQASFLLRNVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASMILSFELPFALIPLLKFTSCQTKMGIHANSTVISALTWIIGSLIMAINIYYLATGFINILLHGHLKVVEVVFLGIFGFSAMALYLAAIAYLVFRKNKEATHLLALTTPESRQMANESADTLMHNLPREDIVSMQLPQIRSTEVD >Manes.11G066200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9251252:9266715:-1 gene:Manes.11G066200.v8.1 transcript:Manes.11G066200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVQPQFIVSTGNRSFSNAPLIENSDSDKIVVPDKTSWKNLFAYIGPGFLVSIAYIDPGNFETDLQSGAEYKYELLWIILVASCAALLIQSLAANLGVVTGKHLAEHCKAEYPRVPNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVSTIAACFLVELGYAKPSASEVLYGLFVPQLKGHGATGLAISLLGAMVMPHNLFLHSALVLSRKIPQSTGGIKEARRFYLIESAFALAIAFFINVSVISVSGAVCKSSNLNPEDQSKCKDLDLNQASFLLRNVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASMILSFELPFALIPLLKFTSCQTKMGIHANSTVISALTWIIGSLIMAINIYYLATGFINILLHGHLKVVEVVFLGIFGFSAMALYLAAIAYLVFRKNKEATHLLALTTPESRQMANESADTLMHNLPREDIVSMQLPQIRSTEVD >Manes.11G066200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9251252:9266715:-1 gene:Manes.11G066200.v8.1 transcript:Manes.11G066200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVQPQFIVSTGNRSFSNAPLIENSDSDKIVVPDKTSWKNLFAYIGPGFLVSIAYIDPGNFETDLQSGAEYKYELLWIILVASCAALLIQSLAANLGVVTGKHLAEHCKAEYPRVPNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVSTIAACFLVELGYAKPSASEVLYGLFVPQLKGHGATGLAISLLGAMVMPHNLFLHSALVLSRKIPQSTGGIKEARRFYLIESAFALAIAFFINVSVISVSGAVCKSSNLNPEDQSKCKDLDLNQASFLLRNVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASMILSFELPFALIPLLKFTSCQTKMGIHANSTVV >Manes.10G133674.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29972922:29974474:-1 gene:Manes.10G133674.v8.1 transcript:Manes.10G133674.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGEGCLPPNLTSLHIGECLNMKQPMLEWGLHRLASLRSLRIFNVESTGDIISFPDDDGFLLPTSLTHLFIGGLKNLKSISMGIQKLTSLEELAIWWCPKLQSFPAEGLPATLECLEIVTCPLLLDRCLKDKGGYYWPIISYIPMVVMEN >Manes.04G118000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31986861:31988188:1 gene:Manes.04G118000.v8.1 transcript:Manes.04G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHYDLLIQILCLLPVESLLRFRCLSKICCSCIDSPYFINLHLNQSIKTSTNRSLIIDDIYPEGSIYSVDLDSSESDRSPVELHRPYKPFVTTVESFLDYTTRRRIVRPRKFSSDVFGSCNGLLAMYNGSGITLWNPSTKKHQNIPKFWSDIEYNACDNLLVGFGYDSIKNDYKVIEMHQRSGLHHNQKHEIKAIVYSLKGNCSTRIEDLNDYYIPYNSHSTGVPVGGSLHWVVSGQEEWFNFDNSILAFDLVNDKFYELPKPHTKSEPFACLGELGGNLAISYSSNTRLFIEVWVMKEYGVMDSWTKLFQIDGKEQSGLADCYAAYVQPLCYSKTGGEVLVSYQYDEYFVSYDLEKKRAKGVAMFRSPERDSTHRCIPNKISANICIRSLVPANFNSGNAEFSSDIIQCKKRKRTSTEVS >Manes.06G082300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21782027:21784683:1 gene:Manes.06G082300.v8.1 transcript:Manes.06G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHKFIYTLVFVMIFSQAGAFDTLDPTGNITVKWDVMSWTPDGYVAVVTMTNFQMYRHIMSPGWTLGWTWAKKEIIWSMMGAQATDQGDCSKFKINIPHCCKRNPTVVDLLPGVPYNQQIANCCKGGVVSSWGQDPSTAVSSFQVTVGQSGTTNKTVRLPKNFTLFGPGRGYTCSKATIVPPSVFLSADGRRKTQAMMTWNVTCTFSQLLASPSPTCCVSMSSFYNSTITPCPTCACGCQNKNNCVKSDSNIQSVVKGSTPTNDNTPLLLCTQHNCPVRVHWHVKLNYKDYWRVKISITNFNYLVNYTQWTLVVQHPNLNNVTQVFSFDYKPLLPYPSANDSGMFYGMKFFNDILMEAGPDGNVQSELILEKDKNTFTFNQGWAFPRKVYFNGDECMMPPPDEYPYLPNSALANWISLSTLMGALLVLELMVFW >Manes.16G131700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33213189:33217125:-1 gene:Manes.16G131700.v8.1 transcript:Manes.16G131700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKAVMGSGRRWAVDFTDNSTAPSSRDFPDPPGFSRASQDQDDSTVTKQKKDAEANWKAQKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPISALQGVGKVFEPYKDSKVDLLGPKLLFIALNLGGLALGIWKLNTLGLLPTHASDWVSSLPPAQEVEYSGGGIPLH >Manes.13G038700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4865095:4869487:1 gene:Manes.13G038700.v8.1 transcript:Manes.13G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNPVIFDISSDEEAAFDEPRGGDDDHDWLTELLQTVDKEIASSDEVIVVGEYNPPKPKSKSKSSKPVKDVEDDDCVVLDGDPDEQVDVVDDTASDGDDVLVVGQKGQVACRDYPHPRHLCAKFPFSSTPHERHCDLCHCYVCDSIAPCAHWGTGVSKIDHCHATDKQEIWKSQRENFRLGKRASLPISKFTDVQLPGAASELNQVAPLDFIQLAPNLVSQNQLSRPTTIRACSSARLNTPNIISQSRNKRPRFAQGRNGLLPPSVSQQAGSICNTAIVRNRGQQFVSSNTMFKRPGIRGTFAMEQSICGSVNKKNCAPASHYARNAVSMATANAQIPSRWQDALPNMIPHTYTYRNPSQPNMGSATLNVVPSRPEEYSQPIPQSIGAQNIYQNQSQTGINSSFPDFDFDLISNFSESNQQVSAEINHPHGPGSNKEPTADKQFISQLAESTELYEDYDYERWLLGQSDAVVSEDCVPVDLNLFSSEPCAFDAGTFLF >Manes.02G032300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2651865:2653391:1 gene:Manes.02G032300.v8.1 transcript:Manes.02G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTSKLEENPVVIASVSPSSPSQNTQKWPTNLMQVLLLELKIQRGITLPLLAMNLTWFAKIAITTAFLGRLGQLPLAGGTLGFTFANVTGFSVLNGLCAAMEPICGQAYGAKNFRLLHKTLLMTIFLLLLTTLPVSFLWLNVDKILIHFGQQEDISHIAKTYLFYLLPDLVITSLLCPLKAYLSSQGVTVPIMFSSAVGLALHIPINIFLAKAKGLEGISMAIWITDAIVVILLASCVLLKENGKGGNWKEGGWLDQGIYDWLRLLKLSGPCCLTSCLEWWCWEILVLLTGRLANAKQAVGVLAIVLNFDYLLFSVMLSLATCASIRVSNELGANEACPAYQAAYVSLAVSFISGFIGALVMVAARGIWGPLFSHDKGIIRGVKKMMLLMALVEVVNFPLAVCGGIVRGTARPWLGMYANLGGFYLVALPTAVLLAFKAGLGLGGLLLGYLVGIAACVTLLVFFVMRINWEVEADQAQKLAIDVQESIEGREDRRTSATVTDANA >Manes.S043416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1295146:1295268:-1 gene:Manes.S043416.v8.1 transcript:Manes.S043416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.11G105600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24350001:24353761:-1 gene:Manes.11G105600.v8.1 transcript:Manes.11G105600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIHRVLSRLSSSALGIGTGAERFVKGERRPAFGNLSRVATAYQLRAQVFSAKAGGGDGNEGKDDWESGMGGSFGGNGSDLGWDSVSSWSTGLTKDHFDGELEGQKTGSSSTVGGGLDASQTALVSSLQEIDDKIRELDDENKKSRVFVDGWGERLREISVLLKQVREPGARGSYLKDSEKAEMYRLHKENPEVYTVEKLAKDYRIMRQRVHAILWLKQLEEEEEKKRGHPLDDSVELLLDTCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTIKDLDEVHYEISMKEDEMLYQEFVQKMNFNKMKMAGQVKCHKYSRRRPSEGWNFTVEKMGPRGKRGGGGGWKFVSLPDGSSRPLNETEKMYVKRETPRRRPKILP >Manes.11G105600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24350056:24353715:-1 gene:Manes.11G105600.v8.1 transcript:Manes.11G105600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIHRVLSRLSSSALGIGTGAERFVKGERRPAFGNLSRVATAYQLRAQVFSAKAGGGDGNEGKDDWESGMGGSFGGNGSDLGWDSVSSWSTGLTKDHFDGELEGQKTGSSSTVGGGLDASQTALVSSLQEIDDKIRELDDENKKSRVFVDGWGERLREISVLLKQVREPGARGSYLKDSEKAEMYRLHKENPEVYTVEKLAKDYRIMRQRVHAILWLKQLEEEEEKKRGHPLDDSVELLLDTCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTIKDLDEVHYEISMKEDEMLYQEFVQKMNFNKMKMAGQVKCHKYSRRRPSEGWNFTVEKMGPRGKRGGGGGWKFVSLPDGSSRPLNETEKMYVKRETPRRRPKILP >Manes.14G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3400524:3403949:-1 gene:Manes.14G039500.v8.1 transcript:Manes.14G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNSTNVEQAFMAMAADIKNRMASQPAANNARPPTVQIRGQPVNQKSGCCSS >Manes.01G220100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38841354:38847361:1 gene:Manes.01G220100.v8.1 transcript:Manes.01G220100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFKADELGTELSRRGPSAAGTNPKKERLDSAHGKEKHSGVSAGKKRQRKLRVDDLDGIKKIKAIEDLHEQEILRSISSSGSKSELMASPCAVESNDLADAHDGLSVREQNEAKETSKEEKQLTNKKKGSAVLDQHLPDHIKTQYHVLEQGDNIYDATLNQTNVGDNNNKFYVIQALESNDGGKFMVYTRWGRVGIKGQDKLQGPYASREGAVQDFEAKFFSKTKNHWSNRKEFICHSKCYVLLEMDCEADQKSDEKPAIGSHLQASKLDPQIANFISLICNPDMMKQRMQQLGYNPERLPLGKLSRSTILKGYDVLRRISDTIDKSDREKLEELSGEFYTIIPHDFGFRKMRDFIIDTHYKLKCKLEMVEALGEIEIAASLFKDDIYSQADPLYSHYQCLRCELVPLKVGSAEFSMIDKYIHNTGDETHYRIDIVHLFKASREGEDERFKKFSNAKNRMLLWHGSQLTNWTGILSQGLRIAPPEAPSRGYSFGKGIYFADMFAKSVRYCGADWVNSDAVLILCEVALGDINEIQTFDHSPHQLPKGNLSAKAIGRTEPDPSKVEILEDGIIVPQGKPIDQTKPKAVWGMNEYIIFNVDQIRIRYVVHVKLCYNGVN >Manes.01G220100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38841354:38847361:1 gene:Manes.01G220100.v8.1 transcript:Manes.01G220100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFKADELGTELSRRGPSAAGTNPKKERLDSAHGKEKHSGVSAGKKRQRKLRVDDLDGIKKIKAIEDLHEQEILRSISSSGSKSELMASPCAVESNDLADAHDGLSAKETSKEEKQLTNKKKGSAVLDQHLPDHIKTQYHVLEQGDNIYDATLNQTNVGDNNNKFYVIQALESNDGGKFMVYTRWGRVGIKGQDKLQGPYASREGAVQDFEAKFFSKTKNHWSNRKEFICHSKCYVLLEMDCEADQKSDVQEKPAIGSHLQASKLDPQIANFISLICNPDMMKQRMQQLGYNPERLPLGKLSRSTILKGYDVLRRISDTIDKSDREKLEELSGEFYTIIPHDFGFRKMRDFIIDTHYKLKCKLEMVEALGEIEIAASLFKDDIYSQADPLYSHYQCLRCELVPLKVGSAEFSMIDKYIHNTGDETHYRIDIVHLFKASREGEDERFKKFSNAKNRMLLWHGSQLTNWTGILSQGLRIAPPEAPSRGYSFGKGIYFADMFAKSVRYCGADWVNSDAVLILCEVALGDINEIQTFDHSPHQLPKGNLSAKAIGRTEPDPSKVEILEDGIIVPQGKPIDQTKPKAVWGMNEYIIFNVDQIRIRYVVHVKLCYNGVN >Manes.01G220100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38841354:38847361:1 gene:Manes.01G220100.v8.1 transcript:Manes.01G220100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFKADELGTELSRRGPSAAGTNPKKERLDSAHGKEKHSGVSAGKKRQRKLRVDDLDGIKKIKAIEDLHEQEILRSISSSGSKSELMASPCAVESNDLADAHDGLSVREQNEAKETSKEEKQLTNKKKGSAVLDQHLPDHIKTQYHVLEQGDNIYDATLNQTNVGDNNNKFYVIQALESNDGGKFMVYTRWGRVGIKGQDKLQGPYASREGAVQDFEAKFFSKTKNHWSNRKEFICHSKCYVLLEMDCEADQKSDVQEKPAIGSHLQASKLDPQIANFISLICNPDMMKQRMQQLGYNPERLPLGKLSRSTILKGYDVLRRISDTIDKSDREKLEELSGEFYTIIPHDFGFRKMRDFIIDTHYKLKCKLEMVEALGEIEIAASLFKDDIYSQADPLYSHYQCLRCELVPLKVGSAEFSMIDKYIHNTGDETHYRIDIVHLFKASREGEDERFKKFSNAKNRMLLWHGSQLTNWTGILSQGLRIAPPEAPSRGYSFGKGIYFADMFAKSVRYCGADWVNSDAVLILCEVALGDINEIQTFDHSPHQLPKGNLSAKAIGRTEPDPSKVEILEDGIIVPQGKPIDQTKPKAVWGMNEYIIFNVDQIRIRYVVHVKLCYNGVN >Manes.01G220100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38841354:38847361:1 gene:Manes.01G220100.v8.1 transcript:Manes.01G220100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFKADELGTELSRRGPSAAGTNPKKERLDSAHGKEKHSGVSAGKKRQRKLRVDDLDGIKKIKAIEDLHEQEILRSISSSGSKSELMASPCAVESNDLADAHDGLSETSKEEKQLTNKKKGSAVLDQHLPDHIKTQYHVLEQGDNIYDATLNQTNVGDNNNKFYVIQALESNDGGKFMVYTRWGRVGIKGQDKLQGPYASREGAVQDFEAKFFSKTKNHWSNRKEFICHSKCYVLLEMDCEADQKSDVQEKPAIGSHLQASKLDPQIANFISLICNPDMMKQRMQQLGYNPERLPLGKLSRSTILKGYDVLRRISDTIDKSDREKLEELSGEFYTIIPHDFGFRKMRDFIIDTHYKLKCKLEMVEALGEIEIAASLFKDDIYSQADPLYSHYQCLRCELVPLKVGSAEFSMIDKYIHNTGDETHYRIDIVHLFKASREGEDERFKKFSNAKNRMLLWHGSQLTNWTGILSQGLRIAPPEAPSRGYSFGKGIYFADMFAKSVRYCGADWVNSDAVLILCEVALGDINEIQTFDHSPHQLPKGNLSAKAIGRTEPDPSKVEILEDGIIVPQGKPIDQTKPKAVWGMNEYIIFNVDQIRIRYVVHVKLCYNGVN >Manes.01G220100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38841354:38847361:1 gene:Manes.01G220100.v8.1 transcript:Manes.01G220100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFKADELGTELSRRGPSAAGTNPKKERLDSAHGKEKHSGVSAGKKRQRKLRVDDLDGIKKIKAIEDLHEQEILRSISSSGSKSELMASPCAVESNDLADAHDGLSAKETSKEEKQLTNKKKGSAVLDQHLPDHIKTQYHVLEQGDNIYDATLNQTNVGDNNNKFYVIQALESNDGGKFMVYTRWGRVGIKGQDKLQGPYASREGAVQDFEAKFFSKTKNHWSNRKEFICHSKCYVLLEMDCEADQKSDEKPAIGSHLQASKLDPQIANFISLICNPDMMKQRMQQLGYNPERLPLGKLSRSTILKGYDVLRRISDTIDKSDREKLEELSGEFYTIIPHDFGFRKMRDFIIDTHYKLKCKLEMVEALGEIEIAASLFKDDIYSQADPLYSHYQCLRCELVPLKVGSAEFSMIDKYIHNTGDETHYRIDIVHLFKASREGEDERFKKFSNAKNRMLLWHGSQLTNWTGILSQGLRIAPPEAPSRGYSFGKGIYFADMFAKSVRYCGADWVNSDAVLILCEVALGDINEIQTFDHSPHQLPKGNLSAKAIGRTEPDPSKVEILEDGIIVPQGKPIDQTKPKAVWGMNEYIIFNVDQIRIRYVVHVKLCYNGVN >Manes.01G220100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38841354:38847361:1 gene:Manes.01G220100.v8.1 transcript:Manes.01G220100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFKADELGTELSRRGPSAAGTNPKKERLDSAHGKEKHSGVSAGKKRQRKLRVDDLDGIKKIKAIEDLHEQEILRSISSSGSKSELMASPCAVESNDLADAHDGLSVREQNEAKETSKEEKQLTNKKKGSAVLDQHLPDHIKTQYHVLEQGDNIYDATLNQTNVGDNNNKFYVIQALESNDGGKFMVYTRWGRVGIKGQDKLQGPYASREGAVQDFEAKFFSKTKNHWSNRKEFICHSKCYVLLEMDCEADQKSDVQEKPAIGSHLQASKLDPQIANFISLICNPDMMKQRMQQLGYNPERLPLGKLSRSTILKGYDVLRRISDTIDKSDREKLEELSGEFYTIIPHDFGFRKMRDFIIDTHYKLKCKLEMVEALGEIEIAASLFKDDIYSQADPLYSHYQCLRCELVPLKVGSAEFSMIDKYIHNTGDETHYRIDIVHLFKASREGEDERFKKFSNAKNRMLLWHGSQLTNWTGILSQGLRIAPPEAPSRGYSFGKGIYFADMFAKSVRYCGADWVNSDAVLILCCVG >Manes.03G098333.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17717446:17729242:-1 gene:Manes.03G098333.v8.1 transcript:Manes.03G098333.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSTQQLQQAQLAAILGQDPTPFEALISSLMSSSNEQRSQAELAFNLCKQTDPDSLSLKLAHLLQFSSHAEARAMSAVLLRKLLTRDDAYLWPRLSLAAQSSIKSILLTCIQHEQTKSIVKKLCDTVSELASGILPENGWPELLPFMFQCVSSDSPKLQESAFLIFAQLSQYIGETLIPFIKELHSVFLQCLGSSSNFDVKIAALNAVINFIQCLNSSSDRDRFQDLLPAMIRTLTEALNNGNEATAQEALELLIELAGSEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMRMLLDIEDDPAWHSAESEDEDAGETGNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCSKVMIKNLEQVVSMVLNSFYDPHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALASAMDDFPNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSMECISLVGMAVGKDKFREDAKQVMEVLMSLQGSQMEADDPTTSYMLQAWARLCKCLGQDFLPYMTVVMPPLLQSAQLKPDVTITSADSDNDIDDSDDESMETITLGDKRIAIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLSQGRNESYVKQLSDYIIPALVEALHKEPDTEICANMLDALNECLQISGPLVDEGQVKSIIDEIKQVITASSSRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSTYLTPMWGKDKTAEERRIAICIFDDVAEQCHEAALKYYDTYLPFLLEACNDENPDVRQAAVYGLGVCAEFGVSVFKPLVGEALSRLNVVIQHPNAKHPENVMAYDNAVSALGKICQFHRASIDSAQVVPAWLNCLPITGDLIEAKVVHEQLCLMVERSDSELLGPNNQYLPKIVSVFAEVVCGKDLATEQTASRMVNLLRHLQQTLPPATLASTWSLLHPQQQMALQSILSS >Manes.S026916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:415324:415446:1 gene:Manes.S026916.v8.1 transcript:Manes.S026916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.07G013800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1701591:1706899:-1 gene:Manes.07G013800.v8.1 transcript:Manes.07G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKHRGRREEEQLEKMAELSKTLKEGERIVAPTRRPDGTLRKPIRIRAGYVPQDEVAIYQSKGALLKKEMQSQVVPPGYDPDLDVKHKTKSVKRNERKKEKRLQAALEKRKNLEAMAVGNLKNEEPAEGVSDTSESVKSLTSQMNELAVSVNSVLSTPADLTEASDPCASSQDIDKRIRALKKKIRIAEGQLHKTAPEDMKPEQLEKLAKLESWRQELKLLEPKKAEQASS >Manes.06G062700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19725136:19728215:1 gene:Manes.06G062700.v8.1 transcript:Manes.06G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIYVANRPSLLAILGSSMRKCIFRIISKGPIPSHLAFILDGNRRFAKKENLDAGAGYRAGFSSLLSTLKYCYELGVKYVTIFAFSIDNFRRRPDEVQKVMDLVLEKTEGILKEESLIDAYGIGVRFVGNLKLLSEPVRVAAEKAMKATAKNTRCVLFICVAYTSTDEIVHSVQESCKDKSNKIDSSKTHKACNGIEGGECGKEIDNGITLGVQEYATNNAVTKGAEVTGKSNGVIVNSFRTENGVISVGGIEKMPSPPCIKKMDIEKQMYLAAPEPDILIRTSGENRLSNFLLWQTSTCLLYSPAALWPEIGLRHLAWAVINFQRTHSYLEEKKKYL >Manes.14G172700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28430458:28433621:-1 gene:Manes.14G172700.v8.1 transcript:Manes.14G172700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKNLSFFFFFVLIFFLPFSKPDLAADRAALISLRSSVGGRTLFWNISHSTPCSWPGVLCEGNRVTVLRLPGVALSGQLPSGIFSNLTELRTLSLRLNALTGQLPSDLAACTKLRNLYLQGNMFSGEIPEFLFTLHDIVRLNLGENNFTGVFSVGFGNFTRLRTLYLENNRLSGSIPDLKLEKLEQFNVSNNLLNGSIPERFKAFDSSSFLGNSLCGQPLDSCATAANGAVVVPSTPTDEAGNGGKKKKLSGGAIAGIVIGSVVGFFLLVLILMILCRKKGSQKSRSIDIASIKQQELALPGEKPIGEVENGNVYGNANGYSVAAAAAAAMVGNGKAGGEVNGAGAKKLVFFGKASRVFDLEDLLRASAEVLGKGTFGTAYKAVLELGTVVAVKRLKDVTISEREFKEKIEMVGAMDHENLVPLRAYYFSRDEKLLVHDYMPMGSLSALLHGNKGAGRTPLNWEIRSGIALGAARGIQYLHSQGPNVSHGNIKSSNILLNKSYEARVSDFGLAHLVGPSSTPNRVGGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKPPTHALLNEEGVDLPRWVQSIVREEWTSEVFDLELLRYQNVEEEMVQLLQLGIDCAAQYPDNRPSMSEVTSRIEELCRASLREDQDLQPDVVDADRNSSR >Manes.18G014600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1557415:1560745:1 gene:Manes.18G014600.v8.1 transcript:Manes.18G014600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAQAPPIHRLHSTPFLCSPLKLSTFTASSVSSFKVARNQRSPPSYPCIRADLDQNTIVAISVGIVSVAVGIGIPIFYESQIDNAAKRENTQPCFPCNGSGAQKCRFCLGTGNVTVELDRDEKEVSRCINCDGAGSLTCTTCQGTGIQPRYLDRREFKDDD >Manes.02G052280.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4178730:4187034:1 gene:Manes.02G052280.v8.1 transcript:Manes.02G052280.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSHFSATSHCSSVSRFVDFGSRSSGKWRSNLLLIRTLRSRPLARSFSVKNVSSEPKTKLENPISGEDASSIASSIKYHAEFTPLFSPEKFELPKAFVATAQSVRDALIINWNATYECYDRLNLKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALTKLGHDLESVARHEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQRITKDGQEEVAEDWLEMGNPWEIVRNDISYPVKFYGKVVSGSDGKKHWIGGEDIMAVAYDLPIPGYKTKSTINLRLWSTKAPAEDLDLSAFNAGEHTKAYEALANAEKICYILYPGDNSLEGKTLRLKQQYTLCSASLQDIIARFERRSGSNIKWEDFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTTAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELINTIVSEYGREDSDLLEKKLKEMRILENVDLPSTFANLIAKPKESSAAAVSENSGEAGKLINEKDEVDSGDETESKGEPKSTGARKKEEVVAEPPSKMVRMANLCVVGGHAVNGVAEIHSEIVKDEVFNSFYKLWPNKFQNKTNGVTPRRWIRFCNPDLSKIITEWTGSEEWVLNTEKLAELRKFADNEDFQTQWRAAKKSNKMKVASLLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSAVERKAKYVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAEAHEIAGLREERAMGKFVPDPRFEEVKDFVRTGVFGSCNYDELLGSLEGNEGFGRADYFLVGKDFPSYVECQEKVDEAYQDQRRWTKMSIMNTAGSYKFSSDRTIHEYAKDIWNIEPIILP >Manes.13G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:967645:974040:-1 gene:Manes.13G004100.v8.1 transcript:Manes.13G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTTASASASAVSKTTANFPHYNTSAVYRVSFRLSPASKFRFCAKGLKHGRDSSTFVKAQLNEVSVDGSSNAAASPSNKSEPPSTEAKDAKPSNESSPPTLATEESISEFITQVASLVKLVDSRDIVELQLKQLDCELIIRKKEALPQPPSPAPVVMMHSPSPPPSMPPASPAASAAASIPAPAASPPSPAPSAAKSPKSSLPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEADQSGTIVEIIAEDGKPVSVDTPLFVIEP >Manes.13G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:968827:974040:-1 gene:Manes.13G004100.v8.1 transcript:Manes.13G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTTASASASAVSKTTANFPHYNTSAVYRVSFRLSPASKFRFCAKGLKHGRDSSTFVKAQLNEVSVDGSSNAAASPSNKSEPPSTEAKDAKPSNESSPPTLATEESISEFITQVASLVKLVDSRDIVELQLKQLDCELIIRKKEALPQPPSPAPVVMMHSPSPPPSMPPASPAASAAASIPAPAASPPSPAPSAAKSPKSSLPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEVA >Manes.04G085800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28732371:28733967:1 gene:Manes.04G085800.v8.1 transcript:Manes.04G085800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGTADVGAGQTPQLRLPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLENFLMLRNYRFFFMFVFSTTLLCIYVFVFCWVYIRRIMSSEHTSILKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCSAIPLSKNNFRAKVPREPALPTRPIGGGFMSPNMGKAVDDIEMGRKTVWGDMGAMADNVEGQVTNNDRLNIKDGNLSELSPEVRTAVEEGDHGGIHPRRSSWGRKSGSWDMSPEVLALAARVREPNHVGGSSSGSLTTEKRVS >Manes.16G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30872720:30875153:-1 gene:Manes.16G103700.v8.1 transcript:Manes.16G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVVVFDFDRTLIDGDSDRWVVTQMGLTSLFNQLRSTLPWNSLMDRMMKELHSQGKTADDIAECLGRMPLHPRVIAVIKSIHALGCDLRIISDANQFFIEKILDHHGLLGCFSQISTNPSFVDDEGRLRIFPYHDLNTPPHGCHLCPPNLCKGLVIAQIRASTTENEKTRFIYLGDGNGDFCPSLKLGEGDHVMPRKEYPLWNRLSSNATLVKAEVHDWGNGEELAKILLHLINTISTEENIKSSLSNQLDSSECKTSTHELTSIREVRGEPFRQRRALQAKESH >Manes.02G021300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1891260:1894941:-1 gene:Manes.02G021300.v8.1 transcript:Manes.02G021300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDHKVEVINDGTQEFYVEFNGPKDSPYQGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEISGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRAAYEQRVKEYSEKYAKPEDAGAKQEEKSSDEELSDDEYGSEDEQVAGKADP >Manes.11G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28539855:28545823:-1 gene:Manes.11G123700.v8.1 transcript:Manes.11G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISLLFEELTPTRVLTQNSTKQSYHLYKTATDGKAETEVIMGLEAVGDLALNEILSKLGPKETAKVACVNKRFKASATEDSLWSKFCSQDLHLSAPLDPHGNLVPSFKFAYGLWREVFTMYPWPLVKRVKRCWDRLKNWLTANFPEAGATLQQGASETQIRELEVVLKVKLPLPTRVLYRFCNGQVFQDKDAPKGALGNTLGLIGGYTFYHHLVNVYLLPLDEVILETNQVMRQLEISGSFRRKFIVVARSSTFQEKLFFLDCTSGQLYVGTRNLATDLEMLPCVPSGLLNSVHDFNSDQLQDAMLLWLEEHGRCLQDGIIKLREERKVRAICQFPEEPPLCSTAITNGVKVRASAVFVPEAADLPDSTEKYWFAYSIRMSLLPEGCIVNGMHFSSCQLQKRHWIIRANGNVVSDVNAEGVIGKYPLLLPGEREFVYQSCTPLSTSSGSVEGHFTFVPDRLVDSKGAPFEVEVARFPLQLPDYIF >Manes.14G047284.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126846:4146951:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSGY >Manes.14G047284.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126852:4146951:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSGY >Manes.14G047284.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126852:4144558:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSGY >Manes.14G047284.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126846:4146951:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSG >Manes.14G047284.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126848:4144558:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSG >Manes.14G047284.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126852:4144679:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSG >Manes.14G047284.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126852:4144679:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSGY >Manes.14G047284.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126852:4133956:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSG >Manes.14G047284.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126846:4146951:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSG >Manes.14G047284.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4126846:4146951:1 gene:Manes.14G047284.v8.1 transcript:Manes.14G047284.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPRDHIEFLRKEWFSVGGGTNYLAPMLSNAVEYLSAELYTKDVHFLMEVIQNAEDNEYPEEVDPSLEFVITTRDITATGAPATLLIFNNEKGFHGKNIEALCNVGNSTKKGNRKRGYIGEKGIGFKSVFLISAQPYIFSNGYQIRFNEKPRPPCKLGFIVPEWVEESPSLDDIQQIYGPSSSLPSTVIILPLKPDKMEAVKQQLSSLHPEVLLFLSKIKRLSVREDNDDPRLNTISSIAITKETNLVTRKNIDAESFTLYLSAEENGDTSRGECSYYIWKQKFPVRPENKVERRMEVEEWVITLAFPNGDRLHRGMSSPGVYAFLPTEMVTNFPFIIQADFILASSRETILMDNVWNQGILNCVSSAFVQALISLVRTIEEAPVSNLPRMFEFLPVSSSPYPKLNAVRDSIKAKLVEENIVPSESCLEQKFFHRPCEVGRIMPEFWNILDKARDQGVSLLNLSSHGLYALNSSFDQPMYDQILNFLGVGAMNNEWYAKCIKGSNLVMGVSEETYSELLIFLAENWQSKFRNTDMLNIPLIKYVDVDGSVHLCSLNESARNKFQCCLSEKIDYASWLIDWNREFRSVAKRFFVPRSTQQALRSSSKLQAVWHWLINHAKLTACNVFEYATALCNHVSDDRKLVVAYVHFLYNSSSKNYLSESEVKRLCGSMPLLNNYGRVITTWSAVLVPASVSKWVQLCWANPWVNDGYIELSEDYSYFGYYAGQGTSGNQLIAFLKAHLSACDIPHITPPNAGIRTVSGPLTKDNAFLLLDWIHNLKYRGIRIPERFLTCIMEGSWLRITTNGSFGYRPPSQSFLLSSNTGNSNWGKIMQNASVLVDIPLIDLDFYGDKILKYKEDLKTIGVMFEYGEACEFIGKHLMSLANSSTLTRSNVISILNFVKFLRESLLPLDKFIHSVRGGRWLRTSRGDRSPVGSVLYDKEWSTAEQISDIPFIDAQYYGEELLCFKTELQLLGVIVDFSESYHLVVDCLRSPLTSLTSEALLLLLNCMRHSRFAEKIVNACRSTKCLKTNLGHKSPSECFCFDPEWGCLLEVFGGIPLIDHNFYGDRLFSFKMELKQLGVKVDFEEAIKGFVLTFKQRASSSSITAKNVFSFLSCYRQLKGTFKFPSDLKKCIREEKWLKTRLGDFRSPQDCILFGPEWESISPITLLPHIDDGENCYGMSIHEYKKELKSMGVVVELKDGLKFVVASLRFPRNPRLITPMNVLSLLACIRLLLQEGYSFGDDFLQKASVKWLKTQAGYRAPDKCCLFDSKWASYLKQTDGPFIDEEFYGFNIQSYKEELSIFGVIVDAEKGCSLLASHLTYHSDFASIIRIYNILSAYEWKSEGEGSGRIWVPLGRHDELGNGKWADPTECVLHDKDQLFGLQLNVLDKYYDPKLLNFFSSAFDVKCNPSLDDYCKIWKAWESTRSSLTHDECRAFWRCVMKHRSTKVEKTLANELVKLPVVSCSGEVLLFDKRDVFIADDLLLKDLFEEFSPRPIFVWYPQTSMPSLPRSKLLELYRAIGVQTISESVQMEELSLEDSVGLKRANPSDILIGKGLVRLILGFLADPSFNMGARRRREAVQCLLNLTVLETVEPINVSYNLLLSSGEIVNAKASQKIRWDKESSVLFAQKNDFAGGQKNLIEYATYFSEVIAEGVLWEIEDHICPLSELIKLAFLLNFDEAAVQYLMKSKNLQIFMEDEEFLSAAFQSGY >Manes.10G021525.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2112307:2116173:-1 gene:Manes.10G021525.v8.1 transcript:Manes.10G021525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQHSRLPWLLLISLIFTLVISCHGTSQEDRKVYIVYMGDRPKGEFSAASLHSRMLQEVVGSRASDILLHSYHRSFNGFVAKLTEEEKQKLAGMEGVVSVFPSQKKKLHTTRSWTFMGFHQNVTRSTKESDTIIGVLDTGIWPESESFNDEGFGPPPAKWKGTCQTSSNFTCNNKIIGARYYDSEGNLPPGEFVSPRDSEGHGSHTASTAAGDIVNGASLLGLGSGTARGGVPSARIAVYKICWSFGCADADILAAFDDAIADGVDIISLSVGGWPMDYFEDSIAIGAFHSMKNGILTSNSAGNDGPGPGSISNCSPWSLSVAASNIDRKFLTPVKLGNGALYKGFSINTFSPGNSSYPIIYGGDAPNVTSEGNGTYSRYCYQGTLNRTLVQGKIVLCDSLSFGEGPIAAGAVGSVMELDQGFYSDMAFSFPFPISPVISEDSADILKYLNTTREPTATILKSIEEKDELAPYVIFFSSRGPNPITTDILKPDLTAPGVDILAAWSEATTVTGSPLDNRVVPYNIISGTSMSCPHASGAAAYVKSFHPTWSPAAIKSALMTTAYSMSTTANTDAEFAYGSGHINPVNATDPGLVYDAEEIDYVKFLCGQGYNATQLKLVTGDNSACSAETSGTVWDLNYPSFALSALLEHSVTREFHRTVTNVGSSSATYKAIINTPPGLHIQVQPDVLSFTSVGEKQSFVVTVEAALSNFAISGSLTWDDGVHKVRSPILAHIIQDSQ >Manes.14G088200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7284457:7290473:-1 gene:Manes.14G088200.v8.1 transcript:Manes.14G088200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWSYVTGGKGLVSDETISSSDAIAKSKNALMGCSFGNNMVVSSQQAIDNHGFGELMYQELTGKQLIDNSIRATLSSEVGGGKIINPFMVSTNAFSVEDESTSRLSSSVVDSNSKDSSFIDLKLGRFGDPGDAQNSKISKGGSILSSSESSVPSKRARLGVNSHTAHCQVYGCNKDLSSSKEYHKRHKVCEIHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSARTERLLQSYNGFATSRLHGSALTSFICQDLLPTDPLHPEKYGTNNWRRQIKIEDGSEFSLLSSIPVTRSIFGESTNRYPHELGGSNSDSRSLIQDISLGNEHLTAFDTVATIQGLSGITSSSCALSLLSSQSHNYSSHSAEISMACPVVVTGNNTHYSVTQISEKLTGVSSQASTSGVPNKFSSSGASSAEGSHLGSILMSDGSDAINFDISDGIYQGSNFMNAKHHLPCEDGTTINLLELSSQLQRVESQKQSMQAKQENDAFCWPHIT >Manes.14G088200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7282917:7290493:-1 gene:Manes.14G088200.v8.1 transcript:Manes.14G088200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWSYVTGGKGLVSDETISSSDAIAKSKNALMGCSFGNNMVVSSQQAIDNHGFGELMYQELTGKQLIDNSIRATLSSEVGGGKIINPFMVSTNAFSVEDESTSRLSSSVVDSNSKDSSFIDLKLGRFGDPGDAQNSKISKGGSILSSSESSVPSKRARLGVNSHTAHCQVYGCNKDLSSSKEYHKRHKVCEIHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGIHSARTERLLQSYNGFATSRLHGSALTSFICQDLLPTDPLHPEKYGTNNWRRQIKIEDGSEFSLLSSIPVTRSIFGESTNRYPHELGGSNSDSRSLIQDISLGNEHLTAFDTVATIQGLSGITSSSCALSLLSSQSHNYSSHSAEISMACPVVVTGNNTHYSVTQISEKLTGVSSQASTSGVPNKFSSSGASSAEGSHLGSILMSDGSDAINFDISDGIYQGSNFMNAKHHLPCEDGTTINLLELSSQLQRVESQKQSMQAKQENDAFCWPHIT >Manes.14G088200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7284457:7290473:-1 gene:Manes.14G088200.v8.1 transcript:Manes.14G088200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWSYVTGGKGLVSDETISSSDAIAKSKNALMGCSFGNNMVVSSQQAIDNHGFGELMYQELTGKQLIDNSIRATLSSEVGGGKIINPFMVSTNAFSVEDESTSRLSSSVVDSNSKDSSFIDLKLGRFGDPGDAQNSKISKGGSILSSSESSVPSKRARLGVNSHTAHCQVYGCNKDLSSSKEYHKRHKVCEIHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGFATSRLHGSALTSFICQDLLPTDPLHPEKYGTNNWRRQIKIEDGSEFSLLSSIPVTRSIFGESTNRYPHELGGSNSDSRSLIQDISLGNEHLTAFDTVATIQGLSGITSSSCALSLLSSQSHNYSSHSAEISMACPVVVTGNNTHYSVTQISEKLTGVSSQASTSGVPNKFSSSGASSAEGSHLGSILMSDGSDAINFDISDGIYQGSNFMNAKHHLPCEDGTTINLLELSSQLQRVESQKQSMQAKQENDAFCWPHIT >Manes.14G088200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7283696:7290473:-1 gene:Manes.14G088200.v8.1 transcript:Manes.14G088200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWSYVTGGKGLVSDETISSSDAIAKSKNALMGCSFGNNMVVSSQQAIDNHGFGELMYQELTGKQLIDNSIRATLSSEVGGGKIINPFMVSTNAFSVEDESTSRLSSSVVDSNSKDSSFIDLKLGRFGDPGDAQNSKISKGGSILSSSESSVPSKRARLGVNSHTAHCQVYGCNKDLSSSKEYHKRHKVCEIHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGFATSRLHGSALTSFICQDLLPTDPLHPEKYGTNNWRRQIKIEDGSEFSLLSSIPVTRSIFGESTNRYPHELGGSNSDSRSLIQDISLGNEHLTAFDTVATIQGLSGITSSSCALSLLSSQSHNYSSHSAEISMACPVVVTGNNTHYSVTQISEKLTGVSSQASTSGVPNKFSSSGASSAEGSHLGSILMSDGSDAINFDISDGIYQGSNFMNAKHHLPCEDGTTINLLELSSQLQRVESQKQSMQAKQENDAFCWPHIT >Manes.15G167300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14246058:14247364:1 gene:Manes.15G167300.v8.1 transcript:Manes.15G167300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPGSAVSFCLSFNSYSSDQLACTAARVGKEEANNRVAVFSNQNDNESTSNRCEEEDNDDFEFVLVRSNLNDCTTIDGDREVAFPVFPVFDRDLLLNYENESNNQRNGPEDVTSAAVRLPLKNMFIDERDPPSSSEEDELDEVSPGNFCVWLPQRSPPSPSASPSQSICKKSNSTGSSSKLRWRLRDLLHLRRCSSDGKESLIFLNPDHRHHNNNNINLGRKKEEKVDKGKIVAAKAGKGKEKASAHEMFYVRNKALKEGDKRKSYLPYRQGLVGFFANLNGLGSNFPPF >Manes.17G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31590461:31593924:-1 gene:Manes.17G109500.v8.1 transcript:Manes.17G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSPTVIPGNGATHQLIKTDRSMLALSDETSVMKQIQATHCPDGREFDVRPLLDIVEDILKRATLQVETTLTPTASHAESDDKNRCNFISKLETLSFVIDRICCEICYNSLGGSDAHAITLSIFSMLSNYSWDAKLVLTMAAFASNYGEFWLLAQIYSSNQLAKSVAILKQLPIILEHSGLLRPRFEALNHLIRIMLEVTGCIVEFRELPSTYISPDMPALSTATSLTPTAVYWTIRSIVACATQISSLTTMGHEFATTEAWELSTLAHKLRNIDEHLKKQLSICYQHIEEKRTVEAYQVLVTLFETIHIDNIKILKTLIYSKDDVPPLVEGSTKKRVKVEVLMKKNVLLLVSGLDISQDELSILEQIYKESRLHPTRAEVQYEVVWVPIVDHTVPWTECMQKQFESLQDTMPWYVVHHPSMIDKVVIKFVKEVWHYRSRPILVVLDSQGRVVCPNALHMMWIWGSHAFPFTSLREESLWKEETWKLELLVDGIDKNIMNWINEERYILLYGGDDIEWVRKFTSTARSVALEASIPLELVYVGKSSKREKVQRVIATIIEEKLSYVWEDLTMIWFFWTRLESMLYSKLQLGKIDEEDSTMLEIKKLLSYDKEGGWAVFAKGSDIVVNGHSSAVLPTLTEYEVWKEQIEIKGFELSFKEHHEKIHCLSHTCCRFEFSKSASRIPEQLRCPECHRVMEKYISFLCCHED >Manes.17G109500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31590474:31593924:-1 gene:Manes.17G109500.v8.1 transcript:Manes.17G109500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSPTVIPGNGATHQLIKTDRSMLALSDETSVMKQIQATHCPDGREFDVRPLLDIVEDILKRATLQVETTLTPTASHAESDDKNRCNFISKLETLSFVIDRICCEICYNSLGGSDAHAITLSIFSMLSNYSWDAKLVLTMAAFASNYGEFWLLAQIYSSNQLAKSVAILKQLPIILEHSGLLRPRFEALNHLIRIMLEVTGCIVEFRELPSTYISPDMPALSTATSLTPTAVYWTIRSIVACATQISSLTTMGHEFATTEAWELSTLAHKLRNIDEHLKKQLSICYQHIEEKRTVEAYQVLVTLFETIHIDNIKILKTLIYSKDDVPPLVEGSTKKRVKVEVLMKKNVLLLVSGLDISQDELSILEQIYKESRLHPTRAEVQYEVVWVPIVDHTVPWTECMQKQFESLQDTMPWYVVHHPSMIDKVVIKFVKEVWHYRSRPILVVLDSQGRVVCPNALHMMWIWGSHAFPFTSLREESLWKEETWKLELLVDGIDKNIMNWINEERYILLYGGDDIEWVRKFTSTARSVALEASIPLELVYVGKSSKREKVQRVIATIIEEKLSYVWEDLTMIWFFWTRLESMLYSKLQLGKIDEEDSTMLEIKKLLSYDKEGGWAVFAKGSDIVVNGHSSAVLPTLTEYEVWKEQIEIKGFELSFKEHHEKIHCLSHTCCRFEFSKSASRIPEQLRCPECHRVMEKYISFLCCHED >Manes.12G075700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8658525:8660063:1 gene:Manes.12G075700.v8.1 transcript:Manes.12G075700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSMNKNSSMNRAAASDQESSGWTAYFEDFSTHRDQDDCFSSGFGSSSMVSDAASYPAWKSSTHHNYNHCSSIGDSPTPIIPNKLSFKKTRARKFSHHDDSLEDTASSPVNSPKVSDFLTTDMNPRKSNDHFNSSLVNFFSFDDCFILFIAWLLI >Manes.12G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8658525:8660063:1 gene:Manes.12G075700.v8.1 transcript:Manes.12G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSMNKNSSMNRAAASDQESSGWTAYFEDFSTHRDQDDCFSSGFGSSSMVSDAASYPAWKSSTHHNYNHCSSIGDSPTPIIPNKLSFKKTRARKFSHHDDSLEDTASSPVNSPKVSDFLTTDMNPRKSNDHFNSSLSKEGGLEHYGRVEETDDDERCEMNKDCTELKKRGLCLVPLSMLVNYLG >Manes.01G001900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGIPIQSQYGAPPTASATGALSTHMLLAAGTDQSQQHQQQQLIVEEASPISSRPPATTGNLDEFMRLSGSGDEADRAEGISSSNRWPRQETLALLQIRSDMDAAFRDATVKGPLWEDVSRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKPEDIVKEFIKLQGMQRQQQIQLMLHDYEKMEEGNESDNTEQEDNEDGMEDVDCNDNDEDEDGVEDDDERQEERKMAYKIEFQRQNTNASNGGGNGTPSFLAVVQ >Manes.01G001900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVFYWVGVLHRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKPEDIVKEFIKLQGMQRQQQIQLMLHDYEKMEEGNESDNTEQEDNEDGMEDVDCNDNDEDEDGVEDDDERQEERKMAYKIEFQRQNTNASNGGGNGTPSFLAVVQ >Manes.01G001900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545173:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGIPIQSQYGAPPTASATGALSTHMLLAAGTDQSQQHQQQQLIVEEASPISSRPPATTGNLDEFMRLSGSGDEADRAEGISSSNRWPRQETLALLQIRSDMDAAFRDATVKGPLWEDVSRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKGMQRQQQIQLMLHDYEKMEEGNESDNTEQEDNEDGMEDVDCNDNDEDEDGVEDDDERQEERKMAYKIEFQRQNTNASNGGGNGTPSFLAVVQ >Manes.01G001900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGIPIQSQYGAPPTASATGALSTHMLLAAGTDQSQQHQQQQLIVEEASPISSRPPATTGNLDEFMRLSGSGDEADRAEGISSSNRWPRQETLALLQIRSDMDAAFRDATVKGPLWEDVSRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKKTS >Manes.01G001900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVFYWVGVLHRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKPEDIVKEFIKLQGMQRQQQIQLMLHDYEKMEEGNESDNTEQEDNEDGMEDVDCNDNDEDEDGVEDDDERQEERKMAYKIEFQRQNTNASNGGGNGTPSFLAVVQ >Manes.01G001900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGIPIQSQYGAPPTASATGALSTHMLLAAGTDQSQQHQQQQLIVEEASPISSRPPATTGNLDEFMRLSGSGDEADRAEGISSSNRWPRQETLALLQIRSDMDAAFRDATVKGPLWEDVSRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKPEDIVKEFIKLQGMQRQQQIQLMLHDYEKMEEGNESDNTEQEDNEDGMEDVDCNDNDEDEDGVEDDDERQEERKMAYKIEFQRQNTNASNGGGNGTPSFLAVVQ >Manes.01G001900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVFYWVGVLHRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKKTS >Manes.01G001900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVFYWVGVLHRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKPEDIVKEFIKLQGMQRQQQIQLMLHDYEKMEEGNESDNTEQEDNEDGMEDVDCNDNDEDEDGVEDDDERQEERKMAYKIEFQRQNTNASNGGGNGTPSFLAVVQ >Manes.01G001900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVFYWVGVLHRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKKTS >Manes.01G001900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVFYWVGVLHRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKGMQRQQQIQLMLHDYEKMEEGNESDNTEQEDNEDGMEDVDCNDNDEDEDGVEDDDERQEERKMAYKIEFQRQNTNASNGGGNGTPSFLAVVQ >Manes.01G001900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1545196:1549564:-1 gene:Manes.01G001900.v8.1 transcript:Manes.01G001900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVFYWVGVLHRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALHNINSNTSTTSLNLVSASQPVATTATTLDVAPVSVGIPMPVSSVRIPPSTVGMSSSSSMFPPDLAATMAPAVPVPPRPLAGISFSSTSDGSSSSSGSHEDDEDDDDDDDDVDKPTSVAAGSSRKRKRHSSKGGTRRMMEFFEGLMKHVIQKQEAMQQSFLEAIEKREHDRMIREEAWKRQELARQSRENELVAQERAIYASRDAAIIAFLQKITGQTIQLPSPVTIPAVPRPAPPSQPQTVSLAPVVTVSTQQPPPPQPQAQPDRSPLPQQDKQQQHQVVHHQQSSISSEVAVAFPEQEVPPQEIGNIGSLEPTSSRWPKAEVLALIKLRSGLESRYQEVGPKGPLWEEISAGMHRMGYKRNAKRCKEKWENINKYFKKVKESDKKRPEDAKTCPYFHELDALYRKKILGSSSGGAGDGSTTSSSFANLNRPPESQQQASMISDPLPPTKPESRSEVSATVLVQASDSQTKMGSGADINTVLPGSLFGEGNGGATKGMQRQQQIQLMLHDYEKMEEGNESDNTEQEDNEDGMEDVDCNDNDEDEDGVEDDDERQEERKMAYKIEFQRQNTNASNGGGNGTPSFLAVVQ >Manes.02G221700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36757089:36771291:-1 gene:Manes.02G221700.v8.1 transcript:Manes.02G221700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFPWAARSFPISVIIRQQIQRFRYQQIQRFRYLQIEEQSQMQTPDEVHVHYNHTDSCKFSRWTARESFQFMYARPWQEVAEFYSNMVNGHSSLLELFGTQIHFVDDDAKIQEVSCETQLEDSLNKEKFGRWARVTFKIVLSYDGGSFDGWQKQPGLNTVQGLVERSLGRFIDEKKSQQLKDKCKPLEGCAVVAGRTDKGVSALRQIFSFYTWRKDVRPYEIEDAINSSAPGKLRVLSVSEVSRVFHPNFSAKWRRYLYIFPLNDGEDREDLGNFTTDEKYDNQRDGWGEFTYKENVDKSIMGDKYEPEGAKKPVTFSICKVNNLLQQLEGKLLSYKIFARDTKASRNEGPPTECFVYRARATEARLPCSVNVSYLSSF >Manes.02G221700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36751959:36772184:-1 gene:Manes.02G221700.v8.1 transcript:Manes.02G221700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFPWAARSFPISVIIRQQIQRFRYQQIQRFRYLQIEEQSQMQTPDEVHVHYNHTDSCKFSRWTARESFQFMYARPWQEVAEFYSNMVNGHSSLLELFGTQIHFVDDDAKIQEVSCETQLEDSLNKEKFGRWARVTFKIVLSYDGGSFDGWQKQPGLNTVQGLVERSLGRFIDEKKSQQLKDKCKPLEGCAVVAGRTDKGVSALRQIFSFYTWRKDVRPYEIEDAINSSAPGKLRVLSVSEVSRVFHPNFSAKWRRYLYIFPLNDGEDREDLGNFTTDEKYDNQRDGWGEFTYKENVDKSIMGDKYEPEGAKKPVTFSICKVNNLLQQLEGKLLSYKIFARDTKASRNEGPPTECFVYRARATEARLPCSGIVIKG >Manes.02G221700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36756730:36772239:-1 gene:Manes.02G221700.v8.1 transcript:Manes.02G221700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPDEVHVHYNHTDSCKFSRWTARESFQFMYARPWQEVAEFYSNMVNGHSSLLELFGTQIHFVDDDAKIQEVSCETQLEDSLNKEKFGRWARVTFKIVLSYDGGSFDGWQKQPGLNTVQGLVERSLGRFIDEKKSQQLKDKCKPLEGCAVVAGRTDKGVSALRQIFSFYTWRKDVRPYEIEDAINSSAPGKLRVLSVSEVSRVFHPNFSAKWRRYLYIFPLNDGEDREDLGNFTTDEKYDNQRDGWGEFTYKENVDKSIMGDKYEPEGAKKPVTFSICKVNNLLQQLEGKLLSYKIFARDTKASRNEGPPTECFVYRARATEARLPCSGIVIKG >Manes.02G221700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36751586:36772230:-1 gene:Manes.02G221700.v8.1 transcript:Manes.02G221700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPDEVHVHYNHTDSCKFSRWTARESFQFMYARPWQEVAEFYSNMVNGHSSLLELFGTQIHFVDDDAKIQEVSCETQLEDSLNKEKFGRWARVTFKIVLSYDGGSFDGWQKQPGLNTVQGLVERSLGRFIDEKKSQQLKDKCKPLEGCAVVAGRTDKGVSALRQIFSFYTWRKDVRPYEIEDAINSSAPGKLRVLSVSEVSRVFHPNFSAKWRRYLYIFPLNDGEDREDLGNFTTDEKYDNQRDGWGEFTYKENVDKSIMGDKYEPEGAKKPVTFSICKVNNLLQQLEGKLLSYKIFARDTKASRNEGPPTECFVYRARATEARLPCSDRAEGRKVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDILIRLMDASCRRASAPPAPPDGLCLVDVGYTEFDPENCIIP >Manes.02G221700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36751944:36772239:-1 gene:Manes.02G221700.v8.1 transcript:Manes.02G221700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFPWAARSFPISVIIRQQIQRFRYQQIQRFRYLQIEEQSQMQTPDEVHVHYNHTDSCKFSRWTARESFQFMYARPWQEVAEFYSNMVNGHSSLLELFGTQIHFVDDDAKIQEVSCETQLEDSLNKEKFGRWARVTFKIVLSYDGGSFDGWQKQPGLNTVQGLVERSLGRFIDEKKSQQLKDKCKPLEGCAVVAGRTDKGVSALRQIFSFYTWRKDVRPYEIEDAINSSAPGKLRVLSVSEVSRVFHPNFSAKWRRYLYIFPLNDGEDREDLGNFTTDEKYDNQRDGWGEFTYKENVDKSIMGDKYEPEGAKKPVTFSICKVNNLLQQLEGKLLSYKIFARDTKASRNEGPPTECFVYRARATEARLPCSGIVIKG >Manes.02G221700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36751960:36772184:-1 gene:Manes.02G221700.v8.1 transcript:Manes.02G221700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFPWAARSFPISVIIRQQIQRFRYQQIQRFRYLQIEEQSQMQTPDEVHVHYNHTDSCKFSRWTARESFQFMYARPWQEVAEFYSNMVNGHSSLLELFGTQIHFVDDDAKIQEVSCETQLEDSLNKEKFGRWARVTFKIVLSYDGGSFDGWQKQPGLNTVQGLVERSLGRFIDEKKSQQLKDKCKPLEGCAVVAGRTDKGVSALRQIFSFYTWRKDVRPYEIEDAINSSAPGKLRVLSVSEVSRVFHPNFSAKWRRYLYIFPLNDGEDREDLGNFTTDEKYDNQRDGWGEFTYKENVDKSIMGDKYEPEGAKKPVTFSICKVNNLLQQLEGKLLSYKIFARDTKASRNEGPPTECFVYRARATEARLPCSDRAEGRKVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDILIRLMDASCRRASAPPAPPDGLCLVDVGYTEFDPENCIIP >Manes.02G221700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36757089:36771162:-1 gene:Manes.02G221700.v8.1 transcript:Manes.02G221700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPDEVHVHYNHTDSCKFSRWTARESFQFMYARPWQEVAEFYSNMVNGHSSLLELFGTQIHFVDDDAKIQEVSCETQLEDSLNKEKFGRWARVTFKIVLSYDGGSFDGWQKQPGLNTVQGLVERSLGRFIDEKKSQQLKDKCKPLEGCAVVAGRTDKGVSALRQIFSFYTWRKDVRPYEIEDAINSSAPGKLRVLSVSEVSRVFHPNFSAKWRRYLYIFPLNDGEDREDLGNFTTDEKYDNQRDGWGEFTYKENVDKSIMGDKYEPEGAKKPVTFSICKVNNLLQQLEGKLLSYKIFARDTKASRNEGPPTECFVYRARATEARLPCSVNVSYLSSF >Manes.02G221700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36751586:36772230:-1 gene:Manes.02G221700.v8.1 transcript:Manes.02G221700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFPWAARSFPISVIIRQQIQRFRYQQIQRFRYLQIEEQSQMQTPDEVHVHYNHTDSCKFSRWTARESFQFMYARPWQEVAEFYSNMVNGHSSLLELFGTQIHFVDDDAKIQEVSCETQLEDSLNKEKFGRWARVTFKIVLSYDGGSFDGWQKQPGLNTVQGLVERSLGRFIDEKKSQQLKDKCKPLEGCAVVAGRTDKGVSALRQIFSFYTWRKDVRPYEIEDAINSSAPGKLRVLSVSEVSRVFHPNFSAKWRRYLYIFPLNDGEDREDLGNFTTDEKYDNQRDGWGEFTYKENVDKSIMGDKYEPEGAKKPVTFSICKVNNLLQQLEGKLLSYKIFARDTKASRNEGPPTECFVYRARATEARLPCSDRAEGRKVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDILIRLMDASCRRASAPPAPPDGLCLVDVGYTEFDPENCIIP >Manes.04G033700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4285019:4286914:1 gene:Manes.04G033700.v8.1 transcript:Manes.04G033700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSLVFGCLLLALVAELYYLLWWKKKITNREVEDDDYSGNYAREFFDLIRWKKSSSLQVDNTIQDRVRIPETHEQEPDLELGTSKDLLLKAFGEESVESELMRLHNLSGPPRFLFTIKEETKEDLESEDRSRKGSRTRSLSDLIVAVDTPLLTPLASPRLKSSPLNPLDSYHQHGFNPLFESPTESEISRLRSSPPPKFKFLRDAEEKLLRKLIEEAGKRATKTSGFVQDSGFKPPNSTVLTEETEGSFLGFIVSKNKETDVLHNLPQHPSSSSQVLPLASSPTTFRPLDKKPTAH >Manes.04G033700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4285019:4287112:1 gene:Manes.04G033700.v8.1 transcript:Manes.04G033700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSLVFGCLLLALVAELYYLLWWKKKITNREVEDDDYSGNYAREFFDLIRWKKSSSLQVDNTIQDRVRIPETHEQEPDLELGTSKDLLLKAFGEESVESELMRLHNLSGPPRFLFTIKEETKEDLESEDRSRKGSRTRSLSDLIVAVDTPLLTPLASPRLKSSPLNPLDSYHQHGFNPLFESPTESEISRLRSSPPPKFKFLRDAEEKLLRKLIEEAGKRATKTSGFVQDSGFKPPNSTVLTEETEGSFLGFIVSKNKETDVLHNLPQHPSSSSQMGGFTILMARNANDGHFFKVIV >Manes.04G033700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4285019:4287901:1 gene:Manes.04G033700.v8.1 transcript:Manes.04G033700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSLVFGCLLLALVAELYYLLWWKKKITNREVEDDDYSGNYAREFFDLIRWKKSSSLQVDNTIQDRVRIPETHEQEPDLELGTSKDLLLKAFGEESVESELMRLHNLSGPPRFLFTIKEETKEDLESEDRSRKGSRTRSLSDLIVAVDTPLLTPLASPRLKSSPLNPLDSYHQHGFNPLFESPTESEISRLRSSPPPKFKFLRDAEEKLLRKLIEEAGKRATKTSGFVQDSGFKPPNSTVLTEETEGSFLGFIVSKNKETDVLHNLPQHPSSSSQMGGFTILMARNANDGHFFKVIV >Manes.11G164200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32879849:32881674:1 gene:Manes.11G164200.v8.1 transcript:Manes.11G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFRGISKRFHLILSPSNPSYAASQFFFSRGFSSKLFVKGISFSSTEKSLAEAFSKFGHIVESKIIRDKASNRSKGFGYVTFATEDEARKALMEMNGKLVDGRPVFVDNA >Manes.10G015515.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1541344:1544747:1 gene:Manes.10G015515.v8.1 transcript:Manes.10G015515.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTSLAPSLPSRILHFHLFFLIFATILCFQPAHCLSKLGNETDKLALLQFKAKISNDPNGILSSWNDSVSFCKWQGVTCGSKHQRVTSLNLQGLSLSGTISPHAGNLTFLRFLSLGDNRFHGEIPQEVGYLFRLRHFNLSNNQLGGEIPGNISSCSELRLLDLINNNLVGKVPAELGSLKKLVILFIGENSLTGKIPNSLGNLSSLQMIYLAENHLQGKIPNEFGQLTSLTLLELGVNNLSGIIPPPLYNISSITSIGTTFNQLSGSLPANIGLTLPNLEQLFLAQNEYFGSIPESLANASRLRLIDISNNSFTGQFPTDLGYLKGLESLHLEFNFFGSNTSQDLSFLPSLANCSNLQQLYFDGNNFGGALPSSIGNLSNLVQLGFGRNPISGTIPEEVGSLVNLYRLDMDRNLFSGSIPISFGKLQKLERLTLNQNLLSGEIPAFLGNITNLYWLQLEGNRFQGNITPSLGNCENLRFLDVSRNKLMGSIPKQIFGLSSLSGTLNLSQNSLSGSLPSEVGNLKSVNALDVSENKIYGEIPKTIGDCSRLEILYMQGNFLQGAIPSSFDSLRGLQQIDLSRNNLSGNIPKELEKLFFLKYLNLSFNNLEGEVPKTGVFKNASAFSLIGNRNLCGGIAELQLPACPIKEEKDRGPSIVIVLTTTISSFLFVVIAAFLCLFYWQKSKNSPTSSTFTVDELSRISYGELLKATDRFSSENLIGQGSFGSVYKGTLDQQGKCFVAIKVLNLQQHGASKSFIAECKALKNIRHRNLVKILTYCSSIDFKGNDFKALVFNFMENGSLEIWLHPEENGNNQTRKLNFLQRLYIAIDVASALHYLHDHCETPIVHCDLKPSNILLDSDMTAHVGDFGLAKLLAESANSPSQSQTLSTGIKGTIGYMAPEYGVGSSVTTYGDVYSFGILLLEMFTGKRPTHDVFTDGLDLHNFVKAKLPRQVMQVVDPTLLTPGEVGAATAAAAENMDNDGSIDDSVREYVVSVLQIGLKCSTEVPKDRMSMKDATSKLNDIKDTFLHRHQKIVQNGSTMQQPQQQ >Manes.06G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2633711:2643732:-1 gene:Manes.06G015800.v8.1 transcript:Manes.06G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKILVFLLVVSFHICSIAALTDSNDFTAIKALMDVWENTPNSWEGTDPCGDQWDGIKCTNSRVTSITLASMGLKGELSGDISSLPELQILDLSYNKDLGGPLPSSIGNLKKLKNLILVGCSFSGPIPSSIGSLQQLAYLSLNSNAFTGEIPPSIGNLSELYWLDLADNKLEGPFPISTGTTPGLDLLVNTKHFHLGKNQLSGRIPPELFSSDMNLIHVLFESNKLIGNIPSTLSLVKSLEVVRLDRNSLTGSVPSNLNNLTSVSELFLSNNRLSGPLPNLTGMNVLHYLDMSNNSFDASDFPPWMSTLESLTTLVMEKTQLQGQIPASFFSLPQLQTVDLSGNTLNGTLDIGTSYSDQLQLIDLRNNLISDYSPKTGQEQVDVILVGNLVCKETGVSASYCTIPTPNSPDVLLPNNCEPIPCSSNQISSPNCKCAYPYTGLLVFRAPSFSDLENTTIYMSLQRHLMDSFTSNELPVDSVSLSNPRKDSSEYLELKLQVFPSNQDHFSQDVIPQIGFMLSNQTFKPPKNFGPYYFRGDSYQYFAVAGNKGSNNSSNTGIIIGATAGGSALVLLLLLAGLYAYRQKKRAERANERNNPFAHWDSTKSSGAGVPQLKGARCFSFEELKKYTNNFSEANDIGSGGYGKVYRGILPSGELIAIKRAQQESLQGGLEFKTEIELLSRVHHKNLVSLLGFCFERGEQMLIYEFVPNGSLNDSLSGKSGIRLDWIRRLKIALGSARGLAYMHELANPPIIHRDIKTTNILLDERLNAKVADFGLSKPMNDTEKGHVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVVMLELLTGRKPIERGKYIVREVNMAFDRTKDLYNLHELLDPGIGLETTLKGLDKFVDLALECVKESGADRPKMGEVVKEIENILQLAGLNPNAESASTSASYEEAGKGSPCNPYSKEAFDYSGAFLPSKLEPQ >Manes.02G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8323764:8329583:1 gene:Manes.02G106900.v8.1 transcript:Manes.02G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEGDEITADTHHITTPLFQKDQTSYVPDEDENSPIEQVALTVPVTDDPNLPTVTFRTWTLGTLACILLSFLNQFFWYRREPLTITAISAQIAVVPLGHLMASTITNKVFFKGTKWEFSFNPGPFNVKEHVLITIFSNSGAGNVYAIHIVSVIKLFYRKELSFLVALLVVITTQVLGFGWAGVFRRYLVEPAAMWWPENLVQVSLFRALHEREERRKGGLTRNQFFLVAFICSFAYYVFPGYLFPKLSSISWICWVFPSSILAQQLGSGLRGLGVGSFGFDWASVSSYLGSPLASPWFATANLAVGFALFMYVVTPIAYWLNVYKAKTFPISSDGLFTSAGEKYNISAIIDQNFHIDMDAYEREGPLYLSTLFAMIYGLNFACLAATVVHVFLFHGRDIWQLSKSAFQEKKMDVHTKLMRKYKRVPEWWFLCILVLNIVATICTCEYYIDQLQLPWWGVFLACGLALFFTLPVGVIKATTNQTPGLNVITEYIIGYLYPGFPVANICFKVYGYISMKQGISFLQDFKLGHYMKIPPRAMFMAQVVGTIIAAVVHLVTAWWLMDTIPNLCDRALLPAGSPWTCPGDHVFYDASVIWGLIGPRRIFGDLGHYSAMNWSFLAGAIAPLLVWIAQKAFPNEHWIRFISIPVILASTLEMLPATSVNYITWILIGFASGFVAYRYYRDWWSRHNYILSGALDSGLAFMAVLLYLCLGMQHVSLDWWGNDSDGCPLASCPTAPGVVVKGCPAL >Manes.01G177400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35738023:35748271:1 gene:Manes.01G177400.v8.1 transcript:Manes.01G177400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSSNSQHTEMAVTDANAAIWEEIERSESYLVASMYEEAAPIASSVLRSISEGKIKNSAISIDGFELHDMMTSAGMVLVQSLNQLGRASDILNELKVLFVSADAIPVQVLLIGVCFQISAGLSLGIREFLEEFLSKWHLVDGRYSVLVDANVDANVQKGCDERSFLGIDEFMEVVEIYAVTLLGKTLKDLDLAIAWVEKAAIPEERRQGLLRRLHSLYSAKASNSSEGSSVLPENNHEALNSSSKELNISKGYSEVLKPNYQPNGDNNTKQGILKLSRRIDPLFWWFRSINLRVGNLQLVITNGKIFLGCLMFLIYYLLRRKQATLKGIVRRQLVSIKKALVDLWQLTFSYQVNPLAAVQPLPATRGGR >Manes.01G177400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35738023:35748271:1 gene:Manes.01G177400.v8.1 transcript:Manes.01G177400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSSNSQHTEMAVTDANAAIWEEIERSESYLVASMYEEAAPIASSVLRSISEGKIKNSAISIDGFELHDMMTSAGMVLVQSLNQLGRASDILNELKVLFVSADAIPVQVLLIGVCFQISAGLSLGIREFLEEFLSKWHLVDGRYSVLVDANVDANVQKGCDERSFLGIDEFMEVVEIYAVTLLGKTLKDLDLAIAWVEKAAIPEERRQGLLRRLHSLYSAKASNSSEGSSVLPENNHEALNSSSKELNISKGYSEVLKPNYQPNGDNNTKQGILKLSRRIDPLFWWFRSINLRVGNLQLVITNGKIFLGCLMFLIYYLLRRKQATLKGIVRRQLVSIKKALVDLWQLTFSYQVNPLAAVQPLPATRGGR >Manes.08G021800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2209316:2210888:-1 gene:Manes.08G021800.v8.1 transcript:Manes.08G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPNNFKDQTQFGVSVINTFPQPTRPVNSYYLEPEQTQLPQTSYPVGADINMGMEFQACRPEERFPEQVPGDYYCTEEKTTETGAAEKEKFEELVKAVVESYEKLPENIRNQITLDKYKTKPSLVSKGLKVDSGSSRGIGGSAIGDSAEKLERFKIKTIKLGGVVNEEDESSSS >Manes.16G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8824178:8830477:-1 gene:Manes.16G047900.v8.1 transcript:Manes.16G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQLKLPSRHLKSLPFCSLPSTTTTLQEIASSLPTKGIAKVVLKKGKAQLFKDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIAWGLYNSVSMFCVRLMQLEEEAIRDPSCALNMEKLLETRIGAAKQLRRRLGLPSARTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVEKYKPEVEACIRRIGETNHINWRPSIEILREEGIDVTNLKDIHSSICRERTKVVENGISYAISLVGQKTGFYADQRENRLFISTISAGQKVLDVCCYSGGFALNAACGGAVDVLGVDTSLPALELARENIILNNLDPGRISFLREDATEFMKGALSRNESWDLVILDPPKLAPKKKVLQSASGMYRNLNSLALGLTKKGGFLMTCSCSGAVTQSGVFLRILQGAASMAGRKITVVRQSSAASDHPIDPSYLEGAYLTNVLLRVS >Manes.16G047900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8824178:8830477:-1 gene:Manes.16G047900.v8.1 transcript:Manes.16G047900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQLKLPSRHLKSLPFCSLPSTTTTLQEIASSLPTKGIAKVVLKKGKAQLFKDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIAWGLYNSVSMFCVRLMQLEEEAIRDPSCALNMEKLLETRIGAAKQLRRRLGLPSARTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVEKYKPEVEACIRRIGETNHINWRPSIEILREEGIDVTNLKDIHSSICRERTKVVENGISYAISLVGQKTGFYADQRENRLFISTISAGQKVLDVCCYSGGFALNAACGGAVDVLGVDTSLPALELARENIILNNLDPGRISFLREDATEFMKGALSRNESWDLVILDPPKLAPKKKEL >Manes.16G047900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8817375:8830477:-1 gene:Manes.16G047900.v8.1 transcript:Manes.16G047900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQLKLPSRHLKSLPFCSLPSTTTTLQEIASSLPTKGIAKVVLKKGKAQLFKDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIAWGLYNSVSMFCVRLMQLEEEAIRDPSCALNMEKLLETRIGAAKQLRRRLGLPSARTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVEKYKPEVEACIRRIGETNHINWRPSIEILREEGIDVTNLKDIHSSICRERTKVVENGISYAISLVGQKTGFYADQRENRLFISTISAGQKVLDVCCYSGGFALNAACGGAVDVLGVDTSLPALELARENIILNNLDPGRISFLREDATEFMKGALSRNESWDLVILDPPKLAPKKKVLQSASGMYRNLNSLALGLTKKGGFLMTCSCSGAVTQSGVFLRILQGAASMAGRKITVVRQSSAASDHPIDPSYLEAQRNQREQQ >Manes.16G047900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8815636:8830477:-1 gene:Manes.16G047900.v8.1 transcript:Manes.16G047900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQLKLPSRHLKSLPFCSLPSTTTTLQEIASSLPTKGIAKVVLKKGKAQLFKDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIAWGLYNSVSMFCVRLMQLEEEAIRDPSCALNMEKLLETRIGAAKQLRRRLGLPSARTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVEKYKPEVEACIRRIGETNHINWRPSIEILREEGIDVTNLKDIHSSICRERTKVVENGISYAISLVGQKTGFYADQRENRLFISTISAGQKVLDVCCYSGGFALNAACGGAVDVLGVDTSLPALELARENIILNNLDPGRISFLREDATEFMKGALSRNESWDLVILDPPKLAPKKKVLQSASGMYRNLNSLALGLTKKGGFLMTCSCSGAVTQSGVFLRILQGAASMAGRKITVVRQSSAASDHPIDPSYLEAQRNQREQQ >Manes.16G047900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8818161:8830477:-1 gene:Manes.16G047900.v8.1 transcript:Manes.16G047900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQLKLPSRHLKSLPFCSLPSTTTTLQEIASSLPTKGIAKVVLKKGKAQLFKDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIAWGLYNSVSMFCVRLMQLEEEAIRDPSCALNMEKLLETRIGAAKQLRRRLGLPSARTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVEKYKPEVEACIRRIGETNHINWRPSIEILREEGIDVTNLKDIHSSICRERTKVVENGISYAISLVGQKTGFYADQRENRLFISTISAGQKVLDVCCYSGGFALNAACGGAVDVLGVDTSLPALELARENIILNNLDPGRISFLREDATEFMKGALSRNESWDLVILDPPKLAPKKKVLQSASGMYRNLNSLALGLTKKGGFLMTCSCSGAVTQSGVFLRILQGAASMAGRKITVVRQSSAASDHPIDPSYLEAQRNQREQQ >Manes.16G047900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8824178:8830477:-1 gene:Manes.16G047900.v8.1 transcript:Manes.16G047900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQLKLPSRHLKSLPFCSLPSTTTTLQEIASSLPTKGIAKVVLKKGKAQLFKDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIAWGLYNSVSMFCVRLMQLEEEAIRDPSCALNMEKLLETRIGAAKQLRRRLGLPSARTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVEKYKPEVEACIRRIGETNHINWRPSIEILREEGIDVTNLKDIHSSICRERTKVVENGISYAISLVGQKTGFYADQRENRLFISTISAGQKVLDVCCYSGGFALNAACGGAVDVLGVDTSLPALELARENIILNNLDPGRISFLREDATEFMKGALSRNESWDLVILDPPKLAPKKKVLQSASGMYRNLNSLALGLTKKGGFLMTCSCSGAVTQSGVFLRILQARRSINGRKKNHSCEAE >Manes.16G047900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8824178:8830477:-1 gene:Manes.16G047900.v8.1 transcript:Manes.16G047900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQLKLPSRHLKSLPFCSLPSTTTTLQEIASSLPTKGIAKVVLKKGKAQLFKDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIAWGLYNSVSMFCVRLMQLEEEAIRDPSCALNMEKLLETRIGAAKQLRRRLGLPSARTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVEKYKPEVEACIRRIGETNHINWRPSIEILREEGIDVTNLKDIHSSICRERTKVVENGISYAISLVGQKTGFYADQRENRLFISTISAGQKVLDVCCYSGGFALNAACGGAVDVLGVDTSLPALELARENIILNNLDPGRISFLREDATEFMKGALSRNESWDLVILDPPKLAPKKKVLQHAYKSNLTETQFASSFILTDAIYCQDWFELELFKFC >Manes.06G102100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23535659:23543125:-1 gene:Manes.06G102100.v8.1 transcript:Manes.06G102100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKPEEPQPHPPKEQLPNISFCITSPPPWHEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKAQVIQTLLLVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNPDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLTPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGVGWQGVAILLSGLFGTVNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSVFLGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKQWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.06G102100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23536207:23543125:-1 gene:Manes.06G102100.v8.1 transcript:Manes.06G102100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKPEEPQPHPPKEQLPNISFCITSPPPWHEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKAQVIQTLLLVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNPDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLTPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGVGWQGVAILLSGLFGTVNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSVFLGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKQWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.06G102100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23536207:23542559:-1 gene:Manes.06G102100.v8.1 transcript:Manes.06G102100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQGSLIVASTLQIVLGFSGLWRNVTRFLTPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGVGWQGVAILLSGLFGTVNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSVFLGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKQWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.06G102100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23536207:23542559:-1 gene:Manes.06G102100.v8.1 transcript:Manes.06G102100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKPEEPQPHPPKEQLPNISFCITSPPPWHEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNEKAQVIQTLLLVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNPDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLTPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGVGWQGVAILLSGLFGTVNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSVFLGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKQWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.06G102100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23536207:23543125:-1 gene:Manes.06G102100.v8.1 transcript:Manes.06G102100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKPEEPQPHPPKEQLPNISFCITSPPPWHEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKAQVIQTLLLVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNPDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLTPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGVGWQGVAILLSGLFGTVNGSSVSIENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSVFLGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKQWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.17G085500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29276727:29278318:-1 gene:Manes.17G085500.v8.1 transcript:Manes.17G085500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPQKGILRSVALEEYVYETSAYPREHKELKNLREATVKEYGNLSVMSIPVDEAQFLSMLVKAMNAKRTLEIGVFTGYSLLSTALALPDDGLVTAIDIDQKAYEFGLPFIRQAGVEHKINFINSNATLALTQMLNKDNNINIAEFDMAFVDADKFSYKQYHEQLLKLVKIGGIIAYDNTLWYGFVAEEEDTVPEHFRETRMAILEINQFLASDPRVDISQVSIGDGLTLCRRLY >Manes.17G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29276727:29278318:-1 gene:Manes.17G085500.v8.1 transcript:Manes.17G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPQKGILRSVALEEYVYETSAYPREHKELKNLREATVKEYGNLSVMSIPVDEAQFLSMLVKAMNAKRTLEIGVFTGYSLLSTALALPDDGLVTAIDIDQKAYEFGLPFIRQAGVEHKINFINSNATLALTQMLNKQDNNINIAEFDMAFVDADKFSYKQYHEQLLKLVKIGGIIAYDNTLWYGFVAEEEDTVPEHFRETRMAILEINQFLASDPRVDISQVSIGDGLTLCRRLY >Manes.07G123100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32677399:32679648:1 gene:Manes.07G123100.v8.1 transcript:Manes.07G123100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENLCNADFNSNFCFPDEFPYGFDSFGSSSALSSPVESVVGSTETQSSEDDDFLAGLTRRLTGKLVVEPNKKKWVMAGSPKSILSGIGSWSLSSNGSSNSETSPPTTPFGAKSEPCDLILPAGGPVLRLEMNNEENRSCNYQERGLLGPAWNQNPDTAGKNQNNGFSSSKSFGQDVSQLNQGGWQHHQHLQQHQIQNRGRNVQPLGLPQSAWPPLRVQPNQHMHQHHQQSHVSSGKRAAGTGVFLPRRYTIPSDRKKKSACSTVLVPAKVVQPLDLNLQDSDAWLARNGILVKQKGNLGAASVLKNKEICLPQEWIY >Manes.07G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32677399:32679648:1 gene:Manes.07G123100.v8.1 transcript:Manes.07G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENLCNADFNSNFCFPDEFPYGFDSFGSSSALSSPVESVVGSTETQSSEDDDFLAGLTRRLTGKLVVEPNKKKWVMAGSPKSILSGIGSWSLSSNGSSNSETSPPTTPFGAKSEPCDLILPAGGPVLRLEMNNEENRSCNYQERGLLGPAWNQNPDTAGKNQNNGFSSSKSFGQDVSQLNQQVQGGWQHHQHLQQHQIQNRGRNVQPLGLPQSAWPPLRVQPNQHMHQHHQQSHVSSGKRAAGTGVFLPRRYTIPSDRKKKSACSTVLVPAKVVQPLDLNLQDSDAWLARNGILVKQKGNLGAASVLKNKEICLPQEWIY >Manes.15G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11095490:11096106:-1 gene:Manes.15G137300.v8.1 transcript:Manes.15G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTINNMLIFSMITLGLAFCFFPKEAVADKGTATFYTPPYVPSSCYGNTDEGVMIAAASEAIWDNRAACGRKYRVTCLSATNKGDPHPCNGNSVVVKIVDYCPPPACRGTIDLSQEAFSSIANTDAGKINIAFDRV >Manes.12G099500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26172373:26176249:-1 gene:Manes.12G099500.v8.1 transcript:Manes.12G099500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLISSHSLGTFPSPGGAGNYHDKGWSSERVPHPSVSSRKQITALTPFYSGRTTPSKWEDAERWICSPVLGYGVAQYSQCQPQRRPKSKSGPIVPPGIAYYSNYSPSLQVLDSASVRNFIAHSPFSTGVLMPKGVGIHNEGGIGGRAIVGRSSGGPGWSDLASECSSPNSQDEKLDNINDAENEIARVISRRDMATQMSPEGSVSLSPIGRSSSPPSVPPLQQSENEHPAKLEIREVQVDKKATLISRPAKRGSCTSKKGWPGVQDAYQNATDAHVSSWDVPDASSDFPKLQREEAKITAWENLQKAKAEAAIRKLEVICILRLCGYVSFLSRNFFGNVS >Manes.12G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26172373:26176249:-1 gene:Manes.12G099500.v8.1 transcript:Manes.12G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLISSHSLGTFPSPGGAGNYHDKGWSSERVPHPSVSSRKQITALTPFYSGRTTPSKWEDAERWICSPVLGYGVAQYSQCQPQRRPKSKSGPIVPPGIAYYSNYSPSLQVLDSASVRNFIAHSPFSTGVLMPKGVGIHNEGGIGGRAIVGRSSGGPGWSDLASECSSPNSQDEKLDNINDAENEIARVISRRDMATQMSPEGSVSLSPIGRSSSPPSVPPLQQSENEHPAKLEIREVQVDKKATLISRPAKRGSCTSKKGWPGVQDAYQNATDAHVSSWDVPDASSDFPKLQREEAKITAWENLQKAKAEAAIRKLEVICILRLCDETGKEEIIINGQYFE >Manes.12G099500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26172373:26176249:-1 gene:Manes.12G099500.v8.1 transcript:Manes.12G099500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLISSHSLGTFPSPGGAGNYHDKGWSSERVPHPSVSSRKQITALTPFYSGRTTPSKWEDAERWICSPVLGYGVAQYSQCQPQRRPKSKSGPIVPPGIAYYSNYSPSLQVLDSASVRNFIAHSPFSTGVLMPKGVGIHNEGGIGGRAIVGRSSGGPGWSDLASECSSPNSQDEKLDNINDAENEIARVISRRDMATQMSPEGSVSLSPIGRSSSPPSVPPLQQSENEHPAKLEIREVQVDKKATLISRPAKRGSCTSKKGWPGVQDAYQNATDAHVSSWDVPDASSDFPKLQREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDNILNKLRMAQIKAQEMRSAISDAQGHQIPKTPHKISFFHRHARLSVLSSCFTHIGAR >Manes.S013734.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:99456:103215:1 gene:Manes.S013734.v8.1 transcript:Manes.S013734.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLKLKGIDGRAPPGVEPGSQMPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.16G128900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33035906:33038157:1 gene:Manes.16G128900.v8.1 transcript:Manes.16G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLVNTSLHLNINPFFKNVQESIPKKESEQGKFVDGFETKLNVKEETTSFLVEELTRISTENKKLTEMLTVLCENYNALQNHLVDLMSNNSQKELASSKKRKAESQDYINMIGINNGTTESSSSDELDSTTKPKESIQTKISRVLFRTDPSDSSLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQKSAEDPSILVATYEGEHNHLHLSKPQFSLGSSQHSSSIAGPVPTASPATTVILDLMKPGMLQENAKKAVKETEAQDVGQILVQQMASSLTRDPNFTAALAAAFSGRSLINQTRIEKWLN >Manes.16G128900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33035906:33038157:1 gene:Manes.16G128900.v8.1 transcript:Manes.16G128900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLCENYNALQNHLVDLMSNNSQKELASSKKRKAESQDYINMIGINNGTTESSSSDELDSTTKPKESIQTKISRVLFRTDPSDSSLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQKSAEDPSILVATYEGEHNHLHLSKPQFSLGSSQHSSSIAGPVPTASPATTVILDLMKPGMLQENAKKAVKETEAQDVGQILVQQMASSLTRDPNFTAALAAAFSGRSLINQTRIEKWLN >Manes.18G080100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7332955:7336448:-1 gene:Manes.18G080100.v8.1 transcript:Manes.18G080100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQNEEHLGPTPMGHSTRIAAVNLQGQTPPYSVDHDQDQDHLQDPAAAHQKAGWRKFLAYVGPGFLVSLAYLDPGNLETDMQAGANHGYELLWIIVIGLVFALIIQSLAANLGVSTGKHLAELCKVEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVRKLEMLITVLVFVMAACFFGELSYVKPPAVDVIKGMFIPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSVTGINDACRYFLMESGIALFVALLINISIVSVTGTVCMANNLSSQDTDRCNDLTLNSASFLLKNVLGKSSSTIYAIALLASGQSSTITGTYAGQFIMQGFLNLKMKKWKRNLMTRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFSLIPLLKFSSGSTKMGPYKNSIYIIVFSWILGSLIIGINVYYLSTGFVDWLIHNNLPKVGNVFIGIIVFPLMAIYILAVIYLTFRKDRVVTFIEPTKSDPIIQTSIESGLRKSEEELQMHQVNYREDLAHVPLPE >Manes.18G080100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7332955:7336448:-1 gene:Manes.18G080100.v8.1 transcript:Manes.18G080100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGANHGYELLWIIVIGLVFALIIQSLAANLGVSTGKHLAELCKVEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVRKLEMLITVLVFVMAACFFGELSYVKPPAVDVIKGMFIPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSVTGINDACRYFLMESGIALFVALLINISIVSVTGTVCMANNLSSQDTDRCNDLTLNSASFLLKNVLGKSSSTIYAIALLASGQSSTITGTYAGQFIMQGFLNLKMKKWKRNLMTRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFSLIPLLKFSSGSTKMGPYKNSIYIIVFSWILGSLIIGINVYYLSTGFVDWLIHNNLPKVGNVFIGIIVFPLMAIYILAVIYLTFRKDRVVTFIEPTKSDPIIQTSIESGLRKSEEELQMHQVNYREDLAHVPLPE >Manes.18G080100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7332955:7336448:-1 gene:Manes.18G080100.v8.1 transcript:Manes.18G080100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGANHGYELLWIIVIGLVFALIIQSLAANLGVSTGKHLAELCKVEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVRKLEMLITVLVFVMAACFFGELSYVKPPAVDVIKGMFIPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKIPNSVTGINDACRYFLMESGIALFVALLINISIVSVTGTVCMANNLSSQDTDRCNDLTLNSASFLLKNVLGKSSSTIYAIALLASGQSSTITGTYAGQFIMQGFLNLKMKKWKRNLMTRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFSLIPLLKFSSGSTKMGPYKNSIYIIVFSWILGSLIIGINVYYLSTGFVDWLIHNNLPKVGNVFIGIIVFPLMAIYILAVIYLTFRKDRVVTFIEPTKSDPIIQTSIESGLRKSEEELQMHQVNYREDLAHVPLPE >Manes.09G077419.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18212107:18235154:-1 gene:Manes.09G077419.v8.1 transcript:Manes.09G077419.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLLINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGMVGTLDGQGEVAWKGVSCDKDPKNCKKHPMNIRFNFIIKGLVRDITSLNSKYFHVNVLGCEDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGINIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPALFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGIPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.16G009400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:993836:997726:1 gene:Manes.16G009400.v8.1 transcript:Manes.16G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRDDLQVSVDAAKRNGEVIRKEVRNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKDNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKKIQDQIAESLGLKLDEVNEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHAGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQSETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIRIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDSPNLKILLMDTEKSRSSSRPFWEKAMHMRATVLKGMKALQVFHRRDSSRKSYIALSFRFLQLEFSQLTNLRTLMLQYYKIVDTTPIGELKMLEILSLKNCEFLKPFNTIGKLTNLRLLDVEFSSLHGVSSSIFPINAMSTLSRLEESYFLSFDMLRPTKYPFPLSPFLHNFRSFDDLNITVLKTLSRLTTLNIHIQTIPEGFMFPDLKVFKIHWGSRICIRGKEKLINAFLSQVEGFNYLGLCEFGGGSNITISSLVCMKPLMPRTNFLYLDSLEELKNINPCLLLGGLDALKILVIVNCPSFADLINAEEFLGRYALLPELEGLCFEDLDTFKALCNGEVPPGTSLSMRKLKYLAFFRCPELLNIFTLPNPQQEFEQLQVLEEKGMKNISKGPTELLHLPKLQIVCINGCQKLKVLFPASIAQGLEQLKELELEDCDQLEAIVAEREEEERRIDKVVFSQLIRIRLYKLYNLKAFCMDNLPLKWPSLEELSVDSCPKMKTFAASDENQITPKLKEIKINTNYIKFDGTNLNTIMKYHNEYEIQAMNN >Manes.16G009400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:992036:997788:1 gene:Manes.16G009400.v8.1 transcript:Manes.16G009400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRDDLQVSVDAAKRNGEVIRKEVRNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKDNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKKIQDQIAESLGLKLDEVNEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHAGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQSETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIRIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDSPNLKILLMDTEKSRSSSRPFWEKAMHMRATVLKGMKALQVFHRRDSSRKSYIALSFRFLQLEFSQLTNLRTLMLQYYKIVDTTPIGELKMLEILSLKNCEFLKPFNTIGKLTNLRLLDVEFSSLHGVSSSIFPINAMSTLSRLEESYFLSFDMLRPTKYPFPLSPFLHNFRSFDDLNITVLKTLSRLTTLNIHIQTIPEGFMFPDLKVFKIHWGSRICIRGKEKLINAFLSQVEGFNYLGLCEFGGGSNITISSLVCMKPLMPRTNFLYLDSLEELKNINPCLLLGGLDALKILVIVNCPSFADLINAEEFLGRYALLPELEGLCFEDLDTFKALCNGEVPPGTSLSMRKLKYLAFFRCPELLNIFTLPNPQQEFEQLQVLEEKGMKNISKGPTELLHLPKLQIVCINGCQKLKVLFPASIAQGLEQLKELELEDCDQLEAIVAEREEEERRIDKVVFSQLIRIRLYKLYNLKAFCMDNLPLKWPSLEELSVDSCPKMKTFAASDENQITPKLKEIKINTNYIKFDGTNLNTIMKYHNEYEIQAMNN >Manes.16G009400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:992040:997705:1 gene:Manes.16G009400.v8.1 transcript:Manes.16G009400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRDDLQVSVDAAKRNGEVIRKEVRNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKDNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIDVKKIQDQIAESLGLKLDEVNEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHAGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQSETIEGMDEDVYRCLQFSYTYLKDKKAKKVFKLCCLFPEDFNIRIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNEVSQFPDAWDSPNLKILLMDTEKSRSSSRPFWEKAMHMRATVLKGMKALQVFHRRDSSRKSYIALSFRFLQLEFSQLTNLRTLMLQYYKIVDTTPIGELKMLEILSLKNCEFLKPFNTIGKLTNLRLLDVEFSSLHGVSSSIFPINAMSTLSRLEESYFLSFDMLRPTKYPFPLSPFLHNFRSFDDLNITVLKTLSRLTTLNIHIQTIPEGFMFPDLKVFKIHWGSRICIRGKEKLINAFLSQVEGFNYLGLCEFGGGSNITISSLVCMKPLMPRTNFLYLDSLEELKNINPCLLLGGLDALKILVIVNCPSFADLINAEEFLGRYALLPELEGLCFEDLDTFKALCNARIRATPSS >Manes.16G048100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9021952:9038071:1 gene:Manes.16G048100.v8.1 transcript:Manes.16G048100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERVARMAAICRNKHFCASRLPSMHISGPLLSRQISMKTDNLVAHLRTAGLLKTQGLIGGKWTDAYDGKTIQVRNPATGEVIADVPCMGGKETNDAISSAHDAFQSWSKVTATERSKCLRTWYDLLISHKEELGQLITLEQGKPLKEAIGEVTYGASFIEFFAEEARRIYGDIIPATLGDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALASGCTVVIKPSEMTPLTALAAVELARQAGIPPGVLNVVMGNAPDIGDALLGSSQVRKITFTGSTAVGKKLMAGSAGTVKRVSLELGGNAPCIIFDDADLDVAVKGSLGAKFRNSGQTCVCANRIIVQEGIYDKFAEAFSKAVQNLQVGNGFSEGVVQGPLINEAAVQKVEKFVQDAISKGAKVLLGGKRHSLGMTFYEPTVISDVNNEMILSREEIFGPVAPLLRFKTEEDAIRIANDTNAGLAAYIFTNNIQRSWRVTEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDFLELKYVCLGDMNRK >Manes.16G048100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9021952:9038071:1 gene:Manes.16G048100.v8.1 transcript:Manes.16G048100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERVARMAAICRNKHFCASRLPSMHISGPLLSRQISMKTDNLVAHLRTAGLLKTQGLIGGKWTDAYDGKTIQVRNPATGEVIADVPCMGGKETNDAISSAHDAFQSWSKVTATERSKCLRTWYDLLISHKEELGQLITLEQGKPLKEAIGEVTYGASFIEFFAEEARRIYGDIIPATLGDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALASGCTVVIKPSEMTPLTALAAVELARQAGIPPGVLNVVMGNAPDIGDALLGSSQVRKITFTGSTAVGKKLMAGSAGTVKRVSLELGGNAPCIIFDDADLDVAVKGSLGAKFRNSGQTCVCANRIIVQEGIYDKFAEAFSKAVQNLQVGNGFSEGVVQGPLINEAAVQKVEKFVQDAISKGAKVLLGGKRHSLGMTFYEPTVISDVNNEMILSREEIFGPVAPLLRFKTEEDAIRIANDTNAGLAAYIFTNNIQRSWRVTEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDFLELKYVCLGDMNRK >Manes.16G048100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9021950:9038071:1 gene:Manes.16G048100.v8.1 transcript:Manes.16G048100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDNLVAHLRTAGLLKTQGLIGGKWTDAYDGKTIQVRNPATGEVIADVPCMGGKETNDAISSAHDAFQSWSKVTATERSKCLRTWYDLLISHKEELGQLITLEQGKPLKEAIGEVTYGASFIEFFAEEARRIYGDIIPATLGDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALASGCTVVIKPSEMTPLTALAAVELARQAGIPPGVLNVVMGNAPDIGDALLGSSQVRKITFTGSTAVGKKLMAGSAGTVKRVSLELGGNAPCIIFDDADLDVAVKGSLGAKFRNSGQTCVCANRIIVQEGIYDKFAEAFSKAVQNLQVGNGFSEGVVQGPLINEAAVQKVEKFVQDAISKGAKVLLGGKRHSLGMTFYEPTVISDVNNEMILSREEIFGPVAPLLRFKTEEDAIRIANDTNAGLAAYIFTNNIQRSWRVTEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDFLELKYVCLGDMNRK >Manes.16G048100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9021952:9038071:1 gene:Manes.16G048100.v8.1 transcript:Manes.16G048100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERVARMAAICRNKHFCASRLPSMHISGPLLSRQISMKTDNLVAHLRTAGLLKTQGLIGGKWTDAYDGKTIQVRNPATGEVIADVPCMGGKETNDAISSAHDAFQSWSKVTATERSKCLRTWYDLLISHKEELGQLITLEQGKPLKEAIGEVTYGASFIEFFAEEARRIYGDIIPATLGDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALASGCTVVIKPSEMTPLTALAAVELARQAGIPPGVLNVVMGNAPDIGDALLGSSQVRKITFTGSTAVGKKLMAGSAGTVKRVSLELGGNAPCIIFDDADLDVAVKGSLGAKFRNSGQTCVCANRIIVQEGIYDKFAEAFSKAVQNLQVGNGFSEGVVQGPLINEAAVQKVEKFVQDAISKGAKVLLGGKRHSLGMTFYEPTVISDVNNEMILSRKYLDLWLHFCGSKQRRMLSALLMTLMQD >Manes.16G048100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9021950:9038071:1 gene:Manes.16G048100.v8.1 transcript:Manes.16G048100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDNLVAHLRTAGLLKTQGLIGGKWTDAYDGKTIQVRNPATGEVIADVPCMGGKETNDAISSAHDAFQSWSKVTATERSKCLRTWYDLLISHKEELGQLITLEQGKPLKEAIGEVTYGASFIEFFAEEARRIYGDIIPATLGDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALASGCTVVIKPSEMTPLTALAAVELARQAGIPPGVLNVVMGNAPDIGDALLGSSQVRKITFTGSTAVGKKLMAGSAGTVKRVSLELGGNAPCIIFDDADLDVAVKGSLGAKFRNSGQTCVCANRIIVQEGIYDKFAEAFSKAVQNLQVGNGFSEGVVQGPLINEAAVQKVEKFVQDAISKGAKVLLGGKRHSLGMTFYEPTVISDVNNEMILSREEIFGPVAPLLRFKTEEDAIRIANDTNAGLAAYIFTNNIQRSWRVTEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDFLELKYVCLGDMNRK >Manes.16G048100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9021952:9038071:1 gene:Manes.16G048100.v8.1 transcript:Manes.16G048100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERVARMAAICRNKHFCASRLPSMHISGPLLSRQISMKTDNLVAHLRTAGLLKTQGLIGGKWTDAYDGKTIQVRNPATGEVIADVPCMGGKETNDAISSAHDAFQSWSKVTATERSKCLRTWYDLLISHKEELGQLITLEQGKPLKEAIGEVTYGASFIEFFAEEARRIYGDIIPATLGDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALASGCTVVIKPSEMTPLTALAAVELARQAGIPPGVLNVVMGNAPDIGDALLGSSQVRKITFTGSTAVGKKLMAGSAGTVKRVSLELGGNAPCIIFDDADLDVAVKGSLGAKFRNSGQTCVCANRIIVQEGIYDKFAEAFSKAVQNLQVGNGFSEGVVQGPLINEAAVQKVEKFVQDAISKGAKVLLGGKRHSLGMTFYEPTVISDVNNEMILSREEIFGPVAPLLRFKTEEDAIRIANDTNAGLAAYIFTNNIQRSWRVTEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDFLELKYVCLGDMNRK >Manes.16G048100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9021952:9038071:1 gene:Manes.16G048100.v8.1 transcript:Manes.16G048100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVERVARMAAICRNKHFCASRLPSMHISGPLLSRQISMKTDNLVAHLRTAGLLKTQGLIGGKWTDAYDGKTIQVRNPATGEVIADVPCMGGKETNDAISSAHDAFQSWSKVTATERSKCLRTWYDLLISHKEELGQLITLEQGKPLKEAIGEVTYGASFIEFFAEEARRIYGDIIPATLGDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALASGCTVVIKPSEMTPLTALAAVELARQAGIPPGVLNVVMGNAPDIGDALLGSSQVRKITFTGSTAVGKKLMAGSAGTVKRVSLELGGNAPCIIFDDADLDVAVKGSLGAKFRNSGQTCVCANRIIVQEGIYDKFAEAFSKAVQNLQVGNGFSEGVVQGPLINEAAVQKVEKFVQDAISKGAKVLLGGKRHSLGMTFYEPTVISDVNNEMILSREEIFGPVAPLLRFKTEEDAIRIANDTNAGLAAYIFTNNIQRSWRVTEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDDFLELKYVCLGDMNRK >Manes.04G127400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33015665:33022051:-1 gene:Manes.04G127400.v8.1 transcript:Manes.04G127400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTEFPGGQVAEHTSAEDLLKKFSFEELAIATRHFSNKRVLGIGDFGDVYEGNLPSGELIAIKKLKYMQDGEQEEEIENQIKEVGSVSHPNLVKLVGYCCEEADRLLVLEFVPNKSLKFHLSDEKKRSKLKWSIRMEIALGSARGLAYLHEQCNPKIIHRDIKSDNIFLDSDFKSKVADFGLAKIFPEINIRHIPADKKEATIYTDSENSNEKSDVYSFGIILLELISGRKIHESNLDIVNWAKPLMTKGDSINVDYKSLVDSTLKEDYDQIEMERIIYCAAASLYSPSSKLRPTMGQIVRTLEGKMPHKELWVVEGTQSASNDDYKAYELKTYKYSELAQATGHFTNGRLLGGGGFGSVYRGSLPREKEVAIKKLNYEISGQEQEEFEKEVNAVGIVRHGNLVKLVGYCNEDSDRLLVLEFVTNKSLRYHLNDEQRRSNLKWSERMKIAKGSAKGLAYLHEECNSKIIHRDIKAENILLDENCKPKIADFGLAKFFPITNSVTHISSRWKGTNVYADPENYYTQQGKSIQQLSDKSDVYSFGVVLLELISGRVVLLELISGRKINDEHQVDIVKWAKPLMIKGDSVEINYSSLVDSTLKGHYDKKEMEIMIYCAAASIYRPAKLRPRMKQIVEALEGNMSPSELWAVEDVKFISRKLNETELEPPSLLKKFDFRFLAEAAGDFSGKHHLRQHDLCPVYKGVLPGSDQRKVAIKRLKYEFSQQNKQEFEKEIMAISNVNHRNIVNLIGYCSDEEDNRLLVFEFVANNSLKFHLHENGGSTIEWTRRMEIAKGAARGLKYMHEDSGHKILHLYVKSDNILLDDKFIPKLAEFGSAKIFPDSVTHLSISKIMQNSGYMAPEYQSTSKLTDKLDIYSFGVILLELITGKQPVGHFSGHKNMVKWAKPLLSQSPLEGKDKFDFVDKKLQNKYDTEQMGRMVACVLACVDDNPERRPRMSQILDVLEGNRSLDETILFLNLNL >Manes.05G186400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30628938:30634615:1 gene:Manes.05G186400.v8.1 transcript:Manes.05G186400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEALDLVLQKLSKSGFDFGKVAALSGSGQQHGSVYWKKGSSAILQSLDSNKPLVDQLGNAFSIKESPIWMDNSTTKQCREIENAVGGALELSKLTGSRAYERFTGSQIRKIFQTQPEAYNDTERISLVSSFMASLFIGAYACIDHTDGSGMNLMDIKLKVWSEIALEATAPGLKEKVGKLASAYDVAGHIAPYFAERYKFNKNCLVVQWSGDNPNSLAGLTLSVPGDLAISLGTSDTVFGIATDPQPRLEGHVLPNPVDTEGYMVMLCYKNGSLTREDIRNSCAEKSWEVFNNFLEQTPPLNDGKLGFYYRDHEILPPLPVGVHRYKLQNFTGDNREGVNEQEVQEFDPPSEVRALIEGQLLSMRAHAERFGMPMPPKRIIATGGASANHSILKSVASIFGCDVYTVQRPDSASLGAALRAAHGWLCNKKGSFVPIACLYKDMLEKSALSCKLSVSAGNQELASKYALLMKKRMEIESRLVQKLGRF >Manes.05G186400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30628938:30634615:1 gene:Manes.05G186400.v8.1 transcript:Manes.05G186400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSLPHDSLFLGFDSSTQSLKATVLDSSLNIVKSELVHFDSDLPHYKTKDGVYREPSDNGRIVSPTLMWVEALDLVLQKLSKSGFDFGKVAALSGSGQQHGSVYWKKGSSAILQSLDSNKPLVDQLGNAFSIKESPIWMDNSTTKQCREIENAVGGALELSKLTGSRAYERFTGSQIRKIFQTQPEAYNDTERISLVSSFMASLFIGAYACIDHTDGSGMNLMDIKLKVWSEIALEATAPGLKEKVGKLASAYDVAGHIAPYFAERYKFNKNCLVVQWSGDNPNSLAGLTLSVPGDLAISLGTSDTVFGIATDPQPRLEGHVLPNPVDTEGYMVMLCYKNGSLTREDIRNSCAEKSWEVFNNFLEQTPPLNDGKLGFYYRDHEILPPLPVGVHRYKLQNFTGDNREGVNEQEVQEFDPPSEVRALIEGQLLSMRAHAERFGMPMPPKRIIATGGASANHSILKSVASIFGCDVYTVQRPDSASLGAALRAAHGWLCNKKGSFVPIACLYKDMLEKSALSCKLSVSAGNQELASKYALLMKKRMEIESRLVQKLGRF >Manes.01G082300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28483885:28489069:1 gene:Manes.01G082300.v8.1 transcript:Manes.01G082300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYQILMDIIHHPKPHHVLVEKPLCTTVADCREVVNAARKRSDMLVQVGLEYRYMPPVAKLIAIVKDGSLGRVKMVSIREHRFPFLVKVNNWNRFNANTGGTLVEKCCHFFDLMRLFAGADPVRVMASGAMDVNHKDEIYDGKVPDIIDNAYVIIEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPENIVRYGTRLVGRDGVQTLKAEDERIKYDGLHHGSSYLEHLNFLYAIRAKGEKAPAVDLQDGLISVAIGVAAQLSIEKGRFVTIEEVMDEFH >Manes.01G082300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28483885:28489069:1 gene:Manes.01G082300.v8.1 transcript:Manes.01G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNIVKYGIVGVGMMGREHLMNLYHLRTENVAAVCIADPHVPSQKLAVELAQSFGWPLTVFSGHQELLDSGLCDVVVVSSPNMTHYQILMDIIHHPKPHHVLVEKPLCTTVADCREVVNAARKRSDMLVQVGLEYRYMPPVAKLIAIVKDGSLGRVKMVSIREHRFPFLVKVNNWNRFNANTGGTLVEKCCHFFDLMRLFAGADPVRVMASGAMDVNHKDEIYDGKVPDIIDNAYVIIEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPENIVRYGTRLVGRDGVQTLKAEDERIKYDGLHHGSSYLEHLNFLYAIRAKGEKAPAVDLQDGLISVAIGVAAQLSIEKGRFVTIEEVMDEFH >Manes.16G032200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3835617:3839129:-1 gene:Manes.16G032200.v8.1 transcript:Manes.16G032200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPIDSNLLKLLIPVALCHAIGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPLSLWLSLAPVVIGVSMASLTELSFNWIGFISAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIACIPPAIILEGPQLLKYGFNDAIAKVGMVKFVSDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTSIAIAGVAIYSFLKAKIEEEKRQKKAA >Manes.16G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3835617:3839129:-1 gene:Manes.16G032200.v8.1 transcript:Manes.16G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLSRATTAIGSLPPLRRSMRECNASSFVSMKPIGAVGEGGNLIWGRQLRPYLLLDSSPTGKREILRPNMAAASSPAEGSDSSGDAKVAPVGFFEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPIDSNLLKLLIPVALCHAIGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPLSLWLSLAPVVIGVSMASLTELSFNWIGFISAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALIACIPPAIILEGPQLLKYGFNDAIAKVGMVKFVSDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTSIAIAGVAIYSFLKAKIEEEKRQKKAA >Manes.14G064200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5320653:5324587:1 gene:Manes.14G064200.v8.1 transcript:Manes.14G064200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANETSEETISNVASPKLAGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNFLAEKRGLHDVFNIVGAGSATAATFGLILPGSLRWRARNVMLGSVLGAAFCFPLGWIQLKLIEKANEGNPDLDGRGEANSGVGAAIERLEGNLKAE >Manes.14G064200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5320653:5324587:1 gene:Manes.14G064200.v8.1 transcript:Manes.14G064200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANETSEETISNVASPKLAGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNFLAEKRGLHDVFNIVGAGSATAATFGLILPGSLRWRARNVMLGSVLGAAFCFPLGWIQLKLIEKANEGNPDLDGRGEANSGVGAAIERLEGNLKAE >Manes.14G064200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5320653:5324587:1 gene:Manes.14G064200.v8.1 transcript:Manes.14G064200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANETSEETISNVASPKLAGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNFLAEKRGLHDVFNIVGAGSATAATFGLILPGSLRWRARNVMLGSVLGAAFCFPLGWIQLKLIEKANEGNPDLDGRGEANSGVGAAIERLEGNLKAE >Manes.14G064200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5320649:5324689:1 gene:Manes.14G064200.v8.1 transcript:Manes.14G064200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGGSKEAAASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNFLAEKRGLHDVFNIVGAGSATAATFGLILPGSLRWRARNVMLGSVLGAAFCFPLGWIQLKLIEKANEGNPDLDGRGEANSGVGAAIERLEGNLKAE >Manes.14G064200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5320649:5324689:1 gene:Manes.14G064200.v8.1 transcript:Manes.14G064200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANETSEETISNVASPKLAGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNFLAEKRGLHDVFNIVGAGSATAATFGLILPGSLRWRARNVMLGSVLGAAFCFPLGWIQLKLIEKANEGNPDLDGRGEANSGVGAAIERLEGNLKAE >Manes.04G022600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2703099:2711466:1 gene:Manes.04G022600.v8.1 transcript:Manes.04G022600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQKFAGNEKLMENLLGLDILKTSFSDGLNCQNLTFLVSLSKVKNWNFQVVHLWVVEKEGQDSNNWIDEFWGLMLERTEVYIAVILMRIREWSRIKGCICSKGTASNEYVEKSAASKEATKTSKLSVSSSKRDEAAFEVDDISNQLNGSSTFVSSDENKKQSAKASPLKACRLLSLTNGDKKKHKLLLVGLLG >Manes.04G024600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2827517:2839617:-1 gene:Manes.04G024600.v8.1 transcript:Manes.04G024600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCKDKLAYFRIKELKDVLTQLGFSKQGKKQDLVDRILAVLADEQAILFAVPKTSVKKSAVGKEEVAKLVDDIYRKMQVSGATDLASKGQGVLDSSKVVIKGEMDDSFLLDTKVRCPCGSSLETESMIKCEDPRCRVWQHIGCVIIPEKPIEGNLQVPDLFYCEICRLCRADPFWVTVAHPLYPVKLATTNIPADGPSPVQSVEKTFHLTRADKDLLTKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKILLTGCDARIFCLGVRIVKRRTVQQILNLIPKESDGEHFEDALARVCRCVGGGAADNADSDSDLEVVADSFAVSLRCPMSGTRMKVAGRFKPCAHMGCFDLEVFVEMNQRSRKWQCPICLKNYSLENLIIDPYFNRITSKMWNCGEDITDIEVKPDGSWRVKTKTEADRRDVGELAQWHYPDGSLCEPIGGDVKTKLEMEKLIKQEGPSDGHNGIGLKLGIRKNCNGFWEVSKPEDVNTSSSGSRLLDKFENHEQKVIPMSSSATGSGRDGEDLSVNQDGGGNFDFTSNGIELDSLTLNVDSAYGFADRNISAPVVDAEVIVLSDSDDDNDILMAPVAVYKNDQTADGGAAYPMPPPAIANPYPEDPTVGSGLGFLNSNDDEFGMPLWLPPGSQAGPGFQLFNSDVSSALDLQHGPINCPMSMNGYTLAPDTVIGPASFVPDSSVGRSDADINDGLVDNPLQFGGEDPSLQIFLPTRPSNASGHSDLRDQADVSNGVRTEDWISLSLGGGGATGRCDSVPENGLNSRQHIPSREGAMESLADTASLLLEMNDGRSEKASRPRSDSPFTFPRQKRSVRQRLYLSIDSDSE >Manes.04G024600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2827517:2839634:-1 gene:Manes.04G024600.v8.1 transcript:Manes.04G024600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHYLCQSLLLTSLVFNVFYAGLSSPSPVQSVEKTFHLTRADKDLLTKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKILLTGCDARIFCLGVRIVKRRTVQQILNLIPKESDGEHFEDALARVCRCVGGGAADNADSDSDLEVVADSFAVSLRCPMSGTRMKVAGRFKPCAHMGCFDLEVFVEMNQRSRKWQCPICLKNYSLENLIIDPYFNRITSKMWNCGEDITDIEVKPDGSWRVKTKTEADRRDVGELAQWHYPDGSLCEPIGGDVKTKLEMEKLIKQEGPSDGHNGIGLKLGIRKNCNGFWEVSKPEDVNTSSSGSRLLDKFENHEQKVIPMSSSATGSGRDGEDLSVNQDGGGNFDFTSNGIELDSLTLNVDSAYGFADRNISAPVVDAEVIVLSDSDDDNDILMAPVAVYKNDQTADGGAAYPMPPPAIANPYPEDPTVGSGLGFLNSNDDEFGMPLWLPPGSQAGPGFQLFNSDVSSALDLQHGPINCPMSMNGYTLAPDTVIGPASFVPDSSVGRSDADINDGLVDNPLQFGGEDPSLQIFLPTRPSNASGHSDLRDQADVSNGVRTEDWISLSLGGGGATGRCDSVPENGLNSRQHIPSREGAMESLADTASLLLEMNDGRSEKASRPRSDSPFTFPRQKRSVRQRLYLSIDSDSE >Manes.04G024600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2827517:2839617:-1 gene:Manes.04G024600.v8.1 transcript:Manes.04G024600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCKDKLAYFRIKELKDVLTQLGFSKQGKKQDLVDRILAVLADEQVPKTSVKKSAVGKEEVAKLVDDIYRKMQVSGATDLASKGQGVLDSSKVVIKGEMDDSFLLDTKVRCPCGSSLETESMIKCEDPRCRVWQHIGCVIIPEKPIEGNLQVPDLFYCEICRLCRADPFWVTVAHPLYPVKLATTNIPADGPSPVQSVEKTFHLTRADKDLLTKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKILLTGCDARIFCLGVRIVKRRTVQQILNLIPKESDGEHFEDALARVCRCVGGGAADNADSDSDLEVVADSFAVSLRCPMSGTRMKVAGRFKPCAHMGCFDLEVFVEMNQRSRKWQCPICLKNYSLENLIIDPYFNRITSKMWNCGEDITDIEVKPDGSWRVKTKTEADRRDVGELAQWHYPDGSLCEPIGGDVKTKLEMEKLIKQEGPSDGHNGIGLKLGIRKNCNGFWEVSKPEDVNTSSSGSRLLDKFENHEQKVIPMSSSATGSGRDGEDLSVNQDGGGNFDFTSNGIELDSLTLNVDSAYGFADRNISAPVVDAEVIVLSDSDDDNDILMAPVAVYKNDQTADGGAAYPMPPPAIANPYPEDPTVGSGLGFLNSNDDEFGMPLWLPPGSQAGPGFQLFNSDVSSALDLQHGPINCPMSMNGYTLAPDTVIGPASFVPDSSVGRSDADINDGLVDNPLQFGGEDPSLQIFLPTRPSNASGHSDLRDQADVSNGVRTEDWISLSLGGGGATGRCDSVPENGLNSRQHIPSREGAMESLADTASLLLEMNDGRSEKASRPRSDSPFTFPRQKRSVRQRLYLSIDSDSE >Manes.04G024600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2827517:2839617:-1 gene:Manes.04G024600.v8.1 transcript:Manes.04G024600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCKDKLAYFRIKELKDVLTQLGFSKQGKKQDLVDRILAVLADEQVPKTSVKKSAVGKEEVAKLVDDIYRKMQVSGATDLASKGQGVLDSSKVVIKGEMDDSFLLDTKVRCPCGSSLETESMIKCEDPRCRVWQHIGCVIIPEKPIEGNLQVPDLFYCEICRLCRADPFWVTVAHPLYPVKLATTNIPADGPSPVQSVEKTFHLTRADKDLLTKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKILLTGCDARIFCLGVRIVKRRTVQQILNLIPKESDGEHFEDALARVCRCVGGGAADNADSDSDLEVVADSFAVSLRCPMSGTRMKVAGRFKPCAHMGCFDLEVFVEMNQRSRKWQCPICLKNYSLENLIIDPYFNRITSKMWNCGEDITDIEVKPDGSWRVKTKTEADRRDVGELAQWHYPDGSLCEPIGGDVKTKLEMEKLIKQEGPSDGHNGIGLKLGIRKNCNGFWEVSKPEDVNTSSSGSRLLDKFENHEQKVIPMSSSATGSGRDGEDLSVNQDGGGNFDFTSNGIELDSLTLNVDSAYGFADRNISAPVVDAEVIVLSDSDDDNDILMAPVAVYKNDQTADGGAAYPMPPPAIANPYPEDPTVGSGLGFLNSNDDEFGMPLWLPPGSQAGPGFQLFNSDVSSALDLQHGPINCPMSMNGYTLAPDTVIGPASFVPDSSVGRSDADINDGLVDNPLQFGGEDPSLQIFLPTRPSNASGHSDLRDQADVSNGVRTEDWISLSLGGGGATGRCDSVPENGLNSRQHIPSREGAMESLADTASLLLEMNDGRSEKASRPRSDSPFTFPRQKRSVRQRLYLSIDSDSE >Manes.04G024600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2827517:2839617:-1 gene:Manes.04G024600.v8.1 transcript:Manes.04G024600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCKDKLAYFRIKELKDVLTQLGFSKQGKKQDLVDRILAVLADEQAILFAVPKTSVKKSAVGKEEVAKLVDDIYRKMQVSGATDLASKGQGVLDSSKVVIKGEMDDSFLLDTKVRCPCGSSLETESMIKCEDPRCRVWQHIGCVIIPEKPIEGNLQVPDLFYCEICRLCRADPFWVTVAHPLYPVKLATTNIPADGPSPVQSVEKTFHLTRADKDLLTKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKILLTGCDARIFCLGVRIVKRRTVQQILNLIPKESDGEHFEDALARVCRCVGGGAADNADSDSDLEVVADSFAVSLRCPMSGTRMKVAGRFKPCAHMGCFDLEVFVEMNQRSRKWQCPICLKNYSLENLIIDPYFNRITSKMWNCGEDITDIEVKPDGSWRVKTKTEADRRDVGELAQWHYPDGSLCEPIGGDVKTKLEMEKLIKQEGPSDGHNGIGLKLGIRKNCNGFWEVSKPEDVNTSSSGSRLLDKFENHEQKVIPMSSSATGSGRDGEDLSVNQDGGGNFDFTSNGIELDSLTLNVDSAYGFADRNISAPVVDAEVIVLSDSDDDNDILMAPVAVYKNDQTADGGAAYPMPPPAIANPYPEDPTVGSGLGFLNSNDDEFGMPLWLPPGSQAGPGFQLFNSDVSSALDLQHGPINCPMSMNGYTLAPDTVIGPASFVPDSSVGRSDADINDGLVDNPLQFGGEDPSLQIFLPTRPSNASGHSDLRDQADVSNGVRTEDWISLSLGGGGATGRCDSVPENGLNSRQHIPSREGAMESLADTASLLLEMNDGRSEKASRPRSDSPFTFPRQKRSVRQRLYLSIDSDSE >Manes.01G144900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33531429:33532490:-1 gene:Manes.01G144900.v8.1 transcript:Manes.01G144900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFSLPLSSLFPPPPKSMAITKPNKLPGRQTGALKQMLKRCSSLGKKIGYDQQQGLPDDVPKGHFAVYVGENRSRYIIPISWLDHPEFQSLLQRSEEEFGFQHATGLRIPCEEVVFRSLTEMIG >Manes.09G131200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33274065:33276800:1 gene:Manes.09G131200.v8.1 transcript:Manes.09G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTSLVFKVHRREPELITPAKPTPHEFKPLSDIDDQDGLRFQIPVIQFYKYDPSMEGIDPVVVIREALAKTLVFYYPFAGRLREGPERKLIVECTGEGVLFIEADADVALQEFGDSLQPPFPCLEELLYDVPGSSGVLNSPLLLIQVTRLKCGGFIFSLRLNHTMSDAPGLVQFMSAVGEMARGAQAPSILPTWERQLLNSRNPPRATCIHREYDEVIDTKGTIIPLDDMAHQSFFFGPTEISALRRLVPRDLRQCSTFELLTACLWKCRTIALRPDPQEEMRIICIVNGRNKFNPPLPTGYYGNGFVFPVAVATAGELFEKPLGFALKLIKNVKNSVTEEYMRSVADLMVIKGRPHFTVVRSYLVSDVTRAGFGEVDFGWGKAAYGGPAKGGVGAIPGVASFYIPLKNKEGENGIVLPICLPAQAMERFVKELKGMLEEQPISEATKYKFIVSAL >Manes.11G094000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19739910:19743805:1 gene:Manes.11G094000.v8.1 transcript:Manes.11G094000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQSIERRNVCVGIRIDNNSRELLNWALVKVAEAGDCVIALHVCRNSKAALKNKASLDSYLEVYDGLCSLRKVDLIGQVCEGSSVQRTLVREAKVHGAVALVVGVKKQSALGSSTSTARYCAKRLPPTTEVLAIHNGKIMFGRCNNNQLPGFAGDPKPSLNFLESPLPDDVRSEFGDSEVDTVTSSFEVLTRCGSEDLRDEIPCLPHELKKRPTARFTGDVLDQRPGWPLLRRASSVNPETLQARELSVVQWAMSLPMRSGHRNPLGSSIEKSSEWERSDFLEESHRISSYGSGELHYSLEILLKTNFSNCKWFNYEDLKAATSNFFAGNLIGKGECNSVYKGILPDGKRVAIKIRKSSPEARKDFAREVEIISSMNHKQILRLVGICIRDVDLISVYDLFSKGSLEENLHGNKKVKSLLSWEHRFKIAVKLAEALTYIHNESSPPVIHRDVKSSNILLSDKLEPQLSDFGLAIWGPTTSSFMTQIDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGYETPKDQKSLVMWAKPIIDCGNARDIIDPKLDKNFDEDQIQRMILAAKFCITRSARLRPKMMEVWKLLRGDKDAATWAKLHNENLENNENQEGNDDEVYPNSSAELHLNLALVDLDDDCLSLSSHLSLEDYFKETWSRSSSFN >Manes.11G094000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19740341:19743805:1 gene:Manes.11G094000.v8.1 transcript:Manes.11G094000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQSIERRNVCVGIRIDNNSRELLNWALVKVAEAGDCVIALHVCRNSTALKNKASLDSYLEVYDGLCSLRKVDLIGQVCEGSSVQRTLVREAKVHGAVALVVGVKKQSALGSSTSTARYCAKRLPPTTEVLAIHNGKIMFGRCNNNQLPGFAGDPKPSLNFLESPLPDDVRSEFGDSEVDTVTSSFEVLTRCGSEDLRDEIPCLPHELKKRPTARFTGDVLDQRPGWPLLRRASSVNPETLQARELSVVQWAMSLPMRSGHRNPLGSSIEKSSEWERSDFLEESHRISSYGSGELHYSLEILLKTNFSNCKWFNYEDLKAATSNFFAGNLIGKGECNSVYKGILPDGKRVAIKIRKSSPEARKDFAREVEIISSMNHKQILRLVGICIRDVDLISVYDLFSKGSLEENLHGNKKVKSLLSWEHRFKIAVKLAEALTYIHNESSPPVIHRDVKSSNILLSDKLEPQLSDFGLAIWGPTTSSFMTQIDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGYETPKDQKSLVMWAKPIIDCGNARDIIDPKLDKNFDEDQIQRMILAAKFCITRSARLRPKMMEVWKLLRGDKDAATWAKLHNENLENNENQEGNDDEVYPNSSAELHLNLALVDLDDDCLSLSSHLSLEDYFKETWSRSSSFN >Manes.11G094000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19739910:19743805:1 gene:Manes.11G094000.v8.1 transcript:Manes.11G094000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQSIERRNVCVGIRIDNNSRELLNWALVKVAEAGDCVIALHVCRNSKAALKNKASLDSYLEVYDGLCSLRKVDLIGQVCEGSSVQRTLVREAKVHGAVALVVGVKKQSALGSSTSTARYCAKRLPPTTEVLAIHNGKIMFGRCNNNQLPGFAGDPKPSLNFLESPLPDDVRSEFGDSEVDTVTSSFEVLTRCGSEDLRDEIPCLPHELKKRPTARFTGDVLDQRPGWPLLRRASSVNPETLQARELSVVQWAMSLPMRSGHRNPLGSSIEKSSEWERSDFLEESHRISSYGSGELHYSLEILLKTNFSNCKWFNYEDLKAATSNFFAGNLIGKGECNSVYKGILPDGKRVAIKIRKSSPEARKDFAREVEIISSMNHKQILRLVGICIRDVDLISVYDLFSKGSLEENLHGNKKVKSLLSWEHRFKIAVKLAEALTYIHNESSPPVIHRDVKSSNILLSDKLEPQLSDFGLAIWGPTTSSFMTQIDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGYETPKDQKSLVMWAKPIIDCGNARDIIDPKLDKNFDEDQIQRMILAAKFCITRSARLRPKMMEVWKLLRGDKDAATWAKLHNENLENNENQEGNDDEVYPNSSAELHLNLALVDLDDDCLSLSSHLSLEDYFKETWSRSSSFN >Manes.11G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6077939:6099096:-1 gene:Manes.11G049700.v8.1 transcript:Manes.11G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSATPILHQNRWWHRFLRLKTTLSSELSGAVGDLGTFIPIVLTLTLVSHLDLSTTLIFTSLYNISTGLLFGLPMPVQPMKSIAAVAVSELPHLTTAQIATAGVTTAATLLILGATGLMSFFYRFIPLPVVRGVQLSQGLSFAFSAIKYVRYNQNFVTSKSTTRRSWLGLDGLILAISALLFLVLTTGSGGDNHTTNDNDNNSLTRSQRRVSKRLRVLSAIPSALIVFLFGLVLCFIRDPSIIKDLKFGPSRIQPLKITWEDWKIGFLRGAIPQIPLSILNSVIAVCKLSTDLFPDHEVSATKVSVSVGIMNLVGCWFGAMPVCHGAGGLAGQYRFGARSGASVIFLGIGKLVIGLLFGNSFVRILNQFPIGILGVLLLFAGIELAMASKDMNTKEQSFVMLVCAAVSLTGSSAALGFGCGILLHLLLKLRSMECSCFGFLRSKSSASAVESTPSV >Manes.01G204700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37778875:37781308:-1 gene:Manes.01G204700.v8.1 transcript:Manes.01G204700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAVRGKQKTHRAGMEQVQVLELYEVRYSDLMLLSSSSTSNHSDSLSSPEEELARLQTIRTSIIETLGPKGPGLLSIVGVPNALLLRHNLLPLARKLALLDHDCRKRLLKEHNLGSDVSLKNPDRNVSSFAMQLKYAEALESSSSKPSHDTHLHLNLEPTHLDVNEVRKFQEDEFKSLGDSFKDLGYFMMELGLRLAQICDEFIGGQELERSLLESGTAKGRLIHYHSILDKHFMRQNGRRKGPTKKQANSIKDQAHCLISEQKQIRGSNFVTDGDNARSCGNDMNLWQQWHYDYGIFTILTAPMFLVPSYLAENMGTDQSSPSFDEECPYPNGYSYLQIFDPSKNDVLMVKTSPESFIIQVGESADILSKGKIRSTLHCVRRPVNFENVSRETFVVFLQPAWSKTFSISEYTTEHSVSVGNLCDEGIEHGLNEFAQDIHKIVPPLSSRLKDGMTFAEFSRETTKQYYGGSGLQSNR >Manes.07G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30506354:30522235:1 gene:Manes.07G100300.v8.1 transcript:Manes.07G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNRSSSTPFKNGGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNSVEKNPSLKSFTLKEFTSLMFNSCDVLRPYVAHIDDIFKDFTSYKVRVPVTGAIILDETFERCLLVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSKLLNKEEYIEEMFGQQRVKLYIIAGVKDDTAFAPLTKKEISEIAWQRLDELQPASDNVISRGITGLKLYMVAPFLESLKLWILEHQPPVAPRLDMPIKGMCVWKAKNTSIGSSTSTAVVETQSAKPGTDAHPPDAGPGKSFRNFRFDTAGILRAMEAGFSA >Manes.01G247300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40742384:40745969:1 gene:Manes.01G247300.v8.1 transcript:Manes.01G247300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDAYGAVEAQYIRRHHRHQPRENQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVVNGESGEPGIGSVREVNVKSGLPATTSTERLELLDDEEHILGIKIVGGDHRLKNYSSIMTVHPEIVDGRPGTLVIESFVVDVPDGNTKDETCYFVKALIKCNLKSLADVSERMAVQDRVEPINRF >Manes.16G085800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29264077:29266285:-1 gene:Manes.16G085800.v8.1 transcript:Manes.16G085800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIATCRTRRSSRSSSCERLMFIGLGLLAVISPLYINRRPVIESEDDERPINLSSWLPLLLLVLILAIALSLSADKNFTRTSKFSSYERLVGIGMGLLAVISPLFINRRPDIDPELEEQSINLASWLPLLLLVLILAIALSLYLDQSLTRFDAYWIHRIGGSSGGIIIILVILALVLKCKASHGGNSG >Manes.02G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9625761:9627395:1 gene:Manes.02G126900.v8.1 transcript:Manes.02G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGAFLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVAEEDGQSLAEKEGLSFLETSALEATNVEKAFQTILTEIYHIISKKALAAQEAAGNSTLPGQGTTINVAETSGNTKKGCCST >Manes.07G060841.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10311233:10311673:-1 gene:Manes.07G060841.v8.1 transcript:Manes.07G060841.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSYKPKMVQNLQKKKIYRSLVGRLIYLYHTRPGIAFSVGVVSRFIHSPSSQHLRAAKKILQYIVGTLNFRLWYTASSDFRLTGFTDSDYANSLENRRSISGQIFVLGSYAVSWSSKKQPTAASSSKETEYMATTASTCQAIWL >Manes.04G047100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5862983:5886629:1 gene:Manes.04G047100.v8.1 transcript:Manes.04G047100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNKGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVEKLESASPVPIIRGVRPVPGRHPCVGSPEDIAATRSLGFLNNNKGNHNSSSGSKHLEKVNSPRKGFFGSSNVGEKEKTGEVRLNGKANKEDLSDRKKASLSRTKSQLSKPIGNLDLKKETLAKSKSSSSRSIPSSPTSCYSLPTSFEKFSNGIKQQAKVKGLEKGLNKLGAMEKSSSVRSASPTVKRVPVIKNIVQGIELGAKALRKSWEGNVEMKHRESSKLRAAKHDPKPEARSISAPRKSMSTDRLASKEDNKIQLSAKSSKEENRNPISTKKVTANGNLDDQEKSNKLRASIGKKPSGDNNGFPGNLVKVSINSRKLTDGSVSWSSLPSSVAKLGKEVMKHRDAAQTAAIEAIQEASAAESVLKCLSIYSELTSSAKEDNPQPAVEQFLTLHASLKNARTIADSLSKNITVDSSPDPDDNPSEELLKITSEKRKCAASWFQAALATNLSSFSVFTKGTTSAPTQGQKTTASNQPVLVLENSSKNPSTKTQGKTRPSVGSKLVATGAFRKSGDNSAVSQKMPHQPPPEWTRGSGLDEAVDLAEMLQMESQDWFLGFVDRFLDPDVDSSTLSDNSQIAGMLTQLKSVNDWLDGMGSNKDEGETPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQSSSRLDNTDAKTKRIGSEEPR >Manes.04G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5862980:5872109:1 gene:Manes.04G047100.v8.1 transcript:Manes.04G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNKGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVEKLESASPVPIIRGVRPVPGRHPCVGSPEDIAATRSLGFLNNNKGNHNSSSGSKHLEKVNSPRKGFFGSSNVGEKEKTGEVRLNGKANKEDLSDRKKASLSRTKSQLSKPIGNLDLKKETLAKSKSSSSRSIPSSPTSCYSLPTSFEKFSNGIKQQAKVKGLEKGLNKLGAMEKSSSVRSASPTVKRVPVIKNIVQGIELGAKALRKSWEGNVEMKHRESSKLRAAKHDPKPEARSISAPRKSMSTDRLASKEDNKIQLSAKSSKEENRNPISTKKVTANGNLDDQEKSNKLRASIGKKPSGDNNGFPGNLVKVSINSRKLTDGSVSWSSLPSSVAKLGKEVMKHRDAAQTAAIEAIQEASAAESVLKCLSIYSELTSSAKEDNPQPAVEQFLTLHASLKNARTIADSLSKNITVDSSPDPDDNPSEELLKITSEKRKCAASWFQAALATNLSSFSVFTKGTTSAPTQGQKTTASNQPVLVLENSSKNPSTKTQGKTRPSVGSKLVATGAFRKSGDNSAVSQKMPHQPPPEWTRGSGLDEAVDLAEMLQMESQDWFLGFVDRFLDPDVDSSTLSDNSQIAGMLTQLKSVNDWLDGMGSNKDEGETPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQSSSRLDNTDAKTKR >Manes.07G114100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31949847:31952322:1 gene:Manes.07G114100.v8.1 transcript:Manes.07G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVAVWQHDRVEIIANDQGNRTTPSYIAFTDIERLIGDAAKNHFIMNPVNTVFDAKRMIGRRFSDASVQDDMKFWPFEVVAGPGDKPMIVVTYKGKEKQFAAEEISSMVLIRMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIIDEPTAAAIAYGLKKKAGSDGEKNVLIFDLGGGTFDVSLVTIEEGMFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSFTAQTTTEIDSLYEGIGFYTTITRATFEELNMDLFRKCLVPVEKCLRDAKIDKSSVHDVVLVGGSSRIPKVQQLLQDFFNGKELCKSINPDEDVAYGAAVQAAILSGEGIEKVQALLVGCYPS >Manes.07G114100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31949847:31952322:1 gene:Manes.07G114100.v8.1 transcript:Manes.07G114100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVAVWQHDRVEIIANDQGNRTTPSYIAFTDIERLIGDAAKNHFIMNPVNTVFDAKRMIGRRFSDASVQDDMKFWPFEVVAGPGDKPMIVVTYKGKEKQFAAEEISSMVLIRMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIIDEPTAAAIAYGLKKKAGSDGEKNVLIFDLGGGTFDVSLVTIEEGMFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSFTAQTTTEIDSLYEGIGFYTTITRATFEELNMDLFRKCLVPVEKCLRDAKIDKSSVHDVVLVGGSSRIPKVQQLLQDFFNGKELCKSINPDEDVAYGAAVQAAILSGEGIEKVQALLVGCYPS >Manes.11G146800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31134483:31134792:1 gene:Manes.11G146800.v8.1 transcript:Manes.11G146800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCFVMEGCYCILVIGRLSGDQLILLVIGRLSGGRLWTNFYRILPFQCL >Manes.11G146800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31134483:31135264:1 gene:Manes.11G146800.v8.1 transcript:Manes.11G146800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCFVMEGCYCILVIGRLSGDQLILLVIGRLSGVMVNLRCAC >Manes.18G081700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7509288:7512653:1 gene:Manes.18G081700.v8.1 transcript:Manes.18G081700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDAAKNHFASQVFSVSVDVPSQGGGSKWFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWAVAQLGWIAGPAVMFLFSFVTYYTSTLLSACYRTGDPVNGKRNYTYTDAVRSNLGGGMVKICGYVQYLNLFGVAIGYTIASSISMMAVKRSNCFHKTGGKDPCHMNANPYMIAFGIVEIIFSQIPDFEKLWWLSIVAAVMSFTYSTIGLGLGISKVAEAGKFQGSLTGISIGTSVTETQKIWRSFQALGDIAFAYSYSLILIEIQDTIKSPPSEAKTMKKATLISVSVTTLFYMLCGCFGYAAFGDMSPGNLLTGFGFYNPYWLIDIANVAIVVHLVGAYQVYCQPLFAFIEKAAAQRFPDSNFVTKDIKLPLPGSRSYNLNLFRLVFRTIFVIITTVMSMLLPFFNDIVGLLGALGFWPLTVYFPVEMYIAQKKIPKWSTRWVCLQILSAACLIITIAAAAGSIAGVVGDLKTVKPFKTSY >Manes.18G081700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7509288:7512653:1 gene:Manes.18G081700.v8.1 transcript:Manes.18G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGENDAAKNHFASQVFSVSVDVPSQGGGSKWFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWAVAQLGWIAGPAVMFLFSFVTYYTSTLLSACYRTGDPVNGKRNYTYTDAVRSNLGGGMVKICGYVQYLNLFGVAIGYTIASSISMMAVKRSNCFHKTGGKDPCHMNANPYMIAFGIVEIIFSQIPDFEKLWWLSIVAAVMSFTYSTIGLGLGISKVAEAGKFQGSLTGISIGTSVTETQKIWRSFQALGDIAFAYSYSLILIEIQDTIKSPPSEAKTMKKATLISVSVTTLFYMLCGCFGYAAFGDMSPGNLLTGFGFYNPYWLIDIANVAIVVHLVGAYQVYCQPLFAFIEKAAAQRFPDSNFVTKDIKLPLPGSRSYNLNLFRLVFRTIFVIITTVMSMLLPFFNDIVGLLGALGFWPLTVYFPVEMYIAQKKIPKWSTRWVCLQILSAACLIITIAAAAGSIAGVVGDLKTVKPFKTSY >Manes.01G094100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29560571:29587166:1 gene:Manes.01G094100.v8.1 transcript:Manes.01G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDSVRVLEVCQVAAASGSPESATALSLPITFLDARYFKFPPAERIYFFKLHGSTPTFFHSVLVPTLKHSLSQTLRHFLPLAGHLTWPPHSPKPIILYSPNDAVSLTIAESDADLDRLVGDEIREASESRLCVPELPISDPKASVIALQITLFLNKGYSISIAMHHAVVDGKTASMFLKAWAHLCKNTAKGQTFTLSPELTPSFDRSTIKDPDELESFYLNHWVATTKLDSKSNPRSLKLLPNLLGVPPKLVRATFQLSRENIEKLRGAVVSYHQHGAAGLQPTREVRLSTFVLTCAYLSVCLVKARGGDANRMVYFLVAADCRSRLDPPISQNYFGNGVFVHDTVIEARTFMEENGVAIIAEKISGIIKGLEKGLFRGAKESHERLRSTGADVQKIGIAGSPRFLYYEEDFGWGKPNKVEIASIDRINGVSLMESRDGNGGIEIGIVMQRHEMEAFASLFVQGLN >Manes.01G073700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27587277:27591328:1 gene:Manes.01G073700.v8.1 transcript:Manes.01G073700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFKLIFRSYNTLNSSATTTGPLVSRLKSRSVIRFGGPDTIKFLQGLLTNDVRRFDKPPDEGTSSLPTPNMPTVSQPPMYAALLTPQGRFLYDLFLYRPPRAGEKLDSTGSGPGSDNGDSVEMFADVDSSVLDELLQTLKRYRLRSKVEIDNVAENFSCWQRFGGKLSEKSSVEEEPEAASVGWGSGVDRRASSSAHGDEHGWQWFRDPRLECLGFRGIFPSSETPPMVEVDKETEEKNYLLWRIENGVAEGSTEIPKGEAIPLEYNLTGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLLFLDDSGEESGVEQKVAPGSEVIDTASGKKVGPVTTALGCRGLGLLRLEEAFKTSGSLTIQGQEDVKVEAIRPKWWPAEWFPEHQQYSAVA >Manes.01G073700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27587446:27591328:1 gene:Manes.01G073700.v8.1 transcript:Manes.01G073700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFKLIFRSYNTLNSSATTTGPLVSRLKSRSVIRFGGPDTIKFLQGLLTNDVRRFDKPPDEGTSSLPTPNMPTVSQPPMYAALLTPQGRFLYDLFLYRPPRAGEKLDSTGSGPGSDNGDSVEMFADVDSSVLDELLQTLKRYRLRSKVEIDNVAENFSCWQRFGGKLSEKSSVEEEPEAASVGWGSGVDRRASSSAHGDEHGWQWFRDPRLECLGFRGIFPSSETPPMVEVDKETEEKNYLLWRIENGVAEGSTEIPKGEAIPLEYNLTGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLLFLDDSGEESGVEQKVAPGSEVIDTASGKKVGPVTTALGCRGLGLLRLEEAFKTSGSLTIQGQEDVKVEAIRPKWWPAEWFPEHQQYSAVA >Manes.01G073700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27587277:27591328:1 gene:Manes.01G073700.v8.1 transcript:Manes.01G073700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFKLIFRSYNTLNSSATTTGPLVSRLKSRSVIRFGGPDTIKFLQGLLTNDVRRFDKPPDEGTSSLPTPNMPTVSQPPMYAALLTPQGRFLYDLFLYRPPRAGEKLDSTGSGPGSDNGDSVEMFADVDSSVLDELLQTLKRYRLRSKVEIDNVAENFSCWQRFGGKLSEKSSVEEEPEAASVGWGSGVDRRASSSAHGDEHGWQWFRDPRLECLGFRGIFPSSETPPMVEVDKETEEKNYLLWRIENGVAEGSTEIPKGEAIPLEYNLTGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLLFLDDSGEESGVEQKVAPGSEVIDTASGKKVGPVTTALGCRGLGLLRLEEAFKTSGSLTIQGQEDVKVEAIRPKWWPAEWFPEHQQYSAVA >Manes.01G073700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27587286:27591328:1 gene:Manes.01G073700.v8.1 transcript:Manes.01G073700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFKLIFRSYNTLNSSATTTGPLVSRLKSRSVIRFGGPDTIKFLQGLLTNDVRRFDKPPDEGTSSLPTPNMPTVSQPPMYAALLTPQGRFLYDLFLYRPPRAGEKLDSTGSGPGSDNGDSVEMFADVDSSVLDELLQTLKRYRLRSKVEIDNVAENFSCWQRFGGKLSEKSSVEEEPEAASVGWGSGVDRRASSSAHGDEHGWQWFRDPRLECLGFRGIFPSSETPPMVEVDKETEEKNYLLWRIENGVAEGSTEIPKGEAIPLEYNLTGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLLFLDDSGEESGVEQKVAPGSEVIDTASGKKVGPVTTALGCRGLGLLRLEEAFKTSGSLTIQGQEDVKVEAIRPKWWPAEWFPEHQQYSAVA >Manes.01G073700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27587446:27591328:1 gene:Manes.01G073700.v8.1 transcript:Manes.01G073700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFKLIFRSYNTLNSSATTTGPLVSRLKSRSVIRFGGPDTIKFLQGLLTNDVRRFDKPPDEGTSSLPTPNMPTVSQPPMYAALLTPQGRFLYDLFLYRPPRAGEKLDSTGSGPGSDNGDSVEMFADVDSSVLDELLQTLKRYRLRSKVEIDNVAENFSCWQRFGGKLSEKSSVEEEPEAASVGWGSGVDRRASSSAHGDEHGWQWFRDPRLECLGFRGIFPSSETPPMVEVDKETEEKNYLLWRIENGVAEGSTEIPKGEAIPLEYNLTGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLLFLDDSGEESGVEQKVAPGSEVIDTASGKKVGPVTTALGCRGLGLLRLEEAFKTSGSLTIQGQEDVKVEAIRPKWWPAEWFPEHQQYSAVA >Manes.01G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27587286:27591328:1 gene:Manes.01G073700.v8.1 transcript:Manes.01G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFKLIFRSYNTLNSSATTTGPLVSRLKSRSVIRFGGPDTIKFLQGLLTNDVRRFDKPPDEGTSSLPTPNMPTVSQPPMYAALLTPQGRFLYDLFLYRPPRAGEKLDSTGSGPGSDNGDSVEMFADVDSSVLDELLQTLKRYRLRSKVEIDNVAENFSCWQRFGGKLSEKSSVEEEPEAASVGWGSGVDRRASSSAHGDEHGWQWFRDPRLECLGFRGIFPSSETPPMVEVDKETEEKNYLLWRIENGVAEGSTEIPKGEAIPLEYNLTGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPLLFLDDSGEESGVEQKVAPGSEVIDTASGKKVGPVTTALGCRGLGLLRLEEAFKTSGSLTIQGQEDVKVEAIRPKWWPAEWFPEHQQYSAVA >Manes.01G214000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38384140:38389666:-1 gene:Manes.01G214000.v8.1 transcript:Manes.01G214000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLGSVVVRLGLNSGPDEAHVDSITLFVALLCACILIGHLLEKNRWMNESITALFIGLCTGLIILLITKGKSSRLFLFNEELFFIYVLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISFIIISLGSVQLFNKLNIGFLDTRDYLALGAIFSATDSVCTLQVLNQEETPLLYSLVFGEGVVNDATSVVLFNAIQRFDLSHINSSTAMHLFGNFLYLFATSTLLGLAVGLVSAYIIKKLYFGRHSTDREVALMILMAYLSYIMSELFDLSAILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFIFLYVGMDALDIEKWKFVSKSPGTSIGVSSILLGLVLVGRAAFIFPLSFVSNLTRKSQSDKIGLKQQVVMWWAGLMRGAVSMALAYNQFTKSGHTQLPGNAFMITSTISVVLFSTVVFGLLTKTLIKVLLPKTHSSNAVISELADSVDSKSLALPLLSSSRGKERESEVGRDRDNIPRPTSLRMLLSTPTHTVHYYWRKFDDAIMRPVFGGRGFTPHVPGSPTEGYLR >Manes.16G110100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31571897:31580231:-1 gene:Manes.16G110100.v8.1 transcript:Manes.16G110100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTVAEQQQNIGKDVSSITSSERPSNEVVKDDADHDKVPVTAVDADVTTSASNGDTVNEKASDVPVECPPSPLPAKEIEVLDEDRQDHPIDAEIKLRDADVSSNTDQERSQSAITDPPVNGETSLKDADLSAEPLVDQLNQQHQYKADTSPMKVQEQLDEAQGLLKTAISTGQSKEARLARVCAGLSTRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSISKSEVTRVESNMLEALAAKNSEIESLVNSMDALKKQAASSEGNLASLQANMESIMRNRELTETRMMQALREELASVERRAEEERAAHNATKMAAMEREVDLEHRAVESSTALARVQRIADERTTKAAELEQKVALLEFECASLNQELQDMEARARRGQKKSSEEANQVIQMQAWQEEVERARQGQRDAEGKLSSMEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKEVKRLQEAQVEAERSKVSRRASSSWEEDAEMKALEPLPVHHRHMAAATMQLQKAAKILDSGAARATRFLWRYPTARLIFLFYMVFVHLFLMYLLHRLQEQVDNISAREVAESMGLANQTLP >Manes.16G110100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31571898:31580231:-1 gene:Manes.16G110100.v8.1 transcript:Manes.16G110100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKAAEDLFEVVDRRAKLVVSELADEHSDSQLPASNGQGSQPKRAKPKTKAQKRLSTNIPSQASATTGELTSTETSKLDVLSDKDRVTLLVENDAAPSSKLMPQTVAEQQQNIGKDVSSITSSERPSNEVVKDDADHDKVPVTAVDADVTTSASNGDTVNEKASDVPVECPPSPLPAKEIEVLDEDRQDHPIDAEIKLRDADVSSNTDQERSQSAITDPPVNGETSLKDADLSAEPLVDQLNQQHQYKADTSPMKVQEQLDEAQGLLKTAISTGQSKEARLARVCAGLSTRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSISKSEVTRVESNMLEALAAKNSEIESLVNSMDALKKQAASSEGNLASLQANMESIMRNRELTETRMMQALREELASVERRAEEERAAHNATKMAAMEREVDLEHRAVESSTALARVQRIADERTTKAAELEQKVALLEFECASLNQELQDMEARARRGQKKSSEEANQVIQAWQEEVERARQGQRDAEGKLSSMEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKEVKRLQEAQVEAERSKVSRRASSSWEEDAEMKALEPLPVHHRHMAAATMQLQKAAKILDSGAARATRFLWRYPTARLIFLFYMVFVHLFLMYLLHRLQEQVDNISAREVAESMGLANQTLP >Manes.16G110100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31571898:31580231:-1 gene:Manes.16G110100.v8.1 transcript:Manes.16G110100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKAAEDLFEVVDRRAKLVVSELADEHSDSQLPASNGQGSQPKRAKPKTKAQKRLSTNIPSQASATTGELTSTETSKLDVLSDKDRVTLLVENDAAPSSKLMPQTVAEQQQNIGKDVSSITSSERPSNEVVKDDADHDKVPVTAVDADVTTSASNGDTVNEKASDVPVECPPSPLPAKEIEVLDEDRQDHPIDAEIKLRDADVSSNTDQERSQSAITDPPVNGETSLKDADLSAEPLVDQLNQQHQYKADTSPMKVQEQLDEAQGLLKTAISTGQSKEARLARVCAGLSTRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSISKSEVTRVESNMLEALAAKNSEIESLVNSMDALKKQAASSEGNLASLQANMESIMRNRELTETRMMQALREELASVERRAEEERAAHNATKMAAMEREVDLEHRAVESSTALARVQRIADERTTKAAELEQKVALLEFECASLNQELQDMEARARRGQKKSSEEANQVIQMQAWQEEVERARQGQRDAEGKLSSMEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKEVKRLQEAQVEAERSKVSRRASSSWEEDAEMKALEPLPVHHRHMAAATMQLQKAAKILDSGAARATRFLWRYPTARLIFLFYMEQVDNISAREVAESMGLANQTLP >Manes.16G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31571898:31580231:-1 gene:Manes.16G110100.v8.1 transcript:Manes.16G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLKAAEDLFEVVDRRAKLVVSELADEHSDSQLPASNGQGSQPKRAKPKTKAQKRLSTNIPSQASATTGELTSTETSKLDVLSDKDRVTLLVENDAAPSSKLMPQTVAEQQQNIGKDVSSITSSERPSNEVVKDDADHDKVPVTAVDADVTTSASNGDTVNEKASDVPVECPPSPLPAKEIEVLDEDRQDHPIDAEIKLRDADVSSNTDQERSQSAITDPPVNGETSLKDADLSAEPLVDQLNQQHQYKADTSPMKVQEQLDEAQGLLKTAISTGQSKEARLARVCAGLSTRLQEYKSENAQLEELLIAERELSKSYEARIKQLQQDLSISKSEVTRVESNMLEALAAKNSEIESLVNSMDALKKQAASSEGNLASLQANMESIMRNRELTETRMMQALREELASVERRAEEERAAHNATKMAAMEREVDLEHRAVESSTALARVQRIADERTTKAAELEQKVALLEFECASLNQELQDMEARARRGQKKSSEEANQVIQMQAWQEEVERARQGQRDAEGKLSSMEAELQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKEVKRLQEAQVEAERSKVSRRASSSWEEDAEMKALEPLPVHHRHMAAATMQLQKAAKILDSGAARATRFLWRYPTARLIFLFYMVFVHLFLMYLLHRLQEQVDNISAREVAESMGLANQTLP >Manes.18G042800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3761124:3763134:-1 gene:Manes.18G042800.v8.1 transcript:Manes.18G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHYCLVLILFICFLKRVLFHQKQNLPPSPRALPVLGHLHLIRKPLPLALETLLSQYGPILSLKFGSRSVLVVSSPSAVEECLNKNDVIFANRPPSMAGDHLTYNYTSFVSAPYGDHWRTLRRLSVVEIFSSKSLQKTCAIREEEVRTLLCRLFKISAGGPGKQKVNLKFLFSLLTCNVMMRMSIGKRCVEMEAEDSELEKQLFEEFKETFFPSLSLNICDFIPVLRVIGFKGIEKSMIKMNNMRDEFLQKLLDEIKLKRMDSKEKRSVAETLLSMQEIEPEFYSDKVIKSIIVMMLIAGAETSAITLEWAMSLLLNNPEALQKLKAEIDHHVGHGHLLNDLDIVKLPYLRCVINETLRLYPPGPLLLPHLSSENCTVGGFEIPKGTTLLVNVWAMHRDPKNWEDPNEFRPERFEGDLGEQHGYKFIPFGVGRRACL >Manes.09G151100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34837276:34842339:1 gene:Manes.09G151100.v8.1 transcript:Manes.09G151100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPNGVLVKSNGVLVKSNGVSHEKVHALPKTSGHSVEAKDYEAECSAEDSVVANHDEEQDVLGVKSTNFDPDQAEAKNEKAGAQKSSNDKTPSFPASKSGAARSARGHHTVPQPFALATEKRASVNTSTNVNNVSSPIATKNSQSNSPSTARKPLYPDNKKLLDEEDNWSVASSTAASVRTVKSVTIGTAPTFKSAARAERRKEFYTKLEEKHRALEEERNQAEARSKEEQQADIKQLRKSMVVKAKPVPSFYYEPPPPKVELKKLPLTQPVSPKLSRRKSCGDAIQPSNDEVGKHCARHRHSLGNHKEDLNTAIIAKNKVQNSAHTTNGTRSRKAKDRPKRENVTARAVPDMIAEETNEDI >Manes.09G151100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34837276:34842339:1 gene:Manes.09G151100.v8.1 transcript:Manes.09G151100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPNGVLVKSNGVLVKSNGVSHEKVHALPKTSGHSVEAKDYEAECSAEDSVVANHDEEQDVLGVKSTNFDPDQAEAKNEKAGAQKSSNDKTPSFPASKSGAARSARGHHTVPQPFALATEKRASVNTSTNVNNVSSPIATKNSQSNSPSTARKPLYPDNKKLLDEEDNWSVASSTAASVRTVKSVTIGTAPTFKSAARAERRKEFYTKLEEKHRALEEERNQAEARSKEEQQADIKQLRKSMVVKAKPVPSFYYEPPPPKVELKKLPLTQPVSPKLSRRKSCGDAIQPSNDEVGKHCARHRHSLGNHKEDLNTAIIAKNKVQNSAHTTNGTRSRKAKDRPKRENVTARAVPDMIAEETNEDI >Manes.16G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7008043:7012291:-1 gene:Manes.16G043600.v8.1 transcript:Manes.16G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSLNLKPHHEVEGIEHLENRVSNFLPQDNGGLESSTDFYNSAASIGTHRVPTFGEVDPRNSEKKEHASEQNLGKYFFYDSPLSEETGVWIPVSVPPMLENNHEEWSRGFHSNGGYFPEGDIGWNQVIGQEKDLTMWDVIVEMLLAARGKVSAIASGDIHSCNFSWMSSHLLEQAWQEMAQTLTEANFGNVREILEAEPPKWLADSSASACMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPVKFRVADPQRVCDVCCVRLETVQPYLMDQVSHAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNTIQGYNKAVCLKPEKSIPDAILKQAKGLAIITVVKVGVMVTYNVGTGLVIACREDGSWSPPSAVSSFGMGWGAQAGGELTDFIIVLRTNDAVKTFCGNAHVSLGAGLSAAVGVVGRAAEADLRAGDGGYAACYTYSCSKGAFVGCSLKGSVVMTRSKENSRFYGSQSITASDILLGSLPQPPAAAILYRALGDLSQKLGR >Manes.16G043600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7006648:7011960:-1 gene:Manes.16G043600.v8.1 transcript:Manes.16G043600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENNHEEWSRGFHSNGGYFPEGDIGWNQVIGQEKDLTMWDVIVEMLLAARGKVSAIASGDIHSCNFSWMSSHLLEQAWQEMAQTLTEANFGNVREILEAEPPKWLADSSASACMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPVKFRVADPQRVCDVCCVRLETVQPYLMDQVSHAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNTIQGYNKAVCLKPEKSIPDAILKQAKGLAIITVVKVGVMVTYNVGTGLVIACREDGSWSPPSAVSSFGMGWGAQAGGELTDFIIVLRTNDAVKTFCGNAHVSLGAGLSAAVGVVGRAAEADLRAGDGGYAACYTYSCSKGAFVGCSLKGSVVMTRSKENSRFYGSQSITASDILLGSLPQPPAAAILYRALGDLSQKLGR >Manes.16G043600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7008043:7012291:-1 gene:Manes.16G043600.v8.1 transcript:Manes.16G043600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENNHEEWSRGFHSNGGYFPEGDIGWNQVIGQEKDLTMWDVIVEMLLAARGKVSAIASGDIHSCNFSWMSSHLLEQAWQEMAQTLTEANFGNVREILEAEPPKWLADSSASACMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPVKFRVADPQRVCDVCCVRLETVQPYLMDQVSHAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNTIQGYNKAVCLKPEKSIPDAILKQAKGLAIITVVKVGVMVTYNVGTGLVIACREDGSWSPPSAVSSFGMGWGAQAGGELTDFIIVLRTNDAVKTFCGNAHVSLGAGLSAAVGVVGRAAEADLRAGDGGYAACYTYSCSKGAFVGCSLKGSVVMTRSKENSRFYGSQSITASDILLGSLPQPPAAAILYRALGDLSQKLGR >Manes.16G043600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7008043:7012291:-1 gene:Manes.16G043600.v8.1 transcript:Manes.16G043600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSLNLKPHHEVEGIEHLENRVSNFLPDNGGLESSTDFYNSAASIGTHRVPTFGEVDPRNSEKKEHASEQNLGKYFFYDSPLSEETGVWIPVSVPPMLENNHEEWSRGFHSNGGYFPEGDIGWNQVIGQEKDLTMWDVIVEMLLAARGKVSAIASGDIHSCNFSWMSSHLLEQAWQEMAQTLTEANFGNVREILEAEPPKWLADSSASACMLCGVRFHPIMCSRHHCRFCGGIFCGECSKGRSLLPVKFRVADPQRVCDVCCVRLETVQPYLMDQVSHAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNTIQGYNKAVCLKPEKSIPDAILKQAKGLAIITVVKVGVMVTYNVGTGLVIACREDGSWSPPSAVSSFGMGWGAQAGGELTDFIIVLRTNDAVKTFCGNAHVSLGAGLSAAVGVVGRAAEADLRAGDGGYAACYTYSCSKGAFVGCSLKGSVVMTRSKENSRFYGSQSITASDILLGSLPQPPAAAILYRALGDLSQKLGR >Manes.02G047801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3901723:3904452:1 gene:Manes.02G047801.v8.1 transcript:Manes.02G047801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLEQERFDQDLKGMQNNSMLCGDDEQMELPPGFRFHPTDEELITHYLSLKVLDNSFCARAIGEVDLNKCEPWDLPWRAKMGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYRAKTLVGMKKTLVFYKGRAPKGTKTNWVMHEYRLEGKYSVYNLPKTAKNEWVICRVFQKSSGGKKTHITGLVRISSYGNELRPSSLLPPLMDSSPYNSETRTSGGDTSHVTCFSKPMEHQNAMEDVFDSFDNPPFLLTCTSSSNISPASILSSKTSLPNSSYSPQISPSFSSLQYPDPALMPEQSILKMLLEDHRANTKQNSKMELSISPDISSVLINPELMQRSFEHREAPPNSAGPIDLDCLWSY >Manes.02G047801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3901723:3904452:1 gene:Manes.02G047801.v8.1 transcript:Manes.02G047801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLEQERFDQDLKGMQNNSMLCGDDEQMELPPGFRFHPTDEELITHYLSLKVLDNSFCARAIGRAKMGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYRAKTLVGMKKTLVFYKGRAPKGTKTNWVMHEYRLEGKYSVYNLPKTAKNEWVICRVFQKSSGGKKTHITGLVRISSYGNELRPSSLLPPLMDSSPYNSETRTSGGDTSHVTCFSKPMEHQNAMEDVFDSFDNPPFLLTCTSSSNISPASILSSKTSLPNSSYSPQISPSFSSLQYPDPALMPEQSILKMLLEDHRANTKQNSKMELSISPDISSVLINPELMQRSFEHREAPPNSAGPIDLDCLWSY >Manes.01G045500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17380174:17387854:1 gene:Manes.01G045500.v8.1 transcript:Manes.01G045500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQPDPFPESRLEVDAGAAFVLESKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTVMGVVTFYSYYLMSKVLDYCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMVTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSESARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLGLGVVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIMGAFSSIRKLVLDAYKFKLFSSDVVD >Manes.01G045500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17380280:17387804:1 gene:Manes.01G045500.v8.1 transcript:Manes.01G045500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQPDPFPESRLEVDAGAAFVLESKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTVMGVVTFYSYYLMSKVLDYCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMVTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSESARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLGLGVVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIMGAFSSIRKLVLDAYKFKLFSSDVVD >Manes.01G045500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17380213:17387887:1 gene:Manes.01G045500.v8.1 transcript:Manes.01G045500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQPDPFPESRLEVDAGAAFVLESKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTVMGVVTFYSYYLMSKVLDYCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMVTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSESARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLGLGVVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIMGAFSSIRKLVLDAYKFKLFSSDVVD >Manes.01G045500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17382636:17387882:1 gene:Manes.01G045500.v8.1 transcript:Manes.01G045500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALWAYGSLIRLLDNRRNIVIRLRGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMVTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSESARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLGLGVVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIMGAFSSIRKLVLDAYKFKLFSSDVVD >Manes.01G045500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17380280:17387829:1 gene:Manes.01G045500.v8.1 transcript:Manes.01G045500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTFYSYYLMSKVLDYCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMVTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSESARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLGLGVVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIMGAFSSIRKLVLDAYKFKLFSSDVVD >Manes.01G045500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17380280:17387844:1 gene:Manes.01G045500.v8.1 transcript:Manes.01G045500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQPDPFPESRLEVDAGAAFVLESKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTVMGVVTFYSYYLMSKVLDYCEKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMVTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSESARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLGLGVVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIMGAFSSIRKLVLDAYKFKLFSSDVVD >Manes.16G069000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:26474156:26476759:1 gene:Manes.16G069000.v8.1 transcript:Manes.16G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSIVSASLSPFTHKLSLINPTSISITRPNYRINPTSVSVLIPNCIIPLLKSCSNAREFSPLHAILITSNLINDSLITTRVLRFLISIDELDYAHKISSYTLEPETIIWNTYMENQLKDGFPEEVFMAYYCMVTQGAVLDLSTFHFLLHACSRKFSIQHGSEVHGRILKSGFGANRSLNNNLMGMYSKFGNVEEVRQLFEKLPHKDVISWNTMISSFVSVGMYREALHFFDEMMVDGVKPDEITMVTLVSACTKLRDLEMGKKFHVYIEDNNLRSNGSLLNCLADMYVKCGKMEEAHKLLGRYQKSEVDVVLWTTLISGYVKANKIEEARHLFDQMAERNLISWTTMISGYAQLGRYSESLELFKQMRFKNVSPDELVLVTALSACVHVEDFGLGRAIHGLIMRYGMMVDGYLGNALLDMYAKCEKLREACVVFEQLPCRSAASRNSMLDGFCRSGDADKARSFFNNIPKKDIISWNTMLNFYVKHDLFTESLEFFQEMQSSNVKPDNITFISLLSSCARVGALNHGIWLHVYIEKNEIGLDSKLATALIDMYGKCGCSEIAYELFSKLTERNVFVWTAMIAAYAMEGHAHKAIDIFLEMEVMGIKPDYVTFIALLSACSHGGLVDEGYKYFNKMKTLYNINPKIQHYGCIVDLLGRTGHLEEAVKFIETIPLEPDVSIWSSLMRSCGSHNDVELAEFAFKRLIEIDPINDGAFILLSNTYTNVGRWDDASKVRMKLHDIGVRKQPGFSTIEKNGVLQKFTAGEFSSPQSAEICSMLEEIEKRLIKQQLPDTSSQHSERLAVAFGLLNSQRKSTIRVVNNVRICGDCHSFMKVVSQAYGREIVIRDIYRFHRFKDGYCSCKDKW >Manes.05G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5771339:5778018:-1 gene:Manes.05G070100.v8.1 transcript:Manes.05G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLFNLTPNLTVSRLCLGTMTFGEQNSLPQSFRLLDEAFHAGINFFDSAEMYPVPQRAETRGRSEEYLGRWIRERKIPRNRVVIATKVTGPSGQMVWIRGGPKCLDAKNITEAIDNSLQRMQMDYIDLYQIHWPDRYVPMFGETEYHPTQQFCSISIEEQLDALSRAVDSGKVRYIGLSNETPYGIMKFLHVAERIAWYPKIVSVQNSYSLLCRTFDSGLAECCHHEGISLLAYSPLAMGILSGKYFAPNGGPANGRLNLFKGRYSEGESRYNLSNNMIKAATMEYLDIAKKHGLHPVSLAIAFVLRHPLVASTIFGATSSWQLQETLNGCKIQLTPEIIEDINKDAHAPVDAVLDDEHKQLPACCCIFLNYCCVECCIYLGSNLIQI >Manes.05G070100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5771339:5778019:-1 gene:Manes.05G070100.v8.1 transcript:Manes.05G070100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLFNLTPNLTVSRLCLGTMTFGEQNSLPQSFRLLDEAFHAGINFFDSAEMYPVPQRAETRGRSEEYLGRWIRERKIPRNRVVIATKVTGPSGQMVWIRGGPKCLDAKNITEAIDNSLQRMQMDYIDLYQIHWPDRYVPMFGETEYHPTQQFCSISIEEQLDALSRAVDSGKVRYIGLSNETPYGIMKFLHVAERIAWYPKIVSVQNSYSLLCRTFDSGLAECCHHEGISLLAYSPLAMGILSGKYFAPNGGPANGRLNLFKGRYSEGESRYNLSNNMIKAATMEYLDIAKKHGLHPVSLAIAFVLRHPLVASTIFGATSSWQLQETLNGCKIQLTPEIIEDINKVHARMHMHLLMLY >Manes.05G070100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5771339:5778018:-1 gene:Manes.05G070100.v8.1 transcript:Manes.05G070100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLFNLTPNLTVSRLCLGTMTFGEQNSLPQSFRLLDEAFHAGINFFDSAEMYPVPQRAETRGRSEEYLGRWIRERKIPRNRVVIATKVTGPSGQMVWIRGGPKCLDAKNITEAIDNSLQRMQMDYIDLYQIHWPDRYVPMFGETEYHPTQQFCSISIEEQLDALSRAVDSGKVRYIGLSNETPYGIMKFLHVAERIAWYPKIVSVQNSYSLLCRTFDSGLAECCHHEGISLLAYSPLAMGILSGKYFAPNGGPANGRLNLFKGRYSEGESRYNLSNNMIKAATMEYLDIAKKHGLHPVSLAIAFVLRHPLVASTIFGATSSWQLQETLNGCKIQLTPEIIEDINKVAAKLELGFG >Manes.05G070100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5771339:5778104:-1 gene:Manes.05G070100.v8.1 transcript:Manes.05G070100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLFNLTPNLTVSRLCLGTMTFGEQNSLPQSFRLLDEAFHAGINFFDSAEMYPVPQRAETRGRSEEYLGRWIRERKIPRNRVVIATKVTGPSGQMVWIRGGPKCLDAKNITEAIDNSLQRMQMDYIDLYQIHWPDRYVPMFGETEYHPTQQFCSISIEEQLDALSRAVDSGKVRYIGLSNETPYGIMKFLHVAERIAWYPKIVSVQNSYSLLCRTFDSGLAECCHHEGISLLAYSPLAMGILSGKYFAPNGGPANGRLNLFKGRYSEGESRYNLSNNMIKAATMEYLDIAKKHGLHPVSLAIAFVLRHPLVASTIFGATSSWQLQETLNGCKIQLTPEIIEDINKVHARFPNPCP >Manes.05G070100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5771339:5778018:-1 gene:Manes.05G070100.v8.1 transcript:Manes.05G070100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGEQNSLPQSFRLLDEAFHAGINFFDSAEMYPVPQRAETRGRSEEYLGRWIRERKIPRNRVVIATKVTGPSGQMVWIRGGPKCLDAKNITEAIDNSLQRMQMDYIDLYQIHWPDRYVPMFGETEYHPTQQFCSISIEEQLDALSRAVDSGKVRYIGLSNETPYGIMKFLHVAERIAWYPKIVSVQNSYSLLCRTFDSGLAECCHHEGISLLAYSPLAMGILSGKYFAPNGGPANGRLNLFKGRYSEGESRYNLSNNMIKAATMEYLDIAKKHGLHPVSLAIAFVLRHPLVASTIFGATSSWQLQETLNGCKIQLTPEIIEDINKVHARFPNPCP >Manes.05G070100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5771339:5778104:-1 gene:Manes.05G070100.v8.1 transcript:Manes.05G070100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILLRQLTIACKECKWTTLISIRFTGLIGFYVPMFGETEYHPTQQFCSISIEEQLDALSRAVDSGKVRYIGLSNETPYGIMKFLHVAERIAWYPKIVSVQNSYSLLCRTFDSGLAECCHHEGISLLAYSPLAMGILSGKYFAPNGGPANGRLNLFKGRYSEGESRYNLSNNMIKAATMEYLDIAKKHGLHPVSLAIAFVLRHPLVASTIFGATSSWQLQETLNGCKIQLTPEIIEDINKVHARFPNPCP >Manes.05G070100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5771339:5778018:-1 gene:Manes.05G070100.v8.1 transcript:Manes.05G070100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLFNLTPNLTVSRLCLGTMTFGEQNSLPQSFRLLDEAFHAGINFFDSAEMYPVPQRAETRGRSEEYLGRWIRERKIPRNRVVIATKVTGPSGQMVWIRGGPKCLDAKNITEAIDNSLQRMQMDYIDLYQIHWPDRYVPMFGETEYHPTQQFCSISIEEQLDALSRAVDSGKVRYIGLSNETPYGIMKFLHVAERIAWYPKIVSVQNSYSLLCRTFDSGLAECCHHEGISLLAYSPLAMGILSGKYFAPNGGPANGRLNLFKGRYSEGESRYNLSNNMIKAATMEYLDIAKKHGLHPVSLAIAFVLRHPLVASTIFGATSSWQLQETLNGCKIQLTPEIIEDINKVHARLLQSWNWVSAKGSLGD >Manes.03G110816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23335048:23337226:1 gene:Manes.03G110816.v8.1 transcript:Manes.03G110816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPSGTDGSDFSYRMVVDSRYTKVAKGKSRLCALILTQVVIQLIGLLYIVGLLVQIFTIGTIIALIGNISPPKKAY >Manes.16G121700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32416891:32420028:1 gene:Manes.16G121700.v8.1 transcript:Manes.16G121700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSGVQSQRSISSISTLNQPFDPCSNAGSTGNPSEFVNHGLILWKQTRQQWLANKRSPNRNQAREPAISWNATYESLLGINKPFHRPIPLREMVDFLVDVWEQEGFYD >Manes.16G121700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32416892:32420028:1 gene:Manes.16G121700.v8.1 transcript:Manes.16G121700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKKKFKALFSSRGCFGCCAKPSTSLSVDNGLKIEDRTSKQDRISDDFWSTSAGEMDCSGVQSQRSISSISTLNQPFDPCSNAGSTGNPSEFVNHGLILWKQTRQQWLANKRSPNRNQAREPAISWNATYESLLGINKPFHRPIPLREMVDFLVDVWEQEGFYD >Manes.16G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32416079:32420028:1 gene:Manes.16G121700.v8.1 transcript:Manes.16G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCRLLPPWISHIIACMGGCFGCCAKPSTSLSVDNGLKIEDRTSKQDRISDDFWSTSAGEMDCSGVQSQRSISSISTLNQPFDPCSNAGSTGNPSEFVNHGLILWKQTRQQWLANKRSPNRNQAREPAISWNATYESLLGINKPFHRPIPLREMVDFLVDVWEQEGFYD >Manes.16G121700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32416079:32420028:1 gene:Manes.16G121700.v8.1 transcript:Manes.16G121700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSRGCFGCCAKPSTSLSVDNGLKIEDRTSKQDRISDDFWSTSAGEMDCSGVQSQRSISSISTLNQPFDPCSNAGSTGNPSEFVNHGLILWKQTRQQWLANKRSPNRNQAREPAISWNATYESLLGINKPFHRPIPLREMVDFLVDVWEQEGFYD >Manes.10G147300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31638664:31639020:1 gene:Manes.10G147300.v8.1 transcript:Manes.10G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVAALVKLKLGLSFSSSQTVVSALLTSLICLFVIKLVCSLGLVPRAFSDVIYSFRLFIFQGRIAFDESNQDQAFGSRWRRAVPLICERLTRARSSIALTQSDHEDSFHAVSMISL >Manes.10G149000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31477771:31481453:1 gene:Manes.10G149000.v8.1 transcript:Manes.10G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQIQNINFAKTFRLLPPKPSIASRGKNSFPTFHKQLKCFPRNKTSFSVVASVSTAIDKPLSSETSYSEAVSVKATITVKITPKWFISSLGTLTQSADKILEIICKNLHLELVSSELDPKTGLEKDTIKSYDQTALGISKLVVVTLEANFNVPEGFGEIGAVLIENDYDKEIFVDSIVLEGFPTGAAVNISCNSWVHSQNDDPKKRIFFTTTSYLPVDTPDGLKRLREEELENLRGNGEGERQPFERIYDYDVYNDLGDPDTSDDLARPVLGGKEHPYPRRCRTGRPPTKKDPLSESRSDDIYVPRDEAFSWLKQASFSLNKLKALFNTLIPTLEGLLGKEEFSSFTTIDQLFKEGIELPNAGKQSYDTDTLLPEMVKAYKKIGRLLKFDSPQLFDSDRFSWLKDEEFSRQTLAGLNPICIRLVREQEWPLKSELDPKIYGPPESLITKEVVEKEIGCSMTVEEALKKKRLFMLDYNDLLLPYVAKVRELEDTTLYGSRTLFFLTDEGTLKPVAIELTRPQIGDKPQWKQVFTPVYDDSTAGWLWRLAKTHVVAHDSGIHQLISHWLRTHCCVEPYVLASNRQLSEMHPIYRLLRPHFRFTMEINAFARGQLINGGGIIESTFSPGKYSMEISSVIYDKFWRFDEEALPADLIKRGMAVEDQSAEHGLKLTIKDYPFANDSLILWDAIKQWVTDYVNYYYPEANQIASDNELQAWWEEVRTKGHGDKKDELWWPVLNTQQDLIQVLSTIIWVASGHHAAVNFGQYAYAGYFPNRPTIARTNMPTEEPWKTEFKDFLKNPVRALLNCFPSKVQATKVMSVLDILSSHSPDEEYIGYTSDLSWEADSTIKAAYERFRNTLKELEATIDVRNKDLNNTNRFGAGVVPYELLKPLSGPGVTGKGVPNSISI >Manes.04G014500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1885211:1888528:-1 gene:Manes.04G014500.v8.1 transcript:Manes.04G014500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLFTSESVNEGHPDKLCDQVSDAILDACLEKDPESKVACETCTKTNMVMVFGEISTTAKVDYEKIVRDTCRGIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGARLTEVRKNKICPWLRPDGKTQVTVEYRNEGGAMVPLRVHTVLISTQHDETVTNEKISEDLMEHVIKPVIPPQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYRTGKIPDKDILALIKEKFDFRPGMIAVNLDLKRGGNFRYQKTAAYGHFGRDDPDFTWETVKPLKPVKA >Manes.04G014500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1885211:1888528:-1 gene:Manes.04G014500.v8.1 transcript:Manes.04G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIAISSGMDTLLFTSESVNEGHPDKLCDQVSDAILDACLEKDPESKVACETCTKTNMVMVFGEISTTAKVDYEKIVRDTCRGIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGARLTEVRKNKICPWLRPDGKTQVTVEYRNEGGAMVPLRVHTVLISTQHDETVTNEKISEDLMEHVIKPVIPPQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIVDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYRTGKIPDKDILALIKEKFDFRPGMIAVNLDLKRGGNFRYQKTAAYGHFGRDDPDFTWETVKPLKPVKA >Manes.14G148200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16046261:16046488:-1 gene:Manes.14G148200.v8.1 transcript:Manes.14G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRNLRRSKSLMAARKKVKLASFSMRRNLYTLRRMIPGCVEVDEETLFQKSVEHIVMLKMQLGILKSLLKIYES >Manes.07G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1591372:1593298:-1 gene:Manes.07G012700.v8.1 transcript:Manes.07G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINLSLKIDTEEEEVEENGEEEKMDLQETNDNEATATGEEVADDSSVELSLQDNSNTEELSALQMQMNRMKEENKVLRRVVEQTMKDYYDLQMKFAVIQQNTHNNDKKALQEPKGSVPEFLDANPGGKDLGLSLRLQTDHHQEREEGYKEEINKKENGNDASLPNHNKLQRTDHHLAGISSHAAAASLHNRKARVSVRARCQTATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVASGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLMNSSNYSLANGVHNFTQSSSLPYSRATSHHIFYPYSSPFRSINPNDPSKGIVLDLTHGTSSSSSASASHPFGHPLSSWMQNKPSSHQTNRRGEKKDKSVAENVTTSIASDPKFRVAVAAAITSLINKEKGTPLSMGLPIRNSSP >Manes.05G178601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29525090:29528152:-1 gene:Manes.05G178601.v8.1 transcript:Manes.05G178601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELWLPFISIIFLIFFLSKLLSPKQTRRKNLPPSPRALPIIGHLHLLKEPIHKTLQSLSNQYGPIMFLKFGFRNVVIISSSALLDDCFNKNDIVLANRPRLMSGKIMNYNYTTINFSSYNNHWRNFRRIATCEIFSTSKLMMFMGVRENEVRIFLKGLFQNSSTSFKKVELRSRLSELTFNVIMWTISGKRLEESKHIRDAICEVFEVSGSSNLGDFLPVLQWIDFQGIKKKMVRLHKEIDDFAQGLIDEHRNHKNSCTQGQGRSDTMVNNLLSLQESEPENYSDEIIKSLLLSIIVAATDTAAITMEWTMSLLLNHPEMLKKAEAEIAKVVGQDRLVDESDIPNLPYLQCIINESMRLKTAGPIIAPHETIRRLHHLGL >Manes.05G047300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3936158:3937240:1 gene:Manes.05G047300.v8.1 transcript:Manes.05G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLPSSLLLLFVLCISGTAESAFVRRSHARAYIEASCRSTRYPALCIKYLSGFANSTIQNPQQLAQAALLASLYRARYTRSYMLKVTTELKATKAKEYQAVKDCLDQIDDSVQQLSQSIRELRRFGGHEESENSEDVFWHISNVESWTSAALTDASNCAEQFRGRKMNKLKATIKGKVLNVAQATSNALALFHRYASRYRTTATANNKA >Manes.03G074200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:12693176:12696523:1 gene:Manes.03G074200.v8.1 transcript:Manes.03G074200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIFRLRHNIPNGFSAKPSFRPLVPLNFMKLNGALSRGFAQPARVDGEEEEVEIDQRRLPADYDPATFDPTEHRSPPTERVFKLVDEIAGLTLVEVAELSSIILRKKGMTELPVVGVMKAGAAGLAGMTMKAPTAAAKEEKKPEKTVFELKLESYEAASKIKIIKEVRSFTDLGLKEAKDLVEKTPSILKAGVSKEEGEKIIEKMKALGAKVVME >Manes.03G074200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:12693158:12696523:1 gene:Manes.03G074200.v8.1 transcript:Manes.03G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIFRLRHNIPNGFSAKPSFRPLVPLNFMKLNGALSRGFAQPARVDGEEEEVEIDQRRLPADYDPATFDPTEHRSPPTERVFKLVDEIAGLTLVEVAELSSIILRKKGMTELPVVGVMKAGAAGLAGMTMKAPTAAAKEEKKPEKTVFELKLESYEAASKIKIIKEVRSFTDLGLKEAKDLVEKTPSILKAGVSKEEGEKIIEKMKALGAKVVME >Manes.03G074200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:12693158:12696523:1 gene:Manes.03G074200.v8.1 transcript:Manes.03G074200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIFRLRHNIPNGFSAKPSFRPLVPLNFMKLNGALSRGFAQPARVDGEEEEVEIDQRRLPADYDPATFDPTEHRSPPTERVFKLVDEIAGLTLVEVAELSSIILRKKGMTELPVVGVMKAGAAGLAGMTMKAPTAAAKEEKKPEKTVFELKLESYEAASKIKIIKEVRSFTDLGLKEAKDLVEKTPSILKAGVSKEEGEKIIEKMKALGAKVVME >Manes.18G072200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6470973:6473190:1 gene:Manes.18G072200.v8.1 transcript:Manes.18G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSTLSHWEYFLLLILRPVLAISFVLSFISLGWFLAWKLVLVHVPLVQEIFGLRKKIHKPKPITRRFSRYYNSINARNSASGETKAE >Manes.18G072200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6470983:6471341:1 gene:Manes.18G072200.v8.1 transcript:Manes.18G072200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPSTLSHWEYFLLLILRPVLAISFVLSFISLGWFLAWKLVLVHVPLVQEIFGLRKKIHKPKPITRRFSRYYNSINARNSASG >Manes.08G042600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4174946:4178434:1 gene:Manes.08G042600.v8.1 transcript:Manes.08G042600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLLPLSLILRLPSRLSSRRHQLQPQYSIGHHVHFKSSVSRSYPSNSHCCLCAHQPAIGAMVPSDEGPVSVINFEDFVEKDWSFLDFDELNPKEEHKQKIAQIISAGGIEETSRVLVSVGSEEFVDQLVDTSTCSLLLVVHDSLFLLACIKEKYDKVKCWQGELIHVPEKWAPLDVVFLYFLPALPFTLDQVFGTLAKCCSPGARVVISHPQGREVLEQQRKQHQDVLVSELPDKMTLQKVASDNCFEMVEFVDDSGLYLAVLRFSGAKN >Manes.08G042600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4174946:4178434:1 gene:Manes.08G042600.v8.1 transcript:Manes.08G042600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLLPLSLILRLPSRLSSRRHQLQPQYSIGHHVHFKSSVSRSYPSNSHCCLCAHQPAIGAMVPSDEGPVSVINFEDFVEKDWSFLDFDELNPKEEHKQKIAQIISAGGIEETSRVLVSVGSEEFVDQLVDTSTCSLLLVVHDSLFLLACIKEKYDKVKCWQGELIHVPEKWAPLDVVFLYFLPALPFTLDQVFGTLAKCCSPGARVVISHPQGREVLEQQRKQHQDVLVSELPDKMTLQKVASDNCFEMVEFVDDSGLYLAVLRFSGAKN >Manes.15G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11397768:11402683:1 gene:Manes.15G140400.v8.1 transcript:Manes.15G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEERTSLLEDGPLEQDKSNDVYTGDGSVDLHGNPVRKEKTGNWKACPFILGTECCERLAYYGIATNLVTYLTNKLHEGNVSAARNVTTWAGTCYITPLIGAVIADAYWGRYWTIAAFSTIYLIGMCTLTLSASVPALKPAECVGSLCPSASPAQYAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSLLVYIQDNAGWGLGFGIPALFMGIALASFFSGTPLYRFQRPGGSPITRMCQVLVASFHKRNLEVPRDSSLLYETQASVIEGSRKLEHSNELTCLDKAAVISDAEAKSNVFSNQWRLCTVTQVEELKILVRMFPIWATGIVFSAVYAQMSTMFVEQGMLMDTTIGSFTIPPASLSTFDVISVICWVPIYDGIIVPIARKFTGKGRGFSELQRMGIGLFISVLSMCAAALVEIRRLQLVKELGLVDEDAAVPLSIFWQIPQYMLVGAAEVFTFIGQLEFFYEQSPDAMRSLCSALSLLTTSLGNYLSSFILTMVTYFTTAGGKPGWIPDNLNKGHLDYYFWLLAGLSVLNMFVYVVCAKKYKQKKAS >Manes.06G166200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29230474:29233827:-1 gene:Manes.06G166200.v8.1 transcript:Manes.06G166200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVGGLENDHQTIGLMEVKVSIPKEYSVSFSKGKAVSCFTATNDGSVSEDDEPSFTEDSNGENYIGAKGKKGSPWQRMKWTDNVVRLLIAVVACAGDDGAFEGVEGLKRKSGILQKEGKWKTVSKIMISKRCHVSPQQCEDKFNDLNKRYKRLNDILGRETSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGSSLPIERCSRDNNGSEEEEAEGHDDSDDDESDSEGDLKAKKDEEKMGKFKSSKVNEEDDHLWSQSGICNGFEVEMSGIFQDPTVSLWEKKKWIKKQKFQLLEQRRVCILAQAFELEKQHIKWLRYCSKKDREFERLRLENERMRLENEQSILQLRQKQLEMDFRSSETSRHPTSLGIDRMQGRDQIDLGRH >Manes.06G166200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29230674:29233827:-1 gene:Manes.06G166200.v8.1 transcript:Manes.06G166200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVGGLENDHQTIGLMEVKVSIPKEYSVSFSKGKAVSCFTATNDGSVSEDDEPSFTEDSNGENYIGAKGKKGSPWQRMKWTDNVVRLLIAVVACAGDDGAFEGVEGLKRKSGILQKEGKWKTVSKIMISKRCHVSPQQCEDKFNDLNKRYKRLNDILGRETSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGSSLPIERCSRDNNGSEEEEAEGHDDSDDDESDSEGDLKAKKDEEKMGKFKSSKVNEEDDHLWSQSGICNGFEVEMSGIFQDPTVSLWEKKKWIKKQKFQLLEQRRVCILAQAFELEKQHIKWLRYCSKKDREFERLRLENERMRLENEQSILQLRQKQLEMDFRSSETSRHPTSLGIDRMQGRDQIDLGRH >Manes.06G166200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29230615:29233827:-1 gene:Manes.06G166200.v8.1 transcript:Manes.06G166200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGLGGRFLSGPTGGLLDLETPIHRQQLIQLALPSLIKQNHMNLVGGLENDHQTIGLMEVKVSIPKEYSVSFSKGKAVSCFTATNDGSVSEDDEPSFTEDSNGENYIGAKGKKGSPWQRMKWTDNVVRLLIAVVACAGDDGAFEGVEGLKRKSGILQKEGKWKTVSKIMISKRCHVSPQQCEDKFNDLNKRYKRLNDILGRETSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGSSLPIERCSRDNNGSEEEEAEGHDDSDDDESDSEGDLKAKKDEEKMGKFKSSKVNEEDDHLWSQSGICNGFEVEMSGIFQDPTVSLWEKKKWIKKQKFQLLEQRRVCILAQAFELEKQHIKWLRYCSKKDREFERLRLENERMRLENEQSILQLRQKQLEMDFRSSETSRHPTSLGIDRMQGRDQIDLGRH >Manes.06G166200.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29230615:29233390:-1 gene:Manes.06G166200.v8.1 transcript:Manes.06G166200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGLGGRFLSGPTGGLLDLETPIHRQQLIQLALPSLIKQNHMNLVGGLENDHQTIGLMEVKVSIPKEYSVSFSKGKAVSCFTATNDGSVSEDDEPSFTEDSNGENYIGAKGKKGSPWQRMKWTDNVVRLLIAVVACAGDDGAFEGVEGLKRKSGILQKEGKWKTVSKIMISKRCHVSPQQCEDKFNDLNKRYKRLNDILGRETSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGSSLPIERCSRDNNGSEEEEAEGHDDSDDDESDSEGDLKAKKDEEKMGKFKSSKVNEEDDHLWSQSGICNGFEVEMSGIFQDPTVSLWEKKKWIKKQKFQLLEQRRVCILAQAFELEKQHIKWLRYCSKKDREFERLRLENERMRLENEQSILQLRQKQLEMDFRSSETSRHPTSLGIDRMQGRDQIDLGRH >Manes.06G166200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29230615:29233390:-1 gene:Manes.06G166200.v8.1 transcript:Manes.06G166200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGLGGRFLSGPTGGLLDLETPIHRQQLIQLALPSLIKQNHMNLVGGLENDHQTIGLMEVKVSIPKEYSVSFSKGKAVSCFTATNDGSVSEDDEPSFTEDSNGENYIGAKGKKGSPWQRMKWTDNVVRLLIAVVACAGDDGAFEGVEGLKRKSGILQKEGKWKTVSKIMISKRCHVSPQQCEDKFNDLNKRYKRLNDILGRETSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGSSLPIERCSRDNNGSEEEEAEGHDDSDDDESDSEGDLKAKKDEEKMGKFKSSKVNEEDDHLWSQSGICNGFEVEMSGIFQDPTVSLWEKKKWIKKQKFQLLEQRRVCILAQAFELEKQHIKWLRYCSKKDREFERLRLENERMRLENEQSILQLRQKQLEMDFRSSETSRHPTSLGIDRMQGRDQIDLGRH >Manes.06G166200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29230674:29233390:-1 gene:Manes.06G166200.v8.1 transcript:Manes.06G166200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGLGGRFLSGPTGGLLDLETPIHRQQLIQLALPSLIKQNHMNLVGGLENDHQTIGLMEVKVSIPKEYSVSFSKGKAVSCFTATNDGSVSEDDEPSFTEDSNGENYIGAKGKKGSPWQRMKWTDNVVRLLIAVVACAGDDGAFEGVEGLKRKSGILQKEGKWKTVSKIMISKRCHVSPQQCEDKFNDLNKRYKRLNDILGRETSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGSSLPIERCSRDNNGSEEEEAEGHDDSDDDESDSEGDLKAKKDEEKMGKFKSSKVNEEDDHLWSQSGICNGFEVEMSGIFQDPTVSLWEKKKWIKKQKFQLLEQRRVCILAQAFELEKQHIKWLRYCSKKDREFERLRLENERMRLENEQSILQLRQKQLEMDFRSSETSRHPTSLGIDRMQGRDQIDLGRH >Manes.06G166200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29230615:29233409:-1 gene:Manes.06G166200.v8.1 transcript:Manes.06G166200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGLGGRFLSGPTGGLLDLETPIHRQQLIQLALPSLIKQNHMNLVGGLENDHQTIGLMEVKVSIPKEYSVSFSKGKAVSCFTATNDGSVSEDDEPSFTEDSNGENYIGAKGKKGSPWQRMKWTDNVVRLLIAVVACAGDDGAFEGVEGLKRKSGILQKEGKWKTVSKIMISKRCHVSPQQCEDKFNDLNKRYKRLNDILGRETSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGSSLPIERCSRDNNGSEEEEAEGHDDSDDDESDSEGDLKAKKDEEKMGKFKSSKVNEEDDHLWSQSGICNGFEVEMSGIFQDPTVSLWEKKKWIKKQKFQLLEQRRVCILAQAFELEKQHIKWLRYCSKKDREFERLRLENERMRLENEQSILQLRQKQLEMDFRSSETSRHPTSLGIDRMQGRDQIDLGRH >Manes.08G108500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34803171:34808316:1 gene:Manes.08G108500.v8.1 transcript:Manes.08G108500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVAPTVVREAGGNNAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGESVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYAYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKGVAAETLAKLIPEHARKQCAFLAL >Manes.08G108500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34803171:34808316:1 gene:Manes.08G108500.v8.1 transcript:Manes.08G108500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGESVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYAYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKGVAAETLAKLIPEHARKQCAFLAL >Manes.08G108500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34803171:34808316:1 gene:Manes.08G108500.v8.1 transcript:Manes.08G108500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVAPTVVREAGGNNAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGESVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYAYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDPNTRLPNGRFLPPLFNFKSHELKGVAAETLAKLIPEHARKQCAFLAL >Manes.01G232100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39604100:39604444:-1 gene:Manes.01G232100.v8.1 transcript:Manes.01G232100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKVDRDGDYNGENDEHEDQKVEEFFALIRSFKEARNRRKDESFEDNERKKKLRRLNEAHPSWVPSFELEDFKFNDEIQFRRLPLICPRPRNQKEDKKQQDEDDGLDLNLSL >Manes.16G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7654302:7660972:1 gene:Manes.16G045000.v8.1 transcript:Manes.16G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLHPYHQQWPQAAAPPPPPAAAAAPPPPPPAVHHPPPVLVDNSNRVPTHDEVRTIFMTGLPEDVKERELHNLLRWLPGYEASQVNYKGEKPMGFALFSSAQFAIAAKDALQDMVFDADTKSVLHTEMAKKNLFVKRGIVTDSSAYDQSKRLRTGGDYSHAAYTTPSPFHPPPAPVWAPHGYMAPAPPPYDPYGGYPVPQVPMPTPVPMPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSIQPGFKQMKILRQERHTVCFIEFEDVNSATNVHRTLQGAVIPSSGSVGMRIQYSKNPFGKRKDGSHPTASPSANGAPPAITYQ >Manes.16G045000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7654302:7660972:1 gene:Manes.16G045000.v8.1 transcript:Manes.16G045000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGLHPYHQQWPQAAAPPPPPAAAAAPPPPPPAVHHPPPVLVDNSNRVPTHDEVRTIFMTGLPEDVKERELHNLLRWLPGYEASQVNYKGEKPMGFALFSSAQFAIAAKDALQDMVFDADTKSVLHTEMAKKNLFVKRGIVTDSSAYDQSKRLRTGGDYSHAAYTTPSPFHPPPAPVWAPHGYMAPAPPPYDPYGGYPVPQVPMPTPVPMPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEEELRGLFSIQPGFKQMKILRQERHTVCFIEFEDVNSATNVHRTLQEPIW >Manes.01G192700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36748764:36749952:-1 gene:Manes.01G192700.v8.1 transcript:Manes.01G192700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNELKLLGAWASPYVMRPRIALNIKSADYEFLQETFGSKSQLLLESNPVHKKIPVLIHNGKPICESLIIVEYIDEVWSSGPSILPSDPYDRATARFWGAYVDEKWFPNLKMLSTAEGEARDEVIGSLVEGLVLLEDAFKKMSKGKAFFGGDQIGYLDIAFGCYLGWLRAAEKMSGVKLVDEAKTPGLVKWAETFSSHPAVKDVMPDVDRLVEFGKSLAAKFKAAKTGS >Manes.16G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:12993864:12998286:1 gene:Manes.16G052100.v8.1 transcript:Manes.16G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRENRYSTSSRGGGNGGGAAGGDGGAELMMSNNTVKPAWLEGLMAETFFGGCGVHENRRKNEKNIFCLLCCLSICPHCLPSHRSHPLLQVRRYVYHDVVRLEDLEKLIECSYIQPYTINSAKVIFLNQRPQSRSCKGSANVCFTCDRILQEPFHFCSLSCKVDHLVEQGEDLSAILYRIDESEFAFSQLEGLRMDSSEVIDDDSHITPNFILEDQDQFKGSSCSNDTMGSSGISKESEVVKRKKKGSGFLPGFVLSLSSRRKGAPHRAPLS >Manes.04G013700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1813064:1823306:-1 gene:Manes.04G013700.v8.1 transcript:Manes.04G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVNMDDPLDFESEDQLLSSPVINQKSRKKVIGLDELLTDYFKEKSKVIERECKRAKAKKNYNSDEDDGGKEALLSRKINECRNQMREMSNDEEISTWGVQVFGDQKPPPPLVFPELGSCALLQSFMSNKLQTLIEPSIENGDNFLEGLLVNGWLSKLVSTCCHVEKLIAKWTFNLMLYSSKEELRTSACDFWWIILSPENKAEKLPIRIEWFPSYSDLQRALDTYGFLFTSSSHPKLSNMDSGGRGPPKNIRDWIKFTTACCLVRSKQPIFSASEAEELVKFLICLSLDRQLQGLQVLLNKCMQSAISYFTDKEWSTSCEKIARTLSCRIPMDLNCLRSVECISGVVTRSNNLRSAVSYQILLACFDHKVTDEVDILNMLISINVKDKNCDFFKMYIYLVLTENWLLSNPSLELEPVIYEMWGVFLRNCSCQINGTDLRSYASKVRTKASYLLHGTTKK >Manes.12G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21915174:21919211:1 gene:Manes.12G097200.v8.1 transcript:Manes.12G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPTKVGAPARTSLQLRSSQSVSKAFGLEPTSARITCSLQSDLKDLAQKCVDVTKIAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYLEVKGTGTANQCPTIEGGVDKFAFKPGKYTAKKFCLEPTSFTVKAEGVSKNSPPEFQNTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVATGKPENFGGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENNKNVSSSTGKITLSVTGSKPETGEIIGVFESIQPSDTDLGAKTPKDVKIQGIWYAHLES >Manes.08G121500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36188275:36189004:1 gene:Manes.08G121500.v8.1 transcript:Manes.08G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKGKLVWCLLLILMSISAFENGAVVEASSRSLKEKPTQIRGQGANASNGGNGFVATVNRAVPSCPDPLHNK >Manes.10G011300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1210445:1213543:1 gene:Manes.10G011300.v8.1 transcript:Manes.10G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWLLSECGLRPLPRIYPHPTTGFASKSSNLFNLRPVPVSKTRNLCPSFKLSYWSKQGNWQLNVAAPVSFTTVNEEEDKESEEANEEGEFFDPGAPPPFKLADIRAAIPKHCWVKNPWRSMSYVVRDVVVVFGLAAIAAYFNNWIVWPLYWFCQGTMFWALFVLGHDCGHGSFSNNPRLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIFRSLDNVTKTLRFTLPFPMLAYPFYLWSRSPGKKGSHFHPESDLFVPNEKKDVITSTACWTAMAALLLCLNFVMGPLQMLKLYGIPYWIFVMWLDFVTYLHHHGHGDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPRKSGPLPFHLLGSLIRSMNQDHYVSDTGDVVYYQKDPNLYGGEKTE >Manes.06G166500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29248430:29253702:-1 gene:Manes.06G166500.v8.1 transcript:Manes.06G166500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVLVALALSLVGGLSTSIGAFFVILNQAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFGGVVFFAIVARFIPEPTVIPGSDSKSKKTNGDDGGKDIMKKHRRQVFFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGLNLALAIALHNIPEGVAVALPIYFATQSKWQAFKLATLSGFAEPLGVILVAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFLGMAFMSASLYFLEISLPEEMSL >Manes.03G165900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29196544:29199420:-1 gene:Manes.03G165900.v8.1 transcript:Manes.03G165900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTGDSFTGISTTYDRYQELKSFDESKSGVKGLVDAGITSVPRIFIRPPEELAAGYYMSNEHVNAHFVVPVVDLANMESRRSEAVDGILRAAEEVGFFQVVNHGVRNRVLEGMLAAARGFHELPREVKEKYYTRELMKKVKYGSNFDLYESKYANWRDTLFCVMGPESLDPQELPLVCRDPIMEYSKQVHKLGTVLFELLSEALGLDPDYLKEMDCAKGHALLSHYYPACPEPQLTMGTTKHSDPDFLTILLQDHIGGLQIFHQNCWIDVPPVDGALVVNIGDLSQLISNDKFKSVEHRVLANRTGPRVSIACFFTPHLYPSTRTYGPIKELLSEENPPIYGETTVKDFIAYYDSKGLDGNSALTHFKLQK >Manes.03G176200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29934871:29935647:1 gene:Manes.03G176200.v8.1 transcript:Manes.03G176200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTEPSSSEPSYDEKEAAEVLLCFKQAEDLLYLKHKKLDPLIAAALEETKSNKIRQGTKSKKIRQGLAGSNHADTFFDTYEPPEIPPVVGLDGLIGKCSKPFEKQLTSSDVRPDQSRLTMNKADVVRCLLPLLNREEENPCQGIKVKTYDMQGNEYDMAFKLWASKVYVLTTGWKNFFIKHGLIENEDFVTIWMFRNLQTNKLCFVISSRRLPIYEPIKRRRG >Manes.17G017400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9429383:9435150:-1 gene:Manes.17G017400.v8.1 transcript:Manes.17G017400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVLSNMGMGANVVSSENLFSTGMGNPNFEFIPNMPFHSFPSIIPKEESGLMMREKEEMESGSGSGQVEEKSGNEQDISTEQPPKKKRYHRHTARQIQEMETLFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENIILRTDNESLKNDNYRLQAELRNLICPSCGGPAMIGGISFDELRMENARLRDELERVCCIASRYTGRPFQSVQPASTLVPPSLDLDMNMYSRQFSDSLGSCTEMMPMVMFPGTSSFAEEDLVLMEEEKTLAMELAMSSIDELVKMCQATEPLWIRNSENGKEVLNFEEHAKMFPWPLNLKQHSNDLRNEATRDTAVVIMNSITLVDAFLDANKWMELFPSIIARAKTVQIVISGVSGASGSLHLMYAELQVLSPLVPTRETYFLRYCQQNVEEGTWAIVDFPIDGFHDNIEPCFPLYRRRPSGCVIQDMPNGYSRVTWVEHAEIEEKPVHQIFSQFVCSGMAFGAQRWLGVLQRQCERVASLMARNISDLGVIPSPEARKNLMRLAQRMIRTFSMNVSTCGGQSWTALSDSSDDAVRITTRKITEPGQPNGVILSAVSTTWLPFAHYQVFELLRDERRRSQLDVLSNGNSLHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQESCTDQSGSLIVYTTVNVDSIQLAMSGEDPSCIPILPLGFVIIPVESTCNTNTPSEGNSAHSSQHGNCTTNPGCLLTVGLQILASTIPSAKLNLSSVNAINNHLRNTVHQITSALSTSAASSCPEPNAAPEDKA >Manes.17G017400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9429383:9435150:-1 gene:Manes.17G017400.v8.1 transcript:Manes.17G017400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVLSNMGMGANVVSSENLFSTGMGNPNFEFIPNMPFHSFPSIIPKEESGLMMREKEEMESGSGSGQVEEKSGNEQDISTEQPPKKKRYHRHTARQIQEMETLFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENIILRTDNESLKNDNYRLQAELRNLICPSCGGPAMIGGISFDELRMENARLRDELERVCCIASRYTGRPFQSVQPASTLVPPSLDLDMNMYSRQFSDSLGSCTEMMPMVMFPGTSSFAEEDLVLMEEEKTLAMELAMSSIDELVKMCQATEPLWIRNSENGKEVLNFEEHAKMFPWPLNLKQHSNDLRNEATRDTAVVIMNSITLVDAFLDANKWMELFPSIIARAKTVQIVISGVSGASGSLHLMYAELQVLSPLVPTRETYFLRYCQQNVEEGTWAIVDFPIDGFHDNIEPCFPLYRRRPSGCVIQDMPNGYSRVTWVEHAEIEEKPVHQIFSQFVCSGMAFGAQRWLGVLQRQCERVASLMARNISDLGVIPSPEARKNLMRLAQRMIRTFSMNVSTCGGQSWTALSDSSDDAVRITTRKITEPGQPNGVILSAVSTTWLPFAHYQVFELLRDERRRSQVQLDVLSNGNSLHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQESCTDQSGSLIVYTTVNVDSIQLAMSGEDPSCIPILPLGFVIIPVESTCNTNTPSEGNSAHSSQHGNCTTNPGCLLTVGLQILASTIPSAKLNLSSVNAINNHLRNTVHQITSALSTSAASSCPEPNAAPEDKA >Manes.17G017400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9429383:9435149:-1 gene:Manes.17G017400.v8.1 transcript:Manes.17G017400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVLSNMGMGANVVSSENLFSTGMGNPNFEFIPNMPFHSFPSIIPKEESGLMMREKEEMESGSGSGQVEEKSGNEQDISTEQPPKKKRYHRHTARQIQEMETLFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENIILRTDNESLKNDNYRLQAELRNLICPSCGGPAMIGGISFDELRMENARLRDELERVCCIASRYTGRPFQSVQPASTLVPPSLDLDMNMYSRQFSDSLGSCTEMMPMVMFPGTSSFAEEDLVLMEEEKTLAMELAMSSIDELVKMCQATEPLWIRNSENGKEVLNFEEHAKMFPWPLNLKQHSNDLRNEATRDTAVVIMNSITLVDAFLDANKWMELFPSIIARAKTVQIVISGVSGASGSLHLMYAELQVLSPLVPTRETYFLRYCQQNVEEGTWAIVDFPIDGFHDNIEPCFPLYRRRPSGCVIQDMPNGYSRVTWVEHAEIEEKPVHQIFSQFVCSGMAFGAQRWLGVLQRQCERVASLMARNISDLGVIPSPEARKNLMRLAQRMIRTFSMNVSTCGGQSWTALSDSSDDAVRITTRKITEPGQPNGVILSAVSTTWLPFAHYQVFELLRDERRRSQVQLDVLSNGNSLHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQESCTDQSGSLIVYTTVNVDSIQLAMSGEDPSCIPILPLGFVIIPVESTCNTNTPSEGNSAHSSQHGNCTTNPGCLLTVGLQILASTIPSAKLNLSSVNAINNHLRNTVHQITSALSTSAASSCPEPNAAPEDKA >Manes.17G017400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9429383:9435149:-1 gene:Manes.17G017400.v8.1 transcript:Manes.17G017400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDCQVLSNMGMGANVVSSENLFSTGMGNPNFEFIPNMPFHSFPSIIPKEESGLMMREKEEMESGSGSGQVEEKSGNEQDISTEQPPKKKRYHRHTARQIQEMETLFKECPHPDDKQRMKLSQELGLKPRQVKFWFQNRRTQMKAQQDRSENIILRTDNESLKNDNYRLQAELRNLICPSCGGPAMIGGISFDELRMENARLRDELERVCCIASRYTGRPFQSVQPASTLVPPSLDLDMNMYSRQFSDSLGSCTEMMPMVMFPGTSSFAEEDLVLMEEEKTLAMELAMSSIDELVKMCQATEPLWIRNSENGKEVLNFEEHAKMFPWPLNLKQHSNDLRNEATRDTAVVIMNSITLVDAFLDANKWMELFPSIIARAKTVQIVISGVSGASGSLHLMYAELQVLSPLVPTRETYFLRYCQQNVEEGTWAIVDFPIDGFHDNIEPCFPLYRRRPSGCVIQDMPNGYSRVTWVEHAEIEEKPVHQIFSQFVCSGMAFGAQRWLGVLQRQCERVASLMARNISDLGVIPSPEARKNLMRLAQRMIRTFSMNVSTCGGQSWTALSDSSDDAVRITTRKITEPGQPNGVILSAVSTTWLPFAHYQVFELLRDERRRSQLDVLSNGNSLHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQESCTDQSGSLIVYTTVNVDSIQLAMSGEDPSCIPILPLGFVIIPVESTCNTNTPSEGNSAHSSQHGNCTTNPGCLLTVGLQILASTIPSAKLNLSSVNAINNHLRNTVHQITSALSTSAASSCPEPNAAPEDKA >Manes.05G101300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9457767:9459432:-1 gene:Manes.05G101300.v8.1 transcript:Manes.05G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTQYSKSSESDTDIKTPLQTHLSRALSLSDGSLNPQPSPLQMVVSYKECLKNHAATLGGLALDGCGEFMPSPTATPSDPTSLKCAACGCHRNFHRRDSRTHQPLPPTAALQWTSSPSPGQTSSGLSPSPTPNSPESPTPQQSVYPSAPHMLLALSTGLSEPFDENQHQNPSLNSTVMNPHGRKRARTKFTDEQKEKMYAFAEKLGWKMLRGNEEKMVEEFCSEVGVKRNVFKVWMHNNKHRKEKNIANGNEDRVGFDIFNCNSNNINGSTYDSFTRHQIENKVQVHGDSPDGSSHSS >Manes.15G177600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20608352:20616157:1 gene:Manes.15G177600.v8.1 transcript:Manes.15G177600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEKMVALKKAYAEMILNTAKEAASQVMASEKKAFRYQQDLLTTKEEALRLLVRLKQMIDAKIIEAEIASSSQQTKIDELEAQLQEAESVIIDLRAELKWVRDKLEKARNNNAQPLDANITSDNEPPSCQNATPKPNVHSPNLGLQPVTTSDMNTLFDRRILDNECCNTQQTEQSSVSQYGSYSSHNTELAAVIIRKEIPEHCRNGCTRSICALEGNLLAGKLAPSGEVDEHEIIENELIINETNKEDGKSTVSSLKMNNLETEKFFSGEERRKRVRVHTIRRSRTRFWKPKAKRKSCTSQLLVSYQPPSILSRCKRHLANRNAKPDEHQCLVSIENNNMEMEKHSSKFEKKLHCQNSCSMVQKMIVPERKRQRKVPNGDAVSTSCVLCPDQHETCQPSSQGHCKACSLSLNDEIKSGEDGTRLIENEVKLKPLPRLDPGSKLIKCGLNPISDSRNVKLTVGSLNRSDTIQNTSNKDVKLADDLVKQECVAAANSTFPCYESNTLMVNIPLVYSDLQHLKSSKEAVANEPLVYSDLEGAKPPKEPKVSHSQVDNNRLLKYTFQRKLKKEALSSSDQNSSLEKSNAKRRAGEKQNGSPDSEKSSMINESSRDSRRLAQVAHQVCTQLYVTYQRRKWHKKKEPELQQQ >Manes.07G041300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4939241:4946360:1 gene:Manes.07G041300.v8.1 transcript:Manes.07G041300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQTQLEEEEDEDIAGGGEESIDNPNIHYENGTGNAGGVDSFPPDDICLTGGQDYPPAVGNGGDADQLTLSFQGEVYVFDAVSPDKVQAVLLLLGGYEIPSGVPTAGAVPLNPSGPSDLPGRSIQHQRAASLHRFREKRKERCFDKKIRYNVRKEVALRMQRKKGQFTSSKANSDGAGAASSGWNVTQGSGQDDSMLETSCTHCGTSSKSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKVSSMDLQGPPAKSTEKSEDEAKGIDAVAIATDIAAPV >Manes.07G041300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4939241:4946360:1 gene:Manes.07G041300.v8.1 transcript:Manes.07G041300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSNIQAPLHTAEQMKIQTQLEEEEDEDIAGGGEESIDNPNIHYENGTGNAGGVDSFPPDDICLTGGQDYPPAVGNGGDADQLTLSFQGEVYVFDAVSPDKQVQAVLLLLGGYEIPSGVPTAGAVPLNPSGPSDLPGRSIQHQRAASLHRFREKRKERCFDKKIRYNVRKEVALRMQRKKGQFTSSKANSDGAGAASSGWNVTQGSGQDDSMLETSCTHCGTSSKSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKVSSMDLQGPPAKSTEKSEDEAKGIDAVAIATDIAAPV >Manes.07G041300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4939241:4946360:1 gene:Manes.07G041300.v8.1 transcript:Manes.07G041300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSNIQAPLHTAEQMKIQTQLEEEEDEDIAGGGEESIDNPNIHYENGTGNAGGVDSFPPDDICLTGGQDYPPAVGNGGDADQLTLSFQGEVYVFDAVSPDKVQAVLLLLGGYEIPSGVPTAGAVPLNPSGPSDLPGRSIQHQRAASLHRFREKRKERCFDKKIRYNVRKEVALRMQRKKGQFTSSKANSDGAGAASSGWNVTQGSGQDDSMLETSCTHCGTSSKSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKVSSMDLQGPPAKSTEKSEDEAKGIDAVAIATDIAAPV >Manes.07G082254.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:25673391:25676112:-1 gene:Manes.07G082254.v8.1 transcript:Manes.07G082254.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLRNLSRESRHELEKSYNPINLDYIFEEDDPLNPWLEERENPALDGEENPWLEEDESAPSQSQQVNAPTHGHNIGGSCDAEPEDSFILSSSSDDDDGGSGQGGRGEGCGAISSLQSHDDPSSYQRHSPSPAPAPTLQHTYHRSRGSGGSSDKGKGVAHGECFMDAYNYGYGTYGASESSMEATSTSDYGYRGNFQ >Manes.06G160701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28710334:28748206:-1 gene:Manes.06G160701.v8.1 transcript:Manes.06G160701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEFHLLPIFALLFQLVLAAMAVKRSDISLPDKIALVTTKAERSDLSVPAEIYWHSKLPNTPIPQDLLNLIQQDTTDWHHKYGKRYEQPFAEDTTDWHHKYGKRYEQPFAEDTTDWHHKYGKRYEQPFAEDTPNTQMWYGKRYEQTFAEDTPNTQMWYGKRYEQPFAEDTPNTQMWYGKRYEQPFAEDTPNTQMWYGKCYEQTFAENTPNTQMWYGKRYEQPFAEDTPNTQMWYGKRYEQTFAEDTPNTQMWYGKRYEQPFAEDTPNTQMWYGKRYEQPFAEDTPNTQMWYGKRYEQTFAENTPNTQMWYGKRYEQPFAEDTPNTQMWYGKRYKQPFAEDTPNTQMWYGKRYEQPFAEDTTDRRQKYGRRYELKFNKHALSNSTVFFLPNDLHAGKKMRVHITKSANKARIFLDK >Manes.09G001301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:360014:361337:1 gene:Manes.09G001301.v8.1 transcript:Manes.09G001301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKTTVTDVIPVMTKITEHKLNGSNFLDWSKTIRIYLRSIEMDDHLTKDPLTDETRRDWMIHDACLFLQIRNSIHSEVISLINHCEFALYRAQKNDRTLISYFMDFKRVYEELNVLMPFNTDVKTQQTQREQMAVMSFLAGLPPKVLHTESPIPSHPTSALVSRNDSGRQNNRGGQRGGFNAGKRSQHSGETGPTSDSGGIICYYCREPEYTKKTCQKLQNKNQRTQMAHMAVDAPSDQGILISEDEYAQFTQYQASLKSSNSSSITAIAESGSFDEADYW >Manes.01G207700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37970811:37973165:1 gene:Manes.01G207700.v8.1 transcript:Manes.01G207700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLDSPSPVHLARKSTALMISSPLFSPASDKCFWSTLRSRIDTLLENRQSKVSISQDKLNLDTSSATRVNVGESDRAKRMKEDSLLLLRGFDSIAHTLSQLSNNLDNALQGTRCLAEPPTLREIFHGNINNSEIKQEGLENEQNESKLEEGNNSVDQGNKGSKRKFEQTDDSSADQGNSSHKENEQSPKDKQMKKAKNLAVSMAMKAASLARELKSIKSDLCFMQERCTLLEEENRKLRDGFSEGIRPEEDDLMRLQMEALLAEKSRLANENANLIRENQCLHQLVEYHQLTSLDLSASYEQLVQGMCLDFSSPSPSIKEEADNNEYPQTPETNTFDFSASLEECSRKEHQ >Manes.01G207700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37970811:37973165:1 gene:Manes.01G207700.v8.1 transcript:Manes.01G207700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDSLLLLRGFDSIAHTLSQLSNNLDNALQGTRCLAEPPTLREIFHGNINNSEIKQEGLENEQNESKLEEGNNSVDQGNKGSKRKFEQTDDSSADQGNSSHKENEQSPKDKQMKKAKNLAVSMAMKAASLARELKSIKSDLCFMQERCTLLEEENRKLRDGFSEGIRPEEDDLMRLQMEALLAEKSRLANENANLIRENQCLHQLVEYHQLTSLDLSASYEQLVQGMCLDFSSPSPSIKEEADNNEYPQTPETNTFDFSASLEECSRKEHQ >Manes.01G207700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37970811:37973165:1 gene:Manes.01G207700.v8.1 transcript:Manes.01G207700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLDSPSPVHLARSTALMISSPLFSPASDKCFWSTLRSRIDTLLENRQSKVSISQDKLNLDTSSATRVNVGESDRAKRMKEDSLLLLRGFDSIAHTLSQLSNNLDNALQGTRCLAEPPTLREIFHGNINNSEIKQEGLENEQNESKLEEGNNSVDQGNKGSKRKFEQTDDSSADQGNSSHKENEQSPKDKQMKKAKNLAVSMAMKAASLARELKSIKSDLCFMQERCTLLEEENRKLRDGFSEGIRPEEDDLMRLQMEALLAEKSRLANENANLIRENQCLHQLVEYHQLTSLDLSASYEQLVQGMCLDFSSPSPSIKEEADNNEYPQTPETNTFDFSASLEECSRKEHQ >Manes.01G247000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40702547:40708721:-1 gene:Manes.01G247000.v8.1 transcript:Manes.01G247000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQSTQELQPSTQVSQDSQSDQQNNHTTEAAVADSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHLMKFPVPTKVPLAPIQNGIHPMPVNNLPMGYPVMQQHPMPAPGQPHLDTMGCGISSCHVVNGVPAPGNFHPIRMNSGNNLVMESNTPDMAPVVPLSSAMSSMSDMPVSPTSVASSGHFPFTASEISGIGVDTSALDTAFTSDVASSVGLQLGPDAGAGTSRSLDQIQWNFSLSDLTADLSNLGDLGALGNYPGSPFLHSDSEILLDSPEHDDIVEDFFVDSVPGPPSQSNEEIS >Manes.01G247000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40702546:40708798:-1 gene:Manes.01G247000.v8.1 transcript:Manes.01G247000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQSTQELQPSTQVSQDSQSDQQNNHTTEAAVADSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHLMKFPVPTKVPLAPIQNGIHPMPVNNLPMGYPVMQQHPMPAPGQPHLDTMGCGISSCHVVNGVPAPGNFHPIRMNSGNNLVMESNTPDMAPVVPLSSAMSSMSDMPVSPTSVASSGHFPFTASEISGIGVDTSALDTAFTSDVASSVGLQLGPDAGAGTSRSLDQIQWNFSLSDLTADLSNLGDLGALGNYPGSPFLHSDSEILLDSPEHDDIVEDFFVDSVPGPPSQSNEEIS >Manes.01G247000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40702547:40708721:-1 gene:Manes.01G247000.v8.1 transcript:Manes.01G247000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQSTQELQPSTQVSQDSQSDQQNNHTTEAAVADSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHLMKFPVPTKVPLAPIQNGIHPMPVNNLPMGYPVMQQHPMPAPGQPHLDTMGCGISSCHVVNGVPAPGNFHPIRMNSGNNLVMESNTPDMAPVVPLSSAMSSMSDMPVSPTSVASSGHFPFTASEISGIGVDTSALDTAFTSDVASSVGLQLGPDAGAGTSRSLDQIQWNFSLSDLTADLSNLGDLGALGNYPGSPFLHSDSEILLDSPEHDDIVEDFFVDSVPGPPSQSNEEIS >Manes.17G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32387795:32394089:1 gene:Manes.17G116600.v8.1 transcript:Manes.17G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRSDNCANGPTNDTWANRSLAQLCGAPSLSLLLFINLSLISINPVLFGNPLQFSKILDQENVSSLIMSSLQLPGCRKALRPLRPATSSSSFWGRDLCFVNAVSFSISKKENSKILRLSKRTVRVYAMSSNGSGSSFKMNLNEYMVTLEKPFGIRFALSVDGKILVHALKKGGNAERSRIVMVGDTLKKVTNLSSGRLVVFSDLGDAQKMLMEKNGSFSLILERPFSPFPIHHLQALTDIDLMFNRGRVPIATWNKTILLSNLKTSSEGSGNSGFIAFSSKFLTPNGWKLLNDQHGYIGSPAEKTLQTPVSNFVCVFSEGESEDGEWAYGSFPLDEYINALHRSKGELYYNQALGTNYSKITEQIYVGSCVQTEADVEKLSRLGVTAVLNFQSGIEAENWGINYDSINESCQRFNILMINYPIKDADSFDLRKKLPFCVGLLLRLLKKNHRVFVTCTTGFDRSPACVIAYLHWITDTSLHAAYNFVTGLHSCRPDRAGIAWATWDLVAMLEGGRHDGPATHAVTFVWNGQEGEDVSLVGDFTGNWKEPVKASHMGGPRYEVEVRLPQGKYYYKYIVNGQWRHSTASPTERDERGNVNNIIMIGDIASVRPSIRQQKKDANIIKVIERPLTENERFMLAKAARCIAFSVCPVRLAPK >Manes.18G073250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6570040:6578790:-1 gene:Manes.18G073250.v8.1 transcript:Manes.18G073250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVHNRQFHLEPSLNGVVRFKSGPTHRSDSPVRTFNFTPDFTRSNQKPDPYTMEEDYSSDDEADYKDLIRKGNSELEPSILDPRDEGTADNWVERNPSMIRLTGKHPFNCEAPLSMLMHHGFITPVPLHYVRSHGAVPKTSWSDWTVEICGLVKRPIRFTMNQLVNEFPSREFPVTLVCAGNRRKEQNMVKQTIGFNWGAGGVSTSVWRGVPLHLILKRCGIYSRKKGALNVCFEGAEDLPGGGGSKYGTSIRKEYAMDPARDIILAYMQNGELLSPDHGYPVRMIIPGFIGGRMVKWLKRIIVTTKESESYYHYKDNRVLPSHVDAELANAEGWWYKPEYIINELNINSVITTPTHEEILPINSWTTQRPYRLKGYAYSGGGKKVTRVEVTMDGGETWQVCTLDHPEKPNKYGKYWCWCFWSLEVEVLDLLGAKEIAVRAWDQALSTQPEKLIWNVMGMMNNCWFRVKTNVCKRHKGEIGIVFEHPTVPANQSGGWMAKERHLEILSENNQTLKKSVSTPFMNTSLNTFSMAEVKKHNSADSCWIIVHGHVYDCTHFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKMLEDYRIGELVDSTAYTSDSNASSPNISVHGASDMSQTHLAPITEIAPIKEVVPAVRNVALVAREKIPCKLVKKESLSHDVRLFRFALPSEDQVLGLPVGKHIFLCANVDEKLCMRAYTPTSTIEAVGHFDLVIKVYFKGVHPKFPNGGLMSQYLDSLSLGSTIDVKGPLGHIEYIGTGNFMVHGKPKFAKKLTMLAGGTGITPIYQVIQAVLKDPEDDTEMYVVYANRTEDDILLRDELDAWAKQHSERLKVWYVVQETIKEGWQYSVGFITESILREHVPEGSDDTLALACGPPPMIQFAVQPNLEKMNYDIKNSLLVF >Manes.18G073250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6574105:6579004:-1 gene:Manes.18G073250.v8.1 transcript:Manes.18G073250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVHNRQFHLEPSLNGVVRFKSGPTHRSDSPVRTFNFTPDFTRSNQKPDPYTMEEDYSSDDEADYKDLIRKGNSELEPSILDPRDEGTADNWVERNPSMIRLTGKHPFNCEAPLSMLMHHGFITPVPLHYVRSHGAVPKTSWSDWTVEICGLVKRPIRFTMNQLVNEFPSREFPVTLVCAGNRRKEQNMVKQTIGFNWGAGGVSTSVWRGVPLHLILKRCGIYSRKKGALNVCFEGAEDLPGGGGSKYGTSIRKEYAMDPARDIILAYMQNGELLSPDHGYPVRMIIPGFIGGRMVKWLKRIIVTTKESESYYHYKDNRVLPSHVDAELANAEGWWYKPEYIINELNINSVITTPTHEEILPINSWTTQRPYRLKGYAYSGGGKKVTRVEVTMDGGETWQVCTLDHPEKPNKYGKYWCWCFWSLEVEVLDLLGAKEIAVRAWDQALSTQPEKLIWNVMGMMNNCWFRVKTNVCKRHKGEIGIVFEHPTVPANQSGGWMAKERHLEILSENNQTLKKSVSTPFMNTSLNTFSMAEVKKHNSADSCWIIVHGHVYDCTHFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKMLEDYRIGELVDSTAYTSDSNASSPNISVHGASDMSQTHLAPITEIAPIKEVVPAVRNVALVAREKIPCKLVKKESLSHDVRLFRFALPSEDQVLGLPVGKHIFLCANVDEKLCMRAYTPTSTIEAVGHFDLVIKVYFKGVHPKFPNGGLMSQYLDSLSLGSTIDVKGPLGHIEYIGTGNFMVHGKPKFAKKLTMLAGGTGITPIYQVIQAVLKDPEDDTEMYVVYANRTEDDILLRDELDAWAKQHSERLKVWYVVQETIKEGWQYSVGFITESILREHVPEGSDDTLALACGPPPMIQFAVQPNLEKMNYDIKNSLLVF >Manes.06G004600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:885923:890674:1 gene:Manes.06G004600.v8.1 transcript:Manes.06G004600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFASSISKTLTKRVIASAGVGVGGGFEAIYSLPKHQLPSSSSFCTSAAAVSSPVSDASSPSFPQPQGCNLQEKERGSRWSRWLLFLPGAITFGLGTWQIFRRQEKIKMLDYRQKRLTMEPMKFNDLSPSSEQLDNLEFRRVACKGVLDEKRSIYVGPRSRSISGVTENGYYVITPLMPIPNNPESVQSPILVNRGWVPRIWKERSLEISQDGEPPSDITSLSAQESERSSWWRFWSKKRKVIEDQIPAVTPVEVVGVVRGSEKPSIFVPENDPSSGQWFYVDVPSIARACELPENTIYVEDINENISSGCPYPVPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLRPNRSRR >Manes.15G178633.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23589720:23590680:-1 gene:Manes.15G178633.v8.1 transcript:Manes.15G178633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAVSSQLNKKINDAISPQNSYNPDMILVTMPLDGSNYLIWSRAMIILPSAKDKLGFINKKCEIHDLESDRYEKWLKADDLVEAFLYAISAKVFWEEIKERFRESNGPLLYQLTKGDNDYGVAKVLNEYDNEDKLIQFFMRLNENYCHEGNQTVIIHKRHNEYGYGKIQFKKKGFIKKEDRLCIYYNSSGHTRDTCFKIHRYPEWFTELKHKKKKGNKCGYNNFTELGNPSRQSE >Manes.04G055444.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:15730050:15730525:-1 gene:Manes.04G055444.v8.1 transcript:Manes.04G055444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLCKECGEGHKKFDSKKISLFRIIQKINDNAYILDFSNYMKIFKTFDVADLFQYYPTNYNSRSSFLQVEGNDAE >Manes.09G185600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37260673:37263422:-1 gene:Manes.09G185600.v8.1 transcript:Manes.09G185600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTSFQLLLHLVLLSIIIAPTNANLEGDALYALRRAVKDPGLVLQSWDPTLVDPCTWFHVTCDNDNRVTRLDLGNAKLSGNLVPELGKLERLQYLELYMNELVGSVPKELGNLKSLVSLDLYHNNLTGSIPATLSKLSSLKFLRLNGNRLTGRIPRELTKLGNLKILNVSDNDLCGTIPTSGSFSKFSEESFVNNPRLEGPELMGFVRYDVEGGSC >Manes.06G078400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21473661:21479061:1 gene:Manes.06G078400.v8.1 transcript:Manes.06G078400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCDKDKLELDKRNDNHVDYHLPAGVPSDWRFSSASIANSSLGLVSTDNQMPVCRGDLVGTSCSSAPMVDSFSPGLWDHTTNSQNLGFCDIGVQNSASTSNPIGIRKGCPPSLRSCIDKAPDIGWNPPSSMLKDGIFFPTAPGVLPESLSQFPADSTFIERAARFSCFNGGNFSDMVNPYGIPEPMDLYSRGGGMMQGPQEFFAGTGLKSVSGGQGHKNVMNMGDTSKATSLSVEPVATEGSPLKNGRKIGSLVRSHDEGKLGAGGSGNESEEADFSGGGCQKEQCMLEGNGGELSAKSLGSKKRKRNGQDTDLDHGKGAQQSVEAAKDNPEVQQMDKSPTSTPNKTTGKQGKQGSQASDSPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGMITKDILHSRVVPSSNLEFSPEVPMVYPPFNASQPGLIQASFPGMENHSDVLRRAISSQLTPMTGVFKEPTQLPNVWDYELHNVVQMGYATSAPQDSQDMNGSLPQGQMKAEL >Manes.06G078400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21473661:21479061:1 gene:Manes.06G078400.v8.1 transcript:Manes.06G078400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCDKDKLELDKRNDNHVDYHLPAGVPSDWRFSSASIANSSLGLVSTDNQMPVCRGDLVGTSCSSAPMVDSFSPGLWDHTTNSQNLGFCDIGVQNSASTSNPIGIRKGCPPSLRSCIDKAPDIGWNPPSSMLKDGIFFPTAPGVLPESLSQFPADSTFIERAARFSCFNGGNFSDMVNPYGIPEPMDLYSRGGGMMQGPQEFFAGTGLKSVSGGQGHKNVMNMGDTSKATSLSVEPVATEGSPLKNGRKIGSLVRSHDEGKLGAGGSGNESEEADFSGGGCQKEQCMLEGNGGELSAKSLGSKKRKRNGQDTDLDHGKGAQQSVEAAKDNPEVQQMDKSPTSTPNKTTGKQGKQGSQASDSPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGMITKDILHSRVVPSSNLEFSPEVPMVYPPFNASQPGLIQASFPGMENHSDVLRRAISSQLTPMTGVFKEPTQLPNVWDYELHNVVQMGYATSAPQDSQDMNGSLPQGQMKAEL >Manes.04G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32188696:32194123:-1 gene:Manes.04G120400.v8.1 transcript:Manes.04G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MAGSSLSGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLNTLDDPLIRTKWMNAKKAISDEAEVVKQLDAERRAFKETPTGRRAASPPINAKSSFVFQPLDEYPTSSGAPMDDPDVWRPPSRDTTNRRSTRTGQVGMRKSPQEGNWARGGPTRTATTGRAPKAGGSSRVNSGVRASTTGKKGTGAGKSGKGDSPNGDSEDGKSKKGQYEGPDPDLAAMLERDVLETTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTSTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVAPDVNIDDVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALQKVQRSVSQADIEKHEKWFSEFGSA >Manes.16G121100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32375315:32383022:-1 gene:Manes.16G121100.v8.1 transcript:Manes.16G121100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETRDNDAYEEELLDYEEEEEKVPDSVAKVNGESAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPTSGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVHIKTHKDLLKNECPHIVVGTPGRILALARDKELSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Manes.08G092300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31185680:31187591:-1 gene:Manes.08G092300.v8.1 transcript:Manes.08G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLKLNHLHSHALNHEKQPKPGLFTSVLQGSHKFQVKAASNVQQLLQSGAIKPIPAKDAAMAMSSEGFMLLDIRPSWEREKARVSGSLHVSLFVQDLDNSPLTLLKKWVHFGYIGLWTGQNFTTLNPDFLQQVETAVPDKDTKLLVACGEGLRSMMAASKLYEKGYRKLGWLAGGFNRAADGDFPDVEGPEELQYATIGGVSYYFLKLLILLQAVGKSKD >Manes.16G089150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29565304:29566093:1 gene:Manes.16G089150.v8.1 transcript:Manes.16G089150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNFVIENGKSINVTPPCSRHRGCMGSILGVASVWWESGVQANNIAEGQIRGAGLKLIGQWKLTDYQR >Manes.15G157600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13003713:13008071:-1 gene:Manes.15G157600.v8.1 transcript:Manes.15G157600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVIHQLLRRKLQQYSSASPLLSIFASKKIHDDAGSASMKSLRTFALFGAGLSGFLGFATVASADEAEHGLECPNYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYGNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >Manes.15G157600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13003360:13008236:-1 gene:Manes.15G157600.v8.1 transcript:Manes.15G157600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVIHQLLRRKLQQYSSASPLLSIFASKKIHDDAGSASMKSLRTFALFGAGLSGFLGFATVASADEAEHGLECPNYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYGNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >Manes.15G157600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13003366:13008223:-1 gene:Manes.15G157600.v8.1 transcript:Manes.15G157600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVIHQLLRRKLQQYSSASPLLSIFASKKIHDDAGSASMKSLRTFALFGAGLSGFLGFATVASADEAEHGLECPNYPWPHKGILSSYDHASIRRGHQVYQEVCASCHSMSLISYRDLVGVAYTEEEVKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYGNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDIVTFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRRLVLDVVN >Manes.01G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5055883:5061556:-1 gene:Manes.01G023300.v8.1 transcript:Manes.01G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFSKLHQVTDKARRILSGARNNPLFCVCFRSHVNMVEHGSYSKVQALNDLCATTFTPSGIPSPSSPAIRKLCSLLDTIHAADVGLKEENPDDDWGHGFFGLDRLSQAARWSQPITYIDIYECDSFTMCIFCFPTSSVIPLHDHPGMTVFSKVLYGSLHVKAYDWVEPPCIYESKGSSCPPVKLAKLAVDKVLTAPCGTSVLYPKSGGNLHCFTAVTPCAVLDILTPPYMEDAGRKCTYYHDYPYSSFSTRNGTEIRDGKEDDYAWLAEMGTPDNLYMRQGVYTGPAVNV >Manes.01G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32754039:32759606:1 gene:Manes.01G134400.v8.1 transcript:Manes.01G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYNDAFMRNQNAAVRGTTKGQNRANVLQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKTKCPPYTGMAQFVSRFAEPGDPEYARPVQEAETPAQRRARIHKLRLEKGAEKAAEELQKYDPNNDPNVSGDPYKTLFVARLNYETTESRIKREFESYGPIKRVRLITDKVTNKPKGYAFIEYIHTRDMKAAYKQADGRKLDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEDVNQRHSGRELQQSGGSSRSEEPRAREDRHSERDREKSHERGRDREREQERSRERSHDRPRERDHREDRHHKDRDREKDRDRERERVRERDRDRERVRERDRGRDRGHDYERDRDRERGREYDRDRPRERERERDYEGGDHDRGRSHERDDYDRVDSKHEKDRRAERDYDHAEPEDDLGWYEQPEQGRRRPDAEHDQRYDHYEHHRSRGQYDHMDVQGDHDRYDQYPGHDPDRYDQMDDDDYHYDRRASESRERDHEYQRSERSLSRDYDN >Manes.09G075075.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:16118465:16119077:1 gene:Manes.09G075075.v8.1 transcript:Manes.09G075075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTTCTSRTNELGIKILVIERSCGCDPFSSTTPKTVSFASSTSSITCSPCPSSFTTSSISSLMEFSTTTTLDSCITTSSSISISMEVEIGTLASSFSFSFSTFPP >Manes.18G141800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23785667:23803141:-1 gene:Manes.18G141800.v8.1 transcript:Manes.18G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSKDADGGSPGEGREESLDQAGGQLYVSLKMENYKRKGDLIPHVYGSVPLVGSWDSSKALSMERESASMWELSFVVPPNHETLDFKFLLKPKYSNFPCVVEDGPNRVLSRGTLKGESRLAVFRNGDEVHEYKVLIKADRVSPFDLAASWRAYRENLQPSTVRGIPDVSINSVPVAGAENGSSASLELDLEHYVIPAPSTSANSGMVYAANNAENPRFSSADGSGIVSNSYKDGSFSVDRSAKEMEVSIPDPSKVYSSSGLVESKSVGTFSPLQKQDGHRGLFVDRGVGSPRLVKSSSSSTFAFDLKLDTETKNSMPAAAGAVAAAAIADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGANQCADFFRGDNPEGMEARNEVAALAMDDMTTWMQEGGQVGIFDATNSTRQRRNMLMKMAEGKCKIIFLETICNDGRIIERNIRLKIQQSPDYAEQPDYEAGYQDFKGRLDNYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDTVLSDAGEIYAKKLANFVEKRLKSEKAASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYEARKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEMPLHTIIEIQMGVTGVQEKRYKLMD >Manes.18G141800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23786520:23802770:-1 gene:Manes.18G141800.v8.1 transcript:Manes.18G141800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSKDADGGSPGEGREESLDQAGGQLYVSLKMENYKRKGDLIPHVYGSVPLVGSWDSSKALSMERESASMWELSFVVPPNHETLDFKFLLKPKYSNFPCVVEDGPNRVLSRGTLKGESRLAVFRNGDEVHEYKVLIKADRVSPFDLAASWRAYRENLQPSTVRGIPDVSINSVPVAGAENGSSASLELDLEHYVIPAPSTSANSGMVYAANNAENPRFSSADGSGIVSNSYKDGSFSVDRSAKEMEVSIPDPSKVYSSSGLVESKSVGTFSPLQKQDGHRGLFVDRGVGSPRLVKSSSSSTFAFDLKLDTETKNSMPAAAGAVAAAAIADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGANQCADFFRGDNPEGMEARNEVAALAMDDMTTWMQEGGQVGIFDATNSTRQRRNMLMKMAEGKCKIIFLETICNDGRIIERNIRLKIQQSPDYAEQPDYEAGYQDFKGRLDNYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDTVLSDAGEIYAKKLANFVEKRLKSEKAASIWTSTLQRTILTASPIVGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYDRARKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEMPLHTIIEIQMGVTGVQEKRYKLMD >Manes.10G119000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28395125:28399566:-1 gene:Manes.10G119000.v8.1 transcript:Manes.10G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPTFGETRRVLEREREYWMGNLGEIEEIEKWVSPASASTNRTMPILSLNHVSFVCKSVPESVKFYEDVLGFVLIKRPSSFNFEGAWLFNYGVGIHLLESDKAPLKKGAINPKDNHISFQCSDMDLVVRKLEEKNIEYVTAVVEEGGITVDQLFFHDPDGYMVEICNCQNLPVLPLSSCPLKLPKANGNLPSPATYYGKRSWEMACSGGVASLMMENLVVDMMDISI >Manes.17G060100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25870943:25874332:1 gene:Manes.17G060100.v8.1 transcript:Manes.17G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFYSFFAELQLLLILSFGYFLQAQIQYHATTQVPARWTNNNLSLPSPFSSVRIILLNEKPNAKTTRSQGTSVVESIASFACGFYSYYGENNPTYFAIALAKISKSENSGQEILSFGRLIWVANRNKPVGNNATLELLQDGDLVLKDADGTLIWSTGTSNMSVAGMKMMETGNLVLYNNENKVVWESFDYPTDALLPGQKLKAGRKLVASVSGNNWSEGSFYLSVTSHGLFAFYNADVPQLYFNFSAPAMVESIELNYNTSSSISLSWSSNPNPVFTSPLDHANMSYMKFEPDGHLMIYDDNKYESFDALSDVLDTCDYPTACGNYGLCSYAGCSCPKGFALDNVTDARGRTGCLEINSTTCLNLQSQYLLPYENVYHFTYSDPDAAVLKGTDIENCKEACLKNCSCKVALFQYLNLSYGNCFFPSPVLTLIRGRTRRYYYQSVAFIKVSKERGSKSGGSSSIHSEIIAGSVIGTFFLVGLIAGLFWFLGFRKKEDDEESLEDFLDQLSEMPRRFTYMELKIATHDFQKKLGEGGFGSVFEGNLENGEQVAVKRLEFFGQGKKEFLAEVKTIGGIHHLNLVRLIGFCAEKLHRLLVYEHMCNGSLENWIFHEKPLQPSLDWKARRTIILDIAKGLAYLHGDCKQKIVHLDIKPQNILLDANFRGKISDFGLCKLIEKDQSQVATTIRGTPGYLAPEWFLSVITEKVDVYSFGIVVMETICGRKILDSSLPEECVHLLPILMKKVEEDRLINMVDKSCQDMWLHRSEAVELMRVAIWCLQSDFTRRPSMEMVVKVLEGTMDVETNVDCSIQCPTTLAASRGQAELDTSTIPEPEVLSGPR >Manes.15G067300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5100497:5102853:1 gene:Manes.15G067300.v8.1 transcript:Manes.15G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNHKKQQKFLLFFSFAAVLLPVCQSFNYTEALSKSLLYFESQRSGRLPYNQRVTWRHHSALTDGLEQGVDLVGGYYDAGDNVKFGLPMAFTVTMLAWGVIQYEKQMSDAGEYQHALEAIKWGTDYFIKAHTQPNVLWVQVGDGYTDHYCWQRPEDMTTSRQAYKVDEKNPGSDVAGETAAALAAASIVFRRTNPHYSYLLLHHAKELFEFGDKHRGKYDESVKAVKGYYPSVSGYKDELLWAALWLHKATDNEAYLMYVLENGYGFGGITWAISEFSWDVKYAGVQLIASMLLRDERQRKHKLILQKYRSKAEHYLCSCLHKNNATNVERTPGGLLHIRKWNNLQYVSTAAFLLTVYSDYLDASNQRLKCDQGMLTPQEIFSFAKSQVDYILGNNPMGMSYLVGYGLRYPLKVHHRGASIESYRGNKGFIGCTQGYDLWYSRQDPNPNVIVGAIVGGPNNKDEFWDERENYMQTEACTYNTATLVGVFAKLHRFEEEDL >Manes.11G144300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30893315:30897131:1 gene:Manes.11G144300.v8.1 transcript:Manes.11G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEVMSHSIINTRGGVNDGRMRLGSSDSLFPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIESGYLYGLRKQLSIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNHADKESLAVGSELLVFGRELFDFAIWKYSLIRHGWVKCEGMNRPRCLFGSGSYGSVAVVAGGSDKNGKVLNSAELYDSSTGKWEILPNMHSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFQTSKWRTIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.14G167000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26783612:26795504:-1 gene:Manes.14G167000.v8.1 transcript:Manes.14G167000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASARSLSFCSCFHPPFLPPKPILLPSLPILSKRTSSSKILTSLKSSSSSSSYGASSGDYDIVSTSEHSHGSVSEDYGIVSTSEHSDGSVLFRFGEISKHVQMGKEEIVDDEVELGEDGSDTDHITGISETLLEHSILDAESSDVNNAKVLEMINFKILTRPVNQSELDAVVEVETVGSNINLAASAIDLELDIVSSEEEVSQEKSEREIVSELVESDVEVPRIVALQAETKENVGVQVMHLSSAREEHSMHQHIQVNKVIVDDGVSGNIIEALPNWVSLEAKVILDEEPTTEAVEEETAHIIVDEETTHIAVGEELTHKAVDEAPTYIELNEKPTHEAMDEEEPTLNAVDEEPTHIAVDKDPTNEAADEEPTPNTVDDEPMRNAVDEEPTPEADDEEPVRNAADEGLTQIAVGEEPTQIAMDKEQTRKAVDGKPTSNAVDKEPISYRFEEEPTHATVEEESTHIAIDEKPTHNPVDKGPICVASDEVTVNTQAAYSSKMFEVNMPSSSWVEEIAAEDQNSNNADVAVPHAEESQMRVATLTMEDIPMSGLFMFPGAASLPHPSKALTGGEDACFVDENWLCIADGVGQWSLEGTDAGLYAQEFINNCGKIVADSKTTLITDPVEVLVKAAMETKSPGLSTALVAYFDGQAMHVANVGDSGFLILRNGIVFKKSSPMVHEFNFPIQIEKGDNPSELVEEYKIDLHEGDIIVIATDGLFDNLYSQEIASIISKSLEANLKPKEIAELLAVSAQEVGQSSPRRSPFADAAQAAGYVGYTGGKLDDVTVILSLVQERSSSSLQ >Manes.14G167000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26783390:26795504:-1 gene:Manes.14G167000.v8.1 transcript:Manes.14G167000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASARSLSFCSCFHPPFLPPKPILLPSLPILSKRTSSSKILTSLKSSSSSSSYGASSGDYDIVSTSEHSHGSVSEDYGIVSTSEHSDGSVLFRFGEISKHVQMGKEEIVDDEVELGEDGSDTDHITGISETLLEHSILDAESSDVNNAKVLEMINFKILTRPVNQSELDAVVEVETVGSNINLAASAIDLELDIVSSEEEVSQEKSEREIVSELVESDVEVPRIVALQAETKENVGVQVMHLSSAREEHSMHQHIQVNKVIVDDGVSGNIIEALPNWVSLEAKVILDEEPTTEAVEEETAHIIVDEETTHIAVGEELTHKAVDEAPTYIELNEKPTHEAMDEEEPTLNAVDEEPTHIAVDKDPTNEAADEEPTPNTVDDEPMRNAVDEEPTPEADDEEPVRNAADEGLTQIAVGEEPTQIAMDKEQTRKAVDGKPTSNAVDKEPISYRFEEEPTHATVEEESTHIAIDEKPTHNPVDKGPICVASDEFEVNMPSSSWVEEIAAEDQNSNNADVAVPHAEESQMRVATLTMEDIPMSGLFMFPGAASLPHPSKALTGGEDACFVDENWLCIADGVGQWSLEGTDAGLYAQEFINNCGKIVADSKTTLITDPVEVLVKAAMETKSPGLSTALVAYFDGQAMHVANVGDSGFLILRNGIVFKKSSPMVHEFNFPIQIEKGDNPSELVEEYKIDLHEGDIIVIATDGLFDNLYSQEIASIISKSLEANLKPKEIAELLAVSAQEVGQSSPRRSPFADAAQAAGYVGYTGGKLDDVTVILSLVQERSSSSLQ >Manes.14G167000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26783387:26795504:-1 gene:Manes.14G167000.v8.1 transcript:Manes.14G167000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASARSLSFCSCFHPPFLPPKPILLPSLPILSKRTSSSKILTSLKSSSSSSSYGASSGDYDIVSTSEHSHGSVSEDYGIVSTSEHSDGSVLFRFGEISKHVQMGKEEIVDDEVELGEDGSDTDHITGISETLLEHSILDAESSDVNNAKVLEMINFKILTRPVNQSELDAVVEVETVGSNINLAASAIDLELDIVSSEEEVSQEKSEREIVSELVESDVEVPRIVALQAETKENVGVQVMHLSSAREEHSMHQHIQVNKVIVDDGVSGNIIEALPNWVSLEAKVILDEEPTTEAVEEETAHIIVDEETTHIAVGEELTHKAVDEAPTYIELNEKPTHEAMDEEEPTLNAVDEEPTHIAVDKDPTNEAADEEPTPNTVDDEPMRNAVDEEPTPEADDEEPVRNAADEGLTQIAVGEEPTQIAMDKEQTRKAVDGKPTSNAVDKEPISYRFEEEPTHATVEEESTHIAIDEKPTHNPVDKGPICVASDEFEVNMPSSSWVEEIAAEDQNSNNADVAVPHAEESQMRVATLTMEDIPMSGLFMFPGAASLPHPSKELMLDYMPKSS >Manes.14G167000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26787512:26795504:-1 gene:Manes.14G167000.v8.1 transcript:Manes.14G167000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASARSLSFCSCFHPPFLPPKPILLPSLPILSKRTSSSKILTSLKSSSSSSSYGASSGDYDIVSTSEHSHGSVSEDYGIVSTSEHSDGSVLFRFGEISKHVQMGKEEIVDDEVELGEDGSDTDHITGISETLLEHSILDAESSDVNNAKVLEMINFKILTRPVNQSELDAVVEVETVGSNINLAASAIDLELDIVSSEEEVSQEKSEREIVSELVESDVEVPRIVALQAETKENVGVQVMHLSSAREEHSMHQHIQVNKVIVDDGVSGNIIEALPNWVSLEAKVILDEEPTTEAVEEETAHIIVDEETTHIAVGEELTHKAVDEAPTYIELNEKPTHEAMDEEEPTLNAVDEEPTHIAVDKDPTNEAADEEPTPNTVDDEPMRNAVDEEPTPEADDEEPVRNAADEGLTQIAVGEEPTQIAMDKEQTRKAVDGKPTSNAVDKEPISYRFEEEPTHATVEEESTHIAIDEKPTHNPVDKGPICVASDEFEVNMPSSSWVEEIAAEDQNSNNADVAVPHAEESQMRVATLTMEDIPMSGLFMFPGAASLPHPSKVERMHVLLMKTGFVLLMELDSGHLKL >Manes.14G167000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26764463:26795504:-1 gene:Manes.14G167000.v8.1 transcript:Manes.14G167000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASARSLSFCSCFHPPFLPPKPILLPSLPILSKRTSSSKILTSLKSSSSSSSYGASSGDYDIVSTSEHSHGSVSEDYGIVSTSEHSDGSVLFRFGEISKHVQMGKEEIVDDEVELGEDGSDTDHITGISETLLEHSILDAESSDVNNAKVLEMINFKILTRPVNQSELDAVVEVETVGSNINLAASAIDLELDIVSSEEEVSQEKSEREIVSELVESDVEVPRIVALQAETKENVGVQVMHLSSAREEHSMHQHIQVNKVIVDDGVSGNIIEALPNWVSLEAKVILDEEPTTEAVEEETAHIIVDEETTHIAVGEELTHKAVDEAPTYIELNEKPTHEAMDEEEPTLNAVDEEPTHIAVDKDPTNEAADEEPTPNTVDDEPMRNAVDEEPTPEADDEEPVRNAADEGLTQIAVGEEPTQIAMDKEQTRKAVDGKPTSNAVDKEPISYRFEEEPTHATVEEESTHIAIDEKPTHNPVDKGPICVASDEFEVNMPSSSWVEEIAAEDQNSNNADVAVPHAEESQMRVATLTMEDIPMSGLFMFPGAASLPHPSKALTGGEDACFVDENWLCIADGVGQWSLEGTDAGLYAQEFINNCGKIVADSKTTLITDPVEVLVKAAMETKSPGLSTALVAYFDGQAMHVANVGDSGFLILRNGIVFKKSSPMVHEFNFPIQIEKGDNPSELVEEYKIDLHEGDIIVIATDGLFDNLYSQEIASIISKSLEANLKPKEIAELLAVSAQEVGQSSPRRSPFADAAQAAGYVGYTGGKLDDVTVILSLVQERSSSSLQ >Manes.03G183900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30506586:30508010:-1 gene:Manes.03G183900.v8.1 transcript:Manes.03G183900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSYNLLVFFSLLFFINPSTVQSYFRPKALLLPVSKDPSTLQYLVQINQRTPSVPLKLTLDLGGQHLWVDCEQGYVSSSYNPVPCKSAQCSLFKFNLCVTACLADPQPGCNNNTCVHFPGNTVTHNEASGEVAQDVVSIQSTDGSNPGRVVSVSKMIFTCGVTHLLDGLASGVKGMAGLGRAKTSLPSQFSAAFSFDRKFAICLSSSTKGNGVVFFGDGPYVLLPSIDVSKSLVYTPLIRNPNAASLFYEGGPSSEYFIGVKGININGKAVALNTSLLTIDKEGIGGTKISTVDPYTVMERTIFKAVTKAFIKELAGVPRVAPVAPFRVCFNSANIGSTRVGPAVPQIDFVLQRKSVLWSIFGVNSMVRVKDDMLCLGFVDGGVNPRSSIVIGGYQLENHLLQFDLATAKLGFSSLLLFGQTTCANFNFTSVA >Manes.14G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5332126:5339412:1 gene:Manes.14G064400.v8.1 transcript:Manes.14G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGEGSREDRSGSVSPDPFDSFTPKSPGGGDVSPGSVSRSSSCGESEFERYCSANSVMGTPSFCGSFGPLNDSAESEFESLRSLDGGLENFSLGGRFDRNLEELKLPGSGFDHLKGSGSSQLQLYCVEGNCGINNELDSRLENANVRASEIDSIGDGVVDDLNIVADVGEGSSGVAISEGNDGRFCMLDSELGLEFDGREEEREEDETSSRYEHSEDDSMYGCGSDYGNRENLYTQRSIQYSKNGNFENENPLLINSSIAFGSGDWDDFEQETGGGSLASLTLDEYQEQEKQDLVTERKLFNSESKASMWVPAIGLSEIGKDVTAESIGIRQVEENELVEDFNTSSAVPIGSQKCELMQTEEVRDVPVSICKVQGTCELAKDDTSTSLATSQLPSFCKLEEEGVRDISDTCELVQSANETTNHFTSTSAGCIFEVKQDLFVEKNFRDLGANISDYSTERKRVCMNSEIFRVDDSNIFDNQETGNLKLKVGQLYSHPSEHFQNENTEYIEDHKLNSKPSLLETNRGETMNNTSLDPFEDDPVTLKADKIELHEIYDEIVNEMEEILLDSSESTGARFPQRNRMSQSQLSLPLRDGGLTASTSGPDDVFPLITQPLRIDRIEVVGAKQKKGDISLSERLVGMKAYTVYIIRVWSGQDEWEVERRYRDFFTLYRRLKSLFTDQGWILPLPWASVEKESRKVFGNASPDVVSERSVLIQECLHSIIHSGYFSSTPSALVWFLCPQDSLPSSPASQKPVPWSAFSHRGAEPGNISTLGKTISLIVEIKPYKSIKQLLEAQHYTCAGCHKHFDDGMTLVQDLVQALGWGKPRLCEYTGQLFCSSCHTNETAVLPARVLHYWDFTQYPVSQMAKSYLDSIHEQPMLCVSAVNPFLFSKVPALHHIMGVRKKIGTMLPYVRCPFRRTINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVLRKIVEHITDRCLICCDVGVPCSARQACDTPSSLIFPFQEGEIERCTSCESVFHKPCFGRLTNCTCGALIGEEKTMAATNKLSRMASDLLGRKSSSVLPLGLLSGLFSRAKPDAMKAHQDSDTVILMGSLPSTSL >Manes.15G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2245535:2246228:1 gene:Manes.15G028500.v8.1 transcript:Manes.15G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLLSPLKKLWFRLHSTPKKRRGIYILYEDVKSCPYEDVHVLWSILVESNAPSMPSKQ >Manes.08G032700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3133839:3135138:-1 gene:Manes.08G032700.v8.1 transcript:Manes.08G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQSDPHQPPKKESGQTSSDGDDPSLPANSPATSYPPMVGYPPPMGYPPAQSGYPPYGQPGYQGYNNGYNNNYPYAQAPPAAYYNHVIYQQQQENQVSGFVRAVVGGLFLLVILMCMSSIIVWLILRPVVPIFRINTFSVSNFSTVSSFSAKWDANVTVENPNTKLKVYFDQVEAYLYYEDDNLLATSFANPFFLETNNKTVMETTLVSNNSDRRQAGVGSWVVEKMVEERKSNKGKLSFNLRFALWTTFKSGTWWARHVNMRVYCESLEVGFVGNTGNGKYTNDDITSECLVFA >Manes.14G080100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6644582:6645699:-1 gene:Manes.14G080100.v8.1 transcript:Manes.14G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSTLNISRMNEIILSSKTSAASGICPSFVAARIGESTTKDFPILRIDFMGKPLVFPEEKSTKDSSIKAPSSFSVRAQASICVSRALRWWEKTLKPNMVEIHSAQELVHSLLYAGDKLVIIDFYSPGCGGCKSLHPKICQLAESNPDAIFLKVNYEELKTMCHCLHIHVLPFFRFYRGAEGRLCSFSCTNATVKKFKDALAKHGADRCSLGPAKGLDESELKTLASIGELPIGLPLTSTKEDRVEDLIMKSIDLTGVRFFKEETAVGV >Manes.07G080656.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24653079:24654589:1 gene:Manes.07G080656.v8.1 transcript:Manes.07G080656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.17G044100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23795281:23807781:1 gene:Manes.17G044100.v8.1 transcript:Manes.17G044100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNRFISIKNSPILFSKPFKLNTLKLLFSLPNSTESPSSQLSLSRSLQFETLKALEWSSLCERLSPFTSTSMSHSVTRNASIPIGKSLQESRELLNQTAAALAVMQIEPLDFSGIDDISGIVNSAVSGNLLTVSELCAVRRTLRAARTLFERLKDGGDCSERYIHLLEILEGCNFLMELEQKIGFCIDCNLSILLDRASEELEIIRSERKKNMENLDSLLKGISAQIFQAGGVDRPLITKRRSRLCVGVRASHKYLIPDGVILDVSSSGVTYFMEPADAVELNNFEVMLSNSERAEEVAILSLLTSEIAQSVGDVKYLLDRILELDLAFARAAYAQQINGVHPILTSEDCEGEPSIGVNCALSIDIEGIQHPLLLGSSQRSLSEVLRSNSENSAELDGGDGVIAAERLSKSASAFPVPINIKVESGTRVVVISGPNTGGKTASMKTLGIACIMSKAGLFLPAKHTPRIPWFDLVLADIGDHQSLEQNLSTFSGHISRICKIMEVASKASLVLIDEICSGTDPSEGVALSSSILQYFRDHVNLAVVTTHYADLSLLKDKDSRFLNAAMEFSLETLQPTYQILWGSTGDSNALSIAKSLGFDSNMIESAQKWVEKLMPEKQHQRKGLLFRSLMEEKNRLEAQATKATFLHSDVMKLYNEIRHEAEDLDGRVIALMKKETQQVQQEVKATKSQIEIVVRDFENLLRKASPDQFNSLIRKSESAIASIVEAQCPSDSLLVNQVDVSSHTPQFGEQVHVKGLGDKVATVVEAPGNDDTILVQYGKIRVRVKKSDIRPIQGNKRSAATNLVPGLKRQIHSEFNQNEEVSYGPKVQTSKNTVDLRGMRVEEAALHLDMALSAREPRSVIFVVHGMGTGALKQRALEILGKHPRVANYEPESAMNFGCTVAYIN >Manes.17G044100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23795281:23807781:1 gene:Manes.17G044100.v8.1 transcript:Manes.17G044100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNRFISIKNSPILFSKPFKLNTLKLLFSLPNSTESPSSQLSLSRSLQFETLKALEWSSLCERLSPFTSTSMSHSVTRNASIPIGKSLQESRELLNQTAAALAVMQIEPLDFSGIDDISGIVNSAVSGNLLTVSELCAVRRTLRAARTLFERLKDGGDCSERYIHLLEILEGCNFLMELEQKIGFCIDCNLSILLDRASEELEIIRSERKKNMENLDSLLKGISAQIFQAGGVDRPLITKRRSRLCVGVRASHKYLIPDGVILDVSSSGVTYFMEPADAVELNNFEVMLSNSERAEEVAILSLLTSEIAQSVGDVKYLLDRILELDLAFARAAYAQQINGVHPILTSEDCEGEPSIGVNCALSIDIEGIQHPLLLGSSQRSLSEVLRSNSENSAELDGGDGVIAAERLSKSASAFPVPINIKVESGTRVVVISGPNTGGKTASMKTLGIACIMSKAGLFLPAKHTPRIPWFDLVLADIGDHQSLEQNLSTFSGHISRICKIMEVASKASLVLIDEICSGTDPSEGVALSSSILQYFRDHVNLAVVTTHYADLSLLKDKDSRFLNAAMEFSLETLQPTYQILWGSTGDSNALSIAKSLGFDSNMIESAQKWVEKLMPEKQHQRKGLLFRSLMEEKNRLEAQATKATFLHSDVMKLYNEIRHEAEDLDGRVIALMKKETQQVQQEVKATKSQIEIVVRDFENLLRKASPDQFNSLIRKSESAIASIVEAQCPSDSLLVNQVDVSSHTPQFGEQVHVKGLGDKVATVVEAPGNDDTILVQYGKIRVRVKKSDIRPIQGNKRSAATNLVPGLKRQGQQIHSEFNQNEEVSYGPKVQTSKNTVDLRGMRVEEAALHLDMALSAREPRSVIFVVHGMGTGALKQRALEILGKHPRVANYEPESAMNFGCTVAYIN >Manes.17G044100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23795268:23807995:1 gene:Manes.17G044100.v8.1 transcript:Manes.17G044100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNRFISIKNSPILFSKPFKLNTLKLLFSLPNSTESPSSQLSLSRSLQFETLKALEWSSLCERLSPFTSTSMSHSVTRNASIPIGKSLQESRELLNQTAAALAVMQIEPLDFSGIDDISGIVNSAVSGNLLTVSELCAVRRTLRAARTLFERLKDGGDCSERYIHLLEILEGCNFLMELEQKIGFCIDCNLSILLDRASEELEIIRSERKKNMENLDSLLKGISAQIFQAGGVDRPLITKRRSRLCVGVRASHKYLIPDGVILDVSSSGVTYFMEPADAVELNNFEVMLSNSERAEEVAILSLLTSEIAQSVGDVKYLLDRILELDLAFARAAYAQQINGVHPILTSEDCEGEPSIGVNCALSIDIEGIQHPLLLGSSQRSLSEVLRSNSENSAELDGGDGVIAAERLSKSASAFPVPINIKVESGTRVVVISGPNTGGKTASMKTLGIACIMSKAGLFLPAKHTPRIPWFDLVLADIGDHQSLEQNLSTFSGHISRICKIMEVASKASLVLIDEICSGTDPSEGVALSSSILQYFRDHVNLAVVTTHYADLSLLKDKDSRFLNAAMEFSLETLQPTYQILWGSTGDSNALSIAKSLGFDSNMIESAQKWVEKLMPEKQHQRKGLLFRSLMEEKNRLEAQATKATFLHSDVMKLYNEIRHEAEDLDGRVIALMKKETQQVQQEVKATKSQIEIVVRDFENLLRKASPDQFNSLIRKSESAIASIVEAQCPSDSLLVNQVDVSSHTPQFGEQVHVKGLGDKVATVVEAPGNDDTILVQYGKIRVRVKKSDIRPIQGNKRSAATNLVPGLKRQVICCILLWKS >Manes.17G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23795243:23807934:1 gene:Manes.17G044100.v8.1 transcript:Manes.17G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNRFISIKNSPILFSKPFKLNTLKLLFSLPNSTESPSSQLSLSRSLQFETLKALEWSSLCERLSPFTSTSMSHSVTRNASIPIGKSLQESRELLNQTAAALAVMQIEPLDFSGIDDISGIVNSAVSGNLLTVSELCAVRRTLRAARTLFERLKDGGDCSERYIHLLEILEGCNFLMELEQKIGFCIDCNLSILLDRASEELEIIRSERKKNMENLDSLLKGISAQIFQAGGVDRPLITKRRSRLCVGVRASHKYLIPDGVILDVSSSGVTYFMEPADAVELNNFEVMLSNSERAEEVAILSLLTSEIAQSVGDVKYLLDRILELDLAFARAAYAQQINGVHPILTSEDCEGEPSIGVNCALSIDIEGIQHPLLLGSSQRSLSEVLRSNSENSAELDGGDGVIAAERLSKSASAFPVPINIKVESGTRVVVISGPNTGGKTASMKTLGIACIMSKAGLFLPAKHTPRIPWFDLVLADIGDHQSLEQNLSTFSGHISRICKIMEVASKASLVLIDEICSGTDPSEGVALSSSILQYFRDHVNLAVVTTHYADLSLLKDKDSRFLNAAMEFSLETLQPTYQILWGSTGDSNALSIAKSLGFDSNMIESAQKWVEKLMPEKQHQRKGLLFRSLMEEKNRLEAQATKATFLHSDVMKLYNEGQQIHSEFNQNEEVSYGPKVQTSKNTVDLRGMRVEEAALHLDMALSAREPRSVIFVVHGMGTGALKQRALEILGKHPRVANYEPESAMNFGCTVAYIN >Manes.11G148800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31335140:31339503:1 gene:Manes.11G148800.v8.1 transcript:Manes.11G148800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVRVKFRLLSLFISFLSEFFTYFVFFPSVRCIFSFILHQRYQSSSILRPSNHSDPNSKPVFITPMMRQDHSIPSGKPYLPVDLVSEILSWLSVECLARCKSVCKQWLELIQDRYFIEKHMSRSKNLNCYTNPCQENGVSYEWIIIFDGLIMERCIVLNKYRIRNLAMRRTIDLPAPTHNSRDFFVTLLICGCYKLVSVYDYREETKYSKGFEVLTLGKDEKPSWRALDTHIFRDFNGQEHRPIIVISAVAYFVRTNTVGSEDYEIVSLDMENESFTVFYLARSSFPESNKVFPMCWDFHFALSVIANNELHVLVLRDYKKGKWNKKTVIPLKFYKKNPDKFGNNVVPRRWIADKSVILFRVIKGHISDFAYSTVSEEVVCEHTKNRRRTFPFGQSLVTFKGMRPE >Manes.04G060800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:21474172:21475440:-1 gene:Manes.04G060800.v8.1 transcript:Manes.04G060800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIDVPCHFLCPISLQLMRDPVTVSTGITYDRENIERWLFSCKNNTCPVTKQVVNDEDLTPNHTLRRLIQSWCTLHSSHGIEQLPTPKPPVDKAQVSKLLNDAKRFPHMQLKILKKLRSITLESERNRSCLEAAGAVDFLASIIKKENSIYWVDEKAADDDDESEFSRATDEALAILYHLKISEKRLKTLVSSSNDGGGEFIESLVQILKHSNYQSRAYSTMLLKSIFEVADPMHLISIRSHIFTEIVQVLRDQISQQSSKAALKLLVEVCPWGRNRIKAVEGGAVPVLIELLLEISDKRACELILIILDLLCGCAEGRAEFLKHGGGLAIVSKKILRVSHMASERAVRILRSISRFSATSRVLQEMLQVGVVAKLCLVLQVDSSLKSKEKAREILKLHSRVWRNSPCIPAHLMSSYPSSS >Manes.16G110200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31583364:31583978:-1 gene:Manes.16G110200.v8.1 transcript:Manes.16G110200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQPSTQEVGKKLWHIVRVVFFMIRKGISKSRLMVDLHLMFKRGNKLAGKAIGNLMYHHHNSSFSCRSNDSLSFISPREYEFSCSNSPATFNPFHAHKRKHHHFHLAKSYKYEDVTTVAAVQKMLEMLNNEVVEASPMVLPGFGKSPMVRQLRITDSPFPLKDEGDSQVDKAAEEFIKKFYKDLKLQKNSAAFESPYHGMWGR >Manes.12G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2891683:2894896:1 gene:Manes.12G033000.v8.1 transcript:Manes.12G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAHLVTKNVPGFGPSEGRCVPCSRIPGKAVVASPCPSHGNHKIYSQVKIGSIKYASGAASFVCRASSGGPRRNPDFSKQNKRGFSRNRNRQNEERDSFENLDESDMLTSKNGPLLALTNTSKFQATAAPGPSEKEIVELFRKVQAQLRERAAVKEDKRVNTSKGKGKESETVDSLLKLLRKHTSAQGKKKEGSPEFAVDQQEQSGSNSENKSTSFLNPNYKERSGGLEPDFSSFTRPPSNFRRKSPVPQVKFKPTHSNEDPVNSKSYLNLNGEKKQQFEVIPDTAQQLELVQEEVELEFEPEPAFSFPDGDVLDELSGGEYSDINNIDADTDKQPEVEHEDLNSLKLTELRTIAKSRGVKGFSRMKKGELVELLTGSSI >Manes.14G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14215943:14216193:-1 gene:Manes.14G114200.v8.1 transcript:Manes.14G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGGKKNSSSSNSSVQYEAPLGYSIEDVRPNGGIEKFRSAAYSNCVRKPS >Manes.12G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3830883:3835666:1 gene:Manes.12G041800.v8.1 transcript:Manes.12G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYTRVEKPKPESPINENEIRITSGGPVRNYISYATSLLQEKHVREIVLKAMGQAISKTVSISEGIKRRNPRLHQDTAISSVSITDVWEPIEEGLLPVEQTRQVSMITITLSFRELNKNSPGYQAPQSVEQPKEQYQQKQPKQSRVPYNAIRDDSYGRGRGLSRGRGRNWGRGGYNYGNYQGNYQGDYQDNGGYSNWGRGGGRGRSWGYRGPGYERGRGGGGRGYSRGRGWMGGRSRGGGGGRNQG >Manes.14G144700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13355449:13371495:-1 gene:Manes.14G144700.v8.1 transcript:Manes.14G144700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVAVRVSNINGLSDRKNPSLTSFPPGNLSLNTNPAGKHHKSFSGNWKSRHSSRSLCVHGLFGGKDKNEESDGAPSKAGILGNMQNLYETVKKAQMVVQVEAVKVQKELAAAEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPESLFMCRNSLF >Manes.14G144700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13354826:13371495:-1 gene:Manes.14G144700.v8.1 transcript:Manes.14G144700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVAVRVSNINGLSDRKNPSLTSFPPGNLSLNTNPAGKHHKSFSGNWKSRHSSRSLCVHGLFGGKDKNEESDGAPSKAGILGNMQNLYETVKKAQMVVQVEAVKVQKELAAAEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLVNEAYKDAHQKSVQAMKERMSDLAQSLGMPPGLSEGLK >Manes.14G144700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13354826:13371495:-1 gene:Manes.14G144700.v8.1 transcript:Manes.14G144700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVAVRVSNINGLSDRKNPSLTSFPPGNLSLNTNPAGKHHKSFSGNWKSRHSSRSLCVHGLFGGKDKNEESDGAPSKAGILGNMQNLYETVKKAQMVVQVEAVKVQKELAAAEFDGYCEGELIKVTLSGNQQPVRTEITEAAMELGPEKLSLLVNEAYKDAHQKSVQAMKERMSDLAQSLGMPPGLSEGLK >Manes.03G183300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30453596:30457681:-1 gene:Manes.03G183300.v8.1 transcript:Manes.03G183300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSAIVSPPEELVAAGCRTPSPKITSDALMKRFVDTNPSVVSLQIGDNAQLAYTHHSESLLQPRSFAVKDDIFCLFEGALDNLGSLRQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLSGRFAFIVFDNATSTLFVASDQFGKVPLYWGITADGYVAFADNIELLKGACGKSLASFPQGCFYSTAVGELRSFENPKNKITAVPAEEEEIWGATFKVEGPAVLAARE >Manes.08G070848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12014511:12021479:1 gene:Manes.08G070848.v8.1 transcript:Manes.08G070848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENVSVSEFLETAVEAAKIAGEVIRKGFYQTKHVEHKGQVDLVTETDKACEDLIFNHLKDRYPTHKFIGEETTAAYGTTELTDEPTWIVDPLDGTTNFVHGFPFVCISIGLTIAKVPTVGVVYNPILNELFTGIHGRGAFLNGNPIKVSSQTELVKSLLATEAGTKRDKTTVDATTNRINSLLFKVRSLRMSGSCALNLCGIACGRLDLFYETGFGGPWDVAAGVVIIKEAGGLVYDPSGKDFDITSQRVAASNPLLKDVFLEALQQSE >Manes.06G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25461867:25464148:1 gene:Manes.06G124500.v8.1 transcript:Manes.06G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEDGFPPYMLFRTQKTFASVQICLRIFAIGATLTAAWLMLTNKESTKIGVFVMYARYSYSSAFRFFAFANVVVCAFSVLSLMFLFILARYGSSPSHFFFLFLHDLFMMCLILAGCAAATAVGFVGKYGNSHSGWMPICNHFVRFCHRTTISLMVSYFSLLFLLILTVTSASNSRRIKK >Manes.05G135900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:23799772:23801980:1 gene:Manes.05G135900.v8.1 transcript:Manes.05G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPNFNALRDMHISANDLLHSPEIQQVIVHQKQEKWVHQVSEASLTMLDVCSISKDVVLLVKEQLLDLQFTLRRKIFSQPNINAKIAAYNHYRKKLKKETLKCLRTLKGMRNESVVTSDISTIDQKLVVVMEVLREVRVTTLTIVESLLSLISIPWLDQKSSKGSLRSKFFSSGDQSMYEICDEMALQSANKRLEAVEIAIEYLEVELECIFRRLIQTRVSLLNILTS >Manes.03G106800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23025100:23031192:1 gene:Manes.03G106800.v8.1 transcript:Manes.03G106800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEILHKMKEKVGLCSSTASGKGKSKMSKNVTHGFHLVKGKSHHAMEDYVVAQFKQAGNNELGLFAIFDGHLSHVIPDYLRLNLFDNILKEPDFWKEPENAMRRAYHITDTTILDKSADLGRGGSTAVTAILINCQMLVVANVGDSRAVICKNGMAKQLSVDHEPSVERKDIENRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKKHLSSEPDITKEVIDEDTEFIILASDGLWKVMSNQEAADAIKDIKDARLAAKHLVEEALNRKSKDDISCIVVKFQ >Manes.16G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33205683:33210587:-1 gene:Manes.16G131400.v8.1 transcript:Manes.16G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNPLYTNPNLCKHLAEYKLRHTLTGYTSFKNCLKTTGNGRTSICRSETKIPRCCSCNGYQGRFFMCLVCSSISCSSHALLHAQSETGHDVAVDIERSELYCCLCSDQVYDPDFDKVVVSKHMMDMPNNTHVDDGIRRSSKRRKLNSLMDLDLKKCKQLFLMRDRREKSCYPLGLRGLNNLGNTCFMNSVLQVLLYAPPFRNYFLSGRHDRETCKKRSSDRLCLACNIDVIFSAVYSGDRTPYSPAQFLYSWWQHSANLASYEQQDAHEFFISVLDGIHEREGNGRTPTKDNGDCQCIAHRVFSGMLRSDVICMTCGFTSTTYDPCLDISLNMNTSNLSSVDGANKSVRPNESSSRCTLSACLDLFTRPEKLGSDQKLYCQNCKEKRDSFKQMSIRRLPLVLSLHIKRFEHSALRKMSKKIDWHLQFPFSLDMTSYLSSSIVRNRFGNRIFTFESNEADTSAEFEIFAVITHSGMLESGHYVTYLRLRNQWYKCDDAWITEVDEAVVRASQCYMIFYVQKMLYHKANEDWSCTPMSPLSDPFCPIAGCC >Manes.06G053100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16316275:16325772:-1 gene:Manes.06G053100.v8.1 transcript:Manes.06G053100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGPSVGSGGKAARRAFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITIFGGFPSTAWFDVGELSEDAPDDVEGLDAAAAHVANLLSTEPADIKIGIGGFSMGAATSLYSATCFTSGKYANGNQYPANLSAVVGLSGWLPCSKTLRNKIEGVEEAARRAVTLPILLCHGKGDDVVPYKFGEKSSRVLASTGFQDVTFKAYNGLGHYTIPQEMDEVCTWLTSKLGLEESSSS >Manes.06G053100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16316277:16325772:-1 gene:Manes.06G053100.v8.1 transcript:Manes.06G053100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLPSRIHIRVEVSSRGGKAARRAFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITIFGGFPSTAWFDVGELSEDAPDDVEGLDAAAAHVANLLSTEPADIKIGIGGFSMGAATSLYSATCFTSGKYANGNQYPANLSAVVGLSGWLPCSKTLRNKIEGVEEAARRAVTLPILLCHGKGDDVVPYKFGEKSSRVLASTGFQDVTFKAYNGLGHYTIPQEMDEVCTWLTSKLGLEESSSS >Manes.06G053100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16316275:16325772:-1 gene:Manes.06G053100.v8.1 transcript:Manes.06G053100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGPSVGSGGKAARRAFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITIFGGFPSTAWFDVGELSEDAPDDVEGLDAAAAHVANLLSTEPADIKIGIGGFSMGAATSLYSATCFTSGKYANGNQYPANLSAVVGLSGWLPCSKTLRNKIEGVEEAARRAVTLPILLCHGKGDDVVPYKFGEKSSRVLASTGFQDVTFKAYNGLGHYTIPQEMDEVCTWLTSKLGLEESSSS >Manes.06G053100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16316277:16325772:-1 gene:Manes.06G053100.v8.1 transcript:Manes.06G053100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGPSVGSGGKAARRAFEFGRTYVVRPKGKHQATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITIFGGFPSTAWFDVGELSEDAPDDVEGLDAAAAHVANLLSTEPADIKIGIGGFSMGAATSLYSATCFTSGKYANGNQYPANLSAVVGLSGWLPCSKTLRNKIEGVEEAARRAVTLPILLCHGKGDDVVPYKFGEKSSRVLASTGFQDVTFKAYNGLGHYTIPQEMDEVCTWLTSKLGLEESSSS >Manes.09G021401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4422416:4454446:1 gene:Manes.09G021401.v8.1 transcript:Manes.09G021401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAEAEIMKPRMDKREYRRIVLKNSLEVLLISDPDTDKSAASMDVGVGYSSDPVGLEGLAHFLEHMLFYASEKYPLEGSYSKYILENGGRYNAYTTYEHTNFHFDVNSDCFEEALDRFAQFFLAPLLSADATMREIKAVDSENRKNLLSDGWRIHQLQKHFSDEQHPYHKFSTGNWETLEVQPKAKGLDTRHELIKFYEENYSANLMHLVIYAKEKLDAIQSLLENKFQEIRSNNRDYISFPGQPCTSEHLQILVRVVPIEQGHKLRIVWPVTPSILHYKEGPCNYLGHLIGHEGEGSLFHVLKLLGWVTSLYADEEGWNQEFSFFKVTMDLTDDGHEHMQDIVGLLFKYIHLLQESGVCKSIFDELAGICETKFHYQDKISPIYYAIKIASNMQLYPPKDWLVQSSIPSKFSPSIIQSVVDQLSPNNVRIFWESKRFEGQTDLVEPWYKTAYSVENITDSLIQEWISSAPNENLHLPSPNMFIPSDLSLKHVDMQEKVKFPVLVRKSSYASLWYKPDTLFFTPKAYVKIDFNCPCAKSSPEAEVLTTIFTRLLKDYLNEYAYHARVAGLNYHINMADSGFQVTVTGYNHKLKTLLEKVIGKIAKFYVIPDRFAVIKEKLRKDYENHKFQQPNQHASYYCSLVLWDQKWPQMEKLEVLPHLEAEDLAKFTPMMLSRAFLECFIAGNIECNEAESIIQYVEGVFFNGPKPICQPLFPSQHLTNRVVKLERGMSYVYPIKGLNPSNENSALIHYIQVHQDEFMLNVKLQLFTLIAKQATFHQLRTVEQLGYITSLTRRNDCGIHGLQFVVQSTVKDPRNIDLRVEAFLKSFENKMYEMTDVEFKSNVKTLIDMKLEKYKNLWEESGFYWHEIVVGTLKFDRKECEVAALEQLTKQEFIDFFDDYIRVGASQKRTLSIRVYGNLHSVEYASDISEQAQPNKVQIEDLFSFRRSQPLYSSFKGCFGRTKL >Manes.10G153750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:32048840:32050216:-1 gene:Manes.10G153750.v8.1 transcript:Manes.10G153750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFMEGIFPRRRGVRQEDPMFWGLVKEKILQILNFKEGSLPVTRLRVPLVSTVVKKDHCRRLFERITARVTSSYRHLSCAGRLQLINSILRSLHVCCCCTFLLSIPKATAKDIDKRPKNKGSLGIKVVMSWNKAAIGKQVWDLVVVKSYLWASWTAKNKLRNLTSDADVPKTAKAKDWYGEGQWRLLDPLNSATEEAWEEQEDEIIWNFTTTGKYIIASAWKYFIRDGEKVTWWKLIWGSKVVPRHTFVAWLAAKARLIKWMIVYDAVCELCKCQDESIKHLFFSCSYIKGIEE >Manes.18G145487.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:28778777:28781851:-1 gene:Manes.18G145487.v8.1 transcript:Manes.18G145487.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGEEKLIAVARHIAKTLGHNESMADDILQIFSNFDGRFSREKLSEKMAGAAGDDLRACASLEQTLGSLERQISQYVVADHPIWADSADSSAFLDSIDELIATIRDWKPMATADKSISACIVRAEDFMQQAMFRLEDEFRLLMERGCESFELAPPYANGESTGNLLFDSDDDEEEAIVTNGEDHNQIPVAQPLTDYDIVIDALPSGTINDLHEIAKRMVAAGFGKDCSHVYSSCRRGFLEESMSRLGMQKLSIEVQKMSWHDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSTIQILNFADAVAIGSRSPERLFKILDLFETLRELMPDFEYNFSDQYCLVLRNDAVTIWKRLGEAIRGIFMELENLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSRETLEQVFEENVNVVPSKDSSSSSLSVQIAWVMELLESNLEMKSKIYGDSALCTVFMMNNGRYIIQKVKDSELGSLLGDDWIRKHTAKIKQLQMSYQRITWNKALGALKADNSSAVPNVAGKFLHMKDKIKFFNSYFEETYKIQSQWIIFDEQLRKEMRISLANLLLPAYGNFIKRFQNSPEVGKHADKHIKYTVEDIEARINDLFQGVSGSAGSRN >Manes.06G114000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24470025:24474021:1 gene:Manes.06G114000.v8.1 transcript:Manes.06G114000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILMRASSGPHLEIIAFGSPLQNQSLQGLHCYLLVKKCLQPIKIFIGGDNHFTSFKHEPDGWVILAEFETLCLLNTYAPNHGWKEEENSFQRRRKWHRRMLKFVVQLSDEPLIWCGDLMVSRERMEIDYSMVLKDRIISCKVHGQGIELQGSSFFPFPLCRANSLYWGIEVREPFYLPFNELSK >Manes.06G114000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24470025:24474023:1 gene:Manes.06G114000.v8.1 transcript:Manes.06G114000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYASCFLPSQIFIGGDNHFTSFKHEPDGWVILAEFETLCLLNTYAPNHGWKEEENSFQRRRKWHRRMLKFVVQLSDEPLIWCGDLMVSRERMEIDYSMVLKDRIISCKVHGQGIELQGSSFFPFPLCRANSLYWGIEVREPFYLPFNELSK >Manes.08G041400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4031088:4034501:1 gene:Manes.08G041400.v8.1 transcript:Manes.08G041400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWSVVTGSQIIFLSIAMASKTLFYFLFFSIFLLFSSLSTAKSYCFSRILSPATLGLKKEKLSHLHFYFHDIVSGRNATAVPVAEAASTKTSLSAFGLVIMMDDPLTVEPHRSSKLIGKAQGIYASASQTEISFLMVLNFAFTEGKYNGSNLSVLGRNSIFSGIREMPIVGGSGLFRFARGYAQAKTHEINLKTGDAVVEYNVFLTSEFASSLHVVFLSSVNIQMLDILRWVFFEAFKWFVCM >Manes.14G052800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4485127:4488781:-1 gene:Manes.14G052800.v8.1 transcript:Manes.14G052800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKSECHSSSLWLSPNPSKRWGELFFLCYTPFWLTLCLGIVVPYKLYESFTELEYLLLAMVSAVPSFLIPMIFVGKADSGLNWKDRYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNDVPHTTFLLTHVCFLFYHVTANMTLRRLRHAITDLPDKVQWVIEAAWILALSYFIAYLETLAISNFPYYEFVDRASMYKVGSLFYAIYFIVSFPMFLRIDEKPGDLWDLTRVAVDALGAAMLVTIILDLWRIFLGPIVPQRNAKQCLQRGLPWFHGHANET >Manes.08G162900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39801713:39803307:-1 gene:Manes.08G162900.v8.1 transcript:Manes.08G162900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRLAGDMTHLLSIVVLLLKIRNMKSCAGISLKTQELYALVFLTRYLDLFTKYYSIYNTAMKLVFIGTSVAIVWYMRYHKVVKQTYSKDEDTFRHYILILLSFVLALLIHRSFDVIEVLWAFSIYLEAVAILPQLLLLQRSRNIDNLTVNYVFLLGYVIIYLELCRFFLTFLVM >Manes.08G162900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39800472:39803307:-1 gene:Manes.08G162900.v8.1 transcript:Manes.08G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRLAGDMTHLLSIVVLLLKIRNMKSCAGISLKTQELYALVFLTRYLDLFTKYYSIYNTAMKLVFIGTSVAIVWYMRYHKVVKQTYSKDEDTFRHYILILLSFVLALLIHRSFDVIEVLWAFSIYLEAVAILPQLLLLQRSRNIDNLTVNYVFLLGTYRALYIINWIYRFFAERITFRWIPWISGLIQTALFADFFYYYIKSWKTQEQLKLPE >Manes.08G156800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39340602:39343022:-1 gene:Manes.08G156800.v8.1 transcript:Manes.08G156800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRILKEMHSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMIPDCHKRLEAALADLKGTLAELEESDQKDGPDIETARSTITEVAQLFQATDA >Manes.08G156800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39340290:39343675:-1 gene:Manes.08G156800.v8.1 transcript:Manes.08G156800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRILKEMHSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMIPDCHKRLEAALADLKGTLFNFQAELEESDQKDGPDIETARSTITEVAQLFQATDA >Manes.08G156800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39340290:39343675:-1 gene:Manes.08G156800.v8.1 transcript:Manes.08G156800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRNLKIKTSTCKRILKEMHSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMIPDCHKRLEAALADLKGTLAELEESDQKDGPDIETARSTITEVAQLFQATDA >Manes.05G150900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26002431:26004538:-1 gene:Manes.05G150900.v8.1 transcript:Manes.05G150900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLLLLALPFLLSFLLRKIKTKRNLHLPPGPKGLPFIGNLHQFDSLNPNSYLWQLSQEHGPVMSLRLGFVPILVVSSAKMAEAVMKTHDLIFCSRPALVGQQRLSYNGLDLAFSPYNAYWREIRKICMVYLFNSNRVQSFRPIREFELSHMLEKISKSAVASKPINLSEAMMSLTSTIICRVAFGKRYEEDGVGRSRFQELLKEAQALFMCFFVADYFPFLGFIDKFTGLLHRLEKNFREFDVFYEQIIQEHLDPSRSKPAEEDILDILLQLWKDRSFKVDLTFDHIKAVLMSVFLGGTDTSAATVVWAMTLLMKKPMAMKKAQEEIRKLVGRKDFVEEADCQQLPYLKAVIKETMRLQRTVPLLVPRESTEDCVLDGYDIAAKTVVYVNAWAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFGAGRRICPGIFMGLATVEVSLANLLYKFDWEMPVGMKKEDLDMDVQPGIAMHKKNALCLMARNYAGPLETYI >Manes.14G157565.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20289002:20291536:-1 gene:Manes.14G157565.v8.1 transcript:Manes.14G157565.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASFQQSFLTNALTPLILKPKTRLAVSTISCRLSQDDHNDSCRSKSENKLAKLAIVTLAAGVLALGSVDDASAAKSGGRVGGQAFRSSAPRSSPRINSNSRTNIYVNPPVAPPLVGGYGYGYGVPFYGGWGWSPFSFFAPGPSVAIVGGGFETLALFMFLGAVAAVIRRFIGSRNEDDYE >Manes.12G063604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6719543:6747452:-1 gene:Manes.12G063604.v8.1 transcript:Manes.12G063604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKLNSGPPHSPSDYNVEYRAIADDAWYSVRAVLDGEKLTVKYCNFSDDHDNVFEPQYFKSVVEIEEFVNRFRPVSNQLQDRDCKNVAEGAVVCASHSFSDLDVRFHDAVIDDVFHKNHSFVNGEEQCMCTFVVIWQHGPGAGCLANKKIENICIVRSNAELDPNVDIFSKIVREKLESAAYCNGTIHGEIASLPMKLGSTCAQRFYQETNCASQSTRNRWSYEEIERICHHTQRIKEESDIGGIENHYVVLIDNVDKDMSPSTITEFIHRQTSISVRVFVLPSSTAETFTKGAIVLDSKKNLQKLCEFLDSPNHIIMSRRGRPWVIADKLNRHDKYMVPLGNLMPKYQIGMKFCDNSNSIFRKLNLPWFSKLINIAGIWNTMYFGPFQVLARIDSTAYRLQLPSAARVHPVFHVSQLKKQIVDNEVIVSLPSKLAIEAPSPTLPETILSWRILTCDGQSIPQCLIKWHYPSIDDATWMFEADLKGQFPDFSLEDKAVVKESGSDTTLQHKKRTRDTWRVYSGRSKRNLEDLGEQS >Manes.03G131500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25807576:25825606:-1 gene:Manes.03G131500.v8.1 transcript:Manes.03G131500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMDETEVDAQVYMACILHGHRVGISYYDSSIRQLHVLEVWEDGSSDFPLIDLVKYQAKPLIIYTSSKSEESFISALQRSDGTSETPTVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERICYLSSMMDMGSEVQVRASGGLLAILENERIVDTLEQKECGSASITIDSVTEILLNKFLKLDATCHEALQIFQVDKHPSHMGIGRSKEGFSVFGMMNKCVTPMGQRLLRNWFLRPILDLESLNGRLNAISFFLCSGELMVSLRETLKSVKDISRILKKFNSPSSTCTAGDWTAFLKSICSLLHVDKIFKVGISESLQEQAKCLSFNIVEKASSCISTELVDVYELVIGVIDVNRSKEKGYGTIVKDGFCDELDELREIYEDLPEFLQQISSVEVAQIPHLGNQKHVPCIVYIHQIGYLLCIFEEKLDETLMEKIKDFEFVFSDADGETKRFFYRTPKTRELDDLLGDIYHKILDMERAIIRDLVSHIILFSAYLLKAVNFVAELDCLLSLALVARQNNYVRPILTEETFIDIQNGRHVLQEMTVDTFIPNDTKILDDGRINIISGPNYSGKSIYIKQVALIVFLSHIGSFVPADAAIVGLTDRIFCAMGNKLMTAEKSTFMIDLHQVGMMLRQATSQSLCLLDEFGKGTLTEDGVGLLGGTINHFIECDDPPKVLVCTHLTELFNDSYLPKSDKVKFYTMSVLRPDNNSTDVEDIVFLYRLVPGHTLLSYGLHCALLAGVPAEVIKRAAVVLDAIGNNNHVERLCNENISAQDKQCKDAVDKMLAMDILKGDLNLFFQDIFLP >Manes.14G023400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2228708:2230470:-1 gene:Manes.14G023400.v8.1 transcript:Manes.14G023400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASKAPRKGMLGGRTPLGDLSNSVKSSLNQASKKQHSSIFSLSEKQSGVSQTALAATKKKSTSIAAGKVHTSSRKALSDISNSGKPNLNEGSKKKYNANLSVVAEELIDANTIAVEKFLHNHQECIKAQAKAMDLDKFLQTLGLDNGFSKQQANPKSTRVTAETPLRHLKLKEMTEQLFEDGSWKHKVTSKVDSPPACRTPKSPKHFMDVDYKFKLLESP >Manes.14G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2228708:2230470:-1 gene:Manes.14G023400.v8.1 transcript:Manes.14G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAGAVVQDQNINIHYNDAYVGQANASKAPRKGMLGGRTPLGDLSNSVKSSLNQASKKQHSSIFSLSEKQSGVSQTALAATKKKSTSIAAGKVHTSSRKALSDISNSGKPNLNEGSKKKYNANLSVVAEELIDANTIAVEKFLHNHQECIKAQAKAMDLDKFLQTLGLDNGFSKQQANPKSTRVTAETPLRHLKLKEMTEQLFEDGSWKHKVTSKVDSPPACRTPKSPKHFMDVDYKFKLLESP >Manes.14G023400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2228707:2230470:-1 gene:Manes.14G023400.v8.1 transcript:Manes.14G023400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFKTHLQKLPRTEILGEKAKNSGNWLPIIVRRSKKSEPNYAYVGQANASKAPRKGMLGGRTPLGDLSNSVKSSLNQASKKQHSSIFSLSEKQSGVSQTALAATKKKSTSIAAGKVHTSSRKALSDISNSGKPNLNEGSKKKYNANLSVVAEELIDANTIAVEKFLHNHQECIKAQAKAMDLDKFLQTLGLDNGFSKQQANPKSTRVTAETPLRHLKLKEMTEQLFEDGSWKHKVTSKVDSPPACRTPKSPKHFMDVDYKFKLLESP >Manes.14G023400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2228708:2230470:-1 gene:Manes.14G023400.v8.1 transcript:Manes.14G023400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRTPLGDLSNSVKSSLNQASKKQHSSIFSLSEKQSGVSQTALAATKKKSTSIAAGKVHTSSRKALSDISNSGKPNLNEGSKKKYNANLSVVAEELIDANTIAVEKFLHNHQECIKAQAKAMDLDKFLQTLGLDNGFSKQQANPKSTRVTAETPLRHLKLKEMTEQLFEDGSWKHKVTSKVDSPPACRTPKSPKHFMDVDYKFKLLESP >Manes.09G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30828150:30830356:-1 gene:Manes.09G107700.v8.1 transcript:Manes.09G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSRSSAGSGSGCFSGIARLLLCKGSLQTHPADQIASPNTAEFDLVSTYQKNEVSVKLGSETATAAAAAPGVVAKLMGLDSLPDTNWVPKGRTPDSVTRSRSVNFMDYLLEFDLAQAKHRRVRTSVSFREVPTLLNQQNQHDFFVLYLDNMEKTKKPGSKLRKSEVGLDEEIKQQKKEDQKGKNKVINNIPAREAGMKKNEKNQRKNLNISNLKDEPRKISKNKQSFRLGSCKGAQEKSLGFVSPRKKDGISKAKSPVKPMNQKEVLVESKFMKKIKNQHAIKDAQSDCSSEDSSPVSVLDLDEFSFHDLAYLSDYTSPQKPNYAFPQASRSWSPGDDAKYYTEVVRKLNRLTEEDIEESHWLGKNFFKLASFEEICIEFTGQILELLVKQVAEELVGLHMENCERG >Manes.09G107700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30828150:30830601:-1 gene:Manes.09G107700.v8.1 transcript:Manes.09G107700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSRSSAGSGSGCFSGIARLLLCKGSLQTHPADQIASPNTAEFDLVSTYQKNEVSVKLGSETATAAAAAPGVVAKLMGLDSLPDTNWVPKGRTPDSVTRSRSVNFMDYLLEFDLAQAKHRRVRTSVSFREVPTLLNQQNQHDFFVLYLDNMEKTKKPGSKLRKSEVGLDEEIKQQKKEDQKGKNKVINNIPAREAGMKKNEKNQRKNLNISNLKDEPRKISKNKQSFRLGSCKGAQEKSLGFVSPRKKDGISKAKSPVKPMNQKEVLVESKFMKKIKNQHAIKDAQSDCSSEDSSPVSVLDLDEFSFHDLAYLSDYTSPQKPNYAFPQASRSWSPGDDAKYYTEVVRKLNRLTEEDIEESHWLGKNFFKLASFEEICIEFTGQILELLVKQVAEELVGLHMENVR >Manes.13G134350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34217464:34217799:1 gene:Manes.13G134350.v8.1 transcript:Manes.13G134350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSPFHASQIHQQTKSLRSSLSYCIKANYNHSPCGNGTRVPAILQGNLSNPTKFVSINTLYTLSEKEDLPHFSFWHLFLAANADATVASDIDGLFLLFEARRFHVCGYS >Manes.14G144051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13318296:13324408:-1 gene:Manes.14G144051.v8.1 transcript:Manes.14G144051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYLTGFHTNDSNTYDELHCLKGLNNFSMYHNELLQQAIKELQEEHRTVTIVYGDYYNAYKWVLQKAALLGFDSKSLQKACCGSGGDYEFSIKRF >Manes.15G193201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:32886099:32886266:-1 gene:Manes.15G193201.v8.1 transcript:Manes.15G193201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.10G128000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30111287:30116769:-1 gene:Manes.10G128000.v8.1 transcript:Manes.10G128000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVAAAGSILSVCFQGLLDRLNSIDLMKYVGQDQVFAQLKKWEKMLQRIYEVLEDAEEKQTANRSVKIWLCDLRDLAYDLEDIIDELATEVQQRKFKEEPVHPKNKVHEFFCGMCGGGNINLNTIKFNLEMVAKIEETSARLYEIIKQKDELRLAEYTTRRVSHVTERPPATSLVNEAKVYGREEDKKAMLKLLNAETSHAQVSVISIVGMGGLGKTTLAQLVYNDPMLQFDLKAWVSVGEDFDVSRVTKTFLLQLGDGGDDKDLNVLQVKLKQKLSGKKFLVVLDDVWTQNYEQWTLFWGPFEAGAPQSRVIVTTRSQRVSSRIGATQAYSLKTLSHNECMSVFAQHALGANNFDDHLELKEIGDEIVERCGGLPLAAKALGGILRGEPNPDSWKEVSRSKIWELPENESNILPFLRLSYLHLPPHLKRCFSYCAMLPKDREFDRNELVLLWMAEGFLYDQKKMKDSEDLGRKYFDDLLSRSFFQPSIDDKSKYLMHDLIIELACYVSGETCLHMVDKLESTKPYAKIRHSSFIPHYTNTAQRFQSFYGMKNLRTFLSVREYPFRCHMTSKVVHELVPKLKCLRSLSLAGYEIKELPNSIGDLKHLRYLNLSDNPIQGLPESVDKLFNLQTLMLRDCDELIELPKGICNLLNLQHLDIIGTRKLKEMPPHIGNLTSLWVLTKFIVGKSNGRITELKKLCDLRGQLHITSLENVEVADIPDAGIVNLKDKPGITELHLEWAEADERFDDLRNPSREEQVLDSIQPHQSLSSISITSFGGRKFPSWLGEPSFSGMVQVQLWKCRQMTSLPPLGRLKSLKKLSIGDMSGVKEVGVEFYEDDSCFSCLEELEIRSMGEWELWAWSKGLGEDSVPKFPKLHDLQLYECPKLVGELPNFLPSLENLVIDDCPLLVELPKVLPSLTRLHFEKCQEAILRSVTNATSLTSLKYLKIRLCDELVSLVDGEPGLLPCNLEVLDIDECPNLKELPSGLKDLKSLKDLTINGCTSLVSFPTGGLPHNMIRLRITSCKSLESMPEGIVCPSNYSGETSHLEELYISGCESLRCSSNGKFPYSLKTLRIHNWTPQFLNSLYCGLSHLTELHIENCPQLESFPGKELPLPSLISLTIAHCEGLRSLSNHMQDFQSLECLVIVGCRQLELFPEMGLPNPKLVSFQIMRCRNLRSLPNQMQNLTSLQSIVISVCKGMESLGEGSLPPKLTSLEIWQRLNTKQPMLEWGLHRLASLRSLVLNVESTGDFISFPDDDGFLLPTSLTHLCIIGFKNLKSISRGIQKLTSLEILTIWRCPKLQSFPAEGLPATLQCLGIHSCPLLRDRCLKDKGGDYWPIISDIPCVDIEN >Manes.03G092500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21754806:21757329:-1 gene:Manes.03G092500.v8.1 transcript:Manes.03G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRDYFILTAISVVWAAVYASEECDFFSGRWEIDEEYPLYNSSACPFIEREFGCQKNGRPDSLYTKYAWKPLACDLQRLNAEQMLERFRGKSIMFVGDSLSRNQWQSLTCILHSSLPNANYTIARQGDVSTFTFTDYGVKVMLDRNVYLVDVVREPIGRVLKLQSIEGGKLWKGIDLLIFNTWHWWNRRGPTQPWDYIQTGNEIKKDMDRMLAFEEALTTWGAWVDSNIDPTKTLVFFQGISPSHYNGSLWNQPRAKTCVGQQEPVEGSRYPGGLPLAVDVLKRALKKIRKPVKLLDITLLSLLRKDGHPSIYGLGAMDCSHWCLAGVPDTWNQILYNLIL >Manes.09G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8493712:8495432:1 gene:Manes.09G050400.v8.1 transcript:Manes.09G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTRRLQGKVALITGGASGIGKSTARLFLQHGAKVLITVRREDQGQSFCQEFNSPETISYVLCDITCESDIKNAVDTAISRYGKLDIMFNNAGIGGNGEPGILASTNESFKKLLDVNLFGAFLGAKHAARVMIPAKKGCILFTSSLASVCCVDASLHAYTASKHAVVGLAKNLCVELGQYGIRVNSISPFGVATPMTTNALNMVKKEAEELISSTGILKDAVLEPEDIAQAALFLASDEAKYVSGINLVIDGGYSLTNPSFGMALKSIFS >Manes.07G079554.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24642271:24643779:1 gene:Manes.07G079554.v8.1 transcript:Manes.07G079554.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.06G031500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8149688:8153313:-1 gene:Manes.06G031500.v8.1 transcript:Manes.06G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKFSILISLPLIIIFLMVSGEQNPQLQLDKERASLLSFKSGITLDPEGALESWNSNIHVCNWTRVKCNNSSNQILQLDLSDLSLSGRISPALSNISSLLVLDLSRNFFEGHIPAELGQLSKLRQLSLSWNLLEGNVPSELGFLRQLVYLDLASNRLGGNLPVQFFCNGSSFLEYMDLSNNSFSGEIPLKNEYALRELRYLLLWSNRLVGHVPQALSNSSKLKWLDLESNMLSGELPSEIVRKMPELQFLYLSYNDFDSQDGNTNLEPFLASLVNSSNFEELELAGNNLGGRIPPIVGDLPAKLVQVHLDENLLYGSIPPHISNLVNLTLLNLSSNLLNGSIPPELCRMGRLERVYLSNNSLSGEIPAALGGISHLGLLDLSRNKLSGPIPDSFANLSQLRRLMLYENQLSGTIPPSLGKCINLEILDLSHNQISGLIPSAVAALRSLKLYLNLSSNLLQGPLPLELSKMDMVLAIDLSSNNLSGTIPTQLGSCIALEHLNLSGNILEGSLPASIGQLPYLKQLDVSSNKLSGEIPPSLEASSTLKQLNFSFNNFSGNVSNKGAFSSLTIDSFLGNIGLCGTIKGMSRCRKKHAYRSFLLPILLSLFATPFLCMFLVRRSRFRKQLTMFNQGELVDEEKETKELQYPRISYQQLSEATGGFCASSLIGSGQFGHVYKGVLQDNTRIAVKVLDSKTAMELSGSFKRECQVLKRARHRNLIRIITICSKPDFKALVLPLMSNGSLERYLYPSHGLNSGLDLVQLVSICRDVAEGMAYLHHYSPIRVVHCDLKPSNILLDDDMTALVTDFGIARLVNGINENTTTSGSVSFSSTDGLLCGSVGYIAPEYGIGRKASTQGDVYSFGVLLLEIIAGKRPTDVIFQEGSSLHEWVKSHYPRKLEPIIEEAMLRIAPPTMPAYCNKLWSEVIVELIELGLMCTQYNPSTRPTMLDVAYEMGRLKQYLSNPPSLLMHNNEE >Manes.06G031500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8149838:8153253:-1 gene:Manes.06G031500.v8.1 transcript:Manes.06G031500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKFSILISLPLIIIFLMVSGEQNPQLQLDKERASLLSFKSGITLDPEGALESWNSNIHVCNWTRVKCNNSSNQILQLDLSDLSLSGRISPALSNISSLLVLDLSRNFFEGHIPAELGQLSKLRQLSLSWNLLEGNVPSELGFLRQLVYLDLASNRLGGNLPVQFFCNGSSFLEYMDLSNNSFSGEIPLKNEYALRELRYLLLWSNRLVGHVPQALSNSSKLKWLDLESNMLSGELPSEIVRKMPELQFLYLSYNDFDSQDGNTNLEPFLASLVNSSNFEELELAGNNLGGRIPPIVGDLPAKLVQVHLDENLLYGSIPPHISNLVNLTLLNLSSNLLNGSIPPELCRMGRLERVYLSNNSLSGEIPAALGGISHLGLLDLSRNKLSGPIPDSFANLSQLRRLMLYENQLSGTIPPSLGKCINLEILDLSHNQISGLIPSAVAALRSLKLYLNLSSNLLQGPLPLELSKMDMVLAIDLSSNNLSGTIPTQLGSCIALEHLNLSGNILEGSLPASIGNVSNKGAFSSLTIDSFLGNIGLCGTIKGMSRCRKKHAYRSFLLPILLSLFATPFLCMFLVRRSRFRKQLTMFNQGELVDEEKETKELQYPRISYQQLSEATGGFCASSLIGSGQFGHVYKGVLQDNTRIAVKVLDSKTAMELSGSFKRECQVLKRARHRNLIRIITICSKPDFKALVLPLMSNGSLERYLYPSHGLNSGLDLVQLVSICRDVAEGMAYLHHYSPIRVVHCDLKPSNILLDDDMTALVTDFGIARLVNGINENTTTSGSVSFSSTDGLLCGSVGYIAPEYGIGRKASTQGDVYSFGVLLLEIIAGKRPTDVIFQEGSSLHEWVKSHYPRKLEPIIEEAMLRIAPPTMPAYCNKLWSEVIVELIELGLMCTQYNPSTRPTMLDVAYEMGRLKQYLSNPPSLLMHNNEE >Manes.04G127950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33072352:33078859:-1 gene:Manes.04G127950.v8.1 transcript:Manes.04G127950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTEFPGGQVAEHTSAEDLLKKFSYRELADATDSFSENHSLGIGDFGDVYRGSLPSGEVVAIKKLKCKQDGEQKEELENQIKDVGSVSHPNLVKLVGYCREKANRLLVLEFVPNKSLKFHLSDEKKRSELKWSTRMEIALGSARGLAYLHEQCNPKIIHRDIKSDNILLDNNFKSKVADFGLAKIFPEINIRHIDKREDTIYTDPEISDDPEISDEKLDIYSFGVILLELISGRRIYESDLDIVNWAKPLMTKGDSINVNYKNLVDSTLKEDYDQIEMLSIIYCAAASLYSPSSKLRPTMGQIVRTLEGKMPHKELWVVEGTQSASNDDIAYKLKTYTYSELAHATKSFTQGRQLGNGGFGSVYRGSLPRGKDVAIKKLYHQDSGQDQEEFEKEVNAVGNVHHGNLVKLVGYCNENSDRLLVLEFVTNKSLRDHLNDGRSNLKWSVRMKIAKGCAKGLAYLHEECNSKIIHRDIKAENILLDENYKPKIADFGLAKFFPITNSVTHISSHWKGTNVYADPENYNTQQGESIQQLSDKSDVYSFGVVLLELISGRKINDEHQVDIVKWAKPLMIKGDSVEINYSSLVDSTLKGHYDEKEMEIMIYCAAASVYRPAKLRPRMKQIVEALEGKMSPSELWAVQDVKFISRKPNELELQRPSLLEKFDFDLLAEAAGHFSGERRLRRHGSCEVYEGELPGSDQRKVAIKKLDYMSFKQNKEEFEKEIMAISNVNHRNIVNLIGYCSDEEDNRLLVFEFVANNSLKFHLHENGGSTIDWTRRMEIAKGAARGLKYMHEDSGHKILHLYVKSDNILFDDKFIPKLAEFGSAKIFPDSLTHLSISKFKQNSGYMAPEYQSTIKLTDKLDIYSFGVILLELITGKQPFGHFSGPNDMVNWAKPLLSQSLLEGKDKLDFVDEKLQEYNTEQMDRMIACVLACVDDDPQRRPRMSQILDVLEGNKSLKETIKFLNLNQMKSGN >Manes.01G267100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40372468:40374403:-1 gene:Manes.01G267100.v8.1 transcript:Manes.01G267100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPAPPRQWFRLPSIARPAPEPAPTPAPEPAPPQPRPPLARPSFRPAAPSIPPPTQSQGPTPAPPTTTSVAGGGVASVPTSPVPRAPGSSASLPASPAQTTTVVAPVTTSASVPSSPRPRVSAPTSTLPPSPSPKPAQMDSLVSTASAPKPAPPSSVPTSPLKPMPTTISAPTSPVPRPAPITSSVPTSPVSKPAPVTSSVPTSPVAKPAVITSSVQTSPVSKPAPITSSVPTFPALKTMTTATARVPSPEPSPRTIKPAVQSPAQSPKTKPTAPPPSPLILPPARIRADAETNAKIPLEAEQKTVLVQKIIDKPQPWVNGRESERNLVDALKTSIAQNGKQEPKKDGETKEKGQGKKISSDSEDGGMRVITIAGENKGAFMEVIRSPNKKHVFEGNPQYLNKSGNHKSYGNVWGSHSSSSSSSGEEGNPKKDKSQKERSKLSPPMSTFMNSNVQGVNNSIVYNSSCTHHDPGVHLAMSRKPSGGGLHIKERGNEH >Manes.08G058601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6783032:6783917:-1 gene:Manes.08G058601.v8.1 transcript:Manes.08G058601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVALNHFSLRSLTVMVVFTFFLLVLPLVLPPLPPPPLFLLFLPVLIMSALIFLALTPSNVPDTDSISV >Manes.09G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1980403:1985596:1 gene:Manes.09G009000.v8.1 transcript:Manes.09G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAIPRSTSEILISSSSSSKIRLPSSTRSTSSSSRSNKSSYWLAWSNVNKLGNFITIGRKSSELSHSDLTEESLEAHNRRQEAFILQNQRVDYKNAKCSPYYKGLTDYSLDINREKHHLNQSSSPGRESHATTFVSNSSSISSFVLVKIQEWTACFSSLKYSSTKDKQNHVPAPAPAAALASAPAPPVPVPVPEHPVESTPLETDKIVSSVIQEEKPLRERVLPSEPEPPSSSPPPPPPPPPPPPSPPSIKAEAPAKVDEKNDTAIDMLDGRKQFTWADKYRPKALKDFICNQATATRVQGLIKGEECNHFIFEGPTGVGKRTMISAMLREAYGPDRVKTREESKAFYLKGESVGSIEVKIKVSSQHVEVNLSDLKGYEKHVIVDLIKETSNGMSKKGLQSKTDSCRAIILYNADKLSADAVLYIKWLLERNKGSSMFFFCCSDVSKLQPVKELCTFVQLHLPSNEEIVEVLEFIAEQEGIDLPREFAETIAINSKNNLRQAIRSLEASWERSYPFTEDQKFLTGWEDDIANIAKDMVQEQSPKQLYIIRGKLQNLIEHDVSPSFIFKALVEELKGNLDTFCQLQLDNLYKDYILREKLSLEDGKRPHDSAKHKFQKYMNIEEFIAKFMSLYKLHITTSKSMEHDSEA >Manes.17G111200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31748077:31759002:-1 gene:Manes.17G111200.v8.1 transcript:Manes.17G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASRYKGVVGLVFGNENSASNEDSYVERLLDRISNGVLAEDRRTAMAELQSVVAESHAAKMAFGVMGFPVLMGVLKEEREDVEMIRGVLETLVSALTPSDHAKGPGNEVQPALMNTDLLAREAENISLLLSLLSEEDFYVRYYTLQILTVLLTNSPNRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSDGGVVVQDCLELLNNLLRNNASNQILLRETVGFDALISILKLRGSAYSFTQQKTINLLSALETINLLMVGGAEPGKDTNKLTNKTVLVQKKVLDYLLMLGVESQWAPIDVRCGALRCIGNLIAGHPKNLDALATKFLGEEPQVEPALNSILRIILRTSSVQEFMAADHVFKSFCQRNSDGQAMLASTLIPQPHSMTHAPIEEDVNMSFGSMLLHGLTLSESDGDLETCCRAASVLSHVLEDNIQCKERVLRIELESPMPSLGAAEPLMHRMVKYLALASSMKNKDGKSRSTRKVYVQPIILKLLVTWMVDCSSAVQCFLDSRPHLTYLLELVSNPSATVCIRGLAAVLLGECVIYNKSSERGKDAFTVVDAISKKVGLSSYFLKFDEMMQTFIFSSEKQVEPHKRLMRSAAASMADIDDVDEQDSSDQKEDHPILSSIFDSSFVNFVKRVEKDIRETIADIYSHPKSEVAVVPAEMDQKNGESDKDYIKRLKSFLEKQCSEIQNLLGRNATLAEELAKTGGSSQNEQKASGGSERVQAETLRRDLQEASQRIEMLKAEKAKIESEASMYQNLAGKMESDLKSLADAYNSLEQANFHLEKEVKALKKGGVSAVPDIEAIKEEAREEAQKESEAELNDLLVCLGQEQNKVEKLSARLLELGEDVDKLLEGIGDDMGLPEDDEEDED >Manes.15G026500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2093578:2101184:1 gene:Manes.15G026500.v8.1 transcript:Manes.15G026500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRVSMAATNGLAPRRRHRSGGIRDSPENDGPVELQETARLRDRVAGKKDRDRDRERERDRDRDRDRERDRERDRDRDRDRDRMGSRGKRRRGDRLMHGSNREEGGDESSEESVNDDEDDEDDDGGGVGSSMRMLPPNPSSLSSSSMSNHHHRKSLPPPAKVLRAAPATALWKAPDEMIGVSVPRKARSASTKRSHEWASSCGVGTEQIHRQASTSPVRSSGPSVAAMLASASASPAPVSPSSSNASIKKKMPNGPKQRPPKSSTKFTSSAQEEIEIEIAEVLYGLMRQPQVPTKQEILVNDSIKFDSREVSNHKSNGDAKSRVSSPISNSPSTVPHSSPIPPTNSSSSATLMSATAPRRKKPRPVKYDDENPSVYPARNSSISSTIKVDIDQPPKIETCSPNLDKNSGSAAENGVILHDTTTSQAVPVSTESQPQQQEQVMPESNSLLDSKPSVQESESRDLAVSKEKPQSPKGEFSHAGLRLDDDRERVTATKAILTVSDIETQREEKFQIDLMAPPPVRSSPERDSEVVSVTVDPKPVITDLETLLHVQEMKPAVKGEDKAVKMGKDVIEELEEKKTKILAEEIESHKPNVIVNKERNIDLHLDLEKSDKDNGVVAGSGSKAHQLVQKQPQQQQPSTNKAAQSNSLPLPISMANWPGGLPHMGYMAPLQGVISMDGSAVTSAAIQPPHLLFGQPRPKRCATHFYIARNIHYHQQFTRMNPFWPAAAGSALQFGAKACNVNVVPSTDLHASKGMSSVQDKGNSLAIFPGHTGKEKSSQTSNVVDTAQRKQILLQQPLAPGAPSNILHGPAFIFPLNQQQAAAAAAVSVRPGPLKSPMAGTTASSSASNSASISAATTAVAGATTMSFNYPNISGNEPQYLAILQNSPYPIPIPAHVGATTAYRGTAPQPMPFFNGSFYSSQMIHPQLQQQQPPIPHSQQGQQVHQNSSISSGSSSSQKHLQNQQQRPHGSGINSGSGNLQGFPNLKNQPPQSSQIQQRQQMQNQNVPHQARQLDSELGGEDSPSTADSRVSRANMSIYGQNFGMPMHPPNFALMTASTVGGATASGNPGEKKQQQSQPQSSKVGIEPSQAFAMSFASINGAATSSSLDISSTAQNHAILQSLPEAARHGYHFMAAAAVAQAAQQKKNYRVSEEGKTGGADGSNVEEERKVIPGGKAQLNSGQSIAFTRPDLTDTSGSTIPGNTVIDSSVRTLNLGSASARATGSVMPSSISTISASNVQQLQRNQQQQQQQMIQLQKHQQFAAAAAASSRSKTPATVNGSVYTDHISSSSSMAGKFPNSLSGFPSILVQSGSSPAHSPQWKNSVRTTTSQVPSPSLSSTSSSLKNLPQQQGRVQQGHAQISFASNPKPSAAPQGQSAPSSTQSPSPPVVVGSPTTSSISKSAGGSPRTTSTSTTNKGAQSSTLSSQQGKNSSGPTQKSSPVGGKNIPSILGHPHNSPSTASSATKSQLTPQQQQQLHALQQTQMLYNGSYMQAQAQHAANSTHATSVASGHYFQRHRSDQQQQPQVSSTGMLCSPVIVPNTITTDPAKAVAAATAASNMKGGGLPPQGLHFSAAQSSGKPHLVPAGFPYVHAVQVKPAEQKQPAAE >Manes.15G026500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2093578:2101184:1 gene:Manes.15G026500.v8.1 transcript:Manes.15G026500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRVSMAATNGLAPRRRHRSGGIRDSPENDGPVELQETARLRDRVAGKKDRDRDRERERDRDRDRDRERDRERDRDRDRDRDRMGSRGKRRRGDRLMHGSNREEGGDESSEESVNDDEDDEDDDGGGVGSSMRMLPPNPSSLSSSSMSNHHHRKSLPPPAKVLRAAPATALWKAPDEMIGVSVPRKARSASTKRSHEWASSCGVGTEQIHRQASTSPVRSSGPSVAAMLASASASPAPVSPSSSNASIKKKMPNGPKQRPPKSSTKFTSSAQEEIEIEIAEVLYGLMRQPQVPTKQEILVNDSIKFDSREVSNHKSNGDAKSRVSSPISNSPSTVPHSSPIPPTNSSSSATLMSATAPRRKKPRPVKYDDENPSVYPARNSSISSTIKVDIDQPPKIETCSPNLDKNSGSAAENGVILHDTTTSQAVPVSTESQPQQQEQVMPESNSLLDSKPSVQESESRDLAVSKEKPQSPKGEFSHAGLRLDDDRERVTATKAILTVSDIETQREEKFQIDLMAPPPVRSSPERDSEVVSVTVDPKPVITDLETEMKPAVKGEDKAVKMGKDVIEELEEKKTKILAEEIESHKPNVIVNKERNIDLHLDLEKSDKDNGVVAGSGSKAHQLVQKQPQQQQPSTNKAAQSNSLPLPISMANWPGGLPHMGYMAPLQGVISMDGSAVTSAAIQPPHLLFGQPRPKRCATHFYIARNIHYHQQFTRMNPFWPAAAGSALQFGAKACNVNVVPSTDLHASKGMSSVQDKGNSLAIFPGHTGKEKSSQTSNVVDTAQRKQILLQQPLAPGAPSNILHGPAFIFPLNQQQAAAAAAVSVRPGPLKSPMAGTTASSSASNSASISAATTAVAGATTMSFNYPNISGNEPQYLAILQNSPYPIPIPAHVGATTAYRGTAPQPMPFFNGSFYSSQMIHPQLQQQQPPIPHSQQGQQVHQNSSISSGSSSSQKHLQNQQQRPHGSGINSGSGNLQGFPNLKNQPPQSSQIQQRQQMQNQNVPHQARQLDSELGGEDSPSTADSRVSRANMSIYGQNFGMPMHPPNFALMTASTVGGATASGNPGEKKQQQSQPQSSKVGIEPSQAFAMSFASINGAATSSSLDISSTAQNHAILQSLPEAARHGYHFMAAAAVAQAAQQKKNYRVSEEGKTGGADGSNVEEERKVIPGGKAQLNSGQSIAFTRPDLTDTSGSTIPGNTVIDSSVRTLNLGSASARATGSVMPSSISTISASNVQQLQRNQQQQQQQMIQLQKHQQFAAAAAASSRSKTPATVNGSVYTDHISSSSSMAGKFPNSLSGFPSILVQSGSSPAHSPQWKNSVRTTTSQVPSPSLSSTSSSLKNLPQQQGRVQQGHAQISFASNPKPSAAPQGQSAPSSTQSPSPPVVVGSPTTSSISKSAGGSPRTTSTSTTNKGAQSSTLSSQQGKNSSGPTQKSSPVGGKNIPSILGHPHNSPSTASSATKSQLTPQQQQQLHALQQTQMLYNGSYMQAQAQHAANSTHATSVASGHYFQRHRSDQQQQPQVSSTGMLCSPVIVPNTITTDPAKAVAAATAASNMKGGGLPPQGLHFSAAQSSGKPHLVPAGFPYVHAVQVKPAEQKQPAAE >Manes.15G026500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2093578:2101184:1 gene:Manes.15G026500.v8.1 transcript:Manes.15G026500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRVSMAATNGLAPRRRHRSGGIRDSPENDGPVELQETARLRDRVAGKKDRDRDRERERDRDRDRDRERDRERDRDRDRDRDRMGSRGKRRRGDRLMHGSNREEGGDESSEESVNDDEDDEDDDGGGVGSSMRMLPPNPSSLSSSSMSNHHHRKSLPPPAKVLRAAPATALWKAPDEMIGVSVPRKARSASTKRSHEWASSCGVGTEQIHRQASTSPVRSSGPSVAAMLASASASPAPVSPSSSNASIKKKMKPNGPKQRPPKSSTKFTSSAQEEIEIEIAEVLYGLMRQPQVPTKQEILVNDSIKFDSREVSNHKSNGDAKSRVSSPISNSPSTVPHSSPIPPTNSSSSATLMSATAPRRKKPRPVKYDDENPSVYPARNSSISSTIKVDIDQPPKIETCSPNLDKNSGSAAENGVILHDTTTSQAVPVSTESQPQQQEQVMPESNSLLDSKPSVQESESRDLAVSKEKPQSPKGEFSHAGLRLDDDRERVTATKAILTVSDIETQREEKFQIDLMAPPPVRSSPERDSEVVSVTVDPKPVITDLETLLHVQEMKPAVKGEDKAVKMGKDVIEELEEKKTKILAEEIESHKPNVIVNKERNIDLHLDLEKSDKDNGVVAGSGSKAHQLVQKQPQQQQPSTNKAAQSNSLPLPISMANWPGGLPHMGYMAPLQGVISMDGSAVTSAAIQPPHLLFGQPRPKRCATHFYIARNIHYHQQFTRMNPFWPAAAGSALQFGAKACNVNVVPSTDLHASKGMSSVQDKGNSLAIFPGHTGKEKSSQTSNVVDTAQRKQILLQQPLAPGAPSNILHGPAFIFPLNQQQAAAAAAVSVRPGPLKSPMAGTTASSSASNSASISAATTAVAGATTMSFNYPNISGNEPQYLAILQNSPYPIPIPAHVGATTAYRGTAPQPMPFFNGSFYSSQMIHPQLQQQQPPIPHSQQGQQVHQNSSISSGSSSSQKHLQNQQQRPHGSGINSGSGNLQGFPNLKNQPPQSSQIQQRQQMQNQNVPHQARQLDSELGGEDSPSTADSRVSRANMSIYGQNFGMPMHPPNFALMTASTVGGATASGNPGEKKQQQSQPQSSKVGIEPSQAFAMSFASINGAATSSSLDISSTAQNHAILQSLPEAARHGYHFMAAAAVAQAAQQKKNYRVSEEGKTGGADGSNVEEERKVIPGGKAQLNSGQSIAFTRPDLTDTSGSTIPGNTVIDSSVRTLNLGSASARATGSVMPSSISTISASNVQQLQRNQQQQQQQMIQLQKHQQFAAAAAASSRSKTPATVNGSVYTDHISSSSSMAGKFPNSLSGFPSILVQSGSSPAHSPQWKNSVRTTTSQVPSPSLSSTSSSLKNLPQQQGRVQQGHAQISFASNPKPSAAPQGQSAPSSTQSPSPPVVVGSPTTSSISKSAGGSPRTTSTSTTNKGAQSSTLSSQQGKNSSGPTQKSSPVGGKNIPSILGHPHNSPSTASSATKSQLTPQQQQQLHALQQTQMLYNGSYMQAQAQHAANSTHATSVASGHYFQRHRSDQQQQPQVSSTGMLCSPVIVPNTITTDPAKAVAAATAASNMKGGGLPPQGLHFSAAQSSGKPHLVPAGFPYVHAVQVKPAEQKQPAAE >Manes.15G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2093578:2101184:1 gene:Manes.15G026500.v8.1 transcript:Manes.15G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRVSMAATNGLAPRRRHRSGGIRDSPENDGPVELQETARLRDRVAGKKDRDRDRERERDRDRDRDRERDRERDRDRDRDRDRMGSRGKRRRGDRLMHGSNREEGGDESSEESVNDDEDDEDDDGGGVGSSMRMLPPNPSSLSSSSMSNHHHRKSLPPPAKVLRAAPATALWKAPDEMIGVSVPRKARSASTKRSHEWASSCGVGTEQIHRQASTSPVRSSGPSVAAMLASASASPAPVSPSSSNASIKKKMKPNGPKQRPPKSSTKFTSSAQEEIEIEIAEVLYGLMRQPQVPTKQEILVNDSIKFDSREVSNHKSNGDAKSRVSSPISNSPSTVPHSSPIPPTNSSSSATLMSATAPRRKKPRPVKYDDENPSVYPARNSSISSTIKVDIDQPPKIETCSPNLDKNSGSAAENGVILHDTTTSQAVPVSTESQPQQQEQVMPESNSLLDSKPSVQESESRDLAVSKEKPQSPKGEFSHAGLRLDDDRERVTATKAILTVSDIETQREEKFQIDLMAPPPVRSSPERDSEVVSVTVDPKPVITDLETEMKPAVKGEDKAVKMGKDVIEELEEKKTKILAEEIESHKPNVIVNKERNIDLHLDLEKSDKDNGVVAGSGSKAHQLVQKQPQQQQPSTNKAAQSNSLPLPISMANWPGGLPHMGYMAPLQGVISMDGSAVTSAAIQPPHLLFGQPRPKRCATHFYIARNIHYHQQFTRMNPFWPAAAGSALQFGAKACNVNVVPSTDLHASKGMSSVQDKGNSLAIFPGHTGKEKSSQTSNVVDTAQRKQILLQQPLAPGAPSNILHGPAFIFPLNQQQAAAAAAVSVRPGPLKSPMAGTTASSSASNSASISAATTAVAGATTMSFNYPNISGNEPQYLAILQNSPYPIPIPAHVGATTAYRGTAPQPMPFFNGSFYSSQMIHPQLQQQQPPIPHSQQGQQVHQNSSISSGSSSSQKHLQNQQQRPHGSGINSGSGNLQGFPNLKNQPPQSSQIQQRQQMQNQNVPHQARQLDSELGGEDSPSTADSRVSRANMSIYGQNFGMPMHPPNFALMTASTVGGATASGNPGEKKQQQSQPQSSKVGIEPSQAFAMSFASINGAATSSSLDISSTAQNHAILQSLPEAARHGYHFMAAAAVAQAAQQKKNYRVSEEGKTGGADGSNVEEERKVIPGGKAQLNSGQSIAFTRPDLTDTSGSTIPGNTVIDSSVRTLNLGSASARATGSVMPSSISTISASNVQQLQRNQQQQQQQMIQLQKHQQFAAAAAASSRSKTPATVNGSVYTDHISSSSSMAGKFPNSLSGFPSILVQSGSSPAHSPQWKNSVRTTTSQVPSPSLSSTSSSLKNLPQQQGRVQQGHAQISFASNPKPSAAPQGQSAPSSTQSPSPPVVVGSPTTSSISKSAGGSPRTTSTSTTNKGAQSSTLSSQQGKNSSGPTQKSSPVGGKNIPSILGHPHNSPSTASSATKSQLTPQQQQQLHALQQTQMLYNGSYMQAQAQHAANSTHATSVASGHYFQRHRSDQQQQPQVSSTGMLCSPVIVPNTITTDPAKAVAAATAASNMKGGGLPPQGLHFSAAQSSGKPHLVPAGFPYVHAVQVKPAEQKQPAAE >Manes.06G164701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29127045:29127491:1 gene:Manes.06G164701.v8.1 transcript:Manes.06G164701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALCYHDAGEDLVSLNLPRKLLHVREDSKCVPVLPLTLEVCVNIPKLAEEGLNYSYRALENLENGCEKLESIANFLLGVSLSVFKVAIAVSDRITRKCEALQVLESAGQMTRMQDSYILYHFSLENAKQRKLDAALYFASNLFVIPG >Manes.07G047800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5563913:5567317:-1 gene:Manes.07G047800.v8.1 transcript:Manes.07G047800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIHQDTQVPEQILPSGFLISVSMASLCFITCCFVPILIISSQALALAGFATPFAAGKNKVASVTKEAEALLRWKASIDNESQALLFSWIAGASPCNWSGIHCNKAGSVTNVSLINSGLKARLESLIITFLSLTNLIDLNLSNNSLHGIIPSQIGYLSKLKFLDLSFNSITGSIPQEIGMLRSLTSLELSENFLTGPIPSSIGNLTKLVILRLGRNQLSGSIPQEIGMLRSLTSLELSENFLTGPIPSSIGNLTKLVILRLGRNQLSGSIPQEVGKLTSLSHLGLSDNNLSGIIPTSVGNLTSLFSLYLDVNKLSGTLPSGMNNMTSLRAFVVFSNRLSGHFPQDICAGGFLFYFGAFDNDFTGPIPKSLRNCSSLVRVRLERNQLTGNISQVFGVNPNLYYIDLSENKFYGELSWNWSLFQNLTTLKISKNNLSGEIPSELGNATQLRSVDLSSNHLVGKIPEELLKLMLINLALDNNFISGSIPPEIGRLSDLQGLNLAVNNLSGAIPERLGECSNLLFLNLSMNKFTESIPLEIGNLNSLESLDFSENLLIEKIPQELGRLQRLEMLNLSHNKLSGSIPTTFDNLLSLTMVDVSYNELEGPVPDVKAFRNAPFAALRNNSNLCGNSTGLKACAVHVLNRKKTRKQGKKVVYLIVFPLVGILFLAAVMLGSFFICRARNKKADSAGTRQENVCSIWSQDHGMQYENIIEALEDFNSKYCIGVGGQGVVYKAVLPTSQIVAVKKFHQSQDCAEIDNLKAFRNEIDVLTNIRHRNIVKLYGFCSHPKHSFLVYEFMERGSLRMILNNEEEARELDWVKRVNVIKGMVNALSYLHDHCFPPIIHGDISINNVLLDSDSEAHISDFGTARLLMPGSSNCLSHAGTFGYTAPELAYTMKVNEKCDIYSFGMVTLEIIMGKHPRDLLLFLSTSPSPSSSSSSSSSLPVDQYTLVKDVIDQRLPPPEAKVAKEVIYISKLACACLNINPQCRPTIRQVCLELETKWLPLTKTFYAMQLGDILV >Manes.11G147300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31213688:31219348:-1 gene:Manes.11G147300.v8.1 transcript:Manes.11G147300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGNSRSVRFKDDLELAKLATVNGDDVIKLKYNIDGTQLSESSSRKSEKEMPGKNGRSLKAKVLSRVFSEDYERVKKKILDPRGPTVRIWTKMFLVACIVSLFVDPLFFYLPVVSKEACIHIGIPLEIGLTIIRTIADIFYMIQIYIRFRTAYVAPSSRVFGRGELVIDSKKISVRYLKQLFWIDLVAALPLPQALIWFVIPNLGGSTMANTKNVLRFIIIFQYLPRLFLILPLSSRIIKANGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCNLERPSCRYQYFDCHQMHDPLRESWFKTSNVTNLCKPEIDYYQFGIFGDALTFGVTISTFFDKYFYCLWWGLKNLSSLGQNLSTSTYVGEITFAIVVATLGLVLFALLIGNMQRYLQSTTVRLEEWRIRRTDTEQWMHHRQLPPELKQSVRRYDQYKWVATRGVDEEALLKGLPMDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALSTEGTLLVREGDLVNEMLFIIRGHLDSHTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPCIILPSSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRQKFRFYSHQWRTWAACFIQAAWRRYKKRKEAAELIAREDPTAADPEPTSASTGLAMYAARLAASTRRGNIKYSGSEKRAASSLQKPAEPDFSVDED >Manes.11G147300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31214422:31219226:-1 gene:Manes.11G147300.v8.1 transcript:Manes.11G147300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGNSRSVRFKDDLELAKLATVNGDDVIKLKYNIDGTQLSESSSRKSEKEMPGKNGRSLKAKVLSRVFSEDYERVKKKILDPRGPTVRIWTKMFLVACIVSLFVDPLFFYLPVVSKEACIHIGIPLEIGLTIIRTIADIFYMIQIYIRFRTAYVAPSSRVFGRGELVIDSKKISVRYLKQLFWIDLVAALPLPQALIWFVIPNLGGSTMANTKNVLRFIIIFQYLPRLFLILPLSSRIIKANGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCNLERPSCRYQYFDCHQMHDPLRESWFKTSNVTNLCKPEIDYYQFGIFGDALTFGVTISTFFDKYFYCLWWGLKNLSSLGQNLSTSTYVGEITFAIVVATLGLVLFALLIGNMQRYLQSTTVRLEEWRIRRTDTEQWMHHRQLPPELKQSVRRYDQYKWVATRGVDEEALLKGLPMDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALSTEGTLLVREGDLVNEMLFIIRGHLDSHTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPCIILPSSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRQKFRFYSHQWRTWAACFIQAAWRRYKKRKEAAELIAREDPTAADPEPTSASTGLAMYAARLAASTRRGNIKYSGSEKRAASSLQKPAEPDFSVDED >Manes.11G147300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31214435:31219200:-1 gene:Manes.11G147300.v8.1 transcript:Manes.11G147300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGNSRSVRFKDDLELAKLATVNGDDVIKLKYNIDGTQLSESSSRKSEKEMPGKNGRSLKAKVLSRVFSEDYERVKKKILDPRGPTVRIWTKMFLVACIVSLFVDPLFFYLPVVSKEACIHIGIPLEIGLTIIRTIADIFYMIQIYIRFRTAYVAPSSRVFGRGELVIDSKKISVRYLKQLFWIDLVAALPLPQALIWFVIPNLGGSTMANTKNVLRFIIIFQYLPRLFLILPLSSRIIKANGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCNLERPSCRYQYFDCHQMHDPLRESWFKTSNVTNLCKPEIDYYQFGIFGDALTFGVTISTFFDKYFYCLWWGLKNLSSLGQNLSTSTYVGEITFAIVVATLGLVLFALLIGNMQRYLQSTTVRLEEWRIRRTDTEQWMHHRQLPPELKQSVRRYDQYKWVATRGVDEEALLKGLPMDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALSTEGTLLVREGDLVNEMLFIIRGHLDSHTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPCIILPSSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRQKFRFYSHQWRTWAACFIQAAWRRYKKRKEAAELIAREDPTAADPEPTSASTGLAMYAARLAASTRRGNIKYSGSEKRAASSLQKPAEPDFSVDED >Manes.11G147300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31214416:31219226:-1 gene:Manes.11G147300.v8.1 transcript:Manes.11G147300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGNSRSVRFKDDLELAKLATVNGDDVIKLKYNIDGTQLSESSSRKSEKEMPGKNGRSLKAKVLSRVFSEDYERVKKKILDPRGPTVRIWTKMFLVACIVSLFVDPLFFYLPVVSKEACIHIGIPLEIGLTIIRTIADIFYMIQIYIRFRTAYVAPSSRVFGRGELVIDSKKISVRYLKQLFWIDLVAALPLPQALIWFVIPNLGGSTMANTKNVLRFIIIFQYLPRLFLILPLSSRIIKANGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCNLERPSCRYQYFDCHQMHDPLRESWFKTSNVTNLCKPEIDYYQFGIFGDALTFGVTISTFFDKYFYCLWWGLKNLSSLGQNLSTSTYVGEITFAIVVATLGLVLFALLIGNMQRYLQSTTVRLEEWRIRRTDTEQWMHHRQLPPELKQSVRRYDQYKWVATRGVDEEALLKGLPMDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALSTEGTLLVREGDLVNEMLFIIRGHLDSHTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPCIILPSSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRQKFRFYSHQWRTWAACFIQAAWRRYKKRKEAAELIAREDPTAADPEPTSASTGLAMYAARLAASTRRGNIKYSGSEKRAASSLQKPAEPDFSVDED >Manes.11G000200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:54172:61421:1 gene:Manes.11G000200.v8.1 transcript:Manes.11G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKSTIGSCSKEHQQIYKEWFNRADSDGDGRITGNDATKFFSMSSLSREELKQVWALADSKRQGFLGFPEFIVAMQLISLAQAGHKITSHILKTTYSMENIKPPMMEGIDTLLIQKKVSTINSELEINGSIQLQSSIMAQQFGSKSLRKMPLIAVTSITDGLKRLYIEKLRPLEATYRFNDFVSPLLTNSNFDAKPMVMLLGQYSTGKTTFIKHLLRCDYPGAHIGPEPTTDRFVVVMSGSDERSIPGNTVAVHADMPFGGLTTFGGAFLSKFECAQMPHPLLDEITIVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGNDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPINEETVNPMFRELFEKEQDDLLMDLVDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPAIMGKSKTQQRLIDNLEAEFAKVQREHHLPAGDFPNVNHFKEVLNGYSIDKFEKLKPKMIQAVDDMLGHEIPELLKNFRNPYA >Manes.04G054200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9291872:9311238:-1 gene:Manes.04G054200.v8.1 transcript:Manes.04G054200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMKIVFGLLTFVTAGMILGALFQLAFIHKLEDSYGTKFPSLRKFQNDGYLQLPRGIPHWDNDKEAEILRLGLVKPEIINWSPRIIVLHDFLSKEECDYLRAIALPRLQISTVVDTKTGKGIKSNVRTSSGMFLSFEEKKNPMVQAIEKRISVYSQVPVENGELMQVLSTGMKRVSFTSPIMTTFLTLST >Manes.04G054200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9291872:9311238:-1 gene:Manes.04G054200.v8.1 transcript:Manes.04G054200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMKIVFGLLTFVTAGMILGALFQLAFIHKLEDSYGIPHWDNDKEAEILRLGLVKPEIINWSPRIIVLHDFLSKEECDYLRAIALPRLQISTVVDTKTGKGIKSNVRTSSGMFLSFEEKKNPMVQAIEKRISVYSQVPVENGELMQVLRYEKGQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSDNVEGGETYFPMAGSGECSCGGKAMKGLCVKPNQGDAVLFWSMGLDGQSDPNSLHGGCEVLSGEKWSATKWMRQSATS >Manes.04G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9291872:9311238:-1 gene:Manes.04G054200.v8.1 transcript:Manes.04G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMKIVFGLLTFVTAGMILGALFQLAFIHKLEDSYGTKFPSLRKFQNDGYLQLPRGIPHWDNDKEAEILRLGLVKPEIINWSPRIIVLHDFLSKEECDYLRAIALPRLQISTVVDTKTGKGIKSNVRTSSGMFLSFEEKKNPMVQAIEKRISVYSQVPVENGELMQVLRYEKGQFYKPHHDYFSDTFNLKRGGQRIATMLMYLSDNVEGGETYFPMAGSGECSCGGKAMKGLCVKPNQGDAVLFWSMGLDGQSDPNSLHGGCEVLSGEKWSATKWMRQSATS >Manes.07G054398.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:7923210:7939070:1 gene:Manes.07G054398.v8.1 transcript:Manes.07G054398.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKDKSEEAPKISLRQKTNETQKINVNLFSGQSPRPNFNLSSTGQSPRQNAYMHRPMFTSSTSIINRPLSPMSSALITRPSSPQSRSPSPQMTLLNKFSPLQPQKLITPSTFKQAVTGQSSSPSPTSSPTQSLQITQPEYSYKTIDDTILTIEPEYWSQNPNLNVYQLCESIFPKTHFYIPDNFAKNQAFYETILVQTNSIIMYNNFDPHIKHKIRYCKVRIIKVFTISDWGQEPHKSKDLSLPNGQLTKFNYYDYQSAWERTFLKQNDQLSISFFFYSSDDFSYPVPYWFHHWWHKFGIDSTIIPEQIIAAQDHFFDNTQLPDNILLSPKWLIYSHLFHIPWIYMSEYQIKDHTLNNFQIPNLVRRHKIKWWPKTDLANCGSKAVDHFLDSQPQYVKTLSPIQITKQETFLAKKQQIMAQMAKCVSEEEYDKLLEEIKEARSSVSSPVDLSTDNDDFFTQAEM >Manes.02G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:563167:565260:-1 gene:Manes.02G004600.v8.1 transcript:Manes.02G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNTILTSEKHSVPSPLNPPSRIICHVCQKQFSLYTCPRCNSRYCSLHCYKSHSLRCTESFMRENVVEELQQMQSNDETKRKMLEILKRLHLEEEMDSMDEEDDCLLSEETVQKILSGGSISFDDLSAEEKKLFQRAVASGELSKLIEPWDPWWLKPSARTISLSKEGTQLVQPVVEQSPQDDGVGGQSCEIPPGPEAPLTPIKKLLPSAEPSPLLAVHLVDIIYSYCFTLRLYNGDWQSDATGSAMVVLSISSVLSQGGQPETVMEALSYCLEQTCSPNYRHMGGLQFGLVLINDVISLLSLGGPALICALCDLRRLIQAGEKEVKAEKSRTSRRSEIRSKLKVADRKVYFIICWVHEQPGEAWSSLAAIASAERRKIVVYGKDANSARVKNSAERKRKNLIEEMK >Manes.16G006600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:680010:688391:-1 gene:Manes.16G006600.v8.1 transcript:Manes.16G006600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTLDNEISILEMKLAAAKAEHQSLSNDTPSGNSKRKYFMVIGINTAFSSRKRRDSVRETWMPKGEKRKKLEKEKGIVIRFVIGHSSTTGGILDKAIEAEEMAHGDFLRLEHVEGYMELSAKTKAYFTTAIELWDAEFYVKVDDDVHVNLATLGMALAAHRTKPRVYIGCMKSGPVIARKGVRYHEPEYWKFGEVGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGIDVEHVDDRRLCCGTPPDCEWKAQLGNICAASFDWKCSGICKSVERIAEVHKRCGEDENALWSASF >Manes.16G006600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:680010:688391:-1 gene:Manes.16G006600.v8.1 transcript:Manes.16G006600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTLDNEISILEMKLAAAKAEHQSLSNDTPSGNSKRKYFMVIGINTAFSSRKRRDSVRETWMPKGEKRKKLEKEKGIVIRFVIGHSSTTGGILDKAIEAEEMAHGDFLRLEHVEGYMELSAKTKAYFTTAIELWDAEFYVKVDDDVHVNLATLGMALAAHRTKPRVYIGCMKSGPVIARKGVRYHEPEYWKFGEVGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGIDVEHVDDRRLCCGTPPDCEWKAQLGNICAASFDWKCSGICKSVERIAEVHKRCGEDENALWSASF >Manes.16G006600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:680010:688391:-1 gene:Manes.16G006600.v8.1 transcript:Manes.16G006600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSRAGGEIAGKIMTPRKWTLFLCLGSFFAGMFFTNRTLDNEISILEMKLAAAKAEHQSLSNDTPSGNSKRKYFMVIGINTAFSSRKRRDSVRETWMPKGEKRKKLEKEKGIVIRFVIGHSSTTGGILDKAIEAEEMAHGDFLRLEHVEGYMELSAKTKAYFTTAIELWDAEFYVKVDDDVHVNLATLGMALAAHRTKPRVYIGCMKSGPVIARKGVRYHEPEYWKFGEVGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGIDVEHVDDRRLCCGTPPDCEWKAQLGNICAASFDWKCSGICKSVERIAEVHKRCGEDENALWSASF >Manes.16G006600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:680010:688391:-1 gene:Manes.16G006600.v8.1 transcript:Manes.16G006600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAAAKAEHQSLSNDTPSGNSKRKYFMVIGINTAFSSRKRRDSVRETWMPKGEKRKKLEKEKGIVIRFVIGHSSTTGGILDKAIEAEEMAHGDFLRLEHVEGYMELSAKTKAYFTTAIELWDAEFYVKVDDDVHVNLATLGMALAAHRTKPRVYIGCMKSGPVIARKGVRYHEPEYWKFGEVGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGIDVEHVDDRRLCCGTPPDCEWKAQLGNICAASFDWKCSGICKSVERIAEVHKRCGEDENALWSASF >Manes.16G006600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:680010:688517:-1 gene:Manes.16G006600.v8.1 transcript:Manes.16G006600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSRAGGEIAGKIMTPRKWTLFLCLGSFFAGMFFTNRIWTEPERLNLETGDCNMKHVGSRKASETQYAFQTLDNEISILEMKLAAAKAEHQSLSNDTPSGNSKRKYFMVIGINTAFSSRKRRDSVRETWMPKGEKRKKLEKEKGIVIRFVIGHSSTTGGILDKAIEAEEMAHGDFLRLEHVEGYMELSAKTKAYFTTAIELWDAEFYVKVDDDVHVNLATLGMALAAHRTKPRVYIGCMKSGPVIARKGVRYHEPEYWKFGEVGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGIDVEHVDDRRLCCGTPPDCEWKAQLGNICAASFDWKCSGICKSVERIAEVHKRCGEDENALWSASF >Manes.16G006600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:680010:688391:-1 gene:Manes.16G006600.v8.1 transcript:Manes.16G006600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDNEISILEMKLAAAKAEHQSLSNDTPSGNSKRKYFMVIGINTAFSSRKRRDSVRETWMPKGEKRKKLEKEKGIVIRFVIGHSSTTGGILDKAIEAEEMAHGDFLRLEHVEGYMELSAKTKAYFTTAIELWDAEFYVKVDDDVHVNLATLGMALAAHRTKPRVYIGCMKSGPVIARKGVRYHEPEYWKFGEVGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGIDVEHVDDRRLCCGTPPDCEWKAQLGNICAASFDWKCSGICKSVERIAEVHKRCGEDENALWSASF >Manes.16G006600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:680010:688391:-1 gene:Manes.16G006600.v8.1 transcript:Manes.16G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSRAGGEIAGKIMTPRKWTLFLCLGSFFAGMFFTNRIWTEPERLNLETGDCNMKHKVGSRKASETQYAFQTLDNEISILEMKLAAAKAEHQSLSNDTPSGNSKRKYFMVIGINTAFSSRKRRDSVRETWMPKGEKRKKLEKEKGIVIRFVIGHSSTTGGILDKAIEAEEMAHGDFLRLEHVEGYMELSAKTKAYFTTAIELWDAEFYVKVDDDVHVNLATLGMALAAHRTKPRVYIGCMKSGPVIARKGVRYHEPEYWKFGEVGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGIDVEHVDDRRLCCGTPPDCEWKAQLGNICAASFDWKCSGICKSVERIAEVHKRCGEDENALWSASF >Manes.04G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33769330:33776541:-1 gene:Manes.04G138200.v8.1 transcript:Manes.04G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLESSLGGLSWICKGELDLGSPCTQTIIVDVINLLFLGVFYLFLLVDSIRKRHVSRRNRRDWIFVMVSVCCALISIAYLGVGLWDIIAENDRFNRLGWLVFLVRGLVWISLAVSLLVAKSKWNTVLISLWWVSFCLLASAINIEILAGARSIQVLDILPWPVNLLLLFCAVRNFSHFTTQQPLDESIREPLLGEKEVKDQSKLAQASFLSKLTFSWINSLLKLGYSKPLNLHDIPSLVPEHEANVAYRKFAHAWDSLVREKNPNNTRNLVLCTVANVHLRENIFIGVCALLRTIAVVLQPLLLYAFVSYSNLDEQNLYHGLSIVACLVLVKIVESFSQRHCFFLSRLSGMRMRSALMVAVYQKQLKLSSLGRRRHSTGEIVNYIAVDAYRMGEFPWWFHVTWSLVLQLFLSVVILFGIVGLGALLGLVPLLVCGVLNVPFARFLQKCQYEFMIAQDERLRATSEILNSMKIIKLQSWEDKFKSFIESRRDNEFKWLAEAQFKKAYSTLLYWLSPTIISSVIFLGCALFRSAPLNASTIFTVLATLRGMAEPVRNIPEALSVMIQVKVSFDRINNFLLDDELKIESLRTIPSHNSDTSVGIQRGKFSWDPELMKPTLGDVNLDVKWGQKCAICGPVGAGKSSLLFAILGEMPKISGTVNVFGSIAYVSQTSWIQSGTIRDNILYGSTMDKAKYDNAIKACALDKDINSFSHGDLTEIGQRGLNLSGGQKQRIQLARAVYSDADIYLLDDPFSAVDAHTAAILFNDCVMTALKNKTVILVTHQVEFLSAVDTIMVMEGGQITQSGSYEQLLKAGTAFEQLVNAHKDSVTILAPSNDQSKKQVLNVDTVRPDDEPNMSSATKQNNLEEIPTKGVPAGQLTEEEEKETGDVGWKPFLDYLVVSKGGLFVCLCILSQSGFVVFQAAATYWLAYAILIPKMNSGILIGVYTLISTLSAAFVYLRSFFAAHLGLRASKSFFSGFTNAIFKAPMLFFDSTPVGRILTRASSDLSVLDFDIPFSFVFVVAPVIELISIIGIMASVTWQVLIVAILALVGSKYVQDYYLASARELIRINGTTKAPVMNYAAETSLGVVTIRAFKMVDRFFQNYLKLVDKDAVLFFHSNGALEWLIMRIEAMQNVTLFSACLLLVLLPKGVLAPGLVGLSLSYALSLTGTQVFLTRWYCNLANYMISVERIKQFMHIPPEPPAIVEDKRPQSSWPFKGRIELQELKIRYRPNAPLVLKGINCTFKEGTRVGVVGRTGSGKTTLISALFRLVEPASGQILIDGLDICSIGLKDLRMKLSIIPQEPTLFRGSVRTNLDPLGLYSDHEIWEALEKCQLKETISSLPNQLDSSVSDEGENWSAGQRQLFCLGRVLLRRNRILVLDEATASIDSATDAILQRIIRKQFLECTVITVAHRVPTVIDSDMVMVLSYGNLLEYDEPSKLMEINSSFSKLVAEYWSSCRKNSNKNFAIEFPDIVNQS >Manes.17G004300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2373307:2375710:1 gene:Manes.17G004300.v8.1 transcript:Manes.17G004300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFKGFNEDTSYCQFDEKNVQRCPFLRNINKPTNFSFFSVNFPSPVRGAKGPIFEDGPNFDMAFKLFHGKDGVVPLNRSNFRNDILEPDSTPQFNPLAAKAATISLSAFGPGGPFGFGSFNDKWKNQKKRSDSTNKSDPSSQKGNTSKHEALGNEWLETGNCPIAKSYRAVSGVLPLVASSLQLPPGMKLRCPPAVVAARAALAPLQIKIQKPWQE >Manes.14G155200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19819830:19826663:-1 gene:Manes.14G155200.v8.1 transcript:Manes.14G155200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLRNPLMNFKQQMAAQRPNQISFICFHLFLALLLFSYIASSKTLVTYLPGFDGHLPFYLETGYIGVGESNESQLFYYFVESERSPSLDPLMLWLTGGPGCSVLSAFFYESGPVAFNYSNYNGSLPSLHLNPFAWTQGMNIIYVDAPIGTGFSYSTTQQNYYVDDIKSAAQTYAFLQKWLLDHPQYLTNQLFIGGDSYSGIPLPIIVQNILYGNEAGVAPTMNLKGYVLGNPKTDSFIDDNSRVPFAHGLTLISDQLYKSTKEDCNGDYVNINATNTGCVSDINAIDELILEINLMQVLEPICQTAKPRSTQGLRRIEGRRSVNEEDPQSFSISRLNTAYWCREYNYVLSGVWANDKRVRDALQVRENTTGVWKRCNASLAYTKNVLSSVDYHRNLSKQSLRALIYSGDHDLSVTHIGTQSWIHLLNLTTDEYWRPWFVDGQVAGEVLEW >Manes.14G155200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19821692:19826664:-1 gene:Manes.14G155200.v8.1 transcript:Manes.14G155200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLRNPLMNFKQQMAAQRPNQISFICFHLFLALLLFSYIASSKTLVTYLPGFDGHLPFYLETGYIGVGESNESQLFYYFVESERSPSLDPLMLWLTGGPGCSVLSAFFYESPVAFNYSNYNGSLPSLHLNPFAWTQGMNIIYVDAPIGTGFSYSTTQQNYYVDDIKSAAQTYAFLQKWLLDHPQYLTNQLFIGGDSYSGIPLPIIVQNILYGNEAGVAPTMNLKGYVLGNPKTDSFIDDNSRVPFAHGLTLISDQLYKSTKEDCNGDYVNINATNTGCVSDINAIDELILEINLMQVLEPICQTAKPRSTQGLRRIEGRRSVNEEDPQSFSISRLNTAYWCREYNYVLSGVWANDKRVRDALQVREI >Manes.14G155200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19819830:19826663:-1 gene:Manes.14G155200.v8.1 transcript:Manes.14G155200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLRNPLMNFKQQMAAQRPNQISFICFHLFLALLLFSYIASSKTLVTYLPGFDGHLPFYLETGYIGVGESNESQLFYYFVESERSPSLDPLMLWLTGGPGCSVLSAFFYESGPVAFNYSNYNGSLPSLHLNPFAWTQGMNIIYVDAPIGTGFSYSTTQQNYYVDDIKSAAQTYAFLQKWLLDHPQYLTNQLFIGGDSYSGIPLPIIVQNILYGNEAGVAPTMNLKGYVLGNPKTDSFIDDNSRVPFAHGLTLISDQLYKSTKEDCNGDYVNINATNTGCVSDINAIDELILEINLMQVLEPICQTAKPRSTQGLRRIEGRRSVNEEDPQSFSISRLNTAYWCREYNYVLSGVWANDKRVRDALQVRENTTGVWKRCNASLAYTKNVLSSVDYHRNLSKQSLRALIYSGDHDLSVTHIGTQSWIHLLNLTTDEYWRPWFVDGQVAGYTEKYLNGDFTLIYATVKGAGHVAPEYKPKECYFMIDRFFAYFPL >Manes.14G155200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19819829:19826664:-1 gene:Manes.14G155200.v8.1 transcript:Manes.14G155200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLRNPLMNFKQQMAAQRPNQISFICFHLFLALLLFSYIASSKTLVTYLPGFDGHLPFYLETGYIGVGESNESQLFYYFVESERSPSLDPLMLWLTGGPGCSVLSAFFYESPVAFNYSNYNGSLPSLHLNPFAWTQGMNIIYVDAPIGTGFSYSTTQQNYYVDDIKSAAQTYAFLQKWLLDHPQYLTNQLFIGGDSYSGIPLPIIVQNILYGNEAGVAPTMNLKGYVLGNPKTDSFIDDNSRVPFAHGLTLISDQLYKSTKEDCNGDYVNINATNTGCVSDINAIDELILEINLMQVLEPICQTAKPRSTQGLRRIEGRRSVNEEDPQSFSISRLNTAYWCREYNYVLSGVWANDKRVRDALQVRENTTGVWKRCNASLAYTKNVLSSVDYHRNLSKQSLRALIYSGDHDLSVTHIGTQSWIHLLNLTTDEYWRPWFVDGQVAGYTEKYLNGDFTLIYATVKGAGHVAPEYKPKECYFMIDRFFAYFPL >Manes.14G155200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19819830:19826663:-1 gene:Manes.14G155200.v8.1 transcript:Manes.14G155200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLRNPLMNFKQQMAAQRPNQISFICFHLFLALLLFSYIASSKTLVTYLPGFDGHLPFYLETGYIGVGESNESQLFYYFVESERSPSLDPLMLWLTGGPGCSVLSAFFYESGPVAFNYSNYNGSLPSLHLNPFAWTQGMNIIYVDAPIGTGFSYSTTQQNYYVDDIKSAAQTYAFLQKWLLDHPQYLTNQLFIGGDSYSGIPLPIIVQNILYGNEAGVAPTMNLKGYVLGNPKTDSFIDDNSRVPFAHGLTLISDQLYKSTKEDCNGDYVNINATNTGCVSDINAIDELILEINLMQVLEPICQTAKPRSTQGLRRIEGRRSVNEEDPQSFSISRLNTAYWCREYNYVLSGVWANDKRVRDALQVREW >Manes.09G041000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7459034:7463062:1 gene:Manes.09G041000.v8.1 transcript:Manes.09G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATTPLTAEPTQPYNEVKLFNRWTFEEVQVNDISLSDYIGVQPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Manes.01G164500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34824882:34828943:1 gene:Manes.01G164500.v8.1 transcript:Manes.01G164500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDAKDIQIIVGRTLKFSTNVCCRFVQNHPFVSGVLLFSFVLYFFIPKILLFLLYSFPFLACTAVFIRFYFHSQHSKTNNEVKNETKDRANQTADLFSSRNDKTSIQSSKIPDGDSIGGAVLNELKPREITEKDHGKGMSRNVSIGETIAALGEASNSDLTSLDGLEEQAAKYDGGGDTELENSSSEEDDEGQTQGGTNKAVEWTEVDQKNLMDLGLSELERNKRLESLIARRNVRKLFKMQTQKILINVNFDSPIPVAPVLVTRGNPFDVANNPDEQVPGSAPSVLLPTRNPFDLPYDPLEEKPDLTADSFQQEFKAPHQKDFLFCRHQSFILGPSFPLEAKLNGYGIAGNNRLRRQQDNGNQGWLVDNLSNQNGEPLRRSVSVTDLVTGEEEEEGESSNRVRNHSDEEEKADHSEMEIEETKNESSSSSLPEERTRITKPNKLEVLPTVFRFPEMASSPSPSSGFCPIPRARTVNELTYEDSPSTIDRSRLENHLLYTHTRPLHTPTHSIASDMQVEVSEIGSPPLTGDGSASSIDGDSLTYDGDIEKEITSGSDEMWGASPYAPKVEEHEMEFGEVNEVTREDTAGGFPGFHKEPQDPGFSGFHREPRDPVSSPSRINIQMLNEGSSNPPEKFVEEMNISYDSDVPVHEFDDSEVTNSVEDKEDEGEAEKCRTSEVRKPGEEIDSESSKQSERNSLNTLEQSAKELNVACSMDDPVVHMNSGAVENKVDDSVAHINISKIDRRSSEDRDRIEKKIEQEILVELAKRAEESTSEIDKYTESNSGKPPANQTITESSKQITEDGKEERNATEVPVSGVNQSSNASSTSGIQTEMTVEEISSPSTSSSSPKSVLPDGSTSDQTSSDRNQHMHIAAPESDTEGRIVRNKSLDEQALENLAHTAPQGGHHVTDHPSIDSKSEKSEELKNPPREFTQNANGNSGYQDLTEQRTAANPLEPGIPVTIGGREALLQNSRSINNAVAEDITSKERHELVTGGEGESQRLDEKVDITERSNAMEDPLSGSGWGQN >Manes.07G052466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7677294:7678522:-1 gene:Manes.07G052466.v8.1 transcript:Manes.07G052466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRFPYSILFFHLLLFMFGHYSNGVRDVPKQENFHGNTNEAMKNTSVQLYYKILDELFKRPAILVATASKFGKEFDNFVLDFVQASYIGLFTPDDVYFGKIMPIYFPQAKISVDHQQEKCEIPPAEVETKVCARDMESMLDYVHRVFGSDSEFKAVETKHSTISAPLLQDYIVLEDPQEIKGPGIVICHPMYEDFFCHYDADATKIVKVSLGGNNGDKVEAIGICHMDTSGLSHDHIAFRLLPIKLGSPLCHFLAAGHLVWVQSAAATQGLLIN >Manes.12G067100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6932184:6947297:-1 gene:Manes.12G067100.v8.1 transcript:Manes.12G067100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHPLSQDAIQIQTLAVNSIILSSSFNSHSIMASNHQIVDDSCNTETDEETIAFRKKRSRRVSFADREITSVHIFNRDEDCETPPDSSVKNLSSGNASEAENEVLGFFRDLADSDADDSKEMSPIGDNDYDDDTVNGRKSFLRPIESPSPGSTIVGSATSNDEDNFFGPVSASFIRPGRLSDSAASDDNHDLTMDSTAFSMHFRSLARSDSGGNTLVEKTPSHICTPSDSGSFMVLTKAKKLIPQVSLPFDKNSGGRDSNAMSLVGESPHNYDYGKLSPTLEALLAEGSKNLQDSSVSDYNNKKSLQRSELSTFDENFRGCKDEKDYMDKETSNIVKLDTYTEGISAAYMELDEMNGISWTSPVDQSISGRSSHRNENLAADVSVDQQIQTPNHLSKVNDDRTKALITMNMLNVELPAVTGDCFPSMDGKVLQCNACSHDESKKDSIEGFLEETSPNNRRVNYAVYQNSGQQHRSPAVESTSSLSAKQRQMFLDAAKSCRQLSYVTPSPKQPGSFFGKENIRSSENILPFRSSPNFKVFEPSPLAYSLKNGIEKSRLRLSKLRSSTMSPLNAVGEENCKDINGIKMDAMVTNLEKHLSSVDQNNIDRETTNCMDIAGVWDPKNDGSLSEKEGTMSLGEESETLIPMSTPILSKEGDSQMMSEVASPSQFTSLQNKVRQHILTPDNSKKQAIAFGYDSPSVNIKLDHGNDVKTSRQPGKFVPPTKMLDQRLSSLTENHSSVSQDLQNTEPVSIGLGQDKISLSNITSNNYSSALTDEPESCFSEETKPSTSSLTEVNDVGYSDQVEKGHDRKRNPPSLQNASEALPDLGTPFKERNALNFLSGMAYQNIPCSTGPIYSEKKLPGELNEASLTASGSVHIHQGNVNETSVMKSPFKKVMTPCPIKEQSQSPSRKELCNLSNDENAQSLHGKNIQSPNHSIPGGRDCHLELHISQSQIPAQDTENSSLRKRRIEELVPEDGYHGDAIRSTKSRPNIHKSQGSNFEFMLPCDSGSDDERKMTGTDTTTKLWSDILLKFLIDTQQLFSPTIDKLNIISIGVLQDILVHQEKIKFYEILCNQIQCQKMCDQSSEVRHKRVAETKMLLYKLAYERARHQLSSVKHKKLLKRAHEMSSAIHRSEMLKSNQRFLIVPSYKDTTVDNLRNSCASNLDCKHEVSWEKVATKKHECEVLDRKIKNLTKSFQNYFKMKGEPSCSETIVLLNDLLKKKTSCRLIHKDLQLWEVDDFGSRNGKQNIVLNYLGLISERLVINDGPIPNILVTNALNDIIIAKIFPNMDACVAFACVLNAKNTRKYVGSKSFAQETQITCSLLHNLLDVVGEVQLAQLEIRNVVQTCFRSSSVEQLDLQLCFIDFNNGRKVMVTLDMTCLKCGIYPSDIFPYQFQAYFSGKSTPLHESLSAKIKVAVDGLRVGHSRIIRLCRCISQVLQSSST >Manes.07G101500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30693629:30704043:-1 gene:Manes.07G101500.v8.1 transcript:Manes.07G101500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCYCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHTRTVAIVMTIAKVLTAVVSCITALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIQLPVINQVFSSNRAVKISPNSPVARMRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHAYELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTSEQRLMVETVLRSSNLLATLINDVLDLSRLEDGSLQLELGTFNLHVVFREVVNLIKPIASVKRLPITLNLAPDLPEYAIGDEKRLMQTLLNVVGNAVKFSKEGDISITAFVAKPESLRELRFPDFFPVPSDNHFYLRVQVKDDGLGINPQDIPKLFTKFAQNQSSARNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAVFVVKLGWSNESKHSFMQKVPANHGQTTFCGLKVLLMDDNGASRTITKGLLVHLGCDVTTVTSTDECLRVVSQEHKVVIMDVCMPDGFEVAIRIHEKFTKRHERPLIVALTANTNKVTKENCMRVGMDGVILKPVSVDKMRNVLSDILEHRVLFEAM >Manes.07G101500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30693629:30704044:-1 gene:Manes.07G101500.v8.1 transcript:Manes.07G101500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCYCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHTRTVAIVMTIAKVLTAVVSCITALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIQLPVINQVFSSNRAVKISPNSPVARMRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHAYELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTSEQRLMVETVLRSSNLLATLINDVLDLSRLEDGSLQLELGTFNLHVVFREVVNLIKPIASVKRLPITLNLAPDLPEYAIGDEKRLMQTLLNVVGNAVKFSKEGDISITAFVAKPESLRELRFPDFFPVPSDNHFYLRVQVKDDGLGINPQDIPKLFTKFAQNQSSARNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAVFVVKLGWSNESKHSFMQKVPANHGQTTFCGLKVLLMDDNGNIGKLQGMEHGNPED >Manes.07G101500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30693629:30704043:-1 gene:Manes.07G101500.v8.1 transcript:Manes.07G101500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRTVAIVMTIAKVLTAVVSCITALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIQLPVINQVFSSNRAVKISPNSPVARMRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHAYELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTSEQRLMVETVLRSSNLLATLINDVLDLSRLEDGSLQLELGTFNLHVVFREVVNLIKPIASVKRLPITLNLAPDLPEYAIGDEKRLMQTLLNVVGNAVKFSKEGDISITAFVAKPESLRELRFPDFFPVPSDNHFYLRVQVKDDGLGINPQDIPKLFTKFAQNQSSARNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAVFVVKLGWSNESKHSFMQKVPANHGQTTFCGLKVLLMDDNGASRTITKGLLVHLGCDVTTVTSTDECLRVVSQEHKVVIMDVCMPDGFEVAIRIHEKFTKRHERPLIVALTANTNKVTKENCMRVGMDGVILKPVSVDKMRNVLSDILEHRVLFEAM >Manes.07G101500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30693629:30704043:-1 gene:Manes.07G101500.v8.1 transcript:Manes.07G101500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCYCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHTRTVAIVMTIAKVLTAVVSCITALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIQLPVINQVFSSNRAVKISPNSPVARMRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHAYELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTSEQRLMVETVLRSSNLLATLINDVLDLSRLEDGSLQLELGTFNLHVVFREVVNLIKPIASVKRLPITLNLAPDLPEYAIGDEKRLMQTLLNVVGNAVKFSKEGDISITAFVAKPESLRELRFPDFFPVPSDNHFYLRVQVKDDGLGINPQDIPKLFTKFAQNQSSARNSGGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAVFVVKLGWSNESKHSFMQKVPANHGQTTFCGLKVLLMDDNGASRTITKGLLVHLGCDVTTVTSTDECLRVVSQEHKVVIMDVCMPDGFEVAIRIHEKFTKRHERPLIVALTANTNKVTKENCMRVGMDGVILKPVSVDKMRNVLSDILEHRVLFEAM >Manes.08G136200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37309869:37311030:1 gene:Manes.08G136200.v8.1 transcript:Manes.08G136200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEFEAPALPAVTGKKSKRATTKATAVKANAPKAKKTAVEKKAKSPRAYPSFLVMITDAIVILKERTGSSQHAITKFIEEKQKKLPSNFKKLLLVQLKRLVASGKLVKVKNSYKLPPTRAAPAKKPADVKPKVATTKPKTAKVVAKPKAKVATKPKAKAPVKAKPAVKPKAKPTVKPKAKSAVKSRAKPKTSAKPAKVAKTAAKTSAGKKTTKRAKK >Manes.08G136200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37309869:37311048:1 gene:Manes.08G136200.v8.1 transcript:Manes.08G136200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEFEAPALPAVTGKKSKRATTKATAVKANAPKAKKTAVEKKAKSPRAYPSFLVMITDAIVILKERTGSSQHAITKFIEEKQKKLPSNFKKLLLVQLKRLVASGKLVKVKNSYKLPPTRAAPAKKPADVKPKVATTKPKTAKVVAKPKAKVATKPKAKAPVKAKPAVKPKAKPTVKPKAKSAVKSRAKPKTSAKPAKVAKTAAKTSAGKKTTKRAKK >Manes.08G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37309869:37311030:1 gene:Manes.08G136200.v8.1 transcript:Manes.08G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEFEAPALPAVTGKKSKRATTKATAVKANAPKAKKTAVEKKAKSPRAYPSFLVMITDAIVILKERTGSSQHAITKFIEEKQKKLPSNFKKLLLVQLKRLVASGKLVKVKNSYKLPPTRAAPAKKPADVKPKVATTKPKTAKVVAKPKAKVATKPKAKAPVKAKPAVKPKAKPTVKPKAKPTVKPKAKPTVKPKAKPTVKPKAKSAVKSRAKPKTSAKPAKVAKTAAKTSAGKKTTKRAKK >Manes.08G004109.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:706526:707916:1 gene:Manes.08G004109.v8.1 transcript:Manes.08G004109.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGATETQEPSSPKDTCIGQVRVKRSKQAKTQPSKIKCFCKWVRNTQFYQHSNRATLRPKCTLLSWRKWVMFFKVGVRRESKIREDSSKVEPKFGNTSEDAGQESEVEDEENKMYVSSSISPPKNALLLTRSRSAPCRSSSVACRFWGSPLESEETEQN >Manes.04G127875.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33065702:33108044:-1 gene:Manes.04G127875.v8.1 transcript:Manes.04G127875.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCIQSLRREDLPFDASGSGTPPPVLPSHNSPGTDPIERISFPNGRQSQGYGLRQFTYMELAKATEHFSNNVILGEGGFGQVYKGFIDGKLYAVKKLKNQPDEQTQATMEEEISVISRVRHRNLVELIGYCIQGNDRLPIYFHSFTLRLCFFK >Manes.S018967.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:181152:182931:1 gene:Manes.S018967.v8.1 transcript:Manes.S018967.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHTSPHKPFDIHPHVMPKELSSKHCHHFPHTWTATNHEVMIPPHDLLPQLAPFRHKDAATPRLNEPIIDHELPCYTVASQPHQLFGLPITHRCLLDAPQQGRLWTHRVDSHPYSLLLVIMPFAFPRWQ >Manes.03G111800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23616629:23619467:1 gene:Manes.03G111800.v8.1 transcript:Manes.03G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIRFFAIFNRTQSLISNAVLKTRYSSSASNNLFSRISPLGDPGISLVPVLDKWAEEGAKVKGFELQKIVKVLRARRRYRHALEVSEWMTGKVVSSFSPADCAVQLDLIGRVRGLETAESYFQNLDDQAKTDKTYGALLNCYVREGLVDKSLNHMQKMKELGFAFSSLNYNGLMCLYTNTGQLEKVLDVLSEMKENGVSPDNFSYRICMNACAARSDLSGVEKILKEIENQPHISLDWMTYSTVANIYIKAGVKERALVYLKKCEEKGNKDALGYNHLISLYASLGNKDEIMRLWGLAKAKCKKLVNRDYLTMLGSLVKLGEFEETEKLLQEWESSCQIYDFRVPNVLLIGYCQKGLVEKAEEMLRDIVKKQKTAIPNSWSIIAAGYVDKQNMEKAFQCMKEALTLQTDNKGWRPKPELISSILSWLGDNGDVEEVEAFVCSLENKVPKNRELYRTLVKAYVRCGKQVDGLLGSMKADQIDVDEEMKTILGSRLQEG >Manes.09G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10113659:10116588:-1 gene:Manes.09G058000.v8.1 transcript:Manes.09G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAGFLLRFSVIATNKPINPILFHVSFSFSSVPTPQFEQTQQREILQNHSNTISGKDHERFEQMDKLQFLLQQGSTEAAYSFTKSIVLSKSLFSTPSDLLSCFSSFFPSLRFKLSNMLFSVCCGSKMLDQATELYDFMKRDGKLPPLASLNVLLNSLVDSKQFDKVLVLFTELVESGFRPDTAMYGKAVQAAVKLGDVKRGMELLDSMKKRGVRTNVFVYNVLIGGLCKEKRIRDAQELFDEMRRRNLVGSTVTYNTLIDGYCKVGEVDTASKMMERMKEENVPMNIITFNSLLSGLCKMKRMEEARNILKEMEVNGFVPDGFTYSIFFDGLFRSADWNGAMDLYESATRKGIKINNYTGSILLNGLCKEGKVEKAEEILERLRKNGLVPDEVIYNTMVKGYCQIGDINKAIMIIEQMESLGLKPNCITFNSLIDKICEMQKMNEAEEWVKKMAEKGVAPSVVTYNTLINGFGKLCTFDRCFQILEEMEEIGVKPNVVTYGSLINCLCKDGRILEAEIVVRDMIGRGVLPNAQIYNMLIDGSCAVGKLKDALRFFDEMLRSEIGATLVTYNALINGLCKKGRLMEAEDLLLQITSSGYSPDAITYNSLISGYANAGNVPKCLELYETMKKLGIKPTINTFHPLISGCSRQGIELVETLFAEMLQFNLAPDRVVYNAMIHNHAEIGSLRKAYELYHDMIDRGVQTDKMTYHSLILGHIREGELSETKGLVDSMKAKGLAPNANTYNILVKGHCDRKYFGVAYIWCREMIENNFHPSVSICKELIAGLKQEGRLNEVLVICSEMKVKGMADWGSIEDLSAVAETNQGSI >Manes.05G098800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9172511:9173450:-1 gene:Manes.05G098800.v8.1 transcript:Manes.05G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSATVLGRTITTTTTATGTPSVTHQSLHFSSKILFPVFPFKNHRPITKLHVSSPTNKPITTTPAKKPTEETIFFDGGAHYGDLLANLLLGFTLLWLPLTLAAVSRAFYLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQVVPRFIGEWGDIVITLRDGTKVDLRSVPKFREIAKYCLSMAEKPVVLEESGPKGF >Manes.05G099100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9229224:9230851:-1 gene:Manes.05G099100.v8.1 transcript:Manes.05G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSSGVSRITDEQIIDLVSKLQQLIPEIRSRRSDKVSASKVLQETCNYIRNLHREVDDLSDRLSELLASTDSDSAQAAIIRSLLMD >Manes.15G100100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7844968:7847252:1 gene:Manes.15G100100.v8.1 transcript:Manes.15G100100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKDIAFYITVCCIAFITSKIIISILLYKRWKRKHLVYEDGFSGGKMVLFKSAIMQQPLSSDVLLKKTLKLSNKDIIGSGGYGTVYRLNINDSVAFAVKRLNRGSAERDRGFERELEAMGDIKHRNIVTLHGYYTAPHYNLLIYELMPNGSLDAVLHGRSMEKKDLDWGSRYRIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQKMEARVSDFGLATLMEPDKTHVSTFPAGTFGYLAPEYFDTGKATAKGDVYSFGVVLLELLTGKKPTDEAFFEEGTKLVTWVKAVVEDKREVHALDSRLKCAPTDEINNAFRIAFMCLEPEPSMRPSMAEIVKMLEQVKSDNL >Manes.15G100100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7844970:7847252:1 gene:Manes.15G100100.v8.1 transcript:Manes.15G100100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKDIAFYITVCCIAFITSKIIISILLYKRWKRKHLVYEDGFSGGKMVLFKSAIMQQPLSSDVLLKKTLKLSNKDIIGSGGYGTVYRLNINDSVAFAVKRLNRGSAERDRGFERELEAMGDIKHRNIVTLHGYYTAPHYNLLIYELMPNGSLDAVLHGRSMEKKDLDWGSRYRIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQKMEARVSDFGLATLMEPDKTHVSTFPAGTFGYLAPEYFDTGKATAKGDVYSFGVVLLELLTGKKPTDEAFFEEGTKLVTWVKAVVEDKREVHALDSRLKCAPTDEINNAFRIAFMCLEPEPSMRPSMAEIVKMLEQVKSDNL >Manes.13G152101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36660966:36661253:-1 gene:Manes.13G152101.v8.1 transcript:Manes.13G152101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASKAWMVAASIGAVEALKDQGFCRWNNAIRSIQQHAKNHFRSISLANNLSSSSSSSSSSSSSRGSSKLQEDRAKQSEESLRKVMYLSCWGPN >Manes.09G006300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1567805:1569992:1 gene:Manes.09G006300.v8.1 transcript:Manes.09G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCKILMLYFFLELAFADKNIQSGFLFNGYFKLNGSAELNHPNMSSAVISQDAGRSQAFQVFYNNSFKFKNSTTGSVFSFSTTFVFAIVSTDPEFRGHGLAFVISPSKGILDASPNLYLGLYNGTSNGNSSNLVAVELDTDQDFQFNDTDNNHLGIDIEGLMSNQSNTAGYYTDRRFQKLDLVSGKPMQVWVEYESSKQQLNVTIHPINIPKPKHPLLSLTRDLSPYISEFMYVGFSSSSGPNASHYIMGWSFMINGQPQEINVSSLPHIPGVRREDEDDSGDRYRKQQKMLAFVLSLTGGFFLLFLIFGVLMMLRRRRFIQVLEDWELLYGPHRFSYKDLFVATKGFRNKQLLGKGGFGRVYRGVLPFSKVQIAVKRISHDSSQGMREFIAEIATIGRLRHPNLVRLLGYCRRKNELFLVYDYMPNGSLDKFLYRLPNCILNWKQRFKIIKDVASALFYLHQQWVQVVIHRDIKPANVLIDNDMNGRLGDFGLARLFDHGNDPQTSIVVGTPGYIDPEIVHSGKSNTSTDLYAFGVFMLEVTCGRRPVDPRTSPEKVLLIDWVTNCWDRGAILESIDCRLVNDYVCHEAEMVLKLGLLCSHPVAAARPSMSIVVQILDGAAQLPENIIGIIKTRDSGDAARLGGLGSKIPSAITSIASLSFTESFVSDGR >Manes.08G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38082519:38083609:1 gene:Manes.08G131300.v8.1 transcript:Manes.08G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFHFLVLLAFASALSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVADDFFAWGLNIPGDTDQNRVRSNVNLVNVDRIPGLNTLGISLARLDFAPNGGLNPPHIHPRGTEILVVVEGTLYVGFVTSNPNRLIAKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGLITIADAIFGPDPPINPDVLAKAFQLDKNDVEKLQKLFED >Manes.17G096000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30361597:30374298:1 gene:Manes.17G096000.v8.1 transcript:Manes.17G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMETIQDLIEEAKVRLVWWGLCIFAVTYFLSHTSSSMWINLPISILLVSAFRILSNEVEFSWKSCRLTRPQSYLSHLEKKQLSVNDSRLSSAPLPQRWKRKIDSPVVEAAINDLIDKILKDFVIDLWYSEITPDKEAPELMRAVIMDAIGEISGRVKEINLVDLLTRDIIDLIGDHLDLFRRNQAAIGADVMGTLSTDERDERLKHHLLASKELHPALISPENEFKVLQRLTGGLLAVVLRPRESQCPLVRTIARELVTCLILQPVMGLASPLYINEIIEYVLLATKDGNLMEVSSNPYAGSVHNGGSSSGKYSSLNSEKTNIVDTEKKFQGTDMNLVKINDCKETLLDCHSNQQELLQPRHAGWARVLEAATQRRTEVLTPENLENMWSKGRNYKKKETKNLQSGAKGSVTNNKEPTRNSGKEILTNSIAISAGSKEKAIVWQTPQLSHDALSRVVNKDVKQFTQDHNVESSIERGHASDESDSNSNPSVNENKSRHKRSYSTSALKSQPVEEKPFTGDVRVSAISEFSSADYGRPSENLAVKKVSDVAISSAGPRIPNPKLKCRVMGAYFEKLGSKSFAVYSIAVTDAEKRTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQNLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAVDDILRQFKGASDGLMRKVVGLPPLPDETDSSIYSRNLSWHAGEINNPVARQDTSETVNSFSDSEESFYQGSQEASVEQANGWHSDNELNSKGSPPQVIKHDKDSFSAQMKHSPESKSEWVNQGGLHAVNSAAATSNHMEDPVGMPPEWTPPNVSVPLLNLVDKVFQLNRRGWLRRQVFWMSKQILQLIMEDAIDDWLLRQIHWLRREDIVAQGIRWVQDVLWPDGTFFTRIGVMQGAIHDAQFNMVPFEVSQVGGSKASKQSSFEEQLEASRRASDIKKILFDGAPAALVSLIGNKQYKRCARDIFYFTQSTICVKQLAYSILELLLISVFPELRDLVLDVHGKMHVTLA >Manes.17G096000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30361597:30374298:1 gene:Manes.17G096000.v8.1 transcript:Manes.17G096000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWINLPISILLVSAFRILSNEVEFSWKSCRLTRPQSYLSHLEKKQLSVNDSRLSSAPLPQRWKRKIDSPVVEAAINDLIDKILKDFVIDLWYSEITPDKEAPELMRAVIMDAIGEISGRVKEINLVDLLTRDIIDLIGDHLDLFRRNQAAIGADVMGTLSTDERDERLKHHLLASKELHPALISPENEFKVLQRLTGGLLAVVLRPRESQCPLVRTIARELVTCLILQPVMGLASPLYINEIIEYVLLATKDGNLMEVSSNPYAGSVHNGGSSSGKYSSLNSEKTNIVDTEKKFQGTDMNLVKINDCKETLLDCHSNQQELLQPRHAGWARVLEAATQRRTEVLTPENLENMWSKGRNYKKKETKNLQSGAKGSVTNNKEPTRNSGKEILTNSIAISAGSKEKAIVWQTPQLSHDALSRVVNKDVKQFTQDHNVESSIERGHASDESDSNSNPSVNENKSRHKRSYSTSALKSQPVEEKPFTGDVRVSAISEFSSADYGRPSENLAVKKVSDVAISSAGPRIPNPKLKCRVMGAYFEKLGSKSFAVYSIAVTDAEKRTWFVKRRYRNFERLHRHLKDIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQNLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAVDDILRQFKGASDGLMRKVVGLPPLPDETDSSIYSRNLSWHAGEINNPVARQDTSETVNSFSDSEESFYQGSQEASVEQANGWHSDNELNSKGSPPQVIKHDKDSFSAQMKHSPESKSEWVNQGGLHAVNSAAATSNHMEDPVGMPPEWTPPNVSVPLLNLVDKVFQLNRRGWLRRQVFWMSKQILQLIMEDAIDDWLLRQIHWLRREDIVAQGIRWVQDVLWPDGTFFTRIGVMQGAIHDAQFNMVPFEVSQVGGSKASKQSSFEEQLEASRRASDIKKILFDGAPAALVSLIGNKQYKRCARDIFYFTQSTICVKQLAYSILELLLISVFPELRDLVLDVHGKMHVTLA >Manes.09G093866.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27949272:27960453:1 gene:Manes.09G093866.v8.1 transcript:Manes.09G093866.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPNGKADASQAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGMVGTLDGQGQVAWKGVSCDKDSKNCKKHPMNIRFNFIIKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGTGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSGYYRTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSESIDVKPTIVGKIPEGCK >Manes.07G117700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32299645:32302451:1 gene:Manes.07G117700.v8.1 transcript:Manes.07G117700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYRRRRRQAALTISFYLMAIKWNSANKPKWNQPNYFFVFFFFFFFFFTLIRDFLKIQSLFCKIMEVDHEGCKTPRRWESRIPTALACPPAPKKKLVYLKKRMPPKEGYFEPPDLEAFFVIAPKARKEACA >Manes.18G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:594627:597595:1 gene:Manes.18G001200.v8.1 transcript:Manes.18G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPPTTMSVMEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHVVRLMDVKQGLNKEGKTVLYLVFEYMDTDLKKFIRSFRQTGENISVKIVKTLMYQLCKGVAFCHGHGILHRDLKPHNLLMDRKTMMLKIADLGLARAFTLPIRKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEEVWPGVSKLVNWHEYPQWSPQSLSSAVPNLEKDGLDLLGNMLQYEPSKRISAKKAMEHPYFDDLNKAIL >Manes.13G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29495970:29500763:1 gene:Manes.13G100600.v8.1 transcript:Manes.13G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATNWLSFSLSPMEMLRSSESQFISYEGSSTASPHYLIDNFYNNGWGSTKQEAQEATTMAETSILTSFMNPETHHQQAPKLEDFLGDSSSFVRYSDNSQTETQDSSLTHIYDQGSAAYFSDQQDLKAIAGFQAFSTNSGSEVDDSASIARTQLGGVELLGHSVESTGNELGFSSCNGADNALSLAVNNQSSTEKAIVPAESDCTKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAALKYWGPTATTNFPVTHYTKELEEMKYVSKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGMNAVTNFEMNRYDVEAIMKSALPIGGAAKRLKLSLESEQKPMLNLEQQPQSSSNNISFGSNPMPTVSAIPCGIPFENTTALYHHNLFHHLQSANNYGGTDSSGSTSSMASPMTLLPPQTAAAEFFIWPHQSY >Manes.02G138600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10592809:10600614:-1 gene:Manes.02G138600.v8.1 transcript:Manes.02G138600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSSLAPSFNRNSPLFSFFVQMPLLSVFRNSSLAFHRPLLHYHSYLFAKPVRDIQAYDLPMDRRAHLCCSALSTTPKVHESSALAMDKVSNKSKRKARQESPEGVLKLKLDMCSKHGDVVQALHLYDEARTNGVQLNQHHYSVLLYLCSSGSSVKLNGNVVDANASSLYYKRGFEIFQQMIIDKVAPSEATFTNAARLALALEDPEMAFDLVKQMKGFNILPKLRSYGPALFGFCSKGMADRAYEVDAHMAESGVMPEEPELSALLKLSADVKRADKVYDTLHRLRAIIRQVTDSTLGIIEDWFNSEDASKIGEENWDTSKVRKGILMGGGGWHGQGWLGSGQWRAVRTQIDEKGGCHSCGEKLVCIDIDPRETENFATSLSNLACQREEWLQQHGPFDAVVDGANLGLVNQRTFSFYQLNSVVGKLREMSPSKRLPLIILHKRRVTGGPATNPKNKMLLEFWKKSGALYTTPAGSNDDWYWLYAAVTCNCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQKTTHSGLFMTIP >Manes.02G138600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10592809:10600614:-1 gene:Manes.02G138600.v8.1 transcript:Manes.02G138600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSSLAPSFNRNSPLFSFFVQMPLLSVFRNSSLAFHRPLLHYHSYLFAKPVRDIQAYDLPMDRRAHLCCSALSTTPKVHESSALAMDKVSNKSKRKARQESPEGVLKLKLDMCSKHGDVVQALHLYDEARTNGVQLNQHHYSVLLYLCSSGSSVKLNGNVVDANASSLYYKRGFEIFQQMIIDKVAPSEATFTNAARLALALEDPEMAFDLVKQMKGFNILPKLRSYGPALFGFCSKGMADRAYEVDAHMAESGVMPEEPELSALLKLSADVKRADKVYDTLHRLRAIIRQVTDSTLGIIEDWFNSEDASKIGEENWDTSKVRKGILMGGGGWHGQGWLGSGQWRAVRTQIDEKGGCHSCGEKLVCIDIDPRETENFATSLSNLACQREVRTDFVRFQEWLQQHGPFDAVVDGANLGLVNQRTFSFYQLNSVVGKLREMSPSKRLPLIILHKRRVTGGPATNPKNKMLLEFWKKSGALYTTPAGSNDDWYWLYAAVTCNCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQKTTHSGLFMTIP >Manes.02G138600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10592809:10600613:-1 gene:Manes.02G138600.v8.1 transcript:Manes.02G138600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSSLAPSFNRNSPLFSFFVQMPLLSVFRNSSLAFHRPLLHYHSYLFAKPVRDIQAYDLPMDRRAHLCCSALSTTPKVHESSALAMDKVSNKSKRKARQESPEGVLKLKLDMCSKHGDVVQALHLYDEARTNGVQLNQHHYSVLLYLCSSGSSVKLNGNVVDANASSLYYKRGFEIFQQMIIDKVAPSEATFTNAARLALALEDPEMAFDLVKQMKGFNILPKLRSYGPALFGFCSKGMADRAYEVDAHMAESGVMPEEPELSALLKLSADVKRADKVYDTLHRLRAIIRQVTDSTLGIIEDWFNSEDASKIGEENWDTSKVRKGILMGGGGWHGQGWLGSGQWRAVRTQIDEKGGCHSCGEKLVCIDIDPRETENFATSLSNLACQREVRTDFVRFQEWLQQHGPFDAVVDGANLGLVNQRTFSFYQLNSVVGKLREMSPSKRLPLIILHKRRVTGGPATNPKNKMLLEFWKKSGALYTTPAGSNDDWYWLYAAVTCNCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVYSIV >Manes.02G138600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10592809:10600613:-1 gene:Manes.02G138600.v8.1 transcript:Manes.02G138600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSSLAPSFNRNSPLFSFFVQMPLLSVFRNSSLAFHRPLLHYHSYLFAKPVRDIQAYDLPMDRRAHLCCSALSTTPKVHESSALAMDKVSNKSKRKARQESPEGVLKLKLDMCSKHGDVVQALHLYDEARTNGVQLNQHHYSVLLYLCSSGSSVKLNGNVVDANASSLYYKRGFEIFQQMIIDKVAPSEATFTNAARLALALEDPEMAFDLVKQMKGFNILPKLRSYGPALFGFCSKGMADRAYEVDAHMAESGVMPEEPELSALLKLSADVKRADKVYDTLHRLRAIIRQVTDSTLGIIEDWFNSEDASKIGEENWDTSKVRKGILMGGGGWHGQGWLGSGQWRAVRTQIDEKGGCHSCGEKLVCIDIDPRETENFATSLSNLACQREEWLQQHGPFDAVVDGANLGLVNQRTFSFYQLNSVVGKLREMSPSKRLPLIILHKRRVTGGPATNPKNKMLLEFWKKSGALYTTPAGSNDDWYWLYAAVTCNCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRISVSRSGLTLQMPPPYSIVIQESENGSWHVPTIAGDDLETPRQWLCATRAKNKVIPF >Manes.02G138600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10592809:10600613:-1 gene:Manes.02G138600.v8.1 transcript:Manes.02G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSSLAPSFNRNSPLFSFFVQMPLLSVFRNSSLAFHRPLLHYHSYLFAKPVRDIQAYDLPMDRRAHLCCSALSTTPKVHESSALAMDKVSNKSKRKARQESPEGVLKLKLDMCSKHGDVVQALHLYDEARTNGVQLNQHHYSVLLYLCSSGSSVKLNGNVVDANASSLYYKRGFEIFQQMIIDKVAPSEATFTNAARLALALEDPEMAFDLVKQMKGFNILPKLRSYGPALFGFCSKGMADRAYEVDAHMAESGVMPEEPELSALLKLSADVKRADKVYDTLHRLRAIIRQVTDSTLGIIEDWFNSEDASKIGEENWDTSKVRKGILMGGGGWHGQGWLGSGQWRAVRTQIDEKGGCHSCGEKLVCIDIDPRETENFATSLSNLACQREVRTDFVRFQEWLQQHGPFDAVVDGANLGLVNQRTFSFYQLNSVVGKLREMSPSKRLPLIILHKRRVTGGPATNPKNKMLLEFWKKSGALYTTPAGSNDDWYWLYAAVTCNCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRISVSRSGLTLQMPPPYSIVIQESENGSWHVPTIAGDDLETPRQWLCATRAKNKVIPF >Manes.18G006100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:945055:947041:1 gene:Manes.18G006100.v8.1 transcript:Manes.18G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFKRSLSFPNKIPNRPPKPPLSHHIRSISLPSRSHPLISQLRDGICELRIWSSKSENRTSSWLCDGLTRLKDIHDSLDDILQLPQTQESLRLQPTWVEKLLEDFLRFVDVYGIFQTSVLALKEEELAAQVATRKRDDSKVALYIKSRKKMAKEMSKLANTIRDISRGSVPEINKLSITDAELVNLIGDVIEVTVSVSVALFSGISLSFGRRKSCWMMKLPKREKKVKIEEGIEELQQVNPESLWGLRKKGDEEVRMVLKRMQDLEGCIGGIESGGEQVFRSLINTRVSLLNSLTQ >Manes.14G083000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6906507:6909533:1 gene:Manes.14G083000.v8.1 transcript:Manes.14G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIDQCCTCLVYLSKCPFSKSNCFTFIMWGFASKAISGSVRLKNELSKRSQAHSECSDDEPSLNNSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQRAMVKLPTLPIQLPFFISCPWCNLLSFRLVYKGHLRFPRKNYFLLWMVESMNGDRSKSYFSFRGEHQPVGSSNRNQAMGNQVTHVSNRRAPYSRPPEQAASNHDEDRLSIRYFNAERLQLSLRKSLVFFVHLTAKFPLIVIFLLIVLYAIPASAAILSLYILITLVFALPSFLILYFALPSLDWLVREIIN >Manes.01G222700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38962726:38964990:1 gene:Manes.01G222700.v8.1 transcript:Manes.01G222700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFWSSLFILFFVTSIAALETDDTQTFIARVNNALKPSDYFEVEQWYSSILEDLNSSSLVTTSVNAEIVHVYKTVFQGFSARLTPQQAEALKERSEIIAVFPDHVFQLQTTRSPEFLGLQTSGSSSLSNVSEYGTNIIIGLLDTGIWPERQSFNDEGLDPIPKRWKGECQGGERFPKTLCNKKLIGARYFSRYGGTARDLNGHGTHTASTAAGQAVSNASFLGYASGTAVGIAAKARLAVYAVCSEIGYCSDADILAGFDKAVEDGVDVISVSLGSKSAIPIYEDGVGIGSFGAMEKGIVVSAAGGNSGPSYGSVINISPWLITVGAGTIDRKFPADLVLENGVVINGLSLFQGNASSEYSALIYAGNASFNSSADCAPGSLNKTLVFGKIVVCDRGDGVDAPLKGLAVKEAGGIGVIVANVHPYGGLLVSEAYLNPGLGINESARQVVLDYISSTSRPRGAMLFGGTQIGVKPAPLLATFSSRGPNKESLHVLKPDVIAPGVEILAAWSNDTSPTKLSEDPRRTEFNVISGTSMACPHVSGIAALLKGAHQDWSPAMIKSAIMTTAYTVDRDGNPLREETDMRECNAWDMGAGHVDPMKAIDPGLVYDLTSEDYVDFLCASNMSEKHIEVITQRAVKCEGRQHSNPWDLNYPAISVTFEGKSREIVVKRTVTHVSEEKWSYEVKVKNPKGVIAKVDPEKMVFEKKGEKLSYVVKITRDEQKEADEVMGSDFGEITWTDGKHRVNSPLVVMWT >Manes.07G040200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4297961:4298655:-1 gene:Manes.07G040200.v8.1 transcript:Manes.07G040200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDVSLLMFPMLLICLTNCNTVRVEARHILETSLPEVPELPKPELPPLPKVELPPFPEIPNLPKPEFPELPKPELPPFPHLPDVLPKPTLPTIPTLPKDITPPETTNTP >Manes.06G165701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29205044:29205643:1 gene:Manes.06G165701.v8.1 transcript:Manes.06G165701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVRVMAVVVIYNSMEEVEISLGEEEMYSGMEVVVRGKVVVETCSNMKEMETSLVEEVMCNDMEVAAMEMVEVETYSSMEEVETFLEEEVMCSDMEVVVREMVEVESYNGKMVEVMGKVEVVICSSMEEVETSLVEEVMYSDKEVVAKEMVEVETCSSMEEVEISLVEEVMYNDREVVVMEMVEVETYNSMEEVATS >Manes.09G083300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21951764:21969075:-1 gene:Manes.09G083300.v8.1 transcript:Manes.09G083300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFKPVSQPSNHEAIEGSEKEKQIKTLDLGNGSQVLYIPRFLSFDDSWKFFDYLNSHIPWTRPTIRVYGRSCVQPRDTCYVASPGLPELIYSGYKPHAYSWDDYPPLKEILEAVHKVLPGSKFNSLLLNRYKGGNDNVGWHADDEKLYGPTPEIASVSFGCERDFLLKKRPSKSSQAQAKGKSDDEPSSKRLKKSCHVDQHSFTLKHGSLLVMRGYTQRDWLHSVPKRAKAEATRINLTFRYVHGGLLGRKLP >Manes.09G083300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21951755:21969081:-1 gene:Manes.09G083300.v8.1 transcript:Manes.09G083300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFKPVSQPSNHEAIEGSEKEKQIKTLDLGNGSQVLYIPRFLSFDDSWKFFDYLNSHIPWTRPTIRVYGRSCVQPRDTCYVASPGLPELIYSGYKPHAYSWDDYPPLKEILEAVHKVLPGSKFNSLLLNRYKGGNDNVGWHADDEKLYGPTPEIASVSFGCERDFLLKKRPSKSSQAQAKGKSDDEPSSKRLKKSCHVDQHSFTLKHGSLLVMRGYTQRDWLHSVPKRAKAEATRINLTFRYVHGGLLGRKLP >Manes.09G083300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21951755:21970026:-1 gene:Manes.09G083300.v8.1 transcript:Manes.09G083300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFKPVSQPSNHEAIEGSEKEKQIKTLDLGNGSQVLYIPRFLSFDDSWKFFDYLNSHIPWTRPTIRVYGRSCVQPRDTCYVASPGLPELIYSGYKPHAYSWDDYPPLKEILEAVHKVLPGSKFNSLLLNRYKGGNDNVGWHADDEKLYGPTPEIASVSFGCERDFLLKKRPSKSSQAQAKGKSDDEPSSKRLKKSCHVDQHSFTLKHGSLLVMRGYTQRDWLHSVPKRAKAEATRINLTFRYVHGGLLGRKLP >Manes.09G083300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21951802:21969063:-1 gene:Manes.09G083300.v8.1 transcript:Manes.09G083300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFKPVSQPSNHEAIEGSEKEKQIKTLDLGNGSQVLYIPRFLSFDDSWKFFDYLNSHIPWTRPTIRVYGRSCVQPRDTCYVASPGLPELIYSGYKPHAYSWDDYPPLKEILEAVHKVLPGSKFNSLLLNRYKGGNDNVGWHADDEKLYGPTPEIASVSFGCERDFLLKKRPSKSSQAQAKGKSDDEPSSKRLKKSCHVDQHSFTLKHGSLLVMRGYTQRDWLHSVPKRAKAEATRINLTFRYVHGGLLGRKLP >Manes.09G083300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21951771:21970027:-1 gene:Manes.09G083300.v8.1 transcript:Manes.09G083300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFKPVSQPSNHEAIEGSEKEKQIKTLDLGNGSQVLYIPRFLSFDDSWKFFDYLNSHIPWTRPTIRVYGRSCVQPRDTCYVASPGLPELIYSGYKPHAYSWDDYPPLKEILEAVHKVLPGSKFNSLLLNRYKGGNDNVGWHADDEKLYGPTPEIASVSFGCERDFLLKKRPSKSSQAQAKGKSDDEPSSKRLKKSCHVDQHSFTLKHGSLLVMRGYTQRDWLHSVPKRAKAEATRINLTFRYVHGGLLGRKLP >Manes.04G163300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35704571:35706793:-1 gene:Manes.04G163300.v8.1 transcript:Manes.04G163300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPPISFHKQNNILFIRSFMILLLSCMTIRINLCFSSNYSSLKTLSVDGNFTFDDVHHAAKDFGNRFQFLPFAVLHPRSVSDIATTIKHIWQMGPHSKLTVAARGHGHSLQGQAQVHGGLVINMESLQEPKMHVHAGNYPYIDVSGGELWINILHESLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVYQLEVVTGKGEVLNCSEHQNSDLFYSVLGGLGQFGIITRARISLEPAPDMVKWIRILYSDFSTFSRDQEVLISAENSFDYVEGFVIINRTGLLNNWRSSFNPQDPIQASQFESDGRTLFCLELAKYFNTDKMDATNEEVMILLSQLRYIPSTLFLSEVPYIEFLDRVHVSEVKLRSKGLWEVPHPWLNLLVPKSKIHNFAEEVFGNIFTNTSNGPVLIYPVKKSKWDNRTSAVIPEEDIFYLVAFLSSAVPSSTGTDGLEHILYQNRRILEFCGAARLGFKQYLPHYTTQEDWQAHFGPQWEVFVQRKSAYDPLAILAPGQRIFQKAIPFS >Manes.04G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35704571:35706793:-1 gene:Manes.04G163300.v8.1 transcript:Manes.04G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPPISFHKQNNILFIRSFMILLLSCMTIRINLCFSSNYSSLKTLSVDGNFTFDDVHHAAKDFGNRFQFLPFAVLHPRSVSDIATTIKHIWQMGPHSKLTVAARGHGHSLQGQAQVHGGLVINMESLQEPKMHVHAGNYPYIDVSGGELWINILHESLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVYQLEVVTGKGEVLNCSEHQNSDLFYSVLGGLGQFGIITRARISLEPAPDMVKWIRILYSDFSTFSRDQEVLISAENSFDYVEGFVIINRTGLLNNWRSSFNPQDPIQASQFESDGRTLFCLELAKYFNTDKMDATNEQEVMILLSQLRYIPSTLFLSEVPYIEFLDRVHVSEVKLRSKGLWEVPHPWLNLLVPKSKIHNFAEEVFGNIFTNTSNGPVLIYPVKKSKWDNRTSAVIPEEDIFYLVAFLSSAVPSSTGTDGLEHILYQNRRILEFCGAARLGFKQYLPHYTTQEDWQAHFGPQWEVFVQRKSAYDPLAILAPGQRIFQKAIPFS >Manes.06G119500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25054983:25056677:-1 gene:Manes.06G119500.v8.1 transcript:Manes.06G119500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLGLHPLVLSQAGPKALAVTAKNWSESAHRFLKQCVNACNADASYTLGMIRFYCLQNRATGASLIAKAAIKSHAPALYSLAVMQFNGSGGSKKDKDLRAGVSLCARAAVLGHIDALRELGHCLQDGYGVAQNVVEGRRLLIQANAREFASSLRTMLTWQPQHQHHHLQYASCVMMGSMGCPLLSDFGCNVPARELHPANRFLREWFESGRGLLGPGLRLCSHGGCGRPETRPHEFRRCSVCGKVNYCSRGCQALDWKLRHKSECVAMEQWHGVDGGGDEIEGMVGIEEDENVALLH >Manes.06G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25054983:25056677:-1 gene:Manes.06G119500.v8.1 transcript:Manes.06G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKRPKISPLITQRSDLFEELPDDIIVCILCKLSSSASCPSDFINILITCKRMNRLGLHPLVLSQAGPKALAVTAKNWSESAHRFLKQCVNACNADASYTLGMIRFYCLQNRATGASLIAKAAIKSHAPALYSLAVMQFNGSGGSKKDKDLRAGVSLCARAAVLGHIDALRELGHCLQDGYGVAQNVVEGRRLLIQANAREFASSLRTMLTWQPQHQHHHLQYASCVMMGSMGCPLLSDFGCNVPARELHPANRFLREWFESGRGLLGPGLRLCSHGGCGRPETRPHEFRRCSVCGKVNYCSRGCQALDWKLRHKSECVAMEQWHGVDGGGDEIEGMVGIEEDENVALLH >Manes.02G005100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:601358:605548:1 gene:Manes.02G005100.v8.1 transcript:Manes.02G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSEQIEEEELFFDSRDDISSVSDSGSNCDDCSSSVEIDNDFSKYEVWFKIPESIHDRRSRFLDWVGSSSDQNSIVQEESAIQCLTKSQPGIYRMVDSSGAVLRTSSSEDCLLSTRSPSSSHLIETRDSFESGTDEDNSVCKIRNLDNGTEFVVDELDQNGMLSRLRRVGSDQSLSFEEFERITGASPLIQRLMNKYASDAKVMTEAKKKAKRSWLRRLGRLSLAAPIAERHGAAAFKPCDYESTVGSKMQRVKVHPSKKRSKELSSLYAGQEFLAHNGSILTMKFSHDGQYLATGGEDGVVRVWRVIEDDRLDQFHMPPDDPSFLYFTMNNQSKIASLGVDTVKVDKPKRHISSNSTCVIFPPKVFKVLEKPVHEFQGHNCEVLDLSWSKRRFLLSSSIDQTVRLWQVGHDRCLRVFSHNNYVTCVDFNPADDNYFISGSIDGKVRIWEVLSCQVVDYTVIREIVTAVSYRPDGKGGIVGTLTGNCLSYDIIENRLQLDAQISLQGKKKLTGRRITGFEFSPSDPTKVLVTSADSIVRVLSGMDVICKFRASSIGISANQMSASFTTDGKHVVSTSEDSSVYVWNYNSQEKSSSRGKNIQSCESFMSQNVSIAIPWCGIENGPGTLVSPPSARDIHGSNGKHGHSHHKFFGEPSSDCFSLTRGFLSDSLTRGSATWPEEVLSDSNPVAISTTKSKSEYKFLKNACNNILSSPQLWGLVIVTAGWDGRIRTYLNYGLPLRI >Manes.09G025130.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5039005:5043118:1 gene:Manes.09G025130.v8.1 transcript:Manes.09G025130.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFLFSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQSSQNPRVEIWLENLKQVLYDAEDVVDEFKCEDLAGNTTPKVCRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFYGPVIHREREMTHSFVDASNVIGRDQARDNIIEMLQHIDGENVCIVPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIDQLQRSLREALNERKYLLILDDVWSEDPRKWLELKALLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKYAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWKFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLDDITLVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEHYGIVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFSHPESLPKDVPKTLQNLECMRTIWFINERREGISSEMFIKTCCSKFQYLRVFDLTYSSFEELPASIGNLKHLKYLNLCMNSNIKRLPNSICKLQSLQVLLLFGCRDLQELPKDIRCMINLRWLWITTRQKYFPTGGIGCLKSLRFLFITGCHKLEYLFEDMQGLKKLRRLVISGCRRLISLPQSIKCLTTLDTLCIGDCENLDLRMEEGEETQFSLQILVLGWLPKIVDFPEWLIRGSTNSLKVLEVERCNNLRALPNCLQNMASHPEVRIIDCPKLNNNPLRIAEEAGRSTSLS >Manes.15G042200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3265630:3276758:1 gene:Manes.15G042200.v8.1 transcript:Manes.15G042200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTRLDSAIFQLTPTRTRCDLVIIANGKTEKIASGLVNPFLAHLKFARDQMAKGGYSIILEPGPGNDATWFTKGTVERFVRFVSTPEVLERVYTLESEILHIEEAIAIQSNNEIGLTMVEDHQAQPVEHIEGSRSLLDSNEEKAIVLYKPDAHPPEANGSTAQEGNSKVQLMKVLETRKTVLRKEQGMAFARAEAAGFDTDNMAPLISFAESFGASRFMDACLRILDLWKRKHETGQWVEIEAGEAKSSQPDFSSLSASGVVLSSAINKQWPETPDSNGKAGADSSTDEKPLMGQQPSPSKQEYFRGQFPHHIFPPWPIHSPTGALPVFQGYPMQGIPYYQNYPGNSPSFQLPYPLLEGTKLSAGQRNGQRRNSMDSWDGNDTETGEMDRESGRKSSRSSKRQSSKVVVRNINYITSKRQESSGSESQSASGSETDEEEGDLSVTTSSSKHKNSLRTSKTKGIHTNSVEKLDSSDMEGTIHGNETDGGHWQAFQSYLLKGADEAGDAVDKDMFVLIKEVRVKRRQNTAGHDPLVFDGRDVGDNQEGNMTDMQRISGKLVRMTKASNGESQMSRRIDQSCDGGSFMDSRTDIQSAEVDARKSRYRRSTNDDFIIRRQENQSGYGNSPTDPLAFNGFVHPNKDLDRSLSHNMDDDSYVVSLRPVSEDQVGTVGRDAIDMDSEFPSSQVENLPNRVGSQAKYEPDDLSLLPERGTEKGTVGYDPALDYYMKVCAENGTPLDKKNKVAAIGVRKGTKKVDKDQKSKLIPDTSDKKKTGGPIRKEKPSKFSHLDEAKARAERLRTFKAGFQKMKKEKEEEIKRLEALKLERQKRIAARGSSIPSQLLSHQTRKQLPRKLSPSSYKGSKFSDSEPGSVSHLQRFPIRTLSAGSADSLKGSKTKKLSTSSTSAGNRLSRSVSSLLEPKKVNGRVVSDTKASITRIKRLSEPKMSSSHHVSSVKTRNTEPVSKSNMSNGPEGKKISAVTNHERNKTSSPQELKIKTTKEHEVPADNSAVKEMAPKMKGSKSSTTSGGSELKRNSDIMSHHGVMGMTIQ >Manes.15G042200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3265630:3276758:1 gene:Manes.15G042200.v8.1 transcript:Manes.15G042200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTRLDSAIFQLTPTRTRCDLVIIANGKTEKIASGLVNPFLAHLKFARDQMAKGGYSIILEPGPGNDATWFTKGTVERFVRFVSTPEVLERVYTLESEILHIEEAIAIQSNNEIGLTMVEDHQAQPVEHIEGSRSLLDSNEEKAIVLYKPDAHPPEANGSTAQEGNSKVQLMKVLETRKTVLRKEQGMAFARAEAAGFDTDNMAPLISFAESFGASRFMDACLRILDLWKRKHETGQWVEIEAGEAKSSQPDFSSLSASGVVLSSAINKQWPETPDSNGKAGADSSTDEKPLMGQQPSPSKQEYFRGQFPHHIFPPWPIHSPTGALPVFQGYPMQGIPYYQNYPGNSPSFQLPYPLLEGTKLSAGQRNGQRRNSMDSWDGNDTETGEMDRESGRKSSRSSKRQSSKVVVRNINYITSKRQESSGSESQSASGSETDEEEGDLSVTTSSSKHKNSLRTSKTKGIHTNSVEKLDSSDMEGTIHGNETDGGHWQAFQSYLLKGADEAGDAVDKDMFVLIKEVRVKRRQNTAGHDPLVFDGRDVGDNQEGNMTDMQRISGKLVRMTKASNGESQMSRRIDQSCDGGSFMDSRTDIQSAEVDARKSRYRRSTNDDFIIRRQENQSGYGNSPTDPLAFNGFVHPNKDLDRSLSHNMDDDSYVVSLRPVSEDQVGTVGRDAIDMDSEFPSSQVENLPNRVGSQAKYEPDDLSLLPERGTEKGTVGYDPALDYYMKVCAENGTPLDKKNKVAAIGVRKGTKKVDKDQKSKLIPDTSDKKKTGGPIRKEKPSKFSHLDEAKARAERLRTFKAGFQKMKKEKEEEIKRLEALKLERQKRIAARGSSIPSQLLSHQTRKQLPRKLSPSSYKGSKFSDSEPGSVSHLQRFPIRTLSAGSADSLKGSKTKKLSTSSTSAGNRLSRSVSSLLEPKKVNGRVVSDTKASITRIKRLSEPKMSSSHHVSSVKTRNTEPVSKSNMSNGPEGKKISAVTNHERNKTSSPQELKIKTTKEHEVPADNSAVKEMAPKMKGSKSSTTSGGSELKRNSDIMSHHGVMGMTIQ >Manes.15G042200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3265630:3276758:1 gene:Manes.15G042200.v8.1 transcript:Manes.15G042200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTRLDSAIFQLTPTRTRCDLVIIANGKTEKIASGLVNPFLAHLKFARDQMAKGGYSIILEPGPGNDATWFTKGTVERFVRFVSTPEVLERVYTLESEILHIEEAIAIQSNNEIGLTMVEDHQAQPVEHIEGSRSLLDSNEEKAIVLYKPDAHPPEANGSTAQEGNSKVQLMKVLETRKTVLRKEQGMAFARAEAAGFDTDNMAPLISFAESFGASRFMDACLRILDLWKRKHETGQWVEIEAGEAKSSQPDFSSLSASGVVLSSAINKQWPETPDSNGKAGADSSTDEKPLMGQQPSPSKQEYFRGQFPHHIFPPWPIHSPTGALPVFQGYPMQGIPYYQNYPGNSPSFQLPYPLLEGTKLSAGQRNGQRRNSMDSWDGNDTETGEMDRESGRKSSRSSKRQSSKVVVRNINYITSKRQESSGSESQSASGSETDEEEGDLSVTTSSSKHKNSLRTSKTKGIHTNSVEKLDSSDMEGTIHGNETDGGHWQAFQSYLLKGADEAGDAVDKDMFVLIKEVRVKRRQNTAGHDPLVFDGRDVGDNQEGNMTDMQRISGKLVRMTKASNGESQMSRRIDQSCDGGSFMDSRTDIQSAEVDARKSRYRRSTNDDFIIRRQENQSGYGNSPTDPLAFNGFVHPNKDLDRSLSHNMDDDSYVVSLRPVSEDQVGTVGRDAIDMDSEFPSSQVENLPNRVGSQAKYEPDDLSLLPERGTEKGTVGYDPALDYYMKVCAENGTPLDKKNKVAAIGVRKGTKKVDKDQKSKLIPDTSDKKKTGGPIRKEKPSKFSHLDEAKARAERLRTFKAGFQKMKKEKEEEIKRLEALKLERQKRIAARGSSIPSQLLSHQTRKQLPRKLSPSSYKGSKFSDSEPGSVSHLQRFPIRTLSAGSADSLKGSKTKKLSTSSTSAGNRLSRSVSSLLEPKKVNGRVVSDTKASITRIKRLSEPKMSSSHHVSSVKTRNTEPVSKSNMSNGPEGKKISAVTNHERNKTSSPQELKIKTTKEHEVPADNSAVKEMAPKMKGSKSSTTSGGSELKRNSDIMSHHGVMGMTIQ >Manes.01G026300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5464192:5467028:-1 gene:Manes.01G026300.v8.1 transcript:Manes.01G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSIKPTPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKTIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKEINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTVPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHMGWGVKTGLPYIWHSKASNPFVNLKKEYNGVFWQEEIIPFFQSAVLPKECTSVQKCYVELSKQVKEKLGKIDPYFIKLADAMVTWIEAWNELNSSEGKIAKLPNGAA >Manes.17G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24460543:24470204:-1 gene:Manes.17G047600.v8.1 transcript:Manes.17G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVAYKEYLAGLLGGVATVITGHPFDTVKVKLQKHNTEAHGIKYRNGLHCTTRILQTEGVKGLYRGATSSFVGVAFESSLLFGIYSQTKQSLQRGVDSDLPMPQVIIPSAAYGGAIISFILCPSELVKCRMQVQGTDSLVPKFSRYNGPLDCALQTVKNEGVSGIFRGGFTTLLREAIGNAVFFSVYEYVRYHMHLQLKASFSDHNSLIDMGVGIVSGGLGGVAFWSAVLPLDVAKTVIQTAPDKSSTRNPFQVLNSIYRRAGLKGCYAGLGPTILRAFPANAAAIVTWELAMKMLGIRHD >Manes.17G047600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24460543:24470204:-1 gene:Manes.17G047600.v8.1 transcript:Manes.17G047600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVAYKEYLAGLLGGVATVITGHPFDTVKVKLQKHNTEAHGIKYRNGLHCTTRILQTEGVKGLYRGATSSFVGVAFESSLLFGIYSQTKQSLQRGVDSDLPMPQVIIPSAAYGGAIISFILCPSELVKCRMQVQGTDSLVPKFSRYNGPLDCALQTVKNEGVSGIFRGGFTTLLREAIGNAVFFSVYEYVRYHMHLQLKASFSDHNSLIDMGVGIVSGGLGGVAFWSAVLPLDVAKTVIQTAPDKSSTRNPFQVLNSEGWT >Manes.17G047600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24462409:24463411:-1 gene:Manes.17G047600.v8.1 transcript:Manes.17G047600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVIIPSAAYGGAIISFILCPSELVKCRMQVQGTDSLVPKFSRYNGPLDCALQTVKNEGVSGIFRGGFTTLLREAIGNAVFFSVYEYVRYHMHLQLKASFSDHNSLIDMGVGIVSGGLGGVAFWSAVLPLDVAKTVIQTAPDKSSTRNPFQVLNSIYRRAGLKGCYAGLGPTILRAFPANAAAIVTWELAMKMLGIRHD >Manes.17G115400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32270699:32280494:1 gene:Manes.17G115400.v8.1 transcript:Manes.17G115400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKVTQQQKSHFKWKRKVYAVLFLGFCFGSLLLMQTQYTRILALASLQSRFVQKPKIAFLFIARNRIPLEIVWDAFFKGAESRFSIFVHSRPGFLLNKATTRSEYFLNRQVNDSIQVDWGEASMIEAERILLRHALEDPWNERFVFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMSSFIPVHNWRKGSQWVVLTRKHAEIVVNDTSVFPMFQQHCKRRSLPEFWRDQPFPADPAKEHNCIPDEHYVQTLLAQEGLEGEITRRSLTHSSWDLSSSKDPTRRGWHPVTYKFSDATPMLIKYIKVLAESFGI >Manes.17G115400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32270699:32280435:1 gene:Manes.17G115400.v8.1 transcript:Manes.17G115400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKVTQQQKSHFKWKRKVYAVLFLGFCFGSLLLMQTQYTRILALASLQSRFVQKPKIAFLFIARNRIPLEIVWDAFFKGAESRFSIFVHSRPGFLLNKATTRSEYFLNRQVNDSIQVDWGEASMIEAERILLRHALEDPWNERFVFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMSSFIPVHNWRKGSQWVVLTRKHAEIVVNDTSVFPMFQQHCKRRSLPEFWRDQPFPADPAKEHNCIPDEHYVQTLLADIDNIYYETEYRREWCTSKGKPSTCFLFARKFTRPAALRLLNMSMLGASRKAANES >Manes.17G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32270699:32280435:1 gene:Manes.17G115400.v8.1 transcript:Manes.17G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKVTQQQKSHFKWKRKVYAVLFLGFCFGSLLLMQTQYTRILALASLQSRFVQKPKIAFLFIARNRIPLEIVWDAFFKGAESRFSIFVHSRPGFLLNKATTRSEYFLNRQVNDSIQVDWGEASMIEAERILLRHALEDPWNERFVFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMSSFIPVHNWRKGSQWVVLTRKHAEIVVNDTSVFPMFQQHCKRRSLPEFWRDQPFPADPAKEHNCIPDEHYVQTLLAQEGLEGEITRRSLTHSSWDLSSSKDPTRRGWHPVTYKFSDATPMLIKYIKDIDNIYYETEYRREWCTSKGKPSTCFLFARKFTRPAALRLLNMSMLGASRKAANES >Manes.17G115400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32270699:32280435:1 gene:Manes.17G115400.v8.1 transcript:Manes.17G115400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRKVTQQQKSHFKWKRKVYAVLFLGFCFGSLLLMQTQYTRILALASLQSRFVQKPKIAFLFIARNRIPLEIVWDAFFKGAESRFSIFVHSRPGFLLNKATTRSEYFLNRQVNDSIQVDWGEASMIEAERILLRHALEDPWNERFVFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMSSFIPVHNWRKGSQWVVLTRKHAEIVVNDTSVFPMFQQHCKRRSLPEFWRDQPFPADPAKEHNCIPDEHYVQTLLAQEGLEGEITRRSLTHSSWDLSSSKDPTRRGWHPVTYKFSDATPMLIKYIKDIDNIYYETEYRREWCTSKGKPSTCFLFARKFTRPAALRLLNMV >Manes.17G115400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32270699:32280435:1 gene:Manes.17G115400.v8.1 transcript:Manes.17G115400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGSRFVQKPKIAFLFIARNRIPLEIVWDAFFKGAESRFSIFVHSRPGFLLNKATTRSEYFLNRQVNDSIQVDWGEASMIEAERILLRHALEDPWNERFVFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMSSFIPVHNWRKGSQWVVLTRKHAEIVVNDTSVFPMFQQHCKRRSLPEFWRDQPFPADPAKEHNCIPDEHYVQTLLAQEGLEGEITRRSLTHSSWDLSSSKDPTRRGWHPVTYKFSDATPMLIKYIKDIDNIYYETEYRREWCTSKGKPSTCFLFARKFTRPAALRLLNMSMLGASRKAANES >Manes.13G128200.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33634762:33636886:1 gene:Manes.13G128200.v8.1 transcript:Manes.13G128200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKFLRRKCTPECIFAPYFPSDQPLKFSSVHRVFGASNVAKLLNELNTAQREDAVNSLAYEAEERLRDPVYGCVGLISILQQRLKQLQNDLYHAKKELAQYVGPSAMLPILQPPMFMPQQQFPMMGIATGPAHGGQLMIRDHPQQPHQHPTNQQQQQQFFEAQQLAATVAVRDQQELFRAHDQQQQNQSQHQQELVRFNSGFDAAGSVTATGYNQLSAASLGDYDNSYQILSQAEHHHHQLQEQLLIQQEQQQPPQQTQLQQQRSGSEEGRSVSPSC >Manes.06G115700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24645014:24646507:1 gene:Manes.06G115700.v8.1 transcript:Manes.06G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQIISKEIVKPSSSTHPRTYNLSLLDQLAPQLYVPMIFFYSSTGESSCKKSHHLKTSLSKTLTHFYPFAGRIKDGFSIDCNDEGASFSEANVAGKMSLIVDEPDIDKLEKLLPCNPRDVSPERSSQVMLAVQVNHFDCGGMAVGVCIWHIIADISAVASFLTSWAAVARGFGDDIEGVIFDCTSLFPPLDFQGFSYCESRKEDLSNIIVKRFVFDSSKLAALREEIGSRRCLDPPTRFEAIAALIWRAVMAEIEEENENETKTNETSIAAVAVGMRKRMIPQLPKLSIGNIYEAAIAHCSKNDEELPDYNGLARKLHESIGKVNNDYVKKIHSDGGYMQLLRKIGEELGEKPSFGFSSWCKNQFYEVDFGWGKPTWVGTALKLYKVAVLMDTKDGEGIEAWVSLAKEDMVKFEQNPGIRAYSSFKLTHTINVWG >Manes.11G083200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12527694:12531626:1 gene:Manes.11G083200.v8.1 transcript:Manes.11G083200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFVSSLGNILYFFLLGVQMDPWLLKNIDRRAVKIGFFSVAVPLGMSTAWCFYLTSHTNVDQSIAKSLPSVAQAESVLAFPIVAQFLAELKIINSEFGSIALSSSFVAGLCSFCMRTTIVLLQQSAGDNYAALQTLINGVVLIVLIVFVLKPTTLWMIKQNTEGVPFKESNMIWLLLAVLFTGWLGHALSLYIYFGPLVFGITIPAGPPIGSALVDRLDILGNWIFMPLYLVKNGLLINVFSVKFKNYIIVQTVALVSSIGKFLGTLLVASCSNMPLRDAASLGLVMNAQGVLELSMFKLMENEKAIDHEVFVTMVISIMLVTGAIAPIIKYLYDPSRRYAVGKRRTVMNLKPNSELRVLVCIHEHENVLSAIKLLEVLNPTRRSPLCVYLLHLIEIVGRANPLLFSHSLTKTSSKKVKKSEHVVNAFRQFEDDNNDLIALSPFTAICPTKTMHDDVCRLALDKRTCLIIVPFHKRFQAIGNVSFHNKAIKITNENVLAKAPCSTAILVDQGLPDTRRTSLGGPSSYRVAVLFMGGPDDREALAIAARMAGHPSINLTMIRFIDNGNVASYSAWEKKLDNEIVSEFRTATAGNCKVTYIEEVVVDGTGTVSAVRSMENRYELLIVGKHHDNKSSFLSGLADWKDHHELGTIGDLLVSSQFMDKTSILVVQQHKIVKTKDPEIDRIAQDLEAEHQPIFRRVT >Manes.11G083200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12527756:12531627:1 gene:Manes.11G083200.v8.1 transcript:Manes.11G083200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAWCFYLTSHTNVDQSIAKSLPSVAQAESVLAFPIVAQFLAELKIINSEFGSIALSSSFVAGLCSFCMRTTIVLLQQSAGDNYAALQTLINGVVLIVLIVFVLKPTTLWMIKQNTEGVPFKESNMIWLLLAVLFTGWLGHALSLYIYFGPLVFGITIPAGPPIGSALVDRLDILGNWIFMPLYLVKNGLLINVFSVKFKNYIIVQTVALVSSIGKFLGTLLVASCSNMPLRDAASLGLVMNAQGVLELSMFKLMENEKAIDHEVFVTMVISIMLVTGAIAPIIKYLYDPSRRYAVGKRRTVMNLKPNSELRVLVCIHEHENVLSAIKLLEVLNPTRRSPLCVYLLHLIEIVGRANPLLFSHSLTKTSSKKVKKSEHVVNAFRQFEDDNNDLIALSPFTAICPTKTMHDDVCRLALDKRTCLIIVPFHKRFQAIGNVSFHNKAIKITNENVLAKAPCSTAILVDQGLPDTRRTSLGGPSSYRVAVLFMGGPDDREALAIAARMAGHPSINLTMIRFIDNGNVASYSAWEKKLDNEIVSEFRTATAGNCKVTYIEEVVVDGTGTVSAVRSMENRYELLIVGKHHDNKSSFLSGLADWKDHHELGTIGDLLVSSQFMDKTSILVVQQHKIVKTKDPEIDRIAQDLEAEHQPIFRRVT >Manes.11G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12527756:12531626:1 gene:Manes.11G083200.v8.1 transcript:Manes.11G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLMAPEDFATMAGIGAARNLTKICIDLYKIDSAGIFHNVNPLSFPFPLLLLQLSLSCGTFLLISKLLQPLGLPIVVRQLLGGIILGPTLLCRSRQLANLFFPLKGIVMMDFVSSLGNILYFFLLGVQMDPWLLKNIDRRAVKIGFFSVAVPLGMSTAWCFYLTSHTNVDQSIAKSLPSVAQAESVLAFPIVAQFLAELKIINSEFGSIALSSSFVAGLCSFCMRTTIVLLQQSAGDNYAALQTLINGVVLIVLIVFVLKPTTLWMIKQNTEGVPFKESNMIWLLLAVLFTGWLGHALSLYIYFGPLVFGITIPAGPPIGSALVDRLDILGNWIFMPLYLVKNGLLINVFSVKFKNYIIVQTVALVSSIGKFLGTLLVASCSNMPLRDAASLGLVMNAQGVLELSMFKLMENEKAIDHEVFVTMVISIMLVTGAIAPIIKYLYDPSRRYAVGKRRTVMNLKPNSELRVLVCIHEHENVLSAIKLLEVLNPTRRSPLCVYLLHLIEIVGRANPLLFSHSLTKTSSKKVKKSEHVVNAFRQFEDDNNDLIALSPFTAICPTKTMHDDVCRLALDKRTCLIIVPFHKRFQAIGNVSFHNKAIKITNENVLAKAPCSTAILVDQGLPDTRRTSLGGPSSYRVAVLFMGGPDDREALAIAARMAGHPSINLTMIRFIDNGNVASYSAWEKKLDNEIVSEFRTATAGNCKVTYIEEVVVDGTGTVSAVRSMENRYELLIVGKHHDNKSSFLSGLADWKDHHELGTIGDLLVSSQFMDKTSILVVQQHKIVKTKDPEIDRIAQDLEAEHQPIFRRVT >Manes.11G083200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12527756:12531627:1 gene:Manes.11G083200.v8.1 transcript:Manes.11G083200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLMAPEDFATMAGIGAARNLTKICIDLYKIDSAGIFHNVNPLSFPFPLLLLQLSLSCGTFLLISKLLQPLGLPIVVRQLLGGIILGPTLLYRRAVKIGFFSVAVPLGMSTAWCFYLTSHTNVDQSIAKSLPSVAQAESVLAFPIVAQFLAELKIINSEFGSIALSSSFVAGLCSFCMRTTIVLLQQSAGDNYAALQTLINGVVLIVLIVFVLKPTTLWMIKQNTEGVPFKESNMIWLLLAVLFTGWLGHALSLYIYFGPLVFGITIPAGPPIGSALVDRLDILGNWIFMPLYLVKNGLLINVFSVKFKNYIIVQTVALVSSIGKFLGTLLVASCSNMPLRDAASLGLVMNAQGVLELSMFKLMENEKAIDHEVFVTMVISIMLVTGAIAPIIKYLYDPSRRYAVGKRRTVMNLKPNSELRVLVCIHEHENVLSAIKLLEVLNPTRRSPLCVYLLHLIEIVGRANPLLFSHSLTKTSSKKVKKSEHVVNAFRQFEDDNNDLIALSPFTAICPTKTMHDDVCRLALDKRTCLIIVPFHKRFQAIGNVSFHNKAIKITNENVLAKAPCSTAILVDQGLPDTRRTSLGGPSSYRVAVLFMGGPDDREALAIAARMAGHPSINLTMIRFIDNGNVASYSAWEKKLDNEIVSEFRTATAGNCKVTYIEEVVVDGTGTVSAVRSMENRYELLIVGKHHDNKSSFLSGLADWKDHHELGTIGDLLVSSQFMDKTSILVVQQHKIVKTKDPEIDRIAQDLEAEHQPIFRRVT >Manes.11G083200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12528698:12531626:1 gene:Manes.11G083200.v8.1 transcript:Manes.11G083200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFVSSLGNILYFFLLGVQMDPWLLKNIDRRAVKIGFFSVAVPLGMSTAWCFYLTSHTNVDQSIAKSLPSVAQAESVLAFPIVAQFLAELKIINSEFGSIALSSSFVAGLCSFCMRTTIVLLQQSAGDNYAALQTLINGVVLIVLIVFVLKPTTLWMIKQNTEGVPFKESNMIWLLLAVLFTGWLGHALSLYIYFGPLVFGITIPAGPPIGSALVDRLDILGNWIFMPLYLVKNGLLINVFSVKFKNYIIVQTVALVSSIGKFLGTLLVASCSNMPLRDAASLGLVMNAQGVLELSMFKLMENEKAIDHEVFVTMVISIMLVTGAIAPIIKYLYDPSRRYAVGKRRTVMNLKPNSELRVLVCIHEHENVLSAIKLLEVLNPTRRSPLCVYLLHLIEIVGRANPLLFSHSLTKTSSKKVKKSEHVVNAFRQFEDDNNDLIALSPFTAICPTKTMHDDVCRLALDKRTCLIIVPFHKRFQAIGNVSFHNKAIKITNENVLAKAPCSTAILVDQGLPDTRRTSLGGPSSYRVAVLFMGGPDDREALAIAARMAGHPSINLTMIRFIDNGNVASYSAWEKKLDNEIVSEFRTATAGNCKVTYIEEVVVDGTGTVSAVRSMENRYELLIVGKHHDNKSSFLSGLADWKDHHELGTIGDLLVSSQFMDKTSILVVQQHKIVKTKDPEIDRIAQDLEAEHQPIFRRVT >Manes.16G064750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25205070:25207280:1 gene:Manes.16G064750.v8.1 transcript:Manes.16G064750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVLQLPYTANEVFTVVHQMHSNKASGPDGLPPLFYQNFWDIIGPDVVTLVFSDFAWRLYSPGPESYAYMLTSKIYITTVHYRGEYYFCILEKYERLSRQKVNLHKSEMVFSAYTDSGPAQRLSSLLGVRVVSHHDMYLGLPTAISRSKQIVFRYLKDHLWSRLQGWKKLCRPKMERGLGFRDFECFNQPLLAKKGWCLLQFPDSLLSRLLKAKYYYNFSFLDASNYITTQACTYRGASSTVELDKKFWTNGCSMSTPPKVVNFLWRAALDILPTRARLGRQLVGMDSLCILCNLPETSVHLCHDCSVVQGVWSALGLSWICQIEGSSFKYWWMEIIERCSLTELSHIACLCYYIWDGRNRIVFERETFSQHRVVVRALDMVSCFNHTSSGGRVAKMNVNASTVIEGMVGFGVVFRNEQGEVLASAAGNSRGWWIVVAYEAKAIHFGLQLAGELSFCRTVVKFDCLPVIQ >Manes.05G010463.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:518456:525523:-1 gene:Manes.05G010463.v8.1 transcript:Manes.05G010463.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKQRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNRQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.14G120100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11539042:11546405:-1 gene:Manes.14G120100.v8.1 transcript:Manes.14G120100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRINIEELNSLTNLESLSIWSNEIEGFKSFNGGEELLNMSNLEFLDMSHNHLENGVLSLKGLSSLKTLLMDYNQLKGPFDLKELDTMNNLEMLSLGGNNITNLISSRGGENLSNMSNLKSLDMSFNNFGNDVLSSLRSLSFLTTLRMDYNQFEGPFDLKELDTMSNLELLSLVGNNITKFIGTKEMRSLRNLRSLSLTINGSSMLLEPLGAFTNLETLYISRSDLKGIRFAQGSNLTNLKELCLDSSSVDENFLQSFEALSSLETLSMYGCGPSGIIPVSQSICKLKHLQKLDIIYNDFSGNLPLCLANLTSLQQLDLSSNHFIGNISSSPLEGLTNLEYLSVSDNFFQIPISLSPFFNHSKLKYVESWGNKIFAETNDQYLNPRFQLEDLILSSGGYGGAFPKFLYHQHDLQFIDLSHIQMSEGFPYWLLQNNTKLESLYLINNSLSGPLKLPIHSHMNLSELDISDNFFQGFITPEIGTYLPKLWRLNMSGNGFSGSIPSSFGNMSLLELLDLSNNRLSGTIPEDLTIGCVSLQELILSNNSLQGQIFSETSNLRFLYELQLDGNQFTGSIPHSLSNSSFLRVLDLSHNNLYGRIPRWLGNMYFLRVLDLSMNNISGSLPSNFCPSNIQEIYLSRNGLQGSLEDAFYGCSELIVLDLGHNHMTGSIPSWIGKFSRLSYLILGHNYIDGEIPVQLCNLTQLSLLDLSHNHLFDSILPCLRSTSQTYRQLGRMLPNPYNASMDKPLEFTTKSISYSYQGRMLSYISGIDLSCNHLTGQIPIEIGYLNEIHVLNLSHNSLTGKIPASFSNLRQIESLDLSYNNLEGNIPPQLTELNFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRNCTEMVSPPSKSRTSIENEESNGFMDMGVFYISFGVAYSVVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFIIDNLVVLSQFRFCSLRR >Manes.14G128100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10209111:10211458:-1 gene:Manes.14G128100.v8.1 transcript:Manes.14G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITGKTSATNKDKDKKKPTSRSSRAGLQFPVGRIHRLLKSRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Manes.08G107800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34729088:34734753:-1 gene:Manes.08G107800.v8.1 transcript:Manes.08G107800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGVAEAQYVTAKTSVWWDIENCQVPRECDPHAIAQNISSALVKMNYCGPVSITAYGDTNRIHSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLIAAARSVWLWTSLLAGGPPLSDGESQQLGNNSFTSSLDTLQIPVSDAIHIKQPVDSYLDNFNTGSQRNPSLTKQKGKTNRRNLSQTNGSRTSNVPNMPVWTQEDPHNSNSYQPSPYVPKGPLNGPAPDFLPGNPNFTWSEITHAHGNHQNHYPQSVRPNTPAMQHDFAAGSLYPPNIQTETSPLMPARPNGATFTSAPFTNVPDIGNLNISGYPTSFHNPPAAQRRGGEQKHDMKKKASKSVNLNNSQNGYVSQNMPSGYHETPDNRYPSCPEYLQSSSSVRATNVAHVDVIWGTPGCPKPSEYVQGLTGVILLALNTLKSEKIMPIEANIADCIRYGDPKHRNIDVKKALESAIEHQMVVKQNLGAVQLFVGTNEKLWKCVNPIGGNPKEYPKAVWDEIQKYLTSPAGRSAILSSQCRYEAATILKSMCLKELALGNILKILNMVIAIKKWMIVHPQSGWQPLTITLAEAKTELGSASSP >Manes.08G107800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34728927:34734797:-1 gene:Manes.08G107800.v8.1 transcript:Manes.08G107800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGVAEAQYVTAKTSVWWDIENCQVPRECDPHAIAQNISSALVKMNYCGPVSITAYGDTNRIHSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLIAAARSVWLWTSLLAGGPPLSDGESQQLGNNSFTSSLDTLQIPVSDAIHIKQPVDSYLDNFNTGSQRNPSLTKQKGKTNRRNLSQTNGSRTSNVPNMPVWTQEDPHNSNSYQPSPYVPKGPLNGPAPDFLPGNPNFTWSEITHAHGNHQNHYPQSVRPNTPAMQHDFAAGSLYPPNIQTETSPLMPARPNGATFTSAPFTNVPDIGNLNISGYPTSFHNPPAAQRRGGEQKHDMKKKASKSVNLNNSQNGYVSQNMPSGYHETPDNRYPSCPEYLQSSSSVRATNVAHVDVIWGTPGCPKPSEYVQGLTGVILLALNTLKSEKIMPIEANIADCIRYGDPKHRNIDVKKALESAIEHQMVVKQNLGAVQLFVGTNEKLWKCVNPIGGNPKEYPKAVWDEIQKYLTSPAGRSAILSSQCRYEAATILKSMCLKELALGNILKILNMVIAIKKWMIVHPQSGWQPLTITLAEAKTELGSASSP >Manes.08G107800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34729449:34734721:-1 gene:Manes.08G107800.v8.1 transcript:Manes.08G107800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGVAEAQYVTAKTSVWWDIENCQVPRECDPHAIAQNISSALVKMNYCGPVSITAYGDTNRIHSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLIAAARSVWLWTSLLAGGPPLSDGESQQLGNNSFTSSLDTLQIPVSDAIHIKQPVDSYLDNFNTGSQRNPSLTKQKGKTNRRNLSQTNGSRTSNVPNMPVWTQEDPHNSNSYQPSPYVPKGPLNGPAPDFLPGNPNFTWSEITHAHGNHQNHYPQSVRPNTPAMQHDFAAGSLYPPNIQTETSPLMPARPNGATFTSAPFTNVPDIGNLNISGYPTSFHNPPAAQRRGGEQKHDMKKKASKSVNLNNSQNGYVSQNMPSGYHETPDNRYPSCPEYLQSSSSVRATNVAHVDVIWGTPGCPKPSEYVQGLTGVILLALNTLKSEKIMPIEANIADCIRYGDPKHRNIDVKKALESAIEHQMVVKQNLGAVQLFVGTNEKLWKCVNPIGGNPKEYPKAVWDEIQKYLTSPAGRSAILSSQCRYEAATILKSMCLKELALGNILKILNMVIAIKKWMIVHPQSGWQPLTITLAEAKTELGSASSP >Manes.08G107800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34729449:34734721:-1 gene:Manes.08G107800.v8.1 transcript:Manes.08G107800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGVAEAQYVTAKTSVWWDIENCQVPRECDPHAIAQNISSALVKMNYCGPVSITAYGDTNRIHSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLIAAARSVWLWTSLLAGGPPLSDGESQQLGNNSFTSSLDTLQIPVSDAIHIKQPVDSYLDNFNTGSQRNPSLTKQKGKTNRRNLSQTNGSRTSNVPNMPVWTQEDPHNSNSYQPSPYVPKGPLNGPAPDFLPGNPNFTWSEITHAHGNHQNHYPQSVRPNTPAMQHDFAAGSLYPPNIQTETSPLMPARPNGATFTSAPFTNVPDIGNLNISGYPTSFHNPPAAQRRGGEQKHDMKKKASKSVNLNNSQNGYVSQNMPSGYHETPDNRYPSCPEYLQSSSSVRATNVAHVDVIWGTPGCPKPSEYVQGLTGVILLALNTLKSEKIMPIEANIADCIRYGDPKHRNIDVKKALESAIEHQMVVKQNLGAVQLFVGTNEKLWKCVNPIGGNPKEYPKAVWDEIQKYLTSPAGRSAILSSQCRYEAATILKSMCLKELALGNILKILNMVIAIKKWMIVHPQSGWQPLTITLAEAKTELGSASSP >Manes.08G107800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34728927:34734803:-1 gene:Manes.08G107800.v8.1 transcript:Manes.08G107800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGVAEAQYVTAKTSVWWDIENCQVPRECDPHAIAQNISSALVKMNYCGPVSITAYGDTNRIHSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLIAAARSVWLWTSLLAGGPPLSDGESQQLGNNSFTSSLDTLQIPVSDAIHIKQPVDSYLDNFNTGSQRNPSLTKQKGKTNRRNLSQTNGSRTSNVPNMPVWTQEDPHNSNSYQPSPYVPKGPLNGPAPDFLPGNPNFTWSEITHAHGNHQNHYPQSVRPNTPAMQHDFAAGSLYPPNIQTETSPLMPARPNGATFTSAPFTNVPDIGNLNISGYPTSFHNPPAAQRRGGEQKHDMKKKASKSVNLNNSQNGYVSQNMPSGYHETPDNRYPSCPEYLQSSSSVRATNVAHVDVIWGTPGCPKPSEYVQGLTGVILLALNTLKSEKIMPIEANIADCIRYGDPKHRNIDVKKALESAIEHQMVVKQNLGAVQLFVGTNEKLWKCVNPIGGNPKEYPKAVWDEIQKYLTSPAGRSAILSSQCRYEAATILKSMCLKELALGNILKILNMVIAIKKWMIVHPQSGWQPLTITLAEAKTELGSASSP >Manes.08G107800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34729089:34734752:-1 gene:Manes.08G107800.v8.1 transcript:Manes.08G107800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGVAEAQYVTAKTSVWWDIENCQVPRECDPHAIAQNISSALVKMNYCGPVSITAYGDTNRIHSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLIAAARSVWLWTSLLAGGPPLSDGESQQLGNNSFTSSLDTLQIPVSDAIHIKQPVDSYLDNFNTGSQRNPSLTKQKGKTNRRNLSQTNGSRTSNVPNMPVWTQEDPHNSNSYQPSPYVPKGPLNGPAPDFLPGNPNFTWSEITHAHGNHQNHYPQSVRPNTPAMQHDFAAGSLYPPNIQTETSPLMPARPNGATFTSAPFTNVPDIGNLNISGYPTSFHNPPAAQRRGGEQKHDMKKKASKSVNLNNSQNGYVSQNMPSGYHETPDNRYPSCPEYLQSSSSVRATNVAHVDVIWGTPGCPKPSEYVQGLTGVILLALNTLKSEKIMPIEANIADCIRYGDPKHRNIDVKKALESAIEHQMVVKQNLGAVQLFVGTNEKLWKCVNPIGGNPKEYPKAVWDEIQKYLTSPAGRSAILSSQCR >Manes.05G031500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2682645:2684782:1 gene:Manes.05G031500.v8.1 transcript:Manes.05G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPCTNLTLFLFLSFSLFSAFTLSSFSPTDNHLINCGSSVDASVYNRHFASDDDFSNPNSPLLSATRTTPLANQNPAPNSPQIYNTARIFWKPTKYEFEVNDPGTHMVRLHFHPFVSSDLDLSYAEFHVLVNGFVVLSNFTVANTATPLVKEYFIWVESNKLVITFMPTRRDEFGFVNAIEVISAPKDLIADTAIFVSGTRTEKFDGLTKQALETLYRINVGGPKVTPFNDTVWRTWVPDDVFFEPSEISRRIYSSGRIKYQNGGASREVGPDFVYSSARVIASTSASIPDANMTWNFAVMEGYQYLVRLHFCDIASMSLGLLYFNVYINGNLAYDNLDLSSITYMLASPFYADFVVESEKDGVLRVSVGPSNMSMTHTVDAILNGVEIMKMNNSVGSLDGKMCAGMVLRSWPRGKIGIFFPLVAVVCLVLSVSVLMHKRTVGGRDTVAWSKLPTDDAPGDKLKHEDDDDNDDDDEDNEIDNDEHEHLSGKAKA >Manes.18G138900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16112323:16129681:1 gene:Manes.18G138900.v8.1 transcript:Manes.18G138900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPIPGKRKKLEEVEVGATSESALKRRNLTRTCVHEVAVPGGYTSTKDEKLHGTLSNPEFNGEMAKTYKFELDPFQKVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEILKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPEIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFREDNFMKLQDTFTKQKVGDGNKSSNGKGSGRIAKAGNASGGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNTQEEKDIVEQVFNNAILCLNEEDRNLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDERMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMRRAEGQFTAEHVIKNSFHQFQYEKALPGIGKKVSKLEEEAAELDASGEAEVAEYHKLKLEMAQLEKKMMAEITRPERVLYYLCTGRLIKVREGGTDWGWGVVVNVVKKPAPGLGTLPSRGAGYIVDTLLHCSPGPSEGGSRPRPCSPQPGEKGEMHVVPVQLPLISALSKVRISVPPDLRPLEARQSILLAVQELGTRFPEGLPKLNPVKDMKIEDPEIVDLVNQIEELERKLHAHSMHKSQDVNQIRNFERKAEVNHEIQQLKLKMRDSQLQKFRDELKNRSRVLKRLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIHLRSELAKPLQQLQESARKIAEIQYECKLDINVDEYVESTVRPYLMDVIYCWSKGASFSDVIQMTDIFEGSIIRSARRLDEFLNQLSAAAQAVGEVNLEKKFAAASESLRRGIMFANSLYL >Manes.18G138900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16112421:16128863:1 gene:Manes.18G138900.v8.1 transcript:Manes.18G138900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPIPGKRKKLEEVEVGATSESALKRRNLTRTCVHEVAVPGGYTSTKDEKLHGTLSNPEFNGEMAKTYKFELDPFQKVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEILKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPEIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFREDNFMKLQDTFTKQKVGDGNKSSNGKGSGRIAKAGNASGGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNTQEEKDIVEQVFNNAILCLNEEDRNLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDERMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMRRAEGQFTAEHVIKNSFHQFQYEKALPGIGKKVSKLEEEAAELDASGEAEVAEYHKLKLEMAQLEKKMMAEITRPERVLYYLCTGRLIKVREGGTDWGWGVVVNVVKKPAPGLGTLPSRGAGYIVDTLLHCSPGPSEGGSRPRPCSPQPGEKGEMHVVPVQLPLISALSKVRISVPPDLRPLEARQSILLAVQELGTRFPEGLPKLNPVKDMKIEDPEIVDLVNQIEELERKLHAHSMHKSQDVNQIRNFERKAEVNHEIQQLKLKMRDSQLQKFRDELKNRSRVLKRLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIHLRSELAKPLQQLQESARKIAEIQYECKLDINVDEYVESTVRPYLMDVIYCWSKGASFSDVIQMTDIFEGSIIRSARRLDEFLNQLSAAAQAVGEVNLEKKFAAASESLRRGIMFANSLYL >Manes.18G138900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16112396:16128863:1 gene:Manes.18G138900.v8.1 transcript:Manes.18G138900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTYKFELDPFQKVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEILKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPEIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFREDNFMKLQDTFTKQKVGDGNKSSNGKGSGRIAKAGNASGGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNTQEEKDIVEQVFNNAILCLNEEDRNLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDERMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMRRAEGQFTAEHVIKNSFHQFQYEKALPGIGKKVSKLEEEAAELDASGEAEVAEYHKLKLEMAQLEKKMMAEITRPERVLYYLCTGRLIKVREGGTDWGWGVVVNVVKKPAPGLGTLPSRGAGYIVDTLLHCSPGPSEGGSRPRPCSPQPGEKGEMHVVPVQLPLISALSKVRISVPPDLRPLEARQSILLAVQELGTRFPEGLPKLNPVKDMKIEDPEIVDLVNQIEELERKLHAHSMHKSQDVNQIRNFERKAEVNHEIQQLKLKMRDSQLQKFRDELKNRSRVLKRLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIHLRSELAKPLQQLQESARKIAEIQYECKLDINVDEYVESTVRPYLMDVIYCWSKGASFSDVIQMTDIFEGSIIRSARRLDEFLNQLSAAAQAVGEVNLEKKFAAASESLRRGIMFANSLYL >Manes.18G138900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16113225:16128863:1 gene:Manes.18G138900.v8.1 transcript:Manes.18G138900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPIPGKRKKLEEVEVGATSESALKRRNLTRTCVHEVAVPGGYTSTKDEKLHGTLSNPEFNGEMAKTYKFELDPFQKVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEILKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPEIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFREDNFMKLQDTFTKQKVGDGNKSSNGKGSGRIAKAGNASGGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNTQEEKDIVEQVFNNAILCLNEEDRNLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDERMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMRRAEGQFTAEHVIKNSFHQFQYEKALPGIGKKVSKLEEEAAELDASGEAEVAEYHKLKLEMAQLEKKMMAEITRPERVLYYLCTGRLIKVREGGTDWGWGVVVNVVKKPAPGLGTLPSRGAGYIVDTLLHCSPGPSEGGSRPRPCSPQPGEKGEMHVVPVQLPLISALSKVRISVPPDLRPLEARQSILLAVQELGTRFPEGLPKLNPVKDMKIEDPEIVDLVNQIEELERKLHAHSMHKSQDVNQIRNFERKAEVNHEIQQLKLKMRDSQLQKFRDELKNRSRVLKRLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIHLRSELAKPLQQLQESARKIAEIQYECKLDINVDEYVESTVRPYLMDVIYCWSKGASFSDVIQMTDIFEGSIIRSARRLDEFLNQLSAAAQAVGEVNLEKKFAAASESLRRGIMFANSLYL >Manes.18G138900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16112396:16128863:1 gene:Manes.18G138900.v8.1 transcript:Manes.18G138900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPIPGKRKKLEEVEVGATSESALKRRNLTRTCVHEVAVPGGYTSTKDEKLHGTLSNPEFNGEMAKTYKFELDPFQKVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELHHEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEILKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPEIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPMGGAGLYLVVDENEQFREDNFMKLQDTFTKQKVGDGNKSSNGKGSGRIAKAGNASGGSDIYKIVKMIMERKFQPVIVFSFSRRECEQHAMSMSKLDFNTQEEKDIVEQVFNNAILCLNEEDRNLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDERMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMRRAEGQFTAEHVIKNSFHQFQYEKALPGIGKKVSKLEEEAAELDASGEAEVAEYHKLKLEMAQLEKKMMAEITRPERVLYYLCTGRLIKVREGGTDWGWGVVVNVVKKPAPGLGTLPSRGAGYIVDTLLHCSPGPSEGGSRPRPCSPQPGEKGEMHVVPVQLPLISALSKVRISVPPDLRPLEARQSILLAVQELGTRFPEGLPKLNPVKDMKIEDPEIVDLVNQIEELERKLHAHSMHKSQDVNQIRNFERKAEVNHEIQQLKLKMRDSQLQKFRDELKNRSRVLKRLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIHLRSELAKPLQQLQESARKIAEIQYECKLDINVDEYVESTVRPYLMDVIYCWSKGASFSDVIQMTDIFEGSIIRSARRLDEFLNQLSAAAQAVGEVNLEKKFAAASESLRRGIMFANSLYL >Manes.15G043300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3314510:3319216:1 gene:Manes.15G043300.v8.1 transcript:Manes.15G043300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSTRRLKDRNGEGAKTAAVQKSRKTLTPISTSEFNTSSTLKKSLSAKENPRLNSRAQKPAIRPVPRLDKAAAAVVPGTDGSEGRLRWSTSSVPRGKKTGTKGFRDCKENREFGVELGRKSGFCERNYAKAVENENNSSGCRSVNGNYDKGVNLTSSLIKSSKVDDVSASNVESKIPKGVKIDKLCPDKFGSDELNCLNESSVRNGSKARVLENSKEKDLIEGSTGSKPGVKFSSKLHEKLAFLEGKVKKIASDIKRTKEMLDMNNPDASKVILSDIQNKISGIERAIGGDSSKNVGNEGGENEVPGNSKIEKADQAKGSVKGLSNEELEARLFPHHKVLRNRALMKESSGSSESSNGSNFPDSICESEIKEKLLSPIEENPIAVEFLSSLNKEDTKVTLRDTKAGIESCDVKETSGTAASGKQDSLNSSHAKYSEELDLTTDETLDEFDDRENRKAVVIGEETEDNSVYQVNQISLKSATGGWFVSEGESVLLAHDDGSCSFYDIANCEEKAVYKPPEGVSPNMWRDCWIIRAPGADGCSGRYVVAASAGNTLDSGFCSWDFYTKDVRASYIEYGETNTSRTILGHIPSNTTYRRNALSSISLPETRQWWYRPCGPLIISTASSQRVVKIFDIRDGEKIMKWEVQKPVLAMDNSSPLQWRNRGKVVIAESESISVWDVNSLNQQSLSSISLSGRKISALHVINTDAELGGGVRQRVTSAEAEGNDGVFCTPDAVNVLDFRLPSGIGLKIPKIGVSVQSIFTRGDSIYIGCTNMRSAGKKDPCSQVQQFSLRKQRLVSTYSMPESNAHSHYSGITQVWGNSELVMCVCGLGLFVFDALKDDIMQSCAVDYGSTEKVKEVIGPDDMCSPSFDYFASRVLLISRDRPALWRYLP >Manes.15G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3314511:3318793:1 gene:Manes.15G043300.v8.1 transcript:Manes.15G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSTRRLKDRNGEGAKTAAVQKSRKTLTPISTSEFNTSSTLKKSLSAKENPRLNSRAQKPAIRPVPRLDKAAAAVVPGTDGSEGRLRWSTSSVPRGRSSSPSEFIRVFRDSRVVLSAGKKTGTKGFRDCKENREFGVELGRKSGFCERNYAKAVENENNSSGCRSVNGNYDKGVNLTSSLIKSSKVDDVSASNVESKIPKGVKIDKLCPDKFGSDELNCLNESSVRNGSKARVLENSKEKDLIEGSTGSKPGVKFSSKLHEKLAFLEGKVKKIASDIKRTKEMLDMNNPDASKVILSDIQNKISGIERAIGGDSSKNVGNEGGENEVPGNSKIEKADQAKGSVKGLSNEELEARLFPHHKVLRNRALMKESSGSSESSNGSNFPDSICESEIKEKLLSPIEENPIAVEFLSSLNKEDTKVTLRDTKAGIESCDVKETSGTAASGKQDSLNSSHAKYSEELDLTTDETLDEFDDRENRKAVVIGEETEDNSVYQVNQISLKSATGGWFVSEGESVLLAHDDGSCSFYDIANCEEKAVYKPPEGVSPNMWRDCWIIRAPGADGCSGRYVVAASAGNTLDSGFCSWDFYTKDVRASYIEYGETNTSRTILGHIPSNTTYRRNALSSISLPETRQWWYRPCGPLIISTASSQRVVKIFDIRDGEKIMKWEVQKPVLAMDNSSPLQWRNRGKVVIAESESISVWDVNSLNQQSLSSISLSGRKISALHVINTDAELGGGVRQRVTSAEAEGNDGVFCTPDAVNVLDFRLPSGIGLKIPKIGVSVQSIFTRGDSIYIGCTNMRSAGKKDPCSQVQQFSLRKQRLVSTYSMPESNAHSHYSGITQVWGNSELVMCVCGLGLFVFDALKDDIMQSCAVDYGSTEKVKEVIGPDDMCSPSFDYFASRVLLISRDRPALWRYLP >Manes.02G219700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34902251:34940353:-1 gene:Manes.02G219700.v8.1 transcript:Manes.02G219700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTELPPSGGESSESHEAAGGSGRGFQRPSERSAPPQQGAGGAYQGGRWGPQSQQGGRGGGYGGGRGRGGMPQQQQYGAPPEHQGRGRGGPPQQGGRGGYGGGRSGGGGRGGGSPSGGPSRPPVPELHQATLAPYPAGVSPQPMSSEGSSSFRPPEPIPAVIQQQMQELSIQQEMESQAIQASPPPSSKSMRFPLRPGKGSTGIKCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYKESHLGKRLPAYDGRKSLYTAGPLPFISKEFKITLIDEDDGSGGQRREREFRVVIKLAARADLHHLGLFLQGRQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVNQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTVKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHERELDIMQTVHHNAYHNDPYAKEFGIKISEKLASVEARILPPPRLKYHDTGRERDCLPQVGQWNMMNKKMVNGGTVNNWICVNFSRNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEKVLKTRYHDAMTKLQPQGKELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKEWQDPVRGKATGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRRACASLEPNYQPPVTFVVVQKRHHTRLFANDHRDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADYLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARCYMEPETSDSGSMTSGPVSGRGGMGGGAGARSTRGPASAAVRPLPALKENVKRVMFYC >Manes.02G219700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34901702:34911982:-1 gene:Manes.02G219700.v8.1 transcript:Manes.02G219700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTELPPSGGESSESHEAAGGSGRGFQRPSERSAPPQQGAGGAYQGGRWGPQSQQGGRGGGYGGGRGRGGMPQQQQYGAPPEHQGRGRGGPPQQGGRGGYGGGRSGGGGRGGGSPSGGPSRPPVPELHQATLAPYPAGVSPQPMSSEGSSSFRPPEPIPAVIQQQMQELSIQQEMESQAIQASPPPSSKSMRFPLRPGKGSTGIKCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYKESHLGKRLPAYDGRKSLYTAGPLPFISKEFKITLIDEDDGSGGQRREREFRVVIKLAARADLHHLGLFLQGRQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVNQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDDRGTVKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPHERELDIMQTVHHNAYHNDPYAKEFGIKISEKLASVEARILPPPRLKYHDTGRERDCLPQVGQWNMMNKKMVNGGTVNNWICVNFSRNVQDSVARGFCYELAQMCHISGMAFNPEPVLPPISGRPEQVEKVLKTRYHDAMTKLQPQGKELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKEWQDPVRGKATGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRRACASLEPNYQPPVTFVVVQKRHHTRLFANDHRDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADYLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARCYMEPETSDSGSMTSGPVSGRGGMGGGAGARSTRGPASAAVRPLPALKENVKRVMFYC >Manes.10G140600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30832456:30835567:-1 gene:Manes.10G140600.v8.1 transcript:Manes.10G140600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGTKKVSYEGDTKIINAASFTVEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKNAFEAEMAKFSQDY >Manes.10G140600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30832456:30835567:-1 gene:Manes.10G140600.v8.1 transcript:Manes.10G140600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTATRDSSFQRALKIIILARVSYEGDTKIINAASFTVEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKNAFEAEMAKFSQDY >Manes.02G164000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12834685:12835821:-1 gene:Manes.02G164000.v8.1 transcript:Manes.02G164000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYQYYMWQDSSANAHHSLPDNSAGPTATDSDTIDIHLHFHHLLEIHYPENTSIPLATTHISYPFPRNLFLSQDSRSAAVDALLSTIGASRDFIESVTPDVSSFAFDMVTLPCNSKVKVLTMGLAIFVVTPYDDRQEIDRALSEIMQENPRFEPASKSCIEGLKRVKLVGDSTMMEKCMICLEKEMVGQEVICLPCGHIFHGDCIVRWLETSHLCPLCRFSMNL >Manes.15G190625.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28182687:28191595:1 gene:Manes.15G190625.v8.1 transcript:Manes.15G190625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKLNKQIYEANFPLWDVRLDGFLEDQLRVLIAQLDKKIEVADRKLDRFQENQILMDEFAMRMLYGSQIMENYMNRSNSVRNFSNFHQLFSDVMPLPVNCFLPGQSSYLIPSNSNNSNLQIFSDYQTTSLSRKLMDRNSNSSNLANLQLQLFSDPKPLGVQLQMHSQQIQTSPGTSTSPSFLEDLTMMAKDQYTGNQCGVRTISNVPSFPSLSYLNPSPLMWDNVMFNNADASFGNVGSALQTILSRMQLPMSSMSSYQLCPLLILLLWL >Manes.09G183801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37127340:37129089:-1 gene:Manes.09G183801.v8.1 transcript:Manes.09G183801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPPSLLSLAIDTAVLHLPHFSDLYPLPEHILLDLFLRTLKAGKLNEKVLNLFMATGKDEILSVIQALNIQHVLTPVLPTRCSEKF >Manes.10G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13138930:13139880:-1 gene:Manes.10G074900.v8.1 transcript:Manes.10G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGIAKTNKLILREARRIQHHATILLTIGRHYYHHRLPAEESRNSIDEAKTKDQSCWIPHPRTGIYMPKGHERVMEDVPEGAALFDQTSWLRNVDGVDRHHPDVPTDYYLPKHYN >Manes.03G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23177785:23179267:1 gene:Manes.03G109200.v8.1 transcript:Manes.03G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLCLLLSLFACFFFSSSVAYNFYVGGRDGWVLNPSEKYNDWAGRNRFQVNDTLIFKFKEGSDSVLLVKKDDYNTCNTNEPLKVMKSATSVFQFDRSGPFFFISGNKANCEKGQRLIIVVLAIRPKPTPKTPAPVSQPPLAHPPATSPVSPSSSPVPAVSPVSPSPISKPPSLAPSPHAKAPKLSPAPVESQSPSPSAITPTKAPSPAVEAPNSPSPANPPSVSSPAPSETSQPGNTTVPASSPDSGDTADINTPPSPSRSGSWALTPSVIMLFAASLLVSVTVGASPLGVY >Manes.07G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34232509:34233705:-1 gene:Manes.07G140900.v8.1 transcript:Manes.07G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSEVVMVDEVPFPSQITTSKPLSLLGQGITDIEIHFLQIKFTAIGVYIDTEVVGHLQQWKGKPGNQLAEDDDFFDALIAAPVEKFIRVVVIKEIKGSQYGVQLESAVRDRLAADDKYEEEEEEALEKIVEFFQSKYFKKDSVITYHFPVSPAAAEIAFSTGGKEEAKMKVENKNVVEMIKKWYLGGTRGVSATTISFLANNLSAHLSK >Manes.16G062100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24372344:24399042:-1 gene:Manes.16G062100.v8.1 transcript:Manes.16G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKEAKKLPWEDRLLHKNWKVRNEANIDLAGLCDSITDPKDSRLREFGPFFRKTVADSNAPVQEKALDALIAYLRAADADAGRYAKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVEVFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVIGTAKPSRKIRSEQDKEPEPEAVSEVVGTGHSEEAAADVPQEIDEYELVDPVDILIPLEKSGFWDGVKAAKWSERKEAVAELTKLASTKRIAPGDFSEVCRTMKKLITDVNIAVAVEAIQAIGNLARGLRANFSASSRFLLPVLLEKLKEKKPTLTESLTQTLQAIQKSGCLNLVDIVEDVKTATKNKVPLVRSLTLNWLTFCIETSNKAAILKVHKDYVPICMECLNDGTPEVRDSAFSALAAVAKSVGMRPLERSLEKLDDVRRKKLSEMISGSGGNVSGVSTSATVQAVGTTSSAETSESSFVRKSAASMLTGKKPVSAAPSNKKGGPLKSVANKKGDGAGRSETSKAIEPPEDVEPAEMSLEEIESRLGSLIQAETVSQLKSTVWKERLEAITSLKQQVEGLQNLDQSVEILIRLLCAIPGWSEKNVQVQQQMIEVITHLASTAAKFPRKCVVLCLLGISERVADIKTRAHAMKCLSTLSEAIGPGFIFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGVSHLKLKDLIDFCKDIGLQSSVAASRNATIKLLGALHKFVGPDIKGFLADVKPALLSALDAEYEKNPFESASAAPKRTIKASESMPSASGGGLDSLPREDISGKVTPTLLKSMESPDWKVRLESIEAVNKILEEANKRIQPTGTGELFGALKGRLYDSNKNLVMATLTTIGGVASAMGPAVEKSSKGILSDILKCLGDNKKHMRECALTTIDSWAAAVHLDKMIPYVAAALMDAKLGAEGRKDLFDWLSRQLSGLSDFPDAVHLLKPVGSAMTDKSSDVRKAAEACITEVLRVSGQEIIEKNLKDLHGPALALVLERLKPYGAFQEPFESTKSISMGPTSKTSSKVGKPASNGIPKHANKAMSSRVIPTKGSRAEPMMSIQDRAVQSQALLIIKDSNKEERERMVVRRFKFEELRIEQIQDLENDMMKFFREDLHRRLLSADFKKQVEGLEMLQKALPSIVKETIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELFDMLRDEGYTLTESEAAIFLPCLIEKLGHNIEKVREKMRELTKQIIHAYSAAKTLPHILEGLRSKNNRTRIECADLVGFLIDHHVAEISGQLKYLQIVASLTAERDGEIRKVALNTLATGYKILGEDIWRYVGKLTDAQKSMLDDRFKWKVREMEKRKEGRPGDARAALRRSVRENGSDLAEQSGEVSHAVSVSAFPRKNYNPHELNMERQMIPRAVSSVNGPTDWNEALDIISFGSPDQSVEGMKVVCHELAQAAATDPEGSAMDELVKDADRLVSCLASKVAKTFDFSLTGASSRSCKYVLNTLMQTFQNKNLANAVKESTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPASSETVAIRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTATGPVGQTHWGDSAANNSSSATHSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSLPISTPPPSALTGSSPEYAPLSPVHTNSLNDAKSLNVKSEPTNFHLPPPYTDDNRTGNVITSRGLMSGNSLGDQRNEKFISGVPSGTLDAIRERMKSMQLAASAGNPDSGSRPFTRVNENFNNGFSGQAPRGSDSIGDENPVQGGVLPMDEKALSGLQARMERLKSGAIDPL >Manes.01G119900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31623994:31628984:1 gene:Manes.01G119900.v8.1 transcript:Manes.01G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAHKLHKTQSLLSCSYKTFLLFSTWYSPPPPPPAPFHEDPILSSVSNAIKNCDTKPLHISLKKFLPSFKAHHVICLIKHNPHSLSPQLLFSFFNFLSSCPTFRHTIQSYCTMVHFLAAHQMHTQAQSLLHFIVSRKGKGSAPSVFGSILETKGAHLSNSVFDALMNAYTDLGFIPDAIQCFRLVRKHNFQIPFDGCKFLLDRVIKTSLPMMAWGFYLEILDSGYPPNVYSFNLLISRLCKEDKIKDASFIFDEIGKRGLRPTVVSFNTLINGYCKSGNLEEGFRLKIVMEESRIFPDVFTYSVLINGLCNNQRLDDANRLFDEMCERGLVPNNVTFTTLINGQCKSGRIDLAMETYQQMLKKGLKPDLVLYNTLLNGLCKIGDFREVKKLVDEINKRGITPDKVTYTTLIDGYCKEGDLESALEIRKKMFEEGIELDNVAFTALISGLCKEGKVIDAERTLREMLKSGLRPDDATYTMIMDGFCKKGDVKTGFKLLKEMQSDGHVPGVITYNVLMNGYCKQGQMKNANMLLDAMLNLGVVPDHITYDILLEGHCKNRNLEDFHKIQSEKGLVADYASYKSLLNELSRASKERQKR >Manes.13G051900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6031195:6034298:1 gene:Manes.13G051900.v8.1 transcript:Manes.13G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLSLRQLCTSISKRHKLSFYAFSPLASHPRMTNSSVFLRPLSSLPSANNTQSPPTEDSELQIPPIRRTRTRTPLETQFETWTENLKPGFTPTDVDAALRAQSDPDLALDIFRWTAQQRNYKHNHVTYLTMIKTLIDGRRYRHAETLVEEVLAGACDVSVPLYNSMIRFCCNRKFLFNRAFDVYKKMLKSDDCKPTLETYTLLLNSLLRRFNKLNVCYVYLHAVKSLTKQMKASGVIPDTFVLNMIIKAYATCREVDEAIRVFREMGLYGCYPNAYSYSYIVKGLCEKGRVGQGLGFYKEMKGKLLVPSGSTFMILICSLAIEGRFEEATEVVFYMLGQSKTPDLLTYKTLLEGLCREGKSDEAFELLEECRKKDRLMSQKTYKTLLNSLHFLNQE >Manes.16G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29007070:29013394:-1 gene:Manes.16G083900.v8.1 transcript:Manes.16G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGASYNPRTVEEVFRDFKGRRAGMIKALTTDVEDFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFNMINELPTIFEVVTGTAKKQVKEKSSVSNHSSNKSKSNSKRGSESQDKFSKATQPKDDDDEGLEEEEEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Manes.06G171700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29588783:29594082:1 gene:Manes.06G171700.v8.1 transcript:Manes.06G171700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSRLNMRALCSGSTPSEESALDTERNCCSHPSLPSLSPRTLQPFASGGQHCESNAAYFSWPSWRMIDAAEERANYFVNLQKGVLPETLGQLPKGQRATTLLELMTIRAFHSKILRCYSLGTAIGFRIQRGVLTDIPAILVFVSRKVHKQWLSPIQCLPNALEGPGGVWCDVDVVEFSYFGAPEPTPKEQLYTEIVDDLRGGDLCIGSGSQVASQETYGTLGAIVRSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFADDFDMCTVTTSVKGVGEIGDVKIIDLQSPISSLIGKQVMKVGRSSGLTTGTVLAYALEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIIMKGENGEKPQPIGIIWGGTANRGRLKLKVGLPPENWTSGVDLGRLLNLLELDLINTNEGLKVAVQEQRAASATAIGSTVGDSSPRDGMLPKDKVEDKFESLGLQIEHIPLEVEHNDTEMNQSLVENEFHLEDGIKVAPSVELQFIPSFIRQSPLHKNNLSEKAVSQNLASLRNGCDEDACVSLHLGDNEAKKRRSIASTSIEKLK >Manes.11G042600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4682669:4685423:1 gene:Manes.11G042600.v8.1 transcript:Manes.11G042600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSYFCGLWNKKGNANGQNSGAKPEEKPIPVPETDDDGRGEVKTEETAIPIQATDDDSREKAFDPGVPKYSFEELAKATGDFSNNNRVGQGGSGQVYKGTLPNGKQVAVKRLKHHSDPEIEQMAQLHFEDEVKTIGRIRHRNIVEIVGYCSEKADRLLVYEFVSNNSLKSHLYVGRRQQETVSVPIDWPTRMKIALDTAKGLAYLHEDCTPRIIHRNIKSENILIDDKFNPKIGDFGISKDFTDSATPVSTDPRGTPYVPPEYYNKDGQNRKLTDKSDVFSFGLVLLELITGKLAVFEKQRKEYIRLAIWAMPLVKQILDADNQDLDSENCKDLFDSKLQNNYEKDDMIRMIYCVVACVYKPVKLRPQMSQIVEVLKGNMEPKTIWVRSDCKYLYEGSPYAPLPQAHGTSSDSCALSNT >Manes.18G026715.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2897182:2898569:1 gene:Manes.18G026715.v8.1 transcript:Manes.18G026715.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASSFSPLYVLLFSSLWHLSLSLSDFEVSAIAHRQLLSLKQNDDLTHDFEYSIKLNITFANNRLKRAYIALQAWKKAIYSDPFNSTTNWVGCDVCAYNGVFCAEALDVPKQTVVAGIDLNHDDIAGFLPVELGLLTEISLFHINSNRFCGIIPKSFSKLALLYELDVSNNRFVGSFPTVVLSLPSLRYLDLRYNNFEGKLPDGLFRKELDALFLNNNRFTSTIPETLGSSPASVVVVANNNLTGCIPCSIGNMANTLNEIIFLNNNLSGCLPSEIGKLGKLTVLDVSSNTFTGALPKSFKDLGKLEVFDVSHNILTGFVPESICGLPNLENFTFSYNYFNGEAPVCQKPSRKDFIRDDTGNCLPDRQKQKPQKTCHPIVSKPVDCSKAKCGGPSLGHSPATKSPPSPPPSRSPPSTSKSHPVTTTIKLTTNHT >Manes.15G071200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5386217:5388486:-1 gene:Manes.15G071200.v8.1 transcript:Manes.15G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNLNLWFSPNPSKSNTCSLSFQFQSNPFRHLARKSPPHNTFPQYSLCSQIRCGLRELKGRINTVRSAQKITEAMKLVAAARIRRAQEAVINSRPFTEALLEILHSINEQLQLDDIDVPLTNVRPVKKVALVVVTGDRGLCGGFNNAVLKKVETRMMELEKLGLDYSVISVGKKGNAYFKRRPKILVDRFIEGGTFPTAKEAQLIADDVFSLFVSEEVDKVELVYTKFVSLVKSDPVIHTLLPLSRRGEVCDMNGNCIDAADDEFFRLTSKEGKLAIDRESVRTKKEGFSPLLQFEQDPIQILDAMMPLYLNSHILRALQESMASEVAARMNAMSNATDNAVDLKKSLSIAYNRERQAKITGEILEIVAGAEALTDLE >Manes.02G068700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5258773:5264963:-1 gene:Manes.02G068700.v8.1 transcript:Manes.02G068700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKQTSEDRGSPNFRHTPLQIIHIIGNFLRIWSVYSMYRYLSQTGASVVLFIFSCLVPSSILFLVLQKPWKGRPLSNQQVVPSIINGAITALYFILWGKGLKSCGPVRAILMEYSGAVLGVLSATLYGRRSHLWKKVGGLVAMWASFYLLSQGWAMASYSPFSFKDTDDTEDNTEEVLSMTAMVVPILAGILSALRRVIARRVSLKNQLKRRLHAITITAATCFLFPVAMWDFIIGSTSDSSIELPFSAWAFLSTILFGVILIFYVDSIAEERLHMVFSSPRHLMVAGGCIIIMEIVYKMDFSLPGFIICSLILGFGIYEATSLERSKKGSFQHSDPSIGMLEEEQIQASSLPT >Manes.02G068700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5259370:5264948:-1 gene:Manes.02G068700.v8.1 transcript:Manes.02G068700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKQTSEDRGSPNFRHTPLQIIHIIGNFLRIWSVYSMYRYLSQTGASVVLFIFSCLVPSSILFLVLQKPWKGRPLSNQQVVPSIINGAITALYFILWGKGLKSCGPVRAILMEYSGAVLGVLSATLYGRRSHLWKKVGGLVAMWASFYLLSQGWAMASYSPFSFKDTDDTEDNTEEVLSMTAMVVPILAGILSALRRVIARRVSLKNQLKRRLHAITITAATCFLFPVAMWDFIIGSTSDSSIELPFSAWAFLSTILFGVILIFYVDSIAEERLHMVFSSPRHLMVAGGCIIIMEIVYKMDFSLPGFIICSLILGFGIYEATSLERSKKGSFQHSDPSIGMLEEEQIQASSLPT >Manes.07G134600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33567741:33571262:-1 gene:Manes.07G134600.v8.1 transcript:Manes.07G134600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIHPSPLNIFTSLPHAKLSLPHRSIGALLIASIAIFKSFRLLRDQKALISFLLSLEIHKSMLLKAAPALSLINTRGENLGPLFSSVSSSSNPPFPMILRGKTGTGFVVCASKGANDKPLTGVIFEPFEEVKKELNLVPTVPQVSLARQKYADECEAAINEQINVEYNVSYVYHAMFAYFDRDNVALKGLAKFFKESSEEEREHAEKFMEYQNKRGGKVKLQSIVMPLSEFDHVEKGDALYAMELALSLEKLTNEKLLNLQSVAERNHDVQLGDFVESEFLSEQVEAIKKISEYVAQLRRVGKGHGAWHFDQMLLHEEEAVVA >Manes.01G151100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33957921:33968323:1 gene:Manes.01G151100.v8.1 transcript:Manes.01G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEGEKQPIYLHGDLDLFIIEARSLPSMDIVSTHARNCFAGCTPHSNAAANTGATTDEETGEDDEKIHHHRNVVKTDTYVTVVVPQATVARTRVIKNAKNPKWKQRFYIPLAHPVIDLAFHVKDNDLFGADVVGTVKIPAQKLETGELISGWFPIIGSSGKPPKPDSALHVEMKFTSCEKNPLYRHGIAGDPEHRGVRNSYFPLRKGSKVTMYQDAHVLDGMLPEIEIDGGKFYRQDKCWEDICYAISEAHHMIYIVGWSVFYKIKLVREPTRPLPRGGDLTLGELLKYKSEEGVRVLLLIWDDKTSHDKFGISTAGLMQTHDEETRKFFKHSSVICVLAPRYASSKLGFLKQQVVGTAFTHHQKCVLVDTQASGNNRKMTAFLGGIDLCDGRYDNPEHRLFRDLETVFKDDFHNPTFPAGTKAPRQPWHDLHCRIEGPAAYDVLINFEQRWRKATKWTEFGLRFKRVSHWHDDALIKIERISWILSPPFTIKDDVTIVPPDDPIVHVSNEEDPENWHVQIFRSIDTGSLKGFPKKAHDCQTKNLIATKNQVVDKSIQTAYIQAIRSAQHFIYIENQYFLGSSYGWPSYKNAGADNLIPMELALKISSKIRANERFAVYIVLPMWPEGDPKSETMQEILYWQSQTMQMMYDIVAREIKSMQLVDSHPRDYLNFFCLGNREENPQHMSSINGEAVSDAYQFQRFMIYVHAKGMIVDDEYVIIGSANINQRSMAGSKDSEIAMGAYQPHYTWAAKSKHPRGQVYGYRMSLWAEHLGEVQELFVEPESLECVKKVNNIAEANWKRYTDPNFTLLHGHLLMYPIQVDVDGKVGPLPGFENFPDAGGKVLGAHSLKVPDILTT >Manes.09G111900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31385410:31392174:1 gene:Manes.09G111900.v8.1 transcript:Manes.09G111900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHVWNSCEQSLDTDKPLSPQALVSMRSLIINPHTSNSVISSILETLTRSLQLSADSLTHHHTLKLLTELASYRTHLSPIIFRSLNSSSLLSTDSTLLAAESLAALSSIAEGDPTLPIELDDRLFVSLCFGPSVSARLWLLRNAERFGISTNVLFTVFLGFTKDPYPYVRKEALDGLVGLCKFGVFEDCSVIEGCYYRGVELLKDPEDCVRCAAVGVVNKWGQMLIAANQEDKRDWSNTVFMQLCSMVRDMCVGVRIESFSALGNIQMVSEDILLQTLSKKVLSIIKGKKSQSLHTTESFEILASSAAGAFIHGLEDEFDEVQKSALCSLRKLIVLSSEFAGQALNLLMDMLNANSMVVRLEALETLHHMATYECLNVQEIHMHMFLGTLIDNNDLIRSAARKIFKLVKLPSLELFRLSTNGLLESLEIYPQDEAGVFSVLFHIGQNHGNFTACIIKEVSQEIEPVSNGELGLDSIRVAALLVLSISVPLSHNQNGQSFPPTLFSYAVTLLGRISSALNDIVDQNTLLAYLSQCSRSYMSSGMEVEGEESSRPVVNVDIATNTSNPVAMPSMQRGNENSEIHSMISCESGDVGTSIVECQLEEHDQIRKSLNLVFAQVKDAWLLVQSSYSSEALKILRACKEKLAIFTPALLENVGALALTSQYLHVIKLLVKIWGHVVWKVQSCEIGELEILLGKLERRLREMRCRFIGFSKEEEAHVLELTLLSCVLRLSKVEICCYLTTLKKLTTTVSCIEFLHKEGSIELSNFVMEVKKTLHEVGTSIGGVSCSSFMFKKLINHYSAKQFSFSKVTHLYAALSVPGNDFENPLPFIPGLPVAIPLEITLHNVPRETRLWVRMAMSEDLVQFFFLDLKILGGCDVVRKFTHSIPFYRTPKAGSFALSVCIVMELLFEDAHSVKSFGGPKHALVHLCPEEEVYLKMI >Manes.07G013300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1652731:1655548:1 gene:Manes.07G013300.v8.1 transcript:Manes.07G013300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRAVSLTKTKKKGREHKESIVTSIREAAENYNSIYVFSFENMRNLKFKEFREQLKSTSRFFLGSNKVMQVSLGRSVADEIRPGLHKVSKLLHGDAGLFLTNLPKEEVERLFNEYEEYDFARTGSIAIEKVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKHLSPESARILMES >Manes.07G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1651508:1656981:1 gene:Manes.07G013300.v8.1 transcript:Manes.07G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRNRAVSLTKTKKKGREHKESIVTSIREAAENYNSIYVFSFENMRNLKFKEFREQLKSTSRFFLGSNKVMQVSLGRSVADEIRPGLHKVSKLLHGDAGLFLTNLPKEEVERLFNEYEEYDFARTGSIAIEKVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKHLSPESARILRLLGIKMATFRLHLICRWSPEDFEVYREQEESDVESA >Manes.01G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7170581:7171861:-1 gene:Manes.01G036800.v8.1 transcript:Manes.01G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEWLGPRLYSCCNCRNHVSLHDDIISKAFQGKGGRAFLFSHAMNIIVGAKEDRNLRTGLHTVADICCADCRQVLGWKYERAYEASQKYKEGKFILEKSKIVKEN >Manes.01G036800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7170581:7171906:-1 gene:Manes.01G036800.v8.1 transcript:Manes.01G036800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEWLGPRLYSCCNCRNHVSLHDDIISKAFQGKGGRAFLFSHAMNIIVGAKEDRNLRTGLHTVADICCADCRQVLGWKYERAYEASQKYKEGKFILEKSKIVKENW >Manes.01G036800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7170581:7171906:-1 gene:Manes.01G036800.v8.1 transcript:Manes.01G036800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIRRRIFSFGTLQGKGGRAFLFSHAMNIIVGAKEDRNLRTGLHTVADICCADCRQVLGWKYERAYEASQKYKEGKFILEKSKIVKENW >Manes.01G036800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7170581:7172640:-1 gene:Manes.01G036800.v8.1 transcript:Manes.01G036800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEWLGPRLYSCCNCRNHVSLHDDIISKAFQGKGGRAFLFSHAMNIIVGAKEDRNLRTGLHTVADICCADCRQVLGWKYERAYEASQKYKEGKFILEKSKIVKENW >Manes.01G036800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7170581:7171861:-1 gene:Manes.01G036800.v8.1 transcript:Manes.01G036800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIRRRIFSFGTLQGKGGRAFLFSHAMNIIVGAKEDRNLRTGLHTVADICCADCRQVLGWKYERAYEASQKYKEGKFILEKSKIVKEN >Manes.01G036800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7170581:7171909:-1 gene:Manes.01G036800.v8.1 transcript:Manes.01G036800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIRRRIFSFGTLQGKGGRAFLFSHAMNIIVGAKEDRNLRTGLHTVADICCADCRQVLGWKYERAYEASQKYKEGKFILEKSKIVKENW >Manes.11G037100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3574603:3581348:1 gene:Manes.11G037100.v8.1 transcript:Manes.11G037100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKWKETALCVIDMQNDFILEDGLMRVDGGKAIVPNVIKAVEIARQGGVFVVWGVPEHDPLGRDRQLFCRHLYKDAELVDGLLMKESDNKLVKARFSAFFFWYNHRCSNSKLHSQTVLDAVALNYQNVSVVVDATAAATPDIHVVIYS >Manes.08G094111.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:31821138:31821884:-1 gene:Manes.08G094111.v8.1 transcript:Manes.08G094111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSHVSVFTVGFSSNFIDSIVSEGNVQWRFTSYYGFPKSQRQCQFWNLIRVLSRRNSLPWLCSRDFNDLCSRDEKKGGATLPNYLMQGFRQAFEHSNLVQIPTAGSFFTWEKGRESNNLVREKLDRALATEDWARKFTNVVCSIVLVPRSDHKPLVINTAPKDNRRDRRRFRFDNAWLCDEGLAEVVKGAWVNSIPCNLLMKRDDLVSALSLWGRSRNREFWQKKKTVQRLLDNGPSTVSHTSLKED >Manes.13G145400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35878185:35885678:1 gene:Manes.13G145400.v8.1 transcript:Manes.13G145400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFHRGSATSRLLFSFYSATAKATATAPSSHSPTTALLLGHFRVHQYSNLARAKEEKEPWWKESMERLRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEDDFQGLIDLVKLKTYYFQGSNGEKVVAEEVPANMEAIVAEKRRELIEVVSEVDDKLADAFLSDEPISSADLAEAIRRATVAKKFIPVFMGSAFKNKGVQPLLDGVLSYLPCPTEVGNYALDQSKNEEKVLLGGNPDGKLVALAFKLEEGRFGQLTFLRIYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSIRYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETVTQRAEFDYLHKKQTGGQGQYGRVIGFIEPLPADSPTKFLFENMMVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENVRIVLTDGASHAVDSSELAFKLASIYAFRQCYAAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSVITAHVPLNNMFGYSTSLRSMTQVCI >Manes.13G145400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35878185:35885679:1 gene:Manes.13G145400.v8.1 transcript:Manes.13G145400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFHRGSATSRLLFSFYSATAKATATAPSSHSPTTALLLGHFRVHQYSNLARAKEEKEPWWKESMERLRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEDDFQGLIDLVKLKTYYFQGSNGEKVVAEEVPANMEAIVAEKRRELIEVVSEVDDKLADAFLSDEPISSADLAEAIRRATVAKKFIPVFMGSAFKNKGVQPLLDGVLSYLPCPTEVGNYALDQSKNEEKVLLGGNPDGKLVALAFKLEEGRFGQLTFLRIYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETVTQRAEFDYLHKKQTGGQGQYGRVIGFIEPLPADSPTKFLFENMMVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENVRIVLTDGASHAVDSSELAFKLASIYAFRQCYAAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSVITAHVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSPVSQDVQMQLVNTYKANKAAE >Manes.13G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35878185:35885678:1 gene:Manes.13G145400.v8.1 transcript:Manes.13G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFHRGSATSRLLFSFYSATAKATATAPSSHSPTTALLLGHFRVHQYSNLARAKEEKEPWWKESMERLRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEDDFQGLIDLVKLKTYYFQGSNGEKVVAEEVPANMEAIVAEKRRELIEVVSEVDDKLADAFLSDEPISSADLAEAIRRATVAKKFIPVFMGSAFKNKGVQPLLDGVLSYLPCPTEVGNYALDQSKNEEKVLLGGNPDGKLVALAFKLEEGRFGQLTFLRIYEGVIRKGDFIVNINTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSIRYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETVTQRAEFDYLHKKQTGGQGQYGRVIGFIEPLPADSPTKFLFENMMVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENVRIVLTDGASHAVDSSELAFKLASIYAFRQCYAAARPVILEPIMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSVITAHVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSPVSQDVQMQLVNTYKANKAAE >Manes.12G145000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35178238:35181113:-1 gene:Manes.12G145000.v8.1 transcript:Manes.12G145000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAAVVAIWSTSLQQYYVHFLQQRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTEKRVDAYAYSFTSVLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVVGMIIAVAGMIWYGNAKASSRPGAKERRSLSMNGNKTQKHDVLSQSIEVDDKV >Manes.12G145000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35175361:35184996:-1 gene:Manes.12G145000.v8.1 transcript:Manes.12G145000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKADRKAAIDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTVILRWLGYIQASHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAAVVAIWSTSLQQYYVHFLQQRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTEKRVDAYAYSFTSVLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVVGMIIAVAGMIWYGNAKASSRPGAKERRSLSMNGNKTQKHDVLSQSIEVDDKV >Manes.12G145000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35180174:35183509:-1 gene:Manes.12G145000.v8.1 transcript:Manes.12G145000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKADRKAAIDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTVILRWLGYIQASHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAAVVAIWSTSLQQYYVHFLQQRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTEKRVDAYAYSFTSVVSFCINCMNSG >Manes.12G145000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35175361:35184996:-1 gene:Manes.12G145000.v8.1 transcript:Manes.12G145000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKADRKAAIDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTVILRWLGYIQASHLPLPELLKFVLFANFSIVGMNIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAAVVAIWSTSLQQYYVHFLQQRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTEKRVDAYAYSFTSVLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVVGMIIAVAGMIWYGNAKASSRPGAKERRSLSMNGNKTQKHDVLSQSIEVDDKV >Manes.12G145000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35175361:35184996:-1 gene:Manes.12G145000.v8.1 transcript:Manes.12G145000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKADRKAAIDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTVILRWLGYIQASHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAAVVAIWSTSLQQYYVHFLQQRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTEKRVDAYAYSFTSVLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVVGMIIAVAGMIWYGNAKASSRPGAKERRSLSMNGNKTQKHDVLSQSIEVDDKV >Manes.12G145000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35175361:35184996:-1 gene:Manes.12G145000.v8.1 transcript:Manes.12G145000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKADRKAAIDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTVILRWLGYIQASHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAAVVAIWSTSLQQYYVHFLQQRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTEKRVDAYAYSFTSVLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVVGMIIAVAGMIWYGNAKASSRPGAKERRSLSMNGNKTQKHDVLSQSIEVDDKV >Manes.12G145000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35175361:35184996:-1 gene:Manes.12G145000.v8.1 transcript:Manes.12G145000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKADRKAAIDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTVILRWLGYIQASHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAAVVAIWSTSLQQYYVHFLQQRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTEKRVDAYAYSFTSVLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVVGMIIAVAGMIWYGNAKASSRPGAKERRSLSMNGNKTQKHDVLSQSIEVDDKV >Manes.12G145000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35175361:35183823:-1 gene:Manes.12G145000.v8.1 transcript:Manes.12G145000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKADRKAAIDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTVILRWLGYIQASHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAAVVAIWSTSLQQYYVHFLQQRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTEKRVDAYAYSFTSVLFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVVGMIIAVAGMIWYGNAKASSRPGAKERRSLSMNGNKTQKHDVLSQSIEVDDKV >Manes.S041616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1212987:1213799:-1 gene:Manes.S041616.v8.1 transcript:Manes.S041616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.16G106550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31216062:31216567:1 gene:Manes.16G106550.v8.1 transcript:Manes.16G106550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPNLSSFSIHLNEDIAGDYTSSDRPLGVKKAKLKKKLDESFSSALKCLHADNEKLVESLANATAEREKGRLMKSRALDLKEFKEENKILLLDLNSISDPIARETFRQEKIRISEKRAQRQQQPPPSASNVYGQYLNDIAGSGSDLPEY >Manes.02G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8619838:8621979:-1 gene:Manes.02G111500.v8.1 transcript:Manes.02G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGVFACCFLLLLIVCIVATNLRGGGAAEAAQVTVFIFGDSTVDVGTNNLLNGTSALANFPYYGIDFPGSIPTGRFSNGFNLADQLAGLFGHDKSPQPYLYLVKNESLFREEILKGVNFASGGSGILEHTGKKLWGRIVPLKEQIQQFEAVRGNISSDLNDPKEAAKLLSNALYIFSVGSNDILDPIRLGTNLTDKLLCDLRSSYRQHLENLYNMGARKFGIIAAAPIGCCPFSRALNKSMGGAGGCLREPNDFARAFYKAIDTLLCTMSSEFPDMKYSLANSYKMTQYVLKHPYLGFNETKKACCGSGYDNGEGGCNKTQNPNLCKNRNKYLFWDLYHPSQAATALSAITLYNGNLDFIKPMNFSLLAQLQL >Manes.01G225000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39095750:39099855:1 gene:Manes.01G225000.v8.1 transcript:Manes.01G225000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLSSVAKTFTPSPIQELSHLAQRCNAINLAEGFPDFPAPTHLKLAAVSAINSDFNQYRHVQGICEHLARIMKAMHGVDVDPKTDIAICCGQTEAFAAAVFAVIDPGDEVVLFDPSYETYESCIKMAGGIPVYVALDHPFWSLDSDKFMGSFTCRTKAVILNSPQNPTGKVFTKDELEIIAGACCRWDCLAITDEVYEHLTYDNIKHTSLASLPGMQERTIITSSLSKTFCVTGWRIGWAIAPAFFALAIRNIHVKLTDSAPAPFQEAALTALRSPPDYYESLRKGYESKRDYIMELLAKIGFQIQFKPQGSFFLFAELPKNSPLSDVEYVKELIEQAGVVAVPGCGFFHTNLSLEKPTQVSCNYQTRYIRFAFCKSNATLAAAAKQLGGLKVGAPVSAGTTRDK >Manes.13G006301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1111707:1113088:1 gene:Manes.13G006301.v8.1 transcript:Manes.13G006301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNVSLQKSQLSFDNLLGFLSNTGWRKSKSQIKVGRVLFLFSRVSILGFHPFGLPFIGAREDLPSSAQLEGFLPRFGRNVYIFCVFSLLTTELMDCVHGARLCGCPSLARLVYELEVCSPVCCHPSMAWSAQIHVLFLCYQKWHKSSHYCSVAAALDLMSLLGDGHNLCFMGILLFQLQIWIVSLHEEFIGFSSSYGSLER >Manes.13G006301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1111707:1115179:1 gene:Manes.13G006301.v8.1 transcript:Manes.13G006301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNVSLQKSQLSFDNLLGFLSNTGWRKSKSQIKVGRVLFLFSRVSILGFHPFGLPFIGAREDLPSSAQLEGFLPRFGRNVYIFCVFSLLTTELMDCVHGARLCGCPSLARLVYELEVCSPVCCHPSMAWSAQIHVLFLCYQKWHKSSHYCSVAAALDLMSLLGDGHNLCFMGILLFQLQIWIVSLHEEFIGFSSSYGSLERASEI >Manes.12G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:349402:356522:-1 gene:Manes.12G001200.v8.1 transcript:Manes.12G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKEEFFKEHPYLQEKEVSRSVFPPTFLFGVATSAYQIEGGCKEGGRGPSIWDAFSHIKGNILDGSNGDVAVDHYHRYKEDIELIAKLGFHAYRFSLSWSRIFPDGLGSKLNEEGISFYNNIINALLENGIEPYITLYHWDLPLHLQESMGGWLNKEIVKYFAIYADTCFASFGDRVKNWITINEPLQTAVNGFDTGIFAPGRHEQSDREPYLVSHHQILAHATAVSIYHSKYKDHQGGQIGLVVDCEWAEANTDKIEDKAAAAKRLEFQLGWYLDPLYFGDYPEVMRKALGDRLPKFSEEDKELLRNSIDFVGLNHYSSRFIIHVTDSPEECYYYKAQEIGRVVEWEGGEPIGEKAASEWLYVCPWGLRKVLNYIAQRYNSPKIYITENGMDDEDSDAPLHEVLDDKLRVRYFKGYLAAVAQAIKDGVDVRGYFAWSLLDNFEWGQGYTKRFGLVYVDYKGGLARHPKSSAYWFMRFLKGGEGKNGKEE >Manes.09G176100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36702715:36704400:-1 gene:Manes.09G176100.v8.1 transcript:Manes.09G176100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFCGVLASLGLWQKEAKILFLGLDNTGKMILLHMLKDEHQPTQYPTSEELSIGKIKFRAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAQSKKELDALLSDEALASVPFPVLGNKIGIPYAASEDELCYHLGLTNFTTG >Manes.03G185500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30617354:30619935:1 gene:Manes.03G185500.v8.1 transcript:Manes.03G185500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSKAESLLRFLISSPFVSPSSPLKWVSTRTLQTLQFSPQQENPLLHLHALVNLTAYQKDSNYELVLVSALKSCSSRLAISQGQQIHCLVLKSGLDSNTYIRNSLINMYAKCGFLADANSLFDLCANLDPVSYNIMISGYVKSGKLDDARKLFEVMPTKGCVSYTTMIMGFVHNDCWIDAIELYKQMRNVGVVPNEVTLTTVISAFSHLGGIWGCRTLHGLVIKMMFDGFVLVSTNLLHMYCICSSLVEARALFDEMPERNMVSWNVMLNGYSKAGCIDLAKELFQRIPYKDVVSWGSIIDGYVRVGNLSEAFIMYRSMVRAGFGPNDVMMVDLISACGRRIAVGQGQQLHSTIVKLGLDCYDFIQATVIHFYAVCGMINEACLQFGIGSKDNVASWNALIAGFTRNRMIDRARQLFNEMPERDVFSWSTMISGYTQNEQPNLALELFHGMVASGTQPNEVTMLSVFCAIATLGTLKEGRWAHEYVLDNSIPISDNLSAAIIDMYAKCGSINIALEIFYEVRDTASTVSPWNAIICGLAMHGHAKLSLKIFSDLERRQIKLNAITFIGALSACCHAGLVDMAERVFTSMKIVHDIDPDIKHYGCMVDLLGRAGRLEEAEEMIRSMPVKADVVIWGTLLAACRTYGNVDVGERAAENLARLEPSHGASRVLLSNIYADAGKWEDAFLLRREIQSHRMQRLPGYSGVT >Manes.18G138908.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18234625:18235398:1 gene:Manes.18G138908.v8.1 transcript:Manes.18G138908.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACTIIFHFKELFSSQSMIERYETSKELFSYKMMEGSSIHAYGLKMIRYIEKLAQLNFIMDHYYAQFIMNFNMHNLDDELSELVNMLVTAEKCLKKEKKKKSKKKQIKKKAYTTLKPTGGVKKYKVTCHHCGKEGHLRRNCKEYLAIVKAKKFGEASTSV >Manes.08G081200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25182561:25188496:-1 gene:Manes.08G081200.v8.1 transcript:Manes.08G081200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINKAKIKEETMKRDEDLGRDRGDEFQTDDEENQAERACESHYDTESDYSSPAHNSNNSIEAAIPSWPQSYRGSIDMLGTPSISFSKGSNVAGITNSLSSLCKIGQGSDSASSLSKPLISQRSLDNEEVPTSTLPFKLSGSYHFRFSSVEELPPPHKESSFAQAVLNGINILCGIGLLTTPYAVKEGGWLSLSILLLFGIVCCYTGALLKKCLESSPGLRTYPDIGQAAFGVAGRLLISTLLYFELYAACVEYIIMMSDNLSTLFPDTFMSFSGEQLDSHQIFAFIATIVVLPTVWLRDLSLLSYLSVGGVGASILMVVCLLWVGVVNEVGFHQTGVALDLSNLPFAVGIYGYGFAGHAVFPNIYSSMKEPSSFTLVLIISFTFCWFMYTAVAISGFLIFGDSIKSQFTLNMPVQLTASKLAVWTAVVNPMTKYALTIAPVAMSLEELMPSGRLRSYSVSLIIRTTLVVSTLIVAQTFPYFGFVMAFIGSSLAMIVAVIFPCTCYLCLLHERLTKLQIAACIVTIGVGVLTACVGTYSSVARMANKFS >Manes.02G187200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14983648:14987340:-1 gene:Manes.02G187200.v8.1 transcript:Manes.02G187200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIPWFVLVAYICLASSLHFGLVEAATKYYTFVLKESNFTKLCSTKSMLTVNDSFPGPEIHVHKGDTVFVNVHNQGKYGVTIHWHGVKQPRNPWSDGPENVTQCPIPAGTNFTQEINFSSEEGTLWWHAHSDWSRATVHGAIIIYPQNGTTYPYVKPHHEETIVLASWYKADVMEVITDALTTGADPNVSDAYTINGEPGDFYDCSNETTYRLSVEYGKTYLLRIINAILNEETFFGIAEHNLTLVGTDGAYVKPVTVDYIVIAPGQTMDVLLTANKTRSYYYIAGSPFADSIAPFDNTTTTAILEYKGNYTPPSSIPFPVLPFYNDSDAAAIFTDKIRALNSAEHPVNVPQNISRQIYMTVSTNILPCPNNSCSGNSNGDRLSASLNNVSFDTPSISILEAYYWNLTKDVYTTDFPEKPPMFFDFTGNVDNITLYTSLGTKVIMVDFNETIEIVFQGTNLSAAENHPMHLHGFSFYLVGKNTGNFNNATDPSTYNLIDPPEVNTIGLPKNGWAAIRFYADNPGVWFMHCHLERHASWGMDTVLIVKNGGTEATSIRRPPPYMPPCSKS >Manes.04G056666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9303790:9305129:1 gene:Manes.04G056666.v8.1 transcript:Manes.04G056666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRCFIGDKLKSWVEWLPWAEFCYNTSFHTTLKTFPFQVIYGCAPPRLLSYTLCSSRVEAVDQALLNREMMEHCEKGHRDVTFEPATWALLCLYPFHQLSVSKQQGNKFLPKYYGPFQILKRIDDVAYPLALPPISKVHDVFHASLLKHFKGSPPSTMPTSSTLHDGHVVSKPQQILKARINRGEWEILVHWSSLTIDDSTWEPLSHFHSTYPDFTLEEKLFVQEESDNNGKTTERRKALHI >Manes.12G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11377120:11379256:1 gene:Manes.12G081900.v8.1 transcript:Manes.12G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKTLAFVLHIFFFSFYTPCLAGFLAQPVSNQNQPIKLGDYSTANTVPAFPVQSESQTCRLDLSEELFGGVNEACGRDLDRSRCCPVLAAWLFAAHARYALQVPASAPASAEPNQPMMPDDSQKCVNTLQRALLSKNVKIPQPNTSCDAILCFCGIRLHQISSLSCPAAFSVSSGFHNATPTAAVRNLEKNCNNSSYAGCTNCLGALQKLKASNKNKREEERDDRARKMFSRDCQLMGLTWLLARNKTAFIPTVSAVLRAIMYSAHPPHKSKCSPDQENMPLAVDSLQFEKAQSCSSPLSWSLLPVMILVSLFVY >Manes.13G139000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34727253:34729057:-1 gene:Manes.13G139000.v8.1 transcript:Manes.13G139000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSRREKITVERDGHSEDPKTAKGSVGAADRTEAQFESLADKVKEKDEGSGQEKRGATRKEDNGENKQLSLEEISKLRQKAQQNSAEALSGARERYEKSKEKTSRGLGAAAEYAKEKGSQAKDSVAEGAQTTSQRVAEKGSQAKDTVLEGAQKTGQYVAEKGSQAKDTVVEGAQKSSQYIAEKGAKAKDTVLEGAQKTTQYAKEKAAAAKDATGKVALDVKDRATVTGWTAAQYATEKTVEGTEAAAKAVQGVTEKAAELASKPFSAVKQAAVTTGESMEEYTARKKEEAERGVEARKVAEGQEGFQGGESQVHWTGEEGGTEKEEHHQWQQQKGSSLLGAIGETIVEIAQTTKELLIGPSAQDSAGHEAGQIQYSKREQHKS >Manes.13G139000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34727252:34729057:-1 gene:Manes.13G139000.v8.1 transcript:Manes.13G139000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKSRREKITVERDGHSEDPKTAKGSVGAADRTEAQFESLADKVKEKDEGSGQEKRGATRKEDNGENKQLSLEEISKLRQKAQQNSAEALSGARERYEKSKEKTSRGLGAAAEYAKEKGSQAKDSVAEGAQTTSQRVAEKGSQAKDTVLEGAQKTGQYVAEKGSQAKDTVVEGAQKSSQYIAEKGAKAKDTVLEGAQKTTQYAKEKAAAAKDATGKVALDVKDRATVTGWTAAQYATEKTVEGTEAAAKAVQGVTEKAAELASKPFSAVKQAAVTTGESMEEYTARKKEEAERGVEARKVAEGQGGESQVHWTGEEGGTEKEEHHQWQQQKGSSLLGAIGETIVEIAQTTKELLIGPSAQDSAGHEAGQIQYSKREQHKS >Manes.09G067700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11104789:11109342:-1 gene:Manes.09G067700.v8.1 transcript:Manes.09G067700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSFHIGDKKEEPKTTKSVSVQSVNSTFTDREVGRSGSELNSQDGSGTITESIGRPSFPSMSQRPSNLRVFTVSELRSATKNFSRSVMLGEGGFGCVYRGSIKSVEDPTNKIEVAVKQLGKRGMQGHKEWVTEVNVLGVVEHPNLVKLVGYCADDDERGIQRLLIYEYMPNGSVEDHLSARSEVPLPWTMRLRIAQDAARGLTYLHEEMGFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKSDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWVRPYLSDAKKFTHILDPRLEGKYPVRSAQKLATIANRCLVRNPKSRPKMSEVLEMVNRIVDASSETASPQLSFRSTLSMDSSRNNTMKKKRRNIDLKGGESGWFARMWTPKLLRTC >Manes.09G067700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11104789:11109342:-1 gene:Manes.09G067700.v8.1 transcript:Manes.09G067700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSFHIGDKKEEPKTTKSVSVQSVNSTFTDREVGRSGSELNSQDGSGTITESIGRPSFPSMSQRPSNLRVFTVSELRSATKNFSRSVMLGEGGFGCVYRGSIKSVEDPTNKIEVAVKQLGKRGMQGHKEWVTEVNVLGVVEHPNLVKLVGYCADDDERGIQRLLIYEYMPNGSVEDHLSARSEVPLPWTMRLRIAQDAARGLTYLHEEMGFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYIQTGRLTAKSDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWVRPYLSDAKKFTHILDPRLEGKYPVRSAQKLATIANRCLVRNPKSRPKMSEVLEMVNRIVDASSETASPQLSFRSTLSMDSSRNNTMKKKRRNIDLKGGESGWFARMWTPKLLRTC >Manes.09G187602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37425281:37427283:1 gene:Manes.09G187602.v8.1 transcript:Manes.09G187602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDAMDDSFRVRVEKIFGPLTSSSPQSSSLQSTLWSLTDDEIERREWRQGGAGTPDRDEIPCSSSFDDLKRDLRRTFRRELQRDLEDEEESNTLRGRGAGTDGEDEWDIRSSIGLDSTLDNEEEEDEYDKVASGRESAGERLYMKNVINQGNRTVLAKSFHGTRDPRANHMAAKIRLTEDEAEAQKFSQYGCDTKVRELHRKPYNESGSQLRSILKRKDNKSDSNKEEASQKIQAISVGSSSVNRMIMDDEHVSRQNRFSVPDHVENPFKCTHYSYNASSEVEEKAHAGAGMNFLKLSENASANLTIPKSVTFIPKKKAGELKPGNCSCKVKQDEGGDGNLSLQHTILPVGVEAVESQESGARVVEEDGRETNDIIRRDGSQKTTRKYRIRSNTDESDP >Manes.01G227600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39257031:39267337:-1 gene:Manes.01G227600.v8.1 transcript:Manes.01G227600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MCM7 MKDFDFNADKVLAKDFLSNFADANGEAKYMNVLQDVANHRSRAVQIDLEDLLNPTEPFPDYDHDILMTQRSEDATENSEGSDPQQKMPAEIKRYYVVYIKATSKGRPFTTREVKASYICQLVRISGIVTWCSDVKPLMQVAVCTCEDCGFEIYQAMTSKFLKFQEAKILELAEHVPKGHIPRSMTVHFRGEHTRKVSPGDVVELSGIFLPIPYTGFRDLRAGSVADTYLEAMSVTHFKKKYEEALFLLVGAPHQKLKNGMKIRGDLHLCLMGDPGVAKGQLLKHIINVAPRGGVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDPVCIAKAGITTSLNARTAVLAAANPSWGRHDQRRSPAENINLPPALLSRFDLLWLILNSADMDCNTRLDSGIGIPTFRRNLQYPALDFTPLEPSILRAYISAARRLSPHVPKELEEYIATAYSSIRQEEAKSNAPHSYTTVRTLLSILSYQLCSQSLYVLALASLRFSETVAQSHMDEALRLMQMSNEAQLKECLEEYAALNVSQIHPHTFDIRFIDA >Manes.14G112000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12614132:12621594:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAKAYNAKFVVNISEMGEDDPLTQNASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEIATYFITLRGEVVNKIVIQQRAGFRVHQTGTGVAFRLYND >Manes.14G112000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12616258:12621617:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAKAYNAKFVVNISEMGEDDPLTQNASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEIATYFITLRGEVVNKIVIQQRGKEVM >Manes.14G112000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12614465:12621594:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSWTCTLVVQVSLCVAFYLSLNLGHPQNSVYRSRGGTAGTKPIDVYFLSVGGGFRPLKQQTHLLKLMENVAKAYNAKFVVNISEMGEDDPLTQNASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEIATYFITLRGEVVNKIVIQQRAGFRVHQTGTGVAFRLYND >Manes.14G112000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12614465:12621635:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSWTCTLVVQVSLCVAFYLSLNLGHPQNSVYRSRGGTAGTKPIDVYFLSVGGGFRPLKQQTHLLKLMENVAKAYNAKFVVNISEMGEDDPLTQNASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEILVSEFTKQAPELLFAYTMTKTPLARGIHLS >Manes.14G112000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12616686:12621594:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAKAYNAKFVVNISEMGEDDPLTQNASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEIATYFITLRGEVVNKIVIQQRGKEVM >Manes.14G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12616258:12621594:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSWTCTLVVQVSLCVAFYLSLNLGHPQNSVYRSRGGTAGTKPIDVYFLSVGGGFRPLKQQTHLLKLMENVAKAYNAKFVVNISEMGEDDPLTQNASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEIATYFITLRGEVVNKIVIQQRGKEVM >Manes.14G112000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12614465:12621594:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSWTCTLVVQVSLCVAFYLSLNLGHPQNSVYRSRGGTAGTKPIDVYFLSVGGGFRPLKQQTHLLKLASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEIATYFITLRGEVVNKIVIQQRAGFRVHQTGTGVAFRLYND >Manes.14G112000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12614465:12621636:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAKAYNAKFVVNISEMGEDDPLTQNASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEIATYFITLRGEVVNKIVIQQRAGFRVHQTGTGVAFRLYND >Manes.14G112000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12614465:12621636:-1 gene:Manes.14G112000.v8.1 transcript:Manes.14G112000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAKAYNAKFVVNISEMGEDDPLTQNASRLLSSMNIPWYTTRASKNQKVGCFMEQINITRGKTLTIASMDTESLQDSMLKGSMNSFANDQLNWLTQTIEANPKSWFIVVGYHPLVVCEDKQEKFEGKNVYEPLRRIFMKYGVDAYLSRQGCINRDFQGCVNYVGITNPMKSEFYSDSLNASRAFQKEMINGFLLHRVGSLEILVSEFTKQAPELLFAYTMTKTPLARGIHLS >Manes.09G076586.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:14404990:14405873:-1 gene:Manes.09G076586.v8.1 transcript:Manes.09G076586.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLSPFLFLLLPSPLFFSSPGSSSSFSFFFRIPISSSKFFISSPLSSSPNLLLLLPLQTFFRIYRLYIAKFIHDSIMEKLIVMGVIDL >Manes.14G163600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25631165:25633202:-1 gene:Manes.14G163600.v8.1 transcript:Manes.14G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDECKPVMAMIGIQFVYAGLALLSRAAFLQGLNPWVFVVYRQGIGTLIMAPLVCLSSRRNSCWSSFGFRSFAWIFLASLIGVTANQISYFEGLYLTSSTVGTAMSNLIPAITFVLAIISGLEKVNTFSLRSMAKILGTVVCVSGAISMAFLKGPKLLNTKSPPLKSFSNHGGDYWLLGCLLLFGSSSFWSLWMLFQIPISASCPDHLASSALMGLLATIESAIVTFFLGKDLAAWHLNSFLEIGCCLFGGIAMALSFFVQAWCISQRGPVFTAMFNPLCTVIVTVVAAIFLQEQTYVGSLIGALAVIIGLYVVLWGKAKDFKELKMEMHKKLREDESRTVEVIIDKSLEKKNCKADDLKEPLLSLKSADDDKNCTTS >Manes.02G144450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11083372:11084673:-1 gene:Manes.02G144450.v8.1 transcript:Manes.02G144450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVEESSRTKIEAKTEDREYSIDDPLFLHSSDHPGLVLVSSPLTGDNYLSWSRSMLIALRAKDKLGFIDGKCRVDSAVASWILNAISKQIVEAFIYTKYYGESNRPLLFQIKRDICSLTQDNLAANVYFIRLKKMWDELTCLRSFPSCTCGACACGAAKAVAAVENEDRLIQFFMGLNENYEHVKSQILIMDPLPSVNKRSLQNVTVESSEQTNAMLARTQGYRKDNTLMGGFKNTPNRREYGKRDDRLCTYCNIYPYWYKDLKKKGKQPVNAATHVSDTPLVDVGQNGHGDWNNKVDISALAEEIMKFIKGKNVMDEQISTNIADFAGEMSQSSHINMYYKNYGYWIVDTGATNHMCSDLQLFDKTNLLLPPKVVHLPDGSL >Manes.11G158500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32441995:32443603:-1 gene:Manes.11G158500.v8.1 transcript:Manes.11G158500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTTNHNPATGGDSAHVIIIPEASHNNWLTLMQENVKKSPKSLNMTAGRSSCSIFRVPQSLKKIHPEDFEPRIVSIGPYHQGEPHLKMIDEHKQRFLGAVLARTQKFNVGLDQFFKNLAIKENKIRESYSENIDCSSHKLIEMMILDGCFIIQLLCIVGKLVETDADDPLLTLPWILYSLSRDLLLLENQIPFFVLEVLFDLSKPPDSKDYPTFTELVLQFFDYAIPRPEDEIPDKSRKLSGEHLLGLFRSSFIPLSSQELAAAKTDNNHLQLMIQPVEKLRAAGIKLKQRKDAKSFLDIKFRTTKTRGLLEIPTLSLGYVTFTFLLNCVVFEQSYRQYFSAHFTLYIIFMGCLINTIQDAGYLRDESIIETSFGTDEEVVKFFNKVGKGINFDIRTSYLANVFADVNEYCRNGWNVTLAKYLYTYFDAPWSCTSAIAALFILIVTFIQGFYAVYAYVTPPS >Manes.05G011800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:833536:837652:-1 gene:Manes.05G011800.v8.1 transcript:Manes.05G011800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Manes.05G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:834621:837419:-1 gene:Manes.05G011800.v8.1 transcript:Manes.05G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Manes.01G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5049828:5050944:-1 gene:Manes.01G023100.v8.1 transcript:Manes.01G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSTLFLLFNLMALASGCFGVGSYLYFQIHRVTDCQNVVQKPLMVMGIILIVMSFLGLVGAIFKLTCLLWIYSVVALLVLAGLTAFALFVYTVEDTSGSGGLAGLGFKKHNAGGDYTQWLQNMVIDGKHWNGIRTCLIDHEICKRLENTVQNSQDFYLKKLSPVQSGCCRPPIYCGFEYKNATFWVTPKSGKVSNERDCNLWSNKQEKLCYNCNTCKAAVIKKSRNTWKIFAILDSIDIAILLIAYALSCCTRNHIVSDTKYNRVHP >Manes.07G078000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22864804:22882075:1 gene:Manes.07G078000.v8.1 transcript:Manes.07G078000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMQPKTEKALAAAFISNCRARNFRLEALKELEKAKIGIDSYGDCHQNRDGNVDKVETLKHYKFSFAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPGSVLLIKELEDVESIAKTMKYLAENPDAYNHSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIRQKEERSPGFKKRPCRCTRGSETLYHLYVRERGRFEMVSIFLRSGNLTLNALESEVLKKFNSMKHIPVWKEERPESLRGGDYKVYRIYPVGMTQRQALYTFRFNGDADFQNHLEINPCAKFEVIFV >Manes.07G078000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22864804:22882074:1 gene:Manes.07G078000.v8.1 transcript:Manes.07G078000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLVQLAMEKHLVCTDQWNQLRTMRRTILQWHDGGIGFNIVMTTSLSSDVPVGYFSWTEYDIMAPMQPKTEKALAAAFISNCRARNFRLEALKELEKAKIGIDSYGDCHQNRDGNVDKVETLKHYKFSFAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPGSVLLIKELEDVESIAKTMKYLAENPDAYNHSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIRQKEERSPGFKKRPCRCTRGSETLYHLYVRERGRFEMVSIFLRSGNLTLNALESEVLKKFNSMKHIPVWKEERPESLRGGDYKVYRIYPVGMTQRQALYTFRFNGDADFQNHLEINPCAKFEVIFV >Manes.07G078000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22864804:22881960:1 gene:Manes.07G078000.v8.1 transcript:Manes.07G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSNLRGSRTATAGEGLPLSNGLSFPASSSSSVFVPKKKWSNFLPVFVVLVVIAEIAFLGRFDMAKNAALVDWADRLFFKSSSLGDSGFHSEGIEQELGGLQKNEGYLDSNSCEEWLEKEDAVVYKRDFNKDPISVISDQKESKTCSVGCQFGLDSNRAPDAIFGSTGHGETPCVHRSMESAQNYEENNIAVARRIGFNIVMTTSLSSDVPVGYFSWTEYDIMAPMQPKTEKALAAAFISNCRARNFRLEALKELEKAKIGIDSYGDCHQNRDGNVDKVETLKHYKFSFAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPGSVLLIKELEDVESIAKTMKYLAENPDAYNHSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIRQKEERSPGFKKRPCRCTRGSETLYHLYVRERGRFEMVSIFLRSGNLTLNALESEVLKKFNSMKHIPVWKEERPESLRGGDYKVYRIYPVGMTQRQALYTFRFNGDADFQNHLEINPCAKFEVIFV >Manes.07G078000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22864804:22881960:1 gene:Manes.07G078000.v8.1 transcript:Manes.07G078000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLVQLAMEKHLVCTDQWNQLRTMRRTILQWHDDVPVGYFSWTEYDIMAPMQPKTEKALAAAFISNCRARNFRLEALKELEKAKIGIDSYGDCHQNRDGNVDKVETLKHYKFSFAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPGSVLLIKELEDVESIAKTMKYLAENPDAYNHSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIRQKEERSPGFKKRPCRCTRGSETLYHLYVRERGRFEMVSIFLRSGNLTLNALESEVLKKFNSMKHIPVWKEERPESLRGGDYKVYRIYPVGMTQRQALYTFRFNGDADFQNHLEINPCAKFEVIFV >Manes.07G078000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22864804:22881960:1 gene:Manes.07G078000.v8.1 transcript:Manes.07G078000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLVQLAMEKHLVCTDQWNQLRTMRRTILQWHDGGIGFNIVMTTSLSSDVPVGYFSWTEYDIMAPMQPKTEKALAAAFISNCRARNFRLEALKELEKAKIGIDSYGDCHQNRDGNVDKVETLKHYKFSFAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPGSVLLIKELEDVESIAKTMKYLAENPDAYNHSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIRQKEERSPGFKKRPCRCTRGSETLYHLYVRERGRFEMVSIFLRSGNLTLNALESEVLKKFNSMKHIPVWKEERPESLRGGDYKVYRIYPVGMTQRQALYTFRFNGDADFQNHLEINPCAKFEVIFV >Manes.07G078000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22864804:22881960:1 gene:Manes.07G078000.v8.1 transcript:Manes.07G078000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHSRHRHPRRFLFLRRNGFHSEGIEQELGGLQKNEGYLDSNSCEEWLEKEDAVVYKRDFNKDPISVISDQKESKTCSVGCQFGLDSNRAPDAIFGSTGHGETPCVHRSMESAQNYEENNIAVARRIGFNIVMTTSLSSDVPVGYFSWTEYDIMAPMQPKTEKALAAAFISNCRARNFRLEALKELEKAKIGIDSYGDCHQNRDGNVDKVETLKHYKFSFAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPGSVLLIKELEDVESIAKTMKYLAENPDAYNHSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIRQKEERSPGFKKRPCRCTRGSETLYHLYVRERGRFEMVSIFLRSGNLTLNALESEVLKKFNSMKHIPVWKEERPESLRGGDYKVYRIYPVGMTQRQALYTFRFNGDADFQNHLEINPCAKFEVIFV >Manes.07G078000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22864804:22881960:1 gene:Manes.07G078000.v8.1 transcript:Manes.07G078000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSNLRGSRTATAGEGLPLSNGLSFPASSSSSVFVPKKKWSNFLPVFVVLVVIAEIAFLGRFDMAKNAALVDWADRLFFKSSSLGDSGFHSEGIEQELGGLQKNEGYLDSNSCEEWLEKEDAVVYKRDFNKDPISVISDQKESKTCSVGCQFGLDSNRAPDAIFGSTGHGETPCVHRSMESAQNYEENNIAVARRIGFNIVMTTSLSSDVPVGYFSWTEYDIMAPMQPKTEKALAAAFISNCRARNFRLEALKELEKAKIGIDSYGDCHQNRDGNGTIPVVVGAPNIQDFAPAPGSVLLIKELEDVESIAKTMKYLAENPDAYNHSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIRQKEERSPGFKKRPCRCTRGSETLYHLYVRERGRFEMVSIFLRSGNLTLNALESEVLKKFNSMKHIPVWKEERPESLRGGDYKVYRIYPVGMTQRQALYTFRFNGDADFQNHLEINPCAKFEVIFV >Manes.09G158100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35348942:35359812:1 gene:Manes.09G158100.v8.1 transcript:Manes.09G158100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVGSAGNNSNSVRPRKEKRLTYVLSDADDTKHCAGINCLAVSKSSVSEGSDYLFTGSRDGTLKRWALAEDSATCSATFESHVDWVNDAVLVGDSTLVSCSSDTTLKTWSCLSDGMCTRTLRQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDLEAAIAPVSKSNDAMEDDCSNGVNGSVNPLPLTSLRTINSSNNITMHTTQSHGYVPIAAKGHKESVYALAMNESGTILVSGGTEKVVRVWDPRTGSKTMKLRGHTDNIRALLLDSTGRFCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFGHVYSGGRDLSLYLTDLATRESLLLCSKDHPILQLALHDDSIWVATTDSSIHRWPAEGHNPQKVFQRGGSFLAGNLSFSRARVSLEGSTLVPVYKEPTLSIPGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGIVVEDYGKVSFEEKKEKLFEMVSIPGWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIAGKPEDDKVNLARETLKGLLAHWLAKRRQRLGSQASANGDITPRSLAHSRVEVDGSAENDSMVYPPFEFSTVSPPSIITEGSQGGPWRKKVTDLDGTEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPMDNPDGTFAPGMGGGQLQHSAVGDGSYRPGLKPWQKLRPSIEILCNNQVLSPDMSLATVRAYIWKKPEDLVLNYRVVQGR >Manes.S047216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1492423:1493235:1 gene:Manes.S047216.v8.1 transcript:Manes.S047216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.12G089522.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15123384:15124694:1 gene:Manes.12G089522.v8.1 transcript:Manes.12G089522.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSVNSREKKKEGSSCRVKYSSYQLPNIPLYMPLTGFTPSHSQAFHYFLSISLNFSRFPRNHLTIFSGFFCFLSDFHLSKSMKKSGFFAASVAAASAISVTPSSSSTSSFSCNSNMPLSREEASSKKEHERSASTDKFAPRFDGLRFIETLVTAHR >Manes.03G079500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:21485213:21485524:-1 gene:Manes.03G079500.v8.1 transcript:Manes.03G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKIKTENINDKLVEGRGSNVKIKILFFARARDITGLTEMPLEVTTGSTTSDCLNKIVAKFPSLEEIRSCIVLALNEEYTTEASVVKEKDELAIIPPISGG >Manes.03G079500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:21484686:21494164:-1 gene:Manes.03G079500.v8.1 transcript:Manes.03G079500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKIKTENINDKLVEGRGSNVKIKILFFARARDITGLTEMPLEVTTGSTTSDCLNKIVAKFPSLEEIRSCIVLALNEEYTTEASVVKEKDELAIIPPISGG >Manes.08G114300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35306040:35307097:-1 gene:Manes.08G114300.v8.1 transcript:Manes.08G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIYFLMGLVTQVSAFDACPKCGSMEVPYPLSTDDTCGDPRYRIYCNNGVLEFLSSEGFYYKILSINPSAYKLVIKPPTIQKNTCYSADLAFGGLKLSENLPFNISTHNTVLLFNCSERILLSPLNCSSTSFCRQYEADERGSGCKGTLCCHFLKDASMTQHRIRVRVGGCTAYTSIVDLKPGDPVDAWNYGIELQWLPPNLPF >Manes.14G014700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1107323:1111533:1 gene:Manes.14G014700.v8.1 transcript:Manes.14G014700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSCYLLRLLLVLGITISYSNGVSATTANYDTALLNRSSFPAGFLFGTASSSYQYEGGANEGGKGPSIWDTYTHRYPGKIKDGSNGDVAIDSYHRYKEDVGIMKEMGVDAYRFSISWSRLLPKGKLKGGLNTEGIKYYNNLINELVAKGIQPFVTLFHWDLPQALEDEYGGFLSSKIVDDFGDYAEICYKNFGDRVKHWITLNEPWSYSIGGYAIGGLAPGRCSSWQHLNCTGGDSATEPYIVGHNQLLAHAAAAKLYKMKYQTSQKGMIGITLVSHWFVPFSNAKNDQHAAKRALDFMFGWFMDPLINGDYPHTLRSLVGNRLPKFSEEQSKMVKGSIDFLGLNYYTANYAAYVPHSNAIQASYLSDSRANLSAERNGIPIGPKAASDWLHVYPRGIQDILLYIKRKYNNPLIYITENGIDELNNETLTLEEALIDNMRIDYYYYHLSFLEKAIKDGVNVKGYFAWSLLDNFEWTSGYTVRFGINYVDYKNGLKRHPKLSAGWFNKFLNK >Manes.12G142700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34855841:34857838:1 gene:Manes.12G142700.v8.1 transcript:Manes.12G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGLAAKDTTWCVFTLPAFLGYRNVLDSFVLFSLFMAFVSLSLLSWAFAVGGVAWKNGRNQRGPVPIPGPRGFPIFGSLVTLSRGLAHRSLASIAWKRANTSLMAFSLGSTPVVVASDPHTAREILTCPHFADRPIKQSAKSLMFSRAIGFAPNGTYWRLLRKIASSHLFAPRRIAAHETLRRLECESMLRNIAVEQKQKGCVSLRKHLQLASLNNIMGSVFGKRYDPAHDSEELEELRDMVAEGFELLGAFNWCDYLPWLNYLYDPFRIHERCLNLVPRVRKLVVGIIEDHRLSAESRKELDSCDFVDVLLSLDGDEKLQEDDMVAVLWEMIFRGTDTTALLTEWIMAELVLHPEIQEKLRRELDGAAKDGNLTDSDVANSPYLKAVVKEALRVHPPGPLLSWARLSTSDVQLSNGMLIPWNTTAMVNMWAITHDPVIWVDPLEFKPERFLNGDVDVKDGDLRLAPFGAGRRMCPGKNLGLVTVTLWVARLVHQFQWVEDVANPVDLSEVLKLSCEMKKPLRAVALQRMDVSS >Manes.05G044766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3698558:3716562:1 gene:Manes.05G044766.v8.1 transcript:Manes.05G044766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRPVRQERDQSGCMWGLVSMWGLVSMFDFRHGRSTQTLLSDRRHGPRRAVAAVNAMNKSALLTNLDENHQGIIGGEESITVAVDDGKPSVKKLMEEEMFCEEGLKKQMDSAEPKQSNSEYGGNKRKNCKRTNRGVEKTGTEKTLGSSPESQSIIRNKGTNGVGCCFFLTEIKRRLKQAIGKEQQEIAPDGASKRFANKYRARGDSDKKYRKNNGRNSLGKDHFFNEKIARPLSAVRKEEKTDMLKECEIDLERETAAYPKNRMANIYVEAKKHLSDMLTSGTGVQNFSSGQVPKSLGRILSFPEYNFSPTGSPGREWGQGLVTAQMRFSSNNEFQKHESNGGHRGRMTLNSETDLCVSNDPAYSQAVTSANPNSSSPCELAQDNEVDKILCTIGDTDMLKECEIDLERKTAAYPKNRMANIYVEAKISFPKYNFSPTGSPGREWGQGFVNAQMRFSNNNEFQKHESNGGHRGRMTLNSETDLCVSNDPAYSQAVTSANPNSSSPCELAQDNEVDKILCTIGDTSGGDVDIVKSAEIGVQEDCNISDTLSKPINSSRTGDDQNGDVSEACDGKTFSRCSKHDLNEENQLPPSALTSPSTSPITKNDNNLEGVVEVSERPSPVSVLEPLFTEEDVSPASTRLQPAPLPIQPQRIQFEEHAPSSVDIGTHFKAHIAYKESIFEYEKAVVQASGENWDESYIMSNPSDPLFDPSIFDEVEFFPNQFCYDKKLLFDCVDEVLKEVYGKNFGCPLGLSFAKPTVRPAPDMKNTIHKIWEGVYRYLHPLPLPCTKELIVEKDMAKTGTWMDLRYDSETIITEIGEAIFKDVMEEIIEDIKTSHQHVVIC >Manes.03G083300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20414888:20440494:-1 gene:Manes.03G083300.v8.1 transcript:Manes.03G083300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLVKQGWTWLQTQKNAYSRLKNAALCLRDKIGVFIERHWPLVCRGFLRFGQLVSFLLICWKDCLLRGFKSVIKLSSAALFLIMWSCFLSLTSMSCVLYVLLSMIAAGAAVQYLGYTPGLFIVGLFAILILWMYANFWITGTLFIVGGYLFSLNHARLVVLMATMYAIYCVKVRVGWHGVFLSINLTFLSNDVLNYLLQWCDNINESTRFEEQKESETVMQDEFSLESEYSVPTNGSEKLQSCESSSKSASATSVISGQNESSTRKVVREETNSVDEMRRILNSVDHYEALGFPRHKRIDTTILRREYRKKAMVVHPDKNMGSTLASESFKKLNCAYEVLSDSLKKRDYDEQLRKEELKTRSVCQKSHVTPRQGNEDYCSEESRRIQCTKCGNSHIWVCTNRSKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKVEIPCAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTQRSKSSRYPWDLDAEMMDDDGKEFELWLQQALASGLFCETSTRRKSWSPFKLHQKKGKKQWRRSST >Manes.05G016500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1558187:1561232:-1 gene:Manes.05G016500.v8.1 transcript:Manes.05G016500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSATKFTQPLPLNTTTPRSHENLSVFDPLKSTTFLGSTRKLRFTSFSKLNRLNSHRPSPVVAVSEAVKEKKLKSKSNLLITKEEGLELYEDMVLGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKEQDYVVSTYRDHVHALSKGVPARAVMSELFGKTTGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFTSKYKREVLKEGDSEDVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHLRATSDPQIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPITSLKKYMIEKGLASEAELKAIEKKIDEVVEDCVEFADESPHPPRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >Manes.17G030800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22570034:22570294:1 gene:Manes.17G030800.v8.1 transcript:Manes.17G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLFCVALANSWMLGFMHLPVPSPAPSEGGIIAGNPGIRRMASHHPAKFAAGGDVILGGFLVTLVAVVFFYIRATRQNQRDHS >Manes.07G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11036925:11040834:-1 gene:Manes.07G062700.v8.1 transcript:Manes.07G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLETLIVRSCNMLTEIIGGVQEDGSTDEIVFSKMKTLELEGLQNLTSFCLGSYTFNFPSLERVDVFRCPKLRTFTVRQLSSPKIHGVFTGFRSNPTFHWEGDLNATIEQIYMKYDGFKGIDDVQLSSFPMLKEKWHGQFPFENLEYLERLVLDECAFFSNAISSNLLMHLYFLNELAVERCDLVEELFELEGLNADEGDVGLLKSLGELRLIDLPTLVHVWNKDPQGIMSFENLTLLQVENCSSLTNIFTLSMASGLVNLQHLEVKRCNLVDHVIIKEAEEEIGKDNTIFPSMQSIILECLPSLSSFYSASGVLKLPSLKGIEIVGCPNMELLASKLCKEMDLSMIAEGNEERIHEGDFNISIGGKVIVPSLEELGVEY >Manes.04G050233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8329709:8330208:1 gene:Manes.04G050233.v8.1 transcript:Manes.04G050233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKQTSWLDDASCGKGIPSILTWKMRLKIMLTRTFKKLKSYTKIRLQIFKGILVRRQ >Manes.06G068900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20514165:20516090:-1 gene:Manes.06G068900.v8.1 transcript:Manes.06G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFRPEPLNHSKPWKKVSSGESRMESKMRKIRVIYNDPYATDSDSSDDESERTVRRSFKSKRFVREINLPSIVFPQSKALEPESSCQDSNNSGKTPNKKRRILGKSLTPTTAATATTETKASLKKPVGVRQRKWGKWAAEIRNPVTKARTWLGTYNTLEEAAQAYEAKKREYEAMTIAASEKSQNISSSATASQTQNINTSNKNDPASVTSDDTESGLSHTSPSSVLDLDTSVVSKLNGDSSDLIKEEGFDTDFVDLEIPDLGFIDEPLGSCQVDGDLNLGLDFGDLIDDFVQLNDDYCGIQNLEICGLDSDGPSELPDYDFEFGNEEFAYLDDHHHQQHQLPINIACL >Manes.03G091144.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:21969122:21978548:1 gene:Manes.03G091144.v8.1 transcript:Manes.03G091144.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYSGDSNYFSSMNWSVSKHQFTFFLLFSLHSLLTLSSPSSIVSSSARACHEDASLSLLQLKDEFSYDESASIDCNPPHPRMVSWEVGSDCCSWDGVTCDSFTGQVIGLDLSCSGLTGNLTYNSNLFHLSHLQKLNLAFNHFNFSNIPTEFGSFAHLTHLNLSSTFFSGLLPSEISHLSKLVSLDLSINEHLRLETPTMQMIVQNLTQVREVFLDYINMSFVNLNILVNLSSSFTTLSLVYCGLQGEFPETIFYLPNLQYLNLMLNQDVYGYLPKTNWSGPLELLDLSSTGFSGELPVSIGNLKSLMVLELSSCQITGSIPASLGSLEQLIRLDLSNNNWTGKIPDVFQNLSNLNYVSASDSNFSGTLPLSIFNLSELYWLDLSQNQLEGSLPDQICGLSNLTKLDLSYNLLSGIIPSCLFGLPSLVWFSLSFNQLSGQLGEFRSKSLLEITLESNNIYGPIPPSVLELENLTNLHLPSNNLSGIVDLNMFSKLKKLWGLDLSNNHLSVITSKTSNTTWPQFYRLALASCNITEFPGFLRTQNQLGFLSLPHNRIHGEVPKWISGLGMQYLDLSYNFLTKVSELPSNIQYLDLSFNLLHQPLPLPPPSMYMLLISSNKLSGEISPLICNVTTFQIIDLSNNSLSGMIPQCLANFSSELSVLNLGMNSLHGSIPETFMEGNKLRNLNLNGNKLEGSLPRSLSNCKMLEVLDLGNNQINDSFPQWLETLPKLHVLVLRSNRFHGSIGEPEGTSPFSSLRIIDLSHNEFTGKLPTKYFANFQAMMKVDVIKQDVEYMGELYYKDSVILTMKGNEFTLVRILTIFTTIDLSSNRFDGQIPELVGNLRSLLVLNFSHNGLTGQIPSSVGNLINLESLDLSSNKLDGEIPGQLASLTFLAALNLSYNQLAGPIPHGKQFNTFDSDSYIGNPGLCGLPLSEKCSNELSPQPPSPSTSYDNNDSASMFDWKFAMMGFGCGLVFGLSVGYIVFSTGKPQWLVGMVEKGQGKRLNRWNRRLARRRN >Manes.09G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33954924:33959678:1 gene:Manes.09G140400.v8.1 transcript:Manes.09G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVVLLFIGALSSIPFLSASESICPVESAFFLYNFQSTCPLSISPIPPLEVGENFLDRALTSKQRNSYTSVLFYACWCPFSQNMRPKFNMLGSMFPQIEHLAIEQSSVFPSLFSRYGIHSLPSILLVNQTSKVQYHGLKNLQPLVQFYEKTTGLKPVQYFAEDEPRSSDSVEELIMHPWDGSSLEDMMKRDSYLVFAVLFLCLRAVLFMSPKVLSHLKAFYGSYVPHLNLEIFGETSQLFGRILHMVDVRRIWTRLRLCKVRNFHEGAKNCRVWASSLASVSLGESSSSGRLQS >Manes.17G075700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27627057:27630290:1 gene:Manes.17G075700.v8.1 transcript:Manes.17G075700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVTLTGQRETLRLRRNRRCSSPSPPIQCPMEGRGGRGQLAPAAFLLNLVGEIVGMSPGNGCGTTFNDQDLVFRKDCTDLLRRLSLLTHFLEEIKDYKVESGPLDASNSSSSSSGNWYSDLVGSLQAAKRLLSVAAAFKTTNNSDGATKKIAFRFQCVTWKLEKALANIPYEQFDISEEVYEQVALVRSQLQRATARYGLIDSRVISVDLSESMDKEVDQVQKENRLTRSGVIEKDASMSHEVTERMDAVSGSNGSKSHAADHIMSESNEVDERKKNNLASKNMEDFKKPDVPTLPDDFLCPISLELMRDPVIVATGQTYERSYIQRWIDTGNTTCPKTQQKLEHLTLTPNYVLRSLITQWCTKHNIEQPTGLANGRIKKSDGSFRDVSGDIAAIQALIRKLSSRSVEERKAAVSEIRSLSKRSTDNRILIAEAGAIPVLVNLLTADDVSIQENAVTAILNLSIYENNKGLIMLAGAIPSIVQILRAGSVEARENAAATLFSLSLGDENKIIIGASGAIPALVELLENGSPRGKKDAATALFNLCIYQGNKGRAVRSGIIPALLKMLTDSRNFMADEALTILSVLASNQDAKDAIVKASTIPVLIDLLRTGQPRNKENAAAILLSLCKRDPENLACISRLGAIIPMMELAKSGTERAKRKATSLLEHLQKLQQP >Manes.08G087700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29381710:29399306:1 gene:Manes.08G087700.v8.1 transcript:Manes.08G087700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRRSKKQDASSKLILEEIIGLTTKNANGLASNISTASCAYVAGCVVVVYNVDSGKQSHLMVSHRTPKPLRCVAVSQDGRFIAAGESGNQPAVLVWDCSTKAFVSELKDHLYGVECIAFSPDGEHLVCVGGYIYLWNWRSGMLVTKIKASSSCSAVTSVSFSADAEFVITAGKKHLKIWTIGSSPGTRLNKGTLSLTMHGKPVNLGAQKGSSFTSVTSTILTSRCVVNNKQAGDLFAIYALTDEGVLCLVDHGLSVRNSVELKVAKGFALSASDKLVACACSNGIVLLFTSETLNYVGSLLYSKAKSCQAGTDVYHPSAPEKGFQLLPALPDAVACQFSTSENLVVVYGDRTLYIWDIHDVNKATRRCMLVSHSACIWDVKNLCCENMHDLSLACVARGCPGGVSFATCSADGTIRLWDLALQPDLVDHDADHHILNKEAAVTANLVSAGIFERDTMEASTSTQGFRSMATSSDGNYLAAGDYEGNLHIYNLHTSDYTLFQGVHDAEILSLSFGLSNNKDDISEDFVDGNYFLASGGRDRIIHLYDGKRNFDLIESIYDHSAAVTSVKLTCHGSKILSCSADRSLVFRDVSATDSGHQILRRHHQLASHGTVYDMAVDPAMEFVVTVGQDKKINAFDIASGKPIKSFKQDKDFGDPIKVTMDPSCSYLVVSYSNKSMCIYDSISGEMVVQVMGHGEVVTGVIFLPDCKHMVSVGGDGCIFVWKLPAHISSRILQRIKEKAGLLTYKNSVPLAAFGRIIFSEKEDQQCIMNSEGVLLPDSSQVAQKVLDQGGGTRRASTFRFSISRLPMWAQTKVAHSGLILNPDFTSSQPQQEVEEKSPSPLVSDGGEYGTICREAFTRKSLEFDVQGNESCLSSLSKTSPDTNSSRSSTMSQEILKDKHWHNIYTVCLDLLNSPEAQLLTDAEMPVLSSNLLQSPKIPSNGESSLGQADLLMDDGLKAPSKRHACPQSDGFLTNYDDQFGHKTCTSYKPASGHMEQQSLHKSGSQMHKAIGDDYYHMKLEDNDLFQQHFCSLSASSKTQVNHQ >Manes.08G087700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29381710:29399306:1 gene:Manes.08G087700.v8.1 transcript:Manes.08G087700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSALLSHQMVAKGFALSASDKLVACACSNGIVLLFTSETLNYVGSLLYSKAKSCQAGTDVYHPSAPEKGFQLLPALPDAVACQFSTSENLVVVYGDRTLYIWDIHDVNKATRRCMLVSHSACIWDVKNLCCENMHDLSLACVARGCPGGVSFATCSADGTIRLWDLALQPDLVDHDADHHILNKEAAVTANLVSAGIFERDTMEASTSTQGFRSMATSSDGNYLAAGDYEGNLHIYNLHTSDYTLFQGVHDAEILSLSFGLSNNKDDISEDFVDGNYFLASGGRDRIIHLYDGKRNFDLIESIYDHSAAVTSVKLTCHGSKILSCSADRSLVFRDVSATDSGHQILRRHHQLASHGTVYDMAVDPAMEFVVTVGQDKKINAFDIASGKPIKSFKQDKDFGDPIKVTMDPSCSYLVVSYSNKSMCIYDSISGEMVVQVMGHGEVVTGVIFLPDCKHMVSVGGDGCIFVWKLPAHISSRILQRIKEKAGLLTYKNSVPLAAFGRIIFSEKEDQQCIMNSEGVLLPDSSQVAQKVLDQGGGTRRASTFRFSISRLPMWAQTKVAHSGLILNPDFTSSQPQQEVEEKSPSPLVSDGGEYGTICREAFTRKSLEFDVQGNESCLSSLSKTSPDTNSSRSSTMSQEILKDKHWHNIYTVCLDLLNSPEAQLLTDAEMPVLSSNLLQSPKIPSNGESSLGQADLLMDDGLKAPSKRHACPQSDGFLTNYDDQFGHKTCTSYKPASGHMEQQSLHKSGSQMHKAIGDDYYHMKLEDNDLFQQHFCSLSASSKVDTSKSSVRRRYSARYVVRRNYPGDCKRLFDTPANEGGISLKYGRDVKCHTTYENPEIQNLDEVQEANSCKQEVKNSTQISSDTLSQGVSSERSPTKYSLKAKEPMQQKEEISEANNLQQRITACREALSNLDAAAENVLNLFTELGSLVSKEDISDGAETKLYDEAGKLLPSISEKLDAVAQLVQCRNKYSCRSRGEGSGFEPLLETLAENLSQRVVEMIKQNLNRN >Manes.08G087700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29381710:29399306:1 gene:Manes.08G087700.v8.1 transcript:Manes.08G087700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRRSKKQDASSKLILEEIIGLTTKNANGLASNISTASCAYVAGCVVVVYNVDSGKQSHLMVSHRTPKPLRCVAVSQDGRFIAAGESGNQPAVLVWDCSTKAFVSELKDHLYGVECIAFSPDGEHLVCVGGYIYLWNWRSGMLVTKIKASSSCSAVTSVSFSADAEFVITAGKKHLKIWTIGSSPGTRLNKGTLSLTMHGKPVNLGAQKGSSFTSVTSTILTSRCVVNNKQAGDLFAIYALTDEGVLCLVDHGLSVRNSVELKVAKGFALSASDKLVACACSNGIVLLFTSETLNYVGSLLYSKAKSCQAGTDVYHPSAPEKGFQLLPALPDAVACQFSTSENLVVVYGDRTLYIWDIHDVNKATRRCMLVSHSACIWDVKNLCCENMHDLSLACVARGCPGGVSFATCSADGTIRLWDLALQPDLVDHDADHHILNKEAAVTANLVSAGIFERDTMEASTSTQGFRSMATSSDGNYLAAGDYEGNLHIYNLHTSDYTLFQGVHDAEILSLSFGLSNNKDDISEDFVDGNYFLASGGRDRIIHLYDGKRNFDLIESIYDHSAAVTSVKLTCHGSKILSCSADRSLVFRDVSATDSGHQILRRHHQLASHGTVYDMAVDPAMEFVVTVGQDKKINAFDIASGKPIKSFKQDKDFGDPIKVTMDPSCSYLVVSYSNKSMCIYDSISGEMVVQVMGHGEVVTGVIFLPDCKHMVSVGGDGCIFVWKLPAHISSRILQRIKEKAGLLTYKNSVPLAAFGRIIFSEKEDQQCIMNSEGVLLPDSSQVAQKVLDQGGGTRRASTFRFSISRLPMWAQTKVAHSGLILNPDFTSSQPQQEVEEKSPSPLVSDGGEYGTICREAFTRKSLEFDVQGNESCLSSLSKTSPDTNSSRSSTMSQEILKDKHWHNIYTVCLDLLNSPEAQLLTDAEMPVLSSNFTKS >Manes.08G087700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29381710:29399306:1 gene:Manes.08G087700.v8.1 transcript:Manes.08G087700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRRSKKQDASSKLILEEIIGLTTKNANGLASNISTASCAYVAGCVVVVYNVDSGKQSHLMVSHRTPKPLRCVAVSQDGRFIAAGESGNQPAVLVWDCSTKAFVSELKDHLYGVECIAFSPDGEHLVCVGGYIYLWNWRSGMLVTKIKVAKGFALSASDKLVACACSNGIVLLFTSETLNYVGSLLYSKAKSCQAGTDVYHPSAPEKGFQLLPALPDAVACQFSTSENLVVVYGDRTLYIWDIHDVNKATRRCMLVSHSACIWDVKNLCCENMHDLSLACVARGCPGGVSFATCSADGTIRLWDLALQPDLVDHDADHHILNKEAAVTANLVSAGIFERDTMEASTSTQGFRSMATSSDGNYLAAGDYEGNLHIYNLHTSDYTLFQGVHDAEILSLSFGLSNNKDDISEDFVDGNYFLASGGRDRIIHLYDGKRNFDLIESIYDHSAAVTSVKLTCHGSKILSCSADRSLVFRDVSATDSGHQILRRHHQLASHGTVYDMAVDPAMEFVVTVGQDKKINAFDIASGKPIKSFKQDKDFGDPIKVTMDPSCSYLVVSYSNKSMCIYDSISGEMVVQVMGHGEVVTGVIFLPDCKHMVSVGGDGCIFVWKLPAHISSRILQRIKEKAGLLTYKNSVPLAAFGRIIFSEKEDQQCIMNSEGVLLPDSSQVAQKVLDQGGGTRRASTFRFSISRLPMWAQTKVAHSGLILNPDFTSSQPQQEVEEKSPSPLVSDGGEYGTICREAFTRKSLEFDVQGNESCLSSLSKTSPDTNSSRSSTMSQEILKDKHWHNIYTVCLDLLNSPEAQLLTDAEMPVLSSNLLQSPKIPSNGESSLGQADLLMDDGLKAPSKRHACPQSDGFLTNYDDQFGHKTCTSYKPASGHMEQQSLHKSGSQMHKAIGDDYYHMKLEDNDLFQQHFCSLSASSKVDTSKSSVRRRYSARYVVRRNYPGDCKRLFDTPANEGGISLKYGRDVKCHTTYENPEIQNLDEVQEANSCKQEVKNSTQISSDTLSQGVSSERSPTKYSLKAKEPMQQKEEISEANNLQQRITACREALSNLDAAAENVLNLFTELGSLVSKEDISDGAETKLYDEAGKLLPSISEKLDAVAQLVQCRNKYSCRSRGEGSGFEPLLETLAENLSQRVVEMIKQNLNRN >Manes.08G087700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29381710:29399306:1 gene:Manes.08G087700.v8.1 transcript:Manes.08G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRRSKKQDASSKLILEEIIGLTTKNANGLASNISTASCAYVAGCVVVVYNVDSGKQSHLMVSHRTPKPLRCVAVSQDGRFIAAGESGNQPAVLVWDCSTKAFVSELKDHLYGVECIAFSPDGEHLVCVGGYIYLWNWRSGMLVTKIKASSSCSAVTSVSFSADAEFVITAGKKHLKIWTIGSSPGTRLNKGTLSLTMHGKPVNLGAQKGSSFTSVTSTILTSRCVVNNKQAGDLFAIYALTDEGVLCLVDHGLSVRNSVELKVAKGFALSASDKLVACACSNGIVLLFTSETLNYVGSLLYSKAKSCQAGTDVYHPSAPEKGFQLLPALPDAVACQFSTSENLVVVYGDRTLYIWDIHDVNKATRRCMLVSHSACIWDVKNLCCENMHDLSLACVARGCPGGVSFATCSADGTIRLWDLALQPDLVDHDADHHILNKEAAVTANLVSAGIFERDTMEASTSTQGFRSMATSSDGNYLAAGDYEGNLHIYNLHTSDYTLFQGVHDAEILSLSFGLSNNKDDISEDFVDGNYFLASGGRDRIIHLYDGKRNFDLIESIYDHSAAVTSVKLTCHGSKILSCSADRSLVFRDVSATDSGHQILRRHHQLASHGTVYDMAVDPAMEFVVTVGQDKKINAFDIASGKPIKSFKQDKDFGDPIKVTMDPSCSYLVVSYSNKSMCIYDSISGEMVVQVMGHGEVVTGVIFLPDCKHMVSVGGDGCIFVWKLPAHISSRILQRIKEKAGLLTYKNSVPLAAFGRIIFSEKEDQQCIMNSEGVLLPDSSQVAQKVLDQGGGTRRASTFRFSISRLPMWAQTKVAHSGLILNPDFTSSQPQQEVEEKSPSPLVSDGGEYGTICREAFTRKSLEFDVQGNESCLSSLSKTSPDTNSSRSSTMSQEILKDKHWHNIYTVCLDLLNSPEAQLLTDAEMPVLSSNLLQSPKIPSNGESSLGQADLLMDDGLKAPSKRHACPQSDGFLTNYDDQFGHKTCTSYKPASGHMEQQSLHKSGSQMHKAIGDDYYHMKLEDNDLFQQHFCSLSASSKVDTSKSSVRRRYSARYVVRRNYPGDCKRLFDTPANEGGISLKYGRDVKCHTTYENPEIQNLDEVQEANSCKQEVKNSTQISSDTLSQGVSSERSPTKYSLKAKEPMQQKEEISEANNLQQRITACREALSNLDAAAENVLNLFTELGSLVSKEDISDGAETKLYDEAGKLLPSISEKLDAVAQLVQCRNKYSCRSRGEGSGFEPLLETLAENLSQRVVEMIKQNLNRN >Manes.08G087700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29383275:29399306:1 gene:Manes.08G087700.v8.1 transcript:Manes.08G087700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQSGNQPAVLVWDCSTKAFVSELKDHLYGVECIAFSPDGEHLVCVGGYIYLWNWRSGMLVTKIKASSSCSAVTSVSFSADAEFVITAGKKHLKIWTIGSSPGTRLNKGTLSLTMHGKPVNLGAQKGSSFTSVTSTILTSRCVVNNKQAGDLFAIYALTDEGVLCLVDHGLSVRNSVELKVAKGFALSASDKLVACACSNGIVLLFTSETLNYVGSLLYSKAKSCQAGTDVYHPSAPEKGFQLLPALPDAVACQFSTSENLVVVYGDRTLYIWDIHDVNKATRRCMLVSHSACIWDVKNLCCENMHDLSLACVARGCPGGVSFATCSADGTIRLWDLALQPDLVDHDADHHILNKEAAVTANLVSAGIFERDTMEASTSTQGFRSMATSSDGNYLAAGDYEGNLHIYNLHTSDYTLFQGVHDAEILSLSFGLSNNKDDISEDFVDGNYFLASGGRDRIIHLYDGKRNFDLIESIYDHSAAVTSVKLTCHGSKILSCSADRSLVFRDVSATDSGHQILRRHHQLASHGTVYDMAVDPAMEFVVTVGQDKKINAFDIASGKPIKSFKQDKDFGDPIKVTMDPSCSYLVVSYSNKSMCIYDSISGEMVVQVMGHGEVVTGVIFLPDCKHMVSVGGDGCIFVWKLPAHISSRILQRIKEKAGLLTYKNSVPLAAFGRIIFSEKEDQQCIMNSEGVLLPDSSQVAQKVLDQGGGTRRASTFRFSISRLPMWAQTKVAHSGLILNPDFTSSQPQQEVEEKSPSPLVSDGGEYGTICREAFTRKSLEFDVQGNESCLSSLSKTSPDTNSSRSSTMSQEILKDKHWHNIYTVCLDLLNSPEAQLLTDAEMPVLSSNLLQSPKIPSNGESSLGQADLLMDDGLKAPSKRHACPQSDGFLTNYDDQFGHKTCTSYKPASGHMEQQSLHKSGSQMHKAIGDDYYHMKLEDNDLFQQHFCSLSASSKVDTSKSSVRRRYSARYVVRRNYPGDCKRLFDTPANEGGISLKYGRDVKCHTTYENPEIQNLDEVQEANSCKQEVKNSTQISSDTLSQGVSSERSPTKYSLKAKEPMQQKEEISEANNLQQRITACREALSNLDAAAENVLNLFTELGSLVSKEDISDGAETKLYDEAGKLLPSISEKLDAVAQLVQCRNKYSCRSRGEGSGFEPLLETLAENLSQRVVEMIKQNLNRN >Manes.08G087700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29381710:29399306:1 gene:Manes.08G087700.v8.1 transcript:Manes.08G087700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRRSKKQDASSKLILEEIIGLTTKNANGLASNISTASCAYVAGCVVVVYNVDSGKQSHLMVSHRTPKPLRCVAVSQDGRFIAAGEVAKGFALSASDKLVACACSNGIVLLFTSETLNYVGSLLYSKAKSCQAGTDVYHPSAPEKGFQLLPALPDAVACQFSTSENLVVVYGDRTLYIWDIHDVNKATRRCMLVSHSACIWDVKNLCCENMHDLSLACVARGCPGGVSFATCSADGTIRLWDLALQPDLVDHDADHHILNKEAAVTANLVSAGIFERDTMEASTSTQGFRSMATSSDGNYLAAGDYEGNLHIYNLHTSDYTLFQGVHDAEILSLSFGLSNNKDDISEDFVDGNYFLASGGRDRIIHLYDGKRNFDLIESIYDHSAAVTSVKLTCHGSKILSCSADRSLVFRDVSATDSGHQILRRHHQLASHGTVYDMAVDPAMEFVVTVGQDKKINAFDIASGKPIKSFKQDKDFGDPIKVTMDPSCSYLVVSYSNKSMCIYDSISGEMVVQVMGHGEVVTGVIFLPDCKHMVSVGGDGCIFVWKLPAHISSRILQRIKEKAGLLTYKNSVPLAAFGRIIFSEKEDQQCIMNSEGVLLPDSSQVAQKVLDQGGGTRRASTFRFSISRLPMWAQTKVAHSGLILNPDFTSSQPQQEVEEKSPSPLVSDGGEYGTICREAFTRKSLEFDVQGNESCLSSLSKTSPDTNSSRSSTMSQEILKDKHWHNIYTVCLDLLNSPEAQLLTDAEMPVLSSNLLQSPKIPSNGESSLGQADLLMDDGLKAPSKRHACPQSDGFLTNYDDQFGHKTCTSYKPASGHMEQQSLHKSGSQMHKAIGDDYYHMKLEDNDLFQQHFCSLSASSKVDTSKSSVRRRYSARYVVRRNYPGDCKRLFDTPANEGGISLKYGRDVKCHTTYENPEIQNLDEVQEANSCKQEVKNSTQISSDTLSQGVSSERSPTKYSLKAKEPMQQKEEISEANNLQQRITACREALSNLDAAAENVLNLFTELGSLVSKEDISDGAETKLYDEAGKLLPSISEKLDAVAQLVQCRNKYSCRSRGEGSGFEPLLETLAENLSQRVVEMIKQNLNRN >Manes.08G087700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29383275:29399306:1 gene:Manes.08G087700.v8.1 transcript:Manes.08G087700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEWNAGYKDKGVLCLVDHGLSVRNSVELKVAKGFALSASDKLVACACSNGIVLLFTSETLNYVGSLLYSKAKSCQAGTDVYHPSAPEKGFQLLPALPDAVACQFSTSENLVVVYGDRTLYIWDIHDVNKATRRCMLVSHSACIWDVKNLCCENMHDLSLACVARGCPGGVSFATCSADGTIRLWDLALQPDLVDHDADHHILNKEAAVTANLVSAGIFERDTMEASTSTQGFRSMATSSDGNYLAAGDYEGNLHIYNLHTSDYTLFQGVHDAEILSLSFGLSNNKDDISEDFVDGNYFLASGGRDRIIHLYDGKRNFDLIESIYDHSAAVTSVKLTCHGSKILSCSADRSLVFRDVSATDSGHQILRRHHQLASHGTVYDMAVDPAMEFVVTVGQDKKINAFDIASGKPIKSFKQDKDFGDPIKVTMDPSCSYLVVSYSNKSMCIYDSISGEMVVQVMGHGEVVTGVIFLPDCKHMVSVGGDGCIFVWKLPAHISSRILQRIKEKAGLLTYKNSVPLAAFGRIIFSEKEDQQCIMNSEGVLLPDSSQVAQKVLDQGGGTRRASTFRFSISRLPMWAQTKVAHSGLILNPDFTSSQPQQEVEEKSPSPLVSDGGEYGTICREAFTRKSLEFDVQGNESCLSSLSKTSPDTNSSRSSTMSQEILKDKHWHNIYTVCLDLLNSPEAQLLTDAEMPVLSSNLLQSPKIPSNGESSLGQADLLMDDGLKAPSKRHACPQSDGFLTNYDDQFGHKTCTSYKPASGHMEQQSLHKSGSQMHKAIGDDYYHMKLEDNDLFQQHFCSLSASSKVDTSKSSVRRRYSARYVVRRNYPGDCKRLFDTPANEGGISLKYGRDVKCHTTYENPEIQNLDEVQEANSCKQEVKNSTQISSDTLSQGVSSERSPTKYSLKAKEPMQQKEEISEANNLQQRITACREALSNLDAAAENVLNLFTELGSLVSKEDISDGAETKLYDEAGKLLPSISEKLDAVAQLVQCRNKYSCRSRGEGSGFEPLLETLAENLSQRVVEMIKQNLNRN >Manes.15G153200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12702354:12728554:-1 gene:Manes.15G153200.v8.1 transcript:Manes.15G153200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIWCPKSFCLRRFSSWHRPQSGALMIMSETSGGLVASADLSKVGPDYFTFYKCEVKELLSQNEDFLPFAAEFAGNISEEVRGNIKFDPSSGSLFDNCVGVSLSECKKERLNALLRQTLATLAPEVDEMLDPVVALRRLQSQVRKRKLISGHKDVTSEGEKELENANKRSKKSSSSSTSITRAASPVSFGSCGQFQCNARETTCKNESEFHLASNTSADKRDGEGEDEDDLQFLLENDSSLVEASIKKYSDQLSAKLDHMEKQIEELLDVVVSTCRPMSVAEKKQLQILIQKLPPKNLNRVAEIVQREKPSETQNYDEIFVDLEKKDKETLWRLYYYVEAVEKARKLSSS >Manes.15G153200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12702354:12728554:-1 gene:Manes.15G153200.v8.1 transcript:Manes.15G153200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSETSGGLVASADLSKVGPDYFTFYKCEVKELLSQNEDFLPFAAEFAGNISEEVRGNIKFDPSSGSLFDNCVGVSLSECKKERLNALLRQTLATLAPEVDEMLDPVVALRRLQSQVRKRKLISGHKDVTSEGEKELENANKRSKKSSSSSTSITRAASPVSFGSCGQFQCNARETTCKNESEFHLASNTSADKRDGEGEDEDDLQFLLENDSSLVEASIKKYSDQLSAKLDHMEKQIEELLDVVVSTCRPMSVAEKKQLQILIQKLPPKNLNRVAEIVQREKPSETQNYDEIFVDLEKKDKETLWRLYYYVEAVEKARKLSSS >Manes.15G153200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12702354:12708701:-1 gene:Manes.15G153200.v8.1 transcript:Manes.15G153200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSETSGGLVASADLSKVGPDYFTFYKCEVKELLSQNEDFLPFAAEFAGNISEEVRGNIKFDPSSGSLFDNCVGVSLSECKKERLNALLRQTLATLAPEVDEMLDPVVALRRLQSQVRKRKLISGHKDVTSEGEKELENANKRSKKSSSSSTSITRAASPFQCNARETTCKNESEFHLASNTSADKRDGEGEDEDDLQFLLENDSSLVEASIKKYSDQLSAKLDHMEKQIEELLDVVVSTCRPMSVAEKKQLQILIQKLPPKNLNRVAEIVQREKPSETQNYDEIFVDLEKKDKETLWRLYYYVEAVEKARKLSSS >Manes.15G153200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12702354:12728554:-1 gene:Manes.15G153200.v8.1 transcript:Manes.15G153200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIWCPKSFCLRRFSSWHRPQSGALMIMSETSGGLVASADLSKVGPDYFTFYKCEVKELLSQNEDFLPFAAEFAGNISEEVRGNIKFDPSSGSLFDNCVGVSLSECKKERLNALLRQTLATLAPEVDEMLDPVVALRRLQSQVRKRKLISGHKDVTSEGEKELENANKRSKKSSSSSTSITRAASPFQCNARETTCKNESEFHLASNTSADKRDGEGEDEDDLQFLLENDSSLVEASIKKYSDQLSAKLDHMEKQIEELLDVVVSTCRPMSVAEKKQLQILIQKLPPKNLNRVAEIVQREKPSETQNYDEIFVDLEKKDKETLWRLYYYVEAVEKARKLSSS >Manes.15G153200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12702354:12728554:-1 gene:Manes.15G153200.v8.1 transcript:Manes.15G153200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSETSGGLVASADLSKVGPDYFTFYKCEVKELLSQNEDFLPFAAEFAGNISEEVRGNIKFDPSSGSLFDNCVGVSLSECKKERLNALLRQTLATLAPEVDEMLDPVVALRRLQSQVRKRKLISGHKDVTSEGEKELENANKRSKKSSSSSTSITRAASPFQCNARETTCKNESEFHLASNTSADKRDGEGEDEDDLQFLLENDSSLVEASIKKYSDQLSAKLDHMEKQIEELLDVVVSTCRPMSVAEKKQLQILIQKLPPKNLNRVAEIVQREKPSETQNYDEIFVDLEKKDKETLWRLYYYVEAVEKARKLSSS >Manes.15G153200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12702354:12708701:-1 gene:Manes.15G153200.v8.1 transcript:Manes.15G153200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSETSGGLVASADLSKVGPDYFTFYKCEVKELLSQNEDFLPFAAEFAGNISEEVRGNIKFDPSSGSLFDNCVGVSLSECKKERLNALLRQTLATLAPEVDEFQCNARETTCKNESEFHLASNTSADKRDGEGEDEDDLQFLLENDSSLVEASIKKYSDQLSAKLDHMEKQIEELLDVVVSTCRPMSVAEKKQLQILIQKLPPKNLNRVAEIVQREKPSETQNYDEIFVDLEKKDKETLWRLYYYVEAVEKARKLSSS >Manes.04G119500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32082421:32086304:1 gene:Manes.04G119500.v8.1 transcript:Manes.04G119500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSAVLLWSFCGFFLCGFTNSFLQEDLVKAKSEYTVTPSYDRVDEVKKHCASVLSSATELKPQDNRVYRIKEDIFFLNGDWRQDIGKAPIIPYVDRESYGSNSSDAQKPLNLVSFWVNDVDHTSRSKISINVNGFLVMGITLDSFGDRPYEGSPQFQMWPGHTQLSIGFQGVYTVSKKNGGESVMCLLGSTMLPSREPESSDPWEWVKDSNYGQPPLLQDDQILLVLHYPMSFTLTSRVIKGEMRSLNSKSNLKYFDAVHILSEVSNLANYEFGSEKFVSKACDPYPYEDIMANGGIDIYKGARFCEILGQITGEGAGPFTIVPNWRCNSTDDFCSKLGPFVSDKEIKATDGSFKGVELFMQNVKCKQMPARGNVTSANVAAFFRAVPPMENQYVMGMRSGPSNMTVATEGIWKSSSGQLCMVGCLGQVDTDGSSCNSRVCLYIPVSFSIKQRSILIGSFSSTDKINPSYFPLAFEKLVRPTEMWNYFRNSRPYYSYSKIEKASIILERNEPFSFQTVIKKSLLQFPKLEDTEAYITSLSLLAEDLTLHTSAFPDPFSGSRTTRTDFQMEILSLGPLFGRYWSSHNISSLDEETPYLSKSEYTEKQLLMNVSAQITLNGDAYSNFSVLFLEGLYDLHVGKMYLVGCRDVRASWNILFDSMDLEGGLDCLIEVVVSYPPTTSRWLVNPTARISISSQRNGDDPLHFSAVKLQTLPIMYRRQREDILSRRGVEGILRILTLSFAIACILSQLFYIRQDADSVPFISLVMLGVQALGYSLPLITGAEALFKRMSSEPYETSSYDLEKNQWVHVIDYTVKLLVLVSFLLTLRLCQKVWKSRIRLLARTPNEPHRVPSDKRIFLATLTIHVLGYVIVLIINSLKASRKPFRMEKYVDATGNSRKLREWETELEEYVGLVQDFFLLPQVIGNIMWQIDCKPLKELYFIGITFVRLLPHVYDYIRAPVPNPYFADEYEFVNPNMDFYSKFGDIAIPTTAVLLAAAVYIQQRWNYEKLSQSLTIGQWRLLPMNSRMYQRLPSKSFESELASGVNEDAVLETEREEE >Manes.04G119500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32082422:32086304:1 gene:Manes.04G119500.v8.1 transcript:Manes.04G119500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSAVLLWSFCGFFLCGFTNSFLQEDLVKAKSEYTVTPSYDRVDEVKKHCASVLSSATELKPQDNRVYRIKEDIFFLNGDWRQDIGKAPIIPYVDRESYGSNSSDAQKPLNLVSFWVNDVDHTSRSKISINVNGFLVMGITLDSFGDRPYEGSPQFQMWPGHTQLSIGFQGVYTVSKKNGGESVMCLLGSTMLPSREPESSDPWEWVKDSNYGQPPLLQDDQILLVLHYPMSFTLTSRVIKGEMRSLNSKSNLKYFDAVHILSEVSNLANYEFGSEKFVSKACDPYPYEDIMANGGIDIYKGARFCEILGQITGEGAGPFTIVPNWRCNSTDDFCSKLGPFVSDKEIKATDGSFKGVELFMQNVKCKQMPARGNVTSANVAAFFRAVPPMENQYVMGMRSGPSNMTVATEGIWKSSSGQLCMVGCLGQVDTDGSSCNSRVCLYIPVSFSIKQRSILIGSFSSTDKINPSYFPLAFEKLVRPTEMWNYFRNSRPYYSYSKIEKASIILERNEPFSFQTVIKKSLLQFPKLEDTEAYITSLSLLAEDLTLHTSAFPDPFSGSRTTRTDFQMEILSLGPLFGRYWSSHNISSLDEETPYLSKSEYTEKQLLMNVSAQITLNGDAYSNFSVLFLEGLYDLHVGKMYLVGCRDVRASWNILFDSMDLEGGLDCLIEVVVSYPPTTSRWLVNPTARISISSQRNGDDPLHFSAVKLQTLPIMYRRQREDILSRRGVEGILRILTLSFAIACILSQLFYIRQDADSVPFISLVMLGVQALGYSLPLITGAEALFKRMSSEPYETSSYDLEKNQWVHVIDYTVKLLVLVSFLLTLRLCQKVWKSRIRLLARTPNEPHRVPSDKRIFLATLTIHVLGYVIVLIINSLKASRKPFRMEKYVDATGNSRKLREWETELEEYVGLVQDFFLLPQVIGNIMWQIDCKPLKELYFIGITFVRLLPHVYDYIRAPVPNPYFADEYEFVNPNMDFYSKFGDIAIPTTAVLLAAAVYIQQRWNYEKLSQSLTIGQWRLLPMNSRMYQRLPSKSFESELASGVNEDAVLETEREEE >Manes.02G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8131347:8133578:1 gene:Manes.02G104000.v8.1 transcript:Manes.02G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQQQQNQQLQNEDSNSGVKGSFLCRQSSTRWTPTTDQIRILKDLYYNSGVRSPSAEQIQKISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTTDVPMQQRTVSNASNWKPEDYPFHNKYPNTTSGFSSSSPSSAGGSTVGQMGNYGYRSVTIENSFRDCLIPAGANSGVVGSMSPSYGWVGIDTYSSAYSHFDKRKSSNEILEGEEREVQEEEAITQEIETLPLFPTQREDTNGILCNLKHNPICYPHNYWYGSDDGNNTSRTFLELSLNSYGNGQAPDSI >Manes.09G011826.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2711798:2715065:1 gene:Manes.09G011826.v8.1 transcript:Manes.09G011826.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVMESKIDKAVEFFDDMVARGYQPNVYTYNVMVNGMCKFGKTNVAIGLLKGMADRGCEADVVTYSAIIDALCKDELVGEALELFSQMRNKGISADVITYTGLIHGVCKLGQKNQALALMNEMVEKNILPNVYTFSVLIDALCKDGMVSEAQKTFNIMIQRGVEPNVVTYSSLIDGLCISDHFKEALALLKEMVGRNISPNIFTFNILIHTHCKKGLVSNAQNIIKIMIQRGAEPSVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPNAVTYSTLIKGMFQAGRPQTAQELFKDMGPHGQQPDIVTFSIMIDGLCRQGNLDEAITLLKAMEKSQLKPNLVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVFVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASKLINEMVDKGFSADATTTELVVYLSQHNDLILSKLRNCSEASKAVQ >Manes.09G157600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35315493:35324875:1 gene:Manes.09G157600.v8.1 transcript:Manes.09G157600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKKLHIGSNHEPHRSNEIPPSPLYTSDNRASPGNSPFSPPLTSSSPASTVAATTISSAVNNSNGRTDYFSSEEEFQVQLALAISLSNSEFKDDHEKDEIRAATLLSLGGNHHHRSDMGREKVEAAADALSRQYWEYNVLDYEEKVMDGFYDVFSTSPGPALQGKMPSLSDLETSPGSSGSEAVIVNQTIDPALEEMVQVAQCIALDCAATDVGILVQRLAELVTGHMGGPVKDANIVLAGWMARSTELRTSLHTSVLPIGSINIGLSRHRALLFKVLADNISLPCRLVKGSHYTGIEDDAINMIKLEDEREFLVDLMADPGTLIPADVLSVKDTTFRSYNPNISKIYGLNASNESGTVYTGSKPLSGEGSSQNSSVDGSLPVDRTLAAESVESLASFSGGNSNAVVGSSGVSSRATPSYQHDNISSSVTGSSLYKGSQGPHGIGDAMRMNVNVVPCGQNSSEASKFLFSDLNPFQIKGTGKSSMQNRPAENKVDFQRKKNNPLPGRPPVPLMWKNQYARNEIPRKKEYDYMEGLFPRINCEPNNYNQLSLASTSSTMSKKVYSQGIKSSSRDGDARNTLNVTSPALAPGINQCHQSPFVKEVNSTVKEENPRDVRNFTNDAEVMVKDDENSEIGFHDQRKCTYDRFLGTNLKLKEPESPSSSIDSTTNRIDQIFDDVDVGECEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLLGAALAEFKREVRIMQRLRNPNVVLFMGAVTRPPNLSIITEFLPRGSLYRILHRPHCQIDEKHRIKMALDVARGMNCLHTSMPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQHRHLEIPKDVDPLVARIIVECWQTDPNLRPSFAQLAVDLKPLQRLVIPSHLDQPSSPLQQEISVNSTP >Manes.09G157600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35315493:35324875:1 gene:Manes.09G157600.v8.1 transcript:Manes.09G157600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSDLETSPGSSGSEAVIVNQTIDPALEEMVQVAQCIALDCAATDVGILVQRLAELVTGHMGGPVKDANIVLAGWMARSTELRTSLHTSVLPIGSINIGLSRHRALLFKVLADNISLPCRLVKGSHYTGIEDDAINMIKLEDEREFLVDLMADPGTLIPADVLSVKDTTFRSYNPNISKIYGLNASNESGTVYTGSKPLSGEGSSQNSSVDGSLPVDRTLAAESVESLASFSGGNSNAVVGSSGVSSRATPSYQHDNISSSVTGSSLYKGSQGPHGIGDAMRMNVNVVPCGQNSSEASKFLFSDLNPFQIKGTGKSSMQNRPAENKVDFQRKKNNPLPGRPPVPLMWKNQYARNEIPRKKEYDYMEGLFPRINCEPNNYNQLSLASTSSTMSKKVYSQGIKSSSRDGDARNTLNVTSPALAPGINQCHQSPFVKEVNSTVKEENPRDVRNFTNDAEVMVKDDENSEIGFHDQRKCTYDRFLGTNLKLKEPESPSSSIDSTTNRIDQIFDDVDVGECEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLLGAALAEFKREVRIMQRLRNPNVVLFMGAVTRPPNLSIITEFLPRGSLYRILHRPHCQIDEKHRIKMALDVARGMNCLHTSMPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQHRHLEIPKDVDPLVARIIVECWQTDPNLRPSFAQLAVDLKPLQRLVIPSHLDQPSSPLQQEISVNSTP >Manes.05G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20995846:20997318:-1 gene:Manes.05G122800.v8.1 transcript:Manes.05G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPMSPLVFALIVVFFASSSLATSVTYSVLDYGAKPGGRTDSTQGFLAAWKQVCSSTKPATMYVPPGKFLLRDMVFRGKCKNDGIIIRIDGTLVAPSDYHVIGHSENWLLFENVYGVSILGGIIDGQGTSLWNCKAHGKKCPRGATNLRFSNSNKIAIKGLMSLNSQIFHIVFHGCQNVEMEGVTVSASGESPNTDGIHVQMSSDVTIFNSKIGTGDDCISVGPGTSHLWIENIACGPGHGISIGSLGKQFREPGVQNVTVKTVTLTGTQNGLRIKSWARPSTGFARNILFQDAIMMDVKNPIVINQNYCPHSKNCPHKASGVKVSDVKYQNIHGTSATEVAVKFDCSEKDPCTGIKLENIDLTYKSQPANASCQNADGTSSGSVQPASCLV >Manes.18G075200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6862776:6865410:1 gene:Manes.18G075200.v8.1 transcript:Manes.18G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGGPLLCIGDLLSDLGEKEDDVVRASDHHPSHKEAGSPSSSSIPDSGDTLQSSLDLTKLFQENYSHLNKALAGTDHSWTTPTLKLCTALETANELVQSTNSNVRLLSEKVGELEKIVKRGDSAVAAAKAIHVSLNQKGSPFVGSKNV >Manes.11G126510.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28897723:28903135:-1 gene:Manes.11G126510.v8.1 transcript:Manes.11G126510.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPLLLVVLQGSFSSKMPRPSIKYLLIFLQCSYRRTSVSSAEYVGTCAFDDLTELLSSLVLFLPK >Manes.13G121500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33015007:33015858:-1 gene:Manes.13G121500.v8.1 transcript:Manes.13G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHLRSISFPSRSHPLTVSIEEQLYKLKASQSSSIGHKLNGLKNLLECVDDLLQLPQAQQTLSPERQSQYVQNALNGSLELLDFCDSTRDFFSQMKERVQEFELSIRRRKGRDCGLTSEVDAYMASRKNLNKAICKCLKNLKKKERNCTTAVLDSNSDLLNMISMLRGVQAIGLVVFESILSFISEPKAKSVPTGWSVISKLLQTKRVSCEVEIEVNEAEKIDAELLILKSSKEISLSQLQNLLKELEAFESSIKEAEEELESIYRRLVKTRVSLLNILNH >Manes.10G121100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28611918:28612310:-1 gene:Manes.10G121100.v8.1 transcript:Manes.10G121100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQLFTKQLSRTDVLYALSVPSNALQYFIIPEGAHSVEFEAVDLTGFTWRFRLSTRSTGRYPKPVILQSSWHRFVEQKGLIPNDRVMFFLDHDEENGIRCRVRAQRKIMRLFGYDFWVDVQDLHFYDV >Manes.11G060199.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7801194:7810068:1 gene:Manes.11G060199.v8.1 transcript:Manes.11G060199.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSTTGHVTELSLNNTRQYDIESRSFYYDENIWYVNLSMFQQLKELKTLNLSYNHFDCSIDDQIWFIYILISKHMRLSKLKKLEVLDLTWNRFNNIILPSLGTLTSLKTLILGSNRMEGSFPIQGFQRLKELDLTMNSFNNSILSSLAALPSLNTLILRGNHMEGSFPNQGFERLEKLDISWNIFNGSILLSLGALTSLNTLIFSYNDMGGSFPIQELKNLKSLEFLDISGNGFNNTLSFLGKLQIPLCNFRSNFFGYTEFSTFKRLETLNLGDNAFTGSISEGMWAPPSLKALYLYSNKLNDTLLKQSLCGLKDLRHLDLSYNEFGGTLPQCLGNLTSLTFLDLSENHLTGRLPSFWQPNLQYVDLSHNHLEGVFSFNYSSLEVIRLSGNKITFENGWIPSFQLRALIMQDCGLESILEFLFHQFKLKELDLSHNNLKGRFPYWLLQNNGGLEILNLMNNSFNGQLEIGAKMLPSMTYLNLARNHFEGDILFSAGDDCKLETLDLSHNNFSGEVPERLLSNCTSLSLLRLSHNNFHGQIALFNLTRIADLQLNDNQFEGTLSSLHTNFSHQSYGPVVLHLSNNRLHGEIPHWMGNFAGLIYLNLRDNLFQGQISCQLLSTEIEYLDLSYNSFSGLLPSCFNGNSLRQINLQGNRFSGSIPEALLNISTLNSLDLSDNELLGTILNKSGGNLSSLRFCQLNNVSLLDLSRNSFSGSIPHCLYNLSFAREGGHLYAPPFSDALFTWGIGYRGSNETPLANTYIFQAEVDEESEFVTKYRADTYKNKALNYMSGLDLSDNNLTGEIPYELGALSHIHALNLSHNQLTSFSNLSKIESLDLSYNILSGQIPVELIDLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESRQEASGKWYEIDREIFFASFSVTFIIFVLSVITILYVNSYWQQRLIYHTRRYLFSCYYFLYDNLVKLFI >Manes.11G060199.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7803575:7810068:1 gene:Manes.11G060199.v8.1 transcript:Manes.11G060199.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFNNSILSSLAALPSLNTLILRGNHMEGSFPNQGFERLEKLDISWNIFNGSILLSLGALTSLNTLIFSYNDMGGSFPIQELKNLKSLEFLDISGNGFNNTLSFLEFSTFKRLETLNLGDNAFTGSISEGLCGLKDLRHLDLSYNEFGGTLPQCLGNLTSLTFLDLSENHLTGRLPSFWQPNLQYVDLSHNHLEGVFSFNYSSLENGWIPSFQLRALIMQDCGLESILEFLFHQFKLKELDLSHNNLKGRFPYWLLQNNGGLEILNLMNNSFNGQLEIGAKMLPSMTYLNLARNHFEGDILFSAGDDCKLETLDLSHNNFSGEVPERLLSNCTSLSLLRLSHNNFHGQIALFNLTRIADLQLNDNQFEGTLSSLHTNFSHQSYGPVVLHLSNNRLHGEIPHWMGNFAGLIYLNLRDNLFQGQISCQLLSTEIEYLDLSYNSFSGLLPSCFNGNSLRQINLQGNRFSGSIPEALLNISTLNSLDLSDNELLGTILNKSGGNLSSLRFCQLNNVSLLDLSRNSFSGSIPHCLYNLSFAREGGHLYAPPFSDALFTWGIGYRGSNETPLANTYIFQAEVDEESEFVTKYRADTYKNKALNYMSGLDLSDNNLTGEIPYELGALSHIHALNLSHNQLTSFSNLSKIESLDLSYNILSGQIPVELIDLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESRQEASGKWYEIDREIFFASFSVTFIIFVLSVITILYVNSYWQQRLIYHTRRYLFSCYYFLYDNLVKLFI >Manes.11G060199.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7803234:7810068:1 gene:Manes.11G060199.v8.1 transcript:Manes.11G060199.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFPNQGFERLEKLDISWNIFNGSILLSLGALTSLNTLIFSYNDMGGSFPIQELKNLKSLEFLDISGNGFNNTLSFLEFSTFKRLETLNLGDNAFTGSISEGLCGLKDLRHLDLSYNEFGGTLPQCLGNLTSLTFLDLSENHLTGRLPSFWQPNLQYVDLSHNHLEGVFSFNYSSLENGWIPSFQLRALIMQDCGLESILEFLFHQFKLKELDLSHNNLKGRFPYWLLQNNGGLEILNLMNNSFNGQLEIGAKMLPSMTYLNLARNHFEGDILFSAGDDCKLETLDLSHNNFSGEVPERLLSNCTSLSLLRLSHNNFHGQIALFNLTRIADLQLNDNQFEGTLSSLHTNFSHQSYGPVVLHLSNNRLHGEIPHWMGNFAGLIYLNLRDNLFQGQISCQLLSTEIEYLDLSYNSFSGLLPSCFNGNSLRQINLQGNRFSGSIPEALLNISTLNSLDLSDNELLGTILNKSGGNLSSLRFCQLNNVSLLDLSRNSFSGSIPHCLYNLSFAREGGHLYAPPFSDALFTWGIGYRGSNETPLANTYIFQAEVDEESEFVTKYRADTYKNKALNYMSGLDLSDNNLTGEIPYELGALSHIHALNLSHNQLTSFSNLSKIESLDLSYNILSGQIPVELIDLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESRQEASGKWYEIDREIFFASFSVTFIIFVLSVITILYVNSYWQQRLIYHTRRYLFSCYYFLYDNLVKLFI >Manes.02G215405.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35508481:35521970:-1 gene:Manes.02G215405.v8.1 transcript:Manes.02G215405.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKLVVDPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSDAKQRVKHTVEEAGRNPLKQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVEAREGRKFDAVSYRAPPQGIGAVKDCEAFQSRTSVVDDILNALKDADINLIGVYGMGGVGKTTLVKHIANQVRERGIFKLVVIATVTHSVVLTGVQQEIAEWLDFKLGAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQKDFRLEVLEHQEAWSLFEKKVGDLKDSDLRPIAVEIAERCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHENRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLLKYVVGFGLFNQHMTLKATRNRLLTVISDLKLSCLLLEDGDHERVKMHDVVHSFAASFVSKHDQVLTAANEAELEEWPKEDFFKQCTSISLPYCKIPKLPEVFECPKLKSLFLFNQDSTLKITENLFSRMKELKVLHLTKINLSRLPSSLQSLENLQTLCLDGCDLEDIAAIGKLKQLQVLSLMKSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLERFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLEDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIDWMKMNYFTAFPKLESLFLHNLINLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNEKIRFPNLIDMNLVGINVEMIWPCQHKVLSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAHLKVLEICDCKSMEEVILAAGEGETMTKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGKQLLEIFPSKLLEKFLVNLESLTVQHCDSVKEVFDLQAIVKEREAHVVPHSQLKTLEIWNLPNLIQIWNRDPHGILSFYNLREVCAWYCPNLKKLFPFSVAQCLPHLEFLSIGDCGMEEIVNKEERAEALAIIPKFAFRGLKEMVLWESDELKYFYSGKHILECPQLKKLNVLSCAKLQTFNFKSQEIQEMLMDKQEDELKLQIPQPLFSFREIIGHLEELVINNQDAAMIQRSQFPMDLFVKVKFLELQTFGYSFLNLPLNLLQKFPNLETLVLTACYFKELLQHGHGHDPVLSQIRCLELITLPNIRHVWNQDAPFFQNLETLKIWDCDGLTNLAPSSATFQNLTTLSVRSCNGLSSLLSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQFQNEIIFWKLTTLRLRCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLQRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSVVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLSLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDDIRFPQLNSIILESLPRLINFSSGSGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWHAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMSLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCSQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMRILNMDYLNMLWHNQLHSDSFCKIKALMVEHCEELLKIFPSMLLRRLQILEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLKTLNIRNLPNLKHVWNKDPTELVLFDNLSSVVVCDCPNLKAIFPATIAKNLLQLETLDVESCGGVEEIVAQDQGTEASIEFLFPCLKLLILGELNELKCFYSGIHTLESPLLKHLTVYHCEKLNNFSPESENLLETDRESQAMIQDPQPLFSFRKVVSNLEKLTLTRKDAAMILEGQFPADLFHKLTTIGIHCFHDESAVFPFDLLERFQLMESLVVGCSQFKELFPCDGSVGRKKYVEVLRLIGRLTLNNLPDLTDIWNQDSELDQVLQSLELLHVERCNSLVTLAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVMQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAGMNAREY >Manes.09G150100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34748703:34749594:1 gene:Manes.09G150100.v8.1 transcript:Manes.09G150100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFIEQSSQLLQRPKSRKLSKKMKRPVKITYISSPTMFTATNASEFRAIVQEFTGKDSKVLDNWDPCTATSNEESTMEVLNNSETTSRLTMESESADHIFLNYASSSSPEMEDSFFWNGVSESLFGFQSPCLFV >Manes.09G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8216052:8220274:-1 gene:Manes.09G047000.v8.1 transcript:Manes.09G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAATVVKQAVTLARRRGHAQVTPLHVANTMLSSSTGLLRTACLQSHSHPLQCKALELCFNVALNRLPASTSSPMFGSHSQQHPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLEIYAQSAPSVSSKSKESPFKSSKPITSLDTVSNEDVKSVIENLMNERKRSIVIVGESLGSIEDVVKGVMNKVIKGDVPEALREVKFIPFPISSLANLAMVEVAQKLEDLKSLVRSYMSKGVVLNLGDLKWISEYMSSSSSSEQGRSSYLCPMEHMIMELGKLVNCGKFWLMGIANFQTYMKCKSGHPSLETVWGLHPLTIPAGSLRLSLITDSDVESRSTGYKADQNGNGSSCLIILEGDEEKQLNCCADCTSRFETEARSFQTSTCKSDSTTSSLPPWLQQYKNNQTKAVSNTDDQDSVSIIDLRRKWNSICSSIHHQPYSSRKTITFSSASGFSYDQRYPNLHQTHHNGPTIEPKQSWRDCNFWVCSETVNNSSSTIEPSLRIYIPEHRDHPKTPFSSNPNSTPNSTSSSDVMEMEYLHKFTELNAENLKTLCNALEKKVPWQKDIVPEIASTILQCRSGMVRRKGNVRYCEAKEETWLFFQGVDIEAKEKIAKELARLVFGSQNNFISIALSSFSSTRADSTEDFRNKRSREEQSCSYIERFAEALSSNPHRVFLVEDVEQADYCSQMGFKRAIERGRITNSCGEEVGLSDAIIILSCESFSSGSRACSPSSKQKTDNSQEEEKGSSSATVEETSPCVSLDLNICIDDDGAEDQSIHNIGLLESVDRRIIFKIHEL >Manes.15G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11445566:11449493:1 gene:Manes.15G141200.v8.1 transcript:Manes.15G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWLETQHSLKRRLIDEDDFEWKLPTSSRNKTSVEEHGGHQLVLKYVGGVDVSFLKEDPSVACGSLVVLDLQSLQIVYQDYSLVRLRVPYIAGFLAFREVPILLPLIEKMKNNQSPYYPQVIMVDGNGMLHPRGFGLACHLGVLANLPTIGVGKNLHYVDGLNEEEVTRLLQAGRRRGEDFVKLMGNSGSIWGAAMRSPRGSQDPIYISVGHRVSLDTAINIAKITRQYHLPEPVRQADLRSRDYIRQHKSTLLNLADSSWKSRAAIDLFNDLAISGVLWFPVFKDGLESFCRWHLTDMHTTDQCDMFRSFLIKQVYYENLALTEQQLFAMRLEDFLISNGKRLLKFLNN >Manes.18G080500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7413239:7414339:-1 gene:Manes.18G080500.v8.1 transcript:Manes.18G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKKPCTSVESRNSNIHADLKDIIRENALRYLPAKSLHRFSCVCRGWKNYISTSSFAHIQSNYFHEISGFFRRSRSSLLPSFISLDPMAYGVPDPSLRFLPEPVDVRCSSNGLLCCQAQGTANGHKPYYICNPVNRRWKKLPKPDANHGSDPALVLVFEPALEKFVVDYRLICAFQSDTLKCKFGIYSSAEEYWRTSRDFILGNWMIIPDTGVFVNDRVYWRTRVNEKMVIAFDLTTEKSSLLFSNVHARCLGNVNGKLYSGFLRGPHFLAFDLYDAVEAFKQGGTYRTAKDLSTKELSSNDSEIIGPTEDSGRVLFIGGETLVIYVVATLISQNKTTDEIKQLKTEEDDGRRMIPYVNSLVEL >Manes.01G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27963406:27966295:1 gene:Manes.01G076300.v8.1 transcript:Manes.01G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTLSLDHLPPSEQLCYVQCNICNTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLILPSANQFHLGHSFYSPSHNLLDEIPNPSPNFLINQTNANDFSVPARGVTNDELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQTVKKTNVRQQEGEDVLMKDGFFASANVGVSPY >Manes.08G086700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29157506:29161165:-1 gene:Manes.08G086700.v8.1 transcript:Manes.08G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPLLSEKASELGDKESERWSSYQYVGRTGSAIPTASLGGTEVSVEEIRSAAASEYYPPSLHAALISSPEPDPNEQAIVYQGGYGGEYGGTATGFRGQILDEVEIRELLIDHVGHRCCWGSRPARTWKIHAVEDCNVYVGTLDTFIEERETIRETKPYIGGDIDGKDKGPELGVWELDLRSQFPVLFTPHKETRARIPHSEIIEKCTDCEGRGNIICRTCNANQEPGFYKENQMLQCPACYGRGLIAHRDGSDTICTNCSGKGKIPCATCGSRGLIKCQKCHGSGSLLTCSVAVVRWRTLSTRKVSATSGAASVPDDVFHRARGIQLCNTQAYQCTPAFFADSFFLNKFSSEVIAERASVPPTARVICERHIISVVPVTRVTMAHRGRSFSFYIIGFSREVYLKDYYPARFCWGLCPCLEWLKL >Manes.09G049300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8406893:8412559:1 gene:Manes.09G049300.v8.1 transcript:Manes.09G049300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGNLSSRTRKDELERVFQRFGRCSVRFKDGYGFVVYDFPPNAEKALRALQKRYICGEPLTLTWSNKQPRPFKRSSRTARSYEPQHSRDSLRGKLVKGKLGSNDQDNRMSIKRSNGIRRQHGSSDMHDKEVAYCEDNAKKNLAEERHGYREDIVDEGGRFEPDPVDNDRWDEQFNVRPSENGGENDIEFDRYEPYQGCDRKYDDEIHQVAYAGGCSDPQSSPKGVGRDQVDELKLKQPSESKVQLTCYRCGGFGHKMRNCPQLNTSLRKSTRFDLRHDDDINRKGRGESELGIFGSSSQERLRSSGDAVPKRQLKNDVQSHDLRKHQSLSGGSSPVGQKTDKSRKKHHERNKRTRKETRSPKRYSAKRVRRSYSSPPRSDYTASRSHSARQSSDHVKRSGSQSRSRSVSSRADSLLSESRSSSTSLYSRSKSSKYRARSSSRSPLSASLGQPLPSCSNKAQFNLKGCSDNATTPDSKAILVEQGQPVACDNSSDNAKLDNGMVAVNDENSVPHSQAEIEMEKDQLLVKGKQDCQMASASFCEVTNPNALAAEKGAVHAESFSPEKIVEMNCGNSEEMTEHVHVPIKNLDSESPVCSLSGHLTSLSSEDLCMVLKHYYGLDLQDENEKQLPADAYFGSARLWPWEVIYYRRLKKGAISVENYSRRVDQNREFGIVDRYIRSSSGWEELGPGIP >Manes.08G095100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32203502:32204462:1 gene:Manes.08G095100.v8.1 transcript:Manes.08G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNASEQPSASLALTPDNINPQKDELLFSGDRKFAVHGEIMLLVLVLLFAFFLLFIIYFLFKRRYNYDSPKLSQSELVSQMNPSAAIFKVQLKDGTNLMQVTQQPL >Manes.11G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5229034:5235934:1 gene:Manes.11G048500.v8.1 transcript:Manes.11G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGEEDIEYESDPEEEKRLLGMRRREAASDDEEVEGEEKPTMERRAPIHSDESDGQGGAAEYEDDEEELEGEDDEEEVYEDEEAYGDEEEEYEIDEIEEGKEGTDERGGGHGGVEVEEKGVEGRKVDELVEIKAVENHLEEEEEEEEEDQEGKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTYVGRKLWESKDDKKWGHDKFEEMDLQERRYEQGRRGSKGNFRGRGGKNQAPDRGYGRRNKSKTYSNSNNQNQAPISVRGRGPRKYESTWKTSSLAPPAQNKQPGKSLDKTSRSNSGRAFTPTPNTESDQVPTARKHSSLSSASPPFYPSGSSNKDIPLTQKRDIQAGSTSRNIRTSVMDESFSIQQTNALIQGKNIAASVGIDKLYIDDSVASTAAKSLNTMQKSSGSSLVNGTQSSQSRNQGRGVSISSQMTYQSAPLQNQVNRVSSSTQPHSIQRSPVQNRAQPSVQVSGQQLGQRPGSGSQASSPPKTALSINSCEPGEAETNSESSKSRSALVGKGKGSSQGSGRGSFMYGGAQVMGASGNMGVGHGDQNFPATPAFLPVMQFGGQHPGGIGVPAVGMAFPGYVAQSQLGLGNSEMTWLPVLAGAAGALGATYCSPYIAVDGAYHARPSGQTSSVGSLSKENNGTNKTNNEWKLTSQRPGNLSSTELVNDEFGQRQKPRRYSEMDFKQPSPST >Manes.18G062666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5526391:5527203:-1 gene:Manes.18G062666.v8.1 transcript:Manes.18G062666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQYYSPPPPPDNRYFHSPPPPPPPKTPSYAPPPSSPPVAPPSKPTPTGPAPLPSPEKPTPPTPSSPPSHTPTPAPSPPYVAPPSHPVSPPFPSNGPVSPQLPPYATPPPHPVSPPIPSNGPVSPPKLPPNYTPPPPPIHGYTPPLSGAPPPINAVPPSNVVAPPSGNNHTTIIAKKKKPVMVPAAPVCIEEHEVIQEMITKGPCGEEIVTVSIEDDVQIHEVVAVDGGGAGSSYMGAAGGHGNPC >Manes.02G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4984655:4992865:1 gene:Manes.02G065600.v8.1 transcript:Manes.02G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLPANHGSRDLDRSFPSSLSPTSSPSPSLSFDADNKITDAPLLSRDLSSNNGHGTAKHKPRRRAAAAGKLSKPKRQQSFSRDIGHAASETYLLTRLTFTLLRYLGLGYRWITRLAALAFYAILLMPGFLQVAYYYFFSSQVRRSIIYGYQPRNRLDLYLPENIDGPKPVVAFVTGGAWIIGYKAWGSLLGKQLAERDIIVACIDYRNFPQGTISDMINDASQGISFICNNISDYGGDPNRIYLMGQSAGAHISACALVEQALKEAKGEESISWSVSQIKAYFGLSGGYNLCKLVDHFNSRGLYRSLFLSIMEGEESLQLFSPEVRVEDPSFKDAVPLLPCITLFHGTADCSMPSTSSQTFVDALQRLGARADLILYEGKTHTDLFLQDPLRGGKDDLFDNLVALIHADDKEALAKDANPPPRRRLVPEVLLRLAGHISPF >Manes.02G065600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4984780:4992623:1 gene:Manes.02G065600.v8.1 transcript:Manes.02G065600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLPANHGSRDLDRSFPSSLSPTSSPSPSLSFDADNKITDAPLLSRDLSSNNGHGTAKHKPRRRAAAAGKLSKPKRQQSFSRDIGHAASETYLLTRLTFTLLRYLGLGYRWITRLAALAFYAILLMPGFLQVAYYYFFSSQVRRSIIYGYQPRNRLDLYLPENIDGPKPVVAFVTGGAWIIGYKAWGSLLGKQLAERDIIVACIDYRNFPQGTISDMINDASQGISFICNNISDYGGDPNRIYLMGQSAGAHISACALVEQALKEAKGEESISWSVSQIKAYFGLSGGYNLCKLVDHFNSRGLYRSLFLSIMEGEESLQLFSPEVRVEDPSFKDAVPLLPCITLFHGTADCSMPSTSSQTFVDALQRLGARADLILYEGKTHTDLFLQDPLRGGKDDLFDNLVALIHADDKEALAKDANPPPRRRLVPEVLLSFVHIVKNFNRNCVCMNFLGNYR >Manes.09G071609.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12226577:12229219:-1 gene:Manes.09G071609.v8.1 transcript:Manes.09G071609.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGSDKPSQDIGWNFATPTGKKGEMTCNFCGKKITGGITRLKQHLANIPGQVAGCQKVSAQVKKDMGNMLRGFEAAKRDKAKRARELEDEIMKMTEVEGSDSDEEDIKLEIARCESMRQFDEDAYRRRASHYESGGSSHQAPPRSGISRSATVRERGREASRYIEQTSTPASRLAAAEIEIEKNRSLKQPKIKTKWLKSQKEKLLKAFGNFIIHNRLPFSVIESPWTKPLLRTAAEVGPNVSPPSAYEISEVYLKNEYKEMKKYIASFEGMWNERGVTIMCDGWSGPIRMSIINFLVYSPRGTMFHKSIDASNVKRKDGEYYFKIMKEVVEEIGPSKIVQVVTDNEAAIKSGGKKLMEKFPNLYWTACSAHCIDLILEDFGKGNNIKTVIEQGKVITQFIYNHNWVVNYMKKFTDGRDIIRPRITRFATNFIALESLLRCRTGLRNMFESEQWIGSKYGQATSGPAYEAKKIVLSLDREGRNFWEKAEQIMKIQEPLLKVLRLVDGDEKPTMGFIYEAIERAKLAIKQNFRSYIDYWKIIDAR >Manes.13G086900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16405071:16411434:1 gene:Manes.13G086900.v8.1 transcript:Manes.13G086900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITKKRTTKVVEKTTKLKLCAGQPQVGKSINRTRGHCPKPTGKSEELVVKKDKHSLPLRSAETLLPPLLGKNKCSAETKEGLPISEQQPGPILHTSVKVKLQLFPNNEVTCQGLEKDGYHPYLELTLSARKKISSVLKHLNNKWGGSRIAIGEPVLFPYAISEDLAGYRWTLNDVGLSAGDVYESIGRPSIFRLRYGWFSDCENNLSGVPSTSTPFEVSLQFEGTQKGGSSNIGSVFGKEKQIEVTNEDLKAVTATGAAAISVTDKTPSNGLTEPMCNEVKMHHGMGQSSYPWDDGLTNISIGGLLSEASLQGRFNNCDPKSDVSNAGLPPSQLTSDSFDAFIMAHVNHSQAPKLPSHGASSSILDAEDTCHAFPFQKFSPSGKDALNLGGSASARTCSQDAVSKSFKHPMTSEVNIQSGLPQGNVCQESKTDLSLCSQFYNDESSFGLSGIKWTDSLGPFDLGLSSSKRIISSDSLSISRIVS >Manes.13G086900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16405778:16411358:1 gene:Manes.13G086900.v8.1 transcript:Manes.13G086900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITKKRTTKVVEKTTKLKLCAGQPQVGKSINRTRGHCPKPTGKSEELVVKKDKHSLPLRSAETLLPPLLGKNKCSAETKEGLPISEQQPGPILHTSVKVKLQLFPNNEVTCQGLEKDGYHPYLELTLSARKKISSVLKHLNNKWGGSRIAIGEPVLFPYAISEDLAGYRWTLNDVGLSAGDVYESIGRPSIFRLRYGWFSDCENNLSGVPSTSTPFEVSLQFEGTQKGGSSNIGSVFGKEKQIEVTNEDLKAVTATGAAAISVTDKTPSNGLTEPMCNEVKMHHGMGQSSYPWDDGLTNISIGGLLSEASLQGRFNNCDPKSDVSNAGLPPSQLTSDSFDAFIMAHVNHSQAPKLPSHGASSSILDAEDTCHAFPFQKFSPSGKDALNLGGSASARTCSQDAVSKSFKHPMTSEVNIQSGLPQGNVCQESKTDLSLCSQFYNDESSFGLSGIKWTDSLGPFDLGLSSSKRIISSDSLSISRIVS >Manes.13G086900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16405091:16411358:1 gene:Manes.13G086900.v8.1 transcript:Manes.13G086900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITKKRTTKVVEKTTKLKLCAGQPQVGKSINRTRGHCPKPTGKSEELVVKKDKHSLPLRSAETLLPPLLGKNKCSAETKEGLPISEQQPGPILHTSVKVKLQLFPNNEVTCQGLEKDGYHPYLELTLSARKKISSVLKHLNNKWGGSRIAIGEPVLFPYAISEDLAGYRWTLNDVGLSAGDVYESIGRPSIFRLRYGWFSDCENNLSGVPSTSTPFEVSLQFEGTQKGGSSNIGSVFGKEKQIEVTNEDLKAVTATGAAAISVTDKTPSNGLTEPMCNEVKMHHGMGQSSYPWDDGLTNISIGGLLSEASLQGRFNNCDPKSDVSNAGLPPSQLTSDSFDAFIMAHVNHSQAPKLPSHGASSSILDAEDTCHAFPFQKFSPSGKDALNLGGSASARTCSQDAVSKSFKHPMTSEVNIQSGLPQGNVCQESKTDLSLCSQFYNDESSFGLSGIKWTDSLGPFDLGLSSSKRIISSDSLSISRIVS >Manes.14G117700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11327413:11331262:1 gene:Manes.14G117700.v8.1 transcript:Manes.14G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKYKGGLILIITVVILWVTSAEVTQGVFTDYNHPFAVTYLGTSLLIVYLPIAFIKDWLLKFMRNQNGRSGKNEATSDKPSAAGIDSPVKQNKTPDHFEIEFHGPSANKDCSIDLFSKEDGNSLVSQSNSNAEILKADRKLTGRETTLVGLCIAPLWFLTEYLTNAALARTSVASTTLLSSTSGLFTLLIGALLGEESITVVKVISVLVSIAGVAMTIVGKTWTAGGSQSSTAKDQKHSLLGDLYAALSALTYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLTTLWWIVWPLTAIGVEPSFKFPSSTKMEEIILLNSFVGSVLCDYFWALGVVWTSPLVAALGVSLTIPLAMLEDMIIHGQQYSVIYIIGSAQVFLGFIIANLADWISQKAKMAALYITRIL >Manes.14G117700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11327413:11331262:1 gene:Manes.14G117700.v8.1 transcript:Manes.14G117700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKYKGGLILIITVVILWVTSAEVTQGVFTDYNHPFAVTYLGTSLLIVYLPIAFIKDWLLKFMRNQNGRSGKNEATSDKPSAAGIDSPVKQNKTPDHFEIEFHGPSANKDCSIDLFSKEDGNSLVSQSNSNAEILKADRKLTGRETTLVGLCIAPLWFLTEYLTNAALARTSVASTTLLSSTSGLFTLLIGALLGEESITVVKVISVLVSIAGVAMTIVGKTWTAGGSQSSTAKDQKHSLLGDLYAALSALTYGLFTGEEGERVDVQKLFGYIGLFTLTTLWWIVWPLTAIGVEPSFKFPSSTKMEEIILLNSFVGSVLCDYFWALGVVWTSPLVAALGVSLTIPLAMLEDMIIHGQQYSVIYIIGSAQVFLGFIIANLADWISQKAKMAALYITRIL >Manes.09G156100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35159831:35160841:-1 gene:Manes.09G156100.v8.1 transcript:Manes.09G156100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPACFSHPNTLSSGSQVPQSLITCIYQTQLCNSPTYLTLTWSKTLFSHSLTIYAADSFSITISLHPSTFSFFRNRPGSKSIYLTHHHYQRIKLYWDFTRAEFIHNSAEPESCFYIAIACNAKVEFFLGDLYADLTRRSGLVMTRQLADHQPILLSRREHVFGRKSYVSRAKFLGSKHEFRIECSGGTLMLKVDGQISLVIKRLTWKFRGNERIFVGGMEVEFFWDVFNWVGNNNNGGGAASNSNGHGVFVFQVGDGGVWPEMVGPEKRLIRKSLSSVGHTLTPAAMSLSPSPSCSSVLQWAEESSDCGRSSCSSSTRSCGSNGGFSLLLYAWKKE >Manes.17G107800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31473071:31474709:1 gene:Manes.17G107800.v8.1 transcript:Manes.17G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKIGLKRGPWTIEEDHKLMNFILNNGIHCWRMVPKLAGLLRCGKSCRLRWINYLRPDLKRGGFTEMEENQIIQLHSRLGNRWAKIASHFPGRTDNEIKNHWNTRIKKKLKHIEQTQMSINGGNNETIPERIKEENMEIKSQDDQANLISTDDKSKGGKDDELVSMEETSELLSNYEMLNGSMDMGSWIKQLETNDTTSYSSSLSVDENKNLSIGESLSLEDMDSILSWDSFNYHLLDDIFFLENTQYCNIPADSVPKYC >Manes.03G091500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21896882:21898584:-1 gene:Manes.03G091500.v8.1 transcript:Manes.03G091500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQLFGGPIVFLPSWLVKLLFRKDLGCKLVERMDIMGGSATASPCSSYHPSPCASYNPSPASSSFPSPASSSYVANTKADSNSLIPWLKHLSSASSSASSSRFPSLYIHGGSISAPVTPPLSSPTARTPRMKADWDDQSARPGWGGQHYSFLPSSTPPSPGRQIVPDPEWFSGIRIPQGGPNSPTFSLVSSNPFGFKEQALAGGGSNGGSRGGSCMWTPGQSGTCSPAIAAGFDHTADVPTSEAISDEFAFGSNTIGLVKPWEGERIHEECGSDDLELTLGSSRTR >Manes.03G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21896882:21899667:-1 gene:Manes.03G091500.v8.1 transcript:Manes.03G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRIYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKLVERMDIMGGSATASPCSSYHPSPCASYNPSPASSSFPSPASSSYVANTKADSNSLIPWLKHLSSASSSASSSRFPSLYIHGGSISAPVTPPLSSPTARTPRMKADWDDQSARPGWGGQHYSFLPSSTPPSPGRQIVPDPEWFSGIRIPQGGPNSPTFSLVSSNPFGFKEQALAGGGSNGGSRGGSCMWTPGQSGTCSPAIAAGFDHTADVPTSEAISDEFAFGSNTIGLVKPWEGERIHEECGSDDLELTLGSSRTR >Manes.06G124050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25420515:25420923:1 gene:Manes.06G124050.v8.1 transcript:Manes.06G124050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWHKFSSVAHPQTNGQTEVTNRAILQGLKKRLDGAKANWAEELNSILWALRTTPRTSTKETPFALAFGTEAVVPVELQIPTHRVQFANESTNDDKLRSNLDALEEPVITTKGSGKEA >Manes.02G192700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15563744:15574635:-1 gene:Manes.02G192700.v8.1 transcript:Manes.02G192700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLKLSIFSLLLVISQSSKSNEKFKIDGKVLELDESNFDSAISSFDFIFVDFYAPWCGHCKRLSPELDAAAPVLAELKDPIVIAKVNADKYTRLASKYDVDGYPTLKVFMHGVPVDYYGPRKADLLVRYLKKFVAPDVAVLSSDLAIRDFVEAAGTNFPIFIGFYMNETLISTLGKKYKKNAWFSIANGFSEEIMVQYDFDKVPALVSLLPSYNEQSIFYGPFEEKFLEDFIKQNFLPPAVPMSRDTLKILKDDERKIVLTIMEDESDEKSQKLIKFLKAAASANRDLVFGYVGFKQWDDFAETFGVDKHSKFPKMVVWDGDEEYLSVIGLDSLEEEDQGSQISYFIEGYRKGQTIQKRISGPSFMGFINSLIGIRTVYIIVFLVAMLMLIQSIGKEEPLRVGTRDQADEVAGSEAESSEYRPGDKQD >Manes.02G192700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15565630:15574654:-1 gene:Manes.02G192700.v8.1 transcript:Manes.02G192700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLKLSIFSLLLVISQSSKSNEKFKIDGKVLELDESNFDSAISSFDFIFVDFYAPWCGHCKRLSPELDAAAPVLAELKDPIVIAKVNADKYTRLASKYDVDGYPTLKVFMHGVPVDYYGPRKADLLVRYLKKFVAPDVAVLSSDLAIRDFVEAAGTNFPIFIGFYMNETLISTLGKKYKKNAWFSIANGFSEEIMVQYDFDKVPALVSLLPSYNEQSIFYGPFEEKFLEDFIKQNFLPPAVPMSRDTLKILKDDERKIVLTIMEDESDEKSQKLIKFLKAAASANRDLVFGYVGFKQWDDFAETFGVDKHSKFPKMVVWDGDEEYLSVIGLDSLEEEDQGSQISYFIEGYRKGQTIQKRISGPSFMGFINSLIGIRTVYIIVFLVAMLMLIQSIGKEEPLRVGTRDQADEVAGSEAESSEYRPGDKQD >Manes.02G192700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15563744:15574635:-1 gene:Manes.02G192700.v8.1 transcript:Manes.02G192700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLKLSIFSLLLVISQSSKSNEKFKIDGKVLELDESNFDSAISSFDFIFVDFYAPWCGHCKRLSPELDAAAPVLAELKDPIVIAKVNADKYTRLASKYDVDGYPTLKVFMHGVPVDYYGPRKADLLVRYLKKFVAPDVAVLSSDLAIRDFVEAAGTNFPIFIGFYMNETLISTLGKKYKKNAWFSIANGFSEEIMVQYDFDKVPALVSLLPSYNEQSIFYGPFEEKFLEDFIKQNFLPPAVPMSRDTLKILKDDERKIVLTIMEDESDEKSQKLIKFLKAAASANRDLVFGYVGFKQWDDFAETFGVDKHSKFPKMVVWDGDEEYLSVIGLDSLEEEDQGSQISYFIEGYRKGQTIQKRISGPSFMGFINSLIGIRTVYIIVFLVAMLMLIQSIGKEEPLRVGTRDQADEVAGSEAESSEYRPGDKQD >Manes.02G192700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15565649:15574635:-1 gene:Manes.02G192700.v8.1 transcript:Manes.02G192700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLKLSIFSLLLVISQSSKSNEKFKIDGKVLELDESNFDSAISSFDFIFVDFYAPWCGHCKRLSPELDAAAPVLAELKDPIVIAKVNADKYTRLASKYDVDGYPTLKVFMHGVPVDYYGPRKADLLVRYLKKFVAPDVAVLSSDLAIRDFVEAAGTNFPIFIGFYMNETLISTLGKKYKKNAWFSIANGFSEEIMVQYDFDKVPALVSLLPSYNEQSIFYGPFEEKFLEDFIKQNFLPPAVPMSRDTLKILKDDERKIVLTIMEDESDEKSQKLIKFLKAAASANRDLVFGYVGFKQWDDFAETFGVDKHSKFPKMVVWDGDEEYLSVIGLDSLEEEDQGSQISYFIEGYRKGQTIQKRISGPSFMGFINSLIGIRTVYIIVFLVAMLMLIQSIGKEEPLRVGTRDQADEVAGSEAESSEYRPGDKQD >Manes.14G096400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8008964:8010429:-1 gene:Manes.14G096400.v8.1 transcript:Manes.14G096400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYCKDFKVGKCQGQKLVDGHTMPLVLQPSEPHNGDLDSLLGALKRNKDWFDELIIKNSAVLLRGFDVKKAEDFNDIIETFGWDDIRYVGPAPRTHIYKRVWTANEGPLSEFIYYHHEMVLIKEFPKKVVLFCEIPPPEGGETPFVPSFKVTERMLEEFPQEVEDIDTKGLKYTFSAPSNNNTSSMRGRGWEDAFGTSDPAEAERRAKALGMDMEWLPNGGVKTILGPRPLTRVFEGRKGRRMWFNTVVGMHGKEVSSAMMADGSEIPEKFVKRCEQIIEEESIQFKWEAGDVLFLDNYALLHGRRPSLPPRKVLVATCK >Manes.04G062400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:19599450:19603590:1 gene:Manes.04G062400.v8.1 transcript:Manes.04G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNDTVMQLCHNSKLSKTENFGDTTLRLNCLGNGGSSMNGFENTQSECKVDFSNGPDDGCKLVLGLGPTPTAYCDDYYSMRFNKTKVSASAAIFSQGLSSDADSTLKLGLSGGTKEAWSGLDCSLLETDTSTSIMNQVCDDDNRFSIPAVDEGSTSAKKSGGYMPSLLFAPRLDVGKVALHTEEFLEFGAKSNSQQLQLNHEPSATTDFSADTISEHATSATSLDRKTSNLKKCKFFGCLKGARGASGLCIGHGGGHRCQKPGCNKGAESRTAYCKAHGGGRRCQQLGCTKSAEGKTDFCIAHGGGRRCGFPGGCSKAARGKSGLCIKHGGGKRCKVEGCTRSAEGQAGLCISHGGGRRCQYQGCTKGAQGSTMFCKAHGGGKRCIFAGCSKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCKFENCGKSAQGSTDFCKAHGGGKRCTWGEGKCEKFARGKSGLCAAHSSMVQERDMKKGSLIGPGLFHGLVSASAASNAGSSIDNNYSSSGISAVSECSDSFEKPTKRQHLIPAQVLVPSSMKSSPSYSSLLSAEKQDEGRNGYAAGGSGSISRISSFDYMIPEGRVHGGGLMSLLGGNLRNAIDGI >Manes.14G149200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16891920:16892904:1 gene:Manes.14G149200.v8.1 transcript:Manes.14G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGGRRTNVFDPFSLDFWDPFYDFPFPSTSLSVSAPRSESANETSAFSNARIDWKETPEAHVFKADLPGIKKEEVKVEVEEGRVLQISGERSKEKEEKNEKWHRVERSSGKFLRRFRLPENSKVDEVKASVKNGVLTVTVPKEDVKKPDVKAIEISG >Manes.14G093700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7837781:7840406:1 gene:Manes.14G093700.v8.1 transcript:Manes.14G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETLDLISDLPQSIVEIILTLLPIRDAVRTSILSTKWRYRWATLTHLVFDDTCVAMCNDKGLIENNLIKFITRALFLHQGPIHKFQLSTSYLQCCPDIDQWILFLSRSDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPPGFKGFPCLKSLSLCQVLIAAEAIESLISGCPLLEILSLSYFDSLVLNIRAPNLKYLCLEGEIKDICLESTPLLVAMSVAMYLTDDIAEHLEQSSSCNFIKFLGGVPRLESLIGHIYFTKYLSIGDYPGRFPITYSYLKTVELYQVSFEDMKEILVVLRLITNSPNLKELQISGSSNTLVAMEAPDLDFWIKECPKGCTFEKLKIVKMTDMSGVPHEMEFIKFLLANSPVLEMMTIAPCVYVIDGRLSMLIELLRFRRASAQAEILFIRD >Manes.14G093700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7837781:7840406:1 gene:Manes.14G093700.v8.1 transcript:Manes.14G093700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETLDLISDLPQSIVEIILTLLPIRDAVRTSILSTKWRYRWATLTHLVFDDTCVAMCNDKGLIENNLIKFITRALFLHQGPIHKFQLSTSYLQCCPDIDQWILFLSRSDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPPGFKGFPCLKSLSLCQVLIAAEAIESLISGCPLLEILSLSYFDSLVLNIRAPNLKYLCLEGEIKDICLESTPLLVAMSVAMYLTDDIAEHLEQSSSCNFIKFLGGVPRLESLIGHIYFTKVSI >Manes.14G093700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7837837:7840245:1 gene:Manes.14G093700.v8.1 transcript:Manes.14G093700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETLDLISDLPQSIVEIILTLLPIRDAVRTSILSTKWRYRWATLTHLVFDDTCVAMCNDKGLIENNLIKFITRALFLHQGPIHKFQLSTSYLQCCPDIDQWILFLSRSDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPPGFKGFPCLKSLSLCQVLIAAEAIESLISGCPLLEILSLSYFDSLVLNIRAPNLKYLCLEGEIKDICLESTPLLVAMSVAMYLTDDIAEHLEQSSSCNFIKFLGGVPRLESLIGHIYFTKGSSNTLVAMEAPDLDFWIKECPKGCTFEKLKIVKMTDMSGVPHEMEFIKFLLANSPVLEMMTIAPCVYVIDGRLSMLIELLRFRRASAQAEILFIRD >Manes.14G093700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7837837:7840245:1 gene:Manes.14G093700.v8.1 transcript:Manes.14G093700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETLDLISDLPQSIVEIILTLLPIRDAVRTSILSTKWRYRWATLTHLVFDDTCVAMCNDKGLIENNLIKFITRALFLHQGPIHKFQLSTSYLQCCPDIDQWILFLSRSDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPPGFKGFPCLKSLSLCQVLIAAEAIESLISGCPLLEILSLSYFDSLVLNIRAPNLKYLCLEGEIKDICLESTPLLVAMSVAMYLTDDIAEHLEQSSSCNFIKFLGGVPRLESLIGHIYFTKYLSIGDYPGRFPITYSYLKTVELYQVSFEDMKEILVVLRLITNSPNLKELQISGSSNTLVAMEAPDLDFWIKECPKGCTFEKLKIVKMTDMSGVPHEMEFIKFLLANSPVLEMMTIAPCVYVIDGRLSMLIELLRFRRASAQAEILFIRD >Manes.07G072600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:20118560:20119666:-1 gene:Manes.07G072600.v8.1 transcript:Manes.07G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFSFSYVFVSFLFLTIQPSMFQSRILKSEEQNLQFLQNLEEVHKGQTVAGLNKVKKYLKRFGFYTNPSDSILTDDFDNHLESALKTYQKYYHLKITGSPDSSTLKKMMIPRCGVPDNIANLTSLNQSLYNFPPGMQRWSKFEITYTFSSSVPDDQDLRSAFANAFQSWEGASEFKFKEASTEEEANIVIGFYSGDHGDGLPFDGPGMVLAHSFYPEDGRSHYDADESWSTNPDMNHMDLESVALHEIGHLLGLAHSQDPNAVMHSGISPGTIKRDLTQDDIQGIQALYSN >Manes.18G143900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQRFVRNSRGVSYSCFHIAVVDALN >Manes.18G143900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32369225:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQRFVRNSRGVSYSCFHIAVVDALN >Manes.18G143900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357770:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357770:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357850:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357833:32370034:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32369044:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357540:32370034:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357833:32370034:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHTGHHSAPFAAVAPVLLHQSYLTGQQLEMDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQRFVRNSRGVSYSCFHIAVVDALN >Manes.18G143900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.18G143900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32357862:32370033:-1 gene:Manes.18G143900.v8.1 transcript:Manes.18G143900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEVLKPCKRAGLVVRTVSPYFQKAPKEKEAANSSMTNSKDVKDLLSKKTKKSCQSAGLTVNVFPYFQNVPKEEKECADSSLTDGKGGQKKLSKKTKKASERTGIAVRNVSPYFQNVPKEEKECVDSSLTDGKGGQKRLSKKSRKASERTGVAVRNVSPYFQDVPKEEKESADGSLTNGNGEQMKLSKKSKKVSKRTGVAVGNVSPYCQKVAKEEGAVDSSLTDNNCVQKKKSKKIKKSCKGASIAVRNVSPYFQKVPKEEGAADSSLVDNNCEQKKSSKKRKRLYEEATIEVQNVSPYFQKVPKEEGAADGSLTDNNFAQQKSSKKRKKSCEGGSIEDQNVSPYFENVAKEEGAADSSLTDNNCGQKKFSKKSKKLCEGASIAVQNVSPYFQKVPKEGAADSCFTGNNCGQKNSSKKSEDSCEGASLAVPNVSPYFQKIPKEEEAAHNSLIDSNSGQKKLSKKSRRVSERAGLAVRIVSPYFCKVAKEENAACSGLTDDNSKQEGSSKKMKKPCDGAVLAVQNVSSYSQNVPKKEAAEDSSFNDAKCGQEKSSKKGNRSRRTSIVLSSAQKRSEAYRRKTQNDTWQPPRSEFGLLQEDHAHDPWRVLVICMLLNCTTGMQVRRVITDFFTLCPDAKAATEVKAQEIENMIESLGLHKKRAVMIQRLSQEYLGDDWTHVTQLHGVGKYAADAYAIFCTGKWDQVHPNDHMLNYYWDFLHRINNASS >Manes.01G092900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29416518:29420657:1 gene:Manes.01G092900.v8.1 transcript:Manes.01G092900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLIQYGMLRELQLLLLAMERQGTLLDNNEIFSKLIEGYVGAYDLERAVLVYDQFREQGLVPSLFCYHGLIDLLVRMRRTQLAFRVCLDMFEIGINLTDGAMDRIEKVIGLLCGDGMVKEARNLMKKVIALGFEPSSSVINDIASGYCEKKDFEDLLTFFVAMKRSPNLLVGNKIINGLCSNFGVERANLFRLELKNLGFRPDEVTFGIMIGWCCREGNLKGAFIYLSEMLSTGLEPCIWSYNALIGAVFREGMWKHAQDILVEMADRGMTANLSTFRTLLAGYCKARKFDEVKMTVQKMVDHGLIKFSSLDNPLSEAFMILGFSPLSVRLKRDNDVGFSKTEFYDNLGNGLYLDADLDDYEKRVNEILNDSMIPEFNLLLMKEYDHGNFKATFLLIDEMVRWGQELSLSVFSALVKGLSASRSHIRACSHLIEKMPMLANQLDDEALNLLIRAYCKGGLTYKGKIFFDEMLPKDIRIENETYTALMVGSCRRGNYHDFYYFWDIARNNKWLPGLKDCKSLVECLLHHRMPKEALELLESMMLLHPHLRSEICHIFLEKLSITGFTTIAHKLVDELLHKGCVFDDIAYSHLVRGLCKERNYGVAFTILDTMLARNLVPGLDVSLILIPQLCRVDKLDKAVALREIVLREQSAFPFSVNCALVRGFCIAGNVGEAAKVVQDMLLKGLFPDAEICDMLFQGYCQANSLSKVRELLGVLIRKFSSPSISSYRNLVRLMCMQGSFASALNLKDLMLRRSSHHSLIIYNILVFYLFSAGNSLVVDKVLNELQEKGLLPDEVTCNFLVYGYSVCKDVSRCLHYLSTMISNGFTPSYRSLRAAVTCLCDVGEFSRALELSREMEVSNWVHGSVVQNAIVEGLLSLDKLQEAEYFLTRMVDKGLIPDTINYDNLIKQFCFFGRLSKAVDLLNIMLKKGNIPNSSSYDSVIYGLCIKNQLNEALDFHTEMLDRDLKPSMKTWDMLIHKLCQLGQTAEAESLLISMVQLGEAPTRPMYSSVINGYRVENNPRKASELMQMMQQSGYEPDFDTHWSLISNLQKSKDKDNNNSSPGFLSRLLSGSGHSYRSVSKVKVG >Manes.01G092900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29416488:29421224:1 gene:Manes.01G092900.v8.1 transcript:Manes.01G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETTTEALGFSHGFQKQPAHGVMNRKATEALCKQRLQFLFSLNPRKSHIKQVSALSSVKSHCFSTASLTDPSLSSSSTAHQTNKTHIDLSPLNCNGIAQFLISEGPQFFDKSKSERENFSKVASLKEIRLDISGVIPHVTRRFRRFLRLKPEDVLEILVGFQLECETVAIEGTKVESLWRLFKWASDQDKGFKHLHKSCEVMASVLIQYGMLRELQLLLLAMERQGTLLDNNEIFSKLIEGYVGAYDLERAVLVYDQFREQGLVPSLFCYHGLIDLLVRMRRTQLAFRVCLDMFEIGINLTDGAMDRIEKVIGLLCGDGMVKEARNLMKKVIALGFEPSSSVINDIASGYCEKKDFEDLLTFFVAMKRSPNLLVGNKIINGLCSNFGVERANLFRLELKNLGFRPDEVTFGIMIGWCCREGNLKGAFIYLSEMLSTGLEPCIWSYNALIGAVFREGMWKHAQDILVEMADRGMTANLSTFRTLLAGYCKARKFDEVKMTVQKMVDHGLIKFSSLDNPLSEAFMILGFSPLSVRLKRDNDVGFSKTEFYDNLGNGLYLDADLDDYEKRVNEILNDSMIPEFNLLLMKEYDHGNFKATFLLIDEMVRWGQELSLSVFSALVKGLSASRSHIRACSHLIEKMPMLANQLDDEALNLLIRAYCKGGLTYKGKIFFDEMLPKDIRIENETYTALMVGSCRRGNYHDFYYFWDIARNNKWLPGLKDCKSLVECLLHHRMPKEALELLESMMLLHPHLRSEICHIFLEKLSITGFTTIAHKLVDELLHKGCVFDDIAYSHLVRGLCKERNYGVAFTILDTMLARNLVPGLDVSLILIPQLCRVDKLDKAVALREIVLREQSAFPFSVNCALVRGFCIAGNVGEAAKVVQDMLLKGLFPDAEICDMLFQGYCQANSLSKVRELLGVLIRKFSSPSISSYRNLVRLMCMQGSFASALNLKDLMLRRSSHHSLIIYNILVFYLFSAGNSLVVDKVLNELQEKGLLPDEVTCNFLVYGYSVCKDVSRCLHYLSTMISNGFTPSYRSLRAAVTCLCDVGEFSRALELSREMEVSNWVHGSVVQNAIVEGLLSLDKLQEAEYFLTRMVDKGLIPDTINYDNLIKQFCFFGRLSKAVDLLNIMLKKGNIPNSSSYDSVIYGLCIKNQLNEALDFHTEMLDRDLKPSMKTWDMLIHKLCQLGQTAEAESLLISMVQLGEAPTRPMYSSVINGYRVENNPRKASELMQMMQQSGYEPDFDTHWSLISNLQKSKDKDNNNSSPGFLSRLLSGSGHSYRSVSKVKVG >Manes.02G001050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:148892:152024:1 gene:Manes.02G001050.v8.1 transcript:Manes.02G001050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASSVDSQWRCRVSSNGGFNWINYGQIANNLPSPDNVVPLVKSIGATKVRLYDADPRALRAFANTGVEFIVGLGKEYPSKMSDPDKAQAWVKSNVQAYLPATKITCITVGNELLTFNGTSLSDNILPAIQTQAGERDYASLSAILEMSYPPSAGAFHFVLFQPNQGIVDPATNLHYDNMLFAQIDAAYSALSSFGYKKLQIHISETNWPSKGDADEAGATLDNAKKYNGDSIEANLYVFALFNENMKPGPISERNYGLFKPEETPVYSLGISSTDAVSTNTNSTRGSISPGTQPTAPESSSTGYLSISGAKQTHRTVIRQLFFPWALLMICWAFRIPF >Manes.17G042633.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23582397:23585251:1 gene:Manes.17G042633.v8.1 transcript:Manes.17G042633.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVLLPVVFVFDLVAFALAVAAEQRRNTATVNVQGDYKYCQYDSDIATGLGVGALVALMASQILIMVASRCLCCGKAMRPSRSRSWAIVLFITCWVFFLIAEVCLLAGSIRNAYHTKYYLSSDHKLSCRELRKGVFGAGAAFVILTGIVSEVYYVSYSRANDGQPSYGRDTGVRMRNI >Manes.17G042633.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23581888:23585251:1 gene:Manes.17G042633.v8.1 transcript:Manes.17G042633.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVLLPVVFVFDLVAFALAVAAEQRRNTATVNVQGDYKYCQYDSDIATGLGVGALVALMASQILIMVASRCLCCGKAMRPSRSRSWAIVLFITCWVFFLIAEVCLLAGSIRNAYHTKYYLSSDHKLSCRELRKGVFGAGAAFVILTGIVSEVYYVSYSRANDGQPSYGRDTGVRMRNI >Manes.17G042633.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23581888:23585251:1 gene:Manes.17G042633.v8.1 transcript:Manes.17G042633.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVLLPVVFVFDLVAFALAVAAEQRRNTATVNVQGDYKYCQYDSDIATGLGVGALVALMASQILIMVASRCLCCGKAMRPSRSRSWAIVLFITCWVFFLIAEVCLLAGSIRNAYHTKYYLSSDHKLSCRELRKGVFGAGAAFVILTGIVSEVYYVSYSRANDGQPSYGRDTGVRMRNI >Manes.14G148300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16138186:16140882:1 gene:Manes.14G148300.v8.1 transcript:Manes.14G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVSLQNKVSTNFSPSQQPYFLAQTPPQPSLDSDGFSLNNKISPSVFLIIIILAIVFFVSGLLHLLVRLLLRPPSRNPDDLENVTALQGQLQQLFHLHDSGVDQSFIDTLPVFQYKAIIGLKNPFDCAVCLCEFEPEDELRLLPKCSHAFHMECIDTWLLSHSTCPLCRGCLLPDFSPNNTCSPIVIVLESSESPREILTDRENNIGRTSSVLTTNSHLCIQGDNDLGSSRIDFSQKSCEIARKDDCNPRIMVDSGEKVVPVKLGKFKNVDIGEGSSNNSKVDERRCFSMGSFEYVMDENCSLQVALRTPLKKKQSNKKPSLPLTPGHRPAMSECDLESRRELHGFEGSNRNSIDRSRRESFSISKIWLRGEKEKQKSTGDSSRRAFSFRFPVNKNAVAGSDLKVKNGNSRRTKSEIGIGRWENGGIGLSFDEENQSCNAKTPSFARRTLLWLVGRQNKVIHSNFSSNV >Manes.01G051334.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9961614:9961827:-1 gene:Manes.01G051334.v8.1 transcript:Manes.01G051334.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSKAPDSSSGPRERAWVQIPLLTFLFNFLFDHPATTETMMEDWITKPANPPM >Manes.13G000084.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:265661:269515:-1 gene:Manes.13G000084.v8.1 transcript:Manes.13G000084.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPAAKSIRGTNPQNLVEKILRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNDDYKYVRILGAFYLRLTGTDVDVYRYLEPLYNDYRKLRQKLADGKFALTHVDEVIDELLTKDYSCDIALPRVKKRRTLESLGSLEPRKSVLEDDFEEEEEKEENEQIDGLDNGADERDYYHGRSPTRERDRDRRRESHRYRDRDYDRDYDRDYDRERGRGRERDRDRERDRDRERERDRDRDRYRLREEKDYGRDREREREREGRERERRDRDRGRRSHSRSRSRSRDRKRHGRSCSPKRRGDGPEEPKKKKEKKEKKDDGTDHPDPEIAEANKLRAALGLKPLK >Manes.11G040473.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4191624:4192626:1 gene:Manes.11G040473.v8.1 transcript:Manes.11G040473.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSYKKLPSKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATAATGSNIIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQIIRSVSCCPLQVKQWNKITDDKLDLMWSTILREATKIRQIDASVLCRHTRGQKALLG >Manes.18G035600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3171581:3174946:1 gene:Manes.18G035600.v8.1 transcript:Manes.18G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQHGDTREIFVDKHEDIKLKQGDLPPAKQQAATISPASPPSASSSPSHEFSFTISLHSSSIQFPDKAKASPPSFAIDLSPADDIFFHGHLLPLHLLSHLPVSPRSSTNSTDSFTLPIRELLDDKKSNRNNNNSSTSHGNSSNVKNNNNSSCIKTNQQQSSNWETKARSKPKAFSFFTRRKGCEVRESEGKEKQKKKMRFEVSHILKRYVRMVRPLLFFKGRRQKNHPHIQRQPHSFSGNLSLRNKQELEGRRGEFSAPASMRTSPTNSGLLVATATLPSSTSDSTMEELQAAIQAAIAHCKKSIASEEKVKC >Manes.18G101500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9453061:9454410:1 gene:Manes.18G101500.v8.1 transcript:Manes.18G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSSKSMDCSANVLKECDTTIPAMKHASSHRTPSFSSSSSFSSSSSLYFLDDSPFSPANPLGFSGVPFSWEHLPGIPKKQSHKIKRETTLAKVLPLPPHTSKRFNLEEVGIRKKNSNESFAKDPFFTALVECSKDDNDDEESGSNFWNGTKVTRSISDRFGFINLYTSCKRTCAVSESIVYLPRSSRTSYDDLITRRPR >Manes.14G069501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5804746:5806562:-1 gene:Manes.14G069501.v8.1 transcript:Manes.14G069501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFLLNNSTISSRFRSHSQAQDALSLSRPGFDVEPGPREKALLAEDPALKRFKSHKKSVGRVKRVPDGLIIGFALCLQVCAVVLMRVVDRNEEEERAWWIEKQKREVLE >Manes.04G043600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6445389:6458141:-1 gene:Manes.04G043600.v8.1 transcript:Manes.04G043600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALFRSLRRVCSLVKPSSSIFSRPNFHSPESVFFSSRHTIPLNLPNPSWVFRNLSHGTVNLVISEGKPKFEMHEVDPPKKEKWQTKKRLKMKRKIEKQKRKEANKRDPRSLTVKRKKQKFANAEERIKYKLERAKIKEALLIERLKRYEVPKVQGPEVKPHDLTGEERFFMKKMAQKKSNYVPIGRRGVFGGIILNMHMHWKKHETVKVICKNCKPGQVQEYAQEIARLSGGIPIHFIGDDTVVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLETVRHFIAVAEKELELYYRHIALYGDPNNRNPLSILDCPTNYLKESQNPEKQTDALSCDEVSTGDSETEVNWDTEEIEDDYLLSTSESDSLGSSTDWDTEEREEGISLMTEMRSSTRLGASCEFENKFETEKEDYSSERNCGYKSF >Manes.04G043600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6445389:6458141:-1 gene:Manes.04G043600.v8.1 transcript:Manes.04G043600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVDPPKKEKWQTKKRLKMKRKIEKQKRKEANKRDPRSLTVKRKKQKFANAEERIKYKLERAKIKEALLIERLKRYEVPKVQGPEVKPHDLTGEERFFMKKMAQKKSNYVPIGRRGVFGGIILNMHMHWKKHETVKVICKNCKPGQVQEYAQEIARLSGGIPIHFIGDDTVVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLETVRHFIAVAEKELELYYRHIALYGDPNNRNPLSILDCPTNYLKESQNPEKQTDALSCDEVSTGDSETEVNWDTEEIEDDYLLSTSESDSLGSSTDWDTEEREEGISLMTEMRSSTRLGASCEFENKFETEKEDYSSERNCGYKSF >Manes.04G043600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6445389:6458141:-1 gene:Manes.04G043600.v8.1 transcript:Manes.04G043600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVDPPKKEKWQTKKRLKMKRKIEKQKRKEANKRDPRSLTVKRKKQKFANAEERIKYKLERAKIKEALLIERLKRYEVPKVQGPEVKPHDLTGEERFFMKKMAQKKSNYVPIGRRGVFGGIILNMHMHWKKHETVKVICKNCKPGQVQEYAQEIARLSGGIPIHFIGDDTVVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLETVRHFIAVAEKELELYYRHIALYGDPNNRNPLSILDCPTNYLKESQNPEKQTDALSCDEVSTGDSETEVNWDTEEIEDDYLLSTSESDSLGSSTDWDTEEREEGISLMTEMRSSTRLGASCEFENKFETEKEDYSSERNCGYKSF >Manes.04G043600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6445389:6455283:-1 gene:Manes.04G043600.v8.1 transcript:Manes.04G043600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALFRSLRRVCSLVKPSSSIFSRPNFHSPESVFFSSRHTIPLNLPNPSWVFRNLSHGTVNLVISEGKPKFEMHEVDPPKKEKWQTKKRLKMKRKIEKQKRKEANKRDPRSLTVKRKKQKFANAEERIKYKLERAKIKEALLIERLKRYEVPKVQGPEVKPHDLTGEERFFMKKMAQKKSNYVPIGRRGVFGGIILNMHMHWKKHETVKVICKNCKPGQVQEYAQEIARLSGGIPIHFIGDDTVVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLETVRHFIAVAEKELELYYRHIALYGDPNNRNPLSILDCPTNYLKESQNPEKQTDALSCDEVSTGDSETEVNWDTEEIEDDYLLSTSESDSLGSSTDWDTEEREEGISLMTEMRSSTRLGASCEFENKFETEKEDYSSERNCGYKSF >Manes.04G043600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6445389:6458141:-1 gene:Manes.04G043600.v8.1 transcript:Manes.04G043600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALFRSLRRVCSLVKPSSSIFSRHTIPLNLPNPSWVFRNLSHGTVNLVISEGKPKFEMHEVDPPKKEKWQTKKRLKMKRKIEKQKRKEANKRDPRSLTVKRKKQKFANAEERIKYKLERAKIKEALLIERLKRYEVPKVQGPEVKPHDLTGEERFFMKKMAQKKSNYVPIGRRGVFGGIILNMHMHWKKHETVKVICKNCKPGQVQEYAQEIARLSGGIPIHFIGDDTVVFYRGKDYVQPEVMSPIDTLSKKRALEKSKYEQSLETVRHFIAVAEKELELYYRHIALYGDPNNRNPLSILDCPTNYLKESQNPEKQTDALSCDEVSTGDSETEVNWDTEEIEDDYLLSTSESDSLGSSTDWDTEEREEGISLMTEMRSSTRLGASCEFENKFETEKEDYSSERNCGYKSF >Manes.05G025750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2266755:2267081:-1 gene:Manes.05G025750.v8.1 transcript:Manes.05G025750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAVVSQEMIQIAELEEQVRKLQESTQKNGEEFKEFKEEVRKNSQHTNTALDELQAIMIKHVAGKGMETGSSTEGRSRSKNRILRSDSMGSKGMLSNQNRESAHAS >Manes.05G004700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:374504:375241:-1 gene:Manes.05G004700.v8.1 transcript:Manes.05G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIRKFILLLLLSSSLISSFTFAHNADTSPSPESSKITSSPHSSPSPNSSPSPTASPSPHISQSPAASPEALNMDSPGGLPLLFPILHPLPAANPVIKKVCDATDHPSECMASIAPFHSGESDPISILKMEMQALREGFKKATVKATQLNEDPAISNQVKECLDTCLETYDTGLFDLDDALEAIAAHDTPKLRAVLSVTISDIQTCEEAFIEKDNEEESPMKALDEELKKLATNNLAIATSLLH >Manes.14G078700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6560137:6562548:-1 gene:Manes.14G078700.v8.1 transcript:Manes.14G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIAFKTFTGLRHSSAEPSTLQNKVPSVSSPNHCRRRLQITAGKFSPKVQNRNLRVAVIGGGPAGGAAAETLAKGGIETYLIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRKVTKMKMISPSNIAVDIGRTLKPHEYIGMVRREVLDSYLRERAATNGANVINGLFLKMDIPKGGRGSETAPYVLHYTEYNGKVGGAGQKKTLEVDAVIGADGANSRVAKSIGAGDYDYAIAFQERIKIPDDKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLLDRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVDESDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKRVVPGNPLDDLKLAFNTIGSLVRANALRKEMNKLSV >Manes.11G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29587130:29590012:-1 gene:Manes.11G131400.v8.1 transcript:Manes.11G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCAATQEHGLFSAVQSGDLDTVKAMLERDPSLTHQTTSYDRQSALHIAAANGRIEILSMLLERSVDPDVVNRHKQTPLMLAAMHGKIGCLKKLIEAGANILKFDSLNGRTCLHYAAYYGHSDCLQAVLSAAQSSPVAVSWGYARFVNIRDGRGAAPLHLAARQRRPECVRILLDNGALVCASTGGFGCPGSTPLHLAARGGSIDCIRELLAWGADRLQRDSSGRIPYLVALKHKHGACAALLNPSSAEPLVWPSPLKFISELNQEAKTLLEHALMEANREREKNILKGTSYSLPSPSHSDIGADDNISEASDAELCCICFEQVCTIEVQDCGHQMCAQCTLALCCHNKPNPTTACLNPPVCPFCRSTIVRLVVAKVKDCDDADQDIGDIGSPKMRKARKSRNFSSEGSSSFKGLSAMSPFGKMGGRGSGRIAADNEWIDKP >Manes.09G059000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9292972:9297469:-1 gene:Manes.09G059000.v8.1 transcript:Manes.09G059000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARSLASSFPYSLDKIDISRDFLPHDSPDMPSTGSDGVKSGGLHSPESGDRKCEEFEMPMLSDEDGMMDATGNSPVVQVEVEVEEEVSEGACSDKAAVKLQKVYRSYRTRRRLADSAVVAEELWWQAIDYARLNHSTISFFNFFKQETAVSRWNRVTLNASRVGKGLSKDDKALKLAFQHWIEAIDPRHRYGHNLHIYYEEWCKTNSGQPFFYWLDIGDGKELDLQECPRSKLRQQCVKYLGPQEREQYEYIVAEGKITHKQTGILLDTSNGPMGAKWIFVMSTSKRLYAGEKKKGIFHHSSFLAGGVTLAAGRLTVENGTLKINKASEDSDVYDDGKFSTSETMIEVLSRSEPPKLDILNEERDSTSEPSEVAQTKSRGEYTRTLSGGLQSPRAEVPKNAILHRINSKKAAKSYQLGHQLSLKWSTGAGPRIGCVADYPVEVRLQALEFVNLSPRRSPTPSSYRQIAGLASPTAQFTIGIPNGDGTSYI >Manes.09G059000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9292972:9297468:-1 gene:Manes.09G059000.v8.1 transcript:Manes.09G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEARSLASSFPYSLDKIDISRDFLPHDSPDMPSTGSDGVKSGGLHSPESGDRKCEEFEMPMLSDEDGMMDATGNSPVVQVEVEVEEEVSEGACSDKAAVKLQKVYRSYRTRRRLADSAVVAEELWWQAIDYARLNHSTISFFNFFKQETAVSRWNRVTLNASRVGKGLSKDDKALKLAFQHWIEAIDPRHRYGHNLHIYYEEWCKTNSGQPFFYWLDIGDGKELDLQECPRSKLRQQCVKYLGPQEREQYEYIVAEGKITHKQTGILLDTSNGPMGAKWIFVMSTSKRLYAGEKKKGIFHHSSFLAGGVTLAAGRLTVENGTLKSISPYSGHYRPTEDSFESFLSLLQDNGVNLDEVQINKASEDSDVYDDGKFSTSETMIEVLSRSEPPKLDILNEERDSTSEPSEVAQTKSRGEYTRTLSGGLQSPRAEVPKNAILHRINSKKAAKSYQLGHQLSLKWSTGAGPRIGCVADYPVEVRLQALEFVNLSPRRSPTPSSYRQIAGLASPTAQFTIGIPNGDGTSYI >Manes.18G056202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4743798:4745448:-1 gene:Manes.18G056202.v8.1 transcript:Manes.18G056202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQRGLSIPINVLPVVEEPRTNEPQPTFNHLQTISREIGDGGRRAREEEEPEARVHGKRVREMIENDEADSYSAGTTRWTRSEAEEEEYHLEKKPRQEEESVDQKLQKMREQLLAELGTKDQNQALLPTSSPFSKWVQQETVPKKFMMPPMAAYDGAGNLREHVLNYKTFMELQTLSDALMCKVFPTTLSGPARAWFNSLETGSIRSFGDLATRFISRFIAGVPADRKTSYLETIRQRRDESLRKYVARFNTEALQIPELDEGRAVEAMQKGTTSLEFFGSLSRKPPTSLAELMKRAEKYIRQDDALVTSRLAKGVADKGKAPEERGRRDTRRSTTGNLSPTDNHGREETKDVIPGSFRHRNSYRPVESRMSGPLLRVKPGFSKEKPTPLNSSRAEVLMAVQDKEFLQWPKPMRTEADQRNPDKYCQYHRTHYHDTNNYFQLIAEIKRLIKRGHLKNFVKKSEGQRPQSVIPG >Manes.12G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7701368:7703352:-1 gene:Manes.12G071700.v8.1 transcript:Manes.12G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLALCLVIIALLIFLAPRSSQATGRSFSYAPYYAARSQRPVSPRRPPSPMNVSFHGRAKEFESQKRRVPTGSNPLHNKKRL >Manes.16G020400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2255343:2260161:-1 gene:Manes.16G020400.v8.1 transcript:Manes.16G020400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSKRKRESHESLSESTRSSPFPDEVLERVLGLLKSNKDRSSVSLVCKDWYNAESWSRTHVFIGNCYSVSPEIVARRFPKIRSVTLKGKPRFSDFNLVPRNWGADIQSWLVVFASKYPFLEELRLKRMSVTDESLEFLALNFPNFKALSLLSCDGFSTDGIAAIATHCKNLTELDIQENDIDDKNGNWLSCFPENFSSLEVLSFANLNSEVNFDALERLVSRCKSLRVLKVNKTVSLEQLQRLLVCTPQLTELGTGSFSQELTARHYTELENTFNHCKKLDTLSGLWEAMAPYLPTLYPACTSLTFLNLSYAILQSLELANLLHHCPQLRRLWVLDTVEDKGLEAVGSNCPLLEELRVFPADPFDEDIIHGVTEAGFVAVSYGCRRLHYVLYFCRQMTNAAVATIVQNCPNFTHFRLCIMNPGQPDYITNEPMDEAFGAVVKTCSKLQRLSVSGLLTDLTFAYIGRYAKNLETLSVAFAGSSDWGMQCVLGGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSSCNVTMNGCRLLAREMPRLNVEVMKEEGSDDSQADKVYVYRSVAGPRRDAPPSVLTL >Manes.02G211700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25662159:25663727:1 gene:Manes.02G211700.v8.1 transcript:Manes.02G211700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVSNSIRRMSSRTRKVAPRMAAALASSDNRTQAAIARLEALENDYAGMETVETNDDDEASLDDDDEGYLQKKSKSTKRKTRQAKALENARKAPRNFLELLHEANLESLPPHVPSYLKAAVGPPSSTCRRHFCTVCGFSSNYTCVRCGMRFCSIRCQTIHDDTRCLKFVA >Manes.06G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4085594:4089100:1 gene:Manes.06G021900.v8.1 transcript:Manes.06G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSCCSKKKVEDGANMGGGGSSSWRIFTYKELHAATNGFSEDNKLGEGGFGSVYWGKTTDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGTDQRLIVYDYMPNLSLLSHLHGQFAGEVQLDWKRRMKIAIGSAEGLLYLHHEVTPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEILTGKKPIEKLPAGVKRTITEWAEPMIIQRRFKDLVDPKLRGNFDENQLKHAINVAALCVQNEPDRRPNMKEVVNMLKGYDPRGKIMPLRIESAKYKEELLTLDQTSDDDDGSGSPEDSEYGVFGAMEVQKIQDPYKRFGYNTAVTKYV >Manes.06G021900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4085594:4089100:1 gene:Manes.06G021900.v8.1 transcript:Manes.06G021900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSSSWRIFTYKELHAATNGFSEDNKLGEGGFGSVYWGKTTDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCVGTDQRLIVYDYMPNLSLLSHLHGQFAGEVQLDWKRRMKIAIGSAEGLLYLHHEVTPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEILTGKKPIEKLPAGVKRTITEWAEPMIIQRRFKDLVDPKLRGNFDENQLKHAINVAALCVQNEPDRRPNMKEVVNMLKGYDPRGKIMPLRIESAKYKEELLTLDQTSDDDDGSGSPEDSEYGVFGAMEVQKIQDPYKRFGYNTAVTKYV >Manes.01G203601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37612326:37613819:1 gene:Manes.01G203601.v8.1 transcript:Manes.01G203601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASHSLDLLFVMETKNSDHFVRKKLQLCGFVNMLFVSPIGHSGGLVVAWRDHLNVTIEKYTSFFVHVTISDQLINKTWSALFCYLSCLDIIRFEQFKFLLDYRHNLLEATLLIGDFNCVLNSWEKKGGNAVNWNVADSFHFLINSLRLTDLGFRGPIFTWNNRRDGSLNIQERLDRSLASINWIHLYPSAAVEHLEDRGSDHRPLLVNISPSMPKAKRLFSFDASWISKPEISGIIEQAWSSSFRGSAMFNAYSKLKACRQALSAWSKKNPSNSKARIESLQQNLDNLKVNMHIWDSARIKAIEKELIVEIKNKRSTGNRKQGSTG >Manes.05G012304.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:799543:800280:1 gene:Manes.05G012304.v8.1 transcript:Manes.05G012304.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLEALVRCRKDDTLPRPEKKGRNFKTTEQSITSTQQSSTEQQAHVSSFGSRGEDVRTWKLAKFCNWP >Manes.06G070700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20667298:20668617:1 gene:Manes.06G070700.v8.1 transcript:Manes.06G070700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSLIYIAILQNPIFHQTKSKHTQVSISYFLMEFMKNHDLEYDDEAYYIELRRQILLLTADEDEEFPHAIVSNSIPADPQRVSSRLLTSSSSCAVLQTKEGSSCLPLWDGEDNTNSASTWLVNLRSTTGYGTGVFIPQNQILQSRRRYRPKGRKNNEKSGMYRAKQRE >Manes.16G050600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449857:13467872:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLGEDRLFANTILLRLADAFRLGDKDTRLSVVRVFLSVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLELTKRVKGSAK >Manes.16G050600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449856:13467889:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLGEDRLFANTILLRLADAFRLGDKDTRLSVVRVFLSVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLELTKRVKGSAK >Manes.16G050600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449857:13465768:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLGEDRLFANTILLRLADAFRLGDKDTRLSVVRVFLSVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLEVSGQSKNCFHLQTRNQILNAGGEVRDILNACKYAVSVIGSLQNESKGVQNEEILTRIIKDGFQLVLKTITEWLHIPFRTPKYFFKVRPCVGSELIAFGGDSRNSNELTVLQGFHLSVNLCVQLRNVPPKLIVGMTKLYCVLCSSASFQEPKSSAETGGQMQLDYEDWEVPGMIFMNEKLWHHVIEHAKKTDKCKRGRDYETSSNDGIVYRFVCFELNDRGQGFSSCLLDVSDFPVGSYRIKWHSCCIDDQGSYWSLLPLNAGPVFTIQNPSVVN >Manes.16G050600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449857:13467819:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLELTKRVKGSAK >Manes.16G050600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449856:13467841:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLEVSGQSKNCFHLQTRNQILNAGGEVRDILNACKYAVSVIGSLQNESKGVQNEEILTRIIKDGFQLVLKTITEWLHIPFRTPKYFFKVRPCVGSELIAFGGDSRNSNELTVLQGFHLSVNLCVQLRNVPPKLIVGMTKLYCVLCSSASFQEPKSSAETGGQMQLDYEDWEVPGMIFMNEKLWHHVIEHAKKTDKCKRGRDYETSSNDGIVYRFVCFELNDRGQGFSSCLLDVSDFPVGSYRIKWHSCCIDDQGSYWSLLPLNAGPVFTIQNPSVVN >Manes.16G050600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449854:13467896:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLGEDRLFANTILLRLADAFRLGDKDTRLSVVRVFLSVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLEVSGQSKNCFHLQTRNQILNAGGEVRDILNACKYAVSVIGSLQNESKGVQNEEILTRIIKDGFQLVLKTITEWLHIPFRTPKYFFKVRPCVGSELIAFGGDSRNSNELTVLQGFHLSVNLCVQLRNVPPKLIVGMTKLYCVLCSSASFQEPKSSAETGGQMQLDYEDWEVPGMIFMNEKLWHHVIEHAKKTDKCKRGRDYETSSNDGIVYRFVCFELNDRGQGFSSCLLDVSDFPVGSYRIKWHSCCIDDQGSYWSLLPLNAGPVFTIQNPSVVN >Manes.16G050600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13451746:13467871:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLGEDRLFANTILLRLADAFRLGDKDTRLSVVRVFLSVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLEVSGQSKNCFHLQTRNQILNAGGEVRDILNACKYAVSVIGSLQNESKGVQNEEILTRIIKDGFQLVLKTITEWLHIPFRTPKYFFKVRRPEFCIQTQMNCLAMSPA >Manes.16G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449857:13465768:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFQETVMKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLGEDRLFANTILLRLADAFRLGDKDTRLSVVRVFLSVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLEVSGQSKNCFHLQTRNQILNAGGEVRDILNACKYAVSVIGSLQNESKGVQNEEILTRIIKDGFQLVLKTITEWLHIPFRTPKYFFKVRPCVGSELIAFGGDSRNSNELTVLQGFHLSVNLCVQLRNVPPKLIVGMTKLYCVLCSSASFQEPKSSAETGGQMQLDYEDWEVPGMIFMNEKLWHHVIEHAKKTDKCKRGRDYETSSNDGIVYRFVCFELNDRGQGFSSCLLDVSDFPVGSYRIKWHSCCIDDQGSYWSLLPLNAGPVFTIQNPSVVN >Manes.16G050600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13451746:13465768:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLGEDRLFANTILLRLADAFRLGDKDTRLSVVRVFLSVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLEVSGQSKNCFHLQTRNQILNAGGEVRDILNACKYAVSVIGSLQNESKGVQNEEILTRIIKDGFQLVLKTITEWLHIPFRTPKYFFKVRRPEFCIQTQMNCLAMSPA >Manes.16G050600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449837:13467867:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVLGDKDTRLSVVRVFLSVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLEVSGQSKNCFHLQTRNQILNAGGEVRDILNACKYAVSVIGSLQNESKGVQNEEILTRIIKDGFQLVLKTITEWLHIPFRTPKYFFKVRPCVGSELIAFGGDSRNSNELTVLQGFHLSVNLCVQLRNVPPKLIVGMTKLYCVLCSSASFQEPKSSAETGGQMQLDYEDWEVPGMIFMNEKLWHHVIEHAKKTDKCKRGRDYETSSNDGIVYRFVCFELNDRGQGFSSCLLDVSDFPVGSYRIKWHSCCIDDQGSYWSLLPLNAGPVFTIQNPSVVN >Manes.16G050600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449857:13467819:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLEVSGQSKNCFHLQTRNQILNAGGEVRDILNACKYAVSVIGSLQNESKGVQNEEILTRIIKDGFQLVLKTITEWLHIPFRTPKYFFKVRRPEFCIQTQMNCLAMSPA >Manes.16G050600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13449857:13467819:-1 gene:Manes.16G050600.v8.1 transcript:Manes.16G050600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSAVCAMEWSIELEMALRSKKSGQAVKAIQQIGSRLQQWSREPKPTMAVYNMFDLVLVFRNSYKEKKGKQYEGILSKARIDNQMELLKRVKLVFDTGDVESRALSLILFGCWGDFAKDSANIRYLILSSLVSSEILEVKASLFAAGCFCDLAADFASVALEILLNVVISPSTSMAIRIAGVRLFAKMGCSYSIATRAHKIGLKLVLDSSEDDFLVAILISLSKLAAKSTLLLSEQVDVLLFFLSQERTLRLRETALRCLNFVYRKGVCYSSVSTHVIRTLLRTLDEIELPSVMKCEALQILQTLVCGLPELSCDEMLDFTNLLNIIEKEAQSPIMSESVLAIHVLVDISTRLKERRQVGSDGDCFFSLPMRIISVIMDQIIFLLKPLLEGCQNNSKLSQEFQSLLNVLLSLVGKHPDLGILVLQKFGSFVECLVDVHDNIMTSRQAGVSEYEQIDFRVQKSKHISLSLAYNVLQFPLTCIENLNEAGAISAELHDKFKLLVGQVQSCNLCDHYIYLIYSILLHSQVIWGCVVRNSEEPCRVGRNLGISLCNHLGKHEVFSLEHVEKMLAERDNWPVYKAGTYAAYQGAWVTAAFVFGQLIGKVYSDSCSYWLKALAQFAVSEGKILLSLFPNLRRKLIDLLKVKEFHITFFGDSLAEVGQGAVGNISEPCCTEVLVGAYNGICSSGETLKSIAMLGKSCCFQRWFLVMRRKVLRTVVDGLKVLGTIPLTQVSISNNGQVDTSVTVKCLDCLRQITQISFQWKSLAQEFDLIAMSFIGMDRRSSKIISALALGCSLLAFTSGFALYFSNLPDHVNLVLRDLESSMNYLQGLLIQDLALRLSLVDQEICYNLSLLLELTKRVKGSAK >Manes.S023116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:251602:252414:-1 gene:Manes.S023116.v8.1 transcript:Manes.S023116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G091188.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21990310:21991135:-1 gene:Manes.03G091188.v8.1 transcript:Manes.03G091188.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGSSFLPKQTMLHMPNKVLKPFERKSSVNITYCDRSKEKPPSGSGSQHNKILRIHQSQRRVEFTQELLCSDNRSNAFEDDKKTQGLDASISLMIH >Manes.03G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22745121:22747448:-1 gene:Manes.03G103800.v8.1 transcript:Manes.03G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVFYMMDTPIWKNYFHTISTRVLRFSDYSMAELPPRIPTVTPNWPPLFPPQTMSNYFSNTHTAAAVVDTHQPSCVDEFSDFTSTRRAAHRRCINDSIAFLETSTTPLDEDCNNQTNNNNDNNNYYVPSNGFISSNFEFDRLDDDQLMYIYSDDMSLSMLPSSSNPSTASGQNSNNDDKPMVGFNQHQQHKKKQQPAKIESGEVKSTCKQEPRTQQLPTSPNGDPTIIDPKRVKRVLANRKSAQRSRARKLQYISELERSVTTLQMEVSALSPRVAFLDHQRLALNVDNSALKQMIAALAQDNIFKDALQEELKKEIERLQKLHKQQNRKEMNKSNAAPSNHQTMHHVGKEDLLIS >Manes.03G103800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22733631:22746872:-1 gene:Manes.03G103800.v8.1 transcript:Manes.03G103800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVFYMMDTPIWKNYFHTISTRVLRFSDYSMAELPPRIPTVTPNWPPLFPPQTMSNYFSNTHTAAAVVDTHQPSCVDEFSDFTSTRRAAHRRCINDSIAFLETSTTPLDEDCNNQTNNNNDNNNYYVPSNGFISSNFEFDRLDDDQLMYIYSDDMSLSMLPSSSNPSTASGQNSNNDDKPMVGFNQHQQHKKKQQPAKIESGEVKSTCKQEPRTQQLPTSPNGDPTIIDPKRVKRILANRKSAQRSRARKLQYISELERSVTTLQMEVSALSPRVAFLDHQRLALNVDNSALKQMIAALAQDNIFKDALQEELKKEIERLQKLHKQQNRKETNKSNAAPSNHQTMHHVGKEDLLIS >Manes.07G060849.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10325490:10325733:-1 gene:Manes.07G060849.v8.1 transcript:Manes.07G060849.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRLCPKLTFLLFTRLLCKAKSYPPLLQHLSFNLLYQLLTVNKMLCGPLIVEKKKSKIEEEKISAIKE >Manes.07G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1086197:1088150:-1 gene:Manes.07G009300.v8.1 transcript:Manes.07G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREGKVVCVTGGSGYIASWLVKFLLHHGYTVKATVLDLNDPKQTEHLFALDGAKERLFLFKANLLEDGSFDSAIDGCEGVFHTACPLFCTNDPQAELIDPAVKGTLNVLRSCTKVPSLKRVIITSSMASVMFDGKPLIPDVVIDEIWFSDPTYCESIKHWYLYAKTMAEKAASKFAEESGIDIVTIHPGFVIGPFLQPTLNVTVEVILNYINGETFPNEIYRFVDVRDVASAHIQAFEQASANGRYCLVGRVVHFSEFLKIVHEQYPALQLPEKYFFFLSFLF >Manes.17G021850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12155640:12156654:-1 gene:Manes.17G021850.v8.1 transcript:Manes.17G021850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRKANVIRLRSHHDKFLMADDDEEGVSQDRNGAMRNARWTVEIVEKSGVIRLRSCYDKYLTASNMPFLFGMTGKKVLQTLPRRLDSSVEWEPIREGVQVKLKTRYGQYLRANTGLPPWRNHITHDIPYRSSTQDWILWDIDIVEMRRRDPPPQPQESTANFPMPVHYEKPQPNNQAEVADNSEPGLLFAAPTFSRIESNDSFTGVPVKNEGRLINYCVADKNGDVDENTKLSFTFKGSVVEELTERLKEETGLDDIQVCSRNPLNGKLYPLRLHLPPNNAEMHVVVIPPPERG >Manes.17G039100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23076961:23078387:-1 gene:Manes.17G039100.v8.1 transcript:Manes.17G039100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEIMSAISSETVSHFSSNPLFSCIITLYTLIVIYFPCALKIYLSPVLILTAVLLLFLLRLGAIQRLQNRNPDRNENEQNTESKENNRDGVLDEPEKSGFFDKVDKWVAFQGETGIGPNPEPDFEGSFVEWDVKAPLEVIYEAYEGEEDELEDDNERHQNSEPTWSATLERYPSLSMYYPETDSETSSDGGFSASGEWDSPESVCFRWEDEDRAGLLIEIALDSNSKKHWGPGFQVEEDNLIEIAL >Manes.15G040100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3119276:3123785:1 gene:Manes.15G040100.v8.1 transcript:Manes.15G040100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVLNGVINRLLEVRGQPGKQVPLSETEIRQLCITSREIFLRQPNLLELEAPIKICGDIHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPDLHKLDQIRNLQRPCVVPESGLLCDLLWSDPSKDIQGWGINERGVSYTFGADRVIDFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILKPVDKKPKFGFGSMVTAKPGNSTAKIKHLILHSSHLTTKP >Manes.15G040100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3119276:3123785:1 gene:Manes.15G040100.v8.1 transcript:Manes.15G040100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVLNGVINRLLEVRGQPGKQVPLSETEIRQLCITSREIFLRQPNLLELEAPIKICGDIHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPDLHKLDQIRNLQRPCVVPESGLLCDLLWSDPSKDIQGWGINERGVSYTFGADRVIDFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILKPVDKKPKFGFGSMVTAKPGNSTAKIKHLILHSSHLTTKP >Manes.15G040100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3119341:3123639:1 gene:Manes.15G040100.v8.1 transcript:Manes.15G040100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVLNGVINRLLEVRGQPGKQVPLSETEIRQLCITSREIFLRQPNLLELEAPIKICGDIHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPDLHKLDQIRNLQRPCVVPESGLLCDLLWSDPSKDIQGWGINERGVSYTFGADRVIDFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILKPVDKKPKFGFGSMVTAKPGNSTAKIKVHLIPSGFKCMDYRPHKVN >Manes.15G040100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3119303:3123785:1 gene:Manes.15G040100.v8.1 transcript:Manes.15G040100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVLNGVINRLLEVRGQPGKQVPLSETEIRQLCITSREIFLRQPNLLELEAPIKICGDIHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPDLHKLDQIRNLQRPCVVPESGLLCDLLWSDPSKDIQGWGINERGVSYTFGADRVIDFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILKPVDKKPKFGFGSMVTAKPGNSTAKIKHLILHSSHLTTKP >Manes.15G040100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3119276:3123785:1 gene:Manes.15G040100.v8.1 transcript:Manes.15G040100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVLNGVINRLLEVRGQPGKQVPLSETEIRQLCITSREIFLRQPNLLELEAPIKICGDIHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPDLHKLDQIRNLQRPCVVPESGLLCDLLWSDPSKDIQGWGINERGVSYTFGADRVIDFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILKPVDKKPKFGFGSMVTAKPGNSTAKIKSLLKV >Manes.15G040100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3119276:3123639:1 gene:Manes.15G040100.v8.1 transcript:Manes.15G040100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVLNGVINRLLEVRGQPGKQVPLSETEIRQLCITSREIFLRQPNLLELEAPIKICGDIHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPDLHKLDQIRNLQRPCVVPESGLLCDLLWSDPSKDIQGWGINERGVSYTFGADRVIDFLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILKPVDKKPKFGFGSMVTAKPGNSTAKIKAREYSINLG >Manes.03G163800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29055346:29059894:-1 gene:Manes.03G163800.v8.1 transcript:Manes.03G163800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGQQNTEKEAHEILSYSFDHGINILDTAELYPIPPSKETQGRTDLYIGSWLKSQPRDKVILATKVCGYSERSTCVRDNAKVLRVDAANIRESVEKSLQRLGTDYIDLLQIHWPDRYVPLFGEFFYDYSKWRPSVPFVEQLRAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNNYSLLVRCHFEIDLVEVCHPNNCNIGLLAYSPLSGGALSGKYLDLDSEAAKKGRLNLFPGYMARYKDSLAREATEKYIEMGRKHGLTPVQLALGFARDRPFMTSSIIGATSVDQLKEDIDAFLTTERPLPPEVMADIETIFKRYKDPAIL >Manes.03G163800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29055378:29059894:-1 gene:Manes.03G163800.v8.1 transcript:Manes.03G163800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTALFMNPVFLCNNNIRRFPRHTPNARTRLRPITAKLAEKTSLQYRKLGDSDLEISEITLGTMTFGQQNTEKEAHEILSYSFDHGINILDTAELYPIPPSKETQGRTDLYIGSWLKSQPRDKVILATKVCGYSERSTCVRDNAKVLRVDAANIRESVEKSLQRLGTDYIDLLQIHWPDRYVPLFGEFFYDYSKWRPSVPFVEQLRAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNNYSLLVRCHFEIDLVEVCHPNNCNIGLLAYSPLSGGALSGKYLDLDSEAAKKGRLNLFPGYMARYKDSLARVMFTFVI >Manes.03G163800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29055347:29059894:-1 gene:Manes.03G163800.v8.1 transcript:Manes.03G163800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTALFMNPVFLCNNNIRRFPRHTPNARTRLRPITAKLAEKTSLQYRKLGDSDLEISEITLGTMTFGQQNTEKEAHEILSYSFDHGINILDTAELYPIPPSKETQGRTDLYIGSWLKSQPRDKVILATKVCGYSERSTCVRDNAKVLRVDAANIRESVEKSLQRLGTDYIDLLQIHWPDRYVPLFGEFFYDYSKWRPSVPFVEQLRAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNNYSLLVRCHFEIDLVEVCHPNNCNIGLLAYSPLSGGALSGKYLDLDSEAAKKGRLNLFPGYMARYKDSLAREATEKYIEMGRKHGLTPVQLALGFARDRPFMTSSIIGATSVDQLKEDIDAFLTTERPLPPEVMADIETIFKRYKDPAIL >Manes.03G163800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29055434:29059894:-1 gene:Manes.03G163800.v8.1 transcript:Manes.03G163800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTALFMNPVFLCNNNIRRFPRHTPNARTRLRPITAKLAEKTSLQYRKLGDSDLEISEITLGTMTFGQQNTEKEAHEILSYSFDHGINILDTAELYPIPPSKETQGRTDLYIGSWLKSQPRDKVILATKVCGYSERSTCVRDNAKVLRVDAANIRESVEKSLQRLGTDYIDLLQIHWPDRYVPLFGEFFYDYSKWRPSVPFVEQLRAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNNYSLLVRCHFEIDLVEVCHPNNCNIGLLAYSPLSGGALSGKYLDLDSEAAKKGRLNLFPGYMARYKDSLAREATEKYIEMGRKHGLTPVQLALGFARDRPFMTSSIIGATSVDQLKEDIDAFLTTERPLPPEVMADIETIFKRYKDPAIL >Manes.03G163800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29055434:29059894:-1 gene:Manes.03G163800.v8.1 transcript:Manes.03G163800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTALFMNPVFLCNNNIRRFPRHTPNARTRLRPITAKLAEKTSLQYRKLGDSDLEISEITLGTMTFGQQNTEKEAHEILSYSFDHGINILDTAELYPIPPSKETQGRTDLYIGSWLKSQPRDKVILATKVCGYSERSTCVRDNAKVLRVDAANIRESVEKSLQRLGTDYIDLLQIHWPDRYVPLFGEFFYDYSKWRPSVPFVEQLRAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNNYSLLVRCHFEIDLVEVCHPNNCNIGLLAYSPLSGGALSGKYLDLDSEAAKKGRLNLFPGYMARYKDSLAREATEKYIEMGRKHGLTPVQLALGFARDRPFMTSSIIGATSVDQLKEDIDAFLTTERPLPPEVMADIETIFKRYKDPAIL >Manes.04G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33627775:33630137:-1 gene:Manes.04G136300.v8.1 transcript:Manes.04G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIQQPQLVTGFEVEEQKIPVDEKELVLDGGFMVPQANSFGLTFRDYDAEGERQPGVENFYKINHISQTYDFVKRMREEYGKLNRVEMSIWECCELLNNVVDESDPDLDEPQIEHLLQTAEAIRKDYPNQDWLHLTGLIHDLGKVLLHPSFGQLPQWAVVGDTFPVGCAFDESIVHHKYFIENPDNNNPAFNTKYGVYSEGCGLDKVMMSWGHDDYMYLVAKENKTTLPSAALFVIRYHSFYALHRSGAYKHLMNEEDIENLKWLQIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLKW >Manes.05G072000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5943778:5945224:1 gene:Manes.05G072000.v8.1 transcript:Manes.05G072000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLHFFHFLFLILLTFSATPNASQCSIKGLPLVRNISQLPQDNYGIQGLSHITVAGAVLHGMKEVEVWLQTFSPGSRTPIHRHSCEEVFIVLKGSGTLYLASSSDGKYPGNPLEHSIFSNSTFHIAVNDAHQVWNTNEHEDLQVLVIISRPPIKV >Manes.05G072000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5943656:5947135:1 gene:Manes.05G072000.v8.1 transcript:Manes.05G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLHFFHFLFLILLTFSATPNASQCSIKGLPLVRNISQLPQDNYGIQGLSHITVAGAVLHGMKEVEVWLQTFSPGSRTPIHRHSCEEVFIVLKGSGTLYLASSSDGKYPGNPLEHSIFSNSTFHIAVNDAHQVWNTNEHEDLQVLVIISRPPIKVFIYDDWFMPHIAAKLKFPFLWDEQCLQVQAPPKDEL >Manes.14G038250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3303225:3304251:-1 gene:Manes.14G038250.v8.1 transcript:Manes.14G038250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEYVESNGRGETEQNICKELKLKQLAESAIVSGSVLGDSEKVRSSFEIAETTEGEGHCTSPISPHSEQTNGTEAGNTFTADPQNNCVQVELISDSRNKDL >Manes.03G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4074018:4077354:1 gene:Manes.03G046100.v8.1 transcript:Manes.03G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYMLMITADLENIANLQPQGGCDDPSFPYFFKVKCGRCGELSQKETCVILNETYPLPAGKGTTNLVQKCKFCGREGTVSMVPGKGKLLTQEISDAGEYAPLMMFDCRGYEPDGFVFSGVWKAESAAGTKYEDIDLSGGEFAEYDEKGECPVMISNLRSKFEVVK >Manes.05G181801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29905813:29906353:1 gene:Manes.05G181801.v8.1 transcript:Manes.05G181801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLVLILNDLQPPNIWISKTFFLICIFLSIVLKLFTEGYSSIVSSLMACSLSLIKTKLADLLKMGNMCNLSTLEIISPNLSKRKKKGVFNSTEKSIFARTCSLIQLL >Manes.12G150200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35834971:35837886:-1 gene:Manes.12G150200.v8.1 transcript:Manes.12G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTLPENSSAVTGNRGDESGGFREEERVESEEGDRYLIGSRWPQQETMALLKIRSDMDAAFREAGLKAPLWDEVSRLLSELGYNRSAKKCKEKFENIYKYYRRTKEGRSSKSNGKTYRFFEQLEALDNSNGNNHVLLSSPSPDKVHSSMAATPVNPVNVNIGALPSSFQSPSAFVDSHCTSTTSTSSEESEGTRKKKRRLTQFFERLMKKVIEKQENLQRKFLDAIEKCEQDRMAREEAWKMQELDRIKRERELLMQERSIAAAKDAAVLSFLQKFSEQTSSVQLSENQTIPVQLSENQISPVEIVVKAQENNSPQNFVHMSSSRWPKEEIEALIRLRTNLDMQYQENGPKGPLWEEVSAAMKKLGYNRNAKRCKEKWENMNKYFKRVRENNKRRADDSKTCPYFHQLDALYKVKTRKVDNSVNCGQEMKPEELLMHMMGSQEERQQQESATTEDGESENVDQNQEGDRENDDEDGYRIVANDPSAVAIME >Manes.12G049702.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4684796:4688031:-1 gene:Manes.12G049702.v8.1 transcript:Manes.12G049702.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHAVQYDSYGGGAAGLKHVEVPVPTPEKDEVLLKLEATTLNPIDWKIQEGVLRPLLPPKFPHIPCTDVAGEVVETGGGLAEFAVAKESLTVARPSEVSAAEAAGLLVAGLTAHQALTQSAGIKLDRSGEQANVLITAASGGVGHYAVQLAKLGNTHVTATCGARNMEFVKSLGADEVLDYKTPEGTALKSPSGRKYDAVIHCAAAVPWSTFEPNLSEKGKVIDITPGVNAMMTSALKKLTFSKKQLVPLLMIPEAENLDYLVKQVKEGKLKTVIDSKHPLSEAECAWAKSIGGHATGKVIVEP >Manes.12G049702.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4684796:4686365:-1 gene:Manes.12G049702.v8.1 transcript:Manes.12G049702.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGLAEFAVAKESLTVARPSEVSAAEAAGLLVAGLTAHQALTQSAGIKLDRSGEQANVLITAASGGVGHYAVQLAKLGNTHVTATCGARNMEFVKSLGADEVLDYKTPEGTALKSPSGRKYDAVIHCAAAVPWSTFEPNLSEKGKVIDITPGVNAMMTSALKKLTFSKKQLVPLLMIPEAENLDYLVKQVKEGKLKTVIDSKHPLSEAECAWAKSIGGHATGKVIVEP >Manes.12G049702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4684796:4688031:-1 gene:Manes.12G049702.v8.1 transcript:Manes.12G049702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHAVQYDSYGGGAAGLKHVEVPVPTPEKDEVLLKLEATTLNPIDWKIQEGVLRPLLPPKFPHIPCTDVAGEVVEVGSGVKNFRTGDKVVSFLRHSTGGGLAEFAVAKESLTVARPSEVSAAEAAGLLVAGLTAHQALTQSAGIKLDRSGEQANVLITAASGGVGHYAVQLAKLGNTHVTATCGARNMEFVKSLGADEVLDYKTPEGTALKSPSGRKYDAVIHCAAAVPWSTFEPNLSEKGKVIDITPGVNAMMTSALKKLTFSKKQLVPLLMIPEAENLDYLVKQVKEGKLKTVIDSKHPLSEAECAWAKSIGGHATGKVIVEP >Manes.01G032701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6402750:6403922:1 gene:Manes.01G032701.v8.1 transcript:Manes.01G032701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLTLKELSSELNSVKTEVQELRERVAILELLNEEQNQPDLKGLEEEEENEAKSINNLHYVNLVDRVIIHKWHTKIIIVVHKEYVFQTIALIDLIDSGADLNSINEGLVPSRYFSKTMEGLNTTNGSKMAVRYKLNNTAICNKSICFEIPFLMVKGLSHPVILGNQFLHMIYPIKRVSEEGINTEIEGKVITFHFVSQPRIKAIDVLKNHIKSKNKFINSLKYEVSFKMIEEMINDPKVQQKIKIIQDKMLNSICAESPNAFWERKKHVVNLSYEPDFNEKLIRTKARPIAMGPRHLEICKKEVAELEKKWLIRKSKGPWSCPAFYVENTAELEWEVPRLVINYKHLNKVLRWIRYPLPNKRDLLNRLYEATVFSKFDMKSGYWQIQIS >Manes.17G025547.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12744399:12751870:-1 gene:Manes.17G025547.v8.1 transcript:Manes.17G025547.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTKPNTQKELIKRFGMENSKPSRTPMSTNTKLDNDEKGKPIDEKLYRSMIGSLLYLTASGLNIMFYVCLCASFQLCLKESHLHTIKCILRYLNGSLHLGLWYPRNTSFSFCSYSNADFAESILDRKSNLGTCKLLGQSLVSWYSKK >Manes.05G020000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1808055:1809583:-1 gene:Manes.05G020000.v8.1 transcript:Manes.05G020000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLMPVFYVNCALQFFVLLKVLLTHRREMEDQTGTRTATSGEYEDLLPVMAEKLDVDAFVAELCGGFRLLADPVRGLITSESLRRNSALLGMQGMSKEEAEEMVREGDLDGDGALNETEFCILMVRLSPEMMEDAEIWLEKAIDQELRKSSR >Manes.10G086502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22239337:22245505:-1 gene:Manes.10G086502.v8.1 transcript:Manes.10G086502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHLLLPIQIRFAEFLTRQWLKPSRLRAFSCLSDYSNQSRGSLLRFFLRYDQFWHMTKVLRLSMNSRAELFNGKGGLLEGCIQRIGRTSFYFPAVEDPKLVLPDAAKWHVFAAFGTLSGGQAHWLVHKCTLSFLAAAEAAPLVSVLASSRKESRGRIIVGQEGDLTERKIYMTMEAGATAVGIGPLRLHIETATMALLATPMLWSDPQQLSDLHTFTGLRVRTQQIMLRKKHR >Manes.17G118750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32422889:32424928:1 gene:Manes.17G118750.v8.1 transcript:Manes.17G118750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKCRALILCHPGLVSCLMVRNRGLIQNSHLVGDIGEVEVITKEVTENKEGGVDVFTPKKATNGDIDQSSANKNRIRAASLKKQLFRLQSDMEASAKSNPKSRSPHQKPSLDRRFDKNMRRPNASFHMKHNFGAIASKFQSETADPPETGLDNADLGPFLLQQTKYMISSGENPQMTLEFALRATKSFEVCANRKPNLDLVMSLHVLAAIYCSLGRYNDAIPVLERSIEIPVIDGQNHALAKFAGCMQLDDTYAMLGQTENSILCYTAGLEIQRQVLGDTDPRVGETCRYVAEGHVQALQFDEAEKLCQVSLDIHREKGGPASLEEEADRRLMGLISEAKGEYETALEHYVLASMSMAANGHDIDVASIDCSIGTIP >Manes.17G031100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22581235:22584283:1 gene:Manes.17G031100.v8.1 transcript:Manes.17G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQTGICGGNWWSLSKSVFMGGGGSSPCSTGINATDMGNYGSWMVTDVVDMKSRSCKLHNINTANSLSPDASLLLPDSQKPHHTDSDSAATSIFMDSTLQMMGFGISSSSSSSSSDWTQTLLRRNGRAESYNSILQEEMNSSQVQKDWSSPKNFTGTGEDSSINAFKEINQDFSLEQQRLNSPMNTSENATATTCQGLSTGFSMGSPSYGYPSTLIQSLFDPDPQPQQSLFNNRTINYSSPPNYGTILNELSPCWPKLAPFLKPSLPKQQQQPACGGLHFSNNTPFWNASATALNDIRPSFLPSPQPQFLVPTFDEKPNCFNLTTKTNNEEVRDSGSFVKKGSEPAFKRPRIETPTPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTPYLKNGNRQHHKVGEKLKDEEGSLKQDLKSRGLCLVPISSTFPVSNETTADFWTPTFGGTFR >Manes.03G098800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17825733:17832897:-1 gene:Manes.03G098800.v8.1 transcript:Manes.03G098800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVARKKIQKKFKIRGYTLKVDALEEILSFANRFQGAEDEAIDLLLDHLQYESQKVGVKSSIIDKEPIRRVISSLLEADDAVEPTSSDTVSSHATIRVIDAFLVPKFHFDPIKKQFYQHTGGLSIHGGSSAKSALYKGRYLLLFQRISHDQHFSKPAFDTEMSHYGNCEIAPIQSLVGQTGRRWVMGVISQFEDGHFYLEDLTASVEINLSKAKITTGFLSENTIVVAEGEMLLDGIFQVFTCGFPPLEDRDKSLKLLGQHDFFGGGTLTKEETLRLAELEKKAVNAMFVILSDIWLDSEEAMGKLETVLDGFEIQEVVPSLFVFLGNFCSHPCNLSFHSFSSLRLQFGNLGKMIAAHPRLKEHSRFLFIPGPDDAGPSTVLPRCALPKYLTEELQKYIPNAIFSSNPCRVKFYTQEIVFFRYDLLYRMRRSCLMPPSTEETSDPFEHLVATITHQSHLCPLPLIVQPIIWNYDHCLHLYPSPHTEILI >Manes.03G098800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17825734:17832897:-1 gene:Manes.03G098800.v8.1 transcript:Manes.03G098800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVARKKIQKKFKIRGYTLKVDALEEILSFANRFQGAEDEAIDLLLDHLQYESQKVGVKSSIIDKEPIRRVISSLLEADDAVEPTSSDTVSSHATIRVIDAFLVPKFHFDPIKKQFYQHTGGLSIHGGSSAKSALYKGRYLLLFQRISHDQHFSKPAFDTEMSHYGNCEIAPIQSLVGQTGRRWVMGVISQFEDGHFYLEDLTASVEINLSKAKITTGFLSENTIVVAEGEMLLDGIFQVFTCGFPPLEDRDKSLKLLGQHDFFGGGTLTKEETLRLAELEKKAVNAMFVILSDIWLDSEEAMGKLETVLDGFEIQEVVPSLFVFLGNFCSHPCNLSFHSFSSLRLQFGNLGKMIAAHPRLKEHSRFLFIPGPDDAGPSTVLPRCALPKYLTEELQKYIPNAIFSSNPCRVKFYTQEIVFFRYDLLYRMRRSCLMPPSTEETSDPFEHLVATITHQSHLCPLPLIVQPIIWNYDHCLHLYPSPHTEILI >Manes.03G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17825734:17832897:-1 gene:Manes.03G098800.v8.1 transcript:Manes.03G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVARKKIQKKFKIRGYTLKVDALEEILSFANRFQGAEDEAIDLLLDHLQYESQKVGVKSSIIDKEPIRRVISSLLEADDAVEPTSSDTVSSHATIRVIDAFLVPKFHFDPIKKQFYQHTGGLSIHGGSSAKSALYKGRYLLLFQRISHDQHFSKPAFDTEMSHYGNCEIAPIQSLVGQTGRRWVMGVISQFEDGHFYLEDLTASVEINLSKAKITTGFLSENTIVVAEGEMLLDGIFQVFTCGFPPLEDRDKSLKLLGQHDFFGGGTLTKEETLRLAELEKKAVNAMFVILSDIWLDSEEAMGKLETVLDGFEIQEVVPSLFVFLGNFCSHPCNLSFHSFSSLRLQFGNLGKMIAAHPRLKEHSRFLFIPGPDDAGPSTVLPRCALPKYLTEELQKYIPNAIFSSNPCRVKFYTQEIVFFRYDLLYRMRRSCLMPPSTEETSDPFEHLVATITHQSHLCPLPLIVQPIIWNYDHCLHLYPSPHTIVLGDKSEQKAFKYTGITCFNPGSFSNDNTFVAYRPCTQEVEFSAL >Manes.03G098800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17825734:17832897:-1 gene:Manes.03G098800.v8.1 transcript:Manes.03G098800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVARKKIQKKFKIRGYTLKVDALEEILSFANRFQGAEDEAIDLLLDHLQYESQKVGVKSSIIDKEPIRRVISSLLEADDAVEPTSSDTVSSHATIRVIDAFLVPKFHFDPIKKQFYQHTGGLSIHGGSSAKSALYKGRYLLLFQRISHDQHFSKPAFDTEMSHYGNCEIAPIQSLVGQTGRRWVMGVISQFEDGHFYLEDLTASVEINLSKAKITTGFLSENTIVVAEGEMLLDGIFQVFTCGFPPLEDRDKSLKLLGQHDFFGGGTLTKEETLRLAELEKKAVNAMFVILSDIWLDSEEAMGKLETVLDGFEIQEVVPSLFVFLGNFCSHPCNLSFHSFSSLRLQFGNLGKMIAAHPRLKEHSRFLFIPGPDDAGPSTVLPRCALPKYLTEELQKYIPNAIFSSNPCRVKFYTQEIVFFRYDLLYRMRRSCLMPPSTEETSDPFEHVYFFIPYLPFLFHIFC >Manes.03G098800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17826970:17832897:-1 gene:Manes.03G098800.v8.1 transcript:Manes.03G098800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVARKKIQKKFKIRGYTLKVDALEEILSFANRFQGAEDEAIDLLLDHLQYESQKVGVKSSIIDKEPIRRVISSLLEADDAVEPTSSDTVSSHATIRVIDAFLVPKFHFDPIKKQFYQHTGGLSIHGGSSAKSALYKGRYLLLFQRISHDQHFSKPAFDTEMSHYGNCEIAPIQSLVGQTGRRWVMGVISQFEDGHFYLEDLTASVEINLSKAKITTGFLSENTIVVAEGEMLLDGIFQVFTCGFPPLEDRDKSLKLLGQHDFFGGGTLTKEETLRLAELEKKAVNAMFVILSDIWLDSEEAMGKLETVLDGFEIQEVVPSLFVFLGNFCSHPCNLSFHSFSSLRLQFGNLGKMIAAHPRLKEHSRFLFIPGPDDAGPSTVLPRCALPKYLTEELQKYIPNAIFSSNPCRVKFYTQEIVFFRYDLLYRMRRSCLMPPSTEETSDPFEHLVATITHQSHLCPLPLIVQPIIWNYDHCLHLYPSPHTVML >Manes.01G146200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33670400:33673631:1 gene:Manes.01G146200.v8.1 transcript:Manes.01G146200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVKQILTKPIQLADQVIKAADEASAFKLECAELKSKTEKLAALLRQAARASSDLYERPTRRILDDTEQVLDKALALVQKCRANGIMKRVFTIIPTTSFRKMLSQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIAILTTGSVDDRSDAAASLVSLARDNDRYGKLIIEEGGIPPLLKLVKEGKMEGQENAARAIGLLGRDPESIEYMIHAGVCTVFAKILKEGPMRVQAVVAWAVSELAANYPKCQDLFAQHNIIRLLVGHLAFETVQEHSKYAITSHKATSIHAVVMASNSSPTAQNVKNPIAADDDSCRIPRPTGNQTPNQLHNVVTNTMALNTASKPPQQRTGYNGNGASNNGNNSMKQNHQQSHSLSGVNIKGRELQDSATKANMKAMAARALWHLAKRNSPICRNITESRALLCFAVLLEKGPEDVQFNSAMALMEITAVAEKDADLRRSAFKPNSPACKAIIDQLLNIIERADPNLLIPCIKTIGNLARTFRATETRMIAPLVKLLDEGEDEVHREASIALTKFACTENYLHLDHSKAIIQAGGEMHLIQLVYFGEQIVQLSALYLLCYIAMHVPDSEELAQAKVLTLLEWASKQSFVAQDETLEALLEDAKSKLELYQSRGSRGFH >Manes.01G146200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33670400:33680689:1 gene:Manes.01G146200.v8.1 transcript:Manes.01G146200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVKQILTKPIQLADQVIKAADEASAFKLECAELKSKTEKLAALLRQAARASSDLYERPTRRILDDTEQVLDKALALVQKCRANGIMKRVFTIIPTTSFRKMLSQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIAILTTGSVDDRSDAAASLVSLARDNDRYGKLIIEEGGIPPLLKLVKEGKMEGQENAARAIGLLGRDPESIEYMIHAGVCTVFAKILKEGPMRVQAVVAWAVSELAANYPKCQDLFAQHNIIRLLVGHLAFETVQEHSKYAITSHKATSIHAVVMASNSSPTAQNVKNPIAADDDSCRIPRPTGNQTPNQLHNVVTNTMALNTASKPPQQRTGYNGNGASNNGNNSMKQNHQQSHSLSGVNIKGRELQDSATKANMKAMAARALWHLAKRNSPICRNITESRALLCFAVLLEKGPEDVQFNSAMALMEITAVAEKDADLRRSAFKPNSPACKAIIDQLLNIIERADPNLLIPCIKTIGNLARTFRATETRMIAPLVKLLDEGEDEVHREASIALTKFACTENYLHLDHSKAIIQAGGEMHLIQLVYFGEQIVQLSALYLLCYIAMHVPDSEELAQAKVLTLLEWASKQSFVAQDETLEALLEDAKSKLELYQSRGSRGFH >Manes.07G069732.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15886865:15889042:1 gene:Manes.07G069732.v8.1 transcript:Manes.07G069732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVHYIKCLIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.06G105300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23811880:23816691:-1 gene:Manes.06G105300.v8.1 transcript:Manes.06G105300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKTPETLEVKFPDGKTLEAQNPDNSNLEEASGPSDVFRFSKNCIVLESNLEVSTEDNGKGHMELVNRVDDTEAKNLDASGSTSTVVSVDVNDIVTEKSSLVNDGIDSANENGNGSIVAGLNENLGDLSSIVCEDVAVEKTLKDEDMKEDELLGSNGVMSGRELKACGGNLSLHLDYSDSPSRVDNGNLKDVDCSGVFTSQEESKELGNEELKDLIGKFHVGDIVWIETKNHSWWPGKICDPLDARKYAVGCNQRNCLLVGYLGISHITWCLPSQIRPFHENFEQMARQNKARSFPVAVEKAVGEFGKCLKSEMTCPCILKKIQQPSGNIESRGASLPGSRFGEFSLGLFEPVKFLAQIKKLALAVCKLGMLELTVAENFLSAFYHSIGHSQLPMEQLCESTDDQDNAGDKLMTKSQIDARVGAESSGPSKGESQSTEVEVLRQNKIEDQTMIFGGDLDTTAEICKGNFIEGNGAANDLASNSKKRKRKRSSELKVEGQEISLSASPSKGEMCIIGSPTTVERSSKLRVRKKSKYLSYPYVNWEHNGLPSETEDPEAHKASQQAERENAVAGQCIGSSSISTSNGKRFQKKWISKLISGSDASSNPELINASVASLLSELCFTAVDCLYPNESKNFDVTEWFFTRFRISVYHDESIYAMHCKNVIGSSNEALMREEQETSQTLKDAKDEQKMLKKKKNGNSAKSKIKSLDGLADANTNIATDGLSVKDFCEVGPPTPNGKPGYKKKKKQQGTISADLHTNQTASIPDLNGNGAMTNLLVENSELLSHVETEPDEREKPVNVNSSNAEPSNRDPFSINTIPEKSRREGFTAACPNSNGNSAILGFLANEASFGSLDAEGKPGQKKRKKKDKSTSGQAAVAASVATLDGTPAESSMLAKSEKKRKRKKEVISQPKRKKSVMGIPDINMNSNNGETNGEAPGTALLLTFAPGASLPSKEVLVATFGRFGPLRESEMQLSKDSGTAEVVFASRIDAAEAARRLENSSPFGANLIDYRLHLLSAGGATDSIEGFKTPAAKSYGSTPNPAEAPPIDFIRQNLEMMTSMLEKSGDNLSPEMRAKLESEIKGLLKKVSSMPSSSS >Manes.06G105300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23811880:23816691:-1 gene:Manes.06G105300.v8.1 transcript:Manes.06G105300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKTPETLEVKFPDGKTLEAQNPDNSNLEEASGPSDVFRFSKNCIVLESNLEVSTEDNGKGHMELVNRVDDTEAKNLDASGSTSTVVSVDVNDIVTEKSSLVNDGIDSANENGNGSIVAGLNENLGDLSSIVCEDVAVEKTLKDEDMKEDELLGSNGVMSGRELKACGGNLSLHLDYSDSPSRVDNGNLKDVDCSGVFTSQEESKELGNEELKDLIGKFHVGDIVWIETKNHSWWPGKICDPLDARKYAVGCNQRNCLLVGYLGISHITWCLPSQIRPFHENFEQMARQNKARSFPVAVEKAVGEFGKCLKSEMTCPCILKKIQQPSGNIESRGASLPGSRFGEFSLGLFEPVKFLAQIKKLALAVCKLGMLELTVAENFLSAFYHSIGHSQLPMEQLCESTDDQDNAGDKLMTKSQIDARVGAESSGPSKGESQSTEVEVLRQNKIEDQTMIFGGDLDTTAEICKGNFIEGNGAANDLASNSKKRKRKRSSELKVEGQEISLSASPSKGEMCIIGSPTTVERSSKLRVRKKSKYLSYPYVNWEHNGLPSETEDPEAHKASQQAERENAVAGQCIGSSSISTSNGKRFQKKWISKLISGSDASSNPELINASVASLLSELCFTAVDCLYPNESKNFDVTEWFFTRFRISVYHDESIYAMHCKNVIGSSNEALMREEQETSQTLKDAKDEQKMLKKKKNGNSAKSKIKSLDGLADANTNIATDGLSVKDFCEVGPPTPNGKPGYKKKKKQQGTISADLHTNQTASIPDLNGNGAMTNLLVENSELLSHVETEPDEREKPVNVNSSNAEPSNRDPFSINTIPEKSRREGFTAACPNSNGNSAILGFLANEASFGSLDAEGKPGQKKRKKKDKSTSGQAAVAASVATLDGTPAESSMLAKSEKKRKRKKEVISQPKRKKSVMGIPDINMNSNNGETNGEAPGTALLLTFAPGASLPSKEVLVATFGRFGPLRESEMQLSKDSGTAEVVFASRIDAAEAARRLENSSPFGANLIDYRLHLLSAGGATDSIEGFKTPAAKSYGSTPNPAEAPPIDFIRQNLEMMTSMLEKSGDNLSPEMRAKLESEIKGLLKKVSSMPSSSS >Manes.08G142925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37906420:37907577:-1 gene:Manes.08G142925.v8.1 transcript:Manes.08G142925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHFFLAFALLALALSYASAYDPSPLQDFCVAINNPFNAVFVNGKFCKNPNLTIANDFSFSGLNVPRNTGNQVGSTVTLLNVEQIPGVNTLGISLARIDYAPNGGLNPPHFHPRATEILLVLEGTLYVGFITSNPNRLISKVLNPGDVFVFPIGLIHFQFNIAKTNGVAIASLNSQNPGVVTIANATFGTNPPINPDVLAKAFQLDKQVVKNLQAKFGGSNN >Manes.06G015200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2599987:2604469:1 gene:Manes.06G015200.v8.1 transcript:Manes.06G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQSKDPVCVTGANGFIGSHLVRTLINHGYTTIHASIYPSSDASHLFQLPPSAADANVQLRIFEADLLDYDAVSKAIEGCRGVFHVASPCTLEDPKDPQKELILPAVQGTINVLEAAKNFNVRRVVLTSSISALVPNPNWPAGKVFDESSWTDLDYCKSRQKWYPVSKTLAEKEAWDFAQKHGINLVAIHPATCLGPLLQPGLNASCAVLKQLLEGSEDTQEYHWLGAVHVKDVAESQILLFETPSASGRYLCTNGIYQFGDFAERVSKLFPEFPVHRFVGETQQGLTACKDAAKRLIELGLVFTPVEDAVRETVESLKAKGFLKHKASQS >Manes.05G112200.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11260047:11266001:-1 gene:Manes.05G112200.v8.1 transcript:Manes.05G112200.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRYSAEGKGLVFSDEIDLSIDSFGRSRKSFTRWDGDSVENMEFIDLGFSEMPIKPFNGNNTGRLADGKEAPNSKFLKERSVVSSTSPNFQAKKTRTMSSRSQTPICQVYGCHKDLSSLKDYNKRHKVCEVHSKTPKVIVNGVEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPQFGALSGTKYLGTSMPKRASFLFPNILPGGILYPERYEETNCCRPVKLEDISINSTNGQLVPNSFLHLHTNGIQNTTGISLSAIEELTVYNTVSDIHELSRVSNSSCALSLLSAESQNLGHSAGIIMAMPFISQARGISDKTFGVESSDGCLRIFIEWSNKGILCK >Manes.05G112200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11260047:11266523:-1 gene:Manes.05G112200.v8.1 transcript:Manes.05G112200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRYSAEGKGLVFSDEIDLSIDSFGRSRKSFTRWDGDSVENMEFIDLGFSEMPIKPFNGNNTGRLADGKEAPNSKFLKERSVVSSTSPNFQAKKTRTMSSRSQTPICQVYGCHKDLSSLKDYNKRHKVCEVHSKTPKVIVNGVEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPQFGALSGTKYLGTSMPKRASFLFPNILPGGILYPERYEETNCCRPVKLEDISINSTNGQLVPNSFLHLHTNGIQNTTGISLSAIEELTVYNTVSDIHELSRVSNSSCALSLLSAESQNLGHSAGIIMAMPFISQARGISDKTFGVESSDGYVPNGFHSSGMNTIKANHMGSFTVPCASYAAGLQVEPDGFLPDSDILNAKYCVSAEDGSTVDLLQLSSHLHRVEQQRNSVQVKNEIEDFPVSLPHTGHERNKVCK >Manes.05G112200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11260044:11266542:-1 gene:Manes.05G112200.v8.1 transcript:Manes.05G112200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRYSAEGKGLVFSDEIDLSIDSFGRSRKSFTRWDGDSVENMEFIDLGFSEMPIKPFNGNNTGRLADGKEAPNSKFLKERSVVSSTSPNFQAKKTRTMSSRSQTPICQVYGCHKDLSSLKDYNKRHKVCEVHSKTPKVIVNGVEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPQFGALSGTKYLGTSMPKRASFLFPNILPGGILYPERYEETNCCRPVKLEDISINSTNGQLVPNSFLHLHTNGIQNTTGISLSAIEELTVYNTVSDIHELSRVSNSSCALSLLSAESQNLGHSAGIIMAMPFISQARGISDKTFGVESSDGCLRIFIEWSNKGILCK >Manes.S029952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2427882:2428043:1 gene:Manes.S029952.v8.1 transcript:Manes.S029952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.03G186400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30673905:30678229:1 gene:Manes.03G186400.v8.1 transcript:Manes.03G186400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKICFSFSRSANLHSSFFHIHTPGSQISHRPSSRLFTCNRFSTSYTKMGDTHKPKSTIPQLDKATKPELLRALETSLGSSFSRDPICPPPNPLVIVISGPSGVGKDAVIRKLREGRENLHFVVTATSRPMRPGEVDGKDYYFVSKEEFLSMVEKNELLEYALVYGDYKGIPKKHIREYMGKGYDIVLRVDIQGAQTLRKILGNSAVFIFLVAENELELVERLIDRKTETAEALLVRIATAREEVQHAKHFDYVVVNGEGKLDNAVKLVESIIDAEKAKEKWGNWPEAGALAGLFAH >Manes.03G186400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30673904:30678229:1 gene:Manes.03G186400.v8.1 transcript:Manes.03G186400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKICFSFSRSANLHSSFFHIHTPGSQISHRPSSRLFTCNRFSTSYTKMGDTHKPKSTIPQLDKATKPELLRALETSLGSSFSRDPICPPPNPLVIVISGPSGVGKDAVIRKLREGRENLHFVVTATSRPMRPGEVDGKDYYFVSKEEFLSMVEKNELLEYALVYGDYKGIPKKHIREYMGKGYDIVLRVDIQGAQTLRKILGNSAVFIFLVAENELELVERLIDRKTETAEALLVRIATAREEVQHAKHFDYVVVNGEGKLDNAVKLVESIIDAEKAKVRQRRAVI >Manes.17G001483.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:1561435:1561881:1 gene:Manes.17G001483.v8.1 transcript:Manes.17G001483.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAESLIEFQRKSFKDNSKKPDRDNDSEWDSPRHHKDSRRDETTKENEVKRDKPRVDRGKEKVGDSPRPLIKYFICDGPHRVFNCAKCNSLVALIKEMQEEEKEQGGVASIGLLSTIKTEKKDLLKGRIYVQAKVLGKKIKAMEDWG >Manes.12G075200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8566499:8570553:1 gene:Manes.12G075200.v8.1 transcript:Manes.12G075200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLRRLLMARIRLLFVVFIVCVPMEVMGKAGNANVSSSRPSVVNIGALFTLNSVIGRAAKRALAAAVDDVNSDSSILKGTKLNFIIVDTNCSGFIGTMEALRLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYLIRTTQSDYFQMFAIADLVTYFGWREVIAVFVDDDYGRSGISVLGDALAKKRSKISYKAAFNPGATKNAINDLLVEINLMESRVYVVHVNPDSGLQIFSVAQKLGMMSKGYVWIATDWLPSVLDSTEPVDTNTMNLLQGVVALRHYTPDTDMKTRFLSRWNNLKYKDRKGPAGFNSYALFAYDSVWLAARALDAFFNEGGIVSFSDDPKLQDGNESSLNLSLLRVFNEGQQYLQTLLRMNFTGVSGQIQFDPDKNLVHPAYDIINIGGTGSRRIGYWSNHSGLSIVPPEILYGKPSNTSPSNQHLYTTIWPGENPEVPRGWVFPNNGKPLRIAVPNRASYRDFVSKDKNPPGVKGYCIDVFEAAINLLPYPVPRTYILYGDGKRNPSYNGLVDAVAQNTYDAAVGDIAVVTNRTKIVDFTQPYMESGLVVVAPVKEVKSSAWAFLKPFTVQMWCVTGAFFLLVGTVVWILEHRINHEFRGPPRQQLITVFWFSFSTMFFSHRENTASTLGRLVVIIWLFVVLIINSSYTASLTSILTVQELTSGIGGIDSLISSSEPIGVQDGSFTWNYLVQELNIAESRLVKLKNQDEYFSALKLGPKSGGVAAIVDELPYIELFLSDSNCAFRTVGQEFTKSGWGFAFQKDSPLAVDLSTAILQLSENGDLQKIHNKWLTRAECSMQINEVDSSRLSLSSFWGLFLICGLACFIALTIFFCRVLCQYRKFAVEEGQVAEVEEIQPARPRRALFSGSFKDWIDFVDRKETEIKEMLGHKSNDNKRQASPSIEEHSHA >Manes.12G075200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8566499:8571328:1 gene:Manes.12G075200.v8.1 transcript:Manes.12G075200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLRRLLMARIRLLFVVFIVCVPMEVMGKAGNANVSSSRPSVVNIGALFTLNSVIGRAAKRALAAAVDDVNSDSSILKGTKLNFIIVDTNCSGFIGTMEALRLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYLIRTTQSDYFQMFAIADLVTYFGWREVIAVFVDDDYGRSGISVLGDALAKKRSKISYKAAFNPGATKNAINDLLVEINLMESRVYVVHVNPDSGLQIFSVAQKLGMMSKGYVWIATDWLPSVLDSTEPVDTNTMNLLQGVVALRHYTPDTDMKTRFLSRWNNLKYKDRKGPAGFNSYALFAYDSVWLAARALDAFFNEGGIVSFSDDPKLQDGNESSLNLSLLRVFNEGQQYLQTLLRMNFTGVSGQIQFDPDKNLVHPAYDIINIGGTGSRRIGYWSNHSGLSIVPPEILYGKPSNTSPSNQHLYTTIWPGENPEVPRGWVFPNNGKPLRIAVPNRASYRDFVSKDKNPPGVKGYCIDVFEAAINLLPYPVPRTYILYGDGKRNPSYNGLVDAVAQNTYDAAVGDIAVVTNRTKIVDFTQPYMESGLVVVAPVKEVKSSAWAFLKPFTVQMWCVTGAFFLLVGTVVWILEHRINHEFRGPPRQQLITVFWFSFSTMFFSHRENTASTLGRLVVIIWLFVVLIINSSYTASLTSILTVQELTSGIGGIDSLISSSEPIGVQDGSFTWNYLVQELNIAESRLVKLKNQDEYFSALKLGPKSGGVAAIVDELPYIELFLSDSNCAFRTVGQEFTKSGWGFAFQKDSPLAVDLSTAILQLSENGDLQKIHNKWLTRAECSMQINEVDSSRLSLSSFWGLFLICGLACFIALTIFFCRVLCQYRKFAVEEGQVAEVEEIQPARPRRALFSALFGDLGLLFNYCNFGSPT >Manes.12G075200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8566509:8570553:1 gene:Manes.12G075200.v8.1 transcript:Manes.12G075200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLRRLLMARIRLLFVVFIVCVPMEVMGKAGNANVSSSRPSVVNIGALFTLNSVIGRAAKRALAAAVDDVNSDSSILKGTKLNFIIVDTNCSGFIGTMEALRLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYLIRTTQSDYFQMFAIADLVTYFGWREVIAVFVDDDYGRSGISVLGDALAKKRSKISYKAAFNPGATKNAINDLLVEINLMESRVYVVHVNPDSGLQIFSVAQKLGMMSKGYVWIATDWLPSVLDSTEPVDTNTMNLLQGVVALRHYTPDTDMKTRFLSRWNNLKYKDRKGPAGFNSYALFAYDSVWLAARALDAFFNEGGIVSFSDDPKLQDGNESSLNLSLLRVFNEGQQYLQTLLRMNFTGVSGQIQFDPDKNLVHPAYDIINIGGTGSRRIGYWSNHSGLSIVPPEILYGKPSNTSPSNQHLYTTIWPGENPEVPRGWVFPNNGKPLRIAVPNRASYRDFVSKDKNPPGVKGYCIDVFEAAINLLPYPVPRTYILYGDGKRNPSYNGLVDAVAQNTYDAAVGDIAVVTNRTKIVDFTQPYMESGLVVVAPVKEVKSSAWAFLKPFTVQMWCVTGAFFLLVGTVVWILEHRINHEFRGPPRQQLITVFWFSFSTMFFSHRENTASTLGRLVVIIWLFVVLIINSSYTASLTSILTVQELTSGIGGIDSLISSSEPIGVQDGSFTWNYLVQELNIAESRLVKLKNQDEYFSALKLGPKSGGVAAIVDELPYIELFLSDSNCAFRTVGQEFTKSGWGFAFQKDSPLAVDLSTAILQLSENGDLQKIHNKWLTRAECSMQINEVDSSRLSLSSFWGLFLICGLACFIALTIFFCRVLCQYRKFAVEEGQVAEVEEIQPARPRRALFSGSFKDWIDFVDRKETEIKEMLGHKSNDNKRQASPSIEEHSHA >Manes.12G075200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8566509:8570553:1 gene:Manes.12G075200.v8.1 transcript:Manes.12G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLRRLLMARIRLLFVVFIVCVPMEVMGKAGNANVSSSRPSVVNIGALFTLNSVIGRAAKRALAAAVDDVNSDSSILKGTKLNFIIVDTNCSGFIGTMEALRLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYLIRTTQSDYFQMFAIADLVTYFGWREVIAVFVDDDYGRSGISVLGDALAKKRSKISYKAAFNPGATKNAINDLLVEINLMESRVYVVHVNPDSGLQIFSVAQKLGMMSKGYVWIATDWLPSVLDSTEPVDTNTMNLLQGVVALRHYTPDTDMKTRFLSRWNNLKYKDRKGPAGFNSYALFAYDSVWLAARALDAFFNEGGIVSFSDDPKLQDGNESSLNLSLLRVFNEGQQYLQTLLRMNFTGVSGQIQFDPDKNLVHPAYDIINIGGTGSRRIGYWSNHSGLSIVPPEILYGKPSNTSPSNQHLYTTIWPGENPEVPRGWVFPNNGKPLRIAVPNRASYRDFVSKDKNPPGVKGYCIDVFEAAINLLPYPVPRTYILYGDGKRNPSYNGLVDAVAQNTYDAAVGDIAVVTNRTKIVDFTQPYMESGLVVVAPVKEVKSSAWAFLKPFTVQMWCVTGAFFLLVGTVVWILEHRINHEFRGPPRQQLITVFWFSFSTMFFSHRENTASTLGRLVVIIWLFVVLIINSSYTASLTSILTVQELTSGIGGIDSLISSSEPIGVQDGSFTWNYLVQELNIAESRLVKLKNQDEYFSALKLGPKSGGVAAIVDELPYIELFLSDSNCAFRTVGQEFTKSGWGFAFQKDSPLAVDLSTAILQLSENGDLQKIHNKWLTRAECSMQINEVDSSRLSLSSFWGLFLICGLACFIALTIFFCRVLCQYRKFAVEEGQVAEVEEIQPARPRRALFSGSFKDWIDFVDRKETEIKEMLGHKSNDNKRQASPSIEEHSHA >Manes.01G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30816669:30818251:-1 gene:Manes.01G109500.v8.1 transcript:Manes.01G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVNVFLRKKFKTSRVKILAKLAISRAQILWKQRQVRYSHAKSDVIELLKLGYQERALLRVEHVMKEQNMMDAFAMIMDYCSLLIDRVMLLKKNKECPEELKEAISSLIFASSRCGEFPELQKIRGIFVSRFGREFAAHAIELRNNSGVNPKIIQKLSTQWPSLDSRSEVLKDIASENGIILNMEEDEEKFEDDELSEDENDVLPKDLKTRKKYRDVAAAALEAFESAAYAAAAARAAVELSRPKYEDTDR >Manes.11G162600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32752997:32754511:1 gene:Manes.11G162600.v8.1 transcript:Manes.11G162600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGSLQHIFENPLPETAPLLESLSSWKQIKPVKPIQQSSFADIFGELHFKENSELLSSPPSFPVSCFSSPSSSCIDSIPHPATSKLDKLDSLDNEYESKKSPSQDFFSSTPKNHQYIGGHNNGESFSQRKYESLQLCTEGLGFESFDDVYFNNEINECWNDQEEKASITRHSTTQNLSGEIRRSRQNGRAFPPPISCIGKSGKPWVSFKSYRHDGRFVLKQVRIPSQELLHACREDGRLKLHFVQPSDEILEEEDGDEEVGGEGIYIEDTEQEENEETGNKNDEEDDR >Manes.12G143500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34970571:34972672:-1 gene:Manes.12G143500.v8.1 transcript:Manes.12G143500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYSSFLQPPIMDYPSDPNPNNLPPHQNQYFHQNILDPFPAEFQLSDYLMLDADINGFADDSTTSEQSMISSEQVFSGGCAGGDGGATSRNTSSKCKKGMKKDKSEVGNRVAFRTKSDLEIMDDGFKWRKYGKKSVKNSPNPRNYYKCSSGGCNVKKRVERDREDSSYVITTYEGIHNHESPCVVYYNQIPADGWSLQPSSSPSQHSSSSS >Manes.12G143500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34970571:34972672:-1 gene:Manes.12G143500.v8.1 transcript:Manes.12G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYSSFLQPPIMDYPSDPNPNNLPPHQNQYFHQNILDPFPAEFQLSDYLMLDADINGFADDSTTSEQSMISSEQVFSGGCAGGDGGATSRNTSSNRCKKGMKKDKSEVGNRVAFRTKSDLEIMDDGFKWRKYGKKSVKNSPNPRNYYKCSSGGCNVKKRVERDREDSSYVITTYEGIHNHESPCVVYYNQIPADGWSLQPSSSPSQHSSSSS >Manes.02G166200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13043544:13045465:-1 gene:Manes.02G166200.v8.1 transcript:Manes.02G166200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIIRSFTSGFSNVISNLFTSPLDFLAGKSCSSVCGPIWDFICYIENFCISNLLKMAMVLALFYMVLLFLYLLYKLGICECVVRSLCKTLWACLVSWLSLWEHCCFFLCDTSTVLRRISHRHRRKRDFSSDELDTSEDDCGYELSRTTEMRRSLSREMREHRRVHRLRKSLRPRSHRIRVGFRKESEFGRYGRNYQLHNKHRNHISTVHNIRVIRSSMFARKGMNLRPKVYSRFNSINVQQPREEDVLIWNAFEN >Manes.04G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28593066:28597620:1 gene:Manes.04G084500.v8.1 transcript:Manes.04G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQATKLREQVAKQQQAVLKQFGAGGYGSSDNVITDEAELHQHQKLEKLYISTRAGKHFQRDLVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGNTLSKAALNYGRARAHMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQVKVREMPGNPELAMKLEAAESKLQDLKSNMAVLGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERTYHQRVIQILDQLENEMISERQRIEAPPAPIVENNMPPPPSYEEVNGVYAAQSHNGSTDSMGYFLGEVMYSYQAESDVELTLSVGDYVVVRKVWNSLK >Manes.04G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28593066:28597620:1 gene:Manes.04G084500.v8.1 transcript:Manes.04G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQATKLREQVAKQQQAVLKQFGAGGYGSSDNVITDEAELHQHQKLEKLYISTRAGKHFQRDLVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGNTLSKAALNYGRARAHMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQVKVREMPGNPELAMKLEAAESKLQDLKSNMAVLGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERTYHQRVIQILDQLENEMISERQRIEAPPAPIVENNMPPPPSYEEVNGVYAAQSHNGSTDSMGYFLGEVMYSYQAESDVELTLSVGDYVVVRKVTNNGWAEGECKGKAGWFPFGYIERRERVLASKIAEVF >Manes.04G105900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31080094:31081430:1 gene:Manes.04G105900.v8.1 transcript:Manes.04G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFFVLEVRIHRFCRKLLRNLQGDLIEIGTRPLAPTSAFLFQIHSYDLFSEQPCKSRLDYLFSSLNLDETLRDFLACSVACFLVFIANKQPFLGRHVVADTDVALEYLIAGDPIDRTMIIDDEPGEVVARGASISALNKLKKQRSFTKSSSDGDGDDCVICLEGLSGSREALTKMTCNHIFHERCIFGWLKIRNSCPTCRWELED >Manes.05G118200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12226702:12234289:-1 gene:Manes.05G118200.v8.1 transcript:Manes.05G118200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRGWRAVPKVRQVGFFTPNEPLPPARTQSGPPDSSSSPLSNSPAGNSLSPVMIPPPRHLSDNLAHRATSPLPVPEPSAYRRPIPGGDHVTVVGSYNPNEMLLGASSPSSRIGDGEFSEESSLGWFRRSNSAKFASSFPGGGFDLTSIRSSETLEVGVKKPVGVKKPVEVPEKSGMAAADLQIESQSSSKPLKEKTTKAERRALQESQRAAKAAAKGEATGKPAISSEGAATSKPVKQQQSHKKGAPSSAASVAAFDRKGVDRPPEKEKKKEVPPPRMQFDDKNRVEKAKKRAVVNQTEARNRVELFRHLPQYEHGTQLPDLEAKIFQLDPMHPAIYKVGLQYLAGDISGDNARCIAMLQAFQEVIKDYSTPPEKSLTRDLTAKLGSYISFLIECRPLSMSMGNAIRFLKSRIAKLPLSLSESEAKESLCSDIDRFINEKIVLADKVIVRHAASKVRDGDVLLTYGSSCVVEMILLYAHELGKQFRVVIVDSRPKLEGQALLRRLVAKGLSCTYSHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLICCEAYKFHERVQLDSICSNELGDPDAIAKVSGRMDVNYLDNLANKENLQLLNLISHLQVSLLSSVNIGENTCGYNFTWTFIIVGQIRCFMPSFS >Manes.05G118200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12226703:12234289:-1 gene:Manes.05G118200.v8.1 transcript:Manes.05G118200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRGWRAVPKVRQVGFFTPNEPLPPARTQSGPPDSSSSPLSNSPAGNSLSPVMIPPPRHLSDNLAHRATSPLPVPEPSAYRRPIPGGDHVTVVGSYNPNEMLLGASSPSSRIGDGEFSEESSLGWFRRSNSAKFASSFPGGGFDLTSIRSSETLEVGVKKPVGVKKPVEVPEKSGMAAADLQIESQSSSKPLKEKTTKAERRALQESQRAAKAAAKGEATGKPAISSEGAATSKPVKQQQSHKKGAPSSAASVAAFDRKGVDRPPEKEKKKEVPPPRMQFDDKNRVEKAKKRAVVNQTEARNRVELFRHLPQYEHGTQLPDLEAKIFQLDPMHPAIYKVGLQYLAGDISGDNARCIAMLQAFQEVIKDYSTPPEKSLTRDLTAKLGSYISFLIECRPLSMSMGNAIRFLKSRIAKLPLSLSESEAKESLCSDIDRFINEKIVLADKVIVRHAASKVRDGDVLLTYGSSCVVEMILLYAHELGKQFRVVIVDSRPKLEGQALLRRLVAKGLSCTYSHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLICCEAYKFHERVQLDSICSNELGDPDAIAKVSGRMDVNYLDNLANKENLQLLNLIYDATPSEYISMIITDYGMIPPTSIPVIVREYRREHLWI >Manes.05G118200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12229898:12234289:-1 gene:Manes.05G118200.v8.1 transcript:Manes.05G118200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRGWRAVPKVRQVGFFTPNEPLPPARTQSGPPDSSSSPLSNSPAGNSLSPVMIPPPRHLSDNLAHRATSPLPVPEPSAYRRPIPGGDHVTVVGSYNPNEMLLGASSPSSRIGDGEFSEESSLGWFRRSNSAKFASSFPGGGFDLTSIRSSETLEVGVKKPVGVKKPVEVPEKSGMAAADLQIESQSSSKPLKEKTTKAERRALQESQRAAKAAAKGEATGKPAISSEGAATSKPVKQQQSHKKGAPSSAASVAAFDRKGVDRPPEKEKKKEVPPPRMQFDDKNRVEKAKKRAVVNQTEARNRVELFRHLPQYEHGTQLPDLEAKIFQLDPMHPAIYKVGLQYLAGDISGDNARCIAMLQAFQEVIKDYSTPPEKSLTRDLTAKLESLCSDIDRFINEKIVLADKVIVRHAASKVRDGDVLLTYGSSCVVEMILLYAHELGKQFRVVIVDSRPKLEGQALLRRLVAKGLSCTYSHINAVSYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLICCEAYKFHERVQLDSICSNELGDPDAIAKVSGRMDVNYLDNLANKENLQLLNLM >Manes.02G096900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7553238:7555191:-1 gene:Manes.02G096900.v8.1 transcript:Manes.02G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQVLRMNGGMGDTSYALNSSVQQKVISMTKPIIQEAMINLYCSIQPKHLAIADLGCSSGPNTLFAVSELIKVVDELCGNLGCQSPEYQVLLNDLPGNDFNTIFKSLPEFQNRMKKQLKAESGPLFFTGVPGSFYGRLFPTNSLHFVHSSYSLQWLSKVPDGLEGNEGNIYMASNSPLSVLKAYYDQFQKDFSFFLNCRSKELVTGGRMVLTFLGRRSEDPSSKECCYIWELLAMALKEMVLEGIIEEEKFNSFNIPQYTPSPFEVQSEIEKKGSFSIDRLEVSEVNWDAYHNEFNLSDAFKDGGYNVARCMRAVSEPLLIGHFSFRKEIIDDIFRRYKAILADRMAKEKTEFVNVVVAMTKRG >Manes.14G161000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:23547309:23549508:-1 gene:Manes.14G161000.v8.1 transcript:Manes.14G161000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPTIIPNSRARFNNSQEFDVLPRVESCKSVREMKQIHAFMIKAKANRAPLSFRLLCAKITSVLQHVDLVSSKEIIFSYACFLVKLCGQNYVFNFNSIIQSLASCKNSFNATMGMYREMLLEGFFPDTYTIPHVLKACSESESLREGQQIHAYSIKTSLASNVFVKNTLMRLYAVCGIIKSVEKLFDEGPDRDLVSWTTLIQGYAKMDYSSAIDAFFRMNWIADEMTLVVVLSACSKLRDLNSGKKIHAYMDHHKIGVNLDVFLGNALVDMYLKCGQIDFARQVFDEMPTKNVVSWNSMISGLAQQGQFKKALDMFRMMQNMGLKPDSVTVVGVLNSCANLGMLELGKWMHSYINKSYIKADGYVGNALVDMYAKCGSIDQALEVFQAMKRRDVYSYTAMIVGLAMHGEARRALDIFSQMLKMGIKPDLVTFVGVLSACSHAGLVEEGRRHFEDMSRLYDLEPKTEHYGCMVDLLGRAGLISEAQEFINKMPILPDAFIWGSLLAACKIHAKVELGESAMEKLVEMEPSRDGAYILMSNIYSSANRWRDALKWRKEMKKRNIKKTPGCSSIEIDGMVHEFRKGEKSHPRSKELYKLLQVLTHQLRNYGLDQNFVWY >Manes.14G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8285874:8288686:-1 gene:Manes.14G100000.v8.1 transcript:Manes.14G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVMATTQALLCNNCYPNSFSPATKPYNSKLSVSRHYRLYKNDENCKAWSITKPLFSDQKIGSKLMNLVVCYAARRKPTISNATISSDEGNDYKLGKVLQIILWVLEGVYILWLFLLPYAPGDPVWAISKETVNSLIGLSLNFFFILPLMNSVGIHLIDAPVLHPVSEGLFNFVIGWTFMFAPLLFTDYKRNRYQGSLDVLWGLQMFLTNTFLIPYMAIRLNEADAESTPRKHSQLGTVMTNGAPIVGLIGGFACLVSALWAFYGRMDGNFGSMADRWEFLFSYLGSERLAYAFIWDICLYIIFQPWLIGENLQNIQESKVDVVNFLRFIPVVGLVAYLLCLKLDEEL >Manes.04G079700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28174255:28174554:1 gene:Manes.04G079700.v8.1 transcript:Manes.04G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILSAKKILLRSPSANQTASVAMEVPKGYLAVYVGEKPMKRFVIPVSYLNTPSFQDLLIHAEEEFGYDHPMGGITIPCSENMFIDVISGLNCS >Manes.02G208200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23704824:23710819:1 gene:Manes.02G208200.v8.1 transcript:Manes.02G208200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKPNAGALTNFEVLDFLKARGASKDSSRVIAPIASSEYKVYDYLVETPACRQTREQIHEFLEKCKPYKLAKAEILNIINIVPRELVEIDPIIEHSEMRLGDQVEELLDLVREVFPPPDEPTSEAEKDREETENEEQNEDMNDTSAGEHIDGDRKEIVDGEPRETS >Manes.02G208200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23704812:23710819:1 gene:Manes.02G208200.v8.1 transcript:Manes.02G208200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKPNAGALTNFEVLDFLKARGASKDSSRVIAPIASSEYKVYDYLVETPACRQTREQIHEFLEKCKPYKLAKAEILNIINIVPRELVEIDPIIEHSEMRLGDQVEELLDLVREVFPPPDEPTSEAEKDREETENEEQNEDMNDTSAGEHIDGDRKEIVDGEPRETS >Manes.02G208200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23706899:23709701:1 gene:Manes.02G208200.v8.1 transcript:Manes.02G208200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKPNAGALTNFEVLDFLKARGASKDSSRVIAPIASSEYKVYDYLVETPACRQTREQIHEFLEKCKPYKLAKAEILNIINIVPRELVEIDPIIEHSEMRLGDQVEELLDLVREVFPPPDEPTSEAEKDREETENEEQNEDMNDTSAGEHIDGDRKEIVDGEPRETS >Manes.02G208200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23704812:23710819:1 gene:Manes.02G208200.v8.1 transcript:Manes.02G208200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKPNAGALTNFEVLDFLKARGASKDSSRVIAPIASSEYKVYDYLVETPACRQTREQIHEFLEKCKPYKLAKAEILNIINIVPRELVEIDPIIEHSEMRLGDQVEELLDLVREVFPPPDEPTSEAEKDREETENEEQNEDMNDTSAGEHIDGDRKEIVDGEPRETS >Manes.02G208200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23704837:23710819:1 gene:Manes.02G208200.v8.1 transcript:Manes.02G208200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKKPNAGALTNFEVLDFLKARGASKDSSRVIAPIASSEYKVYDYLVETPACRQTREQIHEFLEKCKPYKLAKAEILNIINIVPRELVEIDPIIEHSEMRLGDQVEELLDLVREVFPPPDEPTSEAEKDREETENEEQNEDMNDTSAGEHIDGDRKEIVDGEPRETS >Manes.11G134600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29977646:29979273:-1 gene:Manes.11G134600.v8.1 transcript:Manes.11G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSIAIGSPAEAGQPDALKAALAEFISMLIFVFAGEGSGMAFGKLTNNGSTTPAGLVAASLAHALALFVAVSVGANISGGHVNPAVTFGAFVGGHITLIRSVLYWIAQLLGSVVACLLLKFATGGLETSAFALSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKNGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFVGAGIAAVVYEVFFISPSTHEQLPSAEF >Manes.05G178100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29470053:29475488:-1 gene:Manes.05G178100.v8.1 transcript:Manes.05G178100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQNDSATISDDSTIKADADFKTETAKEFPCSYEESEKVLAYHNQQIYIAKVVKAKYEFNRWKYYVHYPGWNKNWDEWVGVERLMKYTEANIQKYLNKKKDLEKITKAARASQIKSKSSNVPRSKKRKFDPFNKEKDVMPWEKLVSLQIPPMLKKQLVDDCEFITHLGKLVKLPRCPNVDNIMKKYLDYRLKKDGSISEAVGEITKGLCSYFNKALPVMLLYKNERKQYTNAIKENVSPSTIYGAEHLLRLFVKLPELLAYANIEEDTLIELQQKLVDFLKFLQKNQSAFFLSTYHVAENTETSTTREDD >Manes.05G178100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29469884:29475488:-1 gene:Manes.05G178100.v8.1 transcript:Manes.05G178100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQNDSATISDDSTIKADADFKTETAKEFPCSYEESEKVLAYHNQQIYIAKVVKAKYEFNRWKYYVHYPGWNKNWDEWVGVERLMKYTEANIQKYLNKKKDLEKITKAARASQIKSKSSNVPRSKKRKFDPFNKEKDVMPWEKLVSLQIPPMLKKQLVDDCEFITHLGKLVKLPRCPNVDNIMKKYLDYRLKKDGSISEAVGEITKGLCSYFNKALPVMLLYKNERKQYTNAIKENVSPSTIYGAEHLLRLFVKLPELLAYANIEEDTLIELQQKLVDFLKFLQKNQSAFFLSTYHVAENTETSTTREDD >Manes.05G178100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29467537:29475488:-1 gene:Manes.05G178100.v8.1 transcript:Manes.05G178100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQNDSATISDDSTIKADADFKTETAKEFPCSYEESEKVLAYHNQQIYIAKVVKAKYEFNRWKYYVHYPGWNKNWDEWVGVERLMKYTEANIQKYLNKKKDLEKITKAARASQIKSKSSNVPRSKKRKFDPFNKEKDVMPWEKLVSLQIPPMLKKQLVDDCEFITHLGKLVKLPRCPNVDNIMKKYLDYRLKKDGSISEAVGEITKGLCSYFNKALPVMLLYKNERKQYTNAIKENVSPSTIYGAEHLLRLFVKLPELLAYANIEEDTLIELQQKLVDFLKFLQKNQSAFFLSTYHVAENTETSTTREDD >Manes.05G178100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29470143:29475488:-1 gene:Manes.05G178100.v8.1 transcript:Manes.05G178100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLAKFWFHSVQFEVVKAKYEFNRWKYYVHYPGWNKNWDEWVGVERLMKYTEANIQKYLNKKKDLEKITKAARASQIKSKSSNVPRSKKRKFDPFNKEKDVMPWEKLVSLQIPPMLKKQLVDDCEFITHLGKLVKLPRCPNVDNIMKKYLDYRLKKDGSISEAVGEITKGLCSYFNKALPVMLLYKNERKQYTNAIKENVSPSTIYGAEHLLRLFVKLPELLAYANIEEDTLIELQQKLVDFLKFLQKNQSAFFLSTYHVAENTETSTTREDD >Manes.05G178100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29467115:29475488:-1 gene:Manes.05G178100.v8.1 transcript:Manes.05G178100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQNDSATISDDSTIKADADFKTETAKEFPCSYEESEKVLAYHNQQIYIAKVVKAKYEFNRWKYYVHYPGWNKNWDEWVGVERLMKYTEANIQKYLNKKKDLEKITKAARASQIKSKSSNVPRSKKRKFDPFNKEKDVMPWEKLVSLQIPPMLKKQLVDDCEFITHLGKLVKLPRCPNVDNIMKKYLDYRLKKDGSISEAVGEITKGLCSYFNKALPVMLLYKNERKQYTNAIKENVSPSTIYGAEHLLRLFVKLPELLAYANIEEDTLIELQQKLVDFLKFLQKNQSAFFLSTYHVAENTETSTTREDD >Manes.15G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7054012:7056244:-1 gene:Manes.15G090300.v8.1 transcript:Manes.15G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYDDDPFGTSSSRDAKVDSVHQLLEEGWFFGKLLTRKPKSSLMLRCYSDPSPNFDQAILAENNPFVDKSSPKEVAGNLIRAPVLPPCIGRKEEKQLTCRQLSGKILVQPPIKPTTRTVGIQENRRSNVITGQPSKHKLLRTPSLPPCIGREEVEEEEEEEENDDNITMSRLIRQAMPPRHTSKGMIQSSCTRRYRPPSNRKTQTIDTVKVSSKEKGNLGCSSQRNLHRSVSNIESQEVQGFKDLGFTFNKQGLNPSVVGILPGLQDDQKRTQDHQDQDKVRRKSQKAWHVQKQSCGPPIPIWAPKNSAQDIKAQLKYWARAVASNVR >Manes.04G019100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2265328:2268635:1 gene:Manes.04G019100.v8.1 transcript:Manes.04G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFGSSRFVRVEVDPDESNPPTVTVEDSTNITSKPDGTRVTETKSRRGIILKKGKSFKERVLSRVFSEDYDTTKNKILDPKGQTIYKWNMTFLVACLVSLFVDPLFYYVPIISGDLCIDMAFNLKIILTIIRSIADVFYVIHIFVRFHTAYVAPSSRVLGRGELVINSSKIALRYLSHGFLIDVIAALPFPQILIWFIIPNIDGWGIMHMKNIIWLLIMIQYLPRIFLIFPLWSHIADAAGVVTQKAWTGAVYNLFLYMVGSHVSGACYYLLSLERIQDCWHSVCNLENSHCPNGFFDCRQVKNPRRSEWFLSTNISNQCNASMSGNSPYQYGIYIGVLQLNIGSAAFMNKYFYCFWWGLKNVSTIGQNLITSIRVGENIFVTIVGIIGLSLFALLIGNMQRYLQSTTKRLEEWKIKRADKEQWMHQRHLPIELRQSVRNYDQYKWLATGGVDEETLIQSFPVDLRRKVKRHLCFDIVRRTPLFDEMDETMLDAICERLKPALCIQGICIVREGDPVKQMLFIIRGHLDSYTGNGQLNLCRIGPGDFCGEELLTWALDPRPRVPYPSSTRTVKATNEVEAFALLAQDLKFVALQFRRLNSKQLRHKFRFYSHQWRTWAAFTIQAAWHRHRKFKEMGEPLEPGMPPPGSFWSVLAESLVASARWTTKQKQSAAGSVSDAASSIEKPEEPDFSDEEWMDN >Manes.04G019100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2265608:2268635:1 gene:Manes.04G019100.v8.1 transcript:Manes.04G019100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVACLVSLFVDPLFYYVPIISGDLCIDMAFNLKIILTIIRSIADVFYVIHIFVRFHTAYVAPSSRVLGRGELVINSSKIALRYLSHGFLIDVIAALPFPQILIWFIIPNIDGWGIMHMKNIIWLLIMIQYLPRIFLIFPLWSHIADAAGVVTQKAWTGAVYNLFLYMVGSHVSGACYYLLSLERIQDCWHSVCNLENSHCPNGFFDCRQVKNPRRSEWFLSTNISNQCNASMSGNSPYQYGIYIGVLQLNIGSAAFMNKYFYCFWWGLKNVSTIGQNLITSIRVGENIFVTIVGIIGLSLFALLIGNMQRYLQSTTKRLEEWKIKRADKEQWMHQRHLPIELRQSVRNYDQYKWLATGGVDEETLIQSFPVDLRRKVKRHLCFDIVRRTPLFDEMDETMLDAICERLKPALCIQGICIVREGDPVKQMLFIIRGHLDSYTGNGQLNLCRIGPGDFCGEELLTWALDPRPRVPYPSSTRTVKATNEVEAFALLAQDLKFVALQFRRLNSKQLRHKFRFYSHQWRTWAAFTIQAAWHRHRKFKEMGEPLEPGMPPPGSFWSVLAESLVASARWTTKQKQSAAGSVSDAASSIEKPEEPDFSDEEWMDN >Manes.17G086900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29432562:29434053:-1 gene:Manes.17G086900.v8.1 transcript:Manes.17G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKSRSYQVSATPVTMLAHLILVAVATLVLVWLLHFEEGFAFVSHTKIKILNVHTFLMIVGFILVTGEAIMAYKTIPAKRKVQKAVHLVLHLIAMVAGALGVYTAFKYKHEIGAKDMVTLHSWLGIITISLFGLQWVLGFFSYVFPGAEMSAKASYMPWHVFGGMFIFFLAICTAQTGLLQRFKTLNQEGLIVNFTGLLLVLFAIGVGLSGFLPQGRGF >Manes.03G205600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32097163:32099916:1 gene:Manes.03G205600.v8.1 transcript:Manes.03G205600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLIRKRVIRIASQVLIILLLSEICIAINFSANFVFGDSLVDVGNNNYIISLSKANYFPNGIDFGRPTGRYTNGRTIVDIIGQEVGFEDLTPPYLAPTTAGPVVLKGVNYASGGGGILNLTGKIFGGRINLDAQIDNFENNRQDIISSIGAPAALNLIQRALFSVTIGSNDFINNYFTPVGLVPKRELIPPQVFVNTMIARFRLQLTRLYNLGARKIVVANVGPIGCIPFERDTNPSAGNDCVGFQNQLVQLYNKELRRLIEERRTSLVGSNFIYADVYRIVEDILQNYKSYGQRETNESFRVNYNFILGFFHY >Manes.03G205600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32097163:32099916:1 gene:Manes.03G205600.v8.1 transcript:Manes.03G205600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLIRKRVIRIASQVLIILLLSEICIAINFSANFVFGDSLVDVGNNNYIISLSKANYFPNGIDFGRPTGRYTNGRTIVDIIGQEVGFEDLTPPYLAPTTAGPVVLKGVNYASGGGGILNLTGKIFGGRINLDAQIDNFENNRQDIISSIGAPAALNLIQRALFSVTIGSNDFINNYFTPVGLVPKRELIPPQVFVNTMIARFRLQLTRLYNLGARKIVVANVGPIGCIPFERDTNPSAGNDCVGFQNQLVQLYNKELRRLIEERRTSLVGSNFIYADVYRIVEDILQNYKSYGFESGNASCCYVAGRFGGLVPCGPTSKVCVDRSKYVFWDPYHPSDAANVIIANRLTDGDLNDISPMNIRQLSQL >Manes.02G192400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15456137:15464452:-1 gene:Manes.02G192400.v8.1 transcript:Manes.02G192400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFDAVESSSSFSTNNGASLDGPDQSNHVHRDPRDLPSLMVSSCYPITLKFFDVCYRLKIEKKQKGRGSSNIRRILGHGPTQSNQTPSAMIQERTILNGITGMVSPGEILAVLGPSGSGKSTLLNALAGRLQGHGFTGTILANNKKLSKQTLKRTGFVTQDDILYPHLTVRETLIFCSLLRLPKTLSKKEKTSVVESVINELGLKKCENTIIGNSFIRGVSGGERKRVSIAHEMLINPSLLILDEPTSGLDSTAAHKLLLTLGSLAQKGKTIVASMHQPSSRVYQMFNSVLVLSEGRCMYFGKGSEAMGYFESVGFSPSFPMNPADFLLDLANGVCQLDGVSERDMPNIKQSLIASYNNVLAPKVKAVCMETTVTSTKETSLCGSHSSRGSRCCDRIGFATWFNQFSILLRRSLKERKHESFNTLRVFQVITAALLAGLMWWHSNFRDIQDRLGLLFFMSIFWGVFPSFNSVFAFPQERAIFMKERASGMYTLSSYFMSRIVGDLPMELILPTIFLTVAYWMAGLKPELGSFLLTLVVLLSYVLVSQGLGLAFGAAIMDAKQASTIVTVIMLAFVLTGGFYVHKVPSCVAWIKYISTTYYSYRLLISVQYGEGKQLSAILGCSHHKISEKASCKFLEEDVGGQISPGLCISVLILMFVGYRLLAYLALRRIKA >Manes.13G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3323400:3326482:-1 gene:Manes.13G024100.v8.1 transcript:Manes.13G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTQKDFPILSYLLFQLDPNSHPPLQQELEQSLRTQFPHLNNPKLLSSLTQAIPTSVFQTQVLLKALGPRPDPETVSTARIKMARVGESGEMDKEVEIYKAVVRMDEMHEEYVRQLREVEDRLVGIYRNAVGGFENDEVNEEVVAILKAAESGGVVERVDLSGRQLRLFPEAFGRLHGLLLLNLSHNQLEVIPDSIAGLVKLEELDVSSNLLVSFPDSIGLLRNLKVLNVSGNKLNALPESVALCSSLVELDASFNNLMSLPTNIGYGLGNLERLSIQLNKIRFLPPSLCEMKSLRYLDVHFNELHGLPHAIGRLTNLEVLNLSSNFSDLTELPETIGDLTNLRELNLSNNQIRALPISFGRLENLNKLNLDENPLVIPPKEIVHKGVPAVREFMQKRWLDILAAEQQRRVQESNQQQAQTGWLAWGNSMLHNIVSGVSGSVSEYLGGTKAPRDPYLDQQL >Manes.02G114600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8831622:8835791:-1 gene:Manes.02G114600.v8.1 transcript:Manes.02G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSESEDDEGIDSYRKGGYHAVRVGDQFAGGRYIAQRKLGWGQFSTVWLAYDTKSSNYVALKIQKSAAQFAQAALHEIEVLSAIANGDPSNSKCVVQLIDHFKHAGPNGQHHCMVLEFLGDSLLRLIRHSRYKGLQLNKVREICKCILICLDYMHRELGLIHSDLKPENILLYSTIDPAKDPIRSGLTPILQRPEGSLNGGATMNIIEKKLKRRARRAVAKISERRGSMGGAVKKPQKCLDGVDIRCKVVDFGNACWADKQFAEEIQTRQYRAPEVILRSGYSFSVDMWSFACTAFELATGDMLFAPKGGQGFSEDEDHLALMMELLGKIPRKIAVGGAQSKDFFDRYGDLKRIRRLKFWSLDRLLVDKYKFSENEAREFAEFLRPLLDFTPEKRPTAQQCLQHPWLNHRTCAQTENEGEVDKLHVGMSNFKLKVGK >Manes.09G159400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35487967:35498781:-1 gene:Manes.09G159400.v8.1 transcript:Manes.09G159400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRKGGTRATEDPEELARHPLQAIILADSFTTKFRPITLERPKVLLPLVNVPMINYTLAWLESAGVEEVFVFCCAHSRQVIDYLENSEWFSQPNFTVTTIESHNSISAGDALRLIYERNVIHGDFVLVSGDTVSNMSLTRAIQEHKERRKKDSNAVMTMVIKQSKPSPITHQSRLGTDELFMAIDPQTKQLLYYEEKADHLKGFISLENMLLADNPSICLHNDKQDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTYEIHSSYAARIDNYRSYDTISKDIIQRWTYPFVPDVTFFGNCATKVERQGMYRASEIEQSRSAQIGPFTVVGKGTRIGNNSKISNSVIGKNCTIGSNVSIVGSYIWDGVTIEDGCELRHAIICDGVIIKSGAVLQPGVVLSFKVVIGQQFVVPAYSKVSLLQQPTMQDSDEELEYADSNSGTLDSSIAGAMGKLNGDMTAELSGTQHWPASELGPGGAGYIWSISEGGHEDEWRHSVAPIPAEKLAEVMQGIEDDMELLNLDGTGLTPSGELKPDDNSNDSEDDDDSREDSFEKEVEATFLRAVHENINVGDIVLEMNSLRLSYNMTSADCGGAIFYAMMKLALEIPHTAAGELYKNVSSTVSTWQKLLKFYAKEIDDQIEVILKFEEMCLESAKEFSTSFSQILHLLYDKDILEEDAVLRWADEKKDAEESDKVFVKQSEKFIQWLKEASEEDD >Manes.02G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2120933:2122706:1 gene:Manes.02G024500.v8.1 transcript:Manes.02G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPIFLQLPRTISDQLRTRIFARLMGGGPRTFPGGLNKWQWKRLHEKRAREKEKRLLDQEKQLYQARIRSQLRARLAGKPDLDPNSDTDTSYGPMTPKDQIKALADRFMTEGAEDLWNENDGPLKTPSPKSIERPRSIGLNQRPGSINSPIDLRKLMLEARNESHNCQSFSKDYNYIKARDYSVQRCSYNMAFSSSSSSDDEDENYGFDSFNEGERQGEIWNGAALRKYDTKITKRVPLKELEKESDFASWLKMMEKRVRQARKNRFSVREGEGFHVKD >Manes.01G168300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35092122:35095862:-1 gene:Manes.01G168300.v8.1 transcript:Manes.01G168300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLNKTSAGPCLTKAPIPNDNIGIKVSESTNRLSETDVSGLVSQLKVLQKKNADLEESNKILSLKIHTKDIENESLQNRFNYLFFFFFFGCDLQEQNTLPSLTKALKDVGLEKDAAVVAREDLSTKLRTLKKRLKETEEEQYRAEEDAANLRVELNSIQQQAMNSNIFGGLTSVGISADQVQSLEKELASLKSTLQQESLLRQQEQQRLAKEQDRVSTLASEKQELEVKLAAISRRAPVSVSGLCNAEASEVARKAFSMEEKEKLQKQLHDMAIAVERLESSRQKLLMEIDSQSNEIEKLFEENSNLSSSYQEATSIAKQWENQLKDCLKQNEELHGVLVTMRMEQANMISSGGRENLGSSTERYSNGINEIDSRMHTTEIISLKSGLAKEQSRSEALSSEVLQLSAKLQEAIQAYNGLASLYKPVLRNVESSLIKMKQDGSVTVL >Manes.01G168300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35092122:35095862:-1 gene:Manes.01G168300.v8.1 transcript:Manes.01G168300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLNKTSAGPCLTKAPIPNDNIGIKVSESTNRLSETDVSGLVSQLKVLQKKNADLEESNKILSLKIHTKDIENESLQNRFNYLFFFFFFGCDLQEQNTLPSLTKALKDVGLEKDAAVVAREDLSTKLRTLKKRLKETEEEQYRAEEDAANLRVELNSIQQQAMNSNIFGGLTSVGISADQVQSLEKELASLKSTLQQESLLRQQEQQRLAKEQDRVSTLASEKQELEVKLAAISRRAPEASEVARKAFSMEEKEKLQKQLHDMAIAVERLESSRQKLLMEIDSQSNEIEKLFEENSNLSSSYQEATSIAKQWENQLKDCLKQNEELHGVLVTMRMEQANMISSGGRENLGSSTERYSNGINEIDSRMHTTEIISLKSGLAKEQSRSEALSSEVLQLSAKLQEAIQAYNGLASLYKPVLRNVESSLIKMKQDGSVTVL >Manes.01G168300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35092122:35095862:-1 gene:Manes.01G168300.v8.1 transcript:Manes.01G168300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLNKTSAGPCLTKAPIPNDNIGIKVSESTNRLSETDVSGLVSQLKVLQKKNADLEESNKILSLKEQNTLPSLTKALKDVGLEKDAAVVAREDLSTKLRTLKKRLKETEEEQYRAEEDAANLRVELNSIQQQAMNSNIFGGLTSVGISADQVQSLEKELASLKSTLQQESLLRQQEQQRLAKEQDRVSTLASEKQELEVKLAAISRRAPEASEVARKAFSMEEKEKLQKQLHDMAIAVERLESSRQKLLMEIDSQSNEIEKLFEENSNLSSSYQEATSIAKQWENQLKDCLKQNEELHGVLVTMRMEQANMISSGGRENLGSSTERYSNGINEIDSRMHTTEIISLKSGLAKEQSRSEALSSEVLQLSAKLQEAIQAYNGLASLYKPVLRNVESSLIKMKQDGSVTVL >Manes.01G168300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35092122:35095862:-1 gene:Manes.01G168300.v8.1 transcript:Manes.01G168300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLNKTSAGPCLTKAPIPNDNIGIKVSESTNRLSETDVSGLVSQLKVLQKKNADLEESNKILSLKIHTKDIENESLQNRFNYLEQNTLPSLTKALKDVGLEKDAAVVAREDLSTKLRTLKKRLKETEEEQYRAEEDAANLRVELNSIQQQAMNSNIFGGLTSVGISADQVQSLEKELASLKSTLQQESLLRQQEQQRLAKEQDRVSTLASEKQELEVKLAAISRRAPEASEVARKAFSMEEKEKLQKQLHDMAIAVERLESSRQKLLMEIDSQSNEIEKLFEENSNLSSSYQEATSIAKQWENQLKDCLKQNEELHGVLVTMRMEQANMISSGGRENLGSSTERYSNGINEIDSRMHTTEIISLKCLLSFTICKMKEPFPIS >Manes.01G168300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35091996:35095862:-1 gene:Manes.01G168300.v8.1 transcript:Manes.01G168300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVSGLVSQLKVLQKKNADLEESNKILSLKIHTKDIENESLQNRFNYLEQNTLPSLTKALKDVGLEKDAAVVAREDLSTKLRTLKKRLKETEEEQYRAEEDAANLRVELNSIQQQAMNSNIFGGLTSVGISADQVQSLEKELASLKSTLQQESLLRQQEQQRLAKEQDRVSTLASEKQELEVKLAAISRRAPEASEVARKAFSMEEKEKLQKQLHDMAIAVERLESSRQKLLMEIDSQSNEIEKLFEENSNLSSSYQEATSIAKQWENQLKDCLKQNEELHGVLVTMRMEQANMISSGGRENLGSSTERYSNGINEIDSRMHTTEIISLKSGLAKEQSRSEALSSEVLQLSAKLQEAIQAYNGLASLYKPVLRNVESSLIKMKQDGSVTVL >Manes.01G168300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35091497:35095862:-1 gene:Manes.01G168300.v8.1 transcript:Manes.01G168300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLNKTSAGPCLTKAPIPNDNIGIKVSESTNRLSETDVSGLVSQLKVLQKKNADLEESNKILSLKIHTKDIENESLQNRFNYLEQNTLPSLTKALKDVGLEKDAAVVAREDLSTKLRTLKKRLKETEEEQYRAEEDAANLRVELNSIQQQAMNSNIFGGLTSVGISADQVQSLEKELASLKSTLQQESLLRQQEQQRLAKEQDRVSTLASEKQELEVKLAAISRRAPEASEVARKAFSMEEKEKLQKQLHDMAIAVERLESSRQKLLMEIDSQSNEIEKLFEENSNLSSSYQEATSIAKQWENQLKDCLKQNEELHGVLVTMRMEQANMISSGGRENLGSSTERYSNGINEIDSRMHTTEIISLKSGLAKEQSRSEALSSEVLQLSAKLQEAIQAYNGLASLYKPVLRNVESSLIKMKQDGSVTVL >Manes.01G168300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35092122:35095862:-1 gene:Manes.01G168300.v8.1 transcript:Manes.01G168300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLNKTSAGPCLTKAPIPNDNIGIKVSESTNRLSETDVSGLVSQLKVLQKKNADLEESNKILSLKEQNTLPSLTKALKDVGLEKDAAVVAREDLSTKLRTLKKRLKETEEEQYRAEEDAANLRVELNSIQQQAMNSNIFGGLTSVGISADQVQSLEKELASLKSTLQQESLLRQQEQQRLAKEQDRVSTLASEKQELEVKLAAISRRAPVSVSGLCNAEASEVARKAFSMEEKEKLQKQLHDMAIAVERLESSRQKLLMEIDSQSNEIEKLFEENSNLSSSYQEATSIAKQWENQLKDCLKQNEELHGVLVTMRMEQANMISSGGRENLGSSTERYSNGINEIDSRMHTTEIISLKSGLAKEQSRSEALSSEVLQLSAKLQEAIQAYNGLASLYKPVLRNVESSLIKMKQDGSVTVL >Manes.01G168300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35091497:35095862:-1 gene:Manes.01G168300.v8.1 transcript:Manes.01G168300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLGRRTLEEIRQKRAAERLNKTSAGPCLTKAPIPNDNIGIKVSESTNRLSETDVSGLVSQLKVLQKKNADLEESNKILSLKIHTKDIENESLQNRFNYLEQNTLPSLTKALKDVGLEKDAAVVAREDLSTKLRTLKKRLKETEEEQYRAEEDAANLRVELNSIQQQAMNSNIFGGLTSVGISADQVQSLEKELASLKSTLQQESLLRQQEQQRLAKEQDRVSTLASEKQELEVKLAAISRRAPVSVSGLCNAEASEVARKAFSMEEKEKLQKQLHDMAIAVERLESSRQKLLMEIDSQSNEIEKLFEENSNLSSSYQEATSIAKQWENQLKDCLKQNEELHGVLVTMRMEQANMISSGGRENLGSSTERYSNGINEIDSRMHTTEIISLKSGLAKEQSRSEALSSEVLQLSAKLQEAIQAYNGLASLYKPVLRNVESSLIKMKQDGSVTVL >Manes.09G011652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2399093:2401819:1 gene:Manes.09G011652.v8.1 transcript:Manes.09G011652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDERFLTNNFKSASFTHLDDAIASFNHVIHKHHLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCRLHLVDFGFSVFGKMLKFGLEPSIVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPNVYTYSVIINGMCKFGKTSVSIRLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKKQALALMNEMVEKNILPNVYTFSVLIDALCKDGMVAEAQNTFNVMIQRGVEPNVITYTTLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKVFDLMVTNEIANIFSYTILINGYCKCKMIDDAKELFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAQELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPDLVTHCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCRQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHGDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNEGSAN >Manes.09G122700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32526873:32530069:1 gene:Manes.09G122700.v8.1 transcript:Manes.09G122700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELNDLQKDPPTSCSAGPVADDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.09G122700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32526873:32530069:1 gene:Manes.09G122700.v8.1 transcript:Manes.09G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELNDLQKDPPTSCSAGPVADDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.02G016100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1532662:1537223:-1 gene:Manes.02G016100.v8.1 transcript:Manes.02G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNQIVQLKLNSRNDEEFTAVNPRIPRREKTNKCVVYFLAGTAIFSAIFLAFALVVRPRTPDLELSFVSVKNLVYTNNNVSFSSLNMTLEAELSIRNTNFGSFKFENATASVLYGGQTVGKGIIGEGLLKARDKENIKVKVDVRSYRFSDTEKLSRDIDSGILKLQSLAKFSGKVQWLQIVEKLKTASVSCSMSLNLKSHFQLQDLICS >Manes.04G077750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27919899:27921857:-1 gene:Manes.04G077750.v8.1 transcript:Manes.04G077750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKPTAGLTSRAGVIPVSPRQDTIGPICRTVSDAVYVLDAIVGFDPRDPEATIEAAKFIPRGGYIQFLRDDGLKDKRLGVVRFPAPFNDSTVLSTFNNHLEVLRQGGATVLDNLQIPNIDIIMDPNQSGEEIALLTEFKLSINQYLQELVKSPVRSLEDIISFNDNNPDLYGQDLFIASEMTNGLGKGEIKAVKLMEKLSEQGFEKTMREYELDAMVTVGWTVSTALAIGGYPAITVPAGYGSNEMPFGICFGGLKGMEPKLIEIAYAFEQATSSRRPPF >Manes.04G077750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27919899:27921857:-1 gene:Manes.04G077750.v8.1 transcript:Manes.04G077750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKPTAGLTSRAGVIPVSPRQDTIGPICRTVSDAVYVLDAIVGFDPRDPEATIEAAKFIPRGGYIQFLRDDGLKDKRLGVVRFPAPFNDSTVLSTFNNHLEVLRQGGATVLDNLQIPNIDIIMDPNQSGEEIALLTEFKLSINQYLQELVKSPVRSLEDIISFNDNNPDLEHMKQYGQDLFIASEMTNGLGKGEIKAVKLMEKLSEQGFEKTMREYELDAMVTVGWTVSTALAIGGYPAITVPAGYGSNEMPFGICFGGLKGMEPKLIEIAYAFEQATSSRRPPF >Manes.01G093400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29471342:29476399:1 gene:Manes.01G093400.v8.1 transcript:Manes.01G093400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCPCPKYYAAAFSPSFECRSDPCGTDQPSHGRFSCRVNSVRHGTASFLGGGMSSLILKFPPNFVRQLSTKSRRNCSNIGVAQVVAASWSDNSATGIPSAAAAGSAAIPAMPVDLIDGDEATVVEGREENELVQLEDLTNSLKYSSFLSSDGSLTIHAGERLGRGIVTDAITTPVVNTSAYFFKKTAELIDFKEKRRASFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHLVTTTDCYRKTRIFIETVLPKMGITATVIDPADVEGLESALEKNNVSLFFTESPTNPLFRCVDIELVSKLCHSKGALVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGSAKLVSEIRNLHHVLGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEVLEGHPKVKRVYYPGLPSHPEHHIAKRQMTGFGGVVSFEIDGDLMTTIKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLTQSEREKYGIKDNLVRFSFGVEDFDDLKADIIQALETI >Manes.02G150800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11564774:11567440:-1 gene:Manes.02G150800.v8.1 transcript:Manes.02G150800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIKTSQVISPFPGHYLPLMAMSKSTKNFRFLAGYVFDTQQVNSTRYNQIPAVARDASSSRHYKYSYQSRLLDQNHNIHSSKKKSAELHPLPMASISHFTIFTSLLVLFSVLHPGLATTRKLAALVQEQPLLLKYHNGPLLKGNVAVNLIWYGNFSASQRSIIVDFLDSLNSGKAPSPSVSSWWETTGTYKGGPCTVALGNQVLDENYSLGKSLKMIQLVALASKAGHGYNSVNLVFTSADVAVEGFCMSRCGTHGSGKGKTGKFAYAWVGNSLSQCPGQCAWPFHQPIYGPQNPPLVSPNGDVGIDGMIINLATVLTGTVTNPFNNGYFQGSAEAPLEAVSACTGIFGKGAYSGYPGEVLVDETTGASYNAFGINGRKYLLPAMWDPRTSTCKTLV >Manes.14G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8803114:8806584:-1 gene:Manes.14G105100.v8.1 transcript:Manes.14G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRALPSAVLLQITVISLLIIPIFTHSHNTTSAASSDDEFCSLFKCGGITFPFPFSSALTFGLAPQDCGLPGYQITCDETSSLSGIVLSGRLYQVKNLFLSERLITVIDIQLIRDLKAGSCTSLRNLTIMPSAYIPPLSLPHWAINISLFQCPSQLKLPQEFLEKVVSNVTCSGGDALYLWQSGSQFDPPRLSPPLKLKGCSLIRVPVSIASYGFLINRNRKHRIELVDLLADGFPLTWPNFEECDSCNATGGRCGFDGNLRRIVCFHGKKILKKCQKKWIKWKIIIGIAAGFAAIVVIILAWRKRIFLTMIHTKSQSTEEGINAKRFIKTYRSGLLTNYTYNDIKKMTKGFKEKLGEGGCGNVFKGKLSDGRPVAVKLLEKSNNIGHDFVNEVASIGRIHHVNVISLLGFSCNGSRQALIYEYMPNGSLADLLSDEEFCLSLGLPRMLEIAIGIAHGIEYLHNGCESRILHLDIKPQNVLLDQNFNPKISDFGLAKIYSRSQSAVFMTSAKGTIGYIAPEIFMRNFGNVSHKSDVYSFGMLLLEMFEGKNRIEPATTTSSEAYFPSCIYKLVEPKNLESYVSMTEYEDAKTVRKMVMVGLWCIQINPKERPSMTRALEMLTGDVDAIEVPPKPLLFSPPRLQQEIDIASIDESDISELTFTSNSYEIEN >Manes.12G124100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33024498:33026073:1 gene:Manes.12G124100.v8.1 transcript:Manes.12G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSTLQGKQAAKEENQSSGNRKTAASRPQEQALKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKMKSSSRLSGDSKDSSGSSEIGGFKFFHGLSPAMDFNLAAASVTSPCFSLDPSGSSAGSLMGFNYPLPSVATGFSGAIHQDVGGGSMNVHTSLASSIESLSSINQDLHWKLQQQRLAMLFGGEDQKDSVVSSVPIESQAQKPQPILFQNLEISKPEISGVGNSSREGGNGGGGDTATEWFFGNSVGQVTPTPAHSNSNCNDNTAAGNWNGVQAWGDLHQYNGLP >Manes.01G271500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42501194:42503990:1 gene:Manes.01G271500.v8.1 transcript:Manes.01G271500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSATPNVDGQRREFFQNVVVMRHGDRIDNFEPLWTTTAARPWDPPLVEKGRVRAFRTGRDLKSRLGFPIHRVFVSPFLRCVQTASEVVSALCAVDEYPDVTCGDRIAIDSSKVKVSIEYGLCEMLSSQAIRHDVAPKDGHFGFIISELEALLPAGIVDHTAQRVYEEMPQWEETVTGTRVRYEHVFKALADKYPSENLLLVTHGEGVGVSVSAFLKDATVYEVEYCAYSELRRRVFQENQSFTSDAFEVRTKNGQTGVSYCSSIATPNGGIDDSA >Manes.16G134800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33560157:33563346:1 gene:Manes.16G134800.v8.1 transcript:Manes.16G134800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMSFAKMEDQMAVQEAASQGLKSMEHLIRLMSHQSNHVDCTDLTDLTVSKFKKVISLLNRTGHARFRRGPVQSFSPSLSSAPTGYASRPQNLNQAPTHVAAPATVQQAPAVHTVAAPQVPIAAPASFVQSQPQSLTLDFTKPSIFSSNGKNSELEFTKESFSVSSSSSFMSSAITGDGSVSNGKQGSSIFLAPAVSGGKPPLSSGPYNKKRCHEHDHSDDMSGKFSGSTSGKCHCSKRRKNRVKKTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPR >Manes.16G134800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33560157:33563346:1 gene:Manes.16G134800.v8.1 transcript:Manes.16G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMSFAKMEDQMAVQEAASQGLKSMEHLIRLMSHQSNHVDCTDLTDLTVSKFKKVISLLNRTGHARFRRGPVQSFSPSLSSAPTGYASRPQNLNQAPTHVAAPATVQQAPAVHTVAAPQVPIAAPASFVQSQPQSLTLDFTKPSIFSSNGKNSELEFTKESFSVSSSSSFMSSAITGDGSVSNGKQGSSIFLAPAVSGGKPPLSSGPYNKKRCHEHDHSDDMSGKFSGSTSGKCHCSKRRKNRVKKTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERANDDPKMLIVTYEGEHRHAQHAMQENLSGGVGLVFEST >Manes.15G013200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1126795:1128209:-1 gene:Manes.15G013200.v8.1 transcript:Manes.15G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLDETETDAVFRKLHSFTGHNLKNIVENSTHEGPEPNPGRYCFRLHKNKVYYVSESLVKRATNISRKNLASLGTCIGKFTHGGNFHLTIQALNLLAANAKHKVWLKPTSEMSFLYGNHVLKGGLGRITDSISKNDGVVVFSMSDVPLGFGSAARSTQDCRKLDPNGIVVHHQADAGEYLRMEDEL >Manes.03G161100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28806563:28818243:-1 gene:Manes.03G161100.v8.1 transcript:Manes.03G161100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESFDSVRNQVHKAPSMLETLGRRTVTRFLGSFISTGCLILQEAGGTDLTFEGSGAKCSLKVHLKIHSPQFYWKVMTRADVGLADAYIDGDFSFADADEGLVNLIMLLIANQSASKSNKKRGWWTPLLFTATFSSAKLVYQHVLRQNTLTQARRNISRHYDLSNEVFALFLGGTMTYSSGIFKTEDEDLQTAQMRKISILIEKARIKPKQEVLDIGCGWGTFGIEVVKRTGCKYTGITLSEEQLKFAEKKVKEAGLQDHIRLQLCDYRQLPETTKYDRIISCEMIEHVGHEFMDEFFGCCDKLLSEDGLFVLQFISMPDEYYEEHRRSADFIREYIFPGGCLPSFSRVISAMNAASRLCVEHVENIGSHYYHTLRRWRENFLDNQSKILAMGFDEKFIRTWEYYFDYCAAGFRTYTLGDYQVVFSRTGNIETLGYPYEGFPSAYAHLSSTQA >Manes.03G161100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28807120:28818243:-1 gene:Manes.03G161100.v8.1 transcript:Manes.03G161100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESFDSVRNQVHKAPSMLETLGRRTVTRFLGSFISTGCLILQEAGGTDLTFEGSGAKCSLKVHLKIHSPQFYWKVMTRADVGLADAYIDGDFSFADADEGLVNLIMLLIANQSASKSNKKRGWWTPLLFTATFSSAKLVYQHVLRQNTLTQARRNISRHYDLSNEVFALFLGGTMTYSSGIFKTEDEDLQTAQMRKISILIEKARIKPKQEVLDIGCGWGTFGIEVVKRTGCKYTGITLSEEQLKFAEKKVKEAGLQDHIRLQLCDYRQLPETTKYDRIISCEMIEHVGHEFMDEFFGCCDKLLSEDGLFVLQFISMPDEYYEEHRRSADFIREYIFPGGCLPSFSRVISAMNAASRLCVEHVENIGSHYYHTLRRWRENFLDNQSKILAMGFDEKFIRTWEYYFDYCAAGFRTYTLGDYQTRGTKESSSEYCSSVYRTCRVSPEPESCRFSVQCTGDVRRGQKKRRDL >Manes.03G139300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26727463:26729793:-1 gene:Manes.03G139300.v8.1 transcript:Manes.03G139300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSHFFSLPTLVPFLFFIFMVFRTWKKPRTKALVTTDLPPGPWKLPIIGNLHNLLGSLPHHRLQDLAKKYGPLVHLQLGEVTTIVISSPGIAKEVMATHDIIFAQRPFSLASNIISYDSTDIAFAPYGEYWRQIRKICTLELLSAKRVQSFGSIREEEVSNLVSRISSNAGSVVNLGRMLISFTYCVTSRAAFGRIREEQEAFVHLVKELMAVLGGFSIADLFPSIKVLQMVSGMGAKVKRLHQEADRILEDIVNGHKARKAVVKIADEVDDDLVDVLLKHHDPENLEFSLTIENIKSVILDIFVAGSETSSTVAEWAISEMLRNPTVMEKAQAEVRQVFRGKGHVEEAGLGELNYLKMVIKETLRLHPPLALLLPRESREECEISGHRIPIKTKVIVNAWAIGRDPNSWIEADKFNPERFSDSTIYYKGANFELIPFGAGRRACPGIAFGMANVEILLANLLYYFDWKLPIGMKPEELDMAESFGAVVGRKKDLELLPTLCHPLPSA >Manes.06G042166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13102609:13117757:-1 gene:Manes.06G042166.v8.1 transcript:Manes.06G042166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSFSSFTSAWGIIADCCSWVCVYCNSTTGHVVKLSLYGVRSKEGDYWYLNASLFLPFQQLSYLSLLENNRAGCIKNEGSEELLKLSNLE >Manes.02G055500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4397719:4403369:-1 gene:Manes.02G055500.v8.1 transcript:Manes.02G055500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSAGNPTTPSAQLVGNAFVEQYYHILHTSPELVYRFYQDSSVLSRPDANGVMTSVATMQGINDKILSLNFKDYKAEIKTADAQKSYKEGVTVLVTGCLMGKDNLKRKFAQSFFLAPQDNGYFVLNDVFRYVEDDEPTENHSVNGIDNTPTVPSVPDSGPSPVPDPPAPDPATSIVEQDEKVDENIDNPVESDKQLINEKEVVVESQSHSNGNDSSIVVETSSSVSQEDTPKKSYASIVKVARGSSGPTKVYIPAVAAKVSPKKPESQSVSVAPATEGEASVPSSNGTPESSNLQEEAEGHSIYIRNLPYDMMPAQLEAEFKKFGPIKQGGIQVRYNKQQGYCFGFVEFHSLSSMNSAIQASPMTIGGRQAVIEIKRTSSRAADAVSSGRGRLPSGRAGFHSDSFRSRGNFGGSWGTGRNEFGTRGEFSGRGRSSNGRGEGYQQGRGRGGRSSGAKHNTNSA >Manes.02G055500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4397719:4403369:-1 gene:Manes.02G055500.v8.1 transcript:Manes.02G055500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSAGNPTTPSAQLVGNAFVEQYYHILHTSPELVYRFYQDSSVLSRPDANGVMTSVATMQGINDKILSLNFKDYKAEIKTADAQKSYKEGVTVLVTGCLMGKDNLKRKFAQSFFLAPQDNGYFVLNDVFRYVEDDEPTENHSVNGIDNTPTVPSVPDSGPSPVPDPPAPDPATSIVEQDEKVDENIDNPVESDKQLINEKEVKVARGSSGPTKVYIPAVAAKVSPKKPESQSVSVAPATEGEASVPSSNGTPESSNLQEEAEGHSIYIRNLPYDMMPAQLEAEFKKFGPIKQGGIQVRYNKQQGYCFGFVEFHSLSSMNSAIQASPMTIGGRQAVIEIKRTSSRAADAVSSGRGRLPSGRAGFHSDSFRSRGNFGGSWGTGRNEFGTRGEFSGRGRSSNGRGEGYQQGRGRGGRSSGAKHNTNSA >Manes.15G177400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16251472:16259489:1 gene:Manes.15G177400.v8.1 transcript:Manes.15G177400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVDTNTSSCKASLPEAEKKKEQTLPFFQLFSFADKYDWLLMISGSIGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLPKMTHEVSKYALYFVYLGIVVCLSSYAEIACWMYTGERQVGTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYAQAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQKPTIIQDPSDGKCLSEFNGNIEFKDVTFSYPSRPDVIIFRDFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATMDEVEAAAASANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESVVQEALDRLMVGRTTVVVAHRLSTIRNVDTIAVIQQGQVVETGTHEELIAKGGAYASLIRFQEMVRNRDFANPSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPHGYFCRLLKLNAPEWPYSIMGAIGSVLSGFIGPTFALVMSNMIEVFYYGNPASMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHARTSMIAGEGVSNIRTVAAFNAQGKILSLFCHELRVPQLHSLRRSQTSGLLFGLSQLALYASEALILWYGAHLVSKGASTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGESVGSVFSILDRSTRIDPDDPEADPVESMRGEIELRHVDFAYPSRPDVPVFKDLSLRIRAGQSQALVGASGCGKSSVIALIERFYDPTAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKDGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDSIGVVQDGRIVEQGSHSELVSRANGAYSRLLQLQHHQI >Manes.16G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:637790:641400:-1 gene:Manes.16G005800.v8.1 transcript:Manes.16G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSRCFRKSTSFPFSIPSPCSNRIVFSELLMSWTCKRCTFINSPSSKPTCQICLSPSSPLPSSSSSPAARQDTPTWSCKACTFLNPYKNSACEICGTRGSLSSLSSFEVLNDVGPDEDLDSSIGSVFVPLRTCKRKISNSFDSGLDCVDSESFRGVKVSNRTVDAKDGDCVKLGGFQGLGASNKAVTVVKEDTSSSTILSSFKILSYNVWFREDLELHKRMKALGDLIQLHSPHVICFQEVTPNIYDIFRQSSWWKAYHCSVANEMAYSRPYFCMLLSKLPVKSFSARPFNNSIMGRELCIAELEVQPNKPLVVATSHLESPCPAPPTWNQMFSKERVDQAKEAMNLLKKNSNVIFGGDMNWDDKLDGQFPLSDGWMDAWAELRPGENGWTYDTKSNQMLSGNRTLQKRLDRFVCHLRDFRISKIDMIGMEAIPGLSHIKEKKVRKEVKMLELPVFPSDHYGLLLTISFQ >Manes.07G130502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33223599:33224490:1 gene:Manes.07G130502.v8.1 transcript:Manes.07G130502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEWYQMPFAVKDGCWRCSSSSKSSSSSSSSIFIHLDAKYFVLPLKFLSLFLS >Manes.12G128000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33472794:33475499:1 gene:Manes.12G128000.v8.1 transcript:Manes.12G128000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPGRAVSSLLCAENTIFGDLDFNASDEIGIPLSWHHQDDQSRNQDPFSYNDSCKSLMGFTVHSEDRIKEMVKGEKEHLPRDDYLKRLRSGDLDLSVRREALDWIWKVAQAHYNFGPLSVCLSINYLDRFLSVYQLPGKAWAVQLLAVACLSLAAKMEEANVPLSVDFQVGEPKFVFEAKTIQRMELLVLSTLKWRMQALTPCSFIDYFLSKINGDQHLSTSSIFKSLQLILSTLKGIDFLEFRPSEIAAAVAISVSGEVQAVEIDKAVPYFTQVEKGRVLKCVELIKDLSLISGSGADNVASASGSCVPRSPNGVLDAACLSYKSDDLTVGSCANSSHNSPDIKRRKQMEHKS >Manes.12G128000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33472794:33475499:1 gene:Manes.12G128000.v8.1 transcript:Manes.12G128000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPGRAVSSLLCAENTIFGDLDFNASDEIGIPLSWHHQDDQSRNQDPFSYNDSCKSLMGFTVHSEDRIKEMVKGEKEHLPRDDYLKRLRSGDLDLSVRREALDWIWKAQAHYNFGPLSVCLSINYLDRFLSVYQLPGKAWAVQLLAVACLSLAAKMEEANVPLSVDFQVGEPKFVFEAKTIQRMELLVLSTLKWRMQALTPCSFIDYFLSKINGDQHLSTSSIFKSLQLILSTLKGIDFLEFRPSEIAAAVAISVSGEVQAVEIDKAVPYFTQVEKGRVLKCVELIKDLSLISGSGADNVASASGSCVPRSPNGVLDAACLSYKSDDLTVGSCANSSHNSPDIKRRKQMEHKS >Manes.12G128000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33472751:33475765:1 gene:Manes.12G128000.v8.1 transcript:Manes.12G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPGRAVSSLLCAENTIFGDLDFNASDEIGIPLSWHHQDDQSRNQDPFSYNDSCKSLMGFTVHSEDRIKEMVKGEKEHLPRDDYLKRLRSGDLDLSVRREALDWIWKAQAHYNFGPLSVCLSINYLDRFLSVYQLPKGKAWAVQLLAVACLSLAAKMEEANVPLSVDFQVGEPKFVFEAKTIQRMELLVLSTLKWRMQALTPCSFIDYFLSKINGDQHLSTSSIFKSLQLILSTLKGIDFLEFRPSEIAAAVAISVSGEVQAVEIDKAVPYFTQVEKGRVLKCVELIKDLSLISGSGADNVASASGSCVPRSPNGVLDAACLSYKSDDLTVGSCANSSHNSPDIKRRKQMEHKS >Manes.12G128000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33472794:33475499:1 gene:Manes.12G128000.v8.1 transcript:Manes.12G128000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPGRAVSSLLCAENTIFGDLDFNASDEIGIPLSWHHQDDQSRNQDPFSYNDSCKSLMGFTVHSEDRIKEMVKGEKEHLPRDDYLKRLRSGDLDLSVRREALDWIWKVAQAHYNFGPLSVCLSINYLDRFLSVYQLPKGKAWAVQLLAVACLSLAAKMEEANVPLSVDFQVGEPKFVFEAKTIQRMELLVLSTLKWRMQALTPCSFIDYFLSKINGDQHLSTSSIFKSLQLILSTLKGIDFLEFRPSEIAAAVAISVSGEVQAVEIDKAVPYFTQVEKGRVLKCVELIKDLSLISGSGADNVASASGSCVPRSPNGVLDAACLSYKSDDLTVGSCANSSHNSPDIKRRKQMEHKS >Manes.12G128000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33472783:33475499:1 gene:Manes.12G128000.v8.1 transcript:Manes.12G128000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPGRAVSSLLCAENTIFGDLDFNASDEIGIPLSWHHQDDQSRNQDPFSYNDSCKSLMGFTVHSEDRIKEMVKGEKEHLPRDDYLKRLRSGDLDLSVRREALDWIWKAQAHYNFGPLSVCLSINYLDRFLSVYQLPKGKAWAVQLLAVACLSLAAKMEEANVPLSVDFQVGEPKFVFEAKTIQRMELLVLSTLKWRMQALTPCSFIDYFLSKINGDQHLSTSSIFKSLQLILSTLKGIDFLEFRPSEIAAAVAISVSGEVQAVEIDKAVPYFTQVEKVKRAKKKSRALWCPQKKVQR >Manes.02G192900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15594021:15599301:-1 gene:Manes.02G192900.v8.1 transcript:Manes.02G192900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKPFNTYTIALMMFFTFLILFFSGFLEFPSVTTSIPSSFDHRLPTTSSKTDPFVNLFSAYKKWDSQVGCNQFRVKYRDLIRGGYSGSNRSDSLQEVGGDSECNDLNVRHVSVLVKGWTWIPDNLDNLYSCRCGLSCLWTKSSVLADKPDALLFETTTPPSRRRNGDPLRVYMDLEAGRKRSGLEDIFISYHAEDDVQSTYAGALFHNGRNYHVSPHKNNDTLVYWSSSRCLAQRNQLARSFLSLVPHHSFGKCLNNVGGQDRALSLYPECANDASVKPKWWDHLHCAMSHYKFVLAIENTMTDSYVTEKLFYALDSGAVPIYFGAPNVRDFVPPHSIINGNEFSSLQELASYVKALANDPVAYAEYHAWRRCGVLGNYGKTRAVSLDTLPCRLCEAVGRKGGRNARA >Manes.14G143600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13247148:13259348:-1 gene:Manes.14G143600.v8.1 transcript:Manes.14G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLTVMTFNLLEDQPEDSPNSWEKRRDLCISVITSYSPVILCTQQGVKSQLDYLQQGLPGYDQFGISRKGSEDTSDEHCTIFYDKEKVELLEGGTFWLSDSPSVPGSMSWGAEVPCIATWAIFQLKGVEPPGFSFQIVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARLRKNASLIHTYHGFKGDKQGALEFLKLILRALCLCWDRQTQDLHVDWILFRGRSLIPGMCEVVNDNIDGYYPSSHYPIFAEFMLPRTVRMLELPPSQEDN >Manes.08G141700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37827791:37830373:-1 gene:Manes.08G141700.v8.1 transcript:Manes.08G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSLPSPAKLPVPRVKPNNQPSRQNLPPSSTSVNHRKSRFHSLEDRLVRHLDAGHLRKAMSTLDIMSQEGTHPDLISYSLLLKSCIRSNNFQLGKLVHDYLTQSGLELDSVILNSLISLYSKCGEWDKANFVFECMGDKRDLVSWSALISCYSNNRMEFEAINTYIDMLNYGFYPNEYCYTAVIRACSNKDNVSLGEIIFGSLMKSGYFNSHVCVGCALIDMFVKGSGDFDSAYKVFDKMNEKNIVTWTLLISRLQQFGYSRDAINLFITMVLSGYSPDRYTMSGVVSACAELGLLSAGQQLHSWAIKSGLVLDVCVGCSLVDMYAKCTMDGSMGDSRKVFDRMSDHNVMSWTAIITGYVQSGECDKEAIELFLVMIEGQVKPNHFTFSGILKACANLFDLCMGEQVYAYAVKLGLASVNCVGNSLISMYARCGNMENARKAFNILFDKNLISYNTIVNAYANSLNSEEAFKFFNEIEDTGTQVDAFTFASLLSGASSIGAIGKGEQIHALILKSGFKSNLHISNALISMYSRCGDIEAAFQVFSGMEDRNVVSWTSMVTGFAKHGFAAKALETFHNMLEAGVRPNEITYIAVLSACSHVGLISEGWKHFKSMNVEHGIVPRMEHYACMVDLLGRSGCLEEAMEFINSMPFKADALVLRTFLGACRVHGNIDLGKHAAKMILAQDPNDPAAHILLSNLYASTGQWDEVAEIRKNMKERNLTKEAGCSWIEVENKVHKFYVGDTSHSQVVEIYDELDQLALEIKELGYVPNTDFVLHDVEEEQKEQYLFQHSEKIAVAFGFISTSKSKPIRVFKNLRVCGDCHTAFKYFSIARGREIVVRDSNRFHHFKDGKCSCNDYW >Manes.03G144500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27211613:27212935:-1 gene:Manes.03G144500.v8.1 transcript:Manes.03G144500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNKLGSLVRQSFPRSGQFEMASMLNSIRCMSSSKLFVGGLSYGTDDQSLKDAFSGFGEVVSARVITDRDSGRSRGFGFVDFSSNESASSALSAMDGQELQGRNIRVSYAQESDRGPRSFNNNFRGNRRFNNEDGF >Manes.01G265900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42040192:42054043:1 gene:Manes.01G265900.v8.1 transcript:Manes.01G265900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGFVAARGSDSESSNQQEGLILLLDVGPSMHNVLPEVEKLCSMLIQKKLIYRKFDEVGIVIFGTEDTDNELTMEVGGYEHVVVLRNIKVVDGDTVESLQKLPRGTVSGDYLDAIVVGMDMMIKKYQSTNKGKKHICLITDARNPIKGPYEGTKEDQVITIAVQMAAHGVRMKTIVVRGRLSEDADRRIVDENDRLLHLFSEKTSAKTVYVENSTSLLGALKTRNISPVTIFRGDLEISPKLKIKVWVYKKTSEEKFPSLKKYSDKAPPTDKYATHEVKVDYEYKSVEDPGKVVPPHQRIKGYRYGPQVVPISSVEWDAFKFKPEKGVKLLCFTDASNILRHYYIKDVNIFIAEPSNVRATIAVSALGRAMKELNKVAIVRCVWRQGQGNVVLGVLTPNLSENDKIPDSFFFNVLPFAEDVREFQFPSFSSYPASLQPSEQQQKAADNLVMMLDLAPPGKEEALLPDFTPNPILERFYHYLELKSKQPDAAVPSLDRTLKRITEPDPQLFSESKSVIESFCQSFEVKKNPKLKKSTRRFLREKPSGSDDEGGYGDASNALAIKSDEIKLLVKVDKIGDSTPIQDFEAMMSRRDSPDWVAKAIKDMENKICNIVENCRGGDNFHKALECLVALRKGCILEQEPKQFNEFLHHLFRLSQEKNLGSFWEFLASKDITLISKSEAIDSEVTDDEARKFLVKREPKLE >Manes.01G014200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3867849:3869792:1 gene:Manes.01G014200.v8.1 transcript:Manes.01G014200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWHKNCPISVANAKLISSFLQLGYLFRFLFFLVGLSLGFTVCVYLKSISFDFYATLFSLSPSPPTQLPPPPPPPSNMSSLFISRTKLPVSLMHNMEDDELFWRASMVPRIRRIPQKLVPKSKVAFMFLTKGALPLASLWEEFFKGHEGLYNIYVHPHPSFNYTFPETSVFHERRIPSKPVEWGRASMIDAERRLLANALLDLSNDRFVLISETCIPLFNFSTTYNYLINARKSFVGSYDDPRKVGRGRYNPKMSPTITISNWRKGSQWFEVNRKVAIEIVSDTTYYPVFRQHCSPPCYVDEHYIPTLVNIVCPEENNNRSITWVDWSKSGPHPGKFGKKSVSVEFLDRIRFRGNCSYNGNASSVCFLFARKFLPDTLEILLQIAPGLPHFNHSYSASSTNV >Manes.11G121544.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28267685:28268032:-1 gene:Manes.11G121544.v8.1 transcript:Manes.11G121544.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DELQKEFGIDPENKVYPIPICLIFGRSIPILFGSLPLSLFFVIPIVLKDEILKRDEGNIPDRLFSALVKKSRLPNRPNSFGIFPSNSNCARARIASDERFPREGGIFPFKLFILS >Manes.03G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20366414:20370416:1 gene:Manes.03G082800.v8.1 transcript:Manes.03G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISMVEARLPPGFRFHPKDEELVCDYLMKKLTAQSQSLLLIEVDLNKCEPWDLPETARVGGKDWYFYSQRDRKYATGLRTNRATASGYWKATGKDRAILRKGTLVGMRKTLVFYKGRAPKGRKTDWVMHEFRLESPLASHSISSPKEDWVLCRVFYKNREVGAKPSMGSCSYEENTGCPSLPPLMDSYITFDQTQPNLDDYEQVSCFSIFSPNQSNLIFPHITQMDSNMIPTKTTAAFGQIPITSSSTCPNLDTFSCDKKVIKAVLNHFSEMESNPNIHGSPSLAEGSSESYLSEVGMSNIWNDHY >Manes.13G060900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7118066:7119577:1 gene:Manes.13G060900.v8.1 transcript:Manes.13G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWVLRYIAMAMMGLLCSIKMASGIRFVIDKEECVSHKVDKEGDTLHISFVVIETDDSWHFTDSDQGVDLQIKGPSGDMLHEFVDKTSEKYEFVVYKKGVYHFCFTNKSPYLVTVDFDVHLGHFSYHDQHAKDEHLAPLIEQISKLEEALYNIQFEQHWLEAQTDRQAMVNDKMSRRALHKAMFESAALIGASVLQVYLLRRLFDQKFHISRV >Manes.14G133900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10724908:10726859:1 gene:Manes.14G133900.v8.1 transcript:Manes.14G133900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVTINLAILAFAVASLVQATAGKTLVVGDGLGWLVPPGGDLAYATWAAINNFTVGDVLLFNFTSGVQDVARVTKEAYLTCNSTNPISLKTTGPANFTLDASGEYFFISTIYAHCPLGQRLAIYVTGPGPNPAPHPYSPPTAHSPVSPRAPVTYVVGNGLGWLVPPGGQLAYMIWAYNKTFMVEDALVFNFVEGLQDVALVTKEAYETCNTSSTIQVWSKSPAKILLNSTGDYFFTSTYPRGCILGQQLAIRVVSRNGTTDHGTTPSSSIAHPPSSSSTSSGATLGPATSPPPASSSSSRVIAGFLITMISIAMAVF >Manes.11G101300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:22750049:22751287:-1 gene:Manes.11G101300.v8.1 transcript:Manes.11G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIEIPQYYLCPISLQLMKDPVTAITGITYDRESIQQWLKTSAAGGNKECPTCPVTKQPLPRDSDLTPNHMLRRLIQAWCTSNSKNGIDPIPTPKSPLSKSYILKLIKDLEVPVLCKEALKKMEALDMEDERNRTSMLEVGLAKALVSFVLKCFRERKTTGLEEALRILNLVWNSSQDIKVLAKDNQEYVDSLLWISGSDIDHNHVVVKTHSMLVLKKTMESASTSLLERLNPDFFRGIIRVLREKISQQAVKSALLVLIEVCPWGSNRTKIVEAKAVFELIELELEKPEKNITELIFNLLARLCSCADGREQFLKHAGSIAMISKRILRVSPGVDDRAVYILGLMSKFSATNQVLLEMLRVGAVSKLCMVIQADCADYLKQQARGILRLHSTVWNNSPCIAVYLLTRYHR >Manes.12G089028.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14477618:14479238:-1 gene:Manes.12G089028.v8.1 transcript:Manes.12G089028.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMAGKLLISRWDSDKLVGLSDEAMSFADSFLGFLEEQEESPKSSCDSIDYIEDDHSSSNGEGNKKFWETQNELLQATLYRTSSLETTIRQATKAAVKEIKLIGLTCSCYKVVTEECRNCLQREISLRLQAQGYNCAICKSKWKSSQEIPSGEHTYLEVVEKNRFKKGEVRLVIELNFRGEFEMARASEEYNRLINRLPEIFVGKEERLRALIKILCSAGKKCMKEKKMHLGPWRKSKYMQSKWLGTCERTTLFPPLPVGFSDRPAKPKASLLTYDLLEINMPVLHRTAVQVL >Manes.06G036951.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11052488:11052932:-1 gene:Manes.06G036951.v8.1 transcript:Manes.06G036951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLAEMNRIWEQKFKILLEKNNNIASPMEDSQDDEIGG >Manes.14G072800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6030614:6032723:-1 gene:Manes.14G072800.v8.1 transcript:Manes.14G072800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKLNVKRGLWTAEEDAKILAYVSKHGTGNWTALPKKAGLRRCGKSCRLRWTNYLRPDLKHDSFTPQEEELIVRLHAAIGSRWSIIALQLPGRTDNDVKNYWNTKLRKKLSEMGIDPVTHKPFSQILADYGNIGCLPKYGTRIGSLTRDLKNAFISKPAEPTEGIITNISNHLVPPKLEPIHECFFNSKNTISTDANSNHSLDLLDQLQAIKLVTEVSSCSNCETISDHFFKEGSLSSLSSSSSSSSSTCSTANQEKSAVNFSWRDFLLEDAFLPSDHPQEQENAMELSSKDLTNQAQNVIPQGQIGCEVTVSERDNVGVERTELAIPSSSFQIPSSSSASFVEAMLHQGNKNFLDFPNLVEEPFSY >Manes.14G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11656541:11658768:1 gene:Manes.14G120400.v8.1 transcript:Manes.14G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVAIIGAGASGLLACKYTLAKGLHPIIFEAEEAIGGVWTRTLASTKLQNTRETYRFSDFPWPASVNDKHPSQSQVLNYLESYAQHFGIFPYIKFNSRVIGINYVGESCEEMESWVLWSGNGNPFGSKGKWLVRVENVKDCRTEVYQVEFVILCIGLYSGCPNIPDFPPDQGPEMFNGKVMHSMEYSAMDNLKAIEFIKRKRITIIGSQKTALDIAAECANINGFQYPCTMIQRTARWQIHGENICGINLGFLYLNRFSEFLIHKPGETFLLSLLATLLSPLRWGISKFLECYLRWKLPLKKYGIIPKSSFLQDISSCRTCKLPENFYDKVEEGSIIIKKANSFSFCKQGLIIDRESQPLETDLVIFATGFKGEEKIRNIFESPFLQKCITGSKARISLYRQVIHPRIPQLAVIGYAESLSYLYATEIRCRWLAEFLDGKFELPHVTEMEKQVSIWENFMKKHNGNSRRECISNIHIWGNDQLCKDMGCEPRRKRGFFADLFLPYGPTDYVELC >Manes.14G120400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11656541:11658768:1 gene:Manes.14G120400.v8.1 transcript:Manes.14G120400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQVRVKVIGINYVGESCEEMESWVLWSGNGNPFGSKGKWLVRVENVKDCRTEVYQVEFVILCIGLYSGCPNIPDFPPDQGPEMFNGKVMHSMEYSAMDNLKAIEFIKRKRITIIGSQKTALDIAAECANINGFQYPCTMIQRTARWQIHGENICGINLGFLYLNRFSEFLIHKPGETFLLSLLATLLSPLRWGISKFLECYLRWKLPLKKYGIIPKSSFLQDISSCRTCKLPENFYDKVEEGSIIIKKANSFSFCKQGLIIDRESQPLETDLVIFATGFKGEEKIRNIFESPFLQKCITGSKARISLYRQVIHPRIPQLAVIGYAESLSYLYATEIRCRWLAEFLDGKFELPHVTEMEKQVSIWENFMKKHNGNSRRECISNIHIWGNDQLCKDMGCEPRRKRGFFADLFLPYGPTDYVELC >Manes.14G120400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11656523:11658769:1 gene:Manes.14G120400.v8.1 transcript:Manes.14G120400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVLWSGNGNPFGSKGKWLVRVENVKDCRTEVYQVEFVILCIGLYSGCPNIPDFPPDQGPEMFNGKVMHSMEYSAMDNLKAIEFIKRKRITIIGSQKTALDIAAECANINGFQYPCTMIQRTARWQIHGENICGINLGFLYLNRFSEFLIHKPGETFLLSLLATLLSPLRWGISKFLECYLRWKLPLKKYGIIPKSSFLQDISSCRTCKLPENFYDKVEEGSIIIKKANSFSFCKQGLIIDRESQPLETDLVIFATGFKGEEKIRNIFESPFLQKCITGSKARISLYRQVIHPRIPQLAVIGYAESLSYLYATEIRCRWLAEFLDGKFELPHVTEMEKQVSIWENFMKKHNGNSRRECISNIHIWGNDQLCKDMGCEPRRKRGFFADLFLPYGPTDYVELC >Manes.15G018300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1558219:1560355:1 gene:Manes.15G018300.v8.1 transcript:Manes.15G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIVMEKKIKNGVVDVSSFLLVEGSADSEADCGLLKLCEDVIMACDYDDEDAESCSCDTVDSLEVFDYDGTGGDQDCSGYDEAKANKECAEERNWCRLWLGVAGLEYMSTENGEEESKADAKWSKEVIDQMEDSLFWETCLAVGYP >Manes.06G169401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29403098:29404482:-1 gene:Manes.06G169401.v8.1 transcript:Manes.06G169401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSESLPFSTGNEDHSVVDPTSNKYVAWMVEVAEQTAYLVASWQGFGFTPGVLNTYNMSILGLTIDYGPFGCLDAFDPSYTSNTTDLPGRRYCFANQPDIGLWNVA >Manes.16G095400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30163170:30163949:1 gene:Manes.16G095400.v8.1 transcript:Manes.16G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTVSDEQFKLFHSIDREVYRLLVINLWRDPVESMQIMALWLWLERMGFPIMVKKILALPYILINEVADESNICISCVNDNQFASQNSDIPLMQILMEKEISLKYFHDNRLCATQGMAKFMNDVCIRALYDIMQQAIERNCAQISFLTTTQKIPPSSTQPRLPQTESNDVPPEDRTMFVTFSKGYPVHKWEVKEFFTRTYGDCIESLHMQEVEFNEQALFARIVFYSAATIDVILNGVDKVKFNINGKHVWARKF >Manes.12G091250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:17104806:17105360:-1 gene:Manes.12G091250.v8.1 transcript:Manes.12G091250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALFSLPILVRRILKHAQQKIKQQDNNKQSERKFQVRYWVYLKLQFYRQTSEATRRNFKLSAKFCAPFQVIARVGTVAYKLQLPPNANIYPVFHVSVLKKLRTKGTPILDCHPFIMIRQLTVAPEELLQTRTAKRDGHPVLRDLIKWLNLPPQEPSFLLSQFINFQPSRDKKGSKGEGIVT >Manes.S052816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1817485:1817643:-1 gene:Manes.S052816.v8.1 transcript:Manes.S052816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.13G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7671815:7675826:1 gene:Manes.13G063300.v8.1 transcript:Manes.13G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARPNTSDRDGEPFVEVDPTGRFGRYDDLLGAGAVKKVYRGFDQEEGIEVAWNQVRLRNFIEDPVLINRLHSEVKLLRSLKNKYIIVCYSAWLDEENTTLNFITEVCTSGNLRNYRKKHRHVSLKALKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFVNGNIGQVKIGDLGFATIVGKSHAAHSIIGTPEFMAPELYEEDYTELVDIYSFGLCLLEMVTIEMPYSECDSIAKIYKKVTTGVKPRSLSKVTNPEVKAFIEKCIAEPRARPSASDLLKDPFFSEVNNEDETEDPDALHVR >Manes.01G200300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37385683:37390624:1 gene:Manes.01G200300.v8.1 transcript:Manes.01G200300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFTISLHSPFLHSFPSHAHSLLSIFPSNPRLILPISSPNRPHSPFACAGIQLSLPCHYSTSLSSPNGVLHNWKLRSLGLKNIDVATLGNLCVDIVLSVPELPPRLPEARKAYMEQLSASPPDKQYWEAGGNCNVAIAASRLGLSCATIGHVGNEIYGNFLLDVLRDEKISVVGMSEDIDVTDSSSISYETLLCWVLVDPLQRHGFCSRADFSDEPAFSWVSKLSTEVKTAIKQSKVLFCNGYGFDELSPSLMVSALDYAVEVGTSVFFDPGPRGRSLSTGTPEEQKALHHFLKMSDVLLLTSDEAESLTGIEDPLLAGQKLLMSGIRTKWVIVKMGSKGSILVTVSSISCAPAFKVDVIDTVGCGDSFVAAIAYGFIHNLPLVNTLAIANAVGAATAMGCGAGRNVATLEKVIELIRASNLNEDDEFWNELVKNLDSQEITFLSKMVINGSNNHLNRIALQKVVSDLLPKLESAPLEGKVAS >Manes.01G200300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37385683:37390624:1 gene:Manes.01G200300.v8.1 transcript:Manes.01G200300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFTISLHSPFLHSFPSHAHSLLSIFPSNPRLILPISSPNRPHSPFACAGIQLSLPCHYSTSLSSPNGVLHNWKLRSLGLKNIDVATLGNLCVDIVLSVPELPPRLPEARKAYMEQLSASPPDKQYWEAGGNCNVAIAASRLGLSCATIGHVGNEIYGNFLLDVLRDEKISVVGMSEDIDVTDSSSISYETLLCWVLVDPLQRHGFCRADFSDEPAFSWVSKLSTEVKTAIKQSKVLFCNGYGFDELSPSLMVSALDYAVEVGTSVFFDPGPRGRSLSTGTPEEQKALHHFLKMSDVLLLTSDEAESLTGIEDPLLAGQKLLMSGIRTKWVIVKMGSKGSILVTVSSISCAPAFKVDVIDTVGCGDSFVAAIAYGFIHNLPLVNTLAIANAVGAATAMGCGAGRNVATLEKVIELIRASNLNEDDEFWNELVKNLDSQEITFLSKMVINGSNNHLNRIALQKVVSDLLPKLESAPLEGKVAS >Manes.03G033000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2761534:2764131:-1 gene:Manes.03G033000.v8.1 transcript:Manes.03G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSALNFTKPTQIPTQGEDSQNPSTSLLSFDTDSSDTSNPPQKRTTTTIFISLILITCIALSAASAFAFLFFSSSTTASDQKSSPPEETSRPLTKLNHPVVLLVSSDGFRFGYQFKTPTPNIHRLIANGTEAETGLIPVFPTLTFPNHYSIVTGLYPAYHGIVNNHFIDPLTGEFFTMSSHEPKWWLGEPLWDTVANHGLRAATQFWPGAEVHKGSWNCPEEFCMFYNSSVPFEQRVDKILSFFDLPSDEIPVFMTLYFEDPDHQGHKVGPDDPEITEAVAGIDRMIGRLINGLEKRGVFEDVDIIMVGDHGMVGTCDKKLIFLDDLASWINIPAEWVQSYSPVLAIRPPPGVSPWSIVVKMNEGLTSGRVPNGKHLKVYLKEELPSRLHYSASDRIPPIIGLIEEGFKVEQKRTKHQECGGSHGYDNEVFSMRTIFIGHGPDFARGRKVPSFENVQIYNLVTSILKIQGAPNNGSLSFTSSILLPSPK >Manes.15G169950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14775646:14777985:-1 gene:Manes.15G169950.v8.1 transcript:Manes.15G169950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITLQTKDKLGFITGKISKPNFDAPEYKKWITVDSMIISWILNSISRDLLDGFLYAPSAHDLWNDIAERFGESNGPLFFQIKKELANIS >Manes.16G064250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25048028:25048280:-1 gene:Manes.16G064250.v8.1 transcript:Manes.16G064250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAYQEMSYADHVKRRHEDKGFLYACLFALCCCFCCFETCECCLDVLCCCC >Manes.15G098300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7690525:7693305:1 gene:Manes.15G098300.v8.1 transcript:Manes.15G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGAEAQKPHVVLVPFPAQGHINPFMQLAKLLHSSGFHITFVNTEFNHRRFLRSKGPEAMKGLPDFCFETIPEGLPPSDRDATQDPPALCDAVRKNCLAPFMELLSKLRSSAHVPPVTCIIADGVMSFAIKAAQVFGIPEVQFWTASACGLMGYLQYGEFIRRGIVPFRDESFLTDGTLDTPIDWIPSMSNVRIKDMPSFIRTTDVEEILFDFLKSEAENCFNASAIIFNSFDELEHEVLGAIAAKFPHIYSIGPLSLLGKHMPETELHSFRSSLWKEDTTCLEWLDQREPNSVVYVNYGSVTVMTDNHLKEFAWGLANSKYSFLWIVRPDVVMGDSAILPEEFLEEINNRGFMASWCPQDQVLSHPSVGVFLTHCGWNSMMESLCAGVPVISWPFFAEQQTNCRYACTSWGIGMEVNADVKRDEIVALLKEMMDGHNGKQMKQKALQWKWKAEEVTDVGGSSYNDFNRFVEKLLHHEMTTSDAGNI >Manes.18G126754.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15710222:15711771:1 gene:Manes.18G126754.v8.1 transcript:Manes.18G126754.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQYLSMNEIDEAVQVQEEILEHTLQALGGQANASSSSSSRYALDESEEGMVRIAWEKVGKERLRDILNRVRSELLRKHKKTDVAYLYNLGPDWMETEIWNEFVAYWSTPEWLEIIRVYCVKLGRQPTQLELFCATHTKKGSQGVFIDGKSRRVDGAYLSAIAENVNDNCESQSAFDLNKWIEISGSKQNRIKMKQDIKQMQEQMRVQIEKQIKDQMKSSKNKNRSSPHNTTADSDGSTNS >Manes.08G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4654535:4659090:-1 gene:Manes.08G046800.v8.1 transcript:Manes.08G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMQDYAIQILTFLASFFFILIIFVKCRNKVRLPPSPRALPIIGHMHLLGPIPHQAFHKLSIRYGPLVYFFIGSKPCVLASTPEMAKEILKNNESNFMNRPKVANLDYLTYGSADFATIPYGPHWKFMKKLCMTELLGSRTLDQFLPIRQEETKRFLKLVLKKAEAKEAVNVGGELMRLTNNIISRMLLRTRCSDKKDEADEVRKLVKELNELGAKFNLSDTIWFCKNFDLQGFEKRLKDARDRYNNMMERIMKEHEDARKKKKEVGEEGDTVKDLLDLLLDIYEDENAERRLTRENIKAFIMNIFGAGTDTSSITVEWGLAELINHPHVMEKAKLEIDSVVGKTRLVQESDIPNLPYLQAIVKEILRLHPTGPLIVRESSEDCVIAGYTIPAKTRLFVNVWSLGRDPNYWENPLEFRPQRFTSEEWCAKSNMLDVRGQYFHLLPFGTGRRSCPGASLALQFVPTTLAAMIQCFEWKVGDGEINGTVDMEEGPGLTLPRAHSLVCFPVARLRPILSI >Manes.11G134800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30008484:30009797:1 gene:Manes.11G134800.v8.1 transcript:Manes.11G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSPIPAQQLQPEGFSQWNPYVIGSVIVVCTIIVLFSYYRILIRLCCALNALTFSENRVQMRRISENNPEDSSLQYNCHGLQSTIMHSLPISQYKKGKEEEPRASNYECVVCLGEFDEGEWLKHLPNCAHVFHVACIDTWFQTHSNCPICRSHVHDSGHEHSISMNTMLESLRREDFP >Manes.14G021900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2125901:2126439:-1 gene:Manes.14G021900.v8.1 transcript:Manes.14G021900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSGLSGIESISLDMKDKKLTVTGDVDPVHIVGKLRKLCHTEIVSVGPAKEPEKKKEEPKKEEPKKKEEPKKETKEKDDVAELIKAYRAYNPHMTTYYYARSVEEDPNACVIC >Manes.14G021900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2125901:2126715:-1 gene:Manes.14G021900.v8.1 transcript:Manes.14G021900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKLELHDDKEKKKAMKKVSGLSGIESISLDMKDKKLTVTGDVDPVHIVGKLRKLCHTEIVSVGPAKEPEKKKEEPKKEEPKKKEEPKKETKEKDDVAELIKAYRAYNPHMTTYYYARSVEEDPNACVIC >Manes.06G166600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29253837:29257200:1 gene:Manes.06G166600.v8.1 transcript:Manes.06G166600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSSLFRLRSHLIRTSTTTITSRLFHSTTPTLSTIKSECLILSPLSDLRKVSTEFPSLTGLRFFSTARRHPTRPKTVDIGARARQLQTRRLWTYALTFSCIAGFIVIVLNSFQDQLVFYVTPTDAMEKYKANPQKNKFRLGGLVLEGSVAQPASSPEMEFVITDLITDILVRYQGSLPDLFREGHSVVVEGFVKPFTEELRKEVGVKSVSGKARSGECYFSATEVLAKHDEKYMPKEVAAAIEKNKQQIEAAEGRKEEAVN >Manes.06G052100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18217847:18220244:1 gene:Manes.06G052100.v8.1 transcript:Manes.06G052100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEETPVVAEVVIPQEQPKKVVEESENDVQEDWQVKEVQDYDDSKPKTVQKSSSYKEESNFLSDLKEFEKKALNEFKSKLEEAILGNNLFKKKELKKKQKESEEKEKSENKEETEKETEPENGEESEKQAQEEAEMNEQKAVEENGEEIDKDVSIWGTPLLPSKGAEGTDVILLKFLRAREFKVNEAFEMLKKTLQWRKESNIDSISDEDLQVDLSSAFHMNGIDREGHPVCYNIYGVFGNEELYTKAFGTEENRKQFLRWRFQLMEKGIRKLDLKPGGVTSLLQISDLKNSPSPLKKDLRVAMKQAVGILQDNYPELVARNIFINVPFWYYALNSLLSPFLTQRSKSKFVVARPAKVTETLLKYIPAEEIPVQYGGFKRENDFEFSTEDGKVSELVIKAGSSETIEIPAEEVGAMLLWDVIVLGWEVNYKEEFVPSEDGSYSIIISKGKKMSYSEGPIRNSFKNNQLGKVVLTLVNSSGKRKMVLYRYKIKKSDSF >Manes.11G024800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2501823:2505479:-1 gene:Manes.11G024800.v8.1 transcript:Manes.11G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTLIRTGASLMNRFFLSNPILHQNPNPNSQLLSRGFEITPRLFPSLSKFQTSLHLTQNDAHSFNKLSNEEFFHPCGLPSLRFFLPDGDSSSEPMLLFPKRTFQPSLIRRKRNHGFFARKATKGGRKVIARRIAKGRSRVTA >Manes.09G164500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35836091:35838877:1 gene:Manes.09G164500.v8.1 transcript:Manes.09G164500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQELMKMQTCVLKVNIQCHCDGCKKKIKKLLQKVDGVYNTTVNAEQGKVTVTGNVDPAKLIKKLEKSGKHAELWGAPKGFNNYQNIVYNQLKNTQIDNGKDNKSQKGGKGGQQGQHQMQQFKGSQDLKMQPHKDQKTVKFNLQNDYIDASDDDDFDDDFDEFDDDFDDEDEEFGHGYGHGHGHGQAQGQVHHLPNKMMPMMGNGHEAHGSHGMINGPMFDAKKGGGGGGNAKKGGGNAKKGGGDFEISLDMKGKGKGNNNDGKNGNGGKKGGGGDGKNGHSKGGGNGKQDGKDKNGGKSGFGGFLSFGRKSKNGREDDTDKKSSNNGGSAGNNNSNGAKKGGGKNDGIHASNKIKQSYHEIDVNRGGGGAKNKSQMGQMGQMGQMGQMGQMGQMGQMGQMGQMGQMGQMGQMRPMGNFPAVQGLPAQAAAMNGGYYQGMMGGGNPYNQQYMAMMMNQQRQNWNDRFQPMMYAGPRPAVNYMPPHPMADPYTHFFSDENANSCSIM >Manes.09G164500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35836091:35838877:1 gene:Manes.09G164500.v8.1 transcript:Manes.09G164500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQELMKMQQTCVLKVNIQCHCDGCKKKIKKLLQKVDGVYNTTVNAEQGKVTVTGNVDPAKLIKKLEKSGKHAELWGAPKGFNNYQNIVYNQLKNTQIDNGKDNKSQKGGKGGQQGQHQMQQFKGSQDLKMQPHKDQKTVKFNLQNDYIDASDDDDFDDDFDEFDDDFDDEDEEFGHGYGHGHGHGQAQGQVHHLPNKMMPMMGNGHEAHGSHGMINGPMFDAKKGGGGGGNAKKGGGNAKKGGGDFEISLDMKGKGKGNNNDGKNGNGGKKGGGGDGKNGHSKGGGNGKQDGKDKNGGKSGFGGFLSFGRKSKNGREDDTDKKSSNNGGSAGNNNSNGAKKGGGKNDGIHASNKIKQSYHEIDVNRGGGGAKNKSQMGQMGQMGQMGQMGQMGQMGQMGQMGQMGQMGQMGQMRPMGNFPAVQGLPAQAAAMNGGYYQGMMGGGNPYNQQYMAMMMNQQRQNWNDRFQPMMYAGPRPAVNYMPPHPMADPYTHFFSDENANSCSIM >Manes.11G156200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32275455:32280717:1 gene:Manes.11G156200.v8.1 transcript:Manes.11G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSPKFIIPESFQVSSDDIGAQIGLIWELIKAPLIVPLLKLGVYICLTMSLMLFMERLYMGIVIILVKLFWKKPEKRYKWEPLQEDLESGNSNFPVVLVQIPMFNEREVYKLSIGAASNLSWPADRLVIQVLDDSTDPEIKQMVELECQRWASKGINIRYQIRENRVGYKAGALKEGLKRSYVKHCEYVAIFDADFQPEPDYLRRAIPFLVHNPDIALVQGRWRFVNADECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIVRNKKVRFWKKVYVIYSFFFVRKLIAHMVTFWFYCVVLPLTILVPEVEVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSFHRTKATFIGLLEAGRANEWVVTEKLGNTLQKNADASKNKTNVKTIKRPRFKFTDRLNTLELGFAAFLFLCGCYDFVHGKNNYFVYLFLQTITFFITGIGYVGTIIPSS >Manes.14G132800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10605886:10610845:1 gene:Manes.14G132800.v8.1 transcript:Manes.14G132800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLQLPDKARRVFPDRLGSLVAGLVDKEDENGLYDSVFESGEELEFIQPDRAELCKESDYEFQCEYGDDCDLSLNDKMMEKEDAKTRKRSEKDGPGQVSVMEVFAADEKRSDIEHELSQKEINLEKLRRIASTGLPEGGGLRGTTWKLLLGYLPPSRDLWEKELTENRQKYAKLKEELLSSPSELNAVNNDVLNSDELNAEGDGGGPLKRHGVSHEDHPLSVGKSSAWHQYFQHTEIADQIDRDLQRTHPNIKFFSGDSSFSRKNRDAMRNILLLFAKLNPAIRYVQGMNEVLAPIFYVFSADTDQQNAANAEADSFSCFVRLLSDSVDHFCPQLDNSPVGILSTLSRLTDLLKANDEELWRHLEFTTKVDHITAHSRI >Manes.14G132800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10605886:10610845:1 gene:Manes.14G132800.v8.1 transcript:Manes.14G132800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLQLPDKARRVFPDRLGSLVAGLVDKEDENGLYDSVFESGEELEFIQPDRAELCKESDYEFQCEYGDDCDLSLNDKMMEKEDAKTRKRSEKDGPGQVSVMEVFAADEKRSDIEHELSQKEINLEKLRRIASTGLPEGGGLRGTTWKLLLGYLPPSRDLWEKELTENRQKYAKLKEELLSSPSELNAVNNDVLNSDELNAEGDGGGPLKRHGVSHEDHPLSVGKSSAWHQYFQHTEIADQIDRDLQRTHPNIKFFSGDSSFSRKNRDAMRNILLLFAKLNPAIRYVQGMNEVLAPIFYVFSADTDQQNAANAEADSFSCFVRLLSDSVDHFCPQLDNSPVGILSTLSRLTDLLKANDEELWRHLEFTTKVDHITAHSRI >Manes.14G132800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10605886:10610845:1 gene:Manes.14G132800.v8.1 transcript:Manes.14G132800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLQLPDKARRVFPDRLGSLVAGLVDKEDENGLYDSVFESGEELEFIQPDRAELCKESDYEFQCEYGDDCDLSLNDKMMEKEDAKTRKRSEKDGPGQVSVMEVFAADEKRSDIEHELSQKEINLEKLRRIASTGLPEGGGLRGTTWKLLLGYLPPSRDLWEKELTENRQKYAKLKEELLSSPSELNAVNNDVLNSDELNAEGDGGGPLKRHGVSHEDHPLSVGKSSAWHQYFQHTEIADQIDRDLQRTHPNIKFFSGDSSFSRKNRANAEADSFSCFVRLLSDSVDHFCPQLDNSPVGILSTLSRLTDLLKANDEELWRHLEFTTKVKPQFYAFRWITLLLTQEFDLQCILRIWDSLLSNPSGVQDMLLRICCAMLLCVKSRLLSGDFAANLRLLQHYPDINIEYLLQLARDLSPDT >Manes.14G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10605886:10610845:1 gene:Manes.14G132800.v8.1 transcript:Manes.14G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLQLPDKARRVFPDRLGSLVAGLVDKEDENGLYDSVFESGEELEFIQPDRAELCKESDYEFQCEYGDDCDLSLNDKMMEKEDAKTRKRSEKDGPGQVSVMEVFAADEKRSDIEHELSQKEINLEKLRRIASTGLPEGGGLRGTTWKLLLGYLPPSRDLWEKELTENRQKYAKLKEELLSSPSELNAVNNDVLNSDELNAEGDGGGPLKRHGVSHEDHPLSVGKSSAWHQYFQHTEIADQIDRDLQRTHPNIKFFSGDSSFSRKNRDAMRNILLLFAKLNPAIRYVQGMNEVLAPIFYVFSADTDQQNAANAEADSFSCFVRLLSDSVDHFCPQLDNSPVGILSTLSRLTDLLKANDEELWRHLEFTTKVKPQFYAFRWITLLLTQEFDLQCILRIWDSLLSNPSGVQDMLLRICCAMLLCVKSRLLSGDFAANLRLLQHYPDINIEYLLQLARDLSPDT >Manes.14G132800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10605886:10610845:1 gene:Manes.14G132800.v8.1 transcript:Manes.14G132800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLQLPDKARRVFPDRLGSLVAGLVDKEDENGLYDSVFESGEELEFIQPDRAELCKESDYEFQCEYGDDCDLSLNDKMMEKEDAKTRKRSEKDGPGQVSVMEVFAADEKRSDIEHELSQKEINLEKLRRIASTGLPEGGGLRGTTWKLLLGYLPPSRDLWEKELTENRQKYAKLKEELLSSPSELNAVNNDVLNSDELNAEGDGGGPLKRHGVSHEDHPLSVGKSSAWHQYFQHTEIADQIDRDLQRTHPNIKFFSGDSSFSRKNRDAMRNILLLFAKLNPAIRYVQGMNEVLAPIFYVFSADTDQQNAANAEADSFSCFVRLLSDSVDHFCPQLDNSPVGILSTLSRLTDLLKANDEELWRHLEFTTKVKPQFYAFRWITLLLTQEFDLQCILRIWDSLLSNPSGVQVSLSLSHTHTHTHQD >Manes.14G132800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10605886:10610845:1 gene:Manes.14G132800.v8.1 transcript:Manes.14G132800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGKLQLPDKARRVFPDRLGSLVAGLVDKEDENGLYDSVFESGEELEFIQPDRAELCKESDYEFQCEYGDDCDLSLNDKMMEKEDAKTRKRSEKDGPGQVSVMEVFAADEKRSDIEHELSQKEINLEKLRRIASTGLPEGGGLRGTTWKLLLGYLPPSRDLWEKELTENRQKYAKLKEELLSSPSELNAVNNDVLNSDELNAEGDGGGPLKRHGVSHEDHPLSVGKSSAWHQYFQHTEIADQIDRDLQRTHPNIKFFSGDSSFSRKNRANAEADSFSCFVRLLSDSVDHFCPQLDNSPVGILSTLSRLTDLLKANDEELWRHLEFTTKVKPQFYAFRWITLLLTQEFDLQCILRIWDSLLSNPSGVQVSLSLSHTHTHTHQD >Manes.S032616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:806506:807318:-1 gene:Manes.S032616.v8.1 transcript:Manes.S032616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRPPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.05G069700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5747838:5751987:1 gene:Manes.05G069700.v8.1 transcript:Manes.05G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRIRSRDGLERVSVDNPNITVSQLKTLIQNQLQIPIHKQTLSTNQNLLLAKSPSDLLKFTDMCDPNIPLSSLNIAHGSIVFLAYEGERTIAGPAVHPAGSFGRKMTMDDLIAKQMRITRQETPHCESVSFDRECANAFQQYVNETLAFAVKRGGFMYGTVSEEGKVEVNFIYEPPQQGTEEILMLLRDPQEEKLVDAIAAGLGMRRVGFIFTQTITQDKKDYTLSNREVLQAAELHAESELKEWVTAVVKLEVNEDGGADVHFEAFQMSDTCVKLFKEKWFETEIGEDMDPKLSKMNKDVVVGGKDVREVDNDFFLVVVKILDHVGTLSSSFPIENRISQVTVRALKNHLDRTKNLPFVKRISDFHLLLFVARFLDLSDVPALAECVQTQTTVPEGYQLIIVSMANTS >Manes.17G035100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21750635:21751441:-1 gene:Manes.17G035100.v8.1 transcript:Manes.17G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFFEPQKGLPFTIEVGYFDTVLEIKEKVQKYQGIPVNKQTLVFNGQVLEDDRDIEYCELLHNSHVQLLIALDNDNEPQVKIEDSSPSNKIQLSIKTPSSKVLVPLKMDLGDTILQLKEKIHEMEPVPVQRLMLQFNGGELQDNRSLRESELVDKSEINVNIRPSPTNSGTGSTGVATTGTKKLKLMVLPKCGTKKIPIEVNASDNVGELRKELQKLNQRLHFHLPPEGYFFIYKQNVMDDDRSFRWHHVCQGDTIEIFNGSVTGGS >Manes.10G080600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19459533:19469162:1 gene:Manes.10G080600.v8.1 transcript:Manes.10G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIPQAAATSTDIPKRPPLLPSEKDQHNNGGHHVPARKPRGKQVPSRYLSPSPSSSTTTTTTTTTSTSSSSSSSFSKRFPSPLISRSTNSGAAHIPSSFSSFSGPKRSQSVDRRRSVTPRPTTPNPESKQGNATEMSVATRMLITSTRSLSVSFQGEAFSLPISKAKAVSSPNVGRKATPERRKATPVRDQGENSRPVDQHRWPGRSRGGNLGSTERNPLFSRSLDCSGGEKRIMGSGLMMVKSLQQSMMVDERRLSLDLGNAKQSPDANSVNDSSLTGDLTASDSDSVSSGSTSGLHELGGGISKGKSGARGIAVSARFWQETNSRLRRLQDPGSPLSSSPTSRMGISSKTIQSKRFYSDAPLASPRTIASSPIRGATRPASPSKLWTPSASSPSRGISSPLRGRPISSNSSSTPSILSFSVDLRRGKIGEDRIVDAHTLRLLYNRYLQWRFVNARADATFMVQRLNAEKNLWNAWVTISELRHSVILKRIKLLLLRQKLKLTSILKGQITYLEEWSHLDRDHSTSLEGATEALKASTLRLPIVGKAIADVQNLKDAIGSAVDVMQAMASSVCSLSAKVEEINSLVVEIVNVTAKEKYFLEQCKGFLSTLAAMQVKDCSLRTHIIQLNRLRTTSNLTTRV >Manes.10G080600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19459533:19469162:1 gene:Manes.10G080600.v8.1 transcript:Manes.10G080600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVIPQAAATSTDIPKRPPLLPSEKDQHNNGGHHVPARKPRGKQVPSRYLSPSPSSSTTTTTTTTTSTSSSSSSSFSKRFPSPLISRSTNSGAAHIPSSFSSFSGPKRSQSVDRRRSVTPRPTTPNPESKQGNATEMSVATRMLITSTRSLSVSFQGEAFSLPISKAKAVSSPNVGRKATPERRKATPVRDQGENSRPVDQHRWPGRSRGGNLGSTERNPLFSRSLDCSGGEKRIMGSGLMMVKSLQQSMMVDERRLSLDLGNAKQSPDANSVNDSSLTGDLTASDSDSVSSGSTSGLHELGGGISKGKSGARGIAVSARFWQETNSRLRRLQDPGSPLSSSPTSRMGISSKTIQSKRFYSDAPLASPRTIASSPIRGATRPASPSKLWTPSASSPSRGISSPLRGRPISSNSSSTPSILSFSVDLRRGKIGEDRIVDAHTLRLLYNRYLQWRFVNARADATFMVQRLNAEITYLEEWSHLDRDHSTSLEGATEALKASTLRLPIVGKAIADVQNLKDAIGSAVDVMQAMASSVCSLSAKVEEINSLVVEIVNVTAKEKYFLEQCKGFLSTLAAMQVKDCSLRTHIIQLNRLRTTSNLTTRV >Manes.03G198200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31531140:31534561:1 gene:Manes.03G198200.v8.1 transcript:Manes.03G198200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSGIQKLLQVGTKIVAVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLENGGNIEIPHPLESLDHEVELAVVINKKARDVPQTIAMDYVGGYALALDMTAREIQASAKSAGLPWSVAKGQDTFTPISSVLPKSAVPDPDNLELWLKVDGDIRQKGSTKDMIFKIPYLISHISSIMTLFEGDVILTGTPQGVGPVKVGQKITAGITDLIDVHFNVENRRRPGSY >Manes.17G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31293013:31294882:-1 gene:Manes.17G106000.v8.1 transcript:Manes.17G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGASTQWDDIQRKLGNLPPKPPAFKPPSFTPAPDEDSIPKDKSWIDEKTEEELEDLEDDLNDDRFLEEYRKKRIAEMREAAKISRFGSVIPISGSDFVREVTEASHDVWVVVILYKDGYQECGVLLRCLEELATRYPATKFVKIISTDCIPNYPDRNLPTLLVYSNGAVKANYVGLHSFGRRCTPEGVALVLCQLGPVLNDGQSGRDSSRETIMEGERRRLIEKVIKEHEDDDDGSSSD >Manes.06G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20789129:20790414:1 gene:Manes.06G071600.v8.1 transcript:Manes.06G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSFTYTSSSASVCIEADGQEVDEDSLFLSLGPPGQSIAKLPNYLPCLNENKQQIEQNPTSESGVTVALHIGPPTTGASISNPNHIGSLVEGQYWIPSPAQILVGPTQFSCALCNKTFSRYNNMQMHMWGHGSQYRKGPESLRGTKQVSSMLKLPCYCCAEGCKNNIEHSRSKPLKDFRTLQTHYKRKHGEKPFGCRKCGKPFAVRGDWRTHEKNCGKFWLCICGSDFKHKRTLKDHVRAFGDGHAPHTVKVSEVEEEQKEKEEDGDGDNNIDQGDSGGGHGLVFL >Manes.04G083400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28458211:28463145:-1 gene:Manes.04G083400.v8.1 transcript:Manes.04G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTAGYLARRAAQKERVQILYRRALRDTLNWAVHRHLFYQDASNLREKFDANKHVEDLDAIDRMIAEGEAQYNKWRHPDPYIVPWAPGGSKFTRNPTPPSGIEIAYDYGREDND >Manes.06G106900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23930117:23933418:-1 gene:Manes.06G106900.v8.1 transcript:Manes.06G106900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKSLQVLNLANNSLSGAIPVKLSHLSNLKYLNLLGNKLSGQIPLELNHLVQLEKLDLSVNSLSGPISLFSAQLKNLETLVLSNNFLTGSIPSNFCLQNSNLQQLFLHQNNLSGKFPLELLNCSSLQQLDLSDNNFEGELPSSIDKLENLTDLKLNTNSFSGKLPPEIGNMSKLVNVYLFDNMITGKPPLEIGKLKRLSALYLYDNQMSGRIPVELTNCTSITEIDFFGNHLTGSIPPTIGKLKNLIILQLRQNDLSGPIPPSLGYCRKLQILALADNKLSGTLPPTFRFLSELYKVTLYNNSFEGPLPSSLFLLKNLQIINFSHNRFSGSISPLLGSNSLTALDLTNNSFSGPIPPRLTMSRNLSRLRLAQNHLIGDIPNEFVELTELRFLDLSFNNLTGDVAAQLSNCRKLEHLLLNNNQLTGTMPSWLGRFEELGELDFSSNNFHGEIPAQLGNCSKLLKLSLHSNNYSGKIPQEIGNLTSLNVLNLHRNNLSGFIPSKLQECEKLFELRLAENCLTGSIPPELGRLTELQVILDLSKNSLSGEIPSSLGNLVKLERLNLSFNHLQGEVPFSLAKLTSLHMLNLSYNDLRGQLPSTFSGFPLSSFIGNNKLCGPPLTSCLGSGDQEKKSLSNAGVAGIIAAIVFSSTVICLTMLYIMVRMWRNWRRVTIQSLDGGGTEQTREEEKWVCGDEKRKNGEYWKVNSMAKVPSLDDQISKTCIFPFKMDT >Manes.06G106900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23930117:23933809:-1 gene:Manes.06G106900.v8.1 transcript:Manes.06G106900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKSLQVLNLANNSLSGAIPVKLSHLSNLKYLNLLGNKLSGQIPLELNHLVQLEKLDLSVNSLSGPISLFSAQLKNLETLVLSNNFLTGSIPSNFCLQNSNLQQLFLHQNNLSGKFPLELLNCSSLQQLDLSDNNFEGELPSSIDKLENLTDLKLNTNSFSGKLPPEIGNMSKLVNVYLFDNMITGKPPLEIGKLKRLSALYLYDNQMSGRIPVELTNCTSITEIDFFGNHLTGSIPPTIGKLKNLIILQLRQNDLSGPIPPSLGYCRKLQILALADNKLSGTLPPTFRFLSELYKVTLYNNSFEGPLPSSLFLLKNLQIINFSHNRFSGSISPLLGSNSLTALDLTNNSFSGPIPPRLTMSRNLSRLRLAQNHLIGDIPNEFVELTELRFLDLSFNNLTGDVAAQLSNCRKLEHLLLNNNQLTGTMPSWLGRFEELGELDFSSNNFHGEIPAQLGNCSKLLKLSLHSNNYSGKIPQEIGNLTSLNVLNLHRNNLSGFIPSKLQECEKLFELRLAENCLTGSIPPELGRLTELQVILDLSKNSLSGEIPSSLGNLVKLERLNLSFNHLQGEVPFSLAKLTSLHMLNLSYNDLRGQLPSTFSGFPLSSFIGNNKLCGPPLTSCLGSGDQEKKSLSNAGVAGIIAAIVFSSTVICLTMLYIMVRMWRNWRRVTIQSLDGGGTEQTREEEKWVCGDEKRKNGEYWKVNSMAKVPSLDDQISKTCIFPFKMDT >Manes.05G176900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29332750:29336420:1 gene:Manes.05G176900.v8.1 transcript:Manes.05G176900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQSSAAMFMFMLLCFTLSSASEMSIISYDQNHGTKSSWRTDEEVVAIYEEWLVKHGKVYNALGEKERRFEVFKDNLRFIDEHNSENRTYRVGLNRFADLTNEEYRSMYLGLRGGIKRNRLRKTSNRYAPLVGDSLPDSVDWRKEGAVVAVKNQGQCGGCWAFSTIAAVEGINKIVTGDLISLSEQELVDCDISYNEGCNGGLMDKAFEFIINNGGIDSEEDYPYLARGGRCDTNRKNARVVTIDDYEDVPVNDEAALKKAVANQPVSVGIESGGMDFQFYTSGVFSERCGTELDHAVVAVGYGTENEKDYWIVRNSWGSNWGENGYIRMARNIDKPTGLCGIAMVASYPIKKGQNPPNPGPSPPSPIGPPNVCDSYYSCPESNSCCCLVESANLCLEWGCCPLEDATCCDDHDSCCPHDHPICNVSQGTCLMSKDNPLGVKGMRRTPAKPHWAVGAQAKKSSA >Manes.02G087500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6908873:6919114:1 gene:Manes.02G087500.v8.1 transcript:Manes.02G087500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESCSDNKREVDCTAVNHNSSEIRGSHIHRDPSFSRWCDEDRNLHFERQLENSGASVEDSDFELPLLPQERVEDGSVGIDIYQYKNKFHNKIVHLSGNNTMDQEINVHTMDHEVSIHTMDHETNIHSRGKEKDNYVPFDIEDRSPMEMRSSNSSDDAADSFANHGKSSLKSKGPVSCADVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPGPLLMNTVHFSMQAVLSNCITWFWSHRFHLTVSMTWRDYFMRVVPTALGTAFDVNLSNASLVLVSVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIIIIICVGILLTVAKEAEFEFWGFIFVMLSAVMSGFRWCMTQILLQKEEYGLKNPLTLMSYVTPVMAIATALLSLIFDPWYEFKKSSYFDNSWHIARSCLLMFFGGALAFFMVLTEFVLVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGLGLLIIMVGVSLFNWYKYDKLQKHQMSEDDLVGSPTTNFAAKYVILEEMGDENDVS >Manes.02G087500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6908873:6919114:1 gene:Manes.02G087500.v8.1 transcript:Manes.02G087500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESCSDNKREVDCTAVNHNSSEIRGSHIHRDPSFSRWCDEDRNLHFERQLENSGASVEDSDFELPLLPQERVEDGSVGIDIYQYKNKFHNKIVHLSGNNTMDQEINVHTMDHEVSIHTMDHETNIHSRGKEKDNYVPFDIEDRSPMEMRSSNSSDDAADSFANHGKSSLKSKGPVSCADVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPGPLLMNTVHFSMQAVLSNCITWFWSHRFHLTVSMTWRDYFMRVVPTALGTAFDVNLSNASLVLVSVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIIIIICVGILLTVAKEAEFEFWGFIFVMLSAVMSGFRWCMTQILLQKEEYGLKNPLTLMSYVTPVMAIATALLSLIFDPWYEFKKSSYFDNSWHIARSCLLMFFGGALAFFMVLTEFVLVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGLGLLIIMVGVSLFNWYKYDKLQKHQMSEDDLVGSPTTNFAAKYVILEEMGDENDVS >Manes.02G087500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6908873:6919114:1 gene:Manes.02G087500.v8.1 transcript:Manes.02G087500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESCSDNKREVDCTAVNHNSSEIRGSHIHRDPSFSRWCDEDRNLHFERQLENSGASVEDSDFELPLLPQERVEDGSVGIDIYQYKNKFHNKIVHLSGNNTMDQEINVHTMDHEVSIHTMDHETNIHSRGKEKDNYVPFDIEDRSPMEMRSSNSSDDAADSFANHGKSSLKSKGPVSCADVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPGPLLMNTVHFSMQAVLSNCITWFWSHRFHLTVSMTWRDYFMRVVPTALGTAFDVNLSNASLVLVSVTFATMCKSASPIFLLLFAFAFRLESPSIKLLGIIIIICVGILLTVAKEAEFEFWGFIFVMLSAVMSGFRWCMTQILLQKEEYGLKNPLTLMSYVTPVMAIATALLSLIFDPWYEFKKSSYFDNSWHIARSCLLMFFGGALAFFMVLTEFVLVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGLGLLIIMVGVSLFNWYKYDKLQKHQMSEDDLVGSPTTNFAAKYVILEEMGDENDVVGCWRSV >Manes.17G046400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24310689:24315568:1 gene:Manes.17G046400.v8.1 transcript:Manes.17G046400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNSSWIRRTNFSHTVCLHLDSSRLASFPLAIQPERNSFLKSRPGMSSSYQRCVSFPNQRSSVPVDSQIQTNPLTNKQRSLSPLPETALSDTFKEARSDRKRFSTPQPRWNDQDKGVMGKSFHKESPETNTSSRAFNTNPIRQLASMKGHEKWKVKKDSAWTKYFDHGGGRVNAVEAADESSVDMSKLFLGLRFAHGAHSRLYHGVYKDEPVAVKIIRAPDDDENGTLAARLKNQYNREVNLLSRLHHPNVIKFVAACKNPPVYCVITEYLSEGSLRAYLRKLEHKSLTLPRLIAFALDIARGMEYIHSQGVIHRDLKPENVLINQEFHLKVADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGRKVDVYSFGLILWEMVAGTIPYEDMNPIQAAFAVVHKNLRPVIPKDCPHAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVKNPTYQDHKKGLLHWIQKLGPVHPSNSPIPKPKFT >Manes.17G046400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24310935:24315568:1 gene:Manes.17G046400.v8.1 transcript:Manes.17G046400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNSSWIRRTNFSHTVCLHLDSSRLASFPLAIQPERNSFLKSRPGMSSSYQRCVSFPNQRSSVPVDSQIQTNPLTNKQRSLSPLPETALSDTFKEARSDRKRFSTPQPRWNDQDKGVMGKSFHKESPETNTSSRAFNTNPIRQLASMKGHEKWKVKKDSAWTKYFDHGGGRVNAVEAADESSVDMSKLFLGLRFAHGAHSRLYHGVYKDEPVAVKIIRAPDDDENGTLAARLKNQYNREVNLLSRLHHPNVIKFVAACKNPPVYCVITEYLSEGSLRAYLRKLEHKSLTLPRLIAFALDIARGMEYIHSQGVIHRDLKPENVLINQEFHLKVADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGRKVDVYSFGLILWEMVAGTIPYEDMNPIQAAFAVVHKNLRPVIPKDCPHAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVKNPTYQDHKKGLLHWIQKLGPVHPSNSPIPKPKFT >Manes.17G046400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24310689:24315568:1 gene:Manes.17G046400.v8.1 transcript:Manes.17G046400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNSSWIRRTNFSHTVCLHLDSSRLASFPLAIQPERNSFLKSRPGMSSSYQRCVSFPNQRSSVPVDSQIQTNPLTNKQRSLSPLPETALSDTFKEARSDRKRFSTPQPRWNDQDKGVMGKSFHKESPETNTSSRAFNTNPIRQLASMKGHEKWKVKKDSAWTKYFDHGGGRVNAVEAADESSVDMSKLFLGLRFAHGAHSRLYHGVYKDEPVAVKIIRAPDDDENGTLAARLKNQYNREVNLLSRLHHPNVIKFVAACKNPPVYCVITEYLSEGSLRAYLRKLEHKSLTLPRLIAFALDIARGMEYIHSQGVIHRDLKPENVLINQEFHLKVADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGRKVDVYSFGLILWEMVAGTIPYEDMNPIQAAFAVVHKNLRPVIPKDCPHAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVKNPTYQDHKKGLLHWIQKLGPVHPSNSPIPKPKFT >Manes.17G046400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24310689:24315568:1 gene:Manes.17G046400.v8.1 transcript:Manes.17G046400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNSSWIRRTNFSHTVCLHLDSSRLASFPLAIQPERNSFLKSRPGMSSSYQRCVSFPNQRSSVPVDSQIQTNPLTNKQRSLSPLPETALSDTFKEARSDRKRFSTPQPRWNDQDKGVMGKSFHKESPETNTSSRAFNTNPIRQLASMKGHEKWKVKKDSAWTKYFDHGGGRVNAVEAADESSVDMSKLFLGLRFAHGAHSRLYHGVYKDEPVAVKIIRAPDDDENGTLAARLKNQYNREVNLLSRLHHPNVIKFVAACKNPPVYCVITEYLSEGSLRAYLRKLEHKSLTLPRLIAFALDIARGMEYIHSQGVIHRDLKPENVLINQEFHLKVADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGRKVDVYSFGLILWEMVAGTIPYEDMNPIQAAFAVVHKNLRPVIPKDCPHAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVKNPTYQDHKKGLLHWIQKLGPVHPSNSPIPKPKFT >Manes.17G046400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24310635:24315568:1 gene:Manes.17G046400.v8.1 transcript:Manes.17G046400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNSSWIRRTNFSHTVCLHLDSSRLASFPLAIQPERNSFLKSRPGMSSSYQRCVSFPNQRSSVPVDSQIQTNPLTNKQRSLSPLPETALSDTFKEARSDRKRFSTPQPRWNDQDKGVMGKSFHKESPETNTSSRAFNTNPIRQLASMKGHEKWKVKKDSAWTKYFDHGGGRVNAVEAADESSVDMSKLFLGLRFAHGAHSRLYHGVYKDEPVAVKIIRAPDDDENGTLAARLKNQYNREVNLLSRLHHPNVIKFVAACKNPPVYCVITEYLSEGSLRAYLRKLEHKSLTLPRLIAFALDIARGMEYIHSQGVIHRDLKPENVLINQEFHLKVADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGRKVDVYSFGLILWEMVAGTIPYEDMNPIQAAFAVVHKNLRPVIPKDCPHAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVKNPTYQDHKKGLLHWIQKLGPVHPSNSPIPKPKFT >Manes.12G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34675018:34683493:-1 gene:Manes.12G140700.v8.1 transcript:Manes.12G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEEDKDGRQRQMLKVMETLKIFEDRMALNKSEEDNAQKALEDLIELTKDRSDLILNQIDEIFEIMHKILESLKFEERTRFLAVEIVAQLFSNIREPEAEPFLAGRFICRMFSMLKVIDDNPAWDYDKLEKSCSVHSQGKKGLARLAATMGGQLLLGKFGRIFESHYDSEEWESRHAAIVANALVACTCSEELINKLDLVVQPVMQVVEDTHFRVRWAALDAIEEFSKKLSPEFQHQYYNQVLPALIKASNYLENLRIQLKAVKAISYFSQNCESDLLTPYMNEILSMLLRYLQRGTQALNEAALMGLASLAASLKDSFQEYYRTVMPYMKVIMMKAAAESNFTLLAQSVECTTIFGLSVGKEIFNHDIEMVLQFLISSEASKIKTEHPMRNQLLKVWGRLCKCLGQDFQPYSVVAIPLLLQSAQLALHATSLDISQSKYSFESVLTLQDQKIEINSKVLEEKATACEVLCICADELKEGFDLWIDEAAQTIVPLINCDIHEGLRKISISAIPVILKSSKAAMEKECTEGSEESSFKGLCSYIALALVEALNKEKLMEMQVRILESLNECMEISGATLNTEQINYFLHIIMEIITTGSALSGSKVENEQTEKIRNTAVGCLTTFTKAYKTSLSQFLDQLLSCMACMWENGRKAEERRLALHIFSDVAEKCQEEALMYCEDSLRFLIDACYEKNSEVQQIVAQGIGVSAEFGGSIFKSHIKEALAGLKAILRDQETLHLDNLPAHVAAVSALGKICFYHHERLDEVFDIWLSHLPIVCDRDEDKIVHDQLCSTVEKFKGELIRRDNDTRLSQLLAVFAEILWVGDNAATEETVKRVIEQLKHFNSRLPPDTWASIMFSLMPCRAKNLQLILSLF >Manes.12G140700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34675018:34683493:-1 gene:Manes.12G140700.v8.1 transcript:Manes.12G140700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEEDKDGRQRQMLKVMETLKIFEDRMALNKSEEDNAQKALEDLIELTKDRSDLILNQIDEIFEIMHKILESLKFEERTRFLAVEIVAQLFSNIREPEAEPFLAGRFICRMFSMLKVIDDNPAWDYDKLEKSCSVHSQGKKGLARLAATMGGQLLLGKFGRIFESHYDSEEWESRHAAIVANALVACTCSEELINKLDLVVQPVMQVVEDTHFRVRWAALDAIEEFSKKLSPEFQHQYYNQVLPALIKASNYLENLRIQLKAVKAISYFSQNCESDLLTPYMNEILSMLLRYLQRGTQALNEAALMGLASLAASLKDSFQEYYRTVMPYMKVIMMKAAAESNFTLLAQSVECTTIFGLSVGKEIFNHDIEMVLQFLISSEASKIKTEHPMRNQLLKVWGRLCKCLGQDFQPYSVVAIPLLLQSAQLALHATSLDISQSKYSFESVLTLQDQKIEINSKVLEEKATACEVLCICADELKEGFDLWIDEAAQTIVPLINCDIHEGLRKISISAIPVILKSSKAAMEKECTEGSEESSFKGLCSYIALALVEALNKEKLMEMQVRILESLNECMEISGATLNTEQINYFLHIIMEIITTGSALSGSKVENEQTEKIRNTAVGCLTTFTKAYKTSLSQFLDQLLSCMACMWENGRKAEERRLALHIFSDVAEKCQEEALMYCEDSLRFLIDACYEKNSEVQQIVAQGIGVSAEFGGSIFKSHIKEALAGLKAILRDQETLHLDNLPAHVAAVSALGKICFYHHERLDEVFDIWLSHLPIVCDRDEDKIVHDQLCSTVEKFKGELIRRDNDTRLSQLLAVFAERQKKL >Manes.03G026450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2138210:2138536:1 gene:Manes.03G026450.v8.1 transcript:Manes.03G026450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFFFLYFLFFSIFFFFIVFLSFSFFQFSYFYFFSHFSFNISSFSFFFYYMLFFITFLLTLSFYFFFSLFSLTLLFFFCLYFLWFIIFLPLFFYFLLFSFIFLFSYN >Manes.01G086000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28803302:28810984:-1 gene:Manes.01G086000.v8.1 transcript:Manes.01G086000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQNCCVHLSVEEAIAADESLLIYCKPVELYNILHRRAQYNPSFLRRCLRYKVKERRKRRLAAGVVIFNYRDYNNKLQKTEVTEDFSCPFCLMMCVSFKTVADGVEQRQQTFFFCPRPRRRKSRNCDQNKQVCVQFLELDSQKLPMEGINNGFLRKDDGENASKSSSSEKDLHNLRHGAENYGTEYPSATELMERVASSFNIQDVSIAKAQPSVDSECVKSQSGNDPLLPAGIHIAKARKLTVDRSDPRNRVLLQRRQFYHSHRVQPMALEQVMSDRDSEDEVDDDIADFEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVAWACEAFSKLHGRELVGSPALFWCWRLFMIKLWNHGLLDASTMNNCNLILERCQDEGSDAVKTERGDN >Manes.01G086000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28803302:28810984:-1 gene:Manes.01G086000.v8.1 transcript:Manes.01G086000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQNCCVHLSVEEAIAADESLLIYCKPVELYNILHRRAQYNPSFLRRCLRYKVKERRKRRLAAGVVIFNYRDYNNKLQKTEVTEDFSCPFCLMMCVSFKGLRYHLCSSHDLFNFEFWASEEYQAVNVSVKIDRFLSETVADGVEQRQQTFFFCPRPRRRKSRNCDQNKQVCVQFLELDSQKLPMEGINNGFLRKDDGENASKSSSSEKDLHNLRHGAENYGTEYPSATELMERVASSFNIQDVSIAKAQPSVDSECVKSQSGNDPLLPAGIHIAKARKLTVDRSDPRNRVLLQRRQFYHSHRVQPMALEQVMSDRDSEDEVDDDIADFEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVAWACEAFSKLHGRELVLEVIHDQALEPWSS >Manes.01G086000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28803302:28810984:-1 gene:Manes.01G086000.v8.1 transcript:Manes.01G086000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHQNCCVHLSVEEAIAADESLLIYCKPVELYNILHRRAQYNPSFLRRCLRYKVKERRKRRLAAGVVIFNYRDYNNKLQKTEVTEDFSCPFCLMMCVSFKGLRYHLCSSHDLFNFEFWASEEYQAVNVSVKIDRFLSETVADGVEQRQQTFFFCPRPRRRKSRNCDQNKQVCVQFLELDSQKLPMEGINNGFLRKDDGENASKSSSSEKDLHNLRHGAENYGTEYPSATELMERVASSFNIQDVSIAKAQPSVDSECVKSQSGNDPLLPAGIHIAKARKLTVDRSDPRNRVLLQRRQFYHSHRVQPMALEQVMSDRDSEDEVDDDIADFEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVAWACEAFSKLHGRELVGSPALFWCWRLFMIKLWNHGLLDASTMNNCNLILERCQDEGSDAVKTERGDN >Manes.17G030150.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22459089:22460968:-1 gene:Manes.17G030150.v8.1 transcript:Manes.17G030150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVNQYVKPYLEIIIMIAVGHDINPGDELAKHLLPAMEAPYQGLKRIDLWYINVVTPLQLSWLQVSHPPSAGQFVEQSLLTIRPIIKFMMEHINYRVKPSHKIILCDLYPYYASMNDPAYSNISLDYALLINSSNVIVQDGDIGYTNLLDGLVDDFISAIEKIGLFNDQGLIYIGATGWPITSGRRDDD >Manes.05G095400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8721096:8727484:-1 gene:Manes.05G095400.v8.1 transcript:Manes.05G095400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSASEVTEGPVLSFINKRLRALRKKYNRILQMEESISQGKPINKEQEDVLRSKPSVSAAIEELEKLRQPLANAVSEEIALALQRHQQQSSVSDNAISDKDDSEKSNYGPDEEGSQGEGGSLVEDLLNLLYFGSMFDVKSQNDFTATLLTRTHERGCCLTYDYVTDDATDLLGERDLDMISKLGGLLISRPVDSSLSHKNALQRCIEHAKLWLTNSDEPIEPSANVTYAQLRERLNKIMASDYFTTTPEMKAPVEVAAAAAAGNYASFQVPVHRVPISMPVQVEGSVEQYEEKDEDSANLLGHETSDNLSSPAEELQKDELEIEIPAEEVYGEQDQARSLEVDHNQKEVDPKDQQHVARRNYQNQRGGRGGGSRRGYSNGRGGRSSGRGGGGYQNGRSQYYEQSGNYYPRNYYNNRGRGGRGGGYPYNNHGSAVQGGHGQADVGVAS >Manes.05G095400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8721094:8727757:-1 gene:Manes.05G095400.v8.1 transcript:Manes.05G095400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSASEVTEGPVLSFINKRLRALRKKYNRILQMEESISQGKPINKEQEDVLRSKPSVSAAIEELEKLRQPLANAVSEEIALALQRHQQQSSVSDNAISDKDDSEKSNYGPDEEGSQGEGGSLVEDLLNLLYFGSMFDVKSQNDFTATLLTRTHERGCCLTYDYVTDDATDLLGERDLDMISKLGGLLISRPVDSSLSHKNALQRCIEHAKLWLTNSDEPIEPSANVTYAQLRERLNKIMASDYFTTTPEMKAPVEVAAAAAAGNYASFQVPVHRVPISMPVQVEGSVEQYEEKDEDSANLLGHETSDNLSSPAEELQKDELEIEIPAEEVYGEQDQARSLEVDHNQKEVDPKDQQHVARRNYQNQRGGRGGGSRRGYSNGRGGRSSGRGGGGYQNGRSQYYEQSGNYYPRNYYNNRGRGGRGGGYPYNNHGSAVQGGHGQADVGVAS >Manes.02G214160.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:28042187:28049702:-1 gene:Manes.02G214160.v8.1 transcript:Manes.02G214160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHHNHNKLMLQLMDIILEAVVMLNFILSSSSDDDDGGSGQGERGEGRGATSSLQSHDDPSYQRHSLSPAPAPAPTLQHTYHRSRGSDGSSDKGKGVAHGEYSMDADNYGYGSYSTSESSMEATSTGDYRYRGNFQWK >Manes.02G193300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15618528:15618770:1 gene:Manes.02G193300.v8.1 transcript:Manes.02G193300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVEAEGRRRAPKGHFVVYVGNEMKRFVIPTSFLSNPIFQQLLDKAAEEYGYDNQFGIVLPCNESTFNSLIAFLGKRC >Manes.08G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32173707:32179377:-1 gene:Manes.08G095000.v8.1 transcript:Manes.08G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTAYSEVTPAVPSWLNKGDNAWQMTASALVAIQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLCFRMAFGDQLLPFWGKGAPALSQSYLVGRAKIPESTHENESGETVIVEPYYAMATLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYQWGAIDYSGGYVIHLSSGIAGLTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANLNASIAILNTNISAATSLLVWTSLDVVFFGKPSVIGAVQGMVTGLACVTPGAGLVQSWAAIVMGALSGSIPWVSMMVLHKKSSLLQQVDDTLGVFHTHAVAGLLGGLLTGLLAEPDLCDLILPKKTRGAFYGGNGGRQFLKQLVAACFIIVWNIVSTTIILLAIRLFIPLRMPEEQLVIGDDAVHGEEAYALWGDGEKYDPTKHGWNTSVYGQEIAPSPYGTGARGVTINL >Manes.15G149800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12421469:12423428:-1 gene:Manes.15G149800.v8.1 transcript:Manes.15G149800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVSPTCCRFSSSKHLFASSCPPFVSLIFLMISSDIQRLSSSMADRSPIHQVQMHPELRHEQKVPSSSKALVMVTLLPISGGLLALSGVTLIGSLIGLAITTPLFFIFSPVLVPAAFVLGIAVVACLASGAFGLTGMTSLSWMLQYHRQATQAMPEQLDQAMKSIQDMAAFVGQKTKEMGQEIQRSHLQGNDK >Manes.16G065025.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25255187:25256011:1 gene:Manes.16G065025.v8.1 transcript:Manes.16G065025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSNITIILLILDDLILDGINNTKLAHVKAFLDDKFKIKDLGELKFFFLGCQICLHSHGLQMQHLNPFIDTPTSIHFQVAHIVLRYIKNTLEFGIFLPAASTLQFKRFSDSIWPGCVDSQKSITRFCIFLGSSLISGISRSSSRVEYRALTSTTYEL >Manes.15G014000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1177490:1182619:-1 gene:Manes.15G014000.v8.1 transcript:Manes.15G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPSSPIKVGHIDDVQELRKAKPTTIPERFVRDMNERPTLTTALPSLNNIPIINFSRLVNGSKDDYQTEILQLARACGEWGFFQVINHGINLSLLESMEEVAQNFFMLPLEEKQKYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGIEPHYIRSPKLWPINPPKFGETVEVYSREVRKLCQNLLKYIAMTLGLKADTFEEMFGVAVQAIRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQGKGSSVGLQILKDNKWIPVQPIPNALVINIGDTLEVLTNGKYKSVEHRAVTHKEKDRLSIVTFYAPSYEVELGPMPELVNDKTPSKYRRYTHGEYNKHYVTNKLQGKKTLEFAKIKSDTSTE >Manes.15G014000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1179353:1180391:-1 gene:Manes.15G014000.v8.1 transcript:Manes.15G014000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEADSYILGSQVINHGINLSLLESMEEVAQNFFMLPLEEKQKYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGIEPHYIRSPKLWPINPPKFGETVEVYSREVRKLCQNLLKYIAMTLGLKADTFEEMFGVAVQAIRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQGKGSSVGLQILKDNKWIPVQPIPNALVINIGDTLEVLTNGKYKSVEHRAVTHKEKDRLSIVTFYAPSYEVELGPMPELVNDKTPSKYRRYTHGEYNKHYVTNKLQGKKTLEFAKIKSDTSTE >Manes.11G013600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1268912:1271697:1 gene:Manes.11G013600.v8.1 transcript:Manes.11G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFLIFFLSFISSSSSSSTNDLPRTFIVKVQYDAKPAIFTLHKHWYDSFLSSLSSSETTSSSSLPADSRVIHAYDTVFHGFSAKLSPTEALKLQTLPHVIAVIPERVRHVQTTRSPEFLGLKTTNSAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRDLGPVPAKWKGLCMSGKDFSPASCNRKLIGARFFCNGYEATNGKMNESMEYRSPRDSDGHGTHTASIAAGRYVFPASTLGYAKGVAAGMAPKARLAVYKVCWIAGCYDSDILAAFDAAVNDGVDVISLSVGGVVVPYYLDAIAIGAFGAVDRGVFVSASAGNGGPGGLTVTNVAPWVATVGAGTLDRDFPADVKLGNGKVIPGVSVYGGPGLAPGKMYPLIYAGSEGTGDGYSSSLCLEGSLDPKLVKDKIVLCDRGINSRAAKGDVVKKAGGAGMILANGVFDGEGLVADCHVLPATSVGASAGDEIRRYISKASKSKSPPTATIVFKGTRLGVRPAPVVASFSARGPNPESPEILKPDVIAPGLNILAAWPDKVGPSGVPTDNRRTEFNILSGTSMACPHVSGLAALLKAAHPDWSPAAIKSALMTTAYTVDNRGEIMLDESTGNTSTVMDFGAGHVHPQKAIDPGLIYDMSTFDYVDFLCNSNYTVNNIQIVTRKTADCSGAKRAGHAGNLNYPSMSAVFQQYGEHNMSTHFIRTVTNVGDPNSVYKVTINPPSGILVTVQPEKLVFRRVGQKLSFLVRAETMAVKLPPGGSSQKSGSIIWSDGKRTVSSPLVVTLQQPL >Manes.02G067100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5105748:5112919:-1 gene:Manes.02G067100.v8.1 transcript:Manes.02G067100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTSLIAWGSGEDGQLGIGNNEEKEWVCVVKALEPYKVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENVPSQVKALANANIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKDGTGRPLRRDIVIPQRCAPKLVVRQVAAGGTHSVVLTREGYVWTWGQPWPPGDIKQISVPVRVQGLERVKLIAVGAFHNLALQGDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSDLTLVDIAAGGWHSTALTDDGEVSCGGTHSVALTHDGQIFSFGRGDHGRLGYGRKVTTGQPMEVPIDIPPPSNLSGSEAEGHWKAKLVACGGRHTLAIVEWQQTDGSK >Manes.02G067100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5105748:5112918:-1 gene:Manes.02G067100.v8.1 transcript:Manes.02G067100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTSLIAWGSGEDGQLGIGNNEEKEWVCVVKALEPYKVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENVPSQVKALANANIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKDGTGRPLRRDIVIPQRCAPKLVVRQVAAGGTHSVVLTREGYVWTWGQPWPPGDIKQISVPVRVQGLERVKLIAVGAFHNLALQGDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSDLTLVDIAAGGWHSTALTDDGEVYGWGRGEHGRLGFGDNDKSSKMVPQKVHLLAGKKIVQVSCGGTHSVALTHDGQIFSFGRGDHGRLGYGRKVTTGQPMEVPIDIPPPSNLSGSEAEGHWKAKLVACGGRHTLAIVEWQQTDGSK >Manes.13G040100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4688025:4698337:1 gene:Manes.13G040100.v8.1 transcript:Manes.13G040100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEMVVAEASAVTEYDSAGYAATGYGDTSSNVVTDSSVYPTDDANSVIQEAHVNATYETKPDVEKGSASENLVATGTALIAASQVAAYDSSVNGSVGGDAGSITSANGTAADIAEGATAATMDGLVPPMSGEEERLWSIVRANSLDFDAWTALIDETEKVAGDNILKIRKVYDTFLAEFPLCYGYWKKYADHEARVGSMDKVVEVYERAVLGVTYSVDIWLHYCIFAINTYEDPDTIRRLFERGLAYVGTDYLSYSLWDKYIEYEEMHAQWSHVAMIYTRILEIPNKRLDDYLTRFKAFAATHPLSELTTPEEAAAAVPAPSESGDQANMGEVHADAAEQSSKPVSAGLTEAEELEKYIAIREEMYKKAKEFDSKISDFENAIRRPYFHVRPINVAELENWHNYLDFIEREDDLNKVVKLYERCLIACANYPEYWMRYVLCMENCGSMDLANNALARATQVFVKRQPEIHLFAARFREQSGDIPGARAAYQLVHTEITPGLLEAVVKHANMEHRLGNLKDAFSLYEQTIAIEKGKEHSQVLPMLYAQYSRFLYLVAGNVEKAREVLVEALENAPLSKPLLEAFIYLESFQSLPKRIDYLDSLVEKLIVPNSDSLNVASAAEREELSCIFLEFLGMFGDAQSIKKADDRHAKLFLPHRSKSEFKKRHAEDYLASDKTKLAKSYADAPSSAQSLMGAYPNVQNQWATGYGLQPQAWPPVTQAQTPGYGQQTAYGTYGGYGSNYTNPQAPTSVPQTAAYGAYPPTYPVQAYPQQGYPQAQPPAAATLAPAQQPASVPQPYYGSYY >Manes.13G040100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4688025:4698337:1 gene:Manes.13G040100.v8.1 transcript:Manes.13G040100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEMVVAEASAVTEYDSAGYAATGYGDTSSNVVTDSSVYPTDGTGVYAASADGAYTVPPAPSFADGNMYGTDANSVIQEAHVNATYETKPDVEKGSASENLVATGTALIAASQVAAYDSSVNGSVGGDAGSITSANGTAADIAEGATAATMDGLVPPMSGEEERLWSIVRANSLDFDAWTALIDETEKVAGDNILKIRKVYDTFLAEFPLCYGYWKKYADHEARVGSMDKVVEVYERAVLGVTYSVDIWLHYCIFAINTYEDPDTIRRLFERGLAYVGTDYLSYSLWDKYIEYEEMHAQWSHVAMIYTRILEIPNKRLDDYLTRFKAFAATHPLSELTTPEEAAAAVPAPSESGDQANMGEVHADAAEQSSKPVSAGLTEAEELEKYIAIREEMYKKAKEFDSKISDFENAIRRPYFHVRPINVAELENWHNYLDFIEREDDLNKVVKLYERCLIACANYPEYWMRYVLCMENCGSMDLANNALARATQVFVKRQPEIHLFAARFREQSGDIPGARAAYQLVHTEITPGLLEAVVKHANMEHRLGNLKDAFSLYEQTIAIEKGKEHSQVLPMLYAQYSRFLYLVAGNVEKAREVLVEALENAPLSKPLLEAFIYLESFQSLPKRIDYLDSLVEKLIVPNSDSLNVASAAEREELSCIFLEFLGMFGDAQSIKKADDRHAKLFLPHRSKSEFKKRHAEDYLASDKTKLAKSYADAPSSAQSLMGAYPNVQNQWATGYGLQPQAWPPVTQAQTPGYGQQTAYGTYGGYGSNYTNPQAPTSVPQTAAYGAYPPTYPVQQAYPQQGYPQAQPPAAATLAPAQQPASVPQPYYGSYY >Manes.13G040100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4688025:4698337:1 gene:Manes.13G040100.v8.1 transcript:Manes.13G040100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEMVVAEASAVTEYDSAGYAATGYGDTSSNVVTDSSVYPTDDANSVIQEAHVNATYETKPDVEKGSASENLVATGTALIAASQVAAYDSSVNGSVGGDAGSITSANGTAADIAEGATAATMDGLVPPMSGEEERLWSIVRANSLDFDAWTALIDETEKVAGDNILKIRKVYDTFLAEFPLCYGYWKKYADHEARVGSMDKVVEVYERAVLGVTYSVDIWLHYCIFAINTYEDPDTIRRLFERGLAYVGTDYLSYSLWDKYIEYEEMHAQWSHVAMIYTRILEIPNKRLDDYLTRFKAFAATHPLSELTTPEEAAAAVPAPSESGDQANMGEVHADAAEQSSKPVSAGLTEAEELEKYIAIREEMYKKAKEFDSKISDFENAIRRPYFHVRPINVAELENWHNYLDFIEREDDLNKVVKLYERCLIACANYPEYWMRYVLCMENCGSMDLANNALARATQVFVKRQPEIHLFAARFREQSGDIPGARAAYQLVHTEITPGLLEAVVKHANMEHRLGNLKDAFSLYEQTIAIEKGKEHSQVLPMLYAQYSRFLYLVAGNVEKAREVLVEALENAPLSKPLLEAFIYLESFQSLPKRIDYLDSLVEKLIVPNSDSLNVASAAEREELSCIFLEFLGMFGDAQSIKKADDRHAKLFLPHRSKSEFKKRHAEDYLASDKTKLAKSYADAPSSAQSLMGAYPNVQNQWATGYGLQPQAWPPVTQAQTPGYGQQTAYGTYGGYGSNYTNPQAPTSVPQTAAYGAYPPTYPVQQAYPQQGYPQAQPPAAATLAPAQQPASVPQPYYGSYY >Manes.13G144400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35797408:35801546:-1 gene:Manes.13G144400.v8.1 transcript:Manes.13G144400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGKQRMMGKVVKKEAAELVWESSDDDGYVKMGCVENDVESNKKKKVVAGGCGGGGGGGGGKKGSGGGGCGGSGGMRCCQADMCMADLSDAKPYHRRHKVCENHAKAQIVLVAGIRQRFCQQCSRFHELSEFDETKKSCRRRLAGHNERRRKNAAESHSEGGNHKGTVTQLKDMICGQVDDRGRIKITIQENATYKHFQIR >Manes.13G144400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35797408:35801546:-1 gene:Manes.13G144400.v8.1 transcript:Manes.13G144400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGKQRMMGKVVKKEAAELVWESSDDDGYVKMGCVENDVESNKKKKVVAGGCGGGGGGGGGKKGSGGGGCGGSGGMRCCQADMCMADLSDAKPYHRRHKVCENHAKAQIVLVAGIRQRFCQQCSRFHELSEFDETKKSCRRRLAGHNERRRKNAAESHSEGGNHKGTVTQLKDMICGQVDDRGRIKITIQENATYKHFQIR >Manes.13G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35797408:35801546:-1 gene:Manes.13G144400.v8.1 transcript:Manes.13G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGKQRMMGKVVKKEAAELVWESSDDDGYVKMGCVENDVESNKKKKVVAGGCGGGGGGGGGKKGSGGGGCGGSGGMRCCQADMCMADLSDAKPYHRRHKVCENHAKAQIVLVAGIRQRFCQQCSRFHELSEFDETKKSCRRRLAGHNERRRKNAAESHSEGGNHKGTVTQLKDMICGQVDDRGRIKITIQENATYKHFQIR >Manes.10G088000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22580702:22582890:1 gene:Manes.10G088000.v8.1 transcript:Manes.10G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRILIGNLALKCPPGSRSSLSSVSSCFCKIKLKNFTTQQATIPLLNQQQQEQSQNPESNYISNSLAACFTLDKTQIEKLSSSKKLKSLINIEIYTGGEGAICGWTNGKFLGRVTVPLDLKRAEFKPYVLHNGWIGIGRGNKKGSSMAEFHMSIRAEPDPRYVFEFAGEPECSPQIFQVQGSVRQAVFTCKFSLRNPGDRNLGPRQSILEQSTSRNWLPSLGNEKDQSTKERKGWTITIHDLSGSPVAMASMVTPFVPSPGSDRVNRSNPGAWLILRPSLGTWKPWGRLEAWREHPKTNELGYRFELVHETITATPSTTTLVNSVISTKNGGKFIIDTTNSVSTPACSPHSSCDFGSGSGSGSGSWSGSEIGAGLFSQFMYRGFVTSSTVKGSSSCSKPEVEIGVQHVNCTEDAAAFVALAAAMDLSMDACRLFSHKLRKELRQLSQSFVV >Manes.03G089000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19471114:19472159:1 gene:Manes.03G089000.v8.1 transcript:Manes.03G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNYNQLSYLDYISYLSMPIHLCLFMVILAFTLGFSWYVNYESKFEELMNQVKLFLMVSPLVLLLLVHCLSSGFLFIPLPERDSLHRAGGSPWGVAFLLVFLLFMISHQSSLHERWFPLITR >Manes.02G012900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1294056:1299381:1 gene:Manes.02G012900.v8.1 transcript:Manes.02G012900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGSGTRLAAAGGTSSSGSAFMSRISALLLAMFATMATIYVASRLWQDAENRLHLIEEFERRNSQVKSAISVDDTLKIISCGEQRKKLAAVEMDLAAARQAGFVSKHSVKKEDDRSKKKLLAVIGIITTFGRKKNRDAIRKAWMPTGAALKKLEDEKGIVVRFVIGRSSNGGDSLDREIDRENVQTNDFIVLDGQVEAIEEAPKKTKLFFINAVEHWNAEFYVRVNDDVFVNIDALGATLSTHLDKPQVYIGCMKSGKVFSEPNHKWYEPDWWKFGDGKSSILRTFAHDDVSTGSWFIGLDAKHIDEGKFCCSSWSTGALCAAV >Manes.02G012900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1294056:1297625:1 gene:Manes.02G012900.v8.1 transcript:Manes.02G012900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGSGTRLAAAGGTSSSGSAFMSRISALLLAMFATMATIYVASRLWQDAENRLHLIEEFERRNSQVKSAISVDDTLKIISCGEQRKKLAAVEMDLAAARQAGFVSKHSVKKEDDRSKKKLLAVIGIITTFGRKKNRDAIRKAWMPTGAALKKLEDEKGIVVRFVIGRSSNGGDSLDREIDRENVQTNDFIVLDGQVEAIEEAPKKTKLFFINAVEHWNAEFYVRVNDDVFVNIDALGATLSTHLDKPQVYIGCMKSGKVFSEPNHKWYEPDWWKFGDGKSYFRHASGKIYAISQALAQFISINRSILRTFAHDDVSTGSWFIGLDAKHIDEGKFCCSSWSTGMSLSFSLSHTQ >Manes.02G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1294056:1299381:1 gene:Manes.02G012900.v8.1 transcript:Manes.02G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGSGTRLAAAGGTSSSGSAFMSRISALLLAMFATMATIYVASRLWQDAENRLHLIEEFERRNSQVKSAISVDDTLKIISCGEQRKKLAAVEMDLAAARQAGFVSKHSVKKEDDRSKKKLLAVIGIITTFGRKKNRDAIRKAWMPTGAALKKLEDEKGIVVRFVIGRSSNGGDSLDREIDRENVQTNDFIVLDGQVEAIEEAPKKTKLFFINAVEHWNAEFYVRVNDDVFVNIDALGATLSTHLDKPQVYIGCMKSGKVFSEPNHKWYEPDWWKFGDGKSYFRHASGKIYAISQALAQFISINRSILRTFAHDDVSTGSWFIGLDAKHIDEGKFCCSSWSTGALCAAV >Manes.08G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36217673:36219524:1 gene:Manes.08G122200.v8.1 transcript:Manes.08G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIVPLRFVSISSSRRAITAAFSSLPSTHTHLRTYRKTQFPAIFISLQRPTSAVFVRMASGDQSFPPQKQDKQPGKEHVMNPLPQYVSSDYKPSNKLQGKVALVTGGDSGIGRAVCYSFALEGATVAFTYVKSQEDKDAQDTLQILKKDKAEDAKDPMAIPADLGFDENCKKVVDQVVNAYGRIDILVNNAAEQYKTKSVEEIDEGSLERVFRTNIFSYFFMSRHCLRHMKEGSSIINTTSVNAYKGHPTLLDYTSTKGAIVAFTRALALQIVSKGIRVNGVAPGPIWTPLIPASFGEEEVTNFGKKVPMGRAGQPSEVAPCFVFLACNVCSSYITGQVLHPNGGTIVNG >Manes.05G005700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1218516:1218764:1 gene:Manes.05G005700.v8.1 transcript:Manes.05G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKRAALLCLIAALVVGLCLSMVEAQSGQRNLCAGFDPPGGCPIRCIRYDPVCGANGVTYGCGCPDAACAGARVVKLGAC >Manes.12G055201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5350519:5353810:-1 gene:Manes.12G055201.v8.1 transcript:Manes.12G055201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEPRPWSAHALAFPYPAQGHINPILQFCKRLVSKGVKATLVTTRFLSKSIHVDPSSNIDLETISDGFDEGGQAQAESWEDYIATFKAVGSETLGNLINKLNDSDHPVNALIYDGSFPWALDVAKQLGVLKVVFFTQPCSVCNVYYHVQRGLLPMPLSGPVVSIPGLPLLKVSETPSMIYDPSSYPAFYDVLLDQFINIDEADWVLDNSFHKMEEEVVDWMAKRWRLRTIGPTVPSMYLDKRIEGDRNYGINLFKPNSSACISWLQSKPIASVVYVSFGSVVEPRVEQMREVAWGLKRSNCYFLWVVREAELSKLPENFAEETGEKGLVVTWCSQLEVLAHEAIGCFLTHCGFNSVLEALSLGVPMVAVPQWSDQPTNAKYVEDVWKTGIRAKPDEEGIVRREVVELCLREVMEGEKGIEIRDNARKWKKLSKEAIDEGGTSDKNIDEFVAALIGQTIS >Manes.10G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24106390:24115133:1 gene:Manes.10G094200.v8.1 transcript:Manes.10G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKRSSGSVEEVENLVDSNTENVASNPLKKKLKKGKKKDEERAHGDAPAVSSSVKPMERRKERKALDKERHRLALENQEPKPKRMEVDSEVNETGGQMVGSSAGGLPEFHIGVFKDLASADVSVREAAVERLVTELQAVQNAYEMVENKGLIEGGLKLEAEKDDGLNNCAPSLRYAVRRLIRGASSSRECARQGFALGLTVLVGTISTIKLDSLLKLIVDLLEVSSSMKGQEIRDCLLGRLFAYGALARSRRMTQELTYDKSISLNMNSFIKEFISALLSLASKKRYLQEPAVEILLDLVEKLPTDVLLNHILETPGLREWFEGATDVGNPDALLLALKIRDKISVDSMIFGNILPYPFSPGRLFASDHLSSLVNCLKESTFCQPRVHSVWPVLVNILLPDAVLQAEDLVSASNSLKKHKKGRKASSSEEETSKNIENFFEVIIEGSLLLSSHDRKHLAFDILLLLLPRLPASFVPIVLSYKFVQCLMDILSTKDSWLYKVAEHFLKELLDWVGNDDVRRVAVIVALQKHSNGKFDNITRTKTVKTLMAEFVTEAGCMLLIQNLMNMFVDEGHTSEEPSDQSQTTDDNSEIGSIEDKDSASAMGNSDFLKIWVVESLPSILKCLKLDPEAKFRVQKEILKFLTVQGLFSASLGSEVTSFELQEKFRWPKVAASSATCKMCIEQIQLLLASAQKTEGSHSLANGLEPNDLGSYFMRFLSTLRNIPSVSLFRPLSNEDEKAFESLQEMETRLSKKERNCGPSTDANRLHALKYLLIQLLLQVLLRPGDFSEAVSEIIICCKKAFTASDLLDSGEDDFESDGSPELMDVLVDTLLSLLPQSSASVRSAIEQVFKYFCDDLTNDGLLQMLRVIKKDLKPARHQEPDSEEDDEDFLGIEEDEIDEAETGETGEIEEQTYDSEAVVEAEEGVMESPEDSDDSDGGMDDDAMFRMDTYLAQIFKERKNQAGGETAQSQLVLFKLRVLSLLEIYLHENPGKPQVLTVYSNLASALVKPHTTEISEQLGQRIWGIIQKKIFKAKDFPKGEDLQLSTLESLLEKNLKLASKPFKKKKSAVPSKKKQSASWKRHKMIVSLAQNSTYWILKILDARKFSDSELQRVLDIFKEVLVGYFDSKKSQIKSEFLKEIFRRRPWIGHHLFGFLLEKCGSAKSEFRRVDALDLVMEILKSMVSSGTDESSRNASKKILKNHLQKLSHLVKELVLNMPENKSRRAEVRKFCGKIFQIVSLHDMTKSFLKDLAPETQAACESQLGELFHNLKKAKDTTKN >Manes.12G124400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33061699:33062677:1 gene:Manes.12G124400.v8.1 transcript:Manes.12G124400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIPRSCLVRILILVFIVLVPHASSISFNFNSFYPNIGGIFMEGDAFSSSETLQLTRNAKDDNLTYSVGRATYILPVHIWDATTGNLTDFTSHFSFEVKSIFLTNYGDGISFFITPVGSQIPANSSGGLLGLFSPNTTGSGSIESQLVAVEFDTYPNSWDPVYTHIGININSIKSVAFTESADISSNGTVINAWVSYDSKTKNLSVLVYSATGQSFSLSYAVDLREVLPEWATIGFSAATGASIELHSILSWEFYSSLDN >Manes.13G088269.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:21154202:21155080:1 gene:Manes.13G088269.v8.1 transcript:Manes.13G088269.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVRLVSSTTRSSALSGMLHQVIQLILPLLMPPRYTFTSGQDIEWDFDLETSSKEVRRHYYY >Manes.18G001100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:570739:578846:1 gene:Manes.18G001100.v8.1 transcript:Manes.18G001100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDYGIPRELSDLQKLRSLYQPELPPCLQGTAVRVEFGDATTAADAAGSHTISRSFPHTYGQPLAHFLRATAKVPDAHIITEHPATRVGIVFCGRQSPGGHNVIWGLHNALKIHNPNNSLFGFLGGSEGLFAQKTIEITDDILSTYKNQGGYDLLGRTKDQIRTTEQVNAALTTCKDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDLTKQICDAVQARAEQDKYHGVILLPEGLIESIPEVYALLKEIHGLLRQGVIPNNISSQLSPWASALFEFLPPFIKKQLLLYPESDDSAQLSQIETEKLLAHLVEAEMSKRLKEGTYKGKKFNAICHFFGYQARGSVPSNFDCDYAYVLGHICYHILAAGLNGYMATVTNLKNPVNKWRCGAAPITAMMTVKRWAQSPGATSIGKPAIHPSTVDLKGKAYELLRQNAMKFLMDDLYRNPGPLQFEGPGADAKPLTLCVEDQDYMGRIKELQEYLDKVRTIVKPGCSQEVLKAALSVMASVTDVLSTMSSTSFNSQTPL >Manes.11G140700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30605150:30611041:-1 gene:Manes.11G140700.v8.1 transcript:Manes.11G140700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKGSEAKALADPMSKIISQLCASLIQIDAQGLLSGCSVLLAVETEQIELLTRACFGRPIITSEKDKQWFQLGLEEAFYLCYSLKCLKIVGEDNCHKNAVELWQYMKSEKAAFPDFYKAYSHLRMKNWVVRPGSQYGVDFVAYRHHPSLVHSEYAVLVLSEEDGDVNGRLRVWSDFHCTIRLCGSVAKTLLVLSINKNGHGAISPSCLERYSIKEHTITRWSPEQSREDRIAIEKETK >Manes.11G140700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30605150:30611041:-1 gene:Manes.11G140700.v8.1 transcript:Manes.11G140700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKGSEAKALADPMSKIISQLCASLIQIDAQGLLSGCSVLLAVETEQIELLTRACFGRPIITSEKDKQWFQLGLEEAFYLCYSLKCLKIVGEDNCHKNAVELWQYMKSEKAAFPDFYKAYSHLRMKNWVVRPGSQYGVDFVAYRHHPSLVHSEYAVLVLSEEDGDVNGRLRVWSDFHCTIRLCGSVAKTLLVLSINKNGHGAISPSCLERYSIKEHTITRWSPEQSREDRIAIEKETKLPSTPALQELQE >Manes.11G140700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30606963:30610999:-1 gene:Manes.11G140700.v8.1 transcript:Manes.11G140700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKGSEAKALADPMSKIISQLCASLIQIDAQGLLSGCSVLLAVETEQIELLTRACFGRPIITSEKDKQWFQLGLEEAFYLCYSLKCLKIVGEDNCHKNAVELWQYMKSEKAAFPDFYKAYSHLRMKNWVVRPGSQYGVDFVAYRHHPSLVHSEYAVLVLSEEDGDVNGRLRVWSDFHCTIRLCGSVAKTLLVLSINKNGHGAISPSCLERYSIKEHTITRWSPEQSREDRIAIEKETNNIKIFNGNDVELTR >Manes.11G140700.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30607365:30611004:-1 gene:Manes.11G140700.v8.1 transcript:Manes.11G140700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKGSEAKALADPMSKIISQLCASLIQIDAQGLLSGCSVLLAVETEQIELLTRACFGRPIITSEKDKQWFQLGLEEAFYLCYSLKCLKIVGEDNCHKNAVELWQYMKSEKAAFPDFYKAYSHLRMKNWVVRPGSQYGVDFVAYRHHPSLVHSEYAVLVLSEEDGDVNGRLRVWSDFHCTIRLCGSVAKTLLVLSINKNGHGAISPSCLERYSIKEHTITRWSPEQSREDRIAIEKETK >Manes.11G140700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30605149:30611042:-1 gene:Manes.11G140700.v8.1 transcript:Manes.11G140700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKGSEAKALADPMSKIISQLCASLIQIDAQGLLSGCSVLLAVETEQIELLTRACFGRPIITSEKDKQWFQLGLEEAFYLCYSLKCLKIVGEDNCHKNAVELWQYMKSEKAAFPDFYKAYSHLRMKNWVVRPGSQYGVDFVAYRHHPSLVHSEYAVLVLSEEDGDVNGRLRVWSDFHCTIRLCGSVAKTLLVLSINKNGHGAISPSCLERYSIKEHTITRWSPEQSREDRIAIEKETK >Manes.11G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30606877:30611021:-1 gene:Manes.11G140700.v8.1 transcript:Manes.11G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKGSEAKALADPMSKIISQLCASLIQIDAQGLLSGCSVLLAVETEQIELLTRACFGRPIITSEKDKQWFQLGLEEAFYLCYSLKCLKIVGEDNCHKNAVELWQYMKSEKAAFPDFYKAYSHLRMKNWVVRPGSQYGVDFVAYRHHPSLVHSEYAVLVLSEEDGDVNGRLRVWSDFHCTIRLCGSVAKTLLVLSINKNGHGAISPSCLERYSIKEHTITRWSPEQSREDRIAIEKETKCLLRLFICIFT >Manes.11G140700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30605150:30611041:-1 gene:Manes.11G140700.v8.1 transcript:Manes.11G140700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKGSEAKALADPMSKIISQLCASLIQIDAQGLLSGCSVLLAVETEQIELLTRACFGRPIITSEKDKQWFQLGLEEAFYLCYSLKCLKIVGEDNCHKNAVELWQYMKSEKAAFPDFYKAYSHLRMKNWVVRPGSQYGVDFVAYRHHPSLVHSEYAVLVLSEEDGDVNGRLRVWSDFHCTIRLCGSVAKTLLVLSINKNGHGAISPSCLERYSIKEHTITRWSPEQSREDRIAIEKETKLPSTPALQELQE >Manes.01G272900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42574331:42580003:-1 gene:Manes.01G272900.v8.1 transcript:Manes.01G272900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAELIDHFVKKASSLKGTVLGPVIIEATSHPSLFAFSEILAVPSVAELEGTENSRYLDVLRLFAHGTWTDYKNNVERLPELISDQVLKLKQLTVLTLAETNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSENLLVSIQEKIKWADTMSESDKKHRKDVEDRVEEVKKSLSLKADIDFRGHEEIYSEPGGVMDYEEDRSRPKRRRHPIS >Manes.01G272900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42574331:42580003:-1 gene:Manes.01G272900.v8.1 transcript:Manes.01G272900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAELIDHFVKKASSLKGTVLGPVIIEATSHPSLFAFSEILAVPSVAELEGTENSRYLDVLRLFAHGTWTDYKNNVERLPELISDQVLKLKQLTVLTLAETNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSENLLVSIQEKIKWADTMSESDKKHRKDVEDRVEEVKKSLSLKKLHTVSRPTLTSEGTRRSTLNLVE >Manes.04G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:661230:663490:-1 gene:Manes.04G004600.v8.1 transcript:Manes.04G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPIAVAVTVGLLGWAYQALKAPYPKICGLPGGPPITSPRVKLSDGRHLAYREMGVPKEEAKYKIIFIHGFDSSKDLNLPVPQELIDELKIYFLFFDRAGYGESDPFPKRTVKSEAYDIQELADKLQLGHKFYVIGASMGAYPIYGCLKYIPHRLAGASLVVPFVHYWWPCLPDNVSAEGFQRLQKSDQWTFRIAHHAPWLFYWWMTQKWFPSLSIMAGNMAIFCPQDLELIKKLSETPSVGQEKVRQQGVHESLHRDIIAGYTKWEFDPLDISNPFPQNEGSVHIWQGHEDRIIPYQINRYISEKLPWIHYHEVPDAGHLLIFRSELCEEIVRSLLLG >Manes.05G146500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24879760:24887500:-1 gene:Manes.05G146500.v8.1 transcript:Manes.05G146500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRGTKGKNTKVAQQSSGFSGKLNSIKSFSKHKENSQSYANNDDDNFGKTTAPQRYNSGELFLNFSRELKPSTPARGGAAKDCQKSSFIGKAGAVSLEKAVEVLDTLGSSMSNLNARSGFISGMASRGNRITILSFEVANTIAKGANLFQSLSEENVQFLKKEILHSEGVQKLVSTDMQELLILAAMDKREEFDVFTREVIRFGDLCKDPQWHNLGRYFTKLDSDYSNDKQSRSEAETIMQELNSLAQHTSELYHEWHALDRFVQDYQQKLEEVESLHLPRKGESITILQSELKQQKKLVRSLQKKSLWSMTLEEIMEKLVDIVTYLHQAILEAFGNNGVRLASEEPDKNPQRLGAAGLALHYANVINQIDNITSRPTSLPPNTRDNLYHGLPISVKTALRSRLQMVDTKEELTVAQVKAEMEKTLQWLVPISTNTNKAHQGFGWVGEWANTGNEFGKNSTAQHNLIRLQTLYHADKQKTDSYILELVIWLHRLINLVRHRDHAFKAMPFRSPTQKGPAFHAKMQRILSLNYDTTTCSIQLSEEDRDLLDKVCWRRLVPAISKSQEFPIANKKGKALKPSRSTGSSPVREIGARKKLQHSNKLDVMDGLHSITL >Manes.05G146500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24879760:24887500:-1 gene:Manes.05G146500.v8.1 transcript:Manes.05G146500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRGTKGKNTKVAQQSSGFSGKLNSIKSFSKHKENSQSYANNDDDNFGKTTAPQRYNSGELFLNFSRELKPSTPARGGAAKDCQKSSFIGKAGAVSLEKAVEVLDTLGSSMSNLNARSGFISGMASRGNRITILSFEVANTIAKGANLFQSLSEENVQFLKKEILHSEGVQKLVSTDMQELLILAAMDKREEFDVFTREVIRFGDLCKDPQWHNLGRYFTKLDSDYSNDKQSRSEAETIMQELNSLAQHTSELYHEWHALDRFVQDYQQKLEEVESLHLPRKGESITILQSELKQQKKLVRSLQKKSLWSMTLEEIMEKLVDIVTYLHQAILEAFGNNGVRLASEEPDKNPQRLGAAGLALHYANVINQIDNITSRPTSLPPNTRDNLYHGLPISVKTALRSRLQMVDTKEELTVAQVKAEMEKTLQWLVPISTNTNKAHQGFGWVGEWANTGNEFGKNSTAQHNLIRLQTLYHADKQKTDSYILELVIWLHRLINLVRHRDHAFKAMPFRSPTQKGPAFHAKMQRILSLNYDTTTCSIQLSEEDRDLLDKVCWRRLVPAISKSQEFPIANKKGKALKPSRSTGSSPVREIGARKKLQHSNKLDVMDGLHSITL >Manes.05G082700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6800944:6805558:1 gene:Manes.05G082700.v8.1 transcript:Manes.05G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMVQEQNLEKQIGKQMGCMAGFLQIFDRHHILTGKRLYATKCLPPTKIVDSSPASEKSMDSPARSTESEEDQTRSTPSPGHLKQQHTPVTESQAKLHLPLPVFELKEGTRSSWKFGKEAPRLSLDSRATFDAKGSLKPKEIRTNAAILTVSPCEKNGEQTDDSERQHRSPSVIARLMGLEKLPEWENEQANKPELRRSASESRASRDLLQYRFIDGINFQLKQSQQQNTKSNVTSDVIREGAVKSQTTNSRTIDPKEYNAVRNARVEPARAPHRGMGQRKNFYDSADFFPESKHTVSIYGEIEKRLKMRGIDEPSKDLETLKQILEALQLKGLLHSKKQSNQKNETNIVYNRSFPDDESPIIVMKPAKSMPPSHTRNQAKIGNDSPPSSFRARPAVRRDSNLSGETLQAMSPRRERPEIERNIRIQNKGRSSSSPTRSESSVKSANRRPLTVETQRRASSNSIEQRRASPIQSPKFSSRRTIPDQTTNRSPRNKKQTVDIYQKENMFITAEDELSSITETSISTYSQIDTERSKVEEYKEGRNLLERCDKLLHSIAEMTATELQPSPVSVLDYKEESSPSPVMKRSLDFKDHLAELEDDIWSPAISPVQLKSEGNSDDSDFIYISDVIRASNYLPEDSDVFLLLEKQQYLKGKDTSEISRLQRKLIFDTIMEILSRKRRLPPWKTITCASSASGQTKLQEIWSEFQRIRERDASDDLLEMICGMLKKDLAGDSISGWGDCPIETSEAVLDIERLIFKDLIGETIRDLAAFTGKCNQTPWTRRKLVF >Manes.14G001000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1328750:1332202:-1 gene:Manes.14G001000.v8.1 transcript:Manes.14G001000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDETGCQAPPERPILCVNNCGFFGSAATMNLCSKCHKDMLLKKEQAKLAATPTGNIVNGSASNNVEQPVVVVEAVDVHVNTVQPNTISVQPSCASGLGESVEAKPKEGPSRCGTCKKRVGLTGFKCRCGNFFCASHRYSDKHDCPFDYHSAARQAIAKANPIVKAEKLDKI >Manes.14G001000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1328896:1331992:-1 gene:Manes.14G001000.v8.1 transcript:Manes.14G001000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDETGCQAPPERPILCVNNCGFFGSAATMNLCSKCHKDMLLKKEQAKLAATPTGNIVNGSASNNVEQPVVVVEAVDVHVNTVQPNTISVQPSCASGLGESVEAKPKEGPSRCGTCKKRVGLTGFKCRCGNFFCASHRYSDKHDCPFDYHSAARQAIAKANPIVKAEKLDKI >Manes.14G001000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1328750:1332202:-1 gene:Manes.14G001000.v8.1 transcript:Manes.14G001000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDETGCQAPPERPILCVNNCGFFGSAATMNLCSKCHKDMLLKKEQAKLAATPTGNIVNGSASNNVEQPVVVVEAVDVHVNTVQPNTISVQPSCASGLGESVEAKPKEGPSRCGTCKKRVGLTGFKCRCGNFFCASHRYSDKHDCPFDYHSAARQAIAKANPIVKAEKLDKI >Manes.11G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2323696:2330095:1 gene:Manes.11G022100.v8.1 transcript:Manes.11G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIQRKKITEPSKSSASDLKTRSEEEEDNSNKKKHQKKNQKKPTGGRPQEKAGSKPKWSCIDGCCWFVGCICMVWWFLLFLYNAMPASFPQYVTEAITGPLSDPPGVKLRKEAFKAKHPVVFVPGIVTAGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLAHIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRMKTNIEVMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPLGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEARDIAVARAIAPVFLDKDIFSLQTLQHVMRMSRTWDSTMSMIPRGGATIWGDLDWSPEEGYTPSKRRQRNNDTKNDIQEVIENGISQKKSVKYGRIISFGKDVAEAQSSHIERIEFRDAVKGRSVANSTCRDVWTEYHEMGYEGIKAVAEHKVYGTGSLLDLLHFVAPKMMERGSAHFSYGIAENLDDPKYNHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLSPSAECYIPFQIDTSADGEDEESCLKDGVYTVDGDETVPVLSAGFMCAKAWRGKTRFNPSGSRTYIREYNHSPPANFLEGRGTQSGAHVDIMGNFALIEDIMRVAAGATGEELGGDQVYSDIFKWSEKINLPL >Manes.11G022100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2323696:2327902:1 gene:Manes.11G022100.v8.1 transcript:Manes.11G022100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIQRKKITEPSKSSASDLKTRSEEEEDNSNKKKHQKKNQKKPTGGRPQEKAGSKPKWSCIDGCCWFVGCICMVWWFLLFLYNAMPASFPQYVTEAITGPLSDPPGVKLRKEAFKAKHPVVFVPGIVTAGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLAHIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRMKTNIEVMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPLGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEARDIAVARAIAPVFLDKDIFSLQTLQHVMRMSRTWDSTMSMIPRGGATIWGDLDWSPEEGYTPSKRRQRNNDTKNDIQEVIENGISQKKSVKYGRIISFGKDVAEAQSSHIERIEFRDAVKGRSVANSTCRDVWTEYHEMGYEGIKAVAEHKVYGTGSLLDLLHFVAPKMMERGSAHFSYGIAENLDDPKYNHYKYWSNPLETK >Manes.11G022100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2323696:2330095:1 gene:Manes.11G022100.v8.1 transcript:Manes.11G022100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDNETGLDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLAHIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRMKTNIEVMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPLGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEARDIAVARAIAPVFLDKDIFSLQTLQHVMRMSRTWDSTMSMIPRGGATIWGDLDWSPEEGYTPSKRRQRNNDTKNDIQEVIENGISQKKSVKYGRIISFGKDVAEAQSSHIERIEFRDAVKGRSVANSTCRDVWTEYHEMGYEGIKAVAEHKVYGTGSLLDLLHFVAPKMMERGSAHFSYGIAENLDDPKYNHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLSPSAECYIPFQIDTSADGEDEESCLKDGVYTVDGDETVPVLSAGFMCAKAWRGKTRFNPSGSRTYIREYNHSPPANFLEGRGTQSGAHVDIMGNFALIEDIMRVAAGATGEELGGDQVYSDIFKWSEKINLPL >Manes.11G022100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2323696:2330095:1 gene:Manes.11G022100.v8.1 transcript:Manes.11G022100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIQRKKITEPSKSSASDLKTRSEEEEDNSNKKKHQKKNQKKPTGGRPQEKAGSKPKWSCIDGCCWFVGCICMVWWFLLFLYNAMPASFPQYVTEAITGPLSDPPGVKLRKEAFKAKHPVVFVPGIVTAGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLAHIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRMKTNIEVMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPLGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEARDIAVARAIAPVFLDKDIFSLQTLQHVMRMSRTWDSTMSMIPRGGATIWGDLDWSPEEGYTPSKRRQRNNDTKNDIQEVIENGISQKKSVKYGRIISFGKDVAEAQSSHIERIEFRDAVKGRSVANSTCRDVWTEYHEMGYEGIKAVAEHKVYGTGSLLDLLHFVAPKMMERGSAHFSYGIAENLDDPKYNHYKYWSNPLETKILFKFKIL >Manes.09G004300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1116658:1133894:-1 gene:Manes.09G004300.v8.1 transcript:Manes.09G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLKHRNFHRLLRVIMAFRSSPPPLPSSSSSFHSHASSNLSRPLLPFKSRVSFPLRGFPNRFSVQNAVSPRAFMSSSTATESFQENASSKAYGSDQIQVLKGLEPVRKRPGMYIGSTGPRGLHHLVYEILDNAIDEAQAGYASKIDVVLYSDNSVSITDNGRGIPTDLHPDTKKSALETVLTVLHAGGKFGGSNSGYSVSGGLHGVGLSVVNALSEGLEVTVWRDGMEYKQRYSRGNPVTILTGYSLPVESRDRQGTCVRFWPDKEVFTTAIQFDYNTIGGRVRELAFLNPKLTITLKKEDNDPEKNQYDEYFYAGGLVEYVKWLNTDKKSLHDVVGFRKEIDGIAIDMALQWCSDAYSDMILGYANSIRTIDGGTHIDGFKASLTRTLNNLGKKSKIVKDKDINLSGEHVREGLTCIISVKVPSPEFEGQTKTRLGNPEVRKVVDQSVQEYLTEYLELHPDVLDSILSKSLNALKAALAAKKARELVRQKSVLRTSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFKKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFEEGCIYVGVPPLYKVERGKQVYYCYDDEELKNLQNSFPHNASYNIQRFKGLGEMMPLQLWETTLDPERRLLKQLVVEDAAEANIVFSSLMGARVDVRKELIQNASRMVNIDQLDI >Manes.12G076100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8778102:8785747:-1 gene:Manes.12G076100.v8.1 transcript:Manes.12G076100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKHSETKVSEPKKEESAPERPQRTLLGWKNKAEEKETEPRGFRNKEKVLVTCSRRINYRYRHLMLNVVSLLPHCKKDNKVESKSSKGMALNELVELKSCSSCLFFECRKHKDLYLWMAKCPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPILTFSANFDKNAHWKLLKEMLTQIFGVPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISVPHNESDKLARGGLEKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPLYISPNQIRALEKRQKAGKYAKKVKAKKRRKMHEMSNPLEPDEFADMWRE >Manes.12G076100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8778102:8786014:-1 gene:Manes.12G076100.v8.1 transcript:Manes.12G076100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKHSETKVSEPKKEESAPERPQRTLLGWKNKAEEKETEPRGFRNKEKVLVTCSRRINYRYRHLMLNVVSLLPHCKKDNKVESKSSKGMALNELVELKSCSSCLFFECRKHKDLYLWMAKCPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPILTFSANFDKNAHWKLLKEMLTQIFGVPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISVPHNESDKLARGGLEKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPLYISPNQIRALEKRQKAGKYAKKVKAKKRRKMHEMSNPLEPDEFADMWRE >Manes.12G076100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8778102:8786014:-1 gene:Manes.12G076100.v8.1 transcript:Manes.12G076100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKHSETKVSEPKKEESAPERPQRTLLGWKNKAEEKETEPRGFRNKEKVLVTCSRRINYRYRHLMLNVVSLLPHCKKDNKVESKSSKGMALNELVELKSCSSCLFFECRKHKDLYLWMAKCPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPILTFSANFDKNAHWKLLKEMLTQIFGVPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISVPHNESDKLARGGLEKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPLYISPNQIRALEKRQKAGKYAKKVKAKKRRKMHEMSNPLEPDEFADMWRE >Manes.13G081600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:16879481:16880896:-1 gene:Manes.13G081600.v8.1 transcript:Manes.13G081600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSELQALYRINKVLTDSEMVAARQLMQLSDEDNSNSINRKNKKKFDYDEDDDEEYDRSSQDEITSKKIEEIFGKEEDFIVERQARKKRFRSLQSIYKVTKPMKVRNGRNHQEVR >Manes.06G034275.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9339967:9341716:-1 gene:Manes.06G034275.v8.1 transcript:Manes.06G034275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLCEIKTHKTNFGRLACDLPVGIWPIANLHHHLDLAVCISCLQVDLQASQKWVSPFHYQHHRKCPLNKAMGVAKIVTLPK >Manes.06G119800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25083544:25084424:1 gene:Manes.06G119800.v8.1 transcript:Manes.06G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDQKELQLLPSQNFSYSHMSSRPPGSSPLRYQSSSVTVSGDHPQFGGPSLDLQLSISLSPIQAPSNCVLAAPICDFIDGNKADTSCVEEMKWQAAEQIRLAAIEKAYAERVRELTRREMELAQSELARARQMWQKAREEMEKAERMKERATRQIDSTCMEITCQSCRQRFKP >Manes.01G242400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40335152:40337272:1 gene:Manes.01G242400.v8.1 transcript:Manes.01G242400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDIDTLWVFALASKCRAFSQENIAWSLVIVALASLAIAILHWAHPGGPAWGKYKLRKGCPTGARPIPGPMGLPLIGSMNLMASLAHHRIAASAQACKAKRLMAFSLGETRVIVTCNPDVAKEILNSTVFADRPVKESAYRLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKATESQRRIIACQMVNMFKNNDRSLTVRGLLKRASLNNMMFSVFGSEYILDSPNNDVEELRKLVDEGYDLLGTLNWSDHLPWLADFDPQKIRLRCSSLVPKVNRFVSLIIDEHRVARNGGTRDFVDVLLSLEGPDKLQDADMIAVLWEMIFRGTDTVAVLIEWILARMVLHPDVQSRVQDELDKVVGRSRAVAESDVTALMYLNAAVKEVLRLHPPGPLLSWARLAITDTTIDGYHVPAGTTAMVNMWAIARDPEWWADPLEFIPKRFMAKEGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVSFLVASLLHEFEWLPSDDTGVDLSEVLGLSCEMANPLTVKVRPRRL >Manes.16G059800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:22712451:22713882:1 gene:Manes.16G059800.v8.1 transcript:Manes.16G059800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNISSSIPRRPSSESQRSNAMVLPASDINLPTTNTTQGTLEICDELDIFSTAEHFHEIKLSSIQQSEMPAKRETNPLKEKQISVDPISLRESSRREASFNLMLPPILTPPDGPLPLPPLKPPLVSCSLPNSACSSPRFSFPFLKKKWKNESQASPRQIDRLAYQHSGAHPHHFSQQEVNLRKSKSCAEGRISSAADELDLWFSKSNVINYETKPQGNFSISEANIDDHKDGKKFDSNDEGFKCGALCMYLPGFSRVKPVRSKKEQVEAEVRNIISRTVSLEKFECGSWASSAIINDHEDGDSTNLYFDLPLELIRTSVNDANSPVAAAFVFDKDHKGVLKNTSTRATNRKSHESPRHVRFSTSSPTSYPASPAACITPRLRKAREDFNAFLEAQST >Manes.04G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29477863:29483554:-1 gene:Manes.04G089300.v8.1 transcript:Manes.04G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKGKHRLDKYYHLAKEHGYRSRASWKLVQLDSKFHFLHSSRAVLDLCAAPGGWMQVAVERVPVGSLVLGIDLVRIAPIRGAVSIEQDITKSECKARIKKIMGENGVKAFDLVLHDGSPNIGGAWAQEATAQNALVIDSVKLATQFLAPKGNFVTKVFRSQDYNSVLYCLNQLFEKVEVDKPSASRSTSAEIFLVGLKYKAPAKIDPRLLDVKHLFQGSIEPARKVIDVLRGTKQKRHRDGYEDGESIVRKVSSAADFVWSDAPLEILGSVTSIVFEGPTSLPIRDHALTTEEIKTLCDDLRVLGKQDFKHLLKWRMHVRKALSPTQKASSTAVNGEEKKQEDEDDKLLNEMEELTYAMERKRKQEKKRDAKRRAKDKARKATGMQIDAMEDGYTDHELFSLSSIKGKKDLVAVDSAENDDDNGELRDGENDETDNEAQENSSSDVDSDEERRRYDEQMEEFLDQVYERFVTKKEGSTKQRKRARQAYSKQLLEGDGDDVVIHSDYGSDEDLGDQEANPLMVPLNDGEAPTQEEITNKWFTQEVFAKAAEDGDLEKYDSEDAMQVDKQERKLAVPEKTTKNGAGSKAIQPQTSKAEEDFEIVPAPATDSSDDSSTDDSDDDDVETKAEVLAYAKKMLRKKQREQMLDDAYNKYMFDDEGLPGWFVEEERRHRQPMKPVTKEEIAAIRAQFKEINARPAKKVAQAKARKKRVAMRKLEKVRKKANTISDQTDISDRSKRKMIEQLYKKATPKRPKKEYVVAKKGVAVKAGKGKVLVDRRMKKDARARGTGKPGKGASKKGKNAKGQKGKGLGKSSAKHGNKGNKGKKMGMHE >Manes.03G173800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29797112:29801229:-1 gene:Manes.03G173800.v8.1 transcript:Manes.03G173800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMTEPWRPDMPFFRPPETPREPMEFLSRSWSVSALEVSKALAPPQMALSKAMSGCAGCVIQEDIAGELDESATVSGNPFSFASSETSQMVMERIMSQSEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKCCRQNNSVNSQHRTPSATPSVAGGGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPLEKGLGLGGGNGSNGSSNGSFSGELVPEDNFLGICSRELLARGYELLKRTRKGDLHWKIVSVYINRMNQGKGIKTKAKALVMLKMKSRHVAGTITKKKKNVVLEVIKDIPAWPGRHLLEGGENRRYFGLKTILRGVVEFECQNQREYDMWTQGVSRLLAMAEEKNNRHRI >Manes.03G173800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29796978:29801229:-1 gene:Manes.03G173800.v8.1 transcript:Manes.03G173800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMTEPWRPDMPFFRPPETPREPMEFLSRSWSVSALEVSKALAPPQMALSKAMSGCAGCVIQEDIAGELDESATVSGNPFSFASSETSQMVMERIMSQSEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKCCRQNNSVNSQHRTPSATPSVAGGGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPLEKGLGLGGGNGSNGSSNGSFSGELVPEDNFLGICSRELLARGYELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDIPAWPGRHLLEGGENRRYFGLKTILRGVVEFECQNQREYDMWTQGVSRLLAMAEEKNNRHRI >Manes.03G173800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29797112:29801229:-1 gene:Manes.03G173800.v8.1 transcript:Manes.03G173800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMTEPWRPDMPFFRPPETPREPMEFLSRSWSVSALEVSKALAPPQMALSKAMSGCAGCVIQEDIAGELDESATVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKCCRQNNSVNSQHRTPSATPSVAGGGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPLEKGLGLGGGNGSNGSSNGSFSGELVPEDNFLGICSRELLARGYELLKRTRKGDLHWKIVSVYINRMNQV >Manes.03G173800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29796978:29801229:-1 gene:Manes.03G173800.v8.1 transcript:Manes.03G173800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMTEPWRPDMPFFRPPETPREPMEFLSRSWSVSALEVSKALAPPQMALSKAMSGCAGCVIQEDIAGELDESATVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKCCRQNNSVNSQHRTPSATPSVAGGGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPLEKGLGLGGGNGSNGSSNGSFSGELVPEDNFLGICSRELLARGYELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDIPAWPGRHLLEGGENRRYFGLKTILRGVVEFECQNQREYDMWTQGVSRLLAMAEEKNNRHRI >Manes.03G173800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29797006:29800389:-1 gene:Manes.03G173800.v8.1 transcript:Manes.03G173800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKCCRQNNSVNSQHRTPSATPSVAGGGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPLEKGLGLGGGNGSNGSSNGSFSGELVPEDNFLGICSRELLARGYELLKRTRKGDLHWKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDIPAWPGRHLLEGGENRRYFGLKTILRGVVEFECQNQREYDMWTQGVSRLLAMAEEKNNRHRI >Manes.03G173800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29797112:29801229:-1 gene:Manes.03G173800.v8.1 transcript:Manes.03G173800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMTEPWRPDMPFFRPPETPREPMEFLSRSWSVSALEVSKALAPPQMALSKAMSGCAGCVIQEDIAGELDESATVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKCCRQNNSVNSQHRTPSATPSVAGGGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPLEKGLGLGGGNGSNGSSNGSFSGELVPEDNFLGICSRELLARGYELLKRTRKGDLHWKIVSVYINRMNQGKGIKTKAKALVMLKMKSRHVAGTITKKKKNVVLEVIKDIPAWPGRHLLEGGENRRYFGLKTILRGVVEFECQNQREYDMWTQGVSRLLAMAEEKNNRHRI >Manes.03G173800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29797112:29801229:-1 gene:Manes.03G173800.v8.1 transcript:Manes.03G173800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMTEPWRPDMPFFRPPETPREPMEFLSRSWSVSALEVSKALAPPQMALSKAMSGCAGCVIQEDIAGELDESATVSGNPFSFASSETSQMVMERIMSQSEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEIDDVKCCRQNNSVNSQHRTPSATPSVAGGGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAVAAIAAATAASSGNGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSPGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPLEKGLGLGGGNGSNGSSNGSFSGELVPEDNFLGICSRELLARGYELLKRTRKGDLHWKIVSVYINRMNQV >Manes.12G157200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36528285:36530414:1 gene:Manes.12G157200.v8.1 transcript:Manes.12G157200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIHMLISKLENISCIFSSQKTMLDHSNQVSSFNPDYQMGRNYDSQADVEFNFWGGSDARYYEHFSCEDGGEMEFRGHLDEELDDYSVGLWKRSTSRHVRNETSRLLPNNHRYSNISISSQSQAIAEARKELMERIHDMPESSYELSLKDIVDEQLTLKGVNQEDATEGTSFQFNTAETQIMKQRRRKPKNTDKSAKIARSKSMEKETFMIKMFLPISFCWKTKVKGGNGSKDSPRPLFHGSENQVDKEWGVQKLMAGGDGKKSNRTNSSSSSSSTSTGSRNSSSSTSSTSSTSTGNRNSSSNSFSPGCWPFSCTKKTKHKKHTGRIF >Manes.13G049800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5683786:5687314:1 gene:Manes.13G049800.v8.1 transcript:Manes.13G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFSLSSTNSKKTHFSISSLLLSDLFIFFSFIWSHPIYFLYFIFLFPYLFKLLSFLSPLFITTFLLLLVFLTFSPNLVHENLKPELSESKLSFLLGTYQAVVERLRPRIEGEENEEFDQFEELEEYKIVFEMSNFDNGGTPVEVSELEAKENRLSSNEAQITNDTTNQDSDLGVSEVVSDNLSENSVGIITMSETSLQLLSEENKLQVLLHQKQKKLEEFEIQKGEKEVKPLSSNSNKVEEQRESKAMSLTTDEKARAEDNGLCISRVNSQKLGSNPWTSSESMGSNLGSFGSMRKEKEWRRTLACKLFEERHNVEGGEGMDLLWETYETDSIKMQAKGNTKKGKKGNVEYYDDDEEEEESNGQLCCLQALKFSAGKMNLGMGRPSLVKISKALKGIGWLHHVSKKKVYY >Manes.01G182200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36047708:36050649:1 gene:Manes.01G182200.v8.1 transcript:Manes.01G182200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFTNGGPLKRAHLYEYRITGYFVFACIVAALGGSLFGYDLGVSGGVTSMDDFLKEFFPKVYRRKQKHLNETDYCKYDNQILTLFTSSLYFAALVSTFGASHVTRNKGRKGSIIVGSISFFLGAILNAAAVTIWMLIIGRVLLGVGIGFSNQAVPLYLSEMAPAKIRGAINQLFQLTTCLGILIATLINYGTEKIHPWGWRLSLGLATVPAVSMFIGGIFLPETPNSLVEQGKLEEAKRILEKVRGTKNIDAEFADLVDASNEARAIKHPFRNLLKRKNRPQLIIGAIGIPMFQQLTGNNSILFYAPVFFQSLGFGSGASLYSSVITSAALVLGALMSMSLVDKFGRRAFFLEAGFEMFCYMVATGITLALKFGQGVKLPKGIGMFLVVVLCLFVLAYGRSWGPLGWLVPSELFPLETRSAGQSIVVCVNMIFTALIAQCFLVSLCHLQYGIFLLFAGLILIMSSFIFFLLPETKQVPIEEVYILFQNHWFWKRIVGDGDQVEMEEKTGSQA >Manes.16G073700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27602875:27604250:-1 gene:Manes.16G073700.v8.1 transcript:Manes.16G073700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEDLQDDANPNFVEEIVSSFYSDSARLIHNIEQALARRPVDFSKLDDYMHQFKGSSSSIGAKKVKMECSQFREYCCAGNIEGCIRTFQQLKQEHATLRRKLEAYFQLVRQTGQGETS >Manes.16G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27602875:27605020:-1 gene:Manes.16G073700.v8.1 transcript:Manes.16G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKHLKQQVAYVRKSLFDQGYLDDQFMQLEDLQDDANPNFVEEIVSSFYSDSARLIHNIEQALARRPVDFSKLDDYMHQFKGSSSSIGAKKVKMECSQFREYCCAGNIEGCIRTFQQLKQEHATLRRKLEAYFQLVRQTGQGETS >Manes.07G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33100122:33103475:-1 gene:Manes.07G128800.v8.1 transcript:Manes.07G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKEEKSIRVLRFLKTLFFLITMLISFLLFSVPVLLAIADTLLPFSLLSASLSPSSLSFKTLSSHLNNYDFRYSLIDIPLISITRSAVIICVYSFCDGPRLSRGPYLGITTICSVLSLIYVSLKAPYVFSVSSFERGEYAKFMEICLFLSSWVLAIAHIVAAYRTSCRERRKLLVYKIDIEAVSACKNGFLGYKKISKEESQVKVKMKN >Manes.S031016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:727635:728405:-1 gene:Manes.S031016.v8.1 transcript:Manes.S031016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.01G194400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36886979:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRNKRRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36886979:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGGDADENFGMLPVSSTCDDKNAVKSALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRNKRRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36889336:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36889307:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRNKRRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36887101:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGGDADENFGMLPVSSTCDDKNAVKSALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36887101:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36887101:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRNKRRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36889336:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGGDADENFGMLPVSSTCDDKNAVKSALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36887101:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGGDADENFGMLPVSSTCDDKNAVKSALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36887101:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRNKRRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36889307:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGGDADENFGMLPVSSTCDDKNAVKSALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRNKRRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36887101:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36887101:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGGDADENFGMLPVSSTCDDKNAVKSALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRNKRRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.01G194400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36887101:36900894:1 gene:Manes.01G194400.v8.1 transcript:Manes.01G194400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKKPMVSSRGETSVQPQMMAGGATSGGGGGGGGGSSGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVATLFQDHSDLLVEFTHFLPDSSAAASAHYAPSVRNSILRDRSSAMPTMRQMHVDKKERTAASHADCDFSVDRPDPEHDRSLIRSDKDQRRRGEKEKERREDRDRREREDRDYEHDGNCEFMQRFPPKRKPARRVEDAAEYQGGDADENFGMLPVSSTCDDKNAVKSALSQELAFCEKVKEKLRNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMEGFNEFLARCEKNEGLLAGVVSKKSLWNDGNLPRPVKLEDKDKDRDREREDGVKERERETRERDRLDKNVAFSNNKDLGGHKMSLFSNKDKFLKPINELDLSNCERCTPSYRLLPKNYPIALASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNSIKTDSPIRIEDHLTALNRRCIERLYGDHGLDVMDVLRKNASLALPVILTRLKQKQEEWARCRADFNKVWAEIYSKNYYKSLDHRSFYFKQQDTKSLSTKALLSEIKEISEKKRKEDDVLLAFAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPVLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPNGGGATVLNKHSNLSRNGDESIPPEQSSSCKAWLLNGDNGIKENGSPDADRIAHKSDTSCSTVQHDKMQINIASADETSVVGNGKQATSNERLVNSNKSLVTGANLSNGQTNIESGLSIPTSRPSNGTVNSGLGLGSSNEIVPSAEGRDFSRPTVSTNGVTTEGAKNPKYNDESAQQFKIEREEGELSPIGDFEEDNFAAYGEGGLEALLKAKESAASRQYQTKHGGENDADADDEGDESAHRSSEDTENASENGDVSGSESGDGEDCSREEHEEDGEHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPTLHDKEKGSRVFYGNDSFYVLLRLHQTLYERIQSAKINSSSAERKWRASNDTSSIDQYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFFDVVYHENARVLLHDENIYRIECSSTPTHLSIQLMDFEHDKPEVTAVSMDPNFAAYLHNDFLSVVPDKKEKPGIFLKRNKRRCVSHDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKKRRKILQPSSSCQEQANISRRVQHFHKWLSSS >Manes.07G141501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34631287:34633412:1 gene:Manes.07G141501.v8.1 transcript:Manes.07G141501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEQCCNYIALFKVFPPFFGSGGYTSCSMGLYLPRIPRCLHQTILCTEAKVTYLKGATKFS >Manes.08G010300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1240504:1241275:1 gene:Manes.08G010300.v8.1 transcript:Manes.08G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVMKNMVFLRPFIGKLQKGLSFFSNQGTEHHHKDVRATLMVPDDVKEGQFAVLAIKGDEIERFVLELSFLCNPAFVKLLKLAEDEFGFHHKGALAIPCRPEELQKILTAGGRTKKIDYSAQEWITG >Manes.10G106000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25579999:25582403:1 gene:Manes.10G106000.v8.1 transcript:Manes.10G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSHEAGEIPIPISSSYGGGHGHGHGHGHGHMIHHDHHSPHNHIISSLAPQMPSNNGPSSMPSAVEDHHHAPFKKMVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGTIEALTCSACNCHRNFHRKEIEGEASSCEFYTSNPHFSRFGRKLILGHHKNILAPEALGYNFPSRAAAAAPHHQMMQLSYNMGSLPSESDEQEDGGGIIMARPAQLVKKRVRTKFTQEQKEKMLNFAEKVGWKIQKQEEAVVQQLCQEIGVKRRVLKVWMHNNKHNLAKKNNHSSPPITSPTATATTA >Manes.07G095608.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30003962:30004567:1 gene:Manes.07G095608.v8.1 transcript:Manes.07G095608.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRLKGNFCVCKGHEKRITKVLSKNKGLWIKNLDLENGLIHIEGDIEIEKLVNELQKKFKSMQVEIVGDIDSDEETDSDKCELVTQPILTLENGVGQSVPRLEWPDVVGQSGLGLRPHGGLTQSGYDGYGGFGTTSTYSYGGQNYQISNYPYFNIRDENPNACSTM >Manes.18G127600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15459087:15464290:1 gene:Manes.18G127600.v8.1 transcript:Manes.18G127600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNEPNLYTLVVLQVFLLFSISLASPQANPSTETHIDQLALLKFKQGIASDPHGIFNSWNDSLHFCNWTGITCGRRHQRVTSLVLEGQNLIGSISPHIANLSFLKLIDLRNNTFFGEIPQQVGNLFRLQILRLGNNSLQGEIPLNLTRCSKLNTISLPWNNLDGKIPAGLGSMTMLENILLHYNNLTGEIPPSLGNLSSLVIFAVMFNNLEGSIPIELGRLKSLNIFAVVANRLSGLIPPSLCNITSLTYLAFASNQLTGNLPDNICFTLPNLQNLAVGGNYFSGPIPNSLSNASQLLMVDFSRNNFVGRVPSNLGNLQSLLWLNFELNNLGNNSSNDLFFFTSLTNCSNLEALSIYDNNFGGVLPSSVANFSTGLSKLFLGRNKIAGVIPAAIENLVNLMALSMEDNFFSGFIPHELGKLGKLQFLTLQTNRLSGQIPSSIGNLTQLSVLALSGNKLEGSIPSSIRNCQHLYCLYIAENRLSGELSKEVLGLTSLSKILNLSHNSFSGNLPTEVGKLKNLYTLDVSQNNLSGEIPRTIGDCLSLEYLHMQGNFFQGTIPSSLASLKGLQYLDLSQNNLSGQIPKDLQKISYLQYLNLSFNDLEGEVPRKGVFANISAFSLIGNNKLCGGVPELGLPDCPTRIMKKMKSHTLKLIIAIACGVPFVLLIMIIFLICWMKKSRSKPCFASSAMNHLLKVSYKDLHQATDGFSSCNLIGSGFFSFVYKGFLPQVEGQVAIKVLNLEQTGGIKSFMAECSTLGTIRHRNLVKLITCCSSIDYKSNEFKALILEYMENGSLEKWLHPSENQPRSLNLLQRLNIVIDVASALHYLHELCEKPVIHCDLKPANILLDEDMIAHVSDFGLAKLFKINNDSSVRQTSTIGIKGTVGYVASEYGMGCLASKEGDAYSYGILVLEMFSNKRPTDEMFKEGLNLHDFVKAALPERLLQIMDPAILPGETADEEYEDDGIMEAKENNHHGNLSHVIANVRQCLVSILEIGVACSMESPMERMNMADVIKKLHLIKETFLGLPNEK >Manes.18G127600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15459087:15463651:1 gene:Manes.18G127600.v8.1 transcript:Manes.18G127600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNEPNLYTLVVLQVFLLFSISLASPQANPSTETHIDQLALLKFKQGIASDPHGIFNSWNDSLHFCNWTGITCGRRHQRVTSLVLEGQNLIGSISPHIANLSFLKLIDLRNNTFFGEIPQQVGNLFRLQILRLGNNSLQGEIPLNLTRCSKLNTISLPWNNLDGKIPAGLGSMTMLENILLHYNNLTGEIPPSLGNLSSLVIFAVMFNNLEGSIPIELGRLKSLNIFAVVANRLSGLIPPSLCNITSLTYLAFASNQLTGNLPDNICFTLPNLQNLAVGGNYFSGPIPNSLSNASQLLMVDFSRNNFVGRVPSNLGNLQSLLWLNFELNNLGNNSSNDLFFFTSLTNCSNLEALSIYDNNFGGVLPSSVANFSTGLSKLFLGRNKIAGVIPAAIENLVNLMALSMEDNFFSGFIPHELGKLGKLQFLTLQTNRLSGQIPSSIGNLTQLSVLALSGNKLEGSIPSSIRNCQHLYCLYIAENRLSGELSKEVLGLTSLSKILNLSHNSFSGNLPTEVGKLKNLYTLDVSQNNLSGEIPRTIGDCLSLEYLHMQGNFFQGTIPSSLASLKGLQYLDLSQNNLSGQIPKDLQKISYLQYLNLSFNDLEGEVPRKGVFANISAFSLIGNNKLCGGVPELGLPDCPTRIMKKMKSHTLKLIIAIACGVPFVLLIMIIFLICWMKKSRSKPCFASSAMNHLLKVSYKDLHQATDGFSSCNLIGSGFFSFVYKGFLPQVEGQVAIKVLNLEQTGGIKSFMAECSTLGTIRHRNLVKLITCCSSIDYKSNEFKALILEYMENGSLEKWLHPSENQPRSLNLLQRLNIVIDVASALHYLHELCEKPVIHCDLKPANILLDEDMIAHVSDFGLAKLFKINNDSSVRQTSTIGIKGTVGYVASEYGMGCLASKEGDAYSYGILVLEMFSNKRPTDEMFKEGLNLHDFVKAALPERLLQIMDPAILPGETADEEYEDDGIMEAKENNHHGNLSHVIANVRQCLVSILEIGVACSMESPMERMNMADVIKKLHLIKETFLGLPNEK >Manes.07G063100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11072351:11085770:-1 gene:Manes.07G063100.v8.1 transcript:Manes.07G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHEAGKLKNRTVKLQQAVEEATRKGEEIYESVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELANEGDLDSISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMVAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKVFIILDDIWKKLDLNAVGIPFGDVFKGCKILLTSRSQDVLSREMGTQKEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWSDKLNQLSEFDNEEIYSKVHAILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYIVGLSLFKNISTVQGARNKVYSLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELVKFPNKDCTRISLPYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMHILDDKILPKHLFSNGRLQTFRILIGDNWDWDDNYKTSRALKLKLKASIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKHLRVQNNHAIQHIINSMKWAVCDAFPILKSLILENLMKLEKIYHGRLAAGSFNKLEILQVKNCQRLTHLFSLSAVKCLLQLQEMKVEDCPQMKAIVIDESESSNEVFEFNGLRSLNLRNLPNLRTFHSPLKIEEFLSERDDTTHLSFFSRTVSFPNLEHLELHLISCEKIWHNQFSATSSKLERLSVIRCNELKYLFTTSIVKKLLQLKTLDMSDCSSMEEIILPEEFVEEKDERMNQILFPKLDKLTLWDLPKLIRFCTGYQIEFQSLRELNISKCYALMCLVPSVPHTGRMEKQNDTEMNQNQNQNQNAEIQSLFNRMVSFPNLERLSLFRINKLKRIWHSPLAANSFFKLKSLYVYDCHKLMVIFPSNVLERFRRMEELDVTNCVSLQEIYQLEEFNVDEAFELRRLNIHGLRSLKHVWRKDPQGVFNFQNLKSVEVLHCYVLNYLFPASIAEGLLQLEELTITWSGVVEIIAKAKDVEQASCYCFKFPQLTSLQLIYLSELRSWYSGTHIFECQKLTSLDVRNCYKITKFSSQEIQEESIQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTFTNLQNKSRPFIIGFLERLYSVETILVEGHNTSKELFSYEGLAGEDEEHPRTLARVKNLKLQSVYNLKHIWDPDSALKPLLQYLETLTVFDCDSLINIAPSSSSFQNLATLEVSYCAGLTNLITASTAKSMVQLTKMTVRRCKMMTEIVTSDGDDHTEDEIINFDKLKCLELDYLPGLISFCSGNNAFNFPALENVTVNECSRMKIFAFGDLNTPKLRGILLGDQQRWEGNLNATLAEMTFCKYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADEVFDLEGVSADDGLLPRLKKLQLTSLPMLRHLWIKDPIGIFEFKNLKWLHVGNCSSLRYIFTWSMALCLLQLEKIEIYNCKMIEVIIEMEEAADKMILLPSLKSVVLKYLPRFVKLCSGWSNVECPLLEEMSIHECPSLKNIFATQTPVNTIDEFHTSFLRKMFPNLKKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFSNLERLEVLDSSLDKLLPFEGLGGDQEDITTIPQIRDLKLYNVHDLKHIWNPDGQLHEPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLKTLIVRSCNMLTAIIGGVQEDGSTDEIVFSKMKTLELEDLQNLKCFCLGSYTFKFPSLEQVDVFRCPKLRIFTVHQLSAPKIHGVFTGNRFKRTFHWEGDLNATIEQIYMKYIGFKGIYDIQLSNFPMLKEKWHGQFPFENLEYLRKLMVDQCAFFSIAISSNLLKRLSWLNKLAVERCDSMEELFELEWLNADEGDVGLLESLEELRLIDLPRLVHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLIKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLLSFYSASDVLKCPSLKRIEIVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVFIPSLEELGVECNTIKDLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKFVLSDASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTTLQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVKCPNMKIFAQEVSTPQLWRVQTGEQRKYDKKWKNNIWCWGWEYTIQVICDWEWEGSLNNTIEALFKEKKAEETGIGQCSYG >Manes.18G085500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7898712:7899710:-1 gene:Manes.18G085500.v8.1 transcript:Manes.18G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEELQFLGILDIYKESYKIIFTWRKIFTQITLALILPLSFIFLSHIQISDLIFSNIIDDEIQLDHARAGSPRYKKLNDLLSSEWTYFWLFKIAYFTFFLIFSLLSTAAVVYTIASIYTGREVTFANVISVVPMVWKRLMVTFLCIFAALLAYNVFAFLLFVAWAILISDTSIGFVAFFGLLILYLVGVTYMSIIWQLASVVSVLEEACGVKAMAKSRALVKGKMRVTTVIFLKLNLSLFVIQVAFEKLVVHGRYLGMVNRVSYGIICFLLLFKLFLFLLVIQTVIYFVCKSYHHENIDKSALSDHLEVYLGEYVPLKSKDVQLQEFEV >Manes.03G180600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30285153:30286962:-1 gene:Manes.03G180600.v8.1 transcript:Manes.03G180600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLKKFFPSVYERKQHAIEDNYCKYDNQYLQLFTSSLYLAALLSSFVASSVCEKFGRKPTIFVASLFFLAGAGLSSGAQYLWMLIIGRVLLGCGVGFGNEAVPLFLSEIAPVQHRGAVNILFQLFVTIGILIANLVNYGTSKSHPNGWRISLGLAGTPALVLFIGSLIIPETPSSLIEHGKEGKGLKALKQIRGVDDVDAEFKQIQSACEIAREVKNPFKNIMKRSSIPPLVIAVLMQVFQQFTGINAIMFYAPVLFQTVGFKNDASLLSSVITGLVNVISTLVSVYAVDKIGRRKLLLQACVQMFISQVAIGLILILKLSATGTLSKPLAIIVVCLVCLYVMSFAWSWGPLGWLIPSETFPLETRTAGFAFAVSSNMLFTFLIAQAFLSMLCHMKAGIFFFFAAWIIIMGLFVVKLLPETKNVPIDLMAENVWKKHPVWSKFMD >Manes.03G180600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30285153:30287508:-1 gene:Manes.03G180600.v8.1 transcript:Manes.03G180600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIERVVPVDFPWKITVYVIFCWVLAAFGGLMFGYDIGISGGVTAMDDFLKKFFPSVYERKQHAIEDNYCKYDNQYLQLFTSSLYLAALLSSFVASSVCEKFGRKPTIFVASLFFLAGAGLSSGAQYLWMLIIGRVLLGCGVGFGNEAVPLFLSEIAPVQHRGAVNILFQLFVTIGILIANLVNYGTSKSHPNGWRISLGLAGTPALVLFIGSLIIPETPSSLIEHGKEGKGLKALKQIRGVDDVDAEFKQIQSACEIAREVKNPFKNIMKRSSIPPLVIAVLMQVFQQFTGINAIMFYAPVLFQTVGFKNDASLLSSVITGLVNVISTLVSVYAVDKIGRRKLLLQACVQMFISQVAIGLILILKLSATGTLSKPLAIIVVCLVCLYVMSFAWSWGPLGWLIPSETFPLETRTAGFAFAVSSNMLFTFLIAQAFLSMLCHMKAGIFFFFAAWIIIMGLFVVKLLPETKNVPIDLMAENVWKKHPVWSKFMD >Manes.02G107600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8373201:8377357:1 gene:Manes.02G107600.v8.1 transcript:Manes.02G107600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAIEPEAPDLVCQLDNVQGMVDAFSAVRWKRQQLFVQYQYNAQGRPRFGVSLGLFVDCLNTFSVPGHSTAIEIQYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTFSWDYNFEPAGTTPLSFTVKSAALKEAIDDLEWPGSSIQIILKPVPPSVTFRGEGHGDLQIEFMYYVNTDLLIAFHCDHEVSYRYKYKFLRATTSNIPSSVIKDNRGSKLTIGRGGMLKVQHLVSVGRSSIAHPHVDAAGYQQPSRIAFIEFFVRPEEDESTINN >Manes.02G107600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8371820:8377383:1 gene:Manes.02G107600.v8.1 transcript:Manes.02G107600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAIEPEAPDLVCQLDNVQGMVDAFSAVRWKRQQLFVQYQYNAQGRPRFGVSLGLFVDCLNTFSVPGHSTAIEIQYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTFSWDYNFEPAGTTPLSFTVKSAALKEAIDDLEWPGSSIQIILKPVPPSVTFRGEGHGDLQIEFMYYVNTDLLIAFHCDHEVSYRYKYKFLRATTSNIPSSVIKDNRGSKLTIGRGGMLKVQHLVSVGRSSIAHPHVDAAGYQQPSRIAFIEFFVRPEEDESTINN >Manes.02G107600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8371818:8377383:1 gene:Manes.02G107600.v8.1 transcript:Manes.02G107600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAIEPEAPDLVCQLDNVQGMVDAFSAVRWKRQQDAVVELSEHGIVLIVEEIGCLQAKVYLQKELFVQYQYNAQGRPRFGVSLGLFVDCLNTFSVPGHSTAIEIQYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTFSWDYNFEPAGTTPLSFTVKSAALKEAIDDLEWPGSSIQIILKPVPPSVTFRGEGHGDLQIEFMYYVNTDLLIAFHCDHEVSYRYKYKFLRATTSNIPSSVIKDNRGSKLTIGRGGMLKVQHLVSVGRSSIAHPHVDAAGYQQPSRIAFIEFFVRPEEDESTINN >Manes.02G107600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8372443:8377378:1 gene:Manes.02G107600.v8.1 transcript:Manes.02G107600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAIEPEAPDLVCQLDNVQGMVDAFSAVRWKRQQDAVVELSEHGIVLIVEEIGCLQAKVYLQKELFVQYQYNAQGRPRFGVSLGLFVDCLNTFSVPGHSTAIEIQYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTFSWDYNFEPAGTTPLSFTVKSAALKEAIDDLEWPGSSIQIILKPVPPSVTFRGEGHGDLQIEFMYYVNTDLLIAFHCDHEVSYRYKYKFLRATTSNIPSSVIKDNRGSKLTIGRGGMLKVQHLVSVGRSSIAHPHVDAAGYQQPSRIAFIEFFVRPEEDESTINN >Manes.02G107600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8373898:8377357:1 gene:Manes.02G107600.v8.1 transcript:Manes.02G107600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLKSVDSLDACIYAEIRTRIPDTFSWDYNFEPAGTTPLSFTVKSAALKEAIDDLEWPGSSIQIILKPVPPSVTFRGEGHGDLQIEFMYYVNTDLLIAFHCDHEVSYRYKYKFLRATTSNIPSSVIKDNRGSKLTIGRGGMLKVQHLVSVGRSSIAHPHVDAAGYQQPSRIAFIEFFVRPEEDESTINN >Manes.02G107600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8372504:8377357:1 gene:Manes.02G107600.v8.1 transcript:Manes.02G107600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAIEPEAPDLVCQLDNVQGMVDAFSAVRWKRQQLFVQYQYNAQGRPRFGVSLGLFVDCLNTFSVPGHSTAIEIQYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTFSWDYNFEPAGTTPLSFTVKSAALKEAIDDLEWPGSSIQIILKPVPPSVTFRGEGHGDLQIEFMYYVNTDLLIAFHCDHEVSYRYKYKFLRATTSNIPSSVIKDNRGSKLTIGRGGMLKVQHLVSVGRSSIAHPHVDAAGYQQPSRIAFIEFFVRPEEDESTINN >Manes.02G107600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8373201:8377357:1 gene:Manes.02G107600.v8.1 transcript:Manes.02G107600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAIEPEAPDLVCQLDNVQGMVDAFSAVRWKRQQDAVVELSEHGIVLIVEEIGCLQAKVYLQKELFVQYQYNAQGRPRFGVSLGLFVDCLNTFSVPGHSTAIEIQYPGPDMQLLLKSVDSLDACIYAEIRTRIPDTFSWDYNFEPAGTTPLSFTVKSAALKEAIDDLEWPGSSIQIILKPVPPSVTFRGEGHGDLQIEFMYYVNTDLLIAFHCDHEVSYRYKYKFLRATTSNIPSSVIKDNRGSKLTIGRGGMLKVQHLVSVGRSSIAHPHVDAAGYQQPSRIAFIEFFVRPEEDESTINN >Manes.03G064500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:7552912:7553780:1 gene:Manes.03G064500.v8.1 transcript:Manes.03G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFKNWRNSSSRETIHLGRNHLQVKDEKDEVKEAKPKWFNFWRKIYREKKKKKKKFASGPVTLQASYDPDEYSQNFDQGTGWTEPDNHSRSFSARFADPSRILVLDMSRDFDDHHDA >Manes.06G061600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19605301:19608370:1 gene:Manes.06G061600.v8.1 transcript:Manes.06G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTTALNENNMRSSSENFVTAIVPLMKLLCLTIIGLILAHPKTQIIPRATFKLLSKLVFTLFLPCLIFTELGESITLENFALWWFIPVNVLISTIIGFFLGLLVVAICHPPPQLNGFTIIMTAFGNTGNLPLAIVGSVCHTKDNPFGAHCHSRGVAYVSFSQWVAVIMVYTLVYHMMEPPLQYYEIVEEGFEIEGQQPTTDVSRPLLVEAEWPGIEDKETEHAKTPFIARIFNSISSLSQTNFPDVELSGDSSANSPRSIRCLDEPRVLRRIRIVAEQTPLCQILQPPTIASLLAIIIGTVPQLKAFVFGYDAPLSFITESLEILAGAMVPSVMLVLGGMLAEGPNESMLGLPTTIGISVARLFVLPLLGIGVVALADKLNFLVQGDAMYRFVLLLQYTTPSAILLGAIASLRGYAVKEASALLFWQHGFALCSLSLYTVIYFKLLSYI >Manes.03G204733.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32005455:32006123:1 gene:Manes.03G204733.v8.1 transcript:Manes.03G204733.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCHVRFLYLMMLIIACWNLRIQSGSSRKFLQPSDKNNNPSTILSKNLQKSYPSQRKLLIHDSCTNRDISISQSRDFTSGIPQYIVQIANTCVSGCAPSDIHLHCGWFASARMVNPTTFKRLSYDDCLVNAGKPLNKSQIIRFTYSNSFMYTLAFKSAKFCQV >Manes.01G262400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41771858:41775129:1 gene:Manes.01G262400.v8.1 transcript:Manes.01G262400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALHVGILSFNSCFPCLPHSRLLRLRFTKRKLFPVAAMDEDPIREWILSEGKATQITKISSIGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEGEALGLGAMYETRTIRVPRPFKVGPLPTGGSYIIMEFIEFGASRGNQSVLGRKLAEMHKAGKSERGFGFDVDNTIGSTPQINTWTSDWIEFYGKHRLGYQLKLALNQYGDSSIYQKGQRLVKNMAPLFENIVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYDAYFKVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLNV >Manes.01G262400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41771827:41775129:1 gene:Manes.01G262400.v8.1 transcript:Manes.01G262400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALHVGILSFNSCFPCLPHSRLLRLRFTKRKLFPVAAMDEDPIREWILSEGKATQITKISSIGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEGEALGLGAMYETRTIRVPRPFKVGPLPTGGSYIIMEFIEFGASRGNQSVLGRKLAEMHKAGKSERGFGFDVDNTIGSTPQINTWTSDWIEFYGKHRLGYQLKLALNQYGDSSIYQKGQRLVKNMAPLFENIVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYDAYFKVSLLPCR >Manes.05G008000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1102027:1107020:1 gene:Manes.05G008000.v8.1 transcript:Manes.05G008000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFAELVWENGQILMRGRSTCPKICTSKKPRLRTENNIPDRGDLFKNKRSVQDDHHSDDLFPGSYKNDFNIFLGTTRNNTYDEHLTESQIVPECEDENFRLGNDASPVEIPQITKARRGPLYESSLQRCQASVSISRSIATGSQSLSSMGRLPNSNLQQLNSRTDKDLRLRNFSLFLRPAMLSKVDDQHQGATEPADGPSSLRVQGLKSNQDKTPADDTALVLESTSGSGNAKDFYKHQDLVTVKTDQIQQIAKTSEESPQDEQSEAPDHRNAIKSKRFQQRAPISNSSLQANTVEGNPDREKSKDQLAVATSLCSWGASNDLSYSTLRRNNKDKEAMVSSSETDEGDQQVPKPAFAHAGAKRKRREVHKQSERKRRDKITKKMRALQALLPNSTKVDKVSVLDNAIEYLKTLQLQLQIMSQGTSFCMPPMIVGTGMQPTHIQATNLAHFPTMGLQMDTRIPMGVGCTPAMFSNPAMLGGVLPMPFIPPVSAMPFSGAATPVGFARSAPSLRSNGSIVQNNNS >Manes.06G158500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28405441:28411986:-1 gene:Manes.06G158500.v8.1 transcript:Manes.06G158500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNTCIRSVIAEGGIFSKTRYKLSNIRRSLHVGLHPHYTLKRELSMNLSMMVDSGSTAKREAVVDVLKEKDEAGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDRYDIKNTKIHGQTVCMFGIFDGHGGSRAAEYLKEHLFDNLMKHPKFMENTKLAIGETYQQTDVDFLDSVKDTYRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQKIDEEFEVLVLASDGLWDVVPNEDAVSIARTEEEPEAAARKLTEAAFKRGSADNITCIVVRFHHAKADSANVQSN >Manes.07G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3700413:3704224:-1 gene:Manes.07G033800.v8.1 transcript:Manes.07G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGILGFDYGIVQAPLGPDISGPELVAAVANAGGLGFLRAPDWESPDYLRGLIRKTRTLTDKPFGVGVILAFPHEENLKAILDEKVAVLQVYWGDCSEELVLKAHQAGVKVVPQVGSVEDAKKAISVGVDAIIVQGREAGGHVIGQEGLISLLPRVVDLVGNHDMPVIAAGGIVDARGYVAALALGAQGVCLGTRFVATEESYAHPTYKRKLVDLDKTEYTNVFGRARWPNAPQRALVTPFFNDWKSLPPHENEVNQPVIGHATINGEEKEIRRLGGTVPNVTATGDIESMAMYAGQGVCLINEILPASEVVKRLVNGAQQLILKEFSSL >Manes.17G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30601731:30604040:1 gene:Manes.17G099500.v8.1 transcript:Manes.17G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRAKQDVSQPTLPDFDPPKKPKRNKFAFACAILASMTSILLGYDIGVMSGAAIYIEDDLKISDTQVEILVGTLNVFSLVGSAAAGRTSDWIGRRYTIVVAGAIFFVGALLMGFATTYAFLMVGRFVAGVGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINTGILLGYVSNFAFSKMPTHLGWRFMLGIGAIPSVFLALVVLAMPESPRWLVLQGRLGDAKRVLDKTSDSKEESEARLSDIKEAAGIPQDCNDDVVKVVKQSHGEGVWRELLLHPTRSVRHILICGIGIHFFQQASGIDAVVLYSPRIFEKAGIKSDNDKLLATVAVGFVKTIFILVATFLLDRIGRRPLLLSSVAGMIFSLATLGFSLTIIDHSHEKVTWAIALCIAMVLAYVAFFSIGMGPITWVYSSEIFPLRLRAQGASMGVAVNRVTSGVISTTFISLYKAITIGGAFFLFAAIAAVAWTFFFTFLPETQGRTLEDMEALFGNFINWRSVLKEAKSKDLQKGRGAQTESNSQVQLATSSGATTAAA >Manes.09G168100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36065795:36073357:-1 gene:Manes.09G168100.v8.1 transcript:Manes.09G168100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGKATGHDNIGMVPMRFVWPYGGRSVFLSGSFTGWTEHIPMSPMEGCPTVFQVICSLTPGHHQYKFFVDGEWRHDDHQPSVSGNYGVVNTVFLPREPYVDSPVLDLELSGSNMELDDAFSRPEAISRVSEADLQVSRQRISAFLSMHTAYELLPESGKVIALDVNLPVKQAFHILHEQGVPLAPLWDFLKGQFVGVLSALDFILILRELGSHGSNLTEEELETHSISAWKEGKLNLNRQIDGNGRACSRRLIHAGPYDSLKDVALKILQNKVSTIPIIHSSSRDGSFPQLLHLTSLSGILKCICRHFKHSASSLPVLRQPICMIPLGTWVPKIGESNVRPFAMLRPNASLAEVSSIPIVDDNDALLDIYSRSDITALAKDKAYAQIHLDEISIHQALQLGQDANSPYGFYNGQRCHMCLGSDPLHKVMERLANPGVRRLLIVEAGSKRVEGIISLSDVFRFLLG >Manes.09G168100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36065795:36073357:-1 gene:Manes.09G168100.v8.1 transcript:Manes.09G168100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGKATGHDNIGMVPMRFVWPYGGRSVFLSGSFTGWTEHIPMSPMEGCPTVFQVICSLTPGHHQYKFFVDGEWRHDDHQPSVSGNYGVVNTVFLPREPYVDSPVLDLELSGSNMELDDAFSRPEAISRVSEADLQVSRQRISAFLSMHTAYELLPESGKVIALDVNLPVKQAFHILHEQGVPLAPLWDFLKGQFVGVLSALDFILILRELGSHGSNLTEEELETHSISAWKEGKLNLNRQIDGNGRACSRRLIHAGPYDSLKDVALKILQNKVSTIPIIHSSSRDGSFPQLLHLTSLSGILKCICRHFKHSASSLPVLRQPICMIPLGTWVPKIGESNVRPFAMLRPNASLGDALSLLVQAEVSSIPIVDDNDALLDIYSRSDITALAKDKAYAQIHLDEISIHQALQLGQDANSPYGFYNGQRCHMCLGSDPLHKVMERLANPGVRRLLIVEAGSKRVEGIISLSDVFRFLLG >Manes.09G168100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36065795:36073357:-1 gene:Manes.09G168100.v8.1 transcript:Manes.09G168100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGKATGHDNIGMVPMRFVWPYGGRSVFLSGSFTGWTEHIPMSPMEGCPTVFQVICSLTPGHHQYKFFVDGEWRHDDHQPSVSGNYGVVNTVFLPREPYVDSPVLDLELSGSNMELDDAFSRPVSRQRISAFLSMHTAYELLPESGKVIALDVNLPVKQAFHILHEQGVPLAPLWDFLKGQFVGVLSALDFILILRELGSHGSNLTEEELETHSISAWKEGKLNLNRQIDGNGRACSRRLIHAGPYDSLKDVALKILQNKVSTIPIIHSSSRDGSFPQLLHLTSLSGILKCICRHFKHSASSLPVLRQPICMIPLGTWVPKIGESNVRPFAMLRPNASLGDALSLLVQAEVSSIPIVDDNDALLDIYSRSDITALAKDKAYAQIHLDEISIHQALQLGQDANSPYGFYNGQRCHMCLGSDPLHKVMERLANPGVRRLLIVEAGSKRVEGIISLSDVFRFLLG >Manes.09G168100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36065795:36073357:-1 gene:Manes.09G168100.v8.1 transcript:Manes.09G168100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGKATGHDNIGMVPMRFVWPYGGRSVFLSGSFTGWTEHIPMSPMEGCPTVFQVICSLTPGHHQYKFFVDGEWRHDDHQPSVSGNYGVVNTVFLPREPYVDSPVLDLELSGSNMELDDAFSRPEAISRVSEADLQVSRQRISAFLSMHTAYELLPESGKVIALDVNLPVKQAFHILHEQGVPLAPLWDFLKGQFVGVLSALDFILILRELGSHGSNLTEEELETHSISAWKEGKLNLNRQIDGNGRACSRRLIHAGPYDSLKDVALKILQNKVSTIPIIHSSSRDGSFPQLLHLTSLSGILKCICRHFKHSASSLPVLRQPICMIPLGTWVPKIGESNVRPFAMLRPNASLGDALSLLVQAEVSSIPIVDDNDALLDIYSRSDITALAKDKAYAQIHLDEISIHQYMCMTENSL >Manes.09G168100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36065795:36073357:-1 gene:Manes.09G168100.v8.1 transcript:Manes.09G168100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGKATGHDNIGMVPMRFVWPYGGRSVFLSGSFTGWTEHIPMSPMEGCPTVFQVICSLTPGHHQYKFFVDGEWRHDDHQPSVSGNYGVVNTVFLPREPYVDSPVLDLELSGSNMELDDAFSRPEAISRVSEADLQVSRQRISAFLSMHTAYELLPESGKVIALDVNLPVKQAFHILHEQGVPLAPLWDFLKGQFVGVLSALDFILILRELGSHGSNLTEEELETHSISAWKEGKLNLNRQIDGNGRACSRRLIHAGPYDSLKDVALKILQNKVSTIPIIHSSSRDGSFPQLLHLTSLSGILKCICRHFKHSASSLPVLRQPICMIPLGTWVPKIGESNVRPFAMLRPNASLAEVSSIPIVDDNDALLDIYSRSDITALAKDKAYAQIHLDEISIHQYMCMTENSL >Manes.05G070400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5791370:5792767:-1 gene:Manes.05G070400.v8.1 transcript:Manes.05G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKPDVKEVEIAFKRGQKGTTTFRLSNLMHTMSVAVSLTTTNTSVFSFAQALSIIPPLSSSSYTLVLSQSPDQPTVCTPPDVITVKSAMLPTGKARVDDLRRLFSRPGPHIFRDATIPISLVGPHVAEYLISNHAQIRDASSHFNRAISGCSASQLTTLLESAVISGSANLVAKLIDAGGDVNCKDSEGRSMITQAVKAGNTDVVKVLIASGCLIDELIDKVLHEAAAINRVDLMEVLSHNFKFIDANSIDLHGRTPIHVAASCGHVEVIRFCASIGGKSDVVDCNGCTPLHLAAEKGHLEAAECLLDCSSYIKYAVNKEGKTAFGVAVENGNSHLYGLLQLGDMLHRAARLGDVNGIRSCIAEGANVNERDENGWTPLHRAAFKGRIESVKVLLNHGGRVDAVDDAGYSPLHCAVEAGHVDVAMLLVSHGAKPIVKSLKGASNLKKELFKNKLSDEFSSCVL >Manes.15G050712.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3882879:3890802:-1 gene:Manes.15G050712.v8.1 transcript:Manes.15G050712.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMDIEQVVDIPDTPDRLTARHINGAKSGKGSNSSAPGPSRTDFRDKECLNQPRARSSENGHLNPQRISVKMDGFVPRNKSITFAPSENSCRSKNAPLFRRGAMAYNSKPETRLSIGTQHVDKGKPESTKIPLRSRVHMEEEAIFDMAFPCRTSKTLQAEETRNVQVPSSGGSNLRFTPMTSSNSCKGKEKIGVNACNGSGLTLNDGKGIGPTGGSQPKIEKQLSASALPVISPRVTGQKRLVRNGCISPHNIATSARKLAESHRVGSTNVEKDHVISMVSDGPSEVDIREIVAEENNCHRAKGKGVVFHPSTSTEHNVKIGHASTSCGTNNKAANETSDSRDSLLGGWRSTLNHTKNIYQMEGEDECFIDEQRRDRVVRRNNGNGNVTKITSDSGDHGEGQTASRPVSGLNQTSQSHHIGNVHSKRQRKHRLTLRNQSDSTTVPDDSEIFFLGSSEESSSSRLSRTLNRQHQSMLEPSYEIDELLPERRNNSSPGLGSMNDDSDSRARQVEADEMLARELQEQLYHEAPVYGGSEIDENIAWVLQQEEDAFRTASSQNRPRLRLRNSATVNASRQPQPQSFQNPSNRRRAQTQVPTGRTSLRNRLLNRSTAALPRARNHSHAALSRASSLQFPLGMDLDMRLDILEALEDAVGEFSDMSMTASHILRVQRDFNENDYEMLLALDENNHQPGAPVNRINSLPESVVQTENFEETCAICLETPTIGETIRHLPCLHKFHKDCIDPWLGRKTSCPVCKSSIT >Manes.10G110680.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27133015:27134438:1 gene:Manes.10G110680.v8.1 transcript:Manes.10G110680.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHRHPMCAEIIEQFQQCHLDHPIGKFFGECTDLKIKLDRCFREEKAVKRKANFEESKKLKERLQTLRKEAAERSPELKNYM >Manes.09G188500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37479276:37481963:1 gene:Manes.09G188500.v8.1 transcript:Manes.09G188500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLWFLILLLVFGFNVRIFAHQRPRTERISGSAGDVLEMTSGKKEPRCITYMFAAEIFMHRFLLSSPVRTLNPDEADWFCTPIYATCDLTPTGLPLPFKSPRMMRSAIQLISANWPYWNRTGGADHFFVVPHDFDTSFHYQEDKAIDRRILPLLQRATLVQTFGQGNHVCLKEGSITIPPYAPPQKVQAHQIPPDTPRSIFVYFRGLFYDVNNDPEGGARAAVWENFKNNPPFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVDAVVFGCIPVIIADDIVLPFGDPIPWEEIGVFVAEEGVPKLDTILASIPTQVILRKQRLLANPSMERAMLFPQPAQPGDAFHQILNGLARKLPHDKKIFLKPGEKILNWTAGPVGGLETL >Manes.17G119685.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34593156:34593851:-1 gene:Manes.17G119685.v8.1 transcript:Manes.17G119685.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLLLFSIQLFLAQSRKLQFEGHHQEKTSKFLQSLKEVQKGQNVVGLSEVKKHLRKFGYYPSGDINNLTDDFDESLEYALKTYQKFYQLEVTGNLDSTTIKKMMIPRCGVPDITNRTSLSKPTNSSHKSKMFHMVSHYAFPPDMLRWTSSKYELTYTFHSKVQNPNEQDMRYASSRAFQKWANVFQFKFEEAPTGSRADIIIGFYGFDHGENENDNSSIPGRTVWAYAFYP >Manes.18G053900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4546981:4551697:-1 gene:Manes.18G053900.v8.1 transcript:Manes.18G053900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRYMVKMLLLKAPSATTTLTTFMAFQSIFLFLSIISLTYPLHAITTNQSQFFNLVKTSLSGNALSEWDVTGGKSYCNFTGVSCNSHGYVEKLDMAGWSINGRFPEGICSYLPELRVLRLGYNNLYGDFFYSITNCSNLEELNMTSLNLGGTIPDLSPLKYLRILDMSYNLFTGNFPMSVTNLTNLELLTFNENEGLTLWQLPEDISKLTKIQYLILSSCMLYGPIPASLGNMTSLIDLELSGNFLTGKIPAEIGSLKNLQQLELYYNGHLSGSIPEELGNLTELVDLDISVNKLTGNIPESICRLPKLKFLQLYNNSLIGEIPSAIANSTTLHSLSLYDNYLTGEVPQNFGQLSAMVVLDLSENRLSGPLPTEACKGGKLLYFLFLDNMFSGELPDSYAKCNTLLRFRVNNNRLEGPIPAGLLGLPHVSIIDLSYNNFSGSLANTIETATKLSELFLQSNKISGVLPPEISRANNLVKLDISNNLLSGPIPSQIGFLSKLNLLMLQDNMLNSSIPKSLSLLKSLTVLDLSNNLLTGSVPESLSVLQPYFINFSNNHLSGPIPYSLIKGGLLKSFSGNPSLCVPIYVSTDQNFPICSQTYNRKKISSTWLIGIPAIIIIVGALLFLNHKFWRIRHKNTISSSFSPFNASFNGMRFYEQEMIQGMVDNNRMGQEASGTVYRIELSSGQTVAVKRLWSKGMQKSTVEDRLLWEKELKTEAKTMGSISHKNIVKLYCYFSSLDSSMLVYEYMPNGNLWDALHEFQVHLDWPTRHQIALGVAQCLAYLHHDLSPPIIHRNIKSSNILLDANYQPKVANFGMPRVMQAREREDSTINALAGAYDYLAPEYAYSSKATTKCDVYSFGVVLMELVTGKKPLEAEFGENKNIIHWVSMTMDTNEGVNEVLDKRLLGSLVEMIQVLRIAICCTCNNPALRPTMEEVVQLLIEADPCRFNSCK >Manes.18G053900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4546981:4551697:-1 gene:Manes.18G053900.v8.1 transcript:Manes.18G053900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRYMVKMLLLKAPSATTTLTTFMAFQSIFLFLSIISLTYPLHAITTNQSQFFNLVKTSLSGNALSEWDVTGGKSYCNFTGVSCNSHGYVEKLDMAGWSINGRFPEGICSYLPELRVLRLGYNNLYGDFFYSITNCSNLEELNMTSLNLGGTIPDLSPLKYLRILDMSYNLFTGNFPMSVTNLTNLELLTFNENEGLTLWQLPEDISKLTKIQYLILSSCMLYGPIPASLGNMTSLIDLELSGNFLTGKIPAEIGSLKNLQQLELYYNGHLSGSIPEELGNLTELVDLDISVNKLTGNIPESICRLPKLKFLQLYNNSLIGEIPSAIANSTTLHSLSLYDNYLTGEVPQNFGQLSAMVVLDLSENRLSGPLPTEACKGGKLLYFLFLDNMFSGELPDSYAKCNTLLRFRVNNNRLEGPIPAGLLGLPHVSIIDLSYNNFSGSLANTIETATKLSELFLQSNKISGVLPPEISRANNLVKLDISNNLLSGPIPSQIGFLSKLNLLMLQDNMLNSSIPKSLSLLKSLTVLDLSNNLLTGSVPESLSVLQPYFINFSNNHLSGPIPYSLIKGGLLKSFSGNPSLCVPIYVSTDQNFPICSQTYNRKKISSTWLIGIPAIIIIVGALLFLNHKFWRIRHKNTISSSFSPFNASFNGMRFYEQEMIQGMVDNNRMGQEASGTVYRIELSSGQTVAVKRLWSKGMQKSTVEDRLLWEKELKTEAKTMGSISHKNIVKLYCYFSSLDSSMLVYEYMPNGNLWDALHEFQVHLDWPTRHQIALGVAQCLAYLHHDLSPPIIHRNIKSSNILLDANYQPKVANFGMPRVMQAREREDSTINALAGAYDYLAPEYAYSSKATTKCDVYSFGVVLMELVTGKKPLEAEFGENKNIIHWVSMTMDTNEGVNEVLDKRLLGSLVEMIQVLRIAICCTCNNPALRPTMEEVVQLLIEADPCRFNSCK >Manes.07G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3097538:3104256:1 gene:Manes.07G028100.v8.1 transcript:Manes.07G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLNCASAQSDPKSRSYLWTLFFVASLICSAYFIGTSSFGSEYKEGLTRWQVIETMQSTTSNKCKDRCRTFGSEALPQGIVRKTSDFEIRPLWNNTVKDNQPKPSMSLLTLAVGIQQKEVVDHIVKKFPLSDFVVMLFHYDGVVDKWRDLPWSDHVVHVSAVNQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFNPRRYLSIVKDEGLEISQPALDPAKSAVYHPITAHQPKSRVHRRMYKFKGSGRCYHNSTSPPCVGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVFNGTEDSSKSHIVDNRVQVRIQSSIEMQIFRDRWKNAVKDDECWVDPFQHSVNHTSNSTEHQMKIDGHIHT >Manes.07G028100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3097759:3104170:1 gene:Manes.07G028100.v8.1 transcript:Manes.07G028100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLTRWQVIETMQSTTSNKCKDRCRTFGSEALPQGIVRKTSDFEIRPLWNNTVKDNQPKPSMSLLTLAVGIQQKEVVDHIVKKFPLSDFVVMLFHYDGVVDKWRDLPWSDHVVHVSAVNQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFNPRRYLSIVKDEGLEISQPALDPAKSAVYHPITAHQPKSRVHRRMYKFKGSGRCYHNSTSPPCVGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVFNGTEDSSKSHIVDNRVQVRIQSSIEMQIFRDRWKNAVKDDECWVDPFQHSVNHTSNSTEHQMKIDGHIHT >Manes.07G028100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3098484:3104163:1 gene:Manes.07G028100.v8.1 transcript:Manes.07G028100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFPLLLFSLWSFYNTILMLPITFYYNFSCLPVPLPSQASAQSDPKSRSYLWTLFFVASLICSAYFIGTSSFGSEYKEGLTRWQVIETMQSTTSNKCKDRCRTFGSEALPQGIVRKTSDFEIRPLWNNTVKDNQPKPSMSLLTLAVGIQQKEVVDHIVKKFPLSDFVVMLFHYDGVVDKWRDLPWSDHVVHVSAVNQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFNPRRYLSIVKDEGLEISQPALDPAKSAVYHPITAHQPKSRVHRRMYKFKGSGRCYHNSTSPPCVGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRTKNVGVVDSEYIVHLGLPTLGVFNGTEDSSKSHIVDNRVQVRIQSSIEMQIFRDRWKNAVKDDECWVDPFQHSVNHTSNSTEHQMKIDGHIHT >Manes.10G112046.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26526056:26530338:-1 gene:Manes.10G112046.v8.1 transcript:Manes.10G112046.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMEVHLSRLPLILQVLKYTDDSVCIDAMRSISLLYLAILNELNSMSQLVEGGKDKFRQVLEDHKNSLQLMIKNITRKDDYDWLLEHSAVLDSESMIHLLMMKMIPEEKLHDEELYNPLIRWSKNLDVKLYKKFKKKDLTDSQVLQDWLCKLCQILFKPQNLLFLACPNDPTKFYPNPELKPQPLHWDCFENCGKAIALALMHEVQVGVALHRVFLLQLAGKDISVEDVRDADPSFYNNKANKEPFHDDDQIQNEFIKSISEQIRFFKNGFDSVFGKSIFQQLSDNGIEPDDLNLVLKGSIELEFNSDENLDDKQNDPLMPQDNESDPLTYRYFKVNLQNLNIPEWQQGKRLGEGKFGKVFEGYAPGGFFFAIKEIKIEPEANIEQIYDEIRLLCQLRHPNIVKYYSMEKDDDEGKKKLNIFLELVTKGSLKDVYGTFELKDSHVSSYTKQILEGLKYLHERNVVHRDIKCANILVNEKGRIKIADFGLAKVMELNTLMKSSYYGTPGWMAPEVAKSGDYGPKADIWSLGCTVLEMLTRKTPHVMEGGKLLDLPDLPSQHSRDFIKECLQDNKDDRPSAAELLQHPFVKGFGL >Manes.10G125451.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29304806:29305663:1 gene:Manes.10G125451.v8.1 transcript:Manes.10G125451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIENLNNSIEHDQLTIYQVSLRGDVAELDALLQQDELILDRVTVSSFHETPLHIAAMRGHLQFAQALLNLKPKLAEELDSLCRLPLHLASAEGYSHIVKELVTVNPDACWARDIKMEESHFTWLP >Manes.07G012300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1565679:1568304:-1 gene:Manes.07G012300.v8.1 transcript:Manes.07G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRHASEVINRLKPVIVMIVIQFSYAGMNIFYKLAANDGMNLRVLVAYRWIFSTAFIAPLALIFDRRKRPKLTWMILVQSFFSGLFGGTLAQNLYLESLVLTSATFAAAITMLVPAITLILAASFGLEKLGLKTIVGKAKVLGLSIGICGAMLLTFYKGVEITIWSTNFHLLKHSHHQIQKTHFAGNHFLGSSLAFGSCISYALWLILQTKISRKYPCYYSNTALMSLMGSIQCVALSLCMDRNWNQWKLGWNLRLLTVAYSGIVTSGLVVTLIAWCVSMRGPVFVASFNPLSLVLIAIAGSLLLEEKLHLGSIIGGGLIVCGLYLVLWGQSKEMKEKAELVSTTKASPTTELVEVVAQAQELNASLTDKQEQHQLQHQQM >Manes.03G109400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23198905:23200936:-1 gene:Manes.03G109400.v8.1 transcript:Manes.03G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCHKYNKKEYKTKRNQNLQIPPFNTLLIKALFFTPPSISYSFLHSLSQLHFSFLVAVVVSSGRRRRGQLGREERTNPAMETSTRLQESRNPSPINSPHSNGSNNGVQIHTPPLTPIPISRSDSNPYPTTFVQADTSTFKQVVQMLTGSTETAKQASSRTAQDQPPPPTTPTSTATTRNFTIPPIKSMPKKQQNSFKLYERRNNNLKNSLMINTLLPSFASNNSVTGFSPRNKQEILSPSWLDFPKLTLSPVTPLNNEDPFYNSSPSLGNSSSEEERAIAEKGFYLHPSPISTPRDSEPQLLPLFPVTSPKVSGS >Manes.05G005320.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1311576:1314867:1 gene:Manes.05G005320.v8.1 transcript:Manes.05G005320.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAPPNLPVGWRFHPTDFELLDPYLKNKRLGHLAHCFYIGEFELCNFNPSDLLPESSDEECYFFCRPEHYLENGRRKTKRKARTGFWKGTGKTISVTNKDDNEEIGTRKILVYHDPNRTKWVIHEYAFTAKLNLPFKGDFVLCKLHVNKKQTGNKKSTKIQPSSKNKKANQKLKDIKLGCKKGKPSKKARMDLSDCNAASASTFENQNLMTSSAYGEGEPHNHMTSDCENQNPNKMVAIPTHEVGDFGYQKDSNFSYGNPYDMSAFSTYNKGQESLSMTQTPYGIHNVSTCNKVETSCLLASHLEYQNPNEISIISSNEKCTPVCQWASGVEDQHPYEITTVSADNKDETSSLMDFQFETQNPLKMNFKSSYDNGIPTNPGILDFGSQNPSMNSNISVSEEGEWNHLIGVPSYFENQNQYENTDNSIPGNYWSTCIASYIQDTTFQDVEFQHNTQDMSIFEGHMINHSLDSLLGEYSFSENEIFTRDEQEDTGCSALQQPIHNKENPCHSGFGASVSTST >Manes.05G005320.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1311461:1314867:1 gene:Manes.05G005320.v8.1 transcript:Manes.05G005320.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMQSAPPNLPVGWRFHPTDFELLDPYLKNKRLGHLAHCFYIGEFELCNFNPSDLLPESSDEECYFFCRPEHYLENGRRKTKRKARTGFWKGTGKTISVTNKDDNEEIGTRKILVYHDPNRTKWVIHEYAFTAKLNLPFKGDFVLCKLHVNKKQTGNKKSTKIQPSSKNKKANQKLKDIKLGCKKGKPSKKARMDLSDCNAASASTFENQNLMTSSAYGEGEPHNHMTSDCENQNPNKMVAIPTHEVGDFGYQKDSNFSYGNPYDMSAFSTYNKGQESLSMTQTPYGIHNVSTCNKVETSCLLASHLEYQNPNEISIISSNEKCTPVCQWASGVEDQHPYEITTVSADNKDETSSLMDFQFETQNPLKMNFKSSYDNGIPTNPGILDFGSQNPSMNSNISVSEEGEWNHLIGVPSYFENQNQYENTDNSIPGNYWSTCIASYIQDTTFQDVEFQHNTQDMSIFEGHMINHSLDSLLGEYSFSEVRNGMLGGKFLILFLSRRGSKLGTYICFIVILFAE >Manes.05G005320.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1311402:1314959:1 gene:Manes.05G005320.v8.1 transcript:Manes.05G005320.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMQSAPPNLPVGWRFHPTDFELLDPYLKNKRLGHLAHCFYIGEFELCNFNPSDLLPESSDEECYFFCRPEHYLENGRRKTKRKARTGFWKGTGKTISVTNKDDNEEIGTRKILVYHDPNRTKWVIHEYAFTAKLNLPFKGDFVLCKLHVNKKQTGNKKSTKIQPSSKNKKANQKLKDIKLGCKKGKPSKKARMDLSDCNAASASTFENQNLMTSSAYGEGEPHNHMTSDCENQNPNKMVAIPTHEVGDFGYQKDSNFSYGNPYDMSAFSTYNKGQESLSMTQTPYGIHNVSTCNKVETSCLLASHLEYQNPNEISIISSNEKCTPVCQWASGVEDQHPYEITTVSADNKDETSSLMDFQFETQNPLKMNFKSSYDNGIPTNPGILDFGSQNPSMNSNISVSEEGEWNHLIGVPSYFENQNQYENTDNSIPGNYWSTCIASYIQDTTFQDVEFQHNTQDMSIFEGHMINHSLDSLLGEYSFSENEIFTRDEQEDTGCSALQQPIHNKENPCHSGFGASVSTST >Manes.04G125400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32571224:32574967:-1 gene:Manes.04G125400.v8.1 transcript:Manes.04G125400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAERDVKDIEIYKQQVKPLFKNLATRQNEPSRMSIETGPYVFHYIMEGHVCYLTMCDRSYPKKLAFQYLEDLKNEFERINGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDRKILTNEERACRYLFASVRCESCNSENHLSCVTRLSRDSLCGMSWCLVACMRIFSRS >Manes.04G125400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32572794:32574722:-1 gene:Manes.04G125400.v8.1 transcript:Manes.04G125400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAERDVKDIEIYKQQVKPLFKNLATRQNEPSRMSIETGPYVFHYIMEGHVCYLTMCDRSYPKKLAFQYLEDLKNEFERINGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDRKVCPFYFQDHLISVSCTVFLLLKWIPKNTILNNIIRM >Manes.03G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4661887:4665851:-1 gene:Manes.03G050400.v8.1 transcript:Manes.03G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPYDDGSSLTNATVVAIDKDKNSQYAVRWAIDHLIINNPVIVLIHVRHKTHQHHSANGNDSESDEVQQLFIPFRGYCARKGVQLREVVFDETDVARALLDYISKNYVASIALGASTRNALTRKFKTQDVPTSLIKSAPDFCSVYVISKGKIMSVRTAQRPAANPPVPPKAPFPLSLPAPHPYDHPEHEDGFRGQYAKAYYRNPASSEKFLLDKSNDSFRSPFRERIRTPSILSIEGNDVSYPGTGPRLSNARESIHEDTDLSAPFFPGSLDIAAQNSECSQMSPTEGLSQNARDLEAEMRRLKLELKQTIDMYSTACREALTAKKKASEIHQWKMEEARRFEEARLAEEAALAIAEMEKAKCKAAMEAAEKAQRLAEIEAQKRKHAEMKAKRESAEKDRALNALAHNDVRYRKYTIEEIEEATENFSNSNKIGEGGYGPVYKGKLDHTPVAIKALRPDAAQGKKQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMENGSLEDRLLRKDNTHPISWRRRFKIASEIATALLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYHITSAAGTFCYIDPEYQQTGMLTTRSDIYSLGIMLLQIITAKSPMGLAHQVAKAIERGTFEEMLDPSVTDWPVEDTLIYAKMALKCAELRKKDRPSLATIILPELNRLKDLQRHNIDQHGDPPHGSRSRSRSPLRHSPSTGNDT >Manes.03G050400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4661887:4665851:-1 gene:Manes.03G050400.v8.1 transcript:Manes.03G050400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTRPINIVDSANGNDSESDEVQQLFIPFRGYCARKGVQLREVVFDETDVARALLDYISKNYVASIALGASTRNALTRKFKTQDVPTSLIKSAPDFCSVYVISKGKIMSVRTAQRPAANPPVPPKAPFPLSLPAPHPYDHPEHEDGFRGQYAKAYYRNPASSEKFLLDKSNDSFRSPFRERIRTPSILSIEGNDVSYPGTGPRLSNARESIHEDTDLSAPFFPGSLDIAAQNSECSQMSPTEGLSQNARDLEAEMRRLKLELKQTIDMYSTACREALTAKKKASEIHQWKMEEARRFEEARLAEEAALAIAEMEKAKCKAAMEAAEKAQRLAEIEAQKRKHAEMKAKRESAEKDRALNALAHNDVRYRKYTIEEIEEATENFSNSNKIGEGGYGPVYKGKLDHTPVAIKALRPDAAQGKKQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMENGSLEDRLLRKDNTHPISWRRRFKIASEIATALLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADSVTQYHITSAAGTFCYIDPEYQQTGMLTTRSDIYSLGIMLLQIITAKSPMGLAHQVAKAIERGTFEEMLDPSVTDWPVEDTLIYAKMALKCAELRKKDRPSLATIILPELNRLKDLQRHNIDQHGDPPHGSRSRSRSPLRHSPSTGNDT >Manes.15G133400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10745251:10749830:-1 gene:Manes.15G133400.v8.1 transcript:Manes.15G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSRVVVPRSFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNTVHEGRIYQLKLFCGKDYPDNPPSVRFQTRINMTCVNAESGAVEPSLFPMLANWQRECTMEDILTQLKKEMMSPQNRKLAQPPEGNEEARMDQKGLVLKCCIL >Manes.16G075300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27831347:27837988:1 gene:Manes.16G075300.v8.1 transcript:Manes.16G075300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVASESGGGGASDSIGGALVPFLPDSQVAVVYPLRHGLKPPISRVSVSWALGNTLRVSVFRPPAVESDHDDDVGGKVLEVKLGGNGDGELSDAQWRRIAYGSVSPFALLQSRRNSALSLSKMQLSPSPYHLEWWEYVMEYSKDISSLLGHPKSSPDPVIEDPKEVLKVEEPTCLKAAWDLMEMFYADKLSQAWLPERLVKWLADYDSLLSDTQATVHLKLLEFQGRLVTLQVVEDDSKYWEVVSSALAVGWLQIVVKMLRLHGSYQLDQLGSRETENGLVETVAVLISKMPRLCPELEAGNLGECYKAKPDFMKAWERWRAQVTKLDSSAFWVQCDHRQTREGLKNLLQILLGNASILSTVTCNWMELYISHLLYIRPFTVGLESLYSLAQKCIQLKPMTSPHKLMQLIIGILGENTELILAECSRGFGTWMTAHAMEFLTAGSNQAEVLLHEERDNLGGISVMELHRLVHAQVLSSHILTWQIAPIYLISCMKQGMGLLEILLSRQPVKHNQLLIKNLEICRLYELDSVSSNIMKIAGIYHWKHGRKGSGVFWLQQARDEVCLNRIAQQLFDTVGKSISDESFKQWEGLIELLGSESKPVGGLEFLHKYRDFKRSLNQVYDGKPTDATKQAVESLLSLMKNPSTPQRFWLPLLYDSLKLLNWQERPLLNVSQTNLLLNKLQELSMARVRPDFIEADLPPQALSSVRLALATNLGRAILEES >Manes.16G075300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27831347:27837988:1 gene:Manes.16G075300.v8.1 transcript:Manes.16G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVASESGGGGASDSIGGALVPFLPDSQVAVVYPLRHGLKPPISRVSVSWALGNTLRVSVFRPPAVESDHDDDVGGKVLEVKLGGNGDGELSDAQWRRIAYGSVSPFALLQSRRNSALSLSKMQLSPSPYHLEWWEYVMEYSKDISSLLGHPKSSPDPVIEDPKEVLKKVEEPTCLKAAWDLMEMFYADKLSQAWLPERLVKWLADYDSLLSDTQATVHLKLLEFQGRLVTLQVVEDDSKYWEVVSSALAVGWLQIVVKMLRLHGSYQLDQLGSRETENGLVETVAVLISKMPRLCPELEAGNLGECYKAKPDFMKAWERWRAQVTKLDSSAFWVQCDHRQTREGLKNLLQILLGNASILSTVTCNWMELYISHLLYIRPFTVGLESLYSLAQKCIQLKPMTSPHKLMQLIIGILGENTELILAECSRGFGTWMTAHAMEFLTAGSNQAEVLLHEERDNLGGISVMELHRLVHAQVLSSHILTWQIAPIYLISCMKQGMGLLEILLSRQPVKHNQLLIKNLEICRLYELDSVSSNIMKIAGIYHWKHGRKGSGVFWLQQARDEVCLNRIAQQLFDTVGKSISDESFKQWEGLIELLGSESKPVGGLEFLHKYRDFKRSLNQVYDGKPTDATKQAVESLLSLMKNPSTPQRFWLPLLYDSLKLLNWQERPLLNVSQTNLLLNKLQELSMARVRPDFIEADLPPQALSSVRLALATNLGRAILEES >Manes.16G075300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27831347:27837988:1 gene:Manes.16G075300.v8.1 transcript:Manes.16G075300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVASESGGGGASDSIGGALVPFLPDSQVAVVYPLRHGLKPPISRVSVSWALGNTLRVSVFRPPAVESDHDDDVGGKVLEVKLGGNGDGELSDAQWRRIAYGSVSPFALLQSRRNSALSLSKMQLSPSPYHLEWWEYVMEYSKDISSLLGHPKSSPDPVIEDPKEVLKVEEPTCLKAAWDLMEMFYADKLSQAWLPERLVKWLADYDSLLSDTQATVHLKLLEFQGRLVTLQVVEDDSKYWEVVSSALAVGWLQIVVKMLRLHGSYQLDQLGSRETENGLVETVAVLISKMPRLCPELEAGNLGECYKAKPDFMKAWERWRAQVTKLDSSAFWVQCDHRQTREGLKNLLQILLGNASILSTVTCNWMELYISHLLYIRPFTVGLESLYSLAQKCIQLKPMTSPHKLMQLIIGILGENTELILAECSRGFGTWMTAHAMEFLTAGSNQAEVLLHEERDNLGGISVMELHRLVHAQVLSSHILTWQIAPIYLISCMKQGMGLLEILLSRQPVKHNQLLIKNLEICRLYELDSVSSNIMKIAGIYHWKHGRKGSGVFWLQQARDEVCLNRIAQQLFDTVGKSISDESFKLMKNPSTPQRFWLPLLYDSLKLLNWQERPLLNVSQTNLLLNKLQELSMARVRPDFIEADLPPQALSSVRLALATNLGRAILEES >Manes.16G075300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27831347:27837988:1 gene:Manes.16G075300.v8.1 transcript:Manes.16G075300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVASESGGGGASDSIGGALVPFLPDSQVAVVYPLRHGLKPPISRVSVSWALGNTLRVSVFRPPAVESDHDDDVGGKVLEVKLGGNGDGELSDAQWRRIAYGSVSPFALLQSRRNSALSLSKMQLSPSPYHLEWWEYVMEYSKDISSLLGHPKSSPDPVIEDPKEVLKKVEEPTCLKAAWDLMEMFYADKLSQAWLPERLVKWLADYDSLLSDTQATVHLKLLEFQGRLVTLQVVEDDSKYWEVVSSALAVGWLQIVVKMLRLHGSYQLDQLGSRETENGLVETVAVLISKMPRLCPELEAGNLGECYKAKPDFMKAWERWRAQVTKLDSSAFWVQCDHRQTREGLKNLLQILLGNASILSTVTCNWMELYISHLLYIRPFTVGLESLYSLAQKCIQLKPMTSPHKLMQLIIGILGENTELILAECSRGFGTWMTAHAMEFLTAGSNQAEVLLHEERDNLGGISVMELHRLVHAQVLSSHILTWQIAPIYLISCMKQGMGLLEILLSRQPVKHNQLLIKNLEICRLYELDSVSSNIMKIAGIYHWKHGRKGSGVFWLQQARDEVCLNRIAQQLFDTVGKSISDESFKLMKNPSTPQRFWLPLLYDSLKLLNWQERPLLNVSQTNLLLNKLQELSMARVRPDFIEADLPPQALSSVRLALATNLGRAILEES >Manes.03G129000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25589795:25595091:-1 gene:Manes.03G129000.v8.1 transcript:Manes.03G129000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEPPSFSLGLDLDAEPEPQILTRHHQQSGLNSAPGRSSCTLLQDDNDDGYFQPRVTNSEEDDFGLQVMDSDPEDGPYSPRIFKRLRRGPAIEEPRLKNKEKDVVCCDDEIEEFSSQEDLVRADAHSSKRYSSVCSSSKVHLHGSGVLTTQSSSQKKRKESSDAPSSSHAETGYNGLVFPKLTRSPLRRFQLIDSDSDSEEPPVNEDVSEKTTSSLKEQKLPACEQRRNQSAEKHQNDDLWRDFYPVKNFHIPTPVLDEVCEEYFQSLQDKNAAQKVGSDLYKGSVGCHTDLNSITGYEQRWNAADPLPPAHHYFFHDDSRIQTLVRCRLPNFSPLGIVNKGNQQRSESVINYMSQFHGEASKQGGRRGSHNGKGSTRGRNKLEKSNARAVMSASEGWVDPKSSSSIPKDAGKRRVRANGQAAGHWFTSPEGRKVYVSKSGQELTGQIAYRHYRKESGGFRKSKKKTNGKRKKG >Manes.03G129000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25589795:25595091:-1 gene:Manes.03G129000.v8.1 transcript:Manes.03G129000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEPPSFSLGLDLDAEPEPQILTRHHQQSGLNSAPGRSSCTLLQDDNDDGYFQPRVTNSEEDDFGLQVMDSDPEDGPYSPRIFKRLRRGPAIEEPRLKNKEKDVVCCDDEIEEFSSQEDLVRDAHSSKRYSSVCSSSKVHLHGSGVLTTQSSSQKKRKESSDAPSSSHAETGYNGLVFPKLTRSPLRRFQLIDSDSDSEEPPVNEDVSEKTTSSLKEQKLPACEQRRNQSAEKHQNDDLWRDFYPVKNFHIPTPVLDEVCEEYFQSLQDKNAAQKVGSDLYKGSVGCHTDLNSITGYEQRWNAADPLPPAHHYFFHDDSRIQTLVRCRLPNFSPLGIVNKGNQQRSESVINYMSQFHGEASKQGGRRGSHNGKGSTRGRNKLEKSNARAVMSASEGWVDPKSSSSIPKDAGKRRVRANGQAAGHWFTSPEGRKESGGFRKSKKKTNGKRKKG >Manes.03G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25589795:25595091:-1 gene:Manes.03G129000.v8.1 transcript:Manes.03G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIEPPSFSLGLDLDAEPEPQILTRHHQQSGLNSAPGRSSCTLLQDDNDDGYFQPRVTNSEEDDFGLQVMDSDPEDGPYSPRIFKRLRRGPAIEEPRLKNKEKDVVCCDDEIEEFSSQEDLVRDAHSSKRYSSVCSSSKVHLHGSGVLTTQSSSQKKRKESSDAPSSSHAETGYNGLVFPKLTRSPLRRFQLIDSDSDSEEPPVNEDVSEKTTSSLKEQKLPACEQRRNQSAEKHQNDDLWRDFYPVKNFHIPTPVLDEVCEEYFQSLQDKNAAQKVGSDLYKGSVGCHTDLNSITGYEQRWNAADPLPPAHHYFFHDDSRIQTLVRCRLPNFSPLGIVNKGNQQRSESVINYMSQFHGEASKQGGRRGSHNGKGSTRGRNKLEKSNARAVMSASEGWVDPKSSSSIPKDAGKRRVRANGQAAGHWFTSPEGRKVYVSKSGQELTGQIAYRHYRKESGGFRKSKKKTNGKRKKG >Manes.04G026900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157927:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157927:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSVSRAQISAPPGFSTPNRLPPPGFSSHERIDHLFDSLSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157926:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSAVSRAQISAPPGFSTPNRLPPPGFSSHERIDHLFDSLSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157927:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSAVSRAQISAPPGFSTPNRLPPPGFSSHERIDHLFDSLSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157926:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSVSRAQISAPPGFSTPNRLPPPGFSSHERIDHLFDSLSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157926:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSAVSRAQISAPPGFSTPNRLPPPGFSSHERIDHLFDSLSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157927:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSVSRAQISAPPGFSTPNRLPPPGFSSHERIDHLFDSLSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157927:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSAVSRAQISAPPGFSTPNRLPPPGFSSHERIDHLFDSLSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157927:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.04G026900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3157926:3169859:1 gene:Manes.04G026900.v8.1 transcript:Manes.04G026900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEKDDTEGRCPACRVPYDKEKIVGMAASCERLVAEINVERKKSQKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNMADEDLLQRRDYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLRRSGSMLPPPMDDYSSNSSAAVAKPIVKNTSNNTISISKGSPPNGSSGRSIALPAAASWGMRAGSQPQTAANSASSNGPNKPKQDVVNGTLGLSTTVASTNQASTLHSDVGKRATWNEDGQATSGKGKQDPLKYVKPNVQDDPVIYVKPNVEDFLANMPDKPSTTDGAVAETSSNHSSSPPAKHNDWGSPLLSNGTDSFLHVVPSSGHEQLGISSTDKESIKTDNNFRSQLSGLMRTSSPLTDHSVTKLPLSPSSQGLQQSYPDQYREPLSSPGTEKIYCPREQKPDWRTDPQIQTVTNNVSEVEEDIISFDNQRLKDPEVVTRTTCMPNSANSLHISNNSRSHLQHNDLFGAANLNSDPLFMDNRFVDNTLMHASSNTVMSNGYHEKLLGSAAGLDRNIEHSFSLPNEGEAGQLIENFPGDATSLDVGESSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNNNQSRFSFARQEETRNQFVDVDPSFSVFGKLTKNLSVNQNFSEKRKLYLDKAGMSNGFSTCTFEESENFTSGPSVFSSNKPSVSRAQISAPPGFSTPNRLPPPGFSSHERIDHLFDSLSGSHLLDSSSMLRNSYQPPSTGNINSSGDIEFMDPAILAVGKGRLQGGLSNPGLDMRPSFPQQLSAFENEARLQLLMQRSLSPHQNLRYTEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNGLVSNGHWDGWNEVQGGNNLGVAELLRNERLGLNKFYAAGYEDSKFRMPSSGDLYNRTFEM >Manes.13G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35886039:35888589:-1 gene:Manes.13G145600.v8.1 transcript:Manes.13G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNVLVSSMMEMTHSSSATAALLPSSTSSRKTERKVIEKIRRNQMKTLFSNLYSLLPKQSSQEALPLTDQIDEAINYIKTQEAKLKKFKEKKESLTSRKRSFSKCTSSFESTSTSRAPKLEIREMGSSLQIILISGLDNQFLFCEIIRVLQDEGVEIATASFSVHGNSIFHTVHAQMRECDFSFGAAKVTERLNRFINGSTSEVESEPDLWNFNDLDPETWAF >Manes.07G068418.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:16607026:16608118:-1 gene:Manes.07G068418.v8.1 transcript:Manes.07G068418.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFIIGLYQFTSHPSSFFTQRIPSSLSNQIPNLHPSRLHLSSSSSLFIFISFQSRSHSHPPQSPVSQPPTGHTPCYPLAKASLFSPSFAASVPQPIDFVKGWVACQGWIFDKICCGEVISALRF >Manes.09G073500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17061071:17062330:-1 gene:Manes.09G073500.v8.1 transcript:Manes.09G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQATAAYPPAADAYAAPPPAGYPTKDGDTHTQHPVPLKTKSKGDGFWKGCCAALCCCCVLDACF >Manes.09G073500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17061071:17062330:-1 gene:Manes.09G073500.v8.1 transcript:Manes.09G073500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNQATAYPPAADAYAAPPPAGYPTKDGDTHTQHPVPLKTKSKGDGFWKGCCAALCCCCVLDACF >Manes.05G038700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3180912:3184817:-1 gene:Manes.05G038700.v8.1 transcript:Manes.05G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHLLLLAKPSTPHLVPAFPRTGSNQHKNARFKCLASQSGFFTRLGRLIKEKAKSDVDKLFSGFSKTRDNLAVIDELLLYWNLSETDRVLDELEEILLVSDFGPRITIKIVESLREDILAGKLKSGSEIKDALKRSVLDLLTKKGNKTDLQLGFRKPAVVLIVGVNGGGKTTSLGKLAYRLKNDGAKILMAAGDTFRAAASDQLEIWAERTGCDIVVAKEEKAKASSVLSQAVKRGKEEGFDVVLCDTSGRLHTNYGLMEELIACKKAVGKVVPGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAVFS >Manes.09G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33971855:33975249:1 gene:Manes.09G140800.v8.1 transcript:Manes.09G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDEKNQRANKAVEPDFFLQWGNKKRLRCVRVRGPQIISQRSDGVFHRKITTRIDRRIVSPVTEKETFPQSNRITRNSEAATLRSSVTENRKSTSPEKEDRYYTTRGSVGVDENGKISIDCNNGEDKGHVWPKLYITLSSKEKEEDFMAMKGCKLPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSMGSMESDSE >Manes.05G011866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:831740:833397:1 gene:Manes.05G011866.v8.1 transcript:Manes.05G011866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIDPFGVLRRGRGFFMKKLVGLWKLISGFFSPLITGWLNDQHLIWKLLVRFGWGMFWSFYVCIILFALVLFSMVARGYLIRNLVENPVEMKGELNFDYTQNSPVAFVPIMSCGGVGCGLTCEEKSLGPRVIPPNHELEVNVLLTLPESGYNRILRFFSEPGPFGFCTNSAGFWVSPKEPLAFWKCSSDFSR >Manes.01G007451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2661282:2664136:1 gene:Manes.01G007451.v8.1 transcript:Manes.01G007451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVKILYHNNSNLKSKYLMRRNLSSSLTFLLNFSSL >Manes.18G069000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6234530:6236624:-1 gene:Manes.18G069000.v8.1 transcript:Manes.18G069000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMDLYSSRHPQSDPFGGELMQALEPFMRSASSSTTPSSPSPSPSPSPSLSSSLPPLPSTSYSTYGYLSSSSSQQQAFLYPDGCFTLTSLPFSTGFSIQDPSGLQQPSSIGLNHLTPTQIHQIQTQVHLQNQNGLPFQNYHVQNQHTLNFLGPKPIPMKQVGSPLKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDNAAYKLRGDFARLNFPNLRHQGSHIEGKFGEYKPLHSSVDAKLQAICESLAESQKQGGKAEKQSNSSNKKKAQVGTTKPAAAKEADSQRKVTMDKCCKVETLSPVLTESEGSGGSSPLSDLTFPDFEEAPLDVDSGNFNLQKYPSYEIDWASLLS >Manes.18G069000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6234532:6236496:-1 gene:Manes.18G069000.v8.1 transcript:Manes.18G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYSSRHPQSDPFGGELMQALEPFMRSASSSTTPSSPSPSPSPSPSLSSSLPPLPSTSYSTYGYLSSSSSQQQAFLYPDGCFTLTSLPFSTGFSIQDPSGLQQPSSIGLNHLTPTQIHQIQTQVHLQNQNGLPFQNYHVQNQHTLNFLGPKPIPMKQVGSPLKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDNAAYKLRGDFARLNFPNLRHQGSHIEGKFGEYKPLHSSVDAKLQAICESLAESQKQGGKAEKQSNSSNKKKAQVGTTKPAAAKEADSQRKVTMDKCCKVETLSPVLTESEGSGGSSPLSDLTFPDFEEAPLDVDSGNFNLQKYPSYEIDWASLLS >Manes.04G153200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34863683:34869757:-1 gene:Manes.04G153200.v8.1 transcript:Manes.04G153200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKLFTPSFMASSSSSSSSLPPLQLLLFLSLPCLLITSTASNQTPQKYVVYMGSSSNGNGGDAKIAESAHLQMLSSIIPSHESERISLIHHYNHAFNGFSAMLTQNEASELSGDDRVVSVFKDPTLQLHTTRSWDFLEGRSDSGIRSRSSYEYPHVSSDVIIGVIDTGIWPESPSFSDHGIGQIPSRWKGICMEGHDFNKSNCNRKLIGARYYDTILRTYKNNKTHVAKPRGSPRDYFGHGTHTTSIAGGARVANVSYYGLAAGTARGGSPSTRIAIYKACTLDGCSGSTILKAIDDAIKDGVDILSISIGMSSIFQSDYLNDPIAIGAFHAQQMGIMVICSCGNDGPDPYTIVNYAPWIFTVAASNVDRDFQSTVLLGNGKTLKGSAINFPNLNRSRTYPLVFGEDAAAKFSPISDARNCYPGSLDREKVAGKIVVCIDGDFRIPRQIKKLVVEDARAKGLIVINEYEKGVPFDSGVFPFVEVGNIEGAQLLHYINSTKNPTATILPTVDVPLYKPAPVVAYFSSRGPGQLTENILKPDIMAPGVAILAAMITNNESGSVPIGKKPSGYAIKSGTSMACPHVAGAAAFIKSIHRHWSSSMIKSALMTTATVYNNMGKPLTNSSNSSSNPHEMGVGEINPIKALNPGLVFETLAEDYLQFLCYFGYSEKNIRSMANKNFNCPRISLDKLISNINYPSISISKLDKHQAAQTIKRTVTNVGSPNATYIARVQAPEGLVVKVLPKKLVFKEGLPRISFKVYFNGIMAHSGYNFGSVTWIDGRLSVHLVFAVNVE >Manes.12G124800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33094273:33100105:1 gene:Manes.12G124800.v8.1 transcript:Manes.12G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPISATISFKPTTAGNLILFVAMLMMFSTSLAGISGNYGQAPETSAPLPTTVYTNKNKNSITGKRKAGPEQQTNEADKRPKLEPQPQDISPAASHHHEAESICINSDISQEDHYRPPAAAAISFASSEAFVSPLEKCFHADFSISPPILSPAIVRFPFDDEGKLVEVLDYKNHHELKLIRYKDIVLGRGQPVIANKLKVTFHYDLYDEHNKRVQTNALDRSPEEVHLCWHRFGRGFEEGIRGMRPGGIRRVIVPREEEPPMIEGYGVFDVALLKVEISCSCPQPHAEIFNL >Manes.14G149422.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16844592:16845600:-1 gene:Manes.14G149422.v8.1 transcript:Manes.14G149422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTFRNTYQEYKRLYHPNIFCLVEPRISGEAADEVCGLLGYENWIQDSFRIELVVTDPQFITVAINFSTGEKWLFSVVYASPDIYLRRKLWQSLSGENSLSISKWIVAGDFNSVVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVGSGFTWQRSGENVPYQAARLDRCFVSTDWRLDYVDAIVEHPPKLHSDHVPIVIKCQGVLAFGVRPFRFLTAWILRAQFDQVVACSWDPNHPLIHNLSTLKIQLGEWNRTQFGNIFDNKRRLVRKLGGVQRDLAESRSRSLRFYAKRRCTGFRNQKRNG >Manes.15G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9437775:9440060:1 gene:Manes.15G118300.v8.1 transcript:Manes.15G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEHRDHYYLASFQEDHHHVIDETGAYNQKPPSIVLSSTYGSQVFDDPSSYMSFTDYNSLANAFGLSPSSSEVFSIGDLGGGGNNNENPVTPNSSVSFSSSEAGGEEDSGKTKKENPPKVSEDGGQCSKKEGKTKKKGEKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYKCTTQKCTVKKRVERSFQDPSIVITTYEGQHNHPIPVTLRGNTAAMFSHSMFTPAPMETTRPVIFPQDILVQMAPHLSNQAGANSTYPQILNDQHLYDHQYHHQVPEYGLVQDIVPSMFFKQEP >Manes.11G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26263514:26266033:-1 gene:Manes.11G113000.v8.1 transcript:Manes.11G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGVKIKINAKVIEVEGPRGKLTRNFKHLNLDFHLIKDEATGKRKLKIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSNSAIEIRNFLGEKRVRKVDMLEGVTVVRSDKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVVEEE >Manes.11G056600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6895729:6898010:-1 gene:Manes.11G056600.v8.1 transcript:Manes.11G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPLYGAKVTFAYNTLIKAHASSSPSLAFSLFSNMRRAGVSPDHFTFPFVLKACSRLQMGQDLHSLIVKLGFDTNIYVQNALINFYGCCGSVHVAFNVFEEMLARDLVSWSSMIASLANNGLAQEALALFRQMQLDGDVKPDEVTMLSVVSAISNLGVLKLGMWIDAYISRNRLKLTVSLGTSLINMYSRCGSVDDSIRVFDKMPERNVLTWTALINGLAVHGRCREALKGFYEMRETDLRPDHITFTSVLVACSHGGLVDDGWKVFKSIKTEYGMEPTVEHYGCMVDLLGRAGKLHEAFEFIEKMPYKPNAIIWRTLLGACVNHNNLALAEEAKEKINQLEPHHDGDYVLLSNAYAEVGRYSEKTELRNSMQEKKISKKPGYSLLTVEEEIHEFVSGDSSHPESEEIRKLLVCIIDSLRVEGYTPHTSNVFHDIEEEEKEHSLSYHSEKLAVAFALLRFKDRRTIRIMKNVRTCRDCHDFLKHVSGKFDKEIVVRDRNRFHRFRNGTCSCHDYW >Manes.01G218400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38731990:38734582:-1 gene:Manes.01G218400.v8.1 transcript:Manes.01G218400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWIDFSECMSRCREPKDCALLREDYLECLHHSKEFQRRNRIYKEEQRKIRAAARKTKDGEHGIDSHHA >Manes.08G021300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2153764:2156843:1 gene:Manes.08G021300.v8.1 transcript:Manes.08G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLATCYSEHAIKVSDSYCSGLSNQPYLSPEQSPSIPNAVTCIYKKLLSQKSLWIALTWCNKLLSQGLSINISDSVSIPSKFNANFHHLPKTKGSKTFQSCNSKIKVFWDLSSAYYDTGPEPISGFYVVVLVDSELALLLGDMEEAAASLEDGLFTKTPTLKSFMVSRSEHFSGNAIYSTKTKFSESGKAHDILIKCIGDDEGSKNPVLSVCIDNKMIFQAKRLRWNFRGNQTIFLDGLLVDMMWDLHDWFFKESSGNAVFMFRTRSGLDSRLWLEENNSSQKGQDRAEFSLLICACKNPG >Manes.S051316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1747225:1754343:1 gene:Manes.S051316.v8.1 transcript:Manes.S051316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQRLPGPVGQGYRLVEYIRRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.07G037901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4140397:4142589:-1 gene:Manes.07G037901.v8.1 transcript:Manes.07G037901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFDADGKAIGVTSKGETAKCKKIVCDPSYLPDKVKKVGKIARAICIMSHSIPNTSDSHSAQLILPQKQLGRKSDMYLLCCSYSHNVAPRGKYIAFVSTEAETDNPDIELKPGIHLLGAVDEIFYGTYDRYVPTNHYEVDHCFISTSYDATTHFETTIDDVIEMYTKITGKILDLSVDLSSASVFADENFFFFSFSFYSH >Manes.05G049300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4073500:4074276:1 gene:Manes.05G049300.v8.1 transcript:Manes.05G049300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRQQANPSSERASDEAGVGLTLFIGLHGLLFSLIMTLESLLQLKESPFETHNSYMLTFIFVTLVYAMALLMELIFRAQNSTYCPIIGKLNILAGALAAVILLMILVPFVGWTVLAIWVAYFVRAACELYEEFCKLVYRAIRQVLLNMLVRHGPHGFFQEHNRLPV >Manes.03G030833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2497308:2501568:-1 gene:Manes.03G030833.v8.1 transcript:Manes.03G030833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPIPFIEENYGLAFHQSMQQLVDEIHKETLNLSHFINVFYRLMQSKVDPPIETIWIYSALSFRSRKKANQDLSDHILIVKELFQLISRCSGPCSASKSIALLAPVVFQVYNLVVELLGKDLGARRVKKAAKEAKSLIGEIIGYVSVCCGKDVSKESDSNLSVSFLDLASLWIDGNDGLKGFLPLTSDEIYKEISVGGSTVANLAGVVISEVFLLKLCLDLRIGNRGEALEKELRSWIVGSITGLQSFYFFETLVRMLLEPALPVTSLLKRKKLEKWRKSAKNDRKVIGSVICPNHCPNQAEAETWRQQTEVIGPVICPNHSQKLPKSLAEIA >Manes.09G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33944523:33945268:1 gene:Manes.09G140100.v8.1 transcript:Manes.09G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIQFQQQKRHKNLGVSMNKPSKFKGRNRDNNKNNKFVGVRQRPPQKIRMWLGTFETAEEAARAYDEAACLLHGSNTRTNFITQENARKKQQIEEERLEVSTPSSSKLSTRTSISSSSSRSDTSNPSSEIINDTQYFYDVYKPDTSNCREVELGSSPSDLSWSFGAGFDLFPFSQEVLDFPRQILLPETTSDLEYFTEFDRMNVERKTSASLYAMNGVQEYMETVHDPIEALWDLPSIY >Manes.09G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6703874:6704200:1 gene:Manes.09G034100.v8.1 transcript:Manes.09G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQKTLIFISYLITLLAVSQYAQGDLNYYSKTDTFVGGSCGNRGSTQCLLDFLGKYGASSMPKDFTCKPLGSN >Manes.02G087900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6937028:6937834:1 gene:Manes.02G087900.v8.1 transcript:Manes.02G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGADLTVPSVGSKSIMDPNQESDKGNYHQSSIEAILTAPKLPKAESFVSQAPAGQTIKRPRGRPAGSKNKPKPPIIVTRDSANALRAHAMEVTSGCDVSESLVNFARRKQRGICVLSGSGCVANVTLRQPTSSGAIVTLHGRFEILSLLGSILPPPAPPGIAGLTIYLAGAQGQVVGGGVVGALIASGPVVIMATSFMNATFDRLPLDEDESATIVQNQHYQNGRHHHHHHLDISDLYGVPQNLLTNGTLPPEIYSWAPGRTMTKS >Manes.12G018500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1660512:1664006:1 gene:Manes.12G018500.v8.1 transcript:Manes.12G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYFLDKLKGQPSWILVLFTVGSLSVLKCFFAFLNWVYVNFLRPAKNLKKYGSWALVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSIQSKYGKVQIKNVVVDFSGDIDEGVKKIQETIEGLDVGVLINNVGVSYPYARFFHEVDDDLLKNLIKVNVEGTTKVTQAVLPGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFFVPSTDGYARAGLRWIGYEPRCTPYWPHSLLWGLLCALPESIVDGWRLRYCLGIRKKGQLKDSRKKE >Manes.15G125800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10046685:10049611:1 gene:Manes.15G125800.v8.1 transcript:Manes.15G125800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSRSSQPVVLRSHYLSPSSSQIPASHITPRSACPETPIPFIVKKCIALLQICASSKFKLKQIHAFSIRHGVAPINPDMGKHLIYSIVSLSAPMTYAHSIFAQIQNPNVFTWNTMIRGYAESENPEPAIELYNRMHVNATEPDTHTYPFLLKAVSKVVNVRVGEGIHSIVVRNGFESLVFVQNSLVHMYAACGHYENAYKLFELMPERDIIAWNTVINGFALNGKPIEALTLYKEMGLEGVEPDGFTVVSLLSACAELDALALGRRVHTYIVKVGLNENMHVNNALLDLYAKCGNIMEAQKVFGEMEERNVVSWTSLIVGLAVNGFGTEALEHFGEMEKQQFVPSEITYVGVLYACSHCGMVNEGFNYFKRMKEKYGIVPRMEHYGCMVDLLGRAGLVKEAYEYIQNMPLQPNAVVWRTLLGACTIHGHLALGEVARVQLLQLEPKHCGDYVLISNLYASEQRWSDVHNVRKTMLTQGVRKAPGHSLVELGNCVHEFVMGDRTHPQSEAIYAMLVEISKKLKLEGYVPHTSNVLADIEEEEKANALFYHSEKIAIAFMLINTPSGTPIRVVKNLRVCADCHLAIKLISKVFNREIVVRDRSRFHHFRDGACSCKDYW >Manes.15G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10046685:10049611:1 gene:Manes.15G125800.v8.1 transcript:Manes.15G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSRSSQPVVLRSHYLSPSSSQIPASHITPRSACPETPIPFIVKKCIALLQICASSKFKLKQIHAFSIRHGVAPINPDMGKHLIYSIVSLSAPMTYAHSIFAQIQNPNVFTWNTMIRGYAESENPEPAIELYNRMHVNATEPDTHTYPFLLKAVSKVVNVRVGEGIHSIVVRNGFESLVFVQNSLVHMYAACGHYENAYKLFELMPERDIIAWNTVINGFALNGKPIEALTLYKEMGLEGVEPDGFTVVSLLSACAELDALALGRRVHTYIVKVGLNENMHVNNALLDLYAKCGNIMEAQKVFGEMEERNVVSWTSLIVGLAVNGFGTEALEHFGEMEKQQFVPSEITYVGVLYACSHCGMVNEGFNYFKRMKEKYGIVPRMEHYGCMVDLLGRAGLVKEAYEYIQNMPLQPNAVVWRTLLGACTIHGHLALGEVARVQLLQLEPKHCGDYVLISNLYASEQRWSDVHNVRKTMLTQGVRKAPGHSLVELGNCVHEFVMGDRTHPQSEAIYAMLVEISKKLKLEGYVPHTSNVLADIEEEEKANALFYHSEKIAIAFMLINTPSGTPIRVVKNLRVCADCHLAIKLISKVFNREIVVRDRSRFHHFRDGACSFMLWKKLVKQPRQPMCIRRSTALWTI >Manes.08G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1607561:1613298:1 gene:Manes.08G015700.v8.1 transcript:Manes.08G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAAIASAETSVGSVAPESKPQTAEIKRWGDMVDDEEQPGDTASSSEDKVVGELEVDKLTIEDSKKVNKFLDDPEDSSIQAVTSGDTPYTSAATFEDLNLSPELLKGLYVEMKFQKPSKIQAISLPMILTSPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNVKRPQALCICPTRELSIQNLEVLQKMGKYTGISSECAVPMDSGNNDRSRSRPPIFAQVVIGTPGTIKRLMSQKKLSVVDMKVLVFDEADHMLAKDGFQDDSLRIMKDIERVNGHCQVLLFSATFDETVKNFVSRIVRKDYNQLFVKKEELSLDSVKQYKVYCPDEMTKILAIKDRILELGENLGQTIIFVNTKRSASMLHKALVDLGYEVTTIHGALTHEDRDKIVKEFKDGLTQVLISTDVLARGFDQQQVNLVINYDLPVKYETPSEPHYEVYLHRIGRAGRFGRKGAVFNFVMTDRDVMIMEKIDKYFGTRVKEVASWNSEEDFKVALKEAGLL >Manes.06G164000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29082308:29084015:-1 gene:Manes.06G164000.v8.1 transcript:Manes.06G164000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAGTRRRNTTRKPRRTHYKKRLVKSKSVQEASVVEDLSSNSSSTTTITNTSFDNCSKIDGLDFEDVDISTSSCSTPKAQRFRLPEIVSCPPAPKKQRVISNCSLQRKPIAFFAPPDIELFFFFALRDISV >Manes.08G137500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37409927:37414335:1 gene:Manes.08G137500.v8.1 transcript:Manes.08G137500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLFEGLPPPSIQQQQNQRVEEKTSSNREPSPLPPPPAPIPVLKSALKRPKPTEFIPDHEDAVPEKETPKEKRLRFKTTTDASEKQVIEAMQKIASHIKNPTKFAKASKLAIQLIQAGSVKPGTSDHFFAILEAAMSSTMSCNDPSVRADYHALFSEAQDAAECLSKNQKNQLAVWTIRAVVANDLCTDDSFVFSKAAGRIKESITSLPIASKDDDAEEAATLNDLKETTNEDNENKLDVCLGASAEGNKMDESDPFGLDAFMSHTKKDERAKGKTDTLAKMRKEEDQEGKKSFLKGQRESLIICLEIAARRYKIPWCQTVIDILVKHAFDNVARFTSRQRDAIEKLWTSIREQHTRRKQGKSVNGKLDVTAFEWLQQKYATEKISIRHSVGGSGDRRAQQWLG >Manes.04G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26578470:26581161:-1 gene:Manes.04G068200.v8.1 transcript:Manes.04G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLCFLLFLVSSATACDRCVHQTRAAYFSRASALNSGACGYGSMAPGFNSGHLAAGIPSLYKDGAGCGACFQIRCKDKTLCSSKGTTVMMSDLNYNNQTDFVLSSRAFMAMANQGMGRDILKLGIVDVEYKRVPCEYKNQNLGVRVEESSQNPNYLAIKLLYQGGQTEIVAIDVAQVGSSNWGYMSRNYGAVWDTSRVPAGALQFRFVVTAGYDGKWIWAKSVLPADWKSGVVYDSGIQITDIAQEGCSPCDNETWK >Manes.17G090200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29825992:29827109:-1 gene:Manes.17G090200.v8.1 transcript:Manes.17G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEGSKKALLPIAALILRVLTMILLLVSLIILVTDSATFKPVLSSDDVTIRLKYIYSYRYMLATEVLGLAYTFLRLPFSILYMITGKRLIDVVGLSYFNLFSDKVILSLLASGVGAGFGATYDLKKNLDDLDDILQRQGYTIIANIRSELDDFFNMGYVSATLLLLGFLFFGVSSFISSLALSNTAQN >Manes.16G102800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30806725:30810417:1 gene:Manes.16G102800.v8.1 transcript:Manes.16G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSALSSTKLAQIPTQEGESHTPSTALLSFSTDSSDPSNRSQKHTTTIFISLLLITCIALSAASAFAFLFFSSASSSSSPAPPQTSSSLQTTSRPLTKLNHPVVILISSDGFRFGYQFKTPTPNIHRLIANGTEAETGLIPVFPTLTFPNHYSIVTGLYPAYHGIINNHFVDPITGEVFTMRSHEPKWWLGEPVWETVANQGLRAATYFWPGSEVHKGSWNCPDGFCMFYNGSVPFEERVDKILSYFDLPSAEIPVFMTLYFEDPDHQGHQVGPDDPAITEAVAGIDKMIGRLINGLEKRGVFEDVHIIMVGDHGMVGTCDKKLIFLDDLAPWIEIPAEWVQSYTPLLAVRPPPGVAPGSVVGKINEALQSGKVQNGKHLKVYLKEELPSRLQYSASDRIPPIIGLLEEGFKVEQKRTQHQECGGEHGYDNAVFSMRTIFIGHGPQFAKGRKVPSFENVQIYNLVTSILKIQGAPNNGSLSFPGSVLLATS >Manes.13G135000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34267455:34270049:-1 gene:Manes.13G135000.v8.1 transcript:Manes.13G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSCVIFVFVISILGFCALIHAQQLYEAKATTNCSNTDGSVLGYSCNGLNRSCQAYLVFRSQPPYTSVASISTLLTSDPSELAAINSVSETASFDTNKLVIVPVNCSCSGNYSQANTSYVVQAGDSVFLIANNTYQALSNCQAVQSQNRMQDFDIVTGERLTIPLRCACPTKNQSDVGIKYLLSYLITWGDTVSDISVKFGVDTRKSLEANGLSANSIIFPFTTLLIPLQNPPSSNQTIASPPPPASPPPPSSSSPNPNKSSDKTWVYVVVGVLGGIALIIVLGIIIFFAVFGKRKKRSDPVNIIVSESFEAREKPLNQNLDEESEEFLESISSIAQSITVYRFKELQTATDNFSPSCLINGSVYRGLINGDNAAIKKVNGDVSKEINLLNKINHFNLVRLSGVCFNDGHWFLVYEYAANGPLSDWICNTNSNGKFLNWMQRIQIASDVATGLNYLHSFTSPPYVHNDIKSSNVLLDGDFRAKIANFAMARSAEGQEGEFALTRHIVGTKGYMAPEYLEHGLVSTKLDVYAFGVLMLEIVSGREVASLYTQEDTNLSDVLNDVLSKEDGQENLKKFIDPSMEGNCPLELAVLVMRLIDSCLNKNPGDRPSMDEITQSLSRILTISLNRESSNVSGYQSSWSSL >Manes.03G006200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:533818:543894:-1 gene:Manes.03G006200.v8.1 transcript:Manes.03G006200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRFGPFLALYRATIISFHVLKLILWQLFIQDIKKRAVKFRETLIHLGPFYIKLGQALSTRPDILPTIYCQELAKLQDQIPPFPTRVAIKSIESQLGLPISQIFSDISPEPIAAASLGQVYKAHLPSGELVAVKVLRPGMSLMLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYVLEGKNAERFASLYGFNPCNDLKGGNTMHQKEENCVKVPKIYWDLTCKGVLTMEWVDGIKLTDEAKLEKACLNRRKLIDQGLYCSLRQLLEVGFFHADPHPGNLVAIDSGTLAYFDFGMMGDIPRHFRVGLIQMLVHFVNRDTLGLANDFLSLGFIPEGVDIQSVSDALQASFGDGTRQSRDFEAIMNQLYDVMYEFDFSLPPDYALVIRALGSLEGTAKVLDPNFKVVESAYPFVIGRLLAEPNPDMRRILRELLICNDGSVRWNRLERLIAAISEQALESTGEASSEANSSNTMGWKSFDMRAVVGATEDLLHFILSEKGWRVRVFLVRDMIKVADVILQDEVVGYNLDEKRETREKSKFEGNSTFMRVVNGFRYLRQAVKLAPEMWTTMLIRVALKPEFHRFTLDIISAFSIHFSHKLPETFWVFISRLLHKMGRNNRFNDL >Manes.03G006200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:534925:538990:-1 gene:Manes.03G006200.v8.1 transcript:Manes.03G006200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCMLMDIFRSILNEQVRHMFDEIDYVLEGKNAERFASLYGFNPCNDLKGGNTMHQKEENCVKVPKIYWDLTCKGVLTMEWVDGIKLTDEAKLEKACLNRRKLIDQGLYCSLRQLLEVGFFHADPHPGNLVAIDSGTLAYFDFGMMGDIPRHFRVGLIQMLVHFVNRDTLGLANDFLSLGFIPEGVDIQSVSDALQASFGDGTRQSRDFEAIMNQLYDVMYEFDFSLPPDYALVIRALGSLEGTAKVLDPNFKVVESAYPFVIGRLLAEPNPDMRRILRELLICNDGSVRWNRLERLIAAISEQALESTGEASSEANSSNTMGWKSFDMRAVVGATEDLLHFILSEKGWRVRVFLVRDMIKVADVILQDEVVGYNLDEKRETREKSKFEGNSTFMRVVNGFRYLRQAVKLAPEMWTTMLIRVALKPEFHRFTLDIISAFSIHFSHKLPETFWVFISRLLHKMGRNNRFNDL >Manes.03G006200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:533818:543894:-1 gene:Manes.03G006200.v8.1 transcript:Manes.03G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMFRSLKRHRHATLLYRTLYQDANVMTILRNCKWLYQQDTEIGRQDSPFLPHFLNRRLSTGFTSVHGERPSSEYAKLRKESLESEFGQALGTYSSKRVSMIYRFGPFLALYRATIISFHVLKLILWQLFIQDIKKRAVKFRETLIHLGPFYIKLGQALSTRPDILPTIYCQELAKLQDQIPPFPTRVAIKSIESQLGLPISQIFSDISPEPIAAASLGQVYKAHLPSGELVAVKVLRPGMSLMLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYVLEGKNAERFASLYGFNPCNDLKGGNTMHQKEENCVKVPKIYWDLTCKGVLTMEWVDGIKLTDEAKLEKACLNRRKLIDQGLYCSLRQLLEVGFFHADPHPGNLVAIDSGTLAYFDFGMMGDIPRHFRVGLIQMLVHFVNRDTLGLANDFLSLGFIPEGVDIQSVSDALQASFGDGTRQSRDFEAIMNQLYDVMYEFDFSLPPDYALVIRALGSLEGTAKVLDPNFKVVESAYPFVIGRLLAEPNPDMRRILRELLICNDGSVRWNRLERLIAAISEQALESTGEASSEANSSNTMGWKSFDMRAVVGATEDLLHFILSEKGWRVRVFLVRDMIKVADVILQDEVVGYNLDEKRETREKSKFEGNSTFMRVVNGFRYLRQAVKLAPEMWTTMLIRVALKPEFHRFTLDIISAFSIHFSHKLPETFWVFISRLLHKMGRNNRFNDL >Manes.03G006200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:533818:543894:-1 gene:Manes.03G006200.v8.1 transcript:Manes.03G006200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRFGPFLALYRATIISFHVLKLILWQLFIQDIKKRAVKFRETLIHLGPFYIKLGQALSTRPDILPTIYCQELAKLQDQIPPFPTRVAIKSIESQLGLPISQIFSDISPEPIAAASLGQVYKAHLPSGELVAVKVLRPGMSLMLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYVLEGKNAERFASLYGFNPCNDLKGGNTMHQKEENCVKVPKIYWDLTCKGVLTMEWVDGIKLTDEAKLEKACLNRRKLIDQGLYCSLRQLLEVGFFHADPHPGNLVAIDSGTLAYFDFGMMGDIPRHFRVGLIQMLVHFVNRDTLGLANDFLSLGFIPEGVDIQSVSDALQASFGDGTRQSRDFEAIMNQLYDVMYEFDFSLPPDYALVIRALGSLEGTAKVLDPNFKVVESAYPFVIGRLLAEPNPDMRRILRELLICNDGSVRWNRLERLIAAISEQALESTGEASSEANSSNTMGWKSFDMRAVVGATEDLLHFILSEKGWRVRVFLVRDMIKVADVILQDEVVGYNLDEKRETREKSKFEGNSTFMRVVNGFRYLRQAVKLAPEMWTTMLIRVALKPEFHRFTLDIISAFSIHFSHKLPETFWVFISRLLHKMGRNNRFNDL >Manes.09G072200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12281322:12288668:1 gene:Manes.09G072200.v8.1 transcript:Manes.09G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTLNMNITMAISMFSGPRQSNAPFSSSFLIPSNSSSLLLHTTLLRLNKTNPNKWRHSMFISTKPALKDGILTVNGKPAIAGVPDNVFLTPLTESSAYLGASSTESSSRHVFKLGVVRDARLLSLFRFKLWWMIPRVGDSGSDIPIETQMLLMEVNKGPANASPSYIVFLPVLDGEFRSSLQGNSSDELEFCVESGDPATVTSECLKAVFVNHGNHPFDLMKESMKILEEQTGTFTVREAKQMPGMLDCFGWCTWDAFYQKVNPQGIKDGLRSLSQGGTPARFLIIDDGWQDTSNEFQKEGEPFVEGSQFGGRLISVEENSKFRRNDEAQSDAPVDLKHFVSDIKFNFGLKYVYVWHALLGYWGGLVPDVEGTKKYNPKLTYPVQSPGNLANMRDISMDCMEKYGIGVIDPARISEFYNDLHGYLVAQNVDGVKVDVQNILETIATDLGGRVLLTRHFQQALEDSIATNFHDNSIICCMGQSTDSIYHSKQSAITRASDDYYPKNPTTQTLHIAAVAFNSIFLGEVVVPDWDMFYSLHDAAEFHAAARAVGGCGVYVSDKPGHHDFNILKKLVLPDGSVLRAKYPGRPTIDCLFSDPVMDGESLMKIWNLNECTGVLGVFNCQGAGSWPCLENAHQKDVSEEISGKVSPADVEYFEEVSGKSWTGDCAIYSLNTGSLTRLQKEEEFDISLKTLQCDVFTIAPIKVYNQNIEFAAIGLINMYNSGGAVESIEQSGGGIGIRGRGEGKFGAYSSVKPKSCLVNSKQVGFTFREEDNLVIVTIPSGAGDWDIEISY >Manes.09G072200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12281322:12288668:1 gene:Manes.09G072200.v8.1 transcript:Manes.09G072200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTLNMNITMAISMFSGPRQSNAPFSSSFLIPSNSSSLLLHTTLLRLNKTNPNKWRHSMFISTKPALKDGILTVNGKPAIAGVPDNVFLTPLTESSAYLGASSTESSSRHVFKLGVVRDARLLSLFRFKLWWMIPRVGDSGSDIPIETQMLLMEVNKGPANASPSYIVFLPVLDGEFRSSLQGNSSDELEFCVESGDPATVTSECLKAVFVNHGNHPFDLMKESMKILEEQTGTFTVREAKQMPGMLDCFGWCTWDAFYQKVNPQGIKDGLRSLSQGGTPARFLIIDDGWQDTSNEFQKEGEPFVEGSQFGGRLISVEENSKFRRNDEAQSDAPVDLKHFVSDIKFNFGLKYVYVWHALLGYWGGLVPDVEGTKKYNPKLTYPVQSPGNLANMRDISMDCMEKYGIGVIDPARISEFYNDLHGYLVAQNVDGVKVDVQNILETIATDLGGRVLLTRHFQQALEDSIATNFHDNSIICCMGQSTDSIYHSKQSAITRASDDYYPKNPTTQTLHIAAVAFNSIFLGEVVVPDWDMFYSLHDAAEFHAAARAVGGCGVYVSDKPGHHDFNILKKLVLPDGSVLRAKYPGRPTIDCLFSDPVMDGESLMKIWNLNECTGVLGVFNCQGAGSWPCLENAHQKDVSEEISGKVSPADVEYFEEVSGKSWTGDCAIYSLNTGSLTRLQKEEEFDISLKTLQCDVFTIAPIKVYNQNIEFAAIGLINMYNSGGAVESIEQSGGGIGIRGRGEGKFGAYSSVKPKSCLVNSKQVGFTFREEDNLVIVTIPSGAGDWDIEISY >Manes.09G072200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12281322:12288668:1 gene:Manes.09G072200.v8.1 transcript:Manes.09G072200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTLNMNITMAISMFSGPRQSNAPFSSSFLIPSNSSSLLLHTTLLRLNKTNPNKWRHSMFISTKPALKDGILTVNGKPAIAGVPDNVFLTPLTESSAYLGASSTESSSRHVFKLGVVRDARLLSLFRFKLWWMIPRVGDSGSDIPIETQMLLMEVNKGPANASPSYIVFLPVLDGEFRSSLQGNSSDELEFCVESGDPATVTSECLKAVFVNHGNHPFDLMKESMKILEEQTGTFTVREAKQMPGMLDCFGWCTWDAFYQKVNPQGIKDGLRSLSQGGTPARFLIIDDGWQDTSNEFQKEGEPFVEGSQFGGRLISVEENSKFRRNDEAQSDAPVDLKHFVSDIKFNFGLKYVYVWHALLGYWGGLVPDVEGTKKYNPKLTYPVQSPGNLANMRDISMDCMEKYGIGVIDPARISEFYNDLHGYLVAQNVDGVKVDVQNILETIATDLGGRVLLTRHFQQALEDSIATNFHDNSIICCMGQSTDSIYHSKQSAITRASDDYYPKNPTTQTLHIAAVAFNSIFLGEVVVPDWDMFYSLHDAAEFHAAARAVGGCGVYVSDKPGHHDFNILKKLVLPDGSVLRAKYPGRPTIDCLFSDPVMDGESLMKIWNLNECTGVLGVFNCQGAGSWPCLENAHQKDVSEEISGKVSPADVEYFEEVSGKSWTGDCAIYSLNTGSLTRLQKEEEFDISLKTLQCDVFTIAPIKVYNQNIEFAAIGLINMYNSGGAVESIEQSGGGIGIRGRGEGKFGAYSSVKPKSCLVNSKQVGFTFREEDNLVIVTIPSGAGDWDIEISY >Manes.09G072200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12281322:12288668:1 gene:Manes.09G072200.v8.1 transcript:Manes.09G072200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTLNMNITMAISMFSGPRQSNAPFSSSFLIPSNSSSLLLHTTLLRLNKTNPNKWRHSMFISTKPALKDGILTVNGKPAIAGVPDNVFLTPLTESSAYLGASSTESSSRHVFKLGVVRDARLLSLFRFKLWWMIPRVGDSGSDIPIETQMLLMEVNKGPANASPSYIVFLPVLDGEFRSSLQGNSSDELEFCVESGDPATVTSECLKAVFVNHGNHPFDLMKESMKILEEQTGTFTVREAKQMPGMLDCFGWCTWDAFYQKVNPQGIKDGLRSLSQGGTPARFLIIDDGWQDTSNEFQKEGEPFVEGSQFGGRLISVEENSKFRRNDEAQSDAPVDLKHFVSDIKFNFGLKYVYVWHALLGYWGGLVPDVEGTKKYNPKLTYPVQSPGNLANMRDISMDCMEKYGIGVIDPARISEFYNDLHGYLVAQNVDGVKVDVQNILETIATDLGGRVLLTRHFQQALEDSIATNFHDNSIICCMGQSTDSIYHSKQSAITRASDDYYPKNPTTQTLHIAAVAFNSIFLGEVVVPDWDMFYSLHDAAEFHAAARAVGGCGVYVSDKPGHHDFNILKKLVLPDGSVLRAKYPGRPTIDCLFSDPVMDGESLMKIWNLNECTGVLGVFNCQGAGSWPCLENAHQKDVSEEISGKVSPADVEYFEEVSGKSWTGDCAIYSLNTGSLTRLQKEEEFDISLKTLQCDVFTIAPIKVYNQNIEFAAIGLINMYNSGGAVESIEQSGGGIGIRGRGEGKFGAYSSVKPKSCLVNSKQVGFTFREEDNLVIVTIPSGAGDWDIEISY >Manes.09G072200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12281433:12285684:1 gene:Manes.09G072200.v8.1 transcript:Manes.09G072200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTLNMNITMAISMFSGPRQSNAPFSSSFLIPSNSSSLLLHTTLLRLNKTNPNKWRHSMFISTKPALKDGILTVNGKPAIAGVPDNVFLTPLTESSAYLGASSTESSSRHVFKLGVVRDARLLSLFRFKLWWMIPRVGDSGSDIPIETQMLLMEVNKGPANASPSYIVFLPVLDGEFRSSLQGNSSDELEFCVESGDPATVTSECLKAVFVNHGNHPFDLMKESMKILEEQTGTFTVREAKQMPGMLDCFGWCTWDAFYQKVNPQGIKDGLRSLSQGGTPARFLIIDDGWQDTSNEFQKEGEPFVEGSQFGGRLISVEENSKFRRNDEAQSDAPVDLKHFVSDIKFNFGLKYVYVWHALLGYWGGLVPDVEGTKKYNPKLTYPVQSPGNLANMRDISMDCMEKYGIGVIDPARISEFYNDLHGYLVAQNVDGVKVDVQNILETIATDLGGRVLLTRHFQQALEDSIATNFHDNSIICCMGQSTDSIYHSKQSAITRASDDYYPKNPTTQTLHIAAVAFNSIFLGEVVVPDWDMFYSLHDAAEFHAAARAVGGCGVYVSDKPGHHDFNILKKLVLPDGSVLRAKYPGRPTIDCLFSDPVMDGESLMKIWNLNECTGVLGVFNCQGAGSWPCLENAHQKDVSEEISGKVSPADVEYFEEVSGKSWTGDCAIYSLNTGSLTRLQKEEEFDISLKTLQCDVFTIAPIKVYNQNIEFAAIGLINMYNSGGAVESIEQSGGGIGIRGRGEGKFGAYSSVKPKSCLVNSKQVGFTFREEDNLVIVTIPSGAGDWDIEISY >Manes.15G064700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4909233:4917334:-1 gene:Manes.15G064700.v8.1 transcript:Manes.15G064700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRREPPAAMSSSRAFTSLLAAFLLLYSLGTLVSAQGSTNRWQTLSGNPPLVVARGGFSGIFPDSSLFAYQLALQISVPHVILWCDVQLTKDGVGICAPDVRLENSTDISFVYKNRVKTYLVNGVRTQGWFSTDFNFSELISNVFYIQGVFSRSGKFDGNAFPIMMVENVTALKPPGGVWLNIQHDAFFMQHNLNMRSYVLSLSRRVVVNYISSPEVAFLRSIAARVNPNVTKLVFRFLGPNDFEPSTNQTYNSLLKNLTFIKTFASGILIPKGYIWRVDSSLYLQPYTSVVLDAHKAGLEVFASDFYNDVPFSFNYSYDPVAEYLSFIDNGEFSVDGVLSDFPITPSAAIGCFSHIGKNAAEQAKVLVISKNGASGDYPGCTDKAYQKAISDGANVIDCPVQMSKDGVPFCSGSINLIDSTTVAQSKYSNLAEVIPELKKDSGIFTFSLTWSQIQPLTSVIESPYAKYQLFRNPKFRNDGKLLSLHDFLALAKNTSSLSGVLISIENAPYLIEKQQLPVTDAVLDVLSKAGYDKQTDLEVMIQSSNSSVLMKFKDQHNYKLVYKVDENIRDALDATINDIKKFADSVVISKNSVFPDNSLFLTGATDVVPRLNSAGLPVYVETFSNEFVSQAWDFFSDATVEINSYVMGANISGVITDFPLTSSRYKKNRCLNLGKSAPPYMTPVQPGSLMQLITPDYLPPAEAPNPVLTESDVMEPPLPSFVARAPTSSPGNGTGQSAAAPRPSGQPKIVACFFLTNLATIFTILSLL >Manes.13G151100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36620085:36621924:-1 gene:Manes.13G151100.v8.1 transcript:Manes.13G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAAAVARQAATLSRLSSPKTSAQAASLIHRRGLAGAADHHGPPKVNCWQDPMNPAKWKEEHFVIVSLSGWGLLFFGGYKFFTKGKGKKEETLLEASH >Manes.04G104800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31003423:31013857:1 gene:Manes.04G104800.v8.1 transcript:Manes.04G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGAATTANPNPNKSIEVAQPPSDSVSSLCFSPKANFLVATSWDNQVRCWEITRNGATAGSVPKASISHDQPVLCSTWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTVAMHDAPIKEVAWIPEMNMLATGSWDKTLKYWDLRQPNPVHTQQLPDRCYAMTVRHPLMVVGTADRNLIVFNLQQPQNEYKRITSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAQQNKNFTFKCHRDGNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSLPIPCSTFNNDGSIFAYSVCYDWSKGAENHNPQTAKTYIFLHLPQDSEVKGKPRVGTSGRK >Manes.04G104800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31003423:31013858:1 gene:Manes.04G104800.v8.1 transcript:Manes.04G104800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGAATTANPNPNKSIEVAQPPSDSVSSLCFSPKANFLVATSWDNQVRCWEITRNGATAGSVPKASISHDQPVLCSTWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTVAMHDAPIKEVAWIPEMNMLATGSWDKTLKYWDLRQPNPVHTQQLPDRCYAMTVRHPLMVVGTADRNLIVFNLQQPQNEYKRITSPLKYQTRCVAAFPDQQGFLVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSLPIPCSTFNNDGSIFAYSVCYDWSKGAENHNPQTAKTYIFLHLPQDSEVKGKPRVGTSGRK >Manes.14G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8562901:8567293:-1 gene:Manes.14G103200.v8.1 transcript:Manes.14G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLKLRSSPSSFSLTCRKFHSPINHPLRHQLPIVPPPSLKLKPRTFLTASGNRQLTVMAKLSKSLEGVSQELNLIASQNLDHAPARRRVRSAFTQVQQQLHHPLLKLAPAGIKTEEWYERNSSGLELFVKSWRPSSGIKIKGAVFFCHGYGDTCTFFFEGIAKRIAAAGYIVYALDHPGFGLSEGLHGYIPSFDGLVDNIIERYARIKGRPELKGLPCFLLGQSMGGAVALKVHLKEPQAWDGIVLVAPMCRIAEDVKPPPPILKTLILLSRVMPKAKLLPQRDLAELGFRDLKSRKTAEYNVICYSDRMRLKTAVELLKATEDIEAKLDKVSSPLLILHGAADKVTDPLVSQFLYQKASSNDKTLKLYEGGYHSILEGEPEDRILAIFDDITTWLDSHCSTT >Manes.15G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1143904:1148764:-1 gene:Manes.15G013500.v8.1 transcript:Manes.15G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRRASGRIRATDPPPTPKSVAERRPPVGTDDKIDISRRSAQYNNQDSLDSDGDPRFNADNVLEERDPQFDAMLNQMVGRIRSKPGGKLEMGEAGVVERYNRPMPKLRNTKPDSGRYEERPAPQGTLNVAQLRHIMLLHQGKADDHNGPMDVHQIANKLKLEVAQVQRILQFVSLPPEDSNKQKRY >Manes.05G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6267331:6269877:-1 gene:Manes.05G076900.v8.1 transcript:Manes.05G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKVTLEIFSKLEQKWLSHCEHSKKTRVLSIDGGGTTGIVAGAALIHLEDQIRLKVGDPQARVSDFFDIIAGTGIGALLAALLAADDGSGRPLFSARDAVAFLSQEKSELFKVKLTRFLHRRGRFSGKSIDRVLKEAFRRDDGKILTLKDTCKPLLIPCYDLNSSAPFVFSRADALESPSFNFDLWKVCRATLATPSLFKPFNLTSVDGKTSCCGIDGGLVMNNPTAAAVTHVLHNKRDFPSVNGVEDLLVLSLGNGPLSGSLSRRNHRRNGECSTSSVVDIVLEGVSETIDQMLGNAFCWNRSDYVRIQVNGLTSDGVMGPKVEDEVLKEKGVESLPFGGKRLMEETNGQRIESFVQRLVASGRSSLPPSPCKDSAVSPLANGR >Manes.12G058560.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5806019:5807059:1 gene:Manes.12G058560.v8.1 transcript:Manes.12G058560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNLEGIDLFVVFASFSWLLLQEFLLRSFKLSSLVATIKNLSGGGSLSSKNQHLSVFILVVLLLFDSMLVAIVFLMCKFCLDFSFFS >Manes.05G049500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4094534:4097084:-1 gene:Manes.05G049500.v8.1 transcript:Manes.05G049500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRCKLCFKTFSNGRALGGHMRSHMLNLPIPPKLEDEEKEEHPPIQLCEDTESASSSEEEAEEEDKSLCYELRENPKRSIRLVDPEFSFAADAASVLQDRESETESSKNTTHRRRSKRTRKLLEHEYHQQQHRQEHENNIKKLNFSKFSKNELWAEPEPVSSISETTTEEDVAFCLMMLSRDKWKKLEQQNQREEDEEVEDEKSIEETDESDEFKSCKTRTRGKYRCETCKKVFKSYQALGGHRASHKKLKVYTPTKEPNLQPLNAGTSSSAREKKIHECPYCYRVFSSGQALGGHKRSHLIGVTATPARSSSKIEDNLNLIDLNLPAPVDDDELSQIELSAVSDAEFVNHIKR >Manes.07G015500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1866789:1867730:-1 gene:Manes.07G015500.v8.1 transcript:Manes.07G015500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGANARREVVLQLLLTLCIWGNVRVSEGIWLTLPESGTKCVSEDIHTNVVVLADYVVVSEDNSHLPTISIKVSSPYGNTLHQKENATHGQFAFTTEEAGNHLACFWVNDQNEGGGVVSVNLDWKTGIAARDWESVARKEKIEVSSVRFIIFSLIGLTYKYKKRRG >Manes.07G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1863978:1867925:-1 gene:Manes.07G015500.v8.1 transcript:Manes.07G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGANARREVVLQLLLTLCIWGNVRVSEGIWLTLPESGTKCVSEDIHTNVVVLADYVVVSEDNSHLPTISIKVSSPYGNTLHQKENATHGQFAFTTEEAGNHLACFWVNDQNEGGGVVSVNLDWKTGIAARDWESVARKEKIEGVELELRKLEGAVEAIHENLLYLKSREAELRAVSETTNARVAWFSIMSLGLCIVVSILQLWHLKRFFQKKKLI >Manes.07G015500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1865874:1867730:-1 gene:Manes.07G015500.v8.1 transcript:Manes.07G015500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGANARREVVLQLLLTLCIWGNVRVSEGIWLTLPESGTKCVSEDIHTNVVVLADYVVVSEDNSHLPTISIKVSSPYGNTLHQKENATHGQFAFTTEEAGNHLACFWVNDQNEGGGVVSVNLDWKTGIAARDWESVARKEKIEVACLLSKN >Manes.12G077400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8903773:8926251:1 gene:Manes.12G077400.v8.1 transcript:Manes.12G077400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWMRMFSLMKPLLRKRRISSSVTSRSARPLHPALPSGLGRPSLTSTLPNLVALQLEIDYVIGESHKELLPDRSGVAAIIRIFGVTREGHSVCCLVHGFEPYFYISCPPGMGPDDISRFQQILEGRMKEMNRNSQVPKFVRRIEMVQKRSIMYYQQQPSHPFLKIVVALPTMVASCRGILDKGIHIDGLGMKSFMTYESNVLFALRFMIDCNVVGGNWIEVPAGKYKKTSKNLSYGQLEFDCLYSELISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTMQGEDQPFIRNVMTLNSCSPIVGVDVMSFDTEREVLLAWRDFIREVDPDIIIGYNICKFDLPYLIERAETLGIAEFPVFGRVKNSRVRVKDTTFSSRQYGTRESKEVTLEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPLSFLLSRGQSIKVLSQLLRKAKQKNLVIPNVKQAGSEQGTYEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNIPPECVNKTPSGETFVKSNLQKGILPEILEELLAARRRAKADLKEAKDPLVKAVLDGRQLALKVSANSVYGFTGATIGQLPCIEISSSVTSYGRQMIEHTKKLVEEKFTITGGYEHNAEVIYGDTDSVMVQFGVPTVEEAMKLGREAAECISGTFIKPIKLEFEKVYYPYLLISKKRYAGLFWTNPNKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQLSCIGCKALISNSDRTLCTHCKGREAELYCKTVSQVSELELLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKRQLDRWNF >Manes.12G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8903765:8926250:1 gene:Manes.12G077400.v8.1 transcript:Manes.12G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGNTRKRPPPTSQPTPSPPAPKHQATTPAVDDEFVDEDVFLDETLIAEEENLILRDLEERQALASRLAKWSRPSLSHEYTSQSRSIIFQQLEIDYVIGESHKELLPDRSGVAAIIRIFGVTREGHSVCCLVHGFEPYFYISCPPGMGPDDISRFQQILEGRMKEMNRNSQVPKFVRRIEMVQKRSIMYYQQQPSHPFLKIVVALPTMVASCRGILDKGIHIDGLGMKSFMTYESNVLFALRFMIDCNVVGGNWIEVPAGKYKKTSKNLSYGQLEFDCLYSELISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTMQGEDQPFIRNVMTLNSCSPIVGVDVMSFDTEREVLLAWRDFIREVDPDIIIGYNICKFDLPYLIERAETLGIAEFPVFGRVKNSRVRVKDTTFSSRQYGTRESKEVTLEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPLSFLLSRGQSIKVLSQLLRKAKQKNLVIPNVKQAGSEQGTYEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNIPPECVNKTPSGETFVKSNLQKGILPEILEELLAARRRAKADLKEAKDPLVKAVLDGRQLALKVSANSVYGFTGATIGQLPCIEISSSVTSYGRQMIEHTKKLVEEKFTITGGYEHNAEVIYGDTDSVMVQFGVPTVEEAMKLGREAAECISGTFIKPIKLEFEKVYYPYLLISKKRYAGLFWTNPNKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQLSCIGCKALISNSDRTLCTHCKGREAELYCKTVSQVSELELLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKRQLDRWNF >Manes.12G077400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8903778:8926194:1 gene:Manes.12G077400.v8.1 transcript:Manes.12G077400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGNTRKRPPPTSQPTPSPPAPKHQATTPAVDDEFVDEDVFLDETLIAEEENLILRDLEERQALASRLAKWSRPSLSHEYTSQSRSIIFQQLEIDYVIGESHKELLPDRSGVAAIIRIFGVTREGHSVCCLVHGFEPYFYISCPPGMGPDDISRFQQILEGRMKEMNRNSQVPKFVRRIEMVQKRSIMYYQQQPSHPFLKIVVALPTMVASCRGILDKGIHIDGLGMKSFMTYESNVLFALRFMIDCNVVGGNWIEVPAGKYKKTSKNLSYGQLEFDCLYSELISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTMQGEDQPFIRNVMTLNSCSPIVGVDVMSFDTEREVLLAWRDFIREVDPDIIIGYNICKFDLPYLIERAETLGIAEFPVFGRVKNSRVRVKDTTFSSRQYGTRESKEVTLEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPLSFLLSRGQSIKVLSQLLRKAKQKNLVIPNVKQAGSEQGTYEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNIPPECVNKTPSGETFVKSNLQKGILPEILEELLAARRRAKADLKEAKDPLVKAVLDGRQLALKVSANSVYGFTGATIGQLPCIEISSSVTSYGRQMIEHTKKLVEEKFTITGGYEHNAEVIYGDTDSVMVQFGVPTVEEAMKLGREAAECISGTFIKPIKLEFEKVYYPYLLISKKRYAGLFWTNPNKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDVPGAVQYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSISISTPSNSGIMKFAKKQLSCIGCKALISNSDRTLCTHCKGREAELYCKTVSQVSELELLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKRQLDRWNF >Manes.12G089484.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:15040268:15060569:1 gene:Manes.12G089484.v8.1 transcript:Manes.12G089484.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQISLSNIRIMFMVLRILHCLHVRISSLTNLVSSIINKREPRISKSFCVLCVLLRML >Manes.05G140027.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13371254:13372025:-1 gene:Manes.05G140027.v8.1 transcript:Manes.05G140027.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYCMVMRINIDCNGCYRKVRKALLDMHELETHLIEKKQSRVSVCGKFIPQDVAIKIRNKTNRRVEILDIQELVTNTAAAAAADADNQNNQENRTLISSSSWSLLPSQTQMAPCVT >Manes.12G048300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4512837:4513500:1 gene:Manes.12G048300.v8.1 transcript:Manes.12G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGRKRREKNYKAAHGGHTRLPPPPDHSQIDALPSKLHHIMSFTSHLLDGSAKPSKSTEEKRKRGGGNAEKKLPPENAITSKAIVDEGEDENLLTTQHSDDSDETVRSSNDEKRKKKRKRKRKRKRMQVIDLRFDTSMEKTKSKTEEDLDFPGHEQIKFGDVVQAPPKLVVVPKVLKNVPEASRERIRLQAIEEYRKHKGWTSRPGLKLPIVTETHPI >Manes.09G064501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10652859:10654651:-1 gene:Manes.09G064501.v8.1 transcript:Manes.09G064501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLFVAARTGDVGTLSNLLQEDNNPAALPESSKWTPLHIACLFRNVNFAREFLRRRPQFLKHKNPEGCTALHLASSIGNLEMVKMLLTFGCDDESMDRDNDGRNPLHHAVIKGSVEVVIELLRACPESALEVTAQKDTIFHLAVKHRVSVSDELFSRLLRGDYTDYLLNLSDKKDNTVLHLASIRKQTQIIRLLTERRPRLDVNAVNSSGLTPLDLLVVDPLNLKDMEIEGIMKSAGGIRLNQSHEQREHLKSIASGLLVMASLIAATSCQFAIFLQVGFWDTLSPATDGNITSISNSTHFRVSTSPGANATAAMQMPGNATASHNEKTRSYLFLAIFDGLAFMLSLCLIFLTLMPTSTNKFSRLKWLSSYLLTLLLMPFLIALFISMVSYRATDTPSTDNFIFNLFLPFLLCIIVLVLIPIVKVVGVNYRSVRR >Manes.06G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25708008:25710760:-1 gene:Manes.06G127100.v8.1 transcript:Manes.06G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAFTASLFKWDPRTVVPAPNRLLEAVAPPPQLTAGYAVRPRELCGLEELFQAYGIRYYTAAKIAELGFTVNTLLDMKDEELDEMMNSLSQIFRWDLLVGERYGIKAAVRAERRRLEEEDSRRRHLLSGDTTNALDALSQEGLSEEPVQQEKEAAGSGGSGAWEAAAAAAERKQQRRRKGQRKVVDIDHDDENENDENGGAGGYERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQNIAKDRGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEDASNALRRAFKERGENVGAWRQACYKPLVTIAARQGWDIDAIFNAHPRLAIWYVPTKLRQLCHAERNSAAASSSVSGGGDDLPF >Manes.05G115400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11757987:11759106:-1 gene:Manes.05G115400.v8.1 transcript:Manes.05G115400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQLFGGAEECHSSESGWTMYLGSHIHGDDDDNQHSYEKDDDDDDDYDGGPSNQGTDFKLQKDVNGKYYSQRKPNNKQVEKQLQKSERRRKEDKNKDEEVRANNKTTPTQSTSKVRKSISSWMGKRK >Manes.05G115400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11757987:11759106:-1 gene:Manes.05G115400.v8.1 transcript:Manes.05G115400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQLFGGAEECHSSESGWTMYLGSHIHGDDDDNQHSYEKDDDDDDDYDGGPSNQGTDFKLQKDVNGKYYSQRKPNNKQVEKQLQKSERRRKEDKNKDEEVRANNKTTPTQSTSKVRKSISSWMGKRK >Manes.01G073800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27593112:27596882:-1 gene:Manes.01G073800.v8.1 transcript:Manes.01G073800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSALDPADKFLNSWTSDGDPCSGKFEGVACNEHRKVANISLQGKGLSGTVSPAVAELKCLSGLYLHYNSLSGEIPKELANLTELSDLYLNVNNLSGSIPPEIGGMANLQVLELCCNQLTGHIPKEIGSLKRLTVLALQYNRLTDQIPTSLGNLGMLRRLDLGFNRLFGPVPPSVSNAPQLQVLDVRNNSLSGMVPSALQRLKGGFQFENNKDLCGVGFPTLRACTPFDNVNINQVESQGPITNATASKNIPESAILQTPCKQSNCSHSSKFPQIAIVAGVITATVVLIGAGFFIILFFRKKKQKIGTTAESSVGRLSTDQAKEFHRGGASPLVILEYSNGWDLFGDCRNGIGVFEDSLNNFRFNLEEIESATQCFSEVNFLGKSSFSSVYKGILRDGSLVAITSINITSCKSEEDEFVKGLNLLTSLRHENLIRLRGFCCSRGRGELFLVYDFAPNGSLSKYLDIEDGSNHVLSWSTRASIINGIAKGIEYLHSSEANKPAIIHRRISVEKVLLDQQFNPMIADSGLQKLLADDIVFSAIKISAAMGYLAPEYVTTGHFTEKSDMYAFGVIVLQILSGKQMLSSSMRLAAASCRYEDFIDTNLKGNFSESEAAKLAKIGLACTQELPEHRPTMGEVIQELNLRK >Manes.01G073800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27593112:27596882:-1 gene:Manes.01G073800.v8.1 transcript:Manes.01G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFWFYPLVTLLIALATLQHVCGNTELRALMELKSALDPADKFLNSWTSDGDPCSGKFEGVACNEHRKVANISLQGKGLSGTVSPAVAELKCLSGLYLHYNSLSGEIPKELANLTELSDLYLNVNNLSGSIPPEIGGMANLQVLELCCNQLTGHIPKEIGSLKRLTVLALQYNRLTDQIPTSLGNLGMLRRLDLGFNRLFGPVPPSVSNAPQLQVLDVRNNSLSGMVPSALQRLKGGFQFENNKDLCGVGFPTLRACTPFDNVNINQVESQGPITNATASKNIPESAILQTPCKQSNCSHSSKFPQIAIVAGVITATVVLIGAGFFIILFFRKKKQKIGTTAESSVGRLSTDQAKEFHRGGASPLVILEYSNGWDLFGDCRNGIGVFEDSLNNFRFNLEEIESATQCFSEVNFLGKSSFSSVYKGILRDGSLVAITSINITSCKSEEDEFVKGLNLLTSLRHENLIRLRGFCCSRGRGELFLVYDFAPNGSLSKYLDIEDGSNHVLSWSTRASIINGIAKGIEYLHSSEANKPAIIHRRISVEKVLLDQQFNPMIADSGLQKLLADDIVFSAIKISAAMGYLAPEYVTTGHFTEKSDMYAFGVIVLQILSGKQMLSSSMRLAAASCRYEDFIDTNLKGNFSESEAAKLAKIGLACTQELPEHRPTMGEVIQELNLRK >Manes.14G098000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8137192:8140171:1 gene:Manes.14G098000.v8.1 transcript:Manes.14G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCTDESTTSETLSISISPTSAVSSFPPPTKSPESPLGRVGSGSSVILDSESGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDIAAQRFRGRDAITNFKPQGAETEADDIEAAFLNSHSKAEIVDMLRKHTYDDELEQSKRNYKIDGLGKQNRNPGANNVALSGSDRVLKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQSGNNSTKGVLLNFEDISGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGPDKQLYIDWKARNGSNPVVCSVQPVQMVRLFGVNIFKAPGGSGVAEGAGGCNGKRMREMELLSLNCIKKQRIIGAL >Manes.13G012300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1570738:1571386:1 gene:Manes.13G012300.v8.1 transcript:Manes.13G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLILRLMEDPKERDRKFREHVYAVKDRCNKTKEMWSYPLRPYGFWTFERHNSQLAWDAQISQVPGRRDPYDDLLQDSYGSPK >Manes.13G012300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1570961:1571386:1 gene:Manes.13G012300.v8.1 transcript:Manes.13G012300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLILRLMEDPKERDRKFREHVYAVKDRCNKTKEMWSYPLRPYGFWTFERHNSQLAWDAQISQVPGRRDPYDDLLQDSYGSPK >Manes.05G201400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32599332:32641127:1 gene:Manes.05G201400.v8.1 transcript:Manes.05G201400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTTTNLIYSIPCDRIHAFHTQFWCNFKNYNRILFKRCNRISWVGRFRVYCESEVEEKKVRRCSPCLEKALLTSKNNGAMASDEWRAVPDIWRTSAEKYGDRVALVDPYHDPPTKLTYKELEQEILHFSEGLRVIGLKPNEKLALFADNSCRWLVADQGTMAMGAINTVRGSRSSIEELLQIYTHSESVAIAVDNPELFNRIAETFRSKAVFNFAILLWGDKSCLAVNEIDGIPVFTYKEIIDMGRESRRVLFDSNYAWQGYMCETISSDDIATLVYTSGTTGNPKGVMLTHKNLLHQINNLWEVVPALPGDRFLSMLPSWHAYERACEYFILTCGVEQTYTTVRNLKEDLQRYQPHYVISVPLVYETLYSGIHKQISTSYTIRKLVAFTFIKVSLAYMELKRIYEGTYLARIQKEPSYLVSVLYGLWARIMAAILLPIHMLADKLVYRKIRSSIGMSKAGISGGGSLPLYVDEFFEAIGVKVQNGYGMTESSPVTAARRLTCNVLGSIGHPIRHTKFRIVDAESGETLPDGSKGIVKVKGPQVMKGYYKNPTATKQALDEEGWLNTGDIGWIAPHHSIGRSRRCGGVIVLEGRAKETIVLSTGENVEPSELEEAAMRSALIQQIVVVGQDQRRLGAIVVPNKEEVLLAAKKLSIVDANTSELSKEKTASLVDEELRKWTSGCSFQIGPVLIVDEPFTIENGFMTPTMKIRRDKVMERYKEQIANLHK >Manes.17G026594.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:18896242:18900120:1 gene:Manes.17G026594.v8.1 transcript:Manes.17G026594.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCNFLALSLCFLLLFPRFSFAFFHIPGWTSENRILKKGVFSNAFHPTRITQLSWRPRAFIYKGFLSNEECDHLINLARDKLEKSMVADNESGESIESEVRTSSGMFLFKAQDKIVADIEARIAAWTFLPQENGESMQILHYEHGQKYEPHFDYFRDKVNQELGGHRIATVLMYLSNVERGGETVFPNAGAHMSQPKDDSLSDCAKNGYAVKPSKGDALLFFSLHPNATTDTKSLHGSCPVIEGEKWSATKWIHVRSFDRPLKRSRNGDCVDENENCTMWAKAGECNKNPIYMIGSGTSDGYCRKSCNACTS >Manes.15G180112.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22550537:22551679:-1 gene:Manes.15G180112.v8.1 transcript:Manes.15G180112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGLSIMCKYDSGIPQTFPDKPRVRDDYDPNIICASQLLDPSTNSWDNIRVRNNFVEKDANFILSLSVGGYRQDDRLVWHQERHGRYMKEINSALKGHEESQDFIQMVVFILWSLWKARNNLVFCHIHQQPQDIIASALNHQDMFKAANTLSLPPLRDSDVPSPYWESPRAGVLKVTCDAVSDKFWNMGALAVILRDHNSQVIDWLCVPVSHILDPLVLENMACIGAVSLARNRNINNLIVKGDCKVLFDGLCSGKVPL >Manes.15G158200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13067037:13074873:-1 gene:Manes.15G158200.v8.1 transcript:Manes.15G158200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPAIRSVALTYLSRGVLFIPKAQLFLPRKFFSCQAKFADSGVDGSFSSKVVPPTLLAAEKEEAKAILTLFLKKQGLSNAVAARTINKSDLFIDHLVSRLHSVHKSRYLVGRELTTLEIRDALIPYLESLLEEHRSVLVDLVENFPNPPVKGKPVQPLSPPDITLDSKKLKALSRVSEAGPAGKLPPRTLYLMDLGLNLEQIKGITRRFPAFAYYSLEGKIKPVVEFLLDLGVPKSDLPTILVKRPQLCGISLSENLIPTMTFLENLGVDKRQWAKVIYRFPALLTYSRQKVEVTIDFLYEMGLSEESIGKILTRCPNIISYSVEDKLRPTAEYFRSLGVDVAVLLHRCPQTFGLSIESNLKPVTEFFLGRGYSIQEVGTMISRYGALYTFSLAENVIPKWEFFLTMDYSKEELVKFPQYFGYSLEERIKPRYALVKESGVKLLLNQVLSLSYCDFDKALKKKMKRMLSDKASNDVKSDEDLNKELNSDTS >Manes.14G023800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2256130:2259827:-1 gene:Manes.14G023800.v8.1 transcript:Manes.14G023800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLLPKASYLRFLSNISSKSHLYPLPPHKSNFTLLIRPFSTNHGNGNNNNNDKDQYTSNVWKLSRESDENFNSLFTEDSNDGLSGISDSPAAEEESWLEEKGDDDGRDIFDGIEKDSGALTGADGGNEWLNSEEYKMWSLDEGDEKKDNVFDIEEIAPDAGETSSGISVERDQIEDPKMLEKEEKELTAVLKGPNRAFGDLIAASGITDAMLDSLIALKDFEGVEGLPPLSEIEDMRYEKNTRKSTRAEIERRKQEEVAKARVRQVDDKGRAYGTGRRKCSVARVWIQPGDGKFLVNDKQFDVYFPMLDHRAALLRPLNETKTLGLWDVNCTVQGGGVSGQVGAIQLGIGRALQNWEPDLRPPLRSAGFLTRDPRVVERKKPGKAKARKSFQWVKR >Manes.01G049420.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:14942659:14945295:1 gene:Manes.01G049420.v8.1 transcript:Manes.01G049420.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNKQKEIKSSSSSPTKALSEHSEKVMETIVKPSKPSKTINTQDKNPEDLKRWIEELSKSPEVIKALQNVASSSSSGMNSNPKAIVPVHGTTSLSQTVDSKDKSNPLMAVGLPKIQSSHGYSFYKWTIKPLFDFEIVIENGYNVINPWAVIKKYYPENWYFLPKDFSKSQEYYSSILKETDSVKIKHNFDKNDKTVVAYSSLQIKRVTHPRDWPIPTLYTKITFKTLKKHSTSYNYFDYMDAWKNVFYIQNPTHTHSWSIYFNQSKIKITTQFPNWFLKWWQYKGISEEIISPEYSSGTSIPIIVRKHKIKWWGSFKNTTTEMVVKQWILQRAQLPTVSYAGKLTLQGEPSFGAQKAQCQALLAASKNPEEFKLICQQMYNQLTSSEKEKLNQESSSSKESSKRSSSKKMVKKKSSRRKSKKQSSSDTESTASETSSSENPVSSYDSNEDDCYGILPAIKIKSNTDKCLNFLT >Manes.06G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8468047:8486550:1 gene:Manes.06G032200.v8.1 transcript:Manes.06G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGKAKPHKAKGDKKKKEDKVLPAVIEITVETPDDSQVTLKGISTDRILDVRKLLGVNVETCHLTNFSLSHEVRGPRLKDSVDIVSLKPCHLTIVEEDYTELQAVAHIRRLLDIVACTTSFGPSSSKPAARINSKESSTKDTGLTEAEPTQSLDSSDSGSDSNTKLKRGGGDKKADGANCKIEKDGCKDVEEKGDTAVSMCPPPRLGQFYDFFSFSHLTPPVQYIRRSTRPFLEDKKEDDFFQIDVRVCSGKPMTIVASRKGFYPSGKRLLLCHSLVSLLQQISRTFDTAYKALMKAFAEHNKFGNLPYGFRANTWVVPPVVADNPFVFPPLPIEDENWGGNGGGQGRDAEHDHRHWAKEFAILAAMPCKTAEERQTRDRKAFLLHSLFVDVSVFKAVAAIKRIIDNNQYSLSDPTHLVLHEEKVGDLIIKVARDVPDASIKLDCKNDGSRVLGLSQEELAKRNLLKGITADESATVHDISTLGVVVIRHCGYTAVVKVSDEVNWEGKPIPQDIDIEEQPEGGANALNVNSLRMLLHKSSTPQSSSIVQRVQTGDSECLHSARSLVRKVLEDSLLKLQEETNKHTTSIRWELGACWVQHLQNQASGKTESNKTEEAKPEPAVKGLGKQGALLKEIKKKMDVRSSKIEEGKEVSVDNPNMNKNLDGNQKELEKKELEMETMWKKLLPEAAYFRLKESETGLHLKSPGELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAIVAAVNNVADLAASVASCLNILLGTPSSENDCTEIVNDDKLKWKWVETFLLKRFGWKWKHESCQNLRKFAILRGLSHKVGLELLPRDYEIDTASPFKKTDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHLALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARSKVKGKAGQNWETVSDESQKDETSSPTYPVVENSSDKENKSEVQFAEIRNESTDSSLPDQSIINLSDEKTQEDESNEGWQEAVPKGRSPTSRKSSRRPSLAKLNTNFMNVSQLPRFRGKPTNFTSPRTSPNDSAASSGPSIPVPKKFIKSASFSPKQNNSSATAGGSEKSINPKSAPATPASTDQNSKSAPVASSVSVQVAGRLFSYKEVALAPPGTIVKAVAEQLPKENLPIEPSHQLSQKTATSEDIVGGVTELKDAEKENVKNPEGERKPHSSYERKDPINAEPETEGNSEMMEPPEEKKCVHADHIEKEAVVLENKTANIEVTNGAVLGPENLDTSKESNATSPKSGVLETRDLENCLPVSHDPEPLSVLSENAALLLEKDTSAPSEKLTDENSQDLFKDCTTDKPVTIEGEKQDESESGKETTKKLSAAAPPFNPSTVPVFGSVTVPGFKDHGGILPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNRSGNRVPRNKPTFHSGEHNGDGNHFSPPRIMNPHAAEFVPGQPWVPNGYPLSPNGYLANPNGFSMSPTGIPVSPNGFPASLNGTAAAENGFPATPVNSVETPTLVPIDIGADNKGEAGGETSAENSLAENQPSEQKYHDKPDEIVCPETEEKPTSTVPLSGETAMAKETYNSVLIEEKPSKCWADYSDSEAEIVEVTS >Manes.06G032200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8468128:8486531:1 gene:Manes.06G032200.v8.1 transcript:Manes.06G032200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGKAKPHKAKGDKKKKEDKVLPAVIEITVETPDDSQVTLKGISTDRILDVRKLLGVNVETCHLTNFSLSHEVRGPRLKDSVDIVSLKPCHLTIVEEDYTELQAVAHIRRLLDIVACTTSFGPSSSKPAARINSKESSTKDTGLTEAEPTQSLDSSDSGSDSNTKLKRGGGDKKADGANCKIEKDGCKDVEEKGDTAVSMCPPPRLGQFYDFFSFSHLTPPVQYIRRSTRPFLEDKKEDDFFQIDVRVCSGKPMTIVASRKGFYPSGKRLLLCHSLVSLLQQISRTFDTAYKALMKAFAEHNKFGNLPYGFRANTWVVPPVVADNPFVFPPLPIEDENWGGNGGGQGRDAEHDHRHWAKEFAILAAMPCKTAEERQTRDRKAFLLHSLFVDVSVFKAVAAIKRIIDNNQYSLSDPTHLVLHEEKVGDLIIKVARDVPDASIKLDCKNDGSRVLGLSQEELAKRNLLKGITADESATVHDISTLGVVVIRHCGYTAVVKVSDEVNWEGKPIPQDIDIEEQPEGGANALNVNSLRMLLHKSSTPQSSSIVQRVQTGDSECLHSARSLVRKVLEDSLLKLQEETNKHTTSIRWELGACWVQHLQNQASGKTESNKTEEAKPEPAVKGLGKQGALLKEIKKKMDVRSSKIEEGKEVSVDNPNMNKNLDGNQKELEKKELEMETMWKKLLPEAAYFRLKESETGLHLKSPGELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAIVAAVNNVADLAASVASCLNILLGTPSSENDCTEIVNDDKLKWKWVETFLLKRFGWKWKHESCQNLRKFAILRGLSHKVGLELLPRDYEIDTASPFKKTDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHLALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARSKVKGKAGQNWETVSDESQKDETSSPTYPVVENSSDKENKSEVQFAEIRNESTDSSLPDQSIINLSDEKTQEDESNEGWQEAVPKGRSPTSRKSSRRPSLAKLNTNFMNVSQLPRFRGKPTNFTSPRTSPNDSAASSGPSIPVPKKFIKSASFSPKQNNSSATAGGSEKSINPKSAPATPASTDQNSKSAPVASSVSVQVAGRLFSYKEVALAPPGTIVKAVAEQLPKENLPIEPSHQLSQKTATSEDIVGGVTELKDAEKENVKNPEGERKPHSSYERKDPINAEPETEGNSEMMEPPEEKKCVHADHIEKEAVVLENKTANIEVTNGAVLGPENLDTSKESNATSPKSGVLETRDLENCLPVSHDPEPLSVLSENAALLLEKDTSAPSEKLTDENSQDLFKDCTTDKPVTIEGEKQDESESGKETTKKLSAAAPPFNPSTVPVFGSVTVPGFKDHGGILPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNRSGNRVPRNKPTFHSGEHNGDGNHFSPPRIMNPHAAEFVPGQPWVPNGYPLSPNGYLANPNGIPVSPNGFPASLNGTAAAENGFPATPVNSVETPTLVPIDIGADNKGEAGGETSAENSLAENQPSEQKYHDKPDEIVCPETEEKPTSTVPLSGETAMAKETYNSVLIEEKPSKCWADYSDSEAEIVEVTS >Manes.06G032200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8475382:8486547:1 gene:Manes.06G032200.v8.1 transcript:Manes.06G032200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPPRLGQFYDFFSFSHLTPPVQYIRRSTRPFLEDKKEDDFFQIDVRVCSGKPMTIVASRKGFYPSGKRLLLCHSLVSLLQQISRTFDTAYKALMKAFAEHNKFGNLPYGFRANTWVVPPVVADNPFVFPPLPIEDENWGGNGGGQGRDAEHDHRHWAKEFAILAAMPCKTAEERQTRDRKAFLLHSLFVDVSVFKAVAAIKRIIDNNQYSLSDPTHLVLHEEKVGDLIIKVARDVPDASIKLDCKNDGSRVLGLSQEELAKRNLLKGITADESATVHDISTLGVVVIRHCGYTAVVKVSDEVNWEGKPIPQDIDIEEQPEGGANALNVNSLRMLLHKSSTPQSSSIVQRVQTGDSECLHSARSLVRKVLEDSLLKLQEETNKHTTSIRWELGACWVQHLQNQASGKTESNKTEEAKPEPAVKGLGKQGALLKEIKKKMDVRSSKIEEGKEVSVDNPNMNKNLDGNQKELEKKELEMETMWKKLLPEAAYFRLKESETGLHLKSPGELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAIVAAVNNVADLAASVASCLNILLGTPSSENDCTEIVNDDKLKWKWVETFLLKRFGWKWKHESCQNLRKFAILRGLSHKVGLELLPRDYEIDTASPFKKTDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHLALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARSKVKGKAGQNWETVSDESQKDETSSPTYPVVENSSDKENKSEVQFAEIRNESTDSSLPDQSIINLSDEKTQEDESNEGWQEAVPKGRSPTSRKSSRRPSLAKLNTNFMNVSQLPRFRGKPTNFTSPRTSPNDSAASSGPSIPVPKKFIKSASFSPKQNNSSATAGGSEKSINPKSAPATPASTDQNSKSAPVASSVSVQVAGRLFSYKEVALAPPGTIVKAVAEQLPKENLPIEPSHQLSQKTATSEDIVGGVTELKDAEKENVKNPEGERKPHSSYERKDPINAEPETEGNSEMMEPPEEKKCVHADHIEKEAVVLENKTANIEVTNGAVLGPENLDTSKESNATSPKSGVLETRDLENCLPVSHDPEPLSVLSENAALLLEKDTSAPSEKLTDENSQDLFKDCTTDKPVTIEGEKQDESESGKETTKKLSAAAPPFNPSTVPVFGSVTVPGFKDHGGILPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNRSGNRVPRNKPTFHSGEHNGDGNHFSPPRIMNPHAAEFVPGQPWVPNGYPLSPNGYLANPNGIPVSPNGFPASLNGTAAAENGFPATPVNSVETPTLVPIDIGADNKGEAGGETSAENSLAENQPSEQKYHDKPDEIVCPETEEKPTSTVPLSGETAMAKETYNSVLIEEKPSKCWADYSDSEAEIVEVTS >Manes.06G032200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8475334:8486540:1 gene:Manes.06G032200.v8.1 transcript:Manes.06G032200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPPRLGQFYDFFSFSHLTPPVQYIRRSTRPFLEDKKEDDFFQIDVRVCSGKPMTIVASRKGFYPSGKRLLLCHSLVSLLQQISRTFDTAYKALMKAFAEHNKFGNLPYGFRANTWVVPPVVADNPFVFPPLPIEDENWGGNGGGQGRDAEHDHRHWAKEFAILAAMPCKTAEERQTRDRKAFLLHSLFVDVSVFKAVAAIKRIIDNNQYSLSDPTHLVLHEEKVGDLIIKVARDVPDASIKLDCKNDGSRVLGLSQEELAKRNLLKGITADESATVHDISTLGVVVIRHCGYTAVVKVSDEVNWEGKPIPQDIDIEEQPEGGANALNVNSLRMLLHKSSTPQSSSIVQRVQTGDSECLHSARSLVRKVLEDSLLKLQEETNKHTTSIRWELGACWVQHLQNQASGKTESNKTEEAKPEPAVKGLGKQGALLKEIKKKMDVRSSKIEEGKEVSVDNPNMNKNLDGNQKELEKKELEMETMWKKLLPEAAYFRLKESETGLHLKSPGELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAIVAAVNNVADLAASVASCLNILLGTPSSENDCTEIVNDDKLKWKWVETFLLKRFGWKWKHESCQNLRKFAILRGLSHKVGLELLPRDYEIDTASPFKKTDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHLALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARSKVKGKAGQNWETVSDESQKDETSSPTYPVVENSSDKENKSEVQFAEIRNESTDSSLPDQSIINLSDEKTQEDESNEGWQEAVPKGRSPTSRKSSRRPSLAKLNTNFMNVSQLPRFRGKPTNFTSPRTSPNDSAASSGPSIPVPKKFIKSASFSPKQNNSSATAGGSEKSINPKSAPATPASTDQNSKSAPVASSVSVQVAGRLFSYKEVALAPPGTIVKAVAEQLPKENLPIEPSHQLSQKTATSEDIVGGVTELKDAEKENVKNPEGERKPHSSYERKDPINAEPETEGNSEMMEPPEEKKCVHADHIEKEAVVLENKTANIEVTNGAVLGPENLDTSKESNATSPKSGVLETRDLENCLPVSHDPEPLSVLSENAALLLEKDTSAPSEKLTDENSQDLFKDCTTDKPVTIEGEKQDESESGKETTKKLSAAAPPFNPSTVPVFGSVTVPGFKDHGGILPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNRSGNRVPRNKPTFHSGEHNGDGNHFSPPRIMNPHAAEFVPGQPWVPNGYPLSPNGYLANPNGFSMSPTGIPVSPNGFPASLNGTAAAENGFPATPVNSVETPTLVPIDIGADNKGEAGGETSAENSLAENQPSEQKYHDKPDEIVCPETEEKPTSTVPLSGETAMAKETYNSVLIEEKPSKCWADYSDSEAEIVEVTS >Manes.02G051701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4124905:4128631:-1 gene:Manes.02G051701.v8.1 transcript:Manes.02G051701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQDQLEIKFRLTDGSDIGPKTFPAATSVSTLKESVLAQWPKDKEHGPRTVKDLKLISAGKILENNRTVGECRSPLCDIPGGVTTMHVVVQPSSLDKEKRSSRQSKQNKCVCVIL >Manes.02G051701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4124905:4128631:-1 gene:Manes.02G051701.v8.1 transcript:Manes.02G051701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQDQLEIKFRLTDGSDIGPKTFPAATSVSTLKESVLAQWPKDKEHGPRTVKDLKLISAGKILENNRTVGECRSPLCDIPGGVTTMHVVVQPSSLDKEKRSSRQSKQNKCVCVIL >Manes.11G081466.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15269925:15270219:1 gene:Manes.11G081466.v8.1 transcript:Manes.11G081466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLDFSTAFHPQTDGQSERTIQTIEDMLRMCVLDFGGSWRQHLPLVEFAYNNSYHPLGPH >Manes.01G005512.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2364077:2367369:1 gene:Manes.01G005512.v8.1 transcript:Manes.01G005512.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVTAIQNHPLAEISHSPGHLLLLKLWQREEDLFCRRTGAKESRMEAIKREIFELCCFLLFFHGIFLTILFTSSINSREHSCRKWWIPSFVSLSASLVFVFLVQVKVVRYWKVWRQLQRERDDNRALARCVQELRMKGASFDLSKEPQRGKKMKSSSVEIKWKPLTWCSQYLVNICIVCLSGLIFPASKFIVC >Manes.02G137701.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10481680:10493504:1 gene:Manes.02G137701.v8.1 transcript:Manes.02G137701.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQTTGACVENVLDSVVESLLRNANRKFVFAEMAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKCKGDKSLEVIWRGSKTFGSSSQIFANAFPVHYSPPPGFNFEVFDDFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADRENAYWTGFFTSRPGLKRYVRQLSGFYLATRQLEFLVGKKSNGPSTCSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVVSDSSGKTIEAQYVIMDNVTSNLRKFYQKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGILKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYVFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFVDLRGFGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEETWKAFHLTEGTVMDPDYSLPLNVALITLQELDDGNVLLRLAHLYEEGEDANYSALAKVELNKMFSGKIIRELKEMSISANQYKSEMKRMTWKVEGESGDEASPVRGGPVDSSTFVVELGPMEIRTFLLKF >Manes.02G137701.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10481681:10493235:1 gene:Manes.02G137701.v8.1 transcript:Manes.02G137701.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSESVVTWFFISCFVAGFCLSGKVNGGYVKYNTGGGVVQGKLNVHLVAHSHDDVGWLKTVDQYYVGSNNSIQGACVENVLDSVVESLLRNANRKFVFAEMAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKCKGDKSLEVIWRGSKTFGSSSQIFANAFPVHYSPPPGFNFEVFDDFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADRENAYWTGFFTSRPGLKRYVRQLSGFYLATRQLEFLVGKKSNGPSTCSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVVSDSSGKTIEAQYVIMDNVTSNLRKFYQKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGILKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYVFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFVDLRGFGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEETWKAFHLTEGTVMDPDYSLPLNVALITLQVTVATSCRMNLEFYFSLSLSDHCLAGAG >Manes.02G137701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10481544:10493504:1 gene:Manes.02G137701.v8.1 transcript:Manes.02G137701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQTTGACVENVLDSVVESLLRNANRKFVFAEMAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKCKGDKSLEVIWRGSKTFGSSSQIFANAFPVHYSPPPGFNFEVFDDFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADRENAYWTGFFTSRPGLKRYVRQLSGFYLATRQLEFLVGKKSNGPSTCSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVVSDSSGKTIEAQYVIMDNVTSNLRKFYQKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGILKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYVFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFVDLRGFGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEETWKAFHLTEGTVMDPDYSLPLNVALITLQELDDGNVLLRLAHLYEEGEDANYSALAKVELNKMFSGKIIRELKEMSISANQYKSEMKRMTWKVEGESGDEASPVRGGPVDSSTFVVELGPMEIRTFLLKF >Manes.02G137701.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10481577:10493504:1 gene:Manes.02G137701.v8.1 transcript:Manes.02G137701.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKCKGDKSLEVIWRGSKTFGSSSQIFANAFPVHYSPPPGFNFEVFDDFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADRENAYWTGFFTSRPGLKRYVRQLSGFYLATRQLEFLVGKKSNGPSTCSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVVSDSSGKTIEAQYVIMDNVTSNLRKFYQKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGILKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYVFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFVDLRGFGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEETWKAFHLTEGTVMDPDYSLPLNVALITLQELDDGNVLLRLAHLYEEGEDANYSALAKVELNKMFSGKIIRELKEMSISANQYKSEMKRMTWKVEGESGDEASPVRGGPVDSSTFVVELGPMEIRTFLLKF >Manes.02G137701.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10483750:10493504:1 gene:Manes.02G137701.v8.1 transcript:Manes.02G137701.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTPSFLQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADRENAYWTGFFTSRPGLKRYVRQLSGFYLATRQLEFLVGKKSNGPSTCSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVVSDSSGKTIEAQYVIMDNVTSNLRKFYQKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGILKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYVFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFVDLRGFGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEETWKAFHLTEGTVMDPDYSLPLNVALITLQELDDGNVLLRLAHLYEEGEDANYSALAKVELNKMFSGKIIRELKEMSISANQYKSEMKRMTWKVEGESGDEASPVRGGPVDSSTFVVELGPMEIRTFLLKF >Manes.02G137701.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10482014:10493504:1 gene:Manes.02G137701.v8.1 transcript:Manes.02G137701.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKCKGDKSLEVIWRGSKTFGSSSQIFANAFPVHYSPPPGFNFEVFDDFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADRENAYWTGFFTSRPGLKRYVRQLSGFYLATRQLEFLVGKKSNGPSTCSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVVSDSSGKTIEAQYVIMDNVTSNLRKFYQKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGILKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYVFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFVDLRGFGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEETWKAFHLTEGTVMDPDYSLPLNVALITLQELDDGNVLLRLAHLYEEGEDANYSALAKVELNKMFSGKIIRELKEMSISANQYKSEMKRMTWKVEGESGDEASPVRGGPVDSSTFVVELGPMEIRTFLLKF >Manes.02G137701.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10481681:10493505:1 gene:Manes.02G137701.v8.1 transcript:Manes.02G137701.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSESVVTWFFISCFVAGFCLSGKVNGGYVKYNTGGGVVQGKLNVHLVAHSHDDVGWLKTVDQYYVGSNNSIQGACVENVLDSVVESLLRNANRKFVFAEMAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKCKGDKSLEVIWRGSKTFGSSSQIFANAFPVHYSPPPGFNFEVFDDFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADRENAYWTGFFTSRPGLKRYVRQLSGFYLATRQLEFLVGKKSNGPSTCSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVVSDSSGKTIEAQYVIMDNVTSNLRKFYQKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGILKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYVFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFVDLRGFGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEETWKAFHLTEGTVMDPDYSLPLNVALITLQELDDGNVLLRLAHLYEEGEDANYSALAKVELNKMFSGKIVRTCV >Manes.02G137701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10481585:10493504:1 gene:Manes.02G137701.v8.1 transcript:Manes.02G137701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSESVVTWFFISCFVAGFCLSGKVNGGYVKYNTGGGVVQGKLNVHLVAHSHDDVGWLKTVDQYYVGSNNSIQGACVENVLDSVVESLLRNANRKFVFAEMAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKCKGDKSLEVIWRGSKTFGSSSQIFANAFPVHYSPPPGFNFEVFDDFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADRENAYWTGFFTSRPGLKRYVRQLSGFYLATRQLEFLVGKKSNGPSTCSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVVSDSSGKTIEAQYVIMDNVTSNLRKFYQKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGILKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYVFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFVDLRGFGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEETWKAFHLTEGTVMDPDYSLPLNVALITLQELDDGNVLLRLAHLYEEGEDANYSALAKVELNKMFSGKIIRELKEMSISANQYKSEMKRMTWKVEGESGDEASPVRGGPVDSSTFVVELGPMEIRTFLLKF >Manes.05G040300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3270418:3274058:-1 gene:Manes.05G040300.v8.1 transcript:Manes.05G040300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPHLLQTISPIAPPKPRGKVRPSLPAHNSTADVHRRMLSITCGTKRSPDDYHATLRALNSRGRRPRKSFGQHYMLNSEVNEQLAGAANVQEGDVVLEIGPGTGSLTNVLLDKGAIVLAIEKDPHMAALVKQRFSHTDRFKVWQEDFVKCHIRSHMFLLFESISSSDQKPRHAKVVSNIPFNISTDVVKQLLPMGDVFSEVVLLLQEETAQRLVESSLRTSEYRPINIFINFYSG >Manes.05G040300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3270418:3274058:-1 gene:Manes.05G040300.v8.1 transcript:Manes.05G040300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPHLLQTISPIAPPKPRGKVRPSLPAHNSTADVHRRMLSITCGTKRSPDDYHATLRALNSRGRRPRKSFGQHYMLNSEVNEQLAGAANVQEGDVVLEIGPGTGSLTNVLLDKGAIVLAIEKDPHMAALVKQRFSHTDRFKVWQEDFVKCHIRSHMFLLFESISSSDQKPRHAKVVSNIPFNISTDVVKQLLPMGDVFSEVVLLLQEETAQRLVESSLRTSEYRPINIFINFYSG >Manes.05G040300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3270418:3274058:-1 gene:Manes.05G040300.v8.1 transcript:Manes.05G040300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDECYPLPVARREAQTITTPHSELSTLGAADPGNPLHYMLNSEVNEQLAGAANVQEGDVVLEIGPGTGSLTNVLLDKGAIVLAIEKDPHMAALVKQRFSHTDRFKVWQEDFVKCHIRSHMFLLFESISSSDQKPRHAKVVSNIPFNISTDVVKQLLPMGDVFSEVVLLLQEETAQRLVESSLRTSEYRPINIFINFYSDPEYKFKVPRSTFFPQPNVDAAVVAFKLKQAADYPAVSSTKSFFSMVNSAFNGKRKMLRRSLQHICASPEIEEALENVGLPATSRPEELTLDDFVKLHSLIARV >Manes.05G040300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3270418:3274058:-1 gene:Manes.05G040300.v8.1 transcript:Manes.05G040300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPHLLQTISPIAPPKPRGKVRPSLPAHNSTADVHRRMLSITCGTKRSPDDYHATLRALNSRGRRPRKSFGQHYMLNSEVNEQLAGAANVQEGDVVLEIGPGTGSLTNVLLDKGAIVLAIEKDPHMAALVKQRFSHTDRFKVWQEDFVKCHIRSHMFLLFESISSSDQKPRHAKVVSNIPFNISTDVVKQLLPMGDVFSEVVLLLQEETAQRLVESSLRTSEYRPINIFINFYSDPEYKFKVPRSTFFPQPNVDAAVVAFKLKQAADYPAVSSTKSFFSMVVSFPMPNLLCMTSFFWGDIGW >Manes.05G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3270418:3274058:-1 gene:Manes.05G040300.v8.1 transcript:Manes.05G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPHLLQTISPIAPPKPRGKVRPSLPAHNSTADVHRRMLSITCGTKRSPDDYHATLRALNSRGRRPRKSFGQHYMLNSEVNEQLAGAANVQEGDVVLEIGPGTGSLTNVLLDKGAIVLAIEKDPHMAALVKQRFSHTDRFKVWQEDFVKCHIRSHMFLLFESISSSDQKPRHAKVVSNIPFNISTDVVKQLLPMGDVFSEVVLLLQEETAQRLVESSLRTSEYRPINIFINFYSDPEYKFKVPRSTFFPQPNVDAAVVAFKLKQAADYPAVSSTKSFFSMVNSAFNGKRKMLRRSLQHICASPEIEEALENVGLPATSRPEELTLDDFVKLHSLIARV >Manes.15G136200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11024691:11026486:1 gene:Manes.15G136200.v8.1 transcript:Manes.15G136200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSDENDRTIRVAGQKEEEEEEILKKRILLHPLFSLLVETHIDCIKVALGEIQDNDKANGKEEAKLKLETLNCADISEFDLFMEAYCIMLNKLKEAMKEPLQETESFIEGMYKQLNEINENHPEPNSSA >Manes.15G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11024691:11026486:1 gene:Manes.15G136200.v8.1 transcript:Manes.15G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSDENDRTIRVAGQKEEEEEEILKKRILLHPLFSLLVETHIDCIKEAYCIMLNKLKEAMKEPLQETESFIEGMYKQLNEINENHPEPNSSA >Manes.01G174600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35575730:35584517:-1 gene:Manes.01G174600.v8.1 transcript:Manes.01G174600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLRGAKSFCHIDALRKSSKSPWIYLSLFSCSDATHTKAKCAPLQETSMRDRFTLHAKGGDGGNGCSSFRRSRHDRRGRPDGGNGGRGGDVILECSPAIWDFSGLHHHVNAVRGGNGASKSMIGTRGEDKVVQVPIGTVIHLLKGELPSTVQNCSKTDLDPWELPGTLHTDQSESHWQSISKSTNMEKEAEPSDISGGSLTQAKGTSEEFASIQAIQREPADVEHIHYDVAELTNLGQQIIVARGGEGGLGNVSSPDVSKKAKLSKPGVNRDIVLDPDMSSEDQSCLSSGLPGSEAVLLLELKSIADVGLVGMPNAGKSTLLGALSRAKPRVGHYAFTTLRPNLGKLKFDDFSITVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAAGLDGRKGFPPWEQLKDLVLELEHHQEGLSDRPSLVVANKIDEAGADEVYEELKRRVQDVPIYPVCAVLEEGVPELKAGLRMLMDSVKLQRLSLDKIDCS >Manes.15G152600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12673249:12674565:1 gene:Manes.15G152600.v8.1 transcript:Manes.15G152600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLSAKNLGTTVATFCFCIQCTHLFLTVNLKFSFIYSSEKTDKTSPLRSPFSSFHSSKTHLEMASASRIPYQRLKHEDLFGDHEERERLIGRPSSRSWQRLKRMNQRKRFRLKIPSLRRFLRRKVKLVHAAYAKVLKRFKEGQAHFGDLFAGNYLFLQVNPNSLKRFEKAYHRDSNGLPPTLPLPSIA >Manes.06G042200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13131215:13138540:-1 gene:Manes.06G042200.v8.1 transcript:Manes.06G042200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMILLLKESWCCDGCLENERLALLQIKSHFNSSSSFMSAWGITADCCSWVRVGCNSTTGHVVKLSLDDVRSTEGDYWYLNASLFLPFQQLNSLSLWGNNIAGCIKNEGFESFHKSILSSLSGLSSLKYLYLEANRLKGIINIEEIRASNNISELYLDDMNITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGLPYLEDLEDLNLNFSIINDNFLQKIGAMSSLKKLSLRGCSGLNDTKFLNQGVCKLKQLQELDISYNDISSSLPSCLANMTSLQSLDLSSNNFVGNISLSPLRDLTNLEYLDLSNNLFQIPISLSPFFNHSKLKHFESWGNNEIYGEKTEQNLTPIFQLETLYIDGYACIEAFPKFLYYQHNLRVASLQSLKLRGRFPYWLLQNNTKLEAFYLNNNSLSGPLQLPFHFHLNLSVLDISDNLFHGIIPLDIGTHFPRLKLVDLSKNDFNGSIPSSFRNMSLLQILDLSNNHISGSLPSDFSCSNMIGVHLSRNQLQGSLEDAFFDCLPLVVLDLSHNNMTGSIPLKFKLLHLCIGMQEIIMKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSVWGITADCCSWVNVDCYSEELLKLSNLEYLDLDYNHINISLLSSLARLSSLKYLDLKYNQLKGP >Manes.01G233200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39674524:39678061:1 gene:Manes.01G233200.v8.1 transcript:Manes.01G233200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRGIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDNGARVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANDLARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMITINLDLKRGGNSRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >Manes.14G041710.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:314558:321836:1 gene:Manes.14G041710.v8.1 transcript:Manes.14G041710.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVADGAGNPYLFSTNNFAGRQTWEYDADAGTPEERAQVEDARLKFYRNRYQVKCCSNLLWQYQALHEKNFEQRIPPVRIENGEEIAWKKTTAAIRRSAHFLSALQASDGHWPAENAGVLYFTPPFVFCLYITGHLNTFLHAEYRREILRYLYYHQNEDGGWGLDIESHSCMFCTVLSYICMRMLGEGPDGGQDNACARARKWILDHGGATYISSWGKTWLAILGLFDWSGCNPMPPEFWILPSLVPVHPAKMWCYCRLVYMPMSYLYGKRFVAPVTPLILELREEIFSQPYHTIPWKSVRHLCAKEDLYYPHSLIQNVMWDTLYVLSEPLLTRWPLNNIIREKALQATMHHIHYEDENSRYITIGCVEKALCMLACWVEDPEGICFKKHLARVPDYMWLAEDGLKVSGFGSQSWDASFTFQALFFSDLGDEIMPALAKAYEFIRNSQIKDNPAGDFNSMFRHISKGGWPFSDQDHGWQVSDCTAEGLKCLLYGSQLPPEAIGDKVEPQRLYDAVNVILSLQSKNGGLPPWEPVRGAMWLEKLNPMEFLENIVIEHEYVECTSSAIDALVMFKKLHPEHRTKEIENFITNAAQYVQNIQTADGSWYGNWGICFLYGTWFALVGLSTAGKTYEECPAVRKGVDFLLKNQSPDGGWGESFLSCPNKIYTPLKEWRSNLVHTAWAMLGLMRAGQAKRDPTPLHRGAKLIINSQMEDGSFPQQEILGAFKNNCMLHYPIYKDCFPLWALAEYRKHCLLPSYSI >Manes.14G041710.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:314558:321828:1 gene:Manes.14G041710.v8.1 transcript:Manes.14G041710.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKVADGAGNPYLFSTNNFAGRQTWEYDADAGTPEERAQVEDARLKFYRNRYQVKCCSNLLWQYQALHEKNFEQRIPPVRIENGEEIAWKKTTAAIRRSAHFLSALQASDGHWPAENAGVLYFTPPFVFCLYITGHLNTFLHAEYRREILRYLYYHQNEDGGWGLDIESHSCMFCTVLSYICMRMLGEGPDGGQDNACARARKWILDHGGATYISSWGKTWLAILGLFDWSGCNPMPPEFWILPSLVPVHPAKMWCYCRLVYMPMSYLYGKRFVAPVTPLILELREEIFSQPYHTIPWKSVRHLCAKEDLYYPHSLIQNVMWDTLYVLSEPLLTRWPLNNIIREKALQATMHHIHYEDENSRYITIGCVEKALCMLACWVEDPEGICFKKHLARVPDYMWLAEDGLKVSGFGSQSWDASFTFQALFFSDLGDEIMPALAKAYEFIRNSQIKDNPAGDFNSMFRHISKGGWPFSDQDHGWQVSDCTAEGLKCLLYGSQLPPEAIGDKVEPQRLYDAVNVILSLQSKNGGLPPWEPVRGAMWLEKLNPMEFLENIVIEHEYVECTSSAIDALVMFKKLHPEHRTKEIENFITNAAQYVQNIQTADGSWYGNWGICFLYGTWFALVGLSTAGKTYEECPAVRKGVDFLLKNQSPDGGWGESFLSCPNKIYTPLKEWRSNLVHTAWAMLGLMRAGQAKRDPTPLHRGAKLIINSQMEDGSFPQQEILGAFKNNCMLHYPIYKDCFPLWALAEYRKHCLLPSYSI >Manes.16G022800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2482566:2489618:-1 gene:Manes.16G022800.v8.1 transcript:Manes.16G022800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDPNPFDEEEVNPFSDPAVRGKASGQQRFSGGAFYTASAPPVSNSRLSPLPPEPADFGYDHGATVDIPIDSTTDLKKKEKQLQAKEAELRRREQDVKRREDAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRIQYVAFTTFLGLVLCLFWNVIAVTTAWIKGEGVRIWFLAIIYFIAGVPGAYVLWYRPLYRAFRTESAMKFGWFFLFYMVHVGFCIVAAVAPPIVFKGKSFTGILSAIDVVGNHALVGIFYFIGFGLFCVELVLSLWVIQQVYMYFRGSGKAAEMKREAARGAMRAAI >Manes.07G038855.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4661680:4683634:1 gene:Manes.07G038855.v8.1 transcript:Manes.07G038855.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCNTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKISNEPLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKPTGSDKAILDGKKPLGFRKSLDYYEGKQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPKQEKAIACKRLWII >Manes.S031116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:728729:732006:-1 gene:Manes.S031116.v8.1 transcript:Manes.S031116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSRCGCRTLNTGGRAMQPVLVCVLGGVGLLLGGVLRSSGSWMDVGAVVVLQYLGWGDELGANAFVKALRVLGGGRGDIMPCSILCASGVEAHAAAEWQFPLVAVAHCCSCRCVPLWWLLLLRLWG >Manes.01G249700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40878485:40884619:1 gene:Manes.01G249700.v8.1 transcript:Manes.01G249700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQLRAQIGAVAKADGSAVFEMGNTKVIAAVYGPREVQNRSQQIGDQALVRCEYSMANFSTGDRKRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMHDLVTSCSAGYLNSTPLLDLNYVEDSAGGPDITVGILPKLDKVTLLQMDAKLPVDIFENVMQLAIEGCKAIATYIGEVLLENTKQLEYRKGL >Manes.01G249700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40878546:40884621:1 gene:Manes.01G249700.v8.1 transcript:Manes.01G249700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQLRAQIGAVAKADGSAVFEMGNTKVIAAVYGPREVQNRSQQIGDQALVRCEYSMANFSTGDRKRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMHDLVTSCSAGYLNSTPLLDLNYVEDSAGGPDITVGILPKLDKVTLLQMDAKLPVDIFENVMQLAIEGCKAIATYIGEVLLENTKQLEYRKGL >Manes.01G249700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40878525:40884619:1 gene:Manes.01G249700.v8.1 transcript:Manes.01G249700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQLRAQIGAVAKADGSAVFEMGNTKVIAAVYGPREVQNRSQQIGDQALVRCEYSMANFSTGDRKRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMHDLVTSCSAGYLNSTPLLDLNYVEDSAGGPDITVGILPKLDKVTLLQMDAKLPVDIFENVMQLAIEGCKAIATYIGEVLLENTKQLEYRKGL >Manes.01G249700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40878546:40884621:1 gene:Manes.01G249700.v8.1 transcript:Manes.01G249700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQLRAQIGAVAKADGSAVFEMGNTKVIAAVYGPREVQNRSQQIGDQALVRCEYSMANFSTGDRKRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMHDLVTSCSAGYLNSTPLLDLNYVEDSAGGPDITVGILPKLDKVTLLQMDAKLPVDIFENVMQLAIEGCKAIATYIGEVLLENTKQLEYRKGL >Manes.01G249700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40878573:40884539:1 gene:Manes.01G249700.v8.1 transcript:Manes.01G249700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVSPEGLRLDGRRPMEMRQLRAQIGAVAKADGSAVFEMGNTKVIAAVYGPREVQNRSQQIGDQALVRCEYSMANFSTGDRKRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMHDLVTSCSAGYLNSTPLLDLNYVEDSAGGPDITVGILPKLDKVTLLQMDAKLPVDIFENVMQLAIEGCKAIATYIGEVLLENTKQLEYRKGL >Manes.16G064300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25053995:25058670:-1 gene:Manes.16G064300.v8.1 transcript:Manes.16G064300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSADNCCIATMLKQILRKIPRKSSKSDSLDSTGIDSGNNSSNWDNGVSCTSGGSSFSSRLNVVKRVSSAVFPASIMAGVEAVEPHISFKDVSNLQKQNLFVSKLNFCCKAFDVSDPDKIASQQDIKRQILLELVDFVSSGSAKFNEPAMAAMCKMCATNLFRVFPPKYHSNNTGGETEDEEPMFDPDWSHLQCVYDLLLKFINSVDAKAAKKYIDHAFIMRLLDLFDSEDPRERDCLKTILHKIYGNFMVHRPFIRKAVNNIIYNFVFETDRHNGIAELLEIFGSVISGFALPLKEEHKMFLWRALIPLHKPKSVGIYHQQLTYCVVQFIDKDPKLASSVIKGLLKYWPVTNSQKELMFISEIEEILEMTSMDEFQKIMVPLFRRIGCCLNSSHYQVAERAHLLWNNERILNLIVQNRQVIVPLVFSALERNTQNHWNQAVLNLTQNIKKMFSEMDEELVLACQCKLKEEDSMLSAKAEKRRLTWERLENAAGFQSTADNILSPVKPATCSVAC >Manes.16G064300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25054078:25058625:-1 gene:Manes.16G064300.v8.1 transcript:Manes.16G064300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSADNCCIATMLKQILRKIPRKSSKSDSLDSTGIDSGNNSSNWDNGVSCTSGGSSFSSRLNVVKRVSSAVFPASIMAGVEAVEPHISFKDVSNLQKQNLFVSKLNFCCKAFDVSDPDKIASQQDIKRQILLELVDFVSSGSAKFNEPAMAAMCKMCATNLFRVFPPKYHSNNTGGETEDEEPMFDPDWSHLQCVYDLLLKFINSVDAKAAKKYIDHAFIMRLLDLFDSEDPRERDCLKTILHKIYGNFMVHRPFIRKAVNNIIYNFVFETDRHNGIAELLEIFGSVISGFALPLKEEHKMFLWRALIPLHKPKSVGIYHQQLTYCVVQFIDKDPKLASSVIKGLLKYWPVTNSQKELMFISEIEEILEMTSMDEFQKIMVPLFRRIGCCLNSSHYQVAERAHLLWNNERILNLIVQNRQVIVPLVFSALERNTQNHWNQAVLNLTQNIKKMFSEMDEELVLACQCKLKEEDSMLSAKAEKRRLTWERLENAAGFQSTADNILSPVKPATCSVAC >Manes.16G064300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25053995:25058766:-1 gene:Manes.16G064300.v8.1 transcript:Manes.16G064300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILRKIPRKSSKSDSLDSTGIDSGNNSSNWDNGVSCTSGGSSFSSRLNVVKRVSSAVFPASIMAGVEAVEPHISFKDVSNLQKQNLFVSKLNFCCKAFDVSDPDKIASQQDIKRQILLELVDFVSSGSAKFNEPAMAAMCKMCATNLFRVFPPKYHSNNTGGETEDEEPMFDPDWSHLQCVYDLLLKFINSVDAKAAKKYIDHAFIMRLLDLFDSEDPRERDCLKTILHKIYGNFMVHRPFIRKAVNNIIYNFVFETDRHNGIAELLEIFGSVISGFALPLKEEHKMFLWRALIPLHKPKSVGIYHQQLTYCVVQFIDKDPKLASSVIKGLLKYWPVTNSQKELMFISEIEEILEMTSMDEFQKIMVPLFRRIGCCLNSSHYQVAERAHLLWNNERILNLIVQNRQVIVPLVFSALERNTQNHWNQAVLNLTQNIKKMFSEMDEELVLACQCKLKEEDSMLSAKAEKRRLTWERLENAAGFQSTADNILSPVKPATCSVAC >Manes.07G017000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1971782:1975511:-1 gene:Manes.07G017000.v8.1 transcript:Manes.07G017000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRTLYAWGVAILCFVVLMIVTPAIPQSEEYHDFADQREFFGIPNTLNVISNFPFLVIGVIGLVLCYHGNYFKLSLQGELWGWTCFFLGVAAVAFGSGYYHLKPNDARLVWDRLPMTVAFTSIIAIFIIERIDERKGTISILPLILAGIISIAYWRFFDDLRPYALVQFVPCIAIPLMAILLPPIYTHSAYWLWAAGFYLLAKVEEATDKPIYRWTHHFVSGHTLKHLCAAMVPVFLTLMLAKRSIETHRISLYKKWKISWTEVRENGGKVESYTSTYRSVPVVETP >Manes.09G164800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35844017:35847850:1 gene:Manes.09G164800.v8.1 transcript:Manes.09G164800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFSYRANALLTFSVTILALMCAMTSLSDNLNSPSPSSQIQILNINWFQKQPHGNDEVSLTMNITADLRSLFTWNTKQVFVFVAAEYETPKNSLNQVSLWDAIIPTKEHANFWVQTANKYRLVDQGSNLRGKEFNLTLHWHVMPKTGKMFADKIVMSGYRLPEDYR >Manes.07G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:667914:671399:1 gene:Manes.07G004100.v8.1 transcript:Manes.07G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSACSLRTLNAISPLPFHLRSRTLLLRPFYLTQFRKRHFFSSLSFSQRFSPLCSVSERGNGNSLPNGVGEAVSEVPRNKFLQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGFQVDSTKLKRAGLDYWPYVVVKVHDSWTEFREYFRQQEGDKRLLAFTKRGTTTHSEFSYRRGDYLIFGSETSGLPPEALVDCKNEVIGGGTIRIPMVETYVRCLNLSVSVGIALYEASRQLNYEQLKFPSETWSNNEQTFITEDIFA >Manes.17G033105.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22872686:22873887:1 gene:Manes.17G033105.v8.1 transcript:Manes.17G033105.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAPNSDQIPLKKGTWSPEEDHKLIAYINRYGIWNWTQMPKAAGLSRSGKSCRLRWINYLRSNIRHGNFTKQEEETIINLHEMLGNGWSAIAARLPGRTDNEIKN >Manes.07G034800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3789943:3791446:1 gene:Manes.07G034800.v8.1 transcript:Manes.07G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMAVSSTDSFDLTDFVINKGNGVKGLSDLGIKSLPSQYIQPQEALINIIPQKSIPVIDMSNWENDSKVAESVCEAAEEFGFFQLVNHGVPLEVLDGVKDATHRFFGLPAEEKRKFSKELSSTNNIRFGTSFSPDAEKALEWKDYLSLFYVSEEEASVLWPSACRDEVLEYMKKSQVLCTKLMSTLMEKLNVKEIDESKESLLMGSKRINLNYYPRCPNPQLTVGVGRHSDVSSLTFLLQDEIGGLYVRINEGKGDEDGWVHVPPIEGSLVINVGDALQILSNGRYKSVEHCVIASGSKNRISIPIFVNPKPNDVIGPLPELIAAGEKPKYKNILYSDYVKHFFRKAHDGKKTVAFAEISS >Manes.18G059001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5232038:5243140:-1 gene:Manes.18G059001.v8.1 transcript:Manes.18G059001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGLEVFDFREEDELAEYIAGKIIGNELSRMRNRFFYSSEFSFHCGHRFFTSHIEIAQGSDAVIRGSGTITCVDINQIECGNSSNNATACASLEAVTEESTTIKEGNSHLHAAFQSESLSHEKDFCSKMDNHEFRSSFTQQERRFPFHEATSPGKTLSNFAFSASSSNNEPLVYAKSNANGSMDENSPSTPAYDVAENCAVLNGRSSNNHFRASGTVYCYVVYRNNYSTGCLVTFSCGGIKMSGVPANGDQGTFSFEREIDDIIRIESQHPQRFGTTTVKLLLLSKDAAQAADAYGVEQLEFAVEPTWSRKLEEIASMNVKYSALSAMVHNTDVSMDEQSDLLQRRPYFPIFDEAVEDVVYPKGDSDAVSICKRDFDLLQPETFINDTIIDFYIKYLKNQIPLQEKDRFHFFNSFFFRKLADLDKDPSSASDGRAAFLRVHKWTRRVDIFGKDYIFIPVNFNLHWSLLVICHPGEVAGFKDEDLGKSLRVPCVLHMDSIIGTHAGLKNLVQSYLWEEWKSRQKGTSEDLSSKFLNFRFLPLELPQQENSFDCGLFLLHYLELFLAEAPLNFSPFKINELSKFLNVDWFPPVEASLKLTLIQRLISELLENHSRAISGNCGVLREFFEQGIAGASLLAESSSFDQPSYYRLNGAQQIEDDAKTGEPPGYFPSGDVVFQQIGQSGSVPYPLRGFGTNPSWNPGISMQGEDVGASSDDSDVGIIENCPIGKDLDLCPKEKIDQESPESMENMGSSTDGFAAYSSEMLETSAIKGIGDPERTHDANGNGDLALCQGNPITLMVENGLHQHSEKAESDEKQAVKDYVIAESDEKQTIKVNVIAESDDRPTIEGDVMAESDEKQTLGDNVMAESDEKPRIEDNVMAESNEKPMIEGNVTTESEKPIIQGNVVSESDDKPTYEDNVMAESEEQPPAKRRCYICSLGCPLRYLFIIIVNLDLT >Manes.02G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1340037:1345183:1 gene:Manes.02G013600.v8.1 transcript:Manes.02G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSLALLLKFCDAEFSFSSFFRGHHIFSTKESFIRCFHATPELLARRRNHDEPVGLKIRPKGKFRKKDRKPPIEALYVAPELKRNTKSLQDKTLDVFGGITIVELAKRTGESIATLQDILVNVGEKPGSEFDPLSIDVAELVGMEVGINVRRQHSNEGAVILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVGMPSGSSITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIIVAINKCDKPAANPERVKIQLASEGLQLEEMGGDVQVVEVSAVKHTGLDDLEEALLLQAEIMDLKARVDGPAQAYVVEARLDKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDMVGQSTDRAKPAMPIEIEGLKGLPMAGDDIVVVESEERARMLSEGRKRKFEKDRLLRMIDERTETKETSDDMPVRKRIEVPIIVKADVRGTVQAVTDALKTLNSPQVFVNIVHVGVGPISHSDVDLAQACGACIVGFNVKTPPSSVSLAATRAKIKIMQHRVIYHLLEEAGNLMVDKAPGTCETQVAGEAEVLNIFELKGRSKSVGAEVKIAGCRVIDGHVSKSAIMRLLRSGEVVFEGSCTSLKREKQDVEKVGKGNECGLVLGDCDDFRIGDVVQCLEQVVRKPKFISSESGAVRIEC >Manes.18G113400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11355705:11358321:-1 gene:Manes.18G113400.v8.1 transcript:Manes.18G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYTTILFGDCDEFESFLAEEVEGFVRQLASHQPSHDLRFPLMVLMGDYDDASTMEELATDEDDPQTQPASAEFVEKLETVRIQESGLDCSICLEQLSIGSEGKKLPCSHLYHGKCIDEWLKKSKTCPLCRAH >Manes.15G142000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11507718:11509430:-1 gene:Manes.15G142000.v8.1 transcript:Manes.15G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVRKISGAFMFTVMVLVLLNTACQAKLNSKYYEKSCPKALVTIRSTIRTAIARERRMAASLIRLHFHDCFVQGCDASILLDETSSIKSEKTALPNLNSARGFEVIEKAKSEVEKICPGVVSCADIIAVAARDATEHVAGPSYTVLLGRRDSTTASRDRANKELPSFRDGLNKLISRFQKVGLNARDLVALSGSHTLGQAQCATFRDRIYSNGSDIDAGFATTRRRRCPAVGGDGNLAPLDLVTPNSWDTNYFKNLIQKKGLLESDQVLFSGGSTDSIVKEYSKNRAAFNADFASAMIKMGNYKPLTGSKGQIRRICSAVNK >Manes.12G040100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3525205:3532835:1 gene:Manes.12G040100.v8.1 transcript:Manes.12G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIQGSQAQNIEKPIPGCLGRMVNLFDLSNGATGNRLLTDKPHGHGSLLPRSRSDVARMPSAPFADQIEDKMIVSELRRSSSNKKASGTPMKTLIAQEMSKEVDSRHNPPNVVAKLMGLDTLPRQQSNSAAERSHSKGYSRRSLSHSEILVGCWEQDHGYLDKRTQHEQQNEYKDVYEIWQQSQKTNVRDSSPHKGRHNESTNEKKMVIVRQKFMEAKRLATDEKGRQSKEFQDALEILSSNRDLFLKFLQEPNSMFSPRLYDMHSIHPPPETKRITVLRPSKAVDNEKFAGSGAKYDKQANKPVHAGQVTLCDKNNTGCSPTFANERFEEYPAQPTRIVVLKPSPGKTHDIKAGVSPPISSPSILQGEEFYDEPEHDEGQESREVAKEITLQMRENLLGHRRDETLLSSVFSNGYIGDDSSFNKSENEYAVGNLSDSELMSPTSRHSWDYINRFGSPYSCSSFSRASCSPESSVCREAKKRLSERWAMMTSNGSFQEQKNNRRSSSTLGEMLALSDTKKSVRSEEEASNMEQDLRGSTSCLTGNLNKEEGMVDSPKSLLRSRSVPVSSTVYGAGLNVEVSDSQAGRKEVSKELRKAKSTSSSLKGKVSSLFFSRSKKSNKEKSGGSQSKDENHSPTPETPGSPIPLPGKVGDDSSQCTNSNGLEDCLSSGSRGPSNKTTCPDLIDMATKQGLVSREAPLSVAKTAGPGNLSENQDQPSPISVLEPPFEEDDNTVPELSSNFRLNRHGAEVPLKSNLIDKSPPIESIARTLSWDDSCVETATPYSLKPSSISSCAEEEEQEDWPAFIQLLLSAAGLDGNMQLDSFFVRWHSLESPLDKALRNKYASLNDKELLHEAKRRQRRSSRKLVFDCVNAALMEIAGCGSDRNPMGMPCTGANTRLAQGASPMLVEHLWAQMKEWFCGEVRCTLEDSGDSSRLAVERVVRKEVVGKGWSDNMRAELDNLGKEIEDKLLTELVEDAVADLTSWV >Manes.12G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1325045:1329359:-1 gene:Manes.12G013500.v8.1 transcript:Manes.12G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTSGLESAASLFGAGNELFDGFSTAHSFELPVTNNFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDSGFRYDLSIEEAAELARRAIYHATFRDGASGGVASVYYVGPNGWKKLSGDDVGELHYRYYPVMPSTVEQEMVEVAGA >Manes.10G123700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28892861:28896032:1 gene:Manes.10G123700.v8.1 transcript:Manes.10G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFSGRANALENSTKHCWRCFRRSVFTAEAAQFTQPPCISPSQFDSYAYGAMIRDCIQTGDVFVGKALHCEILKKGNFLDLFARNILLDFYVKSDALPDAIKIFDEMPDRNTISFVTLIQGYSQSFQLVEAFELFFGLHREGHDLNPFVFTTILKLIVSVEWPKLGCCIHACICKLGHDSNAFVGTALIDVYTICGYVDSARQVFDAIGYKDMVSWTGMVACYAENDHFEESLQLFSQMRMSGFRPNHFTFTGVLKACIGLEAFDVGKGIHGCALKSRYELDLYVGLGLLDLYTNSGESHAALRAFEDIPKTDVIPWSFMIARYAQSNQSKEALELFCQMRQAFILPNQFTFASVLPACAAMENLDLGKQIHSYVLKSGFGINIFVSNALMDMYAKCRRVENSMELFMESPNRNEVSWNTMIVAYVQSGDVEKALRLFKNMLAFEVQATEVTYSSALCACSSLAAMEPGVQIHSLSVKTVYDKDVVVGNALIDMYAKCGSIQNARLVFDMLNERDEVSWNAMISGYSMHGLSGEALKVFQMMQETACKPNKLTFISILSACSSAGLLDIGQTYFKSMVQDYGIEPCMEHYTCMVSLLGKSGHLDKAAKLIEEIPFEPSVMVWRALLGACVIYNDVELGSACAQRIFEIDPQDEAAHVLLSNMYATARSWENVASVRKSMKKKGVKKEPGLSWIENQGSVHYFSVGDTSHPDMKLINGMLEWLNMKTRKAGYVPNLNAVLLDVEDDEKERRLWGHSERLALAFGLIRTPSTGHIRIIKNLRICADCHSAIKFTSKIVQRDIIIRDMNRFHHFHDGICSCSDYW >Manes.09G162500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35683375:35692247:1 gene:Manes.09G162500.v8.1 transcript:Manes.09G162500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESIEEGELSSPLLLNDRSQIRGRDDANVGGDGQSGGSSATTALVISTLVSVSGSYVFGSAVGYSSPTQAGITHDLDLSLAEYSVFGSIITIGAMIGSLMSGRIADYIGRRGVIGFSEIFCIVGWLAIAFSKSSWWLGIGRLLVGYGIGLLAYVVPIYIAEITPKNIRGGFTTLHQLMICCGASVMFLIGAFVSWRILALIGTFPCLVQLLGLFFIPESPRWLAKIGRWKDCEAALQHFRGDSVDISDEAAEIRDYTETLQQHSEATIFELFKRKYVYSLIVGVGLMVLQQFGGVNGIAFYASSIFVSAGFSGSVGTIAMAVVQIPMTALGVVLMDISGRRPLLMISAAGTCLGCFFVAMSFLSQSLHKWIEFSPFLALMGVLMYIGSFSLGMGGVPWVIMSEVFPINMKGSAGSLVTVVGWLCSGIISYAFNFLMNWSSAGTFFIFSSVCGLTVLFVAKLVPETKGRTLEEIQASMNSFSSK >Manes.11G091700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13356458:13357373:1 gene:Manes.11G091700.v8.1 transcript:Manes.11G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFMFLNKFTLLFLIASTALSSPSFAGRRSKFLDKLANQVDASNYEELSSKTSDQDEASIIHERVLRANTKDYGNYDPAPALVKPPFKLIPN >Manes.08G150800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39201392:39204879:-1 gene:Manes.08G150800.v8.1 transcript:Manes.08G150800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSILESIGVELIGVMSPVSICMLLVVLIVYSLSPSNPFSDAASGPPIRTAANLVYVENPSDSAAQKLEGALLNALVFVIVIAVFTFILVLLYYYKFNNFLKNYVRFSTFFVLGSMGGSIFLSLIQHFSVPVDSITCFIMLFNFTIVGVLSVFSGGIPIFLRQGYMVALGIFVAAWFTKLPEWTTWVLLVALALYDLVAVLAPGGPLKLLVELAQTRDEELPALVYEARPAVSQNMNNHGRSLDLLIGGVSNSGLVEMQTMSNHSMNLNENDDRVNAEYAVTPVQNFGNMEGVGNRENSERSLLVRSSSTSESSEYSTVVGNQESEIVVDEERSPLVDILGFGNEGEQAGRDASENPVVASRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIMSGLGCTLILLSVCRQALPALPISIALGVFFYFLTRLLMEPFVVGTATNLIMF >Manes.07G008300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:972717:980098:1 gene:Manes.07G008300.v8.1 transcript:Manes.07G008300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSRSSVIIIGAGISGLSAAKVLAENGIEDLVILEATDRIGGRIRKEKFGGVSVELGAGWIVGVGGKESNPVWEFANQSGLRTCFSDYSNARYNIYDRSGKIFPSGVAADSYKKAVDSAIMKLRSLEASHVGEVIEPPCSPKTPIELAIDFILHDFEMAEVEPISTFVDFGEREFLVADERGYEYLLYKMAEDFLFTSEGKILDNRLKLNKVVREIQHSRNGVIVKTEDDCVYEANYVILSASIGVLQSDLISFRPPLPHMETAYPGSNVLVVTLTNGESKRVEAQSDEETLKEAMEVLRDMFGPNIPNATDILVPRWWKNRFQRGSYSNYPIISNNQVLHDIKAPVGRIFFTGEHTSERFNGYVHGGYLSGIETSKSLLEEMREEKERKNENQTFLLEPLLALTGSLTLTQTETVSNLHKCDIPTQLYLSGKLGIPEAIL >Manes.07G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:972717:980098:1 gene:Manes.07G008300.v8.1 transcript:Manes.07G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSRSSVIIIGAGISGLSAAKVLAENGIEDLVILEATDRIGGRIRKEKFGGVSVELGAGWIVGVGGKESNPVWEFANQSGLRTCFSDYSNARYNIYDRSGKIFPSGVAADSYKKAVDSAIMKLRSLEASHVGEVIEPPCSPKTPIELAIDFILHDFEMAEVEPISTFVDFGEREFLVADERGYEYLLYKMAEDFLFTSEGKILDNRLKLNKVVREIQHSRNGVIVKTEDDCVYEANYVILSASIGVLQSDLISFRPPLPRWKTEAIGKCDVMVYTKIFLKFPYKFWPCGAEKEFFIYAHERRGYYTFWQHMETAYPGSNVLVVTLTNGESKRVEAQSDEETLKEAMEVLRDMFGPNIPNATDILVPRWWKNRFQRGSYSNYPIISNNQVLHDIKAPVGRIFFTGEHTSERFNGYVHGGYLSGIETSKSLLEEMREEKERKNENQTFLLEPLLALTGSLTLTQTETVSNLHKCDIPTQLYLSGKLGIPEAIL >Manes.17G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26013190:26015940:1 gene:Manes.17G061100.v8.1 transcript:Manes.17G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISGTNGRHVVVLDVKEDNPCNPLPPCNEPPPCPSRTKEDSILSISVPFIQKLIAEVVGTYFLIFAGCTSVAVNLNFDKVVTLPGISIVWGLAVMVLVYSVGHISGAHFNPAVTLAFATCRRFPWKQVPAYIACQVTGSTLAAGTIRLIFTGKQDHFTGTMPAGSDMQSFVVEFIITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVMFAGAISGASMNPARSLGPAIVSRQYKGLWIYIVSPILGAQAGAWVYNMIRYTDKPLREITKSASFLKNTGRA >Manes.17G061100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26013190:26015940:1 gene:Manes.17G061100.v8.1 transcript:Manes.17G061100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISGTNGRHVVVLDVKEDNPCNPLPPCNEPPPCPSRTKEDSILSISVPFIQKLIAEVVGTYFLIFAGCTSVAVNLNFDKVVTLPGISIVWGLAVMVLVYSVGHISGAHFNPAVTLAFATCRRFPWKQVPAYIACQVTGSTLAAGTIRLIFTGKQDHFTGTMPAGSDMQSFVVEFIITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVMFAGYQPNQVYLEYLILLSNNQDYPND >Manes.13G027401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3640832:3661930:1 gene:Manes.13G027401.v8.1 transcript:Manes.13G027401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYGLHSSADYSDRPFLLPENLILPENYQTFLSSEIPLFVSDELLSAASAISEAASIAPEIPREEDFSALMKAKIASHPAYPRLLQAYIDCQKVGAPPEIACLLDGIRRENDFCKRDAVSTCLGSDPELDEFMETYCDVLMKYKSDLERPFNEATTFLNKVELQLRNLCTGASVRSPSDEGAQSSDEELSGRELEAHEGQPSSEDKDLKDKLLRKFGSHISTLKMEFSKKKKKGKLPKEARHTLLEWWNVHYKWPYPTDADKKALADSTGLDQKQINNWFINQRKRHWKPSENMQFAVMDNLSGPFFSD >Manes.13G027401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3640832:3653885:1 gene:Manes.13G027401.v8.1 transcript:Manes.13G027401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYGLHSSADYSDRPFLLPENLILPENYQTFLSSEIPLFVSDELLSAASAISEAASIAPEIPREEDFSALMKAKIASHPAYPRLLQAYIDCQKVGAPPEIACLLDGIRRENDFCKRDAVSTCLGSDPELDEFMETYCDVLMKYKSDLERPFNEATTFLNKVELQLRNLCTGASVRSPSDEGAQSSDEELSGRELEAHEGQPSSEDKDLKDKLLRKFGSHISTLKMEFSKKKKKGKLPKEARHTLLEWWNVHYKWPYPTFLKRRHKSQLRLWKSVDYVSCCSHAFCPKTT >Manes.15G057100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4411294:4414065:-1 gene:Manes.15G057100.v8.1 transcript:Manes.15G057100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPTTKYLTPQEPPELNHSSRTKNMSRPTFYILALLFLHTHLAICNGVSVSGLENTEIDAMVRRGCTNKSGGCFEDAEMESEISRRVLLLQKKYISYETLKRDMVPCAKPGASYYDCHAGEANPYSRGCEVITRPKTELMSWTCKKLQSFSLLDIISIF >Manes.11G125800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28704492:28709440:1 gene:Manes.11G125800.v8.1 transcript:Manes.11G125800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSIWMILVCLLFALMALRRILQRANWWFYETKLGEIQYSLPPGDLGWPFIGNMWSFLRAFKSTDPDSFMNSFTTRYGHTGIYKGFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPSSTMELIGKKSFIGISYEEHKRLRRLTASPVNGHEALSLYVNYIEEIVISALEKWDTMRQIEFLTELRKLTFRIIMYIFLSSESESVMEALEREYTVLNYGVRAMAINLPGFAYHKALRARKNLVASLQSVVDGRRNQMKGGIPSKKKDMMDALMDVEDENGRKLSDEEIIDVLLMYLNAGHESSGHITMWATILLQEHPEFLQKAKEEQYEIIRRRPPTQKGLTLKEVRDMHYLSKVIDETLRLITFSLVVFREAKADVKVNGYVIPKGWKVLVWFRSVHLDPEVYPNPKEFNPSRWDNHTAKVGTFLPFGAGSRMCPGNDLAKLEISIFLHHFLLNYELERLNPRCSLMYLPHSRPKDNCLSRIKKIPSSRMKQQK >Manes.11G125800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28705027:28709389:1 gene:Manes.11G125800.v8.1 transcript:Manes.11G125800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSIWMILVCLLFALMALRRILQRANWWFYETKLGEIQYSLPPGDLGWPFIGNMWSFLRAFKSTDPDSFMNSFTTRYGHTGIYKGFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPSSTMELIGKKSFIGISYEEHKRLRRLTASPVNGHEALSLYVNYIEEIVISALEKWDTMRQIEFLTELRKLTFRIIMYIFLSSESESVMEALEREYTVLNYGVRAMAINLPGFAYHKALRARKNLVASLQSVVDGRRNQMKGGIPSKKKDMMDALMDVEDENGRKLSDEEIIDVLLMYLNAGHESSGHITMWATILLQEHPEFLQKAKEEQYEIIRRRPPTQKGLTLKEVRDMHYLSKVIDETLRLITFSLVVFREAKADVKVNGYVIPKGWKVLVWFRSVHLDPEVYPNPKEFNPSRWDNHTAKVGTFLPFGAGSRMCPGNDLAKLEISIFLHHFLLNYELERLNPRCSLMYLPHSRPKDNCLSRIKKIPSSRMKQQK >Manes.11G125800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28704506:28709389:1 gene:Manes.11G125800.v8.1 transcript:Manes.11G125800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSIWMILVCLLFALMALRRILQRANWWFYETKLGEIQYSLPPGDLGWPFIGNMWSFLRAFKSTDPDSFMNSFTTRYGHTGIYKGFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPSSTMELIGKKSFIGISYEEHKRLRRLTASPVNGHEALSLYVNYIEEIVISALEKWDTMRQIEFLTELRKLTFRIIMYIFLSSESESVMEALEREYTVLNYGVRAMAINLPGFAYHKALRARKNLVASLQSVVDGRRNQMKGGIPSKKKDMMDALMDVEDENGRKLSDEEIIDVLLMYLNAGHESSGHITMWATILLQEHPEFLQKAKEEQYEIIRRRPPTQKGLTLKEVRDMHYLSKVIDETLRLITFSLVVFREAKADVKVNGYVIPKGWKVLVWFRSVHLDPEVYPNPKEFNPSRWDNHTAKVGTFLPFGAGSRMCPGNDLAKLEISIFLHHFLLNYELERLNPRCSLMYLPHSRPKDNCLSRIKKIPSSRMKQQK >Manes.16G038100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4850723:4855077:-1 gene:Manes.16G038100.v8.1 transcript:Manes.16G038100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETEDGSLVLQRSVKKLHFGSWEEKEMAAMEIVRLAKEDVKTRKLMAELGVIPALVELVASEVIARRRVAVKALIELANGTYTNKTLMVEAGIFSKLTKNTEVSEDSMMHDLAELILSLSSLANNQFSLASSEVLPFLVRILESSSSVETKESCLGTLYNLSAVLENAGPLISNGVVQTLLTLISVKQLSEKALATLGHLVVTLMGKKAMENNPIVPESLIEILTWDEKPKCQELSAYILMILAYQSSSQREKMAKSGIVPVLLEVALLGSPLAQKRALKLLQWFKDQRLTRMGPHSGPQTGRIAMGSPVNPRESEEGKKMMKNLVKQSLYKNMEMITRRANASGDSSKLKSLVISTSSKSLPY >Manes.16G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4850723:4855077:-1 gene:Manes.16G038100.v8.1 transcript:Manes.16G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSSSSSSSVWLASYTNLQFFTRIRRFLQSKAAQKNNKPRTKVSIDNKEVRVAMGGETEDGSLVLQRSVKKLHFGSWEEKEMAAMEIVRLAKEDVKTRKLMAELGVIPALVELVASEVIARRRVAVKALIELANGTYTNKTLMVEAGIFSKLTKNTEVSEDSMMHDLAELILSLSSLANNQFSLASSEVLPFLVRILESSSSVETKESCLGTLYNLSAVLENAGPLISNGVVQTLLTLISVKQLSEKALATLGHLVVTLMGKKAMENNPIVPESLIEILTWDEKPKCQELSAYILMILAYQSSSQREKMAKSGIVPVLLEVALLGSPLAQKRALKLLQWFKDQRLTRMGPHSGPQTGRIAMGSPVNPRESEEGKKMMKNLVKQSLYKNMEMITRRANASGDSSKLKSLVISTSSKSLPY >Manes.12G050833.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4750585:4751408:-1 gene:Manes.12G050833.v8.1 transcript:Manes.12G050833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDRGVCTTAWRWRFAEARIVHPLKFHSDHCPIILSLGEQPLPNGNFFHYQAAWFAHPDFIDYVRTIWNHSNELWNNIESLQQGLMKWNREEFGNIFAKKRKLLRRIEGVQRALALNGYSPNLVKLNFLLRQKMEEVLKQEELYWFQRSKEEWIVSGERNTKFYHLAAKVKKKRKLISALQDSNGQWVTDEASLKNLVIQFYKGLFTNDSTYMLSNLEGIACRRIPEELRADLDKPYQKEEVARALFQMAPFKTAGEDGFT >Manes.12G127200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33348756:33349652:1 gene:Manes.12G127200.v8.1 transcript:Manes.12G127200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGGPTAFFIAWLANFKLLLFAFGKGPLSSSLSLAHFVAVACLPIKLQQISFPKSAKMFQDGEKSSLNYTIKSLLLALLIHVYRYEDHINPKTVPFLYCLQVYLSLELLLAIMGTLALVLLGLELEPQFNEPYFSSSLQDFWGRRWNLTVCGILRPTIYEPTRNIATCLMGRYWAPIPAVLGTFIVSGLMHELIFYYLGREKPTWELTCFFLLHGVCLAIEVALKKAFARSWQLPRQISGPLTIGFVIVTGFWLFLPSLQRCKAFERSSIEYAAAVEFLKNASQDIQNFVIPTLALV >Manes.16G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32339241:32347324:1 gene:Manes.16G120400.v8.1 transcript:Manes.16G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAGMVAGSYRRNELVRIRHDSDSGPKPLKNLNGQTCQICGDNVGLTASGDTFVACNECAFPVCRPCYEYERKDGTQSCPQCKTRYKRHKGSPRVEGDEDEDDVDDLENEFNYAQGNSKTIRQWQGEDVDLSSSARHESQRPIPLLTNGQSVSGEIPCATPDTQSVRTTSGPLGPPEKNVNSSPYIDPRQPVPVRIVDPSKDLNTYGLGNVDWKERVEGWKLKQEKNMMQMTSRYTEGKGDMEGTGSNGEELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRVTHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWSPINRETYLDRLSLRYDREGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAFGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRSGSKKYTDKKRALKRTESTVPIFNMEEIEEGFEGYDDERSLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGKLKLLERLAYINTIVYPITSIPLLAYCMLPAFCLLTGKFIIPEISNFASMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDDDGEFAELYVFKWTSLLIPPTTVLIVNLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTTDSSKSASNGQCGINC >Manes.16G120400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32341579:32347324:1 gene:Manes.16G120400.v8.1 transcript:Manes.16G120400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMTSRYTEGKGDMEGTGSNGEELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRVTHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWSPINRETYLDRLSLRYDREGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAFGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRSGSKKYTDKKRALKRTESTVPIFNMEEIEEGFEGYDDERSLLMSQKSLEKRFGQSPVFIAATFMEQGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGKLKLLERLAYINTIVYPITSIPLLAYCMLPAFCLLTGKFIIPEISNFASMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDDDGEFAELYVFKWTSLLIPPTTVLIVNLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTTDSSKSASNGQCGINC >Manes.08G060000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7032183:7039766:1 gene:Manes.08G060000.v8.1 transcript:Manes.08G060000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDTEREAAAAEFFFDDEDAGEDSSGDLEGNKIIEIGGRSSSTSSDREAADNGDECGTFSSQQWPQSFRETVDSYSITMSPSFGFLGRAQSNHYSSLENLSKSYLEQEGKSPLLSDQENGWQKEDSGRISAAHLSFSKGSFASGELPIAHGCSLTQTVFNSVNVMVGVGLLSTPSTVKEAGWASLVVLACFAFVCCYTANLMRHCFESKEGVVTYPDIGEAAFGKYGRLAISIILYLELYAYCVEFITLEGDNLTRLFPGTSLQWAGFHLDSMHFFGILTALIVLPTVWLRDLRVISYLSAGGVVATIMIVLCVLLLGTAGGVGFHQTSPVVKWSGIPFAIGVYGFCYSGHSVFPNIYQSMADKRKFTKATIICFIFCLMLYGGVAVMGFLMFGEDTLSQITLNMPPHAVTSKVALWTTVINPLTKYALLMNPLARSIEELLPVGVSNSFWCFVFLRTGLVFSSVCVAFLLPFFGLVMALIGSVLCLLVAVIMPSLCFLKIKGKKATRTQIILSSTIAALGIICAILGAYSSLLDIVKQY >Manes.15G014900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1238874:1243777:-1 gene:Manes.15G014900.v8.1 transcript:Manes.15G014900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYAAIVGGAAGVVLVAGLIILWFCKSHFKNFSNKNSETGSSDPYPIALGEWNRRGRSSSTPSHPLFGPQVARQFTMDELEQATKQFNESNLIGYGSFGSVYKGLLHDTIVAIKRRPGAPREDFVTEVLYLSDIRHRNLVSLLGYCQERGSQMLVFDYIPNGSMCNHLYGLNSSTKLEFKQRLSIALGAAKGLCHLHGLNPPLVHSNFKTANVLVDENFIVKVAEAGISKLLEKIEEAGPSYTSSVNVFQDPEIGVPRNSTAMSDIYSFGVFLLELITGQEAVHLGFLGSDESLIQWVASRLNSNNFVDCRLIGSFTTDGIRDLIRLMLQCMSFPGIERPKMEKVVVELERIREKEMALTTVMGEGTATFTKGSELFTSK >Manes.15G014900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1238874:1243467:-1 gene:Manes.15G014900.v8.1 transcript:Manes.15G014900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYAAIVGGAAGVVLVAGLIILWFCKSHFKNFSNKNSETGSSDPYPIALGEWNRRGRSSSTPSHPLFGPQVARQFTMDELEQATKQFNESNLIGYGSFGSVYKGLLHDTIVAIKRRPGAPREDFVTEVLYLSDIRHRNLVSLLGYCQERGSQMLVFDYIPNGSMCNHLYGLNSSTKLEFKQRLSIALGAAKGLCHLHGLNPPLVHSNFKTANVLVDENFIVKVAEAGISKLLEKIEEAGPSYTSSVNVFQDPEIGVPRNSTAMSDIYSFGVFLLELITGQEAVHLGFLGSDESLIQWVASRLNSNNFVDCRLIGSFTTDGIRDLIRLMLQCMSFPGIERPKMEKVVVELERIREKEMALTTVMGEGTATFTKGSELFTSK >Manes.15G014900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1238874:1243479:-1 gene:Manes.15G014900.v8.1 transcript:Manes.15G014900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYAAIVGGAAGVVLVAGLIILWFCKSHFKNFSNKNSETGSSDPYPIALGEWNRRGRSSSTPSHPLFGPQVARQFTMDELEQATKQFNESNLIGYGSFGSVYKGLLHDTIVAIKRRPGAPREDFVTEVLYLSDIRHRNLVSLLGYCQERGSQMLVFDYIPNGSMCNHLYGLNSSTKLEFKQRLSIALGAAKGLCHLHGLNPPLVHSNFKTANVLVDENFIVKVAEAGISKLLEKIEEAGPSYTSSVNVFQDPEIGVPRNSTAMSDIYSFGVFLLELITGQEAVHLGFLGSDESLIQWVASRLNSNNFVDCRLIGSFTTDGIRDLIRLMLQCMSFPGIERPKMEKVVVELERIREKEMALTTVMGEGTATFTKGSELFTSK >Manes.15G014900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1238874:1243467:-1 gene:Manes.15G014900.v8.1 transcript:Manes.15G014900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYAAIVGGAAGVVLVAGLIILWFCKSHFKNFSNKNSETGSSDPYPIALGEWNRRGRSSSTPSHPLFGPQVARQFTMDELEQATKQFNESNLIGYGSFGSVYKGLLHDTIVAIKRRPGAPREDFVTEVLYLSDIRHRNLVSLLGYCQERGSQMLVFDYIPNGSMCNHLYGLNSSTKLEFKQRLSIALGAAKGLCHLHGLNPPLVHSNFKTANVLVDENFIVKVAEAGISKLLEKIEEAGPSYTSSVNVFQDPEIGVPRNSTAMSDIYSFGVFLLELITGQEAVHLGFLGSDESLIQWMESGI >Manes.15G014900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1238874:1243479:-1 gene:Manes.15G014900.v8.1 transcript:Manes.15G014900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSYAAIVGGAAGVVLVAGLIILWFCKSHFKNFSNKNSETGSSDPYPIALGEWNRRGRSSSTPSHPLFGPQVARQFTMDELEQATKQFNESNLIGYGSFGSVYKGLLHDTIVAIKRRPGAPREDFVTEVLYLSDIRHRNLVSLLGYCQERGSQMLVFDYIPNGSMCNHLYGLNSSTKLEFKQRLSIALGAAKGLCHLHGLNPPLVHSNFKTANVLVDENFIVKVAEAGISKLLEKIEEAGPSYTSSVNVFQDPEIGVPRNSTAMSDIYSFGVFLLELITGQEAVHLGFLGSDESLIQWVASRLNSNNFVDCRLIGSFTTDGIRDLIRLMLQCMSFPGIERPKMEKVVVELERIREKEMALTTVMGEGTATFTKGSELFTSK >Manes.12G152200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36036913:36039528:1 gene:Manes.12G152200.v8.1 transcript:Manes.12G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDDLHITIPSFFRCPISLDVMKSPVSLCTGVTYDRTSIQRWLDNGNNTCPLTMQVLRSKEFVPNHNLQRLIKIWSDSVQHHSSHRVDSATNSVPSQDEIKCIVKDIETKKEPDRCCFDSLSKILCFAEESVENREFLAKLDGFLPMLVDFLGDNKSVDFIEQVIRVLELILIKIGVNKQLMTLLLKNKNVDCLSSLLLVLQQGRSVHSRIGSVRILESIATDTESNLLIAEKDGLLSELLKSIGLETDPSLIEASLSCLIAISKSRRVKVKLVHLKSIPKLKSILTAEPNKGASNSITEKALKLLETVSSCKEGRVEMCSDAACIEAVVQKVFKVSVEATEHAVTILWSVCYLFRDGKAREAVANSNGLTKILLLMQSNCSPAVRQMSTDLLKIFKVNSKSCLSSYDTKTTHIMPF >Manes.S040116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1141901:1142713:-1 gene:Manes.S040116.v8.1 transcript:Manes.S040116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.12G118806.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32079100:32079785:1 gene:Manes.12G118806.v8.1 transcript:Manes.12G118806.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMTNSGFFSFTSKLLVKEREAIKIQERKRRSEKERERERESREERRRGRESTSELE >Manes.06G139000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26657538:26661585:-1 gene:Manes.06G139000.v8.1 transcript:Manes.06G139000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVVKLRCHRIGCNATFTEDDNPEGSCQYHDAGPIFHDGMKEWSCCKKRSHDFTLFLEIPGCKTGKHTTEKPVLAKVTASPKKPIPPPTAATTTNLSSKESCPRCKQGFFCSDHGSQAKQAPLARSNVGTQGSSAPPKKVIDINEPQTCRNRGCGKTFKEKDNHETACCYHPGPAIFHDRLRGWKCCDIHVKEFDEFMSIPPCTKGWHNADPAS >Manes.06G139000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26657532:26661585:-1 gene:Manes.06G139000.v8.1 transcript:Manes.06G139000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFFSIYIVGPCIAAAAPTCCQGPIFHDGMKEWSCCKKRSHDFTLFLEIPGCKTGKHTTEKPVLAKVTASPKKPIPPPTAATTTNLSSKESCPRCKQGFFCSDHGSQAKQAPLARSNVGTQGSSAPPKKVIDINEPQTCRNRGCGKTFKEKDNHETACCYHPGPAIFHDRLRGWKCCDIHVKEFDEFMSIPPCTKGWHNADPAS >Manes.17G080500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28551311:28557446:1 gene:Manes.17G080500.v8.1 transcript:Manes.17G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTIPTHFSLKPSYLRHPPLGTRIEVTATVRRTRRKHSASWCVATHFHITGNHTNFKLKSCSFSRVPTRVCSDGGATPQQDRKIEERNHNSSSSSFGDGYVALFIRMLGLDNDPLDRKQAIVALWKYSLGGKKCIDNIMQFQGCINLTINLLSSETSSTCEAAAGLLRSVSSINSYRDVVAESGAIEEITGLLSQPGLTSEVKEQSICTLWNLSVDEKLRVKIANNDIVPLLIKSLEDEDIKVKEAAGGVLANLALTSSNHSIMVEAGVIPKLAEFLKADIEDEYKVIRKEARNALVELAKNEYYRILVIEEGLVPVPLIGADAYRSFTPALHSWPSLPDGTKIERTYRGPSRFGASELLLGLNIDDKDANIEQAKMEAIIGRSKQQFLARSGAIELEDAKSSETEISTNHQFTLLTWMDGVARLVLILELEDELAISRAANSIADASINEHIRNSFKEAGAIKHLIRLLNHKNNAIRLAVIGALERLSISNGVCQTIEAEGVMYPLINILKDSETSEIIMEKALNLLYRILDPSKQMKSMFYNGPVNGSKRELDSARGLDTSTGLTTKSDENPMSLINTRQDVLDEAIVVRLVEMLRHSSSNLQRKAASILDFLTSIEGSVDMIISANIESGLDAVFQRKILSEIDSDVENQQPEVYALQLEEAGLAISAASRLLTKLLDSDQFCRTINSPHFTRLLRRILKSNIPLHYKDWVAACLVKLSSTHGPTPSLELDNPINMEVTLYETIPRLIEQIKSSFSPDVQEAAVVELNRIISEGVVDATRAVSSAGGIFPLVELIGGGSERAVEAAISILYNLSMDNENHSAIMGAGAVSALRKIILSQRPQWKRALHLLRTLPT >Manes.03G007200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:613663:618800:1 gene:Manes.03G007200.v8.1 transcript:Manes.03G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKYQAGLGLIGTVVFIWVASAEVTQNIFETYKQPFALTYLGVSLMVVYLPIAFIKDWFCSLFHIYLLNDIGNGSSVACSSTGLDVPLTINDMYQVPETEASCCLVTDKDLREREEGWPVLVRKGEEEPPSPGQNCKLNSLEVAKCSLCLAPIWFVTEYLSNSALANTSVASTTVLTSTSALFTLFFGVLLGQDSINVAKLVAVFISMAGVAMTTVGKTSAADEILSVSEARRHSIMGDIFGLFSAISSGLFTVLLKRCAGSEGEKLDMQKFLGYVGLFTLLGLWWLLFPLNALGIEPAFKLPHPMYVGEVLLLNGFIGSVLSDYFWALSVIWTTPLVATLGISLTIPLAMVADMVIHGRHYSAVYIFGCIQVFAGFILANISDKFSFKRELWQS >Manes.03G007200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:613663:618800:1 gene:Manes.03G007200.v8.1 transcript:Manes.03G007200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVPETEASCCLVTDKDLREREEGWPVLVRKGEEEPPSPGQNCKLNSLEVAKCSLCLAPIWFVTEYLSNSALANTSVASTTVLTSTSALFTLFFGVLLGQDSINVAKLVAVFISMAGVAMTTVGKTSAADEILSVSEARRHSIMGDIFGLFSAISSGLFTVLLKRCAGSEGEKLDMQKFLGYVGLFTLLGLWWLLFPLNALGIEPAFKLPHPMYVGEVLLLNGFIGSVLSDYFWALSVIWTTPLVATLGISLTIPLAMVADMVIHGRHYSAVYIFGCIQVFAGFILANISDKFSFKRELWQS >Manes.03G007200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:613663:618802:1 gene:Manes.03G007200.v8.1 transcript:Manes.03G007200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKYQAGLGLIGTVVFIWVASAEVTQNIFETYKQPFALTYLGVSLMVVYLPIAFIKDWFCSLFHIYLLNDIGNGSSVACSSTGLDVPLTINDMYQVPETEASCCLVTDKDLREREEGWPVLVRKGEEEPPSPGQNCKLNSLEVAKCSLCLAPIWFVTEDSINVAKLVAVFISMAGVAMTTVGKTSAADEILSVSEARRHSIMGDIFGLFSAISSGLFTVLLKRCAGSEGEKLDMQKFLGYVGLFTLLGLWWLLFPLNALGIEPAFKLPHPMYVGEVLLLNGFIGSVLSDYFWALSVIWTTPLVATLGISLTIPLAMVADMVIHGRHYSAVYIFGCIQVFAGFILANISDKFSFKRELWQS >Manes.02G000100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11631:13526:1 gene:Manes.02G000100.v8.1 transcript:Manes.02G000100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNLELRKRCQCLVRGISSGSGLWLFLPSNIALYSRQPITAMATFLKRAFKSITSAIAYCYFNFRDDHTAITNINYNMTKGRPLALQTVNLKVRMCCSGCERVVKNAIHKLRGIDSVEIDLDMEKVTVVGYVDQNKVLKAVRKAGKRAEFWPYPNPPLYFTSANHYFKDTTNEFKESYNYYRHGYNVGERYGNIPVTHRGDDKVSNMFNDDNVNACCLM >Manes.11G089100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16632149:16632898:-1 gene:Manes.11G089100.v8.1 transcript:Manes.11G089100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWRASLSEFLGTAVLVFVIDTVVISTVESETKVPNLILSILVAITVTIILLATYPISGGPINPLVTFSALLTGLICISKAFIYILAQCAGGVVGALALKAVVNSNIESTFSLGGCTLHIVEPGPNGPTVIGLGTGQALWLEIICGFVFLFASVLMAFDHRQAKALGHVKIFTIVGIVLGLLVYVSTSVTTAKGYAGAGLNPARCLGPAIVRGSHLWDGHWVFWVGPAVSAVVFSLYTKIIPPQLSHTVF >Manes.11G122000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28313030:28315964:-1 gene:Manes.11G122000.v8.1 transcript:Manes.11G122000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAPATVICCADEAALCAKCDIEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRYLATGIRVAVGSSCSKDTKKSCLEPPNQSAPQTSVKLPVQQPSSFNSSWAVDDLMQFSDFESSTDKKEQLEFGEFQWLADVGLFGEQLPQEALTAAEVPQITAPSSVNVSSYRPTKSNMPNKKPRIEICDEDEEYFIVPDLG >Manes.11G122000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28313030:28315964:-1 gene:Manes.11G122000.v8.1 transcript:Manes.11G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCERAPATVICCADEAALCAKCDIEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRYLATGIRVAVGSSCSKDTKKSCLEPPNQSAPQTSVKLPVQQPSSFNSSWAVDDLMQFSDFESSTDKQKEQLEFGEFQWLADVGLFGEQLPQEALTAAEVPQITAPSSVNVSSYRPTKSNMPNKKPRIEICDEDEEYFIVPDLG >Manes.15G100900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7903706:7911857:-1 gene:Manes.15G100900.v8.1 transcript:Manes.15G100900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPREADIPVLFLVLVVLPLVAYFLLGKWSEAAKKRERIDLLAHMATEESFRAEAVPTVAATATAFPFVSPSKNGIHVCARCLSPATTRCSRCKSVRYCSGRCQIIHWRQVHKQECQQSETTSSCSSPNASAIKDAIPERASSFSICDSLNSFYSGYHIKSALMNNAPSENTVHPPIIDGVSAWTNSSVVDGSHEAMLKKRSTEKWVYCKSNREMFIRHDMAVLDSSEETSGTRPACINSSGDTTGLYVNGQDTAHYVHVIPKFLREPGKMVESKSKHGPLSSLHSGKNGIKVHETETGIILNGENLSNVENTSNDEMGELKCSYETTPMQGSVKGKCALQPVGNKISKSSKSTMKVFGEQSCSEVDGRAKVADDSKTVRMGYAIPVPGSNGVAGVGIVKMMGVRRSTRLSRQNVTGINGAHKKMLFPYEEFVKIFNCESVNLSPRGLVNCGNSCYANAVLQCLTCTKPLVIFLLRRSHSRACCAKDWCLMCELEKHVMLLRECGGPLSPSRILLHMQNINCQIGGGSQEDAHEFLRLLVASMQAICLEGQGGEDKVNPILQETTFIQHTFGGRLRSKVKCLRCHHESERHESIMDLTLEIFGWVESLEDALTQFTTPEELDGENMYRCGRCATYVRARKQLSIHEAPNILTIVLKRFQGGQYGKINKCITFPDMLDMIPFMTGTEDIPPLYMLYAVVVHLDTLNASFSGHYVAYVKDLHGNWFRVDDTEVHPVPMSQVMSEGAYILFYMRSCPRLQREFYEKPIQQQVSSSARHCSSSRMQKPSRKGHGKSSSHFLGTEPSLDLKPKKGIGLDNHTNGILGSTNRNVAQAMEFSDATSSDWSLFTSSDEASFSTESRDSFSTVDYTETCNADTLSSIFNNLYPPQSSSQNTFCCRTFSSSRPQTKFISDLEESGYVLDSFLSTHSTKGLWRGNSSKRVSNSTEFPTLLL >Manes.05G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13655305:13658138:1 gene:Manes.05G137400.v8.1 transcript:Manes.05G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSMLSTATVASINRVSPAQATMVAPFTGLKSTPVFPTTRKTNSDITSITSNGGKVQCMKVWPTLGMKKFETLSYLPPLTREQLASEVEYLLRSGWIPCLEFELEHGLVYREHARVPGYYDGRYWTMWKLPMFGCTDAAQVLKELDELIKHHPDGYARIIGFDNVRQVQCISFLAYKPPGA >Manes.05G060300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5086905:5097062:1 gene:Manes.05G060300.v8.1 transcript:Manes.05G060300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPELNSPCLWEHVNIPIHLGFLGILLVLFLRKCVGIACQRRRSIGPDQSMHKYSIGVKFSTAYKATIVCSILLLGVHFLGLLMLLNGQENRCNSKLFAFSSQIMQLGSSAITLIVVYRILHDKYAKFPWLLRVWWFCSFLQSIICASLDTYFSITNHGRLRVRDYADFLGVLSSTFLLGVSIHGKTGIVFNSSTAITEPLLHEKTSKQLEGRSESPYGKANLLQLITFSWLNPLFATGIKKPLEQDEIPDVDTKDSAEYLSPVFQECLNQVKEKDAATNPSIYKTIFFFVRKKAAINALFAVTSAAASYVGPYLIDDFVNFLTERGTESLGRGYLLALAFLCAKMIETIAQRQWIFGARQLGLRLRAALISHIYKKGLLLSSQSRQTHSSGEIINYMSVDIQRITDFMWYVNIIWMLPIQISLAIYILKTNLGLGSLAALAATLMVMFCNIPITRIQKGFQSQIMEAKDNRMKATSEVLRNMKILKLQAWDSQFLRKVESLRTIEYNCLWKSLRLSAISAFVFWGSPTFISVVTFGSCMLMGIQLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRVASYLQEGEIQHDAIKYVPKDQTEIDVEIDAGKFSWDPESSTPTLDGIQLKVKRGMKVAICGTVGSGKSSLLSCILGEIQKQSGTVKISGTKAYVPQSPWILTGNIRENILFGNPYDSAKYYSTVKACALTKDFELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFRECLMGILKDKTILYVTHQVEFLPAADLILVMQNGRIAQAGTFDELLNQNTGFGILVGAHSQALESVLKVENSKRMSQTPASDAKSNTDSTSNAELSSTRHDSDHDLSAEIKEKGGKLVQDEEREKGSIGKEVYWSYLTTVKHGALVPVILLAQSSFQVLQIASNYWMAWASPPTSESEPVIGMKIILLVYIVLAVGSSFCVLIRAMLVAVVGLSTAQKLFTNMLHSVFRSPMAFFDSTPTGRILNRASTDQSVLDLEIAMRLGWCAFSVIQIIGTIAVMSQVAWEVFVIFIPVTAICMWYQRYYIPTARELARLAGIQRAPILHHFAESLAGAATIRAFDQEDRFIEANLDLIDSHSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVLLVTLPEGVINPSIAGLAVTYGINLNVLQATVIWNICNAENKMISVERILQYSNLTSEAPHVVEDCRPSNNWPVIGTICFRDLEIRYAEHLPSVLKNINCTFPGRKKVGVVGRTGSGKSTLIQAIFRIVEAKEGSILIDNVDISKIGLQDLRSRLSIIPQDPTMFEGTVRGNLDPLEQYSDHEVWEALAKSQLGDLVRSKIEKLDAPVVENGENWSVGQRQLLCLGRALLKKSSILVLDEATASVDSATDAVIQKIISQEFKDRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDTPARLLEREDSFFSKLIKEYSMRSQSFNSLANVVAD >Manes.05G060300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5087697:5097062:1 gene:Manes.05G060300.v8.1 transcript:Manes.05G060300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAELKLLIKMAWPELNSPCLWEHVNIPIHLGFLGILLVLFLRKCVGIACQRRRSIGPDQSMHKYSIGVKFSTAYKATIVCSILLLGVHFLGLLMLLNGQENRCNSKLFAFSSQIMQLGSSAITLIVVYRILHDKYAKFPWLLRVWWFCSFLQSIICASLDTYFSITNHGRLRVRDYADFLGVLSSTFLLGVSIHGKTGIVFNSSTAITEPLLHEKTSKQLEGRSESPYGKANLLQLITFSWLNPLFATGIKKPLEQDEIPDVDTKDSAEYLSPVFQECLNQVKEKDAATNPSIYKTIFFFVRKKAAINALFAVTSAAASYVGPYLIDDFVNFLTERGTESLGRGYLLALAFLCAKMIETIAQRQWIFGARQLGLRLRAALISHIYKKGLLLSSQSRQTHSSGEIINYMSVDIQRITDFMWYVNIIWMLPIQISLAIYILKTNLGLGSLAALAATLMVMFCNIPITRIQKGFQSQIMEAKDNRMKATSEVLRNMKILKLQAWDSQFLRKVESLRTIEYNCLWKSLRLSAISAFVFWGSPTFISVVTFGSCMLMGIQLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRVASYLQEGEIQHDAIKYVPKDQTEIDVEIDAGKFSWDPESSTPTLDGIQLKVKRGMKVAICGTVGSGKSSLLSCILGEIQKQSGTVKISGTKAYVPQSPWILTGNIRENILFGNPYDSAKYYSTVKACALTKDFELFSCGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSAVDAHTGTQLFRECLMGILKDKTILYVTHQVEFLPAADLILVMQNGRIAQAGTFDELLNQNTGFGILVGAHSQALESVLKVENSKRMSQTPASDAKSNTDSTSNAELSSTRHDSDHDLSAEIKEKGGKLVQDEEREKGSIGKEVYWSYLTTVKHGALVPVILLAQSSFQVLQIASNYWMAWASPPTSESEPVIGMKIILLVYIVLAVGSSFCVLIRAMLVAVVGLSTAQKLFTNMLHSVFRSPMAFFDSTPTGRILNRASTDQSVLDLEIAMRLGWCAFSVIQIIGTIAVMSQVAWEVFVIFIPVTAICMWYQRYYIPTARELARLAGIQRAPILHHFAESLAGAATIRAFDQEDRFIEANLDLIDSHSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVLLVTLPEGVINPSIAGLAVTYGINLNVLQATVIWNICNAENKMISVERILQYSNLTSEAPHVVEDCRPSNNWPVIGTICFRDLEIRYAEHLPSVLKNINCTFPGRKKVGVVGRTGSGKSTLIQAIFRIVEAKEGSILIDNVDISKIGLQDLRSRLSIIPQDPTMFEGTVRGNLDPLEQYSDHEVWEALAKSQLGDLVRSKIEKLDAPVVENGENWSVGQRQLLCLGRALLKKSSILVLDEATASVDSATDAVIQKIISQEFKDRTVVTIAHRIHTVIDSDLVLVLSDGRIAEYDTPARLLEREDSFFSKLIKEYSMRSQSFNSLANVVAD >Manes.14G001900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1425559:1431325:1 gene:Manes.14G001900.v8.1 transcript:Manes.14G001900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTATTQVGYHQPTTLEEVRTLWIGDLQYWVDETYLSSCFAHTGEVISIKIIRNKITGQPEGYGFVEFVSHAAAERILQTYNGTQMPGTEQTFRLNWASFGIGERRPDAGPEHSIFVGDLAPDVTDYLLQETFRANYPSVRGAKVVTDPSTGRSKGYGFVKFGDENERNRSMTEMNGVYCSTRPMRISAATPKKTTAYQQQYTVAKAIYPVPAYTTPVQVVTADSDITNTTIFVGNLDPNATEEELRQTFLQFGEIVYVKIPAGRGCGFVQFGTRASAEEAIQRMQGHVIGQQPVRISWGRKQDATGIWGQQVDQWSAYYGYGQGYDVYGYGATQDPSLYAYGAYAGYPQYPQPVDGVQDMTGALPVVEQREELYDPLAAPDVDKLNAAYLSMHGNAILGRPLWMKTSSLTQQA >Manes.01G123001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31871521:31873132:-1 gene:Manes.01G123001.v8.1 transcript:Manes.01G123001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELELASAQVVPPLSSTEVNMELALISADQTKVVPAAIVDAYALWGSSKVAIVRMVVSPDRSSPAKDVGGSLKRKNPRLPQGTTTDVAGTAEKKRRLVKESDIVPSQKGTLSSSLPRRSFSGKGKGKENQFREAKEGLPTVVSFLSYQSRTFFCYLYCTCNYRKGICTF >Manes.02G039100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3210670:3218248:-1 gene:Manes.02G039100.v8.1 transcript:Manes.02G039100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFDPINQRLQLGQMAGAVSSCIFCQIAHNSTSTTLLHSDDKVVAFQDIKPSALRHYLVIPVEHISTVRNLQSREEDYTLVNHMLSVGKMLLHRDAPQSKEYRFGFHQPPLNSVDHLHLHCLALPFQPTVWIQ >Manes.02G039100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3215249:3217990:-1 gene:Manes.02G039100.v8.1 transcript:Manes.02G039100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFDPINQRLQLGQMAGAVSSCIFCQIAHNSTSTTLLHSDDKVVAFQDIKPSALRHYLVIPVEHISTVRNLQSREEDYTLVNHMLSVGKMLLHRDAPQSKEYRFGFHQPPLNSVDHLHLHCLALPFQPTVWIQ >Manes.02G039100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3212978:3218248:-1 gene:Manes.02G039100.v8.1 transcript:Manes.02G039100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFDPINQRLQLGQMAGAVSSCIFCQIAHNSTSTTLLHSDDKVVAFQDIKPSALRHYLVIPVEHISTVRNLQSREEDYTLVNHMLSVGKMLLHRDAPQSKEYRFGFHQPPLNSVDHLHLHCLALPFQPTWKHIKYLSLGPLGFIEAEKLLEKIKPT >Manes.02G039100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3215494:3217990:-1 gene:Manes.02G039100.v8.1 transcript:Manes.02G039100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFDPINQRLQLGQMAGAVSSCIFCQIAHNSTSTTLLHSDDKVVAFQDIKPSALRHYLVIPVEHISTVRNLQSREEDYTLVNHMLSVGKMLLHRDAPQSKEYRFGFHQPPLNSVDHLHLHCLALPFQPTWKHIKYLSLGPLGFIEAEKLLEKIKPT >Manes.18G060500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5370714:5374564:1 gene:Manes.18G060500.v8.1 transcript:Manes.18G060500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSSSSNFVDLENRKNKAEEDRDRIRVKRETLKAVLEQCQRALQLLGNNTDGVDEVNDDDNDNDNDNDRGKPAVEDDDDKELSRNNSVASPGAADREAYELCDLLKSRVGCPDFLEKLECDYMLVPQNLSEEGSSWDMVSESDLWEAGIVDSDQEDYVLVRQEDLVEGIACFMAAYLLSFRQANVRKKFFLLF >Manes.18G060500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5370714:5374564:1 gene:Manes.18G060500.v8.1 transcript:Manes.18G060500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSSSSNFVDLENRKNKAEEDRDRIRVKRETLKAVLEQCQRALQLLGNNTDGVDEVNDDDNDNDNDNDRGKPAVEDDDDKELSRNNSVASPGAADREAYELCDLLKSRVGCPDFLEKLECDYMLVPQNLSEEGSSWDMVSESDLWEAGIVDSDQEDYVLVRQEDLVEGIACFMAAYLLSFRQANDLTPNQLQEALSKTFSVKKKKGKLRKAWDGSKVMYNVASWGATAIGIYQNPVILRAASKAFWTSCHVISKLL >Manes.08G025000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2483099:2489254:-1 gene:Manes.08G025000.v8.1 transcript:Manes.08G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDGNYELREIQKLQGHTDRVWSIAWNPASGTGDIPPVFASCSGDKTVRIWEQSPSSRFWDCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTAVWENIGGDFECVSTLEGHENEVKSVSWNASGSLLATCSRDKAVWIWEVMPGNEFECVSVLQGHKQDVKMVKWHPTMDILFSCSYDNTIKVWAEDGEGDWCCVQTLSEPNSGHTSTVWALSFNAEGDKMVTCSDDLTLKIWETDIGRMVSGDVRTPWTHVCTLSGYHDRTIFSVDWSREGIIASGAADDAIRFFVESKDGLVNGPTYKLLLKSEKAHDMDINSVQWGPGENRLLASASDDGTIKIWELATIS >Manes.08G025000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2483099:2489254:-1 gene:Manes.08G025000.v8.1 transcript:Manes.08G025000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDGNYELREIQKLQGHTDRVWSIAWNPASGTGDIPPVFASCSGDKTVRIWEQSPSSRFWDCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTAVWENIGGDFECVSTLEGHENEVKSVSWNASGSLLATCSRDKAVWIWEVMPGNEFECVSVLQGHKQDVKMVKWHPTMDILFSCSYDNTIKVWAEDGEGDWCCVQTLSEPNSGHTSTVWALSFNAEGDKMVTCSDDLTLKIWETDIGRMVSGDVRTPWTHVCTLSGYHDRTIFSVDWSREGIIASGAADDAIRFFVESKDGLVNGPTYKLLLKSEKAHDMDINSVQWGPGIFRVLPTNRRNYSIQPLYT >Manes.13G001400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:725523:735547:-1 gene:Manes.13G001400.v8.1 transcript:Manes.13G001400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAREKLQMETPPSLSCPSTPRWNVERPFLTGRFLQETKGISRLADTKGLSLDSFSPGMDKAIGCYDTAVQELIVIDDLMSALIGIEGRYISIKSVHGMEDCITFQVDASMDLALQELAKRVFPLCESYLLIDQFVESRSQFKNGVVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIHGLWFYCQPLMGSMQALSTVVKKASANNCTGSAVLNLLQSQSKAMAGDNAVRSLLEKMTQCASKAYLGILERWIYEGVIDDPYGEFFIAENKCLHKDSLAQDYDAKYWRQRYSLKEGIPGFLANIAGTILTTGKYLNVMRECGHNVQVPSSENSKLMSFGSNHQYLECIKAAYNFASSELLNLIKEKYDLMGKLRSIKHYLLLDQGDFLVHFMDIARDELTKKLEEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERTSLLKTLGTLKDLETRAFSDDNGTEEPMSITGLETFALSYKVQWPLSIVISRKALTKYQLIFRFLFHCKHVDRQLCGAWQVHQGVRALNLRGTAIPRSALLCRSMLKFINSLLHYLTFEVLEPNWHMMCNKMQNAKSIDEVIQYHDSFLDKCLKECLLLLPELLKKVEKLKLLCLQYAAATQWLISSCIDIPKVEEVSDASLMSDKSKQWRSRSLSLALNKTTQNITVTESILKFEREFNAELQNLGPILSSNSQAEPYLTHLAQWILGIRNDQ >Manes.13G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:725523:735547:-1 gene:Manes.13G001400.v8.1 transcript:Manes.13G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAREKLQMETPPSLSCPSTPRWNVERPFLTGRFLQETKGISRLADTKGLSLDSFSSPGMDKAIGCYDTAVQELIVIDDLMSALIGIEGRYISIKSVHGMEDCITFQVDASMDLALQELAKRVFPLCESYLLIDQFVESRSQFKNGVVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIHGLWFYCQPLMGSMQALSTVVKKASANNCTGSAVLNLLQSQSKAMAGDNAVRSLLEKMTQCASKAYLGILERWIYEGVIDDPYGEFFIAENKCLHKDSLAQDYDAKYWRQRYSLKEGIPGFLANIAGTILTTGKYLNVMRECGHNVQVPSSENSKLMSFGSNHQYLECIKAAYNFASSELLNLIKEKYDLMGKLRSIKHYLLLDQGDFLVHFMDIARDELTKKLEEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERTSLLKTLGTLKDLETRAFSDDNGTEEPMSITGLETFALSYKVQWPLSIVISRKALTKYQLIFRFLFHCKHVDRQLCGAWQVHQGVRALNLRGTAIPRSALLCRSMLKFINSLLHYLTFEVLEPNWHMMCNKMQNAKSIDEVIQYHDSFLDKCLKECLLLLPELLKKVEKLKLLCLQYAAATQWLISSCIDIPKVEEVSDASLMSDKSKQWRSRSLSLALNKTTQNITVTESILKFEREFNAELQNLGPILSSNSQAEPYLTHLAQWILGIRNDQ >Manes.13G001400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:725523:735547:-1 gene:Manes.13G001400.v8.1 transcript:Manes.13G001400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAREKLQMETPPSLSCPSTPRWNVERPFLTGRFLQETKGISRLADTKGLSLDSFSSPGMDKAIGCYDTAVQELIVIDDLMSALIGIEGRYISIKSVHGMEDCITFQVDASMDLALQDYQAMVAQLEHQFRLGRLSIHGLWFYCQPLMGSMQALSTVVKKASANNCTGSAVLNLLQSQSKAMAGDNAVRSLLEKMTQCASKAYLGILERWIYEGVIDDPYGEFFIAENKCLHKDSLAQDYDAKYWRQRYSLKEGIPGFLANIAGTILTTGKYLNVMRECGHNVQVPSSENSKLMSFGSNHQYLECIKAAYNFASSELLNLIKEKYDLMGKLRSIKHYLLLDQGDFLVHFMDIARDELTKKLEEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERTSLLKTLGTLKDLETRAFSDDNGTEEPMSITGLETFALSYKVQWPLSIVISRKALTKYQLIFRFLFHCKHVDRQLCGAWQVHQGVRALNLRGTAIPRSALLCRSMLKFINSLLHYLTFEVLEPNWHMMCNKMQNAKSIDEVIQYHDSFLDKCLKECLLLLPELLKKVEKLKLLCLQYAAATQWLISSCIDIPKVEEVSDASLMSDKSKQWRSRSLSLALNKTTQNITVTESILKFEREFNAELQNLGPILSSNSQAEPYLTHLAQWILGIRNDQ >Manes.13G001400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:725523:735547:-1 gene:Manes.13G001400.v8.1 transcript:Manes.13G001400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAREKLQMETPPSLSCPSTPRWNVERPFLTGRFLQETKGISRLADTKGLSLDSFSPGMDKAIGCYDTAVQELIVIDDLMSALIGIEGRYISIKSVHGMEDCITFQVDASMDLALQDYQAMVAQLEHQFRLGRLSIHGLWFYCQPLMGSMQALSTVVKKASANNCTGSAVLNLLQSQSKAMAGDNAVRSLLEKMTQCASKAYLGILERWIYEGVIDDPYGEFFIAENKCLHKDSLAQDYDAKYWRQRYSLKEGIPGFLANIAGTILTTGKYLNVMRECGHNVQVPSSENSKLMSFGSNHQYLECIKAAYNFASSELLNLIKEKYDLMGKLRSIKHYLLLDQGDFLVHFMDIARDELTKKLEEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERTSLLKTLGTLKDLETRAFSDDNGTEEPMSITGLETFALSYKVQWPLSIVISRKALTKYQLIFRFLFHCKHVDRQLCGAWQVHQGVRALNLRGTAIPRSALLCRSMLKFINSLLHYLTFEVLEPNWHMMCNKMQNAKSIDEVIQYHDSFLDKCLKECLLLLPELLKKVEKLKLLCLQYAAATQWLISSCIDIPKVEEVSDASLMSDKSKQWRSRSLSLALNKTTQNITVTESILKFEREFNAELQNLGPILSSNSQAEPYLTHLAQWILGIRNDQ >Manes.05G015500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1477230:1482794:1 gene:Manes.05G015500.v8.1 transcript:Manes.05G015500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDQTRLVGDYVLGRRIGSGSFAVVWRSWHRQSGRRVAVKEIDKKLLSPKVSENLLKEISILSTIDHPNIIRLFESIETEDRIFLVLEYCDGGDLAAYIHRHGKVSEAVARHFMKQLAAGLQILQEKHLIHRDLKPQNLLLSSNEATPQLKIGDFGFARSLMAQDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFNGNTQYQLFQNILTSTELQFPHGALEELHPDCVELCKSLLRQNPVMRLTFKEFFNHKFLGDPSSIVHGKVHGCMFGTNSAHGSVPNIAHDRTSIAHDRTRESVDESQCSSYQPGVTDSMDSIEKDYVLVNHHIASVENLSYLTEASLQDNSATRVSVCPSKKNDQDIAVTMQTKQHVASSVGSAENSAVHRSGPLDTSCSSTILSEVKEQSMLHPSTRLHLLHQYVQAVADIAQEKYNAGLFLESFSVELLVLAIWKKALQICSSWLASTAGSKLPESSSASESTSVCSGMRDNINFDSPSSACKWAEQEFVAAYDRAEKLSDHIQEMDAAAEMPDAMEIIFQKALAFGTSGAVDEYMENKGSATISYSKAMILLSFVVEEAASLPLKPPFLLTPANKKRIQSYITNLQSHQSHF >Manes.05G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1477034:1482794:1 gene:Manes.05G015500.v8.1 transcript:Manes.05G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDQTRLVGDYVLGRRIGSGSFAVVWRSWHRQSGRRVAVKEIDKKLLSPKVSENLLKEISILSTIDHPNIIRLFESIETEDRIFLVLEYCDGGDLAAYIHRHGKVSEAVARHFMKQLAAGLQILQEKHLIHRDLKPQNLLLSSNEATPQLKIGDFGFARSLMAQDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFNGNTQYQLFQNILTSTELQFPHGALEELHPDCVELCKSLLRQNPVMRLTFKEFFNHKFLGDPSIVHGKVHGCMFGTNSAHGSVPNIAHDRTSIAHDRTRESVDESQCSSYQPGVTDSMDSIEKDYVLVNHHIASVENLSYLTEASLQDNSATRVSVCPSKKNDQDIAVTMQTKQHVASSVGSAENSAVHRSGPLDTSCSSTILSEVKEQSMLHPSTRLHLLHQYVQAVADIAQEKYNAGLFLESFSVELLVLAIWKKALQICSSWLASTAGSKLPESSSASESTSVCSGMRDNINFDSPSSACKWAEQEFVAAYDRAEKLSDHIQEMDAAAEMPDAMEIIFQKALAFGTSGAVDEYMENKGSATISYSKAMILLSFVVEEAASLPLKPPFLLTPANKKRIQSYITNLQSHQSHF >Manes.05G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9554695:9556406:1 gene:Manes.05G102500.v8.1 transcript:Manes.05G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCSGILIRLSFALCLALLCASEDFDFFYFVQQWPGSYCDTKNSCCYPTTGKPAADFGIHGLWPNYNDGSYPSNCDSNNPFNQNKISDLISSMQKKWPTLACPSGNGVTFWSHEWEKHGTCSESVLDQHGYFKAALALKNQVNLLQALQSAGIQPNGGTYSLSSIKGAIQGAVGYAPWIECNTDASGNSQLYQIYLCVDTSGSNLIECPVFPSGKCGSEIEFPSF >Manes.02G097501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7608382:7610276:1 gene:Manes.02G097501.v8.1 transcript:Manes.02G097501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSVKSTLSNLAFGNVMAAAARDYQKELIAQEKSQTSSSINQEVDLDVLMDDPELENCMQTGLQFSRYQKEAEKRETLKKQGHGEYREIAEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKVLALRYLNTKFIKPDAENAPFFVTKLGVKTLPCCLLRRGTSIDRLIGFQDLGGKDDFTNKSLEILLIKKVMSSIISEKKEDEEGESRRRTVRSSVASTLTLIPIEKP >Manes.04G081768.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28319926:28320228:1 gene:Manes.04G081768.v8.1 transcript:Manes.04G081768.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILRAKQILQRSPSGNQTASAARDVPKGYLAVYVGEKQKKRRFVIPVSYLNTPSFQDLLIQAEEEFGYDHPMGGLTIPCCERMFIDVISCLNCS >Manes.14G158232.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:22013760:22014713:-1 gene:Manes.14G158232.v8.1 transcript:Manes.14G158232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGARDNSSPLLPTSSPFVRRVQQETIPKKFMMLTMTAYDGTGNPWEYVLNYKTFMELQTNSDALMCKVFVTTLIGPARAWFNSLKVGSIKSFIDLASVFISIFITRVPAKRKMSYLKTIRQRRNESLREYVAKFNSETLQIPELDEGRVVEAMQKGTTSPEFFGLLCRKPSTSLSELMKRAKKYIRQDGTLTTNQFAKDDRDRGRVGEDKRQDRLERRQDWGPEALNKHWWERKEQRPYQSRLPAEITLLNMSRAEVLMAVQDKDFIQRPKPMKVEANRRDLDKYCQYHRTHGHDTNDCYQLINEIERLIKRCHL >Manes.14G130300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10389830:10390603:1 gene:Manes.14G130300.v8.1 transcript:Manes.14G130300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGGDWMCPACQHINFKKREACQHCSYPKYGGPDPTTFIYKRPGDWYCTTMNCGSHNYASRSSCYRCGAMKNDYGGGYGGDNMYGSDGSIPPGWKTGDWICSRVGCGEHNYASRTECYKCKTSREYGGI >Manes.08G086511.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:26902470:26903810:1 gene:Manes.08G086511.v8.1 transcript:Manes.08G086511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSENRDTLPFDPEIECTLRRLRKQAAEASSEATEFYQQAAPMAEHNPQDAAPNGLAVQNQIVQENPAVRPQAQRERTMRELATPIGDYAPLCITYPPLTVPFELKSGLIHHLPKFRGLQNENPHKHLKEFKIICSSMRPQGISEDHVKLRAFPFSLDDHAKDWLFYLPLGSITSWDDMVQAFLDKYFPPSKSIGIIREITSIRQKPTEDLYDYWERFERLCTGCPQHDMSDKALIQFFYEGLIPSERKLINVACGGSILDKTPREMKELISNLAASSKQYEEEGQTQRGIYEVRTSSVESQISKLTSLVEKIALGQVQQIQAPQPPRPCEICLHVGHPTDQCPTLQEDHHQVNAIGRYNNQPRYDPYSNTYNPGWKDHPNFSYGKSNSDQNYQSYQRNQAQPAPSTPNQNLEKIMQTMMETMVSTMQGVRQHLGQMTTSMQGVR >Manes.05G039400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3205877:3212399:-1 gene:Manes.05G039400.v8.1 transcript:Manes.05G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLENGSPGGGGARIVADIPYSSSNMPTGAIAQPRLISPSLTKAMFNSPGLSLALQQPNIDGQGDIARMAENFESNGGRRSREEEHESRSGSDNMDGASGDDQDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICSNCGGPAIIGDISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLAGSIGPPMPNSSLELGVGTNGFSGLSTVPATLPLGPDFAGGISGALPVMTQTRPATAGVTGLDRSFERSMFLELALAAMDELVKMAQTDEPLWIRSLEGGREILNHEEYMRTFTPCIGMKPGGFVSEASRETGMVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVISNGMGGTRNGSLQLMLAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGAPAFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDETQIHQLYRPLISSGMGFGAQRWVATLQRQCECLAILMSSAVPTRDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGSLSTPNGPTGNNGGGTGGQQRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >Manes.13G067300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8563649:8567388:1 gene:Manes.13G067300.v8.1 transcript:Manes.13G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNTDTAALINSFCEITSSSKEEALFFLESHQWNLGSAVSTFLDSNNSINNNIDDHASLPIAPPAPVANSPSHSSSPSESQSPNYSPSQSPSRSRSRSPSPTPSRVPYRLRSRGKRPVKSVADGSRTRGGIRTLADLNRTPEVALGSDDDDDDERQQYYTGGEKSGMLVQDPTRRYDADAVFTQARHSGAVERPADYLQSSSSSRSFTGTGRLLSGETVPSAPQPPEVVHHTVTLWRNGFTVDDGPLRSFDDPANASFLESIKKSECPLELEPADRRTQVHLDLMRREENYSEPEKPQTPFKGLGRTLCSSSGSVNPATASNPTSPTIPSKMVPSPEVGLVVDSSAPTTSIQVRLADGTRMVARFNLHHTIRDVRAFIEASRPGGARNYQLQTMGFPPKQLTDPEQTIEEAGIASSVVIQKF >Manes.10G100844.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24935023:24935355:-1 gene:Manes.10G100844.v8.1 transcript:Manes.10G100844.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNCFILAFFFALPFSSMDVGLAARHLLQAPPLPSVPNLPKPALPPMPAVPTLPQPALTLPPLPSLPTIPTVPKVSLPPLPSIPSIPTIPSIPTIPSIPFLSPPPAGN >Manes.05G022900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2040015:2043085:1 gene:Manes.05G022900.v8.1 transcript:Manes.05G022900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKRLKWRKPDLKPVQTGRVNKNTEEIAEEEPLSPAARLFHEPNFNVYVLAIMGCKTRIHPRVIKANLVHTLLKHPRFSSLQVIDKKNNKEMKWVRTEVDLDKHVLVPELDESIEAPDRFIEDYISSLTKTIINRSQPLWDLHLLNLKTSDAEAIGVFRIHHSLGDGTSLMSLLLACTRQISDPEALPTVPTMVKKQQQQEEKKGFLVKFWMYVMKVWWVIQLFWNTMVDVFMFMVTMLFLKDTETPLKGPPGVEFTPRRIVWRTVSLDDFKLVKNALNATVNDVALGVTQAGLSRYLNRKYGDEFNENDNEEPTQMKNNLPKNIRLRATLLVNVRPVSGIQALADMMEKEGEAKWGNWIGYVLLPFTIAIRDDPIDYVREAKATVDRKKRSLEAFCTFSIAEMILKLLGIKTANSISHRTISHTTMCFSNLVGPQEEIGFYGHPMAYLAPSSFNQPHGLMINFQSYANKMSIVLSVDEKTVPDPHQLIHDIIGSLKSIKDAVVSRDLVNSHG >Manes.15G025800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2001493:2008393:1 gene:Manes.15G025800.v8.1 transcript:Manes.15G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLESIVGVLLLMLLLILILIFVACKPWRFFFFSSRSRTVKVGDLDRPLISDEVESSEVTRNYDLEGACYQNEGPLHLSRPVGLVHKQRLPSGSPRSFQGDSLVLDVVSEPLEDISVGQTLKRISLTEHVAEVQTQIIQEDQSPILGPGLEKDTFQESVPKVITEQRSCLSLEVISGPSRGLHCSIQSASSSRLPLTLGRVSSDLLLKDSEVSGKHAMINWNMDKKTWELVDMGSLNGTLLNSRSINHPDSGSRHWGDPVELTNGDIITLGTTSNIYVHVTSKSENETPFAVGVASDPMALRRGGKKLPMEDVCYYHWPLPGIDKFGVFGICDGHGGVAAADAASKLLPEKVASILSDSLTRERVLSHCDASEVLRVAFSQTEASMNNYYEGCTATVLLVWVDDDENFFAQCANLGDSACVMNVDGKPIKMTADHRLTSYSERLRISEIGEPLKDGETRLCGLNLARMLGDKFLKQQDARFSSEPYISEAVHIHRASSTFVLLASDGFWDVISVKKAVQLVLQTRERYATDGENPAERVANFLLSEARTLRTKDNTSIIFLDFDSKSRISSCKLVS >Manes.15G025800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2001493:2008393:1 gene:Manes.15G025800.v8.1 transcript:Manes.15G025800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLESIVGVLLLMLLLILILIFVACKPWRFFFFSSRSRTVKVGDLDRPLISDEVESSEVTRNYDLEGACYQNEGPLHLSRPVGLVHKQRLPSGSPRSFQGDSLVLDVVSEPLEDISVGQTLKRISLTEHVAEVQTQIIQEDQSPILGPGLEKDTFQESVPKVITEQRSCLSLEVISGPSRGLHCSIQSASSSRLPLTLGRVSSDLLLKDSEVSGKHAMINWNMDKKTWELVDMGSLNGTLLNSRSINHPDSGSRHWGDPVELTNGDIITLGTTSNIYVHVTSKSENETPFAVGVASDPMALRRGGKKLPMEDVCYYHWPLPGIDKFGVFGICDGHGGVAAADAASKLLPEKVASILSDSLTRERVLSHCDASEVLRVAFSQTEASMNNYYEGCTATVLLVWVDDDENFFAQCANLGDSACVMNVDGKPIKMTADHRLTSYSERLRISEIGEPLKDGETRLCGLNLARMLGDKFLKQQDARFSSEPYISEAVHIHRASSTFVLLASDGFWDVISVKKAVQLVLQVNLLLLKLLTSVMYFYKVFFP >Manes.18G114001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11557841:11558773:-1 gene:Manes.18G114001.v8.1 transcript:Manes.18G114001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKFVAVEEDSLDNHGVAWWRGPLLGKGGSGSVYLAYLKKPKSRNAFYRRVMAVKSAEFSSSSSLQKEKEVFNHLHDCPYILECYGEETTVSKNGQMVYNLLLEYASGGTLADLIRRSGGCGLPESDVKKYTRFILKGIDYIHSHNYVHRDLKPENVLLVPCGSGDFVPKIADFGLAKKVQNTKRRMFDSSIAGTILYMAPETLVHNVQESGSDIWALGCIVYEMFTGKPLWGLNPDESTEELCKRIADRLELPDVPSGISKDGSDFLKGCLVKNHKFRFSVEMLLNHPFVSGIDDTGNELCDRSCCEE >Manes.01G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3143972:3148861:-1 gene:Manes.01G009700.v8.1 transcript:Manes.01G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLNSFPYRTCLKPFIFNPKTSNNLPFMKLRTGFVNRNLKLGFRRLSCVNSGREDAISTESFVGSDYDKGAEDWELEFLGELDPLGFQAPKKRKKQQSSKLLEETDGMDWCLRARKVALKSIEARGLSQKMEDLINVKKRKKKKKNKNLVSKSRNNKKSKDSEDDSDSDLEDDIEFEDFTNSLGNDTSDLRKTVSLMAGGMFEEKKEKAMEEFCQRLSQLSGPSDRKKEVNLNRAIVEAQTAEEVLEVTAEMIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMMRTRRLAFARQKEMSMLVGIAMTVLPECSAQGISNISWALSKIGGELLYLSEMDRVAEVALTKVGEFNSQNVANVAGAFASMQHCAPDLFSALSKRASDIVLSFQEQELAQFLWALASLYERADTLLDSLDNVFKHANQFECYLKPKTSNFDEEDGMKGIADLDGEGDSGPPILRFNRDQLGNIAWSYAVLGQLNRTFFSNIWRTLSHFEGQRISEQYREDIMFASQAHLVNQCLKIEYPHLQLAFQGDLEEKIARAWKTKRFNQNITSSFQKEVGRLLVSTGLDWVREYAVDGYTLDAVVIDKKIALEIDGPTHFSRNTGVPLGHTMLKRRYISAAGWKVVSLSYQEWEELQGGFEQLDYLRKILEVHLGDSNSND >Manes.18G030600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2583989:2585001:1 gene:Manes.18G030600.v8.1 transcript:Manes.18G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRVSKPSKWLPRSRGFKLLTLPRIGSKPRSSHSQQSRLTKEEELQIVFRHFDRDGDGKISGEELGAYFTSVGESMSNENVQRVIKDFDTDGDKLLEFNDFARLLEGDDNIDDDLRRAFEVFEGENKGGGCITAKGLQQAFNRLGEAKSLQECAAMIRVFDLDGNGVLDFHEFHKMMIN >Manes.04G034800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4491535:4496313:1 gene:Manes.04G034800.v8.1 transcript:Manes.04G034800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLDRQDSDIEFQRHLPGCIWNMFHILDYHHWHSVKKMSPRRKQRRGKHATCFGNPKTVSFAHYTDEVQNHLDSDAVPSLDEQKTTKATPTDRISGKARIKALIAEEISARLHLLRTYSCHHLGASDGLGGISTDWTNPIIILQKSADPATSGLQIPSLPRSSNESVTCNGKYHPSDVMRDEGCLKQHSLSAKQEFSRGNTDKLMNTSLNKNLSDGKQLDRGISSHQFMECVDVLELFKVNKKLFLEILQDPDVQAAKNFLVQLNSNRKVRLKKSGSFPLADSPSTRLLRPSTIEHKQKEIWSFPKEENFLAGIKVPKSVEFKDSHDKPMGLESDDTRVSAVALGTDHSSSVFYQGTHKHGWHQSFVIHLKDVMKKIKHTLKESKKEDNHTSMNTILHGVPSRCKLSTNEKDAPVRFEEVTIQLDGKENSRSFHEANSSDNDLSKGQPPHIRRISSLNESVDRYARLFEFSLTKEAKWHDFKSKSLKLTNEDQSTSTGHSLKSFKRRLSLPDLEAFYPLPNETSRNSIHSGIAVKPSIDYDTNTKNESPNNLKSESVLVDRKQFEPPEAVEEAELQKNLVDEARGCEYKENLGDPTVGIEEEVPIVGEQHEDRVEREKQGCSPCEDQETDTIINFSNENEKHSSAYKSVLEEYFQDEIAVETEDLVSKGCELDPALICNDEPNTSIDLEDRSSINSLTGCCSSADRENNENAYGVAGDHSVNFESNELDDNDFNYVRDVLEVSGFMEQGCLGTWHSLDQPLLPTLFKELESYLHHELECSSEDIGCNCDHQLLFDLINEVLIQIHGSSLAYFPEPFSFTQRLRLSPKGNHNLEEVWKRITWYRSTGLKTKQSSDNILARDIAKYDSWMNLQADVEDIALDLEDLIFDELLNEVMH >Manes.04G034800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4491055:4496313:1 gene:Manes.04G034800.v8.1 transcript:Manes.04G034800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLDRQDSDIEFQRHLPGCIWNMFHILDYHHWHSVKKMSPRRKQRRGKHATCFGNPKTVSFAHYTDEVQNHLDSDAVPSLDEQKTTKATPTDRISGKARIKALIAEEISARLHLLRTYSCHHLGASDGLGGISTDWTNPIIILQKSADPATSGLQIPSLPRSSNESVTCNGKYHPSDVMRDEGCLKQHSLSAKQEFSRGNTDKLMNTSLNKNLSDGKQLDRGISSHQFMECVDVLELFKVNKKLFLEILQDPDVQAAKNFLVQLNSNRKVRLKKSGSFPLADSPSTRLLRPSTIEHKQKEIWSFPKEENFLAGIKVPKSVEFKDSHDKPMGLESDDTRVSAVALGTDHSSSVFYQGTHKHGWHQSFVIHLKDVMKKIKHTLKESKKEDNHTSMNTILHGVPSRCKLSTNEKDAPVRFEEVTIQLDGKENSRSFHEANSSDNDLSKGQPPHIRRISSLNESVDRYARLFEFSLTKEAKWHDFKSKSLKLTNEDQSTSTGHSLKSFKRRLSLPDLEAFYPLPNETSRNSIHSGIAVKPSIDYDTNTKNESPNNLKSESVLVDRKQFEPPEAVEEAELQKNLVDEARGCEYKENLGDPTVGIEEEVPIVGEQHEDRVEREKQGCSPCEDQETDTIINFSNENEKHSSAYKSVLEEYFQDEIAVETEDLVSKGCELDPALICNDEPNTSIDLEDRSSINSLTGCCSSADRENNENAYGVAGDHSVNFESNELDDNDFNYVRDVLEVSGFMEQGCLGTWHSLDQPLLPTLFKELESYLHHELECSSEDIGCNCDHQLLFDLINEVLIQIHGSSLAYFPEPFSFTQRLRLSPKGNHNLEEVWKRITWYRSTGLKTKQSSDNILARDIAKYDSWMNLQADVEDIALDLEDLIFDELLNEVMH >Manes.04G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4491661:4496356:1 gene:Manes.04G034800.v8.1 transcript:Manes.04G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLDRQDSDIEFQRHLPGCIWNMFHILDYHHWHSVKKMSPRRKQRRGKHATCFGNPKTVSFAHYTDEVQNHLDSDAVPSLDEQKTTKATPTDRISGKARIKALIAEEISARLHLLRTYSCHHLGASDGLGGISTDWTNPIIILQKSADPATSGLQIPSLPRSSNESVTCNGKYHPSDVMRDEGCLKQHSLSAKQEFSRGNTDKLMNTSLNKNLSDGKQLDRGISSHQFMECVDVLELFKVNKKLFLEILQDPDVQAAKNFLVQLNSNRKVRLKKSGSFPLADSPSTRLLRPSTIEHKQKEIWSFPKEENFLAGIKVPKSVEFKDSHDKPMGLESDDTRVSAVALGTDHSSSVFYQGTHKHGWHQSFVIHLKDVMKKIKHTLKESKKEDNHTSMNTILHGVPSRCKLSTNEKDAPVRFEEVTIQLDGKENSRSFHEANSSDNDLSKGQPPHIRRISSLNESVDRYARLFEFSLTKEAKWHDFKSKSLKLTNEDQSTSTGHSLKSFKRRLSLPDLEAFYPLPNETSRNSIHSGIAVKPSIDYDTNTKNESPNNLKSESVLVDRKQFEPPEAVEEAELQKNLVDEARGCEYKENLGDPTVGIEEEVPIVGEQHEDRVEREKQGCSPCEDQETDTIINFSNENEKHSSAYKSVLEEYFQDEIAVETEDLVSKGCELDPALICNDEPNTSIDLEDRSSINSLTGCCSSADRENNENAYGVAGDHSVNFESNELDDNDFNYVRDVLEVSGFMEQGCLGTWHSLDQPLLPTLFKELESYLHHELECSSEDIGCNCDHQLLFDLINEVLIQIHGSSLAYFPEPFSFTQRLRLSPKGNHNLEEVWKRITWYRSTGLKTKQSSDNILARDIAKYDSWMNLQADVEDIALDLEDLIFDELLNEVMH >Manes.04G034800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4491679:4496313:1 gene:Manes.04G034800.v8.1 transcript:Manes.04G034800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLDRQDSDIEFQRHLPGCIWNMFHILDYHHWHSVKKMSPRRKQRRGKHATCFGNPKTVSFAHYTDEVQNHLDSDAVPSLDEQKTTKATPTDRISGKARIKALIAEEISARLHLLRTYSCHHLGASDGLGGISTDWTNPIIILQKSADPATSGLQIPSLPRSSNESVTCNGKYHPSDVMRDEGCLKQHSLSAKQEFSRGNTDKLMNTSLNKNLSDGKQLDRGISSHQFMECVDVLELFKVNKKLFLEILQDPDVQAAKNFLVQLNSNRKVRLKKSGSFPLADSPSTRLLRPSTIEHKQKEIWSFPKEENFLAGIKVPKSVEFKDSHDKPMGLESDDTRVSAVALGTDHSSSVFYQGTHKHGWHQSFVIHLKDVMKKIKHTLKESKKEDNHTSMNTILHGVPSRCKLSTNEKDAPVRFEEVTIQLDGKENSRSFHEANSSDNDLSKGQPPHIRRISSLNESVDRYARLFEFSLTKEAKWHDFKSKSLKLTNEDQSTSTGHSLKSFKRRLSLPDLEAFYPLPNETSRNSIHSGIAVKPSIDYDTNTKNESPNNLKSESVLVDRKQFEPPEAVEEAELQKNLVDEARGCEYKENLGDPTVGIEEEVPIVGEQHEDRVEREKQGCSPCEDQETDTIINFSNENEKHSSAYKSVLEEYFQDEIAVETEDLVSKGCELDPALICNDEPNTSIDLEDRSSINSLTGCCSSADRENNENAYGVAGDHSVNFESNELDDNDFNYVRDVLEVSGFMEQGCLGTWHSLDQPLLPTLFKELESYLHHELECSSEDIGCNCDHQLLFDLINEVLIQIHGSSLAYFPEPFSFTQRLRLSPKGNHNLEEVWKRITWYRSTGLKTKQSSDNILARDIAKYDSWMNLQADVEDIALDLEDLIFDELLNEVMH >Manes.12G125100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33116576:33118707:-1 gene:Manes.12G125100.v8.1 transcript:Manes.12G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGEDNQEFENELQELNIGLMKMELPTRDCCIYRVPKTLRDIKPEAYTPRLISIGPLHHRTTIKTPSGEETVGPPPVQVKEESVSAGELMMETVKLEYLKSFCKRTRRNLQVLRDIVEQQKERIRRCYEESKPKDDVEFVNIILKDSVFIIELFLKTSEAEKHQNDFIFGKPWRRAAVMEDLMLLENQLPYFIMDDLYGHAIANVSDTWPSFLDLTHAYFKHFIEGPTNANYETLSCDCCNWLYCFCLNKSWRKCQNSENQQNREEAQMPFIPLHFTDLIRWHLSPKHDPHPHSKKIGEENQEPSPQHCIRIVSCCCHAGESKKGAKYLFSATKLHEAGVTFKACETEWPLGITFEDGVLSMPILEIDDSTERQFRNLMAFEQCHYPDKDYICNYIKFIDCLIDTEGDVELLIDRGIIVHLLGDTKSVATLFNNLNGEIVEGDFLYETIWKQLNDHYGNSWYRTAAILRRVYFSNLWKGSGTVVAMILLVLTFIQSINSLMQIFKLR >Manes.12G125100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33116576:33118707:-1 gene:Manes.12G125100.v8.1 transcript:Manes.12G125100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGEDNQEFENELQELNIGLMKMELPTRDCCIYRVPKTLRDIKPEAYTPRLISIGPLHHRTTIKTPSGEETVGPPPVQVKEESVSAGELMMETVKLEYLKSFCKRTRRNLQVLRDIVEQQKERIRRCYEESKPKDDVEFVNIILKDSVFIIELFLKTSEAEKHQNDFIFGKPWRRAAVMEDLMLLENQLPYFIMDDLYGHAIANVSDTWPSFLDLTHAYFKHFIEGPTNANYETLSCDCCNWLYCFCLNKSWRKCQNSENQQNREEAQMPFIPLHFTDLIRWHLSPKHDPHPHSKKIGEENQEPSPQHCIRIVSCCCHAGESKKGAKYLFSATKLHEAGVTFKACETEWPLGITFEDGVLSMPILEIDDSTERQFRNLMAFEQCHYPDKDYICNYIKFIDCLIDTEGDVELLIDRGIIVHLLGDTKSVATLFNNLNGEIVEGDFLYETIWKQLNDHYGNSWYRTAAILRRVYFSNLWKGSGTVVAMILLVLTFIQSINSLMQIFKLR >Manes.02G151700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11612350:11619530:1 gene:Manes.02G151700.v8.1 transcript:Manes.02G151700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLHRGISGMRISGNNHDLWDSQMKDKTEKEDLDRNRSSDHSYLSLQFPLKVLLADNNSPSKYGSRENGFASDPFSIGTPRSRHKLTLLLLKLSLVVILVLALTGSLWWTISISASSRGQILHNYRRLQEQLVSDLWDIGELSLGSSRFKEVEFCSQESENYVPCFNVSENLALGFSNGDENDRHCGQGSRQSCLLLPPVNYRIPLRWPTGRDVIWVSNVKITAQEVLSSGSLTKRMMMLDEEQISFRSSSMFDGVEDYSHQIAEMIGLRNESNLIQAGVRTILDIGCGYGSFGAHLFPKQLLTMCIANYEASGSQVQLTLERGLPAMINSFSSKQLPYPSLSFDMLHCARCGIDWDQKDGSFLIEVDRVLRPGGYFVWTSPLINARNKENLKRWNFVRDFAENICWEMLSQQDETVVWKKTTKRNCYSSRKPGSGPSICSRGHDIETPYYRPLQACIAGTQSRRWIPIEERRTWPSRSQLSKSELAIYGLHLEELAEDSANWKTAVHNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAHFGGFNSALLEAGKSVWVMNVVPTTGPNYLPLILDRGFVGVLHDWCEPFPTYPRTYDLVHAAGLLSLDSGKQRRCTMLDIFMEVDRVVRPEGWVIIHDTVPLIELARTLATRLKWDARVVEIESNNDERLLICQKPFFKRQTS >Manes.05G139400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25651694:25653593:-1 gene:Manes.05G139400.v8.1 transcript:Manes.05G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLILLLLALPFLLSFLLRKLKTKRNLHLPPGPKGLPFIGNLHQFDSLNPHSYLWQLSQKHGPVMSLRLGFVPILVVSSAKMAEAVMKTHDLIFCSRPALVGNKKFSYNGLDVAFSPYNAYWREIRKICVVYLFNSNRVQSFRPIREFEISHMLEKISKSAVALKPVNLSEAMTSLTSTIICRTAFGKRYEEDGVERSRFQELLKELQALFACIFVSDYFPFLGFIDKFTGLFHRLEKNFREFDIFYEQIIKEHLDPSRSKPAEEDFLDILLQLRKSRSFKIDLTFDHIKAVLMNVFVAGTDTSAATVVWAMTLLMKNPMAMKKAQEEVRKLVGKKGFVEEADCQQLPYLQAVIKETMRLQPTAPLLVPRESMENCVLDGYDIPAKTIVYVNTWAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFGAGRRICPGMVMGLSTVEVSLANLLYKFDWEMPVGMKKEDLDMDVQPGIAMHKKNALCLMARNYV >Manes.03G106200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22980199:22984326:-1 gene:Manes.03G106200.v8.1 transcript:Manes.03G106200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMRSFCHKHRSFTTSALTPSYSFPWISPLQFSKATPSMPDPPPETSNILVESRRKSKFISHDSAINLIKHERDPQRALEIFNMVAEQKGFNHNHATYSTIIHKLARAKKFQAVDAMLHQMTYETCKFHENVLLNLMKHFSKSSLHERVLEMFYAIQPIVREKPSLKAISTCLNLLIEAKQIDLAQKFLLHVKEYLKIRPNTCIFNILVKYHCKVRDLESAFEVMKEMRKSKRSYPNLITYSTLMDGLCESGRLEEAIKLFEEMVSKDQILPDALTYNVLISGFCHGGKVDRARKIMEFMRSNGCNPNVFNYSVLMNGFCKEGRLKEAKEAFDEMKNVGLQPDTVGYTTLINCFCESGSVDGALELLKEMREMKCKADVVTFNVLLKGLCREGRFNEALGMLEKLAYEGVYLNKGSYRIVLNFLCQKGKLQKTCELLDLMLSRGFVPHYATSNELLIRLCKAAMVDDAVTALFGLAEMGFKPEPDSWAVLVEYICRERKLLFAFELLDELVTKEAGNCTPFDLN >Manes.03G106200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22980535:22984241:-1 gene:Manes.03G106200.v8.1 transcript:Manes.03G106200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMRSFCHKHRSFTTSALTPSYSFPWISPLQFSKATPSMPDPPPETSNILVESRRKSKFISHDSAINLIKHERDPQRALEIFNMVAEQKGFNHNHATYSTIIHKLARAKKFQAVDAMLHQMTYETCKFHENVLLNLMKHFSKSSLHERVLEMFYAIQPIVREKPSLKAISTCLNLLIEAKQIDLAQKFLLHVKEYLKIRPNTCIFNILVKYHCKVRDLESAFEVMKEMRKSKRSYPNLITYSTLMDGLCESGRLEEAIKLFEEMVSKDQILPDALTYNVLISGFCHGGKVDRARKIMEFMRSNGCNPNVFNYSVLMNGFCKEGRLKEAKEAFDEMKNVGLQPDTVGYTTLINCFCESGSVDGALELLKEMREMKCKADVVTFNVLLKGLCREGRFNEALGMLEKLAYEGVYLNKGSYRIVLNFLCQKGKLQKTCELLDLMLSRGFVPHYATSNELLIRLCKAAMVDDAVTALFGLAEMGFKPEPDSWAVLVEYICRERKLLFAFELLDELVTKEAGNCTPFDLN >Manes.03G106200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22980199:22984326:-1 gene:Manes.03G106200.v8.1 transcript:Manes.03G106200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMRSFCHKHRSFTTSALTPSYSFPWISPLQFSKATPSMPDPPPETSNILVESRRKSKFISHDSAINLIKHERDPQRALEIFNMVAEQKGFNHNHATYSTIIHKLARAKKFQAVDAMLHQMTYETCKFHENVLLNLMKHFSKSSLHERVLEMFYAIQPIVREKPSLKAISTCLNLLIEAKQIDLAQKFLLHVKEYLKIRPNTCIFNILVKYHCKVRDLESAFEVMKEMRKSKRSYPNLITYSTLMDGLCESGRLEEAIKLFEEMVSKDQILPDALTYNVLISGFCHGGKVDRARKIMEFMRSNGCNPNVFNYSVLMNGFCKEGRLKEAKEAFDEMKNVGLQPDTVGYTTLINCFCESGSVDGALELLKEMREMKCKADVVTFNVLLKGLCREGRFNEALGMLEKLAYEGVYLNKGSYRIVLNFLCQKGKLQKTCELLDLMLSRGFVPHYATSNELLIRLCKAAMVDDAVTALFGLAEMGFKPEPDSWAVLVEYICRERKLLFAFELLDELVTKEAGNCTPFDLN >Manes.03G106200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22980207:22984241:-1 gene:Manes.03G106200.v8.1 transcript:Manes.03G106200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMRSFCHKHRSFTTSALTPSYSFPWISPLQFSKATPSMPDPPPETSNILVESRRKSKFISHDSAINLIKHERDPQRALEIFNMVAEQKGFNHNHATYSTIIHKLARAKKFQAVDAMLHQMTYETCKFHENVLLNLMKHFSKSSLHERVLEMFYAIQPIVREKPSLKAISTCLNLLIEAKQIDLAQKFLLHVKEYLKIRPNTCIFNILVKYHCKVRDLESAFEVMKEMRKSKRSYPNLITYSTLMDGLCESGRLEEAIKLFEEMVSKDQILPDALTYNVLISGFCHGGKVDRARKIMEFMRSNGCNPNVFNYSVLMNGFCKEGRLKEAKEAFDEMKNVGLQPDTVGYTTLINCFCESGSVDGALELLKEMREMKCKADVVTFNVLLKGLCREGRFNEALGMLEKLAYEGVYLNKGSYRIVLNFLCQKGKLQKTCELLDLMLSRGFVPHYATSNELLIRLCKAAMVDDAVTALFGLAEMGFKPEPDSWAVLVEYICRERKLLFAFELLDELVTKEAGNCTPFDLN >Manes.09G055050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8974880:8975560:-1 gene:Manes.09G055050.v8.1 transcript:Manes.09G055050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQQIYKKNFLHLSPDLGACLHSVLFASALASTYTRFFSAERLLASWRWYQCKWTRTGSKLSSILLVSKDLCLASERCEINNNIWLYCSVN >Manes.09G055050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8972421:8975660:-1 gene:Manes.09G055050.v8.1 transcript:Manes.09G055050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQQIYKKNFLHLSPDLGACLHSVLFASALASTYTRFFSAERLLASWRWYQCKWTRTGSKLSSILLVFYKLMNINALLRNEMDQELAYLMY >Manes.12G017100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1587009:1589514:1 gene:Manes.12G017100.v8.1 transcript:Manes.12G017100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLSLNALVRLPLSSSSRTREDVLVKHSLVSTRTAQKSLQKQTGHSLLVVEAKGKRGMQARQFQRPPTPSLPKIEDDGNPKFVIFIRMANVYLWYPLSLVTGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYRDEKEIQKTAFKQHRVLRSATEFRYGYKLVENANVRAALSTTDVIELPTQDQLKTVVDKVKDFFGDAKESFGKLTSLNSTSEEAEEEVPKEKAKVKG >Manes.05G098250.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9095065:9096838:-1 gene:Manes.05G098250.v8.1 transcript:Manes.05G098250.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTQSNFAIIRLSHEKIENQKRVSSLKLDKPRMANTHYIFSNRIAKAQRRKRQRVQISGERAGKTSNSINFASGHCASFLFVLKIIQVI >Manes.12G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1725354:1733725:1 gene:Manes.12G019400.v8.1 transcript:Manes.12G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSIDRDRANPVVEKSVNQSQLGLEGVDKSLNNSSKPTFSAQVSSVGTDLDRFAGLGNQDDEELVAEARDSKAYSVNGSVSGAVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKIHGPDKILMSPAREKDVRVIGEESLRYMRKANWTSNRLGVTAASAVRQCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCISREDRAAWLESLQATKDLFPRVLTNIDFSPYEDSVSTEKLKMRLLQEGISEAVIQDCESIMLLELSELQNQLKNLQHKHIMLLDTLRQLETEKIELETTVVDETKERESYCGQANRRFSDFYSVMSEGSPSDTEADNESQEGADVETDEDDGTFFDTNDFFSSDALRSASYRSREAIGNACIYDNPFFSDHIHGTSVKVIRTIQYPFVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSFLVDRALEWGKQGNDLMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGRGWKFWADSNIKGKFWGRSIQLDPVGVLTLQFEDGDTFQWSKVTTSIYNIILGKLYCDHYGTMRIKGSDKYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLVGKWDEAMYYVLGDPTTKPKGYDPMTEAVLLWERDKSVTKTRYNLTPFAISLNELTPGLLEKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKLQERGWQPRWFQKDGEGCYRYIGGYWEARERRNWDGIPDIFGQSCNSPFRLVDE >Manes.11G162700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32758875:32761787:1 gene:Manes.11G162700.v8.1 transcript:Manes.11G162700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLDDAENDARQYDDDRSDFGGFGAANGKVQIIQQINHDGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACTPDLRLRGHSTEGYGLSWSNFKQGHLLSGSDDAQICLWDINSTPKNKSLDAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPGNTKPVQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKISTALHTFDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDDEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDIPGDESTKGS >Manes.15G056200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4316130:4339644:-1 gene:Manes.15G056200.v8.1 transcript:Manes.15G056200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSHAHAVKSLNKSPGRRRFVFKTFSQRIEDVEIDVYRSLDKIKLEPSEGSSFFRDYLVEWRELNTAEDFISFYEKMMPFVQTLPLVLLHKESILSELLSRLQMKARLSLEPILWLIAGLSRDLLEDFIPFLPRIVDSFYSLLKSGADREPETIEQVFKSWSHILMYLQKYLVKDVIHVLKVTTKLRYYPEWYIQEFMADATSFLLRNAPKEQLKRGIRKIVFEAVKKPLSSRKSGVIALLYHIIKGPSSKFHSRAGWVLQLLTENSMFNIGDKFNGDVDTVIEVLTATFKRLCENLGQEELNLMWNCLYQRVDDSVNDGDFQHLSCLLTLLVSIVQINNGMKVSDYQPMIECVRSLVQKFIVPSSIVVGEDNSEIVDKILQLMLCILDGLKSSNDMSSISSCLLQWAPVFALRNSSVLTFIRELLEKDPYILHESRVNILSAMNDLIDTAQEEVVYLICSFCERLQNDSLVSRFLDGASADGLSRIRCFLQGAICSWIGVINDITCGNPLSTTIDEGRLALFWGVIRCYPHMMDIRERSIRESSSLLMDLIDALNCLLMIESENFAGVPKRTWQSLLGAALSSCYKGSNIHGLEETSKILRVAETCNSSFHVLSAVADYLDHVYGSMMEADNHCRSDHPKFETNRAVDALGIFADNLCNSDKAIRVATLRILCHYVCLECKKSTNDERPEKIMKTEGYQTHPAEDHGSCGVDVLQLLLSIEATPLSISTSRKVILLISKIQMAISAGRLSETYIPIILSGIIGIFYNRFSYLWNPASECLAVLIGENVALVWDKFVHYFESCLSTFQSCHNKPDEQNAELPYNSCDLVKCFTSFAAPTSDSTPYATVLSSLLQSLQKIPSVAESRSRQIVPLFLKFLGYNNEHLLSVGSFNSDACKGKEWRGVLKEWLNLFKLMRNPRAFYRSQSLKDVLLIRLMDENDAEIQMRVLDCLFTWKDDFLLPYEHHLRNLISSKALREELTTWSLNRESLLIEEGHRASLVPLIILILVPKVRKMKILASRKHTSVHHRKAVLRFIAQLDVNEIPLFFALLIKPLHNISKEADGTPNIFWTLSKNSLDGFQPSNILKYFTLENIMALSWKKKYGFLHVIEDILRSFDESHIRPFLDLLMGCVVRVLQSCMSSIETAKGGGSSMESHSDVGLGLHNDDSTAVNQVLTSSSLKQLKDLRSLCLKIVSLVINKYSDHDFDCEFWDMFFASVKPLIDSFKQEGASSEKPSSLFSCFLAMSSSRCLVPLLSREKNLVSDIFSILTVTTASKAIISCVLKFIEHLLDLNEELDDEDNSVKNVLLPNFDKLISSLHRLFQGDTANKRKLASYLGETHTRIFKLLSKYIQDQLQSRKFLDILLPLLATRHEDSGVFVECLQIIRYIIPVLGNECTTKILNAVSPHLISVELDMRLNICDLLSALAKVDPSVLVVAKLLQELNATSAVEMTGLDYDTIISAYEKIDVDLFYTIQEDHALVLLSHCVYDMSSEELILRNSAYRSLLTFVEFCALILDGEVESQYKSHQVIPTGGNYCWTKACVQRIINKFLLKHMGNTMKEGGAVRKEWIELLRDMVLKLPGMENLNSFKVLCSEDAEQDFFNNIIHLQKHRRARAVLRFSNVIRQKKMSEDTMNKVFVPFLFGMLFDVQCGKGEHIRTACIEALASISAQMEWKAYYTLLIRCFREMKSNVDKQKIVVRLICSILDQFHFSQNCSCQENKDSADSILGSTATETGSLITLQKCGGGSSATLLKCTSSVINPEIQSCLQKTVFPKMQKLLDSDSDKVNVNINVAALKVLKLLPVDIMDSQLPSIIHRIANNLKSRMESIRDEARFALVACLKELGLEYLQFVVGILRATLKRGFELHVLGYTLHFILLKLLSNPIFGKLDYCLEDLLNVVENDILGDVSEEKEVEKIASKMKETRKLKSFETLKIISQNITFKTHGLKLLLPVKAHLQKHLTPKVKTKLENMLNHIAAGIESNPLVEQTDLFVFIYGFIEDGINSEDGQGENSSGAEATLANKFDRNGKRISAGKVIGTKSVCSHLITVFALGLFHNRLKSLKFDKSNEELLSMLDPFVKLLGDCLSSRYEDILSTSLKCLTPLVRLPLPSLASQSDKIKVTLLGISQNSVNNNSLMQSCLKMLTVLLRSTNITLSSDQLHQLIQCPLFIDLERNPSFVALSLLKAVVNRKLVVPEIYDIMIRIAELMVTSQVDSIRKKCSQIILQFLLDYHLSGTYLQQHFDFLLRNLSYEYSTGRESVLEMLHAIIVKFPRNFLDKHAQTLFIHLVQCLVNDRDNKVRSMTGVVLKLLIGRASPHLLDSMLDFSLSWYTDEKRRQVQSTGAQVMGLLVEVMNNSFYKHINSILPVSKTILQAAANVVSESPTLDHETVPLWKEAYYSLVLLEKILHHFHDLSFERDLEDIWEAVCELLLHPHPWLRNISSRLVAFYYATVTKASKESNEKALGTFFLMRPHRLFMVAVSLCCQLKTQVVDDTVESLITQNLVFTISAIHSLMGKAESVDPSTFWSALEQHEQGLLLKAFQLLDSRKGREIFLKVLSGVRDQDDGDCCHNLQYLLLSNLIKKMGKIALQMEATQMRIIFNTFGKISSQIKQDELQKHAFDMLLPLYKVCEGFAGKVVTDELRQLAHEVCGSVRSALGIQNFVQLYSDIRRNMKARREKRKREEKVMAVVNPVRNARRKLRIAAKHRAHKKRKIMTMKMGRWMH >Manes.15G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10460383:10465953:1 gene:Manes.15G131000.v8.1 transcript:Manes.15G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFESNLISEDHQINNTQIANNPDHGWQKVTYPKRQRKQKPADSASANAGKVNGTAIPNDKPNVFRSLEQQSEERRRRIIESQRAAIAAVEAPVRSKHRSDDEDEDDDDSDDAAGSKGNEKMEEKKVKQKKPKKPKVTVAEAAAKIDSSDLAAFLADISASYEGQQEIQLMRFADYFGRAFSAVGSAQFPWVKMLRENTVAKMTDIPLSHISDAVYKTSAEWINQRSIEALGSFVLWSLDSILADLVSQQAGSKSAKKVVQHASSKSQVAIFVVLAMVLRRKPDALVHVLPTLRESSKYQGQDKLPVVAWMIAQVSQGDLAVGLYSWAHNLLPIVSGKSSNPQSRDIILQLVEKILSSQKARTILVSGAVRKGERLVPPFALEILLRVTFPASSARVKATERFEAVYPTLRAVALAGSTGSKAMKQVSLQILSFAIKAAGESNPELSKEAAGICIWCLTQNAECYKHWDKVYQENPEASIAILKKLYDDWKEVSVKLAPLDPLRETLKNFRQKNEKALAEGEDSAHQAFFRDADKYCKLILRKLSHGHCCAKTMAFAVVALAVGAAFLAPNMESLDWEKLVMDVNSQLSQFASRVGSTY >Manes.05G066200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5522767:5523984:-1 gene:Manes.05G066200.v8.1 transcript:Manes.05G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIQNLRNFHVLRSGYRPVPFLFISRNPFSIRSSRGLKTPFVSLQSEPLPNVIVSTTSTNQASFTIDYLVHSCGLSPEAAISVSQKLHLQSPEKPDSVLALLRNHEFSKTQISNLVKKRPFLLLAHPENTLLPKLDFFYSIGVSRSDLARTLSSDPTLLTRSLENQIIPSYNFLKSILLSNERIVSALKRTTWIFLEDHSKNLLPNIELLRGLCVPHSCISLLLTHFPEAVMQRHEEFGKIVKEVKEMGFDPNKSTFVLAVHAMSGKGNKSIWKRCFEVYKRWGWSKDDILAAFRKHPHCMMLSEKKIMKGMDFFVNNMGWPSKVIAQLPVVLFFSLEKRIIPRCRVIRVLMSKRLIKDDLSLASVLLPVEKCFLERFVTKFEEEVPELLSIYEGKVNPEEAYS >Manes.13G112400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31753792:31757986:-1 gene:Manes.13G112400.v8.1 transcript:Manes.13G112400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGTSLSLSGLLCQEDEASCFNLSEDEYTCCYDMNIDSFGVLESDEIEYIEKMVERETILGSTTYNDCSTTSFNWLKCARLDAVEWIFNTRAIFGFQFHTAYLSVTYFDRFLSKRSIDDGKLWAIRLLSVACLSLAAKMEECRVPPLSEFPVEDYCFENKVIQRMELLVLNTLEWKMGSATPFSYLHYFISKFCGESRPKETFSRVVELILALIKESNLLDHRPSIVAAAAVLAASYSQLTKEELELKMKVISSWGSLANGKKWLLDNLPIWKHGREGSNTTLIMNDINDGFKRGRQRLSLF >Manes.13G112400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31753792:31757992:-1 gene:Manes.13G112400.v8.1 transcript:Manes.13G112400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGTSLSLSGLLCQEDEASCFNLSEDEYTCCYDMNIDSFGVLESDEIEYIEKMVERETILGSTTYNDCSTTSFNWLKCARLDAVEWIFNTRAIFGFQFHTAYLSVTYFDRFLSKRSIDDGKLWAIRLLSVACLSLAAKMEECRVPPLSEFPVEDYCFENKVIQRMELLVLNTLEWKMGSATPFSYLHYFISKFCGESRPKETFSRVVELILALIKESNLLDHRPSIVAAAAVLAASYSQLTKEELELKMKVISSWGSLANVTFLSF >Manes.13G112400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31753792:31757987:-1 gene:Manes.13G112400.v8.1 transcript:Manes.13G112400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGTSLSLSGLLCQEDEASCFNLSEDEYTCCYDMNIDSFGVLESDEIEYIEKMVERETILGSTTYNDCSTTSFNWLKCARLDAVEWIFNTRAIFGFQFHTAYLSVTYFDRFLSKRSIDDGKLWAIRLLSVACLSLAAKMEECRVPPLSEFPVEDYCFENKVIQRMELLVLNTLEWKMGSATPFSYLHYFISKFCGESRPKETFSRVVELILALIKESNLLDHRPSIVAAAAVLAASYSQLTKEELELKMKVISSWGSLANVRILTLNE >Manes.13G112400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31753792:31757986:-1 gene:Manes.13G112400.v8.1 transcript:Manes.13G112400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFESDEIEYIEKMVERETILGSTTYNDCSTTSFNWLKCARLDAVEWIFNTRAIFGFQFHTAYLSVTYFDRFLSKRSIDDGKLWAIRLLSVACLSLAAKMEECRVPPLSEFPVEDYCFENKVIQRMELLVLNTLEWKMGSATPFSYLHYFISKFCGESRPKETFSRVVELILALIKESNLLDHRPSIVAAAAVLAASYSQLTKEELELKMKVISSWGSLANEHIFSCYIIMQEIEMGKLKTPKQFLSPKTSPIHSSSSIAVVENSCFTTSGGGTKRRLTYNDSDQNCPGKKIHHPS >Manes.13G112400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31753792:31757986:-1 gene:Manes.13G112400.v8.1 transcript:Manes.13G112400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGTSLSLSGLLCQEDEASCFNLSEDEYTCCYDMNIDSFGVLESDEIEYIEKMVERETILGSTTYNDCSTTSFNWLKCARLDAVEWIFNTRAIFGFQFHTAYLSVTYFDRFLSKRSIDDGKLWAIRLLSVACLSLAAKMEECRVPPLSEFPVEDYCFENKVIQRMELLVLNTLEWKMGSATPFSYLHYFISKFCGESRPKETFSRVVELILALIKESNLLDHRPSIVAAAAVLAASYSQLTKEELELKMKVISSWGSLANEIEMGKLKTPKQFLSPKTSPIHSSSSIAVVENSCFTTSGGGTKRRLTYNDSDQNCPGKKIHHPS >Manes.13G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31753792:31757986:-1 gene:Manes.13G112400.v8.1 transcript:Manes.13G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGTSLSLSGLLCQEDEASCFNLSEDEYTCCYDMNIDSFGVLESDEIEYIEKMVERETILGSTTYNDCSTTSFNWLKCARLDAVEWIFNTRAIFGFQFHTAYLSVTYFDRFLSKRSIDDGKLWAIRLLSVACLSLAAKMEECRVPPLSEFPVEDYCFENKVIQRMELLVLNTLEWKMGSATPFSYLHYFISKFCGESRPKETFSRVVELILALIKESNLLDHRPSIVAAAAVLAASYSQLTKEELELKMKVISSWGSLANEHIFSCYIIMQEIEMGKLKTPKQFLSPKTSPIHSSSSIAVVENSCFTTSGGGTKRRLTYNDSDQNCPGKKIHHPS >Manes.16G133901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33423804:33427489:-1 gene:Manes.16G133901.v8.1 transcript:Manes.16G133901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPTPPPSTKVRSIMASVRSDNQWRLLGEHSYSSELGGFCSLSCRSTMTTSGCRKIQDGLRITLRAKMLGRGTARSGLDSLCKTLKQA >Manes.09G174900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36650873:36652894:-1 gene:Manes.09G174900.v8.1 transcript:Manes.09G174900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDLWERKLLHDDEDKNIEMKKSCTDCKTTKTPLWRGGPAGPKSLCNACGIRYRKKRRAMLSLDKDKESEKKKKRSHSTTTTTTLTTTTATSASSVNNGNGLGDSLKMSLIEVGEKMMFERSSIVRKQRCQRRRRLGEEEQAAFSLMALSCGSVLA >Manes.02G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4322063:4324308:-1 gene:Manes.02G054300.v8.1 transcript:Manes.02G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRILVVPYPVQGHVIPLMELSKCLAKHGLRITFVNTEYNHQLIKNASEGNNIGDDIHVVSVSDGIHFSEDKNKPGKSSEAILRVMPGKVEELIEEINASGSDKIGCILADQSFGWALEIAEKKGIRRAAFCPAAAAQLVLGFSIPKLIEDGIIDDHGTPTKQQIIQISPTMPAVNTANFVWACLGNKEAQKNIFGLMVRNNKSVKLTDWLLCNSTYDLEPGAFNLAPQLLPIGPLLASNRQADSVGNFWPEDTTCLAWLDQQPPESVIYVAFGSLAVLHQTQFQELALGLELCNRPFLWVIRSDIRKGTTDAFLKEFQDRVGTRGKVVDWAPQQKVLAHPSVACFVSHCGWNSTIEGVSNGILFLCWPCFADQFLNQSYICDIWKIGLGFERDENGRIMRGEFKNKVEQLLSNGEFKARALELKEMVINSAKETGSSYQNFKKFVEWLKE >Manes.16G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1322699:1326000:1 gene:Manes.16G013500.v8.1 transcript:Manes.16G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDALAALLFFIILLLIQSKLSTAADPIYTDCPNGPSTKNYSLNTPFENNLRVILQNLTSRTPLTGFYNTSMGNNPDRVHGQALCRGDTDAKTCQSCIDTAARRLLETCKSVNAIIWYESCQVRYSIQSFFSMQVYTGKYVEWETQGKNISNPDHFNKILTYLMRNITSEAAYNSSKRMFATGEVKFSQEKIIHGLVQCTRDIKEADCHSCLNQALGDLRGCCYASQGGIIVSRNCNVRFELYSFYNSSGNLLKYPTSKGDKWKPWVIALVVSIPTLVLTVLIVSCIVYQNLKGGQEEDEKKSQLALLQELASPKGISMTQACELMSSEELPFLDLSIIRAAANNFANANKLGQGGFGTVYKGVLPDGKEIAVKRLSKKSWQGFEEFKNEVILIAKLQHRNLVRLVGCGIEEEEKVLIYEFMPNKSLDFIIFDSERRKQLDWKTCFNIIGGIARGLLYLHEDSRLKIIHRDLKPSNVLLDHEMAAKISDFGMARIFDENQNTEKTRRVAGTYGYMSPEYAMEGLFSVKSDVFSFGVILLEILSGKKNSGFYHTELAPTLLAYAWQLWSGGKGQEFIDPMLLETSPTEEVVRCLHIGLLCIQEDPEDRPTMSFVLVLLGFKSVDPPEPKPPAFSLGRIVQVDKPLIADPSMDQINSVIFPR >Manes.03G038400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3375594:3378162:1 gene:Manes.03G038400.v8.1 transcript:Manes.03G038400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTDDFSVQISDATPPADDSEPTDQKKQLLDSPLPNKEEETQKKRMKSWEKILISVETTAFVCVMGLLIASLTADRLQNSMIWGFKIWKWCLLILAIVCGRLAGFLITNFLMALIWKFWLDEKVIYFAHGVKKSVLFIIWLGFVTLAWGLLFNHGDKRKVTRGLAGCLIGSALWLLKTLLLKLVGSAHAKKLFSRIKEAIRNRKVLRALSEMEMKNTNSGKQREEKVSGETMREIMDAIRGKRLVPLSYVCFDVDEVKPTVKKISDEAGARIASDEIFTRLAGPNKKDGFMDLKTLLYYVDDEKVIQHFEGVAEDKQHIAGAEQNITEQDKQIKKSVFRNWVVDVYKDQDSLKSTLQHSKTAIDDLNTIVSVIILFIIAVVWLLFVEVLSTKLLVFVSSQLLLVVFMFGNTAKNVFEAVIFVFAVHAFDVGDRCAIDGVQMVVDEMNILTTTFLKNDGEKIYYPNSVLALKPIGNLYRSPPMSDSLEFAISLRTPMQIINDLQDKITKYLERNPRKWRAEHSVQFKEIEDVNKMKVALYVNHTVNFHYIAKRSKRRSELVLEMKKIFEELKIEYNLLPQQVNLSYAGSAAPALPLAFPVKGS >Manes.10G120500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28561121:28562661:1 gene:Manes.10G120500.v8.1 transcript:Manes.10G120500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDYQDLVKATEGFSPSRLIGKGSHGSVYKGILLKENKVLAIKKSTIGIDHVSNDNSKKLENEICILSSLHDHHHQSPYIINFLGTSHEYSSRKLLVMEFMPNGSLHEMLHAAKTPPSWPKRVEIALQIARAVQFLHENNPLVIHRDIKSANVLFDENWNAKLADYGLAMSRVGSLSHQASQPAGTIGYMDPCYTTPSKLSTKTDVFSYGVVLLEIISSRKAIDVSKSPSSIVEWAVPLSQKHRVPIGEICDPRIGLPPYMEGTIRNLLNVAARCVSSKEENRPCIGEVAMEMNSFCLVERVKVVVASPCSWTCLVRSLILMRRQRRLAKKCKRKCEDYSEISKGKLLLREMLADR >Manes.17G122800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32829788:32831557:1 gene:Manes.17G122800.v8.1 transcript:Manes.17G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNASCDFQHHVILFPFMSKGHAIPILHLARLLLRRCIAVTIFTTPANYPFIAKSLTATTAARIIVLQFPHNVRGIPCGVESTDKLPSMSLFPSFALATKLLQPEFERAVETLHHVNFMVSDGFLWWTLESAKKFGFPRLVFYGMCNYSICVSRAVREDGLLFDPQSLAEEMITVTRFPWIKVTRRDFEQPFAEAEQKAKFEFMKDTVAASKSSYGYIVNSFYELETVFVDFFNLHSGPKAWCVGPLCLAEPLKSTDSSAHEKPTWFQWLDKKLEQKRSVLYVAFGTQAEISPDQLREIAIGLENSKANFFWVMRNKESELGDGFEERIKDRGIVVSDWVDQREILEHQSVKGFLSHCGWNSVLESICAGVPILAWPMMAEQPLNARMVVEEIKVGLRVETCGGLVKREELTKIVTELMEGERGKEVMKKVKEYAEQARKAVEEGIGSSWRTLDMLIDETCRK >Manes.04G074750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27512404:27513637:-1 gene:Manes.04G074750.v8.1 transcript:Manes.04G074750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAKHEDNLRFRNLILQCCSLLARSWQIYLVDCYREANMIANKLANLIVVSPVHLVFLPTPPVQVLGHLQWDMQGGSTPRIVLWINVFLFRYLASYVPSNKKK >Manes.01G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5047713:5049137:-1 gene:Manes.01G023000.v8.1 transcript:Manes.01G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSNIVLGIFNVIILIIGLGAIGIGFFFYLGSNSECEKGMENQLMIMGGALFVVSLLGLIGSCYGINFLLMLYLVVMFFLIVAFMVFTIFAITVTNEASGKVMSRTKIMNFRTWIEDYFVTEQNWNQIKTCLIDAKVCISLGADVENDVAQFYKKNLSPIQLGCCKPPAECGFIYRNVTVWIKPKAGAAVKNVDCKRWSNELNTLCYNCDTCKAGMVSNIKYKWKQLAIVNACITVILVVLYSIGCCARRNNSSNKVYGKFKGYKPYP >Manes.17G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32836329:32840243:1 gene:Manes.17G122900.v8.1 transcript:Manes.17G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPTQSLFSLNILCAVVVLHAMVKLLSLHRTHVCMFIMMITVKISVSQPSPSVCSLDLPSSLPDSDTTCTGRDWGGFLSENCCGSSFPAYLFALSQRANRTGLIFLNSDEQRSCLAKMEKSEPDVLSCGIDKLTSGGGGCSDISVANATHRLGRNLKSLTQNCKFEGSEEEFDQFCISCVRSWQEIGQEHSISSNGTDVCRFAVLISLTSTRIHDESYIHRVYKCLENQKNQNNNIGDIDAAESAEPEVKKKHKISTGIWILTGCFIVAAVILITIATKFSRRCHKSKKPLKNNAFMNLQLKESSFPKFPLKEVYYATSNLSDNNLIGEGTAGKVYKGILSNNQHVAIKHIINDGNAETVVREVTSLSHITHPNLVALLGYCIREDECFLIYEFCPNGNLSEWIFGKDKFLSWIQRLEIAVDSARGLQFLHSYSEGCIVHRDIKPTNILLGPNFDAKLSDFGLSKVINQGETYASSEVRGTFGYVDPEYKSNRQVNSSGDVYSFGIVLLQILSGRKVINMNLNKPMPLDKMAKCLTRGGSIIDFADPKLDGEYSAEAFVLTFELALSCTALQQQRPSMDRVVAQLEEALNASTRAKASTPEATPIRLLIS >Manes.17G122900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32836329:32840243:1 gene:Manes.17G122900.v8.1 transcript:Manes.17G122900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPTQSLFSLNILCAVVVLHAMVKLLSLHRTHVCMFIMMITVKISVSQPSPSVCSLDLPSSLPDSDTTCTGRDWGGFLSENCCGSSFPAYLFALSQRANRTGLIFLNSDEQRSCLAKMEKSEPDVLSCGIDKLTSGGGGCSDISVANATHRLGRNLKSLTQNCKFEGSEEEFDQFCISCVRSWQEIGQEHSISSNGTDVCRFAVLISLTSTRIHDESYIHRVYKCLENQKNQNNNIGDIDAAESAEPEVKKKHKISTGKVYKGILSNNQHVAIKHIINDGNAETVVREVTSLSHITHPNLVALLGYCIREDECFLIYEFCPNGNLSEWIFGKDKFLSWIQRLEIAVDSARGLQFLHSYSEGCIVHRDIKPTNILLGPNFDAKLSDFGLSKVINQGETYASSEVRGTFGYVDPEYKSNRQVNSSGDVYSFGIVLLQILSGRKVINMNLNKPMPLDKMAKCLTRGGSIIDFADPKLDGEYSAEAFVLTFELALSCTALQQQRPSMDRVVAQLEEALNASTRAKASTPEATPIRLLIS >Manes.04G023600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2752951:2755098:1 gene:Manes.04G023600.v8.1 transcript:Manes.04G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQFLFSFSVSIFFFFFCFCCTTTSLAQSPAPAPAVPRGPTNVVKILKKAGQFKTFIRLLKTTQLDSNLNSQLGNTNNGLTIFAPSDTAFSNLKKRTLSSLTHQEIVELVQFHIVPTFISTSQFDTVTNPLRTHAGSGSRFQLNVTTSGSSVNITTGLTNTTIADTVYTDDHLAIYKVDKVLLPLDIFTPKPPAPAPAPAATKTEVESPNEEEDDDDVYHKNKSGAVSYVMDYNLVVLGVIGIVSITFSL >Manes.05G060000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5049276:5053521:-1 gene:Manes.05G060000.v8.1 transcript:Manes.05G060000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPGILTDWPWKPLGSFKHVILAPWAIQNTYSYVVRGENGLAPALVFPFLLLRMIHNQLWISLSRYRTAKGNNLIVDKAIEFDQVDRERNWDDQILFNGILFYIGAMLIPGAKDLPLWRNDGVIITMLLHAGPVEFLYYWLHRLLHHHYLYSRYHSHHHSSIATEPITSVIHPFAEHIAYFVLFAIPMLTTLFNGTASVVSLTIYVLYIDFMNNMGHCNFELIPKWLFSIFPPLKYFMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTVDKSSDTLYENSLKRKEEVPDVVHLTHITTPQSIYHLRLGFPYLASSPETPKWYLCLMWPVTMWTMMFTWIYGRTFVFERHRLDKLRLQTWAISKYNIQYLMQWQNQSINQIIEEAILEADEKGVKVLSLGLLNQGEELNKYGELYVRKHPTLKTKVVDGSSLAIAVVLSSIPKGTTQVLLRGRPNKVACAIALSLSQRGIQVATTHKEDFEKLKASFGRESTNNLVLSKKYTVKTWLVDDALSEEEQMKATKGTVFIPLSQFPPKKKRKDCFYHSTPALVAPASLENVDSCENWLPRRVMSAWRVAGIVHALEGWNVHECGNSIFDVDKVWQAAVRHGFQPLAITTAFRSN >Manes.14G061600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5131781:5135651:1 gene:Manes.14G061600.v8.1 transcript:Manes.14G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAATVTSREEEKTLQHELTIPILLADRVIKFAQEAESSKQDCSDLAKQVDRLSLMLRSTVRLASTSPSVYDRPLLRIASDISKNLERALTLVRKCKHSGLLRQVFSITSTADFRKVSNLLESSIGDMKWFLSIFESDGCTYLSLPPIASNDPILAWVWSYISTIQMGQPKDRVDAANELASLARDSDRNKKMIVEEQGICPLLKLLKEGPSPEAQIAAANALFNIATDQERVRRIVDWLGVPIIVGVLGDSPTKVQTVVANLVASMGELDPYSQEVLMTENVTRPLVSLLSIDLDFDDVENQSAKTSIHSLVQMNKELSYTRYSNGHSVNYNSDGSSHHRKEREMEPPEVKLKLKVSCAKALWKLSKGSVSNSRKITETRGLLCLAKIIEKEKGELQLNCLMTIMEITAVAEFDVDLRRAAFKTNRPPAMAVLNQLLRVIQEESDPNLHIPAIRSIGCLGRTFPARETRIIEPLVAQLGNSNVNVATEAAIALGKFVSPDNFNCSQHSKAIIEFNGVQPLMKLIRNGDRARMHGLVLLCYLALNAGNSKALEQVRALNALEAAARPVIAQHPELRDLLAKAIHHLILYQAGPHPHRQSFAP >Manes.12G085000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12672996:12675145:1 gene:Manes.12G085000.v8.1 transcript:Manes.12G085000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKQNHVEVNGLKLHVAEIGSGPKVVLFLHGFPEIWYTWRHQMIAVANAGYRAIAIDFRGYGFSDQPPEPEKGTFMDLVGDVLALLDTLGISKAFVIAKDAGAFPAYLLGVLHPNRVSALATLGIPFMLPGPNGIPTHLMPKGFYIARWQEPGRAEADFGRLDVKTVIKNIYILFSGTEPPTAKDDTQEIMDLVDASTPLPPWFSEEDLAVYASLYETSGFRFALQVPYR >Manes.12G085000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12672996:12675145:1 gene:Manes.12G085000.v8.1 transcript:Manes.12G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKQNHVEVNGLKLHVAEIGSGPKVVLFLHGFPEIWYTWRHQMIAVANAGYRAIAIDFRGYGFSDQPPEPEKGTFMDLVGDVLALLDTLGISKAFVIAKDAGAFPAYLLGVLHPNRVSALATLGIPFMLPGPNGIPTHLMPKGFYIARWQEPGRAEADFGRLDVKTVIKNIYILFSGTEPPTAKDDTQEIMDLVDASTPLPPWFSEEDLAVYASLYETSGFRFALQVPYRNLNVDCGIKDPKITVPSLLIMGEKDYVMKFTGVEDFIRSGKVKEFVPDLDIIFVEDGSHFVHEQLPQQVNEILINFLNKHSK >Manes.12G085000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12672996:12675145:1 gene:Manes.12G085000.v8.1 transcript:Manes.12G085000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVANAGYRAIAIDFRGYGFSDQPPEPEKGTFMDLVGDVLALLDTLGISKAFVIAKDAGAFPAYLLGVLHPNRVSALATLGIPFMLPGPNGIPTHLMPKGFYIARWQEPGRAEADFGRLDVKTVIKNIYILFSGTEPPTAKDDTQEIMDLVDASTPLPPWFSEEDLAVYASLYETSGFRFALQVPYRNLNVDCGIKDPKITVPSLLIMGEKDYVMKFTGVEDFIRSGKVKEFVPDLDIIFVEDGSHFVHEQLPQQVNEILINFLNKHSK >Manes.02G069500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5310504:5312988:1 gene:Manes.02G069500.v8.1 transcript:Manes.02G069500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLCSDNSAGFSPRISFSHDLCLSDIVPVEQHPLPSNSLGSIDFDFCTRKSFDQESSSADELFSDGKILPTEIKKKTAPAKQLDQSSPPPHALNEDVLSKKESMKEMKGASDELPEEKHTSKSFWRFKRSSSLNCVSGYGRSLCPLPLLSRSNSTGSVPSSVKRVPLSRDSSSNHKQHRQSFMKHQSSSSSTSYQKPPLKKNYGAYGNGVRVSPVLNVPSGNLFGLGSIFFNGKDKNKKK >Manes.02G069500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5310497:5312988:1 gene:Manes.02G069500.v8.1 transcript:Manes.02G069500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLCSDNSAGFSPRISFSHDLCLSDIVPVEQHPLPSNSLGSIDFDFCTRKSFDQESSSADELFSDGKILPTEIKKKTAPAKQLDQSSPPPHALNEDVLSKKESMKEMKGASDELPEEKHTSKSFWRFKRSSSLNCVSGYGRSLCPLPLLSRSNSTGSVPSSVKRVPLSRDSSSNHKQHRQSFMKHQSSSSSTSYQKPPLKKNYGAYGNGVRVSPVLNVPSGNLFGLGSIFFNGKDKNKKK >Manes.02G069500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5310463:5313034:1 gene:Manes.02G069500.v8.1 transcript:Manes.02G069500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLCSDNSAGFSPRISFSHDLCLSDIVPVEQHPLPSNSLGSIDFDFCTRKSFDQESSSADELFSDGKILPTEIKKKTAPAKQLDQSSPPPHALNEDVLSKKESMKEMKGASDELPEEKHTSKSFWRFKRSSSLNCVSGYGRSLCPLPLLSRSNSTGSVPSSVKRVPLSRDSSSNHKQHRQSFMKHQSSSSSTSYQKPPLKKNYGAYGNGVRVSPVLNVPSGNLFGLGSIFFNGKDKNKKK >Manes.02G069500.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5310507:5312909:1 gene:Manes.02G069500.v8.1 transcript:Manes.02G069500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLCSDNSAGFSPRISFSHDLCLSDIVPVEQHPLPSNSLGSIDFDFCTRKSFDQESSSADELFSDGKILPTEIKKKTAPAKQLDQSSPPPHALNEDVLSKKESMKEMKGASDELPEEKHTSKSFWRFKRSSSLNCVSGYGRSLCPLPLLSRSNSTGSVPSSVKRVPLSRDSSSNHKQHRQSFMKHQSSSSSTSYQKPPLKKNYGAYGNGVRVSPVLNVPSGNLFGLGSIFFNGKDKNKKK >Manes.02G069500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5310476:5313034:1 gene:Manes.02G069500.v8.1 transcript:Manes.02G069500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLCSDNSAGFSPRISFSHDLCLSDIVPVEQHPLPSNSLGSIDFDFCTRKSFDQESSSADELFSDGKILPTEIKKKTAPAKQLDQSSPPPHALNEDVLSKKESMKEMKGASDELPEEKHTSKSFWRFKRSSSLNCVSGYGRSLCPLPLLSRSNSTGSVPSSVKRVPLSRDSSSNHKQHRQSFMKHQSSSSSTSYQKPPLKKNYGAYGNGVRVSPVLNVPSGNLFGLGSIFFNGKDKNKKK >Manes.02G069500.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5310507:5312909:1 gene:Manes.02G069500.v8.1 transcript:Manes.02G069500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLCSDNSAGFSPRISFSHDLCLSDIVPVEQHPLPSNSLGSIDFDFCTRKSFDQESSSADELFSDGKILPTEIKKKTAPAKQLDQSSPPPHALNEDVLSKKESMKEMKGASDELPEEKHTSKSFWRFKRSSSLNCVSGYGRSLCPLPLLSRSNSTGSVPSSVKRVPLSRDSSSNHKQHRQSFMKHQSSSSSTSYQKPPLKKNYGAYGNGVRVSPVLNVPSGNLFGLGSIFFNGKDKNKKK >Manes.06G092500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22765549:22765839:-1 gene:Manes.06G092500.v8.1 transcript:Manes.06G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQVVLRRDNGSGRASTTSSSVVRNVRYGECQKNHAANMGGYTVDGCREFMASGEEGTTAALMCAACSCHRNFHRREVETEVVCEYSPPNSSRR >Manes.09G180100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36917586:36921699:1 gene:Manes.09G180100.v8.1 transcript:Manes.09G180100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLANIDLQICSAQVTESTDFTELTNQEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKGRLGVEVEMGGCKAPITTFIVEPFVPHDQEFYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTLEACAPLIATLPLEIRSKIGGFITGIFAVFQDLDFTFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSPTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCSTADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRSLGQELGVPLEVYGPEATMTGICKQAIDCIMSTA >Manes.09G180100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36917586:36921702:1 gene:Manes.09G180100.v8.1 transcript:Manes.09G180100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLANIDLQICSAQVTESTDFTELTNQEPWLSSSKLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKGRLGVEVEMGGCKAPITTFIVEPFVPHDQEFYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTLEACAPLIATLPLEIRSKIGGFITGIFAVFQDLDFTFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSPTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCSTADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRSLGQELGVPLEVYGPEATMTGICKQAIDCIMSTA >Manes.02G165900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13008252:13020492:-1 gene:Manes.02G165900.v8.1 transcript:Manes.02G165900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLENTVATVARFIEKLHAKKSSPHEKELITARLVSLAKAKKEARAIIGSHTQSMPLFISILRSGTLGAKVNVAGTLSALCKDDDLRVKVLLGGCIPPLLSLLKSKSIDARKAAAEAIYEVSSGGLSDDHVGIKIFVTEGVVPTLWDQLNPQNKQDKAVEGFVTGALRNLCGDKDDYWRATLEAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAIKALLELVDQNNDISVRASAAEALEVVSSRSVKAKQAVVDANGVNVLIGAVVAPSKECMQGESAQALQGHATRALANMYGGMSALILYLGQLSQSPRLSAPIADIIGALAYALMVFEQNSDVDEESLDATKIEDILVKLLKPRDTKLIQERILEAMASLYGNIQLSGSVNHAEAKKVLVGLVTMAVGDAHEYLVISLTSLCRDGIGIWEAIGKREGIQLLISLLGLSTEQHQEYSVRLLAILTDQVDDSKWAITAAGGIPPLVQLLETGSQKAREDAAHVLWNLCCHSEDIRACVESAGAIPAFLWLLKSGGPEGQEASAKALKTLVRTADHATINQLLALLLGDSSGSKAHVIRVLGHVLTMASLNDIVQRGSAANKALKSLIEVLNSPHEETQECAASVLADFFNTRQDICDSLAADEVVHPCMKLLTGNNTQVVATQLARTLSALSRPTKRKTSNKMPSIAEGDVKPLIKLAKTSSIDAAETAVAALANLLSDPQVAAEALAEDVVAALTRVLGEGTSEGKKNAARALHQLLKHFPVGDVLKGHAQCRFTVLAILDSLNALNSNGTDTADALEVVALLAKTKQGTSAAYPPSAAFAEVPSSLESLVYCLAKGSPSQQDKAIEILSRLCGDQPVILGDMLIARSSSIGSLANRIMKSSSLEVRVGGTALFLCAAKEHKQQAMQALDLSGYLKPFIYTLVDMIKQNSGCSSLEIEVRTPRGLFKRSALQEGDDFDVLDPSTVLGATVALWLLSIVCSFHNKNRLMVMEAGGLEVLSDKLLSYTSNPQAEFEDTEGIWVSALLLAILFQDATVVLAPATMRIIPSLAHLLRSDELIDRYFTAQAMASLVCNGSKGISLTIANSGAVAGLITLIGYVESDMPNLVALSEEFSLLQNPAQIVLERLFEMEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPISVQLLTCVAKESDTNKLIMAEAGALDALTKYLSLSPKDSTEASISELLRIMFKNSETIRYEASLNSVNQLVAVLHLGSRDARFSAARALQELFDAENIRDSELAWQAVQPLFDMLSAASESEQEAALVALNKLTSGNTLKASLFLDLEGDPLETLYKILSSSCSLELKRGAAELCFIIFTNAKFRANPIASEFMQPLISLMQSNISLVVEAGVCAFERLLDDEQLVELAAAYDFIVDLLVSLVSGTNFRLIEGSICALIKLGKDRAPRKLDIVKAGVIDKCLVLLPVAPNSLCSAIAELFRILTNSGAIARSSDAAKIVEPLFMLLLQPDFGLWGQHSALQALVNILEKPQSLVTLNLTPSRVIEPLITFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVRLAGIGILNLQQTAIKALEKISTSWPKVVADAGGIFEVAKVIVQDDPEPPFELWETAALVLSNVLCFNAEYYFKVPLVVLVRMLHSTLESTVKVALNALIVQERADASSAEQMAESGAVDALLDLLRSRQCEELSGRLLEALFNHIRVREMKASKYAIAPLAQYLLDPQTKSDTCKLLAALAIGDLSQHEGLARASDSVSAVRALVSLLEDQPSEEMTMVATCALQNFVMHSRTNRRAVAEAGGILIVQELLLSPTADIVGQAAMLIRFLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQILRTLNVIFTNFPKLHVSEASTLSIPCLINALKSGNEAAQESVLDTLCLLKQSWSAMSIEIAKSQAMVAAEAIPILQQLMKTCPPSFHERAELLLHCLPGCLTVTILRGNNLKQTVGSTNAFCQLTIGSGPPRQTKVVSHSISPEWEEGFTWAFDVPPKGQKLHIVCKSKNTFGKTTLGKVTIQIDKVVTEGIYSGLFSLNHDSNKDGSSRTLEIEIVWTNRPSE >Manes.02G165900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13008252:13020492:-1 gene:Manes.02G165900.v8.1 transcript:Manes.02G165900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSSFDLQENSSSILQSRESNGSLEMDDLENTVATVARFIEKLHAKKSSPHEKELITARLVSLAKAKKEARAIIGSHTQSMPLFISILRSGTLGAKVNVAGTLSALCKDDDLRVKVLLGGCIPPLLSLLKSKSIDARKAAAEAIYEVSSGGLSDDHVGIKIFVTEGVVPTLWDQLNPQNKQDKAVEGFVTGALRNLCGDKDDYWRATLEAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAIKALLELVDQNNDISVRASAAEALEVVSSRSVKAKQAVVDANGVNVLIGAVVAPSKECMQGESAQALQGHATRALANMYGGMSALILYLGQLSQSPRLSAPIADIIGALAYALMVFEQNSDVDEESLDATKIEDILVKLLKPRDTKLIQERILEAMASLYGNIQLSGSVNHAEAKKVLVGLVTMAVGDAHEYLVISLTSLCRDGIGIWEAIGKREGIQLLISLLGLSTEQHQEYSVRLLAILTDQVDDSKWAITAAGGIPPLVQLLETGSQKAREDAAHVLWNLCCHSEDIRACVESAGAIPAFLWLLKSGGPEGQEASAKALKTLVRTADHATINQLLALLLGDSSGSKAHVIRVLGHVLTMASLNDIVQRGSAANKALKSLIEVLNSPHEETQECAASVLADFFNTRQDICDSLAADEVVHPCMKLLTGNNTQVVATQLARTLSALSRPTKRKTSNKMPSIAEGDVKPLIKLAKTSSIDAAETAVAALANLLSDPQVAAEALAEDVVAALTRVLGEGTSEGKKNAARALHQLLKHFPVGDVLKGHAQCRFTVLAILDSLNALNSNGTDTADALEVVALLAKTKQGTSAAYPPSAAFAEVPSSLESLVYCLAKGSPSQQDKAIEILSRLCGDQPVILGDMLIARSSSIGSLANRIMKSSSLEVRVGGTALFLCAAKEHKQQAMQALDLSGYLKPFIYTLVDMIKQNSGCSSLEIEVRTPRGLFKRSALQEGDDFDVLDPSTVLGATVALWLLSIVCSFHNKNRLMVMEAGGLEVLSDKLLSYTSNPQAEFEDTEGIWVSALLLAILFQDATVVLAPATMRIIPSLAHLLRSDELIDRYFTAQAMASLVCNGSKGISLTIANSGAVAGLITLIGYVESDMPNLVALSEEFSLLQNPAQIVLERLFEMEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPISVQLLTCVAKESDTNKLIMAEAGALDALTKYLSLSPKDSTEASISELLRIMFKNSETIRYEASLNSVNQLVAVLHLGSRDARFSAARALQELFDAENIRDSELAWQAVQPLFDMLSAASESEQEAALVALNKLTSGNTLKASLFLDLEGDPLETLYKILSSSCSLELKRGAAELCFIIFTNAKFRANPIASEFMQPLISLMQSNISLVVEAGVCAFERLLDDEQLVELAAAYDFIVDLLVSLVSGTNFRLIEGSICALIKLGKDRAPRKLDIVKAGVIDKCLVLLPVAPNSLCSAIAELFRILTNSGAIARSSDAAKIVEPLFMLLLQPDFGLWGQHSALQALVNILEKPQSLVTLNLTPSRVIEPLITFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVRLAGIGILNLQQTAIKALEKISTSWPKVVADAGGIFEVAKVIVQDDPEPPFELWETAALVLSNVLCFNAEYYFKVPLVVLVRMLHSTLESTVKVALNALIVQERADASSAEQMAESGAVDALLDLLRSRQCEELSGRLLEALFNHIRVREMKASKYAIAPLAQYLLDPQTKSDTCKLLAALAIGDLSQHEGLARASDSVSAVRALVSLLEDQPSEEMTMVATCALQNFVMHSRTNRRAVAEAGGILIVQELLLSPTADIVGQAAMLIRFLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQILRTLNVIFTNFPKLHVSEASTLSIPCLINALKSGNEAAQESVLDTLCLLKQSWSAMSIEIAKSQAMVAAEAIPILQQLMKTCPPSFHERAELLLHCLPGCLTVTILRGNNLKQTVGSTNAFCQLTIGSGPPRQTKVVSHSISPEWEEGFTWAFDVPPKGQKLHIVCKSKNTFGKTTLGKVTIQIDKVVTEGIYSGLFSLNHDSNKDGSSRTLEIEIVWTNRPSE >Manes.02G165900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13008252:13020492:-1 gene:Manes.02G165900.v8.1 transcript:Manes.02G165900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSSFDLQENSSSILQSRESNGSLEMDDLENTVATVARFIEKLHAKKSSPHEKELITARLVSLAKAKKEARAIIGSHTQSMPLFISILRSGTLGAKVNVAGTLSALCKDDDLRVKVLLGGCIPPLLSLLKSKSIDARKAAAEAIYEVSSGGLSDDHVGIKIFVTEGVVPTLWDQLNPQNKQDKAVEGFVTGALRNLCGDKDDYWRATLEAGGVDIIVGLLSSDNAAAQSNAASLLARLMLAFSDSIPKVIDSGAIKALLELVDQNNDISVRASAAEALEVVSSRSVKAKQAVVDANGVNVLIGAVVAPSKECMQGESAQALQGHATRALANMYGGMSALILYLGQLSQSPRLSAPIADIIGALAYALMVFEQNSDVDEESLDATKIEDILVKLLKPRDTKLIQERILEAMASLYGNIQLSGSVNHAEAKKVLVGLVTMAVGDAHEYLVISLTSLCRDGIGIWEAIGKREGIQLLISLLGLSTEQHQEYSVRLLAILTDQVDDSKWAITAAGGIPPLVQLLETGSQKAREDAAHVLWNLCCHSEDIRACVESAGAIPAFLWLLKSGGPEGQEASAKALKTLVRTADHATINQLLALLLGDSSGSKAHVIRVLGHVLTMASLNDIVQRGSAANKALKSLIEVLNSPHEETQECAASVLADFFNTRQDICDSLAADEVVHPCMKLLTGNNTQVVATQLARTLSALSRPTKRKTSNKMPSIAEGDVKPLIKLAKTSSIDAAETAVAALANLLSDPQVAAEALAEDVVAALTRVLGEGTSEGKKNAARALHQLLKHFPVGDVLKGHAQCRFTVLAILDSLNALNSNGTDTADALEVVALLAKTKQGTSAAYPPSAAFAEVPSSLESLVYCLAKGSPSQQDKAIEILSRLCGDQPVILGDMLIARSSSIGSLANRIMKSSSLEVRVGGTALFLCAAKEHKQQAMQALDLSGYLKPFIYTLVDMIKQNSGCSSLEIEVRTPRGLFKRSALQEGDDFDVLDPSTVLGATVALWLLSIVCSFHNKNRLMVMEAGGLEVLSDKLLSYTSNPQAEFEDTEGIWVSALLLAILFQDATVVLAPATMRIIPSLAHLLRSDELIDRYFTAQAMASLVCNGSKGISLTIANSGAVAGLITLIGYVESDMPNLVALSEEFSLLQNPAQIVLERLFEMEDVRVGSTARKSIPLLVDLLRPIPDRPGAPPISVQLLTCVAKESDTNKLIMAEAGALDALTKYLSLSPKDSTEASISELLRIMFKNSETIRYEASLNSVNQLVAVLHLGSRDARFSAARALQELFDAENIRDSELAWQAVQPLFDMLSAASESEQEAALVALNKLTSGNTLKASLFLDLEGDPLETLYKILSSSCSLELKRGAAELCFIIFTNAKFRANPIASEFMQPLISLMQSNISLVVEAGVCAFERLLDDEQLVELAAAYDFIVDLLVSLVSGTNFRLIEGSICALIKLGKDRAPRKLDIVKAGVIDKCLVLLPVAPNSLCSAIAELFRILTNSGAIARSSDAAKIVEPLFMLLLQPDFGLWGQHSALQALVNILEKPQSLVTLNLTPSRVIEPLITFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVRLAGIGILNLQQTAIKALEKISTSWPKVVADAGGIFEVAKVIVQDDPEPPFELWETAALVLSNVLCFNAEYYFKVPLVVLVRMLHSTLESTVKVALNALIVQERADASSAEQMAESGAVDALLDLLRSRQCEELSGRLLEALFNHIRVREMKASKYAIAPLAQYLLDPQTKSDTCKLLAALAIGDLSQHEGLARASDSVSAVRALVSLLEDQPSEEMTMVATCALQNFVMHSRTNRRAVAEAGGILIVQELLLSPTADIVGQAAMLIRFLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQILRTLNVIFTNFPKLHVSEASTLSIPCLINALKSGNEAAQESVLDTLCLLKQSWSAMSIEIAKSQAMVAAEAIPILQQLMKTCPPSFHERAELLLHCLPGCLTVTILRGNNLKQTVGSTNAFCQLTIGSGPPRQTKVVSHSISPEWEEGFTWAFDVPPKGQKLHIVCKSKNTFGKTTLGKVTIQIDKVVTEGIYSGLFSLNHDSNKDGSSRTLEIEIVWTNRPSE >Manes.10G085250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:21957940:21959167:1 gene:Manes.10G085250.v8.1 transcript:Manes.10G085250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIRRSSQLFLKKSSWRRSQQLHKYQGFWCFPTILEGIMAAQEQFIAHPTDIIACSHPKSGTTWLKALCFAILTRAQFNNSSTNPLLTESPHDIVPWIEFLAFTGKNRDPELPLLATHIPYNFLPKSIGEANCKIVYICRDPKDVFISVAICW >Manes.08G041700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4054898:4070473:1 gene:Manes.08G041700.v8.1 transcript:Manes.08G041700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDAVANGLAGAGGGIIAQIITYPLQTINTRQQTERRANKKKKNEGSLDSAPAPGTLLQILQVIKTEGWGGLYSGLKPSLFGTAASQGIYYYFYQVFKNRAEAIAASRKAKGHGDGNVGMFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEGKKEVLIREASESGLQGSDLQDKLAELDSIKPCPYGTLHAACEVFNEAGITGFWKGIFPTLIMVCNPSIQFMIYETSLKHLREKRSAIKQGYKNATALEVFLLGALAKLGATVSTYPLLVVKSRLQAKQEIGGNSSLRYSGTLDAVTKMIRYEGVLGFYKGMGTKIVQSVFAASVLFMVKEELVKAYMVLADKSKKVLLNLSK >Manes.08G041700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4054898:4070473:1 gene:Manes.08G041700.v8.1 transcript:Manes.08G041700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDAVANGLAGAGGGIIAQIITYPLQTINTRQQTERRANKKKKNEGSLDSAPAPGTLLQILQPILFHQVIKTEGWGGLYSGLKPSLFGTAASQGIYYYFYQVFKNRAEAIAASRKAKGHGDGNVGMFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEGKKEVLIREASESGLQGSDLQDKLAELDSIKPCPYGTLHAACEVFNEAGITGFWKGIFPTLIMVCNPSIQFMIYETSLKHLREKRSAIKQGYKNATALEVFLLGALAKLGATVSTYPLLVVKSRLQAKQEIGGNSSLRYSGTLDAVTKMIRYEGVLGFYKGMGTKIVQSVFAASVLFMVKEELVKAYMVLADKSKKVLLNLSK >Manes.08G041700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4054898:4070473:1 gene:Manes.08G041700.v8.1 transcript:Manes.08G041700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDAVANGLAGAGGGIIAQIITYPLQTINTRQQTERRANKKKKNEGSLDSAPAPGTLLQILQPILFHQVIKTEGWGGLYSGLKPSLFGTAASQGIYYYFYQVFKNRAEAIAASRKAKGHGDGNVGMFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEGKKEVLIREASESGLQGSDLQDKLAELDSIKPCPYGTLHAACEVFNEAGITGFWKGIFPTLIMVCNPSIQFMIYETSLKHLREKRSAIKQGYKNATALEVFLLGALAKLGATVSTYPLLVVKSRLQAKQEIGGNSSLRYSGTLDAVTKMIRYEGVLGFYKGMGTKIVQSVFAASVLFMVKEELVKAYMVLADKSKKVLLNLSK >Manes.08G041700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4054898:4070473:1 gene:Manes.08G041700.v8.1 transcript:Manes.08G041700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDAVANGLAGAGGGIIAQIITYPLQTINTRQQTERRANKKKKNEGSLDSAPAPGTLLQILQVIKTEGWGGLYSGLKPSLFGTAASQGIYYYFYQVFKNRAEAIAASRKAKGHGDGNVGMFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEGKKEVLIREASESGLQGSDLQDKLAELDSIKPCPYGTLHAACEVFNEAGITGFWKGIFPTLIMVCNPSIQFMIYETSLKHLREKRSAIKQGYKNATALEVFLLGALAKLGATVSTYPLLVVKSRLQAKQEIGGNSSLRYSGTLDAVTKMIRYEGVLGFYKGMGTKIVQSVFAASVLFMVKEELVKAYMVLADKSKKVLLNLSK >Manes.08G041700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4055006:4062357:1 gene:Manes.08G041700.v8.1 transcript:Manes.08G041700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDAVANGLAGAGGGIIAQIITYPLQTINTRQQTERRANKKKKNEGSLDSAPAPGTLLQILQPILFHQVIKTEGWGGLYSGLKPSLFGTAASQGIYYYFYQVFKNRAEAIAASRKAKGHGDGNVGMFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEGKKEVLIREASESGLQGSDLQDKLAELDSIKPCPYGTLHAACEVFNEAGITGFWKGIFPTLIMVCNPSIQFMIYETSLKHLREKRSAIKQGYKNATALEVFLLGALAKLGATVSTYPLLVVKVNSPHFKIIIKKIK >Manes.08G041700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4054898:4070473:1 gene:Manes.08G041700.v8.1 transcript:Manes.08G041700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDAVANGLAGAGGGIIAQIITYPLQTINTRQQTERRANKKKKNEGSLDSAPAPGTLLQILQVIKTEGWGGLYSGLKPSLFGTAASQGIYYYFYQVFKNRAEAIAASRKAKGHGDGNVGMFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTHTQAERKIMEGKKEVLIREASESGLQGSDLQDKLAELDSIKPCPYGTLHAACEVFNEAGITGFWKGIFPTLIMSRLQAKQEIGGNSSLRYSGTLDAVTKMIRYEGVLGFYKGMGTKIVQSVFAASVLFMVKEELVKAYMVLADKSKKVLLNLSK >Manes.12G111100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30813318:30815449:-1 gene:Manes.12G111100.v8.1 transcript:Manes.12G111100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMGRFQLILLLPLSFSLCFFSSSVSAQLRQNYYANICPNVESIVRNAVQKKFQQTFVTIPGTLRLFFHDCFVQGCDASVIIQSTPNNKAEKDHPDNLSLAGDGFDTVIKAKAAVDAIPSCRNKVSCADILAMATRDVVALSGGPSYAVELGRLDGLRSSAANVNGNLPKESFNLNQLNSLFASRGLSQADMIALSAAHTLGFSHCNKFSNRIYNFSRQNPVDPTLNKAYAADLQQMCPRNVDPRIAINMDPITPNTFDNVYFKNLQNGKGLFTSDQVLFTDPRSRPTVNTWASNSQAFENAFVTAMTKLGRVGVKTGRNGNIRRDCAVLN >Manes.18G042200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3707725:3710438:-1 gene:Manes.18G042200.v8.1 transcript:Manes.18G042200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILSLLLLMLTMSPPLPCSPTSQNTLSIGSSLSVEDSDHLLISPQGKFSAGFYPVGKNAYCFAIWFTQPSCYRNSDCTLIWMANRDVPVNGRRSKLSLLKNGNLVLTDAGRSPVWIVTNTASLSSLQLSLYDTGNLVLHDLKGKISWQSFDSPTDTLLPEQNFTKNTQLVSSRSGWNLSTGFYKFYFDNDNVLRLLYDGPEISSVFWPDPGSLPWEEQRSTYNSSRIAILDALGQFSSTDNFTFFSADYGKKLQRRLKLDFDGNFRLYSREEENGSWVVSWQLTSQPCTVHGICGPNSVCSHDHRFGRTCSCIPGHKMANHSDWSYGCERDFSLSCSSSEATFLKLRHVEFYGYDFGFYPNTTFDDCKNKCLQRCDCKGFQFKFIKHDHPSDVPYCFAKTLLLNGQRSPNFQGDLYLRVPKERQLSGDWTVDEFSWDTCSNSTQNAIELGRKYVINHGVWSVKFLLWFTIGAGFFEIFGVILVWALLLKNPQNRGAFSQGYIQAATGFKRFSYAEMKKATRNFKEEIGRGAGGIVYKGKLSDNRVAAIKRLNEAHQGEAEFLAEVSTIGKLNHMNLIDLWGYCADGKHRLLVYEYMEHGSLAENLSTKALDWKKIFEIAVSTAKGLAYLHEECLEWVLHCDVKPQNILLGSDYQPKVSDFGLSRLLSRVKSENSSGFSKLRGTRGYMAPEWIFNLPITSKVDVYSYGIVVLEMVTGKSPATDVQHVDDGQNLEQKTLVSWVREKKSGTAAKGHWVREIIDPVMGNDYEMDKLEILAEVALQCVEEDRDARPTMGQVVEMLLRDENHP >Manes.18G048800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4210782:4211681:1 gene:Manes.18G048800.v8.1 transcript:Manes.18G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNSTSCSGFFNFRSNSDEGRVQPSSNHGSPGSGKLDGVAMWFINGVASAFFASLERCSCVRIATVDDGDEAKDAPLILNDGNMRHDFATISSRRRTGKGKKQSTGAFEEC >Manes.06G058500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18604138:18605010:1 gene:Manes.06G058500.v8.1 transcript:Manes.06G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTFPLLGFFALTFSFALTCEVPVKDFCVANPSTPVHVNGIPCKDPKQVHASDFLYSGLHNPGDTSNPVGSKVTPVTVVQLPGLNTLGVSMVRIDYAPWGVNPPHLHPRGSEVLVVMEGTLEVGFMTSNPENRLFQKVLKKGDVFVFPIGLIHYQKNVANANAVVIAAFNSQNPGAITVANAVFGSKPLISVDILAKSFQVDKDLISQIQDKF >Manes.09G066000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10842006:10850399:-1 gene:Manes.09G066000.v8.1 transcript:Manes.09G066000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGSRRDDGDKNHHPSAATVSRGGTTRSWGTTTVSGQSVSTSGSVGSPSSRSEQAMATPASDTTLLRLNHLDIHTDDAATQDAAANKKKKRGQRAAGADKSGRGLRQFSMKVCEKVESKGTTTYNEVADELVAEFADPSNSVSSPDQQQQYDEKNIRRRVYDALNVLMALDIISKDKKEIQWKGLPRTSLSDIEELKAERLGLRNRIEKKIAYLQELEEQYVGLQNLIHRNEQLYTSGNAPNGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQSDDMAPNLATDGGEGSSMSNMFPQQILTSPGTNTPIRPPTSPPIPGIIKARVKHEH >Manes.03G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1537962:1539970:-1 gene:Manes.03G018300.v8.1 transcript:Manes.03G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGREMSISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGEFTKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTRLLNHVLDLCSKQNISEIYLHVQTNNEDAIKFYKKFGFEITDTIQNYYTNITPPDCYVLTKFITCQTNK >Manes.05G049100.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4057390:4059858:-1 gene:Manes.05G049100.v8.1 transcript:Manes.05G049100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTKSPPILSNFLVPCVHPKSLKFLKSSSIHHVVNFPKIRIHHAPVCCTKLTQWEPSPVTYAPTDDAAGSFLKKSSNIFETLNSDDTTEAPIANSEEHVDTKNQQLGQFQFLKWPLWLLGPSLLLTTGMVPTLWLPLSSIFLGPNIASLLSLIGLDCIFNIGATLFLLMADACSRPKDMVQSSNSKPPLGYKLWNMIASVTGLVFPLMMLLGSQKGALQPQLPFISFAVLLGPYLLLLSVQILTEMLTWHWESPVWLATPVVYEAYRVLQLMRGLKLGAELSVPAWMMHMIRGLVCWWILILGVQLMRVAWFAGFTARARQQLSSASADS >Manes.05G049100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4057390:4059858:-1 gene:Manes.05G049100.v8.1 transcript:Manes.05G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTKSPPILSNFLVPCVHPKSLKFLKSSSIHHVVNFPKIRIHHAPVCCTKLTQWEPSPVTYAPTDDAAGSFLKKSSNIFETLNSDDTTEAPIANSEEHVDTKNQQLGQFQFLKWPLWLLGPSLLLTTGMVPTLWLPLSSIFLGPNIASLLSLIGLDCIFNIGATLFLLMADACSRPKDMVQSSNSKPPLGYKLWNMIASVTGLVFPLMMLLGSQKGALQPQLPFISFAVLLGPYLLLLSVQILTEMLTWHWESPVWLATPVVYEAYRVLQLMRGLKLGAELSVPAWMMHMIRGLVCWWILILGVQLMRVAWFAGFTARARQQLSSASADS >Manes.05G049100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4057394:4058910:-1 gene:Manes.05G049100.v8.1 transcript:Manes.05G049100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSAWKAELLYKVEKASFVSQPMTAPTKSPPILSNFLVPCVHPKSLKFLKSSSIHHVVNFPKIRIHHAPVCCTKLTQWEPSPVTYAPTDDAAGSFLKKSSNIFETLNSDDTTEAPIANSEEHVDTKNQQLGQFQFLKWPLWLLGPSLLLTTGMVPTLWLPLSSIFLGPNIASLLSLIGLDCIFNIGATLFLLMADACSRPKDMVQSSNSKPPLGYKLWNMIASVTGLVFPLMMLLGSQKGALQPQLPFISFAVLLGPYLLLLSVQILTEMLTWHWESPVWLATPVVYEAYRVLQLMRGLKLGAELSVPAWMMHMIRGLVCWWILILGVQLMRVAWFAGFTARARQQLSSASADS >Manes.05G049100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4057179:4059973:-1 gene:Manes.05G049100.v8.1 transcript:Manes.05G049100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTKSPPILSNFLVPCVHPKSLKFLKSSSIHHVVNFPKIRIHHAPVCCTKLTQWEPSPVTYAPTDDAAGSFLKKSSNIFETLNSDDTTEAPIANSEEHVDTKNQQLGQFQFLKWPLWLLGPSLLLTTGMVPTLWLPLSSIFLGPNIASLLSLIGLDCIFNIGATLFLLMADACSRPKDMVQSSNSKPPLGYKLWNMIASVTGLVFPLMMLLGSQKGALQPQLPFISFAVLLGPYLLLLSVQILTEMLTWHWESPVWLATPVVYEAYRVLQLMRGLKLGAELSVPAWMMHMIRGLVCWWILILGVQLMRVAWFAGFTARARQQLSSASADS >Manes.05G049100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4057297:4059876:-1 gene:Manes.05G049100.v8.1 transcript:Manes.05G049100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTKSPPILSNFLVPCVHPKSLKFLKSSSIHHVVNFPKIRIHHAPVCCTKLTQWEPSPVTYAPTDDAAGSFLKKSSNIFETLNSDDTTEAPIANSEEHVDTKNQQLGQFQFLKWPLWLLGPSLLLTTGMVPTLWLPLSSIFLGPNIASLLSLIGLDCIFNIGATLFLLMADACSRPKDMVQSSNSKPPLGYKLWNMIASVTGLVFPLMMLLGSQKGALQPQLPFISFAVLLGPYLLLLSVQILTEMLTWHWESPVWLATPVVYEAYRVLQLMRGLKLGAELSVPAWMMHMIRGLVCWWILILGVQLMRVAWFAGFTARARQQLSSASADS >Manes.07G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22142094:22143680:1 gene:Manes.07G074700.v8.1 transcript:Manes.07G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLNPTLQVLVLISLTSSLAMGAVQYNVLNYGAKPDGNTDSTMAFLAAWTQACASTKSANIYVPKGSFFLRKVAFQGPCKNSAIVLRIDGTLVAPSDYRVIGSSGNWIIFEHVRGVTVSGGTLDGKGTGLWSCKASGKNCPVGATSLEFTNSNNIVISGLASLNSQMFHIVINECQNVKMQGLKIMASGESPNTDGIHVEASTGVTILNSKISTGDDCVSIGPGTSNLWVQNVVCGPGHGISIGSLGKDLQESGVQNVTVTGTTFSGTENGVRIKTWGRPSKSFVRSIVFQHLVMNNVQNPIIIDQNYCPNNNNCPGQASGVKISGVTYLDIHGSSATETAVKLDCSKKNPCSGIRLKGINLTYKNRPAEASCNNASGACL >Manes.15G090800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7090287:7095710:1 gene:Manes.15G090800.v8.1 transcript:Manes.15G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHKPHLRFFLLLLPILFLVSAVNSRLISSSHPSDLISDGVHSLRTPPYLLLDPLSSEESCEQTYGFMPCTSTALGNLFLIIVYGYLMFLAATYLSNGSELLLEILGPGIVGGLFLPMLGALPDAMLILVSGLSGSTATAQSQVSVGMGLLAGSTVMLLTFIWGSCIVVGKCDLRDTDHVAINGKNTRGFSLTGSGVSTDIWTCYAARIMVISVLPFIIVQLPQILNSTSGRHLAVLIALIISLSMLISYCLYQVFQPWIQRRRLEFAKHKHVISGILKHLRERSLGRFLTEDGRPNRDVMEKLFHAIDEDNNKKLSASELKALILGIRFEEIDFDRDDAVDKVMKDFDTSLDNSIDLDEFISGISKWIEEAKRSGAVVADSGSRTIKLIDHFHVQTRREHALLGPEDPVEEQSDEVVEGVENPRWISIKAVLMLLLGTIIAAAFADPLVDAVDNFSNATSIPTFFISFIALPLATNASEAVSAIIFATRKTVRTASLTFSEVCFSFSPLDVSISLLTWMFRAQQIMCLQPWQQVVHVVSLIGESCINLKVQTCDVFVFVIVLQLYGAVTMNNLLCLSVFLAIVYVRGLTWDFSSEVLVIFIVCIVMGAFASFRSTFPLWTSSVAYLLYPFSLALVYVLDYVFGWS >Manes.15G090800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7090287:7095710:1 gene:Manes.15G090800.v8.1 transcript:Manes.15G090800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHKPHLRFFLLLLPILFLVSAVNSRLISSSHPSDLISDGVHSLRTPPYLLLDPLSSEESCEQTYGFMPCTSTALGNLFLIIVYGYLMFLAATYLSNGSELLLEILGPGIVGGLFLPMLGALPDAMLILVSGLSGSTATAQSQVSVGMGLLAGSTVMLLTFIWGSCIVVGKCDLRDTDHVAINGKNTRGFSLTGSGVSTDIWTCYAARIMVISVLPFIIVQLPQILNSTSGRHLAVLIALIISLSMLISYCLYQVFQPWIQRRRLEFAKHKHVISGILKHLRERSLGRFLTEDGRPNRDVMEKLFHAIDEDNNKKLSASELKALILGIRFEEIDFDRDDAVDKVMKDFDTSLDNSIDLDEFISGISKWIEEAKRSGAVVADSGSRTIKLIDHFHVQTRREHALLGPEDPVEEQSDEVVEGVENPRWISIKAVLMLLLGTIIAAAFADPLVDAVDNFSNATSIPTFFISFIALPLATNASEAVSAIIFATRKTVRTASLTFSELYGAVTMNNLLCLSVFLAIVYVRGLTWDFSSEVLVIFIVCIVMGAFASFRSTFPLWTSSVAYLLYPFSLALVYVLDYVFGWS >Manes.09G168000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36052659:36065366:1 gene:Manes.09G168000.v8.1 transcript:Manes.09G168000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRKKSVNKRFLNEVSPHKEVRNSNKNKERVSGKRRLSDNLGPRWSEEELQQFYKAYRAHGMEWKKVAAEVPNRSAEMVRTLFKMNKAYLSLPEGTASVVGLIAMMTDHYYSQEVSDSEGESNDEPGMPRKPQKTKQVKVQPSTSKDSLQSHSIASTDGYLSLLKKGHFYGGQPRAVGKRTPRVAVSYPYKKNDSQNYVLAKKGQKPQNDVNDDGAHVAALALTEALKRGGSTQVCHTQSGGTEHIKLSPVRSWEMMFPESKTGHTKFRVASVDEEWMVDGTSRGADNGAYARDTSSLVDMEGVGTVEVHPKGKKFYRKKVKVEEIGNSQSDDGGEACSGTEEGPKVNALKGKTDIDGSDAKIDEMSPQARKKRSGKRFSGDEFSALDALQTLANLSVMESESSVQQNEERTVLTVDDKSSKPEATSTSRHRDKVKLLGHREKLLHPRSEVEGASRKSKLGRNTAIYAKPVSESKQGPQFINNNINVLKRKRHSLVSKVSNAEVPIKLHLSEPLDTEPVDEEEIISALKGKRTCQVSTVPKQRKAIGVSEGCFSDQKSSANDVAVSTAQVPVAKQVTLQTSKISRRKMSLKQSITRKERHSSENILKNQTNRCSISLHDTASYLREKFSCCLSSPMVRRWCTFEWFYSAIDYPWFAKREFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRDSVRTHYTELRTGAMDGLPTDLAKPLSVGQRVIAVHPKTRELHDGSVLTIDHDRCRVQFDCPEMGVEFVKDIDCMPLNPFDNMPEALRRHGFSVMSKELQVNGHSHIGGFTSTRHLDNSEIPMNTLVKRAQVKIIVSNVDANVQRKAASVDVVNAQQIASQPSVVARLQVKEVDIQAPSDLNHAFDKKASSALVNLRQHNAYPGNTLPPWLKPTVNSSFLAGLPSSHDSFVSQESGSTVIEIVRGSRDKAHTMIDAAVQAISSMKEGEDAFVKIGEALDSIDRRQLASESKAQEIRSLEHVNGILSHHNQLISSTLEPQVNNNASGPKSHNNTDKIEAAIPSELIKSCVATLLMIQTCTERQYPPADVAQIIDSAVTSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Manes.09G168000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36052659:36065374:1 gene:Manes.09G168000.v8.1 transcript:Manes.09G168000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRKKSVNKRFLNEVSPHKEVRNSNKNKERVSGKRRLSDNLGPRWSEEELQQFYKAYRAHGMEWKKVAAEVPNRSAEMVRTLFKMNKAYLSLPEGTASVVGLIAMMTDHYYSQEVSDSEGESNDEPGMPRKPQKTKQVKVQPSTSKDSLQSHSIASTDGYLSLLKKGHFYGGQPRAVGKRTPRVAVSYPYKKNDSQNYVLAKKGQKPQNDVNDDGAHVAALALTEALKRGGSTQVCHTQSGGTEHIKLSPVRSWEMMFPESKTGHTKFRVASVDEEWMVDGTSRGADNGAYARDTSSLVDMEGVGTVEVHPKGKKFYRKKVKVEEIGNSQSDDGGEACSGTEEGPKVNALKGKTDIDGSDAKIDEMSPQARKKRSGKRFSGDEFSALDALQTLANLSVMESESSVQQNEERTVLTVDDKSSKPEATSTSRHRDKVKLLGHREKLLHPRSEVEGASRKSKLGRNTAIYAKPVSESKQGPQFINNNINVLKRKRHSLVSKVSNAEVPIKLHLSEPLDTEPVDEEEIISALKGKRTCQVSTVPKQRKAIGVSEGCFSDQKSSANDVAVSTAQVPVAKQVTLQTSKISRRKMSLKQSITRKERHSSENILKNQTNRCSISLHDTASYLREKFSCCLSSPMVRRWCTFEWFYSAIDYPWFAKREFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRDSVRTHYTELRTGAMDGLPTDLAKPLSVGQRVIAVHPKTRELHDGSVLTIDHDRCRVQFDCPEMGVEFVKDIDCMPLNPFDNMPEALRRHGFSVMSKELQVNGHSHIGGFTSTRHLDNSEIPMNTLVDANVQRKAASVDVVNAQQIASQPSVVARLQVKEVDIQAPSDLNHAFDKKASSALVNLRQHNAYPGNTLPPWLKPTVNSSFLAGLPSSHDSFVSQESGSTVIEIVRGSRDKAHTMIDAAVQAISSMKEGEDAFVKIGEALDSIDRRQLASESKAQEIRSLEHVNGILSHHNQLISSTLEPQVNNNASGPKSHNNTDKIEAAIPSELIKSCVATLLMIQTCTERQYPPADVAQIIDSAVTSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Manes.09G168000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36052659:36065366:1 gene:Manes.09G168000.v8.1 transcript:Manes.09G168000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRKKSVNKRFLNEVSPHKEVRNSNKNKERVSGKRRLSDNLGPRWSEEELQQFYKAYRAHGMEWKKVAAEVPNRSAEMVRTLFKMNKAYLSLPEGTASVVGLIAMMTDHYYSQEVSDSEGESNDEPGMPRKPQKTKQVKVQPSTSKDSLQSHSIASTDGYLSLLKKGHFYGGQPRAVGKRTPRVAVSYPYKKNDSQNYVLAKKGQKPQNDVNDDGAHVAALALTEALKRGGSTQVCHTQSGGTEHIKLSPVRSWEMMFPESKTGHTKFRVASVDEEWMVDGTSRGADNGAYARDTSSLVDMEGVGTVEVHPKGKKFYRKKVKVEEIGNSQSDDGGEACSGTEEGPKVNALKGKTDIDGSDAKIDEMSPQARKKRSGKRFSGDEFSALDALQTLANLSVMESESSVQQNEERTVLTVDDKSSKPEATSTSRHRDKVKLLGHREKLLHPRSEVEGASRKSKLGRNTAIYAKPVSESKQGPQFINNNINVLKRKRHSLVSKVSNAEVPIKLHLSEPLDTEPVDEEEIISALKGKRTCQVSTVPKQRKAIGVSEGCFSDQKSSANDVAVSTAQVPVAKQVTLQTSKISRRKMSLKQSITRKERHSSENILKNQTNRCSISLHDTASYLREKFSCCLSSPMVRRWCTFEWFYSAIDYPWFAKREFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSEHFLHEEREKLKQYRDSVRTHYTELRTGAMDGLPTDLAKPLSVGQRVIAVHPKTRELHDGSVLTIDHDRCRVQFDCPEMGVEFVKDIDCMPLNPFDNMPEALRRHGFSVMSKELQVNGHSHIGGFTSTRHLDNSEIPMNTLVKRAQVDANVQRKAASVDVVNAQQIASQPSVVARLQVKEVDIQAPSDLNHAFDKKASSALVNLRQHNAYPGNTLPPWLKPTVNSSFLAGLPSSHDSFVSQESGSTVIEIVRGSRDKAHTMIDAAVQAISSMKEGEDAFVKIGEALDSIDRRQLASESKAQEIRSLEHVNGILSHHNQLISSTLEPQVNNNASGPKSHNNTDKIEAAIPSELIKSCVATLLMIQTCTERQYPPADVAQIIDSAVTSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Manes.09G024959.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5004465:5005708:1 gene:Manes.09G024959.v8.1 transcript:Manes.09G024959.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLPLSMAESVLGKLGSLALEEFFLAWGLETDLEKIKRNLKVIEAVLLDAEQQLSLNPRIKIWLDNLKQVLYDAEDVVDEFECEALRSKVVKSGKTTRKVCRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVFHREREMTHSFVDASDVIGRDQARDNMDEMYGHGYRPATKILARSFE >Manes.16G046301.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8325665:8343680:1 gene:Manes.16G046301.v8.1 transcript:Manes.16G046301.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSSMLISRFPETHPTISTPSSSSPSPSSFSLTKVLNKQAGYLKFADTARFPSLRIRAVAHEVSGGEEEDQRPLNNGFGFVSDDTLSLSQDDLRHNKSSEKNVAKIIKVETPLEVPHGSGTGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVFPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKPLKELMCTETEVDDAALISIDSKGIDIRVRQGAQFNIQRLSFDEGHAVETLEEAKNALWKLINKGQVHNLQK >Manes.16G046301.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8325671:8353047:1 gene:Manes.16G046301.v8.1 transcript:Manes.16G046301.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSSMLISRFPETHPTISTPSSSSPSPSSFSLTKVLNKQAGYLKFADTARFPSLRIRAVAHEVSGGEEEDQRPLNNGFGFVSDDTLSLSQDDLRHNKSSEKNVAKIIKVETPLEVPHGSGTGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVFPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKPLKELMCTETEVDDAALISIDSKGIDIRVRQGAQFNIQRLSFDEGHAVETLEEAKNALWKLINKGQVHNLQK >Manes.16G046301.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8325671:8343600:1 gene:Manes.16G046301.v8.1 transcript:Manes.16G046301.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSSMLISRFPETHPTISTPSSSSPSPSSFSLTKVLNKQAGYLKFADTARFPSLRIRAVAHEVSGGEEEDQRPLNNGFGFVSDDTLSLSQDDLRHNKSSEKNVAKIIKVETPLEVPHGSGTGGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVFPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKPLKELMCTETEVDDAALISIDSKGIDIRVRQGAQFNIQRLSFDEGHAVETLEEAKNALWKLINKGQVHNLQK >Manes.06G034105.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10030931:10031880:1 gene:Manes.06G034105.v8.1 transcript:Manes.06G034105.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVYDVVKAIKEAVMRVIGFSAARVIVLGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNLFQKLKCIA >Manes.07G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27819613:27821708:1 gene:Manes.07G089100.v8.1 transcript:Manes.07G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVKRVLGKMEGVESYDINLEEQKVTVKGNVQPEAVLQTVSKTGKKTSFWEAEAPAEPKTEPAPAKPAESVAVASS >Manes.13G101200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29638927:29640759:1 gene:Manes.13G101200.v8.1 transcript:Manes.13G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPKKLHVALFPWLAFGHIIPFFELAKHIAQRGHKISFISTPRNIQRLPKIPSNLAPRINLVSLPLPTVDHLPQDAEATSDLPSQKIPYLKIAYDGLEGPFLQFLKTSSPDWIICDFAQHWLPPIAANLGISLAFFSILSAWSVSFFGSSSSAMIKGEDPRSQPEDFTVIPEWIPFPSKVAFKLHEAKRLFQAWKEDSDVSFSGVFRIGSVLAGCDVIAVRSCNEIEAEFLRLVGEFHGKPCLPIGLLPPDDLDATCSEEDDTWLTTREWLDKQNKGSVVYIAFGSEAELSQPELNELAFGLELSGLPFFWVLRKGDNSVKLPDGFKDRVKGRGMVCTSWAPQLRILGHESVGGFLTHCGYGSVIEALYSGLALIMLPINIIDQGLIARVFGEKKVGVEVTRDESDGSFIKESVAESLRLVMVEKEGEEYRNNAKEMRKVFADKDLHDRYLDHFVEFLQNH >Manes.03G071700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:11401059:11404110:1 gene:Manes.03G071700.v8.1 transcript:Manes.03G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSRLNSTFHLTFLFLLIFYFSSDMETIKLCLGDGNLNVKCIDTEREALLELKNGLSDPSGRLSSWEGNDCCKWNEVICNSQTGHITKLNLRNPYPLINGGVGDRAAYERSCLGGNISSSLSRLQYLSYLDMSLNDFRGAEIPDFFSGFKNLRYLNLSFSSFSGDIPSQLGNLSSLLYLDLYADSYSNTGSWELRANNLHWLSGLSSLKYLNLGFVKLKGVGSDWLQAVNMLPSLVELHLDYCELESLPLSFPSINLTSLSVLDLSDNSFNSKIPQWLFNLTGLTKLYLVWNFFSGPIPSEFSRLKSLEVLVLSNNLDLGGQIPGVFGNLRNLKVLDLSANGLTGEIHQFFGGFSSNPNNSLVSLNLNSNSLAGELPESLGVLRNLQYLYLSGNSFFGSIPTSIGKLSSLKKLDLSYNSMNGTIPESFGQLSELVDVNLVENSWEGTLEETHLMNLNSLENFHLSTVPSRSLVFNVSYKWIPPFRLKSIQLENCQLGPSFPVWLQVQNELTSVTLRNVGISDTIPGEWFSKLSPHITHLVLSNNQIKGKLPNQLKTPNLRFIDLSSNRFEGPLPLWSANATEVYLQGNLFSGSIPENIGGLMPRLEKFYVFSNHLAGGIPSSFCAIRGLQVLSLRKNQISGEIPNCWHQSMLWAIDMSNNTLTGQIPSSFGFLSSLSVLLLRNNYLDGEIPSSMQNCSGLTSIDFRGNKLSGSLPSWIGERLSSLFMLQLQSNSLRGPIPQQLCNPPNLHILDLSGNRFSGDIPKCVGNLTALVSGKNSEVFLQLLYVAMKGKTLEYKNIVAAVNGINLSGNNLTGEIPVEVTNLVTLRALNLSRNQLSGNITEKIGDLQNLETLDLSYNHLSGSIPGSLASLNSLVHLNLSYNNLEGKIPAGFQKFKDPSVFIGNPSLCGIPLPNKCPGGDRIL >Manes.12G127300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33350000:33352163:-1 gene:Manes.12G127300.v8.1 transcript:Manes.12G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAITISSHHLHCHKLTHHLSASLVAIKRTMVTATSSSSSNSGAYTAIKETVTFEREIKKSKFIAIAGPISDEQSAFSFLSQVRDPRATHNCWAYKVGDQFRSNDDGEPSGTAGKPIQSAIDSSGIDRVMVVVIRYFGGIKLGTGGLVRAYGGVASECLRNASTCLVKSKVPMGVEVPFSLIGVLHHQLQSFQVENIRQDYETGKDGIAMISFKVDFDQVEKLEDAIKANCSRELVFYKR >Manes.09G086100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25680577:25681741:-1 gene:Manes.09G086100.v8.1 transcript:Manes.09G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAEVTLLDFWPSPFGMRVRIALAEKGIKYEYREEDLKNKSDLLLQMNPVHKKIPVLIHNGKPVAESLIAVQYIDEVWKDKAPLLPSDPYQRAQANFWADFVVKKMFEHGRKIWATKGEEQEEAKQGFIESLKLLEGELGEKPFFGGENLGYVDVALVPFYSWFYTYEVCGNFSIEAECPKLIEWAKRCLAKESVFNSLPDHKKVYGFMLELKKQFGIE >Manes.14G063900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5308026:5308727:1 gene:Manes.14G063900.v8.1 transcript:Manes.14G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSSTKTTKEETDMALNKAKQISTSAPVVVFSKTYCGYCKRVKQLLTQLGASFRVIELDEESDGAEIQSALAQWTGLRTVPNVFIGGQHIGGCDSTLDKYQKGELLPLLNDAGAIANNSAQL >Manes.14G090000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7454646:7456705:-1 gene:Manes.14G090000.v8.1 transcript:Manes.14G090000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRFSGFLLMFILLLHEGNSCATIITHQAKGKTVVAKGNKLAAVDETKLEISYGVPAAMAELSDTRLRGRKMKLTRMGLQEKMKEESLNKEDLEFSGAAHFVGNCDHRTGKRILNGKCKRMSGRRSNPLKDKRARFTDFSADYHVPRPHPPKNN >Manes.14G090000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7454646:7456705:-1 gene:Manes.14G090000.v8.1 transcript:Manes.14G090000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRFSGFLLMFILLLHEGNSCATIITHQAKGKTVVAKGNKLAAVDETKLEISYGVPAAMAELSDTRLRGRKMKLTRMGLQEKMKEESLNKEDLEFSGAAHFVGNCDHRTGKRILNGKCKRMSGRRSNPLKDKRARFTDFSADYHVPRPHPPKNN >Manes.05G208301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33537407:33537754:-1 gene:Manes.05G208301.v8.1 transcript:Manes.05G208301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSSPNRLQTSAPKIESIVEPSLNTKHFIAAATYHHHLSKPATGIVHGATFCIISRLHRITQENAVIIASSLSQAWTEADRSVLRQRSHPDTPALGTVSILPLVGKSKKWSVK >Manes.12G116200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31791356:31791841:-1 gene:Manes.12G116200.v8.1 transcript:Manes.12G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKKASKQSKVSKFIKSPIRILIKARDIYIKSMSECSDRLGYGTVMGCPTGQVVNTLPKSFSVNSTKSSSHDDDYRELLRAASTRGLNSRVQLDVLQRQQSRKSLNTTAATHMPRSHSVGIGRIDEEKACEFEDDFKVRTDVFPRSRSYAVHRRSSGVF >Manes.15G185900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26591311:26594213:-1 gene:Manes.15G185900.v8.1 transcript:Manes.15G185900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRFFGRTLFAAVKSETSAAAAATGYARNPLEEFFQADRSQDKPVGYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHSQNLKFSNPERLPKFEMQYLNCTSFYTLHFAGLTYAKYECGSSSDNGLLALA >Manes.15G185900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26589896:26594213:-1 gene:Manes.15G185900.v8.1 transcript:Manes.15G185900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRFFGRTLFAAVKSETSAAAAATGYARNPLEEFFQADRSQDKPVGYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHSQNLKFSNPERLPKVRKSMCRIKQVLNERAIEEPDARRSAEMRRMINGL >Manes.15G185900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26589896:26593926:-1 gene:Manes.15G185900.v8.1 transcript:Manes.15G185900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRFFGRTLFAAVKSETSAAAAATGYARNPLEEFFQADRSQDKPVGYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHSQNLKFSNPERLPKVRKSMCRIKQVLNERAIEEPDARRSAEMRRMINGL >Manes.11G164300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32881635:32896463:-1 gene:Manes.11G164300.v8.1 transcript:Manes.11G164300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKPRQPQMLDSMYSMIALVFILVACVELCDAATVVDVYRLIQYDISGVPFGSRLATLNHHAGSLHFTPGADLSRTIVIIPIRELNITFVKEYITQRKPLGGLLFLLPQIFDFKDKAASRSEDEVHDKGLMKTVLAELEKLLVHANIPYPVYFAFENDDIDAVLSDIKRNDATGQPATATTGGYKLVVSAPEPKRIASPIITNIQGWLPGLKADGDPNQLPTIAIVASYDTFGTAPALSVGTDSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGFTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGLSNVANELGFEVGLKHKKINISNPRVAWEHEQFSRLRITAATLSELSVAPDILESTGGLSDNRYFVNETAVIRSIKLVAESIARHIYSHQGENIKVFADDSSLAVNPYYVKSWLDILSQTPRVAPFLSKNDPFVMALKKELEDHTHEVNVQHEALDGMFTFYDSTKANLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGLDDLISLFRRPPSRKVKTA >Manes.17G092700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30106632:30110652:1 gene:Manes.17G092700.v8.1 transcript:Manes.17G092700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNNNNNSNSNSNSNHPKSLGQPSSPFGNVGMRSPVPINPAFSQSQSQMQIGTGFQGQFQLSQAQAAAAQLKAQQAHVQAQAQAAHAAQVQAAHAQFQAQLQAQGIALSPAQNAVLGNLGSSSPSFSSPGNMNAKRLSQKPPVRPPGVPMPSIISPLKTMDLTPAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALSRKKVDIQEALKSPPCVQKTLRIYVFNTFADQIRTIPKKPSAEPPTWTLKIVGRILEDGIDPDQPGVVQKSNPLYPKFSYFFKRVTIMLDQRLYPDNHMIVWDHSRSPATHEGFEVKRRGDKEFTVTIRLEMNYLPEKFKLSPALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPEDPSFFNCDPPLQKVFGEAKMKFTMVSQKISQHLSPPQPIVLEHKIKLSGNSPAGTACYDVVVDVPFPIQRELNALLANAEKNKEIEACDESICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSKDLKLAAGEASRSAEKERRADFFNQPWVEDAVIRYLNRKPAAGSDAPGST >Manes.09G079800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12042806:12048528:-1 gene:Manes.09G079800.v8.1 transcript:Manes.09G079800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTNSSSSSAKPPPHPPPPPPPPPPPPAHPPQSMATSSCTATSTSTTQPTSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVPLTASMAYPSSINALAPGYAPWDDASMLINTTMSKLMPSQDEFSSLHGAEADIGSKGIARISNNNVSGLGTSGRTLPSSDIPKQGKQAPVLHDFAEVYSFIGSVFDPDTKGHVKKLKEMDPINFETVLLLMRNLTVNLSSPDFEPIRNVLSSYDINTKTVGVTAKNQTSDISC >Manes.09G079800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12042806:12048528:-1 gene:Manes.09G079800.v8.1 transcript:Manes.09G079800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTNSSSSSAKPPPHPPPPPPPPPPPPAHPPQSMATSSCTATSTSTTQPTSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVPLTASMAYPSSINALAPGYAPWDDASMLINTTMSKLMPSQDEFSSLHGAEADIGSKGIARISNNNVSGLGTSGRTLPSSDIPKQGKQAPVLHGLPDFAEVYSFIGSVFDPDTKGHVKKLKEMDPINFETVLLLMRNLTVNLSSPDFEPIRNVLSSYDINTKTVGVTAKNQTSDISC >Manes.13G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30984585:30986317:1 gene:Manes.13G107100.v8.1 transcript:Manes.13G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLSLRSVAFFFLFFSFLSFSLPVSARPATFLQDFKVTWSESHIRQIDGGRAIQLVLDQSSGCGFASKMQYLFGRVSMKIKLVPGDSAGTVTAFYMNSNTDNIRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRINLWFDPSADFHSYTILWNHHHIVFYVDDVPIRVYKNNEAKGIPYPKFQSMGVYSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDIEGCPVPGPANCAANPSNWWEGTTYQSLNAVEARKYRWVRINHMIYDYCTDKSRYPVAPPECMAGV >Manes.17G060201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25876784:25885117:1 gene:Manes.17G060201.v8.1 transcript:Manes.17G060201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCLWNAILQLVIITSLARFQHAQFHYLPTAQVSTSWSIRNLSILSPFVPLRIILSNCGFACGFYSTNDEYDSFFFAVAIVKIVGDDVTSFTNVWVANRNKPVGADAKLQFLADGNLVLTDADGSLVWSTNTSKRSVVGMKMMKTGNFVLHDANNKTVWQSFDYPTDTLLLGQKLVKGQRLSAYASETNMSEGNFYLSVTSQGLFAYYKANVPQMYFRYLAFDGNMESIELMHSTTGTGPLSLYFSSSAPHKPFPFKTVANSNEITMPFMKFNSNGHLILYDSSFFTDDMLAEHMSECEYPTTCGNLMLCSNQGENCSCPAGLGKDDYSAPGCAEINPTLCRTPQFQSLVPYKDVYHFSFVDPDSAVLKGTDMESCKEACLNNCSCEVAFFQHLHNYSHGNCFLPSPVLSLIYDGDQRNNRQSYAFVKIANYSGIGIAPGQEVINGAGGARGSPTSAMRYKIIAASTVGSFLLIMFVRKKGDGAEEMEEYLDQISGMPIRFSYAKLKIATGNFQKKIGQGGFGSVFEGSLQDGQKIAVKCLESSGQGKKEFLAEVKTIGSIHHQNLVTLIGFCVEKLHRLLVYEFMSNGSLDKWIFCIQPQQPPLDWPSRKTIILNIAKGLAYLHEGCRKKIVHLDIKPQNILLDANLHAKISDFGLSKLIDRDRSRVATTMRGTPGYIAPELISSVMTEKADVYSFGVVLMEVVCGRKNVDRSQPVELLHLLPVLMKKAMDDGLIDMIDRRGESMQSHSSEVVKVMRLAIWCLQRDYKKRPSMSMVVKVLEGTMEVEAELDYNMHTPTILAAVTRETELETTATEILPSFLSGPR >Manes.05G045801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3805027:3809944:-1 gene:Manes.05G045801.v8.1 transcript:Manes.05G045801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHGIHVMCLLSGSVSLSFPLSPSPSLNGSSRSFHFPPHFIKFKRYFSVPPFSFSGAFLRTPTSTHSSIYTLYFKTHSIIQHLTILPPVSAFTDPFPVAMHNNHNDRFASIETTCGLLLLELQKLWDEVGDNDVQRDKVLFEIEEECLEVYRRKVDEAGKCRSELLREIASLEAEIEDICSVLSEQPVKDEQKAGESLREKLQIIVPQLEEMRKRKAEREKQFAEVLDELKNISIEIFGSATEINMCGKLVDSDNLSMRRLEQLRNQLCELQNEKSNRLKQVECHLDTLSSLCEVLGMDFKNTIHEIHPTLDDSKRAKDVTSYTIERLTTVIQSVRDVKIQRMQRLQGLGTVLLELWDLMGTPIEEQQMFQNVTSVIAASEHQITECNMLSMDFINQVEDEVSRLKQLKSTKLKEIILKKRLELEEICRNSHIVTELLTAATYSIEAEPSGVDPVHLLEEIEFEIAKVKEEAFRRKEILDKVEKWFGACEEECWLEEYNWDETRYNAGRGAHLTLKRAEKARAVVNKIPAMVETLTSKTKAWEKEQGVPFLYDGERLLSRLEQYNNLRKAKEQEKIRQRDQKKLQVQLIAEQEALFGAKFSPSKSGKKASRTSVGFASNRKLSLGGAMLQNLKADKSCPHMHINKKGDGLNQNVYLGSQQNGGFATQTYGISLYNRSSRFETPLKSPFGPYLISKTLTEKTTLEFAEEHGLDLVTVIPSFIVGPFICPKFPGSVHTAMSMILGEREQYAALLNVSMVHTDDVARAHIFLFEYPDAKGRFICSSHTITIEEMSKFLSAKYSEFPIPEVESLKDIKGIRTPGLSSKKLLDSGFEFKYGLDEMFDGAIKCCKEKGYLQ >Manes.05G099800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9293182:9294921:1 gene:Manes.05G099800.v8.1 transcript:Manes.05G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLANTPRLAQKIDFKLISLLKSCKQTSQISQIHGFMVKHGIDHDPFAVSKLLASSIHDVKYSNSIFKHIQNPNLFMFNTMLRAYSVCDDPKQAFTVFNNLRAQGIPLDQFSFVTTLKACARELAVKTGQAIHGVVLRSGNLVFINVKNILLHFYSVCCIIEDARKLFEEFPQENDLVSWNALMGAYLRASQPSEAMELFRQMLACGLRVSAATLLTILSAFADLGDLHGGGSVHAHSIKVGFSSNLNVVTALIEMYAKTGDIDSGRRIFDGVIEKDVILWNCMIDKYAKAGLLEQAIALLQIMKLEGVKPNSSTLAGLLAACAATGSIKLGRCLNDYVEEDGLELDAVLGTALIDMFAKCGFLDKAIQIFEKMERKDVKSWTSMILGYGVHGQARNAVDLFYRMEEEGFRPNAVTFLGVLSACSHGGLVIEAMKCFERMVQVYGFLPKIEHYGCMIDLLGRAGLLDEAHALIKRLPIRSDATAWRALLAACRVYGNVELGERVKIMLVDIDDHPTDSILLSSTYATVGRLPDHSKWKEMKVDRINANARSRSDERKERTVKEAGCSTIEVDGYCLEGG >Manes.11G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23775656:23783067:1 gene:Manes.11G103800.v8.1 transcript:Manes.11G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSQSFFRKHWEGYKEFWGERFSFLDNYSRFIKRDKPLPSWSASDVEEFIASDPVHGPTLKTAREAAQFGLTGSIIGAVSTAGVAWKYSRSLHGAGLSFLAGGVFGWTFGQEIANHWMQLYRLDTMAAQVKFMEWWENKCDGRS >Manes.01G203300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37588485:37591997:1 gene:Manes.01G203300.v8.1 transcript:Manes.01G203300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMLNGAENHTLRSGITPKGLGFLGSDFHGNEISRVNLVTSSKISRTRTVITKCSLSASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLNSRNMIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAFRVLKLGGKACIIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFEKAGFKDIQLKRIGPKWYRGVRRHGLIMGCSVTGIKPASGDSPLQLGQKEEDVAKPVNPFVFLARFVLGGLAATYYVLVPVYMWLKDQIMPKGRPI >Manes.13G082590.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17445005:17453250:-1 gene:Manes.13G082590.v8.1 transcript:Manes.13G082590.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYVLYLDGDTAFQLLFYITNCTYSHANFRSFDKLSKLFILGTTVCFYPKL >Manes.13G082590.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17444910:17463616:-1 gene:Manes.13G082590.v8.1 transcript:Manes.13G082590.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYVLYLDCDTAFQLLFYITNCTYSHANFHSFDKLSKLFSLGTTVCFYPKL >Manes.18G004700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:863349:866336:1 gene:Manes.18G004700.v8.1 transcript:Manes.18G004700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDENHPAMIGPVNVQGGLRAGVGKLTAATGNNRRALSNINRNVIGAPPYPCAVNRRGLSEKFAAHLANKQPEETKKPDISVPVSGDSEDCSIIEVENYKSNDDFSVPMFVQHTEAMLEEIDRMDEVEMEDVVEEAFVDIDSCDKKNPLAVVEYIDDLYNFYKKAESSSCAPPSYMGQQFDINERMRGILIDWLIEVHYKFELMDETLYLTVNLIDRFLAVHSVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMVNTLQFYLSVPTPYVFMRRFLKAAQSDKKLELLSFFIIELCLVEYDMLKFPPSLLAAAAIYTAQCTLSGSRQWSKTNEWYASYSEEQLLECSRLMVTYHQNAGIGKLTGVHRKYSTSKFGYAAKTEPANFLIEARF >Manes.18G004700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:863249:866448:1 gene:Manes.18G004700.v8.1 transcript:Manes.18G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDENHPAMIGPVNVQGGLRAGVGKLTAATGNNRRALSNINRNVIGAPPYPCAVNRRGLSERQTICGKNPTIPVHRPITRKFAAHLANKQPEETKKPDISVPVSGDSEDCSIIEVENYKSNDDFSVPMFVQHTEAMLEEIDRMDEVEMEDVVEEAFVDIDSCDKKNPLAVVEYIDDLYNFYKKAESSSCAPPSYMGQQFDINERMRGILIDWLIEVHYKFELMDETLYLTVNLIDRFLAVHSVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMVNTLQFYLSVPTPYVFMRRFLKAAQSDKKLELLSFFIIELCLVEYDMLKFPPSLLAAAAIYTAQCTLSGSRQWSKTNEWYASYSEEQLLECSRLMVTYHQNAGIGKLTGVHRKYSTSKFGYAAKTEPANFLIEARF >Manes.18G004700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:863402:866315:1 gene:Manes.18G004700.v8.1 transcript:Manes.18G004700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDENHPAMIGPVNVQGGLRAGVGKLTAATGNNRRALSNINRNVIGAPPYPCAVNRRGLSERQTICGKNPTIPVHRPITRKFAAHLANKQPEQETKKPDISVPVSGDSEDCSIIEVENYKSNDDFSVPMFVQHTEAMLEEIDRMDEVEMEDVVEEAFVDIDSCDKKNPLAVVEYIDDLYNFYKKAESSSCAPPSYMGQQFDINERMRGILIDWLIEVHYKFELMDETLYLTVNLIDRFLAVHSVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMVNTLQFYLSVPTPYVFMRRFLKAAQSDKKLELLSFFIIELCLVEYDMLKFPPSLLAAAAIYTAQCTLSGSRQWSKTNEWYASYSEEQLLECSRLMVTYHQNAGIGKLTGVHRKYSTSKFGYAAKTEPANFLIEARF >Manes.10G125450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28945049:28945564:-1 gene:Manes.10G125450.v8.1 transcript:Manes.10G125450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGCESVLHIYLLECFKLSIAVGLLLISLFGGNCWLCYALICNYGR >Manes.01G236400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39857610:39864100:1 gene:Manes.01G236400.v8.1 transcript:Manes.01G236400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCFVPFNGKNGVDLEFLEPLDEGLGHSFCYVRPSIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDTIDDPPGLHRPNKTLPETTFKTISGASVSANVSTARTGNRSALFASDMQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRGSGFISGPIEKGVMSGPLDAADKSNFSAPLACGRRKPRFQRLVRRVSGPMKNTLSRTFSKHSIGAGWMRRFFLHRVIQLAWHVRESKFQSEASQNLEGGSSEREYVNSHNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRAIDKELEGVLWDYEEKSVNGRPIEPELSKCINAEAESECAKQNQSNLSLATSCGLEDSCDPGDFGDHSSNCEIVEEHDDVGCNQQQSPNCKKPSITGFVSDSIPTANLTGQGRKSMRLYELLQMEACFELGSVSMSLVESDKSNAWSSQSTSDPSDSRLILQEQHRSLMLNNRNRDGSSQQGEGPSTSGEDGERGFESSNPEIVTDLSVSMQQQNMRKPTISSKIRKMYRKQKSLRKKLFPWSYDWHREEICADERVAEPPRPIRRCKSGIVDHDAVLRAMSLALEHTEEAYMDMVEKALDKNAELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPSLAKDDIKHRNRSRESLVRMELDRISEESPMHNQNSQVNMFNKNREISICRLKMRAVQLSTDHSASIEQEVFRVKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKPTCNEALLEIFRINYVGTNPYVSCIPSVIHHRLCSSDRFLVLSSDGLYQYFSNEDVVAHVAWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPNGDRRKYHDDVSVMVVSLEGRIWRSSG >Manes.01G236400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39857612:39861901:1 gene:Manes.01G236400.v8.1 transcript:Manes.01G236400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCFVPFNGKNGVDLEFLEPLDEGLGHSFCYVRPSIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDTIDDPPGLHRPNKTLPETTFKTISGASVSANVSTARTGNRSALFASDMQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRGSGFISGPIEKGVMSGPLDAADKSNFSAPLACGRRKPRFQRLVRRVSGPMKNTLSRTFSKHSIGAGWMRRFFLHRVIQLAWHVRESKFQSEASQNLEGGSSEREYVNSHNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRAIDKELEGVLWDYEEKSVNGRPIEPELSKCINAEAESECAKQNQSNLSLATSCGLEDSCDPGDFGDHSSNCEIVEEHDDVGCNQQQSPNCKKPSITGFVSDSIPTANLTGQGRKSMRLYELLQMEACFELGSVSMSLVESDKSNAWSSQSTSDPSDSRLILQEQHRSLMLNNRNRDGSSQQGEGPSTSGEDGERGFESSNPEIVTDLSVSMQQQNMRKPTISSKIRKMYRKQKSLRKKLFPWSYDWHREEICADERVAEPPRPIRRCKSGIVDHDAVLRAMSLALEHTEEAYMDMVEKALDKNAELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPSLAKDDIKHRNRSRESLVRMELDRISEESPMHNQNSQVNMFNKNREISICRLKMRAVQLSTDHSASIEQEVFRVKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKVGKYCNFDV >Manes.01G236400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39857612:39864086:1 gene:Manes.01G236400.v8.1 transcript:Manes.01G236400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCFVPFNGKNGVDLEFLEPLDEGLGHSFCYVRPSIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDTIDDPPGLHRPNKTLPETTFKTISGASVSANVSTARTGNRSALFASDMQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRGSGFISGPIEKGVMSGPLDAADKSNFSAPLACGRRKPRFQRLVRRVSGPMKNTLSRTFSKHSIGAGWMRRFFLHRVIQLAWHVRESKFQSEASQNLEGGSSEREYVNSHNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRAIDKELEGVLWDYEEKSVNGRPIEPELSKCINAEAESECAKQNQSNLSLATSCGLEDSCDPGDFGDHSSNCEIVEEHDDVGCNQQQSPNCKKPSITGFVSDSIPTANLTGQGRKSMRLYELLQMEACFELGSVSMSLVESDKSNAWSSQSTSDPSDSRLILQEQHRSLMLNNRNRDGSSQQGEGPSTSGEDGERGFESSNPEIVTDLSVSMQQQNMRKPTISSKIRKMYRKQKSLRKKLFPWSYDWHREEICADERVAEPPRPIRRCKSGIVDHDAVLRAMSLALEHTEEAYMDMVEKALDKNAELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPSLAKDDIKHRNRSRESLVRMELDRISEESPMHNQNSQVNMFNKNREISICRLKMRAVQLSTDHSASIEQEVFRVKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKPTCNEALLEIFRINYVGTNPYVSCIPSVIHHRLCSSDRFLVLSSDGLYQYFSNEDVVAHVAWFMENVPEGMDFHELLDIPNGDRRKYHDDVSVMVVSLEGRIWRSSG >Manes.01G236400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39857612:39864072:1 gene:Manes.01G236400.v8.1 transcript:Manes.01G236400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCFVPFNGKNGVDLEFLEPLDEGLGHSFCYVRPSIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDTIDDPPGLHRPNKTLPETTFKTISGASVSANVSTARTGNRSALFASDMQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRGSGFISGPIEKGVMSGPLDAADKSNFSAPLACGRRKPRFQRLVRRVSGPMKNTLSRTFSKHSIGAGWMRRFFLHRVIQLAWHVRESKFQSEASQNLEGGSSEREYVNSHNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRAIDKELEGVLWDYEEKSVNGRPIEPELSKCINAEAESECAKQNQSNLSLATSCGLEDSCDPGDFGDHSSNCEIVEEHDDVGCNQQQSPNCKKPSITGFVSDSIPTANLTGQGRKSMRLYELLQMEACFELGSVSMSLVESDKSNAWSSQSTSDPSDSRLILQEQHRSLMLNNRNRDGSSQQGEGPSTSGEDGERGFESSNPEIVTDLSVSMQQQNMRKPTISSKIRKMYRKQKSLRKKLFPWSYDWHREEICADERVAEPPRPIRRCKSGIVDHDAVLRAMSLALEHTEEAYMDMVEKALDKNAELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPSLAKDDIKHRNRSRESLVRMELDRISEESPMHNQNSQVNMFNKNREISICRLKMRAVQLSTDHSASIEQEVFRVKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKPTCNEALLEIFRINYVGTNPYVSCIPSVIHHRLCSSDRFLVLSSDGLYQYFSNEDVVAHVAWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPNGDRRKYHDDVSVMVVSLEGRIWRSSG >Manes.01G236400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39857604:39864167:1 gene:Manes.01G236400.v8.1 transcript:Manes.01G236400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCFVPFNGKNGVDLEFLEPLDEGLGHSFCYVRPSIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDTIDDPPGLHRPNKTLPETTFKTISGASVSANVSTARTGNRSALFASDMQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRGSGFISGPIEKGVMSGPLDAADKSNFSAPLACGRRKPRFQRLVRRVSGPMKNTLSRTFSKHSIGAGWMRRFFLHRVIQLAWHVRESKFQSEASQNLEGGSSEREYVNSHNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRAIDKELEGVLWDYEEKSVNGRPIEPELSKCINAEAESECAKQNQSNLSLATSCGLEDSCDPGDFGDHSSNCEIVEEHDDVGCNQQQSPNCKKPSITGFVSDSIPTANLTGQGRKSMRLYELLQMEACFELGSVSMSLVESDKSNAWSSQSTSDPSDSRLILQEQHRSLMLNNRNRDGSSQQGEGPSTSGEDGERGFESSNPEIVTDLSVSMQQQNMRKPTISSKIRKMYRKQKSLRKKLFPWSYDWHREEICADERVAEPPRPIRRCKSGIVDHDAVLRAMSLALEHTEEAYMDMVEKALDKNAELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPSLAKDDIKHRNRSRESLVRMELDRISEESPMHNQNSQVNMFNKNREISICRLKMRAVQLSTDHSASIEQEVFRVKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKPTCNEALLEIFRINYVGTNPYVSCIPSVIHHRLCSSDRFLVLSSDGLYQYFSNEDVVAHVAWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPNGDRRKYHDDVSVMVVSLEGRIWRSSG >Manes.18G145698.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:31921117:31923376:-1 gene:Manes.18G145698.v8.1 transcript:Manes.18G145698.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMKADTNCYMDKNWPSHLIAHNYGIEDLNKLINIINQERLDIHQKLADRQFYRDYERSRLGWLANRKSNLTRDLLWQRRKLLPLQKALTKLSFAKDERHQMAIRSCSVEKEMSLQQLTKNAKNVHFHLLHGTNTLAEENKLLKEANNGTQQREAIALRSSAVELLKELIWELFINSWWFPTTDRIWIRKLQEGIETLKCTGDEAIDNAAMKAVIWNIFGSKKEIQGRINAIENQSEELRKEYLAVRHEIKRSSRNLEKMEKDVISLENQWKSINQRKSDVLTNACIC >Manes.18G145698.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:31921117:31923350:-1 gene:Manes.18G145698.v8.1 transcript:Manes.18G145698.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMKADTNCYMDKNWPSHLIAHNYGIEDLNKLINIINQERLDIHQKLADRQFYRDYERSRLGWLANRKSNLTRDLLWQRRKLLPLQKALTKLSFAKDERHQMAIRSCSVEKEMSLQQLTKNAKNVHFHLLHGTNTLAEENKLLKEANNGTQQREAIALRSSAVELLKELIWELFINSWWFPTTDRIWIRKLQEGIETLKCTGDEAIDNAAMKAVIWNIFGSKKEIQGRINAIENQSEELRKEYLAVRHEIKRSSRNLEKMEKDVISLENQWKSINQRKSDVLTNACIC >Manes.18G145698.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:31921955:31923264:-1 gene:Manes.18G145698.v8.1 transcript:Manes.18G145698.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMKADTNCYMDKNWPSHLIAHNYGIEDLNKLINIINQERLDIHQKLADRQFYRDYERSRLGWLANRKSNLTRDLLWQRRKLLPLQKALTKLSFAKDERHQMAIRSCSVEKEMSLQQLTKNAKNVHFHLLHGTNTLAEENKLLKEANNGTQQREAIALRSSAVELLKELVSEITASDRLPIRFILSNFVFMGVGEQIWELFINSWWFPTTDRIWIRKLQEGIETLKCTGDEAIDNAAMKAVIWNIFGSKKEIQGRINAIENQSEELRKEYLAVRHEIKRSSRNLEKMEKDVISLENQWKSINQRKSDVLTNACIC >Manes.01G070900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27194379:27198115:1 gene:Manes.01G070900.v8.1 transcript:Manes.01G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQTWTTRRMSNPRLDANSTTVATTTDQVLDIPATPPGDVRNNAYSPVGSYFSPNISTAMIIASWYFSNIGVLLLNKYLLSFYGYRFPIFLTMLHMISCACYSYVAIKFLEIVPLQHILSRRQFLKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFIITCKKESAEVYGALLPVVFGIVLASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAALILLPFTLYIEGNVAAITMEKARLDPFIAFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVTVMGMTGFAVTIMGVVLYSEAKKRSKVTTH >Manes.14G010100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:595159:621443:-1 gene:Manes.14G010100.v8.1 transcript:Manes.14G010100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLNNIAMEHSDIHKQFQYSSRESGHEGFPPASQAFMLDPRSSRNNNMGLPDQNISEVKPVLNYSIQTGEEFAFEFMRDRVNHKKPLIPNAVGDPNYATGYMELKGVLGISHTGSESESDISMLTMAEKGPKEFERTSSALRDERSNYGSVQSVPRTSSGYGSRGAMHGYNSSGASDSLSGKMKVLCSFGGKILPRPSDGRLRYVGGDTRIIRITRDISWLELKQKILSIYDQAHVIKYQLPGEDLDALVSVSSEEDLLNMMEEWNEVEDREGSRKLRMFLFSMSDLDDVQFGLGSVEGDSEIQYVVAVNGMDVGSRKNSILHGLPSSSANNLDELDRLNIDRETSRVATGSVGFSTSPLTAQQIDQSFSNAYETHPPFYHGQLMDHRETQQSLLHNRRNSSSYAPPEETPHSVPLHGVINQLGGFNEERPGNSQILVKEEKPKPDGSVQQESEPEKTRPIEKVYPVPVEEASSGVPPHGHIHSLPPKNEGRYQEPDKVSSSVDAVNSLQVRKSSEAAQSSPSDGTFDPVYDDSASNLIDLSYLEPSVPPQRVYYSERIPREQAELLNRLSKSDDSLGSQLLTSIAESVEKLHHSELAPHSEHSTSTSRPSYAGTQTITEFADAVPQMNKNVSDSEDVLDKNGALKANYDKDYTTSKNKKRLEEMGEAGSGYLAVRQVTAAVPHKDPASNLSEPKRVETTGKDFASNNNLEYSRPSLGTDSSTKDVAKGIAPVGVPAAKQADISIDINDRFPRDFLSEIFTRGMPADNSSGVKPIHKDGSGVSVNMENHEPKHWSYFQKLAQEGFVQKDASLANQDRLGTLSSISKAEEGDQKSNHHTPLTTDGMSIDHQYSQIIFGEDIKEDLPGTAGADSPLLSDFLHSIVKNSESVQFDAMMENLKSPDSCYKDAGLEARTGGLPPFDPSLVDFDINTFQVIKNEDLEELRELGSGTFGTVYYGKWRGSDVAIKRLKKICFTGRSSEEERLTLEFWKEAEILSKLHHPNVVAFYGVVQDGPGGALATVTEYMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGNSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPSIPSFCDPEWKRLMEQCWAPNPAVRPSFTEIAGRLRVMSTAAGQTKGHSNKTSK >Manes.14G010100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:595159:621443:-1 gene:Manes.14G010100.v8.1 transcript:Manes.14G010100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLNNIAMEHSDIHKQFQYSSRESGHEGFPPASQAFMLDPRSSRNNNMGLPDQNISEVKPVLNYSIQTGEEFAFEFMRDRVNHKKPLIPNAVGDPNYATGYMELKGVLGISHTGSESESDISMLTMAEKGPKEFERTSSALRDERSNYGSVQSVPRTSSGYGSRGAMHGYNSSGASDSLSGKMKVLCSFGGKILPRPSDGRLRYVGGDTRIIRITRDISWLELKQKILSIYDQAHVIKYQLPGEDLDALVSVSSEEDLLNMMEEWNEVEDREGSRKLRMFLFSMSDLDDVQFGLGSVEGDSEIQYVVAVNGMDVGSRKNSILHGLPSSSANNLDELDRLNIDRETSRVATGSVGFSTSPLTAQQIDQSFSNAYETHPPFYHGQLMDHRETQQSLLHNRRNSSSYAPPEETPHSVPLHGVINQLGGFNEERPGNSQILVKEEKPKPDGSVQQESEPEKTRPIEKVYPVPVEEASSGVPPHGHIHSLPPKNEGRYQEPDKVSSSVDAVNSLQVRKSSEAAQSSPSDGTFDPVYDDSASNLIDLSYLEPSVPPQRVYYSERIPREQAELLNRLSKSDDSLGSQLLTSIAESVEKLHHSELAPHSEHSTSTSRPSYAGTQTITEFADAVPQMNKNVSDSEDVLDKNGALKANYDKDYTTSKNKKRLEEMGEAGSGYLAVRQVTAAVPHKDPASNLSEPKRVETTGKDFASNNNLEYSRPSLGTDSSTKDVAKGIAPVGVPAAKQADISIDINDRFPRDFLSEIFTRGMPADNSSGVKPIHKDGSGVSVNMENHEPKHWSYFQKLAQEGFVQKDASLANQDRLGTLSSISKAEEGDQKSNHHTPLTTDGMSIDHQYSQIIFGEDIKEDLPGTAGADSPLLSDFLHSIVKNSESVQFDAMMENLKSPDSCYKDAGLEARTGGLPPFDPSLVDFDINTFQVIKNEDLEELRELGSGTFGTVYYGKWRGSDVAIKRLKKICFTGRSSEEERLTLEFWKEAEILSKLHHPNVVAFYGVVQDGPGGALATVTEYMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGNSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPSIPSFCDPEWKRLMEQCWAPNPAVRPSFTEIAGRLRVMSTAAGQTKGHSNKTSK >Manes.09G147200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34481858:34485770:1 gene:Manes.09G147200.v8.1 transcript:Manes.09G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNWLLPGVDRISDLPSNVIDHILARLPLKDAVRTSTLSKNWREKWHTLPHIIVDENFFHQRSRQNLEGIINYILTRNEGNIEKFFVSVDEVKECYNLKLWIWRLSQKSIQELSLVMYRGQRNEVPSSLFSCQQLRKLNLCHLEIKWAHSFEGFPNLIFLQLSNVNIETSVFERLISSCPLLEQLFVRNLSCTDHLHINGLCLKYFCFDGDCKSMSFNTPLLEALNIKLYRMGPENNPFDLRFKLHGLPRAITGLYVHCPFQRFLAAGDTFTEVSTYYRHLRTLVICAFCFERVDEVSLLLSLIGSALSLQILDIKACSCQNEGASEPILQFWEEQNHSLFSFNLLQRVAVRSFHGKDYETRFIQFLLANSPILEQITVECMTNPNFNQDEVQAALLSFCGDPTKLNFIKGIYNPPRVDSSDSNDNSNSSLSSSDGD >Manes.09G147200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34481858:34485770:1 gene:Manes.09G147200.v8.1 transcript:Manes.09G147200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNWLLPGVDRISDLPSNVIDHILARLPLKDAVRTSTLSKNWREKWHTLPHIIVDENFFHQRSRQNLEGIINYILTRNEGNIEKFFVSVDEVKECYNLKLWIWRLSQKSIQELSLVMYRGQRNEVPSSLFSCQQLRKLNLCHLEIKWAHSFEGFPNLIFLQLSNVNIETSVFERLISSCPLLEQLFVRNLSCTDHLHINGLCLKYFCFDGDCKSMSFNTPLLEALNIKLYRMGPENNPFDLRFKLHGLPRAITGLYVHCPFQRFLAAGDTFTEVSTYYRHLRTLVICAFCFERVDEVSLLLSLIGSALSLQILDIKACSCQNEGASEPILQFWEEQNHSLFSFNLLQRVAVRSFHG >Manes.14G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6870231:6872068:-1 gene:Manes.14G082400.v8.1 transcript:Manes.14G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKVGSFWISKKAKEELSTISHDLSNFSDAVEEKAKWVFNKLKGKPQKSLAEVLREYNLPPGLFPQKVKCYELDESKGKLIVYLPSPCEVCFKDSSIVRYANRVKATLSRGKLTGIEGMKTKVIVWVKVSSISVESYKSDKVWFTAGVKKSRPKDAYEMPREAIQVEEF >Manes.14G023050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2214423:2215066:-1 gene:Manes.14G023050.v8.1 transcript:Manes.14G023050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRLQASSVFNLGRCDQRLPGMRVAASSDYGDKSFLLSGSEQVKNARLIGC >Manes.06G096900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23098696:23104101:-1 gene:Manes.06G096900.v8.1 transcript:Manes.06G096900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFFVLGFSLVYIATLFGAFSLALTEDGLTLLEIKNALNDSRNILGNWRATDESPCKWTGISCHPHDQRVSSINLPYLQLGGIISPSIGKLSRLHRLALHQNSLHGIIPNEITNCTELKAMYLRANYLQGGIPSDIGNLSHLTILDLSSNMLKGAIPSSIGRLTGLRHLNLSTNFFSGEIPDFGALSTFGNSSFIGNLDLCGRQVHKPCRTSMGFPVVLPHAASDEAEVPTKRPSHYIKGVLIGVMATMALTVAVLLAFLWIWLLSKKERAAKKYTEVKKQVDQEASAKLITFHGDLPYASSEIIEKLESLDQEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDILHEHGQEQPLKWSARLRIALGSARGIAYLHHDCSPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLEIVTGKRPTDPAFVKRGLNVVGWMNTLLRENRLEDVVDKRCTDADMETVEAILEIATRCTDANPDDRPTMNQAVI >Manes.06G096900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23098696:23104099:-1 gene:Manes.06G096900.v8.1 transcript:Manes.06G096900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFFVLGFSLVYIATLFGAFSLALTEDGLTLLEIKNALNDSRNILGNWRATDESPCKWTGISCHPHDQRVSSINLPYLQLGGIISPSIGKLSRLHRLALHQNSLHGIIPNEITNCTELKAMYLRANYLQGGIPSDIGNLSHLTILDLSSNMLKGAIPSSIGRLTGLRHLNLSTNFFSGEIPDFGALSTFGNSSFIGNLDLCGRQVHKPCRTSMGFPVVLPHAASDEAEVPTKRPSHYIKGVLIGVMATMALTVAVLLAFLWIWLLSKKERAAKKYTEVKKQVDQEASAKLITFHGDLPYASSEIIEKLESLDQEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDILHEHGQEQPLKWSARLRIALGSARGIAYLHHDCSPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLEIVTGKRPTDPAFVKRGLNVVGWMNTLLRENRLEDVVDKRCTDADMETVEAILEIATRCTDANPDDRPTMNQVWQLLEQEVMSPCPSDFYESHSDYS >Manes.06G096900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23098696:23104099:-1 gene:Manes.06G096900.v8.1 transcript:Manes.06G096900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFFVLGFSLVYIATLFGAFSLALTEDGLTLLEIKNALNDSRNILGNWRATDESPCKWTGISCHPHDQRVSSINLPYLQLGGIISPSIGKLSRLHRLALHQNSLHGIIPNEITNCTELKAMYLRANYLQGGIPSDIGNLSHLTILDLSSNMLKGAIPSSIGRLTGLRHLNLSTNFFSGEIPDFGALSTFGNSSFIGNLDLCGRQVHKPCRTSMGFPVVLPHAASDEAEVPTKRPSHYIKGVLIGVMATMALTVAVLLAFLWIWLLSKKERAAKKYTEVKKQVDQEASAKLITFHGDLPYASSEIIEKLESLDQEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDILHEHGQEQPLKWSARLRIALGSARGIAYLHHDCSPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLEIVTGKRPTDPAFVKRGLNVVGWMNTLLRENRLEDVVDKRCTDADMETVEAILEIATRCTDANPDDRPTMNQVWQLLEQEVMSPCPSDFYESHSDYS >Manes.06G096900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23098696:23104100:-1 gene:Manes.06G096900.v8.1 transcript:Manes.06G096900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAFFVLGFSLVYIATLFGAFSLALTEDGLTLLEIKNALNDSRNILGNWRATDESPCKWTGISCHPHDQRVSSINLPYLQLGGIISPSIGKLSRLHRLALHQNSLHGIIPNEITNCTELKAMYLRANYLQGGIPSDIGNLSHLTILDLSSNMLKGAIPSSIGRLTGLRHLNLSTNFFSGEIPDFGALSTFGNSSFIGNLDLCGRQVHKPCRTSMGFPVVLPHAASDEAEVPTKRPSHYIKGVLIGVMATMALTVAVLLAFLWIWLLSKKERAAKKYTEVKKQVDQEASAKLITFHGDLPYASSEIIEKLESLDQEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDILHEHGQEQPLKWSARLRIALGSARGIAYLHHDCSPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLEIVTGKRPTDPAFVKRGLNVVGWMNTLLRENRLEDVVDKRCTDADMETVEAILEIATRCTDANPDDRPTMNQSACKKGCEKTRPGVHP >Manes.11G148900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31338380:31343423:-1 gene:Manes.11G148900.v8.1 transcript:Manes.11G148900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIIYNCSDQVLATRERRQAKTREKAVQSVRETVQAREKWKSAKEIAKQRAIDLQAQLSRTFTRSKSKMQTDQVTKGTGQAKPGTDAALQSLPGGNSSAPKTGKKKEKSNLTNMLQEMEDNPGSHQGFNIETSDKNTKKHAPKGKELHTQSQMFRYAYGQIEKEKAMHEGKNLTFSGVLSMGGDVEIRKRPLIEISFKDLTLTLKHKKRHLLRCVTGKLSPGRVSAVMGPSGAGKTTFLSALTGKAAGCNVTGMVLVNGKAEPIQAYKKIIGYVPQDDIVHGNLTVEENLWFSARCRLSADLPKAEKVLVVERVIESLGLQPVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSFLLLRALRREALEGVNICMVVHQPSYTLFNMFDDLILLAKGGLTAYHGPVKKVEEYFAGLGITLPDRMNPPDYFIDILEGIVKPSSGVNFKQLPIRWMLHNGYPVPMDMLQNTDEMRPSTSDSTQGEPESFAGEFWKDMKTNVEMKKENLELNISNLDDLSNRKTPGVFQQYRYFLGRICKQRLREARTQAVDFLILLLAGICLGTLAKVSDETFGVIGYTYTVIAVSLLCKIAALRSFSLDKLHYWRESSSGMSGLAYFLAKDTVDHFNTIVKPIVYLSMFYFFNNPRSTVTDNYVVLTCLVYCVTGIAYALALFLEAGPAQLWSVLLPVVLTLIATRGDSSFVSKIADLCYTKWALEAFVISNAKRYYGVWLITRCGSLMESGYDIRDWYRCLILLIVSGLGSRIVAFMIMITFQKK >Manes.15G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12096828:12099201:1 gene:Manes.15G146600.v8.1 transcript:Manes.15G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPGSMGTSASFSLRLGQTIFSSASLFFMALGVQFYSYTAFCYLVTIMGLAIPWSFTLTIVDGYSVLVKWPIRQPRILLIVIIGDWVLSILILAAACSAASVVDLLLHSDGSFCPLKVCRRYQVSAAMAFLSWFLSMASSLFNLWLLPSL >Manes.03G020000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1707289:1712235:-1 gene:Manes.03G020000.v8.1 transcript:Manes.03G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGILGRGFASKCKSLIKMTKSRIEVIRRKRNATLKFLKKDIADLLANGLDINAYGRAEGLLAELALSFCYDFVEKSCDFVLKHLSVMQRMRHCPEDCREAVSSIMFAAARFSDLPELRDLRDMFYGRYGNSVELFASQEFVENLSSKPSTAEKKVQLMHDIASEFCIKWDSRSCEQRVFKPSAPPQEQPKIYGSPNVHDDKYKPINGKSTIPKDKNDLLLKERLEHDNDEHQLINEKESNALRRNERNPQPRHEVPSNGYKMPNVREEHMWKRETYDSLFQGKKEVSVEKYEPLKEDASLKTVRLGSSSQRKRPESSDGGSKLHEGGENTVPKRDVQDLENLSHGRPDILPNYAGSLSKGDARDSVAGNHHTGQYNASKSAKDVQEEAPKSNPYYNNAIPPYTKPNAKLKDGKYEGSLGSSFTGSDGNVVPKDSARDNAGNRTEKILQEAYHPDRERQSVGHARASGNMHESDNKLQDEGIITNTIPKPRSSRRRHSKAHTNHDNVSNLEEIGAVRRRSRSRRRDHSRQGLQILFDDEQYQNDEEERMIDKLLIHYSKKPSAYEPGKGRRKPRSHHNHQETEGKSPQHGNEDRPDESSHILPPPRSISLPREQTAPSDATKVFTRAASFQPDRSVPAKHVHPKLPDYDDLAARFAALRGT >Manes.01G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34054324:34059006:-1 gene:Manes.01G153000.v8.1 transcript:Manes.01G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASVATSHTKKLMKLTLSIFSPRLSSSRCKTAAKMAVARIKLLRNKREVVVRQMRRDIAMLLQSGQDATARIRVEHVIREQNVLAANEFIELFCELVVARLSIIAKQRECPTDLKEGIASLIFASPRCSEIPELGAMRKIFEKKYGKDFVSAAVDLRPNCGVNRMLIDKLSVRTPTGEVKLKVMKEIAKEYQVEWDTAESEQELLKAPEELIEGPNTFVSATSLPMKPSPVKTAEANNPTVRSTTDRGMGSMQFEDTASAAEAAAESAKQAIAAAQAAAYLANKSFNQTSQQPGFNASGINPGYGVLPRNSMGVANDPQFNYQNLDHGSKGPGQLYEPHSFDRSQYPSNEETRSVRMNGDEHICRRHSYNETRPIRMGGQDVHRRHSYNASSPNSEIKFDESDCDEEIEMEDSPAAIYPPPQRHPPPVPSSHVDPAPRVHPKLPDYDTLAARFEALKYNKSQT >Manes.14G159300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:21728837:21730925:1 gene:Manes.14G159300.v8.1 transcript:Manes.14G159300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCFTGGSRTYGFDLEIVKPPSTSTLSSSPSSTVSESSNFPLTISTRKPRTPRKRPNQTYDEATALLSTVYPNIFSTKHLTNNSRRSNKPHQNTLLLDESSSELLWPFRVLDDSVFLPHQPIESGKPRFVNESKVANFMISCDNKSCLRGNSMELCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENVDVWSNKDGNRMGLHFGDKFHFGVENGMRKGISALRNCDERNWWIFPIVDMLQISPRLNHNNLKVSYSKPKVKLNSNSGEKKKKKMEKKAVELKRNPESVQENSIPLPNSGLLLKLNYEGVLDAWSDRGSPFSEELRGSEGNNISLCFPSYYSGT >Manes.13G077718.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18086428:18086688:-1 gene:Manes.13G077718.v8.1 transcript:Manes.13G077718.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNRKKEKFNVVFNECASLVLGVSKSLYRSYDTMEEVVYSFRLANNMRMISNHCGEGICLISSSETLTDINNEQ >Manes.02G001350.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:173258:186539:1 gene:Manes.02G001350.v8.1 transcript:Manes.02G001350.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTSMVQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLCFAFIEFNDEEGAQAAVNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRNDDEREMCTRTIYCTNIDKKVTQADIKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKAPVRPRAPRLPMH >Manes.02G001350.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:171996:186539:1 gene:Manes.02G001350.v8.1 transcript:Manes.02G001350.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTSMVQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLCFAFIEFNDEEGAQAAVNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRNDDEREMCTRTIYCTNIDKKVTQADIKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKAPVRPRAPRLPMH >Manes.02G001350.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:173065:186539:1 gene:Manes.02G001350.v8.1 transcript:Manes.02G001350.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGTTNGQDTGNASRRKKNNNQEKRRMNSRTSMVQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLCFAFIEFNDEEGAQAAVNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRNDDEREMCTRTIYCTNIDKKVTQADIKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKAPVRPRAPRLPMH >Manes.02G001350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:171996:186631:1 gene:Manes.02G001350.v8.1 transcript:Manes.02G001350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGTTNGQDTGNASRRKKNNNQEKRRMNSRTSMVQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLCFAFIEFNDEEGAQAAVNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRNDDEREMCTRTIYCTNIDKKVTQADIKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKAPVRPRAPRLPMH >Manes.02G001350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:171996:186539:1 gene:Manes.02G001350.v8.1 transcript:Manes.02G001350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGTTNGQDTGNASRRKKNNNQEKRRMNSRTSMVQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLCFAFIEFNDEEGAQAAVNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRNDDEREMCTRTIYCTNIDKKVTQADIKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKAPVRPRAPRLPMH >Manes.02G001350.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:171996:186544:1 gene:Manes.02G001350.v8.1 transcript:Manes.02G001350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGTTNGQDTGNASRRKKNNNQEKRRMNSRTSMVQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLCFAFIEFNDEEGAQAAVNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRNDDEREMCTRTIYCTNIDKKVTQADIKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKAPVRPRAPRLPMH >Manes.02G001350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:171996:186540:1 gene:Manes.02G001350.v8.1 transcript:Manes.02G001350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGTTNGQDTGNASRRKKNNNQEKRRMNSRTSMVQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLCFAFIEFNDEEGAQAAVNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRNDDEREMCTRTIYCTNIDKKVTQADIKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKAPVRPRAPRLPMH >Manes.02G001350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:173036:186630:1 gene:Manes.02G001350.v8.1 transcript:Manes.02G001350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGTTNGQDTGNASRRKKNNNQEKRRMNSRTSMVQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLCFAFIEFNDEEGAQAAVNLAGTMLGYYPVRVLPSKTAIAPVNPTFLPRNDDEREMCTRTIYCTNIDKKVTQADIKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKAPVRPRAPRLPMH >Manes.10G080100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19216063:19220841:1 gene:Manes.10G080100.v8.1 transcript:Manes.10G080100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNLRPIIPSPLPEHDAMAGGLVTDVTWANSASSALTADTSKDFSLHNASSILGPSTVWTDEKHSLYLHSLEASFVNQLRHSIALRGCLKKNLWGPYSSQTPKTNRCSSSHQFMVLREGSWQKSNSGRNKAILETTADSHFIQKNPWIHHFASVGKQHIAACHDREQCASSTKRIHESSSPVCCGSTIYSQHPECGLCHHNSVGSAIEVSDQNFVDEDEGEKSGSIAAVKRLYHFQVLK >Manes.10G080100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19216009:19221160:1 gene:Manes.10G080100.v8.1 transcript:Manes.10G080100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNLRPIIPSPLPEHDAMAGGLVTDVTWANSASSALTADTSKDFSLHNASSILGPSTVWTDEKHSLYLHSLEASFVNQLRHSIALRGCLKKNLWGPYSSQTPKTNRCSSSHQFMVLREGSWQKSNSGRNKAILETTADSHFIQKNPWIHHFASVGKQHIAACHDREQCASSTKRIHESSSPVCCGSTIYSQHPECGLCHHNSVGSAIEVSDQNFVDEDEGEKSGSIAAVKRLKLVELDASSNDQVIPFPSSEMNNVSSFLRKRRIGASSNYC >Manes.10G080100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19216008:19220697:1 gene:Manes.10G080100.v8.1 transcript:Manes.10G080100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNLRPIIPSPLPEHDAMAGGLVTDVTWANSASSALTADTSKDFSLHNASSILGPSTVWTDEKHSLYLHSLEASFVNQLRHSIALRGCLKKNLWGPYSSQTPKTNRCSSSHQFMVLREGSWQKSNSGRNKAILETTADSHFIQKNPWIHHFASVGKQHIAACHDREQCASSTKRIHESSSPVCCGSTIYSQHPECGLCHHNSVGSAIGINSSIFP >Manes.10G080100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19216067:19220697:1 gene:Manes.10G080100.v8.1 transcript:Manes.10G080100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNLRPIIPSPLPEHDAMAGGLVTDVTWANSASSALTADTSKDFSLHNASSILGPSTVWTDEKHSLYLHSLEASFVNQLRHSIALRGCLKKNLWGPYSSQTPKTNRCSSSHQFMVLREGSWQKSNSGRNKAILETTADSHFIQKNPWIHHFASVGKQHIAACHDREQCASSTKRIHESSSPVCCGSTIYSQHPECGLCHHNSVGSAIEVSDQNFVDEDEGEKSGSIAAVKRLKLVELDASSNDQVIPFPSSEMNNVSSFLRKRRIVVYELGLERHETVVRWSMKRTFLACEPFFMQVEDGKECN >Manes.10G080100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19216067:19220883:1 gene:Manes.10G080100.v8.1 transcript:Manes.10G080100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNLRPIIPSPLPEHDAMAGGLVTDVTWANSASSALTADTSKDFSLHNASSILGPSTVWTDEKHSLYLHSLEASFVNQLRHSIALRGCLKKNLWGPYSSQTPKTNRCSSSHQFMVLREGSWQKSNSGRNKAILETTADSHFIQKNPWIHHFASVGKQHIAACHDREQCASSTKRIHESSSPVCCGSTIYSQHPECGLCHHNSVGSAIEVSDQNFVDEDEGEKSGSIAAVKRLKLVELDASSNDQVIPFPSSEMNNVSSFLRKRRIGASSNYC >Manes.10G080100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19216008:19221161:1 gene:Manes.10G080100.v8.1 transcript:Manes.10G080100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNLRPIIPSPLPEHDAMAGGLVTDVTWANSASSALTADTSKDFSLHNASSILGPSTVWTDEKHSLYLHSLEASFVNQLRHSIALRGCLKKNLWGPYSSQTPKTNRCSSSHQFMVLREGSWQKSNSGRNKAILETTADSHFIQKNPWIHHFASVGKQHIAACHDREQCASSTKRIHESSSPVCCGSTIYSQHPECGLCHHNSVGSAIGYTISKF >Manes.03G176700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29956815:29959385:-1 gene:Manes.03G176700.v8.1 transcript:Manes.03G176700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESFAPAATDLQEGGDQFSNISFRPLDVSDVDDFMVWASDERVTHFCSFNPYTSKEDGINYIKNTVIPHPWLRAICLNNRPIGAISVTKNSGNDVCRGELGYVLAARYWGKGIATKAVKMVAKTIFSERPELERLEALVDVENVGSQRVLEKAGFTREGVLRKYFIRKGRSRDMVMFSLLSTDPVI >Manes.03G176700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29956815:29959380:-1 gene:Manes.03G176700.v8.1 transcript:Manes.03G176700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQESFAPAATDLQEGGDQFSNISFRPLDVSDVDDFMVWASDERVTHFCSFNPYTSKEDGINYIKNTVIPHPWLRAICLNNRPIGAISVTKNSGNDVCRGELGYVLAARYWGKGIATKAVKMVAKTIFSERPELERLEALVDVENVGSQRVLEKAGFTREGVLRKYFIRKGRSRDMLLDFGCNLKMCRITKDSEAMEC >Manes.03G206000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32118993:32122850:-1 gene:Manes.03G206000.v8.1 transcript:Manes.03G206000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEESTSAIMDDHETLISTTDVELLKRAWRNEKAAPEILQYESSLVQRIKEQIELVEQNVEMFEGDGIDPLTVSLYQMDLDRTQFLLRSYLRVRLQKIEEYLFHILKTDEHLNRLSKPEQMFARRCTDDLGNHLDETVLSKLPDNYQSVLKQSITSEEDDMVPAPRLDTFVICKAKQYLSSIDFEPEYSMEITEMERDLLTFACYKFIKKPLEKGKIDLV >Manes.02G078100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5996352:6023982:1 gene:Manes.02G078100.v8.1 transcript:Manes.02G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAPFNIFMGKKKPKSGSGSDDLSTVKAAAWAWYQRGSGSDEEKPICEFLVTRTRLAHRPSRYKLEAMRVEGQCSMQKSSQSDKTVRIRTDSNSLLDAYEIQSISKRLDYLIESSNIFFNRDDAFGDDHHNNSTSSIKSKKKKKKKLLKGLWWRHAVVCGTREDVDTSAFVLSRPPSAIAATRVPVVKMATCRPGAAHAL >Manes.02G221261.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22804669:22805025:1 gene:Manes.02G221261.v8.1 transcript:Manes.02G221261.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCQFDKSVKVRRSDVAPEQLGRGCNKEVGRSDIASEPLGRGQ >Manes.10G105950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25569019:25569675:1 gene:Manes.10G105950.v8.1 transcript:Manes.10G105950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFPYASAVRSLMYALVCTRPDKAHAIGVIAVKWILRYLRGTSKLCLCFGSSEPVLVGYTDVDMAGDVDSRKSTSGYLITFAEGVSWQSKLEKCVVLSTTEAEFIAATKACKEFLWMKKLLNELGLQQKKYQLFCDSQSAIHLEKNASFHSRSKHINVRYHWIRIVLETKQLLLENIHTEENSSDMLTKILLRKKFEYCRLAAGI >Manes.09G125300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32735045:32736860:-1 gene:Manes.09G125300.v8.1 transcript:Manes.09G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDPVKLDDDQLAELREIFRSFDRNKDGSLTQLELGSLLRSLGLKPSEDQLEALTQKADKNNNGLIEFSEFVALVEPDLLQTKCPYTEDQLKKIFSMFDRDGNGYITPAELAHSMAKLGHALTAEELTGMIKEADTDGDGCINFQEFAQAITSAAFDNSWC >Manes.15G065100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4973488:4974492:-1 gene:Manes.15G065100.v8.1 transcript:Manes.15G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTFLLFIGVAFAVLFLISYQVSAHESVGTVETQESATADVNSFQPEKGHGYGYGRGYGGYGRYGHGYGGYGHGHGHGHGGYGRGYGGYGRGYGYGGKHGYGDGYGYGGYGKHGFGGKPKAMGEAEAEN >Manes.11G020100.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2172290:2172916:-1 gene:Manes.11G020100.v8.1 transcript:Manes.11G020100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILFLVALLFFPSFEAADFCVAKLKGAGSPSGYACRSPANVTVDDFVFTGLGIAGNTTNIISAAVTPAFVQQFPGVNGLGLSSARLDLAPGGVVPMHTHPAASEILFVASGKITAGFISSSANTAYVKTLNKGDVMIFPQGLLHFQINAGGTPALAIVSFNSPEPGLQILDFALFGNNLPSQLVEKTTFLDDAQVKKLKGVLGGTG >Manes.11G020100.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2171420:2174916:-1 gene:Manes.11G020100.v8.1 transcript:Manes.11G020100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILFLVALLFFPSFEAADFCVAKLKGAGSPSGYACRSPANVTVDDFVFTGLGIAGNTTNIISAAVTPAFVQQFPGVNGLGLSSARLDLAPGGVVPMHTHPAASEILFVASGKITAGFISSSANTAYVKTLNKGDVMIFPQGLLHFQINAGGTPALAIVSFNSPEPGLQILDFALFGNNLPSQLVEKTTFLDDAQVKKLKGVLGGTG >Manes.11G020100.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2172044:2176308:-1 gene:Manes.11G020100.v8.1 transcript:Manes.11G020100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILFLVALLFFPSFEAADFCVAKLKGAGSPSGYACRSPANVTVDDFVFTGLGIAGNTTNIISAAVTPAFVQQFPGVNGLGLSSARLDLAPGGVVPMHTHPAASEILFVASGKITAGFISSSANTAYVKTLNKGDVMIFPQGLLHFQINAGGTPALAIVSFNSPEPGLQILDFALFGNNLPSQLVEKTTFLDDAQVKKLKGVLGGTG >Manes.11G020100.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2171420:2175082:-1 gene:Manes.11G020100.v8.1 transcript:Manes.11G020100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILFLVALLFFPSFEAADFCVAKLKGAGSPSGYACRSPANVTVDDFVFTGLGIAGNTTNIISAAVTPAFVQQFPGVNGLGLSSARLDLAPGGVVPMHTHPAASEILFVASGKITAGFISSSANTAYVKTLNKGDVMIFPQGLLHFQINAGGTPALAIVSFNSPEPGLQILDFALFGNNLPSQLVEKTTFLDDAQVKKLKGVLGGTG >Manes.11G020100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2171420:2175082:-1 gene:Manes.11G020100.v8.1 transcript:Manes.11G020100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILFLVALLFFPSFEAADFCVAKLKGAGSPSGYACRSPANVTVDDFVFTGLGIAGNTTNIISAAVTPAFVQQFPGVNGLGLSSARLDLAPGGVVPMHTHPAASEILFVASGKITAGFISSSANTAYVKTLNKGDVMIFPQGLLHFQINAGGTPALAIVSFNSPEPGLQILDFALFGNNLPSQLVEKTTFLDDAQVKKLKGVLGGTG >Manes.11G020100.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2171420:2174947:-1 gene:Manes.11G020100.v8.1 transcript:Manes.11G020100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILFLVALLFFPSFEAADFCVAKLKGAGSPSGYACRSPANVTVDDFVFTGLGIAGNTTNIISAAVTPAFVQQFPGVNGLGLSSARLDLAPGGVVPMHTHPAASEILFVASGKITAGFISSSANTAYVKTLNKGDVMIFPQGLLHFQINAGGTPALAIVSFNSPEPGLQILDFALFGNNLPSQLVEKTTFLDDAQVKKLKGVLGGTG >Manes.04G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31726993:31731741:-1 gene:Manes.04G113700.v8.1 transcript:Manes.04G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSGCLGCYKKPTLITSVDEPSKGSEIQGQTVKKPSISEGFWTTSTCDMDNSAAQSQGSMSSISTINQTHDLHGGSSSNNAPSEFVNHGVLLWNQTRQRWVGDKRSMNRAQQSQEPKLNTHCQCMVKNFWLCCSWNATYDSLLGSNKPFPRPIPLSEMVDFLVDIWEQEGMYD >Manes.18G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2347069:2352165:-1 gene:Manes.18G026900.v8.1 transcript:Manes.18G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQENGLREEPQWLELKLPNLLYNETVQELHTTIQSEWDSLRQSACQTAAGRALWRHVIQDPLADLLAGETYLRNFHEKIKNDCLKNAREISGVLLAVRTLWFDSKLEAALNSFNGEAQVVLLGAGMDTRAYRLSCLKESNVFELDFPEVLEVKATLIEAAMGSMDEYEQPKIIAKSLNRVAADIRNNDWLEKLQISGFVLEKNTVWILEGVLYYLSHSHAVQVLKIIADKCALAHTVLLADFMNKPSTTLSNSIFHFYSDWPDQLLPSLGFSHVKLSQIGDPDAHFGLLDDPLNLFNKLRSLPRSMQTYPDDGKPCCRLYLVRASGVPNQQKESFDHIAIGSNNQI >Manes.04G066150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:17223090:17223311:1 gene:Manes.04G066150.v8.1 transcript:Manes.04G066150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMIAYVKTNCFLLWFLLTGLFSSPLSPNPRFAGSEVVQEDGKIWLWS >Manes.02G157200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12284991:12285594:-1 gene:Manes.02G157200.v8.1 transcript:Manes.02G157200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGYCLAEAYVQRKLQREALKKLEEERAKAEGFDVEVKQSGGCFPSMFKKVYPGPVRSASEKVQCAAKDQNR >Manes.06G178800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30330528:30332517:1 gene:Manes.06G178800.v8.1 transcript:Manes.06G178800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKEVKTRSEPQLEIQERGEIFFFYRPKVDKEEAHSPDDVQRLYVVLRPESGERSVEEKQDPHYAKEGLKRTDASPTASGKEGGHGSEVNIQEEPLLRFIVMGRKSLPDPSKRSQPYWGFVDMVTTKIDDVKSGLGGEEYDTSTRGHRHKYPARAAGEGVYRILRHSPGKRMHTHLVYRLELPPEDKGNEPQESLNIERQASFILQIKNPEQAAGSSSQFRGLENKRKATFPAHLQGQFGHKRFIPADPPDLLNYEGCELLLISASDDIEEELGLELETECESDPSSSDLVETFGEGAGTRALFQGSWT >Manes.06G178800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30330528:30332517:1 gene:Manes.06G178800.v8.1 transcript:Manes.06G178800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGKEVKTRSEPQLEIQERGEIFFFYRPKVDKEEAHSPDDVQRLYVVLRPESGERSVEEKQDPHYAKEGLKRTDASPTASGKEGGHGSVEVNIQEEPLLRFIVMGRKSLPDPSKRSQPYWGFVDMVTTKIDDVKSGLGGEEYDTSTRGHRHKYPARAAGEGVYRILRHSPGKRMHTHLVYRLELPPEDKGNEPQESLNIERQASFILQIKNPEQAAGSSSQFRGLENKRKATFPAHLQGQFGHKRFIPADPPDLLNYEGCELLLISASDDIEEELGLELETECESDPSSSDLVETFGEGAGTRALFQGSWT >Manes.08G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16401454:16403555:-1 gene:Manes.08G074600.v8.1 transcript:Manes.08G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIDIFCASQASTDICMSMDQPSSSSLPPIIPLAGRAIDRHNPIIRDQKRTPRALTLAPCTSQSPPINPQPYHLLHKTKKEPPAAAINKTDNDQTKSKNPRKPIDRKDKKGSSTAAGDGIAHKKDSSSSSVGKEGGIIRKSGAKRGDFITPPGSSRYLLSEKDFLDGLSDPKELNGQSAQANSKRQDSISKPSSNSNSERPSNQVVVLRVSLHCRGCEGKVRKHLSRMEGVRSYSIDFAAKKVTIVGDVTPLAVLASVSKVKNAQFWTPASNPAASLSNNSQLNK >Manes.06G077800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21420246:21426263:-1 gene:Manes.06G077800.v8.1 transcript:Manes.06G077800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMDYVKSIEWEHESYPAYEDYIVLPLFALFFTSVRFFLDRFVFQKVARRLIFGKGHQTLDVESDERRKKIRKFKESAWKCIYFLSGEILILAVTCNEPWFTNTKFFWVGPGSQAWPDQKMKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFVRVGSVVLALHDASDIFLEIGKMSKYSGAEGIASFAFILFVLSWIILRLIYYPFWVLWSTSYEVVQTLDKEKHPVDGPIYYYVFNTLLYCLLVLHIYWWVLIFRMLVKQIQARGQISDDVRSDSEDEHED >Manes.06G077800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21420246:21426274:-1 gene:Manes.06G077800.v8.1 transcript:Manes.06G077800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMDYVKSIEWEHESYPAYEDYIVLPLFALFFTSVRFFLDRFVFQKVARRLIFGKGHQTLDVESDERRKKIRKFKESAWKCIYFLSGEILILAVTCNEPWFTNTKFFWVGPGSQAWPDQKMKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFVRVGSVVLALHDASDIFLEIGKMSKYSGAEGIASFAFILFVLSWIILRLIYYPFWVLWSTSYEVVQTLDKEKHPVDGPIYYYVFNTLLYCLLVLHIYWWVLIFRMLVKQIQARGQISDDVRSDSEDEHED >Manes.06G077800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21420246:21426274:-1 gene:Manes.06G077800.v8.1 transcript:Manes.06G077800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVARRLIFGKGHQTLDVESDERRKKIRKFKESAWKCIYFLSGEILILAVTCNEPWFTNTKFFWVGPGSQAWPDQKMKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFVRVGSVVLALHDASDIFLEIGKMSKYSGAEGIASFAFILFVLSWIILRLIYYPFWVLWSTSYEVVQTLDKEKHPVDGPIYYYVFNTLLYCLLVLHIYWWVLIFRMLVKQIQARGQISDDVRSDSEDEHED >Manes.06G077800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21420246:21426264:-1 gene:Manes.06G077800.v8.1 transcript:Manes.06G077800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVARRLIFGKGHQTLDVESDERRKKIRKFKESAWKCIYFLSGEILILAVTCNEPWFTNTKFFWVGPGSQAWPDQKMKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFVRVGSVVLALHDASDIFLEIGKMSKYSGAEGIASFAFILFVLSWIILRLIYYPFWVLWSTSYEVVQTLDKEKHPVDGPIYYYVFNTLLYCLLVLHIYWWVLIFRMLVKQIQARGQISDDVRSGTLERKKKSYTWLT >Manes.06G077800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21420246:21426263:-1 gene:Manes.06G077800.v8.1 transcript:Manes.06G077800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVARRLIFGKGHQTLDVESDERRKKIRKFKESAWKCIYFLSGEILILAVTCNEPWFTNTKFFWVGPGSQAWPDQKMKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFVRVGSVVLALHDASDIFLEIGKMSKYSGAEGIASFAFILFVLSWIILRLIYYPFWVLWSTSYEVVQTLDKEKHPVDGPIYYYVFNTLLYCLLVLHIYWWVLIFRMLVKQIQARGQISDDVRSDSEDEHED >Manes.06G077800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21420246:21424001:-1 gene:Manes.06G077800.v8.1 transcript:Manes.06G077800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKVARRLIFGKGHQTLDVESDERRKKIRKFKESAWKCIYFLSGEILILAVTCNEPWFTNTKFFWVGPGSQAWPDQKMKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFVRVGSVVLALHDASDIFLEIGKMSKYSGAEGIASFAFILFVLSWIILRLIYYPFWVLWSTSYEVVQTLDKEKHPVDGPIYYYVFNTLLYCLLVLHIYWWVLIFRMLVKQIQARGQISDDVRSDSEDEHED >Manes.06G077800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21420246:21426264:-1 gene:Manes.06G077800.v8.1 transcript:Manes.06G077800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMDYVKSIEWEHESYPAYEDYIVLPLFALFFTSVRFFLDRFVFQKVARRLIFGKGHQTLDVESDERRKKIRKFKESAWKCIYFLSGEILILAVTCNEPWFTNTKFFWVGPGSQAWPDQKMKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYIFRFVRVGSVVLALHDASDIFLEIGKMSKYSGAEGIASFAFILFVLSWIILRLIYYPFWVLWSTSYEVVQTLDKEKHPVDGPIYYYVFNTLLYCLLVLHIYWWVLIFRMLVKQIQARGQISDDVRSGTLERKKKSYTWLT >Manes.12G061200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6296355:6303165:-1 gene:Manes.12G061200.v8.1 transcript:Manes.12G061200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPFIKSPSFLKNHLHLLFFSSKSNYSASESPFSSLISKVIDVLSGDPHWPRNPELNRVAPALRPHHVSNIINTHKNTDTALRFFYWISRRHFYKHDMDCFMSMLNRLVRDGIFAPADHVRILMIKSCRNEEELKWVTEYLNGITANGVFGFTLYSFNTLLLQLGKFDLVTLAHNVYTQLLSSGVKPSLLTFNTMINIFCKKGKVQEAMLVFSKIFQFDLCPDVFTYTSLILGHCRNRNLDKAFEVFDRMVKDGCDPNSVTYSTLINALCSEGRIDEAMDMLEEMTEKGIEPTVYTYTVPIRSLCDVGRVDEAISLLRSMRERGCSPNVQTYTALISGLFQGGKMEVAIGLYHRMLKEGLVPNTVTYNALINELCAEGRFGIALKIFYWMEGRGTLANAQTYNQIIKGLFTMDDIDKAMIVFNKMLKDGPSPNVVTYNTLIVENLKRGYRNNAMRFLDMMKESGCEPDERTYCELISGFCKGGKLDSATTFFCEMVQRGISPNQWTYTAMIDGYCKEGKMDVALSLFDRMEEDGCSPSIETYNAVISGLSKDNRYVEAEKFCAKMTQQGLQPNTITYTSLIDGLCRNGGTDLAFKIFHEMEKNNCLPNVHTYTSLIYGLCQEGKVDSAERLLQEMEIKRLVPDEVTFTSLIDGFVLLGRLDHAFVLLRRMVDMGCKPNYRTYNVLLKGLHKECQLLTERVMAQNETLYGCSSDEKVSTFELIGNLLLRLSENGCEPTIEVYNTLVSGLCREGKSYEASQLVEDMKEKGLSPSMDILCSLLVAQCKNLEVDSALGIFNLLAVKGIKPYLSIYKVLICALCRSSRVEEAQSLFHSLLEEKWNSDLIVWTVLIDGLLHEGQSDVCMKFLHLMESRNCALSLYTYLSLARELSKVRKSSETNKISKENRQLSVPS >Manes.12G061200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6296355:6303165:-1 gene:Manes.12G061200.v8.1 transcript:Manes.12G061200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPFIKSPSFLKNHLHLLFFSSKSNYSASESPFSSLISKVIDVLSGDPHWPRNPELNRVAPALRPHHVSNIINTHKNTDTALRFFYWISRRHFYKHDMDCFMSMLNRLVRDGIFAPADHVRILMIKSCRNEEELKWVTEYLNGITANGVFGFTLYSFNTLLLQLGKFDLVTLAHNVYTQLLSSGVKPSLLTFNTMINIFCKKGKVQEAMLVFSKIFQFDLCPDVFTYTSLILGHCRNRNLDKAFEVFDRMVKDGCDPNSVTYSTLINALCSEGRIDEAMDMLEEMTEKGIEPTVYTYTVPIRSLCDVGRVDEAISLLRSMRERGCSPNVQTYTALISGLFQGGKMEVAIGLYHRMLKEGLVPNTVTYNALINELCAEGRFGIALKIFYWMEGRGTLANAQTYNQIIKGLFTMDDIDKAMIVFNKMLKDGPSPNVVTYNTLIVENLKRGYRNNAMRFLDMMKESGCEPDERTYCELISGFCKGGKLDSATTFFCEMVQRGISPNQWTYTAMIDGYCKEGKMDVALSLFDRMEEDGCSPSIETYNAVISGLSKDNRYVEAEKFCAKMTQQGLQPNTITYTSLIDGLCRNGGTDLAFKIFHEMEKNNCLPNVHTYTSLIYGLCQEGKVDSAERLLQEMEIKRLVPDEVTFTSLIDGFVLLGRLDHAFVLLRRMVDMGCKPNYRTYNVLLKGLHKECQLLTERVMAQNETLYGCSSDEKVSTFELIGNLLLRLSENGCEPTIEVYNTLVSGLCREGKSYEASQLVEDMKEKGLSPSMDILCSLLVAQCKNLEVDSALGIFNLLAVKGIKPYLSIYKVLICALCRSSRVEEAQSLFHSLLEEKWNSDLIVWTVLIDGLLHEGQSDVCMKFLHLMESRNCALSLYTYLSLARELSKVRKSSETNKISKENRQLSVPS >Manes.12G061200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6298241:6303165:-1 gene:Manes.12G061200.v8.1 transcript:Manes.12G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPFIKSPSFLKNHLHLLFFSSKSNYSASESPFSSLISKVIDVLSGDPHWPRNPELNRVAPALRPHHVSNIINTHKNTDTALRFFYWISRRHFYKHDMDCFMSMLNRLVRDGIFAPADHVRILMIKSCRNEEELKWVTEYLNGITANGVFGFTLYSFNTLLLQLGKFDLVTLAHNVYTQLLSSGVKPSLLTFNTMINIFCKKGKVQEAMLVFSKIFQFDLCPDVFTYTSLILGHCRNRNLDKAFEVFDRMVKDGCDPNSVTYSTLINALCSEGRIDEAMDMLEEMTEKGIEPTVYTYTVPIRSLCDVGRVDEAISLLRSMRERGCSPNVQTYTALISGLFQGGKMEVAIGLYHRMLKEGLVPNTVTYNALINELCAEGRFGIALKIFYWMEGRGTLANAQTYNQIIKGLFTMDDIDKAMIVFNKMLKDGPSPNVVTYNTLIVENLKRGYRNNAMRFLDMMKESGCEPDERTYCELISGFCKGGKLDSATTFFCEMVQRGISPNQWTYTAMIDGYCKEGKMDVALSLFDRMEEDGCSPSIETYNAVISGLSKDNRYVEAEKFCAKMTQQGLQPNTITYTSLIDGLCRNGGTDLAFKIFHEMEKNNCLPNVHTYTSLIYGLCQEGKVDSAERLLQEMEIKRLVPDEVTFTSLIDGFVLLGRLDHAFVLLRRMVDMGCKPNYRTYNVLLKGLHKECQLLTERVMAQNETLYGCSSDEKVSTFELIGNLLLRLSENGCEPTIEVYNTLVSGLCREGKSYEASQLVEDMKEKGLSPSMDILCSLLVAQCKNLEVDSALGIFNLLAVKGIKPYLSIYKVLICALCRSSRVEEAQSLFHSLLEEKWNSDLIVWTVLIDGLLHEGQSDVCMKFLHLMESRNCALSLYTYLSLARELSKVRKSSETNKISKENRQLSVPS >Manes.09G060824.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9862993:9868313:-1 gene:Manes.09G060824.v8.1 transcript:Manes.09G060824.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRGSIAFFATYKPPVPLDIFSSPASPATSHDELHMTDGLSSNYNCKVIPPEALKTMLKRPKLSSQATEAVVDSGRVSGLVFVSERDKNLETIHIALRFTKKVVEVFSFNDVYDTYSDVRMEDSGCIAGGYMVGNRTIDHSLVYVSTMEPAKDRREPWTAVYKTNLNTGETKRLTPSGVSDLSPSVSPSGRKIAVASFQGKGWNGEIEDLQTDIFVMNIDKPPLERKRVIRNGGWPTWGSDNVIFFHRKVGKFWGVFRVDISSGDEPVRVTPDGIDAITPAAINETKVAVATIRQKSTFKDVRVEAQYRHIEVFDSTSSKNSIKITQMTRPKTDHFNPFVIDGGKRIGYHCCRSELLKHGDDIQRNFHKLQSPNPDVGLFSMSGVFPTFSKDGSKLAFVDNEFKEVWLADSQGLRVVYEMKDADNIFSPVWNQDPQKDLLYVCVGPSFNSGKTLDICAIPNVSSGARQRRKLTRGFNNAFPSTSPDGKKLVFRSTKDGGKKNYKNLYIMEDAEVGEYGDGKITRLTNGPWIDTHCQWSPTGDWIVFSSTRDKPKTAPETDNGLDPGYFAVFLVKANDPSVVIRVIKSGDNIAGHVNHPFFSPDGKSIAVTADLAAVSVDPISLPLFLHSVPINPADVAEAYKKLCNCSLSFCLICIMKCLYQKHICPGNLLLPLEISLRVPSYHVMSQRRQPFQYAFEHETPFCCNESIICRWS >Manes.09G060824.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9862993:9868313:-1 gene:Manes.09G060824.v8.1 transcript:Manes.09G060824.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRGSIAFFATYKPPVPLDIFSSPASPATSHDELHMTDGLSSNYNCKVIPPEALKTMLKRPKLSSQATEAVVDSGRVSGLVFVSERDKNLETIHIALRFTKKVVEVFSFNDVYDTYSDVRMEDSGCIAGGYMVGNRTIDHSLVYVSTMEPAKDRREPWTAVYKTNLNTGETKRLTPSGVSDLSPSVSPSGRKIAVASFQGKGWNGEIEDLQTDIFVMNIDKPPLERKRVIRNGGWPTWGSDNVIFFHRKVGKFWGVFRVDISSGDEPVRVTPDGIDAITPAAINETKVAVATIRQKSTFKDVRVEAQYRHIEVFDSTSSKNSIKITQMTRPKTDHFNPFVIDGGKRIGYHCCRSELLKHGDDIQRNFHKLQSPNPDVGLFSMSGVFPTFSKDGSKLAFVDNEFKEVWLADSQGLRVVYEMKDADNIFSPVWNQDPQKDLLYVCVGPSFNSGKTLDICAIPNVSSGARQRRKLTRGFNNAFPSTSPDGKKLVFRSTKDGGKKNYKNLYIMEDAEVGEYGDGKITRLTNGPWIDTHCQWSPTGDWIVFSSTRDKPKTAPETDNGLDPGYFAVFLVKANDPSVVIRVIKSGDNIAGHVNHPFFSPDGKSIAVTADLAAVSVDPISLPLFLHSVRPYGDIFIIDIDSNNINKNKNVKNFKRITHSRYENSPPTWTIFATEDPNATWNLLLNDPYTPTCPCIS >Manes.06G030066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7874296:7875037:1 gene:Manes.06G030066.v8.1 transcript:Manes.06G030066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKTTVTDVIPMMTKITEYKLNRSNFLDWSKTIRIYLRSIEMNDHLTKDPPTDETRRDWMGDDARLFLQIRNSIHSEVISLINHCEFVKELMEYLEFLYSGKGNISRIYGFTKNFNILMPLSIDVKTQKAQREQMAIMSFVASLPPEFETAKSHILSDSEISLLHDVFARVLCTESPTSSHSTSALVSRNDSGQQNNRGGQRGGFNGVKGSQRSWETGST >Manes.09G124000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32610249:32613336:1 gene:Manes.09G124000.v8.1 transcript:Manes.09G124000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVNSSSNLKSQSRFPLQEQILQRKSSKENLDRFIPNRSAIDWDYAHYMLTEGKKGKENPLDCSPAREAYRKQLAETLNLNRTRILAFKNKPPAPVELIPQEHISSSQLQAKPTKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNSVYLWDASDGSTSELVTIDDEIGPVTSVNWAPDGRHIAIGLNNSEVQLWDSAANRQLRTLKGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSLASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGGGDRCIKFWNTHTGACLNSLDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCSVATAAGDETLRFWNVFGIPEAAKPAPKANTEPFSHLTRIR >Manes.09G124000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32610375:32612912:1 gene:Manes.09G124000.v8.1 transcript:Manes.09G124000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEGKKGKENPLDCSPAREAYRKQLAETLNLNRTRILAFKNKPPAPVELIPQEHISSSQLQAKPTKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNSVYLWDASDGSTSELVTIDDEIGPVTSVNWAPDGRHIAIGLNNSEVQLWDSAANRQLRTLKGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSLASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGGGDRCIKFWNTHTGACLNSLDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCSVATAAGDETLRFWNVFGIPEAAKPAPKANTEPFSHLTRIR >Manes.09G124000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32610249:32613336:1 gene:Manes.09G124000.v8.1 transcript:Manes.09G124000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVNSSSNLKSQSRFPLQEQILQRKSSKENLDRFIPNRSAIDWDYAHYMLTEGKKGKENPLDCSPAREAYRKQLAETLNLNRTRILAFKNKPPAPVELIPQEHISSSQLQAKPTKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNSVYLWDASDGSTSELVTIDDEIGPVTSVNWAPDGRHIAIGLNNSEVQLWDSAANRQLRTLKGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSLASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGGGDRCIKFWNTHTGACLNSLDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCSVATAAGDETLRFWNVFGIPEAAKPAPKANTEPFSHLTRIR >Manes.09G124000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32610334:32613193:1 gene:Manes.09G124000.v8.1 transcript:Manes.09G124000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVNSSSNLKSQSRFPLQEQILQRKSSKENLDRFIPNRSAIDWDYAHYMLTEGKKGKENPLDCSPAREAYRKQLAETLNLNRTRILAFKNKPPAPVELIPQEHISSSQLQAKPTKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNSVYLWDASDGSTSELVTIDDEIGPVTSVNWAPDGRHIAIGLNNSEVQLWDSAANRQLRTLKGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSLASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGGGDRCIKFWNTHTGACLNSLDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCSVATAAGDETLRFWNVFGIPEAAKPAPKANTEPFSHLTRIR >Manes.09G124000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32610375:32612912:1 gene:Manes.09G124000.v8.1 transcript:Manes.09G124000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEGKKGKENPLDCSPAREAYRKQLAETLNLNRTRILAFKNKPPAPVELIPQEHISSSQLQAKPTKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNSVYLWDASDGSTSELVTIDDEIGPVTSVNWAPDGRHIAIGLNNSEVQLWDSAANRQLRTLKGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSLASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGGGDRCIKFWNTHTGACLNSLDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCSVATAAGDETLRFWNVFGIPEAAKPAPKANTEPFSHLTRIR >Manes.09G124000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32610320:32613333:1 gene:Manes.09G124000.v8.1 transcript:Manes.09G124000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVNSSSNLKSQSRFPLQEQILQRKSSKENLDRFIPNRSAIDWDYAHYMLTEGKKGKENPLDCSPAREAYRKQLAETLNLNRTRILAFKNKPPAPVELIPQEHISSSQLQAKPTKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNSVYLWDASDGSTSELVTIDDEIGPVTSVNWAPDGRHIAIGLNNSEVQLWDSAANRQLRTLKGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSLASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGGGDRCIKFWNTHTGACLNSLDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCSVATAAGDETLRFWNVFGIPEAAKPAPKANTEPFSHLTRIR >Manes.09G124000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32610334:32613193:1 gene:Manes.09G124000.v8.1 transcript:Manes.09G124000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVNSSSNLKSQSRFPLQEQILQRKSSKENLDRFIPNRSAIDWDYAHYMLTEGKKGKENPLDCSPAREAYRKQLAETLNLNRTRILAFKNKPPAPVELIPQEHISSSQLQAKPTKPRRHIPQSSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGNSVYLWDASDGSTSELVTIDDEIGPVTSVNWAPDGRHIAIGLNNSEVQLWDSAANRQLRTLKGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSLASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGGGDRCIKFWNTHTGACLNSLDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCSVATAAGDETLRFWNVFGIPEAAKPAPKANTEPFSHLTRIR >Manes.13G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32257523:32262207:-1 gene:Manes.13G115800.v8.1 transcript:Manes.13G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSEQGVENNTASSLIEQLARALNELESLKNASEDRVQWMEIELHFRNLDATLKKKFEVLEAREREYMEKEAETHALLAEREAAVVAKEQEFLDRVQELKDAAVAVIAEARANHQPTMSESIDGGDNKDNKVSSSIGDINSPEDSPPKMGENAETVAVGIKPSPELTQFCEQMDAKGLLAFTMENQKILYAIRDKLSVALESANEPARLVLDSLEAFYPPSETTQSMDKKDAALQGMRKSCIMFMEALAALLARIDPGADHLLNPEIKQQAKAIADEWKPKLASAGIDATNGNSLEADAFLQLLSTFRIASEFDEEELCKLVLVVARRRLAPELCRSLGLTHKMPGVVESLINCGKQIEAVRFIHAFQLAESFSPVPLLKTYLKDSRRNSQGKGGNPGGGQGDANAQELAALKAVIRCVEEYKLEADYPLDPLRKRVAQLVKSKSDKKRNGDFGKYHQSKKPRANGGYRGFRGGAPPGPATGRQAPPVFAERIPYTGMPERYPHAGPNPYDYQVPGQSGFGQPATDQRMYYYPQNERVTTGSGSYDAAPSNYGNYMGTGMRSSHQPYM >Manes.11G029100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2785459:2786469:1 gene:Manes.11G029100.v8.1 transcript:Manes.11G029100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKKSLSPNNTTTAVVKASNDPVTPKRSRFGRCFSVMEISIDPGKSLKDLDSNKLKAEIKRWAKAVVAYARQVSGRFGSSTRKDDSIENSRDSSQNSC >Manes.03G063116.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7130849:7132157:-1 gene:Manes.03G063116.v8.1 transcript:Manes.03G063116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHKLVLMRPNIYGGPNKGESSSMAVDNWLVAPDLHLELLRRPSDPPIVCATHREEGEMENANDNTSLETQRWILMMETMKVSFWKSLFGDPYLRN >Manes.04G158000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35277827:35282028:-1 gene:Manes.04G158000.v8.1 transcript:Manes.04G158000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANFVIKLGEKAQVPIISFSASSPSLSSIHSPYFFRATRSDSAQVNAISAIVQAFGWRKAVPIYIDNEYGLGIIPYLTDSLQAVDTQVPYRSAIAPFATDDQILEELLKLKAMQTRVFIVHVSPSLGSKLFTKAKEVGMMGEGYVWIITDGMADFLNSLDYFVIESMQGVLGVKPYVPRSKRVENFRVQWKRKFQHENPDLVDADLNIYGLWAYDAAVALAMAIERVATNINFGFGKGNISGNSTDLETLGVSQIGPSLRQALSNTKFRGLTGDFLFINGQLKSSAFQIINVDGDGVRRVGFWVPGIGLVRRLKTSGANASKNSSADNNSSTLATIIWPGDTASIPRGWEIPADGKKLRIGVPVKEGFTRFVNVTRYPGTNKLKVEGYCIDLFDAVVAELPYAMNYEYIPFVNSDDKSAGTYNDLIYQVFLGEFDAAVGDISIVANRSLYVDFTLPYMESGRVSMIVPITDVESKKAWVFLKPLTWDLWVTSLVFFVFIGFVVWVLEHRINQDFRGSPSHQISTSFWFSFSTMVFAQSEKVVSNLARIVVIICCFVGLILTQSYTASLSSFLTVQQFQPTVTTIDELIKKGDFVGYQKGSFVKETLKSLGFDESKLVPYISAEDCDQLLSKGSKNGGVAAAFEGPTSMQLILAENCSKYSIVEPVSMLEASRRKNISNIEEFSTDGLGFAFPKGSPLAPDVSRAILKVTEGDKIEKIWGKWFGDLGICPDRSNSVPSNRLGLNSFWGLFLIAGITSLLALITYIGMFIYQNRRVLMNSDSRVSMWNKIVDLLKIFNQKDFKSHTFKRSIVDNGNGEPSPSTYSINEDFHEEQDTPSAEDVYQNQNELRFCREEALFIELMSEPTKETSAVIELARENC >Manes.04G158000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35277711:35281503:-1 gene:Manes.04G158000.v8.1 transcript:Manes.04G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANFVIKLGEKAQVPIISFSASSPSLSSIHSPYFFRATRSDSAQVNAISAIVQAFGWRKAVPIYIDNEYGLGIIPYLTDSLQAVDTQVPYRSAIAPFATDDQILEELLKLKAMQTRVFIVHVSPSLGSKLFTKAKEVGMMGEGYVWIITDGMADFLNSLDYFVIESMQGVLGVKPYVPRSKRVENFRVQWKRKFQHENPDLVDADLNIYGLWAYDAAVALAMAIERVATNINFGFGKGNISGNSTDLETLGVSQIGPSLRQALSNTKFRGLTGDFLFINGQLKSSAFQIINVDGDGVRRVGFWVPGIGLVRRLKTSGANASKNSSADNNSSTLATIIWPGDTASIPRGWEIPADGKKLRIGVPVKEGFTRFVNVTRYPGTNKLKVEGYCIDLFDAVVAELPYAMNYEYIPFVNSDDKSAGTYNDLIYQVFLGEFDAAVGDISIVANRSLYVDFTLPYMESGRVSMIVPITDVESKKAWVFLKPLTWDLWVTSLVFFVFIGFVVWVLEHRINQDFRGSPSHQISTSFWFSFSTMVFAQSEKVVSNLARIVVIICCFVGLILTQSYTASLSSFLTVQQFQPTVTTIDELIKKGDFVGYQKGSFVKETLKSLGFDESKLVPYISAEDCDQLLSKGSKNGGVAAAFEGPTSMQLILAENCSKYSIVEPVSMLEASRRKNISNIEEFSTDGLGFAFPKGSPLAPDVSRAILKVTEGDKIEKIWGKWFGDLGICPDRSNSVPSNRLGLNSFWGLFLIAGITSLLALITYIGMFIYQNRRVLMNSDSRVSMWNKIVDLLKIFNQKDFKSHTFKRSIVDNGNGEPSPSTYSINEDFHEEQDTPSAEDVYQNQNELRFCREEALFIELMSEPTKETSAVIELARENC >Manes.04G158000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35277827:35282027:-1 gene:Manes.04G158000.v8.1 transcript:Manes.04G158000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKSYPSKLFFFCILFIQIVMPNNSKVPADVDVGVVLDIDDTIGKIGLSCINISLSHFYAKHSHYKTRLLLHARDSNRDVVAAAAAALDLIKNVQVEAIIGPATSMQANFVIKLGEKAQVPIISFSASSPSLSSIHSPYFFRATRSDSAQVNAISAIVQAFGWRKAVPIYIDNEYGLGIIPYLTDSLQAVDTQVPYRSAIAPFATDDQILEELLKLKAMQTRVFIVHVSPSLGSKLFTKAKEVGMMGEGYVWIITDGMADFLNSLDYFVIESMQGVLGVKPYVPRSKRVENFRVQWKRKFQHENPDLVDADLNIYGLWAYDAAVALAMAIERVATNINFGFGKGNISGNSTDLETLGVSQIGPSLRQALSNTKFRGLTGDFLFINGQLKSSAFQIINVDGDGVRRVGFWVPGIGLVRRLKTSGANASKNSSADNNSSTLATIIWPGDTASIPRGWEIPADGKKLRIGVPVKEGFTRFVNVTRYPGTNKLKVEGYCIDLFDAVVAELPYAMNYEYIPFVNSDDKSAGTYNDLIYQVFLGEFDAAVGDISIVANRSLYVDFTLPYMESGRVSMIVPITDVESKKAWVFLKPLTWDLWVTSLVFFVFIGFVVWVLEHRINQDFRGSPSHQISTSFWFSFSTMVFAQSEKVVSNLARIVVIICCFVGLILTQSYTASLSSFLTVQQFQPTVTTIDELIKKGDFVGYQKGSFVKETLKSLGFDESKLVPYISAEDCDQLLSKGSKNGGVAAAFEGPTSMQLILAENCSKYSIVEPVSMLEASRRKNISNIEEFSTDGLGFAFPKGSPLAPDVSRAILKVTEGDKIEKIWGKWFGDLGICPDRSNSVPSNRLGLNSFWGLFLIAGITSLLALITYIGMFIYQNRRVLMNSDSRVSMWNKIVDLLKIFNQKDFKSHTFKRSIVDNGNGEPSPSTYSINEDFHEEQDTPSAEDVYQNQNELRFCREEALFIELMSEPTKETSAVIELARENC >Manes.09G113800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31594658:31595572:1 gene:Manes.09G113800.v8.1 transcript:Manes.09G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVPESGNNNPEMQNKIIIDVLYKAIAQGHMDEVAKFLSSDLEWWFHGPPRCQHMMRVLTGKSSHNEFRFEPRSIEVIGECVIAEGWEGAQVYWVHVWTLEDGVITHFREYFNTWLTVKGISPSRWETGIGRRRPTLWQSQPRDLFSRSLPGLLLAI >Manes.12G126400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33270673:33272845:1 gene:Manes.12G126400.v8.1 transcript:Manes.12G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPVQMAARTDATLLTIVRLKAEGRNYSKSDSNNQKDSDGFPLPIGGTPKNTSLPCLSLTKPSWVVRTESNVRKEIRKRPNPPCVVCKGTGRVDCHYCFGKGRTNFVHLAMLPKGEWPKWCRTCGGSGLGYCSRCLGTGEYRYIMGFHFMNRDSNDKGPRSAADQLHSGDQLNSNQADKDSKDTNV >Manes.09G078300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:21138684:21139299:1 gene:Manes.09G078300.v8.1 transcript:Manes.09G078300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRWLLHSACHVVGYPSKESRKVQEDGQVVGYHSEQYVNGGVINNTKPTLEMESYLSRGFQMPLHYPRYSKSDYEKMDERRLDFLLREYGLNFKGTLEEKRSFAMGAFLWPEKY >Manes.07G051100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6053081:6054472:-1 gene:Manes.07G051100.v8.1 transcript:Manes.07G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIFKFILLIEIILANLLQISFSSVVSTGNFNQDFFVTWSPSHVNTSADGRTRSLKLDQESGSGFASNQMFLFGRIDMQIKLVTGHSAGTVVAFYLTSDQPNRDEIDFEFLGNVSGQPYILQTNVFADGFDDREERIYLWFDPTKDFHTYSVLWNLHQIVFMVDWIPIRVYRNHADKGVAYPRWQPMGIKISLWNGDSWATRGGKDKVDWSKGPFIASFRNYNIDACVWNGNPRFCRAESSTNWWNKDRYSTLTSTQRRWFKWVRLHHMIYDYCQDNKRFQNNLPKECLLPKY >Manes.11G145100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30965424:30968420:1 gene:Manes.11G145100.v8.1 transcript:Manes.11G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGAALGSAFVGLVVFEQRKRIYESISADRNQLDSQSQLREPIFGKQFRTQFELMWNKAVDETFRPVVASLNSRRQ >Manes.14G022000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2128438:2129304:-1 gene:Manes.14G022000.v8.1 transcript:Manes.14G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVLALQFHDENDRKKAMRAVCGLPGINSISADLNGKKMTVIGDVDPVVIVKKLRKRHCTKIISFG >Manes.03G202800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31857995:31859878:1 gene:Manes.03G202800.v8.1 transcript:Manes.03G202800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYKFCPDCNNILYPKEDRKQRILLYACRNCDHQEVADNNRVYRNEVHHSVSEYTQILQDVTSDPALPRTKSVRCSACGHGEAVFLQATSREEGMTLFYVCCNPNCGNRWKD >Manes.08G131500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38087453:38088633:1 gene:Manes.08G131500.v8.1 transcript:Manes.08G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLAASAALVLALAFSMASAYDPSPLQDFCVAMNDPKNAMFVNGKFCKNPNLTVADDFSLSGLNIPGNTENRVRSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHIHPRATEILVVIEGTLFVGFVTSNPNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPSLNLDVLVKAFQLDKNVVNYLQKLFWDSN >Manes.15G029500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2343786:2346739:-1 gene:Manes.15G029500.v8.1 transcript:Manes.15G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTPNSLASLLESAVKIRSSLLGRATHAQTIKTLQYPLSPFLANHLINMYSKLDLPNTANIVLQLTPTRSVVTWTALISGAVQNGHFSSALLQFFNMRRENIQPNDFTFPSAFKASASLRLPFIGKQVHAIAVKFGQIYDVFVGCSAFDMYSKTGLKHEAQKLFDELPERNVVTWNAYISNAVLDGKLINAVNAFVEFRRAGGEPDSITFCAFLNACADPLYLDLGRQLHGFVIQSGFEADVSVSNGLIDFYGKCREVRLAEMVFGGMEKRNAVSWCSMVAACEQNGEEEKACVFFLKGRREGVQPTDYMVSSVISACAGLAGLELGRSVHALAVKACMEVDIFVGTALVDMYGKCGSIEDAERAFHEMPERNMVTWNAMIGGYTHQGHADMAIRLFEEMKSEVAPNYVTMVCILSACSRGGAVELGMEVFKSMRDRYMIEPGSEHYACIVDLLGRAGMVENAYEFVKKMPIRPTIEVWGALLNACRMHGKPELGKIAASNLFELDPKDSGNHVLLSNMFAAAGRWEEATLVRKEMIDVGIKKGAGCSWLTAKNEIHVFQAKDTSHAMNSEIQAMLVKLRMEMKAAGYVPDTNYALHDLEEEEKITEVWYHSEKIALAFGLIAIPPGVPIRITKNLRICGDCHSAFKFISGIVGRKIIVRDNSRFHHFQSSQCSCRDYW >Manes.17G027870.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16336875:16339090:1 gene:Manes.17G027870.v8.1 transcript:Manes.17G027870.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSRYLHNVDSKFSRCKRNSEGNQDEPYNGLSVFAPSGYLLSKDKAAYLSDKERKQAHLFVLKNCEEVQPFLHEYEQGRHDMEFNDWFYHKIGGLQNEQNDNIKQLLSLARGPLNGVQQFSGYIINGFRFHTKQLEEKRVKQNSGVVVKGNFGDKRLGYFGVLTNILELQYLEGKRVILFKCDWWDVFNIGKGVKIDKHGFITVNTARKLTRDEPFVLSSQAEQVFYVKDGLQSNWLVVLKGHSDHFSNMSFNDESNGELFGIEEAFQQNASEIYDDYSVIIVDDENLTNWQRNDIEAINTNVLVADDIVEDLDSESETDDEDLLL >Manes.09G017000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3773867:3777181:-1 gene:Manes.09G017000.v8.1 transcript:Manes.09G017000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPSRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLHDAIASFNHVIHKHPLPSRAQFSRFLSALVKMKQYHTVFSMPKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTLINGLCMERKIDKAVEFFDYMVARGYQPDVRTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVSEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMVQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPDVVTYSTLIEGIFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFYSLFEIGLQPDVYVYYAIMKGLCQQGLIDEAYNVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELIYEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNEGSAN >Manes.05G199150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32361028:32361582:1 gene:Manes.05G199150.v8.1 transcript:Manes.05G199150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSWLLLGDFNSFTSENEQTGYVNVHSIGASDFRQWIFDNSLIDLGFEGTPFTWSKGGINSSYKAARLDRCLCTEIWRMTFSRATVIHAPKLHSDHCPIFMNCFGVTNSSIRRFHFQAAWTAHKDFVDVVSRGWKQNTSLFDNLKSTKDSLSQWNRSEFGNIFHNKQRLIRRIDGVQKSLAIRRTR >Manes.18G072900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6545757:6552072:1 gene:Manes.18G072900.v8.1 transcript:Manes.18G072900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPTLVPEWLRSSGSVSGGGNSVHHIASSSSHSDVSYPAHQARGRNSKSISDFDPPRSAFLDRISSSNSRRSSSNGSAKNAYSSFSRSHRDKDRERDKERLNFSDHWDHDVPDPLGSILSSRNEKDTLRRSHSMVSRKQGEVLPRRFTVDLKNGSSSNHVNGNGLISGIGVGCGIQKTVFEKDFPSLGSEDRQGVPEIGRVSSPGLTTAVQSLPVCSAALIGGEGWTSALAEVPTIVGNSSSGSLSAVQTVATSAPGTPSVMVGLNMAEALTQAPSKTRTAPQSSVQTQRLEELAIKQSRQLIPVTPSMPKSSVLNSSDKSKPKTVVRSVEMNMTAKTPHQQPSSLHPANQAVLGGHVKSDALKTSHGKLFVLKPGWENGVSPSPKDAASPTNNVSRAANSPLAVPSVPCAPLRSPNTKLSSGERKSANLNLISGFNVEKKPSMSQTQSRNDFFNLLKKKTLTNSSAALPDSASAVSCPTSEKSCEVSKEIVGAPTSPQVIKNGAELTSNDCSREEVQRFSEEEAAFLRSLGWEENSGEDEGLTEEEINSFYQECMKLRPSLKFCRGMQQKLLESHATGIVGASSGLSSSDSGSES >Manes.18G072900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6545757:6552072:1 gene:Manes.18G072900.v8.1 transcript:Manes.18G072900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPTLVPEWLRSSGSVSGGGNSVHHIASSSSHSDVSYPAHQARGRNSKSISDFDPPRSAFLDRISSSNSRRSSSNGSAKNAYSSFSRSHRDKDRERDKERLNFSDHWDHDVPDPLGSILSSRNEKDTLRRSHSMVSRKQGEVLPRRFTVDLKNGSSSNHVNGNGLISGIGVGCGIQKTVFEKDFPSLGSEDRQGVPEIGRVSSPGLTTAVQSLPVCSAALIGGEGWTSALAEVPTIVGNSSSGSLSAVQTVATSAPGTPSVMVGLNMAEALTQAPSKTRTAPQVLNSSDKSKPKTVVRSVEMNMTAKTPHQQPSSLHPANQAVLGGHVKSDALKTSHGKLFVLKPGWENGVSPSPKDAASPTNNVSRAANSPLAVPSVPCAPLRSPNTKLSSGERKSANLNLISGFNVEKKPSMSQTQSRNDFFNLLKKKTLTNSSAALPDSASAVSCPTSEKSCEVSKEIVGAPTSPQVIKNGAELTSNDCSREEVQRFSEEEAAFLRSLGWEENSGEDEGLTEEEINSFYQECMKLRPSLKFCRGMQQKLLESHATGIVGASSGLSSSDSGSES >Manes.15G111700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8918105:8920546:-1 gene:Manes.15G111700.v8.1 transcript:Manes.15G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTAAGVVALMLVLCLSAELMVGVRGEDPYLFFTWNVTYGTLSPLGVSQQVILINDQFPGPVINSTSNNNLVVNVFNNLDEPFLLTWSGIQQRKNSWQEGVLGTNCPILPGTNYTYRFQVKDQIGSFLYYPSTAFHRANGGFGGLHINSRLLIPVPYPDPEDDYTVIINDWFTKSHKTLRSFLDNGRSIGRPDGVLINGKIAKGDGKDEPLFTMKPGKTYKYRICNAGLKTSINFRIQGHTMKLVELEGSHVMQNIYESLDVHLGQCLSVLVTANQEPKDYYMVASTRFLKTVLTGKGIIRYTNGKGPASPELPEAPVGWAWSLNQFRSLRWNLTASAARPNPQGSYHYGSINITRTIKLVNSVSRTGGKLRYAINGVSHTNPETPLKLAEYFGIADKVFKYDTIQDNPPATIDKVVIQPNVLNMTFRNFVEIIFENPEKSMQSWHLDGYSFFAVAVEPGTWTPEKRKNYNLLDAVSRTTVQVFPKSWAAILLTFDNAGMWNLRSEIWERTYLGQQLYASVVSPARSLRDEYNIPDKALLCGLVKDLPKPPPYTI >Manes.16G011100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1115593:1120539:-1 gene:Manes.16G011100.v8.1 transcript:Manes.16G011100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMFESHHMFDMTPKSSENDLEKPNKDDDYETKSGTEITETPSGDDQDPNQRPKKKRYHRHTQRQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERTENAILKAENEKLRAENNRYKEALSNASCPNCGGPAALGEMSFDEQHLRIENVRLREEINRISGIASKYAGKPLSTFSHLSSHLHSRSLDLGVSNFGAQSGYVGEMYGATEILRSISGPTEAEKPMIVELAVAAMEELMRMAQAGEPLWVPGENSSEVLNEEEYLRAFPRGIGPRPLGLRSESSRESAVVIMNHVNLVEILMDVNQWSAVFCGIVSRAMTLEILSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHVDGTWAVVDVSLDSLRGSPISKSRRRPSGCVIQELPNGYSKVIWVEHIEVDDRAVHDLYRPLVNSGLAFGAKRWVAVLDRQCERLASSMAINIPAGDLSVITSPEGRKSMLKLAERMVMSFCSGVGASTAHAWTTLSPSGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPPKRVFAFLSDENHRSEWDILSNGGQVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGQGFNAGGIHDVRSGCALLTVAFQILVDSAPTAKLSLGSVATVNNLIKCTVERIKTAVPSENNA >Manes.16G011100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1115688:1120539:-1 gene:Manes.16G011100.v8.1 transcript:Manes.16G011100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMFESHHMFDMTPKSSENDLEKPNKDDDYETKSGTEITETPSGDDQDPNQRPKKKRYHRHTQRQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERTENAILKAENEKLRAENNRYKEALSNASCPNCGGPAALGEMSFDEQHLRIENVRLREEINRISGIASKYAGKPLSTFSHLSSHLHSRSLDLGVSNFGAQSGYVGEMYGATEILRSISGPTEAEKPMIVELAVAAMEELMRMAQAGEPLWVPGENSSEVLNEEEYLRAFPRGIGPRPLGLRSESSRESAVVIMNHVNLVEILMDVNQWSAVFCGIVSRAMTLEILSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHVDGTWAVVDVSLDSLRGSPISKSRRRPSGCVIQELPNGYSKVIWVEHIEVDDRAVHDLYRPLVNSGLAFGAKRWVAVLDRQCERLASSMAINIPAGDLSVITSPEGRKSMLKLAERMVMSFCSGVGASTAHAWTTLSPSGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPPKRVFAFLSDENHRSEWDILSNGGQVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGQGFNAGGIHDVRSGCALLTVAFQILVDSAPTAKLSLGSVATVNNLIKCTVERIKTAVPSENNA >Manes.07G009920.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1185411:1190199:1 gene:Manes.07G009920.v8.1 transcript:Manes.07G009920.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGDIITKLGSRALDEIGLWWGVKGELKKLEATVSSIRDVLLDAEEKQKLNRQVKGWLERLEEVVYDADDLLDDFATEALRRRVMTGSRMTKEVCLFFSSSNQLVYGFKMGHKIKAIRERLADIEGDRKFKLEVRTDQERIAWRDQTESSLPEVVIGREGDKKAITELVLSSNGEECVSVLSIVGMGGLGKTTLAQIIFNDELIKNSFERRIWVCVSDPFDVKMIVRKILESATKKKPEDLELEALKSQLGEIIDGKKYLLVLDDVWNENGEKWQNLKRLLVGGSSGSKILITTRSEKAAGISSTMAQHVLKGLSPDESWSLFLRVALKGQVPNNANVRKIGEDILKKCCGVPLAIKTIASLLYDKNLETEWPLFLRNELSQISQDDNDIIPTLKLSYDHLPSHLKHCFAYCALYPKDYVINVKTLIHLWVAQGFIEAPFTSDHLEDIGREYFMILWRRSFFQEVERDNFGNVIICKMHDLMHDLATTVGGTRIQLVNSDAPIIDEKTHHVALNLNVALQKIFNNAKRVRSFLLLEKHDCKELFIHKNLRCLRAYHMRYCGIRLSDSGIEKVDSCIKMLKHLRYLDVSQNGKLMALPNSITDLLNLQVLNVSYCHKLKELPKDIKKLVTLRHLYCEGCPLTHMPRGLGQLTSLQTLSKFVMAKGHISSKDVGKINELNKLNNLRGSLEITNLGFVDNEIVNVNLKEKPLLQSLELHWDQGWEVSNVDRDEMAFQNLQPHPNLKALNVHGYGGRRFPSWFSSLTNLVKVDIWRWKRCQHLPPMDQIHSLQRLEIGALGNLEYMEIEGQPTSFFPSLKFLRLCGCPKLKGWQKKRDDSTALELLQFPCLSYFFCDNCPNLTSIPQFPSLEESLYLEKASPQLVHQIFTPSISSSSSIIPPLSKLKHLSIRDIEELESLPRDGLRNLTCLQTLTIGTCPALKCLPQELHSLTSLRTLSIVDCPQLKERCGNKKGADWAFISHIPNVVFHWNQKF >Manes.08G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38464163:38467180:1 gene:Manes.08G148500.v8.1 transcript:Manes.08G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLGIYLITFLAISKRIHGYASGWSNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLTCGACFEIKCADDPTWCLTGSIIVTATNFCPSNSALPSNAGGWCNPPLQHFDLSQPVFQHIAHSKAGIIPVQYRRVACRKIGGIRFTINGHAYFNLVLITNVGGAGDVVSVSIKGSTTGWQLMSHNWGQNWQSNSNLNGQALSFKVTTSDGDSIISNNVAPPNWAYGQTFTGRQF >Manes.07G071400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:13118769:13121905:1 gene:Manes.07G071400.v8.1 transcript:Manes.07G071400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYLNLSAANFVGKLPHFIGNLSSLQVLDLSHNLELTVDSLFFASTLTSLKYLDLNSLDLSKVDDWLSSINMLPSLMELHLSLCALHSFPSFLHVNFTSLAILDLSSNYFNSTIPHWFSNISNVQNLDLSESALRGSLPSELSDASFLKLLHFCNLLELDLGANSFSGEIGEVFGNSSGCVHSSLRKLDLSRNNFRGSLPDKLENFKHLEYLALSNNYLLGPIPESVGRISNLKELSLNFNSLNGSIPPSLGQLSKLEILDMSNNLLNGSIPQSLGQLSKLDVLDIHNNSLDCIVSELHFSQLKSLTRLVMYGNSIVFDIEPTWVAPFQLQSLYLSSCKVGPKFPQWLISQTNNSLEFLDLYNTSIFDAIPDWFESISSNIQWLDLSHNQITKHLPKLTRTSLDGSTRVIYLNSNKFEGPLTAFPPDVEVLDISDNFLWGQIPQKIGKMMPNLHFLSLSNNHLNGSIPNSLCKMTHLSYLDLSRNQLSGALPQCWQLGMHGHIPVSLGSLASLESLHLENNNLKGDIPTSLKNLEHLLTLDLSENEFTGAIPPWIGENISSLAILSIHSNMFEGEIPPQLCRLASLRVLNLAKNKVTGTLPPCFGNFTSMIVDDPGFVDYWLSSFSFPVLFQMPDRWTAYNEHVLAYMKGRELLYNKTLVFLFSIDVSDNDLFGEIPKELVNLSLIQNLNLSGNNFKGQIPLQIGKLKSLESLDLSRNELSGSIPPSISALNFLSYLNLSFNNLSGPIPHGNQLQTLDDKSIYIGNSKLCGPPLESCQEMEPPGHGKPVEGSNKDDEFDMLWFYCGLGVGFMAGFVGVCSTLYFKTPWRRTSFQLVDK >Manes.06G047000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14721735:14731764:-1 gene:Manes.06G047000.v8.1 transcript:Manes.06G047000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGVPKFSPSDFLDLVMDSRDLSASQSTTAVNRDTATATVASASSSTTPTAADDDAVLSVTAALAKDAASHFHSRRYTECLAVLHQLKLKKEDDPKVIHNIAIAEYFCDGCSDTRKLLEVLNNIKKSERHALTSGEQVDAGNKVISGSKGSGTTAHQFSPTKSETLVYMDEFDPAVATLNIAIIWFHLHEYTKALSVLEPLYHNIEPIDETTALHVCLLLLDVALACQDASKFADVLIYLEKAFGVSCVSQGDNASTAQQQSANQVAKLSAVPNSSALDASNLDLAPGGNSLENSLSKTLSLSDESLEYETMFALDISGQNLTRPSGFSSSNDLSRTQVDRSLSTIDLKLKLQLYKVQFLLLTRNLKQAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAIKLLMASSNRTEMGISSMFNNLGCIYYQLGKYHASSVLFSKALTSSSSLQKDKPLKLLTFSQDKSLLIMYNCGIQHLACGKPLLAARFFQKTSLIFYNLPILWLRLAECCLMALEKGLIKAGRTPSDKSEIIVHVIGKGKWRHLAIENGNSRNGYLDSTERDDLCLGSDGQPKLSLTLARQCLLNALHLLDCSDMKHLKSSLPSSISLEENESSEAGPLKNSSHKSLTGLDTKASTLFGALGQPTANGDVKEQKGATGQEMMQNSISYFEDIRRRENQMIKQALLANLAYVELELENPEKALSIAISLLELPECSRIYFFLGHVYAAEALCLLNKPKEAAEHLWIYLSGGFNVELPFSQEDLEQWRVEKTYDCEDTNGGSATAKISSPEESQGIKFLKPEEARGTLYANFAAMHAAQGELERAHHFVTQALSLIPDSPKATLTAVYVDLMFSKSQAAISKLKQCSRVKFVPSHVQLNKS >Manes.06G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14721735:14731764:-1 gene:Manes.06G047000.v8.1 transcript:Manes.06G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGVPKFSPSDFLDLVMDSRDLSASQSTTAVNRDTATATVASASSSTTPTAADDDAVLSVTAALAKDAASHFHSRRYTECLAVLHQLKLKKEDDPKVIHNIAIAEYFCDGCSDTRKLLEVLNNIKKKSERHALTSGEQVDAGNKVISGSKGSGTTAHQFSPTKSETLVYMDEFDPAVATLNIAIIWFHLHEYTKALSVLEPLYHNIEPIDETTALHVCLLLLDVALACQDASKFADVLIYLEKAFGVSCVSQGDNASTAQQQSANQVAKLSAVPNSSALDASNLDLAPGGNSLENSLSKTLSLSDESLEYETMFALDISGQNLTRPSGFSSSNDLSRTQVDRSLSTIDLKLKLQLYKVQFLLLTRNLKQAKREVKLAMNIARGRDSSMALLLKSQLEYARGNHRKAIKLLMASSNRTEMGISSMFNNLGCIYYQLGKYHASSVLFSKALTSSSSLQKDKPLKLLTFSQDKSLLIMYNCGIQHLACGKPLLAARFFQKTSLIFYNLPILWLRLAECCLMALEKGLIKAGRTPSDKSEIIVHVIGKGKWRHLAIENGNSRNGYLDSTERDDLCLGSDGQPKLSLTLARQCLLNALHLLDCSDMKHLKSSLPSSISLEENESSEAGPLKNSSHKSLTGLDTKASTLFGALGQPTANGDVKEQKGATGQEMMQNSISYFEDIRRRENQMIKQALLANLAYVELELENPEKALSIAISLLELPECSRIYFFLGHVYAAEALCLLNKPKEAAEHLWIYLSGGFNVELPFSQEDLEQWRVEKTYDCEDTNGGSATAKISSPEESQGIKFLKPEEARGTLYANFAAMHAAQGELERAHHFVTQALSLIPDSPKATLTAVYVDLMFSKSQAAISKLKQCSRVKFVPSHVQLNKS >Manes.02G084800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6655886:6658246:-1 gene:Manes.02G084800.v8.1 transcript:Manes.02G084800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILKQADWVSYQLLANSCYRAARKMRSYGFLLKNPSQRSLQQQAQLFPNVSKCSLENRGSDTLVCDIHGGLLRTSSFFPYFMLVAFEGGSIFRAFLLLLSCPLLWVLDYELQLRVMIFITFCGLRIKDIESVGRAVLPKFYLENLHLQAYELWASTGSRIVFTSVPRVMVEGFLKEYLRVNKVMGTELHTVGNFFTGLLSNSGLLVKHRALKDVLGDKTPEIGLGSSSLDDHLFISLCKEAYVVDREENKNSGSSSVMTRDKYPKPLIFHDGRLAFLPTPLATLLMFMWLPLGFMLAIFRLLVGVVLPYKLALFLGTQSGVEIELSVKSCDPPAKSAGHKRGVLYVCSHRTLLDPVILSLSLGKPLTAVTYSLSKMSEIIAPIKTVRLSRERKRDGETMQRLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAINTRVSMFYGTTASGLKCLDPIFFFMNPRPCYQIHILEKLPTELTCAGGRSSCEVANYIQKQLANVLGFECTTLTRKDKYMMLAGNAGVVQ >Manes.16G026000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2745142:2748129:1 gene:Manes.16G026000.v8.1 transcript:Manes.16G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKHEEEEGVMATDFFWSYTDEPHASRRRVILSQYPQIKELFGPDPLAFLKVTVVVLLQLWTATLLHDAGWLKILGIAYFFGSFLNHNLFLAIHELSHNLAFSRPVHNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGIDMDVPSNIEGHLATNVVSKSVWVIFQLFFYALRPLFLKPKPPGYWEFINLFIQIALDVIMVYFWGWRSFAYLILSTFVGGGMHPMAGHFISEHYVFKPDQETYSYYGPLNFLTWHVGYHNEHHDFPRIPGNKLHKVKEIAPEYYEGLDSYKSWSQVIYMYIMDRTVGPYSRMKRKVSTITKKSE >Manes.10G133686.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29994316:29996617:-1 gene:Manes.10G133686.v8.1 transcript:Manes.10G133686.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVAAAGSILSVCFQGLLDRLNSIDLMKYVGQGQVLAQLMKWEKILKRIYAVLEDAEEKQTENRLVEIWLCDLRVLAYDLEDIIDELATEVQQRKLEEEPVHPKNKVQEFFCVMCGGGNLNLNTIKFNVEMVAKIEETSARLDDIIKQKDELRLAEYTTRRVSHVTERPPATSLVNEAKVYGREEDKKAMLKLLNAEASDAQVSVISIVGMGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVSRVTKTFLLQLGDGGDDKDLNVLQVKLKQKLSGNKFLVVLDDVWTQNHEEWTLFWGPFEAGAPQSRVIVTTRSQNVSLMMGTTQAYALKKLSHNECMSVFAQHALGANNFDSHLELKQMGEEIVKRCGGLPLAAKALGGILKGKPNPDLWKEVLSSEMWELPDNRSNILPALKLSYLHLPPHLKRCFSYCAILPKDLEFDRNELVLLWMAEGFLYDQKKMKDSEGLGQNYFDDLLSRSFFQQSNDNKSKYIMHDLIMMCTERPGAHSTPWHEFRRSRLIGDMFT >Manes.04G076900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27840093:27842500:-1 gene:Manes.04G076900.v8.1 transcript:Manes.04G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKEILARPIQLADQVTKSIDEAQSFKQDCQELKAKTEKLAILLRQAARASNVLYQRPTRRIIDDTEQVLDKALTLVIKCRATGLMKRMFTIIPSGAFRKTSMQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIALLFTGSLEERSDAAASLVSLARDNDRYGKLIIEEGGVPPLLKLLKEGQMMGQENAVRAIGLLGRDPESVEQLVNAGVCNVFAKILKEGHMKVQAVVAWAVSELAANYPKCQDHFAQNNIIRFLVTHLAFETVQEHSKYAIASKQSISIHSVVMASNNPNEKKKEDENTRISHPTNHNTSNQMHNLVTNTMKQNQTPTQIKNQTHHPHQGRGNQSNSKRHHHHVLTGTSIKGRECEDPATKAQMKAMAARALWQLCKGNVTICQSITESRALLCFTVLLEKGPEDVQSHSAMALMEITAVAEKNSDLRRSAFKPTSPTAKAVVDHLLKVIEKADSDLLIPCISAIGNLARTFRATETRMIGPLVKLLDEREPEITMEAAIALNKFASTENYLCVTHSKAIINAGGAKHLIQLVYFGEQMVQIPSLRLVCYIALSCPDSDVLANEEVLIVLEWSSKQSHFVQDYTIEPLLIDAKRRLELYQSRGSRGFH >Manes.06G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16077011:16082791:1 gene:Manes.06G049400.v8.1 transcript:Manes.06G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQKIWDAMEVAQEARDCSSSEIHSSQLTVDTSLSLSDNHMSPRLIELCKDLFKKWSGLDDSRFLIETVSGGITNLLLKVSVKEESGNEVPVTVRLYGPNTDYVINRERELQAIKYLSAAGFGAKLLGVFGNGMVQSFINARTLTPADMRKPKLAAEIAKQLCKFHAVEIPGSKEPQLWNDLFKFYDNASILQFEDIEKQRKYETISFREVYNEVLEIKELTDKLNAPVVFSHNDLLSGNLMLNEDEDKLYFIDFEYGSYSYRGYDIGNHFNEYAGYDCDYSLYPSKDEQYHFFRHYLQPDKPHEVSDKDLEALHIETNTFTLASHLFWALWALIQAKMSPIDFDYLCYFFMRYNEYKKQKEKSCSLARSYLSRFG >Manes.12G087500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13075126:13078146:-1 gene:Manes.12G087500.v8.1 transcript:Manes.12G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKDNSSKSKKVVDETQRMTRQDWELDRGEKEADINFLERQQWKTIFGEASTAERPLRKIHGPQRQDAIQFSASSAHHSIPSFSVSPSSASALSLHPPSSSTSSSSSRLLFPFAFEGFNQPIQLPHQFRTNPSMPYFRPPHQSAQNQQQMISFAQNQQQGIACPPFFGGESAIAHQQQQQQMLQYWNDSWSSSPRGRMMMMNRLGPDGRPLFRPPVQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPDLFLNKDKAMSTATTSTVLSSPTAHQSSSTKQTQEILDWQASNVESMPPPPLPPPPPPPSKQPPGDNPDNDSGMESSGATVNDEVQAVAEGSPVGEGVSGSQELGWGDMAEAWFNAIPAGWGPGSPVWDDLDTSNNLLLQAHLPFGNPSHQQFSDSSDIQRQQDNMGSASSSSSSSFPLKSFYWKDQD >Manes.09G119200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32200406:32206175:1 gene:Manes.09G119200.v8.1 transcript:Manes.09G119200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLTGDIGGLTELISLDLSFNPNLTGSLTPRLGDLQNLNILILAGCGFTGSIPNELGNLAELYFLALNSNNFTGNIPPSLGKLSKVYWLDLADNQLTGPIPVSTPFTPGLDLLRKAKHFHFNKNKLSGPIPPELFSHDMILIHVLFDGNQLNGTIPDSLGEVQTLEVLRLDRNSLTGEAPTNLNHLTNLVELNLAHNQLIGPLPDLTGMNMLNYVDLSSNFFVTSEAPAWFSTLPFLTTLVIKHGNLQGPLPSTIFSYQQIEQVLLKNNAFSGQLDMGEIIGPKLQLVDLQNNNISSVTITTKYTSKLILEGNPVCSALPDTNYCQFQQPIAKAYSTSLAECGKTQCPGGQKLSPQRCECAYPYAGTFYFRAPYFKDSSDVKRFQSLEMSLWVKLGLAPGSVLLQDPFYNVDDYLQVQLALFPPSGKYFNRTEIMRIGFDLSNQTYKPPREFGPYFFVASPYPFPDEDRGTSISFIAVAGIGIGCALLVLGLVGVGVYAIRQKKRAEKALGLSRPFSSWTPSVKASGGAPQLKGARWFSYVELKKCTNNFSGNNEIGSGGYGKVYRGMLPEGQVVAIKRAQQGSMHSALEFKTEIELLSRVHHKNLVGLLGFCFEQGEQMLVYEFMANGTLRESLSGRSGVHLDWKRRLRIALGSARGLSYLHELADPPIIHRDIKSSNILLDENLTAKVADFGLSKLVSDSAKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITAKQPIVKGKYIVREVRKSIDKNDEEHYGLTGMMDPVIRNSGNLVAFGRFLELAMQCVEESAAERPTMSEVVKAIEIILQNDGMNTNSASASSSATEFGTARGAPSHPYNDVTKKDVSDFYGFDYSGGYSPPTRVEPK >Manes.09G119200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32200406:32206127:1 gene:Manes.09G119200.v8.1 transcript:Manes.09G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFLLLFFLVFFAAFHIIFSSTDPRDAAALQSLKNAWQNVPPSWDSGDPCGTPWEGVKCKDSRVTALGLSTMGLKGKLTGDIGGLTELISLDLSFNPNLTGSLTPRLGDLQNLNILILAGCGFTGSIPNELGNLAELYFLALNSNNFTGNIPPSLGKLSKVYWLDLADNQLTGPIPVSTPFTPGLDLLRKAKHFHFNKNKLSGPIPPELFSHDMILIHVLFDGNQLNGTIPDSLGEVQTLEVLRLDRNSLTGEAPTNLNHLTNLVELNLAHNQLIGPLPDLTGMNMLNYVDLSSNFFVTSEAPAWFSTLPFLTTLVIKHGNLQGPLPSTIFSYQQIEQVLLKNNAFSGQLDMGEIIGPKLQLVDLQNNNISSVTITTKYTSKLILEGNPVCSALPDTNYCQFQQPIAKAYSTSLAECGKTQCPGGQKLSPQRCECAYPYAGTFYFRAPYFKDSSDVKRFQSLEMSLWVKLGLAPGSVLLQDPFYNVDDYLQVQLALFPPSGKYFNRTEIMRIGFDLSNQTYKPPREFGPYFFVASPYPFPDEDRGTSISFIAVAGIGIGCALLVLGLVGVGVYAIRQKKRAEKALGLSRPFSSWTPSVKASGGAPQLKGARWFSYVELKKCTNNFSGNNEIGSGGYGKVYRGMLPEGQVVAIKRAQQGSMHSALEFKTEIELLSRVHHKNLVGLLGFCFEQGEQMLVYEFMANGTLRESLSGRSGVHLDWKRRLRIALGSARGLSYLHELADPPIIHRDIKSSNILLDENLTAKVADFGLSKLVSDSAKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITAKQPIVKGKYIVREVRKSIDKNDEEHYGLTGMMDPVIRNSGNLVAFGRFLELAMQCVEESAAERPTMSEVVKAIEIILQNDGMNTNSASASSSATEFGTARGAPSHPYNDVTKKDVSDFYGFDYSGGYSPPTRVEPK >Manes.08G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33724530:33728193:-1 gene:Manes.08G101300.v8.1 transcript:Manes.08G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVSALSQVIATTTGDNPNMVVQPNPLAAEQSIVKEEPDQSQPTQDQDNTRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDKAALKFKGTKAKLNFPERVQGKPEFSFFTTSGGSSVSREQSQMPAAMPAPSHLPPPHPPSFPQETYPDLLQYAQILSSNDANLPYYTSNLFNQQPYVPYYPSSFSSQQQQQQQQQQQQYHQFQQQDQMTFSPWFDSSSGSNYQDYDPSSKPSE >Manes.08G134300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37105305:37108074:1 gene:Manes.08G134300.v8.1 transcript:Manes.08G134300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGMTVLDGTQLRSLQVSLPVSDVTLTGAKLLDFADSISSDSLFGLSLPQCLKSSALRRVNVYDDVSFRRSELTKEAATSKLNDYLTAIADELKDNPLVVSILDGNTLRLFLEDEDDFAMLAENIFTDLDIEDKGKITKAEMRNALVHMGVEMGVPPFEEFPLLNDILKKHGAEGEVELGQLQFAELLQPILQEIAETLAQKPVAVIHNTEIVNGCKIRKLLTDEKQLNDVAEKILQEKRSKKDYQNNTEIIRRFLEANGKELGLPPSEANEAVVLLYDAVFADVQSGKCAAESEEVFRELVKEILEKFAEQLEANPIYCDLDN >Manes.06G131200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26033077:26034918:1 gene:Manes.06G131200.v8.1 transcript:Manes.06G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGQASTLELIRQYLFTDSASNDNLDFISNLDTFPNSSPVLFRTESQEFDCLKPQQSHKHHMELSKPQLVQNSNSTLKSSTLSQRKPAMSNISIPPPATLKAIPPQQPSDSSGEKHYRGVRRRPWGKYAAEIRDPNKKGARVWLGTFDTAIEAAKAYDSAAFRLRGSKAILNFPLEVGNFNSRQESEFTDNNNNNNNNNNNNNDSKKRKIEVTESFESSRNKVVKTENPSPERDGKPPQSTDPLTPSSWKGFWDGDVMGIFSVPPLSPLSPHPSIGYSRVMVV >Manes.02G029400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2486416:2492238:1 gene:Manes.02G029400.v8.1 transcript:Manes.02G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAKENASVVDSSVTEWKHDMVNFDDPESPICKGDEGTYPRRPEIAGQDQVGDSSLNQKVRLYDTRYFIIKSLNHHNIQLSVEKGVWATQVMNEPILEEAFHNSGKVILIFSVNMSGFFQGYAQMISSVGWRRDNIWSQGCGKSNPWGRSFKVKWLRLNDLPFQKTLHLKNPLNDYKPVKISRDCQELPEDVGEALCDLIDGESNSDGMPKRDELPLKRHCIVPPCSLGDEEYNVHSLHMPWAGASMPYPSFIYQHCAEASRFHLAHQGTSGVNLPLASSASNVSRMKHSQLNGSFANLQVQCDMHSRNDAWGLSAESPLASTLTEDDFLEMTYEEYLEVHSRSIKKMNLPVSILA >Manes.02G029400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2486416:2494391:1 gene:Manes.02G029400.v8.1 transcript:Manes.02G029400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPILEEAFHNSGKVILIFSVNMSGFFQGYAQMISSVGWRRDNIWSQGCGKSNPWGRSFKVKWLRLNDLPFQKTLHLKNPLNDYKPVKISRDCQELPEDVGEALCDLIDGESNSDGMPKRDELPLKRHCIVPPCSLGDEEYNVHSLHMPWAGASMPYPSFIYQHCAEASRFHLAHQGTSGVNLPLASSASNVSRMKHSQLNGSFANLQVQCDMHSRNDAWGLSAESPLASTLTEDDFLEMTYEEYLEVHSRSIKKMNLPVVGSSQTTPESSRSKKQDDNLNSSSVTEKCRSRKRTHHSSDK >Manes.02G029400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2486416:2494391:1 gene:Manes.02G029400.v8.1 transcript:Manes.02G029400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAKENASVVDSSVTEWKHDMVNFDDPESPICKGDEGTYPRRPEIAGQDQVGDSSLNQKVRLYDTRYFIIKSLNHHNIQLSVEKGVWATQVMNEPILEEAFHNSGKVILIFSVNMSGFFQGYAQMISSVGWRRDNIWSQGCGKSNPWGRSFKVKWLRLNDLPFQKTLHLKNPLNDYKPVKISRDCQELPEDVGEALCDLIDGESNSDGMPKRDELPLKRHCIVPPCSLGDEEYNVHSLHMPWAGASMPYPSFIYQHCAEASRFHLAHQGTSGVNLPLASSASNVSRMKHSQLNGSFANLQVQCDMHSRNDAWGLSAESPLASTLTEDDFLEMTYEEYLEVHSRSIKKMNLPVVGSSQTTPESSRSKKQDDNLNSSSVTEKCRSRKRTHHSSDK >Manes.02G029400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2486416:2494391:1 gene:Manes.02G029400.v8.1 transcript:Manes.02G029400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTAKENASVVDSSVTEWKHDMVNFDDPESPICKGDEGTYPRRPEIAGQDQVGDSSLNQKVRLYDTRYFIIKSLNHHNIQLSVEKGVWATQVMNEPILEEAFHNSGKVILIFSVNMSGFFQGYAQMISSVGWRRDNIWSQGCGKSNPWGRSFKVKWLRLNDLPFQKTLHLKNPLNDYKPVKISRDCQELPEDVGEALCDLIDGESNSDGMPKRDELPLKRHCIVPPCSLGDEEYNVHSLHMPWAGASMPYPSFIYQHCAEASRFHLAHQGTSGVNLPLASSASNVSRMKHSQLNGSFANLQVQCDMHSRNDAWGLSAESPLASTLTEDDFLEMTYEEYLEVHSRSIKKMNLPELNYLACKGPRSLLYLLRSSWIFSNNTGVIKK >Manes.02G029400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2486416:2494391:1 gene:Manes.02G029400.v8.1 transcript:Manes.02G029400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQNSGKVILIFSVNMSGFFQGYAQMISSVGWRRDNIWSQGCGKSNPWGRSFKVKWLRLNDLPFQKTLHLKNPLNDYKPVKISRDCQELPEDVGEALCDLIDGESNSDGMPKRDELPLKRHCIVPPCSLGDEEYNVHSLHMPWAGASMPYPSFIYQHCAEASRFHLAHQGTSGVNLPLASSASNVSRMKHSQLNGSFANLQVQCDMHSRNDAWGLSAESPLASTLTEDDFLEMTYEEYLEVHSRSIKKMNLPVVGSSQTTPESSRSKKQDDNLNSSSVTEKCRSRKRTHHSSDK >Manes.02G029400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2486416:2494391:1 gene:Manes.02G029400.v8.1 transcript:Manes.02G029400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPILEEAFHNSGKVILIFSVNMSGFFQGYAQMISSVGWRRDNIWSQGCGKSNPWGRSFKVKWLRLNDLPFQKTLHLKNPLNDYKPVKISRDCQELPEDVGEALCDLIDGESNSDGMPKRDELPLKRHCIVPPCSLGDEEYNVHSLHMPWAGASMPYPSFIYQHCAEASRFHLAHQGTSGVNLPLASSASNVSRMKHSQLNGSFANLQVQCDMHSRNDAWGLSAESPLASTLTEDDFLEMTYEEYLEVHSRSIKKMNLPELNYLACKGPRSLLYLLRSSWIFSNNTGVIKK >Manes.02G029400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2486416:2494391:1 gene:Manes.02G029400.v8.1 transcript:Manes.02G029400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPILEEAFHNSGKVILIFSVNMSGFFQGYAQMISSVGWRRDNIWSQGCGKSNPWGRSFKVKWLRLNDLPFQKTLHLKNPLNDYKPVKISRDCQELPEDVGEALCDLIDGESNSDGMPKRDELPLKRHCIVPPCSLGDEEYNVHSLHMPWAGASMPYPSFIYQHCAEASRFHLAHQGTSGVNLPLASSASNVSRMKHSQLNGSFANLQVQCDMHSRNDAWGLSAESPLASTLTEDDFLEMTYEEYLEVHSRSIKKMNLPVVGSSQTTPESSRSKKQDDNLNSSSVTEKCRSRKRTHHSSDK >Manes.12G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2536984:2539677:1 gene:Manes.12G029000.v8.1 transcript:Manes.12G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGILLKLLNGMNTGTKPTSEHRSSLLQVTDIVPADLDEKNLFPKHGFYIKISDSSHSIYVSLPSEQDDFVLSNKMQLGQFIYVDRLEPGSPVPIVKGAKPLPGRHPLVGTPEPLMGLREKGEQNPNLKASGHRRGSWGTGENGVSSPMVLKPVPLDFDQCTPVKQRTSCGKPASPLIRGRMGKDGSASAAIRCSFGGGLLAKMVDTKGESPALLRKSCAVTSASKFPRSKSVCEREARIPSSPFNSSENKSSTPPPSLRNGKVVASLKMGGESQNSSNSKPAPEPQSQSIKSASDNSTSLPINLPGKLSILGKEAVQQRETAQKIALQALRDASATETLVRSLKMFSNLSKSARPDAPAACFDKFLEFHHQILQAITDMVSIQAATSASEIAQNPKAEQKDKKPEDEFPILHEIVHNSVDQSKNSELHSSKRRAALYKSIAAFPERSEQKTTLSKLQRSTANQKASSERKVPSTPLGKLPLEAVSENDENKIPAFCSLSNTIRLGKEVETEAGNWFMEFIEKALENGIKKSKGAADEDAKKVPQSLILKVINWVEVEQCDSNKRSVHPKAAQIARKLRIKMKNP >Manes.12G029000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2536984:2539677:1 gene:Manes.12G029000.v8.1 transcript:Manes.12G029000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGQFIYVDRLEPGSPVPIVKGAKPLPGRHPLVGTPEPLMGLREKGEQNPNLKASGHRRGSWGTGENGVSSPMVLKPVPLDFDQCTPVKQRTSCGKPASPLIRGRMGKDGSASAAIRCSFGGGLLAKMVDTKGESPALLRKSCAVTSASKFPRSKSVCEREARIPSSPFNSSENKSSTPPPSLRNGKVVASLKMGGESQNSSNSKPAPEPQSQSIKSASDNSTSLPINLPGKLSILGKEAVQQRETAQKIALQALRDASATETLVRSLKMFSNLSKSARPDAPAACFDKFLEFHHQILQAITDMVSIQAATSASEIAQNPKAEQKDKKPEDEFPILHEIVHNSVDQSKNSELHSSKRRAALYKSIAAFPERSEQKTTLSKLQRSTANQKASSERKVPSTPLGKLPLEAVSENDENKIPAFCSLSNTIRLGKEVETEAGNWFMEFIEKALENGIKKSKGAADEDAKKVPQSLILKVINWVEVEQCDSNKRSVHPKAAQIARKLRIKMKNP >Manes.02G009900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1058379:1059447:-1 gene:Manes.02G009900.v8.1 transcript:Manes.02G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLKPSARQRQQEEEVLKKYYDQVYQERELGAEFGKESDDDFDEKGSLKVKIVLTKEELEWLMLQLKVNEGKKLEDVLQEIERERERGKVKSWKPSLESILESPEGLEMERL >Manes.15G069950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5326461:5326971:-1 gene:Manes.15G069950.v8.1 transcript:Manes.15G069950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCQLFGVQVRLATGSGGLKPRFYGPYRLEERVGNLAYKLQLPPGSKVHPVFHVSLLKKHVGIAVPVSLDFPNLTDEGFAMLEPEAILDTRWVRNGSRVIEECLVQWKHLHRDDATWENFADLQARFPSSNLEDKVPLDGGVMIDS >Manes.03G141100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26921421:26922455:1 gene:Manes.03G141100.v8.1 transcript:Manes.03G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFSPLLFLALIFSYSFMAHADNAPAPSPSKSSQPPASSPLSKSPASPPSSVPAKSPASSPTSVPATSPLSKSPTFPPSSVPAKSPASSPTSVPATSPSSSPSKSPKIPPAMSTPTAAAPSPSGSPPALSPTTPPPSVSTPAATPVTAPTLAPEATPPVAEGPATAATPEASASIPSSSATPVEAPMVFPSTKSPPSPTPASLSPETAESPMNDESGSRSMNEVRAVMTGLLSVGAALFWVI >Manes.02G199800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16903861:16909698:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16899559:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16899511:16909698:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16903861:16909698:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16903852:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16899556:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16899510:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNLEWKYDVFISFRGKDTRNNFTDYLYKALSQKGIETFIDNKLNRGEEITPELLRTIEESMVAVIVFSQNYADSPWCLEELVHIMECKKAHGQNVLPVFYGVDPSNVEEQTGEFGKGYARAKEQAQNNGDMRIVKKWRAALKGAANLSGLDSAVVRPDCTLINEIVKHVLKKLNQTSSSDTEGLIGIESSLEKVEKLLNIEFPDVRMIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16903562:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNLEWKYDVFISFRGKDTRNNFTDYLYKALSQKGIETFIDNKLNRGEEITPELLRTIEESMVAVIVFSQNYADSPWCLEELVHIMECKKAHGQNVLPVFYGVDPSNVEEQTGEFGKGYARAKEQAQNNGDMRIVKKWRAALKGAANLSGLDSAVVRPDCTLINEIVKHVLKKLNQTSSSDTEGLIGIESSLEKVEKLLNIEFPDVRMIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGCLI >Manes.02G199800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16885311:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNLEWKYDVFISFRGKDTRNNFTDYLYKALSQKGIETFIDNKLNRGEEITPELLRTIEESMVAVIVFSQNYADSPWCLEELVHIMECKKAHGQNVLPVFYGVDPSNVEEQTGEFGKGYARAKEQAQNNGDMRIVKKWRAALKGAANLSGLDSAVVRPDCTLINEIVKHVLKKLNQTSSSDTEGLIGIESSLEKVEKLLNIEFPDVRMIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16899512:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNLEWKYDVFISFRGKDTRNNFTDYLYKALSQKGIETFIDNKLNRGEEITPELLRTIEESMVAVIVFSQNYADSPWCLEELVHIMECKKAHGQNVLPVFYGVDPSNVEEQTGEFGKGYARAKEQAQNNGDMRIVKKWRAALKGAANLSGLDSAVVRPDCTLINEIVKHVLKKLNQTSSSDTEGLIGIESSLEKVEKLLNIEFPDVRMIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16903852:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16903934:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16900310:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNLEWKYDVFISFRGKDTRNNFTDYLYKALSQKGIETFIDNKLNRGEEITPELLRTIEESMVAVIVFSQNYADSPWCLEELVHIMECKKAHGQNVLPVFYGVDPSNVEEQTGEFGKGYARAKEQAQNNGDMRIVKKWRAALKGAANLSGLDSAVVRPDCTLINEIVKHVLKKLNQTSSSDTEGLIGIESSLEKVEKLLNIEFPDVRMIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16899512:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNLEWKYDVFISFRGKDTRNNFTDYLYKALSQKGIETFIDNKLNRGEEITPELLRTIEESMVAVIVFSQNYADSPWCLEELVHIMECKKAHGQNVLPVFYGVDPSNVEEQTGEFGKGYARAKEQAQNNGDMRIVKKWRAALKGAANLSGLDSAVVRPDCTLINEIVKHVLKKLNQTSSSDTEGLIGIESSLEKVEKLLNIEFPDVRMIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.02G199800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16904905:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNLEWKYDVFISFRGKDTRNNFTDYLYKALSQKGIETFIDNKLNRGEEITPELLRTIEESMVAVIVFSQNYADSPWCLEELVHIMECKKAHGQNVLPVFYGVDPSNVEEQTGEFGKGYARAKEQAQNNGDMRIVKKWRAALKGAANLSGLDSAVVRPDCTLINEIVKHVLKKLNQTSSSDTEGLIGIESSLEKVEKLLNIEFPDVRMIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGCLI >Manes.02G199800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16903934:16911276:-1 gene:Manes.02G199800.v8.1 transcript:Manes.02G199800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIWGMGGIGKTTIAGVIFNRISPLFDSCCFLANVREESEKMGLPRLQQELFSILLEDDNLNMHMLSTEPASVKTRLHRKKVFIVLDDVNSSRQLELLAGINWFGRGSRIIVTTRERQLLVSHGVEFIYEVRDLNEDHALELFSRYAFRQKHRTEEFTELSMRAIDYCKGLPLALKVLGSSLYGRSEREWNASLSRLEKHFNKDIQQALRISYDQLDDLNKSLFLDIACYFRGQDKDYVANILTSFGFHPESGIGELVDHSLVTVFDNTLSMHDLLQDMGREIVRQQSLKDPGKRTRLWDHEDVVQVLMQESGTKHVECIVIDLSKTDERHFTAEAFMKMKNLRLIDVHGAYGDRKVHLSGNFNFLYYELKCLCWEGYPLKYLPTNFNPKKIIMIEMPRSSIKQLWKGILHLNKLQIINLSHSQFLTETPDFTGVPNLETLILEGCTSLSKVHPSVGVLKKLALLNLKDCCCLRSLPTGIELESLNTLILSGCSKLGSFPDILGNMEHLSKVALDGTAISELPLSIKNLTGLVFLSMRNCKNLGSLPSNIKFLKSLKNLDLFGCSNLDSLPESLGFLKRLEKLDVGETAVKEPPSSIGLLGNLKVLSFCGIKPIPVHWYDKWLSIFGKTHDCVGLSLPSLKGLHSLTELDLSDCNLSEEMFPGDFHYLSSLVVLNLSRNNFVNTPASISQLPQLRYLYLDECKNLKALRKLPATLHEIYANSCISLESLSSPDAIAGKWMWPIFYFTNCSKLAVDQGGDSMAFKFLRSHLQSLSMNQLQDVSFRGCRFDVIVPGIEIPNWFIQQNMGPSVVIKLTPNWYNDKFKGLAVCPVFAIRGNPDLLTDGPASDIAIYCRLEAVEYTVVSSFKFLIYRVPSLQSDHLWMGFHSRVEFDKSNTLKKCRYLRASFESSVPGMEVKKCGIRLVYDQDENEYNLMAEESSLPCDSLGLVNQVIDEPMVVDEWCKLKRHHHDHNKAGPSKSESSDLEEEPFPKRLKNL >Manes.13G008500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1215419:1216399:-1 gene:Manes.13G008500.v8.1 transcript:Manes.13G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATCKAKKLQALRNHKAQQFLHKIFFHLLVALTCSLLCSHPYWFPSLCSSIKQFLFLSLTSTTYSSFLSPKCLFLVFNVIVVFLVGESVLVGSQSSPAGEIYDEYVERSRNLRGVPPSSTVQEKEVELNFSEVKRVTVVQDEQVKEEIKEVVEEITEEEEVHGGESHEANKAEIEEEDDGEEKERGGEEETSLPTEELNRRVEEFIARVNKQRWLEEARLLVSCSA >Manes.06G153900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28103013:28107901:1 gene:Manes.06G153900.v8.1 transcript:Manes.06G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLERKAKEAFVDDHFELAAELLTQAIALSPASAEIYADRAQANIKLGNLTEAVADANKAIELDPSMAKAYFRKGTACIKLEEYETAKSALEIGASLAPEDTRFANLIKQCDECIADETADLSKQVLEAPANVVSKQVSEAVADAVSMEDVQPVIDLSGQVPVVTAAKPKYRHEFYQKPEEVVVTIFAKGLPASSVAVDFGEQILSVSINVPGEDPYHFQPRLFGKIIPAKCRYVVLSTKVEIRLAKADPIHWISLDYKMEPTVVQRVDVSSVNGSNKPSYPSSKPKAVDWDKLEAQVKKEEKEEKLDGDAALNKFFRDIYQDADEDTRRAMKKSFVESNGTVLSTNWKEVGSKKVEGSPPDGMEVKKWEY >Manes.09G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4408058:4416110:-1 gene:Manes.09G021300.v8.1 transcript:Manes.09G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIEESSQNSEQMLEEEDDDLEFDSNNLEIEGNELEIEGNGLEIEGSGLEIEGNDLEIESHDLETKGNVIENNCDQMLDIEEHVHDINTDNTLTVDGQNSESHGNSYPPPVVGMEFESYDDAYNYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTMKEANSRRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHSFDPERAQNSKSHKKMDAGSKRKVEPTLDVEVRTIKLYRTAAVDPLGYGSSNSNEGHSNHPIDLLKRLKLKKGDAQIIYNYFCQVQLTNPNFFYLMDLTDEGFLKNVFWIDSRSRAAYAYFGDVIIFDTTCLSNNYEIPLFSFVGVNHHGQSILLGCGLLADGTFETYIWLFRAWLTCMLGRPPQTIITTNQCKVMQNAIAEVFPRAHHRLCLSYIVQMVLENLGALPDYEAFQMVFNTTIYDTLKVDEFEMAWEAMNQRFGIANHDWLRSLYEDRERWAPVYSKDTFFAGMSTFQRGESITSFFDGWLHRQTSFREFFDMYELVLQKKHQQEVLDDFESRDSSSMLRTSSYYELQLSKVYTNKIFKKFQDEVVMMSSCFSITQVHANGSVFTYMIKEREAEENLSNFRNFELLYDKSGAEVRCNCCCFNFRGYLCRHALCILQYNGVDEIPYQYILGRWRKDFKRLYVPDLGSNNVDIANPVQWFDHLYKRAMQVVEEGMVSQDHYMVAWQAFKESLNKVRLVGDKHVQIDH >Manes.18G083570.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7717281:7719201:-1 gene:Manes.18G083570.v8.1 transcript:Manes.18G083570.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEQSASATIVSECCDEEETWNLAVSLANAVVLPMVLKSALELNIIDIISTPGNSRGSLSASEIAQRIPAARNQDAPILLDRMLRVLASYDIVKCSSSTKENGQVERWYGAGPICKFLTKNQDGSGSAGPLLLMLHDEVLMKSWFHLNDAILEGGFPFSRAHGMTAFEYLGTDQRFNTLFNQAMSSYTTLVVKKILDVYRGFDGLNVLVDVGGGTGVTLSIIASKYPHIKGINYDLPHVLANSTSYSGVEHVKGDMFRSVPKGDAIFLKWILHDWSDEHCLKLLKNCWEALPSNGKVIVVECILPMAPENIVSSHFVHKQDLLMLTQSPGGKERTPKEFEALALKSGFSSCEAICCAYNSWVLEFHK >Manes.08G097900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32933681:32939939:-1 gene:Manes.08G097900.v8.1 transcript:Manes.08G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNSTTIFFAKSEFPAVFPSSSCGMSSSCIDFDSRRLSLVSRKMDAGRSKLRLRCAIGGSNTSGNSNCSSSSDGSKNKAVPNSNYVVPLDKSFSSTYSSCITRPLAEILRDLNKRIPDNIIKPSSPGTTFIPWYHANRMLSFYAPGWCGEIRDLIFSDNGTVTVVYRVTIRGSDGEAYRESTGTVSSSDALIADPVAAAEEIAFCRACARFGLGLYLYHED >Manes.15G016100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1310500:1318059:1 gene:Manes.15G016100.v8.1 transcript:Manes.15G016100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKASNGRLDFGKMGYGCEHYRRRCKIRAPCCNDVFPCRHCHNEAASMLKNPYERHELNRYDVKQVICSVCDTEQPVAQVCTNCGVNMGEYFCGVCKFYDDDTEKGQFHCDDCGICRVGGLENYFHCNKCGTCYSISLRGNHSCVENSMHHHCPICYEYLFDSLKDTTVMKCGHTMHFECYCEMIKRDKYCCPICSKSVVDMSKTWKIIDEEIEVTIMPEDYRYKKVWILCNDCNDTTQVYFHIIGQKCSHCKSYNTRTIAPPVLPQ >Manes.15G016100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1310497:1318347:1 gene:Manes.15G016100.v8.1 transcript:Manes.15G016100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKASNGRLDFGKMGYGCEHYRRRCKIRAPCCNDVFPCRHCHNEAASMLKNPYERHELNRYDVKQVICSVCDTEQPVAQVCTNCGVNMGEYFCGVCKFYDDDTEKGQFHCDDCGICRVGGLENYFHCNKCGTCYSISLRGNHSCVENSMHHHCPICYEYLFDSLKDTTVMKCGHTMHFECYCEMIKRDKYCCPICSKSVVDMSKTWKIIDEEIEVTIMPEDYRYKKVWILCNDCNDTTQVYFHIIGQKCSHCKSYNTRTIAPPVLPQ >Manes.15G016100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1310500:1318059:1 gene:Manes.15G016100.v8.1 transcript:Manes.15G016100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNPYERHELNRYDVKQVICSVCDTEQPVAQVCTNCGVNMGEYFCGVCKFYDDDTEKGQFHCDDCGICRVGGLENYFHCNKCGTCYSISLRGNHSCVENSMHHHCPICYEYLFDSLKDTTVMKCGHTMHFECYCEMIKRDKYCCPICSKSVVDMSKTWKIIDEEIEVTIMPEDYRYKKVWILCNDCNDTTQVYFHIIGQKCSHCKSYNTRTIAPPVLPQ >Manes.03G190200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30953109:30959216:-1 gene:Manes.03G190200.v8.1 transcript:Manes.03G190200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDHDAHTKPMQDDPNPHFSYNDTQPFDSQTFPSSLPGEKGCNASTVQWVQSTVPFDDTFPVEDACETQLVDLGGETQVLDDPLCPEFMDTQLVQNTVRFDDTVPIEDEFETQVVDLGGETQVLDDPVCIEQMDTQLVDYFSSDGEGTDKTEVLSDSDGFSDDESQRRGKRESLDGERSWHTSLEHSENRVVEQPNENCSSRLNVSPKTPTSQVSQEPKRGSIARFTSVRAASLRVSGLAASRIALKGANSESSSPQANNQTSEGHAMKNNGSNTEAWKEVDQVFDTGRYNNEVKGLINLHDNKLGHSTVRKLFGEDYFVEDEELASSNDNTAGGREMHQLPTCDDGLAGLSYIDSQEPGESSQANAFACVQRVIEENKAMFDEFIVGKSSKGKATFVSATKGPQNLAKKTNDRGTNRKAGIFDWDDGLEDEGGGDIFCRRKEEFFGSLNLGQRSFMKPQKAKGKQLGGCSEYKGKLDVQNEMVIHSDSKIVLDNTKLNKTEPDVEMNVKKNLVNEFDEQSNIATTVGQLEAGLARNHRPQGLDVGFDTQMAAEAMEALLYGDGIANADANNVPGNSYSQKGSPGRKVKRSKQCSFSKDNDKEVAPRQSKKRKTIGAKSNKRPPISSQKHSEIVGNESDMGLVKTRRKRAKSDVQLSMTNKIKRADKKPSKIAEGHIESSLHDVHDGHHESALTGSCSVKKQSSPEEFVNLTPIAHRTRYSLVASQLKRAENVSRVCGEETNCTNEIGAHRRNEAGVGIDAAKVLDAKGKSSEVVSGQSGEHENFKSKLTATNNGMSFPRRRRSCRQKSGQLNGLVNLDAKSKASNQPVIAGKSTSMPKRPRSDAKTTSLADLNAKRKTRSSLSVGPDLSSFQNFEVESSLRSVDKSHSDDAVLSSTFIENEKKNSVDQMGAKEKLPDITKNSHSSPSTEHKVKVGSDNLPKGATDLSNSMCTSPANCTTPVNAASPVCIGNEYFKQSRKKRLSRSCLMREFSSLCAKELGPISAQKDSRKRRDLANVRVLLSHHLDEDIIKQQRKIVDRLKVSIASSITDATHFITDKFVRTRNMLEAIASGKPVVTHLWLENVGRANYYIDEQKYILRDVKKEKEFGFDMPVSLAHARQHPLLQGRRVLITPSIKPSKEIISGLVKAVCGQAMERVGRSTLKDDMVLEDLLVLSCEEDYEVCVPFLERGAAIYSSELLLNGIVTQKLEYERHQLFADHVKRTRSTIWMKKDGDGFVPVPKHK >Manes.03G190200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30953109:30959216:-1 gene:Manes.03G190200.v8.1 transcript:Manes.03G190200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQLVQNTVRFDDTVPIEDEFETQVVDLGGETQVLDDPVCIEQMDTQLVDYFSSDGEGTDKTEVLSDSDGFSDDESQRRGKRESLDGERSWHTSLEHSENRVVEQPNENCSSRLNVSPKTPTSQVSQEPKRGSIARFTSVRAASLRVSGLAASRIALKGANSESSSPQANNQTSEGHAMKNNGSNTEAWKEVDQVFDTGRYNNEVKGLINLHDNKLGHSTVRKLFGEDYFVEDEELASSNDNTAGGREMHQLPTCDDGLAGLSYIDSQEPGESSQANAFACVQRVIEENKAMFDEFIVGKSSKGKATFVSATKGPQNLAKKTNDRGTNRKAGIFDWDDGLEDEGGGDIFCRRKEEFFGSLNLGQRSFMKPQKAKGKQLGGCSEYKGKLDVQNEMVIHSDSKIVLDNTKLNKTEPDVEMNVKKNLVNEFDEQSNIATTVGQLEAGLARNHRPQGLDVGFDTQMAAEAMEALLYGDGIANADANNVPGNSYSQKGSPGRKVKRSKQCSFSKDNDKEVAPRQSKKRKTIGAKSNKRPPISSQKHSEIVGNESDMGLVKTRRKRAKSDVQLSMTNKIKRADKKPSKIAEGHIESSLHDVHDGHHESALTGSCSVKKQSSPEEFVNLTPIAHRTRYSLVASQLKRAENVSRVCGEETNCTNEIGAHRRNEAGVGIDAAKVLDAKGKSSEVVSGQSGEHENFKSKLTATNNGMSFPRRRRSCRQKSGQLNGLVNLDAKSKASNQPVIAGKSTSMPKRPRSDAKTTSLADLNAKRKTRSSLSVGPDLSSFQNFEVESSLRSVDKSHSDDAVLSSTFIENEKKNSVDQMGAKEKLPDITKNSHSSPSTEHKVKVGSDNLPKGATDLSNSMCTSPANCTTPVNAASPVCIGNEYFKQSRKKRLSRSCLMREFSSLCAKELGPISAQKDSRKRRDLANVRVLLSHHLDEDIIKQQRKIVDRLKVSIASSITDATHFITDKFVRTRNMLEAIASGKPVVTHLWLENVGRANYYIDEQKYILRDVKKEKEFGFDMPVSLAHARQHPLLQGRRVLITPSIKPSKEIISGLVKAVCGQAMERVGRSTLKDDMVLEDLLVLSCEEDYEVCVPFLERGAAIYSSELLLNGIVTQKLEYERHQLFADHVKRTRSTIWMKKDGDGFVPVPKHK >Manes.03G190200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30953109:30959194:-1 gene:Manes.03G190200.v8.1 transcript:Manes.03G190200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDHDAHTKPMQDDPNPHFSYNDTQPFDSQTFPSSLPVGEKGCNASTVQWVQSTVPFDDTFPVEDACETQLVDLGGETQVLDDPLCPEFMDTQLVQNTVRFDDTVPIEDEFETQVVDLGGETQVLDDPVCIEQMDTQLVDYFSSDGEGTDKTEVLSDSDGFSDDESQRRGKRESLDGERSWHTSLEHSENRVVEQPNENCSSRLNVSPKTPTSQVSQEPKRGSIARFTSVRAASLRVSGLAASRIALKGANSESSSPQANNQTSEGHAMKNNGSNTEAWKEVDQVFDTGRYNNEVKGLINLHDNKLGHSTVRKLFGEDYFVEDEELASSNDNTAGGREMHQLPTCDDGLAGLSYIDSQEPGESSQANAFACVQRVIEENKAMFDEFIVGKSSKGKATFVSATKGPQNLAKKTNDRGTNRKAGIFDWDDGLEDEGGGDIFCRRKEEFFGSLNLGQRSFMKPQKAKGKQLGGCSEYKGKLDVQNEMVIHSDSKIVLDNTKLNKTEPDVEMNVKKNLVNEFDEQSNIATTVGQLEAGLARNHRPQGLDVGFDTQMAAEAMEALLYGDGIANADANNVPGNSYSQKGSPGRKVKRSKQCSFSKDNDKEVAPRQSKKRKTIGAKSNKRPPISSQKHSEIVGNESDMGLVKTRRKRAKSDVQLSMTNKIKRADKKPSKIAEGHIESSLHDVHDGHHESALTGSCSVKKQSSPEEFVNLTPIAHRTRYSLVASQLKRAENVSRVCGEETNCTNEIGAHRRNEAGVGIDAAKVLDAKGKSSEVVSGQSGEHENFKSKLTATNNGMSFPRRRRSCRQKSGQLNGLVNLDAKSKASNQPVIAGKSTSMPKRPRSDAKTTSLADLNAKRKTRSSLSVGPDLSSFQNFEVESSLRSVDKSHSDDAVLSSTFIENEKKNSVDQMGAKEKLPDITKNSHSSPSTEHKVKVGSDNLPKGATDLSNSMCTSPANCTTPVNAASPVCIGNEYFKQSRKKRLSRSCLMREFSSLCAKELGPISAQKDSRKRRDLANVRVLLSHHLDEDIIKQQRKIVDRLKVSIASSITDATHFITDKFVRTRNMLEAIASGKPVVTHLWLENVGRANYYIDEQKYILRDVKKEKEFGFDMPVSLAHARQHPLLQGRRVLITPSIKPSKEIISGLVKAVCGQAMERVGRSTLKDDMVLEDLLVLSCEEDYEVCVPFLERGAAIYSSELLLNGIVTQKLEYERHQLFADHVKRTRSTIWMKKDGDGFVPVPKHK >Manes.11G063000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8755247:8758965:-1 gene:Manes.11G063000.v8.1 transcript:Manes.11G063000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKLYLNRPISLSRPAADIHRSIKLRACLNVDVQPPDSVKLNMKSKEVMEMEAKVLVGTYARNPLVLAHGKGSKVYDPEGREYLDCTSGVAVNALGHGDPDWVQAVTEQANLLTHVSNVYYSIPQVELAKRLVDCSFADRVFFTNSGTEANEAAIKFSRKFQRVSHPDEKNPATEFISFTNSFHGRTMGAVALTSKEHYRFPFEPVMPGVTFLEYGNIQAAKELIKCGKIAAVFVEPIQGEGGIYSATKEFLQSLRSACDEAGCLLVFDEVQCGLGRTGYLWAYEAYNVVPDIMTIAKPLAGGLPIGAALMSERVAAAMKYGEHGSTFAGGPLVCAAAFTVLDKISRPSFLTSVSKKGQSFKEMLIQKLGGNSHVKEIRGVGLIIGIELDVPASPLVDACRNSGLLILTAGKGNVVRLVPPLIITEQELERAAAILSECLPVLDKTLK >Manes.04G012700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1672822:1675247:-1 gene:Manes.04G012700.v8.1 transcript:Manes.04G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEGGSCFLNASQAGLQMYPGSEDVVSTSIEASSSSEHHSNSLLYSLSILKDKVHQVQSLVSIFISPNDHHHHNLTQQPESTSLAIARVGTIIQEIIVTASSMVFTCQQMAIGSAPTNNGTTDELHQEAQVHKVEENGLLQTNFDGNNVAQERGQSSFLSSETLEFWCSDNYNSRGMLALESNQKVERKVFSQEKSEKSAQGKSLLVKNYDIIQLDAADLLAKYTHYCEVCGKGFKRDANLRMHMRAHGDEYKTIAALSNPMKNTSSSAIMGDNNESWSTKLPRKYSCPQEGCRWNQKHAKFQPLKSMICVKNHYKRSHCPKMYVCKRCNRKQFSVLSDLRTHEKHCGELKWQCSCGTTFSRKDKLMGHVALFVGHTPAIASSPKPSPGVENRQAN >Manes.01G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18184725:18190845:-1 gene:Manes.01G052400.v8.1 transcript:Manes.01G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTSTLTLAFSSQPFLLAFSLTIFSLLVALLTIRSKSSKSHTPSHATSPNPTKTCNCCHSCNGTLGNSDSSSLATVTGHLNGGSAAVEMVAAVSDRVVEKQTGASMMEQLVPEITTHTLSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTMEQDTVTPVNGWKSYYAATRAVVNANTEFYNVIKERSLPAMSHFWLNADYVKCIHASGELFTGYNAIMQSWQLTFDWEQWLDFEVQDVRARVLTDMAWVTMKAYIHLNTRPFSVTNVFEFHNGRWYMVHHHSSVMLIDGDVGQQIIHA >Manes.11G020800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2215101:2218976:-1 gene:Manes.11G020800.v8.1 transcript:Manes.11G020800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDHQSHSHCGGCGGDRRWVLHNVRHRAAYRRLCTNCILKTHQGLFCPFCFQVYEESLPPKDRLMCLKCPSISHLSCVPCSHPHSTPSFLCPACSIPNFSFFNLDSSSSSGNNSGKPIDKDSARALVAAAKIAAVSMTKAAAVARVEAERRVKEATLAKKRAREALERLAFLAAKEKQNKVAVKESVQQQHKVSNNSNKRIQADGMIRKEQSRNGALQGEKGSDGICSVSPIVNVSASAGQLKR >Manes.11G020800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2215101:2218976:-1 gene:Manes.11G020800.v8.1 transcript:Manes.11G020800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDHQSHSHCGGCGGDRRWVLHNVRHRAAYRRLCTNCILKTHQGLFCPFCFQVYEESLPPKDRLMCLKCPSISHLSCVPCSHPHSTPSFLCPACSIPNFSFFNLDSSSSSGNNSGKPIDKDSARALVAAAKIAAVSMTKAAAVARVEAERRVKEATLAKKRAREALERLAFLAAKEKQNKVAVKESVQQQHKVSNNSNKRIQADGMIRKEQSRNGALQGEKGSDGICSVSPIVNVSASAGQLKR >Manes.11G020800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2216955:2218976:-1 gene:Manes.11G020800.v8.1 transcript:Manes.11G020800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDHQSHSHCGGCGGDRRWVLHNVRHRAAYRRLCTNCILKTHQGLFCPFCFQVYEESLPPKDRLMCLKCPSISHLSCVPCSHPHSTPSFLCPACSIPNFSFFNLDSSSSSGNNSGKPIDKDSARALVAAAKIAAVSMTKAAAVARVEAERRVKEATLAKKRAREALERLAFLAAKEKQNKVAVKESVQQQHKVSNNSNKRIQADGMIRKEQSRNGALQGEKGSDGICSVSPIVNVSASAGQLKR >Manes.01G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4923885:4931523:-1 gene:Manes.01G021800.v8.1 transcript:Manes.01G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPEKRFHSIMDKLFHAPKSLSNPSSSSGVELSRGKKRPNPESALALVEPRTRGDVVGSSQRSLAPADAPLCRPWDRGDLMRRMATFKSMTWFAKPKVVSAVNCARRGWINLDMDIIGCEACGARLLFSTPSSWTQQQVEKAAMVFSLKLDNGHKLLCPWIDNACDERLAEFPPTPPPVLVDKFRERSSALLQLLGLPMISSSALEYMKSSQLEEFLRQAPTLDCGNGSIKISQVEYPGNESEAYSANLYYQAQKLISLCGWEPRLLPYVVDCKAKPKKRIKDANTLNSSHIFTNGQNTSIGFYSATTNENAEATEDFNAPGGLQADPHSIVLDCKLCGASVGLWTFSTVPRPVELFRLVGYTEVNSRKNYGQDSENESQVNDRQVINSSSNGVLSSIDRPSTLNFTIAGGPPPTKQNFKATISLPVIGRNLRARFSHDSGFRDHTFNDLEPQSRPDKYLCMEESSITENFGEQVSLPESVGMLKSKTTDQGQCSSASGDQSSCLNIENGKKGSDLRKDSDSNRECTTESTADAAQGFDQSNRLPENALNVGSLDSPAGSLGSSQIIVSSMSGPGATVTAGNGNSTRDSLALVTSEGGNQQQVPGADVLCGKDVNLKIDLTKGKELKEISDEGMEFDPIRQHRHFCPWIVSTESWAAGWKQTLSALFRLKDLSSPSTKSPSSTSTVKVDDPITSVRKLFMSPSAKKMKPTRGSS >Manes.01G021800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4923885:4931523:-1 gene:Manes.01G021800.v8.1 transcript:Manes.01G021800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPEKRFHSIMDKLFHAPKSLSNPSSSSGVELSRGKKRPNPESALALVEPRTRGDVVGSSQRSLAPADAPLCRPWDRGDLMRRMATFKSMTWFAKPKVVSAVNCARRGWINLDMDIIGCEACGARLLFSTPSSWTQQQVEKAAMVFSLKLDNGHKLLCPWIDNACDERLAEFPPTPPPVLVDKFRERSSALLQLLGLPMISSSALEYMKSSQLEEFLRQAPTLDCGNGSIKISQVEYPGNESEAYSANLYYQAQKLISLCGWEPRLLPYVVDCKAKPKKRIKDANTLNSSHIFTNGQNTSIGFYSATTNENAEATEDFNAPGGLQADPHSIVLDCKLCGASVGLWTFSTVPRPVELFRLVGYTEVNSRKNYGQDSENESQVNDRQVINSSSNGVLSSIDRPSTLNFTIAGGPPPTKQNFKATISLPVIGRNLRARFSHDSGFRDHTFNDLEPQSRPDKYLCMEESSITENFGEQVSLPESVGMLKSKTTDQGQCSSASGDQSSCLNIENGKKGSDLRKDSDSNRECTTESTADAAQGFDQSNRLPENALNVGSLDSPAGSLGSSQIIVSSMSGPGATVTAGNGNSTRDSLALVTSEGGNQQQVPGADVLCGKDVNLKIDLTKGG >Manes.13G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20874130:20882275:1 gene:Manes.13G088500.v8.1 transcript:Manes.13G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSDSSSLIPPSPITEPTEIDLEAGPGDQIQCRICLETDGRDFIAPCKCKGTSKYVHRECLDHWRAVREGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDIAFIFLAVQLVIASLAYLVYLIDSYQHSWLRHAWGLDNELSFYYICGALLFFALLGLSGCFITCYDRRVRSDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASAAGECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPPLPPEHVQQLKNLGLL >Manes.12G024000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2044710:2045719:-1 gene:Manes.12G024000.v8.1 transcript:Manes.12G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEKPQVDSGMELDAKKWVIAGIPLRAPLKPIFTNPVEKESETDECSTTTTTPTSEDARIPTSMTCPPAPKKRKATSKCSYSGVREFFTPPSDLETVFIRRFERAK >Manes.05G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1470670:1473515:-1 gene:Manes.05G015300.v8.1 transcript:Manes.05G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKFAPHQTRGSKPMICPFFHSFSIYIDALRSTQATIVNPPSSHSRLSTPPNKSIKMAEVKNGEEKVSTPKLNERILSSMSKRTVAAHPWHDLEIGPGAPSVFNVVVEITKGSKVKYELDKKTGMIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVIMQEPALPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDIKELPPHRLTEIRRFFEDYKKNENKEVAVNEFMPANHAVDAIQYSMDLYAEYILHSLRQ >Manes.01G104000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30374571:30382834:1 gene:Manes.01G104000.v8.1 transcript:Manes.01G104000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGVKAPALAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLFLKYRPEDKAAKKERLLKRAQAEAEGKTVESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTAAALCLTSVKNEDKLEFSKILEAVKANFNDKFDEHRKRWGGGIMGSKSQAKTKAKERLLAKEAAQRMS >Manes.01G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30379824:30382970:1 gene:Manes.01G104000.v8.1 transcript:Manes.01G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGVKAPALAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLFLKYRPEDKAAKKERLLKRAQAEAEGKTVESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTAAALCLTSVKNEDKLEFSKILEAVKANFNDKFDEHRKRWGGGIMGSKSQAKTKAKERLLAKEAAQRMS >Manes.13G025700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3440259:3446452:-1 gene:Manes.13G025700.v8.1 transcript:Manes.13G025700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLFFPADAIARAKHYLSMTSGGLGAYSGARGIPGIRKEVADFIRRRDGYPSDSELIFLTDGASKGVMQILNTIIRGEGDGILVPVPQYPLYSATISLFGGSLVPYYLEETANWSLDVNDLRHSVFQARSRGLTVRAMVIINPGNPTGQCLSEANLREILHFCYQENLVLLGDEVYQQNIYQDERPFISARKVLMDMGPPISKEIQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKVASISLSPVPAQIFMGLMVNPPKPGDISYEHYIRESKGILESLRRRARIMTDGFNSCRIVVCNFTEGAMYSFPQIRLPPKAIDSSKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPVIMASFKKFNDEFMEEYDEHRGYSRI >Manes.13G025700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3440527:3446430:-1 gene:Manes.13G025700.v8.1 transcript:Manes.13G025700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLFFPADAIARAKHYLSMTSGGLGAYSGARGIPGIRKEVADFIRRRDGYPSDSELIFLTDGASKGVMQILNTIIRGEGDGILVPVPQYPLYSATISLFGGSLVPYYLEETANWSLDVNDLRHSVFQARSRGLTVRAMVIINPGNPTGQCLSEANLREILHFCYQENLVLLGDEVYQQNIYQDERPFISARKVLMDMGPPISKEIQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKVASISLSPVPAQIFMGLMVNPPKPGDISYEHYIRESKGILESLRRRARIMTDGFNSCRIVVCNFTEGAMYSFPQIRLPPKAIDSSKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPVIMASFKKFNDEFMEEYDEHRGYSRI >Manes.13G025700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3440527:3446430:-1 gene:Manes.13G025700.v8.1 transcript:Manes.13G025700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLFFPADAIARAKHYLSMTSGGLGAYSGARGIPGIRKEVADFIRRRDGYPSCELKGYRYSCSIDSDSELIFLTDGASKGVMQILNTIIRGEGDGILVPVPQYPLYSATISLFGGSLVPYYLEETANWSLDVNDLRHSVFQARSRGLTVRAMVIINPGNPTGQCLSEANLREILHFCYQENLVLLGDEVYQQNIYQDERPFISARKVLMDMGPPISKEIQLVSFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKVASISLSPVPAQIFMGLMVNPPKPGDISYEHYIRESKGILESLRRRARIMTDGFNSCRIVVCNFTEGAMYSFPQIRLPPKAIDSSKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPVIMASFKKFNDEFMEEYDEHRGYSRI >Manes.12G007900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:873093:879144:-1 gene:Manes.12G007900.v8.1 transcript:Manes.12G007900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSINCRSYSYSSFLSSSSSSLINGQQFQRLNLSSSGISKRFLPDKLFSQSRKYLYPGVVLVQDGAVAVPVNPVEQETSFKNVKDGLLSSITSAEELKQTAGFDTNKDQSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFSVFGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMDEFLKRCFYHSGQYDSEENFTELDKKLKEHEGGRLSNRLFYLSIPPNIFIDAVRCASMSASSANGWTRVIVEKPFGRDSESSAALTKALKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIRLEDVVIGQYKSHTKGGITYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLHLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAAKYNVRWGDLGLEQ >Manes.12G007900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:873093:879074:-1 gene:Manes.12G007900.v8.1 transcript:Manes.12G007900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSINCRSYSYSSFLSSSSSSLINGQQFQRLNLSSSGISKRFLPDKLFSQSRKYLYPGVVLVQDVAVPVNPVEQETSFKNVKDGLLSSITSAEELKQTAGFDTNKDQSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFSVFGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMDEFLKRCFYHSGQYDSEENFTELDKKLKEHEGGRLSNRLFYLSIPPNIFIDAVRCASMSASSANGWTRVIVEKPFGRDSESSAALTKALKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIRLEDVVIGQYKSHTKGGITYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLHLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAAKYNVRWGDLGLEQ >Manes.12G007900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:874150:879071:-1 gene:Manes.12G007900.v8.1 transcript:Manes.12G007900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSINCRSYSYSSFLSSSSSSLINGQQFQRLNLSSSGISKRFLPDKLFSQSRKYLYPGVVLVQDGAVAVPVNPVEQETSFKNVKDGLLSSITSAEELKQTAGFDTNKDQSTHFSVFGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMDEFLKRCFYHSGQYDSEENFTELDKKLKEHEGGRLSNRLFYLSIPPNIFIDAVRCASMSASSANGWTRVIVEKPFGRDSESSAALTKALKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIRLEDVVIGQYKSHTKGGITYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLHLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAAKYNVRWGDLGLEQ >Manes.12G007900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:873093:879071:-1 gene:Manes.12G007900.v8.1 transcript:Manes.12G007900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSINCRSYSYSSFLSSSSSSLINGQQFQRLNLSSSGISKRFLPDKLFSQSRKYLYPGVVLVQDGAVAVPVNPVEQETSFKNVKDGLLSSITSAEELKQTAGFDTNKDQSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFSVFGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMDEFLKRCFYHSGQYDSEENFTELDKKLKEHEGGRLSNRLFYLSIPPNIFIDAVRCASMSASSANGWTRVIVEKPFGRDSESSAALTKALKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIRLEDVVIGQYKSHTKGGITYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLHLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAAKYNVRWGDLGLEQ >Manes.06G172400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29623617:29627066:-1 gene:Manes.06G172400.v8.1 transcript:Manes.06G172400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRENQSPRDERARILVEFLEVAITSIVFLKGVYPSGAFERRRYMNVVVQRARHPQLRDYIHSAVIGLLPFIQKGLVNRLAVIFSSTDNIPVERFIFKLTVNQSCDLKVEESDLEFSLRSFLMKLSGSGPLTKVLPRDCRWEITAYFSSIPEVGASKHQHADLWIPTDTKQWRQPPLITPIKSMSSEPLCMQLYLEHPSLSEPKPEEGSY >Manes.14G127232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14719684:14721099:-1 gene:Manes.14G127232.v8.1 transcript:Manes.14G127232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLTRLFLAIYYYPAHLSLHTLLCSCTATIEFNTKMASLNMVLSLFISVLVSSLMVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQPSGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSNGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIIFSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNANACAWSNGVSSCGTSNSMTNSWLSEELDSTSQERLQWVRKNYMIYNYCTDANRFPQGFPPECNLS >Manes.06G085400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22063222:22116807:1 gene:Manes.06G085400.v8.1 transcript:Manes.06G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQLPRLTNSLRDPFDVDQAYLQRKIILQNRLKHRNTANSLNESELARKIVYRWEEASTEVTQAYKQFIGGVVDLIDGEVPSEEFREVALTAYRLFGGPGPVEEDNDDSSILKRKSELQKLIGHAVLDANIQRVATLAQRLSSLQPTSHESALVLESHVNGSDRDLEFGADLAFQTPARFLVDITLEDEEDAETTGPSLFQDGWHDHNDYGHNHSAADGGKFNLSWLRDACNQIVRESTSQLSQDDLAMAICRVLDSNKPGEEIAGDLLDLVGDSAFEIVQDLISHRKELVDAIRHGWSVLKSDMTVSSIQSRMPSYGTQVTVQTESERQIDKLRRKEEKRHRRGTEHGVDNDASAASFSSLLQASERKNLLDDLIGSGAGSHSLSITALPQGTIRKHYKGYEEVVIPPTPTAQMKPGEKLIEIKELDDFAQAAFHGYKSLNRIQSRIFQTVYYTNENILVCAPTGAGKTNIAMISILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTTTFSRRLSPLNMVVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISEPNFAARNELLNEICYGKVVDSLRQGHQAMVFVHSRKDTAKTAAKLVEIARKYSDLELFKNDAHPQFSLIKKEVVKSRNKDVVELFEFAVGIHHAGMLRADRVLTEQLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRQNPLAYGIGWDEVIADPSLSLKQRSFITDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVIDMVAHSSEFENIVLREEEQNELEMLLRMACPLEVRGGPSNKHGKISILIQLYISRGSIDSFSLVSDAAYISASLARIMRALFEICLRRGWSEMSLFMLEYCKAVDRQIWPHQHPLRQFDKDLSAEILRKLEERGADLDRLQEMEEKDIGALIRYPHGGKLVKQYLGYFPWIQLSATVSPITRTVLKVDLLITPEFTWKDRFHGASQRWWIVVEDSENDHIYHSELFTLTKRMARADPQKLTFTVPIFEPHPPQYYIRAVSDSWLHAEALYTISFHNLALPEARTTHTELLDLKPLPVSSLGNVTYEALYNFSHFNPIQTQIFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFDTQPDMKVIYIAPLKAIVRERMNDWRKRLVSQLGKEMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHTRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANAGDLSDWLGVGEMGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAAADEHPRQFLSMPEDALQMVLSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLKEHLHDHINAEIVTGTICHKEDAVHYLTWTYLFRRLMVNPAYYGLENAEPETLSSYMSRLVQNTFEDLEDSGCIKLNEDNVESMMLGTIASQYYLSYMTVSMFGSNIGPDTSLEVFLHILSGASEYDELPVRHNEENYNEALSQRVRYMVNKNQLDDPHVKANLLFQAHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSSLTCMRLLQMVMQGLWFDKDSSLWMLPCMNADLLSSLSKQGISSVQHLLDLPKATLQAMIGSFPASKLYQDLHHFPCIKTKLKLQKKDADGTKSLSLNIKLERTNSRKSSRAFIPRFPKIKDEAWWLVLGNTSTSELYALKRVSFSDRLVTRMDLPSSFTTVQGTKLMLISDCYLGFEKEYCIEEIVKSQEMETGI >Manes.06G085400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22071109:22116807:1 gene:Manes.06G085400.v8.1 transcript:Manes.06G085400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTTTFSRRLSPLNMVVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISEPNFAARNELLNEICYGKVVDSLRQGHQAMVFVHSRKDTAKTAAKLVEIARKYSDLELFKNDAHPQFSLIKKEVVKSRNKDVVELFEFAVGIHHAGMLRADRVLTEQLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRQNPLAYGIGWDEVIADPSLSLKQRSFITDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVIDMVAHSSEFENIVLREEEQNELEMLLRMACPLEVRGGPSNKHGKISILIQLYISRGSIDSFSLVSDAAYISASLARIMRALFEICLRRGWSEMSLFMLEYCKAVDRQIWPHQHPLRQFDKDLSAEILRKLEERGADLDRLQEMEEKDIGALIRYPHGGKLVKQYLGYFPWIQLSATVSPITRTVLKVDLLITPEFTWKDRFHGASQRWWIVVEDSENDHIYHSELFTLTKRMARADPQKLTFTVPIFEPHPPQYYIRAVSDSWLHAEALYTISFHNLALPEARTTHTELLDLKPLPVSSLGNVTYEALYNFSHFNPIQTQIFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFDTQPDMKVIYIAPLKAIVRERMNDWRKRLVSQLGKEMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHTRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANAGDLSDWLGVGEMGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAAADEHPRQFLSMPEDALQMVLSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLKEHLHDHINAEIVTGTICHKEDAVHYLTWTYLFRRLMVNPAYYGLENAEPETLSSYMSRLVQNTFEDLEDSGCIKLNEDNVESMMLGTIASQYYLSYMTVSMFGSNIGPDTSLEVFLHILSGASEYDELPVRHNEENYNEALSQRVRYMVNKNQLDDPHVKANLLFQAHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSSLTCMRLLQMVMQGLWFDKDSSLWMLPCMNADLLSSLSKQGISSVQHLLDLPKATLQAMIGSFPASKLYQDLHHFPCIKTKLKLQKKDADGTKSLSLNIKLERTNSRKSSRAFIPRFPKIKDEAWWLVLGNTSTSELYALKRVSFSDRLVTRMDLPSSFTTVQGTKLMLISDCYLGFEKEYCIEEIVKSQEMETGI >Manes.01G049631.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:15854723:15856424:-1 gene:Manes.01G049631.v8.1 transcript:Manes.01G049631.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILAFFVAVTALLFLFTFAHARVPLDLRGNDVTSINDRSADLPESDPKDTGTLPVNDVYNRLAYLPESDTKETATIVLPSEKHESEPATLGELESEEVESTESKLPGKEISTSKSIQEESETKKVETVPLTVITFRPINRHFLQRPLFPFRPGHRCHGRHQHHNQFKPWGGLRFKSHRDLSFANDMILSGGEDLGFDPVTRGRPHQIPARWTRFNHGGSRFSIIKDESREEMIKWPHHRRHHHHHHHEEREEHEHEHEHEHEHGFMKKIRKFLNHF >Manes.01G244700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40520252:40523894:1 gene:Manes.01G244700.v8.1 transcript:Manes.01G244700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEARAVWQRTANRCFVQEDAKRAPKLACCQSSSSSSKQVDGGPTNAADMPENPAVSFMPFHRSSSYSNLSPDTRWWLQLQPSYGYQKGLTYEQLNALKAEMESVRTDIVNTPSKFDDVPPHDDRRGACLNGNMNSESSSDPYCRISTNRIVKDPETKNQEANTLYNMNDQEFIEFKDTREKWMDIDPIEFFQPQKSNEYGFDPESPWLGGEKNVPWWRTTDKDELASLVAQKSLDYIENCDLPPPQKLNVRRYPCGRPVSSDQVHDRPNYETMHGRQRTAIKGQLQSSSEKPFSYIASHKDTRESGQLHEGDPSKAQLMEALRHSQTRAREAEKVARQACAEKEHIIKLFFRQASQLFAYKQWFQMLQLETLYYQVKNGDQPMSTLFPVVLPWMPLKGRKHRKSWQKSPRSKRGKHCRPSHDISKYAVAFALGLSLVGAGLLLGWTVGWMLPL >Manes.02G054400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4326137:4335130:-1 gene:Manes.02G054400.v8.1 transcript:Manes.02G054400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFCYFSDSEEGNNVEFLHVAFDKSYMQLNPTPEHSKSFIFNNQLNANELLHGCQNLQSNQNQPGIFSESTYYDQQTLTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNYFRGQHQFVRDQQLSASQPQPMQQPGFNEIQLLQQHIMFKQLQEFQRQQQLQQLGDLRQHSSINQFSAISRQEAGGQFSPLISGTPVHDTSQMLRNWMHRGASPAAQGVSGKAVFSQEQDQALGSAGFAPHQLDVSLYGTPISNTRGNMSQYSHLQGPSRDSVNLLAKATGQVQKSVMQSAEFGNPLLGDQPAGPLDLVGLSQGALISKQELQMKNNFGQVPVQGSNSGVFPGNLLECDTPQGNTSVQEFNGRQEQADWPSVQQTKQPGSSQTLVPLDPIEAKILYNMDDNIWDAFGSQLKADAGGLGNRLEHPDSSYAFPSIQSGSWSALMQSAVAEASSNDTGVQEEWSSLSFQNTDPSTDNPISNFLDSEKQQTGWVDNNLQSSSSFSSKPFPIINDTGMNSSFPGFQQPGTQLLVEQKRDKDGSYESIENYSPQQKTSNDDSQKVQTFMHSNNARPGQMFENSQSVPQHQKVSSSDIAMDKGSESMVKSQPQISNGPHVALRSYEEANETQERLQTCHQRENSNDCSIGSSGHDQGNPEQLKFFGNISSSLMNVDKAFLPDFQRNSSVSEEVPSGVDRVSNASMAFHRSVLPDGSNVSAQTSEHMLELLHKVDQSKNDSSTKQFGSVDGSFSAEMPGAKSRDTSVSQLYTQSSASQGFGLRLAPPSQRMANSNSSLFPPGLPQTINNLNSRQVNPELEEKNQAWSSTASHEQAQRARWENKSGLGHKSFHPYVNMLGNSVASFSPSTPQARNQLQMRPLSDIPVSSQSLQTILPSLTGRFPPFNQVPSQDTLQQMQKNPVSQEFPVLEAAPVSQPSVMAQQGENLARPYNVWRNVPSQRQPFNMESLKFSNYPCSMDPTNNNTIITSLAPHGSNDQNPIEGGYTSLEIGASSNSQGFDQGEEHLRKEMLQQQISSKMHDSSQPGGISQGPEPVSDATVLSSGSLMSHAQQQDLDKVTHSNYIAQASSERNIESFSHSHNDLHNYSLLHQVQAMNSGAVKALDVQHAAALGGQHLNDIISRLRLPVDGKPNSTLQTSSFSSGDNQMLGFSAEARDCPTVKAPQQPALQSINSREMVTFGYNDSHTQSNHTDHNYVNLQMEPSCFKQYGALRNGQMVSMFDARLAKAVAAQFSLGKPSQNIQSSLEQLDAVVAGQGGRVWPSSQLLSSPYMLPPEVTNQVATMRPKKRKVSPSELLPWHKEVTHDSKRLQKISMAEQDWAQATNRLTEKVEDEVEMIDDLQPMHRSKRRLILTTQLLQQLFHPAPSSILSLDSASSYDIISYFISRLSLGDVCSLAYCLRNEFLAPVKNSNVDSEKVENSERSGSQQFLAIVEKSIDRAKKLENDFQRLDKTASIVDIRAEFQELERFSVINRFAKFHVRGQIGVSCTGSLKPILQRHITAFPMPQNLPEGVQCLSL >Manes.02G054400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4326137:4335128:-1 gene:Manes.02G054400.v8.1 transcript:Manes.02G054400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKLEEGICNVYELDNSSQWHLPQAVGGNWPVIDFNQWIGKPRPIGAQQNFNLKNYNLQQLDSEEGNNVEFLHVAFDKSYMQLNPTPEHSKSFIFNNQLNANELLHGCQNLQSNQNQPGIFSESTYYDQQTLTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNYFRGQHQFVRDQQLSASQPQPMQQPGFNEIQLLQQHIMFKQLQEFQRQQQLQQLGDLRQHSSINQFSAISRQEAGGQFSPLISGTPVHDTSQMLRNWMHRGASPAAQGVSGKAVFSQEQDQALGSAGFAPHQLDVSLYGTPISNTRGNMSQYSHLQGPSRDSVNLLAKATGQVQKSVMQSAEFGNPLLGDQPAGPLDLVGLSQGALISKQELQMKNNFGQVPVQGSNSGVFPGNLLECDTPQGNTSVQEFNGRQEQADWPSVQQTKQPGSSQTLVPLDPIEAKILYNMDDNIWDAFGSQLKADAGGLGNRLEHPDSSYAFPSIQSGSWSALMQSAVAEASSNDTGVQEEWSSLSFQNTDPSTDNPISNFLDSEKQQTGWVDNNLQSSSSFSSKPFPIINDTGMNSSFPGFQQPGTQLLVEQKRDKDGSYESIENYSPQQKTSNDDSQKVQTFMHSNNARPGQMFENSQSVPQHQKVSSSDIAMDKGSESMVKSQPQISNGPHVALRSYEEANETQERLQTCHQRENSNDCSIGSSGHDQGNPEQLKFFGNISSSLMNVDKAFLPDFQRNSSVSEEVPSGVDRVSNASMAFHRSVLPDGSNVSAQTSEHMLELLHKVDQSKNDSSTKQFGSVDGSFSAEMPGAKSRDTSVSQLYTQSSASQGFGLRLAPPSQRMANSNSSLFPPGLPQTINNLNSRQVNPELEEKNQAWSSTASHEQAQRARWENKSGLGHKSFHPYVNMLGNSVASFSPSTPQARNQLQMRPLSDIPVSSQSLQTILPSLTGRFPPFNQVPSQDTLQQMQKNPVSQEFPVLEAAPVSQPSVMAQQGENLARPYNVWRNVPSQRQPFNMESLKFSNYPCSMDPTNNNTIITSLAPHGSNDQNPIEGGYTSLEIGASSNSQGFDQGEEHLRKEMLQQQISSKMHDSSQPGGISQGPEPVSDATVLSSGSLMSHAQQQDLDKVTHSNYIAQASSERNIESFSHSHNDLHNYSLLHQVQAMNSGAVKALDVQHAAALGGQHLNDIISRLRLPVDGKPNSTLQTSSFSSGDNQMLGFSAEARDCPTVKAPQQPALQSINSREMVTFGYNDSHTQSNHTDHNYVNLQMEPSCFKQYGALRNGQMVSMFDARLAKAVAAQFSLGKPSQNIQSSLEQLDAVVAGQGGRVWPSSQLLSSPYMLPPEVTNQVATMRPKKRKVSPSELLPWHKEVTHDSKRLQKIRIFCSMAEQDWAQATNRLTEKVEDEVEMIDDLQPMHRSKRRLILTTQLLQQLFHPAPSSILSLDSASSYDIISYFISRLSLGDVCSLAYCLRNEFLAPVKNSNVDSEKVENSERSGSQQFLAIVEKSIDRAKKLENDFQRLDKTASIVDIRAEFQELERFSVINRFAKFHVRGQIGVSCTGSLKPILQRHITAFPMPQNLPEGVQCLSL >Manes.02G054400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4326137:4335129:-1 gene:Manes.02G054400.v8.1 transcript:Manes.02G054400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKLEEGICNVYELDNSSQWHLPQAVGGNWPVIDFNQWIGKPRPIGAQQNFNLKNYNLQQLDSEEGNNVEFLHVAFDKSYMQLNPTPEHSKSFIFNNQLNANELLHGCQNLQSNQNQPDSPTLTTNSERSEITEASSDFNYFRGQHQFVRDQQLSASQPQPMQQPGFNEIQLLQQHIMFKQLQEFQRQQQLQQLGDLRQHSSINQFSAISRQEAGGQFSPLISGTPVHDTSQMLRNWMHRGASPAAQGVSGKAVFSQEQDQALGSAGFAPHQLDVSLYGTPISNTRGNMSQYSHLQGPSRDSVNLLAKATGQVQKSVMQSAEFGNPLLGDQPAGPLDLVGLSQGALISKQELQMKNNFGQVPVQGSNSGVFPGNLLECDTPQGNTSVQEFNGRQEQADWPSVQQTKQPGSSQTLVPLDPIEAKILYNMDDNIWDAFGSQLKADAGGLGNRLEHPDSSYAFPSIQSGSWSALMQSAVAEASSNDTGVQEEWSSLSFQNTDPSTDNPISNFLDSEKQQTGWVDNNLQSSSSFSSKPFPIINDTGMNSSFPGFQQPGTQLLVEQKRDKDGSYESIENYSPQQKTSNDDSQKVQTFMHSNNARPGQMFENSQSVPQHQKVSSSDIAMDKGSESMVKSQPQISNGPHVALRSYEEANETQERLQTCHQRENSNDCSIGSSGHDQGNPEQLKFFGNISSSLMNVDKAFLPDFQRNSSVSEEVPSGVDRVSNASMAFHRSVLPDGSNVSAQTSEHMLELLHKVDQSKNDSSTKQFGSVDGSFSAEMPGAKSRDTSVSQLYTQSSASQGFGLRLAPPSQRMANSNSSLFPPGLPQTINNLNSRQVNPELEEKNQAWSSTASHEQAQRARWENKSGLGHKSFHPYVNMLGNSVASFSPSTPQARNQLQMRPLSDIPVSSQSLQTILPSLTGRFPPFNQVPSQDTLQQMQKNPVSQEFPVLEAAPVSQPSVMAQQGENLARPYNVWRNVPSQRQPFNMESLKFSNYPCSMDPTNNNTIITSLAPHGSNDQNPIEGGYTSLEIGASSNSQGFDQGEEHLRKEMLQQQISSKMHDSSQPGGISQGPEPVSDATVLSSGSLMSHAQQQDLDKVTHSNYIAQASSERNIESFSHSHNDLHNYSLLHQVQAMNSGAVKALDVQHAAALGGQHLNDIISRLRLPVDGKPNSTLQTSSFSSGDNQMLGFSAEARDCPTVKAPQQPALQSINSREMVTFGYNDSHTQSNHTDHNYVNLQMEPSCFKQYGALRNGQMVSMFDARLAKAVAAQFSLGKPSQNIQSSLEQLDAVVAGQGGRVWPSSQLLSSPYMLPPEVTNQVATMRPKKRKVSPSELLPWHKEVTHDSKRLQKISMAEQDWAQATNRLTEKVEDEVEMIDDLQPMHRSKRRLILTTQLLQQLFHPAPSSILSLDSASSYDIISYFISRLSLGDVCSLAYCLRNEFLAPVKNSNVDSEKVENSERSGSQQFLAIVEKSIDRAKKLENDFQRLDKTASIVDIRAEFQELERFSVINRFAKFHVRGQIGVSCTGSLKPILQRHITAFPMPQNLPEGVQCLSL >Manes.02G054400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4326137:4335184:-1 gene:Manes.02G054400.v8.1 transcript:Manes.02G054400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKLEEGICNVYELDNSSQWHLPQAVGGNWPVIDFNQWIGKPRPIGAQQNFNLKNYNLQQLDSEEGNNVEFLHVAFDKSYMQLNPTPEHSKSFIFNNQLNANELLHGCQNLQSNQNQPGIFSESTYYDQQTLTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNYFRGQHQFVRDQQLSASQPQPMQQPGFNEIQLLQQHIMFKQLQEFQRQQQLQQLGDLRQHSSINQFSAISRQEAGGQFSPLISGTPVHDTSQMLRNWMHRGASPAAQGVSGKAVFSQEQDQALGSAGFAPHQLDVSLYGTPISNTRGNMSQYSHLQGPSRDSVNLLAKATGQVQKSVMQSAEFGNPLLGDQPAGPLDLVGLSQGALISKQELQMKNNFGQVPVQGSNSGVFPGNLLECDTPQGNTSVQEFNGRQEQADWPSVQQTKQPGSSQTLVPLDPIEAKILYNMDDNIWDAFGSQLKADAGGLGNRLEHPDSSYAFPSIQSGSWSALMQSAVAEASSNDTGVQEEWSSLSFQNTDPSTDNPISNFLDSEKQQTGWVDNNLQSSSSFSSKPFPIINDTGMNSSFPGFQQPGTQLLVEQKRDKDGSYESIENYSPQQKTSNDDSQKVQTFMHSNNARPGQMFENSQSVPQHQKVSSSDIAMDKGSESMVKSQPQISNGPHVALRSYEEANETQERLQTCHQRENSNDCSIGSSGHDQGNPEQLKFFGNISSSLMNVDKAFLPDFQRNSSVSEEVPSGVDRVSNASMAFHRSVLPDGSNVSAQTSEHMLELLHKVDQSKNDSSTKQFGSVDGSFSAEMPGAKSRDTSVSQLYTQSSASQGFGLRLAPPSQRMANSNSSLFPPGLPQTINNLNSRQVNPELEEKNQAWSSTASHEQAQRARWENKSGLGHKSFHPYVNMLGNSVASFSPSTPQARNQLQMRPLSDIPVSSQSLQTILPSLTGRFPPFNQVPSQDTLQQMQKNPVSQEFPVLEAAPVSQPSVMAQQGENLARPYNVWRNVPSQRQPFNMESLKFSNYPCSMDPTNNNTIITSLAPHGSNDQNPIEGGYTSLEIGASSNSQGFDQGEEHLRKEMLQQQISSKMHDSSQPGGISQGPEPVSDATVLSSGSLMSHAQQQDLDKVTHSNYIAQASSERNIESFSHSHNDLHNYSLLHQVQAMNSGAVKALDVQHAAALGGQHLNDIISRLRLPVDGKPNSTLQTSSFSSGDNQMLGFSAEARDCPTVKAPQQPALQSINSREMVTFGYNDSHTQSNHTDHNYVNLQMEPSCFKQYGALRNGQMVSMFDARLAKAVAAQFSLGKPSQNIQSSLEQLDAVVAGQGGRVWPSSQLLSSPYMLPPEVTNQVATMRPKKRKVSPSELLPWHKEVTHDSKRLQKISMAEQDWAQATNRLTEKVEDEVEMIDDLQPMHRSKRRLILTTQLLQQLFHPAPSSILSLDSASSYDIISYFISRLSLGDVCSLAYCLRNEFLAPVKNSNVDSEKVENSERSGSQQFLAIVEKSIDRAKKLENDFQRLDKTASIVDIRAEFQELERFSVINRFAKFHVRGQIGVSCTGSLKPILQRHITAFPMPQNLPEGVQCLSL >Manes.02G054400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4326137:4335130:-1 gene:Manes.02G054400.v8.1 transcript:Manes.02G054400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFCYFSDSEEGNNVEFLHVAFDKSYMQLNPTPEHSKSFIFNNQLNANELLHGCQNLQSNQNQPGIFSESTYYDQQTLTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNYFRGQHQFVRDQQLSASQPQPMQQPGFNEIQLLQQHIMFKQLQEFQRQQQLQQLGDLRQHSSINQFSAISRQEAGGQFSPLISGTPVHDTSQMLRNWMHRGASPAAQGVSGKAVFSQEQDQALGSAGFAPHQLDVSLYGTPISNTRGNMSQYSHLQGPSRDSVNLLAKATGQVQKSVMQSAEFGNPLLGDQPAGPLDLVGLSQGALISKQELQMKNNFGQVPVQGSNSGVFPGNLLECDTPQGNTSVQEFNGRQEQADWPSVQQTKQPGSSQTLVPLDPIEAKILYNMDDNIWDAFGSQLKADAGGLGNRLEHPDSSYAFPSIQSGSWSALMQSAVAEASSNDTGVQEEWSSLSFQNTDPSTDNPISNFLDSEKQQTGWVDNNLQSSSSFSSKPFPIINDTGMNSSFPGFQQPGTQLLVEQKRDKDGSYESIENYSPQQKTSNDDSQKVQTFMHSNNARPGQMFENSQSVPQHQKVSSSDIAMDKGSESMVKSQPQISNGPHVALRSYEEANETQERLQTCHQRENSNDCSIGSSGHDQGNPEQLKFFGNISSSLMNVDKAFLPDFQRNSSVSEEVPSGVDRVSNASMAFHRSVLPDGSNVSAQTSEHMLELLHKVDQSKNDSSTKQFGSVDGSFSAEMPGAKSRDTSVSQLYTQSSASQGFGLRLAPPSQRMANSNSSLFPPGLPQTINNLNSRQVNPELEEKNQAWSSTASHEQAQRARWENKSGLGHKSFHPYVNMLGNSVASFSPSTPQARNQLQMRPLSDIPVSSQSLQTILPSLTGRFPPFNQVPSQDTLQQMQKNPVSQEFPVLEAAPVSQPSVMAQQGENLARPYNVWRNVPSQRQPFNMESLKFSNYPCSMDPTNNNTIITSLAPHGSNDQNPIEGGYTSLEIGASSNSQGFDQGEEHLRKEMLQQQISSKMHDSSQPGGISQGPEPVSDATVLSSGSLMSHAQQQDLDKVTHSNYIAQASSERNIESFSHSHNDLHNYSLLHQVQAMNSGAVKALDVQHAAALGGQHLNDIISRLRLPVDGKPNSTLQTSSFSSGDNQMLGFSAEARDCPTVKAPQQPALQSINSREMVTFGYNDSHTQSNHTDHNYVNLQMEPSCFKQYGALRNGQMVSMFDARLAKAVAAQFSLGKPSQNIQSSLEQLDAVVAGQGGRVWPSSQLLSSPYMLPPEVTNQVATMRPKKRKVSPSELLPWHKEVTHDSKRLQKIRIFCSMAEQDWAQATNRLTEKVEDEVEMIDDLQPMHRSKRRLILTTQLLQQLFHPAPSSILSLDSASSYDIISYFISRLSLGDVCSLAYCLRNEFLAPVKNSNVDSEKVENSERSGSQQFLAIVEKSIDRAKKLENDFQRLDKTASIVDIRAEFQELERFSVINRFAKFHVRGQIGVSCTGSLKPILQRHITAFPMPQNLPEGVQCLSL >Manes.02G054400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4326137:4335130:-1 gene:Manes.02G054400.v8.1 transcript:Manes.02G054400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKLEEGICNVYELDNSSQWHLPQAVGGNWPVIDFNQWIGKPRPIGAQQNFNLKNYNLQQLDSEEGNNVEFLHVAFDKSYMQLNPTPEHSKSFIFNNQLNANELLHGCQNLQSNQNQPDSPTLTTNSERSEITEASSDFNYFRGQHQFVRDQQLSASQPQPMQQPGFNEIQLLQQHIMFKQLQEFQRQQQLQQLGDLRQHSSINQFSAISRQEAGGQFSPLISGTPVHDTSQMLRNWMHRGASPAAQGVSGKAVFSQEQDQALGSAGFAPHQLDVSLYGTPISNTRGNMSQYSHLQGPSRDSVNLLAKATGQVQKSVMQSAEFGNPLLGDQPAGPLDLVGLSQGALISKQELQMKNNFGQVPVQGSNSGVFPGNLLECDTPQGNTSVQEFNGRQEQADWPSVQQTKQPGSSQTLVPLDPIEAKILYNMDDNIWDAFGSQLKADAGGLGNRLEHPDSSYAFPSIQSGSWSALMQSAVAEASSNDTGVQEEWSSLSFQNTDPSTDNPISNFLDSEKQQTGWVDNNLQSSSSFSSKPFPIINDTGMNSSFPGFQQPGTQLLVEQKRDKDGSYESIENYSPQQKTSNDDSQKVQTFMHSNNARPGQMFENSQSVPQHQKVSSSDIAMDKGSESMVKSQPQISNGPHVALRSYEEANETQERLQTCHQRENSNDCSIGSSGHDQGNPEQLKFFGNISSSLMNVDKAFLPDFQRNSSVSEEVPSGVDRVSNASMAFHRSVLPDGSNVSAQTSEHMLELLHKVDQSKNDSSTKQFGSVDGSFSAEMPGAKSRDTSVSQLYTQSSASQGFGLRLAPPSQRMANSNSSLFPPGLPQTINNLNSRQVNPELEEKNQAWSSTASHEQAQRARWENKSGLGHKSFHPYVNMLGNSVASFSPSTPQARNQLQMRPLSDIPVSSQSLQTILPSLTGRFPPFNQVPSQDTLQQMQKNPVSQEFPVLEAAPVSQPSVMAQQGENLARPYNVWRNVPSQRQPFNMESLKFSNYPCSMDPTNNNTIITSLAPHGSNDQNPIEGGYTSLEIGASSNSQGFDQGEEHLRKEMLQQQISSKMHDSSQPGGISQGPEPVSDATVLSSGSLMSHAQQQDLDKVTHSNYIAQASSERNIESFSHSHNDLHNYSLLHQVQAMNSGAVKALDVQHAAALGGQHLNDIISRLRLPVDGKPNSTLQTSSFSSGDNQMLGFSAEARDCPTVKAPQQPALQSINSREMVTFGYNDSHTQSNHTDHNYVNLQMEPSCFKQYGALRNGQMVSMFDARLAKAVAAQFSLGKPSQNIQSSLEQLDAVVAGQGGRVWPSSQLLSSPYMLPPEVTNQVATMRPKKRKVSPSELLPWHKEVTHDSKRLQKIRIFCSMAEQDWAQATNRLTEKVEDEVEMIDDLQPMHRSKRRLILTTQLLQQLFHPAPSSILSLDSASSYDIISYFISRLSLGDVCSLAYCLRNEFLAPVKNSNVDSEKVENSERSGSQQFLAIVEKSIDRAKKLENDFQRLDKTASIVDIRAEFQELERFSVINRFAKFHVRGQIGVSCTGSLKPILQRHITAFPMPQNLPEGVQCLSL >Manes.03G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:970600:974333:1 gene:Manes.03G011400.v8.1 transcript:Manes.03G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDDWIRAAMTDDGVVVELLVRLKQAQAAAPAKPQAVIPLRWGLRLPRSKAATAVSSGRCDVVCRRKDGDSSARCSPTTPLSWSGGGGAASPSATADGFEDTSPHVSRSLTSARSKGAATTAETTSTTKRSRRKKTFAELKEEESFLLKERMHLKKELSTLNATLKEQIARNENLKRIKHDLNLQHTKNSSLMPGLLEKAICSKPQQRESSPNNISSMLHTHVQGDDNTMSDSCETQDAISNHDRSFLLPDLNMMPSEDTLSETPPGMR >Manes.13G078601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13042239:13086321:1 gene:Manes.13G078601.v8.1 transcript:Manes.13G078601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLSSPTLLLSRNHISTPRFHQTRHSLPYYRTTYPLSNSITAIPRLRLLCRTSGTQSTSVSDFELTAAVGQHRLSKEISLSLSLRVPVANIRNFCIIAHIDHGKSTLADKLLQMTGTVQQREMKEQFLDSMDLERERGITIKLQAARMRYVYEDEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALESNLEIIPVLNKIDLPGAEPDRVIQEIEEVIGLDCRDAIRCSAKEGIGITDILNAIVKRIPSPRDTAKMPLRALIFDSYYDPYRGVIVYFRVIDGSIKKGDRIYFMASKKDYFADEIGVLSPNQLQVEELYSGEVGYLSASIRSVADARVGDTVTHYNRRAENSLPGYKEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCANGNTVECSNPSLLPEPGKRLSIEEPFVKIEMLTPKDYIGPLMELAQDRRGDFKEMKFITESRASITYELPLAEMVGDFFDQLKSRSKGYASMEYTFIGYRESDLIKLDIQINGDPVEPLATIVHKDKAYGVGRALTQKLKELIPRQMFKVPIQACIGSKVIASESLPAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >Manes.13G078601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13042239:13086321:1 gene:Manes.13G078601.v8.1 transcript:Manes.13G078601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLSSPTLLLSRNHISTPRFHQTRHSLPYYRTTYPLSNSITAIPRLRLLCRTSGTQSTSVSDFELTAAVGQHRLSKVPVANIRNFCIIAHIDHGKSTLADKLLQMTGTVQQREMKEQFLDSMDLERERGITIKLQAARMRYVYEDEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALESNLEIIPVLNKIDLPGAEPDRVIQEIEEVIGLDCRDAIRCSAKEGIGITDILNAIVKRIPSPRDTAKMPLRALIFDSYYDPYRGVIVYFRVIDGSIKKGDRIYFMASKKDYFADEIGVLSPNQLQVEELYSGEVGYLSASIRSVADARVGDTVTHYNRRAENSLPGYKEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCANGNTVECSNPSLLPEPGKRLSIEEPFVKIEMLTPKDYIGPLMELAQDRRGDFKEMKFITESRASITYELPLAEMVGDFFDQLKSRSKGYASMEYTFIGYRESDLIKLDIQINGDPVEPLATIVHKDKAYGVGRALTQKLKELIPRQMFKVPIQACIGSKVIASESLPAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >Manes.15G011300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:994153:1001420:1 gene:Manes.15G011300.v8.1 transcript:Manes.15G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SWEET18 MEILSLLFGVIGNVISVLMFLSPAGTFWRIVKHGSTEEFESLPYVCTLLNAALWTYYGIIKPGAFLVATVNGFGILVEIVYVTLFLIYAPLKMRAKTWILLGLLDVGFPAAAILVTRLALQGQVRIDATGFMCAGLNIVMYGSPLAAMKTVVTTKSVEFMPFLLSFFLFLNGGVWTLYAFLTTDYFLGVPNGAGFLLGAAQLVLYAIYRNAKPSRNVSDGLEEGWQYQNLISSPSSE >Manes.16G066500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26776430:26779866:1 gene:Manes.16G066500.v8.1 transcript:Manes.16G066500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLREIEGKQAHDPIFIEKMNKSSTRCVCVPGPVIVGAGPSGLAVAACLKERGVPSTVIERSNCIASLWQLKTYDRLRLHLPKQFCELPLMGFPTEFPTYPTKQQFIDYLEKYADKFDIRPRFNETVSHAEFDQVLGFWRVRTVGPKVEETEYVCRWLVVATGENAEAVVPDIEGMGEFGGDIRHTSLYRSGEEFTGKRVLVVGCGNSGMEVCLDLCNHSARPSLVVRDSVHILPREMLGKSTFGLSMWLLKWLPMRLVDRFLLVVSRVMVGDTARLGLERPQLGPLELKNLSGKTPVLDVGTLARIKSGDVKEGDMFSEKDGLPRRPFPNGWKGECGLYAVGFTKRGILGASMDAKRIAEDIERCWKAEAKHSMAFARSLLPQSSP >Manes.16G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26776430:26779866:1 gene:Manes.16G066500.v8.1 transcript:Manes.16G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLREIEGKQAHDPIFIEKMNKSSTRCVCVPGPVIVGAGPSGLAVAACLKERGVPSTVIERSNCIASLWQLKTYDRLRLHLPKQFCELPLMGFPTEFPTYPTKQQFIDYLEKYADKFDIRPRFNETVSHAEFDQVLGFWRVRTVGPKVEETEYVCRWLVVATGENAEAVVPDIEGMGEFGGDIRHTSLYRSGEEFTGKRVLVVGCGNSGMEVCLDLCNHSARPSLVVRDSVHILPREMLGKSTFGLSMWLLKWLPMRLVDRFLLVVSRVMVGDTARLGLERPQLGPLELKNLSGKTPVLDVGTLARIKSGDVKVCPGVKRLKRHGVEFVNGKMENFDAIILATGYKSNVPSWLKEGDMFSEKDGLPRRPFPNGWKGECGLYAVGFTKRGILGASMDAKRIAEDIERCWKAEAKHSMAFARSLLPQSSP >Manes.06G120100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25114925:25118152:-1 gene:Manes.06G120100.v8.1 transcript:Manes.06G120100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSAATTIPKPSSHISSSSRSSIFISRFTLPLSLNPQKAIPHRSLHISNSVSKPTTPAPSSSTTLTIPQASPPRFSPDEARKGADILVEALERQGVTDVFAYPGGASMEIHQALTRSPIIRNVLPRHEQGGVFAAEGYARASGKPGVCIATSGPGATNLVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVSEAFFLATSGRPGPVLIDVPKDIQQQLAVPNWNTPIKLPGYMSRLPKVPNESHLEQIVRLIFESKKPVLYVGGGCLNSSEELRKFVELTGIPVASTLMGLGAFPVGHELSLQMLGMHGTVYANYSVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADVKFALQGMNKILESRCAKSKLDFKAWREELNEQKSKYPLKYKTFGDAIPPQYAIQVLDELTDGNAIISTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPGAVVVDIDGDGSFIMNVQELATIRVENLPIKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSKESEIFPNMLKFAEACGIPAARVTRKEGLRMAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRTKY >Manes.06G120100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25115250:25118152:-1 gene:Manes.06G120100.v8.1 transcript:Manes.06G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSAATTIPKPSSHISSSSRSSIFISRFTLPLSLNPQKAIPHRSLHISNSVSKPTTPAPSSSTTLTIPQASPPRFSPDEARKGADILVEALERQGVTDVFAYPGGASMEIHQALTRSPIIRNVLPRHEQGGVFAAEGYARASGKPGVCIATSGPGATNLVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVSEAFFLATSGRPGPVLIDVPKDIQQQLAVPNWNTPIKLPGYMSRLPKVPNESHLEQIVRLIFESKKPVLYVGGGCLNSSEELRKFVELTGIPVASTLMGLGAFPVGHELSLQMLGMHGTVYANYSVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADVKFALQGMNKILESRCAKSKLDFKAWREELNEQKSKYPLKYKTFGDAIPPQYAIQVLDELTDGNAIISTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPGAVVVDIDGDGSFIMNVQELATIRVENLPIKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSKESEIFPNMLKFAEACGIPAARVTRKEGLRMAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRTKY >Manes.06G120100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25114925:25118152:-1 gene:Manes.06G120100.v8.1 transcript:Manes.06G120100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTSAATTIPKPSSHISSSSRSSIFISRFTLPLSLNPQKAIPHRSLHISNSVSKPTTPAPSSSTTLTIPQASPPRFSPDEARKGADILVEALERQGVTDVFAYPGGASMEIHQALTRSPIIRNVLPRHEQGGVFAAEGYARASGKPGVCIATSGPGATNLVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVSEAFFLATSGRPGPVLIDVPKDIQQQLAVPNWNTPIKLPGYMSRLPKVPNESHLEQIVRLIFESKKPVLYVGGGCLNSSEELRKFVELTGIPVASTLMGLGAFPVGHELSLQMLGMHGTVYANYSVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCADVKFALQGMNKILESRCAKSKLDFKAWREELNEQKSKYPLKYKTFGDAIPPQYAIQVLDELTDGNAIISTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPGAVVVDIDGDGSFIMNVQELATIRVENLPIKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSKESEIFPNMLKFAEACGIPAARVTRKEGLRMAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRTKY >Manes.13G084500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14049263:14069209:-1 gene:Manes.13G084500.v8.1 transcript:Manes.13G084500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRKLLLNERVEVRQFEEGLRGSWHPGVVVGVSELSRSVEYDELLSETGDSKLIESIPVTEAIEGLHSRRHVSSTYRGHIRPSPPASDKKLSFGVCVDVLFEDAWWEGVVFDCHDDANERLVFFPDEGDERKFSANHMRLSRDWDEFLGIWHDRGIWILVQLAMELEGDIPLSNCVKKVWSYLRLNYGFNKMISEWTCGARNVWNKYFMDAVHETAIESSRQVLHDLNLAHVMEKKRNTGKVSEHVKIKSGLYVASLRERNYGALNISGSSQETEEKCFPHEWARKRRTLKSRSRAMKWSAISITKKDSFQSDNFCSRTRVVDILSSTMNDTVRGTSDKISDSAFVRDEGANTDSLPTKNKQSGKVNLDAEKKKSLKVKQCVVEKEKIIHVKVNGSFRRRENLTKSRQVTRNLAINLTNCSRKLRKRKPSVTVRKKRKCSSLKAMEFQRANSKLKTLSKVKEKVLDSRPAKNDLSMDQCHALPIKNHRKNVTPKRKRSHPRKSKRRRLFCDIGDTICSFCHCGGDLIRCNKCPSSYHFSCLDLKDVPHGKWLCPSCCCGLCGKGDNSLFTNSCLQCARTYHVCCLSKAGCLLPVDYPSQDFCSKSCYELCAQLHHLLGISNPTSVDGLTWTLTRSSKNVYNFPGIPRSNTCIKLSHVLRVMHECFRPVKDPHTKRDLVRDLVYSSGSKLRRLNFRGFYAAVLHRGDEIISVATVRIHGLKAAEMPLVATPFQYRRRGMCRLLVHELLKLLTKLGVERLILPAIAQLRNTWETSFGFLEMHHSERLQLSGYPFIGFQGTIMLHKVLSSSGLVKETNGSAGISQACKESSSSISLEHNYGVNSESRFCGLFYRHKKKAKIFGKENKVNGCNGNMQMYA >Manes.03G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26115195:26120362:1 gene:Manes.03G136400.v8.1 transcript:Manes.03G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDGHNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKKKVEQAAKSRSQLIQALSDAKIELASLLSALGEKSFVGIPQSEKSSGTIKEQLAAIAPALEQLWKQKEDRVKEFSDVQSQIQKICGEISGNLNVNEAPAVDETDLSLKKLDEYHSKLQELQKEKSDRLQKVLEFVSSVHDLCAVLGIDFFSTVTEVHPSLNDSTAVQCKSISNDTLARLAKTVLALKEDKKQRLHKLQELATQLIDLWNLMDTSIEERKLFDHVTCNMSASVDEVTVPGALAMDLIEQAEVEVERLDQLKASRMKEIAFKKQAELEEIYASAHIEIDPEAARDKIMALIDSGNVEPAELLANMDNQIAISKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEEDRGMQFSYDGVPLLAMLDEYAMLRQEREEEKRRLRDQKKFNEQQNTEQDTVFGSRSSPARPVGTKKVVGPRANGGANGTPNRRLSLNAHQNGSRSSTKEGRRDSTSRPAAPVNYVAVSKEDAASHISGAEPVPASP >Manes.12G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1732218:1738221:-1 gene:Manes.12G019500.v8.1 transcript:Manes.12G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPLSASGRDADKLSLPALQSKMKIDPEGYETELGLLYSQFNSALELFQQQAALNFTSISSSGVCADPTIAKDLGDRSMFLAHVTPFYPKQLAKFPSQLAEFLKNSARSLPSGLRCHVTQALILLINRQMVDISETLALFMELQTLGDRNLRKLAFSHVIHSIRRMNKKHKNEAKNRALQNILFAMLQQEDEARAKRSLITLCEFHRRKVWFDDRTANAICMACFHSSSRIMIAALSFLLDYEKIEDDDSDDSDASSSEDDSNPQISQVALGKEAIYKAHHKGTVSSKKKKKAKLQRAMRSMKRKQRLSAESSNSTYYSPLNHLKDAQGFAERFFSRLQTCNERFEVKMMMLKLIARTVGLHRLIVLNFYPFLQKYVQPHQRDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVIREICLRMPLLMTEDLLQDLVLYKKSHEKAVSVAARSLMILFREVCPSLLVKKDRGRPSDPKAKPKAYGEVDVVSNIPDLELLQENDDDDDNMEMDHDEINEDGDDIDNLESSGLDDEGDNDEIAASDDEDNPMYSDDAGSEDGDLQDDSIDEDSDNSVDENDGNISDDDDEGEEEDENLEEDGKDKDSPESDDRGMIEVKKPKASKRKFSDFNGQLTAADTSLRALKRLAEEKLKPTTSESEDGILSNEDFQRIKELKAKREAKDALARQGVKVPSSDQLSTKRLDPAKLEAHVRKKLTKEERLAMVRAGREATGKYQSRTAVKQKKTGGKSNRQKQHDKVMPSAARKARAARSREGKKKKQNRFGKQFRGKKAWK >Manes.01G051367.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:9973912:9974280:1 gene:Manes.01G051367.v8.1 transcript:Manes.01G051367.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLEISSLLLTSARTSNSKENSFIKIRDMWPLEISSLLLTSPCVPMLLTAATMFILLHLMPATFIKAHRLLQASIVHFFCNLFSEEFSRLTTFFFRGIHSFDSLLIFVKLFAGFMVTLLCF >Manes.08G092400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31189591:31196159:-1 gene:Manes.08G092400.v8.1 transcript:Manes.08G092400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIGQNSHDNNYDDLSQGFYHKLGENSNMSIDSYGSLQTSNGGRSVAMSIESIGSNDSHTRILNHQGLRRHADDNYSVQQSVNRNGRVAHALNDDALARALMDSNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEEVAIKILERPENDRERAKLMEQQFQQEVMMLATLKHPNIVGFVGACRKPMVWCIVTEYAKGGSMRQFLMRRHNRAVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDANPDVRPPFIEVVKMLENAETEILTTVRKARFRCCMALPMTVD >Manes.08G092400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31189634:31196116:-1 gene:Manes.08G092400.v8.1 transcript:Manes.08G092400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIGQNSHDNNYDDLSQGFYHKLGENSNMSIDSYGSLQTSNGGRSVAMSIESIGSNDSHTRILNHQGLRRHADDNYSVQQSVNRNGRVAHALNDDALARALMDSNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEEVAIKILERPENDRERAKLMEQQFQQEVMMLATLKHPNIVGFVGACRKPMVWCIVTEYAKGGSMRQFLMRRHNRAVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDANPDVRPPFIEVVKMLENAETEILTTVRKARFRCCMALPMTVD >Manes.08G092400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31189634:31196116:-1 gene:Manes.08G092400.v8.1 transcript:Manes.08G092400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIGQNSHDNNYDDLSQGFYHKLGENSNMSIDSYGSLQTSNGGRSVAMSIESIGSNDSHTRILNHQGLRRHADDNYSVQQSVNRNGRVAHALNDDALARALMDSNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEEVAIKILERPENDRERAKLMEQQFQQEVMMLATLKHPNIVGFVGACRKPMVWCIVTEYAKGGSMRQFLMRRHNRAVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDANPDVRPPFIEVVKMLENAETEILTTVRKARFRCCMALPMTVD >Manes.08G092400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31189627:31196129:-1 gene:Manes.08G092400.v8.1 transcript:Manes.08G092400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIGQNSHDNNYDDLSQGFYHKLGENSNMSIDSYGSLQTSNGGRSVAMSIESIGSNDSHTRILNHQGLRRHADDNYSVQQSVNRNGRVAHALNDDALARALMDSNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEEVAIKILERPENDRERAKLMEQQFQQEVMMLATLKHPNIVGFVGACRKPMVWCIVTEYAKGGSMRQFLMRRHNRAVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDANPDVRPPFIEVVKMLENAETEILTTVRKARFRCCMALPMTVD >Manes.08G092400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31189634:31193732:-1 gene:Manes.08G092400.v8.1 transcript:Manes.08G092400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIGQNSHDNNYDDLSQGFYHKLGENSNMSIDSYGSLQTSNGGRSVAMSIESIGSNDSHTRILNHQGLRRHADDNYSVQQSVNRNGRVAHALNDDALARALMDSNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEEVAIKILERPENDRERAKLMEQQFQQEVMMLATLKHPNIVGFVGACRKPMVWCIVTEYAKGGSMRQFLMRRHNRAVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDANPDVRPPFIEVVKMLENAETEILTTVRKARFRCCMALPMTVD >Manes.08G092400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31189634:31196116:-1 gene:Manes.08G092400.v8.1 transcript:Manes.08G092400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIGQNSHDNNYDDLSQGFYHKLGENSNMSIDSYGSLQTSNGGRSVAMSIESIGSNDSHTRILNHQGLRRHADDNYSVQQSVNRNGRVAHALNDDALARALMDSNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEEVAIKILERPENDRERAKLMEQQFQQEVMMLATLKHPNIVGFVGACRKPMVWCIVTEYAKGGSMRQFLMRRHNRAVPLKLAVKQALDVARGMEYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDANPDVRPPFIEVVKMLENAETEILTTVRKARFRCCMALPMTVD >Manes.09G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3859997:3873923:1 gene:Manes.09G018100.v8.1 transcript:Manes.09G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRQAKDSLSYSNLFNLESLMNFKVPQPDDDFDYYGNSSQDESRGSQGGAMANYGNGTISDRDLSLGKKKKRSNTSDGEEGDGYYGAHITEERYRSMLGEHIQKYKRRFKDSSLSPAPAPLRMGILPKSSMGSSKPRKLGNEQRGGLYDMETTSEWLSDVTPQKRGGYVEPDYIPKISYEPAYLDIGEGISYRIPPSYDKLAASLNLPSFSDIRVEEFYLKGTLDLGSLAEMMSNDKRFGPRSRAGMGEPRSQYESLQARLKAMAASNSGQKFSLKISDAVLNSSIPEGAAGNIQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPAVIEREEMEKIGKVWVNIVRRDIPKHHRSFTNLNRKHLIDAKRFSETCQREVKLKVSRSLKLMRGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELYSHFMQNKPNSQPSEALPVEEEKLGEEEMLLSTSGTGLGDEEDPEEAELRKEALKAAQDAVSKQKKLTSAFDTECSKLRQAADIDASVAGSSDIDLHNPSTMPVTSTVQTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGIHERTILRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTKKKEVTVHCKLSSRQQAFYQAIKNKISLAELFDGNRGHLNEKKIMNLMNIVIQLRKVCNHPELFERNEGSTYLYFGEIPNSLLPPPFGELEDIHYPGCQNPITYKMAKLMHREASSGAHCSAARHGVSREFFQKHFNMFSPENIHRSIFTQENNSNSLLVESGTFGFTHLMDLSPAEVAFLATGSFMERLLFSILRWDRQFLDGILDFLMEEIVCDPHYHDLDRGKVRAVTRMLLMPSRSETNVLRRRFATGPGDSPFEALVTSYPDRLLSNIKLLHSTYTFIPRARAPPICSQCSDRNFAYKMNEELHQPWVKRLLIGFARTSEFNGPRKPDGPHPLVQEIDSELPVSQPALQLTYKIFGSSPPMQSFDPAKLLTDSGKLQTLDILLKRLRVENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKNTVQQLVMTGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPTQAKDRQKKKPTKAIRLDAEGDATLEDLTENDAQGGGHEHSQDAEKAKSCNKKRKVASEKQTLPKPRNSQKMNEQNTTLMDYELDDPLPNADPQSQRPKRLKRPKKSVNENLEPAFTVTPIVDSAQVQYPPVNEFGSTHANT >Manes.09G018100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3859997:3873923:1 gene:Manes.09G018100.v8.1 transcript:Manes.09G018100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRQAKDSLSYSNLFNLESLMNFKVPQPDDDFDYYGNSSQDESRGSQGGAMANYGNGTISDRDLSLGKKKKRSNTSDGEEGDGYYGAHITEERYRSMLGEHIQKYKRRFKDSSLSPAPAPLRMGILPKSSMGSSKPRKLGNEQRGGLYDMETTSEWLSDVTPQKRGGISYEPAYLDIGEGISYRIPPSYDKLAASLNLPSFSDIRVEEFYLKGTLDLGSLAEMMSNDKRFGPRSRAGMGEPRSQYESLQARLKAMAASNSGQKFSLKISDAVLNSSIPEGAAGNIQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPAVIEREEMEKIGKVWVNIVRRDIPKHHRSFTNLNRKHLIDAKRFSETCQREVKLKVSRSLKLMRGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELYSHFMQNKPNSQPSEALPVEEEKLGEEEMLLSTSGTGLGDEEDPEEAELRKEALKAAQDAVSKQKKLTSAFDTECSKLRQAADIDASVAGSSDIDLHNPSTMPVTSTVQTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGIHERTILRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSSSSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTKKKEVTVHCKLSSRQQAFYQAIKNKISLAELFDGNRGHLNEKKIMNLMNIVIQLRKVCNHPELFERNEGSTYLYFGEIPNSLLPPPFGELEDIHYPGCQNPITYKMAKLMHREASSGAHCSAARHGVSREFFQKHFNMFSPENIHRSIFTQENNSNSLLVESGTFGFTHLMDLSPAEVAFLATGSFMERLLFSILRWDRQFLDGILDFLMEEIVCDPHYHDLDRGKVRAVTRMLLMPSRSETNVLRRRFATGPGDSPFEALVTSYPDRLLSNIKLLHSTYTFIPRARAPPICSQCSDRNFAYKMNEELHQPWVKRLLIGFARTSEFNGPRKPDGPHPLVQEIDSELPVSQPALQLTYKIFGSSPPMQSFDPAKLLTDSGKLQTLDILLKRLRVENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKNTVQQLVMTGGHVQGDLLAPEDVVSLLLDDAQLEQKLREIPTQAKDRQKKKPTKAIRLDAEGDATLEDLTENDAQGGGHEHSQDAEKAKSCNKKRKVASEKQTLPKPRNSQKMNEQNTTLMDYELDDPLPNADPQSQRPKRLKRPKKSVNENLEPAFTVTPIVDSAQVQYPPVNEFGSTHANT >Manes.10G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25550442:25560638:1 gene:Manes.10G105800.v8.1 transcript:Manes.10G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPSMEDFLKQCEQSGDNAYAAFRSLLERLEDPNTRTQARIFLSGLHKHVGDSDQCLQKYHFRIQDIFLEQYEGYQGRKKLTMMVIPSIFIPEDWSFTFYEGLNRHPDSIFKDKTVAELGCGNGWISIALAEKWLPSKVYGLDINPRAVKVSWINLYLNALDENGQPIYDSEKKTLLDRVEFYESDLLAYCRDRDIQLERIVGCIPQILNPNPDAMSKMITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQAVCKRLFERRGFRVNKLWQTKVIQAADTDISALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGKAGGRIAHALSVYSCQLRQPNQVKKIFEFLKNGFHEVSSSLDLSFEDDSVADEKIPFLAYLAGELKERESFPYESPAGSKRFRKLIAGFMKVYHHIPLNSNNVVIFPSRAVAIENALRLFSPRLAIVDEHLTRHLPRQWLTSLAVKGTENYDPSKDSITVIEAPRQSDLMVELIKKLKPQVVITGMAQFEAVTSSAFVQLLDITREIGSRLFLDISDHLELSSLPSPNGVLKYLAATRLPSHAAILCGLVKNQVYSDLEVAFVISEEEAIFKALSKTVEVLEGSTAPIRQFYYGCLFHELLAFQLADRHPPAERECEKAKSVEAIGFASSAISVLNDSELSISEEEESSLIHMDVDQSFLHISSPVRAAIFESFARQNMAESEIDVTPSIKKFIKSNYGFPADNSTEFVYTDFTQSLFNRLILCCIQEGGTFCFPAGSNGNYVSAAKFLKANIVSIPTDSASGFKLTDKLLNGALDTVNKPWVYISGPTINPSGLLYSNKEMENILTTCAKFGARVVIDTSFSGLEFDLEGWGGWDLEAIISKLNSSGNPSFCVSLLGGLSLQIVSGVLKFGFLVLNQPSLVNAFYSFPGLSKPHSTVKYAIKKLLGLNEQKEKDLTDAVAEQTRKLKSRSRLMKETLEKCGWEVIQPCGGVSMMAKPSAHLNKVVKIKHEPHGDAKNATTYEVKLDDSNIREAILKSTGLCINSGLWTGISGYCRFTFALEESDFERALNCIIKFKDVVSK >Manes.04G144600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34273767:34277088:1 gene:Manes.04G144600.v8.1 transcript:Manes.04G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVLESSNQTPMFFSISWFMETQLSTLIFVILGLVCNFRALLVVSQPQNVRASNAFVSPLPPPPQLSPPPPPPPPPPPPPPPPPSPSPSKPPLSSSTPSESPRSPSPPKPRWPSPPKPRWPSPPKPRSPSPPKPKSPSRRAPKSPPPPARVPPPPPRSASKSPRSASSPPPPPKHTLRNGSSNESTTGKQSPSSTTKNSQEMNTGKKVGLLFIGFAVILQIGVVGFLVFKRRQLLNAQDRYETCSS >Manes.03G005600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:485469:491659:1 gene:Manes.03G005600.v8.1 transcript:Manes.03G005600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGLSDSKFSKMENNQIDQIEDMDIEVVPSMWPEDIDSEKPYNVEKPGGDQDMLEEVTIVEEPSIVDFHRLIELTSYTDRGSSQLAYLVKHWEYQQATAVRLLREELDILSQQRQEVELKKLEILENFRFEEEGYGGDNRPISILDEVIDIYQALPRRKKDVIIQNKKVKIDAEYDTVAYWKQRALNLEKLLEASIQREEALNEKLQESVKDLERQSSPVEELSQILKRADNFLHFILQNAPVVMGHQDKELRYRFIYNHFPSLQEEDILGKTDMEIFTGAGVKESQDFKREVLEKGLPAKREITFETELFGLKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDHEQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKILILEGRIADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPDPCSGKAGGNHQKSSCGHSTTNASKKEKCTSASQTNNDQNGSHSPRQHHLLDSEPRSPVRNGNAMEGDKQEEPQLPGTVVWLRCDVYDTGIGIPENALPTLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSQVNYGSTFIFILPYKVSPMCDSSDEADELSDMTDHDAEIEDETAGYFLFQPRALGPLFSCNGSTRTKKLLPQNICYAKNAFSDNPYSFSHNFISKETASVEDACSMVEVADTLSEPESSFSHSPEPAGENAACRNKHLQDDTNRQLQNPTTNSTSHAECSRKMDGRPKRSEPLGSSQVGEKSGISYQFTSGSSSQVEISKLQPKILLVEDNKINVMVTRSMMKQLGHTIDVVNNGVEAVRAVQCNCYDLILMDVCMPVMDGLQATRLIRSFEETGSWDAAVKAGIELCSPSSNSLLLNSQGSTPSTKRTPIIAMTANALSESAEECYANGMDSFISKPVTFQKLKDCFEQYFT >Manes.03G005600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:485442:491728:1 gene:Manes.03G005600.v8.1 transcript:Manes.03G005600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGLSDSKFSKMENNQIDQIEDMDIEVVPSMWPEDIDSEKPYNVEKPGGDQDMLEEVTIVEEPSIVDFHRLIELTSYTDRGSSQLAYLVKHWEYQQATAVRLLREELDILSQQRQEVELKKLEILENFRFEEEGYGGDNRPISILDEVIDIYQALPRRKKDVIIQNKKVKIDAEYDTVAYWKQRALNLEKLLEASIQREEALNEKLQESVKDLERQSSPVEELSQILKRADNFLHFILQNAPVVMGHQDKELRYRFIYNHFPSLQEEDILGKTDMEIFTGAGVKESQDFKREVLEKGLPAKREITFETELFGLKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDHEQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKILILEGRIADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPDPCSGKAGGNHQKSSCGHSTTNASKKEKCTSASQTNNDQNGSHSPRQHHLLDSEPRSPVRNGNAMEGDKQEEPQLPGTVVWLRCDVYDTGIGIPENALPTLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSQVNYGSTFIFILPYKVSPMCDSSDEADELSDMTDHDAEIEDETAGYFLFQPRALGPLFSCNGSTRTKKLLPQNICYAKNAFSDNPYSFSHNFISKETASVEDACSMVEVADTLSEPESSFSHSPEPAECSRKMDGRPKRSEPLGSSQVGEKSGISYQFTSGSSSQVEISKLQPKILLVEDNKINVMVTRSMMKQLGHTIDVVNNGVEAVRAVQCNCYDLILMDVCMPVMDGLQATRLIRSFEETGSWDAAVKAGIELCSPSSNSLLLNSQGSTPSTKRTPIIAMTANALSESAEECYANGMDSFISKPVTFQKLKDCFEQYFT >Manes.03G005600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:485469:491659:1 gene:Manes.03G005600.v8.1 transcript:Manes.03G005600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGLSDSKFSKMENNQIDQIEDMDIEVVPSMWPEDIDSEKPYNVEKPGGDQDMLEEVTIVEEPSIVDFHRLIELTSYTDRGSSQLAYLVKHWEYQQATAVRLLREELDILSQQRQEVELKKLEILENFRFEEEGYGGDNRPISILDEVIDIYQALPRRKKDVIIQNKKVKIDAEYDTVAYWKQRALNLEKLLEASIQREEALNEKLQESVKDLERQSSPVEELSQILKRADNFLHFILQNAPVVMGHQDKELRYRFIYNHFPSLQEEDILGKTDMEIFTGAGVKESQDFKREVLEKGLPAKREITFETELFGLKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDHEQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKILILEGRIADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPDPCSGKAGGNHQKSSCGHSTTNASKKEKCTSASQTNNDQNGSHSPRQHHLLDSEPRSPVRNGNAMEGDKQEEPQLPGTVVWLRCDVYDTGIGIPENALPTLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSQVNYGSTFIFILPYKVSPMCDSSDEADELSDMTDHDAEIEDETAGYFLFQPRALGPLFSCNGSTRTKKLLPQNICYAKNAFSDNPYSFSHNFISKETASVEDACSMVEVADTLSEPESSFSHSPEPAECSRKMDGRPKRSEPLGSSQVGEKSGISYQFTSGSSSQVEISKLQPKILLVEDNKINVMVTRSMMKQLGHTIDVVNNGVEAVRAVQCNCYDLILMDVCMPVMDGLQATRLIRSFEETGSWDAAVKAGIELCSPSSNSLLLNSQGSTPSTKRTPIIAMTANALSESAEECYANGSSISTEIKLYISIQNLFFLFLVQQISPILLKFLEGNWTVCTFSNANIISIPLQANATS >Manes.03G005600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:485469:491659:1 gene:Manes.03G005600.v8.1 transcript:Manes.03G005600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFTGAGVKESQDFKREVLEKGLPAKREITFETELFGLKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDHEQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKILILEGRIADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPDPCSGKAGGNHQKSSCGHSTTNASKKEKCTSASQTNNDQNGSHSPRQHHLLDSEPRSPVRNGNAMEGDKQEEPQLPGTVVWLRCDVYDTGIGIPENALPTLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSQVNYGSTFIFILPYKVSPMCDSSDEADELSDMTDHDAEIEDETAGYFLFQPRALGPLFSCNGSTRTKKLLPQNICYAKNAFSDNPYSFSHNFISKETASVEDACSMVEVADTLSEPESSFSHSPEPAGENAACRNKHLQDDTNRQLQNPTTNSTSHAECSRKMDGRPKRSEPLGSSQVGEKSGISYQFTSGSSSQVEISKLQPKILLVEDNKINVMVTRSMMKQLGHTIDVVNNGVEAVRAVQCNCYDLILMDVCMPVMDGLQATRLIRSFEETGSWDAAVKAGIELCSPSSNSLLLNSQGSTPSTKRTPIIAMTANALSESAEECYANGMDSFISKPVTFQKLKDCFEQYFT >Manes.03G005600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:485442:491728:1 gene:Manes.03G005600.v8.1 transcript:Manes.03G005600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGLSDSKFSKMENNQIDQIEDMDIEVVPSMWPEDIDSEKPYNVEKPGGDQDMLEEVTIVEEPSIVDFHRLIELTSYTDRGSSQLAYLVKHWEYQQATAVRLLREELDILSQQRQEVELKKLEILENFRFEEEGYGGDNRPISILDEVIDIYQALPRRKKDVIIQNKKVKIDAEYDTVAYWKQRALNLEKLLEASIQREEALNEKLQESVKDLERQSSPVEELSQILKRADNFLHFILQNAPVVMGHQDKELRYRFIYNHFPSLQEEDILGKTDMEIFTGAGVKESQDFKREVLEKGLPAKREITFETELFGLKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDHEQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKILILEGRIADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPDPCSGKAGGNHQKSSCGHSTTNASKKEKCTSASQTNNDQNGSHSPRQHHLLDSEPRSPVRNGNAMEGDKQEEPQLPGTVVWLRCDVYDTGIGIPENALPTLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSQVNYGSTFIFILPYKVSPMCDSSDEADELSDMTDHDAEIEDETAGYFLFQPRALGPLFSCNGSTRTKKLLPQNICYAKNAFSDNPYSFSHNFISKETASVEDACSMVEVADTLSEPESSFSHSPEPAGENAACRNKHLQDDTNRQLQNPTTNSTSHAECSRKMDGRPKRSEPLGSSQVGEKSGISYQFTSGSSSQVEISKLQPKILLVEDNKINVMVTRSMMKQLGHTIDVVNNGVEAVRAVQCNCYDLILMVISRLLIFILSEILPPAFTFSHPRMHVQAQTQTSFRFYTARTKC >Manes.03G005600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:485469:491659:1 gene:Manes.03G005600.v8.1 transcript:Manes.03G005600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGLSDSKFSKMENNQIDQIEDMDIEVVPSMWPEDIDSEKPYNVEKPGGDQDMLEEVTIVEEPSIVDFHRLIELTSYTDRGSSQLAYLVKHWEYQQATAVRLLREELDILSQQRQEVELKKLEILENFRFEEEGYGGDNRPISILDEVIDIYQALPRRKKDVIIQNKKVKIDAEYDTVAYWKQRALNLEKLLEASIQREEALNEKLQESVKDLERQSSPVEELSQILKRADNFLHFILQNAPVVMGHQDKELRYRFIYNHFPSLQEEDILGKTDMEIFTGAGVKESQDFKREVLEKGLPAKREITFETELFGLKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDHEQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKILILEGRIADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVPDPCSGKAGGNHQKSSCGHSTTNASKKEKCTSASQTNNDQNGSHSPRQHHLLDSEPRSPVRNGNAMEGDKQEEPQLPGTVVWLRCDVYDTGIGIPENALPTLFKRYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSQVNYGSTFIFILPYKVSPMCDSSDEADELSDMTDHDAEIEDETAGYFLFQPRALGPLFSCNGSTRTKKLLPQNICYAKNAFSDNPYSFSHNFISKETASVEDACSMVEVADTLSEPESSFSHSPEPAECSRKMDGRPKRSEPLGSSQVGEKSGISYQFTSGSSSQVEISKLQPKILLVEDNKINVMVTRSMMKQLGHTIDVVNNGVEAVRAVQCNCYDLILMDVCMPVMDGLQATRLIRSFEETGSWDAAVKAGIELCSPSSNSLLLNSQGSTPSTKRTPIIAMTANALSESAEECYANGSSISTEIKLYISIQNLFFLFLVQQISPILLKFLEGNWTVCTFSNANIISIPLQANATS >Manes.16G095650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30171691:30172805:1 gene:Manes.16G095650.v8.1 transcript:Manes.16G095650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSHENMRNLTTYRPLYKAVLKGDLATVKSICDGDPLALEARITVDLDTALHIAVGTGMANHIVMYLLNKMSRHQLGLQNSSGDTVLSIAAIVGNTRAAIMIVTKQPDLPQIANHDGRVPLLEAARYAQKEMISYLLEVSGDYLQATEYSADKPGVFFMNLLVLAGFYDMALKLVESHPPLATVEYYGGESLLSAVA >Manes.05G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9427253:9429823:-1 gene:Manes.05G101100.v8.1 transcript:Manes.05G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLARNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASRS >Manes.05G153800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26516592:26518906:1 gene:Manes.05G153800.v8.1 transcript:Manes.05G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGCRFSSKEIINFRAIVKSKKKTSIQNSEKMAEEGQVISCHTVQGWTEQLERGQQSNKLIVVDFTASWCGPCRLIAPILADLAKKMPNVTFLKVDVDELRSVAEDWAVEAMPTFMFLKEGRIVDKVVGAKKEELQATITKHAT >Manes.16G058400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21916156:21918686:-1 gene:Manes.16G058400.v8.1 transcript:Manes.16G058400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRFQIGFAWIAFSPLPSITKSNCINQSCFSLSKLFSLEMNSLAFSVGNYTPKKLNIPICNHNTVEASNFKFKISCQSHENFVSPLTAEVKEIQALLKRREAMGVGFFTVFADVLLQPLPIAEAAEEAGVCELTVTPSGLAFCDKVVGTGPEAVKGQLIKILVQAHYVGKLENGKVFDSSYNRGKPLTFRIGVGEVIKGWDEGILGGDGVPPMQAGGKRILKLPPELGYGVRGAGCRGGSCIIPPDSVLLFDVEFIGK >Manes.16G058400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21916107:21918689:-1 gene:Manes.16G058400.v8.1 transcript:Manes.16G058400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRFQIGFAWIAFSPLPSITKSNCINQSCFSLSKLFSLEMNSLAFSVGNYTPKKLNIPICNHNTVEASNFKFKISCQSHENFVSPLTAEVKEIQALLKRREAMGVGFFTVFADVLLQPLPIAEAAEEAGVCELTVTPSGLAFCDKVVGTGPEAVKGQLIKAHYVGKLENGKVFDSSYNRGKPLTFRIGVGEVIKGWDEGILGGDGVPPMQAGGKRILKLPPELGYGVRGAGCRGGSCIIPPDSVLLFDVEFIGK >Manes.03G013000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1091000:1096527:-1 gene:Manes.03G013000.v8.1 transcript:Manes.03G013000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSDGLNSPLSLKIFEIEVPASLTRKDSEAEISSQVEGKPLITRHKDDLSVLKHDKELTPREIAIYGFYIAPIWFITEYLSNAALARTSVASTTVLSSTSGLFTLFIGVFLGQDTLNAAKVVAVLVSMAGVAMTTLGKTWATDESQLSASINGKRSIVGDLFGLLSAVSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFSIPHSAKMDEVVLANSFIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAIYILGSGQVFAGFVIANLSDWFSRKLGL >Manes.03G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1091000:1096527:-1 gene:Manes.03G013000.v8.1 transcript:Manes.03G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRYKAGLFLIAAVVIIWVTSAEVTQGIFTDYKQPFAVTYLGASLMVVYLPIAFLKDWICKILKHRSSKSGKGAGSMNESSDGLNSPLSLKIFEIEVPASLTRKDSEAEISSQVEGKPLITRHKDDLSVLKHDKELTPREIAIYGFYIAPIWFITEYLSNAALARTSVASTTVLSSTSGLFTLFIGVFLGQDTLNAAKVVAVLVSMAGVAMTTLGKTWATDESQLSASINGKRSIVGDLFGLLSAVSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFSIPHSAKMDEVVLANSFIGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAIYILGSGQVFAGFVIANLSDWFSRKLGL >Manes.07G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31657481:31660245:1 gene:Manes.07G110800.v8.1 transcript:Manes.07G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKVSLKLLIDNKNQKVILAEADKDFVNFLLYLLALPLGTVIRLLTEQDMLGCLGNLYKSVDVLGESYLRTTQIKDSILKPLVSISATEVPLLLPITELPSILYRCPCCKQIAAKHPNMACPNCIDDEDDEEDLASKDKGFVKDVVTYMVMDNLEVSPMSSISSIALLNKFNIQDLSVLEEKVVDLGTKEALKLLKESLQSKTVLTRVFLGRP >Manes.05G078350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6407808:6408279:1 gene:Manes.05G078350.v8.1 transcript:Manes.05G078350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYITLLSVFAHCHAVHLVEIVQCAACELLLIAYAETAAAGGRIVRNFNSGIMLKFSNCRPNILRGN >Manes.01G075600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27866665:27868038:-1 gene:Manes.01G075600.v8.1 transcript:Manes.01G075600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRYIDATYCVLIAILVVATTVSSDDITPIPADDSKVSNWFQTNVKPWRSRKGTLDPALEAAEAKSRIIIVSKDGKGEFKTVTDAINSVPLNNKQRVIIKIGPGVYTEKIQIERTKHFITFLGDPKATPTLAFGGTAHEYGTLASASVAIEPNYFMAVNIIFKNTAPGPNSKKPGAQAVALRVSGDKAAFYNCKMLGFQDTLCDDRGHHFYKNCYIEGTVDFIFGRGRSLYLESHINVVNNKGLTFITAQAKENKSENWGYSFVQCKITGSASGTYLGRAWRAMPEVVFSYTEMGAVINPLGWSNNKRPERERTVFFAEYQNSGPGSNLKKRVKFAKKLTDREAKHFLSLGYIQGSKWLLPPSM >Manes.03G091166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21985198:21986012:-1 gene:Manes.03G091166.v8.1 transcript:Manes.03G091166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGSSFLPKQIMLHLPNKVLKPFQRKYSVNIIYCDRSKGKPSSGSGSQHNRVLRIQSQKRVEFKQELIWSGSRSNAFEDDKKTQVFDAAISLMIH >Manes.03G165800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29192854:29195360:-1 gene:Manes.03G165800.v8.1 transcript:Manes.03G165800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFAGTPGTLTALALRISQCIFAAGSITAMATTTNFFNFTAFCYLIASMGLQVIWSFGLALIDAYALMKKKVLHNSILVSLFVVGDWVTALLSLAAASASAGITVLLFHDIGPCTYLSECEKYQMSVALAFLSWISIAISSLIMLWLLAAG >Manes.03G098848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18053184:18060497:-1 gene:Manes.03G098848.v8.1 transcript:Manes.03G098848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKWFGSLLLLLFCMFSNAIGAYVGINIGTGVSNMPSALDVVAILKANQITHVRLYDADAHMLKALANSGIEVMVGIKNEEVLGIGESPAAAAAWVNKNVASYVPSTNITAIAVGNEVLTTIPNAAAVLVPAINYIHKALVASNLNFLVKVSTPQSMDVIPRPFPPSTATFNSTWNSTIYQLLQFLKNTNSCYMLNAYPYHGYTTGNGIFPIDYALFRPLPSVKQIVDPNTLSHYNSMFDAMVDATYYAIDAFNISGIPIIVTETGWPWLGGANEPDATVENAEAFNNNLIRRVLNDSGPPSRPNIPTNTYIYELFNEDKKPGPVSKKNWGLFFTNGTAVYALSLSNSNRLTGNSSVTYCVAKQSADSAKLQEGLNWACGQGGANCSAIQQGQPCYLPDTYQNHASYAYNDYYQKLHSTGGTCDFDGTATTTTVDPSYGSCIFTGSSSSNTSGAIFPPVALGPTTTLGESSSWNFQASIFQSLLTAALLALILL >Manes.03G098848.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:18054881:18056233:-1 gene:Manes.03G098848.v8.1 transcript:Manes.03G098848.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRAEIMLSILLFLLNHILEFVGAYVGINIGTGVSNMPSALDVVAILKANQITHVRLYDADAHMLKALANSGIEVMVGIKNEEVLGIGESPAAAAAWVNKNVASYVPSTNITAIAVGNEVLTTIPNAAAVLVPAINYIHKALVASNLNFLVKVSTPQSMDVIPRPFPPSTATFNSTWNSTIYQLLQFLKNTNSCYMLNAYPYHGYTTGNGIFPIDYALFRPLPSVKQIVDPNTLSHYNSMFDAMVDATYYAIDAFNISGIPIIVTETGWPWLGGANEPDATVENAEAFNNNLIRRVLNDSGPPSRPNIPTNTYIYELFNEDKKPGPVSKKNWGLFFTNGTAVYALSLSNSNRLTGNSSVTYCVAKQSADSAKLQEGLNWACGQGGANCSAIQQGQPCYLPDTYQNHASYAYNDYYQKLHSTGGTCDFDGTATTTTVDPSMLLCPLFSTR >Manes.03G098848.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:18053184:18060497:-1 gene:Manes.03G098848.v8.1 transcript:Manes.03G098848.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRAEIMLSILLFLLNHILEFVGAYVGINIGTGVSNMPSALDVVAILKANQITHVRLYDADAHMLKALANSGIEVMVGIKNEEVLGIGESPAAAAAWVNKNVASYVPSTNITAIAVGNEVLTTIPNAAAVLVPAINYIHKALVASNLNFLVKVSTPQSMDVIPRPFPPSTATFNSTWNSTIYQLLQFLKNTNSCYMLNAYPYHGYTTGNGIFPIDYALFRPLPSVKQIVDPNTLSHYNSMFDAMVDATYYAIDAFNISGIPIIVTETGWPWLGGANEPDATVENAEAFNNNLIRRVLNDSGPPSRPNIPTNTYIYELFNEDKKPGPVSKKNWGLFFTNGTAVYALSLSNSNRLTGNSSVTYCVAKQSADSAKLQEGLNWACGQGGANCSAIQQGQPCYLPDTYQNHASYAYNDYYQKLHSTGGTCDFDGTATTTTVDPSMLLCPLFSTR >Manes.03G098848.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18053184:18060435:-1 gene:Manes.03G098848.v8.1 transcript:Manes.03G098848.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKWFGSLLLLLFCMFSNAIGAYVGINIGTGVSNMPSALDVVAILKANQITHVRLYDADAHMLKALANSGIEVMVGIKNEEVLGIGESPAAAAAWVNKNVASYVPSTNITAIAVGNEVLTTIPNAAAVLVPAINYIHKALVASNLNFLVKVSTPQSMDVIPRPFPPSTATFNSTWNSTIYQLLQFLKNTNSCYMLNAYPYHGYTTGNGIFPIDYALFRPLPSVKQIVDPNTLSHYNSMFDAMVDATYYAIDAFNISGIPIIVTETGWPWLGGANEPDATVENAEAFNNNLIRRVLNDSGPPSRPNIPTNTYIYELFNEDKKPGPVSKKNWGLFFTNGTAVYALSLSNSNRLTGNSSVTYCVAKQSADSAKLQEGLNWACGQGGANCSAIQQGQPCYLPDTYQNHASYAYNDYYQKLHSTGGTCDFDGTATTTTVDPSYGSCIFTGSSSSNTSGAIFPPVALGPTTTLGESSSWNFQASIFQSLLTAALLALILL >Manes.03G098848.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18053184:18060497:-1 gene:Manes.03G098848.v8.1 transcript:Manes.03G098848.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKWFGSLLLLLFCMFSNAIGAYVGINIGTGVSNMPSALDVVAILKANQITHVRLYDADAHMLKALANSGIEVMVGIKNEEVLGIGESPAAAAAWVNKNVASYVPSTNITAIAVGNEVLTTIPNAAAVLVPAINYIHKALVASNLNFLVKVSTPQSMDVIPRPFPPSTATFNSTWNSTIYQLLQFLKNTNSCYMLNAYPYHGYTTGNGIFPIDYALFRPLPSVKQIVDPNTLSHYNSMFDAMVDATYYAIDAFNISGIPIIVTETGWPWLGGANEPDATVENAEAFNNNLIRRVLNDSGPPSRPNIPTNTYIYELFNEDKKPGPVSKKNWGLFFTNGTAVYALSLSNSNRLTGNSSVTYCVAKQSADSAKLQEGLNWACGQGGANCSAIQQGQPCYLPDTYQNHASYAYNDYYQKLHSTGGTCDFDGTATTTTVDPSYGSCIFTGSSSSNTSGAIFPPVALGPTTTLGESSSWNFQASIFQSLLTAALLALILL >Manes.03G098848.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18053184:18060497:-1 gene:Manes.03G098848.v8.1 transcript:Manes.03G098848.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSALDVVAILKANQITHVRLYDADAHMLKALANSGIEVMVGIKNEEVLGIGESPAAAAAWVNKNVASYVPSTNITAIAVGNEVLTTIPNAAAVLVPAINYIHKALVASNLNFLVKVSTPQSMDVIPRPFPPSTATFNSTWNSTIYQLLQFLKNTNSCYMLNAYPYHGYTTGNGIFPIDYALFRPLPSVKQIVDPNTLSHYNSMFDAMVDATYYAIDAFNISGIPIIVTETGWPWLGGANEPDATVENAEAFNNNLIRRVLNDSGPPSRPNIPTNTYIYELFNEDKKPGPVSKKNWGLFFTNGTAVYALSLSNSNRLTGNSSVTYCVAKQSADSAKLQEGLNWACGQGGANCSAIQQGQPCYLPDTYQNHASYAYNDYYQKLHSTGGTCDFDGTATTTTVDPSYGSCIFTGSSSSNTSGAIFPPVALGPTTTLGESSSWNFQASIFQSLLTAALLALILL >Manes.15G057501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4429838:4430196:-1 gene:Manes.15G057501.v8.1 transcript:Manes.15G057501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTLPSFGFFLFRTAALEVVAAILKKRLHGSDVRESVACCNAGLWRRCCSDVLHRH >Manes.08G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2707724:2710407:1 gene:Manes.08G028100.v8.1 transcript:Manes.08G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAPGFRFYPTEEELISFYLHNKLEGKRDDINTAIARVIPALDIYDFNPWDLPQLSGELCRKDPEQWFFFLPRQKREAQGGRPSRLTTTGYWKSTGSPGYVYSSTNNRCIAAKRTMVFYKGRIPHGRKTDWKLNEYRVIQGEASSSTCPKTMPRQDQFTLCRVYKNSKCRRAFDRRPIGVQIGEPSVQVSSEQAQRNQQIPQPTLDRSTFEGSCTEEHGYVHGASSELAMAIDNEPLWDWEHLYNWADYDQWRSEKGL >Manes.08G028100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2707724:2710407:1 gene:Manes.08G028100.v8.1 transcript:Manes.08G028100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFSFCRKLPFQHEKFYAFYCTIVDYYQHNLGFFLLAAELSGELCRKDPEQWFFFLPRQKREAQGGRPSRLTTTGYWKSTGSPGYVYSSTNNRCIAAKRTMVFYKGRIPHGRKTDWKLNEYRVIQGEASSSTCPKTMPRQDQFTLCRVYKNSKCRRAFDRRPIGVQIGEPSVQVSSEQAQRNQQIPQPTLDRSTFEGSCTEEHGYVHGASSELAMAIDNEPLWDWEHLYNWADYDQWRSEKGL >Manes.01G143800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33427530:33428879:1 gene:Manes.01G143800.v8.1 transcript:Manes.01G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPWVCGFRFKPTDEDLVHFYLQKKLDRQALPLGLITECDIYAGNPWKILDNVKNFDGFYYVFTNLKRMSKAKIDRRAGSGTWKGQTTNRFQQSEGKTRWAKKTFIFEVDKKNLKSVGQNNGRWLMVEFSLGDEGLDGKAVLCRIYNKHARDFHKKEQVGVDYSNCEAIVPYVEKKQVSLADDKEGNQHPTKKMKEQRSIADDEEGHQNSKKMKQREPVDLYGESIGSTSFCPSNFVVEQLPVSSDYSSRFPEDGAAFLADGELNSLLDFPSDYDLTSLLLDMDVGTGTFPEDELSKFLAENIDDGGFLSSMPPLTMQCNAVNKDDENGNNLSSSMPLQGGFCDRNAVLRNVN >Manes.12G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34333364:34334252:-1 gene:Manes.12G136400.v8.1 transcript:Manes.12G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSTASQFLAQNMAINTRLLSFLLLLTASIAAVSSDDDCVYTMYIRTGSIFRAGTDSIISVRLYDTYGEYVGISDLVSWGGLMGPKYNYFERGNLDIFSGIGPCLSSSVCALNLTSDGSGMYHGWYCNYVEVTTTAVHKPCLQQKFKVDQWLARDEPPYNLTVIKNYCNSNADDLKGPNGVNIELKSIVI >Manes.05G078300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6393974:6406394:1 gene:Manes.05G078300.v8.1 transcript:Manes.05G078300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASVLRRKLNLKPFLIQVRFLSVSSHAFETTQRIEKILVANRGEIACRIMRTANRLGIRTVAVYSDADRDSLHVKSADEAVHIGPPPARLSYLSGPSIVEAAIRTGAQAIHPGYGFLSESADFAKLCEDTGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDEQDIELMKSEADKIGYPILIKPTHGGGGKGMRIVQSPNQFVDSFLGAQREAAASFGVNTILLEKYITHPRHIEVQIFGDKYGNVLHLYERDCSIQRRHQKIIEEAPAPNVMNDFRSHLGQAAVSAAKAVGYHNAGTVEFIVDKVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPLSQSQVPLLGHAFETRIYAENVPKGFLPATGFLHHYRPVAVSSAVRVETGVEEGDTVSMHYDPMIAKLVVWGENRAAALSKLKDCLSKFQVAGVPTNINFLQKLANHRAFEDGDVETHFIENHKDDLFIDPNNSLLAKEAYSSARFSAGLAAACLCEKEHSFLKEGYGGSSLHPIWYSHPPFRVHHLARHTMVLEWDNEFDGSGSNHLTMMISVIYQPNGNYLIETGEIGSPGLEIKAMHMHDHDFRVETDGLSMSISLAAYSKDETQHIHIWHGTHHHHFRQKLGIDLCDDEETQHKTNFETASHPPGTVVAPMAGLVVKVLVKDESKVEEGQPILVLEAMKMEHVVKAPFAGYVHGLQVTAGQQVSDSSLLFIIKDAS >Manes.05G078300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6393974:6404249:1 gene:Manes.05G078300.v8.1 transcript:Manes.05G078300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASVLRRKLNLKPFLIQVRFLSVSSHAFETTQRIEKILVANRGEIACRIMRTANRLGIRTVAVYSDADRDSLHVKSADEAVHIGPPPARLSYLSGPSIVEAAIRTGAQAIHPGYGFLSESADFAKLCEDTGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDEQDIELMKSEADKIGYPILIKPTHGGGGKGMRIVQSPNQFVDSFLGAQREAAASFGVNTILLEKYITHPRHIEVQIFGDKYGNVLHLYERDCSIQRRHQKIIEEAPAPNVMNDFRSHLGQAAVSAAKAVGYHNAGTVEFIVDKVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPLSQSQVPLLGHAFETRIYAENVPKGFLPATGFLHHYRPVAVSSAVRVETGVEEGDTVSMHYDPMIAKLVVWGENRAAALSKLKDCLSKFQVAGVPTNINFLQKLANHRAFEDGDVETHFIENHKDDLFIDPNNSLLAKEAYSSARFSAGLAAACLCEKEHSFLKEGYGGSSLHPIWYSHPPFRVHHLARHTMVLEWDNEFDGSGSNHLTMMISVIYQPNGNYLIETGEIGSPGLEIKAMHMHDHDFRVETDGLSMSISLAAYSKDETQHIHIWHGTHHHHFRQKLGIDLCDDEETQHKTNFETASHPPGTVVAPMAGLVVKVLVKDESKVEEGQPILVLEAMKMEHVVKAPFAGYVHGLQVTAGQQVSDSSLLFIIKDG >Manes.05G078300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6393934:6404461:1 gene:Manes.05G078300.v8.1 transcript:Manes.05G078300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKSASKRIMGAAGVPLVPGYHGDEQDIELMKSEADKIGYPILIKPTHGGGGKGMRIVQSPNQFVDSFLGAQREAAASFGVNTILLEKYITHPRHIEVQIFGDKYGNVLHLYERDCSIQRRHQKIIEEAPAPNVMNDFRSHLGQAAVSAAKAVGYHNAGTVEFIVDKVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPLSQSQVPLLGHAFETRIYAENVPKGFLPATGFLHHYRPVAVSSAVRVETGVEEGDTVSMHYDPMIAKLVVWGENRAAALSKLKDCLSKFQVAGVPTNINFLQKLANHRAFEDGDVETHFIENHKDDLFIDPNNSLLAKEAYSSARFSAGLAAACLCEKEHSFLKEGYGGSSLHPIWYSHPPFRVHHLARHTMVLEWDNEFDGSGSNHLTMMISVIYQPNGNYLIETGEIGSPGLEIKAMHMHDHDFRVETDGLSMSISLAAYSKDETQHIHIWHGTHHHHFRQKLGIDLCDDEETQHKTNFETASHPPGTVVAPMAGLVVKVLVKDESKVEEGQPILVLEAMKMEHVVKAPFAGYVHGLQVTAGQQVSDSSLLFIIKDAS >Manes.05G078300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6393823:6404461:1 gene:Manes.05G078300.v8.1 transcript:Manes.05G078300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTANRLGIRTVAVYSDADRDSLHVKSADEAVHIGPPPARLSYLSGPSIVEAAIRTGAQAIHPGYGFLSESADFAKLCEDTGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDEQDIELMKSEADKIGYPILIKPTHGGGGKGMRIVQSPNQFVDSFLGAQREAAASFGVNTILLEKYITHPRHIEVQIFGDKYGNVLHLYERDCSIQRRHQKIIEEAPAPNVMNDFRSHLGQAAVSAAKAVGYHNAGTVEFIVDKVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPLSQSQVPLLGHAFETRIYAENVPKGFLPATGFLHHYRPVAVSSAVRVETGVEEGDTVSMHYDPMIAKLVVWGENRAAALSKLKDCLSKFQVAGVPTNINFLQKLANHRAFEDGDVETHFIENHKDDLFIDPNNSLLAKEAYSSARFSAGLAAACLCEKEHSFLKEGYGGSSLHPIWYSHPPFRVHHLARHTMVLEWDNEFDGSGSNHLTMMISVIYQPNGNYLIETGEIGSPGLEIKAMHMHDHDFRVETDGLSMSISLAAYSKDETQHIHIWHGTHHHHFRQKLGIDLCDDEETQHKTNFETASHPPGTVVAPMAGLVVKVLVKDESKVEEGQPILVLEAMKMEHVVKAPFAGYVHGLQVTAGQQVSDSSLLFIIKDAS >Manes.05G078300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6393974:6406394:1 gene:Manes.05G078300.v8.1 transcript:Manes.05G078300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASVLRRKLNLKPFLIQVRFLSVSSHAFETTQRIEKILVANRGEIACRIMRTANRLGIRTVAVYSDADRDSLHVKSADEAVHIGPPPARLSYLSGPSIVEAAIRTGAQAIHPGYGFLSESADFAKLCEDTGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDEQDIELMKSEADKIGYPILIKPTHGGGGKGMRIVQSPNQFVDSFLGAQREAAASFGVNTILLEKYITHPRHIEVQIFGDKYGNVLHLYERDCSIQRRHQKIIEEAPAPNVMNDFRSHLGQAAVSAAKAVGYHNAGTVEFIVDKVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPLSQSQVPLLGHAFETRIYAENVPKGFLPATGFLHHYRPVAVSSAVRVETGVEEGDTVSMHYDPMIAKLVVWGENRAAALSKLKDCLSKFQVAGVPTNINFLQKLANHRAFEDGDVETHFIENHKDDLFIDPNNSLLAKEAYSSARFSAGLAAACLCEKEHSFLKEGYGGSSLHPIWYSHPPFRVHHLARHTMVLEWDNEFDGSGSNHLTMMISVIYQPNGNYLIETGEIGSPGLEIKAMHMHDHDFRVETDGLSMSISLAAYSKDETQHIHIWHGTHHHHFRQKLGIDLCDDEETQHKTNFETASHPPGTVVAPMAGLVVKVLVKDESKVEEGQPILVLEAMKMEHVVKAPFAGYVHGLQVTAGQQVSDSSLLFIIKVSQC >Manes.02G146300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11251422:11262622:-1 gene:Manes.02G146300.v8.1 transcript:Manes.02G146300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDSNALRWVRENQKEAPFSHSSLRPRIDPTTKVRGGSRGFGLPPPSKFRSGHLPSTAIPVSRTIPDDVGDSHTGSDNDMTTESDEDDVYGGRYSLDSSPQEERITNSAATGGRYGNAALRGTRYATDYGYSDVSSSMETVAGRGGNVGERLVRGNGRYAVGRNGYTEDDDESSDSAASSEFSTTQAGSVSSALPRSRLRMSEGYASSAPSLANVESNAQKDLNSRNHQNVKISYDDDDVPSAPPFGGSGKEIKESAELASAGHKTTGIRDPCGFSTNDDKNEIKPTSGAEAKENIGNKNPDQFVRTTAGAEAAMPSGSNPARLPTFHASALGPWHSVIAYDGCVRLCLHAWARGCMEAPMFLENECALLRESFSVQNVLLQSEEELLAKRSSELVNEGAAPKPKKIIGKMKVQVRKVKTVLDPPTGCSMSSLTLRAPNLKLKSVQYCFSKLHSTLSTAWQAFRKIRVAPRIPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCLLRLKSSAEEDAIRMQPGSGETHVFFPDSLGDDLIVEVQDSKGKCYGRVLAQVATIADDPVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLETAMKVQHFQQRNLLLYGSWKWLLTEFASYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLMPVIMKGHSKSTLSHQENRLLGEIKDQIEQILALAFENYKSLDDSSLSGVMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVTNNNEAILMDSVAMSTAYQKMACLCLNFKNEIFTDIEIHNQHILPSFIDLPNLSSSIYSTELCNRLRAFLLACPPSGPSPPVAELVIATADFQKDLAIWNISPVKGGVDAKELFHLYIMLWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFIDEMYDRLRETLENYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYADVLAPLKENLTPKKFGFKYVKKLTQRSVCSYTVPDELGILLNSMKRMLDVLRPKIEIQFKSWGSCIPDGGNTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNTTKLKKILQEAKESVVESDIRGRMQPLKDQLTNTINQLQSVFETHVFVAICRGFWDRMGQDVLNFLENRKENRSWYKGSRIAVSVLDDAFASQMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKKSSYYY >Manes.02G146300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11251422:11261111:-1 gene:Manes.02G146300.v8.1 transcript:Manes.02G146300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGSNPARLPTFHASALGPWHSVIAYDGCVRLCLHAWARGCMEAPMFLENECALLRESFSVQNVLLQSEEELLAKRSSELVNEGAAPKPKKIIGKMKVQVRKVKTVLDPPTGCSMSSLTLRAPNLKLKSVQYCFSKLHSTLSTAWQAFRKIRVAPRIPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCLLRLKSSAEEDAIRMQPGSGETHVFFPDSLGDDLIVEVQDSKGKCYGRVLAQVATIADDPVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLETAMKVQHFQQRNLLLYGSWKWLLTEFASYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLMPVIMKGHSKSTLSHQENRLLGEIKDQIEQILALAFENYKSLDDSSLSGVMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVTNNNEAILMDSVAMSTAYQKMACLCLNFKNEIFTDIEIHNQHILPSFIDLPNLSSSIYSTELCNRLRAFLLACPPSGPSPPVAELVIATADFQKDLAIWNISPVKGGVDAKELFHLYIMLWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFIDEMYDRLRETLENYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYADVLAPLKENLTPKKFGFKYVKKLTQRSVCSYTVPDELGILLNSMKRMLDVLRPKIEIQFKSWGSCIPDGGNTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNTTKLKKILQEAKESVVESDIRGRMQPLKDQLTNTINQLQSVFETHVFVAICRGFWDRMGQDVLNFLENRKENRSWYKGSRIAVSVLDDAFASQMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKKSSYYY >Manes.02G146300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11251422:11262622:-1 gene:Manes.02G146300.v8.1 transcript:Manes.02G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDSNALRWVRENQKEAPFSHSSLRPRIDPTTKVRGGSRGFGLPPPSKFRSGHLPSTAIPVSRTIPDDVGDSHTGSDNDMTTESDEDDVYGGRYSLDSSPQEERITNSAATGGRYGNAALRGTRYATDYGYSDVSSSMETVAGRGGNVGERLVRGNGRYAVGRNGYTEDDDESSDSAASSEFSTTQAGSVSSALPRSRLRMSEGYASSAPSLANVESNAQKFVVQDLNSRNHQNVKISYDDDDVPSAPPFGGSGKEIKESAELASAGHKTTGIRDPCGFSTNDDKNEIKPTSGAEAKENIGNKNPDQFVRTTAGAEAAMPSGSNPARLPTFHASALGPWHSVIAYDGCVRLCLHAWARGCMEAPMFLENECALLRESFSVQNVLLQSEEELLAKRSSELVNEGAAPKPKKIIGKMKVQVRKVKTVLDPPTGCSMSSLTLRAPNLKLKSVQYCFSKLHSTLSTAWQAFRKIRVAPRIPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCLLRLKSSAEEDAIRMQPGSGETHVFFPDSLGDDLIVEVQDSKGKCYGRVLAQVATIADDPVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLETAMKVQHFQQRNLLLYGSWKWLLTEFASYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLMPVIMKGHSKSTLSHQENRLLGEIKDQIEQILALAFENYKSLDDSSLSGVMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVTNNNEAILMDSVAMSTAYQKMACLCLNFKNEIFTDIEIHNQHILPSFIDLPNLSSSIYSTELCNRLRAFLLACPPSGPSPPVAELVIATADFQKDLAIWNISPVKGGVDAKELFHLYIMLWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFIDEMYDRLRETLENYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYADVLAPLKENLTPKKFGFKYVKKLTQRSVCSYTVPDELGILLNSMKRMLDVLRPKIEIQFKSWGSCIPDGGNTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNTTKLKKILQEAKESVVESDIRGRMQPLKDQLTNTINQLQSVFETHVFVAICRGFWDRMGQDVLNFLENRKENRSWYKGSRIAVSVLDDAFASQMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKKSSYYY >Manes.09G173100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37618962:37623671:1 gene:Manes.09G173100.v8.1 transcript:Manes.09G173100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMNFNIGIEDIGVAVLQELWNYVAFQAVDLVSEMRDMVLERDTFKEFSRSISELSTLLQALDAKKVEAAMGSEFTKSILETLNGQLRKARKIIKDCKSGSLFWFLLHSHSILLQMQELAKEIAKTISSFQLVNLDMALDLKTMTEQIINNLMTMELRSAAATETIAFEIENSISQNNKNQENAVKLLEKIAEAVGASANASLVQKELALLKQEKEEMEDQKKQAEAIQLSQLTQLLYSTEIVARPQNEDIPTHQQQHPITSFVCPLCNEIMADPVAIFCGHSFERKAIQDHFNGGKKHCPTCRENLLSLELTPNVNLRSSIEEWKQRDMDLKFQAAISAISSKDYSRQNKGLEDLQLLTEIPEYAIRVAEEGLIPKLVEFLKDSRLNTMATLKCLSFLAKNCDTHKEAFIEAGVVRRIVKQIYTGEKIPDAITILLELSNNETLREKIGNTKDCIPLLVSLLDNNNPDISEKAKNTLQNLSSNTSFVVKMAEAGYFQPFVARFVQGSQESRAWMVDDLLKMQIKENGMKDLEDRQFIQSLIQMLSSSSSAYKLICLKCIKKLIAYPKMAKWLLSDSTTIPALLGLISFFSPDPYLKQEASEILALLVEACPLPQFEMHQGLQELQSKQNISLFLQQIVYSDPQIKIQFLHLLVEISSKSDIARDLIRSNGDAVAHLFSSLDGDEPLVKRWILKLIHCISDDHPDGAPLPSSPGKGAAVNTLVAILTHSPDVEERCLAAGIISQLPKDDTIIDEMLHKSEVLKAIREVICSMEEEDNGMRAPAIVDKSLLENALAALLRFTEPTKPELQRQVGNLEFYPSLIRVLCSGSSLAKKRTAIALAQLSQSTSLSVSDATILATQAKNSMPLLQVIKNMPWCCSTSLDESLCAVHGAACSSRHTFCLIKADAVRPLVRTLSETESGVAEAALMALETLLTDHSTLTYATAAIVDSQGVVAILQVLEKGTLPARAKALDLFHEILKHTQISDSLFQRSERILIQLLQEDALKKKVALVLRQMNVIPDQSSYF >Manes.12G107200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:29372880:29374161:1 gene:Manes.12G107200.v8.1 transcript:Manes.12G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNTRLILLHPYIQKQGSSNRLWLLAFVSFFTIAFLVTLICTRESLPTKTTTTTTLAATTATSSISTTAPLPTTVINTLLHYASRSNDSFHMPYSEMKPISDVLRKCSSPCNFLVFGLTHETLLWKALNNNGRTLFVEENRYYAAYFEELHPEVDVFDVQYTTRMGEFRELIASTRDQIRNECKPVQNLLFSECKLGINDLPNHVYEVDWDVILIDGPRGDGPEGPGRMAPIFTAGVLARSKKGGNGKTHIFVHDYYRDVEKVYGDEFLCRENLVEANDMLAHFVVEKMSENSFQFCSNRTSKSASSSSSSF >Manes.13G151600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36647363:36648706:-1 gene:Manes.13G151600.v8.1 transcript:Manes.13G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILHYPDEINAPELQVWNNAAFDNGESEDSLRIKSSWWTQSLESSCSKENLSPVLEKSPVSLRSSVSSMPVKPLNANSLIANAQGNPLKLVSKPGSVSKNVILEEEKNRDERKIDKEIEDIEKEINRLSSRLEALRLEKAERSLKIERRGRIVPAKFMEPKQTVKIEEPLISSSKTTKINRRGVSLGPSEIFSGAKSRLLGKQEITPVPTQNRRKSCFWKLEETPELKATKERGKSLSPRSRKTVSKIQAPKMAATTVGSKKLAKKEDGFLALIQPKTLFKDGEKSATNKKPVKPGRVVPSRYNQFVTNLSNGSLTSSEVRKRSLPESDKEDGNKKRASRGSGVNQRIDSIKLKKKWEIPREVVMFKSEVVVEESVPSFAVMGDVLPKIKTSRCVNETPRDSGAAKRVADLVGKKSFFCTDEEETAGDSVCQALSFEEEDMKFSPP >Manes.12G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34497096:34503704:1 gene:Manes.12G138700.v8.1 transcript:Manes.12G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRSIWELSSRRSVRRIPRRVTSQPIPSIISSRKKFSTSFQKNAPQKAGSNGSPPESKSVFPKVLLGTTVVAGAALLAYQSGYLDQFITKEQEGLARVGIDDKEVKETQHFGEQVVVTGKEEPGNLSHSLEQSGEKVESQIDVPQVEAQQKAETRIDLPHVETKNKDETHGDLSHVQAEERIESQKGIHHHEASRETPVIDQPNIQEKYGAAQDESVAVKERQVPGFSQNINTEHSLDKVEKQSESKTYRETGEGVQVTQEQNQVKLVPGEGEMKTVPQHLAAEDRPEAALSKGTEAASLLDAYHLKDRAEESIATEGPGEEALLSAIEEFNDSFITKDGKLVMSFLEAIHAAEKRQAEMDAHTFAEEKKALKEKYEKELRDLRARELMRAEEAAILDKELKRERAKAAAAIRTLQEKMEEKLKMELEQKENEAEMSLKKFQDLAKAELAAAIASEKAAQIEKMAEANLNINALCMAFYARSEEARQIHSVHKLALGALALEDALSKGLPIQKELDSLNTYLEGIDKDSLVHLVLSTLPEDTRYHGTDTLLQLNQKFNALKGTLRHYILIPAGGGGIWAHAMAHIASWLRFKEVDPSGDGIESVISRVESFLAEGKLAEAADALQEGLRGSKAEEIADEWVKRAKNRAITEQALTVLQSYAACISLTQ >Manes.03G015600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1356336:1362966:1 gene:Manes.03G015600.v8.1 transcript:Manes.03G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETRDNDAYEEELLDYEEEEEKAPDSVAAKVNGESAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIEPTSGQVIALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVHIKVHKDLLKNECPHIVVGTPGRILALARDKELSLKNVRHFILDECDKMLESLDMRKDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Manes.14G148725.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16918924:16925033:-1 gene:Manes.14G148725.v8.1 transcript:Manes.14G148725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMISCMLKGGLLKPFKHLTIILLSLSMWTCRKPADHVSLMPSRIALPSELRMDVVSRFITNPTIHRPLSSRKTPPPEAASELRFTAPSVFSLHQPLGGGCQFIIKVFLPDDGSPQGLQLLLASLVRFTRVKT >Manes.05G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3171068:3171861:1 gene:Manes.05G038300.v8.1 transcript:Manes.05G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPSSATAAVSFPSEETSSASTETIISKDLPPDSAEEIKQFFTFTGVSSSSPQNYHCKDFFSNLISPLLKADLVQRGHVSCIFSVLPAVTNYFNGLHGGAVAAIAERVAIACARTVVADDKEIFLAELSISYLSAAPKNEVVVVDGSVVRSGRNLTVVAMEFKIKKSRKLVYIARATFYHMPIAKL >Manes.18G125700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:14536287:14537877:-1 gene:Manes.18G125700.v8.1 transcript:Manes.18G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPMLISEPLHMSLQPVIKFTEHVTTTSKLIPFCSRTRKRLVRIILTDPYATDSSSDDEEREENKEPRKGKKFVKRVKRHVEEINFEQIRPSGLPPTISRRRVSRSLKSDVSCRKKFRGVRQRPWGRWAAEIRDPNRRKRVWLGTFDTAEEAATVYDRAAVKLKGANAVTNFPNPAMTEDILDSQRDGCESCDSPSAVTSSPTSVLRYEELKPFDESFSYGDVDAFGFEIDVPLHLADFMLSGKHLAGEEFVDLDDFLVDVISEVA >Manes.03G012550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1064005:1065164:1 gene:Manes.03G012550.v8.1 transcript:Manes.03G012550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYDSLNWQHPFCGKSRQNPEIITLFVDYICPNPSDRHFQLAAINQLIGSPPKTEGSFLMVVHGHQTFQPTSAPLQAPSPTWMSNPASATHPIVSGGIASMPKGLGDSDASGTRISEVPERNNGMALGGSWIEMKSPLITQISFSSSHKNLGLLGSSCEV >Manes.09G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6727008:6730291:1 gene:Manes.09G034500.v8.1 transcript:Manes.09G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVKRERGTASASSSANTSSTRSDDGGDKMSESGRAVSPGGAALRSRYLAVKNLIIDEREDIGKVDSDKFHSIFHEVESLHQLVQKPREQVADAEALLDITKSLVTFVKAQGNDGITASDFITCLLKDFGQQDGPTSSAESGRDIMDWKNIGVAVSDIFRSCPGCHTMIGPVDAELKVRKPVVRKRVKPTESIQPEEVVDNHLAEERIDTDNNMATMFNILRKRRSVKLENLVLNRYSFAQTVENLFTLSFLVKDGRAEIKVNENGWHLVSPRNAAAASAVLSGEVVYRHFIFRLDFKDWKLMISSVGVGEEVMPNRNQINQSRDSQQNPTSVESQEAGPTTPIRKLSRNRGLVLQEETIAEDSSPESDNSRARAALIRRGKRKMR >Manes.09G034500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6727008:6730291:1 gene:Manes.09G034500.v8.1 transcript:Manes.09G034500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVKRERGTASASSSANTSSTRSDDGGDKMSESGRAVSPGGAALRSRYLAVKNLIIDEREDIGKVDSDKFHSIFHEVESLHQLVQKPREQVADAEALLDITKSLVTFVKAQGNDGITASDFITCLLKDFGQQDGPTSSAESGRDIMDWKNIGVAVSDIFRSCPGCHTMIGPVDAELKVRKPVVRKRVKPTESIQPEEVVDNHLAEERIDTDNNMATMFNILRKRRSVKLENLVLNRYSFAQTVENLFTLSFLVKDGRAEIKVNENAPRNAAAASAVLSGEVVYRHFIFRLDFKDWKLMISSVGVGEEVMPNRNQINQSRDSQQNPTSVESQEAGPTTPIRKLSRNRGLVLQEETIAEDSSPESDNSRARAALIRRGKRKMR >Manes.01G126400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32111123:32112468:-1 gene:Manes.01G126400.v8.1 transcript:Manes.01G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTIASVSFSLWLISMAIGAVAQPPVLDTNGEQLESGREYYILGRATHVTGGLTMINPNNTCPFYVGQLAIAPIAPESVPTIMFQPYAFGETIIRESRDLSVKFQTIRSQAVIRCTQSPAWRVGKDDPVTRRRFIMTGNESEADFFAIERSRDGYNLLWCPTESCRACGRPKCGYAGILTKDETRFLVLDGPALSFRFLKV >Manes.02G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10024270:10027701:1 gene:Manes.02G131900.v8.1 transcript:Manes.02G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAFYIAALLMSLMWMAEARIPGVYNGGAWQNAHATFYGGADASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPKWCHAGSPSIFITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVPCRKKGGIRFTINGFRYFNLVLISNVAGAGDIVKVSVKGSRTGWMSMSRNWGQNWQSNAVLVGQSLSFRLTGSDRRTSTSWNIVPNNWQFGQTFTGKNFRV >Manes.14G028500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2574035:2575611:-1 gene:Manes.14G028500.v8.1 transcript:Manes.14G028500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCNIVAESILLFIPLLLMPYFFILLKNIFSRNPPLPPGPFAWPIIGNLLQMGKDVHVSLANLAKVHGPLMSLRLGTQLLVVGSNAAAAREILKTHDRKLSGRFVSHSFAIGSPERNHLSLGFAKECTDQWRLLKTFSGSHLFSTKAIEAHKNVRETKVLELVSFLTVKAGENKMVDIGRAAYTTFINIMSNAIFSVDILDYEGKGSGKEIKKLFMEIIEMGGIPNLSDFYPILGGFDFQGLRKTRSDVSKKNDFLDALLDKQFSDEQINQLIAELLTAASDTSSSTIEWAMAELIRNADTMNRLRAELALVIGEDTIKDSHLHHLPYLQACVKEILRLHPPGPLLLPHRAVESCEVMGYNIPKDTQVLVNMWAVGRDPTAWDDPLSFKPERFVGSRLDFKGNDFQYVPFGGGRRICGGLILAARQIPLVLGSLVHSFDWVVPGDVDLLRMDMREKLNLTMRKKHSLYLIPKVRK >Manes.05G152000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26211217:26218474:-1 gene:Manes.05G152000.v8.1 transcript:Manes.05G152000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSREERRSLGWSSMGGDESMFGDLQGSSGQGERMFVSVRMRPLNEREIARNDVCDWECINDTTIIFRSSMLDRSMVPAAYSFDRVFGGECHTKHVYEEGAKEIALAAVSGINSSIFAYGQTSSGKTYTMRGITEYAVADIYEYIDQHKEREFVLKFSAMEIYNEAVRDLLSTDSTPLRVLDDPEKGTVIEKLTEETLTDRNHLQELFSICEAQRQIGETSLNENSSRSHQIIRLTVESCCREYSGAENSSTLTATVNFVDLAGSERASQTLTAGARLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQNSLGGNARTAMICTISPSRSHVEQSRNTLLFASCAKEVATNAQVNVMMSDKALVKQLQKELARLEGRLKSTGSISVTGDSAALLRQKDLLIEQMDKEIKELTWQRDLAQSRVESLLRSTGEDRFSRLDENSASESSEVINPVGLSKSNMDFDEASVVTPTKKTLQVPDPEDNLQLDNNNPKFFGPDPCHGNREETEDICKEVRCIETEEECVNKKTEGDASLIGSKEQEGKLASKEVRNEGAAPSTQEKDEEVNHDNSYDSHDALKRKIKELYETIGSLERASSIEAGASARGLTWTRSKSRRTVLMKIPSDIWYEKEEENENTPHTEDSLERPLDIEQKLPELELDAETRSMSREDSKTSIGSVSTEEESIKEINVDVNDTPSVLDCVAGVNKLPVPQSEMQISDVPVRMQTSLSLTHTHIPHVCSHVPLFILMVHMI >Manes.05G152000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26211216:26218474:-1 gene:Manes.05G152000.v8.1 transcript:Manes.05G152000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSREERRSLGWSSMGGDESMFGDLQGSSGQGERMFVSVRMRPLNEREIARNDVCDWECINDTTIIFRSSMLDRSMVPAAYSFDRVFGGECHTKHVYEEGAKEIALAAVSGINSSIFAYGQTSSGKTYTMRGITEYAVADIYEYIDQHKEREFVLKFSAMEIYNEAVRDLLSTDSTPLRVLDDPEKGTVIEKLTEETLTDRNHLQELFSICEAQRQIGETSLNENSSRSHQIIRLTVESCCREYSGAENSSTLTATVNFVDLAGSERASQTLTAGARLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQNSLGGNARTAMICTISPSRSHVEQSRNTLLFASCAKEVATNAQVNVMMSDKALVKQLQKELARLEGRLKSTGSISVTGDSAALLRQKDLLIEQMDKEIKELTWQRDLAQSRVESLLRSTGEDRFSRLDENSASESSEVINPVGLSKSNMDFDEASVVTPTKKTLQVPDPEDNLQLDNNNPKFFGPDPCHGNREETEDICKEVRCIETEEECVNKKTEGDASLIGSKEQEGKLASKEVRNEGAAPSTQEKDEEVNHDNSYDSHDALKRKIKELYETIGSLERASSIEAGASARGLTWTRSKSRRTVLMKIPSDIWYEKEEENENTPHTEDSLERPLDIEQKLPELELDAETRSMSREDSKTSIGSVSTEEESIKEINVDVNDTPSVLDCVAGVNKLPVPQSEMQISDVPVPEASILIGDAGNSRGVGAQRGNGDRQHRSWPSKFLRYRKKIIELWAKSHVPLVHRSYFFLLFKGDSSDNVYMEVELRRLYFLKDTSARRTNTMIDTQIVSPTTR >Manes.05G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26211217:26218474:-1 gene:Manes.05G152000.v8.1 transcript:Manes.05G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSREERRSLGWSSMGGDESMFGDLQGSSGQGERMFVSVRMRPLNEREIARNDVCDWECINDTTIIFRSSMLDRSMVPAAYSFDRVFGGECHTKHVYEEGAKEIALAAVSGINSSIFAYGQTSSGKTYTMRGITEYAVADIYEYIDQHKEREFVLKFSAMEIYNEAVRDLLSTDSTPLRVLDDPEKGTVIEKLTEETLTDRNHLQELFSICEAQRQIGETSLNENSSRSHQIIRLTVESCCREYSGAENSSTLTATVNFVDLAGSERASQTLTAGARLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQNSLGGNARTAMICTISPSRSHVEQSRNTLLFASCAKEVATNAQVNVMMSDKALVKQLQKELARLEGRLKSTGSISVTGDSAALLRQKDLLIEQMDKEIKELTWQRDLAQSRVESLLRSTGEDRFSRLDENSASESSEVINPVGLSKSNMDFDEASVVTPTKKTLQVPDPEDNLQLDNNNPKFFGPDPCHGNREETEDICKEVRCIETEEECVNKKTEGDASLIGSKEQEGKLASKEVRNEGAAPSTQEKDEEVNHDNSYDSHDALKRKIKELYETIGSLERASSIEAGASARGLTWTRSKSRRTVLMKIPSDIWYEKEEENENTPHTEDSLERPLDIEQKLPELELDAETRSMSREDSKTSIGSVSTEEESIKEINVDVNDTPSVLDCVAGVNKLPVPQSEMQISDVPVPEASILIGDAGNSRGVGAQRGNGDRQHRSWPSKFLRYRKKIIELWAKSHVPLVHRSYFFLLFKGDSSDNVYMEVELRRLYFLKDTSARRTNTMIDTQIVSPTTSLKALNREREFLAKQLEKKLTKREREELYLRWGIDLDTKQRRVQLTRRLWTDTKDLKHMKESSLLITKLVGYVEPRLAPKEMFGLSFITPSSSQKSSSWRDNVSSLSIL >Manes.09G149100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34686169:34687530:1 gene:Manes.09G149100.v8.1 transcript:Manes.09G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSATVNSLIPISLSNSSKPFSSSFLSPCLPLFIFQSPFSKTTVKPISATLIPASPSPSNQQLYQPFRPPPSPIPSQYGSLDTASRLDILTNRLGLWYEYALLIPSLIQEGFTPPSIEESTGIPGVEQNRLVVAAQVRESLVQSNTDPEIVSAFDTGGAELLYEIRLLSATQRNAAARFIVEHKLDAKGAQDLARAMKDYPRRRGEKAWESFDYNLPGDCLSFMYYRQAREHNNPSELRTNTLEMAMDVAESEKAKSAVLGELKREGEGEDAKEEETGDGVRVPVVRLRIGEVAEATRVVVLPVCKAEEREREILEAPWECRTEGEFGVVAAEKGWKRWVVLPSWEPLVGLEKGGVVVAFADARALPWKANRWYKEEAILVVADRGRKEVNVDSGFYLMAVADNGDGRSGALKVERGSELKERGVGESLGTVVLVVRPPKEETDDQLNDEDWE >Manes.07G060700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10423471:10438548:-1 gene:Manes.07G060700.v8.1 transcript:Manes.07G060700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHEAGRLKNRTVKLQQAVEEATRKGEEIYDSVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASEDDHNPISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMIAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKVFIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQKELKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLKQLSEFDNEEIYSKVHTILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKNITVEEARNKLHYLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSITSREQHAFIVTSGKELMKFPNKDCTRISLQYCDIENLPQGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKKIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGLQSFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIIDSSKWAACDVFPILISLILENLMKLEKICHGRLTSGSFNKLEILQVRNCERLTHLFSLSTIKCLLQLQEMEVEACPKMEAIVIDESENSNEVLEFNRLHSLNLRNLPNLKTFHSKMKAPPKIEEFLSEREIDTHLSLFSKTVSFPNLEDLVLYSVGCEKIWHDQLSATSSKLESLFVKDCNELKHLFTVSIVKRLLQLKTLQIYDCSSMEEIILIEEFIKEEDERMNQILFPKLDRLSLHALPKLIRFCIGYQIEFQSLRDLDIESCDALMCLVPGVPHTGMMEKQDNIEMNQNQNQNAEIQSLFNGMVGFPNLERLSLFRINELKKIWHRPLAANSFFKLKSLDVNDCQKLMAVFPSNDLERFRRMEGLRVSNCASLQEIYQLEGFNVDEAFELRSLDIAGLRSLKHVWRKDAQEVFSFQKLKSVTVSYCDVLNYLFPASIAESFLQLEELTISTCGVEDIIAKPEDVEQAPYYHFKFPQLTVLQLIDLSELRSWYPGTHIFECQKLTSLYIRNCHKIIKFSSQEIHEEGRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSRPFIIGFLERLYSVETILVQGHNTSEELEELFSCEGLDGEEEEHARTLARVKNLKLDGLYNLKHIWDPDSGLKPLLQYLETLTVFWCGSLINIAPSSSSFQNLATLDVVYCEGLANLITASTAKSMVHLTEMTVRRCDMMTEIVTRDGDDHTEDEIINFDKLKRLELDGLPGLISFCSGNNTFNFPTLENVTVNGCSRMKIFAFGLLNTPKLRGILLEYQQRWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSAELVFDLEGLSTHDALLPQLCELQLTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIEKEGAADKMILLPSLKSVVLKCLPRFFRLCSGWSNVECSLLEEMSIHKCPSLKNIFATQTPVNTIDELHTPFLRKMFPNLKKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEELVGDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLLQSLETLKIKSCADLIVLAPSCVSLGNLKTLKVYECNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEYLQNLTCFCLGSYTFNFPSLERVDVFRCPKLRTFTVRQLSAPKIHGVFAGLRSNRTFHWEGDLNATIEQIYMKYVGFKGIHDVQLSNFPMLKEKWHGQFPFENLEDLERLVVDKCAFFSNAISSNLLMHLSCLNELAVERCDLVEELFELEGLNADECDVGLLESLEELRLIDLPRLVHVWNKDPQGIMSFENLTLLQVENCSSLTNIFTLSMASGLVNLQHLEVKLCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCSSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILENLVLSDASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLIPSSVSFQNLTTLEVSKCQSLVNLLSSSTARSLEQLEKMKIEECEMIQEVIVAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSRNLTFSFPFMEEVIIVECPNMKIFAHEVSTPQLWRVQTGERKYDEEWEDIICDWEWEGSLNNTIQALFKEKKAEETGIGQCSYG >Manes.07G060700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10404261:10438548:-1 gene:Manes.07G060700.v8.1 transcript:Manes.07G060700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHEAGRLKNRTVKLQQAVEEATRKGEEIYDSVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASEDDHNPISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMIAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKVFIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQKELKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLKQLSEFDNEEIYSKVHTILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKNITVEEARNKLHYLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSITSREQHAFIVTSGKELMKFPNKDCTRISLQYCDIENLPQGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKKIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGLQSFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIIDSSKWAACDVFPILISLILENLMKLEKICHGRLTSGSFNKLEILQVRNCERLTHLFSLSTIKCLLQLQEMEVEACPKMEAIVIDESENSNEVLEFNRLHSLNLRNLPNLKTFHSKMKAPPKIEEFLSEREIDTHLSLFSKTVSFPNLEDLVLYSVGCEKIWHDQLSATSSKLESLFVKDCNELKHLFTVSIVKRLLQLKTLQIYDCSSMEEIILIEEFIKEEDERMNQILFPKLDRLSLHALPKLIRFCIGYQIEFQSLRDLDIESCDALMCLVPGVPHTGMMEKQDNIEMNQNQNQNAEIQSLFNGMVGFPNLERLSLFRINELKKIWHRPLAANSFFKLKSLDVNDCQKLMAVFPSNDLERFRRMEGLRVSNCASLQEIYQLEGFNVDEAFELRSLDIAGLRSLKHVWRKDAQEVFSFQKLKSVTVSYCDVLNYLFPASIAESFLQLEELTISTCGVEDIIAKPEDVEQAPYYHFKFPQLTVLQLIDLSELRSWYPGTHIFECQKLTSLYIRNCHKIIKFSSQEIHEEGRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSRPFIIGFLERLYSVETILVQGHNTSEELEELFSCEGLDGEEEEHARTLARVKNLKLDGLYNLKHIWDPDSGLKPLLQYLETLTVFWCGSLINIAPSSSSFQNLATLDVVYCEGLANLITASTAKSMVHLTEMTVRRCDMMTEIVTRDGDDHTEDEIINFDKLKRLELDGLPGLISFCSGNNTFNFPTLENVTVNGCSRMKIFAFGLLNTPKLRGILLEYQQRWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSAELVFDLEGLSTHDALLPQLCELQLTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIEKEGAADKMILLPSLKSVVLKCLPRFFRLCSGWSNVECSLLEEMSIHKCPSLKNIFATQTPVNTIDELHTPFLRKMFPNLKKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEELVGDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLLQSLETLKIKSCADLIVLAPSCVSLGNLKTLKVYECNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEYLQNLTCFCLGSYTFNFPSLERVDVFRCPKLRTFTVRQLSAPKIHGVFAGLRSNRTFHWEGDLNATIEQIYMKYVGFKGIHDVQLSNFPMLKEKWHGQFPFENLEDLERLVVDKCAFFSNAISSNLLMHLSCLNELAVERCDLVEELFELEGLNADECDVGLLESLEELRLIDLPRLVHVWNKDPQGIMSFENLTLLQVENCSSLTNIFTLSMASGLVNLQHLEVKLCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCSSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILENLVLSDASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLIPSSVSFQNLTTLEVSKCQSLVNLLSSSTARSLEQLEKMKIEECEMIQEVIVAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSRNLTFSFPFMEEVIIVECPNMKIFAHEVSTPQLWRVQTGERKYDEEWEDIICDWEWEGSLNNTIQALFKEKKAEETGIGQCSYG >Manes.07G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10424839:10438548:-1 gene:Manes.07G060700.v8.1 transcript:Manes.07G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHEAGRLKNRTVKLQQAVEEATRKGEEIYDSVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASEDDHNPISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMIAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKVFIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQKELKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLKQLSEFDNEEIYSKVHTILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKNITVEEARNKLHYLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSITSREQHAFIVTSGKELMKFPNKDCTRISLQYCDIENLPQGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKKIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGLQSFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIIDSSKWAACDVFPILISLILENLMKLEKICHGRLTSGSFNKLEILQVRNCERLTHLFSLSTIKCLLQLQEMEVEACPKMEAIVIDESENSNEVLEFNRLHSLNLRNLPNLKTFHSKMKAPPKIEEFLSEREIDTHLSLFSKTVSFPNLEDLVLYSVGCEKIWHDQLSATSSKLESLFVKDCNELKHLFTVSIVKRLLQLKTLQIYDCSSMEEIILIEEFIKEEDERMNQILFPKLDRLSLHALPKLIRFCIGYQIEFQSLRDLDIESCDALMCLVPGVPHTGMMEKQDNIEMNQNQNQNAEIQSLFNGMVGFPNLERLSLFRINELKKIWHRPLAANSFFKLKSLDVNDCQKLMAVFPSNDLERFRRMEGLRVSNCASLQEIYQLEGFNVDEAFELRSLDIAGLRSLKHVWRKDAQEVFSFQKLKSVTVSYCDVLNYLFPASIAESFLQLEELTISTCGVEDIIAKPEDVEQAPYYHFKFPQLTVLQLIDLSELRSWYPGTHIFECQKLTSLYIRNCHKIIKFSSQEIHEEGRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSRPFIIGFLERLYSVETILVQGHNTSEELEELFSCEGLDGEEEEHARTLARVKNLKLDGLYNLKHIWDPDSGLKPLLQYLETLTVFWCGSLINIAPSSSSFQNLATLDVVYCEGLANLITASTAKSMVHLTEMTVRRCDMMTEIVTRDGDDHTEDEIINFDKLKRLELDGLPGLISFCSGNNTFNFPTLENVTVNGCSRMKIFAFGLLNTPKLRGILLEYQQRWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSAELVFDLEGLSTHDALLPQLCELQLTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIEKEGAADKMILLPSLKSVVLKCLPRFFRLCSGWSNVECSLLEEMSIHKCPSLKNIFATQTPVNTIDELHTPFLRKMFPNLKKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEELVGDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLLQSLETLKIKSCADLIVLAPSCVSLGNLKTLKVYECNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEYLQNLTCFCLGSYTFNFPSLERVDVFRCPKLRTFTVRQLSAPKIHGVFAGLRSNRTFHWEGDLNATIEQIYMKYVGFKGIHDVQLSNFPMLKEKWHGQFPFENLEDLERLVVDKCAFFSNAISSNLLMHLSCLNELAVERCDLVEELFELEGLNADECDVGLLESLEELRLIDLPRLVHVWNKDPQGIMSFENLTLLQVENCSSLTNIFTLSMASGLVNLQHLEVKLCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCSSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILENLVLSDASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLIPSSVSFQNLTTLEVSKCQSLVNLLSSSTARSLEQLEKMKIEECEMIQEVIVAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSRNLTFSFPFMEEVIIVECPNMKIFAHEVSTPQLWRVQTGERKYDEEWEDIICDWEWEGSLNNTIQALFKEKKAEETGIGQCSYG >Manes.07G060700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10423471:10438548:-1 gene:Manes.07G060700.v8.1 transcript:Manes.07G060700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHEAGRLKNRTVKLQQAVEEATRKGEEIYDSVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASEDDHNPISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMIAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKVFIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQKELKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLKQLSEFDNEEIYSKVHTILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKNITVEEARNKLHYLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSITSREQHAFIVTSGKELMKFPNKDCTRISLQYCDIENLPQGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKKIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGLQSFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIIDSSKWAACDVFPILISLILENLMKLEKICHGRLTSGSFNKLEILQVRNCERLTHLFSLSTIKCLLQLQEMEVEACPKMEAIVIDESENSNEVLEFNRLHSLNLRNLPNLKTFHSKMKAPPKIEEFLSEREIDTHLSLFSKTVSFPNLEDLVLYSVGCEKIWHDQLSATSSKLESLFVKDCNELKHLFTVSIVKRLLQLKTLQIYDCSSMEEIILIEEFIKEEDERMNQILFPKLDRLSLHALPKLIRFCIGYQIEFQSLRDLDIESCDALMCLVPGVPHTGMMEKQDNIEMNQNQNQNAEIQSLFNGMVGFPNLERLSLFRINELKKIWHRPLAANSFFKLKSLDVNDCQKLMAVFPSNDLERFRRMEGLRVSNCASLQEIYQLEGFNVDEAFELRSLDIAGLRSLKHVWRKDAQEVFSFQKLKSVTVSYCDVLNYLFPASIAESFLQLEELTISTCGVEDIIAKPEDVEQAPYYHFKFPQLTVLQLIDLSELRSWYPGTHIFECQKLTSLYIRNCHKIIKFSSQEIHEEGRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSRPFIIGFLERLYSVETILVQGHNTSEELEELFSCEGLDGEEEEHARTLARVKNLKLDGLYNLKHIWDPDSGLKPLLQYLETLTVFWCGSLINIAPSSSSFQNLATLDVVYCEGLANLITASTAKSMVHLTEMTVRRCDMMTEIVTRDGDDHTEDEIINFDKLKRLELDGLPGLISFCSGNNTFNFPTLENVTVNGCSRMKIFAFGLLNTPKLRGILLEYQQRWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSAELVFDLEGLSTHDALLPQLCELQLTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIEKEGAADKMILLPSLKSVVLKCLPRFFRLCSGWSNVECSLLEEMSIHKCPSLKNIFATQTPVNTIDELHTPFLRKMFPNLKKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEELVGDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLLQSLETLKIKSCADLIVLAPSCVSLGNLKTLKVYECNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEYLQNLTCFCLGSYTFNFPSLERVDVFRCPKLRTFTVRQLSAPKIHGVFAGLRSNRTFHWEGDLNATIEQIYMKYVGFKGIHDVQLSNFPMLKEKWHGQFPFENLEDLERLVVDKCAFFSNAISSNLLMHLSCLNELAVERCDLVEELFELEGLNADECDVGLLESLEELRLIDLPRLVHVWNKDPQGIMSFENLTLLQVENCSSLTNIFTLSMASGLVNLQHLEVKLCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCSSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILENLVLSDASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLIPSSVSFQNLTTLEVSKCQSLVNLLSSSTARSLEQLEKMKIEECEMIQEVIVAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSRNLTFSFPFMEEVIIVECPNMKIFAHEVSTPQLWRVQTGERKYDEEWEDIICDWEWEGSLNNTIQALFKEKKAEETGIGQCSYG >Manes.07G060700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10369156:10438548:-1 gene:Manes.07G060700.v8.1 transcript:Manes.07G060700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHEAGRLKNRTVKLQQAVEEATRKGEEIYDSVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASEDDHNPISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMIAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKVFIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQKELKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLKQLSEFDNEEIYSKVHTILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKNITVEEARNKLHYLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSITSREQHAFIVTSGKELMKFPNKDCTRISLQYCDIENLPQGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKKIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGLQSFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIIDSSKWAACDVFPILISLILENLMKLEKICHGRLTSGSFNKLEILQVRNCERLTHLFSLSTIKCLLQLQEMEVEACPKMEAIVIDESENSNEVLEFNRLHSLNLRNLPNLKTFHSKMKAPPKIEEFLSEREIDTHLSLFSKTVSFPNLEDLVLYSVGCEKIWHDQLSATSSKLESLFVKDCNELKHLFTVSIVKRLLQLKTLQIYDCSSMEEIILIEEFIKEEDERMNQILFPKLDRLSLHALPKLIRFCIGYQIEFQSLRDLDIESCDALMCLVPGVPHTGMMEKQDNIEMNQNQNQNAEIQSLFNGMVGFPNLERLSLFRINELKKIWHRPLAANSFFKLKSLDVNDCQKLMAVFPSNDLERFRRMEGLRVSNCASLQEIYQLEGFNVDEAFELRSLDIAGLRSLKHVWRKDAQEVFSFQKLKSVTVSYCDVLNYLFPASIAESFLQLEELTISTCGVEDIIAKPEDVEQAPYYHFKFPQLTVLQLIDLSELRSWYPGTHIFECQKLTSLYIRNCHKIIKFSSQEIHEEGRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSRPFIIGFLERLYSVETILVQGHNTSEELEELFSCEGLDGEEEEHARTLARVKNLKLDGLYNLKHIWDPDSGLKPLLQYLETLTVFWCGSLINIAPSSSSFQNLATLDVVYCEGLANLITASTAKSMVHLTEMTVRRCDMMTEIVTRDGDDHTEDEIINFDKLKRLELDGLPGLISFCSGNNTFNFPTLENVTVNGCSRMKIFAFGLLNTPKLRGILLEYQQRWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSAELVFDLEGLSTHDALLPQLCELQLTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIEKEGAADKMILLPSLKSVVLKCLPRFFRLCSGWSNVECSLLEEMSIHKCPSLKNIFATQTPVNTIDELHTPFLRKMFPNLKKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEELVGDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLLQSLETLKIKSCADLIVLAPSCVSLGNLKTLKVYECNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEYLQNLTCFCLGSYTFNFPSLERVDVFRCPKLRTFTVRQLSAPKIHGVFAGLRSNRTFHWEGDLNATIEQIYMKYVGFKGIHDVQLSNFPMLKEKWHGQFPFENLEDLERLVVDKCAFFSNAISSNLLMHLSCLNELAVERCDLVEELFELEGLNADECDVGLLESLEELRLIDLPRLVHVWNKDPQGIMSFENLTLLQVENCSSLTNIFTLSMASGLVNLQHLEVKLCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCSSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILENLVLSDASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLIPSSVSFQNLTTLEVSKCQSLVNLLSSSTARSLEQLEKMKIEECEMIQEVIVAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSRNLTFSFPFMEEVIIVECPNMKIFAHEVSTPQLWRVQTGERKYDEEWEDIICDWEWEGSLNNTIQALFKEKKAEETGIGQCSYG >Manes.07G060700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10378169:10438548:-1 gene:Manes.07G060700.v8.1 transcript:Manes.07G060700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHEAGRLKNRTVKLQQAVEEATRKGEEIYDSVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASEDDHNPISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMIAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKVFIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRRQDVLSREMGTQKELKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLKQLSEFDNEEIYSKVHTILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKNITVEEARNKLHYLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSITSREQHAFIVTSGKELMKFPNKDCTRISLQYCDIENLPQGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKKIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGLQSFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIIDSSKWAACDVFPILISLILENLMKLEKICHGRLTSGSFNKLEILQVRNCERLTHLFSLSTIKCLLQLQEMEVEACPKMEAIVIDESENSNEVLEFNRLHSLNLRNLPNLKTFHSKMKAPPKIEEFLSEREIDTHLSLFSKTVSFPNLEDLVLYSVGCEKIWHDQLSATSSKLESLFVKDCNELKHLFTVSIVKRLLQLKTLQIYDCSSMEEIILIEEFIKEEDERMNQILFPKLDRLSLHALPKLIRFCIGYQIEFQSLRDLDIESCDALMCLVPGVPHTGMMEKQDNIEMNQNQNQNAEIQSLFNGMVGFPNLERLSLFRINELKKIWHRPLAANSFFKLKSLDVNDCQKLMAVFPSNDLERFRRMEGLRVSNCASLQEIYQLEGFNVDEAFELRSLDIAGLRSLKHVWRKDAQEVFSFQKLKSVTVSYCDVLNYLFPASIAESFLQLEELTISTCGVEDIIAKPEDVEQAPYYHFKFPQLTVLQLIDLSELRSWYPGTHIFECQKLTSLYIRNCHKIIKFSSQEIHEEGRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSRPFIIGFLERLYSVETILVQGHNTSEELEELFSCEGLDGEEEEHARTLARVKNLKLDGLYNLKHIWDPDSGLKPLLQYLETLTVFWCGSLINIAPSSSSFQNLATLDVVYCEGLANLITASTAKSMVHLTEMTVRRCDMMTEIVTRDGDDHTEDEIINFDKLKRLELDGLPGLISFCSGNNTFNFPTLENVTVNGCSRMKIFAFGLLNTPKLRGILLEYQQRWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSAELVFDLEGLSTHDALLPQLCELQLTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIEKEGAADKMILLPSLKSVVLKCLPRFFRLCSGWSNVECSLLEEMSIHKCPSLKNIFATQTPVNTIDELHTPFLRKMFPNLKKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEELVGDQEDITIIPQIRDLKLKNLPDLKHIWNPDGQLHDPLLQSLETLKIKSCADLIVLAPSCVSLGNLKTLKVYECNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEYLQNLTCFCLGSYTFNFPSLERVDVFRCPKLRTFTVRQLSAPKIHGVFAGLRSNRTFHWEGDLNATIEQIYMKYVGFKGIHDVQLSNFPMLKEKWHGQFPFENLEDLERLVVDKCAFFSNAISSNLLMHLSCLNELAVERCDLVEELFELEGLNADECDVGLLESLEELRLIDLPRLVHVWNKDPQGIMSFENLTLLQVENCSSLTNIFTLSMASGLVNLQHLEVKLCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCSSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILENLVLSDASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLIPSSVSFQNLTTLEVSKCQSLVNLLSSSTARSLEQLEKMKIEECEMIQEVIVAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSRNLTFSFPFMEEVIIVECPNMKIFAHEVSTPQLWRVQTGERKYDEEWEDIICDWEWEGSLNNTIQALFKEKKAEETGIGQCSYG >Manes.06G147450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27354021:27354512:1 gene:Manes.06G147450.v8.1 transcript:Manes.06G147450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKFLYFGKWNISRIYNVCKVFYRAEKNDRTLTSYFMDFKRVYEKLNGLMSFSTDVKTQQAQQEQMAVMSFLASLPPKFETAKSQILSVSEISSLHDVFTRVLHTESPSPSDTPSALVSYNDSGRHNNRGRHRGSFNGGRRSQRPGETVSTSDSGGIICYY >Manes.03G114800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24074791:24077299:-1 gene:Manes.03G114800.v8.1 transcript:Manes.03G114800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVKPHLQHYKNKMGKPTTTSKDWTQIYAIYGMDQWQTLFFLLCHAIFFSILSILFLFYFDPVCNLLETGVLLFTTSTSSTSAARFAAGFTGSVTALSAVCLFFAAGNFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNTVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANIEGVGEYVTCREGDVRSLPFVDNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGEMVRVLKSGGIGVVWDIVHVPEYVRRLQELKMEDIRVSKRVTAFMVSSHIVSFRKPNHHVWGLNEVRLDWRC >Manes.03G114800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24074791:24077418:-1 gene:Manes.03G114800.v8.1 transcript:Manes.03G114800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVKPHLQHYKNKMGKPTTTSKDWTQIYAIYGMDQWQTLFFLLCHAIFFSILSILFLFYFDPVCNLLETGVLLFTTSTSSTSAARFAAGFTGSVTALSAVCLFFAAGNFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNTVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANIEGVGEYVTCREGDVRSLPFVDNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGEMVRVLKSGGIGVVWDIVHVPEYVRRLQELKMEDIRVSKRVTAFMVSSHIVSFRKPNHHVWGLNEVRLDWRC >Manes.03G114800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24074791:24077418:-1 gene:Manes.03G114800.v8.1 transcript:Manes.03G114800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVKPHLQHYKNKMGKPTTTSKDWTQIYAIYGMDQWQTLFFLLCHAIFFSILSILFLFYFDPVCNLLETGVLLFTTSTSSTSAARFAAGFTGSVTALSAVCLFFAAGNFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNTVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANIEGVGEYVTCREGDVRSLPFVDNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGEMVRVLKSGGIGVVWDIVHVPEYVRRLQELKMEDIRVSKRVTAFMVSSHIVSFRKPNHHVWGLNEVRLDWRC >Manes.03G114800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24074791:24077418:-1 gene:Manes.03G114800.v8.1 transcript:Manes.03G114800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVKPHLQHYKNKMGKPTTTSKDWTQIYAIYGMDQWQTLFFLLCHAIFFSILSILFLFYFDPVCNLLETGVLLFTTSTSSTSAARFAAGFTGSVTALSAVCLFFAAGNFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNTVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANIEGVGEYVTCREGDVRSLPFVDNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGEMVRVLKSGGIGVVWDIVHVPEYVRRLQELKMEDIRVSKRVTAFMVSSHIVSFRKPNHHVWGLNEVRLDWRC >Manes.10G057386.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12921762:12923626:1 gene:Manes.10G057386.v8.1 transcript:Manes.10G057386.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKINNEHLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPKQLDEWVLCKIYNNKAEGKKNKNDEDGETVNAETEIPHADDSTAQPLPCDNSLMISEEYENTYGSYLLPPLSSDPSQPILNNMDYNPPRNPLPMDNTFNNKFAYNVQPIQTYYPPSHYSNGFQPMYGCGDQVSDINCMETATMNDHLLPPAEEPFYGRGDQVWDINCLQTAAINDNLYVPAEEPVFECGNQVSDNNYMVTADKNGYLLVIAEEPNPLLEPAAVEKSTREFDAQPSSSNQPMPVEGAYDHASSVHREEERQSSLFDMLQYFS >Manes.04G110200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378973:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLLFSSLGSEFSKLKNLEVLDVSWNSFNNTIPIQDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQDLFQLKNLTVLSFNGNRLNGSLPIRGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.04G110200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378973:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLLFSSLGSEFSKLKNLEVLDVSWNSFNNTIPIQDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQDLFQLKNLTVLSFNGNRLNGSLPIRGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.04G110200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378974:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASYFSKMELANLAKWLLLGLFILCVQIHGNNGCFEVERLGLLDLKASIGSDGFDADHPFSSWVDDSLSDCCKWERVTCNFTTGHVVDLSFNNLRQYEENIWFLNLSMFESFKELRSLNLSYSGMGGLINEKGSDGLKKLEILDLSHNYLNNSILLSMTTLPSLTTLILNGNNMRGSFPSKGFERLEVLDLGYSSFSSSNLSTLGTTLSSLKQLILSGNYMEGSFPIQEFSKLKNLEVLDVSWNSFNNTIPIQDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.04G110200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378973:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLLFSSLGSEFSKLKNLEVLDVSWNSFNNTIPIQDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.04G110200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378974:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFPIQEFSKLKNLEVLDVSWNSFNNTIPIQDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.04G110200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378974:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFPIQEFSKLKNLEVLDVSWNSFNNTIPIQDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQDLFQLKNLTVLSFNGNRLNGSLPIRGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.04G110200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378974:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLLFSSLGSEFSKLKNLEVLDVSWNSFNNTIPIQGKLHITFLLQCWNGIIAYIYMYIHSAKIQSSTDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQDLFQLKNLTVLSFNGNRLNGSLPIRGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.04G110200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378973:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLLFSSLGSEFSKLKNLEVLDVSWNSFNNTIPIQDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.04G110200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31378974:31383042:-1 gene:Manes.04G110200.v8.1 transcript:Manes.04G110200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASYFSKMELANLAKWLLLGLFILCVQIHGNNGCFEVERLGLLDLKASIGSDGFDADHPFSSWVDDSLSDCCKWERVTCNFTTGHVVDLSFNNLRQYEENIWFLNLSMFESFKELRSLNLSYSGMGGLINEKGSDGLKKLEILDLSHNYLNNSILLSMTTLPSLTTLILNGNNMRGSFPSKGFERLEVLDLGYSSFSSSNLSTLGTTLSSLKQLILSGNYMEGSFPIQEFSKLKNLEVLDVSWNSFNNTIPIQDSIKFFKFSKLKYLDMSQNNFNADILSFSSKFSSVEILDLSSNNLEGPLPDQDLFQLKNLTVLSFNGNRLNGSLPIRGLCSLTKLQELDLSHNDFGGSLLPCLQNFTSLRFLDLSGNQFTGHIPSSWLASLHSLKFIDLSFNLFEGQFSFNAFADNSNLDVVKFASDNNQFEVVSKYPGWIPSFQLKVLVLQNCALDSIPEFLFHQFKLKAIDFANNKIKGSFPMWLLENNTELDILTLRNNSFKGQIHMPTYTNFNITEFDVSDNQFVGQLQDIGGQIFPNMKFLNLSKNGFQGDFRFSPGYNCKLISLDLSFNNFSGDVPEPLISSCTSLEVLRLSSNNFHGQIFTARFKLTSLNILQLNDNQFEGTLSSLVFQIPTLYMLDLSNNSFHGEIPLWTNHMVQASYVDLSQNHFKGQISCEILLAGSHVDLSHNYLSGSLPSCFNVQHILYLGGPLHVNLQGNRLTGAIPEAFLNSSYLLTLNLRDNELSGSLPNKFVTFPNLRVLLLGGNHLNGSIPSGLCQLNKISLLDLSRNYFSGSIPHCLYNLSFGNNNWPNDQFSLPTGLWTETDNVYSESLLHMEEYSIGYDIRTFKVEEVEFVTKHMKYAFKGDILNYLFGLDLSDNNLEGQIPYQLGKLSQLRALNLSHNCLTGSIPASLSNLTQLESFDLSHNKLSGQIPSQLIALHFLAVFSVAYNNLSGKIPDMKGQFSTFDNTSYEGNPFLCGALLEKNCSSDNEPSASLGHKADGKWYEVDEVVFFSSFCGSFIMFFLGVIIVLYVNPYWRQKLCYPMEEFMFSCYYFLYDILF >Manes.13G072350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10515338:10526609:1 gene:Manes.13G072350.v8.1 transcript:Manes.13G072350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQVTFLIDGETEVKLLISIPTSATIEQLKEKIKEQTGVPVSQQTLLYDNTSLSDSCTIKEGKFCSPIVGVVLDVSPAPKEINVNVTVTCLAFRISVIVNPNKETVIQLKQKVGEIWGIETKDITLWRLSRKMQDHLPLYRYYINEGSDVHFTRTGEPLSF >Manes.13G072350.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10515338:10526609:1 gene:Manes.13G072350.v8.1 transcript:Manes.13G072350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQVTFLIDGETEVKLLISIPTSATIEQLKEKIKEQTGVPVSQQTLLYDNTSLSDSCTIKEGKFCSPIVGVVLDVSPAPKEINVNVTVTCLAFRISVIVNPNKETVIQLKQKVGEIWGIETKDITLWRLSRKMQDHLPLYRYYINEGSDVHFTRTGEPLSF >Manes.13G072350.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10515338:10526585:1 gene:Manes.13G072350.v8.1 transcript:Manes.13G072350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQVTFLIDGETEVKLLISIPTSATIEQLKEKIKEQTGVPVSQQTLLYDNTSLSDSCTIKEGKFCSPIVGVVLDVSPAPKEINVNVTVTCLAFRISVIVNPNKETVIQLKQKVGEIWGIETKDITLWRLSRKMQDHLPLYRYYINEGSDVHFTRTGEPLSF >Manes.04G093950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29954172:29954980:1 gene:Manes.04G093950.v8.1 transcript:Manes.04G093950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTIAERSLVSCMLPGCFVHPPRLSSIINFILPYLHSLIDASGSTTAVSVNLALVKSAGSMKKWHHQKYHNLVDLQ >Manes.15G115200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9143489:9151837:-1 gene:Manes.15G115200.v8.1 transcript:Manes.15G115200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSGKGALSNGSVYVCNLPQGTDENMLAEYFGTIGLLKKDKRTGRPKIWFYRDKITNEPKGDATITYEDPHAALAAVEWFNNKDFHGNIIGVFVAESKSKDDHTYNSVADPNLGGDVGGLEESARDMNGAGVGRGRGRGDTGAKAWQQEGDWLCPNTSCSNVNFAFRGVCNRCGSARPSGVSSGAGGRGRGHDALNSGAHSRSATGSTGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRGGGYKELDEEEIEETKRRRKEAEEDDGELYDEFGNLKKKFRVKTQQAESGRLPPGAGRAGWEVEELGVVDRGRRGRSKERGRERDDKESSKSRGYSDRDRHRSRSRERDRGKDRDWDYNYDRDREYDHYRERERDGDRYRYRH >Manes.08G105922.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34574981:34575767:1 gene:Manes.08G105922.v8.1 transcript:Manes.08G105922.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAYRRMWRVVPCTSRTVSRTESRKDIEEILKTKLATIKEEPELAADQVAEDKWTTVRGHLQMVAKSGVKKKSSSNKVKKRRGLVPRFSLKESYASFMAAGFASRGCSTGGLVQY >Manes.07G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20610376:20611890:-1 gene:Manes.07G075700.v8.1 transcript:Manes.07G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNEQQNQAGRHQEVGHKSLLQSDALYQYILETSVYPTEPEPMKELRELTAKHPWNIMTTSADEGQFLSMLLKLMNAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIQKAGVAHKVDFREGPALPVLDQMIAEGKYHGTFDFIFVDADKDNYLNYHKRLIELVKVGGLIGYDNTLWNGSVVAAPDAPLRKYVKYYRDFVMELNKALPADPRIEICMLPVGDGITLCRRIK >Manes.04G117900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31982643:31985026:1 gene:Manes.04G117900.v8.1 transcript:Manes.04G117900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDYDLLVEILCRLPVESLLRFRCLSKTCCSCIDSPDFIKLHLNRSIKTRTNRSLVIHEILPKGSTYAIDLDSSESNRHPMKLHHKFHGDVFDLTLWNPATKKHRNLPRFWDHCQSDDKMLRGFGYNAENDDYKVIVITQLFFMYHPTFWVYSLKANSLTRTDVLIDCSIINDNRHDSVGVFAGGSVHWVVNRRGYFDNKVILAFNLNNESFCELPKPRTRSTDLVMYLGELGGSLAISYPWDCEIWEKLYGLPKPHTKSDPFACLGEIGGSLAISFCLCINVFVDEFFVSYDLEQKSAKRCVIFTSPEPYICMRSLVPVNFNFEIVESSLEIQSKKHKRT >Manes.01G014800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3928798:3929516:-1 gene:Manes.01G014800.v8.1 transcript:Manes.01G014800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSYVAIYALMVLLLAEAQLTMAVTCSPTELSPCVAAITSSSPPSKQCCDKIKEQKPCLCQYLNNPNLKKFINTPNARKVATTCGTPFPKC >Manes.06G033812.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9201539:9202902:1 gene:Manes.06G033812.v8.1 transcript:Manes.06G033812.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKERIENLEAAVGQLQDSISNMGQGLNEKLQQIEKAISKFSEMTLPTKEGTSSVGDQTSKPFYSAKLAKLEFPKYSGDDPTEWFTRVDQFFEYQGTPAAEKVSLASYHLRGEANEWWQWLRRTHTEAGTTVTWDIFSEELWSRFGPTDCEDFDESLSKIQQTGPLRDYQREFERLGNRVKGWTQKALVGSFMGGLKSEIAEGIRMFKPKTLKDAISLARMKDEQLQRQKSDSKHESVLSPTRNKPSTPLKRLNWEEMQNRRTAGLCFNCDEKFTPGHRCAKLQLLLLDGRLETEEDDEGGGSKKALKLQRGLQF >Manes.12G096634.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:21047414:21048573:1 gene:Manes.12G096634.v8.1 transcript:Manes.12G096634.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEGSISVLKDPWKLMALQFVFLSVNVFPFSCLLLLGLIFFYDSLCHRWRFSRSFFYRLLTVRVGLFFLFFRVLYSGLVGFGLWALLLFPAFVQTLTF >Manes.14G133300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10662493:10666270:1 gene:Manes.14G133300.v8.1 transcript:Manes.14G133300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASTPILNSWISHTKESSPEPEICHQIHKFRSLTLTASSTSPFSSSSSSSHDDSVKKMIRALSENDLKHLPLPKKKPMNKIMDAVMLEEEDEKTALVGPGCFTLGASVLNEECAVGTKDDGLLGFLVDGGVGSGGGKIYGGGGGSGGSGRGDDGDSGFWDSNLGSDSTDVYYQKMIEANPGNSLLLSNYAKFLKEVRADFVKAEEYCGRAILANPNDGNSLSMYADLIWQSHKDASRAETYFDQAVKAAPDDWLEPFLFMMVYKNLQPLYSY >Manes.14G133300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10662439:10666270:1 gene:Manes.14G133300.v8.1 transcript:Manes.14G133300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASTPILNSWISHTKESSPEPEICHQIHKFRSLTLTASSTSPFSSSSSSSHDDSVKKMIRALSENDLKHLPLPKKKPMNKIMDAVMLEEEDEKTALVGPGCFTLGASVLNEECAVGTKDDGLLGFLVDGGVGSGGGKIYGGGGGSGGSGRGDDGDSGFWDSNLGSDSTDVYYQKMIEANPGNSLLLSNYAKFLKEVRADFVKAEEYCGRAILANPNDGNSLSMYADLIWQSHKDASRAETYFDQAVKAAPDDCFVLASYARFLWDAEEDEEGQEGEEMNKSSPPTLFHGTSPPVAAPS >Manes.14G133300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10662493:10666270:1 gene:Manes.14G133300.v8.1 transcript:Manes.14G133300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASTPILNSWISHTKESSPEPEICHQIHKFRSLTLTASSTSPFSSSSSSSHDDSVKKMIRALSENDLKHLPLPKKKPMNKIMDAVMLEEEDEKTALVGPGCFTLGASVLNEECAVGTKDDGLLGFLVDGGVGSGGGKIYGGGGGSGGSGRGDDGDSGFWDSNLGSDSTDVYYQKMIEANPGNSLLLSNYAKFLKEVRADFVKAEEYCGRAILANPNDGNSLSMYADLIWQSHKDASRAETYFDQAVKAAPDDCFVLASYARFLWDAEEDEEGQEGEEMNKSSPPTLFHGTSPPVAAPS >Manes.14G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10662438:10666274:1 gene:Manes.14G133300.v8.1 transcript:Manes.14G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASTPILNSWISHTKESSPEPEICHQIHKFRSLTLTASSTSPFSSSSSSSHDDSVKKMIRALSENDLKHLPLPKKKPMNKIMDAVMLEEEDEKTALVGPGCFTLGASVLNEECAVGTKDDGLLGFLVDGGVGSGGGKIYGGGGGSGGSGRGDDGDSGFWDSNLGSDSTDVYYQKMIEANPGNSLLLSNYAKFLKEVRADFVKAEEYCGRAILANPNDGNSLSMYADLIWQSHKDASRAETYFDQAVKAAPDDCFVLASYARFLWDAEEDEEGQEGEEMNKSSPPTLFHGTSPPVAAPS >Manes.09G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6707341:6707707:1 gene:Manes.09G034200.v8.1 transcript:Manes.09G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTLIFISYFILLVVSYPTQEKTIWCNTIATFPDGPCSVGGDQQCLLDFIEKYGAQSQPKNCICFPSESNSKERLCTCDIICQASPP >Manes.06G113100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24390903:24394292:-1 gene:Manes.06G113100.v8.1 transcript:Manes.06G113100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVSPVLGNIIDRPRKHRSSERIIPILGVPCDAVVALVRFIYSSRCNEDELAKFGIHLLALSHVYLVPHLKQRCARAVGQQLTIENVVDVLQLARLCDAPDLYLKCMKFVSSHFKAVEKTEGWKFMQNHDPYLELEILQFIDEAESRKKRTRRHREEQCLYMELSVAMDCLEHICSEGCTSVGPYDVQPNKKRGPCSKFSTCQGLQHLIKHFLACTNRVNGGCSRCKRMWQLLRLHSSMCEQLDSCKVPLCRQFKLKMQQEKKGDDALWRLLVRKVVSARVMSSLNLPKRKKGATEGLNTVPSD >Manes.06G113100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24390903:24394292:-1 gene:Manes.06G113100.v8.1 transcript:Manes.06G113100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHNATTTAIACDLYGHCQISDQIPREIPKPDVHIITSGGQRIPAHRVILASVSPVLGNIIDRPRKHRSSERIIPILGVPCDAVVALVRFIYSSRCNEDELAKFGIHLLALSHVYLVPHLKQRCARAVGQQLTIENVVDVLQLARLCDAPDLYLKCMKFVSSHFKAVEKTEGWKFMQNHDPYLELEILQFIDEAESRKKRTRRHREEQCLYMELSVAMDCLEHICSEGCTSVGPYDVQPNKKRGPCSKFSTCQGLQHLIKHFLACTNRVNGGCSRCKRMWQLLRLHSSMCEQLDSCKVPLCRQFKLKMQQEKKGDDALWRLLVRKVVSARVMSSLNLPKRKKGATEGLNTVPSD >Manes.11G051335.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6506696:6510438:-1 gene:Manes.11G051335.v8.1 transcript:Manes.11G051335.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSKVEVFSKKEVSTGSWLCAEVISGNGHTYSVRYGWFPSSDKAVVERVPRKAIRPCPPPVQGVDWVCGDLVEAFQNLSWQTARIMKVMDKNSFLVRILGKCEALRVHKSHLRVRQRWQDGKWIVVEKGLEIWRMILGKNQPTMGINQYFGDNHGLIGRSIEIQKHHMVSTRKLKRGSSLGLYDLEAHPVTAQKKRLVEKDGSHHPICSVHPSPTLEKVDTDVYPNVVLGKNHVLSSFNVGTAEFSRMDESRGNDNSLVESSISVHTDTCASSVGSCSEMGRDSQSLPFRFCSPHFNCIEDYCSDAESSSGVDYEKERCSLDAQLGVEFHRSELRKYCAVIEELYALGPLSWEDEAKLTNLRHVFHISDDEHLMVLRKLIHSNSMLVS >Manes.11G051335.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6507892:6510310:-1 gene:Manes.11G051335.v8.1 transcript:Manes.11G051335.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSKVEVFSKKEVSTGSWLCAEVISGNGHTYSVRYGWFPSSDKAVVERVPRKAIRPCPPPVQGVDWVCGDLVEAFQNLSWQTARIMKVMDKNSFLVRILGKCEALRVHKSHLRVRQRWQDGKWIVVEKGLEIWRMILGKNQPTMGINQYFGDNHGLIGRSIEIQKHHMVSTRKLKRGSSLGLYDLEAHPVTAQKKRLVEKDGSHHPICSVHPSPTLEKVDTDVYPNVVLGKNHVLSSFNVGTAEFSRMDESRGNDNSLVESSISVHTDTCASSVGSCSEMGRDSQSLPFRFCSPHFNCIEDYCSDAESSSGVDYEKERCSLDAQLGVEFHRSELRKYCAVIEELYALGPLSWEDEAKLTNLRHVFHISDDEHLMVLRKLIHSNSMLVS >Manes.11G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30842778:30856997:1 gene:Manes.11G143900.v8.1 transcript:Manes.11G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIMRRREILAVLVLFFGVFATTSFSSNVTYDHRALLINGKRRVLISGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNEHEPVRNQFNFGGRFDLVKFVKLVAEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMQRFTAKIVDMMKQEKLYASQGGPIILSQIENEYGNIDSAYGPAAKTYIKWAANMAVSLDTGVPWVMCQQADAPDPVINTCNGFYCDQFTPNSKNKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFFQLGGTFQNYYMYHGGTNFDRTSGPLISTSYDYDAPLDEYGLIRQPKWGHLKEVHKAIKLCEEALIATEPTTTSLGSNLEATVYKTGSGSCAAFLANVAMTDKTVTFNGNSYNLPGWSVSILPDCKNVVLNTAKVNSMTIIPSFTHQFQASDVASSNALNSVWSWINEPVGISTKDAFVKSGLLEQINTTADKSDYLWYSLSINIKGNEPFLEDGSQTVLHVESLGHALHVFINGKLAGSGTGKSSGSPKVAVDIPITVVPGKNSIDLLSLTVGLYNYGAFFDLVGAGITGPVQLKGKNGTTVDLSSQQWTYQIGLKGEELGLSTGSSSQWISRPNLPTKQPLIWYKTSFNAPAGNDPIAIDFTGMGKGEAWVNGQSIGRYWPIIASPNSGCTDSCNYRGAYNANKCLKNCAKPSQTLYHVPRSWVKSTGNTLVLFEEVGGDPTQIGFATKQVESLCSHISESHPLPVDMWNTDSEARKKSGPVLSLECPHPNQVISSIKFASFGTPHGTCGSFSHGQCSSSSALSIVQKACVGSKSCSLGVSVNTFGDPCMGVKKSLAVEVSCT >Manes.12G149200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35751865:35754599:-1 gene:Manes.12G149200.v8.1 transcript:Manes.12G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKPYLAVILVQAIYAGMFLVSKAAFNGGMNNFVFVFYRQAAATLFLVPLALFLEWKNRPPLSFMIFCKIFLLSLCGITLSLDIYGIALVYTSATLAAATSNCLPVITFFLALLLRMEVLKLKTSSGIAKLIGIAACIGGAATLAFFKGPHFKVLCHHQIFGSYHSQEVAQHVSSGKTWIKGCFLMLMSNTLWGLWLVLQGGVLKSYPSKLLFTAFLCFLSSIQSFVIAIAFVRDPQEWMLGWNVSLLAVIYCGIMVTGVTFYVQAWVIEKKGPVFLAMSTPLNLIFTIFCSAILLCEIISLGSLMGGALLVGGLYSVLWGKSKEEKMNEKTCIRGEAEKECSEMKQVIPVANKGESPV >Manes.06G133700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26210374:26213847:1 gene:Manes.06G133700.v8.1 transcript:Manes.06G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSEEPKTDTTQPLKKRSPSWSDLWLKNTKPLKHVVFAMQLQSLSSPTPNKGSKTKTQSQTPIPNFSKLDRTLLLSDELLLKILSKLTDSQRYHNCLVCKRWLNLQGRLVRSLKVLDWDFIESGRLIARFPNLTHVDLINGCVVTPHNSCIWLNHRFLSMNINSEVYGFVPNWRICEENLLPVEVVDRGLKALASSCPNLRKLIVIGASELGLLSVAEECPTLQELELHKCNDNVLRGIAACENLQILKLVGKVDGLYTSLVSDIGLTILAQGCKRLVKLQLTGCEGSFDGIKAIGQCCQMLEEFTLCDHRMDDGWLAALSYCENLKTLRFISCKKIDLNPGPDEYLGSCPALEKLHVQKCQFRDKNSVRALFKVCQAVREIVIQDCWGLDNDMFSSASVCRRVKLLSLEGCSLLTTHGLEALLLTCNELQHLRVESCKHIKDCEVSAALSTLFSVLKEFKWRPDTKSLLVSSLEETGLGKKGGKFFKKS >Manes.08G156081.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:20704417:20705693:1 gene:Manes.08G156081.v8.1 transcript:Manes.08G156081.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSIFISPEPPPFPAPPRSVDLSPLEFILGLIAVIAIPALIYTFFFSIRCPPTLFRRRRWSNSGEFPPIDENPPENKELVSDVKYLKETHVKDIGSECPVCLSVFADGEEVKQLSVCKHSFHASCINMWLNSHSNCPVCRASVPVKRSNNGTSTSTATAVSSSSRANDLHQGLPDATSLV >Manes.08G105500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34547778:34550564:-1 gene:Manes.08G105500.v8.1 transcript:Manes.08G105500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCFQSRKSKKWASSREYGSSLSARWNKKGDEDKKGEANDNIAAQAFTFRQIATATKNFKQECLLGEGGFGRVFKGTLASNGQVVAVKQLDRSGLQGNKEFLVEVLMLSLLHHPNLVDLVGYCADGDQRLLVYNFIEGGSLQDHLLDVSPNKKPLDWFVRMKIAFGAAKGLEYLHDKANPPVIFGDLKPTNILLDEDFIPKLSDFGLVKLGSSGGTVNVVSRLMGTYGYSSPEYVRGGELTLKTDVYSFGVILLELITGRRAIDPTKPIHEQNLVAWAQPIFRDPKRFPDMADPVLHKQFPEKDLNQAVAIAAMCLQEESAARPLMSDVVTALSFLSAGNEDPLNPPLEEISHHQQKDEADV >Manes.12G136900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34383008:34388525:-1 gene:Manes.12G136900.v8.1 transcript:Manes.12G136900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGDNFTEGVSKMRAVLGDMTNRPLKREFSSISNDLRLKSGDEYGNRLVTEDGDSRSPKQLCLGVEKLVKGKCKTKFVVENSNEKGLFLMEDKQPSDSSPADSVIDTSQENNVSIISHVPNEKKETSPLLDVSVNVLRSGTMAHSVGEGVDASRDSSASTGSMPTNSWSCKKYSDDEGGLTSDVKQSNPEGHVGTGVDKVLGVGMLPTTKYGSVEWSRLPMSQGSKSFELKRCTALKDDGYANLSAGADLMKACSCSFCLKAAYIWSDLHYQDIKGRTAALKKSQKEASILVNKYARGKQTDLPGQGNSNKSSKLESDLTAQWRSLFHRMEDIFAHESNQLQASFVALKDLRENCKMDLERATGMPSDK >Manes.12G136900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34383008:34388525:-1 gene:Manes.12G136900.v8.1 transcript:Manes.12G136900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGDNFTEGVSKMRAVLGDMTNRPLKREFSSISNDLRLKSGDEYGNRLVTEDGDSRSPKQLCLGVEKLVKGKCKTKFVVENSNEKGLFLMEDKQPSDSSPADSVIDTSQENNVSIISHVPNEKKETSPLLDVSVNVLRSGTMAHSVGEGVDASRDSSASTGSMPTNSWSCKKYSDDEGGLTSDVKQSNPEGHVGTGVDKVLGVGMLPTTKYGSVEWSRLPMSQGSKSFELKRCTALKDDGYANLSAGADLMKACSCSFCLKAAYIWSDLHYQDIKGRTAALKKSQKEASILVNKYARGKQTDLPGQGNSNKSSKLESDLTAQWRSLFHRMEDIFAHESNQLLNGIP >Manes.12G136900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34383008:34388533:-1 gene:Manes.12G136900.v8.1 transcript:Manes.12G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGDNFTEGVSKMRAVLGDMTNRPLKREFSSISNDLRLKSGDEYGNRLVTEDGDSRSPKQLCLGVEKLVKGKCKTKFVVENSNEKGLFLMEDKQPSDSSPADSVIDTSQENNVSIISHVPNEKKETSPLLDVSVNVLRSGTMAHSVGEGVDASRDSSASTGSMPTNSWSCKKYSDDEGGLTSDVKQSNPEGHVGTGVDKVLGVGMLPTTKYGSVEWSRLPMSQGSKSFELKRCTALKDDGYANLSAGADLMKACSCSFCLKAAYIWSDLHYQDIKGRTAALKKSQKEASILVNKYARGKQTDLPGQGNSNKSSKLESDLTAQWRSLFHRMEDIFAHESNQLQASFVALKDLRENCKMDLERATGMPSDK >Manes.04G009666.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1239894:1241428:1 gene:Manes.04G009666.v8.1 transcript:Manes.04G009666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDHDVTFTSIFWTELFKLSGTKLCFSSAYHPQSDGQTEVTNRTVEMYLRCFSSSHPHKWCDWLSWAEFCYNTSYHSALKSTPFETVYGRAPLRLLSYLPGNSTVETVDVILQQRDSMLQLLRNNLQLAQNRMKLHYDRSHRPLEFNVGDVVLLRLQPYRQSSIASRKNQKLATKYYGPFEVLERIGSMAYRLKLPPDSKLHPVFHVSTLKPYHSDSGNFETILPPITEQQPLVPFAILGQRCRSGKQEVLVHWSQSSPADSSWENVQDLLARFPDFTLADKLPNGAGSTVTIPLQVYTRNQQKQQPRVMLMEVED >Manes.14G011350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:754357:755294:1 gene:Manes.14G011350.v8.1 transcript:Manes.14G011350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYIYMERGKKKKKNEKRSAICGWRVISVVHCSTVLSSMTLILFLFETPLSSFIYMRILRFTHSSCACISPTLSNSNPFANLHCTAHHQV >Manes.03G202500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31820243:31830778:-1 gene:Manes.03G202500.v8.1 transcript:Manes.03G202500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSFSLSLSHPTTVPRSNHTFDSLPFAPSKPINLRLCGLRREALGFSSLSRRGLKLHTPTLSKSISASLTDNGSPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRNNLTNSLKALGVDILTGVGTVLGPQKVKFGKAGFPDNIMTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIVIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVTIDLIDAKTKEHKDTLEVDAALIATGRAPYTNGLGLENINVVTQRGFIPVDERMRVIDADGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQARERGETEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVEAHVASQLSEPVAV >Manes.03G202500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31821282:31830591:-1 gene:Manes.03G202500.v8.1 transcript:Manes.03G202500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSFSLSLSHPTTVPRSNHTFDSLPFAPSKPINLRLCGLRREALGFSSLSRRGLKLHTPTLSKSISASLTDNGSPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRNNLTNSLKALGVDILTGVGTVLGPQKVKFGKAGFPDNIMTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIVIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVTIDLIDAKTKEHKDTLEVDAALIATGRAPYTNGLGLENINVVTQRGFIPVDERMRVIDADGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQARERGETEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKLKTEPSMFKLVTEVFDLWGRLKLMWQVN >Manes.11G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11231962:11233581:1 gene:Manes.11G076200.v8.1 transcript:Manes.11G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKIASPHPLPYPLSSISLPSKLNTKELTRHHPQLWLGQGRAPTRHNRQVLRVSLQEHVSSSYLFMEQLQLHHHYYQNQDSMFLLAESVGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFIGEGEGKKAPNQVAGEILSFFTRNNFVVTDRGETITFEGMMVPSRGQAALLTFCTCISLASVALVLTITFPDFGNNWFWITILSPLAGAYYWKRASRKEQIKVKMMVADDGTLTEIVVQGDDQQVDQMRKELQLSEKGMVYVKGLFER >Manes.11G013900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1304104:1305869:-1 gene:Manes.11G013900.v8.1 transcript:Manes.11G013900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLAHSLFILSLSVLTLVNPTPVYSFDKYFVIFGDGLYDPGNKILLNPDKYLPSFHSPYGTTFFTGHSTGRYSDGRTVADFIAEKEGFPFLIPALNVSEDFTYGANFAMEGASVLDIKTDNTSLNLTSQVELFNFLIDLSIIAFNETEVKRRVNKAVYLISIGAQDYFDSVYFIGNSTIIVEKVIAGILDAIKALYAIGARKFVVQNVAPLSGLPFVKQKYGKLNETLAKYAEAHRDELPRRLRELIETCPELNYTVFNAYDAIGCLIDAPEYYGFKNGSSACCGNSTYRGEACGALEYEYCVCGNKTEYVFFDGVHNTDAANELLAEWMWNKTSGYVQPYGVHDFFKSSSDNSNLQIQIQMPRTQAARARPFKVYY >Manes.04G128700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33158416:33166121:1 gene:Manes.04G128700.v8.1 transcript:Manes.04G128700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTSGSMQWGLRLKGKILVGLVVASLVVAAESRLLQQEDSTHNKTQTHNSTQAHNATQTHHTAQTLNTTQNLVHPHQGNKSRSDYKHVWPKMKFGWKMVVGAIVGFLGAAFGSAGGVGGGGIFVPMLTLIVGFDAKSSIAISKCMITGAAAATVYYNLRQRHPTLEMPVIDYDLALLFQPMLVLGVSIGVTSNVVFADWMITVLLIIIFIFMSTKAFLKGIETWKKETIAKKGAIRCHESNGEDVEVVVPKPPPESISESAQTETNNPKKDKAKVSIVENVYWKALGLLFAVWLMILALQIAKNYSRTCSVPYWLLDFSQIPVAASVTIYQAVRLYKGRRKIASKGEAGTNWRVLKLIIYCFLGLVAGMVGGLLGLGGGFILGPLFLEMGIPPQVSSATATFAMTFSASMSVVEYYLLKRFPVPYALYFFVVTTIAGFVGQHVVKKVINMLGRASIIIFILSFTILISAMSLGGVGLADMIKKIERKEYMGFDDICSYA >Manes.11G057850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6990876:6993072:1 gene:Manes.11G057850.v8.1 transcript:Manes.11G057850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIFDAFGDGRSVTEIAAELAQAASSKKISRPPVKASSRTSKPSSRSTKSARSSSHGGSSSTSTPAEGFRSVPASSEVVRETSLAVAEQTQATEQVGQGAVRSTEEALGGKFKSPVEDKEISRTGMEIVLLEDQSPEVSSEGAPAPVRTEPEGSEGVPSKTGGKRPTPSGTPVPSPARKKSRTAVGPSPPLPPIGKGKGVSAVPSSSPTDNILDLSSISSESPASAVAALLRERMFGGITEASDPRLLALTGHLASSTKEQVSFQSRSREELGSTIGEMLLMEARRDENLTATSDARGHLAAAREQIQSLRVELHSALEASKRAEDKAVEAAEHSKSLEAAEVETRCVEVVKQLSSMTTALQERDEAVSQRAEVQRQHEALKVDFEGLQAHLSEVKAQKESALARVEVLEQELGTSSERIKDLSSSAEEFNLRQQQLKNEVRALERKCLALLEVVKYAEGKAQLKREQYIAEYQESDELKVKIDQACEAHLQDYKDSSEFKEFVAEACEEHLDEYKASGEMKKAILDKAYRMYVTGYNRGLREARQAPDVPLAKLRRREVDSDGESVLYGEDDCPLPRGDSRRNIDRPSESSSEESEVGSEEDDLDSEKDGLHPRSEVAPTINVESSSPRDTELPSGMAVNRDNAGEGVLTDVSPLRTIYPPSSPEK >Manes.04G063967.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23909795:23912514:1 gene:Manes.04G063967.v8.1 transcript:Manes.04G063967.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFALFINSIFIFIQSYSCDAKDLKACKFDAIYQLGDSILDTGNSIVEMPQLYNARFPYGQTINKATGRSSDGYLIIDYIAQSAGLPLLEPYENPNSTFSHGVNFAVAGATASSIKTIINWHIPLPYTNSSLYVQNKWLKKHLSAICNDKKEYKRKLKHALYMIGTVGCNDYIIAFQYGKSIEEVKVMVPRVIQSIKTAIRKVIDYGAYRVVVPGAFQLSYYNDFFMYHNNHLQVALQKIRKKNPHIHIIYGDLYGALEWILDNFSNLGFKSLRKGCCGIGGRFNYNPSIKTMCGAHGVPICSNPKEYVFWDGSHFTHQANKYMSKWLIKDILPQLHCNI >Manes.14G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4067278:4068867:-1 gene:Manes.14G046800.v8.1 transcript:Manes.14G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDKFPPNLPSKIQKLSISAQICLRIFAVAATLAAAWLTLTNKESTQIGVFVFDARYNYSSALRFFAFANVVVCAFSALSLIFLFVVCRYGSNPAHFFFMFLNDLMMMCLVLAGCAAATAEGFIGKYGNRHSGWMPICDHFGRFCKTGTVSAILSYLALLFLLMLTVNSASNSRQIHK >Manes.01G112301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31063855:31066467:-1 gene:Manes.01G112301.v8.1 transcript:Manes.01G112301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTFIFLLLIFLTSSNIRTSSCADDYRYANCSQAFECGNIQNIGYPFWGINRADYCGQPGFELKCQDNVPLITAASITLRILDISPENQALMVAREDFNSNICPHHFYNTSLDSTIFRYASELRNLTLLYGCSSVPKVPFPEILREPDCFINGTNVKVFCVTRNLPFNPCNSSVTVPILETSAEALDNNEITTYEALRNGFGLQWRISNDQCENCIDSGGSCGFNRTTNEFACFCQDQPTAISCSSRPGA >Manes.01G165601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34949457:34951012:-1 gene:Manes.01G165601.v8.1 transcript:Manes.01G165601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFTIGLITAWYSSNIGVLLLNKYLLSNYGFKYPIFLTLCHMMSCSLLSYIAITWLKVVPLQTMRSRVQFLKIYALGIIFCLSVVTGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKRESWVTYVTLIPVVTGVVIASGGEPSFHLFGFIVCVGATAARALKSVLQGILLSSEGEKLHSMNLLMYMAPVAVVCLVPATIFMEGDVLGITVAHARDDKRFILYLTFNSALAYFVNLANFLVTRHTSALTLQVHTPLFQAFSFPVLHFH >Manes.01G165601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34948189:34951012:-1 gene:Manes.01G165601.v8.1 transcript:Manes.01G165601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFTIGLITAWYSSNIGVLLLNKYLLSNYGFKYPIFLTLCHMMSCSLLSYIAITWLKVVPLQTMRSRVQFLKIYALGIIFCLSVVTGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLKRESWVTYVTLIPVVTGVVIASGGEPSFHLFGFIVCVGATAARALKSVLQGILLSSEGEKLHSMNLLMYMAPVAVVCLVPATIFMEGDVLGITVAHARDDKRFILYLTFNSALAYFVNLANFLVTRHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMFGYSITVIGVILYNEAKKRYK >Manes.18G094200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8726364:8727582:1 gene:Manes.18G094200.v8.1 transcript:Manes.18G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKCKSYPEYSSSFSGEFGFEDGSNSYSFNGPCNKGNGFATSNDPEMKRKKRIASYNVFTMEGKLKSSVRNSFKWIKNKFGPGDVRYGM >Manes.02G215420.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36060108:36073896:-1 gene:Manes.02G215420.v8.1 transcript:Manes.02G215420.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASLVVDPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDAKQRVKHTVEVAGRNPLEQIEQDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLITRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDAHVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVKANVTHMVDLRSVQQEIAEWLHFELGAVSIEVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDLRLEALEHQEAWNLFEKKVGDLKDSNLQSIAMEVAKRCAGLPILIVTVATALKNKQLFEWKDTLESLKKFDGKGYEERLYSALELSYNFLRNEEKSLLLLLGQLRPVVLIQDLLKYVVGLGLYNELITVEATRNRLLKVISDLKLSCLLLEDGDHKRVKMHDVVHSFAASFVSKHDQVLAAAYEAELEEWPNEDFFKQCTSISLAYCKIPKLPEVFECPKLKSFFLFNQDLTIKISENLFSRMKELKVLDLTEINLSPLPSSLQSLENLQTLCLDFCDLEDIAAIGELKQLQVLSLMGSKIIQLPNEVRKLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIVDWMKMNYFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPRFTSFCSQVKVHSTSQRARNQEIASTASNEIVCEADAEVLVALFNEKIRFPNLADMNLVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAHLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMGNCPRLQTFVSTQVNAALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGKQLLEIFPSKLLEKFLVNLESLTVKNCDSVKEVFDLQAIIKEREVHVVRHTQLRTLDIENFPNLIQIWNRDPHGILSFYNLREVCAWYCPNLKKLFPFSVAQCLPHLEFLSIGDCGMEEIVTKEERAEALAIIPKFEFRGLKTMVLVGLDEMKYFYSGKHTLDCPQLKHLNVFFCANLQTFNFESQEIQEMLMDKQEDELELQIPQPLFSFREIIGNLEELVINDQDAAMIQQSQFPMDLFVKLKFLHLQSFGYSFLNLPLNLLQKFPNLEKLVLRECYFEELLQHGHGHDLVLSQIRCLQLTRLPNIRHVWNQDSPFFQNLETLQIRSCNGLTNLAPSSATFQNLTTLIVRRCNGLSSIVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFNGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSVAVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPILRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPQLNSIILESLPRLINFSSGSGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWHAQHLKMSSYTENLTSLIVDGCRSLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTAEHCEELLKIFPSMLLRRLQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRNLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVWDCPNLKAIFPATIAKNLLQLETLNVQSCGGVEEIVAQDQGTEASIEFLFPCLELLILRELNELKCFYSGIHTLETSLLKHLIVYHCEKLNIFCPESENLLETDTESQPLFSFRKVVSNLEKLTLTRKDAAMILEGQFPADLFHKLTNIGIHCFHDESAVFPFDLLERFQPMEILQVDCSQFKKLFLYDGSVGRKKYAKVLRLVRVLALNNLPNLTDIGNQDSQLDQVLQSLELLHVERCNSLVALAPSSTFQNLITLKVLKCNGLLSLVTSSTAKSLVQLTTMSIKECDGLKEIVANDGDEIELKEDIIFRKLESLELHYLPSLVCFCSSEHNFKFPFLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNASIQQLFPEIVCMC >Manes.02G215420.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36060469:36073896:-1 gene:Manes.02G215420.v8.1 transcript:Manes.02G215420.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASLVVDPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDAKQRVKHTVEVAGRNPLEQIEQDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLITRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDAHVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVKANVTHMVDLRSVQQEIAEWLHFELGAVSIEVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDLRLEALEHQEAWNLFEKKVGDLKDSNLQSIAMEVAKRCAGLPILIVTVATALKNKQLFEWKDTLESLKKFDGKGYEERLYSALELSYNFLRNEEKSLLLLLGQLRPVVLIQDLLKYVVGLGLYNELITVEATRNRLLKVISDLKLSCLLLEDGDHKRVKMHDVVHSFAASFVSKHDQVLAAAYEAELEEWPNEDFFKQCTSISLAYCKIPKLPEVFECPKLKSFFLFNQDLTIKISENLFSRMKELKVLDLTEINLSPLPSSLQSLENLQTLCLDFCDLEDIAAIGELKQLQVLSLMGSKIIQLPNEVRKLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIVDWMKMNYFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPRFTSFCSQVKVHSTSQRARNQEIASTASNEIVCEADAEVLVALFNEKIRFPNLADMNLVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAHLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMGNCPRLQTFVSTQVNAALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGKQLLEIFPSKLLEKFLVNLESLTVKNCDSVKEVFDLQAIIKEREVHVVRHTQLRTLDIENFPNLIQIWNRDPHGILSFYNLREVCAWYCPNLKKLFPFSVAQCLPHLEFLSIGDCGMEEIVTKEERAEALAIIPKFEFRGLKTMVLVGLDEMKYFYSGKHTLDCPQLKHLNVFFCANLQTFNFESQEIQEMLMDKQEDELELQIPQPLFSFREIIGNLEELVINDQDAAMIQQSQFPMDLFVKLKFLHLQSFGYSFLNLPLNLLQKFPNLEKLVLRECYFEELLQHGHGHDLVLSQIRCLQLTRLPNIRHVWNQDSPFFQNLETLQIRSCNGLTNLAPSSATFQNLTTLIVRRCNGLSSIVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFNGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSVAVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPILRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPQLNSIILESLPRLINFSSGSGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWHAQHLKMSSYTENLTSLIVDGCRSLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTAEHCEELLKIFPSMLLRRLQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRNLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVWDCPNLKAIFPATIAKNLLQLETLNVQSCGGVEEIVAQDQGTEASIEFLFPCLELLILRELNELKCFYSGIHTLETSLLKHLIVYHCEKLNIFCPESENLLETDTESQPLFSFRKVVSNLEKLTLTRKDAAMILEGQFPADLFHKLTNIGIHCFHDESAVFPFDLLERFQPMEILQVDCSQFKKLFLYDGSVGRKKYAKVLRLVRVLALNNLPNLTDIGNQDSQLDQVLQSLELLHVERCNSLVALAPSSTFQNLITLKVLKCNGLLSLVTSSTAKSLVQLTTMSIKECDGLKEIVANDGDEIELKEDIIFRKLESLELHYLPSLVCFCSSEHNFKFPFLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNASIQQLFPEINARE >Manes.14G162716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25541925:25542848:-1 gene:Manes.14G162716.v8.1 transcript:Manes.14G162716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFFAYIPLAFFSLQVALSATPPLESNLSSSSSPQLPIGSLLLSAASPSPDMDLPPPTNSPMASPPVLPPSDLLGLGASPTSTHSPEKSRVPMLGFYGTE >Manes.11G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3675541:3676079:-1 gene:Manes.11G038400.v8.1 transcript:Manes.11G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKALIEDTDMPVKMQIQAMASASQALDHYDVLDLKSIAAHIKKEFDMKYGGGWQCVVGSNFGGFFTHSRGTFIYFKLETLNFLIFKGVSSSSSAA >Manes.17G035800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21591251:21592057:1 gene:Manes.17G035800.v8.1 transcript:Manes.17G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWVSLMAALLFIEALFAASCVPSEAAISKGSFEDNFSIMWSEEHFKTSEDGQIWYLSLDKETGCGFQTKQRYRFGWFSMKLKLVGGDSAGVVTAYYVSLS >Manes.11G036900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3549371:3554780:1 gene:Manes.11G036900.v8.1 transcript:Manes.11G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTSPPPHDAWLLAYQKLLPQWQSLTPSSHLSTIPISISRVNQFDAARLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDDRAVEPREKVRTGLEGPGLTVAQKIWYCIATVGGQYVWARLQSFSAFRRWGDSERRPLARRVWILIQRVEGLYKAASFGNLLIFLYTGRFRNLIERVLRARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKSLLSSFSKDKSSSSTGDDGTCPVCRSIPTTPFLALPCQHRYCYYCLRTQCSASPAYRCPRCSEPVVAMQRHGFFAPSPE >Manes.11G036900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3549371:3554780:1 gene:Manes.11G036900.v8.1 transcript:Manes.11G036900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDDRAVEPREKVRTGLEGPGLTVAQKIWYCIATVGGQYVWARLQSFSAFRRWGDSERRPLARRVWILIQRVEGLYKAASFGNLLIFLYTGRFRNLIERVLRARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKSLLSSFSKDKSSSSTGDDGTCPVCRSIPTTPFLALPCQHRYCYYCLRTQCSASPAYRCPRCSEPVVAMQRHGFFAPSPE >Manes.06G079602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21549607:21551895:1 gene:Manes.06G079602.v8.1 transcript:Manes.06G079602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPSSSPPSASHSQNSATPLMPPKVEDGGAKNAVPIDVMLDSVENMEEYSKYETDYTHRLIAKYFSKNPYGREIFEEKMRVGEETILSSRWSCTQSYADPVKGFEEQNNAGSTSEA >Manes.15G031600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2460300:2469490:-1 gene:Manes.15G031600.v8.1 transcript:Manes.15G031600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSESRRLYSWWWDSHNSPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRQAHRTMAEAFPNQVPFVLADDSPSSSSGPEGEPHTPEMPHPIRALLDPDDLHKDALGLSSANLHTMKSNGGYSEGSDSGISRKGLKQLNDMFGSGVMISKVSEGKMKKSPNIHEMVEDETEVQNLKKTLAEIQAEKEAVLLQYQQSLQKLSGLEKELKEAGGLEERASRAEIEVKILKETLVKLESERDVGLLQYNKCLERISSMENMISLTQEDAKGLTERAIKAEIEAQNLKQELSALETDKEVGLLQYNQCLDLISTLENKISLAEAKAKILNEQTERAESEVKALKEALARLNKEKEAMEIRYEQCLERMAKMESELSHAQDDVKRLNSEVLTGAAKLKDADEQFLLLERSNQSLHLEADSLAEKIATKDQELLEKENELEKLQTSLQYEHSRFVQIETALQTLQKLHSQSQEEQGALAQELQRKLQLLKDLEICNNDLQEDLQWFKEENQSLGALNSSSRTSIMNLQNEILSLKEVKEKLEMDLTQQVAQSNFLQEEIQHLKEEIEGLNQRYQDLIGQLCSLGLDPQCLNSAIKDIKDLQDENLKLKEVCKKDRDEKEDLYGKLRDMNELLQRNVALERSLSELNGKLQGSIERVKEFQESCQFLQGEKSSLVAEKAILLSQLQTMTENMQKLLDKDAMLENSLSHANVELEGLREKSKGLGEFCQMLKDEKSNLQNERSILLSQLENVEQRLGNLERRFTRLEDKYTDLEKEKELALCEVRELQSYLGVEKQERASYIQSSESRLTDLESQVLLLIEESKSSKKEFEEELDKAANAQVEIFILQKFIQDLEEKNLSVLVECKKHIEASKLSNKLISELESENLEQQVEVEFLLDEIEKLRMGIHQVFRALQFDPFNEHEDGIEEEQIPLLQILDNIKDLKGTLQRNEDEKQQLAVENLVLLTLLGELRSEGTELESEKKLLKREIDMMLEHCNLLQKDKHELLEMNKQQRLEISKGEKQQEVLKAELETQHWNLESFQGSYRALQEENFKALEENRSLLEKISYLKEEVHTLEEENSESLREVLALNSISSVFKSFGSEKVEELGALTEDIKCLHVLNNDLKKKVEMLGQKLEAKETESLHLNETIEKLHQELQEGKDLTHQLNYQILIGQDFLQQKAAELLEVERKLKAAHNLNVELCITVEELKRECEESKMARENIEKQIIEFSNCSIIQNVEIECLKEANENLESEASMLCKEVAERRTREENLSLELQDRSNEFELFEAEASSFYFDLQISSIREVFLENKVHELTEVCENLEGENATKDIKIEEMKERFGFLETEIGEMKAQLSAYAPVIASLRDNIESLERNALLCTRFMAACNQRQMNVDSIQLQEISKQELICDERVPDGISDLLYIQNRIKAVEKAVVKEMDRLVIQGRETTDVKLESSMKGAQQLEFRVQKEEMELENEPSKAEISEVKIGISMKDIPLDQVSDCSLYRRSKMESSEPDNQMLKLWESAEQDRKPDPVARVAQKQAAAQLETVYAHRQFQDANEKNKNPTLELQVEREVGIDKLEVSTRINKEPNQEGKRRKIVERLASDAQKLTSLQTTVSELKKKLEMKRRKKANDAELERVKRQLQEVEEAVSQLVDANDQLTKDIEECPSSSEGSTSIASEENGNAHRKRLTEKARKASEQIGRLQFEIQGIQYSLLKLEDAKKNRSKLRFPGSKTGILLRDFFYSGSKKSIRKRKKARFCGCARPSSYED >Manes.15G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2460300:2469490:-1 gene:Manes.15G031600.v8.1 transcript:Manes.15G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSESRRLYSWWWDSHNSPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRQAHRTMAEAFPNQVPFVLADDSPSSSSGPEGEPHTPEMPHPIRALLDPDDLHKDALGLSSANLHTMKSNGGYSEGSDSGISRKGLKQLNDMFGSGVMISKVSEGKMKKSPNIHEMVEDETEVQNLKKTLAEIQAEKEAVLLQYQQSLQKLSGLEKELKEAGGLEERASRAEIEVKILKETLVKLESERDVGLLQYNKCLERISSMENMISLTQEDAKGLTERAIKAEIEAQNLKQELSALETDKEVGLLQYNQCLDLISTLENKISLAEAKAKILNEQTERAESEVKALKEALARLNKEKEAMEIRYEQCLERMAKMESELSHAQDDVKRLNSEVLTGAAKLKDADEQFLLLERSNQSLHLEADSLAEKIATKDQELLEKENELEKLQTSLQYEHSRFVQIETALQTLQKLHSQSQEEQGALAQELQRKLQLLKDLEICNNDLQEDLQWFKEENQSLGALNSSSRTSIMNLQNEILSLKEVKEKLEMDLTQQVAQSNFLQEEIQHLKEEIEGLNQRYQDLIGQLCSLGLDPQCLNSAIKDIKDLQDENLKLKEVCKKDRDEKEDLYGKLRDMNELLQRNVALERSLSELNGKLQGSIERVKEFQESCQFLQGEKSSLVAEKAILLSQLQTMTENMQKLLDKDAMLENSLSHANVELEGLREKSKGLGEFCQMLKDEKSNLQNERSILLSQLENVEQRLGNLERRFTRLEDKYTDLEKEKELALCEVRELQSYLGVEKQERASYIQSSESRLTDLESQVLLLIEESKSSKKEFEEELDKAANAQVEIFILQKFIQDLEEKNLSVLVECKKHIEASKLSNKLISELESENLEQQVEVEFLLDEIEKLRMGIHQVFRALQFDPFNEHEDGIEEEQIPLLQILDNIKDLKGTLQRNEDEKQQLAVENLVLLTLLGELRSEGTELESEKKLLKREIDMMLEHCNLLQKDKHELLEMNKQQRLEISKGEKQQEVLKAELETQHWNLESFQGSYRALQEENFKALEENRSLLEKISYLKEEVHTLEEENSESLREVLALNSISSVFKSFGSEKVEELGALTEDIKCLHVLNNDLKKKVEMLGQKLEAKETESLHLNETIEKLHQELQEGKDLTHQLNYQILIGQDFLQQKAAELLEVERKLKAAHNLNVELCITVEELKRECEESKMARENIEKQIIEFSNCSIIQNVEIECLKEANENLESEASMLCKEVAERRTREENLSLELQDRSNEFELFEAEASSFYFDLQISSIREVFLENKVHELTEVCENLEGENATKDIKIEEMKERFGFLETEIGEMKAQLSAYAPVIASLRDNIESLERNALLCTRFMAACNQRQMNVDSIQLQEISKQELICDERVPDGISDLLYIQNRIKAVEKAVVKEMDRLVIQGRETTDVKLESSMKGAQQLEFRVQKEEMELENEPSKAEISEVKIGISMKDIPLDQVSDCSLYRRSKMESSEPDNQMLKLWESAEQDRKPDPVARVAQKQAAAQLETVYAHRQFQDANEKNKNPTLELQVEREVGIDKLEVSTRINKEPNQEGKRRKIVERLASDAQKLTSLQTTVSELKKKLEMKRRKKANDAELERVKRQLQEVEEAVSQLVDANDQLTKDIEECPSSSEGSTSIASEENGNAHRKRLTEKARKASEQIGRLQFEIQGIQYSLLKLEDAKKNRSKLRFPGSKTGILLRDFFYSGSKKSIRKRKKARFCGCARPSSYED >Manes.17G048800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24635420:24636941:-1 gene:Manes.17G048800.v8.1 transcript:Manes.17G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAARFFLRSTANRTAGLASVSKSCLGSKRAFSPFRISKQSPLSHRIFRSPVEMSCCVETMLPYHTATASALLTSMLSVSRCSYGWTPEDCNDDV >Manes.07G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32040883:32042722:-1 gene:Manes.07G115200.v8.1 transcript:Manes.07G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLKKDDGRLVFKSTKPHFFKIILDDTIRSRKLGIPRKFVRRYGSELSSPVFLKMPSGAKWEIQLLKCDDEIWLTNGWQEFVEYYSLAFGYFLIFEFEQNCHFNVIIMDKSASEIDYPFSIINGNNKEPDLKEELPEQKIEETENVNPLQSRKTKENSPLPFTQPHKKMKLENPTENTKSHHPTRQSEGNICKFRLLGAKRGKAKDTVRTQPLTAEEKATALHRATANFNSGNPYFMIALQPSYLNKLSIPASFAREYFIKNREIATLITKDGKTWSVEFCYTVSNRKQSASLGLGWNKFSQENYLEVGDVCVFELINRSAIRFNVVIFRHIKDTNSSPSLDAGNNKQLNHEESSICKPFNPGHSCSKAFEAVEAAKKFSSANPFFKVIIGSYHLEQSLLYVPLNIVAKSTTRRRNNAMLQVENKRWPVKLFKYPPNSMIAEGWRSFATENFLKVGDVCIFELIANEAVLLKVTIFRNVD >Manes.07G006300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:818724:819306:-1 gene:Manes.07G006300.v8.1 transcript:Manes.07G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEEPILSRLDRLDNMLRELEEIRGSCNRSPKSSFESTPSSGTRTSEGQISSIDYNSPRSTLEKHCRPINRVIMETETKGTLVERLDHVEERLLKLCIQLEEELEAEKKREEKSEKREKKGLKGIMKTMVNKGKKNPNKAKE >Manes.15G093300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7286167:7288173:-1 gene:Manes.15G093300.v8.1 transcript:Manes.15G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRNLHHTKEFFIPVATFAVSTVLLHSLSKIFIPCNIRKYIFPSLRSPSCHPSSQLFTIVIEEDKKHQLNQLFQAVNAYLSSIVNKSNRRESQDQEIHDVFQSVQVSWKLVFTKIGSFDSRIQYATEESEIRSYVLTFHKEHRETVLNSYLHYILEHKEERKIQKFRLSGIRHLNWQPDETFDRSMTFKTLVLDSELKRTVWDDLNTFLNAKKFYGRIGKAWKRRYFLHGPPGSGKSTLITAMANYLNYDIYELDLADGDFPSHFNYSFFADRVPNRSILVIKNVDSRTLLQTGNPDQNEEMQKLLHLTDGLWSCCRNERIIIFTASCEESVSPALRRHGRIDMSIHMPYCSISTFKQLAFHYLEIQHHELFQEIEGLLVDAEVTREEVLGELMKSSDVEDSFQGLVEFLHRKRLKMDEAETSRVEAKE >Manes.10G128109.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30151166:30196757:-1 gene:Manes.10G128109.v8.1 transcript:Manes.10G128109.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIEETSARLDEIIKQKDELHLAESNRRVSHVTERPPSTSLVNEAKVYGREEDKEAMLKLLNAETSDTQVSVISIVGMGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVFRVTKTVLHQLGDGGDDNDLNLLQVKLKQKLSWKKFLVVLDDVWTQNYEQWTLFWGPFEAGAAQSRVIVTTRSQDVSLMMGATPAYSLKKLSHNECMSVFAQHALGANNFDDHLELKEIGEEIVKRCGGLPLAAKALGGILKGKPNPDLWKEVLSSEMWELPDNRNNILPALKLSYFHLPPQLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDKKKMKDSEGLGQNYFDDLLSRSFFQQSNDNKSKYIMHDLIVDLACFVSREVCLHMVGKLQNAKSYAKIRHSSFIPHFMNTFQRFQSFYEMKNLRTLLSWSRYVGRCYLTSKVTISSWL >Manes.15G164100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13729255:13737350:-1 gene:Manes.15G164100.v8.1 transcript:Manes.15G164100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDYATSIVLTAEYSPFMGIEKGAVLQEARVFNDPQLDPRKCSQVITKLLYLLNQGETFTKIEATEVFFAVTKLFQSRDVGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAISKLVTSLTRGTVRSPLAQCLLIRYTSQVIHESATNTQSGDRPFYDFLEGCLRHKAEMVIFEAARAITELSGVTTRELTPAITVLQLFLSSSKPVLRFAAVRTLNEVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRALMNFLSNILREEGGFEYKKAIVDSIVVLIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAAAVGTLAKFGALVDALKPRIFVLLRRCLFDGDDEVRDRATLYLNTLGADGQVVETDKDVQAFLFGPLDIPLVNLETSLKKYEPSEKPFDINSVPKEVKSQPLAEKKAPGKKPTGLGAPPAGPPSAVDGYERILSSIPEFSNFGKLFKSSAPVELTEAETEYAINAVKHIFDGHVIFQYNCTNTMPYQLLENVTVIVDASEAEEFSEVASKALRSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIVNEVDPTTGEAEDGGVEDEYQLEDLEVFAADYMKKVAVSNFRSAWESMGPDFERVDEYGLGPRESLAEAVATVISLLGMQPCEGTEVVQSNSRSHTCLLSGVFIGNVRVLGRLQFGIDGTREVAMKLAVRSEDESVSDAIHEIIASG >Manes.15G164100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13729255:13737350:-1 gene:Manes.15G164100.v8.1 transcript:Manes.15G164100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLIKKDDDRDDEEYSPFMGIEKGAVLQEARVFNDPQLDPRKCSQVITKLLYLLNQGETFTKIEATEVFFAVTKLFQSRDVGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAISKLVTSLTRGTVRSPLAQCLLIRYTSQVIHESATNTQSGDRPFYDFLEGCLRHKAEMVIFEAARAITELSGVTTRELTPAITVLQLFLSSSKPVLRFAAVRTLNEVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRALMNFLSNILREEGGFEYKKAIVDSIVVLIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAAAVGTLAKFGALVDALKPRIFVLLRRCLFDGDDEVRDRATLYLNTLGADGQVVETDKDVQAFLFGPLDIPLVNLETSLKKYEPSEKPFDINSVPKEVKSQPLAEKKAPGKKPTGLGAPPAGPPSAVDGYERILSSIPEFSNFGKLFKSSAPVELTEAETEYAINAVKHIFDGHVIFQYNCTNTMPYQLLENVTVIVDASEAEEFSEVASKALRSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIVNEVDPTTGEAEDGGVEDEYQLEDLEVFAADYMKKVAVSNFRSAWESMGPDFERVDEYGLGPRESLAEAVATVISLLGMQPCEGTEVVQSNSRSHTCLLSGVFIGNVRVLGRLQFGIDGTREVAMKLAVRSEDESVSDAIHEIIASG >Manes.15G164100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13729255:13737350:-1 gene:Manes.15G164100.v8.1 transcript:Manes.15G164100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLIKKDDDRDDEAEYSPFMGIEKGAVLQEARVFNDPQLDPRKCSQVITKLLYLLNQGETFTKIEATEVFFAVTKLFQSRDVGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAISKLVTSLTRGTVRSPLAQCLLIRYTSQVIHESATNTQSGDRPFYDFLEGCLRHKAEMVIFEAARAITELSGVTTRELTPAITVLQLFLSSSKPVLRFAAVRTLNEVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRALMNFLSNILREEGGFEYKKAIVDSIVVLIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAAAVGTLAKFGALVDALKPRIFVLLRRCLFDGDDEVRDRATLYLNTLGADGQVVETDKDVQAFLFGPLDIPLVNLETSLKKYEPSEKPFDINSVPKEVKSQPLAEKKAPGKKPTGLGAPPAGPPSAVDGYERILSSIPEFSNFGKLFKSSAPVELTEAETEYAINAVKHIFDGHVIFQYNCTNTMPYQLLENVTVIVDASEAEEFSEVASKALRSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIVNEVDPTTGEAEDGGVEDEYQLEDLEVFAADYMKKVAVSNFRSAWESMGPDFERVDEYGLGPRESLAEAVATVISLLGMQPCEGTEVVQSNSRSHTCLLSGVFIGNVRVLGRLQFGIDGTREVAMKLAVRSEDESVSDAIHEIIASG >Manes.01G039212.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7088419:7088781:1 gene:Manes.01G039212.v8.1 transcript:Manes.01G039212.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLCWNCPGLGNPRTVNALKDLITSYKPDILFLMETKALSYRMEFFGSFLHFDGCFSVNRQELREGLSLMWKSHVSVSVVGFSSNFIDSVVSEGNVQWRFTSYYGFSESQRRRQSWNLI >Manes.13G126200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33370539:33387890:1 gene:Manes.13G126200.v8.1 transcript:Manes.13G126200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHVGRGVNKPLQPHAAAFHPTQALIAAAIGTYIIEFDALTGSKLSTIDIGASVVRMSYSPTSGHSVIAILEDCTIRCCDFDTEQTCVLHSPEKRMEQISSDTEVHLALTPLQPVVFFGFHRRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIHTYAVAYTLQLDNTIKLIGAGAFAFHSTLEWIFVGDRRGTLLAWDVSTERPNMIGITQVGSQPITSISWLSTLRLLVTVSKDGTLQVWKTRVIINPNRPPMQANFFESAGIESIDIPRILSQKGGEAVYPLPRIRSLEVHSKLNLAALLFASMSGGDNLKNRAAYTREGRKQLFAVLQSARGSSASVLKEKLSSLGSSGILADHQLQAQLQEHHLKGSQSQLTISDIARKAFLYSHFMEGHAKNAPISRLPLITISDAKHHLKDIPACLPFHLELNFFNKENRVLHYPVRAFYVDGMNLMGYNLCSGMDNIYKKLYTSIPGNVEFHPKHIAHSKKQHLFLVVYEFSGSTNEVVLYWENTDSQPANIKGNTVKGRDAVFIGPNENQFAILDEDKTGLVLYVLPGGVSKEAGEKNLLLEENQSVETNAGSLKGPMQFMFESEVDRIFSTPLESTLMFAINGNQIGFAKLVQGYRLSTSDGHYIPTKAEGKKLIKLKMNEIVLQVHWQETPRGYVAGVLTTQRVFIVSADLDVLASSSTKFDKGLPSFRSLLWLGPALLFSTATSVNVLGWDGIVRTILSISMPYSVLIGALNDRLLFANPTDINPRQKKGLEIRSCLVGLLEPLLIGFATMQQKFEQKLDLSETLYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQVLRGTYAIKALRFSTALSVLKDEFLRSRDYPKCPPTSQLFHRFQQLGYACIKFGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQKLEEEGADPELRRCCERILRVRSTGWTQGIFANFAAESMVPKGNEWGGGNWEIKTPANLKSIPQWELAAEVMPYMKTDDGTIPAIITDHIGVYLGSIKGRGNVVEVREDSLVKAFKSAGDTKPNGLPDSLTKSMSNESKGLPDGSMKAESLMGLETLIKQNPSSSAADEQAKAQEEFKKTMYGAATDGSSSDEEEPSKAKKLQIRIRDKPLASSTVDVNKIKEATKIFKLGEGLGPPVRTKSLTGSQELGQILSQPPATSANAPAASTVPTPAADLFGTDTLTHSAPVSQPGPMVVGMGVTAGPIPEDFFQNTIPSLQVAASLPPPGTYLAKLDQTSPQVGSDKVMPNPVGPSVTDIGLPDGGVPPQATQQAVSLESIGLPDGGIPPQAPNQAALSPQPQVQPSQVPLSSQPLDLSVLGVPDSVDSGKPPVQTAAPPSSVRPGQVPRGAAASVCFKVGLAHLEQNQLPDALSCFDEAFLALAKDSSRGADIKAQATICAQYKIAVTLLQEIARLQKVQGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNFAYSKQMLELLLSKAPPSKQDEFRSLIDMCVQRGSTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSTPGCIICGMGSIKRSDALAGPGPVPSPFG >Manes.13G126200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33370539:33387890:1 gene:Manes.13G126200.v8.1 transcript:Manes.13G126200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHVGRGVNKPLQPHAAAFHPTQALIAAAIGTYIIEFDALTGSKLSTIDIGASVVRMSYSPTSGHSVIAILEDCTIRCCDFDTEQTCVLHSPEKRMEQISSDTEVHLALTPLQPVVFFGFHRRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIHTYAVAYTLQLDNTIKLIGAGAFAFHSTLEWIFVGDRRGTLLAWDVSTERPNMIGITQVGSQPITSISWLSTLRLLVTVSKDGTLQVWKTRVIINPNRPPMQANFFESAGIESIDIPRILSQKGGEAVYPLPRIRSLEVHSKLNLAALLFASMSGGDNLKNRAAYTREGRKQLFAVLQSARGSSASVLKEKLSSLGSSGILADHQLQAQLQEHHLKGSQSQLTISDIARKAFLYSHFMEGHAKNAPISRLPLITISDAKHHLKDIPACLPFHLELNFFNKENRVLHYPVRAFYVDGMNLMGYNLCSGMDNIYKKLYTSIPGNVEFHPKHIAHSKKQHLFLVVYEFSGSTNEVVLYWENTDSQPANIKGNTVKGRDAVFIGPNENQFAILDEDKTGLVLYVLPGGVSKEAGEKNLLLEENQSVETNAGSLKGPMQFMFESEVDRIFSTPLESTLMFAINGNQIGFAKLVQGYRLSTSDGHYIPTKAEGKKLIKLKMNEIVLQVHWQETPRGYVAGVLTTQRVFIVSADLDVLASSSTKFDKGLPSFRSLLWLGPALLFSTATSVNVLGWDGIVRTILSISMPYSVLIGALNDRLLFANPTDINPRQKKGLEIRSCLVGLLEPLLIGFATMQQKFEQKLDLSETLYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQVLRGTYAIKALRFSTALSVLKDEFLRSRDYPKCPPTSQLFHRFQQLGYACIKFGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQKLEEEGADPELRRCCERILRVRSTGWTQGIFANFAAESMVPKGNEWGGGNWEIKTPANLKSIPQWELAAEVMPYMKTDDGTIPAIITDHIGVYLGSIKGRGNVVEVREDSLVKAFKSAGDTKPNGLPDSLTKSMSNESKGLPDGSMKAESLMGLETLIKQNPSSSAADEQAKAQEEFKKTMYGAATDGSSSDEEEPSKAKKLQIRIRDKPLASSTVDVNKIKEATKIFKLGEGLGPPVRTKSLTGSQELGQILSQPPATSANAPAASTVPTPAADLFGTDTLTHSAPVSQPGPMVVGMGVTAGPIPEDFFQNTIPSLQVAASLPPPGTYLAKLDQTSPQVGSDKVMPNPVGPSVTDIGLPDGGVPPQATQQAVSLESIGLPDGGIPPQAPNQAALSPQPQVQPSQVPLSSQPLDLSVLGVPDSVDSGKPPVQTAAPPSSVRPGQVPRGAAASVCFKVGLAHLEQNQLPDALSCFDEAFLALAKDSSRGADIKAQATICAQYKIAVTLLQEIARLQKVQGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNFAYSKQMLELLLSKAPPSKQDEFRSLIDMCVQRGSTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSTPGCIICGMGSIKRSDALAGPGPVPSPFG >Manes.13G126200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33370539:33387890:1 gene:Manes.13G126200.v8.1 transcript:Manes.13G126200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHVGRGVNKPLQPHAAAFHPTQALIAAAIGTYIIEFDALTGSKLSTIDIGASVVRMSYSPTSGHSVIAILEDCTIRCCDFDTEQTCVLHSPEKRMEQISSDTEVHLALTPLQPVVFFGFHRRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIHTYAVAYTLQLDNTIKLIGAGAFAFHSTLEWIFVGDRRGTLLAWDVSTERPNMIGITQVGSQPITSISWLSTLRLLVTVSKDGTLQVWKTRVIINPNRPPMQANFFESAGIESIDIPRILSQKGGEAVYPLPRIRSLEVHSKLNLAALLFASMSGGDNLKNRAAYTREGRKQLFAVLQSARGSSASVLKEKLSSLGSSGILADHQLQAQLQEHHLKGQSQLTISDIARKAFLYSHFMEGHAKNAPISRLPLITISDAKHHLKDIPACLPFHLELNFFNKENRVLHYPVRAFYVDGMNLMGYNLCSGMDNIYKKLYTSIPGNVEFHPKHIAHSKKQHLFLVVYEFSGSTNEVVLYWENTDSQPANIKGNTVKGRDAVFIGPNENQFAILDEDKTGLVLYVLPGGVSKEAGEKNLLLEENQSVETNAGSLKGPMQFMFESEVDRIFSTPLESTLMFAINGNQIGFAKLVQGYRLSTSDGHYIPTKAEGKKLIKLKMNEIVLQVHWQETPRGYVAGVLTTQRVFIVSADLDVLASSSTKFDKGLPSFRSLLWLGPALLFSTATSVNVLGWDGIVRTILSISMPYSVLIGALNDRLLFANPTDINPRQKKGLEIRSCLVGLLEPLLIGFATMQQKFEQKLDLSETLYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQVLRGTYAIKALRFSTALSVLKDEFLRSRDYPKCPPTSQLFHRFQQLGYACIKFGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQKLEEEGADPELRRCCERILRVRSTGWTQGIFANFAAESMVPKGNEWGGGNWEIKTPANLKSIPQWELAAEVMPYMKTDDGTIPAIITDHIGVYLGSIKGRGNVVEVREDSLVKAFKSAGDTKPNGLPDSLTKSMSNESKGLPDGSMKAESLMGLETLIKQNPSSSAADEQAKAQEEFKKTMYGAATDGSSSDEEEPSKAKKLQIRIRDKPLASSTVDVNKIKEATKIFKLGEGLGPPVRTKSLTGSQELGQILSQPPATSANAPAASTVPTPAADLFGTDTLTHSAPVSQPGPMVVGMGVTAGPIPEDFFQNTIPSLQVAASLPPPGTYLAKLDQTSPQVGSDKVMPNPVGPSVTDIGLPDGGVPPQATQQAVSLESIGLPDGGIPPQAPNQAALSPQPQVQPSQVPLSSQPLDLSVLGVPDSVDSGKPPVQTAAPPSSVRPGQVPRGAAASVCFKVGLAHLEQNQLPDALSCFDEAFLALAKDSSRGADIKAQATICAQYKIAVTLLQEIARLQKVQGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNFAYSKQMLELLLSKAPPSKQDEFRSLIDMCVQRGSTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSTPGCIICGMGSIKRSDALAGPGPVPSPFG >Manes.13G126200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33370539:33387890:1 gene:Manes.13G126200.v8.1 transcript:Manes.13G126200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHVGRGVNKPLQPHAAAFHPTQALIAAAIGTYIIEFDALTGSKLSTIDIGASVVRMSYSPTSGHSVIAILEDCTIRCCDFDTEQTCVLHSPEKRMEQISSDTEVHLALTPLQPVVFFGFHRRMSVTVVGTVEGGRAPTKIKTDLKKPIVNLACHPRLPVLYVAYADGLIRAYNIHTYAVAYTLQLDNTIKLIGAGAFAFHSTLEWIFVGDRRGTLLAWDVSTERPNMIGITQVGSQPITSISWLSTLRLLVTVSKDGTLQVWKTRVIINPNRPPMQANFFESAGIESIDIPRILSQKGGEAVYPLPRIRSLEVHSKLNLAALLFASMSGGDNLKNRAAYTREGRKQLFAVLQSARGSSASVLKEKLSSLGSSGILADHQLQAQLQEHHLKGQSQLTISDIARKAFLYSHFMEGHAKNAPISRLPLITISDAKHHLKDIPACLPFHLELNFFNKENRVLHYPVRAFYVDGMNLMGYNLCSGMDNIYKKLYTSIPGNVEFHPKHIAHSKKQHLFLVVYEFSGSTNEVVLYWENTDSQPANIKGNTVKGRDAVFIGPNENQFAILDEDKTGLVLYVLPGGVSKEAGEKNLLLEENQSVETNAGSLKGPMQFMFESEVDRIFSTPLESTLMFAINGNQIGFAKLVQGYRLSTSDGHYIPTKAEGKKLIKLKMNEIVLQVHWQETPRGYVAGVLTTQRVFIVSADLDVLASSSTKFDKGLPSFRSLLWLGPALLFSTATSVNVLGWDGIVRTILSISMPYSVLIGALNDRLLFANPTDINPRQKKGLEIRSCLVGLLEPLLIGFATMQQKFEQKLDLSETLYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQVLRGTYAIKALRFSTALSVLKDEFLRSRDYPKCPPTSQLFHRFQQLGYACIKFGQFDSAKETFEVIADYESMLDLFICHLNPSAMRRLAQKLEEEGADPELRRCCERILRVRSTGWTQGIFANFAAESMVPKGNEWGGGNWEIKTPANLKSIPQWELAAEVMPYMKTDDGTIPAIITDHIGVYLGSIKGRGNVVEVREDSLVKAFKSAGDTKPNGLPDSLTKSMSNESKGLPDGSMKAESLMGLETLIKQNPSSSAADEQAKAQEEFKKTMYGAATDGSSSDEEEPSKAKKLQIRIRDKPLASSTVDVNKIKEATKIFKLGEGLGPPVRTKSLTGSQELGQILSQPPATSANAPAASTVPTPAADLFGTDTLTHSAPVSQPGPMVVGMGVTAGPIPEDFFQNTIPSLQVAASLPPPGTYLAKLDQTSPQVGSDKVMPNPVGPSVTDIGLPDGGVPPQATQQAVSLESIGLPDGGIPPQAPNQAALSPQPQVQPSQVPLSSQPLDLSVLGVPDSVDSGKPPVQTAAPPSSVRPGQVPRGAAASVCFKVGLAHLEQNQLPDALSCFDEAFLALAKDSSRGADIKAQATICAQYKIAVTLLQEIARLQKVQGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNFAYSKQMLELLLSKAPPSKQDEFRSLIDMCVQRGSTNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSTPGCIICGMGSIKRSDALAGPGPVPSPFG >Manes.14G130400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10392831:10396018:1 gene:Manes.14G130400.v8.1 transcript:Manes.14G130400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSDSRSPFMSTQLSYQSGSDAIGIWPQFSLNNAEQFDSLSQFEQLQPPYKRPRNCEDSSSLSVNSKMPLPNNLPVNKGTTNIFFKTRMCAKFRTGNCKNGENCNFAHGMQDLRQPPPNWQELVGVGARGEEDRSAGNWDDDQRIIHKMKLCKKFYNGEECPYGDRCNFLHEDPSKFRDDVGRFRESSAISIGTTGQPMVPGTGGLNATEVNKPVNNTGSDAYRANMKPVYWKTKLCTKWETTGQCPFGEKCHFAHGQAELQVPGGRAEGEPGNAGSILAKPPPILANNVSPMMTASVPNVIEEGQSKKCLFKWKGPKKINRIYGDWLDDLPLVQNLPNQVES >Manes.14G130400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10392831:10396018:1 gene:Manes.14G130400.v8.1 transcript:Manes.14G130400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSDSRSPFMSTQLSYQSGSDAIGIWPQFSLNNAEQFDSLSQFEQLQPPYKRPRNCEDSSSLSVNSKMPLPNNLPVNKGTTNIFFKTRMCAKFRTGNCKNGENCNFAHGMQDLRQPPPNWQELVGVGARGEEDRSAGNWDDDQRIIHKMKLCKKFYNGEECPYGDRCNFLHEDPSKFRDDVGRFRESSAISIGTTGQPMVPGTGGLNATEVNKPVNNTGSDAYRANMKPVYWKTKLCTKWETTGQCPFGEKCHFAHGQAELQVPGGRAEGEPGNAGSILAKPPPILANNVSPMMTASVPNVIEEGQSKKCLFKWKGPKKINRIYGDWLDDLPLVQNLPNQVES >Manes.15G071400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5391655:5396877:1 gene:Manes.15G071400.v8.1 transcript:Manes.15G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGGQNLQPDVTQVIDQLERHCLAPDGSLVSKSAYYDLQLAREEMSRERLRYLEAMAIYCEAIAMVEEYQQAVSVANLGGIRDIQGLYPQFSLKNSSQVYETLEHRLVVAEAAQKLRLPLISKDGEIHEEEIEKWSIMSRSSLDSTSTSVTISSSSNSINYANSSANSAAGTANNALSVGAADSAEPGVGGVPNRFLGITPSYLWQTQLQQMPLVMDMAEYQMSLSHEIEARLKDKCGKLADAFVDDIDSSSTSQNSTARLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNVLEQILAVLIKLVKDLKLQHQHKYDELQKTWLCKRCETMSAKLRVLEHVLLLETYTQDSIPALHKIRKYLVEATEEASKAYNKAVTRLREYQGVDPHFDTIARQYHDIVKKLENMQWTINQVEMDLKRLPDHPSK >Manes.07G119000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32400426:32401656:1 gene:Manes.07G119000.v8.1 transcript:Manes.07G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQYLMLMKRTQFLNSNFHSWEEKAFAEDASRNLGGCIWPPRSYSCSFCKREFRSAQALGGHMNVHRRDRARLKQSLSPQNDVLHPLKSFDSHFPSEVFTLHCDNLDFNSGSSVVASTLASSRVSAMSGPENLSHLTFVSSHPCTITEEKRKVSSLIYDLSGSDSLGVLKNPGEEDSTSLNHEDFVETDFFMGFDSVVRRNPASDSHGDISCKRPNATAFMIKPCSSDRYTHQSPELIDLNSTSIEDIDLELRLGKPPKVK >Manes.15G039400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3079151:3081538:-1 gene:Manes.15G039400.v8.1 transcript:Manes.15G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSKIYSSQSLPPITSPTTSTSIFGTHSHSSDTSFPIIAIAIIGILATAFLLVSYYIFVIKCCLNWHRVDVLRRFSLSRNRNHDDPLMGHSPAMETRGLDESVIRSIPIFKFKKGGNKSRDFGERSLCECAVCLNEFQEDEKLRIIPNCRHVFHIDCIDVWLQNNANCPLCRNSISTTTRFPIDNVIAPSSSPQDTNPYSETVVGGDEDYVVIELGNHNSADQTLLAAQERLMNSGELSASSISPSPWRKLEQRGSALHQKARKFNKLTSMGDECIDIRGKDDQFAIQPIRRSFSLDSSADRQLYLSIQEIVQQSRQAITEVSPVEGCSSTSKPRRTFFSFGHSRGSTRCAVLPVHLEP >Manes.02G223800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37206104:37209865:1 gene:Manes.02G223800.v8.1 transcript:Manes.02G223800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFYLSLLLSFMSFIMVSLFSLFYKHISQYKNPNLPPGKPGFPFVGESLDFLSTGWKGHPEKFVFDRTTKYSSDVFKTNLLGHPAAVLCGAAGNKFMFSNENKLVVAWWPDSVNKIFPSSLQTSSKEEAIKMRKLLPQFFKPEALQRYIGIMDTIAQRHFASGWENRKEVVVFPLAKNYTFWLACRLFLSLEDPTHIAKFAEPFQVLASGIISIPIDLPGTPFRRAIKASKFIRKELVSIIKQRKIELEQGKACKRDDILCHMLSTSDENGECMNEMDIADKILGLLIGGHDTASAACTFIVKYLSELPHIFQQVYNEQMEIGKGKKVGELLNWDDIQKMKYSWNVACEVMRLAPPLQGAFREAINDFIFNGFSIPRGWKLYWSANSTHKNPKYFPEPENFDPSRFEGQGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVKRFRFEKVIPDEKIIVDPMPIPAKGLPIRLYPHNTS >Manes.14G140600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12370158:12373522:-1 gene:Manes.14G140600.v8.1 transcript:Manes.14G140600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDISLKHMKNENVDFEKNPVEEVFQQLKCTKEAIKENKFRKFLGFMWNPISWVMESAAIMAIVLASGGGKPPNWQDFLGILVLLLMNSTISLIEENYAGNAAAALMAGLATKTKVIRDGKWSEQKASILVPEDLISIKLGDFIPADAHITFTTQLVNKVRLRLRLCIGTGVHTFFGKAGHLVDNTNNVGHFQQVLTAIGNFCICSIATGMIIEIVVMYPIQHRNFREGINTFLVLLIGGIPIAMSTVLSVTMAIGYHRLSQQGAITKRMTAIEEMVVIRLVLSPLTSFTVDKNLIEVFANDMDKDTVVLLAARASRIENQDAIGASIVGMLRDLKESRIYRGEFFAFQSCGRTAITYIDSNAYWHRCNKGDPEQIIDLCELTGEMKIKAHEIIDNFAERGLLSLAVARQIIPEKTKESTGGPWEFVGLLPVIYPSRHDSAGTIRRALTKVKMITGDQLAIGKETSRRLGMGSNMYPASSLLGDNKDESIASIPVHELIKQADGFAGVFPDIGIAVAAATDAARSASDIVLTEAGLSVIRMKNYTIYVVSITIRTVMGFMLVALIWKFEFSPFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLKEIFATGIVLGA >Manes.16G125200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32678234:32682549:-1 gene:Manes.16G125200.v8.1 transcript:Manes.16G125200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPSLKRSRPSIHQLYLIQRLQARQYSTTEPSSATSLSLIYSSTRNENENHAHGSSFRRWFSGIAVGSALGLFHWLSNSACDSQSSFFKRSLLSFADWPTGSTVEKPCSASTFGKLSLPDYSSKFIFGDAYRRKVFFKYEKRIRLRSPPEKVFEYFASFRAQDGELRMKPADLMRAVVPVFPPSESHLVREGYLSGERRPGDLRCPPSELFKLFDLDSDGLISFKEYIFFVTLLSIPESSFSVAFKMFDVNNDGEISKEEFKKVMALMRAHNRQGAVHKNGRRTVLKVSSSVENGGLVEYFFDKDGNGRLHHDKFVLFLRDLHDEILRLEFSHYDYKLQKTISAKDFALSIVASADLSHVDKLLNRVDEVNNEAHLKDIRVTFEEFKSFAELRKKLRPLSLALFSYGEVNGLLTREDFQRAASKVELFCLWMG >Manes.16G125200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32678234:32682548:-1 gene:Manes.16G125200.v8.1 transcript:Manes.16G125200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALPSLKRSRPSIHQLYLIQRLQARQYSTTEPSSATSLSLIYSSTRNENENHAHGSSFRRWFSGIAVGSALGLFHWLSNSACDSQSSFFKRSLLSFADWPTGSTVEKPCSASTFGKLSLPDYSSKFIFGDAYRRKVFFKYEKRIRLRSPPEKVFEYFASFRAQDGELRMKPADLMRAVVPVFPPSESHLVREGYLSGERRPGDLRCPPSELFKLFDLDSDGLISFKEYIFFVTLLSIPESSFSVAFKMFDVNNDGEISKEEFKKVMALMRAHNRQGAVHKNGRRTVLKVSSSVENGGLVEYFFDKDGNGRLHHDKFVLFLRDLHDEILRLEFSHYDYKLQKTISAKDFALSIVASADLSHVDKLLNRVDEVNNEAHLKDIRVTFEEFKSFAELRKKLRPLSLALFSYGEVNGLLTREDFQRAASKVCGITLTDNVIDIVFHVFDSNRDGHLSTDEFIRVLHKRERDIAQPVESGFLEVLSCCFNYGNDLTLRWLFG >Manes.03G111700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24182944:24183448:-1 gene:Manes.03G111700.v8.1 transcript:Manes.03G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAGTQYKPQWQQRGNEEKKAVFQEEMKRMNQLPANSIYAIHRLRVLNKIQQLLSIQRTVSQDEELELLFAGLHL >Manes.16G029150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3329879:3331390:1 gene:Manes.16G029150.v8.1 transcript:Manes.16G029150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSWDAKKMLDLYLHDYLVKRKLHDTAAIFRKEANVGEHPVAVDSVDGFLHEWWTLFYDMHASRQLKHEEAKGKFPAKDGQIIQDGQILQHALQNMRPILPKLDVYHQKHGEFPFGSHYKMSGASGQPPACLLPARMLEEQQSLCYPVSNSNPNLLQLHGNKLNLSKSAATSPSFLQQQLQNQAQQLTVRVQPHLKTPG >Manes.10G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3142549:3146503:-1 gene:Manes.10G030900.v8.1 transcript:Manes.10G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTVVSRSGRELVKGGIKLNDSATVADLQEAIYKRTKKFYPSRQRLTLPLPPGSKGRPVVLNYKKSLKDYCDGNSDNITIVFKDLGPQVSYRTLFFWEYLGPLILYPVFYFFPVYQYFGYKGERVIHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGSYIAYYVNHPLYTPVSDLQMKIGFGFGLICQVANFYCHILLRNLRTPDGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVAAMIMTDWALGKHRRLKKLFDGKDGRPKYPRRWIILPPFL >Manes.01G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5092728:5095295:1 gene:Manes.01G023700.v8.1 transcript:Manes.01G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSETERSQKQEKDKKKILALSPIAKHLAGKKLCKRTLKLVRRAAEHKCLKRGVKEVVKSIRRGHKGLCVIAGNISPIDVITHVPILCEEADIPYIYVPSKEDLANAGATKRPTCCVLVLTKPTKGELAQEEQEKLKAELSQVAADVSELTSSLF >Manes.11G107251.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:24898055:24899152:1 gene:Manes.11G107251.v8.1 transcript:Manes.11G107251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIICWNCRGVGNPQAVNAMVDIVQYYKPFILFLMETKANGVRMEQIKSLLRFSHCFSVDCVGIGGGLCLMWKDDVKLAITGYCSNFIDSTIGDGSDCWRFTGFYGCPESGRRRTSWNLLRDLADRSQLPWLCSGDYNDIADSLEKVGGPLRCISLINGFRNALADANLNDIQAVGSFLSYTYREGTDQCSKERLDRACSNTTWDARFPDAISSNLVAPVSDHTPLLIEIVGTQVREDNRRFHFDNSWLEDDELGEVVLTSWQQGLGLDFIQRKDQLVKRVQYWGKNRNRMRWLQKERIKKRLGECSESLDTREVRQLKDVWNQILAEEDIRLRQQAKKFWFRHGDRNSKYFHNSIKARRRCNRI >Manes.07G031000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3393241:3394113:-1 gene:Manes.07G031000.v8.1 transcript:Manes.07G031000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSHEGEIPMPINSSYGGGHGHMIHHDHPAPHNHIISSSAPQMPSNNGPSSIPSPLEDHHAPFKKMVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGSIEALTCSACNCHRNFHRKEIEGEASSCDYFHGHPHFSRVGRKVILGHHKNILAPEALGFHTAAGTLVPSRSAAAPHHQMIMSYNMGSLPSESDEQEDGGGVMMARPAQLVKKRFRTKFTQEQKEKMLNFAEKVGWKIQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKNPPPPPPPLPPIATTT >Manes.01G207900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37979405:37980869:1 gene:Manes.01G207900.v8.1 transcript:Manes.01G207900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSYCQGLQSCLEPARLHVEPRVLRLTLAPPKSNISRCSPPETKTFVPGSEDHSKSTNDSVDMGGWSFLQSLDTNTARTTRGDTEKDKIYTPPNFKRSSSMLSEKSLEMCTESLGSETGNDGGESSDEMALLSLSNVNHESPGEKSKFRGAGRMMSRSASFPPPLTSISGSTTVQVRPHREGGRLVLKAVSVSSCQAYFHAERIDGQLKLHLLKDSFPTHEDAEEEEEEEEEEEASLEETSVDDESEGEKEAEVEDWKAESGNGGGEMGMEKLPTRSRCKEGGSGSKSLLNWGTFWVAT >Manes.16G088201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29457248:29460212:1 gene:Manes.16G088201.v8.1 transcript:Manes.16G088201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNLTLGYAALLGMGGLMGYLKSGSQKSLLAGGLSASILYYVHTQLPTNPVYASSIGLGVSSTLMGVMGYRFLMSKKIFPAGVVSLVSLVMTGGYIHGVLRSMH >Manes.12G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2810618:2811909:-1 gene:Manes.12G032500.v8.1 transcript:Manes.12G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFLLSRLLLITLFLAAATAAAASRPGFLFTRARGRCTSQYWSSRREAWPKMVPQTSTVSNVFGSRTFERYRSGLTMLESTSRNDDENAFAGLLKQGSTALLNSYARKGFPYAAWEVKTLFIEALVSEEAAARQAKFFSIANEACN >Manes.04G110590.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31540981:31544416:-1 gene:Manes.04G110590.v8.1 transcript:Manes.04G110590.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCDISLHSPSLIIYLQVILLLSFNLEWWKQANASGNETDKLALLKFKEAISAGDPNQLLDSWNDSLPFCNWFGITCSRRHQRVKSLDLEGQNLFGTISPYIGNLSFLRVINLQNNSFHGEIPQEVGRLFRLEELFLNNNTLAGEIPINLTRCSKLMFLDLGWNYHISGKIPAELGSLTKLQNLSLVANNLIGEIPASLGNLSSLTFFRLSSNRLLGNIPDDLGKLTSLTVFAVSANQLSGTIPLPLFNISSIRIFSVTQNQLHGNLPENLGISLPNLIFFSVGNNNFSGTIPNSLFNASHLEIVNLGWSKFVGQVPMNLGNLKNLWWLRLHGNALGSNSTNDLAFLDSLTNCTKMKILDLGRNNFGGVLPNSVANLSTEFDLFYIGENQITGTIPAGLENLIKLTGIALHNNLLSGFFPNYFGKFQKLQLLSLGGNRLSGEIPSSIGNLTHLLELYFLDNNFQGSIPSSIGNCQNLYILDISQNHLNGVIPPEILLVRSFTQLLNLSQNSLTGVLPFEVGKLSNIGALDFSENNLSGQIPATIGDCLSLEFLYLQGNSFQGTIPPSLASLRGLQYLDLSRNKLTGRIPKDLQDIPYLLFLNLSFNDLEGEVPTGGVFRNASAVSLIGNDKLCGGVSELNLPKCPNKRGGLFFHKLEIILTVMAVCILLTLAFLLVYWKRNPKQKSSSSSSMMKQFLKVSYGDICRATNGFSPENLIGSGSFGSVYKGFLDQVERPVAVKVLKLEHKGASKSFISECIVLRNIRHRNLVKMLTCCSSMDYKLNDFKALILEFMGNGSLEKWLHPEIEGKNQSWNLNLLQRLNVAVDVASALQYLHEQCENPIIHCDLKPSNVLFDDDMVAHVSDFGLARLVSTSKSSSQSLSTTTGIKGTIGYAPPEYGIGCPASREGDVYSFGILVLEMFTGRRPTDEIFKDGLNLHSFVKTALPESLMQIIDPNLITAETQETNSGRTATEEERELSNLSKMSAKARSCVVSVLEIGIGCSAESPKGRMSMEDVSRQLHLIRKTFLGI >Manes.07G133132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29641604:29646510:1 gene:Manes.07G133132.v8.1 transcript:Manes.07G133132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGGRRYEKMEKLTFCFVLLLSLIALSRARFPDDLQLPLPRSTFPSVQAGKLIRELNLFPAKDVNILRDADAPLLDGRKRIVEKRFRFPNVVDDEYSGVSVDDLGHHAGYYKIANSHAASMFYFFFESRNSRKDPVVIWLTGGPGCSSELAMFYENGPFTIADNMSLVWNPYGWDKASNLLYVDQPIGTGFSYSSDMRDIRHNEQGVSNDLYDFLQAFFAEHPEFVNNDFYITGESYAGHYIPALAARVHKGNKAKEGIHINLKGFAIGNGLTDPAIQYAAYADYALDMGLIEKSDHDRINKVLPVCEMAIKLCGTDGTVSCMASYFVCNGIFNSILSRAGDINYYDIRKKCEGSLCYDFSNLEKFLNLKPVRDSLGVGDISFVSCSPTVYQAMLMDWMRNLEAGIPALLEDGIKLLVYAGEYDLICNWLGNSKWVHAMEWSGQKSFVASPELPFEVDGSEAGVLRSHGPLAFLKVSLSSSLSHPGLWHTFVKCTDIVAWCMDQQVHDAGHMVPMDQPKAALEMLKRWTQGKLSQGAAEPQKLVSDM >Manes.07G133132.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29641604:29647783:1 gene:Manes.07G133132.v8.1 transcript:Manes.07G133132.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGGRRYEKMEKLTFCFVLLLSLIALSRARFPDDLQLPLPRSTFPSVQAGKLIRELNLFPAKDVNILRDADAPLLDGRKRIVEKRFRFPNVVDDEYSGVSVDDLGHHAGYYKIANSHAASMFYFFFESRNSRKDPVVIWLTGGPGCSSELAMFYENGPFTIADNMSLVWNPYGWDKASNLLYVDQPIGTGFSYSSDMRDIRHNEQGVSNDLYDFLQAFFAEHPEFVNNDFYITGESYAGHYIPALAARVHKGNKAKEGIHINLKGFAIGNGLTDPAIQYAAYADYALDMGLIEKSDHDRINKVLPVCEMAIKLCGTDGTVSCMASYFVCNGIFNSILSRAGDINYYDIRKKCEGSLCYDFSNLEKFLNLKPVRDSLGVGDISFVSCSPTVYQAMLMDWMRNLEAGIPALLEDGIKLLVYAGEYDLICNWLGNSKWVHAMEWSGQKSFVASPELPFEVDGSEAGVLRSHGPLAFLKVHDAGHMVPMDQPKAALEMLKRWTQGKLSQGAAEPQKLVSDM >Manes.07G133132.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29641550:29648123:1 gene:Manes.07G133132.v8.1 transcript:Manes.07G133132.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGGRRYEKMEKLTFCFVLLLSLIALSRARFPDDLQLPLPRSTFPSVQAGKLIRELNLFPAKDVNILRDADAPLLDGRKRIVEKRFRFPNVVDDEYSGVSVDDLGHHAGYYKIANSHAASMFYFFFESRNSRKDPVVIWLTGGPGCSSELAMFYENGPFTIADNMSLVWNPYGWDKASNLLYVDQPIGTGFSYSSDMRDIRHNEQGVSNDLYDFLQAFFAEHPEFVNNDFYITGESYAGHYIPALAARVHKGNKAKEGIHINLKGFAIGNGLTDPAIQYAAYADYALDMGLIEKSDHDRINKVLPVCEMAIKLCGTDGTVSCMASYFVCNGIFNSILSRAGDINYYDIRKKCEGSLCYDFSNLEKFLNLKPVRDSLGVGDISFVSCSPTVYQAMLMDWMRNLEAGIPALLEDGIKLLVYAGEYDLICNWLGNSKWVHAMEWSGQKSFVASPELPFEVDGSEAGVLRSHGPLAFLKVHDAGHMVPMDQPKAALEMLKRWTQGKLSQGAAEPQKLVSDM >Manes.16G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29320982:29323203:-1 gene:Manes.16G086200.v8.1 transcript:Manes.16G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALDSSRGMREIQSSGYVRGGIVCVATPGIFDSLPESRLVDKEEEEEAEVNECSSSASSTTSSIGKNSDLSGRESLDGEICEEENEVQSAFKGTFDSMDSLEEALPMRRGISSFYNGKSKSFTSLTEASSSSSIKDIAKPENAYSKKRKNLLAFNHLWDKHRCFPHINSGGGISKRPIISSRSTLALAVAMSSSESISSTSEDSSSSSNSKSPPQLPPLHPRSSTYHNNLASLPSPQQKLSPWRSFSVADLQQCDETHH >Manes.02G063132.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4837605:4839000:-1 gene:Manes.02G063132.v8.1 transcript:Manes.02G063132.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFMYLRREGRMIEALRLFREIKNATPNFVTYTTLIDEYCRVNDIDEALRLLEVMEAHGLYPTVGTYNSILRKLYEEGRIRDANKLLNEMNERKIEPDNVTCNTLINAYCKIGDLLSALKVKSKMVDAGLKLDKFTYKALIHGFCKIQEMDSAKELLFSMLEAGFSPS >Manes.02G063132.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4837605:4838078:-1 gene:Manes.02G063132.v8.1 transcript:Manes.02G063132.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALRLFREIKNATPNFVTYTTLIDEYCRVNDIDEALRLLEVMEAHGLYPTVGTYNSILRKLYEEGRIRDANKLLNEMNERKIEPDNVTCNTLINAYCKIGDLLSALKVKSKMVDAGLKLDKFTYKALIHGFCKIQEMDSAKELLFSMLEAGFSPS >Manes.11G137800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30327658:30329203:-1 gene:Manes.11G137800.v8.1 transcript:Manes.11G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESCRALFFTCCIFLLALLPGCESLIPQKKGNCSYAITIETTCTKGAETSNHVRLRFGDTKSNDIVVHHLNNKHLRKLDPLEPEVLDDMPRRPFQACMVDQFQVTGECVDSPICYLYLKLAGTDDWRPGFAQVRVLEKPHLSSDYFYFRRYLPRHVWHGSDVCDKEVTPFGIKHKRKVFVDKPAN >Manes.08G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1017066:1021684:1 gene:Manes.08G007100.v8.1 transcript:Manes.08G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGKAIYTVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNIFDKAPAVDKDAFVAPSASIIGDVQVGRGSSIWYGCVLRGDVNRISVGAGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVRQNTRIPAGEVWGGNPAKFLRKLTDEEIAFISESATNYSNLAQVHAAENAKPFDEIEFEKVLRKKFARRDEEYDSMLGVVRETPPELVLPDNVLPDKEPKAK >Manes.02G160100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12530911:12532786:1 gene:Manes.02G160100.v8.1 transcript:Manes.02G160100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKPTAKSIKEIHQNQNQRPKRSEKPASWSVVRGLFTCKDQQRQQQQQQKQPQQEKQEQQQKKKHQEQALEETSSKKCKKMKCSGSLCSNTKVMQRPETASPDVQKKRVSMGLTTGNDNSSRSIKAPLNEINGVPSSTNSSLSVSSNSSINNGGSFRGMPFRRLSGCYECRMVVDPVLGFTRDPSLRSSICSCPECGEIFAKAENLEVHQAVRHAVSELGPEDTSKNIVEIIFQSSWLKKQAPICQIDRILKVHNTQRTISKFEEYRDSIKAKATKLSKKHPRCIADGNELLRFYCTSFACSLGLNGSSNLCNSVPHCGVCSIIKNGFKESSGGGVNGNGILTTATSGKAHDKAAILDGSNGSSDKRAMLVCRVIAGRVKKSVEGNMEEYDSVAGAVGLYSNLDELHVFNARAILPCFVVIYSGF >Manes.01G267400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42170303:42176876:1 gene:Manes.01G267400.v8.1 transcript:Manes.01G267400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLSSRFSSPLSQPHFCVFPSTVKFLPDITATVSAKKSTPTTMAFSSSSSPSPSLADPKEGKSPKPDPQKLAQVLKYHNQTKHSFTNYARGPRGLDWANQPNPFRRYISAPLISLLHFPTGNETPSVSTADSAPLYDSVFNSLPPPKPISKSSISQFFYDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYIIAPPIESLSNSAFVAHYAPKEHSLELRAATPPDIFPKFFSQNSFIIGISSIFWREAWKYGERAFRYCNHDVGHAIAAIAMAAAGLGWDVKLLDGLGYKELERLMGLEMHEGFHIPDTTIKGKLPEIEFEHPDCLLLVFPNGTNNMNVNYKELSSAITEFRNLEWKGKPNSLSKEHVCWDIIYRTAEAVKKPLTVLDRFSIDPFQGSGVYSAGSYKGFTIREVVRKRRSAVDMDGITKIDRETFYQILLHCLPSGSGSGENQKRQLGLPFRALSWDAEVHAALFVHRVTGLQEGLYFLVRNEDHFDELKKTTRAGFKWEKPEGCPDDLPLYELARGDCQQIAKQLSCHQDIASDGCFSLGMVAHFEPALQGKGVWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHEVLGLEGSNFQSLYHFTVGGPVLDKRIMSLPAYPGPGVDA >Manes.03G102775.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22672788:22675183:1 gene:Manes.03G102775.v8.1 transcript:Manes.03G102775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQIHVMFLPYMAPGHMMPMVDIARLFAAQGIRVTIITTTMNAIGFKNSIERDVESGRNISLEILRFPSAEAGLPEGCENLSSAPTPETTIKLIHGIELLEPQIKILFRERRPDCIASDYIFWWTIDVATELGIPRLAFSGLPDQVEITRSQLPDLVKRRTEFSEFFDKLKEAERKSFGLLMNSFYELESAYSDHFTKVTGIKAWHLGPVNLFRSVNDNALRGDKATISEQRCLRWLDSKEPNSVLYVCFGSTARFSKAQILEIGNALEDSSYSFIWVVGKVLKEDNNEDHQQQEEWWLPEGYEEKLKENGKGLVIKGWAPQVLILHHPAIGGFLTHCGWNSILEGLCEGLPMVTWPIFAEQFYNEKLVTQVLKFGEPVGNKTWRVWATEDSTLISRSEIENAIRRVMGDENEAKEMRKRARRLAEWAKMAVEEGGSSCNDMKSLIEDIRMFKRLTEKTTKES >Manes.02G126100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9585542:9585820:-1 gene:Manes.02G126100.v8.1 transcript:Manes.02G126100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGEQQRLLENQLKENDFFGGEGVGYLDIVAFSVLYFFQIRHEVMRIELISEEKFPVVWKWMGKLSEIDGIKETLSPRDKRFAYTVDASK >Manes.03G132100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25879271:25885849:-1 gene:Manes.03G132100.v8.1 transcript:Manes.03G132100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFKPITAALFLCFFLLPLVFAAHNDGLVRIGLKKRKFDQNNLVAAQFESKEGESLRASIKKYHLRGNLGDAEDIDIISLKNYMDAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSLSCYFHSKYKSGHSSTSKENGKSAAIHYGTGAISGFFTRDHVKVGSLVVKDQDFIEATKEPSLTFLVSKFDGILGLGFEEISVGKAVPVWYNMVNQGLVKEPVFSFWFNRNADEYEGGEIVFGGMDPYHYKGEHTYVPVTQKGYWQFNMGDVLIDGKTTGICSRGCAAIADSGTSLLAGPTGIIAEVNRAIGATGIVSQECKAVVAQYGEIIIEKLLEKDEPQKICSRIGMCTFDGSRGVSMGIENVLNEKIQGVAGSLHDAMCSYCEMAVIWMQNKLELNLTRENILNYVDELCDRLPSPLGESVVDCGGLSTLPNVSFTIGGKVFDLSPEQYVLKVGDGETAQCLSGFTALDVPPPRGPLWILGDVFMGRFHTVFDYASGPWHLHRRSNCPIFPIRKEA >Manes.03G132100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25879252:25885849:-1 gene:Manes.03G132100.v8.1 transcript:Manes.03G132100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFKPITAALFLCFFLLPLVFAAHNDGLVRIGLKKRKFDQNNLVAAQFESKEGESLRASIKKYHLRGNLGDAEDIDIISLKNYMDAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSLSCYFHSKYKSGHSSTSKENGKSAAIHYGTGAISGFFTRDHVKVGSLVVKDQDFIEATKEPSLTFLVSKFDGILGLGFEEISVGKAVPVWYNMVNQGLVKEPVFSFWFNRNADEYEGGEIVFGGMDPYHYKGEHTYVPVTQKGYWQFNMGDVLIDGKTTGICSRGCAAIADSGTSLLAGPTGIIAEVNRAIGATGIVSQECKAVVAQYGEIIIEKLLEKDEPQKICSRIGMCTFDGSRGVSMGIENVLNEKIQGVAGSLHDAMCSYCEMAVIWMQNKLELNLTRENILNYVDELCDRLPSPLGESVVDCGGLSTLPNVSFTIGGKVFDLSPEQYVLKVGDGETAQCLSGFTALDVPPPRGPLWILGDVFMGRFHTVFDYGNMRVGFAEAA >Manes.S035116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:896765:896923:1 gene:Manes.S035116.v8.1 transcript:Manes.S035116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.16G027000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2908595:2911301:-1 gene:Manes.16G027000.v8.1 transcript:Manes.16G027000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYYQFFPFLEMAQMVCGSCRSLLSYPKGARNVQCSSCQMVNFVLEAHEIGQVNCGGCAVLLMYPYGASSVRCSSCHFITEIGVHNRRPPWSVIQGYPPPSPNPVQ >Manes.13G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29172244:29173042:1 gene:Manes.13G098900.v8.1 transcript:Manes.13G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTFLFIGVAFAVLLLISYDVSAHESVGMAQAQESATVDINSFPTGKGHRHGHGHGRGHGHKHRHSHGYGKKGHGHKHGYGGGKTKAMEEVEAGN >Manes.02G046800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3822160:3824892:1 gene:Manes.02G046800.v8.1 transcript:Manes.02G046800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLASCWLMIESIVIPDGFAKWFYLSFYIHPIFLFVCQIFLWLKLILKYLSVVFFYPLKITWYVCLYVFKVFKDRVICFFSSPRLTNSEPSRVEAEDYALVQICDRNQIVTSYGYSNIARISCQQLKVLPLQKYYVLEEESNFQDDHQVSCEDEHMEEESLFFDEDKSTIDDSTSICSSESSSSLNPYQAGSFMDWELRYCSETLLKDANLNECIPSICSSNSPEAENLDVSECSSLLFSSNTVAEDQDINAYSRSICSLNLPAVVAREEPIGLKDQDLDSFYSEYIQRMRWFDVLNYDRTCGISSILNKQETATPDSLESAGSVDFSIFPYMSWSKTVRKKLLRSLESDFELVYVAQSCLSWEVLHYQYIKVEALANSSSQNLLFSDNVVGEFQKFQVLLERFMEEERCEGTRVWNYVRGRFSLKSLLQVPKVSGFFEQEMEKEVIDIKQVLKAIERCIQAFWTFVKTDSTKPWWKLRTSLWTCQPVENPRDLKLFADLTRKLHKKELWLKDSQGKRRCWLRRVVKPDVEESQRKEMLYTMIDIKLISRVLQLPMLSSAQLNWCKEKLHNIQVQEGKIVRASTSGPLFPP >Manes.02G046800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3822160:3824892:1 gene:Manes.02G046800.v8.1 transcript:Manes.02G046800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESLFFDEDKSTIDDSTSICSSESSSSLNPYQAGSFMDWELRYCSETLLKDANLNECIPSICSSNSPEAENLDVSECSSLLFSSNTVAEDQDINAYSRSICSLNLPAVVAREEPIGLKDQDLDSFYSEYIQRMRWFDVLNYDRTCGISSILNKQETATPDSLESAGSVDFSIFPYMSWSKTVRKKLLRSLESDFELVYVAQSCLSWEVLHYQYIKVEALANSSSQNLLFSDNVVGEFQKFQVLLERFMEEERCEGTRVWNYVRGRFSLKSLLQVPKVSGFFEQEMEKEVIDIKQVLKAIERCIQAFWTFVKTDSTKPWWKLRTSLWTCQPVENPRDLKLFADLTRKLHKKELWLKDSQGKRRCWLRRVVKPDVEESQRKEMLYTMIDIKLISRVLQLPMLSSAQLNWCKEKLHNIQVQEGKIVRASTSGPLFPP >Manes.02G213308.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27591522:27610113:-1 gene:Manes.02G213308.v8.1 transcript:Manes.02G213308.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IETHKLFRLKCIKRLKRINCVLKPNQKSTILEGLLKLPENKECADCQCKAPRWASVNLGIFICMQCSGIHRSLGVHISQVRSITLDTWLPEQVAFMQSMGNEKSNRYWEAELPSSRTRDGTEKFIRAKYEDERWVSRRPTRTVAEVIGEMKHKSDIPQHLRSHSLDEGSFAKYMAQIATPAIATRPRAVSFDMNNNALGLVPPKGQTSTKSSNGDGDLFSMIYIPEAKQETPNRVPARWATFDCKIGGEPR >Manes.13G077278.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17628152:17629543:1 gene:Manes.13G077278.v8.1 transcript:Manes.13G077278.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRINTFPELHRRFLCTHLKPLATPPPACPEQITFLVLHASFDEFHTLRNLISQDETPTASTTPQKSMLSLSDNHFLSVLKFHLLLNYTILVQLLALSPCYHPSEARPERRSLDNVNMFNIYGEEATSFSCFCTFGL >Manes.13G144700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35824646:35826409:1 gene:Manes.13G144700.v8.1 transcript:Manes.13G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWLNPIPFRALLIFSLLHLSCLFNNVAAKHGSSTHHHHHSRTSNRRLQQAFIALQAWKRVIFSDPRNSTSNWVGPDVCKYTGLYCTAALDDPKIRVVAGIDLNHADIAGFLPDELGLLTDLALIHLNSNRFCGIIPQTISNLSLLYELDLSNNRFVGPFPSAVLSLPKLTYLDLRYNEFEGPLPPQLFQKKLDAIFVNNNRFTNVIPAFLNGSTATVVVIANNNFGGCLPPSISNFAESLEELLLINTNLTGCLPPEIGYLYKLRVLDVSHNKIVGPIPYSLAGLAHLELLNIAHNMMSGLVPDGVCVLPSLSNFTFSYNFFCEEEGICMNLTSRGVAFDDRRNCLPEKPLQRSKEVCNPVLEHPVDCHEQRCAVGGGGGRFFGAAAPFGPAIVPAATPMFAPSIAPSST >Manes.11G136301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30130560:30132455:1 gene:Manes.11G136301.v8.1 transcript:Manes.11G136301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTMLLTLILVVLLSISLQAFSQERYYDLRIDCGAFNQTAARNQDGHIVQWLTDQNFAYTGENQLLSYSQHFSTMNSLRYFPAGQRNCYFLPLDSSDRKFLFRAGFYYGNYDGLSKPPSFNLEIDGNFWTTVTTNSTNNNKPVYYELIYRIKRDSAQVCLVQTSDEIPFISSLEANEIIPEDVYRLMENNTGLLLHSRINYGANATIEQLNREQDWFNRIWKAKKMSEYLNIVSAIIVDDTMLGENYPPWPVMQTAIQAKNISDSIHLSVNFSAQTTTVAYFVLYFRDPIGRFTESIAQVEIFIDSQKLGATDVPPDYRTTDVFHVVSFYPVKVNGSANVTISPAKNSTLAPLLNAMEVFSVVNMPYASKAAYLPNLSVVSCLVFLHLLTIIVSATELF >Manes.05G160500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27363789:27370202:1 gene:Manes.05G160500.v8.1 transcript:Manes.05G160500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSDFAQKLLDDLRARKDRISASQSSKSSKPLAADAYSYSKQAYRGSREMKTHGPSGLKNGSACHKSTGGSRTLSVGEASNKIIPFGRGRSSEHGDLSLALAFALENGGKLRRMDSAGNSSMLDFLNQIGRRSFDLAKMERSSIDRHRSATSHFPTVSHLHIKEISKGAQKLNQILSACSNGLNFDRYSIEIGTELLKGAMELEESLRMLVNLQEASEYMISPQSKTRITLLDDDEDNDNINVQQDDNKQLAQRIFSFDKPSKNSHYIQEAARTDLKQRLMALTYKSETTNFDHDTHTLSTTNSTFQTQPASYSPNVKTFQALSEHKNHSGPSKLKPENGRIPNVIAKLMGLEELPGNDGSKYITNKESSSKGKTEQTAAKKPPDGSLTHERKTKDAGILSSPIRKHKQIQSNQIQSAQDMTHSLQAERNLANRHTRFEGSIHAVKLVQEDVEWIKPTRSSNKANMNIAKHQSNIDQSSQSIGNQKDIKEKEQKQDNPKLRELKSNKKGETKELILKRQPQQMTHQPPNGSEAATLLQGQVECNLTMLKTERIDVHRLPSDNQLKSFDDLAFQQTQMLQNVESQGGKHHAEENQQQSVKEKIQVRRQIGSETRRLPKKHSHKSPAIADNGSYSESNGTIQSTGFPNKRQHGDLVQEKSSSNCSINMQDSMNKNSNQNTSPRNLNSEVIKGKNRTGIPPEKEEKPVHLLPAVQKGKVTKVQKVEVPQKTDKLAIRRIGNPHNLARPLKHQTSIMQQTKQRRNKKLVQSKEEERLRPSRSKEAESCIIKSNKSVSSMQQPNMLEELQSQAEKPSTLCCPPTDDECQNLNGPQILAPDEISSSMIKDQQGHEPDIDIDKCISHSSLLDPLSRTHEDRKDTTYPSQLENQKVSELETQELLTESEDHLKQILIKSPLFLNTAEALFKLNIPLDIRHAGGHDYHDEESKLILDCGYEVMKRKGKRQELSVNPFRRISITSPKVSSLDDLIKQLDKDFKKLKYTTECVVEDYLPKMLEIDVYNRDLDVNCMWDFGWHEMTFTLLEKDDVIRDVERHVLNALLDEVTRDLLVF >Manes.05G160500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27363789:27370202:1 gene:Manes.05G160500.v8.1 transcript:Manes.05G160500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHGPSGLKNGSACHKSTGGSRTLSVGEASNKIIPFGRGRSSEHGDLSLALAFALENGGKLRRMDSAGNSSMLDFLNQIGRRSFDLAKMERSSIDRHRSATSHFPTVSHLHIKEISKGAQKLNQILSACSNGLNFDRYSIEIGTELLKGAMELEESLRMLVNLQEASEYMISPQSKTRITLLDDDEDNDNINVQQDDNKQLAQRIFSFDKPSKNSHYIQEAARTDLKQRLMALTYKSETTNFDHDTHTLSTTNSTFQTQPASYSPNVKTFQALSEHKNHSGPSKLKPENGRIPNVIAKLMGLEELPGNDGSKYITNKESSSKGKTEQTAAKKPPDGSLTHERKTKDAGILSSPIRKHKQIQSNQIQSAQDMTHSLQAERNLANRHTRFEGSIHAVKLVQEDVEWIKPTRSSNKANMNIAKHQSNIDQSSQSIGNQKDIKEKEQKQDNPKLRELKSNKKGETKELILKRQPQQMTHQPPNGSEAATLLQGQVECNLTMLKTERIDVHRLPSDNQLKSFDDLAFQQTQMLQNVESQGGKHHAEENQQQSVKEKIQVRRQIGSETRRLPKKHSHKSPAIADNGSYSESNGTIQSTGFPNKRQHGDLVQEKSSSNCSINMQDSMNKNSNQNTSPRNLNSEVIKGKNRTGIPPEKEEKPVHLLPAVQKGKVTKVQKVEVPQKTDKLAIRRIGNPHNLARPLKHQTSIMQQTKQRRNKKLVQSKEEERLRPSRSKEAESCIIKSNKSVSSMQQPNMLEELQSQAEKPSTLCCPPTDDECQNLNGPQILAPDEISSSMIKDQQGHEPDIDIDKCISHSSLLDPLSRTHEDRKDTTYPSQLENQKVSELETQELLTESEDHLKQILIKSPLFLNTAEALFKLNIPLDIRHAGGHDYHDEESKLILDCGYEVMKRKGKRQELSVNPFRRISITSPKVSSLDDLIKQLDKDFKKLKYTTECVVEDYLPKMLEIDVYNRDLDVNCMWDFGWHEMTFTLLEKDDVIRDVERHVLNALLDEVTRDLLVF >Manes.16G133050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33309403:33310408:1 gene:Manes.16G133050.v8.1 transcript:Manes.16G133050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYNTTNPCEANEYSVSVCWFMHHTVINFVFAALYQDGNVMTILRNCTSLDQEDIKIRRREFVFAILFGLKAVTHMCLMRPCSELLKILKSPVGTLIIHYHYRTFKIFIIAVFKLRDIVQILFFVFSSMVRF >Manes.17G034600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16663213:16666818:1 gene:Manes.17G034600.v8.1 transcript:Manes.17G034600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYMELELPFARHFSANAADVKVDRDDQVLENYKRSFQIDGEVASADVLRAELFHVRTDVENLTVYSQELTAINGDHAEAIKNTFEPEIETFRREVQRGRFSDQTLHILESLLVCKDVKSLMGTRSRLTEFMRSEFLSVMRDIKEKTVQQKLWILEFFVHTFALLGDIEGCLALKYESLLLREVKSSDCQFLQVSCMEWLNFAERLLDNGFYPIARQACENALLHIKKDDGEFSGNKRIKRLRDHAVICAASGSVQALATEYLKRKTIEKSNISSPISKEAQCMASSLFRNGIKKRNVRQLLESQRTRVPEIFKFTAP >Manes.05G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:348676:350337:-1 gene:Manes.05G004000.v8.1 transcript:Manes.05G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSSVRDLFFPFSESRCSAKQSTVTMVSISSDSASMPKYVLDAYCKGDELKFANPSSNPNCYAQVMLVAGDHRVGIFAKEHIEAITEELFYDYRYGPDQAPGWTRKPEGPRTRKTSVSKPT >Manes.05G004000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:348676:350346:-1 gene:Manes.05G004000.v8.1 transcript:Manes.05G004000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLQYVLDAYCKGDELKFANPSSNPNCYAQVMLVAGDHRVGIFAKEHIEAITEELFYDYRYGPDQAPGWTRKPEGPRTRKTSVSKPT >Manes.05G004000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:348757:349085:-1 gene:Manes.05G004000.v8.1 transcript:Manes.05G004000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLQYVLDAYCKGDELKFANPSSNPNCYAQVMLVAGDHRVGIFAKEHIEAITEELFYDYRYGPDQAPGWTRKPEGPRTRKTSVSKPT >Manes.05G004000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:348676:350396:-1 gene:Manes.05G004000.v8.1 transcript:Manes.05G004000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLCGSFCQNLMLLGWGAFSKYVLDAYCKGDELKFANPSSNPNCYAQVMLVAGDHRVGIFAKEHIEAITEELFYDYRYGPDQAPGWTRKPEGPRTRKTSVSKPT >Manes.02G111800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8633504:8634427:-1 gene:Manes.02G111800.v8.1 transcript:Manes.02G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSPKPTRSYNVRSISFPARSHPKICRIEEELNKLSYWDTSLANAETIHAGLSSLGEIYRCIEDLLNLTSTQQALAQQQEEKWVGDMLDDLIRYLDVCSFTIDAISLMKESVRDLQSALRRYKGGGDSSIENNINAYILCRKKMKKETAKSLASLKQKDSISAEPSLLTANDHYLSAVVKALREASWITISIFSSLFLFLSVPVLKPKHSKWSLLSKLVHKRSAVACEGQPEKMNELENVDLALTNLLVTSPSKDLEPQKIEGAQKMLETLDISIHEFENELECLFRHLIHTRVSLLNILSHQLE >Manes.05G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11634134:11635447:-1 gene:Manes.05G113100.v8.1 transcript:Manes.05G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEPTTLLPHSPALQSPTDLPGYLQEKELSQDLRDLILSLPAEKGWVASYLHQYQGFWHTTRQLLGVLACQKHFQAHDTDIVLVTTPKSGTTWLKAIMFALLNRSRFPDSKQHPLLTTNPHVLVPFMEHEYIDTQFPDFSRNPKDSFVSLWHFTNRLKEPKETGENSLDETFDKFCRGVSLYGPFWDHVLGYWKVSLENPEKVLFLKYEDCKKEPKVLLRRLSEFLGCPFSQEEETCGVIGEILKLCSFENLSNLEVNRTGKLPSGEENCTFFRRGEVGDSVNHLTAEMLEKMDQITEQKFKRWGLNLS >Manes.05G197450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32176643:32177414:1 gene:Manes.05G197450.v8.1 transcript:Manes.05G197450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQPSYCKGTGSDPLSKKEVFPKSQAGLWIDDSYSINNGGVVLTKSKKPEEPTKATRKRARPGESTRPRPKDRQQIQDRMKELKGIIPDGGKVCNLKSLL >Manes.15G002700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:241483:245628:1 gene:Manes.15G002700.v8.1 transcript:Manes.15G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLALFLSAARLAGVLATLTVAANAFSFERYRRKNLRQFKSPIDESSDILASFNVNEEENQFFFGLATAPAHVEDRLNDAWLQFAEESPCDRSLSHQSLEPADALMGSATGDGGSQEAPISGKEINKIVKKKKHLKIAMEAMIRGFQKYTEEEVPVPNEECHHNVAAWHNVPHPEERLKFWSDPDTELKLAKDTGVSIFRLGIDWTRIMPAEPVNGLKETVNFAALERYKWIINKVRSYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMYFTRLVVDSVSELVDYWVTFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFNQAMHWMAVAHAKAYDYIHQQRTSSSSIVGVAHHVSFMRPYGLFDVAAVSLANSLTIFPYVDSISDKLDFIGINYYGQEVVCGAGLKLVETDEYSESGRGVYPDGLFRMLVQFHERYKHLKLPFIITENGVSDATDVIRRPYLLEHLLAIYAAMTMGIPVLGYLFWTISDNWEWADGYGPKFGLVAVDRENGLARIPRPSYYLFSKVVTTGKITREDRAQAWYDLQRAAKEKRTRPFHRAVNKHGLMYAGGLDEPIQRPFIERDWRFGHYEMEGLQDPLSRLARWFLQPFGIRKRRKHGVDDDEFVLQTLEHTV >Manes.15G002700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:241483:245628:1 gene:Manes.15G002700.v8.1 transcript:Manes.15G002700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTQLKLAKDTGVSIFRLGIDWTRIMPAEPVNGLKETVNFAALERYKWIINKVRSYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMYFTRLVVDSVSELVDYWVTFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFNQAMHWMAVAHAKAYDYIHQQRTSSSSIVGVAHHVSFMRPYGLFDVAAVSLANSLTIFPYVDSISDKLDFIGINYYGQEVVCGAGLKLVETDEYSESGRGVYPDGLFRMLVQFHERYKHLKLPFIITENGVSDATDVIRRPYLLEHLLAIYAAMTMGIPVLGYLFWTISDNWEWADGYGPKFGLVAVDRENGLARIPRPSYYLFSKVVTTGKITREDRAQAWYDLQRAAKEKRTRPFHRAVNKHGLMYAGGLDEPIQRPFIERDWRFGHYEMEGLQDPLSRLARWFLQPFGIRKRRKHGVDDDEFVLQTLEHTV >Manes.04G159800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35445375:35446882:1 gene:Manes.04G159800.v8.1 transcript:Manes.04G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAGSCFTNCPDFPQDFYLSMPSPILNSPPFSSFSSSLLHSFLSYTFLAITMGMSAEAHHFHVLAVDDSLIDRKLIERLLKTSSYHVTAVDSGSKALEFLGLNEDEQIDSIPTSVSPDHHHHQDIEVNLIITDYCMPGMTGFDLLRKIKESKSFKNIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQLSDVNKLRPHLMKGKSQENEHNNKRKVDMGIIHSPERTRTRYNDLEVV >Manes.18G051600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4409934:4411977:1 gene:Manes.18G051600.v8.1 transcript:Manes.18G051600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGISDGVCNTGLGLALSCHDKQQHCSQSDHHLQQKKQKLSLKYDHMFPSLTLGLPQEPYPSAAKVEADFQPQASSPSAVSSFSNSSVKKEREFCGEEAEVERVSSRVSDEDEEGSPRKKLRLNKQQSATLENSFKEHSTLNPKQKQALAEQLNLRPRQVEVWFQNRRARTKLKQTEVDCEVLKKCCETLTEENKRLQKELQELKSLKLTAPLYMQLPAATLTICPSCERINSGDASSTTTTLTVGPKPHFYSPFTHPSAAC >Manes.08G076375.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:17095301:17096233:-1 gene:Manes.08G076375.v8.1 transcript:Manes.08G076375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSAIVFLVLYVDDILLIGNDISTLQKVKTWLENSFSMKDLGEAAYILGIKIYRDRSKRIIGLSQSTYIDKVLKRFSMQDSKRGFLPMSHGIHLSKNQCPMTSDERERMNKIPYASAIGSIMYVMLCTRSNVSYALSTTSRYQADPSESHWTAIKNILKYLRRTKDAFLVYGGLEDELVVSGYTDASFQTDKDDFRSQSGFVFNLNGGVVSWKSSKQSTIADSTIEAEYIAASDAAKRAVWLKEFISELGMVPSIANPMDLYYGNNSAIAQAKEPRSHQRSKHILRRYHLIREIIDKRRYQNMQSRHK >Manes.18G035800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3184772:3185062:-1 gene:Manes.18G035800.v8.1 transcript:Manes.18G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILATRGVPEYGRREMTVGELTRWLKSFDTDKDGRISKEELADAIRADGGWFARRRSKRGIQAADSNGNGFVDENEINNLIEFAKKYLGVKIISL >Manes.08G045100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4496156:4500118:1 gene:Manes.08G045100.v8.1 transcript:Manes.08G045100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMDRSLRDWAWALISLWHSINHLGLLVFSSANSRSPSLLALAVMAESTMNRTGNVRNEEEDEEEDYMGDLSQFLPPETSNSSKFSAKKITSNKTSAVQPSKKKFKTLSWQERRRLERERSQQEEDEQTLAKIEAPIPQSNIGFKLLQQMGYNPGSALGKEGSGRAEPVGIEIRRTRVGIGREDPHKEKRKREKVEAEMKTRNEEALMADFGSRQKSQWRSRRVVVNFRKAKAALDQLENKEIVETKKNEEEEEEEEEEEEEEEITEEDLQEILMKLRDEHRYCLFCGFQYETMEALLSNCPGTDEDDH >Manes.07G026000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2813865:2817418:-1 gene:Manes.07G026000.v8.1 transcript:Manes.07G026000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHSGKTNKNAFKTLIAAEYSKVKVELVENFEMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVTRLKADNPLYGSSVIDYARIEQWIDFASLEIDANLLAWIKPRMGYAQYLPPVEEATISALKRGLGALNTHLASNTYLVGHSVTLADIITTCNLSMGFAYLMTKSFTSEFPHVERYFWTMVNQPNFKKILGEVKQTESVIPIQSTKKPAQPKESAKSKPKDEPKKEAKKEKEPAKPKEAAEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGENPPYKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDEAQKERVNQMIEDQEPFEGEPLLDAKCFK >Manes.02G183700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14677827:14685115:-1 gene:Manes.02G183700.v8.1 transcript:Manes.02G183700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGIIAGSTAGVIAEAALYPVDTIKTRLQAAHDGGKIMFKGLYSGLAGNLVGALPASAIFFGVYEPVKQKLLKTLPENLSAFAHLTAGAIGGAVSSLIRVPSEVVKQRMQTGQFASAPTAVRLIVTKEGFKGLYAGYGSFLLRDLPFDAFQFCIYEQLLMGYKLAAQRDLKDPEIAIIGAFAGAITGALTTPLDVVKTRLMVQGPANQYKGFFDCARTITKEEGVHALLKGIGPRIIWIGVGGAIFFGVLEKTKQILAQRCPGPPGKSISFKQD >Manes.02G183700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14677827:14685115:-1 gene:Manes.02G183700.v8.1 transcript:Manes.02G183700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTTVQLCKFASMEVRNSQTFSLEEEQRKCHGNGFEYKEFISQKEPEKFVASVSAGEDKPFDFLGALYEGIIAGSTAGVIAEAALYPVDTIKTRLQAAHDGGKIMFKGLYSGLAGNLVGALPASAIFFGVYEPVKQKLLKTLPENLSAFAHLTAGAIGGAVSSLIRVPSEVVKQRMQTGQFASAPTAVRLIVTKEGFKGLYAGYGSFLLRDLPFDAFQFCIYEQLLMGYKLAAQRDLKDPEIAIIGAFAGAITGALTTPLDVVKTRLMVQGPANQYKGFFDCARTITKEEGVHALLKGIGPRIIWIGVGGAIFFGVLEKTKQILAQRCPGPPGKSISFKQD >Manes.02G183700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14677827:14685115:-1 gene:Manes.02G183700.v8.1 transcript:Manes.02G183700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTTVQLCKFASMEVRNSQTFSLEEEQRKCHGNGFEYKEFISQKEPEKFVASVSAGEDKPFDFLGALYEGIIAGSTAGVIAEAALYPVDTIKTRLQAAHDGGKIMFKGLYSGLAGNLVGALPASAIFFGVYEPVKQKLLKTLPENLSAFAHLTAGAIGGAVSSLIRVPSEVVKQRMQTGQFASAPTAVRLIVTKEGFKGLYAAQRDLKDPEIAIIGAFAGAITGALTTPLDVVKTRLMVQGPANQYKGFFDCARTITKEEGVHALLKGIGPRIIWIGVGGAIFFGVLEKTKQILAQRCPGPPGKSISFKQD >Manes.11G152176.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31827428:31859290:1 gene:Manes.11G152176.v8.1 transcript:Manes.11G152176.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLIEVSDSEVRLDFMLNSKCRANVRLRSLSATTPIAFKVQTSSPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPSDRFLFSLRPFPPNSSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSSGDVNSVKNIIKRQRSILSELSPREAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWCELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHEEILETLERGEVVLMAARRGNLEHLESLLKKGANENYKDQYGFTALRAAAIKGHKDIVSMLVDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGS >Manes.01G199601.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37331792:37332303:1 gene:Manes.01G199601.v8.1 transcript:Manes.01G199601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLKLVVSRNEKMRQLMSLLLLGTTLVFLMSMQTHEAIRVPFPHGEEAVGLLESLRSNDPPSGSSKCTHIPVSSEGECLNEKNYAGHSMASRRPDQRLMSPGRISANRK >Manes.12G040759.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3533151:3534046:-1 gene:Manes.12G040759.v8.1 transcript:Manes.12G040759.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKFKKPIFTSSKGQLLLLISLGFGLRPPIRVCQKKNSSFACKTVQEIIFQEKLLIQAFGILKQRIIWGFFWIKPQIHLPFCWKIHQPSKRVKTLKRRHVIKISKSHSNQPQGKGLLQITKNRPRVEGNPYSIQFN >Manes.12G133200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34019699:34023611:-1 gene:Manes.12G133200.v8.1 transcript:Manes.12G133200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTALQDSCYRYSFSRAGLKSFTTELGDGTVMHCWVPKTHVRSKPTLLLIHGFGANAMWQFNYFIPQLKSKFNVYVPDLLFFGDSYTTRPERTKAFQAQCVMALMDALNVTNMDLMGMSYGGFVAYSIAAQFKERVGRVVLGCAGVCLGKDTEKGLFRLSVDDAINILMPQNPEKVRELVRLSFHKPPPTGPNCFLNDFIEVMCTEYRQEKKELIQALHEDRELSNLPKITQPTLIIWGEYDQIFPVVMAHTLKRNGAPSKSQIVTVAIAIRGGVYSTTA >Manes.12G133200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34020671:34024354:-1 gene:Manes.12G133200.v8.1 transcript:Manes.12G133200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCFSFTALQDSCYRYSFSRAGLKSFTTELGDGTVMHCWVPKTHVRSKPTLLLIHGFGANAMWQFNYFIPQLKSKFNVYVPDLLFFGDSYTTRPERTKAFQAQCVMALMDALNVTNMDLMGMSYGGFVAYSIAAQFKERVGRVVLGCAGVCLGKDTEKGLFRLSVDDAINILMPQNPEKVRELVRLSFHKPPPTGPNCFLNDFIEVMCTEYRQEKKELIQALHEDRELSNLPKITQPTLIIWGEYDQIFPVVMAHTLKSHIGESAELVVIKNMGHALKPKEMFKHMKSFLIDTPPPTKKGNCTNAHKMLNFN >Manes.04G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33266162:33271543:-1 gene:Manes.04G131100.v8.1 transcript:Manes.04G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPNTSPPPEDAWLLAYQKLLPHWRSLALSSHQSKLPISISRVNQFDAARLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDDRAVEPREKVRTGLEGPGLTIAQKVWYCIATVGGQYIWARLQTFSAFRRWGDSEQRPLARRAWILIQRIEGLYKAASFGNLLIFLYTGRFRNLVERVLRARLVYGNPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKNLLGPFSKDKSSSSTGADGTCPVCQAIPTIPFLALPCQHRYCYYCLRTRCAASPSFRCPSCSEPVVAMQRHGFSASDAPD >Manes.02G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2086585:2088299:1 gene:Manes.02G023600.v8.1 transcript:Manes.02G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLLLRIYISLHLQNRAFLPTPLLMAKIFPQKPIFSPFMTFKRETFTVWMKSLVCHTNGCTVFDSNGDIIYRVENYDTKCNDEVYLMDLRGRVLVTIRRKRLLLVFGRWYGYRWNPANIDKEKPWFEIKKYCRICVGAAACQVTVGLDKYWVVKLRHKAAFRIVDLDGDIVAEVKQKQSPAGTALGDDVLTLIVEPHIDHSLIMAILTVYGLINYKM >Manes.17G015176.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8037222:8038683:1 gene:Manes.17G015176.v8.1 transcript:Manes.17G015176.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.13G090021.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12786568:12790689:1 gene:Manes.13G090021.v8.1 transcript:Manes.13G090021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMDKYELVKDLGAGNFGVARLLRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLISGVDYCHFKQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQKDPKNFRKTIQKIMAVQYKIPDYVHVSQDCRHLLSRIFVANPARRITIKEIKSHPWFLKSLPKELTESSQAIYYQRDNPSYYSVQSEEEIMKIVSEAKTRTPTSAPVKGFGWGGAEDEENEEIDEEVDEDEDEDDEDEYDKRVKEAQASGEYQIS >Manes.08G151211.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39183277:39183654:-1 gene:Manes.08G151211.v8.1 transcript:Manes.08G151211.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATTSNFLLPAGISWNPPAYSRAVEYAKSIGLKFTQPDLDVQMASTWWLYRPVLTGLHFCLECPLPEENFTLNTAVLRTLFCNDASGGFMVNLFDLTPVGTDTYGSMLRNPQYEVDVTTYYAIEDAG >Manes.04G032600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4149318:4150525:-1 gene:Manes.04G032600.v8.1 transcript:Manes.04G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.06G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21784696:21788312:1 gene:Manes.06G082400.v8.1 transcript:Manes.06G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDKSSNPSHEISFQTTEYQQRLQWPLLALLLLMMLSHAAAYDPLDPNGNITIKWDVMSWTPDGYVATVTMSNFQMYRHIMSPGWTLSWTWDKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQYSNCCKGGVVAAWGQDPSASVSAFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTIFFTPDRRRKTQALMTWNVTCTYSQFLASKNPSCCVSFSSFYNETITPCPSCACGCQNKHNCIMGNSKEAHRKGINTPKKDNTPLLQCTHHMCPVRVHWHVKTNYKEYWRAKIAVTNFNYRLNYTQWTLVIQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKFYNDLLMEAGPFGNVQSEVLLRKDKNTFTLKQGWAFPRKVYFNGDECKMPSPDAYPYLPNSAYANPVAYSTMAASLLLMFVLLW >Manes.14G132500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10527112:10531054:-1 gene:Manes.14G132500.v8.1 transcript:Manes.14G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQLVFLVIFVVGLPVQGQLRNGFYASSCPNAENIVRSTVESHFQKDPAIAAGLLRLHFHDCFVQGCDGSILITGSSAERSALPNLGLRGFEVIDDAKSQLEASCPGVVSCADILALAARDAVDLSDGPSWAVPTGRKDGRVSSSSQASNLPSPLDSIAAQKQKFAAKGLDDRDLVTLVGAHTIGQTDCIFFRYRLYNFTTTGNADPTINPSFLGQLQALCPKNGDGSKGVALDTDSQTKFDASFFKNVRDGNGVLESDQRLWDDASTRNFVQNYAGNIRGLLGFRFNFEFTKAMIKMSSIEVKTGSDGEIRQICSKFN >Manes.07G003900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:657964:666062:-1 gene:Manes.07G003900.v8.1 transcript:Manes.07G003900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEAPSSLFVNDGSFMERFKQLQQENGKDQEKDKGAVEKESKPKTIISGTPIPKPSTGKITMQLKSNSASKTPQLPTGGKLAFSLKQKSKIVAPPVKLGEDEEDEDETDAGSVSVDTSAKRQKLGELDAPEKSKQVDVAPPSPSDPTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFTFLFDKTCSDYKYYEFRLAEEERALSQTKYTEISPGGATSSLASKSTGSSQRLHQQQLNYQIPTSALYDVTDELGGPFTSAQTASAGRTAAGESSASGGTDPIAMMEFYMKKAAQEEKRRQPKQSKDEMPPPSSLQGAPSKRGHHMGDYIPQEELEKFLASCNDATAHRAAKETAERSKIQADNVGHKLLSKMGWKEGEGLGSSRNGMADPIMAGNVKKDNLGVGAHQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Manes.07G003900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:658527:666062:-1 gene:Manes.07G003900.v8.1 transcript:Manes.07G003900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEAPSSLFVNDGSFMERFKQLQQENGKDQEKDKGAVEKESKPKTIISGTPIPKPSTGKITMQLKSNSASKTPQLPTGGKLAFSLKQKSKIVAPPVKLGEDEEDEDETDAGSVSVDTSAKRQKLGELDAPEKSKQVDVAPPSPSDPTVKKVADKLASFVAKNGRQFEHITRQKNPGDTPFTFLFDKTCSDYKYYEFRLAEEERALSQTKYTEISPGGATSSLASKSTGSSQRLHQQQLNYQIPTSALYDVTDELGGPFTSAQTASAGRTAAGESSASGGTDPIAMMEFYMKKAAQEEKRRQPKQSKDEMPPPSSLQGAPSKRGHHMGDYIPQEELEKFLASCNDATAHRAAKETAERSKIQADNVGHKLLSKMGWKEGEGLGSSRNGMADPIMAGNVKKDNLGVGAHQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Manes.16G074600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27716063:27722864:-1 gene:Manes.16G074600.v8.1 transcript:Manes.16G074600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDGGSKIAMDNGKYVRYTPEQVEALERLYHDCPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTNATNLATTDTSCESVVTSGQHHLTPQRPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCPGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNALPTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESPTLLAQKNTMAALRHLRQISQEVSQPNGSGWGRRPAALRALSQRLSKGFNEAVNGFTDDGWSMLESDGIDDVTVLVNSSPGKMMGLNISYANGFPSMSNGVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYAAAAVKAGPCTLPVSRVGNFGGQVILPLAHTIEHEEFMEVIKLENMGYREDMLMPGDIFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSRMDATSPNRTLDLTSALDVGPTGNKASGDLSGNCGSTKSVMTIAFQFAFELHLQENVASMARQYVRSVIASVQRVALALSPSHFGSHAGLRPPPGTPEAHTLARWVCQSYRIYLGVELLKSEGSESILKSLWHHTDAVMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLCSEFPQIMQQGFMCLQGGICLSSMGRPVSYERAVAWKVLNEEETAHCICFMFINWSFV >Manes.16G074600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27716063:27722864:-1 gene:Manes.16G074600.v8.1 transcript:Manes.16G074600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDGGSKIAMDNGKYVRYTPEQVEALERLYHDCPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTNATNLATTDTSCESVVTSGQHHLTPQRPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCPGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNALPTGNGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLEPWSVPEVLRPLYESPTLLAQKNTMAALRHLRQISQEVSQPNGSGWGRRPAALRALSQRLSKGFNEAVNGFTDDGWSMLESDGIDDVTVLVNSSPGKMMGLNISYANGFPSMSNGVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYAAAAVKAGPCTLPVSRVGNFGGQVILPLAHTIEHEEFMEVIKLENMGYREDMLMPGDIFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSRMDATSPNRTLDLTSALDVGPTGNKASGDLSGNCGSTKSVMTIAFQFAFELHLQENVASMARQYVRSVIASVQRVALALSPSHFGSHAGLRPPPGTPEAHTLARWVCQSYRIYLGVELLKSEGSESILKSLWHHTDAVMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLCSEFPQIMQQGFMCLQGGICLSSMGRPVSYERAVAWKVLNEEETAHCICFMFINWSFV >Manes.01G120500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31677528:31679628:1 gene:Manes.01G120500.v8.1 transcript:Manes.01G120500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQTQQLHFVLFPLMAQGHMIPMIDIARLLAQHGVMISIVTTPLNAARFKTVLARAVKSGLKIQVFELQFPFEIAGLPEGCENFDMLPSLEMGKHMFTAIIELEKQADKLHEELIPQPSCIISDMCVTWTTRIASKWKVPRISFIGYSCFCMLCGHNMRVSKVLENITSESEYFTVPGLPDNIKFTKAQIPFLVNLDELANRILAAEKDSYGLIINTFEELEAPFLQQYKKAGQYNRIWCVGPVSQCNKDTLDKAERGNETCIKGHECLRWLDSWQPGSVVYACLGSLPNIPTSQFTELGLGLEASNRPFIWVVRGGERSKEIEKWISETGFEERTKGRGLVMCGWAPQVMILSHPAIGGFLTHCGWNSTLEAISSGVPVITWPLFADQFCNEKLAVQVLKIGVRVGVEVPERGVEEGQNGVLVKKEDVEKALNDLMKEGEEREERRRRVKELAEMAKKATEEGGSSCLNIKLLIQDIMQHVNEEASPPPIKYPENS >Manes.06G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9891052:9900459:-1 gene:Manes.06G034100.v8.1 transcript:Manes.06G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVVSFASSFLLSSSSPSSSSSSSLFFTKFSSAPVLRFSFAVAPLCYPRGGGGARKLMARSLSRATLGLTQPANIDVPKISFAAKDVDVVEWKGDILTVGVTEKDMAKDESTKFQNSFLKKLDSHLGGLLSEASSEEDFTGKASQSIVLRLPGLGSKRVGLIGLGQSASTTLAFRILGEAIAAIAKSAQASNVAIALASSESIPNESKLNTASAIATGTVLGIYEDNRYKSESKKPVLKSLDILGLGIGPEIEKKLKYAGDVSSAVIFGRELVNSPANVLTPAVLAEEASKIASAHSDVISATILNAEQCKELKMGSYLGVAAASANPPHFIHLCYKPPSGPVKAKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKAIGEIKPPGVEAHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAGVFTPSDDLAKEVFTAAEVSGEKLWRMPLEESYWESMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDLAGPVWNEKKRAATGFGIATLVEWVLHNSS >Manes.07G122700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32645374:32647757:-1 gene:Manes.07G122700.v8.1 transcript:Manes.07G122700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSIHITALDGIVNVNSLFTLAVFVGLAWNPTDPNSSLITDPTSPCAAGSTIVENIVSYHVFSFSSFLFSSLIALALKQALRISKTTDFHSHSHHLPEFFVRVNKNSLRVGMLASGVGSVIGCVFLMLALINVVQFKLGTLACGSGYSFAAVVPLVIFVPLALLIYVCIVLYAFTR >Manes.02G215400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35538112:35552467:-1 gene:Manes.02G215400.v8.1 transcript:Manes.02G215400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKLVVDPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSHAKQRVEHTVEVARRNPVEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGENFPRVSYRAPPQGIGAVKECEAFESRTSVVDAILNALKDADVNLIGVYGMGGVGKTTLVKHIATLVRELGNFKLVVIATVTHSVVLTSVQQEIAEWLDFELGAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWNLFEKKVGDLKDSNLQSIAMEVAKRCAGLPILIVTVATALKKKQLFEWKDTLESLKKFDGKGYEERLYSALELSYNFLRNEEKSLFLLLGQLPPIVFIQDLLKYVVGLGLYNELITVEATRNRLLKVISDLRLSCLLLEDGDHKRVKLHDVVHNFAASFASKHHQVLTAANKIELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSGMKELKVLDLTKINLSPLPSSLQSLENLQTLCLDFCDLEDTAAIGELKQLQVLSLVGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPANVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIVDANIIPKDIFSEKLESFRVFIGDVWDWANNDYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELNDQGFPELKHLRVQNSLDIQYIIDRMKRNAFPKLESLFLHNLNNLEKIYRGPYTVGSFSHLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCKIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIENLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEILADSFGRLKVLKVLNGKQLLEIFPSKLLEKFLVNLESLTVRYCDSVKEVFDLQAIIKEREAHVVRHSQLRTLDIRHLPNLIQIWNRDPHGVLSFYNLREVYARDCPNLKKLFPFSVAQDLPHLEFLRIIRCGMEEIVTKEERAEALAIIPKFAFRGLKTMVLRELDELKYFYSGKSVTLDCPQLKHLNVFFCAKLETFNFKSQEIQEMLIDKQEDELKLQIPQPLFSFREIIGNLEELAINDQDAAMIQQSQFPMHLFDELKILHLQSFGYSFLNLPLNLLQKFRNLEKLVLKDCYFKELLQHGHGHDPVLSQIRCLKLIRLPNIRHVWNQDSPFFQNLETLEIWDCYGLTNLAPSSATFQNLTTLHVWSCNGLSSLVSSSTAESMHNLATMIIEESDTIAEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKGFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSVAVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPILRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPQLNSIILESLPRLINFSSGSGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWHAQHLKMSSYTENLTSLIVDGCRSLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTAEHCEELLKIFPSMLLRRLQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRNLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVWDCPNLKAIFPATIAKNLLQLETLNVQSCGGVEEIVAQDQGTEASIEFLFPCLELLILRELNELKCFYSGIHTLETSLLKHLIVYHCEKLNIFCPESENLLETDTESQPLFSFRKVVSNLEKLTLTRKDAAMILEGQFPADLFHKLTNIGIHCFHDESAVFPFDLLERFQPMEILQVDCSQFKKLFLYDGSVGRKKYAKVLRLVRVLALNNLPNLTDIGNQDSQLDQVLQSLELLHVERCNSLVALAPSSTFQNLITLKVLKCNGLLSLVTSSTAKSLVQLTTMSIKECDGLKEIVANDGDEIELKEDIIFRKLESLELHYLPSLVCFCSSEHNFKFPFLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNASIQQLFPEINARE >Manes.14G120866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14615661:14616923:-1 gene:Manes.14G120866.v8.1 transcript:Manes.14G120866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNMVLSLFISVLVSSLMVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQASGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSNGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIIFSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNANACAWSNGVSSCGTSNSMTNSWLSEELDSTSQERLQWVRKNYMIYNYCTDANRFPQGFPPECNLS >Manes.02G179200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14186469:14189658:-1 gene:Manes.02G179200.v8.1 transcript:Manes.02G179200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDSNNTVLPTSGHVVVTATMVHEGGQVGAAVAATAPNNLSGEEDKSIIRVDEGDQMSYGSNRWPRQETLALLKIRSDMDSVFRDSNLKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKEGRTGKSQGKTYKFFDQLQALENHHYQSQLPSPPTQPPPKPQPPIIAAATLPWSSNPPSVSHATVPLNNFTAPSPDPAINAMPISSSQPLNPSQTNFPSFQNLTSHLFSSSTSSSTASDEGFQGTRKIKKKRKWKYFFERLTKDVIKKQEELQRKFLETVEKHELERMAREEAWRMQEMSRIIREHEIFVHERTTAAAKDAAVIAFLQKISGQQNSIQILDIPQPPTSVAAPVPSPAPLPAPTHVNATAPPQPRPAPPAPCVSVVMDYWDVMKKDNGQTDDILRSSRWPKVEVEALINLRTNLDTKYQENGPKGNLWEEISSGMKKLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYKEKSKPNESNNLSNYGGHASLHHPITTMEPLIARPEQQWPLQQQEKILMDLDADIEDDDDDNDGDTEEEDEGGGGSCFEVVASKPATSLGNGE >Manes.02G179200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14186469:14189658:-1 gene:Manes.02G179200.v8.1 transcript:Manes.02G179200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDSNNTVLPTSGHVVVTATMVHEGGQVGAAVAATAPNNLSGEEDKSIIRVDEGDQMSYGSNRWPRQETLALLKIRSDMDSVFRDSNLKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKEGRTGKSQGKTYKFFDQLQALENHHYQSQLPSPPTQPPPKPQPPIIAAATLPWSSNPPSVSHATVPLNNFTAPSPDPAINAMPISSSQPLNPSQTNFPSFQNLTSHLFSSSTSSSTASDEGFQGTRKIKKKRKWKYFFERLTKDVIKKQEELQRKFLETVEKHELERMAREEAWRMQEMSRIIREHEIFVHERTTAAAKDAAVIAFLQKISGQQNSIQILDIPQPPTSVAAPVPSPAPLPAPTHVNATAPPQPRPAPPAPCVSVVMDYWDVMKKDNGQTDDILRSSRWPKVEVEALINLRTNLDTKYQENGPKGNLWEEISSGMKKLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYKEKSKPNESNNLSNYGGHASLHHPITTMEPLIARPEQQWPLQQQEKILMDLDADIEDDDDDNDGDTEEEDEGGGGSCFEVVASKPATSLGNARL >Manes.01G094800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29624651:29628232:1 gene:Manes.01G094800.v8.1 transcript:Manes.01G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSFYCLIIAIQCCFFCFKIDSKAAIADPRRYSPKQASFSLSKSAPTLSISTLTVSAQFQLILILLFLSISLPFSVSTKFSMLILCKSYVDHFSIFFFLFIVVKFLSVSVSGDTFSPADNILVDCGASGNSTSLDGRQWTGDVGSKFISSFGSIDTSSASKALDLSTSGHPVPYRTVRVFRSELKYTFHVRSGQKFIRLYFNPTSVQELNHGSKACFNVTIGPFTLLSNFSLSSFADSFVKEFCMNVGENQVLDVTFRPDTSTAAYGSISGIEIVSMPTNLYYTGTGHALGQYLQNYTALEMIHRLNIGGSSIFPVNDSGMFRSWTEDSDFVLNLPSTQKFTSTTTRINFTAIPSYAAPRVLFQTARSTGKGMSLTWKLTVDSGFKYLVRLHFCELQQNIKRGDREFQIFIANRLTEPSADIIRWAGGTGIPVLRDYTVRMSNLNQLSVVLHPKGKSEPILNGLELFKINNTDGNLCGHNTKPLIAAVIPRPTDKPGKKSKNMKTKIILVAGGVTTVVIIVLLIVGFTICWHLKRARFREDNMKPLPEGICRLFTAEEIRNASNNFDRDLVIGDGGFGRVFKGNIDSENTPVAIKALKPTSTQGSREFWAEIEMLSKLRHPHLVSLVGYCNDERLMILVYDYMAQGTLRDHLYHTHNPPLSWKQRLEICIGAAHGIKYLHTGAEHSIIHRDIKSSNILLDEKWVPKVSDFGLSRLGPTSMSRSHVTTDVKGTFGYLDPEYYLTNHLSVKSDVYSFGVLLFEVLCARPAVDIRLEEEQHSLVQWARKHVKEGTLDQMIDPNLRGEIAPESLKVYSTIAVKCLRDQRNERPTMSKVLKKLEHALRLQECVDAAAEEGSMHSEQSSLRGSSSHSGLIVHSCPAIWQKSPREPCRFFSDRARVNNKRAKPASLRGLRGLVFAILGYRSLQRDKGHSPGSSTCEPDLTSEKMLIEITAPPPPADQ >Manes.05G169600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28390398:28393623:-1 gene:Manes.05G169600.v8.1 transcript:Manes.05G169600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTDFFAGEIATELLKILISISRKSCMCKVSADSLITSINEFLPIIQEIKFSGVELPAMRQAQLDRLSETLRDGLELARKVLASNRWNVYKNLQLARKMEKLEKNVSRFVNGPMQAHLLADVHHLRFETAERFDRLEDSARRLEQRLGTMKIGAGGGGWMEEAVKRVEVEEERWETSLVNLLGVGMEVGKRKVKEMVIGREDLGVVGICGFGGSGKTTLANEVCRDDQVRSHFQNRILFLTVSQSPNVEQLRAKIWGFVSGSDSMAWGGNDLINKWNPQFDWRIGTRMLIVLDDVWSLSVLEQLIFRVAGCKTLVVSRFKFPTVLSTTYEVELLKGDEAVSLFCLSAFGQKSIPSTADSNLVKQIVNECKGLPLALKVIGASLRDQPEIYWASAKKRLSRGEPICESHENKLLDRMAISIKFLSKKVRECFLDLGCFPEDKKIPLDVLINMWVEIHDLDKEEAFAILVELSDKNLLTLVKDARVGDLYSSYYEISVIQHDVLRDLAIHLANHGNVNERKRLLMPRREAEVPKEWVRNADQPFNAQIVSIHTGEMREMDWYRMDFPKAEVLILNFSANEYFLPPFIYDMPKLRALIVINYSTRNATLDNFSVFSGLANLRSLWLEKVSIAQLTESTIPLKNLSKISLILCKINNSLDQSVIDLPQIFPSLSELTIDHCDDLIKLPLSICRMHSLKSLSITNCHNLQEVPANLGNLKFLQILRLYACPTLKMLPSTICELVSLEYLDISQCINLKCLPEKMGRLSRLEKIDMRECSEIMKIPKSVECLESLRSVICDEEVSWLWKEVSQSNLHVQVAEKQFSLDWLDE >Manes.11G115600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27216792:27221032:1 gene:Manes.11G115600.v8.1 transcript:Manes.11G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKSKILIFGATGYLGQYLIKASLFLGHPTFAFVRPLNPNDTLSSKLLLHKQFQSMGVTVFQGELEEHEKLVSVLKQVDVVISTLAVPQHLDQLKIISAMKEAGNIKRFVPSEFGNEVDRVSGLPPFEALLANKRRIRRATEEAGVPYTYVSANSFAAYFIDYLFRPHEMPDQIVVYGKGDAMAVLNYEEDVAAYTVRAATDPRVANRVIIYRPPGNIVSQLDLISSWEKKSGRTFKKIHVPEEEIIKLSETLRFPENIPVSILHNIFIKGDQMSFELTADDLEASKLYPDHKYTPVDSLLDLFLINPPKPKRAAFA >Manes.18G025026.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5617784:5620573:-1 gene:Manes.18G025026.v8.1 transcript:Manes.18G025026.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVMIPLKLLVLLILVCVTAPPAMAASLANPVCQDKCGDVNFYFPFGVGEGCYMNKSFEVVCNDSFTPPKPFLKSINMELLALVSSSDAVLVNNPVIHSDCGDKVSTNRGVNMSGTGFVYSNEANRFTATGCDNYAMLVQDGETVGGCLSICRNDSNTRGCYGLNCCQATIPPNIQSFEANMTDLSGEIDCIGRKSAFMVHQDLFELRSLDEMLQMDHVPARIEWAKFKGNCDLSETITPYINCTSDSKYCWAQINTRQLCICRNCQDDSKCTDGRNYNCDLFCMHTPGGYDCPCPTENYENISNICYPSHLFSNQKSHSKFIIIGCASGLGFLLLLIGLWLLYKLMKRRQAMKLKQKFFKRNGGLLLQQQLSSEGNNVEQTKLFACNELENATDHYHENRILGQGGQGTVYKGMLTDGRVVAIKKSKVVDEDKLEQFINEVLILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQYIHNQNEELPLTWEMRLRIATEVAGALSYLHSAASLPIYHRDIKSSNILLDDKFRAKVADFGTSKSISIDQTHVTTRVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVIVELLTGQKPINPLRSVEARSLATYFLDSMEANRLFEIVDVRVLKEGGKKEIIAVAKLAKRCLDLSGKKRPTMKTVAMELEGIRASQGFSLTIEQDHEEVDCSVGNYTGPWDVDSSSTGSLNSGIPGH >Manes.04G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6394953:6398331:1 gene:Manes.04G044000.v8.1 transcript:Manes.04G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATVICCADEAALCGRCDVEVHAANKLASKHQRLLLQCLSSKLPRCDICQEKAAFIFCVEDRALFCQDCDEPIHSAGSLSANHQRFLATGIQVALGSSCTKDTKENCLEPPNQSAQQTSMKLPAQQSPSFNSSWAVDDFMQFSEFESSTDKKEQLEFGEFQWLADVGLFGEEALAAAEVPELTVPPSVNVSSCRPTKSNMPNKKPRIRICDEDDEYFTVPDLG >Manes.12G143600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34983561:34985551:1 gene:Manes.12G143600.v8.1 transcript:Manes.12G143600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTKRRALLKVIVLGDSGVGKTSLMNQYVYKKFSQQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNVLKSFETLSNWHEEFLKQANPVLPDEFPFVLLGNKIDIDGGNSRVVSEKKAVDWCASKGNIPYFETSAKEDYNVDEAFLCIANAALAAEHEHDISCRYFQGISETVSEVEQRGGCAC >Manes.12G143600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34983561:34985552:1 gene:Manes.12G143600.v8.1 transcript:Manes.12G143600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTKRRALLKVIVLGDSGVGKTSLMNQYVYKKFSQQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNVLKSFETLSNWHEEFLKQANPVLPDEFPFVLLGNKIDIDGGNSRVVSEKKAVDWCASKGNIPYFETSAKEDYNVDEAFLCIANAALAAEHEHDM >Manes.12G143600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34983561:34985551:1 gene:Manes.12G143600.v8.1 transcript:Manes.12G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTTKRRALLKVIVLGDSGVGKTSLMNQYVYKKFSQQYKATIGADFVTKELQVDDKLVTLQIWDTAGQERFQSLGAAFYRGADCCVLVYDVNVLKSFETLSNWHEEFLKQANPVLPDEFPFVLLGNKIDIDGGNSRVVSEKKAVDWCASKGNIPYFETSAKEDYNVDEAFLCIANAALAAEHEHDIYFQGISETVSEVEQRGGCAC >Manes.17G045102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24177289:24179589:1 gene:Manes.17G045102.v8.1 transcript:Manes.17G045102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNSFEDVASCKATTVSQPSSTTQPANSSTHSQLEPSTPINPSHSLPAASNPTVSLPPISNLGKKRKLTSTVWNHFEKVHHSGNDWAICSYCKTSLKANSKNGTKSLHNHIEKCAKKGNQDIVKCLEKQKHISMDIRNDGKVHFGNFTFDQEKSRRELACAIILHEYPLSITDHVGFRKFVASLQPLFKMVSRNSIKKDILNIYDKLKSRIAITTDMWTSNQKKGYMSITAHYIDDFWVLQNRILRFVYVPTPHTKEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIVVDKLFGDLLCDGAVLHMRCCAHILNLVVKDGLATIESSLSRIRDSVVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFPRLKIREKSYKDVPTYDDWEMAKRVAEKLETFHSITEIFSGRKYPTSNCFFISICQLRNSIMEWMSSDDDVIKSMSARMFEKFEKYWSVVHIVLAVAVILDPRYKIKVVEYYFPMIYGDNASNEIEQVKVTCYNLLNDYQSRAFKPKSQSSSSVPPISISENQGSLKKDFSNLVAFLNSSSTSVHVKSELDHYLEEPVLPWMQEFDILNWWKTNGIKYPTLQMIARDFLAVPVSSVASEFAFSTGGRVVSIHRSRLHEDTLEALMCSQNWLWSEIEAGCSNESKSCLWDADDDVN >Manes.17G096100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30374473:30376131:-1 gene:Manes.17G096100.v8.1 transcript:Manes.17G096100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDSIEVSVVELAVVVVAVVTMIMGANAYDTNAVMSPCLDAKVQKSDGFTFGIAFSSRESFFFDQVQLSPCDIRLALPSKKMAQLAIFRPRVDEISLLTISSSTFDPAMSGGHMVAFAGRKYAARSLPAMIADHGMIITSFTLVLEFQQGTLQNLFWKSFGCDSCSHGSVCLHGKDCAVPSSRCGPVDCNLGIQLAFSGTDRNLESLNSWYEVSNLQQYSLHGLYSDLRDSINQVAGQS >Manes.02G111200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8605837:8607928:-1 gene:Manes.02G111200.v8.1 transcript:Manes.02G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSIVSSATFTTSSLLPVFHSCDQNSRKLLSFPLGVNPRQWSVGIDHKSFSFCPKAGLRRNSEAVGIPTSVPVRVAYELFLAGYRYLDVRTPEEFSAGHVVGAINIPYMYRVGSGMSKNPKFLEQVSSKLGKYDEILVGCQSGKMSMMAATDLLFAGYTGITDVAGGFAAWTHNRLPTEN >Manes.15G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2729108:2731317:-1 gene:Manes.15G034900.v8.1 transcript:Manes.15G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEALFEKEKKQQQPNLFLSLQLKNLKLGYHYLLSNAMFLLLIPFLGMILAHFSTFTVENLTELWNYLRFNFNILVACSSFILFIITLYFTSKPRKVYLVDYACYKPKPSHKCTREHYMKLSAGTHVFTEQSLDFLRKILERSGFGQTTYGPNGLMRLPQDQSLAESRRETEMVIFGAVDELLAKTGVKPIDIGILVVNSSLFNPQPSLSAMIVNRYKLRGNILSYSLAGMGCSAGLISINLAKDLLQVHPDSYALVVSTENITRNWYCGNERSMLVTNCLFRIGAAAILLSNRSSDRRHSKYQLMHSIRTHKGADDKSYNCIMQQEDENLEVGVSLSKELMVVAEGALKANITRLGPLVLPFSEQLLFLANLIMKKIFKMKIKSYVPDFKLAFEHFCIHAGGRGILDELEKNLGLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRVWQLGFGSGFKCNSVVWHALKSINPAKEKNPWMDEINDFPVQLPKVTPIIY >Manes.17G098700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30494418:30497639:1 gene:Manes.17G098700.v8.1 transcript:Manes.17G098700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLQFCRVSLHTDLFSRDSLAPLNRRKSLSVRCAAAGDESSSGSVSMESEFDAKVFRHNLTRSKNYNRRGFGHKEETLELMNQEYTSDIIKTLKENGNQYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMDVQNIPVGEGKKHFEVVDSGDVVILPAFGAAVEEMLTLSNKNVQIVDTTCPWVSKVWNMVEKHKRGDYTSIIHGKYAHEETIATASFAGKYIIVKNMEEATYVCDYILGGQLNGSSSTKEAFLEKFKYAVSKGFDPDVDLDKVGIANQTTMLKGETEEIGKLAEKTMMRKYGVENVNDHFISFNTICDATQERQDAMYKLVEEKLDLILVVGGWNSSNTSHLQEIAEHYGIPSYWIDSEQRIGPGNRIAYKLNHGELVEKENFLPEGPITIGVTSGASTPDKVVEDVLVKVFDIKREEALQVA >Manes.17G098700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30494418:30511640:1 gene:Manes.17G098700.v8.1 transcript:Manes.17G098700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLQFCRVSLHTDLFSRDSLAPLNRRKSLSVRCAAAGDESSSGSVSMESEFDAKVFRHNLTRSKNYNRRGFGHKEETLELMNQEYTSDIIKTLKENGNQYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMDVQNIPVGEGKKHFEVVDSGDVVILPAFGAAVEEMLTLSNKNVQIVDTTCPWVSKVWNMVEKHKRGDYTSIIHGKYAHEETIATASFAGKYIIVKNMEEATYVCDYILGGQLNGSSSTKEAFLEKFKYAVSKGFDPDVDLDKVGIANQTTMLKGETEEIGKLEEKTMMRKYGVENVNDHFISFNTICDATQERQDAMYKLVEEKLDLILVVGGGEVGSYFSSWRVELEQHLPSPRNC >Manes.17G098700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30494418:30511640:1 gene:Manes.17G098700.v8.1 transcript:Manes.17G098700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLQFCRVSLHTDLFSRDSLAPLNRRKSLSVRCAAAGDESSSGSVSMESEFDAKVFRHNLTRSKNYNRRGFGHKEETLELMNQEYTSDIIKTLKENGNQYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMDVQNIPVGEGKKHFEVVDSGDVVILPAFGAAVEEMLTLSNKNVQIVDTTCPWVSKVWNMVEKHKRGDYTSIIHGKYAHEETIATASFAGKYIIVKNMEEATYVCDYILGGQLNGSSSTKEAFLEKFKYAVSKGFDPDVDLDKVGIANQTTMLKGETEEIGKLAEKTMMRKYGVENVNDHFISFNTICDATQERQDAMYKLVEEKLDLILVVGGGEVGSYFSSWRVELEQHLPSPRNC >Manes.17G098700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30494418:30511640:1 gene:Manes.17G098700.v8.1 transcript:Manes.17G098700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLQFCRVSLHTDLFSRDSLAPLNRRKSLSVRCAAAGDESSSGSVSMESEFDAKVFRHNLTRSKNYNRRGFGHKEETLELMNQEYTSDIIKTLKENGNQYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDEKIWITNEIIHNPTVNKRLEEMDVQNIPVGEGKKHFEVVDSGDVVILPAFGAAVEEMLTLSNKNVQIVDTTCPWVSKVWNMVEKHKRGDYTSIIHGKYAHEETIATASFAGKYIIVKNMEEATYVCDYILGGQLNGSSSTKEAFLEKFKYAVSKGFDPDVDLDKVGIANQTTMLKGETEEIGKLEEKTMMRKYGVENVNDHFISFNTICDATQERQDAMYKLVEEKLDLILVVGGGEVGSYFSSWRVELEQHLPSPRNC >Manes.10G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29676799:29677507:-1 gene:Manes.10G131100.v8.1 transcript:Manes.10G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGQILGILVVFPVLLLLNFKTTEAATYVVGGDIGWSPNANVQAWAQKHRFFADDTLVFNYDSELYDVVVVDQGKYEKCTYSPDDLLLDTGNDKIQLTSGPNYFITSDKAVCQKGMKLAVNASPPPRRKLSLH >Manes.11G097150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20521195:20523289:1 gene:Manes.11G097150.v8.1 transcript:Manes.11G097150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNDQMLQSTSDSNQTNTDASTETTTSSKAKRKSVKPRSAVWDHFTKFVSDEGELKGKCNYCKKEFCCDPKRNGTTALRNHLNSCKKHPHSIETRQAQLSLQKKCKKALVHMIIIDELPFRFVEGEKNCQRVSLTTDTWTSLQRINYMCITAHFIDNDWNHKGEAVGRAIETCLLEWGSNDVAICYLKKKLANWGDGLKDVNDSVMKVRDARFKECVLHEKIESKSSLCLDVPTRWNSTYLMLNTAQKYERAFERYESQDPMFKIDMGENDIPDYYDWTQLTLRISGSSDLAFILNQWINSNDFDMKSMGERMKFMVYSFSQMYGKEKVYQPNVEQFNDNSSQQLSGSCSTTDSINPKPKFFLKHHYKKQKLEESGGFDSKTELEVYLSEAIQEEKEDFDVMKWWKINSERFPILGKMARDILVIPISTVASESAFSTGGRVLDSFRSSLTPKIVEGLICVQDWIRPLNIQVNVEEDLDELEKLEEGMLYTLSYLY >Manes.14G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15163367:15164598:1 gene:Manes.14G145800.v8.1 transcript:Manes.14G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLFMSVLVSSLMVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQASGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSNGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIILSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFKANACAWSNGVSSCGTSNSMTNSWLSEELDSTSHERLQWVRNNYMIYNYCTDANRFPQGFPPECNLS >Manes.06G021200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3839846:3847902:-1 gene:Manes.06G021200.v8.1 transcript:Manes.06G021200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPELLAPPEIFYDDVEARKYTSSSRIIEIQSKLSERAVELLALPDDGVPRLLLDIGCGSGLSGETLTENGHQWIGLDISLSMLNIASEREVEGDILLGDMGQGLALRPGVIDGAISISAVQWLCNADKSSHEPKLRLKAFFGSLYRCLARGARAVFQVYPENLAQRELILRSAMHAGFAGGVVVDYPHSAKSRKEYLVLTCGPPSLTTATPKAKGEDGESCSEDEVSEDEENQTVRFSDRQRPRKKQKVNKKGKGREWVLRKKEQMRNKGINVPPNTKYTARKRKARF >Manes.10G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1869247:1871339:-1 gene:Manes.10G018900.v8.1 transcript:Manes.10G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAWFLAFCLLATSLAELQESKSSIQLNLYHVHGPGSSLSPNSSISLSDLLSRDQQRVKFLRTRLLNRGVQIGSTPHNKSGNLLEPNSASVPLSPGLSIGSGNYYVKLGLGSPPKYYAMIFDTGSSLSWLQCQPCVVYCHSQVDPVFEPSASKTYKRLSCRTPECSSLKAATLNDPICAASGACVYTASYGDASYSMGYLSQDLLTLTPSQSLPYFTYGCGEDNEGLFGRAAGIVGLARDKLSMLAQLSTKFGYAFSYCLPTATSGSPGGGFLSIGSIPPSSYKFTPMIRNPQNPSLYFLRIAAITVGGRPLGVAAGGYQVPTIIDSGTVITRLPVSIYAPLKDAFVKIMGSKGYEQAPAYSILDTCFKGSLKSLAATPEIQMIFQGGAGLSLGAQNILIEADKGVTCLAFARTNQIAIIGNHQQQTYNIAYDVSSSRIGFAPGGCH >Manes.02G221321.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22889169:22889892:1 gene:Manes.02G221321.v8.1 transcript:Manes.02G221321.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLGFIDGTIPMPNRDNEFFEQWKRCDYMVTSLSSRDFGCEITERFEDSNGSQIYELHRQISLISQENFPILVYFIHLKRLWDELRSIEVLPPCSCGASKAIDDMNNRNRLMQFLMELNENFDSVRNQILVLDPLPSMNRAYSMALKYESQKEILIKENLSKQKKYDLKKGHCSHCNMDGHVRDTYFKLIGYPDWFKNKTKIEEKPTR >Manes.05G002300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:253412:255828:-1 gene:Manes.05G002300.v8.1 transcript:Manes.05G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSRFLAAVAFLAIGVIFSPETFGSNSIVQLPTCLKLAHLLSFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFHAYFWLVSVCCAISVASFGYLHPWKSASTAEKYQLGFLLSSLSFNLANLFVFTPMTIEMMRQRHKVEREQNIGNEVGWSKNQEVAKVNPKLAAMNKKFGMIHGFSSLANIMSFGSLAIHSWYLAGKLNP >Manes.18G033530.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2363242:2363634:-1 gene:Manes.18G033530.v8.1 transcript:Manes.18G033530.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRPQKTKELSVAIAEASSRGDETQRPQQPQTPRKRGRPRKIIEKTESEEKKEGGAQPSEELTIGNQSQTAKISQEEEKQQEIEEAEAPSASTRGKEEEQSEEKEPPPPPPPPPRRSRRRKSKPRKSS >Manes.09G016400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3375775:3381754:-1 gene:Manes.09G016400.v8.1 transcript:Manes.09G016400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESAFLSRAASICLKWQSSTRNTNKSHAKLLVYSRIRPSHSMDDENEVYKQLGFFSLKKKIEDAVLRAEMSAPTALELEEVRRIKQEEIMRGCNLWDDPAKSNEILGRFADSAKAIDALKDLKYKLAEVEGINYQLFKQAYSASLDVNKFLDQYEMVKLLKGPYDAEGACVIIKAGPGGLNAEKWAEDLLNMYIKWAKKLGYKSRLVENHPSVTGYGGIQMVTIEFEFEHAYGYLSGERGIHHMINSQNGSVAVQYENSSACVDVVPLFLGTFSNLQINDKDLVITSSLLKEERNRMKPTICIQHIPTGISVQSSSERSHFANKIKALNRLKAKLLVIAEEQKISDISSINKDTFVDICHKETRKYVSHPYKLVQDVKTGIKLPDLKSILDGNIEPLVEAHIKIRHTE >Manes.09G016400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3375775:3381754:-1 gene:Manes.09G016400.v8.1 transcript:Manes.09G016400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLYTREFALLIPWMTRTRSTNNWIEDAVLRAEMSAPTALELEEVRRIKQEEIMRGCNLWDDPAKSNEILGRFADSAKAIDALKDLKYKVEEAKLISQLAEVEGINYQLFKQAYSASLDVNKFLDQYEMVKLLKGPYDAEGACVIIKAGPGGLNAEKWAEDLLNMYIKWAKKLGYKSRLVENHPSVTGYGGIQMVTIEFEFEHAYGYLSGERGIHHMINSQNGSVAVQYENSSACVDVVPLFLGTFSNLQINDKDLVITSSLLKEERNRMKPTICIQHIPTGISVQSSSERSHFANKIKALNRLKAKLLVIAEEQKISDISSINKDTFVDICHKETRKYVSHPYKLVQDVKTGIKLPDLKSILDGNIEPLVEAHIKIRHTE >Manes.09G016400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3375775:3381754:-1 gene:Manes.09G016400.v8.1 transcript:Manes.09G016400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTALELEEVRRIKQEEIMRGCNLWDDPAKSNEILGRFADSAKAIDALKDLKYKLAEVEGINYQLFKQAYSASLDVNKFLDQYEMVKLLKGPYDAEGACVIIKAGPGGLNAEKWAEDLLNMYIKWAKKLGYKSRLVENHPSVTGYGGIQMVTIEFEFEHAYGYLSGERGIHHMINSQNGSVAVQYENSSACVDVVPLFLGTFSNLQINDKDLVITSSLLKEERNRMKPTICIQHIPTGISVQSSSERSHFANKIKALNRLKAKLLVIAEEQKISDISSINKDTFVDICHKETRKYVSHPYKLVQDVKTGIKLPDLKSILDGNIEPLVEAHIKIRHTE >Manes.09G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3375775:3381754:-1 gene:Manes.09G016400.v8.1 transcript:Manes.09G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESAFLSRAASICLKWQSSTRNTNKSHAKLLVYSRIRPSHSMDDENEVYKQLGFFSLKKKIEDAVLRAEMSAPTALELEEVRRIKQEEIMRGCNLWDDPAKSNEILGRFADSAKAIDALKDLKYKVEEAKLISQLAEVEGINYQLFKQAYSASLDVNKFLDQYEMVKLLKGPYDAEGACVIIKAGPGGLNAEKWAEDLLNMYIKWAKKLGYKSRLVENHPSVTGYGGIQMVTIEFEFEHAYGYLSGERGIHHMINSQNGSVAVQYENSSACVDVVPLFLGTFSNLQINDKDLVITSSLLKEERNRMKPTICIQHIPTGISVQSSSERSHFANKIKALNRLKAKLLVIAEEQKISDISSINKDTFVDICHKETRKYVSHPYKLVQDVKTGIKLPDLKSILDGNIEPLVEAHIKIRHTE >Manes.09G016400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3375775:3381754:-1 gene:Manes.09G016400.v8.1 transcript:Manes.09G016400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTALELEEVRRIKQEEIMRGCNLWDDPAKSNEILGRFADSAKAIDALKDLKYKVEEAKLISQLAEVEGINYQLFKQAYSASLDVNKFLDQYEMVKLLKGPYDAEGACVIIKAGPGGLNAEKWAEDLLNMYIKWAKKLGYKSRLVENHPSVTGYGGIQMVTIEFEFEHAYGYLSGERGIHHMINSQNGSVAVQYENSSACVDVVPLFLGTFSNLQINDKDLVITSSLLKEERNRMKPTICIQHIPTGISVQSSSERSHFANKIKALNRLKAKLLVIAEEQKISDISSINKDTFVDICHKETRKYVSHPYKLVQDVKTGIKLPDLKSILDGNIEPLVEAHIKIRHTE >Manes.09G016400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3375018:3381754:-1 gene:Manes.09G016400.v8.1 transcript:Manes.09G016400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTALELEEVRRIKQEEIMRGCNLWDDPAKSNEILGRFADSAKAIDALKDLKYKVEEAKLISQLAEVEGINYQLFKQAYSASLDVNKFLDQYEMVKLLKGPYDAEGACVIIKAGPGGLNAEKWAEDLLNMYIKWAKKLGYKSRLVENHPSVTGYGGIQMVTIEFEFEHAYGYLSGERGIHHMINSQNGSVAVQYENSSACVDVVPLFLGTFSNLQINDKDLVITSSLLKEERNRMKPTICIQHIPTGISVQSSSERSHFANKIKALNRLKAKLLVIAEEQKISDISSINKDTFVDICHKETRKSVETELNVLLLPRIRICCDDFCILGSEAAGMMAKVCCAKI >Manes.17G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30139295:30140850:-1 gene:Manes.17G093200.v8.1 transcript:Manes.17G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVHNVLTSPVKAAAVVFAVLLLSTACQAQLTSTFYDNSCPNALKTIRTSIRNSIAAERRMAASLIRLHFHDCFVQGCDASILLEETPTIESEQTALPNKDSARGFRVIEKAKSEVEKICPGVVSCADIVAVAARDASAYVGGPSYTVMLGRRDSTTASRTLANSQLPSFKDGLDRLISSFQTKGLSARDLVALSGAHTLGQAQCFTFRDRIYSNVSIDAGFASTRRRGCPAVGGDGNLAPFDLVTPNSFDNNYFKNLIQKKGLLESDQILFSGGSTDGIVREYSRSPAAFNSDFASAMIKMGNIKPLTGTAGEIRKICSSIN >Manes.03G008000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:663017:664460:-1 gene:Manes.03G008000.v8.1 transcript:Manes.03G008000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHKTSQSQSTEEDKFKLKHQSLLQDFLEFQKEFVSKKKKLQMTKQKRDILSTEIRFLRQRYRYLMAMKSHNLQLQQDPAPPENSSMQSEDIRKLLSTKKKPKHGIINGKSVEKKISWQDQTTVMNV >Manes.03G008000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:662873:664460:-1 gene:Manes.03G008000.v8.1 transcript:Manes.03G008000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHKTSQSQSTEEDKFKLKHQSLLQDFLEFQKEFVSKKKKLQMTKQKRDILSTEIRFLRQRYRYLMAMKSHNLQLQQDPAPPENSSMQSEDIRKLLSTKKKPKHGIINGKSVEKKISWQDQTTVMNV >Manes.03G008000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:663017:664460:-1 gene:Manes.03G008000.v8.1 transcript:Manes.03G008000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHKTSQSQSTEEDKFKLKHQSLLQDFLEFQKEFVSKKKKLQMTKQKRDILSTEIRFLRQRYRYLMAMKSHNLQLQQDPAPPENSSMQSEDIRKLLSTKKKPKHGIINGKSVEKKISWQDQTTVMNV >Manes.01G083700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28636729:28644121:-1 gene:Manes.01G083700.v8.1 transcript:Manes.01G083700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDYLAHERNKAQFDVDEMKIVWAGSRHAFDVSDRMARLVASDPVFQKDNRAMLSRKVLFKNTLRKAAHAWKRIIELRLSEEEANQLRSYVDEPAFTDLHWGMFVPAIKGQGTEDQQQKWLPLAHKMQIIGCYAQTELGHGSNVQGLETTATFDPATDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITGGQEHGVHGFIVQLRSLDDHMPLPGITVGDIGMKFGSGAYNTMDNGVLRFDHVRIPRNQMLMRVMQVTREGKCVQSNVPRQLIYGTMVYVRQTIVSDASSALSRAVCIATRYSAVRRQFGSQDGGIETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYLDVTQRLQASDFSTLPEAHACTAGLKSLTTSATADAIEECRKLCGGHGYLTSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYMARAEDLLQCHCIVQRAEDWSKPSVILEAFEARAFRMCVARAQSLSKFPNPEEGFAELSADLAEAAIAHCQLIVVSKFIEKLEQDIPGKGVKQQLEILCNIYALNLLHKHLGDFLSTGCITPKQASLANDHLRSLYSQVRPNAIALVDAFNYTDHYLGSVLGRYDGNVYQKLYEAAWKDPLNDSVVPDGYQEYIRPMLKQQLRNARL >Manes.14G096000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7977589:7979963:1 gene:Manes.14G096000.v8.1 transcript:Manes.14G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMAKTPHDSSFSFSRRYFNWRKKVVEVEDDDEEILTFNSSSHFSCGEELKDDQEFRISLPSEEMPSGVRAPRKKLPIVAVSKLRSALTVFSKGRPTYHSGLGTKLIGTLFGYRRGHVHFALQDDAKLNPAFLIELATPTSVLVREMASGLVRIALECEKKPQKKAGKLVEEPLWRTYCNGKKCGYAMKRECGPEEWKVLKAVEPISMGAGVLPGNGAGSEGELMYMRARFERVVGSKDSEAFYMMNPDGSGGPELSVYLLRV >Manes.12G038300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3309323:3309715:-1 gene:Manes.12G038300.v8.1 transcript:Manes.12G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSYGSFDRVIMKGRNVGAAMTIRHGAPTGESQDSCCIINLYVNNNIQGVNSFILLGSEVQMKSPGVNIFLEDLNIGEKWLPSEMKKKKISNKNETSLLSKLGFSAILTAIVLLLLLFLSLSQSPML >Manes.06G153300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVIYVIDLRRAAYYQKCHDPDCQGYRSPLRPIPINLIPDPSVFYDSAQVDHMGLSNDNLEHQSVKSDCGGVLLYNDDHDTDNFAKDSWWIEALEVADDIESKQKKMELSTMSNIDEDDNWWIAVERTASQAELMHL >Manes.06G153300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063615:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVTQT >Manes.06G153300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVIYVIDLRRAAYYQKCHDPDCQGYRSPLRPIPINLIPDPSVFYDSAQVDHMGLSNDNLEHQSVKSDCGGVLLYNDDHDTDNFAKDSWWIEALEVADDIESKQKKMELSTMSNIDEDDNWWIAVERTASQAELMHL >Manes.06G153300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVIYVIDLRRAAYYQKCHDPDCQGYRSPLRPIPINLIPDPSVFYDSAQVDHMGLSNDNLEHQSVKSDCGGVLLYNDDHDTDNFAKDSWWIEALEVADDIESKQKKMELSTMPEQH >Manes.06G153300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVTQT >Manes.06G153300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVIYVIDLRRAAYYQKCHDPDCQGYRSPLRPIPINLIPDPSVFYDSAQVDHMGLSNDNLEHQSVKSDCGGVLLYNDDHDTDNFAKDSWWIEALEVADDIESKQKKMELSTMPEQH >Manes.06G153300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVTQT >Manes.06G153300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063615:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVIYVIDLRRAAYYQKCHDPDCQGYRSPLRPIPINLIPDPSVFYDSAQVDHMGLSNDNLEHQSVKSDCGGVLLYNDDHDTDNFAKDSWWIEALEVADDIESKQKKMELSTMSNIDEDDNWWIAVERTASQAELMHL >Manes.06G153300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVTQT >Manes.06G153300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVTQT >Manes.06G153300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVIYVIDLRRAAYYQKCHDPDCQGYRSPLRPIPINLIPDPSVFYDSAQVDHMGLSNDNLEHQSVKSDCGGVLLYNDDHDTDNFAKDSWWIEALEVADDIESKQKKMELSTMPEQH >Manes.06G153300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVTQT >Manes.06G153300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28055890:28063584:1 gene:Manes.06G153300.v8.1 transcript:Manes.06G153300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNAMDDVDRLFECFKCGISPPQSAIRIKKRCKTKLKQANGIQEVSPASGTPSPGSTAQPKKKNATNAQLSGEKFGSTTVKANNFGHGRQISPIVFYGSPHGVPPKRPISLLRLLHEIRIDLAEQQNSNLGKEVWATFPRQNEAMNFAKEHGNMRVFSYQDHYKGQRRFLVSTYQEFWRRYKSMDSKFRHHYEVIQEGLPCHLYFDLEFSKKENVERHGDEMVDLLISVVLEALFEKYTIQGNLEWIVELDSSTAEKFSRHLIIRIPKAAFKDNSHAGAFVSEICSRISSERERDEGYGKLFVRKDLTSESPSQLFVDTAVYSRNRVFRLALSSKAGKNAVLLPTRRFKCKDMVMCEEDMFMASLICNMDADCEKLLVCKMDLDCVKTLHFDTETTYYSRRFNTSQVNDAWTTYTIGKSPFPSLDNFVESIASVGSVSGKIRSWYWFSEYGLMVYSMSRNRYCERIGRQHKSNHVIYVIDLRRAAYYQKCHDPDCQGYRSPLRPIPINLIPDPSVFYDSAQVDHMGLSNDNLEHQSVKSDCGGVLLYNDDHDTDNFAKDSWWIEALEVADDIESKQKKMELSTMSNIDEDDNWWIAVERTASQAELMHL >Manes.10G107001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25753480:25754556:-1 gene:Manes.10G107001.v8.1 transcript:Manes.10G107001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAHYTLKIESFSRFCELLETTGLVKYESDAFASGGYNWKLVLYPSGNVKRDGSDHISLYLAIAEPNAIPPGSQVDVILKFFVFDHLRDEYLTIQDDNMRRYHSLKTENGFDQLISLKMFNDSSNGYLFDDCCAFGAEVHVIKYEGKVERFYFIKEPKDGNFSWKIERFSTLCGGCHYSKEYTVRKHKWRLLLFPKGDPRASGKSLSLFLELLNNSPLPQLRVYSRI >Manes.15G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5702853:5705691:-1 gene:Manes.15G075500.v8.1 transcript:Manes.15G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAHHPLLDSSTNSNSSPMVLVFLLSLSAFAVSSVFLAPHLLKVSQHSDPSFLQHLCDQAYDPQACLLTISEAVKDNGAVQQSNGINILKILLVKSLPQMTTAVEAAASGIKLQGKNQKDQGALADCLELMDLSIDRVNYILSAVANWSTHSDDAHTWLSGVLTNHATCLDAIADTTQQSMKVLLQDLISKTRTSLAVVASLSASKKDENLRTLNGGFPSWILARDRKLIESTSSNIQANVVVAKDGSGNFKTIQEAVESAPDKSKTRYVIYVKKGTYKENVDVGKKKKNVMIVGDGMDLTIITGSLNVVDGSTTFKSATLAVAGDGFILQNVCVQNTAGPEKHQAVALRVSADLSVINGCLIDAYQDTLYAHNQRQFYRNCFITGTIDFIFGNAAVVLQNCQIIARKPMPHQKNMVTAQGRIDPNQNTGTSIQRCKILASPDLESVQSSIRTYLGRPWKEYSRTVVLQSYIRGHIHPAGWAEWDGDFALKTLYYGEFANNGPGADTSNRVKWPGYHVITDPNEARKFTVAELIQGGEWLKSTGVSYTEGLYE >Manes.07G027400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2935926:2936648:1 gene:Manes.07G027400.v8.1 transcript:Manes.07G027400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSQLISCEASRDSQIQKDSHFSHQVLQMTTLVVEIIAVAIAFAPLFYQVGKDALKSVKKEASYSKNLKQNYEALQWELNFLLDFKSGIERTIRRRRENYGEIYNRWSIHVHEVEEKAKSCLEKYEHIRKCYAVRRSKLSRKMVSLYMKVIELKGEGNDLARLLGGIPDLRLPPCNELQQSNRGWILLLKIIISTASAIVGAILVMRALSKN >Manes.13G002671.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:797424:799509:-1 gene:Manes.13G002671.v8.1 transcript:Manes.13G002671.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLRLLILQIEHNFEGLEYLSNELRYLEWREYPFKSFPSTFQPNKLVELHMPHSNLKNFLKAIKPVESLKIINLSFSTKLIKTPDFSKFPNLEELNLQGCTKLVEVHQSIGVLTRLVSLNMQDCKNLVNLPDGVWNLQSLEIVNLGGCSKLLKRLGLVARQMWCFTAFPSLMHLDLSYCNLPEGAIPNDMSCLQLLEYLNLSGNPILSIPSSICQLSKLKGLYLYDCTELKTLPDLPSNIERLSTSNCTSLRTLPPLVQLCKLENFQCSNCKSLQSVPDLPSSVQHLKMENCTALETLPNLFEKHNVEKNFFISFSNCSKLKYCQSKISVGFTWLRSYLLWLYEVRKLLKLQESSPSEAEFEENFFLNEAKIILQTRIPAATSLPCFYICFPGSTIPEWFKYQGEEGELRIKLPPDEDWGKIAGFAVCCVVEDGNVIKDNEWQIAVVIEGKQVSCWGNRVPAGASQVTSDHLSLFFQVNFFRFFQVNNHRESNRECTPTELLLNFWPEGKIKNCGIRIVHDEEIEEMIRLNKALEDMGKVEEEDN >Manes.03G029600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2384891:2389213:1 gene:Manes.03G029600.v8.1 transcript:Manes.03G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGERHRPDSVHVPPWDLLDDTNPEAYSLSPMSSHSSNAATASDYNPYHLQEALAALQRYLPSNEPELDSDYDLSGLETDSPVDAYSCDHFRMFEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGNCKKGDACEFAHGVFECWLHPARYRTQPCKDGPNCRRRVCFFAHTAEQLRVLPQQSPRSVNSFDSYDGSPLRQAIEASCARSLPFLASPGSVSPPATPPVDSPPMSPMTQSLSRSLGSNSINEMVASLRNLQLGKVKSLPPSWNVHVGGSGFGSPRGSMLRSGFCSLPSTPTCPTRSGLGHRDIWENVCEEEPAMERVESGRDLRAKMFEKLSKENLLDRVGPSHGGQSSNGPDVGWVSELLK >Manes.16G041200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5736541:5740938:-1 gene:Manes.16G041200.v8.1 transcript:Manes.16G041200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIHSNSNSNQSPLLGRYEIGKLLGHGTFAKVYHARNVKTSESVAIKVIDKEKILKGGLIAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVGFCHARGVFHRDLKPENLLLDENGDLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVILFVLMAGYLPFHDQNVMVMYKKIYKGEFRCPRWFSPELIRLLSKLLDTNPETRITIPEIMENKWFKRGFKHIKFYIEDDKVFSVDVEGQQDDAGSSSDQSQCESEPEMETRRRITSLPRPASLNAFDIISFSPGFDLSGLFEEGGEGARFVSGAPVSKIISKLEEIAKVVSFTVRTKDYRVSLEGSREGIKGPLTIAAEIFELTPKLVVVEVKKKGGDKGEYEEFCNKELKPGLQKLMQEESETADAASSQLPTEPLQLPTEPLQLPTESLQIPTEPLPIDTTHLPSDTE >Manes.12G034300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3036824:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGFRAMLLGKSGGGEELGAFYPIRPECQEDAPRTRFKPRAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQILAEITGDLDARKVCNEALKIHSKYLSKAKTQ >Manes.12G034300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3036824:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGFRAMLLGKSGGGEELGAFYPIRPECQEDAPRTRFKPRAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQDAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQILAEITGDLDARKVCNEALKIHSKYLSKAKTQ >Manes.12G034300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3036824:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGFRAMLLGKSGGGEELGAFYPIRPECQEDAPRTRFKPRAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQHGGMAGDIVTN >Manes.12G034300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3037649:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPFLSFGSNMKVSVLCFITETQLMEAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQHGGMAGDIVTN >Manes.12G034300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3037649:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPFLSFGSNMKVSVLCFITETQLMEAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQDAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQILAEITGDLDARKVCNEALKIHSKYLSKAKTQ >Manes.12G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3036824:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGFRAMLLGKSGGGEELGAFYPIRPECQEDAPRTRFKPRAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQILAEITGDLDARKVCNEALKIHSKYLSKAKTQ >Manes.12G034300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3037649:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPFLSFGSNMKVSVLCFITETQLMEAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQILAEITGDLDARKVCNEALKIHSKYLSKAKTQ >Manes.12G034300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3036824:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGFRAMLLGKSGGGEELGAFYPIRPECQEDAPRTRFKPRAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQDAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQHGGMAGDIVTN >Manes.12G034300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3036824:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGFRAMLLGKSGGGEELGAFYPIRPECQEDAPRTRFKPRGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQILAEITGDLDARKVCNEALKIHSKYLSKAKTQ >Manes.12G034300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3037649:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPFLSFGSNMKVSVLCFITETQLMEAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQDAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQHGGMAGDIVTN >Manes.12G034300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3036824:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGFRAMLLGKSGGGEELGAFYPIRPECQEDAPRTRFKPRAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQHGGMAGDIVTN >Manes.12G034300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3037649:3044940:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPFLSFGSNMKVSVLCFITETQLMEAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQHGGMAGDIVTN >Manes.12G034300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3037624:3044972:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGPFLSFGSNMKVSVLCFITETQLMEAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFTFVDALYLWELMWAMEYNPNAFSLYEESSAATEKGVLTVSSDELLKQCGKFEKKNVKTGSSTQQSALAVFLVASVLETKNKRILKEAKGLDDVVQILAEITGDLDARKVCNEALKIHSKYLSKAKTQ >Manes.12G034300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3037439:3040677:1 gene:Manes.12G034300.v8.1 transcript:Manes.12G034300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCEGFRAMLLGKSGGGEELGAFYPIRPECQEDAPRTRFKPRAGKTLSPRRWHAAFSEDGHLDFAKVLRRIQRGGIHPAIKGLVWEFLLGCYDPNSTFEDRNQIRQRRRDQYTIWKAECTNLVPVIGSGKFVTTSIITEDGQPIIDPSTSNAQEWHVNNAVSDKKAIQWMLVLHQIGLDVVRTDRTLVFYESETNQAKLWDILAVYAWVDNDIGYVQGMNDICSPMVILLENEADAFWCFDRAMQRLRENFRCSATSIGVQAQLSTLSQVIKTVDPKLHQHLGICFSSQTLVGLIG >Manes.16G012400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1192916:1197146:-1 gene:Manes.16G012400.v8.1 transcript:Manes.16G012400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSIRWGAVVLVLTMSFFIFPSAAIYCDEDDCYDLLGVSQNANASEIKKAYYKLSLKYHPDKNPDPESRKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYRAYYGHKTDPRYVLVGLLLIFSGFQYLNQWTRYHQAVAMVKKTPAYKNRLRALELERRGGTTTKKKGNKQMDKKMEEDLSKELELDIKGAQKPVIWELIGVHFVLLPYTIGKLLLWHSCWFWRYKVKHAPYSWEDASYLTRRSLGVPLDAWKSIDESMKDDLVQRRLWEKANLERYLAEMRKESKRRR >Manes.01G127700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32193402:32195360:1 gene:Manes.01G127700.v8.1 transcript:Manes.01G127700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVIYSLLFFLCGTRIAAVGHSSKQDNGALQLHRLTSISTSQKDITTPITTVPTTIPTNIPTSSTPIVNSNSDPDSTSPVITPTTTPSPVSPGASWCVASPSASPTALQVALDYACGYGGADCSEIQGGGSCYDPNTVRDHASYAFNNYYQKNPIPSSCNFGGTAVTTSTNPIFAN >Manes.01G127700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32193402:32195360:1 gene:Manes.01G127700.v8.1 transcript:Manes.01G127700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVIYSLLFFLCGTRIAAVGHSSKQDNGALQLHRLTSISTSQKDITTPITTVPTTIPTNIPTSSTPIVNSNSDPDSTSPVITPTTTPSPVSPGASWCVASPSASPTALQVALDYACGYGGADCSEIQGGGSCYDPNTVRDHASYAFNNYYQKNPIPSSCNFGGTAVTTSTNPSSGTCHYPSTSISSSVLNITNSNGATVYGAVPSSPSNPAAASAKISNQINFRLIVFFIIMVAAI >Manes.08G099111.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33160253:33163990:1 gene:Manes.08G099111.v8.1 transcript:Manes.08G099111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINNFKKRLSLEFEVKDLGAVKRILGMRISWDRSIGILNLSQEQYIEKVLFRFRVDDVKPRSMLLANHLKFSKEQSPKTAMECDHMAKVLHYASAVGSLMCARVCSRPNIAHAVGVVSRYISNPVKKHWEAKCVSISSTETEYIAVAEAENEIIWLTNYLEELDKKQLDNVLFTNSESAIQLVKNPVYHFTRSLVEECEMCLKKIESTKNLADILSGIDEKCTALFFTVRR >Manes.02G178500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14094368:14103925:-1 gene:Manes.02G178500.v8.1 transcript:Manes.02G178500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPTAREEENPPAVGGGKSKKKQLVIDDDEYSIGTNLSEEPQIQEEKVAVAGKKKGKKGNQKGVHTKEEDEEKQDVEEEEEAQEIVFAGKKKSKGKKSGGNSVFSASSFGLLGDEDNDDEEKSDLTGDKDSDVEDEPVVSFTGKKKSSKKGNKSGTSLFSAAADLLDDDNEGDVIDETENGGDDMPVIEFTGKKKSSKGGKKGGGSVFAAASFDVLDDNEEEEKKDEDEDAAAITFSGKKKKSSKSTKKGGNKFSSAFLDEEIDEEASVSGSVKTSDTAEVEDEDGLVIAFTGKKKSSKKKGNSHSVSSTLNDGEGSETADMAEQPPSIVEASDTRVHIGNEIAETSKNKKKKKNKSGRTAQEEEDLDKLLAELGDGLPVEKPSAPPPQVESVQVQPDPVASADAAGEKEVEEEKEESAAAKKKKKKKEKEKEKKAAAAAAAASERREEKVEEAKIETNEPKKSDTKSKAAEKKVPKHVREMQEAIARRKEMEERKAREEEEKRRKEEEERRRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEQRRLEAMRNQILANAGITIPTGDKEGAPTKRPKYQSKKSKPTHNHANGAAPTKVEENVEKKEKEHEQQDAEPEVESMELEKVEEEESVNVEEKPQVVNGADENGMEQDDDDEEEWDAKSWDDVNLNVKGAFDDEEIDSEPETVVKKETKSAALASQSSASKPAPVLPQMPLPSQPVRSQDAENKKSQPEVDTTDKNRRKDIVGKNKTSPSDATPKQGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVCRNAPIVKAMKQQSKDVQNEFNMRLTQIITQFKEQGLNTELYYKNKEMGETFSIVPTSAISGEGIPDLLLLLVQWTQKTMVEKLTFSNEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGTYLHHKEIKAAQGIKITAQGLEHAIAGTGLYVVGPDDDLDDVMESAMEDMRSVMSRIDKSGEGVYVQASTLGSLEALLEFLKSPAVSIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTQEARELADELGVKIFLADIIYHLFDQFKAYIDNLKEEKKKEAADEAVFPCILKILPNCIFNKKDPIVLGVDVIDGIAKIGTPICIPERDFIDIGRIASIENNHKPVDYAKKGQKVAIKIVGSNSEEQQKMFGRHFEIEDLLISHISRRSIDILKANYRDDLSMDEWKLVVKLKNIFKIP >Manes.02G178500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14094368:14103925:-1 gene:Manes.02G178500.v8.1 transcript:Manes.02G178500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPTAREEENPPAVGGGKSKKKQLVIDDDEYSIGTNLSEEPQIQEEKVAVAGKKKGKKGNQKGVHTKEEDEEKQDVEEEEEAQEIVFAGKKKSKGKKSGGNSVFSASSFGLLGDEDNDDEEKSDLTGDKDSDVEDEPVVSFTGKKKSSKKGNKSGTSLFSAAADLLDDDNEGDVIDETENGGDDMPVIEFTGKKKSSKGGKKGGGSVFAAASFDVLDDNEEEEKKDEDEDAAAITFSGKKKKSSKSTKKGGNKFSSAFLDEEIDEEASVSGSVKTSDTAEVEDEDGLVIAFTGKKKSSKKKGNSHSVSSTLNDGEGSETADMAEQPPSIVEASDTRVHIGNEIAETSKNKKKKKNKSGRTAQEEEDLDKLLAELGDGLPVEKPSAPPPQVESVQVQPDPVASADAAGEKEVEEEKEESAAAKKKKKKKEKEKEKKAAAAAAAASERREEKVEEAKIETNEPKKSDTKSKAAEKKVPKHVREMQEAIARRKEMEERKAREEEEKRRKEEEERRRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEQRRLEAMRNQILANAGITIPTGDKEGAPTKRPKYQSKKSKPTHNHANGAAPTKVEENVEKKEKEHEQQDAEPEVESMELEKVEEEESVNVEEKPQVVNGADENGMEQDDDDEEEWDAKSWDDVNLNVKGAFDDEEIDSEPETVVKKETKSAALASQSSVPPAASKPAPVLPQMPLPSQPVRSQDAENKKSQPEVDTTDKNRRKDIVGKNKTSPSDATPKQGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVCRNAPIVKAMKQQSKDVQNEFNMRLTQIITQFKEQGLNTELYYKNKEMGETFSIVPTSAISGEGIPDLLLLLVQWTQKTMVEKLTFSNEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGTYLHHKEIKAAQGIKITAQGLEHAIAGTGLYVVGPDDDLDDVMESAMEDMRSVMSRIDKSGEGVYVQASTLGSLEALLEFLKSPAVSIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTQEARELADELGVKIFLADIIYHLFDQFKAYIDNLKEEKKKEAADEAVFPCILKILPNCIFNKKDPIVLGVDVIDGIAKIGTPICIPERDFIDIGRIASIENNHKPVDYAKKGQKVAIKIVGSNSEEQQKMFGRHFEIEDLLISHISRRSIDILKANYRDDLSMDEWKLVVKLKNIFKIP >Manes.02G178500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14094331:14103995:-1 gene:Manes.02G178500.v8.1 transcript:Manes.02G178500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPTAREEENPPAVGGGKSKKKQLVIDDDEYSIGTNLSEEPQIQEEKVAVAGKKKGKKGNQKGVHTKEEDEEKQDVEEEEEAQEIVFAGKKKSKGKKSGGNSVFSASSFGLLGDEDNDDEEKSDLTGDKDSDVEDEPVVSFTGKKKSSKKGNKSGTSLFSAAADLLDDDNEGDVIDETENGGDDMPVIEFTGKKKSSKGGKKGGGSVFAAASFDVLDDNEEEEKKDEDEDAAAITFSGKKKKSSKSTKKGGNKFSSAFLDEEIDEEASVSGSVKTSDTAEVEDEDGLVIAFTGKKKSSKKKGNSHSVSSTLNDGEGSETADMAEQPPSIVEASDTRVHIGNEIAETSKNKKKKKNKSGRTAQEEEDLDKLLAELGDGLPVEKPSAPPPQVESVQVQPDPVASADAAGEKEVEEEKEESAAAKKKKKKKEKEKEKKAAAAAAAASERREEKVEEAKIETNEPKKSDTKSKAAEKKVPKHVREMQEAIARRKEMEERKAREEEEKRRKEEEERRRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEQRRLEAMRNQILANAGITIPTGDKEGAPTKRPKYQSKKSKPTHNHANGAAPTKVEENVEKKEKEHEQQDAEPEVESMELEKVEEEESVNVEEKPQVVNGADENGMEQDDDDEEEWDAKSWDDVNLNVKGAFDDEEIDSEPETVVKKETKSAALASQSSVPPAASKPAPVLPQMPLPSQPVRSQDAENKKSQPEVDTTDKNRRKDIVGKNKTSPSDATPKQGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVCRNAPIVKAMKQQSKDVQNEFNMRLTQIITQFKEQGLNTELYYKNKEMGETFSIVPTSAISGEGIPDLLLLLVQWTQKTMVEKLTFSNEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKEIRVKGTYLHHKEIKAAQGIKITAQGLEHAIAGTGLYVVGPDDDLDDVMESAMEDMRSVMSRIDKSGEGVYVQASTLGSLEALLEFLKSPAVSIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTQEARELADELGVKIFLADIIYHLFDQFKAYIDNLKEEKKKEAADEAVFPCILKILPNCIFNKKDPIVLGVDVIDGIAKIGTPICIPERDFIDIGRIASIENNHKPVDYAKKGQKVAIKIVGSNSEEQQKMFGRHFEIEDLLISHISRRSIDILKANYRDDLSMDEWKLVVKLKNIFKIP >Manes.16G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33257843:33261389:-1 gene:Manes.16G132300.v8.1 transcript:Manes.16G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFLSYTTSLSKPFPFSPKLTPSLPLYPNTHLLKPLPIKPPRTKCHAITDLRSPTVPESREVEDDHVVLAGPSTEEERREERVVADYDWTEEWYPLYLTRDVPDDAPLGLTVFDKQIVLFKDGEGELRCYEDRCCHRLAKLSEGQLIDGRLECLYHGWQFEGEGKCVKIPQLPANAKIPRSACVKTYEVRESQGVVWVWMSQKNPPNLNKLPWFENFARPGFQDTSTVHELPYDHSILLENLMDPAHIPISHDRTDWTAKREDAQPLCFEVTERTDRGFAGWWGKEKDQSSPNFLRFEAPCVLQNSREFVDENGVKQYFTGLFLCRPTGQGKSMLIVRFGGTKRSHIIKWIPNWYFHQNASKVFEQDMGFLSSQNEVLMKEKIPTKNLYLNLRSSDTWVAEYRKWMDKVGHGMPYYFGHSTISLPEVPAVVEHALAGLVAGVSASSPAKGGIGTMHAPNLANRYFRHVIHCRECSSAVKAFESWKNTLSAIALVLSALAILASGRQWKTFLLVSAGLCLAGVYGCSTAIAMNTTNFIRTHRRL >Manes.13G109300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31448644:31449381:-1 gene:Manes.13G109300.v8.1 transcript:Manes.13G109300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRVSFFFNLLSLALIFQTVVGTNPLLHFCSSNDNFTSHSPYETSLKKLMSNFYYLAPPSGFALGSLAQSTQEQAYGLALCRGDVSASDCRTCVAEASSEIRKLCPYNKGGIIWYDNCVLKYSNKDFFGQIDNQNKFSLLNVQNVSDPMTFNQNTKQLLSQLAQNASINPRMYAAGDMEIDEGSKKVYGMAQCTRDLSSVDCKKCLDGAIGELPSCCDGKQGGRVVGGSCTIRYEIYPFVKAY >Manes.03G129300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25610428:25612243:-1 gene:Manes.03G129300.v8.1 transcript:Manes.03G129300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWFTGMVKALLRCQEAVSLWVLAAMEGFVTGIFIGVMKKVIFAAFTCIFALGGAAVGTVIGAMKGQTTETGFFRGSGIGAVSGAITAFQLLESAADGEPLSKVALFYSLMNGKVFMEWVSLAVLKAYQWQISELETTDREITEIYDTSGNGGLSKNCIQKLPQLEFQSNHQFCCSICLQDLKDGDWIRELPNCGHLFHMDCIDKWLCRNGSCPMCRIFACNDSCILHM >Manes.03G129300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25610277:25612234:-1 gene:Manes.03G129300.v8.1 transcript:Manes.03G129300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWFTGMVKALLRCQEAVSLWVLAAMEGFVTGIFIGVMKKVIFAAFTCIFALGGAAVGTVIGAMKGQTTETGFFRGSGIGAVSGAITAFQLLESAADGEPLSKVALFYSLMNGKVFMEWISELETTDREITEIYDTSGNGGLSKNCIQKLPQLEFQSNHQFCCSICLQDLKDGDWIRELPNCGHLFHMDCIDKWLCRNGSCPMCRIFACNDSCILHM >Manes.03G129300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25610687:25612234:-1 gene:Manes.03G129300.v8.1 transcript:Manes.03G129300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWFTGMVKALLRCQEAVSLWVLAAMEGFVTGIFIGVMKKVIFAAFTCIFALGGAAVGTVIGAMKGQTTETGFFRGSGIGAVSGAITAFQLLESAADGEPLSKVALFYSLMNGKVFMEWISELETTDREITEIYDTSGNGGLSKNCIQKLPQLEFQSNHQFCCSICLQDLKDGDWIRELPNCGHLFHMDCIDKWLCRNGSCPMCRIFACNDSCILHM >Manes.03G129300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25610687:25612234:-1 gene:Manes.03G129300.v8.1 transcript:Manes.03G129300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWFTGMVKALLRCQEAVSLWVLAAMEGFVTGIFIGVMKKVIFAAFTCIFALGGAAVGTVIGAMKGQTTETGFFRGSGIGAVSGAITAFQLLESAADGEPLSKVALFYSLMNGKVFMEWVSLAVLKAYQWQISELETTDREITEIYDTSGNGGLSKNCIQKLPQLEFQSNHQFCCSICLQDLKDGDWIRELPNCGHLFHMDCIDKWLCRNGSCPMCRIFACNDSCILHM >Manes.03G129300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25610020:25612236:-1 gene:Manes.03G129300.v8.1 transcript:Manes.03G129300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWFTGMVKALLRCQEAVSLWVLAAMEGFVTGIFIGVMKKVIFAAFTCIFALGGAAVGTVIGAMKGQTTETGFFRGSGIGAVSGAITAFQLLESAADGEPLSKVALFYSLMNGKVFMEWVSLAVLKAYQWQISELETTDREITEIYDTSGNGGLSKNCIQKLPQLEFQSNHQFCCSICLQDLKDGDWIRELPNCGHLFHMDCIDKWLCRNGSCPMCRIFACNDSCILHM >Manes.03G129300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25610428:25612243:-1 gene:Manes.03G129300.v8.1 transcript:Manes.03G129300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWFTGMVKALLRCQEAVSLWVLAAMEGFVTGIFIGVMKKVIFAAFTCIFALGGAAVGTVIGAMKGQTTETGFFRGSGIGAVSGAITAFQLLESAADGEPLSKVALFYSLMNGKVFMEWISELETTDREITEIYDTSGNGGLSKNCIQKLPQLEFQSNHQFCCSICLQDLKDGDWIRELPNCGHLFHMDCIDKWLCRNGSCPMCRIFACNDSCILHM >Manes.03G129300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25610259:25612234:-1 gene:Manes.03G129300.v8.1 transcript:Manes.03G129300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRWFTGMVKALLRCQEAVSLWVLAAMEGFVTGIFIGVMKKVIFAAFTCIFALGGAAVGTVIGAMKGQTTETGFFRGSGIGAVSGAITAFQLLESAADGEPLSKVALFYSLMNGKVFMEWVSLAVLKAYQWQISELETTDREITEIYDTSGNGGLSKNCIQKLPQLEFQSNHQFCCSICLQDLKDGDWIRELPNCGHLFHMDCIDKWLCRNGSCPMCRIFACNDSCILHM >Manes.01G272400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42536948:42540540:1 gene:Manes.01G272400.v8.1 transcript:Manes.01G272400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSVLSSSPPYRSLAACLTSGSPFASKKHIIRCCHNINDKSLENGHELNFEDKCRRRIILFFSASSGLFQTLPSLGKTKSKNPYDERRLLEQNKRIQKENNAPQDFPNFVREGFEVKVVTSENYIKRESGLIYRDFEVGKGDCPKAGQQVTFHYVGYNESGRRIDSTYLQGSPAKIRMGTNALVPGFEEGIREMRPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLSVQDCQRRTIAFYSDVVCN >Manes.09G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2064946:2067790:1 gene:Manes.09G010100.v8.1 transcript:Manes.09G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPDAPLFVPAAYRQVEDFSPEWWQLVTTSTWYRDYWLSQHQDEEGFYDNAEDDAGFDGSTDVANLLPDAFEFDAGEDFSSFEVQFQEFVESYDTEVENKSPPSNGMLQNGFQMEAEAPKRDVSLLKTLEETVPAAINVNPN >Manes.09G010100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2065128:2067303:1 gene:Manes.09G010100.v8.1 transcript:Manes.09G010100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPDAPLFVPAAYRQVEDFSPEWWQLVTTSTWYRDYWLSQHQDEEGFYDNAEDDAGFDGSTDVANLLPDAFEFDAGEDFSSFEVQFQEFVESYDTEVENKSPPSNGMLQNGFQMEAEAPKRDVSLLKTLEETVPAAINVNPN >Manes.09G010100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2064972:2067311:1 gene:Manes.09G010100.v8.1 transcript:Manes.09G010100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPDAPLFVPAAYRQVEDFSPEWWQLVTTSTWYRDYWLSQHQDEEGFYDNAEDDAGFDGSTDVANLLPDAFEFDAGEDFSSFEVQFQEFVESYDTEVENKSPPSNGMLQNGMVQSVV >Manes.09G010100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2064937:2067790:1 gene:Manes.09G010100.v8.1 transcript:Manes.09G010100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPDAPLFVPAAYRQVEDFSPEWWQLVTTSTWYRDYWLSQHQDEEGFYDNAEDDAGFDGSTDVANLLPDAFEFDAGEDFSSFEVQFQEFVESYDTEVENKSPPSNGMLQNGFQMEAEAPKRDVSLLKTLEETVPAAINVNPN >Manes.09G010100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2064955:2067311:1 gene:Manes.09G010100.v8.1 transcript:Manes.09G010100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSTLNPDAPLFVPAAYRQVEDFSPEWWQLVTTSTWYRDYWLSQHQDEEGFYDNAEDDAGFDGSTDVANLLPDAFEFDAGEDFSSFEVQFQEFVESYDTEVENKSPPSNGMLQNGMVQSVV >Manes.07G140600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34206431:34211540:-1 gene:Manes.07G140600.v8.1 transcript:Manes.07G140600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALCRGVFLVGSRLSTPRFLVAASPHSSLSLSSFNNPQLVNGSLHHLHFNKHFPLTSSSSSSSLSFIMAQVGSSAGISTAPSFGNGGDNTAAFPLSSSSVLKINKGDITKWFVDGSSDAIVNPANEKMLGGGGADGAIHRAAGPELRDACYKVPEVQPTIRCPTGEARITPGFKLPASHVIHTVGPIYDPSRNCAAILKNAYRNSLTVAKDNNIKYIAFPAISCGVYGYPFEEAAAVAISTVKEFADGLKEVHFVLFSDDIDNVWLKKAKELLLP >Manes.09G043800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7810381:7811622:-1 gene:Manes.09G043800.v8.1 transcript:Manes.09G043800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLVWIVNDAARKASLKKRRVGLLKKVSELTTLCGVNAFAIIYSPDEPEPMVWPSPPEVEQLIMRYESIPEVERCKKMTNQESYLKERMAKSQEHCRKNHMKNREYELVYLMDRLRRDNEMDSFEVTELQAFIWLLEEKMRELRKRSEYFQQVPPLPSYPFPPPPPLPLPMSPHGDVIMEEMGQEGGGGGSGGELMRFNPQEALMWDQWFIDMMNNNENIAGGGSGTNLPQGLNFPGFPGGGGGFDMGVYPGRFGDSSAGNIVGMGLPQGNMNIGMNPFELGMPPYVNPFGLGLHPPPQNFAGSNSTNVFGLGLPPPPPPPENFAGSSTGGDLGLPGFLPAGTDTGMPFDPTKPWPHNF >Manes.06G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22594157:22597132:-1 gene:Manes.06G090300.v8.1 transcript:Manes.06G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCKEAGLKKGAWTADEDQKLIAYIQEHGEGGWRTLPQKAGLQRCGKSCRLRWANYLRPDIKRGEFSTEEEQKIIQLHASLGNKWSAIARHLPKRTDNEIKNYWNTHLKKRLIDKGIDPVTHRQIAPSPTSSPNHNSCNVGEDRTDSHFKPIQRSTSSTSAKLLNRVSAEFAQMQRKELATSHQTPSPCLGAIKALLLNSAKDAITCSASSGGGGSGSGDDSDVEILPSRPISRSSSSRILNKMATKLVPSRSFDLLKNNLSVPSMATTSVSDASPTVCSYNNVDSPISISDFLESIPTSSSACDSYELTENLGMGEDQVNEALTALHQAIELDTPEYPTYTPYELEEFLGNFGGEDGETFNNDTNQSEACDSQVPISVEPTSDFIEKFTNMPFGCSPDEHSTIKPYCYSEKESNGGAFEVNMNDWNDDLDLVNYAMQ >Manes.12G009600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:985293:993507:1 gene:Manes.12G009600.v8.1 transcript:Manes.12G009600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGYDINVLFQEAQARWLKPAEVLYILQNHDKYQLTQEPPQKPTSGSLFLFNKRVLRFFRKDGHNWRKKKDGRTVGEAHERLKVGNVEALNCYYAHGEQNSNFQRRSYWMLDSAYEHIVLVHYREIGEGKSTPGPAAQLSPGFSSSFSPSQTSYTTQNPDSTSAITDKYDPYRNSSSPSSIEVSSEMVTKENGLDATTEFTSYRKDEVSQYLRRLEEQLSLTEDSIKEIDPLCSEERATDNTELLEYEKQIPKEDNSANLLFRPEYFVNNQSYGGHAGMQLQTNNLVHLQDAGDSGKYDQSYLDKYADGNNESVSWNEVLDPSKASSGAEYQEKPQPSLRGPAEEHEYSGWLNFNGTNARNSSLLLHQEVENFEIPAYAPVIGSHETNPDYYSMLYDPGQLGVPIEADSSLTVAQQQKFIIWEISPDWGFTSEATKVIVVGSFLCDPSQSAWTCMFGDTEVPTEIIQEGVLRCQAPPHLPGKVTFCITSGNRESCSEVREFEYRAKSSCPHCSLSKTEVAKSPEELLLLVRFVQFLLSDPSLQKEDSIETGIQLMRKLKTGDDSWGSIIEALLVGNGTSTGTVDWLLQQLLKDKLQQWLSSKFQERQDQPSCTLSKKEQGIIHMVAGLGFEWALSPILSHGVSIDFRDINGWTALHWAARFGREKMVAALIALGASAGAVTDPTSQDPIGKTPASIAANSGHKGLAGYLSEVALTSHLSSLTIEESELSKGSAEVEAERTVDAISKGSFAVSEDQVSLKDTLAAVRNAAQAAARIQSAFRAHSFRKRQEREAATSAYSIDEYGVNSSDIQGLSAMSKLAFRNARDYNSAALSIQKKYRGWKGRKDFLAFRQKVVKIQAHVRGYQVRKHYKVICWAVGILDKVVLRWRRKGAGLRGFRNEAEPNDNDDESEDEDILKVFRKQKVDVAIGESVSRVLSMVDSPEARLQYHRMLERYRQAKAELGETSEAAATSLADMENDDMYHFQ >Manes.12G009600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:985206:993806:1 gene:Manes.12G009600.v8.1 transcript:Manes.12G009600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGYDINVLFQEAQARWLKPAEVLYILQNHDKYQLTQEPPQKPTSGSLFLFNKRVLRFFRKDGHNWRKKKDGRTVGEAHERLKVGNVEALNCYYAHGEQNSNFQRRSYWMLDSAYEHIVLVHYREIGEGKSTPGPAAQLSPGFSSSFSPSQTSYTTQNPDSTSAITDKYDPYRNSSSPSSIEVSSEMVTKENGLDATTEFTSYRKDEVSQYLRRLEEQLSLTEDSIKEIDPLCSEERATDNTELLEYEKQIPKEDNSANLLFRPEYFVNNQSYGGHAGMQLQTNNLVHLQDAGDSGKYDQSYLDKYADGNNESVSWNEVLDPSKASSGAEYQEKPQPSLRGPAEEHEYSGWLNFNGTNARNSSLLLHQEVENFEIPAYAPVIGSHETNPDYYSMLYDPGQLGVPIEADSSLTVAQQQKFIIWEISPDWGFTSEATKVIVVGSFLCDPSQSAWTCMFGDTEVPTEIIQEGVLRCQAPPHLPGKVTFCITSGNRESCSEVREFEYRAKSSCPHCSLSKTEVAKSPEELLLLVRFVQFLLSDPSLQKEDSIETGIQLMRKLKTGDDSWGSIIEALLVGNGTSTGTVDWLLQQLLKDKLQQWLSSKFQERQDQPSCTLSKKEQGIIHMVAGLGFEWALSPILSHGVSIDFRDINGWTALHWAARFGREKMVAALIALGASAGAVTDPTSQDPIGKTPASIAANSGHKGLAGYLSEVALTSHLSSLTIEESELSKGSAEVEAERTVDAISKGSFAVSEDQVSLKDTLAAVRNAAQAAARIQSAFRAHSFRKRQEREAATSAYSIDEYGVNSSDIQGLSAMSKLAFRNARDYNSAALSIQKKYRGWKGRKDFLAFRQKVVKIQAHVRGYQVRKHYKVICWAVGILDKVVLRWRRKGAGLRGFRNEAEPNDNDDESEDEDILKVFRKQKVDVAIGESVSRVLSMVDSPEARLQYHRMLERYRQAKAELGETSEAAATSLADMENDDMYHFQ >Manes.12G009600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:985206:993806:1 gene:Manes.12G009600.v8.1 transcript:Manes.12G009600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGYDINVLFQEAQARWLKPAEVLYILQNHDKYQLTQEPPQKPTSGSLFLFNKRVLRFFRKDGHNWRKKKDGRTVGEAHERLKGKSTPGPAAQLSPGFSSSFSPSQTSYTTQNPDSTSAITDKYDPYRNSSSPSSIEVSSEMVTKENGLDATTEFTSYRKDEVSQYLRRLEEQLSLTEDSIKEIDPLCSEERATDNTELLEYEKQIPKEDNSANLLFRPEYFVNNQSYGGHAGMQLQTNNLVHLQDAGDSGKYDQSYLDKYADGNNESVSWNEVLDPSKASSGAEYQEKPQPSLRGPAEEHEYSGWLNFNGTNARNSSLLLHQEVENFEIPAYAPVIGSHETNPDYYSMLYDPGQLGVPIEADSSLTVAQQQKFIIWEISPDWGFTSEATKVIVVGSFLCDPSQSAWTCMFGDTEVPTEIIQEGVLRCQAPPHLPGKVTFCITSGNRESCSEVREFEYRAKSSCPHCSLSKTEVAKSPEELLLLVRFVQFLLSDPSLQKEDSIETGIQLMRKLKTGDDSWGSIIEALLVGNGTSTGTVDWLLQQLLKDKLQQWLSSKFQERQDQPSCTLSKKEQGIIHMVAGLGFEWALSPILSHGVSIDFRDINGWTALHWAARFGREKMVAALIALGASAGAVTDPTSQDPIGKTPASIAANSGHKGLAGYLSEVALTSHLSSLTIEESELSKGSAEVEAERTVDAISKGSFAVSEDQVSLKDTLAAVRNAAQAAARIQSAFRAHSFRKRQEREAATSAYSIDEYGVNSSDIQGLSAMSKLAFRNARDYNSAALSIQKKYRGWKGRKDFLAFRQKVVKIQAHVRGYQVRKHYKVICWAVGILDKVVLRWRRKGAGLRGFRNEAEPNDNDDESEDEDILKVFRKQKVDVAIGESVSRVLSMVDSPEARLQYHRMLERYRQAKAELGETSEAAATSLADMENDDMYHFQ >Manes.10G091900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23711148:23721640:-1 gene:Manes.10G091900.v8.1 transcript:Manes.10G091900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLDSIRFSHFPKGHDITKQTSPIRACSYEISHLCLHGCRASYTSKQQMYVPSRGLSYRTSGFFVSGNVSEDRILLTSLASGWRGFYLPKHKIGRLERSRIYSSVDVATALDVINDLGLDTLTFLAVTVVVVPVFKIIRASPILGFFFAGIVLNQFGFIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILEFLFHSRSDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQNLVEGSIWPMLAKESLKALGGLGLLSLGGKYILRRVFEVVAEARSSEAFVALCLLTVSGTSLITQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLFREWPNVLSLLAGLIIIKTLIISTIGPRVGLTMRESVRIGFLLSQGGEFAFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPFLNEAGRRAADFIDVKFDEDKTAEMVNFDASEPVVILGFGQMGQVLANFLSVQLATGVDAEVVWPYVAFDLNPSVVKASRKLGFPTLYGDGSRPAVLQTAGISSPKAFMIMYTGRKRTIDAVQRLRLAFPAIPIYARAEDLMHLLDLKKAGATDAILENAETSLQLGSKLLKSLGIMSDDVDFLSQLVRDSMELQAQEALGKTDDREFDVMKPLQVRVADSLGAQASTPPSSPEDELPKSKQADGICVLQSQGKANGSVHDMELQQSEDLQGRGVLYCELGTENSITVNTEDEENMMDPSALA >Manes.10G091900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23711148:23721640:-1 gene:Manes.10G091900.v8.1 transcript:Manes.10G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLDSIRFSHFPKGHDITKQTSPIRACSYEISHLCLHGCRASYTSKQQMYVPSRGLSYRTSGFFVSGNVSEDRILLTSLASGWRGFYLPKHKIGRLERSRIYSSVDVATALDVINDLGLDTLTFLAVTVVVVPVFKIIRASPILGFFFAGIVLNQFGFIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILEFLFHSRSDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNLVEGSIWPMLAKESLKALGGLGLLSLGGKYILRRVFEVVAEARSSEAFVALCLLTVSGTSLITQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLFREWPNVLSLLAGLIIIKTLIISTIGPRVGLTMRESVRIGFLLSQGGEFAFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPFLNEAGRRAADFIDVKFDEDKTAEMVNFDASEPVVILGFGQMGQVLANFLSVQLATGVDAEVVWPYVAFDLNPSVVKASRKLGFPTLYGDGSRPAVLQTAGISSPKAFMIMYTGRKRTIDAVQRLRLAFPAIPIYARAEDLMHLLDLKKAGATDAILENAETSLQLGSKLLKSLGIMSDDVDFLSQLVRDSMELQAQEALGKTDDREFDVMKPLQVRVADSLGAQASTPPSSPEDELPKSKQADGICVLQSQGKANGSVHDMELQQSEDLQGRGVLYCELGTENSITVNTEDEENMMDPSALA >Manes.10G091900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23711148:23721640:-1 gene:Manes.10G091900.v8.1 transcript:Manes.10G091900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPNKQAPLGHAHMRSHIFVYMVVELHILQSSKSSGWRGFYLPKHKIGRLERSRIYSSVDVATALDVINDLGLDTLTFLAVTVVVVPVFKIIRASPILGFFFAGIVLNQFGFIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLSTLAFTAFELPPNGAIGTRILEFLFHSRSDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNLVEGSIWPMLAKESLKALGGLGLLSLGGKYILRRVFEVVAEARSSEAFVALCLLTVSGTSLITQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLFREWPNVLSLLAGLIIIKTLIISTIGPRVGLTMRESVRIGFLLSQGGEFAFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPFLNEAGRRAADFIDVKFDEDKTAEMVNFDASEPVVILGFGQMGQVLANFLSVQLATGVDAEVVWPYVAFDLNPSVVKASRKLGFPTLYGDGSRPAVLQTAGISSPKAFMIMYTGRKRTIDAVQRLRLAFPAIPIYARAEDLMHLLDLKKAGATDAILENAETSLQLGSKLLKSLGIMSDDVDFLSQLVRDSMELQAQEALGKTDDREFDVMKPLQVRVADSLGAQASTPPSSPEDELPKSKQADGICVLQSQGKANGSVHDMELQQSEDLQGRGVLYCELGTENSITVNTEDEENMMDPSALA >Manes.06G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24757360:24762972:1 gene:Manes.06G116500.v8.1 transcript:Manes.06G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAFRSTTKRTPIAKSSSASTDDSSSSTTPASSHRRSRSLSRFSRPLPHPGDEFSDEAPLPRGKGRFVNTVRGSGFPDVTLDDLAVQFFGSADRGPSSSRINDVGPGDNVSVSQRRGRSVSRHGSRVGQGKASAGNSYVGGRVNSDSNSRRRRSVSVVRYQISDSESDFDHPHNSESHTTLKSLSGGSSQVPLSNKTATSNHRQGLRRSLSQRDLKCQDGYSSHSSVLTDDEGRDSHPNLNGIERTIQAVYTQKKAEHPSGDDMSSGLYEAMRKELRNAVEDIRMELKQAIRKPDTSLESDGCRRSKNSDVLQAISKIRRNYATKMELSEKRKQDLLSEILLEEQHERELSNVMTELLDDPKNSIVEKPSRVRRKSNDRSRMSKRLTEEAERYIEDFISNVEDTDISSLDGERSDTSSSLGGITKIQTFQSPLLSKSIPVEMDGVVLPWLQWETSNDASPFSSKKSDTMATPKTNLCEAAQDATWMQDLSNHSISSRGSWSPGLLDGHSSNIGEVSGNRFREIRSCYSQFSSDGTTRRPQLDVDEYLNRQSEEAFLFETWNQQQRIHSGSLLLCNQMFF >Manes.14G039400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3397599:3400743:1 gene:Manes.14G039400.v8.1 transcript:Manes.14G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAARKCSHCGQNGHNSRTCNWKGGVKLFGVHISEKNEEPMKKSVSLGNLQYLQDKSVHNHVEDYGYVSDGFISSKRGKAAQERKKGKPWTEEEHQSFLAGLTKLGKGDWRGISKEFVTTRTPTQVASHAQKYFLRKASTDKKKRRSRLFDMALKESVLASQELPNLLSSSSTQVSPQALEPAGTSSASPMKNSDIPSHEGYPGNVQSLMSTRTMQFTAASYVQMMNYNNKRLAYPYLSKTRSPGSFANCAPPTTHPSGIPMPRSFELSFTQEGPSTEHVDSQELDLKIGPPPQPPQGARISPNPLETNPLSVI >Manes.14G072700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6025005:6029705:-1 gene:Manes.14G072700.v8.1 transcript:Manes.14G072700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLYRGNLHRVPDVPRRWLMPTRKISLKDFKSLLHRRSQALTRLRSSTNGIATTSNHNPNADKQENASPAALKLEAAERTNREEEGTNREEEGTNREEEGTSKGSASKEVKDQKTLDCGDCSAKTKGLSELSLPEKAEDEAVNADGNFQPEKMDLCANPDTEMCKADVVNDKEKRKKEVEDKLLVLNAKKHNLVQVLKQILNVEEELKRRNSMQGMGIRPSVPFQVDVANDSGSMSRHNTPRMGSEGNLGGDMDGGETEDVSNPSNHSRQIHRMSSTSPSSESPLRKPPYIQHNVVPYPSRPSLGTTSSPSRFAPTGHQVPAAIVPMVSVSGTNYIASSPSPAASGGTSAFRDARQPSPWN >Manes.14G072700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6025005:6029705:-1 gene:Manes.14G072700.v8.1 transcript:Manes.14G072700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLYRGNLHRVPDVPRRWLMPTRKISLKDFKSLLHRRSQALTRLRSSTNGIATTSNHNPNADKQENASPAALKLEAAERTNREEEGTNREEEGTNREEEGTSKGSASKEVKDQKTLDCGDCSAKTKGLSELSLPEKAEDEAVNADGNFQPEKMDLCANPDTEMCKADVVNDKEKRKKEVEDKLLVLNAKKHNLVQVLKQILNVEEELKRRNSMQGMGIRPSVPFQVDVANDSGSMSRHNTPRMGSEGNLGGDMDGGETEDVSNPSNHSRQIHRMSSTSPSSESPLRKPPYIQHNVVPYPSRPSLGTTSSPSRFAPTGHQVPAAIVPMVSVSGTNYIASSPSPAASGGTSAFRDARQPSPWN >Manes.14G072700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6025005:6029705:-1 gene:Manes.14G072700.v8.1 transcript:Manes.14G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLYRGNLHRVPDVPRRWLMPTRKISLKDFKSLLHRRSQALTRLRSSTNGIATTSNHNPNADKQENASPAALKLEAAERTNREEEGTNREEEGTNREEEGTSKGSASKEVKDQKTLDCGDCSAKTKGLSELSLPEKAEDEAVNADGNFQPEKMDLCANPDTEMCKADVVNDKEKRKKEVEDKLLVLNAKKHNLVQVLKQILNVEEELKRRNSMQGMGIRPSVPFQVDVANDSGSMSRHNTPRMGSEGNLGGDMDGGETEDVSNPSNHSRQIHRMSSTSPSSESPLRKPPYIQHNVVPYPSRPSLGTTSSPSRFAPTGHQVPAAIVPMVSVSGTNYIASSPSPAASGGTSAFRDARQPSPWN >Manes.02G172400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13631149:13635433:1 gene:Manes.02G172400.v8.1 transcript:Manes.02G172400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWFTRSRSIAYYLKRKMSFMGILNQHSCTNPYFESICSSSSSSSRITDAENSSISCHSSAFPFSVHRFESTKAAGQLDQLYSSDDESSQSLDFPGGKVAYISEMRFISESNQKRIPCYRLLNDNGELINGSDFHQVTEELAVKMYSKMVTLQQMDTIFYEAQRQGRISFYLTSVGEEAANIASAAALGADDVVLPQYREPGVLLWRGFTLEEFANQCFGNKADYGKGRQMPIHYGSKKLNYFTVSSPIATQLPQAVGAAYSLKMDKKDACAVTYIGDGGTSEGDFHAALNFAAVMEAPVIFICRNNGWAISTHISEQFRSDGVVVKGQAYGIQSIRVDGNDALAVYRTIRAAREIAISEQKPILVEALTYRVGHHSTSDDSTKYRPVDEIEYWKMARNPVNRFRKWVERNGWWSDKEESELRSSIRKQLLQAIQAAEKTQKPELGNLFSDVYDHPPLNLQEQEKQLRETINRHSQDFPSDVPM >Manes.04G021000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2556223:2557244:1 gene:Manes.04G021000.v8.1 transcript:Manes.04G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHSGNISLDDVIEIAKVMRPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGEVEVPLD >Manes.10G112780.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26439436:26442766:1 gene:Manes.10G112780.v8.1 transcript:Manes.10G112780.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWDDMDGTSALLQMVHQMSSSICRMCQGESDSKNEDCRNIITAALERLREEKLDSLSLYSVPATLVMLYSSPIEGNKFYGHNLIMLYMELILMNDDEDFPDEKASLGLEFCNLLRELSAEDPLYKSCRTILAELLGDYEIHSDYDECMITEILLFAFKLSQDLSNGLAKSFYRWEHIELLRIQFGEFGKFLCVLRKAIDVQIKVDENDDQNSMVELIVAGIGIIFQVHLKDMEQNLACFANMIQVFEKIDTLRSVSLLYLAILNELNSMSQLLEGAPDEFQRLLECQKNSLQIMIKNIMRGDDYDWLLEYIAMLDSESRMHLVMMKMIPEKRLRDAELYKPLIWWSENLDKKLFNAVKNTDLRDPKVLHLWLCKLCQILFEPKNLLFRACTNDPTKFYPNPELEPEPFHLDCLSFAGKVIAVALMHEIQVGIALDLLFLMQLAGKNISLEDARNVDSCLHNAGKDISKQGLELEDLNQVLKGKINLTSNSSKKKKYVNHDFDESDPLMSQLQEIRRQRINITDWQWGDFLGSGSFGRVYEVKVAPLLEGKKVDQIEQEIALLCQFSHPNILVRAGSLEKLYQKFQLRDSQVSLYTMQILKGLKYLHDRNVVHRDIKCANILVDEKGCVKIADFGLSKVTNLKTLMKSCWWNPRWMPPEVVNGKGGGYGFKADIWSIGCTVLEMSTRQIPYSHLEPGAVDYSIGEGNLPPLPDSLSKHSRDFILQCLQVNPDDRPTAAKLLGHPFVKGRCS >Manes.10G112780.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26439436:26442766:1 gene:Manes.10G112780.v8.1 transcript:Manes.10G112780.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWDDMDGTSALLQMVHQMSSSICRMCQGESDSKNEDCRNIITAALERLREEKLDSLSLYSVPATLVMLYSSPIEGNKFYGHNLIMLYMELILMNDDEDFPDEKASLGLEFCNLLRELSAEDPLYKSCRTILAELLGDYEIHSDYDECMITEILLFAFKLSQDLSNGLAKSFYRWEHIELLRIQFGEFGKFLCVLRKAIDVQIKVDENDDQNSMVELIVAGIGIIFQVHLKDMEQNLACFANMIQVFEKIDTLRSVSLLYLAILNELNSMSQLLEGAPDEFQRLLECQKNSLQIMIKNIMRGDDYDWLLEYIAMLDSESRMHLVMMKMIPEKRLRDAELYKPLIWWSENLDKKLFNAVKNTDLRDPKVLHLWLCKLCQILFEPKNLLFRACTNDPTKFYPNPELEPEPFHLDCLSFAGKVIAVALMHEIQVGIALDLLFLMQLAGKNISLEDARNVDSCLHNAGKDISKQVSFFTKGFESIFGTPILQLLSFKGLELEDLNQVLKGKINLTSNSSKKKKYVNHDFDESDPLMSQLQEIRRQRINITDWQWGDFLGSGSFGRVYEVKVAPLLEGKKVDQIEQEIALLCQFSHPNILVRAGSLEKLYQKFQLRDSQVSLYTMQILKGLKYLHDRNVVHRDIKCANILVDEKGCVKIADFGLSKVTNLKTLMKSCWWNPRWMPPEVVNGKGGGYGFKADIWSIGCTVLEMSTRQIPYSHLEPGAVDYSIGEGNLPPLPDSLSKHSRDFILQCLQVNPDDRPTAAKLLGHPFVKGRCS >Manes.11G017100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1786771:1794634:-1 gene:Manes.11G017100.v8.1 transcript:Manes.11G017100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGLEDIYLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPPHMTRVSAAEIRRAQKAEREATDLKGTMRRRMEFLDLD >Manes.01G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33096895:33098791:1 gene:Manes.01G139200.v8.1 transcript:Manes.01G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVMEYAKIIPSVSSLLPLYASFSTSLMLLRNAYHELVPEKLESFLLSKFEYFFSRRKSKPSYDTFVIDDSWEGQNRNKLIDISIFYLSNKIGHKNKIIRIGKFKGRKNVMTGLVKGEEIVDIFEGIEITWFFNCRKEEDGSDEYFELSFEDKYREKVFNEYLDHVIRTYKAMNKEEKGLRFYSWEWPSWHWIEFQHAATFDVLAMDFDLKKAIMNDLDRFLSRKDYYKRIGRPWKRGYLLYGPPGTGKSSLVAAMANYLNYNVYELELADIGSDSDLRDAMFHVGRKSIIVIEDIDCNSGVHDRSKTDDSISDSNKNFSLSSLLNCIDGLWSSCAEERIIIFTTNHKEVLDPALLRPGRMDMHIQMSYCTTEGFRVLVSNYLGIKEHPLFEEIDGLIRRMDVTPASLAEELMKSDDADVALGEVVNFLKQKRTEKHKNIEEVN >Manes.15G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9450364:9453934:1 gene:Manes.15G118500.v8.1 transcript:Manes.15G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAQEVQPHIPGQEAKEGTPTEHSNVANQPIALQQKNFSWWLRIAIFTFFLLAGQTVATILGRLYYDKGGNSRWMGTLAQTAACPLIFLLYFISPLNNPPTKDINSNSPSTLVLLLIYIIFGAFLAANSTLYSLGLQYLPVSTYTLICASQLGFNALFSFFINSQKFTPFIINSVVLLTISSTLLVFHNDSTESKHVSKRKYVIGFTCTVGASAGYALMLSLVQFCFRKVLKQENFKVVLDMVFYPSLVATLAILVGLFASGPWKGLRRDMEEFELGKVSYMMTLIWTAIGWQVFNIGSIGLIFEVSSLFSNVISTFGLPTVPVLAVFIFHEKMDGLKVIALVLDMWGFVSYVYQHYLDEHKCKTEINNVCE >Manes.06G127700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25741039:25744680:1 gene:Manes.06G127700.v8.1 transcript:Manes.06G127700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLGSLRSLSILVLAFHTYYSQASLENGDRIKSATFLSPKFVLGPGSVENRYYRNIDFPRGHIALKSFNAEVIDEAGNPVPLHETYLHHWVVARYYQRLDVADTDNNDELQVRQSDHLFAGNSGICQANVLRQYFGLGSETRRTATHVPDPYGIEIGNPAEIPAGYEERWLINVHAIDTRGAEDKLGCTECRCDLYNITVDEYGRPLRPDYKGGLYCCYDHTQCKVRPGFEGIRRSLYLRYTVKWIDWDSSIIPVKIFIFDVTDTGKRVNGSTGMDPTSGCQVEYEVEACSTTGVTSNGCIDVRKTSLTMPTGGDVIYGVAHQHTGGVGSTLYGEDGRVICTSVPTYGDGAEAGNEAGYIVGMSTCYPKPGSVKIANGENLILESKYSNAQKHTGVMGLFYILVADRTLKHTTSLASLVHVHESMEPSIQAWDIIVLLGLTITLGVAAAVHSWLKKGNADGYQPIRV >Manes.15G050825.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3890648:3898847:-1 gene:Manes.15G050825.v8.1 transcript:Manes.15G050825.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTFVSPPANPINAAIPFYGALRQPHFFTRPSSLRVVAQSQTVVTSEPSSASTVAQKLNKYSSRVTEPKSQGGSQAILHGVGLSDEDLSKPQIGISSVWYEGNTCNMHLLRLSEAVKEGVKEAGMVGFRFNTIGVSDAISMGTRGMSYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFNGHSYDIVSAFQVYGEYVSGSISDEDRKNVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAENPLKLDECRLAGKYLLELLKMDLKPRDIISRQSLHNAMVVVMALGGSTNAVLHLIAIARSVGLELTLEDFQKVSDKVPFLADLKPSGKYVMEDVHKIGGTPAVLRYLLELGFLDGDCLTVTGKTLAENVLNCPPLSEGQDIIRSLESPIKKTGHIQILRGNLAPEGSVAKITGKEGLYFSGPALVFEGEEAMVAAISENPMNFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDCALLTDGRFSGGSHGFVVGHVCPEAQEGGPIGLVRDGDIITIDAQERRIDVDVTDQEMEERRKNWSPPPYKATRGVLFKYIKNVQSASRGCVTDE >Manes.15G050825.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3890983:3898847:-1 gene:Manes.15G050825.v8.1 transcript:Manes.15G050825.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTFVSPPANPINAAIPFYGALRQPHFFTRPSSLRVVAQSQTVVTSEPSSASTVAQKLNKYSSRVTEPKSQGGSQAILHGVGLSDEDLSKPQIGISSVWYEGNTCNMHLLRLSEAVKEGVKEAGMVGFRFNTIGVSDAISMGTRGMSYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFNGHSYDIVSAFQVYGEYVSGSISDEDRKNVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAENPLKLDECRLAGKYLLELLKMDLKPRDIISRQSLHNAMVVVMALGGSTNAVLHLIAIARSVGLELTLEDFQKVSDKVPFLADLKPSGKYVMEDVHKIGGTPAVLRYLLELGFLDGDCLTVTGKTLAENVLNCPPLSEGQDIIRSLESPIKKTGHIQILRGNLAPEGSVAKITGKEGLYFSGPALVFEGEEAMVAAISENPMNFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDCALLTDGRFSGGSHGFVVGHVCPEAQEGGPIGLVRDGDIITIDAQERRIDVDVTDQEMEERRKNWSPPPYKATRGVLFKYIKNVQSASRGCVTDE >Manes.12G129001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33641483:33643040:-1 gene:Manes.12G129001.v8.1 transcript:Manes.12G129001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITHLRDLIGIIKDKASQSKAAIVSKPKNLSLNLALLRATTHDPFTPPHPKHITTFLSYGYSSRVTASAAVEALMDRLQSTHDSSVAIKCLAVIHHIIKDGSFILQDQLSVYPSTGGRNYLKLSSFRDNTTPMTWELSSWVRWYARYLEHLLSTSRVLGFFLCSTSSTAEKDKEEDKVSALTNSDLLREIDSLTSLTEEICKRPDSFHMQGNELMGQIISLVGDDYLSSINEISIRVDEFNQRLSCLSFGDSVELVCVLRRLEDCKERLLALSTRKRALVESLWCLISEMKGKVGDGKAYKEEGRLLLTFGKRDSGSESARFGDRVISYGDSVRFSSARYGLNGHALQIVESVESYA >Manes.08G086144.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27146487:27147121:-1 gene:Manes.08G086144.v8.1 transcript:Manes.08G086144.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGLSAAEVAPEKRLSSLSGTFGRRRCRRTCLTFGSGGTFGRRTCRRKCPLQPFLACFSMIIP >Manes.08G109500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34849093:34853928:1 gene:Manes.08G109500.v8.1 transcript:Manes.08G109500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQPPGENYANPRACFFHVLFKASALAFYILSALFIDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFESLDQESLARLNKKDSWLFWWTLYLTAVAWIVLGIFSLIRFQADYCLIIGVCLTLSIANIIGFTKCRKDAKKQIQQFASQTIASRVSSTIQSAFSVV >Manes.09G165700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35886411:35891623:1 gene:Manes.09G165700.v8.1 transcript:Manes.09G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKARAPGKIILSGEHAVVHGSTAVAASIDLFTYVTLSFATAGNDDSVKLQLKDMALEFSWPIRRIREALSSLAAPSSTPTSCSIESVKSISALVEEQNIPEAKIALASGVSAFLWLYTSIQGFKPATVIVTSDLPLGSGLGSSAAFCVALSAALLAFSDSVTVDTKLQGWSIFGESDLELLNKWAYEGEKIIHGKPSGIDNTVSTYGNMIKFRSGNLTRIKPSMPLKMLITNTKVGRNTKALVAGVSERTLRHPNAMSFVFNAVDSISNELANIIQSPASDDVSITQKEEKLEELMEMNQGLLQCMGVSHASIETVLRTTLKYKLASKLTGAGGGGCVLTLLPSLLSRTVVDKVIAELESCGFQCLTAGIGGNGVEICFGGSS >Manes.11G091000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13470131:13470854:1 gene:Manes.11G091000.v8.1 transcript:Manes.11G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPTATMTFNMDSLPNILPNKRGLSRYYSGKSRSFACMEDVQCLEDLKKKEHPDAKKRKKFSERRESHIPPYQCRKLSSTTPSLDFEQINSLVV >Manes.15G101400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7944826:7949601:1 gene:Manes.15G101400.v8.1 transcript:Manes.15G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPDSSANPDSCIYLTLHFCFVFLFHLETYDAQKLIRSVANDAIDDLSMLGFSETSPDLVICSGSPEIPRTTYGDFPELWDRNKYKGSFELSLENDIEASDKKDAHKSLSVKFSSICQTFDKELSPESSLKLLIKPEKEEKFPENPLLEFLEDTCFAGGDTVRTNAPIGDGQEDGLCLYQTARFGNFSYFFPALEPGNYAVSLHLAEIVFTDGPPRMRMFDALIQEKKKVVSCLDIYAQVGANKPLVISDLKICIYCDEGLSIRFEGVMGSPIVCGISITKDSFADAGEAQLSKPMGISQEAGGEQEVKEDYRELLRQVEFQKRELIEMRRALEELKRENQLKSRECQDAWKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDMTRKLKLMKMDHIKLSAEAFAYKKCLADMNELRLTIQSKMKEQIDLNEDLKIKFTEGAKERKELYNKVLELKGNIKVFFRCRPLNAEELALGASMAIDFEYAEDGELTVTSNGLYRKTFKFDAVFSPQSDQAEVFEDVAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTEEPRGVNCRILDEIFHIIKEQNNIFRSLSALGDVISTLATKSPHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDLGETLCSLNFGSRVRGIELGPAQRLLDTAELLRYKQMAKDIQLKKMEETIHGLELKMKEKDFRNKNLQEKVKELESQLLIERKLARQHVDSRIAEQQQQMNPQKDEQHSAAPRRPLANRLLGSNKNSSEHKSTEMGKDQVNSSQPLAENNGYTPCIPVHLTEGIARNIDPTEKENKPNMHEQLGLPEISVRASICTTSQRIPVAPAPVPRRCSLIPLPSMAGLAGLPPPPPLQPLSLCNDMKEDIEGSEANYLPEQTHCNSPKRIKHRTRKLSSILRRSLKRKIQMKSPAQQHLRKGGINVGMEKVRISIGSRGIISHRVLLGNGRRPGMKETQQNRSQREKERGWNIGKNST >Manes.07G048100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5595998:5602892:-1 gene:Manes.07G048100.v8.1 transcript:Manes.07G048100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIISLIVLYPRNTLCVIRRTHQENPCLSTFFSAKNTKMAEIAISPILQVVFDRLASPVLGKLRELCNLKHNLEKLQQSLPIIQAILEDAEERQVTDKAVKAWLLKLKDVAYDSDDLLDELAAEITLCESHCSTGSQVRSLLLPFEPSRRLFRIASDLEKMLKVLDKKISEGLHFNLKEKACNMQSESAAKNRDTGSFIIKSEVYGRGKDKEKILELLSPSFHGKIIGDVTIIPIVGIGGIGKTTLAQLVYNDDKLIGSFDLKLWVYVSDDFNVKRIIKAIVESATRKRCKFSEMDILQSELQDSLCGKRFLLILDDVWIEDPCEWEKLGNLLRGGLGGSKVIVTTRSNKVASMVGTISPYYLTGLPEDACWALFKDRALPLGDNEKYPKLVSIGKQILKKCGGVPLALTTLGSLMRLKREEKDWLDVQKNELCYMDECQSQILPALRLSYSHLPAYLKRCFAYCSLFPKNYEFQKEKLIHLWMAEGFILAQEGDRQLEDIGNYYFDELLWRSFFQEVKVGKHGNRKVYKMHDLIHDLAHYVGGNEFVKLEKVHGVKLARARHASIIHNHRPSIIPQALLNAKRLRTLLLMSPAVFSGELSFLPTNFKYLRALNLSGYGIKKLHESICELMCLRYLDVSNTSVQMLPETICDLCNLQTVDLSGCSHLHKLPSRMEMLINLRHLFLVGCEALTCMPAGIGKLIHLQTLPIFIVGKGIGESITELRSVNLRGELNIKCLENVGGPAEAKTANLKEKTYIQVLRLFWEDNDGGMKIQSARPTHSSGMNTGRNFQSSGLWHGNHGGDAQSIDVEEILKCLEPHPNLGKLFIRGYPGVKFPQWVLPNLTVVVLINCKRCENLPTLGHLPYLKLLYLQGMDNVECISREFYSIGTQRPFPALKELTLRKFPKLKEWSKGDGREAFPCLEKLIVSKCPELSTSPLFPSLQHLELHDCHPSIITSMENLSLLSVLVVENIPGLTVLSGKLLENNTFLASLKISSCGSLCCLPSELEKLTALKSLTICSCQELSALPEGLHNLKSLESLEINECRNIISLSDASVGGLSSLKIFSIENCTNLTSLSAGLLNLITLEQLTIVDCPNLASLPNEWRNLSVLRSLSILCCPKLDSLPDGLQYVTTLQTLEIRSCHGLKDLPEWVDKLSSLRSLAISDCKNLTSLPDGLQHLSKLQHLSIQGCPNLESWCKQEKGRKVRRSVSHVPHLYIGSPEFQK >Manes.13G003300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:924526:928658:1 gene:Manes.13G003300.v8.1 transcript:Manes.13G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGALLKYLRVNVQTVPQNLRPNTGLFALSFDGILRRFSEEVRGSFLDKSEVTDRVVNVVKNFQKVDPSKVTPNAHFHNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKINSVNLAVDFIASHPQAK >Manes.05G054200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4495905:4496793:1 gene:Manes.05G054200.v8.1 transcript:Manes.05G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGENTSLPALLDSIQQYLLEDDFETLTALSPLNFSDDSIFASLLSDDVSYSVEDLLDITSYSHEINNDWTPVCQLDSTLADTTMSIVELESQQSIASSEQVAVMSNRNASSKGWQYKGVRRRPWGKYAAEIRDPKKNGARIWLGTYETPEDAALAYDRAAFKMRGSKAKLNFPHLIGSSDYEPVRVTNKRCSPESSSSPSSSSSLSWELDDSSPAPKRRMK >Manes.03G105900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22962438:22962941:-1 gene:Manes.03G105900.v8.1 transcript:Manes.03G105900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIATVLICTVLLCMIALSTIFLIVYMTFNYIIKPLCLHDEFDDLELGVMYDRDRFMYNYSLEYWNEEILDEIQRFRKDKIARTQFIDNLLPSVEYKEAEEEEEENGDEEMILRYGDCAICLEDYVEGDCCRIFPKCKHMFHSDCIDDWLEKNLTCPICRRYVFGA >Manes.01G167500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35055753:35056840:1 gene:Manes.01G167500.v8.1 transcript:Manes.01G167500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLSALVRPKNPNLAFSTAAVLPSSSLASSCAGAISSSHYKKPLGMTDAKKYQSETLAEDVQEPKFCIDLSWP >Manes.13G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7298228:7304172:1 gene:Manes.13G062700.v8.1 transcript:Manes.13G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYGGKSKIPEDVSGKIECCSSGRGKVVIGSGDGTVSLLDRGLNFNFAFPAHSSSVLFLQQLKQRNFLVTIGEDEQISPQQSAFCLKVFDLDKMQPEGTSSSVPDCIGILRIFTNQFPQAKITSFLVLEEAPPILLIAIGLDNGCIYCIKGDIARERISRFKLQVDTVSDKSDSSVTGLGFRVDGQALQLFAVTPSSLSLFSLHNQPPRRQMLDQIGCDVNSVTMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRSGKDTFNVYDLKNRLIAHSLAVKDISHMLCEWGNIILIMSDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYSKQDYDEAMAQYINTIGHLEPSYVIQKFLDAQRIYNLTNYLENLHEKGLASKDHTTLLLNCYTKLKDVDKLNLFIKSEDGAGEHKFDVETAIRVCRAANYHVHAMYVAKKAGRHELYLKILLEDLGRYDEALQYISSLEPSQAGVTVKEYGKILIEHKPAETIEILMRLCTEDGESAKRGSSSGAYLSMLPSPVDFLNIFMHHPLSLMNFLEKYTDKVKDSPAQVEIHNTLLELYLSNDLNFPSISQASSGVDLSLSAKSGAARKSKAESNGKLIVDQKDAYKEKEHAERCEKGLRLLKSAWPSELEHPLYEVDLAIILCEMNGFKEGLLYLYEKMKLYKEVIACYMLSHDHEGLIACCKRLGDTGKGGDPSLWADLLKYFGELGEDCSKEVKEVLTYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIDEDRRAIEKYQDDALAMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNERECPECASEYRSVMEMKRSLEQNSKDQDLFFQQVKSSKDGFSVIAEYFGKGIISKTNNGPKGTQRSRSLSSSSGF >Manes.16G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3818710:3821624:1 gene:Manes.16G032100.v8.1 transcript:Manes.16G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDKNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAIYDQYGEEGLKGQMPPPDAGGPGGATFFSTGDGPTTFRFNPRNADDIFAEFFGFSSPFGGMGGGSGMRGGSRSFGGMYSDDIFSSFGEGRPMSSAPRKASAIENKLPCTLEELYKGTTKKMKISREIADASGKTLPVEEILTIDIKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHSIFTREGNDLITIKKISLAEALTGYTVHLTTLDGRSLTIPINNVIHPDYEEVVPKEGMPIPKDPSKRGNLRIKFNIKFPTRLTAEQKSGIKKLLAP >Manes.16G032100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3819306:3821625:1 gene:Manes.16G032100.v8.1 transcript:Manes.16G032100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDPQKRAIYDQYGEEGLKGQMPPPDAGGPGGATFFSTGDGPTTFRFNPRNADDIFAEFFGFSSPFGGMGGGSGMRGGSRSFGGMYSDDIFSSFGEGRPMSSAPRKASAIENKLPCTLEELYKGTTKKMKISREIADASGKTLPVEEILTIDIKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHSIFTREGNDLITIKKISLAEALTGYTVHLTTLDGRSLTIPINNVIHPDYEEVVPKEGMPIPKDPSKRGNLRIKFNIKFPTRLTAEQKSGIKKLLAP >Manes.02G045700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3741297:3745748:-1 gene:Manes.02G045700.v8.1 transcript:Manes.02G045700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAASNLTCAKTPISHTTAATSYSLKPQTFPLHVTPTTIKKPRPAIVASVSTSSSNVVSSNWSLDSWKSKPAHQLPEYPDLGELESVLHTISTFPPIVFAGEARRLEERLASAAVGNAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLTFGAQMPIIKVGRMAGQFAKPRSEPFEIKDGVKLPSYRGDNINADAFDEKSRMPDPQRLIRAYLQSVGTLNLLRAFATGGYAAMQRVSQWNLDFVLHSEQGDRYMELARRVDEALGFMAAAGLTVDHPQMNTTEFWTSHECLHLPYEQALTREDSTTGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVSNPLGIKVSDKMDPKELVKLCEILNPHNRPGRLTIIVRMGADNLRIKLPYLIRAIRQAGLIVTWVSDPMHGNTIKAPCGLKTRSFDAIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSKTVTFDDLNSRYHTHCDPRLNASQSLELAFAISERLRRKRLRSGDGILIGHNVGGSVA >Manes.18G058700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5189640:5194635:-1 gene:Manes.18G058700.v8.1 transcript:Manes.18G058700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNRRSKRTSVADSSSSLASGDGIPQEQQQQHNEKRVDLEKENEALKSTIEELKHKLANVSLNSVDDLRKTKEDDDSQKVVEMKKKPDGRSPLSAQKQISSHKKRSGEPRISTQDEIQRLKAQKVHLLCKLKLESVQFRLSKASLEKEVLQLKKEQRKNDYEMRKLLALNEKQKLVLQRKTEEAALATKRLKELIESRRASSHRTSGSKSGISSGIQAVELDLKIAARVEEIRSEFERQMEEMADEVRKFEEDAETLRQENYRYLLQEKEAECIVRDSELKDLKEEVVRLSNLVNQLGMPKAQFHAKKQDVNLVRSSISVGSSFELMDTPESECSGGSNAVSGKFTPRVCCSCSKKSLCKTSKCECRAAVGICGTGCGCAAAKCSNRGTSIKVDDSLQKKVASDLVLSSGTSETEKAVVTSQPAEVNNDCAPRRKPLSDIGNTLVKSSPIQPDQKMMGGKPVIQVDTVDSSLLVPEIVEGPKKADRASQADISTRLTRAKRSTVSKKDT >Manes.07G035200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3821975:3824552:1 gene:Manes.07G035200.v8.1 transcript:Manes.07G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSKFYLCSILVALCFQARMACNSNEISALNGFSNCLTSKIEAWNSFTADCCAWNGVTCDNSTSLSRRVIGLELGSKRLTGTVCESLAELEHLRILNLSNNFLHGTIPSKLFSLQNLEVLDLSKNNFAGPIPAGGDLPYVRFMDLSNNYFAGSINSTLCEISPRIQVLNLASNSFTGEVSKAFGRCSSLQHVYLNGNSLSGSFPESLLHLQDLQVLHLEDNQFSGPLDDGLDNLSKLVELDISYNIFSGNLPDVFGKLGKLEEFSARTNKFTGHMPESLVNSPSLMTLALDNNTLNGVININCSAMINLVSLYLGSNNFHGPIPETLSSCHNLSILDLSGDKLGGEVPHSFKNLQALTSLSLGNTSIGNISSALGILQKCKNLTTLILSYNFQDEQMPSDVNLQFTNLRVLFMDHCQLRGSIPLWLKDCKMLKLLILSWNLLGGNLPSWLGNFSDLFYLNLSNNLFTGEIPISLTGLQSLADRTILMDGNSTGIPLYRKTGGLGNFLRYNKLGSHPPTLDLSYNNLEGPIWPSFGNLKRIHVLRLNKNELSGQIPGDLSGMSSLEILDLSCNKLSGEIPSSLVKLSFLSKFNVAENQIYGEIPIGGQFLTFPCSSFEGNNGLYGGGVFASCQLAQHPSPPAIEPPREKMSILGLQFGIGAVTGFVLTVLFCFMSGWVIPKP >Manes.10G141700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31057976:31061483:1 gene:Manes.10G141700.v8.1 transcript:Manes.10G141700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLASIASEEVSLVHGFKKDLRKLQTTLSTIKAILVDAEEKQEESLAVKDWIRRLKEVVYDADDLLDDVATEGLRRMVEGEGRMVRKVCDFFSSTGQIAFRFKMGHRIKDIRERLDEVAKEMSDFGFIIRKEVGASMGIKNSWRETDSFVLKSEIIGRDDDKERIIESLMCPVNQTNISVVAIVGFGGLGKTALAQLVFNDEKVMNYFDLKLWVCVSEESNVETLVKLILKSASNNEVPNLSLEQLQIRLRQCLEGKKYLLVLDDVWNVNNRIWSHLRKYLMVGAIGSRILVTSRSKGVALAMGVDSPYPLKGLTEDQSWELFEKLAFREGTGRANSNLIEIGKEMAKKCKGVPLAIKAIGGIMQLRSSESEWLSVLENELWKVYESDGDISQVLKLSYDVLPYHLKQCFAYCAMFPKDYHFGKDRLIQLWMAQGYVQSQSQSENENLEEIGEGYLNELLFRSFFQKDEYCYKMHDLIHDLAQSIAGDSCFAVDDNTKHIPDRVQHVFSGSLSFEECFRQLKNKGLRTLYYPYFGDGLSLNLDNIFLNCRSMRALSFRWNINGLPDSIGKLKHLRYLELFRNTKISSLPNCICSSYNLQTLILLECRVLKELPTDMRKLICLGQLINKGCSSLEFMPLGLGRLTNLQTLSTFVVGSDQGRRCSSLNELNSLNRLRGKISIKGLENVKNAALESSRVNLKEKKYLLCLRLRWDGKGDSNSGNSELLLDNLHPHPNLKELNVMFYEGVRFSNWLSSISNLVNITLYKCPKCEHLPPLDNLPYLEILNLSYFDSLEYISDEDNLFSSLSASAATFFPSLKILKLEVCPNLKGWWRTFMEAKMVPQFPCLSKLTIANCRNLTVMPTFPSVDMELHLAYAHIRPLHYTLQMSATASGVPSTSSLVTSPFSKLKTLWLQGFENLASLPGEWMQNLSFLEELFLSNCMEISDEDERGISKWRYLVSLRDLSLYNLSNLVSLPRELQYVTTLQRLTIYDCSNLRALPDWIGNLTALENLHIDGCPKLESLPRGMHQITTLQQFSVRRCAHLSERCGHDTAADWPNISHIPNIRINGNDIQKEGRYLL >Manes.01G232900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39650140:39651759:-1 gene:Manes.01G232900.v8.1 transcript:Manes.01G232900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLSILQFYKVDDSGKVQRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >Manes.09G046500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8113322:8123607:-1 gene:Manes.09G046500.v8.1 transcript:Manes.09G046500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETERRVGNLLSSSQRAAPAIDSAASGQGDRQPSNGLKITKPVSTLESSSAKEKLSIELKQRQDKLMASNSVKELQSFRKKLPAYKVKGEFLNAVSENQVLVISGETGCGKTTQLPQYILEEEIARLCGAHCSIICTQPRRISAISVAARISSERGENLGETVGYQIRLEAKRSDQTRLLFCTTGVLLRQLVQDPDLTGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINANLFSKYFGNAQIIHIPGLTFPVTELFLEDILEKSRYKIQSEAGSFQGNSRRRKREQDSKKDPLTELFEDVDISSEYKNYSASTRLSLEAWSGSLLDLGLVEATVEFICRHEGDGAILVFLTGWDEISKLLDRIKGNKLLGDPSKFSVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKIIHNAMLQYQLPEILRTPLQELCLHIKSLQLGTVGSFLAKALQPPDPLSVQNAIELLKTIGALDDNEELTPLGRHLCTLPLDPNIGKMLLLGSIFQCLNPALTIAAALAHRDPFVLPIDRKNEADAAKRSFAGDSCSDHIALVKAFEGYKEAKHNRNERAFCWENFLSPITLQMMEDMREQFLTLLADIGFVDISRGARAYNQYSHDLEMVSAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYMVYSEKVKTTSIYIRDSTNISDYALLLFGGSLFPSNNGEGIEMLGGYLHFSASKSVLELIKKLRAELDKLLWRKIEDPSLDISMEGKGVVSAVVELLHSYNLRF >Manes.09G046500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8113322:8124199:-1 gene:Manes.09G046500.v8.1 transcript:Manes.09G046500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLLRSNSFFTLTSNSKTLQQPLAAPISGSKILSSRLQISTIAMSRRPNFQGGRRGGRGSSSSVPGRGGGGRGGRGGGGGGRGEQRWWDPVWRAERLRQKAAEMEVLDENEWWDKMENMKNGGDEEMIIKRNYSRADQQTLSDMAYQLGLYFHAYNKGKTLVVSKVPLPDYRADLDERHGSTQKEIQMSRETERRVGNLLSSSQRAAPAIDSAASGQGDRQPSNGLKITKPVSTLESSSAKEKLSIELKQRQDKLMASNSVKELQSFRKKLPAYKVKGEFLNAVSENQVLVISGETGCGKTTQLPQYILEEEIARLCGAHCSIICTQPRRISAISVAARISSERGENLGETVGYQIRLEAKRSDQTRLLFCTTGVLLRQLVQDPDLTGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINANLFSKYFGNAQIIHIPGLTFPVTELFLEDILEKSRYKIQSEAGSFQGNSRRRKREQDSKKDPLTELFEDVDISSEYKNYSASTRLSLEAWSGSLLDLGLVEATVEFICRHEGDGAILVFLTGWDEISKLLDRIKGNKLLGDPSKFSVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKIIHNAMLQYQLPEILRTPLQELCLHIKSLQLGTVGSFLAKALQPPDPLSVQNAIELLKTIGALDDNEELTPLGRHLCTLPLDPNIGKMLLLGSIFQCLNPALTIAAALAHRDPFVLPIDRKNEADAAKRSFAGDSCSDHIALVKAFEGYKEAKHNRNERAFCWENFLSPITLQMMEDMREQFLTLLADIGFVDISRGARAYNQYSHDLEMVSAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYMVYSEKVKTTSIYIRDSTNISDYALLLFGGSLFPSNNGEGIEMLGGYLHFSASKSVLELIKKLRAELDKLLWRKIEDPSLDISMEGKGVVSAVVELLHSYNLRF >Manes.09G046500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8113322:8124199:-1 gene:Manes.09G046500.v8.1 transcript:Manes.09G046500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLLRSNSFFTLTSNSKTLQQPLAAPISGSKILSSRLQISTIAMSRRPNFQGGRRGGRGSSSSVPGRGGGGRGGRGGGGGGRGEQRWWDPVWRAERLRQKAAEMEVLDENEWWDKMENMKNGGDEEMIIKRNYSRADQQTLSDMAYQLGLYFHAYNKGKTLVVSKVPLPDYRADLDERHGSTQKEIQMSRETERRVGNLLSSSQRAAPAIDSAASGQGDRQPSNGLKITKPVSTLESSSAKEKLSIELKQRQDKLMASNSVKELQSFRKKLPAYKVKGEFLNAVSENQVLVISGETGCGKTTQLPQYILEEEIARLCGAHCSIICTQPRRISAISVAARISSERGENLGETVGYQIRLEAKRSDQTRLLFCTTGVLLRQLVQDPDLTGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINANLFSKYFGNAQIIHIPGLTFPVTELFLEDILEKSRYKIQSEAGSFQGNSRRRKREQDSKKDPLTELFEDVDISSEYKNYSASTRLSLEAWSGSLLDLGLVEATVEFICRHEGDGAILVFLTGWDEISKLLDRIKGNKLLGDPSKFSVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKIIHNAMLQYQLPEILRTPLQELCLHIKSLQLGTVGSFLAKALQPPDPLSVQNAIELLKTIGALDDNEELTPLGRHLCTLPLDPNIGKMLLLGSIFQCLNPALTIAAALAHRDPFVLPIDRKNEADAAKRSFAGDSCSDHIALVKAFEGYKEAKHNRNERAFCWENFLSPITLQMMEDMREQFLTLLADIGFVDISRGARAYNQYSHDLEMVSAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYMVYSEKVKTTSIYIRDSTNISDYALLLFGGSLFPSNNGEGIEMLGGYLHFSASKSVLELIKKLRAELDKLLWRKIEDPSLDISMEGKGVVSAVVELLHSYNLRF >Manes.09G046500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8113322:8124215:-1 gene:Manes.09G046500.v8.1 transcript:Manes.09G046500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLLRSNSFFTLTSNSKTLQQPLAAPISGSKILSSRLQISTIAMSRRPNFQGGRRGGRGSSSSVPGRGGGGRGGRGGGGGGRGEQRWWDPVWRAERLRQKAAEMEVLDENEWWDKMENMKNGGDEEMIIKRNYSRADQQTLSDMAYQLGLYFHAYNKGKTLVVSKVPLPDYRADLDERHGSTQKEIQMSRETERRVGNLLSSSQRAAPAIDSAASGQGDRQPSNGLKITKPVSTLESSSAKEKLSIELKQRQDKLMASNSVKELQSFRKKLPAYKVKGEFLNAVSENQVLVISGETGCGKTTQLPQYILEEEIARLCGAHCSIICTQPRRISAISVAARISSERGENLGETVGYQIRLEAKRSDQTRLLFCTTGVLLRQLVQDPDLTGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINANLFSKYFGNAQIIHIPGLTFPVTELFLEDILEKSRYKIQSEAGSFQGNSRRRKREQDSKKDPLTELFEDVDISSEYKNYSASTRLSLEAWSGSLLDLGLVEATVEFICRHEGDGAILVFLTGWDEISKLLDRIKGNKLLGDPSKFSVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKIIHNAMLQYQLPEILRTPLQELCLHIKSLQLGTVGSFLAKALQPPDPLSVQNAIELLKTIGALDDNEELTPLGRHLCTLPLDPNIGKMLLLGSIFQCLNPALTIAAALAHRDPFVLPIDRKNEADAAKRSFAGDSCSDHIALVKAFEGYKEAKHNRNERAFCWENFLSPITLQMMEDMREQFLTLLADIGFVDISRGARAYNQYSHDLEMVSAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYMVYSEKVKTTSIYIRDSTNISDYALLLFGGSLFPSNNGEGIEMLGGYLHFSASKSVLELIKKLRAELDKLLWRKIEDPSLDISMEGKGVVSAVVELLHSYNLRF >Manes.09G046500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8113322:8124199:-1 gene:Manes.09G046500.v8.1 transcript:Manes.09G046500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLLRSNSFFTLTSNSKTLQQPLAAPISGSKILSSRLQISTIAMSRRPNFQGGRRGGRGSSSSVPGRGGGGRGGRGGGGGGRGEQRWWDPVWRAERLRQKAAEMEVLDENEWWDKMENMKNGGDEEMIIKRNYSRADQQTLSDMAYQLGLYFHAYNKGKTLVVSKVPLPDYRADLDERHGSTQKEIQMSRETERRVGNLLSSSQRAAPAIDSAASGQGDRQPSNGLKITKPVSTLESSSAKEKLSIELKQRQDKLMASNSVKELQSFRKKLPAYKVKGEFLNAVSENQVLVISGETGCGKTTQLPQYILEEEIARLCGAHCSIICTQPRRISAISVAARISSERGENLGETVGYQIRLEAKRSDQTRLLFCTTGVLLRQLVQDPDLTGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINANLFSKYFGNAQIIHIPGLTFPVTELFLEDILEKSRYKIQSEAGSFQGNSRRRKREQDSKKDPLTELFEDVDISSEYKNYSASTRLSLEAWSGSLLDLGLVEATVEFICRHEGDGAILVFLTGWDEISKLLDRIKGNKLLGDPSKFSVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKIIHNAMLQYQLPEILRTPLQELCLHIKSLQLGTVGSFLAKALQPPDPLSVQNAIELLKTIGALDDNEELTPLGRHLCTLPLDPNIGKMLLLGSIFQCLNPALTIAAALAHRDPFVLPIDRKNEADAAKRSFAGDSCSDHIALVKAFEGYKEAKHNRNERAFCWENFLSPITLQMMEDMREQFLTLLADIGFVDISRGARAYNQYSHDLEMVSAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYMVYSEKVKTTSIYIRDSTNISDYALLLFGGSLFPSNNGEGIEMLGGYLHFSASKSVLELIKKLRAELDKLLWRKIEDPSLDISMEGKGVVSAVVELLHSYNLRF >Manes.14G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10424197:10431763:1 gene:Manes.14G130700.v8.1 transcript:Manes.14G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFFRRLAKAVPTGFGGQLKSNYGAFRIPFGAIAAVSGGISYLYYYSSPNLAYLDQVKEETGPKVALKPDKWIEFKLQDTARVSHNTHLFRFSFDPSAKLGLDIASCILTRAPLELDAEGKTKYVIRPYTPISDPDAKGHFDLLIKVYPEGKMSQHFASLKPGDAIEIKGPIEKLRYSPNMKKHIGMVAGGTGITPMLQVIEAILKNPNDNTQVSLLYANISPDDILLKQKLDFLAASHPNFKVFYTVDNPSKNWKGGTGYISKDMVVKGLPGPSNDALILVCGPPGMMKHISGNKAEDYSQGELTGILKELGYTEQMVYKF >Manes.11G019700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2147770:2148165:-1 gene:Manes.11G019700.v8.1 transcript:Manes.11G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSYSGASGSHNSSQRGLAMVLALASAVVLSPLYVNRRNDSHFLETKWSSGFVLPMVLAGLIIAIRTTSLSSSSSTSSVENRGRDSLIPSPDPSWVLRIGSSSWGLAGILGMLMLVLSWQESVQEFFWR >Manes.13G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6686166:6691778:-1 gene:Manes.13G058100.v8.1 transcript:Manes.13G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGLGIMGKAMATNLIKSGFKVTVWNRTLSKCNELVELGASIGETPAAVVKKCKLIIAMLSDPAATLLVVFDKDGVLEEICSGKGYIDMSTVDPETSTKISQAITAKGGSFLEAPVSGSKQPAEAGQLVILAAGHKALYDLAIPAFDVMGKKSFFLGEIGNGAKMKLVVNMIMGSMMNAFSEGLVLAERSGLNPSNLLDVLDLGGIANPMFRGKGPGMLKGNHSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKARSMGLGDLDFSAVYEIVKMQKD >Manes.08G055300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6036423:6036698:-1 gene:Manes.08G055300.v8.1 transcript:Manes.08G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYYFFPTDFFYPRPQSVRVDTAQKSGLPLQIQKRDTSDDRQHSTSLSLVLSTNNHTNKASAAINKSRST >Manes.06G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24830798:24834672:-1 gene:Manes.06G117900.v8.1 transcript:Manes.06G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLYESASGYSLFLANGLDEIGQNTEAVRSSVADLNRFGKVVQLTAFHPFESSLDALNQCNSVSEGLMTDELRSFLELNLPKVKEGKKPKFSLGVAEPKLGSHIFEETKIPCRSNEFVLELLRGVRLHFERFIKDLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYAKLAKFIDDKAKLSEDKIPDLIDILGDEDKAKEVVEAAKASMGQDLSPIDLINVQQFAQRVMDLSEYRKKLYEYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFAERGTTVFGEKLREQVEERLDFYDKGVAPRKNIDVMKVAMESAQYKDVDMEAEVPAVPSEKKSKKKKSKSEAAENAEPMAEDKSEKKKKRKLEQKLAMENSNGVNGAEAEQDGAAEKKKNNKSKDEDGENVQHLKKKKKKSKGENDK >Manes.08G122660.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:19806387:19807062:1 gene:Manes.08G122660.v8.1 transcript:Manes.08G122660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLNELSNLDGKAKAEMVKSIHMKAREHIEKRNKMYEKQANKGRKKVVFRPGDWVWIHMRKERFPNIRKSKLDARGDGPYQVLKRINDNAYVIDLPGEFGVLATFNVSDLSPFDFGTDSRTNPFQEGGDDVSTTNNTNELALPQGTIIRLRSKKLKEALQGFIKEYVEALQVHFEVEEQSGHSKSIRPNVFLLTVQTLYL >Manes.04G046718.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7362504:7378263:1 gene:Manes.04G046718.v8.1 transcript:Manes.04G046718.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLICILGFFSLFFPAFESSISDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTAGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPGKIYPSVCIASWMGFLNEFEAGERATIFIVPRDAFGNDVSSTGEELNSYNFTVCVLYANGSLANVPNITHVGWNELGIISIEFIAEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQQDQYGNLVSGPYEFDADIVERETNLTIPVADLHFEDVVPGIKLFSFSLLEPGNFLLTISDLEHNRSIANMPFAYTVFIGYCDGSASIVNGSGLHDSIAGEISQFSVYLFDIFQYPAFIELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSQTEISPAPSDVTMNISAGHFEVAASVFHVIYTAEKSGIYEIYVFCGNILLSGVQSFRKEVKAGKVDVSLSKIVKFSLKVPKLMENEIWVQLMDSFSNHVLSQQSLLKLEIASVNTSGFSTEMFVDNNDGSYTCQYMAKDVGTYEMCVSFDGVHLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIVEFSKPNCGSLLQMDSSLETPPIKIIMGMTILCIQYQM >Manes.13G014300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1946275:1950400:-1 gene:Manes.13G014300.v8.1 transcript:Manes.13G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPKEKGKPSPSPWQPPPAIEDLFTALNKHIQRSEYEQAVKVADQVLGVAPADEDALRCKVVALIKADNIDDALSTIQSAQRVPIDFSFFKAYCLYRQNKLNEALESLKAQERNSETMLLESQILFRMGKMGACVDVYQKLLKSKIDSLEINLVAGLVLGGRASEVQGMMEANRIKASSSFELAYNTACSLIERNKYTEAEQLLLTARRIGQETLMDDNLAEEDIEIELAPIAVQLAYVQQLLGRSQEAMEAYTDIINRNLADESSFAVAVNNFVAVKGTKDVSDNLRKLDRLKEKDAQGFQLAHGLEKLSAKQRETIYANRVLLLLHANKLDQAREIVATLTDMFSDSVVPVLLQAAVLVRENKANRAEEILGQFAEKFPDKSKIILLARAQIAAAAGHPQIAADSLAKIPDIQHMPATVATIVALKERAGDIDGASAILDSAIKWWSNAMTEDNKLDVVMQEAAAFKIRHGREEDAAHLYEQLVKSHGSVEALAGLVSTVARVNVDKAEAYEKQLKPLPGLKGIDVDSLEKTSGAKHVEGAYISVAEVQEEGKKEKPRKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVREKHDASASAANSSSSNSKANQATSKASAEKSKPSPKSSRKKSRN >Manes.01G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6462084:6469580:1 gene:Manes.01G033000.v8.1 transcript:Manes.01G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNSSSSDRGSQPPSSAKQREKARVSRTSMILWHAHQNDASAVRKLLEEDPSLVHARDYDSRTPLHVASLHGWIDVAKYLIEFGADVNAQDRWKNTPLADAEGAKKYAIIEFLKSYGGLSYGQNGSHFEPKPVAPPLPSKCDWEIDPSELDFSNSAIIGKGSFGQILKAYWRGTPVAVKRILPSLSDDRLVVQDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLITEYLRGGDLHQYLKEKGALSPSTAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNSNTDHLKVGDFGLSKLIKVQNCHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEQPLANYEPYEAAKIVAEGHRPPFRAKGFTLELRELTDQCWAADMNRRPSFLDILKRLEKIKEILPSDHSWNIFNS >Manes.06G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21998587:22003096:-1 gene:Manes.06G084700.v8.1 transcript:Manes.06G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWVFGAAAAAGYIAKHWQYISRDRDNLSEPGKNETPSCPFRRLTWRRKLAEDTSTGERLSDMYKLDGASEAEVSTSGYVEKLGIWGDYEHRMLPLSSLPLGFSTDEHLKESGGEKGLNGDMGDNSGRPCTGEVDPFCDRMRKRSSLRTKGYFIKPLSSLESCLTAQIYKEHVKMEEYVLSVLPSSSTNIRPLLVTNGNQTINRVNGHSVSARISTDDNRLHKEEILCGIPPLPKISTLAVPSKIKSKIGKGHDERYRSSHKAGNGRHFDSQTGSIDRKILFCLGICVGIVTSLLDNRRELDKFKQLLKQKENLVQDLQEELEMKDLLTVEQLADEKCESQDTYENSFDYEAPNPLVSVQDMDISRNNDDEDSNHYEKAEETTGDMSKIEAELEAELERLGLNMNTSNMDTRWSDLVELDPDFESDFAQGDLRADMVNGQAVESDRDASGTSTTYTGNYTVSPRELSLRLHEVIESRLEERVKKLERALQNSERKLQLRESEHRNVCRQFPNRELRYSSGEETEEDSSCMTQPLVMDLSGEALDAYNEAYEELMKINESEDESPCGFHESIIWGQNLTCNKEKSSREIHFNQLKASIERGLQNQELLYDGASEDENGNCNDELEKQLIKHVVEKTRKGSPVVLNAQRLLFSMDENEG >Manes.17G119628.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34546347:34547228:-1 gene:Manes.17G119628.v8.1 transcript:Manes.17G119628.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFSFSYLFVSFLFLTIQPSMFQSRILKSEEQNLQFLQNLEEVHKGQTVTGLNKVKKYLKRFGFYTNPSDSILTDDFDNHLESALKTYQKYYHLKITGSPDSNTLKKMMIPRCGVPDNIANLASVNQSLYNFPPSMQRWSKFELTYTFSSSVPDDQDLRSAFANAFQSWEGASKFKFTEASTEEEANIPFDGPGMVLAHSFFPEDGRSHYDADESWSTNPDMNHMDLESVALHEIGHLLGLAHSQDPNAVMHSGISPGTIKRDLTQDDIQGIQVLYSN >Manes.09G181300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36987374:36990902:-1 gene:Manes.09G181300.v8.1 transcript:Manes.09G181300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRWYNGEQGTNVQDNGQQDKEQNTLIEDLVEDFRLPINHKPTENVDLDNVEQASLDTKLTASNIGFRLLQKMGWKGKGLGKDEQGIVEPIKSGIRDSKLGIGKQEEDDYFTSEENIQRKKLDVEIEETEEQVKKREVLAVREQKIQTEVKEIRKVFYCDLCSKQYKLAVEFEAHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREMAKFAQMADARKQQQQQQVEESGSCQVSNSLRSATALADQDQRKALKFGFSSKGSTSKKPTGGVAAKKPKVAVASVFGDDSDEEQ >Manes.09G181300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36987373:36990902:-1 gene:Manes.09G181300.v8.1 transcript:Manes.09G181300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRWYNGEQGTNVQDNGQQDKEQNTLIEDLVEDFRLPINHKPTENVDLDNVEQASLDTKLTASNIGFRLLQKMGWKGKGLGKDEQGIVEPIKSGIRDSKLGIGKQEEDDYFTSEENIQRKKLDVEIEETEEQVKKREVLAVREQKIQTEVKEIRKVFYCDLCSKQYKLAVEFEAHLSSYDHNHRKRFKEMREMHGSSSRDDRQKREQQRQEREMAKFAQMADARKQQQQQQVEESGSCQVSNSLRSATALADQDQRKALKFGFSSKGSTSKPTGGVAAKKPKVAVASVFGDDSDEEQ >Manes.11G066700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9496475:9498207:1 gene:Manes.11G066700.v8.1 transcript:Manes.11G066700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVAAENLPIVREGSSTVKNLVVVREYHEERDKMAVEEMEKRCEVGQRGKPSLVTDLLGDPICRVRHFPFHVMLVAEYGEGREIVGVIRACIKTVSAANTIKNVAYILGLRVSSAHRRFGIGTKLVQKIEEWCKQKGAEYAYMATNCTNEPSINLFTRKCSYTKFRTLSILVQPVHAHYKTINSRVAIVRLTPKLAEMIYHRVFTTRAEFFPKDIDTILSSKFNLGTFMAMPKKFLPQWDSKTGNLPSNFAILSVWNTKEVFRLQVKGVSKFKYACCAGSRLLDSWLPWLRLPSFPDVFRQFGIYFLHGIYKEGNCSSRLLKALCAFAHNMGRDDDGCGAVVAEVGHLDPVRDVIPHWRKFSWAEDLWCIKKISDEKYNIDGSCEPLDWMKSRPIIFVDPRDF >Manes.12G077701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9641405:9642604:1 gene:Manes.12G077701.v8.1 transcript:Manes.12G077701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGNLVFLVLTAILMVQAVAHDDYEPNSSQLQVQSGVESNGLVDRVADQPASSSLEESRSPSPSDEWKEAEAPEIRRMGKHHSSDKSVAGGGVIIGGLVTAIFAAVFCYIRVTRKKENDGVLGR >Manes.10G115900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28149574:28153878:-1 gene:Manes.10G115900.v8.1 transcript:Manes.10G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPDEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADANIVIMLIGNKTDLKHLRAVATEDAQSYAEREGLSFIETSALEATNVEKAFQTILSEIYRIISKKSLSSEEPTPANIKEGKTIVVGGSEGNAKKPCCSSS >Manes.01G161700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34666100:34669742:-1 gene:Manes.01G161700.v8.1 transcript:Manes.01G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHSFIHNGQTVFEWDQTLEEVNIYINLPPNVHSKQFYCKIQTKHLEVGIKGNPPYLNRELSCLVKTDSSFWTLEDGIMHITLQKRDKGQTWSSPLLGQGQLDLYSSDLEQKRLMLQRFQEENPGFDFSQAQFTGSCPDPRTFMGGIRIG >Manes.05G184351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30301632:30301851:1 gene:Manes.05G184351.v8.1 transcript:Manes.05G184351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKDFAESVTCFNRNRKITIYHQMICDQRSLINKWVSKLYSHDCESDR >Manes.17G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10875230:10878454:-1 gene:Manes.17G020100.v8.1 transcript:Manes.17G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNILLLNRNEQEGHDYPLQPQPGGFLDQSHVLFTNGGANNPRKRGREVTAAAAAMGAITTTTGGITTAPTIHPFSMQSQAPQLIDLSQLHNNPHPSQPNVVSTGLRLSFGDQQQIQQNHQYQHQQQQQQQQQSFVSQSSPFLYLLPEDFAPQIKRQRDEIDQFLQAQGEQLRRTLADKRKRHYRALLSAAEESIARRLKEKEAEVEKATRRNAELEARAAQLSVEAQVWQAKARAQEATAASLQAQLQQALMSGGVATQDNRRGEDGGLGCSGGVEGQAEDAESAYVDPERVTVTAGPSCKGCRKRAATVVVLPCRHLCLCTECDQVAQACPLCLQVRNSSVEVFLY >Manes.01G155700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34217110:34219773:1 gene:Manes.01G155700.v8.1 transcript:Manes.01G155700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANICDINHLDADVLLPPRKRLLAGFKKQSSDGDAALAPVAVASSSSSSAASPSSPAPTLSPSPLFPSPPSPTPCSPSSSEFQARLNNLLTSHFNNNHNLSPDQIVEASKSAAEAAVKAAEAARAAAQEKAIIATKAVTAAKSALALVASFPGEAASKERCLKKNKLKKHVQVQLLYKKHQPIENYRDDEELARKLHRVINSSPRISKNSSSSDLKGHKNKKPKSSPTSERTRVSNGSVVFGGNLSPMCNGHAIAGELDSEGSIDDEACTSTADEKTSKFEKAAQLEMDNGEAESSHSKDKVWGDASSPGKRRGRLKLRKLPLSICSSRDQAKPKDDIFPRSSPLADKNMANPTTRNKPLFSMEPSANNPMAIDVPPMRKCQEFKSPASVKQNKVIQS >Manes.01G155700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34217110:34219773:1 gene:Manes.01G155700.v8.1 transcript:Manes.01G155700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANICDINHLDADVLLPPRKRLLAGFKKQSSDGDAALAPVAVASSSSSSAASPSSPAPTLSPSPLFPSPPSPTPCSPSSSEFQARLNNLLTSHFNNNHNLSPDQIVEASKSAAEAAVKAAEAARAAAQEKAIIATKAVTAAKSALALVASFPGEAASKERCLKKNKLKKHVQVQLLYKKHQPIENYRDDEELARKLHRVINSSPRISKNSSSSDLKGHKNKKPKSSPTSERTRVSNGSVVFGGNLSPMCNGHAIAGELDSEGSIDDEACTSTADEKTSKFEKAAQLEMDNGEAESSHSKDKVWGDASSPGKRRGRLKLRKLPLSICSSRDQAKPKDDIFPRSSPLADKNMANPTTRNKPLFSMEPSANNPMAIDVPPMRKCQEFKSPASVKQNKVIQS >Manes.01G155700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34217110:34219773:1 gene:Manes.01G155700.v8.1 transcript:Manes.01G155700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANICDINHLDADVLLPPRKRLLAGFKKQSSDGDAALAPVAVASSSSSSAASPSSPAPTLSPSPLFPSPPSPTPCSPSSSEFQARLNNLLTSHFNNNHNLSPDQIVEASKSAAEAAVKAAEAARAAAQEKAIIATKAVTAAKSALALVASFPGEAASKERCLKKNKLKKHVQVQLLYKKHQPIENYRDDEELARKLHRVINSSPRISKNSSSSDLKGHKNKKPKSSPTSERTRVSNGSVVFGGNLSPMCNGHAIAGELDSEGSIDDEACTSTADEKTSKFEKAAQLEMDNGEAESSHSKDKVWGDASSPGKRRGRLKLRKLPLSICSSRDQAKPKDDIFPRSSPLADKNMANPTTRNKPLFSMEPSANNPMAIDVPPMRKCQEFKSPASVKQNKVIQS >Manes.09G124800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32702356:32706665:1 gene:Manes.09G124800.v8.1 transcript:Manes.09G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTGGTASSSPSPAPPAPKILLAKPGLVTTGPVVGKFGRGGAEDETVPHRSRLPAIGSLNLLSDSWEFHIDRFLPFLTENTDFTVIGIIGPPGVGKSTIMNELYGFDGGSTGMLPPFTIQSEDNRAMARHCSVGIEPRISAERLILLDTQPVFSPSVLAEMMRPDGSSTISVLSGENLSAELAHELMAIQLGVLLASICHVLLVVSDGVHDDNMWHLLLTVDLLKHGIPDPSSHLLSSTVGSEKENKDKLFEGEEYMATPVFVHTKLQDQNVTPHNLMQLRKALAQYFSSSSFIRERCGSMAKENFFSSTASNTQGDDFHAMPLKLFLIPSKNKDDLPRAQHESYNSALWKLRDEVLSMNCPSFARTVSERDWLKNSAKIWELVKSSSVIAEYSRTLQNSGMFRR >Manes.09G124800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32703244:32706665:1 gene:Manes.09G124800.v8.1 transcript:Manes.09G124800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFTIQSEDNRAMARHCSVGIEPRISAERLILLDTQPVFSPSVLAEMMRPDGSSTISVLSGENLSAELAHELMAIQLGVLLASICHVLLVVSDGVHDDNMWHLLLTVDLLKHGIPDPSSHLLSSTVGSEKENKDKLFEGEEYMATPVFVHTKLQDQNVTPHNLMQLRKALAQYFSSSSFIRERCGSMAKENFFSSTASNTQGDDFHAMPLKLFLIPSKNKDDLPRAQHESYNSALWKLRDEVLSMNCPSFARTVSERDWLKNSAKIWELVKSSSVIAEYSRTLQNSGMFRR >Manes.09G124800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32703103:32706665:1 gene:Manes.09G124800.v8.1 transcript:Manes.09G124800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPFTIQSEDNRAMARHCSVGIEPRISAERLILLDTQPVFSPSVLAEMMRPDGSSTISVLSGENLSAELAHELMAIQLGVLLASICHVLLVVSDGVHDDNMWHLLLTVDLLKHGIPDPSSHLLSSTVGSEKENKDKLFEGEEYMATPVFVHTKLQDQNVTPHNLMQLRKALAQYFSSSSFIRERCGSMAKENFFSSTASNTQGDDFHAMPLKLFLIPSKNKDDLPRAQHESYNSALWKLRDEVLSMNCPSFARTVSERDWLKNSAKIWELVKSSSVIAEYSRTLQNSGMFRR >Manes.09G124800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32702356:32706666:1 gene:Manes.09G124800.v8.1 transcript:Manes.09G124800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTGGTASSSPSPAPPAPKILLAKPGLVTTGPVVGKFGRGGAEDETVPHRSRLPAIGSLNLLSDSWEFHIDRFLPFLTENTDFTVIGIIGPPGVGKSTIMNELYGFDGGSTGMLPPFTIQSEDNRAMARHCSVGIEPRISAERLILLDTQPVFSPSVLAEMMRPDGSSTISVLSGENLSAELAHELMAIQVDLLKHGIPDPSSHLLSSTVGSEKENKDKLFEGEEYMATPVFVHTKLQDQNVTPHNLMQLRKALAQYFSSSSFIRERCGSMAKENFFSSTASNTQGDDFHAMPLKLFLIPSKNKDDLPRAQHESYNSALWKLRDEVLSMNCPSFARTVSERDWLKNSAKIWELVKSSSVIAEYSRTLQNSGMFRR >Manes.09G124800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32702356:32706665:1 gene:Manes.09G124800.v8.1 transcript:Manes.09G124800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELYGFDGGSTGMLPPFTIQSEDNRAMARHCSVGIEPRISAERLILLDTQPVFSPSVLAEMMRPDGSSTISVLSGENLSAELAHELMAIQLGVLLASICHVLLVVSDGVHDDNMWHLLLTVDLLKHGIPDPSSHLLSSTVGSEKENKDKLFEGEEYMATPVFVHTKLQDQNVTPHNLMQLRKALAQYFSSSSFIRERCGSMAKENFFSSTASNTQGDDFHAMPLKLFLIPSKNKDDLPRAQHESYNSALWKLRDEVLSMNCPSFARTVSERDWLKNSAKIWELVKSSSVIAEYSRTLQNSGMFRR >Manes.16G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33220788:33225754:-1 gene:Manes.16G131900.v8.1 transcript:Manes.16G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTSTVTQESGPVFDFASQLGRFAFNTAFQSINSNGYSSFNSNYLFFDSSVAQTKRKVAVSLSLGSRGTSSVKRIWSEFNRAIRFHCERIPIGFASVRVGSGDTNGDNSNGLGDDGCSVLVEEGLPLNGAEAESPKKVLILMSDTGGGHRASAEAIKAAFYEEFGDYYQVFITDLWSEHTPWPFNQLPRSYNFLVKHGPLWKMTYYGTAPRVIHQSNFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRAKGLLQKIAFTTVITDLSTCHPTWFHKLVTRCYCPTTDVAKRALKAGLQPSQIKVYGLPVRPSFVKPVRPKCELRKELGMDEDLPAVLLMGGGEGMGPIEAVARALGDSLYDEDLCEPIGQVLVICGHNKKLANRLRSIDWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPYVVENGCGKFSKSPKQIANIVGQWFGPKADELKAMSQNALKLARPEAVFKIVHDLDELVRQRNVVPQYSCAP >Manes.02G072200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5521934:5522641:1 gene:Manes.02G072200.v8.1 transcript:Manes.02G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAERQAYLRNSTLHQIIDLADQGTGNAKVSGFTAWLPLFSIYLLLLLCTIAVISSLYFLYVINKFY >Manes.16G042800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:6566462:6567257:1 gene:Manes.16G042800.v8.1 transcript:Manes.16G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTAFSLIFLVIFPAFLSNIEGRKLLITNTEYDDVKKETAVDSSLFQALPKGTVPSSSPSKKGQATLNNEQLFQRHLASIDRILRSVPSPGVGH >Manes.04G110800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31566918:31573309:1 gene:Manes.04G110800.v8.1 transcript:Manes.04G110800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEEHCLGKRIKKAIIANDPKVIDGVSSSDFESSLVGKTVISALRKGKNLWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAINDSDEWPSKYSKLFIELDDGLELSFTDKRRFAKVRLLKDPGSVPPISELGPDALLEPMKFDEFYESLHKKKMGIKALLLDQSFISGIGNWIADEVLYQARIHPLQTACSLSKESCVTLHKCIKEVIQYAVQVDAECSHFPLEWLFHFRWGKKPGTVNGKKIDFIAAGGRTTAYVPELQKLSGGQAVKAAGALQRKTPNGKKGEDDDEDEVEDDANEAGSEEEEEEEEEEATRKAKSKRELKSRVHVKKPPTKQKSKASHENDNAAADDNGDDGKDGKQKPRRATSDKQAKAKRESNKKATNNSRKPKKKVK >Manes.04G110800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31566918:31573309:1 gene:Manes.04G110800.v8.1 transcript:Manes.04G110800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEEHCLGKRIKKAIIANDPKVIDGVSSSDFESSLVGKTVISALRKGKNLWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAINDSDEWPSKYSKLFIELDDGLELSFTDKRRFAKVRLLKDPGSVPPISELGPDALLEPMKFDEFYESLHKKKMGIKALLLDQSFISGIGNWIADEVLYQARIHPLQTACSLSKESCVTLHKCIKEVIQYAVQVDAECSHFPLEWLFHFRWGKKPGTVNGKKIDFIAAGGRKLSGGQAVKAAGALQRKTPNGKKGEDDDEDEVEDDANEAGSEEEEEEEEEEATRKAKSKRELKSRVHVKKPPTKQKSKASHENDNAAADDNGDDGKDGKQKPRRATSDKQAKAKRESNKKATNNSRKPKKKVK >Manes.04G110800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31566918:31573933:1 gene:Manes.04G110800.v8.1 transcript:Manes.04G110800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEEHCLGKRIKKAIIANDPKVIDGVSSSDFESSLVGKTVISALRKGKNLWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAINDSDEWPSKYSKLFIELDDGLELSFTDKRRFAKVRLLKDPGSVPPISELGPDALLEPMKFDEFYESLHKKKMGIKALLLDQSFISGIGNWIADEVLYQARIHPLQTACSLSKESCVTLHKCIKEVIQYAVQVDAECSHFPLEWLFHFRWGKKPGTVNGKKIDFIAAGGRKLSGGQAVKAAGALQRKTPNGKKGEDDDEDEVEDDANEAGSEEEEEEEEEEATRKAKSKRELKSRVHVKKPPTKQKSKASHENDNAAADDNGDDGKDGKQKPRRATSDKQAKAKRESNKKATNNSRKPKKKVK >Manes.04G110800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31566918:31573854:1 gene:Manes.04G110800.v8.1 transcript:Manes.04G110800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAVEEHCLGKRIKKAIIANDPKVIDGVSSSDFESSLVGKTVISALRKGKNLWLQLDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAINDSDEWPSKYSKLFIELDDGLELSFTDKRRFAKVRLLKDPGSVPPISELGPDALLEPMKFDEFYESLHKKKMGIKALLLDQSFISGIGNWIADEVLYQARIHPLQTACSLSKESCVTLHKCIKEVIQYAVQVDAECSHFPLEWLFHFRWGKKPGTVNGKKIDFIAAGGRTTAYVPELQKLSGGQAVKAAGALQRKTPNGKKGEDDDEDEVEDDANEAGSEEEEEEEEEEATRKAKSKRELKSRVHVKKPPTKQKSKASHENDNAAADDNGDDGKDGKQKPRRATSDKQAKAKRESNKKATNNSRKPKKKVK >Manes.05G162700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27535989:27541584:-1 gene:Manes.05G162700.v8.1 transcript:Manes.05G162700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENELKDVNPEPSKPRQPEPQPETANDNASNRDDRPLLKSDSKGMSTANIEDLEKKFAAFVRNDVYGTMGRGELPLAEKALLGFALVTLLPIRVMLAMIILFFYYSVCRICTLFSAPNRDVEEEQEDFAHMGGWSRAVIVRCGSFVSRVMLFLFGFYWIRESYRILEQPQNKSFTQNEGKDQSGVPERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVGKLPLLGLISKCLGCVYVQRESKSSDFKGVAVSFVGAVTKRVGEAHQNESAPIMILFPEGTTTNGDFLLPFKTGAFLAGTPVLPVILRYPYQRFSPAWDSISGVRHVIFLLCQFINYIEVKRLPVYYPSQEEKDNPKLYASNVRWLMAREGDLVMSDIGLAEKRVYHAALNGLF >Manes.14G154500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:18565677:18566949:1 gene:Manes.14G154500.v8.1 transcript:Manes.14G154500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAELSHLIFPYVRVYKDGTIERLAGTEIAPAGLDPETGVLSKDTIIIPETGVSARIYLPNSSKPHQTLPLVIYFHGGGFYLSSTADPKYHHSLNRMVAEAKIILVSVNYRLAPETPLPGAYEDSWGALEWVVSHARKHEPWLVDYADLGKVFLAGDSCGANMAHHFGLKLKDSELGRELKIEGIATINPYFWGKDPVGVEVTDHQRKSMVDDWWMFVCPSSSEKGCDDPLINPFIDGNSPSFEGLRCERVIVVVAEKDILRDRGRIYYEKLVKSGWSGTAQIVETKGEDHIFHIFDPNCENAKNLLKLLASFFNQA >Manes.07G080684.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24683779:24685289:1 gene:Manes.07G080684.v8.1 transcript:Manes.07G080684.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.17G078600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27846381:27847850:1 gene:Manes.17G078600.v8.1 transcript:Manes.17G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDKGSIECTGRRRNVAGGRAAIVFLLWVLLILAQLGLFYAVHEETGKLVKSLPRKVRLLETQSFDAPPSKVQSSMDIEGDPDTVYGDDKRIIHTGPNPLHN >Manes.08G027950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2676592:2678260:1 gene:Manes.08G027950.v8.1 transcript:Manes.08G027950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQLILQQGIHQPAVAAHPQPVANPVAVNPVATISMAANPKVTYVVKKILCSTKQEDETQRKKIFQAKCRVGEAICRLIIDSCSCENLIAKQLVEKLQLPTQPHPSPYKVGWIKEGPTIEVNRICSVPISIGKSYTEPVNCDVVDMDCCGILLGRPWQFDVDALHKGKENSYMFMWNQKKITILPSGSAKHSKVEGKHTVAVATGVQKLSGAVEKSEGTLALLMRAKGTMEDAPSLPPPVKELLKEFPKIVEESSKRPPLRDIQH >Manes.05G041400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3345291:3348612:-1 gene:Manes.05G041400.v8.1 transcript:Manes.05G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRMCLLLLALMATLSTASIDKQTYVIHIDKTKIPTSYHSPGSSKQWYEAVINSVNEFSSQEEEGETISPQLLYVYETAFSGFAAKLSKDQVQALSKINGFLSAIPDEMLTLHTTHTPQFLGLQSGEGLWSAQNLASDVIVGILDTGIWPEHVSFKDTGLSAVPSRWKGACENGTKFSPSNCNKKIIGARAFFKGYESIIGRINETVDYRSPRDAQGHGTHTASTAAGSLVDHASFFGLANGAAAGMKYTARIAVYKVCWSLGCTNTDLLAAIDQAVADGVDVLSLSLGNNEKPFYSDNLAIASFGATQNGVFVSCSAGNSGPSSSTVANTAPWIMTIAASYTDRSFPTTVKLGNEETFSGSSLYHGKPTKQLLIAYGQTAGGQSAKYCISGSLNKKLVRGKVVVCERGMNGRTAKGEQVKLAGGAGMILINGEVQGEEQFADPHVLPATSLGASAGRAIKKYINSTKRPTVSITFTGTTYGNRAPAVAAFSSRGPSSVAPDVIKPDITAPGVNILAAWPPLTSPSLLKSDNRSVLFNIISGTSMSCPHVSGLAALLKSVHKDWSPAAIKSALMTSAYVLDNKNAPIADFGANNSAPATPFAFGSGHVNPESASDPGLIYNITTEDYLRYLCSLNYTSSQVVIVSRRSFSCPNDTLLQPGDLNYPSFAVNFEGKAKNVSKTYKRTVTNVGTSPNTYAVQVQEPNGVSTIVQPKVLSFQKFGEEQSYNVTFIGLRERDARISYSFGSIVWISDKYKVRSPIAVTWQ >Manes.13G147000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36277291:36278844:1 gene:Manes.13G147000.v8.1 transcript:Manes.13G147000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFTSPPPSPLPLIIFSLLNFSFQETKQTIFFKFKIPHLSKSMKKSGFFAASVAAASATAISASPSSSTSSFSCNSNMHLSPEEASSNKDQQRTASTNKFAPRFDGLRFIETLVTAHR >Manes.01G217700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38649358:38653818:-1 gene:Manes.01G217700.v8.1 transcript:Manes.01G217700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATEMEVEHPNPNSIKRFGLKNSIQTNFGDHYVFQIVPKNDWSSMAVSLSTNAVKLYSPTTGQYQGECKGHTDTINQIAFSNSLTPHVLHSCSSDGTIRAWDTRTFRQVSCMSAGSSQEIFSFSFGGSSDNLLAAGSKSQVHFVHGHRNKLLSASVDGLMCIFNTDGDINDDDNLESVINVGTSIGKVGFFGENYRKLWCLTHIESLSIWDWKDEKNEANLHEARSLASDSWTLDHVDYFIDCHYPGEGDSLWVIGGTSGGSLGYFPVNCRAGAIGSPEAILGGGHTGVVRSVLSMSSKKHGPAQSQGIFGWTGGEDGRLCCWLSDDSTAINRAWISDAMVIRSSKSRKKNRHHPY >Manes.01G217700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38649358:38653817:-1 gene:Manes.01G217700.v8.1 transcript:Manes.01G217700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATEMEVEHPNPNSIKRFGLKNSIQTNFGDHYVFQIVPKNDWSSMAVSLSTNAVKLYSPTTGQYQGECKGHTDTINQIAFSNSLTPHVLHSCSSDGTIRAWDTRTFRQVSCMSAGSSQEIFSFSFGGSSDNLLAAGSKSQILFWDWRNEKQVACLVDSHTEDVTQVHFVHGHRNKLLSASVDGLMCIFNTDGDINDDDNLESVINVGTSIGKVGFFGENYRKLWCLTHIESLSIWDWKDEKNEANLHEARSLASDSWTLDHVDYFIDCHYPGEGDSLWVIGGTSGGSLGYFPVNCRAGAIGSPEAILGGGHTGVVRSVLSMSSKKHGPAQSQGIFGWTGGEDGRLCCWLSDDSTAINRAWISDAMVIRSSKSRKKNRHHPY >Manes.11G107800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25052341:25063259:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.11G107800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25052336:25063316:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.11G107800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25052217:25064255:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.11G107800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25052340:25063432:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.11G107800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25059776:25063259:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.11G107800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25060045:25063289:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.11G107800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25057416:25063289:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.11G107800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25052217:25064278:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.11G107800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25052347:25063259:1 gene:Manes.11G107800.v8.1 transcript:Manes.11G107800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLSWSTSDRGLKEAFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEEAIEAMNGMDLDGRTITVDKAQPQQSSGRDYDGDRNRERGRDRDQNRESGGRRAGGGGGGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSGGGGRYGPDRNGDRFGGRNRDAGNRGNPGTDRYNRDRSGPYERRGSGGFRSG >Manes.10G061001.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510268:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVISQNSN >Manes.10G061001.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510268:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLLARHVYKWTLLHMEKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVLGVEST >Manes.10G061001.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510283:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVLGVEST >Manes.10G061001.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510268:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLLARHVYKWTLLHMEKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVISQNSN >Manes.10G061001.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510268:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLLARHVYKWTLLHMEKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVLGVEST >Manes.10G061001.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510283:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVISQNSN >Manes.10G061001.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510268:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLLARHVYKWTLLHMEKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVISQNSN >Manes.10G061001.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510283:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEASIARSLHIFINSCIRFVTLDDASQSICFIMHICSIFAASVQRPKFLQWIFIYSLQEIWSSKFVGYFSELKLISGLKFNSLEGSLMR >Manes.10G061001.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510283:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVISQNSN >Manes.10G061001.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510283:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVLGVEST >Manes.10G061001.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510268:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVLGVEST >Manes.10G061001.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510265:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVISQNSN >Manes.10G061001.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8510265:8532218:1 gene:Manes.10G061001.v8.1 transcript:Manes.10G061001.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRACVVVLGDIGRSPRMQYHALSLARQACLQVDIVAYGGSDPHMAVLENQSIHIHKMKQWPVRPQGVPKILNPLILLLKPLFQFFMLLWYLCVKIPAPDVFIVQNPPSVPTLVAVKWASWLRKSMFIVDWHNFGYTLLALSLGRNSPFVAVYRWVERHYGRMANGSLCVTKAMQHELSQNWGINAIVLYDQPPEFFHPASVEEKHKLFCRLDKVISQPYGICDCASYGSIGMRNCNSNETLFTTISDGDILLKPNRPALVVSSTSWTPDEDFGMLLEAAVMYDRRVAAILNENDSTLEEVLWKELADGKQYLYPRLLFVITGKGPDKEKYEEKIKKLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCIKELVTVDKNGLLFSSPSELADELLMLFKGFPDECNALKSLRNGTLEIGSSRWTTEWEEHAMPLILEVLGVEST >Manes.05G023500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2093219:2096648:-1 gene:Manes.05G023500.v8.1 transcript:Manes.05G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTKFFQIFVKLLDGKTATLRFTAPQVDGLAIKRRISEITKIPTHSQRLIYSGTQLSDRSVISSPECTVHLLLRLLGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWKAEEEERRLEKIAEEFIKKKAKKGKKGAGEGEAEKYVEKYRKESAKCAAAVEEAVREACGNGNGKRKGKMPNEGLEAKKLKIWMGKRKLGESDSEDMDEDSSDEENEKSIILNNENHSYLNKKTEGSSDSVTGGKQDGESSGGALCESGSEAEKDATVEQKLKSNSQEENFPSEEDGLAEPEVHEEAAPQSPGLTITETAEISGMHAVKAEKQDNVGSDTQPRVSSPARGDVIESWPGTVVANSDFKFDSNGETVAANVRDSDLEKPLNFDDFNSAAEMEVLGMDRLKNELQARGLKCGGTLQERAARLFLLKTTPLDQLPKKLLAKK >Manes.05G023500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2094497:2096648:-1 gene:Manes.05G023500.v8.1 transcript:Manes.05G023500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTKFFQIFVKLLDGKTATLRFTAPQVDGLAIKRRISEITKIPTHSQRLIYSGTQLSDRSVISSPECTVHLLLRLLGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWKAEEEERRLEKIAEEFIKKKAKKGKKGAGEGEAEKYVEKYRKESAKCAAAVEEAVREACGNGNGKRKGKMPNEGLEAKKLKIWMGKRKLGESDSEDMDEDSSDEENEKSIILNNENHSYLNKKTEGSSDSVTGGKQDGESSGGALCESGSEAEKDATVEQKLKSNSQEENFPSEEDGLAEPEVHEEAAPQSPGLTITETAEISGMHAVKAEKQDNVGSDTQPRVSSPARGDVIESWPGTVVANSDFKFDSNGETVAANVRDSDLEKPLNFDDFNSAAEMEVC >Manes.01G190000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36585147:36590746:-1 gene:Manes.01G190000.v8.1 transcript:Manes.01G190000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGCIQVDQSTVAIKETFGKFDDVLEPGCHCLPWCLGSQLAGHLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALAEKAADAFYKLSNTRAQIQAYVFDVIRASVPKLELDSAFEQKNEIAKAVEDELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVREIATQIRDGLLQASSTQ >Manes.01G190000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36585147:36590249:-1 gene:Manes.01G190000.v8.1 transcript:Manes.01G190000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGCIQVDQSTVAIKETFGKFDDVLEPGCHCLPWCLGSQLAGHLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALAEKAADAFYKLSNTRAQIQAYVFDVIRASVPKLELDSAFEQKNEIAKAVEDELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVREIATQIRDGLLQASSTQ >Manes.01G190000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36585147:36590933:-1 gene:Manes.01G190000.v8.1 transcript:Manes.01G190000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGCIQVDQSTVAIKETFGKFDDVLEPGCHCLPWCLGSQLAGHLSLRVQQLDVRCETKTKDNVFVTVVASVQYRALAEKAADAFYKLSNTRAQIQAYVFDVIRASVPKLELDSAFEQKNEIAKAVEDELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVREIATQIRDGLLQASSTQ >Manes.04G106400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31157341:31164531:-1 gene:Manes.04G106400.v8.1 transcript:Manes.04G106400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVARPRHRSLTNPFLIHLFSTSSSSSSSTPPTDQFADQDATNSHSLHSQPPPPPQQQQQQQQPSFSSYFTDVKASLKQQPQNPQSQDQINRPNLPPLRGHSNVNPSFSRPQGKIVSLEEIRKNLAEFRRRSSVPPPTEPKTSGPEQQQPQQLLSFQELYKRNMMRKSEDAHGSTEFSPINNQKPISGDLSFEVIRESLRLMKSKAPTNTGKRSEDAMSFSALKDRLKLKPMDKNESTNSTVIGGSQGLPLGDFEKEDASVKEGMSTEFVKMYSYGELGQKLRILRPEVKEGEKGWFSLEELNERLRKLREMEEKEAESRIGGKIMKDVKQSLVRLKQLDEEKTRKISIQRLNLLGQWGTTPKYMLHPPKEDLVEKYFHPDNMSSAEKLKIELAKVREEFKMSESDCGSSRVQVALLTTKIKHLSSVLHKKVKLKLNEFSFLNLHLVYMSSIHEHPFAGYSVGLR >Manes.04G106400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31157341:31164531:-1 gene:Manes.04G106400.v8.1 transcript:Manes.04G106400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVARPRHRSLTNPFLIHLFSTSSSSSSSTPPTDQFADQDATNSHSLHSQPPPPPQQQQQQQQPSFSSYFTDVKASLKQQPQNPQSQDQINRPNLPPLRGHSNVNPSFSRPQGKIVSLEEIRKNLAEFRRRSSVPPPTEPKTSGPEQQQPQQLLSFQELYKRNMMRKSEDAHGSTEFSPINNQKPISGDLSFEVIRESLRLMKSKAPTNTGKRSEDAMSFSALKDRLKLKPMDKNESTNSTVIGGSQGLPLGDFEKEDASVKEGMSTEFVKMYSYGELGQKLRILRPEVKEGEKGWFSLEELNERLRKLREMEEKEAESRIGGKIMKDVKQSLVRLKQLDEEKTRKISIFPSR >Manes.04G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31157341:31164531:-1 gene:Manes.04G106400.v8.1 transcript:Manes.04G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVARPRHRSLTNPFLIHLFSTSSSSSSSTPPTDQFADQDATNSHSLHSQPPPPPQQQQQQQQPSFSSYFTDVKASLKQQPQNPQSQDQINRPNLPPLRGHSNVNPSFSRPQGKIVSLEEIRKNLAEFRRRSSVPPPTEPKTSGPEQQQPQQLLSFQELYKRNMMRKSEDAHGSTEFSPINNQKPISGDLSFEVIRESLRLMKSKAPTNTGKRSEDAMSFSALKDRLKLKPMDKNESTNSTVIGGSQGLPLGDFEKEDASVKEGMSTEFVKMYSYGELGQKLRILRPEVKEGEKGWFSLEELNERLRKLREMEEKEAESRIGGKIMKDVKQSLVRLKQLDEEKTRKISIQRLNLLGQWGTTPKYMLHPPKEDLVEKYFHPDNMSSAEKLKIELAKVREEFKMSESDCGSSRVQVALLTTKIKHLSSVLHKKDKHSRKGLLAMVQRRKKLLKYLRRTDWDSYCLVLSKLGLRDNPDFKH >Manes.11G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:481135:481520:1 gene:Manes.11G003500.v8.1 transcript:Manes.11G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQEKQQPKKQNSNKKKPPLPVGIRKCCPYSKPKGDRSFLEGCLFALCCCWICDLCFDTTVVIG >Manes.14G073200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6050370:6052950:1 gene:Manes.14G073200.v8.1 transcript:Manes.14G073200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMWATMGSTIASFMFLWAIFRQYCPYEVRRFLEKYSQRIMSFFYPYIKISIHEYTGDHLKRSSEVGKGCSNLVFSVDEYERVADEFRGVKVWWVSSKLVSPSQATYSQLERRYYKLTFHKSNRDMITQAYLQHVVREGKEIRREDLSERERRRSQCRLGCRQSSVKWGRGIDEERKRTRGREAREERNARGVEEERKGTQGREAPTERGKSKMKKEIRVSFSCYKLPSYTQNMWSHIVFEHPATFETMALDPEKKQEIIEDLVTFSNSKNFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKDNAELRKLLIGTTSKSIIVIEDIDCSLDLTGQRKTKAEKSLDGEKSETRKELKEEASSKVTLSGLLNFIDGLWSTCAGERLIVFTTNYVEKLDPALIRRGRMDKHIELSYCSFEAFKVLAWNYLKLEQHPTFKTIQGLMKETKITPADVAENLMPKSPLENAENCLSNLIQALEDIKAAAADEKAEKEAIRRKAKDEATANKIADYPVSAEVARPRENGEAERP >Manes.11G060077.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8400412:8401530:1 gene:Manes.11G060077.v8.1 transcript:Manes.11G060077.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIFLQFLRFSFPSFDLRIGAEWWIQHFRNSLSLPSRVPFISCKNYEFLGSMPWMIVLFSLDLEFFGGCWKPCAYTVI >Manes.05G126000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22799107:22800844:-1 gene:Manes.05G126000.v8.1 transcript:Manes.05G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPFRFLGAVNSSATTTNITATSESTDQTAALDSDFVVILAALLCALICVLGLIAVARCAWLRRLSSTANSNALPQPSLPSAANKGLKKKILRTLPKQTFSADSAAKFTDCAICLTEFATGDEIRVLPQCGHGFHLNCIDMWLGSHSSCPSCRQILVVTRCQKCGGLPASSSSGADTEARLKEREDSANRC >Manes.16G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:16952830:16978479:-1 gene:Manes.16G057200.v8.1 transcript:Manes.16G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDPSARTVLEPLSNIDLSSYSGTSLRSLAISSISDSQSLIYVGTFSGSVLLFSINTGDKATATTTTAAAPKDAPAALDSDASIKNVSFLRSISLGDSPIETMLVLPEIGKLLVLCDGSLFLVDTLSSHSVKKLSFAKGVCAIAKRIRSSELESTSLLGISVNTLESSSASQRILLKFGGGIRANGVKTKEPLQRSEGNNVFAVVIGKRLVLVELVSGTNRLGKTDRDVDSSSGSFVILKEIHCIDGVKTIAWLNDSIIVGTINGYSLFSCVTGQSGVIFSLPDISSRPQLKLLMKEKKVLMLVDNVGIVVNEHGQPVGGSLVFRISPDSVGELSPYIVLVRNGKMELYNKRSGSCIQTLTCGGEGVGPCIVANEEGGIGKLVAVASPTKVICYCKVSSEEQIKDLLRKKNFKEAISLVEELEYEGEMSNEMLSFVHAQVGFLLLFDLHFEEAVNHFLQSETMLPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVDTAVDDDFVLNPPTRSNLLESAIKNIIRYLEVSREKELTLSVQEGVDTLLMYLYRALNRVDDMERLASSENSCIVEELETLLDDSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWEEPVVETDLQDGSKNILSGREIAAIEASKILEESSDQDLVLQHLGWIADINPILAVEILTSDKRVNQLLPDAVIAAIDPRKVEILQSYLQWLIEDQDSIDTQFHTLYALSLAKSAIETFEVQSVSKSPDDERLEEAKFSDFSQKSIFQSPVRERLQIFLLSSDLYDPEEVLDLIEGSELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQHCPQTCLFNLHLIQYYEC >Manes.16G057200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:16952830:16978463:-1 gene:Manes.16G057200.v8.1 transcript:Manes.16G057200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDPSARTVLEPLSNIDLSSYSGTSLRSLAISSISDSQSLIYVGTFSGSVLLFSINTGDKATATTTTAAAPKDAPAALDSDASIKNVSFLRSISLGDSPIETMLVLPEIGKLLVLCDGSLFLVDTLSSHSVKKLSFAKGVCAIAKRIRSSELESTSLLGISVNTLESSSASQRILLKFGGGIRANGVKTKEPLQRSEGNNVFAVVIGKRLVLVELVSGTNRLGKTDRDVDSSSGSFVILKEIHCIDGVKTIAWLNDSIIVGTINGYSLFSCVTGQSGVIFSLPDISSRPQLKLLMKEKKVLMLVDNVGIVVNEHGQPVGGSLVFRISPDSVGELSPYIVLVRNGKMELYNKRSGSCIQTLTCGGEGVGPCIVANEEGGIGKLVAVASPTKVICYCKVSSEEQIKDLLRKKNFKEAISLVEELEYEGEMSNEMLSFVHAQVGFLLLFDLHFEEAVNHFLQSETMLPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLLAIQRAIFLRKAGVDTAVDDDFVLNPPTRSNLLESAIKNIIRYLEVSREKELTLSVQEGVDTLLMYLYRALNRVDDMERLASSENSCIVEELETLLDDSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWEEPVVETDLQDGSKNILSGREIAAIEASKILEESSDQDLVLQHLGWIADINPILAVEILTSDKRVNQLLPDAVIAAIDPRKVEILQSYLQWLIEDQDSIDTQFHTLYALSLAKSAIETFEVQSVSKSPDDERLEEAKFSDFSQKSIFQSPVRERLQIFLLSSDLYDPEEVLDLIEGSELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQNGKEPMFKAAVRLLHNHGESLDPLQVLETLSPDMPLQLASDTILRMLRARLHHHRQGQIVHNLSRAIDVDARLARLEERSRHVQINDESLCDSCHARLGTKLFAMYPDDTIVCYKCFRRQGESTSVTGRNFKRDILIKPGWLVTR >Manes.05G189500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31189064:31196461:-1 gene:Manes.05G189500.v8.1 transcript:Manes.05G189500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPQPLQARPYEEHVRAPIQIEDDDGGEYEDGDAMDDVDEPLMNSRVNVAEHHVGVGGGPGMVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTAVPTIEVTYDQNSRGIGDTPKHSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESSCGSSWDSAQSCLQEGNPHPETAVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNVPIDQIEPVLQEPTEDLTRTLPMGVVHSSADDDEQEPLVELANPSDTELDIPPNFD >Manes.05G189500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31189064:31196461:-1 gene:Manes.05G189500.v8.1 transcript:Manes.05G189500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPQPLQARPYEEHVRAPIQIEDDDGGEYEDGDAMDDVDEPLMNSRVNVAEHHVGVGGGPGMVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTAVPTIEVTYDQNSRGIGDTPKHSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESSCGSSWDSAQSCLQEGNPHPETAVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNVPIDQIEPEIPMDVKPSIMEGEFSGNQDEHGTPEDPCMSVTEGSNIPSVNPEEVVLQEPTEDLTRTLPMGVVHSSADDDEQEPLVELANPSDTELDIPPNFD >Manes.05G189500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31189064:31196461:-1 gene:Manes.05G189500.v8.1 transcript:Manes.05G189500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANPQPLQARPYEEHVRAPIQIEDDDGGEYEDGDAMDDVDEPLMNSRVNVAEHHVGVGGGPGMVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTAVPTIEVTYDQNSRGIGDTPKHSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESSCGSSWDSAQSCLQEGNPHPETAVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNVPIDQIEPEIPMDVKPSIMEGEFSGNQDEHGTPEDPCMSVTEGSNIPSVNPEEVV >Manes.03G014400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1282179:1286750:-1 gene:Manes.03G014400.v8.1 transcript:Manes.03G014400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPIRGSLIQEIFRVVNEVHSSATKKNKEWQEKLPVVVLRAEEIIYSKANSEAEYMDLKTLWDRTNDAINTIIRRDESTETGELLQPCIEAALNLGCTPRRASRSQRNCNPRCYLSASSQEPNTFSPGIVNSSVQVNHKTSPQCIPNYLNFIKPTFVNSTHLGSDKFLLATDNGCLSNYNQCLPVENCAVSRLCSVYPLYFGSCIEPQQGSGLLSKSVPSTLEPAKMGGIEQSPLGCNEYADVKINQSDFKDISMQHQDVGCDLSLRLGSLSASLPSSQNWQLQDVEDVGSGEGSKFNNQMLQTDKEFTLFARVDKDNSLDSCPSKLSERVNINAKMKKRKAVYGHPVDDQACHWQPKLPCKDLTCRMRSADV >Manes.07G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33447726:33448619:-1 gene:Manes.07G132900.v8.1 transcript:Manes.07G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAQTSPRQPPEPPSPRYFSCSSFKDINAILLEEQNGSKSQLQTPRRPSIFHRDSPLHRHYRNHSKTFIISPPPNQDDHKIILYFTSLGIVRKTFEDCRTVRSMLRGFRVPIDERDLSMDAEHLYEIQMITGSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLHIKIKFNSVCDVCGGLRYVFCAQCNGSHKIYSENHGFRTYTSCNVNGKWGSSYLGSFI >Manes.02G110800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575786:8578448:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSKRNSQSLSPWREQSRSRSRPHSRSRSRSGSRSRYMSRPRSRIRGRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKLCWQQSKMISPSMST >Manes.02G110800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575805:8577944:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSKRNSQSLSPWREQSRSRSRPHSRSRSRSGSRSRYMSRPRSRIRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKLCWQQSKMISPSMST >Manes.02G110800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575784:8579931:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSKRNSQSLSPWREQSRSRSRPHSRSRSRSGSRSRYMSRPRSRIRGRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKLCWQQSKMISPSMST >Manes.02G110800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575784:8579931:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRSRIRGRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGIISNGDYGYHGRNRGYDDYRRSPRRSLYHGGRDYSPRRSPNGGRSKRERSRSPYSPPYRGSR >Manes.02G110800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575786:8579931:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSKRNSQSLSPWREQSRSRSRPHSRSRSRSGSRSRYMSRPRSRIRGRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKLCWQQSKMISPSMST >Manes.02G110800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575786:8579931:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSKRNSQSLSPWREQSRSRSRPHSRSRSRSGSRSRYMSRPRSRIRGRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGIISNGDYGYHGRNRGYDDYRRSPRRSLYHGGRDYSPRRSPNGGRSKRERSRSPYSPPYRGSR >Manes.02G110800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575786:8579931:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLFRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGIISNGDYGYHGRNRGYDDYRRSPRRSLYHGGRDYSPRRSPNGGRSKRERSRSPYSPPYRGSR >Manes.02G110800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575784:8579111:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSKRNSQSLSPWREQSRSRSRPHSRSRSRSGSRSRYMSRPRSRIRGRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKVFWL >Manes.02G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575785:8579932:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELINFNSFCLLRRRILLAKGIHSLFPHGENSRGLDQDPIRGPGQGPGPDLDTCPGHGPVFVADQDPEVVADAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGIISNGDYGYHGRNRGYDDYRRSPRRSLYHGGRDYSPRRSPNGGRSKRERSRSPYSPPYRGSR >Manes.02G110800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8576151:8579931:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRSRIRGRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGIISNGDYGYHGRNRGYDDYRRSPRRSLYHGGRDYSPRRSPNGGRSKRERSRSPYSPPYRGSR >Manes.02G110800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575786:8579931:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLFRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGIISNGDYGYHGRNRGYDDYRRSPRRSLYHGGRDYSPRRSPNGGRSKRERSRSPYSPPYRGSR >Manes.02G110800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8575786:8579177:1 gene:Manes.02G110800.v8.1 transcript:Manes.02G110800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPSKRNSQSLSPWREQSRSRSRPHSRSRSRSGSRSRYMSRPRSRIRGRSRSRSRGRTDAINPGNTLYVTGLPTRVTERDLEEHLSKEGKVVSCFLVVEPRTRISRDFAFVTMDTVEDANRCVKYLNQSVLEGRYITVEKVMLL >Manes.18G023300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2241579:2244874:1 gene:Manes.18G023300.v8.1 transcript:Manes.18G023300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTRAVRAYQAMLTVGIPKHLHWKVMDRKNIFSRFGCVTSSTTMPEKGLENLTVADVLMTKGEDKTGSWLWCRTNDTVYDAVNNMAKNNIGSLVVLKPEEQHIAGIITERDYLRKIIAQGRSSKYTRVGEIMTDESKLTTVTSDTNILQAMKLMTDGKIVGMISIVDVVRAVVEQQNKELKRLNEFIGGEYY >Manes.18G023300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2241579:2244874:1 gene:Manes.18G023300.v8.1 transcript:Manes.18G023300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTRAVRAYQAMLTVGIPKHLHWKVMDRKNIFSRFGCVTSSTTMPEKGLENLTVADVLMTKGEDKTGSWLWCRTNDTVYDAVNNMAKNNIGSLVVLKPEEQHIAGIITERDYLRKIIAQGRSSKYTRVGEIMTDESKLTTVTSDTNILQAMKLMTDNHIRHVPVIDGKIVGMISIVDVVRAVVEQQNKELKRLNEFIGGEYY >Manes.18G023300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2243145:2244415:1 gene:Manes.18G023300.v8.1 transcript:Manes.18G023300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTRAVRAYQAMLTVGIPKHLHWKVMDRKNIFSRFGCVTSSTTMPEKGLENLTVADVLMTKGEDKTGSWLWCRTNDTVYDAVNNMAKNNIGSLVVLKPEEQHIAGIITERDYLRKIIAQGRSSKYTRVGEIMTDESKLTTVTSDTNILQAMKLMTDGKIVGMISIVDVVRAVVEQQNKELKRLNEFIGGEYY >Manes.18G023300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2241579:2244874:1 gene:Manes.18G023300.v8.1 transcript:Manes.18G023300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTRAVRAYQAMLTVGIPKHLHWKVMDRKNIFSRFGCVTSSTTMPEKGLENLTVADVLMTKGEDKTGSWLWCRTNDTVYDAVNNMAKNNIGSLVVLKPEEQHIAGIITERDYLRKIIAQGRSSKYTRVGEIMTDESKLTTVTSDTNILQAMKLMTDGKIVGMISIVDVVRAVVEQQNKELKRLNEFIGGEYY >Manes.18G023300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2241579:2244874:1 gene:Manes.18G023300.v8.1 transcript:Manes.18G023300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTRAVRAYQAMLTVGIPKHLHWKVMDRKNIFSRFGCVTSSTTMPEKGLENLTVADVLMTKGEDKTGSWLWCRTNDTVYDAVNNMAKNNIGSLVVLKPEEQHIAGIITERDYLRKIIAQGRSSKYTRVGEIMTDESKLTTVTSDTNILQAMKLMTDNHIRHVPVIDGKIVGMISIVDVVRAVVEQQNKELKRLNEFIGGEYY >Manes.18G023300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2243145:2244415:1 gene:Manes.18G023300.v8.1 transcript:Manes.18G023300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTRAVRAYQAMLTVGIPKHLHWKVMDRKNIFSRFGCVTSSTTMPEKGLENLTVADVLMTKGEDKTGSWLWCRTNDTVYDAVNNMAKNNIGSLVVLKPEEQHIAGIITERDYLRKIIAQGRSSKYTRVGEIMTDESKLTTVTSDTNILQAMKLMTDNHIRHVPVIDGKIVGMISIVDVVRAVVEQQNKELKRLNEFIGGEYY >Manes.09G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28861724:28868457:-1 gene:Manes.09G095700.v8.1 transcript:Manes.09G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTALRQLLKSLCHDSLWHYAVLWKLRHESPAILSWEDGYFNYPKPREFVESCKGATDIFSPQFETNTSSSSMEDYPVELLVADMSHLQYALGEGVVGRVAFTRVHCWVSFSNIFTGDVQLIPKCPEEWLLQFASGIKTILLVPVLPHGVLQLGSLEEVAEDVNVVANVKGRFFNLHSVTGDASTSPLKEEFQAEPSCPLVSCAISIESLNAPSTTAFTSVETKDLNHSIAVTKVKLNNKNLSSASQVQPLITIEDSFMPDGKDLLEASLQYERENRIYVPPISPAEISAPSVSINASQLEIAESKLFELSCLMEELKAYPDCNEYNLGMPGEFSNGIMSSYPAGGIVGEPSGGKATMDTNTFPEDSELHKVLGPRQTNERLWDSSFLAEYTCGTSCFTCNKDPSKKTEASWFAREGDAGYLLEAVVANACSGSDDTSITSDSFKSSTTLSGNFAASSKPQNHSKASTLVKNDSTPWNHLRSACVIGSKNSDNPSSTLRSMMDTIFNKEQHERVSDRAHFQKGHKATVSKRRAKPADNQRPRPRDRQLIQDRVKELRDLVPNGAKCSIDGLLDRTIRHMLYLQSVTDQAEKLRQCMHKELDGDEDWSSYDTKENCQSGTSWAYEFGNEFLACPILVEDLACPGHMLIEMLCNENCLFLEIAQVIRGLELTILKGVLESRSNNTWARFVVEASKGFHRLDIFWPLMQLLQRKRSPISSKI >Manes.09G095700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28861581:28868457:-1 gene:Manes.09G095700.v8.1 transcript:Manes.09G095700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTALRQLLKSLCHDSLWHYAVLWKLRHESPAVVGRVAFTRVHCWVSFSNIFTGDVQLIPKCPEEWLLQFASGIKTILLVPVLPHGVLQLGSLEEVAEDVNVVANVKGRFFNLHSVTGDASTSPLKEEFQAEPSCPLVSCAISIESLNAPSTTAFTSVETKDLNHSIAVTKVKLNNKNLSSASQVQPLITIEDSFMPDGKDLLEASLQYERENRIYVPPISPAEISAPSVSINASQLEIAESKLFELSCLMEELKAYPDCNEYNLGMPGEFSNGIMSSYPAGGIVGEPSGGKATMDTNTFPEDSELHKVLGPRQTNERLWDSSFLAEYTCGTSCFTCNKDPSKKTEASWFAREGDAGYLLEAVVANACSGSDDTSITSDSFKSSTTLSGNFAASSKPQNHSKASTLVKNDSTPWNHLRSACVIGSKNSDNPSSTLRSMMDTIFNKEQHERVSDRAHFQKGHKATVSKRRAKPADNQRPRPRDRQLIQDRVKELRDLVPNGAKCSIDGLLDRTIRHMLYLQSVTDQAEKLRQCMHKELDGDEDWSSYDTKENCQSGTSWAYEFGNEFLACPILVEDLACPGHMLIEMLCNENCLFLEIAQVIRGLELTILKGVLESRSNNTWARFVVEASKGFHRLDIFWPLMQLLQRKRSPISSKI >Manes.08G162500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39780339:39782051:-1 gene:Manes.08G162500.v8.1 transcript:Manes.08G162500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKRRREQVAQRKREIRGDPLTGKLNNKPQPLSVSGKRQRKLLKKWRREQKEAIEKGLVTMQDVEMAAAEGEDKSKNASKSQAKFHVKKALKLKKFKRSGKKNGKSKPAAEASVDTMVE >Manes.18G113101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11311136:11311396:-1 gene:Manes.18G113101.v8.1 transcript:Manes.18G113101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLEVPSCYMPKRGVSRDAKRLNLSSLLRLYGQEIFKAHRWPDKHSRHPPP >Manes.07G009140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1064805:1067908:-1 gene:Manes.07G009140.v8.1 transcript:Manes.07G009140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREEKVVCVTGGSGYIASWLVKFLLHHGYTVKATVLDLNDPKQTEHLFALDGAKERLFLFKANLLEDGSFDSAIDGCEGVFHTACPLFCTNDSQAELIDPAVKGTLNVLRSCTKVPSLKRVIITSSMASVMFDGKPLIPDVVIDETWFSDPTYCESIKHWYLYAKTIAEKAASKFAEESGIDIVTIHPGFVIGPFLQPTLNVTVEVILNYINGETFPNEIYRFVDVRDVASAHIQAFEQASANGRYCLVGRVVHFSEFLKIVHEQYPALQLPEKCEDHKPFALKYEVSKEKAKSLGINFIPLEVSVVDTIECLKDKGFLGIF >Manes.18G143201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:33433323:33436604:-1 gene:Manes.18G143201.v8.1 transcript:Manes.18G143201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNSQEGQSVVRPPFFDGNDFLYWKNRMYYFLKSEGVDLWDIVENGPFFPTRFIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSRTKEIWDALVVTHEGTNQVKENKMESLIYQYEFQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLTKMTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKRFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDSEEEEVEESSDEVTTLDDFTLNDDDVEFSYDELVGALKLMNDELEKSHRKNKILKCELASFKKESKDKLNEILDSQRSPSIKYGLGYDKSTQANFSKTVFVKATNSHEPKVSSSNGNVPKVSSSNMSMRNAPTRNEHVHQSTSYNTHIRHTPRQVCLKSSKIESKWYLDSGCSRHMTGNSNHFISLEKKDGSGQVTFGDNGKGKIVGIGKVVDGCRVIFEPKSCFVSRIVENIYLIDLQAMTNQDMKCFVLSHASMDLLKNLSKDELVDGLPKIKYEKDKICDACQMGKQVKSSFKAINKVISSRPLQLLHMDLFGPTRVAIDDYSRYTWGFQISSIRSDHDMGRTMLREVLIRPLLNKTPYELWNGRKPRVSYFRVFGCKCFILNNKDNLGKFDSKTDEERKSLVMMIL >Manes.02G034200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2834902:2835441:-1 gene:Manes.02G034200.v8.1 transcript:Manes.02G034200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFSIHVSADLVSRLVNNDEKLKRKPKKTKVKVPQESAHPQSKLNQKQLHDDPETHKGVPSPTRPVQPPLFLPVTPSAHSSSTELDAIRSALQESERVLEKLQKQEDGMLQVVTERAKDLRDKEFKLPYQKPMPCLADYEACRACYKESGNNILKCAPLTRSYYDCVHRVKQQVSLAD >Manes.05G098100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9073661:9077247:-1 gene:Manes.05G098100.v8.1 transcript:Manes.05G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRSCLCLLFPLLVMLVPVSIGQLSPSETRILFQVQKLLEYPQVLQGWNNWTNFCYLPPSPSLKIVCSNNHVAELTVVGNKSSSSQSPKPMNSGNFAVSQQTLSNNFSIDAFFTVLTKLSNLKVLSLVSLGLWGPLPAKINRFWSLQVLNCSSNFIYGEIPQRFVSLKNLASLVLADNLLSGRVPDLKGLVLLRELNLSGNHLGPNFPSLGNNLVTVILSNNSFRSVIPSGLKNFNQLQQLDISFNKLIGQIPSALFSLPSIQYLDLAQNQFSGTLATNTSCSAKLKFLDISRNLLIGKLPSCIAFSSPNRTVISSWNCLSSRNSSNQHPFSFCNKEALAVKPPAEHEKKKSITNLGLILGIIGGVVGIAAVLGLLIVIIVRRSQETISYGGKFDGSAADKMSVRSSSVPTIDSRRVPQTMRSAAIGLPPYRVFTLEEIEDATNNFDQNNFLGEESQGQLYKGWLRDGLVVLIKCVKLKQKNLPQSLVQHMELLSKLRHLHLVSVLGHCIVTFQDHPTTASTVFVVLEHISNGSLQDHLTDWRKKDVLKWPQRMAITIGVARGIQFLHTGVAPGIFGNNLKIENVLLDESLTAKLSNYNVPLPSKVGSESPLNGIDAYNLSANAEKEDVYQLGVILVQVITGRLVTSQSELEELRIQLEKGLTEAPAKLRAMVDPSTRGSFAYESLRTTVKITINCLSADSSSRPSIEDVLWNLQYSNQVQEGWTSSGNLGTQL >Manes.01G224100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39043956:39046258:-1 gene:Manes.01G224100.v8.1 transcript:Manes.01G224100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIYSRRPIRYSIRTSLSSPSPISISHLQPYVQKYYLFCHYLISTPLSSSLISPSQTKTMEGISANMCTKFRGYWRRRGYQRLNESGRRRRNQVELGSNRRRRLWRIKIKPKLKILKMTSPKKFFVWLRDAYVKMMMGFANSRVIGAGGYVSGVPDGIAAFGQRPLKEYDEKMVIEIYKSLVMAQGLVPRDPSKLASMSRLAAIVE >Manes.05G120901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:16388785:16390140:-1 gene:Manes.05G120901.v8.1 transcript:Manes.05G120901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCFGFLVLYLVFYGCGYYLGVKFFYLFVDGKSKKTEAGNGVALCFRFGLSLRFHCRDSIGFFFSLSMGLSCLHLIWMYGVSYTQGMGLLSMQIIFIKNQVF >Manes.16G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28765667:28772195:-1 gene:Manes.16G081300.v8.1 transcript:Manes.16G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRLITQASRHQSHFGQYKSLFVRTYFPVNKHGYDAGSGLSNAERRFRSSYVGNLARSVRDAGEASEVAHLKELYHQNDPEAVIRLFESQPSLHNNTSALSEYIKALVRVDRLDESELLKTLQRGVANSARREESIGSVSALKNVGKPIKDGILGTASAPIHMVATEGGHFKEQLWRTVRTIALAFLLISGAGALIEDRGISKGLGLHEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGSSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKAVTMADLEYAKDKIMMGSERKSAVISDESRRLTAFHEGGHALVAIHTDGALPVHKATIVPRGTSLGMVAQLPDKDETSISRKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATNLARAMISKYGMSKEVGFVAHDYDDNGNSMSTETRLLIEKEVKNFLERAYNNAKTILTTHSMEHHALANALLEHETLTGSQIKALLVQVNSQQLQQQQYQQTLAPQSNPVPPPSTPNPAASAAAAAAAAAAAATAAAKAKSIASV >Manes.07G100520.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30590734:30593754:1 gene:Manes.07G100520.v8.1 transcript:Manes.07G100520.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVNRVRGRLRISLTDSTMMWIVYRAMDKAYERAQSKEGAIVQLTEISKFYELAVIQLEGCLKFVQEETDSNFENNDEYFLGDLTEIRDRLIQRLKELELAIVEKDRELTERSENELRLGRALEIKERKMDFLRAKLKLEPTKMEGVRNADEEFSGLKHSVEQQVWNIKHKLEPEDRRRNRSCDSLNFEKMGSDIDVLKETMDMAFGKMKSAIFLSEMEPVEQQWRLTIEKDTLGILIKGFVRDIQENFKAQVKGREKQVSVGLNKHLSDLMKEMKCLNDELEALCISQSNQEGKIPLKQLGEEDEEDDSGNYVAKLIKNHESIIKKKKKHFNAIKRENLGEKGCPCPRREEDLISPRRRIQNVIVRMENLINWNAKLGQSNDKEESSSAKSVCKFRATQQKKSQVGQRKVSEVSSSDAVNEKLHNEIRVLKEEKEDASLQAMIMEKTFASLVEGFIYEASNQLYKYQGIHEDSFKKTVKEWNEQMESDQIDIQIREEMQNIVFREAVKNFGCILESAIIDCQEEKAEKSCLEDYNLEGKLREEISRILSREAYKELNELVTLSDTGNLVKEEIQQIAFGETLRDIANTNYHMTSVLKEENLEGKLRENIFRLLFRELCNEWNEIIKRLDEENFVREEIYQIVFKETLRDMASKSNEGKNSEKYICGFNFNESIQFAEYSIKEDVYMVFFREMSKELKEIDAQNFESLIREELFQLAVVETLKEASAAYREVAAQDHFQISEDFISPDKLHKNEELQNQDSLLNCMTAEQNLIQSTSSETNEYNAACCPIQMKHEKFDKLKISHELFTEIGSAFTSVSSKVEIALEQLAVSKALLNELRSCLAVEDVERINDRTVSVASAHNMKPSCLQPEELKEVKVISSYCEFMPIMEFLQVFMDFKCRVEEKLELNILRSFTLP >Manes.03G085403.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19007582:19013799:1 gene:Manes.03G085403.v8.1 transcript:Manes.03G085403.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTQPSTSLNGDHRPLRPPQSSNTHHHHPYYPTSSSSKSASLKGCCCCCCLFLLFSFLALLVLAIFLIIILTVKPKKPEFDLQQVGVQYMGIPASNLNSLDPTIGTTTMTTGATTASLSLTIHMLFTAVNPNKVGIKYSESKFTVMYHGIPLGKASVPGFYQEAHSERQVEATISVDRYSLIQANAVDLIRDASLNDRVEPRVLGEVGAKIRVVDFDSPGV >Manes.08G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39384213:39389352:1 gene:Manes.08G157300.v8.1 transcript:Manes.08G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQMEEIQRKLAMLNYPRANAPAQSLLFAGMERYALLEWLFFKLLGDKSPFSQQNLQGDAMDRDEETARIQYLAEIAKFLGITTTIDTEAIQGRGSYEDRTEMLRLIVDLVEASIYADNPDWSVDEQVAKDIQLIDSIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELETKLSEQSKILSNLQQKVDDLASKHAYNPEEDYTEVESQLRTHLESFLETARQFNVIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALSVGSSETIAGEPSSVSRIISECESALTFLNRDLGILSASIAREQGNDATL >Manes.08G157300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39381655:39397279:1 gene:Manes.08G157300.v8.1 transcript:Manes.08G157300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQMEEIQRKLAMLNYPRANAPAQSLLFAGMERYALLEWLFFKLLGDKSPFSQQNLQGDAMDRDEETARIQYLAEIAKFLGITTTIDTEAIQGRGSYEDRTEMLRLIVDLVEASIYADNPDWSVDEQVAKDIQLIDSIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELETKLSEQSKILSNLQQKVDDLASKHAYNPEEDYTEVESQLRTHLESFLETARQFNVIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALSVGSSETIAGEPSSVSRIISECESALTFLNRDLGILSASIAREQEYGKANHKKSRRNHPPHNSE >Manes.10G081901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21164881:21167212:1 gene:Manes.10G081901.v8.1 transcript:Manes.10G081901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQPEPVSYICGDCGMENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >Manes.14G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5674290:5677452:-1 gene:Manes.14G068200.v8.1 transcript:Manes.14G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLLTSPCFTAPNQPTPTPIKKETILWYHFHGNQLVFPKMNRIPIWVLPVFIILVFPASNSEEDNVRRSLVQFMDKLSGGNMQHDLKWGWNNISDPCNDKWEGVKCDEKSQRVKKIVLDGFNFTGNFDALSVCSAKSLTVLSLNRNSIYGLIPEEIGNCKHLTHLYLSGNKLSGDVPDSLSRLSNLKRLDISTNGFSGQVSGLSRISGLMSFLAENNQLSGPIPDFDFSNLEFFNVSNNNFSGPIPDVKGKFAIDSFSGNPELCGTPLPNACPPSPPPPPKTESKHSSKRGFLIYSGYILLALVVVLLVSLKLISKHKHNSEKIESKVITDSSSKHSVSSAEIKNPGNRSEYSITSADSGMASSSLVVLTSPLVDELRFDDLLRAPAELLGRGKHGSLYKVLLNDGVILTVKRIKYWGISSEDFKKRMERIDRVKHSRVLPPIAFYCSEQEKLLVYEYQPNGSLFKLLHGSQTGQAFDWGSRLSIATSIAETLAFMHQEFREDGIAHGNLKSTNILFNRNMEPCVSEYGLMVVENQDQSNVSQTDSYKHNDPSRDNIYSTFKVDVYAFGVILLELLTGKLVQNNGFDLARWVHSVVREEWTVEVFDKALISEGASEERMVNLLQVALKCIQPSPNERPDITQIAVMINTINDEEGRSISSEP >Manes.S053316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1829146:1829307:1 gene:Manes.S053316.v8.1 transcript:Manes.S053316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.05G081400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6670257:6675818:1 gene:Manes.05G081400.v8.1 transcript:Manes.05G081400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MQPGETISRSPLDKPIHQLTEDDISQLTREDCRRYLKEKGMRRPSWNKSQAVQQVISLKTLLEATPDTRRKLYIPRPDNPHRAPANSSVSVKETSPDKQISASPEEPVPFPRHDPTKHDSHVDLPARLVATDNDSVSPRIKTTANEPVGQMTIFYCGKVNIYDDVPRDKAQAIMQLAAYPLSFSLETSSDTVPALWPIPSRLESPGVKAAPISPMLIFPALQTGKVADNCELPREESNMSHEDSLEGPASRKASVQRYLEKRKDR >Manes.05G081400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6670257:6675818:1 gene:Manes.05G081400.v8.1 transcript:Manes.05G081400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MQPGETISRSPLDKPIHQLTEDDISQLTREDCRRYLKEKGMRRPSWNKSQAVQQVISLKTLLEATPDTRRKLYIPRPDNPHRAPANSSVSVKETSPDKQISASPEEPVPFPRHDPTKHDSHVDLPARLVATDNDSVSPRIKTTANEPVGQMTIFYCGKVNIYDDVPRDKAQAIMQLAAYPLSFSLETSSDTVPALWPIPSRLESPGVKAAPISPMLIFPALQTGKVADNCELPREESNMSHEDSLEGPASRKASVQRYLEKRKDRFKNKRKVAMPSSASSDMNFNYREGDQFSNDQWNLSGAFSSPQPRPPQMPTQCSSVENTAKHSYLPADLNGRDIQEC >Manes.05G081400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6670256:6675818:1 gene:Manes.05G081400.v8.1 transcript:Manes.05G081400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MQPGETISRSPLDKPIHQLTEDDISQLTREDCRRYLKEKGMRRPSWNKSQAVQQVISLKTLLEATPDTRRKLYIPRPDNPHRAPANSSVSVKETSPDKQISASPEEPVPFPRHDPTKHDSHVDLPARLVATDNDSVSPRIKTTANEPVGQMTIFYCGKVNIYDDVPRDKAQAIMQLAAYPLSFSLETSSDTVPALWPIPSRLESPGVKAAPISPMLIFPALQTGKVADNCELPREESNMSHEDSLG >Manes.05G081400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6670269:6675818:1 gene:Manes.05G081400.v8.1 transcript:Manes.05G081400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MQPGETISRSPLDKPIHQLTEDDISQLTREDCRRYLKEKGCVTAGMRRPSWNKSQAVQQVISLKTLLEATPDTRRKLYIPRPDNPHRAPANSSVSVKETSPDKQISASPEEPVPFPRHDPTKHDSHVDLPARLVATDNDSVSPRIKTTANEPVGQMTIFYCGKVNIYDDVPRDKAQAIMQLAAYPLSFSLETSSDTVPALWPIPSRLESPGVKAAPISPMLIFPALQTGKVADNCELPREESNMSHEDSLEGPASRKASVQRYLEKRKDR >Manes.05G081400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6670269:6675818:1 gene:Manes.05G081400.v8.1 transcript:Manes.05G081400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MQPGETISRSPLDKPIHQLTEDDISQLTREDCRRYLKEKGCVTAGMRRPSWNKSQAVQQVISLKTLLEATPDTRRKLYIPRPDNPHRAPANSSVSVKETSPDKQISASPEEPVPFPRHDPTKHDSHVDLPARLVATDNDSVSPRIKTTANEPVGQMTIFYCGKVNIYDDVPRDKAQAIMQLAAYPLSFSLETSSDTVPALWPIPSRLESPGVKAAPISPMLIFPALQTGKVADNCELPREESNMSHEDSLEGPASRKASVQRYLEKRKDRFKNKRKVAMPSSASSDMNFNYREGDQFSNDQWNLSGAFSSPQPRPPQMPTQCSSVENTAKHSYLPADLNGRDIQEC >Manes.05G081400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6670269:6675818:1 gene:Manes.05G081400.v8.1 transcript:Manes.05G081400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BS2 MQPGETISRSPLDKPIHQLTEDDISQLTREDCRRYLKEKGMRRPSWNKSQAVQQVISLKTLLEATPDTRRKLYIPRPDNPHRAPANSSVSVKETSPDKQISASPEEPVPFPRHDPTKHDSHVDLPARLVATDNDSVSPRIKTTANEPVGQMTIFYCGKVNIYDDVPRDKAQAIMQLAAYPLSFSLETSSDTVPALWPIPSRLESPGVKAAPISPMLIFPALQTGKVADNCELPREESNMSHEDSLG >Manes.11G019800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2150246:2156348:1 gene:Manes.11G019800.v8.1 transcript:Manes.11G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHEQVLASLVSQLALSFDGAILGVALAYAAVRSFLKFTSNSKALRKISKAPTLRVSDLRSVLDLPQPSDENQNQNVDQGQTQKLVIVRGQVEPKSAVDGNWKSLRSNALVSHECGDKAVIIQRTQTCIYNEWKGFFGWTSDIRAIFGRSWREQESTSLRMVPFVLVEGGRWPQSDYVIVNIDGSRHALPLTTVYHQLQPIDASPYTFLQALFGHEYPVGLLDEEKILPLGKEINAVGICSSRNGVLEIKSCKDLPYFLSDMTKDQMVVDLAFKTKVLLWSGVVLGSLSIGILGYAAVRNWNRWKEWRQHRQSQQQNHAASTDDDPQIDADEEAGDVPDGQLCVICLMRRRRSAFIPCGHLVCCQLCAISVEREVSPKCPLCRQAVRNSMRIFEC >Manes.13G128900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33696575:33698217:-1 gene:Manes.13G128900.v8.1 transcript:Manes.13G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASKSLLFSSDTVRDILLWRRKKLSLLVLIAATAAWVLLDVYEFNFITIASWATMVIISLLFLYGNLVRLFGKEEPNLSGFLEVPEQTAIDTAKSLKEMIEVGIRWMFELSAAEKDWFVFARVVALLWLLSLVGSCFDLLTLSYIVILVGMTVPVIYMKYEERIKGGGEWMKQQARRFSVMVDDKVWKKVKNKFHRVDKAEEKEKKVEHDKAEEKETKIE >Manes.03G011800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1004620:1009526:1 gene:Manes.03G011800.v8.1 transcript:Manes.03G011800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNLSQDLPLHHFSSEQTQPPRQQNMPETTAAPNWLNNALLRAQHPQPPPHSHFTGDTNFLNLHTASTTNSDSTASQNHTQWLSRSSSFLNRNHSDVIDDVTVATAGDSIIAGTISHESADLKTNINNNGETMNNKSEGGVFESGGGGGGGGDGVVNWQNARYKAEILSHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGTQGLVVDDKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDPSFDGSDTMGFGPLIPTESERSLMERVRHELKHELKHGYKEKIADIREEILRKRRAGKLPGDTTSVLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKR >Manes.03G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1004620:1009526:1 gene:Manes.03G011800.v8.1 transcript:Manes.03G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNLSQDLPLHHFSSEQTQPPRQQNMPETTAAPNWLNNALLRAQHPQPPPHSHFTGDTNFLNLHTASTTNSDSTASQNHTQWLSRSSSFLNRNHSDVIDDVTVATAGDSIIAGTISHESADLKTNINNNGETMNNKSEGGVFESGGGGGGGGDGVVNWQNARYKAEILSHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGTQGLVVDDKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDPSFDGSDTMGFGPLIPTESERSLMERVRHELKHELKHGYKEKIADIREEILRKRRAGKLPGDTTSVLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKRS >Manes.03G121080.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24744368:24748195:-1 gene:Manes.03G121080.v8.1 transcript:Manes.03G121080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHPLFRYGVTGAGISYSTSYAIAPSMPNRLFGSLNFDIGNSPSSPFSNQFDCDTIITLSESQEQYSSTEKLSGASPSCNSSLESSSYFHRLSPPLFQDANSSENIKHALLRLETALMEPDDDEDIRIADTSVGESSRPQTSGQRTRAWSQEHHVIQPQTSSVSRNKQSSEGVEKHLKTIEEAKFQSVLPGNLKQLLIACAKALAENNINDFDKLIAMARCAVSISGEPIQRLGAYLIEGLVARKESSGNSIYHALRCGEPESRDLLSYMQILYEICPYLKFGYMAANGAIAEACKNEDRIHIIDFQIGQGTQWITLLQALAAKPDGAPHVRITGIDDPVSKHARGDGLEAVHRRLAEISEKFSIPVEFHGVPVFTPEVTREMLGVRPGEALAVNFPLQLHHTPDESVDVRNPRDGLLRMVKSLNPKVVTLVEQESNTNTTPFLTRFIETLDYYLAIFESIDVTLPRDRKERIGVEQHCLAKDIVNVIACEGKERVERHELFGKWKSRLTMAGFRQYPLSSYVNSVIRGLLRCYSEHYTLIEKDGVMLLGWKNRNLISASAWN >Manes.09G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29721188:29722977:1 gene:Manes.09G098800.v8.1 transcript:Manes.09G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKLLGSWGSPFSRRVEMALKLKGVEYEYIEEDLANKSPLLLHYNPVHKKVPVLLHNGKPIAESVVILEYIDETWKTNPIFPKNPYEKAMARFWAKFIDEKCNPAVWQIIWSRDNEREKAIEEAILQLKTLEGELKDKKFFGGETIGVVDIVANLIGFWLGAIEEAAGFELVTRERFPILSNWIDEYLSCSVIKENLPPREKLLEAFRSRFTAPAWKY >Manes.03G059100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6073459:6075355:-1 gene:Manes.03G059100.v8.1 transcript:Manes.03G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPELDNQSGFCSQFANEKNQSLDSKPGGGGDHFIVEDLLDFSNEDAVITDGSSFDTVTGNSTDSSTVSIVESCNSSSFSGSEPWYNGDSGSHNFADDQFSSDLCVPYDDLAELEWLSNFVEESFSSEDLQKLHLISGKKARTDESSETRNFHPNSDVNNSNTAASTNNNHPIFHTEMSVPAKARSKRSRAAPCNWASRLLVLSPTTSSSDTEIVVAPTHHPNSGKKTVKIPASKRRDCEDGGTGSGDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRKKELLKAQQQQQQQFLHHHQNMVFDVSNGDDYLIHQHMGPDFRQLI >Manes.02G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3982332:3990073:1 gene:Manes.02G049100.v8.1 transcript:Manes.02G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINLGLCVSFFTLYSIIRKQPGNAFVYFPRLVDKQKSEGQGDEFNFDRLLPSADWVRRAWQLSEEDLLSSSGLDGLVFVRIFVFSLKVFTFGGIIGTFVLLPVNYLGKQLSIDDFYDLPKKSLDSFSISNVDNGSNWLWIHFSAAYIFTGVVCYLLYCEYSYISSKRIACFYLSKPQPHQFTILVRGIPSSYGRSFSEIVESFFTEYHPSTYLSHSVIHQTSKIRGLINDADKLYRRLAHIKSENRTKQNFKRDGFLGLFGRKVNLLDHYEKKLEDMEDNVRMEQNSMAEKEVAAAFVSFNSRFGAAIALHIQQGVNPTEWVVEQAPEPQDVHWPFFCASFIRRWIYELVAAVATVALTIMFLIPVVIVQGLANLNQLETWFPFLKGILSLTVVSQLITGYLPSLILHLFLFFVPPIMIWFSSMQGYVSLSQIEKSACTKLLYFTIWNIFFANVLSGSAFYLVNVFLEPKNIPRVLAEAVPAQASFFISYVVTSGWTSLSSELARLFPLLCSFIKKLCARRDGDKFEVPAIPYYSEIPSVLFFVLLGVTYFFLSPLILPFLLIYFCLGYIIFRNQLLNVYAPKYETGGNFWPVVHNSTIFSLILMHVIAIGIFGLKKLPLASSLTIPLPVLTLLFNEYCRKRFLPMFKAYPTECLIKKDREDQNEPNMREFYDNLVTAYRDPALMPVWYARNGDTHNSPLLHSEP >Manes.02G049100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3982332:3990073:1 gene:Manes.02G049100.v8.1 transcript:Manes.02G049100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMIYQRSLWTLSVSPMLTTVQTGYGFTFLLHIFLQELSAIFFIVYISSKRIACFYLSKPQPHQFTILVRGIPSSYGRSFSEIVESFFTEYHPSTYLSHSVIHQTSKIRGLINDADKLYRRLAHIKSENRTKQNFKRDGFLGLFGRKVNLLDHYEKKLEDMEDNVRMEQNSMAEKEVAAAFVSFNSRFGAAIALHIQQGVNPTEWVVEQAPEPQDVHWPFFCASFIRRWIYELVAAVATVALTIMFLIPVVIVQGLANLNQLETWFPFLKGILSLTVVSQLITGYLPSLILHLFLFFVPPIMIWFSSMQGYVSLSQIEKSACTKLLYFTIWNIFFANVLSGSAFYLVNVFLEPKNIPRVLAEAVPAQASFFISYVVTSGWTSLSSELARLFPLLCSFIKKLCARRDGDKFEVPAIPYYSEIPSVLFFVLLGVTYFFLSPLILPFLLIYFCLGYIIFRNQLLNVYAPKYETGGNFWPVVHNSTIFSLILMHVIAIGIFGLKKLPLASSLTIPLPVLTLLFNEYCRKRFLPMFKAYPTECLIKKDREDQNEPNMREFYDNLVTAYRDPALMPVWYARNGDTHNSPLLHSEP >Manes.02G074300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5663589:5667319:1 gene:Manes.02G074300.v8.1 transcript:Manes.02G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCCDKEGNNKGAWSKQEDQKLIDYITTHGEGCWRSLPKAAGLHRCGKSCRLRWINYLRPDIKRGNFAQDEEDLIIRLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRKKLINMGMDPNNHRLNQILPRPQPERVSSPVDENICKTKKSKGDYNDRTSDAPSSLEEDETGAGSSDINLDLNIAVPSPAVNTATLETRPENCDASATGEVRSETLPALLLFL >Manes.02G074300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5663610:5667319:1 gene:Manes.02G074300.v8.1 transcript:Manes.02G074300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCCDKEGNNKGAWSKQEDQKLIDYITTHGEGCWRSLPKAAGLHRCGKSCRLRWINYLRPDIKRGNFAQDEEDLIIRLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRKKLINMGMDPNNHRLNQILPRPQPERVSSPVDENICKTKKSKGDYNDRTSDAPSSLEEDETGAGSSDINLDLNIAVPSPAVNTATLETRPENCDASATGEDFQTSKALATGTLQDGLYKLDSQPKRSYLHIWKLPLLNKICRTSSKDENTF >Manes.17G116300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32354371:32362792:1 gene:Manes.17G116300.v8.1 transcript:Manes.17G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRGLHFSKLYSFSCCKSSFREDHDQIGQKGYSRLVYCNGPDNPEAIQLHYRGNYVSTTKYTAANFIPKSLFEQFRRVANIYFLVVACVSFSPLAPYTALSILAPLIVVIGATMAKEGYEDWRRRMQDIEANNRKVKVYGKNYTFNESKWKDLRVGDLVKVSKDEYFPADLLLLSSSYEDGICYVETMNLDGETNLKLKHALEVTSSLSDEESFKNFVAVVKCEDPNENLYTFIGTLHYNGTQYPLSPQQILLRDSKLKNTEHIYGVVIFTGHDTKVMQNAVDPPSKRSKIERKMDKIIYVLFSTLISISFIGSLFFGIQSRRDMSDGKYRRWYLRPDATTVFYDPQRATLAAFFHFLTGLMLYGYLIPISLYVSIEIVKVLQCIFINQDQEMYYEETDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSIAGIAYGRGMTEVERALAGRRSDGPLETDDNLFDQPDDYGDTRYSGKPIKGFNFRDERIMNGHWVNEQHSDVIQKFFQVLALCHTAVPEKDKESGEIFYEAESPDEAAFVIAAREVGFELFERTQTSISLHELDPVTGKRFDRTYKLLQVLEFSSSRKRMSVIVRSEENDLLLLSKGADSVMFERLSEDGRLFEAKTKDHIKKYAEAGLRTLVVAYREIGEDEYTIWETEFSKAKATVTADRDALVDEISNKIEKDLVLLGATAVEDKLQKEVPECIEKLAHAGIKIWVLTGDKMETAINIGYACSLLRQEMKQIIITLDTPEIEALEKQGDKETISKASLISVRKQLRDGKSQLNAAKESSLTFGLVIDGKSLAFALDKSLEKKFLELALGCASVICCRSTPKHKALVTRLVKTETGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRISMMICYFFYKNIAFGFTLFWFEAYTSFSGQPAYNDWYMSVYNVFFTSLPVIALGVFDQDVSARLCLKYPLLYQEGVQNILFRWSRILGWMCNGVLSSIIIFFFTTKSMINQAFRQDGQVVDYEILGATMYTCVVWAVNCQMALSINYFTWIQHLFIWGSIALWYLFLVIYGSISPILSTTAYRVLVEACSPSPLYWIVTLLLVISTLLPYFSYRAFQSRFRPMYHDIIQIRRSEGSETEMSGELPTPTRRKIHHLREKLKKRNKQKEPVH >Manes.06G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21962747:21964755:-1 gene:Manes.06G084300.v8.1 transcript:Manes.06G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEPEAKQTSLVMGSENQTETSDGLKTHPATNSTEENQTAHEKKLSSSSSSSSCSSFEDPRTSPSETPISGHDKGSGDGISVSATPVPGQEPHHSNAESAIQSPTTQMMERPAEIATSPSYRIPAYVFTSKSSAANDWSVASNESLFSIHMGNMSFTKETSNWCKSGEFGLAGDFTPSGPLSPTFDFTSKKHKSANNKSGEIEECNEAKAAETMREIIKDNEAAAGKPKSPARKPHHSPSFRRSDVSGASVKSFAFPILTGDHKTDFSHKKNASSPMSSQPQTPKVSTEPDTSQQKSQSGPLNPDPAPKSPSNAQSKWFSCLPCCSSRS >Manes.17G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27798029:27799020:1 gene:Manes.17G078100.v8.1 transcript:Manes.17G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTFNRILVEKIVPPSQTTAGILLPESSTKLNSGKVISVGPGLRGTEGKHIPPSVKEGDTVLLPDYGGTQVKLDDKEFYLYRDEDILGTLHDN >Manes.18G001000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:560576:564267:1 gene:Manes.18G001000.v8.1 transcript:Manes.18G001000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDNSVAVEPTQQLSNDFLADILVDSPPAVSAEVVDAATNRDSSASDNGIGSASEREKDRKKVVDDGAEVRSDGQDPQDPSSKKRRRQLRNRDAALRSRERKKMYVRDLEIKSRYLEGECRRLGRLLQCVIAENQALHISLQKGNAFGVTSAKQESAVLLLESLLLGSLLWFLGIMCLFTQLRLPHSTPVPVSLENREKEVPEGGEGSKMFTPLLILSFVSSRRCKASRTRMKPRFIFIGDLTY >Manes.18G001000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:561679:564785:1 gene:Manes.18G001000.v8.1 transcript:Manes.18G001000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPEFQEDDILLKLDFDDLGRLDWDNLFTGLPEASPPSLQASSSPANFCNSSPDSVSSWIGQLENILMKDDDNSVAVEPTQQLSNDFLADILVDSPPAVSAEVVDAATNRDSSASDNGIGSASEREKDRKKVVDDGAEVRSDGQDPQDPSSKKRRRQLRNRDAALRSRERKKMYVRDLEIKSRYLEGECRRLGRLLQCVIAENQALHISLQKGNAFGVTSAKQESAVLLLESLLLGSLLWFLGIMCLFTQLRLPHSTPVPVSLENREKEVPEGGEGSKMFTPLLILSFVSSRRCKASRTRMKPRFIFIGDLTY >Manes.18G001000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:560223:564785:1 gene:Manes.18G001000.v8.1 transcript:Manes.18G001000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPEFQEDDILLKLDFDDLGRLDWDNLFTGLPEASPPSLQASSSPANFCNSSPDSVSSWIGQLENILMKDDDNSVAVEPTQQLSNDFLADILVDSPPAVSAEVVDAATNRDSSASDNGIGSASEREKDRKKVVDDGAEVRSDGQDPQDPSSKKRRRQLRNRDAALRSRERKKMYVRDLEIKSRYLEGECRRLGRLLQCVIAENQALHISLQKGNAFGVTSAKQESAVLLLESLLLGSLLWFLGIMCLFTQLRLPHSTPVPVSLENREKEVPEGGEGSKMFTPLLILSFVSSRRCKASRTRMKPRFIFIGDLTY >Manes.04G145200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34307836:34310660:1 gene:Manes.04G145200.v8.1 transcript:Manes.04G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEPEPNHISDHILDWLEDSASFLPSFLDDPYSSSDIYSYQWWNQTEEICQDPINNNASLSSSTSTAVNADSTSLIEASNPTTSNHQQLSNLSRKREAADDPLPQTSHHHQRKKQNHRISNEDQDGEQAVEVVAGKKSNGNKKINSKNAGNNGNNREGRWAEHLLNPCAAAITIGNLSRVQHLLYVLHELASSTGDANHRLAFYGLRALNHHLSSSTTSASIGPISFASTEPKFFQRSLIKFYEVSPWFAFPNNIANSSILQILAQELDHKRNLHILDIGVSHGVQWPTLLEALSRRQGGPPPLVRITVVTATVENDQNTETPFSVGPPGDNFSTRLLSFAKCMNINLQINRLDNHPLQNLNGQVMNTDPEETLIVCAQFRLHHLNHNTPDERTEFLRILRGLQPKGVILSENNTDCSCNSCGDFATGFSRRVDYLWKFLDSTSSAFKGRESEERRLMEGEAAKALTNRGEMNEGKEKWCERMRRVGFVSEVFGEDAIDGGRALLRKYDSNWEMRIEETDGCIGLRWKGLPVSFCSLWKLDLKGNDS >Manes.11G073500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10643678:10648827:-1 gene:Manes.11G073500.v8.1 transcript:Manes.11G073500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWIIGAFINLLGSIAINFGTNLLKLGHTERERHSTLDIDGRSGKSHLKPIIYFQTWRVGILFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFACFVLNKMVTVKVLVATAFIVLGNVFLVAFGSHQSPVYTPEQLTEKYSNMTFLFYCMILIVVVALHHYIYRSYWQMLLPFSYAVVSGAVGSSSVLFAKSLSNLLRLAMYDGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDAPRTTMFILGMMCVFIGISLLAPDEPRGGENKDHASLVSVVSSSVTSESDRLINPIEDAQNKDPRSVAPGVGVKVMEMLAKAKTAFSISLGFGEDTINASAVLVMTMVSSKITGFRGSFFHRPKIFSLRNSGWSKISMDEGVKVIEANPVLSNHLREVATMNLRSFP >Manes.11G073500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10643678:10648827:-1 gene:Manes.11G073500.v8.1 transcript:Manes.11G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWIIGAFINLLGSIAINFGTNLLKLGHTERERHSTLDIDGRSGKSHLKPIIYFQTWRVGILFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFACFVLNKMVTVKVLVATAFIVLGNVFLVAFGSHQSPVYTPEQLTEKYSNMTFLFYCMILIVVVALHHYIYRRAEVLIAVSGQDLRSYWQMLLPFSYAVVSGAVGSSSVLFAKSLSNLLRLAMYDGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDAPRTTMFILGMMCVFIGISLLAPDEPRGGENKDHASLVSVVSSSVTSESDRLINPIEDAQNKDPRSVAPGVGVKVMEMLAKAKTAFSISLGFGEDTINASAVLVMTMVSSKITGFRGSFFHRPKIFSLRNSGWSKISMDEGVKVIEANPVLSNHLREVATMNLRSFP >Manes.11G073500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10643678:10648827:-1 gene:Manes.11G073500.v8.1 transcript:Manes.11G073500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREWIIGAFINLLGSIAINFGTNLLKLGHTERERHSTLDIDGRSGKSHLKPIIYFQTWRVGILFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFACFVLNKMVTVKVLVATAFIVLGNVFLVAFGSHQSPVYTPEQLTEKYSNMTFLFYCMILIVVVALHHYIYRRAEVLIAVSGQDLRSYWQMLLPFSYAVVSGAVGSSSVLFAKSLSNLLRLAMYDGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDAPRTTMFILGMMCVFIGISLLAPDEPRGGENKDHASLVSVVSSSVTSESDRLINPIEDAQNKDPRSVAPGVGVKVMEMLAKTAFSISLGFGEDTINASAVLVMTMVSSKITGFRGSFFHRPKIFSLRNSGWSKISMDEGVKVIEANPVLSNHLREVATMNLRSFP >Manes.11G073500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10643678:10648828:-1 gene:Manes.11G073500.v8.1 transcript:Manes.11G073500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRERHSTLDIDGRSGKSHLKPIIYFQTWRVGILFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFACFVLNKMVTVKVLVATAFIVLGNVFLVAFGSHQSPVYTPEQLTEKYSNMTFLFYCMILIVVVALHHYIYRRAEVLIAVSGQDLRSYWQMLLPFSYAVVSGAVGSSSVLFAKSLSNLLRLAMYDGYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDAPRTTMFILGMMCVFIGISLLAPDEPRGGENKDHASLVSVVSSSVTSESDRLINPIEDAQNKDPRSVAPGVGVKVMEMLAKAKTAFSISLGFGEDTINASAVLVMTMVSSKITGFRGSFFHRPKIFSLRNSGWSKISMDEGVKVIEANPVLSNHLREVATMNLRSFP >Manes.02G224001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:38151562:38154996:-1 gene:Manes.02G224001.v8.1 transcript:Manes.02G224001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDDDFSRTSARIYIGGLGERVTHDDLHKIFSKIDGEIESVDIIRTKGRSFAYIDFLPSSHNSLSKLFSMYNGCIWKGGRLRLEKAKENYLDRLKREWAEDAQLVSSEYTNVNDDVVKERDSLKKPNETHSSKMKQLRMFFPRLQKVKSLPFSGTGKHKYSFRRVEVPSLPTHFCDCEEHSGPLHYAEGKQIPVQDEQGGGMTKEELDVMKSVMNKLFGMENMSSTPHCENELTKEEDYSIQVPNEPLLDESDGYSTADEDNLIINVVSRGQELKLNKRKVSKDGPTDMLKQQTRNYEEIIRNEYESIIPRGMGNLQDDINGSRILPGAQLIEPQSGDMQSASGLSWSQKSPWKEFIGDRGNSAFNLSDIFPGISSDKKEKSKSDGGPNSNNSKNKKLLRHENQGVQLDKTEVEGFVEAQPSKLDSSSSKTGRGSAWLHKTSWTQLVNSNNSSSFSITQILPGVTFDKQEPAEPHGLVATDARDSKNNDTIEKDKSESVLDGSMDLQIIREGDGQRIREPRQLVDLGSNIPSALTENKHNSATKRMRRGDIVIGEVCSFMRNDASLKEWANAKAALSGSGNMTSKGKKRPTFH >Manes.02G224001.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:38150176:38154969:-1 gene:Manes.02G224001.v8.1 transcript:Manes.02G224001.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDDDFSRTSARIYIGGLGERVTHDDLHKIFSKIDGEIESVDIIRTKGRSFAYIDFLPSSHNSLSKLFSMYNGCIWKGGRLRLEKAKENYLDRLKREWAEDAQLVSSEYTNVNDDVVKERDSLKKPNETHSSKMKQLRMFFPRLQKVKSLPFSGTGKHKYSFRRVEVPSLPTHFCDCEEHSGPLHYAEGKQIPVQDEQGGGMTKEELDVMKSVMNKLFGMENMSSTPHCENELTKEEDYSIQVPNEPLLDESDGYSTADEDNLIINVVSRGQELKLNKRKVSKDGPTDMLKQQTRNYEEIIRNEYESIIPRGMGNLQDDINGSRILPGAQLIEPQSGDMQSASGLSWSQKSPWKEFIGDRGNSAFNLSDIFPGISSDKKEKSKSDGGPNSNNSKNKKLLRHENQGVQLDKTEVEGFVEAQPSKLDSSSSKTGRGSAWLHKTSWTQLVNSNNSSSFSITQILPGVTFDKQEPAEPHGLVATDARDSKNNDTIEKDKSESVLDGSMDLQIIREGDGQRIREPRQLVDLGSNIPSALTENKHNSATKRMRRGDIVIGEVCSFMRNDASLKEWANAKAALSGSGNMTSKGKKRPTFH >Manes.02G224001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:38151905:38154982:-1 gene:Manes.02G224001.v8.1 transcript:Manes.02G224001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDDDFSRTSARIYIGGLGERVTHDDLHKIFSKIDGEIESVDIIRTKGRSFAYIDFLPSSHNSLSKLFSMYNGCIWKGGRLRLEKAKENYLDRLKREWAEDAQLVSSEYTNVNDDVVKERDSLKKPNETHSSKMKQLRMFFPRLQKVKSLPFSGTGKHKYSFRRVEVPSLPTHFCDCEEHSGPLHYAEGKQIPVQDEQGGGMTKEELDVMKSVMNKLFGMENMSSTPHCENELTKEEDYSIQVPNEPLLDESDGYSTADEDNLIINVVSRGQELKLNKRKVSKDGPTDMLKQQTRNYEEIIRNEYESIIPRGMGNLQDDINGSRILPGAQLIEPQSGDMQSASGLSWSQKSPWKEFIGDRGNSAFNLSDIFPGISSDKKEKSKSDGGPNSNNSKNKKLLRHENQGVQLDKTEVEGFVEAQPSKLDSSSSKTGRGSAWLHKTSWTQLVNSNNSSSFSITQILPGVTFDKQEPAEPHGLVATDARDSKNNDTIEKDKSESVLDGSMDLQIIREGDGQRIREPRQLVDLGSNIPSALTENKHNSATKRMRRGDIVIGEVCSFMRNDASLKEWANAKAALSGSGNMTSKGKKRPTFH >Manes.11G157400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32363036:32372692:-1 gene:Manes.11G157400.v8.1 transcript:Manes.11G157400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPKPRPKPSPFLPAPESSPLPPSSWAKRTGFRPKFSGETNASDSGQISVPPKPREQPDNQPDLEAGRVKAAPTTPSPAVVNGIATALPIENKDQTVKRRRDSDGGGALKKESGHGANGQGPAGPREGTRRAARNEEVVDVLPQSLEDDGLVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGSYEDTSTVVSTVLFISGVTTLLHISFGSRLPLIQGPSFVYLAPALAVINSAELQGLSGNNFKHIMKKLQGAIIIASAFQVLLGYSGLMSVISRLINPVVVAPTIAAVGLSFFSYGFPLVGDCLEIGVVQILLVIIFSLYLRKISVFGHRVFLIYTVPLGLGITWAAAFLLTETGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFELKMALVMCVVSIIASVDSIGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVEIGAGVLILLSLIGKVGGFIASIPQVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIILYIVASHGPFHSKFGGLNYFLNTLLSLHMVIAFLVAIILDNTVPGSRQERGVYVWSETEAAGREPAITKDYELPFRVGRIFRWVKWVGI >Manes.11G157400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32363036:32372692:-1 gene:Manes.11G157400.v8.1 transcript:Manes.11G157400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPKPRPKPSPFLPAPESSPLPPSSWAKRTGFRPKFSGETNASDSGQISVPPKPREQPDNQPDLEAGRVKAAPTTPSPAVVNGIATALPIENKDQTVKRRRDSDGGGALKKESGHGANGQGPAGPREGTRRAARNEEVVDVLPQSLEDDGLVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGSYEDTSTVVSTVLFISGVTTLLHISFGSRLPLIQGPSFVYLAPALAVINSAELQGLSGNNFKHIMKKLQGAIIIASAFQVLLGYSGLMSVISRLINPVVVAPTIAAVGLSFFSYGFPLVGDCLEIGVVQILLVIIFSLYLRKISVFGHRVFLIYTVPLGLGITWAAAFLLTETGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFELKMALVMCVVSIIASVDSIGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVEIGAGVLILLSLIGKVGGFIASIPQVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYFQLYIVASHGPFHSKFGGLNYFLNTLLSLHMVIAFLVAIILDNTVPGSRQERGVYVWSETEAAGREPAITKDYELPFRVGRIFRWVKWVGI >Manes.11G157400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32363036:32372692:-1 gene:Manes.11G157400.v8.1 transcript:Manes.11G157400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEIHQDWEDTSTVVSTVLFISGVTTLLHISFGSRLPLIQGPSFVYLAPALAVINSAELQGLSGNNFKHIMKKLQGAIIIASAFQVLLGYSGLMSVISRLINPVVVAPTIAAVGLSFFSYGFPLVGDCLEIGVVQILLVIIFSLYLRKISVFGHRVFLIYTVPLGLGITWAAAFLLTETGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFELKMALVMCVVSIIASVDSIGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVEIGAGVLILLSLIGKVGGFIASIPQVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYFQLYIVASHGPFHSKFGGLNYFLNTLLSLHMVIAFLVAIILDNTVPGSRQERGVYVWSETEAAGREPAITKDYELPFRVGRIFRWVKWVGI >Manes.11G157400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32363036:32372698:-1 gene:Manes.11G157400.v8.1 transcript:Manes.11G157400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPKPRPKPSPFLPAPESSPLPPSSWAKRTGFRPKFSGETNASDSGQISVPPKPREQPDNQPDLEAGRVKAAPTTPSPAVVNGIATALPIENKDQTVKRRRDSDGGGALKKESGHGANGQGPAGPREGTRRAARNEEVVDVLPQSLEDDGLVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGSYEDTSTVVSTVLFISGVTTLLHISFGSRLPLIQGPSFVYLAPALAVINSAELQGLSGNNFKHIMKKLQGAIIIASAFQVLLGYSGLMSVISRLINPVVVAPTIAAVGLSFFSYGFPLVGDCLEIGVVQILLVIIFSLYLRKISVFGHRVFLIYTVPLGLGITWAAAFLLTETGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFELKMALVMCVVSIIASVDSIGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVEIGAGVLILLSLIVELFFKHIIILTYGDCISGGYYLGQHCTRQSARAGSVCMV >Manes.11G157400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32363036:32372692:-1 gene:Manes.11G157400.v8.1 transcript:Manes.11G157400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPKPRPKPSPFLPAPESSPLPPSSWAKRTGFRPKFSGETNASDSGQISVPPKPREQPDNQPDLEAGRVKAAPTTPSPAVVNGIATALPIENKDQTVKRRRDSDGGGALKKESGHGANGQGPAGPREGTRRAARNEEVVDVLPQSLEDDGLVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGSYEDTSTVVSTVLFISGVTTLLHISFGSRLPLIQGPSFVYLAPALAVINSAELQGLSGNNFKHIMKKLQGAIIIASAFQVLLGYSGLMSVISRLINPVILLVIIFSLYLRKISVFGHRVFLIYTVPLGLGITWAAAFLLTETGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFELKMALVMCVVSIIASVDSIGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVEIGAGVLILLSLIGKVGGFIASIPQVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYFQLYIVASHGPFHSKFGGLNYFLNTLLSLHMVIAFLVAIILDNTVPGSRQERGVYVWSETEAAGREPAITKDYELPFRVGRIFRWVKWVGI >Manes.11G157400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32363036:32372692:-1 gene:Manes.11G157400.v8.1 transcript:Manes.11G157400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPKPRPKPSPFLPAPESSPLPPSSWAKRTGFRPKFSGETNASDSGQISVPPKPREQPDNQPDLEAGRVKAAPTTPSPAVVNGIATALPIENKDQTVKRRRDSDGGGALKKESGHGANGQGPAGPREGTRRAARNEEVVDVLPQSLEDDGLVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGSYEDTSTVVSTVLFISGVTTLLHISFGSRLPLIQGPSFVYLAPALAVINSAELQGLSGNNFKHIMKKLQGAIIIASAFQVLLGYSGLMSVISRLINPVILLVIIFSLYLRKISVFGHRVFLIYTVPLGLGITWAAAFLLTETGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFELKMALVMCVVSIIASVDSIGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVEIGAGVLILLSLIVELFFKHIIILTYGDCISGGYYLGQHCTRQSARAGSVCMV >Manes.11G157400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32365163:32372692:-1 gene:Manes.11G157400.v8.1 transcript:Manes.11G157400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPKPRPKPSPFLPAPESSPLPPSSWAKRTGFRPKFSGETNASDSGQISVPPKPREQPDNQPDLEAGRVKAAPTTPSPAVVNGIATALPIENKDQTVKRRRDSDGGGALKKESGHGANGQGPAGPREGTRRAARNEEVVDVLPQSLEDDGLVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGSYEDTSTVVSTVLFISGVTTLLHISFGSRLPLIQGPSFVYLAPALAVINSAELQGLSGNNFKHIMKKLQGAIIIASAFQVLLGYSGLMSVISRLINPVVVAPTIAAVGLSFFSYGFPLVGDCLEIGVVQILLVIIFSLYLRKISVFGHRVFLIYTVPLGLGITWAAAFLLTETGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFELKMALVMCVVSIIASVDSIGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVEIGAGVLILLSLIACITW >Manes.11G157400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32365320:32372692:-1 gene:Manes.11G157400.v8.1 transcript:Manes.11G157400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPKPRPKPSPFLPAPESSPLPPSSWAKRTGFRPKFSGETNASDSGQISVPPKPREQPDNQPDLEAGRVKAAPTTPSPAVVNGIATALPIENKDQTVKRRRDSDGGGALKKESGHGANGQGPAGPREGTRRAARNEEVVDVLPQSLEDDGLVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGSYEDTSTVVSTVLFISGVTTLLHISFGSRLPLIQGPSFVYLAPALAVINSAELQGLSGNNFKHIMKKLQGAIIIASAFQVLLGYSGLMSVISRLINPVVVAPTIAAVGLSFFSYGFPLVGDCLEIGVVQILLVIIFSLYLRKISVFGHRVFLIYTVPLGLGITWAAAFLLTETGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFELKMALVMCVVSIIASVDSIGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVEIGAGVLILLSLIVIFGEQMEGDWMDGSWGWSSSCSHYLVNSH >Manes.05G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1734219:1736749:1 gene:Manes.05G018900.v8.1 transcript:Manes.05G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDVDTLWVFALASKCRAFTQENIAWSLLIMGLASLAIVLLHWAHPGGPAWGKHNLKKGFKTGARTIPGPRGLPLIGSMNLMASLAHHRIAAAAKACKAKRLMAFSLGDTRVIVTCNPDVAKEILNSTVFADRPVKESAYRLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKAAEAQRCIIACQMVDMLKDHDQNFTVRGLLKRASLNNMMCSVFGLEYRLDSLTNEVEELRGLVDEGYDLLGTLNWTDHLPWLADFDPQKIRFRCSSLVPKVNRFVSRIIAEHRVPRGGETRDFVDVLLSLQGPEKLSDADMIAVLWEMIFRGTDTVAVLIEWILARMVLHPDVQSRVHDELDKVVGRSRAVAESDITAMLYLNAAVKEVLRLHPPGPLLSWARLAITDTVIDGYHVPAGTTAMVNMWAIARDPELWADPLEFIPERFVAKEGEMEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVTFWVASLLHEFEWLPSDENGVDLSEVLGLSCEMANPLTVKVRARRH >Manes.05G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23019740:23023483:1 gene:Manes.05G124000.v8.1 transcript:Manes.05G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKKTNVAKRDVFKWTERMDDAFIDALVYQQKLGNRVDNVFTTAAYDNMLKELREKIGMPFQKDHLKNRLKSLKNNFKECFDLFSGVSGFAWSPETKMFSGKPEAWKAFVKAKPEAKKWMTTQIAHYDKLVLLFAKERTKSNGDNNAKQKAGQLATSGSGRYFDGNDKQNEVTLHLDLEDLNEINDGTSQLATPVEANSQSDSQAHSESATSLKGKKRMAPRVDMFEREFKNIREAIKDVADAIREGNIIVERGRPHVYTEQEVFAELVKIGVERHLRYKAYTFLIANAGRVRAFFGCPAGERKEFLLQMMYSPEES >Manes.04G129900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33221402:33222186:-1 gene:Manes.04G129900.v8.1 transcript:Manes.04G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATFASVQPATVKGLGGSSLTGTKLQVKPSRLSLRPKNMRSGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYLSATASGDILPIKKGPQLPPKLGPRGKI >Manes.01G092500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29388912:29390811:-1 gene:Manes.01G092500.v8.1 transcript:Manes.01G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTDMGSQDICRSEPSDNKEAHHHLMSSSLLVPKCCDGSLAANQNSSFDDKDKEDKNDECSRISGQSSKLWARGHWKVAEDAKLKELVALHGPQNWNRIAEKLQGRSGKSCRLRWFNQLDPRINKSAFSKEEEERLMAAHRICGNKWSLIARLIPGRTDNAVKNHWHVIMARKYREQSLAYRRRKQTNDAKRRVQDGSGSASRDTPMNEVVAKSLNLCSGRIIEPSHHFPLAGFKSEGFYDFTSSEGAGRSGHKSSDIVSQMEHCSFAVLQNKQQSSNHHFSAGFSYSMASPRSQVSEPSSSSSLSFAENNATSDSVTTISPPFIDFLGVGAS >Manes.08G094900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32161061:32169528:1 gene:Manes.08G094900.v8.1 transcript:Manes.08G094900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKYSDSSDDERSRYRRHERRSERWRVVDNDKDGGRIGEQEDRDREERRGRDVRERKSSRVSSDEEGELVERERGEPDRERNSDRRDRVKEYNHSSDEDNDQRERRDRHERERNRRHENGHRRREAEDRSRDRHWKSERERDRIDDDRRRRHKNRDESDDDGENGRRKEKNESGEDGDRGKVVEDSKPRKTTSGEGNLNTEASNLGRSGGVYIPPFKLARMMKEVQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFSENLIRGRGLFCRSCMKSQMASPGFTDVFAALVSVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLAVLLENPTNDSVEVAVGFVTECGSILQDMSPKGLDGAFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQEDIDPEITLDIFKPDPNFLENEKRYEELRKNILGEESADEEGSDAASGDEDDDDDEEEEEEDDDDDDEQQMQIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVIAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRTLNERLTDPAMQDTFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKPVSESESDDESGSSGSSDSGSGSSEPESELESDSSSSDEDERLQKRSRSDRDERSRKRSRKDRDERNRKRSRRD >Manes.08G094900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32161224:32169529:1 gene:Manes.08G094900.v8.1 transcript:Manes.08G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKYSDSSDDERSRYRRHERRSERWRVVDNDKDGGRIGEQEDRDREERRGRDVRERKSSRVSSDEEGELVERERGEPDRERNSDRRDRVKEYNHSSDEDNDQRERRDRHERERNRRHENGHRRREAEDRSRDRHWKSERERDRIDDDRRRRHKNRDESDDDGENGRRKEKNESGEDGDRGKVVEDSKPRKTTSGEGNLNTEASNLGRSGGVYIPPFKLARMMKEVQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFSENLIRGRGLFCRSCMKSQMASPGFTDVFAALVSVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLAVLLENPTNDSVEVAVGFVTECGSILQDMSPKGLDGAFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQEDIDPEITLDIFKPDPNFLENEKRYEELRKNILGEESADEEGSDAASGDEDDDDDEEEEEEDDDDDDEQQMQIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVIAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRTLNERLTDPAMQDTFESIFPRDNPKNTRFSINFFTSIGLGGITENLREYLKNMPRLIMQQQKPVSESESDDESGSSGSSDSGSGSSEPESELESDSSSSDEDERLQKRSRSDRDERSRKRSRKDRDERNRKRSRRD >Manes.11G011200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1146443:1149191:-1 gene:Manes.11G011200.v8.1 transcript:Manes.11G011200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVELCKAKDSLQQIGEESGNKTLMDLCIMSSKLAYENAKVVQRIVNHWEMHFVDFYDCWNDFQKENSTQVFILCDKPTDANLILISFRGTEPFDADDWSTDFDYSWYEIPKLGKVHMGFLEALGLGNRANTTTFKNHLLKKNGGDDPTNPSEGTESKIWDNSSDSEEPIIPPDMVKKSAYYAVRKKLRSLLKEHKNAKFVVTGHSLGGALAILFPTLLVLHEENEIMQRLLGVYTFGQPRIGNLQLGKFMEAQLEHPIPKYFRVVYCNDLVPRLPYDDKTFLYKHFGVCLYYDSFYNEKRTDEEPDPNFFGLRYVIPVHLNAAWELIRSMVMGYIYGPEYQEGWFSLCFRVFGLALPGIAAHCPTDYVNSVRLGSDRT >Manes.11G011200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1146443:1149191:-1 gene:Manes.11G011200.v8.1 transcript:Manes.11G011200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPPQDDAIYYHNPNSEQLNEGFNYLIVSPDKGGLMDLWRFMVWADIDSGARFMESSEEGVIVGEAIEHRWVILVSIICRKVLHLFRKPLECTGYGVDFFLNFISQNGGLFDLLLNFIHGKVVIPQRGTETFISSIGQMDGRVELCKAKDSLQQIGEESGNKTLMDLCIMSSKLAYENAKVVQRIVNHWEMHFVDFYDCWNDFQKENSTQVFILCDKPTDANLILISFRGTEPFDADDWSTDFDYSWYEIPKLGKVHMGFLEALGLGNRANTTTFKNHLLKKNGGDDPTNPSEGTESKIWDNSSDSEEPIIPPDMVKKSAYYAVRKKLRSLLKEHKNAKFVVTGHSLGGALAILFPTLLVLHEENEIMQRLLGVYTFGQPRIGNLQLGKFMEAQLEHPIPKYFRVVYCNDLVPRLPYDDKTFLYKHFGVCLYYDSFYNEKRTDEEPDPNFFGLRYVIPVHLNAAWELIRSMVMGYIYGPEYQEGWFSLCFRVFGLALPGIAAHCPTDYVNSVRLGSDRT >Manes.11G011200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1146443:1149231:-1 gene:Manes.11G011200.v8.1 transcript:Manes.11G011200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVELCKAKDSLQQIGEESGNKTLMDLCIMSSKLAYENAKVVQRIVNHWEMHFVDFYDCWNDFQKENSTQVFILCDKPTDANLILISFRGTEPFDADDWSTDFDYSWYEIPKLGKVHMGFLEALGLGNRANTTTFKNHLLKKNGGDDPTNPSEGTESKIWDNSSDSEEPIIPPDMVKKSAYYAVRKKLRSLLKEHKNAKFVVTGHSLGGALAILFPTLLVLHEENEIMQRLLGVYTFGQPRIGNLQLGKFMEAQLEHPIPKYFRVVYCNDLVPRLPYDDKTFLYKHFGVCLYYDSFYNEKRTDEEPDPNFFGLRYVIPVHLNAAWELIRSMVMGYIYGPEYQEGWFSLCFRVFGLALPGIAAHCPTDYVNSVRLGSDRT >Manes.04G006200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:876612:877853:1 gene:Manes.04G006200.v8.1 transcript:Manes.04G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAVLGGNTSPSAEDLKEILASVGADADEDRIELLLSQVKGKDVTELIAAGREKLASVPSGGGVAVAAAAAPAAGGGAAAPAAEAKKEEKVEEKEESDEDMGFSLFD >Manes.15G083800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6470780:6471385:1 gene:Manes.15G083800.v8.1 transcript:Manes.15G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAEQWVAGIRNKDINCDIVGKDSQCSTLQEERTTTNQNTRHPFITIEPSLKAEGEQPIYRNTWNERKLNLAQRYAPIQVPGNNLGPKDLLHLDQLIPLAIQMFFLNRAHVLKEEHQPNVHCQKLAISGKIQGITKHHWKRLARAKKQSSVVIEEVNGEKQNEGGTEKRKSDVLSEDIAKKPCLNPSAVLSERAEVASSK >Manes.03G207600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32335332:32337198:-1 gene:Manes.03G207600.v8.1 transcript:Manes.03G207600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPTSTAVATAIASLILLLASTSYCYPNPVEGLSYSSIIPDSDVDLLEFPLNLEYLEAELFLYGSLGRGLDWFAPNLTQQGPSPIGARKAKLDPFTKDVIKQFAWQEVGHLRAIKNAVKGFPRPLLDLRTESFAKVINKAFGRPLSPPFDLYASPLNFLIACYVVPYVGLTGYIGANPKLKASASKKLVAGLLAVESGQDAVIRALLYERAMEKVHPYGITVAEFTDSISELRNRLGNAGVKDEGLIVPKYQGAEGKTNGNILAGDDCSLGYARTPEEILRIVYGGGDERVPGGFFPKGAHGRIARSYLRDYAS >Manes.16G028700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3249373:3254403:1 gene:Manes.16G028700.v8.1 transcript:Manes.16G028700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETSSWIRRTKFSHTVCHRLDSSRLATFPIAIQAERNFSLKSRPATSAYEKSVSLSNQKSSVLNKSRIQTNPLTNKQRSLSPVPQAVLSDTFKEARSERKRFSTPHPRRKDQDKGIKGKFLRKESPETKSSSPASNTSPLRHLSSHEKSKFKRDSSWTKYFDHAGGRVNAVEAADEFSVDMSKLFLGLRFAHGAHSRLYHGVYNDEPVAVKIIRAPDDDENGALAVRLKNQYDREVTLLSRLHHPNVIKFVAACKKPPVYCVITEYLSEGSLRAYLHKLEHKSLTLQKLIAIALDIARGMEYIHSQSIIHRDLKPENVLIDQEFHLKIADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGKRVDVYSFGLILWELVAGKIPYEDMNPIQAAFAVVNKNLRPAIPQDCPPAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVQNPTCQDHKKGLLHWIQKLGPVHPSNSPMPKPKFS >Manes.16G028700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3249373:3254403:1 gene:Manes.16G028700.v8.1 transcript:Manes.16G028700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETSSWIRRTKFSHTVCHRLDSSRLATFPIAIQAERNFSLKSRPATSAYEKSVSLSNQKSSVLNKSRIQTNPLTNKQRSLSPVPQAVLSDTFKEARSERKRFSTPHPRRKDQDKGIKGKFLRKESPETKSSSPASNTSPLRHLSSHEKSKFKRDSSWTKYFDHAGGRVNAVEAADEFSVDMSKLFLGLRFAHGAHSRLYHGVYNDEPVAVKIIRAPDDDENGALAVRLKNQYDREVTLLSRLHHPNVIKFVAACKKPPVYCVITEYLSEGSLRAYLHKLEHKSLTLQKLIAIALDIARGMEYIHSQSIIHRDLKPENVLIDQEFHLKIADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGKRVDVYSFGLILWELVAGKIPYEDMNPIQAAFAVVNKNLRPAIPQDCPPAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVQNPTCQDHKKGLLHWIQKLGPVHPSNSPMPKPKFS >Manes.16G028700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3249373:3254403:1 gene:Manes.16G028700.v8.1 transcript:Manes.16G028700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETSSWIRRTKFSHTVCHRLDSSRLATFPIAIQAERNFSLKSRPATSAYEKSVSLSNQKSSVLNKSRIQTNPLTNKQRSLSPVPQAVLSDTFKEARSERKRFSTPHPRRKDQDKGIKGKFLRKESPETKSSSPASNTSPLRHLSSHEKSKFKRDSSWTKYFDHAGGRVNAVEAADEFSVDMSKLFLGLRFAHGAHSRLYHGVYNDEPVAVKIIRAPDDDENGALAVRLKNQYDREVTLLSRLHHPNVIKFVAACKKPPVYCVITEYLSEGSLRAYLHKLEHKSLTLQKLIAIALDIARGMEYIHSQSIIHRDLKPENVLIDQEFHLKIADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGKRVDVYSFGLILWELVAGKIPYEDMNPIQAAFAVVNKNLRPAIPQDCPPAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVQNPTCQDHKKGLLHWIQKLGPVHPSNSPMPKPKFS >Manes.16G028700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3249373:3254403:1 gene:Manes.16G028700.v8.1 transcript:Manes.16G028700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETSSWIRRTKFSHTVCHRLDSSRLATFPIAIQAERNFSLKSRPATSAYEKSVSLSNQKSSVLNKSRIQTNPLTNKQRSLSPVPQAVLSDTFKEARSERKRFSTPHPRRKDQDKGIKGKFLRKESPETKSSSPASNTSPLRHLSSHEKSKFKRDSSWTKYFDHAGGRVNAVEAADEFSVDMSKLFLGLRFAHGAHSRLYHGVYNDEPVAVKIIRAPDDDENGALAVRLKNQYDREVTLLSRLHHPNVIKFVAACKKPPVYCVITEYLSEGSLRAYLHKLEHKSLTLQKLIAIALDIARGMEYIHSQSIIHRDLKPENVLIDQEFHLKIADFGIACEEAYCDSLADDPGTYRWMAPEMIKKKSYGKRVDVYSFGLILWELVAGKIPYEDMNPIQAAFAVVNKNLRPAIPQDCPPAMRALIEQCWSLHPEKRPEFWQIVKVLEQFESSLACDGTLNLVQNPTCQDHKKGLLHWIQKLGPVHPSNSPMPKPKFS >Manes.02G025100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2170353:2171236:-1 gene:Manes.02G025100.v8.1 transcript:Manes.02G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLINTLPTILLSLALFITTIHAATFEVVNQCPYTVWAAASPGGGRRLDSGQTWTFDVAPGTTMARVWGRTNCNFDGNGQGHCETGDCNRVLDCRGWGSPPNTLAEYALNQANNLDYLDISLVDGFNIPIDFSPTTGRCRGIRCSAKINEECPNQLRAPGGCNNPCTVYKTNEYCCTNGPGSCSATDLSKFFKDRCPDAYSYPQDDKTSTFTCPSGTNYKVTFCP >Manes.04G113466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31720148:31722527:-1 gene:Manes.04G113466.v8.1 transcript:Manes.04G113466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYPATFAGFAHHAKNFPSLLSPRFPINFHLQFLPLRSNPHAHVRRLHAALSPQPPPQSDPPPEMDPVRPKGMFGTLSGLQDRVQIFLAVLFWMSLFFWSSAWGGRNNGSGRPNKGSRFRK >Manes.11G062850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8743524:8746219:1 gene:Manes.11G062850.v8.1 transcript:Manes.11G062850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSAVVSLASLEDHSRVSEAMITGDYSDCYTSLPSSIAQTFPFSSGNPRIEETRGVMRLFSNDDVSGLPDGRKPSLVCSWVPNHMTCADFCQFCASLLHLILEREVSRTDGMEDQYSILISFDSQDSTDSFHQHLNGRQVNSLEEDNCCVLFIVDIQFTGYSGSLDTQPSPASTTEQPSCPVCLEKLD >Manes.04G119200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32068700:32072089:1 gene:Manes.04G119200.v8.1 transcript:Manes.04G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRATQQDSATSPGKTSQFAADCWFDDACILNMDYFVKTLAGIKAKGVRPDLIGSIIAHYASKWLPELSGDNAYCERESLTNFEESPESVTASWMKKRFFVETLVGVLPPEKDSIPCNFLLRLLRTANMVGVEPTFRAELEKRISWQLDQASLKELMIPSFSHTCGTLLDVELVTRLVKRFVNWDEAAKNGAAALIKVAKLVDSYLAEAAVDANLSLSEFDDLASALPSHARAIDDGLYRAIDTYLKAHPALTKRERKILCRLIDSRKLSPEASIHAAQNERLPVRAVIQVLFSEQTKLSRHIDWSGSFSGNRSPNPAGLDLPGRCFSKREMNYQQMEIKKLREDVMRLQGQCHAMQMQMERMVEKKKLGFFKWKKLGLVSTLKSVSTVTVEKIEEVDGEGEAGFGSLTPVNMKTKLMKGRTPPKWRKSMS >Manes.06G158600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28414067:28421386:1 gene:Manes.06G158600.v8.1 transcript:Manes.06G158600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPQEKFVRFQDWKSEKSTEVQYSASNGIHSGKVRVTISSISEKFQRGLESGSENIKRIRKSLKSYSFGSTIAKGLNSRKNVLDPQGSFLQRWNKIFVLSCVIAVSLDPLFLYVPVIDDDKKCLDLDKKMEITASVLRWFTDIFYILHIIFQFRTGFIAPSSRVFGRGVLVEDSWEIAKRYLSSYFLVDILAVLPLPQVVILIIIPKMKGSRSLNTKNLLKFVVCFQYFPRVMRLYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWKKFCYGPDCIRDYLYCGPNKGNNAAFLNVSCPVQTPNTTRFDFGIFIDALQSGIVASDNFPKKFFYCFWWGLRNLSSLGQNLATSTFVWEICFAVLISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRHEQYKWQETRGVDEENLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPALYTEVSYIVREGDPVDEMLFIMRGKLITVTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALANTSGSSPSLGATIYASRFAANALRALRRTGTRKARLLERVPPMLLQKPAEPDFTAEER >Manes.06G158600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28414228:28420835:1 gene:Manes.06G158600.v8.1 transcript:Manes.06G158600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPQEKFVRFQDWKSEKSTEVQYSASNGIHSGKVRVTISSISEKFQRGLESGSENIKRIRKSLKSYSFGSTIAKGLNSRKNVLDPQGSFLQRWNKIFVLSCVIAVSLDPLFLYVPVIDDDKKCLDLDKKMEITASVLRWFTDIFYILHIIFQFRTGFIAPSSRVFGRGVLVEDSWEIAKRYLSSYFLVDILAVLPLPQVVILIIIPKMKGSRSLNTKNLLKFVVCFQYFPRVMRLYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWKKFCYGPDCIRDYLYCGPNKGNNAAFLNVSCPVQTPNTTRFDFGIFIDALQSGIVASDNFPKKFFYCFWWGLRNLSSLGQNLATSTFVWEICFAVLISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRHEQYKWQETRGVDEENLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPALYTEVSYIVREGDPVDEMLFIMRGKLITVTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALANTSGSSPSLGATIYASRFAANALRALRRTGTRKARLLERVPPMLLQKPAEPDFTAEER >Manes.06G158600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28414084:28420960:1 gene:Manes.06G158600.v8.1 transcript:Manes.06G158600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPQEKFVRFQDWKSEKSTEVQYSASNGIHSGKVRVTISSISEKFQRGLESGSENIKRIRKSLKSYSFGSTIAKGLNSRKNVLDPQGSFLQRWNKIFVLSCVIAVSLDPLFLYVPVIDDDKKCLDLDKKMEITASVLRWFTDIFYILHIIFQFRTGFIAPSSRVFGRGVLVEDSWEIAKRYLSSYFLVDILAVLPLPQVVILIIIPKMKGSRSLNTKNLLKFVVCFQYFPRVMRLYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWKKFCYGPDCIRDYLYCGPNKGNNAAFLNVSCPVQTPNTTRFDFGIFIDALQSGIVASDNFPKKFFYCFWWGLRNLSSLGQNLATSTFVWEICFAVLISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPENLRERIRRHEQYKWQETRGVDEENLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPALYTEVSYIVREGDPVDEMLFIMRGKLITVTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALANTSGSSPSLGATIYASRFAANALRALRRTGTRKARLLERVPPMLLQKPAEPDFTAEER >Manes.07G133108.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29566868:29570509:1 gene:Manes.07G133108.v8.1 transcript:Manes.07G133108.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHIVAREVIKPSSLAIQHQKPYKFSLFDQLTPTTYVPVIYFYPNNNNSEPNLIRQILTHLKESLSQTLDLYYPFSGRTNDNLYVDRFDEGVPFFEAKVKCSMSDFLKRHETEWLNRFLPCRPFTKEVNMSIPFFAFQVTIFTCGGIALGWCMSHKLFDGLTASAFVTTWASIFRGELQDVIKPDLNEASLVFPPKISFPQKHLSLMESLWFTKANYVTRRFVFDAKAISTLRDRTKGKLAVPPSRIETLSCFIWKCSMTASKIISGTTKPSILAEAVNLRQKTKPPMSDASSGNLFWWAIAVANPTDTNTELHDLVGLLSEAIAVYKSDYTHTLQGEDGFEILSDYCDQLEELFSLEKPDIFAFTSWSHMSLTRPNFGWGEPFWVGVMGKAGAEFRNLTVFIDTTDGKGIEAWITLDEQRMAILQHDPEFLAFASPNPRISSL >Manes.13G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5681418:5682250:1 gene:Manes.13G049700.v8.1 transcript:Manes.13G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGSSDKRKMEEQKPKEQKPKASENKPVMTE >Manes.10G083422.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:20448373:20448819:-1 gene:Manes.10G083422.v8.1 transcript:Manes.10G083422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESVQEQLNKLYNLLLAKQQANQSKNEKIKQLHTKLDATSLDLELTKKGMSSSSAESQTKIRKDKEISSTTVSENPGGNSIVSKFTKLDFPLFNGLEDPLGWLSCCQHFFCHQSTLEKEKVSLASYHLEGIAQLWYTQILLDVPDPT >Manes.01G252100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41034415:41035614:-1 gene:Manes.01G252100.v8.1 transcript:Manes.01G252100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDKAKNFVAEKVTNMKKPEASLTDLDLGSVHRDRVEYDAKVSVNNPYGHSIPICEVSYSLKSDGRLIASGNMPDPGSLKANDTTILNIAVNVPHSVLVSLVRDISRDWDIDYELEVGLTMDLPIIGDFTIPLSSKGEVKLPTLSDFF >Manes.01G252100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41034368:41035614:-1 gene:Manes.01G252100.v8.1 transcript:Manes.01G252100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVDKAKNFVAEKVTNMKKPEASLTDLDLGSVHRDRVEYDAKVSVNNPYGHSIPICEVSYSLKSDGRLIASGNMPDPGSLKANDTTILNIAVNVPHSVLVSLVRDISRDWDIDYELEVGLTMDLPIIGDFTIPLSSKGEVKLPTLSDFF >Manes.01G166500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35002731:35004752:-1 gene:Manes.01G166500.v8.1 transcript:Manes.01G166500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSLQDPSKATFSFVDEDHTLANAVRFSLNQDPRVSFCGYSIPHPSDARVNIRVQTTGDPAREVLKDGCQNLMLMCQHVRSIFDKAVDDCRKNNHMEMETSIDD >Manes.01G166500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35002891:35004722:-1 gene:Manes.01G166500.v8.1 transcript:Manes.01G166500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSLQDPSKATFSFVDEDHTLANAVRFSLNQDPRVSFCGYSIPHPSDARVNIRVQTTGDPAREVLKDGCQNLMLMCQHVRSIFDKAVDDCRKNNHMEMETSIDD >Manes.06G053500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16272208:16282266:-1 gene:Manes.06G053500.v8.1 transcript:Manes.06G053500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEVLESSAGLFQLRFFMLDFSSNLYLEIQYMPCRNQMKMAWVANRERPLIDTYAILNITEDGKLVIINSNGTFVALNSEQPIMSGNARATLLDSGNLVLKVGEQIVWESFDHPTDTILPGMKLGLFDLKMRQPRKQFLTSWLSPQNPAPGAFTLGVDPNNTKQLVIWERKVIYWRSGIWNGYNFSYLPGLDHKFDTFSFLYSSNENESYFTFTVENTSVSPWIEIDSSGKTVLFELSGDNGFTFESNLTCDDTTLSWSKECVLFEPSKCGSGNVFTQTSGSMSSWEYLNNSELGLSDCRKICTTNCSCNAYASAEPDGTGCKFNRGRKLDNQNSQEVIYIRNNTIVGRGKIFSQLNSSFLTSYLAGKCGSSENRDCQISKLFSIIEMATDHFSDVNKLGQGGFGIVYKGKLPDGLEVAVKRLSKRSGQGQEEFKNEIVLISRLQHRNLIRLLGCCFERKGNILIYEYMPNKSLDSFVFNSTKWSLVDWNKRFCIIEGIAQGLLYLHQYSRLRVIHRDLKTSNVCWMDESQANTNRIIGTYGYMSPEYAMDGSFSVKYDVFSFRVMLLEIISGKKNSGFYLFNSFLNLLGYVNGRGAELVDPRLGETCSANDVLHCVQIALLCVQERPADRPTMLEVVSMLNNKMMLLPAPKEPAFLITSRLHDAGLHKNPRSCSLNHLTISDLEAR >Manes.15G012300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1084036:1088450:-1 gene:Manes.15G012300.v8.1 transcript:Manes.15G012300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCLGTHRVLRIQRAIRHGKVTLFCLFMTMIVLRGSIGAGKYGTPEQDFNDLRDRFYASRKHAEPHRVLVEAQPATESAQNVNNNNNDPNNYATFDINKILVDEGQDEKPDPNKPYSLGPKISDWDEQRAEWLRKNPNFPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSVKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFEVPWERYKDSNFVMHGWNEMVYDQKNWIGLNTGSFLLRNSQWALDILDAWAPMGPKGKIREEAGKILTRELKDRPVFEADDQSAMVYLLGTQRDKWGDKVYLESAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRVRNESSNPLEVKDELGLLHPAFKAVKLSTSS >Manes.15G012300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1083028:1088450:-1 gene:Manes.15G012300.v8.1 transcript:Manes.15G012300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCLGTHRVLRIQRAIRHGKVTLFCLFMTMIVLRGSIGAGKYGTPEQDFNDLRDRFYASRKHAEPHRVLVEAQPATESAQNVNNNNNDPNNYATFDINKILVDEGQDEKPDPNKPYSLGPKISDWDEQRAEWLRKNPNFPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSVKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFEVPWERYKDSNFVMHGWNEMVYDQKNWIGLNTGSFLLRNSQWALDILDAWAPMGPKGKIREEAGKILTRELKDRPVFEADDQSAMVYLLGTQRDKWGDKVYLESAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRVRNESSNPLEVKDELGLLHPAFKAVKLSTSS >Manes.15G012300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1084171:1087633:-1 gene:Manes.15G012300.v8.1 transcript:Manes.15G012300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERCLGTHRVLRIQRAIRHGKVTLFCLFMTMIVLRGSIGAGKYGTPEQDFNDLRDRFYASRKHAEPHRVLVEAQPATESAQNVNNNNNDPNNYATFDINKILVDEGQDEKPDPNKPYSLGPKISDWDEQRAEWLRKNPNFPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSVKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFEVPWERYKDSNFVMHGWNEMVYDQKNWIGLNTGSFLLRNSQWALDILDAWAPMGPKGKIREEAGKILTRELKDRPVFEADDQSAMVYLLGTQRDKWGDKVYLESAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRVRNESSNPLEVKDELGLLHPAFKAVKLSTSS >Manes.02G181100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14424299:14431902:1 gene:Manes.02G181100.v8.1 transcript:Manes.02G181100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGRLVAGSHKRNEFVLINAEDIARVTSVKELSGQICKICGDEIEVTVDRELFVACNECAFPVCRPCYEYERREGNQACPRCKTRYKRIKGSPRVEGDEEEEDTDDLENEFDISHHDGSDPRNVAEAMLSARLNIGLGSQANVSGFVTPFELDSVSAAHEIPLLTYHEEDVGISCDKHALIIPPFRGKRIHPMPYFDSLTNLQPRAMDPKKDLAVYGYGTIAWKERMKEWKKKQSEKLQMVKHQGEKDGGDDDRDEFDDPDLPMMDEGRQPLSRKLPIPSSRINPYRLIILLRLVILGLFFHYRILHPVNDAYGLWLTSIICEIWFAVSWIFDQFPKWYPIERETYLDRLSLRYEKEGKAPELAAVDIFVSTVDPSKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFKIEPRAPEWYFAQKIDYLKDKVDPAFVRERRAMKREYEEYKVRINGLVAMAQKVPEDGWTMQDGTPWPGNNVSDHPGMIQVFLGHNGVHDIEGNELPLLVYVSREKRLGFEHHKKAGAMNALVRVSAIITNAPYILNVDCDHYINNSKALREAMCFMMDPISGKKVCYVQFPQRFDGIDHHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPGKTCNCLPKWLFWCCCRSRKKNKKAKSNGKKKKKEASKQIHALENIEEGIEGIDNYKLESMTQIKFEKKFGQSPVFIASTLVEEGGLPKEATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPSRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKPLERFSYINSVVYPLTSLPLVAYCTLPAICLLTGKFIVPEISNYASLIFMALFISIAATGVLEMQWGGVGTHDWWRNEQFWVIGGTSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGEFSELYLFKWTSLLIPPMTLLIINIIGIIVGVADAINNGYDSWGPLFGKLFFAVWVIVHLYPFLKGLMAKQDRLPTIIVVWSILIASVFSLVWVRINPFVSRGGIVLEICGLDCD >Manes.02G181100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14424299:14432421:1 gene:Manes.02G181100.v8.1 transcript:Manes.02G181100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGRLVAGSHKRNEFVLINAEDIARVTSVKELSGQICKICGDEIEVTVDRELFVACNECAFPVCRPCYEYERREGNQACPRCKTRYKRIKGSPRVEGDEEEEDTDDLENEFDISHHDGSDPRNVAEAMLSARLNIGLGSQANVSGFVTPFELDSVSAAHEIPLLTYHEEDVGISCDKHALIIPPFRGKRIHPMPYFDSLTNLQPRAMDPKKDLAVYGYGTIAWKERMKEWKKKQSEKLQMVKHQGEKDGGDDDRDEFDDPDLPMMDEGRQPLSRKLPIPSSRINPYRLIILLRLVILGLFFHYRILHPVNDAYGLWLTSIICEIWFAVSWIFDQFPKWYPIERETYLDRLSLRYEKEGKAPELAAVDIFVSTVDPSKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFKIEPRAPEWYFAQKIDYLKDKVDPAFVRERRAMKREYEEYKVRINGLVAMAQKVPEDGWTMQDGTPWPGNNVSDHPGMIQVFLGHNGVHDIEGNELPLLVYVSREKRLGFEHHKKAGAMNALVRVSAIITNAPYILNVDCDHYINNSKALREAMCFMMDPISGKKVCYVQFPQRFDGIDHHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPGKTCNCLPKWLFWCCCRSRKKNKKAKSNGKKKKKEASKQIHALENIEEGIEGIDNYKLESMTQIKFEKKFGQSPVFIASTLVEEGGLPKEATSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPSRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKPLERFSYINSVVYPLTSLPLVAYCTLPAICLLTGKFIVPEISNYASLIFMALFISIAATGVLEMQWGGVGTHDWWRNEQFWVIGGTSSHLFALFQGLLKVLAGVNTNFTVTSKGGDDGEFSELYLFKWTSLLIPPMTLLIINIIGIIVGVADAINNGYDSWGPLFGKLFFAVWVIVHLYPFLKGLMAKQDRLPTIIVVWSILIASVFSLVWVRINPFVSRGGIVLEICGLDCD >Manes.03G031366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2614620:2618061:1 gene:Manes.03G031366.v8.1 transcript:Manes.03G031366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPIPFIEENYGLAFHQSMQQLVDEIHKETLNLSHFINVFYRLMQSKVDPPIETIWIYSALSFRSRKKANQDLSDHILIVKELFQLISRCSGPCSASKSIALLAPVVFQVYNLVVELLGKDLGARRVKKAAKEAKSLIGEIIGYVSVCCGKDVSKESDSNLRVSFLDLASLWIDGNDGLKGFLPLTSDEIYKEISVGGSTVANLAGVVISEVFLLKLCLDLRIGNRGEALEKELRSWIVGSITGLQSFYFFETLVRMLLEPALPVTSLLKRWIISKKIGFGRVRVLCGYC >Manes.09G001500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:149565:163612:-1 gene:Manes.09G001500.v8.1 transcript:Manes.09G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFIAPFLGGHIWEYVLIATYSPVVLLVFILYVRCTAINPADPGIMSKFNTNITNEPNIYNGLSEKDLPRKFDEIGSALHSSPSSASRSSIAAPNSSKKSSVREIGGVDTTVQPLTRNSCNFGGIFCALFVHEDCRKQEGAAEQQGTGEDALFCTLCNAEVRKYSKHCRSCDKCVDGFDHHCRWLNNCVGYKNYVTFISLMAISLVWLVLEAGVGIAVLVRCFVNKKSMDAEIVETLGNGFSRAPFATVVAVCTAVSLLACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNVLYSPTGSATTGFSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMVPSTVDPDAAAVAERGNKVPKRPVRISAWKLAKLDSTEAMRAAAKARASSSVLKPVDNRRLQDPEYSSSGNMSVRSSVSTDMGANKEIKNELKLPVVGNSFAPSQGSRDEYETGTQSVSSFSSPSHIHESITLSPLPQVNGLGRFSAETSVNGLGRFNAETSVTGFVPDIPVASKATFPSGNNPSSENEEKVVQKGTSTEPLLLSGPATSLLRDVRRTSVVWDQDAGRYVSIPVSASEARNRSTIQMGLPKSSVEMSNQGKKPVIPTQEPSSSASKTPAQQAEKLMYTGDSIFFGGPLLSAPIRDGLRNEGGSGSRESQQRLALSVPRESRFKRDSTSNQLPVFVPGGLEQNPRPGSGVRVKDLNF >Manes.09G001500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:149564:163612:-1 gene:Manes.09G001500.v8.1 transcript:Manes.09G001500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHGWQLPAHTFQVLLVFILYVRCTAINPADPGIMSKFNTNITNEPNIYNGLSEKDLPRKFDEIGSALHSSPSSASRSSIAAPNSSKKSSVREIGGVDTTVQPLTRNSCNFGGIFCALFVHEDCRKQEGAAEQQGTGEDALFCTLCNAEVRKYSKHCRSCDKCVDGFDHHCRWLNNCVGYKNYVTFISLMAISLVWLVLEAGVGIAVLVRCFVNKKSMDAEIVETLGNGFSRAPFATVVAVCTAVSLLACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNVLYSPTGSATTGFSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMVPSTVDPDAAAVAERGNKVPKRPVRISAWKLAKLDSTEAMRAAAKARASSSVLKPVDNRRLQDPEYSSSGNMSVRSSVSTDMGANKEIKNELKLPVVGNSFAPSQGSRDEYETGTQSVSSFSSPSHIHESITLSPLPQVNGLGRFSAETSVNGLGRFNAETSVTGFVPDIPVASKATFPSGNNPSSENEEKVVQKGTSTEPLLLSGPATSLLRDVRRTSVVWDQDAGRYVSIPVSASEARNRSTIQMGLPKSSVEMSNQGKKPVIPTQEPSSSASKTPAQQAEKLMYTGDSIFFGGPLLSAPIRDGLRNEGGSGSRESQQRLALSVPRESRFKRDSTSNQLPVFVPGGLEQNPRPGSGVRVKDLNF >Manes.02G172200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13609996:13611597:1 gene:Manes.02G172200.v8.1 transcript:Manes.02G172200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSSLCTTNDSFSGEEGAIPLLDYSKLVSSDPILRSLAIQDLGQACVDYGFFIVRNHAIPGTVINGIIDKLFEFFDLPEDYKHKYDTKDPTDLIRWGKGNINHVSREFVKMAVHPTFHCPSNPHGFSEILQEYTQKVRELGIQLLGGISMALGLEKSYIEKKMNLESGYDFFTANDYPSRQHSENRIGQFAHIDPGLIILIIQNVSGGLQVKHNGKWLNVNLQPDWIFVNVADHLEILTNGKYKSAVHRVVVNNEVRRATLPLFLGPSLDTVVKPAPEFTDDSNPPAYVGITYKHYLEYNNFHVIDGKSCLNQIRI >Manes.02G172200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13609996:13611763:1 gene:Manes.02G172200.v8.1 transcript:Manes.02G172200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRNHAIPGTVINGIIDKLFEFFDLPEDYKHKYDTKDPTDLIRWGKGNINHVSREFVKMAVHPTFHCPSNPHGFSEILQEYTQKVRELGIQLLGGISMALGLEKSYIEKKMNLESGYDFFTANDYPSRQHSENRIGQFAHIDPGLIILIIQNVSGGLQVKHNGKWLNVNLQPDWIFVNVADHLEILTNGKYKSAVHRVVVNNEVRRATLPLFLGPSLDTVVKPAPEFTDDSNPPAYVGITYKHYLEYNNFHVIDGKSCLNQIRI >Manes.15G079500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6105327:6106670:-1 gene:Manes.15G079500.v8.1 transcript:Manes.15G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLKFSSSYKKYEIRKKNPDPKTSVLLVIDMQNYFASMAKPMLPNLLTTIHICRQASIPVIFTRHCHKSPAEDGGMLAEWWNNDLIIDGTVESRLLPEIERLAGKDEVMEKNTYSAFVNTRLQQRLMEMGVKEVIVAGVMTNLCCETTAREAFVRGFRVFFSTDATATTDLELHDATLRNLAYGFAYLVDCHRLKDGLLRIK >Manes.11G081700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14912386:14912652:-1 gene:Manes.11G081700.v8.1 transcript:Manes.11G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMQWCGAMARRVMAAQSASMATRVGLEVKPAPILCGRGDKRTKRGKRFKGSYGNSRPKKDKKIERIKDKVEVPRSTPWPLPFKLI >Manes.04G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32064129:32068584:1 gene:Manes.04G119100.v8.1 transcript:Manes.04G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLQTKGLFSLPPNPKTRASLYPSQGLKHRLFAVKPKTVAGFSLSSNGLPRFPTVVSKPNGFLPKDRDLHICRAEAAAAADGQPLFGEAEKPKLLGIELSTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTQLSNVLSKQALFYTVIVPFIAFFGAFGFVLYPLTNQIHPQAFADKLLNILGPRFLGPIAILRIWTFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKRFYPLFGLGANIALIFSGRTVKYFSNLRKNLGPGVDGWAISLKGMMSIVVLMGLAICFIYWWTNKFVALPTRSKKKKEKPKMGTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPIQPALVQFGLTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEETKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLAAAKSLDTQFTALRKEELEKEIERASVKIPVVSQEESGNGSLMSGSTLNPAAGDSSETIPGKV >Manes.18G124700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14132468:14142820:1 gene:Manes.18G124700.v8.1 transcript:Manes.18G124700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQHTATTKPSISTSYDKEVEDDETSNSYSSTSLAARAIRESSAAHRDSSLSSAYGFKQPYLSSSSASSFPASNVSTTTTTTTTSFSSSKDSKSHEYISMRNINESKQGFWGSLARKAKSILEDDNAPQQVDSPGRAPHHVPYASTKPKYQNPYPDHDSHRKTDSPTLQKGINAISSSINYIGNAVEEGLTKVENRTAGIIQETRKHMRKKPGAAAAQNQATNRGSTWQQPQLQTQNQTDQELQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRDNRERGDNPEDDDLIRLQLETLLSEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDEGTEEVTEVYPIKVVSNTHSVPTSLPPLPTEVRLDSSLPSTREMAPHPVPPPGLLENPRSAAPPSS >Manes.18G124700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14132468:14142820:1 gene:Manes.18G124700.v8.1 transcript:Manes.18G124700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQHTATTKPSISTSYDKEVEDDETSNSYSSTSLAARAIRESSAAHRDSSLSSAYGFKQPYLSSSSASSFPASNVSTTTTTTTTSFSSSKDSKSHEYISMRNINESKQGFWGSLARKAKSILEDDNAPQQVDSPGRAPHHVPYASTKPKYQNPYPDHDSHRKTDSPTLQKGINAISSSINYIGNAVEEGLTKVENRTAGIIQETRKHMRKKPGAAAAQNQATNRGSTWQQPQLQTQNQTDQELQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRDNRERGDNPEDDDLIRLQLETLLSEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDEGTEEVTEVYPIKVVSNTHSVPTSLPPLPTEVRLDSSLPSTREMAPHPVPPPGLLENPRSAAPPSS >Manes.18G124700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14132468:14142820:1 gene:Manes.18G124700.v8.1 transcript:Manes.18G124700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQHTATTKPSISTSYDKEVEDDETSNSYSSTSLAARAIRESSAAHRDSSLSSAYGFKQPYLSSSSASSFPASNVSTTTTTTTTSFSSSKDSKSHEYISMRNINESKQGFWGSLARKAKSILEDDNAPQQVDSPGRAPHHVPYASTKPKYQNPYPDHDSHRKTDSPTLQKGINAISSSINYIGNAVEEGLTKVENRTAGIIQETRKHMRKKPGAAAAQNQATNRGSTWQQPQLQTQNQTDQELQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKILRDNRERGDNPEDDDLIRLQLETLLSEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDEGTEEVTEVYPIKVVSNTHSVPTSLPPLPTEVRLDSSLPSTREMAPHPVPPPGLLENPRSAAPPSS >Manes.03G072850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11917703:11936113:1 gene:Manes.03G072850.v8.1 transcript:Manes.03G072850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPVTRFNPDMKDLAQGNADPNVSELEGFSHHIDELLAKVNELEQGVNVVEQFYMTADNKQHNTDKYNSVVKDKIREKYLTKIENQEQHASQREAAAEKRMRELMRQFAGIFHQITQHSWAWPFLEPVDVVGLGLHDYYEVIEKPMDFGTIKNKMEAKDSTGYKNVREIYADVRLVFKNAMKYNNERDDVHIMAKTLLEKFEEKWLQLLPKVVEEERRQTKEQVSVQAGARLAQEASYANMSRDLSRELSKVDVQLEKLRKTVVQKCRKMTVEEKKKLGTALTRLSPEDLTRALEIVADDNPSFQATAEVVDLDMDAQSELTLWRLKVFVKNALKGMGRNSAGVDGNNSNEKKDNTNINNKRSKNSIPTATAATTTNKRRREMRDAINRTSTKKTRRISLIS >Manes.03G072850.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11917431:11938376:1 gene:Manes.03G072850.v8.1 transcript:Manes.03G072850.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVTRFNPDMKDLAQGNADPNVSELEGFSHHIDELLAKVNELEQGVNVVEQFYMTADNKQHNTDKYNSVVKDKIREKYLTKIENQEQHASQREAAAEKRMRELMRQFAGIFHQITQHSWAWPFLEPVDVVGLGLHDYYEVIEKPMDFGTIKNKMEAKDSTGYKNVREIYADVRLVFKNAMKYNNERDDVHIMAKTLLEKFEEKWLQLLPKVVEEERRQTKEQVSVQAGARLAQEASYANMSRDLSRELSKVDVQLEKLRKTVVQKCRKMTVEEKKKLGTALTRLSPEDLTRALEIVADDNPSFQATAEVVDLDMDAQSELTLWRLKVFVKNALKGMGRNSAGVDGNNSNEKKDNTNINNKRSKNSIPTATAATTTNKRRREMRDAINRTSTKKTRRISLIS >Manes.03G072850.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11917431:11937245:1 gene:Manes.03G072850.v8.1 transcript:Manes.03G072850.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVTRFNPDMKDLAQGNADPNVSELEGFSHHIDELLAKVNELEQGVNVVEQFYMTADNKQHNTDKYNSVVKDKIREKYLTKIENQEQHASQREAAAEKRMRELMRQFAGIFHQITQHSWAWPFLEPVDVVGLGLHDYYEVIEKPMDFGTIKNKMEAKDSTGYKNVREIYADVRLVFKNAMKYNNERDDVHIMAKTLLEKFEEKWLQLLPKVVEEERRQTKEQVSVQAGARLAQEASYANMSRDLSRELSKVDVQLEKLRKTVVQKCRKMTVEEKKKLGTALTRLSPEDLTRALEIVADDNPSFQATAEVVDLDMDAQSELTLWRLKVFVKNALKGMGRNSAGVDGNNSNEKKDNTNINNKRSKNSIPTATAATTTNKRRREMRDAINRTSTKKTRRISLIS >Manes.09G182900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37073914:37080576:1 gene:Manes.09G182900.v8.1 transcript:Manes.09G182900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASSVIGVSSIFHTPSIELSTRRPNSATPTLSSSSFSLPSSEKTHFNSLVASGGGGGGGGGGRPPLVLQSSAHYKHGLSFVPSAIATPNSILSEEAFKGLSGGLSDFDDDDDVESRDYESENETAGGESLSDEDELAISKLGLPQKLVDTLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPIIKRLTEDAAQTSSLRRTGRLPRVLVLAPTRELAKQVEKEINESAPNLNTVCVYGGVSYITQRNALSRGVDVVVGTPGRIIDLINSNSLKLGEVEYLVLDEADQMLSFGFEEDVEVILENLPSKRQSMLFSATMPTWVKKLARKYLDNPLQIDLVGDQEEKLAEGIKLYAISTTATSKRSILSDLVTVYAKGGKTIIFTQTKRDADEVSMALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTSSQRRTVRSLERDVGCKFEFVSPPATELVLESSAEQVVATLNGVHPESIEFFTPTAQKLIEEQGTSALAAALAHLSGFSRPPSSRSLITHEQGWITLQLTRDPSYSRGYLSARSVTGFLSDVYTAAADEVGKIYLIADEKVQGAVFDLPEEIAKELLNKQLPPGNNISKITKLPSLQDDGPPSDYYGRFSGRDRPARGGSRGGSRGGQRGFRASKGWGGSRGFSDDEADTYMRGSRGGRSYSKSSSSYSKSSSDDWLISGRRSSRSPRDSSFGGACFNCGRSGHRASDCPTKGAF >Manes.09G182900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37073914:37080576:1 gene:Manes.09G182900.v8.1 transcript:Manes.09G182900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMASSVIGVSSIFHTPSIELSTRRPNSATPTLSSSSFSLPSSEKTHFNSLVASGGGGGGGGGGRPPLVLQSSAHYKHGLSFVPSAIATPNSILSEEAFKGLSGGLSDFDDDDDVESRDYESENETAGGESLSDEDELAISKLGLPQKLVDTLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPIIKRLTEDAAQTSSLRRTGRLPRVLVLAPTRELAKQVEKEINESAPNLNTVCVYGGVSYITQRNALSRGVDVVVGTPGRIIDLINSNSLKLGEVEYLVLDEADQMLSFGFEEDVEVILENLPSKRQSMLFSATMPTWVKKLARKYLDNPLQIDLVGDQEEKLAEGIKLYAISTTATSKRSILSDLVTVYAKGGKTIIFTQTKRDADEVSMALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTSSQRRTVRSLERDVGCKFEFVSPPATELVLESSAEQVVATLNGVHPESIEFFTPTAQKLIEEQGTSALAAALAHLSGFSRPPSSRSLITHEQGWITLQLTRDPSYSRGYLSARSVTGFLSDVYTAAADEVGKIYLIADEKVQGAVFDLPEEIAKELLNKQLPPGNNISKITKLPSLQDDGPPSDYYGRFSGRDRPARGGSRGGSRGGQRGFRASKGWGGSRGFSDDEADTYMRGSRGGRSYSKSSSSYSKSSSDDWLISGRRSSRSPRDRSSFGGACFNCGRSGHRASDCPTKGAF >Manes.12G058104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5608564:5609024:1 gene:Manes.12G058104.v8.1 transcript:Manes.12G058104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVVNFFGRISILIDQNTQAFHMFMTALLQLFDRTGLLYGELARFVLRLLGIKTKPRKVEGPGPNGLPAPLNPHGNQNYIEGPKGAPSGGWDNVWGDGSS >Manes.06G072300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20849447:20854560:-1 gene:Manes.06G072300.v8.1 transcript:Manes.06G072300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGLPRGVKFDPTDQEIIWHLLAKVGVGGLDPHPFIDEFIPTVNKDDGICYTHPQNLPGVRQDGSVSHFFHRAIKAYNTGTRKRRKIQGDLFGDVRWHKTGRTKPVILDGVQRGCKKIMVLYTSTVRGGKAEKTNWVMHQYHLGTGEDEREGEHVVSKIFYQQQQTNKCDKTEDYLLENVDTVIAKVDPLTPKSVTPEPPRTERRCPDFDLGKESIVTNDCTDLFTQQPVREDVEVQVQSECEYPGNDDEFKNENLSNQMMDNNDNDIEEEPKWWDSESQNLLDSQQLVEGLSLCDELLQSQSPNRYGNENGRANGKPCLSDYAKLGPEDLKKDLEECQNLELDPANIELDTPPEFRLSQLEFGSQESLLAWGGGGKMLD >Manes.06G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20849652:20854216:-1 gene:Manes.06G072300.v8.1 transcript:Manes.06G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSWIVDGQRFATKIKSAYDPEKVIWESNPSKACPKCQHVIDNNDVTQMWPGLPRGVKFDPTDQEIIWHLLAKVGVGGLDPHPFIDEFIPTVNKDDGICYTHPQNLPGVRQDGSVSHFFHRAIKAYNTGTRKRRKIQGDLFGDVRWHKTGRTKPVILDGVQRGCKKIMVLYTSTVRGGKAEKTNWVMHQYHLGTGEDEREGEHVVSKIFYQQQQTNKCDKTEDYLLENVDTVIAKVDPLTPKSVTPEPPRTERRCPDFDLGKESIVTNDCTDLFTQQPVREDVEVQVQSECEYPGNDDEFKNENLSNQMMDNNDNDIEEEPKWWDSESQNLLDSQQLVEGLSLCDELLQSQSPNRYGNENGRANGKPCLSDYAKLGPEDLKKDLEECQNLELDPANIELDTPPEFRLSQLVCLLFTLSALDLIVITLFYVAIIPLNGLVGIWITGKPSCMGWWW >Manes.06G072300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20848395:20854577:-1 gene:Manes.06G072300.v8.1 transcript:Manes.06G072300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSWIVDGQRFATKIKSAYDPEKVIWESNPSKACPKCQHVIDNNDVTQMWPGLPRGVKFDPTDQEIIWHLLAKVGVGGLDPHPFIDEFIPTVNKDDGICYTHPQNLPGVRQDGSVSHFFHRAIKAYNTGTRKRRKIQGDLFGDVRWHKTGRTKPVILDGVQRGCKKIMVLYTSTVRGGKAEKTNWVMHQYHLGTGEDEREGEHVVSKIFYQQQQTNKCDKTEDYLLENVDTVIAKVDPLTPKSVTPEPPRTERRCPDFDLGKESIVTNDCTDLFTQQPVREDVEVQVQSECEYPGNDDEFKNENLSNQMMDNNDNDIEEEPKWWDSESQNLLDSQQLVEGLSLCDELLQSQSPNRYGNENGRANGKPCLSDYAKLGPEDLKKDLEECQNLELDPANIELDTPPEFRLSQLEFGSQESLLAWGGGGKMLD >Manes.18G014700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1560632:1564263:-1 gene:Manes.18G014700.v8.1 transcript:Manes.18G014700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTETANQHKQERSRTRWTASLDKIFADLVVKHIQLGNRPNNVFDKKTWNHIRNEFNKQTDLNFNNNQLRKHLDVLRTRFYNLKSAIVQNDFTIDDSCCIGFDLWEDVASQPRPEAIRVKDCPIYDQLCTIFNDTSADGKYAQSSHFESVGNDTAGLTSNPVGGSSHPENPSSSRPVQGNSVTADRTVKNRADRKRTRSSETQPSSEQNKKHQEINDAMAEALLEMLAASRWRKAAKVQNDERFSVTNCIKALDEMGDIDQQLYFAALDLFEEPNMRETFLSLKSDQLRLTWLQGKCG >Manes.11G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2634185:2641034:-1 gene:Manes.11G026900.v8.1 transcript:Manes.11G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPMKKFAVCNNVFVVILLLSLWVCSVSSSVSYDSKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIETYVFWNGHEPSPGKYYFEGNYDLVKFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGITFRTDNGPFKFQMQKFTTKIVNMMKAERLFESQGGPIILSQIENEYGPMEYELGASGQAYSKWAAKMAVDLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFTPNKPYKPKIWTEAWTGWYTEFGSPVPYRPAEDLAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGNPTVMPLGNYQEAHVFKSKTGACAAFLANYNQRSISKVAFGNMHYNLPPWSISILPDCKNTVYNTARIGAQSARMKMTPVPIHGGLSWQAYSEETDSDSDSTFTMVGLKEQINTTRDASDYLWYMTDVNIDPREGFLKSGKYPVLNVRSAGHALHVFINGQLSGTAYGSLEFPKLTFSQGVKMRAGINKISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSRQKWSYKIGLKGEALSLHSLSGSSSVEWAQGSIVSQRQALMWYKTTFNAPAGNSPVALDMGSMSKGQVWINGQSVGRYWPAYKASGTCGFCNYAGTFNQNKCLSNCGEASQRWYHVPRSWLNPTGNLLVVFEEWGGDPNGISLVRREVDSICADIYEWQPTLMNYMMQASGKVNKPLRPKAHLACGPGQKISSIKFASFGTPEGACGSYHEGSCHAFHSYDAFNRLCVGQNWCSVTVAPEMFGGDPCPGVMKKLAVEAICS >Manes.01G045650.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526328:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526328:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526328:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGDESTHGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12527664:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526688:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCFCMLKKKMMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGDESTHGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526330:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGDESTHGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526328:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGDESTHGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526538:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526330:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGDESTHGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526660:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMCFCMLKKKMMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGDESTHGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.01G045650.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12526330:12533736:1 gene:Manes.01G045650.v8.1 transcript:Manes.01G045650.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNEEVEFVERRTSGRCKSEELVDLEQKGPGYCHRADENGIGSERPLLVDIVEIIDSDDDSSPCEILGAKVMPMAPQVHNAHSAQEISELGTEVLKRKLLSSVKAGGNDNNQDIVDSCTGGKLKMTKSEKIIHKLNSSPAMDSSVANSGREFSPSMPTVLLQGEENIGVDSESQNGSDSSSSSGSEDEWDFSVDFSSMIKNWQQGRVHGARKRGELATDMVTTFKKDGELCMEVVCSLDRQKTSVRKLIYGTSATEYQDHNKLAVTSTQGVRWDEPNDQGDMSAQIEHEVPSPSTARSSPALVGSSPSALPHALLPSVALTSGSAPLSSFVSAGTSSIPPIGGAPTSFSPTSSVDAISLTRSHVRTPIKLVNNALHPSDVCARKITSIFKIRLDKDGYCWKSVSKETKDLYWEEFQKHFIWDETNSSLIKIAWQRKAAERYRSLMCSFRKGKEKSMHVPDITWQKWNEAWSTEDFKSRSKQFSANRRSETGGPGSGISRHSGGSISHTAHAERLRKSLGREPLPYELFKVTHTRKGTSDLVDARAQSIKDAFLALKNHSSQPQEGCSEPPIVDEVMLYYQAVGGNKKRRVYGVGSQASTFYSQSFHTSFSSTTSRVQSEALRDELRQLHQTVDVLQQGNQKLRQSLAAMEERNQQCEQLMEKREKQREELIQECLHRMQEMMKMETKFSHISHSTALDHDTTLEDGTGNGDVSLDEH >Manes.15G014100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1184709:1186755:1 gene:Manes.15G014100.v8.1 transcript:Manes.15G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPLSRKCLSVLTNSRLPRQNKRSNFHTQLQAHFIEKLRNCNHVICATSAHCYLLKSGLLHDTFTINHLINCYVRLPKTSHAQQLFDEMPEPNVVSWTSLMAGYIDTGRPDFALWLYRKMLESSVAPNDFTFATVINACSMLANLETGKQIHTHIEIFGFQGNLVVYSSLVDMYGKCNDVDGARRVFDIMDYKNVVSWTSMISAYAQNARGHDALEVFKEFSCSMQERPNHFMLGSVISACASLGKLVSGKVTHGAVIRSGHELSDVVASALVDMYAKCGCFSYSDKVFRRIQDPSVIPYTSMIVGAAKYGLGKLSLQLFKEMIDRRIKPNDVTFVGLLHACSHSGLVDEGLEHLNSMHEKHGLVPDAKHYTCVVDMLSRVGRIDEAYRLAKSTRVDHHEGALLWGTLLSASRLHGRVDIAVEASKWLIECNQQVAGAYVTLSNTYALAGEWENAHSLRTEMKRTGVHKEPGCSWVEIKDSTYVFYAGDLSCERGNEVLCLLKELERRMKERGYVGGSMGLVFVDVEPEVREEIVGLHSERLALAFGLMTIPKGITIRVMKNLRMCKDCHDAFKLISEIVERDFVVRDINRFHHFMDGSCSCRDFW >Manes.07G045900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5198035:5200038:-1 gene:Manes.07G045900.v8.1 transcript:Manes.07G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFHSNFHLFLSLIFTFCLFIFPFTIQASKEKTTFNFTTFSADHMPQINFEGDAYAENQVIELNKNLEEIVPSGSVGRATYYKPMHLWETVSGNLANFTTHFSFVIKSRVNITPADGLVFFLAPNGSRLLPSSGFGKLGIYSDDKNFQANQPGFVAVEFDTWWNTNIDPEGAGQHIGIDLSSLTSVKYVNWSKNSIAEGGRVDVSIHYDSSTKNFSVTVRDGVNLHTLSHMVNLKDYLPEWVTFGFSATTGRDYFERNQIYMWDFDSTLQLSQNSTNTRNPPSSAIPREGKSKDTTQVVLGIVGGALGLVLVIAVVLFGLRLKRRRQRPGACDNFEGTGPRSFSYKELVIATNNFSNERLLGKGGFGMVYVGYLSDGNPNIAVKRIASETRQGLKEYASEVKTISRLRHRNLVRLIGWCRKHQELFIIYEYMPNKSLDFHLFQNSSSLTWEKRYGIALGLASALLYLQEECEQCVLHRDIKSSNVLLDSNFNAKLGDFGLARLVEHGQGSDTTKLIGTYGYIAPEYIESSRATKESDVYSFGVVALEIATGKPGFKGVGGNVMKLVDWVWEQYRSGNVLAAADPQLCQDYNEEEMVRLIVVGLACAHPNYSQRLSIGEAIDVLQFKAPVPEIVSYQASINSFSEFLSLGASGSEAMPGKAKLSFD >Manes.10G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28126766:28134776:1 gene:Manes.10G115500.v8.1 transcript:Manes.10G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKGSRANDYVENNAPRKETTKSSKRTVSSSKRDEAVVEVDGSVNDATASLISNQPRNNNGGSTSVSSDEDQKKSAEAGAQKVTRLLSLPNGEKGAQVVAGWPSWLTSVAGEAINGWVPRRADSFEKLDKVGQGTYSSVYKARDLDTNKIVALKKVRFANMDPDSVRFMAREIIILRRLDHPNVMKLEGIITSKMSGSLYLIFEYMEHDLAGLLATPGVKFTEPQIKCYMQQLLRGLEHCHSRGVLHRDIKGSNLLLDLNGNLKIGDFGLATFFCTPQKQPLTSRVVTLWYRPPELLLGATSYGVSVDLWSTGCILAEMFAGRPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSKLPHATIFKPQQPYKRCVADAYKDFPSSALALLDVLLAIEPEDRGTAVSALDSEFFTTNPLPCDPSSLPKYPPSKDFDMKARDNDARRRRGGKGRAHETTKKNGGSRAVPAPDANAELPASIQKRKGQSNPKSISEQYNPQQGGGSDLPVEPPEGTAKHVYPHSGQSIHHPMNNGSGSLNVNENETPGAPSQSFVSPKNTGDLRTQRSFVQRGAAQLSRFSNSVAVRGISQLDNGSATTANPHWPEDRFDPRYTHLDDSSHHLLSRPKFSNKDGRPSGLESARVYFQKKGRMHYSGPLMAAGGNVEDMLKEHEKQIREAVRKARTDKTKTNKDYSEVGQTESLLYYGKSGR >Manes.05G134500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23570614:23574724:-1 gene:Manes.05G134500.v8.1 transcript:Manes.05G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMLLFPPPPPTRFPSIQIPSPFPLLHHHHHHLISQPPVSATTSTTALTNPTNLAGPTVSSSRRLNNDQNDMDDILPLQSRRYDFTPLLDFLSNQISSSATSDDSESASPTSLDATEFQLAESYRAVPGPLWHSLLKSLCTSSSSIGLGYAVVSWLQKHNLCFSYELLYSILIHALGRSEKLYEAFLLSQRQTLSPLTYNALINACARNNDLEKALNLISRMRLDGYPSDFVNYSLIIQSLTRSNRIDSVILQKLYNEIECDKLELDVQLSNDIIIGFAKAGDPSRAMEFLGMVQGNGLSPRTSTLVAVISALGESGRTTEAESIFEEMKDNGLKPRTRAYNALLKGYVKAGLLKDAEFIVSEMERSGVSPDEHTYSLLIDAYANAGRWESARIVLKEMEASNVMPNSYVFSRILASYRDRGEWQKSFQVLKEMKNIGVRPDRHFYNVMIDTFGKFDCLDHAMATFDRMLSEGIQPDTVTWNTLLDCHCKAGRHDRAEELFEEMMEKGYSPCTTTFNIMINSFGERQKWDDVKNLMGKMRSHGLLPNVVTYTTLVDIYGQSGRFNDATECLEDMKSAGLKPSSTMYNALINAYAQRGLSEHALNAFRLMRSDGLSPSILALNSLINAFGEDRRDVEAFAVLQYMKENDLKPDVVTYTTLMKALIRVDKFNKVPSVYEEMILAGCTPDRKARAMLRSALKYMKQTLKL >Manes.16G112801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31852058:31857681:1 gene:Manes.16G112801.v8.1 transcript:Manes.16G112801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTQSLVQNYTVFLKKRHHFIRANCTGDSGQGTIGARTATKLPEKRRLKSVPAKPGPKQLQARATETLDRITHKSKNVASRQRSRRR >Manes.04G074700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27661230:27664328:-1 gene:Manes.04G074700.v8.1 transcript:Manes.04G074700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKLYPEVILSNPEAISASSSSSSSLYASLDMKDLADNLVPENDAALQASNSQPYEQPLITIPGTIVHLIERDRSVELACGDLTIVSLKQGDTVVAVLARVGDDIQWPLAKDEAAVKLDESHYFFTLRVPANERGANEGKSEIEKEVELLNYGVTIASKGQEGLLKEFDNILERYSAFTVQEVQEKGNWELIYGKTARGISPEELKMEEEKKELMEESSAAYWTVLAPNVEDYSGSVSRMIAAGSGQLIKGILWCGDVTVDRLKWGNEFLKKRMGKKSDTEISPAAIRRIKRVKRLTRMSEKVATGILSGVVSVSGLVTSSIVNSKAGKKFFSLLPGEILLASLEGFNKVCDAIEVAGKNVMSTSSVVTTGLVSEKYVSKRLPFCEVTSDIETRLARRYGGNVFLKF >Manes.04G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27661230:27664328:-1 gene:Manes.04G074700.v8.1 transcript:Manes.04G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKLYPEVILSNPEAISASSSSSSSLYASLDMKDLADNLVPENDAALQASNSQPYEQPLITIPGTIVHLIERDRSVELACGDLTIVSLKQGDTVVAVLARVGDDIQWPLAKDEAAVKLDESHYFFTLRVPANERGANEGKSEIEKEVELLNYGVTIASKGQEGLLKEFDNILERYSAFTVQEVQEKGNWELIYGKTARGISPEELKMEEEKKELMEESSAAYWTVLAPNVEDYSGSVSRMIAAGSGQLIKGILWCGDVTVDRLKWGNEFLKKRMGKKSDTEISPAAIRRIKRVKRLTRMSEKVATGILSGVVSVSGLVTSSIVNSKAGKKFFSLLPGEILLASLEGFNKVCDAIEVAGKNVMSTSSVVTTGLVSEKYGEQAAKATNEGLDAAGHAIGTAWAVLKLRNALNPKSVFKPTTLAKAAAEANSTELKSKNKK >Manes.04G074700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27661230:27664328:-1 gene:Manes.04G074700.v8.1 transcript:Manes.04G074700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKLYPEVILSNPEAISASSSSSSSLYASLDMKDLADNLVPENDAALQASNSQPYEQPLITIPGTIVHLIERDRSVELACGDLTIVSLKQGDTVVAVLARVGDDIQWPLAKDEAAVKLDESHYFFTLRVPANERGANEGKSEIEKEVELLNYGVTIASKGQEGLLKEFDNILERYSAFTVQEVQEKGNWELIYGKTARGISPEELKMEEEKKELMEESSAAYWTVLAPNVEDYSGSVSRMIAAGSGQLIKGILWCGDVTVDRLKWGNEFLKKRMGKKSDTEISPAAIRRIKRVKRLTRMSEKVATGILSGVVSVSGLVTSSIVNSKAGKKFFSLLPGEILLASLEGFNKVCDAIEVAGKNVMSTSSVVTTGLVSEKYVSKRLPFCEVRRTGSEGDK >Manes.03G105000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22846920:22847983:-1 gene:Manes.03G105000.v8.1 transcript:Manes.03G105000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFHHAQSQQKRRRPPKHSNKRGLTHDQVRLLERAFTTTKKLEPELKLELANQLGVPPKQVAIWYQNRRARWKTQSLELDHRRLQVKLENALADKRRLEREVVQLRDELREAQIMAFAFSPEMVPSHPAPSPLPFHSCFEGGSLASLHEDVNGEVLQFDELYACLIGSG >Manes.01G009100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2998843:3001203:-1 gene:Manes.01G009100.v8.1 transcript:Manes.01G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQNTCVNKSQIVSESSCQEEELQLIPGLPDEIAMECLIRVPYQFHSNMKSVCHSWQKLISHPFFYQQRLKSGSSERLVCLVQPLPQLDSTDSLTTASATATAAAVTSKEEKQIQSSPQFALSIYNATHDIWQRTRPQGGIPMFCQCLALPSSGKVLLLGGWDPTTLEPVPDVYIVDLTGADGCRWRRGASMSVSRSFFACALVGPSKVFVAGGHDSQKNALRSAEVYDVDKNEWRKLPDMIEERDECQGLAWDGDSRFWVVSGYGTETQGQFRSDAEFYDPDTESWSKVDGFWPFSSTSPRGATAMVSINRNQFQRWWFFGGEQQQLHQQRRICGEMKGTENMRWEIVNSILLPERITGTNPCMISLGDDDDKVNNNNNNHSVFVMSGSVRRSPSSKSCSCNECDYEGAFILESDCSNGTTKWNHIHTPAGFSGFPFSVCYVTI >Manes.03G111100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23344940:23346778:-1 gene:Manes.03G111100.v8.1 transcript:Manes.03G111100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTICNVKRSSERRIVPREPTTATVGKSPTKDLMKLAATAIRPDEMHLSYSMELSTICNVNRSSERRIVPREPTTATVGKSPTKDLTKLAATAIRPDETHLSYSMELSTISNGKKSSESRIVAGEATTATVGKSPTKDLMKLAPTAIRPDETRLSYSMELPTTSESRIVAGEATTATVGKFPIKDLMTLAATAIRPDEKLELAKRCNVKQSSESRIVAGEATTAADGKSGTEDLMKLAATAIRPDETLLSYSLVLSTRCKEKPSSERRIFAREATTATDGKSPTEELMKLAAIAISLNVRPRSFDMPLDMQEYAFRYARSFLESTSRPNPAHLARVLKKEFDSVYGLAWHCVVGTSYGSFVTHTVGGFVYFSTERLSILLFKTEVELLPELEL >Manes.02G213600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27848478:27852890:1 gene:Manes.02G213600.v8.1 transcript:Manes.02G213600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASTDEDMVDHVLMRTHDRSETTLQSESFSTLSSNLSIKDTSSAMQKRFQRLSRNVSEAIASLRNSLNLDSSREPQLQVLQLQQQQQAPRQCNKGTKIESSRKVVWASVVRNLTLLYPGSQLPEKLVSNIRKHYDSLPLSYAQAEFDMKDVFLHMKLIEQASVDEQPATVIQEASDDEIQDSVFKLTFACNSSISWPVMSDALDNASICCKKIQIFEKKGFTLGVVLLLVQAGQEKPIRACIESGLKSAMKKPKPTVMKIPFGLCGCQEENSRGRDFGEIEEDPGEHNYRNGTENLGVKIELQTPLPSSSFVVSVDEWQTIQSGGDEIGKWLLNSDELEFADQIGPNSFKGVYRGKRVGIEKLKGCDKGNSYEFELHKDLLQLMTCGHKNIQQFYGVCVDENHGLCVVTKLVEGGSVYDLILKNKKLQTKEIIRIALDVAEGIKFMNDHGVAYGDLNTQRILLDRHRNACLGDMGIVTACKSMGEAMEYETDGYRWLAPEIISGDPENVTETWMSNVYSFGMMVWEMVTGEAAYAAYSPVQAAVGIAACGLRPEIPKDCPKILNSLMTKCWNNNPSKRPMFSEILSILSRFRNSNSR >Manes.04G151800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34744081:34749612:-1 gene:Manes.04G151800.v8.1 transcript:Manes.04G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRNPSGGQNAYKINTDKFKLRLKTLYSHWNKHKDELWGSADALAIATPPPSDDLRYLKSSAMNLWLLGYEFPDTIMVFTKKQIHFLCSQKKAALLEVLRTPAHDVAGGIDVVIHVKAKGDDGTTLMEAIFRAIRAQPNASVVGYIAKEVPEGTLLETWAEKLKTAGFQQIADVTNGFSDLLAFKDAEEILNVKKAAYLSVSVMSNVVIPTLENAIDEEKKVTHSALMDDAEKAIMDPAKARAKLKAENCDICYPPIFQSGGEFDLRPSAASNDEYLYYDPASVIIVAIGARYNNYCSNLARTFLIDANPMQRKAYEVLLKAHEAAIGALKPGNKISAVYQAAVSVVEKEAPELVPYLTKSVGTGIGLEFRESGLNLNAKNDRSLRPNMIFNLSLGFQNLQNQTNNPKIRNYSLLVADTVIVGQTNPEVATCKSSKAVKDVAYSFTEEDEVKPEPKPGANGTKAFMSKTTLRSDSGEISKEEIRRQHQAELARQKNEETARRLTGEERATGDNRGAAKTSTDLIAYKNVNDIPPARDLMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCYIRIIFNVPGTPFSPHDANSLKYPGAIYLKEVSFRSKDPRHISEVVQQIKTLRRHVVARESERAERATLVTQEKLQLAGSRFKPIRLADLWIRPAFGGRGRKLPGALEAHVNGFRFSTSRSDERVDVMFANIKHAFFQPAEREMITLLHFHLHNHIMVGNKKTKDVQFYVEVMESVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWSQPQFSGLDLEFDQPLRELGFHGVPYKTSSFIIPTSSCLVELVETPFLVITLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESKLNLNWRQILKTITDDPQSFIDEGGWEFLNLEASDSDSEKSEDSDKGYEPSDAEPESESEDDDSDSESLVESDEDEEEEDSEEDSEEEKGKTWEELEREASNADREKGDESDSEVERNRRKAKNLGKSRAPPSSSMAKRSRFR >Manes.04G151800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34745098:34749617:-1 gene:Manes.04G151800.v8.1 transcript:Manes.04G151800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRNPSGGQNAYKINTDKFKLRLKTLYSHWNKHKDELWGSADALAIATPPPSDDLRYLKSSAMNLWLLGYEFPDTIMVFTKKQIHFLCSQKKAALLEVLRTPAHDVAGGIDVVIHVKAKGDDGTTLMEAIFRAIRAQPNASVVGYIAKEVPEGTLLETWAEKLKTAGFQQIADVTNGFSDLLAFKDAEEILNVKKAAYLSVSVMSNVVIPTLENAIDEEKKVTHSALMDDAEKAIMDPAKARAKLKAENCDICYPPIFQSGGEFDLRPSAASNDEYLYYDPASVIIVAIGARYNNYCSNLARTFLIDANPMQRKAYEVLLKAHEAAIGALKPGNKISAVYQAAVSVVEKEAPELVPYLTKSVGTGIGLEFRESGLNLNAKNDRSLRPNMIFNLSLGFQNLQNQTNNPKIRNYSLLVADTVIVGQTNPEVATCKSSKAVKDVAYSFTEEDEVKPEPKPGANGTKAFMSKTTLRSDSGEISKEEIRRQHQAELARQKNEETARRLTGEERATGDNRGAAKTSTDLIAYKNVNDIPPARDLMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCYIRIIFNVPGTPFSPHDANSLKYPGAIYLKEVSFRSKDPRHISEVVQQIKTLRRHVVARESERAERATLVTQEKLQLAGSRFKPIRLADLWIRPAFGGRGRKLPGALEAHVNGFRFSTSRSDERVDVMFANIKHAFFQPAEREMITLLHFHLHNHIMVGNKKTKDVQFYVEVMESVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWSQPQFSGLDLEFDQPLRELGFHGVPYKTSSFIIPTSSCLVELVETPFLVITLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESKLNLNWRQILKTITDDPQSFIDEGGWEFLNLEASDSDSEKSEDSDKGYEPSDAEPESESEDDDSDSESLVESDEDEEEEDSEEDSEEEKGKTWEELEREASNADREKGDESDSEVERNRRKAKNLGKSRAPPSSSMAKRSRFR >Manes.16G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31689839:31691724:-1 gene:Manes.16G111500.v8.1 transcript:Manes.16G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALTDIGNLKRAHLYEYRLITGYFIFACVIAASGGSLFGYDLGVSGGVTSMDDFLKEFIPTVYKKKHAHLHETDYCKNDNKIFSLFTSSLYFAALVTTFGASYVTRTRGRRASILVGSTSFFIGVIVNAFAKNVETLIIGRCFLVGGIGFGNRVTKNIPLLRRGILVANFINYGSEKIHPSGRRLSLGLATLPATVMFVGELFLPETPNSLIEQGRLEEGRRILEKNRPQLVIGAMGIPALQQLTGNNSILFYAPVIFQSLVFSNIAALYSSVITNAALVVGALMSMAFVDKFGRRAFFLEAGAEMHVAVGLILPLDFGDGKPIPHGTGIFLVVIICLFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSQCFVVSLCHLKYGIFLQFAGLIFIMSTFIYFLLPETKQVPIEEMHLLWQNHRFRRKIMPEQGKLRSRV >Manes.06G064301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19953403:19954059:1 gene:Manes.06G064301.v8.1 transcript:Manes.06G064301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRKDCFWVQNLRWEHSMYLFFASFCSSKAHKWIPCSTLYLHKSSICSCQLLKSGFNIQDLSFIAPRNQQSCCSIPQHPNDW >Manes.11G068800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9750337:9753857:1 gene:Manes.11G068800.v8.1 transcript:Manes.11G068800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINIRQNNRVRKLKDLDHRSKAQTRRATKHLSKQFTSSEMATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMFLKAIDKFNDLVVSVYVTAGHTRFMLLHNPHNDDGIKTFFQEVHELYIKILLNPLNLPGSRITSSHFDTKVRALARKYL >Manes.11G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9750337:9753878:1 gene:Manes.11G068800.v8.1 transcript:Manes.11G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINIRQNNRVRKLKDLDHRSKAQTRRATKHLSKQFTSSEMATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMFLKAIDKFNDLVVSVYVTAGHTRFMLLHNPHNDDGIKTFFQEVHELYIKILLNPLNLPGSRITSSHFDTKVRALARKYL >Manes.11G068800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9750337:9753878:1 gene:Manes.11G068800.v8.1 transcript:Manes.11G068800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINIRQNNRVRKLKDLDHRSKAQTRRATKHLSKQFTSSEMATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFILHAALDIVQDLAWTTSAMFLKAIDKFNDLVVSVYVTAGHTRFMLLHNPHNDDGIKTFFQEVHELYIKILLNPLNLPGSRITSSHFDTKVRALARKYL >Manes.01G198400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37252691:37255161:1 gene:Manes.01G198400.v8.1 transcript:Manes.01G198400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIQSQIFPKGGHLFHPSRSLLSPSSLSPSSSYSPSLPYNSDHQKQSSSGNKISPAIIFIIVIIAVIFFISGLLHLLVRFLIKHRSSSSVSESNRYPEMSESDAFRRQLHQLFHLHDSGLDQAFIDALPVFLYKDIMGLKEPFDCAVCLCEFSGKDQLRLLPVCSHAFHIDCIDTWLLSNSTCPLCRGTLYATGLPFENPVFDFEEPTEYEFTSTAGSGTSIGQKPAENERSNSKRVFSVRLGKLKCSSSETAEKGEGETSSSNLDARRCYSMGSYQYVVADLDLQVSLCPGRGADPGSVQIVKGRNGQNENSSIDGDVEGKKINIISKGESFSVSKIWQWSRKGKFPS >Manes.17G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1102565:1113249:1 gene:Manes.17G000100.v8.1 transcript:Manes.17G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGGGIRLSKRFNDNKNGSEVDYKTKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHAQRQRRAEEVSREYAQEQEFFRQTALISKKEKEKIEMMKAVSFMYVRPPGYNAESAKAAEIADERKRDEEENTFQHPPAEGASSTMLPESIPSRDHLGEEKKKPRPKDVFGRPVPTEEEFEVLKNAPRLETGVAARVKPFGIEVRNVKCLRCGNYGHQSGDRECPLKDAIMPNEESRLKRDDPLTAIMAQMDPTEPLKWELKQKPGMSPPRGGFNPDDPNQQIVAEDIFDEYGGFLGGDIPQLLTNFSPKKSRKKSKRHKHKRDSSPSREFRAPRESGLSSPSSDQERRSKKSKMKKKLNHSESSPFDDLNFDGDSKKSRHIYYNSSENSDGDRQNWSKRSRYKHYYSSEEYGGDRHQKSKKSRHKHSYSSEDPGSDRHRSKKNRQKHSNLSEESDADGHHRSKNSRMKQRSSFEHSPVDRHHKREKKQKQSYQHSYDYSDSDKHRKSKHRPHHHR >Manes.17G000100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1102565:1113249:1 gene:Manes.17G000100.v8.1 transcript:Manes.17G000100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAVSFMYVRPPGYNAESAKAAEIADERKRDEEENTFQHPPAEGASSTMLPESIPSRDHLGEEKKKPRPKDVFGRPVPTEEEFEVLKNAPRLETGVAARVKPFGIEVRNVKCLRCGNYGHQSGDRECPLKDAIMPNEESRLKRDDPLTAIMAQMDPTEPLKWELKQKPGMSPPRGGFNPDDPNQQIVAEDIFDEYGGFLGGDIPQLLTNFSPKKSRKKSKRHKHKRDSSPSREFRAPRESGLSSPSSDQERRSKKSKMKKKLNHSESSPFDDLNFDGDSKKSRHIYYNSSENSDGDRQNWSKRSRYKHYYSSEEYGGDRHQKSKKSRHKHSYSSEDPGSDRHRSKKNRQKHSNLSEESDADGHHRSKNSRMKQRSSFEHSPVDRHHKREKKQKQSYQHSYDYSDSDKHRKSKHRPHHHR >Manes.09G015400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3299044:3302850:1 gene:Manes.09G015400.v8.1 transcript:Manes.09G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLLTNYCHSSTSTLEDARFLTNNFKSASFTRLHDAIASFNHVIHMHPLPSRAQFSRFLSALLKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKINEAVEFFDDMVARGYQPDVYTYNTIINGICKFGKTNVAIGLLKGMADRGCEPDVVTYGATIDALCKDELVGEALELFSQMRNKGISPNVITYTSLIHGVCKLGQKNQALALMNEMVEQDILPNVYTFNVLIDALCRDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKAMVGRNISPDVFTFNIFIDTLCKKGLVSDAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIANIFSYNVLINGYCKCKMIDDAKQIFDEMSHKGLVPDAVTYHTLIKGMFQAGRPQTAKELFKDMCSYGQQPNIVTFSIMIDGLCRQGKLDEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKEMFSSLFENGLQPNVHIYSAIMKGLCRQGLMGEAYKVFKDMEKVGCLPNNCCYNIIILGFLKHEDLPKASELNNEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNGGSAN >Manes.03G195900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31386776:31392638:1 gene:Manes.03G195900.v8.1 transcript:Manes.03G195900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYQQQPRTYGPHLMKMTIQPSPQLADNDRSSSELRALDCNLTSLCDHIQMEGFSSGSFSDIVVHSMGSTYHLHRLILSRSSYFRNMLHGPWKEANSPIVNLHVDDKNVNAEAIEMALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGLHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVPSEEKRFELALYALLAKGSLCKTELPEQVNSNSDMGVGVHSDSSKAKGKNVVGSCSRKRSDSEPGCCLKDELKCQNAAHGLLVELVDSVDNFQVVVSDSKQSNLDSSQLHEVEPSSSISNTFSEMNGNRTSYSYVEMPIGVGMSGLGTSGMAMEGPSESGSYNFNNTNWIAGDQSRHCTSIESSCNGLILNDWGRCGMPPLSWGGRVVGKREVKGYAKGNCGVRGEEYDTFVNIFEGGSLLYCNMSFEALLNVRKQLEELGFPCKAVNNGLWLQMLLSQRVREIGADTCKGCCSMACTCRQPCGFSQGVTATGCYMQGHEQNNSPGSMGNIYVADSAQAEGNGLFRPVRVQVRGPIDGLAGIGRGTTYVPTAAWPPTRVVFSRVPFGMGNRNCQQSIANEDSESRTDHNGDPSGDGLTALVGLSQGGSNSANAQGEHIDRGYESELQSRLSGTSISVSSSIGSGVAVQMLESPEHAIGIDWENSNGSSISLDMKTPLSHFPPFRFGVEFEDVHRLSDGQVKHSPEFFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSLRKYSRSSLPAFRFICMWTPVKRLLLVIS >Manes.03G195900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31386776:31392637:1 gene:Manes.03G195900.v8.1 transcript:Manes.03G195900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYQQQPRTYGPHLMKMTIQPSPQLADNDRSSSELRALDCNLTSLCDHIQMEGFSSGSFSDIVVHSMGSTYHLHRLILSRSSYFRNMLHGPWKEANSPIVNLHVDDKNVNAEAIEMALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGLHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVPSEEKRFELALYALLAKGSLCKTELPEQVNSNSDMGVGVHSDSSKAKGKNVVGSCSRKRSDSEPGCCLKDELKCQNAAHGLLVELVDSVDNFQVVVSDSKQSNLDSSQLHEVEPSSSISNTFSEMNGNRTSYSYVEMPIGVGMSGLGTSGMAMEGPSESGSYNFNNTNWIAGDQSRHCTSIESSCNGLILNDWGRCGMPPLSWGGRVVGKREVKGYAKGNCGVRGEEYDTFVNIFEGGSLLYCNMSFEALLNVRKQLEELGFPCKAVNNGLWLQMLLSQRVREIGADTCKGCCSMACTCRQPCGFSQGVTATGCYMQGHEQNNSPGSMGNIYVADSAQAEGNGLFRPVRVQVRGPIDGLAGIGRGTTYVPTAAWPPTRVVFSRVPFGMGNRNCQQSIANEDSESRTDHNGDPSGDGLTALVGLSQGGSNSANAQGEHIDRGYESELQSRLSGTSISVSSSIGSGVAVQMLESPEHAIGIDWENSNGSSISLDMKTPLSHFPPFRFGVEFEDVHRLSDGQVKHSPEFFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSLRKVHMYVDSREKVTARYQLICPSKREVMVFGSFKQRGTLLPKAPKGWGWRTALLFDELAELLQNGTLRVAAVVQLV >Manes.12G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27512184:27514878:-1 gene:Manes.12G103100.v8.1 transcript:Manes.12G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMAQVGVRTRARTLAAAAAAAAAAATGASRKRKVNNRELMMSASYIQLRSSSRRRVLITPENSVSISLSPEINPDPRTVIQDRCSSPSSDHASASCCSSYGSSDQRINKLADLEAVTASVEVETSVYFSRGERREATPSSQLQAESSDELESTARPLSKANSRRRSTAEKMPTESELDEFFAEAEKNIQKQFADKYNYDIVKDEPLEGRYEWVRLKP >Manes.10G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29822048:29826083:1 gene:Manes.10G133000.v8.1 transcript:Manes.10G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAQPSTSLNGDHRPLRPPQSSNTHHHHHPYYPTSSSSKSASLKGCCCCLFLLFSFLALLVLAIFLIIILAVKPKKPEFDLQQVGVQYMGISASNLNSLDPTTGTTTMTTGATTASLSLTIHMLFTAVNPNKVGIKYGESKFTVMYHGIPLGKASVPGFYQEAHSERQVEATISVDRYSLIQANAADLIRDASLNDRVELRVLGEVGAKIRVVDFDSPGVQVSVNCAIVISPRKQSLTYKQCGFDGLSV >Manes.07G042815.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4899206:4900276:1 gene:Manes.07G042815.v8.1 transcript:Manes.07G042815.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGTKSEQEQNQNKNKGYFNSLPVGYRFAPSDDELIRDYLLRKISNEHLPPNRIHVVDLYNYSPQQLTAETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLDEWVLCKIY >Manes.10G007000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:849272:852374:-1 gene:Manes.10G007000.v8.1 transcript:Manes.10G007000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYQHCHEPTITGDSESLGPHGVSASTVGVPALAQDLFQFETTSQVPEGLGEHVVSSKKAQANWYRKLLEAWREAKPPPKTPEEAARLVIQTLKRHQKADVEVDAKAVSDGDTISVYVNTADPRESSCVPGDVQTAAVQRSKARSEGNYERADALHKKITDSGYRVINVENEEILARKYRIRLSGIDAPESSMPYGKEAKAELVKLVQGKSLRIFVYNEDRYGRSVGDVYCNGIFVQWEKAARAKRVGLWAQSNPEKPWEWRKDKREGR >Manes.10G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:849272:852374:-1 gene:Manes.10G007000.v8.1 transcript:Manes.10G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYQHCHEPTITGDSESLGPHGVSASTVGVPALAQDLFQFETTSQVPEGLGEHVVSSKKAQANWYRKLLEAWREAKPPPKTPEEAARLVIQTLKRHQKADVEGLLSFYGLPLPHTLVAHSTGVPTTLPDGVKFELQTLPVDAKAVSDGDTISVYVNTADPRESSCVPGDVQTAAVQRSKARSEGNYERADALHKKITDSGYRVINVENEEILARKYRIRLSGIDAPESSMPYGKEAKAELVKLVQGKSLRIFVYNEDRYGRSVGDVYCNGIFVQEVMLKKGFAWHYTAYDKRLELASWEKAARAKRVGLWAQSNPEKPWEWRKDKREGR >Manes.10G007000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:849272:852374:-1 gene:Manes.10G007000.v8.1 transcript:Manes.10G007000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYQHCHEPTITGDSESLGPHGVSASTVGVPALAQDLFQFETTSQVPEGLGEHVVSSKKAQANWYRKLLEAWREAKPPPKTPEEAARLVIQTLKRHQKADVEGLLSFYGLPLPHTLVAHSTGVPTTLPDGVKFELQTLPVDAKAVSDGDTISVYVNTADPRESSCVPGDVQTAAVQRSKARSEGNYERADALHKKITDSGYRVINVENEEILARKYRIRLSGIDAPESSMPYGKEAKAELVKLVQGKSLRIFVYNEDRYGRSVGDVYCNGIFVQWEKAARAKRVGLWAQSNPEKPWEWRKDKREGR >Manes.10G007000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:849272:852374:-1 gene:Manes.10G007000.v8.1 transcript:Manes.10G007000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRFLYQHCHEPTITGDSESLGPHGVSASTVGVPALAQDLFQFETTSQVPEGLGEHVVSSKKAQANWYRKLLEAWREAKPPPKTPEEAARLVIQTLKRHQKADVEVDAKAVSDGDTISVYVNTADPRESSCVPGDVQTAAVQRSKARSEGNYERADALHKKITDSGYRVINVENEEILARKYRIRLSGIDAPESSMPYGKEAKAELVKLVQGKSLRIFVYNEDRYGRSVGDVYCNGIFVQEVMLKKGFAWHYTAYDKRLELASWEKAARAKRVGLWAQSNPEKPWEWRKDKREGR >Manes.03G198800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31560936:31570319:-1 gene:Manes.03G198800.v8.1 transcript:Manes.03G198800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSSDLLGQVQEANDFDRHALFRYASANVAGFPASPSTFVVKQFGHGQSNPTFLLEVGTGVSVKRYVLRKKPPGKLLQSAHAVDREYLVLRALGEHTRVPVPKVFCLCTDPNVIGTSFYIMEYLEGRIFIDPKLPGVAPERRGAIYRETARVLAALHSVDVDAIGLGKYGRRDNYCKRQVERWAKQYIASTGEDKSPRNPKMLELSDWLLQHIPPEDSSGASAGLVHGDFRIDNLMFHPTEDRVIGILDWELSTLGNQMSDVAYSCLAYIVDTNLDNQQLGKGFELTGIPEGIPSQAEYLAEYCSASGTPWPANVWKFYVAFALFRGASIFAGVHSRWIMGNASGGERARNAGNQANGLIDSAWAFITRKSILPPHPPSDPIAQDYITRPGGENEVEGLTGVNGRFVPSKKVLELRKKLIKFMEDHIYPLENEFYKLSQSSSRWTVHPEEERLKELAKKEGLWNLWIPFDSAERARKMIFDGSNYAVSNDAHDQLLGAGLSNLEYGYLCEIMGRSIWAPQVFNCGAPDTGNMEVLLRYGNKEQLLEWLIPILEGRIRSGFAMTEPQVASSDATNIECSIRRQEDSYIINGNKWWTSGAMDPRCKLLIVMGKTDFTAAKHKQQSMILVDIETPGVCIKRPLMVFGFDDAPHGHAEISFKNVCVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLVGAAERGMQLMVQRALSRRAFGKLIAEHGSFRSDIAKCRVELEKARLLVLEAADQLDRLGNKKARATIAMAKFAAPNMALMVLDMAMQVHGAAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >Manes.03G198800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31560936:31570319:-1 gene:Manes.03G198800.v8.1 transcript:Manes.03G198800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSSDLLGQVQEANDFDRHALFRYASANVAGFPASPSTFVVKQFGHGQSNPTFLLEVGTGVSVKRYVLRKKPPGKLLQSAHAVDREYLVLRALGEHTRVPVPKVFCLCTDPNVERWAKQYIASTGEDKSPRNPKMLELSDWLLQHIPPEDSSGASAGLVHGDFRIDNLMFHPTEDRVIGILDWELSTLGNQMSDVAYSCLAYIVDTNLDNQQLGKGFELTGIPEGIPSQAEYLAEYCSASGTPWPANVWKFYVAFALFRGASIFAGVHSRWIMGNASGGERARNAGNQANGLIDSAWAFITRKSILPPHPPSDPIAQDYITRPGGENEVEGLTGVNGRFVPSKKVLELRKKLIKFMEDHIYPLENEFYKLSQSSSRWTVHPEEERLKELAKKEGLWNLWIPFDSAERARKMIFDGSNYAVSNDAHDQLLGAGLSNLEYGYLCEIMGRSIWAPQVFNCGAPDTGNMEVLLRYGNKEQLLEWLIPILEGRIRSGFAMTEPQVASSDATNIECSIRRQEDSYIINGNKWWTSGAMDPRCKLLIVMGKTDFTAAKHKQQSMILVDIETPGVCIKRPLMVFGFDDAPHGHAEISFKNVCVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLVGAAERGMQLMVQRALSRRAFGKLIAEHGSFRSDIAKCRVELEKARLLVLEAADQLDRLGNKKARATIAMAKFAAPNMALMVLDMAMQVHGAAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >Manes.14G033300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2984407:2989228:-1 gene:Manes.14G033300.v8.1 transcript:Manes.14G033300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGIEVKPGKPHPYHSDNVEGRLYVTQDELVAFSVIGPRSIHLSGYFVSDEGDHLRDEYESDSGEDIAETETEDSSEFDYDDNDDDFIDDDDAELFAPSPVPNSGVVIEEIVDDDKPTKGDGQPKRSKKKNNKSSEHEDQNNSQRQIVTSGATDTSVFESEDEDGFPIAGSRKSGDVVQETQAEASDVQKEKSATEIKKKATDGNDDATVKKRKVKSTEQDDQPIRKKKKEKLKEKGKEVDNDEIDDNEKDEIHSKEVKQQDSPDDKDHDQRVLNADADSVHVEDTSDKKKRKKKKNKKKNQESGEDVNKDQAVSAAGDGAKSTLESQDKQSSGKSSQVRTFANGLVIEELAMGKPDGKRASPGCQVSVHYIGKLKKNDKIFDSNVGRAPFKFRLGVGQVIKGWDVGVNGMRVGDKRRLTIPPSMGYGDRGAGGKIPPNSWLVFDVELVNVR >Manes.14G033300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2984388:2989228:-1 gene:Manes.14G033300.v8.1 transcript:Manes.14G033300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGIEVKPGKPHPYHSDNVEGRLYVTQATLGLGSSTERSILQCSVGHKSPIFLCSLLPDRIESCSLNLEFKDELVAFSVIGPRSIHLSGYFVSDEGDHLRDEYESDSGEDIAETETEDSSEFDYDDNDDDFIDDDDAELFAPSPVPNSGVVIEEIVDDDKPTKGDGQPKRSKKKNNKSSEHEDQNNSQRQIVTSGATDTSVFESEDEDGFPIAGSRKSGDVVQETQAEASDVQKEKSATEIKKKATDGNDDATVKKRKVKSTEQDDQPIRKKKKEKLKEKGKEVDNDEIDDNEKDEIHSKEVKQQDSPDDKDHDQRVLNADADSVHVEDTSDKKKRKKKKNKKKNQESGEDVNKDQAVSAAGDGAKSTLESQDKQSSGKSSQVRTFANGLVIEELAMGKPDGKRASPGCQVSVHYIGKLKKNDKIFDSNVGRAPFKFRLGVGQVIKGWDVGVNGMRVGDKRRLTIPPSMGYGDRGAGGKIPPNSWLVFDVELVNVR >Manes.14G033300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2984407:2989228:-1 gene:Manes.14G033300.v8.1 transcript:Manes.14G033300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGIEVKPGKPHPYHSDNVEGRLYVTQATLGLGSSTERSILQCSVGHKSPIFLCSLLPDRIESCSLNLEFKDELVAFSVIGPRSIHLSGYFVSDEGDHLRDEYESDSGEDIAETETEDSSEFDYDDNDDDFIDDDDAELFAPSPVPNSGVVIEEIVDDDKPTKGDGQPKRSKKKNNKSSEHEDQNNSQRQIVTSGATDTSVFESEDEDGFPIAGSRKSGDVVQETQAEASDVQKEKSATEIKKKATDGNDDATVKKRKVKSTEQDDQPIRKKKKEKLKEKGKEVDNDEIDDNEKDEIHSKEVKQQDSPDDKDHDQRVLNADADSVHVEDTSDKKKRKKKKNKKKNQESGEDVNKDQAVSAAGDGAKSTLESQDKQSSGKSSQVRTFANGLVIEELAMGKPDGKRASPGCQVSVHYIGKLKKNDKIFDSNVGRAPFKFRLGVGQVIKGWDVGVNGMRVGDKRRLTIPPSMGYGDRGAGGKIPPNSWLVFDVELVNVR >Manes.14G033300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2984407:2989228:-1 gene:Manes.14G033300.v8.1 transcript:Manes.14G033300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGIEVKPGKPHPYHSDNVEGRLYVTQATLGLGSSTERSILQCSVGHKSPIFLCSLLPDRIESCSLNLEFKDELVAFSVIGPRSIHLSGYFVSDEGDHLRDEYESDSGEDIAETETEDSSEFDYDDNDDDFIDDDDAELFAPSPVPNSGVVIEEIVDDDKPTKGDGQPKRSKKKNNKSSEHEDQNNSQRQIVTSGATDTSVFESEDEDGFPIAGSRKSGDVVQETQAEASDVQKEKSATEIKKKATDGNDDATVKKRKVKSTEQDDQPIRKKKKEKLKEKGKEVDNDEIDDNEKDEIHSKEVKQQDSPDDKDHDQRVLNADADSVHVEDTSDKKKRKKKKNKKKNQESGEDVNKDQAVSAAGDGAKSTLESQDKQSSGKSSQVRTFANGLVIEELAMGKPDGKRASPGCQVSVHYIGKLKKNDKIFDSNVGRAPFKFRLGTLIFGLQMIEALFC >Manes.01G042800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8498164:8503320:1 gene:Manes.01G042800.v8.1 transcript:Manes.01G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRTIYVGNLPSDIREGEVEDLFYKYGRILDIELKIPPRPPCYCFVEFENARDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGSYSGGGGGRYGISRHSEFRVIVRGLPSSASWQDLKDHMRKAGEVCFAEVSRDSDGTFGIVDYTNYEDMKYSIRKLDDSEFRNPWARAYIRVKRYEGTPSRSRSRSRSRSRSPRRNRSKSLERSPSRSVSKSRSASPVKSSRARSRSRSRSRSRSISGSPNGK >Manes.05G107600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10337051:10348575:-1 gene:Manes.05G107600.v8.1 transcript:Manes.05G107600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATATTVRGGSPTDSGDSVVTLDQVPRWSDAESRLSLGYDNDDPSFSNSYFPDPLTSPTDGEGSGNGMVSRFPVDPEINSKIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIGMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIKAVVFCTTTTTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKTAPKPPQDEVDLPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNCAKMLGFGDLGGPPLSIAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYFHSAASLQLQPDLGWMRRLQQILGRKHQRNLHAIHVLHPTFHLKATIFALQLFVDSVTWKKVVYADRLVQLFRHVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.05G107600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10336411:10348575:-1 gene:Manes.05G107600.v8.1 transcript:Manes.05G107600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATATTVRGGSPTDSGDSVVTLDQVPRWSDAESRLSLGYDNDDPSFSNSYFPDPLTSPTDGEGSGNGMVSRFPVDPEINSKIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIGMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIKAVVFCTTTTTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKTAPKPPQDEVDLPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNCAKMLGFGDLGGPPLSIAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYFHSAASLQLQPDLGWMRRLQQILGRKHQRNLHAIHVLHPTFHLKATIFALQLFVDSVTWKKVVYADRLVQLFRHVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.05G107600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10337591:10348268:-1 gene:Manes.05G107600.v8.1 transcript:Manes.05G107600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATATTVRGGSPTDSGDSVVTLDQVPRWSDAESRLSLGYDNDDPSFSNSYFPDPLTSPTDGEGSGNGMVSRFPVDPEINSKIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIGMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIKAVVFCTTTTTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKTAPKPPQDEVDLPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNCAKMLGFGDLGGPPLSIAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYFHSAASLQLQPDLGWMRRLQQILGRKHQRNLHAIHVLHPTFHLKATIFALQLFVDSVTWKKVVYADRLVQLFRHVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.05G107600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10337051:10348575:-1 gene:Manes.05G107600.v8.1 transcript:Manes.05G107600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATATTVRGGSPTDSGDSVVTLDQVPRWSDAESRLSLGYDNDDPSFSNSYFPDPLTSPTDGEGSGNGMVSRFPVDPEINSKIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIGMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIKAVVFCTTTTTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKTAPKPPQDEVDLPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNCAKMLGFGDLGGPPLSIAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYFHSAASLQLQPDLGWMRRLQQILGRKHQRNLHAIHVLHPTFHLKATIFALQLFVDSVTWKKVVYADRLVQLFRHVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.05G107600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10336411:10348575:-1 gene:Manes.05G107600.v8.1 transcript:Manes.05G107600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATATTVRGGSPTDSGDSVVTLDQVPRWSDAESRLSLGYDNDDPSFSNSYFPDPLTSPTDGEGSGNGMVSRFPVDPEINSKIYLWRGNPWNLEVDAVVNSTNENMDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIGMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIKAVVFCTTTTTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKTAPKPPQDEVDLPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNCAKMLGFGDLGGPPLSIAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPIIQKPYTIVYFHSAASLQLQPDLGWMRRLQQILGRKHQRNLHAIHVLHPTFHLKATIFALQLFVDSVTWKKVVYADRLVQLFRHVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.09G187300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37397253:37398296:-1 gene:Manes.09G187300.v8.1 transcript:Manes.09G187300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGPSSVTCQWVKISKALKASLPRQTEENLIHSPGKSCHLIRSKSFSLYENDILDAYNVDPSPSDVCLKHHLQKMQALLHLSPPPLPVTTPAKPLVLQRRTFPRLNIPRCSPIKGSSVVADDASTVDYSSMTSVFPAEACETIGGEACDVEMYPEVKLKSVAKSTTSTTTEQIDTDYLEYNSPKTVFLEEACDDLGGEFCDPEYKKEST >Manes.13G079700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19896283:19897372:-1 gene:Manes.13G079700.v8.1 transcript:Manes.13G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWFLLLFIIISLEFLSGIGDGGEHMAQNRQEKPPFAKMVLDKLSTLKKSHQNSLEKLKSIVHRFQLQYFPPNLEGSDDEGKSQDGGKMKEEAGKSFEVSKMTAEESGKSAEKVVGEAADKVKDKLSRDDEEKSHPHEEL >Manes.03G205700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32103849:32106230:1 gene:Manes.03G205700.v8.1 transcript:Manes.03G205700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSCFGILRLCGYAAISAEAQGVVTAETIQRAFHATEEGFTALVSEIWDTYPQIATTGSCCLVGVIFQQTLFVANLGDSRVVLGKKVGNTGEIAAIQLSTEHNANNEAVRQELKELHPNDPQIIVLKHGVWRVKGIIQVSRSIGDVYMKHAKYNREPINAKFRLPEPMNMPVLSANPTILSHPLHPNDSFLIFASDGLWEHLSNEKAVDIVHSHPHAGSAKRLVKAALQEAARKREMRYSDLRRIDKKVRRHFHDDITVIVLFLNHDLVSRGTVQDPPLSIRSALEH >Manes.03G205700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32101973:32106230:1 gene:Manes.03G205700.v8.1 transcript:Manes.03G205700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALMNLLSSCFRPFGHESRTSGKFESVGREGKDGLLWYKDIGRYGSGDFSMAVVQANQVLEDQSQIESGSFGTFVGVYDGHGGPDASRYVCDHLFRNFQAISAEAQGVVTAETIQRAFHATEEGFTALVSEIWDTYPQIATTGSCCLVGVIFQQTLFVANLGDSRVVLGKKVGNTGEIAAIQLSTEHNANNEAVRQELKELHPNDPQIIVLKHGVWRVKGIIQVSRSIGDVYMKHAKYNREPINAKFRLPEPMNMPVLSANPTILSHPLHPNDSFLIFASDGLWEHLSNEKAVDIVHSHPHAGSAKRLVKAALQEAARKREMRYSDLRRIDKKVRRHFHDDITVIVLFLNHDLVSRGTVQDPPLSIRSALEH >Manes.16G128000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32910794:32914979:-1 gene:Manes.16G128000.v8.1 transcript:Manes.16G128000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPKGDSIQIREVWNDNLEEEFALIREIVDQFNYVAMDTEFPGVVLRPVGNFKNINDYNYQTLKDNVDMLKLIQLGLTFSDEKGNLPSCGTDKSCIWQFNFREFNISEDIFASDSIELLRQCGIDFKKNNEKGIDVNRFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKLLTCRSLPDTQAGFFDLINMYFPMVYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFSGSTEKYAGVLYGLGVENGQNTN >Manes.16G128000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32910878:32914979:-1 gene:Manes.16G128000.v8.1 transcript:Manes.16G128000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPKGDSIQIREVWNDNLEEEFALIREIVDQFNYVAMDTEFPGVVLRPVGNFKNINDYNYQTLKDNVDMLKLIQLGLTFSDEKGNLPSCGTDKSCIWQFNFREFNISEDIFASDSIELLRQCGIDFKKNNEKGIDVNRFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKLLTCRSLPDTQAGFFDLINMYFPMVYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFSGSTEKYAGVLYGLGVENGQNTN >Manes.16G128000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32910881:32914943:-1 gene:Manes.16G128000.v8.1 transcript:Manes.16G128000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPKGDSIQIREVWNDNLEEEFALIREIVDQFNYVAMDTEFPGVVLRPVGNFKNINDYNYQTLKDNVDMLKLIQLGLTFSDEKGNLPSCGTDKSCIWQFNFREFNISEDIFASDSIELLRQCGIDFKKNNEKGIDVNRFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKLLTCRSLPDTQAGFFDLINMYFPMVYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFSGSTENCMASRECGME >Manes.16G128000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32913476:32914941:-1 gene:Manes.16G128000.v8.1 transcript:Manes.16G128000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPKGDSIQIREVWNDNLEEEFALIREIVDQFNYVAMDTEFPGVVLRPVGNFKNINDYNYQTLKDNVDMLKLIQLGLTFSDEKGNLPSCGTDKSCIWQFNFREFNISEDIFASDSIELLRQCGIDFKKNNEKGIDVNRFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKLLTCRSLPDTQAGFFDLINMYFPMVYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFSGSTEKYAGVLYGLGVENGQNTN >Manes.16G128000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32910881:32914941:-1 gene:Manes.16G128000.v8.1 transcript:Manes.16G128000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPKGDSIQIREVWNDNLEEEFALIREIVDQFNYVAMDTEFPGVVLRPVGNFKNINDYNYQTLKDNVDMLKLIQLGLTFSDEKGNLPSCGTDKSCIWQFNFREFNISEDIFASDSIELLRQCGIDFKKNNEKGIDVNRFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKLLTCRSLPDTQAGFFDLINMYFPMVYDIKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGSDSLLTSCTFRKLRDNFFSGSTENCMASRECGME >Manes.09G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9617675:9632222:-1 gene:Manes.09G060700.v8.1 transcript:Manes.09G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPARRSNYTLLSQYPDEQLLAAPPPPPYYDPSNSSESKSSKVKPDRGFDWDSSGDHRVNQQANSNRIGNLNMYSSIGLQRQSSGSSFGESSLSGECYAPTQSTTGGNEIETYGYMHEDGNLMRVRAVDAAVITGMGTGSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPMPDESALLSTSSNSPEALSHRFWVNGCLSYFDKIPDGFYQIHGMDPYVWTVCTDLQENGRIPSIESLKSADTSGDPSLEVVLIDRRSDPSLKELQNRVHSISCNCITTKEVVDQLAKLVCNRMGGSTNAAEDDLISIWRECSADLKDCLGSIVVPIGSLSIGQCRHRALLFKVLADTIDLPCRIARGCKYCNRDDASSCLVRFGLDREYLVDLVGKPGCLCEPDSLLNGPSSITISSPLRFPRMKSNKPTVDFRSFAKQYFSDSQSLNLVFDDALGATVLDEEAPKFSMYPKQNERTNADKNNLVQIPTNGSEITQLPLQVKVDRTIAQDGSAQNFRPYNPSQNVKQSTNVVKDAIHLKHIPTVGHRDVQPVLALANQRVDTSKISRFSEGGQLVSNKTSNELSLDVEDLDIPWSDLVLKERIGAGSFGTVHRADWHGSEVAVKILMEQDFDTERIKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGAREVLDERRRLSMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKGKRLDIPRDLNPQVAIMIEACWANEPWKRPSFTNIMESLRLLIKPPTPPTGHAEMPLLT >Manes.12G131200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33884051:33888163:1 gene:Manes.12G131200.v8.1 transcript:Manes.12G131200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVNVPRWKPNQSPAGNPPQNKPTATQINELEVQSIASEEDDNSLSVESVRFPFNISFASDTHDSHHLPFASSPSLKKIEMEATTTVVGQINDEKQLQFSSKDRNNDGIFCTWKDLRVTVGDGKNSRRGILQGLTGYAQPGEVLCVMGPSGCGKSTLLDALAGRLSSNTQQTGEILINGRKETLAFGTSMGSMTEPKDVVWCALAGLLSSRS >Manes.12G131200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33884525:33888788:1 gene:Manes.12G131200.v8.1 transcript:Manes.12G131200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVNVPRWKPNQSPAGNPPQNKPTATQINELEVQSIASEEDDNSLSVESVRFPFNISFASDTHDSHHLPFASSPSLKKIEMEATTTVVGQINDEKQLQFSSKDRNNDGIFCTWKDLRVTVGDGKNSRRGILQGLTGYAQPGEVLCVMGPSGCGKSTLLDALAGRLSSNTQQTGEILINGRKETLAFGTSMGSMTEPKDVVWCALAGLLSSRS >Manes.12G131200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33884567:33885618:1 gene:Manes.12G131200.v8.1 transcript:Manes.12G131200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVNVPRWKPNQSPAGNPPQNKPTATQINELEVQSIASEEDDNSLSVESVRFPFNISFASDTHDSHHLPFASSPSLKKIEMEATTTVVGQINDEKQLQFSSKDRNNDGIFCTWKDLRVTVGDGKNSRRGILQGLTGYAQPGEVLCVMGPSGCGKSTLLDALAGRLSSNTQQTGEILINGRKETLAFGTSVRNAI >Manes.12G131200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33884558:33888877:1 gene:Manes.12G131200.v8.1 transcript:Manes.12G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVNVPRWKPNQSPAGNPPQNKPTATQINELEVQSIASEEDDNSLSVESVRFPFNISFASDTHDSHHLPFASSPSLKKIEMEATTTVVGQINDEKQLQFSSKDRNNDGIFCTWKDLRVTVGDGKNSRRGILQGLTGYAQPGEVLCVMGPSGCGKSTLLDALAGRLSSNTQQTGEILINGRKETLAFGTSHLVVRRNRAKKSSLKLL >Manes.02G088700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6979383:6982658:-1 gene:Manes.02G088700.v8.1 transcript:Manes.02G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGGGNGGFWGWNWELKRQKPYNCRSNVSKSSNTGVGGGYHFPLKQAVTAGSLALAGDGIAQVRDRWGNSERSKQRSILPSHDCTDEEHPVWAIFKEHDWLRALRMTSYGFLLYGPGSYAWYQYLDYCLPKQTAKNLMLKVLLNQIVLGPSVIAVVFAWNNLWQGKLSQLPGKYQKDALPTLLYGFRFWIPVSALNFWVVPLQARVAFMSTGSIFWNFCLSSTMNK >Manes.13G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33916223:33922000:-1 gene:Manes.13G130700.v8.1 transcript:Manes.13G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLSAIRHHHRLTSAVLHVRHYKAPKQPMPPAPPKPPKPPQRPQSFTFHDAVWEDPYSWMSSLNDKVAMRHMDVYMEQEEKYTEAVMSDTEKLQSKLQSEMAPRMQFDLSTPPIRWGPWLYYRRVEEGMQYPVLCRRLLSLNEEFISSKSPAGGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEVSPDHRFLAYTMYDKDNDWFKLSVRNLNSGALCSKPQADRVSNLAWAKDGQALLYVVTDQYKRPCRIYCSMIGSTDDDALLLDEPNDNVFVNIRHTKDFHFVTVNVFSTTFSKVFLINAADPLSGMTLVWECEGLAHCVVEHHQGYLYLFTDAARDGQLVDHHYLLCSPIHSSSSPRKWESIFNDDQDLIVEDVDFCYTHLALIIREGLSFRLCSVPLPLPSGKKGFNLEELNPRFLPLPKYVSQISAGPNYDYKSSTMRFTVSSPVMPDAVVDYDLSNGKWNIIQQQNMLYERTRILYGTASSTSVANKSSNNVNFRSSSEVRSGNDNLWNDLTEFYACEHYDVSSHDGVSVPLTIIYSHKHKCANQNPGLLHGHGAYGELLEKRWRSELKSLLDRGWVIAYADVRGGGGQGKKWHHNGRRTKKLNTIQDYLSCAKFLIENEIVQDKKLAGWGYSAGGLLVASAINCCPYLFRAAVLKVPFLDPTNTLLYPVLPLTAADFEEFGYPGDIDEFHAIRELSPYENVQKDVLYPAVLITSSFNTRFGVWEAAKWVARVRERTIHDPNRPILLNLTTDIVEENRYLLCKESALETAFLIKMMES >Manes.13G130700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33916223:33922002:-1 gene:Manes.13G130700.v8.1 transcript:Manes.13G130700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFPRRRLYYRRVEEGMQYPVLCRRLLSLNEEFISSKSPAGGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEVSPDHRFLAYTMYDKDNDWFKLSVRNLNSGALCSKPQADRVSNLAWAKDGQALLYVVTDQYKRPCRIYCSMIGSTDDDALLLDEPNDNVFVNIRHTKDFHFVTVNVFSTTFSKVFLINAADPLSGMTLVWECEGLAHCVVEHHQGYLYLFTDAARDGQLVDHHYLLCSPIHSSSSPRKWEKGFNLEELNPRFLPLPKYVSQISAGPNYDYKSSTMRFTVSSPVMPDAVVDYDLSNGKWNIIQQQNMLYERTRILYGTASSTSVANKSSNNVNFRSSSEVRSGNDNLWNDLTEFYACEHYDVSSHDGVSVPLTIIYSHKHKCANQNPGLLHGHGAYGELLEKRWRSELKSLLDRGWVIAYADVRGGGGQGKKWHHNGRRTKKLNTIQDYLSCAKFLIENEIVQDKKLAGWGYSAGGLLVASAINCCPYLFRAAVLKVPFLDPTNTLLYPVLPLTAADFEEFGYPGDIDEFHAIRELSPYENVQKDVLYPAVLITSSFNTRFGVWEAAKWVARVRERTIHDPNRPILLNLTTDIVEENRYLLCKESALETAFLIKMMES >Manes.13G130700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33916223:33922002:-1 gene:Manes.13G130700.v8.1 transcript:Manes.13G130700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFPRRRLYYRRVEEGMQYPVLCRRLLSLNEEFISSKSPAGGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEVSPDHRFLAYTMYDKDNDWFKLSVRNLNSGALCSKPQADRVSNLAWAKDGQALLYVVTDQYKRPCRIYCSMIGSTDDDALLLDEPNDNVFVNIRHTKDFHFVTVNVFSTTFSKVFLINAADPLSGMTLVWECEGLAHCVVEHHQGYLYLFTDAARDGQLVDHHYLLCSPIHSSSSPRKWESIFNDDQDLIVEDVDFCYTHLALIIREGLSFRLCSVPLPLPSGKKGFNLEELNPRFLPLPKYVSQISAGPNYDYKSSTMRFTVSSPVMPDAVVDYDLSNGKWNIIQQQNMLYERTRILYGTASSTSVANKSSNNVNFRSSSEVRSGNDNLWNDLTEFYACEHYDVSSHDGVSVPLTIIYSHKHKCANQNPGLLHGHGAYGELLEKRWRSELKSLLDRGWVIAYADVRGGGGQGKKWHHNGRRTKKLNTIQDYLSCAKFLIENEIVQDKKLAGWGYSAGGLLVASAINCCPYLFRAAVLKVPFLDPTNTLLYPVLPLTAADFEEFGYPGDIDEFHAIRELSPYENVQKDVLYPAVLITSSFNTRFGVWEAAKWVARVRERTIHDPNRPILLNLTTDIVEENRYLLCKESALETAFLIKMMES >Manes.13G130700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33916223:33922001:-1 gene:Manes.13G130700.v8.1 transcript:Manes.13G130700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLLSAIRHHHRLTSAVLHVRHYKAPKQPMPPAPPKPPKPPQRPQSFTFHDAVWEDPYSWMSSLNDKVAMRHMDVYMEQEEKYTEAVMSDTEKLQSKLQSEMAPRMQFDLSTPPIRWGPWLYYRRVEEGMQYPVLCRRLLSLNEEFISSKSPAGGFDFTSGKRIEQKLLDYNQEAERFGGYAYEELSEVSPDHRFLAYTMYDKDNDWFKLSVRNLNSGALCSKPQADRVSNLAWAKDGQALLYVVTDQYKRPCRIYCSMIGSTDDDALLLDEPNDNVFVNIRHTKDFHFVTVNVFSTTFSKVFLINAADPLSGMTLVWECEGLAHCVVEHHQGYLYLFTDAARDGQLVDHHYLLCSPIHSSSSPRKWEKGFNLEELNPRFLPLPKYVSQISAGPNYDYKSSTMRFTVSSPVMPDAVVDYDLSNGKWNIIQQQNMLYERTRILYGTASSTSVANKSSNNVNFRSSSEVRSGNDNLWNDLTEFYACEHYDVSSHDGVSVPLTIIYSHKHKCANQNPGLLHGHGAYGELLEKRWRSELKSLLDRGWVIAYADVRGGGGQGKKWHHNGRRTKKLNTIQDYLSCAKFLIENEIVQDKKLAGWGYSAGGLLVASAINCCPYLFRAAVLKVPFLDPTNTLLYPVLPLTAADFEEFGYPGDIDEFHAIRELSPYENVQKDVLYPAVLITSSFNTRFGVWEAAKWVARVRERTIHDPNRPILLNLTTDIVEENRYLLCKESALETAFLIKMMES >Manes.01G239800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40118083:40122173:1 gene:Manes.01G239800.v8.1 transcript:Manes.01G239800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPNVSVHKLQEGDESTGSSPRISSSIKFGTPEALDYVRNLTDVGAMTRLLHECIAYQRALDVDLDNLLAQRTDLDKHLHHLQKSAEVLNIVKADSDHMLSNVRSTCDLADHVSAKVRELDLAQSRVNATLLRIDAIVERGNCIEGVKNALEAEDYEAASKYVQTFLQIDAKYKDSGSDQRDQLLASKKQLEGIVRKRLSAAVDQRDHPTILRFIRLYSPLGLEEEGLQVYVGYLKKVISMRSRLEFEQLVELMEQNHNQDQVNFVGCLTNLFKDIVLAIEENDEILRSLCGEDSIVYAICELQEECDSRGSLILKKYMEYRKLAILSSEINAQNKNLLAVGAPEGPDPREVELYLEEILSLMQLGEDYTEFMVSKIKGLSSVDPELVPRATKSFRSGTFSKVVQDITGFYVILEGFFMVENVRKAIMIDEHVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVIAVLSNASSLLSNEYHEALQQKMREPNLSAKLFLGGVGVQKTGTEIATALNNMDVSSEYVLKLKHEIEEQCAEAFPAPADRERVKSCLSELGDMSNTFKQVLNAGMEQLVVTVTPRIRPVLDSVATVSYELSEAEYADNEVNDPWVQRLLHSVETNVSWLQSLMTANNYDSFIHLVIDFILKRLEVIMMQKRFSQLGGLQLDRDIRALVSYFSGVTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAALKL >Manes.11G088451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12934292:12936690:1 gene:Manes.11G088451.v8.1 transcript:Manes.11G088451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKTPEMSSTKAIISAAASAAATAMLLRSIAKDFIPKELRQYIYFKFITLLNSFSSELTLVIEEYDNLNHNHLFAAAELYLEPIIPPNAQRLKISLPKKENNISVSLQRNEEIFDTFNGIKLKWKFISREIRVKYIPSADHYGSVPVTEERFFELSFHKKHKSVVLDSYIKHVIEKSKEMKEKKRTLKLFTLSQDRMTGRRGETWQSVNLDHPATFDTLAMEMEEKRMIMEDLERFVKRKEFYKKVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDLKTNSELRRLLISTGNKSVLVVEDIDCSIELQNRIAEARALRPQPNRRGYTEEKNQVQVTLSGLLNFVDGLWSSCGDERIIIFTTNHKEKLDPAMLRPGRMDVHIHMSYCSPCGFKTLASNYLGIGDHPLSVEIEELMETTKITPAEVGEQLMKSEEPENALRGLIGFLERKKIEDEEKKKRENDESKAASEESGITEAEVSQKQKMEKGDI >Manes.18G046400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4071118:4072242:-1 gene:Manes.18G046400.v8.1 transcript:Manes.18G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKKSLPIFPEMDPGIWSRLPDELLDHVLAFLPLKTFLNLRSTCKHFRSLIFSPSFMSKHCSSGSPFSSFLLLSHPQFYHQYSLYDSIIGNWRNFTLSLSFSLPSAAAAASSHSCTLLSCSNGLFCFSLPNCCSFLVCNFLAKSSRVIEFPGYPFAFESLTFVSTPFGYKIFVLCSKFSSISSFIYDSSLNSWQTLDNLELILSDNCHQEGVFFSGSLYYTTPEPFSIVCLDLESGKWKRFSNGLPEELTFVRLVSDGEKKLYMIGGVGINGISKMMKLWELGNEGNWVEVESVPEIMCRKFVSVCYHNYEHVYCFWHQGMICVCCYTWPEILYYKVARTTWHWLPKCPSLPDKWSCGFRWFSFVPELYASV >Manes.06G059900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:17677935:17682866:1 gene:Manes.06G059900.v8.1 transcript:Manes.06G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRIDSTKQLATSSLVLGYALCSSLLAVINKLAITKFNYPGLLTALQYLTSALGVWVLGKLGFLHHDAFTYETAKKFLPAAIVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAVADTVFRKQPIPSKLTFLSLFVILGGAVGYVATDSAFTLTAYSWAFAYLVTITSEMVYIKHIVSNVGLNTWGLVLYNNLLSLMIAPVFWILTGEYSEVFASLGSRASWFEFDAVFAVSLSCVFGLAISFFGFAARRAISATAFTVTGVVNKFLTVVINVLIWDKHASPFGLLCLLFTLCGGVLYQRSVTRPGAEPAPKQTNNENDDDEETQLVKKTDGDEEN >Manes.10G041900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4364546:4371892:1 gene:Manes.10G041900.v8.1 transcript:Manes.10G041900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHIDKDVSGSTVLESSKETVSDRVASGDSAETRVVEAVASDDQFQGQGVSKGSSAEGVVTEKGGSCNGADTVAGVMGSDIYVDGVCTRNSGGENLSEEATKSHGQSRELASEGDVKAVGGGCGDSNSKVDEDPSEEASNLGTESEVEKSSVFADSKEGELVEDGARVWEEKLGLEIEVGNSSGVAESKEEGELVENAASVREVDLRSDSETEVGTSSELAESKEEGEMVEGDTRVRGVNLATGCEIKCSGVAESKEEGVLVEGVARVREITGADGCCVADGITLHEIDAGNPQNVGIKSAVEDSSLLEGSSVGETKNVVEKEAVGAGKESLEGGLGKECVEKVEMHFVKDMISQEVRDSENEASNRGVENFEDSSAGLGSSVVEAQDIAAEKSELVEEVMDQAKETHDNEGAVLQNSEPEKVGALDVEEWNPGIRTAGAPSSTIKEGSCLKTHCIEEEAAVMADIGNLDPKVETVLEGTHGTDSVEGVVSSSEKDLVSIEKDAITNPTSKCLDGQTQVAVDGKMSSTDVEAWNPGIKTAGAPSSTIKEGSSLKAQHIEEEAAVTADIGNLDPKVETVLDGTHRTDPVEGVVSSSEKDLEKDAITNPTSKSLDGQTQVAVDGKISSNEEIACPNIEDLQSSQQPTQVVVGGEVAATENKVHKNSENERKLIIEESSDQMMPRDFALAQSTVDPEMGVDEQVTGSEQASMQKDPGKVETANAIVSTEIHSPKGLDLVSSHQSAPALVGDEVVEMNNKIDSDTNFEGQVVMHLDGMLSSSGNEQHVKTEVDSMEIDTHTASTNKDKVHSTANVSDPAEKDPEVKVKEHIDKSEACDSDQSNPNIGQLMDAQEQVTHFEQLGKEETEVVELNSEAGTVCGSRETDTLLINGPDTGLQGPPDGDQTLTVKEDLDASAGQDVSEIGSSAATETVVEEHDACLDQVGLQERQEMEAEGQDTDFEQPNTSEEKFAKQEAPNSGSTVIEYQACYQLPPDDEGEFTVSDLVWGKVRSHPWWPGQIFYPSDASEKAMKYHKKDCFLVAYFGDRTFAWNEASLLKPFRSHFSLVEKQSNSEAFQNAVDCALEEVSRRVEFGLACSCIPKETYDEIKFQIVENTGIREEASVRDGADKSLPADLFEPGKLMEYMKALAQCPAGGADRLELVIARSQLLAFYRLKGYSQLPEFQVCGGLLENAGTLEFADEVIEHTYPVYKDDGQISSDQEFSHALRSSYHKRKHNLKDTVYPRKKERSLSELMGDSWDCVDDEFGPDGKANNKLVSPSSGRKRKVYDSFPDDSATAEGRKTISLANVSTTASKPSFKIGECIRRVASQMTGSTSILKSNNMKQDGSSDRLIGDGSDALFQHSEDAEMSRTIVPTEYSSLDELLSQLHLAAQDPFKGYSFLTIIVSFFSDFRNSVIVEQHEKVGGKRKQASHSIGGLSETFEFEDMSDTYWTDRVIQNGSEEQPRKSRKRDNQLVLANQDKALNMSNSRKRYSDGNHDLSAEKPVGYIDENAPAELVMHFPVVDCVPAETSLNKMFRRFGPLKELETEVDKDTNRARVVFKKCSDAEAAYGSAPKFNIFGSILVNYQLNYTVSVPFKSQPMITLHGEEDATLFLEF >Manes.10G041900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4364546:4371887:1 gene:Manes.10G041900.v8.1 transcript:Manes.10G041900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHIDKDVSGSTVLESSKETVSDRVASGDSAETRVVEAVASDDQFQGQGVSKGSSAEGVVTEKGGSCNGADTVAGVMGSDIYVDGVCTRNSGGENLSEEATKSHGQSRELASEGDVKAVGGGCGDSNSKVDEDPSEEASNLGTESEVEKSSVFADSKEGELVEDGARVWEEKLGLEIEVGNSSGVAESKEEGELVENAASVREVDLRSDSETEVGTSSELAESKEEGEMVEGDTRVRGVNLATGCEIKCSGVAESKEEGVLVEGVARVREITGADGCCVADGITLHEIDAGNPQNVGIKSAVEDSSLLEGSSVGETKNVVEKEAVGAGKESLEGGLGKECVEKVEMHFVKDMISQEVRDSENEASNRGVENFEDSSAGLGSSVVEAQDIAAEKSELVEEVMDQAKETHDNEGAVLQNSEPEKVGALDVEEWNPGIRTAGAPSSTIKEGSCLKTHCIEEEAAVMADIGNLDPKVETVLEGTHGTDSVEGVVSSSEKDLVSIEKDAITNPTSKCLDGQTQVAVDGKMSSTDVEAWNPGIKTAGAPSSTIKEGSSLKAQHIEEEAAVTADIGNLDPKVETVLDGTHRTDPVEGVVSSSEKDLEKDAITNPTSKSLDGQTQVAVDGKISSNEEIACPNIEDLQSSQQPTQVVVGGEVAATENKVHKNSENERKLIIEESSDQMMPRDFALAQSTVDPEMGVDEQVTGSEQASMQKDPGKVETANAIVSTEIHSPKGLDLVSSHQSAPALVGDEVVEMNNKIDSDTNFEGQVVMHLDGMLSSSGNEQHVKTEVDSMEIDTHTASTNKDKVHSTANVSDPAEKDPEVKVKEHIDKSEACDSDQSNPNIGQLMDAQEQVTHFEQLGKEETEVVELNSEAGTVCGSRETDTLLINGPDTGLQGPPDGDQTLTVKEDLDASAGQDVSEIGSSAATETVVEEHDACLDQVGLQERQEMEAEGQDTDFEQPNTSEEKFAKQEAPNSGSTVIEYQACYQLPPDDEGEFTVSDLVWGKVRSHPWWPGQIFYPSDASEKAMKYHKKDCFLVAYFGDRTFAWNEASLLKPFRSHFSLVEKQSNSEAFQNAVDCALEEVSRRVEFGLACSCIPKETYDEIKFQIVENTGIREEASVRDGADKSLPADLFEPGKLMEYMKALAQCPAGGADRLELVIARSQLLAFYRLKGYSQLPEFQVCGGLLENAGTLEFADEVIEHTYPVYKDDGQISSDQEFSHALRSSYHKRKHNLKDTVYPRKKERSLSELMGDSWDCVDDEFGPDGKANNKLVSPSSGRKRKVYDSFPDDSATAEGRKTISLANVSTTASKPSFKIGECIRRVASQMTGSTSILKSNNMKQDGSSDRLIGDGSDALFQHSEDAEMSRTIVPTEYSSLDELLSQLHLAAQDPFKGYSFLTIIVSFFSDFRNSVIVEQHEKVGGKRKQASHSIGGLSETFEFEDMSDTYWTDRVIQNGSEEQPRKSRKRDNQLVLANQDKALNMSNSRKRYSDGNHDLSAEKPVGYIDENAPAELVMHFPVVDCVPAETSLNKMFRRFGPLKELETEVDKDTNRARVVFKKCSDAEAAYGSAPKFNIFGSILVNYQLNYTVSVPFKSQPMITLHGEEDATLFLEF >Manes.10G041900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4364546:4371887:1 gene:Manes.10G041900.v8.1 transcript:Manes.10G041900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHIDKDVSGSTVLESSKETVSDRVASGDSAETRVVEAVASDDQFQGQGVSKGSSAEGVVTEKGGSCNGADTVAGVMGSDIYVDGVCTRNSGGENLSEEATKSHGQSRELASEGDVKAVGGGCGDSNSKVDEDPSEEASNLGTESEVEKSSVFADSKEGELVEDGARVWEEKLGLEIEVGNSSGVAESKEEGELVENAASVREVDLRSDSETEVGTSSELAESKEEGEMVEGDTRVRGVNLATGCEIKCSGVAESKEEGVLVEGVARVREITGADGCCVADGITLHEIDAGNPQNVGIKSAVEDSSLLEGSSVGETKNVVEKEAVGAGKESLEGGLGKECVEKVEMHFVKDMISQEVRDSENEASNRGVENFEDSSAGLGSSVVEAQDIAAEKSELVEEVMDQAKETHDNEGAVLQNSEPEKVGALDVEEWNPGIRTAGAPSSTIKEGSCLKTHCIEEEAAVMADIGNLDPKVETVLEGTHGTDSVEGVVSSSEKDLVSIEKDAITNPTSKCLDGQTQVAVDGKMSSTDVEAWNPGIKTAGAPSSTIKEGSSLKAQHIEEEAAVTADIGNLDPKVETVLDGTHRTDPVEGVVSSSEKDLEKDAITNPTSKSLDGQTQVAVDGKISSNEEIACPNIEDLQSSQQPTQVVVGGEVAATENKVHKNSENERKLIIEESSDQMMPRDFALAQSTVDPEMGVDEQVTGSEQASMQKDPGKVETANAIVSTEIHSPKGLDLVSSHQSAPALVGDEVVEMNNKIDSDTNFEGQVVMHLDGMLSSSGNEQHVKTEVDSMEIDTHTASTNKDKVHSTANVSDPAEKDPEVKVKEHIDKSEACDSDQSNPNIGQLMDAQEQVTHFEQLGKEETEVVELNSEAGTVCGSRETDTLLINGPDTGLQGPPDGDQTLTVKEDLDASAGQDVSEIGSSAATETVVEEHDACLDQVGLQERQEMEAEGQDTDFEQPNTSEEKFAKQEAPNSGSTVIEYQACYQLPPDDEGEFTVSDLVWGKVRSHPWWPGQIFYPSDASEKAMKYHKKDCFLVAYFGDRTFAWNEASLLKPFRSHFSLVEKQSNSEAFQNAVDCALEEVSRRVEFGLACSCIPKETYDEIKFQIVENTGIREEASVRDGADKSLPADLFEPGKLMEYMKALAQCPAGGADRLELVIARSQLLAFYRLKGYSQLPEFQVCGGLLENAGTLEFADEVIEHTYPVYKDDGQISSDQEFSHALRSSYHKRKHNLKDTVYPRKKERSLSELMGDSWDCVDDEFGPDGKANNKLVSPSSGRKRKVYDSFPDDSATAEGRKTISLANVSTTASKPSFKIGECIRRVASQMTGSTSILKSNNMKQDGSSDRLIGDGSDALFQHSEDAEMSRTIVPTEYSSLDELLSQLHLAAQDPFKGYSFLTIIVSFFSDFRNSVIVEQHEKVGGKRKQASHSIGGLSETFEFEDMSDTYWTDRVIQNGSEEQPRKSRKRDNQLVLANQDKALNMSNSRKRYSDGNHDLSAEKPVGYIDENAPAELVMHFPVVDCVPAETSLNKMFRRFGPLKELETEVDKDTNRARVVFKKCSDAEAAYGSAPKFNIFGSILVNYQLNYTVSVPFKSQPMITLHGEEDATLFLEF >Manes.10G041900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4364546:4371892:1 gene:Manes.10G041900.v8.1 transcript:Manes.10G041900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHIDKDVSGSTVLESSKETVSDRVASGDSAETRVVEAVASDDQFQGQGVSKGSSAEGVVTEKGGSCNGADTVAGVMGSDIYVDGVCTRNSGGENLSEEATKSHGQSRELASEGDVKAVGGGCGDSNSKVDEDPSEEASNLGTESEVEKSSVFADSKEGELVEDGARVWEEKLGLEIEVGNSSGVAESKEEGELVENAASVREVDLRSDSETEVGTSSELAESKEEGEMVEGDTRVRGVNLATGCEIKCSGVAESKEEGVLVEGVARVREITGADGCCVADGITLHEIDAGNPQNVGIKSAVEDSSLLEGSSVGETKNVVEKEAVGAGKESLEGGLGKECVEKVEMHFVKDMISQEVRDSENEASNRGVENFEDSSAGLGSSVVEAQDIAAEKSELVEEVMDQAKETHDNEGAVLQNSEPEKVGALDVEEWNPGIRTAGAPSSTIKEGSCLKTHCIEEEAAVMADIGNLDPKVETVLEGTHGTDSVEGVVSSSEKDLVSIEKDAITNPTSKCLDGQTQVAVDGKMSSTDVEAWNPGIKTAGAPSSTIKEGSSLKAQHIEEEAAVTADIGNLDPKVETVLDGTHRTDPVEGVVSSSEKDLEKDAITNPTSKSLDGQTQVAVDGKISSNEEIACPNIEDLQSSQQPTQVVVGGEVAATENKVHKNSENERKLIIEESSDQMMPRDFALAQSTVDPEMGVDEQVTGSEQASMQKDPGKVETANAIVSTEIHSPKGLDLVSSHQSAPALVGDEVVEMNNKIDSDTNFEGQVVMHLDGMLSSSGNEQHVKTEVDSMEIDTHTASTNKDKVHSTANVSDPAEKDPEVKVKEHIDKSEACDSDQSNPNIGQLMDAQEQVTHFEQLGKEETEVVELNSEAGTVCGSRETDTLLINGPDTGLQGPPDGDQTLTVKEDLDASAGQDVSEIGSSAATETVVEEHDACLDQVGLQERQEMEAEGQDTDFEQPNTSEEKFAKQEAPNSGSTVIEYQACYQLPPDDEGEFTVSDLVWGKVRSHPWWPGQIFYPSDASEKAMKYHKKDCFLVAYFGDRTFAWNEASLLKPFRSHFSLVEKQSNSEAFQNAVDCALEEVSRRVEFGLACSCIPKETYDEIKFQIVENTGIREEASVRDGADKSLPADLFEPGKLMEYMKALAQCPAGGADRLELVIARSQLLAFYRLKGYSQLPEFQVCGGLLENAGTLEFADEVIEHTYPVYKDDGQISSDQEFSHALRSSYHKRKHNLKDTVYPRKKERSLSELMGDSWDCVDDEFGPDGKANNKLVSPSSGRKRKVYDSFPDDSATAEGRKTISLANVSTTASKPSFKIGECIRRVASQMTGSTSILKSNNMKQDGSSDRLIGDGSDALFQHSEDAEMSRTIVPTEYSSLDELLSQLHLAAQDPFKGYSFLTIIVSFFSDFRNSVIVEQHEKVGGKRKQASHSIGGLSETFEFEDMSDTYWTDRVIQNGSEEQPRKSRKRDNQLVLANQDKALNMSNSRKRYSDGNHDLSAEKPVGYIDENAPAELVMHFPVVDCVPAETSLNKMFRRFGPLKELETEVDKDTNRARVVFKKCSDAEAAYGSAPKFNIFGSILVNYQLNYTVSVPFKSQPMITLHGEEDATLFLEF >Manes.01G107300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30630440:30635802:1 gene:Manes.01G107300.v8.1 transcript:Manes.01G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRDDGRTPNQLRPLACSRNILHRAHGSASWSQGDTKVLAAVYGPKAGTKKNENPEKACIEVIWKPKTGQIGKLEKEYEMILKRTLQSISILTINRNTTTSVIIQVVHDDGSLLTCAINAACAALVDAGIPMKHLAVAICCCLTEGGYVILDPTKLEEQKMKGFAYLVFPNSIHSVLPEGSLLVEGEAVEHGIITSVTHGLMSVEEYLNCLERGRAASAKLSDFLRKSIKLQLPTDSFKS >Manes.05G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26803679:26811346:1 gene:Manes.05G155900.v8.1 transcript:Manes.05G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDDRKTRIGNLKRKAMKASKKIRRSLHKRKSKCSEEISAAIEDVRDVEELQAVDAFRQALYADDLLPARHDDYHMLLRFLKARKFDIEKAKQMWANMIQWRKDFGTDTIMEDFEFNELNEVLKYYPQCYHGVDKEGRPVYIERLGKVDPSKLMQVTTMERYLKYHVQEFEKSFAIKFPACSIAAKRHIDSSTTILDVQGVGLKNFTKSARELVIQLQKIDGDNYPETLCRMFIINAGPGFKLLWNTVKSFLDSQTASKIHVLGNKYQNKLLEIIDARELPEFLGGSCSCADQGSCMRSDKGPWKDPNILKMVENGEALYSREIVTISNSEGRVIASDKQRYSMIKTSDTSTAESGSEVEDVASPKPNRSYLLPSLAPVCEEAKVIGKASAAGGFSEYDEYVPMIDKTVDDGWKKQVSFKEPRTSKGTLPLPIVEKTPEGFFACIWALLMAFFLTLLSVIHSLPIWVTKKNPVPNSVLDATDPTLEPVLKEEFRPPSPAPRFTQVDLLSSVLKRLGELEEKVDMLQVKPFRMPYEKEELLNAAVCRVDALEAELISTKKALHEALIRQEELLAYIDRQEEDRYRKKKFCW >Manes.05G155900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26803679:26811346:1 gene:Manes.05G155900.v8.1 transcript:Manes.05G155900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDDRKTRIGNLKRKAMKASKKIRRSLHKRKSKCSEEISAAIEDVRDVEELQAVDAFRQALYADDLLPARHDDYHMLLRFLKARKFDIEKAKQMWANMIQWRKDFGTDTIMEDFEFNELNEVLKYYPQCYHGVDKEGRPVYIERLGKVDPSKLMQVTTMERYLKYHVQEFEKSFAIKFPACSIAAKRHIDSSTTILDVQGVGLKNFTKSARELVIQLQKIDGDNYPETLCRMFIINAGPGFKLLWNTVKSFLDSQTASKIHVLGNKYQNKLLEIIDARELPEFLGGSCSCADQGSCMRSDKGPWKDPNILKMVENGEALYSREIVTISNSEGRVIASDKQRYSMIKTSDTSTAESGSEVEDVASPKPNRSYLLPSLAPVCEEAKVIGKASAAGGFSEYDEYVPMIDKTVDDGWKKQVSFKEPRTLPLPIVEKTPEGFFACIWALLMAFFLTLLSVIHSLPIWVTKKNPVPNSVLDATDPTLEPVLKEEFRPPSPAPRFTQVDLLSSVLKRLGELEEKVDMLQVKPFRMPYEKEELLNAAVCRVDALEAELISTKKALHEALIRQEELLAYIDRQEEDRYRKKKFCW >Manes.13G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4212320:4213997:1 gene:Manes.13G034300.v8.1 transcript:Manes.13G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIKLVLASFVSLLAFAAGRKAQNQQFQGRQCQLRRITTTQPSHRIQSEGGTTEVWDPMEDQFQCAGVAAMRDTIEPNSLSLPQYFPAPLMIYIVKGRGILGVNIPGCPETYHPEQQSPSGRRMGTGGREDRHQKLHRVVTGDVIAIPHGSAHWCYNDGNEDLVAVYVVDLNNNNNQLDQNLRGFMLAGGQSRQGRERGSRRSHSVEDTFQNVFSAMDEQLLAESFNVPTELARKVQQVNGRGTIVKCDPQMRILSPSDEEGEEYRHGMNMEEENGIEETMCTMHIKHNTDFRREADLHTTQAGRINLVAAEKLPILQLLEMSAERGHLMPNAMHSPHWSMTDQRVVYGLQGEVHIQVVDETGNTVMDEMVREGDLFVIPQFYACVARAGNNGFEYVAFKTSGEPMKSPMAGYTSVMRAMPFDVVANSYSDMSPEEALQVKMSRDPESMLFSPTRRSID >Manes.11G148000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31282826:31289363:-1 gene:Manes.11G148000.v8.1 transcript:Manes.11G148000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFRPGESHVAQQSRRDKLRVQASSTSVHQLDDFHNNLEQLLVHSGLNPELVQVRNVRKANVLYDPTTTTVFSSEMLNFASTSNNVLLSQGDAAMIDHELDAVQSSRLIPEISSYSNTSHPISSNFNASPRASPSDPQGYTNWRSNDSQQSYDCMVNYASGSVGRKNNQKPIFVGDVLSNNARVTNSSTPTQYLKPNYNGYQNVQSSLANPSSEIPVHDSQKHHTEIQFSSNMHPLYQNTLVDVVTSAASIGGNERILLPAYGNQSTPFYFDNANSSMNRPVDNSHLWSSELGFIARKNDQELRTLASDPNTQVLSLSLSSNPPSRGNLTQFGEGYESANLQSKSSVLEERHQDSKLVKSNYLCPMSKPVAINSKGSGKSINDLAGAPNYNVLRNAGPLGPFTGYATILTSSRFLKPAQQLLDEFCSATGSKFMKACEGSGGMSEADAETGTKGNNNSSSVSSMTFYGSNEASGDVAVASNSCESYRPEYQQKKAKLLYLQEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVSLALKTVSKNFRFLKHAISDQLKHVIKALGEDLLSPNTNASSSKGDTSTSRLRCMDPSFQRNKSSGANVGFFEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLAAQTGLSRNQCRSQIGL >Manes.11G148000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31282826:31289371:-1 gene:Manes.11G148000.v8.1 transcript:Manes.11G148000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFRPGESHVAQQSRRDKLRVQASSTSVHQLDDFHNNLEQLLVHSGLNPELVQVRNVRKANVLYDPTTTTVFSSEMLNFASTSNNVLLSQGDAAMIDHELDAVQSSRLIPEISSYSNTSHPISSNFNASPRASPSDPQGYTNWRSNDSQQSYDCMVNYASGSVGRKNNQKPIFVGDVLSNNARVTNSSTPTQYLKPNYNGYQNVQSSLANPSSEIPVHDSQKHHTEIQFSSNMHPLYQNTLVDVVTSAASIGGNERILLPAYGNQSTPFYFDNANSSMNRPVDNSHLWSSELGFIARKNDQELRTLASDPNTQVLSLSLSSNPPSRGNLTQFGEGYESANLQSKSSVLEERHQDSKLVKSNYLCPMSKPVAINSKGSGKSINDLAGAPNYNVLRNAGPLGPFTGYATILTSSRFLKPAQQLLDEFCSATGSKFMKACEGSGGMSEADAETGTKGNNNSSSVSSMTFYGSNEASGDVAVASNSCESYRPEYQQKKAKLLYLQEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVSLALKTVSKNFRFLKHAISDQLKHVIKALGEDLLSPNTNASSSKGDTSTSRLRCMDPSFQRNKSSGANVGFFEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLAAQTGLSRNQVSNWFINARVRLWKPMVEEMHMLETKGLAENQTYMNNLEGKRAEGTSQPYEQPSNNTGASYMLNKQIECSGTVSSDGSGEKLEAEQWSREKRSRIEFQNPTRMDGAVMDFLPYQRSGIEVGGLGAVSLTLGLRHGVENAHQQQQQQQQQQQQQRQLQLQQHEDQLRRQFGGQMIHDFVGCS >Manes.11G148000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31282826:31289363:-1 gene:Manes.11G148000.v8.1 transcript:Manes.11G148000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFRPGESHVAQQSRRDKLRVQASSTSVHQLDDFHNNLEQLLVHSGLNPELVQVRNVRKANVLYDPTTTTVFSSEMLNFASTSNNVLLSQGDAAMIDHELDAVQSSRLIPEISSYSNTSHPISSNFNASPRASPSDPQGYTNWRSNDSQQSYDCMVNYASGSVGRKNNQKPIFVGDVLSNNARVTNSSTPTQYLKPNYNGYQNVQSSLANPSSEIPVHDSQKHHTEIQFSSNMHPLYQNTLVDVVTSAASIGGNERILLPAYGNQSTPFYFDNANSSMNRPVDNSHLWSSELGFIARKNDQELRTLASDPNTQVLSLSLSSNPPSRGNLTQFGEGYESANLQSKSSVLEERHQDSKLVKSNYLCPMSKPVAINSKGSGKSINDLAGAPNYNVLRNAGPLGPFTGYATILTSSRFLKPAQQLLDEFCSATGSKFMKACEGSGGMSEADAETGTKGNNNSSSVSSMTFYGSNEASGDVAVASNSCESYRPEYQQKKAKLLYLQEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVSLALKTVSKNFRFLKHAISDQLKHVIKALGEDLLSPNTNASSSKGDTSTSRLRCMDPSFQRNKSSGANVGFFEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLAAQTGLSRNQVSNWFINARVRLWKPMVEEMHMLETKGLAENQTYMNNLEGKRAEGTSQPYEQPSNNTGASYMLNKQIECSGTVSSDGSGEKLEAEQWSREKRSRIEFQNPTRMDGAVMDFLPYQRSGIEVGGLGAVSLTLGLRHGVENAHQQQQQQQQQQQQQRQLQLQQHEDQLRRQFGGQMIHDFVGCS >Manes.11G148000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31282826:31289363:-1 gene:Manes.11G148000.v8.1 transcript:Manes.11G148000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFRPGESHVAQQSRRDKLRVQASSTSVHQLDDFHNNLEQLLVHSGLNPELVQVRNVRKANVLYDPTTTTVFSSEMLNFASTSNNVLLSQGDAAMIDHELDAVQSSRLIPEISSYSNTSHPISSNFNASPRASPSDPQGYTNWRSNDSQQSYDCMVNYASGSVGRKNNQKPIFVGDVLSNNARVTNSSTPTQYLKPNYNGYQNVQSSLANPSSEIPVHDSQKHHTEIQFSSNMHPLYQNTLVDVVTSAASIGGNERILLPAYGNQSTPFYFDNANSSMNRPVDNSHLWSSELGFIARKNDQELRTLASDPNTQVLSLSLSSNPPSRGNLTQFGEGYESANLQSKSSVLEERHQDSKLVKSNYLCPMSKPVAINSKGSGKSINDLAGAPNYNVLRNAGPLGPFTGYATILTSSRFLKPAQQLLDEFCSATGSKFMKACEGSGGMSEADAETGTKGNNNSSSVSSMTFYGSNEASGDVAVASNSCESYRPEYQQKKAKLLYLQEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVSLALKTVSKNFRFLKHAISDQLKHVIKALGEDLLSPNTNASSSKGDTSTSRLRCMDPSFQRNKSSGANVGFFEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLAAQTGLSRNQCRSQIGL >Manes.11G148000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31282826:31289363:-1 gene:Manes.11G148000.v8.1 transcript:Manes.11G148000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFRPGESHVAQQSRRDKLRVQASSTSVHQLDDFHNNLEQLLVHSGLNPELVQVRNVRKANVLYDPTTTTVFSSEMLNFASTSNNVLLSQGDAAMIDHELDAVQSSRLIPEISSYSNTSHPISSNFNASPRASPSDPQGYTNWRSNDSQQSYDCMVNYASGSVGRKNNQKPIFVGDVLSNNARVTNSSTPTQYLKPNYNGYQNVQSSLANPSSEIPVHDSQKHHTEIQFSSNMHPLYQNTLVDVVTSAASIGGNERILLPAYGNQSTPFYFDNANSSMNRPVDNSHLWSSELGFIARKNDQELRTLASDPNTQVLSLSLSSNPPSRGNLTQFGEGYESANLQSKSSVLEERHQDSKLVKSNYLCPMSKPVAINSKGSGKSINDLAGAPNYNVLRNAGPLGPFTGYATILTSSRFLKPAQQLLDEFCSATGSKFMKACEGSGGMSEADAETGTKGNNNSSSVSSMTFYGSNEASGDVAVASNSCESYRPEYQQKKAKLLYLQEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVSLALKTVSKNFRFLKHAISDQLKHVIKALGEDLLSPNTNASSSKGDTSTSRLRCMDPSFQRNKSSGANVGFFEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLAAQTGLSRNQVSNWFINARVRLWKPMVEEMHMLETKGLAENQTYMNNLEGKRAEGTSQPYEQPSNNTGASYMLNKQIECSGTVSSDGSGEKLEAEQWSREKRSRIEFQNPTRMDGAVMDFLPYQRSGIEVGGLGAVSLTLGLRHGVENAHQQQQQQQQQQQQQRQLQLQQHEDQLRRQFGGQMIHDFVGCS >Manes.11G148000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31282826:31289363:-1 gene:Manes.11G148000.v8.1 transcript:Manes.11G148000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFRPGESHVAQQSRRDKLRVQASSTSVHQLDDFHNNLEQLLVHSGLNPELVQVRNVRKANVLYDPTTTTVFSSEMLNFASTSNNVLLSQGDAAMIDHELDAVQSSRLIPEISSYSNTSHPISSNFNASPRASPSDPQGYTNWRSNDSQQSYDCMVNYASGSVGRKNNQKPIFVGDVLSNNARVTNSSTPTQYLKPNYNGYQNVQSSLANPSSEIPVHDSQKHHTEIQFSSNMHPLYQNTLVDVVTSAASIGGNERILLPAYGNQSTPFYFDNANSSMNRPVDNSHLWSSELGFIARKNDQELRTLASDPNTQVLSLSLSSNPPSRGNLTQFGEGYESANLQSKSSVLEERHQDSKLVKSNYLCPMSKPVAINSKGSGKSINDLAGAPNYNVLRNAGPLGPFTGYATILTSSRFLKPAQQLLDEFCSATGSKFMKACEGSGGMSEADAETGTKGNNNSSSVSSMTFYGSNEASGDVAVASNSCESYRPEYQQKKAKLLYLQEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVSLALKTVSKNFRFLKHAISDQLKHVIKALGEDLLSPNTNASSSKGDTSTSRLRCMDPSFQRNKSSGANVGFFEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLAAQTGLSRNQVSNWFINARVRLWKPMVEEMHMLETKGLAENQTYMNNLEGKRAEGTSQPYEQPSNNTGASYMLNKQIECSGTVSSDGSGEKLEAEQWSREKRSRIEFQNPTRMDGAVMDFLPYQRSGIEVGGLGAVSLTLGLRHGVENAHQQQQQQQQQQQQQRQLQLQQHEDQLRRQFGGQMIHDFVGCS >Manes.11G148000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31282826:31289458:-1 gene:Manes.11G148000.v8.1 transcript:Manes.11G148000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFRPGESHVAQQSRRDKLRVQASSTSVHQLDDFHNNLEQLLVHSGLNPELVQVRNVRKANVLYDPTTTTVFSSEMLNFASTSNNVLLSQGDAAMIDHELDAVQSSRLIPEISSYSNTSHPISSNFNASPRASPSDPQGYTNWRSNDSQQSYDCMVNYASGSVGRKNNQKPIFVGDVLSNNARVTNSSTPTQYLKPNYNGYQNVQSSLANPSSEIPVHDSQKHHTEIQFSSNMHPLYQNTLVDVVTSAASIGGNERILLPAYGNQSTPFYFDNANSSMNRPVDNSHLWSSELGFIARKNDQELRTLASDPNTQVLSLSLSSNPPSRGNLTQFGEGYESANLQSKSSVLEERHQDSKLVKSNYLCPMSKPVAINSKGSGKSINDLAGAPNYNVLRNAGPLGPFTGYATILTSSRFLKPAQQLLDEFCSATGSKFMKACEGSGGMSEADAETGTKGNNNSSSVSSMTFYGSNEASGDVAVASNSCESYRPEYQQKKAKLLYLQEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVSLALKTVSKNFRFLKHAISDQLKHVIKALGEDLLSPNTNASSSKGDTSTSRLRCMDPSFQRNKSSGANVGFFEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLAAQTGLSRNQVSNWFINARVRLWKPMVEEMHMLETKGLAENQTYMNNLEGKRAEGTSQPYEQPSNNTGASYMLNKQIECSGTVSSDGSGEKLEAEQWSREKRSRIEFQNPTRMDGAVMDFLPYQRSGIEVGGLGAVSLTLGLRHGVENAHQQQQQQQQQQQQQRQLQLQQHEDQLRRQFGGQMIHDFVGCS >Manes.11G148000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31282826:31289381:-1 gene:Manes.11G148000.v8.1 transcript:Manes.11G148000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSFRPGESHVAQQSRRDKLRVQASSTSVHQLDDFHNNLEQLLVHSGLNPELVQVRNVRKANVLYDPTTTTVFSSEMLNFASTSNNVLLSQGDAAMIDHELDAVQSSRLIPEISSYSNTSHPISSNFNASPRASPSDPQGYTNWRSNDSQQSYDCMVNYASGSVGRKNNQKPIFVGDVLSNNARVTNSSTPTQYLKPNYNGYQNVQSSLANPSSEIPVHDSQKHHTEIQFSSNMHPLYQNTLVDVVTSAASIGGNERILLPAYGNQSTPFYFDNANSSMNRPVDNSHLWSSELGFIARKNDQELRTLASDPNTQVLSLSLSSNPPSRGNLTQFGEGYESANLQSKSSVLEERHQDSKLVKSNYLCPMSKPVAINSKGSGKSINDLAGAPNYNVLRNAGPLGPFTGYATILTSSRFLKPAQQLLDEFCSATGSKFMKACEGSGGMSEADAETGTKGNNNSSSVSSMTFYGSNEASGDVAVASNSCESYRPEYQQKKAKLLYLQEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVSLALKTVSKNFRFLKHAISDQLKHVIKALGEDLLSPNTNASSSKGDTSTSRLRCMDPSFQRNKSSGANVGFFEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLAAQTGLSRNQVSNWFINARVRLWKPMVEEMHMLETKGLAENQTYMNNLEGKRAEGTSQPYEQPSNNTGASYMLNKQIECSGTVSSDGSGEKLEAEQWSREKRSRIEFQNPTRMDGAVMDFLPYQRSGIEVGGLGAVSLTLGLRHGVENAHQQQQQQQQQQQQQRQLQLQQHEDQLRRQFGGQMIHDFVGCS >Manes.05G051900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4320346:4332713:1 gene:Manes.05G051900.v8.1 transcript:Manes.05G051900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFGSISPTGAMAKKQPSSLFSEDKKLDATVLQFQNQKLVQKIEARKIEYSSLENRFSQLKEKQQPYDSTLKSVHKSWELLVTDLEACSTCIRESSIGQQSGRLSITEDGVSSSFEEAFLSRLVETGATETSSANDCLNSMKEDEENASEKIKNILFNIVAAINGIWQQKDGLHANKISEHGRHKESCELVAEVKNLRLALSELHLKHKSVARELQSCRDIDAKSKAELKQLKGELDSAVSELEESNLKLATLKAEEDATKGTFFPVLNLGSKPVPGDKARDKQKNMKEMESALKELLDEASSRLLKLKCLHDDRIKILQQLSNLQDSLKNVKCISSSQGYILVRDQLEKSKSEVLQYQALYEKLQVERDNLFWREEELNMKNDLVDVFQRSSAVVDSRIAYLETEIQRQIKERIMIETKLEEASGELGRKEIIAEFKALVSSFPEEMGNMQRQLSNYKEAASNIHSLRADVQSLSTVLDRKVKECEHLSYRSKNKVAEIQKLQSVVQDLKQSDLELKLILEMYRCESGDSRDVVEARELEYRAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATAEAEIADLRQQLEASKRDMSRISDVLKSKNEENEAYLSEIETIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLEGVRARQLRDSLLMDKRTMEREIQQANLSLDFYNVKAARIEDHLKVCFDQVHKLKEEKFQNSSTLENTQKRLLDVRKSSNQARDTLEDSQSKVGRSRAALLELQIELERERFDKRRIEEDLEVSRRKVSCLQAQIDSSSILEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNTCVQRIVGSRHRKCPACATSFGSNDVKPVYI >Manes.05G051900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4320346:4332649:1 gene:Manes.05G051900.v8.1 transcript:Manes.05G051900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFGSISPTGAMAKKQPSSLFSEDKKLDATVLQFQNQKLVQKIEARKIEYSSLENRFSQLKEKQQPYDSTLKSVHKSWELLVTDLEACSTCIRESSIGQQSGRLSITEDGVSSSFEEAFLSRLVETGATETSSANDCLNSMKEDEENASEKIKNILFNIVAAINGIWQQKDGLHANKISEHGAGRHKESCELVAEVKNLRLALSELHLKHKSVARELQSCRDIDAKSKAELKQLKGELDSAVSELEESNLKLATLKAEEDATKGTFFPVLNLGSKPVPGDKARDKQKNMKEMESALKELLDEASSRLLKLKCLHDDRIKILQQLSNLQDSLKNVKCISSSQGYILVRDQLEKSKSEVLQYQALYEKLQVERDNLFWREEELNMKNDLVDVFQRSSAVVDSRIAYLETEIQRQIKERIMIETKLEEASGELGRKEIIAEFKALVSSFPEEMGNMQRQLSNYKEAASNIHSLRADVQSLSTVLDRKQVKECEHLSYRSKNKVAEIQKLQSVVQDLKQSDLELKLILEMYRCESGDSRDVVEARELEYRAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATAEAEIADLRQQLEASKRDMSRISDVLKSKNEENEAYLSEIETIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLEGVRARQLRDSLLMDKRTMEREIQQANLSLDFYNVKAARIEDHLKVCFDQVHKLKEEKFQNSSTLENTQKRLLDVRKSSNQARDTLEDSQSKVGRSRAALLELQIELERERFDKRRIEEDLEVSRRKVSCLQAQIDSSSILEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNTCVQRIVGSRHRKCPACATSFGSNDVKPVYI >Manes.05G051900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4320286:4332712:1 gene:Manes.05G051900.v8.1 transcript:Manes.05G051900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFGSISPTGAMAKKQPSSLFSEDKKLDATVLQFQNQKLVQKIEARKIEYSSLENRFSQLKEKQQPYDSTLKSVHKSWELLVTDLEACSTCIRESSIGQQSGRLSITEDGVSSSFEEAFLSRLVETGATETSSANDCLNSMKEDEENASEKIKNILFNIVAAINGIWQQKDGLHANKISEHGAGRHKESCELVAEVKNLRLALSELHLKHKSVARELQSCRDIDAKSKAELKQLKGELDSAVSELEESNLKLATLKAEEDATKGTFFPVLNLGSKPVPGDKARDKQKNMKEMESALKELLDEASSRLLKLKCLHDDRIKILQQLSNLQDSLKNVKCISSSQGYILVRDQLEKSKSEVLQYQALYEKLQVERDNLFWREEELNMKNDLVDVFQRSSAVVDSRIAYLETEIQRQIKERIMIETKLEEASGELGRKEIIAEFKALVSSFPEEMGNMQRQLSNYKEAASNIHSLRADVQSLSTVLDRKVKECEHLSYRSKNKVAEIQKLQSVVQDLKQSDLELKLILEMYRCESGDSRDVVEARELEYRAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATAEAEIADLRQQLEASKRDMSRISDVLKSKNEENEAYLSEIETIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLEGVRARQLRDSLLMDKRTMEREIQQANLSLDFYNVKAARIEDHLKVCFDQVHKLKEEKFQNSSTLENTQKRLLDVRKSSNQARDTLEDSQSKVGRSRAALLELQIELERERFDKRRIEEDLEVSRRKVSCLQAQIDSSSILEKLQQELKEYREIVKCSICLERPKEVVITKCYHLFCNTCVQRIVGSRHRKCPACATSFGSNDVKPVYI >Manes.05G051900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4320346:4330779:1 gene:Manes.05G051900.v8.1 transcript:Manes.05G051900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGEPDRKRRHFGSISPTGAMAKKQPSSLFSEDKKLDATVLQFQNQKLVQKIEARKIEYSSLENRFSQLKEKQQPYDSTLKSVHKSWELLVTDLEACSTCIRESSIGQQSGRLSITEDGVSSSFEEAFLSRLVETGATETSSANDCLNSMKEDEENASEKIKNILFNIVAAINGIWQQKDGLHANKISEHGAGRHKESCELVAEVKNLRLALSELHLKHKSVARELQSCRDIDAKSKAELKQLKGELDSAVSELEESNLKLATLKAEEDATKGTFFPVLNLGSKPVPGDKARDKQKNMKEMESALKELLDEASSRLLKLKCLHDDRIKILQQLSNLQDSLKNVKCISSSQGYILVRDQLEKSKSEVLQYQALYEKLQVERDNLFWREEELNMKNDLVDVFQRSSAVVDSRIAYLETEIQRQIKERIMIETKLEEASGELGRKEIIAEFKALVSSFPEEMGNMQRQLSNYKEAASNIHSLRADVQSLSTVLDRKVKECEHLSYRSKNKVAEIQKLQSVVQDLKQSDLELKLILEMYRCESGDSRDVVEARELEYRAWAQVQSLKSSLDEQNLELRVKTANEAEAISQQRLATAEAEIADLRQQLEASKRDMSRISDVLKSKNEENEAYLSEIETIGQAYDDMQTQNQHLLQQVTERDDYNIKLVLEGVRARQLRDSLLMDKRTMEREIQQANLSLDFYNVKAARIEDHLKVCFDQVHKLKEEKFQNSSTLENTQKRLLDVRKSSNQARDTLEDSQSKVGRSRAALLELQIELERERFDKRRIEEDLEVSRRKVSCLQAQIDSSSILEKLQQELKEYREIVKCSICLERPKEVQLPCLFYGSGVTCLLERVTQFTKHSTFVDSGEAISMA >Manes.16G048800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14842125:14844991:-1 gene:Manes.16G048800.v8.1 transcript:Manes.16G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSLFVFVLCQLKLLMVVSGSIPLVQKPFTAILPKPHISPNTLPFKDVLSSVYYLNKCPQAEGIIQQKVKAWFHKNYTLAASLIRLHFHDCAVRGCDASILLNHKGSERRALASKTLRGFQVIDDIKAEVEKSCPKTVSCADILTAAARDATVLLGGPFWEVPFGRKDGKISISKEADMVPQGHENVTQLIDFFQARGLSILDLVVLSGSHTIGRSTCYSILHRLAKFDPTLDRKYLKNLTRSCKWSNDFVHLDVTTPTAFDIEYYKNLGKKMGLLSTDQALFLDPRTSPFVSALATQPDLFFNQFAVSMVNLGNILIPAHLNQKEVRLNCNYVNP >Manes.08G153900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38919857:38926120:1 gene:Manes.08G153900.v8.1 transcript:Manes.08G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSSLPLLFSLSLFFLILPRPIHAYTLKGVDRGNPVIDVIPSFPSGRLPSPSSNDALYCERVKVSGLSRLEVRSYSSSFLVSLSPSAAIPERLHSKIQVCFHRNASLGLCHCQKEEWKTVQKGLWISIMSPYEEKYVDVKFISEISGSVSITVEEDFQQWRLLCLAVGFLLLLLAPIVSSWVPFYYSTSMAVGVFLVIIILLFQGMKLLPTGRKNFFYLSIYGSVLGAGTFVLHQISMLVNSVLINFGLSEEMHNPVFVFVLVGIVLAGAALGYWIVRKFVISKDGSVDVGVAQFVKWAMRIVATTFILQSSLDTPLAMVSLVSLYTICFLINALKWRHPLHQSYSRGGQVIAKHNRAEFLSRSAKMSPGGKMWSSPKSSAAWSNSPVKGLLSSSTGSGTRDEQVYFSTFHKTPQRKKFTKKEWEDFTRESTQKAVAEWASSPEVASWIIENADRIQLLPSDCSSEGTVGSESDSTNETDGGSSKRFNLFNW >Manes.08G153900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38919857:38926120:1 gene:Manes.08G153900.v8.1 transcript:Manes.08G153900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSSLPLLFSLSLFFLILPRPIHAYTLKGVDRGNPVIDVIPSFPSGRLPSPSSNDALYCERVKVSGLSRLEVRSYSSSFLVSLSPSAAIPERLHSKIQVCFHRNASLGLCHCQKEEWKTVQKGLWISIMSPYEEKYVDVKFISEISGSVSITVEEDFQQWRLLCLAVGFLLLLLAPIVSSWVPFYYSTSMAVGVFLVIIILLFQGMKLLPTGRKNFFYLSIYGSVLGAGTFVLHQISMLVNSVLINFGLSEEMHNPVFVFVLVGIVLAGAALGYWIVRKFVISKDGSVDVGVAQFVKWAMRIVATTFILQSSLDTPLAMVSLVSLYTICFLINALKWRHPLHQSYSRGGQVIAKHNRAEFLSRSAKMSPGGKMWSSPKSSAAWSNSPVKGTRDEQVYFSTFHKTPQRKKFTKKEWEDFTRESTQKAVAEWASSPEVASWIIENADRIQLLPSDCSSEGTVGSESDSTNETDGGSSKRFNLFNW >Manes.08G153900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38919857:38926120:1 gene:Manes.08G153900.v8.1 transcript:Manes.08G153900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSSLPLLFSLSLFFLILPRPIHAYTLKGVDRGNPVIDVIPSFPSGRLPSPSSNDALYCERVKVSGLSRLEVRSYSSSFLVSLSPSAAIPERLHSKIQVCFHRNASLGLCHCQKEEWKTVQKGLWISIMSPYEEKYVDVKFISEISGSVSITVEEDFQQWRLLCLAVGFLLLLLAPIVSSWVPFYYSTSMAVGVFLVIIILLFQGMKLLPTGRKNFFYLSIYGSVLGAGTFVLHQISMLVNSVLINFGLSEEMHNPVFVFVLVGIVLAGAALGYWIVRKFVISKDGSVDVGVAQFVKWAMRIVATTFILQSSLDTPLAMVSLVSLYTICFLINALKWRHPFRSAKMSPGGKMWSSPKSSAAWSNSPVKGLLSSSTGSGTRDEQVYFSTFHKTPQRKKFTKKEWEDFTRESTQKAVAEWASSPEVASWIIENADRIQLLPSDCSSEGTVGSESDSTNETDGGSSKRFNLFNW >Manes.12G042600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3773785:3774360:-1 gene:Manes.12G042600.v8.1 transcript:Manes.12G042600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEKVSQTNVEEDSSRARVFPCLFCSRKFYTSQALGGHQNAHKKERNAARKAKRASEYAPPPPPPPPSLPMIFAPSHHHLGLLHPSMYVNAHAATLQCYPSHQFSDSFGSSGAPRFDNGLLYGGSSCSSLSSRFHQCEEEEEQSFLNWQRSIRFNSFNGSTGSNQHSPALMVNNGNGNKDKKLDLSLHL >Manes.02G193550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15629958:15630200:1 gene:Manes.02G193550.v8.1 transcript:Manes.02G193550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEEAAGRRRRALKGHFAVYVGSEMKRFEVPISYLQNPKFQQLLHNAADEYGYTHHNGIILPCDESTFNNLISFLRNH >Manes.13G069000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8927578:8931763:-1 gene:Manes.13G069000.v8.1 transcript:Manes.13G069000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVAVWLITIFAILTFTVVSAVEDKCAACYAVADELELGLSNEKPRNHLDMRNRLNSKGQREGKVIDYRVSELRVVELMDGLCEKMQDYTLQKMDSTRSLWVKVDNWDNLTANKQEARAYSNEITSYCGRLSKPMRS >Manes.13G069000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8927481:8931763:-1 gene:Manes.13G069000.v8.1 transcript:Manes.13G069000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRLNSKGQREGKVIDYRVSELRVVELMDGLCEKMQDYTLQKMDSTRSLWVKVDNWDNLTANKQEARAYSNEITSYCGRLLEETEDELAELIKKGSVKVGGVSKVLCQDLTKHCTHSRNESNGDDDEVPDGEL >Manes.13G069000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8927578:8931753:-1 gene:Manes.13G069000.v8.1 transcript:Manes.13G069000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVAVWLITIFAILTFTVVSAVEDKCAACYAVADELELGLSNEKPRNHLDMRNRLNSKGQREGKVIDYRVSELRVVELMDGLCEKMQDYTLQKMDSTRSLWVKVDNWDNLTANKQEARAYSNEITSYCGRLLEETEDELAELIKKGSVKVGGVSKVLCQDLTKHCTHSRNESNGDDDEVPDGEL >Manes.15G015200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1254734:1257849:-1 gene:Manes.15G015200.v8.1 transcript:Manes.15G015200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRQSLKEQFKQTKLNTAAVNRLSTARRFTPFVRFSFCILMDSSSHPSSSSRSSRRHDLNREKFLLRVIYPAIRGETCPICLKDLEDHRRAAVITVCLHSFCLGCIRKWSDLKRKCPLCNSAFDSLFYKISLSSRNFLTEKLPPLREGRSVVAEPEFSLRQPMIRRSRPLPWRRTFGRPGSPRDVIAERKLQWRASVYKRGLQVVPLSPGNCPEQNVSRNGHMKEKIHQRLEPWIRRELQAILEDPDPSVIVHVVSSLFIARLEKFVVQRGQLGAEDNFLAPLRPFLHNWTNMFWHELSCFAESSLTIETYDAVVEYKRSD >Manes.15G015200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1254734:1257849:-1 gene:Manes.15G015200.v8.1 transcript:Manes.15G015200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVRQSLKEQFKQTKLNTAAVNRLSTARRFTPFVRFSFCILMDSSSHPSSSSRSSRRHDLNREKFLLRVIYPAIRGETCPICLKDLEDHRRAAVITVCLHSFCLGCIRKWSDLKRKCPLCNSAFDSLFYKISLSSRNFLTEKLPPLREGRSVVAEPEFSLRQPMIRRSRPLPWRRTFGRPGSPRDVIAERKLQWRASVYKRGLQVVPLSPGNCPEQNVSRNGHMKEKIHQRLEPWIRRELQAILEDPDPSVIVHVVSSLFIARLEKFVVQRGQLGAEDNFLAPLRPFLHNWTNMFWHELSG >Manes.08G170400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40443068:40446658:-1 gene:Manes.08G170400.v8.1 transcript:Manes.08G170400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELVELFEVTTKAADAAASEGVSSNGPEVVRCVDALKQLKKFPVTYDILVSTQVGKRLRPLTKHPREKIQTVASDLLEIWKKVVIEETTRKKNGAVDNKSSGKAEISMGETVNIEKAQKAGAVKVEKIDREEAIRVERVPKEEKRASNVKKPSQAPIAPPKLTALVKCNDALRDKVRELLVEALSKVASEVDEDARDEVSAWDPIRIAVAIESAMFEKMGRSNGAQKVKYRSIMFNMKDPNNPDLRKRVLLGEVKPERLITMTPEEMASAQRQRENNQIKEKALFDCERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Manes.08G170400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40442988:40446677:-1 gene:Manes.08G170400.v8.1 transcript:Manes.08G170400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELVELFEVTTKAADAAASEGVSSNGPEVVRCVDALKQLKKFPVTYDILVSTQVGKRLRPLTKHPREKIQTVASDLLEIWKKVVIEETTRKKNGAVDNKSSGKAEISMGETVNIEKAQKAGAVKVEKIDREEAIRVERVPKEEKRASNVKKPSQAPIAPPKLTALVKCNDALRDKVRELLVEALSKVASEVDEDARDEVSAWDPIRIAVAIESAMFEKMGRSNGAQKVKYRSIMFNMKDPNNPDLRKRVLLGEVKPERLITMTPEEMASAQRQRENNQIKEKALFDCERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Manes.08G170400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40443053:40446707:-1 gene:Manes.08G170400.v8.1 transcript:Manes.08G170400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELVELFEVTTKAADAAASEGVSSNGPEVVRCVDALKQLKKFPVTYDILVSTQVGKRLRPLTKHPREKIQTVASDLLEIWKKVVIEETTRKKNGAVDNKSSGKAEISMGETVNIEKAQKAGAVKVEKIDREEAIRVERVPKEEKRASNVKKPSQAPIAPPKLTALVKCNDALRDKVRELLVEALSKVASEVDEDARDEVSAWDPIRIAVAIESAMFEKMGRSNGAQKVKYRSIMFNMKDPNNPDLRKRVLLGEVKPERLITMTPEEMASAQRQRENNQIKEKALFDCERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Manes.08G170400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40443528:40446658:-1 gene:Manes.08G170400.v8.1 transcript:Manes.08G170400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELVELFEVTTKAADAAASEGVSSNGPEVVRCVDALKQLKKFPVTYDILVSTQVGKRLRPLTKHPREKIQTVASDLLEIWKKVVIEETTRKKNGAVDNKSSGKAEISMGETVNIEKAQKAGAVKVEKIDREEAIRVERVPKEEKRASNVKKPSQAPIAPPKLTALVKCNDALRDKVRELLVEALSKVASEVDEDARDEVSAWDPIRIAVAIESAMFEKMGRSNGAQKVKYRSIMFNMKDPNNPDLRKRVLLGEVKPERLITMTPEEMASAQRQRENNQIKEKALFDCERGGPPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Manes.11G074500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10755835:10757105:-1 gene:Manes.11G074500.v8.1 transcript:Manes.11G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSPSKSKKKQATQPQEPSQAAAAAAGGGGRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSRARTNFVYSDMPAGSSVTSIISPDEQQQMQALQQQSQQQQENNFSSSSIFLPPSHQPDPPSIFNNQQDFSSSQCFGFPSMDGEEMWGCSSTTTTYHHEQLPVITNDELPPLPCDISSSFSSSVDSSGIHNSGYDMTSSGLMGFEDQTIMTNGFESGGPYFGFDGGEYVHSPLFSRMPPVSETVPDGFDLGSSAYFF >Manes.03G186700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30692826:30694900:1 gene:Manes.03G186700.v8.1 transcript:Manes.03G186700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKSAMFVGDSLSLNQWESLACMLHTAVPKANYTLKRTGALSTFKFPEYNVSLMFCRNAFLVDIVEENIGRVLRVDSISRDKSWRRVDALIFNTWHWWLHTGRKQPWDWIQEGEKMYKDMNRLVAYEKALSTWVRWIQLNIDPTKTRVFLQGVSPDHTNSTDWSNSLGKNCKGETEPLLKPNYPGSTHPAQAIAERVLGTIANPIYLLNITYLSQMRKDGHPSAYGFRGHRTADCSHWCLPGVPDTWNELLHAALIYN >Manes.01G045300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:17468482:17469403:1 gene:Manes.01G045300.v8.1 transcript:Manes.01G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQESRNPRQPQPTNPNPLLWDCGSSLYDSFELKSLERQLYSAIHSKTLSMPRLPGRRAAAAAAAVPNPQPPLIPLPPPTAVSKKPSKISRSLHKLFKFVFRFKQNSRPGHEYYVVYDKSGALSTIPEVPEIEFGGFSPDINSLVRRSGSERFTATSMMGISCV >Manes.06G060800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19454802:19472587:-1 gene:Manes.06G060800.v8.1 transcript:Manes.06G060800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPLAWYCRPARNGVWAKEADSAFGAYTPCAIDSLVICISHLVLLGLCFYRIWLIKKNSKAEKYCLRTNYYNYMLALLAGLCTAEPLFRLVMGISIFNLDGQISLAPFEIVSLFIEAFAWFSMLLMVGLETKIYIRQFRWYVRFGVIYLLVGEAAMLNIIFSMSDYYSRFVLYTHISAVFCQVLFGLLLLVYIPNLDPYPGYTILQPDCPENGEYEVLPGAEQTCPERHVNLLSRIYFGWMTPLMQQGYRKPITENDVWKLDTWDQTETLIKNFQKCWVEESQKPKPRLLRALNNSLGKRFWLGGVFKIGNDLSQFVGPVLLNHLLKSMQRGDPAWIGYVYAFSILLGVSIGVLCESQYFQNVMRVGFRLRSTLVAAIFRKSLRLTHESRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITLSMVLLYQQLGVASLLGSLILVLMVPVQTFVISKMRKLTKEGLQRTDKRVSLMNEILAAMDTVKCYAWEKSFQSKVQSIRNDELSWFRNAQLLSAFNSFILNSIPVVVTLVSFGTFTLLGGDLTPARAFTSLSLFQVLRFPLNMLPNLLSQVVNANISLQRLEELFLAEERILVPNPPLEPGLPAISIKDGCFSWDSKAEKPTLSNINLDIPVGSLVAIVGGTGEGKTSLISAMLGELPSLANTSVIVRGTVAYVPQVSWIFNATVRDNILFGSEFQPTRYWQAIDVTALHHDLDLLPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRKVFNSCIKEALRGKTRVLVTNQLHFLPQVDRIILVSEGTIKEEGTFEELSKSGKLFQKLMENAGKMEEMEQAAEGKEDSTRLDLKNSQPATDELNEFSQNGGYTKKGKGRKSVLVKQEERETGVVSWKVLMRYNNSLGGTWVVMILFVFYLSTEVLRVSSSSWLSFWTDQSTTEGYRPGFYIFVYALLSLGQVTVTLLNSFWLISSSLRAAKRLHDSMLDSILRAPMLFFHTNPTGRIINRFAKDLGEIDRNVAGFANMFLNQVWQLLSTFVLIGIVSTISLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRMANISGKSMDNNIRFTLVNISSNRWLTIRLESLGGIMIWLTATFAVLQNSRTDNQVAFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNSVERVGTYIDLPSEAPTIIESNRPPSAWPASGSIKFRDIVLRYRPELPPVLHGLSFAVSPSEKLGIVGRTGAGKSSMFNALFRIVELERGEIIIDGCDVSKFGLTDLRKALSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKEVIRKNPFGLDAEVAEGGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLVIAHRLNTIIDCDRILVLDAGQVLEHATPEELLQNEGSAFSRMVQSTGPANAQYLRSLVFEAKEKLNGEVINRLNHQRRWVASSRWAAAAQFALAVSLSQNDLQSLVSAEENNILNKTKDAVITLQQVLEGKHNEEIDDTLQQYQVPRERWWLSLHRIIEGLSIMSRLAYNRLQELEFDHENESLDWDNIGS >Manes.06G060800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19454984:19472626:-1 gene:Manes.06G060800.v8.1 transcript:Manes.06G060800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPLAWYCRPARNGVWAKEADSAFGAYTPCAIDSLVICISHLVLLGLCFYRIWLIKKNSKAEKYCLRTNYYNYMLALLAGLCTAEPLFRLVMGISIFNLDGQISLAPFEIVSLFIEAFAWFSMLLMVGLETKIYIRQFRWYVRFGVIYLLVGEAAMLNIIFSMSDYYSRFVLYTHISAVFCQVLFGLLLLVYIPNLDPYPGYTILQPDCPENGEYEVLPGAEQTCPERHVNLLSRIYFGWMTPLMQQGYRKPITENDVWKLDTWDQTETLIKNFQKCWVEESQKPKPRLLRALNNSLGKRFWLGGVFKIGNDLSQFVGPVLLNHLLKSMQRGDPAWIGYVYAFSILLGVSIGVLCESQYFQNVMRVGFRLRSTLVAAIFRKSLRLTHESRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITLSMVLLYQQLGVASLLGSLILVLMVPVQTFVISKMRKLTKEGLQRTDKRVSLMNEILAAMDTVKCYAWEKSFQSKVQSIRNDELSWFRNAQLLSAFNSFILNSIPVVVTLVSFGTFTLLGGDLTPARAFTSLSLFQVLRFPLNMLPNLLSQVVNANISLQRLEELFLAEERILVPNPPLEPGLPAISIKDGCFSWDSKAEKPTLSNINLDIPVGSLVAIVGGTGEGKTSLISAMLGELPSLANTSVIVRGTVAYVPQVSWIFNATVRDNILFGSEFQPTRYWQAIDVTALHHDLDLLPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRKVFNSCIKEALRGKTRVLVTNQLHFLPQVDRIILVSEGTIKEEGTFEELSKSGKLFQKLMENAGKMEEMEQAAEGKEDSTRLDLKNSQPATDELNEFSQNGGYTKKGKGRKSVLVKQEERETGVVSWKVLMRYNNSLGGTWVVMILFVFYLSTEVLRVSSSSWLSFWTDQSTTEGYRPGFYIFVYALLSLGQVTVTLLNSFWLISSSLRAAKRLHDSMLDSILRAPMLFFHTNPTGRIINRFAKDLGEIDRNVAGFANMFLNQVWQLLSTFVLIGIVSTISLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRMANISGKSMDNNIRFTLVNISSNRWLTIRLESLGGIMIWLTATFAVLQNSRTDNQVAFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNSVERVGTYIDLPSEAPTIIESNRPPSAWPASGSIKFRDIVLRYRPELPPVLHGLSFAVSPSEKLGIVGRTGAGKSSMFNALFRIVELERGEIIIDGCDVSKFGLTDLRKALSIIPQSPVLFSGC >Manes.06G060800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19454802:19472628:-1 gene:Manes.06G060800.v8.1 transcript:Manes.06G060800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPLAWYCRPARNGVWAKEADSAFGAYTPCAIDSLVICISHLVLLGLCFYRIWLIKKNSKAEKYCLRTNYYNYMLALLAGLCTAEPLFRLVMGISIFNLDGQISLAPFEIVSLFIEAFAWFSMLLMVGLETKIYIRQFRWYVRFGVIYLLVGEAAMLNIIFSMSDYYSRFVLYTHISAVFCQVLFGLLLLVYIPNLDPYPGYTILQPDCPENGEYEVLPGAEQTCPERHVNLLSRIYFGWMTPLMQQGYRKPITENDVWKLDTWDQTETLIKNFQKCWVEESQKPKPRLLRALNNSLGKRFWLGGVFKIGNDLSQFVGPVLLNHLLKSMQRGDPAWIGYVYAFSILLGVSIGVLCESQYFQNVMRVGFRLRSTLVAAIFRKSLRLTHESRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITLSMVLLYQQLGVASLLGSLILVLMVPVQTFVISKMRKLTKEGLQRTDKRVSLMNEILAAMDTVKCYAWEKSFQSKVQSIRNDELSWFRNAQLLSAFNSFILNSIPVVVTLVSFGTFTLLGGDLTPARAFTSLSLFQVLRFPLNMLPNLLSQVVNANISLQRLEELFLAEERILVPNPPLEPGLPAISIKDGCFSWDSKAEKPTLSNINLDIPVGSLVAIVGGTGEGKTSLISAMLGELPSLANTSVIVRGTVAYVPQVSWIFNATVRDNILFGSEFQPTRYWQAIDVTALHHDLDLLPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRKVFNSCIKEALRGKTRVLVTNQLHFLPQVDRIILVSEGTIKEEGTFEELSKSGKLFQKLMENAGKMEEMEQAAEGKEDSTRLDLKNSQPATDELNEFSQNGGYTKKGKGRKSVLVKQEERETGVVSWKVLMRYNNSLGGTWVVMILFVFYLSTEVLRVSSSSWLSFWTDQSTTEGYRPGFYIFVYALLSLGQVTVTLLNSFWLISSSLRAAKRLHDSMLDSILRAPMLFFHTNPTGRIINRFAKDLGEIDRNVAGFANMFLNQVWQLLSTFVLIGIVSTISLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDRMANISGKSMDNNIRFTLVNISSNRWLTIRLESLGGIMIWLTATFAVLQNSRTDNQVAFASTMGLLLSYTLNITNLLSGVLRQASRAENSFNSVERVGTYIDLPSEAPTIIESNRPPSAWPASGSIKFRDIVLRYRPELPPVLHGLSFAVSPSEKLGIVGRTGAGKSSMFNALFRIVELERGEIIIDGCDVSKFGLTDLRKALSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKEVIRKNPFGLDAEVAEGGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLVIAHRLNTIIDCDRILVLDAGQVLEHATPEELLQNEGSAFSRMVQSTGPANAQYLRSLVFEAKEKLNGEVINRLNHQRRWVASSRWAAAAQFALAVSLSQNDLQSLVSAEENNILNKTKDAVITLQQVLEGKHNEEIDDTLQQYQVPRERWWLSLHRIIEGLSIMSRLAYNRLQELEFDHENESLDWDNIGS >Manes.01G120000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31631948:31633264:-1 gene:Manes.01G120000.v8.1 transcript:Manes.01G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLFLLFFSFFSLSAQDAQHNSTSLSFSFPLTSLPPSPNTSPSFYSSFVSQIKQNPPIKTSPYNYRSTFKYSMALIVSLPIGTPPQTQQMVLDTGSQLSWIQCHNKTPKKPPPTAAFDPSLSSSFSVLPCTHPLCKPRIPDFTLPTSCDQNRLCHYSYFYADGTFAEGSLVREKFTFSSSQSTPPLILGCSQDSSDDKGILGMNLGRRSFASQAKISKFSYCVPTRQTRTGLPSTGSFYLGENPNSGGFQYISLLTFTPSQTSSPNLDPLAYTVPMQGIRIGNKRLNISASVFRPDSSGSGQTMIDSGSEFTYLVDEAYHKVREEILRLAGSKLKKNYVYGGVSDMCFDGNPMEIGRLIGSMVLEFEKGVEIVIDKEKVLADVGGGVHCVGIGRSEMLGTASNIIGNFHQQNLWVEFDLANRRVGLGKADCSRSV >Manes.01G156600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34279899:34283928:-1 gene:Manes.01G156600.v8.1 transcript:Manes.01G156600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLFEAASMPILQVLLISILGAFMATNYCNLLTTDARRSLNKIVFMVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWILVKLLKPQPHLEGLVIATCSSGNLGNLLLIIVPAICTEDGSPFDKATCSSLGLSYASFSMALGGFYIWTYTYHLIRSSAAKLEAIEAADEVSKAPNNDLEANQETHLLTGEGQEHGAISVESTKSIDGDTETQAIISQAPLHEQGKEGSSWSRFVGILHQIVEELLAPPTIAAIFGFIFGAVTFLRKLIIGDTAPLHVIQDSITLLGEGTIPCITLILGGNLIQAGKLGFLSSDPLYYYVLMIQYTLPPAMNIGTMTQLFNVGQEECSVLFLWTYLAAALALTVWSTVFMWILS >Manes.01G156600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34279899:34285448:-1 gene:Manes.01G156600.v8.1 transcript:Manes.01G156600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLFEAASMPILQVLLISILGAFMATNYCNLLTTDARRSLNKIVFMVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWILVKLLKPQPHLEGLVIATCSSGNLGNLLLIIVPAICTEDGSPFDKATCSSLGLSYASFSMALGGFYIWTYTYHLIRSSAAKLEAIEAADEVSKAPNNDLEANQETHLLTGEGQEHGAISVESTKSIDGDTETQAIISQAPLHEQGKEGSSWSRFVGILHQIVEELLAPPTIAAIFGFIFGAVTFLRKLIIGDTAPLHVIQDSITLLGEGTIPCITLILGGNLIQGLKSSRIKPWIIIAVIVVRYGILPAIGLWVVKAAGKLGFLSSDPLYYYVLMIQYTLPPAMNIGTMTQLFNVGQEECSVLFLWTYLAAALALTVWSTVFMWILS >Manes.01G156600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34279899:34283928:-1 gene:Manes.01G156600.v8.1 transcript:Manes.01G156600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLFEAASMPILQVLLISILGAFMATNYCNLLTTDARRSLNKIVFMVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWILVKLLKPQPHLEGLVIATCSSGNLGNLLLIIVPAICTEDGSPFDKATCSSLGLSYASFSMALGGFYIWTYTYHLIRSSAAKLEAIEAADEVSKAPNNDLEANQETHLLTGEGQEHGAISVESTKSIDGDTETQAIISQAPLHEQGKEGSSWSRFVGILHQIVEELLAPPTIAAIFGFIFGAVTFLRKLIIGDTAPLHVIQDSITLLGEGTIPCITLILGGNLIQGLKSSRIKPWIIIAVIVVRYGILPAIGLWVVKAAGKLGFLSSDPLYYYVLMIQYTLPPAMNIGTMTQLFNVGQEECSVLFLWTYLAAALALTVWSTVFMWILS >Manes.01G156600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34279899:34285449:-1 gene:Manes.01G156600.v8.1 transcript:Manes.01G156600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLFEAASMPILQVLLISILGAFMATNYCNLLTTDARRSLNKIVFMVFTPSLMFASLAKTVTLQDIISWWFMPVNIGLTFLIGGILGWILVKLLKPQPHLEGLVIATCSSGNLGNLLLIIVPAICTEDGSPFDKATCSSLGLSYASFSMALGGFYIWTYTYHLIRSSAAKLEAIEAADEVSKAPNNDLEANQETHLLTGEGQEHGAISVESTKSIDGDTETQAIISQAPLHEQGKEGSSWSRFVGILHQIVEELLAPPTIAAIFGFIFGAVTFLRKLIIGDTAPLHVIQDSITLLGEGTIPCITLILGGNLIQAGKLGFLSSDPLYYYVLMIQYTLPPAMNIGTMTQLFNVGQEECSVLFLWTYLAAALALTVWSTVFMWILS >Manes.03G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26129714:26131611:-1 gene:Manes.03G136100.v8.1 transcript:Manes.03G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRDNVALEELLCMKGGKGEASYANNSQAQALHARSMLHLVEETLDKVQLNSPEVPFQVAELGCSSGSNTLFIMDVIIKHMIKRYKSSGLEPPEFFAFFSDLPSNDFNTLFQLLPTMGTSYERSIENYLAANNGHRNYFAAGVPGSFYKRLLPSRSIDVFHSAFSLHWLSQVPESVVDQRSEAYNKGRVFIHGAGKSTANAYKHQFQADLAKFLKARSQEMKKGGSMFLVCLGRTSVDPAHQGGAGILFGTHYQDAWDDLVQEGLITSEKRDSFNIPVYAPSLEEFKDVVEAEGSFAINNLTVFKGGSPLVVDRHDDPDEIGRALANACRSVSGVLADAHIGDQLSEELFLRVERRGRSHAKDLIEKLQFFHIVASLSFV >Manes.17G015185.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8126432:8127893:1 gene:Manes.17G015185.v8.1 transcript:Manes.17G015185.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGLDITKALLSTWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKAKASQHRKNSWVTLRYLDRLMVSGGGAFDGQGEIAWQRNSCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHINLLGSKNLTFQRFSVKASGHSPNTDGIHIGRSEEINIIDSNTMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSKTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGNLIPRGC >Manes.03G098000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17702387:17703253:1 gene:Manes.03G098000.v8.1 transcript:Manes.03G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKSQKQPQGISFSPIKHSRLKERTTATKSNCRSKFVGVRQRPSGKWVAEIKDTTQKIRMWLGTFDTAEEAARAYDEAACLLRGSNTRTNFTTHIPPNSPISIKIRNLLNQKKSLKQNSPANSTSKTTIKPSTIVSNNKSSIRSSSNDNFLSNSSNDIFQSGNGIGMKQENYQTFNDVYRPDVSGCVEGLELGGSSQFYCSSWPFPTGFDQLPLMEEGFELQKNVGLLPDAARELETAEFERMKVERQISASLYAMSGVDEYLENVNYDPSEALWDFSTLSHLFCLS >Manes.15G182000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24471366:24473169:-1 gene:Manes.15G182000.v8.1 transcript:Manes.15G182000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLIVGHPFDTIKVKLQSQPAQQPGQPPKYAGAMDAVRQTLAAEGPRGLYKGMGAPLAIVASLNAVLFTVREQMEALLRSLTVSQQMVCGAGAAVSFLASPTELIKCRLQAQSALASSGSEAVAVKYGGPMDVAKHVLKSEGVIMGLFKSLVPTLGCEVPGNAATFGVYNLLKQSLAGGQDTSQLGRGSLMVIQVDDYRNPKFTGSIDALRKILASEGVKGLYRGFSPAMVRNVPANAACFLADEVTRTSLG >Manes.04G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30573406:30579908:-1 gene:Manes.04G101200.v8.1 transcript:Manes.04G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQIEKSPNEEFLRLMLRPLHWFKMLGQELHWSFVLGVVIVYGISQGLGVGLSKVSTQYYMKDEQKVQPSEAQVYFGLLQIPWIIKPLWGLLTDTLPILGYRRRPYFVFAGFLSMIAMLVVSLQKNLHLAFAMLSLMAGSAGIAIADVTIDACVTQNSISHPSLAGDMQSLCGFSSSIGALVGFSLSGFLVHIVGPKGVFGLLSIPAGLVILVGIMLRESRAHRLAYRGVNEKFLDAGKAMWTTLKCREVWRPCLYMYLSLAVSLHIHEGMFYWYTDAKGGPSFSQEVVGSIFSIGAVGSLCGVLIYQNLLKNHPFRDLLFWSQLLYGVSGLLDLILVLRINLRIGLPDYLFVVIDEAISRMIGRIKWMPLLVLSSKLCPAGIEGTFFALLMSIDHVGMLSSTWAGGLLLHVLKVTRTRFENLWMAILIRSILRMVPIGLLFLIPRSDPNLAILPAEMLKTKKGDDMLENGNLEMASLVDSS >Manes.04G101200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30573406:30579908:-1 gene:Manes.04G101200.v8.1 transcript:Manes.04G101200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRPYFVFAGFLSMIAMLVVSLQKNLHLAFAMLSLMAGSAGIAIADVTIDACVTQNSISHPSLAGDMQSLCGFSSSIGALVGFSLSGFLVHIVGPKGVFGLLSIPAGLVILVGIMLRESRAHRLAYRGVNEKFLDAGKAMWTTLKCREVWRPCLYMYLSLAVSLHIHEGMFYWYTDAKGGPSFSQEVVGSIFSIGAVGSLCGVLIYQNLLKNHPFRDLLFWSQLLYGVSGLLDLILVLRINLRIGLPDYLFVVIDEAISRMIGRIKWMPLLVLSSKLCPAGIEGTFFALLMSIDHVGMLSSTWAGGLLLHVLKVTRTRFENLWMAILIRSILRMVPIGLLFLIPRSDPNLAILPAEMLKTKKGDDMLENGNLEMASLVDSS >Manes.04G101200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30573406:30579908:-1 gene:Manes.04G101200.v8.1 transcript:Manes.04G101200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYSPLKHRRPYFVFAGFLSMIAMLVVSLQKNLHLAFAMLSLMAGSAGIAIADVTIDACVTQNSISHPSLAGDMQSLCGFSSSIGALVGFSLSGFLVHIVGPKGVFGLLSIPAGLVILVGIMLRESRAHRLAYRGVNEKFLDAGKAMWTTLKCREVWRPCLYMYLSLAVSLHIHEGMFYWYTDAKGGPSFSQEVVGSIFSIGAVGSLCGVLIYQNLLKNHPFRDLLFWSQLLYGVSGLLDLILVLRINLRIGLPDYLFVVIDEAISRMIGRIKWMPLLVLSSKLCPAGIEGTFFALLMSIDHVGMLSSTWAGGLLLHVLKVTRTRFENLWMAILIRSILRMVPIGLLFLIPRSDPNLAILPAEMLKTKKGDDMLENGNLEMASLVDSS >Manes.15G049100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3810477:3810902:1 gene:Manes.15G049100.v8.1 transcript:Manes.15G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIITQILLIVCLGIGIISLAVYEHKRIPGQDYDVRVINGFTNNSSLPLVIWCSSNGRQLGARALQEGDDFSWSLRTNFWGDTHFLCTVKWDERRRLFYAFKVPRDIYRCSLFRKCSWLVREDGFYFSNDEINWKKDFSWL >Manes.03G100200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22363530:22367569:1 gene:Manes.03G100200.v8.1 transcript:Manes.03G100200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGIESNNGASSSREAEPETPQIPPFRMPTMEEIRAQEVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPLMQEEMTGRQQFIYTAKQMGRRSWSSCKAFAVMGLIFSAAECIVEKARAKHDVTNTVVAGCVTGGSMSARGGPKAACVGCAGFAAFSVLIEKFLDRHT >Manes.03G100200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22363530:22367569:1 gene:Manes.03G100200.v8.1 transcript:Manes.03G100200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGIESNNGASSSREAEPETPQIPPFRMPTMEEIRAQEVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPLMQEEMTGRQQFIYTAKQMGRRSWSSCKAFAVMGLIFSAAECIVEKARAKHDVTNTVVAGCVTGGSMSARGGPKAACVGCAGFAAFSVLIEKFLDRHT >Manes.03G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22363530:22367570:1 gene:Manes.03G100200.v8.1 transcript:Manes.03G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGIESNNGASSSREAEPETPQIPPFRMPTMEEIRAQEVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPLMQEEMTGRQQFIYTAKQMGRRSWSSCKAFAVMGLIFSAAECIVEKARAKHDVTNTVVAGCVTGGSMSARGGPKAACVGCAGFAAFSVLIEKFLDRHT >Manes.09G177800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36806049:36808617:-1 gene:Manes.09G177800.v8.1 transcript:Manes.09G177800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQIINNAKIVSIPQTNSTPGFSRFFSKSPAYIVKVGIPEFLNGIGKGVEAHAAKLESEIGDFQKLLVTRTLKLKKMGISCQHRKLILNYAHKYRVGLWRPRADLVKPK >Manes.06G136800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26501863:26503943:1 gene:Manes.06G136800.v8.1 transcript:Manes.06G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPLCINRFRYHFSITKLVFWTCIFLGLILLFFLHSPHSSSYSSQRRFLADPDWESRVIKSGKPRSRSGGLKVLVTGAAGFVGTHVSAALRRRGDGVVGLDNFNAYYDPSLKQARRSVLERADVFIVDGDINNPTLLKKLFDLVQFTHVMHLAAQAGVRYAMKNPASYVHSNIAGFVSLLEACKSANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPTSLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTKDILKGKPITIFEGPNHFSVARDFTYIDDIVKGCLGALDTAKKSTGSGGVKKGPAQLRVFNLGNTSPVPVSKLVSILEKLLKVKAKKVVLPMPANGDVLFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLDYYTRPGKKSNV >Manes.02G015400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1462888:1469793:1 gene:Manes.02G015400.v8.1 transcript:Manes.02G015400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHILLSALSVGVGVGVGLGLASGQNKLNAKASSLNDITAENLEREMLRQVIDGRETGVTFDQFPYYLSEQTRALLTSAAYVHLKHAEVSKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFETKLLLLDVTDFSLKIQSKYGSAMKESSFKRSPSESTLERLSGFLGSLSARPQKEQPRGGLRRQYSGVDISSRGLEDSSNAPKLRRNVSAANISMLATQYTPANTAPLRTSSWSFDEKLFIQSLYKVLVYVSKAASIVLYLRDVDRFLSRSQRIYSLFEKMLKKLSGSVLILGSQILDLGNDNRDIDERLLSLFPYTIEIKPPEDETRLLSWKSQLEADMKMIQVQDNKNHIVEVLSSNDLDCDDLDSICVADTMVLSNYIEEIVISAVSYHLMNNKDPEYRNGKLIISSKSLSHGLNIFQERKSIDKDTLKLEAQAETPKESRGLETIGVKPITVVDTKPENRTEAEKLAPGVKTDGDNSVAASKVVEVPPDNEFEKRIRPEVIPANEITVSFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSSITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSPENREMIFRTLLSKEKVESGLDFKELATMTEGFTGSDLKNLCTTAAYRPVRELIQQERLKDMEKKQRTAKAQKSGQSADTKEDGKEERVINLRPLNMEDFRQAKNQVNLSLSLSRLNSVSL >Manes.02G015400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1462888:1469793:1 gene:Manes.02G015400.v8.1 transcript:Manes.02G015400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHILLSALSVGVGVGVGLGLASGQNKLNAKASSLNDITAENLEREMLRQVIDGRETGVTFDQFPYYLSEQTRALLTSAAYVHLKHAEVSKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFETKLLLLDVTDFSLKIQSKYGSAMKESSFKRSPSESTLERLSGFLGSLSARPQKEQPRGGLRRQYSGVDISSRGLEDSSNAPKLRRNVSAANISMLATQYTPANTAPLRTSSWSFDEKLFIQSLYKVLVYVSKAASIVLYLRDVDRFLSRSQRIYSLFEKMLKKLSGSVLILGSQILDLGNDNRDIDERLLSLFPYTIEIKPPEDETRLLSWKSQLEADMKMIQVQDNKNHIVEVLSSNDLDCDDLDSICVADTMVLSNYIEEIVISAVSYHLMNNKDPEYRNGKLIISSKSLSHGLNIFQERKSIDKDTLKLEAQAETPKESRGLETIGVKPITVVDTKPENRTEAEKLAPGVKTDGDNSVAASKVVEVPPDNEFEKRIRPEVIPANEITVSFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSSITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSPENREMIFRTLLSKEKVESGLDFKELATMTEGFTGSDLKNLCTTAAYRPVRELIQQERLKDMEKKQRTAKAQKSGQSADTKEDGKEERVINLRPLNMEDFRQAKNQVNLSLSLSRLNSVSL >Manes.02G015400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1463292:1469793:1 gene:Manes.02G015400.v8.1 transcript:Manes.02G015400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHILLSALSVGVGVGVGLGLASGQNKLNAKASSLNDITAENLEREMLRQVIDGRETGVTFDQFPYYLSEQTRALLTSAAYVHLKHAEVSKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFETKLLLLDVTDFSLKIQSKYGSAMKESSFKRSPSESTLERLSGFLGSLSARPQKEQPRGGLRRQYSGVDISSRGLEDSSNAPKLRRNVSAANISMLATQYTPANTAPLRTSSWSFDEKLFIQSLYKVLVYVSKAASIVLYLRDVDRFLSRSQRIYSLFEKMLKKLSGSVLILGSQILDLGNDNRDIDERLLSLFPYTIEIKPPEDETRLLSWKSQLEADMKMIQVQDNKNHIVEVLSSNDLDCDDLDSICVADTMVLSNYIEEIVISAVSYHLMNNKDPEYRNGKLIISSKSLSHGLNIFQERKSIDKDTLKLEAQAETPKESRGLETIGVKPITVVDTKPENRTEAEKLAPGVKTDGDNSVAASKVVEVPPDNEFEKRIRPEVIPANEITVSFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSSITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSPENREMIFRTLLSKEKVESGLDFKELATMTEGFTGSDLKNLCTTAAYRPVRELIQQERLKDMEKKQRTAKAQKSGQSADTKEDGKEERVINLRPLNMEDFRQAKNQVAASFSSEGSIMNELRQWNELYGEGGSRKKQQLSYFL >Manes.02G015400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1463776:1469793:1 gene:Manes.02G015400.v8.1 transcript:Manes.02G015400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRRRKIKITNHSFYFPPIQTIGRKKVMIFYIFFYLTFTPKQGVGIKYTPLVIIIIETSYFMSVWSEQTRALLTSAAYVHLKHAEVSKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFETKLLLLDVTDFSLKIQSKYGSAMKESSFKRSPSESTLERLSGFLGSLSARPQKEQPRGGLRRQYSGVDISSRGLEDSSNAPKLRRNVSAANISMLATQYTPANTAPLRTSSWSFDEKLFIQSLYKVLVYVSKAASIVLYLRDVDRFLSRSQRIYSLFEKMLKKLSGSVLILGSQILDLGNDNRDIDERLLSLFPYTIEIKPPEDETRLLSWKSQLEADMKMIQVQDNKNHIVEVLSSNDLDCDDLDSICVADTMVLSNYIEEIVISAVSYHLMNNKDPEYRNGKLIISSKSLSHGLNIFQERKSIDKDTLKLEAQAETPKESRGLETIGVKPITVVDTKPENRTEAEKLAPGVKTDGDNSVAASKVVEVPPDNEFEKRIRPEVIPANEITVSFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSSITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSPENREMIFRTLLSKEKVESGLDFKELATMTEGFTGSDLKNLCTTAAYRPVRELIQQERLKDMEKKQRTAKAQKSGQSADTKEDGKEERVINLRPLNMEDFRQAKNQVAASFSSEGSIMNELRQWNELYGEGGSRKKQQLSYFL >Manes.02G015400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1462888:1469793:1 gene:Manes.02G015400.v8.1 transcript:Manes.02G015400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHILLSALSVGVGVGVGLGLASGQNKLNAKASSLNDITAENLEREMLRQVIDGRETGVTFDQFPYYLSEQTRALLTSAAYVHLKHAEVSKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFETKLLLLDVTDFSLKIQSKYGSAMKESSFKRSPSESTLERLSGFLGSLSARPQKEQPRGGLRRQYSGVDISSRGLEDSSNAPKLRRNVSAANISMLATQYTPANTAPLRTSSWSFDEKLFIQSLYKVLVYVSKAASIVLYLRDVDRFLSRSQRIYSLFEKMLKKLSGSVLILGSQILDLGNDNRDIDERLLSLFPYTIEIKPPEDETRLLSWKSQLEADMKMIQVQDNKNHIVEVLSSNDLDCDDLDSICVADTMVLSNYIEEIVISAVSYHLMNNKDPEYRNGKLIISSKSLSHGLNIFQERKSIDKDTLKLEAQAETPKESRGLETIGVKPITVVDTKPENRTEAEKLAPGVKTDGDNSVAASKVVEVPPDNEFEKRIRPEVIPANEITVSFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSSITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSPENREMIFRTLLSKEKVESGLDFKELATMTEGFTGSDLKNLCTTAAYRPVRELIQQERLKDMEKKQRTAKAQKSGQSADTKEDGKEERVINLRPLNMEDFRQAKNQVAASFSSEGSIMNELRQWNELYGEGGSRKKQQLSYFL >Manes.02G015400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1462888:1469793:1 gene:Manes.02G015400.v8.1 transcript:Manes.02G015400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHILLSALSVGVGVGVGLGLASGQNKLNAKASSLNDITAENLEREMLRQVIDGRETGVTFDQFPYYLSEQTRALLTSAAYVHLKHAEVSKYTRNLAPASRAILLSGPAELYQQMLAKALAHYFETKLLLLDVTDFSLKIQSKYGSAMKESSFKRSPSESTLERLSGFLGSLSARPQKEQPRGGLRRQYSGVDISSRGLEDSSNAPKLRRNVSAANISMLATQYTPANTAPLRTSSWSFDEKLFIQSLYKVLVYVSKAASIVLYLRDVDRFLSRSQRIYSLFEKMLKKLSGSVLILGSQILDLGNDNRDIDERLLSLFPYTIEIKPPEDETRLLSWKSQLEADMKMIQVQDNKNHIVEVLSSNDLDCDDLDSICVADTMVLSNYIEEIVISAVSYHLMNNKDPEYRNGKLIISSKSLSHGLNIFQERKSIDKDTLKLEAQAETPKESRGLETIGVKPITVVDTKPENRTEAEKLAPGVKTDGDNSVAASKVVEVPPDNEFEKRIRPEVIPANEITVSFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSSITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSPENREMIFRTLLSKEKVESGLDFKELATMTEGFTGSDLKNLCTTAAYRPVRELIQQERLKDMEKKQRTAKAQKSGQSADTKEDGKEERVINLRPLNMEDFRQAKNQVAASFSSEGSIMNELRQWNELYGEGGSRKKQQLSYFL >Manes.05G025300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2209007:2216353:-1 gene:Manes.05G025300.v8.1 transcript:Manes.05G025300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKNKNRDTDTSSQKLEETRIAGVSAELQNSLEKGVMELSDSSDVDIKSNAGTLSSDEKNPEKIGDANQSKATNGKLVIENDLDINMNRDNLGSSVGKEYDCLNSEIRNTTRSGRSGQMSGWERGESGEMEGHQRVMRTEVEGVRFSTSNYSDEGPSNYNLESSYGNGEPLGNHDDHVGANKVQHLKKDRAELLRKLDELKEQLSRSYDVADKTKEKLPINGRMAPPDSYADSDTWFPAASSMPDRASMQFFAPDKHAARPPYFQHRPDPFPYTNAHEVAMHSFHPSMEKSNQIPGFGDTFVPKRASHQLSGQYQQPSCQYFSRHIFDANPDPFEPYTSNATFRQPSCSCFHCYERYQGVSAPVPPIPFCNKRFPDVSNNPMLYQRENLGAFGPHVHNSRTTVPPLDFRGPQSHTRWPSDHNSEIGGFARYRPRRVVLASGGRCYRPIIGGAPFFTCFNCFELLQVPKKALLLGKNQQMIRCGACSTVINFAIVNKKLVLSVNTEVTQIATEDDDSFTEMLKENTSYSHGRMSRINANFSSDDYDDSGYDFQAVDTDPIALLTGQGFNSMNHQEMNNFHTSSPSTCEDDTSPDASITPRDVINSVQRPVKDGLSPPLPGSPLQQHFDYSTNNNVVNRLGKGNRSSRSDQEKVVTNKNTARQNSMKEASLATEIEVPFHEYSNTVVSQDSGDANREDSHLKINKGGESFFANIIKKSFKDFSRSNQTDERGRSNVSVNGHPIPDRIVKKAEKLAGPIHPGQYWYDYRAGFWGVIGGPCLGIIPMSFCFSLLLKNSIIQCLKIALVGILVFLLMVENFTKKISICLLVEDSQLIETDLTSLRSLEECWMKTLVKSWIALGSLLLQLRR >Manes.05G025300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2209007:2216353:-1 gene:Manes.05G025300.v8.1 transcript:Manes.05G025300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKNKNRDTDTSSQKLEETRIAGVSAELQNSLEKGVMELSDSSDVDIKSNAGTLSSDEKNPEKIGDANQSKATNGKLVIENDLDINMNRDNLGSSVGKEYDCLNSEIRNTTRSGRSGQMSGWERGESGEMEGHQRVMRTEVEGVRFSTSNYSDEGPSNYNLESSYGNGEPLGNHDDHVGANKVQHLKKDRAELLRKLDELKEQLSRSYDVADKTKEKLPINGRMAPPDSYADSDTWFPAASSMPDRASMQFFAPDKHAARPPYFQHRPDPFPYTNAHEVAMHSFHPSMEKSNQIPGFGDTFVPKRASHQLSGQYQQPSCQYFSRHIFDANPDPFEPYTSNATFRQPSCSCFHCYERYQGVSAPVPPIPFCNKRFPDVSNNPMLYQRENLGAFGPHVHNSRTTVPPLDFRGPQSHTRWPSDHNSEIGGFARYRPRRVVLASGGRCYRPIIGGAPFFTCFNCFELLQVPKKALLLGKNQQMIRCGACSTVINFAIVNKKLVLSVNTEVTQIATEDDDSFTEMLKENTSYSHGRMSRINANFSSDDYDDSGYDFQAVDTDPIALLTGQGFNSMNHQEMNNFHTSSPSTCEDDTSPDASITPRDVINSVQRPVKDGLSPPLPGSPLQQHFDYSTNNNVVNRLGKGNRSSRSDQEKVVTNKNTARQNSMKEASLATEIEVPFHEYSNTVVSQDSGDANREDSHLKINKGGESFFANIIKKSFKDFSRSNQTDERGRSNVSVNGHPIPDRIVKKAEKLAGPIHPGQYWYDYRAGFWGVIGGPCLGIIPPFIEEFNYSMPENCAGGNTGVFVNGRELHQKDFNLLTGRGLPTDRDRSYIIEISGRVLDEDTGEELDCLGKLAPTVEKVKHGFGMKVPKAAA >Manes.04G044900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7699693:7703182:1 gene:Manes.04G044900.v8.1 transcript:Manes.04G044900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNSNEMVVCLFLLPSILFLAQHGAGLDHQCSTSTCGIQGPPVRFPFRIKGRQPPHCSYPEVGFDLRCSEKNHTVMELPNSWKLLVQTIDYKSQVIYASDFDRVCLPKRLLLSSNLSDIYPFRLMDYIFSFTLFNCSSKKGHSLQPITCLSSLHHYVYAITSQSSIQDLVLLLSCSKLQNISVASEYSLYSQENVLQFSWYYPECSYCEEKGKYCRLKGSETECYGIIKPAKGSATKFITTGAVLGSIFLVVAAILLYRRYRFNRTEREYQSKIEKFLEDYKAFKPTRYSYADIKRMTNKFKVELGQGAYGTVFRGKLSNEILVAVKVLNNSEGNGEEFVNEVEAIGKIHHVNVIRLIGFCADGFRRALVYEYLPNSLQKFISSADSKRHLLGWKRLQDIVLGIAKGIEYLHQGCERRILHFDIKPHNILLDHDFAPKITDFGLSKFCSKDQIVVSIKTARGTAGYMAPELFSRNFQNVSYKSDVYSFGIVVLEMVGGRKIARVTEENDEQIYFPKWIYNLLERGEDLRFEIEEEGDTKIAKKLAIVGLQCIQWNPADRPSMNVVVRILEGDGDNLPIPPNPFWSAVPARMNSKKSQRRLHQELDVISETE >Manes.14G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11498442:11503818:1 gene:Manes.14G119600.v8.1 transcript:Manes.14G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLWSILVVCTLWSRALADQIFPGPVAGSFSRSSHEPKYKIEFHPEDSPFHPDDDQESVIIPDKNGQNYLCYLPKVEKVKSGKPVNQLNVSSMIVETEKPVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQRKLRQVHLEDDKVVQEFVLGVYDEEATAAYNQNLSDVSTLKDPRSKDASQRYHAHQYTNGTMCDLTTQPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPMLCKHPLFQEERPVWHTINCNVLPNSKATKAEKDKAEDKQVIMVTNMEYPSNFDSDE >Manes.14G119600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11498442:11503818:1 gene:Manes.14G119600.v8.1 transcript:Manes.14G119600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLWSILVVCTLWSRALADQIFPGPVAGSFSRSSHEPKYKIEFHPEDSPFHPMPTTLQDDDQESVIIPDKNGQNYLCYLPKVEKVKSGKPVNQLNVSSMIVETEKPVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQRKLRQVHLEDDKVVQEFVLGVYDEEATAAYNQNLSDVSTLKDPRSKDASQRYHAHQYTNGTMCDLTTQPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPMLCKHPLFQEERPVWHTINCNVLPNSKATKAEKDKAEDKQVIMVTNMEYPSNFDSDE >Manes.14G146933.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15232442:15233846:1 gene:Manes.14G146933.v8.1 transcript:Manes.14G146933.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNMVLSLFISVLVSSLMVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQASGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSNGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIIFSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNANACAWSNGVSSCGTSNSMTNSWLSEELDSTSQERLQWVRKNYMIYNYCTDANRFPQGFPPECNLS >Manes.01G066175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26641792:26642508:1 gene:Manes.01G066175.v8.1 transcript:Manes.01G066175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTTINIIHVSGRSLVSINCTQFLLKLTVTNYSTWKAQVSPLLKGHSLMGYILGTVQIPPASKLSLYSSSVSDVDLVVQVLEGVGLEFCDTVISFDELQDKLLAHKLYLKQIDPSYEVASITANHVRKSNYSKSSSKQNSYGR >Manes.03G117400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24261724:24270658:-1 gene:Manes.03G117400.v8.1 transcript:Manes.03G117400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDLRNSVSHRSGRLRDLKDSFRNLVSRSHEATTGGVKSDSALKDFFIPDYILVAGSEPEEYNEESHVPPCPVLVFINSKSGGQLGGELLLTYRSLLNQNQVIDLGEKAPDKVLHQIYATLQTLKNNGDEFATEIEKRLRIIVAGGDGTAGWLLGVVSDLKLPQPPPIATVPLGTGNNLPFAFGWGKKNPGTDCISVETFLEQVRAAKEMKIDSWHIIMRMKCPKEGSCDPVAPLELPHSLHAFQRVSESDSLNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLQPEKFKNQLVNQSTYLKLGCTQGWFCASLLHPSSQNIAQLTRVKVMKSKGEWEDLNIPSSIRSIVCLNLPSFSGGLNPWGKPNRMRLRDRGFTPPYVDDGLIEVVGFRNAWHGLVLLSAKGHGTRLAQASRICFEFRKGAADHTFMRIDGEPWKQPLPVDDDTVVVEISNHSQVTMLATTSCQSRSIHDPAPPIDDHDEEEENTDEENESDEDWEERRKFGAADSFKFPDDVDISQLS >Manes.12G141500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34785010:34791404:-1 gene:Manes.12G141500.v8.1 transcript:Manes.12G141500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRKGAKRKRVQKDKEEEVVKASSSSQDNHKQESTKAPTRAKRVKASKPQPEPEYFEDKRNLEDLWKEAFPVGTEWDQLDAVYQFNWNFSNLEDAFEEGGVLHGKKVYLFGCTEPQLVPYKDEQKVICVPAVVAIVSPFPPSDKIGINSVQRESEEIIPMKQMKMDWVPYIPLENRESQVDRLKSQIFILSCTQRRSALRHLKIDRVKKYEYCLPYFYHPFKEDELEQSTEVQIIFPAEPKPVFCEFDWELDELEEFADNLIKEEELSEDQKDAFKEFVKEKVREAKKANREARESRKKVLAEMSEETKKAYENMRFYKFYPVETPDTPDISKVKAPFINRYYGKAHEVF >Manes.12G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34784753:34791404:-1 gene:Manes.12G141500.v8.1 transcript:Manes.12G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRKGAKRKRVQKDKEEEVVKASSSSQDNHKQESTKAPTRAKRVKASKPQPEPEYFEDKRNLEDLWKEAFPVGTEWDQLDAVYQFNWNFSNLEDAFEEGGVLHGKKVYLFGCTEPQLVPYKDEQKVICVPAVVAIVSPFPPSDKIGINSVQRESEEIIPMKQMKMDWVPYIPLENRESQVDRLKSQIFILSCTQRRSALRHLKIDRVKKYEYCLPYFYHPFKEDELEQSTEVQIIFPAEPKPVFCEFDWELDELEEFADNLIKEEELSEDQKDAFKEFVKEKVREAKKANREARESRKKVLAEMSEETKKAYENMRFYKFYPVETPDTPDISKVKAPFINRYYGKAHEVF >Manes.02G198300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16485890:16488621:1 gene:Manes.02G198300.v8.1 transcript:Manes.02G198300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQKPAAPAPEAPSTEEVVVEKPVTEKEPLPATESEPQAPEKPAIVVEEEVVAVEAEKPKETEEVKIPQSVSFKEETNVVGELPESQKKALDELKQLIQEALNKHEFTAPPPLPPVKEEAKPAEPEKTEEKAEEKVEVKEEEKTSDAPSTSEEPKTEEEPKAAEVETVTPPPPPPVEVKGEEKVEVKEENKDELPAEAVVIAEVVAAKVTTVDEDGTKTVEAIEETVVAVSLAPPAAEEPAPAKEVEAAPTEETKTEETPAPPPPPPEEVFIWGIPLLGDEKSDVILLKFLRARDFKVKDAFTMIKNTVRWRKEFGIDSLLEEDLGNELEKAVFMHGFDKEGHPVCYNVFGAFQDKELYQNSFADEEKRVKFLRWRIQFLEKSIRKLDFSPNGICTIVQVNDLKNSPGPAKRELRQATNQAVALLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFAGPSKSAETLFRYIAPEQVPVQYGGLSREGEQEFNVADSATEVIIKPTTKHTVEFSLSERCLLVWELRVLGWDVSYGAEFVPDTEDGYTVIVSKTRKISSTDEPIISDTFKIGESGKVVLTIDNQTSKKKKLLYRSKTKPLSE >Manes.16G129200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33054703:33058360:1 gene:Manes.16G129200.v8.1 transcript:Manes.16G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESECSRSICCPSPHRIVARWISGLRRTRVKRIPDEHVKKEKETESKIDEDEKGLGDRAVQARCSMDGLNGGNSVSTTGESRKDTSFNMGVGCCLLYLIAASKNELNKIVQMRLQMETLLQNTSEELINKSNISKLSKPNDMFAYSDTDSPQGPQFESQYIPESSTISAVDQSLKCEPPEKEKCSEEAMDQLEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCSKSQTPTSGEVIDLQPHDVDTDCGVPPDELERRLHELLEARQQEEIRELKAAIECLKHKLYEKEVEVSRWKDTAMLISRHAMEPSPLLQNTSEELINKSNISKLSKPNDMFAYSDTDSPQGPQFESQYIPESSTVSAVDQSLKCEPPEKEECSEEAMDQLEAELQAELERLQLHLDGEKLKHSELSRVEVS >Manes.16G129200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33054703:33058360:1 gene:Manes.16G129200.v8.1 transcript:Manes.16G129200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESECSRSICCPSPHRIVARWISGLRRTRVKRIPDEHVKKEKETESKIDEDEKGLGDRAVQARCSMDGLNGGNSVSTTGESRKDTSFNMGVGCCLLYLIAASKNELNKIVQMRLQMETLLQNTSEELINKSNISKLSKPNDMFAYSDTDSPQGPQFESQYIPESSTISAVDQSLKCEPPEKEKCSEEAMDQLEAELQAELERLQLHLDGEKLKHSELLRVEVTDEDTTCSKSQTPTSGEVIDLQPHDVDTDCGVPPDELERRLHELLEARQQEEIRELKAAIECLKHKLYEKEVEVSRWKDTAMLISR >Manes.15G051900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4000986:4006194:-1 gene:Manes.15G051900.v8.1 transcript:Manes.15G051900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFPLPLNPPVSLHHPKLLTLSLLPFSKSSLSLSFSKSHVCYSSSFPNPSSSSSSDRDDLFWLREEQRWLREEQRWLREEQRWLRERESLLSEIQSLKLQIQALEKHISVQEGDLVPETIANVRALLQVLTEKNRIAETASSASSIVFEEKVEDVKEVISVAEKKEIRRNTLRKGSEGEEVREMQEALQKLGFYSGEEDVEYSSFSSSTERAVKTWQEGTNGTAVTSVTEILETQQKVVKEEGETEVEVSQHRVFLLGENRWEEPSRLVGRDKKVGVIKTKDARTKCLSCRGEGRLLCTECDGTGEPNIEPQFLEWVDEGTKCPYCEGLGYTICDVCEGKAVM >Manes.15G051900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4000250:4006194:-1 gene:Manes.15G051900.v8.1 transcript:Manes.15G051900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFPLPLNPPVSLHHPKLLTLSLLPFSKSSLSLSFSKSHVCYSSSFPNPSSSSSSDRDDLFWLREEQRWLREEQRWLREEQRWLRERESLLSEIQSLKLQIQALEKHISVQEGDLVPETIANVRALLQVLTEKNRIAETASSASSIVFEEKVEDVKEVISVAEKKEIRRNTLRKGSEGEEVREMQEALQKLGFYSGEEDVEYSSFSSSTERAVKTWQEGTNGTAVTSVTEILETQQKVVKEEGETEVEVSQHRVFLLGENRWEEPSRLVGRDKKVGVIKTKDARTKCLSCRGEGRLLCTECDGTGEPNIEPQFLEWVDEGTKCPYCEGLGYTICDVCEGKAVM >Manes.15G051900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4000250:4006194:-1 gene:Manes.15G051900.v8.1 transcript:Manes.15G051900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFPLPLNPPVSLHHPKLLTLSLLPFSKSSLSLSFSKSHVCYSSSFPNPSSSSSSDRDDLFWLREEQRWLREEQRWLREEQRWLRERESLLSEIQSLKLQIQALEKHISVQEGDLVPETIANVRALLQVLTEKNRIAETASSASSIVFEEKVEDVKEVISVAEKKEIRRNTLRKGSEGEEVREMQEALQKLGFYSGEEDVEYSSFSSSTERAVKTWQATLGVPEDGIMTVELLEKLYVEQQNKVTGSNISIDEKGSNLTVSQKEGTNGTAVTSVTEILETQQKVVKEEGETEVEVSQHRVFLLGENRWEEPSRLVGRDKKVGVIKTKDARTKCLSCRGEGRLLCTECDGTGEPNIEPQFLEWVDEGTKCPYCEGLGYTICDVCEGKAVM >Manes.15G051900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4000986:4006194:-1 gene:Manes.15G051900.v8.1 transcript:Manes.15G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFPLPLNPPVSLHHPKLLTLSLLPFSKSSLSLSFSKSHVCYSSSFPNPSSSSSSDRDDLFWLREEQRWLREEQRWLREEQRWLRERESLLSEIQSLKLQIQALEKHISVQEGDLVPETIANVRALLQVLTEKNRIAETASSASSIVFEEKVEDVKEVISVAEKKEIRRNTLRKGSEGEEVREMQEALQKLGFYSGEEDVEYSSFSSSTERAVKTWQATLGVPEDGIMTVELLEKLYVEQQNKVTGSNISIDEKGSNLTVSQKEGTNGTAVTSVTEILETQQKVVKEEGETEVEVSQHRVFLLGENRWEEPSRLVGRDKKVGVIKTKDARTKCLSCRGEGRLLCTECDGTGEPNIEPQFLEWVDEGTKCPYCEGLGYTICDVCEGKAVM >Manes.12G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30729561:30731854:1 gene:Manes.12G110600.v8.1 transcript:Manes.12G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCILRPCLQWIETPEAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPMPELLTAGGSPSLASDEVSEAEVACTDMWKLQDPNPNPHSRFSNSRSRTSPKRKRTDEPVNIIKQEKLQLQFPPSDLDLRLTPIFSPKSSPRKPEIRRPGTPSMNSEESVTTTTTCFESGLGDQYGNGGERKLLNLFV >Manes.12G110600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30729561:30731854:1 gene:Manes.12G110600.v8.1 transcript:Manes.12G110600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCILRPCLQWIETPEAQGHATVFVAKFFGRAGLMSFISAVPESQRPACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPMPELLTAGGSPSLASDEVSEAEVACTDMWKLQDPNPNPHSRFSNSRSRTSPKRKRTDEPVNIIKQEKLQLQFPPSDLDLRLTPIFSPKSSPRKPEIRRPGTPSMNSEESVTTTTTCFESGLGDQYGNGGERKLLNLFV >Manes.09G082000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:19639480:19644278:-1 gene:Manes.09G082000.v8.1 transcript:Manes.09G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQKHKSSAGIHGFYHQPQEIDPYGLSHIQILDNNVFSDVCSQGTTVSFQNYKEEYFTLESSSATGGFVAYDSPAASVSSNRSPFSPQGSHSCLSDPHHSPDNTYGSPMSGSSSADDDNLLMRQKLKELEFLLLGSESEITKNCDFCFHQADRLASWDWNQMVEMIPRLDMKQMLLVCAQCISDADIPRAAGLMHVLEQMVSVSGEPVQRLGAYMLEGLRARVELSGSKIYRALKCDAPLSSDLMTYMGILFKICPYWKFAYTAANVVIREAVEYEPIIHIIDFQIAQGTQWMFLIRSLADRPGGPPSVRITGVDDPQSAHARGGGLHIVGQKLSCFAESCNVPFEFYDAAMSGCEVQLEHLRVQPGEALVVNFPYVLHHMPDESVNTWNHRDRLLRLVKSLSPKVVTLIEQESNTNTKPFLPRFKETLEYYNAMFESIDAGASRDDKQRINAEQHCVARDIVNMIACEGADRVERHELFGKWRLRFSMAGFTQYPLTSTVASAVRDLLREYDRKYGLQEKDGALYLWWMNTAMSTFSAWR >Manes.02G055300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4388123:4392077:1 gene:Manes.02G055300.v8.1 transcript:Manes.02G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSICFSSSVFKWYSFNKLKLFYVFLVINLSLNGVVLCDSDKSVLLEFKNSVSDPSGLLSSWNLTSPNHCFWPGVSCDNDSYVVSLNITGHRNNNRGKNSENGSPFFCSGSVQNPLYGFGIRRDCQVGNGFIWGKLIPAIAKLTELRVLSLPFNGFRGEIPLEIWRMQKLEVLDLEGNMVTGSLPISFAGLRNLRVLNLGFNNIGGEIPSSLSYCTNLEILNLAGNGINGTLPAFIGGFRGVYLSLNQLGGAVPTEFGDNCETLEHLDLSGNFFVGGIPGSLGNCGNLRTLLLYSNLFEEVIPSEFGMLRKLEVLDVSRNSLSGSIPRELGKCAGLSVLVLSNFFDPYHNVNSSRGGYLLDQSGSANEDFNFFQGGIPGEIVTLPNLKMLWAPGATLEGNLPSNWGACEKLEMINLAFNFFTSEIPHEFSHCSKLWYLDLSYNRLKGELVKELQVPCMAVFDVSGNSLSGTIPVFYSGSCESGPSTYGYSSSIYDPSSAYLSFFANKAKSGSPVKSLEGDGEISIVHNFGGNNFTGTLQSMPIAPLRLEKKTTYAFLAGGNKLTGPFPGILFEKCNELDKLILNVSNNRMSGQIPADVGTMCRSLTLLDASNNQITGFIPPGVGKMVSLVSLNLSWNLLQGLIPTSLSQIKGLKYLSLAGNKMNGSIPSSLGELRSLEVLDLSSNMLSGEIPNSLVNLRNLTALLLNDNKLSGQIPSGLANVTMLSSFNVSFNNLSGSLPLSNNLIKCSSVLGNPYLRPCHVFSLTVPTPDPGSATVAQGYTVSPPSQSQKSGNNGFNSIEIASIASASAIVSVLLALIVLFFYTRKWSPKSKIMGSTRKEVTIFTDIGVPLTFENVVRATGNFNASNCIGNGGFGATYKAEISPGVLVAIKRLAVGRFQGIQQFHAEIKTLGRLHHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAADWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSFGNGFNIVAWACMLLKQGRAKEFFTAGLWDAGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Manes.13G145811.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35936498:35939040:1 gene:Manes.13G145811.v8.1 transcript:Manes.13G145811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEDEASTTQQPSSTYYLFLTIMSKRRTWVCLFLLVYAILLSTSWNFLKSVLSWCKEQSQVTTAASCGWPALHASVLLGAVFGFLSMVAALAVAVPATLVIWITVLVLLTFFGKPRRALVIEGRKITREIVGCVLKILLKEGNVVAAVCAVLGYFALVRRNYEGN >Manes.12G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4597754:4599641:1 gene:Manes.12G048900.v8.1 transcript:Manes.12G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSNFVAILGVVALLLLYKQWRAKKYSSKGRSLPPQVPGALPTIGHLHQFGAKKTFARILGDIADKHGPIFSIKLGIHRTVVISNQHIMKEFYTTNDKFLASRPPSKLSKHLGYNAASFAFAPCSSYWRDVRKLAVVEVLSPQRLKLLKDVRTSEVISHLVKDLFKRFKENKNNPVKVDMSKLHEHLVVNIMTRMVAGKRYFEGSNNGHDEKGRPFGQIMRDFMYAAGAFVPSDMAPFLGWTDFFGPVKSMKKIMKELNSIFDVWVEEHELRRLNGEVETPRDFIDVLLNAIKDDSMFGNSRETVIKATILTLIVGGSDTTSITMTWMLANLLNNRRELELAQEEIDQKIGRDRHVEESDIENLVYLKAIMKETLRLYPAGPLAVPREAMEDCTLCGYHIPKGTRFLTNLWKLHRDESVWPSPDEFKPDRFLTTHAYVDVFCQNFELVPFGSGRRSCPGLNFAMQVIQLGMARLLQGFNFTTPNNEPVDMTESLNISLDKETPLEVMVTPRLAPELYQY >Manes.03G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1016139:1025510:1 gene:Manes.03G012200.v8.1 transcript:Manes.03G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQEHAQSPSLDDCLKLLKGERDEQRLAGLLLVTKFCKGDDVASLRKVYEAVGIQFLDRLLRTGMGKGTISGNGANNRDAYLQLSVTVLAAFCRVPEIASSEDMVLKIPVILEIMSKSSSPVLEECYEFLYLVTLSSEDGAIMLYEYGGMKLLASQMCALPDGSHMMELAMKMVQSMLSKLSQGSITDDGLSDLPMVVGPIARQFAVLHNELKFEALHLLSYIFSSKYSQLLPDALRVMAGNNWPDYMRVGIVAILQNRVAPAEKLHALILAESMVSIVGESWLIGQSNVPDLQDPMPADRCLLLVLESSRVEVDVMLNELAYLKYEASKNSSTTTEAILVKQQNVAVAFSLIERIIKLISAMAGEGGELIGESTFMKVLNGLNETINVVLEYLKDAKEHGQNKGNDLLASVRVVGSYLAETPDACKDKVRELLGYMLSIEANDESSPFYSICFLLPMLCQITMKIEGCKALISSGGYKAVAECLIKLIGPSCYTVEDDSCIFLACDTMMNLLLKKGEVQFSMDESTVVDLLMTLGYWTEDANDPSILTMASSICALLLDYTSEEALLNHPNFGSSSLDHLARLIARSLTFSEQGMSDAVRGESGLLEIVSSGFSRWAHRFPRISEAVKRIS >Manes.03G012200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1016139:1025511:1 gene:Manes.03G012200.v8.1 transcript:Manes.03G012200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTISGNGANNRDAYLQLSVTVLAAFCRVPEIASSEDMVLKIPVILEIMSKSSSPVLEECYEFLYLVTLSSEDGAIMLYEYGGMKLLASQMCALPDGSHMMELAMKMVQSMLSKLSQGSITDDGLSDLPMVVGPIARQFAVLHNELKFEALHLLSYIFSSKYSQLLPDALRVMAGNNWPDYMRVGIVAILQNRVAPAEKLHALILAESMVSIVGESWLIGQSNVPDLQDPMPADRCLLLVLESSRVEVDVMLNELAYLKYEASKNSSTTTEAILVKQQNVAVAFSLIERIIKLISAMAGEGGELIGESTFMKVLNGLNETINVVLEYLKDAKEHGQNKGNDLLASVRVVGSYLAETPDACKDKVRELLGYMLSIEANDESSPFYSICFLLPMLCQITMKIEGCKALISSGGYKAVAECLIKLIGPSCYTVEDDSCIFLACDTMMNLLLKKGEVQFSMDESTVVDLLMTLGYWTEDANDPSILTMASSICALLLDYTSEEALLNHPNFGSSSLDHLARLIARSLTFSEQGMSDAVRGESGLLEIVSSGFSRWAHRFPRISEAVKRIS >Manes.03G012200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1016139:1025152:1 gene:Manes.03G012200.v8.1 transcript:Manes.03G012200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAQSPSLDDCLKLLKGERDEQRLAGLLLVTKFCKGDDVASLRKVYEAVGIQFLDRLLRTGMGKGTISGNGANNRDAYLQLSVTVLAAFCRVPEIASSEDMVLKIPVILEIMSKSSSPVLEECYEFLYLVTLSSEDGAIMLYEYGGMKLLASQMCALPDGSHMMELAMKMVQSMLSKLSQGSITDDGLSDLPMVVGPIARQFAVLHNELKFEALHLLSYIFSSKYSQLLPDALRVMAGNNWPDYMRVGIVAILQNRVAPAEKLHALILAESMVSIVGESWLIGQSNVPDLQDPMPADRCLLLVLESSRVEVDVMLNELAYLKYEASKNSSTTTEAILVKQQNVAVAFSLIERIIKLISAMAGEGGELIGESTFMKVLNGLNETINVVLEYLKDAKEHGQNKGNDLLASVRVVGSYLAETPDACKDKVRELLGYMLSIEANDESSPFYSICFLLPMLCQITMKIEGCKALISSGGYKAVAECLIKLIGPSCYTVEDDSCIFLACDTMMNLLLKKGEVQFSMDESTVVDLLMTLGYWTEDANDPSILTMASSICALLLDYTSEEALLNHPNFGSSSLDHLARLIARSLTFSEQGMSDAVRGESGLLEIVSSGFSRWAHRFPRISEAVKRIS >Manes.03G012200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1016139:1025152:1 gene:Manes.03G012200.v8.1 transcript:Manes.03G012200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQEHAQSPSLDDCLKLLKGERDEQRLAGLLLVTKFCKGDDVASLRKVYEAVGIQFLDRLLRTGMGKGTISGNGANNRDAYLQLSVTVLAAFCRVPEIASSEDMVLKIPVILEIMSKSSSPVLEECYEFLYLVTLSSEDGAIMLYEYGGMKLLASQMCALPDGSHMMELAMKMVQSMLSKLSQGSITDDGLSDLPMVVGPIARQFAVLHNELKFEALHLLSYIFSSKYSQLLPDALRVMAGNNWPDYMRVGIVAILQNRVAPAEKLHALILAESMVSIVGESWLIGQSNVPDLQDPMPADRCLLLVLESSRVEVDVMLNELAYLKYEASKNSSTTTEAILVKQQNVAVAFSLIERIIKLISAMAGEGGELIGESTFMKVLNGLNETINVVLEYLKDAKEHGQNKGNDLLASVRVVGSYLAETPDACKDKVRELLGYMLSIEANDESSPFYSICFLLPMLCQITMKIEGCKALISSGGYKAVAECLIKLIGPSCYTVEDDSCIFLACDTMMNLLLKKGEVQFSMDESTVVDLLMTLGYWTEDANDPSILTMASSICALLLDYTSEEALLNHPNFGSSSLDHLARLIARSLTFSEQGMSDAVRGESGLLEIVSSGFSRWAHRFPRISEAVKRIS >Manes.03G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:182161:184113:1 gene:Manes.03G000900.v8.1 transcript:Manes.03G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTTSDETHIDVQFSDRRVTMGLPDQNNDSNVPLLLQPSYARSKSLLFDELRNFRISLKWCALDHSSCIGKFVSYLTCIFFTIIVPIISSLSIQVPSDYPISLNKLVQFPESGLALIAFLTLSGFFRKYGLRQLLFLDGLQDDSLFVRRGYSRELDKAFRYLACILLPSFLVELAHKITLFSTVKISLPYIIGSGVPWNSIMFVLVLASWVYRTGVFLLVCVLFRLTCELQILRFEGLHKLFDGCESDAGVIFREHVRIKKQLSVTSHRYRFFIIACSVTITISQLGALLLVLAFKSDKTFINSGDLVICSVVQLSGFFLCLLGAARITHRAQRIVSVATRWHMIVTSASDRSDQGKRLIQDADGTLVSNSADIDADSSDILVSDNSSQDPSSYQTRQAFVAYLQHNNGGITLFGFALDRGLLHTLFAFEFSLVMWILSKAVVLS >Manes.03G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1530208:1532469:1 gene:Manes.03G018100.v8.1 transcript:Manes.03G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITGKTPAQSKDKDKKKPISRSSRAGLQFPVGRIHRLLKSRVTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Manes.01G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28669521:28677732:-1 gene:Manes.01G084200.v8.1 transcript:Manes.01G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNHLNGRISPSTPADRGSTARSVTPPLQPNYSSRAVQEALEHLASVDLIELCSEAKVERCRATRDLRSCGRYVQYALVSCGHASLCSECCQRCDLCPICRIPIPKSTNRLRCRLYYECIEAGLISKKCDERFHEKDEDNQLTADVQRLYSLFDVAMENNLVSLICHYVTDVCMDESAVSSDPVIAFLLDEVVVKDWSKRTFRNIVFELQGIYNLETEEMKTKLNFLMKHSMHLAGLSNVLEVLESSFKGNLSAQFNDLQILQESILKTKQHLEIMKWCIRHQFLEDLKSGHANFTSWCSVVRERKSAAIMRSWPDVVNQSAESNMLTGSLFIEDALANLEIEQEYIQEMGDELQLASLQKDRGSFFRSKIEGVTGCYPFESLRVAVDVLFLHGSSDLVVAKQDYLLYYFFDRHWKMPDGTWRHIVDDFAATFGISRHALLESFAFYLLDDHTDETLKEACLLLPEIANSSTHPKIAQVLLERQAPEMALMVLRWSGRDGSQMVSLSEAVTAVRVRVECGLLTEAFMHQRMLCTKVREKKWKDELPEDAPSELKCAWEDWVEVLVTEICCLCVKRKLVDRMIEFPWNSDEEKHIHKCLLECAVNDPSTTIGSLLVVFYLQRYRYAEACQVDLKLQNMEQDFISKNSVSEEVLSRMRSISHWRTGLVAKSIELLPQVQQQQAKTGKMLSEIYNVSGEQVKAPVKSDLPMLERPKSSSLLIPPNADSSLFLQTNHKTPFNSSVLESPTRLDGSINKSQFELGNSGSPSILQERLFTTARKGVKPQMSNHKNDKYDKTPTHGIPRVSHMSAAPLKDISRTSLGVLADNDLHHGPFDSDLPEMEQYGFTEQLQKRNPHYSLKVTANVIAISGGNGGFPTDSVQVAGKKIHPKRSDGLWGTTSGDDAMDIGSSGREKGFTVDEGNVNGGLRWRSDESSDEEEDRAVKLASYTTPRRGVRRSRFARR >Manes.01G084200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28669520:28677732:-1 gene:Manes.01G084200.v8.1 transcript:Manes.01G084200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNHLNGRISPSTPADRGSTARSVTPPLQPNYSSRAVQEALEHLASVDLIELCSEAKVERCRATRDLRSCGRYVQYALVSCGHASLCSECCQRCDLCPICRIPIPKSTNRLRCRLYYECIEAGLISKKCDERFHEKDEDNQLTADVQRLYSLFDVAMENNLVSLICHYVTDVCMDESAVSSDPVIAFLLDEVVVKDWSKRTFRNIVFELQGIYNLETEEMKTKLNFLMKHSMHLAGLSNVLEVLESSFKGNLSAQFNDLQILQESILKTKQHLEIMKWCIRHQFLEDLKSGHANFTSWCSVVRERKSAAIMRSWPDVVNQSAESNMLTGSLFIEDALANLEIEQEYIQEMGDELQLASLQKDRGSFFRSKIEGVTGCYPFESLRVAVDVLFLHGSSDLVVAKQDYLLYYFFDRHWKMPDGTWRHIVDDFAATFGISRHALLESFAFYLLDDHTDETLKEACLLLPEIANSSTHPKIAQVLLERQAPEMALMVLRWSGRDGSQMVSLSEAVTAVRVRVECGLLTEAFMHQRMLCTKVREKKWKDELPEDAPSELKCAWEDWVEVLVTEICCLCVKRKLVDRMIEFPWNSDEEKHIHKCLLECAVNDPSTTIGSLLVVFYLQRYRYAEACQVDLKLQNMEQDFISKNSVSEEVLSRMRSISHWRTGLVVSA >Manes.01G084200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28669520:28677732:-1 gene:Manes.01G084200.v8.1 transcript:Manes.01G084200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYWVEALEHLASVDLIELCSEAKVERCRATRDLRSCGRYVQYALVSCGHASLCSECCQRCDLCPICRIPIPKSTNRLRCRLYYECIEAGLISKKCDERFHEKDEDNQLTADVQRLYSLFDVAMENNLVSLICHYVTDVCMDESAVSSDPVIAFLLDEVVVKDWSKRTFRNIVFELQGIYNLETEEMKTKLNFLMKHSMHLAGLSNVLEVLESSFKGNLSAQFNDLQILQESILKTKQHLEIMKWCIRHQFLEDLKSGHANFTSWCSVVRERKSAAIMRSWPDVVNQSAESNMLTGSLFIEDALANLEIEQEYIQEMGDELQLASLQKDRGSFFRSKIEGVTGCYPFESLRVAVDVLFLHGSSDLVVAKQDYLLYYFFDRHWKMPDGTWRHIVDDFAATFGISRHALLESFAFYLLDDHTDETLKEACLLLPEIANSSTHPKIAQVLLERQAPEMALMVLRWSGRDGSQMVSLSEAVTAVRVRVECGLLTEAFMHQRMLCTKVREKKWKDELPEDAPSELKCAWEDWVEVLVTEICCLCVKRKLVDRMIEFPWNSDEEKHIHKCLLECAVNDPSTTIGSLLVVFYLQRYRYAEACQVDLKLQNMEQDFISKNSVSEEVLSRMRSISHWRTGLVAKSIELLPQVQQQQAKTGKMLSEIYNVSGEQVKAPVKSDLPMLERPKSSSLLIPPNADSSLFLQTNHKTPFNSSVLESPTRLDGSINKSQFELGNSGSPSILQERLFTTARKGVKPQMSNHKNDKYDKTPTHGIPRVSHMSAAPLKDISRTSLGVLADNDLHHGPFDSDLPEMEQYGFTEQLQKRNPHYSLKVTANVIAISGGNGGFPTDSVQVAGKKIHPKRSDGLWGTTSGDDAMDIGSSGREKGFTVDEGNVNGGLRWRSDESSDEEEDRAVKLASYTTPRRGVRRSRFARR >Manes.13G126000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33324799:33331723:1 gene:Manes.13G126000.v8.1 transcript:Manes.13G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCNSKSSPNPNTVASSPPDSRIAAVRANDNSIPPKNAPKPDLAAGNGRENPVNEDHNEEEKEKTGKDEKTVLDSVKKSPFFQFYSPSPAHYFFSKKSSPSGSPARNAASANSTPKRFFKRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSEAEGTGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCQAKFKKGELRGQQVAVKVIPKTKMTTAIAIEDVRREVKILRALTGHNNLVQFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEDDAKAVLVQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYGTEADIWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEVPWPSLSFEAKDFVKRLLNKDQRKRLSAAQALCHPWIRSSNELDVPLDILIFKLMKAYMRSSSLRKAALRALSKTLTVDELYYLKEQFTLLEPNKNGTISLENIKKALMKNATHAMRDSRIPDFLASLNALQYRRMDFEEFCVAALSVHQLEAADRWEQHARCAYELFEKDGNRAIMIEELASELGLSPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGVSSRNFAKAQ >Manes.07G117800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32326485:32327510:1 gene:Manes.07G117800.v8.1 transcript:Manes.07G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLSHSSSAPPQFYFDQKWKLSKKEGSSRSSRSSSSPFMKNSSQRRCSFTRKCARLVKEQRARFYIMRRCVTMLICWRDYSDS >Manes.09G087300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26435053:26437973:-1 gene:Manes.09G087300.v8.1 transcript:Manes.09G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISWVTGWPGPSGFGSASTAEQVTEGIDATNLTTIITGGASGIGLETARVLALRKAHVIIAARNMEAANEAKQRILKEVKDGRVDVMKLDLASIKSVHEFADTFIARNLPLNILINNAGIMFCPYQLSEDGIEMQFATNHIGHFLLTNLLLDKMKDTARSSGIEGRIVNLSSIAHIHTYRHGIRFDKLNDKRSYSDKRAYGQSKLANVLHAKELTRRFQEEGVNITANAVHPGLIMTNLMRYSALLMKILKFFSFVLWKNVPQGAATTCYVALHPSLKGVSGKYFVDCNEMKPSTYARDESLASKLWDFSNKLITSASKA >Manes.10G030001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3064360:3065620:-1 gene:Manes.10G030001.v8.1 transcript:Manes.10G030001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELISMEEGKESYNSNSFSLLKRIEIGQCPSMKKLFPRGLMPNLQNLEEIEVNDCDNMEELISMEEGKESYNSNSFSPLKKIKIRECPSMKKLFPQGLMSNLQNLEEIEVCHCDNMKELIAMEEREREESYNSSNGTTFIFTLPKLRSVGLAELPQLKSICSQEIVCDSLEYIEVVNCVNLERIALSLFLPDQSWLFPLPSLKAIHICPQDWWEQLFDFEQKIVLLPLCSFEDEDMEFSSFWV >Manes.13G006200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1109001:1111624:-1 gene:Manes.13G006200.v8.1 transcript:Manes.13G006200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSFCSFSIFLLFLFLQSSNCQQEYIRQLSTDCGQNSSISKGYVCNGNQIPCQSFLTLFSRPPYDSPITISSLLDSEASSIALINNVSSIFTFPLEKRIVVPVSCSCARGIFQHNASYFIQYLETVFTVANNTYQGLTTCQAILDQNPFLSPKYLDIGSYFKVPLRCACPSSNQMAKGVISLLVYTVTWGDTVQSIANSFGVDEASLLEANKLSQESTLYPFTPLLVPLTNENRLTNGKSANQGNSTNVGNFSCLYPNGSVQVGEKDIYCRAQNKKFPAKLVALLGVGIGLGLLCFFVFAYKLYQFLKKRRSRIQKARLFVQNGGLMLQQRLSSYGSSEKTKLFTAEELQRATDNYNKSRFLGQGGFGTVYKGMLPDGTIVAVKRSKTIDRREIEQFINEVVILSQINHRNIVKFLGCCLESEFPLLVYEFISNGTLSQHIHVQDQESSLPWEDRFRIAGEVAGAVAYMHSAASVPIFHRDIKSSNILLDEKYSAKVSDFGTSRLISYDKTHITTIVQGTFGYLDPEYFYTSQFTEKSDVYSFGVVLIELLSGEKPISSTRAEDEKNLVAHFISLAEENSLEKILDPRVAREASAEVVHAVAKLAMNCVRSNAKNRPSMREVAMELDGMIKSQHCLEIIDQENLVGDEEDDSTSETDSKLGEDDIAFSKETGTVSI >Manes.09G160500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35552194:35555265:1 gene:Manes.09G160500.v8.1 transcript:Manes.09G160500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVSESCIDGLLTEMVSSYCNRFDANKPELAGRRIEAIGYQVGHQLAERYTMERPRFSDHLEAIKFICKDFWSEVFKKQIDNLKTNHRGTFVLQDNKFRWLARMSVDTSIESVDLSQDPSALAENKATQAMGVHLYFPCGIIRGALSNLGIPCAVSADISNLPACEYLDFEI >Manes.09G160500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35552194:35556737:1 gene:Manes.09G160500.v8.1 transcript:Manes.09G160500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVSESCIDGLLTEMVSSYCNRFDANKPELAGRRIEAIGYQVGHQLAERYTMERPRFSDHLEAIKFICKDFWSEVFKKQIDNLKTNHRGTFVLQDNKFRWLARMSVDTSIESVDLSQDPSALAENKATQAMGVHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVVRIKA >Manes.16G010300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1072297:1074385:-1 gene:Manes.16G010300.v8.1 transcript:Manes.16G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIDLLILCSVLVFLLLWRRFWFHTGGGPNNLPPGPPGWPLVGNLFQVILQRRPFIFVVRDLRAKYGPIFTMQMGQRTLVIVTSSELIHEALVHRGPTFASRPPDSPIRLVFSVGKCAMNSAEYGPLWRTLRRNFVTEVTSPTRIKQCSWIRQWALENHMKRFKREALENGSVEVLNNCRLTMCSILICICLGARISEDRIKNIERILKEVALMTTLKLPDFLPVLAPLFSRQMREAKELRKKQMECLVPLIRNRRAFIEKGENPNSEMVSPVGAAYIDSLFDLKPPSRGPLGEQEFVTLCSEVINAGTDTSATIIEWALLHLVQNQEIQDKLYREIAGCVGKGLVKEEDVEKMPYLGAIVKETLRRHPPSHFLLSHAAVKDTELGGYTIPMGVNVELYTAWVTEDPDIWKDPGDFRPERFLEGDGVDVDVTGTRGVKMVPFGAGRRICPAWSLGILHVNLMIARMVHAFKWLPDPESPPDPTETFAFTVVMKNPLKAVILPRS >Manes.13G132900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34173228:34176846:1 gene:Manes.13G132900.v8.1 transcript:Manes.13G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFKYLTVASRLNHTLSSFHQFKSQTHETLHALLHKCSSMKEIKQIHAQIILNGLVNETFTLGKLISFCAVSDAGNIDYGQLVFNQSPESNKFMYNSLIRGYSSSNDPIKSILLYRQMIDCGLSPNEFTLPFVLKACASKFAFWVSLLVHGHAEKLGIGSHVCVQNGLIHAYVACGFIQYARDVFDDMSDRTLVSWNSIIGGYAKMGRRKESFLLLNEMREIGMEPDEFTLVHLLSLCSQNRDIVLGKFVHLYIEITGMEIDLIVRNALLDMYAKCGQLQSAERVFERMLDKNVVSWTSMVSAYAKNGLVEFARRIFDQMTVKNVISWNSMISCYVQGGQWREALDLFHEMNNLGVKPDEATLLSVLPACSQLGDLAMGKKIHDYIHSTCSTPSVNLCNSIIDMYAKCGGLKFSMDIFNRMPNKNLVSWNSIIGALALHGCGPEAVELFEKMQAEGVCPDEITFTGLLSACSHSGLVDIGRHYFYKMSSVYGIQYEIEHYACMVDLLGRGGQLHEAIRLIRGMPMKPDIVIWGALLGACKTHGNVKIGKQILKQLLESEPHSSGVYVLLSNLYSDAGRWEDMKNIRKLMNDHEIIKCRAISSVEIEGCVYEFMVDDKRLEVSCNVYSILDQLTDHMKSIAYFCNIPSLCSESPEV >Manes.05G159400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27200768:27208505:-1 gene:Manes.05G159400.v8.1 transcript:Manes.05G159400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGNKAVLADKASQFQHVAGNEGAREPCIWSSPDGFRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYKPETFESLAYEGTIRKLVYDLGYPGELLEWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVGTYGSTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPGKVPEGVDYACMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTSIVPMLKMLRDSGRSTFLVTNSLWDYTNIVMNFLCGSHMLYGSRTSNFDWLKYFDVVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGNPMPQVGNSSPKIVLKESSAPYRIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWGLRDSRKQLRLMRNERDRIEDQIHHLKWSLKFEDLDADQKQTMSDTIEELESQRDQVRISHQQAQRECHQRFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDFMPHEFDILPV >Manes.05G159400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27200768:27208499:-1 gene:Manes.05G159400.v8.1 transcript:Manes.05G159400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSQLTTSLPTSNRTFLLVSDSSSSSSSSSSLFFHQFTPPRCLYNSTMRVPRRPVSFCLSFFSLEFFPPYSSWVTFNSGILGKSNRFISKTRGIHTRGVNHLCASYTMDEGNKAVLADKASQFQHVAGNEGAREPCIWSSPDGFRKIEIGKQIFCNRSLNMRNILAVGFDMDYTLAQYKPETFESLAYEGTIRKLVYDLGYPGELLEWSFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVGTYGSTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPGKVPEGVDYACMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTSIVPMLKMLRDSGRSTFLVTNSLWDYTNIVMNFLCGSHMLYGSRTSNFDWLKYFDVVITGSAKPGFFHEDNRANLFEVEPESGMLRNTDNGNPMPQVGNSSPKIVLKESSAPYRIFQGGSVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWGLRDSRKQLRLMRNERDRIEDQIHHLKWSLKFEDLDADQKQTMSDTIEELESQRDQVRISHQQAQRECHQRFHKIWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPDKYYRPSEDFMPHEFDILPV >Manes.08G020350.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2017181:2021506:1 gene:Manes.08G020350.v8.1 transcript:Manes.08G020350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMQTPGVDSQAQSQSLDPRKIQDHFEDFYEDLFEELSKYGDIESLNICDNIADHMVGNVYVQFREEEHAANALNNLNGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRRLFGRSRRRRSRSRSHSPNKLRGYDERLHGNRSSGRRDDDRDHYHERGRRHRSRSPGRRGGRSRSPAGRRNRSPPARESSVERRAKIEQWNREREQAEFGNKDSQNPDNDRSSNGDAKIGGRYDDPQHQ >Manes.08G020350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2017181:2021506:1 gene:Manes.08G020350.v8.1 transcript:Manes.08G020350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMQTPGVDSQAQSQSLDPRKIQDHFEDFYEDLFEELSKYGDIESLNICDNIADHMVGNVYVQFREEEHAANALNNLNGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRRLFGRSRRRRSRSRSHSPNKLRGYDERLHGNRSSGRRDDDRDHYHERGRRHRSRSPGRRGGRSRSPAGRRNRSPPARESSVERRAKIEQWNREREQAEFGNKDSQNPDNDRSSNGDAKIGGRYDDPQHQ >Manes.08G020350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2017181:2021506:1 gene:Manes.08G020350.v8.1 transcript:Manes.08G020350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMQTPGVDSQAQSQSLDPRKIQDHFEDFYEDLFEELSKYGDIESLNICDNIADHMVGNVYVQFREEEHAANALNNLNGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRRLFGRSRRRRSRSRSHSPNKLRGYDERLHGNRSSGRRDDDRDHYHERGRRHRSRSPGRRGGRSRSPAGRRNRSPPARESSVERRAKIEQWNREREQAEFGNKDSQNPDNDRSSNGDAKIGGRYDDPQHQ >Manes.10G027400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2730446:2731432:-1 gene:Manes.10G027400.v8.1 transcript:Manes.10G027400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSSCGACKFLRRKCTSECVFAPYFCYDEAATHFAAVHKVFGASNVSKLLLHLPVQNRSDAAITISYEALARMRDPIYGCVAHIFALQQQIASLQEEIEILGHQMANLSVGIVSRGSSQTTSNPNCEIQNYSLQDAINMQYYQNQPAAPLNVSGYASGNQAFNSQMNAELPPIYEWEDQNPFCESHPYTLDRLLEGADQEVFPYCSWLDTGN >Manes.01G045515.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17415969:17420641:-1 gene:Manes.01G045515.v8.1 transcript:Manes.01G045515.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRQNTPPVTMEVDLNAMNNEQMMYPKLLNPISSPSHSQIPYTTPSRKPLSNIVISIFTETVSLNQTRRYSFFLFRSHNRQKCSTSTTKPDPAASQSHDLFSHFIVPNQSRIVILRPGGSCSSSIEDLFCPLPEIVDLSSITGLDFLWHWYITGIHLNLDVSK >Manes.09G123800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32596137:32599176:-1 gene:Manes.09G123800.v8.1 transcript:Manes.09G123800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKVFPRPTAGPLRPIVHGQTLKYNMKLRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRQNRSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGQFMPIVREKPSVELVKVTEEMKSFKAYDKLCLERMNQRHAGARMKKAAEAEKEEKK >Manes.09G123800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32596137:32599176:-1 gene:Manes.09G123800.v8.1 transcript:Manes.09G123800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKVFPRPTAGPLRPIVHGQTLKYNMKLRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRQNRSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGQFMPIVREKPSVELVKVTEEMKSFKAYDKLCLERMNQRHAGARMKKAAEAEKEEKK >Manes.13G056600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6584104:6586110:-1 gene:Manes.13G056600.v8.1 transcript:Manes.13G056600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISETKSTSNIKRETMENRNQKVWLAHVLVLPYPAQGHINPMLQFCKRLVSKGVKATLANTRYLSKSMTIEPTSMIDFETISDGFDEGGYAQAESPEVYYSTLKAVGSETLATLIKNLNDSSHPVNALVYDGFFPWALDVAKQFGVLSVAFFTQSCAVSNVYYHVQRGLLQVPLTEPVVSLPGLPILEASETPSFIYNISLYPIAIYDLLVNQFTNFDEADWILHNTFEKLEEEVLDWMAKSWKVRTIGPTLPSMYLDKRIEDDNDYGINLFKPNSSACTNWLLDKPSGSVVYVSFGSMAELGVEQMEELACGLKGSNRYFLWVVRESQQSKLPGNFIDETSEKGLVVAWCPQLEVLAHEAIGCFLTHCGFNSVLEALSLGVPLIAMPHWSDQPTNAKFVEDVWKMGIRARPDENGIVRREVVELCLREVMEGKKGNEIKENARKWKKLSKAAIDEGGTSDRNIDEFVATLIKS >Manes.05G121600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20460420:20461401:-1 gene:Manes.05G121600.v8.1 transcript:Manes.05G121600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFCYFMLLYAGVAGITAYAGFYEVCSPKKGEYVYVSAACGAIGQIVGQLAKLMGCHVVGSAGSNQKVDLLKDKLGFDEAFNYKEEQDLDAALKRYFPQGIDIYFDSVGGKMLDAVLLNMRIRGRIAACGMISQYNLDKPEGVHNLMSIIGKRVRVEGFVTGDFYHLYPKFLELVVPYIRQNKIIYVEDVGEGLESGPISLIGLFTGRNIGKQLVIVARE >Manes.05G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20460513:20462617:-1 gene:Manes.05G121600.v8.1 transcript:Manes.05G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEMIISNKQVVLKNYVTGFPQESDMEIITSSINLSVPEATKDALLVKNLYLSCDPYMRGCMTNLVGPSIVSSFQPGKPLTGFGVMKVADSTHPNYNKGDLAWGFTKWEEHSLIVSPQLLFKIQHTDLPLSYYTGILGVAGITAYAGFYEVCSPKKGEYVYVSAACGAIGQIVGQLAKLMGCHVVGSAGSNQKVDLLKDKLGFDEAFNYKEEQDLDAALKRYFPQGIDIYFDSVGGKMLDAVLLNMRIRGRIAACGMISQYNLDKPEGVHNLMSIIGKRVRVEGFVTGDFYHLYPKFLELVVPYIRQNKIIYVEDVGEGLESGPISLIGLFTGRNIGKQLVIVARE >Manes.17G097100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30416560:30419424:-1 gene:Manes.17G097100.v8.1 transcript:Manes.17G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLASGTMLAERRGLSRPKLGALLHYDPAIFVFHNHEDDDSNHKGTLSPASLASPRDHNINSPTSGEVDSPYPMSPWSQLSPYAKSPWLIPSPIINHNLGNHGLIGSIVREDGHVYSLAACGDLLYTGSDSKNIRVWRNMKEFAGFKSNSGLVKAIVLLGDKIFSGHQDGKIRIWKTSPKNPSVHKRIGSLPTFKESIKKSFNPQNYVEARRHRNVLRIKHFDAVSCLSLNEEQGLLYSGSWDKTLKVWRISDYKCMESIKAHDDAINSVAAGFDSLVFTGSADGTIKVWRREMEGRGTKHFLVQTLIQQENAVTALAINQESSVLYCGSSDGLVTFWEYEKHLSHGGVLRGHKTAVLCLTTAGNLVFSGSADKSICVWRREPGGAHICLSVLTGHSGPVKCLAVEEDQDSDKGDQRWILYSGSLDKSIRVWRVSEEAYQYKYNYHYQSPKSQAKPLCLTADHQQRS >Manes.13G076190.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:19346646:19347704:-1 gene:Manes.13G076190.v8.1 transcript:Manes.13G076190.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLFLLSFLGFFIFFTSGHVYASTSSNPPQLQTLNTKPEYINPKLPPRSLSSSKKFEGSSDLVQLRYHMGPVLSSSPINIYLIWYGRWADSQKLLIKDFINSISPATVAAKPSVSEWWRTVSLYTDQTGANVSRSVLIAGEYSDKAYSHGAHLTRLSIQQVIATAVKSAPFPVDHKNGIYLILTTQDVTVQDFCRAVCGFHYFTFPSMVGYTLPYAWVGNSGKQCPEVCAYPFAIPGYMGGGGPGALKAPNGDVGVDGMISVIGHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDKQGRTFNVNGKRGRKFLVQWIWSPELKACAGPNALD >Manes.11G072967.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10546778:10554370:-1 gene:Manes.11G072967.v8.1 transcript:Manes.11G072967.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLDRALSAAPSAAEPSLQRRNSGTFGGTFGGRKSFSRDESQALSAAELHLRRPKVCFQAKTQLSGARLGGQTMHPQAG >Manes.02G067400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5117874:5126442:-1 gene:Manes.02G067400.v8.1 transcript:Manes.02G067400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDVSGDGTTSTVIFIGELMKQSERYIDEGMHPRVLVDGFEVAKRATLQFLEKFKTPVVIGDEPDVEILKMVARTTLRTKLYEALADQLTDIVVNAVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCFILTCNASLEYEKSEINAGFFYSNAEQREAMVAAERRQVDERVKRIIELKNKVCSGNDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDCLGWAGLVYEHILGEEKYTFVENVKNPYSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFELAARKYLITEVKKTVKGRAQLGVEAFADALLVVPKTLAENSGLDTQDEIVSLTGEHDRDNIVGINLQTGGALDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPN >Manes.01G265000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41951786:41955929:-1 gene:Manes.01G265000.v8.1 transcript:Manes.01G265000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAHGRPLPPPFLTRDLHLHHHHQFQQHQQQNSEDEQNGNGSLNRGQKREHDETTADTAEGKELVPAGGDGEISRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVSTFARRRQRGVCILSGNGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLLASGPVVVMAASFGNAAYERLPLEEDEGQAPVPGSGPLGSPGSAGQPQQQQQQQQQQLMQDPNPSLFQGLPPNLLNSVQLPAEAYWGTARPPF >Manes.01G265000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41952323:41955931:-1 gene:Manes.01G265000.v8.1 transcript:Manes.01G265000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAHGRPLPPPFLTRDLHLHHHHQFQQHQQQNSEDEQNGNGSLNRGQKREHDETTADTAEGKELVPAGGDGEISRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVSTFARRRQRGVCILSGNGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLLASGPVVVMAASFGNAAYERLPLEEDEGQAPVPGSGPLGSPGSAGQPQQQQQQQQQQLMQDPNPSLFQGLPPNLLNSVQLPAEAYWGTARPPF >Manes.01G265000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41951786:41955929:-1 gene:Manes.01G265000.v8.1 transcript:Manes.01G265000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAHGRPLPPPFLTRDLHLHHHHQFQQHQQQNSEDEQNGNGSLNRGQKREHDETTADTAEGKELVPAGGDGEISRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVSTFARRRQRGVCILSGNGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLLASGPVVVMAASFGNAAYERLPLEEDEGQAPVPGSGPLGSPGSAGQPQQQQQQQQQQLMQDPNPSLFQGLPPNLLNSVQLPAEAYWGTARPPF >Manes.01G265000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41951786:41955929:-1 gene:Manes.01G265000.v8.1 transcript:Manes.01G265000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAHGRPLPPPFLTRDLHLHHHHQFQQHQQQNSEDEQNGNGSLNRGQKREHDETTADTAEGKELVPAGGDGEISRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVSTFARRRQRGVCILSGNGTVTNVTLRQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLLASGPVVVMAASFGNAAYERLPLEEDEGQAPVPGSGPLGSPGSAGQPQQQQQQQQQQLMQDPNPSLFQGLPPNLLNSVQLPAEAYWGTARPPF >Manes.01G143100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33392431:33395139:1 gene:Manes.01G143100.v8.1 transcript:Manes.01G143100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLGAKVLMALPLALLLTTNRVLVLPNVVSIPNGPSALAAKEAAWGLDRYAAISQDNGLVPIVEPEILLDGDHGIDRTFDVAQKVWAEVFFYLAQNNVVFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLRRRIPPSVPGIMFLSGGQSEVGATLNLNAMNQAPNLWHVSFSYARALQNTCLKKWGGGPENVKEAQEALLVRAKANSLAQLGKYRGGGVRGSQKGNVCQGICLLGH >Manes.01G143100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33392730:33395031:1 gene:Manes.01G143100.v8.1 transcript:Manes.01G143100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQRRNPVVPQQCAAVPYQREAPPSAPDYRDWLLWLVPMMNLGAKVLMALPLALLLTTNRVLVLPNVVSIPNGPSALAAKEAAWGLDRYAAISQDNGLVPIVEPEILLDGDHGIDRTFDVAQKVWAEVFFYLAQNNVVFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLRRRIPPSVPGIMFLSGGQSEVGATLNLNAMNQAPNLWHVSFSYARALQNTCLKKWGGGPENVKEAQEALLVRAKANSLAQLGKYRGGGVRGSQKGNVCQGICLLGH >Manes.01G143100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33392557:33395031:1 gene:Manes.01G143100.v8.1 transcript:Manes.01G143100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLGAKVLMALPLALLLTTNRVLVLPNVVSIPNGPSALAAKEAAWGLDRYAAISQDNGLVPIVEPEILLDGDHGIDRTFDVAQKVWAEVFFYLAQNNVVFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLRRRIPPSVPGIMFLSGGQSEVGATLNLNAMNQAPNLWHVSFSYARALQNTCLKKWGGGPENVKEAQEALLVRAKANSLAQLGKYRGGGVRGSQKGNVCQGICLLGH >Manes.01G143100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33392730:33395031:1 gene:Manes.01G143100.v8.1 transcript:Manes.01G143100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPQRRNPVVPQQCAAVPYQREAPPSAPDYRDWLLWLVPMMNLGAKVLMALPLALLLTTNRVLVLPNVVSIPNGPSALAAKEAAWGLDRYAAISQDNGLVPIVEPEILLDGDHGIDRTFDVAQKVWAEVFFYLAQNNVVFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLRRRIPPSVPGIMFLSGGQSEVGATLNLNAMNQAPNLWHVSFSYARALQNTCLKKWGGGPENVKEAQEALLVRAKANSLAQLGKYRGGGVRGSQKGNVCQGICLLGH >Manes.01G143100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33392430:33395058:1 gene:Manes.01G143100.v8.1 transcript:Manes.01G143100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLGAKVLMALPLALLLTTNRVLVLPNVVSIPNGPSALAAKEAAWGLDRYAAISQDNGLVPIVEPEILLDGDHGIDRTFDVAQKVWAEVFFYLAQNNVVFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLRRRIPPSVPGIMFLSGGQSEVGATLNLNAMNQAPNLWHVSFSYARALQNTCLKKWGGGPENVKEAQEALLVRAKANSLAQLGKYRGGGVRGSQKGNVCQGICLLGH >Manes.01G143100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33392730:33395031:1 gene:Manes.01G143100.v8.1 transcript:Manes.01G143100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLGAKVLMALPLALLLTTNRVLVLPNVVSIPNGPSALAAKEAAWGLDRYAAISQDNGLVPIVEPEILLDGDHGIDRTFDVAQKVWAEVFFYLAQNNVVFEGILLKPSMVTPGAECKDRATPEQVADYTLKLLRRRIPPSVPGIMFLSGGQSEVGATLNLNAMNQAPNLWHVSFSYARALQNTCLKKWGGGPENVKEAQEALLVRAKANSLAQLGKYRGGGVRGSQKGNVCQGICLLGH >Manes.08G093900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31752098:31762081:-1 gene:Manes.08G093900.v8.1 transcript:Manes.08G093900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYTVHLAMAALVGASLVAVSAYYMHRKTLTQLLEFTKTVERERERERDENSDGESPQHVKKRRSYAPRKGGGYYRRGSASLPDVTAFSRGSGGVDEEEKQNGILHVDGIPPGLPRLHTLPEGKAASHVKRPGSLIRPTSPKSPVPSASAFESMDGSDEDDNMNDNAKLDTTYLHTNGIAGPESKGLFENLPEHANANGEQIPIPASSMIRSHSISGDLHGVQPDPIAADILRKEPEQETFARLKISPTEVPSPDEVESYIVLQECLEMRKRYLFKEAIAPWEKEVISDPSTPKPNPEPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATNFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGSDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLAASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLCGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHVLKAFELYVFSHTGLGKSTTRESQMEMTFAGQMCLTSGWNFVIRYGERRCNRFILARPFSPSGQVNSKELLIYNHKEVNNFTCS >Manes.08G093900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31752097:31762145:-1 gene:Manes.08G093900.v8.1 transcript:Manes.08G093900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYTVHLAMAALVGASLVAVSAYYMHRKTLTQLLEFTKTVERERERERDENSDGESPQHVKKRRSYAPRKGGGYYRRGSASLPDVTAFSRGSGGVDEEEKQNGILHVDGIPPGLPRLHTLPEGKAASHVKRPGSLIRPTSPKSPVPSASAFESMDGSDEDDNMNDNAKLDTTYLHTNGIAGPESKGLFENLPEHANANGEQIPIPASSMIRSHSISGDLHGVQPDPIAADILRKEPEQETFARLKISPTEVPSPDEVESYIVLQECLEMRKRYLFKEAIAPWEKEVISDPSTPKPNPEPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATNFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGSDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLAASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLCGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHVLKSHWIGKEYYKREPDGNDIRRTNVPHIRVEFRDTIWREEMQQVYLGKAIFSEWTSK >Manes.08G093900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31752098:31762081:-1 gene:Manes.08G093900.v8.1 transcript:Manes.08G093900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYTVHLAMAALVGASLVAVSAYYMHRKTLTQLLEFTKTVERERERERDENSDGESPQHVKKRRSYAPRKGGGYYRRGSASLPDVTAFSRGSGGVDEEEKQNGILHVDGIPPGLPRLHTLPEGKAASHVKRPGSLIRPTSPKSPVPSASAFESMDGSDEDDNMNDNAKLDTTYLHTNGIAGPESKGLFENLPEHANANGEQIPIPASSMIRSHSISGDLHGVQPDPIAADILRKEPEQETFARLKISPTEVPSPDEVESYIVLQECLEMRKRYLFKEAIAPWEKEVISDPSTPKPNPEPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATNFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGSDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLAASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLCGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHVLKAFELYVFSHTGLGKSTTRESQMEMTFAGQMCLTSGWNFVIRYGERRCNRFILARPFSPSGQVNSKELLIYNHKEF >Manes.08G093900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31752098:31762104:-1 gene:Manes.08G093900.v8.1 transcript:Manes.08G093900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDEDDNMNDNAKLDTTYLHTNGIAGPESKGLFENLPEHANANGEQIPIPASSMIRSHSISGDLHGVQPDPIAADILRKEPEQETFARLKISPTEVPSPDEVESYIVLQECLEMRKRYLFKEAIAPWEKEVISDPSTPKPNPEPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATNFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGSDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLAASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLCGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHVLKSHWIGKEYYKREPDGNDIRRTNVPHIRVEFRDTIWREEMQQVYLGKAIFSEWTSK >Manes.08G093900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31752093:31762150:-1 gene:Manes.08G093900.v8.1 transcript:Manes.08G093900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYTVHLAMAALVGASLVAVSAYYMHRKTLTQLLEFTKTVERERERERDENSDGESPQHVKKRRSYAPRKGGGYYRRGSASLPDVTAFSRGSGGVDEEEKQNGILHVDGIPPGLPRLHTLPEGKAASHVKRPGSLIRPTSPKSPVPSASAFESMDGSDEDDNMNDNAKLDTTYLHTNGIAGPESKGLFENLPEHANANGEQIPIPASSMIRSHSISGDLHGVQPDPIAADILRKEPEQETFARLKISPTEVPSPDEVESYIVLQECLEMRKRYLFKEAIAPWEKEVISDPSTPKPNPEPFFYAPEGKSDHYFEMQDGVIHVYANKDSKEELFPVADATNFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGSDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLAASKYQMAEYRLSIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNVYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAYSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLCGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHVLKSHWIGKEYYKREPDGNDIRRTNVPHIRVEFRDTIWREEMQQVYLGKAIFSEWTSK >Manes.12G138000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34446040:34447396:1 gene:Manes.12G138000.v8.1 transcript:Manes.12G138000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKKGKFVRVKKSDDEDDDGDHGIEAKGLLSKEIQRWKKQQETLTAQAEDASSFCSKPSKCNRR >Manes.12G138000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34446940:34447155:1 gene:Manes.12G138000.v8.1 transcript:Manes.12G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKLGYSFKKGKFVRVKKSDDEDDDGDHGIEAKGLLSKEIQRWKKQQETLTAQAEDASSFCSKPSKCNRR >Manes.14G011300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:753446:754356:-1 gene:Manes.14G011300.v8.1 transcript:Manes.14G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLIRRLSRVADSSQYSLLRSDSQSHRCSASSRSTRRAESFRSLVKPVRRVGGGQSSVPEGHVPVYVGDEMERFVVSAELLNHPIFIGLLNKSAQEYGYDQKGVLRIPCHVLVFERIMEALRLGLESRDVEDLLSSLFADDYF >Manes.12G096000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:19895956:19897737:1 gene:Manes.12G096000.v8.1 transcript:Manes.12G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNQQKHFVLVHGAGHGAWCWYKLKPLLEANGHQVTSLDLAASGINMKPIQEVHTLCEYSQPLLELLASLPPDESVILVGHSLGGLNLALAMDKFPQKISVSVYLTAFMPDTVHQPSYVLDQYNARTPSEAWLDTEFLPYSNSLQHLTSMFFGPKFISCKLYQLSPTEDRELAFTLVRPSSLFLHDLSNANKFSSQGYGSVRRVFIICEEDKAITKEFQQWMISNNPVDELMEIQGSDHMPMFCKPNELCHCLCEIAQKYA >Manes.04G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1976435:1987939:-1 gene:Manes.04G015500.v8.1 transcript:Manes.04G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRFSPFLNGERAVVTIFIGCVLFSLPVSLLLHGVALSLLALLALYIEIQADISSSSLSQFETRPGASTGILLGAVTLPAVMLSKLIQLSRAFSFHELESAELNYLEMQYWATYGSCFGVLIFLCFVMWHSLDNAHSPPHWSWAAKFGLSCIVLCAALFIFSSTGMQTMLKLLWVLFHGFAAVKLVQHLLNTFPSCASIGEAILVTLGLVVYFGNMLACTISKLHGYLISSESVPVQYGIQRSEISTIIQGVLLGLLLFPIFFKFVLHIWDCFFSTTILAAGNERGRAFLFFASLGFIMVVIIPSWMQFVQDFQMHPLLWVLMFVFSEPVKRLSLCIYWVCVIYVSVLRFYNISRSSKIERILLRKYYHLMAVLMFLPAVIFQPKFLDLAFGAALAVFLTLEIIRIWRIWPLGQLIHHFMNAFTDHRDSELLIVSHFSLLLGCALPIWMSSGYNDRPLAPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSVLLPILASTGYIFTQHWVSLLLAVIVSGLLEAYTAQLDNAFIPLIFYSLLCL >Manes.04G091500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29667023:29671824:-1 gene:Manes.04G091500.v8.1 transcript:Manes.04G091500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPDEVLEHVFDYVTSHRDRNALSQVCKSWYRIESSSRQKVFIGNCYAISPDRVIERFPALKSITLKGKPHFADFNLVPHDWGGFVYPWIEAFARNRVGLEELRLKRMVVSDESLELLSRFLRELDLQENEVEDHRGHWLSCFHDSCTSLVSLNFACLKGDINLGVLERLVARSPNLRSLRLNRAVPFDTLQKILMQSPQLIDLGVGSYIHDPDSEAYNKLLTAIQKCKSVRSLSGFLDVAPHCLPAFHSICPNLTSLNLSYAPGIQGSELTKLIRHCRKLQRLWILDCIGDKGLEVVASTCKDLQELRVFPSDPYVGNAGVTEEGLVAISGGCPKLHSILYFCQQMTNAALITVAKNCPNFIRFRLCILDPIKPDAVTMQPLDEGFGAIVHSCKGLRRLSMTGLLTDQVFLYIGMYAEQLEMLSIAFAGDGDKGMQFVLNGCKRLRKLEIRDCPFGNGALLMDVGKYETMRSLWMSSCEVTLGGCKTLANKMPRLNVEIMNENELADFICDDTQKVDKMYLYRTLVGRRKDAPGFVWTL >Manes.04G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29667023:29671824:-1 gene:Manes.04G091500.v8.1 transcript:Manes.04G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPDEVLEHVFDYVTSHRDRNALSQVCKSWYRIESSSRQKVFIGNCYAISPDRVIERFPALKSITLKGKPHFADFNLVPHDWGGFVYPWIEAFARNRVGLEELRLKRMVVSDESLELLSRSFANFKSLVLVSCEGFTTDGLAAIAANCRFLRELDLQENEVEDHRGHWLSCFHDSCTSLVSLNFACLKGDINLGVLERLVARSPNLRSLRLNRAVPFDTLQKILMQSPQLIDLGVGSYIHDPDSEAYNKLLTAIQKCKSVRSLSGFLDVAPHCLPAFHSICPNLTSLNLSYAPGIQGSELTKLIRHCRKLQRLWILDCIGDKGLEVVASTCKDLQELRVFPSDPYVGNAGVTEEGLVAISGGCPKLHSILYFCQQMTNAALITVAKNCPNFIRFRLCILDPIKPDAVTMQPLDEGFGAIVHSCKGLRRLSMTGLLTDQVFLYIGMYAEQLEMLSIAFAGDGDKGMQFVLNGCKRLRKLEIRDCPFGNGALLMDVGKYETMRSLWMSSCEVTLGGCKTLANKMPRLNVEIMNENELADFICDDTQKVDKMYLYRTLVGRRKDAPGFVWTL >Manes.05G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:285755:288041:-1 gene:Manes.05G002800.v8.1 transcript:Manes.05G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNTSRGRKIVVAVDESRESMHALSWCLANLVSPTSNGTLVLLYVKPPTPIHSAFDAAGYMFSGDVVSAVESYSKNLVNSVMERAEAVYSKFNVKVERVVGSGEAKDVICNTVEKLRADMLVMGSHGYGFLKRAILGSVSDHCAKHAKCPVVIVKHPDEN >Manes.05G002800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:287023:287608:-1 gene:Manes.05G002800.v8.1 transcript:Manes.05G002800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNTSRGRKIVVAVDESRESMHALSWCLANLVSPTSNGTLVLLYVKPPTPIHSAFDAAGYMFSGDVVSAVESYSKNLVNSVMERAEAVYSKFNVKVERVVGSGEAKDVICNTVEKLRADMLVMGSHGYGFLKR >Manes.04G027000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172028:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEQERAPDKRIELGSSQGLPNWVWQTHGQVTATPITLFSTAASSGFSFSATPPSAAIRPPKPPNPAAHNLCFTPPASMATSATHFYCLVKPPQTPP >Manes.04G027000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172029:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEERAPDKRIELGSSQGLPNWVWQTHGQVTATPITLFSTAASSGFSFSATPPSAAIRPPKPPNPAAHNLCFTPPASMATSATHFYCLVKPPQTPP >Manes.04G027000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172220:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEQERAPDKRIELGSSQGLPNWVWQTHGQVTATPITLFSTAASSGFSFSATPPSAAIRPPKPPNPAAHNLCFTPPASMATSATHFYCLVKPPQTPP >Manes.04G027000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172220:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEVFHCCHLLVTKHLLTTAALTHCWLLNLLMDFSL >Manes.04G027000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172028:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEERAPDKRIELGSSQGLPNWVWQTHGQVTATPITLFSTAASSGFSFSATPPSAAIRPPKPPNPAAHNLCFTPPASMATSATHFYCLVKPPQTPP >Manes.04G027000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172220:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGKSSR >Manes.04G027000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172028:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEERAPDKRIELGSSQGLPNWVWQTHGQVTATPITLFSTAASSGFSFSATPPSAAIRPPKPPNPAAHNLCFTPPASMATSATHFYCLVKPPQTPP >Manes.04G027000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3175639:3177182:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKK >Manes.04G027000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172029:3177899:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEERAPDKRIELGSSQGLPNWVWQTHGQVTATPITLFSTAASSGFSFSATPPSAAIRPPKPPNPAAHNLCFTPPASMATSATHFYCLVKPPQTPP >Manes.04G027000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172028:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEQERAPDKRIELGSSQGLPNWVWQTHGQVTATPITLFSTAASSGFSFSATPPSAAIRPPKPPNPAAHNLCFTPPASMATSATHFYCLVKPPQTPP >Manes.04G027000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3172220:3177895:-1 gene:Manes.04G027000.v8.1 transcript:Manes.04G027000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLTEESSESIQNSNSVVLMDKYQYQYSEGSGTSNSSIINADASSNDDSCSTRACTGDATNSSNNNTSSLFTFNFGILKVGGGAVTENENVALETKEFFPVGNRKEVGGDYGNSIGQGTSRNWIDLSFERKQDIGNGEVREVRVVQPPAQHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFSLKDYEEDLKQVKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSAYEGEMISEASNEGTGHNLDLNLGISRSLGDGPKENESCLQFHLGPYAMDTKSARENSTALMVGDLPSKGPLISDRPVFWNSVYPSFFPNEQERAPDKRIELGSSQGLPNWVWQTHGQVTATPITLFSTAASSGFSFSATPPSAAIRPPKPPNPAAHNLCFTPPASMATSATHFYCLVKPPQTPP >Manes.01G170200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35250033:35257449:-1 gene:Manes.01G170200.v8.1 transcript:Manes.01G170200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELGRRPMVGTNDGSFGDDLEKEIGLLLREQRRQEAGDLEKELNLYRSGSAPPTVEGSLSAVGGLLGNGSGGASAAFAEFVSGKKGNGLESEEELRSDPAYLSYYYSNVNLNPRLPPPLISREDWRFAQILKGGGSSVLGGIGDRRNVNRADNGKGRSLFSMPPGFDSRKHESGIEPDKVHSSAEWGGNGLIGLPGLGIGSKQKSIAEIFQDDLGHATSGTGHRSRPASSNAVNGKIETPASAEAELAHLRHKLSSTDTLRSGSNGRGSSAAQNIGPPPYSYAAAVGSSLSRSTTPDPQLVARVPSPCPTPIGQGRASASEKRGVTGSNSFNGVISHIGESTDLAAALSGMNLSTNGVKNEENCEDVDIFGIQGGQNHEKQNAYIQKVESRHLHMPSLSQSAKISYSDLAKSNGSGSDVNISNLVADRHVELQKSGVHSGSSYMKGSPTSTLNSGGGLSMQYQHLDNANSSLPNYGLSGYSVNPALASMMASQFGTGNLPMLLENVAAASAVAVSGMDSRVLGGGLGSGANITAAASESHNLGRVGSPMAGGTLQAPFVDPLYLQYLRTPEYAAHLAALNDPSIDRNYLGNSYMNILELQKAYVGALLSSQQSQSAVPIGGKSGTSSHHGYYGNPAFGVGMSYPGSPLASPVIPNSPVGPGSPIRHSELNVHFPSAMRNLAGGIIGPWHLDTGVKMDDSFASTLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYKEIMPHALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECVPEENIQFIVSTFFDQVVTLSTHPYGCRVIQRILEHCEDPKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKPHERSAIIKELAGKIVQMSQQKFASNVVEKCLSFGGPSERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKIHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPA >Manes.06G091201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22679749:22680185:1 gene:Manes.06G091201.v8.1 transcript:Manes.06G091201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNRQTCFREPIKVSSSFAIYKKSTVLRVRIFKFIEVTSTGLVMGEGLKYLKK >Manes.18G041100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3641453:3645655:1 gene:Manes.18G041100.v8.1 transcript:Manes.18G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFGSSAAMFVLLFLSFTLSSASDMSIISYDQTHATKSSWRTDDEVMAIYEEWLVKQGKVYNALGEREKRFQVFKDNLRFIDEHNSENRTYKLGLNGFADLTNEEYRSTYLGARGGMKRNRLRKTSDRYAPRVGESLPDSVDWRKEGAVAEVKDQGSCGSCWAFSTIAAVEGINKIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDTEEDYPYLARDGRCDTYRKNAKVVTIDDYEDVPVNSETALQKAVANQPVSVAIEAGGRDFQFYASGIFSGRCGTQLDHGVAAVGYGTENGKDYWIVRNSWGKSWGENGYLRMARSINSPTGICGIAMEASYPIKKGQNPPNPGPSPPSPVTPPTVCDNYYSCPDSNTCCCLFEYGNFCFEWGCCPLEGATCCEDHYSCCPHDYPICNINQGTCLMSKDNPLAVKAMIRIPAKPHWALGAAAKKSSA >Manes.10G110801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26242114:26242783:1 gene:Manes.10G110801.v8.1 transcript:Manes.10G110801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLMMKVQAIIIGLIVVGSISVEARKVISEFEPNFQMGDSNTGHHLRSKPTPNCW >Manes.04G066300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25111332:25115690:1 gene:Manes.04G066300.v8.1 transcript:Manes.04G066300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQKLSLLLRILFLLSLLPSQITSSSTIQAEALIQWRNSLSSSPPSLNSWSLTNINNLCNWAAISCDTTGTVSKLNLSNLNITGTLAQFNFSSFANISSFDLQNNNIEGTIPAAIGSLSKLAHLDLSVNYFSGNIPVEMGRLAEIEFISLYDNNLHGTIPYQLSNLQKVWYLDLGANYLEDPDWSKFSSMPSLMHLSFFLNELSLGFPDFIENCRNLTFLDLSSNQLTGMIPEWAYTNLGKLEYLNLTDNLFQGPLSSNISKLSNLKHLRLQTNKFIGQIPESIGLVSGLQILELYNNSFQGNIPSSLGQLSHLELLDLRMNSLNSTIPPDLGLCNNLTYVALALNKLRGNLPLSLSNLSKMVDLGLSDNFFTGEISPYLFANWTGLQSLQLQNNLLSGHIPSEIGQLTKLNLLFLYNNTLSGLIPPEIGNLKDLQRLDLSENQLSGPIPPTLWNLKNLQVMNLFSNNISGIIPPEIGNLTSLLNLDLSNNKLQGELPETISNLSSLESINLFTNNFSGSIPRDLGKYSTLKYASFSNNSFSGELPPELCSGLALQQLTVNGNNFSGSLPTCLRNCSGLTRVRLDENQFSGTITDSFGVHPDLVFISLSGNQFIGEISPSWGECKNLTNLQMDRNRISGEIPAELGKLTQLGVLTLDSNDLSGMIPTELGNLSMLFRLNLSNNHLTGVVPSNLGNLSKLESLDLSNNKMSGNIPQELGNCDKLSTLDLSHNNLSGDIPFELGNLNSLQYLLDLSSNALSGTIPDNLGKLTLLENLNVSHNDLSGRIPTVLSGMISLHSYDFSYNELTGPIPTGGMFQNASVEAFVENSDLCGNVDGLSPCDPASGKSSKYNKKVLIGVIVPVCAFLLISSIVVAVLTCSRKTELQDEEIKGIDKYETYESMIWEKERKFIFGDLVKATDDFNEKYCIGKGGFGSVYKAVLATGQVVAVKKLSISDSSDIPAINRQSFENEIRILTEVRHRNIIKLYGFCSTRGCLYLVYEYVERGSLGKVLYGVEGEMELDWATRLKIVQGVAHAIAYLHHDCSPPIVHRDISLNNILVESDFESRLSDFGTARLLSTDSSNWTAVAGSYGYMAPELALTMRITDKCDVYSFGVVALEVLMGRHPGELLTSLSSLKTSMPSDQEFSVKNLLDQRLPTPTGHLAEEVVFVVRLALECTRTTPEERPTMRFVAQELAKRTQAYLSEPLEKELLAN >Manes.16G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32281028:32282483:-1 gene:Manes.16G119500.v8.1 transcript:Manes.16G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEHITVATNGINMHVATIGTGPAILFLHGFPELWYSWRHQLLCLSSLGYRCIAPDLRGFGDTDAPPSVNDYTALHVVGDLVGLLDALKIEQVFLVGHDWGTLIAWYFCLFRPERIKALVNMNVVFTPRNPQVKPLDGLRAVYGDEYYICRFQEPIEEEFAGVDAAKLLRTIFTIRSPNPPLIPKGIDYKTFPFLLQSLPSWLTEEDINYYAAKFNQKGFTGGLNYYRCVDLDWELMAPWTGVGIKVPVKFLVGNLDPSFYLPGVQDYIASGGFKKDVPLLEEVVIMEGVGHFINQEKAEEVAQHIYDFIKKF >Manes.18G144921.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21730255:21731746:1 gene:Manes.18G144921.v8.1 transcript:Manes.18G144921.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.01G272100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42525296:42528878:1 gene:Manes.01G272100.v8.1 transcript:Manes.01G272100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDDGLGLGLGLSLGSPAAPQILPSLKLNLMSFPSELMQNNHSRTDLNDLFQACDRNADAWSFPRGIDVNLIPSLADCDDEVGVSSPNSTISSISGKRNEREQIGEETEAERASCSRGDGGSDDEDVGAGGDASRKKLRLSKEQSLLLEDAFKEHNTLNPKQKLELAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTQENRRLQKEVQELRALKLSPQQYMHMNPPTTLTMCPSCERVAVSSSFSSVAATAASTAPCRPMGNPYTQRAMPSKPWAALPIQQRPFGTPASRS >Manes.17G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31244224:31247827:1 gene:Manes.17G105500.v8.1 transcript:Manes.17G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKLFLFQLFVVLLNDPVHAFTNETDRQALLAIKHQINSDPFHVFNSWNASSHFCKWQGVTCSRRHQRVTVLNLESLDLVGLLSPHIANLTFLRKIQLGDNFFRGIIPQEIGSLVRLRTFNLSGNSFQGELPSNLTHCSKLEIIHLLSNNLRGKVPAELGSLPELSWLILSENDFTGFVPPSLGNLSFLTNLSLAFNNLEGTIPVELGRLSNLDFLQLTGNKLSGEIPVSLYNTSSIIFFSVASNLLHGQLPADTGLTLPKLKRILVGSNQLSGPIPRSLVNASELTFIGLSNNAFTGPVPSNLGKLQNLQGLEIGGNPLSDDRNKEGDDLAFLRSLTNCTNLRVLRATKNGLKGVIPNSISNLSTKLTALRLDQNHLSGTIPPKINNLINLVLLALNDNMFHGSIPDSIGELIKLQRLDLSKNSFSGKIPTSIGNFTSLNTLILGDNKLQGEIPISLANCSRLQGLDLSLNNLTGPIPVQVIGLSSLSLAVYLASNHFTGSLPAQVGNLKTLGQLNVAENELSGEIPNTIGSCLMLEYLHMEGNRFQGTLPLSFEQLKNFQILDLSRNNLSGQIPQFLENLSYISYLNLSFNSFNGEVPNKGVFRNMSKFSILGNHELCGGIGALQLPPCPMVDSNRKRKHIATRGVVLIACGTVFFTVLLACVCAFFYLRRRPKQEPSSPLPMGIHYMELSYGELFKATDGFSSANLIGEGSFGAVYRGILDSDQEKSVAIKVFNLQERGANKSFLAECEALKNIRHRNLVKIISCCSSINFKGDDFKALVYEFMPNGSLESWLHPNSLELHESRKLNLLQRLNIAIDVGAALDYLHHHCHAPIIHCDLKPSNILLDNDLTAHVSDFGLARLLSSATTTTSSQSQTSSQVLRGTLGYVAPEYGMSREVSVQGDAFSYGIVLLELFTGKRPTDRIFTSNLNLHGFVNMALPNQVLQIVDPQLIQEEETESSGGIHRGGRGNNANLIQKCLVSMLGIGVICSAESPRDRMDIKDAVNKLHAIKNSLIGPGRG >Manes.15G148900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12287564:12289190:-1 gene:Manes.15G148900.v8.1 transcript:Manes.15G148900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHEHGFPCLHCQPQDYIRMVQHLIERCLLFHMSRDDCIKALAKHANIHPVVTLTVWKELLKENKGFFRAYFNGRAAAAAAAGGRVAPLTRVACYTSSYQYHK >Manes.15G148900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12287564:12289189:-1 gene:Manes.15G148900.v8.1 transcript:Manes.15G148900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHEHGFPCLHCQPQDYIRMVQHLIERCLLFHMSRDDCIKALAKHANIHPVVTLTVWKELLKENKGFFRAYFNGISPRHSTSRAAAAAAAGGRVAPLTRVACYTSSYQYHK >Manes.12G089256.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752534:14758975:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQPKSWAKRIQEEWKILEKDLPGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752534:14758903:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQPKSWAKRIQEEWKILEKDLPDTISVRVYEARMELLRAVIVGPAGTPYHDGLFVFDCLFPPTYPDIPPSVYYYSGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752508:14758976:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQPKSWAKRIQEEWKILEKDLPDTISVRVYEARMELLRAVIVGPAGTPYHDGLFVFDCLFPPTYPDIPPSVYYYSGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752500:14759081:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQQPKSWAKRIQEEWKILEKDLPDTISVRVYEARMELLRAVIVGPAGTPYHDGLFVFDCLFPPTYPDIPPSVYYYSGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752534:14758975:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQPKSWAKRIQEEWKILEKDLPGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752500:14759081:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQPKSWAKRIQEEWKILEKDLPDTISVRVYEARMELLRAVIVGPAGTPYHDGLFVFDCLFPPTYPDIPPSVYYYSGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752508:14758976:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQQPKSWAKRIQEEWKILEKDLPDTISVRVYEARMELLRAVIVGPAGTPYHDGLFVFDCLFPPTYPDIPPSVYYYSGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752534:14758903:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQPKSWAKRIQEEWKILEKDLPGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752500:14759081:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQPKSWAKRIQEEWKILEKDLPDTISVRVYEARMELLRAVIVGPAGTPYHDGLFVFDCLFPPTYPDIPPSVYYYSGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752500:14759081:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQQPKSWAKRIQEEWKILEKDLPDTISVRVYEARMELLRAVIVGPAGTPYHDGLFVFDCLFPPTYPDIPPSVYYYSGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.12G089256.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14752534:14758903:-1 gene:Manes.12G089256.v8.1 transcript:Manes.12G089256.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDDQVSNPKKLKHNEVVDVMGVDSNLYPSDVSSHEKAHAFSKGKSKVDYDDTWAHQIMDVSSCDHGISTSIAGSLDSIDHIKSSTPEPINLNNINSSNSDLSYHEDEICDDDGDYVDDNSDYANDDYLYEDDYLAIQSQFDNVDLPPGVEASLPWMKDPAPSAIVNAGTSNSSIAGLFESKGKDTVLGSAETKRTAESSFNEEVIDNEENGIMHKFKNFKQFDTVEDFSDHHYSRMGFSGQQQPKSWAKRIQEEWKILEKDLPDTISVRVYEARMELLRAVIVGPAGTPYHDGLFVFDCLFPPTYPDIPPSVYYYSGGLRLNPNLYECGKVCLSLLGTWSGRQNEMWIPGTSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDRRSRKYSEDVFILSLKTMMYTLRRPPKYFEDYVIGHFHSRARDILVACKAYVDGATVGSVKVKDGVAEIDNEDRNASSEFKVTLRKMINVLITNFTRFGSIECEQFRLDD >Manes.01G213700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38367045:38376615:1 gene:Manes.01G213700.v8.1 transcript:Manes.01G213700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAANCCFSVLTSSVKFRCYSSSQRHLLTSHRAIGSLASNPINDKRRPSKRGSSASINKANRDKQVAFQNKSPGKSKDERFYSYGDRKGTGKAQSMAYKSFGMQRKDKKEFQLDLQEHKAEPGNFQESAFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGNGKLLTNAHCVEYDTQVKVKRRGDDRKYVAKVLARGVDCDIALLSVENEEFWEGSEPLQFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDLGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLNDYERNGKYTGFPCLGVLLQKLENPALRACLKVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGCEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRAGSFMKVKVVLNPRVHLVPYHVDGGQPSYIIIGGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLAKFKGEQIVILSQVLANEVNIGYEDMSNQQVLKFNGTPIKNIHHLAHLVDSCKDRYLVFEFEDNFFAVMEREAASASSSYVLGDYGIPSERSPDLLEPYVDSPSDERVAEQDPLGDSPVSNLEIGFEGLLWT >Manes.01G213700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38367045:38376615:1 gene:Manes.01G213700.v8.1 transcript:Manes.01G213700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAANCCFSVLTSSVKFRCYSSSQRHLLTSHRAIGSLASNPINDKRRPSKRGSSASINKANRDNKSPGKSKDERFYSYGDRKGTGKAQSMAYKSFGMQRKDKKEFQLDLQEHKAEPGNFQESAFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGNGKLLTNAHCVEYDTQVKVKRRGDDRKYVAKVLARGVDCDIALLSVENEEFWEGSEPLQFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDLGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLNDYERNGKYTGFPCLGVLLQKLENPALRACLKVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGCEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRAGSFMKVKVVLNPRVHLVPYHVDGGQPSYIIIGGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLAKFKGEQIVILSQVLANEVNIGYEDMSNQQVLKFNGTPIKNIHHLAHLVDSCKDRYLVFEFEDNFFAVMEREAASASSSYVLGDYGIPSERSPDLLEPYVDSPSDERVAEQDPLGDSPVSNLEIGFEGLLWT >Manes.01G213700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38367045:38376615:1 gene:Manes.01G213700.v8.1 transcript:Manes.01G213700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAANCCFSVLTSSVKFRCYSSSQRHLLTSHRAIGSLASNPINDKRRPSKRGSSASINKKQVAFQNKSPGKSKDERFYSYGDRKGTGKAQSMAYKSFGMQRKDKKEFQLDLQEHKAEPGNFQESAFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGNGKLLTNAHCVEYDTQVKVKRRGDDRKYVAKVLARGVDCDIALLSVENEEFWEGSEPLQFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDLGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLNDYERNGKYTGFPCLGVLLQKLENPALRACLKVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGCEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRAGSFMKVKVVLNPRVHLVPYHVDGGQPSYIIIGGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLAKFKGEQIVILSQVLANEVNIGYEDMSNQQVLKFNGTPIKNIHHLAHLVDSCKDRYLVFEFEDNFFAVMEREAASASSSYVLGDYGIPSERSPDLLEPYVDSPSDERVAEQDPLGDSPVSNLEIGFEGLLWT >Manes.01G213700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38367045:38376615:1 gene:Manes.01G213700.v8.1 transcript:Manes.01G213700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAANCCFSVLTSSVKFRCYSSSQRHLLTSHRAIGSLASNPINDKRRPSKRGSSASINKANRDKQVAFQNKSPGKSKDERFYSYGDRKGTGKAQSMAYKSFGMQRKDKKEFQLDLQEHKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGNGKLLTNAHCVEYDTQVKVKRRGDDRKYVAKVLARGVDCDIALLSVENEEFWEGSEPLQFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGFPCLGVLLQKLENPALRACLKVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGCEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRAGSFMKVKVVLNPRVHLVPYHVDGGQPSYIIIGGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLAKFKGEQIVILSQVLANEVNIGYEDMSNQQVLKFNGTPIKNIHHLAHLVDSCKDRYLVFEFEDNFFAVMEREAASASSSYVLGDYGIPSERSPDLLEPYVDSPSDERVAEQDPLGDSPVSNLEIGFEGLLWT >Manes.01G213700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38367045:38376615:1 gene:Manes.01G213700.v8.1 transcript:Manes.01G213700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAANCCFSVLTSSVKFRCYSSSQRHLLTSHRAIGSLASNPINDKRRPSKRGSSASINKANRDKQVAFQNKSPGKSKDERFYSYGDRKGTGKAQSMAYKSFGMQRKDKKEFQLDLQEHKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGNGKLLTNAHCVEYDTQVKVKRRGDDRKYVAKVLARGVDCDIALLSVENEEFWEGSEPLQFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDLGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLNDYERNGKYTGFPCLGVLLQKLENPALRACLKVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGCEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRAGSFMKVKVVLNPRVHLVPYHVDGGQPSYIIIGGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLAKFKGEQIVILSQVLANEVNIGYEDMSNQQVLKFNGTPIKNIHHLAHLVDSCKDRYLVFEFEDNFFAVMEREAASASSSYVLGDYGIPSERSPDLLEPYVDSPSDERVAEQDPLGDSPVSNLEIGFEGLLWT >Manes.01G213700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38367045:38376615:1 gene:Manes.01G213700.v8.1 transcript:Manes.01G213700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAANCCFSVLTSSVKFRCYSSSQRHLLTSHRAIGSLASNPINDKRRPSKRGSSASINKANRDKQVAFQNKSPGKSKDERFYSYGDRKGTGKAQSMAYKSFGMQRKDKKEFQLDLQEHKAEPGNFQESAFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGSAFMIGNGKLLTNAHCVEYDTQVKVKRRGDDRKYVAKVLARGVDCDIALLSVENEEFWEGSEPLQFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGFPCLGVLLQKLENPALRACLKVQSNEGVLVRRVEPTSDANNVLKEGDVIVSFDDVHVGCEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRAGSFMKVKVVLNPRVHLVPYHVDGGQPSYIIIGGLVFTPLSEPLIDEECEDSIGLKLLAKARYSLAKFKGEQIVILSQVLANEVNIGYEDMSNQQVLKFNGTPIKNIHHLAHLVDSCKDRYLVFEFEDNFFAVMEREAASASSSYVLGDYGIPSERSPDLLEPYVDSPSDERVAEQDPLGDSPVSNLEIGFEGLLWT >Manes.11G160500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32605176:32608887:1 gene:Manes.11G160500.v8.1 transcript:Manes.11G160500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREKKQKHHQHRARGASYYRTQEEDDDYNYSQSLPSSVSDQDPEPQGDLEEEEEEDGEEDGKHNDSNPSSDIPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRLPLHLQEDFCGTALLSTEWLRSDSRRTAVGVDLDLEALNWCMENNINKVGADGYSRISLFHGNVLQPFEAKLVRFEVQELISNIKLREHKVNSEINALESTVQAGSSDSTGDKYLKRNFSLPARDIVCAFNYSCCCLHKRADLVLYFKHVLDALSKKGGIFVMDLYGGTSSERKLRLQRRFPNFTYMWEQAEFDIIERKTRISLHFHLQKQQKKLRHAFSYSWRLWSLPEIKDCLQEAGFQSVHFWLRQMPDSEENRTTEGFGVSRDIKYEEVRNFEQEDSWNAYIIAVVK >Manes.18G145815.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:29898077:29903835:-1 gene:Manes.18G145815.v8.1 transcript:Manes.18G145815.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLCKIDVGLFCVFNCSERMSEESQRAIDEEVGSHAPSEPIEPAIAPAPHGEDRPGQDAFLQQLADMLRRVSGAAPQVPPPVAVPMQAPARPPIDKLRKYGAMEFKGRREDDAPAAEYWLQSTERVLQQLQCTPPDSVACAVALLQEEAYQWWDTTSQTVQPEQRTWEFFLAEFRKKYIGDLYMDEKRREFLYLRQGRMTVSEYEKDFIRLSKYAREMVPTEEDKCKKFEQGLHNDIRVLLAAHSIKEFSTLVNAALNIEKIKEEEQSWRQKGQQKRGQTQMQGQSSASQALMKRQRGAQSSGQSQVQRQRQPLAQSFAGRFGQQTSTSVASSGSAGRGQYPICEHCGRRHLGPCRKLTGACFRCGSTEHLMRDCPRGQVSSAPPIERPIPAGSRGRGRGRGNQTGAASASQRVSETVDRPDFRTPARAYAIRAKEDRDSPDVIVEQTQREEGTSKGKGKEKE >Manes.05G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4464484:4465427:-1 gene:Manes.05G053700.v8.1 transcript:Manes.05G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVILGLVMVALLAAMPGEAVDCRLVDTSLAFCIPFLARGGGFPSPTCCLGVRNLQVLTLTTEDRRAACECIKTVGALIPFINEDSASSLPQKCGVELNIPISKTADCQSIN >Manes.14G107950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9047442:9048394:1 gene:Manes.14G107950.v8.1 transcript:Manes.14G107950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLGNLQLEEVAAATAPYLPLGDGDAIDSDNIRLEQLGYKQELSRTLSAIANFSVTFSIISVMTGLTTLYSTGLTFGGPLTMIYGWPIVGLLTLIVGLSMAEICSAYPTSGGLYFWSARLCGDEWGPFASWLTGWYASSFISLFFYYYIVMAVFVLFQAYFYL >Manes.15G082000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6329087:6336163:1 gene:Manes.15G082000.v8.1 transcript:Manes.15G082000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLDLRNSLSPRSGRLRDLRDSFRHLVSRSHESVADDVTSESVLKDFCIPDYILVPGSEVDNESHLPSCPVIVFINSKSGGQLGGELLVTYRTLLNKNQVIDLGEKTPDKVLHQIYATLQTLKNNGDELAAEIEKRLRIIVAGGDGTAGWLLGVVCDLKLPQPPPIATVPLGTGNNLPFSFGWGKKNPGTDRLSVESFLKQVKAAREIKIDSWHIIMRMKRPKEGSCDPVAPLELPHSLHAFHRVSESDSLNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYLKLGCTQGWFCASLLHPSSRNIAQLTRVKVMKSKGQWEDLSIPSSIRSIVCLNLPSFSGGLNPWGKPNGKKLRYRDLTPPYVDDSLIEVVGFRNAWHGLVLLAPNGHGTRLAQASRIRFEFRKGEADHTFMRIDGEPWKQPLPVDDDTVVVEISNHGQVTMLATASCRSRSIHDPASPINDNDDEDDTDEEDESAEDCEERRKFGAADTFRFPDDFDISQLS >Manes.15G082000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6328670:6336163:1 gene:Manes.15G082000.v8.1 transcript:Manes.15G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLDLRNSLSPRSGRLRDLRDSFRHLVSRSHESVADDVTSESVLKDFCIPDYILVPGSEVDNESHLPSCPVIVFINSKSGGQLGGELLVTYRTLLNKNQVIDLGEKTPDKVLHQIYATLQTLKNNGDELAAEIEKRLRIIVAGGDGTAGWLLGVVCDLKLPQPPPIATVPLGTGNNLPFSFGWGKKNPGTDRLSVESFLKQVKAAREIKIDSWHIIMRMKRPKEGSCDPVAPLELPHSLHAFHRVSESDSLNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYLKLGCTQGWFCASLLHPSSRNIAQLTRVKVMKSKGQWEDLSIPSSIRSIVCLNLPSFSGGLNPWGKPNGKKLRYRDLTPPYVDDSLIEVVGFRNAWHGLVLLAPNGHGTRLAQASRIRFEFRKGEADHTFMRIDGEPWKQPLPVDDDTVVVEISNHGQVTMLATASCRSRSIHDPASPINDNDDEDDTDEEDESAEDCEERRKFGAADTFRFPDDFDISQLS >Manes.14G150700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17086735:17087500:1 gene:Manes.14G150700.v8.1 transcript:Manes.14G150700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQTSTCLGKSLLLLMGSLNMYVLCWNDRICPPIEQPYPDVDCSVTRSSQAGSLFLSSPILGHS >Manes.17G022800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13349631:13352631:1 gene:Manes.17G022800.v8.1 transcript:Manes.17G022800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Manes.08G114700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35364199:35371992:-1 gene:Manes.08G114700.v8.1 transcript:Manes.08G114700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPLMAGALPSDAALLQQSCSFRQFPELGWILDELPKATIVSVSRPDTGDISPMLLSYTIELQYKQFKWQLLKKASQVLYLHFSLKRRAIIEEFHDKQEQVKEWLHSLGIVDHHVAVVQDADEPDDGAVPLHQEESVRNRYVPSIAALPILRPALGGQQAISDRAKVAMQNYLNHFLGNLDIVNSREVCKFLEVSKLSFSREYGPKLKEGYLMVKHLSNISSNDANIRCCPCSLFDYCNNNWRKVWAVLKPGFLALLEDPFDTELLDIIVFDVLPISNGNRGSLVYLANQIKEHNPLRYSFNVSSGSQSIKFRTTSSGKVKQWITAINDAGSRPLEGWCHPHRFGSFAPPRGLTDDGSQAQWFVDGQAAFEAIAFAIENAKSEIFITGWWLCPELYLRRPFQCNSISRLDSLLEAKANQGVQIYILLYKEVAIALKINSLYSKNRLLNLHENVRVLRYPNHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTTEHRVGDYPPHIWPGKDYYNPRESEPNSWEDTLKDELDRGKYPRMPWHDIHCALWGPACRDVARHFVQRWNHAKRSKAPNEQTIPLLMPHHHMVLPHYMGRSREIDIGNKKAEENEKDIARQDSFSLSPLQDVPLLLPQEAEALVLHSIDETLTTKGKNENLPDQPTGFCGSFSFSLQKSEVECLVPDAHVKDSVDALDSVDLQSNMSEEWSGTSEEDDHDVSAGECGQVGPRTACHCQVIRSVSQWSAGASQTEESIHYAYCSFIEKAQHFIYIENQFFISGLCGDDIIQNRVLDALYKRILQAHKEQKCFRVIIVLPLLPGFQGGIDDGGAATVRAIMHWQYRTISSEKTSILYHLNQLLGPKAHDYISFYGLRTYGRLFEAGPIATSQVYVHSKLMIVDDRVALIGSSNINDRSLLGSRDSEINKINDPVAETTYRDLWLTTAKENTKIYQDVFACLPNDLIHSRGALRQSVNHWKEKLGHTTIDLGIAPEKLEFHENGETKVVDTMERLKSIKGHLVSFPLEFMCQEDLRPVFIESEFYASPHVFH >Manes.08G114700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35364210:35371992:-1 gene:Manes.08G114700.v8.1 transcript:Manes.08G114700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPLMAGALPSDAALLQQSCSFRQFPELGWILDELPKATIVSVSRPDTGDISPMLLSYTIELQYKQFKWQLLKKASQVLYLHFSLKRRAIIEEFHDKQEQVKEWLHSLGIVDHHVAVVQDADEPDDGAVPLHQEESVRNRYVPSIAALPILRPALGGQQAISDRAKVAMQNYLNHFLGNLDIVNSREVCKFLEVSKLSFSREYGPKLKEGYLMVKHLSNISSNDANIRCCPCSLFDYCNNNWRKVWAVLKPGFLALLEDPFDTELLDIIVFDVLPISNGNRGSLVYLANQIKEHNPLRYSFNVSSGSQSIKFRTTSSGKVKQWITAINDAGSRPLEGWCHPHRFGSFAPPRGLTDDGSQAQWFVDGQAAFEAIAFAIENAKSEIFITGWWLCPELYLRRPFQCNSISRLDSLLEAKANQGVQIYILLYKEVAIALKINSLYSKNRLLNLHENVRVLRYPNHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTTEHRVGDYPPHIWPGKDYYNPRESEPNSWEDTLKDELDRGKYPRMPWHDIHCALWGPACRDVARHFVQRWNHAKRSKAPNEQTIPLLMPHHHMVLPHYMGRSREIDIGNKKAEENEKDIARQDSFSLSPLQDVPLLLPQEAEALVLHSIDETLTTKGKNENLPDQPTGFCGSFSFSLQKSEVECLVPDAHVKDSVDALDSVDLQSNMSEEWSGTSEEDDHDVSAGECGQVGPRTACHCQVIRSVSQWSAGASQTEESIHYAYCSFIEKAQHFIYIENQFFISGLCGDDIIQNRVLDALYKRILQAHKEQKCFRVIIVLPLLPGFQGGIDDGGAATVRAIMHWQYRTISSEKTSILYHLNQLLGPKAHDYISFYGLRTYGRLFEAGPIATSQVYVHSKLMIVDDRVALIGSSNINDRSLLGSRDSEIGVVIEDKEFVDSSMNGNPWKAGKFTHSLRCSLWAEHLGLDAGEVSINKINDPVAETTYRDLWLTTAKENTKIYQDVFACLPNDLIHSRGALRQSVNHWKEKLGHTTIDLGIAPEKLEFHENGETKVVDTMERLKSIKGHLVSFPLEFMCQEDLRPVFIESEFYASPHVFH >Manes.08G114700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35363095:35371992:-1 gene:Manes.08G114700.v8.1 transcript:Manes.08G114700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPLMAGALPSDAALLQQSCSFRQFPELGWILDELPKATIVSVSRPDTGDISPMLLSYTIELQYKQFKWQLLKKASQVLYLHFSLKRRAIIEEFHDKQEQVKEWLHSLGIVDHHVAVVQDADEPDDGAVPLHQEESVRNRYVPSIAALPILRPALGGQQAISDRAKVAMQNYLNHFLGNLDIVNSREVCKFLEVSKLSFSREYGPKLKEGYLMVKHLSNISSNDANIRCCPCSLFDYCNNNWRKVWAVLKPGFLALLEDPFDTELLDIIVFDVLPISNGNRGSLVYLANQIKEHNPLRYSFNVSSGSQSIKFRTTSSGKVKQWITAINDAGSRPLEGWCHPHRFGSFAPPRGLTDDGSQAQWFVDGQAAFEAIAFAIENAKSEIFITGWWLCPELYLRRPFQCNSISRLDSLLEAKANQGVQIYILLYKEVAIALKINSLYSKNRLLNLHENVRVLRYPNHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTTEHRVGDYPPHIWPGKDYYNPRESEPNSWEDTLKDELDRGKYPRMPWHDIHCALWGPACRDVARHFVQRWNHAKRSKAPNEQTIPLLMPHHHMVLPHYMGRSREIDIGNKKAEENEKDIARQDSFSLSPLQDVPLLLPQEAEALVLHSIDETLTTKGKNENLPDQPTGFCGSFSFSLQKSEVECLVPDAHVKDSVDALDSVDLQSNMSEEWSGTSEEDDHDVSAGECGQVGPRTACHCQNQFFISGLCGDDIIQNRVLDALYKRILQAHKEQKCFRVIIVLPLLPGFQGGIDDGGAATVRAIMHWQYRTISSEKTSILYHLNQLLGPKAHDYISFYGLRTYGRLFEAGPIATSQVYVHSKLMIVDDRVALIGSSNINDRSLLGSRDSEIGVVIEDKEFVDSSMNGNPWKAGKFTHSLRCSLWAEHLGLDAGEINKINDPVAETTYRDLWLTTAKENTKIYQDVFACLPNDLIHSRGALRQSVNHWKEKLGHTTIDLGIAPEKLEFHENGETKVVDTMERLKSIKGHLVSFPLEFMCQEDLRPVFIESEFYASPHVFH >Manes.08G114700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35362604:35371992:-1 gene:Manes.08G114700.v8.1 transcript:Manes.08G114700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPLMAGALPSDAALLQQSCSFRQFPELGWILDELPKATIVSVSRPDTGDISPMLLSYTIELQYKQFKWQLLKKASQVLYLHFSLKRRAIIEEFHDKQEQVKEWLHSLGIVDHHVAVVQDADEPDDGAVPLHQEESVRNRYVPSIAALPILRPALGGQQAISDRAKVAMQNYLNHFLGNLDIVNSREVCKFLEVSKLSFSREYGPKLKEGYLMVKHLSNISSNDANIRCCPCSLFDYCNNNWRKVWAVLKPGFLALLEDPFDTELLDIIVFDVLPISNGNRGSLVYLANQIKEHNPLRYSFNVSSGSQSIKFRTTSSGKVKQWITAINDAGSRPLEGWCHPHRFGSFAPPRGLTDDGSQAQWFVDGQAAFEAIAFAIENAKSEIFITGWWLCPELYLRRPFQCNSISRLDSLLEAKANQGVQIYILLYKEVAIALKINSLYSKNRLLNLHENVRVLRYPNHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTTEHRVGDYPPHIWPGKDYYNPRESEPNSWEDTLKDELDRGKYPRMPWHDIHCALWGPACRDVARHFVQRWNHAKRSKAPNEQTIPLLMPHHHMVLPHYMGRSREIDIGNKKAEENEKDIARQDSFSLSPLQDVPLLLPQEAEALVLHSIDETLTTKGKNENLPDQPTGFCGSFSFSLQKSEVECLVPDAHVKDSVDALDSVDLQSNMSEEWSGTSEEDDHDVSAGECGQVGPRTACHCQVIRSVSQWSAGASQTEESIHYAYCSFIEKAQHFIYIENQFFISGLCGDDIIQNRVLDALYKRILQAHKEQKCFRVIIVLPLLPGFQGGIDDGGAATVRAIMHWQYRTISSEKTSILYHLNQLLGPKAHDYISFYGLRTYGRLFEAGPIATSQVYVHSKLMIVDDRVALIGSSNINDRSLLGSRDSEENTKIYQDVFACLPNDLIHSRGALRQSVNHWKEKLGHTTIDLGIAPEKLEFHENGETKVVDTMERLKSIKGHLVSFPLEFMCQEDLRPVFIESEFYASPHVFH >Manes.08G114700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35363095:35371992:-1 gene:Manes.08G114700.v8.1 transcript:Manes.08G114700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPLMAGALPSDAALLQQSCSFRQFPELGWILDELPKATIVSVSRPDTGDISPMLLSYTIELQYKQFKWQLLKKASQVLYLHFSLKRRAIIEEFHDKQEQVKEWLHSLGIVDHHVAVVQDADEPDDGAVPLHQEESVRNRYVPSIAALPILRPALGGQQAISDRAKVAMQNYLNHFLGNLDIVNSREVCKFLEVSKLSFSREYGPKLKEGYLMVKHLSNISSNDANIRCCPCSLFDYCNNNWRKVWAVLKPGFLALLEDPFDTELLDIIVFDVLPISNGNRGSLVYLANQIKEHNPLRYSFNVSSGSQSIKFRTTSSGKVKQWITAINDAGSRPLEGWCHPHRFGSFAPPRGLTDDGSQAQWFVDGQAAFEAIAFAIENAKSEIFITGWWLCPELYLRRPFQCNSISRLDSLLEAKANQGVQIYILLYKEVAIALKINSLYSKNRLLNLHENVRVLRYPNHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTTEHRVGDYPPHIWPGKDYYNPRESEPNSWEDTLKDELDRGKYPRMPWHDIHCALWGPACRDVARHFVQRWNHAKRSKAPNEQTIPLLMPHHHMVLPHYMGRSREIDIGNKKAEENEKDIARQDSFSLSPLQDVPLLLPQEAEALVLHSIDETLTTKGKNENLPDQPTGFCGSFSFSLQKSEVECLVPDAHVKDSVDALDSVDLQSNMSEEWSGTSEEDDHDVSAGECGQVGPRTACHCQNQFFISGLCGDDIIQNRVLDALYKRILQAHKEQKCFRVIIVLPLLPGFQGGIDDGGAATVRAIMHWQYRTISSEKTSILYHLNQLLGPKAHDYISFYGLRTYGRLFEAGPIATSQVYVHSKLMIVDDRVALIGSSNINDRSLLGSRDSEENTKIYQDVFACLPNDLIHSRGALRQSVNHWKEKLGHTTIDLGIAPEKLEFHENGETKVVDTMERLKSIKGHLVSFPLEFMCQEDLRPVFIESEFYASPHVFH >Manes.08G114700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35362605:35371992:-1 gene:Manes.08G114700.v8.1 transcript:Manes.08G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPLMAGALPSDAALLQQSCSFRQFPELGWILDELPKATIVSVSRPDTGDISPMLLSYTIELQYKQFKWQLLKKASQVLYLHFSLKRRAIIEEFHDKQEQVKEWLHSLGIVDHHVAVVQDADEPDDGAVPLHQEESVRNRYVPSIAALPILRPALGGQQAISDRAKVAMQNYLNHFLGNLDIVNSREVCKFLEVSKLSFSREYGPKLKEGYLMVKHLSNISSNDANIRCCPCSLFDYCNNNWRKVWAVLKPGFLALLEDPFDTELLDIIVFDVLPISNGNRGSLVYLANQIKEHNPLRYSFNVSSGSQSIKFRTTSSGKVKQWITAINDAGSRPLEGWCHPHRFGSFAPPRGLTDDGSQAQWFVDGQAAFEAIAFAIENAKSEIFITGWWLCPELYLRRPFQCNSISRLDSLLEAKANQGVQIYILLYKEVAIALKINSLYSKNRLLNLHENVRVLRYPNHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTTEHRVGDYPPHIWPGKDYYNPRESEPNSWEDTLKDELDRGKYPRMPWHDIHCALWGPACRDVARHFVQRWNHAKRSKAPNEQTIPLLMPHHHMVLPHYMGRSREIDIGNKKAEENEKDIARQDSFSLSPLQDVPLLLPQEAEALVLHSIDETLTTKGKNENLPDQPTGFCGSFSFSLQKSEVECLVPDAHVKDSVDALDSVDLQSNMSEEWSGTSEEDDHDVSAGECGQVGPRTACHCQVIRSVSQWSAGASQTEESIHYAYCSFIEKAQHFIYIENQFFISGLCGDDIIQNRVLDALYKRILQAHKEQKCFRVIIVLPLLPGFQGGIDDGGAATVRAIMHWQYRTISSEKTSILYHLNQLLGPKAHDYISFYGLRTYGRLFEAGPIATSQVYVHSKLMIVDDRVALIGSSNINDRSLLGSRDSEIGVVIEDKEFVDSSMNGNPWKAGKFTHSLRCSLWAEHLGLDAGEINKINDPVAETTYRDLWLTTAKENTKIYQDVFACLPNDLIHSRGALRQSVNHWKEKLGHTTIDLGIAPEKLEFHENGETKVVDTMERLKSIKGHLVSFPLEFMCQEDLRPVFIESEFYASPHVFH >Manes.08G114700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35363095:35371992:-1 gene:Manes.08G114700.v8.1 transcript:Manes.08G114700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPLMAGALPSDAALLQQSCSFRQFPELGWILDELPKATIVSVSRPDTGDISPMLLSYTIELQYKQFKWQLLKKASQVLYLHFSLKRRAIIEEFHDKQEQVKEWLHSLGIVDHHVAVVQDADEPDDGAVPLHQEESVRNRYVPSIAALPILRPALGGQQAISDRAKVAMQNYLNHFLGNLDIVNSREVCKFLEVSKLSFSREYGPKLKEGYLMVKHLSNISSNDANIRCCPCSLFDYCNNNWRKVWAVLKPGFLALLEDPFDTELLDIIVFDVLPISNGNRGSLVYLANQIKEHNPLRYSFNIYILLYKEVAIALKINSLYSKNRLLNLHENVRVLRYPNHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTTEHRVGDYPPHIWPGKDYYNPRESEPNSWEDTLKDELDRGKYPRMPWHDIHCALWGPACRDVARHFVQRWNHAKRSKAPNEQTIPLLMPHHHMVLPHYMGRSREIDIGNKKAEENEKDIARQDSFSLSPLQDVPLLLPQEAEALVLHSIDETLTTKGKNENLPDQPTGFCGSFSFSLQKSEVECLVPDAHVKDSVDALDSVDLQSNMSEEWSGTSEEDDHDVSAGECGQVGPRTACHCQNQFFISGLCGDDIIQNRVLDALYKRILQAHKEQKCFRVIIVLPLLPGFQGGIDDGGAATVRAIMHWQYRTISSEKTSILYHLNQLLGPKAHDYISFYGLRTYGRLFEAGPIATSQVYVHSKLMIVDDRVALIGSSNINDRSLLGSRDSEIGVVIEDKEFVDSSMNGNPWKAGKFTHSLRCSLWAEHLGLDAGEINKINDPVAETTYRDLWLTTAKENTKIYQDVFACLPNDLIHSRGALRQSVNHWKEKLGHTTIDLGIAPEKLEFHENGETKVVDTMERLKSIKGHLVSFPLEFMCQEDLRPVFIESEFYASPHVFH >Manes.08G114700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35363095:35371992:-1 gene:Manes.08G114700.v8.1 transcript:Manes.08G114700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPLMAGALPSDAALLQQSCSFRQFPELGWILDELPKATIVSVSRPDTGDISPMLLSYTIELQYKQFKWQLLKKASQVLYLHFSLKRRAIIEEFHDKQEQVKEWLHSLGIVDHHVAVVQDADEPDDGAVPLHQEESVRNRYVPSIAALPILRPALGGQQAISDRAKVAMQNYLNHFLGNLDIVNSREVCKFLEVSKLSFSREYGPKLKEGYLMVKHLSNISSNDANIRCCPCSLFDYCNNNWRKVWAVLKPGFLALLEDPFDTELLDIIVFDVLPISNGNRGSLVYLANQIKEHNPLRYSFNIYILLYKEVAIALKINSLYSKNRLLNLHENVRVLRYPNHFSAGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTTEHRVGDYPPHIWPGKDYYNPRESEPNSWEDTLKDELDRGKYPRMPWHDIHCALWGPACRDVARHFVQRWNHAKRSKAPNEQTIPLLMPHHHMVLPHYMGRSREIDIGNKKAEENEKDIARQDSFSLSPLQDVPLLLPQEAEALVLHSIDETLTTKGKNENLPDQPTGFCGSFSFSLQKSEVECLVPDAHVKDSVDALDSVDLQSNMSEEWSGTSEEDDHDVSAGECGQVGPRTACHCQVIRSVSQWSAGASQTEESIHYAYCSFIEKAQHFIYIENQFFISGLCGDDIIQNRVLDALYKRILQAHKEQKCFRVIIVLPLLPGFQGGIDDGGAATVRAIMHWQYRTISSEKTSILYHLNQLLGPKAHDYISFYGLRTYGRLFEAGPIATSQVYVHSKLMIVDDRVALIGSSNINDRSLLGSRDSEIGVVIEDKEFVDSSMNGNPWKAGKFTHSLRCSLWAEHLGLDAGEINKINDPVAETTYRDLWLTTAKENTKIYQDVFACLPNDLIHSRGALRQSVNHWKEKLGHTTIDLGIAPEKLEFHENGETKVVDTMERLKSIKGHLVSFPLEFMCQEDLRPVFIESEFYASPHVFH >Manes.13G122300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33037016:33037867:1 gene:Manes.13G122300.v8.1 transcript:Manes.13G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHIRSISLPSRSHPLTVNIEEQLCKLNASQSSSIEHKLSGLKNLFKSVDDILELSLAQRTISSERQSHSVENAINGSLELLDICDTTRDLFSQMKECLQELELSLRRRKGGDSSFTSEVDAHMVSRKKLNKAISKCLRNLKKKERNFTAATLDNNSNLENMISLLSTVQEISLVMFESILSFVSQPKVKSLPSSWLVIPKLLQSKRISCEVEIELNAVEKIDAELLILKSSNDINLSQLQKLLKGLETLESSIQKAEEELECIYRRLVKIRVSLLNIQNH >Manes.11G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19102138:19106747:-1 gene:Manes.11G095500.v8.1 transcript:Manes.11G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSTSSSSFTAFKWLGFVTAVWVQAISGNNYTFSNYSDALKTLMNLTQLELNNLSVAKDVGKAFGLLAGLASDRLSTPVILLIGSIEGLIGYGAQWLVVSGRIQPLPYWQMCIFLCLGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCSALYSDNPSSFLLMLAIIPFAVCLAAIIFLREIPPAATSEEEKQEFKYFSVFNVVAVIVAVYLLAYGFISNPSHVLSLVFSVILLVLLASPLAVPVYVFVKSWNLNRLKKKADDVEEQMDEPLLNGENEREIQQKPAEEDATAAVSVVAQPPAEVVQIKRKPVIGEDHTIFEAMVKLDFWILFVSFLCGVGTGLAVMNNMGQIGLALGYADISIFVSLTSIWGFFGRIASGSVSEFFIKKAGTPRPLWNAASQILMAVGYILMAMAMPGSLYIGSIVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSLLFSGLLAGLLYDAEATPTPGGGNTCVGAHCYRIVFVVMAIACVIGFGLDVLLAIRTKKLYSKIQTSKRSKKIAAASNNQ >Manes.11G048300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESESRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGEAFSSSSQPVASLRLERILSGLQDIEYLKLYASRYGRDEGIALLEKTGVYLGPERYTLEHMPIDVMRGEIFNTCRPMHE >Manes.11G048300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5186781:5191381:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESDRSRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGMFH >Manes.11G048300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESDRSRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGEAFSSSSQPVASLRLERILSGLQDIEYLKLYASRYGRDEGIALLEKTGVYLGPERYTLEHMPIDVMRGEIFNTCRPMHE >Manes.11G048300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESDRSRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEVMFS >Manes.11G048300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESESRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGEAFSSSSQPVASLRLERILSGLQDIEYLKLYASRYGRDEGIALLEKTGVYLGPERYTLEHMPIDVMRGEIFNTCRPMHE >Manes.11G048300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESDRSRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGEAFSSSSQPVASLRLERILSGLQDIEYLKLYASRYGRDEGIALLEKTGVYLGPERYTLEHMPIDVMRGEIFNTCRPMHE >Manes.11G048300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESDRSRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGEAFSSSSQPVASLRLERILSGLQDIEYLKLYASRYGRDEGIALLEKTGVYLGPERYTLEHMPIDVMRGEIFNTCRPMHE >Manes.11G048300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESESRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGEAFSSSSQPVASLRLERILSGLQDIEYLKLYASRYGRDEGIALLEKTGVYLGPERYTLEHMPIDVMRGEIFNTCRPMHE >Manes.11G048300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESDRSRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLFYPGEAFSSSSQPVASLRLERILSGLQDIEYLKLYASRYGRDEGIALLEKTGVYLGPERYTLEHMPIDVMRGEIFNTCRPMHE >Manes.11G048300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESESRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEVMFS >Manes.11G048300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5180898:5191646:-1 gene:Manes.11G048300.v8.1 transcript:Manes.11G048300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAGGTPQDVVVPPVEGVAGGGTAYGWSDGGLHGTNPLKGSIDPLEVPTADLVHVWCMPSTANVGPQEIPRHLEPVNLLAARNERESVQIAIRPKVSWSSSGNAGIVQIQCTDLSSTSGDRLLVGQSIMFRRVVSILGVPDALVPLDHPVSQISLIPGETTAVWVSIDVPSAQPPGQYEGEFIISAVKAESDRSRSQCLNRGEKHRLYTELRNCLDTVEPIEGKPLDEVVERVKSATTSLRKVLLSTFSEFFSDNGPVDMMDEDAISNLSVRVKLNLTVWDFILPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALEQHFKWLLQYKISPYFCRWGDSMRVLTYTCPWPAGHPKSDEYLSDPRLAAYAVPYNQAVSGNDAAKDYLQKEVEILRTKSHWKKAYFYLWDEPLNLEQYDSVRNMASEIHAYAPDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVLGNREDLVKDIVSELQPESGEVMFS >Manes.10G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3308699:3312754:1 gene:Manes.10G032700.v8.1 transcript:Manes.10G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSGDDVVLIQQPKSSGDSTIVTVNCPDEAGLGCDLCRIILEFGLSITRADFSTDGRWCYIVFWVVPDSIPHGFDWDSLKNRLSYATPPCLVPFYFDQKSNGPSLPPLYLLKVWFVDQKGLLHDVTKVLTELEFTIQRVKVMKTPDGKVLDLFFITDGMELLHTEKRQGDTCKHLVSAFKEYCISCELQLAGPEYESLQAFSSLPQAIAAELFSCEPSEGKSCTQAFCTDRAKAEKASVTMDNQLSPAHTVLQIQCIDQKGLLYDILRTSKDCNIQIAYGRFASNVKGCRNMDLFIQHTNGKKIVDSKLLLTLCSRLKAEMLHPFRVIIVNRGPDTELLVANPVELCGRGRPRVFYDVTLALKTLGVCIFSAEIGRHSTKDQQWEVYRFLLDENGKGPLASGRARKEIVDRVRRTLMGW >Manes.13G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4106186:4112974:-1 gene:Manes.13G032500.v8.1 transcript:Manes.13G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLHNTLRSLCFNTEWKYAVFWKLKHRARMVLTWEDAYYDNFEQHDPLESKCFRETLENLCGDRFSHDPLGLAVAKMSYHVYCLGEGIVGQVAVTGKHQWIIADKLITSSISSFEFSDGWQSQFLAGIKTIVVVAVVPYGVVQIGSLNKVAEDMKLVNHIKDVFLSLQDSSVGHLNGVLQSSMKSSLYLPDLPTKELHSESEVIPDSLCNLDKATYKEGPNNQLSMFPYLQKGCDYSYFYSLPGVHEDTADEMVNKHGWHVLSALESDKRVKLHHLESDITYLMQQNQVGIDFVDEQKCGGKNSVWKDPGGGSKFGATPHLNNPIKDNIKLSDVVLPNENFRAELVNYPVDHLDSTVCDRPRSDSVSIDVYLNELLKMPEYSDMNVKKELEKKLKCQAESSQLGASNTFFKFSAGSELHEALGPAFSKRCLYSDCEAEKTEAGNIVEVPEGISISQMTFDTGTENLLEAVVGKVCYSSSDVKSERSACKSAQSLLTSEKIPEPYSQTKHIICSAGYSINQQSVVEEDAQNCSSSTGVCAAMSSRGFSSTCPSTCSEQLDRRPEPAKINKKRARPGENCRPRPRDRQLIQDRIKELRELVPSGAKCSIDSLLERTIKHMLFLESMTKHADKLTKCAESKMFQKATDTSNYEKGSSWAVEVGGHLKVSSIVVENLNKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGITEVHGEKIWICFMVEGQNNRVMHRMDILWSLVQILQPKTSN >Manes.02G077800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5928422:5930614:-1 gene:Manes.02G077800.v8.1 transcript:Manes.02G077800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALVSQENVVALVSRTGRHLQRYTKAGLRQVVGCIPYKYKIGYPDFLDIEDALEVLVISSQKGKGMLFPKGGWELDETIKEAASRETLEEAGVRGIVELELGKWSFKSKTHDTYYEGYMFPLLVQEELDFWPEKNVRQRKWMSVADAKECCQHWWMKEALDSLVNRLRSQQQLEQEKVVSCTLSYQNKLNL >Manes.09G069600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11454080:11457304:-1 gene:Manes.09G069600.v8.1 transcript:Manes.09G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMSDDAFSIPSSIPGFAPQQQINANPNPKPNSNHAPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYLCPVKTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARINSAAATSLNFRNDTVNLPHHGGSGRGVQEISGISQFSSSGFRPDFNGLPSLNADQQKSGLSLWLNQANPEINPADILVNHSNLYASPSSTGLPEMMQIRSNLYGSSSTTKFGNLTLTGLPHGLKEEGGGNKPNIVMDSLPSLYSDNHQHKQSKPAASMSATALLQKAAQMGSTRSNQSFLGSNNCGLMSSSSSSNSTNLTTLSENRNEQLHQVFQNVNKQPAESNLTVTKSSAPMGDAVMVVSSGLDQVVMQSTVKQNDHPVQLKLRPGSNSIQSGLTRDFLGMSDQSGRPFLPQELAKFVSMSSAMGLSQFTSNP >Manes.14G078000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6531487:6535158:1 gene:Manes.14G078000.v8.1 transcript:Manes.14G078000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGVKCPNSEIAAIGKNKKKNCDPNQALLRVYMYDLPPEFHFGLLDWKRKANQTWPNVLDHIPPYPGGLNLQHSMEYWLTLDLLASSTPDVRRPCSAVRVQNSSLADIIFVPFFSSLSYNRHSKVYEKEKVSVNQRLQDRLVQVLMGQDEWKRFGGRDHLIVAHHPNSMLDARKMLGSAMFILADFGRYPVEIANPGKDIIAPYKHVVRTISSGESAQFDGRPILVFFQGAIYRKDRGVIRQELYYLLRDEKDVHFTFGTVRGNGINKASQGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFSVFVRASDAVKKGYLLNLLRNIERDKWTMMWERLKNIAPQFEYQYPSQPGDAVDMIWKAISRKRSSVQFTLNRKNRYQRTEIQ >Manes.14G078000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6531521:6535158:1 gene:Manes.14G078000.v8.1 transcript:Manes.14G078000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGVKCPNSEIAAIGKNKKKNCDPNQALLRVYMYDLPPEFHFGLLDWKRKANQTWPNVLDHIPPYPGGLNLQHSMEYWLTLDLLASSTPDVRRPCSAVRVQNSSLADIIFVPFFSSLSYNRHSKVYEKEKVSVNQRLQDRLVQVLMGQDEWKRFGGRDHLIVAHHPNSMLDARKMLGSAMFILADFGRYPVEIANPGKDIIAPYKHVVRTISSGESAQFDGRPILVFFQGAIYRKDRGVIRQELYYLLRDEKDVHFTFGTVRGNGINKASQGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFSVFVRASDAVKKGYLLNLLRNIERDKWTMMWERLKNIAPQFEYQYPSQPGDAVDMIWKAISRKRSSVQFTLNRKNRYQRTEIQ >Manes.14G078000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6531521:6535158:1 gene:Manes.14G078000.v8.1 transcript:Manes.14G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSRLSSRFLFFLIPVSMFFFIISSVSLLQLGNISLLPGSIFKLILVNSTSFYLKSNDKSEPVKIPIFSSMPSQIDTKSSMRSGVKCPNSEIAAIGKNKKKNCDPNQALLRVYMYDLPPEFHFGLLDWKRKANQTWPNVLDHIPPYPGGLNLQHSMEYWLTLDLLASSTPDVRRPCSAVRVQNSSLADIIFVPFFSSLSYNRHSKVYEKEKVSVNQRLQDRLVQVLMGQDEWKRFGGRDHLIVAHHPNSMLDARKMLGSAMFILADFGRYPVEIANPGKDIIAPYKHVVRTISSGESAQFDGRPILVFFQGAIYRKDRGVIRQELYYLLRDEKDVHFTFGTVRGNGINKASQGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVLDYSEFSVFVRASDAVKKGYLLNLLRNIERDKWTMMWERLKNIAPQFEYQYPSQPGDAVDMIWKAISRKRSSVQFTLNRKNRYQRTEIQ >Manes.03G145466.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27329944:27332845:1 gene:Manes.03G145466.v8.1 transcript:Manes.03G145466.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLFYHKKEGSISEIAKSDSQELTSGFGRTKNWKRLARSHNSQSSSVAIREINPAIELPLPLPQKRVVVSSLHPLSPSPSQFSQEHTTFTSTSVEFKKPRFGDANIWERLDRSLASYNWISLYQHANLSHLDDLGSNHRPFLLNLYPSTSKAKRFFWFDSRWTSKSEAFAIISEAWNANGTASSLFNVFSKLKACKHALVSWDKLQNSNSRIWIIQLQDMISRCKNSSPSCDFDRLHFLESELASEVRREEQF >Manes.01G086600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28846284:28848085:1 gene:Manes.01G086600.v8.1 transcript:Manes.01G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVNDHDPIQLSSGPVIDFSALLSQDSQIKNEVIKEIGKACEDFGFFSIINYGISESLIEDVMDANSRFFDLPIEDKKELLSDDVYKPVRFGIVTQDDKENAKFTREFLKLYAHPLGDWVGLWAANPPDYRGKMRTYAIETMTLSSQVFEAIIQSLSLSSTFWQNKLEQGMQMIGINCYESASKLNGIKIGQAQHSDHTIITLLAQSRPGLQVMSPMDGAWKAVPTGHKGSLHVLVEDHLQVLSNGKYKSVVHRVVAGSGERRLSIASFHSFAMDEVVEPAMELVKQKQENPNYKGSSLRDYLNHLSSTFLI >Manes.16G000500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:47731:59019:-1 gene:Manes.16G000500.v8.1 transcript:Manes.16G000500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGRNTIMRVQSLVQAGLAEIPSQYIQPHENRPIVKKENGVGVMDIPVIDLFGFDLNHRDLVRKAIGEACREWGAFHVTNHGVPIELMNQIRSVGLSFFNDCPFKDKLKYACDPTSAASEGYGSKMLVDNCSVLDWRDYFDHHTLPLSRRNPSRWPNFLPNYREVVGKYSDEMKVLAQKLLGLISESVGLPSSCIEDSVGEFYQNITISYYPPCPQPDLTLGLQSHSDMGAITLLIQDQVEGLQVLKESQWFTVQPLCDAIVVILSDQTE >Manes.16G000500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:53557:59011:-1 gene:Manes.16G000500.v8.1 transcript:Manes.16G000500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGRNTIMRVQSLVQAGLAEIPSQYIQPHENRPIVKKENGVGVMDIPVIDLFGFDLNHRDLVRKAIGEACREWGAFHVTNHGVPIELMNQIRSVGLSFFNDCPFKDKLKYACDPTSAASEGYGSKMLVDNCSVLDWRDYFDHHTLPLSRRNPSRWPNFLPNYREVVGKYSDEMKVLAQKLLGLISESVGLPSSCIEDSVGEFYQNITISYYPPCPQPDLTLGLQSHSDMGAITLLIQDQVEGLQVLKESQWFTVQPLCDAIVVILSDQTEL >Manes.16G000500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:45197:59011:-1 gene:Manes.16G000500.v8.1 transcript:Manes.16G000500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGRNTIMRVQSLVQAGLAEIPSQYIQPHENRPIVKKENGVGVMDIPVIDLFGFDLNHRDLVRKAIGEACREWGAFHVTNHGVPIELMNQIRSVGLSFFNDCPFKDKLKYACDPTSAASEGYGSKMLVDNCSVLDWRDYFDHHTLPLSRRNPSRWPNFLPNYREVVGKYSDEMKVLAQKLLGLISESVGLPSSCIEDSVGEFYQNITISYYPPCPQPDLTLGLQSHSDMGAITLLIQDQVEGLQVLKESQWFTVQPLCDAIVVILSDQTEIITNGKYKSAQHRAITNSSRPRLSIATFHDPAKTRKISPAFQLVNESSPLYREVNYEDYVSSWYTKGPEGKRNIDVLRINC >Manes.16G000500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:45451:59011:-1 gene:Manes.16G000500.v8.1 transcript:Manes.16G000500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSSIHQWEAKIKQMEAGGRNTIMRVQSLVQAGLAEIPSQYIQPHENRPIVKKENGVGVMDIPVIDLFGFDLNHRDLVRKAIGEACREWGAFHVTNHGVPIELMNQIRSVGLSFFNDCPFKDKLKYACDPTSAASEGYGSKMLVDNCSVLDWRDYFDHHTLPLSRRNPSRWPNFLPNYREVVGKYSDEMKVLAQKLLGLISESVGLPSSCIEDSVGEFYQNITISYYPPCPQPDLTLGLQSHSDMGAITLLIQDQVEGLQVLKESQWFTVQPLCDAIVVILSDQTEIITNGKYKSAQHRAITNSSRPRLSIATFHDPAKTRKISPAFQLVNESSPLYREVNYEDYVSSWYTKGPEGKRNIDVLRINC >Manes.16G000500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:45180:59011:-1 gene:Manes.16G000500.v8.1 transcript:Manes.16G000500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGRNTIMRVQSLVQAGLAEIPSQYIQPHENRPIVKKENGVGVMDIPVIDLFGFDLNHRDLVRKAIGEACREWGAFHVTNHGVPIELMNQIRSVGLSFFNDCPFKDKLKYACDPTSAASEGYGSKMLVDNCSVLDWRDYFDHHTLPLSRRNPSRWPNFLPNYREVVGKYSDEMKVLAQKLLGLISESVGLPSSCIEDSVGEFYQNITISYYPPCPQPDLTLGLQSHSDMGAITLLIQDQVEGLQVLKESQWFTVQPLCDAIVVILSDQTEIITNGKYKSAQHRAITNSSRPRLSIATFHDPAKTRKISPAFQLVNESSPLYREVNYEDYVSSWYTKGPEGKRNIDVLRINC >Manes.06G020400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3606086:3614437:1 gene:Manes.06G020400.v8.1 transcript:Manes.06G020400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVQLFCSILVLLLLLPTGKPEIYIVTMDEEPVMSYRGGVPGFEPIVVDSDEKIDTTSELVTSYARHLEKKHDMLLDSLFDHGTYKKLYSYRHLINGFAVHISPEQAEILRRASGVKSLERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGENIVIGFVDSGIYPHHPSFATYNTDPYEPHPKYRGKCEVDPHSKKSFCNGKIIGAQHFAEAAIAAGAFNPSIDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFIADVVAAIDQAVHDGVDILSLSVGPNSPPATTKITFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWITSVAAAIDDRRYKNHLTLGNGKILPGICLSASTHPNQTYTLVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVKGNILLCGYSFNFVVGTASIKKVSETAKSLGAVGFVLAVENDSPGTKFDPVPVGVPGILITDVSKSMDLIDYYNISTPRDWTGRVKNFNAMGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFRDADLLKPDILAPGSLIWSAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALVKQKHSHWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEATKLVTATPFDYGSGHVDPRAALDPGLIFDAGYEDYLGFLCTTPGIDAHEIKNYTNSPCNYTMGHPSNFNTPSITVSHLVKTQTVTRTVTNVAGEETYVITARMQPSVAIDVTPSAMTLKPGASRKFSVSLTVRSVTGTYSFGEILMKGSRGHKVRIPVVAMGYWR >Manes.06G020400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3606086:3614437:1 gene:Manes.06G020400.v8.1 transcript:Manes.06G020400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVQLFCSILVLLLLLPTGKPEIYIVTMDEEPVMSYRGGVPGFEPIVVDSDEKIDTTSELVTSYARHLEKKHDMLLDSLFDHGTYKKLYSYRHLINGFAVHISPEQAEILRRASGVKSLERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGENIVIGFVDSGIYPHHPSFATYNTDPYEPHPKYRGKCEVDPHSKKSFCNGKIIGAQHFAEAAIAAGAFNPSIDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFIADVVAAIDQAVHDGVDILSLSVGPNSPPATTKITFLNPFDATLLAAVKAGVFVAQAAGNGGPFPKTLVSYSPWITSVAAAIDDRRYKNHLTLGNGKILPGICLSASTHPNQTYTLVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVKGNILLCGYSFNFVVGTASIKKVSETAKSLGAVGFVLAVENDSPGTKFDPVPVGVPGILITDVSKSMDLIDYYNISTPRDWTGRVKNFNAMGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFRDADLLKPDILAPGSLIWSAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALVKQKHSHWSPAAIKSALMTTSTKLDRAGRPLQAQQYSETEATKLVTATPFDYGSGHVDPRAALDPGLIFDAGYEDYLGFLCTTPGIDAHEIKNYTNSPCNYTMGHPSNFNTPSITVSHLVKTQTVTRTVTNVAGEETYVITARMQPSVAIDVTPSAMTLKPGASRKFSVSLTVRSVTGTYSFGEILMKGSRGHKVRIPVVAMGYWR >Manes.05G163500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27620815:27628815:1 gene:Manes.05G163500.v8.1 transcript:Manes.05G163500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIFRCSPCSVTRFDIVKLRFAVVAFEIALLFVCFQAAIANTQEHPIQWQGPESGGGNVISHSCIHDQIIEQRRRPGRKVYSVTPQVYDQTVMSKSPHHKGRALLGISELRLQQKDAKQPIRIFLNYDAVGHSPDRDCRKVGDIVKLGEPPVTSLPGPPCNPNGNPPIYGDCWYNCTSDDISGEDKRRRLHKALGQTADWFRRTLAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGVAKTDLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRGQVTEQVMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLEWGRNQGTDFVTSPCNLWKGAYHCNTTQLSGCTYNRDAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSSSRCMASSLVRSGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPVQFPGFNGELICPAYHELCSTGSVSVPGQCPSSCNFNGDCIDGKCHCFLGFHGHDCSKRSCPSNCNGHGTCLSNGVCKCKNGYTGIDCSTATCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCRSVVESDMSGQHCAPSEPSILQQLEEVVVMPNYHRLFPGGARKLFNVFGSSYCDTVAKRLACWISIQKCDKDGDDRLRVCHSACHSYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKVSWLNRLGLRFFSSNTSVEGTSVKYRQL >Manes.05G163500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27621825:27628815:1 gene:Manes.05G163500.v8.1 transcript:Manes.05G163500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPHHKGRALLGISELRLQQKDAKQPIRIFLNYDAVGHSPDRDCRKVGDIVKLGEPPVTSLPGPPCNPNGNPPIYGDCWYNCTSDDISGEDKRRRLHKALGQTADWFRRTLAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGVAKTDLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRGQVTEQVMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLEWGRNQGTDFVTSPCNLWKGAYHCNTTQLSGCTYNRDAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSSSRCMASSLVRSGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPVQFPGFNGELICPAYHELCSTGSVSVPGQCPSSCNFNGDCIDGKCHCFLGFHGHDCSKRSCPSNCNGHGTCLSNGVCKCKNGYTGIDCSTATCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCRSVVESDMSGQHCAPSEPSILQQLEEVVVMPNYHRLFPGGARKLFNVFGSSYCDTVAKRLACWISIQKCDKDGDDRLRVCHSACHSYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKVSWLNRLGLRFFSSNTSVEGTSVKYRQL >Manes.05G163500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27621825:27628815:1 gene:Manes.05G163500.v8.1 transcript:Manes.05G163500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSPHHKGRALLGISELRLQQKDAKQPIRIFLNYDAVGHSPDRDCRKVGDIVKLGEPPVTSLPGPPCNPNGNPPIYGDCWYNCTSDDISGEDKRRRLHKALGQTADWFRRTLAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGVAKTDLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRGQVTEQVMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLEWGRNQGTDFVTSPCNLWKGAYHCNTTQLSGCTYNRDAEGYCPIVSYSGDLPQWARYFPQPNKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSSSRCMASSLVRSGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPVQFPGFNGELICPAYHELCSTGSVSVPGQCPSSCNFNGDCIDGKCHCFLGFHGHDCSKRSCPSNCNGHGTCLSNGVCKCKNGYTGIDCSTATCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCRSVVESDMSGQHCAPSEPSILQQLEEVVVMPNYHRLFPGGARKLFNVFGSSYCDTVAKRLACWISIQKCDKDGDDRLRVCHSACHSYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKVSWLNRLGLRFFSSNTSVEGTSVKYRQL >Manes.02G019700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1788571:1794266:-1 gene:Manes.02G019700.v8.1 transcript:Manes.02G019700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSPKSHMLKTSVSKSSTLKSPMPKSPMPKSPMPKSPIPKSPVAYEKYKSKCIYGLISLFHIRHRRSKKLISDTGSRSLNRIALGDGYVENRLDLHSDQYSHDALDKKSMRIEKIQGGDDPIEQQIKKKIMTAKVENVQSDSQQVDLLRNERKALKSSRKSRRLPIYGCYDVSTVENRKPTHQNLADRERPSKSLDSVVSAEVHLHPKNESVCNCKSTNCVQHEQVNEINLQVNMNESTEAFINQKLIDGKHFSGDGTSQQSKHFLDALEILNSNKDLFIKLLQDPNSLLVKHIEDLRDSQEKKQQNNFFAEAEVSEHQARDTRACDLSKETGDFLPLEKIVLLRTRPESLQQNCTDHGISHDTPLIHYGLRNVQQSVRPAFYGKQMKRKLMQAMGFVRKEQQLMPTDGPLHQKSIHEGFVRRSKETTADGNKGNSPDKASSDFGGFSESSIDVKRKHQVDKVNEFDPVVRDEAASTSDSGHSNSHLSTVNHAKRNKHDVYVEPRVQISEVKIGNANFLRKQGARTRDGISSVPEFDPLPMVSSRRLRKHGFASSGNHQKASENNWRNPEEEKKTCSSPLKQDVETLQWADNLTQPQLYDTRPNISYKLIPDEQEHKSIDSLNNDLNHIEAKCRDSEFLPPEVPSKPDSLCNNGVGASTETAEVCERNVSLDFSREDSTVDNQTSICSVDDNLTSPLKSQMFREFDTVKDKEEQPSPVSVLDQFFTEEITTTLNTEPQPALQSVRLLQIGIEESCLADHQPRLDLKSNSSTPMEKHGCMSEYITTVLQSCHFGWDELASKCHLSDQLHDQSLFGNRYLQPSHFCSEHRLVFDCVNEVLVDVNQWYLRCSPWLSFIKPRIVPDMMTGSVAHEVMKYVDRNFLLAPPSQTLEQLLVRDLTKSRTWMDIRTDAEDAVSEMVDSVLEELIIEFCS >Manes.02G019700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1788571:1794266:-1 gene:Manes.02G019700.v8.1 transcript:Manes.02G019700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSPKSHMLKTSVSKSSTLKSPMPKSPMPKSPMPKSPIPKSPVAYEKYKSKCIYGLISLFHIRHRRSKKLISDTGSRSLNRIALGDGYVENRLDLHSDQYSHDALDKKSMRIEKIQGGDDPIEQQIKKKIMTAKVENVQSDSQQVDLLRNERKALKSSRKSRRLPIYGCYDVSTVENRKPTHQNLADRERPSKSLDSVVSAEVHLHPKNESVCNCKSTNCVQHEQVNEINLQVNMNESTEAFINQKLIDGKHFSGDGTSQQSKHFLDALEILNSNKDLFIKLLQDPNSLLVKHIEDLRDSQEKKQQNNFFAEAEVSEHQARDTRACDLSKETGDFLPLEKIVLLRTRPESLQQNCTDHGISHDTPLIHYGLRNVQQSVRPAFYGKQMKRKLMQAMGFVRKEQQLMPTDGPLHQKSIHEGFVRRSKETTADGNKGNSPDKASSDFGGFSESSIDVKRKHQVDKVNEFDPVVRDEAASTSDSGHSNSHLSTVNHAKRNKHDVYVEPRVQISEVKIGNANFLRKQGARTRDGISSVPEFDPLPMVSSRRLRKHGFASSGNHQKASENNWRNPEEEKKTCSSPLKQDVETLQWADNLTQPQLYDTRPNISYKLIPDEQEHKSIDSLNNDLNHIEAKCRDSEFLPPEVPSKPDSLCNNGVGASTETAEDSTVDNQTSICSVDDNLTSPLKSQMFREFDTVKDKEEQPSPVSVLDQFFTEEITTTLNTEPQPALQSVRLLQIGIEESCLADHQPRLDLKSNSSTPMEKHGCMSEYITTVLQSCHFGWDELASKCHLSDQLHDQSLFGNRYLQPSHFCSEHRLVFDCVNEVLVDVNQWYLRCSPWLSFIKPRIVPDMMTGSVAHEVMKYVDRNFLLAPPSQTLEQLLVRDLTKSRTWMDIRTDAEDAVSEMVDSVLEELIIEFCS >Manes.02G019700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1788571:1794266:-1 gene:Manes.02G019700.v8.1 transcript:Manes.02G019700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSPKSHMLKTSVSKSSTLKSPMPKSPMPKSPMPKSPIPKSPVAYEKYKSKCIYGLISLFHIRHRRSKKLISDTGSRSLNRIALGDGYVENRLDLHSDQYSHDALDKKSMRIEKIQGGDDPIEQQIKKKIMTAKVENVQSDSQQVDLLRNERKALKSSRKSRRLPIYGCYDVSTVENRKPTHQNLADRERPSKSLDSVVSAEVHLHPKNESVCNCKSTNCVQHEQVNEINLQVNMNESTEAFINQKLIDGKHFSGDGTSQQSKHFLDALEILNSNKDLFIKLLQDPNSLLVKHIEDLRDSQEKKQQNNFFAEAEVSEHQARDTRACDLSKETGDFLPLEKIVLLRTRPESLQQNCTDHGISHDTPLIHYGLRNVQQSVRPAFYGKQMKRKLMQAMGFVRKEQQLMPTDGPLHQKSIHEGFVRRSKETTADGNKGNSPDKASSDFGGFSESSIDVKRKHQVDKVNEFDPVVRDEAASTSDSGHSNSHLSTVNHAKRNKHDVYVEPRVQISEVKIGNANFLRKQGARTRDGISSVPEFDPLPMVSSRRLRKHGFASSGNHQKASENNWRNPEEEKKTCSSPLKQDVETLQWADNLTQPQLYDTRPNISYKLIPDEQEHKSIDSLNNDLNHIEAKCRDSEFLPPEVPSKPDSLCNNGVGASTETAEVCERNVSLDFSRETSICSVDDNLTSPLKSQMFREFDTVKDKEEQPSPVSVLDQFFTEEITTTLNTEPQPALQSVRLLQIGIEESCLADHQPRLDLKSNSSTPMEKHGCMSEYITTVLQSCHFGWDELASKCHLSDQLHDQSLFGNRYLQPSHFCSEHRLVFDCVNEVLVDVNQWYLRCSPWLSFIKPRIVPDMMTGSVAHEVMKYVDRNFLLAPPSQTLEQLLVRDLTKSRTWMDIRTDAEDAVSEMVDSVLEELIIEFCS >Manes.13G134700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34259762:34261711:1 gene:Manes.13G134700.v8.1 transcript:Manes.13G134700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRLSRAINQCSIINQQIRFFVRDPFPNKLTHYLRRAELIDSIRLVLRSKSCKSLPDLLNSRLLDPFVVTHALRSAPNADSALFLVESLKSVPHFAHTQSTIHALATVLARSGKTSELRSLIGEINAGRYDNARVSFMNLMQWYAATGDAEAVLDTWEEYRRSDKRVCTESYNIVMSLYAQNGKDLEAVEIFYRMIGEGAIPNSRTYTVMIEHLISSGHLDPAIEIFSVLPLMRIKRTSKQYSVLVNEFVGAQRFDKVKTLLNEMRIDGKFPGSAMRTALLHMQESGFAQETEELLREMFPDERIKSIGSCTDDDDEDDDEDVDHGDVPIDNEEVRLKPWLDPKALANALKGWSPEVVSTLEGANFVWTTRLVCKVLRDFSSPETAWNFFCWVAYQPGFTHDVYTVQRIITFLARHGKAEFVDTLINKIRCEGMELPFSTIRLIVDFYGVSKNADAALKVFNDDRPLCGSITKFNLMLLYSSLLRTLTKCNRNSDAIDVLEEMILNRICPDIQTFSGLMYHFALQGDIKTVQKLFTMVRQSGVEPDAYMFKVLIQAYCKCERAALAWRVFEDMRNLNLMPDTATRDMLVKSLWKEGKRKEAAIVEESGEENNKVLPLVLRGHIWTVSSADLTRVYNIYSKSFLSITG >Manes.05G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22852877:22857409:1 gene:Manes.05G125800.v8.1 transcript:Manes.05G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLRFNLLVLAIAILSTPVLSDLIISKVDRRIDLTSQIARITSTLKVEIVGSSLVSEVLLAFPEVQAKDLAYLMATTNERKGKTKNSDVSLPVEAVNPKGMPPALSVYSVSLPKALGEGDTFTLDVLAVFTHVLKPFPEKITQADIQLVLFQDSAYYLSPYPVKVQSVSVKLPGARIESYTKIENTKSHGSEIIYGPYENFPPFSYSPIVIHFETNQPFAVAQELVREIEVSHWGSVQVTEYYNIVHEGAKSKGEFSRLEYQARPNIRGVSAFGHLVAKLPPRAHSIYYRDEIGNISTSHLRADSKKTELLIEPRYPMFGGWRTAFTIGYSLPLQDFLFEAEGKRFLNICLASPMNELVIDNLIVKVVLPEGSKDLSISTPFPVNQRQETKISHLDIVGRPVVVLEKANVVPEHNLHFQVYYSFNRLSMLREPFMLISGFFFFFVACIVYVHVDLSISKSSASYLAKLQWDEVRATIQQVESILKQWLATHEKLEASLLDLSRTGDVQACKTARKTADGLLKGHLKELKPLLVFLQSSPAAAHILPKVEELVAKERELQERLMAKHSTIVDCYEKKLGGREIENKVAPQQQKVVALRQEVEDLLDYLDEI >Manes.07G142750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34252995:34255320:1 gene:Manes.07G142750.v8.1 transcript:Manes.07G142750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYTKILKSSGKPFLQKENEEVMKWIEFAESFPVDCQACLDALTGFNQDLAQKSILLGNGTTPSEADVIVFSVIHSSVIGLSHLEREKLTHVMRWMDYIQVGPILNFFLLFTFLNFFYESDGNFLWKEDFLVTLCYHQTVTCELPAFQCNYQDFGCEADLIMPHLWLLVPFATI >Manes.11G156700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32304095:32308124:-1 gene:Manes.11G156700.v8.1 transcript:Manes.11G156700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVKAGEPLKVTPELDRIIHLSQAALGESKKEKGNESVPLFLKIDDKKIVLGTLSPEKIPQLSFDLVFEREVELSHNWKSGSVYFCGYQTPLPEEEEYPTLIGDSEDEEPFPLINADNGSVQLQVENAKPSKGKSTDAKPDASAKQKAKPVEPSKEAKAEDDSDDDDSDEDDDEDDSDDEEGDSDEEMSVDSEKENDSDSEYEETPKKPEKGKKRSNDSATKTPVPAKKIKSDTPQKTDGKKGGHTATPHPAKGKAAANGSNAKAQTPKSGGQFACKTCDRSFGSDAALQSHSKAKHGGK >Manes.16G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7207719:7222459:1 gene:Manes.16G044300.v8.1 transcript:Manes.16G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRELFVFLFMIVSCFKVLKFVEAKLVQEEVDALEEIARTMGSTYWKFNSDSCELEEVGITPEPPKHADHSIDCKCNNEDNTDCHVIKLLLKGHNLPGVLPPQLVKLPYLQEIDFAYNLLNGSIPLEWASLPLTSISLLVNRLSGEIPKELGNITTLKYLCLEANQFSGVIPSELGKLINLQILMLSSNLLTGNLPMSFAGLINLTDVRINDNNLNGTIPNYIQNWKGLKRLEMHASGLEGPIPSNISLLDSLVELRISDIRGPSQGFPNLSNMKGLTRLVLRNCNIFGKLHDYIWGMTNLEMLDVSFNKLIGTIPDTITAKRLRFVFLTSNLLSGDVPDSILKGGSNIDLSYNNFTLQGPEQPACREHMNLNLNLYRSSTVLNRSRRLLPCMKTFKCPKYSSCLHINCGGKDTIIKENKTSISYEGDVAGEGGAATYFINGQSYWGFSSTGDFMDDYDYQNTRYTVSVQSSNISELYSTVRKSPISLTYFHYCLQNGDYSVKLHFEEIQFTNDKTYNSLGRRIFDIYVQGRLVRKDFNIEHEIGSARKPLVVLIPKVKVNNNILEIQFYFAGKGTTRIPERGVYGPIISAISVSSDLKLCSSVQKKGTVHIVVGIIGASGLIFIVLGILWWQGYFPGKWSQRKDSKGLAAGTFSLKQIRAATNDFDPANKIGEGGFGPVYKGLLSDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGHENNHLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLARLDEEEKSHISTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGKSNNNCMPGSHCICLLDWACNLQQSGNLMKLVDETLKSEVKKEEAETMIKVAMLCTNASPTIRPSMSEVVNMLEGRMSIPDIVPEPSGYTEDLRFKAMRDLLKHSQSLSGSHTQNSTVHTFGSTSASDDEFYEINPGSNS >Manes.16G044300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7207719:7222459:1 gene:Manes.16G044300.v8.1 transcript:Manes.16G044300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRELFVFLFMIVSCFKVLKFVEAKLVQEEVDALEEIARTMGSTYWKFNSDSCELEEVGITPEPPKHADHSIDCKCNNEDNTDCHVIKLLLKGHNLPGVLPPQLVKLPYLQEIDFAYNLLNGSIPLEWASLPLTSISLLVNRLSGEIPKELGNITTLKYLCLEANQFSGVIPSELGKLINLQILMLSSNLLTGNLPMSFAGLINLTDVRINDNNLNGTIPNYIQNWKGLKRLEMHASGLEGPIPSNISLLDSLVELRISDIRGPSQGFPNLSNMKGLTRLVLRNCNIFGKLHDYIWGMTNLEMLDVSFNKLIGTIPDTITAKRLRFVFLTSNLLSGDVPDSILKGGSNIDLSYNNFTLQGPEQPACREHMNLNLNLYRSSTVLNRSRRLLPCMKTFKCPKYSSCLHINCGGKDTIIKENKTSISYEGDVAGEGGAATYFINGQSYWGFSSTGDFMDDYDYQNTRYTVSVQSSNISELYSTVRKSPISLTYFHYCLQNGDYSVKLHFEEIQFTNDKTYNSLGRRIFDIYVQGRLVRKDFNIEHEIGSARKPLVVLIPKVKVNNNILEIQFYFAGKGTTRIPERGVYGPIISAISVSSDLKLCSSVQKKGTVHIVVGIIGASGLIFIVLGILWWQGYFPGKWSQRKDSKGLAAGTFSLKQIRAATNDFDPANKIGEGGFGPVYKGLLSDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGHENNHLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLARLDEEEKSHISTRIAGTMSVTTFLDYFKSFQSLLYPIPYMRHIFYVQRLHGTRIRAMGISD >Manes.16G044300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7207719:7222459:1 gene:Manes.16G044300.v8.1 transcript:Manes.16G044300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRELFVFLFMIVSCFKVLKFVEAKLVQEEVDALEEIARTMGSTYWKFNSDSCELEEVGITPEPPKHADHSIDCKCNNEDNTDCHVIKLLLKGHNLPGVLPPQLVKLPYLQEIDFAYNLLNGSIPLEWASLPLTSISLLVNRLSGEIPKELGNITTLKYLCLEANQFSGVIPSELGKLINLQILMLSSNLLTGNLPMSFAGLINLTDVRINDNNLNGTIPNYIQNWKGLKRLEMHASGLEGPIPSNISLLDSLVELRISDIRGPSQGFPNLSNMKGLTRLVLRNCNIFGKLHDYIWGMTNLEMLDVSFNKLIGTIPDTITAKRLRFVFLTSNLLSGDVPDSILKGGSNIDLSYNNFTLQGPEQPACREHMNLNLNLYRSSTVLNRSRLLPCMKTFKCPKYSSCLHINCGGKDTIIKENKTSISYEGDVAGEGGAATYFINGQSYWGFSSTGDFMDDYDYQNTRYTVSVQSSNISELYSTVRKSPISLTYFHYCLQNGDYSVKLHFEEIQFTNDKTYNSLGRRIFDIYVQGRLVRKDFNIEHEIGSARKPLVVLIPKVKVNNNILEIQFYFAGKGTTRIPERGVYGPIISAISVSSDLKLCSSVQKKGTVHIVVGIIGASGLIFIVLGILWWQGYFPGKWSQRKDSKGLAAGTFSLKQIRAATNDFDPANKIGEGGFGPVYKGLLSDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGHENNHLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLARLDEEEKSHISTRIAGTMSVTTFLDYFKSFQSLLYPIPYMRHIFYVQRLHGTRIRAMGISD >Manes.16G044300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7207719:7222459:1 gene:Manes.16G044300.v8.1 transcript:Manes.16G044300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRELFVFLFMIVSCFKVLKFVEAKLVQEEVDALEEIARTMGSTYWKFNSDSCELEEVGITPEPPKHADHSIDCKCNNEDNTDCHVIKLLLKGHNLPGVLPPQLVKLPYLQEIDFAYNLLNGSIPLEWASLPLTSISLLVNRLSGEIPKELGNITTLKYLCLEANQFSGVIPSELGKLINLQILMLSSNLLTGNLPMSFAGLINLTDVRINDNNLNGTIPNYIQNWKGLKRLEMHASGLEGPIPSNISLLDSLVELRISDIRGPSQGFPNLSNMKGLTRLVLRNCNIFGKLHDYIWGMTNLEMLDVSFNKLIGTIPDTITAKRLRFVFLTSNLLSGDVPDSILKGGSNIDLSYNNFTLQGPEQPACREHMNLNLNLYRSSTVLNRSRLLPCMKTFKCPKYSSCLHINCGGKDTIIKENKTSISYEGDVAGEGGAATYFINGQSYWGFSSTGDFMDDYDYQNTRYTVSVQSSNISELYSTVRKSPISLTYFHYCLQNGDYSVKLHFEEIQFTNDKTYNSLGRRIFDIYVQGRLVRKDFNIEHEIGSARKPLVVLIPKVKVNNNILEIQFYFAGKGTTRIPERGVYGPIISAISVSSDLKLCSSVQKKGTVHIVVGIIGASGLIFIVLGILWWQGYFPGKWSQRKDSKGLAAGTFSLKQIRAATNDFDPANKIGEGGFGPVYKGLLSDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGHENNHLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLARLDEEEKSHISTRIAGTIGYMAPEYALWGYLTEKADVYSFGVVALEIVSGKSNNNCMPGSHCICLLDWACNLQQSGNLMKLVDETLKSEVKKEEAETMIKVAMLCTNASPTIRPSMSEVVNMLEGRMSIPDIVPEPSGYTEDLRFKAMRDLLKHSQSLSGSHTQNSTVHTFGSTSASDDEFYEINPGSNS >Manes.16G044300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7207719:7222459:1 gene:Manes.16G044300.v8.1 transcript:Manes.16G044300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRELFVFLFMIVSCFKVLKFVEAKLVQEEVDALEEIARTMGSTYWKFNSDSCELEEVGITPEPPKHADHSIDCKCNNEDNTDCHVIKLLLKGHNLPGVLPPQLVKLPYLQEIDFAYNLLNGSIPLEWASLPLTSISLLVNRLSGEIPKELGNITTLKYLCLEANQFSGVIPSELGKLINLQILMLSSNLLTGNLPMSFAGLINLTDVRINDNNLNGTIPNYIQNWKGLKRLEMHASGLEGPIPSNISLLDSLVELRISDIRGPSQGFPNLSNMKGLTRLVLRNCNIFGKLHDYIWGMTNLEMLDVSFNKLIGTIPDTITAKRLRFVFLTSNLLSGDVPDSILKGGSNIDLSYNNFTLQGPEQPACREHMNLNLNLYRSSTVLNRSRRLLPCMKTFKCPKYSSCLHINCGGKDTIIKENKTSISYEGDVAGEGGAATYFINGQSYWGFSSTGDFMDDYDYQNTRYTVSVQSSNISELYSTVRKSPISLTYFHYCLQNGDYSVKLHFEEIQFTNDKTYNSLGRRIFDIYVQGRLVRKDFNIEHEIGSARKPLVVLIPKVKVNNNILEIQFYFAGKGTTRIPERGVYGPIISAISVSSDSKGLAAGTFSLKQIRAATNDFDPANKIGEGGFGPVYKGLLSDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGHENNHLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLARLDEEEKSHISTRIAGTMSVTTFLDYFKSFQSLLYPIPYMRHIFYVQRLHGTRIRAMGISD >Manes.03G179100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30119496:30123041:1 gene:Manes.03G179100.v8.1 transcript:Manes.03G179100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKSTIHALALFLSLSLFICIGFAASGGRIGGNSFSKRSSPRSSSHSSQFSPSNHYCHHHYRHGSTGCSSLSSQNQKIEWEGSVTSTPTWVAVIITIGVVGAISVAVYFEYMHNSGSVIMVQVGLTGKAPSLQKELNEIAETTDTGSPNGWQFILTETTLALLRHSAHFISGYSSVKQEWGVENVEKGFRELSSEERGKMDVESLVNVNNVKMQRPVSRKASKHHKDYIVVTILLAAKGSYEVPAIRSIHDMKDALRSLNIGSSSLLAVEVLWTPQDENDTLSEEAMLEDYPLLRPI >Manes.03G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:367338:374418:-1 gene:Manes.03G003600.v8.1 transcript:Manes.03G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTEESLRKRQKRNPFPGDSSRKHLELTPMRQYQVMGEVNFLQEEDIRLETTRARFANALKRHAELAERFSRDSDKMIFERLQKEFEAARASQTQELYLDGEEWNDGLLATIRERVHMEADRKQMQGDSNMVPDHHEEKSTYRAGNKVICCLEGARIGIQYETSFAGDPCELYHCVLESKSFLEKMTVLEHTIPFFLPIREAENDLLSSNAMRFIDYVGELLQAYVDRREQVRLIKELYGNQIGELYHSLPYHMIEFTLDDFDCKVTVGLRYADLVSVLPTRVKVFAWPMNQFKKNGALGTHPGPVRLSYAEDALRTMSLPEAYAEIVLNLPQAIQQMSVETSPS >Manes.08G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39174863:39179623:1 gene:Manes.08G151400.v8.1 transcript:Manes.08G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHVSSHGFVSRKYLLCLFSFILALFILSWFFVLRSTHRPNFTDHSLLTNPLHSVIDDGSSLSWTQKIDEPQLENRGEVSGGGAEGEESPKEKVAGEDVKCNTHNENNKEPLKVFMYDLPAEFHFELLDWTPQGDSVWPDVKTKIPSYPGGLNLQHSIEYWLTLDILASEIPGIPRAGSAVRVRNSSEADVIFVPFFSSLSYNRYSKVNPHQKKSKNKLLQEKLVKFVTSQREWKRSGGRDHIILAHHPNSMLDARMKLWPAMFILADFGRYPPNVANVDKDVIAPYKHVVRSYVDDLSTFDSRPILLYFQGAIYRKDGGLARQELFYLLKDEKDVHFQFGSIQKDGVTKASKGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSQFCIFVRTSDAVKEKFLINLIRGIGKDEWTQMWQKLKEVEHFFEFQYPSKEGDAVQMIWQAVARKVPSMRMKIHKSKRYSQSLMVNNRELKKIPTPNNFW >Manes.08G151400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39174863:39179623:1 gene:Manes.08G151400.v8.1 transcript:Manes.08G151400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLPAEFHFELLDWTPQGDSVWPDVKTKIPSYPGGLNLQHSIEYWLTLDILASEIPGIPRAGSAVRVRNSSEADVIFVPFFSSLSYNRYSKVNPHQKKSKNKLLQEKLVKFVTSQREWKRSGGRDHIILAHHPNSMLDARMKLWPAMFILADFGRYPPNVANVDKDVIAPYKHVVRSYVDDLSTFDSRPILLYFQGAIYRKDGGLARQELFYLLKDEKDVHFQFGSIQKDGVTKASKGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSQFCIFVRTSDAVKEKFLINLIRGIGKDEWTQMWQKLKEVEHFFEFQYPSKEGDAVQMIWQAVARKVPSMRMKIHKSKRYSQSLMVNNRELKKIPTPNNFW >Manes.08G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16474853:16484269:-1 gene:Manes.08G074700.v8.1 transcript:Manes.08G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQLHKASSNHHRRDEEIPTSQSSSYSPKTLKPTRSLPRSINYLFREQRLLFIIIGILIGSTFFILQPTLSRLGPSDPHSYPSLSFTRNSLDSSSSNFFRKHSFSGRVPAGIGRRRLRIVVTGGAGFVGSHLVDKLISRGDEVIVIDNFFTGRKENLVHLFGNSRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGASVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPLTVYGDGKQTRSFQYVSDLVDGLVALMEGEHVGPFNLGNPGEFTMLELAEVVKATIDSSATIEFRPNTADDPHKRKPDISKAKELLNWQPKISLRDGLPLMVNDFRNRILNEDEGKGLI >Manes.01G049525.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:15290420:15291562:-1 gene:Manes.01G049525.v8.1 transcript:Manes.01G049525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTTCTSRTNELDIKILVIERSCGCDPFSSITSKTVLFTSSTSSIMCSPCPPSFTTSSISSLMEFSRTTTLDACITTSSSISISMEVDIGTLASSFSFSLSIFPP >Manes.04G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1035481:1044699:1 gene:Manes.04G008200.v8.1 transcript:Manes.04G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPKARSKPGPWLPAPESSPLPPSSWAKRTGFRPKFSGETNVSDSGQISLPPKPKEQSDNQPDLEAGRARAAPTTTATPTPAAVNGTETAVPTENKDQRVKRRRDSDGGGAPKKESGHGTNGQAPVAPTEGTRRAARNEEVVDVLPQTVEDNGFVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGSYEDTSMVVSTVLFISGVTTLLHTSFGSRLPLIQGPSFVYLAPALAIINSLEFQGLSGNNFKHIMKRLQGSIIIASAFQALLGYSGLMSIFLRLINPVVVAPTIAAVGLSFYSYGFPLVGTCLEIGVAQILLVIIFSLYLRKISVLGHRVFLIYAVPLGLAITWAAAFLLTEAGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFEWKMALVMCVVSIIASVDSVGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLILLSLVGKVGGFIASIPEVMVAALLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYFLPYIVASHGPFRSKYGGLNYFLNTLLSLHMVIAFLVAVILDNTVPGSRQERGVYVWSETEAARREPAVTKDYELPFRVGRVFRWVKWVGL >Manes.04G008200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1035481:1044699:1 gene:Manes.04G008200.v8.1 transcript:Manes.04G008200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQGSIIIASAFQALLGYSGLMSIFLRLINPVVVAPTIAAVGLSFYSYGFPLVGTCLEIGVAQILLVIIFSLYLRKISVLGHRVFLIYAVPLGLAITWAAAFLLTEAGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFEWKMALVMCVVSIIASVDSVGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLILLSLVGKVGGFIASIPEVMVAALLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYFLPYIVASHGPFRSKYGGLNYFLNTLLSLHMVIAFLVAVILDNTVPGSRQERGVYVWSETEAARREPAVTKDYELPFRVGRVFRWVKWVGL >Manes.04G008200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1035481:1044699:1 gene:Manes.04G008200.v8.1 transcript:Manes.04G008200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTVLFISGVTTLLHTSFGSRLPLIQGPSFVYLAPALAIINSLEFQGLSGNNFKHIMKRLQGSIIIASAFQALLGYSGLMSIFLRLINPVVVAPTIAAVGLSFYSYGFPLVGTCLEIGVAQILLVIIFSLYLRKISVLGHRVFLIYAVPLGLAITWAAAFLLTEAGAYSYKGCDPNIPASNIISDHCRKHVSRMKHCRVDTSHALKSSPWFRFPYPLQWGTPVFEWKMALVMCVVSIIASVDSVGSYHASSLLVASRPPTRGVLSRGIGLEGLSSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACVLILLSLVGKVGGFIASIPEVMVAALLCFMWAMLTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNSNLSVPSYFLPYIVASHGPFRSKYGGLNYFLNTLLSLHMVIAFLVAVILDNTVPGSRQERGVYVWSETEAARREPAVTKDYELPFRVGRVFRWVKWVGL >Manes.01G127900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32199724:32205196:-1 gene:Manes.01G127900.v8.1 transcript:Manes.01G127900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESLNAELSKKTSFLGLKLWVLIGVSVGAFIILILCILSAWIMFRRRTRRSIDNFSLSQIPNVSKDIRIDRVGAQSVNDHPESLCLTVPDNSTNNNSEQTPVHLGISKLSDPDNISQSSSIYHHERGCSSHSGEEGSSGTVRKQSSLSCAGLVTASPLVGLPEISHLGWGHWFTLRDLEFATNRFAAENVIGEGGYGIVYRGRLVNGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGGMCHHGTLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANECEWQVNLVEWLKMMVGSRRVEEVVDPNLEVKPTTRALKHALLVALRCVDPDAEKRPKMSQVVRILEGDEHPFREDRRNRKSRSISIEIESMKESMDMENKVGDSESKFSETNH >Manes.01G127900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32199724:32205196:-1 gene:Manes.01G127900.v8.1 transcript:Manes.01G127900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESLNAELSKKTSFLGLKLWVLIGVSVGAFIILILCILSAWIMFRRRTRRSIDNFSLSQIPNVSKDIRIDRVGAQSVNDHPESLCLTVPDNSTNNNSEQTPVHLGISKLSDPDNISQSSSIYHHERGCSSHSGEEGSSGTVRKQSSLSCAGLVTASPLVGLPEISHLGWGHWFTLRDLEFATNRFAAENVIGEGGYGIVYRGRLVNGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGGMCHHGTLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKMMVGSRRVEEVVDPNLEVKPTTRALKHALLVALRCVDPDAEKRPKMSQVVRILEGDEHPFREDRRNRKSRSISIEIESMKESMDMENKVGDSESKFSETNH >Manes.07G012000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1543289:1548270:-1 gene:Manes.07G012000.v8.1 transcript:Manes.07G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCKAESAIAISNPASQTTSSKTSQNKHEKPIKIQQFHYSDLVAATNGFSDQKLLGKGSHGCVYKAVIRGRHVAVKKPSKGVDIGQEVDNEIEILSKIHSPRLVNLLGFANDTKDRLLVVEFMSNGTLYDILHSNSRPLNWGRRIRMALQIAKAIDTLHSQNPPIIHRDIKSANVLIDRNFNARLGDFGLALRCGIDDDYRLKSTPPAGTMGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVGHSPPSIVDWAIPLIKKGKLVAIYDPRIAPLKDPMTRKQLALIAAKCVRSCRERRPAMKEVIEWLTSLSKLVPLHSWNGFNNPCMMVETMGRTVEFRNNHFGEENLDGAEGKLGAKSVKDSRRVYSDLGFRSNLMELMAGTEGESGFLGEIDGFESTFKSANRTFSSRFDSARFGIKGRAQTKTYRDNKGLFRIRRNQSAGDGSEIFSNHNNTFARSSFSTQGCHDI >Manes.05G132400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15024690:15027274:-1 gene:Manes.05G132400.v8.1 transcript:Manes.05G132400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQYHYFLHFSILFLFFFFFITSYCDSSDLISSKCSAHNCGNGVTIKYPFWYIGDNNTDEYCGYPEFGLSCLDQEPILRLPNDSFYVKNINYANFTITLADIDVTGQTCPRARHNLTLENLPLDFTNLDLNLSFYFNCTSSPFSFSTSAVGCLEFGKKQSYVIVMENQSNTVNWIGKCEDKVIATVMRTEITINDLIGGFGAAMNKGFMLDWSTVKGCGGCEDSGGYCGYNNTAKEFICFCSDGTVHSNRCKVKCAAFSYGNPINSGHPFWEASSLVVMGAQAQASRSA >Manes.11G140000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30539361:30542488:-1 gene:Manes.11G140000.v8.1 transcript:Manes.11G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKRTRYGFSEICKRELGFSPTFTRRFSASEVVVKQIDLYGKLIGHVGCVNSVEFNSTGDLLVSGSDDTHVMFWDWATKSRRLSFPSGHSDNIFQTRIMPFTDDRRIVTSSADGQVRLAQVLENGQVDTTGLGRHQGRVYKLAVEPGSPHILYSCGEDGFVQHFDLRSRSATKLFFCSSFSENSKRPSNSIRLNAIVIDPRNPNYFALGGSDEFARVYDIRKCRLDAKMNSDTPVNTFCPHHLIESKNVHITGLAYSTTSELLVSYNDELIYLFQKNMGMGPHPLCISPEYLKKLEEPQVYLGHRNSQTVKGVNFFGPNDEYILSGSDCGHIFIWRKKGGKLVRLMSGDRHIVNQLEPHPHISMFATCGIEKSVKLWVPMASETPPLPENVEKIMESNKQGREHHSRVTLTPDVIMHVLRLQRRQTLAYIERRYSRADIESDEDEGEAFVLGLSDAEPSSEEGCNIS >Manes.11G140000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30539361:30542490:-1 gene:Manes.11G140000.v8.1 transcript:Manes.11G140000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDWATKSRRLSFPSGHSDNIFQTRIMPFTDDRRIVTSSADGQVRLAQVLENGQVDTTGLGRHQGRVYKLAVEPGSPHILYSCGEDGFVQHFDLRSRSATKLFFCSSFSENSKRPSNSIRLNAIVIDPRNPNYFALGGSDEFARVYDIRKCRLDAKMNSDTPVNTFCPHHLIESKNVHITGLAYSTTSELLVSYNDELIYLFQKNMGMGPHPLCISPEYLKKLEEPQVYLGHRNSQTVKGVNFFGPNDEYILSGSDCGHIFIWRKKGGKLVRLMSGDRHIVNQLEPHPHISMFATCGIEKSVKLWVPMASETPPLPENVEKIMESNKQGREHHSRVTLTPDVIMHVLRLQRRQTLAYIERRYSRADIESDEDEGEAFVLGLSDAEPSSEEGCNIS >Manes.13G149800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36544611:36545432:-1 gene:Manes.13G149800.v8.1 transcript:Manes.13G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCMSSRAATEPRQPKSPGHHCSSPKLPAPEPNSSHVPITSVEEETVKEVLSETPISKVPQTSPSAQQETTQIPIVHESKTQKNKRKEEEVQAERTPEISQASEICSVTDTYSTATTATTATAVTEIRDDEVTSKKRVNRSPSSLPRKRPHNGERERGAKTPGKRELSGQVRTAQRNVGSRRVGRELGEKSGRRSRSPATRMSSGGVLRGGRAGGSPAKVTGKSSGRQVEMGSGAKEEEKREENDSVLKQEQGNESASLENPLVSMECFIFL >Manes.07G080784.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24692580:24694090:1 gene:Manes.07G080784.v8.1 transcript:Manes.07G080784.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.S054416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1867852:1869525:-1 gene:Manes.S054416.v8.1 transcript:Manes.S054416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.11G060012.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8056310:8066511:1 gene:Manes.11G060012.v8.1 transcript:Manes.11G060012.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSFPIQELKNLKNLTFLDISDNRFNGILSFKEFSTFKRLEILNLEDNAFTGCIPEDTWAPPSLKVLYLYGNKFSGSLPKQSLCGLKDLQYLDLRHNEFGGTLPQCLGNLTSLTFLGLSENHLTGRLPSFWPPMLQSLDLSYNHLQGIFSFNYSSLEVIGLSGNKITFENGWIPSFQLRDLIMQDCGLESIPEFLFHQFKLELLDLSHNNLKGRFPYWLLQNNGGLEILNLMNNSFNGQLEIGAKMLPSMTYLNLARNHFEGDLFSAGDDCKLVALDLSHNNFSGEVPERLLSNCISLSYLRLSHNNFHGQIALFNLTRIADLQLNDNQFEGTLSSLLTNFSHQSYGPEVLHLSNNRLHGEIPHWIGNITGLEYLNLRDNLFQGQIPCQLLSTRIEYLDLSYNSFSGLLPSCFNGNSLQQINLQGNRFSGSIPQALLNISTLNSLDLSDNELSGTVINKSGENLSGLRVLLLRGNHFSGFIPNWLCHLNDVNLLDLSRNSFSGSIPHCLYNLSFAREGEGPLYGPFSDELFGWVIEDRGSSKTHLANTILFEAEVDEESEFVTKYRADTYKNKALNYMSGLDLSDNNLTGEIPYELGVLSHIHALNLSHNQLTGSIPKSFSNLSQIESLDLSYNILTGQIPIELIDLNFLEAFSVAHNNLSGRIPDMKEQFSTFDSKSYEGNPFLCGTQVRRKCHDDNDELFPSQMESPQETSGKWCEIDREIFLISFSVTFIIFFLSVITILYLNSYWQQKLIYYTRQYLFSYYYFLYDNLMK >Manes.12G088914.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14303603:14304649:-1 gene:Manes.12G088914.v8.1 transcript:Manes.12G088914.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNRSKSKTATFHSTSATKTERKVIEKNRRNQMKTLFSNLNSLLPKQSSKEALPLPDQVDEAINYIKSLEEKLKKSKEKKESLSGRKRSFSNFVSSFESASNLVAPKLEIREMGSSLQIILISGLDNQFIFYDIIHILEDEGVEIPNASFSVSGNSIFHVVHAQMKESDFSYGAAKVTERLNRYINGSASELELGPELWDFNDLNPETWVF >Manes.02G145500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11162071:11169592:1 gene:Manes.02G145500.v8.1 transcript:Manes.02G145500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYVFNEPIVVDAGRLQPLNPAAIFMQGTKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGNGRSAYDYRSSFGSGAVILDDCNFHESVRLDNFDLDRTLTLVPPDGEFPVMNYRLTQEFKPPFRINALIEVAGALKAEVILKISAEFPSSITANTISVQMPLPKYTARATYELEPGAIGQTTDFKEANKRLEWGLKKIVGGSEHTLRAKLTFSQELHGNITKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSNTYNPYRWVRYVTQANSYVARI >Manes.02G145500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11160107:11169542:1 gene:Manes.02G145500.v8.1 transcript:Manes.02G145500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYVFNEPIVVDAGRLQPLNPAAIFMQGTKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGNGRSAYDYRSSFGSGAVILDDCNFHESVRLDNFDLDRTLTLVPPDGEFPVMNYRLTQEFKPPFRINALIEVAGALKAEVILKISAEFPSSITANTISVQMPLPKYTARATYELEPGAIGQTTDFKEANKRLEWGLKKIVGGSEHTLRAKLTFSQELHGNITKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSNTYNPYRWVRYVTQANSYVARI >Manes.02G145500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11160101:11169542:1 gene:Manes.02G145500.v8.1 transcript:Manes.02G145500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGILNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYVFNEPIVVDAGRLQPLNPAAIFMQGTKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRGNGRSAYDYRSSFGSGAVILDDCNFHESVRLDNFDLDRTLTLVPPDGEFPVMNYRLTQEFKPPFRINALIEVAGALKAEVILKISAEFPSSITANTISVQMPLPKYTARATYELEPGAIGQTTDFKEANKRLEWGLKKIVGGSEHTLRAKLTFSQELHGNITKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSNTYNPYRWVRYVTQANSYVARI >Manes.15G192000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31568576:31582906:1 gene:Manes.15G192000.v8.1 transcript:Manes.15G192000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKPAKEKEAKALSGTTAQSYVLQEQPSTTSTGPVNSEWTGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGLYAHPSIPPGSYPFSPFAMPSPNGINEASGYTPGSTEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNEIGKTSGASANGAYSKSAESASEGTSEGSDANSQNDSQMKSGGRQDSAEADASQNGGSVHGPQNVGHSMPNTIMNQTMSIVPIPATGAPGALPGPTTNLNIGMDYWGAPASSAIPAIHGKVPNTPVAGGIVSTGSRDTVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNRIKSDYEQLLAENASLKEKLGEFTGHEEFRTVRHDQHLNNDGQKTGQAEVAQSGH >Manes.15G192000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31568572:31582581:1 gene:Manes.15G192000.v8.1 transcript:Manes.15G192000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKPAKEKEAKALSGTTAQEQPSTTSTGPVNSEWTGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGLYAHPSIPPGSYPFSPFAMPSPNGINEASGYTPGSTEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNEIGKTSGASANGAYSKSAESASEGTSEGSDANSQNDSQMKSGGRQDSAEADASQNGGSVHGPQNVGHSMPNTIMNQTMSIVPIPATGAPGALPGPTTNLNIGMDYWGAPASSAIPAIHGKVPNTPVAGGIVSTGSRDTVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNRIKSDYEQLLAENASLKEKLGEFTGHEEFRTVRHDQHLNNDGQKTGQAEVAQSGH >Manes.15G192000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31568576:31582906:1 gene:Manes.15G192000.v8.1 transcript:Manes.15G192000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKPAKEKEAKALSGTTAQEQPSTTSTGPVNSEWTGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGLYAHPSIPPGSYPFSPFAMPSPNGINEASGYTPGSTEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNEIGKTSGASANGAYSKSAESASEGTSEGSDANSQNDSQMKSGGRQDSAEADASQNGGSVHGPQNVGHSMPNTIMNQTMSIVPIPATGAPGALPGPTTNLNIGMDYWGAPASSAIPAIHGKVPNTPVAGGIVSTGSRDTVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNRIKSDYEQLLAENASLKEKLGEFTGHEEFRTVRHDQHLNNDGQKTGQAEVAQSGH >Manes.15G192000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31568576:31582906:1 gene:Manes.15G192000.v8.1 transcript:Manes.15G192000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKPAKEKEAKALSGTTAQSYVLQEQPSTTSTGPVNSEWTGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGLYAHPSIPPGSYPFSPFAMPSPNGINEASGYTPGSTEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNEIGKTSGASANGAYSKSAESASEGTSEGSDANSQNDSQMKSGGRQDSAEADASQNGGSVHGPQNVGHSMPNTIMNQTMSIVPIPATGAPGALPGPTTNLNIGMDYWGAPASSAIPAIHGKVPNTPVAGGIVSTGSRDTVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNRIKSDYEQLLAENASLKEKLGEFTGHEEFRTVRHDQHLNNDGQKTGQAEVAQSGH >Manes.15G192000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31568572:31582906:1 gene:Manes.15G192000.v8.1 transcript:Manes.15G192000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDMDKPAKEKEAKALSGTTAQEQPSTTSTGPVNSEWTGFQAYSPIPPHGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGGLYAHPSIPPGSYPFSPFAMPSPNGINEASGYTPGSTEADGKPSDVKEKLPIKRSKGSLGSLNMITGKNNEIGKTSGASANGAYSKSAESASEGTSEGSDANSQNDSQMKSGGRQDSAEADASQNGGSVHGPQNVGHSMPNTIMNQTMSIVPIPATGAPGALPGPTTNLNIGMDYWGAPASSAIPAIHGKVPNTPVAGGIVSTGSRDTVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNRIKSDYEQLLAENASLKEKLGEFTGHEEFRTVRHDQHLNNDGQKTGQAEVAQSGH >Manes.08G112500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35155536:35160120:-1 gene:Manes.08G112500.v8.1 transcript:Manes.08G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLSTPDLENPNNGIPLSAQQPHLLSEDFDSTCSTPYVSAPSSPGRGAGPGPINGGFFYSCPASPMHFAITTSAASYSVSAVSSPDNGNGSVPIGYEFEFSARLGSSGSGQTGSMSSADELFLNGQIRPTKLSTHLERPQVLAPLLDIDNEEEEEDDMDIKNNGRKVSGESTRGRDLRLRDKSLRRRTRSMSPLRSTLFEFANDDEDSKKNQIHCSTDESCESSGLEANENIKLEESATPSVSASSSRSSSAGRNSKRWVFLKDFLYRSKSEGRSNNKFWSNISFSPAKEKKSTRPASLQVPAATKEKVANASAVSMENQKVKGSGMPSGKKPVNGVGKRRVPTSPHELHYKASKAQAEEMRKKTFLPYRQGLLGCLGFSSKGYGAMNGFARALNPVSSR >Manes.13G125000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33266504:33270284:1 gene:Manes.13G125000.v8.1 transcript:Manes.13G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSFNSNINFLHFLPLCKNVNHLKSLKSLLILHGLIERKGLLGELLKACFHLGALDLALSTFNTIRKPSVFLQNLVIRGLSNHGLHEHVLSLYLNCRISGCPSDHFTFPFVIKASSALGAFGIGKEIHCAVLRSGYGQNVVIQTALVDFYAKNGYMRTACALVDRIPQPDLVSWNALLAGYSFHGCDHKCFQIFKHIFSVGLRPNLSTLASIIPVCTRSGCLDIGRSLHGFAVKSGHLVNNFLVPALISMYASDMHLSAARNLFNSVMDKNVAVCNAIISAYVQKQMPVEAFEIFREMHHSNVQPNSVTFVSIIPSCEVVNSVWLSEFFHACVLKHGSENHVSVLTALVSMYAKLGDINKAKFIFERIPNRNILSWNAMVSGYVHNGLWDASLETFCEMQLCGFIPDAVSIVSILSACSKLEAILLGKSAHAYSFRKGINSNLNVANALLAFYSDCNQLAYPVKLFLKMDSWDSVSWNTIISGCVHGGEFKKAADFLHQLQRKGLAMDLVTLISILPIYCDSENLGQGMTLHGYAIKNGFASDVSLVNAFISMYCKCGDPDAGRLIFENMSKRCVVSWNALIGGIRHYNLKNEVLILFRRMIMEGKRPNCVTLINLLPVCCSQLQGKSIHAFAIRTGILQETHLLTSLISMYARFLNANLSLLIFEMNEKRDVSLWNAIFSGLIQTKCPEKAIALFRDLLLVGLQPDHITVLSLISAFSQLNSLRLAHSVMAYVIHKGFDKDVVISNALIDLYARCGNILAARKIFEGMPEKDAVSWSVMINGFGLHGNGQAALELFSQMQFSGVRPDDFTYSSILSACSHAGLVEQGWMVFNSMVEQGMSPKIEHFACMVDLLSRTGNLKEAYGIVQKLPCKPSISLLESLLGACKIHGEVEVGEKISGMLFEMDPENSETYVMLSNIYAAAGRWIDADRVRCSMEARQLRKAAGFSFQTVE >Manes.11G138500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30382073:30387300:-1 gene:Manes.11G138500.v8.1 transcript:Manes.11G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVIAKALLSRNRNQLSPPLLFSVLSRSFSHFLSQSQLPSFGIAFDIDGVLLRGESPIGGSPQALKRLYDPSGALRIPYIFLTNGGGFPESKRALELSKLLGVNISPLQVVQGHTPFKQLVHRFENEFVVAVGKGEPAAVMTEYGFKNVLSIDEYASYFDGIDPLVQYKTWTTKQAAKQSSTLEQMSTRDSIHSQRVKAAFIVSDSVDWSRDIQVMCDILRTGGLPGRELGHQPDLYFANDDLAYQATFPSERLGMGAFRIALGSVFNSIHPNALKYTSFGKPNPLVFKNAETVLKQLVPSLHRGTNPVDHLNDGTHHFNKLYMIGDNPSVDIRGAQQAGHPWFSILTRTGVFKGIENHTEFPADLVVNTVEDAVEYILSKECA >Manes.17G114800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32244943:32246964:-1 gene:Manes.17G114800.v8.1 transcript:Manes.17G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNSRKWADANEIAREFNGFDPVVHNCMISANMQWGNLDEARRLFDEMPERNEVSWAALISGFMKCGRVKESMWYFERNPFQNVVSWTAAISGYVQNGFSVEAVKLFFKLLESGVKANKVTFTSVVRACAGLGDFGLGMSVLGLIIKTGFENDIAVSNSLITLCLRMGEINLARAVFDRMKKRDVVSWTAILDMYVEMGDMGEARRIFDEMPERNEVSWSAMIARYCQSGCPEESLKLFYQMVQEGFKPNCSCFSSVLSALGSLEALRAGMNIHGHVTKLGIEKGVFVGSTLIDLYCKCGEVMDGRKAFDSILDKNVVSWNAMIGGYSMNGQMQEAENLFNIMPLPLRNNVSWSAIIAGYLDCQQCDKVFEVFNEMLLLGEIPNKSTFTSLLCACASMAASLGKGKDLHGKIIKLGIQSDVFVGTALTDMYAKSGDIESSRKVFDRMPEKNEVSWTAMIQGLAESGFAEESLNLFEEMEKASSIALNEFMLLSVLFACSHCGLVDKGLRYFNSMETIYGLKPQGKHYTCIVDMLSRAGRLLEAERFINSMPFQPETNAWAALLSGCKTYKNEEIAERTARKLWEIAEKNPAGYVLLSNIYASAGRWKDVLNVRKLMKEKGLKKSGGCSLVEVKDHVHSFYSGDGTHSQSAEVYKILELLKNEMHDLQNVVHL >Manes.13G067900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8716624:8716869:-1 gene:Manes.13G067900.v8.1 transcript:Manes.13G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRFGFSCFLVIALLTFLVLRSSQTTGMSFSSATFATRTHQQFRSRPPVNVPVKGRSHEFEFQKRRVPTGSNPLHNKKRL >Manes.16G054967.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:15915090:15917009:1 gene:Manes.16G054967.v8.1 transcript:Manes.16G054967.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRERLWPPKVLFERAKVRPPNLKFGHRTCMSLGGTLGCRRSLTRPPIKSPQIGNGRVFSPFSSSGEFLSSLGRFHVFFHLLHVFMSFMAVLKSFQA >Manes.14G165250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26152998:26155073:-1 gene:Manes.14G165250.v8.1 transcript:Manes.14G165250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSTVWDHFTKFVDNTGTQKGKCNYCDKEFFCDPKKNGTTSLRNHMFACIKNPHSMTTRQSQLSLQPTCSTQEGGGGTRIGTLSSWHFDQNVSRQKLAKMIIVDELPFMFVEGEGFREWVEYTQPRFRIPSRWTVSRDCYDFQRVCITTDTWTSLQRINYMCVTAHFIDDNWTLQKKIINFCPITSHKGDDIGMAIESCLLNWGIKRVFTVTVDNASSNDVAISYLKKKINAWGFSILNYKYLHMRCIAHIINLVVVDGMKDGLTPIKKVRDAVRYIRQSPARLQRFKACCEMEGIQSKSSLCLDVSTRWNSTYLMLSSALKFENAFDRYATVDPYFKIDLQSSEGNGVPDSLEWEYIGKIVEFLGHFYELTLRISGSRYVTSNIFFDEISSVDCLLQEWKSSNDLELSCMGEKMKLKFDKYWGDPDKMNKIIYIAVVVDPRYKLEFMHFALSTMYGKEKGIELAKKVKLFVYELFDDYKRIFQSENANEHIGNKPRMRLGHQFMQHKIEIGEAKSKSDLNSYLNENILVLDEKEDFDILKWWKMNANRFPILSHMHLQMWT >Manes.18G146000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:30411612:30412146:1 gene:Manes.18G146000.v8.1 transcript:Manes.18G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSSLLFTSLWLPILAEECGTQAGGVICPGYQCCSKSGWCGNTIDECCNGCQSNCGHPVCPRGRRAGIPRGGAGDMGEISSEKAFDKILSQKPFAYGF >Manes.16G057218.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:16938748:16939224:-1 gene:Manes.16G057218.v8.1 transcript:Manes.16G057218.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVDIDLCRRKFTWRNSLSKNRIDRALVSFHWLQDFPNLCLVGLPRGPSDHNPILLISELALDWGPKPFPFLDAWWSWPGFVKLMESFWNEIMDVNPSTSLVVKLKLLRQKLKSWNSEVFGYADINLKQICSKIDELEIAGENRILTSGEKKEFSFING >Manes.03G134800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26243494:26249876:-1 gene:Manes.03G134800.v8.1 transcript:Manes.03G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVGVHVSDQSLQSQFTQVELRGLKSKFTSVKTQNDKVTVEDLPPLMVKLKAFNSMFNEEEIKGILSESFSDMSNEIDFEDFLRAYLDLQGRATAKSGKPKLASAFLKAMTSTLLHNINESEKASYVVHINTFLGDDPFLKQFLPLDPNSNDLFNLVRDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDMVEGRHHLILGLISQIIKIQLLADLSLKKTPQLVELLDDNNDIEELMGLAPEKILLKWMNFHLKKGGYEKTVSNFSSDLKDAKAYAYLLNVLAPEFCNPATLDVTDARERAKLVLDHAERMECKRYLRPEDITEGSSNLNLAFVAQIFHQRSGLSTDSKKHSFAEMMKDDIQISREERCFRLWINSLGIPTYINNVFEDVRTGWVLLEVLDKISPGSVNWKQATRPPIKMPFRKVENCNQVIDIGSQLKFSLVNVGGNDIVQGNKKLILAFLWQLMRYNMLQLLKNLRSHSQGKEITDTDILRWTNWKVRSTGRTSRIESFKDKNLSTGLFFLELLSAAEPRVVNWNLVTKGENDDQKRLNATYIISVARKLGCSIFLLPEDIIEVNQKMILTLAASIMYWSLQKAMEEGDTFLFTPDASPAPSVCGEDESTRASVCGEDESTRASVFGEDESSTLAGELSNLNIDDTASDTTITSQMESEEAPGGE >Manes.10G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:884350:886860:-1 gene:Manes.10G007400.v8.1 transcript:Manes.10G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCYPDIFSWIQKLPPLSQWKENSMSTCLCSSSSQPSLNLSVIKDLLSPTVSFSIVADFNLPISLWTSKPIKTNPRSSNLLDDETISCLLVNLIEAVLSYCSNKCRSSIKVPKLLDSVPNFKHIFNLVSFTLSFLICIYEAPANLRSICLANLKSQLTNPQLREASELLMKTIGSNLEEQWMRSINLAITNWIQERQANNTTFNTPSPLFSYSLSTFELWKVHLYCPIISMDVESSNNSSPDERLLFSLKHHQLEGVIQFNYRVIIQEKWVDVLVAIDNLRCDIVRLVNATLIKERGVGTEEKHFPSRISLHLTPILQTNMISVSVSKSSDNPTREIELEKSLETSFDPPSSLLGLKLSVGETVSTSLKPWKFEESVHGYSAILNWFLHDTMDGREVSSSKPSKLALINPRAWFKDRYSSAHRPFNRQGGVVFAKDEYGNGIRWKVDKSAIGETMEWEIKGWIWLTYWPNKYRTFHSETRRLEFRENLHLPIA >Manes.12G061700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6239838:6240882:-1 gene:Manes.12G061700.v8.1 transcript:Manes.12G061700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIWLRVLLALLIISITPPSSDGELEQWCIADEQTPDGELQAALDWACGRGGADCSMIQVNQPCYLPNTVRDHASFAFNSYFQKFKHKGGSCYFRGAAIITELDPSKLLHNA >Manes.12G061700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6239517:6240870:-1 gene:Manes.12G061700.v8.1 transcript:Manes.12G061700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIWLRVLLALLIISITPPSSDGELEQWCIADEQTPDGELQAALDWACGRGGADCSMIQVNQPCYLPNTVRDHASFAFNSYFQKFKHKGGSCYFRGAAIITELDPSHNSCHYEFIP >Manes.03G161200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28820594:28823849:-1 gene:Manes.03G161200.v8.1 transcript:Manes.03G161200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESFDSERNQVCIAPSLLETLGRRIVTRFLGSFISTGCLTLQEAGGADLTFAGTGRKCSLKFHLKVHNPQFYWKVMTRADIGLADAYIDGDFSFADADEGLLNLIMLLIANRDANQSASESNKKRGWWTPLSLFTATFASAKLAYQHVLRQNTLTQARRNISRHYDLSNEIFALFLGESMTYSSGIFKTEDEDLQTAQMRKISILVEKARIEPKHEVLDIGCGWGTFAIEVVKRTGCKYTGITLSEEQLKFAEMKAKDAGLQDHIKLQLCDYRQLPETRKYDRIISCEMIEHVGHEFMEEFFGCCGKLLSGDGLLVLQFSSIPDERYEQYRRSTDFIKEYIFPGACVPSLSMVISAMSAATRLCVEHVENIGSHYYHTLRHWRKNFLDNQSKILAMGFDEKFIRTWEYYFDYCAAGFRTYTLGNYQVVFSRTGNIKTLGYPYRGFPSAYAHLSSTQP >Manes.10G000357.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:621820:622935:-1 gene:Manes.10G000357.v8.1 transcript:Manes.10G000357.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTEPQASFLGIISIRRNQVDINHDPELEDLELFQRHVVDRFGDLLSPMEDVAASSETLLSISWLRKLVDVFLCCEAEFKAVLIMELLKLWIYVMPCLVGLNLYVSIRNSQKLQSLLWSRSQWMMAKRKVLRVQIEHGLSGGEGNTNSANKEQVPGYFRSLSMIVAKNWSASKQIQAMCSNLVPPRGGEPTGLALPVYVMSSVMVLVMWALVATLPCQERSELATHFQIPRQLTCAHSMTGLQEKIGEEWKKKEKKGSMGLLEEIDNMEKLALSLIDIANGFQFPGETEKIEEVAAQVAELAEICMRMEEGLIPLQSCRHRLEKCFIQL >Manes.08G112700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35169275:35177986:-1 gene:Manes.08G112700.v8.1 transcript:Manes.08G112700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLKAQNDNRFDTAKTFVEQYIFKLVESQSYMTAVTLLEHFSIRQSGQSFLLKMIENKELRAAEKWATFMGKPMLCLLVKEYVDRNMLKHAYEIIKKNNLKEEFPDVYHKCKERSLKNLAEKTLWDLAEAKTHGDRQLLEYLVYLAMEAGYSEKVDELCDRYSLEGFLKGKEAELEACLPHDRYLQLDELVVDDLGWVDEVDRLCDATSHIEGCKVVGIDCEWKPNYEKGSKPNKVSIMQIASEEKVFIFDLIKLFEDVPDVLDNCLIRILQSPRILKLGYNFQCDIKQLAHSYGELKCFKHYEMLLDIQNLFREPRGGLSGLAKKILGVGLNKMRRNSNWEQRPLSRNQLEYAALDAAVLVHIFHHIHNHPQPASVPEGHDKIEWKSYIVSHMDNPKKPKKGPKSKKASEGEIDQHWQKS >Manes.08G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35169275:35177986:-1 gene:Manes.08G112700.v8.1 transcript:Manes.08G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGVPNLHDLKEKDHQTLTLSVHAFSDLTYVSPIVFLYLLKECYIHGSCKATKKFRALQQQVYQALYNSPQPGPATFVIQCLHVLPIFGLYSEGFSHLIVSAIRRFLKLAPSSEDTLQSKVLAANLFVDTVGGLVDHDERIVVKILEGFDVKLIHIEEAMHRLKAQNDNRFDTAKTFVEQYIFKLVESQSYMTAVTLLEHFSIRQSGQSFLLKMIENKELRAAEKWATFMGKPMLCLLVKEYVDRNMLKHAYEIIKKNNLKEEFPDVYHKCKERSLKNLAEKTLWDLAEAKTHGDRQLLEYLVYLAMEAGYSEKVDELCDRYSLEGFLKGKEAELEACLPHDRYLQLDELVVDDLGWVDEVDRLCDATSHIEGCKVVGIDCEWKPNYEKGSKPNKVSIMQIASEEKVFIFDLIKLFEDVPDVLDNCLIRILQSPRILKLGYNFQCDIKQLAHSYGELKCFKHYEMLLDIQNLFREPRGGLSGLAKKILGVGLNKMRRNSNWEQRPLSRNQLEYAALDAAVLVHIFHHIHNHPQPASVPEGHDKIEWKSYIVSHMDNPKKPKKGPKSKKASEGEIDQHWQKS >Manes.09G019900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4119132:4124332:1 gene:Manes.09G019900.v8.1 transcript:Manes.09G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESLASIVADKVLEKLASNTYQEISIAWGVHGELRKLEDVLTTIKAVLLDAEEKEVENHELRVWLAKLKDALYDAEDLLDEFECEQQRKQVLKLYGTTAKKVGRFLSSSNPLVFRFKMGHRIKEIRERLDEIASHKAKFHLERKEARRVIPRERSMTHSFVEASNVIGRDEDKENIIRLLQKPNDSGKTDVIAIVGIGGLGKTALAKLVYNDERVQNNFEHKMWVCVSEDFDVKLLTEKIIQCITNGRENIRHLEMEPLQGILRETIGDKKYLLILDDVWNDDPLRWNLLNELLCTGANGSKILVTTRSNKVASIMGSVSEYELKGLPHDECMALFTKCAFKAGEEKCYPNLVKVGEEIVRKCKGVPLAVKTIASLLFTQTDERYWKSIRDNGLWQIEQKENDILPALRLSYDHLPAYLKRCFAYCCFYPKDYEYIHLVLIHFWMAHELLESTNENEELEDIGLRYIQELRSRSFFQDFEEDSKNKLFSSCKMHDLVHDLALSLTQNEFSTITTSTKDISKGVRHLLFLSIPQNLPTLLQGLDHVRTAIFNTEEMSQSALNLCLLRFQSLRVLDFRDSKFEVWLEKIGSLKHLRYLCLPEACEVEKIPNSFCKLQSLQFLWLGEEIEDLPSNIRYLINLRFLIFPRKQKRLSKNGLGCLTSLRFLGIHKNENLEYLCEDMQGLKHLRTLIIYDCDSLISLPQSIKYLTALETLHIVNCTNLNLTWEVDDQDLAQFSLQKLILAWLPKLVAIPEWLLARSTNSLQLLALGSCRNLKKLPACLHNMTSLQQLVINDCAKLSSRCEREVGEDWSKIAHIPKIVINEGCF >Manes.05G097501.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8965168:8973772:-1 gene:Manes.05G097501.v8.1 transcript:Manes.05G097501.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGISLSRIIVLAGAGYTGTILLKNGKLSDLIGELQSLVKGLEKSGEPADSDSHYSDAIAQQVKRLAMEVRQLASSRQITVLNGGSGQTGNLTGLIVPAAALGALGYVYMWWKGLKFSDLLYVTKRSMANAVSNLTKNLEQVSEALSAAKVHLTQRIQLLDDKMECQKEISKAIQNDVNAASENISQIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVLYLCNFVGGKKMKMPKALEDQLKPSGRTRSSLAYPEAASLTGLKELADSLSQTISEPTDVILQDGIDKLKDPSCTTQSDQPRTLLRFCSVKC >Manes.05G097501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8965168:8973770:-1 gene:Manes.05G097501.v8.1 transcript:Manes.05G097501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGISLSRIIVLAGAGYTGTILLKNGKLSDLIGELQSLVKGLEKSGEPADSDSHYSDAIAQQVKRLAMEVRQLASSRQITVLNGGSGQTGNLTGLIVPAAALGALGYVYMWWKGLKFSDLLYVTKRSMANAVSNLTKNLEQVSEALSAAKVHLTQRIQLLDDKMECQKEISKAIQNDVNAASENISQIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVLYLCNFVGGKKMKMPKALEDQLKPSGRTRSSLAYPEAASLTGLKELADSLSQTISEPTDVILQDGIDKLKDPSCTTQSDQPRTLLRMVNEFYFGNILVKWIVVFPYK >Manes.05G097501.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8965168:8973770:-1 gene:Manes.05G097501.v8.1 transcript:Manes.05G097501.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGISLSRIIVLAGAGYTGTILLKNGKLSDLIGELQSLVKGLEKSGEPADSDSHYSDAIAQQVKRLAMEVRQLASSRQITVLNGGSGQTGNLTGLIVPAAALGALGYVYMWWKGLKFSDLLYVTKRSMANAVSNLTKNLEQVSEALSAAKVHLTQRIQLLDDKMECQKEISKAIQNDVNAASENISQIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVLYLCNFVGGKKMKMPKALEDQLKPSGRTRSSLAYPEAASLTGLKELADSLSQTISEPTDVILQDGIDKLKDPSCTTQSDQPRTLLRMVNEFYFGNILVKWIVVFPYK >Manes.05G097501.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8965165:8973772:-1 gene:Manes.05G097501.v8.1 transcript:Manes.05G097501.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGISLSRIIVLAGAGYTGTILLKNGKLSDLIGELQSLVKGLEKSGEPADSDSHYSDAIAQQVKRLAMEVRQLASSRQITVLNGGSGQTGNLTGLIVPAAALGALGYVYMWWKGLKFSDLLYVTKRSMANAVSNLTKNLEQVSEALSAAKVHLTQRIQLLDDKMECQKEISKAIQNDVNAASENISQIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVLYLCNFVGGKKMKMPKALEDQLKPSGRTRSSLAYPEAASLTGLKELADSLSQTISEPTDVILQDGIDKLKDPSCTTQSDQPRTLLRFCSVKC >Manes.05G097501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8965168:8973770:-1 gene:Manes.05G097501.v8.1 transcript:Manes.05G097501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGISLSRIIVLAGAGYTGTILLKNGKLSDLIGELQSLVKGLEKSGEPADSDSHYSDAIAQQVKRLAMEVRQLASSRQITVLNGGSGQTGNLTGLIVPAAALGALGYVYMWWKGLKFSDLLYVTKRSMANAVSNLTKNLEQVSEALSAAKVHLTQRIQLLDDKMECQKEISKAIQNDVNAASENISQIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVLYLCNFVGGKKMKMPKALEDQLKPSGRTRSSLAYPEAASLTGLKELADSLSQTISEPTDVILQDGIDKLKDPSCTTQSDQPRTLLRFESNS >Manes.05G097501.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8965165:8973770:-1 gene:Manes.05G097501.v8.1 transcript:Manes.05G097501.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGISLSRIIVLAGAGYTGTILLKNGKLSDLIGELQSLVKGLEKSGEPADSDSHYSDAIAQQVKRLAMEVRQLASSRQITVLNGGSGQTGNLTGLIVPAAALGALGYVYMWWKGLKFSDLLYVTKRSMANAVSNLTKNLEQVSEALSAAKVHLTQRIQLLDDKMECQKEISKAIQNDVNAASENISQIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVLYLCNFVGGKKMKMPKALEDQLKPSGRTRSSLAYPEAASLTVYILFPLSLSYMHINTHMQLYTNIDKSQMRQSAGIWFSKTNLVH >Manes.05G097501.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8965165:8973770:-1 gene:Manes.05G097501.v8.1 transcript:Manes.05G097501.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGISLSRIIVLAGAGYTGTILLKNGKLSDLIGELQSLVKGLEKSGEPADSDSHYSDAIAQQVKRLAMEVRQLASSRQITVLNGGSGQTGNLTGLIVPAAALGALGYVYMWWKGLKFSDLLYVTKRSMANAVSNLTKNLEQVSEALSAAKVHLTQRIQLLDDKMECQKEISKAIQNDVNAASENISQIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVLYLCNFVGGKKMKMPKALEDQLKPSGRTRSSLAYPEAASLTVYILFPLSLSYMHINTHMQLYTNIDKSQMRQSAGIWFSKTNLVH >Manes.10G075100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13978308:13982395:-1 gene:Manes.10G075100.v8.1 transcript:Manes.10G075100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIQTTVNSARSSSKKRPSSVASAPSLQFSKKRVPLGDLTNSPSLITPSTRNSDHQTTCNSECIFKVVTQNSNVETSARPKARLKKRNKKDPENSLTSESTQNSDVEVNRKTECKLKISTKSNPENSPSKGSTKDLGLRKCSYSSSIYEYLHSLEMEDKRRCLSNYMTEVQTDISVKMREVLVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSWQVLSRNNLQLLGVSCMLIASKYEEINPPHVEDFCYITDNTYTKEEVVNMEKHVLEFLNYEMSTPTTKNFLRILTKAAQQNCKSPDLQFEFLSCYLGELSLLDYRCLRFLPSRVAASAVFLSRFTIQPKMHPWVRNLFHYNFTQKFF >Manes.10G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13978308:13982395:-1 gene:Manes.10G075100.v8.1 transcript:Manes.10G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIQTTVNSARSSSKKRPSSVASAPSLQFSKKRVPLGDLTNSPSLITPSTRNSDHQTTCNSECIFKVVTQNSNVETSARPKARLKKRNKKDPENSLTSESTQNSDVEVNRKTECKLKISTKSNPENSPSKGSTKDLGLRKCSYSSSIYEYLHSLEMEDKRRCLSNYMTEVQTDISVKMREVLVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSWQVLSRNNLQLLGVSCMLIASKYEEINPPHVEDFCYITDNTYTKEEVVNMEKHVLEFLNYEMSTPTTKNFLRILTKAAQQNCKSPDLQFEFLSCYLGELSLLDYRCLRFLPSRVAASAVFLSRFTIQPKMHPWSAALRICSGYKPYDLKECVLAIHNLQLNREACASQAIRDKYSQHKFKCVATLSSPVGIPELYFQDIEE >Manes.09G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28735534:28738978:-1 gene:Manes.09G095000.v8.1 transcript:Manes.09G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTIGAVNRVPLNLNGAGAGPSVPSSAFFGSSLKKLNSKINNQKLLSGNFKVAAEYDEQKQTSKDRWGGLVTDSSDDQQDITRGKGMVDSLFQAPMGTGTHNPIMTSYDYISQGLRQYNLDNSMDGFYIAPAFMDKVVVHITKNFLNLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIVMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFRTDDVPKEDIVKLVDTFPGQSIDFFGAVRSRVYDDEVKKWIATVGVENVGKKLVNSLEGPPTFDQPKMTLENLLEYGNMLVMEQENVKRVQLADKYLKEAALGDANEDETKNGSFYGKAAQQIKVPVPEGCTDPSAENFDPTARSDDGSCQYQF >Manes.04G128475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33145127:33146159:1 gene:Manes.04G128475.v8.1 transcript:Manes.04G128475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKEQESTGLLHKILPPRLEDAGLEDCALPPESIKEAFLKAASAVKAGATSFFSGDEEDDCVQDPWPEAIDLSDEVIGGLPGSAMPDTLVGVEPEKDVPGQCVTEKGDGLVWEGGDKVVVGGGDVEEKEREKGCVDDGFKGLKIADKEENGGATGGNGDEEQEEKEGERPILTEGFA >Manes.18G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9108773:9113029:1 gene:Manes.18G098800.v8.1 transcript:Manes.18G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDSDFPSQKLPSASQVLEELKELWGMALPITAAHLMAFFRAVVSSIFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSQNWDLLSLSLQRMILILLIAIIPISLLWLNLETIMNSMGQDRNITAMASTYCIYSLPDLLTNTLLQPLRVFLRSQKVTKPIMYCSLMAVVFHVPLNYMLVVMMGLGVPGVAMASVVTNMNMVVLMVGYMWWVRGWWEMKWTGGIGGVCDGVGPLLKLAVPSCLGICLEWWWYEIVIVMAGYLPNPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGRPYKARLAAMVALGCAFVIGIINVSWTVILKERWGSLFTKDGLVKGLVASVLPIIGLCELGNCPQTTGCGILRGTARPVIGARINLGSFYFVGTPVAVGLAFGLNIGFVGLWFGLLSAQVACVVSILYVVLVRTDWEHEAWKSRKLTSIEMSPSDSVEGKEHEEEEERRLLVNGKHIRLIFSDY >Manes.12G091000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12746710:12779806:-1 gene:Manes.12G091000.v8.1 transcript:Manes.12G091000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSRPFDYDGYMGYDSSFLAPTSQDDAFSGHQLPSISDDLNVDPPTSVTSTVDLTNNNHLHSQEMHEFGMPEANQFTSPFETMATPETNGNIKGYGEDDCDIFASRGPVLPDPTQMQEEGFQRREWRRQNALHLEEKENREKEMRNQIINEAEEYVREFYKKRQLNSETNKAHNREREKLYLANQEKFHKEADKHYWKAIAEIIPREVPNIEKRGRKDPNSRASIMVIQGPKPGKPTDLSRMRQIFAKLKQTPPTHMMPPPPAKDGKDMKDGKDVKQGKDTKEDKDMKDGKDGKQGKDTKEGKDMKDGKDGKQGKDTKEGKDTKEGKDSKDAKEGNEDKDKKNFIAPPTTPPTTTETAPHTQPALLVKDDGASNSSKIETPIGAKGDKDAANDPPTTE >Manes.13G048550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4935921:4936299:-1 gene:Manes.13G048550.v8.1 transcript:Manes.13G048550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIKSALENLSLVDLTNKREVETFKRNIIQQGRFISDYHTTNHNEYCDCREIERTLELFNSMLMYLEILLSNNNF >Manes.17G103200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31082771:31088514:-1 gene:Manes.17G103200.v8.1 transcript:Manes.17G103200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLFKLVLCVAVLAVGALASAEPSVIIRFHGAPPKHSRFTDAVFQYSVQRPDGGNACKKNACSFSCELDGQTLGSCPADAIVLKNLTVNHKHSFLLKVTTHDGEKNSSSYSWFIDTVPPTATIFSDKNYTNAAKVTIDVTFSEACTGMGGFKCVNSSNCDVLLQGPAYVQGSSLHMMKPNLEYRLDIFLSSTSIYGRVIVRMADNFCTDKAGNTFKRTKGSIVVIHFDRRPVLVDLWMPVPSYVLKINGFPRTVMATNKMEDLKIFLDFSIPVMNSTEELLNALHVNYGNISPIRNHGNRKFIFQLRNLSKTETITVELEAGLVISRTGTSVSPVAALTVLYDSTKPEVGLSTSSPNVTKASNINVIVEFTKPVFGFEASMVEVGGGKLTRFQELSRALYSLTVLATTPGMAFISIPAGKVNDISGNQNLASNQLQVNHYSTPAISMALHSFVTVGVLATSLAAAALSLSSANLGSIGTLASGNTNNVASSPSMNLHGLYGHLQVFVLSDWLSNNQPIEYSETTKGLRWLIPRRKLPWKKDGTSTWPNHDYMVEENLHILSLRFPYHTRGYSQFDINASDLPKVKDQKPFLTEIDPNVAWLHQHNMSMKSSPYGLPLNSREYFTYFLRGEPLSASNVVKRMENYKGWEDLEMNLFWLGVGGGSLLILHVLILLFLRWRIGAPAHGILSVPRFELLLLILTLPCISQSSAFVMRGETMWGIITGALLLVIPAGLILSVSLFLVVAIFPGGFAQYKEIRQVDITESWHTKLWLFVVGRPTTGKWFFREGLPSTFLPRFGILFEDRKGPPLIVFVDQNDARTIPNWTESGRSGIGRMRALSSEESCEEIKVPLSRRILGCARSLYIVLDLLRRVCLGIISGACSTQTSRDSLFALVVTLLQFICLFALRPFIRRGVHVVESISLLCEVGIFGLSIAINSLSPTEARTQGYIMLALLFITFIAQIINEWYALIRFILRLSRPKKNSFRLGLKFAAKGFVLPFLPRKHWSSIIPSSSQPKTGLSVIPLSPEAEFGRRDTTASIAGPYSAMTATVVPVLSPGSPPGLNVTQITSSPTAEATLTGQSSGEGKRLKELKLERKNELKKLRELAKASFSGISKGEEGTSRSFRTRDQYFSLESSYDPQASTSKTRY >Manes.17G103200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31082546:31088514:-1 gene:Manes.17G103200.v8.1 transcript:Manes.17G103200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLFKLVLCVAVLAVGALASAEPSVIIRFHGAPPKHSRFTDAVFQYSVQRPDGGNACKKNACSFSCELDGQTLGSCPADAIVLKNLTVNHKHSFLLKVTTHDGEKNSSSYSWFIDTVPPTATIFSDKNYTNAAKVTIDVTFSEACTGMGGFKCVNSSNCDVLLQGPAYVQGSSLHMMKPNLEYRLDIFLSSTSIYGRVIVRMADNFCTDKAGNTFKRTKGSIVVIHFDRRPVLVDLWMPVPSYVLKINGFPRTVMATNKMEDLKIFLDFSIPVMNSTEELLNALHVNYGNISPIRNHGNRKFIFQLRNLSKTETITVELEAGLVISRTGTSVSPVAALTVLYDSTKPEVGLSTSSPNVTKASNINVIVEFTKPVFGFEASMVEVGGGKLTRFQELSRALYSLTVLATTPGMAFISIPAGKVNDISGNQNLASNQLQVNHYSTPAISMALHSFVTVGVLATSLAAAALSLSSANLGSIGTLASGNTNNVASSPSMNLHGLYGHLQVFVLSDWLSNNQPIEYSETTKGLRWLIPRRKLPWKKDGTSTWPNHDYMVEENLHILSLRFPYHTRGYSQFDINASDLPKVKDQKPFLTEIDPNVAWLHQHNMSMKSSPYGLPLNSREYFTYFLRGEPLSASNVVKRMENYKGWEDLEMNLFWLGVGGGSLLILHVLILLFLRWRIGAPAHGILSVPRFELLLLILTLPCISQSSAFVMRGETMWGIITGALLLVIPAGLILSVSLFLVVAIFPGGFAQYKEIRQVDITESWHTKLWLFVVGRPTTGKWFFREGLPSTFLPRFGILFEDRKGPPLIVFVDQNDARTIPNWTESGRSGIGRMRALSSEESCEEIKVPLSRRILGCARSLYIVLDLLRRVCLGIISGACSTQTSRDSLFALVVTLLQFICLFALRPFIRRGVHVVESISLLCEVGIFGLSIAINSLSPTEARTQGYIMLALLFITFIAQIINEWYALIRFILRLSRPKKNSFRLGLKFAAKGFVLPFLPRKHWSSIIPSSSQPKTGLSVIPLSPEAEFGRRDTTASIAGPYSAMTATVVPVLSPGSPPGLNVTQITSSPTAEATLTGQSSGEGKRLKELKLERKNELKKLRELAKASFSGISKGEEGKHDLREPDHS >Manes.17G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19948126:19950812:1 gene:Manes.17G029000.v8.1 transcript:Manes.17G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMVSPLHSDPPPISFFSNATTTKPPSLPVSLHSFLENKRNTPESLRMFPFPLNLSRRDDHAELSPADDHRVLVSEVDFFSKKKIRAVAHNYNGHDHDSKATCIDVKKEISPRSSLDVNTGLHLLTANTASDQSTVDDGVSSDVDDRRSKSQALVQLQLELQMMNGENQRLREVLSQVTKNYNALQMHLLALMRQQQNHGTEANQQHEVFQGKPEEKKHEVVPRQFLDLGSSAETDEMSHSSSDERTLSASPQTDMETASVKNNGNQQENSIVKDGKKIGRENSESQGWNSSKVQQLNSPGNKSLDQSTEATMRKTRVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCADDRTILITTYEGNHNHPLPPAAMSMASTTTAAASMLLSGSMSSGDGLMNQNLLTRAILPCSSSVATISASAPFPTVTLDLTHNPNPLQVQRPPTLFQFPFPGQSQLFASVTAPQLPQVFGQTLYNQSKFSGLQLSHEMGSWHLHQQLHPEQQPALVDTVNAATTAITADPNFTAALAAAISSIIGGANGNTTTRSGNNTSNRN >Manes.17G029000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19948126:19950812:1 gene:Manes.17G029000.v8.1 transcript:Manes.17G029000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGENQRLREVLSQVTKNYNALQMHLLALMRQQQNHGTEANQQHEVFQGKPEEKKHEVVPRQFLDLGSSAETDEMSHSSSDERTLSASPQTDMETASVKNNGNQQENSIVKDGKKIGRENSESQGWNSSKVQQLNSPGNKSLDQSTEATMRKTRVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCADDRTILITTYEGNHNHPLPPAAMSMASTTTAAASMLLSGSMSSGDGLMNQNLLTRAILPCSSSVATISASAPFPTVTLDLTHNPNPLQVQRPPTLFQFPFPGQSQLFASVTAPQLPQVFGQTLYNQSKFSGLQLSHEMGSWHLHQQLHPEQQPALVDTVNAATTAITADPNFTAALAAAISSIIGGANGNTTTRSGNNTSNRN >Manes.09G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30100148:30103935:-1 gene:Manes.09G102500.v8.1 transcript:Manes.09G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIEHILKEIMAEEDLYTKDGTVDISGKPANRKRTGNWKACRFILGNECCERLAYYGMSTNLVNYLQDRLNQGNVTASNNVTNWSGTCYITPLIGAFLADAYLGRYWTIAIFVIIYIFGMTFLTISASVPGIKPACDKNSCHPTTAQSAACFVALYLIALGTGGIKPCVSSFGADQFDEADDNEKKKKSSFFNWFYFSINIGALIASSVLVWIQMNVGWGWGFGVPAVAMAIAVVFFFSGSTLYRLQKPGGSPFTRIFQVIVASFRKLHVQVPADSSILYETADKESNIQGSRKLEHTDKLTFFDKAAVETQDDSIKGSADPWRLCTVTQVEELKAIVRLLPVWASGIVFATVYSQMSTMFVLQGNTMNQHMGPHFKIPSASLSLFDTLSVIFWAPVYDRIIVPYARKFTGNERGFTQLQRMGIGLVISIFSMITAGVLEVIRLKYVQKNNYYDLKYIPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTLLVTIVTNVTTRHGKLGWIPDNLNRGHLDYFYWLLAILSLLNFFVYLWIAKWYTYKKPTGLAN >Manes.14G048100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4193560:4196819:-1 gene:Manes.14G048100.v8.1 transcript:Manes.14G048100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATITGGGIGLWLSSSSTSQRRNPPWRSFRCGVKMALSVDEKNTYTLKKSEEAFALAKEMMPGGVNSPVRAFNSVGGQPIVMDSVKGSHMWDIDGNEYIDYVGSWGPAIIGHADDQVLTALAETMKKGTSFGAPCLLENELAGMVIKAVPSIEMVRFVNSGTEACMGVLRLARAFTGKEKLIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYETLTAPFNDLAAVQDLFEKNKGEIAAIILEPVVGNSGFIVPKPDFLDAIRRITKENDALLIFDEVMTGFRLSYGGAQEYFGITPDLSTLGKIIGGGLPVGAYGGRREIMEMVAPSGPMYQAGTLSGNPLAMTAGIHTLKRLQEPGSYEYLDKITGELVRGILDAGKRAGHAMCGGHIRGMFGFFFTEGPVYNFDDAKKSDTSKFARFYQRMLEEGVYFAPSQFEAGFTSLAHTAEDIQHTITAAEKVFRQI >Manes.14G048100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4193560:4196821:-1 gene:Manes.14G048100.v8.1 transcript:Manes.14G048100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKIIFQISETNIYLQVLTALAETMKKGTSFGAPCLLENELAGMVIKAVPSIEMVRFVNSGTEACMGVLRLARAFTGKEKLIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYETLTAPFNDLAAVQDLFEKNKGEIAAIILEPVVGNSGFIVPKPDFLDAIRRITKENDALLIFDEVMTGFRLSYGGAQEYFGITPDLSTLGKIIGGGLPVGAYGGRREIMEMVAPSGPMYQAGTLSGNPLAMTAGIHTLKRLQEPGSYEYLDKITGELVRGILDAGKRAGHAMCGGHIRGMFGFFFTEGPVYNFDDAKKSDTSKFARFYQRMLEEGVYFAPSQFEAGFTSLAHTAEDIQHTITAAEKVFRQI >Manes.18G091600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8418037:8421336:1 gene:Manes.18G091600.v8.1 transcript:Manes.18G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDSSTVLATTTTAAAAAGGDGGVAAASAGGSSSDAPPPSHQLPGGGGVAHESTSEVAQVGSNNSFEDDKGRGDEGDRSFGGNRWPRQETLALLKIRSDMDVAFRDASVKGPLWEEISRKLAELGYNRSAKKCKEKFENVFKYHKRTREGRTGKQEGKTYRFFDQLEAFENHASSSSLSSQPPPPPPPQTQPQQVKPQTPAVTTIAMPVVNPPITTVPSTTTKAAPLAANMSQGIVSTGINLSIPSFPPANPTILPSAQATTNPTTNPSLFSNFSPDLFSNSTSSSTSSDVELHGRHGKKRKWKDFFERIMKEVIHKQEDMQRKFLEAIAKREHDRMIREESWRMQEMTRINREREILAQERSMAAAKDAAIMAFLQKLSDQQNPGQVPAQPPAAAQQPPPPQPTPQPITVVPVAPAAPAQPVINLDMKSGNGDQSFSPASSSRWPKVEVEALIKLRTNLDCKYPDNGPKGPLWEDISAGMRKLGYNRSAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDALYKNKNKSDPSSNIQLKPENSVPLMVRPEQQWPPAEEEHNPADSVMEDLESDHQDEDDKDNDDDEDEEDEAGGYEIVANRPSAAAG >Manes.02G005700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:631579:639775:-1 gene:Manes.02G005700.v8.1 transcript:Manes.02G005700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVASSVVHEVLGRRIQDVDQPIIDYIVNVLADDDFDFGEEGEGAFEAVGELLVGAGCVSDFAECRQAQYQMHLAEMEAVRAGMPVVCVNHDVASGPAVKDIHMENFNISVGGRDLIVDGSITLSFGRHYGLVGRNGTGKTTFLRHMAMHAIDGIPANCQILHVEQEVVGDDTTALQCVLNTDIERTQLLQEEARLLAQQREFEFEGENGNHKGDQNGAIEKDGISQRLEEIYKRLEFIDAYSAEARAASILAGLSFSPEMQKKATKTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLMKWPKTFIVVSHAREFLNLVVTDILHLHQQKLTAYKGNYDTFERTREEQIKNQQKALEANERSRAHMQAFIDKFRYNAKRASLVQSRIKALERMGHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPLLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPSSGTIFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCFPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVEELWVVSQGRVAPFHGTFQDYKKILQSS >Manes.02G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:631580:639775:-1 gene:Manes.02G005700.v8.1 transcript:Manes.02G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVASSVVHEVLGRRIQDVDQPIIDYIVNVLADDDFDFGEEGEGAFEAVGELLVGAGCVSDFAECRQVCSKLSEKFGKHGLAKAKPTVRSLTTPLRMNDGMDEEVPKKKPEVMDGPVLSERDRAKLERRKRKDERQREAQYQMHLAEMEAVRAGMPVVCVNHDVASGPAVKDIHMENFNISVGGRDLIVDGSITLSFGRHYGLVGRNGTGKTTFLRHMAMHAIDGIPANCQILHVEQEVVGDDTTALQCVLNTDIERTQLLQEEARLLAQQREFEFEGENGNHKGDQNGAIEKDGISQRLEEIYKRLEFIDAYSAEARAASILAGLSFSPEMQKKATKTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLMKWPKTFIVVSHAREFLNLVVTDILHLHQQKLTAYKGNYDTFERTREEQIKNQQKALEANERSRAHMQAFIDKFRYNAKRASLVQSRIKALERMGHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPLLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPSSGTIFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCFPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVEELWVVSQGRVAPFHGTFQDYKKILQSS >Manes.07G075500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:20579179:20579625:1 gene:Manes.07G075500.v8.1 transcript:Manes.07G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPAAPIESVQCFGRKKTAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAYYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Manes.10G068250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:10340833:10341249:-1 gene:Manes.10G068250.v8.1 transcript:Manes.10G068250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTCVLFIKLVVVFLQCYTIACLAINTDEQALLAFKAQINFDPYNILANNWSTATSFCNWIGVSCSRRHGRVTALTLPSMGLNGTMAPELGNLSFLATLDLSNNSFKGYIPHELGNLRRLKLINLVANKLSAEIPEV >Manes.08G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35989697:35994445:-1 gene:Manes.08G120300.v8.1 transcript:Manes.08G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNIVDAITGDDNGKKKTKCASGECKKIKGTVVLMKKNVLDFNDFHASVLDRVHELFGHGVSLQLVSSVNCSDSAENGLQGKVGEPAYLEDWITTIAPLTPGDSAFKVAFDWDDEIALPGAFIIKNNHHSEFYLKTLTLEDVPGQGRIHFICNSWVYPAKLYKKDRIFFANKTYLPHETPIPLRKYREEELVNLRGDGKGELQEWDRVYDYAYYNDLGDPDKGPKYVRPILGGSAEYPYPRRGRTGRPPAESDPNYESRLPILMSLNIYVPRDERFGHLKMADFLAYALKSIVQFVKPELEALFDSTPNDFDSFDDVLKLYEGGIELPDGPLLDNIRKNIPQEMLKEIFRTDGEQLFKFPTPQVIKESKTAWRTDEEFGREMLAGVNPVIIRCLEEFPPESNLDSKFYGDQNSKISEEHIKNRLDGLTIDEAIKNKKLYILDHHDTVMPYLRRINATSTKTYATRTLLFLKDDGTLKPLAIELSLPHPEGDKLGAISKVCTPAEHGIEGSIWQLAKAYVAVIDSGIHQLISHWLHTHAAIEPFVIATNRHLSVLHPIYKLLQPHFRDTMNINAVARQTLINAGGLLEFTVFPAKYAMEMTSMAYKSWNFTEQALPQDLIKRGVAVEDPNSKHGLRLLVKDYPYAVDGLEIWSAIREWVKDYCSFYYETDDMVIKDPELQSWWKELREVGHGDKKDEPWWPKMQNREELTESCTIIIWLASAFHAAINFGQYPYGGYLPNRPSISRRFMPEIGTPEFEELKTNPDKAFFKTITAQLQTVIGISLIEILSRHSSDEVYLGQRDTPEWTTDDKPLEAFKEFGKKLEKIEERILEMNKDGELKNRVGPVMMPYTLLVPNSDVGLTGRGIPNSVSI >Manes.15G148000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12204076:12208649:-1 gene:Manes.15G148000.v8.1 transcript:Manes.15G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNPTKPSTPSLLKTHFLKSSPLINSTIKLKPQIRSLYNRRTLSLTITCKLKTSKDIKNKDKNVSRKILLSDLAPPVSEESGSGNGVVPPKAAGGGGGGGGPLGFVKRLPRRVLSVLSNLPLAIGEMFAIAALMALGTFIDQGEAPDFYFQKYPEENPVLGFFTWRWILTLGFDHMFSSPVFLGLLALLGASLMACTYTTQIPLVKVARRWNFLHSAETIRKQEFSDILPRASVQDLGVILMGAGYEVFLKGPSLYAFKGLASRFAPIGVHLAMLLIMAGGTLSAAGSFRGSVTVPQGLNFVVGDVLAPTGFLSTPTEAFNTEVHVNRFYMDFYDSGEVSQFHTDLSLLDFDGKEVLRKTISVNDPLRYGGITIYQTDWSFSALQILKNDEGPFNLAMAPLKINGDKKLFGTFLPAEDVNSPNVKGISMLARDLQSIVLYDQDGKFVGVRRPNSKLPIVIDGTKIVIEDAIGSSGLQLKTDPGVPVVYAGFGALMLTTCISYLSHSQVWALQDGTAVIVGGKTNRAKAVFEDEVNRLLDHVPEIVESSSLSKQSDIISG >Manes.12G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6209827:6210706:-1 gene:Manes.12G062000.v8.1 transcript:Manes.12G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQDSSPSFFFLFSFFFLISIYFVQPLLTPTPFSLSLYHTKIRPSVPHPKLPIPIIISSSSIFSSSSWQIESPPAITQPSKLTIYEFSNGAKSSVIYSSQDSV >Manes.02G000300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:46591:50566:1 gene:Manes.02G000300.v8.1 transcript:Manes.02G000300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSIGSGSSNMSTSDLMDQLKTQLAQAYAQEFLETVRGKCFEKCITKPSTSLSGSESSCISRCVDRYIEATGIVSRALFNAPH >Manes.06G139800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26788723:26791932:1 gene:Manes.06G139800.v8.1 transcript:Manes.06G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNTVKLLCFILASYLVFNVVLSSGNPFTRKTYIIQMDKHAKPESFSDHLEWYSSLVKSVLSPENETNADHQERIIYSYQTAFHGVAAKLSEEEAERLEEADDVVAIFPETVYQLHTTRSPMFLGLEPEVSTSVWSQKIADHDVIVGVLDTGIWPESESFNDKGMSPVPAHWKGTCETGRAFEKHHCNRKIVGARVFYRGYEATTGKINEQNEYKSPRDQDGHGTHTAATVAGSPVHGANLLGYAYGTARGMAPGARIAAYKVCWAGGCFSSDILSAVDRAVADGVNVLSISLGGGVSSYYRDSLSIAAFGAMEMGIFVSCSAGNGGPGPASLTNVSPWITTVGASTMDRDFPAIVNLGAGRTVTGVSLYKGRRNLLANKHYSLVYMGTNSSSPDPSSLCLEGTLNPHIVAGKIVICDRGISPRVQKGQVAKDAGAVGMILSNTAANGEELVADCHLLPAVAVGEREGKLIKHYALTSRNATATLAFLGTKVGIKPSPVVAAFSSRGPNFLSLEILKPDVVAPGVNIIAAWTGDTGPSSLPTDPRRVRFNILSGTSMSCPHVSGIAALLKARHPEWSPAAIKSALMTTAYVHDNTLKPLQDASVDAPSSPYDHGAGHINPLKALDPGLIYDIEAQDYFEFLCTQGLSPMQLKVFGKHANRTCQKSLRSPGDLNYPAISVVFPDNTSISSLTLHRTVTNVGPPVSSYHAAESKFKGATVKVEPRTLKFTGKNQKLSYKITFTTKSRQIMPEFGSLVWKDGVHKVRSPITITWLTPI >Manes.03G015000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1316834:1318238:-1 gene:Manes.03G015000.v8.1 transcript:Manes.03G015000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSTSTPILKTYANAAVAAYGCQLSAQEADHGPRFSPSRTVSWCLKRTSSEGELRLFSMAKEKISSKSPKSGMSVCMETASLLSDRSSVALAEVEEVEEEEEEVEEIETLPMGGDLGGVSGNNGGRRGGSGGSDGSSWDSDHGNEENEHMDKYYQSMIRTYPGDGLLLANYAKFLKEVRGDNVKAEELCERAMVANARDGNVLSMYGDLIWNNHKDGARAQSYFDQAVQSSPDDCYVLASYARFLWDAEEEEEENEDKGVQLSSHLATRGPHRLSQGYTHVAATS >Manes.07G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29320390:29324584:1 gene:Manes.07G133300.v8.1 transcript:Manes.07G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGGNNSCDYSFKILLIGDSGVGKSSILLSFISNSIHDLSPTVGVDFKIKMVNVGGKRLKLTIWDTAGQERFGTLISSYYRGAHGIILVYDVTRRETFTNLSDIWTKEVELCSTNQDCVKVLVGNKVDRDNERAVSKEEGMALAEEHKCSFLECSAKTRENVLQCFKELILKILEVPSLLEEGSVAIKQQILKQKSGYQAPHNGGCCS >Manes.09G052900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8766450:8768163:-1 gene:Manes.09G052900.v8.1 transcript:Manes.09G052900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAFRHRFLNISPSAVQVNGNEDELNEHDVLWTNDFAEQSPIHSTTANESINRSRASISRNSGILAALPESNHHSVLYRKPSIPSSSKSIPLIPRPPQGEREYASQSVPGARKLNQSAPMNVPVLSIAMAKQRNSKFREEDDDDGGGDEEILPPHEIVARASRNSPKTTFSVLEGVGRTLKGRDLRQVRNAVWRQTGFLD >Manes.13G081075.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13474419:13474953:1 gene:Manes.13G081075.v8.1 transcript:Manes.13G081075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWNASHHKYITSRMNLSPIALYISISYHNKSTILYARGDTATPGLHILYARGDTATPGLLILYARGDTATPGLLISYHIIHAISYQFISCHNILRARGSSRLVPLTSG >Manes.15G152300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12656989:12661597:1 gene:Manes.15G152300.v8.1 transcript:Manes.15G152300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEEGKKGGREGNNLLGSPTFTELENGRLKCVETGHEMLTKDSESYSQSKRCRLGLIDFALAHNKSPLNMFKQDPLCRSKLICKLTGDTVNKSEEHIWKHINGKRFLNKLEQKEMGTVEDKQQEQLKSSTDGQKKKKKKKKKEKDEKQVQEIISEVRNSSDKNSDSEEEDFWMPPVGDRWDFDDGGDRWGSEGESGQESGEANETVEENSKESDELSKRTKRMSIEIGPSSFASRKKKNKKK >Manes.15G152300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12656989:12661163:1 gene:Manes.15G152300.v8.1 transcript:Manes.15G152300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEEGKKGGREGNNLLGSPTFTELENGRLKCVETGHEMLTKDSESYSQSKRCRLGLIDFALAHNKSPLNMFKQDPLCRSKLICKLTGDTVNKSEEHIWKHINGKRFLNKLEQKEMGTVEDKQQEQLKSSTDGQKKKKKKKKKEKDEKQVQEIISEVRNSSDKNSDSEEEDFWMPPVGDRWDFDDGGDRWGSEGESGQESGEANETVEENSKESDELSKRTKRMSIEIGPSSFASRKKKNKKK >Manes.09G028700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6132603:6135581:1 gene:Manes.09G028700.v8.1 transcript:Manes.09G028700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIKCPPTHIISFLQNYPSLLVRVISSNATESHIVSYLVNNCGLSPKSAVVSSKYLQFKTSKKPDLVLAFFKTHGFSKTQIAALVRKRPRVLLSDPEKTLLPKLQFLYSNGFSRPDIAKVLSVCPEILHSSLENQIIPAFNVIRTFLPSDQKVVGAIKRLPRILGSHLEDYVIPNIKILQENGLPKSSTAWLLRYHPATFVTSLKLFSDIVERVKRMGLDPLVLNFVAAIHAVRGMSQSTWQRKIDIYKKWGWSEEEIIVAFGKHPWCMMCSEKKIMAAMEFYINKLGWDSSTIKRRPILISLGLDKRVVPRCSVTEVLQSKVISGEVGSCKMQGCGERGLKQYEAIVFPTRVIILV >Manes.09G028700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6132574:6135581:1 gene:Manes.09G028700.v8.1 transcript:Manes.09G028700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIKCPPTHIISFLQNYPSLLVRVISSNATESHIVSYLVNNCGLSPKSAVVSSKYLQFKTSKKPDLVLAFFKTHGFSKTQIAALVRKRPRVLLSDPEKTLLPKLQFLYSNGFSRPDIAKVLSVCPEILHSSLENQIIPAFNVIRTFLPSDQKVVGAIKRLPRILGSHLEDYVIPNIKILQENGLPKSSTAWLLRYHPATFVTSLKLFSDIVERVKRMGLDPLVLNFVAAIHAVRGMSQSTWQRKIDIYKKWGWSEEEIIVAFGKHPWCMMCSEKKIMAAMEFYINKLGWDSSTIKRRPILISLGLDKRVVPRCSVTEVLQSKGLIRLNSSSLTSAILISEEMFLKKFLTPYKEEIPHLLKLYQEKLEAAKCKDVEKGG >Manes.09G028700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6132603:6135581:1 gene:Manes.09G028700.v8.1 transcript:Manes.09G028700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIKCPPTHIISFLQNYPSLLVRVISSNATESHIVSYLVNNCGLSPKSAVVSSKYLQFKTSKKPDLVLAFFKTHGFSKTQIAALVRKRPRVLLSDPEKTLLPKLQFLYSNGFSRPDIAKVLSVCPEILHSSLENQIIPAFNVIRTFLPSDQKVVGAIKRLPRILGSHLEDYVIPNIKILQENGLPKSSTAWLLRYHPATFVTSLKLFSDIVERVKRMGLDPLVLNFVAAIHAVRGMSQSTWQRKIDIYKKWGWSEEEIIVAFGKHPWCMMCSEKKIMAAMEFYINKLGWDSSTIKRRPILISLGLDKRVVPRCSVTEVLQSKGEVGSCKMQGCGERGLKQYEAIVFPTRVIILV >Manes.09G028700.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6132603:6135581:1 gene:Manes.09G028700.v8.1 transcript:Manes.09G028700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIKCPPTHIISFLQNYPSLLVRVISSNATESHIVSYLVNNCGLSPKSAVVSSKYLQFKTSKKPDLVLAFFKTHGFSKTQIAALVRKRPRVLLSDPEKTLLPKLQFLYSNGFSRPDIAKVLSVCPEILHSSLENQIIPAFNVIRTFLPSDQKVVGAIKRLPRILGSHLEDYVIPNIKILQENGLPKSSTAWLLRYHPATFVTSLKLFSDIVERVKRMGLDPLVLNFVAAIHAVRGMSQSTWQRKIDIYKKWGWSEEEIIVAFGKHPWCMMCSEKKIMAAMEFYINKLGWDSSTIKRRPILISLGLDKRVVPRCSVTEVLQSKGLIRLNSSSLTSAILISEEMFLKKFLTPYKEEIPHLLKLYQEKLEAAKCKDVEKGG >Manes.09G028700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6132588:6135581:1 gene:Manes.09G028700.v8.1 transcript:Manes.09G028700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIKCPPTHIISFLQNYPSLLVRVISSNATESHIVSYLVNNCGLSPKSAVVSSKYLQFKTSKKPDLVLAFFKTHGFSKTQIAALVRKRPRVLLSDPEKTLLPKLQFLYSNGFSRPDIAKVLSVCPEILHSSLENQIIPAFNVIRTFLPSDQKVVGAIKRLPRILGSHLEDYVIPNIKILQENGLPKSSTAWLLRYHPATFVTSLKLFSDIVERVKRMGLDPLVLNFVAAIHAVRGMSQSTWQRKIDIYKKWGWSEEEIIVAFGKHPWCMMCSEKKIMAAMEFYINKLGWDSSTIKRRPILISLGLDKRVVPRCSVTEVLQSKGLIRLNSSSLTSAILISEEMFLKKFLTPYKEEIPHLLKLYQEKLEAAKCKDVEKGG >Manes.09G028700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6132603:6135581:1 gene:Manes.09G028700.v8.1 transcript:Manes.09G028700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRIKCPPTHIISFLQNYPSLLVRVISSNATESHIVSYLVNNCGLSPKSAVVSSKYLQFKTSKKPDLVLAFFKTHGFSKTQIAALVRKRPRVLLSDPEKTLLPKLQFLYSNGFSRPDIAKVLSVCPEILHSSLENQIIPAFNVIRTFLPSDQKVVGAIKRLPRILGSHLEDYVIPNIKILQENGLPKSSTAWLLRYHPATFVTSLKLFSDIVERVKRMGLDPLVLNFVAAIHAVRGMSQSTWQRKIDIYKKWGWSEEEIIVAFGKHPWCMMCSEKKIMAAMEFYINKLGWDSSTIKRRPILISLGLDKRVVPRCSVTEVLQSKGEVGSCKMQGCGERGLKQYEAIVIFQYSKVLKEQTDAQQY >Manes.05G018700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1721636:1723238:1 gene:Manes.05G018700.v8.1 transcript:Manes.05G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDEAQYSPSTQEPFSSIDTLATPRKKKNMNKRRFSDEQIKSLESMFESESRLEARKKLQLANELGLQPRQVAIWFQNKRARWKSKQLERDYSILRSNYNSLSTRFESLKKEKQALAVQLQKLNDLMQKPKEEGGCCVAVNSNEGESENRDATKCDSEEKASLLYERSAHGLGVVSDEDSKIKVEYFGLEEEHNLMSMVEMEPADGSLTTSQEDWGSLDSDGLFGQSNSCCQWWDFWA >Manes.02G059600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4626358:4635311:1 gene:Manes.02G059600.v8.1 transcript:Manes.02G059600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGNSAGQTEAILDWLQNEMRYRPLGPYTASTNKSQLPSIDALRKIFRGNMIPIWTFLIKRVKSERNVENIRKNIMVHGGVDSGSSVNLGKEETRSRGGRKEKIVGESSSLAESREAAVQERDLAAKEVERLRNIVRRQRKDLRARMIEVSREEAERKRMLDERAKNRHKQVMLEAYNQQCDDAAKIFAEYHKRLCHYVNQARDAQRSSVDSSIEVVSSFSVNSEKDAVYSTVKGTKSADDVILIETNRERNIRKACESLAVYMIERIRNTFPAYEGTGIHLNPQLEASKLCIDFDGELPNEVRTIIVNCLKNPPQLLQAITTYTLRLKTLISREIEKIDVRADAETLRYKYENDRVMDISSPDVKSPLTYQLYGNGKIGTDVPSRGSQNQLLERQKAHVQQFLATEDATNKAAEARDVCEKLIKRLNGSLGIVSSHSLGVGGTSQNMGSLRQFELEVWAKEREATGLRASLSTLMSEVQRLNKLCAERKEAEDSLRKKWKKIEEFDARRSELETLYTALLRANMDAAAFWNQQPLAAREYASSTIIPACTVVADIANNAKDLIEKEVNAFSQSPDNSLYMLPSTPQALLESMGSSGSTGPEALAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPSGLEGFDAGLASVLESLEFCLKLRGSEASVLEDLSKAINLVHIRQDLVESGHAFLNHAYRSQQEYERSTSFCLSLASEQEKIVTEKWLPELKAAVLNAEKCLEDCKYVRTLLDEWWEQPASTAVDWVTVDGQNVVAWHNHVKQLLAFYDKELL >Manes.02G059600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4626358:4635112:1 gene:Manes.02G059600.v8.1 transcript:Manes.02G059600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGNSAGQTEAILDWLQNEMRYRPLGPYTASTNKSQLPSIDALRKIFRGNMIPIWTFLIKRVKSERNVENIRKNIMVHGGVDSGSSVNLGKEETRSRGGRKEKIVGESSSLAESREAAVQERDLAAKEVERLRNIVRRQRKDLRARMIEVSREEAERKRMLDERAKNRHKQVMLEAYNQQCDDAAKIFAEYHKRLCHYVNQARDAQRSSVDSSIEVVSSFSVNSEKDAVYSTVKGTKSADDVILIETNRERNIRKACESLAVYMIERIRNTFPAYEGTGIHLNPQLEASKLCIDFDGELPNEVRTIIVNCLKNPPQLLQAITTYTLRLKTLISREIEKIDVRADAETLRYKYENDRVMDISSPDVKSPLTYQLYGNGKIGTDVPSRGSQNQLLERQKAHVQQFLATEDATNKAAEARDVCEKLIKRLNGSLGIVSSHSLGVGGTSQNMGSLRQFELEVWAKEREATGLRASLSTLMSEVQRLNKLCAERKEAEDSLRKKWKKIEEFDARRSELETLYTALLRANMDAAAFWNQQPLAAREYASSTIIPACTVVADIANNAKDLIEKEVNAFSQSPDNSLYMLPSTPQALLESMGSSGSTGPEALAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPSGLEGFDAGLASVLESLEFCLKLRGSEASVLEDLSKAINLVHIRQDLVESGHAFLNHAYRSQQEYERSTSFCLSLASEQEKIVTEKWLPELKAAVLNAEKCLEDCKYVRTLLDEWWEQPASTAVDWVTVDGQNVVAWHNHVKQLLAFYDKELL >Manes.09G058676.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9390949:9405019:1 gene:Manes.09G058676.v8.1 transcript:Manes.09G058676.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNECGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGNKDSIPAWIKFSVQAPGELPYNGIYYDPPEEEKYVFKNPQPKRPKSLRIYESHVGMSSTEPVINTYANFRDDVLPRIKKLGYNAVQLMAIQEHSYYASFGYHVTNFYAASSRFGTPDDLKSLIDKAHELGLLVLMDIVHSHASTNTLDGLNMFDGTDGHYFHSGPRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVDFTGNYNEYFGYATDVDAVVYLMLLNDMIHGLFPEAVTIGEDVSGMPTVCIPVEDGGVGFDYRLHMAVADKWVEIIQKRDEDWKMGDIVHMLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPLIDRGVALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDLHLPSGKFVPGNNYSYDKCRRRFDLGNSKRLRYHGMQEFDQAIQHLEEAYGFMTSEHQYISRKDERDRIIVFERGNLVFVFNFHWTSSYSDYRVGCLKPGKYKIVLDSDDPLFGGFGRLSHDAEHFSFEGWYDNRPRSFMVYTPCRTAVVYALVEDEVENEVEPVAG >Manes.09G058676.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9384770:9405019:1 gene:Manes.09G058676.v8.1 transcript:Manes.09G058676.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYTISGIRFPCAPLCKSQSTGFHGDRRTSSCLSFNFKKAAFSRRVFSGKSSHESDSSNVMVTASKRVLPDGRIECYSSSTDQLEAPGTVSEESQVLTDVESLIMDDKIVEDEVNKESVPMRETVSIRKIGSKPRSIPPPGRGQRIYDIDPSLTGFRQHLDYRYSQYKRLREEIDKYEGSLDAFSRGYEKFGFSRSETGITYREWAPGATWAALIGDFNNWNPNADVMTQNECGVWEIFLPNNADGSPPIPHGSRVKIRMDTPSGNKDSIPAWIKFSVQAPGELPYNGIYYDPPEEEKYVFKNPQPKRPKSLRIYESHVGMSSTEPVINTYANFRDDVLPRIKKLGYNAVQLMAIQEHSYYASFGYHVTNFYAASSRFGTPDDLKSLIDKAHELGLLVLMDIVHSHASTNTLDGLNMFDGTDGHYFHSGPRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQVDFTGNYNEYFGYATDVDAVVYLMLLNDMIHGLFPEAVTIGEDVSGMPTVCIPVEDGGVGFDYRLHMAVADKWVEIIQKRDEDWKMGDIVHMLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPLIDRGVALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDLHLPSGKFVPGNNYSYDKCRRRFDLGNSKRLRYHGMQEFDQAIQHLEEAYGFMTSEHQYISRKDERDRIIVFERGNLVFVFNFHWTSSYSDYRVGCLKPGKYKIVLDSDDPLFGGFGRLSHDAEHFSFEGWYDNRPRSFMVYTPCRTAVVYALVEDEVENEVEPVAG >Manes.04G110554.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31507014:31507889:1 gene:Manes.04G110554.v8.1 transcript:Manes.04G110554.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNNTAAMRRSPPSYGSDPSSPNTTFVLADPSTFRTIVQKLTGAPDDLSTQKLPLTHHPSRPSAIPGPKRPAFKLHERRQNAKNLQINLNSSSTINSGFEHPHDLLQFRQRAGFMVSPVSTLDFFGARTSPRSPCEAFCSRGSSPREEEERAIAEKGFYLHPSPLSTPRGAEPPELLPLFPLPSPRDDNGDQDDDDHDRNSCS >Manes.09G090221.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26618858:26620264:-1 gene:Manes.09G090221.v8.1 transcript:Manes.09G090221.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISWNCRGLGLPRSVQTLQELARDKRPNFIFLIESLYSFARLEEIKVMLGYDGFFHVDPAGRSGGLGFFWKLVQSISLIGSSCFFIDVQAEVLGLGLLRVIGFYGHPDRRYRGESWTLLRQLQLTSSLPWLVCGDFNCVLSQSEKRGGPPYPSNLINGFRSVLDDTGLHEITLHGFEFTWNNGRGGGAMVEEKIDRFFASESWRQQFNLSRAETISYSSSDHLPIFLQIRTYVPRDRVHLLRFENQWTEEAECRSIVDSCWKSEAHRLVQSRLDDCRNRLANWGGNLKRIYVRDLQVCKTQIQNLRNRRDTAGRDLLHEAHQKFFFLLQQRETYWKQRAKAQWLRGGDQNTRFFHMKATARQRKNQFVKLQDSQGVWPYTSEAVQEAIFSMHADKSPGLDGFNSGFYKKYWGIVGPDIA >Manes.08G045000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4491617:4495044:-1 gene:Manes.08G045000.v8.1 transcript:Manes.08G045000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELRYLEDDDTPTMKTIKGATMGLVAGTIWGTVVATWYDVPRVEKHVALPGLIRTLKMMGNYGMTFAAVGGVYIGVEQMVQHYRMKRDMVNGAVGGFVAGASVLGFKGRSISRAISAGTALAVTSAVIDAGGQTTRIDNGKEYYPYTTKKRSTVES >Manes.08G045000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4491839:4495044:-1 gene:Manes.08G045000.v8.1 transcript:Manes.08G045000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELRYLEDDDTPTMKTIKGATMGLVAGTIWGTVVATWYDVPRVEKHVALPGLIRTLKMMGNYGMTFAAVGGVYIGVEQMVQHYRMKRDMVNGAVGGFVAGASVLGFKGRSISRAISAGTALAVTSAVIDAGGQTTRIDNGKEYYPYTTKKRSTVES >Manes.08G045000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4491837:4495046:-1 gene:Manes.08G045000.v8.1 transcript:Manes.08G045000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELRYLEDDDTPTMKTIKGATMGLVAGTIWGTVVATWYDVPRVEKHVALPGLIRTLKMMGNYGMTFAAVGGVYIGVEQMVQHYRMKRDMVNGAVGGFVAGASVLGFKGRSISRAISAGTALAVTSAVIDAGGQTTRIDNGKEYYPYTTKKRSTVES >Manes.08G045000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4491839:4495044:-1 gene:Manes.08G045000.v8.1 transcript:Manes.08G045000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELRYLEDDDTPTMKTIKGATMGLVAGTIWGTVVATWYDVPRVEKHVALPGLIRTLKMMGNYGMTFAAVGGVYIGVEQMVQHYRMKRDMVNGAVGGFVAGASVLGFKGRSISRAISAGTALAVTSAVIDAGGQTTRIDNGKEYYPYTTKKRSTVES >Manes.08G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4491617:4495045:-1 gene:Manes.08G045000.v8.1 transcript:Manes.08G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELRYLEDDDTPTMKTIKGATMGLVAGTIWGTVVATWYDVPRVEKHVALPGLIRTLKMMGNYGMTFAAVGGVYIGVEQMVQHYRMKRDMVNGAVGGFVAGASVLGFKGRSISRAISAGTALAVTSAVIDAGGQTTRIDNGKEYYPYTTKKRSTVES >Manes.07G044715.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5142146:5142570:-1 gene:Manes.07G044715.v8.1 transcript:Manes.07G044715.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVQVGVAFHRVFLLQLAGKDISIEDEYPFSEKVLIVFLENQLSNS >Manes.11G117100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27435412:27439023:1 gene:Manes.11G117100.v8.1 transcript:Manes.11G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETLIFVFLLVSQSCNAFETNRCAPSACGNIRNISYPFRLETDSINCGDHRYNLSCENDSTVLNLYGGKYYVQAINYHNFTIRLVDAGVRQHDCSSIPRFPLSRYHFKKGDPYSDYNYNWSDEELSYSLSLGSIVFLKCQNPVNFSLYPHMDAAPCISTGLNYSYVMVTRCPWVSNLMDLCSVEMMTLIPSAALDYYSCHVEKNVSFNEIHKYLAFGFEISWFDSLYCVNCTYGCYLENNTNHVHCITYKGKIDIGYRLRVAVYYWLPIKILPNVGKFFAARTLIGALLLVAFLTYKWRRRHLSGYDAIEEFLQNHNNLMPIRYSHPDIKKITRGFKEKLGGGFGCVYKGKLRSGKVAAIKILNSSKANGQDFINEVATIGRIHHANVVQLIGFCFERSKQALIYEFMPNGSLNNYIGRQEGSISLSWEKLYEISLGVAHSIEYLHEGCDMQILHFDIKPHNVLLDENFTPKISDFGLAKFYPTKGNIASLTAARGTIGYMAPELFYKNIGRVSYKADVYSFGILMLEMADKRKNVNSLAEHLSEVYYPFWVHDQLSSGKLPIKDTTEGENIIARKMILTELWCVQMQLCDRPPMKKVIEMLEGDLESLQLPPRPILFPATPVTMDKGESSLELGSSSLIENSS >Manes.15G086800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6643867:6644562:-1 gene:Manes.15G086800.v8.1 transcript:Manes.15G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPLQNASSTFALPGSGQNKGSANSTSVPESKPKKKICCACPETKKLRDECIVEHGETACTKWIDAHRQCLRAEGFNV >Manes.16G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:529738:531518:1 gene:Manes.16G003900.v8.1 transcript:Manes.16G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHSVFLASLVSIFVFTSQANADDYEAQPLSSYLPSNTQSVLNTIDSCWRTDSNWASNRQALADCAVGFGQAALGGKYGDIYVVTTPDDDPINPKPGTLRYGAIQTEPLWIIFDEDMVIALENELIMNSFKTIDGRGAKVEIANGPCLTIEGVSHVIIHGISIHDCKPGKQGLVRSTPMHVGERQGSDGDAIAVFASSNIWIDHCFLASCTDGLIDVIHASTSVTISNNYFSQHDKVMLLGHNDEYTADKVMKVTVVFNHFGTGLVQRLPRVRFGYAHVANNRYDQWEMYAIGGSADPTIFSEANYFIAPDDAYAKEVTKREVTGGWKNWKWRSSRDVFMNGAYFIQSGYGSCAPPYAGDQSFTVAPGSLVPALTSDAGPLSCITGKVC >Manes.S023852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2065553:2065888:1 gene:Manes.S023852.v8.1 transcript:Manes.S023852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.13G098251.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29031402:29032928:1 gene:Manes.13G098251.v8.1 transcript:Manes.13G098251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYPFTWEHGRNSDGWVESRLDRVFTNVQWRTRFSNSTAEVLGFSTLDHLPILLAVKCFVDQHHAHRFRFENTWLREAGCRTLISDIWQLSPDTDVEGKLVACRTALKSWGTNLRLLHKAEMDESLAIMARLRGSRLQVHMDEFLLAKSRFFHLLNPREIFWKQRAKQFWLKEGDANTRFFHSAASARKQKNTIVKLLDDSNVWHDKNSGLEEVMSGYFTSLFTSHDCNSEPVLQCVPLLVSHDQYASLLAPYSCDEIKSAAFSMKIDKSPGLDGFNPGFF >Manes.17G121296.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32580220:32586346:1 gene:Manes.17G121296.v8.1 transcript:Manes.17G121296.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLHHCTLHNTSHIFALHHASRFITLLYTSHFTLHTSHFILHTNLHYFTLQHFTKHLTSTKQNMLHTTHIILKHPCTTAHFTLIYTSLLHTSSNCFTVHASPFTLHHSVTHFALLHTSHYFTLHTTSHFTLHTSLFTLHTSHFILHTLRTSQHFTLHNTSPHTFSHYFTLHHSTTHFSLHTTSHFKLHQTLQHFTLQHLTKHLHTLTHKHLNT >Manes.11G040184.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3972182:3973008:1 gene:Manes.11G040184.v8.1 transcript:Manes.11G040184.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGKVIACHTVRAWTEQLEKAQKGKQLTVVDFSAAWCPPSRYMSSVLAEMAKKMPNVTFLVVDVDELTSVSEEWKIEAMPTFLFFKQGK >Manes.02G027415.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2159046:2160333:-1 gene:Manes.02G027415.v8.1 transcript:Manes.02G027415.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIILISLTLFSTTIHAATFEVVNRCSYTVWAAAIPGGGKRLDSGQRWTLNVPSGTTSGRIWGRTNCIFDGNGFGKCETGDCNKTLQCQDFGSPPNTLAEYALNQFDDLDFLDISLVDGFNIPMDFSPTTYGCRGIRCAADINGQCPDELQVAGGCNNPCTVFKTNEYCCTDRSGSCGPTNFSKFLKDRCPDAYSYPLDDESSTFTAPGGTNYRVTFCP >Manes.01G176700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35715255:35715554:-1 gene:Manes.01G176700.v8.1 transcript:Manes.01G176700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICFLGNLAKQTLCPSVFAPNKAASGCLNVPKGFLAVCIRETEKKRFVVPVSYLNEPSFQDLLTKAEEEFGFDHPMGGLTIPCGEDTFLHVTSSLSRA >Manes.14G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10366648:10369813:1 gene:Manes.14G129800.v8.1 transcript:Manes.14G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMAAIPPRDESDYDSSSSSITVPESSRSWMSNLSFGSRRSSVSITSSLPESSFSFSHKPHKANQAAWEAIKRLQISSGRVGLDHFRLLRRLGSGDLGNVYLCQIRNPVVGLPQCFYAMKVVDKEALAIRNKLQRAEMEKEILGMLDHPFLPTLYAEFEASHYSCLVMEYCPGGDLYAARQRQAGRRFTVSSAKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLCLKCDVVPKLLKSNKPVLESIDHHDYETCFTPSCATPIHPVLSCFSASIKRKKASRVTTITERVDGDHDQEHQELDPELVAEPINARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFLYEMLYGRTPFKGENNERTLINILKQPLTFPRIAVSSSKEFEEMVKAQDLISKLLVKNPKKRIGSLKGSVEIKRHEFFKGVNWALIRSVRPPEVPSDSCKIRSRAHIPKLSKQEREAPYQIPHHFDYF >Manes.04G057752.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14170824:14174424:1 gene:Manes.04G057752.v8.1 transcript:Manes.04G057752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPYKLRSGYNSTIGFFFSLHYHFGLKKGDIAFVLSPNSIHLSILYFYIFSLGVILHQIQLSKPVIGFVTSNGAHSIPNLRTIILDSPEKKGLLIGPCDDSLSSRTTGRVNGVILTHRNFTYMVATSHAVYTTRMAAAISFFPLPHFHVYGLCYFVTALTIGAAVVSMVKFDMKAMLTVIEESKVTHVAQTAPAVVLMTKDPRLLDDYDLSSLDIVACRVASLRKSVLKLFRQ >Manes.13G021301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3067814:3068735:-1 gene:Manes.13G021301.v8.1 transcript:Manes.13G021301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRHSDKNQRSHDPRLDSKYHSPWILPKQYPDEDNITLQQNRPADEDQHPRQPKRSRTKKSQDQDHYPIHYGLPPAQQQQQVSSVTLNAAYVDAGSLLNADISVLANFTNPNDKVRLPLGDVARLQEQINQNGIIFNIKAMFRVRSQLGSLFAYSNRLYGQCFIMVTAPPTGVLRAARCSTKR >Manes.09G167300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35980768:35982732:-1 gene:Manes.09G167300.v8.1 transcript:Manes.09G167300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVWVIQKLKSHLPSWVTSPFKTQNHQAPSSKSLTFVLNHVDISLLLSMCGKEGYFHLGSSVHASIIKNYELLDPQNHSNFRNALVIWNSLLTMYAKCGSYCDAAKLFDNLPMRDTVSWNVMISGFLRNRDLGMGFGLFKQMRESGLYELDQATFTTILSACDRPELSFATKMIHCLVISNGFEQKITVGNALVTSYFKCGCCSFGRQVFDEMLERNVITWTAIISGLVQNEMHEGSLSLFVKMRHGPVEPNFLTYLSSLMACSGLQALREGRQIHGLVWKLGIQLDLCIESALMDMYSKCGSLEDAWRIFESAIEFDEVSMTVILVGFAQNGFEEECIQFFVKMVKAGAEVDPNMVSAVLGVFGVDTSLGLGKQFHSLVIKRNFGSNPFVGNGLINMYSKCGELQESTKVFSRMPWRNAVSWNSMIAAFARHGDGFRALRLYEEMRQEGVEPTDITFLTLLHACSHVGLVDKGMEFLKSMIEVYRISPRAEHYACVVDMLGRAGLLNEARTFIEGLPIKPDALIWQALLGACSIHGNSEVGKYAAEQLFLLEPQNPVPFVLLANIYSSKGLWKERARTIKRMKEMGVAKETGISWIEIEKKVHSFVVEDKMHPQADNIYGVLAHLFRLMVDEGNVPDKRFILYYLDQDVKNN >Manes.14G013115.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3621747:3623168:-1 gene:Manes.14G013115.v8.1 transcript:Manes.14G013115.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQLNFISFSQYIVFVIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFAGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.02G131100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9934947:9937343:-1 gene:Manes.02G131100.v8.1 transcript:Manes.02G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVLQKLSNDAILKYINHALMEEELEEQSCCILQHSALQAAEKCLHDILGPKCLPPKNIYHAFSYENRDLGNHVSTYCTTANDIAAVNSRLLIRDVHEQESSCTITTSPLGLEPSSSPSFLAAASISGTKKLQSISGWEVETGEFPRNGKQMILDFANNRVTPVAAAKTSNGRRDIDHEYDFFNPYEDFQNAPTRWILNRKGKSRGSNGETTRGIKTRKFVDLSTLLIHCAEAVSGNDHKTATELLMRIRRHSTPCGDGSQRLAHCFANALEARIISRESEAVAALATTKVPATCMLDAWKLLFSACPFLNISNSFAFHTIMEVADKADRLHIIHFGVVYGFPWSSLIQHLAKRPGGPPVLRVTRMEIPEPCFDSASKLEETGSYLKSFCERLNVPFEYTAVSRRWPSIRFEDFKIDRDEVTIVACLYRSRNLLEETVDVDINCQRDAVLNLIRRMNPSVFIQGIVNGGYNASFFTTRFREALFYFSSLFDMLDANVSHNVPERMVLEQEIYGKRILNVIACEGSERLERPETYKQWHLRNMRAGLKQLPLNQRIMQNMKEQVKLQYHKDFLMDEDGHWIVQGWKGRILFALSCWKSA >Manes.07G128700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33090129:33096437:1 gene:Manes.07G128700.v8.1 transcript:Manes.07G128700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFYILFWVSLSALIFIIHRTSRYRRLRLPPGNLGLPFLGETLQLISAYKTENPEPFIDERVSRFGSLFTTHVFGEPTVFSVDPETNRFILQNEGKLFESSYPGSISNLLGKHSLLLMRGSLHKRMHSLTMSFANSSIIRDHLLVDIDRLVRLNLDSWTDLVFLMEEAKKITFELTMKQLMSFDPGEWTESLRKQYLLVIEGFFTVPLPIFSTTYRRAIQARTKVAEALSLIVRQRRSESEAGERKNDMLEALLSADDGGFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEGIRARKSEGEALKWSDYKSMPFTQCVVNETLRVANIISGVFRRAMTDINIKGYTIPKGWKFFASFRAVHLDHNHFKDARTFNPWRWQSNSGTTSPVNVFTPFGGGPRLCPGYELARVELSVFLHHLVTSFRYHMHTYQFSS >Manes.07G128700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33090129:33096437:1 gene:Manes.07G128700.v8.1 transcript:Manes.07G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFYILFWVSLSALIFIIHRTSRYRRLRLPPGNLGLPFLGETLQLISAYKTENPEPFIDERVSRFGSLFTTHVFGEPTVFSVDPETNRFILQNEGKLFESSYPGSISNLLGKHSLLLMRGSLHKRMHSLTMSFANSSIIRDHLLVDIDRLVRLNLDSWTDLVFLMEEAKKITFELTMKQLMSFDPGEWTESLRKQYLLVIEGFFTVPLPIFSTTYRRAIQARTKVAEALSLIVRQRRSESEAGERKNDMLEALLSADDGGFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEGIRARKSEGEALKWSDYKSMPFTQCVVNETLRVANIISGVFRRAMTDINIKGYTIPKGWKFFASFRAVHLDHNHFKDARTFNPWRWQSNSGTTSPVNVFTPFGGGPRLCPGYELARVELSVFLHHLVTSFSWTPAEEDKLVFFPTTRTQKRYPINVQRRSNVKS >Manes.02G025264.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2175164:2176044:-1 gene:Manes.02G025264.v8.1 transcript:Manes.02G025264.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNISFFLVAALYYFTFAHAATFDITNKCPYTVWGAASPGGGRELKTGETWTITANPGTTQARIWARTNCQFDASGKGKCETGDCNGLLVCQGYGAAPNTLAEYALDQFERQDFIDISVIDGFNVPMEFSSASGSCSRVIKCTADIIGQCPNELKVPGGCNGPCPVFKTEEHCCNSGNCGPTNFSKYFKDRCPDAYSYPKDDPTSLFTCPTGTNYKVIFCP >Manes.15G113000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8992716:8997171:-1 gene:Manes.15G113000.v8.1 transcript:Manes.15G113000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHGRALKLIKVKPHPQFARFVSENLRRFSYMREPATGFGSNFNSNDEDNGAREVQNPPNPIPNRPLRGERGQRPSYNPQSQSHPTPRGPRNAKLSGSPRNTNQYQHQHQPSDDAFLEKFKLRLDRNKESEIPLPNDPAAASATTNIKEEKNSDSPPPEADDIFKKMKETGLIPNAVAMLDGLCKDGLVQEAMKLFGLMREKGTIPDVVVYTAVVDGFCKAHKLDDAKRIFRKMLDVGIPPNAFSYTVLIQGLYKCKHLDDAVDFSFQMLEAGHSPNVTTFVGLVDGLCREKGVEEAQRVIAALRQKGFFLNDKTIREFLDKNAPLSSSLWEAIFGKKPSPKPF >Manes.15G113000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8992564:8997216:-1 gene:Manes.15G113000.v8.1 transcript:Manes.15G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHGRALKLIKVKPHPQFARFVSENLRRFSYMREPATGFGSNFNSNDEDNGAREVQNPPNPIPNRPLRGERGQRPSYNPQSQSHPTPRGPRNAKLSGSPRNTNQYQHQHQPSDDAFLEKFKLRLDRNKESEIPLPNDPAAASATTNIKEEKNSDSPPPEADDIFKKMKETGLIPNAVAMLDGLCKDGLVQEAMKLFGLMREKGTIPDVVVYTAVVDGFCKAHKLDDAKRIFRKMLDVGIPPNAFSYTVLIQGLYKCKHLDDAVDFSFQMLEAGHSPNVTTFVGLVDGLCREKGVEEAQRVIAALRQKGFFLNDKTIREFLDKNAPLSSSLWEAIFGKKPSPKPF >Manes.08G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31614046:31620846:1 gene:Manes.08G093200.v8.1 transcript:Manes.08G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDASKNRDDHDESVKSSYFNLPPLDVSIAFPQATPASIFPPCASDYYHFDDLLTPEEQAIRMKVRQCMEKEVAPIMAEYWEKAKFPFHIIPKLGALHIAGGTIKGYGCPGLSLTASAVATAEVARVDASCSTFILVHSSLAMITIALCGSEVQKQKYLPSLAQLSTVACWALTEPDYGSDASSLKTTATKVEGGWILEGQKRWIGNSTFADVLVIFARNTSTNQINGYIVKKDALGLTATKIENKIGLRIVQNGDIQLRKVFVPDEDRLPGVNSFQDTNKVLAVSRVMVAWQPIGISMGVYDICHRYLKERKQFGAPLAAFQINQQKLVHMLGNVQAMLLVGWRLCKLYEKGKMTPGRASMAKAWITLRARETVAIGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINSLVTGREVTGLPSFKPAAVSQRSRL >Manes.08G076011.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:15598235:15599232:-1 gene:Manes.08G076011.v8.1 transcript:Manes.08G076011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYLPNKLWPLHKGYRMGMITNTLCVKCLKRKTLIAFENEKSTRPLSNQMVDIYKPSLYFFPQQIIMWMSKGVSHVLQRKCIMEDNSNSAHQIITNPRCINNQPTIVEKSSKNLVPSSPHLDSLHHPRINTTSSLWSLLITYPPSPSTSPCSSCVSAHYCLVLRITVWFFSLDYASLIYFIILALEAPT >Manes.04G063972.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24381106:24383901:1 gene:Manes.04G063972.v8.1 transcript:Manes.04G063972.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFALFINSIFIFIQSYSGDAKDLKTCKFDAIYQLGDSISDTGNSIVEMPEVYHARFPYGQTIHKATGRSSDGYLIIDYIAQSAGLPLLEPYENPNSTFSHGVNFAVAGATASSIKTLINWHIPLPYTNSSLYVQNKWLKKYLSAICNDRKECKRKLKHALYMIGTIGCNDYIIAFQYGKSIEEVKVMVPRVIQSIKTAIRKVIDYGAYRVVVPGAFQLGCAPSFLTAFSSNKSSYDSHGCLKDYNDFFMYHNNHLQVALQKIRKKNPHIHIIYGDLYGALEWILDNFSNLGFKSLRKGCCGIGGRFNYNPSIKKMCGAHGVPICPNPKEYVFWDGSHFSHQANKYISKWLIKDILPQLQCNI >Manes.12G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34144352:34149916:-1 gene:Manes.12G134400.v8.1 transcript:Manes.12G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDDLLLSSLIKINSEPSDQPLRLGGLFLGDGVICPSFVSLISSKIKVRSSSCYSASKLRFEDRRRGSKVYKAGLFLSVSLSIKGSEEEAGYVKESRESSGENGEEKNLEEENALVFAKQEKKKKVELRSGSAALNTTKHLWAGAVAAMVSRTFIAPLERLKLQYVVCGEQRGLFELIKTIEAAEGLKGFWKGNFVNILRTAPFKSINFYAYDTYRNQLLKWSGNEEATNFERFLAGAAAGITATLLCLPMDTIRTKMVAPGGEALGGVIGTFRHMIQTEGFFSLYKGLAPSIVSMAPSGAVFYGVYDILKSAYLHSPEGKKRIQNLKQGQELNALEQLELGPVRTLLYGAIAGCCSEAATYPFEVVRRHLQMQVRATKMNALATCVKIVDQGGIPALYAGLVPSLLQVLPSAAISYFVYECMKIVLKVE >Manes.03G001500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:248079:249620:1 gene:Manes.03G001500.v8.1 transcript:Manes.03G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKCYLDVILVPLGFFITLAYHIWLWHKVRTQPLSTIIGTNASGRRYWVSAMMKDNDKKNILAVQTLRNLIMGSTLMATTSILLSAGLAAIISSTYSVKKPLNDAVYGAHGEFMVALKYVTLLCLFLFSFFCHSLSIRFVNQVNLLINTPPDPMSIVTPDYVTELLEKGFVLNTVGNRLFYAAMPFLLWIFGPVLVFLCSVTMIPVLYNLDFVVSSTKKKSHVNNGDGDRDFV >Manes.01G255200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41256793:41259300:1 gene:Manes.01G255200.v8.1 transcript:Manes.01G255200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEIINDDMQEFYVQFHGPNDSPYHGGVWRVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNIFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEQRVKEYREKYAKPEDIGAAPEEKSSDEEPSEDEYDSEDEEMAGPSDP >Manes.13G063066.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7345119:7378467:-1 gene:Manes.13G063066.v8.1 transcript:Manes.13G063066.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLDMWEAMKTIQANHMMYMGENIRPNFTDVDTYYGEYDYSMTMFNNGVKLEYDKIQDIFLAIDPSTSRFEGKIPKIIGNLKGLNLLNLSNNLLKGHIPPSLACLGSLEGLDLSKNKLSRKIPPELAQLTFLAFFNVSYNELEGPIPQGKQFDTFQSNQYEGNLGLCRAPLTKKCEDFGDSPPFFPTSDYESIALECKPSDLRRCTYYQTKSSFNSSIQRCHDDESLALLQFKNSFNISSSCSYSKLESWKLNQGVRSGESCSWDGVECDEKTNHVVSLDLSESCIYGSINSNSTLFRLVHLQTLNLGSNNFIHPQISSEIGQLSRLTHLDLSFSGFSGEIPAEISNLSSLVSLDLSCNLDFISYDGLLKLRQASFRGLVQNMTNLKELDLECVDISSTVLANLSSLESLHLCGCELHGEFPASELSPLICNLYSLEILDLSFNNLSRQLPHCLSNFSDLSVLDLRRNNFHGIIPAAWRDDCKLRMISISYNQLQGQVPKSLANCSSLQLVDFGLASCNLTHFPNFLQNQHGLEYLDLSSNSLQAQIPSWMCSISTNSMDFLNLSHNLLTGRQLPHCLSNFSDLSMLDLRRNNFHGIIPAAWRDDCKLRMISISYNQLQGKLVDFELRILILRSNHFYGVIDPKPKTKGFPSLRIIDLSGNNMWEAMKTIQANHMTYMGENIRPNFTDVDTYYGEYDYSMTMFNKGVKLEYDKIQDIFLAIDFSNNRFDGKIPEIIGNLKGLNLLNLSNNLLKGHIPPSLASLGSLEGLDLSKNKLSRKIPPELAQLTFLAFFNVSYNELEGPIPQGKQFDTFQSNQCEGNLGLCGAPLTKKYFGDSPPFFPTSDDESIALFKFNWIVILMGYACGLVIGVVAGNEVTKRKNAWFLKIFGRKK >Manes.08G049800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5113742:5116965:1 gene:Manes.08G049800.v8.1 transcript:Manes.08G049800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQAFEKAWEEACSSKGAVLVVPKNRYRVKPVRFSGPCKSNLTVQIYGVIEASDDRSDYKKDDRHWLVFDGVENLLVEGGGTIDGNGKIWWQNSCKVDKDLPCRDAPTALTFYECKNLVVDNLKIQNAQQMHISFEGSKDVQVSNLSITSPEHSPNTDGIHVTRTQNIYITNSVIATGDDCISIVSGSQNVHAMDITCGPGHGISIGSLGSGKSRAYVSGVTIDGAKLSGTRNGVRIKTWQGGSGVARDIKFQNIEMQNVRNPIIIDQYYCDHKSCNEQRSAVEVKNVMYKNIKGSSASEVAIKFDCSKAYPCQGILLQDVILEREAEDQKAKALCYNVNLVEKGVVSPHCP >Manes.05G050300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4187328:4190046:-1 gene:Manes.05G050300.v8.1 transcript:Manes.05G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGISTPDCFRIDDLLDFSNEDLFSSSTSTSSSVATDHHRHLSLPENPSIHHFPSSAPFNSGLSTDFTDHLLVPSDDVAELEWLSQFVDDSCIEFPANSLSGTINIRSETSFCAKARSKRSRGTAINATPWISSSPEIPSPTTGKLKSKKEINRASSPTAEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVLELRRQKEMMMQQQQQQEEQRIYHHHLHPKQNFEIC >Manes.14G081600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6799171:6802068:-1 gene:Manes.14G081600.v8.1 transcript:Manes.14G081600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARSFPPNIKGTLLAKLREYKTDLNNLKNETKRITSVNVNQAARDELLDSGMADAMTVSADQRGRLIMSTERLNQSTDRIKESKRTMLETEELGVSILQDLHQQRQALLQAHSALRGVDDNISRSKKILTAMSRRMNRNKYIIGSIIAALILAILVILYFKHFH >Manes.14G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6799171:6802900:-1 gene:Manes.14G081600.v8.1 transcript:Manes.14G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCDLSANLSRKCTSASVLEGELKKQKLSDIKAGLDEADTLIRKMDLEARSFPPNIKGTLLAKLREYKTDLNNLKNETKRITSVNVNQAARDELLDSGMADAMTVSADQRGRLIMSTERLNQSTDRIKESKRTMLETEELGVSILQDLHQQRQALLQAHSALRGVDDNISRSKKILTAMSRRMNRNKYIIGSIIAALILAILVILYFKHFH >Manes.14G081600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6799171:6802900:-1 gene:Manes.14G081600.v8.1 transcript:Manes.14G081600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARSFPPNIKGTLLAKLREYKTDLNNLKNETKRITSVNVNQAARDELLDSGMADAMTVSADQRGRLIMSTERLNQSTDRIKESKRTMLETEELGVSILQDLHQQRQALLQAHSALRGVDDNISRSKKILTAMSRRMNRNKYIIGSIIAALILAILVILYFKHFH >Manes.06G134700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26267325:26269160:1 gene:Manes.06G134700.v8.1 transcript:Manes.06G134700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLAIKRLVSSKLVPASVRYMRPTASASRLFNTNAVRQFDDDQDERGIEVDRRSGRNLSRRRDDLFSDVVDPFWPSRSLSQVLNMMDQFMDNPFLSTSRGIGAGLRRGWDVRETEDALNLRVDMPGLDKEDVKISVEQNTLVIKGEGGKESDDEESGRRYTSRIDLPEKFYRTDQIKAEMKNGVLKVVVPKVKEEERADVFHVKVE >Manes.02G215950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31815908:31865240:-1 gene:Manes.02G215950.v8.1 transcript:Manes.02G215950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKVEPSGEDETQEEELERFDDFTLASSWERFISEIEAVCRQWLADGPNNLLEKGAVQLEFSQKLYRVKFELKYATKSYSMDYYFETKSGGKVADWDCTLHDLQLCFGVKEFLVIAPQSASGVVLDAPEASKLLSAVAIALTNCSSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADHISSQVPVKLMHLEGLYELFVSKFAYSTVDYAMRLFKVQFTMKSTYRTIPNDDDDDDSDLQRPAAEIEDYGITPSGDTDTSNRSQWDDDCPWSEWYSAENPVKGIELIATWSEKMVESSLEMAELENASPHDAEKWMILAILSPNLTSSGGNSTGFASQLRVLVDALNVSFDAHFMEDFVSAVENPSSDNLKSSMVVPPPTVIDRLLKDLFHEGAQLPDFAKGEHKNSRAIKGAPLGSLFAQFCLHSLWVGSCNIRAIAVLWIEFIREVRWCWEESQPLPKMHANGSIDLSTCLINQKLQMLAICIEKKCELNEEFQDCLEGNDHIKEDGLVGNKTTNMPMPREKFYGIRDSPLIPDGLHGSEPIKSESCRMHKDVSSTDEKSADRKRRGSAGAVGSMKLLKSYQSMHAPFTLDPPLMTEDMHEERLQAVEAFGDSFNFSAQLEREILSSDMAAFKAANPDAIFEDFIRWHSPGDWENDETERSGPSGNSMDGLKDDWPPRGRLSQRMSEHGNLWRKIWNDAPALPAYEQKPLLDPNREGEKILHYLETLRPHQLLEQMVCTAFRASADTLNQTNFGGLKQMTVKIEQLYLTMGSVLKHLQTNRISGNSETIEDLRRLCVVFEHVEKLLTLAASLHRKFIQAPRLSADIFTDYYNFYLPRMGTGSSDVDQKEFNKKQNVKMQERQIISEMFKPPTANQSWRKVLSMGNLLNGHEPIAREIIFSTRDSSNNHHYAAQTPKDLKQEIETYRMYICGTSNDLRVALSITSCD >Manes.11G055900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6850667:6851130:1 gene:Manes.11G055900.v8.1 transcript:Manes.11G055900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTNADGLKLAVIVVFVLCLMFLPPQSAAQGMVLSSNSENLQQKRKNTVLGSKPPGCVDKCFSCRPCMATLVVPSHQNKGRKFKPLSRGDDDDGGYYLLSWKCKCGDKLFQP >Manes.01G060600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25629588:25640004:1 gene:Manes.01G060600.v8.1 transcript:Manes.01G060600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKARKLGENGIKDSLNGNHIPHVEEKEGHEAMVDGNIEPLVEWLNHMIPYLNLPLEASEEELRRCLIDGTVLCSIMSKLNPGLIEMRGNTEPGPEKIKKFLAAMDEMGLPRFVLADIQQGYMVPVLQCLRTLKAHFDYNGGKESFQNHSRKLWNLLLTNSKGVHQQAEGIARSKGVHQQAEGIARSKGVHRSVISEPSSTFPHDAAHKFPEELPVKQGFCADLSDSNILDLMKSNGLDNVSTRTLFSLVNRILDDSFERKTGQIQHILMKKVVQVIEQRFSFQAENLKDQNNLYSIHTEKYQSKIRALETLASGTTKEIEVLLSKLQQIKIEKTEIERKEKVEELDLIRLKEEKNHSDIEKLALRQELELAKKVHEEHYLILEAQAKESKVELEKRLEELECFLTESRNKVKELESFSKSKSQGWKKKEGTYCSVINYQSKALQELRAASESIKHEVLKTKRSYLENFQFLGVKLSTIANAAENYHSVLAENRKLYNEVQDLKGNIRVYCRIRPFLQGQSKKQTTIQHIGENGELVVTNPSKQGKDGHRLFKFNKVFGPTASQENVYLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLSSKEDWGVNYRALHDLFQISQNRKSCFAYEVGVQMVEIYNEQVRDLLSNNGSQKRLGIWNAARPNGLAVPDASMHCVKSSTDVLELMNIGLMNRAMSATALNERSSRSHSVLTVHIRGMDLETGAVLHGNLHLIDLAGSERVDRSEVTGDRLREAQHINRSLSALGDVIFALAQKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDADSYSETVSTLKFAERVSGIELGAARSNKEGRDVRELMQQLTSLKDAIAKKDEEIERLQLLKSNVNGGKHGTNSHISESSSPRRHSTEGPRQSPRASEGKSLGPSDKVTSDMDNSSDNSEKRSEAGSPRDDYRHQNAPLPLPQSKSVGDLRHKKELLSQPQLRKYVSQNPKEDIDFLGFGDADSDERLSDISDGGLSMGTETESVEFGLFPRPAKSPGSTRPAETAEPAKSPEIASPAEVTKPTEKEENTQKPSPITKLPRPPQKLMPTRFARLSLGKSSILSPRGSSTTTRKSIPGSSFAPKPPKRWH >Manes.01G060600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25629593:25639931:1 gene:Manes.01G060600.v8.1 transcript:Manes.01G060600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKARKLGENGIKDSLNGNHIPHVEEKEGHEAMVDGNIEPLVEWLNHMIPYLNLPLEASEEELRRCLIDGTVLCSIMSKLNPGLIEMRGNTEPGPEKIKKFLAAMDEMGLPRFVLADIQQGYMVPVLQCLRTLKAHFDYNGGKESFQNHSRKLWNLLLTNSKGVHQQAEGIARSKGVHQQAEGIARSKGVHRSVISEPSSTFPHDAAHKFPEELPVKQGFCADLSDSNILDLMKSNGLDNVSTRTLFSLVNRILDDSFERKTGQIQHILMKKVVQVIEQRFSFQAENLKDQNNLYSIHTEKYQSKIRALETLASGTTKEIEVLLSKLQQIKIEKTEIERKEKVEELDLIRLKEEKNHSDIEKLALRQELELAKKVHEEHYLILEAQAKESKVELEKRLEELECFLTESRNKVKELESFSKSKSQGWKKKEGTYCSVINYQSKALQELRAASESIKHEVLKTKRSYLENFQFLGVKLSTIANAAENYHSVLAENRKLYNEVQDLKGNIRVYCRIRPFLQGQSKKQTTIQHIGENGELVVTNPSKQGKDGHRLFKFNKVFGPTASQENVYLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLSSKEDWGVNYRALHDLFQISQNRKSCFAYEVGVQMVEIYNEQVRDLLSNNGSQKRLGIWNAARPNGLAVPDASMHCVKSSTDVLELMNIGLMNRAMSATALNERSSRSHSVLTVHIRGMDLETGAVLHGNLHLIDLAGSERVDRSEVTGDRLREAQHINRSLSALGDVIFALAQKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDADSYSETVSTLKFAERVSGIELGAARSNKEGRDVRELMQQACFLIKSKPCS >Manes.01G060600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25629593:25639931:1 gene:Manes.01G060600.v8.1 transcript:Manes.01G060600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKARKLGENGIKDSLNGNHIPHVEEKEGHEAMVDGNIEPLVEWLNHMIPYLNLPLEASEEELRRCLIDGTVLCSIMSKLNPGLIEMRGNTEPGPEKIKKFLAAMDEMGLPRFVLADIQQGYMVPVLQCLRTLKAHFDYNGGKESFQNHSRKLWNLLLTNSKGVHQQAEGIARSKGVHQQAEGIARSKGVHRSVISEPSSTFPHDAAHKFPEELPVKQGFCADLSDSNILDLMKSNGLDNVSTRTLFSLVNRILDDSFERKTGQIQHILMKKVVQVIEQRFSFQAENLKDQNNLYSIHTEKYQSKIRALETLASGTTKEIEVLLSKLQQIKIEKTEIERKEKVEELDLIRLKEEKNHSDIEKLALRQELELAKKVHEEHYLILEAQAKESKVELEKRLEELECFLTESRNKVKELESFSKSKSQGWKKKEGTYCSVINYQSKALQELRAASESIKHEVLKTKRSYLENFQFLGVKLSTIANAAENYHSVLAENRKLYNEVQDLKGNIRVYCRIRPFLQGQSKKQTTIQHIGENGELVVTNPSKQGKDGHRLFKFNKVFGPTASQENVYLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLSSKEDWGVNYRALHDLFQISQNRKSCFAYEVGVQMVEIYNEQVRDLLSNNGSQKRLGIWNAARPNGLAVPDASMHCVKSSTDVLELMNIGLMNRAMSATALNERSSRSHSVLTVHIRGMDLETGAVLHGNLHLIDLAGSERVDRSEVTGDRLREAQHINRSLSALGDVIFALAQKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDADSYSETVSTLKFAERVSGIELGAARSNKEGRDVRELMQQLTSLKDAIAKKDEEIERLQLLKSNVNGGKHGTNSHISESSSPRRHSTEGPRQSPRASEGKSLGPSDKVTSDMDNSSDNSEKRSEAGSPRDDYRHQNAPLPLPQSKSVGDLRHKKELLSQPQLRKYVSQNPKEDIDFLGFGDADSDERLSDISDGGLSMGTETESVEFGLFPRPAKSPGSTRPAETAEPAKSPEIASPAEVTKPTEKEENTQKPSPITKLPRPPQKLMPTRFARLSLGKSSILSPRGSSTTTRKSIPGSSFAPKPPKRWH >Manes.01G060600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25629593:25639931:1 gene:Manes.01G060600.v8.1 transcript:Manes.01G060600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKARKLGENGIKDSLNGNHIPHVEEKEGHEAMVDGNIEPLVEWLNHMIPYLNLPLEASEEELRRCLIDGTVLCSIMSKLNPGLIEMRGNTEPGPEKIKKFLAAMDEMGLPRFVLADIQQGYMVPVLQCLRTLKAHFDYNGGKESFQNHSRKLWNLLLTNSKGVHQQAEGIARSKGVHQQAEGIARSKGVHRSVISEPSSTFPHDAAHKFPEELPVKQGFCADLSDSNILDLMKSNGLDNVSTRTLFSLVNRILDDSFERKTGQIQHILMKKVVQVIEQRFSFQAENLKDQNNLYSIHTEKYQSKIRALETLASGTTKEIEIEKTEIERKEKVEELDLIRLKEEKNHSDIEKLALRQELELAKKVHEEHYLILEAQAKESKVELEKRLEELECFLTESRNKVKELESFSKSKSQGWKKKEGTYCSVINYQSKALQELRAASESIKHEVLKTKRSYLENFQFLGVKLSTIANAAENYHSVLAENRKLYNEVQDLKGNIRVYCRIRPFLQGQSKKQTTIQHIGENGELVVTNPSKQGKDGHRLFKFNKVFGPTASQENVYLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLSSKEDWGVNYRALHDLFQISQNRKSCFAYEVGVQMVEIYNEQVRDLLSNNGSQKRLGIWNAARPNGLAVPDASMHCVKSSTDVLELMNIGLMNRAMSATALNERSSRSHSVLTVHIRGMDLETGAVLHGNLHLIDLAGSERVDRSEVTGDRLREAQHINRSLSALGDVIFALAQKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDADSYSETVSTLKFAERVSGIELGAARSNKEGRDVRELMQQLTSLKDAIAKKDEEIERLQLLKSNVNGGKHGTNSHISESSSPRRHSTEGPRQSPRASEGKSLGPSDKVTSDMDNSSDNSEKRSEAGSPRDDYRHQNAPLPLPQSKSVGDLRHKKELLSQPQLRKYVSQNPKEDIDFLGFGDADSDERLSDISDGGLSMGTETESVEFGLFPRPAKSPGSTRPAETAEPAKSPEIASPAEVTKPTEKEENTQKPSPITKLPRPPQKLMPTRFARLSLGKSSILSPRGSSTTTRKSIPGSSFAPKPPKRWH >Manes.01G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25629588:25640004:1 gene:Manes.01G060600.v8.1 transcript:Manes.01G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKARKLGENGIKDSLNGNHIPHVEEKEGHEAMVDGNIEPLVEWLNHMIPYLNLPLEASEEELRRCLIDGTVLCSIMSKLNPGLIEMRGNTEPGPEKIKKFLAAMDEMGLPRFVLADIQQGYMVPVLQCLRTLKAHFDYNGGKESFQNHSRKLWNLLLTNSKGVHQQAEGIARSKGVHQQAEGIARSKGVHRSVISEPSSTFPHDAAHKFPEELPVKQGFCADLSDSNILDLMKSNGLDNVSTRTLFSLVNRILDDSFERKTGQIQHILMKKVVQVIEQRFSFQAENLKDQNNLYSIHTEKYQSKIRALETLASGTTKEIEIEKTEIERKEKVEELDLIRLKEEKNHSDIEKLALRQELELAKKVHEEHYLILEAQAKESKVELEKRLEELECFLTESRNKVKELESFSKSKSQGWKKKEGTYCSVINYQSKALQELRAASESIKHEVLKTKRSYLENFQFLGVKLSTIANAAENYHSVLAENRKLYNEVQDLKGNIRVYCRIRPFLQGQSKKQTTIQHIGENGELVVTNPSKQGKDGHRLFKFNKVFGPTASQENVYLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLSSKEDWGVNYRALHDLFQISQNRKSCFAYEVGVQMVEIYNEQVRDLLSNNGSQKRLGIWNAARPNGLAVPDASMHCVKSSTDVLELMNIGLMNRAMSATALNERSSRSHSVLTVHIRGMDLETGAVLHGNLHLIDLAGSERVDRSEVTGDRLREAQHINRSLSALGDVIFALAQKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDADSYSETVSTLKFAERVSGIELGAARSNKEGRDVRELMQQLTSLKDAIAKKDEEIERLQLLKSNVNGGKHGTNSHISESSSPRRHSTEGPRQSPRASEGKSLGPSDKVTSDMDNSSDNSEKRSEAGSPRDDYRHQNAPLPLPQSKSVGDLRHKKELLSQPQLRKYVSQNPKEDIDFLGFGDADSDERLSDISDGGLSMGTETESVEFGLFPRPAKSPGSTRPAETAEPAKSPEIASPAEVTKPTEKEENTQKPSPITKLPRPPQKLMPTRFARLSLGKSSILSPRGSSTTTRKSIPGSSFAPKPPKRWH >Manes.12G056000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5880281:5895754:1 gene:Manes.12G056000.v8.1 transcript:Manes.12G056000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAGVSTSKVLILAGAGLTGSIILRNGRLSELIAQLQELLKGVDEVEIAPYKYDSALLSAQIRQLAQEIKELTLSNPVTIFNGNASSNGSFASYLVPAAALGAMGYCYMWWKGLSFSDVMFVTKKNMANAVANVSKQLENVSETLASTKRHLTKRLENLDWKVVEQMETSKLIANDVGEMKTNLSQIGFDVEIIHQMISGLEGKLELLESKQDATNSGLWYLCQFAGGFKDGSGAKIYQDVGAKLANHSAVTYNDISPKGLQFIAEAKELDTVDKSMQNMKKNEIDIVPGEKIKTMKTRIHRSYPVGLSLARDLISSGV >Manes.01G087200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28874129:28879174:1 gene:Manes.01G087200.v8.1 transcript:Manes.01G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGNQLIAVHPDELKFIFELDKQSYCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVVQPWDSCVIRVTLQAQREYPTDMQCRDKFLLQSTTVPPHTDVDELPPDTFNKDSSGKTLEECKLRVLYVHPSAQGNSEEEASKLSSQSPDLNTELQSLKDERDAAVQQTSQLQKELDMMKRRGYRKSSPEFSLTFAFVVGLIGILIGFILKLTFSSPLPLPLPSPSPSTE >Manes.01G087200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28874129:28879174:1 gene:Manes.01G087200.v8.1 transcript:Manes.01G087200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGNQLIAVHPDELKFIFELDKQSYCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVVQPWDSCVIRVTLQAQREYPTDMQCRDKFLLQSTTVPPHTDVDELPPDTELQSLKDERDAAVQQTSQLQKELDMMKRRGYRKSSPEFSLTFAFVVGLIGILIGFILKLTFSSPLPLPLPSPSPSTE >Manes.04G046100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7605999:7609535:-1 gene:Manes.04G046100.v8.1 transcript:Manes.04G046100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIETMSISVYLNSTIGEIVIFVFLLISQTCKGGDSNQCPPSSCGKNHNISYPFRLQTDPKNCGNHSYELSCENNLTVLNLNGGRYFVQSINYDNFTIRLVDAGVHPDNWSSIPRFPFIYDLSERYSTYRYQWSETEERKWKKLRQLPELSQMIMFIKCQNPVKSPLYVETAPCLNSSYVNIGDMKANDLMELCSVEMISLFPLFPAKKNMSFLEIHRQLAFGFQLSWYNIYCGQCFGACYLDSRKRFRCIYGWGMENFLVIILWMLSSSLFCLAMCLVARAFCGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNFMPIRYSYSDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHLTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQEGSISLSWEKLYEISLGVARGIEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAARGTIGYMAPELFYKNIGRVSHKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSNGKLTIEDSSEEENILARKMIITGLWCIQMQPCNRPPMNKVLDMLEGDLRSLELPPRPVLYPIESMTIDEGESSSKSSEVK >Manes.07G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30964453:30970116:1 gene:Manes.07G103800.v8.1 transcript:Manes.07G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLFSILSLLSLFLCSCISSPVEDQERDKITYLPGQPRNVEFNQYSGYVTANQQNGRALFYWLVESPASRGAESRPLVLWLNGGPGCSSVAYGAAEEIGPFRIKPDGQTLYFNPYAWNKVANILFLESPVGVGFSYTNTSSDLYTMGDKRTAEDSYAFLVNWFERFPQYKHRDFYIAGESYAGHYVPQLSQIVYEKNKGIQNPVINFKGFLVGNAVTDDYHDYIGTFEYWWTHGLISDSTYRTLRVSCNFGSSMHPSAECIKALYLAEVEEGHIDPYSIFTQPCNKTEMLRHNIRGHYPWMSRAYDPCTERYSEVYFNRPEVQKALHINGIPYSWQTCSNIVGNYWADSPLSMLPIYKELIAAGLKIWVYSGDTDAVVPVTATRYSIDALKLPTINNWYPWYDNGKVGGWSQIYKGLTFVTVTGAGHEVPLHRPRQAFILFRSFLENKPMPR >Manes.08G125011.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36537368:36551606:-1 gene:Manes.08G125011.v8.1 transcript:Manes.08G125011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKSNKDAENVAEASSKLLSSEKSNVEAENRGQMPIQGESSRKETPKSLKAKSNVKKKYLASSSFKANKGNRRRNRRRKNKSVVQENKESNKNRNMNDQNQYSEVGSLQQDGANGENTGRGTETQKSREKIAGSSSGQHSQRSEEKHGGADKSSRSAKNKEKLDVKEKNQGDEKKTEKLGGLIFMCNAKTKPDCFRYRVMGVPASKKDLVLGVKPGLKLFLYDFDLKLMYGIYKASSAGGTRLEPKAFGGSFPIQVRFSIHKDCFPIPESVFKKAIQDNYDEKNKFKIELTVRQVRKLSELFRPAVFQSVPSAALPVHSPSMATIQNREVYVGIRELRPHSDRETFARVDGNGRSYPERDQHIEHREMVSTYREEAPRDLYMSEKEYRTYGLQRERRNLSPPHNAAASLVPYSRDLEGEHLLRQPAALYNNENIPLHRESFLADPGNLSQREFHTYNLGGRSELPPAGASSSTVPALDSYSKDPYYSYRYGALSLDPYLPPPRREEALSGAYHVDGRRETYLADADPLRRRATDEMDNLYLKYAVEPLSDYDQAHQAAKPETLSRPVSSRYAFAGSSINMGGCVSGDVKGGKQAIGGALQRPTETPNNFLHNDAVDFFYRSHGLHALYTQIELALSASKLLDRDITSKSDPMAVVYIKKKDGKLEEIGRTEVILNNLNPSWIEKIRIAYQFEIVQPLIFHIYDVDTKYNNLPVKSLKLKDQEFLGEASCVLSEIATKQNQTLTLNLHNKDGHEVLENLGMLTIHAEEIVASRTAIELTFRCANLDNKDVFSLSDPFLRISRIVESGGSIPICKSEVVNNNLNPQWRPLHLSMQQFGSKASDNPLVIECFDFNSNGNHVLIGKLQKSVAELEKLHTERSGANFILPSHRGHEKVLKGQLFVDQFVEKEQYSFLDYISSGFELNFMVAVDFTASNGNPRNPDSLHYIDPSGRLNPYQRAIMEVGEVMQFYDSDRRFPAWGFGGRTSDGTISHCFNLNGSPSGSEVEGIEGIMAAYATGLHNVALAGPTLFGQVINTAAQVAGQSLSDNDKKYFVLLIITDGVLTDLQETKDALVKASDLPLSILIVGVGGADFKQMEILDADHGCRLESSTGRVATRDIVQFVPMREVHSGQLSAAQALLEELPGQFLSYVRCRDIKPLVRHGI >Manes.06G035023.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10193413:10194880:1 gene:Manes.06G035023.v8.1 transcript:Manes.06G035023.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSLFLFLLLPTSNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYTNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.11G053601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6630305:6631408:1 gene:Manes.11G053601.v8.1 transcript:Manes.11G053601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAVSFRRQGSSGIVWDDKFLSGELNQVANQNQEHQQQRELQEKLDINQERDVKPSSSGSITTIERSRSNGGQRAYRTGKVSPAIEPPSPRVSACGFCSAFGKPTKNHRKRAAFGVGFYCRRRFVSKNLGDSGLLLRITKQKWTVLIKVVAITLPKSLSQRPTITLPNRWPAWLLLVRQAN >Manes.06G012250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1904895:1908214:-1 gene:Manes.06G012250.v8.1 transcript:Manes.06G012250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRNRGRGVRTARLADIGRPHRDPTVTPPPLDRMADHVLLESREGHGDSASHGVESRTYPAAPSFPSAPAVAPPVASAAPPLVPPVAPVNPFQINADLGAFVAQVVTAAVTAKPRDSWEIVDRARHLGAYDFEGSSDADIADKWLKKVLKVFELMKLTDADKVDNVHGLLQGKADGWFDGIHRRHRVRLTWDQFIYEFCQEYLSESYRKGKQDAFLRLFQGSLSIREYVDKFEDLYCFVSDILPFEEAKCDRFRQGLHVNIRSSMTWFRGNNFRELVEAALNVEKVKQEEKEYEQRMSRKHMQDSQGFRERPAKRWSSSFQSQAGYRGSGRGSFVNTEQQVARPQSSQSSVA >Manes.10G007200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:863767:874837:-1 gene:Manes.10G007200.v8.1 transcript:Manes.10G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHIQRGFAGGPPPPPYACGSSTPRKPSLCLQFPSRKFHRHVTLSIRSRRQCALDSPGDGQNQTASRRRVLLTPLVALGASVLQSAASKAADVNKSQESSVSPPPQLQLVEAEKKAETAAETVEISSRIYDATAIGEPMAVGKDKRKVWEKLMNARIVYLGEAEQVPVKDDKELELEIVKNLRKLCVESEKSISLAMEAFPCDLQQQLNQYMNRSIDGETLKSYLSHWPPQRWQEYEPLLSYCRDNGVRIVACGTPLKVIRTVQSKGIRGLSKADRKLYAPPAGSGFISGFTSISRRSIDVNSPNQSVPFGPSSYLSAQSRVVEEYAMSQIILQAMLDGGATGMLVVVTGASHVLYGSRGTGLPARISKKMQKKNQVVILLDPERQLIRREGEVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRRRDALPQDIQEGLDLGLVSPEVLQNFFDLEQYPLLKELAHRFQGFRERLLADPKFLHRLAIEESISITTTLLAQYERRKENFFEELDYVITDTVRGSVVDFFTVWLPAPTLSFLSYADETNGPENIDVLKGLLGSIPDNAFQKNLPGKDWNLSHRFASVLLGGLKLSCVGFISSIGAVASSNMLYAIRQMINPALIASQRTKRSPILKTAVVYGCFLGISANLRYQIIAGLVEHRIADAFASQALLVNMLSFVVRTINSYWGTQQWVDLARSSGLQSKKGKPSSYQTPGSTTEATVGCNTAEDASIDEIKNQ >Manes.01G050766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9837602:9838252:1 gene:Manes.01G050766.v8.1 transcript:Manes.01G050766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLLYLTVSRLDIHFSVCLCARFQSTPKESHLVTIKIFFRYLISTPYVGLWYPKCKNFNLISYSDSDFAGSRMDRKSTSRTCQFLGHALVSWFSKKQTSVSLSIIKAKYIAAESCVAQILWMKQQFNDYGIKVDHHSRIKHIEIRHHFIRDHVQNGDINLEFVPIEKQLADIFTEPLSEEVFCRIKRELGMIDLE >Manes.02G100600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7831172:7835747:1 gene:Manes.02G100600.v8.1 transcript:Manes.02G100600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAASVPPWIPEDDLLLKNAVEAGASLEALAKGAVRFSRKFTVSELRDRWYSLLYDPVISAEASARMVEFELPVPNSKVSADISAKRKLETVRRMYYARRKKTCVRPGDSPSISFIGAPEASFGDNMPFDSQPLGGSCMFGERNQNHLGFVGKDNELPVDFPSFEKDNGCKSIPCNVRDGSVDFPSCERGQEMALEHPLPESIPSFHAEGLSSPLALWETMKDISPPAMPVSISIEDKDKQEAQLHRDGMELDGDKISLSGMDVVHSGAMLQDKHDVDVLNNSNAIPECDYADLSQSLLNFANEDELLLVDVNGKDTIDKFCYDGALVNFPNDIHGKALNIKESKTIVSDKNQILAKACRAELEPVVERSLSSDVEQHGFLCSEISLPSSTSAAHPCSSEQCDGEMECTLNSEDPDIPSNDDVFFPKEYASSIMRTQSKEMTRLDILPATNPGNQLGGCGMKCESPDDVASRQAGNAEGYTNQCRTTQETLISGPVGSVKAEALHACNATCLPLYAKPCSPKRVTSVPEAKPLTLNQEESDIDDEEIPSYSDIEAMILDMDLCPDDTDSSIDRQVSSYQNEDTRRTIIRLEQCAQSSVQRVIASRGAFAVLYGRHLKHYIRETEVILGRATNDMEVDIDLGREGPANKISRRQVAYVSVSSDAIHICI >Manes.02G100600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7831189:7836828:1 gene:Manes.02G100600.v8.1 transcript:Manes.02G100600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFELPVPNSKVSADISAKRKLETVRRMYYARRKKTCVRPGDSPSISFIGAPEASFGDNMPFDSQPLGGSCMFGERNQNHLGFVGKDNELPVDFPSFEKDNGCKSIPCNVRDGSVDFPSCERGQEMALEHPLPESIPSFHAEGLSSPLALWETMKDISPPAMPVSISIEDKDKQEAQLHRDGMELDGDKISLSGMDVVHSGAMLQDKHDVDVLNNSNAIPECDYADLSQSLLNFANEDELLLVDVNGKDTIDKFCYDGALVNFPNDIHGKALNIKESKTIVSDKNQILAKACRAELEPVVERSLSSDVEQHGFLCSEISLPSSTSAAHPCSSEQCDGEMECTLNSEDPDIPSNDDVFFPKEYASSIMRTQSKEMTRLDILPATNPGNQLGGCGMKCESPDDVASRQAGNAEGYTNQCRTTQETLISGPVGSVKAEALHACNATCLPLYAKPCSPKRVTSVPEAKPLTLNQEESDIDDEEIPSYSDIEAMILDMDLCPDDTDSSIDRQVSSYQNEDTRRTIIRLEQCAQSSVQRVIASRGAFAVLYGRHLKHYIRETEVILGRATNDMEVDIDLGREGPANKISRRQALIKMEADGSFLLKNLGKSLVFLNGKEIAIGQSMSLRSNSLIEIGGMAFVFEFDSKCVGQHLANATKIHQQNNIKYE >Manes.02G100600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7831196:7835739:1 gene:Manes.02G100600.v8.1 transcript:Manes.02G100600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFELPVPNSKVSADISAKRKLETVRRMYYARRKKTCVRPGDSPSISFIGAPEASFGDNMPFDSQPLGGSCMFGERNQNHLGFVGKDNELPVDFPSFEKDNGCKSIPCNVRDGSVDFPSCERGQEMALEHPLPESIPSFHAEGLSSPLALWETMKDISPPAMPVSISIEDKDKQEAQLHRDGMELDGDKISLSGMDVVHSGAMLQDKHDVDVLNNSNAIPECDYADLSQSLLNFANEDELLLVDVNGKDTIDKFCYDGALVNFPNDIHGKALNIKESKTIVSDKNQILAKACRAELEPVVERSLSSDVEQHGFLCSEISLPSSTSAAHPCSSEQCDGEMECTLNSEDPDIPSNDDVFFPKEYASSIMRTQSKEVSYSSYSHANLKNDKQQQSLMNKEANPAQSRIAPQMTRLDILPATNPGNQLGGCGMKCESPDDVASRQAGNAEGYTNQCRTTQETLISGPVGSVKAEALHACNATCLPLYAKPCSPKRVTSVPEAKPLTLNQEESDIDDEEIPSYSDIEAMILDMDLCPDDTDSSIDRQVSSYQNEDTRRTIIRLEQCAQSSVQRVIASRGAFAVLYGRHLKHYIRETEVILGRATNDMEVDIDLGREGPANKISRRQALIKMEADGSFLLKNLGKSLVFLNGKEIAIGQSMSLRSNSLIEIGGMAFVFEFDSKCVGQHLANATKIHQQNNIKYE >Manes.02G100600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7831196:7835739:1 gene:Manes.02G100600.v8.1 transcript:Manes.02G100600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAASVPPWIPEDDLLLKNAVEAGASLEALAKGAVRFSRKFTVSELRDRWYSLLYDPVISAEASARMVEFELPVPNSKVSADISAKRKLETVRRMYYARRKKTCVRPGDSPSISFIGAPEASFGDNMPFDSQPLGGSCMFGERNQNHLGFVGKDNELPVDFPSFEKDNGCKSIPCNVRDGSVDFPSCERGQEMALEHPLPESIPSFHAEGLSSPLALWETMKDISPPAMPVSISIEDKDKQEAQLHRDGMELDGDKISLSGMDVVHSGAMLQDKHDVDVLNNSNAIPECDYADLSQSLLNFANEDELLLVDVNGKDTIDKFCYDGALVNFPNDIHGKALNIKESKTIVSDKNQILAKACRAELEPVVERSLSSDVEQHGFLCSEISLPSSTSAAHPCSSEQCDGEMECTLNSEDPDIPSNDDVFFPKEYASSIMRTQSKEMTRLDILPATNPGNQLGGCGMKCESPDDVASRQAGNAEGYTNQCRTTQETLISGPVGSVKAEALHACNATCLPLYAKPCSPKRVTSVPEAKPLTLNQEESDIDDEEIPSYSDIEAMILDMDLCPDDTDSSIDRQVSSYQNEDTRRTIIRLEQCAQSSVQRVIASRGAFAVLYGRHLKHYIRETEVILGRATNDMEVDIDLGREGPANKISRRQVAYVSVSSDAIHICI >Manes.02G100600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7831189:7836828:1 gene:Manes.02G100600.v8.1 transcript:Manes.02G100600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAASVPPWIPEDDLLLKNAVEAGASLEALAKGAVRFSRKFTVSELRDRWYSLLYDPVISAEASARMVEFELPVPNSKVSADISAKRKLETVRRMYYARRKKTCVRPGDSPSISFIGAPEASFGDNMPFDSQPLGGSCMFGERNQNHLGFVGKDNELPVDFPSFEKDNGCKSIPCNVRDGSVDFPSCERGQEMALEHPLPESIPSFHAEGLSSPLALWETMKDISPPAMPVSISIEDKDKQEAQLHRDGMELDGDKISLSGMDVVHSGAMLQDKHDVDVLNNSNAIPECDYADLSQSLLNFANEDELLLVDVNGKDTIDKFCYDGALVNFPNDIHGKALNIKESKTIVSDKNQILAKACRAELEPVVERSLSSDVEQHGFLCSEISLPSSTSAAHPCSSEQCDGEMECTLNSEDPDIPSNDDVFFPKEYASSIMRTQSKEMTRLDILPATNPGNQLGGCGMKCESPDDVASRQAGNAEGYTNQCRTTQETLISGPVGSVKAEALHACNATCLPLYAKPCSPKRVTSVPEAKPLTLNQEESDIDDEEIPSYSDIEAMILDMDLCPDDTDSSIDRQVSSYQNEDTRRTIIRLEQCAQSSVQRVIASRGAFAVLYGRHLKHYIRETEVILGRATNDMEVDIDLGREGPANKISRRQALIKMEADGSFLLKNLGKSLVFLNGKEIAIGQSMSLRSNSLIEIGGMAFVFEFDSKCVGQHLANATKIHQQNNIKYE >Manes.02G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7831196:7835739:1 gene:Manes.02G100600.v8.1 transcript:Manes.02G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAASVPPWIPEDDLLLKNAVEAGASLEALAKGAVRFSRKFTVSELRDRWYSLLYDPVISAEASARMVEFELPVPNSKVSADISAKRKLETVRRMYYARRKKTCVRPGDSPSISFIGAPEASFGDNMPFDSQPLGGSCMFGERNQNHLGFVGKDNELPVDFPSFEKDNGCKSIPCNVRDGSVDFPSCERGQEMALEHPLPESIPSFHAEGLSSPLALWETMKDISPPAMPVSISIEDKDKQEAQLHRDGMELDGDKISLSGMDVVHSGAMLQDKHDVDVLNNSNAIPECDYADLSQSLLNFANEDELLLVDVNGKDTIDKFCYDGALVNFPNDIHGKALNIKESKTIVSDKNQILAKACRAELEPVVERSLSSDVEQHGFLCSEISLPSSTSAAHPCSSEQCDGEMECTLNSEDPDIPSNDDVFFPKEYASSIMRTQSKEVSYSSYSHANLKNDKQQQSLMNKEANPAQSRIAPQMTRLDILPATNPGNQLGGCGMKCESPDDVASRQAGNAEGYTNQCRTTQETLISGPVGSVKAEALHACNATCLPLYAKPCSPKRVTSVPEAKPLTLNQEESDIDDEEIPSYSDIEAMILDMDLCPDDTDSSIDRQVSSYQNEDTRRTIIRLEQCAQSSVQRVIASRGAFAVLYGRHLKHYIRETEVILGRATNDMEVDIDLGREGPANKISRRQALIKMEADGSFLLKNLGKSLVFLNGKEIAIGQSMSLRSNSLIEIGGMAFVFEFDSKCVGQHLANATKIHQQNNIKYE >Manes.17G048400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24571015:24572751:-1 gene:Manes.17G048400.v8.1 transcript:Manes.17G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDKRAAVKPNGNCDAAAASGAKGVHFRGVRKRPWGRYAAEIRDPIKKSRVWLGTFDTAEEAARAYDTAAREFRGAKAKTNFPMESKNVNEIIIVDKKKDAGANKHSPSPSSTVESSSRETPALMMESSSALDLNLDPFNAVRFPFQPVPTVGGAFAATVMKHQNLLYFDAMVKSQYQRLLFDHQQKHLLRQAMSTAGNGYGSGGVGGVHSDSDSSSVVDLNLNEINPPRKSLDFDLNLPPKPEFL >Manes.14G015536.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1072251:1073134:1 gene:Manes.14G015536.v8.1 transcript:Manes.14G015536.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFKFDRFGVRYLKAKNSAEKFTIVQFGVRPFCCTIAARSIVFTTPSTLEAALRSDGTAVPGGPSIASGPKRSPRNLPKKFEDLP >Manes.17G026498.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20312842:20313077:1 gene:Manes.17G026498.v8.1 transcript:Manes.17G026498.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRPTWFMTSTITCSTIVYNGQDLQPA >Manes.S025852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2191707:2191865:1 gene:Manes.S025852.v8.1 transcript:Manes.S025852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.18G071900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6455200:6457612:1 gene:Manes.18G071900.v8.1 transcript:Manes.18G071900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRKTLLLEHQTWHLFSCPWLHAGLIHLIINLAGVIFLGIYLEQEFGPLRVGLIYIFSAFFGTLVTALFVRDSPIVSSSGAQLGLLGATISALVRNWRIYTNKFVAVMILFAVFACNFMLGLLPYIDNYSNIGGLISGFLLGFALLFTPQLRQVAQNKSGLYDSGVKSSFNWKQKLDRPVMRSVSLLVFAFLLVGFLVAALLGVNISQYCQWCAYFDCLPSKRWNCNDVTTSCEIMLSDTELTLTCLANGNFRVFPYTNISDARKKDICTLICS >Manes.18G071900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6454336:6457612:1 gene:Manes.18G071900.v8.1 transcript:Manes.18G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPKLDTNIQINPAAEAETTSPLDFIDSLQDLKTPFFKSRSRRRRSDTWVISMFVIFHLIAFVATMIVNDCWTNSHGDCSVTVLRRMSFQPLSENPLLGPSPSTLDKMGALRKTLLLEHQTWHLFSCPWLHAGLIHLIINLAGVIFLGIYLEQEFGPLRVGLIYIFSAFFGTLVTALFVRDSPIVSSSGAQLGLLGATISALVRNWRIYTNKFVAVMILFAVFACNFMLGLLPYIDNYSNIGGLISGFLLGFALLFTPQLRQVAQNKSGLYDSGVKSSFNWKQKLDRPVMRSVSLLVFAFLLVGFLVAALLGVNISQYCQWCAYFDCLPSKRWNCNDVTTSCEIMLSDTELTLTCLANGNFRVFPYTNISDARKKDICTLICS >Manes.15G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10330658:10338306:1 gene:Manes.15G129000.v8.1 transcript:Manes.15G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITGNLTSDQLQSFNSQGYLVLESFASPEEIDTMTKRMERLLDDFDCSSTASIFSTKNQQKLTDSYFFESAEKISFFFEEKAFGEDGNLRLPKELSINKVGHALHEHDPVFKNFSSSEKFSSMLRSLGYRRPVVIQSMYIFKQPGIGGEVVPHQDNSFLYTEPTTCTGLWLALEDATIQNGCLWAIPGSHKNGLVRRFLRGEQGVYFDRSFPSYDQKDFVPIEVKAGSLVIIHGDLIHQSFENQSPKSRHAYSLHVVDTDGCKWAQDNWIRRKVEPEPLYTS >Manes.10G109523.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26968238:26976583:-1 gene:Manes.10G109523.v8.1 transcript:Manes.10G109523.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGHNPELIRESQRRRFANVDIVDEIIRLDREWRQRQFELDNLRKELNKINKQIAQLKIAGEDATELIKNTNDNNQLTADKEAEVQQAYLALNKRLEAVGNLVHDSVPVSDKEANNAVIRFWGEKRSGPKLKNHVELVELLGIADTKKGANVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTALQTPFFIRKDIMAKCAQLAQFDEELYKVTGEGEDKYLIATAEQPICAYHLDDWIHPSQLPIRYAGYSSCFRKEAGLHGRDTLGIFRVHQFEKVEQFCLTSPNGNDSWDMHEEMIKNSEDFYKMLNIPYQVVSIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEVRYGQKKSNEQAKQYVHLLNSTLTATERTICCILENCQKENGVEVPEPLREYMGGKSFLPFQSNPSTEGKGKKSKA >Manes.05G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8708416:8713589:1 gene:Manes.05G095200.v8.1 transcript:Manes.05G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFAKTKAVKLRSHLDKYLIADDDGETVRQSRNGSSRKARWLVELVEGKQNVVRLKTYNGRYLTASDLHFLLGMTGKRVIQTLPEKISDLNLEWEPIRDGFQVKLRSWCGKFLRGNGGTPPWRNSVTHDEPHTGSTVRWILWDVEAVDAGETESLAEYLSSMSSFSSVPGDVLEAASDEYLGSEPGSPISVVSSVRTPRLTVIKSMSPRLSPKKSISNPFRSAMEFFHNAKAVRLRSHHEKYLHAEEDEDYVCQDRNGSSKQARWTVEFVPGADNLIRLKSCHGKYLTASNQPFLLGMTGCKVLQTLPRRLDSSVEWEPIREGNLVKLRTRYGNFLRANGGLPPWRNSVTHDIPHRTATQDWILWHVDIVEIQVRSSRQEGSEPQPISHLDSLDLDSSSPSSVSIKSGSFSRQESTESNRSSPPKSEGRTIYYHVADESGNVDCDIVEASSLNFKGNDFHGLTQKLREETGLQDIIVCSRSPLNGKLYPLRLQLPPNNADMHVIVIESSSKLARGF >Manes.15G106300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8433857:8438865:-1 gene:Manes.15G106300.v8.1 transcript:Manes.15G106300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRQSSNAPTGFRVHAPLVDSVSCYCKVDSGLKTVAEARKFVPGSKLCLQPDINPNAHKSKNLRRERTRVQLPLLPGLPDDLAIACLIRVPRAEHRKLRLVCKRWYRLLAGNFFYSLRKSLGMAEEWVYVIKRDRDGKISWNAFDPVYQLWQPLPPVPREYSEALGFGCAVLSGCHLYLFGGKDPLRGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYDGKWFLKGLGSHREVMSEAYEPETNSWTPVSEGMVSGWRNPSISLNGRLYALDCKDGCKLRVYDGATDSWNKFIDSKLHLGNSHALEAAALVPLNGKLCIVRNNMSISLVDVSSPDKHVESNPHLWENIAGRGHFRTLVTNIWSSISGRGGLKSHIVHCQVLQA >Manes.15G106300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8433857:8438563:-1 gene:Manes.15G106300.v8.1 transcript:Manes.15G106300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRQSSNAPTGFRVHAPLVDSVSCYCKVDSGLKTVAEARKFVPGSKLCLQPDINPNAHKSKNLRRERTRVQLPLLPGLPDDLAIACLIRVPRAEHRKLRLVCKRWYRLLAGNFFYSLRKSLGMAEEWVYVIKRDRDGKISWNAFDPVYQLWQPLPPVPREYSEALGFGCAVLSGCHLYLFGGKDPLRGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYDGKWFLKGLGSHREVMSEAYEPETNSWTPVSEGMVSGWRNPSISLNGRLYALDCKDGCKLRVYDGATDSWNKFIDSKLHLGNSHALEAAALVPLNGKLCIVRNNMSISLVDVSSPDKHVESNPHLWENIAGRGHFRTLVTNIWSSISGRGGLKSHIVHCQVLQA >Manes.10G015100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1491755:1495503:-1 gene:Manes.10G015100.v8.1 transcript:Manes.10G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNDSVQTNRHASAQKTNSKVGVKRIDAALDALRAMGFPEALVRRTVRNLLKVYGGDESWSFIEENSYRLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDDVVQDNAEEQVNSIHGQSKRVPSPNIESSATKTLALQVSEPVEAVPCSNGETCDAKLGRASPTSQISAGMCSSQLFCLPQVESLPAQRSKPCYGWLSDDEE >Manes.10G015100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1491755:1494998:-1 gene:Manes.10G015100.v8.1 transcript:Manes.10G015100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPEALVRRTVRNLLKVYGGDESWSFIEENSYRLLIDSILEEQEKSERENYEPKLLENSDPPLLIENGVSKDDVVQDNAEEQVNSIHGQSKRVPSPNIESSATKTLALQVSEPVEAVPCSNGETCDAKLGRASPTSQISAGMCSSQLFCLPQVESLPAQRSKPCYGWLSDDEE >Manes.01G260000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41619072:41621856:-1 gene:Manes.01G260000.v8.1 transcript:Manes.01G260000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATKRHAVVTGANKGIGFEICRQLASIGIVVVLTARDEKRGLEAFQKLKDSGFSDLIVFHQLDVADTASIATLANFIKTQFGKLDILVNNAGVGGIKVDVDALKAESDKGSGSVNWHKISTQSYELAEECLTINYYGAKRMVQAFVPLLQLSDSPRIVNVSSSMGKLKNVSNEWAKSVLGDADNLSEERIDEVLSKYLKDFKEGSLESEGWPTFMSVYILSKAAMNAYTRIVAKKLPTFHVNCVCPGYVKTDINLNRGILSVEEGAESPVRLALLPNDGPSGCFFDRKEESPF >Manes.12G155100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36298697:36302082:-1 gene:Manes.12G155100.v8.1 transcript:Manes.12G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGGRFSRGLLPLLAFQTVAEYYRLPWKPPVTAGLLAANTLIYLRPAFLHPILPSIHEVWFNPYLILKYRDMKRFFLSPFYHVGEPHLVYNMLSLLWKGIQLETSMGSSEFASMVAALLTMSQGITLLLAKSLLLFFDYEKPFYSEYAVGFSGVLFAMKVVLNSQSENYTYVHGLVVPARYAAWAELVLIQIFVPGVSFLGHLGGILAGILYLKLKGSFSGLDPLTSVVRNLTGILSWPLKFMKGLLRLRRPRISGRGTVGGSQAGRTVSGVWRCQACTFDNSVWLSVCEMCGTSRGHSGFSSSQSQHQYDNLTLAEIRRRRIERFGR >Manes.13G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1106857:1108685:-1 gene:Manes.13G006100.v8.1 transcript:Manes.13G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCEILRAPICFSRCRCSTSIRDKSKRSISSPVKMRMVGLTGGIASGKSIVSNLFKSQGIPVVDADLVARDVLKKDTGGYKKVVAAFGEDILEANGEVDRPKLGQIVFSDPAKRQLLNRLLAPFISSGIFYEIFKLWLKGYNVIVLDIPLLFETKMDKWTKPVVVVWVDTETQLQRLMGRDGSSEEDARNRINAQMALDLKRSKADIVIDNTGSFEDLEEQFKQVLLQVTRPLTWSEFWLSRQGALTLLASTVVALVVCKNIHGIL >Manes.13G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33720725:33725052:1 gene:Manes.13G129000.v8.1 transcript:Manes.13G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVLFLRLLCGVLAVLGVSLVNADDPYRYYTWTVTYGTISPLGEPQQVILINGQFPGPQLDVVTNDNIILNLVNKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGTFTYFPSTLFHKAAGGYGAINVYERPRIPIPFSIPDGDFSLLIGDWYKTNHKALQASLDSGKPLAFPDGVLINGQTHTTFSADQGKTYMFRISNMGLSTSLNFRIQGHMMKLVEVEGSHTIQNIYDSLDVHVGQSVAVLVTLNQPPKDYYIVASTRFTKQVLTATAVLHYSNSKAPASGPLPAPPAGQFHWSMRQARTYRWNLTASAARPNPQGSYHYGKITPTKTIVLANSAPLINGKKRYAVNKVSYVNPDTPLKLADYFNIPGVFSVDSIQSLPSGGPAYVATSVIPASLHDFVEVIFQNNEYAMQSWHLDGYDFWVVGYGKGQWTPARRRSYNLVDALTRHTAQVYPNSWTAILISLDNQGMWNMRSAMWERQYLGQQLYLRVWTSVHSLANEYDIPNNALLCGKAAGRHP >Manes.09G155900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35153037:35154784:-1 gene:Manes.09G155900.v8.1 transcript:Manes.09G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFAAAASTPRPLKIITGADSFGCNLKDALVSHLRSLNIDVEDLGNSSYYSIAAEVGRRVSAANSASPSSPSPQIRGLVACGTGVGVSIFANKFPGVFASTCLSTAEAINTRSINNCNVLAVSGMSTSPESAIEILDAWLNTPFKAPCPASNFGPWSEEISSFFDNSLEEMPKIGKETANESKEETLTPCSLCCLVKNRKLDPIDIIPGGSMKIVRESPTSAIVSFKAGSVEPAHHHTFGHDLVVMKGSKRVWNLSKKTKYDLGVGDYLFTPAGDVHRVKYFEDTEFFIKWEGQWDIFFDEDLEVAKSAIEKEAEDGFEWIK >Manes.11G031075.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3060836:3064982:1 gene:Manes.11G031075.v8.1 transcript:Manes.11G031075.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDGRKAVVSVTLWLIFVLLSATFRNLKLAAAKDDKLAEKRKLAASNSGFLSIDCGVDQDYFDEKMEIFYKSDKDFTSTGENKYVSPGYDDDDDSSYTGRILHSLRFFPKGRKNCYKLRPENGKNQNYLIRAFFKYGNYDTQNRMPKFDVYLGVNFWMTVYPSNASQPWMNEIIYFSLTDVINICLVNTLSGTPFISALELRPLNNSIYKIESKSLSTLRRDDLGNCCRTVRYKNDVYDRIWDTRDTSAINECISLNTTSNIEVQSINNTLKTPVDVLRTAVQLRSPLRSFGRYYSGGYNYGNESEYYACFHFAEILPISQGKGKRPREFTISFNGANYRRITLHYLNPLTTCYGPRKSRVNGFVDFIINQTVRSDLPPILNAFELFYVMPPLVSPTDPADVDAMTVIQQMYNINKDDSWQGDPCLPRDYSWAGLNCSYDTNSPRIISLGSRLTGKISSSFSNLTAIRFLDLSGNELTGTVPEFLAQLPNLTVLNLSGNKLTGSVPQSLVQKANNGLLQLSLEGNPSLCQTDSCEKKKHNVLLPVVISFATVMVLLFLSSIFFFWRMKRQEATSQSKKEGLVISTNRSFSYSEIVSNTNNFETIIGEGGFGKVYFGTLKDNVQVAVKLLSQNSRQGYKEFQSEAQLLMIVHHRNLVSLIGHCDDRHNKALIYEYMANGNLREHLTETSGSILNWNERLQIAADAAQGLEYLHNGCKPPIIHRDLKTSNILLNEKLRAKISDFGLSRAFTNESGSHITTRPAGTIGYLDPQAQSSGNFNKKSDIYSFGIILLELITGQPAIRRDVNGEIIRIQEWVTPIIENGDVRSIVDPRLQGDFDTNSAWKAVEIALSCVLNTVTRRPDMTDVLIELKECLGMVTAVVGSQRMDRGRTRSINSLEMRSLEIYTETAPSPR >Manes.11G031075.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3060743:3065077:1 gene:Manes.11G031075.v8.1 transcript:Manes.11G031075.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDGRKAVVSVTLWLIFVLLSATFRNLKLAAAKDDKLAEKRKLAASNSGFLSIDCGVDQDYFDEKMEIFYKSDKDFTSTGENKYVSPGYDDDDDSSYTGRILHSLRFFPKGRKNCYKLRPENGKNQNYLIRAFFKYGNYDTQNRMPKFDVYLGVNFWMTVYPSNASQPWMNEIIYFSLTDVINICLVNTLSGTPFISALELRPLNNSIYKIESKSLSTLRRDDLGNCCRTVRYKNDVYDRIWDTRDTSAINECISLNTTSNIEVQSINNTLKTPVDVLRTAVQLRSPLRSFGRYYSGGYNYGNESEYYACFHFAEILPISQGKGKRPREFTISFNGANYRRITLHYLNPLTTCYGPRKSRVNGFVDFIINQTVRSDLPPILNAFELFYVMPPLVSPTDPADVDAMTVIQQMYNINKDDSWQGDPCLPRDYSWAGLNCSYDTNSPRIISLDLSGSRLTGKISSSFSNLTAIRFLDLSGNELTGTVPEFLAQLPNLTVLNLSGNKLTGSVPQSLVQKANNGLLQLSLEGNPSLCQTDSCEKKKHNVLLPVVISFATVMVLLFLSSIFFFWRMKRQEATSQSKKEGLVISTNRSFSYSEIVSNTNNFETIIGEGGFGKVYFGTLKDNVQVAVKLLSQNSRQGYKEFQSEAQLLMIVHHRNLVSLIGHCDDRHNKALIYEYMANGNLREHLTETSGSILNWNERLQIAADAAQGLEYLHNGCKPPIIHRDLKTSNILLNEKLRAKISDFGLSRAFTNESGSHITTRPAGTIGYLDPQAQSSGNFNKKSDIYSFGIILLELITGQPAIRRDVNGEIIRIQEWVTPIIENGDVRSIVDPRLQGDFDTNSAWKAVEIALSCVLNTVTRRPDMTDVLIELKECLGMVTAVVGSQRMDRGRTRSINSLEMRSLEIYTETAPSPR >Manes.11G151600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31560020:31562419:-1 gene:Manes.11G151600.v8.1 transcript:Manes.11G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFKKPTKNNRGFFVNMKLVHRHGRLQSQQEKTNLCFKYYKFFLWVSLSLYFLSSYCISHKPIPLSKTHYFSKSNVVSRALFESTNSTFIQQPKNNRALLKDLKIYVYELPSKYNTDWLANERCSNHLFASEVAIHRAISNSDDVRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLMSSAVRLISTNFPFWNRSQGADHVFVASHDFGSCFHTLEDKAIEDGVPEFLKNSIILQTFGVNYYHPCQDVENVVIPPYVFPESVRSSLLKAPLTDRRDIWVFFRGKMEVHPKNVSGRFYSRKVRTELWRKFSGDRRFYLQRHRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPDAVPWPEISLTVAERDVAKLGMILEHVAATNLSAIQKNLWDPAVKRALLFNNQIEEGDATWHVLYALAQKLDRSHRTVRVWD >Manes.04G033100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4172703:4184445:-1 gene:Manes.04G033100.v8.1 transcript:Manes.04G033100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLSAYQFIASLGYDKNGGGAVGHVAIFVLKVAALESVRRVSRSKCPPLWHGLQALQVLCYPPFKWIRRWGPLNSLVKGMQMFSRPLLLLSVATAFSEQSNSDIASNDVGDSQAHSETHSESSLIHSSHDTRTADDNPESIETENWLMQLLEELENQGMTLPERINEDELHRFFAAANGDFSCFLSSIKKTIRWRETYRILSEQELEMWSNMVFWHGFDVERRPCLIVRLGLACLNLPFYERPRFAQAVISQVEHGVLHLVDKDNPQITVLVDCDGISPLRLPMQIVRSCSSLLQDNFPNRLGHLLVIRLPPVVRVIAQTFIQVLKPTTRKKLRIEGNKYHKVICDYIHKLPSYLGGNCSCDICSTIRIHAMRQSQAINEIRMIDSTEYIGDGEDLASPHLNFGADVPINESWDHMWRTAVIGVLMVWVFIALVGILHDPETV >Manes.04G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4172703:4184445:-1 gene:Manes.04G033100.v8.1 transcript:Manes.04G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPYFSGLSENSEATTIAARKKVYKRNLGASDSKPFPQSAYQFIASLGYDKNGGGAVGHVAIFVLKVAALESVRRVSRSKCPPLWHGLQALQVLCYPPFKWIRRWGPLNSLVKGMQMFSRPLLLLSVATAFSEQSNSDIASNDVGDSQAHSETHSESSLIHSSHDTRTADDNPESIETENWLMQLLEELENQGMTLPERINEDELHRFFAAANGDFSCFLSSIKKTIRWRETYRILSEQELEMWSNMVFWHGFDVERRPCLIVRLGLACLNLPFYERPRFAQAVISQVEHGVLHLVDKDNPQITVLVDCDGISPLRLPMQIVRSCSSLLQDNFPNRLGHLLVIRLPPVVRVIAQTFIQVLKPTTRKKLRIEGNKYHKVICDYIHKLPSYLGGNCSCDICSTIRIHAMRQSQAINEIRMIDSTEYIGDGEDLASPHLNFGADVPINESWDHMWRTAVIGVLMVWVFIALVGILHDPETV >Manes.15G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6781491:6782472:1 gene:Manes.15G088500.v8.1 transcript:Manes.15G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILLAKRITITDGFKALLKHKPPFVCFSTAFERAEGSKGMTETRGEGTGPDGTPGTSSGAGKDPVSQSMYEAKQQALDMDEKSTTGAAQFVADTAKEGVRKATEMADVVGDTGKKTVDGAWEAMKLTRRS >Manes.14G082200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6833306:6849727:-1 gene:Manes.14G082200.v8.1 transcript:Manes.14G082200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKIDVRYRVQGDVVLECVHLEEDLVHEEMIFRVMFHTAFIRANILMLCRDEIDTMWDTKEQFPKDFKAEVHFGDGHAVMPNLEAVIANEDGNEAETTSPEEFFEAEEIFSNVVDAQEAKGDYDAHTDYNNSTVEIEHKEVFRDTFADGNLKEDGKLDFNVDAVKDIAVDDVKYKMHEKVYPDIQAVKDIAVDDGDKKVDTMIVAVNTQSNRAIKEMDEDVSGDFKAMEDRANGENNTTKVVQATIPHLKLSVDVGSQKPEKIVPPSPRNTKPVVTDTTVVKQKTKQLEPHGTNGKQTRPNTVPRWVTPNKAPFANSMHVAHPPSRYNSAPPALAFCTSPKDSNVDAYVNTSDTVAAGDLAPNELTSPAVVSPHFGPANVVSLRPQIPPPPPSHSSNAFPPQTSFKAPPPPPPPPPVASTSFSRHNMEIVSHHPSSPPPPPPSNRQYIGMVLPPTPPPPPWKSSYSSNLVAPTLGAPPPPPPPPPPPPPPHPSHSPHSVNVSTVPNIGEVGIPPPPPPPPPSLPNQGYSSPPQRPQPQSPPPPPPPTTYGAPPPPPPPPHGAPPPPPPPPLARGAPPPPPPPPKGHGSPPPPPPPPKGHGSPPPPPPPPRHGTPPPPPPPAPRHGIGTPSPPPPPPGPPPGRGAPTPPPPPPPGHGTPPPPPPPLGRGAPPPPPPPGQGAPFRGGGPPPPPPPGGRVPGPPPPPGPPGVGPPPPPPLGGKGAIADTRGLASGRGRGFSRSSAGAAPRRSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSEIETLFSAVVPKPAGSGGKGGGKHKSAGSKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESTLDADQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQITEFKKSLNTVNSACGEVRNSLKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKSPALLDFHLDLVSLEAASKIQLKSLAEEMQAIIKGLEKVKQELVASENDGPVSEIFRKTLKEFISVAETEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELEKKKAAKEAEMEKAKGTNLTKKSVN >Manes.14G082200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6833306:6848780:-1 gene:Manes.14G082200.v8.1 transcript:Manes.14G082200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLTFFMTAGCFIFSFFLLFVVFDCCFSTDVMEEDEYKVYLGGIVAQLQDYYADASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPMLSLEMIHHFLRSSGSWLSVEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMIYKQAPKELLHLLSPLNPQPSQLRYLQYISRQSFGSDWPPSDTPLVLDCLMLRALPLFEGGKGCRPVVRVYGQDPSKPANRTSKLLFSTSKTKKNVRHYLQEECMLVKIDVRYRVQGDVVLECVHLEEDLVHEEMIFRVMFHTAFIRANILMLCRDEIDTMWDTKEQFPKDFKAEVHFGDGHAVMPNLEAVIANEDGNEAETTSPEEFFEAEEIFSNVVDAQEAKGDYDAHTDYNNSTVEIEHKEVFRDTFADGNLKEDGKLDFNVDAVKDIAVDDVKYKMHEKVYPDIQAVKDIAVDDGDKKVDTMIVAVNTQSNRAIKEMDEDVSGDFKAMEDRANGENNTTKVVQATIPHLKLSVDVGSQKPEKIVPPSPRNTKPVVTDTTVVKQKTKQLEPHGTNGKQTRPNTVPRWVTPNKAPFANSMHVAHPPSRYNSAPPALAFCTSPKDSNVDAYVNTSDTVAAGDLAPNELTSPAVVSPHFGPANVVSLRPQIPPPPPSHSSNAFPPQTSFKAPPPPPPPPPVASTSFSRHNMEIVSHHPSSPPPPPPSNRQYIGMVLPPTPPPPPWKSSYSSNLVAPTLGAPPPPPPPPPPPPPPHPSHSPHSVNVSTVPNIGEVGIPPPPPPPPPSLPNQGYSSPPQRPQPQSPPPPPPPTTYGAPPPPPPPPHGAPPPPPPPPLARGAPPPPPPPPKGHGSPPPPPPPPKGHGSPPPPPPPPRHGTPPPPPPPAPRHGIGTPSPPPPPPGPPPGRGAPTPPPPPPPGHGTPPPPPPPLGRGAPPPPPPPGQGAPFRGGGPPPPPPPGGRVPGPPPPPGPPGVGPPPPPPLGGKGAIADTRGLASGRGRGFSRSSAGAAPRRSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSEIETLFSAVVPKPAGSGGKGGGKHKSAGSKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESTLDADQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQITEFKKSLNTVNSACGEVRNSLKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKSPALLDFHLDLVSLEAASKIQLKSLAEEMQAIIKGLEKVKQELVASENDGPVSEIFRKTLKEFISVAETEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELEKKKAAKEAEMEKAKGTNLTKKSVN >Manes.14G082200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6833306:6849727:-1 gene:Manes.14G082200.v8.1 transcript:Manes.14G082200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVMEEDEYKVYLGGIVAQLQDYYADASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPMLSLEMIHHFLRSSGSWLSVEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMIYKQAPKELLHLLSPLNPQPSQLRYLQYISRQSFGSDWPPSDTPLVLDCLMLRALPLFEGGKGCRPVVRVYGQDPSKPANRTSKLLFSTSKTKKNVRHYLQEECMLVKIDVRYRVQGDVVLECVHLEEDLVHEEMIFRVMFHTAFIRANILMLCRDEIDTMWDTKEQFPKDFKAEVHFGDGHAVMPNLEAVIANEDGNEAETTSPEEFFEAEEIFSNVVDAQEAKGDYDAHTDYNNSTVEIEHKEVFRDTFADGNLKEDGKLDFNVDAVKDIAVDDVKYKMHEKVYPDIQAVKDIAVDDGDKKVDTMIVAVNTQSNRAIKEMDEDVSGDFKAMEDRANGENNTTKVVQATIPHLKLSVDVGSQKPEKIVPPSPRNTKPVVTDTTVVKQKTKQLEPHGTNGKQTRPNTVPRWVTPNKAPFANSMHVAHPPSRYNSAPPALAFCTSPKDSNVDAYVNTSDTVAAGDLAPNELTSPAVVSPHFGPANVVSLRPQIPPPPPSHSSNAFPPQTSFKAPPPPPPPPPVASTSFSRHNMEIVSHHPSSPPPPPPSNRQYIGMVLPPTPPPPPWKSSYSSNLVAPTLGAPPPPPPPPPPPPPPHPSHSPHSVNVSTVPNIGEVGIPPPPPPPPPSLPNQGYSSPPQRPQPQSPPPPPPPTTYGAPPPPPPPPHGAPPPPPPPPLARGAPPPPPPPPKGHGSPPPPPPPPKGHGSPPPPPPPPRHGTPPPPPPPAPRHGIGTPSPPPPPPGPPPGRGAPTPPPPPPPGHGTPPPPPPPLGRGAPPPPPPPGQGAPFRGGGPPPPPPPGGRVPGPPPPPGPPGVGPPPPPPLGGKGAIADTRGLASGRGRGFSRSSAGAAPRRSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSEIETLFSAVVPKPAGSGGKGGGKHKSAGSKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESTLDADQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQITEFKKSLNTVNSACGEVRNSLKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKSPALLDFHLDLVSLEAASKIQLKSLAEEMQAIIKGLEKVKQELVASENDGPVSEIFRKTLKEFISVAETEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELEKKKAAKEAEMEKAKGTNLTKKSVN >Manes.14G082200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6838509:6849727:-1 gene:Manes.14G082200.v8.1 transcript:Manes.14G082200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVMEEDEYKVYLGGIVAQLQDYYADASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPMLSLEMIHHFLRSSGSWLSVEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMIYKQAPKELLHLLSPLNPQPSQLRYLQYISRQSFGSDWPPSDTPLVLDCLMLRALPLFEGGKGCRPVVRVYGQDPSKPANRTSKLLFSTSKTKKNVRHYLQEECMLVKIDVRYRVQGDVVLECVHLEEDLVHEEMIFRVMFHTAFIRANILMLCRDEIDTMWDTKEQFPKDFKAEVHFGDGHAVMPNLEAVIANEDGNEAETTSPEEFFEAEEIFSNVVDAQEAKGDYDAHTDYNNSTVEIEHKEVFRDTFADGNLKEDGKLDFNVDAVKDIAVDDVKYKMHEKVYPDIQAVKDIAVDDGDKKVDTMIVAVNTQSNRAIKEMDEDVSGDFKAMEDRANGENNTTKVVQATIPHLKLSVDVGSQKPEKIVPPSPRNTKPVVTDTTVVKQKTKQLEPHGTNGKQTRPNTVPRWVTPNKAPFANSMHVAHPPSRYNSAPPALAFCTSPKDSNVDAYVNTSDTVAAGDLAPNELTSPAVVSPHFGPANVVSLRPQIPPPPPSHSSNAFPPQTSFKAPPPPPPPPPVASTSFSRHNMEIVSHHPSSPPPPPPSNRQYIGMVLPPTPPPPPWKSSYSSNLVAPTLGAPPPPPPPPPPPPPPHPSHSPHSVNVSTVPNIGEVGIPPPPPPPPPSLPNQGYSSPPQRPQPQSPPPPPPPTTYGAPPPPPPPPHGAPPPPPPPPLARGAPPPPPPPPKGHGSPPPPPPPPKGHGSPPPPPPPPRHGTPPPPPPPAPRHGIGTPSPPPPPPGPPPGRGAPTPPPPPPPGHGTPPPPPPPLGRGAPPPPPPPGQGAPFRGGGPPPPPPPGGRVPGPPPPPGPPGVGPPPPPPLGGKGAIADTRGLASGRGRGFSRSSAGAAPRRSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSEIETLFSAVVPKPAGSGGKGGGKHKSAGSKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESTLDADQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQITEFKKSLNTVNSACGEVRNSLKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNSKMTLMHYLCKV >Manes.14G082200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6833306:6849727:-1 gene:Manes.14G082200.v8.1 transcript:Manes.14G082200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEYKVYLGGIVAQLQDYYADASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPMLSLEMIHHFLRSSGSWLSVEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMIYKQAPKELLHLLSPLNPQPSQLRYLQYISRQSFGSDWPPSDTPLVLDCLMLRALPLFEGGKGCRPVVRVYGQDPSKPANRTSKLLFSTSKTKKNVRHYLQEECMLVKIDVRYRVQGDVVLECVHLEEDLVHEEMIFRVMFHTAFIRANILMLCRDEIDTMWDTKEQFPKDFKAEVHFGDGHAVMPNLEAVIANEDGNEAETTSPEEFFEAEEIFSNVVDAQEAKGDYDAHTDYNNSTVEIEHKEVFRDTFADGNLKEDGKLDFNVDAVKDIAVDDVKYKMHEKVYPDIQAVKDIAVDDGDKKVDTMIVAVNTQSNRAIKEMDEDVSGDFKAMEDRANGENNTTKVVQATIPHLKLSVDVGSQKPEKIVPPSPRNTKPVVTDTTVVKQKTKQLEPHGTNGKQTRPNTVPRWVTPNKAPFANSMHVAHPPSRYNSAPPALAFCTSPKDSNVDAYVNTSDTVAAGDLAPNELTSPAVVSPHFGPANVVSLRPQIPPPPPSHSSNAFPPQTSFKAPPPPPPPPPVASTSFSRHNMEIVSHHPSSPPPPPPSNRQYIGMVLPPTPPPPPWKSSYSSNLVAPTLGAPPPPPPPPPPPPPPHPSHSPHSVNVSTVPNIGEVGIPPPPPPPPPSLPNQGYSSPPQRPQPQSPPPPPPPTTYGAPPPPPPPPHGAPPPPPPPPLARGAPPPPPPPPKGHGSPPPPPPPPKGHGSPPPPPPPPRHGTPPPPPPPAPRHGIGTPSPPPPPPGPPPGRGAPTPPPPPPPGHGTPPPPPPPLGRGAPPPPPPPGQGAPFRGGGPPPPPPPGGRVPGPPPPPGPPGVGPPPPPPLGGKGAIADTRGLASGRGRGFSRSSAGAAPRRSSLKPLHWSKVTRALQGSLWEELQRHGEPQIAPEFDVSEIETLFSAVVPKPAGSGGKGGGKHKSAGSKTDKVHLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESTLDADQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQITEFKKSLNTVNSACGEVRNSLKLKEIMKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNSKMTLMHYLCKVLAAKSPALLDFHLDLVSLEAASKIQLKSLAEEMQAIIKGLEKVKQELVASENDGPVSEIFRKTLKEFISVAETEVASVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELEKKKAAKEAEMEKAKGTNLTKKSVN >Manes.09G091100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27174120:27178236:-1 gene:Manes.09G091100.v8.1 transcript:Manes.09G091100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRLRSLLWWRKWAKKDWAIAAVGFTIIIFALSFLFDSRADDLDLTAADDLVDLTLLQNAKDRGAFCLDGSLPGYHFQRGFGSGSRNWLLHIEGGGWCNTIASCLDRKTTPLGSSRYMQRQVPFAGLLSKNPSQNPDFFNWNKVKIRYCDGASLAGHPESELKNVTKLYFRGQFIWDAFMDELLSIGLSNAKQALLSGCSAGGLATLIHCDNFRDLMPKDANVKCLADAGFFLDEKDILGNYTMRSFYHDVVNLQGVTKSLPKNCVSRMDSSKCLFPQEIIKNIRTPLFIVNPVYDFWQIHHILVPDGSDVHGHWQKCRMNLQYCNPGQIEILHGFRSSLLNALSDFQKKEEGGMFINSCFIHCQTWVADTWHSHTSPKINNKTIAEAVGDWYFNRRVVKEVDCPYPCNPTCYNMEFA >Manes.01G000372.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1107770:1113083:-1 gene:Manes.01G000372.v8.1 transcript:Manes.01G000372.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSGFSEEDQMSKGKIPFSYSSSSSPSSSSSQHKGNLLPLSQIYDNPKPQMGSWLGSKYDPVQEDTSRFNDSGAAVKLELMDVNDEQKQGIQEESASVAVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNDKGLLLNFEDRTGKAWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFHRGVGETGKDHLYIDWRRRPDAPDTTSHNPQHRHQQHHHFSSIPWSPLLMRPPMVPMMRRDHLHFSNPDRNAYYNSGGGGGSYYGYGYNSCNYSNMNANHCPPSGTIIYRRSTAPQQLGMGMVQWQQQGVGGVVEPMVYESVPVVQGKAAAKRLRLFGVNMDCPITDSEECDELSSATAIPPPHHATTALQAPQISSSSHHALQLRLYNGTPLPPITSSTQFFHKGKSSTSLDLDI >Manes.12G052802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4952094:4952429:1 gene:Manes.12G052802.v8.1 transcript:Manes.12G052802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLWALASVLLVVIGSGNTVQGITCIEALTELAPCNPFAGGTAPSPNPLCCSAVQNVNKEATTTEIRRQLCLCFQQAGSSAHINLQKLKQIPDLCHLQIPDPIDPTDCSK >Manes.02G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8221401:8223716:1 gene:Manes.02G105400.v8.1 transcript:Manes.02G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSSVSCSCTVVLCLVLFIFRGASAATFTLVNKCDYSVWPGILGSPKLETTGFELPKGSSRTFQVPTGWSGRFWGRTGCNFDDSGHGSCATADCGSSQVECNGNSATPPATLAEFTLGTGTQDFYDVSLVDGYNLPMVVDVNGGSGACASTGCVTDLNRKCPNELKVEGGGACRSACDAFGNPEYCCSGAYNTPSTCKPSTYSEMFKSACPKSYSYAYDDASSTFTCTGADYRVTFCPNLPSLKSARDSSPKATGTVPAAVQEAELASSWLASLATGDSTRSYPLSVVQFALVLVTSLILWYNIGL >Manes.08G151600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39157554:39160532:1 gene:Manes.08G151600.v8.1 transcript:Manes.08G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPCVGKWARKSVSTIISATSFIVFLIFDFLDIIFCIIYRYLDGFFEGKPSRCFCGDRGEIQGSVGDDGDSELSETLYRRNNVFRGMRFLGLGRNWENSKKSFGGGCGRRIWSDCGCESCVSWMKKDGGHSLHVVVKEPSTAAGDLTENVIFLHGFLSSSSFWTETVFPNLSEPVKRNYRLFAVDLLGFGRSPKPKDCYYTLRDHLEMIEKSVINPFVLKSFHIVAHSMGCTISLALAAKYSNCVKSITLVAPPYISSSKEEASLTALQQLAGKRLWPPLLFCSSFMSWYEHLGRCVCLLVCRNHRIWERILKLLTWRRDLHFMIMDMTKHTHHSAWHSMHNVICGGAKFLEEMLENLMKSGAKICVIQGDEDKVIPLECGKNIKSKVPNAEISIIPNADHIGVIIGREKDFTRNLEHIWASSTDTE >Manes.11G052130.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5726599:5732520:-1 gene:Manes.11G052130.v8.1 transcript:Manes.11G052130.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEYIVSSVSAVVEYTVVPIKRRIGYVLNYKSKVEDLELHYEKLRYSMERVHHSIDEATWNGEDILMGVIKWMTSAKETALETEKFIADENQAKRCFIGLCPNLMKRYQFNKRAEKKIFAIVKLLDEGQFEKISYRAPLQLSLATSSDGSNSLPSRKIILEGVMGSLADPNINLIGVYGMGGVGKTTLAKEVCRQALECGQFDVAVMAVVSPEADYRRIQAQIADGLGLKFDEMTLLGRAARLSLRIKRETKILLVLDDIWQPINFEELGIPNHKGCKILLTTRNRDVLRRMGADINFALEVLPEEEAMRLFETMVGDVNKDLELQPIATEVVKRCAGLPLLIVAIARSLRDKDLYAWKDAAEKLSRVEEPEIYHSVYRAVELSYHCLDGDEVKSVFLLCSLLRQKNIWIPDLLKYSIGLGLLKNTHTIDAARNRLHKLISDLKASCLLLDGTTNGFVTMQDVLQDVAASIASKNGQVFMVRSESRPVEWPEDTLKSCTGISLRSCDRLELPEKLECPKLEFLLLHAKDLSLSIPDFFFERIQKLRVLDFSGISFSHLPASLVFLTNLRTLRLDHCILLDVCIIGELKNLEILTFVGSDIVELPREMAQLNRLKLLDLSHCSKLKVIPANVISWMVRLEELYVGNSFNGWEIEGLSDRGNASLAEVKSLPHLTALEIHIQDANTIPKDLFSERLERFRILIGGEWDWDIGNYEVLRLLKLKLNTSIHLEHGLKMLLNRTEDLYLDEIKGIKSLLYDLNIEGFPQLKHLHVQNNSEVKHVVDSVKWVSYAAFPILQSLSVENLVNLKKICHGELAASSFSKLRSLKIKSCHKLKNLFAFNIIRGLLQLQEMEVTNCKNMEEIVADEDAHSSNVEYEAVEFSQFRSLALKGLPTLRSFCSIAKVPSTVHSGFKDIVEEDELSIPPPLFTFMVPNLEELKVSSIPCERIWHSHSQFPTASSHLTSLIVHGCHNLKYVFTFSMAKCAPRLKKLEISDCELMNEIIATDEFAEEPGKTRLLFTDLEVLKLKNLPNVSSFCNACDLIECPSLRNLLIKKCPAMETLISNSSANNKATDEAKLEESHRSKTRSFFNEKVVFPNLEEMELSHISHVENIWPSQLAPDSFPKLKSVRIKYCENLRILFPFNALLAFRSLEKLGVIDCCCLEEIYQLQQFNGEGINEEAEFQLTELYLSGLHNLRTIWSNDPRGIFNFDNIHLIHVSHCQVLKHLFPASIARRLPLLKELAIRSCGVEEIVAEEGDMGEIPRFVFPRLTSSELQNLPNLRSFYGGMHTLEWPVLKYLKFFGCDKVMKFSLEDASSQEDIPIQQSLFVAAKVFPNLEELSIDGKDMMMILEWQLPKKFFYMLKLLELRSFEEELPVSLFGFLGRLQNLEKIVLSDSSVKELFLCEGIDVAAEELPRVRYLELNRLFDLKNIWKQDSQLLQNVEILRVQFCENLVNLVSSSASFHNLTYLEVCHCNELRKLVTSSVAKTMVNLEKLRVEECAMLTEIVAEEQEETCDEIVFSKLKTVALVGLRNLTSFCSAGHTFNFPSLKKVILARCPKLRIFSHGILCTPNLERVLTEFPGDKRRRVESSLNATIEQMYAEMNA >Manes.10G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31186070:31191118:-1 gene:Manes.10G143900.v8.1 transcript:Manes.10G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVQYPTVMQKVAGQLLHSSVSQDFHCYDGAYKRPASYRTRAHGNYSNAAFQYSVVRGCGATSDLSIVPSTASSVCVQAPSEKGFAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFKRTMKDEGIISLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Manes.08G026500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2577952:2579820:1 gene:Manes.08G026500.v8.1 transcript:Manes.08G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTKLYKHLQSSTHRNSTSGNISPWHQSLFTYLQTLRSGSFPHAFSFPFPLKSCAALSLPTTGKQLHGHVIKTGCLLEPFVQTSLISMYSKCFLIDDACKVFDENPQSSKLMVCYNALISGYAFNLRVKETVSLFSEMREIGVEINGVTLLGLIPICGLPGNLELGMSVHASCTKLGFDADLSIGNCLLTMYVKCGEIDSARKLFNDMPEKGLITWNAMINGYAQNGLANNVLELYWEMKSSGVSPDSITLVGVLSSCAHLGAQSVGREIEQQIKVCGFGSNPLLNNALLNMYARCGNLEKARDIFYGMPVKSVVSYTAIICGYGMHGQGEIATDLFDDMIRTGIRPDGTAFVSVLSACSHAGLTDKGFDYFRMMLSKYGLQPGPEHYSCMVDLLGRAGKLNEARDLIESMPVKPDGAVWGALLGACKIHKNVELAEVAFKQIIELEPTNIGYYVLLSNIYAEAGNLEGILGVRVMMKKRNLKKDPGCSYVEFNGRVHLFFAGDRNHPQTHPQTEEIYQMLDKLENLVKDLDDFKKSGQERTEEPINGRGVHSEKLAIAFGLLNSTEGSEIVVMKNLRICGDCHLFIKLVSKVVNHQFVVRDASRFHHFRNGLCSCKDYW >Manes.07G009500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1094878:1105095:1 gene:Manes.07G009500.v8.1 transcript:Manes.07G009500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGDIITKLGSLALDEIGLWWGVKGELEKLKDTVSSIRNVLLDAEEQQKLNHQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNGMTNELSIFFSSSNPITYSFQICRKIKAIRERLADIEGDRKFKLEVRPYQERIAWRDQTESSLPEVVIGREGDKKAITELVLSPNGEECVSVLSIVGMGGLGKTTLAQIIFNDELIKNSFERRIWVCVSDPFDVKMIVRKILESATGNKSEDLELEAFKSQLGGIIDRKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKKVADISSTMAPHVLEGLSRDESWSLFLHVALEGQEPKHANVREIGEEILKKCCGVPLAIKTIASLLYEKNPETEWPLFLRNELSKISQDDNDIMPTLKLSYDHLPSHLKHCFAYCALYPKDYKIDVKTLIHLWVAQGFIDSPSTSDCLENIGLEYFMKLWWRSFFQEVKRDKFGNVESCKMHDLMHDLATTVGWTRIQLVNSDADAPKIDEKIRYVALNLDVAPQEILNNAKRLRSFLLLGKHDIAKRLRSFPKHDYDALFIHKNLWCLRAHDMSYCRIEKVDSCIKMLKHLRYLDVSRNVELRVLPNSITDLLNLQVLNVSNCSELKELPKDIKKLVNLRHLYCEGCFSLTHMPRGLGQLTSLQTLSVFVAAKGHISSKDVGKINELNELKNLRGRLQIKKLGCDNEIVNLNLKEKPLLQSLELFHWEESCEDSNVDRDEMSFQNLQPHRNLKELKVVKYGGRRFPSWFSSLTYLVDLCMWYCKRCQYLPPIDQIPSLQRLEILGFYDLEYMEIEGQRTSFFPSLKTLKLYHCPKLKGWQKKRDDSTALELLQFPCLSDFTCTWCPNLTSIPQFGSLDESLNLNDASPQLVHQIFTPSISSSSSIIPPLSKLKHLSIEYIKELESLPPDGLRNLTCLRSLTIDNCPALKCLPQEMHSLTSLRRLDIKCCPQLKERCGNKKGADWAFISHIQNITVDYQGIQMVGRYLLDDEASKLVEDLEKMLLLQLLFD >Manes.05G130300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21990981:21993665:-1 gene:Manes.05G130300.v8.1 transcript:Manes.05G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESPPFSSVSGGTVGKLSLCFETKPLVATLLALTLVMLIWNLPPYYQNLISTTRPCSAPPTTTTSVIASNASSLPITSPATSLSEQKYSTPVTDPNKRIFQAYGNAAALFVQMGAYRGGPRTFAVVGLASKPIHVFGSPWYKCEWISNNGSSTRAKAYKMLPDWGYGRVYTVVVVNCTFPVNPNEDNAGGKLMLNAYYGESQRKYEKFVALEEAPGSYNESKYHPPYQYEYLYCGSSLYGNLSAARMREWMAYHAWFFGPSSHFVFHDAGGVSPEVRAALEPWVRAGRATVQDIRGQAEFDGYYYNQFLVVNDCLHRYRYAANWTFYFDVDEYIYLPAGNTLESVLQEFSDYTQFTIEQNPMSSVLCLNDSTVEYSKEWGFEKLLFRESREGIRRDRKYAIQAKKAYATGVHMSENVIGKTLHKTETKIRYYHYHNSITVPGELCREFLPPSAKDNVTWYNKLPYVYDDNMKKLAKTIKEFERQAIGTVQAFS >Manes.12G121000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32648216:32651096:1 gene:Manes.12G121000.v8.1 transcript:Manes.12G121000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQRTARQPTTAFTTTQHELTTPLRRSKRILQQKPHDVSTDNPVPSKNHTDYTRKAFNELRKSEESSSICSTPALGLRRSPRFSKRVEAISNVRRSLRLSLLGNNNAIQEKSDEDKSTKSSDSNPKKLRHSSSNKSTDNKEVLLNKEKGEACVGSCDEIVQRSERRTQGCAIVEVVLGLEAAKGKIIPQRRKRKRGEEGNNGSVKGWSKEQEAALQRAYFAAKPTPHFWKKVSKLVPGKTAQDCFEKIHSDHVTPPQPLPRSRAKRLNSSPFGCFSLSVGKLVGSSELKVKRFCHKQKSHIAKRTARNLLQKHNQMDQNYEADLFSILEPNVNLSRQDTQTYDAVSTPQHSPEKQGFLKKCHERSFGKKIPLSRFRSSCGTDLVSPPVLKQVKNKALHEKYIDQLHYREAKRKAACPQAGKESSAQVNILKVDVVRAAKNALVSDVKDAINKLQDLQTNAKDDSFDFDNDGVSSDDAEVDGF >Manes.02G083600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6548530:6553811:-1 gene:Manes.02G083600.v8.1 transcript:Manes.02G083600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTNEERNRSSHVAQDDEHVHIHDAENEKKKNNNVAKGLEREPEPESIEKIFESKEVPPWQNQLTLRALVVSFILGILFSFIVMKLNLTTGVIPSLNVSAGLLGFFFVKTWTKLLNKAGFLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSEAVAKQSTEVSDAQNIKNPSLGWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRELGKFFSFSFLWGFFQWFFTAGDDCGFVNFPTFGLKAYQNKFYFDFSATYVGVGMICPYLINVSLLIGAILSWGIMWPLIKNREGDWYAAEHSSGLHGLQGYKVFIAIAMILGDGAYNFCKVLGHTLSGLYKQFNSNDTVLPVANRSSPVTSRTLSYDEQRRSELFLRDQIPSWFAVGGYVIIAIVSIVTVPHIFHQLKWYYIVVIYAIAPILAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGAANGGVIAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPKSMFVSQVIGTAMGCVISPCVFWLFYKAFHNLGAPSSEYPAPFALVYRNMSILGVEGFSALPRHCLTLCYIFFAAAIIINAIRDAVGKRRARFIPVPMAMAIPFYIGGYFAIDMCVGSFILFIWKRINKGKASAFGPAVASGLICGDGIWTLPSSILSLAGVRPPICMKFLSKSQNLKVDTFLNS >Manes.03G118001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24397276:24402550:1 gene:Manes.03G118001.v8.1 transcript:Manes.03G118001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFAEVFVCFCLLFSVRTSTAVDVINPGQSIRDGDTIVSSGQTYELGFFTPGSSSGRYVGIWFKKISTGTVVWVANRETPILDRSGVLRFTDQGILHLLNRTNGVIWSSNKTRTASNPIAQLLDSGNFVVKDGNDVNPKNYLWQSFDYPGDTNLPGMKLGRNLVTGLDWTLSSWKSLDDPARGDYTAGIDPGGYPQLFYKKGSKITFRAGSWNGIRFTGAARMRPNPVYKYEFVLNEKEVYYNIHLLNSSVISRLVVNASGVTERLTWIDQTHSWARFFAIGEDQCDAYNLCGANAKCNINNIPMCDCLEGFEPKSVRDWSFQDWSSGCARKTALACSAGEGFVKNPGMKMPDTSGSWFNRSMSLKECEDLCLKNCSCVAYANTDITTRSGCLLWFSDLIDIREFTDTGQDLYVRMAASYLGEIKKKEESRREKRIGIIICTTVFGAGVLVLAWILYTRKRRIQIREKMRSVIGRSYNDQSRNEEFELPIIDFITIMKATDNFSSRNKLGEGGFGPVYKGTIDGQEIAVKRLSISSGQGLEEFKNEVLLIAKLQHRNLVKLLGCCIDGDERMLIYEYMPNKSLDFFIFDQSRSKLLDWNKRINIIDGIARGLLYLHQDSRLRIIHRDLKASNVLLDKGMNPKISDFGMARIFGGDQTEANTNRVVGTFGYMAPEYAVDGLFSLKSDIFSFGVLVLEIVSGRKNRGFHSHDHLHNLVGHAWRLWMEERPLELIDNMLEKSAVFSEIIRCIHVGLLCVQKQPEDRPNMSTVVLMLGGESSLPQPKQPGFFTERFMPKTESSSSNCRSISANEITITMLDPR >Manes.S036516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:955943:956317:1 gene:Manes.S036516.v8.1 transcript:Manes.S036516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.18G125850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:14577648:14578295:1 gene:Manes.18G125850.v8.1 transcript:Manes.18G125850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVEGFYGCGRFGQPRRCNFFRWIDSENCRSCQVALLRMMLRLQEAEDEVVEARVREVEILCELRKMIEMNKLESTLLLYANEIIDMKKLQSSAKLSNGVMKCICK >Manes.06G074300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21028585:21031448:-1 gene:Manes.06G074300.v8.1 transcript:Manes.06G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMAKTPHDSSFSFSRRYFNWRKKVVEDEDDEEEILTYNSSSHFFYGEVLKDDQLRITLPSEGLPVPSAVRPPRKKLPIVAVSKFLSAVTVFSKSRRPTYHSGLGTKLIGTLFGYRRGHVHFAFQEDAKLNPAFLIELATPTSVLVREMASGLVRIALECEKKPQKKAGKLLEEPLWRTYCNGKKCGYATRRECGPEEWKVLKAVEPISMGAGVLPGNGAGSEGELMYMRARFERVVGSKDSEAFYMMNPDGLSGGPELSVYLLRV >Manes.03G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16495251:16497163:-1 gene:Manes.03G095200.v8.1 transcript:Manes.03G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPNHWMLDKLSGAFAPKPSSGPHKSRECLPLILIMRNRLKFALTYREVIAILMQRHVLVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRNDEAKFKLCKVRSVQFGQKGIPFLNTYDGRTIRYQDPLIRANDTIKLDLETNKIADFIKFDVGNIVMVTGGRNRGRIGVIKNREKHKGSFETIHIQDATGHEFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEARKRVAAAQTVG >Manes.17G010600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4106577:4112828:-1 gene:Manes.17G010600.v8.1 transcript:Manes.17G010600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTSNPNGSSLISTIISDSVKTLEGSLQSAHSEVQADRFHFSRSSNPNKSSVISITPCNQLLVPSMSDGSHGHVLHDQPFVSTHIESLLPSPKESQVDQCLVPVTVDPRPRVTPHDDDNYDDHKSPTQIESAGGPSSSLGSRHLDDEHNEDDYNQVNFEKDGLSEPPCSNRDDSCNCYESSNESHGHRYGVCGQSRGFQFRSRWNGPWHHSRDRQWCRRENRDDMPLDFSLPMKETVVTGVGAGLSNLGNTCFINAILQCFTHTVSFVKALHSCNHLVPCQRAIDGFCVVCTLRNHIEVSLASSGKIISPSEVVDNLNYISSCFYRYQQEDAHEFLQCLLDKIECCLISDLNSETSSFENDNIVKHVFGGRLVSKLLCCTCGHSSDKYEPFLDLSLEIEDVQTLQDALESFTKVEKIEDSDAKFRCDNCKKEVSMEKQLMVDQAPSVATLHLKRFKTDGSSIEKIGKHVEFPLDLDLKLYNTISQESNDSQANLKYHLYAVVVHNGFLPTSGHYFCYIRSSPDTWHKLDDSLVIKVGEEVVLSEAAYILLYAREGTAWFSSLIESQKNCLDHSISNTSPKSVLDSMDTECTAYTNLANIDHCKASATVGDVEEASTHFPCVTVLEADQVNDKGNAAKGLSPHINEAGNGTGAISAHISCGPTQRGLKSCCDNKSRVGIPVDDVSVSLGAMGCPDGTLLHDEMGALACIDGNNCAQAANRNERNGLHPLTPPRSKSPAATHHIRRDHLKGENSVNSKRSTRAANDQRMEAVRLTRSMPSARKIKLLAAMNPQIHKKRRLSSSPCKQASPSVSHCKLNHRLAALR >Manes.17G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4106577:4112828:-1 gene:Manes.17G010600.v8.1 transcript:Manes.17G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTSNPNGSSLISTIISDSVKTLEGSLQSAHSEVQADRFHFSRSSNPNKSSVISITPCNQLLVPSMSDGSHGHVLHDQPFVSTHIESLLPSPKESQVDQCLVPVTVDPRPRVTPHDDDNYDDHKSPTQIESAGGPSSSLGSRHLDDEHNEDDYNQVNFEKDGLSEPPCSNRDDSCNCYESSNESHGHRYGVCGQSRGFQFRSRWNGPWHHSRDRQWCRRENRDDMPLDFSLPMKETVVTGVGAGLSNLGNTCFINAILQCFTHTVSFVKALHSCNHLVPCQRAIDGFCVVCTLRNHIEVSLASSGKIISPSEVVDNLNYISSCFYRYQQEDAHEFLQCLLDKIECCLISDLNSETSSFENDNIVKHVFGGRLVSKLLCCTCGHSSDKYEPFLDLSLEIEDVQTLQDALESFTKVEKIEDSDAKFRCDNCKKEVSMEKQLMVDQAPSVATLHLKRFKTDGSSIEKIGKHVEFPLDLDLKLYNTISQESNDSQANLKYHLYAVVVHNGFLPTSGHYFCYIRSSPDTWHKLDDSLVIKVGEEVVLSEAAYILLYAREGTAWFSSLIESQKNCLDHSISNTSPKSVLDSMDTECTAYTNLANIDHCKASATVGDVEEASTHFPCVTVLEADQVNDKGNAAKGLSPHINEAGNGTGAISAHISCGPTQRGLKSCCDNKSRVGIPVDDVSVSLGAMGCPDGTLLHDEMGALACIDGNNCAQAANRNERNGLHPLTPPRSKSPATHHIRRDHLKGENSVNSKRSTRAANDQRMEAVRLTRSMPSARKIKLLAAMNPQIHKKRRLSSSPCKQASPSVSHCKLNHRLAALR >Manes.05G127500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22597611:22599227:-1 gene:Manes.05G127500.v8.1 transcript:Manes.05G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEFKVEMVGIHEKRLRKSLSKLKGIEKVEVDANSQKVVVTGYAHRNKILKAIRRGGLKADFWSAQNELLNVYSCTASYGSLRFNNSNFF >Manes.05G127500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22597660:22599227:-1 gene:Manes.05G127500.v8.1 transcript:Manes.05G127500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEFKVEMVGIHEKRLRKSLSKLKGIEKVEVDANSQKVVVTGYAHRNKILKAIRRGGLKADFWSAQNELLNVYSCTASYGSLRFNNSNFF >Manes.17G099600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30608123:30609094:-1 gene:Manes.17G099600.v8.1 transcript:Manes.17G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQEDMKPMDQEISLKRNNTKMSTSSPPDFEELHGFISKRRREIDLDLSLRPRRSSPSPPLSSSPSPQLIPPHQEIPQLMLQIPTPAETQSPNPTLLRSHALFAGATTPHMAPPCEPSSHAAADAAAISPSLQRQEASTVGPSRIPRARRNPSQAPRDGKSENIPPPFPWATNHRATVHTLDSLQARKIETITGAVQCKRCEKQYEESYDLKEKFDQVGKYILENKNSMHDRAPNHWMSSILPACKYCGQKESVKPLISEKKKSINWLFLFLGEMLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLELCKQLVPNGPFGR >Manes.11G003900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:493824:497274:-1 gene:Manes.11G003900.v8.1 transcript:Manes.11G003900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann4 MGTLVAPANHVPAEDAESLRQAFKGWGTNEKAVISVLAHRNATQRKQIRQAYWNLYQEELVKRLESELKGDFERAIYRWILDPEDREAVLANVAVKKSSDYHVIVEIACVLSAEELLAVRRAYQARYKHSLEEDVAAHTTGDVRKLLVGLVTAYRYEGADINARLAKSEADILQDAIKDKKYNHDEVIRILTTRSKAQLMATFNGFKDDQGTSITKALLGEHAENEYKRLLRIAIRCINEPLKYYEKVLRNAIRKFGTDEDAITRVIVTRAEKDLLHIKELYYKRNSVPLDQAVAKETSGDYKAFLLALLGKQD >Manes.11G003900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:493780:496144:-1 gene:Manes.11G003900.v8.1 transcript:Manes.11G003900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann4 MSTLVVPANVSFVEDAETLRKACEGWGTNEKAVISVLAHRNATQRKQIRQAYWNLYQEELVKRLESELKGDFERAIYRWILDPEDREAVLANVAVKKSSDYHVIVEIACVLSAEELLAVRRAYQARYKHSLEEDVAAHTTGDVRKLLVGLVTAYRYEGADINARLAKSEADILQDAIKDKKYNHDEVIRILTTRSKAQLMATFNGFKDDQGTSITKALLGEHAENEYKRLLRIAIRCINEPLKYYEKVLRNAIRKFGTDEDAITRVIVTRAEKDLLHIKELYYKRNSVPLDQAVAKETSGDYKAFLLALLGKQD >Manes.04G092400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29775035:29780026:1 gene:Manes.04G092400.v8.1 transcript:Manes.04G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGANGVVWMDGVGGGAAAAVEEEDEDAVSSWTRHHNNDNEIEPKDEDLGINASLSSFKSMLETDWYDINPSSHHDLHNLQNHHDIRDLAFCPNPAPENLLLQPMDSSSSCSPSQAFNLDPAQSHQPFLPPKSCFSSLLNVTNPFDNSFDLGCETSFLGQYQPNQAPNLMGFSGPEFQAPHLLPAPDNAAAVGGSGFGNVGLEGFDGSTGNALFLSRAKVLRPLEVLPPVGSPPTLFQKRAMLRQSGDKLGNLEVPGLRYGGEMGGNWGEMERKRKKCEEGEIDEGSFDVSGLNYDSDEHNGDGKMEESVKNNGGSNSNANSTVTGGGGADQKGKKKGMPAKNLMAERRRRKRLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPPGPLLPQSTSIHPLTPTPPTLPNRVKEELCPSSLSSPKNQPAKVEVRVREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEQCREGQDVLPEQIKAVLLDSAGFHGMM >Manes.08G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31280802:31284950:1 gene:Manes.08G092600.v8.1 transcript:Manes.08G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASSRRTVEEIFDDFSARRAGVVRALTNDVDQFYVLCDPEKENLCLYGHPNETWEVNLPAEEVPPELPEPALGINFARDGMDRKDWLSLVAVHSDSWLISVAFYFGARLNRNERKRLFSMINDLPTVFEVVTERKPVKEKPSADSGNKSRGSVKRSSDGQVVKSNPQLTEEVYEADEDEHNETLCGSCGGSYSADEFWIGCDICEKWFHGKCVKITPAKAESIKQYKCPSCSLKRNRQ >Manes.13G027600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3688495:3691028:-1 gene:Manes.13G027600.v8.1 transcript:Manes.13G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSIMKREQTTTTKAEKEEAAASIHGDILESVLTHVPLIDLVPASHVSKSWKRAVSTSLRHFNKIKPWLLLHSQATRSPYSTTAYAFDPRSCLWLQIRRPPIKHISPLRSSHSTLLYMLSSSKFSFSVDPLHLTWHHVDAPVVWRTDPIVAVVGHRVIVAGGACDFEDDPLAVEMYDLKTRTWDTCESLPAHFKDSAASMWLSVAVRSNKMYVVEKSTGVTYWFNPETKSWYGPYSLRPQRNVYSSAIGFADDRLILICLIGDDHGEEVTSVKLWELNDASFKFCKEIGEMPEQLIEKLRGDTFSISSAIINVMGDFVYISNTSAPEEVIFCEFGSGPCRWSSVRNAVVNDHRRLTERLVFTCANVGMSALQRAINAEDTQFAVLGSRGEHSVGSVQNRTEPK >Manes.01G013225.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3432255:3445848:-1 gene:Manes.01G013225.v8.1 transcript:Manes.01G013225.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQSVLFILLFQWLMKALPATSSMAKPGCPNKCGNVTIPYPFGIGAGCYMDPGFEVFCNKSSLLHKPYIGSVGLELLQVSIDGTIRVNNPVLSSNCQNRAPMADVSLSGSPFFFSDTRNRFTALGCDNLALIYRQDMVIGGCLSICNTTVRERSCNGINCCQITIPSYLQFINASFRGISASEDHEQCRVGFMVDKEWFSYPMVDKEWFNYSRVTRAMEYVPVVLEWGISNGTCRDSPERSSNRGNSTNLCGSYASCSTKMGEYYHCSCNSGYEGNPYLSCQGIGSGLGLLFLLGGSWWLYKLLKRRKDFNRRQKFFRRNGGLLLQQQLSSSESNIEKTMFTSKELDKATDCYNENRILGQGGQGTVYKGMLSDGKLAAIKKSKLVDESKLEQFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQHLHDPNEEFPITWEMRLRIATEVAGALSYLHSAASMPIYHRDIKSSNILLDMKYRAKVSDFGTSKSIAIDQTHVTTRIQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISSMRSIEERSLATYFLLSMEENRLFEILDARVLKEGAKEDIVAVAKLAKRCLNLNGKKRPTMKAIVMELERIRASNGNCCIIEHDDEYEEIDFSQGL >Manes.01G013225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3432256:3445847:-1 gene:Manes.01G013225.v8.1 transcript:Manes.01G013225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQSVLFILLFQWLMKALPATSSMAKPGCPNKCGNVTIPYPFGIGAGCYMDPGFEVFCNKSSLLHKPYIGSVGLELLQVSIDGTIRVNNPVLSSNCQNRAPMADVSLSGSPFFFSDTRNRFTALGCDNLALIYRQDMVIGGCLSICNTTVRERSCNGINCCQITIPSYLQFINASFRGISASEDHEQCRVGFMVDKEWFSYPMVDKEWFNYSRVTRAMEYVPVVLEWGISNGTCRDSPERSSNRGNSTNLCGSYASCSTKMGEYYHCSCNSGYEGNPYLSCQGIGSGLGLLFLLGGSWWLYKLLKRRKDFNRRQKFFRRNGGLLLQQQLSSSESNIEKTMFTSKELDKATDCYNENRILGQGGQGTVYKGMLSDGKLAAIKKSKLVDESKLEQFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQHLHDPNEEFPITWEMRLRIATEVAGALSYLHSAASMPIYHRDIKSSNILLDMKYRAKVSDFGTSKSIAIDQTHVTTRIQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQKPISSMRSIEERSLATYFLLSMEENRLFEILDARVLKEGAKEDIVAVAKLAKRCLNLNGKKRPTMKAIVMELERIRASNGNCCIIEHDDEYEEIDFSQGDYTAQWDGASSSTVSFQISSAPVASDGQPLLSNA >Manes.10G062100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8649854:8663925:-1 gene:Manes.10G062100.v8.1 transcript:Manes.10G062100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPQSILPKWASKPCIMGIDEAGRGPVLGPMVYGCLYCARSYEKTLSTLNFADSKTLKEEKREELFENLKANEAIGWAVDVIDPKELSAKMLKKNKINLNEISHNSATGLVTRVLNMGVLLTEVYVDTVGDSEKYRVKLSERFPSIKFIVAKKADSVYPVVSGASIVAKVTRDRALREWALDETAEGINRSFGSGYPGDPETKAWLEQHKHHIFGFPTLVRFSWGTCNSYFKDIVEVLWESDKMDEDCSSNGKRQLKLSSFGVTAPKRKSEEIESSGKGRCRFFQARKLEQLSQF >Manes.10G062100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8649501:8663874:-1 gene:Manes.10G062100.v8.1 transcript:Manes.10G062100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPQSILPKWASKPCIMGIDEAGRGPVLGPMVYGCLYCARSYEKTLSTLNFADSKTLKEEKREELFENLKANEAIGWAVDVIDPKELSAKMLKKNKINLNEISHNSATGLVTRVLNMGVLLTEVYVDTVGDSEKYRVKLSERFPSIKFIVAKKADSVYPVVSGASIVAKVTRDRALREWALDETAEGINRSFGSGYPGDPETKAWLEQHKHHIFGFPTLVRFSWGTCNSYFKDIVEVLWESDKMDEDCSSNGKRQLKLSSFGVTAPKRKSEEIESSGIEHNSNDDVGAGK >Manes.10G062100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8649531:8663874:-1 gene:Manes.10G062100.v8.1 transcript:Manes.10G062100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPQSILPKWASKPCIMGIDEAGRGPVLGPMVYGCLYCARSYEKTLSTLNFADSKTLKEEKREELFENLKANEAIGWAVDVIDPKELSAKMLKKNKINLNEISHNSATGLVTRVLNMGVLLTEVYVDTVGDSEKYRVKLSERFPSIKFIVAKKADSVYPVVSGASIVAKVTRDRALREWALDETAEGINRSFGSGYPGDPETKAWLEQHKHHIFGFPTLVRFSWGTCNSYFKDIVEVLWESDKMDEDCSSNGKRQLKLSSFGVTAPKRKSEEIESSGKGRCRFFQARIEHNSNDDVGAGK >Manes.14G163900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25890324:25893429:-1 gene:Manes.14G163900.v8.1 transcript:Manes.14G163900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGHIPQALEGGVVQARGLMQRGPFPPGHRPSDRVAAPDLLENKIASQAAEIEQLVEDNRRLAAMHIALRQDLVAAQKEVERLKAHIRSIHTESDIQIRVLLDKTAKLESEIRAGENVKEDLKQAHIQAQSLVKSGQELTTEIQKASQELQKVRADVKSLPDLHTELDSLRHEYKRLRATFEYERGENIEKVAQLQATEQRLIGMAREVEKLHAEVLNAEKKALAPNTYGSAFGTHDPSYPTSVHGSGVYVDAYGRPLIQMSVGPAVDDPAVTGAIVDTVVSSAGGVALWGRPYDPPFSQK >Manes.14G163900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25890324:25893429:-1 gene:Manes.14G163900.v8.1 transcript:Manes.14G163900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGHIPQALEGGVVQARGLMQRGPFPPGHRPSDRVAAPDLLENKIASQAAEIEQLVEDNRRLAAMHIALRQDLVAAQKEVERLKAHIRSIHTESDIQIRVLLDKTAKLESEIRAGENVKEDLKQAHIQAQSLVKSGQELTTEIQKASQELQKVRADVKSLPDLHTELDSLRHEYKRLRATFEYERGENIEKVAQLQATEQRLIGMAREVEKLHAEVLNAEKKALAPNTYGSAFGTHDPSYPTSVHGSGVYVDAYGRPLIQMSVGPAVDDPAVTGAIVDTVVSSAGGVALWGRPYDPPFSQK >Manes.09G161300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35602824:35608055:1 gene:Manes.09G161300.v8.1 transcript:Manes.09G161300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRAKEIAEKKMQNGDFVGARRIALKARQLYPDLDNISQILMVCEVHYSAQNKLNGSEMDWYGVLQIERFSDESVVRKQFKKLALSLHPDKNKFAGAEAAFKLIVEANRVLTDPSKRSLYDMKCRGGLRSVAPKSATDQSNKNSYAKKHNGAANKPSNTSHSQYTSSHIYQQSQPTTFWTYCPSCTVKYQYYKEFRNKMLRCQNCLQPFIANDLGESPGSSWSQFLNEKRVPNPGPSKVAPENNAGKPSGMSFRHGFSVPDPMPKVGKAADVCGSSKLKEAGNAAGIDGGSKSQQKVNGHVDVGTGKGGVPVSEPDATKSKVPSGSASSKRQRKSVEESSQNFNKSSKNGTEENIDVEETVGELSARNSRRSDSHPSRRSSRQKQHVSYKENLVEDDFVGPLPKRSRSSSSPSVADGENKEAGVDGGVTRRDISAGFVAAMLNRNNKGVKQKASPSPEERSSNMKSKTGYFESKGDEASTSEKAGTKSNEAPESETYIYPDPDFSNFEKDKAESSFAVNQVWAIYDSIDGMPRFYARVKKVLTPEFKLQITWLEASSDNKAEEYWCDQGLPVACGRFENGDTETVDCRMFSHQMYWMNAGRRGTCLIYPRKGETWALFKDWDTKWSSEPKKHGPPYQFEFVEVLTDFMVDVGIGVAYLGKVKGFVSVFQQADCGGVLSFCIRPSELYRFSHRIPSCRLTGKEGVGVPARSFELDTAALPSNLYNLDSLGNVKVGKENLDAEAIGLYSKSAKYEVEPIKLSEKVCTPKNLDSGPEKGTSELPKSTGESTGTCRDCHQIDAGQQAKDEGGNEVVNPGRLAQPEGMNTYFQATERRTTHKKLEKLKFTAEAWTPRRSPRDLSKRSAPFTASQSTAEDADKNTSTNKNEGHGQPCAFSCQSDNKVHFHVKDGSLVSPTKGQKSSDCKVVEVEHYDFKKGKSKDKFQLDQIWALYSEKDGLPRDYAQIKKIESTNGFKLHVAMFESCSLQKDMQTACGTFKVKNKSIVRPVTAFSHQVTAKAIGKNKYEIYPRQGEIWAMHKNWNAELKFSNQEAVECEFVEVVEENERGVKAVVLTPVNGSESFYMAPRRSKRGIVEMRRDEFGRFSHQCLASQFIGKNSSFLKGYWELAPSSIPGSVILVD >Manes.09G161300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35602824:35608054:1 gene:Manes.09G161300.v8.1 transcript:Manes.09G161300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRAKEIAEKKMQNGDFVGARRIALKARQLYPDLDNISQILMVCEVHYSAQNKLNGSEMDWYGVLQIERFSDESVVRKQFKKLALSLHPDKNKFAGAEAAFKLIVEANRVLTDPSKRSLYDMKCRGGLRSVAPKSATDQSNKNSYAKKHNGAANKPSNTSHSQYTSSHIYQQSQPTTFWTYCPSCTVKYQYYKEFRNKMLRCQNCLQPFIANDLGESPGSSWSQFLNEKRVPNPGPSKVAPENNAGKPSGMSFRHGFSVPDPMPKVGKAADVCGSSKLKEAGNAAGIDGGSKSQQKVNGHVDVGTGKGGVPVSEPDATKSKVPSGSASSKRQRKSVEESSQNFNKSSKNGTEENIDVEETVGELSARNSRRSDSHPSRRSSRQKQHVSYKENLVEDDFVGPLPKRSRSSSSPSVADGENKEAGVDGGVTRRDISAGFVAAMLNRNNKGVKQKASPSPEERSSNMKSKTGYFESKGDEASTSEKAGTKSNEAPESETYIYPDPDFSNFEKDKAESSFAVNQVWAIYDSIDGMPRFYARVKKVLTPEFKLQITWLEASSDNKAEEYWCDQGLPVACGRFENGDTETVDCRMFSHQMYWMNAGRRGTCLIYPRKGETWALFKDWDTKWSSEPKKHGPPYQFEFVEVLTDFMVDVGIGVAYLGKVKGFVSVFQQADCGGVLSFCIRPSELYRFSHRIPSCRLTGKEGVGVPARSFELDTAALPSNLYNLDSLGNVKVGKENLDAEAIGLYSKSAKYEVEPIKLSEKVCTPKNLDSGPEKGTSELPKSTGESTGTCRDCHQIDAGQQAKDEGGNEVVNPGRLAQPEGMNTYFQATERRTTHKKLEKLKFTAEAWTPRRSPRDLSKRSAPFTASQSTAEDADKNTSTNKNEGHGQPCAFSCQSDNKVHFHVKDGSLVSPTKGQKSSDCKVVEVEHYDFKKGKSKDKFQLDQIWALYSEKDGLPRDYAQIKKIESTNGFKLHVAMFESCSLQKDMQTACGTFKVKNKSIVRPVTAFSHQVTAKAIGKNKYEIYPRQGEIWAMHKNWNAELKFSNQEAVECEFVEVVEENERGVKAVVLTPVNGSESFYMAPRRSKRGIVEMRRDEFGRFSHQCLASQFIGKNSSFLKGYWELAPSSIPGSVILVD >Manes.09G161300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35602824:35608054:1 gene:Manes.09G161300.v8.1 transcript:Manes.09G161300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRAKEIAEKKMQNGDFVGARRIALKARQLYPDLDNISQILMVCEVHYSAQNKLNGSEMDWYGVLQIERFSDESVVRKQFKKLALSLHPDKNKFAGAEAAFKLIVEANRVLTDPSKRSLYDMKCRGGLRSVAPKSATDQSNKNSYAKKHNGAANKPSNTSHSQYTSSHIYQQSQPTTFWTYCPSCTVKYQYYKEFRNKMLRCQNCLQPFIANDLGESPGSSWSQFLNEKRVPNPGPSKVAPENNAGKPSGMSFRHGFSVPDPMPKVGKAADVCGSSKLKEAGNAAGIDGGSKSQQKVNGHVDVGTGKGGVPVSEPDATKSKVPSGSASSKRQRKSVEESSQNFNKSSKNGTEENIDVEETVGELSARNSRRSDSHPSRRSSRQKQHVSYKENLVEDDFVGPLPKRSRSSSSPSVADGENKEAGVDGGVTRRDISAGFVAAMLNRNNKGVKQKASPSPEERSSNMKSKTGYFESKGDEASTSEKAGTKSNEAPESETYIYPDPDFSNFEKDKAESSFAVNQVWAIYDSIDGMPRFYARVKKVLTPEFKLQITWLEASSDNKAEEYWCDQGLPVACGRFENGDTETVDCRMFSHQMYWMNAGRRGTCLIYPRKGETWALFKDWDTKWSSEPKKHGPPYQFEFVEVLTDFMVDVGIGVAYLGKVKGFVSVFQQADCGGVLSFCIRPSELYRFSHRIPSCRLTGKEGVGVPARSFELDTAALPSNLYNLDSLGNVKVGKENLDAEAIGLYSKSAKYEVEPIKLSEKVCTPKNLDSGPEKGTSELPKSTGESTGTCRDCHQIDAGQQAKDEGGNEVVNPGRLAQPEGMNTYFQATERRTTHKKLEKLKFTAEAWTPRRSPRDLSKRSAPFTASQSTAEDADKNTSTNKNEGHGQPCAFSCQSDNKVHFHVKDGSLVSPTKGQKSSDCKVVEVEHYDFKKGKSKDKFQLDQIWALYSEKDGLPRDYAQIKKIESTNGFKLHVAMFESCSLQKDMQTACGTFKVKNKSIVRPVTAFSHQVTAKAIGKNKYEIYPRQGEIWAMHKNWNAELKFSNQEAVECEFVEVVEENERGVKAVVLTPVNGSESFYMAPRRSKRGIVEMRRDEFGRFSHQCLASQFIGKNSSFLKGYWELAPSSIPGSVILVD >Manes.09G161300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35602824:35608054:1 gene:Manes.09G161300.v8.1 transcript:Manes.09G161300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRAKEIAEKKMQNGDFVGARRIALKARQLYPDLDNISQILMVCEVHYSAQNKLNGSEMDWYGVLQIERFSDESVVRKQFKKLALSLHPDKNKFAGAEAAFKLIVEANRVLTDPSKRSLYDMKCRGGLRSVAPKSATDQSNKNSYAKKHNGAANKPSNTSHSQYTSSHIYQQSQPTTFWTYCPSCTVKYQYYKEFRNKMLRCQNCLQPFIANDLGESPGSSWSQFLNEKRVPNPGPSKVAPENNAGKPSGMSFRHGFSVPDPMPKVGKAADVCGSSKLKEAGNAAGIDGGSKSQQKVNGHVDVGTGKGGVPVSEPDATKSKVPSGSASSKRQRKSVEESSQNFNKSSKNGTEENIDVEETVGELSARNSRRSDSHPSRRSSRQKQHVSYKENLVEDDFVGPLPKRSRSSSSPSVADGENKEAGVDGGVTRRDISAGFVAAMLNRNNKGVKQKASPSPEERSSNMKSKTGYFESKGDEASTSEKAGTKSNEAPESETYIYPDPDFSNFEKDKAESSFAVNQVWAIYDSIDGMPRFYARVKKVLTPEFKLQITWLEASSDNKAEEYWCDQGLPVACGRFENGDTETVDCRMFSHQMYWMNAGRRGTCLIYPRKGETWALFKDWDTKWSSEPKKHGPPYQFEFVEVLTDFMVDVGIGVAYLGKVKGFVSVFQQADCGGVLSFCIRPSELYRFSHRIPSCRLTGKEGVGVPARSFELDTAALPSNLYNLDSLGNVKVGKENLDAEAIGLYSKSAKYEVEPIKLSEKVCTPKNLDSGPEKGTSELPKSTGESTGTCRDCHQIDAGQQAKDEGGNEVVNPGRLAQPEGMNTYFQATERRTTHKKLEKLKFTAEAWTPRRSPRDLSKRSAPFTASQSTAEDADKNTSTNKNEGHGQPCAFSCQSDNKVHFHVKDGSLVSPTKGQKSSDCKVVEVEHYDFKKGKSKDKFQLDQIWALYSEKDGLPRDYAQIKKIESTNGFKLHVAMFESCSLQKDMQTACGTFKVKNKSIVRPVTAFSHQVTAKAIGKNKYEIYPRQGEIWAMHKNWNAELKFSNQEAVECEFVEVVEENERGVKAVVLTPVNGSESFYMAPRRSKRGIVEMRRDEFGRFSHQCLASQFIGKNSSFLKGYWELAPSSIPGSVILVD >Manes.06G003100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:686784:690092:-1 gene:Manes.06G003100.v8.1 transcript:Manes.06G003100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRSCTAAAAAATCSNEREDEEAEAEALKKRISSHPLYGLLVQTHMDCLKVVSIDEADHSHEVKQKIAAGKKTSSRSLIQPELDQFMETYCLALSKLKEAMEEPQQETVAFINSMHLQLRELTTTSRHQEEARVTHDRPNNN >Manes.02G221151.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21894856:21916173:-1 gene:Manes.02G221151.v8.1 transcript:Manes.02G221151.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLPIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETTGDSIGHGLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKRVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWKESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDHLKCSNLELLQLWNDCQNDCQLQSLPINVLERMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRWVENLRYIPPDVLVGLSKLEELYLPHRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGDSEVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKILNEIEDNSYADLKRDECVGALVRIPESPKSPLPYLSNLRKVEIYGCEELKYFIPLSMARELRQIHSMIVVSCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEESSASEMNNRIQIVQSKTEPMEKISILFSSLWVRLSKLQKLTLYNCGLVKALFPSSVAQQFVQLKELNISACCKMEYIVAEAKEEEKNKGINKIAFPNLTELGLNDLPELVAFFADNDFSFELYSLVDLNIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTYLDLSYLPNLMGFCKDNNVSLEWSLLEQLTIFGCQKMKIFCVSVPKSSKLSTSAEVDHLNTTFCATLIPRKRKKQDNNFSKEVSLIKNQGDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGGLNSVEDIRLVSLPNLKHVWFKIPPEITAFQNLRKLIIQDCDNLINLFSICSAKLLRKLQSIEIRRCKRMEEIIGKEDEEISTQKIEFPQLRSLTFEDLPNLNSFCNRIYALEFPFLERLEFRKCKRMETFSYGSLSMPKLERVMIEGSDSVEVIFSFEGLINGVLNSVEEICLVNLPNLKHVWFKIPPEVTAFQNLRELIVIDCDNLINLFSICSAKLVGNLQSIEIRRCNRMEEIIGKEDEEISTQKIVFPQLRSLTLEDLPNLNSFCNRIYALELPFLETLKFLNWKRMETFFYGSLSMPKLEKVMINRRLHQLMGSDPNLNAKMSELLKMNQ >Manes.02G221151.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21894856:21916174:-1 gene:Manes.02G221151.v8.1 transcript:Manes.02G221151.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLPIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETTGDSIGHGLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKRVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWKESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYGDGYNNSTAISLLCEDIKKLKDHLKCSNLELLQLWNDCQNDCQLQSLPINVLERMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRWVENLRYIPPDVLVGLSKLEELYLPHRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGDSEVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKILNEIEDNSYADLKRDECVGALVRIPESPKSPLPYLSNLRKVEIYGCEELKYFIPLSMARELRQIHSMIVVSCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEESSASEMNNRIQIVQSKTEPMEKISILFSSLWVRLSKLQKLTLYNCGLVKALFPSSVAQQFVQLKELNISACCKMEYIVAEAKEEEKNKGINKIAFPNLTELGLNDLPELVAFFADNDFSFELYSLVDLNIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTYLDLSYLPNLMGFCKDNNVSLEWSLLEQLTIFGCQKMKIFCVSVPKSSKLSTSAEVDHLNTTFCATLIPRKRKKQDNNFSKEVSLIKNQGDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGGLNSVEDIRLVSLPNLKHVWFKIPPEITAFQNLRKLIIQDCDNLINLFSICSAKLLRKLQSIEIRRCKRMEEIIGKEDEEISTQKIEFPQLRSLTFEDLPNLNSFCNRIYALEFPFLERLEFRKCKRMETFSYGSLSMPKLERVMIEGSDSVEVIFSFEGLINGVLNSVEEICLVNLPNLKHVWFKIPPEVTAFQNLRELIVIDCDNLINLFSICSAKLVGNLQSIEIRRCNRMEEIIGKEDEEISTQKIVFPQLRSLTLEDLPNLNSFCNRIYALELPFLETLKFLNWKRMETFFYGSLSMPKLEKVMINRRLHQLMGSDPNLNAKMSELLKMNQ >Manes.02G221151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21894856:21916173:-1 gene:Manes.02G221151.v8.1 transcript:Manes.02G221151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLPIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETTGDSIGHGLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKRVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWKESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYGDGYNNSTAISLLCEDIKKLKDHLKCSNLELLQLWNDCQNDCQLQSLPINVLERMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRWVENLRYIPPDVLVGLSKLEELYLPHRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGDSEVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKILNEIEDNSYADLKRDECVGALVRIPESPKSPLPYLSNLRKVEIYGCEELKYFIPLSMARELRQIHSMIVVSCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEESSASEMNNRIQIVQSKTEPMEKISILFSSLWVRLSKLQKLTLYNCGLVKALFPSSVAQQFVQLKELNISACCKMEYIVAEAKEEEKNKGINKIAFPNLTELGLNDLPELVAFFADNDFSFELYSLVDLNIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTYLDLSYLPNLMGFCKDNNVSLEWSLLEQLTIFGCQKMKIFCVSVPKSSKLSTSAEVDHLNTTFCATLIPRKRKKQDNNFSKEVSLIKNQGDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGGLNSVEDIRLVSLPNLKHVWFKIPPEITAFQNLRKLIIQDCDNLINLFSICSAKLLRKLQSIEIRRCKRMEEIIGKEDEEISTQKIEFPQLRSLTFEDLPNLNSFCNRIYALEFPFLERLEFRKCKRMETFSYGSLSMPKLERVMIEGSDSVEVIFSFEGLINGVLNSVEEICLVNLPNLKHVWFKIPPEVTAFQNLRELIVIDCDNLINLFSICSAKLVGNLQSIEIRRCNRMEEIIGKEDEEISTQKIVFPQLRSLTLEDLPNLNSFCNRIYALELPFLETLKFLNWKRMETFFYGSLSMPKLEKVMINRRLHQLMGSDPNLNAKMSELLKMNQ >Manes.02G221151.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21894856:21916174:-1 gene:Manes.02G221151.v8.1 transcript:Manes.02G221151.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLPIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETTGDSIGHGLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKRVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWKESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYGDGYNNSTAISLLCEDIKKLKDHLKCSNLELLQLWNDCQNDCQLQSLPINVLERMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRWVENLRYIPPDVLVGLSKLEELYLPHRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGDSEVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKILNEIEDNSYADLKRDECVGALVRIPESPKSPLPYLSNLRKVEIYGCEELKYFIPLSMARELRQIHSMIVVSCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEESSASEMNNRIQIVQSKTEPMEKISILFSSLWVRLSKLQKLTLYNCGLVKALFPSSVAQQFVQLKELNISACCKMEYIVAEAKEEEKNKGINKIAFPNLTELGLNDLPELVAFFADNDFSFELYSLVDLNIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTYLDLSYLPNLMGFCKDNNVSLEWSLLEQLTIFGCQKMKIFCVSVPKSSKLSTSAEVDHLNTTFCATLIPRKRKKQDNNFSKEVSLIKNQGDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGGLNSVEDIRLVSLPNLKHVWFKIPPEITAFQNLRKLIIQDCDNLINLFSICSAKLLRKLQSIEIRRCKRMEEIIGKEDEEISTQKIEFPQLRSLTFEDLPNLNSFCNRIYALEFPFLERLEFRKCKRMETFSYGSLSMPKLERVMIEGSDSVEVIFSFEGLINGVLNSVEEICLVNLPNLKHVWFKIPPEVTAFQNLRELIVIDCDNLINLFSICSAKLVGNLQSIEIRRCNRMEEIIGKEDEEISTQKIVFPQLRSLTLEDLPNLNSFCNRIYALELPFLETLKFLNWKRMETFFYGSLSMPKLEKVMINRRLHQLMGSDPNLNAKMSELLKMNQ >Manes.02G221151.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21894856:21916174:-1 gene:Manes.02G221151.v8.1 transcript:Manes.02G221151.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLPIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETTGDSIGHGLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKRVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWKESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYGDGYNNSTAISLLCEDIKKLKDHLKCSNLELLQLWNDCQNDCQLQSLPINVLERMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRWVENLRYIPPDVLVGLSKLEELYLPHRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGDSEVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKILNEIEDNSYADLKRDECVGALVRIPESPKSPLPYLSNLRKVEIYGCEELKYFIPLSMARELRQIHSMIVVSCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEESSASEMNNRIQIVQSKTEPMEKISILFSSLWVRLSKLQKLTLYNCGLVKALFPSSVAQQFVQLKELNISACCKMEYIVAEAKEEEKNKGINKIAFPNLTELGLNDLPELVAFFADNDFSFELYSLVDLNIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTYLDLSYLPNLMGFCKDNNVSLEWSLLEQLTIFGCQKMKIFCVSVPKSSKLSTSAEVDHLNTTFCATLIPRKRKKQDNNFSKEVSLIKNQGDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGGLNSVEDIRLVSLPNLKHVWFKIPPEITAFQNLRKLIIQDCDNLINLFSICSAKLLRKLQSIEIRRCKRMEEIIGKEDEEISTQKIEFPQLRSLTFEDLPNLNSFCNRIYALEFPFLERLEFRKCKRMETFSYGSLSMPKLERVMIEGSDSVEVIFSFEGLINGVLNSVEEICLVNLPNLKHVWFKIPPEVTAFQNLRELIVIDCDNLINLFSICSAKLVGNLQSIEIRRCNRMEEIIGKEDEEISTQKIVFPQLRSLTLEDLPNLNSFCNRIYALELPFLETLKFLNWKRMETFFYGSLSMPKLEKVMINRRLHQLMGSDPNLNAKMSELLKMNQ >Manes.02G221151.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21894856:21916173:-1 gene:Manes.02G221151.v8.1 transcript:Manes.02G221151.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLPIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETTGDSIGHGLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKRVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWKESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDHLKCSNLELLQLWNDCQNDCQLQSLPINVLERMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRWVENLRYIPPDVLVGLSKLEELYLPHRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGDSEVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKILNEIEDNSYADLKRDECVGALVRIPESPKSPLPYLSNLRKVEIYGCEELKYFIPLSMARELRQIHSMIVVSCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEESSASEMNNRIQIVQSKTEPMEKISILFSSLWVRLSKLQKLTLYNCGLVKALFPSSVAQQFVQLKELNISACCKMEYIVAEAKEEEKNKGINKIAFPNLTELGLNDLPELVAFFADNDFSFELYSLVDLNIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTYLDLSYLPNLMGFCKDNNVSLEWSLLEQLTIFGCQKMKIFCVSVPKSSKLSTSAEVDHLNTTFCATLIPRKRKKQDNNFSKEVSLIKNQGDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGGLNSVEDIRLVSLPNLKHVWFKIPPEITAFQNLRKLIIQDCDNLINLFSICSAKLLRKLQSIEIRRCKRMEEIIGKEDEEISTQKIEFPQLRSLTFEDLPNLNSFCNRIYALEFPFLERLEFRKCKRMETFSYGSLSMPKLERVMIEGSDSVEVIFSFEGLINGVLNSVEEICLVNLPNLKHVWFKIPPEVTAFQNLRELIVIDCDNLINLFSICSAKLVGNLQSIEIRRCNRMEEIIGKEDEEISTQKIVFPQLRSLTLEDLPNLNSFCNRIYALELPFLETLKFLNWKRMETFFYGSLSMPKLEKVMINRRLHQLMGSDPNLNAKMSELLKMNQ >Manes.02G221151.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21894856:21916174:-1 gene:Manes.02G221151.v8.1 transcript:Manes.02G221151.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLPIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETTGDSIGHGLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHIWNDVLRQLKNSKLEDISGMKTKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKRVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWKESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYGDGYNNSTAISLLCEDIKKLKDHLKCSNLELLQLWNDCQNDCQLQSLPINVLERMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRWVENLRYIPPDVLVGLSKLEELYLPHRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGDSEVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKILNEIEDNSYADLKRDECVGALVRIPESPKSPLPYLSNLRKVEIYGCEELKYFIPLSMARELRQIHSMIVVSCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEESSASEMNNRIQIVQSKTEPMEKISILFSSLWVRLSKLQKLTLYNCGLVKALFPSSVAQQFVQLKELNISACCKMEYIVAEAKEEEKNKGINKIAFPNLTELGLNDLPELVAFFADNDFSFELYSLVDLNIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVQLKDLTILSCEKMEYIVAKDKGRSKIVLFPSLTYLDLSYLPNLMGFCKDNNVSLEWSLLEQLTIFGCQKMKIFCVSVPKSSKLSTSAEVDHLNTTFCATLIPRKRKKQDNNFSKEVSLIKNQGDPSVSNIDESCAFPSKLIQQLQNVKDLKIEGIDSVEVIFSFEGLINGGLNSVEDIRLVSLPNLKHVWFKIPPEITAFQNLRKLIIQDCDNLINLFSICSAKLLRKLQSIEIRRCKRMEEIIGKEDEEISTQKIEFPQLRSLTFEDLPNLNSFCNRIYALEFPFLERLEFRKCKRMETFSYGSLSMPKLERVMIEGSDSVEVIFSFEGLINGVLNSVEEICLVNLPNLKHVWFKIPPEVTAFQNLRELIVIDCDNLINLFSICSAKLVGNLQSIEIRRCNRMEEIIGKEDEEISTQKIVFPQLRSLTLEDLPNLNSFCNRIYALELPFLETLKFLNWKRMETFFYGSLSMPKLEKVMINRRLHQLMGSDPNLNAKMSELLKMNQ >Manes.02G155150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12072760:12073533:-1 gene:Manes.02G155150.v8.1 transcript:Manes.02G155150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSIGCIEGNEEGDGDGDGDESDDGDGDGDGDGDERDGDGDGDGDESDGDESDGDGDGDEGENDDDNGGITGGSEKNGDGDEGENDGDNGNGDIGGITGRSEENGDGDEGESDGDEESDTGGITGGSEKNGDGDEGENDGDNGNGDTGGITGRSEENGDGEGRDIGGITGGSEENGDGDERDTGGITGGSEENGDGDEGEGERDIGDTTGGSEKNGDGDEGESDGDEGEGETDTGGITGRSEKNGDGAFAIWCLWCLL >Manes.08G111800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35117437:35125128:-1 gene:Manes.08G111800.v8.1 transcript:Manes.08G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLILKRSFNRSLLLSQKSCTGRQLISSLFSSLSELQVKSPPSSGLRDFPSLDQNYKFLLSGFSSFLLKTIERPPRSQFRGSKRYLSSRSSERCRASASGIGILQRDNSGSASALLSRVILPYDSNKDQLHSKRFCMFSTETAVELSTSDGLTVDRIVASNWTILDESESDWKSHAAAISQSIQVVKRRLQWKKLMVRLDLLSAELNKPDLWGDPVHAGKISREHGSLMAKMKEVIAFERELLEHIDMIKLAREEDDTELESESLNTLLRMRRNSKQKELEALLGGEHDSCPCYIEVQAGAGGTESMDWAKMVMQMYKLWAQRKGYKVTVVDEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSTHVQINESDLRIERFRAGGAGGQHVNTTESAVRIVHIPTGITATCQNERSQHMNKASAMAVLQSRLDQLEMARQAQMNAQHTQSLTEISWGNQIRTYVLHPYRMVKDLRTNYEVSDPDSVLEGAIDGFILSYLSASLDKEEVYH >Manes.16G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28524898:28528346:-1 gene:Manes.16G080000.v8.1 transcript:Manes.16G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSFSAMDVKGASDIEHMSPTSGLQHELWPLDEIDPSKAKFPCCLVWTPLPVVSWLAPFIGHVGICREDGTILDFAGSGFVNVDDLAFGPVARCLQLDREQLLMQCCFPPNLAGHTCKHGYMHTKYGTAITWDDALQSSKSHFEHKTYNLFTCNSHSFVANCLNRLCYRGSMSWNMVNVAALILFKGHWINWKSIIRSFFSFAVVLCLGIFFVGWPFLIGLFSLSFLFMGWFLMGTYCAKSLLEC >Manes.16G080000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28524898:28528347:-1 gene:Manes.16G080000.v8.1 transcript:Manes.16G080000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSFSAMDVKGASDIEHMSPTSGLQHELWPLDEIDPSKAKFPCCLVWTPLPVVSWLAPFIGHVGICREDGTILDFAGSGFVNVDDLAFGPVARCLQLDREQCCFPPNLAGHTCKHGYMHTKYGTAITWDDALQSSKSHFEHKTYNLFTCNSHSFVANCLNRLCYRGSMSWNMVNVAALILFKGHWINWKSIIRSFFSFAVVLCLGIFFVGWPFLIGLFSLSFLFMGWFLMGTYCAKSLLEC >Manes.12G097540.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:23101916:23102831:1 gene:Manes.12G097540.v8.1 transcript:Manes.12G097540.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGITKEAAISRNEQQLQPATSRQPLSFSSRRRSSTHRSCNGCAFATDRSSAEDDFVFFVDENQARERFLLSILRATTTTRASSSFPTILRMPDDDLSSHRCCNCARHAC >Manes.06G090100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22581651:22587175:-1 gene:Manes.06G090100.v8.1 transcript:Manes.06G090100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPLCSPTQINGLGGALRLQKTHLYLPSSVTITRRKIHTVVKATARVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVGGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPDQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLSAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRICAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSAVFGAKDYAEAIRGIKTSKRPVAVPA >Manes.06G090100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22581651:22587270:-1 gene:Manes.06G090100.v8.1 transcript:Manes.06G090100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAPLCSPTQINGLGGALRLQKTHLYLPSSVTITRRKIHTVVKATARVDKFSKSDIIVSPSILSANFAKLGEQVKAVEVGGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPDQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLSAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRICAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSAVFGAKDYAEAIRGIKTSKRPVAVPA >Manes.02G197300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16342251:16342742:-1 gene:Manes.02G197300.v8.1 transcript:Manes.02G197300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKEVKHGLISDESARKATFEEKKADLLRELEELTTLYGVTGCAIIFNADGSSPDVWPSHSEALSVLEQFRNLPPEEQCEYMLDQESFLVRDISWLSDKLEKEKKKNKMIEQQLFLAKCITAKNMHFPNSLKNMNDMSDLLKENPGSITDKIDQAKDENEEE >Manes.08G081500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:27632356:27634470:1 gene:Manes.08G081500.v8.1 transcript:Manes.08G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYSSQWPPLLIFFALIIFTRAQLPGTWELLVPNAGIASMHTAVTRFNTVVLLDRTNIGPSRKMLPKGHCRFDPKEIVLKRDCYAHSVLFDPQTKQIRPLMILTDTWCSSGQFLPDGTLLHTGGDLDGHNKIRKFEPCEPNGSCDWVELDDVKLSEGRWYATNQILPDGSVIIVGGRGANTVEYYPPRNGAVSFPFLYEVEDHQMDNLYPYVHLLPNGHLFIFANNRAVLYDHETNRVIREYPPLDGGPRNYPSAGSSSMLALEGDYSTAMIVVCGGAQYGAFIEKSTDTPAHGSCGRIVATSPDPVWEMETMPFGRIMGDMVMLPTGEVLIINGAQAGTQGFEMASNPCLYPLLYRPDQPAGLRFMTLNPGTVPRLYHSTANLLPDGRVLLAGSNPHYFYKFNAEFPTELRIEAFSPEYLSPDRANVRPVIEEIPDTVRYGEVFNVLISAPLPVVEIVQVNLGSAPFATHSFSQGQRLIKLTVTPSVPDSSGRYRIGCTAPPNGAVAPPGYYMVFAVNQGVPSVARWVHLVK >Manes.03G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3903398:3908205:1 gene:Manes.03G044600.v8.1 transcript:Manes.03G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERETQNPQQQQVSFTVEQLVAVNPYNPDILPDLENYVNEQISSQKYSLDANLCLLRLYQFEPERMSTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEKFKTLMVLSHYLETGRFRQFWDEAAKSRNIVEAVPGFEQAIQSYAIHLLSLTYQKVPRSVLAEAINIEGLSLDKFLEQQMTNCGWKIEQGHGKGQFIVLPSNEFNHPQLKKNTADGIPLEHITRIFPILG >Manes.18G077612.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7090445:7091297:-1 gene:Manes.18G077612.v8.1 transcript:Manes.18G077612.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPMRLFPAVFLLLLLLVATEMGPMMAEGRKCESQSHKFKGICLSDTNCASVCKTEGFTGGDCKGARRRCFCTRQC >Manes.18G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9375794:9380803:1 gene:Manes.18G100900.v8.1 transcript:Manes.18G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARILIGDIGNNRPIMKDEENAKEDDSPSSKKLKLERFPFTRWEFAAALGVFFVFSSGLFCIYLTMPTSVYVNLKLPRTVSDLRLLKENLATYAKDYPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGLFLVVFNATAGASSCFFLSKLIGRPIVNWLWPEKLRVFQAEIAKRREKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHVFFLATLLGLIPASYITVRAGLALGDLKSVKDLYDFKTLSMLFLIGSIIIFPTLLKRKRIYE >Manes.18G100900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9375794:9379669:1 gene:Manes.18G100900.v8.1 transcript:Manes.18G100900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARILIGDIGNNRPIMKDEENAKEDDSPSSKKLKLERFPFTRWEFAAALGVFFVFSSGLFCIYLTMPTSVYVNLKLPRTVSDLRLLKENLATYAKDYPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGLFLVVFNATAGASSCFFLSKLIGRPIVNWLWPEKLRVFQAEIAKRREKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHVFFLATLLGLIPASYITVRVSFSFASFRIFLESSYCFSSRYLIG >Manes.16G108500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31385189:31388639:1 gene:Manes.16G108500.v8.1 transcript:Manes.16G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVALILVFLLGGFILIPRRLKSAQRQKVQSSISEKKVSKFYSKAEVSLHNKRTDCWIIIKEKVYDVTSYVEEHPGGDAILAHAGDDSTEGFYGPQHATRVFDMIDDFYIGDLEQ >Manes.01G081200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28396974:28397378:-1 gene:Manes.01G081200.v8.1 transcript:Manes.01G081200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCYRFMCRSSISSIKSAIRSNASKSPIARPPISPSSSPHSPSSSLRRFSFSYRTPSELGCVQSLLPLHSAVATSRMMSCLSTTSRSCRALSQGTLCCTSPGL >Manes.14G136500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11878415:11883323:1 gene:Manes.14G136500.v8.1 transcript:Manes.14G136500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLNASLFLPFQHLNHLDLNYNQIAGCFKNEGFERLSSLENLEFLNLGLNKFNTDILSSLAHLSSLKYLYLNDNDMKGRINTEELNNLTNLKNLIISGNKIKGFKSFNGGEELLNMSNLEFLDMRHNHLGNGVLSLKGLSSLKTLWIDYNQLKGSFDLKELDTMSNLEVLSLGGNNITKFISSRGMRNLRALYLIAIKGSGMLLQSLGAFTNLETLYMSWSDLKGTRFAQGSNLTNLKELYLYGCSVDENFLQSFEILPSLETLSLWGCGLSGIIPVNQDSNLTNLRELYLDYSSVDENLLQYLKALPSLETLSMQGCGFSGIITMNQGICKLKHLQILDISYNDLSGNLPLCLANLTSLRQLDLSFNHFIGNISSSPLEGLTNLEYLSVSGNLFQIPISLSPFFNHSKLKYMESRGNKIFAETDGQYLNSRFQLERLVLSSGGYCGAFPKFLYHQHNLQFVDLSHNQMREGFPSWLLQNNTKLEELYLINNSLSGPLKLPIHSHMNLSLLDISDNFFQGYITPEIGTYLPKLSHLNMLGNGFSGSIPSSFGNMSLLRYLDLSNNRLSGIIPEDLTIGCVSLRELILSNNSLQGQIFSEISNLRFLYELQLDGNQFTGSIPHSLSNNSFIEVLDLSHNNLYGRIPRWLGDMHFLRVLDLSMNNISGSLPSNFCPSNIQEIYLSRNGLQGSLEDAFYGCSELIVLDLGHNHMTGSIPSCHNLRVMDISYNHLSGKIPNWIRNMSSLQILDLSQNNISGSLPSNFCPRDLTEVHLSKNMLQGLLKDSFYNCPSLVVLDLSHNNLIGRIPKWIGEIPLGYILLSHNHFEGEIPIQLCKLDKLSLIDLSYNNLSGHIPHCLRCSSNYWYRQQEALLDPPTTALAPSVAYSPDVQPEQPVEFTTKNSSYFYQPSILHYFSGIDLSCNNLTGEIPPELGKLDMIKVLNLSHNKLIGAIPPTFSNLRQIESLDLSYNNLQGKIPSQLTQLYSLAVFNVAHNNLSGKTPERVAQFATFDQSSYEGNPLLCGLPLPKSCNNTSPSPPVTPTEEKEDNGFMDMGVFYVSFVVSYIMVLLAIAAVLYINPYWRRRWFYFIETSLTNCYYFLVDNIPLLSKLGVS >Manes.01G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33416411:33417605:-1 gene:Manes.01G143400.v8.1 transcript:Manes.01G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQWLCGFCFKPTNENLVHFYLQRKLDGQPLPPGLITDCDIHAQNSWKILGDIKNFDGFYYVFTNLKRMSQTTNRFQQYEGKTHWAKKAFIFEVDKKNLKSVGQNNGRWLMVEFSLGNEGFDGKAVLCRIYNKHARDFHKKEQVGVDYSNCEAIVPYVEKKQVSLADDKEGNQHPTKKMKKQKSTADDEEGHQNSKKMKQQEPVDLYGESIGSTSCCPSNFVVEQLPLSSDYSSRFPEDEAVFLADGELNSLLDFPSDYDLTSLLLDMDVGRGTSPEDELSKFLAENIDDGGFFSSMPPLIMQCNKVNKDDENGNNLSSSMPLQGGFCDRNAVLRDVN >Manes.16G031102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3734516:3737717:-1 gene:Manes.16G031102.v8.1 transcript:Manes.16G031102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFWTSRLVATKRQYTLRHHHQSSYLDGLSLDDFEVVDEVRPEFPCPYCYEDFDIASLCSHLEDEHPCKSKVIVCPICSVKVARDMLSHITLQHRHLIAITNSQALSLLGRDLREAHLQVLLGSGGPGGYRSINSNFRPSLSYEEREKRMRQAAGRAGFVQDLILSTLLSD >Manes.12G083600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12238585:12240255:1 gene:Manes.12G083600.v8.1 transcript:Manes.12G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWETVLFSCALLLSLFVPSSLAQTCRGHTFTSNQLFTACSDLPVLSSFLYWNYHPTNLTADIAFRKTGASTNGWVAWALNPNGQQMVGSQAILAFLNSSGVPTAYTTPITSLSPSMQPGDLSFQVSNLKAEYSNGDMIIFATLHLTSSLISTNQVWQEGPMSGTTFNPHAMDSTNKASVGTINFETGATVAGTIRTSSKKNVHGVLNAVSWGVLMPMGIMIARYLKVFKVANPAWFYLHVACQSSAYIVGVAGWGTGLKLGRDSPGIKYSKHRNIGITLFCFVTLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIILSIKNIYGGFDILDREKKWKKIYTGIIIFLGAVAALLEISTWIIVLKRKKTASSDKHINGTDGYGA >Manes.10G037224.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3765566:3766888:1 gene:Manes.10G037224.v8.1 transcript:Manes.10G037224.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAKVVGTMVGIGGAMLLTFYKGSKINIWTTHINLLKHYQPHETHKASSSPRNRALSCLLGLASCLSFALWLIIQTKMSTRYPLPYSCSALMVFMASIQATAYALFMEKDWSVWKLGWNIRLFTAAYTGIVVAGLMNTLIIWCVRLRGPLFVSVFNPLLLIFTAIAGSLFIDENLYLASSVGEKCRDEEDESACSHDNLP >Manes.13G091940.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:23669188:23669742:1 gene:Manes.13G091940.v8.1 transcript:Manes.13G091940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQCIRVLDLLLVQLTLQEFSPVAEVLGWARFLLDFQLLHVQQVVPPLLDFLP >Manes.11G121700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28287411:28293111:-1 gene:Manes.11G121700.v8.1 transcript:Manes.11G121700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLDEGIEIAESSAGQELLVQENDSIVQGGDSIVEPQEGMEFESEDAAKIFYDEYARQIGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGNVRKPRPSTREGCKAMIHVKFDKSGKWVITKFVKEHNHPLVVAPREARQSMSEKDKKIQELTMELRNKKRLCATYQDHLTAFMKIVEEHSEQLSKKVQNVARNLKEFESIELELQQHRQSP >Manes.01G184600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36246275:36247870:1 gene:Manes.01G184600.v8.1 transcript:Manes.01G184600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPTPTPKLSLLSLPSKPQESPAGMLTPPIHTLASVPFQWEEAPGKPRPLATNQVHPKSKTARCLELPPRMLCEARVNNVPSPATVSDVPDLGAQHQSLPRSRSLSFGKGMSLFSRFENLGRRDNKGGAISGSSRWGSFRRNKQVVEGSVDISTSPVVDRGCGGGGEGVSTKVKITRIRRKSSFLSFSSTRTHLWTNIYESFKQVGLWKRRQQKN >Manes.08G122900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36255215:36258091:1 gene:Manes.08G122900.v8.1 transcript:Manes.08G122900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGTTGVAAAAAPAGPLHSHTLNYHHSHYPPSQHHAILSSTSILIIIISGISVIVLVLIFLIMAILRRIKSAKTRSTSFKDNGCVNNNSCRFSSHHTITFTSSPDVRGGCLYGSNLGHKSPRKHGVVQVFTYKELEVATDGFSETKVIGRGAYGVVYKGILADGTVAAIKMLHRQGKQGERAFRIEVDLLSRLHSPYLVELLGYCADQHHRLLIFEFMSNGALQNLLHHKQSQPLDWGTRLQIALDCARALEFLHEHTIPAVIHRDFKCSNILLDENFHAKVSDFGFAKMGSEKINGQISTRVMGTAGYLAPEYAYDKIRCVQLWHGSFTAVNRPDSGRHQAASWRTCPCLLGSSKVN >Manes.08G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36255215:36258091:1 gene:Manes.08G122900.v8.1 transcript:Manes.08G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGTTGVAAAAAPAGPLHSHTLNYHHSHYPPSQHHAILSSTSILIIIISGISVIVLVLIFLIMAILRRIKSAKTRSTSFKDNGCVNNNSCRFSSHHTITFTSSPDVRGGCLYGSNLGHKSPRKHGVVQVFTYKELEVATDGFSETKVIGRGAYGVVYKGILADGTVAAIKMLHRQGKQGERAFRIEVDLLSRLHSPYLVELLGYCADQHHRLLIFEFMSNGALQNLLHHKQSQPLDWGTRLQIALDCARALEFLHEHTIPAVIHRDFKCSNILLDENFHAKVSDFGFAKMGSEKINGQISTRVMGTAGYLAPEYASTGMLTTKSDVYSYGMVLLQLLTGRIPVDTKRPPGEHVLVSWALPRLTNREKVGEMVDPALRGLYSRKDLIQVAAIAAMCVQPEPDYRPLMTDVVQSLIPLVKNLS >Manes.18G038901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3431101:3433178:-1 gene:Manes.18G038901.v8.1 transcript:Manes.18G038901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTATSFHSTPVLSPAGSPPHSHSSVGRHSRESSSSRFSGSLKPGSRKINPNDASRGGQGKGQKQWKECMVIEEEGLLEDEERERGLPRRCYFLIFVLGFFVLFSMFSLILWGASKPQKPKITMKSITFEQFSIQAGSDSTGVATDMITVNSTVKMIYRNTGTFFGVHVTSTPVDLSYSEITIASGNLKKFYQSRKSQRSVAISVMSNKIPLYGSGAGLSSSTGTATLPVPLKLNFIVRSRANVLGKLVKPKFYKRIECDVTFDAKKLNSPISLKKSCTYD >Manes.18G038901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3431101:3433178:-1 gene:Manes.18G038901.v8.1 transcript:Manes.18G038901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTATSFHSTPVLSPAGSPPHSHSSVGRHSRESSSSRFSGSLKPGSRKINPNDASRGGQGKGQKQWKECMVIEEEGLLEDEERERGLPRRCYFLIFVLGFFVLFSMFSLILWGASKPQKPKITMKSITFEQFSIQAGSDSTGVATDMITVNSTVKMIYRNTGTFFGVHVTSTPVDLSYSEITIASGNVSL >Manes.12G114666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31541853:31542569:1 gene:Manes.12G114666.v8.1 transcript:Manes.12G114666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKEDNPKTTLLVISRSIYLSSVRDPASSPFPCFCSLCYCNFLISISVFAQKQIKKSSSKLLHHLPRSQFSLSTMRVVYRNVR >Manes.15G060700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4642663:4643379:1 gene:Manes.15G060700.v8.1 transcript:Manes.15G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAESRISSSQCYFKLSLLFFAVFVPSLIFICFLNFGFSPLLVTISILLVSTILILTFSKIKVIAVENPTQDDEVSMRRHKNLLENEVEQNLNPELEAVTLCSAAQQCEVGDNHEYQVESTDFPSASESGDDFSESDNFELNWVSFNNVGKNVATSENSLSSDEDEDEDDNLIEISFPDYSSVELNESAEEKLQTEYLPESIFRQEGLMELLADINDVNEEDNLIEIDLSMGSIKG >Manes.11G135800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30067231:30068665:-1 gene:Manes.11G135800.v8.1 transcript:Manes.11G135800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMDFFCSSPASTAICSSLDHRSMVRSGTTRPIDYRRSKSYAPCSSHHLPFNPKPYYEKNRKSSANEQDDLRRKSSGDISDLRRPTASSSRSSSPADRILEPQQATKSKHSSSVDHSPALKSSSSARSRDQVVVLWVSIHCKGCEGKVRKHISKMEGVTSFSIDLATKKVTVIGNVTPLGVLASVSKVKYAQLWPSPATPSSHVSSTR >Manes.11G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30067231:30068665:-1 gene:Manes.11G135800.v8.1 transcript:Manes.11G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMDFFCSSPASTAICSSLDHRSMVRSGTTRPIDYRRSKSYAPCSSHHLPFNPKPYYEKNRKSSANEQDDLRRKSSGDISDLRRPTASSSRYLLSDTVPFIDWISESQHTGLTNAPASHRRSSSPADRILEPQQATKSKHSSSVDHSPALKSSSSARSRDQVVVLWVSIHCKGCEGKVRKHISKMEGVTSFSIDLATKKVTVIGNVTPLGVLASVSKVKYAQLWPSPATPSSHVSSTR >Manes.18G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2404058:2406132:-1 gene:Manes.18G028000.v8.1 transcript:Manes.18G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNFDKENGLDIVLEVPIPEEMFTSMGSNGASRWANMRAMMCAQSADKSSHLQAKSNNEFIALLKLVGSPLIPLQVHPDQPVTRPFRDCSIEASTAQYIVQQYVAATGGSVTLNSVTSMYAVGQVKMAASDMHEDDDNVHAGGDSESGGFVIWQKNPDLWYFELVVAGYKVSAGSDGKVAWNQSSSQPSHANRGPPRPLRRFFQGLDPRCTANLFLEAVCTGEKNVNDEDCFELKVETDANILKTQSSPTTEILHHTTWGYFSQRTGLLVKFEDTKLVKMKPIKGNNSVLWKTSMESVIEDYRYIEGINIAHSGKTSTTLHRHGASLNHKRKIEETWRIEEVDFNICGLSMDCFLPPADLKRDQQEGGEQQR >Manes.12G089940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15864962:15866779:-1 gene:Manes.12G089940.v8.1 transcript:Manes.12G089940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILALRAKDKLCFINGKIEQLEENSVEYDQWHRVDSMIMSWILNAMSKELLDAFLYVTNFRELWIEIEERFVESNGPMLYQIKREINVFSQGNMPVSLYFTKLKKLWDELACLLSMLECNCGAAKLVAEREDNDHVIQFLIGLGDHYDNVKNQILLIEPLPSISKVFSMVQRVEKQREVHDNLTNQTVILVKTSISKREYGGGKQQKRGEGRKKDRQCTYCHKIRHIRETSFKLNGFPDCSIVQQGIVKYLKGKPISDANCSNFSGFAGNALYFSGDHSPTQGMWIINYGATSHLKYDQILFKSKIKPRNPMPVYLPDGSINNDLLTKDVIAYGRIHDGLLGHASKEVVNHIEDVGEFNNISECDFFHISKQQRLSFNKSDAHSNVLQSSIDGARYMLTILDDISRSTWIYMLNDKTQTTSALEGFLNLVKI >Manes.16G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32709575:32714198:1 gene:Manes.16G125600.v8.1 transcript:Manes.16G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGNLFFAVLVTLSVALITYNILISANAPLKQDLPGPSTTSSSFLSVDPIIKMPLERSRRYGSAKKRLFHAAVTASDSVYNTWQCRVMYYWFKKFKDGPNSEMGGFTRILHSGKPDKFMDEIPTFIAQPLPSGMDQGYIVLNRPWAFVQWLQQADIKEDYILMAEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYEPVLRKYFPEDKGPITSIDPIGNSPVIIGKEPLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYAYAVASALHGVSNILYKDFMIQPPWDTEIGKKFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSFDSVAPPRNLSLPPPGVPESVVTLVKMVNEATANIPNWGS >Manes.16G122700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32491343:32492530:1 gene:Manes.16G122700.v8.1 transcript:Manes.16G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSGSNVDGSITRIHRWVITHVCTCKHTFLRILSIANLSVLCGEEMTNEIAFLFASTQNLPFKLSLDFSIIVSDSRKAQAPNCNPPAMAAVIMASSKPIIPLSSSLPTTTRPRLQIPQLSLPIKILPKITKTQLARLSSSTLKSLSLLAATSFTFAPPSLAEEIEKAALFDFDLTLPIMMVQFLILMVTLDKLYFSPLGKFMDERDAAIKEKLGSVKDTSTEVKQLEEQAAAVMRAARAEISAALNKMKNETQAELEEKLAAEKKKIEAELQEALGNLEKQREETIKSLDSQISALSDEIVKKVLPAQ >Manes.03G134802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26242021:26243064:-1 gene:Manes.03G134802.v8.1 transcript:Manes.03G134802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMELKSFIKVWVLAITCLCYCYYVAARLPKGTLRLLSILPVIYIFIVLPTNLTSPNLCGPTAFFLAWLANFKLLLFSFDQAPLSPLPPKLFHFISLACLPIQLKQKTHVHTNPSPHFMPRSLLLAIKTFVLVLLFHIYSYRQFMHPYVILVLYCLHVYLHVELVLAISAVPARALFGFEIEPQFNEPYLATSLQDFWGRRWNLMVTSILRPTVYYPVHQFSKRLFGPTWASLPAVIATFWVSGLMHEVIYFYLTRVSPTWEVTWFFILHGICVAIEVVLKKVVKDRWQLHRAISGPLAVTFAGVTAFWLFFPQITRNRVDEQVIRECSILLNFIKHKVSSFFIA >Manes.09G029500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6230601:6239551:1 gene:Manes.09G029500.v8.1 transcript:Manes.09G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLPLRSNFDLSPISSALPKPALLPLFSSRRISTSIRPHVSRKWSFYCASSLHTIKQTKPGPQSNAPDFFRSVGRGFIGFAAGAAALASLCCDSPAFAESVTVAFPVSRAREVNTVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLNSADAAYTKISAMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGIFINVEPKSGQLVVLSCVEGSPAARAGIHGGDELIEINGERLDGLDSEAAARKLRGRVGTSVTVKVHSVQDSTSDSSIKEVKLPREYIKLSPIASSIIPHRTPDGRQTKTGYVKLSTFSQSAAADMANAVHEMETQGVHSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDRDGNMLPISMVDGHAVTHDPLVVLINEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGIMPDVQCTTEMLNSPKDESSASSLEADSCIMVAEHELDIQESRGTAS >Manes.07G112500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31815187:31817842:1 gene:Manes.07G112500.v8.1 transcript:Manes.07G112500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRTHRFIVFKIEEKQKQVIVEKLGEPTDSYEDFAASLPANECRYAVYDFDYVTDENCQKSRIVFIAWSPDTSKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRSN >Manes.13G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3147093:3149955:-1 gene:Manes.13G021700.v8.1 transcript:Manes.13G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWAFEQQLQPIITGGKKATYLHTLIDFQYQLFFERQASAIIFRFINLQAKALMAPYALPSWLLFLVLSLLLVFRCKRRRNKQLPPSPPKLPILGNLHQLGELPHQTYWQLSKKYGSVMLFKLGRISTVIVSSAEAAKQVLKDHDLACCSRPQLAGAGRLSYNYSDVAFTPYGDYWRNMKKLIILELFSLKRVKSFQSLREREIELFINSISESAASATPVNLTEKLLSLTANITFKMSFGIDYHGTDFDRKRFHEVVHDSEAVVAAFSIGELIPYVGWIVDWISGHHARTERVFNELDTFFQYVINDHLKPERKKEQDDMIDALIRMEKEQAELGNSKFTNNTIKGVLLNLFAAGVDTSAITVTWAMAELAKNPRVMTKVQDEIRNHVGKKGRLTEDDIDKLEYLKMVIKETFRLHPAAPLLVPRETISHCNINGYNIYPKTIIQVNVWAIGRDPQYWKDPEEFFPERFADRSIDFKGQNFEFLPFGAGRRICPGMHMGTITIESILANLLYWFDWKLPNGMKSEDINMEEKAGISLTLSKKIPLSFVPVKYLQ >Manes.01G179500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35879594:35880785:1 gene:Manes.01G179500.v8.1 transcript:Manes.01G179500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFVVAPAASFNHHHHHHQHQHLHQHESSINLSDPINGPNAATTALGVGMGVGVIPLLTAAPCLSPQNMDDQDLLNNGRNKISGIQFWQNQTSNHHSQYNLKKTSASILDHNNSSGNLLQSGNNTSSAGGIGGNSASSGTTTCQDCGNQAKKDCSHRRCRTCCKSRGYDCTTHVKSTWVPAARRRERQLMAAAAGGGAGSSGSTSGVKKPRLINSQTTTTSHTSTSNTTPPRSFDTSSSHQDASFKDALPGKVRAPAVFKCVRVTAVDGGEDEYAYQAVVKIGGHVFKGFLYDQGVEGRDGFPNISELHLGGGSSSGGGGDGGGRNGASSSPIIDPTDVYGASGGGLLGGSSYGNPIN >Manes.13G089700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20483330:20487994:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20481360:20488215:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20482409:20487994:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQAGRTHNEVSAGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20481543:20487994:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20481522:20487994:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20481784:20487994:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20482710:20487994:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20481360:20488125:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20481360:20488125:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20482409:20487994:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.13G089700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20482409:20487994:1 gene:Manes.13G089700.v8.1 transcript:Manes.13G089700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYDHIHQIGMWGESFKSNGISNASASIFVAGNLDSSPSILMAADTELDNQSEDTSHGTLGPSSKYDLEASKLTDKIQRRLAQNREAARKSRLRKKAYVQQLESSCLKLIQLEQELEMARNQGLYIGGVETSQMGFAGPINSGIATFEMGYRHWLEDQNKHTCDIRNAINVHLSDIELRILVESGINHYSELFRMKAIAAKADVFYLMSGMWKSSAERFFLWIGGFRPSELLKVLKPQLEPLTDQQLLDVCNLKQSCQQAEDALSQGMEKLQQTLAETVAAGRLGEASHMPQMNTAMEKLEGLVRFVQQADHLRQITLQQMSLILTARQAARGLLALGEYFERLRALSTIWVTNPRELA >Manes.09G001200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:324008:378940:-1 gene:Manes.09G001200.v8.1 transcript:Manes.09G001200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRLVSRTSISLSRVYCNLGFGGTCRSYNAVNSAPLKLEEDTRAEMGGNSSLDTDEIAKIRQEFDAAKQSFLKIPEALKGMPKMNPQGIYVNKNLNLDNIQVYGFDYDYTLAHYSSNLQSLIYDLAKEYMVNEFRYPEICMNFRYDKDFPIRGLYYDKKNGCLLKLDFFGSIEPDGCYYGRRKLSLNEIKHIYGTRHIGRDQARGLVGLMDFFCFSEACLIADIVQHFVDAKLEFDASYIYQDVNCAIQHVHRSGLAHRGILSDPCKYLVKNGQLLHFLRMLREKGKKLFLLTNSPYYFVDGGMDFMLEDSLGCRDSWRELFDVVIAKANKPEFYTSEHPFRCYDTERDTLAFTKVDKFLPGRIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELESEIRIQNDDSYRFEQAKLHIIHELLGKLYSTVANDRRSELYRLLLEELNEERQKTRQEMKKMFNKSFGATFLTDTGQESAFAYHIHQYADVYTSKPENFLLYSPEAWLHVPFDIKIMPHHVKVPSTLFKNQ >Manes.09G001200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:324008:378940:-1 gene:Manes.09G001200.v8.1 transcript:Manes.09G001200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRLVSRTSISLSRVYCNLGFGGTCRSYNAVNSAPLKLEEDTRAEMGGNSSLDTDEIAKIRQEFDAAKQSFLKIPEALKGMPKMNPQGIYVNKNLNLDNIQVYGFDYDYTLAHYSSNLQSLIYDLAKEYMVNEFRYPEICMNFRYDKDFPIRGLYYDKKNGCLLKLDFFGSIEPDGCYYGRRKLSLNEIKHIYGTRHIGRDQARGLVGLMDFFCFSEACLIADIVQHFVDAKLEFDASYIYQDVNCAIQHVHRSGLAHRGILSDPCKYLVKNGQLLHFLRMLREKGKKLFLLTNSPYYFVDGGMDFMLEDSLGCRDSWRELFDVVIAKANKPEFYTSEHPFRCYDTERDTLAFTKVDKFLPGRIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEALSQRLSWL >Manes.09G001200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:324008:378940:-1 gene:Manes.09G001200.v8.1 transcript:Manes.09G001200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPRSIWLMRYPEICMNFRYDKDFPIRGLYYDKKNGCLLKLDFFGSIEPDGCYYGRRKLSLNEIKHIYGTRHIGRDQARGLVGLMDFFCFSEACLIADIVQHFVDAKLEFDASYIYQDVNCAIQHVHRSGLAHRGILSDPCKYLVKNGQLLHFLRMLREKGKKLFLLTNSPYYFVDGGMDFMLEDSLGCRDSWRELFDVVIAKANKPEFYTSEHPFRCYDTERDTLAFTKVDKFLPGRIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELESEIRIQNDDSYRFEQAKLHIIHELLGKLYSTVANDRRSELYRLLLEELNEERQKTRQEMKKMFNKSFGATFLTDTGQESAFAYHIHQYADVYTSKPENFLLYSPEAWLHVPFDIKIMPHHVKVPSTLFKNQ >Manes.09G001200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:341290:378940:-1 gene:Manes.09G001200.v8.1 transcript:Manes.09G001200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRLVSRTSISLSRVYCNLGFGGTCRSYNAVNSAPLKLEEDTRAEMGGNSSLDTDEIAKIRQEFDAAKQSFLKIPEALKGMPKMNPQGIYVNKNLNLDNIQVYGFDYDYTLAHYSSNLQSLIYDLAKEYMVNEFRYPEICMNFRYDKDFPIRGLYYDKKNGCLLKLDFFGSIEPDGCYYGRRKLSLNEIKHIYGTRHIGRDQARGLVGLMDFFCFSEACLIADIVQHFVDAKLEFDASYIYQDVNCAIQHVHRSGLAHRGILSDPCKYLVKNGQLLHFLRMLREKGKKLFLLTNSPYYFVDGGMDFMLEDSLGCRDSWRELFDVVIAKANKPEFYTSEHPFRCYDTERDTLAFTKVDKFLPGRIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEALSQRLSWL >Manes.09G001200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:324008:378940:-1 gene:Manes.09G001200.v8.1 transcript:Manes.09G001200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRLVSRTSISLSRVYCNLGFGGTCRSYNAVNSAPLKLEEDTRAEMGGNSSLDTDEIAKIRQEFDAAKQSFLKIPEALKGMPKMNPQGIYVNKNLNLDNIQVYGFDYDYTLAHYSSNLQSLIYDLAKEYMVNEFRYPEICMNFRYDKDFPIRGLYYDKKNGCLLKLDFFGSIEPDGCYYGRRKLSLNEIKHIYGTRHIGRDQARGLVGLMDFFCFSEACLIADIVQHFVDAKLEFDASYIYQDVNCAIQHVHRSGLAHRGILSDPCKYLVKNGQLLHFLRMLREKGKKLFLLTNSPYYFVDGGMDFMLEDSLGCRDSWRELFDVVIAKANKPEFYTSEHPFRCYDTERDTLAFTKVDKFLPGRIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEAKLHIIHELLGKLYSTVANDRRSELYRLLLEELNEERQKTRQEMKKMFNKSFGATFLTDTGQESAFAYHIHQYADVYTSKPENFLLYSPEAWLHVPFDIKIMPHHVKVPSTLFKNQ >Manes.09G001200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:343790:378874:-1 gene:Manes.09G001200.v8.1 transcript:Manes.09G001200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRLVSRTSISLSRVYCNLGFGGTCRSYNAVNSAPLKLEEDTRAEMGGNSSLDTDEIAKIRQEFDAAKQSFLKIPEALKGMPKMNPQGIYVNKNLNLDNIQVYGFDYDYTLAHYSSNLQSLIYDLAKEYMVNEFRYPEICMNFRYDKDFPIRGLYYDKKNGCLLKLDFFGSIEPDGCYYGRRKLSLNEIKHIYGTRHIGRDQARGLVGLMDFFCFSEACLIADIVQHFVDAKLEFDASYIYQDVNCAIQHVHRSGLAHRGILSDPCKYLVKNGQLLHFLRMLREKGKKLFLLTNSPYYFVDGGMDFMLEDSLGCRDSWRELFDVVIAKANKPEFYTSEHPFRCYDTERDTLAFTKVDKFLPGRIYYHGCLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELEVCLLPYSF >Manes.05G099400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9238901:9244472:-1 gene:Manes.05G099400.v8.1 transcript:Manes.05G099400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAGWFCVAFVAVVSASSQEPELGSARVVFQTNYGDIEFGFFPSVAPKTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRSAPMNEEQRKEAEKTVIGEFSSVKHVRGILSMGRYSDPNSASSSFSMLLGDASHLDGQYAIFGKVTKGDDTLTKLEQLPTRREGIFVMPTERITILSSYYYDAKMETCEKESSILRRKLAASAIEIERQRMKCFP >Manes.12G007700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:869049:872033:-1 gene:Manes.12G007700.v8.1 transcript:Manes.12G007700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGSNHHQKLLPTFLNPPPNPTMAENNINNKNPAEIKDFQIMIANKDDNKKQLAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGASVSQQGTSVSTGLHTKIEGLGPSIGSRERANWTMMTSNLGRPNIAGGMWPSAGGIGAGFLSNTSQAATNFGNENPNNLPKFGFHGVEFPNINMGFMSLYSMFSGANQQIPGLELGLSQDGHTGLLNPQALSQFYQQMGQNRSSLNSFNQQQQQEQNPDEDDSQGSRR >Manes.17G031000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22574756:22576562:-1 gene:Manes.17G031000.v8.1 transcript:Manes.17G031000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTIAGVSSALNPMAAFTLSCFTPKTLAPSLSPLKPSFTPLISTSRSWALAPWHSVKGRKLQQPLMTRAAMNSDYSAKRSSSSETRDTIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKQRNSSKYESRRYERRRDGPPPERRRPRQGASTSEPASG >Manes.11G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30545431:30557398:-1 gene:Manes.11G140100.v8.1 transcript:Manes.11G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEKMEKFLDNIQPMDLMRSEKMSFVQLIIPAESAHRAISYLGEVGLLQFRDLNADKSPFQRTFVNQVKRCGEMSRKLRFFKDQINKAGLLSSAHPVIEPDVELEELEVQLAEHEHELIEMNSNSEKLQKSYNELLEFKMVLQKAVGFLVSTNNHAVAEETELHENVYSNDHYGDTASLLEQELRSVPPNQSGLRFISGIIPRSKVLRFERMLFRATRGNMLFNQAPADEEIMDPVSTEMVEKTVFVVFFSGEQARTKILKICEAFGANCYPVSEDITKQRQITREVLSRLSELEATLDAGIRHRNKALSSIGYQLTRWMNRVKREKAVYDTLNMLNFDVTKKCLVGEGWCPSFAKAQIQETLQRATFDSNSQVGIIFHVMDALESPPTYFRTNHFTNAFQEIVDAYGVARYEEANPAVYTVITFPFLFAVMFGDWGHGICLLMGALILIARESKLSSQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGGSAYKCRDTTCGDAHTAGLVKYQDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFASSLDIRYQFVPQIIFLNSLFGYLSLLVIIKWCSGSQADLYHVMIYMFLSPTDDLGENQLFWGQRPLQILLLLLALIAVPWMLFPKPFILKKLHTERFQGRTYGILGTSEMELDMEPGSARSHHEDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYQNIFIRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFASITEDDD >Manes.12G137200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34406025:34408796:-1 gene:Manes.12G137200.v8.1 transcript:Manes.12G137200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETKEFRIFVGTWNVGGRTPNFGINLEDFLQVEGCADLYVCGFQEIVPLSAGNVLVIEDNEPAARWLALINHALNKPRQEYYSFADSSPLRDFKSHNVKDPKFFNKSSLKVISKNLKADSNLLKICNCPVEYLSRERHRLRKLNESMAALDPEIDPRHRYNSSNSSIYTVGMPTSPTQIYSLIASKQMVGIFLSVWAKKELVPHIGHLRVSSVGRGIMGRLGNKGCISVSMSLHRTSLCFVCCHLASGEKEGDELRRNADVAEILKSTQFPKICKNPNPRAPEKIIDHEYELSFNIFALNSSPYLIFSLKKINFAAG >Manes.12G137200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34406025:34408796:-1 gene:Manes.12G137200.v8.1 transcript:Manes.12G137200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETKEFRIFVGTWNVGGRTPNFGINLEDFLQVEGCADLYVCGFQEIVPLSAGNVLVIEDNEPAARWLALINHALNKPRQEYYSFADSSPLRDFKSHNVKDPKFFNKSSLKVISKNLKADSNLLKICNCPVEYLSRERHRLRKLNESMAALDPEIDPRHRYNSSNSSIYTVGMPTSPTQIYSLIASKQMVGIFLSVWAKKELVPHIGHLRVSSVGRGIMGRLGNKGCISVSMSLHRTSLCFVCCHLASGEKEGDELRRNADVAEILKSTQFPKICKNPNPRAPEKIIDHDRVIWLGDLNYRVSLSYEKTRVLVEDNDWDTLLEKDQLNMEREAGRVFDGFIEGRILFAPTYKYSYNSDSYAGENGKSKKKRRTPAWYI >Manes.04G011500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1553605:1558908:-1 gene:Manes.04G011500.v8.1 transcript:Manes.04G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFPAGIVIAAVLFPVTLVLSSFPATLTLERGIPPSHRLELNQLRERDSFRHRRILQSAGGIVDFPVQGTFNPFLVGLYYTKVQLGSPPKDFYVQIDTGSDVLWVSCSSCNGCPVTSGLQIPLNFFDPGSSSTAALISCSDQRCTVGIQSSDSLCSSQSNQCGYTFQYGDGSGTSGYYVADLLHFDTVLGGSVTNNSSAAVVFGCSTLQTGDLTKSDRAIDGIFGFGQQDMSVISQLASQGITPKVFSHCLKGDDAGGGVLVLGEIVEPNIVYTPLVPSQPHYNLNLQSISISGQTLAIDPSVFATSSNRGTIVDSGTTLAYLAEAAYDPFVNAITSIVSQNARTYLSRGNECYLVTSSINDVFPQVSFNFAGGASLILNPLDYLLQQNSVGGAAVWCIGFQKTPGQEISILGDLVLKDKIFVYDIANQRLGWTNYDCSMSVNVSTNMNTGKSEFVSAGEINDSRSTRNELRKLIQIAMTVLLNIFTLGSYLFL >Manes.04G011500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1553604:1558908:-1 gene:Manes.04G011500.v8.1 transcript:Manes.04G011500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFPAGIVIAAVLFPVTLVLSSFPATLTLERGIPPSHRLELNQLRERDSFRHRRILQSAGGIVDFPVQGTFNPFLVGLYYTKVQLGSPPKDFYVQIDTGSDVLWVSCSSCNGCPVTSGLQIPLNFFDPGSSSTAALISCSDQRCTVGIQSSDSLCSSQSNQCGYTFQYGDGSGTSGYYVADLLHFDTVLGGSVTNNSSAAVVFGCSTLQTGDLTKSDRAIDGIFGFGQQDMSVISQLASQGITPKVFSHCLKGDDAGGGVLVLGEIVEPNIVYTPLVPSQPHYNLNLQSISISGQTLAIDPSVFATSSNRGTIVDSGTTLAYLAEAAYDPFVNAITSIVSQNARTYLSRGNECYLVTSSINDVFPQVSFNFAGGASLILNPLDYLLQQNSVGGAAVWCIGFQKTPGQEISILGDLVLKDKIFVYDIANQRLGWTNYDCKLLFLSTLYIFIARTHDQ >Manes.06G118100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24841561:24845158:1 gene:Manes.06G118100.v8.1 transcript:Manes.06G118100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTKSGCCSSSLWLSPNPSKRWGELFFLCYTPFWLTLCLGIVVPYKLYESFTELEYLLLAMVSAVPSFFIPMMFVGKTDSGLSWKERYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNDVPHTTFLLTHVCFLFYHVASNMTLRRLRHAIADMPDKLQWVTEAAWILALAYFIAYLETLAISNFPYYEFVDRASMYKVGSLFYAIYFVVSFPMFLRIDEKPGDLWDLPRVAVDALGAAMLVTIILDLWRIFLGPIVPQSYAKQCLQPGLPWFHGHANET >Manes.04G126950.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32923416:32926351:1 gene:Manes.04G126950.v8.1 transcript:Manes.04G126950.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGCCFCGRRNRRKNGNHPPIAEIKEETAIPIEANISAEKEESDFPIDEAAASREKGVRTFSYKELAKAARYFTFDDNNLLGRGLTGEVFKGELSNGEVVAIKRLKHQANPEHETMARDQYQLEAEILSRIEPHQNIVKVIGYCNDGSNRLLVYEFVSNNSLMSCLHGKEKQTIKWSDRLKIALGTAEGLKHLHCKSRIIHRDIKSANILLDDKFIPKIGDFGLAKEFMSFQSHVSTDPKGTPKS >Manes.04G126950.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32923415:32926351:1 gene:Manes.04G126950.v8.1 transcript:Manes.04G126950.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGCCFCGRRNRRKNGNHPPIAEESSIPIEATVNKEQEIKEETAIPIEANISAEKEESDFPIDEAAASREKGVRTFSYKELAKAARYFTFDDNNLLGRGLTGEVFKGELSNGEVVAIKRLKHQANPEHETMARDQYQLEAEILSRIEPHQNIVKVIGYCNDGSNRLLVYEFVSNNSLMSCLHGKEKQTIKWSDRLKIALGTAEGLKHLHCKSRIIHRDIKSANILLDDKFIPKIGDFGLAKEFMSFQSHVSTDPKGTPKS >Manes.04G126950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32923406:32926961:1 gene:Manes.04G126950.v8.1 transcript:Manes.04G126950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGCCFCGRRNRRKNGNHPPIAEESSIPIEATVNKEQEIKEETAIPIEANISAEKEESDFPIDEAAASREKGVRTFSYKELAKAARYFTFDDNNLLGRGLTGEVFKGELSNGEVVAIKRLKHQANPEHETMARDQYQLEAEILSRIEPHQNIVKVIGYCNDGSNRLLVYEFVSNNSLMSCLHGKEKQTIKWSDRLKIALGTAEGLKHLHCKSRIIHRDIKSANILLDDKFIPKIGDFGLAKEFMSFQSHVSTDPKGTPKSYEPPEYYVADLRRKLTEKSDVFSFGVVLLELITGKFAILENKDRLVDWIVEVLKGNKEPMDYIWLRNDTQYLYQGPLYPPH >Manes.04G126950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32923406:32926352:1 gene:Manes.04G126950.v8.1 transcript:Manes.04G126950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGCCFCGRRNRRKNGNHPPIAEESSIPIEATVNKEQEIKEETAIPIEANISAEKEESDFPIDEAAASREKGVRTFSYKELAKAARYFTFDDNNLLGRGLTGEVFKGELSNGEVVAIKRLKHQANPEHETMARDQYQLEAEILSRIEPHQNIVKVIGYCNDGSNRLLVYEFVSNNSLMSCLHGKEKQTIKWSDRLKIALGTAEGLKHLHCKSRIIHRDIKSANILLDDKFIPKIGDFGLAKEFMSFQSHVSTDPKGTPKSYEPPEYYVADLRRKLTEKSDVFSFGVVLLELITGKFAILENKDRLVDWVRTCSPFKLMLAIFLSLICGLPIFALLCKLDL >Manes.04G126950.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32923406:32926352:1 gene:Manes.04G126950.v8.1 transcript:Manes.04G126950.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGCCFCGRRNRRKNGNHPPIAEIKEETAIPIEANISAEKEESDFPIDEAAASREKGVRTFSYKELAKAARYFTFDDNNLLGRGLTGEVFKGELSNGEVVAIKRLKHQANPEHETMARDQYQLEAEILSRIEPHQNIVKVIGYCNDGSNRLLVYEFVSNNSLMSCLHGKEKQTIKWSDRLKIALGTAEGLKHLHCKSRIIHRDIKSANILLDDKFIPKIGDFGLAKEFMSFQSHVSTDPKGTPKSYEPPEYYVADLRRKLTEKSDVFSFGVVLLELITGKFAILENKDRLVDWVRTCSPFKLMLAIFLSLICGLPIFALLCKLDL >Manes.11G099100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21038527:21039465:1 gene:Manes.11G099100.v8.1 transcript:Manes.11G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIQPAAYTSLKDLLPLSPPTIASPTHNSSWYEIPIKNPLVKQAALAYLQPMSNTPEVGDKGFFERLREIICGEYGCFGWMNDVVFKCLTEAFWGRRDEIEDEEDEDDDKVD >Manes.04G066700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25198111:25200078:1 gene:Manes.04G066700.v8.1 transcript:Manes.04G066700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGHLVSGRSIKSIGSCHPTVRRSHNLLSFNSKTIAFSRKKDFSLTTKKGSKTPRRLITISTGDGRWHGKWNSQYLLSLQDLHLQDLIEDDEQKDADVSINLSVQKHASFGFSVDGRIITFFTRKCSICSSPYNREIDTNFNVWILPSNRQKDDITLPEIGGDDPSLSL >Manes.04G066700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25198111:25200078:1 gene:Manes.04G066700.v8.1 transcript:Manes.04G066700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGHLVSGRSIKSIGSCHPTVRRSHNLLSFNSKTIAFSRKKDFSLTTKKGSKTPRRLITISTGDGRWHGKWNSQYLLSLQDLHLQDLIEDDEQKDADVSINLSVQKHASFGFSVDGRIITFFTRKCSICSSPYNREIDTNFNVWILPSNRQKDDITLPEIGGDDPSVIYVKPGYEANLDSLIQDTIRLTTSVKDTCSEACDRSEPTLQFGTEIGGQNTASVDKRWSRLLELKHKSWPL >Manes.16G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29328381:29331075:-1 gene:Manes.16G086400.v8.1 transcript:Manes.16G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFIRSYLATIIAWFVIFHGLLGAVNGHFDYKHALKKSILFLEAQRSGKLPSNNRIPWRGDSALDDGKEANVDLSGGYYDAGDNVKFGFPMAFTITTLSWSAIAYKKEIEAAGEMENVRAAIQWGTDYFLKASSTPNSLYVQVGDPVKDHECWVRPENMKTPRTVLKIDSNKPGTEIAAETSAALAASSIVFRRFNNTYSSRLLNRAKQLFTFAKSHKGTYDGECPFYCSFSGYNDELMWAATWLYKATHNSVYLKYLTDEAINADVSEFSWDLKYAGANILLSKYFFKGKNGLTTHKKAADSFVCSVLPDSPFHQLYFTPGGMLHLRDGANTQYVTGAALLFSVYSDILEDYKQKVTCGQKQFDSTALLKFAQQQMDYLLGKNPLNRSFMVGFGNNPPTQAHHRGASIPLNEAKDNVDCSKSFEWLHRKGPNPNELTGAFLGGPDKYDKFSDERTVSCMTEPCTYVNSQAVGVLARLASDSNQLMHKNEDAASHQWHKSD >Manes.07G091833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28688301:28692351:1 gene:Manes.07G091833.v8.1 transcript:Manes.07G091833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLCARPKPWILSSLTHFYGSPAHHTRLHTPIHFGDSSISSRQRHHSSACRLISSSGPVASIWHAIQPSGFNGRSNVRQLVAEPRGEGSWNVAWDARPARWLHRPDSAWLLFGVCACLAPIDFWADSNAESVAGDPKTDSSGIYGSDVKNDNSADFKVTGVLADGRCLFRAIAHSACLRSGEEAPDENRQRELADELRAQVVEELLKRREETEWFIEGDFDAYVKRIQQPYVWGGEPELLMASHVLKTMISVFMIDRSSGNLVSIANYGEEYRKDEVNPINVLFHGYGHYDILETSAQSYQEVNM >Manes.01G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33247177:33251447:1 gene:Manes.01G141100.v8.1 transcript:Manes.01G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDLKGALTPDEIAKVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDIASRIYMLD >Manes.01G141100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33247177:33251447:1 gene:Manes.01G141100.v8.1 transcript:Manes.01G141100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDLKGALTPDEIAKFQNFCRY >Manes.S008175.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:52974:53141:1 gene:Manes.S008175.v8.1 transcript:Manes.S008175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.14G103250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8583922:8586261:-1 gene:Manes.14G103250.v8.1 transcript:Manes.14G103250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATNPTAANVTLNPELKSALTLSNSDNPGIKLVSNPLTGPNYLSWTRSIIIALRVKDKLGFVTGKISKPNSDAPEYKKWITVDSMIISWILNSITRDLSDGFLYAPSAHDLWNDIAERFGESNGPLFFQIKKELANISQGSMTLAAYYNKLKRCCDELSVLCPLPPCACGVAKELTAFEERERLIQFLMCLNHQYEHVSNQILLLDPLPSASKAYGMVQNVEKQKEIQVTFPESSDITTIMAAQRFNNSRRQSSGDSKFNSKNKADRYCDFCQTSGHLKEKCFKLHGYPEWFSDFKKQKYGAKSNNTVAFNTIAESPLDTETTNAPHTVTDNMTDSISRIVQFEISKALKGKSIQSSVEEVATTHHASSFAGIASVNCSSNFKCMDKGTWIVDSGATDHMSGDLALFDSISKLKTPRHVRLPDGRTKLVTHIGTIQLSPRITLFNTLYITDFHCNLLSVNYLAFTCKIFVTQYPDHFVLQDLQSKEEIAVGLVVGSFYHINIQSFSITKVNNACTTHEPHLFQLWHSRLGHPSTNKMRHLSVVPIISSDVLPCTVCPMAKQERLVFPSKVEHSLTIFELLHMDLWGPYRIKFVTSAYYMFTIVDDHSRFTWTYMLNFKTQVPSVLTHFLAHIENQFKTHVKTVRTDNGSEFLSNDCFSLFSSKETLLTATHLINRLPSEILKWKSPYELVCGHKPKLDYLRVIGSLCFATNLSPGKTKFSVRGLPSVLLGYGP >Manes.01G085200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28746564:28747511:-1 gene:Manes.01G085200.v8.1 transcript:Manes.01G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQTHVESALELIKQHLFGDLLSPVGSSCSSSTATTSLIDNVNMEYECSRASSIDSGFSIADYFNINSINDDDFFDFEAKPQIIDFSSAKSSDSTTSFEFEPKPRIQVLQNFGFGSETKVFSQSKHDFCDLESKSKVNRNPSLKISLPRKTEWIQFGNPNQKPVEGVAGGEEKRHYRGVRQRPWGKYAAEIRDPNRKGARVWLGTFDTAIEAAEAYDRAAFKLRGSKAILNFPLLAGKLNTRANEGNERKRARESAGTEREAKKVVRREESEVDVPLTPSKWTAIWDSCDLKEIMPLSPLSAHRPLGCPQLMVI >Manes.17G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3234859:3242431:1 gene:Manes.17G009100.v8.1 transcript:Manes.17G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVLVVGGTGYLGRRIVKASLEQGHKTYVLQRPEIGLDIEKLQTLLSFKKQGAHLLKASFSDHNTLVEAVKKVDVVICAISGVHFRTHNLLMQLKLVQAIKEAGNVKRFLPSEFGTDPSKMEHALEPGRESFDQKMVVRRAIEEANIPFTYISANCFAGYFVGNLCQLNTLTPPTDKVYLYGDGNVKAVFVDEDDIATYTIKTIDDHRTLNKTLYLRPPENILSQRQLVEIWEKLSGTKLQNISISGEQFLASMNDVDYAQQAGIGHFYHFFYEGCLTNFEIGEDGEEASSLYPEVKYTCMDEYLKIYI >Manes.02G047900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3908524:3911375:1 gene:Manes.02G047900.v8.1 transcript:Manes.02G047900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNDALLSSDGQSKNAANLSHMAQWESARLEAEARLVRESKLRSHSFQEQLSPTGYVSGSGSGSVSASASTSAQPQQCVDVLKAWNGGWSKSSEGGNGLNTTGIGGTGGENSIPMIELVGTSGSSETGIIKEEGEHDWKNLRNSSHHYHHHHLMHENTVSLTSSLHHPDMTISMEGPWTPEFLKLSSSHIHVGNVDIEEGFTSLLLNDSGERSLSDSGKDSDNSGGSGNDCYEDNKNYWNSILYNLVNSSPTDSPMF >Manes.02G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3908524:3911375:1 gene:Manes.02G047900.v8.1 transcript:Manes.02G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNDALLSSDGQSKNAANLSHMAQWESARLEAEARLVRESKLRSHSFQEQLSPTGYVSGSGSGSVSASASTSAQPQQCVDVLKAWNGGWSKSSEGGNGLNTTGIGGDHHLESPTSTLTFSENAPPLIMNSSGTGGENSIPMIELVGTSGSSETGIIKEEGEHDWKNLRNSSHHYHHHHLMHENTVSLTSSLHHPDMTISMEGPWTPEFLKLSSSHIHVGNVDIEEGFTSLLLNDSGERSLSDSGKDSDNSGGSGNDCYEDNKNYWNSILYNLVNSSPTDSPMF >Manes.05G086355.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7293790:7296397:1 gene:Manes.05G086355.v8.1 transcript:Manes.05G086355.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPSEIKNAWPELVGIDGNCAAAIIERENKYVKAIVLKDGTPTTKDIQCWRVWVWVDENNVVIRTPEAG >Manes.14G105850.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8887503:8888967:1 gene:Manes.14G105850.v8.1 transcript:Manes.14G105850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGLCLILRSLCMFLEVGCLFWGFGRLVCTRAEFWKNSGSAAEGGFGRRTCLWMHGMAAKPYPRKLCFRAEVDFRPPKVMFGRQKCMTFVSGERVQPPKAPPNLHDFRLWKGPSAAESAAESALSSLFMSILYACFFDVLGGFWGVVYEMFRVCLAPHWSPPV >Manes.13G082434.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17179359:17181852:-1 gene:Manes.13G082434.v8.1 transcript:Manes.13G082434.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHQTIITVKNCDTAFQLLFYITNCTYLHANFRSFDKLSKLFILGTFVCFYPKL >Manes.13G082434.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17178828:17183113:-1 gene:Manes.13G082434.v8.1 transcript:Manes.13G082434.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYVLYLDCDTAFKLLFYITNCTYSHANFRSFDKLSKLFILGTFVCFYPKL >Manes.18G096300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8896576:8907339:-1 gene:Manes.18G096300.v8.1 transcript:Manes.18G096300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDNLDNWHEEFLKQANPPDRKMFPFILLGNKIDIDGGNSRVVSEKKAKEWCSSKGNIPYFETSAKEDYNVDPAFMCIAKSALANENEQDIYFQGIPEAVTESEQRGGCAC >Manes.04G097900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30262533:30265172:1 gene:Manes.04G097900.v8.1 transcript:Manes.04G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWHKMIFPVRRVWISLFSRIKPRNNGAGLLKLHNDVQTCGYEDVQVMWEMLKRSESEQIANHPKRKQRSFWKVLVWSNTNHSAASSFSANHSQYKTPA >Manes.01G159900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34514013:34526783:1 gene:Manes.01G159900.v8.1 transcript:Manes.01G159900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELGSLPCCLSLSQQQPSRSNYLPRRAIALRDIRKPRNRITRPQILPSTFGDSFGFRVFVVSDLHSDYPENLKWVKSLSCKRHKRDILLVAGDVAETCNNFFSTMSLLKERFQHVFFVPGNHDLWCRWEQDYCLDSLEKLNKLLDACRQLGVETKPMAIEGLGIIPLFSWYHESFDREQDITGIRIPSLEMACKDFRACKWPQELSSRDTSLALYFDAMNEENEDEIMVIQKTCCQVITFSHFVPRQELCPEKRMLFYPNLPKVIGSDYLEARIRSIHGTKGNASACHVFGHTHFCWDAMLDGISKPGPPKFSIFQKDCIVCTGTTGIPQGKEEEDERG >Manes.01G159900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34514012:34526783:1 gene:Manes.01G159900.v8.1 transcript:Manes.01G159900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELGSLPCCLSLSQQQPSRSNYLPRRAIALRDIRKPRNRITRPQILPSTFGDSFGFRVFVVSDLHSDYPENLKWVKSLSCKRHKRDILLVAGDVAETCNNFFSTMSLLKERFQHVFFVPGNHDLWCRWEQDYCLDSLEKLNKLLDACRQLGVETKPMAIEGLGIIPLFSWYHESFDREQDITGIRIPSLEMACKDFRACKWPQELSSRDTSLALYFDAMNEENEDEIMVIQKTCCQVITFSHFVPRQELCPEKRMLFYPNLPKVIGSDYLEARIRSIHGTKGNASACHVFGHTHFCWDAMLDGIRYVQAPLAYPRERKRRMNGGEAWLPFCIYSDGNLTDRLSPCYWSDYYAANPRAPHNTELAPWVARFYNRL >Manes.01G159900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34514013:34526783:1 gene:Manes.01G159900.v8.1 transcript:Manes.01G159900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELGSLPCCLSLSQQQPSRSNYLPRRAIALRDIRKPRNRITRPQILPSTFGDSFGFRVFVVSDLHSDYPENLKWVKSLSCKRHKRDILLVAGDVAETCNNFFSTMSLLKERFQHVFFVPGNHDLWCRWEQDYCLDSLEKLNKLLDACRQLGVETKPMAIEGLGIIPLFSWYHESFDREQDITGIRIPSLEMACKDFRACKWPQELSSRDTSLALYFDAMNEENEDEIMVIQKTCCQVITFSHFVPRQELCPEKRMLFYPNLPKVIGSDYLEARIRSIHGTKGNASACHVFGHTHFCWDAMLDGIRYVQAPLAYPRERKRRMNGGEAWLPFCIYSDGNLTDRLSPCYWSDYYAANPRAPHNTELAPWVARFYNRL >Manes.14G027150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2493629:2494765:1 gene:Manes.14G027150.v8.1 transcript:Manes.14G027150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNDNSADEKSVPPIDLPLGELWQDPCIAFAIKTLTGISFDNSGIVQVSSGSNNSEFGSSAALEDHTIKEDGRRKQGCTVHQPLGNIVASEEHPGELDIGDKGDEKPGSPLSLPFADAWADPCIEFAIKTLTGAIPLDYDMVIQDCLPQKASSSQSQESSGLTLQNVGEPGQTEFFCPQFGISEKPSYNQGGLMEPSLPHAKDMELGYMGGAIHHQLSEERSKEYKR >Manes.11G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6926296:6927933:-1 gene:Manes.11G057000.v8.1 transcript:Manes.11G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELKLGLNTFSPTEPTQSSATEHGRLRDKKVGDLMVGKRRLVEVPYTASLAHTMNTLVANQVVAVPVAAPPGQWIGAGGSMIMESDKQTGVVRKHYIGMVTMLDILAHIAGDDQMNGGGDSVSDIEKKMSVPVSSIIGHCLEGLSLWTLNPSTSILDCMEVFSKGIHRALVPLDSQMENISGVELVESAPSYRMLTQMDVIKFLKENYSDIQLQGIISRSVRELGVVNQNVYAITGKTKVIDAIKCMRAALLNAVPIVVGSNSLEEDSKQLINGKGRKLIGTFSSTDLRGCHLAALRTWLSLSALEFTEIVSTSPLFNASNVSERELVVCHLESPLAEVMEKAVTKHVHRVWVVDRHGFMVGLVSLTDMIGAIRASLMLDSRVSM >Manes.09G001733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:604064:647530:1 gene:Manes.09G001733.v8.1 transcript:Manes.09G001733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNDQERHQPLLTTYYENCPGCQIDLSKETNPRIPFKLLFYVWVIVLCAALPISSLFPFLYFMIRDFHVAKREEDIGYYAGYVGSAYMFGRALTSVLWGAIADRYGRKPVIIFGIITLIIFNTLFGLSTSFWMAISMRFFLGSLSGILGPMRAYASEVCRKEYQALGMSIISTSWGIGLVIGPALGGFLAQPAEKYPNIFSKDSLFGRFPYFLPCLLISIFALGVFVLSCSLPETLHNHKGSDKECNESNSNQRAEMCEGKSLVSEESLLKNWPLMSSIIAYCVFQLHDMAYAELFSLWAVSPRKNGGLSYSTADVGEVLAISGFGLLLFQLFLYPLVERNLGPVMVSRIGAVLTIPLLSSYPFIAMLEGLNLTLLIDCASVLKNVLCVSITTGLFLLQNRAVAQKQRGAANGISMCAMSLFKAIGPAAGGFIFSWAQKRQDAFFLPGDQIVFFILNIVEVIGLLMTFRPFLALPSNNIS >Manes.02G207661.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23309014:23318129:-1 gene:Manes.02G207661.v8.1 transcript:Manes.02G207661.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCIKQNGKKENDSFLDSSAQKVDPWKPPSLTWQRGLNTNGNIPVGFSLSFREIMHMLPLGLRLWRYSKEEATKGRLPIFDFSKKRVITGDHGIPLGGIGSGSIGRSYNGEFQSFKLFPLVCEESPVFANQFSVFVSRPNGNKFSSVLCSTRPETPKESRGSGIESWDWNLKGEECTYHALFPRAWTTYEGEPDPELKIVSRQISPFIPHNYKESSFPVSVFTFTLSNYGRTSADVTLLFTWANSVGGVSGFSGHHFNSKIMKEDGVHVVTLHHKTADGQPPLTYAIAAQERSDVHVSECPCFLISGSSQGFTAKDMWDEIKKNGTFDNLGHIKTSPSEPGSSIGAAVAASLTVPPECIRTVTFSLAWDCPEVRFSERSYHRRYTKFYGTHGDAAADIAHDAILEHTNWESQIEAWQRPILEDKRLPEWYPTTLFNELYYLSTGGTVWTDGSPPVQSLAAIRGRKFSLDRSRSDLGNAKRMASIYEQMQNPLTSNSAFGTYLLQSGEENIGQFLYLEGSEYLMWNTYDVHFYSSFALIMLFPKLELSIQRDFAAAVMMHDPTRKQVMSDGKLVPRKVLGAVPHDTGLNDPWFELNAYNLFDTARWKDLNSKFVLQIYRDVVATGDKSFAQAVWPSVYVAIAYMDQFDKDGDGMIENEGFPDQTYDAWSVSGVSAYCGGLWVAALQAASALACEVGDNASANYFWVKYQKAKAVYGKLWNGSYFNYDSSGNSSIHADQLAGQWYARACGLSPIVDEDKVQSSLEKIHKFNVLKVKEGTRGAVNGMLPDGRVDMSALQSREIWPGVTYAVAASMIQEGMVDMAFQTAVGIYEAAWSQEGLGYSFQIPEGWNTDDQYRSLCYMRPLAIWAMQWALSKPKLFKEEMKLDLVDDTLYHRQNLGFSKVAQLLKLPNDEASTKSFLQAFYEFTCRRLRL >Manes.08G078100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19316469:19328674:-1 gene:Manes.08G078100.v8.1 transcript:Manes.08G078100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKNISTYSGVLNDKLIAKLSISQNESHPSIFVCPRDNDDTVDNMEHDAAKNLPPSTSCLTNVVDSMNDNIAVEARLLSYHSIGSSGMIYDTRSGKESQKMCTRKSKNKESVSLESNTIKENASEECSVSNSAYMDNLIVSEFDNTETAGAEGLVLTLCPKSLKKENQNKGKKGGMKSGSKNFSTGSMPRDLEAVLGCIGEGEVICEESLLRSTTAVNSDILKQPSNNFQVTVCSTVQTVAKENEDAPSMVESSPVNAIPSDSGSRKCSTPLRDLKDNKKQKSISGCSVNHKSKELISEVDEHLDGSKLKKRSHSTFQAEKEISQKMLSAARILRNLSGTVGSKEKSEQVSVNKGGSSMKKARRKIIFDAQATPLTGERKEKTCVFSPESLSLKRSRAGRLLLPTLEFWRNQIPVYDADRNITGIQEEFRASRGCNSEPQMRSSKRKGKSPKRH >Manes.08G078100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19316468:19328674:-1 gene:Manes.08G078100.v8.1 transcript:Manes.08G078100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLSNPSNIDNTCSSYFQKTVSLCDWWLIKADEDFQGKRLAVAGVTSREQRAVRVFHSAPITKRHDVFTLETADGIVVIFQGFINRTRTIENGFPSEVFSHFLFGFPPYWEEYADNCFKQAVNSDVNSQNTLDVDEPITDQGLEDVRPTPCKNKDTSDNECYIKVCSLDVSKKYIVDASKDSDLDKDAIELMSSVKNISTYSGVLNDKLIAKLSISQNESHPSIFVCPRDNDDTVDNMEHDAAKNLPPSTSCLTNVVDSMNDNIAVEARLLSYHSIGSSGMIYDTRSGKESQKMCTRKSKNKESVSLESNTIKENASEECSVSNSAYMDNLIVSEFDNTETAGAEGLVLTLCPKSLKKENQNKGKKGGMKSGSKNFSTGSMPRDLEAVLGCIGEGEVICEESLLRSTTAVNSDILKQPSNNFQVTVCSTVQTVAKENEDAPSMVESSPVNAIPSDSGSRKCSTPLRDLKDNKKQKSISGCSVNHKSKELISEVDEHLDGSKLKKRSHSTFQAEKEISQKMLSAARILRNLSGTVGSKEKSEQVSVNKGGSSMKKARRKIIFDAQATPLTGERKEKTCVFSPESLSLKRSRAGEFALHNGNNT >Manes.08G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19316469:19328674:-1 gene:Manes.08G078100.v8.1 transcript:Manes.08G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLSNPSNIDNTCSSYFQKTVSLCDWWLIKADEDFQGKRLAVAGVTSREQRAVRVFHSAPITKRHDVFTLETADGIVVIFQGFINRTRTIENGFPSEVFSHFLFGFPPYWEEYADNCFKQAVNSDVNSQNTLDVDEPITDQGLEDVRPTPCKNKDTSDNECYIKVCSLDVSKKYIVDASKDSDLDKDAIELMSSVKNISTYSGVLNDKLIAKLSISQNESHPSIFVCPRDNDDTVDNMEHDAAKNLPPSTSCLTNVVDSMNDNIAVEARLLSYHSIGSSGMIYDTRSGKESQKMCTRKSKNKESVSLESNTIKENASEECSVSNSAYMDNLIVSEFDNTETAGAEGLVLTLCPKSLKKENQNKGKKGGMKSGSKNFSTGSMPRDLEAVLGCIGEGEVICEESLLRSTTAVNSDILKQPSNNFQVTVCSTVQTVAKENEDAPSMVESSPVNAIPSDSGSRKCSTPLRDLKDNKKQKSISGCSVNHKSKELISEVDEHLDGSKLKKRSHSTFQAEKEISQKMLSAARILRNLSGTVGSKEKSEQVSVNKGGSSMKKARRKIIFDAQATPLTGERKEKTCVFSPESLSLKRSRAGRLLLPTLEFWRNQIPVYDADRNITGIQEEFRASRGCNSEPQMRSSKRKGKSPKRH >Manes.08G078100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19316469:19328674:-1 gene:Manes.08G078100.v8.1 transcript:Manes.08G078100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASLSNPSNIDNTCSSYFQKTVSLCDWWLIKADEDFQGKRLAVAGVTSREQRAVRVFHSAPITKRHDVFTLETADGIVVIFQGFINRTRTIENGFPSEVFSHFLFGFPPYWEEYADNCFKQAVNSDVNSQNTLDVDEPITDQGLEDVRPTPCKNKDTSDNECYIKVCSLDVSKKYIVDASKDSDLDKDAIELMSSVKNISTYSGVLNDKLIAKLSISQNESHPSIFVCPRDNDDTVDNMEHDAAKNLPPSTSCLTNVVDSMNDNIAVEARLLSYHSIGSSGMIYDTRSGKESQKMCTRKSKNKESVSLESNTIKENASEECSVSNSAYMDNLIVSEFDNTETAGAEGLVLTLCPKSLKKENQNKGKKGGMKSGSKNFSTGSMPRDLEAVLGCIGEGEVICEESLLRSTTAVNSDILKQPSNNFQVTVCSTVQTVAKENEDAPSMVESSPVNAIPSDSGSRKCSTPLRDLKDNKKQKSISGCSVNHKSKELISEVDEHLDGSKLKKRSHSTFQAEKEISQKMLSAARILRNLSGTVGSKEKSEQVSVNKGGSSMKKARRKIIFDAQATPLTGERKEKTCVFSPESLSLKRSRAGRLLLPTLEFWRNQIPVYDADRNITGIQEEFRASRGMSFLKYGLNLAHFV >Manes.04G006500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:891328:899723:-1 gene:Manes.04G006500.v8.1 transcript:Manes.04G006500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGNGNGNANANSAPVESEEEPVIGPGPAPKARAKRPLQFEQAYLDALPSANMYERSYMHRDVVSHVAVSAADFFITGSLDGHLKFWKKKAVGIEFAKHFRSHLGPIEGLAVSVDGLLCCTISDDQSVKVYDVVNYDMMVMIRLTFIPGCVEWVYKQGDVRARLAISDRNSSFVYIYDARAGSNEPIISKEIHLGPIKVMKYNPVFDTVISADSKGIIEYWSPASLQFPENEVSFRLKSDTNLFEIVKCKTSVSTMEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEREIEKTETAPQPNAVFDESSNFLIYATLLGIKIVNLHTNKVARILGKVENNDRFLQIALYQGDRSSKKVRKIPAAAANANESREPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSVTTSLPDNVILHTTMGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVAKGMDVVQAIEKVKTDKADKPYQDVKILNVTVPKS >Manes.16G030250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3510393:3530050:1 gene:Manes.16G030250.v8.1 transcript:Manes.16G030250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFLLLHSWLLIFCLVFSTYLARPSPWVMRISCGARQNMHTPPTNTLWYKDFAYTGGIPTDSSKTSYIAPPLKTLRYFPLSEGPNNCYNIKKVPRGHYSVRIFFGLVAHHDPDPNFDNEPLFDISIEGTQIYSLQSGWSNHDDQTFTEAQVFLTDGTASICFHSTGHGDPAILSIEILEVDDKAYYFGPEWGREVILRTLSRLSCGNGKSKFDVDYSGDRWGGDRLWSRMTTFGRNSDKAISTENSIKKASSAPNFYPDTLYQTALVSTDSQLDLAYTMDVDPNKNYSVWLHFAEIDVSVTGVGQRIFDILINGHIVFEGVDIAKMSGDRYTALVLNTTVAVNGRTLTITLRPKEGSYAIINAIEVFEVITAESKTLLEEVRALQALKGALGLPLRFGWNGDPCVPQQHPWSGAECQLDRASSKWIIDGLGLDNQGLRGFLPNEISGLHHLQSINLSANNIHGAIPPSIGMITSLEVLDLSYNFFNGSVPDSIGQLTSVRRLNLNSNSLSGRVPAALGDRLLRGASFNFTDNAGLCGMPGLPTCGPHLSAGAKIGIAFCASVASLLMVICLMCWWKRRQNILRAQQIAARGAPYAKARTQTAHDIQMTRHHNHGYARTAVENGPSLLS >Manes.03G122200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24860134:24861775:1 gene:Manes.03G122200.v8.1 transcript:Manes.03G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLKFHMHATNLSTEFLQNKTLFYSFSYSKITHRSLDSQLSFLPFHDARPYKPKASSLSIHSSLSSFTPPSSKEEAVLQAKTCLSTTLEKPLNNPKLAGKLKKLKQPRFQVEIPVIDDSPTSLSQLAFDVFEELPIKRKGSRIKILILWPSPTLKNTAVEAFQSQSSNNVEQVDISSVINGDPRIFGFTDVAVFVAPESSQLAAIKSITDSLYPKPVVIFNPGWGFEEESDFGDLSGFAGSFEVIYSFVGLEVRGVLSKRRGVVFKCVRDGVVSGERWTVLVEEEGEMKVVSRFKTRPSIGEVENVLYNLMAINSPITKSAKFFKDLVSNVTGRKKI >Manes.08G004116.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:836035:847924:-1 gene:Manes.08G004116.v8.1 transcript:Manes.08G004116.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGVHFASQRNPAPMSNAFISSSHSVEVSYYQPDAAGPSHDPFLHSSTVGTFCAVPENHAHASSSNYDRQTINGVEGDLFDLTMGNGRGPHKRKSPGVPSSCEGGSTSRYYGAGSSSDPSVPSELRLEKPNLDPQYMVWECITMTPGHRGNLSIGPESSIRNVRSRPALDLEINLSRTHLSNNSSHNSYHAGHPFDHSSSVDFSSQSSSAMTHNWSHTRTSTASGRMLVSDANGYTHETNHFLVGSSIPNASADVRGYHHDFISSRNPVVPQSFHSASAHSARGIRSSYSQRPSPTFRASSSSLRLGHMAPSDDGMPLVAENFSSRQPRLLSTAAWRNSDRNGRSRNSYERYRSLPNEPSLHDRFSSEGFMVVDRSAFYGSRNLFDQHRDMRLDIDNKSYEELLALGERIGSVSTGFDEDLISKCLTETVYSSSGQSEDEGTCVICLEEYKDMDDVGSLKFCGHNYHVSCIKKWLSMKNLCPICKASAVADNMKE >Manes.11G054900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6706480:6713158:-1 gene:Manes.11G054900.v8.1 transcript:Manes.11G054900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFENFIYFNYYYCPLHFPLKIEFNLTRKVSLVIVPSFSSYPLLHSLQNPSQLPHCLLLNYIALCCRGFQFIKLLLIIFNSFELSVSLSLCVCVRLFNFHFSVYSFKTLVHSWSSEGKMGEVKDNDAYEEELLDYEEEVENAPDSVSAKAAAESAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVHIKVHKDILKNEFPHIVVGTPGRILALVRDKDLGLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEMEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLAECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQARFEVDIKELPEQIDTSTYMPS >Manes.14G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17506485:17508670:-1 gene:Manes.14G152000.v8.1 transcript:Manes.14G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTPKIQNFLRLCSILLLILAACLVGFDSQTKSIVYLEKKVSYKVLQAFPTLVYVDAVAAAYNLLQLISRISFLSEYKGSFKSSYRYVYWCCYLVDQIAVYITFAASSAALEQSVLVLTGAEALYWMKWCNKFTRFCFQIGGALFCNYAACALMAFISSISAFNLFRLYSPKHFLVLKTT >Manes.01G236600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39868512:39869699:-1 gene:Manes.01G236600.v8.1 transcript:Manes.01G236600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMPFVFLLLGLFFTQRYVASGDWLQAHATFYGGGDGSGTMGGACGYGNLYTDGYGIKTAALSTALFNDGNGCGGCYQIACDATQVPQWCLNGTSSITITATNFCPPNYNLPNDNGGWCNPPRSHFDMSQPAFESIAKYEAGIVPIFYRKVRCEKSGGIRFTIHGNDYFELVLISNVGGAGEISNVWIKGSRSKIWEAMSRNWGENWQSLSYLNGQSLSFKVQASDGSTLTALDVVPSNWTFGQSFTSNIQF >Manes.15G155500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12858676:12862276:1 gene:Manes.15G155500.v8.1 transcript:Manes.15G155500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIARQPYGKFRVTRFETQHNHEFVTPSTAHMLPSQKRLTFAQAVEADLAKSSGLDRIPKLGMGFDSEDHAYEFYNAYAGRVGFSVRKDYVNRSKIDGAVASRRFTCFREGFRQKDNRDLNVKRPRKETRIGCMAQLVISRQSDGKYRVTHFEEKHNHELVAACRVRTLRSQKRQATAQVEGNGVDGSTTQPKLVSGLLCNAVGDQDVHGYQPIDCNSKLPFRKMRDMKEGEAERLQQYFQSKQLKNPSFFYSMQLDADDQITNIFWADAKMLVDYNDFGDVVCFDTSYRLYKDCRPFVAFIGVNHHKQMMVFSAGFLYDETIDSYKWLFRTFIEAMSGKKPKTILTDQDAVIAEAIDSVLPEVHCRICVWHVYQRAVKQLNHMFVGSGSFINDLSSCFFEHEEDEPFINAWNNMLDAYGLWENEWLHQMFKEREQWATAYGRHIFCADIRNVQLCESFTASLRKYLKFDFEVISFFKHLGKILNDWHYKELEDNYDMSQRLPRVMGDVILLKHAREIYTPKIFELLQQEYETSLNILINQCTENGSMFEYKVSIYGQQQEYRVRFNSSEDIVACDCMKFEFMGVLCCHALKVLDFRNIKMLPSQYILKRWTRDARV >Manes.15G155500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12858676:12862276:1 gene:Manes.15G155500.v8.1 transcript:Manes.15G155500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHEPDTLSYVQKEVVENELQGSSAVEAAAEQEHPCLEASSVEKSLGFIESEHDCQSREAGSSNDRSGVEFDGQDCDSPKSSVNKSDTHFEDDLESQSPNVPVVDVSGSVVAVKKEEEGYVVPKVGVEFETEDHAYKCYSRYAVLEGFSIRKDFVNKSRINGDVVSRRYTCYRQGYRPTKHISNVRKPRHETRTGCLAHMTIARQPYGKFRVTRFETQHNHEFVTPSTAHMLPSQKRLTFAQAVEADLAKSSGLDRIPKLGMGFDSEDHAYEFYNAYAGRVGFSVRKDYVNRSKIDGAVASRRFTCFREGFRQKDNRDLNVKRPRKETRIGCMAQLVISRQSDGKYRVTHFEEKHNHELVAACRVRTLRSQKRQATAQVEGNGVDGSTTQPKLVSGLLCNAVGDQDVHGYQPIDCNSKLPFRKMRDMKEGEAERLQQYFQSKQLKNPSFFYSMQLDADDQITNIFWADAKMLVDYNDFGDVVCFDTSYRLYKDCRPFVAFIGVNHHKQMMVFSAGFLYDETIDSYKWLFRTFIEAMSGKKPKTILTDQDAVIAEAIDSVLPEVHCRICVWHVYQRAVKQLNHMFVGSGSFINDLSSCFFEHEEDEPFINAWNNMLDAYGLWENEWLHQMFKEREQWATAYGRHIFCADIRNVQLCESFTASLRKYLKFDFEVISFFKHLGKILNDWHYKELEDNYDMSQRLPRVMGDVILLKHAREIYTPKIFELLQQEYETSLNILINQCTENGSMFEYKVSIYGQQQEYRVRFNSSEDIVACDCMKFEFMGVLCCHALKVLDFRNIKMLPSQYILKRWTRDARV >Manes.01G255300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41261572:41273814:-1 gene:Manes.01G255300.v8.1 transcript:Manes.01G255300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAGGGGPSRVGPAGRAASTSSAASPSSSSSAVSTPQLGFDSVQQQQQQQQMGSRQVLPHQLLRKPEGNETLLAYQASAFQGAMGGNSFASSSGSMQMPQQSRKFFDLAQYHGSSQDGQNRNQAAEQVVLNPAHQAYLQFACQQQKPALAMQAQQAAKMGILGPATSKDQDMRMGNLKMQEVMSMQAANQAQASSSKISSESFSRGEKLVEQAQQLASDQRNEQKPPSQAPVIGQLMPSNVIRHMQAPQAQQSIQNMANNQLAMAAQLQAMQAWALERNIDLSVPANANLMAQLIPLMQSRMAAQQKPCETSAGLQASSVPVSMSKHQVASPSVASESSPRANSSSDASGQSGPPKVRQNASSVPFIPSSSAGMVNSTNNPSGQQFAFHSRENQVPPRTGVVLGNGMSPMLPPQQSANVSQGADQTFPTKNALGSPENLQMQHLKQLNRSSLQPAGPSNDGGSSSHLPLQGGPAIQVAQPRVGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQQQLLPSAGGGNQDRSGGKISEDQARHLESNEKNSLAIPSINGQNVAKDKAFAGDEKVTVSASHMPAATAVIREPTMSVAAGKEEQQTAMFSVKPDQEVERSVQITPVRRDLAADKGKTVTPQVPVTDAAQVKKPAQTSTPPQSKDAGSASARKYHGPLFDFPFFTRKHDSIGSSGMINTNNNLTLAYDVKDLLFEEGMEVLNKKRSENLKKINGLLAINLERKRIRPDLVLRLQIEEKKLKLLDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRMEQARQVQASQKAMRDKQLKSIIQWRKKLLEAHWAIRDARTARNRGVAKYHEKMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSIEGDAAERYAVLSSFLTQTEEYLHKLGSKITAAKNQQEVEEAANAAAAAARLQGLSDEEIRAAAACAGEEVMIRNRFMEMNAPRDGSSVSKYYHLAHAVNERVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKVEWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEGPAHDAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSAIYDWIKSTGTLRVDPEDEKRRVQKNPIYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLIRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSYGSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVGKISSHQKEDELRTGGSLDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQEVNRMIARSEDEVELFDQMDEELDWTEEMTSYDQVPKWLRASTRDVNAAIANLSKKPSKNILFASGMGMETNEMETERKRGRPKGKKFPNYKEVDDDNGEFSEASSDERNGYSANEEGDIPEFEDDESSGAVEAPPINKDQSEDDGPACDAGYEYSRASENTRNNQIVEQAGSAGSSSDNRRITRMVSPVSSQKFGSLSALDARPGSVRKLPDELEEGEIAVSGDSHMDHQQSGSWMHDRDEGEEEQVLQPKIKRKRSIRVRPRHTLEKTEEKSGIEAQRGDSGLLPFQMDHKYQSQLRTDAEMKTFGEPSASRHDQSDSSKGRRNFPSRRMANTSKVHASPKSSRLNIQSAPAEDAAELSRESWDGKVTTTNGNSLLGSKMSDIIHRRCKNVISKFQRRIDKEGQQIVPLLTALWKRTENSSYMSGAGNNLLDLRKIELRVDRLEYNGVMELVFDVQFMLKGTMQFYGFSHEVRSEARKVHDLFFDILKIAFPDTDFREARNALSFSSTSSAPSPRQTTLGQGKRHRAINEVEPDNGTNLKQIQRGSIHTGDDTRVKVQLPKEIRHGSSREQGHPGDSPLHPGELVICKKKRKDRDKSMVKSRAGSSGPVSPPSMGRNITSPVPGSVSKDMRIAKQNSPQQRWANQPQLPNNGGISGGSGNVGWANPVKRLRTDAGKRRPSHL >Manes.01G255300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41261572:41273814:-1 gene:Manes.01G255300.v8.1 transcript:Manes.01G255300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAGGGGPSRVGPAGRAASTSSAASPSSSSSAVSTPQLGFDSVQQQQQQQQMGSRQVLPHQLLRKPEGNETLLAYQASAFQGAMGGNSFASSSGSMQMPQQSRKFFDLAQYHGSSQDGQNRNQAAEQVVLNPAHQAYLQFACQQQKPALAMQAQQAAKMGILGPATSKDQDMRMGNLKMQEVMSMQAANQAQASSSKISSESFSRGEKLVEQAQQLASDQRNEQKPPSQAPVIGQLMPSNVIRHMQAPQAQQSIQNMANNQLAMAAQLQAMQAWALERNIDLSVPANANLMAQLIPLMQSRMAAQQKPCETSAGLQASSVPVSMSKHQVASPSVASESSPRANSSSDASGQSGPPKVRQNASSVPFIPSSSAGMVNSTNNPSGQQFAFHSRENQVPPRTGVVLGNGMSPMLPPQQSANVSQGADQTFPTKNALGSPENLQMQHLKQLNRSSLQPAGPSNDGGSSSHLPLQGGPAIQVAQPRVGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQQQLLPSAGGGNQDRSGGKISEDQARHLESNEKNSLAIPSINGQNVAKDKAFAGDEKVTVSASHMPAATAVIREPTMSVAAGKEEQQTAMFSVKPDQEVERSVQITPVRRDLAADKGKTVTPQVPVTDAAQVKKPAQTSTPPQSKDAGSASARKYHGPLFDFPFFTRKHDSIGSSGMINTNNNLTLAYDVKDLLFEEGMEVLNKKRSENLKKINGLLAINLERKRIRPDLVLRLQIEEKKLKLLDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCERQRMEQARQVQASQKAMRDKQLKSIIQWRKKLLEAHWAIRDARTARNRGVAKYHEKMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSIEGDAAERYAVLSSFLTQTEEYLHKLGSKITAAKNQQEVEEAANAAAAAARLQGLSDEEIRAAAACAGEEVMIRNRFMEMNAPRDGSSVSKYYHLAHAVNERVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQEVSAMKFNVLVTTYEFIMYDRSKLSKVEWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEGPAHDAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSAIYDWIKSTGTLRVDPEDEKRRVQKNPIYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDFSKDFLIRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSYGSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVGKISSHQKEDELRTGGSLDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQEVNRMIARSEDEVELFDQMDEELDWTEEMTSYDQVPKWLRASTRDVNAAIANLSKKPSKNILFASGMGMETNEMETERKRGRPKGKKFPNYKEVDDDNGEFSEASSDERNGYSANEEGDIPEFEDDESSGAVEAPPINKDQSEDDGPACDAGYEYSRASENTRNNQIVEQAGSAGSSSDNRRITRMVSPVSSQKFGSLSALDARPGSVRKLPDELEEGEIAVSGDSHMDHQQSGSWMHDRDEGEEEQVLQPKIKRKRSIRVRPRHTLEKTEEKSGIEAQRGDSGLLPFQMDHKYQSQLRTDAEMKTFGEPSASRHDQSDSSKGRRNFPSRRMANTSKVHASPKSSRLNIQSAPAEDAAELSRESWDGKVTTTNGNSLLGSKMSDIIHRRCKNVISKFQRRIDKEGQQIVPLLTALWKRTENSSYMSGAGNNLLDLRKIELRVDRLEYNGVMELVFDVQFMLKGTMQFYGFSHEVRSEARKVHDLFFDILKIAFPDTDFREARNALSFSSTSSAPSPRQTTLGQGKRHRAINEVEPDNGTNLKQIQRGSIHTGDDTRVKVQLPKEIRHGSSREQGHPGDSPLHPGELVICKKKRKDRDKSMVKSRAGSSGPVSPPSMGRNITSPVPGSVSKDMRIAKQNSPQQRWANQPQLPNNGGISGGSGNVGWANPVKRLRTDAGKRRPSHL >Manes.04G126462.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32798294:32799615:-1 gene:Manes.04G126462.v8.1 transcript:Manes.04G126462.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMISCVAASVYKPPRFRPNISKIVQVLEGMIPWSVIWGENDNAFLNSRSTL >Manes.13G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34780425:34785144:-1 gene:Manes.13G140300.v8.1 transcript:Manes.13G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVVKLGTLALKTICKPIANRLKKEAGLHPRFRQLIINIAQANHRFTTTVQRHIYGHATNVAIRPLDEEKAVQAAADLIGELFVFTVAAAAVTFEVQRSSRAEARKEEMRIQELQEMKQKDEELAREVELLKQKVEELELLAKERGLAGLFNFRHGHVTKDEK >Manes.11G102400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23101239:23142052:-1 gene:Manes.11G102400.v8.1 transcript:Manes.11G102400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDDVAAACPVCRGNCNCKACLRDTPKSCLHKLESLVVSDDRKVMNSKYLLQAPLLCLKQLNGEQMMERKIEATKQGRGRKLQLPEATLENLMELQETDGDSPECFESMAEHGVGDELLQGKEQVLENKERDSEIGKVNGGKPKGTETIGTEIGGEVVDNEVIFSIREEKAVETEKKGKGSSEGAAENCDSGKAGGGGLGKKRGRKPKKKQESLQVQDNECGTVEKSGEKGERLEEGSPGNGQTMKDKGEVEGDGAKHIRRKGKKKGSRTGGKVSGLKQNQNGLVTVEVREELQDIAGKENENDGEKWDKLGGLCLKVKVEMTFTENQEDKETGNEIVGKLDMKNDIFLKQEEEGITSGKGATNLGNQEMVTDKGGESGGSIEVNENSYRKRLRTNEKKVSYAENGEEDEEVSVRKKRGRKGRNWSTVTGNDSLEIESVSESGDLAEGNGKKVGQRGRRKHNKEKNDGERESLTSNCGGYSLRNLKVLQQDVKELKINKQSDEFIAEVCLMCHQCQRNDKGPVVRCQKCKRKRYCIPCLTKWYPEMQEDEVAAACPVCRGNCNCKACLRDTPKERLKKLKGLVVSDDRKVLHSKYLLQALFPYLKQLNEEQMMERKIEARKQGVSLAELEVQNGNCPKDERIYCDNCRTSIFDYHRSCSNCFSDLCLICCREIRDGHLQGGGQGMVTEYINRGLAYLHGGDSEFILRHEVSPGSSSKDSLRSNFGWKANEDGSIVCCCGFGNLDLKCLFPGNWVSELVKRAEDVAQRFELDRATIPIERCACFNSLGDVDMRSNQLLKAASREDSDDNYLYYPRAIAIKEEDLKHFQHHWMRAEPVVVSNVLETGTGLSWEPMVMWRAFRQIRNEKRDTLLDVKALECLSWCEVDISIRHFFMEYLDGQCDAEMWPRILKLKDWPPSTMFDELLPRHGAEFTFCLPFKEYTHPYNGPLNLAVRLPKESLKPDLGPKTYIAYGYTQELGRGDSVTKLHCDMSDAVNILTHTAEVTLSPERLAKIEELKKLHIKQDQREIFGNNQVVEEDDGEMDGSFCGSLLATDNKTGEVDNRTEDSQSNVCAASNKSELKISKQAEVFQELKYYEADAVQGRCTESSGLSSCANVSLGPDDGGAVWDIFRREDVPKLQEYLIKHFKEFRHIQCCPLEKVVHPIHDQTFYLTLEHKRRLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIRLTEEFRLLPPNHRAKEDKLEVKKMYLHAMNWAVEILEHGGEEPFFSVTSTYTSQEEGDEGLIYKLISDSVISSSAFSPIQSDDSGPTSAQVSVSVKLPVGQVYFTSNALV >Manes.15G143200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11740791:11741177:-1 gene:Manes.15G143200.v8.1 transcript:Manes.15G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLDQQQQQQPPPMEVTQQAYTAHTGHGSVGPVIAVLAVITILGVIAGMIGRLCSGRRTMGHGQYDFEGWVERKCSSCLDGRIEPPSARPAEIPVAEPVEGEGPQEMKEEEDEQKRHKSQASTSDS >Manes.13G145740.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36023124:36024640:1 gene:Manes.13G145740.v8.1 transcript:Manes.13G145740.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGKKGSGGGGCGGSGGMRCCQADMCMADLSDAKPYHRRHKVCENHAKAQIVLVAGIRQRFCQQCSRFHELSEFDETKKSCCRRLAGHNERRRKNAAESHSEVGNHKGTVTQLKDMVCGQVDDRGRIKITIQENATYKHFQIR >Manes.11G141200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30681553:30684443:-1 gene:Manes.11G141200.v8.1 transcript:Manes.11G141200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAKSLLSRTVPFARCFSWTAPSVLQTGDILRQTRVFSIEDVTAYSKVSHDSNPLHFDSESAKNAGFEDRVVHGMLVAALFPQIIASHFPGAVYVSQILQFKSPVYIGEEVHGEVQALSIRENKRRYIAKFATKCFKKGELLIIDGEAMAILPTLAVENIY >Manes.11G141200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30681806:30684434:-1 gene:Manes.11G141200.v8.1 transcript:Manes.11G141200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAKSLLSRTVPFARCFSWTAPSVLQTGDILRQTRVFSIEDVTAYSKVSHDSNPLHFDSESAKNAGFEDRVVHGMLVAALFPQIIASHFPGAVYVSQILQFKSPVYIGEEVHGEVQALSIRENKRRYIAKFATKCFKKGELLIIDGEAMAILPTLAVENIY >Manes.11G141200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30681759:30684472:-1 gene:Manes.11G141200.v8.1 transcript:Manes.11G141200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAKSLLSRTVPFARCFSWTAPSVLQTGDILRQTRVFSIEDVTAYSKVSHDSNPLHFDSESAKNAGFEDRVVHGMLVAALFPQIIASHFPGAVYVSQILQFKSPVYIGEEVHGEVQALSIRENKRRYIAKFATKCFKKGELLIIDDP >Manes.11G141200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30681553:30684460:-1 gene:Manes.11G141200.v8.1 transcript:Manes.11G141200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAKSLLSRTVPFARCFSWTAPSVLQTGDILRQTRVFSIEDVTAYSKVSHDSNPLHFDSESAKNAGFEDRVVHGMLVAALFPQIIASHFPGAVYVSQILQFKSPVYIGEEVHGEVQALSIRENKRRYIAKFATKCFKKGELLIIDGEAMAILPTLAVENIY >Manes.11G141200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30681776:30684442:-1 gene:Manes.11G141200.v8.1 transcript:Manes.11G141200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAKSLLSRTVPFARCFSWTAPSVLQTGDILRQTRVFSIEDVTAYSKVSHDSNPLHFDSESAKNAGFEDRVVHGMLVAALFPQIIASHFPGAVYVSQILQFKSPVYIGEEVHGEVQALSIRENKRRYIAKFATKCFKKGELLIIDGEAMAILPTLAVENIY >Manes.11G141200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30682814:30683534:-1 gene:Manes.11G141200.v8.1 transcript:Manes.11G141200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAKSLLSRTVPFARCFSWTAPSVLQTGDILRQTRVFSIEDVTAYSKVSHDSNPLHFDSESAKNAGFEDRVVHGMLVAALFPQIIASHFPGAVYVSQILQFKSPVYIGEEVHGEVQALSIRENKRRYIAKFATKCFKKGELLIIDGEAMAILPTLAVENIY >Manes.15G143000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11720131:11726087:1 gene:Manes.15G143000.v8.1 transcript:Manes.15G143000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPNKNALTISQDAFDELVKENMEDLGMDPVEALEDAIQTLTLQGVDLSGIVTCVPGEGSTKDNPVIHCLDRLKELESISKDRICGDSVDEMAGLFGKVVELCSIEGSGSAAIAVKNGGVELVCSICSKIPMGSKQVLVSALKTLALFLYDIQSTETFRSSGGPAILASILKSGVESLDILDSGFNVVAAAATGNEILKESFMELKIDELILQVLNRQNTGTVQSLYDAIRVLLTPDDNRVVASQVYGYARRFAKIGIAGALVDSLHGGLASTSLVSASIALKAVAVNDEICKSIAERGGIDTILQCIDVSGEQGNKAVARTCCSLLSKLAGSDSNKSVIIEKGGMNRLIQLSARFCDDPSVLQEVMSIITVLSLRSPNNAARAMEAGAGDLAIQAMLRFPSSQQLQRNSCLMIRNLVVRNPENRTLLLSHGIEKIIRKAKENHETCKDAATDALRDLGLDNYNA >Manes.15G121300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9644728:9646484:-1 gene:Manes.15G121300.v8.1 transcript:Manes.15G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQLVANHREGAEIYHGDSLCKQKSIELLKEIRLPNGLLPLDDIVEVGYNRTTGFVWVKQKNRKEHKFRAIGRNVSYDTEVTAFVEDRKMRRLTGVKSKEFLIWVTISDIYVDSGDTGKITFGNPTGISRTFPVSAFELEEEKK >Manes.17G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9900904:9904511:-1 gene:Manes.17G018300.v8.1 transcript:Manes.17G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSSQRKQNSNTNKDHGRPRTRPLFTYIKRVAVVFTIFLSRRRKAAGAATAASKRNNSRRVEGISFSTDLSTGSNSKSSSRFRFSNSYGSSSASSGLVGTVNFSLQEIYKATDNFNPANKIGEGCFGTVYKGKLKDGTLVAVKRANKNDYDKRLSLEFKNEVLTLSKIEHLNLVRFYGYGEHGDERIIVVEYVANGTLREHLDSSRGNGLEMAERLDIAIDVAHAITYLHMYTDPPIIHRDIKASNILITEKFRAKVADFGFARATTEDPGVTHISTQIKGTTGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRHPIEQNRPIKERVTTRWAMQKLKEREAVLAMDPVLRRSPASNMAVEKVLELAKRCLASLRPSRPSMKECAEVLWKIRKDVRELGLSSSSASASHQSANYPFRDAKKSREITFGIQDGETYKFISA >Manes.17G018300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9900904:9904511:-1 gene:Manes.17G018300.v8.1 transcript:Manes.17G018300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSSQRKQNSNTNKDHGRPRTRPLFTYIKRVAVVFTIFLSRRRKAAGAATAASKRNNSRRVEGISFSTDLSTGSNSKSSSRFRFSNSYGSSSASSGLVGTVNFSLQEIYKATDNFNPANKIGEGCFGTVYKGKLKDGTLVAVKRANKNDYDKRLSLEFKNEVLTLSKIEHLNLVRFYGYGEHGDERIIVVEYVANGTLREHLDSSRGNGLEMAERLDIAIDVAHAITYLHMYTDPPIIHRDIKASNILITEKFRAKVADFGFARATTEDPGVTHISTQIKGTTGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRHPIEQNRPIKERVTTRWKLKEREAVLAMDPVLRRSPASNMAVEKVLELAKRCLASLRPSRPSMKECAEVLWKIRKDVRELGLSSSSASASHQSANYPFRDAKKSREITFGIQDGETYKFISA >Manes.11G017667.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1940719:1942423:1 gene:Manes.11G017667.v8.1 transcript:Manes.11G017667.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSTEGLPMLYEGGVADNTTVEEVKFADSEGVKVADKSIGGDVEEGCDGEDVEVGCDGGDVEEGSDGGDVEESSDGEDFEESSDGEEDSSDSENSTRPILVRNEDEPYGYDDPDYEGNQREVYINFRRQYKETDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDDEFTIGCKEALVYAIEEQNRKGANLILLDIIKANRESVALYHITFKAEDVSLGEVKVYQTRVFHSLVPGHKETVVHIFRLKEPTNKDDKAD >Manes.11G017667.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1940718:1956793:1 gene:Manes.11G017667.v8.1 transcript:Manes.11G017667.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSTEGLPMLYEGGVADNTTVEEVKFADSEGVKVADKSIGGDVEEGCDGEDVEVGCDGGDVEEGSDGGDVEESSDGEDFEESSDGEEDSSDSENSTRPILVRNEDEPYGYDDPDYEGNQREVYINFRRQYKETDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDDEFTIGCKEALAYAIEEQNKKGANLRLLDIIKANAQSVALYHITFRAEDVSLGEVKVYQTKVFHSLVPGHKETVVRIFRLKEPTNKGN >Manes.11G017667.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1940718:1957129:1 gene:Manes.11G017667.v8.1 transcript:Manes.11G017667.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSTEGLPMLYEGGVADNTTVEEVKFADSEGVKVADKSIGGDVEEGCDGEDVEVGCDGGDVEEGSDGGDVEESSDGEDFEESSDGEEDSSDSENSTRPILVRNEDEPYGYDDPDYEGNQREVYINFRRQYKETDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDDEFTIGCKEALVYAIEEQNRKGANLILLDIIKANRESVALYHITFKAEDVSLGEVKVYQTRVFHSLVPGHKETVVHIFRLKEPTNKDDKAD >Manes.11G017667.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1940730:1956757:1 gene:Manes.11G017667.v8.1 transcript:Manes.11G017667.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSTEGLPMLYEGGVADNTTVEEVKFADSEGVKVADKSIGGDVEEGCDGEDVEVGCDGGDVEEGSDGGDVEESSDGEDFEESSDGEEDSSDSENSTRPILVRNEDEPYGYDDPDYEGNQREVYINFRRQYKETDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDDEFTIGCKEALVYAIEEQNRKGANLRLLDIIKANAQSVALYHITFRAEDVSLGEVKVYQTKVFHSLVPGHKETVVRIFRLKEPTNKGN >Manes.16G054626.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18175130:18185365:1 gene:Manes.16G054626.v8.1 transcript:Manes.16G054626.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKAVADVAVFKFKKVISLLGRTRTGHARFRKAPVAVAMAATLSHTQISQENKLLESKVYYAMPIQQIPPPPVPNHYHDYSSMGMMPKNNGVISERKESSTTINFSYSSAGNSFVSSLTGDTTDSKQPSSSSAFQITKIIFNKS >Manes.03G177300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30039724:30042049:1 gene:Manes.03G177300.v8.1 transcript:Manes.03G177300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITISTSPLLKQNPFRDFDSLQQSKLPILLSPEHLYPAAINCSQSRFISCSLTNNRHRNQNQEAMKVHPMPKKRNNITIQYYINNTTGNNISHQRDPSSGVSHKKLRRLPHIFSRVLELPFRSDADVSVEENPDCFRFVAETDNIGDVRAHTIEIHPGVTKIVIRPNGYLELSSLDDLELDMWRFRLPESTRPELASAVLIDGELIVTVPKGDELEEEGNGNNGEFRGGS >Manes.03G177300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30039724:30042049:1 gene:Manes.03G177300.v8.1 transcript:Manes.03G177300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITISTSPLLKQNPFRDFDSLQQSKLPILLSPEHLYPAAINCSQSRFISCSLTNNRHRNQNQEAMKVHPMPKKRNNITIQYYINNTTGNNISHQRDPSSGVSHKKLRRLPHIFSRVLELPFRSDADVSVEENPDCFRFVAETDNIGDVRAHTIEIHPGVTKIVIRPNGYLELSSLDDLELDMWRFRLPESTRPELASAVLIDGELIVTVPKGDELEEEGNGNNGEFRGGMGNNNNNARLVLVQ >Manes.04G081000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28224498:28224701:-1 gene:Manes.04G081000.v8.1 transcript:Manes.04G081000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLPAILRAKQILQRSSSANQTASIAMDVPKGYLAVYVGEKQKKQFVIPVSYLNKPSFQNLLIQP >Manes.01G095500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29767068:29775208:1 gene:Manes.01G095500.v8.1 transcript:Manes.01G095500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKPEEGICNLYELDNSSQSRHLSQVVGGNWPVLDYGQWFGKPTQIEASQNFNLQNYNLQQLGINDSVKGNDVGSPNVAFDQNCMQLTPKPEYSRSLTINNPPNTNEFLLRCQNFQSNQNQRGSFSESTCYDQRILTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNFLRGQNQFVRDQQLSSSEIHPMQQPGFNDMQLLQQHVMFKQLQEIQRQQQLQQLGDLRQQSSLNQFSAISRQAAGGQFSPLISGTPVHDASPMLRNWMQRGASPGVQGVPNKLFSQEQGQALRSTGLATQQFDVSLYGAPISNARGNMSLYPHLQGPSHDSVNLLAKASSQVQKSVMQSAGFGNPFLGDQPAVPLNLLGLSQGPLISKQELQMKDNFGQVPVQGLSSGVFPGNLLECNTPQGNTSMKEFNGRQEQAGWPARQQAKQLGPSQGLVPLDPMEAKILYNMDDNTWDTFGSRPETGTGGLGNILEQPDSSYAFPSLQSGSWSALMQSAVAEASSSDTGVQEEWSSLTFQNTEQSTDNQISNFVENEKQHTGWVDNNFQAASSFSPKPFPVITDSSMSSTFPGFQQPGIQLSVEQREDICQGGSHESIENYKPQQKTSIEDGQKVPTFVHSDNAWPGQMFEHSQRAERHQIVASSNISMEKGTESMVKSQHQMSDVPKVAFNSYEGANETQEKQNCHQRERSNDFSKGSGGHEQGHVEQLKFFGNISSSLMNLDKASLSNFQGNSRVSEEVPSGVDSVSNASTTLHGSVHPDGSNVSVQTSEHMLELLHKVDQSKDDSSTKQFGSTDGNPLTALHGADSHDRSVSQLYTQSSDSQGFGLRLAPPSQRLANSNSFPFPPGLPQTINNLNHRQVNPELGERNLSCLTPSSFQSSPASHELAQRAHWENKSDTMGPKSFSPYVNMLGNPASSFASNHPQTGNQLQMHPFSNISVSSQPLQATLPAGTGKFPSFNLAPSPDTSQQLHTNPIGQRFPVLEAAPVSQALDMSGTLLQDENSTRPYNVWRNVPTQRQSFGIEPLKFSNSASHMDWAPHGSNDQISIKAVHNSSEIGVSSSSQGFGHVEKRAGEELLQQRISAKMLDTSQPGGMSRGPEPVSDATVVTSGSLVSHAQDLDKAISTNDGADSALDVPCASALGGQQLYENVSRFRTPVDGRPNSTSQTGSFPSGHKQMLSLLGEAGDGPIVKAPQQPALQSRNSQETCNDTHSQSSSSNLHLAPSWFKQYEALRNGQMMPIFDARLAKSVASQFSLGKPSQNLHRHSSLEQLDAADAGQGGRVWPSSQQLSSPYMLPSVVNSQVAIIRPKKRKITSELLPWHKEVNQDSKRLQNIRCLCISMFVWLVGSLPLPSILPK >Manes.01G095500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29767068:29775209:1 gene:Manes.01G095500.v8.1 transcript:Manes.01G095500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKPEEGICNLYELDNSSQSRHLSQVVGGNWPVLDYGQWFGKPTQIEASQNFNLQNYNLQQLDSVKGNDVGSPNVAFDQNCMQLTPKPEYSRSLTINNPPNTNEFLLRCQNFQSNQNQRGSFSESTCYDQRILTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNFLRGQNQFVRDQQLSSSEIHPMQQPGFNDMQLLQQHVMFKQLQEIQRQQQLQQLGDLRQQSSLNQFSAISRQAAGGQFSPLISGTPVHDASPMLRNWMQRGASPGVQGVPNKLFSQEQGQALRSTGLATQQFDVSLYGAPISNARGNMSLYPHLQGPSHDSVNLLAKASSQVQKSVMQSAGFGNPFLGDQPAVPLNLLGLSQGPLISKQELQMKDNFGQVPVQGLSSGVFPGNLLECNTPQGNTSMKEFNGRQEQAGWPARQQAKQLGPSQGLVPLDPMEAKILYNMDDNTWDTFGSRPETGTGGLGNILEQPDSSYAFPSLQSGSWSALMQSAVAEASSSDTGVQEEWSSLTFQNTEQSTDNQISNFVENEKQHTGWVDNNFQAASSFSPKPFPVITDSSMSSTFPGFQQPGIQLSVEQREDICQGGSHESIENYKPQQKTSIEDGQKVPTFVHSDNAWPGQMFEHSQRAERHQIVASSNISMEKGTESMVKSQHQMSDVPKVAFNSYEGANETQEKQNCHQRERSNDFSKGSGGHEQGHVEQLKFFGNISSSLMNLDKASLSNFQGNSRVSEEVPSGVDSVSNASTTLHGSVHPDGSNVSVQTSEHMLELLHKVDQSKDDSSTKQFGSTDGNPLTALHGADSHDRSVSQLYTQSSDSQGFGLRLAPPSQRLANSNSFPFPPGLPQTINNLNHRQVNPELGERNLSCLTPSSFQSSPASHELAQRAHWENKSDTMGPKSFSPYVNMLGNPASSFASNHPQTGNQLQMHPFSNISVSSQPLQATLPAGTGKFPSFNLAPSPDTSQQLHTNPIGQRFPVLEAAPVSQALDMSGTLLQDENSTRPYNVWRNVPTQRQSFGIEPLKFSNSASHMDWAPHGSNDQISIKAVHNSSEIGVSSSSQGFGHVEKRAGEELLQQRISAKMLDTSQPGGMSRGPEPVSDATVVTSGSLVSHAQDLDKAISTNDGADSALDVPCASALGGQQLYENVSRFRTPVDGRPNSTSQTGSFPSGHKQMLSLLGEAGDGPIVKAPQQPALQSRNSQETCNDTHSQSSSSNLHLAPSWFKQYEALRNGQMMPIFDARLAKSVASQFSLGKPSQNLHRHSSLEQLDAADAGQGGRVWPSSQQLSSPYMLPSVVNSQVAIIRPKKRKITSELLPWHKEVNQDSKRLQNISVAEQVWAKATNRLTEKVEDEFEMIDDLQPMHRSKRRLVLTTQLLQQLFHPAPASILSADSASSYDVISYFVSRLSLGDACSLAYCMRKEFLEPVNNSDVNSKKLKNSERSGEQQCLAVVEEFIDRAKKLENGFQRLDKTASVADIRAEFQELERFAVINRFAKFHVRGQIDASGTSTSSAAPKPIPQRYITGFPMPRNLPEEVQCLSL >Manes.01G095500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29767068:29775208:1 gene:Manes.01G095500.v8.1 transcript:Manes.01G095500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTPKPEYSRSLTINNPPNTNEFLLRCQNFQSNQNQRGSFSESTCYDQRILTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNFLRGQNQFVRDQQLSSSEIHPMQQPGFNDMQLLQQHVMFKQLQEIQRQQQLQQLGDLRQQSSLNQFSAISRQAAGGQFSPLISGTPVHDASPMLRNWMQRGASPGVQGVPNKLFSQEQGQALRSTGLATQQFDVSLYGAPISNARGNMSLYPHLQGPSHDSVNLLAKASSQVQKSVMQSAGFGNPFLGDQPAVPLNLLGLSQGPLISKQELQMKDNFGQVPVQGLSSGVFPGNLLECNTPQGNTSMKEFNGRQEQAGWPARQQAKQLGPSQGLVPLDPMEAKILYNMDDNTWDTFGSRPETGTGGLGNILEQPDSSYAFPSLQSGSWSALMQSAVAEASSSDTGVQEEWSSLTFQNTEQSTDNQISNFVENEKQHTGWVDNNFQAASSFSPKPFPVITDSSMSSTFPGFQQPGIQLSVEQREDICQGGSHESIENYKPQQKTSIEDGQKVPTFVHSDNAWPGQMFEHSQRAERHQIVASSNISMEKGTESMVKSQHQMSDVPKVAFNSYEGANETQEKQNCHQRERSNDFSKGSGGHEQGHVEQLKFFGNISSSLMNLDKASLSNFQGNSRVSEEVPSGVDSVSNASTTLHGSVHPDGSNVSVQTSEHMLELLHKVDQSKDDSSTKQFGSTDGNPLTALHGADSHDRSVSQLYTQSSDSQGFGLRLAPPSQRLANSNSFPFPPGLPQTINNLNHRQVNPELGERNLSCLTPSSFQSSPASHELAQRAHWENKSDTMGPKSFSPYVNMLGNPASSFASNHPQTGNQLQMHPFSNISVSSQPLQATLPAGTGKFPSFNLAPSPDTSQQLHTNPIGQRFPVLEAAPVSQALDMSGTLLQDENSTRPYNVWRNVPTQRQSFGIEPLKFSNSASHMDWAPHGSNDQISIKAVHNSSEIGVSSSSQGFGHVEKRAGEELLQQRISAKMLDTSQPGGMSRGPEPVSDATVVTSGSLVSHAQDLDKAISTNDGADSALDVPCASALGGQQLYENVSRFRTPVDGRPNSTSQTGSFPSGHKQMLSLLGEAGDGPIVKAPQQPALQSRNSQETCNDTHSQSSSSNLHLAPSWFKQYEALRNGQMMPIFDARLAKSVASQFSLGKPSQNLHRHSSLEQLDAADAGQGGRVWPSSQQLSSPYMLPSVVNSQVAIIRPKKRKITSELLPWHKEVNQDSKRLQNISVAEQVWAKATNRLTEKVEDEFEMIDDLQPMHRSKRRLVLTTQLLQQLFHPAPASILSADSASSYDVISYFVSRLSLGDACSLAYCMRKEFLEPVNNSDVNSKKLKNSERSGEQQCLAVVEEFIDRAKKLENGFQRLDKTASVADIRAEFQELERFAVINRFAKFHVRGQIDASGTSTSSAAPKPIPQRYITGFPMPRNLPEEVQCLSL >Manes.01G095500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29767066:29775677:1 gene:Manes.01G095500.v8.1 transcript:Manes.01G095500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKPEEGICNLYELDNSSQSRHLSQVVGGNWPVLDYGQWFGKPTQIEASQNFNLQNYNLQQLDSVKGNDVGSPNVAFDQNCMQLTPKPEYSRSLTINNPPNTNEFLLRCQNFQSNQNQRGSFSESTCYDQRILTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNFLRGQNQFVRDQQLSSSEIHPMQQPGFNDMQLLQQHVMFKQLQEIQRQQQLQQLGDLRQQSSLNQFSAISRQAAGGQFSPLISGTPVHDASPMLRNWMQRGASPGVQGVPNKLFSQEQGQALRSTGLATQQFDVSLYGAPISNARGNMSLYPHLQGPSHDSVNLLAKASSQVQKSVMQSAGFGNPFLGDQPAVPLNLLGLSQGPLISKQELQMKDNFGQVPVQGLSSGVFPGNLLECNTPQGNTSMKEFNGRQEQAGWPARQQAKQLGPSQGLVPLDPMEAKILYNMDDNTWDTFGSRPETGTGGLGNILEQPDSSYAFPSLQSGSWSALMQSAVAEASSSDTGVQEEWSSLTFQNTEQSTDNQISNFVENEKQHTGWVDNNFQAASSFSPKPFPVITDSSMSSTFPGFQQPGIQLSVEQREDICQGGSHESIENYKPQQKTSIEDGQKVPTFVHSDNAWPGQMFEHSQRAERHQIVASSNISMEKGTESMVKSQHQMSDVPKVAFNSYEGANETQEKQNCHQRERSNDFSKGSGGHEQGHVEQLKFFGNISSSLMNLDKASLSNFQGNSRVSEEVPSGVDSVSNASTTLHGSVHPDGSNVSVQTSEHMLELLHKVDQSKDDSSTKQFGSTDGNPLTALHGADSHDRSVSQLYTQSSDSQGFGLRLAPPSQRLANSNSFPFPPGLPQTINNLNHRQVNPELGERNLSCLTPSSFQSSPASHELAQRAHWENKSDTMGPKSFSPYVNMLGNPASSFASNHPQTGNQLQMHPFSNISVSSQPLQATLPAGTGKFPSFNLAPSPDTSQQLHTNPIGQRFPVLEAAPVSQALDMSGTLLQDENSTRPYNVWRNVPTQRQSFGIEPLKFSNSASHMDWAPHGSNDQISIKAVHNSSEIGVSSSSQGFGHVEKRAGEELLQQRISAKMLDTSQPGGMSRGPEPVSDATVVTSGSLVSHAQDLDKAISTNDGADSALDVPCASALGGQQLYENVSRFRTPVDGRPNSTSQTGSFPSGHKQMLSLLGEAGDGPIVKAPQQPALQSRNSQETCNDTHSQSSSSNLHLAPSWFKQYEALRNGQMMPIFDARLAKSVASQFSLGKPSQNLHRHSSLEQLDAADAGQGGRVWPSSQQLSSPYMLPSVVNSQVAIIRPKKRKITSELLPWHKEVNQDSKRLQNISVAEQVWAKATNRLTEKVEDEFEMIDDLQPMHRSKRRLVLTTQLLQQLFHPAPASILSADSASSYDVISYFVSRLSLGDACSLAYCMRKEFLEPVNNSDVNSKKLKNSERSGEQQCLAVVEEFIDRAKKLENGFQRLDKTASVADIRAEFQELERFAVINRFAKFHVRGQIDASGTSTSSAAPKPIPQRYITGFPMPRNLPEEVQCLSL >Manes.01G095500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29767054:29775677:1 gene:Manes.01G095500.v8.1 transcript:Manes.01G095500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKPEEGICNLYELDNSSQSRHLSQVVGGNWPVLDYGQWFGKPTQIEASQNFNLQNYNLQQLDSVKGNDVGSPNVAFDQNCMQLTPKPEYSRSLTINNPPNTNEFLLRCQNFQSNQNQRGSFSESTCYDQRILTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNFLRGQNQFVRDQQLSSSEIHPMQQPGFNDMQLLQQHVMFKQLQEIQRQQQLQQLGDLRQQSSLNQFSAISRQAAGGQFSPLISGTPVHDASPMLRNWMQRGASPGVQGVPNKLFSQEQGQALRSTGLATQQFDVSLYGAPISNARGNMSLYPHLQGPSHDSVNLLAKASSQVQKSVMQSAGFGNPFLGDQPAVPLNLLGLSQGPLISKQELQMKDNFGQVPVQGLSSGVFPGNLLECNTPQGNTSMKEFNGRQEQAGWPARQQAKQLGPSQGLVPLDPMEAKILYNMDDNTWDTFGSRPETGTGGLGNILEQPDSSYAFPSLQSGSWSALMQSAVAEASSSDTGVQEEWSSLTFQNTEQSTDNQISNFVENEKQHTGWVDNNFQAASSFSPKPFPVITDSSMSSTFPGFQQPGIQLSVEQREDICQGGSHESIENYKPQQKTSIEDGQKVPTFVHSDNAWPGQMFEHSQRAERHQIVASSNISMEKGTESMVKSQHQMSDVPKVAFNSYEGANETQEKQNCHQRERSNDFSKGSGGHEQGHVEQLKFFGNISSSLMNLDKASLSNFQGNSRVSEEVPSGVDSVSNASTTLHGSVHPDGSNVSVQTSEHMLELLHKVDQSKDDSSTKQFGSTDGNPLTALHGADSHDRSVSQLYTQSSDSQGFGLRLAPPSQRLANSNSFPFPPGLPQTINNLNHRQVNPELGERNLSCLTPSSFQSSPASHELAQRAHWENKSDTMGPKSFSPYVNMLGNPASSFASNHPQTGNQLQMHPFSNISVSSQPLQATLPAGTGKFPSFNLAPSPDTSQQLHTNPIGQRFPVLEAAPVSQALDMSGTLLQDENSTRPYNVWRNVPTQRQSFGIEPLKFSNSASHMDWAPHGSNDQISIKAVHNSSEIGVSSSSQGFGHVEKRAGEELLQQRISAKMLDTSQPGGMSRGPEPVSDATVVTSGSLVSHAQDLDKAISTNDGADSALDVPCASALGGQQLYENVSRFRTPVDGRPNSTSQTGSFPSGHKQMLSLLGEAGDGPIVKAPQQPALQSRNSQETCNDTHSQSSSSNLHLAPSWFKQYEALRNGQMMPIFDARLAKSVASQFSLGKPSQNLHRHSSLEQLDAADAGQGGRVWPSSQQLSSPYMLPSVVNSQVAIIRPKKRKITSELLPWHKEVNQDSKRLQNISVAEQVWAKATNRLTEKVEDEFEMIDDLQPMHRSKRRLVLTTQLLQQLFHPAPASILSADSASSYDVISYFVSRLSLGDACSLAYCMRKEFLEPVNNSDVNSKKLKNSERSGEQQCLAVVEEFIDRAKKLENGFQRLDKTASVADIRAEFQELERFAVINRFAKFHVRGQIDASGTSTSSAAPKPIPQRYITGFPMPRNLPEEVQCLSL >Manes.01G095500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29767068:29775208:1 gene:Manes.01G095500.v8.1 transcript:Manes.01G095500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKPEEGICNLYELDNSSQSRHLSQVVGGNWPVLDYGQWFGKPTQIEASQNFNLQNYNLQQLGINDSVKGNDVGSPNVAFDQNCMQLTPKPEYSRSLTINNPPNTNEFLLRCQNFQSNQNQRGSFSESTCYDQRILTSRGFSIFKSQPENECADSPTLTTNSERSEITEASSDFNFLRGQNQFVRDQQLSSSEIHPMQQPGFNDMQLLQQHVMFKQLQEIQRQQQLQQLGDLRQQSSLNQFSAISRQAAGGQFSPLISGTPVHDASPMLRNWMQRGASPGVQGVPNKLFSQEQGQALRSTGLATQQFDVSLYGAPISNARGNMSLYPHLQGPSHDSVNLLAKASSQVQKSVMQSAGFGNPFLGDQPAVPLNLLGLSQGPLISKQELQMKDNFGQVPVQGLSSGVFPGNLLECNTPQGNTSMKEFNGRQEQAGWPARQQAKQLGPSQGLVPLDPMEAKILYNMDDNTWDTFGSRPETGTGGLGNILEQPDSSYAFPSLQSGSWSALMQSAVAEASSSDTGVQEEWSSLTFQNTEQSTDNQISNFVENEKQHTGWVDNNFQAASSFSPKPFPVITDSSMSSTFPGFQQPGIQLSVEQREDICQGGSHESIENYKPQQKTSIEDGQKVPTFVHSDNAWPGQMFEHSQRAERHQIVASSNISMEKGTESMVKSQHQMSDVPKVAFNSYEGANETQEKQNCHQRERSNDFSKGSGGHEQGHVEQLKFFGNISSSLMNLDKASLSNFQGNSRVSEEVPSGVDSVSNASTTLHGSVHPDGSNVSVQTSEHMLELLHKVDQSKDDSSTKQFGSTDGNPLTALHGADSHDRSVSQLYTQSSDSQGFGLRLAPPSQRLANSNSFPFPPGLPQTINNLNHRQVNPELGERNLSCLTPSSFQSSPASHELAQRAHWENKSDTMGPKSFSPYVNMLGNPASSFASNHPQTGNQLQMHPFSNISVSSQPLQATLPAGTGKFPSFNLAPSPDTSQQLHTNPIGQRFPVLEAAPVSQALDMSGTLLQDENSTRPYNVWRNVPTQRQSFGIEPLKFSNSASHMDWAPHGSNDQISIKAVHNSSEIGVSSSSQGFGHVEKRAGEELLQQRISAKMLDTSQPGGMSRGPEPVSDATVVTSGSLVSHAQDLDKAISTNDGADSALDVPCASALGGQQLYENVSRFRTPVDGRPNSTSQTGSFPSGHKQMLSLLGEAGDGPIVKAPQQPALQSRNSQETCNDTHSQSSSSNLHLAPSWFKQYEALRNGQMMPIFDARLAKSVASQFSLGKPSQNLHRHSSLEQLDAADAGQGGRVWPSSQQLSSPYMLPSVVNSQVAIIRPKKRKITSELLPWHKEVNQDSKRLQNISVAEQVWAKATNRLTEKVEDEFEMIDDLQPMHRSKRRLVLTTQLLQQLFHPAPASILSADSASSYDVISYFVSRLSLGDACSLAYCMRKEFLEPVNNSDVNSKKLKNSERSGEQQCLAVVEEFIDRAKKLENGFQRLDKTASVADIRAEFQELERFAVINRFAKFHVRGQIDASGTSTSSAAPKPIPQRYITGFPMPRNLPEEVQCLSL >Manes.16G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7899621:7904185:1 gene:Manes.16G048000.v8.1 transcript:Manes.16G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAFANFLLHSRAKVVVNQPFSFCLDTNQLHILYKERTWRLSPYGTKIIPRASPATTMEDEGYNDSDTIPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVVKANVFLDSSGKHNKFAITKADTGRKVEDPELLEAIRLTIINNLLQYHPESSSQLALGVAFGVEPPKQQVDLDIATHVHVYDDGPDRSLLYVETADRPGLLVDLVKIITDINIAVESGEFDTEGLLAKAKFHVSYKGKAIIKPLQLVLANSLRYFLRRPTTEEASF >Manes.14G032300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2893911:2903355:1 gene:Manes.14G032300.v8.1 transcript:Manes.14G032300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGAKRAVFGFWCCLLLLLVYTRICVSARSDKEIRERFYGNLLNSSAPDNGEGSIAQMFDRVLEKEFSENDQPEGSDGSSFNSSVADQQAVLETVAKITHDKPKKNDTQEANGTKSFQFPNVFSLENEDSEDMTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIVFSCLGQPVIVGYLLAGSIIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSMTKLKIVGPVAVLGGLLQIVIFMLLCAITAVLCGAKLSEGIFVGSFLSMSSTAVVVKFLVERNSSSSLHGQVTIGTLILQDCAVGLLFALLPVLGGGSGLLQGIISMGKLLVVLSIFLTVSSILCWSFVPRFLKLMIQLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWNHVDILLASVILVVVVKTAVAAMVTKAFGYGMRTSFHVGVLLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPNVMNLGVLLQWFPSESGMQNEVLSLSLYLCVSAVCV >Manes.14G032300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2893911:2903355:1 gene:Manes.14G032300.v8.1 transcript:Manes.14G032300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGAKRAVFGFWCCLLLLLVYTRICVSARSDKEIRERFYGNLLNSSAPDNGEGSIAQMFDRVLEKEFSENDQPEGSDGSSFNSSVADQQAVLETVAKITHDKPKKNDTQEANGTKSFQFPNVFSLENEDSEDMTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIVFSCLGQPVIVGYLLAGSIIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSMTKLKIVGPVAVLGGLLQIVIFMLLCAITAVLCGAKLSEGIFVGSFLSMSSTAVVVKFLVERNSSSSLHGQVTIGTLILQDCAVGLLFALLPVLGGGSGLLQGIISMGKLLVVLSIFLTVSSILCWSFVPRFLKLMIQLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWNHVDILLASVILVVVVKTAVAAMVTKAFGYGMRTSFHVGVLLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPNVMNLGVLLQWFPSESGMQNEERASMIETHNRLL >Manes.14G032300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2893911:2903355:1 gene:Manes.14G032300.v8.1 transcript:Manes.14G032300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGAKRAVFGFWCCLLLLLVYTRICVSARSDKEIRERFYGNLLNSSAPDNGEGSIAQMFDRVLEKEFSENDQPEGSDGSSFNSSVADQQAVLETVAKITHDKPKKNDTQEANGTKSFQFPNVFSLENEDSEDMTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIVFSCLGQPVIVGYLLAGSIIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSMTKLKIVGPVAVLGGLLQIVIFMLLCAITAVLCGAKLSEGIFVGSFLSMSSTAVVVKFLVERNSSSSLHGQVTIGTLILQDCAVGLLFALLPVLGGGSGLLQGIISMGKLLVVLSIFLTVSSILCWSFVPRFLKLMIQLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAQHTLDQVEPIRNLFAALFLSSIGMLIHVHFLWNHVDILLASVILVVVVKTAVAAMVTKAFGYGMRTSFHVGVLLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPNVMNLGVLLQWFPSESGMQNEKIVKNLERTSGMK >Manes.12G143650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34992509:34992892:1 gene:Manes.12G143650.v8.1 transcript:Manes.12G143650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMILWALWQNRNNVVWKGQGQTASGVFFMALNFLQQWKAARVVSSVSTTVDPARPVWSPPPHDWIKTNIDASLSLQRGSVGFGSVIRKDDGSFVAAKTGSFYSQMDAKCAEIIGFREALSWIKECG >Manes.08G117800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35728396:35731078:-1 gene:Manes.08G117800.v8.1 transcript:Manes.08G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGNVNVQQQMVNDEEENLKYLEFVQVAAIHAVVTFTNLYLYAKDKSGPLKPGVETVEGTVKSVVGPVYDKFHDVPNEVLKFVDRKVDESVTSLDRRVPPVVKQVSVQAYSVAREAPGAARAVVSEVHNSGVKETASGLAKTLYTKCEPKAKELYSKYEPKAEQCAVTAWRKLNQLPLFPQVAHVVVPTAAYCSEKYNQTVLSTAEKGYRVSSYLPLVPTERIAKVFSDDVVQSPECVSS >Manes.07G035500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3851583:3852496:1 gene:Manes.07G035500.v8.1 transcript:Manes.07G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRLAFLILMVVLVGTSVAQSPASSPVASPSKSPVATPSPASSPTSPVSAPSPLKAPSANAPSPATITSPPSPPPSLSSPAPGTSAFPPSSISGTPSEAPAPAENGVGLNRFTIAGSLAVVAFTAVMVL >Manes.15G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11437769:11445128:1 gene:Manes.15G141100.v8.1 transcript:Manes.15G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMCGGGGGASSSSSASTSTSTSDILQSWIKIQRSLEPRLITEDDFTWKLPIHGSTSTGEEDDQAVLKYVGGVDVSCVKEDQSIACGTLVVLDFRTSKVVYQESAYVPVDVPYIAGFLAFREAPVLLQLLEKMRNNNNPYYPQLLMVDGNGILHPEGFGMACHLGVLANLPTIGIGKKLHHIDGLTESGVRKCLQAKQRSGENFIILKGRSGRIRGAAMRSTEGSVKPIFISIGHRISLDTAIEIVKMTCKFRVPEPIRQADKRSRVYVQKPQQMLKQFDCLNQEPSISWKTITGNHLFNNLKENNNQVNSSVEMGQGTVKGRGRGQGRGGGLGNGKWQVKGQVEKGGKGQREQETGHAHERGEGQSNRREYGYGRGRGRGRGGGRETAQGRGRGFKWGNNCEYAWIRKE >Manes.16G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33709614:33712271:-1 gene:Manes.16G136400.v8.1 transcript:Manes.16G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASSSSFALLPAFTGPGSCNPRSLISLSKPLNFPIALSLPSQNRLNRAPRIVSSISISSPEVLTGRPDDLVASILSKVTQTDGGVSLTKEEHEEVSAVAQQLQNYCVAEPVKCPLIFGEWDVVYCSTPTSPGGGYRSALGRLVFRTKEMIQAVEAPETVRNKVSFSLLGFLDGEVSLKGKLKALDESWIQVIFEAPQLKVGALEFQYGGQSEVKLQITYIDEKIRLGKGSRGSLFVFQRRR >Manes.15G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:652903:655820:1 gene:Manes.15G005900.v8.1 transcript:Manes.15G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >Manes.08G132400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36987075:36988803:-1 gene:Manes.08G132400.v8.1 transcript:Manes.08G132400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWIINFLLGKKDDKEKKKNIGFYEGSTVSSPNASVTSTPTYKRRWSFGKSASKERAHKCSKSLDSITPLIARHASLLEWGNQRSNKNTKAVAVPAETIKRVAAPRDVAANRISKSVEDEAATRIQAAFRSYLARKALCALRALVKLQALVRGHLVRKQTTATLRQMHALMAIQVRARFQRIQMAEESSQLVVRSKSSRHGSSSHDHGLTGVHEEAIDLDVYETKRVLKNKHEHLNQSLIQRRDHGHTKYYSGELSILKREHRYEEFSFSTAQNSPQICSPTPQTIPGRASFTCQKPDYVHSFSHPNYMANTESSRAKVRSQSEPKQRPKWSTRPKSNQIASMDRRNAQQDAQIQGSSFHSTPIAYESQDPWFIKLYQPTVSKDSDCDAKSTTSSNYPNQSKLLVAYEQPHLNLY >Manes.08G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36987075:36988803:-1 gene:Manes.08G132400.v8.1 transcript:Manes.08G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWIINFLLGKKDDKEKKKNIGFYEGSTVSSPNASVTSTPTYKRRWSFGKSASKERAHKCSKSLDSITPLIARHASLLEWGNQRSNKNTKAVAVPAETIKRVAAPRDVAANRISKSVEDEAATRIQAAFRSYLARKALCALRALVKLQALVRGHLVRKQTTATLRQMHALMAIQVRARFQRIQMAEESSQLVVRSKSSRHGSSSHDHGLTGVHEEAIDLDVYETKRVLKNKHEHLNQSLIQRRDHGHTKYYSGELSILKREHRYEEFSFSTAQNSPQICSPTPQTIPGRASFTCQKPDYVHSFSHPNYMANTESSRAKVRSQSEPKQRPKWSTRPKSNQIASMDRRNAQQDAQIQGSSFHSTPIAYESQDPWFIKLYQPTVSKDSDCDAKSTTSSNYPNQSKLLVAYEPHLNLY >Manes.01G036422.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8225759:8228518:-1 gene:Manes.01G036422.v8.1 transcript:Manes.01G036422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLNNSNSLPSWVGDDCCRWDGVTCDDITGHVVKLVLSWASIMGNISLHLGNLSNLQCLDLSLNPSLAIHSLHFPSSLKYLYLPYVVLDKCDNWLQSINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLKLGLNNFHSTIPSWLYNITKLQNLDLYSSAFRGSLSTDISNLNSLASLSAGFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLENLYLLNNSFSGSIPDNLGQFKRLKVLYLSENSFWGSIPVSIGQLYNLERLGFSQNSLHGEVSELHLLNLRSLIELSMGGNSLVFDIDPEWIPPFQLDWIALSSCEVGPSFPQWLKTQKSIRFLQMSNASISGNIPDWFENISSNTVGLDLSYNQLFGTLPTFRKLNTTYANKYRIILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNLSEMMPSLRLLSLSNNYLNGTVPPTLCRIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDFSMNSFDGFIPSWIGESLSSLKVLSIHSNKLEGEIPLQLCYLGSLRILNLANNMMTGTIPNCFGNFTAIAMHEQKGHWDYYTNAEPYMGFIRASYGENVQVYVKGVELEYSRTLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPSSISDLNFLSHLNLSFNHLSGRIPKGNQIQTLDDKSIYIGNDGLCGPPLNNCSDDADVLPKGHEKGGTTRKDDSEMVWFYGGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVCNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.03G086500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17070174:17074518:1 gene:Manes.03G086500.v8.1 transcript:Manes.03G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYVQYFWGLSLSISLCFIHSISISHVYIVYLGLNRFHDPIVTSNSHIQLLSNVFASEEEAKQSLLYSYKHSFSGFSAMLNSTQAATLAKIKEVISVFRSKSLELHTTRSWDFLGLTMDSTTAATPLQLSYGDDVVVGIFDTGIWPESDSFKEEPHLRPIPPTWKGQCVEGEGFEPRKACNRKLIGARYYLKGFEQQFGPLNTRGGNSEYRSARDFLGHGTHIASTAVGSTIRNASFFGFGKGNARGGAPRARLAVYKICWSKNFDGKCAESDILAAFDDALRDGVNIISASFGAPPPLAPFFASSSDIGAFHAMQFGVNVVFSAGNDGPNPSLVGNVSPWSICVAASTTDRTFPAQIVLDSNLSIMGESFITREIKGKLANAVMYFTNGICMMENWTKRLATGKVILCFSNIGPVPLSGIAQVAVKTANGSGLIFVEPPTNQIADIDIIPTVRVDISQGTQILNYLAQLPKLSVVRILPSRTVIGKSPAPVVAPFSSRGPSSISPDFLKPDLTAPGINILAAWPPKTPPTLLPSDDRFVEWNFQSGTSMSSPHVSGVAALIKSAHPHWSPAAIRSALMTTATTKDTALDSILVGGSMEVSDPFDMGSGHINPLKAMDPGLVYDMKTRDYIVFLCNIGYTQEQIKMMILPSPGTDSISCSNIPKTNMNLNYPSITVSDLQSSTTIKRTVRNVGSKKNAIYFVRVAKPNGVEVVIWPRVLIFSCFKEEVSYYVTLKPLKKSQGRYDFGEIVWSDGLHDVRSPLVVLVNNCGGDDFAFVSNI >Manes.03G086500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17070174:17074518:1 gene:Manes.03G086500.v8.1 transcript:Manes.03G086500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLQTLIFNSSLMSLQVKKKLNSLCSIATSTASQAFQQCSIQPKQPPWPLLHAEIKEVISVFRSKSLELHTTRSWDFLGLTMDSTTAATPLQLSYGDDVVVGIFDTGIWPESDSFKEEPHLRPIPPTWKGQCVEGEGFEPRKACNRKLIGARYYLKGFEQQFGPLNTRGGNSEYRSARDFLGHGTHIASTAVGSTIRNASFFGFGKGNARGGAPRARLAVYKICWSKNFDGKCAESDILAAFDDALRDGVNIISASFGAPPPLAPFFASSSDIGAFHAMQFGVNVVFSAGNDGPNPSLVGNVSPWSICVAASTTDRTFPAQIVLDSNLSIMGESFITREIKGKLANAVMYFTNGICMMENWTKRLATGKVILCFSNIGPVPLSGIAQVAVKTANGSGLIFVEPPTNQIADIDIIPTVRVDISQGTQILNYLAQLPKLSVVRILPSRTVIGKSPAPVVAPFSSRGPSSISPDFLKPDLTAPGINILAAWPPKTPPTLLPSDDRFVEWNFQSGTSMSSPHVSGVAALIKSAHPHWSPAAIRSALMTTATTKDTALDSILVGGSMEVSDPFDMGSGHINPLKAMDPGLVYDMKTRDYIVFLCNIGYTQEQIKMMILPSPGTDSISCSNIPKTNMNLNYPSITVSDLQSSTTIKRTVRNVGSKKNAIYFVRVAKPNGVEVVIWPRVLIFSCFKEEVSYYVTLKPLKKSQGRYDFGEIVWSDGLHDVRSPLVVLVNNCGGDDFAFVSNI >Manes.03G086500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17070089:17074519:1 gene:Manes.03G086500.v8.1 transcript:Manes.03G086500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLQTLIFNSSLMSLQVKKKLNSLCSIATSTASQAFQQCSIQPKQPPWPLLHAEIKEVISVFRSKSLELHTTRSWDFLGLTMDSTTAATPLQLSYGDDVVVGIFDTGIWPESDSFKEEPHLRPIPPTWKGQCVEGEGFEPRKACNRKLIGARYYLKGFEQQFGPLNTRGGNSEYRSARDFLGHGTHIASTAVGSTIRNASFFGFGKGNARGGAPRARLAVYKICWSKNFDGKCAESDILAAFDDALRDGVNIISASFGAPPPLAPFFASSSDIGAFHAMQFGVNVVFSAGNDGPNPSLVGNVSPWSICVAASTTDRTFPAQIVLDSNLSIMGESFITREIKGKLANAVMYFTNGICMMENWTKRLATGKVILCFSNIGPVPLSGIAQVAVKTANGSGLIFVEPPTNQIADIDIIPTVRVDISQGTQILNYLAQLPKLSVVRILPSRTVIGKSPAPVVAPFSSRGPSSISPDFLKPDLTAPGINILAAWPPKTPPTLLPSDDRFVEWNFQSGTSMSSPHVSGVAALIKSAHPHWSPAAIRSALMTTATTKDTALDSILVGGSMEVSDPFDMGSGHINPLKAMDPGLVYDMKTRDYIVFLCNIGYTQEQIKMMILPSPGTDSISCSNIPKTNMNLNYPSITVSDLQSSTTIKRTVRNVGSKKNAIYFVRVAKPNGVEVVIWPRVLIFSCFKEEVSYYVTLKPLKKSQGRYDFGEIVWSDGLHDVRSPLVVLVNNCGGDDFAFVSNI >Manes.03G086500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17070089:17074519:1 gene:Manes.03G086500.v8.1 transcript:Manes.03G086500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTQAATLAKIKEVISVFRSKSLELHTTRSWDFLGLTMDSTTAATPLQLSYGDDVVVGIFDTGIWPESDSFKEEPHLRPIPPTWKGQCVEGEGFEPRKACNRKLIGARYYLKGFEQQFGPLNTRGGNSEYRSARDFLGHGTHIASTAVGSTIRNASFFGFGKGNARGGAPRARLAVYKICWSKNFDGKCAESDILAAFDDALRDGVNIISASFGAPPPLAPFFASSSDIGAFHAMQFGVNVVFSAGNDGPNPSLVGNVSPWSICVAASTTDRTFPAQIVLDSNLSIMGESFITREIKGKLANAVMYFTNGICMMENWTKRLATGKVILCFSNIGPVPLSGIAQVAVKTANGSGLIFVEPPTNQIADIDIIPTVRVDISQGTQILNYLAQLPKLSVVRILPSRTVIGKSPAPVVAPFSSRGPSSISPDFLKPDLTAPGINILAAWPPKTPPTLLPSDDRFVEWNFQSGTSMSSPHVSGVAALIKSAHPHWSPAAIRSALMTTATTKDTALDSILVGGSMEVSDPFDMGSGHINPLKAMDPGLVYDMKTRDYIVFLCNIGYTQEQIKMMILPSPGTDSISCSNIPKTNMNLNYPSITVSDLQSSTTIKRTVRNVGSKKNAIYFVRVAKPNGVEVVIWPRVLIFSCFKEEVSYYVTLKPLKKSQGRYDFGEIVWSDGLHDVRSPLVVLVNNCGGDDFAFVSNI >Manes.03G086500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17070174:17074518:1 gene:Manes.03G086500.v8.1 transcript:Manes.03G086500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNISGAYPYQFHFVLFTQYPYLIICLFLQVYIVYLGLNRFHDPIVTSNSHIQLLSNVFASEEEAKQSLLYSYKHSFSGFSAMLNSTQAATLAKIKEVISVFRSKSLELHTTRSWDFLGLTMDSTTAATPLQLSYGDDVVVGIFDTGIWPESDSFKEEPHLRPIPPTWKGQCVEGEGFEPRKACNRKLIGARYYLKGFEQQFGPLNTRGGNSEYRSARDFLGHGTHIASTAVGSTIRNASFFGFGKGNARGGAPRARLAVYKICWSKNFDGKCAESDILAAFDDALRDGVNIISASFGAPPPLAPFFASSSDIGAFHAMQFGVNVVFSAGNDGPNPSLVGNVSPWSICVAASTTDRTFPAQIVLDSNLSIMGESFITREIKGKLANAVMYFTNGICMMENWTKRLATGKVILCFSNIGPVPLSGIAQVAVKTANGSGLIFVEPPTNQIADIDIIPTVRVDISQGTQILNYLAQLPKLSVVRILPSRTVIGKSPAPVVAPFSSRGPSSISPDFLKPDLTAPGINILAAWPPKTPPTLLPSDDRFVEWNFQSGTSMSSPHVSGVAALIKSAHPHWSPAAIRSALMTTATTKDTALDSILVGGSMEVSDPFDMGSGHINPLKAMDPGLVYDMKTRDYIVFLCNIGYTQEQIKMMILPSPGTDSISCSNIPKTNMNLNYPSITVSDLQSSTTIKRTVRNVGSKKNAIYFVRVAKPNGVEVVIWPRVLIFSCFKEEVSYYVTLKPLKKSQGRYDFGEIVWSDGLHDVRSPLVVLVNNCGGDDFAFVSNI >Manes.16G127000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32818696:32820917:1 gene:Manes.16G127000.v8.1 transcript:Manes.16G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHSSPFPSCFRPSPTTSSSDNHHPPPSPPPPTTSGNSNLVTCLYQTELGVFSLTWSRTFLGHSLHLNLLPADSTNCSPLYLSNPPSLSTLSFNLHIKPFIFWKRHGSKKLHIVNQEANTSTAPKIQIYWDLSRAKFGSGPEPQSGFYVAVVVDREIILLVGDLTKQACAKTRAKRPERCQALVLRREHVYGNRVYSTKARFGGKNRDISIDCTVNNDARLCFSVDNKRVLQIKRLKWKFRGNEKIEVDGVPIQVSWDVYNWLFEDINNSHAVFMFRFETPDNEDEEEEEHERQTESTEICSGQDGKNSMVPWQQNPFNSFGMSGIEWRKRRRNLMKTTRSSSSSSISMSSASSGGGSSSIMEWASTEENELSAPIGFSLLVYAWRK >Manes.03G179500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30140157:30141423:-1 gene:Manes.03G179500.v8.1 transcript:Manes.03G179500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLQSLLSPLKKLWFRLHSTPKKRRGIYILYEDVKSCPCEDVQVLWSILVESTAPSIQSKQ >Manes.04G131766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33298675:33299531:-1 gene:Manes.04G131766.v8.1 transcript:Manes.04G131766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPGVPFPQKMSEQSRTSISLPRNPEFPESDSPKSLKSKTAGGRKTFKTPLADALYVINYREAINKSSLSLPQWLCRSFPSYRTVNYFTFSTLSPTSSSSSMASHFFLQLPKSFYLKSFGSKSKRCKAGVPKINLNGKKPGNSLLLKNSGSLGLFFAYCTSSHCFSLGA >Manes.02G146000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11230228:11231868:1 gene:Manes.02G146000.v8.1 transcript:Manes.02G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGESLPNPHHQIHNLRPAFAFNSSASAAVIPPNSIHVSAPPPPPRVGPVSVGMRIVQAEGVAALFSGVSATVLRQTLYSTTRMGLYDVLKQKWTNPDTGSMPLVSKITAGLIAGGVGAAVGNPADVAMVRMQADGRLPLTQRRNYNGVIDAITRMSKQEGIASLWRGSSLTVNRAMIVTASQLASYDQIKEAILEKGVMRDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEAGKAAPYNGAIDCAMKTVKAEGIVALYKGFIPTISRQGPFTVVLFVTLEQVRKLFKDF >Manes.12G010500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1066237:1071270:1 gene:Manes.12G010500.v8.1 transcript:Manes.12G010500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPAVDENGVDSLRAATTVGSLRVDASPYACSSQTQSVYHGGNGNMLGTWGECLPDVNAEGLEIGSYGIYNDPSSNPFRGLGYTPQMPQRPFASITMTTPPGNCRGQLYNGQDLPNSETPFYQQFMTANTASQTPVSSAMLPVTINPQEDGNRFGPQPGYQPAKGSVLRESNFSGDSRGFKFLQQGYDGFESSGLWSDWSKPGNAMGSLLHLSSPTAVPKPIISLGFSANHFGVIWSTPHEARQGRRCNDLSCSCNVALDTLGERNRGPRAFKPRSKTAPNGSVIDNHRNAVSDFCKESYNGLDFFTEYKDAKFFVIKSYSEDNVHKSIKYGVWASTLNGNKKLDGAYHEAKERHGTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKILKNYESHSSILDDFHFYEERQKAMQARKSRQHQQAIPAPTSVVGDGEQNSISISNDFMKKISKSFAESLSLKETETKFSIPHLPQGSMGIQHGKRSRQKQHIQANR >Manes.12G010500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1066191:1071288:1 gene:Manes.12G010500.v8.1 transcript:Manes.12G010500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPAVDENGVDSLRAATTVGSLRVDASPYACSSQTQSVYHGGNGNMLGTWGECLPDVNAEGLEIGSYGIYNDPSSNPFRGLGYTPQMPQRPFASITMTTPPGNCRGQLYNGQDLPNSETPFYQQFMTANTASQTPVSSAMLPVTINPQEDGNRFGPQPGYQPAKGSVLRESNFSGDSRGFKFLQQGYDGFESSGLWSDWSKPGNAMGSLLHLSSPTAVPKPIISLGFSANHFGVVSQRKESLFGFGSRLGPSYKIYPQGQTNGNSSSHISSSMFGMNGQIWSTPHEARQGRRCNDLSCSCNVALDTLGERNRGPRAFKPRSKTAPNGSVIDNHRNAVSDFCKESYNGLDFFTEYKDAKFFVIKSYSEDNVHKSIKYGVWASTLNGNKKLDGAYHEAKERHGTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKILKNYESHSSILDDFHFYEERQKAMQARKSRQHQQAIPAPTSVVGDGEQNSISISNDFMKKISKSFAESLSLKETETKFSIPHLPQGSMGIQHGKRSRQKQHIQANR >Manes.12G010500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1066190:1071284:1 gene:Manes.12G010500.v8.1 transcript:Manes.12G010500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPAVDENGVDSLRAATTVGSLRVDASPYACSSQTQSVYHGGNGNMLGTWGECLPDVNAEGLEIGSYGIYNDPSSNPFRGLGYTPQMPQRPFASITMTTPPGNCRGQLYNGQDLPNSETPFYQQFMTANTASQTPVSSAMLPVTINPQEDGNRFGPQPGYQPAKGSVLRESNFSGDSRGFKFLQQGYDGFESSGLWSDWSKPGNAMGSLLHLSSPTAVPKPIISLGFSANHFGVVSQRKESLFGFGSRLGPSYKIYPQGQTNGNSSSHISSSMFGMNGQIWSTPHEARQGRRCNDLSCSCNVALDTLGERNRGPRAFKPRSKTAPNGSVIDNHRNAVSDFCKESYNGLDFFTEYKDAKFFVIKSYSEDNVHKSIKYGVWASTLNGNKKLDGAYHEAKERHGTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKILKNYESHSSILDDFHFYEERQKAMQARKSRQHQQAIPAPTSVVGDGEQNSISISNDFMKKISKSFAESLSLKETETKFSIPHLPQGSMGIQHGKRSRQKQHIQANR >Manes.12G010500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1066237:1071270:1 gene:Manes.12G010500.v8.1 transcript:Manes.12G010500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPAVDENGVDSLRAATTVGSLRVDASPYACSSQTQSVYHGGNGNMLGTWGECLPDVNAEGLEIGSYGIYNDPSSNPFRGLGYTPQMPQRPFASITMTTPPGNCRGQLYNGQDLPNSETPFYQQFMTANTASQTPVSSAMLPVTINPQEDGNRFGPQPGYQPAKGSVLRESNFSGDSRGFKFLQQGYDGFESSGLWSDWSKPGNAMGSLLHLSSPTAVPKPIISLGFSANHFGVVSQRKESLFGFGSRLGPSYKIYPQGQTNGNSSSHISSSMFGMNGQIWSTPHEARQGRRCNDLSCSCNVALDTLGERNRGPRAFKPRSKTAPNGSVIDNHRNAVSDFCKESYNGLDFFTEYKDAKFFVIKSYSEDNVHKSIKYGVWASTLNGNKKLDGAYHEAKERHGTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKILKNYESHSSILDDFHFYEERQKAMQARKSRQHQQAIPAPTSVVGDGEQNSISISNDFMKKISKSFAESLSLKETETKFSIPHLPQGSMGIQHGKRSRQKQHIQANR >Manes.12G010500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1066191:1071288:1 gene:Manes.12G010500.v8.1 transcript:Manes.12G010500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPAVDENGVDSLRAATTVGSLRVDASPYACSSQTQSVYHGGNGNMLGTWGECLPDVNAEGLEIGSYGIYNDPSSNPFRGLGYTPQMPQRPFASITMTTPPGNCRGQLYNGQDLPNSETPFYQQFMTANTASQTPVSSAMLPVTINPQEDGNRFGPQPGYQPAKGSVLRESNFSGDSRGFKFLQQGYDGFESSGLWSDWSKPGNAMGSLLHLSSPTAVPKPIISLGFSANHFGVIWSTPHEARQGRRCNDLSCSCNVALDTLGERNRGPRAFKPRSKTAPNGSVIDNHRNAVSDFCKESYNGLDFFTEYKDAKFFVIKSYSEDNVHKSIKYGVWASTLNGNKKLDGAYHEAKERHGTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKILKNYESHSSILDDFHFYEERQKAMQARKSRQHQQAIPAPTSVVGDGEQNSISISNDFMKKISKSFAESLSLKETETKFSIPHLPQGSMGIQHGKRSRQKQHIQANR >Manes.12G010500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1066237:1071270:1 gene:Manes.12G010500.v8.1 transcript:Manes.12G010500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPAVDENGVDSLRAATTVGSLRVDASPYACSSQTQSVYHGGNGNMLGTWGECLPDVNAEGLEIGSYGIYNDPSSNPFRGLGYTPQMPQRPFASITMTTPPGNCRGQLYNGQDLPNSETPFYQQFMTANTASQTPVSSAMLPVTINPQEDGNRFGPQPGYQPAKGSVLRESNFSGDSRGFKFLQQGYDGFESSGLWSDWSKPGNAMGSLLHLSSPTAVPKPIISLGFSANHFGVIWSTPHEARQGRRCNDLSCSCNVALDTLGERNRGPRAFKPRSKTAPNGSVIDNHRNAVSDFCKESYNGLDFFTEYKDAKFFVIKSYSEDNVHKSIKYGVWASTLNGNKKLDGAYHEAKERHGTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKILKNYESHSSILDDFHFYEERQKAMQARKSRQHQQAIPAPTSVVGDGEQNSISISNDFMKKISKSFAESLSLKETETKFSIPHLPQGSMGIQHGKRSRQKQHIQANR >Manes.12G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1066235:1071271:1 gene:Manes.12G010500.v8.1 transcript:Manes.12G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPAVDENGVDSLRAATTVGSLRVDASPYACSSQTQSVYHGGNGNMLGTWGECLPDVNAEGLEIGSYGIYNDPSSNPFRGLGYTPQMPQRPFASITMTTPPGNCRGQLYNGQDLPNSETPFYQQFMTANTASQTPVSSAMLPVTINPQEDGNRFGPQPGYQPAKGSVLRESNFSGDSRGFKFLQQGYDGFESSGLWSDWSKPGNAMGSLLHLSSPTAVPKPIISLGFSANHFGVVSQRKESLFGFGSRLGPSYKIYPQGQTNGNSSSHISSSMFGMNGQIWSTPHEARQGRRCNDLSCSCNVALDTLGERNRGPRAFKPRSKTAPNGSVIDNHRNAVSDFCKESYNGLDFFTEYKDAKFFVIKSYSEDNVHKSIKYGVWASTLNGNKKLDGAYHEAKERHGTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKILKNYESHSSILDDFHFYEERQKAMQARKSRQHQQAIPAPTSVVGDGEQNSISISNDFMKKISKSFAESLSLKETETKFSIPHLPQGSMGIQHGKRSRQKQHIQANR >Manes.12G010500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1066190:1071284:1 gene:Manes.12G010500.v8.1 transcript:Manes.12G010500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQPAVDENGVDSLRAATTVGSLRVDASPYACSSQTQSVYHGGNGNMLGTWGECLPDVNAEGLEIGSYGIYNDPSSNPFRGLGYTPQMPQRPFASITMTTPPGNCRGQLYNGQDLPNSETPFYQQFMTANTASQTPVSSAMLPVTINPQEDGNRFGPQPGYQPAKGSVLRESNFSGDSRGFKFLQQGYDGFESSGLWSDWSKPGNAMGSLLHLSSPTAVPKPIISLGFSANHFGVIWSTPHEARQGRRCNDLSCSCNVALDTLGERNRGPRAFKPRSKTAPNGSVIDNHRNAVSDFCKESYNGLDFFTEYKDAKFFVIKSYSEDNVHKSIKYGVWASTLNGNKKLDGAYHEAKERHGTSPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEHGVEMLKILKNYESHSSILDDFHFYEERQKAMQARKSRQHQQAIPAPTSVVGDGEQNSISISNDFMKKISKSFAESLSLKETETKFSIPHLPQGSMGIQHGKRSRQKQHIQANR >Manes.07G039735.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4283003:4283606:1 gene:Manes.07G039735.v8.1 transcript:Manes.07G039735.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRCLIFAALFIALSFDVGLAARHLQQLPPLPKPTLPPMPSMPTLPQPTLPTNPSLPPLPSLPTLPKLALPPLPSIPTLPTTIPSIPFLSPPPGN >Manes.08G137600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37415152:37416922:1 gene:Manes.08G137600.v8.1 transcript:Manes.08G137600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKVFFDILIGEMKAGRIVMELFADSTPKTAENFRALCTGEKGIGRSGNPLHYKGSTFHRIIPNFMCQGGDFTRGNGTGGESIYGMKFADENFKVKHTGPGVLSMANAGPNTNGSQFFICTEKTSWLDGKHVVFGKVVDGYSVVKEMEEVGSESGRTRKTVVIEDCGQITEN >Manes.09G111800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31380813:31382411:1 gene:Manes.09G111800.v8.1 transcript:Manes.09G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTARSLSQAKLPAGCSTSVSPTIFHSKPSILAQNSIALQSPKIPFSQLQVFRIKARKQRILEPVHASESEISSTDVQDRWLLQPVGDGDTRHIGYKMKMPDAFEIASSEVTVGRLPEKADVVIPVATVSGIHARIQKKEGNLLVTDLDSTNGTYIDDKRLKPGVAANVPSGSLLTFGDIHLAMFRVSKLENVESASKPEESEEKAETSSPIDSGGTS >Manes.01G055000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:23000745:23000984:-1 gene:Manes.01G055000.v8.1 transcript:Manes.01G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSSFDALCAEFLSQTIRSRSSNFASATTHDLITKPQQSSSLQSTEDRKREQPPRKAPRFAPELDGLNCFETIVNY >Manes.06G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21651695:21657170:1 gene:Manes.06G080800.v8.1 transcript:Manes.06G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQHFVDLQGDNDFVDPKSLFSSPTLRRAQSQLSAHTSTSAATIGAAGTVDPVLYNNLVEIFPLVESLIDRKANSSFMRRGSMIYTKTPSRDKKMIDPKGRYTTQSIPAKKKKEHRDKDQSKHAVDNQDADGVSISSSRDLATEKDLEELVTLREQVEDLQRKLLEKDELLKSAEISKNQINVVHTELDELKHQATEKDSLIKSTQLQLYDTKIKLADKQAALEKIQWEAMTSNRKVEKLQEDLDSLQGDISSFMRLFEGLANSDSTIDAEDYDTKPRYLDPLPDIDDFDEKEMQEMEEARQAYMIAVAVAKEKQDEESIAAAASARLRLQSCVLKSNSRNAGKDFNNSGDSQAS >Manes.S050016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1671762:1671896:1 gene:Manes.S050016.v8.1 transcript:Manes.S050016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.04G009250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1190155:1193349:1 gene:Manes.04G009250.v8.1 transcript:Manes.04G009250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFISFILLKFNTKTLKSVQSTPYNLTFMKSMSQSTSIPRKQQRVRDYGYDNYMEIEKKTRKVLKFQSLILSQINQNVPISRLDNLARRLGFKLYEAGSFVLKFPHVFEVYEHPVQRILYCRLTRKALLQIQQEKEALIAQIPDAVTRLRKLIMMSNTGRLRLEHVRIARSEFGLPDDFEYSVVLKNPQYFRLIDADETRNKYIEIVDRDLKLAVCAIEKAREREYREKGMNAEDIRFSFIINFPPGFKIGKYYRIAVWKWQRTPYWSPYEDISGYDLRSIEAQKRLEKRAVATIHELLSLTVEKKITLERIAHFRMAMNLPNKLKEFCLQHQGIFYVSTRGNHGKLHTVFLREAYKKGELVEPNDLYLARRKLGELVLISPRKAKVDAELVSYRRDREGDELEQLGRDYVENNFEASKDVQDGEYEDDLNSDLDSDVGTDYTDNDFSVLPRI >Manes.09G182700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37068677:37069260:-1 gene:Manes.09G182700.v8.1 transcript:Manes.09G182700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQDIVGKHLRYRYKKLISSEEELARPRSSSCVKKMNGGLKGLRLWRSRKLILKALSVMVLPSRIARVYADIISRIKMDDLYPNIIFYTQWGLPVLSHPSVKCRRRRETNLQVIKIFPQ >Manes.04G134000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33486618:33491287:1 gene:Manes.04G134000.v8.1 transcript:Manes.04G134000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCDTYFRNDAYDDLRRNKEQERKMISDGVPWTEEEHRLFLVGLQKLGKGDWRGIARNYVVSRTPTQVASHAQKYFIRQSNSTRRKRRSSLFDMVADMATEPQTVPEDQELPSFHLRERDNADSLPSLNLSLKPEYEPMETTTDEPGKEPDDTVTDSSESKQTVPKLSAFNPTVPPLKDFTQDVPGFYSAYMPIPYPFWPANAASLEVKREETSNHEVLKPVPTFPKQPVNVNELVGLSHLSIAETEREPSPLSLKLIGEPTRQSAFHANASAVG >Manes.04G134000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33486670:33491287:1 gene:Manes.04G134000.v8.1 transcript:Manes.04G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPVRSSGASSTSASSAVGVRLFGVRLTDGSIIKKSASMGNLSAHYHSSSSAAASPNPDSPSSDHVRDSGNVPEGYLSDDPVHASSSTNRRGERKKGVPWTEEEHRLFLVGLQKLGKGDWRGIARNYVVSRTPTQVASHAQKYFIRQSNSTRRKRRSSLFDMVADMATEPQTVPEDQELPSFHLRERDNADSLPSLNLSLKPEYEPMETTTDEPGKEPDDTVTDSSESKQTVPKLSAFNPTVPPLKDFTQDVPGFYSAYMPIPYPFWPANAASLEVKREETSNHEVLKPVPTFPKQPVNVNELVGLSHLSIAETEREPSPLSLKLIGEPTRQSAFHANASAVG >Manes.06G078700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21492266:21493047:1 gene:Manes.06G078700.v8.1 transcript:Manes.06G078700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCLKFICLLLVLLLSSAWLSTGDDSQAAEDAAAISTEKEEFSGRNAIGGRKMVPIKLIVKRVAIKGSGELAESLRISSANQEIAAAGKSGKKKNKAVDQNEGSKQLNNEGGFVAFSADYHAPRHHPPKNN >Manes.08G027900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2665074:2666622:1 gene:Manes.08G027900.v8.1 transcript:Manes.08G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAPGIRFYPTEEELVSFYLHHKLEGERDDLNRLMDRVIPVLDIYEYSPWQLPQYAGEFSHGDPEQWFFFIKRQENEARGGRPRRLTTAGYWKATGSPGYVYSSNNRCIGVKRTMVFYTGRAPLGRKTEWKMNEYKTIEGEAFSSTGANPTLRHEFSLCRVYKKSKRLRSFDRRPIGAEIGRRSAHQKIQSDEGAKARIHQNPEMVERRSSPASSSSGDHGCCFNQTGEGSSMQMAASPGDDPLWDLDQLHHWFNCLEDM >Manes.05G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1976090:1977721:1 gene:Manes.05G022200.v8.1 transcript:Manes.05G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFAFSSVLLCVVLITNLLQSLKRNSKRLPPGPPRWPIFGNLLQLSQLPHRDLASLCNKYGPIVYLRLGSVDAITTDDPEIIREILLRQDDVFASRPRTLAADHLAYGCGDVALAPLGPNWKRMRRICMEHLLTTKRLDSFAKHRAEEAQHLVRDVWAQAQTGKSVNLREMLGAFSMNNVTRMLLGKQYFGAESAGPQEAIEFMHVTHELFRLLGVIYLGDYLPFWRWIDPHGCENQMRQVEKRVDDFHSKIIEEHKMARQVKQGEEVREGEMDFVDVLLSLPGKDGQEHMDDIEIKALIQDMIAAATDTSAVTNEWAMAEVIKHPRVICKIQEELDHVVGPNRMVTESDLPHLNYLRCVVRETFRMHPAGPFLIPHESLRATTINGYHIPAKTRIFINTHGLGRNTKVWTDVEEFRPERHWLEDGSRVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMGLARLFHAFDWSPPKGLRYEDIDTTEVYGMTMPKAMPLLALARPRLADHLYQ >Manes.10G055000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6878681:6887047:-1 gene:Manes.10G055000.v8.1 transcript:Manes.10G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTAGKQKSVRLVLVCVGLLGFGVIANYLWASSPRLSNWSVDNSLTNLIIPRTEDPFSDATFPAPKGEADKGKKKKKGKDKDIPDRFLSATFADLPAPELKWDKMAPAPVPRLDGAAIQIKNLLYVFAGYGTIDYVHSHVDIYNFTDNTWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTARTFVLDTETKQWRDMLPLPVPRYAPATQLWRGRLHVMGGSKENRHTPAFEHWSLAVKDGKALENEWRTEIPIPRGGPHRACVVFDDRLYIIGGQEGDFMAKPGSPIFKCSRRNEVVFDNVYMLDDDMKWKALPPMPKPDSHIEFAWVIVNNSLVIVGGTTDKHPITKRMVLVGEVFQFNLVTLKWSVIGKLPYRVKTTLVGLWNGWLYFTSGQRDRGPDDPTPKKVIGEMWRTKLKLNS >Manes.11G088378.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16288515:16288708:-1 gene:Manes.11G088378.v8.1 transcript:Manes.11G088378.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYVKMNCFLLWFLLTGLFSSPLSPNPRFAGSEVVQEDGKIWLWS >Manes.S041916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1216044:1216211:1 gene:Manes.S041916.v8.1 transcript:Manes.S041916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.05G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2919616:2921180:1 gene:Manes.05G034600.v8.1 transcript:Manes.05G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCCKTSVELPILDISKPLLHPSSLSSLAEACKKWGFFHIKNHGISKQLYNKIYSYSQDMFNLPSDAKLELGPSSSLKTYTPHFIASPFFESLRVSGPNFFASAQSSANVLFNQQSCEFSEALQEYGNKMTELSKRIIEILLLSLEDGLDHKKYYESEFKNGHGYLRIINYTPPKCLDDEVEGLGMHTDMSCVTIVYQDQIGGLQVKSKQGKWMDISPCEETLVVNIGDMLQAWSNEKFRSSEHRVVLKQPVNRFSLAFFWCFEDEKMILAPDEVVGEGHARIYKPFVCSDYLKFRESSERGKFEKVGFTVRDFAGINL >Manes.02G197000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16295035:16300813:-1 gene:Manes.02G197000.v8.1 transcript:Manes.02G197000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQHDLKASEMVGFKRFSSPEKANCLGNAKSLSDSYRVPADGDNGLNKQKHGSVSDHGINSCESAQSTEMERKHLTHNDATSISCNIENADESTLFSSVVSPSTTSELKKENWAEGTCRVVPSSENLVDCDVSASCPRGIDFRQNVSMKNDVGSGGRQTLDKETNELTGGRMKENWLDVDGNGLKSVMLANSRGKQLKTESSSSNSIRVILPSSSNDSCQETSADVKSGEHTKCCGTDTSACTNLTSSLEEPVVKKSQFGMAVQISVKDDMHVTGFSPKKQGHRHGHSKIINDVGARDFHNLAEMIADGNLIFLEKSDVQKSISPRKENVEFAKVKQQNELNQRVLSDVEQDVGMYKEASGSCSSIQDKCGEIVPLSSVDSSVSNRGSLTENRRKIHFGCKRDRYDRFRSPKDQVSLEMSTRNEALCMEVKEPSSQYRDHMKKHKTNGCLHGQGSSPFITESEDITTSDQHTCVPGIDLNETILVNEVDYQKQSINEAVSLHAEIVSKPKPVAAKSGMPICLAKLHIKLDEEAAGWRGSAATSAFQPVSFSESINRIKASSPVDNNNGSKYSQVNWIDLNVSAEGVNCDVELLPEKCLKAVSSFPSEDRLMEVSSSQAKKFNIDLNCIGENDENYHQLCAPASLSRSSVKDFDLNDQPISADICSDPYSLAQGDSALRDRTSGDSVVTFLGSAKQPEFNSVESSSYMGNLSPKRFSLGEGKQFLMAASTNLPLVEQMRTPFSQQQRPTLASYSSPSCLPHTFLCNNAFFIDPNNCISSNGVISYNIINPQVTAFFPEMLGSSAVPAFSGTPHVMQGHDRPSFNDIAITRPNFGPNGG >Manes.02G197000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16295018:16300893:-1 gene:Manes.02G197000.v8.1 transcript:Manes.02G197000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQHDLKASEMVGFKRFSSPEKANCLGNAKSLSDSYRVPADGDNGLNKQKHGSVSDHGINSCESAQSTEMERKHLTHNDATSISCNIENADESTLFSSVVSPSTTSELKKENWAEGTCRVVPSSENLVDCDVSASCPRGIDFRQNVSMKNDVGSGGRQTLDKETNELTGGRMKENWLDVDGNGLKSVMLANSRGKQLKTESSSSNSIRVILPSSSNDSCQETSADVKSGEHTKCCGTDTSACTNLTSSLEEPVVKKSQFGMAVQISVKDDMHVTGFSPKKQGHRHGHSKIINDVGARDFHNLAEMIADGNLIFLEKSDVQKSISPRKENVEFAKVKQQNELNQRVLSDVEQDVGMYKEASGSCSSIQDKCGEIVPLSSVDSSVSNRGSLTENRRKIHFGCKRDRYDRFRSPKDQVSLEMSTRNEALCMEVKEPSSQYRDHMKKHKTNGCLHGQGSSPFITESEDITTSDQHTCVPGIDLNETILVNEVDYQKQSINEAVSLHAEIVSKPKPVAAKSGMPICLAKLHIKLDEEAAGWRGSAATSAFQPVSFSESINRIKASSPVDNNNGSKYSQVNWIDLNVSAEGVNCDVELLPEKCLKAVSSFPSEDRLMEVSSSQAKKFNIDLNCIGENDENYHQLCAPASLSRSSVKDFDLNDQPISADICSDPYSLAQGDSALRDRTSGDSVVTFLGSAKQPEFNSVESSSYMGNLSPKRFSLGEGKQFLMAASTNLPLVEQMRTPFSQQQRPTLASYSSPSCLPHTFLCNNAFFIDPNNCISSNGVISYNIINPQVTAFFPEMLGSSAVPAFSGTPHVMQGHDRPSFNDIAITRPNFGPNGG >Manes.02G121312.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9253962:9254252:1 gene:Manes.02G121312.v8.1 transcript:Manes.02G121312.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPIAFAAPTFRVYAATAAKEAGGSKEEKGFLDWILGNLQKEDQFYETDPILQKVEGKNGGGTTNGRKNSVSVPQKKKGNGGGFGGFGGLFAKK >Manes.18G126200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21888526:21890019:1 gene:Manes.18G126200.v8.1 transcript:Manes.18G126200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAIELQYAKDSWITFAYIDQFKLSGGGTFDRQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNNVVQDITSLDSKNFHVNVLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDRISIGGASKQIRIPNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPPKVKISNVTFKNIRGSSATAVAVQHNCSSSFPCQKVELADINLTYRGKEGPIRSLCANVKSTLKGKLTPTIC >Manes.04G023100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2737002:2738003:1 gene:Manes.04G023100.v8.1 transcript:Manes.04G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCQAFFSLFSLLLLCLNCTRTLAQSPAAAPVQAPPVSPVQSPPAPPAQAPSGVQVAASPGPTDVVKILEKAGHFTILARLLKATQENSELLSELNNTNNGVTIFAPTDNSFSSLKVGTLNSLSDGQKAELVKFHVVPAFISSSQFQTVSNPVRTQAGTGGRLSLNVTTVGSLVNIATGLTNASVSGTVYTDNQLAIYQVDKVLLPLDLFTPKPPAPAPVPGPESPIKKAPAVDSATVPNDLSGAVNSIGYNNVASLAVAMVAAILH >Manes.14G117321.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11227158:11227854:1 gene:Manes.14G117321.v8.1 transcript:Manes.14G117321.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGNPYCWRSQLLMVLLFWVARIVVGLLFGCCRLIGGFVNGAV >Manes.01G091100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29275976:29277841:1 gene:Manes.01G091100.v8.1 transcript:Manes.01G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVAAFFLALIALSMLQTLVMSSHGHGGHHYNSQRRYGPGSLKSYQCPSQCSRRCSKTQYHKPCMFFCQKCCRKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >Manes.01G137700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32975624:32976472:1 gene:Manes.01G137700.v8.1 transcript:Manes.01G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAFSTRRSSHRGGYERLLSDESAVAAPDDLEVGTLKRSKTLPAPPPPSLRSSSTAKKFLSQLGFPNDSQSHHNSVKSCARNKANKSHPLLSLFDARRKRKTTAKPEFTRYLEYLREGGVWDVSSNMPVIYYK >Manes.S022653.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251224.1:350388:351108:-1 gene:Manes.S022653.v8.1 transcript:Manes.S022653.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRTKSGTGCNMGDRLGSVLGWKLLGRNRAPDVTWVIDLEVFGMEAIRPKSGTGCNMGDRLGSFWDGSY >Manes.06G057600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15739187:15749620:1 gene:Manes.06G057600.v8.1 transcript:Manes.06G057600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTRKVGKYEVGRTIGEGTFAKVKFAQNMETGGSVAMKVMAKSTILKHRMVDQIKREISIMKIVRHPNIVMLHEVLASRTKIYIILEFVTGGELFDKIVHQGRLSENEARRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSVGNLKVSDFGLSALPQEGVGLLHTTCGTPNYVAPEVLSHQGYDGAAADVWSCGVILYVLMAGYLPFDEADLPTLYRKINAAEYTCPFWFSPGAKALIDKILDPNPKTRIRIEGIRKNPWFAKQYVPVKHSEEGEVNLDDVRAVFDDIEDQYVAEQSENSEGGPLIMNAFEMITLSQGLNLSALFDRRQDYVKRQTRFVSRKPAKVIISTIEAVAESMSLKVHTRNYKTRLEGISANKAGQFAVVLEVFEVAPSLFMVDVRKASGDTLEYHKFYKNFCAKLESIIWKPTDGVSASLLCGCEDKE >Manes.06G057600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15739188:15747956:1 gene:Manes.06G057600.v8.1 transcript:Manes.06G057600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTRKVGKYEVGRTIGEGTFAKVKFAQNMETGGSVAMKVMAKSTILKHRMVDQIKREISIMKIVRHPNIVMLHEVLASRTKIYIILEFVTGGELFDKIVHQGRLSENEARRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSVGNLKVSDFGLSALPQEGVGLLHTTCGTPNYVAPEVLSHQGYDGAAADVWSCGVILYVLMAGYLPFDEADLPTLYRKINAAEYTCPFWFSPGAKALIDKILDPNPKTRIRIEGIRKNPWFAKQYVPVKHSEEGEVNLDDVRAVFDDIEDQYVAEQSENSEGGPLIMNAFEMITLSQGLNLSALFDRRQDYVKRQTRFVSRKPAKVIISTIEAVAESMSLKVHTRNYKTRLEGISANKAGQFAVVLEVFEVAPSLFMVDVRKASGDTLEYHKFYKNFCAKLESIIWKPTDGVSASLLCGCEDKE >Manes.06G057600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15739188:15749620:1 gene:Manes.06G057600.v8.1 transcript:Manes.06G057600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTRKVGKYEVGRTIGEGTFAKVKFAQNMETGGSVAMKVMAKSTILKHRMVDQIKREISIMKIVRHPNIVMLHEVLASRTKIYIILEFVTGGELFDKIVHQGRLSENEARRYFQQLIDAVAHCHSKGVYHRDLKPENLLLDSVGNLKVSDFGLSALPQEGVGLLHTTCGTPNYVAPEVLSHQGYDGAAADVWSCGVILYVLMAGYLPFDEADLPTLYRKINAAEYTCPFWFSPGAKALIDKILDPNPKTRIRIEGIRKNPWFAKQYVPVKHSEEGEVNLDDVRAVFDDIEDQYVAEQSENSEGGPLIMNAFEMITLSQGLNLSALFDRRQDYVKRQTRFVSRKPAKVIISTIEAVAESMSLKVHTRNYKTRLEGISANKAGQFAVVLEVFEVAPSLFMVDVRKASGDTLEYHKFYKNFCAKLESIIWKPTDGVSASLLCGCEDKE >Manes.04G047300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5795234:5801908:1 gene:Manes.04G047300.v8.1 transcript:Manes.04G047300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSLVSVSTCPVVLSSSLIIVPRGSSKFLPYLPSRQRKQLMQVSAMSADAGQGPASSSSSEKKNPLAAVLEVPQSIWKQTLKPLSDFGFGRRSIWEGGVGLFLVSGAVLLALSLAWLRGFELRSKFRKYVAVFEFAQACGICTGTPVRIRGVTVGNVIQVNPSLRSIEAVVEVEDDKIIIPRNSLVEVNQSGFLMETLIDITPKDPIPSPSVGPLDAECVKEGLIVCDRQRIKGHQGVSLDALVGIFTRLGREMEEIGVAKSYSLAERVAAIIEEAKPLLLKSAVLI >Manes.04G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5795234:5801908:1 gene:Manes.04G047300.v8.1 transcript:Manes.04G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNSLVSVSTCPVVLSSSLIIVPRGSSKFLPYLPSRQRKQLMQVSAMSADAGQGPASSSSSEKKNPLAAVLEVPQSIWKQTLKPLSDFGFGRRSIWEGGVGLFLVSGAVLLALSLAWLRGFELRSKFRKYVAVFEFAQACGICTGTPVRIRGVTVGNVIQVNPSLRSIEAVVEVEDDKIIIPRNSLVEVNQSGFLMETLIDITPKDPIPSPSVGPLDAECVKEGLIVCDRQRIKGHQGVSLDALVGIFTRLGREMEEIGVAKSYSLAERVAAIIEEAKPLLLKIEEMAEDVQPLLSEVRASGLLKEVETLTKSLTQASEDLRRTHTAILTPENTELIQKSLYSLIFTLKNIENISSDILGFTGDEALRQNLKALIKSLSRML >Manes.03G028100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2289724:2290112:1 gene:Manes.03G028100.v8.1 transcript:Manes.03G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAGKSFKSSSNAKSDVRKDRKSATGMSGSPKKGGHGGKFTWVGDGYSQAEIGLQKEAVDVKDPNFEDPEEIEAETI >Manes.14G123600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9783412:9790634:1 gene:Manes.14G123600.v8.1 transcript:Manes.14G123600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVSSCSSGIVLHSDNVKEDKNLDVDILNDFDTYWEDIKDRCTVSRMVSDSVVKGMISAVEQEAAEKIALKESELAKLKETLHLYHVGADGRCSMMCHEPISGKNELYSSHSNGMVDHERLQDSFRNLKFTAKEQFKRLKKEIDKIKMKGSAPELKGLSGFLQGIMPEKWIDADRALDGLRTTLESTYVHAEDFICLSESLLFEWQQEREFQAGIERMVVKNCLQEEFDQRLWDQNAKSYDNESANWLEQIKEISSLRQELDAISKSLSVPESGHLISHGSLEHRKASVNHVSSTSLLEENGKHDESITVVPEFMDYAQLKHLSKEDLYSYCKAEMTKMKRDHELNVQQMIEEVYSLKREYLKEGGSCVPVRKAKEFDSLRKKILEVILKLDDILLENEKLSSYSNNGDCLDSLKDRLEQLRLENQQLRDLLMEKEQEIKCLSSQVSDAAEKILERSIAEEKFTRMLEDLKCVKEDAHIEASISEDLYKFLLKEVINHMKSSVQELDMEHDIIHGICEIIFREAIYSVEPTGKLEIEDSVMEYIIMQGICEVILRESFKEAEDKVGNLNLKYINENEARISLEMQALEKEKELRLTIAEREKLEEEIILLKAMIEEKDNLVQETAGALAKEREKLELVSEEFGKLRFQTTQQQMLILKYNEESEIVKGDLVKALDTIEMDKREISKLREQLEMVTNNLMEVVKEKSMLLSISQQHQDILSSVEEREREYRKQMNSIIALIQGLSEAVNDFEHKAAEDIKMNSLRLETLSPQLNSLIQKANVLRKTGLLDKQRLERRSSDLQKAEAEVDLLGDEVDALLSFLGKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.14G123600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9783417:9791006:1 gene:Manes.14G123600.v8.1 transcript:Manes.14G123600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVSSCSSGIVLHSDNVKEDKNLDVDILNDFDTYWEDIKDRCTVSRMVSDSVVKGMISAVEQEAAEKIALKESELAKLKETLHLYHVGADGRCSMMCHEPISGKNELYSSHSNGMVDHERLQDSFRNLKFTAKEQFKRLKKEIDKIKMKGSAPELKGLSGFLQGIMPEKWIDADRALDGLRTTLESTYVHAEDFICLSESLLFEWQQEREFQAGIERMVVKNCLQEEFDQRLWDQNAKSYDNESANWLEQIKEISSLRQELDAISKSLSVPESGHLISHGSLEHRKASVNHVSSTSLLEENGKHDESITVVPEFMDYAQLKHLSKEDLYSYCKAEMTKMKRDHELNVQQMIEEVYSLKREYLKEGGSCVPVRKAKEFDSLRKKILEVILKLDDILLENEKLSSYSNNGDCLDSLKDRLEQLRLENQQLRDLLMEKEQEIKCLSSQVSDAAEKILERSIAEEKFTRMLEDLKCVKEDAHIEASISEDLYKFLLKEVINHMKSSVQELDMEHDIIHGICEIIFREAIYSVEPTGKLEIEDSVMEYIIMQGICEVILRESFKEAEDKVGNLNLKYINENEARISLEMQALEKEKELRLTIAEREKLEEEIILLKAMIEEKDNLVQETAGALAKEREKLELVSEEFGKLRFQTTQQQMLILKYNEESEIVKGDLVKALDTIEMDKREISKLREQLEMVTNNLMEVVKEKSMLLSISQQHQDILSSVEEREREYRKQMNSIIALIQGLSEAVNDFEHKAAEDIKMNSLRLETLSPQLNSLIQKANVLRKTGLLDKQRLERRSSDLQKAEAEVDLLGDEVDALLSFLGKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.14G123600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9783417:9791006:1 gene:Manes.14G123600.v8.1 transcript:Manes.14G123600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVSSCSSGIVLHSDNVKEDKNLDVDILNDFDTYWEDIKDRCTVSRMVSDSVVKGMISAVEQEAAEKIALKESELAKLKETLHLYHVGADGRCSMMCHEPISGKNELYSSHSNGMVDHERLQDSFRNLKFTAKEQFKRLKKEIDKIKMKGSAPELKGLSGFLQGIMPEKWIDADRALDGLRTTLESTYVHAEDFICLSESLLFEWQQEREFQAGIERMVVKNCLQEEFDQRLWDQNAKSYDNESANWLEQIKEISSLRQELDAISKSLSVPESGHLISHGSLEHRKASVNHVSSTSLLEENGKHDESITVVPEFMDYAQLKHLSKEDLYSYCKAEMTKMKRDHELNVQQMIEEVYSLKREYLKEGGSCVPVRKAKEFDSLRKKILEVILKLDDILLENEKLSSYSNNGDCLDSLKDRLEQLRLENQQLRDLLMEKEQEIKCLSSQVSDAAEKILERSIAEEKFTRMLEDLKCVKEDAHIEASISEDLYKFLLKEVINHMKSSVQELDMEHDIIHGICEIIFREAIYSVEPTGKLEIEDSVMEYIIMQGICEVILRESFKEAEDKVGNLNLKYINENEARISLEMQALEKEKELRLTIAEREKLEEEIILLKAMIEEKDNLVQETAGALAKEREKLELVSEEFGKLRFQTTQQQMLILKYNEESEIVKGDLVKALDTIEMDKREISKLREQLEMVTNNLMEVVKEKSMLLSISQQHQDILSSVEEREREYRKQMNSIIALIQGLSEAVNDFEHKAAEDIKMNSLRLETLSPQLNSLIQKANVLRKTGLLDKQRLERRSSDLQKAEAEVDLLGDEVDALLSFLGKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.14G123600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9783438:9790634:1 gene:Manes.14G123600.v8.1 transcript:Manes.14G123600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVSSCSSGIVLHSDNVKEDKNLDVDILNDFDTYWEDIKDRCTVSRMVSDSVVKGMISAVEQEAAEKIALKESELAKLKETLHLYHVGADGRCSMMCHEPISGKNELYSSHSNGMVDHERLQDSFRNLKFTAKEQFKRLKKEIDKIKMKGSAPELKGLSGFLQGIMPEKWIDADRALDGLRTTLESTYVHAEDFICLSESLLFEWQQEREFQAGIERMVVKNCLQEEFDQRLWDQNAKSYDNESANWLEQIKEISSLRQELDAISKSLSVPESGHLISHGSLEHRKASVNHVSSTSLLEENGKHDESITVVPEFMDYAQLKHLSKEDLYSYCKAEMTKMKRDHELNVQQMIEEVYSLKREYLKEGGSCVPVRKAKEFDSLRKKILEVILKLDDILLENEKLSSYSNNGDCLDSLKDRLEQLRLENQQLRDLLMEKEQEIKCLSSQVSDAAEKILERSIAEEKFTRMLEDLKCVKEDAHIEASISEDLYKFLLKEVINHMKSSVQELDMEHDIIHGICEIIFREAIYSVEPTGKLEIEDSVMEYIIMQGICEVILRESFKEAEDKVGNLNLKYINENEARISLEMQALEKEKELRLTIAEREKLEEEIILLKAMIEEKDNLVQETAGALAKEREKLELVSEEFGKLRFQTTQQQMLILKYNEESEIVKGDLVKALDTIEMDKREISKLREQLEMVTNNLMEVVKEKSMLLSISQQHQDILSSVEEREREYRKQMNSIIALIQGLSEAVNDFEHKAAEDIKMNSLRLETLSPQLNSLIQKANVLRKTGLLDKQRLERRSSDLQKAEAEVDLLGDEVDALLSFLGKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.14G123600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9783489:9790634:1 gene:Manes.14G123600.v8.1 transcript:Manes.14G123600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGVSSCSSGIVLHSDNVKEDKNLDVDILNDFDTYWEDIKDRCTVSRMVSDSVVKGMISAVEQEAAEKIALKESELAKLKETLHLYHVGADGRCSMMCHEPISGKNELYSSHSNGMVDHERLQDSFRNLKFTAKEQFKRLKKEIDKIKMKGSAPELKGLSGFLQGIMPEKWIDADRALDGLRTTLESTYVHAEDFICLSESLLFEWQQEREFQAGIERMVVKNCLQEEFDQRLWDQNAKSYDNESANWLEQIKEISSLRQELDAISKSLSVPESGHLISHGSLEHRKASVNHVSSTSLLEENGKHDESITVVPEFMDYAQLKHLSKEDLYSYCKAEMTKMKRDHELNVQQMIEEVYSLKREYLKEGGSCVPVRKAKEFDSLRKKILEVILKLDDILLENEKLSSYSNNGDCLDSLKDRLEQLRLENQQLRDLLMEKEQEIKCLSSQVSDAAEKILERSIAEEKFTRMLEDLKCVKEDAHIEASISEDLYKFLLKEVINHMKSSVQELDMEHDIIHGICEIIFREAIYSVEPTGKLEIEDSVMEYIIMQGICEVILRESFKEAEDKVGNLNLKYINENEARISLEMQALEKEKELRLTIAEREKLEEEIILLKAMIEEKDNLVQETAGALAKEREKLELVSEEFGKLRFQTTQQQMLILKYNEESEIVKGDLVKALDTIEMDKREISKLREQLEMVTNNLMEVVKEKSMLLSISQQHQDILSSVEEREREYRKQMNSIIALIQGLSEAVNDFEHKAAEDIKMNSLRLETLSPQLNSLIQKANVLRKTGLLDKQRLERRSSDLQKAEAEVDLLGDEVDALLSFLGKIYIALDHYSPILQHYPGIMEILKLVRRELSGESVKPI >Manes.12G011700.45.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145075:1151539:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLERGCPWHAF >Manes.12G011700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145075:1151539:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCVGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLERGCPWHAF >Manes.12G011700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.46.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151533:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.46.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIRRKSLSSSHLQLESRNVGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLERGCPWHAF >Manes.12G011700.44.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIRRKSLSSSHLQLESRNVGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLERGCPWHAF >Manes.12G011700.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIRRKSLSSSHLQLESRNVGSEGEILKSLKSALCKFLGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIRRKSLSSSHLQLESRNVGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLERGCPWHAF >Manes.12G011700.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151533:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCVGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCVGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLERGCPWHAF >Manes.12G011700.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIRRKSLSSSHLQLESRNVGSEGEILKSLKSALCKFLGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLERGCPWHAF >Manes.12G011700.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145075:1151539:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIRRKSLSSSHLQLESRNVGSEGEILKSLKSALCKFLGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLERGCPWHAF >Manes.12G011700.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.47.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151528:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.47.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIRRKSLSSSHLQLESRNVGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151533:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKRIAGRTTSRELNTPRISRTQKKAPDNVQANQKKVTELITSSARKQKCVGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.12G011700.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1145076:1151533:-1 gene:Manes.12G011700.v8.1 transcript:Manes.12G011700.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIRRKSLSSSHLQLESRNVGSEGEILKSLKSALCKFLGSLQKKNEEPVAATKLNTTYSSGHNGTSNAASLCDHEGCNEEPCIFSPAFRMSKIAGEEIANGVNFFKSFQNGDQKLHQEGRTCYPNVDIDMQDHHVTRDTLESTSGEDEKMTCHNYIGDDPNTSSMLFSVDTNTVNSPSNGDSEGAGLSSEVSAIYLAMKNSKLECVDEYDQDCMSTKDDDDFEEYDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPITLVLDLDETLVHSTLELCDDADFTFPVNFNLQEHTVYVRCRPHLKDFMEKVSTLFEIIIFTASQSIYAEQLLNVLDPKRKIFRHRVYRESCVLVEGNYIKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLLLIPFLESLVGVEDVRPLIAKKYNLWDKIAAAVYPLHSNRGDPLER >Manes.03G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24248008:24249798:1 gene:Manes.03G117000.v8.1 transcript:Manes.03G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRLFACFGKCNCSSFSSVYHVHEKGNSNGTADASPEEQRRAGAILVELFSSQGCKTSPEGELLVSRLGRGDFALESPVIVLAFHVDYWDYMGWKDPYGSSQWTVRQKTYVEALNLDTMFTPQVVVQGRTQCVANEEEALLSSIMSAQKFPSPNFQVTFQRPTSESLQVNLTGTLRTKIDNKGANIMVALYESGLVNDCPAGENIGLVLSNDYVVRKLEKLCTVKDISAKKTVSGTVDFSVWEGFNSSKCGIAVFIQDNSHQIFGSQNFHLPDNI >Manes.S033116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:825528:825689:1 gene:Manes.S033116.v8.1 transcript:Manes.S033116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.17G082600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28772935:28775171:-1 gene:Manes.17G082600.v8.1 transcript:Manes.17G082600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTTTLSPSFFCLHFLSSSLLCLISMDSTTSITSDKQAKEKFKPDEHIALQKHVFFFDRNQDGVVYPWETFQGFRAIGCNLLVSAAGAFLINVAFSQKTRPGKLPSLLFPIEVQNIHLSKHGSDTDIYDEDGRFVNEKFETIFRNHARTHPDALTLGELMGMLKANREAKDYFGWVAGLAEWTALYIFCKDSNGLLKKETVRALYDGSLFEEMEKKHKASAKK >Manes.07G031500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3448826:3450112:1 gene:Manes.07G031500.v8.1 transcript:Manes.07G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKIISRETIKPSSPTPDHLRIHKLSIIDQLAIQCDVPILLFYSTNGHDHTQRSSLLKKSLSQTLTHFYPFAGREVNSSWIDCNDEGASFVQANVAADLSMLLKQPDFKLLQQLLPCKPNDNSVQFRLREILAVQVNYFSCGGMVIGVCIKHLIADASTVATFVERWGAVARFSDTDVHGVILDRTTLFPPIGTTGGLSWGDNSSDEFLPQFVMKRLVFDGSKVAALRERVSNKLYPDRPTRFEAVSALIWGSSIAATREMDETIDYHVAMTVVNLRKKLNPPLPQQCMGNVCQVTTAKWSMKKPTDYNELAQEIHKSIKKVDDESVRKFHADGKWFDFVKKLGEEYGKKSKVRMLKFSSWCRFPFYEVDFGWGKPSWVTAAMEQENGVIFLDASDNQGIEAWVGLPQEDMSQLEQNPDILEFACVN >Manes.09G160300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35542178:35546214:-1 gene:Manes.09G160300.v8.1 transcript:Manes.09G160300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRKLSHGRSYIMIAYYGCLWLVSLLNLAWCFFQAWECTAGKELTWDVLSLFTSSGMLFLEVSLIAFLLQGNYASSLEALTRTFAVSALIVGVDILLKAIYTFGLGIPLFIDSNEEQHQMKWSLWVVHRLVLTAVYGFILFMYLSKQRERLPARPAFYKYIVIMFILNALALFACGLTVNGTGFGFWLYSTTIVCYHAFYLPLLYITFLADFFQEEDLHLENVYYSEMKDAGFFDADWE >Manes.09G160300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35542178:35546214:-1 gene:Manes.09G160300.v8.1 transcript:Manes.09G160300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFYRESQESPFSIPVSQNPHSSLKGSGSLGSGLHNWLFECHGFLHNLTLIVASLAFVLYLAFQAKMSVRKLSHGRSYIMIAYYGCLWLVSLLNLAWCFFQAWECTAGKELTWDVLSLFTSSGMLFLEVSLIAFLLQGNYASSLEALTRTFAVSALIVGVDILLKAIYTFGLGIPLFIDSNEEQHQMKWSLWVVHRLVLTAVYGFILFMYLSKQRERLPARPAFYKYIVIMFILNALALFACGLTVNGTGFGFWLYSTTIVCYHAFYLPLLYITFLADFFQEEDLHLENVYYSEMKDAGFFDADWE >Manes.06G058601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15983891:15986924:-1 gene:Manes.06G058601.v8.1 transcript:Manes.06G058601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTLCFAPVCSSLSPHKPGIVIGDTVAGKILRVNKMFHTSKSCKFQSWEVKAANSDKSTKSNSLVCADCDGNGAIACSQCKGTGVNSVDHFNGQFKAGGLCWLCRGKRDILCGSCNGAGFVGGFMSTFDG >Manes.13G088473.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:21345936:21347025:1 gene:Manes.13G088473.v8.1 transcript:Manes.13G088473.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDINSYIKFYWRSQALHFGRAVHSPPPLVPSPPPPVLSPSPPVQSPPPPPPVRSPPPPIHSSPPPLPPMHSPPPPVPSPPPPVLSPPPPVQSPPPPPPVQSPPPPVHSPPPPPPVNSPPPPPVLSPPPPVQSPPPPPPVQSPPPPVHSPPPPPPVNSPPPPVPSPPPPVLSPPPPVQSPPPPPPVQSPPPPVHSPPPPPPVHSPPPPPVLSPPPPVQSPPPPPPVHSPPPPVPSPPPPVLSPPPLRHLHPLQSIFRSPVRHVPPLAVPSLRP >Manes.18G045300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4003157:4006089:-1 gene:Manes.18G045300.v8.1 transcript:Manes.18G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTTATPPFQFDDSSNLQHLPEPWVKRKRSKRPHHQPTEEEYLALCLVMLARGTTASSSASALSHRHPSPTPSPQLRTSTNLEEHKSSYTCSVCNKSFSSYQALGGHKASHRKLAGGDDQSTSTTTTSSATAAVSNGSGKTHECSICHKCFPTGQALGGHKRCHYDGGADKSGVTSTSEGVGSTNSHSLNQSHRGFDLNLPALPEFAADFFVSGGDDEVMSPLPAKKPRLLLAPKIEIAQTQ >Manes.S025252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2140489:2140656:1 gene:Manes.S025252.v8.1 transcript:Manes.S025252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.S052616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1806042:1806422:1 gene:Manes.S052616.v8.1 transcript:Manes.S052616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.11G065100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9020967:9029768:1 gene:Manes.11G065100.v8.1 transcript:Manes.11G065100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSEEESDISDSEISDYKDKPYEELKTGKYKVKVNGTLRCPFCSGKKKQDYKFKDLLQHASGVAKGSANRRGKQKANHLALAMYLETDLANEADQIQRPVVPQPVNQTPEQVDVFVWPWMGIIVNIVTNPKDDNALYESGYWLKKFSQYQPLEVYTFWSEQEQTGQAVVKFNNDWNGFVHATEFEKSFETSHHGKKDWEAQKTHPGSSIYGWCARADDHDSEGPIGEYLRREGKLRTISGIVQETTESRNTVVAHLADKIDQTNENLGELQYKYNEKTLSLSRMLEEKDKLHYAFLEESRKMQRHARDNLHRILEEAENLNNEIETKKRKLDSWSKELNKREALTERERQKLDEEKKMNDVRNNSLHLASMEQKKADENVLRLVEEQKREKEECLNKILVLEKQLDAKQKLEMEIEELKGKLQVMKHLGDDNDGAVQEKMKEMNDELEQKVEDLGDVESLNQTLIVKERQSNDELQEARKELIQGLKDTLSSVRTNIGIKRMGEIDERAFLQTCPQRFPKEEAQVQATTLCSLWQENLKNPDWHPFKIITSIEGNHQCRK >Manes.11G065100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9020967:9029850:1 gene:Manes.11G065100.v8.1 transcript:Manes.11G065100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSEEESDISDSEISDYKDKPYEELKTGKYKVKVNGTLRCPFCSGKKKQDYKFKDLLQHASGVAKGSANRRGKQKANHLALAMYLETDLANEADQIQRPVVPQPVNQTPEQVDVFVWPWMGIIVNIVTNPKDDNALYESGYWLKKFSQYQPLEVYTFWSEQEQTGQAVVKFNNDWNGFVHATEFEKSFETSHHGKKDWEAQKTHPGSSIYGWCARADDHDSEGPIGEYLRREGKLRTISGIVQETTESRNTVVAHLADKIDQTNENLGELQYKYNEKTLSLSRMLEEKDKLHYAFLEESRKMQRHARDNLHRILEEAENLNNEIETKKRKLDSWSKELNKREALTERERQKLDEEKKMNDVRNNSLHLASMEQKKADENVLRLVEEQKREKEECLNKILVLEKQLDAKQKLEMEIEELKGKLQVMKHLGDDNDGAVQEKMKEMNDELEQKVEDLGDVESLNQTLIVKERQSNDELQEARKELIQGLKDTLSSVRTNIGIKRMGEIDERAFLQTCPQRFPKEEAQVQATTLCSLWQENLKNPDWHPFKIITSIEGNHQEIINEEDEKLQNLKEEWGNEIYMAVITALKELNEYNPSGRYVVPELWNFKDGRKATLKEVIAFIVKNIKSPKRKR >Manes.11G065100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9020966:9029850:1 gene:Manes.11G065100.v8.1 transcript:Manes.11G065100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSEEESDISDSEISDYKDKPYEELKTGKYKVKVNGTLRCPFCSGKKKQDYKFKDLLQHASGVAKGSANRRGKQKANHLALAMYLETDLANEADQIQRPVVPQPVNQTPEQVDVFVWPWMGIIVNIVTNPKDDNALYESGYWLKKFSQYQPLEVYTFWSEQEQTGQAVVKFNNDWNGFVHATEFEKSFETSHHGKKDWEAQKTHPGSSIYGWCARADDHDSEGPIGEYLRREGKLRTISGIVQETTESRNTVVAHLADKIDQTNENLGELQYKYNEKTLSLSRMLEEKDKLHYAFLEESRKMQRHARDNLHRILEEAENLNNEIETKKRKLDSWSKELNKREALTERERQKLDEEKKMNDVRNNSLHLASMEQKKADENVLRLVEEQKREKEECLNKILVLEKQLDAKQKLEMEIEELKGKLQVMKHLGDDNDGAVQEKMKEMNDELEQKVEDLGDVESLNQTLIVKERQSNDELQEARKELIQGLKDTLSSVRTNIGIKRMGEIDERAFLQTCPQRFPKEEAQVQATTLCSLWQENLKNPDWHPFKIITSIEGNHQCRK >Manes.11G065100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9020967:9029768:1 gene:Manes.11G065100.v8.1 transcript:Manes.11G065100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSEEESDISDSEISDYKDKPYEELKTGKYKVKVNGTLRCPFCSGKKKQDYKFKDLLQHASGVAKGSANRRGKQKANHLALAMYLETDLANEADQIQRPVVPQPVNQTPEQVDVFVWPWMGIIVNIVTNPKDDNALYESGYWLKKFSQYQPLEVYTFWSEQEQTGQAVVKFNNDWNGFVHATEFEKSFETSHHGKKDWEAQKTHPGSSIYGWCARADDHDSEGPIGEYLRREGKLRTISGIVQETTESRNTVVAHLADKIDQTNENLGELQYKYNEKTLSLSRMLEEKDKLHYAFLEESRKMQRHARDNLHRILEEAENLNNEIETKKRKLDSWSKELNKREALTERERQKLDEEKKMNDVRNNSLHLASMEQKKADENVLRLVEEQKREKEECLNKILVLEKQLDAKQKLEMEIEELKGKLQVMKHLGDDNDGAVQEKMKEMNDELEQKVEDLGDVESLNQTLIVKERQSNDELQEARKELIQGLKDTLSSVRTNIGIKRMGEIDERAFLQTCPQRFPKEEAQVQATTLCSLWQENLKNPDWHPFKIITSIEGNHQEIINEEDEKLQNLKEEWGNEIYMAVITALKELNEYNPSGRYVVPELWNFKDGRKATLKEVIAFIVKNIKSPKRKR >Manes.11G065100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9020967:9029851:1 gene:Manes.11G065100.v8.1 transcript:Manes.11G065100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSEEESDISDSEISDYKDKPYEELKTGKYKVKVNGTLRCPFCSGKKKQDYKFKDLLQHASGVAKGSANRRGKQKANHLALAMYLETDLANEADQIQRPVVPQPVNQTPEQVDVFVWPWMGIIVNIVTNPKDDNALYESGYWLKKFSQYQPLEVYTFWSEQEQTGQAVVKFNNDWNGFVHATEFEKSFETSHHGKKDWEAQKTHPGSSIYGWCARADDHDSEGPIGEYLRREGKLRTISGIVQETTESRNTVVAHLADKIDQTNENLGELQYKYNEKTLSLSRMLEEKDKLHYAFLEESRKMQRHARDNLHRILEEAENLNNEIETKKRKLDSWSKELNKREALTERERQKLDEEKKMNDVRNNSLHLASMEQKKADENVLRLVEEQKREKEECLNKILVLEKQLDAKQKLEMEIEELKGKLQVMKHLGDDNDGAVQEKMKEMNDELEQKVEDLGDVESLNQTLIVKERQSNDELQEARKELIQGLKDTLSSVRTNIGIKRMGEIDERAFLQTCPQRFPKEEAQVQATTLCSLWQENLKNPDWHPFKIITSIEGNHQCRK >Manes.11G065100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9020967:9029768:1 gene:Manes.11G065100.v8.1 transcript:Manes.11G065100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSEEESDISDSEISDYKDKPYEELKTGKYKVKVNGTLRCPFCSGKKKQDYKFKDLLQHASGVAKGSANRRGKQKANHLALAMYLETDLANEADQIQRPVVPQPVNQTPEQVDVFVWPWMGIIVNIVTNPKDDNALYESGYWLKKFSQYQPLEVYTFWSEQEQTGQAVVKFNNDWNGFVHATEFEKSFETSHHGKKDWEAQKTHPGSSIYGWCARADDHDSEGPIGEYLRREGKLRTISGIVQETTESRNTVVAHLADKIDQTNENLGELQYKYNEKTLSLSRMLEEKDKLHYAFLEESRKMQRHARDNLHRILEEAENLNNEIETKKRKLDSWSKELNKREALTERERQKLDEEKKMNDVRNNSLHLASMEQKKADENVLRLVEEQKREKEECLNKILVLEKQLDAKQKLEMEIEELKGKLQVMKHLGDDNDGAVQEKMKEMNDELEQKVEDLGDVESLNQTLIVKERQSNDELQEARKELIQGLKDTLSSVRTNIGIKRMGEIDERAFLQTCPQRFPKEEAQVQATTLCSLWQENLKNPDWHPFKIITSIEGNHQEIINEEDEKLQNLKEEWGNEIYMAVITALKELNEYNPSGRYVVPELWNFKDGRKATLKEVIAFIVKNIKSPKRKR >Manes.11G065100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9020809:9029850:1 gene:Manes.11G065100.v8.1 transcript:Manes.11G065100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSEEESDISDSEISDYKDKPYEELKTGKYKVKVNGTLRCPFCSGKKKQDYKFKDLLQHASGVAKGSANRRGKQKANHLALAMYLETDLANEADQIQRPVVPQPVNQTPEQVDVFVWPWMGIIVNIVTNPKDDNALYESGYWLKKFSQYQPLEVYTFWSEQEQTGQAVVKFNNDWNGFVHATEFEKSFETSHHGKKDWEAQKTHPGSSIYGWCARADDHDSEGPIGEYLRREGKLRTISGIVQETTESRNTVVAHLADKIDQTNENLGELQYKYNEKTLSLSRMLEEKDKLHYAFLEESRKMQRHARDNLHRILEEAENLNNEIETKKRKLDSWSKELNKREALTERERQKLDEEKKMNDVRNNSLHLASMEQKKADENVLRLVEEQKREKEECLNKILVLEKQLDAKQKLEMEIEELKGKLQVMKHLGDDNDGAVQEKMKEMNDELEQKVEDLGDVESLNQTLIVKERQSNDELQEARKELIQGLKDTLSSVRTNIGIKRMGEIDERAFLQTCPQRFPKEEAQVQATTLCSLWQENLKNPDWHPFKIITSIEGNHQEIINEEDEKLQNLKEEWGNEIYMAVITALKELNEYNPSGRYVVPELWNFKDGRKATLKEVIAFIVKNIKSPKRKR >Manes.12G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:668869:670190:-1 gene:Manes.12G005700.v8.1 transcript:Manes.12G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEENEPQADWVVKIISFQADIIYNCIITLLSPFMLVFSMAFESYRRTEAAAATVESAVLKVPSKITHGSFILVRKIGVGALGAVHVLIILVSVMMLAGALGVGLVQLWVEEPLLLRQKLFFDYADVNPKAVFMFGGVDGGIIKKRQMGIPIGHTFHVNLQLLMPDSDYNRQVGMFQLAAEILSSNGNVVSKSSKPCMLQFRSLPIRLLQTCLMSIPLVLGISAETQRISVEILKHKEGYPRTKAIRVTLIPRAGTSYLPQLYEAEVIVNSRLPWTKQLVRNWKWTISIWATIYIYIFLLITLICCCRPLLFPWTVMNFSEHDNMDGNSSATEMVESEKEPRDEREVSDLMRKWQQRRRRRRTIFLQKDIAETEGSSASSMCITREDTSVVVEEDIGESESVCLGD >Manes.18G029825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2836706:2837391:-1 gene:Manes.18G029825.v8.1 transcript:Manes.18G029825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNSCASPIMLILLSILWRKNFLSLVRRASSKTTCLVPCRRCEIDHALFGKSDFSISLSRSGCSPRLYMTLTCSNNTDNAS >Manes.16G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4645341:4647639:1 gene:Manes.16G036500.v8.1 transcript:Manes.16G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFIIFLPLFLVSSFIGTVSTASLHYDSIFNFGDSLSDTGNFLLSGALLFPVIGELPYGRTFFGHPTGRCSDGRLVIDFIAEAAGLPLLPPYLGLGKGQDFKHGVNFAVAGATALDSIFFYEQKIGKILWTNDSLSVQLGWFKKLKPSLCTTKQECDNYFKKSLFLVGEIGGNDYNYAFFVGGNIKQLRASVPLVVQAITGAVTMLIEEGAVELVVPGNLPVGCSAVYLTLFRSPNKADYDQNGCLKIYNAFAKYHNKQLKGALEILRQKYPHARIIYADYYAAAKRFYHSPNHYAFYNGVLTACCGGGGPYNFNNSARCGHIGSKACLNSSTFANWDGIHLTEAAYRTIAMGLINGPFTTPPLKTFPL >Manes.01G018300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4339503:4349664:-1 gene:Manes.01G018300.v8.1 transcript:Manes.01G018300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRKRVISDYLNASTRTIQSFQCLGHAAPKLDTHIYNGTTSQSSSDVNYAKESERNLAARAGLFGFSRAGQFRHGFYNTTILGHGRVQTELVYPRRLMLLSVRDASTVTAKQPDLGSDDENNEEIVARRRKVASPEECDQAVEGLSTAKAKVKAKRLHESQKVAKSILQRTWAMLLGIGPAFRMVASMSREDWAKKLSHWKHEFVSTLKHYWLGCKLLWADVRISSRLLLKLAGGRSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEVQNTRSGEIKKTAEDLDEFLNRVRRGAGVSNEEILGFAKLFNDELTLDNISRPRLVSMCKYMGISPFGTDAYLRYMLRKRLQRIKNDDKLIQAEGVESLSEAELREECRERGMLGLLSVEEMRQQLRDWLDLSLNHSVPSSLMILSRAFTVSGKMKPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEELIKEEEEKEEEELSRIIESKVNEEDVALKEMITPTALEAQEQARARTLEKQEQLCELSRALAVLASASSVSREREEFLSLVNKEIELYNSMVEKEGTDGEKEAIRAYRAAREENDHASEVNERDNVSSALIEKVDSMLQNLEKEIDDVDAKIGNHLRLLDRDYDGKVTPEEVAAAAMYLKDTLGKEGVQELISNLSKDGDGKILVEDIVKLGSRTEDGNTAE >Manes.01G018300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4339495:4348426:-1 gene:Manes.01G018300.v8.1 transcript:Manes.01G018300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRKRVISDYLNASTRTIQSFQCLGHAAPKLDTHIYNGTTSQSSSDVNYAKESERNLAARAGLFGFSRAGQFRHGFYNTTILGHGRVQTELVYPRRLMLLSVRDASTVTAKQPDLGSDDENNEEIVARRRKVASPEECDQAVEGLSTAKAKVKAKRLHESQKVAKSILQRTWAMLLGIGPAFRMVASMSREDWAKKLSHWKHEFVSTLKHYWLGCKLLWADVRISSRLLLKLAGGRSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEVQNTRSGEIKKTAEDLDEFLNRVRRGAGVSNEEILGFAKLFNDELTLDNISRPRLVSMCKYMGISPFGTDAYLRYMLRKRLQRIKNDDKLIQAEGVESLSEAELREECRERGMLGLLSVEEMRQQLRDWLDLSLNHSVPSSLMILSRAFTVSGKMKPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEELIKEEEEKEEEELSRIIESKVNEEDVALKEMITPTALEAQEQARARTLEKQEQLCELSRALAVLASASSVSREREEFLSLVNKEIELYNSMVEKEGTDGEKEAIRAYRAAREENDHASEVNERDNVSSALIEKVDSMLQNLEKEIDDVDAKIGNHLRLLDRDYDGKVTPEEVAAAAMYLKDTLGKEGVQELISNLSKDGDGKILVEDIVKLGSRTEDGNTAE >Manes.05G059200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4919665:4931493:1 gene:Manes.05G059200.v8.1 transcript:Manes.05G059200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFVNQIRASSSLINRLRQRLMNSAVLTQTARSFTTTEGHRPTMVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMSSDQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIAEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLDGDEYVAVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGKPMIDFPKQNIVVAGAGSAGIGVLNAARKTMARMLGNNKSALESARSQFWLVDAKGLITEERENIDPEALPFARKIKEANRQGLREGASLVEVVREVKPDVLLGLSAVGGLFSKEVLEALKGSTSTRPAIFAMSNPTNNAECTPEEAFSIVGDNIIFASGSPFKDVDLGNGHIGRCNQGNNMYLFPGIGLGTLLSGSRIVSDGMLQAAAECLAAYMTEDEVLQGIIYPSTSRIRDITKQVAAAVVKEAIEEDLAEGYREMDGRELRKLNQEEILEFVENNMWSPDYPTLVYKRE >Manes.08G063100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8598902:8601038:1 gene:Manes.08G063100.v8.1 transcript:Manes.08G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGCFGSFIQRCKPYIAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAAIAPFAIVLERKVRPKLTFSIFMQIFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEKVDIKKVRCQAKVIGTIVTVAGAMLMTLYKGQVINFMWSSHVQTHTSSAPVATGSSDKDWVKGSILLIIATLAWASFFILQAVTLKRYAAQLSLTSLVCFLGTLQSIAVAFVMEHRTSAWTIGWDMNLLAAAYAGIVSSSIAYYVQGLVMKKTGPVFVTAFSPLMMIIVAIMGSFILAEKIYLGGILGGILIVAGLYSVLWGKYKEYKEAEVEKKPEAVEGNEERNETNDIEMQRNQAVAVSFPSSQPPMIGREAPRA >Manes.11G153000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32012380:32020620:-1 gene:Manes.11G153000.v8.1 transcript:Manes.11G153000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTEAKDMLVAKVRPGLKREFEFAFRAHSEISGSLGRTRARRAEAGGSPVNGTVERSNNKKRLNANGSKEAKKVEMARDLGEKVVDGGDAAEAMREEEAKSDVVELGSGDEEAKVGLIESVPLTMNVDVELVQEKDNGASSMCAEETQRSNEPEMMVLNEDLQDDYYRNHEYQEKSSGSLLNSMNNEGVEKKNDLDEVMVDGGSKEGDRSDQYEEGTSGSAPVLMDENVKNELGKETMNAISESNNDAEVKETMNAISESNNDAEVRGDMNNVSEEGTSRSSIVSTNGEAGVDDSSPVLVNDSNSKLEAKPFRRFTRSLLKPKTETGKESNSKDGAGGNDAKAMANADDTGSSSAANSYSLVKMWRDDASKKFPSKLKDLLDSGILEGLKVKYMRGSKARAPGETGLRGVIRGSGILCFCGACGGNEVVTPGLFELHAGSANKRPPEYIYLENGNTLRDVMNACKDASLETLDEALRLSIGCSSLQKSAFCVNCRGSFAEADAGKSMVVCSQCIRLKDSQAILSVTIDPDKGMPKPPSVPKSADSVSKSSTSRSKSQGRLTTKDLRMHKLVFEEDVLPDGTEVAYYSRGQKLLVGYKKGFCIFCSCCNSEVSPSQFEAHAGWASRRKPYLHIYTSNGVSLHELAISLSKIRKFPTHENDDLCQICRDGGNLLCCDICPRAYHRECLSIREIPDGKWYCKFCLNNFQKEKFVERNANAIAAGRVAGVDPIEQITKRCIRIVKTLESEFGGCVLCRAHDFDKSFGPRTVLLCDQCEREFHVGCLKDHNMEDLKELPKGNWFCCTDCNRIHSALEKLVARGEERLPDSCLNVIKKKSEENILENGNSIDVRWRLLNGKIDPFGDTEALLSEALAIFHEQFDPILVSGTSAKADCDLIPSMVFGVKGQELGGMYCAVLLVNQVVVSSAIIRFFGQELAELPLVATSSKVQGQGYFQALFTCLEKLLGFLNVKNLVLPAAEEAESIWTNKFGFSKLTPEEFLKFRKNYQMMVFQGTSMLQKLVPKCRIVGRPEGG >Manes.11G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32012380:32020620:-1 gene:Manes.11G153000.v8.1 transcript:Manes.11G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTEAKDMLVAKVRPGLKREFEFAFRAHSEISGSLGRTRARRAEAGGSPVNGTVERSNNKKRLNANGSKEAKKVEMARDLGEKVVDGGDAAEAMREEEAKSDVVELGSGDEEAKVGLIESVPLTMNVDVELVQEKDNGASSMCAEETQRSNEPEMMVLNEDLQDDYYRNHEYQEKSSGSLLNSMNNEGVEKKNDLDEVMVDGGSKEGDRSDQYEEGTSGSAPVLMDENVKNELGKETMNAISESNNDAEVKETMNAISESNNDAEVRGDMNNVSEEGTSRSSIVSTNGEAGVDDSSPVLVNDSNSKLEAKPFRRFTRSLLKPKTETGKESNSKDGAGGNDAKAMANADDTGSSSAANSYSLVKMWRDDASKKFPSKLKDLLDSGILEGLKVKYMRGSKARAPGETGLRGVIRGSGILCFCGACGGNEVVTPGLFELHAGSANKRPPEYIYLENGNTLRDVMNACKDASLETLDEALRLSIGCSSLQKSAFCVNCRGSFAEADAGKSMVVCSQCIRLKDSQAILSVTIDPDKGMPKPPSVPKSADSVSKSSTSRSKSQGRLTTKDLRMHKLVFEEDVLPDGTEVAYYSRGQKLLVGYKKGFCIFCSCCNSEVSPSQFEAHAGWASRRKPYLHIYTSNGVSLHELAISLSKIRKFPTHENDDLCQICRDGGNLLCCDICPRAYHRECLSIREIPDGKWYCKFCLNNFQKEKFVERNANAIAAGRVAGVDPIEQITKRCIRIVKTLESEFGGCVLCRAHDFDKSFGPRTVLLCDQCEREFHVGCLKDHNMEDLKELPKGNWFCCTDCNRIHSALEKLVARGEERLPDSCLNVIKKKSEENILENGNSIDVRWRLLNGKIDPFGDTEALLSEALAIFHEQFDPILVSGTSAKADCDLIPSMVFGNSVKGQELGGMYCAVLLVNQVVVSSAIIRFFGQELAELPLVATSSKVQGQGYFQALFTCLEKLLGFLNVKNLVLPAAEEAESIWTNKFGFSKLTPEEFLKFRKNYQMMVFQGTSMLQKLVPKCRIVGRPEGG >Manes.11G160400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32584241:32589532:-1 gene:Manes.11G160400.v8.1 transcript:Manes.11G160400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVRQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGPGPASNGDAAVATRPKTKPKDGEDGEDPEQTKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEVLARKTEGFSGSDISVCVKDVLFEPVRKTQDAMFFIKTPNGMWVPCGPKQPGAVQITMQELAAQGLAAQILPPPISKTDFDKVLARQRPTVSKADLEVHERFTKEFGEEG >Manes.10G122600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29001001:29002199:1 gene:Manes.10G122600.v8.1 transcript:Manes.10G122600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Manes.10G122600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29000792:29002199:1 gene:Manes.10G122600.v8.1 transcript:Manes.10G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Manes.05G125700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22857410:22859775:-1 gene:Manes.05G125700.v8.1 transcript:Manes.05G125700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRDNVAFLLDSCNRIGFWIPMAMASMKSLDRWTVNQLQIASKFSGKQTQEPSKQLLLSIPTSRRCAILISSFPFSLVSLTPLSEARERRNKKVIPLEDYLTSSDGLKYYDVVEGKGPAAEKGSTVQVHFDCIYRGITAVSSRESKLLAGNRIIAQPYEFKVGAPPGKERKREFVDKPNGLFSAQAAPKPPAAMYSVTEGMKVGGKRTVIVPPEAGYGQKGMNEIPPGSTFELNIELLQVLPPEEK >Manes.18G111300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11145208:11146426:1 gene:Manes.18G111300.v8.1 transcript:Manes.18G111300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDVPQSPELPCNIKLASSASSFLFNVIGRHNDTLYRFLINCFDLKTCPSKKPINGVKTTDITIDKSRNLWFRIFTPTNTGDDAATAGLPVIFFFHGGGFVFFAPNSLPFEKFCRGLARQLSAIIISVNYRLAPGNRYPSQYEDGFDTLKFIDATELEGFSGNLRQCFLAGDSAGGNMVHHIAVNAERTESELRLPRAPFLTVELADWMWKSFLPEGSNRDHQAANVFGPNSVDISGVKLPSSIIFVGGFDHLQDWQKRYCEGLKKSGKEAHLVEFPNAFHSFYVFPELPEFDLLMKEMKDFMQQQLESSNI >Manes.18G111300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11145208:11146426:1 gene:Manes.18G111300.v8.1 transcript:Manes.18G111300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDVPQSPELPCNIKLASSASSFLFNVIGRHNDTLYRFLINCFDLKTCPSKKPINGVKTTDITIDKSRNLWFRIFTPTNTGDDAATAGLPVIFFFHGGGFVFFAPNSLPFEKFCRGLARQLSAIIISVNYRLAPGNRYPSQYEDGFDTLKFIDATELEGFSGNLRQCFLAGDSAGGNMVHHIAVNADWMWKSFLPEGSNRDHQAANVFGPNSVDISGVKLPSSIIFVGGFDHLQDWQKRYCEGLKKSGKEAHLVEFPNAFHSFYVFPELPEFDLLMKEMKDFMQQQLESSNI >Manes.11G052170.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5608422:5615126:1 gene:Manes.11G052170.v8.1 transcript:Manes.11G052170.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVINRGDLHINVVRQSSLRGGNMKSTLSGRSTPKNSPTLRKLHSSRTPRREGRSIGGGVQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGDNKEEFLGFGGKTRNGVSETEQNARRDLLANDSSMAVNNRTNKIQIEDGKRIGVVLAKKGNKISSDQNKVSTSRKRSKRTGRRSRSKARGKQKAIVEVGSNDIGVQEPDIPQKNTSYGFLVGPFGSTEDRVLEWSPEKRTGTCDRKGDFARLVWSRKFVLIFHELSMTGAPLSMMELATEFLSCGATVSAVVLSKKGGLMPELARRKIKVLEDRADLSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKIVLNRVKMLVFLSEFQTKQWLSWCDEENIKLRSPPAIVQLSINDELAFVAGIPCSLNTPSSSPEKMLEKRRLLRDSVRKEMGLTDNDVLVMSLSSINPGKGQLLLLESVQLLIEQEPLRKTRTSVRMTGERSTLAAKHHLRALLQESRDADESSTSRSSKSSIGLNEPKKKGSQLSRLFNKRRKRSKVLSKLEEAQEQHLKLLIGSVGSKSNKVLYVKEMLRYMSERSNLSKSVIWTPATTRVAALYSAADVYVINSQGLGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEQNVTGLVHPVGRPGTHILAQNLKYLLKNPSVRQQMGMKGRKKVERMYLKQHLYKKFVEVLYKCMRVK >Manes.01G049465.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:15220179:15225938:1 gene:Manes.01G049465.v8.1 transcript:Manes.01G049465.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYISRARFVADEQVRIASTKMDGIGPKKAIQVRYRLGISGNVKIKELTKYQIDQIEQMIGQDHVVHWELKRGERADIERLISISCYR >Manes.10G037600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3656038:3661021:1 gene:Manes.10G037600.v8.1 transcript:Manes.10G037600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGFNEMDALAERGFIPGMSKEEQESLARSETFAIRISNLANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFTMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTLLSDENGFDLTKEQERWVVGIMLSVTLVKLLLMVYCRSFTNEIVKAYAQDHFFDVVTNIIGLIAALLANYMEEWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSATPDYLKKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPASMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEFTHKPEHAQSLP >Manes.10G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3655583:3661021:1 gene:Manes.10G037600.v8.1 transcript:Manes.10G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGTHANEEELSLLSLNNNGDGSWRLNFDGYQLSAGHKEKKPPRGLHDCLGVLGPEDDVAEYYQQQVEMLEGFNEMDALAERGFIPGMSKEEQESLARSETFAIRISNLANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFTMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTLLSDENGFDLTKEQERWVVGIMLSVTLVKLLLMVYCRSFTNEIVKAYAQDHFFDVVTNIIGLIAALLANYMEEWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSATPDYLKKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPASMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEFTHKPEHAQSLP >Manes.18G093400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647188:8654423:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647228:8654423:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQQAGYGDVGVAYPQVGIAQVAMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647189:8654463:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647189:8654463:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8648138:8654423:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLCCLLVEGAAGWYKMAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8648138:8654499:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLCCLLVEGAGWYKMAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647214:8654423:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQQAGYGDVGVAYPQVGIAQVAMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647188:8654423:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647192:8654499:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647192:8654499:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647214:8654423:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQAGYGDVGVAYPQVGIAQVAMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8647228:8654423:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQAGYGDVGVAYPQVGIAQVAMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8648138:8654499:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLCCLLVEGAGWYKMAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.18G093400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8648138:8654423:1 gene:Manes.18G093400.v8.1 transcript:Manes.18G093400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLCCLLVEGAAGWYKMAELSKVIHVRNVGHEISENDLLQLFQPFGIITKLVMLRAKNQALLQMQDVASAMNALQFYSNVQPTIRGRNVYVQFSSHQELTTMDQNSQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQLRQSAVAARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPHLPSEQKGRSSQQAGYGDVGVAYPQMANAAAIAAAFGGGLPPGISGTNDRCTVLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLHNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYSNSNLNRFNRNAAKNYKYCCSPTKMIHLSTLPQDITEEEIVSHLEDHGAIVNTKLFEMNGKKQALVLFETEEQATEALVCKHASSLAGSIIRISFSQLQSIRETS >Manes.01G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6286627:6290971:-1 gene:Manes.01G032300.v8.1 transcript:Manes.01G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDESNGGNKCTTKARTLRARAKTNSTHKHEYQYQYQHQLFQYSNQYGFFNHNQIQYPSYYPALLPLPPPIPIQLALTPPLLQNHSFRSKTHLQKPSCNLNNHPLPTSSVSQGPVVTISSAPEGLQQRKSPPVKRNDGRTAVQSTPHSQALIAARRPDSGGVEGSVVTLVANHFLVQFNSSQRIFHYNVEISPNPSKEVARMIKEKLVQDNSAVFSGTFPAYDGRKNLYSPVEFQNDRLEFYMSLPIPSSKSSLPLGELNDFQEKHLQLKLFRINIKLASKLDGKELSRYLSKEGDDWIPLPQDYLHALDVVLRESPMEKCIPVGRSFYSSLMGGTKEIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLKFLRDLPQNKTRSLIIEERKEVEITLKNIRVFVCHRETVQRYRVYGLTEEATDNIWFADRDGKKLRLVSYFKDHYNYDIKFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTAKILKMGCQRPKERKTIINEVMRGSVGPTSGKQSREFKLNVSREMTRLNGRILQPPKLRLGDGGLVRDLTPSRHDRQWNLVDSHVFEGTRIERWALMSFGGTLDQKSNIPKFINQLSQRCEQLGIFLTKNTIISPQYESTQVLNNVALLESKLKKIQKAAANNLQLLICIMEKRHKGYADLKRIAETNVGVVSQCCLFPNLGKLNPQFLANLALKINAKVGGCTVALYNSLPSQIPRLFHSDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPETNKYASRMRSQTHRQEIIQDLGAMVKELLDEFYQEARKLPKRIIFFRDGVSETQFYKVLQEELRAIQEACSRYPGYRPLITFAVVQKRHHTRLFHCETDESSIQNQFYGENIPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLERSESMASMRNGSKISRAAPPKATPLPKLNENVKNLMFYC >Manes.01G032300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6286627:6290971:-1 gene:Manes.01G032300.v8.1 transcript:Manes.01G032300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSDESNGGNKCTTKARTLRARAKTNSTHKHEYQYQYQHQLFQYSNQYGFFNHNQIQYPSYYPALLPLPPPIPIQLALTPPLLQNHSFRSKTHLQKPSCNLNNHPLPTSSVSQGPVVTISSEGLQQRKSPPVKRNDGRTAVQSTPHSQALIAARRPDSGGVEGSVVTLVANHFLVQFNSSQRIFHYNVEISPNPSKEVARMIKEKLVQDNSAVFSGTFPAYDGRKNLYSPVEFQNDRLEFYMSLPIPSSKSSLPLGELNDFQEKHLQLKLFRINIKLASKLDGKELSRYLSKEGDDWIPLPQDYLHALDVVLRESPMEKCIPVGRSFYSSLMGGTKEIGGGAVGLRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLKFLRDLPQNKTRSLIIEERKEVEITLKNIRVFVCHRETVQRYRVYGLTEEATDNIWFADRDGKKLRLVSYFKDHYNYDIKFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTAKILKMGCQRPKERKTIINEVMRGSVGPTSGKQSREFKLNVSREMTRLNGRILQPPKLRLGDGGLVRDLTPSRHDRQWNLVDSHVFEGTRIERWALMSFGGTLDQKSNIPKFINQLSQRCEQLGIFLTKNTIISPQYESTQVLNNVALLESKLKKIQKAAANNLQLLICIMEKRHKGYADLKRIAETNVGVVSQCCLFPNLGKLNPQFLANLALKINAKVGGCTVALYNSLPSQIPRLFHSDEPVIFMGADVTHPHPLDDFSPSVAAVVGSMNWPETNKYASRMRSQTHRQEIIQDLGAMVKELLDEFYQEARKLPKRIIFFRDGVSETQFYKVLQEELRAIQEACSRYPGYRPLITFAVVQKRHHTRLFHCETDESSIQNQFYGENIPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPAYYAHLAAYRGRLYLERSESMASMRNGSKISRAAPPKATPLPKLNENVKNLMFYC >Manes.05G200200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32474659:32478489:-1 gene:Manes.05G200200.v8.1 transcript:Manes.05G200200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDELDYEEEEEGVADM >Manes.01G165300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34910910:34935923:1 gene:Manes.01G165300.v8.1 transcript:Manes.01G165300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLQGQKSNQYLIKRKNELSVQFDDLFRKAWEEDIITEHEGDGHLSEANSLKSDEEVGNIDVLESVPETEMSVLDEIFSAEPEGLTSKSGGTGDADGSKQKEAWALSGNSEWIAKHFYELVPDMALNFPFELDTFQKEAIYYLEKGDSVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPRHVNIVLLSATVPNTVEFADWIGRTKQKKIQVTGTTKRPVPLEHCLFFSGELYKICENETLIPQGLKAAKDASKKNKANSVGSGSLAMREGAHVQKREYSNRSKQNKHSGPQNTGSFSGISGGNQNNGGGQNNWGSRRSEASLWLQLVNKLSKKSLLPVVIFCFSKNRCDKSADSMTGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQIVRVQGLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVVVMCRDEIPEERDLKHVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPETQQILMRKLAQPTKAIECIKGEPAIEEYYDLYLEAEECGNQISEAVMQSPIAQQFLTPGRVVVVKSQSAQDHLLGVVVKGPSANMKQYITLVLKPDLPSPMQISNLQDKKNGDGPQAYMLMPKSKRGEEDYFYSSTSRKGSGAINIKLPYQGSAAGVSYEVRAMDNKEFLCICNKKIKIDRVGLLEDVSNAAFSNTVQQLLALKSGGNKYPPALDPREELKMKDMNLVEAYNKWTRRFHKMAMNKCHGCIKLEEHIALAREIKKHKDEIDKLKYQMSDEALQQMPDFQGRIDVLKEIGCIDADLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVAIMSAFVFQQRNTSEPSLTPKLAEAKKRLYNTAIRLGELQAHFKLQISPEEYVQDNLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALYKKMEAASNAIKRDIVFAASLYITGV >Manes.01G165300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34909679:34935923:1 gene:Manes.01G165300.v8.1 transcript:Manes.01G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQATNELAFRVGFSGYSGHLRVEPLSTVERTNPLKSLPDFILPPAFPRETYESIKEHLEEEYLMPRLDSDEFSAEKAGRQWDFDWFERVKIIQDPSLPRSIVIPTWELPFRRQKLGSEQGRWEPNSVQVDVSELTVGAQETGPLPRVAGPAKDFVRGSINNRPFRPGGLDDQSVERILPAGATNGEWVHELLNGGPAQSIAPSLKQGLDLGELKAYPSSWNVYKDKSPINTSSKEKLNELSVQFDDLFRKAWEEDIITEHEGDGHLSEANSLKSDEEVGNIDVLESVPETEMSVLDEIFSAEPEGLTSKSGGTGDADGSKQKEAWALSGNSEWIAKHFYELVPDMALNFPFELDTFQKEAIYYLEKGDSVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSLRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPRHVNIVLLSATVPNTVEFADWIGRTKQKKIQVTGTTKRPVPLEHCLFFSGELYKICENETLIPQGLKAAKDASKKNKANSVGSGSLAMREGAHVQKREYSNRSKQNKHSGPQNTGSFSGISGGNQNNGGGQNNWGSRRSEASLWLQLVNKLSKKSLLPVVIFCFSKNRCDKSADSMTGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQIVRVQGLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKIGTVVVMCRDEIPEERDLKHVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPETQQILMRKLAQPTKAIECIKGEPAIEEYYDLYLEAEECGNQISEAVMQSPIAQQFLTPGRVVVVKSQSAQDHLLGVVVKGPSANMKQYITLVLKPDLPSPMQISNLQDKKNGDGPQAYMLMPKSKRGEEDYFYSSTSRKGSGAINIKLPYQGSAAGVSYEVRAMDNKEFLCICNKKIKIDRVGLLEDVSNAAFSNTVQQLLALKSGGNKYPPALDPREELKMKDMNLVEAYNKWTRRFHKMAMNKCHGCIKLEEHIALAREIKKHKDEIDKLKYQMSDEALQQMPDFQGRIDVLKEIGCIDADLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVAIMSAFVFQQRNTSEPSLTPKLAEAKKRLYNTAIRLGELQAHFKLQISPEEYVQDNLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALYKKMEAASNAIKRDIVFAASLYITGV >Manes.03G126200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25295370:25300162:1 gene:Manes.03G126200.v8.1 transcript:Manes.03G126200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLTYIDLSNNFLTGTIPASIGNLTTLPILYIHMNQLSGSIPQELGMLKFVTEIDLSVNSLTGTIPTSIGNLTNLWALSLISNQLSGSIPQEIGMLRSLTELALSQNNLYGPIPASIGNLTALSSLYLTDNQLFNSLPREIGKLTKLTTLFLEMNELSGTLPSEMNNFTLLEVFIIYSNRFTGQLPQDICIGEGLKSFAINGNDFTGPIPRCMRNCSRLLRLHLESNQLTGNISEDFGVYPQLNFMDLSDNKFYGELSWKWESFSKLSTLKISNNNISGTIPADIGMAAQLHSLELSSNHLAGIIPKELGKLTLFELSLDDNELSGGIPEEIGLLSELERLNLAANNLSGPIPKKLGYCSKLLFLNLSKNKLTESIPVEVGNLISLESLDLSHNLLTAMIPPQLGLLQRVETLNISHNLLSGPIPTTFGYLSSLTVVNISYNELEGPIPNNKAFQQAPFEALQNNRYLCGNNTRLEACVSVAINKTIRKKHSKLVYAMVIPLVCSLFVVSVLVGGFFVLHKRIRNRKANSEEVNPSGESRKKDMKYENIVKATEDFNSKYCIGVGGYGIVYKAVLPTGRVVAVKKLHQSQNEEVTDFKAFKSEICVLMNIRHRNIVKLHGFCSHAKHSFLVYEFIERGSLRNILSNEDQAVELNWLRRLNVVRGIANALSYMHHDCSPSIIHRDISSNNILLDSEFEAHVSDFGTARFLMPDSSNWTSFAGTFGYSAPELAYTMMVNEKCDVYSFGVVTIEILVGRHPGDFISSLSSSFSFPSSSLMDEHTLLMDMVDQRLPLPQYKTAEGIIHIAQLAHACLSGNPQSRPTMKQVSSHLMDKWNPLTKPFSEVKLGEIFPFFPTRIQ >Manes.03G126200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25295987:25299995:1 gene:Manes.03G126200.v8.1 transcript:Manes.03G126200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFSQFMASRLQKSLLFLVIPLLVISVHVLATGSPAAAVQSEEAEADALLGWKASLDNQSRSFLYSWSTTAGSPCNWFGIHCNEAGSVSNISLRDSGLKGTLQSFSFPSFPNLVKLNLSNNSFHGSIPSHIGNLSKLNILDFSVNEISGSIPKEIGMLNSLTYIDLSNNFLTGTIPASIGNLTTLPILYIHMNQLSGSIPQELGMLKFVTEIDLSVNSLTGTIPTSIGNLTNLWALSLISNQLSGSIPQEIGMLRSLTELALSQNNLYGPIPASIGNLTALSSLYLTDNQLFNSLPREIGKLTKLTTLFLEMNELSGTLPSEMNNFTLLEVFIIYSNRFTGQLPQDICIGEGLKSFAINGNDFTGPIPRCMRNCSRLLRLHLESNQLTGNISEDFGVYPQLNFMDLSDNKFYGELSWKWESFSKLSTLKISNNNISGTIPADIGMAAQLHSLELSSNHLAGIIPKELGKLTLFELSLDDNELSGGIPEEIGLLSELERLNLAANNLSGPIPKKLGYCSKLLFLNLSKNKLTESIPVEVGNLISLESLDLSHNLLTAMIPPQLGLLQRVETLNISHNLLSGPIPTTFGYLSSLTVVNISYNELEGPIPNNKAFQQAPFEALQNNRYLCGNNTRLEACVSVAINKTIRKKHSKLVYAMVIPLVCSLFVVSVLVGGFFVLHKRIRNRKANSEEVNPSGESRKKDMKYENIVKATEDFNSKYCIGVGGYGIVYKAVLPTGRVVAVKKLHQSQNEEVTDFKAFKSEICVLMNIRHRNIVKLHGFCSHAKHSFLVYEFIERGSLRNILSNEDQAVELNWLRRLNVVRGIANALSYMHHDCSPSIIHRDISSNNILLDSEFEAHVSDFGTARFLMPDSSNWTSFAGTFGYSAPELAYTMMVNEKCDVYSFGVVTIEILVGRHPGDFISSLSSSFSFPSSSLMDEHTLLMDMVDQRLPLPQYKTAEGIIHIAQLAHACLSGNPQSRPTMKQVSSHLMDKWNPLTKPFSEVKLGEIFPFFPTRIQ >Manes.18G071150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6409645:6409890:-1 gene:Manes.18G071150.v8.1 transcript:Manes.18G071150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAFGGSTLAEAYTMRKLYKEKMKKEEAEEKGNVSAAHLKESKNAPSSGGFFRRVFKKGHSSKISSSLDSQENKFQEK >Manes.01G021300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4902863:4903624:1 gene:Manes.01G021300.v8.1 transcript:Manes.01G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSHHRPTGSDSDSDSGSGSPKRLTVSFLVSISSFMALCSKHATRVSTRLKTTAIKSNHHHHHEGDKTPKRLSSKSPLVRPKQLLTQISNKAISFIHGKKRVDEDDDDVGVGPEEFGDGGVWQKTILMGDKCQPLDFSGVIYYDSTGKQLNEIPLRSPRASPLPGYLTK >Manes.02G021511.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3814608:3817094:1 gene:Manes.02G021511.v8.1 transcript:Manes.02G021511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPQHASLTAPLIVDEKESNLLHGDLESNHTGYSQGTTSSLKTIVNGLNALSGVGILSTPYALSSGGWLSLILLFVIAIAAFYSGLLMKRCMEADSNISTYPDIGDRAFGKKGRVLISIFMYTELYLVATGFLILEGDNLQNLFPNVELEMAGFHLGGRQTFVIVVALIILPTVWLDNLSILSYISASGVLASIIILVSIFWVGSFDGIGFHEKGTLIKWDGIPSAVSLYAFCYCAHPVFPTLYTSMKKKHHFSNVLLICFIFCTFTYASMAVIGYSMFGSEVQSQVTLNLPIGKLSSRVAIYTILVNPISKYALMITPIVNATKNWSPWYCNKKPFNVFISTALLISTVIVALAVPFFGYLMTLVGAFLSFTASIILPCLCYLKISGTYRRLGCEGVVVGGIVVLGIAVVISGTYSALLQIVGHL >Manes.05G059800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4985132:4988016:1 gene:Manes.05G059800.v8.1 transcript:Manes.05G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPGILTEWPWKSLGNFKYLLLGPFVTHSIYSFMVKEEKERDLVNFIIFPSILARMLHNQLWISYSRYRTAKGNNRILDKTIDFDQVDRESNWDDQVLMHGLVFYGVNLGIPGASHVPMWRTDGAVLAILLHAGPVEFLYYWFHRALHHHYLYTRYHSHHHSSIVTQPITAVVHPFAEIVVYFILFAIPLIGVVLTGTASLVVIFGYVFYIDFMNNLGHCNFEIIPKSLFSVLPPLKYLFYTASFHSLHHTKFRTNYSLFMPFYDYIYDTMDKTSDEVHETALKKPADSPTHVHLTHFTTLDSVYHFRLGFTSLASAPQTSAWFLWILSPFTYFFMLLTSLFGSTFVAERNTLNNQLRSQTWLIPRYKIQYFLKWQRAVINYFVEEAILEADRRGTKVLSLGLLNQGEELNRCGELYIEKYPKLKVKLVDGSSLAAAIILNNIPKGTTRVLLRGNITKVAKAVALALHERGIQVAVFRENESKIPRLDNYAVVTTSYDHKVWLIGEGLTDKEQLKAPEGTVFIPMTQFPPKRLRKDCFYHNTPAMLAPSSLCNLDSCEDWLPRRAMSACRVAGIVHTLEDWKVNECGDTLFCMDKVWQASLRHGFLPLSTAR >Manes.18G054000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4555450:4560933:-1 gene:Manes.18G054000.v8.1 transcript:Manes.18G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLFIIKPCTAAPNDPLNHLIYILLCFLTSFSETTILTAFPSLSHMAPKFVYFLFVSLISIICPLQAISTNQSQFFNLMRNSLSGNALFDWEVTGGKSYCNFKGVGCNSQGHVEKLDMTGWSISGRFPAGICSYLPELRILRLSHNHLHGDFLHSIINCSFLEELNMSSLYLAGALPDFSTLKSLRVLDMSYNLFTGDFPMSVTNLTDLQILNFNENVELNMWQLPENVSKMTRLKSMMLMTCMLYGPIPKSIGNMTSLVDLELSGNYLTGQIPAEIGSLKNLQSLELYYNYHLSGSIPDEFGNLTELVDLDISVNKLTGNIPESICRLPKLEVLQLYNNSLTGEIPSAIANSTTLRILSIYDNSFTGEVPQNLGKLSAMVVLDLSENRLSGPLPAQVCNRGKLLYFLVLDNMFSGTLPDSYAKCNTLLRFRVNHNRLEGPIPRGLLGLPHVSIIDLSCNNFSGSIAATFGTARNLSELFLQSNKISGLLPPEISGAINLVKIDISNNLLSGPVPFQIGYLTKLNLLMLQGNMLNSSVPKSLSMLKSLNVLDLSNNMLSGNIPESLSVLLPNSINFSNNRLSGPIPLSLIKGGLLDSFWGNPGLCVPVFVSSDQNFPICSQTYNRKRLNCIWVIGVSVIIIIVGALLFLKRKLSKEKFIEQDETMSSSFFSYNMKSFHRISFDPQEILEGMVDKNKVGQGGSGTVYRIQLRSGEVIAAKRLWSKRTKDSASEDRLRMDKQLKTEVGTLGNVRHKNIVKLYSYVSSLDCNVLVYEYMPNGNLWDALHNSQIHLDWPTRHQIALGVAQGLAYLHHDLLPPIIHRDIKSTNILLDVNYQPKVADFGIAKVLQARGGKDSTTIVIAGTYGYLAPEYAYSYKATTKCDVYSFGVVLMELITGKKPLEADFGENKNIIYWISSKADTKEGAIEVLDKRLSGCFRDEMIRVLRIANCCTCNNPALRPTMNEVVQMLIEADPCRFDSCKSSNKTKETSTVTKINSTIEL >Manes.11G117400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27497853:27502873:1 gene:Manes.11G117400.v8.1 transcript:Manes.11G117400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELGLNITFNIKKGEINTLVCRIQKAEEKTTDHSQTTTKAALRQIPLSCRSMTAGSKNHHQPSSSRPPRNLSLATTVTNFQNPNSNHISSTPNSSIILSQQDQILSHATHLTRQELLKRRSFNLKQLSKCYRDHYWALMEELKIQYRDYYWKYGVSPFKEDHPLLQQQKQEKGGGGSVVDREVGVREGGSFNIEVMGENNNGSGNSSVSNNKGDLDFNNNHRCLFVGCKLKAMALTSYCHLHILSDAKQKLYKPCGYVIKSAQAGPITCGKPILRSTASLCTVHFQKAQKHVTRALKKAGLNVSSSSKLAPKFNVIVAEYVRQILAKRKAAERGNRSKVLDKEVTSS >Manes.15G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5186362:5193241:-1 gene:Manes.15G068100.v8.1 transcript:Manes.15G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQSLSMNSPFGGPSASTPSAASAPANKDRKMASAEHLVLDLSNPELRENALLELSKNKELFQDLAPFVWNSFGTIAALLQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGMNRVPGLQAGGGFDHMMVN >Manes.15G068100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5186132:5193241:-1 gene:Manes.15G068100.v8.1 transcript:Manes.15G068100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQSLSMNSPFGGPSASTPSAASAPANKDRKMASAEHLVLDLSNPELRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGMNRVPGLQAGGGFDHMMVN >Manes.02G114100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8795990:8802747:1 gene:Manes.02G114100.v8.1 transcript:Manes.02G114100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFHFFDFKGSMARKVLARKKHVEGLEAPRNSLEMQVETSHSCCAAGDMLVEEDWSEKSCYPIEASMKRLINEEISKQPNTRQNAPSIVARLMGIDVLPVDTEYVVQPVDKKNGGIVTKHLRREKNERSSVDHFSSNSNSSRHMEIDSLHHSEERYVDSWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKFVEPGSDPGQLLARENINKYKMLVDANSVMSTSEKPVEGPVLKARSLETANLHQLEIFPAEQKESFSSRNKSVHRNYKNSIHYDQKMDASSAPTRIVVLKPGPDRIWDHEECWTSSSGTLDDRGSIEEFLEEVKERLKCELQGKTVKRGSVVRGSGVETPFNEKPSNTKQIARHLAKHVKDNVMQDLGINLLRSESTRSYRNEIQFNGPNSPEFINRDTRRFLSEKLRNVLKRGTHSFDVPLVVNGSSGSTLLDDEKIRLQEVRYTSQAGILPSHWEIVKDDQEMQARAFRHADDDGVLHTQSSPRNLIRSLSAPVSGTSFGKLLLEDRHILTGAHIRRKHESIDNVTTELKKQNKERFNIKEKVSNFRYSFALKGRLFGKKLQPVVESHDSEQDVVKDIMSGPTVVRNFGKRQIMENSTEVPPSPASVCSSAQEESWIPVDYLSPVSTPDVTPGEESTAPQVLEISSNLNELQRQLSQLKSNEAEDSTIEQEPSECTMVDLDDNVAAYLRDLLVASGLYEGSCDKFFSRWDPLAKPISNSVFEKVEETCKKLAKDNNQNGNREDNEKMVDHKMLYDLINEVLSTVLRPSEAMSRFTNKTISSSMLRPLRGRKLLDSVWKTIRVYLYPPDDKSYHSLDSLMTRNLQSTPWLSLMKDEVNSLGGEMEWMILGDLIKEIVNDIHL >Manes.02G114100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8795990:8802747:1 gene:Manes.02G114100.v8.1 transcript:Manes.02G114100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFHFFDFKGSMARKVLARKKHVEGLEAPRNSLEMQVETSHSCCAAGDMLVEEDWSEKSCYPIEASMKRLINEEISKQPNTRQNAPSIVARLMGIDVLPVDTEYVVQPVDKKNGGIVTKHLRREKNERSSVDHFSSNSNSSRHMEIDSLHHSEERYVDSWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKFVEPGSDPGQLLARENINKYKMLVDANSVMSTSEKPVEGPVLKARSLETANLHQLEIFPAEQKESFSSRNKSVHRNYKNSIHYDQKMDASSAPTRIVVLKPGPDRIWDHEECWTSSSGTLDDRGSIEEFLEEVKERLKCELQGKTVKRGSVVRGSGVETPFNEKPSNTKQIARHLAKHVKDNVMQDLGINLLRSESTRSYRNEIQFNGPNSPEFINRDTRRFLSEKLRNVLKRGTHSFDVPLVVNGSSGSTLLDDEKIRLQEVRYTSQAGILPSHWEIVKDDQEMQARAFRHADDDGVLHTQSSPRNLIRSLSAPVSGTSFGKLLLEDRHILTGAHIRRKHESIDNVTTELKKQNKERFNIKEKVSNFRYSFALKGRLFGKKLQPVVESHDSEQDVVKDIMSGPTVVRNFGKRQIMENSTEVPPSPASVCSSAQEESWIPVDYLSPVSTPDVTPGEESTAPQVLEISSNLNELQRQLSQLKSNEAEDSTIEQEPSECTMVDLDDNVAAYLRDLLVASGLYEGSCDKFFSRWDPLAKPISNSVFEKVEETCKKLAKDNNQNGNREDNEKMVDHKMLYDLINEVLSTVLRPSEAMSRFTNKTISSSMLRPLRGRKLLDSVWKTIRVYLYPPDDKSYHSLDSLMTRNLQSTPWLSLMKDEVNSLGGEMEWMILGDLIKEIVNDIHL >Manes.02G114100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8795990:8802747:1 gene:Manes.02G114100.v8.1 transcript:Manes.02G114100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVETSHSCCAAGDMLVEEDWSEKSCYPIEASMKRLINEEISKQPNTRQNAPSIVARLMGIDVLPVDTEYVVQPVDKKNGGIVTKHLRREKNERSSVDHFSSNSNSSRHMEIDSLHHSEERYVDSWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKFVEPGSDPGQLLARENINKYKMLVDANSVMSTSEKPVEGPVLKARSLETANLHQLEIFPAEQKESFSSRNKSVHRNYKNSIHYDQKMDASSAPTRIVVLKPGPDRIWDHEECWTSSSGTLDDRGSIEEFLEEVKERLKCELQGKTVKRGSVVRGSGVETPFNEKPSNTKQIARHLAKHVKDNVMQDLGINLLRSESTRSYRNEIQFNGPNSPEFINRDTRRFLSEKLRNVLKRGTHSFDVPLVVNGSSGSTLLDDEKIRLQEVRYTSQAGILPSHWEIVKDDQEMQARAFRHADDDGVLHTQSSPRNLIRSLSAPVSGTSFGKLLLEDRHILTGAHIRRKHESIDNVTTELKKQNKERFNIKEKVSNFRYSFALKGRLFGKKLQPVVESHDSEQDVVKDIMSGPTVVRNFGKRQIMENSTEVPPSPASVCSSAQEESWIPVDYLSPVSTPDVTPGEESTAPQVLEISSNLNELQRQLSQLKSNEAEDSTIEQEPSECTMVDLDDNVAAYLRDLLVASGLYEGSCDKFFSRWDPLAKPISNSVFEKVEETCKKLAKDNNQNGNREDNEKMVDHKMLYDLINEVLSTVLRPSEAMSRFTNKTISSSMLRPLRGRKLLDSVWKTIRVYLYPPDDKSYHSLDSLMTRNLQSTPWLSLMKDEVNSLGGEMEWMILGDLIKEIVNDIHL >Manes.09G004200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1108142:1113833:1 gene:Manes.09G004200.v8.1 transcript:Manes.09G004200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVLRSSNPLKFRGLSVVVSRVCCAKSEIFSNNLEANSAIYESSQVPSVRCLFYHTEANFSKFYILSQSMSSQAGAESSESEDLEDGFSELETPASVDSNEESSAVDGNEDELVSEPELSDDGGEHSAMELLDTEADTGEKASSKKKLASELFRAIVNAPGLSIHGVLDKWVEEGKDLGRAEISLVMIDLRKRRMFGRALQLSEWLEANNRQDFVERDYASRVDLIAKVRGLHKAENYIEKIPKSLRGEVIYRTLLANCVAASNVKKAEEVFNKIKDLQFPITTFACNQLLLLYKRVDKKKIADVLLLMEKENVKPSLFSYKILIDVKGQSNDLTGMDQIVETMKGEGIKPDVDTQAIIARHYASGGLTEKAEAILKEMEGGNLKEHRWACRALLPLYASLGKADEVGRIWKVCESSPRLEECIAAIEAWGRLKKVDEAEAVFDRMLTTWKKLSSRHYAALLKVYANHKMLGKGKDLVKQMSDSGCRIGPLTWDALVKLYVQAGEVEKADSILQKAAQQNQLKPMFSSYLAIMDQYAKKGDVHNAEKMFHRMKQVGYVARLRQFQTLLQAYVNAKAPAYGMRERMKADNLFPTKGLAAQLAQVDAFRKTPVSDLLD >Manes.03G207500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32333621:32335331:-1 gene:Manes.03G207500.v8.1 transcript:Manes.03G207500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSITLATFVASLILLLTPISCSSPLVIRNVVDDEPRNSSIPQDDIDLLELHLNLEYLGAELFLHAATGNGLDTFSPALASGGPRPLGAKKAKLEPFFRDLIEQLAWQNVGHLRAIMKTVEGFPRPLLDLRAESFAKLMDKAFGRPLSPPFDPYASGLNFLIASYMLPYVCLTGYVGTNQRLQGSAFKQLVAGLLAVKSGQDAVIRGLLYERAFQKVEPYEITVAEFTDRISDLRNKLGHRGIKDEGLVVPQYQGAEGKIRGNVLAGNQYSVGFARSPREILRIMYGGGNEHSAGGFFPHGANGRIARSYMR >Manes.11G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25973101:25975084:1 gene:Manes.11G112000.v8.1 transcript:Manes.11G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGDSDIIKSMPGDH >Manes.11G112000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25973101:25974966:1 gene:Manes.11G112000.v8.1 transcript:Manes.11G112000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIVDPGE >Manes.11G112000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25973101:25975084:1 gene:Manes.11G112000.v8.1 transcript:Manes.11G112000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNDNVDLGTACGKYYRVCCLSIVDPGDSDIIKSMPGDH >Manes.10G060200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8351017:8354442:-1 gene:Manes.10G060200.v8.1 transcript:Manes.10G060200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSSPIIYCFALLLSLLLIYQILPPQISLDEELDDFALFRKALKPRKKISHLATKNPTPKIAFLFLTNSDLTFAPLWERFFHGNTHLCNIYIHADPFAQVSIPSSGIFKTQFIPSKRTERGSPSLISAERRLLARAILDDPLNLYFALVSQHCIPLHSFKYMYRSLFGTTNNFRAAFAAQSQHRSFIEILSQDPNLQDRYNARGENAMLPEVPFEKFRVGSQFFVLAKRHAVLVLKDEKLWRKFKLPCLNLDSCYPEEHYFPTLLSMADPRGCSHYTLTNVNWTGSFDGHPYLYQAEEISTELVYRLRQSNSSYSYFFARKFSPECLLPLMEIADDVIFRDKK >Manes.03G043700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3801461:3808454:-1 gene:Manes.03G043700.v8.1 transcript:Manes.03G043700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASASFSSPLCTWLVAACMSVTCEKENWTSLHAFHSSPPGNRLSRWARRRRALLSKYNCGSSAVASTCSKFISQGLSSSFSGSSFQGLMTSCLAFEPCSHYYSSNGLFGSRNLNRKNRRLNRPPHSGEVMAVAVQPEKEVATKNKPVTKQRRVVVTGLGVVTPLGHDPDVFYNNLLDGASGISQIEAFDCSQFPTRIAGEIKSFSTEGWVAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVLIGSAMGGMKVFNDALEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANVMLCGGSDAVIIPIGLGGFVACRALSQRNDDPTKASRPWDMERDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHVTEPRPDGVGITRCIEKALAQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGRNPDLRVNSTKSMIGHLLGAAGAVEAIAAIQAIRTGWIHPNTNLENPDEGVDISVLVGPKKERLEVKVALSNSFGFGGHNSSILFAPYK >Manes.03G043700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3801220:3808513:-1 gene:Manes.03G043700.v8.1 transcript:Manes.03G043700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASASFSSPLCTWLVAACMSVTCEKENWTSLHAFHSSPPGNRLSRWARRRRALLSKYNCGSSAVASTCSKFISQGLSSSFSGSSFQGLMTSCLAFEPCSHYYSSNGLFGSRNLNRKNRRLNRPPHSGEVMAVAVQPEKEVATKNKPVTKQRRVVVTGLGVVTPLGHDPDVFYNNLLDGASGISQIEAFDCSQFPTRIAGEIKSFSTEGWVAPKLSKRMDKFMLYMLTAGKKALADGGITEDIMDELDKTKCGVLIGSAMGGMKVFNDALEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEANVMLCGGSDAVIIPIGLGGFVACRALSQRNDDPTKASRPWDMERDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHVTEPRPDGVGITRCIEKALAQSGVSKEDVNYINAHATSTPAGDLKEYEALMRCFGRNPDLRVNSTKSMIGHLLGAAGAVEAIAAIQAIRTGWIHPNTNLENPDEGVDISVLVGPKKERLEVKVALSNSFGFGGHNSSILFAPYK >Manes.11G049255.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6165563:6165745:1 gene:Manes.11G049255.v8.1 transcript:Manes.11G049255.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIILFWLEIGCCNSNDTNLCILVYDLAILLNTRLSVIFTFDKSILIIAYFYLYDLLFTI >Manes.07G015400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1857023:1860089:1 gene:Manes.07G015400.v8.1 transcript:Manes.07G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPDAIVQYILSHMRNAKDVAVCNCVSKRWKDSLPYIKSLYFPRNSFDNHTGYNHPDSIVWKMVSSIVQLEELVVYSPFSSTGLASWLLHAGSSLKHLELRMDNLAEYQTCVDSPSKLDCISAAKNLESLKLWGVLMIKSPMWNAFPKLQSLEIVGARLEDPALCAALQACPNLKNLLLLGCEGIRSVSIELPHLEQCKLDFYGLGNCSLTITCPKIEILEIQGCSWIRVRETNCLRKLSISNNAGRVYMVDFGKLAALEFLSVRGVQWCWDAISKMLQWASEVKHLYMKIEFTGDFDALQPFPEIDFVEFFNSHPKLQKFDIHGAMFAALCQRNSLKNVESGFVIPCLEEVVVTVRSPLNAEQKMSTLESLLKYGKSMKSMVIRILQMKSNHNSADDFFDDICRFQCMNRKIVRIE >Manes.14G134100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10735948:10736962:1 gene:Manes.14G134100.v8.1 transcript:Manes.14G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVSMVGLFFIVSALALAISLHGANAATTYTVGDSLGWTIPPNNSVEFYEDWANNKTFQIGDSVLFKWNGTHTATEVFSEEEYENCTKTGIILATSGVSVLLNANGTRYFVCSVGTHCEQGMKVEIKVGNGIAPPPSAAPSLPVGSLAAVISSILILFLTNI >Manes.18G143701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:32859151:32859510:1 gene:Manes.18G143701.v8.1 transcript:Manes.18G143701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKQTKEGWTGHLRRPNAPTDPKVRHFRRQVRRPKVSSRDESQALSAAESPFQSRKSIFGGKVWRPKIASTGRFGSRNHLRRPNLDSPARQNPFPPHAIRLQNLSPSHPTLPKYAQLI >Manes.06G072800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20893051:20896059:1 gene:Manes.06G072800.v8.1 transcript:Manes.06G072800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSNCYPFQYTQNQIDITSLIPEDQLFSPFLHLPSSYLDHGDFLPSDFLTHHYHQLQQQQQQQILGSNANLEAETIQEMNPSANLKRATRSNNKKKRSNMNGTKQPVPRKRSGKKDRHSKIHTAQGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIDWLFSKSKAEIKELTDSLSRPRVKRTTSSGDGQSVPSTSESEVMSSGIRLTPDHGDKGEIIVEDNSFVSITRQKMSKKSNKPSLINPLVKESREKARARARERTKEKQKIKGFDKCNHYSQANPNNLEQLGPARSLENGENLGFGDHEMKPLLMVAGEEDHQEPRTYLMQHQTNSVSIVDKTFGLTGPSKSSSIFDLPHNFVVPSASGADFEDEFSGLTGNWDISNARVQNRFCAVPSMKLSRGNIQYKTLGKFS >Manes.03G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3329912:3337066:-1 gene:Manes.03G037800.v8.1 transcript:Manes.03G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRRRSKVQNSSDFSDSGKDREDDKKDRRKEKPKRKQDGGERRIKKWTCVDSCCWFIGFTCSMWWFLLFLYNAIPSSFPQYLTEAITGPLPDPPGVKLKKEGLTAKHPVVFVPGIVTGGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRISFQNTEVRDQTLSRIKSNIELMVATNGGRKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGTDWCAKHIKAVMNIGGPFLGVPKAVSGFFSNEARDIAAARAYAPGFWDKDVFGLQTLQHLMRMTRTWDSTMSMIPKGGDTIWGSLDWSPEGLYNCGARKPKNNHTHTAIPNGKGVSSFKEGVNYGRIISFGKDAAELHSSKIERIDFRDAVTVKKPANNCDIWTEYQEMGVGGIKAVVDYKVYTAGSVLDLLHFVAPKLMKREGAHFSHGIADNLDDPKYKHYKYWSNPLETKLPNAPEMEIYSMYGVGIPTERAYVYKLASTAECSIPFQIDTSVTGGSENSCLKDGVFSVNGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYNHAPPANLLEGRGTQSGAHVDILGNFALIEDIIRVAAGATGEDLGGDQVHSDIFKWSEKINLQL >Manes.03G037800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3329912:3337066:-1 gene:Manes.03G037800.v8.1 transcript:Manes.03G037800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRRRSKVQNSSDFSDSGKDREDDKKDRRKEKPKRKQDGGERRIKKWTCVDSCCWFIGFTCSMWWFLLFLYNAIPSSFPQYLTEAITGPLPDPPGVKLKKEGLTAKHPVVFVPGIVTGGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRISFQNTEVRDQTLSRIKSNIELMVATNGGRKVVVIPHSMGVLYFLHFMKWVEAPAPMGGGGGTDWCAKHIKAVMNIGGPFLGVPKAVSGFFSNEARDIAAARAYAPGFWDKDVFGLQTLQHLMRMTRTWDSTMSMIPKGGDTIWGSLDWSPEGLYNCGARKPKNNHTHTAIPNGKGVSSFKEGVNYGRIISFGKDAAELHSSKIERIDFRDAVTVKKPANNCDIWTEYQEMGVGGIKAVVDYKVYTAGSVLDLLHFVAPKLMKREGAHFSHGIADNLDDPKYKHYKYWSNPLETKLPNAPEMEIYSMYGVGIPTERAYVYKLASTAECSIPFQIDTSVTGGSENSCLKDGVFSVNGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYNHAPPANLLEGRGTQSGAHVDILGNFALIEDIIRVAAGATGEDLGGDQVHSDIFKWSEKINLQL >Manes.09G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:421682:430817:-1 gene:Manes.09G000900.v8.1 transcript:Manes.09G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTFSFWTLASMLPFSLVLFHVILIGVESSVNPPVQGELKAYQPLFDCEGHDGKDQDTFSGARLGNPLDEKDIDIIATYSGTSGDIKIKRVKMKDLSASWVLENPIDKGHDKPKASSTSKDSFQSVPKLQDNVEHSGNQQPPNLATSPVRLQRRLLRQRRRDLRTALLARQDEEADNQTRAAAIKQSESLDTTVKGKYSIWRRDYENPHSDSMLKLMRDQIIMAKAYANIAKSNNETSLYDLLMEHSRESRHAIREATSDAELHPSALTRAKAMGHVLSIAKDRLYECPTMLLKLRAMLQSSEENVNALKKKSAFLIQLAAKTIPKPLHCLPLRLAADYFLLGYQNREYPNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHAIDPGKHVFHIVTDKLNFAAMKMWYLVNPPAKATVHVQNIDDFKWLNSSYCSVLRQLESARVKEYYFKANHPSSLTAGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSVDLKGMVNGAVETCKESFHRFDKYLNFSNPKIFENFSPNACGWAFGMNIFDLKEWRRQNITGIYHHWQDLNEDRTLWKLGTLPPGLITFYNLTCPLDRRWHALGLGYDPALNQTEIEDAGVVHYNGNYKPWLDLAITKYKSYWSKYVKYDNPYLQLCNVRE >Manes.09G000900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:421682:430817:-1 gene:Manes.09G000900.v8.1 transcript:Manes.09G000900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERALVQVYVLSFLEGAQLIKDQDTFSGARLGNPLDEKDIDIIATYSGTSGDIKIKRVKMKDLSASWVLENPIDKGHDKPKASSTSKDSFQSVPKLQDNVEHSGNQQPPNLATSPVRLQRRLLRQRRRDLRTALLARQDEEADNQTRAAAIKQSESLDTTVKGKYSIWRRDYENPHSDSMLKLMRDQIIMAKAYANIAKSNNETSLYDLLMEHSRESRHAIREATSDAELHPSALTRAKAMGHVLSIAKDRLYECPTMLLKLRAMLQSSEENVNALKKKSAFLIQLAAKTIPKPLHCLPLRLAADYFLLGYQNREYPNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHAIDPGKHVFHIVTDKLNFAAMKMWYLVNPPAKATVHVQNIDDFKWLNSSYCSVLRQLESARVKEYYFKANHPSSLTAGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSVDLKGMVNGAVETCKESFHRFDKYLNFSNPKIFENFSPNACGWAFGMNIFDLKEWRRQNITGIYHHWQDLNEDRTLWKLGTLPPGLITFYNLTCPLDRRWHALGLGYDPALNQTEIEDAGVVHYNGNYKPWLDLAITKYKSYWSKYVKYDNPYLQLCNVRE >Manes.09G000900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:421681:430817:-1 gene:Manes.09G000900.v8.1 transcript:Manes.09G000900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERALVQVYVLSFLEGAQLIKDQDTFSGARLGNPLDEKDIDIIATYSGTSGDIKIKRVKMKDLSASWVLENPIDKGHDKPKASSTSKDSFQSVPKLQDNVEHSGNQQPPNLATSPVRLQRRLLRQRRRDLRTALLARQDEEADNQTRAAAIKQSESLDTTVKGKYSIWRRDYENPHSDSMLKLMRDQIIMAKAYANIAKSNNETSLYDLLMEHSRESRHAIREATSDAELHPSALTRAKAMGHVLSIAKDRLYECPTMLLKLRAMLQSSEENVNALKKKSAFLIQLAAKTIPKPLHCLPLRLAADYFLLGYQNREYPNKEKLEDPSLYHYAIFSDNVLATSVVVNSTVLHAIDPGKHVFHIVTDKLNFAAMKMWYLVNPPAKATVHVQNIDDFKWLNSSYCSVLRQLESARVKEYYFKANHPSSLTAGSDNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSVDLKGMVNGAVETCKESFHRFDKYLNFSNPKIFENFSPNACGWAFGMNIFDLKEWRRQNITGIYHHWQDLNEDRTLWKLGTLPPGLITFYNLTCPLDRRWHALGLGYDPALNQTEIEDAGVVHYNGNYKPWLDLAITKYKSYWSKYVKYDNPYLQLCNVRE >Manes.15G006000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:655945:659352:1 gene:Manes.15G006000.v8.1 transcript:Manes.15G006000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNCVLATMALLILVVAVNGGDDQPSSVKPLVKIVKGKKVCDKGWECKGLSAYCCNDTISDYFQTYQFENLFAKRNTPVAHAVGFWDYHSFITAAAVYQPHGFGTTGGKLTGQKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSQSYCDDYYKYTYPCTPGVSYHGRGALPIYWNYNYGKAGEALKIDLLNHPEYIENNATLAFQAAIWKWMTPEKKNQPSAHDVFVGNWKPTKNDTLSKRVPGFGITMNVLYGDQVCGKGDDESMNNIVSHYLYYLDLLGVGREEAGPHEVLSCAEQVPFNQASSSSSSS >Manes.15G006000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:655945:659352:1 gene:Manes.15G006000.v8.1 transcript:Manes.15G006000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNCVLATMALLILVVAVNGGDDQPSSVKPLVKIVKGKKVCDKGWECKGLSAYCCNDTISDYFQTYQFENLFAKRNTPVAHAVGFWDYHSFITAAAVYQPHGFGTTGGKLTGQKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSQSYCDDYYKYTYPCTPGVSYHGRGALPIYWNYNYGKAGEALKIDLLNHPEYIENNATLAFQAAIWKWMTPEKKNQPSAHDVFVGNWKPTKNDTLSKRVPGFGITMNVLYGDQVCGKGDDESMNNIVSHYLYYLDLLGVGREEAGPHEVLSCAEQVPFNQASSSSSSS >Manes.18G051100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4375250:4383858:1 gene:Manes.18G051100.v8.1 transcript:Manes.18G051100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAALLTSAGINIGLCVVLFSLYSILRKQPSNRVVYFGRRLATVRIRSDDFFSIERFVPSPSWILKAWETTEEEILSIGGLDALAFQRTLVFSIRIFSIAAIICLLLVLPMNYYGKEMQHKQIPAESLDVFTIGNVKEGSRWLWAHCLALYVISCGACVLLYFEYKSITEMRLAHIIKSPLNPSHFTVLVRSIPWSPEESYSDSVKKFFANYYASSYLSHQIVYHRGIIQKLMADAEKMCKMIMPVPIDGKSLRPCCLSADNTTSFKILSSELGSVKDSISYNDLDIATRENECPVAFVFFKTRYSAVVATQVLQSSNPMLWVTELAPEPHDVLWSNLSIPYRQLWLRKIATLLAAIVFMFLFLIPVTFVQGLTQLDKLSRTFPFLRGLLKKDFMNHVVTGYLPSVILMLFLYTVPPMMMLFSSVEGHVSRSGRKRSAGLKVLYFTIWNVFFVNVLSGSIISQLNVFSSVRDIPTQLAKAIPTQASFFMTYVLTSGWASLACELMQLFPLSINMFKKFILRNKEDCSDDLMTFPYHTEVPRVLLFGLIGFTCAIMAPLILPFLLVYFFMAYLVYRNQILNVYIPKYEGGGHFWPIVHKTTIFSLVLTQIIAIGVFGIKRSPIASGFTFPLVICTLLFNEYCRQRFAPVFKRDAVQVLIDMDRRDEQSGRMKEIHQQLNSAYCQIPMTSHELCASAHGGVNKIEDPEGAGAGTELNELTETCAVVNFGGETSTEN >Manes.11G026300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2585166:2592448:1 gene:Manes.11G026300.v8.1 transcript:Manes.11G026300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQMGILLVSIWVAACALSVHGSAEPASSPNQAPHSYSENRYGKLSTLPPIKAFHISSSIDHSPNEASPNIIKPSSIALAPSISSYQSPTKKWMHGPAYSSSISTNRNFHHHHGRSYNQIAPSVPSLHSPSPSSMSWGSLAPTLSPIAPESHSNMHIHPPAISPLHSNLKKMKAPQPSQILSLPPPPPNGDCPAVTCTEPLTYTPPGTFCGCVWPIQVTLRLGVAIYSFFTLVTELAEEIAASVALSHSQVHIMGANAASQQLEKSTVLINLVPMGVKFSDTTALSIYKKFWNKQVLIKASLFGTYEVLSVHYPGLPPSPPSPLSISTIDDEPYPRHDNNERTDKPLGADVPRRKKDALGGSTTAIIILSSFTALVICIAAAWLFLLKYGNCAHQPQRVSQLSVTSPAKPSGTSGPEIFGGIPSSSSMSFSSGAMAHTGSAKVFALDEIEKATSKFDPSRILGKGGFGVVYSGQLDDGRKVAVKVLKRDDQHGNREFLAEVEMLDRLHHRNLVKLIGICTEHTRCLVYELIPNGSVESHLHGVDKEIDPLNWDARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLAREAMDEENKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDLSQQPGQENLVAYARPLLTNKEDLETIIDPAIKFTVSFDTIVKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFNETEVQRSRSHSYENLLIDVDSMSTRVSDKIKEVSQSHHPLPLSASDLFTESVGLEEQEFGSFRRHSSSGPMRTGSRRQFWQRLRSLSRGSMSEHGFSLKLWPGTR >Manes.17G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30715977:30722346:-1 gene:Manes.17G100500.v8.1 transcript:Manes.17G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELKARHVAATETVNSLRERLKQKRLQLLDTDVAGYARGQGKSQVSFGATDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNSPTDKDGNLPLSKMLSGHKGYVSSCQYVPDEDTHLITSSGDQTCILWDITTGLRTSVFGGEFQSGHTADVLSVSINASNSRMFVSGSCDSTARLWDTRVASRAVRTFHGHEGDVNTVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYYQQHSDNEVPHVTSIAFSISGRLLFAGYTNGACYVWDTLLAQVVLDLGSLQNSHQGRISCLGLSADGSALCTGSYDTNLKIWAFGGHRKVI >Manes.02G149700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11487464:11491705:1 gene:Manes.02G149700.v8.1 transcript:Manes.02G149700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSCQSSGDSIITTTSTSGSRDQYLRNLNKLSHKISKPIITKKPPPPPFDHPTFDNTNINASQSQTQQQSQVSQQHNLQAQQHQPPVYNINKNDFRDVVQKLTGSPAHDRFSTPPPIQPPKPPSSRLQRIRPPPLVHVSNRPPPLVSSAFPPPHQPPRDASVNPSMPIATATTSFIQRPSAPLSPLPPFPAVHAAAESPVSAYMRYLQNSISSVDSNNQFSGFSPLAPLVSPRWNNPPTQQLQLHQSQQQFAPLQQGILPSPTSGMLVSQPQFQLPTSPLPFGCLNSPRSGLLLSPSSFPLSPTLPLSSPRWRAL >Manes.17G074100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27413888:27418616:-1 gene:Manes.17G074100.v8.1 transcript:Manes.17G074100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDESGRMRMGRPSLPRRRSMEDTSAAATRLSMEALDPDDFADVFGGPPRSVLSRKYSADFTRSSTSFYEDIFRPPEFVTSIEKKSGGGRSLPAFRIPAKGEGFYGDVFGWEEDRRSRDRSKPNSKAKSKSNSSSVLSSEELSPHRRPVTGDDVALSSFASKLRPINVPYRWTTTAMRPEEQARKVEMHSFSSNFPSDEYYMENEYNDSFRSSYITVSRQISSPETISLDPNSYPSIKVSVDDLELNSTSSPVSSLCQEQVASAGKQSNLMPEEEMEQEEDEVISSYVIEINSDHREVASEAISIDEAIAWAKEKFQAQSFDRQKKEDLSAEVEERPNSHEFISSQMDGHGSTQSPTMELELLDEDIQLWSAGKETNIRLLLSTLHHILWLNSGWPATPLTSLIESSHVKKAYQKARLCLHPDKLQQRGATLQQKYVAEKAFSILQDAWAAFISQDILFN >Manes.17G074100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27413888:27418616:-1 gene:Manes.17G074100.v8.1 transcript:Manes.17G074100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDESGRMRMGRPSLPRRRSMEDTSAAATRLSMEALDPDDFADVFGGPPRSVLSRKYSADFTRSSTSFYEDIFRPPEFVTSIEKKSGGGRSLPAFRIPAKGEGFYGDVFGWEEDRRSRDRSKPNSKAKSKSNSSSVLSSEELSPHRRPVTGDDVALSSFASKLRPINVPYRWTTTAMRPEEQARKVEMHSFSSNFPSDEYYMENEYNDSFRSSYITVSRQISSPETISLDPNSYPSIKVSVDDLELNSTSSPVSSLCQEQVASAGKQSNLMPEEEMEQEEDEVISSYVIEINSDHREVASEAISIDEAIAWAKEKFQAQSFDRQKKEDLSAEVEERPNSHEFISSQMDGHGSTQSPTEEELKKWRSEEEIEQSEKDMELELLDEDIQLWSAGKETNIRLLLSTLHHILWLNSGWPATPLTSLIESSHVKKAYQKARLCLHPDKLQQRGATLQQKYVAEKAFSILQDAWAAFISQDILFN >Manes.13G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32678428:32683475:1 gene:Manes.13G120200.v8.1 transcript:Manes.13G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKSWRKNHPHGFVAKPETLPDGSVNLMVWHCTIPGKPGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDSAEYKKRVRQQAKQYPPLV >Manes.09G015700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3311617:3314196:-1 gene:Manes.09G015700.v8.1 transcript:Manes.09G015700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDENLAAIKIQSAFRGYLARKALRALKGVVKLQAIVRGQVVRRQVGTKLKRLPSNAKMRSKVRVTTIDIYKEGGNKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDKQRHWLEELANKDALARERIENLKASDIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.09G015700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3311617:3314196:-1 gene:Manes.09G015700.v8.1 transcript:Manes.09G015700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDENLAAIKIQSAFRGYLARKALRALKGVVKLQAIVRGQVVRRQVGTKLKRLPSNAKMRSKVRVTTIDIYKEGGNKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDKQRHWLEELANKDALARERIENLKASDIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.09G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3311617:3314196:-1 gene:Manes.09G015700.v8.1 transcript:Manes.09G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSPMAKKTCWFGWFKRLFVSQEKTRTEKKSRRWRWILGKLKLKQRPVLPSPQRIIDEAAEKQRKFAVTVALATAAAAEAAVAAAQAAAEVVKLTGSSQSRLHFAMKDENLAAIKIQSAFRGYLARKALRALKGVVKLQAIVRGQVVRRQVGTKLKRLPSNAKMRSKVRVTTIDIYKEGGNKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDKQRHWLEELANKDALARERIENLKASDIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.09G015700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3311617:3314196:-1 gene:Manes.09G015700.v8.1 transcript:Manes.09G015700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTCWFGWFKRLFVSQEKTRTEKKSRRWRWILGKLKLKQRPVLPSPQRIIDEAAEKQRKFAVTVALATAAAAEAAVAAAQAAAEVVKLTGSSQSRLHFAMKDENLAAIKIQSAFRGYLARKALRALKGVVKLQAIVRGQVVRRQVGTKLKRLPSNAKMRSKVRVTTIDIYKEGGNKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDKQRHWLEELANKDALARERIENLKASDIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.02G213372.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27644988:27679618:1 gene:Manes.02G213372.v8.1 transcript:Manes.02G213372.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCCARLSAPSSAAVTNRYRISLTHNFPLHSKIFYTLNSLTRSKCSQAVVKSETTRNRNFAISPNSSVAVPSDDFVVVNFYRFVFIENPHDEVAKHLSFLKDLDIHGRIYLSEQGINAQFSGPSKDALAYVEWLREDIRFSDILVQVSPAINGHAFPKLKLRYKPSLVQLEGGISHLPLLNPSVRATPLTPFEWRKRLEAAHEIDVMSNSTIGSNYVLLDVRNGYEWDVGHFIGARRPDTDCFRSTSCGLTNREVIASDPLANADKNTTNIFMYCTGGIRCDVYSTILRQQGFQNLYTLMGGVSHYLNVEGPRGWIGNLFVFDDRLSLPPSAYNPDADSSATEIVTKAQGGSGNYSFANCYVCGSHVHELRHRNCANLDCNLLFLCCINCVKDLRGCCCLKCKTSTRLRPVLAGNQRYKKWHTYREVEMQSKLTE >Manes.10G076074.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14346951:14349149:1 gene:Manes.10G076074.v8.1 transcript:Manes.10G076074.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGDLDHCALSIYRPLFGWNYHLFPLCSSKIS >Manes.12G156800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36490821:36492173:-1 gene:Manes.12G156800.v8.1 transcript:Manes.12G156800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYSSLKLPPGFRFCPTDQELILHFLYRKASLLPSHDIIPDLHPLDPWNLHGKALSSGNHWYFFTQMMEFESQQQVTENGVWKKLDVQKPIFSDSGNKIGIKNYLVYYIGQPPTAIETNWIMHQYHLCNSSSPKRIRKSDYHKWVLCRVYQSVENSGKSLNCRDDDEDEDDDDDGTELSCLDEMFLSLEDDLDDISLPN >Manes.02G159000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12436491:12444331:1 gene:Manes.02G159000.v8.1 transcript:Manes.02G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVDKVKCKADSQRHRVTPYPLPASRRKSSKDCHSKKKHSKALEKNDWEGATCSVCLEFPHNAVLLLCSSYNKGCRPYMCATSRRFSNCLEQYKMAYTKVNEEIQHLNRSADNSSFHLGAGQANEKMEVPELLCPLCRGQLKGWTVVEPARKYLNAKKRSCMQDECSFVGTYKQLRKHVKAKHPLARPRAVDPVLEEKWKKLECERERNDVISTIMSSSPGAMVLGDYVIEPGRHGIFNDYDYDLDESLDDHFFSLESFNRGQGSGRYRNGFHLDFDSMDEDDYGLRRPVATGPAALSGRGLHRLLLTRATRPWRFRGGNRSRNY >Manes.18G065400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5890077:5898488:-1 gene:Manes.18G065400.v8.1 transcript:Manes.18G065400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQLQSSQIRDRRQEALGYLNVLPDELVCAILEYLTPRDVARLSCVSSVMYILCNEEPLWMSLCLKRVDGPLQYEGSWKKTALNLENVPAEYKERCGKQLHFDGFNSLFLYRRLYRCNVALHEFSFDFGNVERKKGLSSEEFFHQYDGTKPVLLNGLADDWPARNTWTIDQLSMKYGDKAFRISQRSSRKVSMKFKDYVSYMKFQHDEDPLYIFDDKFGETAPSLLKDYSVPHLFQEDFFEVLDKEQRPPYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPMGVTVHVNEEDGDVNVDTPSSLQWWLDFYPLLSDEDKPIECTQLPGETIFVPSGWWHCVLNLETTIAVTQNFANSKNFEYVCLDMAPGYRHKGICRAGFLAVVEDSLQNVEKNEVNDEDDPSYPDLTRKEKRARIQELGEDPEQETASHGDSKIYELWKQDFSYDIKFLGMFLDKEKDHYSSLWSPGNSIGQREMREWLYKLWIRKPGIRELIWKVFLLADQVVKIFVEGGLEASMYGLGTELEFYSVLHKVNSPLKNHVPEILASGILYVENGTYKIVPWDGKGVPNVIGKSKVIPVNCKENDFPFGVWAKKQYECIQAGLPINEQNNSAGCTKMWPFIITKRCKGKIFAELRDRLSWEDALNLASFLGEQLRNLHLLPYPSIDKSTFSDTKQKMELPFANGSMEETPYKSDIPSEWEVFIRTLSRKKKDIKSHLRNWGDPIPETLIQKVHEYIPDDFTMLVDSYQNENYVRKICKPCSWIHSDIMDDNVHMEPNCVSSCLGGNSADACLMDSGSNGYKDVRYDESWCPSHILDFSDLSVGDRIYDLIPIYLDIFRGDSSLLTQFLESYNLPLLTSEHESVKSSNKFGRLSYHAMCYCILHEENILGAIFSIWKELRMANSWEEVELAVWGQLNDYKGIS >Manes.18G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5890077:5898488:-1 gene:Manes.18G065400.v8.1 transcript:Manes.18G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQLQSSQIRDRRQEALGYLNVLPDELVCAILEYLTPRDVARLSCVSSVMYILCNEEPLWMSLCLKRVDGPLQYEGSWKKTALNLENVPAEYKERCGKQLHFDGFNSLFLYRRLYRCNVALHEFSFDFGNVERKKGLSSEEFFHQYDGTKPVLLNGLADDWPARNTWTIDQLSMKYGDKAFRISQRSSRKVSMKFKDYVSYMKFQHDEDPLYIFDDKFGETAPSLLKDYSVPHLFQEDFFEVLDKEQRPPYRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPMGVTVHVNEEDGDVNVDTPSSLQWWLDFYPLLSDEDKPIECTQLPGETIFVPSGWWHCVLNLETTIAVTQNFANSKNFEYVCLDMAPGYRHKGICRAGFLAVVEDSLQNVEKNEVNDEDDPSYPDLTRKEKRARIQELGEDPEQETASHGDSKIYELWKQDFSYDIKFLGMFLDKEKDHYSSLWSPGNSIGQREMREWLYKLWIRKPGIRELIWKGACLALNAEKWFICLEEIRAFHNLPPPTDDEKLPVGTGSNPVFLLADQVVKIFVEGGLEASMYGLGTELEFYSVLHKVNSPLKNHVPEILASGILYVENGTYKIVPWDGKGVPNVIGKSKVIPVNCKENDFPFGVWAKKQYECIQAGLPINEQNNSAGCTKMWPFIITKRCKGKIFAELRDRLSWEDALNLASFLGEQLRNLHLLPYPSIDKSTFSDTKQKMELPFANGSMEETPYKSDIPSEWEVFIRTLSRKKKDIKSHLRNWGDPIPETLIQKVHEYIPDDFTMLVDSYQNENYVRKICKPCSWIHSDIMDDNVHMEPNCVSSCLGGNSADACLMDSGSNGYKDVRYDESWCPSHILDFSDLSVGDRIYDLIPIYLDIFRGDSSLLTQFLESYNLPLLTSEHESVKSSNKFGRLSYHAMCYCILHEENILGAIFSIWKELRMANSWEEVELAVWGQLNDYKGIS >Manes.07G032901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3608178:3610661:-1 gene:Manes.07G032901.v8.1 transcript:Manes.07G032901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAIARDERSHAVCVPYPAQGHVNSMLKLAKILHSNGFHITFVNTEYNHRRLLRSRGPDSLDGLPDFHFEAIPDGLPPSDADATQDIPSLCDSTSKHCLLPFRHLLSRLNSSNTVPPVTCVISDGCMSFTLDAAQEFGIPNLLFWTHSPCGVLGYAHLPHLIERGFTPLKDESYLTNGYLETTIDWIPGMKNIRLRDLPNRIRTTDRNDTMLNFIVREVERTSRASAIILNTFEAFEKNVLDALSTMFPSIYTIGPLQLLVDQFPDSNLKSVGSNLWKEQPECIDWLDSKELNSVVYVNFGSITVVTPQQMVEFAWGLANSKKTFLWIIRPDLVVGEAAMLPPEFVSETKDRGMLAGWCPQEQILKHPAIGGFLSHMGWNSTLDSVCGGVPMVCWPFFADQPTNCWFACNEWGIGMEIDTDVKREEVEKLVRELMDGKKGKEMERRAVEWKIKAEEATTPGGSSHRNFVELLGFLQRK >Manes.02G102700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8033715:8037772:1 gene:Manes.02G102700.v8.1 transcript:Manes.02G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESALSSSQQALADEITTVSERSQGVDPILERLKSLQISRPILTSPPTEEGSLTDILIRKPSSSSAHATVNPKVLLELFSLFREWQDEKAQEISKKQEEIENKIEVADALATKLLQRFNYSVSTMKTTSQHLSEVHALQVEIGELKGRLTEVISNCDALCKRIATEGPQSLRSSVKPFAVSTADSDIIRKPASQQDLRRPPLTENKPD >Manes.16G072800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27493087:27503437:1 gene:Manes.16G072800.v8.1 transcript:Manes.16G072800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLQLQETILRGDVATFLSLIQEDENFVNEKFTTGSSSNTILHLAARFGPLELAKEILKMKLEMASEVNEKWETPLYEACREGRTDMVKVLVESDPCVVYKVNKENQSGLFAACERGKLEVVDYLLNFQHLLVLEVDAPTTSLHVAAFGGYTEIVKAILKIRPDFAWKKDVNGCTPLHLACSKGHLETTRELLRFDTDLPCLQDNDGRTPLHWAAIKGRVSIIDEILSVSLESAEMITKNRETVLHLSVKNNQFDAVKYLMETLNITKLINKPDSDGNTALHLATAGKLSTMVIFLLKRNADVNAINRKGQTPLDVVESDVSNSGALQILPAIQDAGGKRGDQVPPTSTEIQQITPFESNNPIIPSSSSKKVLDSPNHHHRRKQRRRREKQLEIQSEGLRNARNTIILVAVLIATVTFAAGINPPGGFDQKTGECLKGKRTSFKVFMVCNNAALFLSLGIVIFLVSIIPFRRKAMTKLLAVTHRIMWVSMSFMAAAYMAAMWTTLPHGRGWGAVWLLMSIVAIGGGCTIGIFMGLGILLTKHLLRKREWRRNNKQKRKNESPSSSISRLEEMKIIKRGNSDSTSNSDVDSSETGFHLY >Manes.03G053200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5148284:5155125:1 gene:Manes.03G053200.v8.1 transcript:Manes.03G053200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKGKEVPFFDLNLPVQESLTGLGFQDSISFGFPQKVTEFNRLFGDNGSGSSFGGDLSRVETDPDVQMTSYPSVRRRYSIEEKAKAKMDDGKGNFDFDFDIDIDLDLNLSFGAFGTCPIETQIENIDVIDVSSCSSDEPVMLNTEPVEQNPLMEERLLPAEAMELEQESRHAFVSVIEEETRINEEAERQRSIARIVAKRFAHPKQQQQQQQGTSDPEMTSQLSEVDSQSPFSLAMEAIKKRNSRHNVHKKSLYGIFEPPFKWVPANNRDHNVFKRYVPKLLDICLDFIAKNADKIVSLENIPDNLKHKLSQMVSCSRKVDARFVELLAENSPTEIRVWDTSRLTEEEFSKIFSACDTKNLTVLQLDLCGLCMPDYVLYTTLARQSYTLSKLATLSLRGAHRLSDSGLSALAASAPVLQSINLSQCSLLTSSSINDLASHFESTLRELYLDDCQNIDAMLILPALKKFKHLEVLSVAGIRTVRDGFVIAMAETCGMNMKELVFANCVELSDISLKSVGKRCPNLCALDLSHLHNLTDKALQCLANGCQSIRKLKLCRNDFRFYICATVSYPF >Manes.03G053200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5147918:5155125:1 gene:Manes.03G053200.v8.1 transcript:Manes.03G053200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKGKEVPFFDLNLPVQESLTGLGFQDSISFGFPQKVTEFNRLFGDNGSGSSFGGDLSRVETDPDVQMTSYPSVRRRYSIEEKAKAKMDDEAMELEQESRHAFVSVIEEETRINEEAERQRSIARIVAKRFAHPKQQQQQQQGTSDPEMTSQLSEVDSQSPFSLAMEAIKKRNSRHNVHKKSLYGIFEPPFKWVPANNRDHNVFKRYVPKLLDICLDFIAKNADKIVSLENIPDNLKHKLSQMVSCSRKVDARFVELLAENSPTEIRVWDTSRLTEEEFSKIFSACDTKNLTVLQLDLCGLCMPDYVLYTTLARQSYTLSKLATLSLRGAHRLSDSGLSALAASAPVLQSINLSQCSLLTSSSINDLASHFESTLRELYLDDCQNIDAMLILPALKKFKHLEVLSVAGIRTVRDGFVIAMAETCGMNMKELVFANCVELSDISLKSVGKRCPNLCALDLSHLHNLTDKALQCLANGCQSIRKLKLCRNDFSDEAIAAFLEACGESINVLSLNNISRVENQTALSIAKCSRNLLSLDLSWCRKLTNEALGLIVDSCSSLKVLKLFGCSQVTDVFLNGHSNSLVHIIGCKMTPVLEHLDSFGPQETPLRYSPLMGVADQQSV >Manes.03G053200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5147918:5155125:1 gene:Manes.03G053200.v8.1 transcript:Manes.03G053200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKGKEVPFFDLNLPVQESLTGLGFQDSISFGFPQKVTEFNRLFGDNGSGSSFGGDLSRVETDPDVQMTSYPSVRRRYSIEEKAKAKMDDGKGNFDFDFDIDIDLDLNLSFGAFGTCPIETQIENIDVIDVSSCSSDEPVMLNTEPVEQNPLMEERLLPAEAMELEQESRHAFVSVIEEETRINEEAERQRSIARIVAKRFAHPKQQQQQQQGTSDPEMTSQLSEVDSQSPFSLAMEAIKKRNSRHNVHKKSLYGIFEPPFKWVPANNRDHNVFKRYVPKLLDICLDFIAKNADKIVSLENIPDNLKHKLSQMVSCSRKVDARFVELLAENSPTEIRVWDTSRLTEEEFSKIFSACDTKNLTVLQLDLCGLCMPDYVLYTTLARQSYTLSKLATLSLRGAHRLSDSGLSALAASAPVLQSINLSQCSLLTSSSINDLASHFESTLRELYLDDCQNIDAMLILPALKKFKHLEVLSVAGIRTVRDGFVIAMAETCGMNMKELVFANCVELSDISLKSVGKRCPNLCALDLSHLHNLTDKALQCLANGCQSIRKLKLCRNDFSDEAIAAFLEACGESINVLSLNNISRVENQTALSIAKCSRNLLSLDLSWCRKLTNEALGLIVDSCSSLKVLKLFGCSQVTDVFLNGHSNSLVHIIGCKMTPVLEHLDSFGPQETPLRYSPLMGVADQQSV >Manes.03G053200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5147918:5155125:1 gene:Manes.03G053200.v8.1 transcript:Manes.03G053200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKGKEVPFFDLNLPVQESLTGLGFQDSISFGFPQKVTEFNRLFGDNGSGSSFGGDLSRVETDPDVQMTSYPSVRRRYSIEEKAKAKMDDGKGNFDFDFDIDIDLDLNLSFGAFGTCPIETQIENIDVIDVSSCSSDEPVMLNTEPVEQNPLMEERLLPAEAMELEQESRHAFVSVIEEETRINEEAERQRSIARIVAKRFAHPKQQQQQQQGTSDPEMTSQLSEVDSQSPFSLAMEAIKKRNSRHNVHKKSLYGIFEPPFKWVPANNRDHNVFKRYVPKLLDICLDFIAKNADKIVSLENIPDNLKHKLSQMVSCSRKVDARFVELLAENSPTEIRVWDTSRLTEEEFSKIFSACDTKNLTVLQLDLCGLCMPDYVLYTTLARQSYTLSKLATLSLRGAHRLSDSGLSALAASAPVLQSINLSQCSLLTSSSINDLASHFESTLRELYLDDCQNIDAMLILPALKKFKHLEVLSVAGIRTVRDGFVIAMAETCGMNMKELVFANCVELSDISLKSVGKRCPNLCALDLSHLHNLTDKALQCLANGCQSIRKLKLCRNDFSDEAIAAFLEACGESINVLSLNNISRVENQTALSIAKCSRNLLSLDLSWCRKLTNEALGLIVDSCSSLKVLKLFGCSQVTDVFLNGHSNSLVHIIGCKMTPVLEHLDSFGPQETPLRYSPLMGVADQQSV >Manes.03G053200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5148284:5155125:1 gene:Manes.03G053200.v8.1 transcript:Manes.03G053200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKGKEVPFFDLNLPVQESLTGLGFQDSISFGFPQKVTEFNRLFGDNGSGSSFGGDLSRVETDPDVQMTSYPSVRRRYSIEEKAKAKMDDGKGNFDFDFDIDIDLDLNLSFGAFGTCPIETQIENIDVIDVSSCSSDEPVMLNTEPVEQNPLMEERLLPAEAMELEQESRHAFVSVIEEETRINEEAERQRSIARIVAKRFAHPKQQQQQQQGTSDPEMTSQLSEVDSQSPFSLAMEAIKKRNSRHNVHKKSLYGIFEPPFKWVPANNRDHNVFKRYVPKLLDICLDFIAKNADKIVSLENIPDNLKHKLSQMVSCSRKVDARFVELLAENSPTEIRVWDTSRLTEEEFSKIFSACDTKNLTVLQLDLCGLCMPDYVLYTTLARQSYTLSKLATLSLRGAHRLSDSGLSALAASAPVLQSINLSQCSLLTSSSINDLASHFESTLRELYLDDCQNIDAMLILPALKKFKHLEVLSVAGIRTVRDGFVIAMAETCGMNMKELVFANCVELSDISLKSVGKRCPNLCALDLSHLHNLTDKALQCLANGCQSIRKLKLCRNDFSDEAIAAFLEACGESINVLSLNNISRVENQTALSIAKCSRNLLSLDLSWCRKLTNEALGLIVDSCSSLKVLKLFGCSQVTDVFLNGHSNSLVHIIGCKMTPVLEHLDSFGPQETPLRYSPLMGVADQQSV >Manes.03G053200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5148284:5155125:1 gene:Manes.03G053200.v8.1 transcript:Manes.03G053200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKGKEVPFFDLNLPVQESLTGLGFQDSISFGFPQKVTEFNRLFGDNGSGSSFGGDLSRVETDPDVQMTSYPSVRRRYSIEEKAKAKMDDEAMELEQESRHAFVSVIEEETRINEEAERQRSIARIVAKRFAHPKQQQQQQQGTSDPEMTSQLSEVDSQSPFSLAMEAIKKRNSRHNVHKKSLYGIFEPPFKWVPANNRDHNVFKRYVPKLLDICLDFIAKNADKIVSLENIPDNLKHKLSQMVSCSRKVDARFVELLAENSPTEIRVWDTSRLTEEEFSKIFSACDTKNLTVLQLDLCGLCMPDYVLYTTLARQSYTLSKLATLSLRGAHRLSDSGLSALAASAPVLQSINLSQCSLLTSSSINDLASHFESTLRELYLDDCQNIDAMLILPALKKFKHLEVLSVAGIRTVRDGFVIAMAETCGMNMKELVFANCVELSDISLKSVGKRCPNLCALDLSHLHNLTDKALQCLANGCQSIRKLKLCRNDFSDEAIAAFLEACGESINVLSLNNISRVENQTALSIAKCSRNLLSLDLSWCRKLTNEALGLIVDSCSSLKVLKLFGCSQVTDVFLNGHSNSLVHIIGCKMTPVLEHLDSFGPQETPLRYSPLMGVADQQSV >Manes.01G251500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41007217:41007957:1 gene:Manes.01G251500.v8.1 transcript:Manes.01G251500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEPLAIESFSYSWLTSVSSPLDGLEELLRASFDSSHEATAEDLGYQMPKPKRSLEEVQNFNFDVPSSPYPDALVDADQLFSEGLIKPVFVGQSKIEASSSLDLLPKMQSSFPSSAVIPAVHIRCCNFERWRKSSKRILQNCFGYLRPLCHKIPGSRRSTRVDDIDRRARQVKSWSKSPRASPGITYSSTDCCDIENSIYEAVLHCKRSIAK >Manes.15G188200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31310625:31325096:-1 gene:Manes.15G188200.v8.1 transcript:Manes.15G188200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLATAYFLGLKRTYRLALRIQRRLVSPRRPKIRQFLHRRTRTVFDAALKVHQNIQKRDIEVGRNLGNWILRWLDRMKPSAQIRSPSPMKPSNGANSNVNMKRQATGPGSIQASRNQDSSRHLFTASRNTWTKTLPTISMMMRPPRPTGTVTQYRHLCIRGPEMASANYIGGVRGFEGVVRMDIMQYLLQK >Manes.14G050800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4352120:4355048:1 gene:Manes.14G050800.v8.1 transcript:Manes.14G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEYAFRAKEIAEKKFIERDIAGAKRFAMKAQNLFPGLDGLSQFLATLDVYISAERRTNGEIDCYGILGVDPLADEETIRKHYRKLALILHPDKNKSVGAEGAFKILSEAWSLLSDKAKRIAYDQKQILCDMYRSDPNLKSSTPAGKNGSHYFFSNNKLHTTYQNSALHPKPAPPPHFSMTRTFWTICSFCRAQFEYSRTFVHHTLLCHNCKRPFFAVEVPPPPIVCNGPFPTWSSHMQGLNSTLQTRTKNPYASGMKPVSSTTLRPVVQAGTFGKVGSVESVPSAAPATAGRGEYISKGDRMKKKRRLDEYRMANQMADRNGGDGTDKGSFETGKTNIAGFRTRELSQQELRNMLVEKAKKDIRLRLMECSIPYAVSKISEKEDNKEKGKQKAPLNSMNTDGNKCSEFLNTKTRAQTDSSLANSNDDLDTKGSNASLTMTVPDPDFHDFDDDRTEKSFGDNQVWAAYDDDDGMPRYYAMIHRVISRKPFRMQISWLNSKSNRELGPLNWIGSGFYKTSGVFWIGKHEFNQSINSFSHKVNWEKGTRGTIQIYPRKGDVWALYRNWSPDWNELTPDEVIHNYDMVEVLEDYNKERGQEGLNAPKGCLELDPASMPLELLTVLAEAKEEEVEESVQKAKDPLGKMKKSEEEQLVEDGETKEKNLVKDAAKEDVAEVKRDEGKETKMEKLMVYKRRRQRN >Manes.05G121500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20445918:20448741:-1 gene:Manes.05G121500.v8.1 transcript:Manes.05G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSNKQVVLKNYVSGYPKESDMQIVTSSIKLHIPPDTQNAVLVKNLYLSCDPYMRGRMAENGAASYLSSFQPGEPLSGYGAVKILDSTHPNYKKGDLAWGFTGWEEYTLQVSPQLLIRIEQTDLPLSYYTGILGMTGLTAYAGFYEVCSPKKGEYVYVSAASGAVGQLVGQLAKLFGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEPDLDAALKRYFPEGVDIYFENVGGKMLDAVLPNMRIRGRIAVCGMISQYNLDKPEGVHNLPNIIWKRLRLEGFIVSDSYHLYPKYLETVIPYIKQGKIVYVEDVAEGLESGPASLIGLFTGRNIGKQLVVVSRE >Manes.16G026200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2753753:2756835:-1 gene:Manes.16G026200.v8.1 transcript:Manes.16G026200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHQWAQPCGNQCTHKYAALTQIPWRVFCKKGCDADGETWEECLEECNEICYKDPVLKDQQWSAYIDRSPGAAGYSEECFHACASGCGYKFEIEQKKVDEARPNRPCKPPPSVKAAPPVQKPSPLTSKPGEPTEDIPCTSA >Manes.02G014600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1400868:1401587:1 gene:Manes.02G014600.v8.1 transcript:Manes.02G014600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARNCKIFSLIFMFLAVSSAYAGKKKRHYKPCKEFVMYFHDNLYDGTNGANATSAIVAAPAGANLTTLAPQFHFGNIVVFDDPITLDDNFHSPPVGRAQGLYLYDDKTTFTAWLAFSFVLNSTDYQGTINFVGADPTLVAVRDISIVGGTGDFFMHRGIATVSSDAFIPPAYFRVKMDIKFYDCW >Manes.07G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33891387:33893275:1 gene:Manes.07G136800.v8.1 transcript:Manes.07G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCGEWAFGVPSVSVVGDGYCFPYPMELIVKKKIKKLSSAQFEVFDLSGNLLLQVDGGVWNLKMKRILRDPAGFPILTMRGKALTFWHKWKAYEGENAEKNNILFSVQQSHPLQIKKELNVFLANFKKKIPDFHVTGSYSSLSFKVFKGHRLLAEAKHNFTMESFCKGKEKYRVKVYPEVDYAFIVALLVILDENDTL >Manes.16G137000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33769131:33769454:-1 gene:Manes.16G137000.v8.1 transcript:Manes.16G137000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHKSAPRWWLHGFIKLKIPWISFFLTMVALNLQERWLYVVSRWFIIQNWISLFYSRQWLFRFVWLWLKICSAEFFSIMVACCDCIIVVLTVIILLFTWLIGAVSM >Manes.15G106600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8468172:8470233:1 gene:Manes.15G106600.v8.1 transcript:Manes.15G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPPNMPEELPITTAPTTTATTKLSGKLTLVPLIFLIYFEVAGGPYGEEPAVKAAGPLYALLGFLIFPFIWSIPEALITAELSTAYPGNGGFVIWADRAFGPFFGSLMGAWKFLSGVINIAAFPVLCIDYMERVLPVLDSGWPRKIAILIFTLILSFLNYTGLAVVGYVAVVLGVVSLSPFVIMSLIAIPKIHPPRWVSLGQKGVKKDWTLFFNTLFWNLNFWDSVSTLAGEVDKPQKTFPMALFIAVIFTCVSYLIPLFAVTGAVSVNQSEWESGFHATAAEIIAGKWLKYWIEVGAVLSAIGLFEAQLSSCAYQLLGMVDLGFLPTFFGKRSKLFNTPWVGILLSTSITIGVSYMDFTDIISSANFLYSLGMLLEFASFIWLRKKLPELKRPYRIPLRLPGLVILCLIPSGFLVLIMVVATKTVFLVSGLMTVGAIGWYFLTKFCKSRKLFKYSNGEAVEG >Manes.11G087600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14014904:14016551:1 gene:Manes.11G087600.v8.1 transcript:Manes.11G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSATYSDAATTATVAAESLLQEDLVFLDEDVNDLSYWELINPSDADSDSESLHSLENGFLSLYSLKPSSQPKSPIINQEIQTLEPCQVQGLVDDVNFQDDDVKYSPDGEYNRNQREVGPVMFSGVAHGLADADADKEEDDDDDDDEDGYGLDDELVPWHVTGKLGRQRMRKLGKRVFAKMVNSKRNPYLHVKPGCVRGKHGLGIKA >Manes.02G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11994705:12001579:1 gene:Manes.02G154300.v8.1 transcript:Manes.02G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIISKVRSFDAFPKINEDFYSRTLSGGIITIASSIVMFLLFFSELRLYLHAVTETKLVVDTSRGETLRINFDITFPALPCSILSLDAMDISGEQHLDVKHDIFKKRLDSQGNVIEARQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEASDEDCCNSCEEVREAYRRKGWAMSNPDVIDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHQINRLSFGEYFPGVVNPLDGVHWTQETPGGMYQYFIKVVPTVYTDISGNTIQSNQFSVTEHFSGAQGGFHQSLPGVFFFYDLSPIKVTFTEEHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIGKFS >Manes.02G154300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11994826:12001579:1 gene:Manes.02G154300.v8.1 transcript:Manes.02G154300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIISKVRSFDAFPKINEDFYSRTLSGGIITIASSIVMFLLFFSELRLYLHAVTETKLVVDTSRGETLRINFDITFPALPCSILSLDAMDISGEQHLDVKHDIFKKRLDSQGNVIEARQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEASDEDCCNSCEEVREAYRRKGWAMSNPDVIDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHQINRLSFGEYFPGVVNPLDGVHWTQETPGGMYQYFIKVVPTVYTDISGNTIQSNQFSVTEHFSGAQGGFHQSLPGVFFFYDLSPIKVTFTEEHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIGKFS >Manes.01G218700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38767898:38769241:1 gene:Manes.01G218700.v8.1 transcript:Manes.01G218700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEALIAYRSLLRATRKSFAGDSVMLNASASEVRKKFEENRHVTSQTEIQRLLEEAREASDFISTMIVQAKLNERGGYEMKLGQEHAGATLDVPSEEILKKSV >Manes.18G059100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5244398:5247855:-1 gene:Manes.18G059100.v8.1 transcript:Manes.18G059100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENSNRAEAERLLGIAEKLLQSRDFNGTRDFAVLAQETDSLLEGSDQILAVADVLLSSEKRINNHHDWYAVLQIDRRSDDQDLLKKQYRRLALLLHPDKNKFPLADQAFKLVADAWAVLSDSGKKSLYDNELRLFSRVDLSNSGKLPVRRSQRPAAKKDAGESVKTSANSSSVDRSQKMKLSSFWTACPYCYILYEYPQVYQDCCLRCQNCERAFHAALISSLPPLVPGRDAYYCCWGFFPLGFMFGNSESGGKNIGSGSGPGPVSGFPNWMPPVFSTGQQVGDRNGGTSMADTQPVFAPVQQVSDKNGNVSVDAAPVRTGIARGGGRVHISGGNATGFATKKRGRPRKYPLASV >Manes.08G056500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6268604:6271856:1 gene:Manes.08G056500.v8.1 transcript:Manes.08G056500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDSSGSRINPVGSPGSRPSVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLATMVEKSSIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSLMPHHHHHHHHHHDLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAALVISREEGSVNAPTSTPIYPPMSEEHNSSSNSSNLANLNLGSRLVYLNLGATGSGQMGPRMEGDDDNSHNSHRDAMSRHDPTMYHHCREF >Manes.08G056500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6268531:6272723:1 gene:Manes.08G056500.v8.1 transcript:Manes.08G056500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDSSGSRINPVGSPGSRPSVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLATMVEKSSIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSLMPHHHHHHHHHHDLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAALVISREEGSVNAPTSTPIYPPMSEEHNSSSNSSNLANLNLGSRLVYLNLGATGSGQMGPRMEGDDDNSHNSHRDAMSRHDPTMYHHCREF >Manes.08G056500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6268603:6271856:1 gene:Manes.08G056500.v8.1 transcript:Manes.08G056500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDSSGSRINPVGSPGSRPSVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLATMVEKSSIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSLMPHHHHHHHHHHDLTAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNGAVPGLAHIEPNKLRLCLELVQSAALVISREEGSVNAPTSTPIYPPMSEEHNSSSNSSNLANLNLGSRLVYLNLGATGSGQMGPRMEGDDDNSHNSHRDAMSRHDPTMYHHCREF >Manes.02G005600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:630342:630593:-1 gene:Manes.02G005600.v8.1 transcript:Manes.02G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNNAPTNTTAIVSMENLNQVANWVSATVISAFFSSLERFSCVNVATNDPDDDDNEDEAKVRPLTLSNNSHQGDDVSDLPV >Manes.14G007800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:409514:412630:1 gene:Manes.14G007800.v8.1 transcript:Manes.14G007800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSKTKTYLTTAPAKAFTRFLSLRSQSNTPSHPYHTDLSDDSSTDPLLRKLEDAIHRILVRRAAPDWLPFLPGSSYWVPPPRSTAGSLGIAQLVEKLANPLTDEESLSMTTLRGALPYSMELNLTSNKEVEVEVEAASNNASKSEDEEG >Manes.14G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:409514:412630:1 gene:Manes.14G007800.v8.1 transcript:Manes.14G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSKTKTYLTTAPAKAFTRFLSLRSQSNTPSHPYHTDLSDDSSTDPLLRKLEDAIHRILVRRAAPDWLPFLPGSSYWVPPPRSTAGSLGIAQLVEKLANPLTDEESLSMTTLRGWPSSDYFIKGALPYSMELNLTSNKEVEVEVEAASNNASKSEDEEG >Manes.14G007800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:409627:410857:1 gene:Manes.14G007800.v8.1 transcript:Manes.14G007800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSKTKTYLTTAPAKAFTRFLSLRSQSNTPSHPYHTDLSDDSSTDPLLRKLEDAIHRILVRRAAPDWLPFLPGSSYWVPPPRSTAGSLGIAQLVEKLANPLTDEESLSMTTLRGALPYSMELNLTSNKEVEVEVEAASNNASKSEDEEG >Manes.14G007800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:409514:412630:1 gene:Manes.14G007800.v8.1 transcript:Manes.14G007800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSKTKTYLTTAPAKAFTRFLSLRSQSNTPSHPYHTDLSDDSSTDPLLRKLEDAIHRILVRRAAPDWLPFLPGSSYWVPPPRSTAGSLGIAQLVEKLANPLTDEESLSMTTLRGWPSSDYFIKGALPYSMELNLTSNKEVEVEVEAASNNASKSEDEEG >Manes.16G067000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26644314:26646360:1 gene:Manes.16G067000.v8.1 transcript:Manes.16G067000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPIIYIDHAPRRPTPLTPSHRCTAHHPLANMQSLPEKASQWSGVDRAEAFAIDTTNLFQKLGLQTFINLSTNFYNRVYDDEEEWFRSIFANSNKEEAIQNHYEFFSQRMGGPPLYSKRKGHPGLIGRHRPFPVTHQAAERWLLHMQKALDSTPDIDEDSNIKMMNFFKHTAFFLVAGDELKNQNQQIPCKHAANKPT >Manes.03G025700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2086473:2091515:1 gene:Manes.03G025700.v8.1 transcript:Manes.03G025700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFHRLILPGFRKSSTINNRIISYHLNHNNVFHTIHSNFQSLKAPSASPHLTQDPAQAIATHLSNCTSLQELNQIYAHVIHTQMLDFYPAPFHWNNIIRSYTRLDAPTQALKVYISMSRANVSPDCYTLPIILKAACQLFAIEIGRQLHSVAIRLGLESNEYCESGLISLYAKSGEFKNAYKLFEGNHERKLGSWNAIMGGLGQGGRAKEVIEMFLEMRKCGLEPDDVTMVSVTSACGSLGDLDLAFQLHKYVFHAMSFGQSDILMLNSLVDMYGKCGRMDLAGRVFSRIDQKNVSSWTSMIVGYANHGHVNEALKCFHYMLEANVRPNHVTFVGVLSACVHGGKVQEGRYYFDMMRKVYGIIPQLQHYGCMVDLLARVELLEEAREIVEGMPMKPNVVIWGCLMGACEKYGNVKMGEWVAKHLQELEPWNDGVYVVLSNIYASRGLWREVERIRGCMKHQRLAKIPAYSLATS >Manes.17G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26339130:26340878:1 gene:Manes.17G063800.v8.1 transcript:Manes.17G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQAKLGTSLMVPSVKEIAKEQLVAIPPRYIRHDHDSSPVAHTSSSPQVPVIDMANLSASQELMALELHKFHYACKDWGFFQVVNHGVSCALLEKVKLHTEKFFDLPMEEKMKFWQKAGELEGFGQHFVASEEQKLEWADLFYVVTLPTHLRKPHLFSNFPPSFRVALEAYSEEVQRIANKIFELVAKTLGMKPDEMKDLAEEGWQAMRMNYYPPCPEPDLVIGLKPHSDATGLTILHQLNDVEGLQIKKDGMWIPVKPIPNAFIINIGDMLEIVTNGTYRSIEHRATISSSKERLSIATFHNPKLDGELGVAGSLVTPETPPVFKRMCVSDYFKGYFSRELHGKSFIEVLRIPNHQAQPN >Manes.02G123200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9370821:9373470:1 gene:Manes.02G123200.v8.1 transcript:Manes.02G123200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFEPFRLQIILQVKIHSIPLTRSLPEIPFGPFNSFVQKRWRKPADTAQTRLENRTRDSKLDKLTTDLKKLKNIIKIHALMSSRKRGPYVSIQLMSRWKNIIGLNLSVGAFVQKYPHVFEVFKHPVRRNLCCRIAKKLSDLISEEENIIKDCELESVRRVKKLLLMSRSGILHVHALGLIRRELGLPEDFRDSILRKYKDHFRLVDLETVELVNRAEDLGIAEIEKWREKEYKEKWLSEFEIKFAFPINFPTGFKIEGGLREKMKNWQRLPYLKPYETKEAVKVRSCGGVERYEKQAIAIIHEFLSLTVEKMVEVERLAHFRKDFGMLVNVRELLLKHPGIFYISTKGGAHTLFLREAYVKGCLISPNSISIVRRKMLDLVLLGSRNTRELQPQEEVKKESNTLVSLAHDGGTASGNWIIPILESLDSGSDNDIIATAEEGFDG >Manes.03G165300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29165422:29167094:-1 gene:Manes.03G165300.v8.1 transcript:Manes.03G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDKAKAKEGGEVKYRGVRRRPWGKFAAEIRDSTRHGARIWLGTFNTAEEAARAYDRAAYAMRGHLAILNFPNEYPLTSSGGSSSGYGSTTSSAPSSSSSSSSLSSSPSMQNQVIELEYLDDRLLEEMLEQEEHRSNKK >Manes.12G142600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34846533:34851848:-1 gene:Manes.12G142600.v8.1 transcript:Manes.12G142600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLILMASSLSNPSCYHHHHHHHSFRVRASSAAPRVDLNTLQSAIAKKDSDAVKEALDQLREDGWAQKWSSQPYVSRRTTSVRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAVGSISPGLLQAAISGFSSFFPDYQDRIARHEGAHFLIAYLLGIPILDYSLDIGKEHVNLIDKSLEKLIYSGQLDTKDLDRLAVVAMAGLAAEGLQYDKVVGQSADLFTLQRFINRSKPQLSKDQQLNLTRWAVLFAGSLLKNNKVLHEALMKAMSNKMTVLECIEAIEKAA >Manes.12G142600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34846533:34851203:-1 gene:Manes.12G142600.v8.1 transcript:Manes.12G142600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLILMASSLSNPSCYHHHHHHHSFRVRASSAAPRVDLNTLQSAIAKKDSDAVKEALDQLREDGWAQKWSSQPYVSRRTTSVRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAVGSISPGLLQAAISGFSSFFPDYQDRIARHEGAHFLIAYLLGIPILDYSLDIGKEHVNLIDKSLEKLIYSGQLDTKDLDRLAVVAMAGLAAEGLQYDKVVGQSADLFTLQRFINRSKPQLSKDQQLNLTRWAVLFAGSLLKNNKVLHEALMKAMSNKMTVLECIEAIEKAA >Manes.13G055100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6381726:6385887:1 gene:Manes.13G055100.v8.1 transcript:Manes.13G055100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHFRCAASARKMVSFLPWVPRRLFSAEVSKTHQHHRNWTIKQVTKSNFSETLDGIKSHISTSDFIAVSLQKTGSFSAPWHRVSPFDTLDTAYLKAKHAAERFQVLQFAVCPFTITGSEVTAYPYNFHLFPRDELKMGMPSYSFSCQTSHLISMAREGFDFNACIYDGISYLSRAQESAAKVFMGNPISANNMVESIATPSVADTVFIQRTRSRVKHWKNTFTDSTTMTHDALVRSLRKLVLGSEECHSRPCMNIDVCSERQVQLMLQEFNDDLVPLIIPAKRGGTQLVRVVLTSSEEDKELLQRELQNDEEVQTKRIRGFREVIDLISASQKPVVSHNSLNDFTFIHSKFLTPLPTNMEEFTSSLRLAFPQVIDVNHLMKEISPLRKVRNIPMATSYLKNLFFAPVDVEIPFQAWANEGKIHGHNVVRICQLFAKLCYILKLAPNSVESNDKNLAEALKSYANIFNPYSSVPQEPIDEDIKIWTNSTIKVSCEDLVFLWGFRDMTAGTLKRLLQESHKVFSEEFDVRLVDKSCAIVVFRQPGLSKTFMDVLNDDSDIVGPLREMVSEGVRAAGYETYNRACSLGLWDAYLGDALDKAMAGLDYPLEADSKPKSPGTYWCNEWVIDLDEL >Manes.13G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6381726:6385887:1 gene:Manes.13G055100.v8.1 transcript:Manes.13G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHFRCAASARKMVSFLPWVPRRLFSAEVSKTHQHHRNWTIKQVTKSNFSETLDGIKSHISTSDFIAVSLQKTGSFSAPWHRVSPFDTLDTAYLKAKHAAERFQVLQFAVCPFTITGSEVTAYPYNFHLFPRDELKMGMPSYSFSCQTSHLISMAREGFDFNACIYDGISYLSRAQESAAKVFMGNPISANNMVESIATPSVADTVFIQRTRSRVKHWKNTFTDSTTMTHVSDALVRSLRKLVLGSEECHSRPCMNIDVCSERQVQLVIEMLQEFNDDLVPLIIPAKRGGTQLVRVVLTSSEEDKELLQRELQNDEEVQTKRIRGFREVIDLISASQKPVVSHNSLNDFTFIHSKFLTPLPTNMEEFTSSLRLAFPQVIDVNHLMKEISPLRKVRNIPMATSYLKNLFFAPVDVEIPFQAWANEGKIHGHNVVRICQLFAKLCYILKLAPNSVESNDKNLAEALKSYANIFNPYSSVPQEPIDEDIKIWTNSTIKVSCEDLVFLWGFRDMTAGTLKRLLQESHKVFSEEFDVRLVDKSCAIVVFRQPGLSKTFMDVLNDDSDIVGPLREMVSEGVRAAGYETYNRACSLGLWDAYLGDALDKAMAGLDYPLEADSKPKSPGTYWCNEWVIDLDEL >Manes.13G055100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6381726:6385887:1 gene:Manes.13G055100.v8.1 transcript:Manes.13G055100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHFRCAASARKMVSFLPWVPRRLFSAEVSKTHQHHRNWTIKQVTKSNFSETLDGIKSHISTSDFIAVSLQKTGSFSAPWHRVSPFDTLDTAYLKAKHAAERFQVLQFAVCPFTITGSEVTAYPYNFHLFPRDELKMGMPSYSFSCQTSHLISMAREGFDFNACIYDGISYLSRAQESAAKVFMGNPISANNMVESIATPSVADTVFIQRTRSRVKHWKNTFTDSTTMTHVSDALVRSLRKLVLGSEECHSRPCMNIDVCSERQVQLMLQEFNDDLVPLIIPAKRGGTQLVRVVLTSSEEDKELLQRELQNDEEVQTKRIRGFREVIDLISASQKPVVSHNSLNDFTFIHSKFLTPLPTNMEEFTSSLRLAFPQVIDVNHLMKEISPLRKVRNIPMATSYLKNLFFAPVDVEIPFQAWANEGKIHGHNVVRICQLFAKLCYILKLAPNSVESNDKNLAEALKSYANIFNPYSSVPQEPIDEDIKIWTNSTIKVSCEDLVFLWGFRDMTAGTLKRLLQESHKVFSEEFDVRLVDKSCAIVVFRQPGLSKTFMDVLNDDSDIVGPLREMVSEGVRAAGYETYNRACSLGLWDAYLGDALDKAMAGLDYPLEADSKPKSPGTYWCNEWVIDLDEL >Manes.13G055100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6381726:6385887:1 gene:Manes.13G055100.v8.1 transcript:Manes.13G055100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPISANNMVESIATPSVADTVFIQRTRSRVKHWKNTFTDSTTMTHDALVRSLRKLVLGSEECHSRPCMNIDVCSERQVQLVIEMLQEFNDDLVPLIIPAKRGGTQLVRVVLTSSEEDKELLQRELQNDEEVQTKRIRGFREVIDLISASQKPVVSHNSLNDFTFIHSKFLTPLPTNMEEFTSSLRLAFPQVIDVNHLMKEISPLRKVRNIPMATSYLKNLFFAPVDVEIPFQAWANEGKIHGHNVVRICQLFAKLCYILKLAPNSVESNDKNLAEALKSYANIFNPYSSVPQEPIDEDIKIWTNSTIKVSCEDLVFLWGFRDMTAGTLKRLLQESHKVFSEEFDVRLVDKSCAIVVFRQPGLSKTFMDVLNDDSDIVGPLREMVSEGVRAAGYETYNRACSLGLWDAYLGDALDKAMAGLDYPLEADSKPKSPGTYWCNEWVIDLDEL >Manes.13G055100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6381726:6385887:1 gene:Manes.13G055100.v8.1 transcript:Manes.13G055100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRHFRCAASARKMVSFLPWVPRRLFSAEVSKTHQHHRNWTIKQVTKSNFSETLDGIKSHISTSDFIAVSLQKTGSFSAPWHRVSPFDTLDTAYLKAKHAAERFQVLQFAVCPFTITGSEVTAYPYNFHLFPRDELKMGMPSYSFSCQTSHLISMAREGFDFNACIYDGISYLSRAQESAAKVFMGNPISANNMVESIATPSVADTVFIQRTRSRVKHWKNTFTDSTTMTHDALVRSLRKLVLGSEECHSRPCMNIDVCSERQVQLVIEMLQEFNDDLVPLIIPAKRGGTQLVRVVLTSSEEDKELLQRELQNDEEVQTKRIRGFREVIDLISASQKPVVSHNSLNDFTFIHSKFLTPLPTNMEEFTSSLRLAFPQVIDVNHLMKEISPLRKVRNIPMATSYLKNLFFAPVDVEIPFQAWANEGKIHGHNVVRICQLFAKLCYILKLAPNSVESNDKNLAEALKSYANIFNPYSSVPQEPIDEDIKIWTNSTIKVSCEDLVFLWGFRDMTAGTLKRLLQESHKVFSEEFDVRLVDKSCAIVVFRQPGLSKTFMDVLNDDSDIVGPLREMVSEGVRAAGYETYNRACSLGLWDAYLGDALDKAMAGLDYPLEADSKPKSPGTYWCNEWVIDLDEL >Manes.13G055100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6381726:6385887:1 gene:Manes.13G055100.v8.1 transcript:Manes.13G055100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPISANNMVESIATPSVADTVFIQRTRSRVKHWKNTFTDSTTMTHVSDALVRSLRKLVLGSEECHSRPCMNIDVCSERQVQLVIEMLQEFNDDLVPLIIPAKRGGTQLVRVVLTSSEEDKELLQRELQNDEEVQTKRIRGFREVIDLISASQKPVVSHNSLNDFTFIHSKFLTPLPTNMEEFTSSLRLAFPQVIDVNHLMKEISPLRKVRNIPMATSYLKNLFFAPVDVEIPFQAWANEGKIHGHNVVRICQLFAKLCYILKLAPNSVESNDKNLAEALKSYANIFNPYSSVPQEPIDEDIKIWTNSTIKVSCEDLVFLWGFRDMTAGTLKRLLQESHKVFSEEFDVRLVDKSCAIVVFRQPGLSKTFMDVLNDDSDIVGPLREMVSEGVRAAGYETYNRACSLGLWDAYLGDALDKAMAGLDYPLEADSKPKSPGTYWCNEWVIDLDEL >Manes.03G170500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29577222:29579737:1 gene:Manes.03G170500.v8.1 transcript:Manes.03G170500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGTSKGILEIAKFGVYVTVPIFLMYTFANNTKNIQKFMGNRSYIVYPPEGPRPPSPEELREMARELARERNKRKYG >Manes.12G040800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3577681:3586770:1 gene:Manes.12G040800.v8.1 transcript:Manes.12G040800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPSLMSLGMEAIKRQLLWGDDVLPDIYELPSHIFDSLVTELPPLALHKLEAEMPYKNWDDYECTDGCPEIGTKRGRSGNFSKAWKLLFKQRWPQLVDHPEPVNWQQIYWQTHLQNCLDEAAALASIPSFDGCIGKMKVSDNIMICIGCGGHLNHSIYSMLSYHFEQFGHYARFLRLPNVLCVAETCKLLRNSKLQSLALRWIRSKEHVDGLCQLLIQNIETLTSLEFIHCKLSSTFVNAICGCLEIKGKETHIIQNFSIRTSNFLENNAVSFPQSFVSFLSSGRSLCSLRFCDNNLDRYFAQMLFTILINASSSISTLDLSDNSIAGWLSNFNRGSSSRLPSSLGTFKSLQSLHELNLRGNNLHKYDVESLRNALFLMPNLEVLDLSDNPIEDEGIRCLIPYFVEAPERCSPLAVLNLEDCELSWNGVTQLLDTLSTLKRPLRSLTLADNGLGSSWIFGKVFGHIYY >Manes.12G040800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3577681:3586770:1 gene:Manes.12G040800.v8.1 transcript:Manes.12G040800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSVLMVARKLELSVEEVVTSVKHGSCYLSSAGLSLLTILSQLTGSRSIGKHICKTAALASIPSFDGCIGKMKVSDNIMICIGCGGHLNHSIYSMLSYHFEQFGHYARFLRLPNVLCVAETCKLLRNSKLQSLALRWIRSKEHVDGLCQLLIQNIETLTSLEFIHCKLSSTFVNAICGCLEIKGKETHIIQNFSIRTSNFLENNAVSFPQSFVSFLSSGRSLCSLRFCDNNLDRYFAQMLFTILINASSSISTLDLSDNSIAGWLSNFNRGSSSRLPSSLGTFKSLQSLHELNLRGNNLHKYDVESLRNALFLMPNLEVLDLSDNPIEDEGIRCLIPYFVEAPERCSPLAVLNLEDCELSWNGVTQLLDTLSTLKRPLRSLTLADNGLGSLVAGSLGKFLATSITELNIGGIGLGSAGFLELQKGMTVELKLVKINISKNRGGLETARFLSKLMSSAPDLVVVNASYNLMPEETLTIICSALKAAKGNLQSLDLTGNMWDCQQTYASVLAEFQHNGRPILILPSAYAPDVPYDDDP >Manes.12G040800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3577681:3586770:1 gene:Manes.12G040800.v8.1 transcript:Manes.12G040800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVPSLMSLGMEAIKRQLLWGDDVLPDIYELPSHIFDSLVTELPPLALHKLEAEMPYKNWDDYECTDGCPEIGTKRGRSGNFSKAWKLLFKQRWPQLVDHPEPVNWQQIYWQTHLQNCLDEAAALASIPSFDGCIGKMKVSDNIMICIGCGGHLNHSIYSMLSYHFEQFGHYARFLRLPNVLCVAETCKLLRNSKLQSLALRWIRSKEHVDGLCQLLIQNIETLTSLEFIHCKLSSTFVNAICGCLEIKGKETHIIQNFSIRTSNFLENNAVSFPQSFVSFLSSGRSLCSLRFCDNNLDRYFAQMLFTILINASSSISTLDLSDNSIAGWLSNFNRGSSSRLPSSLGTFKSLQSLHELNLRGNNLHKYDVESLRNALFLMPNLEVLDLSDNPIEDEGIRCLIPYFVEAPERCSPLAVLNLEDCELSWNGVTQLLDTLSTLKRPLRSLTLADNGLGSLVAGSLGKFLATSITELNIGGIGLGSAGFLELQKGMTVELKLVKINISKNRGGLETARFLSKLMSSAPDLVVVNASYNLMPEETLTIICSALKAAKGNLQSLDLTGNMWDCQQTYASVLAEFQHNGRPILILPSAYAPDVPYDDDP >Manes.12G040800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3577681:3586770:1 gene:Manes.12G040800.v8.1 transcript:Manes.12G040800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSVLMVARKLELSVEEVVTSVKHGSCYLSSAGLSLLTILSQLTGSRSIGKHICKTLASIPSFDGCIGKMKVSDNIMICIGCGGHLNHSIYSMLSYHFEQFGHYARFLRLPNVLCVAETCKLLRNSKLQSLALRWIRSKEHVDGLCQLLIQNIETLTSLEFIHCKLSSTFVNAICGCLEIKGKETHIIQNFSIRTSNFLENNAVSFPQSFVSFLSSGRSLCSLRFCDNNLDRYFAQMLFTILINASSSISTLDLSDNSIAGWLSNFNRGSSSRLPSSLGTFKSLQSLHELNLRGNNLHKYDVESLRNALFLMPNLEVLDLSDNPIEDEGIRCLIPYFVEAPERCSPLAVLNLEDCELSWNGVTQLLDTLSTLKRPLRSLTLADNGLGSLVAGSLGKFLATSITELNIGGIGLGSAGFLELQKGMTVELKLVKINISKNRGGLETARFLSKLMSSAPDLVVVNASYNLMPEETLTIICSALKAAKGNLQSLDLTGNMWDCQQTYASVLAEFQHNGRPILILPSAYAPDVPYDDDP >Manes.05G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8138529:8141242:1 gene:Manes.05G089200.v8.1 transcript:Manes.05G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLCNRRTLLPFIGMVIVILAHITNMVVVKIAISRGMNKNVLLVYSYALSTIFLLPCALIFHRSNRDSFTFSTLSKIFLLALIGFVSLTCTYAGLEHGSPMLGSAMLNLIPAFTFVLAVIFRMEKLEWSSTTSLAKSLGTIVSITGAFIMTFYKGPPIMKTSSVAVSPTQQLFASKSIWIFSGLLFAAEALLTSTWYILQASILKKFTAVFTIMFYTCFFGTILSALYSLIVVEDSDAWQLSLDVGLFSVSYSAVSIVFRSSLCTWCLSKTGPVYVSMFKPLGIIFALTMDVIFLGEVLRLGSFIGTGIIVSGFYAVMWGKAKEDYVNSETGVESFRSPDENVPLLQARVEEI >Manes.02G117000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8978009:8978983:-1 gene:Manes.02G117000.v8.1 transcript:Manes.02G117000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTITNINLCFFHASLRLPKMSPLMMGYSKIRRRCHGAAAAGRGFRLNPKRFSVQTLRTRFFYLFKLFTTCKSSYGHAVQSLKRGMSRYNHSVRRKRSGNSKRGLVVEVSGYNSGRGDCRMRTFGRSNSFYSEAIADCLEFIKGSSLSVEQKQVCAR >Manes.12G118800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32001507:32009546:-1 gene:Manes.12G118800.v8.1 transcript:Manes.12G118800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSPNTVRALSITPGARILKTPLSDETIWKRLKEAGFDEDSIKRRDKAALIAYIAKLESEIFDLQHHMGLLILERKEFASKYEQIKASAEAAELKQKHDQAVHLLALAEARKREESLKKSLGVEKECLKSIEKALHEMRAESAESKVAADCKLADARSMVEDAQNKYMDAEAKMRAAEALQAEANQHRRAAERKLQEVEAREDDLRRRINTFKADCDAKEKEIVLERQSLSERRKVLQQEHERLLDGQALLNQREEYVANKSQDLDRLEKELQASKTGIEKELRDLNDKKSNLELTLASLSQREAAVIEREALISKREQQLLVSQEKLASRESVEIQKVIADHETILKTRKSVFEAELEMNRKLVEDEIEAKRRAWELRELDLRRREDMLNEREHELEVKSRMLAEEEKDVAEKMNFLDEKERGLNAAERDSELRSALLQQEKEDINKIKLELQESLNSLEDKKNQVDCAKEKVETMKCETNELSVLVMKLKEEVDMVRAQKLELMAEEDRLKVEKAKFETEWELIDEKREELRMEAERIVEERQAVSRLLKDERESLRLEKERIREQHTRDVESLNHEREEFMNKMVYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEIEDYLRDQEKAFEIEKKNELEHVSYLREKAAKELEQVALEMKKLESERTEINLDREQRDKEWAVLNKYIEELKDQTQKLEKQRELLRTEREEICAQVEHLKKLEDLKLMLDNMEVAKIQQSNMESSLQKISAVRHLRNHSSVKDAGLVSHEREDVTNNGNRLDSPSMQKSVVDSSPNSARFSWIKRCTEMIFKSSPEKPLLRSEEKSLISNDAAFLASAGKLDSSNSYHGEKFNSEESSGKRQSMIYAFGEPKVISEPPEDEIAKGKCEKESETKKDANEDIDPSFSEQAIHAGRKRRSEKSSLYVTTDPQPEQRQNNKRRRQQKGAAVNLSKDAKNPCVTSTKINATEEDKHSTEEGAEDDVEVIAERIIKISEVTSEVTCDYGDVHDGGRNGHSNQKGVEHSAVPCEFEVSVILKDQMGHVGHGTGQHQI >Manes.12G118800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32001507:32009546:-1 gene:Manes.12G118800.v8.1 transcript:Manes.12G118800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSPNTVRALSITPGARILKTPLSDETIWKRLKEAGFDEDSIKRRDKAALIAYIAKLESEIFDLQHHMGLLILERKEFASKYEQIKASAEAAELKQKHDQAVHLLALAEARKREESLKKSLGVEKECLKSIEKALHEMRAESAESKVAADCKLADARSMVEDAQNKYMDAEAKMRAAEALQAEANQHRRAAERKLQEVEAREDDLRRRINTFKADCDAKEKEIVLERQSLSERRKVLQQEHERLLDGQALLNQREEYVANKSQDLDRLEKELQASKTGIEKELRDLNDKKSNLELTLASLSQREAAVIEREALISKREQQLLVSQEKLASRESVEIQKVIADHETILKTRKSVFEAELEMNRKLVEDEIEAKRRAWELRELDLRRREDMLNEREHELEVKSRMLAEEEKDVAEKMNFLDEKERGLNAAERDSELRSALLQQEKEDINKIKLELQESLNSLEDKKNQVDCAKEKVETMKCETNELSVLVMKLKEEVDMVRAQKLELMAEEDRLKVEKAKFETEWELIDEKREELRMEAERIVEERQAVSRLLKDERESLRLEKERIREQHTRDVESLNHEREEFMNKMVYEHSEWFNKIQKEHSDFLLGIEMQKRELENSIEKRREEIEDYLRDQEKAFEIEKKNELEHVSYLREKAAKELEQVALEMKKLESERTEINLDREQRDKEWAVLNKYIEELKDQTQKLEKQRELLRTEREEICAQVEHLKKLEDLKLMLDNMEVAKIQQSNMESSLQKISAVRHLRNHSSVKDAGLVSHEREDVTNNGNRLDSPSMQKSVVDSSPNSARFSWIKRCTEMIFKSSPEKPLLRSEEKSLISNDAAFLASAGKLDSSNSYHGEKFNSEESSGKRQSMIYAFGEPKVISEPPEDEIAKGKCEKESETKKDANEDIDPSFSEQAIHAGRKRRSEKSSLYVTTDPQPEQRQNNKRRRQQKGAAVNLSKDAKNPCVTSTKINATEEDKHSTEEGAEDDVEVIAERIIKISEVTSEVTCDYGDVHDGGRNGHSNQKGVEHSAVPCEFEVSVILKDQMGHVGHGTGQHQSGEDEDASKTSDLRVDISDVARSDNSEKFAEDVGRRTRSKQKL >Manes.18G093201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8638341:8640896:1 gene:Manes.18G093201.v8.1 transcript:Manes.18G093201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHRHHLFHRNKDEEKPTGAADVYSQTSEFSEITDYPSSYKTSEFSETTDYPSSYNSPPDAPDYEKEEKQHKRREHLGELGAAAAGAYALYEKHEAKKDPEHAHRHKIEEEAAAAVAVGAGGFAFHEKHEKKEAKKEEENKHHHLFHHHKEGEEAVDYKKEEKHHKHLEHLGELGAAAAGAYALHEKHQEKKDPEHAHKHKVEEEIAAAAAVGAGGFAFHEHHEKKEAKKEDEEAHGKKHHHLF >Manes.18G093201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8640069:8641048:1 gene:Manes.18G093201.v8.1 transcript:Manes.18G093201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKHHHLFHHHKEGEEAVDYKKEEKHHKHLEHLGELGAAAAGAYALHEKHQEKKDPEHAHKHKVEEEIAAAAAVGAGGFAFHEHHEKKEAKKEDEEAHGKKHHHLF >Manes.18G093201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8638232:8639235:1 gene:Manes.18G093201.v8.1 transcript:Manes.18G093201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHRHHLFHRNKDEEKPTGAADVYSQTSEFSEITDYPSSYKTSEFSETTDYPSSYNSPPDAPDYEKEEKQHKRREHLGELGAAAAGAYALYEKHEAKKDPEHAHRHKIEEEAAAAVAVGAGGFAFHEKHEKKEAKKEDEETHGKRHHHLF >Manes.02G166600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13062703:13064183:-1 gene:Manes.02G166600.v8.1 transcript:Manes.02G166600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDSFKKPGAVPFNWEIRPGVPKINTQQPKLQPRQLSPPVLPSPSPRFAPRRPSPASLNQQMLKPPPAGFIFLPPPEPRTHSFRSTPRTRSERWRFDQPTRVGPECVSPGCFPSPLLRRKDSKRRTTHVAESESDYTSDLETLARWSVSSRKSFSPFRESSASSYSSYRSSPRVVSDAEWAGFGLF >Manes.03G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:619230:622756:1 gene:Manes.03G007300.v8.1 transcript:Manes.03G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKGVMGSGRRWAVDFTDNSTAPSSRDFPDPPGFSRASQDQDDSTVTKQKKDAEANWKVQKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPISALQGVGKVFEPYKDSKVDLLGPKLLFIALNLGGLALGIWKLNTLGLLPTHASDWVSSLPPAQEVEYSGGGIPLH >Manes.01G150400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33914840:33920939:1 gene:Manes.01G150400.v8.1 transcript:Manes.01G150400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLMNFLRSCWRPSSERYAHTSSDAAGRQDGLLWYKDTGKHLHGEFSMAVLQANNLLEDQSQLESGLLSTLDSGPYGTFIGVYDGHGGPETSRYISDHLFRHLKSFTSEHQCMSVDVIKKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYIANLGDSRAVLGRLVKATGEVLAIQLSSEHNAAIESVREEMHSMHPDDSRIVVLRHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFNRPILSSDPSISVHELQPLDQFLIFASDGLWEHLSNQDAVDIVQNHSRNMDQPVQQGPRQL >Manes.01G150400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33915455:33920939:1 gene:Manes.01G150400.v8.1 transcript:Manes.01G150400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLMNFLRSCWRPSSERYAHTSSDAAGRQDGLLWYKDTGKHLHGEFSMAVLQANNLLEDQSQLESGLLSTLDSGPYGTFIGVYDGHGGPETSRYISDHLFRHLKSFTSEHQCMSVDVIKKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYIANLGDSRAVLGRLVKATGEVLAIQLSSEHNAAIESVREEMHSMHPDDSRIVVLRHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFNRPILSSDPSISVHELQPLDQFLIFASDGLWEHLSNQDAVDIVQNHSRNGIARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDWNLVSRASSIKGPSISVRGGGVNLPAKTLAPCGTTMET >Manes.01G150400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33914840:33920939:1 gene:Manes.01G150400.v8.1 transcript:Manes.01G150400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLMNFLRSCWRPSSERYAHTSSDAAGRQDGLLWYKDTGKHLHGEFSMAVLQANNLLEDQSQLESGLLSTLDSGPYGTFIGVYDGHGGPETSRYISDHLFRHLKSFTSEHQCMSVDVIKKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYIANLGDSRAVLGRLVKATGEVLAIQLSSEHNAAIESVREEMHSMHPDDSRIVVLRHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFNRPILSSDPSISVHELQPLDQFLIFASDGLWEHLSNQDAVDIVQNHSRNGIARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDWNLVSRASSIKGPSISVRGGGVNLPAKTLAPCGTTMET >Manes.01G150400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33914840:33920939:1 gene:Manes.01G150400.v8.1 transcript:Manes.01G150400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLMNFLRSCWRPSSERYAHTSSDAAGRQDGLLWYKDTGKHLHGEFSMAVLQANNLLEDQSQLESGLLSTLDSGPYGTFIGVYDGHGGPETSRYISDHLFRHLKSFTSEHQCMSVDVIKKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYIANLGDSRAVLGRLVKATGEVLAIQLSSEHNAAIESVREEMHSMHPDDSRIVVLRHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFNRPILSSDPSISVHELQPLDQFLIFASDGLWEHLSNQDAVDIVQNHSRNMDQPVQQGPRQL >Manes.01G150400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33914840:33920939:1 gene:Manes.01G150400.v8.1 transcript:Manes.01G150400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLMNFLRSCWRPSSERYAHTSSDAAGRQDGLLWYKDTGKHLHGEFSMAVLQANNLLEDQSQLESGLLSTLDSGPYGTFIGVYDGHGGPETSRYISDHLFRHLKSFTSEHQCMSVDVIKKAYQATEEGFLSLVTKQWPVKPQIAAVGSCCLVGVICGGTLYIANLGDSRAVLGRLVKATGEVLAIQLSSEHNAAIESVREEMHSMHPDDSRIVVLRHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFNRPILSSDPSISVHELQPLDQFLIFASDGLWEHLSNQDAVDIVQNHSRNGIARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDWNLVSRASSIKGPSISVRGGGVNLPAKTLAPCGTTMET >Manes.05G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11079241:11079649:-1 gene:Manes.05G109400.v8.1 transcript:Manes.05G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLDIFTYIKRGRESTGRSKAPSANRNIAENTIVKFMHIKCLLIEQNKHHHHQYVTSHDPTGSLKMYYSECIASSKLQVSTRIDI >Manes.13G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4071395:4079645:1 gene:Manes.13G031800.v8.1 transcript:Manes.13G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGLYQKQLKKESWKTVIILAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPNCQVADEELYEYKKDNIGLAPNSSFGARLKSTLEKRRVLQRFLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHKYVEVPVACVILIGLFALQHYGTHRVGFLFAPVVLTWLLCISAIGIYNIVHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSLVYPSLVLAYMGQAAYLSKHHFVDSDNRVGFYVSVPDKLRSPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWTLMLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKNVFLAICFVFFFGTIEALYFTASLIKFLEGAWVPIALSFIFLIIMCVWHYGTLKKYEFDVQNKVSINWLLGLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPEERFLVGHIGPREYRLYRCIVRYGYHDVHKDDMEFEKDLVCSIAEYIRSGKVEPSGAYDNAGKEDDKMTVVGTCSTHTDGIRLSEDDNDIKDSASTSEMREIRSPPVIHPRKRVRFIIPESPQIDRGAREELHELMEAREAGVAYIVGHSYVRAKQGSSMLKKLVINYGYEFLRRNSRAQAYALSVPHASTLEVGMLYHV >Manes.13G031800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4071445:4079645:1 gene:Manes.13G031800.v8.1 transcript:Manes.13G031800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGLYQKQLKVRCKESWKTVIILAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPNCQVADEELYEYKKDNIGLAPNSSFGARLKSTLEKRRVLQRFLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHKYVEVPVACVILIGLFALQHYGTHRVGFLFAPVVLTWLLCISAIGIYNIVHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSLVYPSLVLAYMGQAAYLSKHHFVDSDNRVGFYVSVPDKLRSPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWTLMLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKNVFLAICFVFFFGTIEALYFTASLIKFLEGAWVPIALSFIFLIIMCVWHYGTLKKYEFDVQNKVSINWLLGLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPEERFLVGHIGPREYRLYRCIVRYGYHDVHKDDMEFEKDLVCSIAEYIRSGKVEPSGAYDNAGKEDDKMTVVGTCSTHTDGIRLSEDDNDIKDSASTSEMREIRSPPVIHPRKRVRFIIPESPQIDRGAREELHELMEAREAGVAYIVGHSYVRAKQGSSMLKKLVINYGYEFLRRNSRAQAYALSVPHASTLEVGMLYHV >Manes.18G039150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3483712:3485931:-1 gene:Manes.18G039150.v8.1 transcript:Manes.18G039150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLMPKTFNNHQDWYSSICNSLKSTYNNLSSSHNDHSLPSSFVYSYDNAAHGFTAVLSSSQLQTLRNSPGFVSAYKDKTATVDTTHTYKFLSLNPSTGLWPASNFGEDVIIGVIDSGVWPESKSFRDDGMTAVPSRWKGICEEGEEFNSSMCNSKLIGARYFNKGVIAAHPGTKIFMNSPRDIFGHGTHTSSTAAGNYVEDATYFGYATGTARGMAPRARVAMYKVLWEEGRYASDVLAGMDQAIADGVDVISISMGFDGVPLYEDPIAIASFAAMENGVVVSSSAGNEGPDLGTLHNGIPWLLTVAAGTIDRSFAGTLSLGNGQTIIGWTLFPANALVDNLPLIHNKTFSACNSTKLLSQAQFGIILCDDIGEVFNQMNAIAASPNVAAAIFISNDPSLLELGGSYSPSVVISPSEASAVIEYATTYEKPSASMKFQQTITGTKPAPAAAIYTSRGPSPSYPSILKPDIMAPGSQVLASWIPNGQSAQIGLNIYLSSDFDMISGTSMACPHASGVAALLKGAHPEWSPAAIRSAMMTTANPLDNTQNPIIDNGAEKFAHASPLAMGAGQIDPNRALDPGLIYDATPQDYVNLLCSMNYTKNQILAITRSKRYHCSNPSSDLNYPSFIALYDNKTTSVMVQKFKRTVTNVGEDAATYKAKVTAPKGSIVTVSPTTLVFGKKYDKQSYCITIKYSGDKKMSVQFGSLVWIEENGVHTVRSPIAVSPLVIAGGVVANAV >Manes.15G138100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11169670:11173088:-1 gene:Manes.15G138100.v8.1 transcript:Manes.15G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPSKSKILPLPSAFNIIVICFFFVSSSLAELRHFQQPLKPDGSLSFLVIGDWGRTGLYNQSHVALQMGITGEELDIDFVVSTGDNFYEDGLAGLDDPAFYESFVDIYTAPSLQKQWYSVLGNHDYRGDVEAQLSPILTQKDSRWLCLRSFIVNADIVDFFFVDTTPFVHEYFVNPGEHSYDWTGISPRETYLANLLKDLDSAMGSSTAKWKFVVGHHTIFSAGQHGITEELLKQLLPILQEHNADAYINGHDHCLQHISSSDSKIQFLTSGGGSKAWRGDIAKWNPEELKLYYDGQGFMSVQITDTKAFFAFYGIFGNVLHQLSISKESHSSA >Manes.09G008001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1855121:1862720:1 gene:Manes.09G008001.v8.1 transcript:Manes.09G008001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPIPTETYKLGFIGAGKMAESIAKGVVQAGVLPPSRIRTAHSNPNRCSAFESFGVKILPHNQAVVEDSDVVIFSVKPQVVKDVVLQLRPVLTKKKLLVSVAAGIKLKDLQEWAGHSRFIRVMPNTPAAIGEAASVMSLGGAATEEDGELIAKLFGSVGKIWRADDKLFDAITGLSGSGPAYVYLAIEALADGGVAAGLPRDLALGLASQTVLGAATMATKTGKHPGQLKDDVTSPGGTTIAGIHELEKGGFRGVLMNAVVAAAKRGRELSQS >Manes.09G008001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1855121:1862720:1 gene:Manes.09G008001.v8.1 transcript:Manes.09G008001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPIPTETYKLGFIGAGKMAESIAKGVVQAGVLPPSRIRTAHSNPNRCSAFESFGVKILPHNQAVVEDSDVVIFSVKPQVVKDVVLQLRPVLTKKKLLVSVAAGIKLKDLQEWAGHSRFIRVMPNTPAAIGEAASVMSLGGAATEEDGELIAKLFGSVGKIWRADDKLFDAITGLSGSGPAYVYLAIEALADGGVAAGLPRDLALGLASQTACNVRD >Manes.09G008001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1855121:1862720:1 gene:Manes.09G008001.v8.1 transcript:Manes.09G008001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIPIPTETYKLGFIGAGKMAESIAKGVVQAGVLPPSRIRTAHSNPNRCSAFESFGVKILPHNQAVVEDSDVVIFSVKPQVVKDVVLQLRPVLTKKKLLVSVAAGIKLKDLQEWAGHSRFIRVMPNTPAAIGEAASVMSLGGAATEEDGELIAKLFGSVGKIWRADDKLFDAITGLSGSGPAYVYLAIEALADGGVAAGLPRDLALGLASQTACNVRD >Manes.10G057000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7443529:7447357:1 gene:Manes.10G057000.v8.1 transcript:Manes.10G057000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPLVIDIRMRGAKSSDGIDDDSTASSKKNSHRPKYSKFTQQDLPACKPILTPGLVIASFTIVAVVFLPIGLASLFASESVVEIVDRYDKDCIPSSHSSLPLEYIQNSKSDKTCTRKLTVPKQMKSPVYVYYQLDNFYQNHRRYVRSRSDAQLQSKASEDAVGNCKPEDLANGKPIVPCGLVAWSLFNDTYNFKVQNKVLEVNKKDIAWKSDKEKKFGSDVYPKNFQSSGLIGGGQLNSSVPLSEQEDLIVWMRTAALPTFRKLYGKIETDLQANDIIEVEIQNNYNSYGYGGKKRLVLSTTTWIGGKNDFLGIAYVFIGGLNLLLAVSFILIFVLKPRPLGDPNYLSWNKQATRTPN >Manes.18G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2420476:2425179:1 gene:Manes.18G028300.v8.1 transcript:Manes.18G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASLKGRYETDKTSAAATVAFNAGDVKLRASMADATVVNGPSLNGLVLAIEKPGFFVVDYNVPKKDFRFQFMSTVKVADKPLNLTYIHSRGDNRTILDGALVLDSANKVSANYMLGTGNCKLKYTYVHGGATTFEPCYDLAKNSWDFAVSRKVYADDVFRATYQTSSKALALEWSRNSKFNGSFKISASVNLGEESKVPKLSAESTWNLEI >Manes.17G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31529550:31533030:-1 gene:Manes.17G108800.v8.1 transcript:Manes.17G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFSMWPSKGGLCTVPQMDFELGSSCFPSTRRRRRKRWGLVDTVFQSTTSGILMVHSNSSEPNKGHFGSSVTVAWALEQRQIGSECRGGNPSLDDGFSGKNESNDADSHNLDRVEDSDNNSNLGEIRVLDYGNGEEVEEEKNRRIDVQALAWSLHSAKTADDVEEVLKDKGELPLRVYSSMIKGFGWDKKMNSAFALVQWLKRKKEMGSKIGPNLFIYNSLLSAVKQTEQYEETEKILNDMNQDGIFPNVVTYNTLMAIYVGQGEAIKALDILEEMHKKGFTPSAASYSTALLAYRSMEDGFGALTFFMDIKEKHLKGEMGKDSDEDWEREFVKLGNFIIRICYQVMRRWLVRHDNLCTDVLKLLTDMDKAGLHPGRAEYERLVWACTREDHYVVAKELYSRIRERYPEISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNMSYELIVSHFNVLLNAARKRGIWRWGVRLLNKMEDKGLKPGSREWNAVLVACSKASETTAAVQIFRRMIEQGEKPTIVSYGALLSALEKGKLYDEAVRVWEHMLKVGVKPNLYAYTIMASVFAGQGKFRYVDAIIHEMVSSGIEPTIVTYNAIISGCTQNSLSSAAYEWFHRMKVSSIQPNKITYEMLIEALAKDGKPRLAYELHLRAQNEGLDLSAKVYDAVVQSSQAYGATIDINSLGPRPTDKKKRVQIRKTLTEFCNFADVPRRSKPFDQKEIYPAEVEGNK >Manes.07G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:935713:937902:1 gene:Manes.07G007600.v8.1 transcript:Manes.07G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGVSLLLAFFLLFNGSALAAKYMEGFLKNGNFEQKPKPSDLHRTVLKGKYALPGWETNGLVEYISAGPQPGGMFFNVAHGVHAVRLGNEASISQVIPVKPGSLYALTFGASRTCAQDEVLRVSVPPLFGDLPLQTLYSSNGGDTYAWGFRAKSNVAKVIFHNPGVQEDPACGPLIDAVAIKELFPPRPTRYNLVKNSGFEEGPHRFLNSSNGVLLPPKQEDFTSPLPGWIIESLKAVKFIDSKHFNVPFGLAAVELVAGRESAIAQILRTIPNKVYNLIFTVGDAKNGCHGSMMVEAFAAKDTFKVPFESQGKGRFKTVSFKFKAIAARTRITFYSSYYHTRIDDFGSLCGPVLDQVRVFPVA >Manes.08G076675.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:18358675:18359249:-1 gene:Manes.08G076675.v8.1 transcript:Manes.08G076675.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMPIKPLLLPSLAIFHVGLCSHRLKRLEKGDRRGNLS >Manes.06G033100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8828211:8830373:-1 gene:Manes.06G033100.v8.1 transcript:Manes.06G033100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGNTQQTFRRAIGALKDSTKVGLAKVNSENKALDVAIVKATNHDEMLPKEKHILTIFSALSASSPRADVTYCINGLTKRLAKTHNWTVALKTLIVIHRALREIDHTFHEEIITYSKGTRLVFHLSHLRDDSSPHAWDYSAWVRAYALYLEELLACFCVLKYDIQKNPSRTKELETPDLLEQLPILQQLLFRLLACKPEGMAVHNNLIHYALSIVASESVKLYVTITDGVLNLVDNVSVALGMYFDMERHDAIRALEIYKKATTQGETLSEFFEMCRSFEFGRRQKFIKIKMPPASFLTAMEDYVTDSPHVLPLEGIQV >Manes.18G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:713820:717592:-1 gene:Manes.18G002900.v8.1 transcript:Manes.18G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYGGDSKALRIHAKEKFPLDSITRLQVHGALDTRIGAPSYFSAMIRRFYPDLSASLGVGLQYDKHEKLTYRMRAKKAFPVTSDGLLSFNIKGWCNIDKEFKERKSKGAAEFAWSIFNFQKDQDVRFKIGYEVVDKVPYVQIRENNWTLNADMNGRWNVRFDL >Manes.03G047500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4297715:4299007:-1 gene:Manes.03G047500.v8.1 transcript:Manes.03G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSQVPPSQGLTDEEYAELKPLIDTYHKFEPAPNTCTSLITQRIDAPAQVVWPFVRSFENPQKYKHFIKSCNMRGTGGIGSIREVTVVSGLPASTSTERLEILDDEKHILSFRVVGGEHRLNNYRSVTSVNEFCKDGKIYTIVLESYIVDIPEGNTGEDTKMFVDTVVKLNLQKLAVVAMASVHGHE >Manes.01G261400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41707470:41712817:-1 gene:Manes.01G261400.v8.1 transcript:Manes.01G261400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNLPASKRNPRQWKLLDLVSAAFFGLVFLFFLLVFTPLGDSLAASGRQALLLSTSDPRQRLRLIELIEAGRHPQQIEACSADSVDHMPCEDPRRNSQLSREMNFYRERHCPLPDETPLCLIPPPNGYKVPVQWPQSLHKIWHANMPHNKIADRKGHQGWMKEEGEYFVFPGGGTMFPEGAIPYIEKLGQYIPISGGVLRTALDMGCGVASFGGYLLKEGILALSFAPRDSHKAQIQFALERGIPAFVAMLGTRRLPFPAFSFDLVHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWAKQDKEWADLQGVARALCYELIAVDGNTVIWKKPAGDSCLPNQNEYGLELCDETDDPSYAWYFKLKKCVSRISSVNGEYAVGTIPKWPDRVTRAPSRAMLVKNGIDLFEADTRRWSRRLVYYRNSLNVKLGTQAIRNVMDMNAFFGGFAAALASDPVWVMNVVPADKLSTLGVIFDRGLIGVYHDWCEPFSTYPRTYDLIHVAGIESLIKNPDSSKNRCNLVDLIVEMDRILRPEGTAIIRDTPEVIDKVVHIAHAVRWTAIIHEKEPESHGREIILVATKSFWKLP >Manes.12G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4724239:4728681:1 gene:Manes.12G050600.v8.1 transcript:Manes.12G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNCASKVAAIFFLLALFALASAKKSGDVTELQIGVKYKPESCEIKAHKGDRVKVHYRGKLTDGTVFDSSFERGDPIEFELGTGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGPQGSPPKIPGGATLIFDTELVAVNGKAPNGGQASDSEL >Manes.08G091800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967141:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQSAAADGNAESDRSKSEVNDEDDEATGEGEEEEEEEEEEEEDDDDD >Manes.08G091800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967062:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQSAAADGNAESDRSKSEVNDEDDEATGEVDLPICLFV >Manes.08G091800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967062:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQQSAAADGNAESDRSKSEVNDEDDEATGEVDLPICLFV >Manes.08G091800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967141:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQQSAAADGNAESDRSKSEVNDEDDEATGEEGEEEEEEEEEEEEDDDDD >Manes.08G091800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967141:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQSAAADGNAESDRSKSEVNDEDDEATGEEGEEEEEEEEEEEEDDDDD >Manes.08G091800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967136:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQQSAAADGNAESDRSKSEVNDEDDEATGEEGEEEEEEEEEEEEDDDDD >Manes.08G091800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967141:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQQSAAADGNAESDRSKSEVNDEDDEATGEVDLPICLFV >Manes.08G091800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967141:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQSAAADGNAESDRSKSEVNDEDDEATGEVDLPICLFV >Manes.08G091800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30967136:30970928:1 gene:Manes.08G091800.v8.1 transcript:Manes.08G091800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAKGKGAAKASKEALKPTDDRKVGKRKAATVADKSSKQKAKKEKRAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVSSVAAVGKAGGEKWKSMTSAEKAPYEAKASKKKDEYGKLMNAYNKKQSAAADGNAESDRSKSEVNDEDDEATGEEGEEEEEEEEEEEEDDDDD >Manes.18G123800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13928678:13935613:1 gene:Manes.18G123800.v8.1 transcript:Manes.18G123800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEGLWGLADYQEQKGEIGKAVKSLEAICQSQVSFLPIIEVKTRLRIATLLLKHSHNVNEAKSHLERAQLLLKSIPSCFELKCRTYSLLSQCYHLVGAIPPQKQILHKALDLTASTAPEVTVKLWSCNFSSQLANALIIEGDYHSAISALESGYDCAAEICYPELQMFFATSVLHVHLMQWYDDNLVQSALNRCDLLWDSLGPDRREQCLGLLFYNELLHIFYQLRICDFKNATQHVDKLDAVMKADLQKMREIQRLNNELNALNQSLSRPDLPNRDRSLLSLKHSQIQQKLTSMSKSSSFPEHSLEPAYFGNSRRASEDKLVLAPPPMDGEWLPKSAVYALVDLMSVIFGRPRGNFKECTKRIQSGMQTIQVELVKLGITDGVREVDLRHSAIWMAGVYLMLLMQFLENKVAMELTRSEFVEAQEALVQMKDWFIRFPTILQACESVIEMLRGQYAHSVGCYSEAAFHYIEAAKLTESKSMQAMCQVYAAVSNFCIGDAESLSQALDLIGPIYRMKDSFVGVREQASVLFAYGLLLMRQDEYEEARTRLAKGLQIAHNSMGNLQLIAQYLTILGHLALALHDTVQAREILRSSLTLAKKLYDVPTQIWVLSVLTGEGRSNGAVISSRL >Manes.18G123800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13928678:13935613:1 gene:Manes.18G123800.v8.1 transcript:Manes.18G123800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEGLWGLADYQEQKGEIGKAVKSLEAICQSQVSFLPIIEVKTRLRIATLLLKHSHNVNEAKSHLERAQLLLKSIPSCFELKCRTYSLLSQCYHLVGAIPPQKQILHKALDLTASTAPEVTVKLWSCNFSSQLANALIIEGDYHSAISALESGYDCAAEICYPELQMFFATSVLHVHLMQWYDDNLVQSALNRCDLLWDSLGPDRREQCLGLLFYNELLHIFYQLRICDFKNATQHVDKLDAVMKADLQKMREIQRLNNELNALNQSLSRPDLPNRDRSLLSLKHSQIQQKLTSMSKSSSFPEHSLEPAYFGNSRRASEDKLVLAPPPMDGEWLPKSAVYALVDLMSVIFGRPRGNFKECTKRIQSGMQTIQVELVKLGITDGVREVDLRHSAIWMAGVYLMLLMQFLENKVAMELTRSEFVEAQEALVQMKDWFIRFPTILQACESVIEMLRGQYAHSVGCYSEAAFHYIEAAKLTESKSMQAMCQVYAAVSNFCIGDAESLSQALDLIGPIYRMKDSFVGVREQASVLFAYGLLLMRQDEYEEARTRLAKGLQIAHNSMGNLQLIAQYLTILGHLALALHDTVQAREILRSSLTLAKKLYDVPTQIWVLSVLTELYQGLGEIGNQMENEDYRKKKSDELQKKLSDAHSSIHHIELIDKVKLEVKQFQEFDIKRAMANESMRVNLDIPESVGLSTPVPNSSSSRLLDLDNRRRGKRRI >Manes.15G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11961379:11988539:1 gene:Manes.15G145800.v8.1 transcript:Manes.15G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGIHHQQQQLAALLSVALPTDDSASSSSSATASTATALTAAASTAKTTNSDDDDSARLAAINSLHRAILFPPNSLLIAHSASFLCQGFSQLLSDKSYSVRQAAATAYGALCAVLCSILIGSNGRQNHVMLGSLVDRFIGWALPLLSNVNVSAGDGTTELAVEGLREFLSVGDVVGIERYASPILKACQELLEDERTSLSLLHRLLGVISLVSLKFSRIFQPHFLDIVDVLLGWVLIPDLAESDRRSILDSFLQFQKHWVGNLQFSLGLLSKFLGDMDVLLQDGSHGTLPQFRRLLALLSCFSTVLQSTASGLLEMNLLEQISESLSKMLPRLLGCLSLVGKKFGWSKWIGDSWKCLTLLAEILCERFSTFYPLAVDILFQSLEMSSTTQIRADKITSFQVHGVLKTNLQLLSLQKLGLLPSSVQKIIQFDAPISQQRLHPNHLVAGSSAATYVFLLQHGNDEVVQQAMTMLIEELDLLKDMLKRISDIGDEVKGVAGSKSYSRVELCAFMKFDLKVLLTCVSLGGINNLISQPDMGAIYLRRSERLTSYIAEKLDPFDIPVQAYVELQVNVIKTMDRLSAVEFLSKCCIRNQTSKKASVDVDVEKAHDSFRVVCSKVIMEHLRKYTVILVKALHFLSPLAVKVVALEWIQRFSENLILIYENSNVNSFFNSSFGYIGSIGSILFSVLDAAVDREPKVRLSGASVLELLLQGRLVDPMFFYPIAGVVLEKLGDPDAEVKNAFVRLLSHVIPMTIYVCGLHDHGTLIKSRPNALTLGNSSNLHWKQVFSLKQLRKQLHSQQLVSILSYISQRWKVPLSSWIQRLIHSRQSPRDLVLGQPEETQKFGTNVLWLDIKVDEDILERICSVNNLAGAWWAIHEAARYCITTRLRTNLGGPTQTFAAFEGMLVEIAHVLQLEIEQNDGNLSIIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSATLPSSSRQSTLFFRSNRKVCEEWFSRICEPMMNAGLALQCHDATIQYCSVRLQELKNLWASSLKDKSRPQMSENLHKTRDKFSGDILRVLRHMALALCKNHEPEALVGLRQWASMTFSSLLVDENQSLSHSGILGPFAWITGLVYQSEGQYEIAAAHFTHLLQNEESLSSMGSDGVQFAISRVIESYTAVSDWRSLESWLIELQTLRSKHAGRSYSGALTTAGNEINAIHALARFDEGDYQAAWAFLDLTPKSSSELTLDPKLALQRSEQMLLQAMLLHLEGKADKVPHEIHKAKSMLEEILSVLPLDSLTEAVPLATQLHCISVFEECHKLKGNLVKPKQQQSILSSYVESMQSVMNRVHQDCNPWLKVLRVYQINFPASPFTLKLCMNLSSLARKQGNLMLASRLNNYLRGHVLGSFERRHGDFLLSNLQYEEFLLMYAENKFEDAFANIWSFISSCMVSPASIVSDSDDNILKAKACLKLADWLRRDYQDLDLENIVHKMQVDFNVDDKSLISRDGPYFNDENFNSKSSLGIIVEEIVGTATKLSTQLCSRMGKSWISYASWCFSQARDSLFTPGENVLRSYSFSSLLLPEVLSERFKLTEDERTQVQYVVLNLFQKEGDPFNGGGGERKLWLNSMQHLRNNNPLEIVVDEAVDIIEAAAGAPGAEKSNGESLSVALASQLQTFLCAKASLQETDISSAVDELVTIWWSLRRRRVSLFGYAAHGFMQYLTYSSLRFSDCQLPGSWCDSLKQNTGSYILRATLYVLHIFLNYGVELKDTIEPALSTIPLFPWQEVTPQLFARLSSHPEPLVRKQLEGLLMMLAKQSPWSIVYPTLVDVNANDDKPSEELQHILGCLKELYPRLVQDVQLMINELGNVTVLWEELWLSTLQDLHADVMRRINVLKGEATRIAENATLSQSEKNKINAAKYSAMMAPIVVALERRLASTSRRPETPHEVWFHEEYREKLKSAILAFKTPPASAAALGEMWRPFDDIAASLASYQRKSSILLGEVAPQLASLSSSDVPMPGLEMQVTVAESDRDLTTTLQGMVAIASFSEQVTILSTKTKPKKLVIHGSDGQKYTYLLKGREDLRLDARIMQLLQAINGIMHSSSAARKHLLAIRYYSVTPISGQAGLIQWVDNVISIYSVFKSWQNRLQLAQFSAMGPGTAKNSVPPPVPRPSDMFYGKIIPALKEKGIRRVISRRDWPHDVKRKVLLDLMKEVPRQLLHQELWCASEGFKAFSLKLRRYSGSVAAMSIVGHILGLGDRHLDNILVDFCSGDIVHIDYNICFDKGQRLKIPEIVPFRLTQMIEAALGLTGVEGTFRANCEAVVDVLRKNKDIILMLLEVFVWDPLVEWTRGDFHDDAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDLLLATLPAVESALERFSDVLDQYELASALFYRADQERSSLILHETSVKSIVAEATCNSEKTRASFEIQAREFAQAKALVVEKAQEATTWMEQHGRILDALRSNLLPEVNTCLKLTSMIDALSLTSAVQVAGVPLTIVPEPTQAQCQDIDREVSQLISELDQGLSSALAGIQVYSVCLRRILPLNYFTTSAVHGWTQVLQLSANALSSDVLSLARRQAAELISKVQGDNLDSVKHSHGDLSLKLEKYAIEMEKVEAESAELESSIGLETESKVKDRLLSAFVKYMQSSGLVKKEDAGSFNQSGQLKHDMTRDAKLSGEQEDKKEKVLSVLNVAVSSLYNEVRHRVLDIFSNSAGGRIESDRFGTSFSEFEEQVEKCILVVGFVGELQQFIGWDVASVDTEIGHTKNYPEKNWASIFKTFLLSCKSLIGQMTEVVLLDVMRSAVSLNSEIMDAFGLISQIRGSIDTALEQLLEVELERASLVELEKNYFVKVGLITEQQLALEEAAMKGRDHLSWEEAEELASQEEACRAQLDQLHQTWNEREMRTSSLIKREAEIKNVLISSECHFQSLISTEETGESHVLGSKALLSLLVRPFSELESLDKSLTNFGGSITSRSNEFSNLADIASSGYSVSEYIWKFGGILNSQSFFIWKVCVVDSFLDSCIHDVASSVDQNLGFDQLFNVVKKKLGAQLQGHIGRYLKERVASTFLAWLDRENEHLKLLTETTKELSMDQLKKDVGAVRKVQLMLEEYCNAHETARAVRSAASIMKKQVNELKEALHKTGLEIVQLEWMHDALIPSQKSRATFQKFLAIEDKLYPIILNLSRSNLLEGIQSAVKKMARSMDCLQACERNSIVAEGQLERAMGWACGGPTSSMTGNLSNKTSGIPPEFHDHLMRRQKLLWEAREKASDIVKICMSILEFEASRDGVFQIAGEIYPMMTGGDSRTWQQAYLNALTKLEVTYHSFTRTEQEWKLAQSSMEAASSGLYSATNELCIASLKAKSASGDLQSTVLAMRDCAYEASVALSAFGRVSTGQTALTSESGTMLDEVLAITEDLHDVHSLGKEAAAVHHSLMEDLSKANAVLLPLESVLSKDVTAMTDAMTRERETKMEVSPIHGQAIYQSYRLRIREAIQIFKPLVPSLTFSVKGLYLILMKLARTASLHAGNLHKALEGLAESQDLKSQGINLSREDLDSGPNEFNEKGSDRLSTSDGGSTKDFHSDTGLSLQDKGWISPPDSICSGSSESGITSTEASILDGSSDLAEIIGQCSNRSSSRVVTDYLNSAPSSQNHYQELSQSGQSVSKCEEVNNSDNGSVIEVSELTECQKSVALPSGEEVTDNVASLRPLNEDNSKLKFDGKDDGLSSLSKVKMEDENLEAPHPNSYTGNRVARGKNAYAMSVLRRVEMKIDGRDVAENREISVAEQVDYLIKQAMSVDNLCNMYEGWTPWI >Manes.09G146800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34444504:34445645:-1 gene:Manes.09G146800.v8.1 transcript:Manes.09G146800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDLLAASVPKKRRGSNKECRVIKELPLFPYFLHSCGRFAESMVEEKKSEQCFESVNNTAEDHQHKKKKLLKRLNFEELGLDPPPELPSEWMNKIEKKGGVDVKLVIMKQMFPTDLNPHHDRLSIPFRQIRNEFLTEDEKTKLIEQKSITVKLMEPCGSESELYLRQWNLKNTSCYALTTRWKQVIKEFKQNDIIQLWSFRVQGELQLALIKVPYASASASASASTDKFSLLRTKKSKGNFCFVSPQIPELVLIAIVSPQYSVFRASSEPKVIITIISVGAMPSLLINFDARRVDDEVDQKFSGVCEL >Manes.06G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23650346:23652063:-1 gene:Manes.06G103800.v8.1 transcript:Manes.06G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGNSESPKLDRKTVERNRRIHMKGLCFKLASLIPSHRFKHSKELLSQQDQLDHAAAYIKHLTERIDELKKIKEQAMRSLGANNNPMDATMMGLRLPVIKLRDMGSSIEVAVISGLNKNFTLYEVITILEEEGAEVVSASFSTVGDKVFHSIHAQVKFSRVGVDTSRACHRLQELICWNAELSGSH >Manes.16G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27878106:27881558:-1 gene:Manes.16G075700.v8.1 transcript:Manes.16G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASAARGCYNMPVSDKYEHKRQGFSLSKVIFAGKRDPRDSVITNVATKMPSIVPSPEKDDVGAGRNHVAWTSVRQERWEGELLVQGEIPLWLKGTYLRNGPGLWHIGDYNFRHLFDGYATLVKLYFENGRLVAGHRQIESEAYKAAKKNNKLCFREFSEVPKQENFLAYIGEIANLFSGASLTDNANTGVVKLGDGRVVCLTETQKGSIIIDPETLDTLGKFEYSDSLGGLIHSAHPIVTDNEFLTLLPDLLKPGYLVVRMEPGSNERKVIGRVDCRGGPAPGWVHSFPVTENYVIVPEMPLRYCAKNLLKAEPTPLYKFEWHPHSKGFMHVMCKASGKIVASVEVPLYVTFHFINAYEERDEEGRVTSIIADCCEHNADTTILEKLRLQNLRAFMGMDVLPDAKVGRFIIPLDGSPCGKLEAALDPDEHGRGMDMCSINPAYLGKKYRYAYACGAQRPCNFPNTLTKIDLVEKKAKNWYDEGAVPSEPLFVARPGATEEDDGVVISMISEKNGDGYALLLDGSTFEEIARAKFPYGLPYGLHGCWVPKK >Manes.16G013100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1310450:1311163:1 gene:Manes.16G013100.v8.1 transcript:Manes.16G013100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVEFVPPISEMNDFERFPARTTCQEAPVIEGPHTGRYYAHRVRESLTARVTRLICAIFLTILFFSGIVAFLLLVSLRPHRPRIHIRDFSVRGLGQANGYENAQIIFNVTARNSNHRIGFHCGYMEGSVYYKDQQIGYTLLLDPFYQEPKNTTIMYGVLSGTALTVNSQRWMEFLNDRMLGPAIFRLEITSNIKFKVSTWDSKHHQLHANCIVGVGMVGSILPSYKNKKCPVYFT >Manes.08G108900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34816500:34818996:-1 gene:Manes.08G108900.v8.1 transcript:Manes.08G108900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTRGIYGSEGPPAVTIHVTGFKKFHRVSENPRSLTETIVNNLEEYMKKKGLPKDVILGSFSVLETAGQGALLPLYQTFQSAINTKDSGSSTSHRIIWLHFGVNSGTTRFAIEHQAVNEATFRCPNEMGWKPQTTLPVEEITKALVKKGYEVITSEDAGRFVCNYVYYHSLCFAEQNGSQSLFVHIPLFSIIDEETQMQFAASLLEVLASLC >Manes.02G211261.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29591536:29592429:1 gene:Manes.02G211261.v8.1 transcript:Manes.02G211261.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHLTKDPPTDETHRDWMRDDARLFLQIQNSIYSELMEYLEFLYSSKMNIFCIYDVCKAFYRVEKNDKTLTSYFMDFKRVYEELNVLMPFSIDVKTQQTQREQMAVMSFLAGLPPEFETAKSQILFDSEISLLHDVFTRVLHTESPIPSHPIGALVSRNDSGRQNNRGRQREGFNDCKGSQRSGKIGSTSDSGGIICYYCHEPGHTKKTCHKLHNKNERTQMAHMAVEASSD >Manes.10G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5016153:5022580:-1 gene:Manes.10G046200.v8.1 transcript:Manes.10G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGITEPGSFSSKDYHDPPPAPLIDPAELTQWSFYRALIAEFIATLLFLYITVLTVIGYKSQTDPSLKNSDSCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVMYMVAQCLGAISGVGLVKAFQRSHYKRYGGGANTLADGYSTGVGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQDKAWDDQWIFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSNPTV >Manes.12G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27864633:27866950:-1 gene:Manes.12G103700.v8.1 transcript:Manes.12G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLSKTDSEVSSLTQSSPTSSSPTRRPVYYVQSPSRDSHDGEKTTNSLYSTPILSPTASPPQSHSNSSLGPHSRESSSTRFSGSHRKADGSNRKFSRKPWKEFDAIEEEGLLDGDITSHGLPRRCYFIAFVAGFFTLFSLFSLILWGASRPQKPTITMKSIVFDQFVVQAGSDFSGVATELVSMNCSVKLTFRNTATFFGVHVTSTPIDLTYSQLTVATGTIRNFYQSRKSQRLLTVMVKGSSIPLYGGGASLGSFNGAPTQPLPFRLYFMVRSSAYVLGKLVKPNFYKRVECLVVMDPKKMNVAISLKNKCNYQ >Manes.04G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17111273:17156377:-1 gene:Manes.04G066100.v8.1 transcript:Manes.04G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSTAPESIVLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVRLCLDRRHHGSDSLLSNSMSQWQGPALLAYNDAVFTEEDFVSISRIGGSAKHGQAWKTGRFGVGFNSVYHLTDLPSFVSGKYAVLFDPQGVYLPNISTSNPGKRIDFVSSSAVELYKDQFSPYVAFGCDMKTSFAGTLFRFPLRNAHQAATSKLSRQAYLDDDILSMFIQLFEEGVLSLLFLKNVLSIEMYVWEKGDKEPRKLYSCGVCAVNDDVIWHRQALLRMSKRSSDGLVEESEMDAYCVDFLSEAFCGSEVKKRIDRFYVVQTMASANSRIVSFAATASKEYDIHLLPWASVAACISDDLSGNDYLKLGRAFCFLPLPIRTGLNVHVNGYFEVSSNRRGIWYGADMDRSGKIRSIWNRLLLEDVVAPAFKHLLLGVQGLLGSTDSYYSLWPTGSFEEPWNILVEHIYKKVGYAPVLHSEFEGGKWVTPVEAFLHDEEFNKSKELGEALLKLGIPIVHLPVFLFDMLLKYASGFEQKVVTPGTVRHFLRECKVLVTLSKGYKLVLLEYCLEDLIDADVGIHANKLPLLPLANGDFGLLSEASTETSYFICNELEYMLLKKIYDKIVDRDIPVHIFSRISAIAQSSKANIAVFSITHFLNLFSRFVPADWRYKSKVLWNPGSCSNHPTSSWFELFWQYLQTHCKTLSLFGDWPILPSTSQHLYRPSRQSKLIRADKLPVSIHDALVKIGCKVLSTACGVEHPDLSLYVSEANCAGVLESIFDAVSSHGGISQTLFHILQDEEKDDLRRFLLDPKWYLGDCIDGSVIRNCKKLPIYKVYGGRSVHDIHFSDLENPKKYLPPLNVPDNFLGSEFIMTSLNSEEEILMRYYGIERMGKAHFYRQQVFENIRELQPEVRDSIMLSVLQNLPQFCVEDATFKEHLKNLEFVPTFNGAVKCPSVLYDPRNEELSALLDDSDNFPSGAFQEPDILDVLHSLGLRTSVSPETVLESARQVEQLMHEDKKKAHSRGKVLLSYLEVNAIKWFPTQLNDDEGTVQRIFSRAATTFRPRNMKSDLEKFWNDLRMICWCPVMVSAPFHTLPWPAVSSTVAPPKLVRLQTDLWLVSASMRILDGECSSTALSYNLGWLSSPGGSALAAQLLELGKNNEIVNDQLLRQELTLAMPKIYSIMMSLIGSDEIDIVKAILEGSRWIWVGDGFATIDEVVLNGPLHLAPYIRVIPIDLAVFKDLFLELGVQEHFKPIDYANILVRMALRKGSCPLDVQEIRAAILIVQHLAEVQFHEQEVKIYLPDVSGRLFPADGLVYNDAPWLLGTDDAESSFSASSVALNAKRTVQKFVHGSISNEVAEKLGVCSLRRILLAESADSMNFGLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFELVQNAEDAGASEVIFLLDKTQYGTSSVLSPEMADWQGPALYCFNDSVFTPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPAFVSGENIVMFDPHACHLPGISPSHPGLRIKFVGRKILEQFPDQFSPFLHFGCDMEHPFPGTLFRFPLRSSAIALHSQIKREGYAPEDVMSLFTSFSEVVSDVLLFLRNVKSISVFVKEGNGYEMQLLHRVHRNCIMDQNMGSNVSNDAFTLINGSQYNGLGKDQLLNRLSKSIDRDFPYKCKKIVVTEQRPSGVLSHCWMTCECLGSGIAKSNSEAANDKSHKSIPWACVAAYIHSVKRDGESSDIFNTEDACSEIFQVSATSIQHRKNFEGRAFCFLPLPISTALPAHINAYFELSSNRRDIWFGNDMAGGGKKRSDWNMYILEAVVAPAYGRLLEKIAQEIGPCDLFFSYWPTATGLEPWASVVRKLYIFIAESGIRVLYTKARQGQWVTAKQVLFPDFNFDKAHDLVEALSDASLPLVTISKPLVQRFMEACPSLNFLTPQLLRTLLIRRKRGFKERSSMILTLEYCLLDLKVPVQPDSLYGLALLPLANGSFATFGKNGADERIYISRGDEYGLLKDSIPHQLVDNEIQEVVYGKLCSIAESQRSNISFLSCDLLEKLLVKLLPVEWQLSKKVNWAPGSQGQPSIEWIRCLWSYLKSCCNDLSIFSNWPILPVGDNYLMQLVPNSNVITDDGWSENMSSLLVKVGCLFLRRDLQIEHPGLGKFVQPPTAAGILNAFLAIAGSPEKIEALFTDASERELHELQSFVLQSKWFFEEHMDDACVDVIKHLPVFESYRSRKLVSLSRPTKWLKPDGVREDLLGDDFVRTESERERIILQRYLDIKEPSKVEFYKVYVLNHMSEFLSRRESLVAILNDVKLLTDHDFSIKSTLCTTAFVLAANGTWQQPSRLYDPRVPELQKVLHSGFFPSKEFSDPETLETLVSLGLKRTLGFTGFLDFARSVSMLHDSGNSEAVSYGQRLITCLDALAHKLSAEEKEGNCNQLQSISVCQDNCIANGEAVYLNALERGENHYEDSLDVEYLLTDLADDKPEEEFWSEMKAIDWCPVCVDPPLQGLPWLKSNKQVASPNIVRPKSQMWMVSCTMHILDGEFHSNYLQKRLGWMECPKVSVLSMQLVELSKSYNQVKLNSPVRLDFDAALQKGIPTLYSKLQEYIGANDFTESKSALDGVSWVWIGDDFVSPSELAFDSPVKFHPYLYVVPSELSEFRVLLLALGVKLSFDIWDYFHVLQRLQNNVKGCPLSTDQLTFVHCVLEAVVDCCSEDPLFDVSNTSLLIPDSSGVLMCSGELVYNDAPWMENSALGGKHFVHPSVDNDLANRLGVKSLRCLSLVDEDMTKDLPCMDFTKIKELLALYGNNDFLLFDLLELADCCKAKKLHLFFDKREHPRQSLLQHNLGQFQGPALVAILEGVSLNREEVSSLQLLPPWRLRGNTLNYGLGLLSCYFVCDFLSVISGGHFYMFDPCDLALGVPSSHTPTAKMFSLIGTNLTKRFSDQFNPMLIGENTSWSLLDSTIIRMPLSSECLKDGLELGLKRVKQIYDRFMERASGTLIFLKSVLQVSLSTWDEGSEQACQDYSVCVDPLSATLRNPFPEKKWRKFQISRLFSSSSSAVKLHVIDVNLDERATATRVVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRDGHPVDVHLKSCVMSPLPLSTNITLPVIVLGCFLVRHNGGRCLFKYQDRGTSGDAQADAGGQLVEAWNRELMSCVRDSYIEMVMEMQKLRREPSSSTIESSAGRAFSLSLKAYGDLIYSFWPRSNRHASINQPGDGDNLAQMRVLKADWECLIEQVIRPFYARVADLPVWQLYSGSLVKSEEGMFLSQPGNVVASNLLPATVCSFVKEHYPVFSVPWELVTEIQAVGIPIREIKPKMVRDLLRMSTTPFALRSIDTYLDVLEYCLSDIEIPGSSNFSEENASVDSFNFNIMHRAANDVGNSSTSASMPNVQNVHGLQDQSESSSGDALELMTSLGKALFDFGRGVVEDIGRAGGPSSQRNTISDGSGNGNPMTLFVAAELRGLPCPTATNNLARLGVSELWLGNKDQQALMTPLAAKYVHPKLLDRSILSDIFSKCASQSLLKLKNFSLHLLAGHMRLLFHENWVNHVMGSKLAPWFSWENTSNSFDEGGPSHEWIRLFWKCFTGSSEELSLFADWPLIPAFLGRPILCRIKERHLVFIPPPFTDPVSGNSVLDMGSSGNGMTGLSINEYDVQLYISAFEQTKSRHPWLFSLLNQCNIPIFDAAFFGCAASCNCLPSPVQSLGQVIASKLVAAKNAGYFAELKSFSDSDRDELFSLFAYDFLSNASKYGTEELEVLRCLPIYKTVGGSYMKLLGQDMCMISSGSFLKPFDEHCLSHSTDSIECSLLRALGVPELHDPQILIRFGLPGFEGKPRPEQEDILIYLYTNWQDLQTDSSLLEVLKESRFVRTADEFSTDLSQPRDLFDPCDALLTSVFSGERKKFPGERFNTDGWLRILRKTGLRTATDADVILECAKKVAFLGDQCIKSKGSSDDFERDSDDEVSVEIWALAGSVVEAVISNFAVFYGNNFCNSISKIACVPAKLGFPNGGGRKVLTSYSEAVLLKDWPLAWSCSPILTKQNVVPPEFSWGALHLRSPPSFSVVLKHLQVIGRNGGENTLAHWPTASGMMTVDEASCSVLRYLDRVWGSLSSSDTKELQRVAFLPAANGTRLVTANSLFVRLSINLSPFAFELPTLYLPFVKILKELGLQDMLTVEAAKDLLINLQKACGYQHLNPNELRAVMGILYFLCDAIIEGNADGGINWSSDAIVPDDGCRLVHAKSCVYIDSYGSQYVKYINKSRLRFVHPDLPERICLALGIRKVSDVVIEELDEEEDSQTLDYIGSVPLVLIREKLSSRSFQSSVWTLVNSTSGCIPVTYNLSLEIIQNLLGSLAEKLQFVKILHTRFVLLPKSLDITLIDKNCVVPEWEGGSKHRSLYFVNRSETCILVAEPPACISVLDVVAIVVSRILGFSSPLPIGSLFLCPGGSETAILGILKVCFNKRETEYTSNKLVGNEILPQDAVQVQLHPLRPFYNGEIVAWRSQNGEKLRYGRVPEDVKPSAGQALYRFKVETAPGVVECLLSSQVFSFKSISTGSEASLATVSGGSHAVVDKLPLVKVPESSGSTKPKSYQGGKELQYGRVSAEELVQAVHEMLSAAGINMDEEKQSLLQRTIALQEQLKESQAALLLEQEKADVAAKEADTAKGAWLCRVCLSNEVDMTIAPCGHVLCRKCSSAVSRCPFCRLQVTKTIRIFRP >Manes.04G066100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17111273:17156377:-1 gene:Manes.04G066100.v8.1 transcript:Manes.04G066100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISCLGLLLQHAYQMIFPNDYLKLGRAFCFLPLPIRTGLNVHVNGYFEVSSNRRGIWYGADMDRSGKIRSIWNRLLLEDVVAPAFKHLLLGVQGLLGSTDSYYSLWPTGSFEEPWNILVEHIYKKVGYAPVLHSEFEGGKWVTPVEAFLHDEEFNKSKELGEALLKLGIPIVHLPVFLFDMLLKYASGFEQKVVTPGTVRHFLRECKVLVTLSKGYKLVLLEYCLEDLIDADVGIHANKLPLLPLANGDFGLLSEASTETSYFICNELEYMLLKKIYDKIVDRDIPVHIFSRISAIAQSSKANIAVFSITHFLNLFSRFVPADWRYKSKVLWNPGSCSNHPTSSWFELFWQYLQTHCKTLSLFGDWPILPSTSQHLYRPSRQSKLIRADKLPVSIHDALVKIGCKVLSTACGVEHPDLSLYVSEANCAGVLESIFDAVSSHGGISQTLFHILQDEEKDDLRRFLLDPKWYLGDCIDGSVIRNCKKLPIYKVYGGRSVHDIHFSDLENPKKYLPPLNVPDNFLGSEFIMTSLNSEEEILMRYYGIERMGKAHFYRQQVFENIRELQPEVRDSIMLSVLQNLPQFCVEDATFKEHLKNLEFVPTFNGAVKCPSVLYDPRNEELSALLDDSDNFPSGAFQEPDILDVLHSLGLRTSVSPETVLESARQVEQLMHEDKKKAHSRGKVLLSYLEVNAIKWFPTQLNDDEGTVQRIFSRAATTFRPRNMKSDLEKFWNDLRMICWCPVMVSAPFHTLPWPAVSSTVAPPKLVRLQTDLWLVSASMRILDGECSSTALSYNLGWLSSPGGSALAAQLLELGKNNEIVNDQLLRQELTLAMPKIYSIMMSLIGSDEIDIVKAILEGSRWIWVGDGFATIDEVVLNGPLHLAPYIRVIPIDLAVFKDLFLELGVQEHFKPIDYANILVRMALRKGSCPLDVQEIRAAILIVQHLAEVQFHEQEVKIYLPDVSGRLFPADGLVYNDAPWLLGTDDAESSFSASSVALNAKRTVQKFVHGSISNEVAEKLGVCSLRRILLAESADSMNFGLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFELVQNAEDAGASEVIFLLDKTQYGTSSVLSPEMADWQGPALYCFNDSVFTPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPAFVSGENIVMFDPHACHLPGISPSHPGLRIKFVGRKILEQFPDQFSPFLHFGCDMEHPFPGTLFRFPLRSSAIALHSQIKREGYAPEDVMSLFTSFSEVVSDVLLFLRNVKSISVFVKEGNGYEMQLLHRVHRNCIMDQNMGSNVSNDAFTLINGSQYNGLGKDQLLNRLSKSIDRDFPYKCKKIVVTEQRPSGVLSHCWMTCECLGSGIAKSNSEAANDKSHKSIPWACVAAYIHSVKRDGESSDIFNTEDACSEIFQVSATSIQHRKNFEGRAFCFLPLPISTALPAHINAYFELSSNRRDIWFGNDMAGGGKKRSDWNMYILEAVVAPAYGRLLEKIAQEIGPCDLFFSYWPTATGLEPWASVVRKLYIFIAESGIRVLYTKARQGQWVTAKQVLFPDFNFDKAHDLVEALSDASLPLVTISKPLVQRFMEACPSLNFLTPQLLRTLLIRRKRGFKERSSMILTLEYCLLDLKVPVQPDSLYGLALLPLANGSFATFGKNGADERIYISRGDEYGLLKDSIPHQLVDNEIQEVVYGKLCSIAESQRSNISFLSCDLLEKLLVKLLPVEWQLSKKVNWAPGSQGQPSIEWIRCLWSYLKSCCNDLSIFSNWPILPVGDNYLMQLVPNSNVITDDGWSENMSSLLVKVGCLFLRRDLQIEHPGLGKFVQPPTAAGILNAFLAIAGSPEKIEALFTDASERELHELQSFVLQSKWFFEEHMDDACVDVIKHLPVFESYRSRKLVSLSRPTKWLKPDGVREDLLGDDFVRTESERERIILQRYLDIKEPSKVEFYKVYVLNHMSEFLSRRESLVAILNDVKLLTDHDFSIKSTLCTTAFVLAANGTWQQPSRLYDPRVPELQKVLHSGFFPSKEFSDPETLETLVSLGLKRTLGFTGFLDFARSVSMLHDSGNSEAVSYGQRLITCLDALAHKLSAEEKEGNCNQLQSISVCQDNCIANGEAVYLNALERGENHYEDSLDVEYLLTDLADDKPEEEFWSEMKAIDWCPVCVDPPLQGLPWLKSNKQVASPNIVRPKSQMWMVSCTMHILDGEFHSNYLQKRLGWMECPKVSVLSMQLVELSKSYNQVKLNSPVRLDFDAALQKGIPTLYSKLQEYIGANDFTESKSALDGVSWVWIGDDFVSPSELAFDSPVKFHPYLYVVPSELSEFRVLLLALGVKLSFDIWDYFHVLQRLQNNVKGCPLSTDQLTFVHCVLEAVVDCCSEDPLFDVSNTSLLIPDSSGVLMCSGELVYNDAPWMENSALGGKHFVHPSVDNDLANRLGVKSLRCLSLVDEDMTKDLPCMDFTKIKELLALYGNNDFLLFDLLELADCCKAKKLHLFFDKREHPRQSLLQHNLGQFQGPALVAILEGVSLNREEVSSLQLLPPWRLRGNTLNYGLGLLSCYFVCDFLSVISGGHFYMFDPCDLALGVPSSHTPTAKMFSLIGTNLTKRFSDQFNPMLIGENTSWSLLDSTIIRMPLSSECLKDGLELGLKRVKQIYDRFMERASGTLIFLKSVLQVSLSTWDEGSEQACQDYSVCVDPLSATLRNPFPEKKWRKFQISRLFSSSSSAVKLHVIDVNLDERATATRVVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRDGHPVDVHLKSCVMSPLPLSTNITLPVIVLGCFLVRHNGGRCLFKYQDRGTSGDAQADAGGQLVEAWNRELMSCVRDSYIEMVMEMQKLRREPSSSTIESSAGRAFSLSLKAYGDLIYSFWPRSNRHASINQPGDGDNLAQMRVLKADWECLIEQVIRPFYARVADLPVWQLYSGSLVKSEEGMFLSQPGNVVASNLLPATVCSFVKEHYPVFSVPWELVTEIQAVGIPIREIKPKMVRDLLRMSTTPFALRSIDTYLDVLEYCLSDIEIPGSSNFSEENASVDSFNFNIMHRAANDVGNSSTSASMPNVQNVHGLQDQSESSSGDALELMTSLGKALFDFGRGVVEDIGRAGGPSSQRNTISDGSGNGNPMTLFVAAELRGLPCPTATNNLARLGVSELWLGNKDQQALMTPLAAKYVHPKLLDRSILSDIFSKCASQSLLKLKNFSLHLLAGHMRLLFHENWVNHVMGSKLAPWFSWENTSNSFDEGGPSHEWIRLFWKCFTGSSEELSLFADWPLIPAFLGRPILCRIKERHLVFIPPPFTDPVSGNSVLDMGSSGNGMTGLSINEYDVQLYISAFEQTKSRHPWLFSLLNQCNIPIFDAAFFGCAASCNCLPSPVQSLGQVIASKLVAAKNAGYFAELKSFSDSDRDELFSLFAYDFLSNASKYGTEELEVLRCLPIYKTVGGSYMKLLGQDMCMISSGSFLKPFDEHCLSHSTDSIECSLLRALGVPELHDPQILIRFGLPGFEGKPRPEQEDILIYLYTNWQDLQTDSSLLEVLKESRFVRTADEFSTDLSQPRDLFDPCDALLTSVFSGERKKFPGERFNTDGWLRILRKTGLRTATDADVILECAKKVAFLGDQCIKSKGSSDDFERDSDDEVSVEIWALAGSVVEAVISNFAVFYGNNFCNSISKIACVPAKLGFPNGGGRKVLTSYSEAVLLKDWPLAWSCSPILTKQNVVPPEFSWGALHLRSPPSFSVVLKHLQVIGRNGGENTLAHWPTASGMMTVDEASCSVLRYLDRVWGSLSSSDTKELQRVAFLPAANGTRLVTANSLFVRLSINLSPFAFELPTLYLPFVKILKELGLQDMLTVEAAKDLLINLQKACGYQHLNPNELRAVMGILYFLCDAIIEGNADGGINWSSDAIVPDDGCRLVHAKSCVYIDSYGSQYVKYINKSRLRFVHPDLPERICLALGIRKVSDVVIEELDEEEDSQTLDYIGSVPLVLIREKLSSRSFQSSVWTLVNSTSGCIPVTYNLSLEIIQNLLGSLAEKLQFVKILHTRFVLLPKSLDITLIDKNCVVPEWEGGSKHRSLYFVNRSETCILVAEPPACISVLDVVAIVVSRILGFSSPLPIGSLFLCPGGSETAILGILKVCFNKRETEYTSNKLVGNEILPQDAVQVQLHPLRPFYNGEIVAWRSQNGEKLRYGRVPEDVKPSAGQALYRFKVETAPGVVECLLSSQVFSFKSISTGSEASLATVSGGSHAVVDKLPLVKVPESSGSTKPKSYQGGKELQYGRVSAEELVQAVHEMLSAAGINMDEEKQSLLQRTIALQEQLKESQAALLLEQEKADVAAKEADTAKGAWLCRVCLSNEVDMTIAPCGHVLCRKCSSAVSRCPFCRLQVTKTIRIFRP >Manes.12G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29255434:29276802:1 gene:Manes.12G107000.v8.1 transcript:Manes.12G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSENCSVKVAVHIRPLIGDERVQGCKECVTVTPGKPQVQIGAHSFTFDNVYGNGGSPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTNFKDGCQTGLIPQVMNALFNKIETLKHQTEFQLHVSFIEILKEEVKDLLDTVSVSKSVAVNGHGKVAVPGRPSIQIRESSNGVITLAGSTEVAVSTIQEMAGCLEQGSLSRATGSTNMNNQSSRSHAIFTITLELMHKLHSVSPVDDTPDEDMGEEYICAKLHLVDLAGSERAKRTGSNGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADINAEETLNTLKYANRARNIQNKPVVNRDLISNEMQQMRQQLKYLQAELCARGGGSPSDEVQVLKERIAWLEATNEDLSRELHEYRSCCAVVEQCEIDSQEGHVYSSKSERLKRDFQSMDSSDFQMDEVLSGESSGEIDEAAKEWEHALMQSTMDKELNELNRRLEQKESEMKLFGGDGAEALKQHFRKKIMELEEEKRIVQQERDHLLAEIENLAANSDGQTQKTQDIHSQKLKTLEAQILDLKKKQESQVELLKKKQRSDEAARRLQAEIQHIKAQKVRLQHKIKQEAEQFRQWKASREKEMLQLRKEGRRNEYERHKLEALHQRQKLVLHRKTEEAAVATRRLKELLEARKSSARENSVDSNGYTSSSQGNEKSLQRWLDHELEVMVNVHEVRFQYEKQRQEQAALAEELAFLKQVDQLGHNGQSPQKGKNGHSRLQLMSPNARMARVASLENMLSISSNALMAMASQLLEAGERERSIIGRGHWNQLRSMGEAKNLLQYMFTSAAEARCQLWDKDMEIKDLKDQLNELVTLLRQSEAQRKELVKEQKMRDQAVAIALATSALGNSRSSSKHYSDDISGPLSPMSLPAPKQLKFTPGIVNGPLRESAAFLDQTRKMVPVGQLAMKKLVAAGQTGKLWRWKRSHHQWLLQFKWKWQKPWKLSEWIKHSDETIMRSRPRQQALIDMI >Manes.02G214100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:28042480:28043405:1 gene:Manes.02G214100.v8.1 transcript:Manes.02G214100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQAHSFSSMVVVYFLATYLNVLHAIATPHVVGGIDGWTLFTNSSNWVQGKEFHVSDVLEFNYERGLHNVMQVNSTAYEGCIKDTYIGLFTSGNDSLVLSEVGQMWFICGVSDHCELGQKLTINVIP >Manes.17G063100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26243543:26246981:-1 gene:Manes.17G063100.v8.1 transcript:Manes.17G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:eIF4E_me MAAEEPLKSTTEETPNPNLNSNPRAQDDVNDDEPEEGEIVGDEESSAKKSSAVTYQPHPLEHQWTFWFDNPTAKSKQATWGSSMRSIYTFATVEEFWSIYNNIHHPSKLAVGADFHCFKYKIEPKWEDPVCANGGKWTVTFGRGKSDTSWLYTLLAMIGEQFDHGDEICGAVVNVRIKQEKIALWTKNASNEAAQLSIGKQWKEFLDYNDTIGFIFHEDAKKLDRGAKNRYTI >Manes.17G063100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26243543:26246981:-1 gene:Manes.17G063100.v8.1 transcript:Manes.17G063100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:eIF4E_me MAAEEPLKSTTEETPNPNLNSNPRAQDDVNDDEPEEGEIVGDEESSAKKSSAVTYQPHPLEHQWTFWFDNPTAKSKQATWGSSMRSIYTFATVEEFWSIYNNIHHPSKLAVGADFHCFKYKIEPKWEDPVCANGGKWTVTFGRGKSDTSWLYTLLAMIGEQFDHGDEICGAVVNVRIKQEKIALWTKNASNEAAQSGV >Manes.16G077300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28166447:28168276:-1 gene:Manes.16G077300.v8.1 transcript:Manes.16G077300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILQSEDGDIIDCVDIYKQPAFDHPALKNHTLQMRPSSDVLTETSTTAKNKTYEVVQAFQTWQKSGTCPNGTIPIRRIHREDLLRAASLDQFGRKYPNSRNQTATQDPNAHLGKKAVSVVSLPNRSSAVLFAYAYNFIGASGDINVWNPHVQAQGEYTTAQIWAKAGPGDTFESVEAGWVVHPALFGDTRTRLFAYWTVDGYRNTGCFDLTCTGFVQTSSEIALGLAIEPISSFQYQTVINVYMFLDVTTGSWWLHVNNKPVGYWPGKLFSFLTYSAIAVEWGGDVYSQNVRKTPHTMTAMGSGYAAEELFGLACFINNVRIVDFSKSPKYPNPVNVFADEYRCYSAINYIEGNGVEPVFFFGGPGQTYTCP >Manes.18G142500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:26489852:26491517:1 gene:Manes.18G142500.v8.1 transcript:Manes.18G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASGGSGAVDSNSGEPSPSVAVAAAAGGRGASSASQGQAEGSFQAPLSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHITGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVREAQAKARGIPYEKKKRKRPAAVTVAAVPANVSVSVSHGVEVGGASSSGGVVGDGAGSSGVETSTVSATSTNPAAAAATTTTAG >Manes.09G135900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33610271:33614972:-1 gene:Manes.09G135900.v8.1 transcript:Manes.09G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFLQTLDGQILLGVAVAAVAIGIGAIFLFSSKKPKGCLDPENFKEFKLVKRTQLSHNVAKFTFALPTPTSVLGLPIGQHISCRGKDSQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFREMRVGDYLSVKGPKGRFRYQPGQVRAFGMLAGGSGITPMFQVARAILENPKDKTKVHLIYANVTYEDILLKEELDGLAANYPDRFKIYYVLNQPPEVWDGGVGFVSKEMIEKHCPAPAADIQILRCGPPPMNKAMAAHLEALGYSPEMQFQF >Manes.05G174002.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28969044:28971787:1 gene:Manes.05G174002.v8.1 transcript:Manes.05G174002.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQNILYSKTSFLFGTSLDRQCGSRIVHMVPTMSLCRCNTNSCRVRAKIVPIKRIAGLDFLKNSDVMQPGRIRGVADGNPGELSDEDEDLCPVDCVREFKSDEEFFKILENAKETDTLVVVDFFRPSCGSCKYIEQGFAKLCKGAGDDEAPVIFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKNGVLLEAFPTRDKERIIAAILKYASPASTAYMN >Manes.05G174002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28969030:28971757:1 gene:Manes.05G174002.v8.1 transcript:Manes.05G174002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQNILYSKTSFLFGTSLDRQCGSRIVHMVPTMSLCRCNTNSCRVRAKIVPIKRIAGLDFLKNSDVMQPGRIRGVADGNPGELSDEDEDLCPVDCVREFKSDEEFFKILENAKETDTLVVVDFFRPSCGSCKYIEQGFAKLCKGAGDDEAPVIFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKNGVLLEAFPTRDKERIIAAILKYASPASTAYMN >Manes.05G174002.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28969047:28971638:1 gene:Manes.05G174002.v8.1 transcript:Manes.05G174002.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQNILYSKTSFLFGTSLDRQCGSRIVHMVPTMSLCRCNTNSCRVRAKIVPIKRIAGLDFLKNSDVMQPGRIRGVADGNPGELSDEDEDLCPVDCVREFKSDEEFFKILENAKETDTLVVVDFFRPSCGSCKYIEQGFAKLCKGAGDDEAPVIFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKNGVLLEAFPTRDKERIIAAILKYASPASTAYMN >Manes.11G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9043209:9047996:-1 gene:Manes.11G060400.v8.1 transcript:Manes.11G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNDSGGSEKKSSESANDIQTFNAENLQSNMKVIYYSRTFLAIISGVIAGILGFTGLTGFIFYVLIMAITSLGLVAKAKFSVHSYFDSWNRIILDGFFGGLMSFVLFWTFAYDIVHIF >Manes.06G046950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14720310:14721359:1 gene:Manes.06G046950.v8.1 transcript:Manes.06G046950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIFLLLSSISSLATAQRSIISLGIWLFRIHQRTVIWTANREDPPLSRNATLILNAQGELILQQQGIESKFIANIPIYDSDSNFIWQTFYAPTDTILPGQEHLVCSISDIVHSSGRFALRMRKNGNLVLFPVEYPDQSDYFYWRSRPANARGNVKLNFDKNGLLYLLDTNGNNIRNLSNSKTIFGKAMYRATIDADEIFRLYSQNLDGNSNWTVDLCVENQI >Manes.16G082500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28879856:28886172:-1 gene:Manes.16G082500.v8.1 transcript:Manes.16G082500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRKTRYCSLTKTLEPLSLSHSLACHLRIRRASAMSSNAQDTQGNQQPSQKMQIYSTSNTGVTPFWKEKYERDAKKYWDIFYKRHEDRFFKDRHYLDKEWGQYFTGGERKVILETHKDYKDTQVRAFVCDLTVDDLNKEISPASVDIVTMIFVLSAVSPEKMPLVLQNIKKVLKPNGYVLLRDYAIGDLAQERFTCKDQKLSENFYVRGDGTRAFYFSNEFLTRLFKDNGFDVEELGLCCKQVENRSRELVMNRRWIQAVFRFSDFSNSCLSKKAAIKENLTGQENAEPKVKASTSNSIEVDISDGLAAEMFGILPSLDSEVIEIKLRDQSFKIDVLSKEYQHTCKSTGLMLWESARMMASLLAGNPTIVKGKNVLELGCGCGGICSMVAVKSANFVVATDGDTKALELLTQNVASNLRPPSLDKLIMKRLEWGNREHIQAIKELNSEGFEVIIGTDVTYIPEAILPLFETAKQLMSSNCNGEDQQPALILCHVLRRVDEQAILASASQFGFRLIDNWHAGISSDSSQSIISTWFPDNGREEYIPSTALNIMYFQLQ >Manes.16G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28879856:28886172:-1 gene:Manes.16G082500.v8.1 transcript:Manes.16G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRKTRYCSLTKTLEPLSLSHSLACHLRIRRASAMSSNAQDTQGNQQPSQKMQIYSTSNTGVTPFWKEKYERDAKKYWDIFYKRHEDRFFKDRHYLDKEWGQYFTGGERKVILEVGCGAGNTIFPLVATYPDIFVHACDFAPRAVNLVKTHKDYKDTQVRAFVCDLTVDDLNKEISPASVDIVTMIFVLSAVSPEKMPLVLQNIKKVLKPNGYVLLRDYAIGDLAQERFTCKDQKLSENFYVRGDGTRAFYFSNEFLTRLFKDNGFDVEELGLCCKQVENRSRELVMNRRWIQAVFRFSDFSNSCLSKKAAIKENLTGQENAEPKVKASTSNSIEVDISDGLAAEMFGILPSLDSEVIEIKLRDQSFKIDVLSKEYQHTCKSTGLMLWESARMMASLLAGNPTIVKGKNVLELGCGCGGICSMVAVKSANFVVATDGDTKALELLTQNVASNLRPPSLDKLIMKRLEWGNREHIQAIKELNSEGFEVIIGTDVTYIPEAILPLFETAKQLMSSNCNGEDQQPALILCHVLRRVDEQAILASASQFGFRLIDNWHAGISSDSSQSIISTWFPDNGREEYIPSTALNIMYFQLQ >Manes.05G071100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5849797:5852633:-1 gene:Manes.05G071100.v8.1 transcript:Manes.05G071100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCASVPSPDMKKKEDTSSPVGVLEDYFRSEESESCSSKEPTSVLEAQRTSKANSPWHGFFQLLRSRSKKHLATLHPLSVLKLSLKRSSSMREMVPNLFSSSDSFNLNSPTTSFTLSELQAATNNFSQENLIGKGGYAEVYKGCLKNGKLVAIKRLTRGTLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGVEGGLHLVLELSPHGSLASLLYGSKDKLTWEIRYKIALGTAEGLLYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHVVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKSEIKELVDPALANDYNARQMNLMVLAASLCIQHSSLRRPQLTQVVQILKGNLSCLKCMKKSRVAFFRKAFSEELFKAEEYKSPNNISSQLG >Manes.05G071100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5849561:5852396:-1 gene:Manes.05G071100.v8.1 transcript:Manes.05G071100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCASVPSPGSLSDHIDMKKKEDTSSPVGVLEDYFRSEESESCSSKEPTSVLEAQRTSKANSPWHGFFQLLRSRSKKHLATLHPLSVLKLSLKRSSSMREMVPNLFSSSDSFNLNSPTTSFTLSELQAATNNFSQENLIGKGGYAEVYKGCLKNGKLVAIKRLTRGTLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGVEGGLHLVLELSPHGSLASLLYGSKDKLTWEIRYKIALGTAEGLLYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHVVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKSEIKELVDPALANDYNARQMNLMVLAASLCIQHSSLRRPQLTQVVQILKGNLSCLKCMKKSRVAFFRKAFSEELFKAEEYKSPNNISSQLG >Manes.05G071100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5849797:5852633:-1 gene:Manes.05G071100.v8.1 transcript:Manes.05G071100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCASVPSPGSLSDHIDMKKKEDTSSPVGVLEDYFRSEESESCSSKEPTSVLEAQRTSKANSPWHGFFQLLRSRSKKHLATLHPLSVLKLSLKRSSSMREMVPNLFSSSDSFNLNSPTTSFTLSELQAATNNFSQENLIGKGGYAEVYKGCLKNGKLVAIKRLTRGTLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGVEGGLHLVLELSPHGSLASLLYGSKDKLTWEIRYKIALGTAEGLLYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHVVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKSEIKELVDPALANDYNARQMNLMVLAASLCIQHSSLRRPQLTQVVQILKGNLSCLKCMKKSRVAFFRKAFSEELFKAEEYKSPNNISSQLG >Manes.05G071100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5849338:5852641:-1 gene:Manes.05G071100.v8.1 transcript:Manes.05G071100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCASVPSPDMKKKEDTSSPVGVLEDYFRSEESESCSSKEPTSVLEAQRTSKANSPWHGFFQLLRSRSKKHLATLHPLSVLKLSLKRSSSMREMVPNLFSSSDSFNLNSPTTSFTLSELQAATNNFSQENLIGKGGYAEVYKGCLKNGKLVAIKRLTRGTLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGVEGGLHLVLELSPHGSLASLLYGSKDKLTWEIRYKIALGTAEGLLYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHVVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKSEIKELVDPALANDYNARQMNLMVLAASLCIQHSSLRRPQLTQVVQILKGNLSCLKCMKKSRVAFFRKAFSEELFKAEEYKSPNNISSQLG >Manes.05G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5849358:5852638:-1 gene:Manes.05G071100.v8.1 transcript:Manes.05G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCASVPSPGSLSDHIDMKKKEDTSSPVGVLEDYFRSEESESCSSKEPTSVLEAQRTSKANSPWHGFFQLLRSRSKKHLATLHPLSVLKLSLKRSSSMREMVPNLFSSSDSFNLNSPTTSFTLSELQAATNNFSQENLIGKGGYAEVYKGCLKNGKLVAIKRLTRGTLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGVEGGLHLVLELSPHGSLASLLYGSKDKLTWEIRYKIALGTAEGLLYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHVVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKSEIKELVDPALANDYNARQMNLMVLAASLCIQHSSLRRPQLTQVVQILKGNLSCLKCMKKSRVAFFRKAFSEELFKAEEYKSPNNISSQLG >Manes.05G071100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5849561:5852396:-1 gene:Manes.05G071100.v8.1 transcript:Manes.05G071100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCASVPSPDMKKKEDTSSPVGVLEDYFRSEESESCSSKEPTSVLEAQRTSKANSPWHGFFQLLRSRSKKHLATLHPLSVLKLSLKRSSSMREMVPNLFSSSDSFNLNSPTTSFTLSELQAATNNFSQENLIGKGGYAEVYKGCLKNGKLVAIKRLTRGTLDEMIGDFLSEMGIMAHVNHPNTAKLIGYGVEGGLHLVLELSPHGSLASLLYGSKDKLTWEIRYKIALGTAEGLLYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHVVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKSEIKELVDPALANDYNARQMNLMVLAASLCIQHSSLRRPQLTQVVQILKGNLSCLKCMKKSRVAFFRKAFSEELFKAEEYKSPNNISSQLG >Manes.05G042400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3422376:3424744:-1 gene:Manes.05G042400.v8.1 transcript:Manes.05G042400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEHNQNRQSQDLPQVLLLKPPPVMTVLGEQQFSSNKFQLLKAWDSQLPLNQFLPKHANSIQAILCSGASPVTDDLLQLLPFLRLVVTASAGTNHIDLTACRRRGISVTNAGNVFSDDGADAAVGLLFDALRKISAADRHVRQGLWVKKGDYPLGSKVGGKRIGIVGLGGIGLQVAKRLEAFGCIISYNSRNKKTFVSYPFYSNVCELAAHSDALIICCALTDQTLHMINKEVLSALGKKGVIVNVGRGAIIDEKELVRCLVTGAIAGAGLDVFENEPDVPKQLLELDNVVLSPHRAVFTPESFMALCELVVGNLEAFFSNLPLLSPVMDECTDG >Manes.05G042400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3420228:3424744:-1 gene:Manes.05G042400.v8.1 transcript:Manes.05G042400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEHNQNRQSQDLPQVLLLKPPPVMTVLGEQQFSSNKFQLLKAWDSQLPLNQFLPKHANSIQAILCSGASPVTDDLLQLLPFLRLVVTASAGTNHIDLTACRRRGISVTNAGNVFSDDGADAAVGLLFDALRKISAADRHVRQGLWVKKGDYPLGSKVGGKRIGIVGLGGIGLQVAKRLEAFGCIISYNSRNKKTFVSYPFYSNVCELAAHSDALIICCALTDQTLHMINKEVLSALGKKGVIVNVGRGAIIDEKELVRCLVTGAIAGAGLDVFENEPDVPKQLLELDNVVLSPHRAVFTPESFMALCELVVGNLEAFFSNLPLLSPVMDECTDG >Manes.08G018500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1877437:1886136:-1 gene:Manes.08G018500.v8.1 transcript:Manes.08G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTEGANGAAMGPGLGDIPESCVACVFTYLTPPEICNLARLNRAFRGAASSDSVWEKKLPPNYQDLLALLPPERYQNLSKKDIFALLSRPIPFDEGNKEVWLDRVTGRVCMSISAKGMAITGIEDRRYWNWVPTEESRFHVVAYLQQIWWFEVDGIVKFPFPADIYTLFFRLHLGRFAKRLGRRVCYFEHTHGWNIKPVRFELSTSDGQQASSENCLDETEQEANGNHKRGLWLEYKVGEFVVNDSEPATEVRFSMKQIDCTHSKGGLCVDSVFIIPSDLKERKRRGVLK >Manes.01G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32610276:32618540:-1 gene:Manes.01G133000.v8.1 transcript:Manes.01G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSGSASCCADIKISAVVLDLDGTLLDTENATKGVLKEFLAKYGKKLDKEKEDRKRLGMTLQASAATIVKDYDLPFTPNQFIDEIIPLYRDKWLLARPLPGANRLIKHLHKNGVPFALASNSLREFIDAKISHQEGWNEYFSTILGSDQVKSGKPSPDLLIEAARRMGVDTLKCLVIEDSLVGVKAAKAAKMRVVAVPSGSEADCSLLADSVLHSLLEFQPEIWGLPLFDDWVDKALPIEPIYFRILYKNGCVTEVTDDGKSALPCQVSGLYFGWAESGMHRISKVVVGIGLLHHSCTVKRNIQIHMIVEKANEFSDQKMQLELVGYIRRLNSMELESNNVEILEEDKSIASCGLDQSIFIHHFSAPFNNSGCCVSGGL >Manes.10G147200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31628287:31631808:-1 gene:Manes.10G147200.v8.1 transcript:Manes.10G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVMALATKHSLLLFGILVFVISPLAHTKPAMADDDDAVVPANFSRSYFPDGFIFGTATSSYQIEGAANISGRGPSVWDIFTHETPERIRDGSNGDVAVDFYHRFQTDIKNVKEMGFDAFRLSISWSRVIPSGRRREGVNEEGIAFYTKVIQEIIANGLKPFVTIFHWDTPQALEDKYDGFLSRNIVDDYRDYADLLFERFGRHVRYWMTFNEPWALSGFAYDDGVFAPGRCSHFVNSQCSAGNSATEPYIVAHNLLLAHAAAVQVYREKYKKTQGGEIGITLFTFWFEPLSNRTVDIEASKTALDFMFGLWMDPLTYGRYPRRVRDLVGDRLPKFTDKEADLLRGSYDFLGIQYYTAYYAKPNYTVPQEKLRYKTDSGVNVTEYDYDGNLIGPQAYSPWFFIFPKGIRNLLNYTKDTYNNPVIYITENGIDNFNNETQPIEDALKDQFRIDYYKQHMWKALGSLKNYAVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRYPKDSAKWFKQFLHNDFTNRSLPLDKITEVTSKKPRKFGKFYIM >Manes.03G187400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30713691:30719427:-1 gene:Manes.03G187400.v8.1 transcript:Manes.03G187400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQEVVKAVKDSLLALDTQTVVEVKALEKAMEEVEKNLAAMRCMLCGDGEVEPNLDQVSQLVLEVCKEDVLALVIHKLPNLGWEARKDLVHCWSMFLKQKVDSKYCSVEYIENHFELLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFVLFFKFVELPNFDVASDAFSTFKDLLTKHSTLVAEFLTAHYDEFFDLYEKLLMSPNYVTRRQSLKLLSEFLLEAHNSHIMKRYVLEVRYLKVMMTLLKMGVLKKLYSAANSY >Manes.03G187400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30713691:30719427:-1 gene:Manes.03G187400.v8.1 transcript:Manes.03G187400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQEVVKAVKDSLLALDTQTVVEVKALEKAMEEVEKNLAAMRCMLCGDGEVEPNLDQVSQLVLEVCKEDVLALVIHKLPNLGWEARKDLVHCWSMFLKQKVDSKYCSVEYIENHFELLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFVLFFKFVELPNFDVASDAFSTFKDLLTKHSTLVAEFLTAHYDEFFDLYEKLLMSPNYVTRRQSLKLLSEFLLEAHNSHIMKRYVLEVRYLKVMMTLLKDSSKNIQISAFHIFKVFVANPNKPREVKVILAKNNERLVELLNNLSVGKGDEDEQFEEEKELIIMEIKKVSQQPILDS >Manes.02G082600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6504176:6505989:-1 gene:Manes.02G082600.v8.1 transcript:Manes.02G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIPKLLLLFSILSFISLSASARPCKTLFISSYSFSIKPLYPKPNSNFDRRSSSGFVTIVTEITQQHSSSEIFLDRPVFPAVDHYDTSEPQGIQRRQEGPVLPFGISSYDMSSLRDRTKDILSVVVALLFGVGCGALTAATMYLVWSLFSTRYDYRYEEFDGEDVDEADDVSPKKMGYVKIPEAVSMPKQVKEVV >Manes.02G211800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25686879:25706259:-1 gene:Manes.02G211800.v8.1 transcript:Manes.02G211800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRQIWEAIDSRQFKNALKLSSVLLSKYPNSPYALALKALILERMGKSDEALSICLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLDLATGCYEYASGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVFCGNGGEKLLLLAEGLLKKHVASHSLHEPEALIVYISILEQQAKYGDALEILSGKLGSLLMIEVDKLRIQGRLLAKSGDYAAGANIYQKILELCPDDWECFLHYLGCLLEDDSSWSNRVNGELVHLPNFVDCTVSHLTDEVLNCRLSNASAFVQKFQVDASNCFIRGPHLAILEIQRRRHLYGKKNYDEIMEALIQYFFKFGHLACFTSDVELFLQVLCPDQKMEFLEKLMKSTTHPLTTIPTKVLGLSITVFKIQQLIGNIDKLPVVEHEAFAAQMVEMYWKNLPLSKDLDPQESMHGEELLSMTCNVLVQLYWRTRHLGYIMDAIMVLEFGLAIRQYVWQYKILLVHLYSHLGALSLAYEWYKSLDVKNILMETVSHHILPHMLQSPLWVDLSNLLKDYLRFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQRSNQYLVARVEASILQLKQKTDNIEEEEGILESLNCGIHFVELSNEIRSKNLTFNEDFQSRPWWTPFPEKNYLLGPFEGISYCPKENMTEEREENVRRVIERKSLLPRMIYLTIQSASVSLKENAEVNGSVSEPKISSELKFLLERYAKLLGSSLTDAIEVVMGVSTGLKSSEAFGSDMVDWLNFAVFLNAWNLNSHELSQPGGDQCVHIWHNVDALLYKYISEKTRSMESLICTPRGHLPTLVQLVTEPLAWHGLVLQSCVRSSLPSGKKKKKGGPSEHSTSLLCNTIRDSIDSSRDIVEEVAKWITDQIKRPEDEVVEIILSYLRKTGQGEGPGQVFQLLESFTSSVVDEVELGSRIPQAVKLWSPVDVARKIVTGSSTVLSEFLRICELKIKSLRALKQQMAQV >Manes.02G211800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25686879:25706259:-1 gene:Manes.02G211800.v8.1 transcript:Manes.02G211800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFGLAGGIPERRVRQIWEAIDSRQFKNALKLSSVLLSKYPNSPYALALKALILERMGKSDEALSICLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLDLATGCYEYASGKFPNNLELMMGLFNCYVREYSFVKQQQVAIKMYKLVGEERFLLWAVCSIQLQVFCGNGGEKLLLLAEGLLKKHVASHSLHEPEALIVYISILEQQAKYGDALEILSGKLGSLLMIEVDKLRIQGRLLAKSGDYAAGANIYQKILELCPDDWECFLHYLGCLLEDDSSWSNRVNGELVHLPNFVDCTVSHLTDEVLNCRLSNASAFVQKFQVDASNCFIRGPHLAILEIQRRRHLYGKKNYDEIMEALIQYFFKFGHLACFTSDVELFLQVLCPDQKMEFLEKLMKSTTHPLTTIPTKVLGLSITVFKIQQLIGNIDKLPVVEHEAFAAQMVEMYWKNLPLSKDLDPQESMHGEELLSMTCNVLVQLYWRTRHLGYIMDAIMVLEFGLAIRQYVWQYKILLVHLYSHLGALSLAYEWYKSLDVKNILMETVSHHILPHMLQSPLWVDLSNLLKDYLRFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQRSNQYLVARVEASILQLKQKTDNIEEEEGILESLNCGIHFVELSNEIRSKNLTFNEDFQSRPWWTPFPEKNYLLGPFEGISYCPKENMTEEREENVRRVIERKSLLPRMIYLTIQSASVSLKENAEVNGSVSEPKISSELKFLLERYAKLLGSSLTDAIEVVMGVSTGLKSSEAFGSDMVDWLNFAVFLNAWNLNSHELSQPGGDQCVHIWHNVDALLYKYISEKTRSMESLICTPRGHLPTLVQLVTEPLAWHGLVLQSCVRSSLPSGKKKKKGGPSEHSTSLLCNTIRDSIDSSRDIVEEVAKWITDQIKRPEDEVVEIILSYLRKTGQGEGPGQVFQLLESFTSSVVDEVELGSRIPQAVKLWSPVDVARKIVTGSSTVLSEFLRICELKIKSLRALKQQMAQV >Manes.05G019000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1763442:1767192:-1 gene:Manes.05G019000.v8.1 transcript:Manes.05G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWVQRRFHHGALKDGLARNVKKAESITSGADKQALLKQVALVDVLDGWKGGILTIGTLGLDPLKPFNQQHEYLVLETEGGEEDDGDDYNDDEEEQEEYSVHSDEEDSNVDEDEDEKEEENPLIFTRFQHNFEELTSSFGANAAKSEDIMIIDPTETKSNIHDNDQRKRKGERITLAELFLADSDVKKKPESFEVEPDSGKKPAFRGKNGLSFAKKLIPNVGEDSRPIKKFHQLMRRMLKRKIHPELEGKTQKTDNQNKIAIMEVGISKGIAADESISLLPTPPRSYQYEL >Manes.16G056350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20943194:20944794:-1 gene:Manes.16G056350.v8.1 transcript:Manes.16G056350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLVQAYLVEAKWFNKNYTPTVDEYMSIALLSCGYPLLTITAFVGVGDIATTEAFDWASKDPKILRAASMICRLMDDIVSHEVYDKLVSAIECYMKQNVISQQEARDINEECLRPSNVLMPLFIRVINLARVIDYFYTDGDEYTHVGELMKSSIKSMLIDYVKIL >Manes.10G151302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31844068:31846795:-1 gene:Manes.10G151302.v8.1 transcript:Manes.10G151302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLAGNGTKGSDYKGGGKGTTQLLNSPWDVCYEPVNEKVYIAMAGQHQIWEHNTLDGVTIAFSGDGYERNLNGSSSTSTSFAQPSGISLSPDLKEIYVADSESSSIRALDLKTGGSRLLAGGDPIF >Manes.10G151302.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31844068:31846305:-1 gene:Manes.10G151302.v8.1 transcript:Manes.10G151302.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLAGNGTKGSDYKGGGKGTTQLLNSPWDVCYEPVNEKVYIAMAGQHQIWEHNTLDGVTIAFSGDGYERNLNGSSSTSTSFAQPSGISLSPDLKEIYVADSESSSIRALDLKTGGSRLLAGGDPIF >Manes.11G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5798471:5807744:-1 gene:Manes.11G052100.v8.1 transcript:Manes.11G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEDPLEGYRGLSLFSRTFASLPNPPQPHHPDDPHSIHNFLKSLPVQNPGKLMEQAKTILDSTREVENAKMTSDEGLEDKNDVVAEHPRGQRPGLGRKRPRFSLLPNISQPTVNLEPTLDFDKLKDPEEFFLAYERLENAKKEIAKQTGQAFRDSDQYNVFMVPRSQRPGIPGRSKTAKYKHLYPTMPSQETFEAEIFSNCGPQQENAHPNIAYYQRELANAASQQLEPTNDASQQTESASVALEEMELVDKAENTKNKLLDELLAHDYEDLEGDGAMNILQDRLQIKPLHIEKLNLPEMQDIQRINFKASGVSRSKHRNVLSDIHNLLKGTRDITPMKPQTIESSFPSFGSPTPPRSPLAFLSLLNRRIFQSNLSNDPFSTVHIDHPSERNASPAENIDKHSDPVDAEKTLHISGELNSLTNEKDDGPIVDRSSTVETGDFTSLFEKHLNENSPTVAPGSEMGPTESSFELENNNVGMDNEVINESLSQADAALDLQACRPNELEDVVEDVMKESVTSGQLDKKTDDFPVETSNSIHNKFADECTDIQDDALEQIQESIQEQQNEKQSTAKLHPHKGKHNKAHSRRQDLAEHAMDGSTECQGITADQIQDSAQEQTQASPEQHSKQKEIKSKPHTSKKHKSKIISKRQSLAGCGMSWETGVRRSTRIRSRPLEYWKGERFLYGRIHTSLATIIGIKYESPQKDNGKTTMKVKSFVSDEYKDLVEQAALY >Manes.11G052100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5798873:5807744:-1 gene:Manes.11G052100.v8.1 transcript:Manes.11G052100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEDPLEGYRGLSLFSRTFASLPNPPQPHHPDDPHSIHNFLKSLLLISCVFNFQPVQNPGKLMEQAKTILDSTREVENAKMTSDEGLEDKNDVVAEHPRGQRPGLGRKRPRFSLLPNISQPTVNLEPTLDFDKLKDPEEFFLAYERLENAKKEIAKQTGQAFRDSDQYNVFMVPRSQRPGIPGRSKTAKYKHLYPTMPSQETFEAEIFSNCGPQQENAHPNIAYYQRELANAASQQLEPTNDASQQTESASVALEEMELVDKAENTKNKLLDELLAHDYEDLEGDGAMNILQDRLQIKPLHIEKLNLPEMQDIQRINFKASGVSRSKHRNVLSDIHNLLKGTRDITPMKPQTIESSFPSFGSPTPPRSPLAFLSLLNRRIFQSNLSNDPFSTVHIDHPSERNASPAENIDKHSDPVDAEKTLHISGELNSLTNEKDDGPIVDRSSTVETGDFTSLFEKHLNENSPTVAPGSEMGPTESSFELENNNVGMDNEVINESLSQADAALDLQACRPNELEDVVEDVMKESVTSGQLDKKTDDFPVETSNSIHNKFADECTDIQDDALEQIQESIQEQQNEKQSTAKLHPHKGKHNKAHSRRQDLAEHAMDGSTECQGITADQIQDSAQEQTQASPEQHSKQKEIKSKPHTSKKHKSKIISKRQSLAGCGMSWETGVRRSTRIRSRPLEYWKGERFLYGRIHTSLATIIGIKYESPQKDNGKTTMKVKSFVSDEYKDLVEQAALY >Manes.11G052100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5798471:5807744:-1 gene:Manes.11G052100.v8.1 transcript:Manes.11G052100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEDPLEGYRGLSLFSRTFASLPNPPQPHHPDDPHSIHNFLKSLPVQNPGKLMEQAKTILDSTREVENAKMTSDEGLEDKNDVVAEHPRGQRPGLGRKRPRFSLLPNISQPTVNLEPTLDFDKLKDPEEFFLAYERLENAKKEIAKQTGQAFRDSDQYNVFMVPRSQRPGIPGRSKTAKYKHLYPTMPSQETFEAEIFSNCGPQQENAHPNIAYYQRELANAASQQLEPTNDASQQTESASVALEEMELVDKAENTKNKLLDELLAHDYEDLEGDGAMNILQDRLQIKPLHIEKLNLPEMQDIQRINFKASGVSRSKHRNVLSDIHNLLKGTRDITPMKPQTIESSFPSFGSPTPPRSPLAFLSLLNRRIFQSNLSNDPFSTVHIDHPSERNASPAENIDKHSDPVDAEKTLHISGELNSLTNEKDDGPIVDRSSTVETGDFTSLFEKHLNENSPTVAPGSEMGPTESSFELENNNVGMDNEVINESLSQADAALDLQACRPNELEDVVEDVMKESVTSGQLDKKTDDFPVETSNSIHNKFADECTDIQDDALEQIQESIQEQQNEKQSTAKLHPHKGKHNKAHSRRQDLAEHAMDGSTECQGITADQIQDSAQEQTQASPEQHSKKEIKSKPHTSKKHKSKIISKRQSLAGCGMSWETGVRRSTRIRSRPLEYWKGERFLYGRIHTSLATIIGIKYESPQKDNGKTTMKVKSFVSDEYKDLVEQAALY >Manes.11G052100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5798873:5807744:-1 gene:Manes.11G052100.v8.1 transcript:Manes.11G052100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLEDPLEGYRGLSLFSRTFASLPNPPQPHHPDDPHSIHNFLKSLLLISCVFNFQPVQNPGKLMEQAKTILDSTREVENAKMTSDEGLEDKNDVVAEHPRGQRPGLGRKRPRFSLLPNISQPTVNLEPTLDFDKLKDPEEFFLAYERLENAKKEIAKQTGQAFRDSDQYNVFMVPRSQRPGIPGRSKTAKYKHLYPTMPSQETFEAEIFSNCGPQQENAHPNIAYYQRELANAASQQLEPTNDASQQTESASVALEEMELVDKAENTKNKLLDELLAHDYEDLEGDGAMNILQDRLQIKPLHIEKLNLPEMQDIQRINFKASGVSRSKHRNVLSDIHNLLKGTRDITPMKPQTIESSFPSFGSPTPPRSPLAFLSLLNRRIFQSNLSNDPFSTVHIDHPSERNASPAENIDKHSDPVDAEKTLHISGELNSLTNEKDDGPIVDRSSTVETGDFTSLFEKHLNENSPTVAPGSEMGPTESSFELENNNVGMDNEVINESLSQADAALDLQACRPNELEDVVEDVMKESVTSGQLDKKTDDFPVETSNSIHNKFADECTDIQDDALEQIQESIQEQQNEKQSTAKLHPHKGKHNKAHSRRQDLAEHAMDGSTECQGITADQIQDSAQEQTQASPEQHSKKEIKSKPHTSKKHKSKIISKRQSLAGCGMSWETGVRRSTRIRSRPLEYWKGERFLYGRIHTSLATIIGIKYESPQKDNGKTTMKVKSFVSDEYKDLVEQAALY >Manes.04G144500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34267627:34271723:1 gene:Manes.04G144500.v8.1 transcript:Manes.04G144500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWLGFSLTPHLRIDEGFGRDEAGGFSSHHHDISVMPLRSDGSLCVVDPFKRSSNASQDWRYENSMDTTSASEEGPKLEDFLGCYSNSPSDETKVYCQEDQNESHTNRINVNVAPSFNANGDMDPRDDLANPPHLIQSYHHYNDNPQTLVPSDNIQHCDPNPSDSHNHNPRHSHNSMYCLPFESGSSVPGFKSWLSQAPFCSCTPSNEPSNCNFQPLSLAMSPSSHNGLVSASPLQVVDNRKRPVRKSVAREPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIAGDLAKRSAKDLAHVAVEDENSCASSTSQPLLAMTSGEASDELADIMWSASADEHQQQAGANNSNTDSRNSCNPESPKCSVALSGELSRDNTEGLQGSKYEDGNRGSNNRIGDVGLVHQVPMFALWNE >Manes.04G092700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29788771:29791236:-1 gene:Manes.04G092700.v8.1 transcript:Manes.04G092700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFRRIPLKNLTSLTLAASQPSNNTHLNLKTCIDARIIKTGFDPNTSRSNYQVRNLIESGQLSEARQLFDQMPHKNTVSTNMMVLGYVKEGNLSIARQLFDSMVDRTAVTYTILIGGYSRSDQFREAFELFVDMYRGDIRPDYVTFATLLSGCNDPQVVKELFQLHSLVVKLGHNSALVVCNSLVDSYCKTRLSDLACQFFKEMPERDSVTYNALIAGYAKEGLDDEAIKLFMEMQSLGFEASDFTFQAVLSAGIGLEDVAFGQQVHGYSVKTNLVWNVFVANALLDFYSKHDCVNEARKLFYKMPEMDGVSYNVMITAYAWIGQVKESIDLFRELQFTKFDRTNFPFATMLSIAANTLDLQMGQQLHSQAIVTAADSEVLVANSLVDMYAKCGKSEEAERIFVRLSSRSTVPWTALISANIQKGLLEEGLKLFREMHRVNVTADQATFASVLKASANMASISLGKQLHSYIIRSGFMSNVYAGSALIDMYAKCGSVKDAVQTFKEMPERNVVTWNALISAYAQNGDGEATLRAFGEMVQSGYKPDSVSFLCVLSACSHCGLVEKGLKYFNSMTQVYKLVPKKEHYASIVDVLCRSGQFDKAEKLITQMPFEPDEIMWSSVLNSCRIHKNHDLAKRAAQELFNMEVLRNAAPYVTMSNIHAAAGQWDSVRKVKKEMRERGLKKVPAYSWVEIKHKVHVFSANDKRHPQMKEILLKIDMLSEQMEKEGYMPDTSCALHNVDEYVKIESLKYHSERLAIAFALISTPEGSPILVMKNLRACTDCHAAIKVISKIVGREITVRDSSRFHHFKGGVCSCRDYW >Manes.08G083500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28946196:28949929:1 gene:Manes.08G083500.v8.1 transcript:Manes.08G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTKPPSSHLLYSTSQSLPRLSPFQLCVFDTKTLVSFPSTHSSKKKHGAGAAVKCMAVSTASEAETKKKSKFEIQTLTGWLLKQEQAGAIDAELTIVLSSISMACKQIASLVQRAGISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDGTTLDNAEQRCVVNVCQPGSNLLAAGYCMYSSSVIFVITIGTGVFAFTLDPMYGEFVLTQEKIQIPKAGKIYAFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIQPVEIHQRVPLYIGSQEEVEKLEKYLA >Manes.08G115600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35501833:35503087:1 gene:Manes.08G115600.v8.1 transcript:Manes.08G115600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSISSHTSDSSSSSDSSSSRHRRRHRKRGDKDRNKEALKIRKKSNKSNSKRRRRSHHHSSDSYDSSSDSYYSRSGSSSDSEHETSNHSKRHKKNVRPKKTKEKDQSKRHRHKRQKHKVREVGHCFPYILVCS >Manes.08G115600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35501743:35504866:1 gene:Manes.08G115600.v8.1 transcript:Manes.08G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSISSHTSDSSSSSDSSSSRHRRRHRKRGDKDRNKEALKIRKKSNKSNSKRRRRSHHHSSDSYDSSSDSYYSRSGSSSDSEHETSNHSKRHKKNVRPKKTKEKDQSKRHRHKRQKHKVREKQQDERSSSPVQLSKFLGRDKDDGVRRSAVSGKKILLKLEKSKEDKEAENKRNELLKFLNASFD >Manes.18G142304.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:24758047:24758856:-1 gene:Manes.18G142304.v8.1 transcript:Manes.18G142304.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLLAAKQSDKKRNHRHIIDSQEDSDVSTELTLSCGFPSMIKKPRITQISSSSSCGINHSLYFLFQSSIVVSTRPAKEEVSTELKLFDESWTADDASGTRKEPDGVSKESSELKTLARDTANQTIYSPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNLCRLLVASALVKDHILPFMRSETVEKIKTEGAEFSFWDCDTNTKLNLILKYWRTSKSYIFNKGWLNNFVKRRNLVEGDLIGIYWDSTGKIFNFSVLERASDVYP >Manes.08G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34164185:34166207:1 gene:Manes.08G103200.v8.1 transcript:Manes.08G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKDEPAIGIPYYIGQNPYQAGAIPPNAVFGDPKGIPIQQTMYRDTPAPFNCTFCGNSGLTVVRSKPNLAAAVGCMMPFMLGVCFLCPSMDCLWHKYHYCPSCKEKVADFEKSDPCLVMDPPQWTQQSFALPA >Manes.S038216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1066316:1066438:-1 gene:Manes.S038216.v8.1 transcript:Manes.S038216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.16G032300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3886060:3886704:-1 gene:Manes.16G032300.v8.1 transcript:Manes.16G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVEDGNSHDQNLNEQKLPLLEADLPMPNTEEKNLIQKAITQTFKSTAHLANLLPTGTVLAFQLLSPIFSNQGNCDSVSRFMTAGLVSLCGLSCFLSSFTDSFRDKNGNVCYGLATFRGLWIIDGSETISPELAAKYRIQFIDFVHALMSILVFSAIALFDQNVVNCFYPTPSAETQEVLTALPVGIGVICSMLFVVFPTQRHGIGFPLTDI >Manes.11G160100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32555646:32559116:-1 gene:Manes.11G160100.v8.1 transcript:Manes.11G160100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESIVGFLENKTILVTGATGYLAKVFVEKILRVQPKVKKLYLLLRASDANSAIERLNKEVIGKELFKVVREKYGERLNSFLSEKVSAVAGDISFEDLGVKDSHLRDEMWREVDVVLNFAATTYFDDRYDNSLGVNTLGALHVMNFAKKCLQIKMLVHVSTAYVCGEDSGLILEKPFIMGKAKKGTDKIDIEEEKEVIQEKLSELLSENASETEITQFMKNFGIERARMYGWPNSYVFTKAMGEMLLMHFKEDLPLLIIRPTMITSTYKEPFPGWIEGLRTVDSVIVGFGRGKLPCFISRPQLVLDVIPADMVVNGIIVAMAARGKQSSETIYHIGSSLRNPIQSIDLRDICFDYFSENPLINKNDGMPVKVIKGTNFTTMASFYLYMAIRYQLPLKALRVATMVFQRYQSTYEILDRKIKLVLRLVDLYKPYTLFEGIFDDTNSEKLRIAARETFPEEADGFSFDPLEIDWEDYMIDVHIPGLVKHVMK >Manes.13G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30035663:30037553:-1 gene:Manes.13G102200.v8.1 transcript:Manes.13G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLPEEEHPKEAFGWAARDQSGVLSPFKFSRRATGEKDVSFKVLYCGMCHSDLHMVKNEWGNSIYPLVPGHEIVGVVTEVGSKVAKFKVGDKVGVGCMVGSCHSCDNCTNNLENYCPEMILTYNAKYYDGTITYGGYSDTMVADEHFIVRIPDNMPLDATAPLLCAGITVYSPLKYYGLDKPGLHVGVVGLGGLGHMAVKFAKAMGAKVTVISTSPNKKQEAIERLGADSFLVSRDQDQMKVATGTMDGIIDTVSAIHPLLPLLALLKSHGKLILVGAPEKPLELPAFALLMGRKVVGGSCIGGMKETQEMIDFAAKHGIKSDVEVISMDYVNTAMERMLKADVRYRFVIDIGNTIQCSS >Manes.13G102200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30035663:30037553:-1 gene:Manes.13G102200.v8.1 transcript:Manes.13G102200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLPEEEHPKEAFGWAARDQSGVLSPFKFSRRATGEKDVSFKVLYCGMCHSDLHMVKNEWGNSIYPLVPGHEIVGVVTEVGSKVAKFKVGDKVGVGCMVGSCHSCDNCTNNLENYCPEMILTYNAKYYDGTITYGGYSDTMVADEHFIVRIPDNMPLDATAPLLCAGITVYSPLKYYGLDKPGLHVGVVGLGGLGHMAVKFAKAMGAKVTVISTSPNKKQEAIERLGADSFLVSRDQDQMKVATGTMDGIIDTVSAIHPLLPLLALLKSHGKLILVGAPEKPLELPAFALLMGNELTISILCFSLIIYQNKI >Manes.12G016900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1577413:1579123:1 gene:Manes.12G016900.v8.1 transcript:Manes.12G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLKVEIIQKQIIKPSSPTPPELKSLKLSLLDQFTPITYTPIILFYPASAERSQKLKSSLSETLTLWYPLAGRLKDNSFIECEDQGAEYLEARIKCSLSEILNKPDVEVLKQFLPAAIESPEAATGNLLLVQATFFDCGGLAIGVCISHKMADAATLTTFIRSWAAMANGSSELVRPVFMGASMFPPIDMSIPNASVELMQRKCITKRFVFNASKITALRAKVASTTVPKPTRVEAVSALIWKTVMSVVRSNSGSLRPSMWAISVDLRKRLTPTLPQNYSGNCVGFIGPRNMEADDNELELQSLLGKIRKEMEGFGENYVKKLQGEGALLAICEFSKEFGELAMSDHIDFYVCSSWCKFDLYAADFGWGRPIWASNTSTKVRNVIILMDARDGEGIEAWLTLSDEDMALLESNKELLEFAAPNPTVPLS >Manes.10G034700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3443561:3453651:-1 gene:Manes.10G034700.v8.1 transcript:Manes.10G034700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQQKSFWMSRDAGCLTDGEMGYDSSSRIQPKRGHQWFMDTTDPESFNKKKQAIEAVGKVLATSHMNISPWHNASSFQSVSGQFSDRPFGSEAVQTSNMVDQNFPSSGGGNMNINMGRKEFNDQYVCNSSMGLSMSHTIEDPLGCISFGGLRKVKINRVGDSSNAISASVAHSYSQGDSNAISIGTTYSKNGSNAISLGPTDNNTISIGPSFSKADSNFITMGHTFNKGDGDFISMGHNYDKGDDSILSMGQPFDKGDASFITMGLSYEKDDSNVISMVHSFSKGHENFITMGPTYDKANENFISMGPSYGKGNESIISIGASYDKPDSNMTSICSVQDKGDFNILSMGHNYNKGESNTISFGGFHDEPEANASGTTIGGYDVLMGNQNSAQTSGAAGAKDFVQSNLDPTVNNAPKANTTAPKNKDAKTSKKAPPNNFPSNVKSLLSTGMLDGVPVKYVSWSREKSLKGIIKGTGYLCGCQQCNFSKTLNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDVIQNVTGSSINQKNFRSWKASYQAATRELQRIYGKDEVVMPS >Manes.16G120800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32362737:32367048:-1 gene:Manes.16G120800.v8.1 transcript:Manes.16G120800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSLSDSVLKKILLSYTYVAIWIFLSFSVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAFLVIKVFKLVEPVSMSRDLFFSSVLPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKRESFQTDTMVNMLSISLGVAVAAYGEARFDTWGVVLQLGAVAFEATRLVLIQILLASKGITLNPITSLYYVAPCCLVFLFVPWIFVEYPVLRETSSFHFDFVIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHAKLQALKSKESQKKAQQTDEEAGRLLEERDGEGTGRKSESQN >Manes.06G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24349543:24353560:1 gene:Manes.06G112000.v8.1 transcript:Manes.06G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATPTFKPLSMADSCLLSLPSIFASKPPYQCLSIPPKPIKLHLSYSSHSLSSLSLKPKTHFSSFISFVAQTSDWTQREEEGDTTITLSESEQEEATWENQPSGDVEAQVSDWESNGEDEVVEADGSDGESSAEGVFEESETEEGFVEPPEDAKIFVGNLPYDVDSQKLAMLFEQAGTVEIAEVIYNRETDSSRGFGFVTMSTVEEAEKAVDMFHRYDLNGRFLTVNKAAPRGSRPERPPRVYESAYRIYVGNLPWDVDDARLEQVFSEHGKVVNARVVYDRESGRSRGFGFVTMSTETELNDAIAALDGRSLEGRAIRVNVAEDRPRRNFF >Manes.09G172000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36302844:36305083:-1 gene:Manes.09G172000.v8.1 transcript:Manes.09G172000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SWEET19 MASISFIIGVIGNIISFFVFTSPIKTFWEVVKKKSTGDYEVFPYITTCLGTSLWTFYGLLKPGGLLVVTVNGAGAFFQFIYVTIFLIYAPKDKKVRAAKLVGLLNFGFLGAVIAVTLLAMHGKLRLTFVGLICVGMTTIVYGSPLSVMKTVIKTRSVEYMPFLLSFSLFLNASIWLIYAAVVRDYYMTIPSVLGVVLGIVQFILYAIYSKQPKSTKSTDEMQAKGSDNVVKGDLEMQVGNSKGGDCQCNHN >Manes.15G179500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16529834:16534470:1 gene:Manes.15G179500.v8.1 transcript:Manes.15G179500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTARMCRNFSGFLLLWILSLQTTTSTADSYGYWPGKEHNPTQNWLNHGGDIYNRRYADKETKISPQTVSKLKLKWEFYAGKDISATPAIFNGTLYFPSWNGYLYAVKASDGSLIWKKNLQKLTGLKGAVSNNSIVSRSTPTIADDDLLLVGIYSPGFIIAVKRSTGKLVWSTQLETHPASLITMSGTYYKEAFYVGTSSLEEVLSIKQCCTFRGSFLKVEARTGRILWKTYMLPENHGKRGEYAGAAVWGSSPSIDISRNHVYIATGNLYSAPPRVRQCQEKENNQTRPSSPDKCIEPDNHENSIMALDLDSGKIKWYKQLGGYDVWFMACNNLSTPNCPPGPNPDADFSEEPMMLSIYVDGTKRDIVAAVQKSGFAWALDRSMGDLIWSTEAGPGGIAGGGYWGAATDEKRVYTNIANSGRKIFILNPSKAKTRAGGWVAMNANNGEILWSTGDPSNGTASGPVTIANGVLFGGSTSDRGPLYAINARTGRILWSHETGASIDGGVSVSDGCVYVGSGYRAFVAGTSLFAFCIS >Manes.03G116800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24242390:24246442:1 gene:Manes.03G116800.v8.1 transcript:Manes.03G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHQEITRASHMHDFTDEDSQNGGIEEEIEDEEEESTRDYISTLFKGGGHGGSGGGGGGGSRRKGWSLGQMLDPKARWIQEWNRVFLLVCATGLFVDPLFFYSLSVSDTCMCLFIDGWFAIMVTALRCMTDALHVWNMWLQLKMDKKLSTGGGSTNETSGGPRLTSPRSMALRYLKGKRGFFFDLFVILPLPQIVLWVAIPSLLEKGSVTAVMTIFLIIFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRAAKCMKEQCRETHGCGLRLLSCRETIYYGTTRNVRDGARLAWADNKLARATCLDSSDNYDYGAYKWTVQLVTNNSRLEKILFPIFWGLMTLSTFGNLECTTEWLEVVFNIIVLTSGLILVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRRMPQEFRHRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVRSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFGLEAEDVKYVTQHFRYTFVNERVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTSLSFIRPRRPLSRCSSLGEERLRLYTALLTSPKPNRDDLDF >Manes.03G116800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24242390:24246442:1 gene:Manes.03G116800.v8.1 transcript:Manes.03G116800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHQEITRASHMHDFTDEDSQNGGIEEEIEDEEEESTRDYISTLFKGGGHGGSGGGGGGGSRRKGWSLGQMLDPKARWIQEWNRVFLLVCATGLFVDPLFFYSLSVSDTCMCLFIDGWFAIMVTALRCMTDALHVWNMWLQLKMDKKLSTGGGSTNETSGGPRLTSPRSMALRYLKGKRGFFFDLFVILPLPQIVLWVAIPSLLEKGSVTAVMTIFLIIFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRAAKCMKEQCRETHGCGLRLLSCRETIYYGTTRNVRDGARLAWADNKLARATCLDSSDNYDYGAYKWTVQLVTNNSRLEKILFPIFWGLMTLSTFGNLECTTEWLEVVFNIIVLTSGLILVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRRMPQEFRHRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFTKGETVSSIMSPSFSVRVYCNVRHLSVVADN >Manes.01G038033.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7240074:7244084:-1 gene:Manes.01G038033.v8.1 transcript:Manes.01G038033.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVRARGLGHNLRLSIFYKHHPLITVSSAKRVGTHDGTFHCDEALACFILRLTNAFSNAQIVRTRDSQVLHSLDAVLDVGGVYEPSRNRFDHHQNRFDQVFGHGFTTKLSSAGLVYKHYGLEIIAKELQLDEEHSDVHRLFLAVYKNFVEAVDAIDNGISQYDSNQPPRYVNNTSLSSRVGRLNLDWVDSDQSSEREDEAFKHAMELAGHEFLEWKLHIFELEEEMKIDPSIKYVIYQDDRSENWRLQAVAVSPDKFESRKPLPLAWRGLDNDELSEVTGIPGCVFVHMSGFIGGNRSYEGALAMARAALKV >Manes.01G038033.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7240074:7244084:-1 gene:Manes.01G038033.v8.1 transcript:Manes.01G038033.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVRARGLGHNLRLSIFYKHHPLITVSSAKRVGTHDGTFHCDEALACFILRLTNAFSNAQIVRTRDSQVLHSLDAVLDVGGVYEPSRNRFDHHQNRFDQVFGHGFTTKLSSAGLVYKHYGLEIIAKELQLDEEHSDVHRLFLAVYKNFVEAVDAIDNGISQYDSNQPPRYVNNTSLSSRVGRLNLDWVDSDQSSEREDEAFKHAMELAGHEFLESIHFHANSWLPARSIVMECLASREDFDHSGEIMVLTKSCPWKLHIFELEEEMKIDPSIKYVIYQVPVLHFYLFVAITCK >Manes.01G038033.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7240074:7244084:-1 gene:Manes.01G038033.v8.1 transcript:Manes.01G038033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVRARGLGHNLRLSIFYKHHPLITVSSAKRVGTHDGTFHCDEALACFILRLTNAFSNAQIVRTRDSQVLHSLDAVLDVGGVYEPSRNRFDHHQNRFDQVFGHGFTTKLSSAGLVYKHYGLEIIAKELQLDEEHSDVHRLFLAVYKNFVEAVDAIDNGISQYDSNQPPRYVNNTSLSSRVGRLNLDWVDSDQSSEREDEAFKHAMELAGHEFLESIHFHANSWLPARSIVMECLASREDFDHSGEIMVLTKSCPWKLHIFELEEEMKIDPSIKYVIYQDDRSENWRLQAVAVSPDKFESRKPLPLAWRGLDNDELSEVTGIPGCVFVHMSGFIGGNRSYEGALAMARAALKV >Manes.06G015600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2618235:2619732:-1 gene:Manes.06G015600.v8.1 transcript:Manes.06G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETATPLRLPVIDFSKKELIPDSKEWESVKSQVCIALKEYGCFEALFNKVPAALRKDTVAVIEELFELPMETKWRNTSEKPMHGYVGLTLISQSMDNLTNVLWPQGNPAFSKTIQSFSEQVSELDQIVRRMIVESLGLEKYMDEHMNSATYLLRVMKYSSPQTTEEKVGLHPHTDKNFITILCQNQVDGLQVKTKDGEWIDVKLSTDDSFAVMVGDSIFAWTNGLLRSACHRVMMLGDKARYSAGLFSGPKSGYVIKAPDELIDEEHPLLFKPFVHSEFLKFYNTEAGLRAESALKIYCGV >Manes.09G017401.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3800135:3803924:-1 gene:Manes.09G017401.v8.1 transcript:Manes.09G017401.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSLTNFLMLSLPLYQQAESLPFPILYFLSSFPISDPSLKTTDERSMMMKMPWRRQSRSFHLQLQGAIGTIQSPFLFLFTNYCDSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRAQFSRFLSALVKMKQYNTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPNVRTFNVIVNGLCKFGKTNVAIGLLKGMADRGCEPNVVTYNSIIDALCKDVLVGQKNQALALMNEMVEQNILPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFSILIDTLCKKGLVSNAQNIIKIMIQRGVEPSVVTYNSLMDGYCLCRQIDKARKVFDLMVTNEIADIFSYNILINGYCKCKMIDDAKQIFDEMSHKGLVPNAITYHTLIKAMFQAGRPQTAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYNVFKDMEKVGCLPNNSCYNIIIQGFLKHGDLPKASELINEMVDKGFSADAATTELVVHLSRNNDLILRLLKVRNEGSAN >Manes.07G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7610022:7614989:-1 gene:Manes.07G053300.v8.1 transcript:Manes.07G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGHVLLLSLISLSTTVDSQSIIKTLPGFHGDLPFYLETGYVGVGEREELQFFYYLFESERNPKDDPLVLWFSGGPGCSVLSAIVYQNGPLIFDYANSTGNIPSLMLRPYYWTKVANILYLDTPVGTGFSYSTTWEGYKTGDLSSAEKAYEFLIKWLMEHPKFLSNPLYIGTDSYGGLTAPIIVQKISDGNDKGSKPRVNLKGLILGNPLTDFKYDLNSRIAYAHQKELISNKLYQSTKKNCKGEYLSPDRSNKLCINNLQAINKTFEQLYLFNIMEPKCSTWNLSSFTRGNELLATMKKLDVLTQSKHLTNWCRDFTLFYSYIWANDKNVQSALHVREGTIKEWPRCNLSLWYKIDVRSSLQYQKLLTNKGHRVLIFSGDQDLAIPYLGTLAWIHTLNLTITDDWRPWLIDDQIAGFVITYSKGKYNLTFATVKGGGHTASEIKPKEAFVMIDRWFAYHSL >Manes.07G053300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7610022:7614989:-1 gene:Manes.07G053300.v8.1 transcript:Manes.07G053300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGHVLLLSLISLSTTVDSQSIIKTLPGFHGDLPFYLETGYVGVGEREELQFFYYLFESERNPKDDPLVLWFSGGPGCSVLSAIVYQNGPLIFDYANSTGNIPSLMLRPYYWTKVANILYLDTPVGTGFSYSTTWEGYKTGDLSSAEKAYEFLIKWLMEHPKFLSNPLYIGTDSYGGLTAPIIVQKISDGNDKGSKPRVNLKGLILGNPLTDFKYDLNSRIAYAHQKELISNKLYQSTKKNCKGEYLSPDRSNKLCINNLQAINKDFTLFYSYIWANDKNVQSALHVREGTIKEWPRCNLSLWYKIDVRSSLQYQKLLTNKGHRVLIFSGDQDLAIPYLGTLAWIHTLNLTITDDWRPWLIDDQIAGFVITYSKGKYNLTFATVKGGGHTASEIKPKEAFVMIDRWFAYHSL >Manes.07G053300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7610022:7614989:-1 gene:Manes.07G053300.v8.1 transcript:Manes.07G053300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHFTLKLGGPGCSVLSAIVYQNGPLIFDYANSTGNIPSLMLRPYYWTKVANILYLDTPVGTGFSYSTTWEGYKTGDLSSAEKAYEFLIKWLMEHPKFLSNPLYIGTDSYGGLTAPIIVQKISDGNDKGSKPRVNLKGLILGNPLTDFKYDLNSRIAYAHQKELISNKLYQSTKKNCKGEYLSPDRSNKLCINNLQAINKTFEQLYLFNIMEPKCSTWNLSSFTRGNELLATMKKLDVLTQSKHLTNWCRDFTLFYSYIWANDKNVQSALHVREGTIKEWPRCNLSLWYKIDVRSSLQYQKLLTNKGHRVLIFSGDQDLAIPYLGTLAWIHTLNLTITDDWRPWLIDDQIAGFVITYSKGKYNLTFATVKGGGHTASEIKPKEAFVMIDRWFAYHSL >Manes.02G005800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:644105:648802:1 gene:Manes.02G005800.v8.1 transcript:Manes.02G005800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWSMDFDYNLNNIKDSIAKAKEAGAVIRLGPELEITGYGCEDHFLELDTVTHSWECLKEILVGDWTDGILCSIGMPVIKGSARYNCQVLCMNRKIIMIRPKMRLAEGDNSMEPRWFKPWKQKDQLVDYQLPIEITEATSQKSVPFGYGYIQFLDTSVAAEVCKELFTPFPPHDDLALNGVEVFMNASASIHQVGKALDFRFRALIGVTRSLGGVYMYSNQRGCDGGRLYYDGCSCVLVNGEVVSLGSQFSLKDVEVVVSQVDLDAVAAKRGSLSIFRQEASGETRVPSIAAPYKLCQPFNLQRPISSPMKISPYSPEEELALGPACWLWDYLRRSEASGFLLPLSGGAGSSSVAAIVGSMCQLVVKEIANGDEQVKADAVRIGCYTNGQFPTDSKEFAKRIFYTVFMGSENSSESTRRRAKVLADEVGSWHLDVSIDIVVSALLSVIQALIGKQTLNKVDGGSDVENRGLRNIQARIRMVLALTLASVLPWVHKKSGFHLVLSSSNMDKELSGQLTKYGCSSADINPIGSVNKQDIQAFLRWAATNLGYSSLADIDAALPTLDDPERGTIEELSAYGKWRKNFRCGPVSMFKNLCHQWGSTLTPAEVANKVKKFFECYSLNRHKMTVLTPFYHAQSYSPDDNRCDLRQFLYNKRWSYQFRKIDEIVQDLDGDKVGITQSSN >Manes.02G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:644105:648802:1 gene:Manes.02G005800.v8.1 transcript:Manes.02G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWSMDFDYNLNNIKDSIAKAKEAGAVIRLGPELEITGYGCEDHFLELDTVTHSWECLKEILVGDWTDGILCSIGMPVIKGSARYNCQVLCMNRKIIMIRPKMRLAEGDNSMEPRWFKPWKQKDQLVDYQLPIEITEATSQKSVPFGYGYIQFLDTSVAAEVCKELFTPFPPHDDLALNGVEVFMNASASIHQVGKALDFRFRALIGVTRSLGGVYMYSNQRGCDGGRLYYDGCSCVLVNGEVVSLGSQFSLKDVEVVVSQVDLDAVAAKRGSLSIFRQEASGETRVPSIAAPYKLCQPFNLQRPISSPMKISPYSPEEELALGPACWLWDYLRRSEASGFLLPLSGGAGSSSVAAIVGSMCQLVVKEIANGDEQVKADAVRIGCYTNGQFPTDSKEFAKRIFYTVFMGSENSSESTRRRAKVLADEVGSWHLDVSIDIVVSALLSVIQALIGKQTLNKVDGGSDVENRGLRNIQARIRMVLALTLASVLPWVHKKSGFHLVLSSSNMDKELSGQLTKYGCSSADINPIGSVNKQDIQAFLRWAATNLGYSSLADIDAALPTLDDPERGTIEELSAYGKWRKNFRCGPVSMFKNLCHQWGSTLTPAEVANKVKKFFECYSLNRHKMTVLTPFYHAQSYSPDDNRCDLRQFLYNKRWSYQFRKIDEIVQDLDGDKVGITQSSN >Manes.02G005800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:643826:648802:1 gene:Manes.02G005800.v8.1 transcript:Manes.02G005800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKVATCNLNQWSMDFDYNLNNIKDSIAKAKEAGAVIRLGPELEITGYGCEDHFLELDTVTHSWECLKEILVGDWTDGILCSIGMPVIKGSARYNCQVLCMNRKIIMIRPKMRLAEGDNSMEPRWFKPWKQKDQLVDYQLPIEITEATSQKSVPFGYGYIQFLDTSVAAEVCKELFTPFPPHDDLALNGVEVFMNASASIHQVGKALDFRFRALIGVTRSLGGVYMYSNQRGCDGGRLYYDGCSCVLVNGEVVSLGSQFSLKDVEVVVSQVDLDAVAAKRGSLSIFRQEASGETRVPSIAAPYKLCQPFNLQRPISSPMKISPYSPEEELALGPACWLWDYLRRSEASGFLLPLSGGAGSSSVAAIVGSMCQLVVKEIANGDEQVKADAVRIGCYTNGQFPTDSKEFAKRIFYTVFMGSENSSESTRRRAKVLADEVGSWHLDVSIDIVVSALLSVIQALIGKQTLNKVDGGSDVENRGLRNIQARIRMVLALTLASVLPWVHKKSGFHLVLSSSNMDKELSGQLTKYGCSSADINPIGSVNKQDIQAFLRWAATNLGYSSLADIDAALPTLDDPERGTIEELSAYGKWRKNFRCGPVSMFKNLCHQWGSTLTPAEVANKVKKFFECYSLNRHKMTVLTPFYHAQSYSPDDNRCDLRQFLYNKRWSYQFRKIDEIVQDLDGDKVGITQSSN >Manes.S022374.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251212.1:3871:5342:1 gene:Manes.S022374.v8.1 transcript:Manes.S022374.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSFVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELTGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKSCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.14G154708.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19341525:19357148:-1 gene:Manes.14G154708.v8.1 transcript:Manes.14G154708.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASKNCLHKRCPSMEDDLKKAAAEGKFDPFKEHLDHLDCIVTYNRNTILHVHLALLRERSTEFIKQVVGTCPKLLTQENRDGNTPLHIAARHGHTDAAEELIRQAEALHGDNIEAVREKLRKENKKKETALHVAARNERSVGVVKAILSKEDPHHKYPPDEQDETPLYLAVDNTCTNIVAELLNHFSSESLDLDSDGHDNVMHTAVRRWSTEIVSLLLKKESSLAKKPTYYGWTPLHTAADEGWSSMVTTLLDMDKSIAGCITGDSWKMTALHIAAVRGFKHAMNEIISKCPDSCKITCRKGRNVLHYATLSENDEVLQAILETPSLVYLINGKDHGGNTPVHLFKALNLPLPSFIVDGNTDAFILWNKLYDEIPGDFRVKDLAKAIPYFQNLTQADKEIMDEYAQDEYFWEVSAVIYIMAESKTEEFMHEENKILAEGKKTGKLMNRRLKKREEMIIDKLEKAKDSHLVVAALVATVTFAAAFTLPGGYISDKDDAANGTPILSRSSAFKAFVISDTIAMALSTSSVFIYFISVMLGYSPKYYWLIRTAFRFIFLAMGAMVVAFVTGTYAVLAPFLGLAVATCVIGLSFFIFLFYILLRLIYDFHPAGDANGGDDTARILTISWISCGGWWLKNYINKRI >Manes.09G040700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7438649:7442876:-1 gene:Manes.09G040700.v8.1 transcript:Manes.09G040700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGGSDSEEEESELEEDIDNEAEGEATTEAPQNRYLRGTASDSDGSDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEDLINKYRENPESEEEQEGDEETEEEEDSDSEFVEDPSKIAMSDEDEEDDEDRQDNQSEIEGDWQKMMSKRDKLMDRQFMKDPSEITWDIVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKKCSQNMMVILDILVQYPNIVVDDMVEPDENESQKGTDYDGTIRVWGNLVAFLERIDTEFFKSLQCIDPHTREYVERLRDEPMFLVLAQNVQEYLERVGDFKAASKVALRRVELIYYKPQEVYDAMRKLAEDGDNDEKSGEEPKVEESRGPSAFVVTPELVPRKPTFPESSRTMMDMLVSLIYKYGDERTKARAMLCDIYHHALLDEFSTARDLLLMSHLQDSIQHMDISTQILFNRAMAQLGLCAFRVGLITEGQGCLSELYSGGRIKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANTHDAKRKVISKTFRRLLEMSERQTFTGPPENVRDHVMAATRALGKGDFQKAFDVINSLDVWRLLRNRDSALEMLKAKIKEEALRTYLFTYSSSYESLSLDQLTKMFDLSGAQTHSIVSKMMINEELHASWDQPTQCIVFHDVEHTRLQVLAFQLTEKLSVLAESNERAIEARIGGGGLDLPMRRKDGQDYASMAASGTKWQDNLSYTQGRQGSGRSGYSVGGGRPPALGQGTAGGYSRGTRAGGYSGGSRYQDSAYGGSGRTSVRGSQLDTSNRMVSLNRGVRA >Manes.09G040700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7438649:7442876:-1 gene:Manes.09G040700.v8.1 transcript:Manes.09G040700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWGQGGSDSEEEESELEEDIDNEAEGEATTEAPQNRYLRGTASDSDGSDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVTESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEDLINKYRENPESEEEQEGDEETEEEEDSDSEFVEDPSKIAMSDEDEEDDEDRQDNQSEIEGDWQKMMSKRDKLMDRQFMKDPSEITWDIVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKKCSQNMMVILDILVQYPNIVVDDMVEPDENESQKGTDYDGTIRVWGNLVAFLERIDTEFFKSLQCIDPHTREYVERLRDEPMFLVLAQNVQEYLERVGDFKAASKVALRRVELIYYKPQEVYDAMRKLAEDGDNDEKSGEEPKVEESRGPSAFVVTPELVPRKPTFPESSRTMMDMLVSLIYKYGDERTKARAMLCDIYHHALLDEFSTARDLLLMSHLQDSIQHMDISTQILFNRAMAQLGLCAFRVGLITEGQGCLSELYSGGRIKELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANTHDAKRKVISKTFRRLLEMSERQTFTGPPENVRDHVMAATRALGKGDFQKAFDVINSLDVWRLLRNRDSALEMLKAKIKEEALRTYLFTYSSSYESLSLDQLTKMFDLSGAQTHSIVSKMMINEELHASWDQPTQCIVFHDVEHTRLQVLAFQLTEKLSVLAESNERAIEARIGGGGLDLPMRRKDGQDYASMAASGTKWQDNLSYTQGRQGSGRSGYSVGGGRPPALGQGTAGGYSRGTRAGGYSGGSRYQDSAYGGSGRTSVRGSQLDTSNRMVSLNRGVRA >Manes.08G124200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36440981:36444779:-1 gene:Manes.08G124200.v8.1 transcript:Manes.08G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCREEGLPTSPLLPVAKPPPPDRNYEIVSFNVVAADSSITPVLVFSTFIAVCGSFCYGCAVGYSSPAESGIMEELGLSLSEYSVFGSIMTIGGMIGAITSGKIADLVGRRRTMLLAELFCTPGWLAIAFAKNAWWLDIGRLLIGLGVGLFTYVVPVYVAEIAPKNLRGRFTSANQMLTSCGFALSYSIGNIISWRTLAIIGAIPCSLQLVGLFFIPESPRWLAKCGRKDFEASLRRLRGKNADVSVEAVDIIEITETFQQNSEGSVLELFQRRYVYSIIVGVGLMFLQQLGGDSGMVYYSSTIFSEADFSTVFGTTALALILLIAAMVSLSLMDIYGRRTLLMVSSTGTCLFLCVVGLSFLLKEHGYLKEITPYMAFAGLLGYLAAFAIGMSGIPWVIMSEIFPVSVKASAGSLVALTNWSCSWLISYTFNFMLVWSPAGTFFFFAGVCCFTILFIWKLVPETKGRTLEEIQARIARIPEESL >Manes.08G124200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36440626:36444764:-1 gene:Manes.08G124200.v8.1 transcript:Manes.08G124200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCREEGLPTSPLLPVAKPPPPDRNYEIVSFNVVAADSSITPVLVFSTFIAVCGSFCYGCAVGYSSPAESGIMEELGLSLSEYSVFGSIMTIGGMIGAITSGKIADLVGRRRTMLLAELFCTPGWLAIAFAKNAWWLDIGRLLIGLGVGLFTYVVPVYVAEIAPKNLRGRFTSANQMLTSCGFALSYSIGNIISWRTLAIIGAIPCSLQLVGLFFIPESPRWLAKCGRKDFEASLRRLRGKNADVSVEAVDIIEITETFQQNSEGSVLELFQRRYVYSIIVGVGLMFLQQLGGDSGMVYYSSTIFSEADFSTVFGTTALALILLIAAMVSLSLMDIYGRRTLLMVSSTGTCLFLCVVGLSFLLKEHGYLKEITPYMAFAGLLGYLAAFAIGMSGIPWVIMSEIFPVSVKASAGSLVALTNWSCSWLISYTFNFMLVWSPAGTFFFFAGVCCFTILFIWKLVPETKGRTLEEIQARIARIPEESL >Manes.11G164100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32872340:32879114:1 gene:Manes.11G164100.v8.1 transcript:Manes.11G164100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGKLMPNLDQHSTKLLNLTVLQRIDPFVEEILITAAHVTFYEFNIELSQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNARECEEVANLFSRILNAFSKVPQKSKVSPTKSEFEELEAVPTMAVMDGPLEPSAPIASSVTDLPDDLAFVNFFSTAMTVGNASNATAVGQPYQSSALVPLPSHPASIPTPTVPTSQIPSPSLSASTPLMPILDAPDANSTSNQTTNLVKPSLFFVPPPSSSARLMPPVSSSTPTAPPLNPTVSLQRPYGAPLLQPFPPPNPPPSLTPASIPTSNYGPVISKDKVRDALLVLVQDNHFIDMVYRALLNAHQS >Manes.01G006600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2567017:2567928:1 gene:Manes.01G006600.v8.1 transcript:Manes.01G006600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSCSSVSTEDYYGQDQEQEQDLPHSDRERSKEISHSEATVINLMDSLFAASWSTTGKRTFSFGCCSSSSCLNHVNQKILERIPTMILLKSMGFAEKNISDVLGDMGNEKMKQNIEETMQHWCSTNNFDTISEESAATPTDRRKKRCTDKGVLKEPMKKKKKMDIKLKRLSELVYPKGSYVCKQCNKVFDDFRALGGHTAAHNRNKKAENAPSEELGIRGGDLNRGSSLAEPAVDNKGKRYECDVCSRRFSTGQALGGHKSYHRKTARGEVQEVSGEGTAPAKDVDLKFDLNAPPNELVWGG >Manes.04G101700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30651593:30654922:1 gene:Manes.04G101700.v8.1 transcript:Manes.04G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDVKESTLVKPAEETPRRGLWNANVDLVVPRFHTPSVYFYRPTGALNFFDPNVVKEGLSKALVQFYPMAGRLRRDEDGRIEIDCNAEGVLFVVAETSSVIDDFGDFAPTLELKKLIPSVDYSGGISTYPLLVLQLTFFKCGGVSLGVGMQHHVADGFSGLHFVNAWSDMARGLDITIPPFIDRTLLRARDPPQPAFHHIEYQPPPALKVSAENLKPDSTTVSIFKLTRDQLNILKAKAKEDGNTITYSSYEMLAGHVWRSACRARGLEGDQESKLYIATDGRSRLRPPLPPGYFGNVIFTATPIAAAGDMQSKPTWYAAGKVHDALVRMDNDYLRSALDYLELQPDLSALVRGAHTFKCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIPYEGLSFILPSPTNDGSLSVAIALQSAHMKLFEKFIYEI >Manes.14G137400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11971256:12032089:1 gene:Manes.14G137400.v8.1 transcript:Manes.14G137400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEIPRACEAEGSETTIEIKIKTLDSQTHTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVLPSSDGLLGHSATDPASSTSRGHVAPSVVIETFSVPDQGDGVPPEISRIVSAVLGSFGFSNIGSGNEGVDAAGRDQHRSSAASGTPDAGGLQPEQGGSRVQSDRSQSAFGLPTGVSLESLNPLVIPDSLTTLSQYLSLMRREFNSIGRGENIAQAEATQRTEQRDSNAASRSGAVHERLPTPASLAEVMQSSRQFINEQVAESLQQLARQLENQANVTDPAARLSTQSSAWRTGVQLHNLGAFLLELGRTTMTLRLGQAPSEAVVNAGPAVFINQSGPNPLMVQPLPFQPGAGFGAIPVGSVQHGSGLVNGIGTGFLPRRIDIQIRRGSSTTSPNLNREEQVDIQQPPGQRNQGTDSGGESLGNQTASRVTENSVFGGETGVRVVPIRTMVAAVPGPFSRFPSESPSNSIGLYYPLLGRFQHVSGARGSQESAEHHPAGVQTEQQSTSEPAVQRSSAEHQIRDGSIPTSNLRQQEPSSTRSININILSASGNQNNSESERQNSILQLIRNLLPVGEIQVDSGLQGMATGSSPGNAGGSSAPVEAQSGVTDEGIFLSNLLHEIMPIISQCVAAEPNVIPREDPHDIEHQRAQGSSTQAEQADVGTSRQRSDDIEPGLPNPKRQKVNAYFISAVLFKLDLSFLSHNECIICESKFLRFAENLLRKARNCL >Manes.14G137400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11971734:12029950:1 gene:Manes.14G137400.v8.1 transcript:Manes.14G137400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEKFIKNQINVFVVLKIMGDDEIPRACEAEGSETTIEIKIKTLDSQTHTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVLPSSDGLLGHSATDPASSTSRGHVAPSVVIETFSVPDQGDGVPPEISRIVSAVLGSFGFSNIGSGNEGVDAAGRDQHRSSAASGTPDAGGLQPEQGGSRVQSDRSQSAFGLPTGVSLESLNPLVIPDSLTTLSQYLSLMRREFNSIGRGENIAQAEATQRTEQRDSNAASRSGAVHERLPTPASLAEVMQSSRQFINEQVAESLQQLARQLENQANVTDPAARLSTQSSAWRTGVQLHNLGAFLLELGRTTMTLRLGQAPSEAVVNAGPAVFINQSGPNPLMVQPLPFQPGAGFGAIPVGSVQHGSGLVNGIGTGFLPRRIDIQIRRGSSTTSPNLNREEQVDIQQPPGQRNQGTDSGGESLGNQTASRVTENSVFGGETGVRVVPIRTMVAAVPGPFSRFPSESPSNSIGLYYPLLGRFQHVSGARGSQESAEHHPAGVQTEQQSTSEPAVQRSSAEHQIRDGSIPTSNLRQQEPSSTRSININILSASGNQNNSESERQNSILQLIRNLLPVGEIQVDSGLQGMATGSSPGNAGGSSAPVEAQSGVTDEGIFLSNLLHEIMPIISQCVAAEPNVIPREDPHDIEHQRAQGSSTQAEQADVGTSRQRSDDIEPGLPNPKRQKTE >Manes.14G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11971141:12032089:1 gene:Manes.14G137400.v8.1 transcript:Manes.14G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEIPRACEAEGSETTIEIKIKTLDSQTHTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPVLPSSDGLLGHSATDPASSTSRGHVAPSVVIETFSVPDQGDGVPPEISRIVSAVLGSFGFSNIGSGNEGVDAAGRDQHRSSAASGTPDAGGLQPEQGGSRVQSDRSQSAFGLPTGVSLESLNPLVIPDSLTTLSQYLSLMRREFNSIGRGENIAQAEATQRTEQRDSNAASRSGAVHERLPTPASLAEVMQSSRQFINEQVAESLQQLARQLENQANVTDPAARLSTQSSAWRTGVQLHNLGAFLLELGRTTMTLRLGQAPSEAVVNAGPAVFINQSGPNPLMVQPLPFQPGAGFGAIPVGSVQHGSGLVNGIGTGFLPRRIDIQIRRGSSTTSPNLNREEQVDIQQPPGQRNQGTDSGGESLGNQTASRVTENSVFGGETGVRVVPIRTMVAAVPGPFSRFPSESPSNSIGLYYPLLGRFQHVSGARGSQESAEHHPAGVQTEQQSTSEPAVQRSSAEHQIRDGSIPTSNLRQQEPSSTRSININILSASGNQNNSESERQNSILQLIRNLLPVGEIQVDSGLQGMATGSSPGNAGGSSAPVEAQSGVTDEGIFLSNLLHEIMPIISQCVAAEPNVIPREDPHDIEHQRAQGSSTQAEQADVGTSRQRSDDIEPGLPNPKRQKTE >Manes.10G081100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18883590:18886336:1 gene:Manes.10G081100.v8.1 transcript:Manes.10G081100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNQSYTRTNTLQLKALIVKKVGHERADKYFDQLTRLFSFKITKSEFDMFCIKIIGRENIPLHNCLIRSIVKNACLAKVPPPTGIKRVGSSVNTKTANGYQRNCLQSLYGDTFPPSPRKGRSPVNRDRKLRDRPSPLGPLGKPQCVVCEELDSRTQEQQSATELLSLGSRPPVEVASVEEGEEVEQMAGSPGVQSRSPVTAPLGVSMNLGVARKTLSNASIFGSHLQETCLHAGELPDTRSLRSRLERKLEMEGLTVSVDCVNLLNNGLDTYLKSLIEPCVGLARSSCGTDHLKKVSGRFTPGLNGMLPGRHMQRLTESVYVSMLDFHVAVEANPRILGGDWATLLEKISLRTSEE >Manes.15G178400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:23527741:23528636:-1 gene:Manes.15G178400.v8.1 transcript:Manes.15G178400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNYENREKVRRDEEAVARQEQLNREQLRKRDAEFRLERLRTARSLRPVNKSEAEPRTGRESESEPAQSESKSNHINLFEGIKIFDPIKGLEKEGDAERDGSRRKKMKKEEVRIVTAEEEKYKFGYGVAGKGVKLPWYLEKHSDDVNEEKDEDDGSMRGKKEGKKSGKKTLQELREERLKREKQEKESARALLENKLGDRSVFRILRR >Manes.17G011401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:4557737:4558162:-1 gene:Manes.17G011401.v8.1 transcript:Manes.17G011401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNEWFSLAFSSASVENASLMLMILWALWQNRNNVVWKGQGQTASGVFFMALNFLQQWKAARVVSSVSTIVDPARPIWSPPPHGWIKANIDASLSLQRGSVGFGCVIRKDDGSFVAARADSFYSQMDAKCAEAIAFREALS >Manes.07G034600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3778290:3783419:-1 gene:Manes.07G034600.v8.1 transcript:Manes.07G034600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPSTMSRENWAVVTCNLRPILQGLTAYAQPGELLAVMGPSGSGKSTLLDALGGRLSSNTTQTGEILVNGRKQALAYGTSAYVTQDENLVTTLTVREAVYYSAQLQLPDSMSKSEKKERAEMTIREMGLQEAMNTRIGGWGVKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMSRISSLKSKDGIRRTIILSIHQPSCEVFQLFNNLCLLSSGKMVYFGLASAANEFFTFNGFPCPVHQNPSDHFLKTINKDFERDLEQGLSGAMPTEEVINTLIRSYESSETYQQLQKKIAEINEENFGAILEKRSHASFLNQCVVLTRRSFVNMYRDIGYYWLRLFIYVGLAFGLGTIYYDLGSTYASIQARGSLLMFISTFLTFMAIGGFPSFVEEMKVFIRERLNGHYGTTTFIIANTFSSLPFLLMISLIPGAIAYYITGLHKGFEHFVCFAFIIFASLLLVESTMMAIASIVPNFLMGIIAGAGIQGLMALGGGFFRLPNDLPRPFWKYPLYYIAFHKYAYQGMFKNEFEGLRFQSYQGAGGSSAEMINGEDVLRDVWQVEMGYSKWVDLLILLGMTIFYRFLFLIIIKVSETSKLRLFMAKIYRIIYRS >Manes.07G034600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3778290:3782395:-1 gene:Manes.07G034600.v8.1 transcript:Manes.07G034600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEKKERAEMTIREMGLQEAMNTRIGGWGVKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMSRISSLKSKDGIRRTIILSIHQPSCEVFQLFNNLCLLSSGKMVYFGLASAANEFFTFNGFPCPVHQNPSDHFLKTINKDFERDLEQGLSGAMPTEEVINTLIRSYESSETYQQLQKKIAEINEENFGAILEKRSHASFLNQCVVLTRRSFVNMYRDIGYYWLRLFIYVGLAFGLGTIYYDLGSTYASIQARGSLLMFISTFLTFMAIGGFPSFVEEMKVFIRERLNGHYGTTTFIIANTFSSLPFLLMISLIPGAIAYYITGLHKGFEHFVCFAFIIFASLLLVESTMMAIASIVPNFLMGIIAGAGIQGLMALGGGFFRLPNDLPRPFWKYPLYYIAFHKYAYQGMFKNEFEGLRFQSYQGAGGSSAEMINGEDVLRDVWQVEMGYSKWVDLLILLGMTIFYRFLFLIIIKVSETSKLRLFMAKIYRIIYRS >Manes.07G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3778290:3783200:-1 gene:Manes.07G034600.v8.1 transcript:Manes.07G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEMQTFDFNMVQTDSLKDNYDDVGVVFLSWKDLWVTVGDGKLGKRPILQGLTAYAQPGELLAVMGPSGSGKSTLLDALGGRLSSNTTQTGEILVNGRKQALAYGTSAYVTQDENLVTTLTVREAVYYSAQLQLPDSMSKSEKKERAEMTIREMGLQEAMNTRIGGWGVKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMSRISSLKSKDGIRRTIILSIHQPSCEVFQLFNNLCLLSSGKMVYFGLASAANEFFTFNGFPCPVHQNPSDHFLKTINKDFERDLEQGLSGAMPTEEVINTLIRSYESSETYQQLQKKIAEINEENFGAILEKRSHASFLNQCVVLTRRSFVNMYRDIGYYWLRLFIYVGLAFGLGTIYYDLGSTYASIQARGSLLMFISTFLTFMAIGGFPSFVEEMKVFIRERLNGHYGTTTFIIANTFSSLPFLLMISLIPGAIAYYITGLHKGFEHFVCFAFIIFASLLLVESTMMAIASIVPNFLMGIIAGAGIQGLMALGGGFFRLPNDLPRPFWKYPLYYIAFHKYAYQGMFKNEFEGLRFQSYQGAGGSSAEMINGEDVLRDVWQVEMGYSKWVDLLILLGMTIFYRFLFLIIIKVSETSKLRLFMAKIYRIIYRS >Manes.07G034600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3778290:3782067:-1 gene:Manes.07G034600.v8.1 transcript:Manes.07G034600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSSNTTQTGEILVNGRKQALAYGTSAYVTQDENLVTTLTVREAVYYSAQLQLPDSMSKSEKKERAEMTIREMGLQEAMNTRIGGWGVKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMSRISSLKSKDGIRRTIILSIHQPSCEVFQLFNNLCLLSSGKMVYFGLASAANEFFTFNGFPCPVHQNPSDHFLKTINKDFERDLEQGLSGAMPTEEVINTLIRSYESSETYQQLQKKIAEINEENFGAILEKRSHASFLNQCVVLTRRSFVNMYRDIGYYWLRLFIYVGLAFGLGTIYYDLGSTYASIQARGSLLMFISTFLTFMAIGGFPSFVEEMKVFIRERLNGHYGTTTFIIANTFSSLPFLLMISLIPGAIAYYITGLHKGFEHFVCFAFIIFASLLLVESTMMAIASIVPNFLMGIIAGAGIQGLMALGGGFFRLPNDLPRPFWKYPLYYIAFHKYAYQGMFKNEFEGLRFQSYQGAGGSSAEMINGEDVLRDVWQVEMGYSKWVDLLILLGMTIFYRFLFLIIIKVSETSKLRLFMAKIYRIIYRS >Manes.02G180300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14305471:14307077:1 gene:Manes.02G180300.v8.1 transcript:Manes.02G180300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFRVAKIRKDEKELGDVGESLSVNEEYVCALRTQSYADFFAKAQSLVNQQPSFPSFCHHKFSQVLLEPDQETIPAILESAIFSKIPELKGLMLNYFDLSAEASRICSHLLKNINQIQSNHQYIQQVLDTTVNYYSPEKAKLAVSELNLFISQRNPFSTPDKNDFKQIHDRYSSVLNHLRSKRKKLTRKMKLITCIHKASGICITAAGSLIAITAIVLAAHTLTAIVMGPAIFSFPLKGLKKKIQRFRFLRNGSFLRKAEQQLDVAAKGTYILNRDFDIMSRLVARLHDEVEHNRAMIQLCLESRENKFSLEIVKELKKSDIGFRKQVAELEEHVYLCLLTINRARGLVIKELNNSAGIGSLR >Manes.05G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:961924:966745:1 gene:Manes.05G010300.v8.1 transcript:Manes.05G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCEDDDMHKAADNGGPYPVKSSAGTVGSYNASEAAPRGAQAVKIQPIEVPSISVDELKEVTDNFGTNSLIGEGSYGRVYYGVLKSGQAAAIKKLDASKQPDDEFLAQVSMVSRLKHENFVQLLGYCIDGGSRVLAYEFASNGSLHDILHGRKGVKGAQPGPVLSWQQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVRQCVDARLQGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGETPSM >Manes.08G021600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2183508:2184855:1 gene:Manes.08G021600.v8.1 transcript:Manes.08G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSQSSNSGNSAAANQLRATAPSAVSGRHPVYRGVRRRSSGKWVSEIREPRKPNRIWLGTFPTPEMAAVAYDVAALALKGRDAELNFPNSASSLPVPVSTSPRDIQAAAASAAAAVGAARDALGIGSYRQESTNQTVVQERPMFNEFVDEDLIFDMPNVLMNMAEGMLLSPPRLDIAGDEYADDPYSGLMDQNLWRFP >Manes.07G132902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33450192:33500743:-1 gene:Manes.07G132902.v8.1 transcript:Manes.07G132902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNFLFLVNAVQTENAINKRQLQVANAPRVNESPYLRSRFSVPEAPSESGKAPPRSVAPPFSLLPSPPVNNSIQSPPLEPNPAPSSPPAVVSLPTPLEPNPPSASPNGSASNPLLVPTPPSSNNPRKPSSSKKHVSIIAGAIGGALLAMSIVIFYVYKINKATVKPWATGLSGQLQKAFVTGVPKLKKDLSLKQAVKISVV >Manes.14G153450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17906819:17907406:1 gene:Manes.14G153450.v8.1 transcript:Manes.14G153450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLADCKPCQVLMEARLKLSKINNSPPIDATLYRSIIGSLRYLVNTRPDLAYSVGFVSNYMKAPITAHMAAVKQILRYVKDTINYGCYYTHKKDSGLKLIGYSDSDFACDINDRKSITGVSYFLRDNPITWVSQKQKVVALSSCEAEYMARTAGVCQGVWLARLLSEMQWKKQDSVVLKIDNKFAIAITNKPNSS >Manes.17G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30429043:30431468:1 gene:Manes.17G097300.v8.1 transcript:Manes.17G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSYLAMRTEQETSDVIVSDIKDLSKAAKKLANHAIKLVGLGFGTSFLEWLASFAAIYLLILDRTNWRTNILTGLLIPYIFLSLPSILFSLFRGEVGKWIAFVAVILRLFFPRRFPDWLEMPGALILLIVVAPSLFASTLRSSWVGVLICLVIACYLLQEHIRASGGFRNSFTKAHGISNTIGIILLFVYPAWALVIDFL >Manes.11G116500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27344897:27347493:-1 gene:Manes.11G116500.v8.1 transcript:Manes.11G116500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLVSNVYYLYLFSFWILLLVLVDHGALGVAVNDCKESKCGSGPAVRFPFRIKGRHPDHCGYPQLGFDLSCSERKETLLELPNSVKLLVKKIDYFSQVVTVSDPQGCLLKQLPNLNLSASPFQFHHYELGYWENCTIFHCLKRTQWSAATQVSCQSAPNYYVYSNPSNSGDDVNKVFNCTKMYEIINIPYELCNGNNRIVHLNWSYPRCSSCEEQYKYCKLKADSTQPVIDCYGNLERKSIALGLFLLIVTVIAVFYIYGFNKKEKEYQSKIEKFLEDYKAFKPTRYSYNDIKRITNQFKEELGEGAYGTVYKGKISEEILVAIKVLKNSKGNGEEFVNEVRIIGKIHHVNVVRLVGFCADGFRRALIYEYLPNGSLARFISPADAKNHFLGWKRMQDIALGVAKGIEYLHQGCNQRILHFDINPHNILLDHNFNPKISDFGLAKLCLQDQSAVSMTTARGTIGYMAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKNVDVNQGNDEQIYFPEWIYNLLEGGEDLRLEIDAEEDAKIAKKLAIVGLWCIQWNPVDRPSMKIAVQMLEGEGDNLPIPANPFSSTNPTRTNARIPGRQLHHELEAISETE >Manes.11G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27344897:27347493:-1 gene:Manes.11G116500.v8.1 transcript:Manes.11G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLVSNVYYLYLFSFWILLLVLVDHGALGVAVNDCKESKCGSGPAVRFPFRIKGRHPDHCGYPQLGFDLSCSERKETLLELPNSVKLLVKKIDYFSQVVTVSDPQGCLLKQLPNLNLSASPFQFHHYELGYWENCTIFHCLKRTQWSAATQVSCQSAPNYYVYSNPSNSGDDVNKVFNCTKMYEIINIPYELCNGNNRIVHLNWSYPRCSSCEEQYKYCKLKADSTQPVIDCYGNLERKRSLNKFLAAGIALGLFLLIVTVIAVFYIYGFNKKEKEYQSKIEKFLEDYKAFKPTRYSYNDIKRITNQFKEELGEGAYGTVYKGKISEEILVAIKVLKNSKGNGEEFVNEVRIIGKIHHVNVVRLVGFCADGFRRALIYEYLPNGSLARFISPADAKNHFLGWKRMQDIALGVAKGIEYLHQGCNQRILHFDINPHNILLDHNFNPKISDFGLAKLCLQDQSAVSMTTARGTIGYMAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKNVDVNQGNDEQIYFPEWIYNLLEGGEDLRLEIDAEEDAKIAKKLAIVGLWCIQWNPVDRPSMKIAVQMLEGEGDNLPIPANPFSSTNPTRTNARIPGRQLHHELEAISETE >Manes.02G097700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7616655:7619840:-1 gene:Manes.02G097700.v8.1 transcript:Manes.02G097700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAGFMSFYEAAAPYCFSQQSADELLRAEIKQDFPDSFPKLSEIMNSPCNGHVHESHSVSAKYKQQQYSHHHDHLGENHRLRNFFSSRQPIKQLHLSAGVDQLHSNNNNDPQNFSSTLERSSSSASSGYNFSHILPSINISNSDLDLNLQAVDLLTLKYDASAASSSQQASQNATLGNFIGELFKESPASSSNKGSAFEDAIQRKKRQKSCVQSKKEQQPPARYSCPPLKVIRKEKLRERIATLQRLVAPYGKTDTASVLTEAIGYIQFLHDQVQTLSVPYMRSSYSNTTRSMQLNSSEEDGKGQPKRDLLDRGLCLVPLSCASFFISYGGGI >Manes.02G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7616655:7619840:-1 gene:Manes.02G097700.v8.1 transcript:Manes.02G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNNLHPQHKLQEQYGASYSSFLFQPEHKASSTNAIFLESWQPNRDSMYPAGFMSFYEAAAPYCFSQQSADELLRAEIKQDFPDSFPKLSEIMNSPCNGHVHESHSVSAKYKQQQYSHHHDHLGENHRLRNFFSSRQPIKQLHLSAGVDQLHSNNNNDPQNFSSTLERSSSSASSGYNFSHILPSINISNSDLDLNLQAVDLLTLKYDASAASSSQQASQNATLGNFIGELFKESPASSSNKGSAFEDAIQRKKRQKSCVQSKKEQQPPARYSCPPLKVIRKEKLRERIATLQRLVAPYGKTDTASVLTEAIGYIQFLHDQVQTLSVPYMRSSYSNTTRSMQLNSSEEDGKGQPKRDLLDRGLCLVPLSCASFFISYGGGI >Manes.16G023100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2508613:2519311:-1 gene:Manes.16G023100.v8.1 transcript:Manes.16G023100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGYKLQEFVAHSTNVNCLNIGKKACRMFITGGDDHKVNLWAIGKPTSLMSLCGHTSPVESVAFDTAEVLVLSGASNGVIKLWDLEEAKMVRTLTGHRSNCTAVEFHPFGEFFASGSTDKNLKIWDIRKKGCIHTYKGHTQGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFELIGSARPEDTGVRSITFHPDGRTLFCGLEDSLKVYSWEPVICHDAVDIGWSTLGDVCINEGKLLGCSYYRNSVAVWVADISLIEPYGVGFSPEESDCTEKKFNILKSDSPDKARNGVRSTSDLRSLSPEYEIKEIKNIYVDTTSGNPFSSQKVGSLNPPNMALPLDTKEMDNPPMEKKSPIKGVNGNAGGEALNKSFVMPTVVHQDNPIQKKISNSERETVTFSRTKPGMLLRPAHIRKPSNSKNDVEKLSVTPESESFSSVTSEKESAVDLKLQSLNLSEDVARKSCEEKSSTIKSVADKFEKILSPETPSSQENCDEFSNGNRRIPSVKIVNGVAVVAGRTRSLVERFERREKFNNEDQSINMASKIVHETNRTTTVSNNINAGPAPEIDREPPSSTNITPLVVPEMDRKPPTATTMNPRVIPETDRKPRLATSMNPLVIPETNRKHPIANMSPRVISETDRKPPAAITMNPRVIPETKTTLATNVVPGIVHEMGRTPTRATAVTPCVIPEMDRTPTTVNNQFIPEIDISPSMVTDKTPRIVREMDRMPSILDEPQISGRDRISSKYGDMSEDLMQTHDVFLSTLKSRLTKLQVVRHFWERNDIKGAINALRKLPDHSVQADVISILMEKMEILTLDLFSCLLPVLLGLLDSKMERHTSVSLEMLLKLVAVFGPVIRSTVSARRAVGVDLHAEQRLECCKHCFVQLQKIQQNLPAVIRKGGMVAKSALELNLVLQES >Manes.16G023100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2508613:2519313:-1 gene:Manes.16G023100.v8.1 transcript:Manes.16G023100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGYKLQEFVAHSTNVNCLNIGKKACRMFITGGDDHKVNLWAIGKPTSLMSLCGHTSPVESVAFDTAEVLVLSGASNGVIKLWDLEEAKMVRTLTGHRSNCTAVEFHPFGEFFASGSTDKNLKIWDIRKKGCIHTYKGHTQGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFELIGSARPEDTGVRSITFHPDGRTLFCGLEDSLKVYSWEPVICHDAVDIGWSTLGDVCINEGKLLGCSYYRNSVAVWVADISLIEPYGVGFSPEESDCTEKKFNILKSDSPDKARNGVRSTSDLRSLSPEYEIKEIKNIYVDTTSGNPFSSQKVGSLNPPNMALPLDTKEMDNPPMEKKSPIKGVNGNAGGEALNKSFVMPTVVHQDNPIQKKISNSERETVTFSRTKPGMLLRPAHIRKPSNSKNDVEKLSVTPESESFSSVTSEKESAVDLKLQSLNLSEDVARKSCEEKSSTIKSVADKFEKILSPETPSSQENCDEFSNGNRRIPSVKIVNGVAVVAGRTRSLVERFERREKFNNEDQSINMASKIVHETNRTTTVSNNINAGPAPEIDREPPSSTNITPLVVPEMDRKPPTATTMNPRVIPETDRKPRLATSMNPLVIPETNRKHPIANMSPRVISETDRKPPAAITMNPRVIPETKTTLATNVVPGIVHEMGRTPTRATAVTPCVIPEMDRTPTTVNNQFIPEIDISPSMVTDKTPRIVREMDRMPSILDEPQISGRDRISSKYGDMSEDLMQTHDVFLSTLKSRLTKLQVVRHFWERNDIKGAINALRKLPDHSVQADVISILMEKMEILTLDLFSCLLPVLLGLLDSKMERHTSVSLEMLLKLVAVFGPVIRSTVSARRAVGVDLHAEQRLECCKHCFVQLQKIQQNLPAVIRKGGMVAKSALELNLVLQES >Manes.03G134804.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26240698:26241741:-1 gene:Manes.03G134804.v8.1 transcript:Manes.03G134804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGMELKSFIKVWVLATTCLSYCYYITARLPKGVVRLLSILPVIYIFIILPTNLTSFNLCGPTAFFLVWLANFKLLLFSFDQGPLSPPPPKLFHFISLACLPIKLKQKTDNDTNPSPHFVPRSLLLAIKTFVLVLLFHIYSYRQFMHPYVILTLYCLHVYLQLELVLVISAIPARALFGFEIEPQFNEPYLATSLQDFWGHRWNLMVTSILRPTVYHPVRQFSKRLIGSTWASLPAIIATFVASGLMHEVMYFYLTRVRPTWEVTWFFILHGICVAIEVALKKVVKDRWQLNRAISGPLAVIFASITAFWLFFPQLTRNKVDEQVIWECSILLNFIKQKVSSCFIS >Manes.07G126500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32920709:32923713:-1 gene:Manes.07G126500.v8.1 transcript:Manes.07G126500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEHTVLQLSPTSSSSTLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESSDQVALDIDYHHNMLLSHQKVNPKEVIVGWYSTGLGVTGGSALIHEFYSREVPNPIHLTVDTGFRNGEGTIKAYVSVNLSLGDRQLAAQFQEIPVDLRMVEAERVGLIVFISSIRCFGSVLLNVDKAKDFNVGRIRLHLTPMVDKIPSDLEGMEASMQRLLALIDDVYKYVDDVVEGRAAADSSVGRFIYETVASLPKLSPSTFDKLVNDSLQDHLLLLYLSSITRTQLSLAEKLNTAAQIL >Manes.01G132600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32581101:32585432:1 gene:Manes.01G132600.v8.1 transcript:Manes.01G132600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQLDFNQPLLSVRRFSSTGSTTEADHKRKTDNTHPKLPPLPVYKSELKSGPVRNPGTVPFLWEKTPGRPKYESKPRNAVLAQPPVVPKLPPGRILNVERQALDIDSEGMAGGQSEAKSGVSGSYSVPSLEKIVTEEESSREVKEETDISGSEDDDEAYVDALDTLSRCESFFLNCSISGVSGLDGPDMKPSGTFSTDPQTRDFMMGRFLPAAKAMASETPQHSTRKLPVVQEQPNKLKKMVSVDENYTVNQCRRLNNILHYNQADAVEENEQEDDYFDGSDNTSLKVCGLFPRLCLQNSFCLLNPVPGMRKQAHLHISSSNLKKVNSSFAARCSETVSEHDKDAARKQRSAGALRTTGLHEDKNELKNESNKIARRNDHQKLDGSSLYKRLQGNDASPYQGKISQSAVDGEKGDIAITDKSKNSGMSGFKADTKGGKNFRELLAIESEEWESTSASPTVEKTLYIDCVHMLKPQISNSSSTDMKGIFHDGKDSVNDSSLQAMKHVDAVGEKGNVMAESLESADSCLSSGRSMHDVQTVLVDNSRQDQELIGTSVTPASPKVDEDRKIISERQMGQISGKVESCHGLVQDPIKMRSTKVADDRKVDLESKHPEKLSDQETSTGYYSLLPLPPPLPNSPSESWLKRTLPAVSSKHMSLRSTLGMHAYPRVQASKLDSPDLTWETIVKTSNVQHGNLRFSEELLTPIPEV >Manes.01G132600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32581917:32585185:1 gene:Manes.01G132600.v8.1 transcript:Manes.01G132600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQLDFNQPLLSVRRFSSTGSTTEADHKRKTDNTHPKLPPLPVYKSELKSGPVRNPGTVPFLWEKTPGRPKYESKPRNAVLAQPPVVPKLPPGRILNVERQALDIDSEGMAGGQSEAKSGVSGSYSVPSLEKIVTEEESSREVKEETDISGSEDDDEAYVDALDTLSRCESFFLNCSISGVSGLDGPDMKPSGTFSTDPQTRDFMMGRFLPAAKAMASETPQHSTRKLPVVQEQPNKLKKMVSVDENYTVNQCRRLNNILHYNQADAVEENEQEDDYFDGSDNTSLKVCGLFPRLCLQNSFCLLNPVPGMRKQAHLHISSSNLKKVNSSFAARCSETVSEHDKDAARKQRSAGALRTTGLHEDKNELKNESNKIARRNDHQKLDGSSLYKRLQGNDASPYQGKISQSAVDGEKGDIAITDKSKNSGMSGFKADTKGGKNFRELLAIESEEWESTSASPTVEKTLYIDCVHMLKPQISNSSSTDMKGIFHDGKDSVNDSSLQAMKHVDAVGEKGNVMAESLESADSCLSSGRSMHDVQTVLVDNSRQDQELIGTSVTPASPKVDEDRKIISERQMGQISGKVESCHGLVQDPIKMRSTKVADDRKVDLESKHPEKLSDQETSTGYYSLLPLPPPLPNSPSESWLKRTLPAVSSKHMSLRSTLGMHAYPRVQASKLDSPDLTWETIVKTSNVQHGNLRFSEELLTPIPEV >Manes.01G132600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32581128:32585185:1 gene:Manes.01G132600.v8.1 transcript:Manes.01G132600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQLDFNQPLLSVRRFSSTGSTTEADHKRKTDNTHPKLPPLPVYKSELKSGPVRNPGTVPFLWEKTPGRPKYESKPRNAVLAQPPVVPKLPPGRILNVERQALDIDSEGMAGGQSEAKSGVSGSYSVPSLEKIVTEEESSREVKEETDISGSEDDDEAYVDALDTLSRCESFFLNCSISGVSGLDGPDMKPSGTFSTDPQTRDFMMGRFLPAAKAMASETPQHSTRKLPVVQEQPNKLKKMVSVDENYTVNQCRRLNNILHYNQADAVEENEQEDDYFDGSDNTSLKVCGLFPRLCLQNSFCLLNPVPGMRKQAHLHISSSNLKKVNSSFAARCSETVSEHDKDAARKQRSAGALRTTGLHEDKNELKNESNKIARRNDHQKLDGSSLYKRLQGNDASPYQGKISQSAVDGEKGDIAITDKSKNSGMSGFKADTKGGKNFRELLAIESEEWESTSASPTVEKTLYIDCVHMLKPQISNSSSTDMKGIFHDGKDSVNDSSLQAMKHVDAVGEKGNVMAESLESADSCLSSGRSMHDVQTVLVDNSRQDQELIGTSVTPASPKVDEDRKIISERQMGQISGKVESCHGLVQDPIKMRSTKVADDRKVDLESKHPEKLSDQETSTGYYSLLPLPPPLPNSPSESWLKRTLPAVSSKHMSLRSTLGMHAYPRVQASKLDSPDLTWETIVKTSNVQHGNLRFSEELLTPIPEV >Manes.13G072450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10534945:10535428:1 gene:Manes.13G072450.v8.1 transcript:Manes.13G072450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGTTEEEQVTFPIDGEAEIFHSQQTLLYNNTSLSDSCTIKEYKFCSPIVGVGLDASAAPKEINVNVAVTCPAFIISVIVNPNKETVIDLKQKVGELWGIETKDITLWRLHRKMQDHLPLYRYYINEDSDVQFTRTR >Manes.09G049502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10371844:10377639:-1 gene:Manes.09G049502.v8.1 transcript:Manes.09G049502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQIWWPAEIIGETSHVSDSRSRGIDSHVLVQFYGYYGIAWIDPAREISQLEDCFEERSSNTMDKFQDALTQALERKEYLSANRESLGSPDGLDQSYQQDQSSDK >Manes.09G147700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34515849:34527685:-1 gene:Manes.09G147700.v8.1 transcript:Manes.09G147700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPVAVGDVAMLDAELLQLPEVAPLAFKSYPDFAQKLFEQWLALPDANRLVTSLLNDAKAGAPLNVSGNSSSATATPSSSLPSMFPGGSTPPLSPRSSSGSPRIMKQRAGPSNLGSPLKVLSEPVKELIPQFYFKNGRPPPNELKEQCLLRISHFFYGRLDGLQLHEFKSVTKEICKLPSFFSTALFRKIDVNGTGFVTRDAFVNYWLNGNMLTMDMATQIFKILKQPDLNYLTQEDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFISKVEGKMGYEDFVYFILSEEDKSAEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPENESYITLHDLKGCKLSGSVFNILFNLNKFMAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >Manes.09G147700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34513466:34527685:-1 gene:Manes.09G147700.v8.1 transcript:Manes.09G147700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPVAVGDVAMLDAELLQLPEVAPLAFKSYPDFAQKLFEQWLALPDANRLVTSLLNDAKAGAPLNVSGNSSSATATPSSSLPSMFPGGSTPPLSPRSSSGSPRIMKQRAGPSNLGSPLKVLSEPVKELIPQFYFKNGRPPPNELKEQCLLRISHFFYGRLDGLQLHEFKSVTKEICKLPSFFSTALFRKIDVNGTGFVTRDAFVNYWLNGNMLTMDMATQIFKILKQPDLNYLTQEDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFISKVEGKMGYEDFVYFILSEEDKSAEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPENESYITLHDLKGCKLSGSVFNILFNLNKFMAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >Manes.09G147700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34515849:34527685:-1 gene:Manes.09G147700.v8.1 transcript:Manes.09G147700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPVAVGDVAMLDAELLQLPEVAPLAFKSYPDFAQKLFEQWLALPDANRLVTSLLNDAKAGAPLNVSGNSSSATATPSSSLPSMFPGGSTPPLSPRSSSGSPRIMKQRAGPSNLGSPLKVLSEPVKELIPQFYFKNGRPPPNELKEQCLLRISHFFYGRLDGLQLHEFKSVTKEICKLPSFFSTALFRKIDVNGTGFVTRDAFVNYWLNGNMLTMDMATQIFKILKQPDLNYLTQEDFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFISKVEGKMGYEDFVYFILSEEDKSAEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPENESYITLHDLKGCKLSGSVFNILFNLNKFMAFESRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >Manes.01G228500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39360660:39362307:1 gene:Manes.01G228500.v8.1 transcript:Manes.01G228500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLQLYTLKFQNSNHNLSHPKEGGCRKGDEKTVVTVRIGANAGKIKNEGPPSDFWSWRKYGQKPIKGSPYPRCSTSKGCSAKKQVERCRSDASMMIITYTSNHNHPGPDLHSTNLNPQTKDSQFPTQSTEDLRPATPKREQQEEENQSQNQATVVISHEDDKEGHNFHYLQSPTNCSQYMMISQEEPFSAEKTDDTLSILLDEEPISCPALMTPKSEENDFFDELEELPIYSAFTSFMRTNFYDEGIPAVPS >Manes.01G228500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39360660:39362307:1 gene:Manes.01G228500.v8.1 transcript:Manes.01G228500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSCPPTLHSKVSELKSQSQPSKRSCRKGDEKTVVTVRIGANAGKIKNEGPPSDFWSWRKYGQKPIKGSPYPRCSTSKGCSAKKQVERCRSDASMMIITYTSNHNHPGPDLHSTNLNPQTKDSQFPTQSTEDLRPATPKREQQEEENQSQNQATVVISHEDDKEGHNFHYLQSPTNCSQYMMISQEEPFSAEKTDDTLSILLDEEPISCPALMTPKSEENDFFDELEELPIYSAFTSFMRTNFYDEGIPAVPS >Manes.01G228500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39360660:39362307:1 gene:Manes.01G228500.v8.1 transcript:Manes.01G228500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLQLYTLKFQNSNHNLSHPKEGGCRKGDEKTVVTVRIGANAGKIKNEGPPSDFWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCRSDASMMIITYTSNHNHPGPDLHSTNLNPQTKDSQFPTQSTEDLRPATPKREQQEEENQSQNQATVVISHEDDKEGHNFHYLQSPTNCSQYMMISQEEPFSAEKTDDTLSILLDEEPISCPALMTPKSEENDFFDELEELPIYSAFTSFMRTNFYDEGIPAVPS >Manes.01G228500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39360660:39362307:1 gene:Manes.01G228500.v8.1 transcript:Manes.01G228500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSCPPTLHSKVSELKSQSQPSKRSCRKGDEKTVVTVRIGANAGKIKNEGPPSDFWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCRSDASMMIITYTSNHNHPGPDLHSTNLNPQTKDSQFPTQSTEDLRPATPKREQQEEENQSQNQATVVISHEDDKEGHNFHYLQSPTNCSQYMMISQEEPFSAEKTDDTLSILLDEEPISCPALMTPKSEENDFFDELEELPIYSAFTSFMRTNFYDEGIPAVPS >Manes.03G151900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27995874:27997229:1 gene:Manes.03G151900.v8.1 transcript:Manes.03G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEDSVTISINKKVIDESPILSNCYIFKVPKELKSVNEEAYEPQLIAIGPYHHGKNHLLAMENHKIQYLQSFLKRSAQDISRYVQIIRNLEERARKCYTEPLSFTSDEFIEMMLIDGCFLIELMCKITWENDSILFEDPILGFDHMLIRLRLDLLLVENQLPFFILGELLVTSNLIPNLESRFSGVMEEVWTYKGFLPRPARLYRSIQLTEIKHLLELEHGNYQPSPERIEVYEKKRTKNGRITRCAIELREAGIKFKSIETHNLFAISFVNGVIEIPKIEITDFTECVLRNLVAYEQLPFGSPKYFSEYVGIMNSLIDSAKDVELLCRKGIIDNWMGDDETVAILFNNLGKHVFYERALYPDIVNNVNEHYKKRSNLWMAKLRHDYFQSP >Manes.14G163800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25846000:25847268:1 gene:Manes.14G163800.v8.1 transcript:Manes.14G163800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTNPNPSPNPIPTEEVVAVTVQEETTKPKEVEERKMEDKPSKVRKYAGLLSIITFILSLVILASVIWLLYMRDYDCEKILRLPNLQIGLAIFMIFVFLISNLVVFLGSRFPVPGFFIVMVPLIVILTMGLALVGADKMESRRIMATPAWFREKVLDDGHWRDIKSCIYNRGLCEDLASRSMNLKAYDFSMEKLTSVESGCCNPPEVCGMEYVNATFWRKGEAIISEKTELLNAGDCETWNNSRTVLCYDCETCKEGFVGIMEKKWWNLGIFLIIMSLFLILAHLSLFITVMWERYN >Manes.03G189600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30923620:30927128:-1 gene:Manes.03G189600.v8.1 transcript:Manes.03G189600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVDATGNPIPTSAVLTASSKHISSRCLQENVAFLKCKKKDPNPEKCLDKGKEVTRCVLGLLKDLHQKCAKEMDAYVGCMYYHTNEFDLCRKEQQAFEKACPLE >Manes.04G135900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33611508:33613385:-1 gene:Manes.04G135900.v8.1 transcript:Manes.04G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGIKTLSPTNHFSYSKMDIFSRGAAMFFGLLSLGFAFLNGGFAQDTLVPAIITFGDSAVDVGNNDYLPTIFKADYPPYGRDFINHKPTGRFCNGKLATDITAETLGFKTYPPAYLSPDASGKNLLIGANFASAASGYDEKAARLNHAIPLSQQFQYFMEYKSKLAKVAGSNKSESIIKDALYLLSAGSSDFLQNYYVNPLLNKVYTPEQYGSSLVTVFTSFVKNLYGSGARRIGVTSLPPLGCLPAARTLFGFHQSGCVSRINTDAQQFNRKINSAAKTLKKQLPGLKMVVFDIFKPLYDLVKSPSANGFVEARRGCCGTGTVETTILLCNPKSLGTCPNATQYVFWDSVHPSQAANQVLADALIVQGASLL >Manes.17G036805.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21302769:21303681:1 gene:Manes.17G036805.v8.1 transcript:Manes.17G036805.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKPPPPPSCDLPACGPPARYTPVRRARPTGRTIHWSRDPPAREEDARQPRNTCASPFMAICSRLRSLPRAVHLPSSDDQFCYRNRQTAQRLRHLRHRGSPNRFSSTTAREGRPNRDSSRSPPPAVQPSGSRPHQRPTTAQRLRSRRQSESALRAPSPTGL >Manes.14G084600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6998490:7014823:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.14G084600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6999620:7014810:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.14G084600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6999172:7008937:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.14G084600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6999281:7014819:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.14G084600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6998122:7015174:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.14G084600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6998122:7015174:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.14G084600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6999620:7014810:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.14G084600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6998199:7015175:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.14G084600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6998199:7009327:-1 gene:Manes.14G084600.v8.1 transcript:Manes.14G084600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVGDRIHNFFGQENLSRGEHRSEVVDGTWPVLVNNPWAGSQRHIGTSFSNLKNHSLQQSADSERVHGGQSSNVQHGVNFSQSILRPEFTRSQSQNQQPTLNGYVHGNQTLQTRHNDTNFLGVDLEPDQCNLTSRGFSILDAQLGSSPELHKKSSVRMDFNESPVNFDFLGGQQQISSQHPGMFQSLPRQQSGIRDMQLLQQQVMLKQMHEIQRQQQLQKQQLQQQDVGQLNSVKQVSLFAKQGAGCHPSTLVNGIPVHDASNCLWQPELMAANTNWLQRGMSQAMQGSSSGLMFSPEHGQALPLTGMIPQQVDQSLYGVPISGTRVAPRQYSPVQMDKSTIQHISGSSNAFSGDQYAGFSDLSGMQDSTLASRQGYQGKNMIETAASQGLVGGLNLENLQRVGPHQSSGPGQDFHGRLDVGGSSETSLQKTAMQVAPSQNAATLDPTEERILFGEDDNLWEAFGRGTSIGSGGFNMVESTDLLGAFPSLQSGSWSALMQSAVAETSSADTGLQEEWKGLTFQGNIPLAENQQTPTVNDSGKQQSTGVDNKLQAASVPNARPYTMNDGTNSGINNYINMPEVKQSGEWREKLHAGSSQRSVQPFSGEGTKWLDCNPLQKPVSEGSHNYEKGAQASDAGPKAKNGSGPSGSLTNHLSIYSSNTGNQPGTKPNDWNFVDSVAAATGSVLKNQVNENTLRASHSTEWKTPMLMGYGAVTWKTDSVSNSIAELEHPKSTTGSPQVNKEDSNLKDIANLPDSSAVWANQERSQQLPHGNSIDIWKHVGSSVNPKEREFPGKYQSRMDKSCQVFASSGNSNLGNGAVETHDFSDTKESKTDSFHNVSNRTPSVSGVREKSWLDANDSLTLSEEKLKSSVHVGRKPSGVRKFQYHPMGDLDADVEPSYGAKYVTHPQSTPVQVSQGLKGHDHGGIGNSKFPVQIARNSVELDKLHFARQGETKGSDEMSAKSIFLVSELGAFTSSDRAVSNYATSKTTPSSQTMLELLHKVDQSREHGNAAHFSTPDCYKSSEMHDIKNSNGSVHLRKNQAPASQGFGLQLAPPSQPLPCQDHASSSQSPSQANNCLSSTCVSSEVGEKGHGWMASTSSVQGSPHEMSQVEVRKNISASSGQTSKNTQGKLSDALSPSSSYSKRHIHNMHMHDMGTCAMTTDSVNAYYDRFASQSKQMSETFQRAHSGQSALASVPEMSRSHDDVTYSGEIHKLSNNNQSSKKDSAQQFPVLEAAPAPQGSNISGTSQENSSAARMSGTEWTSVSTRQCSFDTQAFKALSNIQSNNDSETTSSTPQKLEGHGLQTVRSDPSGAYSVHSCGFVGKEQATKGDPCQQVSPDNDHLQKTMSLSEGKESVANCLTDTSLANPASTQREIEAFGRSLRSNNILHQNYLLLHQVQHMEIEDVDPDNRSLKRCKRPDVAVDAQQIGSPGGQQLYGHNMVTDASTNCASVPTGDSKMLSFSARLADVRDTNMPCQDILRLGQNDTQNFANSSAVPVRTEQSQISPQMAPSWFDQYGTFKNGQTLPLHDAQKNVSMKMKTWELPFAVGRPSNSLHCHGPLEQENVIASQHSILQKSSTMEPLANENLSSPQLIHHDAGDVSLVAVRPKKRKTDTSELIPWNKQVAIGLQRLSIISSAEVDWSRATNRLTEKVEDETEMVEDVPPAFRSKRRLILTTQLMQLLIHPPLASILSADATSHYESVIHFLARSTLGDACSTLSCAGSDTSGPSSSGNILPLKLNTSERINNQYFSKVVEDLISRARKLENDLLRLDKKASVLDLRVECQELEKYSVINRFAKFHGRGQADGADTSSSSDALQKSSCLQRYVTALPMPRNLPDRVQCFSL >Manes.11G155600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32220439:32225393:1 gene:Manes.11G155600.v8.1 transcript:Manes.11G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPSFNVSPPFNFSNDAHAPVKQTTLAVKSPIPINSLFSSSRLPPPINVRTHSVSLLQCVNSQSAASPITNSSGRVEDCNFVQDEQVLDSGIDGGGGSNGYSGGSGGGGGGGGDEGDSEEEEFGRIMRFEEVMKEAESRGVKLPSDMLEAAKTTGIREMFVLRYLELQGSVWPLSFLMKYCTMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKNFWSEFELYAADLLVGIVVDIALVGMLAPYARIGKPSVSSGVFGKLQQACAALPSSVFEAERPGCKFSVNQRLATYFYKGVLYGSVGFGCGLIGQGIANLIMTAKRSIKKSEEDIPVPPLVQSAVLWGVFLAVSSNTRYQIINGLERLVESSPLAKKVPPVAMAFTVGVRFANNIYGGMQFVDWAKWSGVQ >Manes.04G096800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30220611:30221282:-1 gene:Manes.04G096800.v8.1 transcript:Manes.04G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPSVLDTEATQKMLKNKAAKFLKRVTLVLASMAKAKTLALKTKTHALKTRLMIFSLLRDKKILMSSIPHKLHSIMGHHKHDKDEEHGGDDNNNAGDRSKAIVLHNQSFMSLPSPTQIELLQYSDPDDKLDNIYGYEEDDAEKFPDLTHSLFEYKDMEFEDPGGSVIELVKNSKKEGEEFRLEDEIDHVADLFIKRFHRQMRMQMQAALHQEGSRDACKI >Manes.15G160500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13339763:13341824:1 gene:Manes.15G160500.v8.1 transcript:Manes.15G160500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIQSQLIQLIYATTQGASSSSSSSKKMHRQIFLVLLLFIGPAFADLLQNSDFESPPSNLPENSTTPFQQLSGNSTIPGWSFEGTIVYVTANQTVALPGNGHAIQLGEDGKINQTINPVADYVHYLLTFTLAPGGQNCSTNANVSVSAPDSNSILSFKQNFGKEKWQTYGLYLGSWEQQEPVNLILESQSTESDAKSTCWPLIDKLLLKSIETLVPGDDNLLLNGGFEFGPEFLSNSTEGVLLDSAPTPVLSPLRQWSISGTVKYIDSKHYFVPEGNAAVEFVSGVSTGIQAATTVEAGSKYSLDFTLGDANDSCRGNFLVGAQAGSTAQNFTLQSNGTGSAKNFSLAFKADLTTALIGFVSYTTTQTKDGVFCGPIVDNVVLRASQGMKSKIKWEAFIFLLFLVGIL >Manes.11G140300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30572404:30574054:1 gene:Manes.11G140300.v8.1 transcript:Manes.11G140300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMASHSIENMLVCSKPHQERKPRPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSKKTQDHHQLTPNTHPLSSLPPLAYDSNDLSLAFARLHHQSTGQLGFDDQHDLSILGNPNSHTSAATPSLFEALRYGFLDSQSNNLQNLYYGYGNGNMGEVEDSGICNVSGEMMMPYEDMSGAATQAVTVTTMKQELCNGREDENSKLLWGFPWQINGNGIGGELDPGRENWNTLGGSAWHGLLNSPLM >Manes.11G140300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30571877:30574054:1 gene:Manes.11G140300.v8.1 transcript:Manes.11G140300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGQQQEMASHSIENMLVCSKPHQERKPRPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSKKTQDHHQLTPNTHPLSSLPPLAYDSNDLSLAFARLHHQSTGQLGFDDQHDLSILGNPNSHTSAATPSLFEALRYGFLDSQSNNLQNLYYGYGNGNMGEVEDSGICNVSGEMMMPYEDMSGAATQAVTVTTMKQELCNGREDENSKLLWGFPWQINGNGIGGELDPGRENWNTLGGSAWHGLLNSPLM >Manes.11G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30571877:30574149:1 gene:Manes.11G140300.v8.1 transcript:Manes.11G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSGQQQEMASHSIENMLVCSKPHQERKPRPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSSKKTQDHHQLTPNTHPLSSLPPLAYDSNDLSLAFARLHHQSTGQLGFDDQHDLSILGNPNSHTSAATPSLFEALRYGFLDSQSNNLQNLYYGYGNGNMGEVEDSGICNVSGEMMMPYEDMSGAATQAVTVTTMKQELCNGREDENSKLLWGFPWQINGNGIGGELDPGRENWNTLGGSAWHGLLNSPLM >Manes.18G144000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32328077:32331518:1 gene:Manes.18G144000.v8.1 transcript:Manes.18G144000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHTVISLNRRENSRVMHTHYSGVSPLYSAVNFDSLQPQCIPPKPVNSITTQLQQKTNANPVPNHSPSSTSHSPGLKSTMFCTDLHLSSMKSSETNRPLGISPFLPHPHPSPPIVNLVIPSIESSLFMGGAMTTESENDNLNSLMDLFDIPSKLPIGRFQGSQPATQGLVFTEQMGLEYISKELGIDDDRNDPMLDGIFEMPQVSSSISFNGLNCKSNGDSSLAHQAGGACYNHGRLHEAAASSKQRIRWTTELHDLFLDAVKALGGPEIATPKKVLGIMNVKGLNIYHVKSHLQKYRLAKDFPEQKHDKKTENKAASSNNDNDARIKSDMQVTEALRMQIEVQKLLHQQLKTQKELQLRVEKNGELLRKLMEEQPSLLNSLSKSDQQLSPSSSDIVSSTRPPVDGSSSQPSTHEPSKSESTCHKRLRGESSSRAASIDRSDV >Manes.18G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32328077:32331518:1 gene:Manes.18G144000.v8.1 transcript:Manes.18G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHTVISLNRRENSRVMHTHYSGVSPLYSAVNFDSLQPQCIPPKPVNSITTQLQQKTNANPVPNHSPSSTSHSPGLKSTMFCTDLHLSSMKSSETNRPLGISPFLPHPHPSPPIVNLVIPSIESSLFMGGAMTTESENDNLNSLMDLFDIPSKLPIGRFQGSQPATQGLVFTEQMGLEYISKELGIDDDRNDPMLDGIFEMPQVSSSISFNGLNCKSNGDSSLAHQAGGACYNHGRLHEAAASSKQRIRWTTELHDLFLDAVKALGGPEIATPKKVLGIMNVKGLNIYHVKSHLQKYRLAKDFPEQKHDKKTENKAASSNNDNDARIKSDMQVTEALRMQIEVQKLLHQQLKTQKELQLRVEKNGELLRKLMEEQPSLLNSLSKSDQQLSPSSSDIVSSTRPPVDGSSSQPSTHEPSKSESTCHKRLRGESSSRAASIDRSDV >Manes.08G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10627143:10628128:-1 gene:Manes.08G068100.v8.1 transcript:Manes.08G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTKMRRQEEEEEEEEEKRLIISNCKVIEYLQPLMSKELLFKFPDNSAYDFDYTQSSIWSPLVPRIHNPMDFDLVTPRKLTFGIGFQSDNNKINTSGSKKVTSSIKKNLTMKMKKKKKMMMNRVKASDFSPTPIKGACGLFTAKGWGKLLKAASKHFKKKKKDPTSHVKLSNYLTDLGK >Manes.14G056600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4824118:4825928:1 gene:Manes.14G056600.v8.1 transcript:Manes.14G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIAPNPALDPDLDQPDNPAHEFAQFGAGCFWGVELAFQRVFGVLKTEVGYSQGHVHDPNYKLVCTGATNHVEVVRVQFDPEVCPYTNLLSLFWSRHDPTTFNRQGGDVGSQYRSGIYYYNEKQARLAQESKESKQLEMKDKKIVTEILPAKRFYRAEEYHQQYLENGGRNGAKQSAEKGCSDPIRCYG >Manes.11G114754.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27049728:27053901:-1 gene:Manes.11G114754.v8.1 transcript:Manes.11G114754.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTQIRWIKLEAKRNKQIPDLNRERGREKRNRKFRARRREKKKRKAHRIKKKKIPKEQKKKKKKKKKWKKKKKKKKKKKKKKKRKKKKKKKKKEKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKRKKKKRRKKEEEE >Manes.17G011500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4630630:4632747:-1 gene:Manes.17G011500.v8.1 transcript:Manes.17G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPDDDVNKWPLWLKPLLKESFFVQCKLHADSHKSECNMYCLDCMNGPLCSLCLAYHKDHQAIQIRRSSYHDVIRVSEIQKVLDISGVQTYVINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFQKKKRQRAMMSDSEDTCSSSSSHGQYKNKKHNNNEKVQSFSPSTPPPTSVSCRNAKRRKGIPHRAPMGGLIIEY >Manes.02G058750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4575919:4581485:-1 gene:Manes.02G058750.v8.1 transcript:Manes.02G058750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDYTIIKEGEAEILMHNKNKVFFNKAQVHNRDLSIAVLRTFVSKRKEEHEARLSRRTKSVQKLSEKDASGSGIEASDRPAVDNEKSNGECEDKVSDKDSLGSLAVEVSNEHAVDGEILNGECEVPEEIKKDKPCSISKEAMKTTERKVQRELEPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKASVEACGRNIKFNGSVAISKVESHLADARVYMLTHPKEFDMVDLDPYGSPSIFLDSAVQSVADGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVRMDFYIRVFVRVYSSASAMKNTPLKLSYVYQCIGCDSFHLQPIGRTISKNNSVRYMPGFGPAVAQECSDCGKKFSMGGPIWFAPIHDQEWVTSILEGVKSMKDRYPAYDHISAVLTTISEELPDVPLFLSLHNLCATLKCTSPQAVIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKIHPVKAQAPDQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKVRAGRQITSKHVSLLGPEAVNGHLNHENGEETEAKRQKTEETEASM >Manes.02G058750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4576280:4583081:-1 gene:Manes.02G058750.v8.1 transcript:Manes.02G058750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKPSLKPDVSLSLALSPSPSHHHHENLQPEERGIRLIQLLLKCANHASSGNLHRADACLSEISELSSIFGDSMQRLAARFASALAIRLVKRWPGLYKALNNQQQHKIDVDQAKPLFARAFPYLGFAYMVLTRTLVQAMTYERVIHIVDLNSGDPKLWVPLLHSLAHLPDGPPHLKITCLNRDKAILDKLGQSLVKEAETLDMPFQFNPLSIGLKELTVDMLKVHNRDLSIAVLRTFVSKRKEEHEARLSRRTKSVQKLSEKDASGSGIEASDRPAVDNEKSNGECEDKVSDKDSLGSLAVEVSNEHAVDGEILNGECEVPEEIKKDKPCSISKEAMKTTERKVQRELEPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKASVEACGRNIKFNGSVAISKVESHLADARVYMLTHPKEFDMVDLDPYGSPSIFLDSAVQSVADGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVRMDFYIRVFVRVYSSASAMKNTPLKLSYVYQCIGCDSFHLQPIGRTISKNNSVRYMPGFGPAVAQECSDCGKKFSMGGPIWFAPIHDQEWVTSILEGVKSMKDRYPAYDHISAVLTTISEELPDVPLFLSLHNLCATLKCTSPQAVIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKIHPVKAQAPDQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKVRAGRQITSKHVSLLGPEAVNGHLNHENGEETEAKRQKTEETEASM >Manes.02G058750.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4581825:4583081:-1 gene:Manes.02G058750.v8.1 transcript:Manes.02G058750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKPSLKPDVSLSLALSPSPSHHHHENLQPEERGIRLIQLLLKCANHASSGNLHRADACLSEISELSSIFGDSMQRLAARFASALAIRLVKRWPGLYKALNNQQQHKIDVDQAKPLFARAFPYLGFAYMVLTRTLVQAMTYERVIHIVDLNSGDPKLWVPLLHSLAHLPDGPPHLKITCLNRDKAILDKLGQSLVKEAETLDMPFQFNPLSIGLKELTVDMLKVRSGEALAFFSILNLHVLLAEDDQVDVHFGGRKSNSVKNCKPMGDFLTTIRSMSPKLLFLVEQEADLNLNRLVDRFVEGLHYYSAVFDSIDATSGNLGGEGRMILEEMFGREIENIVACEGLERFERHERYGRWAIRFGQGGFKPVRLWFNFNDHVKQMVDGFGKGYKIVSEKTSLMICWHERPLYAVSAWTC >Manes.01G112900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31090378:31103534:-1 gene:Manes.01G112900.v8.1 transcript:Manes.01G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDHHAIRLKEFFSDPSHFPPDQISRYNSLRVVDPQNLLEEKDALAEKLALNEYELRLAQEDIEKLKTELQRKKDSPRVESSESQSDVSENSRPEILRQKKDDSFSGLGPLKDNERRDLNCAVKEYLLLAGYRLTAMTFYEEVTDQKLDVWQHTPACVQDALRHYYYQYLSSTTEAAEEKIAMLRENESLTKANDRLNREKDKLQRNKDSADNQISGLTKSLEVLQKDLKERENQMQELKQSWELQRKELNDCRAEITSLKMPIEEYRPGRSTVASDADSVQSQPLENYKEEIISLQMEIGRLKEKMTKAPEYLDSNNNEELSLQTEERVVEIDEDKTVSRPCDAVGVLGGEDGKLLINDNNTSKPEEVSSDLLRNQSNKDIYIENNQKDIKQNGEPPRKDGAPHVELDNLNVEAASGKMGVETIQILADALPKIVPYVLINHREELLPLMMCAIERHPDGTTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAQNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVMPEIRDSLILSIVQQLIEDAATVVREAAARNLALLLPLFPNVDKYSKVEEMMFQLVCDPSGVVVETALKELLPAVIKWGNKLDHILRLLLSHIMTTAQHCPPLSGVEGSMESHLRFLGERERWSIDVFLRMLLELLPFMHQKAVEACPFSSVTELKDTVFSTSSLELYSKGHVEWAPFEWMHLDCFPHLIQLACMLPAKEDHLRNRSTKFLLAVSEHFGDSYLVHIMMPVFLVAVGDKADLTYFPTTIHSQIKGMRPKTVVAERLATMCVLPLLLAGVLGAPSKHEELAGYLRKLLVDSSPKENQSKKHYVEIINAVRFLCTIEHHHSMIFNILWEMVVSSNVDMRINTINLLKVIVAHFDAKVVSIHVLPALVTLGSDQNLNVKYATIDAFGAVAQHFKNEMIVDKILVQMDAFLEDGSHEAFVAVIRGLLVAVPHTTEKLRDSSFQDFSLYSGTSFCK >Manes.01G112900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31090378:31103534:-1 gene:Manes.01G112900.v8.1 transcript:Manes.01G112900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDHHAIRLKEFFSDPSHFPPDQISRYNSLRVVDPQNLLEEKDALAEKLALNEYELRLAQEDIEKLKTELQRKKDSPRVESSESQSDVSENSRPEILRQKKDDSFSGLGPLKDNERRDLNCAVKEYLLLAGYRLTAMTFYEEVTDQKLDVWQHTPACVQDALRHYYYQYLSSTTEAAEEKIAMLRENESLTKANDRLNREKDKLQRNKDSADNQISGLTKSLEVLQKDLKERENQMQELKQSWELQRKELNDCRAEITSLKMPIEEYRPGRSTVASDADSVQSQPLENYKEEIISLQMEIGRLKEKMTKAPEYLDSNNNEELSLQTEERVVEIDEDKTVSRPCDAVGVLGGEDGKLLINDNNTSKPEEVSSDLLRNQSNKDIYIENNQKDIKQNGEPPRKDGAPHVELDNLNVEAASGKMGVETIQILADALPKIVPYVLINHREELLPLMMCAIERHPDGTTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAQNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVMPEIRDSLILSIVQQLIEDAATVVREAAARNLALLLPLFPNVDKYSKVEEMMFQLVCDPSGVVVETALKELLPAVIKWGNKLDHILRLLLSHIMTTAQHCPPLSGVEGSMESHLRFLGERERWSIDVFLRMLLELLPFMHQKAVEACPFSSVTELKDTVFSTSSLELYSKGHVEWAPFEWMHLDCFPHLIQLACMLPAKEDHLRNRSTKFLLAVSEHFGDSYLVHIMMPVFLVAVGDKADLTYFPTTIHSQIKGMRPKTVVAERLATMCVLPLLLAGVLGAPSKHEELAGYLRKLLVDSSPKENQSKKHYVEIINAVRFLCTIEHHHSMIFNILWEMVVSSNVDMRINTINLLKVIVAHFDAKVVSIHVLPALVTLGSDQNLNVKYATIDAFGAVAQHFKNEMIVDKILVQMDAFLEDGSHEAFVAVIRGLLVAVPHTTEKLRDSASANDIMRRRERANAFCEAIRALDATDLSANSVREFLIPAITNLLRDPDALDPAHKEAIEIIIKERSGGKFETISKVMGAHLGIASSVSNFFGEGKLLSKKEAADQQPPTPDSPKAVKPPPTEDTRFRRIMSRSFTDMLRSKTWGQEETHRNQ >Manes.01G112900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31090378:31103534:-1 gene:Manes.01G112900.v8.1 transcript:Manes.01G112900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERSSLCNCVVNFLLEENYLLTAFELLHELLDDGRDHHAIRLKEFFSDPSHFPPDQISRYNSLRVVDPQNLLEEKDALAEKLALNEYELRLAQEDIEKLKTELQRKKDSPRVESSESQSDVSENSRPEILRQKKDDSFSGLGPLKDNERRDLNCAVKEYLLLAGYRLTAMTFYEEVTDQKLDVWQHTPACVQDALRHYYYQYLSSTTEAAEEKIAMLRENESLTKANDRLNREKDKLQRNKDSADNQISGLTKSLEVLQKDLKERENQMQELKQSWELQRKELNDCRAEITSLKMPIEEYRPGRSTVASDADSVQSQPLENYKEEIISLQMEIGRLKEKMTKAPEYLDSNNNEELSLQTEERVVEIDEDKTVSRPCDAVGVLGGEDGKLLINDNNTSKPEEVSSDLLRNQSNKDIYIENNQKDIKQNGEPPRKDGAPHVELDNLNVEAASGKMGVETIQILADALPKIVPYVLINHREELLPLMMCAIERHPDGTTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAQNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVMPEIRDSLILSIVQQLIEDAATVVREAAARNLALLLPLFPNVDKYSKVEEMMFQLVCDPSGVVVETALKELLPAVIKWGNKLDHILRLLLSHIMTTAQHCPPLSGVEGSMESHLRFLGERERWSIDVFLRMLLELLPFMHQKAVEACPFSSVTELKDTVFSTSSLELYSKGHVEWAPFEWMHLDCFPHLIQLACMLPAKEDHLRNRSTKFLLAVSEHFGDSYLVHIMMPVFLVAVGDKADLTYFPTTIHSQIKGMRPKTVVAERLATMCVLPLLLAGVLGAPSKHEELAGYLRKLLVDSSPKENQSKKHYVEIINAVRFLCTIEHHHSMIFNILWEMVVSSNVDMRINTINLLKVIVAHFDAKVVSIHVLPALVTLGSDQNLNVKYATIDAFGAVAQHFKNEMIVDKILVQMDAFLEDGSHEAFVAVIRGLLVAVPHTTEKLRDYLLSKIFHFTAAPASANDIMRRRERANAFCEAIRALDATDLSANSVREFLIPAITNLLRDPDALDPAHKEAIEIIIKERSGGKFETISKVMGAHLGIASSVSNFFGEGKLLSKKEAADQQPPTPDSPKAVKPPPTEDTRFRRIMSRSFTDMLRSKTWGQEETHRNQ >Manes.11G053500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6613832:6618090:-1 gene:Manes.11G053500.v8.1 transcript:Manes.11G053500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTFLFLFLVSFLFSRLREMYAKKGYELVKELASSEKGQLQPFNEDLVNQVIDQCSQHYLELQALIRKMQGENVDVRETRNADHYGALIHHLALIRNKRCLMAYVYNRAEIIRNLAWKVGLELLELPEEIQEKISHSEKNYYAKHSAALQSYMADVGIDLNVDMVPPKDPYIKVRVLDDMGEGILLSDKTANLARHSMHFLKRTDAEQYIARGLMEELTG >Manes.10G128400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29419701:29420947:-1 gene:Manes.10G128400.v8.1 transcript:Manes.10G128400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSILFLIVMLEKVIAMDLDLSPQYPQNKIFEGEAGSYEAWSSSELAELKLGGSKLVLHPRGFCLPHYADSSKIVYVLQGTEGIVGVVLPNSSEEVVLKLKKGDVIAVPLGTLSWWYNNGDSELVIVFFGETSKSYVPGDFTYFFLSGGIGIMAGFSSEFTRRAYNLKDQEEADKLAGSQTGVLITKIEEGISMPQPDNEFLHKMVYNIDAASADVEVQMGGVFKTLTSSKLPLLEQAGLSVSQVKLEANAMYSPTYTCNGAARVVYVVEGSGSVEIVGIYGQRVVDTNTEAGQMFLVPKFFAVAEIADSEGLEFLSVLTSTEPCVEELATKNSVWNAISPVVSQ >Manes.10G128400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29419701:29420947:-1 gene:Manes.10G128400.v8.1 transcript:Manes.10G128400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSILFLIVMLEKVIAMDLDLSPQYPQNKIFEGEAGSYEAWSSSELAELKLGGSKLVLHPRGFCLPHYADSSKIVYVLQGIVGVVLPNSSEEVVLKLKKGDVIAVPLGTLSWWYNNGDSELVIVFFGETSKSYVPGDFTYFFLSGGIGIMAGFSSEFTRRAYNLKDQEEADKLAGSQTGVLITKIEEGISMPQPDNEFLHKMVYNIDAASADVEVQMGGVFKTLTSSKLPLLEQAGLSVSQVKLEANAMYSPTYTCNGAARVVYVVEGSGSVEIVGIYGQRVVDTNTEAGQMFLVPKFFAVAEIADSEGLEFLSVLTSTEPCVEELATKNSVWNAISPVVSQ >Manes.03G166201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29212179:29213736:-1 gene:Manes.03G166201.v8.1 transcript:Manes.03G166201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDKFEAEIHSNYDRRSELKSFDDSKTGVKGLIDAGVAKIPKIFIHDQCKISEKPSAGNNKHSIPVIDLEGVHTDANLRRQIIDQLGEACQEWGFFQLINHGIPGDVLDGMVDGIRQFHEQDTEVKKQFFSRDTKKKVSFNSNFDLYQTKAASWRDSLYCAMAPNPPNPEELPEVCRDITIDYLNKARNLGLTLLELLSEGLGLDSNQLKDLGCAEGIFFTGHYYPACPEPDLTLGINKHSDSGFITILLQDQIGGLQVLHEDQWVDVTPVPGALVVNLGDLLQMMSNDKFKSVYHRVIAKTVGPRISVACFFGTHFEEGTASSARLYGPIKQLLSEDNPPIYRETTVRDYVAQAYTKGLDGITGTGLDYFKL >Manes.14G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6317784:6326503:-1 gene:Manes.14G075700.v8.1 transcript:Manes.14G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFGGFRVMRARATQKGISWLSSFTLSRSVHSLPFATVEVEEISGSQPAEVQNLVQGRWTGSSSWNTIVDPLNGEPFIKIAEVDETGIQPYVESLSTCPKHGLHNPFKSPERYLLYGDISAKAAHMLSVPKVLDFFTRLIQRVAPKSYQQALGEVQVTQKFLENFSGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVCIVMEQMMRLLHYCGLPVEDVDFINSDGKTMNKLLLEANPRMTLFTGSSRVADKLAVDLKGRIKLEDAGFDWKILGPDVNEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSGTSLLSKMKDLAERRKLEDLTVGPVLTFTTEAIVEHMNKLLQIPGSKLLFGGKPLENHSIPSIYGALKPTAIYVPLEEMLKESNYELVTREIFGPFQIITDYKKDQLPLVLEALERMHAHLTAAVVSNDPLFLQEVIGKSVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKHWEIPPST >Manes.14G075700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6317784:6326503:-1 gene:Manes.14G075700.v8.1 transcript:Manes.14G075700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYVESLSTCPKHGLHNPFKSPERYLLYGDISAKAAHMLSVPKVLDFFTRLIQRVAPKSYQQALGEVQVTQKFLENFSGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPVLQLMGALYMGNKPVLKVDSKVCIVMEQMMRLLHYCGLPVEDVDFINSDGKTMNKLLLEANPRMTLFTGSSRVADKLAVDLKGRIKLEDAGFDWKILGPDVNEVDYVAWVCDQDAYACSGQKCSAQSILFMHENWSGTSLLSKMKDLAERRKLEDLTVGPVLTFTTEAIVEHMNKLLQIPGSKLLFGGKPLENHSIPSIYGALKPTAIYVPLEEMLKESNYELVTREIFGPFQIITDYKKDQLPLVLEALERMHAHLTAAVVSNDPLFLQEVIGKSVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKHWEIPPST >Manes.09G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34438424:34441521:1 gene:Manes.09G146600.v8.1 transcript:Manes.09G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLAIYHIRYPDNRLADMNSLLLLQPLPALSSSVFLQNSGYVPSLTSVKTNRILQSKPLTATLLALTESPDSTQSPQPLLIQLSDCFDLPSEYLEQLPRDLRLDLNDAAFDLSNGPVIDECGQELGELLLNLARAWELADTSTSRALANKLPTLEGTLTNNAKAAFGKRLVSAGRRFQSMGQYGQGEMQKIAQAMITTGKLLSASSTPTTRDDEPKNETRVFKFGELQVAVTPEKANIGAVIGIAFGILSWELAQGIQSIPESSLQYANDNALLLAKSLRGALLVVFYSSAILSAFTSVGLFLLGRQLKSKEK >Manes.14G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5819815:5820958:-1 gene:Manes.14G069800.v8.1 transcript:Manes.14G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLFLVLAVFLFCLAEVSSDLKTDPEVAHTTQIGVRGGNRRLMQGIDCGGLCKRRCSLHSRPKLCTRACGTCCVRCKCVPPGTSGNREVCGKCYTQMITHGNKTKCP >Manes.03G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22764933:22772881:-1 gene:Manes.03G104100.v8.1 transcript:Manes.03G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGHRHFSTPEELIAGTSTRPRTFKQEQEEVGKEVEDESEEEVEEESEKRKGAQGLIEIENPNLVKPKNLKARDVDIGKTTELSRREREEIEKQKAHERYMRLQEQGKTEQSKKDLERLALIRQQRAEAAKKREEEKAAKEQKKSEARK >Manes.11G127200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29006166:29012922:-1 gene:Manes.11G127200.v8.1 transcript:Manes.11G127200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCCEQVLGVHSLGLLVFFFGKTQWCQKASRTMASFWTVTQFKGSLRQLFFLFITWVSIFRDVVAMQTLLEPNHISSSELATPPISGLFDPIEISPAVFPRYPYPSESLPPMYPTFPTTYDPNLTGKCPVNFSAMSNLMDKTASDCSVPLAALVGNVICCPQLGSLLHIFQGYYSMNSNKLVLENSVANDCFSDIISILESRGANATIPGLCSVKSSNLTGGSCPVKDVVSFEKVVNTSKLLEACSAVDPLKECCRPICRPAVMEAVLHISGTQLTINENKELVSNLNHIDALNDCKGVVYAYLSKKLSADTANAAFRILSSCKVNKVCPLNFTQPSEVIKACRNVAAPSPSCCSSLNNYIAGIQKQMIITNKQAIICATVFGSILRKGGVMTNVYELCDIDLKDFSIQAYGQQGCLLRSWPADVVYDNSTGFSFTCDLTDNILAPWPTSSSMSSLSLCAPEMSLPALPTSETLKNPGNRGGGLEFVVPIFSFFIFSTLLY >Manes.11G127200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29006166:29012922:-1 gene:Manes.11G127200.v8.1 transcript:Manes.11G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCCEQVLGVHSLGLLVFFFGKTQWCQKASRTMASFWTVTQFKGSLRQLFFLFITWVSIFRDVVAMQTLLEPNHISSSELATPPISGLFDPIEISPAVFPRYPYPSESLPPMYPTFPTTYDPNLTGKCPVNFSAMSNLMDKTASDCSVPLAALVGNVICCPQLGSLLHIFQGYYSMNSNKLVLENSVANDCFSDIISILESRGANATIPGLCSVKSSNLTGGSCPVKDVVSFEKVVNTSKLLEACSAVDPLKECCRPICRPAVMEAVLHISGTQLTINENKELVSNLNHIDALNDCKGVVYAYLSKKLSADTANAAFRILSSCKVNKVCPLNFTQPSEVIKACRNVAAPSPSCCSSLNNYIAGIQKQMIITNKQAIICATVFGSILRKGGVMTNVYELCDIDLKDFSIQAYGQQGCLLRSWPADVVYDNSTGFSFTCDLTDNILAPWPTSSSMSSLSLCAPEMSLPALPTSETLKNPGNRGGGLEFVVPIFSFFIFSTLLY >Manes.11G127200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29007023:29012866:-1 gene:Manes.11G127200.v8.1 transcript:Manes.11G127200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCCEQVLGVHSLGLLVFFFGKTQWCQKASRTMASFWTVTQFKGSLRQLFFLFITWVSIFRDVVAMQTLLEPNHISSSELATPPISGLFDPIEISPAVFPRYPYPSESLPPMYPTFPTTYDPNLTGKCPVNFSAMSNLMDKTASDCSVPLAALVGNVICCPQLGSLLHIFQGYYSMNSNKLVLENSVANDCFSDIISILESRGANATIPGLCSVKSSNLTGGSCPVKDVVSFEKVVNTSKLLEACSAVDPLKECCRPICRPAVMEAVLHISGTQLTINENKELVSNLNHIDALNDCKGVVYAYLSKKLSADTANAAFRILSSCKVNKVCPLNFTQPSEVIKACRNVAAPSPSCCSSLNNYIAGIQKQMIITNKQAIICATVFGSILRKGGVMTNVYELCDIDLKDFSIQAYGQQGCLLRSWPADVVYDNSTGFSFTCDLTDNILAPWPTSSSMSSLSLCAPEMSLPALPTSETLKNPGNRGGGLEFVVPIFSFFIFSTLLY >Manes.09G081692.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20788289:20797581:1 gene:Manes.09G081692.v8.1 transcript:Manes.09G081692.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKSNTKKPDKSEVSIAKKQQTEPVKISLKDCLACSGCITSAETVMLEKQSLGEFLSNIDRGKVVIVSLSPQSRGSLAVHFGISPLQVFRKLTSFFKSLGVKAVFDTSCSRDLTLIETCNEFVMRYKQNQSKDDEKSKSALPMLSSACPGWICYAEKQLGSYVLPYISSVKSPQQTIGATIKHHICQKMGLRPDEIYHVTVMPCYDKKLEAARDDFVSEVESKEESNESGIRITEVDSVLTSGEVLDLIKLKAVDFTALEDHPLDKMLTNINEEGHLYGVTGSSGGYAETVFRNAAKTLFGIEIRGPLAFKTIRNADFREVTLEVAGQVVLKFALCYGFQNLQNIVRKVKMQKCDYHFVEVMACPSGCLNGGGQIKPMPGQNPKDLLQSLETVYMENVLVADPFENPLVKSLYDEWLEEPGSQKAKRFLHTAYHPVVKSVTAQLHNW >Manes.09G081692.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20788289:20797581:1 gene:Manes.09G081692.v8.1 transcript:Manes.09G081692.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKQSLGEFLSNIDRGKVVIVSLSPQSRGSLAVHFGISPLQVFRKLTSFFKSLGVKAVFDTSCSRDLTLIETCNEFVMRYKQNQSKDDEKSKSALPMLSSACPGWICYAEKQLGSYVLPYISSVKSPQQTIGATIKHHICQKMGLRPDEIYHVTVMPCYDKKLEAARDDFVSEVESKEESNESGIRITEVDSVLTSGEVLDLIKLKAVDFTALEDHPLDKMLTNINEEGHLYGVTGSSGGYAETVFRNAAKTLFGIEIRGPLAFKTIRNADFREVTLEVAGQVVLKFALCYGFQNLQNIVRKVKMQKCDYHFVEVMACPSGCLNGGGQIKPMPGQNPKDLLQSLETVYMENVLVADPFENPLVKSLYDEWLEEPGSQKAKRFLHTAYHPVVKSVTAQLHNW >Manes.02G175300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13830351:13833795:-1 gene:Manes.02G175300.v8.1 transcript:Manes.02G175300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGCGSCVTVGIMGRCCFGVVSIAVLCFAALVSYGAEGYPAEDLVVELPGQPKVGFKQFAGYIDVDVKNGRSLFYYFVEAEKNPEKKPLALWLNGGPGCSSVGGGAFTELGPFFPTGDGRALRRNSMSWNRASNILFVESPAGVGWSYSETASDYTTGDAKTANDMYIFLLKWYEKFPEFKSRELFLTGESYAGHYIPQLAEVLLDHNARSTGFKFNIKGVAIGNPLLRLNRDIPATYEFFWSHGMISDEIGLKIMNECQFDDYTFANPHNVTDSCNEALTQANIIVGSFIDNYDVILDICYPSIVEQELRLRKLATKISVGVDVCMSYERSYYFNLPEVQKALHANRTNLPYAWSMCTGGLEYSDTDANMDMLPILKKIIKNHIPVWVFSGDEDSVVPLLGSRTLVRELAHDLNLKITVPYGAWFHRSQVGGWVTEYGNLLTFATVRGAAHMVPYSQPSRALHLFSSFVRGRRLPNSTRIPTED >Manes.07G060750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10328808:10342536:-1 gene:Manes.07G060750.v8.1 transcript:Manes.07G060750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKIKVEKLHHEAGRLKNRTVKLQQAVEEATRKGEEIYESVNKWLIDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELANEGDLDSISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLHPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMVAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKELEKEKKVLIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRGQDVLSREMGTQKEFKLDVLQDDEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLNQLSEFDNEEIYLKVYMILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYVMGLSLFKNISTVQGARNKVYSLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALLIASREQHAFIVTSGRELMKFPNKDCTGISLPYCDIENLPEGWECPKAEALLLFTEIFSLGIPHQFFKGIRNLQVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLTRLKVLDVSNCSKLKMIPANALSKLSDLEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDDNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGVGFPKLKYLRVQNNHVIQHIIDSTKWTACDAFPILVSLILENLMKLEKICHGCLTPGSFNKLEILQVRNCWRLTHLFSLSTAKCLLQLQEMEVRDCPKMEAIVVDESENSNEVLEFNCLRSLNLRNLPNLRSFHTKVKASPKIEEFLSERENDTHLSLFSRTVSFPNLEHLELHSISCEKIWHNQLSATSSKLERLSVIGCNELKHLFTTSIVKRLFQLKTLQIFSCTSMEEIILMEEFIEEEDKRMNHILFPILDCLTLLYLPKLIRFCTGYQIEFQSLRDLNIRMCDALMCLVPSVPHTGMMEKQDNTEMNQSQNQNQNQNAEIQSLFNRMVGFPNLERLSLIGINELKRIWHSPLATNSFFKLKSLNVSDCHRLMTVFPSNVLERFRRMEELDVSNCASLQEIYQLEGFNVDEAFELRRLNIGGLGSLKHVWRKDLQGVFSFQNLKSVRVLYCDVLNYLFSASIAESFLQLQELTIIECGVEDIIANPEDVEQAAYYRFKFPQLTSLQLTDLSKLRSWYPGTHIFECQKLTSLNVRNCHKIIKFSSQETNEEGRQPILFLEKMSPNLEELTLEHKDLIVIQQGQFFSKLKMLTLTNLQNESRPFIIGFLERLYSVETILVEDYNSSEVLEELFSYEGLAGEEEERTRTLARVKILKLQSVYNLKHIWDPDFGLKPLLQYLETLSVYGCDSLINVAPSSSSFQNLATLEVSYCAGLANLITASTAKSMVQLTKMTVRRCKMMTEMVTSDGDDHAEDEIINFDKLKCLELDGLPGLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGYLNTPKLRGILLGDQRRWGGNLNTTLAEMTFYQYFKASEFPELWHDRMQGRLLRNVERLEVDKCAIIQEPKGAHVKNCSSLKYIFTWSMALCLLHPEKIEIYNCKMIEGIIEKEEAADKMILLPSLKSIVLKCLSRFSRLCSGWSNVECPLLKEMSIHECPSLKNIFATRTLFPTSFFSKEKVLELSFFLNKYHVTLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVDITTIPQIRDLKLKNLPDLKHIWNPDGQLHEPLIQSLETFEIESRGNLIVLAPSSVSLGNLKTLKVCGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGAQEDGSTDEIVFSKMKTLELEGLQNLTCFCLGSYTFNFPSLERVDVFRCPKLRTFTVRQLSSPKIHGVCTGLRSNPTFHWKGDLNATIEQIYMKYDGFKGIDDDVQLSSFPMLKEKWHGQFPFESLEYLERLVLDECAFFSNAISSNLLMHLYCLNELAVERCDLVEELFELEGLNADEGDVGLLKSLGELRLIDLPTLVHVWNKDPQGIMSFENLTLLQVENCSSLTNIFTLSMASGLVNLQHLEVKRCNLVDHVIIKEAEEEIGKDNTIFPSMQSIILECLPSLSSFYSASDVLKLPSLKGIEIVGCPNMELLASKLCKEMDLSMIAEGNEERIHEGDSNISIGGKVIVPSLEELGVEYKTIKNMCSQTSQPDFLCGLKGIELTCISSDSTLSPSHFFESLPNLEKLVLSDASFEDIIFCEEIIGDLSKLPRLKHLRVAQLVSVFQSLETLNVIKCCRLQVLLLSSVSFQNLTALQVSNCQGLVNLLSSSTARSQLEKMKIEKCELIQEVVMAEVDREEEEENEICFSQLKCLELRHLPSLSSFCHGNSTFSFPSMEEVMIVECPNMKIFAQEVSTQLWRVQTGRRKYEWEWKGSLNNTIQALFMEMKAEDKGIGQCSYG >Manes.15G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6076971:6079096:1 gene:Manes.15G079000.v8.1 transcript:Manes.15G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSSDPELRLPLLQPSLKHSEPEKLSIDDMLQSNREPDFRCLGSGCDPRAKSVCRFEPGSWEWVGGLESSTVAQWGLVSAQKFKVGLVQAVFFGGCTIGSGTFISESPRWYLVRRRISEAMKLMNTIAKSNGNHLPDGFILALDEEANNSDDRSCKEEPATKEAITGSLVDVIRSPLTRMRLFLAVAINFLCSVVYYGISLNVVNLETNPYLNVLLNAVAEMPAFTITALLLNYGLFCLMGNAGIWKIIKMICGILGIFGMAGTYNLLFIYTAKLFPTVVRNAALGSGTQAAQMGAILAPIVVHAELWEDCLPETLNRPFYDTMTGIEDGEAFEGFAS >Manes.08G160500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39624178:39626030:-1 gene:Manes.08G160500.v8.1 transcript:Manes.08G160500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITNGGVHSVSTNGHITSDKAFKIFVGYDPREDIAYEVCCHSIMKRSSIPVEINPIIQSELRKKNLYWRERGQLESTEFSFSRFLTPYLANYEGWAMFVDCDFLYLADIKELTDLIDDKYAIMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNTQTGAFLHRFHWLEDEEIGSIPFVWNFLEGHNRVVEGDTTTFPKAIHYTRGGPWFDAWKNCEFADLWLKEMEEYITEKKKNVGGN >Manes.08G160500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39624194:39625989:-1 gene:Manes.08G160500.v8.1 transcript:Manes.08G160500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITNGGVHSVSTNGHITSDKAFKIFVGYDPREDIAYEVCCHSIMKRSSIPVEINPIIQSELRKKNLYWRERGQLESTEFSFSRFLTPYLANYEGWAMFVDCDFLYLADIKELTDLIDDKYAIMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNTQTGAFLHRFHWLEDEEIGSIPFVWNFLEGHNRVVEGDTTTFPKAIHYTRGGPWFDAWKNCEFADLWLKEMEEYITEKKKNVGGN >Manes.11G114710.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27038376:27039283:1 gene:Manes.11G114710.v8.1 transcript:Manes.11G114710.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEFIFLLNKIYLMHFILFCSMFLLIFIVFFHFLSFLVSIIFFYFLPFSFFFYYIFFHFLPISFIFHQFFLFHFIFFFVVFIIFLIIFLSFPFIFHIFFFSSFYLFIVLSFFSPNFIFFF >Manes.07G058600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8770048:8770460:-1 gene:Manes.07G058600.v8.1 transcript:Manes.07G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERLTIFIVILLFIILIHSSTVHSRALAPSPSPAPVGGEPEAGGLNLSNGRGGSGKTMRGSFPAECHFKCNQCKPCMPVQVSVPAMEFKENDEYYPQVWKCICGEDIFSP >Manes.11G012050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1190276:1193077:1 gene:Manes.11G012050.v8.1 transcript:Manes.11G012050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVITLSPGKLHLKFVSQANHAAAAIGHRISTTGESARTTASRARQPPHAAPLHRPLVAAHFCIATVNRRRPAARPHLCIDCSFATHLSPLQFSSSTDKSSSSTFAREKEIAATIQRRRQQQVSHQWFSAIHKLNELLILPSSSAPFSVLLQHMKSVVFASSLGIWNSPRS >Manes.08G121301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36176083:36179924:1 gene:Manes.08G121301.v8.1 transcript:Manes.08G121301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLESLSEATSGAIGSLLSTTILYPLDTCKTKYQAEVRAHGQRKYRHLSDVLWEALSNGQILSLYQGLGTKNLQSFISQFVYFYGYSYFKRLYLEKSGFKRIRTKANLILAAAAAGACTAILTQPLDTASSRMQTSAFGKSKGLWQTLTEGTWSDAFDGLGISLLLTSNPSIQYTVFDQLKQRLIKRKEKYSRQGFLCRSPFCLFSLSFGCSFK >Manes.07G044350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5124484:5128887:-1 gene:Manes.07G044350.v8.1 transcript:Manes.07G044350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSLFFFFKLVICCHHLLLHSNISCFYNQTKTPKMADGVLSNVVGDIITKLGSRALHEIGLWWGVKGELKKLEATVSSIRNVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVSLFFSSSNQLVYGFKMGHKVKAIRERLADIEADRKFNLEVRTDQESIVWRDQTTSSLPEVIIGREGDKKAITELVLSSNGEECVSVLSIVGIGGLGKTTLAQIILNDELIKNSFEPRIWVCVSEPFDVKMTVGKILESATGNKSEDLELEALKSRLEKIICGKKYLLVLDDVWNENRKTWQNLKRLLVGGSSGSKILITTRSKKVADISGTIAPHVLEGLSVVESWSLFLHVALEGQEPKHANVREKGKEILKKCHGVPLAIKTIASLLYAKNPETEWLPFLRKELSRISQDGNDIMPTLKLSYDHLPSHLKHCFAYCAIYPKDYVIDVKTLIHFWVAQGFIESPGTSDCLEDIGLEYFMKLWWRSFFQEVKRDRCGNVESCKMHDLMHNLATRVDEKRIQLVNFNTPNIDEKTHHVALNLDVAPQEILNNAKRVRSILLFKKHDVNQLFIYKNLKFLRVFTIYSYRRTMDNSIKMLKYLRARISTSRWTAESRLSSKTNH >Manes.13G035900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4388045:4395764:1 gene:Manes.13G035900.v8.1 transcript:Manes.13G035900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRTSSRKKNNTSDDCKDDAKSTDDEEALSNTTKQKVAAAKQYIENHYKEQMKNLQERKERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNPVNGSGQNEERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYAMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDVISKLLCNVNQRLGSKGADEIKDHPFFNGTEWDKLYRMEAAFIPEVKDELDTQNFEKFEEAENQSQTTTKTGPWRKLT >Manes.13G035900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4386682:4395567:1 gene:Manes.13G035900.v8.1 transcript:Manes.13G035900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRTSSRKKNNTSDDCKDDAKSTDDEEALSNTTKQKVAAAKQYIENHYKEQMKNLQERKERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNPVNGSGQNEERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYAMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDVISKLLCNVNQRLGSKGADEIKDHPFFNGTEWDKLYRMEAAFIPEVKDELDTQNFEKFEEAENQSQTTTKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMADLKKKNTKPKRPSVKSLFDTESETSESSDTTSEQSVQGSFLNLLPPQLEVSEKQKDSI >Manes.13G035900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4387934:4395844:1 gene:Manes.13G035900.v8.1 transcript:Manes.13G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWLQKLQPRDKLRTSSRKKNNTSDDCKDDAKSTDDEEALSNTTKQKVAAAKQYIENHYKEQMKNLQERKERRTILEKKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSNCIVKLYCSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNPVNGSGQNEERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYAMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDVISKLLCNVNQRLGSKGADEIKDHPFFNGTEWDKLYRMEAAFIPEVKDELDTQNFEKFEEAENQSQTTTKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMADLKKKNTKPKRPSVKSLFDTESETSESSDTTSEQSVQGSFLNLLPPQLEVSEKQKDSI >Manes.07G054076.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7896708:7900043:1 gene:Manes.07G054076.v8.1 transcript:Manes.07G054076.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERENTSKESKNTEDSLRGQTKLEFIEGTVTLPDKGSDSYEQWNRCDFIVISWILNSISKWKISLISQNSASASVYFIELKGLWDELGSINTLPPCTCGASKAIYEINNRNRLMQFLMGLNDTYRIVRDQILGMDPIPSVNKAYFMVLKFESQNDILGSMNGNTEPLKRLDLKRRLCSYCNMDRHVRDGCFKLIGYPEWFKTKTKNNGQSFKANRNIGYERRVVAAIEGPPNGKDTPLDILDTSTQISDLNAMLSSLQQEVNKLIKGKAILTANTQQLNYNNEYDSDANPVAFVGNINSKSMSYASFHKHINWILDTGITDHMSSNQFLFKFLELLNKQVTVYLPDDNAMKVCYIGSIMVSKYMHLENVMFVPKFNYNLLSVSKLIKYCKLSLNKKSLTFKAESCMSAVTIINWHDRLGHASLGKLKHIEMFKHTEGNPLQYQICPQAKQARLPFPLSHTIITTDNECEFLSNECSKILIERKYRHILDMARAIKIHSHSPYECLYRKVLDYFYLKKFGCLCFATKTNTHKNKFGSKSIKTAFIGYASNHKAYKLYNLTVDTIFVSRVIAFYEHIFPFSTSPLAPTVMLPIPIHDLEHVPSLPLPSILSHPPSFSNPSAPQMSSRVTKPPWLKDFVAPSCIFNKNTYIL >Manes.14G168366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:27287845:27288132:1 gene:Manes.14G168366.v8.1 transcript:Manes.14G168366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKENTQQIGWLIGELVEVDLSLEGAIENPMFLLFKTHIKIEKPIVPSFHYSTASGKKNWVNLKYEKLLDICYSCGVMGHLSRDFPKMETSEQE >Manes.18G050700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4353785:4358209:1 gene:Manes.18G050700.v8.1 transcript:Manes.18G050700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDWWAKEGHRGTPVVVKMENPNWSMVELEGPADEDFLIGDSPARSRDKFRNKNAKQLTWVLLLKAHKAAGCLTSIASAMVSLGSLIKRRLRSGRTDTDADVEIDLDAGRENENITVKSRFYSCIKVFLWLSVLLLGFEMAAYFKGWHFGSPHLQLQYLLASSFGFKDIFDSLYSRWVLIRVEYLAPPLQFLANVCIVLFLIQSLDRLVLCLGCFWIRFKKIKPILKQDAIADLESGENGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKLLIQVLDDSDDPTTQLLIKEEVNKWQQEGAHIVYRHRVVREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLKKTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKISISKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLAQKEPNNQRVASVPNLAEMKEELLQEKKGPKRKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVQ >Manes.18G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4353780:4358517:1 gene:Manes.18G050700.v8.1 transcript:Manes.18G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDWWAKEGHRGTPVVVKMENPNWSMVELEGPADEDFLIGDSPARSRDKFRNKNAKQLTWVLLLKAHKAAGCLTSIASAMVSLGSLIKRRLRSGRTDTDADVEIDLDAGRENENITVKSRFYSCIKVFLWLSVLLLGFEMAAYFKGWHFGSPHLQLQYLLASSFGFKDIFDSLYSRWVLIRVEYLAPPLQFLANVCIVLFLIQSLDRLVLCLGCFWIRFKKIKPILKQDAIADLESGENGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKLLIQVLDDSDDPTTQLLIKEEVNKWQQEGAHIVYRHRVVREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLKKTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDAGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRAKISISKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLAQKEPNNQRVASVPNLAEMKEELLQEKKGPKRKHNRIYRKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVQ >Manes.09G169400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36153192:36154459:-1 gene:Manes.09G169400.v8.1 transcript:Manes.09G169400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKEKKGAGGRRGGDRKKAVSKSIRAGLQFPVGRIARFLKKGRYAQRFGAGSPIYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHLLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKSAATDKASDSKSPKSTKSS >Manes.10G129101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29547278:29548116:1 gene:Manes.10G129101.v8.1 transcript:Manes.10G129101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSNIPVIVRKYKIKWLESFKNTTTEIVVKNWIIKRAQFPTVSYANKLTLQEQPSFGAQKAQCQALLAVAKTPEEYKMICQQIFNHLGSGESVKNEKLKQEEIKSSNKDSSRKALSRRKNKKTVQFRIEVDNVIQYVILQ >Manes.17G060301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25904182:25905374:1 gene:Manes.17G060301.v8.1 transcript:Manes.17G060301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRFTYEELKAATGDFQKKLGGGGFGSVFEGDMATGDKIAVKRLDSLGQGKKEFMAEVKTIGSIHHNNLVRLIGFCAEKLHRLLVYEFMCNGSLDKWIFHKEPLRPPLDWQTRRTIVLDIAKGLAYLHEDCRQRIVHLDIKPQNILLDADLHAKISDFGLSKLIDRDQSQVVTTMRGTPGYLAPEWFSSVITEKADVYSFGIVVMEVVCGRKNLDRSQPEEFMHLLPIVMKKDEKDQLVDVVDRSEDMQLHKSEALKMMKVAIWRLQSNYTSRPSMSIVVKVLEGNSDVDAELDYSVHNPAAMAAIKREAELGTTPVLPSFLSGPR >Manes.18G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9101773:9104693:1 gene:Manes.18G098700.v8.1 transcript:Manes.18G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSGSLDTSANSHHSSNFSFSTYSFMSTSFSDLLASGAGDEALNTQSENQRRGLSDRIAERTGSGVPKFKSIPPPSLPISPPSVSPSSYFAIPPGLSPTELLDSPVLLNSSNILPSPTTGTFPAQAAKWSTSSGRNQQNVKQEDPNFSDFSFQPPTRPPTASSAMFQSSNTRIQTAQQQGWSFQESVKQDDFAAGKSMQSFSPEIAAIQTNDGLQSDYGGAHQQQYQSVREQRRSEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSAAAASNHAIIAPNLPSNEMQDQSLVTHGSGQLDVATPENSSISIGDDDFDSQKSKSRSDEFDEDEPEAKRWKAEGENNEGISGGGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPSCPVRKHVERASHDFRAVITTYEGKHNHDVPAARGSGNSVNRALPETNSAAMPMTIRPSAMNHNSLRHLKLPPKTSEGEAPFSLEMFQNSDSFAFSGFGNQLGSYTNQTSSDNAFSRAKEEPRDELFLESLLC >Manes.01G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5793330:5795741:1 gene:Manes.01G028500.v8.1 transcript:Manes.01G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGNGKEREEEQDGMSVHSPCKAPPSSASSLPKEQSQVELELRLLEALEIYPPVKLRGIHRHFVLYGLMEFLRRSFDRYFSPDEVLQLLDRFYNIEMLKPDDEESEILGHEEDFTLPQSYFVKEE >Manes.17G069400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26950988:26952939:1 gene:Manes.17G069400.v8.1 transcript:Manes.17G069400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPITGLLANGDFETPPSNGFPSDAIADGPDEIPSWKLSGTVELVSSGQKQGGMILIVPGGTHAVRLGNDAEISQEFTVEKGSIYSVTFSAARTCAQLEAVNVSVPPASQTIDLQTLYNVQGWDPYAWAFEAEEDRVSLVFRNLGMEDDPACGPIIDDIAIKKLFAPDKPKDNAVLNGDFEEGPSMFRNVSLGVLLPTNLDEEMTAVPGWTVESNRAVRYIDSYHFSVPQGKRAIELLSGKEGIISQMVETKPNKAYKMTFSLGHARDKCKDPLAIMAFAGDQAQNIHYTPDSNSTFQTANLNFTAKAERTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWFSGAGRIGFGGLGLGFWVLVLILVV >Manes.17G069400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26949181:26952939:1 gene:Manes.17G069400.v8.1 transcript:Manes.17G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSATRSKWFAPSIIIFFALFATAILGQDGLLANGDFETPPSNGFPSDAIADGPDEIPSWKLSGTVELVSSGQKQGGMILIVPGGTHAVRLGNDAEISQEFTVEKGSIYSVTFSAARTCAQLEAVNVSVPPASQTIDLQTLYNVQGWDPYAWAFEAEEDRVSLVFRNLGMEDDPACGPIIDDIAIKKLFAPDKPKDNAVLNGDFEEGPSMFRNVSLGVLLPTNLDEEMTAVPGWTVESNRAVRYIDSYHFSVPQGKRAIELLSGKEGIISQMVETKPNKAYKMTFSLGHARDKCKDPLAIMAFAGDQAQNIHYTPDSNSTFQTANLNFTAKAERTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWFSGAGRIGFGGLGLGFWVLVLILVV >Manes.06G136700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26487525:26488550:-1 gene:Manes.06G136700.v8.1 transcript:Manes.06G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPPISLLPLLTVVSLICAVYPVSGWRPWPHLRPNSSDLLYAGSKKFEGSSEFVHLRYHMGPVLTANITVHTIWYGTWQKPQKKIIREFISSISAARSTHPSVAGWWKTVQLYTDQTGANISRSVRLGEEKNDRFYSHGKSLTRLSIQSVIKSAVTARSKPLPINPKSGLYLLLTSDDVYVQDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGVCAYPFAVPDYIPGLKPKKSPNGDVGVDGMISVIGHEIAELATNPLVNAWYAGQDPTSPVEIADLCEGIYGTGGGGSYTGQLLEAHDGATYNMNGIRRRYLVQWLWSHVVSYCTGPNALDQ >Manes.18G079400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7284389:7289031:1 gene:Manes.18G079400.v8.1 transcript:Manes.18G079400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSKRRRVSLSYSVTAFFFIVLVANFQGSSSAASQTPTSNKKKSTQPTVAASFGSSLLLPVAGNVYPLGYYSVSLSIGNPPKLFELDIDTGSDLTWVQCDAPCTGCTKPLDHLYKPKNNLVPCTDPLCSAIHSGGSFQCESSNDQCDYEVQYADDGSSLGVLVLDHFLLKLMNGSLLRPLMAFGCGYDQKNPGPLPPPSTSGVLGLGNGKTSFISQLQTLGIVKNVIGHCLSRLGGGFLFFGDHFIPSSGISWTPMIRSSLEKHYSSGPAELLFDGKPSGMKGLELIFDSGSSYTYFSAQLYQATLNLIRKDLSGKPLKDAPEEKALSICWKGAKPFKSVSDVKSYFKPLSLSFTKSKNVQLQLPPEGYLIVTKNGNVCLGILNGTEVGLGNIEVIGDIFLQDKLVIYDNVNHQIGWVAANCDRLPNVDRDNNEGLCQPFATNYVILDGHCPSRV >Manes.09G159900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35522629:35525461:-1 gene:Manes.09G159900.v8.1 transcript:Manes.09G159900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTDQIFSDQKPEPQNLRKTFSKLKKYTSKLANFALQWQDLEDHFLSIKTQLQELEKTLNINSLQSFSPQRENPQRVIGGALTRPAVQAENRNPESKTLNCETKPPVASQKENLESKKIWRSRSKDSVIPIDSGKSLLLYLNERVKEHEVLKSDVYKALKGASHPEKLVLEALRFFYPSDSRKDNLGKDVSITRKSCTVLLEGLSRLGPLVGSQGREEALRMALEWEEKMKKSLEVLGFLMLVAVFGLVDEFDKEGMLKHFDNVVVREQAPELFRVLGFADEAHDFIQRLISKNKILEAVPFIFAFGLVDKFPPVPLLRMHAERAEKNYKKICSRGRNSLKAVDDATGTEIAALTGILRLIKKYELHSEYSPELIRNRILQLKKQKDEKKAASSTKPVVQLQLQIGNKRNAPDNPQQNHQDTNKRLRTVATSVPSSNISVHATANLKVSSNYQVAGLQRSEPPMPGRIKPATSITSASVSATLTGQSTRLSHMHTASLRPGQGAQQLVHSSRVYNFPGTPVTAPTTLFDARAGYALCTVPMSSVPGQYRPIGSAPVSHRYTSTLGPYRSMTSTSFGPNMSTLGASYHTNIRNENTGRLGSTGFPYKNWHGI >Manes.08G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3437435:3440963:1 gene:Manes.08G035400.v8.1 transcript:Manes.08G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIQSKAVVSIFLLSISLILPFTQATDVKYCNGKTDYDVKVKGVEISPNPVVRGKPATFSISATTGDAISGGKLVIDVAYFGWHIHSETHDLCEETSCPVSDGEFIVSHSQVLPGFTPPGSYSLKMKMKDGKSHELTCIEFDFSIGFASPVADS >Manes.09G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17047109:17060229:1 gene:Manes.09G073400.v8.1 transcript:Manes.09G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLFKLGLFLSLVLLNLIPSQSAVSSVDLGSEWMKVAVVNLKPGQSPISIAINEMSKRKSPALVAFQSGTRLLGEEAAGITARYPDKVYSQLRDMIGKPYKHVKAFLDSMYLPFDVVEDSRGAVGIKIDDNVTVYSVEELVAMILSYAANLAEFHAKVTVKDAVISVPPYFGQAERRGLIQAAQLAGINVLSLINEHSGAALQYGIDKDFSNGSRYVIFYDMGSSSTYAALVYYSAYSAKEFGKAVSVNQFQVKDVRWDPELGGQKMEARLLEFFANEFNKQVGNGVDVRSSPKAMAKLKKQVKRTKEILSANTMAPISVESLYDDRDFRSSITRDKFEELCEDLWDRSLTPLKEVLNHSGLKVDEIYAVELIGGATRVPKLQAKIQEFLGRNELDKHLDADEAIVLGSALHAANLSDGIKLNRKLGMVDGSSYGFVVELDGPDLMKDENTRQLLVPRMKKVPSKMFRSIIHNKDFEVLLGYETEGFLPPGVVSPIFAQYAVSGLTDSSEKYSARNLSSPIKANLHFSLSRSGILSLDRADAVIEISEWVEVPKKNLTVENTTATSPNISVESGAKNVKDESTENLHSNGGIGNVSNSNIEEPSAVELGTEKKLKKRTFRVPLKIVEKTAGPGMPLSEESLAESSRKLEALDKKDAERRRTAELKNNLEGYIYSTKEKLETSEEFEKISSDEERKSFIEKLDEVQEWLYTDGEDATATEFQDRLDSLKAIGDPIFLRYKELTARPAATEVALKYLGELRQIVQNWETKKPWLPKSRIDEVLSDADKLKSWLDEKEAEQKKMSGFDKPAFTSEEVYLKVFNLQDEVAAVNKIPKPKPKVEKPKENETNTDEENSNNSNSTSENPANDKPTADSSDKSTDKEKANTEAEVHDEL >Manes.09G073400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17047109:17060230:1 gene:Manes.09G073400.v8.1 transcript:Manes.09G073400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLFKLGLFLSLVLLNLIPSQSAVSSVDLGSEWMKVAVVNLKPGQSPISIAINEMSKRKSPALVAFQSGTRLLGEEAAGITARYPDKVYSQLRDMIGKPYKHVKAFLDSMYLPFDVVEDSRGAVGIKIDDNVTVYSVEELVAMILSYAANLAEFHAKVTVKDAVISVPPYFGQAERRGLIQAAQLAGINVLSLINEHSGAALQYGIDKDFSNGSRYVIFYDMGSSSTYAALVYYSAYSAKEFGKAVSVNQFQVKDVRWDPELGGQKMEARLLEFFANEFNKQVGNGVDVRSSPKAMAKLKKQVKRTKEILSANTMAPISVESLYDDRDFRSSITRDKFEELCEDLWDRSLTPLKEVLNHSGLKVDEIYAVELIGGATRVPKLQAKIQEFLGRNELDKHLDADEAIVLGSALHAANLSDGIKLNRKLGMVDGSSYGFVVELDGPDLMKDENTRQLLVPRMKKVPSKMFRSIIHNKDFEVLLGYETEGFLPPGVVSPIFAQYAVSGLTDSSEKYSARNLSSPIKANLHFSLSRSGILSLDRADAVIEISEWVEVPKKNLTVENTTATSPNISVESGAKNVKDESTENLHSNGGIGNVSNSNIEEPSAVELGTEKKLKKRTFRVPLKIVEKTAGPGMPLSEESLAESSRKLEALDKKDAERRRTAELKNNLEGYIYSTKEKLETSEEFEKISSDEERKSFIEKLDEVQEWLYTDGEDATATEFQDRLDSLKAIGDPIFLRYKELTARPAATEVALKYLGELRQIVQNWETKKPWLPKSRIDEVLSDADKLKSWLDEKEAEQKK >Manes.09G073400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17047109:17060229:1 gene:Manes.09G073400.v8.1 transcript:Manes.09G073400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLFKLGLFLSLVLLNLIPSQSAVSSVDLGSEWMKVAVVNLKPGQSPISIAINEMSKRKSPALVAFQSGTRLLGEEAAGITARYPDKVYSQLRDMIGKPYKHVKAFLDSMYLPFDVVEDSRGAVGIKIDDNVTVYSVEELVAMILSYAANLAEFHAKVTVKDAVISVPPYFGQAERRGLIQAAQLAGINVLSLINEHSGAALQYGIDKDFSNGSRYVIFYDMGSSSTYAALVYYSAYSAKEFGKAVSVNQFQVKDVRWDPELGGQKMEARLLEFFANEFNKQVGNGVDVRSSPKAMAKLKKQVKRTKEILSANTMAPISVESLYDDRDFRSSITRDKFEELCEDLWDRSLTPLKEVLNHSGLKVDEIYAVELIGGATRVPKLQAKIQEFLGRNELDKHLDADEAIVLGSALHAANLSDGIKLNRKLGMVDGSSYGFVVELDGPDLMKDENTRQLLVPRMKKVPSKMFRSIIHNKDFEVLLGYETEGFLPPGVVSPIFAQYAVSGLTDSSEKYSARNLSSPIKANLHFSLSRSGILSLDRADAVIEISEWVEVPKKNLTVENTTATSPNISVESGAKNVKDESTENLHSNGGIGNVSNSNIEEPSAVELGTEKKLKKRTFRVPLKIVEKTAGPGMPLSEESLAESSRKLEALDKKDAERRRTAELKNNLEGYIYSTKEKLETSEEFEKISSDEERKSFIEKLDEVQEWLYTDGEDATATEFQDRLDSLKAIGDPIFLRYKELTARPAATEVALKYLGELRQIVQNWETKKPWLPKSRIDEVLSDADKLKSWLDEKEAEQKKMSGFDKPAFTSEEVYLKVFNLQDEVAAVNKIPKPKPKVEKPKENETNTDEENSNNSNSTSENPANDKPTADSSDKSTDKEKANTEAEVHDEL >Manes.18G000102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:146919:153713:-1 gene:Manes.18G000102.v8.1 transcript:Manes.18G000102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKGAKRNRQRSSSSMAGYFHDPCQNFSAPRDHFCQSSNFTHATSPKATAVEQPLKHGRDCYYIQSSWPPSISKGLAASPGKIDKIKTSHNSQRSGWSVAWQWSRMRQSIIRKNNATDFLSTRSFNGYPQEITFEANCSQENSRKEIDPINPDNFSQSGYSYGYFSNDIQHSHSQQKRLSMSEHRSSTSLSHKYQPKSFQQLVGHEINIKVISNAIQGNKVAQLYLFHGPSGTGKTSIARVFAMALLCESTSPEKPCWTCRGCSRSLYMMKLCSGTRTTGFQRISTLLQRTSFAQAVPGFKVFIIEESHSLTVEAWDDLLGILENINSATFIFVLIADDANTIPESISSKCQKFSFPKLNNKDVALKLARIVAQEAITIEKDAVELIVAKAEGSLKEAEHILGQLILLGPRITSSMVQQLVGLVPKSKLINLLKAALSGDARKTVITAKELIASGVEAEVIVYQLTSLIINILTITSPAHSGIDGPSKDEESLETESQFKDTQSENLCHALKILLEAEKQHRSSFANITWVYRALLHIASRDISEGISSGISFSKRTVQSSGDTIQSHSRNLASHHCNFETCVQQSTRSRDLKMKSKGKGVESELYLANTKDMDEIWLNILERIESKDMKEFLSSHVKLASLTVSTANVIVHLMFKKAEDKLAAQMSEESISKALETAIGCLVLVNMSLEAVDLGIIKEDTDSSKNYQPAECNHPRERHKNSFPEILHNKSPGATLHQSIYRKLEPPLVNNAQHAELKDNTLISEMQATRETKTRSQILPCFGPLMQENQMRTSTGPTNNSLGKDQFLLDIAQILRNEEPEHKWLSLSFFQQNDASVEPYSQDILYENANGDKENRAKKDPELQKNSSKVHEVNNLHKNRESMGLFRSWSCKEVFCQKKTRRKNRPLA >Manes.05G145800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24774635:24779448:-1 gene:Manes.05G145800.v8.1 transcript:Manes.05G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPSRLPTPAVSVSETDTSQYWCYNCNKRVSIETLANQPDVICLECKNGFVELIPAPSTSPSATPSLISDQADDSTFGSQFLQMLRIIAQAARDEDAPPLPQDPQSEDDFLRIELNELDNDEDEDEDEDDANENENENEGNRDEGQHDGEEGEDRSDNENEEEDYEDLRTRQRDILRLRIRDFATRARSGRNRILDWAEILMGLEDNSIEFRLEVPETDRYIGNPEDYVDAAGYEALLQNLAESDGGRRGAPPAAKSVVSSLPAIKIMSEADSLVCAICKDMVNVGETETKLPCGHGYHGDCIVPWLGSRNSCPVCRFELPTDDSEYEEDRKKKAAGTSGGASGSGDDSLGST >Manes.15G071000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5379260:5380446:1 gene:Manes.15G071000.v8.1 transcript:Manes.15G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALSSITRCNPISHLAFSTSNPKHQLLSRRFTALAMSRSDHSYWSSVHSEIDTHLEQAIPIKPPLVVYEPMHHLAFDAPQTSAPALCIAACELVGGHRDQAMAAASALRLMHASAFIHKNLPLTHRPGTRLTFDRTFGPNIELLTADGMIPFGLELLARSDDPDQNNSDRVLQAIVEISHAMGSQGVMEGLYNELEHDKSDGEEGFPVWWLHNVCRKKEGTLHACAGACGAILGGGSEEEIEKLRSYGLYVGMVQGIFNRFGGNACAWKEVKELRDLALKELKDFDQAKVITISRLVETKVL >Manes.09G026800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5931017:5957412:1 gene:Manes.09G026800.v8.1 transcript:Manes.09G026800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQETLIWKSEPPQAPESMVSVSIGRAIITILGARSRKLHDAISRLSPDSNKRPSLGSLEDSLWFLHKFVKDGAERDQKLDDILIPIIQHSLRSKDSKQVVQALILINWFFQDEFLFEKVSMSLADILSRKDDRYIALGWCILVRRLVEYESLADQYPLNGIRDNYNALLKILCSCIPCLTHIASKGSTFQDGFELPSRLSISAADCILSISEALTKKNKVLSKNRKLLNSNASDPPISPVPAVTGEKSAKTSSEFSDSNFDMAYLLWERIQELTTLMQRLLAWSKKSRPLHAKGVEQVLKWLQEIEAHYGYLQDEADAKIPKTGPLLLSSCWRHYSILLHLEDQKFSQLCNELLVQYISGIQYYTDNHAEGHTGNKDDGMDARKFFLNSLCLLLGRFDSKKFESTMSEYGMQISRALLSQLHCTDEDVIAGAVCILKEAIFKPKFHSGDGFADGRQMDMVLPLLLNLLDERDGIAKAAVVLIAEYCSMTSNTDCLKQVLERLASGNALQRRNAIDVVSKMLCMSSDFTSQLSHLAWQEIANNLLERLSDKDITIREQASKLISMIDPGLVLPSLVHLINSSDEGVQSYASTSLTTMLKYHNQKPEVICMLLDCLSNLNNGLDLSKNTGQREGPKVDIDRVLKLVPEWAKSVQNWNSLIGPLIDKMFADPANATIVRFLSCISEYLAEAADVVLYHVLLQMKSQKGINEGFLSRFESKSYMSEELMEMQQSLFERLCPLLIIRMLPLGVFDNLKSHTMYGQLAIQGIIHDINVADECVAASLLQRAFNKYEFEDVRKLAAELCGRIHPQVLFPAVSSILEHAAISRDMLRIKACLFSICTSLMVRGKDAVSHPAIFQIRKVMEIVLLWPSLDGDEVSKAQHGCIDCLALMICAELQALKSFKDSSEKSSIIGKTKYSGNDVSGNSALAYVIHQLTNDKREVSDSEISDEENKLDAPVRLSFRLCMANTLISACQKISDSGKKSFARIILPNLIRSVEMIMHAEIRAACVQVLFSAVYHLKSAILPYSADLLKVSLKVLREGSEKEKMAGAKLVASLMGSEDAILENISEGLLEARQVLSTISSSNPSPDLLVICKKLLGCIITS >Manes.09G026800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5931086:5957347:1 gene:Manes.09G026800.v8.1 transcript:Manes.09G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQETLIWKSEPPQAPESMVSVSIGRAIITILGARSRKLHDAISRLSPDSNKRPSLGSLEDSLWFLHKFVKDGAERDQKLDDILIPIIQHSLRSKDSKQVVQALILINWFFQDEFLFEKVSMSLADILSRKDDRYIALGWCILVRRLVEYESLADQYPLNGIRDNYNALLKILCSCIPCLTHIASKGSTFQDGFELPSRLSISAADCILSISEALTKKNKVLSKNRKLLNSNASDPPISPVPAVTGEKSAKTSSEFSDSNFDMAYLLWERIQELTTLMQRLLAWSKKSRPLHAKGVEQVLKWLQEIEAHYGYLQDEADAKIPKTGPLLLSSCWRHYSILLHLEDQKFSQLCNELLVQYISGIQYYTDNHAEGHTGNKDDGMDARKFFLNSLCLLLGRFDSKKFESTMSEYGMQISRALLSQLHCTDEDVIAGAVCILKEAIFKPKFHSGDGFADGRQMDMVLPLLLNLLDERDGIAKAAVVLIAEYCSMTSNTDCLKQVLERLASGNALQRRNAIDVVSKMLCMSSDFTSQLSHLAWQEIANNLLERLSDKDITIREQASKLISMIDPGLVLPSLVHLINSSDEGVQSYASTSLTTMLKYHNQKPEVICMLLDCLSNLNNGLDLSKNTGQREGPKVDIDRVLKLVPEWAKSVRLNVQNWNSLIGPLIDKMFADPANATIVRFLSCISEYLAEAADVVLYHVLLQMKSQKGINEGFLSRFESKSYMSEELMEMQQSLFERLCPLLIIRMLPLGVFDNLKSHTMYGQLAIQGIIHDINVADECVAASLLQRAFNKYEFEDVRKLAAELCGRIHPQVLFPAVSSILEHAAISRDMLRIKACLFSICTSLMVRGKDAVSHPAIFQIRKVMEIVLLWPSLDGDEVSKAQHGCIDCLALMICAELQALKSFKDSSEKSSIIGKTKYSGNDVSGNSALAYVIHQLTNDKREVSDSEISDEENKLDAPVRLSFRLCMANTLISACQKISDSGKKSFARIILPNLIRSVEMIMHAEIRAACVQVLFSAVYHLKSAILPYSADLLKVSLKVLREGSEKEKMAGAKLVASLMGSEDAILENISEGLLEARQVLSTISSSNPSPDLLVICKKLLGCIITS >Manes.08G085500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25738238:25741976:-1 gene:Manes.08G085500.v8.1 transcript:Manes.08G085500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAQFWMWTKRKQSSSSHIQASTRSSYDDSWEEQAFAEDAAGPLGGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKESPGPHNELLHHDHQNNHNLFQNQYSSLGFQCPSQICTLVYSPNPNTDPGVIASPSSTSKLSITSTQGNCTEKNFFPSFSSSAFKEEPDRKNPRSSPPSWPNSPKDRCYSISDPRKEGDKNSRIVESGCRAKIDYVKTDLSVSLNLVFRRACPAISSDGDEEEDAVCKRRKTRPSSLPFLMKSNSVDKHHIQSEVIEISPCSIEELDLELRLGDRPKVYLHGRKFRFTSSRSLSTLTLPLFYFFFFFLFSLSLPRAYTLTLSLDF >Manes.S030652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2476400:2476567:1 gene:Manes.S030652.v8.1 transcript:Manes.S030652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.05G113300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21665834:21679734:1 gene:Manes.05G113300.v8.1 transcript:Manes.05G113300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATLVQEFDNSFFSWKRLKVSDFEHQELDLHVATSTQSNTMECSSHGGLVSSYASSSSCSLDEKTCSSSVLEMSCQLNGNSGDIPESSSAGGMSSSSQQKDYSGYVPPIFVSGWMYVNENGQMCGPYIQQQLSEGLSTGFLPEDLPVYPIVNGTLLNPVPLKYFKQFPDHIATGFAYLGMGILGASMPTNCFASFNMSSAVHGQESLVLLASQVTPCPEERLVSHSQVPYIAYSSNLPISNSKAENHDPPFPPLSGEDSCWMFEDDEGRKHGPHSLQELYSWRHYGYLWDSLMIYHIENKFRPLPLLSVINAWRMDKPESVSVSDVKTESGLLLSFISEISEEVSCQLHSGIMKAARRVALDGIISNVISEFSNTRKAHRNPKLSHQAAKTSSTDEKMSEVPGERRNVVPPECPAATCELSSDLAHVDQLPVQLHRSTKSVGSIDHFWGCYAIVCRILFDYCMEVMWNAVFYDVITEYSTSWRKRKLWFAHQKIGIPGSVRDFVKETECLPSELLLSRQDSFDGDFDCPPGFDPAMVEKDSQSESPSIASFVPLMEKASKLNSLSCTDGAYDGLKCILEYVENELHMSMKLSLVEYVEVLVKEEAWKVVKFSEDDRLNKEIFESSVHYHQKTEKSSSELHNELRIDANKVTVETSSDLIQAGKRFNSCASEDILSNFLASAFEKSWAEVNDTVDEQDIDEPPLPGSEDNVRTLVPSTTSKFRPSRSDESSPKIREYVAMAMCRQKLHDDVLRGWISLFIDGILHQYLGLPRTSKGHSNMEGAYNTNKEHDSTTLASLEKARDGSRKYTYHRKKKLAWKKSGSSTQSMAQVDAGFQHQPVEKSGKQHCVRDVAENVAVEPVVATLKKKEIAKGQRQTELSVNAGPLKTKIKSCHPSDQPLTKNATCQKEIKIKRSVPKPNNKLSEHTVKHAGKSVSNLSEDLNNVKKVIDSNSNDAGIEEAPAHYSGRNLNAKVSKLKRKHSAGDDAGIEEAPPHNYSGRNLNATKVSKLKRKHSEGGGSVSHPTKIMKVANAASKQVATRQVTVQKIKSRKLRTSNPGPRSEGCARSSINGWEWHKWSLNASPAERARVRGIDFVHANCSGSEAYASQLSNGKVLSARTNRVKMRNLLAAADGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERLYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVITVEGQKKIFIYAKRLITAGEEITYNYKFPLEEKKIPCNCGSRKCRGSLN >Manes.05G113300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21665834:21679734:1 gene:Manes.05G113300.v8.1 transcript:Manes.05G113300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATLVQEFDNSFFSWKRLKVSDFEHQELDLHVATSTQSNTMECSSHGGLVSSYASSSSCSLDEKTCSSSVLEMSCQLNGNSGDIPESSSAGGMSSSSQQKDYSGYVPPIFVSGWMYVNENGQMCGPYIQQQLSEGLSTGFLPEDLPVYPIVNGTLLNPVPLKYFKQFPDHIATGFAYLGMGILGASMPTNCFASFNMSSAVHGQESLVLLASQVTPCPEERLVSHSQVPYIAYSSNLPISNSKAENHDPPFPPLSGEDSCWMFEDDEGRKHGPHSLQELYSWRHYGYLWDSLMIYHIENKFRPLPLLSVINAWRMDKPESVSVSDVKTESGLLLSFISEISEEVSCQLHSGIMKAARRVALDGIISNVISEFSNTRKAHRNPKLSHQAAKTSSTDEKMSEVPGERRNVVPPECPAATCELSSDLAHVDQLPVQLHRSTKSVGSIDHFWGCYAIVCRILFDYCMEVMWNAVFYDVITEYSTSWRKRKLWFAHQKIGIPGSVRDFVKETECLPSELLLSRQDSFDGDFDCPPGFDPAMVEKDSQSESPSIASFVPLMEKASKLNSLSCTDGAYDGLKCILEYVENELHMSMKLSLVEYVEVLVKEEAWKVVKFSEDDRLNKEIFESSVHYHQKTEKSSSELHNELRIDANKVTVETSSDLIQAGKRFNSCASEDILSNFLASAFEKSWAEVNDTVDEQDIDEPPLPGSEDNVRTLVPSTTSKFRPSRSDESSPKIREYVAMAMCRQKLHDDVLRGWISLFIDGILHQYLGLPRTSKGHSNMEGAYNTNKEHDSTTLASLEKARDGSRKYTYHRKKKLAWKKSGSSTQSMAQVDAGFQHQPVEKSGKQHCVRDVAENVAVEPVVATLKKKEIAKGQRQTELSVNAGPLKTKIKSCHPSDQPLTKNATCQKEIKIKRSVPKPNNKLSEHTVKHAGKSVSNLSEDLNNVKKVIDSNSNDAGIEEAPAHYSGRNLNAAKVSKLKRKHSAGDDAGIEEAPPHNYSGRNLNATKVSKLKRKHSEGGGSVSHPTKIMKVANAASKQVATRQVTVQKIKSRKLRTSNPGPRSEGCARSSINGWEWHKWSLNASPAERARVRGIDFVHANCSGSEAYASQLSNGKVLSARTNRVKMRNLLAAADGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERLYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVITVEGQKKIFIYAKRLITAGEEITYNYKFPLEEKKIPCNCGSRKCRGSLN >Manes.05G113300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21665834:21679734:1 gene:Manes.05G113300.v8.1 transcript:Manes.05G113300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATLVQEFDNSFFSWKRLKVSDFEHQELDLHVATSTQSNTMECSSHGGLVSSYASSSSCSLDEKTCSSSVLEMSCQLNGNSGDIPESSSAGGMSSSSQQKDYSGYVPPIFVSGWMYVNENGQMCGPYIQQQLSEGLSTGFLPEDLPVYPIVNGTLLNPVPLKYFKQFPDHIATGFAYLGMGILGASMPTNCFASFNMSSAVHGQESLVLLASQVTPCPEERLVSHSQVPYIAYSSNLPISNSKAENHDPPFPPLSGEDSCWMFEDDEGRKHGPHSLQELYSWRHYGYLWDSLMIYHIENKFRPLPLLSVINAWRMDKPESVSVSDVKTESGLLLSFISEISEEVSCQLHSGIMKAARRVALDGIISNVISEFSNTRKAHRNPKLSHQAAKTSSTDEKMSEVPGERRNVVPPECPAATCELSSDLAHVDQLPVQLHRSTKSVGSIDHFWGCYAIVCRILFDYCMEVMWNAVFYDVITEYSTSWRKRKLWFAHQKIGIPGSVRDFVKETECLPSELLLSRQDSFDGDFDCPPGFDPAMVEKDSQSESPSIASFVPLMEKASKLNSLSCTDGAYDGLKCILEYVENELHMSMKLSLVEYVEVLVKEEAWKVVKFSEDDRLNKEIFESSVHYHQKTEKSSSELHNELRIDANKVTVETSSDLIQAGKRFNSCASEDILSNFLASAFEKSWAEVNDTVDEQDIDEPPLPGSEDNVRTLVPSTTSKFRPSRSDESSPKIREYVAMAMCRQKLHDDVLRGWISLFIDGILHQYLGLPRTSKGHSNMEGAYNTNKEHDSTTLASLEKARDGSRKYTYHRKKKLAWKKSGSSTQSMAQVDAGFQHQPVEKSGKQHCVRDVAENVAVEPVVATLKKKEIAKGQRQTELSVNAGPLKTKIKSCHPSDQPLTKNATCQKEIKIKRSVPKPNNKLSEHTVKHAGKSVSNLSEDLNNVKKVIDSNSNDAGIEEAPAHYSGRNLNAKVSKLKRKHSAGDDAGIEEAPPHNYSGRNLNATKVSKLKRKHSEGGGSVSHPTKIMKVANAASKQVATRQVTVQKIKSRKLRTSNPGPRSEGCARSSINGWEWHKWSLNASPAERARVRGIDFVHANCSGSEAYASQLSNGKVLSARTNRVKMRNLLAAADGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERLYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVITVEGQKKIFIYAKRLITAGEEITYNYKFPLEEKKIPCNCGSRKCRGSLN >Manes.05G113300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21665834:21679734:1 gene:Manes.05G113300.v8.1 transcript:Manes.05G113300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATLVQEFDNSFFSWKRLKVSDFEHQELDLHVATSTQSNTMECSSHGGLVSSYASSSSCSLDEKTCSSSVLEMSCQLNGNSGDIPESSSAGGMSSSSQQKDYSGYVPPIFVSGWMYVNENGQMCGPYIQQQLSEGLSTGFLPEDLPVYPIVNGTLLNPVPLKYFKQFPDHIATGFAYLGMGILGASMPTNCFASFNMSSAVHGQESLVLLASQVTPCPEERLVSHSQVPYIAYSSNLPISNSKAENHDPPFPPLSGEDSCWMFEDDEGRKHGPHSLQELYSWRHYGYLWDSLMIYHIENKFRPLPLLSVINAWRMDKPESVSVSDVKTESGLLLSFISEISEEVSCQLHSGIMKAARRVALDGIISNVISEFSNTRKAHRNPKLSHQAAKTSSTDEKMSEVPGERRNVVPPECPAATCELSSDLAHVDQLPVQLHRSTKSVGSIDHFWGCYAIVCRILFDYCMEVMWNAVFYDVITEYSTSWRKRKLWFAHQKIGIPGSVRDFVKETECLPSELLLSRQDSFDGDFDCPPGFDPAMVEKDSQSESPSIASFVPLMEKASKLNSLSCTDGAYDGLKCILEYVENELHMSMKLSLVEYVEVLVKEEAWKVVKFSEDDRLNKEIFESSVHYHQKTEKSSSELHNELRIDANKVTVETSSDLIQAGKRFNSCASEDILSNFLASAFEKSWAEVNDTVDEQDIDEPPLPGSEDNVRTLVPSTTSKFRPSRSDESSPKIREYVAMAMCRQKLHDDVLRGWISLFIDGILHQYLGLPRTSKGHSNMEGAYNTNKEHDSTTLASLEKARDGSRKYTYHRKKKLAWKKSGSSTQSMAQVDAGFQHQPVEKSGKQHCVRDVAENVAVEPVVATLKKKEIAKGQRQTELSVNAGPLKTKIKSCHPSDQPLTKNATCQKEIKIKRSVPKPNNKLSEHTVKHAGKSVSNLSEDLNNVKKVIDSNSNDAGIEEAPAHYSGRNLNAAKVSKLKRKHSAGDDAGIEEAPPHNYSGRNLNATKVSKLKRKHSEGGGSVSHPTKIMKVANAASKQVATRQVTVQKIKSRKLRTSNPGPRSEGCARSSINGWEWHKWSLNASPAERARVRGIDFVHANCSGSEAYASQLSNGKVLSARTNRVKMRNLLAAADGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERLYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVITVEGQKKIFIYAKRLITAGEEITYNYKFPLEEKKIPCNCGSRKCRGSLN >Manes.05G113300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21665834:21679734:1 gene:Manes.05G113300.v8.1 transcript:Manes.05G113300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSATLVQEFDNSFFSWKRLKVSDFEHQELDLHVATSTQSNTMECSSHGGLVSSYASSSSCSLDEKTCSSSVLEMSCQLNGNSGDIPESSSAGGMSSSSQQKDYSGYVPPIFVSGWMYVNENGQMCGPYIQQQLSEGLSTGFLPEDLPVYPIVNGTLLNPVPLKYFKQFPDHIATGFAYLGMGILGASMPTNCFASFNMSSAVHGQESLVLLASQVTPCPEERLVSHSQVPYIAYSSNLPISNSKAENHDPPFPPLSGEDSCWMFEDDEGRKHGPHSLQELYSWRHYGYLWDSLMIYHIENKFRPLPLLSVINAWRMDKPESVSVSDVKTESGLLLSFISEISEEVSCQLHSGIMKAARRVALDGIISNVISEFSNTRKAHRNPKLSHQAAKTSSTDEKMSEVPGERRNVVPPECPAATCELSSDLAHVDQLPVQLHRSTKSVGSIDHFWGCYAIVCRILFDYCMEVMWNAVFYDVITEYSTSWRKRKLWFAHQKIGIPGSVRDFVKETECLPSELLLSRQDSFDGDFDCPPGFDPAMVEKDSQSESPSIASFVPLMEKASKLNSLSCTDGAYDGLKCILEYVENELHMSMKLSLVEYVEVLVKEEAWKVVKFSEDDRLNKEIFESSVHYHQKTEKSSSELHNELRIDANKVTVETSSDLIQAGKRFNSCASEDILSNFLASAFEKSWAEVNDTVDEQDIDEPPLPGSEDNVRTLVPSTTSKFRPSRSDESSPKIREYVAMAMCRQKLHDDVLRGWISLFIDGILHQYLGLPRTSKGHSNMEGAYNTNKEHDSTTLASLEKARDGSRKYTYHRKKKLAWKKSGSSTQSMAQVDAGFQHQPVEKSGKQHCVRDVAENVAVEPVVATLKKKEIAKGQRQTELSVNAGPLKTKIKSCHPSDQPLTKNATCQKEIKIKRSVPKPNNKLSEHTVKHAGKSVSNLSEDLNNVKKVIDSNSNDAGIEEAPAHYSGRNLNAAKVSKLKRKHSAGDDAGIEEAPPHNYSGRNLNATKVSKLKRKHSEGGGSVSHPTKIMKVANAASKQVATRQVTVQKIKSRKLRTSNPGPRSEGCARSSINGWEWHKWSLNASPAERARVRGIDFVHANCSGSEAYASQLSNGKVLSARTNRVKMRNLLAAADGADLLKATQLKARKKRLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPRISDIRERLYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVITVEGQKKIFIYAKRLITAGEEITYNYKFPLEEKKIPCNCGSRKCRGSLN >Manes.10G077702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17969511:17970546:1 gene:Manes.10G077702.v8.1 transcript:Manes.10G077702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNKSIGKAACMECTNLSKRNGGGWRDKRYTWLQNQLKFIGVELTKEHGGMKKIKLYEKYQVLFQGTMATKEFAYVPSFGVLNNTGFGYIVSFSQVARGSIGDCPNCSIEEVMKDVLSLPLTEGGSDIHFVWHTLTRPKVKKGDV >Manes.05G147600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24969675:24974132:1 gene:Manes.05G147600.v8.1 transcript:Manes.05G147600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATKRPIHAVTTWVRRQPPKIKAFLAAVSGMAALVFIRMVVHDHDNLFVAAEAVHAIGISVLIYKLMKEKTCAGLSLKSQELTAMFLAVRLYCSLVMEYDIHTLLDSATLITTLWVIYMIRFKLRSSYMDDKDNFAIYYVLIPCAVLSLIIHPTTTHHIINRICWAFCVFLEAVSVLPQLWVMQNTKIVEPFTAHYIFALGVARFLSCAHWVLQVWDTRGRLLTALGYGLWPPVVLLSEIVQTFILADFCYYYVKSLLGGQLVLRLPSGVV >Manes.14G148900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16908150:16912313:1 gene:Manes.14G148900.v8.1 transcript:Manes.14G148900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEQEEENGHPSVNNPPKPKSVPSSSSSKTATDSSKDTETTTPVAAEDVNGNDSDGFETASEREVSDNEEIINEDNHQTQEPQPHPAASPEEETADSNINDEELQQKILAQANDAKLEGNRLFGDGRYEEALLQYDAALQVALDIPLFVELRSICHSNRAVCFLKLGKYEDTIKECTKALELNPSYMKALVRRGEAHEKLEHFEEAIADMKRILELDPSNDQAKKVIRRLEPLAAEKREKMKEEMIGMLNRNFFSMPCLPVFVSQS >Manes.14G148900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16908150:16912312:1 gene:Manes.14G148900.v8.1 transcript:Manes.14G148900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEQEEENGHPSVNNPPKPKSVPSSSSSKTATDSSKDTETTTPVAAEDVNGNDSDGFETASEREVSDNEEIINEDNHQTQEPQPHPAASPEEETADSNINDEELQQKILAQANDAKLEGNRLFGDGRYEEALLQYDAALQVALDIPLFVELRSICHSNRAVCFLKLGKYEDTIKECTKALELNPSYMKALVRRGEAHEKLEHFEEAIADMKRILELDPSNDQAKKVIRRLEPLAAEKREKMKEEMIG >Manes.14G148900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16908150:16912312:1 gene:Manes.14G148900.v8.1 transcript:Manes.14G148900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEQEEENGHPSVNNPPKPKSVPSSSSSKTATDSSKDTETTTPVAAEDVNGNDSDGFETASEREVSDNEEIINEDNHQTQEPQPHPAASPEEETADSNINDEELQQKILAQANDAKLEGNRLFGDGRYEEALLQYDAALQVALDIPLFVELRSICHSNRAVCFLKLGKYEDTIKECTKALELNPSYMKALVRRGEAHEKLEHFEEAIADMKRILELDPSNDQAKKVIRRLEPLAAEKREKMKEEMIGKLKEMGNSLLGRFGMSVDNFKAVKDPNTGSYSISFQR >Manes.07G038426.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4707229:4708191:1 gene:Manes.07G038426.v8.1 transcript:Manes.07G038426.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQVIQIFQFELNLILNDYKFLLNSITDIPSPAPLSPAPHSWRFEVFLSFSGQDTRGNFTDHLLSGLLERQVKAYRDDKNLPRGSFISKALLRAIERSKISIIVFSKNYAASRWCLDELVKIIKCRKLLGHIILPVFFDVRPDHVAKQTGPYKKIFRKYEEKYKNNKQKVEKWKDALKTVAEISGWDKENYRSESKLIRIIAKKVVRKLRKAAPTVGNQLVQLNSKVEEMKLKLYEKWEEIGTIKFYGLQWGRKIWDSSKDKKRWQSQHVSEFCLMKWTN >Manes.03G203700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31916637:31918349:1 gene:Manes.03G203700.v8.1 transcript:Manes.03G203700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEEFDFGEVHDRLSSTDQSSEILEVENGKKQVPGYIDEDWGESTAIDSHHPDKRSSKDDPSKEEQTKKEKQDSFPPASLEILKCYGKGLKRLRNQKIMEATNGKTTLKVASPRLSTEEIIRTAGKRAFQSFNNMVDVSSMQDNPFDVSFSCLSNEDAKKVELVELLLASTENIVNQQYDQASILLDKCDALSSSTGNAVQRVVHYFSKALRKRINRDTGRITTQDLEKLQSLRIHEINMAPTPIVLACHREMPFSQVANFTGVQIIIGVQWTGLMQALASQSEHPLELLKITAIGTTKKHLIEDTGKRLEGFAQTISLPFCFKLIMVSDMLDLREDLFELDDDETVVVYSGFSLRGPISLPDRLDSVMQVIRNLNPHLLLVVEPELSLSLTSFVNRTVEALGFFGAYFDCLEDCMSDDPTRIIKESSLLSEGISIAIDHEGKEWKSRCAKLDAWRAFFARFGMEETKMSSSSLCQANLVAKKFAGGNSCTLAVDGGSLLIGWKGKPMYSLSAWKFVSQK >Manes.04G156900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35196779:35220526:1 gene:Manes.04G156900.v8.1 transcript:Manes.04G156900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENVVRLLQRYRRDRHILLDFLLSGSLIKKVVMPPGAVTLDDVDLDQVSVDHVLNCAKKGGMLELSEAIRDYHDSMDLPHMNHGGSTDEFFLVTNPEASGSPPKRAPPPLLVSIPPPVISQGPVFAPSPVVSLSSVEKSESFNSNDVRELTVDDIEDFEDDEDEVEAVDFVRKPRRNTNDAADLMAKLPALATGITDDDLRETAYEVLLACAGASGGLIVPSKEKKKDKRSRLMRKLGRSKSENVVQSERTPGLSGLLEILRAQMEISEAMDIRTRQGLLNALAGKVGKRLDTLLIPLELLCCISRTEFADKKAYIRWQKRQLFMLEEGLINHPVVGFGESGHKANDLRILLAKIEESEFRPSSAGEVQRTECLRSLREIAVPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDILDEGKLTEEVEEILELLKSTWRVLGVTETIHYTCYAWVLFRQYVITQDHGLLQHIIEQLKKIPLKEQRGPQERLHLQSLRSKVEGEDLSFLQSFLSPIQKWADKQLADYHKYFAEEWATMEDVVLVAMITRRLLLEESDQVIQSSSTADRDQIESYISTSIKNAFTRTLQAVEKSDTMHEHSLALLAEETKKLLKKESTVFTPILSQRHPQAIIMSASLLHRLYGIKLKPFLDGAEHLTEDVVSVFPAADGLEQYIMSLIASACGEGNVEVNFRKLTPYQVESISGTLVMRWVNSQLGRVLGWVERAIQQERWNPISPQQRHGSSIVEVYRIVEETVDQFFALKVPMRPSELNGLFRGIDNAFQVFSNHVVEKLASKEDLIPPVPVLTRYRREAGIKAFVKKELFDSRLPDETKSSEINVQSTSTLCVQLNTLYYAISQLNKLEDSIWERWTRKKPREQITRKSIDEKSTSFKQKGTFDGSRKDINAAIDRICEFTGTKIIFWDLREPFIENLYRPSVSQSRLESLIEPIDMELNQLCDIIVEPLRDRIVTSLLQASLDGLLRVILDGGPSRIFFPTDAKLLEEDLEVLKEFFISGGDGLPRGVVENHIARVRHVIKLHAYETRELIDDLKSASGVERQSGGGKIGGDTQTLLRILCHRSDSEASQFLKKQFKIPKSSV >Manes.16G135400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33613166:33618046:1 gene:Manes.16G135400.v8.1 transcript:Manes.16G135400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIVPLSPLKSKPLPSLTTFFLSSPPSSSAPPRRRLLKIPPRRHSNLKRLTSRIVQLTRRRQLQQIFEEIEVAKRSYGKLNTIIMNAVMEACVHCCDINSALRVFDEMSKPGSCGVDGVTYGTLLKGLGEARRIDEAFQILESLEQGSARGNAKLSAPLIIGLLNAIIEAGDLRRANGLLARYGFLLREGGNPPVLIYNLLMKGYIKAGCPQAALAVQDEILRLGLTPDRLTYNTLILACVKNENVNAAMHFFKEMKEKAQKSKNDNLYPDVVTYTTILKGFGKSKDLLSVQTIVLEMKSHHDLFIDRTAFTAIVDALLNCGSMKGDYHMVKNLHKCLWPDSTGTISPAIQEEADHLLMEATLNDGQVDAAVENLTSIVKKWKGISWTSRGGMVALRIEALLGFVKSLFSPYSLPQVSLSEPIESIMTPLKEAQPLLSTLELKKVVMRFFRDQVVPIVDEWGCCVGILHREDCFELNAPLMTMMRSPPPCVTTTTSIGNVADLILEKKYRMVIVIKYSNLYGTTYGSSSRAVGVFTAEQLFETGCTSIRGGSFRTNIFCRG >Manes.16G135400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33613166:33618046:1 gene:Manes.16G135400.v8.1 transcript:Manes.16G135400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIVPLSPLKSKPLPSLTTFFLSSPPSSSAPPRRRLLKIPPRRHSNLKRLTSRIVQLTRRRQLQQIFEEIEVAKRSYGKLNTIIMNAVMEACVHCCDINSALRVFDEMSKPGSCGVDGVTYGTLLKGLGEARRIDEAFQILESLEQGSARGNAKLSAPLIIGLLNAIIEAGDLRRANGLLARYGFLLREGGNPPVLIYNLLMKGYIKAGCPQAALAVQDEILRLGLTPDRLTYNTLILACVKNENVNAAMHFFKEMKEKAQKSKNDNLYPDVVTYTTILKGFGKSKDLLSVQTIVLEMKSHHDLFIDRTAFTAIVDALLNCGSMKGALCIFGEIIKRAGLNRDLRPKPHLYLSLMRTFAIQGDYHMVKNLHKCLWPDSTGTISPAIQEEADHLLMEATLNDGQVDAAVENLTSIVKKWKGISWTSRGGMVALRIEALLGFVKSLFSPYSLPQLFSTGLPE >Manes.16G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33613166:33618046:1 gene:Manes.16G135400.v8.1 transcript:Manes.16G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQIVPLSPLKSKPLPSLTTFFLSSPPSSSAPPRRRLLKIPPRRHSNLKRLTSRIVQLTRRRQLQQIFEEIEVAKRSYGKLNTIIMNAVMEACVHCCDINSALRVFDEMSKPGSCGVDGVTYGTLLKGLGEARRIDEAFQILESLEQGSARGNAKLSAPLIIGLLNAIIEAGDLRRANGLLARYGFLLREGGNPPVLIYNLLMKGYIKAGCPQAALAVQDEILRLGLTPDRLTYNTLILACVKNENVNAAMHFFKEMKEKAQKSKNDNLYPDVVTYTTILKGFGKSKDLLSVQTIVLEMKSHHDLFIDRTAFTAIVDALLNCGSMKGALCIFGEIIKRAGLNRDLRPKPHLYLSLMRTFAIQGDYHMVKNLHKCLWPDSTGTISPAIQEEADHLLMEATLNDGQVDAAVENLTSIVKKWKGISWTSRGGMVALRIEALLGFVKSLFSPYSLPQVSLSEPIESIMTPLKEAQPLLSTLELKKVVMRFFRDQVVPIVDEWGCCVGILHREDCFELNAPLMTMMRSPPPCVTTTTSIGNVADLILEKKYRMVIVIKYSNLYGTTYGSSSRAVGVFTAEQLFETGCTSIRGGSFRTNIFCRG >Manes.13G046900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5465528:5472550:1 gene:Manes.13G046900.v8.1 transcript:Manes.13G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNDGGNGGQWDWHGEDYCLQKDSNYADGSQCLWNEVALNEEDLSYMVDETTPVKACGDLAYHVNNSDNMRKEPEERKETSSQLKRRRMLQFDTEAVDSPFCNEEMLSVFLSNERADSLDEVLPQASDWTPVFSDVSASSYEALDQSSERWLAECLNDSEMQSSPNDMNFAGASDVQIDISEFCNGPLGSEANMAPKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRISTPPSKSKQNDEDSATFPTSAFSGKPVVGKTKVRTEGGKGSITIMRTKDEEFHG >Manes.13G046900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5465528:5472606:1 gene:Manes.13G046900.v8.1 transcript:Manes.13G046900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNDGGNGGQWDWHGEDYCLQKDSNYDGSQCLWNEVALNEEDLSYMVDETTPVKACGDLAYHVNNSDNMRKEPEERKETSSQLKRRRMLQFDTEAVDSPFCNEEMLSVFLSNERADSLDEVLPQASDWTPVFSDVSASSYEALDQSSERWLAECLNDSEMQSSPNDMNFAGASDVQIDISEFCNGPLGSEANMAPKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRISTPPSKSKQNDEDSATFPTSAFSGKPVVGKTKVRTEGGKGSITIMRTKG >Manes.13G046900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5467024:5472571:1 gene:Manes.13G046900.v8.1 transcript:Manes.13G046900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETTPVKACGDLAYHVNNSDNMRKEPEERKETSSQLKRRRMLQFDTEAVDSPFCNEEMLSVFLSNERADSLDEVLPQASDWTPVFSDVSASSYEALDQSSERWLAECLNDSEMQSSPNDMNFAGASDVQIDISEFCNGPLGSEANMAPKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRISTPPSKSKQNDEDSATFPTSAFSGKPVVGKTKVRTEGGKGSITIMRTKG >Manes.13G046900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5465528:5473129:1 gene:Manes.13G046900.v8.1 transcript:Manes.13G046900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNDGGNGGQWDWHGEDYCLQKDSNYADGSQCLWNEVALNEEDLSYMVDETTPVKACGDLAYHVNNSDNMRKEPEERKETSSQLKRRRMLQFDTEAVDSPFCNEEMLSVFLSNERADSLDEVLPQASDWTPVFSDVSASSYEALDQSSERWLAECLNDSEMQSSPNDMNFAGASDVQIDISEFCNGPLGSEANMAPKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRISTPPSKSKQNDEDSATFPTSAFSGKPVVGKTKVRTEGGKGSITIMRTKG >Manes.13G046900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5467024:5472571:1 gene:Manes.13G046900.v8.1 transcript:Manes.13G046900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDETTPVKACGDLAYHVNNSDNMRKEPEERKETSSQLKRRRMLQFDTEAVDSPFCNEEMLSVFLSNERADSLDEVLPQASDWTPVFSDVSASSYEALDQSSERWLAECLNDSEMQSSPNDMNFAGASDVQIDISEFCNGPLGSEANMAPKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRISTPPSKSKQNDEDSATFPTSAFSGKPVVGKTKVRTEGGKGSITIMRTKG >Manes.13G046900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5465528:5472650:1 gene:Manes.13G046900.v8.1 transcript:Manes.13G046900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNDGGNGGQWDWHGEDYCLQKDSNYDGSQCLWNEVALNEEDLSYMVDETTPVKACGDLAYHVNNSDNMRKEPEERKETSSQLKRRRMLQFDTEAVDSPFCNEEMLSVFLSNERADSLDEVLPQASDWTPVFSDVSASSYEALDQSSERWLAECLNDSEMQSSPNDMNFAGASDVQIDISEFCNGPLGSEANMAPKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRISTPPSKSKQNDEDSATFPTSAFSGKPVVGKTKVRTEGGKGSITIMRTKG >Manes.13G046900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5465528:5472650:1 gene:Manes.13G046900.v8.1 transcript:Manes.13G046900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNDGGNGGQWDWHGEDYCLQKDSNYADGSQCLWNEVALNEEDLSYMVDETTPVKACGDLAYHVNNSDNMRKEPEERKETSSQLKRRRMLQFDTEAVDSPFCNEEMLSVFLSNERADSLDEVLPQASDWTPVFSDVSASSYEALDQSSERWLAECLNDSEMQSSPNDMNFAGASDVQIDISEFCNGPLGSEANMAPKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRISTPPSKSKQNDEDSATFPTSAFSGKPVVGKTKVRTEGGKGSITIMRTKG >Manes.03G002300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:290031:294270:-1 gene:Manes.03G002300.v8.1 transcript:Manes.03G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPTAPVNSGPQELDELGAPQSDGAQLQQLQPQTQPKWPGWPGDNVFRLIVPVVKVGSIIGRKGELIKKMCDETRARIRILEGPLGIPDRVVLISGKEEPEAALSPAMDAVLRVFKRVSGLSDGEADSMGAAVAGAAFCSIRLLVASSQAINLIGKQGSTIKSIQENTGSVVRVLAEEEAPSYVTSEERIVEIHGEALKVLKALEAVIAHLRKFLVDHSVVPVFEKTYNATISQEHTADSRADKPQLSLHSAPAPQIGIGSDYSLSLKRDPSIYDRETLFEPKIPQSGLSLYGQDSALGGLRSSGLSRAAAPMVTQMTQTMQVPLSYAEDIIGVGGSNIAYIRRTSGAILTIKESRVLPDEITVEIKGSTSQVQMAQQLIQEFISNRKEPTPSMYGKMDAGFSSYSQVADTSYPSSSFASHLGGYGSSSSLGGYGSPTGGGYSSYRY >Manes.15G187200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27236256:27237157:-1 gene:Manes.15G187200.v8.1 transcript:Manes.15G187200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYKATEFQCVSMASIQPLLISPSFSTLSFSSKFFEQASGITSSLTPIKHAFQFPVSKHIYPNQYDFSHSRPLYATLNSPKGFGPPPKKTKKTKKSKTTYDDNDGDDDDGDNDEPDAGIIPEIVTNRMISRMGFTVGIPLFIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPMREGSLLGWNEAQKNWPVFWQSLRGRSGKK >Manes.15G187200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27234937:27239660:-1 gene:Manes.15G187200.v8.1 transcript:Manes.15G187200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYKATEFQCVSMASIQPLLISPSFSTLSFSSKFFEQASGITSSLTPIKHAFQFPVSKHIYPNQYDFSHSRPLYATLNSPKGFGPPPKKTKKTKKSKTTYDDNDGDDDDGDNDEPDAGIIPEIVTNRMISRMGFTVGIPLFIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPMREGSLLGWNEAQKNWPVFWQSLRGRSGKK >Manes.15G187200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27236021:27239654:-1 gene:Manes.15G187200.v8.1 transcript:Manes.15G187200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYKATEFQCVSMASIQPLLISPSFSTLSFSSKFFEQASGITSSLTPIKHAFQFPVSKHIYPNQYDFSHSRPLYATLNSPKGFGPPPKKTKKTKKSKTTYDDNDGDDDDGDNDEPDAGIIPEIVTNRMISRMGFTVGIPLFIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPMREGSLLGWNEAQKNWPVFWQSLRGRSGKK >Manes.15G187200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27236021:27239667:-1 gene:Manes.15G187200.v8.1 transcript:Manes.15G187200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYKATEFQCVSMASIQPLLISPSFSTLSFSSKFFEQASGITSSLTPIKHAFQFPVSKHIYPNQYDFSHSRPLYATLNSPKGFGPPPKKTKKTKKSKTTYDDNDGDDDDGDNDEPDAGIIPEIVTNRMISRMGFTVGIPLFIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPMREGSLLGWNEAQKNWPVFWQSLRGRSGKK >Manes.15G187200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27234789:27239660:-1 gene:Manes.15G187200.v8.1 transcript:Manes.15G187200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYKATEFQCVSMASIQPLLISPSFSTLSFSSKFFEQASGITSSLTPIKHAFQFPVSKHIYPNQYDFSHSRPLYATLNSPKGFGPPPKKTKKTKKSKTTYDDNDGDDDDGDNDEPDAGIIPEIVTNRMISRMGFTVGIPLFIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPMREGSLLGWNEAQKNWPVFWQSLRGRSGKK >Manes.15G187200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27234937:27238984:-1 gene:Manes.15G187200.v8.1 transcript:Manes.15G187200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYKATEFQCVSMASIQPLLISPSFSTLSFSSKFFEQASGITSSLTPIKHAFQFPVSKHIYPNQYDFSHSRPLYATLNSPKGFGPPPKKTKKTKKSKTTYDDNDGDDDDGDNDEPDAGIIPEIVTNRMISRMGFTVGIPLFIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFFFFGSALLGVSYGIVSSSWDPMREGSLLGWNEAQKNWPVFWQSLRGRSGKK >Manes.07G101402.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30679941:30680279:-1 gene:Manes.07G101402.v8.1 transcript:Manes.07G101402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IINLLLIHINHVCKSYFIIISFTFLLFSLIFFFQSCFVGSSFLILFFFFSFLTFAIIFYSFLSFCYFFLFFFFFSFSSYSYYFSHFSSLLFFLSSFFSSYHFPSFSFFYFFYH >Manes.15G161200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13382753:13387129:1 gene:Manes.15G161200.v8.1 transcript:Manes.15G161200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSELQSNGMLSREQLLFLFDRFSQLTSQPDVKRRIKDAVDDKQEAVAVTTAIQEAIFLEMGVDPSFGISCLGKVNMVYENDQDLMIRFYKFVASDSEEMACDEAELGPEEFTEKMLNQQKLHEQQLEMLKYMRKFHLEDQSAILEKLHQQMQDADFIGAASVLSSEQIQDVVRRRV >Manes.15G161200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13382753:13387129:1 gene:Manes.15G161200.v8.1 transcript:Manes.15G161200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSSELQSNGMLSREQLLFLFDRFSQLTSQPDVKRRIKDAVDDKQEAVAVTTAIQEAIFLEMGVDPSFGISCLGKVNMVYENDQDLMIRFYKFVASEEMACDEAELGPEEFTEKMLNQQKLHEQQLEMLKYMRKFHLEDQSAILEKLHQQMQDADFIGAASVLSSEQIQDVVRRRV >Manes.15G151300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12555758:12557579:-1 gene:Manes.15G151300.v8.1 transcript:Manes.15G151300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFVVQNLFNGGREAQIQAAAQLGKLTSKQRHKLAERGIISPLISMLQCQDYEAIEAALFALLSLAFGSERNKIRIVKSGLVPVLLELLQCQNGTLTELIMAALLIVSSCAANKLAVASSGAIPILVGILSEDYANDDDDDDDTTNTISMQAKLDAVGTLHNLSTCHQMIPSIVASGVVFTLLQIIHSYEKSSQFVEKAMTLLENLVTLSENALLQTATTSGAIRALVETIEEGSLQCKEQAVVILLLICQSCREKYRGLILREGVMPGLLQLSVDGTWRAKDTAQELLLLLRDCSGYGSRSKQSKHELIEQIMQEIDAEGERVMGTTTLRMLEEMIAKLST >Manes.12G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32383374:32395093:-1 gene:Manes.12G119900.v8.1 transcript:Manes.12G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIRAPAIERTTTLSVAVKCRPLTERERGNTVRVNDNKEVIILDPDLSKDYLDKIQNRTKQKTFCFDHAFGPHSANLEVYKRSISSIISGVVQGLNATVFAYGSTGSGKTYTMVGTKEDPGLMVLSLRTIFDLINKHKSSNDFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEQGIIVVGLRRIKVHSADKILELLTLGNNRRKTESTEANATSSRSHAVLEIIVKRKQRIKYRNQVIRGKLALVDLAGSERASETNSGGQRLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATISPANSQYHHTINTLMYADRAKEIKMHIQKNVGTIDTHVSNYQKMIESLQIEVCRLRKELAEKESQLSVKPAEKTVDDELSWLNILSCETSENVQERINLQKAVFELEEINRRNRIELQHLDDAIAKRQEGAVVGVLRARRQIILDNIRDNDEVGINYQKEIEENEKHRCQLQDMIDKAISKDGNKTYLRILSQYRLLGMTNTELQFEMAMRDQIIHNQREAQRNLWNLLMGLGLEKKILMDIAAKNGIIIEDWTMMPQLGISDKKQSLVSACGRCAPTGHNQCTDNSFSRSCTFQNYQDFGSRSFSRSPLDTGNTFCREEHHNSHYMLSHDQSPPPHLRLRKSTDCWIGVRPESWLGVPIKHPQNLRTSCPELRTWGFPF >Manes.17G014200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6811803:6821419:1 gene:Manes.17G014200.v8.1 transcript:Manes.17G014200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGRDFSSANVVHVIPGSGPENWFPNSTDSNFVWATEDDYHAWSNSDGPSDNAPSISNIQSSQTRSGSEPPNKKSKNNTQESSKKSIGKMFFKTKLCCRFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVSAHEEEKGNVVEMREEFQIPSVGGFGGESQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGATAGGGVSGGGSGSGSGNGNGGNVKPSNWKTRICNKWELTGYCPFGNKCHFAHGAAELHRYGGGLMESEAKDGSAPAETKQGGVPSKSPADAVVASVTTVPHSDVYHAGVPSQRSSILIQRPGQRTHEKWKGPDKISRIYGDWIDDVE >Manes.17G014200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6811803:6821024:1 gene:Manes.17G014200.v8.1 transcript:Manes.17G014200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGRDFSSANVVHVIPGSGPENWFPNSTDSNFVWATEDDYHAWSNSDGPSDNAPSISNIQSSQTRSGSEPPNKKSKNNTQESSKKSIGKMFFKTKLCCRFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVSAHEEEKGNVVEMREEFQIPSVGGFGGESQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGATAGGGVSGGGSGSGSGNGNGGNVKPSNWKTRICNKWELTGYCPFGNKCHFAHGAAELHRYGGGLMESEAKDGSAPAETKQGGVPSKSPADAVVASVTTVPHSDVYHAGVPSQRSSILIQRPGQRTHEKWKGPDKISRIYGDWIDDVE >Manes.04G008100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1025949:1029993:-1 gene:Manes.04G008100.v8.1 transcript:Manes.04G008100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWAIAGRSTSTLMRATWRTTTARESATARIRLSDSVRSWTRPNLTLRQSRISPSSPVGRFVRRELSSVLPLHSAIASACLVSKLPSELSTSAEGRFANYLSPI >Manes.04G008100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1027490:1029874:-1 gene:Manes.04G008100.v8.1 transcript:Manes.04G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWAIAGRSTSTLMRATWRTTTARESATARIRLSDSVRSWTRPNLTLRQSRISPSSPVGRFVRRELSSVLPLHSAIASACLVSKLPSELSTSAEGRFANYLSPI >Manes.04G008100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1025730:1030087:-1 gene:Manes.04G008100.v8.1 transcript:Manes.04G008100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWAIAGRSTSTLMRATWRTTTARESATARIRLSDSVRSWTRPNLTLRQSRISPSSPVGRFVRRELSSVLPLHSAIASACLVSKLPSELSTSAEGRFANYLSPI >Manes.03G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22251593:22258182:1 gene:Manes.03G099700.v8.1 transcript:Manes.03G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIETLGVLEEIETLVSDKLQVVSYKWLSRNFLVSSNVAKRLLQEFVQKHTSGLEVVYTLSGWLKNNPPSYHIMLVSGPKLEEAKQEFDGNCSLHVYSVQAAIPKDPAALWNDEFVQAEELFKQPSAFDNCLRDNRFCGILNPFVRRNVDGTPKNNAALQPKSVGILGPTKTNSAEKIVVPPPQQVKVDQSGPKFGQQSTMLVKDVKKESPGTGVHYEASKPPLDKEKIPPPLANNEKKSRSDKSSMGNGGSLASLWGRASAKSKLTSPPAGDNSLISDPAASAEAQICACEAIEDRSSDDEAQNVNFRRASNVEGGRKRRVVLDYSDDEDEDAVSLASPDLPKGKFSKTPHPVKLNDNDQTESKLKVKEGRSTDPTSDQVAREKSSSAQKSMKSKDSSKENILNHSNGGHVKTDVKADIANAAPNSPKRRKVLKTSIDERGREVTEVVWEGEETETKKADSSVMKKAQNSVAKKAETNAVANTVNNRAAAVKKSAVGNTAPSNQGGKAGNKKGGSKDPKQGSLLSFFNKV >Manes.16G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2371097:2377626:1 gene:Manes.16G021200.v8.1 transcript:Manes.16G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSESSPQSILSDRVTVNGTVTQLTLTADGKLRWTDRGQRCLTIEKEVLGFVIEGARIRIKAVVDSEDSIFCAGSKGTLVRKDFVFHPLNEDQQRIWFQHLRDYLDSLGRPKRLFVFVNPFGGKKSASKVFRDVVKPLLEDADVQITVQETKHQLHAKEVANTLDLTKYDGIVCVSGDGILVEVVNGFLAREDWNDAIKMPLGMVPAGTGNGMAKSLLDSVGEPCEASNAILAVIRGHKRSLDVAAILQGETKFFSVLMLSWGLVADIDIESEKYRWMGTARLDFYAIQRIIHLRKYNGRISFVPAPGFESYGEPSNYNGETTGKQSISISSQEQPVKIDQHGYQGPDVDLINLEWRTISGPFVSIWLHNVPWGGEDVMAAPDAKFSDGYLDLILIGECPKLSLLTLLSELKTGGHVKSPYVIYLKVKAFVLEPGPRADEPMKEGIIDVDGEVLARGNGSYKCERPTLMAYDKLQITVDPGLATLFTPV >Manes.18G067400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6042520:6044216:-1 gene:Manes.18G067400.v8.1 transcript:Manes.18G067400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLVDYIQKHGHRSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEEKTILNLHSVLGNKWSAIASHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTDIFASLPHLVALLNLKDLLDRHPLDEHAMRLQAEAIQLAKLQYLRYLLQSATSITSNSYTQNGTTDMEILSLLSQIPVMKETPLLNSSQLENINPASSNPFGIATSQPLHYSNLLPQLSDPQVPFNCQPSLNNEMGQAATLSAMLNDGDNSNPSDSSWVLRSPTPSIPPTVTDTSISNNLGDASSTSSYGGGTSSYWPEFFLDDSIMHQIS >Manes.10G152300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31926655:31926924:-1 gene:Manes.10G152300.v8.1 transcript:Manes.10G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMESSLGDMLLKVAMFALVQALVYIILSKSSNIFSKTIERSSSFKPARSVSIRRMLATLEDFPAGVELSPTPKNCPQNPADKDRRS >Manes.S030852.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2483856:2484667:-1 gene:Manes.S030852.v8.1 transcript:Manes.S030852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRDVRPRPCPRVFQPPIAVAIAAEDSAFGPAAGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.14G000800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1306867:1308174:-1 gene:Manes.14G000800.v8.1 transcript:Manes.14G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPPPEQQHQSSSARLDFDNLRAIKVLGKGAMGTVFLVHHTTADPCARTPYALKVVEKSTLHTKFEADRRARWEIQVLTKLSGRNTHPFLPQLISSLETPDFLAWAVPFCSGGDLNVLRYHQNDRVFSPAVVRFYLAEIVCALEHLHEMGIVYRDLKPENILVQQSGHVTLTDFDLSRTLTKRTVKTIFSSSDLHHFDQPSNAQSMSLSLDLQNKHCHRHLIPHRFHQRNLTRWFPIVHNKDKTGLKKTKSARVSPVNRRKLSFDNGERSNSFVGTEEYVSPEVVRGDGHEFTVDWWALGILTYEMLYGTTPFKGKNRKETFRNILSKKPEFVVKRDELTDLIERLLEKDPTKRLGYQRGACEIKEHVFFKGVRWDLLTEVLRPPIIPVREDNNLTETAEGMDIREYFQNLNSPASLPPSPSSEWHRKTSLTEF >Manes.15G166300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14100839:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPQFMDKQILDLTSSSTAHQSQLSSSPKQTTTTTTTTRDFIDLMNPPQDNNQDHHQHQSHYNSNGSSIIGSAGDNEIKKEEIIPSYDFQPIRSIASSLNSSIAGLGAPTTASKNYGSLDSIEPARAIVEKDQNASHVAILSEVDETMKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14101178:14107274:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPQFMDKQILDLTSSSTAHQSQLSSSPKQTTTTTTTTRDFIDLMNPPQDNNQDHHQHQSHYNSNGSSIIGSAGDNEIKKEEIIPSYDFQPIRSIASSLNSSIAGLGAPTTASKNYGSLDSIEPARAIVEKDQNASHVAILSEVDETMKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14101019:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPQFMDKQILDLTSSSTAHQSQLSSSPKQTTTTTTTTRDFIDLMNPPQDNNQDHHQHQSHYNSNGSSIIGSAGDNEIKKEEIIPSYDFQPIRSIASSLNSSIAGLGAPTTASKNYGSLDSIEPARAIVEKDQNASHVAILSEVDETMKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14101251:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPQFMDKQILDLTSSSTAHQSQLSSSPKQTTTTTTTTRDFIDLMNPPQDNNQDHHQHQSHYNSNGSSIIGSAGDNEIKKEEIIPSYDFQPIRSIASSLNSSIAGLGAPTTASKNYGSLDSIEPARAIVEKDQNASHVAILSEVDETMKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14101251:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14101251:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14101251:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPQFMDKQILDLTSSSTAHQSQLSSSPKQTTTTTTTTRDFIDLMNPPQDNNQDHHQHQSHYNSNGSSIIGSAGDNEIKKEEIIPSYDFQPIRSIASSLNSSIAGLGAPTTASKNYGSLDSIEPARAIVEKDQNASHVAILSEVDETMKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14100839:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTPQFMDKQILDLTSSSTAHQSQLSSSPKQTTTTTTTTRDFIDLMNPPQDNNQDHHQHQSHYNSNGSSIIGSAGDNEIKKEEIIPSYDFQPIRSIASSLNSSIAGLGAPTTASKNYGSLDSIEPARAIVEKDQNASHVAILSEVDETMKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14101251:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQILDLTSSSTAHQSQLSSSPKQTTTTTTTTRDFIDLMNPPQDNNQDHHQHQSHYNSNGSSIIGSAGDNEIKKEEIIPSYDFQPIRSIASSLNSSIAGLGAPTTASKNYGSLDSIEPARAIVEKDQNASHVAILSEVDETMKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.15G166300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14101012:14111717:-1 gene:Manes.15G166300.v8.1 transcript:Manes.15G166300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHAENLVHILEGVSAQLIQLETRTRHLENSVDDLKLSVGNNHGSTDGKMRHLENILREVQSGVYLLKEKQEVVEAQLQIAKLQVSKGDQQQSEIQNSVHMDTVQQAASAPPQSHQQLQQVASAPPQSHQHLQQAASAPPQSHQQLPPVSFPQSIPPVPPAAVPPVPISQQNLPPPTPLPNQLSQSQIPPVHQREPYYSPPGQMQEPPNPQFQASPSQQQPHLSLTAPPHQSYQLASQAQYHQPPNLPQPEAQRSVSIGHHAEEVPYVPSQSYPPSLRQSSSHPASGAPSSPQFYGASHIFELPSTRPNSGFSAGYGPSSGPTEPYAYGKIPSQYGSNPPMKPQQLSSLAVSHSGGSGYPQLPTARILPQALPTASGVSGGSGSSGTGNRVPIDDVVDKVTSMGFPREHVRATVRKLTENGQSVDLNVVLDKLMNDGDVQPQRSWFGR >Manes.09G103400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30278164:30281472:-1 gene:Manes.09G103400.v8.1 transcript:Manes.09G103400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEIPSSSPSRHPTDRIKLNVGGKLFETTISTMQSGGPDSLLAALSSRHTQDPVFIDRDPEIFSVLLSLLRSGRIPSTAQRFSKQELADEALYYGIESQLRFAMSPPPLSGIDASLVTTIHPASDGLPSTFTAAAGDGSIWIAHGGQISAYDWNLSHTGTIRTHLDDITSIRRILPDVATVGSNSAAGLHFYDFCSASHLGSTHWTDSSDPRIYKARVTSIADSSNQVFASFDCQHRENCILVIDKSTLQIVSEIARQSGSSAKTMVPGKLTWLPDTGVIIGTAVTSGAFGYSGYIRIWDPRANGAVIWETSEPGSGRSSRFGDSFADVDVDVEGSNLFKICSKSGDLAMADLRNLGDDPWVYLKDKNPMMRYTGGAVDSVIHCYRSQVFVGREGALEVWSRVIEKENGIDTENVIGEELYRRNFVDKLEDSERGIIKKIEGGGNRLFVSREDVEGIEVWESSHLSGAVSVV >Manes.10G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2133125:2137621:-1 gene:Manes.10G021800.v8.1 transcript:Manes.10G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQKSYLWFLFLLSLAWSSASQDDQKSYVVYMGRRPDTDQVSISSLHLSMLHEATGRNFSSESVLIFKRTFLGFVAKLSEYEAQKIAGMKGVVSVFLNEKKKLHTTRSWDFMGFSEEVKRTNLESEIIVGMIDTGIWPVSPSFNDEGFGPPPSKWQGSCDVSFNFSCNNKIIGAKFYKSDGLFGAHDLKSPIDSQGHGTHTASTVAGGLVNKASLYDLAIGTARGGVPSARIAVYKVCWSDGCADADILAAFDDAIADGVDIISSSIGGSIPLDYFKDSIAIGAFHAMRNGILTSNSAGNDGPDVKTITNFSPWSLSVAASTIDRKFFTKVQLQNNRTYEGISINTFDLNNAMFPIIYGGDAPNITGNFTSSKSRFCSTNSLDPSLVKGKIVVCDRSVSGRAPFMAGAAGVVMQDPGPKDVAFSFPLPASYLGSDDGRSILSYINSTRHAAAAIYKSNTANDPLAPYVASFSSRGPNPITLDILKPDISAPGVDILAAWSLLSSVTEIQGDKRRVPYNIISGTSMACPHATASAAYVKSFHPTWSPAAIKSALMTTAFPMNSEINPEAEFAYGAGHINPSKAIDPGLIYDAEPTDYVKFLCGQGYDTSLLQRVTGDNSSCSTATNGTVWDLNYPSFALAASPSEIISRVYNRIVTNVGSPTSTYKATVISPQGLQIRVKPSTLSFTSVHEKQAFALIIEGSLDDSMVSASLIWDDGVHQVRSPITVFVVK >Manes.12G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5485627:5489341:1 gene:Manes.12G054500.v8.1 transcript:Manes.12G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNSSSPKTISVFSTFATPFDNNSPRVNDTLNPKTRKPIALWPGMYHSPVTNALWEARSKIFERLLDPPKDAPPQSELLTKTPKQSRTSILYNFSTDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVDKIVLKKPISVDIDLKIVGSVIWVGRSSIDIQLEVIQSTKEGSDASDLVALMANFIFVARDSETGKAAPVNRLSPETEQEKLLFEEAEARSMLRKRKKVEERKECEHGEVNRLEALLSEGRIFCDMPALADRDSILLRDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRLTSCVLYTELENPEQPLINIEVVAHVARPELRSSEVSNTFHFTFTVRPEAKATKNGFRLRNVVPATEEEARRILERMDAETLHSRNGVCK >Manes.16G108300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31376921:31381297:-1 gene:Manes.16G108300.v8.1 transcript:Manes.16G108300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIIGHLTPLASTSILPSLLKGGCIIVDSTRKGKRFPDSMSKTIPIWTCVLNRSILNHISKLRDSGTIMQEEADSSKHAENTRMLSQNWDCSLHLPLWVPETEKAAIEERIDGWSKQLEDSGADIASVASCLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSTSFSSGIYQHRTTSEFSWSYIAGAGDDEESWARGLSPSLFWKHAFDLISSGPDLCNQKVADIVEKDRVYRAQRGQNAPQVTLKPPENSDSTAEFSQMDPSLSLDLSNGNSTLMSRNIDEDHAVFWLGSTNLALGTTQHAAHASDVDCILNCDQESFQTHLQDSEAYLHLPMLSSKLDRFSILSNLPAAVSFAKANLGKGNRLLVCCNNGEDISVCVCLAILTSLFSDAGTFDDGESFRNIQITKCEMRRRLIFICKFAVTARPSRGNLKQVFAFLSGQRSPSSRSHCRSANQEECC >Manes.16G108300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31377497:31381297:-1 gene:Manes.16G108300.v8.1 transcript:Manes.16G108300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGKISIYRAVRTIKRRDNTLYNALRSIYEDSIFVGEISQLWPDLPLLANLRCGLWYSPKFDATCYFKSTDGHSNNWSFNTSRLNLHIAELAGQKGGCIIVDSTRKGKRFPDSMSKTIPIWTCVLNRSILNHISKLRDSGTIMQEEADSSKHAENTRMLSQNWDCSLHLPLWVPETEKAAIEERIDGWSKQLEDSGADIASVASCLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSTSFSSGIYQHRTTSEFSWSYIAGAGDDEESWARGLSPSLFWKHAFDLISSGPDLCNQKVADIVEKDRVYRAQRGQNAPQVTLKPPENSDSTAEFSQMDPSLSLDLSNGNSTLMSRNIDEDHAVFWLGSTNLALGTTQHAAHASDVDCILNCDQESFQTHLQDSEAYLHLPMLSSKLDRFSILSNLPAAVSFAKANLGKGNRLLVCCNNGTFDDGESFRNIQITKCEMRRRLIFICKFAVTARPSRGNLKQVFAFLSGQRSPSSRSHCRSANQEECC >Manes.16G108300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31376921:31381297:-1 gene:Manes.16G108300.v8.1 transcript:Manes.16G108300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIIGHLTPLASTSILPSLLKGGCIIVDSTRKGKRFPDSMSKTIPIWTCVLNRSILNHISKLRDSGTIMQEEADSSKHAENTRMLSQNWDCSLHLPLWVPETEKAAIEERIDGWSKQLEDSGADIASVASCLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSTSFSSGIYQHRTTSEFSWSYIAGAGDDEESWARGLSPSLFWKHAFDLISSGPDLCNQKVADIVEKDRVYRAQRGQNAPQVTLKPPENSDSTAEFSQMDPSLSLDLSNGNSTLMSRNIDEDHAVFWLGSTNLALGTTQHAHASDVDCILNCDQESFQTHLQDSEAYLHLPMLSSKLDRFSILSNLPAAVSFAKANLGKGNRLLVCCNNGEDISVCVCLAILTSLFSDAGTFDDGESFRNIQITKCEMRRRLIFICKFAVTARPSRGNLKQVFAFLSGQRSPSSRSHCRSANQEECC >Manes.16G108300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31376921:31381297:-1 gene:Manes.16G108300.v8.1 transcript:Manes.16G108300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGKISIYRAVRTIKRRDNTLYNALRSIYEDSIFVGEISQLWPDLPLLANLRCGLWYSPKFDATCYFKSTDGHSNNWSFNTSRLNLHIAELAGQKGGCIIVDSTRKGKRFPDSMSKTIPIWTCVLNRSILNHISKLRDSGTIMQEEADSSKHAENTRMLSQNWDCSLHLPLWVPETEKAAIEERIDGWSKQLEDSGADIASVASCLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSTSFSSGIYQHRTTSEFSWSYIAGAGDDEESWARGLSPSLFWKHAFDLISSGPDLCNQKVADIVEKDRVYRAQRGQNAPQVTLKPPENSDSTAEFSQMDPSLSLDLSNGNSTLMSRNIDEDHAVFWLGSTNLALGTTQHAHASDVDCILNCDQESFQTHLQDSEAYLHLPMLSSKLDRFSILSNLPAAVSFAKANLGKGNRLLVCCNNGEDISVCVCLAILTSLFSDAGTFDDGESFRNIQITKCEMRRRLIFICKFAVTARPSRGNLKQVFAFLSGQRSPSSRSHCRSANQEECC >Manes.16G108300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31377497:31381297:-1 gene:Manes.16G108300.v8.1 transcript:Manes.16G108300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIIGHLTPLASTSILPSLLKGGCIIVDSTRKGKRFPDSMSKTIPIWTCVLNRSILNHISKLRDSGTIMQEEADSSKHAENTRMLSQNWDCSLHLPLWVPETEKAAIEERIDGWSKQLEDSGADIASVASCLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSTSFSSGIYQHRTTSEFSWSYIAGAGDDEESWARGLSPSLFWKHAFDLISSGPDLCNQKVADIVEKDRVYRAQRGQNAPQVTLKPPENSDSTAEFSQMDPSLSLDLSNGNSTLMSRNIDEDHAVFWLGSTNLALGTTQHAAHASDVDCILNCDQESFQTHLQDSEAYLHLPMLSSKLDRFSILSNLPAAVSFAKANLGKGNRLLVCCNNGTFDDGESFRNIQITKCEMRRRLIFICKFAVTARPSRGNLKQVFAFLSGQRSPSSRSHCRSANQEECC >Manes.16G108300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31376921:31381297:-1 gene:Manes.16G108300.v8.1 transcript:Manes.16G108300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGKISIYRAVRTIKRRDNTLYNALRSIYEDSIFVGEISQLWPDLPLLANLRCGLWYSPKFDATCYFKSTDGHSNNWSFNTSRLNLHIAELAGQKGGCIIVDSTRKGKRFPDSMSKTIPIWTCVLNRSILNHISKLRDSGTIMQEEADSSKHAENTRMLSQNWDCSLHLPLWVPETEKAAIEERIDGWSKQLEDSGADIASVASCLKKPLRPLWISQKTVIWLNEVPDHDSWDFTPIILVSTSFSSGIYQHRTTSEFSWSYIAGAGDDEESWARGLSPSLFWKHAFDLISSGPDLCNQKVADIVEKDRVYRAQRGQNAPQVTLKPPENSDSTAEFSQMDPSLSLDLSNGNSTLMSRNIDEDHAVFWLGSTNLALGTTQHAAHASDVDCILNCDQESFQTHLQDSEAYLHLPMLSSKLDRFSILSNLPAAVSFAKANLGKGNRLLVCCNNGEDISVCVCLAILTSLFSDAGTFDDGESFRNIQITKCEMRRRLIFICKFAVTARPSRGNLKQVFAFLSGQRSPSSRSHCRSANQEECC >Manes.01G030000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5956401:5956824:-1 gene:Manes.01G030000.v8.1 transcript:Manes.01G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPASHGNNSTNHHRPSSSELISNAKMVADAAKSSLRHERHKVDKGRVAGAAANLVGAASRKFEGRRLGRYLGKAENFLRHYHSSHSTTTTAGSSHSATATSQSSS >Manes.09G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6828097:6828931:1 gene:Manes.09G035400.v8.1 transcript:Manes.09G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAYAAPTVQRVTKKSSDELLRKFAELGEDEPKKRPKISKTSKEVVAYCESPNSITLVERRSLLLPQLSEKSILLRQLGSRLRARDIKNTPIFVAIEKTWRKTLEGASKLLLEKHCNRHRRLISDVV >Manes.12G067900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7040049:7045286:1 gene:Manes.12G067900.v8.1 transcript:Manes.12G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAIGVPRVPSSSTSSSSQSNSSNLNRRTPVQSLSFSSSSISGDKIYSKVFSARRGNAYNEKTPRIVSPKAVSDSRNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLILAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDERAKELPFIASMGIYVVSKNVMLDLLRDKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDDVKIINGDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >Manes.09G006900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1706982:1716352:-1 gene:Manes.09G006900.v8.1 transcript:Manes.09G006900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPARRVSTKDIQVVQNLIERCLQLYMNQREVVDTLLAQAKIEPGFTELVWQKLEEENREFFRAYYLRLTVKQQIIEFNKLLEQQVRLMRQINPTGVASMHASNGSHMPMHQNSACFAPEHTGPALKPENMHHPFGSGITNAFSNGGSALHSSIHTAVDMSAHTNRIDAPPSMLPTQSSNMGLMQALNGGMIKSEAGYSGASPYIFSADGNVLEARPSIPDASVASLSSVESSSQALNEPLLDADTSSYGFLDQIPPSFSLSDLTAHFAQSSDILENYPRSPFLAPDNDNFLDSGEREHQGDNKRLDTISEGVNYDDFGSDKP >Manes.09G006900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1706982:1716352:-1 gene:Manes.09G006900.v8.1 transcript:Manes.09G006900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGPARRVSTKDIQVVQNLIERCLQLYMNQREVVDTLLAQAKIEPGFTELVWQKLEEENREFFRAYYLRLTVKQQIIEFNKLLEQQVRLMRQINPTGVASMHASNGSHMPMHQNSACFAPEHTGPALKPENMHHPFGSGITNAFSNGGSALHSSIHTAVDMSAHTNRIDAPPSMLPTQSSNMGLMQALNGGMIKSEAGYSGASPYIFSADGNVLEARPSIPDASVASLSSVESSSQALNEPLLDADTSSYGFLDQIPPSFSLSDLTAHFAQSSDILENYPRSPFLAPDNDNFLDSGEREHQGDNKRLDTISEGVNYDDFGSDKP >Manes.08G054100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5813251:5818304:1 gene:Manes.08G054100.v8.1 transcript:Manes.08G054100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFPHFIVLSFIFLYILFQSPPTVGFLREAHSPSPIRLKTSQPKDNGLRFNGGGSFKVALFADLHFGEDAWTDWGPQQDVNSIKVMSTVLDLETPDFVIYLGDVITANNIPIANASLYWDQALSPTRARGIPWASVFGNHDDAPFEWPMEWFSVPGIPPLHCPATNSSYSEEYCSFRGTQRIELMKNEIEHNNLSFSKTGPKDLWPGVSNYVLQVASSSDPESRILTMYFLDSGGGSYPEVISSAQAEWFQHKSQEINPDSSVPEIIFWHIPSRAYKNVAPWFAIHKPCVGSINKEKVAAQEAEFGIMNLLVKRPSVKAIFVGHNHGLDWCCPYNKLWLCFARHTGYGGYGNWPRGARILEITEQPFSIKSWIRMEDGGKHSEVLLSS >Manes.08G054100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5813251:5818304:1 gene:Manes.08G054100.v8.1 transcript:Manes.08G054100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFPHFIVLSFIFLYILFQSPPTVGFLREAHSPSPIRLKTSQPKDNGLRFNGGGSFKVALFADLHFGEDAWTDWGPQQDVNSIKVMSTVLDLETPDFVIYLGDVITANNIPIANASLYWDQALSPTRARGIPWASVFGNHDDAPFEWPMEWFSVPGIPPLHCPATNSSYSAEEYCSFRGTQRIELMKNEIEHNNLSFSKTGPKDLWPGVSNYVLQVASSSDPESRILTMYFLDSGGGSYPEVISSAQAEWFQHKSQEINPDSSVPEIIFWHIPSRAYKNVAPWFAIHKPCVGSINKEKVAAQEAEFGIMNLLVKRPSVKAIFVGHNHGLDWCCPYNKLWLCFARHTGYGGYGNWPRGARILEITEQPFSIKSWIRMEDGGKHSEVLLSS >Manes.08G054100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5813417:5818304:1 gene:Manes.08G054100.v8.1 transcript:Manes.08G054100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFPHFIVLSFIFLYILFQSPPTVGFLREAHSPSPIRLKTSQPKDNGLRFNGGGSFKVALFADLHFGEDAWTDWGPQQDVNSIKVMSTVLDLETPADFVIYLGDVITANNIPIANASLYWDQALSPTRARGIPWASVFGNHDDAPFEWPMEWFSVPGIPPLHCPATNSSYSAEEYCSFRGTQRIELMKNEIEHNNLSFSKTGPKDLWPGVSNYVLQVASSSDPESRILTMYFLDSGGGSYPEVISSAQAEWFQHKSQEINPDSSVPEIIFWHIPSRAYKNVAPWFAIHKPCVGSINKEKVAAQEAEFGIMNLLVKRPSVKAIFVGHNHGLDWCCPYNKLWLCFARHTGYGGYGNWPRGARILEITEQPFSIKSWIRMEDGGKHSEVLLSS >Manes.08G054100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5813417:5818759:1 gene:Manes.08G054100.v8.1 transcript:Manes.08G054100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFPHFIVLSFIFLYILFQSPPTVGFLREAHSPSPIRLKTSQPKDNGLRFNGGGSFKVALFADLHFGEDAWTDWGPQQDVNSIKVMSTVLDLETPDFVIYLGDVITANNIPIANASLYWDQALSPTRARGIPWASVFGNHDDAPFEWPMEWFSVPGIPPLHCPATNSSYSAEEYCSFRGTQRIELMKNEIEHNNLSFSKTGPKDLWPGVSNYVLQVASSSDPESRILTMYFLDSGGGSYPEVISSAQAEWFQHKSQEINPDSSVPEIIFWHIPSRAYKNVAPWFAIHKPCVGSINKEKVAAQEAEFGIMNLLVKRPSVKAIFVGHNHGLDWCCPYNKLWLCFARHTGYGGYGNWPRGARILEITEQPFSIKSWIRMEDGGKHSEVLLSS >Manes.08G054100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5813417:5818759:1 gene:Manes.08G054100.v8.1 transcript:Manes.08G054100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFPHFIVLSFIFLYILFQSPPTVGFLREAHSPSPIRLKTSQPKDNGLRFNGGGSFKVALFADLHFGEDAWTDWGPQQDVNSIKVMSTVLDLETPDFVIYLGDVITANNIPIANASLYWDQALSPTRARGIPWASVFGNHDDAPFEWPMEWFSVPGIPPLHCPATNSSYSEEYCSFRGTQRIELMKNEIEHNNLSFSKTGPKDLWPGVSNYVLQVASSSDPESRILTMYFLDSGGGSYPEVISSAQAEWFQHKSQEINPDSSVPEIIFWHIPSRAYKNVAPWFAIHKPCVGSINKEKVAAQEAEFGIMNLLVKRPSVKAIFVGHNHGLDWCCPYNKLWLCFARHTGYGGYGNWPRGARILEITEQPFSIKSWIRMEDGGKHSEVLLSS >Manes.08G054100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5813417:5818304:1 gene:Manes.08G054100.v8.1 transcript:Manes.08G054100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFPHFIVLSFIFLYILFQSPPTVGFLREAHSPSPIRLKTSQPKDNGLRFNGGGSFKVALFADLHFGEDAWTDWGPQQDVNSIKVMSTVLDLETPADFVIYLGDVITANNIPIANASLYWDQALSPTRARGIPWASVFGNHDDAPFEWPMEWFSVPGIPPLHCPATNSSYSGEAVKVRLDILPFPYQSEEYCSFRGTQRIELMKNEIEHNNLSFSKTGPKDLWPGVSNYVLQVASSSDPESRILTMYFLDSGGGSYPEVISSAQAEWFQHKSQEINPDSSVPEIIFWHIPSRAYKNVAPWFAIHKPCVGSINKEKVAAQEAEFGIMNLLVKRPSVKAIFVGHNHGLDWCCPYNKLWLCFARHTGYGGYGNWPRGARILEITEQPFSIKSWIRMEDGGKHSEVLLSS >Manes.03G146200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27377143:27378554:-1 gene:Manes.03G146200.v8.1 transcript:Manes.03G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVVSKEIIKPSSSTVHHLEPYKLSFFSQLTPTTYSPLIFFYPAVDANPKNITLKIMKLKRSLSEVLNLYYPYSGRLVDNHYIDRFNEGVPFFVAHVNGRLSDFLKNPEIEFLNRFLPCQPFTKEDMGVPQVAFQVNVFSCGGIAISSALSHKLTDAPSGCALIHSWAAISRGTLSEVVKPNCTESSIFFPPKNPFPEEHLSLMERLWFTEANYITRRFVFNAKAIASLRVKAKGEREFKPTRVEALSCFIWKCCMAISLAISGSPKPSILVEAVNLRTRTKPTMSNVSLGDVFWWATALADTSQQNRELHELASLLDEAIAQYNSDYMQSLQGDGGFETMSEYCDQLQGLFASENPDIFAFTSWCHLGVRRVNFGWGNPVWVGILGKAGPAFRNLTVFAEAIDGKGIEAWITLDEERMALLERDPEFLTYASTVQKISSL >Manes.09G056300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9034921:9036333:1 gene:Manes.09G056300.v8.1 transcript:Manes.09G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIEPLIVGGVIGDVLDPFLPAIKMSVSYNSRQVHNGHELFPSTLVSKPKVEIQGADLRSFFTLVMIDPDVPGPSDPYLREHLHWIVSNIPGTTDSTFGKEVASYEIPKPNIGIHRFVFVLFKQKRRQIISPPSSRDNFNTRRFATENDLGLPVAAVFFNAQRETAARRR >Manes.01G039216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8014168:8016470:1 gene:Manes.01G039216.v8.1 transcript:Manes.01G039216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAEILAMLILIQSILIFCTGTNLDGSCIKIEREALVKFKSSLAINSSDSLLSWVGDDCCRWEGVSCDNITDHVVKLDLSSTYFQGNISLHLGNLSNLQYLDLSGNNELAIDSLQFPSSMKYLNMEGVLLDKCVDWLQSINMLPSLLELHLSNCELSIPGHVSHVNLTSLEVVYLRGNNFNSKIPSWLFNITNLQYLDLSYSAFRGSLSTRIGNLNSLSFLDLSWNSLEGNIPTTLNQLCNLSELHLQTNKFSGEISGPFGNSSSCVQKSLVYLYLYNNSFSGSLPNNIGQFKHLKFLLLSNNSFWGPIPVSIGQLSNLQILDFSRNSLQGKVSELHLLKLRGLDQLILSGNSLVFDIDLKWVPPFQLSRIELSSCKLGPWFPQWLKTQKSIAFLLMSNASISDSIPDWFENVSSNIEGLDLSYNQLSGTLPNLRKFNTTYEVYYRYILLKSNRFEGSLTHFHSDASILDISNNLLQGQIPHNISEMMPMLRFLSLSNNSLNGTIPASLCMIESLDILHLAKNHLSGPIPSCWGNLQRLTVIDLSSNMLSGHIPMSVSSQSFLVSLHLQNNNLQGKIPISLRNLEYLETLDLGNNCFDGYIPWWIGESLSSLKVLSVQSNKFEGEIPLQLCYLASLRILNLANNMMTGTIPTCFGNFTAIAMHENEGLWEYYSYLLPREAFEENGYGENVQVYVKGIELEYTRTLRFLYSIDLSGNNFVGEIPKELMNLSGLQNLNLSKNKLDGHIPWIIDT >Manes.11G096200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19979623:19998048:-1 gene:Manes.11G096200.v8.1 transcript:Manes.11G096200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDNGPGKVDMKTVKLESDLVGSGLQGENHQNAQLAEFRNSIAQSGRQEFEENRCSTALSNGQSASSVLEQGQSPVDDTGISSASTICPAPLCRQFWKAGNYDDGLGSKVTFQNGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAIDEIQNGASFVIVDKTLNPRDGSPALLIQDNGGGMDPEAMRRCMSFGFSDKKNKSAIGQYGNGFKTSTMRLGADVIVFSRHLHERALTQSIGLLSYTFLSRTGHDRIVVPMVDYEFNSAKGKLEFSQRRGKEHFMSNLSMLLQWSPYSTEAELLKQFDDIGSRGTKVIIYNLWLNDDGIVELDFDTDPEDIRIGGDIKKVDTIPAWRTVNEQHIANRLHYSLRAYLSILYLRTPETFQIILRGRLVENHNLANDLKFQEFILYRPQSGGCVEGQVITTIGFLKEAPHVCVHGFNVYHKNRLILPFWPVVSYADSRGRGVVGVLEANFIEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYHVRKKYPAPGPKQDSSLIMANGKTKPVKFSQSSPAVGSARAWSATGLPTKRKPSNDVMELESVKRRGQSGANPIVSGMSSEAQPATTANQSRYQEAANLIQENKKLQAQCLEYEKRNEELNTKVTQLKKELEEVKRDYGQLMTEVTSLDLIKEENDVHM >Manes.11G096200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19979623:19998053:-1 gene:Manes.11G096200.v8.1 transcript:Manes.11G096200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVKLESDLVGSGLQGENHQNAQLAEFRNSIAQSGRQEFEENRCSTALSNGQSASSVLEQGQSPVDDTGISSASTICPAPLCRQFWKAGNYDDGLGSKVTFQNGKNYLHVHPMFLHSNATSHKWAFGAIAELLDNAIDEIQNGASFVIVDKTLNPRDGSPALLIQDNGGGMDPEAMRRCMSFGFSDKKNKSAIGQYGNGFKTSTMRLGADVIVFSRHLHERALTQSIGLLSYTFLSRTGHDRIVVPMVDYEFNSAKGKLEFSQRRGKEHFMSNLSMLLQWSPYSTEAELLKQFDDIGSRGTKVIIYNLWLNDDGIVELDFDTDPEDIRIGGDIKKVDTIPAWRTVNEQHIANRLHYSLRAYLSILYLRTPETFQIILRGRLVENHNLANDLKFQEFILYRPQSGGCVEGQVITTIGFLKEAPHVCVHGFNVYHKNRLILPFWPVVSYADSRGRGVVGVLEANFIEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYHVRKKYPAPGPKQDSSLIMANGKTKPVKFSQSSPAVGSARAWSATGLPTKRKPSNDVMELESVKRRGQSGANPIVSGMSSEAQPATTANQSRYQEAANLIQENKKLQAQCLEYEKRNEELNTKVTQLKKELEEVKRDYGQLMTEVTSLDLIKEENDVHM >Manes.08G151500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39162918:39166291:1 gene:Manes.08G151500.v8.1 transcript:Manes.08G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAPFVGKWARKSVSTAISATSFIVFLIFDFLDIVFCIIYRYLDKLFEGKPSPCYCGDRREIQRSMGDDEDSELSETLYGRTNVFRQIRFLGFGRNSENRKKSFGGGSGIRIWSDCGCESCVSWMKDGGQNLHVVVKEPSTAASENCHGDVTENVIFLHGFISSSSFWTETVFPNLSEPVKRNYRLFAVDLLGFGRSPKPRDCYYTLRDHLEMIEKSVINPFQLKSFHIVAHSMGCNISIALAAKYWHCVKSVTLVAPPYISSSKEEASFTALHKLAGKRLWPPLLFCSSFMSWYEHLGRCVCLFVCRNHRIWERILKLLTWGRDLHFMIMDMTKHTHHSAWHSMHNVLCGGAKFQEKMLENLMKDGVKICVIQGDNDKVIPLECGKNIKSKVPNVEISIISNAGHLNVIMGREKDFTRDLELIWASFADTE >Manes.08G151500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39163096:39165414:1 gene:Manes.08G151500.v8.1 transcript:Manes.08G151500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAPFVGKWARKSVSTAISATSFIVFLIFDFLDIVFCIIYRYLDKLFEGKPSPCYCGDRREIQRSMGDDEDSELSETLYGRTNVFRQIRFLGFGRNSENRKKSFGGGSGIRIWSDCGCESCVSWMKDGGQNLHVVVKEPSTGAASENCHGDVTENVIFLHGFISSSSFWTETVFPNLSEPVKRNYRLFAVDLLGFGRSPKPRDCYYTLRDHLEMIEKSVINPFQLKSFHIVAHSMGCNISIALAAKYWHCVKSVTLVAPPYISSSKEEASFTALHKLAGKRLWPPLLFCSSFMSWYEHLGRCVCLFVCRNHRIWERILKLLTWGRDLHFMIMDMTKHTHHSAWHSMHNVLCGGAKFQEKMLENLMKDGVKICVIQGDNDKVIPLECGKNIKSKVPNVEISIISNAGHLNVIMGREKDFTRDLELIWASFADTE >Manes.02G000400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:55460:57648:1 gene:Manes.02G000400.v8.1 transcript:Manes.02G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKPLLADLSSLGVKNVPSSYIRPISDRPNLSDVEMSDAAIPLIDLQGLYGPNHSLVIAQIGRACQFDGFFQVKNHGIPEDVIDTILHTGTDFFKLPESERLKSYSDDPAKTTRLSTSFNVKTEKFSNWRDFLRLHCYPVEDYIQEWPSNPPSFRKNVAEYCTRVRGLVLTLLEAISESLGLKSDYIDKALSKHGQHMAINYYPPCPQPELTYGLPEHSDPNLITILLQDQVPGLQVLRNGKWVAVDPIPNTFIVNIGDQMQVISNNRYKSVLHRAVVNSDKERLSIPTFYCPSSDAVIGPAKDLIDNDHPAVYKHFTYAEYYEIFWNRGLEKECCLDLFKISSA >Manes.01G048145.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11488866:11490906:1 gene:Manes.01G048145.v8.1 transcript:Manes.01G048145.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRRFGWPPIKAPQTENGRDFSPFSSSGVFMFSFAHFHVFSSSPSYFYEFHGCFEEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.13G098200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28975256:28988478:1 gene:Manes.13G098200.v8.1 transcript:Manes.13G098200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPKAETEQKSESRDHDSKPSSLPDPAGGDNHNHHVPNGDANKGSVETGSDSATQGEGDQPQAPVRTGSARKSVHWSPELVTESRASQNNSGNGNVFLDGSNPYVSSSPAQFTSSFSFKETMGTVRDAIGRWRRKVGEATRKAEDLAGNTWQHLKTSPSFTDAALGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSYACYLSTSAGPVMGILYVSTAKLAFCSDNPLSYKSGDQTEWSYYKDALQSHDLPSV >Manes.13G098200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28975256:28988478:1 gene:Manes.13G098200.v8.1 transcript:Manes.13G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPKAETEQKSESRDHDSKPSSLPDPAGGDNHNHHVPNGDANKGSVETGSDSATQGEGDQPQAPVRTGSARKSVHWSPELVTESRASQNNSGNGNVFLDGSNPYVSSSPAQFTSSFSFKETMGTVRDAIGRWRRKVGEATRKAEDLAGNTWQHLKTSPSFTDAALGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLQNSYACYLSTSAGPVMGILYVSTAKLAFCSDNPLSYKSGDQTEWSYYKVVIPLHQLKAVNPSSSRTNSAEKYIQIISVDNHEFWFMGFLNYDGAVQCLQDALQSHDLPSV >Manes.02G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6186290:6188086:-1 gene:Manes.02G079500.v8.1 transcript:Manes.02G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPIRFGIMGCAKIARKLARAILLAPNSTLYAIASRSLEKAELFATENELPKTIKIYGSYQELLDDPSIDVVYMPLPSSLHLHWAVLAAQKKKHLLLEKPPALDVVELDKILEACESNGVQFMDGSMWVHHPRTAKMKELLSDPKQIGQLEFLHSTSTISPPPEFFKDDIRVKPDMDTLGALGDLGWYCIGSVLWAKNYRLPNLVTALPGVVKNAAGIILQFSASLHYDEPDLLKTVAIIHCSFLSHSSMDLKMIGSSGSIHLMDFIIPFQEDSASFNFTWLPKFVDLHIGWNAKPEKVVVANELPQEVLMVQELARLAEDKKKSVACPDKKWPQISRKTQIVLDAVKKSIDLGCEPVYL >Manes.13G061801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7206426:7209291:1 gene:Manes.13G061801.v8.1 transcript:Manes.13G061801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHNNSNAISELSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLQKYREMEGEKSSMGRQGEKDGAGGSGGGGAAAGGGGSGGGVSSGGAGGFNGGGQGMYGGMMMMGHHQGHVYGAGGYHHQMGVGKGGSGNSR >Manes.11G150200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31460053:31460967:1 gene:Manes.11G150200.v8.1 transcript:Manes.11G150200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMATSRIFSLMFIFFLVLSSFVSITMGGRRIPSSVPSTMRPLTAQDGEFVKMKPRLNKKQRVFGGREATGCLPKGFRHSSTPSRYVNNEPFVSCSSKVPAEKP >Manes.17G079800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28498974:28499812:1 gene:Manes.17G079800.v8.1 transcript:Manes.17G079800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEAQFGIDAPADQFHDVFSCRPHHISNMSPHHIHGCDIHEGEWGKEGSIVCWKYFHDGSAKVAKEVIETIDDVNLLTVFKVIEGDLLKEYKSFKLTVQATPKGEGSVARWTVEYEKIHENIRDPYSLLEFLVQFSKDVSAHLVKC >Manes.04G058616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14525670:14541323:1 gene:Manes.04G058616.v8.1 transcript:Manes.04G058616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSKWTSYQLFTAQLDQAELQRRVLIGEGYDNTNVGQHGEWRFCVVGRLFSDRAVNFDAFQHTMAIAWRPDPGMFVKELDNNVFIF >Manes.15G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3378745:3382220:1 gene:Manes.15G043600.v8.1 transcript:Manes.15G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAKEAWRKYLIQLQAHPLRTKAITSGVLAGFSDAIAQKISGIKRLQLRRLLLIMLYGFAYGGPFGHFFHKLMDIIFKGKKDNKTVAKKVLLEQFTSSPWNNMLFMLYYGLVLEGRPWGLVKSKVRNDFPSVQLTAWKFWPIVGWVNHQYMPLQFRVLFQSFVASCWAIFLNLKARTSTIKQS >Manes.03G083316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20428668:20429639:-1 gene:Manes.03G083316.v8.1 transcript:Manes.03G083316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNEAIYFFISLYSFSKYTLEISYVKGDDTSGLTSGADMSNGFVHSDSITLKGVDVCSTNLDPSLPLTIIRFSSEELDGYSYHFHNEKLSFYKYFHQTAVYFRVSQFLIQYLFLLLQKLFDNQQLIMVYLPNAASSELFPQLFVYKNYRSFLLSCRSGKIPSNILT >Manes.17G013500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:6425228:6427871:1 gene:Manes.17G013500.v8.1 transcript:Manes.17G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLSAAPDFHLTIPQSDKRRPIFFTAPIRQNLTKKRLTISCNSSRSSPKSSRNLKESLNPKNPSLSDQLKPLSATTLSTTEDNRTHLLSKPKSTWVNPTKPRKSVLSLQRQKRSPYSLNPIVKELRLFAQKLNDCDSSNETTFLSLLEQIPYPLTRENALLILNSLKPWQKAHLFFNWIKTQNSFPMETIFYNVVMKSLRFGRQFELIDNLANEMVSNEIELDNITYSTIITCAKRCNMFDKALEWFERMYKTGLMPDEVTYSAVLDVYAKLGKVEEVLSLYERGVASGWKPDPITFSVLAKMFGEAGDYDGIRFVLQEMKSLGVQPNLVVYNTLLEAMGRAGKPGLARSLFEEMVESGVTPNEKSLTVLAKIYGKARWAKDAMELWERMRSNDWPMDFILFNTLLSMCADLGMEEEAERLFEDMKRSEHCRPDSWSYTAMLNIYGSGGNASKAMDLFEEMSKLGVDINVMGCTCLIQCLGKSRRIDDLVRVFNVSIERGVNPDDRLCGCLLSVVSLCEESEDANRVLACLQQANPRLVALVRLIEEEKTSYETVKEEFRVIVGDTAVEARRPFCNCLIDICRSRNLHGRAHELLYLGTLYGLYPDLHHKTADEWSLDVRSLSVGAAHTALEEWMGTLTKFVQRNEALPEMFSAHTGTGTHRFSQGLANAFAAHVEKLSAPFRQCEERAGCFVATRDDLVTWVRSRSPSPIIA >Manes.05G014850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1393846:1394788:1 gene:Manes.05G014850.v8.1 transcript:Manes.05G014850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGFRFYPTEEELVNHYLRLKMLGYDDQVQEIPEVNVLDFEPWDLPRIQHPQAVIANNSNDQVWYFFCPRNYKYSNSNRAKRTTNGGYWKVTSKDRRINKNGIKKTLVFYQGRSKGVKTNWVMHEYNPTFGFRTQRDFVLCKLKRRPDDADDVPTQEAGGSSTVVASASGNNATDEDSQLQAYVDSFGGINERDYNLNSAMQWPTYYYN >Manes.01G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25989390:25994693:-1 gene:Manes.01G062700.v8.1 transcript:Manes.01G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLALACFRIQSYGDLSPRPRYPSMPKYPKGVADQESNVQGSEAKAVFSVVGMTCAACAASVEKAVKRLPGIREATIDVLNNRAQVLFYPSFVDEETIRETIEDAGFEATLIQDDTNDKSTQVCRIRINGMTCTSCSSTVEQALQAMQGVQRAQVALATEEAEVHYDPKILSYNQLLQAIEDTGFEAILISTGEHMDKIQLKVDGIRTDNSMRMIENSLQALPGVQNIDIDPELNKFSLSYKPEITGPRNFIKVIESTGTGRFKATIFPEGSGGRENHRQEEIKQYYRSFLYSLVFTVPVFLISMVFMYIPGIKHGLDTKIVNMLTVGAILRWVLSTPVQFIIGRRFYTGAYKALRHRSANMDVLIALGTNAAYFYSVYSVLRAATSPDFMGSDFFETGSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPETATLLTLDDEGNVINEEEIDSRLIQKNDVIKIIPGAKVASDGFVIWGQSHVNESMITGEARPVAKRKGDPVIGGTLNENGVLHIKATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKFFVPLVIILSFSTWLAWFLSGKFHGYPESWIPNSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTVGKPVVVNTKLFKNMVLRDFYELVAATEVNSEHPLAKAIVEYAKKFREEEENPVWPEAQDFVSITGQGVKAIVRNREIIVGNRSLMLDHNIAIPVDAEEMLAETEEMAQTGILISIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNWGTANSIGSEVGIETVMAETKPEQKAEKVKELQSSGYVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFTRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKYYKRPKMLENLVIRGITIE >Manes.08G000100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:274546:277055:1 gene:Manes.08G000100.v8.1 transcript:Manes.08G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVERPNRSQQGTGSAGTQDNLLPVFSPSHQMEIQRCHRNYKPSSVSISLAELLKCVEDAQSDHSNGSTPIRHQPLELGYACSSLSTSNPFVLSFHNLSYSVKVGQKMTFPFCGRDSCDSSEATGFKVLLNDISGEAREGEIMAVLGASGSGKSTLIDALADRISKESLRGSVRLNGEILESRLLKVISAYVMQDDLLFPMLTIEETLMFSAEFRLPRSLSRSKKKARVEALIDQLGLRSAANTVIGDEGHRGISGGERRRVSIGIDIVHDPILLFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSIHQPSYRILSVLDRLIFLSHGQPVYAGPPGNLPEFFAEFGHPIPENENRTEFALDLIRELEEIPDGTRTLVEFNRSWQAQGMKNSRNHTCNSSRLSLKDAISASISKGKLVSGSTNHSNLSSSVQTFANPFWIEMLVIAKRSLINSRRMPELFGIRFGAVFITGLILATIFWHLDNSPRGAQERLGFFAFAISTTYYTCAESIPAFLQERYIFMRETAYNAYRRSSYVLAHSLISIPSLIVLSIAFAATTYWTVGLAGGASGFFFFFFTVLSAFWAGSSFVTFLSGIVSHVMLGFTIVVAILAYFLLFSGFFISRDRIPPYWIWFHYISLVKYPYEGALQNEFQDPTKCFVRGVQMFDNTPLSAVPEALKLKLLQSLSNTLGRNITSSTCIVTGPDILRGQGITDLSKWSCLWITVAWGFFFRVLFYFTLLFGSKNKRR >Manes.01G031100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6143768:6160858:1 gene:Manes.01G031100.v8.1 transcript:Manes.01G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKVSSLNLGSSWISKKAKEELSNISQDIATFSSTVEEKAKWIFNKLKGKPLKSLPDLLREYNLPPGLFPQNIICYEFDESKAKLIVYLPSVCEVSFKDSSVLRYATRVKAILMRGKLTGIEGIKTKVLVWVKVTSVAVEGYKSDKVWFIAGVKKSRPRIAYDVPQGAVRVDEF >Manes.01G031100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6143768:6160858:1 gene:Manes.01G031100.v8.1 transcript:Manes.01G031100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKVSSLNLGSSWISKKAKEELSNISQDIATFSSTVEEKAKWIFNKLKGKPLKSLPDLLREYNLPPGLFPQNIICYEFDESKAKLIVYLPSVCEVSFKDSSVLRYATRVKAILMRGKLTGIEGIKTKVLVWVKVTSVAVEGYKSDKVWFIAGVKKSRPRIAYDVPQGAVRVDEF >Manes.05G019300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1780236:1783009:1 gene:Manes.05G019300.v8.1 transcript:Manes.05G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLVFPPFVYCFSVSTIMKILCNPFFLLFIIVGFFFLLRVLLIRTGLIYVTKKWWRSIEDCFHAYQFFKVPEFNENMQENQFYSKVSVYLYSLASVEDSDFTNLFTGKKSNDIILRLDPNQVIDDDFLGARVSWINEVKTDTTSRAFILKIRKADKRRILRPYLQYIHTVFDELQQTKRELKLYMNIDGNQNHNRRWRFVPFTHPSTFETIAMESDLKNKLKSDLESFLKAKQYYHRLGRAWKRSYLLYGPSGTGKSSFVAAMANFLGYDVYDIDLSRVLDDSDLKLLLLQTTTKSVIVVEDLDRFLMDKSTAVSLSGVLNFMDGISNSCCAEERIMVFTVNSKDQIDPAILRPGRIDVHIHFPLCDFSAFKTLANSYLGVKDHKLFPQVEEIFQTGASLSPAEIGELMIANRNSPSRALKSVITALQTEGDCRGSMDNMGRRLLDASSRNSTEESGDHSGIFSKENVNTIKDIKKLYGLLKLRSKKSQSLDSTPGHKDG >Manes.04G056000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:17678922:17687451:-1 gene:Manes.04G056000.v8.1 transcript:Manes.04G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDPTADSAVAKPYFCYQCNRTVTITVSASADPFCPLCHEGFLEEYENPNFNSQNPNPIQNLNPFSDPNFSFPDPISTLLPLLFSSSTTIDFQNPNLFGNSRTASSDPDAFNPMDFLRNHLQNLHSGGARIQFVIENHPSDPRLPTNIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDGLPTVKITEELLNSEMNQCAVCKDEFEKGADAKQMPCKHVYHKDCIVPWLEMHNSCPVCRYELPTDDADYEIRTRGSQGSQGSNGAGSGGDNRTLERRFSISLPWPFGRQGSSSDGGAGGQSSA >Manes.04G056000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:17678922:17687451:-1 gene:Manes.04G056000.v8.1 transcript:Manes.04G056000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGDPTADSAVAKPYFCYQCNRTVTITVSASADPFCPLCHEGFLEEYENPNFNSQNPNPIQNLNPFSDPNFSFPDPISTLLPLLFSSSTTIDFQNPNLFGNSRTASSDPDAFNPMDFLRNHLQNLHSGGARIQFVIENHPSDPRLPTNIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIDGLPTVKITEELLNSEMNQCAVCKDEFEKGADAKQMPCKHVYHKDCIVPWLEMHNSCPVCRYELPTDDADYEIRTRGSQGSQGSNGAGSGGDNRTLERRFSISLPWPFGRQGSSSDGGAGGQSSA >Manes.04G110527.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31488567:31490401:1 gene:Manes.04G110527.v8.1 transcript:Manes.04G110527.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRACLEKERIGLLEFKAFMKSNCEVDDNLDSWVEDGTSDCCDWDRVTCSSTSRRVVDLSLYWVAKHSSLGITCSLNLSMFYPFEELLSLDFSNNWFNGWIDKAGSERAALGLKKLEILDLSDNSFNNSILSFVGILTSIKTLNLSGNDLVGLFPVKDLAYLENLETLEISSNEISGLSVEGFDRLSSLEKLKVVDLGENAFNTSSLSSLSCLVSLKILVLRGNNMDGRLSFKGEFLTLPSFYITCFYLML >Manes.03G178200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30075480:30075986:1 gene:Manes.03G178200.v8.1 transcript:Manes.03G178200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTSSLKLPLTPQLLKTTQSNPPLKFKQPPVCSSTLFFSSKISTANSKRRNVVFVTRAVEESQESVESQVAKEEQSLDESSVEVSDLGAEIQKAMKKEEKEGNLLVGVAEEIQEIEWPAFGKVLGTTGVVIGVIAGSSLVLLTVNAVLAELSDKVFAGRGFQDFFS >Manes.11G027900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2696856:2706473:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2699208:2706473:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2696859:2706455:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2696856:2706473:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2696858:2706473:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2699377:2704560:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2700112:2705372:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2699208:2706473:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2696858:2706473:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2699217:2706455:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.11G027900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2699218:2706458:-1 gene:Manes.11G027900.v8.1 transcript:Manes.11G027900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGGSDVAEKVVVAVKASKEIPKTALVWALTHVVQAGDCITLFVVVPSQSSGRKLWGFPRFAGDCASGQRKSHSGASSEQNCDITDSCSQMFLQLHDVYDPNKINMKIKIFSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKCCMEELQCNIVVMKRSQPKILRLNLVGSSKEAETTGQLPCELDEASDKCTKHKNDSLVSIRGPVVTPTSSPELGTSFTATEAGTSSVSSDPGTSPFFTSEMNGDLKKEGSLIIKENMDVDESSSDTDREHLSSASASLRFEPWMGEFISSYIQSSRHMEEGSRRNTNMAQESTTKALLDKFSRPDRKTGTGMRNYRTDVDLSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEEKRRLLVYEYICNGSLDSHLYGRHREPLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILITHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGIVLVELVTGRKAVDLNRPKGQQCLAEWARPLLEEYAIDELIDPQLGNRYSEQEVYCMLHAASLCIQRDPHSRPRMRQVLRILEGDMLMDASYTSTPGYDVGNQSGQIWAEQQQQQHYSGPPFKGRVQ >Manes.06G051200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18039870:18045463:-1 gene:Manes.06G051200.v8.1 transcript:Manes.06G051200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKKLYPIHKRFSSVITFRKAESIHTISRNSLISPLTSFQNTEELELLLQTCSDPSNLLQAKQIHAHSIVHGITNNGLLGAKILGTYVLCNNFVDAKKMFYQLKLYFAMPWNWMIRGFIKLGRSDFALLFYFKMLGCKVCPDKYTFPPVIRACSGLNNVRLGKMVHDTMLLMGFNVDEFVGSSLIKLYAENGCIEDARCLFGKMPHKDCVLWNVMLNGFVKCGKSNSAIQVFEEMRNSETKPNPITFASILSLCSSEAMLQFGTELHGLVVICGFQFDPLVANTLVAMYSKCGQLFDARKLFKIMPETSVVTWNGMIAGHVQNGFMNEASHLFSEMIAAGVKPDSITLASFLPSVVESANIKQGYVLNGLNYEALDTFRWLLEEKMCPNAVTLASILPACAGLATLKLGKELHANIIKNGLDGKCHVGSAVVDMYAKCGKLDLAHQVFSRMSEKDAVCWNAMITNCSQNGKPQEAIHLFHQMGMLGMSYNCVSISAALSACANLPALRYGKEIHGFMIKGTLNSDLFSESALIDMYGKCGNLSTARQVFEMMQEKNKVPWNSIIAAYGSHGHLEDSLALFHKMLQNGIQPDNITFLTILSACGHAGQVDKGIQYFRCMTEEYGIPAQMEHYACMVDLFGRAGRLNEAFETIKSMPFSPDGGVWGTLLGACRLHGYSELAEVASGHLLDLDPENSGGYVLLSNIHADAGQWGSVRRIRSLMKERGVQKVPGYSWIEINNITHMFFVADGSHPQSALIYSLLNNLLSEMRKEGYVPQSYIQMHPQTSALS >Manes.06G051200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18041127:18045463:-1 gene:Manes.06G051200.v8.1 transcript:Manes.06G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKKLYPIHKRFSSVITFRKAESIHTISRNSLISPLTSFQNTEELELLLQTCSDPSNLLQAKQIHAHSIVHGITNNGLLGAKILGTYVLCNNFVDAKKMFYQLKLYFAMPWNWMIRGFIKLGRSDFALLFYFKMLGCKVCPDKYTFPPVIRACSGLNNVRLGKMVHDTMLLMGFNVDEFVGSSLIKLYAENGCIEDARCLFGKMPHKDCVLWNVMLNGFVKCGKSNSAIQVFEEMRNSETKPNPITFASILSLCSSEAMLQFGTELHGLVVICGFQFDPLVANTLVAMYSKCGQLFDARKLFKIMPETSVVTWNGMIAGHVQNGFMNEASHLFSEMIAAGVKPDSITLASFLPSVVESANIKQGKEIHGYVLRHGVNLDIFLKSALIDIYFKCRDVKMACKIFNQSTLIDIVVCTAMISGYVLNGLNYEALDTFRWLLEEKMCPNAVTLASILPACAGLATLKLGKELHANIIKNGLDGKCHVGSAVVDMYAKCGKLDLAHQVFSRMSEKDAVCWNAMITNCSQNGKPQEAIHLFHQMGMLGMSYNCVSISAALSACANLPALRYGKEIHGFMIKGTLNSDLFSESALIDMYGKCGNLSTARQVFEMMQEKNKVPWNSIIAAYGSHGHLEDSLALFHKMLQNGIQPDNITFLTILSACGHAGQVDKGIQYFRCMTEEYGIPAQMEHYACMVDLFGRAGRLNEAFETIKSMPFSPDGGVWGTLLGACRLHGYSELAEVASGHLLDLDPENSGGYVLLSNIHADAGQWGSVRRIRSLMKERGVQKVPGYSWIEINNITHMFFVADGSHPQSALIYSLLNNLLSEMRKEGYVPQSYIQMHPQTSALS >Manes.06G051200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18039865:18045500:-1 gene:Manes.06G051200.v8.1 transcript:Manes.06G051200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKKLYPIHKRFSSVITFRKAESIHTISRNSLISPLTSFQNTEELELLLQTCSDPSNLLQAKQIHAHSIVHGITNNGLLGAKILGTYVLCNNFVDAKKMFYQLKLYFAMPWNWMIRGFIKLGRSDFALLFYFKMLGCKVCPDKYTFPPVIRACSGLNNVRLGKMVHDTMLLMGFNVDEFVGSSLIKLYAENGCIEDARCLFGKMPHKDCVLWNVMLNGFVKCGKSNSAIQVFEEMRNSETKPNPITFASILSLCSSEAMLQFGTELHGLVVICGFQFDPLVANTLVAMYSKCGQLFDARKLFKIMPETSVVTWNGMIAGHVQNGFMNEASHLFSEMIAAGVKPDSITLASFLPSVVESANIKQGKEIHGYVLRHGVNLDIFLKSALIDIYFKCRDVKMACKIFNQSTLIDIVVCTAMISGYVLNGLNYEALDTFRWLLEEKMCPNAVTLASILPACAGLATLKLGKELHANIIKNGLDGKCHVGSAVVDMYAKCGKLDLAHQVFSRMSEKDAVCWNAMITNCSQNGKPQEAIHLFHQMGMLGMSYNCVSISAALSACANLPALRYGKEIHGFMIKGTLNSDLFSESALIDMYGKCGNLSTARQVFEMMQEKNKVPWNSIIAAYGSHGHLEDSLALFHKMLQNGIQPDNITFLTILSACGHAGQVDKGIQYFRCMTEEYGIPAQMEHYACMVDLFGRAGRLNEAFETIKSMPFSPDGGVWGTLLGACRLHGYSELAEVASGHLLDLDPENSGGYVLLSNIHADAGQWGSVRRIRSLMKERGVQKVPGYSWIEINNITHMFFVADGSHPQSALIYSLLNNLLSEMRKEGYVPQSYIQMHPQTSALS >Manes.15G122200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9727117:9730373:-1 gene:Manes.15G122200.v8.1 transcript:Manes.15G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRISSEQAPVYKLGDSQMALSPKFRLAMIQSSLLNPTSEIELSLQGEPLIPGLPDDVALNCLLRVPVQSHAACRTVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKVQWRVLDLTHFSWHTIPVMPCKDRVCPHGFRCASLPLDGTLFVCGGMVSDVDCPLDLVLKYEMQKNRWTVMNQMIAARSFFASGVIDGMVYVAGGNSTDLFELDSAEVLDPVKGNWRPIASMGTNMASYDAAVLGGKLLVTEGWLWPFFVSPRGQVYDPRTDRWENMAVGLREGWTGSSVVVYGRLFVVSELERMKLKVYDMETDSWETIEGPPLPEQICKPFAVNACNSKIYVVGRNLHVAVGYISKLKQKSSCEKKLSFSVTWHVVDPPDGFSDLTPSSSQVLFA >Manes.02G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1327995:1328441:-1 gene:Manes.02G013300.v8.1 transcript:Manes.02G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKVAFGVLTVAIALIFVIGSPAVQALSPAPAPAPTSDGTSIDQGIAYVLMLVALVLTYLIHAADFGF >Manes.04G009400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1214992:1219942:-1 gene:Manes.04G009400.v8.1 transcript:Manes.04G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESPKFIIPEVFQVSRGEFGDQLGLIWELVKAPLIVPLLQLGVYICLTMSLMLFMERLYMGVVIILVKLFFKKPDKRYKWESLQEDEESGNSDFPVVLIQIPMFNEREVYKVSIGAVSNLSWPADRLVIQVLDDSTDPEIKQMVEQECQRWASKGVNIRYQIRENRGGYKAGALRDGLKKGYVKHCQYVAMFDADFQPDPDFLKRSIPFLVHNPEIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRCSLRGWKFLYLGDLHVKSELPSTFKAFRYQQHRWSCGPANLFRKMVMEIVRNKKVRFWKKVYVIYSFFFVRKIIAHMVTFWFYCVVLPLTILVPEVQVPIWGAVYIPSIITILNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLLGAGRANEWVVTEKLGNTLQKNADAAKNKTSVKTARRPRFKFTDRINKLELGFAAFLFLCGCYDFVHGKNNYFVYLFLQTVTFFITGIGYVGTIIPSS >Manes.09G077774.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:21492134:21492713:-1 gene:Manes.09G077774.v8.1 transcript:Manes.09G077774.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIARLSNLQFINISNNQFNGGLDWKYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYFDLSSNYFYGKIP >Manes.01G219950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38832343:38832937:1 gene:Manes.01G219950.v8.1 transcript:Manes.01G219950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFNSLSYNLHIYFVLQLYRSLALIYKSMIAMGNLNSVSKIVALRLSETCTMFLTFLSNFSEIITCLRCASFLFLRASSLFLLAISCSSTIQEGNPFFFCNEEKKPGYNLY >Manes.02G078600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6068514:6078349:-1 gene:Manes.02G078600.v8.1 transcript:Manes.02G078600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFFCCSSFFSFDSLPQTNSMQSVPDLQKSMYLIVDGYPCVRLLNLSGEIGCANPGRDKVVSPVIRFKNANELARSSAVFVSLDEIQELFDRISNDSSFAKNVGGVLVDARTNMRNKITGFSPAHKFPEVEFAPYKSISYEWNPIGSGIMWKAYNFPVFLLPESSSQIMQEIATKNERQKNAYTADVAEFDLVMQTTKSGTRDSESCLREQTCLPLGGYSVWSSIPPINSTSSNQSKPIILVVASMDSASFFRDKSLGAESPISGLISLLAAVDSLSSVDGLGDLSKQFVFSVFTGEAWGYLGSRRFLLELDLQSDSVNGLNGTQIEMVIEIGSVGKGFNGGNKTFFAHTAGGSSTTKEMLNALKHAQDSLESKNVMVATASTTNPGVPPSSLMAFLRKNSSTSGIVLEDFDTAFANKFYHSHLDDMSNVNSSAIVAAASLIARTLYILASGSKNLSSSALSAINVNASLVEEMMGCLLNCDPGLTCELVKNYISPTASCPSHYVGVVIGEPSSTPYLGYVNDVSRFIWNFLADRTSIPMDNATYDCSKGCSNKDELCIKAETNGKGVCVISTTRYVPAYSTRLKFESGMWNVLPSNPSDTMGMVDPVWTESNWDAIGLRVYTVQDATFDRLVLLGGIGVTILAYLAIVITRAFITKALKRD >Manes.02G078600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6068514:6078349:-1 gene:Manes.02G078600.v8.1 transcript:Manes.02G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLIYVYLLLLLFIFQFRLSPSEQTNSMQSVPDLQKSMYLIVDGYPCVRLLNLSGEIGCANPGRDKVVSPVIRFKNANELARSSAVFVSLDEIQELFDRISNDSSFAKNVGGVLVDARTNMRNKITGFSPAHKFPEVEFAPYKSISYEWNPIGSGIMWKAYNFPVFLLPESSSQIMQEIATKNERQKNAYTADVAEFDLVMQTTKSGTRDSESCLREQTCLPLGGYSVWSSIPPINSTSSNQSKPIILVVASMDSASFFRDKSLGAESPISGLISLLAAVDSLSSVDGLGDLSKQFVFSVFTGEAWGYLGSRRFLLELDLQSDSVNGLNGTQIEMVIEIGSVGKGFNGGNKTFFAHTAGGSSTTKEMLNALKHAQDSLESKNVMVATASTTNPGVPPSSLMAFLRKNSSTSGIVLEDFDTAFANKFYHSHLDDMSNVNSSAIVAAASLIARTLYILASGSKNLSSSALSAINVNASLVEEMMGCLLNCDPGLTCELVKNYISPTASCPSHYVGVVIGEPSSTPYLGYVNDVSRFIWNFLADRTSIPMDNATYDCSKGCSNKDELCIKAETNGKGVCVISTTRYVPAYSTRLKFESGMWNVLPSNPSDTMGMVDPVWTESNWDAIGLRVYTVQDATFDRLVLLGGIGVTILAYLAIVITRAFITKALKRD >Manes.15G122700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9782794:9783500:1 gene:Manes.15G122700.v8.1 transcript:Manes.15G122700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNCNLELRLFPTSDQDHHRITEEYSKEQQQQQITIFYNGNVCAGDVTEQQARAILMLARQETEAKMRINSSGSSSSSSTSSSEQIVSPTVASPPPVYSPNMKISLQRFLEKRNHRIQTTYPYNINRRPHMCRVDH >Manes.17G113200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32007453:32009967:-1 gene:Manes.17G113200.v8.1 transcript:Manes.17G113200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVHGTLSLKLLVYNIILYICTSFSFYRNPSLSSKKIKAYKKTDNRSSLAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKHIKQEEAFSGQSSCEINEHPSTSLQASAMMETYSPSSYQESTMEAFPAETLAPDQSTDNYWSMEDLWSMQLFNGDYLINSRVN >Manes.17G113200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32007454:32009966:-1 gene:Manes.17G113200.v8.1 transcript:Manes.17G113200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSHYLSHSLSLFLFHSLSVSLKKMDKKPCISEEAEVRKGPWTMEEDMILINYIANHGEGAWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKHIKQEEAFSGQSSCEINEHPSTSLQASAMMETYSPSSYQESTMEAFPAETLAPDQSTDNYWSMEDLWSMQLFNGDYLINSRVN >Manes.18G061700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5432523:5436393:-1 gene:Manes.18G061700.v8.1 transcript:Manes.18G061700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNQNKHLADHTIETQSQPQESQIPEKQNPHTSEYAPYPKLDPNDVAPPPPQNFGNASMGPSPQSHPAPAEGPAPIAGAAATTMPAESNPYVSPAPVASSSSKNKMEAVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQQTKVLAEGGYEKIFRQTFDTVPEEQLQKTYACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYQVGEQTQWSYYKVVLPLHQLKAVNPSASKAKPGEKYIQLISVDNHEFWFMGFVHYDSAVKNLQGVLQNRSL >Manes.S001962.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:4679:4846:1 gene:Manes.S001962.v8.1 transcript:Manes.S001962.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.03G083700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20889667:20890706:1 gene:Manes.03G083700.v8.1 transcript:Manes.03G083700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDRSLNTGVVVDHKHHHHHHQHQPQPQPQPPQYGTFQGVANYPPPLPSHHQPVIGFPQPAPPPGATEPSAPLPPHASPYYAQGYQTVPGYAVAEGRPVRERRLPCCGIGVGWLLFIIGFFLGAIPWYVGLFVLLCARIDPREKPGYIACTIAVSDSSIS >Manes.03G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20889478:20895584:1 gene:Manes.03G083700.v8.1 transcript:Manes.03G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDRSLNTGVVVDHKHHHHHHQHQPQPQPQPPQYGTFQGVANYPPPLPSHHQPVIGFPQPAPPPGATEPSAPLPPHASPYYAQGYQTVPGYAVAEGRPVRERRLPCCGIGVGWLLFIIGFFLGAIPWYVGLFVLLCARIDPREKPGYIACTIAAVLATVAIILGVTKGVED >Manes.08G005600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:949742:951507:-1 gene:Manes.08G005600.v8.1 transcript:Manes.08G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPTTEIHLPPGFRFHPSDEELIVYYLKNKVDSRPLPASIIAELDLYKYNPWDLPKKASFGEDEWYFFTPRDRKYPNGARPNRAAASGYWKATGTDKPILTSCGTTNIGVKKALVFYKGRPPKGIKTDWIMHEYRLLETLAWNPKRKGSMRLDDWVLCRVRRKSSLPGSSWEDRNVPSYEPAGGYFPIVMNDPSILYNDCPMLPYIFASQNFSCNEKASAFRFQTNDKPCTSLISDEKNLQFSITSLDHLQKSCVLPSKKITKVNIESEDTVKTISDNESMNFYGTDLSEGSHVGSVQWDSLMQHQDLHHLAFTGNE >Manes.06G034309.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10041520:10042987:1 gene:Manes.06G034309.v8.1 transcript:Manes.06G034309.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISSAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCHTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.08G171100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40512975:40517428:-1 gene:Manes.08G171100.v8.1 transcript:Manes.08G171100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGIVAVFLLFFIVADVSDASLLSAFRRFVAVASMGSFGTSHISPSPGPDPLLIGTKTTSNGTSSKERKVPNDLNKVDSGSKGEDEKAHGTTTIKESKTDSQSEVGQNCTGMTRRCKDQDKLVACILYSETGCNGCYTFKFFYFYYLGGGGGESVIMVKQVMVPNMLERISLTAGNGNEVILKAGRGECILHTDLPVSQGNTFLSLPSYDKLTTPINGVSACCFFRKRKQQNGIPYQELEMGLPESSVVYDVETAEGWDEGWDDECGGENAVKSPSGHHSGSISANGLISRSLKKGEGENWDD >Manes.17G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31582779:31587254:-1 gene:Manes.17G109400.v8.1 transcript:Manes.17G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYKLITDDLNGLLLLSPFPHRENVEILKLPTRKGTEIVAMYIRHPMATSTLLYSHGNATDLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEKSCGTKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEQFPEYIRHLKKFISTVEKPPSQRYTSRRSTDQFEQPRKSTDVFEVSRKSTDRREKPRHSTDRPEKLKNQSNHADKLEKLKNQSSNADKLEKLRVSFDQRSRKSVDCHEKSQKCIDHQLERARKSVDRLDRIRTG >Manes.17G109400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31580835:31587210:-1 gene:Manes.17G109400.v8.1 transcript:Manes.17G109400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYKLITDDLNGLLLLSPFPHRENVEILKLPTRKGTEIVAMYIRHPMATSTLLYSHGNATDLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEKSCGTKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIIHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEQFPEYIRHLKKFISTVEKPPSQRYTSRRSTDQFEQPRKSTDVFEVSRKSTDRREKPRHSTDRPEKLKNQSNHADKLEKLKNQSSNADKLEKLRVSFDQRSRKSVDCHEKSQKCIDHQLERARKSVDRLDRIRTGHQPQ >Manes.15G176200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19561005:19563099:-1 gene:Manes.15G176200.v8.1 transcript:Manes.15G176200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGKKRMAVLVGCNYPKTKNELHGCINDVVAMRDVLINRFGFQPAHVQLLTDAPGSSSSPPLVMPTGANIKKALDQMVDEAQPGDVLYFHYSGHGTRIPSLKPGHPFRQDEAIVPCDFNLITDMDFRQLVNRLPKGTSFTILSDSCHSGGLIDKEKEQIGPNSLIKSNANKQISHKPKAIPYESILQHLTSLTGINTTDIGTHLLECFGANASLSFRIPMLELDNFLQVLKSDEGILLSGCQANETSADMNPVESGGKAYGAFSNAVQMVLKEHSGELISNRQLVMMARQVLEVQGFEQHPCLYCSDENADAVFLWQPESQSQC >Manes.16G098800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30487613:30489346:-1 gene:Manes.16G098800.v8.1 transcript:Manes.16G098800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRSSSPSLLLNLPLLILLLSIFAFFLFFFIAVPSFLSSTHTKLRPNAVKKSWDSLNIFLVLFAIICGIFARRNDDDSAVGDDASNANRNALHGVHGNKEELHHGSNQWFDQFAAATPATGGMRRLKRSSSSYPDLRQDSFWESADDRSRFYDDFELSKYRSSASSEYMYHRRQRSVFEEPNFKEIPVDTYVLRSPPRSPSPLPPPKSPAPPPPPPPPPPPGASNQKQRRTYRTVPRTEKIEKAEKNETEFSRHENSPPTPPPPPPPPRPPPPPSVIMATRSERKHWRKKTNPTKELKMALISLYHQSKRKKKQKTKSLYDDTPQYQPESPSFRIPPPSPPPPPPPPPPPPPPSMFHNLFRKGSKNKRIHSFSAESPPPPPPPPPPRQSLSTSKRWSKRKIQVPPPPPPPPPPPAPATPPRAPSRRRNYTTTGRPPLPTRSNNSYEENVNNGGQSPLIPMPPPPPPPPFRVPGFKFTVKGDYVNIRSSHSSRCSSPEPEELDKQTTERVSVMEGGEASGGSVFCPSPDVNAKADTFIARLRDEWRLEKINSMKEKRSMSLGPEGSPSTGPSTTWS >Manes.09G151800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34908080:34910705:-1 gene:Manes.09G151800.v8.1 transcript:Manes.09G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGSETEVTWDDQQNINKFGRLNNRFHELEDEIKIAKETNENLEDASNELILTDEEVVRFQIGEVFAHVPKEEVETRIEQMKEVTSKNLEKLEEEKDSILAQMAELKKVLYGKFGDSINLEED >Manes.09G151800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34908080:34910524:-1 gene:Manes.09G151800.v8.1 transcript:Manes.09G151800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGSETEVTWDDQQNINKFGRLNNRFHELEDEIKIAKETNENLEDASNELILTDEEVVRFQIGEVFAHVPKEEVETRIEQMKEVTSKNLEKLEEEKDSILAQMAELKKVLYGKFGDSINLEED >Manes.09G081709.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20857794:20860043:-1 gene:Manes.09G081709.v8.1 transcript:Manes.09G081709.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTFSSPSKMGVLALSPTKVGAPASTMSVHFHPPSASVRLKIYCQKTEASAETLHKRLPPGPMKLPIIGNLHNLAGGQPHHVLAELAKEYGPLMHLQLGEISAVVVSNPRMAQEVMKTHDLIFAQRPEILASKIVTYGGLDIAFSPLGDYWKQMKRLSLTELLGPKRVASFSSLREDEVSKLIDSVERSAGRVINFSEKIFHLTNVITCKAAFGDECEDQDTVIALTKEATQLAGGFGIADLYPSVEFLHVISGVKGKLERLRDELGRVFGNIIDEHKKKLMSKSSSELESEKEDLVDVLLKLQGSGKLQCPVTSNSLKAVILDLFTAGTDTSSTTVEWAMSEMIKDQRVLKKAQDEVREAFKGKKTIRESDVQQLKYLPLVLKETLRLHPPAPLLLPRESSQSCVIDGYELPVKTKVIVNAWAIGRDPESWPDADTFKPERFMESSVDFKGMDFEFVPFGAGRRICPGIAFGLANMELPLARLLYHFDWKLPEGVTPENLDMTEAFGATVGRKNGLQLIPIPYKPSMDDSSQLLEAMKVLN >Manes.09G143200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34132479:34137011:-1 gene:Manes.09G143200.v8.1 transcript:Manes.09G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLSSFLQVLFDKLASSKLEEYGLWLVAQEELENLESSLSTIAAVLEDAEERQVKDKAVQNWLMKLKDAVYDADAVVDEFATKALQQKDINKRLNAIALERVNFHFREGTRDVEKEDDEWRQTHSFVIESEVFGREKDKAEIVDMLIGRGNGEDLSVIPIVGMGGIGKTTLAQLAFNDEQVKGSFKLRMWVCVSEDFDVQRLTKAIIEAATRERCDLLGMDLLQTCLRERLAGEKFLLVLDDVWSEDYEKWDRLRTLLRGGAKGSKIIVTSRSTRVAAVMGSLPTCYLARLSDDDCWNLFRKRAFGNGGAEETPSMVAIGKEIVNKCGGVPLAIKTLGSLMHSRREEQEWLYVKDNELWKLPQEREGILPALRISYNHLPPYLKRCFAYCAVFPKDYDINKERLIQMWIAEGLVEPSDADEQLESVGNNYFYYLLWRSFFQVAGEDEDGSIVSCKIHDLMHDLAQFVAGVECSTIEAGSRQIIPKRTRHMSLICNTRKLEFPKCLYKAKNLHTFLALTERQEAVQVPQTLFSTFKQLHVLILSGAEMKHLPNSIGKLIHLRFLDLSHTDIEALPKSLSSLVNLHTLNLSYCFELQELPKTTSNLICLRHIIIDHCHSLSKMPPRIGKLTSLQTLSQFIVGKERGCRLEELKLLNLKGELAIKSLENVTYRREAMQANLQHKHNLSLLKLSWEHDYIGRYAVDVCETVLEALKPHENLKKFHLKRYMGTRFPTWMMDAILTKLVEIKLKNCKNCELLPPLGQLPVLKYLYITGMDAVTCIVKEFYGNGATKGFPFLKHLEICDMPNLEEWLNFDEGEVLVHIKKLVVKGCPKLRSMPHSLPSLEELELRDSNEMLLSALPSLTSLTSLRICEFSEVSSLQGEVENLTNLKSIHIELCDNLVSLPWGLSNLTCLEFLGIWGCPLLTSLPEIKGLVSLRELSILNCMALSSLAGLQHLTALEKLNIEGCPDLVHFPQEGTQNLNALRSLRMSHCPCFTSLPVGLQYITTLKDLHILDFPSLQTLPDWIENFQSLRELSVWSCPNITSLPNAMQQLTSLEYLSIWQCPNLEQRCEREEGEDWHKIEHIPEIDVKGQSITIEQQKAVNETGKGMHLQRLVHGAKKTRTAMVDSVKAAQASFLQNAKKMKLAITGPMTTEARDH >Manes.11G046334.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:4959249:4959473:1 gene:Manes.11G046334.v8.1 transcript:Manes.11G046334.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADQLLLLDLHPSPFAARVRIALAEKGLKYESKEEDLSNKSPLLLKMNPVQKQIPVLIHNGRPICESMSIVE >Manes.04G130700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33247814:33251378:-1 gene:Manes.04G130700.v8.1 transcript:Manes.04G130700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTAMIPFLRPLQCNQMTQEICENPTTLQMAILVISMGLVSIGAGGIRPCSLAFGADQLVKRSDSKNKGLLESYFGWYYACTAIAVLLSMTVVVYIQERYGWKVGYAVPAILMFLSVFLFFVASPLYFKQKERTNLLTGFAQVLVAAYKNRKVPFPPKDAYNKYYHKKNSESSVPTENLRFLNKACIITNPEQDLASDGSEPNSWSLCTVERVEELKVIIRVIPIWSTGIMISINVSQGSLQVFQAISMDRHLTPNFEIPAGTFAMFLIISVIAWIVLYDRVIIPLASKIKGEPVRLDVKLRMGIGIFFSCMAMVVAGIVENIRRRKAIMEGHLNNPQAVVQMSALWLIPQFCFHGLAEAFNSIAQSEFFYSEFPKSLSSIAGALSGLGSSVANLLATVILSVVNQSTSKGGKDGWVPDNINKGRYDKYYGLLAIMSFLNLLYFVFCCWAYGPSKDQSSNFGDDDQEEEE >Manes.04G130700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33247814:33251378:-1 gene:Manes.04G130700.v8.1 transcript:Manes.04G130700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTAMIPFLRPLQCNQMTQEICENPTTLQMAILVISMGLVSIGAGGIRPCSLAFGADQLVKRSDSKNKGLLESYFGWYYACTAIAVLLSMTVVVYIQERYGWKVGYAVPAILMFLSVFLFFVASPLYFKQKERTNLLTGFAQVLVAAYKNRKVPFPPKDAYNKYYHKKNSESSVPTENLRFLNKACIITNPEQDLASDGSEPNSWSLCTVERVEELKVIIRVIPIWSTGIMISINVSQGSLQVFQAISMDRHLTPNFEIPAGTFAMFLIISVIAWIVLYDRVIIPLASKIKGEPVRLDVKLRMGIGIFFSCMAMVVAGIVENIRRRKAIMEGHLNNPQAVVQMSALWLIPQFCFHGLAEAFNSIAQSEFFYSEFPKSLSSIAGALSGLGSSVANLLATVILSVVNQSTSKGGKDGWVPDNINKGRYDKYYGLLAIMSFLNLLYFVFCCWAYGPSKDQSSNFGDDDQEEEE >Manes.04G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33247814:33251378:-1 gene:Manes.04G130700.v8.1 transcript:Manes.04G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEKGNVSGKVTTHQHSSTRRRKGGLITIPFILANDSFERVASHGLLPNMVFYLTKEYHMSVTQATTTIFLWNAATNFTPLLGALISDSYLGRFLTIGIGSTFSLLGTILMWLTAMIPFLRPLQCNQMTQEICENPTTLQMAILVISMGLVSIGAGGIRPCSLAFGADQLVKRSDSKNKGLLESYFGWYYACTAIAVLLSMTVVVYIQERYGWKVGYAVPAILMFLSVFLFFVASPLYFKQKERTNLLTGFAQVLVAAYKNRKVPFPPKDAYNKYYHKKNSESSVPTENLRFLNKACIITNPEQDLASDGSEPNSWSLCTVERVEELKVIIRVIPIWSTGIMISINVSQGSLQVFQAISMDRHLTPNFEIPAGTFAMFLIISVIAWIVLYDRVIIPLASKIKGEPVRLDVKLRMGIGIFFSCMAMVVAGIVENIRRRKAIMEGHLNNPQAVVQMSALWLIPQFCFHGLAEAFNSIAQSEFFYSEFPKSLSSIAGALSGLGSSVANLLATVILSVVNQSTSKGGKDGWVPDNINKGRYDKYYGLLAIMSFLNLLYFVFCCWAYGPSKDQSSNFGDDDQEEEE >Manes.07G054400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7355747:7361959:1 gene:Manes.07G054400.v8.1 transcript:Manes.07G054400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVANCTCCQHVPPLDYLPICPSRSHPFHCCRCVPSCPFCIQNKLAFGYCVPSCYFCPQRFTALDRPTGGETPGRGGQGEPDRSTPDGPTGGKTPGRGGRGEPDRCTPDGPTGGKTPGRGGRGEPDRSTPDGPTGGDTPGRGGRGEPDRSTEDTIPFPISTNPSWSNPKLYGWGFQHCLVNVGSSLLVSSIMVNIAGGGNVEKAKAVQASLFAVGINTMLQIWIGTRLSVSMESSQAYIIPIISIALSTFSNYSDSLDSHQVAKCIEIGLPALAILVFLTQFLPRIWKAKKEMVGQVAIVLSVSIVWIYAEILTAAGAYDNTTQQTQTYCRTDSSGLIDAAPWIKIPRPFQWGTPIFEAGDALSMMAASLVAVIESSGTFLASSKLSGAPPIPPSALTRGIGTQGIGTMIDAVFGTGNGSTASVEDAGLLGLTQVGSRRVVIVSAIFLVFLSILGKVGAFFASIPLPIVGALHTLLFPYVASTGLEYLEYCNVNSFRSKLILGFSLFMGLSVPQYFKEYVFLTGHGPVHTGSTWFNDLIQVIFSSPPTVALIVAFFLDRTHTPRARSTWKDSGRHFKKQSDESEKTREIYDLITSLGDMCS >Manes.07G054400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7357316:7361958:1 gene:Manes.07G054400.v8.1 transcript:Manes.07G054400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIAGGGNVEKAKAVQASLFAVGINTMLQIWIGTRLSVSMESSQAYIIPIISIALSTFSNYSDSLDSHQRYEEFMRRVQGASLISSIFQMVIGFSGLGKYFSRHLSPLASVPLVTLTGLGLYVRGFPLVAKCIEIGLPALAILVFLTQFLPRIWKAKKEMVGQVAIVLSVSIVWIYAEILTAAGAYDNTTQQTQTYCRTDSSGLIDAAPWIKIPRPFQWGTPIFEAGDALSMMAASLVAVIESSGTFLASSKLSGAPPIPPSALTRGIGTQGIGTMIDAVFGTGNGSTASVEDAGLLGLTQVGSRRVVIVSAIFLVFLSILGKVGAFFASIPLPIVGALHTLLFPYVASTGLEYLEYCNVNSFRSKLILGFSLFMGLSVPQYFKEYVFLTGHGPVHTGSTWFNDLIQVIFSSPPTVALIVAFFLDRTHTPRARSTWKDSGRHFKKQSDESEKTREIYDLITSLGDMCS >Manes.07G054400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7355747:7361958:1 gene:Manes.07G054400.v8.1 transcript:Manes.07G054400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVANCTCCQHVPPLDYLPICPSRSHPFHCCRCVPSCPFCIQNKLAFGYCVPSCYFCPQRFTALDRPTGGETPGRGGQGEPDRSTPDGPTGGKTPGRGGRGEPDRCTPDGPTGGKTPGRGGRGEPDRSTPDGPTGGDTPGRGGRGEPDRSTEDTIPFPISTNPSWSNPKLYGWGFQHCLVNVGSSLLVSSIMVNIAGGGNVEKAKAVQASLFAVGINTMLQIWIGTRLSVSMESSQAYIIPIISIALSTFSNYSDSLDSHQRYEEFMRRVQGASLISSIFQMVIGFSGLGKYFSRHLSPLASVPLVTLTGLGLYVRGFPLVAKCIEIGLPALAILVFLTQFLPRIWKAKKEMVGQVAIVLSVSIVWIYAEILTAAGAYDNTTQQTQTYCRTDSSGLIDAAPWIKIPRPFQWGTPIFEAGDALSMMAASLVAVIESSGTFLASSKLSGAPPIPPSALTRGIGTQGIGTMIDAVFGTGNGSTASGRRWSIGTNTSRKSEGRYSISNLSGFPFHIRKSWGLFRFNTIANCGSSPHSPLPLCGFNWS >Manes.07G054400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7355747:7361958:1 gene:Manes.07G054400.v8.1 transcript:Manes.07G054400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVANCTCCQHVPPLDYLPICPSRSHPFHCCRCVPSCPFCIQNKLAFGYCVPSCYFCPQRFTALDRPTGGETPGRGGQGEPDRSTPDGPTGGKTPGRGGRGEPDRCTPDGPTGGKTPGRGGRGEPDRSTPDGPTGGDTPGRGGRGEPDRSTEDTIPFPISTNPSWSNPKLYGWGFQHCLVNVGSSLLVSSIMVNIAGGGNVEKAKAVQASLFAVGINTMLQIWIGTRLSVSMESSQAYIIPIISIALSTFSNYSDSLDSHQRYEEFMRRVQGASLISSIFQMVIGFSGLGKYFSRHLSPLASVPLVTLTGLGLYVRGFPLVAKCIEIGLPALAILVFLTQFLPRIWKAKKEMVGQVAIVLSVSIVWIYAEILTAAGAYDNTTQQTQTYCRTDSSGLIDAAPWIKIPRPFQWGTPIFEAGDALSMMAASLVAVIESSGTFLASSKLSGAPPIPPSALTRGIGTQGIGTMIDAVFGTGNGSTASVEDAGLLGLTQVGSRRVVIVSAIFLVFLSILGKVGAFFASIPLPIVGALHTLLFPYVASTGLEYLEYCNVNSFRSKLILGFSLFMGLSVPQYFKEYVFLTGHGPVHTGSTWFNDLIQVIFSSPPTVALIVAFFLDRTHTPRARSTWKDSGRHFKKQSDESEKTREIYDLITSLGDMCS >Manes.17G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24458534:24462455:1 gene:Manes.17G047500.v8.1 transcript:Manes.17G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKAEGKRRKEVEDEEDEEDEEDASGLGFGDDEKKKRGKKGSNACGSMAAAVSCQAENCTVDMTDAKRYHRRHKVCEFHAKAPVVLVSGIHQRFCQQCSRFHELSEFDDNKRSCRSRLKGHNERRRKSSSDYHGEGSY >Manes.17G047500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24458534:24460381:1 gene:Manes.17G047500.v8.1 transcript:Manes.17G047500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKAEGKRRKEVEDEEDEEDEEDASGLGFGDDEKKKRGKKGSNACGSMAAAVSCQAENCTVDMTDAKRYHRRHKVCEFHAKAPVVLVSGIHQRFCQQCSRFRSKDKSFEN >Manes.15G031700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2472881:2477007:-1 gene:Manes.15G031700.v8.1 transcript:Manes.15G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFQVKNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQGDEDHAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMIAQASSSDLKDLVRKFIPEIIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLERPADETMAEAPAEAAA >Manes.13G067200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8559427:8560132:1 gene:Manes.13G067200.v8.1 transcript:Manes.13G067200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAILSIAKRAATTVQNSASAAPNSKLFVAGLSWSVDEKSLKDAFSSFGDVTEVKITYDKDSGRSRGFGFVSFSKVDEAVCAKDAMDGKALLGRSLRISFALERVRGGPVIVPRLSDSGDHYNRNG >Manes.12G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:537926:545206:1 gene:Manes.12G003500.v8.1 transcript:Manes.12G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRMYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEARAKGVDNYDRELEDVIDRLIVECDKKISRALKRLEAEDAKAAIAISVSEVTQSPEIIELSKQIKEKLKEADKYDLEGKTDFKIQAMEEVEKLRSERAEKQSALLLEAFNKDRASLPQPLPNPPPLAPLPVAAPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPALDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQEERNKLLKGDRHDDRRSKERSRDREREPNKDRDQGDSHDRERDYDRRSRDRDRHYDRDRGYDRDRDRDRSRSYDSRSRHRSRSRSRERSRDYDRHRRHDRY >Manes.01G238151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40013580:40014546:1 gene:Manes.01G238151.v8.1 transcript:Manes.01G238151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEERESNTMVAPASPTGRNITEEDSQLLAYMESFNGINERDYSLNSALQWPTYYSYH >Manes.15G146000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11993898:12002336:-1 gene:Manes.15G146000.v8.1 transcript:Manes.15G146000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSKILPAARSTKEEEYDDYNEYSCSFAMEYSGPSISHEIPRALPIDVSQIPIAVKLASASSLNDVSLPVIQPIRKSKASDKKPSKELKVGTNGVLNSHDVRSESSNGLDKLSTLGCADGNECGSKLSNVIPSSGRLELENGDKCGTKLPDEKQSSDKMGFCNGCDNSHELSGRLQRMESSDDSNDAGGADFQNYMNPTNCESVESDLTSNSVSSEIFSGKEEDCVESTPCHVKRPSTVTFRDPESSSVTEDESDISTSESDIPARKMAVRPGKKGACYRCLKGNIFTEKEVCIVCGAKYCSKCVLRAMGSMPEGRKCVTCFGHKIDEGKRKTLGKCSRMLKQLLPAVEVEQVMSSERSCEVNQLPPELVYVNCQRLSAQELFQLQNCRNPPKKLKPGFYWYDKVSGFWGKEGQKPCQIITPQLNIGGHIQRGASNGDTSILINNREITKTELLMLKMIGVKCEGATHFWVSADGSYQEEGMNNVKGKIWERKRARLICAAFSLPTPPDSDSPSGEEGNSAVPKGFDQKILNKLLLVGYGKSGTSTIFKQAKIVYGIPFSEDERQNIKLMIQSNLYGYLGLLLEERERFEEESLIKKKRHFIDQCSSSDNAGQVTDKTIYSIGPRLKAFSDWLLKVIESGNLEAIFPAATREYALFVEDLWNDAAIQATYSRRLLRFQRQTMSLLIWTSCMLRA >Manes.15G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11993345:12002355:-1 gene:Manes.15G146000.v8.1 transcript:Manes.15G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSKILPAARSTKEEEYDDYNEYSCSFAMEYSGPSISHEIPRALPIDVSQIPIAVKLASASSLNDVSLPVIQPIRKSKASDKKPSKELKVGTNGVLNSHDVRSESSNGLDKLSTLGCADGNECGSKLSNVIPSSGRLELENGDKCGTKLPDEKQSSDKMGFCNGCDNSHELSGRLQRMESSDDSNDAGGADFQNYMNPTNCESVESDLTSNSVSSEIFSGKEEDCVESTPCHVKRPSTVTFRDPESSSVTEDESDISTSESDIPARKMAVRPGKKGACYRCLKGNIFTEKEVCIVCGAKYCSKCVLRAMGSMPEGRKCVTCFGHKIDEGKRKTLGKCSRMLKQLLPAVEVEQVMSSERSCEVNQLPPELVYVNCQRLSAQELFQLQNCRNPPKKLKPGFYWYDKVSGFWGKEGQKPCQIITPQLNIGGHIQRGASNGDTSILINNREITKTELLMLKMIGVKCEGATHFWVSADGSYQEEGMNNVKGKIWERKRARLICAAFSLPTPPDSDSPSGEEGNSAVPKGFDQKILNKLLLVGYGKSGTSTIFKQAKIVYGIPFSEDERQNIKLMIQSNLYGYLGLLLEERERFEEESLIKKKRHFIDQCSSSDNAGQVTDKTIYSIGPRLKAFSDWLLKVIESGNLEAIFPAATREYALFVEDLWNDAAIQATYSRRHEFESLPRVATYFLERAVEISKTDYEPSDMDILYAEGITSSRGLSSVEFSFPMPDDDSYEHDEDDPLMRYQLIRVHPKILGGNCKWLEMFEDVDMVLFCVSLIDYDEFVEDSNGVQTNKMMASKHLFESIVTHPTFEDKKFLLILNKFDLLEEKIEQTPLTRCEWFNDFNPVIGHNPNTCSTSTSKSTNPSLAQRAFQYIAVKFKRLFHSLTDEKLFVSLVTALEPANVNEALMYARVILNWDQEDPIEMSSASIEEASASLEASSSS >Manes.02G121000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9237723:9240069:-1 gene:Manes.02G121000.v8.1 transcript:Manes.02G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVSVFTKFLSIFILLLHLGCFIFTAKDHKPPTKKRKVSLLSPISSSSTRLKPRRALSSSWFYLKRIFSSKTYKTTSSQAQSPVPTLASARSSQHSIVSMIPPEDHASVIPPHKKPSGSCQESDISTNDQFFPLRNDIFPCTACGEIFQKPQLLEQHQAIKHAVSELQDGDSGKNIVHIIFKTGWSCKEKNPEIHRILKIHNGPKILSRFEEYRELVKAKAARNGGVKRRDERCIADGNELLRFFCSTFMCDLGANENSSICNQQYCSVCGIIKSGFSPKMDGISTLSSSWRAHMTIPEDVEEEFKFMNVKRAMLVCRVLAGRVGCELEEEDKEDGGFDSVVARGGSGIHSRVDEEDLVVFNPRAVLPCFVIVYTV >Manes.14G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9824144:9829325:1 gene:Manes.14G124000.v8.1 transcript:Manes.14G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFRLLVLCLFVLSAMGQLPSQDILALLEFKKGIKHDPTGYVLSSWNDESIDFDGCPSSWNGVVCNGGNVAAIVVDNLGLSAEADLSVFANLTKLVKLSMSNNSMTGKIPDNIGDFKSLEFLDVSNNLFSSSLPAGFGKLGSLKNLSLAGNNFSGSIPDTISGLVSIQSLDLSRNSFSGSLPQSLTRLNNLMYLNVSSNGFTRRIPRGFEMISGLQVLDLHGNTFDGHLDGEFFLLTNASHVDFSSNMLVSSNPDKLMPGLSESIKYLNLSHNQLTGSLISEGELRLFASLKVLDLSYNQLSGDLPGFDFAYDLQVLRLSNNRFSGFIPNDLLKGDSVLLTELDLSANNLSGPVSMIMSTNLRVLDLSSNGLVGELPLLTGSCAVLDLSSNQFEGNLTRIAKWGNIEYLDLSHNRLTGPIPELLPQFLRLNYLNLSHNSLTSAVPKVVAQYPKLKILDLSSNQLDGVLLTDLLTLPTLQELHLENNVLSGPIEFSPPNSESNLQVIDLSRNKLGGYFPDGFDSLTGLQVLNIAGNNFSGSLPTSMADMTSLSMLDLSQNHFSGPLPNNLSNSLGSFNVSYNDLSGSVPENLRRFPSSSFYPGNARLRVPSGPPGSSNFPAENSRRKPINTIVKVVVIVSCVIAVIILIMLAIFINYIRISRRPPPDHVSSKGILRRTPTNPSGISGTESGGALVVSAEDLVASRKGSSSGIISPDEKIASVTGFSPSKHSHLSWSPESGDSVAAETLARLDVRSPDRLVGELYFLDDTITLTPEELSRAPAEVLGRSSHGTSYRATLDNGMFLTVKWLREGVAKQKKEFAKEAKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLSSFLYDRPGRKGPPLTWAQRLKIAVDIARGLNYLHFDRAVPHGNIKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPIPSFKSDVYAFGVILLELLTGRCAGDVISGEEGGVDLTDWVRLRVTEGRGSDCFDPAVMPEMSNAAVEKGMKEVLGLALRCIRSVSERPGIKTIYEDLSSI >Manes.13G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31297362:31299547:1 gene:Manes.13G108700.v8.1 transcript:Manes.13G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLGDAELLEAQAHVWNHIFNFINSMSLKCAVQLGIPDVIQRHGKPISLSHLISALPVHPAKSRCIPRLMRILVRSGFFARAKISENDEEEGYVLTNASQLLLKDNPLSVAPFLMAMLDPILTGPWHYMSTWFLNDDVTPFNTAHGKTFWEYHGHEPNLNNFFNEAMASDARLVTRVLINEFKGVFEGLKSLVDVGGGTGTVAKAIAKSFPDLDCTVFDLPHVVAGLQGTHNLKYFGGDMFDEIPPTDAILLKSILHDWSDEECVKILKRCKEAIKGRGGKLIIIDMMIENHKRDDDFPETQLFFDMLMMVLLTGKERNEKEWAKLFSDAGFSYHKISPVLGLRSIIEVYP >Manes.03G148850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27604125:27605093:1 gene:Manes.03G148850.v8.1 transcript:Manes.03G148850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIWVVHALLLCHFIVLGVADRVSGDDKDDKHLFFHRPFLGGGGLGHGIYKKGFKHFGGGTGGGGGFGGGVGGGGGLGGGGGLGGGGGLGGGGGGGLGGGGGIGGGIGHGGGLGGGIGHGGGLGGGGGLGGGAGGGIGGGIGGGGGLGGGQGGGLGGGAGGGLGGGHGGGLGGGGGLGGGMGGGAGGGLGGGGGLGGGAGGGLGGGGGAGGGIGGGAGGGLGGGGGLGGGAGGGLGGGGGAGGGIGGGAGGGAGGGAGGGGGLGGGGGAGGGFGVGGGFGKGGGVGGGVGAGGGFGGGFGAGGGGGGGFGGGGGFGAGGGGG >Manes.05G045501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3797543:3799472:-1 gene:Manes.05G045501.v8.1 transcript:Manes.05G045501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKGTVCVTGGTGYVASWLIMRLLDYGYSVHTTIRSHPDQKRDVSFLTSLPGATNKLRIFHADLSDPDSFDVAIEGCIGVFHVANPMPTHFDSGESEEVVISKAIDGTLGILRVCLNSKTVKRVVYTSSASAVDFNNKTAQVMDESFWSDVDYIKALNSFASSYWVSKLLAEKRALEFAEEHGLDLVTVIPSFVVGPFICPNLPGSLEAALAMVLGKPDLYNLLVNTNMVHVDDLVRAHIFLLEYPNAQGRYMCSSDVITIEEMSEFLSTNYPELSIPTVESLKEVKGRKCPVLSSKKLTDSGFEFRYGVKEMFDGAIQCCKEKGYL >Manes.08G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2737829:2739098:1 gene:Manes.08G028600.v8.1 transcript:Manes.08G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVDIPAESSAAAKGKAPLIGLTSLSHAKGRGYNKGFAICDFLLRLGAVISALSAAATMGTSDETLPFFTQFFQFEASYDDLPTLQFFLIAMAIIGGYLVLSLPFSVVAIIRPNAVGLRLLLLILDTVALTLNTAAAAAAAAIVYLAHNGNPSANWLAVCQQFGDFCQKVSGAVVAAFVSVVVFMLLVVISGLALRRQS >Manes.14G158020.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20574279:20577519:-1 gene:Manes.14G158020.v8.1 transcript:Manes.14G158020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKLQYAFNLLEPSPSSTKFLSVHCVNELDYSQTRELNLSFQIPELDNRYSLVYRKMPEKYNLGFIRKTIQMHEDTFKHQVRELHRLYSVQKMLMDELKKEIKQNKKYWSSRETSSLYSLNFQGLRDDDPSPRERSSSCSGEIMGMTTKGFDLERPAAEEDISPAISTAIDDTRAAGTSCLIPMKRTNKMSMNGSDYESEVELTLSIGGSSTSSSISSSKKMIISANQEMGFAEHIHKPLKEHDSPVSIKYDRGEDCSTPTTPMSSSSATFNHERKQPHWLFQGLSINRTS >Manes.12G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33964861:33966786:-1 gene:Manes.12G132300.v8.1 transcript:Manes.12G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISTQKPPHAILVPYPAQGHVNPLMQLGKLLHARGFYITFVNTEHNHRRLIRSRGQEFIDGLPDFKFEAIPDGLPYTDRDATQHVPSLSDSTRKHCLAPFIDLIAKLKASPDVPPITCIISDGVMAFAIDAARHFGILEIQFWTTSACGFMAYLHHIELVRRGIVPFKDESFLHDGTLDQPVDFIPGMPNMKLRDMPSFIRVTDVNDIMFDFLGSEAHKSLKADAIILNTFDELEQEVLDAIAARYSKNIYTVGPFILLEKGIPEIKSKAFRSSLWKEDLSCLEWLDKREPDSVVYVNYGCVTTITNEQLNEFAWGLANSKHPFLWIVRPDVVMGESAVLPEEFYEEIKDRGLLVSWVPQDRVLQHPAVGVFLSHCGWNSTIECISGGKPMICWPFFAEQQTNCKYACDVWKTGVELSTNLKREELVSIIKEMMETEIGRERRRRAVEWRKKAEEAISVGGVSYNNFDTFIKEVILQQQTQ >Manes.14G159203.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21612093:21622902:1 gene:Manes.14G159203.v8.1 transcript:Manes.14G159203.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIENIGDEYQNYWETKMFFQNEELDSWAMDEAFSGYYDSSSPDGAASSAASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHEQERRIQAEIMELESGKLKTNPARYDEFEQELPVLLRSKKKKIDQFYDSGGSRSCPIEVLELNVAYMAEKTLVVSLTCSKRTDTMVKLCEVFESLKLKIITANITTVSGRLLKTLFIEADEEEKDHLKIKIETAIAALNDPQSPMSM >Manes.14G159203.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21612093:21622902:1 gene:Manes.14G159203.v8.1 transcript:Manes.14G159203.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIENIGDEYQNYWETKMFFQNEELDRVEFATYELSSLILSSWAMDEAFSGYYDSSSPDGAASSAASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHEQERRIQAEIMELESGKLKTNPARYDEFEQELPVLLRSKKKKIDQFYDSGGSRSCPIEVLELNVAYMAEKTLVVSLTCSKRTDTMVKLCEVFESLKLKIITANITTVSGRLLKTLFIEADEEEKDHLKIKIETAIAALNDPQSPMSM >Manes.14G159203.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21612247:21622235:1 gene:Manes.14G159203.v8.1 transcript:Manes.14G159203.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIENIGDEYQNYWETKMFFQNEELDSWAMDEAFSGYYDSSSPDGAASSAASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHEQERRIQAEIMELESGKLKTNPARYDEFEQELPVLLRSKKKKIDQFYDSGGSRSCPIEVLELNVAYMAEKTLVVSLTCSKRTDTMVKLCEVFESLKLKIITANITTVSGRLLKTLFIEADEEEKDHLKIKIETAIAALNDPQSPMSIIVSLMVIMCITSEK >Manes.14G159203.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21612092:21614216:1 gene:Manes.14G159203.v8.1 transcript:Manes.14G159203.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIENIGDEYQNYWETKMFFQNEELDSWAMDEAFSGYYDSSSPDGAASSAASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHEQERRIQAEIMELESGKLKTNPARYDEFEQELPVLLRSKKKKIDQFYDSGGSRSCPIEVLELNVAYMAEKTLVVSLTCSKRTDTMVKLCEVFESLKLKIITANITTVSGRLLKTLFIEVSTLSLSLSFLLSYISQL >Manes.14G159203.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21612247:21622235:1 gene:Manes.14G159203.v8.1 transcript:Manes.14G159203.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIENIGDEYQNYWETKMFFQNEELDRVEFATYELSSLILSSWAMDEAFSGYYDSSSPDGAASSAASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHEQERRIQAEIMELESGKLKTNPARYDEFEQELPVLLRSKKKKIDQFYDSGGSRSCPIEVLELNVAYMAEKTLVVSLTCSKRTDTMVKLCEVFESLKLKIITANITTVSGRLLKTLFIEADEEEKDHLKIKIETAIAALNDPQSPMSIIVSLMVIMCITSEK >Manes.14G042100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3723638:3725771:1 gene:Manes.14G042100.v8.1 transcript:Manes.14G042100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNPFLYFPPLPKFSPPLYRRFDFSVLNRTRMATVRTCATGGDLLGDFGARDPFPEEIESGFAEKVLGNVDTEHKILIPNISALALSQQECTPISPLQDPMSKDDAQKLLKKVLGWRLLDEEDGLKLQCLWKLRDFKCGVELINRIYKVVESSGHFPNLHLEQNNQVRAELWTSSIGGLSMSDFIIAAKIDEIKTSDLAPRKRIWA >Manes.17G026200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20314692:20321450:-1 gene:Manes.17G026200.v8.1 transcript:Manes.17G026200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSIASSSSSSSPSAISPTSYSRSSDLKAPQIGSMRLWDRPAIVSLSQRGCALKPVNAEFKWNDSIVPLAAAIVEPEVAVKIEVENYEQLAEELQNSSPLEIMDKAFEKFGNDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFDAVEKHYGIHIEYMFPDAVEVQAMVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSGIPVVQVDPVFEGMDGGVGSLIKWNPMANVEGLNAWNFLGAMNVPVNSLHSQGYVSIGCEPCTRPILPGQHEREGRWWWEDAKAKECGLHKGNLKQDDLAQLNGNGNGAIHSNGAAGVADIFNSQNLVNLSSAGIGNLLRLESRKEPWIVVLYAPWCQFCQGMEKSYLELADKLAGSGVRVGKFRADGDGKEFAKQELQLGSFPTILFFPKHSSRPIKYPSEKRDVDSLMAFVNALR >Manes.17G015169.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8001218:8002679:1 gene:Manes.17G015169.v8.1 transcript:Manes.17G015169.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.05G180100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29715222:29717604:1 gene:Manes.05G180100.v8.1 transcript:Manes.05G180100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKKEKLNSTSTTTEQSCTNPSCFFCAMKEQNLSLRRAGIASCFKEMPIKDSQEHVLVLSSLWNIAMTQPDDPEFPSLGIFNCMARLIQKGVNDRSWLLGDENIYVPYYAAHVIGSYTMNKVEFAVRAVESGVIPPLMELLRGKISWVEQRVAVRALGHLASYERTFAAVAVHEREVVKLATEIASTCIDVVFDKFVGLKDTAKRLKYHSNLLTRGVGGLDMENRKAEEWASQLQCWSLYLLNCFACKERCLDLICRQEFLKDLCGMWGRLVNHSSPAGIGLIRILCYSKNGRKSIAESKEVINNICNLSRSSDDWQYMGIDCLLLLLKDQDTRYKIIEIATLFLADLVELKSLGIRSNVGEAITRALLLDYKKSKSKIKNKKALDVLQEIWDLKVEKRKLEKIMLSEEKAEKMRVLVGLIKQQGNQMFCLGDIEEALVKYTEALDICPLRLRNERMVLHSNRAQCHLLLRDPDAAISDSTRALCLSNPANSHSKSLWRRSQAYDMKGLAKESLMDCIMFINGCIKTETGKRVKIPYYAARMISKQTEATWLFASAKSKASRNEAVQESDGEYVLQKYEEIMKIMMEKKCFISGLSTIMEEPLGGKEESKRKLGRRPRRGKNQAIVVRST >Manes.05G180100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29715222:29717604:1 gene:Manes.05G180100.v8.1 transcript:Manes.05G180100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKKEKLNSTSTTTEQSCTNPSCFFCAMKEQNLSLRRAGIASCFKEMPIKDSQEHVLVLSSLWNIAMTQPDDPEFPSLGIFNCMARLIQKGVNDRSWLLGDENIYVPYYAAHVIGSYTMNKVEFAVRAVESGVIPPLMELLRGKISWVEQRVAVRALGHLASYERTFAAVAVHEREVVKLATEIASTCIDVVFDKFVGLKDTAKRLKYHSNLLTRGVGGLDMENRKAEEWASQLQCWSLYLLNCFACKERCLDLICRQEFLKDLCGMWGRLVNHSSPAGIGLIRILCYSKNGRKSIAESKEVINNICNLSRSSDDWQYMGIDCLLLLLKDQDTRYKIIEIATLFLADLVELKSLGIRSNVGEAITRALLLDYKKSKSKIKNKKALDVLQEIWDLKVEKRKLEKIMLSEEKAEKMRVLVGLIKQQGNQMFCLGDIEEALVKYTEALDICPLRLRNERMVLHSNRAQCHLLLRDPDAAISDSTRALCLSNPANSHSKSLWRRSQAYDMKGLAKESLMDCIMFINGCIKTETGKRVKIPYYAARMISKQTEATWLFASAKSKASRNEAVQESDGEYVLQKYEEIMKIMMEKKCFISAFQACQP >Manes.11G152168.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31789789:31802125:-1 gene:Manes.11G152168.v8.1 transcript:Manes.11G152168.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSLRLPAEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPIRPSIFHRVRIASPLHRNHSKTFIISPWLGGAPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNRRRMS >Manes.08G033000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3153684:3155417:-1 gene:Manes.08G033000.v8.1 transcript:Manes.08G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEGVAAAGTAKAEASFPVVFFDGEQKTCLGNVVVSRSLNFKIFQSIISEKLVLSPYQFSIYLTDTRCGSRIPVIGNFDFSAISCGKDCFFLIVLKRSKRERRRKSREMAEIMQNRVDLPANVMLLRRDGNTIAINNVEFSNLDLGRTGYERRVSELQMEKERYLMKMGLGFEGLNLEAERTNKVVVCEECSRAKETDGDVGFHWCVHDTITFGFRSPAGPIARPVK >Manes.14G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7108529:7112352:-1 gene:Manes.14G086200.v8.1 transcript:Manes.14G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSGKVKVGETEISSMQNNGQPKYHLKRFSPQENPKETTQLNTQEIQQEKLDINLGLSLGGIYSENLRGKPLTRSSSIIGVLTPKKDPEDLDSPLPKSFLSLSRSCSVPTEAEQEQRKASLMALARRRIESPRRFSGQSKKEVTEQQKSPAREPMPTSPSKVAAWAAASAAKSPALCRALVQIKRQVALFGNTQLEGQEGAAAEKVASCSKSLPMQKDAESNVTSRTISNGKQEKVEERKLENHPSKRVKRVNNGFQDNGMDVMKQMPSVTTTGDGPNGKRIEGFLYKYRKGHVSIVCVCHGSFLSPAEFVKHAGGKDVPNPMKHITVCSSFSF >Manes.14G086200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7108603:7112253:-1 gene:Manes.14G086200.v8.1 transcript:Manes.14G086200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNGQPKYHLKRFSPQENPKETTQLNTQEIQQEKLDINLGLSLGGIYSENLRGKPLTRSSSIIGVLTPKKDPEDLDSPLPKSFLSLSRSCSVPTEAEQEQRKASLMALARRRIESPRRFSGQSKKEVTEQQKSPAREPMPTSPSKVAAWAAASAAKSPALCRALVQIKRQVALFGNTQLEGQEGAAAEKVASCSKSLPMQKDAESNVTSRTISNGKQEKVEERKLENHPSKRVKRVNNGFQDNGMDVMKQMPSVTTTGDGPNGKRIEGFLYKYRKGHVSIVCVCHGSFLSPAEFVKHAGGKDVPNPMKHITVCSSFSF >Manes.01G224300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39049966:39050466:-1 gene:Manes.01G224300.v8.1 transcript:Manes.01G224300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSTTLLSFFVLILIFLINSSLGTSTLTTVSKDQISCTMCSACDNPCQPLPSPPPPSPPPPAIVSDCPPPPVTPSSGSYYYSPPPPSEPMFIYSSPPPPGVGGAFYPPANNGNYQGPPPPNPIVPYFPFYYYNPPPSSFVSSNCARLEMHSIFLSFWFFYFLLLK >Manes.S032816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:808439:808561:1 gene:Manes.S032816.v8.1 transcript:Manes.S032816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.09G071400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12172556:12175936:-1 gene:Manes.09G071400.v8.1 transcript:Manes.09G071400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTCWRTRIDDDASGKAEGLMWHKDLGNHLYGEFSMAVIQANNLLEDHCQLESGPLSSSNSGPYGTFIGVYDGHAGTEASRFISHNLFSNFKALVSEHHEISENVINKAFSATEEDFLCLVKKQWLSRPQIASVGSCCLVGVVCNGQLYIANAGDSRVALGRAEPGIRRVKAIQLSREHNANIESVRNELRSLHPDDSQIVVLKHKVWRVKGIIQVSRSIGDAYLKRTEFNREPLQSKYRLAEPFHKLILGSEPSILVHKLQPEDQFLIFASDGLCISATKKLSKLCKISLAM >Manes.09G071400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12173254:12175260:-1 gene:Manes.09G071400.v8.1 transcript:Manes.09G071400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTCWRTRIDDDASGKAEGLMWHKDLGNHLYGEFSMAVIQANNLLEDHCQLESGPLSSSNSGPYGTFIGVYDGHAGTEASRFISHNLFSNFKALVSEHHEISENVINKAFSATEEDFLCLVKKQWLSRPQIASVGSCCLVGVVCNGQLYIANAGDSRVALGRAEPGIRRVKAIQLSREHNANIESVRNELRSLHPDDSQIVVLKHKVWRVKGIIQVSRSIGDAYLKRTEFNREPLQSKYRLAEPFHKLILGSEPSILVHKLQPEDQFLIFASDGLCISATKKLSKLCKISLAMESPRDSLKQHSR >Manes.12G114200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31509565:31517586:-1 gene:Manes.12G114200.v8.1 transcript:Manes.12G114200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLARVVVRNWSGSRCSMSAVVWSLVGFLLFLHLYSLVSHNGGKDGEIQFHSSHHPLIRELEEVEEENIQIPPPRGKRSPRAAKRRPKRTTTLIDEFLDENSLLRHVFFPGMKSAIDPMNDAGNNTLYYYPGRIWLDTEGNPIQAHGGGILYDEISKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEETNETHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKAAVGIAVSDSPTGPFDYLHSKRPHGFESRDMTMFRDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTDVMRRILVGQHREAPALFKHQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLTTFFAQSTFVVPLSGLPGSFIFMADRWNPADLRDSRYVWLPLVVGGPADRPLEFNFGFPVWSRVSIYWHKKWRLPSVWRV >Manes.12G114200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31509567:31517586:-1 gene:Manes.12G114200.v8.1 transcript:Manes.12G114200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNKYRKPTTFHCNAGSRCSMSAVVWSLVGFLLFLHLYSLVSHNGGKDGEIQFHSSHHPLIRELEEVEEENIQIPPPRGKRSPRAAKRRPKRTTTLIDEFLDENSLLRHVFFPGMKSAIDPMNDAGNNTLYYYPGRIWLDTEGNPIQAHGGGILYDEISKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEETNETHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKAAVGIAVSDSPTGPFDYLHSKRPHGFESRDMTMFRDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTDVMRRILVGQHREAPALFKHQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLTTFFAQSTFVVPLSGLPGSFIFMADRWNPADLRDSRYVWLPLVVGGPADRPLEFNFGFPVWSRVSIYWHKKWRLPSVWRV >Manes.12G114200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31509567:31517586:-1 gene:Manes.12G114200.v8.1 transcript:Manes.12G114200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLARVVVRNWSGSRCSMSAVVWSLVGFLLFLHLYSLVSHNGGKDGEIQFHSSHHPLIRELEEVEEENIQIPPPRGKRSPRAAKRRPKRTTTLIDEFLDENSLLRHVFFPGMKSAIDPMNDAGNNTLYYYPGRIWLDTEGNPIQAHGGGILYDEISKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEETNETHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKAAVGIAVSDSPTGPFDYLHSKRPHGFESRDMTMFRDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTDVMRRILVGQHREAPALFKHQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLTTFFAQSTFVVPLSGLPGSFIFMADRWNPADLRDSRYVWLPLVVGGPADRPLEFNFGFPVWSRVSIYWHKKWRLPSVWRV >Manes.12G114200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31509565:31517586:-1 gene:Manes.12G114200.v8.1 transcript:Manes.12G114200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRNKYRKPTTFHCNAGSRCSMSAVVWSLVGFLLFLHLYSLVSHNGGKDGEIQFHSSHHPLIRELEEVEEENIQIPPPRGKRSPRAAKRRPKRTTTLIDEFLDENSLLRHVFFPGMKSAIDPMNDAGNNTLYYYPGRIWLDTEGNPIQAHGGGILYDEISKTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLAAEETNETHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDANYTKAAVGIAVSDSPTGPFDYLHSKRPHGFESRDMTMFRDDDGVAYLIYSSEDNSELHIGPLTEDYLDVTDVMRRILVGQHREAPALFKHQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLTTFFAQSTFVVPLSGLPGSFIFMADRWNPADLRDSRYVWLPLVVGGPADRPLEFNFGFPVWSRVSIYWHKKWRLPSVWRV >Manes.05G177600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29397673:29400452:-1 gene:Manes.05G177600.v8.1 transcript:Manes.05G177600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSWNLVFTSNQPSPSSLSWKLSFPSPFTSVQFQRRQTTTAQRFLVFATMMGAKPTILVSEKLGDAGLELLKTFANVDCSYNLSLEELCTKISLCDALIVRSGTKVTREVFESSSGRLKVVGRAGVGIDNVDLSAATEHGCLVVNAPTANTIAAAEHGIALLTAMARNIAQADASIKSGKWERSKYIGVSLVGKILAVIGFGKVGSEVARRAKGLGMNVIAHDPYAPADRAHAIGVELVRFEEAISSADFISLHMPLTPATSKMFNDETFSKMKKGVRIVNVARGGVIDEDALVRALDSGIVAQAALDVFTEEPPPRDSKLVQHESVIATPHLGASTTEAQEGVAIEVAEAVLGALKGELAATAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGSGVQSVKVTYASARGPDDLDTRLLRAMITKGIIEPTSNVFVNLVNADFTAKQRGIRITEERIVLDGSPEKPLEFIQVQIANVESKFASAISESGDIKVEGRVKDRKPHLTMIGSFGVDVSLEGSLILCRQVDQPGMIGKVGTILGEENVNVSFMTVGRIAPRKQAVMTIGVDEEPSKEALKRIGEITAIEEFVFLKL >Manes.06G113700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24453476:24460064:1 gene:Manes.06G113700.v8.1 transcript:Manes.06G113700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPELQLQASPVPVKTELHTLDDSMEETSTSKTPLPSKVVVLADLNANPPETDATDSCHLSAPDLTRLTNDESQDKSSNLTCKDGDTVEVEGKRLTKLGKCRSRISKVDASLDYGPDTDADQPGQGPSSSREEKVSSLKTGLVHVARKMPKNAHAHFILGLMYQRLGQPQKAVFAYEKAEEILLQCEAEVARPELLSLVQIHHAQCILRENSADNSLDKELEAEELEEILSRLKESMQSDIRQAAVWNTLGLILLKSGRVQSAISVLSSLLAIDPYNYDCLGNLGIAYLQSGNLELSAKCFQDLILKDQNHPAAFVNYAAFLLCKYGSVVAGAGSSAGEGASLDQIEAVNVAKECLLAALKVDPKAAHIWATLANAYYLTGDHRSSSKCLEKAAKLEPNCMSTRYAVAVHRIKVAERSQDPSEQLSWAGNEMASILREGDSVPIELPIAWAGLGMVHKAQHEIAAAFETEKDELTDVEECALYSLKQAIAEDPDDGVQWHQLGLHYLCSRQFEVAQKYLKVAVSRFKECSYAWSNLGISLQLSEESLQAEDVYKQALAFAASEQAHTIFSNLGNLYRQQKQYERAKAMFTKSLELQPGYAPAYNNLGLVFVAEGRWEEAKFCFDRALQTDPLLDAAKSNLIKAVAMSRLCAG >Manes.06G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24453470:24460064:1 gene:Manes.06G113700.v8.1 transcript:Manes.06G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPELQLQASPVPVKTELHTLDDSMEETSTSKTPLPSKVVVLADLNANPPETDATDSCHLSAPDLTRLTNDESQDKSSNLTCKDGDTVEVEGKRLTKLGKCRSRISKVDASLDYGPDTDADQPGQGPSSSREEKVSSLKTGLVHVARKMPKNAHAHFILGLMYQRLGQPQKAVFAYEKAEEILLQCEAEVARPELLSLVQIHHAQCILRENSADNSLDKELEAEELEEILSRLKESMQSDIRQAAVWNTLGLILLKSGRVQSAISVLSSLLAIDPYNYDCLGNLGIAYLQSGNLELSAKCFQDLILKDQNHPAAFVNYAAFLLCKYGSVVAGAGSSAGEGASLDQIEAVNVAKECLLAALKVDPKAAHIWATLANAYYLTGDHRSSSKCLEKAAKLEPNCMSTRYAVAVHRIKVAERSQDPSEQLSWAGNEMASILREGDSVPIELPIAWAGLGMVHKAQHEIAAAFETEKDELTDVEECALYSLKQAIAEDPDDGVQWHQLGLHYLCSRQFEVAQKYLKVAVSRFKECSYAWSNLGISLQLSEESLQAEDVYKQALAFAASEQAHTIFSNLGNLYRQQKQYERAKAMFTKSLELQPGYAPAYNNLGLVFVAEGRWEEAKFCFDRALQTDPLLDAAKSNLIKAVAMSRLCAG >Manes.17G076451.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27663302:27664113:1 gene:Manes.17G076451.v8.1 transcript:Manes.17G076451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFHLLLLLVFTVASMGVSARPLKVAELSFLLENRLPRGPVPPSGSSHCHNKFSQSHTLYTADYVICP >Manes.13G155232.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36880646:36889018:1 gene:Manes.13G155232.v8.1 transcript:Manes.13G155232.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAALLDSPPPNDSVDKNSDSESGMKKNKGKTKSSVSSAIKELTNRAKVKKRNSSHRKEEAAVSWKRPKRAAACTDFKEKSIRLSENISVLESKNDQFADEEIIAIHLTHEQEEGRPNRRLTDFVVHDANGTPQPLEMIEVDDMFISGLILPLEEGPEREKEKRVRCEGFGRIEGWNISGYEDGSPVVWLTTDIADYDCLKPASSYKKFYDHFFEKAHVCIEVYKKLSKSSGGNPDLTIDELLAGVVRSMIGSKCFSGAASIKDFVISQGEFIYNQLIGLDDTSKKNDQKFAGLPVLLALKGKSRKHGNFVLAKAASSGGNLMICPKVGDTESNVLLSNSSFSGAEADEDAKLARLLQEEEYWQSTKKQKKNQGSASSTNTIYIKINEDEIANDYPLPAFYKHSDEEIDEYVAIGSDEHIMVDPDDLPKRMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGRMTEDDGSGFCLDNGPDQSSSGCSGAQDDVGLPIFLSAIKEWMIEFGSSMVFISIRTDMAWYRLGRPSKQYFPWYKPVLKTAKLARSIITLLKEQSRVSRLSFADVIRKVSEFKKDDHGYISHDPATVERYVVVHGQIILQLFAEFPDEKIKKCAFVVGLTSKMEDRHHTKWVVNKKQIMQKIQPNLNPRAAMGCTGPVLSKRKVMQATTTRLINRIWGEYYSNYSPEDLKEETNCEAKEEDCIEDQEENEDDAEEGNPLISGNTQKPCLASSWTKSNYSRDEVQWDGNPVGVTYSGEAIYKCAVVHGEMIAVGGAVLVDVNESNEFPEIYFVEYMFEALDGSKIFHGRMMQQGSQTVLGNAANEREVFLRNECANYDLQDVKQAIAVEVRKMPWGHHHRKDNDNADKIDRARAEERKRKGLPPEYYCKSLYWPERGAFFSLPLDTMGLGSGVCHSCKIKEVETEKDVFKVNSSRTGFVYLGTEYSVHDFVYVSPNHFSIERETETFKGGRNVGLKAYVVCQLLEIIVQKELQQAEARSTRVKVQRFFRPEDISSQKAYCSDIREIYYSEDMHLLPAEAIEGKCEVRKKSDIPTCSAAATFDHIFFCEHLYDPSRGSLKQLPANIRLRYSTGSGESDAASRKRKGKCKEGEDEGEREREASQERRLATLDIFSGCGGLSEGLQQAGVSSTKWAIEYEEPAGEAFKLNHPASLVFINNCNVILRAVMEKCGDADECISTGEAIELAASLDEKIIKDLPLPGQVDFINGGPPCQGFSGMNRFSQSTWSKVQCEMILAFLSFVDYFRPKYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAASPDEILPEWARTNACICCPRVENHVVRKFTICCC >Manes.04G155700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35059483:35061988:-1 gene:Manes.04G155700.v8.1 transcript:Manes.04G155700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLGCCLKFLETSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAIATAVIAPFAFIFERKAQPRITFPIFLQLFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKLDVKKLRCQAKIVGTLVTVAGAMFMTLYKGPIVEMVWSKHVHPRKSYVTDTTGTTDDKDWFKGSILLILATLAWASLFVLQTKALKTYKNHQLSLTTLVCFMGTLQAIAVTFVMEHKASAWRIGWDMNLLAAAYAGIVTSSISYYVQGMVIKKRGPVFATAFSPLMMIIVAILGSFILAEKIFLGGVIGGVLIVIGLYSVLWGKQKEKMEDSDEIPEPVKGVQGNGNSIAIIEDIEANEVDLQKAEEANKKLSAMVIAMPMPMPELPIKATRGAIA >Manes.17G057500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25600294:25606376:1 gene:Manes.17G057500.v8.1 transcript:Manes.17G057500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKHSEGIALLSMYNDEDDEDMEDLDDQQHEEQQEVEEEELLAGQNDYRESNEMVPDSVNDAMITQELPNESSEHVETLHHDEMNNTAIQSEDAENEGAANVPAEDVDALAQFLSSPPKDRCPEELQRKIDKFLALRKIGRRFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMRREKERKEQELRRSPKVEFISGGAQPGQVVPPPKFSLPIPAAAPSGLHSASTIADAARDGRQNKKSKWDKVDGDGRNLLPTSGQDSLTTVAAHTALLSAANVGAGYTAFV >Manes.17G057500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25600294:25606376:1 gene:Manes.17G057500.v8.1 transcript:Manes.17G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKHSEGIALLSMYNDEDDEDMEDLDDQQHEEQQEVEEEELLAGQNDYRESNEMVPDSVNDAMITQELPNESSEHVETLHHDEMNNTAIQSEDAENEGAANVPAEDVDALAQFLSSPPKDRCPEELQRKIDKFLALRKIGRRFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMRREKERKEQELRRSPKVEFISGGAQPGQVVPPPKFSLPIPAAAPSGLHSASTIADAARDGRQNKKSKWDKVDGDGRNLLPTSGQDSLTTVAAHTALLSAANVGAGYTAFVQQKRREAEERRSSEKKLEGRS >Manes.17G057500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25600294:25606376:1 gene:Manes.17G057500.v8.1 transcript:Manes.17G057500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKHSEGIALLSMYNDEDDEDMEDLDDQQHEEQQEVEEEELLAGQNDYRESNEMVPDSVNDAMITQELPNESSEHVETLHHDEMNNTAIQSEDAENEGAANVPAEDVDALAQFLSSPPKDRCPEELQRKIDKFLALRKIGRRFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADMRREKERKEQELRRSPKVEFISGGAQPGQVVPPPKFSLPIPAAAPSGLHSASTIADAARDGRQNKKSKWDKVDGDGRNLLPTSGQDSLTTVAAHTALLSAANVGAGYTAFV >Manes.17G022247.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:13852621:13852950:-1 gene:Manes.17G022247.v8.1 transcript:Manes.17G022247.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQQKIEIKPLSVLRQAIRGVTPNIAVKTRRIGGSTHQVSIAIRSTQGKALAIYWLLGASQKRPNRNMAFKLSSKLVDAAKGSGDIIRKKEETHRMAEANRAFAHFR >Manes.01G273800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42722471:42723547:1 gene:Manes.01G273800.v8.1 transcript:Manes.01G273800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKHDGSREALFEKKGFSLKGLKLITVERSFAEKHYADLSAKPFFNGLVEYIISGPVVAMVWEGKNVCKEIALWFPEGPVSWSSSLHPWIYG >Manes.18G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14348777:14364179:1 gene:Manes.18G125600.v8.1 transcript:Manes.18G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPYRAIGYITSSVPFSVQRLGTETFVTVSVGKAFQVYNCAKLNLVLVSPQLPKKIRALASYRDFTFAAYGNHVAVFKRAQQVATWSRHSAKVNLLLLFGDHILSVDVDGNMFIWGFKGLEQNLAPIGHIMLDNRFTPTCLMHPDTYLNKVVLGSQEGTLQLWNISTKKKLYEFKGWNSSITSCVSSPALDVIAIGCADGKIHVHNIRCDEELVSFSHATRGAVTALCFSTDGQPLLASGGSSGVISIWNLEKRRLQSVIKEAHDNSIISLHFFANEPVLMSASADNSIKMWIFDTSDGDPRLLRFRSGHSAPPHCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQRHVSKRAKKLKVKEEELKLKPVIAFDCAEIRERDWCNVVTCHMDTAQAYVWRLQNFVLGEHILRPCPENPTPVKACAISACGNFAVVGTAGGWIERFNLQSGISRGSYLDVSEGRSCAHEGEVVGVACDSTNTLMISAGYHGDVKVWDFKGRELKSRWRVGCSLVKTVYHRLNGLLATVADDLVIRLFDVEALRMVRKFEGHTDRVTDLSFSEDGKWLLSSSMDGSLRIWDVILARQIDAICVDVPITALSLSPNLDILATTHVDQNGVYLWVNQSMFSGTSVVDSYASGKEVVSVKLPSVSSMEGSQVQDSDRPIVKHPQHDEASVLPTFSHQIPELVTLSLLPRSQWQGLINLDIIKVRNKPVEPPKKPVNAPFFLPSLPSLSGEVLFKPSEPMNEEKETKTDEVQNNDRKLDRTTYQFLQLLQSSAKMKNFSTFTDYIKALSPSTLDMELRLLQIIDEDDQQELEKRPEFISIELLLDYFIHEIASRNNFEFVQAVIRLFLKIHGETIRCQPKFHEKAGKLLETQREVWQRMDNLFQSTRCMVTFLSNSQF >Manes.18G125600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14348776:14364180:1 gene:Manes.18G125600.v8.1 transcript:Manes.18G125600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPYRAIGYITSSVPFSVQRLGTETFVTVSVGKAFQVYNCAKLNLVLVSPQLPKKIRALASYRDFTFAAYGNHVAVFKRAQQVATWSRHSAKVNLLLLFGDHILSVDVDGNMFIWGFKGLEQNLAPIGHIMLDNRFTPTCLMHPDTYLNKVVLGSQEGTLQLWNISTKKKLYEFKGWNSSITSCVSSPALDVIAIGCADGKIHVHNIRCDEELVSFSHATRGAVTALCFSTDGQPLLASGGSSGVISIWNLEKRRLQSVIKEAHDNSIISLHFFANEPVLMSASADNSIKDQQSRELSQRHVSKRAKKLKVKEEELKLKPVIAFDCAEIRERDWCNVVTCHMDTAQAYVWRLQNFVLGEHILRPCPENPTPVKACAISACGNFAVVGTAGGWIERFNLQSGISRGSYLDVSEGRSCAHEGEVVGVACDSTNTLMISAGYHGDVKVWDFKGRELKSRWRVGCSLVKTVYHRLNGLLATVADDLVIRLFDVEALRMVRKFEGHTDRVTDLSFSEDGKWLLSSSMDGSLRIWDVILARQIDAICVDVPITALSLSPNLDILATTHVDQNGVYLWVNQSMFSGTSVVDSYASGKEVVSVKLPSVSSMEGSQVQDSDRPIVKHPQHDEASVLPTFSHQIPELVTLSLLPRSQWQGLINLDIIKVRNKPVEPPKKPVNAPFFLPSLPSLSGEVLFKPSEPMNEEKETKTDEVQNNDRKLDRTTYQFLQLLQSSAKMKNFSTFTDYIKALSPSTLDMELRLLQIIDEDDQQELEKRPEFISIELLLDYFIHEIASRNNFEFVQAVIRLFLKIHGETIRCQPKFHEKAGKLLETQREVWQRMDNLFQSTRCMVTFLSNSQF >Manes.12G094602.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:19502795:19503373:-1 gene:Manes.12G094602.v8.1 transcript:Manes.12G094602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRGPMFTWNNRKDGVFNIQERFDPSLASIQCCALYPNAYVEHLEDKCSNHRPLLIHVAPSIPKAKRLFYFDARWVSNPTMDQIISQAWRENVNESVIFKVHSKLKACRRALMEWNKSNSSNSKKRIVQLELDLARPKETLPWNLERVKSIKKDLMGEIRNEEKYWEQKAGLNWLKSRDKNTFYFHARVIQ >Manes.03G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22482882:22485834:-1 gene:Manes.03G100900.v8.1 transcript:Manes.03G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGPISQDWEPVVIRKKAPNAAAKKDQKVVNAARRSGADIETIKKSNAGTNKAASSSTSLNTRKLDEETENLTHDRVPTELKKAIMQARMDKKLTQSQLAQLINEKPQIIQEYESGKAIPNQQIIGKLERALGVKLRGKK >Manes.06G156900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28301249:28301548:-1 gene:Manes.06G156900.v8.1 transcript:Manes.06G156900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCAVSFRVLAAIAVLYIIVLPLAHAQSPASAPSPTSDGTSIDQGIAYILMLVALVLTYLIH >Manes.11G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21514646:21516033:-1 gene:Manes.11G098700.v8.1 transcript:Manes.11G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKMREIEDEEAQADVEMWKYVFGFSNIAAVKCAIELGVADVIENHQAPITLSELSSKLGCVSSFLSRIMRFLVHHNIFKEMPTVHGTVGYVHTPLSHRLLGRGEKSIQAMFWLESSPVMLAPWHFLSKSVRQNASTAAFEAAHGDDIWKYGAAFPDHSKLFNDAITCHARIAVPRMIEKCPEVFDGVKTLVDVGGGNGTTLSMLVKAFPGIQGINFDLPHVVSTASECDGIIHVGGDMFESVPKANAAFLMCVLHGWNDEKCIQILKKCKETIPEENGKVIMVEVVVGKEKEDKLEFVRLMLDMVLMAHSNSGKERTYEEWDYILPEAGFSRYTIRSIGDVHSVIEAFP >Manes.02G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7002501:7006005:-1 gene:Manes.02G089100.v8.1 transcript:Manes.02G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPAYACPKRVLACLIEKGIQFEAVPVDLIKGEHRSPEYLKLQPFGVLPVIQDGDYILYESRAIMRYYAEKYKSQGTDLLGKNVEERGLVEQWLEVEAQNYHPPIYDLTIHILFASALGFPADEKIIKESEEKIAKVLDVYEERLSKSKYLAGDFFSLADLSHLPFTQYLVGPMNREHMISSRKHVSRWWNEISNRPSWKKVLQL >Manes.16G012000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1179694:1181258:-1 gene:Manes.16G012000.v8.1 transcript:Manes.16G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTVRKTITLEVESSDTIDNVKAKIQDKEAIPLDKQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLCLLGGF >Manes.15G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:770823:771727:1 gene:Manes.15G007900.v8.1 transcript:Manes.15G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSYELTVTSPIPPAKLYRALILDSDILLPKIVPQAIKSVEILEGDGGPGTIKKVTFGEGSQFKYSKHKVEAVDKENLKFSHSVIEGDMLMNVIEKITYEIKLEESPDGGCICKEKSNYYTIGDFEIEKDQLKAGKEKALGMFKAVEAYLLANPDAY >Manes.12G123101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32803996:32806075:1 gene:Manes.12G123101.v8.1 transcript:Manes.12G123101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNQEARLAAIEASLAELREMIGQLTLQQGIHQPAATAHPQPVANPVAANLVVDNKGKAVTYVVKKILCSTKQEHETQRRKIFQAKCRVGEAICRLIIDSCSCENLIAKQLVEKLQLSTQPHPSPYKVGWIKEGPTIEVNRICSVPISIGKSYTEPVNCDVVDMDCYGILLGRPWQFDVDALHKGKENSYMFTWNQKKITILPSGSAKHSKVEGKHTVAVSTGVQKLSGAVEKSRGTLALLVRAKGTMEDAPSLPPPVKELLKEFLR >Manes.02G037801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3123562:3124217:-1 gene:Manes.02G037801.v8.1 transcript:Manes.02G037801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVASIALLLSLNLLFFSAVRAATCPVDALKFKVCANALGLIKIPPDAPCCSLIENLVDLEAALCLCTAIKANVLGTDLKVPLDLSLVLNKCSKKVPQGFKCP >Manes.07G097202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30145495:30146675:1 gene:Manes.07G097202.v8.1 transcript:Manes.07G097202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQPNSGVLKRLFFFTFENPFLSLTIIDFTAQNPNGCIPIFDVSKRGLQFDVKIKEFVGPKYNLPWFRLTEEAAAIDREEKKVLEEHKEDLRERLKKFILMSMEKVLPFKIIKGMLWYLGLPEDFLQYPDKNFDSYFRVVELEDGLKGLGVESREKLLSVLQKNAMRKGLYSGEPMETIDFPFFPSKGLRLRRKIQDCDIAQKRVVGLLHELLSLFVEHSAERKKLLCLKKYFELPQKVHKAFERHPHMFYLSFRNKTCTAILKEAYGDDELAMERHPMAMIRKKYIKLMKESEVILKRRRTNNPFVQ >Manes.03G153301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28097977:28098422:1 gene:Manes.03G153301.v8.1 transcript:Manes.03G153301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRTHPLLSAEETSSLSRDIWVQIILLMLVISSVSGAAGLALEKTRTVNVTNDLSTNIKLKLHCKSKNDDLGDYKGFWYFNWGQKSHWFDIYIDSRDNLKCVVCQWNIQAKGPCRWNEDSQ >Manes.13G112600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31809244:31815175:-1 gene:Manes.13G112600.v8.1 transcript:Manes.13G112600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHCDNYRFSFFFILLSLIISPSSSSLPETTDPTTQRRILHEPLFPASSAPPPGTDSLSPPPPPDNQDLPNPDQPFFPEVPTGPTPDQSQPAPASPANGTIPIPTATQPAKPAKKVAVAISVAIVTLGMLSGLAFFLYRHRVKHPSETQKLVGGNSQRFPDESVVPNSSVLYMGTVQPGRTSGELNGTTNEANVSPYRKLNSIKRSDRYRPSPDLQPLPPLPRPLSQHDNDDENSPSSSVSSSDGESHGTAFYTPHGSAISNDDVYFTPLAVSAPRTFGNGTWAKPANGGSVPHSKRTSPKTRFSSVSSPEMKHVIIPTIKQPIPPTGSPNPPLPPTLIQQDHAEITEPISYTPKKPKFSPPPSPPNMTLLRSLNNQQSGKISRPPPPPPPPPPPRMTALSTPKKTGSSGTAKTSVCSTSATVSSKQQAWTSSPRAISNTESPRIIEQVDRGISSSEKTDVEEQDGAKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGCNSTNSVPKEPTRRSVLPPVVQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPESLGAELLETLVKMAPTKEEEIKLREYSGDISKLGSAERFLKAVLDIPFAFRRVEAMLYRANFDTEVKYLRRAFQTLEICICRKQVRN >Manes.13G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31809244:31815175:-1 gene:Manes.13G112600.v8.1 transcript:Manes.13G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHCDNYRFSFFFILLSLIISPSSSSLPETTDPTTQRRILHEPLFPASSAPPPGTDSLSPPPPPDNQDLPNPDQPFFPEVPTGPTPDQSQPAPASPANGTIPIPTATQPAKPAKKVAVAISVAIVTLGMLSGLAFFLYRHRVKHPSETQKLVGGNSQRFPDESVVPNSSVLYMGTVQPGRTSGELNGTTNEANVSPYRKLNSIKRSDRYRPSPDLQPLPPLPRPLSQHDNDDENSPSSSVSSSDGESHGTAFYTPHGSAISNDDVYFTPLAVSAPRTFGNGTWAKPANGGSVPHSKRTSPKTRFSSVSSPEMKHVIIPTIKQPIPPTGSPNPPLPPTLIQQDHAEITEPISYTPKKPKFSPPPSPPNMTLLRSLNNQQSGKISRPPPPPPPPPPPRMTALSTPKKTGSSGTAKTSVCSTSATVSSKQQAWTSSPRAISNTESPRIIEQVDRGISSSEKTDVEEQDGAKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGCNSTNSVPKEPTRRSVLPPVVQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPESLGAELLETLVKMAPTKEEEIKLREYSGDISKLGSAERFLKAVLDIPFAFRRVEAMLYRANFDTEVKYLRRAFQTLEEASEELKSSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGAGTDSTNEIPQESANSKFREDDFRKQGLQVVSGLSRDLSNVKKAAGMDSDVLSSYVTKLELGLEKVRSVLQCEKPDMQGKFFNSMKLFLREAEGEINRIKADERKALSHVKEVTEYFHGDAAKEEAHPLRIFMIVRDFLTILDHVCKEVGKMQDKTMMGSARSFRISASASLPVLNRYNARQDRSSDEESSSP >Manes.06G091300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22681745:22683601:1 gene:Manes.06G091300.v8.1 transcript:Manes.06G091300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGLLFLGFLSLASFVSGDDGGWIDAHATFYGGGDASGTMGGACGYGNLYSQGYGKDNAALSTALFNNGLSCGACFEIKCRDDPQWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPLHHFDLAQPVFERIAKFRAGIVPVSYRRIPCEKKGGIRFTINGHSYFNLILITNVGGAGDIHAVSVKGSKTGWQPMSRNWGQNWQSNAYLNGQSLSFMVTTSDGRSAVCNDVVPARWSFGQTFITDQQFD >Manes.05G102700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9544426:9547547:1 gene:Manes.05G102700.v8.1 transcript:Manes.05G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQNLDNLATGRGNMETQNQLPQSQEPGKGTTFLRTCFNGINTLAGVGILSTPYALSQGGWLSLILLFLIATLCWYTGLLLRRCMDEDPAIKTYPDIGGRAFGYKGRALVSIFMCLELYLIAVEFLILEGDNLNKLFPNMSFRVGGMKIGGKQGFVLLTALVILPTTWLRSLGMLAYVSAGGVLASVVLLGCVLWAGAVDGVGFHEGDLLWNWGGLPTAISLFTICYCGHAVFPIMCNSMKDRSQFSKVLLVCFITSTVIYGSMAVLGYLIYGENLNSQVTLNLPIRKISAKIAIYTALVNPLTKYAVIITPIAKAIEDTLRLGNNRSLSILVRTLIMISTLIVALTIPFFGYIMAFIGSSFSVAVSVLFPCLCYLRINKAARRFGLELVVIVGILTSGFFVAVVGTYTSLRQIINHL >Manes.05G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22869552:22876563:-1 gene:Manes.05G125500.v8.1 transcript:Manes.05G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNGWQFPVHTFQIVAITVFFLLSVAYYAFFAPFLGKDIYEYVAVGVYSVLALAVFILYVRCTAIDPADPGILLEVDETATHKSQNEKDIPGNASSIEEPSKISLKDGGKSSRNSSSWCSKVGGFFCGFLVKQDCCSDEDILQQQSGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFVILMATSLIWLVVEFGVGIAVLVRCFVDRKGMDHQITEKLGIGFSRPPFATVVAVCTAVSLLATVPLGELFFFHMILIRKGITTYEYVVAMRTQSEPPGPSVDGGDQQSLPSSPTSSAVTAVSGRSSIGMSLQYKGAWCTPPRIFMDHQDEIIPHLEPGRLPSTVDPDAIQEVDKGKKLPQRPVRISAWKLAKLDSNEAIKAAAKARASSSVLRPISSRHNPYDTDNLSSSNASGRSSPISTNQGFHNRNARTGTARVSPSRSNSYAPSHASRDDTETCNQSLSNISTVNVSHLTASPLHQQTSNRNHLNPMYLSSGNQSPCSIRPGEANGNAPQENAAQIHSRRNLGVMENLSTSVFWDPEAGRFVSSSRGVGSSQVPGTELLYTDQSIFFGGPLVNEPLGRGTRSGSSMAPGLDRGSTLSHYQQGRSQRGGQLPVFVPSDSQQNQFSSRLP >Manes.05G032100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2715293:2718809:-1 gene:Manes.05G032100.v8.1 transcript:Manes.05G032100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPQPCYGQRNARLGTRSTPERFHYSPGPPYSDASTVRKRNPVAGAAKSVVGLFMTCFNPTETINSTNFGESEEFKPPSVASDATGSNRDRRRSSGRGVYGSPQNSTHEREPGSVKFTMEEIYKATRNFSPSLKIGQGGFGTVYKGRLENGTLVAIKRAKKSLYDKHLGAEFQSEVRTLAQVEHLSLVKFYGFLQQDEERIIVVEYVRNGTLREHLDCVHGKVLDLALRLDIAIDVAHAVTYLHTYTDHPIIHRDIKSSNILLTENFRAKVADFGFARMGADSDSGATHVSTQVKGTAGYLDPEYLKTYQLTEKSDVFSFGVLLVELVTGRRPIEPKRELKERITARWAMKKFAEDDSISILDPRLERTAANNLLLEKILELALQCLAPHRQSRPGMKKCAEILWCIRKDCKELSDSDFHSLSSNSRSYSVSEE >Manes.05G032100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2714218:2718809:-1 gene:Manes.05G032100.v8.1 transcript:Manes.05G032100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPQPCYGQRNARLGTRSTPERFHYSPGPPYSDASTVRKRNPVAGAAKSVVGLFMTCFNPTETINSTNFGESEEFKPPSVASDATGSNRDRRRSSGRGVYGSPQNSTHEREPGSVKFTMEEIYKATRNFSPSLKIGQGGFGTVYKGRLENGTLVAIKRAKKSLYDKHLGAEFQSEVRTLAQVEHLSLVKFYGFLQQDEERIIVVEYVRNGTLREHLDCVHGKVLDLALRLDIAIDVAHAVTYLHTYTDHPIIHRDIKSSNILLTENFRAKVADFGFARMGADSDSGATHVSTQVKGTAGYLDPEYLKTYQLTEKSDVFSFGVLLVELVTGRRPIEPKRELKERITARWAMKKFAEDDSISILDPRLERTAANNLLLEKILELALQCLAPHRQSRPGMKKCAEILWCIRKDCKELSDSDFHSLSSNSRSYSVSEE >Manes.02G221441.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23102047:23102654:-1 gene:Manes.02G221441.v8.1 transcript:Manes.02G221441.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSVFNFCPLSKGEAPTIKSNQKQTSWLDDASCGKGIPSILTWKMRLKTMLTRTFKKLKSYTKMRLQIFKVNNIYVIYKF >Manes.15G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2846476:2850256:1 gene:Manes.15G036300.v8.1 transcript:Manes.15G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVELEAIEGLRWSWNAWPTTKNEVASLIIPLSIMCTPLMQSSELPILPYEPLTCTRCGAILNPYARVDYQSRIWGCPFCYQKNSFPISYSGIGEANLPAELFPTYSTVEYKIDKIDTKFASSSHLGYSWANGLSSSNTSLSSMTSLGTPRIAAGVGGGGELKGIGPAFVFVVDACTAEEELRAVKNELLLVIEQLPENALVGLVAFDSMVRVYDLGFSECSRVVVFHGEREISSEQIQQFLGVRSTKLQQLGKKPFVQNPGFLLPISECEFNITTAVEEICSLAVVMPGHRPQRCTGAAISVAVGLLEGCSVLTGSRIMVFTSGPATLGPGMIVDSDLSNAIRTHRDLINGHASHYRKSCSFYSRLSQRLSDASVVLDLFACSLDQVGAAELKAPVERSGGFMMLGELFESDQFRKCLRHIFGRDEEGSLKMYFDATIEVITTKDVKICGALGPCVSLRKNNGLVSDRETGEGGTYAWKLGTLTNKTCLTFLFEVGDEQKAHPGSAFFIQFITRYRHGNMGIRKRVTTAARRWVGNKSAEITSGFDQEAAASVMARLAIHRAETCYARDVVRWLDDNLICFASKFGDYIQEDASTFRLSTNFSLYPQFMYYLRRSQFIDVFNSTPDETAFFHLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVRSISRDVILLFDSYFHVVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEIDAEQLVVERVPAPKLIKCDQHSSQARFLLAKLNPSVTQNSTYTEGSEIILTDDLSLQDFIDHLQTLAVKA >Manes.16G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4760891:4765415:-1 gene:Manes.16G037300.v8.1 transcript:Manes.16G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRCLVSFLMQAIVLLLLLEEGRAQQHFYNVSRLRGRKQVSGCNLFQGRWVADSSYPLYDSSACPFIDDEFNCQKYGRPDSQYLKYSWQPDSCNLPRFNGEDFLMRWRGKKIMFVGDSLSLNMWESLSCMIHASLPNTKTSSVNQDSLHSVTFEDYGVTLFMYRTPYLVDIVKQSIGRVLKLDSIESGNAWTGMDLLIFNSWHWWTHTGKSQPWDYVSDGTGIYKDMNRLEAFYKGMSTWAKWVDLNVDPSKTKVFFQGISPTHYEGREWNQPKKNCYGEAEPLSGSTYPGGAPPAAAVVNKVLSTIKKPVYLLDITTLSQLRKDAHPSTYGGSGSGTDCSHWCLPGLPDTWNQLLYAALVM >Manes.06G059300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18441396:18443092:1 gene:Manes.06G059300.v8.1 transcript:Manes.06G059300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSVILSLLLTLLASFSCVTSLDDNFLQCFSSNLLNYTKPISEVVLAKNTSAYSSVFQSSVRNLRFLNTSTEKPEFIITPFHESHIQAAIVCAKTYDMQIRIRSGGHDYEGLSYVSEEKFVLIDLAHLRSISVDIEKENAWVESGATLGELYYKIAEKSNVYGFPAGSCPTVGVGGHISGGGFGTIFRKYGLAADTVVDAKIVDVNGNILNRKSMGEDLFWAIRGGGGASFGVIFAWKVRLVQVPPKVTVFKVAETLEEGANMLFQKWQRIGHKLPEDLFIHAVTGVVNASSNNNKKTIQISFDSLYLGEAEKLVPMMEENFPELDLKRENCTEMSWIQSVLYFAGFSTSESPEVLLNRTAQLKSFFKAKSDYVKKPISETGLQGLYKKLLEAETSELILTPYGGKMSEIKDSETPFPHRRGNIYKIQYMVTWDEEEETKQHLRWIRSLYSYMAPYVSKSPRAAYFNYRDLDLGRNKNGNTSFAQASVWGLKYFKNNFKRLAKVKTETDPSNFFRNEQSIPVFYL >Manes.17G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27646410:27647999:1 gene:Manes.17G076200.v8.1 transcript:Manes.17G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKTVKIMKISGETFKLKTPVKAGEVIKNHPGLVLLESEAVKHYGTRAKPLEPQQELVPKRLYFLVELPETSAEKSTRRVRSEIHMSAKDRLENLMLARRSTSDLSIINPSSTSNSIVPKESGAMRVKMRVPKAQVEKLMEESKDEAEATAKIMDLCMANKGGKSSIAPQQQVHWTGGHRRAEIESVKTRKRVNFRTISEGEMQTAVASY >Manes.05G155000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26610140:26614284:1 gene:Manes.05G155000.v8.1 transcript:Manes.05G155000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGEEAARRRTVVADYRKKLLQHKELESRVRAVRENLRSAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERMIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRSLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >Manes.02G033300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2751282:2764316:1 gene:Manes.02G033300.v8.1 transcript:Manes.02G033300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGGGVMAWNVFRFCTALRALGSIMIVLVIGIIGLTYYVVVIADYGPALFHGGLDSLVSFVVLLLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSIDEERGDADPLIGSEHSGSNVRLNQPSVLSDPANEGMRFCRKCNQFKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLVYTFLETTLVTLSLLRLFVAFFTEGEISGTPGTLVATFITFVLNLSFALSVLGFLIVHISLVLANTTTIEAYEKKTDPKWRYDLGWKKNFEQVLGTDKRYWPIPAYSENDLKRMPVLRGFEYQTRPDSDEFQQL >Manes.02G033300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2751282:2764316:1 gene:Manes.02G033300.v8.1 transcript:Manes.02G033300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSYFTTVLTDPGGVPPNWRPSIDEERGDADPLIGSEHSGSNVRLNQPSVLSDPANEGMRFCRKCNQFKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLVYTFLETTLVTLSLLRLFVAFFTEGEISGTPGTLVATFITFVLNLSFALSVLGFLIVHISLVLANTTTIEAYEKKTDPKWRYDLGWKKNFEQVLGTDKRYWPIPAYSENDLKRMPVLRGFEYQTRPDSDEFQQL >Manes.07G052630.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6255741:6256384:1 gene:Manes.07G052630.v8.1 transcript:Manes.07G052630.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQHFSYRHLLIPLHFDEESEEIKCIACERLIINSESFHGCLSCKYFLHDQCLNLPRWLHHPSHNSHPLTLLPTPTYPYRSYSCNECESLGSSFSFSCAQCEFDLHTECANLARTVVVDAHPRELKLTYEIPGDDNVSIVCDVCGRGVDKRFWMYRCVDCGFDCHLNCEKERIRSESAARDTGNGGWASKSKWWIGSN >Manes.05G138101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13294576:13312631:-1 gene:Manes.05G138101.v8.1 transcript:Manes.05G138101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLGSFRYTFAEKRERLLSTKGYSELGFPNIYEEEYAPRNCCSYRFCCDKIVEFCRSVQDVTKKAYEMGRSDPRKIVFAIKIGLSLMLISLLVFLKEPIKELSRYSVWAILTVVVVFEFSIGATLSKGFNRFLGTLSAGGIALAMAELAQLTGKWEEVFIILSIFFVGFCASYAKLYPTLKPYEYGFRVFLLTYCMVMVSGYRTREFIHTAITRFLLIALGAGVCLVINICIYPIWAGEDLHNLVVKNFMNVATSLEGCVNGYLNCVEYERIPSKILTYQASDDPLYSGYRSAVESTSQEDTLMSFAIWEPPHGPYKSFCYPWKNYVKVSGALRHCAFMVMALHGCILSEIQAPAERRQVFCQELQRVGSQGAKVLRELGNKVKKMEKLGSVDILYEVHEAAEELQNKVDRRSYLLVNSESWEIGNPAKERGEPQDSLNFDDDVSMNRVLQHKSHSEAVLNLRSLTIPKSWDGQKPPIKDLKLPMPAAPGLSSESMFKKQISWPARISFTSDALLQVEESKTYESASALSLATFTSLLIEFVARLQNLVDAFEELSEKANFKEPDELVSTGVAEPTGCCTRLLRSMFWN >Manes.05G098900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9177475:9179886:-1 gene:Manes.05G098900.v8.1 transcript:Manes.05G098900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNGMAFFPANFMLQTPHEEDHHHQPPTSLNPILPSCTPQDFHGMASFLGKRSMSFSGIDASCHEEANGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKADNDALQAQNQKLQAEISALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSNHPISRPFFPSSSIRPTGVAQLFHNNSSRSDHIHCQKNIDQMVKEETLSNMFCGIDDQSGFWPWLEQQHFN >Manes.05G098900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9177440:9179886:-1 gene:Manes.05G098900.v8.1 transcript:Manes.05G098900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNGMAFFPANFMLQTPHEEDHHHQPPTSLNPILPSCTPQDFHGMASFLGKRSMSFSGIDASCHEEANGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKADNDALQAQNQKLQAEISALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSNHPISRPFFPSSSIRPTGVAQLFHNNSSRSDHIHCQKNIDQMVKEETLSNMFCGIDDQSGFWPWLEQQHFN >Manes.05G098900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9177475:9180025:-1 gene:Manes.05G098900.v8.1 transcript:Manes.05G098900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNGMAFFPANFMLQTPHEEDHHHQPPTSLNPILPSCTPQDFHGMASFLGKRSMSFSGIDASCHEEANGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKADNDALQAQNQKLQAEISALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSNHPISRPFFPSSSIRPTGVAQLFHNNSSRSDHIHCQKNIDQMVKEETLSNMFCGIDDQSGFWPWLEQQHFN >Manes.05G098900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9177475:9179886:-1 gene:Manes.05G098900.v8.1 transcript:Manes.05G098900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNGMAFFPANFMLQTPHEEDHHHQPPTSLNPILPSCTPQDFHGMASFLGKRSMSFSGIDASCHEEANGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKADNDALQAQNQKLQAEISALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSNHPISRPFFPSSSIRPTGVAQLFHNNSSRSDHIHCQKNIDQMVKEETLSNMFCGIDDQSGFWPWLEQQHFN >Manes.05G098900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9177475:9180025:-1 gene:Manes.05G098900.v8.1 transcript:Manes.05G098900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCNGMAFFPANFMLQTPHEEDHHHQPPTSLNPILPSCTPQDFHGMASFLGKRSMSFSGIDASCHEEANGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFEAIKADNDALQAQNQKLQAEISALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLSNHPISRPFFPSSSIRPTGVAQLFHNNSSRSDHIHCQKNIDQMVKEETLSNMFCGIDDQSGFWPWLEQQHFN >Manes.02G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2814700:2820650:-1 gene:Manes.02G033900.v8.1 transcript:Manes.02G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVFSAPGDYVYFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGIAGTADVYYKQIMSLSMKGYRVISVDIPRVWNHQEWIQAFEKFLDVIDVHHIHLYGTSLGGFLAQLFAHYRPRRVRSLILSNTFLDTSSFAAAMPWAPVVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSREDLASRLTLNVDAASVGSLLLSDSYITIMDTNDYCAIPQQLKDQLSERYPEARRAYLKSGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLVRGIPKDGTGGSHSEKEEKKEDPDDQPKDDRSSESQSGENPLTPAESSESHAVEQLLSNAKSCLIAQEDTSHLCESLKKQYITTAEILLLSTCESYLPLLLASYVESLYITSKLLSESRAIGVK >Manes.09G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6436104:6439382:-1 gene:Manes.09G031800.v8.1 transcript:Manes.09G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPQPPFPPLPTATFTAVPGDFSTGTTATSTISSAAGLSVEVPNSNNHIAHAANPNPTVNQSFNHPPYADMIFAAITALKERDGSSKRAISKYIEKAYAGLPPTHPALLTHHLKRLKSSGLLEMVKKSYKLPRSDASNDINNTDLTQSQSESQPHPVAGSAASSLSGPKRGRGRPPKPKSISISLIDSIAGAVQPIERPNSLANAALGLSLSTQPNVAAYPLSIQPNIAANLPNAGTPAAQLQMDKKGPGRPKKVAGQGAPLLAKRRGRPPKGVSIGAKKSPGRPRKVPKRLPKSVVVPYATGATVPIVPRPRGRPKKSPVLAAADGSVVVPARKPGRPPKIGGVVKAKEGRPVGRPKKNANVQWAVTDASQQQEEAYGDLKRKFEIYQSRVKQAVGVLKPQLNSETASSAVAAIEELEALASIDINLPSREEAQSALIQN >Manes.09G031800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6436104:6439382:-1 gene:Manes.09G031800.v8.1 transcript:Manes.09G031800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPQPPFPPLPTATFTAVPGDFSTGTTATSTISSAAGLSVEVPNSNNHIAHAANPNPTVNQSFNHPPYADMIFAAITALKERDGSSKRAISKYIEKAYAGLPPTHPALLTHHLKRLKSSGLLEMVKKSYKLPRSDASNDINNTDLTQSQSESQPHPVAGSAASSLSGPKRGRGRPPKPKSISISLIDSIAGAVQPIERPNSLANAALGLSLSTQPNVAAYPLSIQPNIAANLPNAGTPAAQLQMDKKGPGRPKKVAGQGAPLLAKRRGRPPKGVSIGAKKSPGRPRKVPKRLPKSVVVPYATGATVPIVPRPRGRPKKSPVLAAADGSVVVPARKPGRPPKIGGVVKAKEGRPVGRPKKWAVTDASQQQEEAYGDLKRKFEIYQSRVKQAVGVLKPQLNSETASSAVAAIEELEALASIDINLPSREEAQSALIQN >Manes.09G031800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6436104:6439386:-1 gene:Manes.09G031800.v8.1 transcript:Manes.09G031800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPQPPFPPLPTATFTAVPGDFSTGTTATSTISSAAGLSVEVPNSNNHIAHAANPNPTVNQSFNHPPYADMIFAAITALKERDGSSKRAISKYIEKAYAGLPPTHPALLTHHLKRLKSSGLLEMVKKSYKLPRSDASNDINNTDLTQSQSESQPHPVAGSAASSLSGPKRGRGRPPKPKSISISLIDSIAGAVQPIERPNSLANAALGLSLSTQPNVAAYPLSIQPNIAANLPNAGTPAAQLQMDKKGPGRPKKVAGQGAPLLAKRRGRPPKGVSIGAKKSPGRPRKVPKRLPKSVVVPYATGATVPIVPRPRGRPKKSPVLAAADGSVVVPARKPGRPPKIGGVVKAKEGRPVGRPKKQSRVKQAVGVLKPQLNSETASSAVAAIEELEALASIDINLPSREEAQSALIQN >Manes.02G149900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11493949:11498632:-1 gene:Manes.02G149900.v8.1 transcript:Manes.02G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPSREESIYLAKLAEQAERYEEMVEFMEKVSASVDNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSMIRDYRTKIESELSSICDGILKLLDTRLIPSASPGDSKVFYLKMKGDYHRYLAEFKTGADRKEAAESTLTAYKSAQDIATTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDEGADEIKEAPKPSEEQK >Manes.05G041000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3311299:3313748:1 gene:Manes.05G041000.v8.1 transcript:Manes.05G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNHKQEFEEDDETRSTDDFRINGVTDARHDKVEPTTESTLSAKEVAPKEEPDTEERSSLPLCVMPVAVHVPAAPPKKPSGKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIVAATGTGTVPAIAMSVNGTLKIPTTSNANSEPSDPFAKKKRKRPANSEYIDISDAAVSISAPLAPITTSPPPQPVAAIATVVPQGFVPMWAIPSNAIVPGAFFMVPPLATSIVGPSNQPQIFAFPAAATPLINISARPISSFASYMQRAAVATPASSSHISGTKPAKATSVMASSSSSAPASSTTSTTQMLRDFSLEVYDKEELQFMTRSSKQ >Manes.08G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4566676:4567738:-1 gene:Manes.08G045900.v8.1 transcript:Manes.08G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCMVSTTDGVCLQGRIFKPPQEATKNGSSGTAIVLVHPYSKLGGCQGLMQGMALRLAIKGFLAITFDMRGVGRSTGRCSLTGLPEIQDVIAVCRWVSQNLPAKKILLVGSSAGAPIAGSVVDQVEEVIGYVSIGYPFGLAASVLFGRHYKAILRSRKPKLFIMGTKDEFTSLQQLEKKLMSACGRVQAHLIVGVGHFEVEGPAYEARMVDLIVGFVAPLKQ >Manes.14G159112.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21422462:21488273:1 gene:Manes.14G159112.v8.1 transcript:Manes.14G159112.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETKFSVMVSLFEWIQKTKYSAKKRSKFRKFLDTFCKPEDYFSAIRLILPSLDRERGSYGLKESVLATCLVDALGLSKESPDALRLFNWRKGGAKTGVNAGNFSLVAFEVLQSRQVMSSAGLTIKELNDLLDRLALNENRADKTSVLSTLIKKTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLKDRSQRHKRQDIEVGKAVRPQLAMRVSDAQSAWKKLHVKEVVMECKFDGDRIQIHKNGGEIHYFSRNFLDHSEYGHGMSGIITQNVLADRCILDGEMLVWDSSSNRFAEFGSNQEIAKAARDGLDSHRQLCYVAFDILYVGDTSVIHQSLRERHDLLRKFVRPLKGRLEILVPDGGLNDRRLPGEPPCSLIAYNVNAVERFFEETVKNRDEGIILKDLGSKWEPSDRSGKWLKMKPEYIQATSDLDVLIIGGYYGSGRHGGEVAQFLVGLAERPAPNTFPRRFISFCRVGTGLSDDELAAVVTKLKPYFRKYEYPKKGPPSFYQVTNNSKERPDVWIESPEKSIILSITSDIRTISSEVFAAPYSLRFPRINRVRCDKPWHECLDVQSFIELVHSSNGTTQKGKESGVLQDSKQTVKKSSKRGGRKNASIVPSHFMETDVSDVKEETLIFSKMMFYFINVPPTHSLESMHKMVTENGGTFSMNLNQSVTHCVAAESKGIKYQAAKRHGDIIHCSWVLDCCSQKSLLPLQPKHFLFLSDASKKKLQEEIDEFSDSYYWEVDLANIKQLLDNINASEDANRIDYFKRKYCPKDKWSRFHGCSTYFYLLAQSLTPDWESVMGLKMRRLKLEVLMGGGQVSNNLANATHLVVLTVPGSTVDFDSLIKREISTHEQEIACDWMSMVGELLGNGDKIARGHV >Manes.14G159112.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21422462:21488273:1 gene:Manes.14G159112.v8.1 transcript:Manes.14G159112.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETKFSVMVSLFEWIQKTKYSAKKRSKFRKFLDTFCKPEDYFSAIRLILPSLDRERGSYGLKESVLATCLVDALGLSKESPDALRLFNWRKGGAKTGVNAGNFSLVAFEVLQSRQVMSSAGLTIKELNDLLDRLALNENRADKTSVLSTLIKKTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLKDRSQRHKRQDIEVGKAVRPQLAMRVSDAQSAWKKLHVKEVVMECKFDGDRIQIHKNGGEIHYFSRCILDGEMLVWDSSSNRFAEFGSNQEIAKAARDGLDSHRQLCYVAFDILYVGDTSVIHQSLRERHDLLRKFVRPLKGRLEILVPDGGLNDRRLPGEPPCSLIAYNVNAVERFFEETVKNRDEGIILKDLGSKWEPSDRSGKWLKMKPEYIQATSDLDVLIIGGYYGSGRHGGEVAQFLVGLAERPAPNTFPRRFISFCRVGTGLSDDELAAVVTKLKPYFRKYEYPKKGPPSFYQVTNNSKERPDVWIESPEKSIILSITSDIRTISSEVFAAPYSLRFPRINRVRCDKPWHECLDVQSFIELVHSSNGTTQKGKESGVLQDSKQTVKKSSKRGGRKNASIVPSHFMETDVSDVKEETLIFSKMMFYFINVPPTHSLESMHKMVTENGGTFSMNLNQSVTHCVAAESKGIKYQAAKRHGDIIHCSWVLDCCSQKSLLPLQPKHFLFLSDASKKKLQEEIDEFSDSYYWEVDLANIKQLLDNINASEDANRIDYFKRKYCPKDKWSRFHGCSTYFYLLAQSLTPDWESVMGLKMRRLKLEVLMGGGQVSNNLANATHLVVLTVPGSTVDFDSLIKREISTHEQEIACDWMSMVGELLGNGDKIARGHV >Manes.14G159112.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21437864:21488273:1 gene:Manes.14G159112.v8.1 transcript:Manes.14G159112.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIITQNVLADRCILDGEMLVWDSSSNRFAEFGSNQEIAKAARDGLDSHRQLCYVAFDILYVGDTSVIHQSLRERHDLLRKFVRPLKGRLEILVPDGGLNDRRLPGEPPCSLIAYNVNAVERFFEETVKNRDEGIILKDLGSKWEPSDRSGKWLKMKPEYIQATSDLDVLIIGGYYGSGRHGGEVAQFLVGLAERPAPNTFPRRFISFCRVGTGLSDDELAAVVTKLKPYFRKYEYPKKGPPSFYQVTNNSKERPDVWIESPEKSIILSITSDIRTISSEVFAAPYSLRFPRINRVRCDKPWHECLDVQSFIELVHSSNGTTQKGKESGVLQDSKQTVKKSSKRGGRKNASIVPSHFMETDVSDVKEETLIFSKMMFYFINVPPTHSLESMHKMVTENGGTFSMNLNQSVTHCVAAESKGIKYQAAKRHGDIIHCSWVLDCCSQKSLLPLQPKHFLFLSDASKKKLQEEIDEFSDSYYWEVDLANIKQLLDNINASEDANRIDYFKRKYCPKDKWSRFHGCSTYFYLLAQSLTPDWESVMGLKMRRLKLEVLMGGGQVSNNLANATHLVVLTVPGSTVDFDSLIKSFTGAEKYLLMNRRLHVIGCQWLENSLETETKLQEDMYSLKPFGLQDLNIEECKFDPDREEGSCNFDGLGNQKLPSITDSKATEGEASKRAQITVSPKGDAKRKRGRPFGKSVKRGTGLGRARRTRPRIGKSAKLCEIGSDESGPSGDDTNEGGNKTNRGNQEIDAEVSNEVPEMKQTGRR >Manes.14G159112.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21422462:21488273:1 gene:Manes.14G159112.v8.1 transcript:Manes.14G159112.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETKFSVMVSLFEWIQKTKYSAKKRSKFRKFLDTFCKPEDYFSAIRLILPSLDRERGSYGLKESVLATCLVDALGLSKESPDALRLFNWRKGGAKTGVNAGNFSLVAFEVLQSRQVMSSAGLTIKELNDLLDRLALNENRADKTSVLSTLIKKTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLKDRSQRHKRQDIEVGKAVRPQLAMRVSDAQSAWKKLHVKEVVMECKFDGDRIQIHKNGGEIHYFSRCILDGEMLVWDSSSNRFAEFGSNQEIAKAARDGLDSHRQLCYVAFDILYVGDTSVIHQSLRERHDLLRKFVRPLKGRLEILVPDGGLNDRRLPGEPPCSLIAYNVNAVERFFEETVKNRDEGIILKDLGSKWEPSDRSGKWLKMKPEYIQATSDLDVLIIGGYYGSGRHGGEVAQFLVGLAERPAPNTFPRRFISFCRVGTGLSDDELAAVVTKLKPYFRKYEYPKKGPPSFYQVTNNSKERPDVWIESPEKSIILSITSDIRTISSEVFAAPYSLRFPRINRVRCDKPWHECLDVQSFIELVHSSNGTTQKGKESGVLQDSKQTVKKSSKRGGRKNASIVPSHFMETDVSDVKEETLIFSKMMFYFINVPPTHSLESMHKMVTENGGTFSMNLNQSVTHCVAAESKGIKYQAAKRHGDIIHCSWVLDCCSQKSLLPLQPKHFLFLSDASKKKLQEEIDEFSDSYYWEVDLANIKQLLDNINASEDANRIDYFKRKYCPKDKWSRFHGCSTYFYLLAQSLTPDWESVMGLKMRRLKLEVLMGGGQVSNNLANATHLVVLTVPGSTVDFDSLIKSFTGAEKYLLMNRRLHVIGCQWLENSLETETKLQEDMYSLKPFGLQDLNIEECKFDPDREEGSCNFDGLGNQKLPSITDSKATEGEASKRAQITVSPKGDAKRKRGRPFGKSVKRGTGLGRARRTRPRIGKSAKLCEIGSDESGPSGDDTNEGGNKTNRGNQEIDAEVSNEVPEMKQTGRR >Manes.14G159112.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21422462:21488273:1 gene:Manes.14G159112.v8.1 transcript:Manes.14G159112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETKFSVMVSLFEWIQKTKYSAKKRSKFRKFLDTFCKPEDYFSAIRLILPSLDRERGSYGLKESVLATCLVDALGLSKESPDALRLFNWRKGGAKTGVNAGNFSLVAFEVLQSRQVMSSAGLTIKELNDLLDRLALNENRADKTSVLSTLIKKTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLKDRSQRHKRQDIEVGKAVRPQLAMRVSDAQSAWKKLHVKEVVMECKFDGDRIQIHKNGGEIHYFSRNFLDHSEYGHGMSGIITQNVLADRCILDGEMLVWDSSSNRFAEFGSNQEIAKAARDGLDSHRQLCYVAFDILYVGDTSVIHQSLRERHDLLRKFVRPLKGRLEILVPDGGLNDRRLPGEPPCSLIAYNVNAVERFFEETVKNRDEGIILKDLGSKWEPSDRSGKWLKMKPEYIQATSDLDVLIIGGYYGSGRHGGEVAQFLVGLAERPAPNTFPRRFISFCRVGTGLSDDELAAVVTKLKPYFRKYEYPKKGPPSFYQVTNNSKERPDVWIESPEKSIILSITSDIRTISSEVFAAPYSLRFPRINRVRCDKPWHECLDVQSFIELVHSSNGTTQKGKESGVLQDSKQTVKKSSKRGGRKNASIVPSHFMETDVSDVKEETLIFSKMMFYFINVPPTHSLESMHKMVTENGGTFSMNLNQSVTHCVAAESKGIKYQAAKRHGDIIHCSWVLDCCSQKSLLPLQPKHFLFLSDASKKKLQEEIDEFSDSYYWEVDLANIKQLLDNINASEDANRIDYFKRKYCPKDKWSRFHGCSTYFYLLAQSLTPDWESVMGLKMRRLKLEVLMGGGQVSNNLANATHLVVLTVPGSTVDFDSLIKSFTGAEKYLLMNRRLHVIGCQWLENSLETETKLQEDMYSLKPFGLQDLNIEECKFDPDREEGSCNFDGLGNQKLPSITDSKATEGEASKRAQITVSPKGDAKRKRGRPFGKSVKRGTGLGRARRTRPRIGKSAKLCEIGSDESGPSGDDTNEGGNKTNRGNQEIDAEVSNEVPEMKQTGRR >Manes.04G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2674857:2680256:1 gene:Manes.04G022200.v8.1 transcript:Manes.04G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVLALQGSFNEHIAVLRRLGVKGVEIRKPEQLQDVSYLIIPGGESTTMAKLAEYHNLFPALREFVKTGKPVWGTCAGLIFLADKAIGQKTGGQELVGGLDCTVHRNYFGSQIQSFEADLLVPELVSKEGGPETFRGVFIRAPAVLEVGPGVDVLAEYPVSSTNVLYSSSAVQIQEENAVPEKKVVVAVKQGNLLGTAFHPELTADTRWHSYFLKMGSEAQEEASSSIVPVGVDLSFNEKARIDLPIYQ >Manes.04G022200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2674857:2676781:1 gene:Manes.04G022200.v8.1 transcript:Manes.04G022200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVLALQGSFNEHIAVLRRLGVKGVEIRKPEQLQDVSYLIIPGGESTTMAKLAEYHNLFPALREFVKTGKPVWGTCAGLIFLADKAIGQKTGGQELVGGLDCTVHRNYFGSQIQSFEADLLVPELVSKEGGPETFRGVFIRAPAVLEVGPGVDVLAEYPVSSTNVLYSSSAVQIQEVCF >Manes.13G092670.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27655019:27655477:-1 gene:Manes.13G092670.v8.1 transcript:Manes.13G092670.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPQALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELLGLFWSRYIWDESEEDMV >Manes.03G199000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31586745:31587545:1 gene:Manes.03G199000.v8.1 transcript:Manes.03G199000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQLDHLVRIGHEGFDRSSSSGRSENNRHHQNNQQQLVYYGPQVSTMRMPVIVSNSYEIAQYYCSGTDTVREPVIFSDEAMKIHGGFVTMDYGRRYHFHSTN >Manes.08G107900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34739308:34744286:1 gene:Manes.08G107900.v8.1 transcript:Manes.08G107900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDKPSSSSSISSPSISVGEIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFVKETITRQEAESKVKTMEAEICTLQKRLEERNGQLQASSSTAEKYLKELDGLRSQLAATQATADASTSSAQSAQLQCLALLKELDMKNNSLKEHEESVKRLEVQLDNLQKDLKARESSQKQLKDEVLRVEQDIMQAIAKTGAGKDCELRKLLDEVSPKNFEKINKLLVVKDEEIAKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRMGERRDKALKELREQLANKQQPVAVGNNEKQNFWESSSFKIIASMSMLVLVVFSKR >Manes.08G107900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34739308:34744286:1 gene:Manes.08G107900.v8.1 transcript:Manes.08G107900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDKPSSSSSISSPSISVGEIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFVKETITRQEAESKVKTMEAEICTLQKRLEERNGQLQASSSTAEKYLKELDGLRSQLAATQATADASTSSAQSAQLQCLALLKELDMKNNSLKEHEESVKRLEVQLDNLQKDLKARESSQKQLKDEVLRVEQDIMQAIAKTGAGKDCELRKLLDEVSPKNFEKINKLLVVKDEEIAKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRMGERRDKALKELREQLANKQQPVAVGNNEKQNFWESSSFKIIASMSMLVLVVFSKR >Manes.08G107900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34739237:34744293:1 gene:Manes.08G107900.v8.1 transcript:Manes.08G107900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDKPSSSSSISSPSISVGEIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFVKETITRQEAESKVKTMEAEICTLQKRLEERNGQLQASSSTAEKYLKELDGLRSQLAATQATADASTSSAQSAQLQCLALLKELDMKNNSLKEHEESVKRLEVQLDNLQKDLKARESSQKQLKDEVLRVEQDIMQAIAKTGAGKDCELRKLLDEVSPKNFEKINKLLVVKDEEIAKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRMGERRDKALKELREQLANKQQPVAVGNNEKQNFWESSSFKIIASMSMLVLVVFSKR >Manes.08G107900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34739223:34745007:1 gene:Manes.08G107900.v8.1 transcript:Manes.08G107900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDKPSSSSSISSPSISVGEIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFVKETITRQEAESKVKTMEAEICTLQKRLEERNGQLQASSSTAEKYLKELDGLRSQLAATQATADASTSSAQSAQLQCLALLKELDMKNNSLKEHEESVKRLEVQLDNLQKDLKARESSQKQLKDEVLRVEQDIMQAIAKTGAGKDCELRKLLDEVSPKNFEKINKLLVVKDEEIAKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRVSFQEQMFGIDYHG >Manes.08G107900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34738996:34745024:1 gene:Manes.08G107900.v8.1 transcript:Manes.08G107900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDKPSSSSSISSPSISVGEIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFVKETITRQEAESKVKTMEAEICTLQKRLEERNGQLQASSSTAEKYLKELDGLRSQLAATQATADASTSSAQSAQLQCLALLKELDMKNNSLKEHEESVKRLEVQLDNLQKDLKARESSQKQLKDEVLRVEQDIMQAIAKTGAGKDCELRKLLDEVSPKNFEKINKLLVVKDEEIAKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRMGERRDKALKELREQLANKQQPVAVGNNEKQNFWESSSFKIIASMSMLVLVVFSKR >Manes.08G107900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34739012:34744710:1 gene:Manes.08G107900.v8.1 transcript:Manes.08G107900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDKPSSSSSISSPSISVGEIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFVKETITRQEAESKVKTMEAEICTLQKRLEERNGQLQASSSTAEKYLKELDGLRSQLAATQATADASTSSAQSAQLQCLALLKELDMKNNSLKEHEESVKRLEVQLDNLQKDLKARESSQKQLKDEVLRVEQDIMQAIAKTGAGKDCELRKLLDEVSPKNFEKINKLLVVKDEEIAKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRMGERRDKALKELREQLANKQQPVAVGNNEKQNFWESSSFKIIASMSMLVLVVFSKR >Manes.08G107900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34738996:34745024:1 gene:Manes.08G107900.v8.1 transcript:Manes.08G107900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDKPSSSSSISSPSISVGEIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFVKETITRQEAESKVKTMEAEICTLQKRLEERNGQLQASSSTAEKYLKELDGLRSQLAATQATADASTSSAQSAQLQCLALLKELDMKNNSLKEHEESVKRLEVQLDNLQKDLKARESSQKQLKDEVLRVEQDIMQAIAKTGAGKDCELRKLLDEVSPKNFEKINKLLVVKDEEIAKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRMGERRDKALKELREQLANKQQPVAVGNNEKQNFWESSSFKIIASMSMLVLVVFSKR >Manes.08G107900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34739223:34745007:1 gene:Manes.08G107900.v8.1 transcript:Manes.08G107900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDKPSSSSSISSPSISVGEIDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQSFVKETITRQEAESKVKTMEAEICTLQKRLEERNGQLQASSSTAEKYLKELDGLRSQLAATQATADASTSSAQSAQLQCLALLKELDMKNNSLKEHEESVKRLEVQLDNLQKDLKARESSQKQLKDEVLRVEQDIMQAIAKTGAGKDCELRKLLDEVSPKNFEKINKLLVVKDEEIAKLKDEIRIMSAHWKLKTKELETQLEKQRRADQELKKRVLKLEFCLQEARTQTRKLQRVSFQEQMFGIDYHG >Manes.04G001400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:490849:496292:1 gene:Manes.04G001400.v8.1 transcript:Manes.04G001400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYIACLILFSCIPVRELAEGDVLKIFLKERELNGDFVSKASDMFWLKEVEKFVDFDSAKLADNPQESEQVIGSDNDEGFLKLSKTQEWILGDNSAPMNKKAIAKAMQNDSERRKKLNLLRYEALKRELMLLSVAIGTACSGYCLIALSLEAAISYVVGVLFSCLYLQLLCQHADNLSKDMIPPIFMQKKPKKIGIRSEDIRDSIERSIKGSGMALSSPRLVIPAAIYGLWVLSHQYFGSDVFDFQIVPAMFGMFVYKAAALVQLYRDNEDLKFVFPEKVQGSRNR >Manes.04G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:490849:496292:1 gene:Manes.04G001400.v8.1 transcript:Manes.04G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSTITCFSTCTRTSFSRSAPKPFFKRIPPTVSLFRATSHPVRELAEGDVLKIFLKERELNGDFVSKASDMFWLKEVEKFVDFDSAKLADNPQESEQVIGSDNDEGFLKLSKTQEWILGDNSAPMNKKAIAKAMQNDSERRKKLNLLRYEALKRELMLLSVAIGTACSGYCLIALSLEAAISYVVGVLFSCLYLQLLCQHADNLSKDMIPPIFMQKKPKKIGIRSEDIRDSIERSIKGSGMALSSPRLVIPAAIYGLWVLSHQYFGSDVFDFQIVPAMFGMFVYKAAALVQLYRDNEDLKFVFPEKVQGSRNR >Manes.04G001400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:490849:496292:1 gene:Manes.04G001400.v8.1 transcript:Manes.04G001400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSTITCFSTCTRTSFSRSAPKPFFKRIPPTVSLFRATSHPVRELAEGDVLKIFLKERELNGDFVSKASDMFWLKEVEKFVDFDSAKLADNPQESEQVIGSDNDEGFLKLSKTQEWILGDNSAPMNKKAIAKAMQNDSERRKKLNLLRYEALKRELMLLSVAIGTACSGYCLIALSLEAAISYVVGVLFRLVDTLPDYLMR >Manes.07G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:413964:417153:1 gene:Manes.07G001600.v8.1 transcript:Manes.07G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASKHSLHLFGLLIVFLVSLLLVLTNQATAFDGDFIPLNFSRSYFPDDFIFGTATSAYQIEGAANKFGRGASVWDTFTHQYPERILDHSTGDVADGFYYRFKEDIQNVKNMGFNAFRFSISWPRVIPSGTRREGINEQGIEFYNKVINEIINQGMEPFVTIFHWDTPQTIEDKYGGFLSANIVKDYREYADLLFERFGDRVKFWMTFNEPWSLSGFAYDDGVFAPGRCSSWVNRQCRAGDSATEPYIVAHHLLLAHAAAVKIYRENYQETQNGKIGITLFTYWFEPLSNSTDDMQASRTALDFMFGLWMDPITYGRYPRTVQDLVGNRLLNFTEEETDLLRGSYDFIGLQYYTSYYAKPNAPYDPNHIRYLTDNRVTETPYDYNGNLIGPQAYSDWFYIFPKGIRHLLNYTKDTYNDPVIYITENGVDNQNNETEPIQDAVKDGFRIEYHRKHMWNALGSLKFYHVNLKGYFAWSYLDNFEWNIGYTARFGLYYVDYNNNLTRIPKDSAYWFKAFLNPENITKTTRTVSWDSRKAGKFYIM >Manes.05G052300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4349800:4351804:-1 gene:Manes.05G052300.v8.1 transcript:Manes.05G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRDEIRKGPWKAEEDEVLINHVQRYGARDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFSMEEERVVIELQAQFGNKWAKIATYLPGRTDNDVKNFWSSRQKRLARILQTSATPSSSSSSNFKPRKAKKEVSVFHEVPTHQAPVFSFSMEEESSTKAQSCSSSFIETTEPVSTVPLPFHADLVKTELPSYEANLAQMEAQTQIPFPEISQNQPDLTFSPESQELLARLDDPFLFNMFGAVDAPELGAQPSLGPPLFGPVSSCINGGREARSPTTHGTFFDDFPSDVFDNIEPLPSP >Manes.09G112500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31444658:31447653:-1 gene:Manes.09G112500.v8.1 transcript:Manes.09G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTIGKHSFLRNVMARVLLFGVLIIVVRFAYIVTIAGESCNLGDFCFFSLPENFSFIIAGTGTGASAANRVVRPAASIQPDLYTSKDWIKAVHFYSSVFQDLMAEGHLSPTFKSLCVETPTGQDVYALKEIGVPDSVGIFKKAFKPLVISSKTNRIPFDDDTFDFVFSGHGGIDKASRPLDLASEISRTLKPEGFVVVHVKAKDTYSFNSFLDLFNSCKLIKSHNIDGYDSSMPYIREIILQKQSGFFGRGVKEPSGNSVDKCSVPEHKRELVRNAEPLIAKEPLKPWITLKRNIKNIKYLPSMADISFKNRYVYVDVGARSYGSSIGSWFRKQYPKQNRTFNVYAIEADKAFHEEYRVKKGVTLLPYAAWVRNETLSFEINHDPGQEVKDRGRGMGRIQPVKLTKQGDESFNGEVDEIQGFDFAMWLKKTVTDKDFVVMKMDVEGTEFDLIPRLFETGAICLIDEIFLECHYNRWQRCCPGERSSKYEKTYGQCLELLTSLRESGVLVHQWW >Manes.02G140400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10809439:10815022:1 gene:Manes.02G140400.v8.1 transcript:Manes.02G140400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNERLANFALAGLTLAPLLVKVDPNLNVILTACLAVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIKRYLPKHWNDDLITWHFPYFRSLEIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGVILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAKRPFSMLGLGDIVIPGIFVALALRFDVSRGKDSQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHVIWNGEVQPLLEFNESKSASSSQDSSEIDSAKKVE >Manes.02G140400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10809553:10814867:1 gene:Manes.02G140400.v8.1 transcript:Manes.02G140400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNERLANFALAGLTLAPLLVKVDPNLNVILTACLAVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIKRYLPKHWNDDLITWHFPYFRSLEIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGVILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAKRPFSMLGLGDIVIPGIFVALALRFDVSRGKDSQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHVIWNGEVQPLLEFNESKSASSSQDSSEIDSAKKVE >Manes.02G140400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10809452:10815022:1 gene:Manes.02G140400.v8.1 transcript:Manes.02G140400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNERLANFALAGLTLAPLLVKVDPNLNVILTACLAVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIKRYLPKHWNDDLITWHFPYFRSLEIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGVILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAKRPFSMLGLGDIVIPGIFVALALRFDVSRGKDSQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHVIWNGEVQPLLEFNESKSASSSQDSSEIDSAKKVE >Manes.02G140400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10809635:10814867:1 gene:Manes.02G140400.v8.1 transcript:Manes.02G140400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNERLANFALAGLTLAPLLVKVDPNLNVILTACLAVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIKRYLPKHWNDDLITWHFPYFRSLEIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGVILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAKRPFSMLGLGDIVIPGIFVALALRFDVSRGKDSQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHVIWNGEVQPLLEFNESKSASSSQDSSEIDSAKKVE >Manes.02G140400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10809635:10814867:1 gene:Manes.02G140400.v8.1 transcript:Manes.02G140400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNERLANFALAGLTLAPLLVKVDPNLNVILTACLAVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATLLPAIKRYLPKHWNDDLITWHFPYFRSLEIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGVILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAKRPFSMLGLGDIVIPGIFVALALRFDVSRGKDSQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPAVIGFLAAHVIWNGEVQPLLEFNESKSASSSQDSSEIDSAKKVE >Manes.01G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31181610:31191437:1 gene:Manes.01G114300.v8.1 transcript:Manes.01G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIRLQPEEADLTQHQTRATTADLVSDDERSVAADSWSIKSDYGSTLDDDQRHADAAEALCSANFRAASDYNSDKDEADGEGGASMLGLQTYWDAAYADELANFREHGHAGEIWFGSDVMDTVVSWTKSLCIEISRGQIPNHIDDIKSEPGEQGDKYLSSWSVLDIGTGNGLLLQELAKQGFSDLTGVDYSEGAIDLARNLADRDGFSSINFLVDDVLETKIERKFQLVMDKGTLDAVGLHPDGPIKRIMYWDSVSKLVASGGILVITSCNNTKDELVQEVENFNHRNNPSQGLESQKDQVSGDPPVFRYLNHVQTYPTFMFGGSVGSRVATVAFLRS >Manes.14G125100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9893130:9894770:-1 gene:Manes.14G125100.v8.1 transcript:Manes.14G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSISSIFSILVILVLSPSFSLSLLIRDSFLQCLNVNTETVIPYSTAFFTPDNSSFSSILQSSAQNLRYLLPSVPKPEFIFTPLHETHIQAAVICSKQLGIHLRVRSGGHDYEGLSYTSEIETPFIIVDLSKLRSVTVDIEDNSAWVQAGATIGEAYYRIAEKSKIHGFPAGLCSSLGVGGHITGGAYGSMMRKYGLGADNVIDARIIDVNGRVLNRQAMGEDLFWAIRGGGGASFGIIVSWKLKLVPVPATVTVFTVTKTLEQNATEILYRWQQVADKLDEDLFIRVIIQPATVGNSTTRTITTSYNALFLGDANRLLHVMEKSFPELGLTRKDCIETSWIKSVLYIAGYPSTTPPEILLQGKSLFKNYFKAKSDFVKEPIPETGLKGLWKRLLNEDIPLMIWNPYGGMMSKISEYEIPFPHRKGNSFMIQYLSIWQDGEKSAAKHMKWIRKLYNYMAPYVSMFPRSAYVNYRDLDLGKNKKMNTSFIEATAWGNKYFNDNFNRLVEVKTKVDPDNFFRHEQSIPPLPVSMWKRRARGGEGVRV >Manes.16G087200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29374002:29396100:-1 gene:Manes.16G087200.v8.1 transcript:Manes.16G087200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEERWCVVTGGRGFAARHLVEMLIRYDMFLVRIADLDPAIQLSAEEESGALGEALKSGRAKYVSADLRDKAQVLKAIEGAEVVFHTAAPNSSVNNFQLHYSVNVRGTKNVIDACVELKVKRLIYTSSASVVFDGTHGIFNGDESLPYPDKPLDSYTATKSEGEAAIIKANGANGLRTCSLRPSSIFGPGDRLFVPSLVAAARAGKSKFIIGDGNNIYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFITNMEPIKFWEFTSLVLGGLGYERPRIKIPAFAMMPLAHVVERTYELLGPYGMKVPQLIPSRIQLLSCSRSFSCSKAKERLGYTPIVSLEEGLKRTLESFSHLRAGNQPKREGPSKAHRYLGSGRVADTLLWKDKRQTLMTLLILIAIYYNFVASQSTIITALSKLLLVVSIFLFVHGNLPERIFGYTIEKIPMSYFHLSEDKSHQVALSVASSWNASVNVLRSLCKGNDWMLFLKVVLLLLILSFVGAITFQSLFIIVQSLAGSRTWLCSARYCRHF >Manes.16G087200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29383485:29396100:-1 gene:Manes.16G087200.v8.1 transcript:Manes.16G087200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEERWCVVTGGRGFAARHLVEMLIRYDMFLVRIADLDPAIQLSAEEESGALGEALKSGRAKYVSADLRDKAQVLKAIEGAEVVFHTAAPNSSVNNFQLHYSVNVRGTKNVIDACVELKVKRLIYTSSASVVFDGTHGIFNGDESLPYPDKPLDSYTATKSEGEAAIIKANGANGLRTCSLRPSSIFGPGDRLFVPSLVAAARAGKSKFIIGDGNNIYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFITNMEPIKFWEFTSLVLGGLGYERPRIKIPAFAMMPLAHVVERTYELLGPYGMKVPQLIPSRIQLLSCSRSFSCSKAKERLGYTPIVSLEEGLKRTLESFSHLRAGNQPKREGPSKAHRYLGSGRVADTLLWKDKRQTLMTLLILIAIYYNFVASQSTIITALSKLLLVVSIFLFVHGNLPERIFGYTIEKIPMSYFHLSEDKSHQVALSVASSWNASVNVLRSLCKGNDWMLFLKVVLLLLILSFVGAITFQSLFIIGLPVAFVAFSIYEKHEQAIDSKIFDAVSFGCKLKSNISKKVLGAKKND >Manes.16G087200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29374002:29396100:-1 gene:Manes.16G087200.v8.1 transcript:Manes.16G087200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEERWCVVTGGRGFAARHLVEMLIRYDMFLVRIADLDPAIQLSAEEESGALGEALKSGRAKYVSADLRDKAQVLKAIEGAEVVFHTAAPNSSVNNFQLHYSVNVRGTKNVIDACVELKVKRLIYTSSASVVFDGTHGIFNGDESLPYPDKPLDSYTATKSEGEAAIIKANGANGLRTCSLRPSSIFGPGDRLFVPSLVAAARAGKSKFIIGDGNNIYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFITNMEPIKFWEFTSLVLGGLGYERPRIKIPAFAMMPLAHVVERTYELLGPYGMKVPQLIPSRIQLLSCSRSFSCSKAKERLGYTPIVSLEEGLKRTLESFSHLRAGNQPKREGPSKAHRYLGSGRVADTLLWKDKRQTLMTLLILIAIYYNFVASQSTIITALSKLLLVVSIFLFVHGNLPERIFGYTIEKIPMSYFHLSEDKSHQVALSVASSWNASVNVLRSLCKGNDWMLFLKVVLLLLILSFVGAITFQSLFIIVQSLAGSRTWLCSARYCRHF >Manes.16G087200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29382957:29396100:-1 gene:Manes.16G087200.v8.1 transcript:Manes.16G087200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEERWCVVTGGRGFAARHLVEMLIRYDMFLVRIADLDPAIQLSAEEESGALGEALKSGRAKYVSADLRDKAQVLKAIEGAEVVFHTAAPNSSVNNFQLHYSVNVRGTKNVIDACVELKVKRLIYTSSASVVFDGTHGIFNGDESLPYPDKPLDSYTATKSEGEAAIIKANGANGLRTCSLRPSSIFGPGDRLFVPSLVAAARAGKSKFIIGDGNNIYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFITNMEPIKFWEFTSLVLGGLGYERPRIKIPAFAMMPLAHVVERTYELLGPYGMKVPQLIPSRIQLLSCSRSFSCSKAKERLGYTPIVSLEEGLKRTLESFSHLRAGNQPKREGPSKAHRYLGSGRVADTLLWKDKRQTLMTLLILIAIYYNFVASQSTIITALSKLLLVVSIFLFVHGNLPERIFGYTIEKIPMSYFHLSEDKSHQVALSVASSWNASVNVLRSLCKGNDWMLFLKVVLLLLILSFVGAITFQSLFIIGMLSLSLSLSHTHTHTHTHTHTQMQSTAVHSHVNNAYYLRAIMNK >Manes.16G087200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29382590:29396137:-1 gene:Manes.16G087200.v8.1 transcript:Manes.16G087200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEERWCVVTGGRGFAARHLVEMLIRYDMFLVRIADLDPAIQLSAEEESGALGEALKSGRAKYVSADLRDKAQVLKAIEGAEVVFHTAAPNSSVNNFQLHYSVNVRGTKNVIDACVELKVKRLIYTSSASVVFDGTHGIFNGDESLPYPDKPLDSYTATKSEGEAAIIKANGANGLRTCSLRPSSIFGPGDRLFVPSLVAAARAGKSKFIIGDGNNIYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFITNMEPIKFWEFTSLVLGGLGYERPRIKIPAFAMMPLAHVVERTYELLGPYGMKVPQLIPSRIQLLSCSRSFSCSKAKERLGYTPIVSLEEGLKRTLESFSHLRAGNQPKREGPSKAHRYLGSGRVADTLLWKDKRQTLMTLLILIAIYYNFVASQSTIITALSKLLLVVSIFLFVHGNLPERIFGYTIEKIPMSYFHLSEDKSHQVALSVASSWNASVNVLRSLCKGNDWMLFLKVVLLLLILSFVGAITFQSLFIIGLPVAFVAFSIYEKHEQAIDSKIFDAVSFGCKLKSNISKKVLGAKKND >Manes.16G087200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29380421:29396126:-1 gene:Manes.16G087200.v8.1 transcript:Manes.16G087200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEERWCVVTGGRGFAARHLVEMLIRYDMFLVRIADLDPAIQLSAEEESGALGEALKSGRAKYVSADLRDKAQVLKAIEGAEVVFHTAAPNSSVNNFQLHYSVNVRGTKNVIDACVELKVKRLIYTSSASVVFDGTHGIFNGDESLPYPDKPLDSYTATKSEGEAAIIKANGANGLRTCSLRPSSIFGPGDRLFVPSLVAAARAGKSKFIIGDGNNIYDFTYVENVAHAHICAERALASGGEVAEKAAGQAYFITNMEPIKFWEFTSLVLGGLGYERPRIKIPAFAMMPLAHVVERTYELLGPYGMKVPQLIPSRIQLLSCSRSFSCSKAKERLGYTPIVSLEEGLKRTLESFSHLRAGNQPKREGPSKAHRYLGSGRVADTLLWKDKRQTLMTLLILIAIYYNFVASQSTIITALSKLLLVVSIFLFVHGNLPERIFGYTIEKIPMSYFHLSEDKSHQVALSVASSWNASVNVLRSLCKGNDWMLFLKVVLLLLILSFVGAITFQSLFIIGLPVAFVAFSIYEKHEQAIDSKIFDAVSFGCKLKSNISKKVLGAKKND >Manes.03G137751.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26442839:26447743:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDR >Manes.03G137751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26442360:26447745:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSQGLNLPESPFASPIASSISTVI >Manes.03G137751.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26443260:26447744:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSSSS >Manes.03G137751.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26443260:26447732:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSSSS >Manes.03G137751.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26443261:26447726:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSSSS >Manes.03G137751.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26443261:26447726:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSLSQLFVLDPTSFRLGIVYLYLCCAVKD >Manes.03G137751.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26442360:26447745:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSQGLNLPESPFASPIASSISTVI >Manes.03G137751.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26443261:26447726:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSQGLNLPESPFASPIASSISTVI >Manes.03G137751.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26442839:26447744:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDR >Manes.03G137751.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26443261:26447740:-1 gene:Manes.03G137751.v8.1 transcript:Manes.03G137751.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLTARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSENFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSLSQLFVLDPTSFRLGIVYLYLCCAVKD >Manes.13G093900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:26625248:26625502:1 gene:Manes.13G093900.v8.1 transcript:Manes.13G093900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAQDQAERERIFRQFDLNGDGKISATELGDCLKTIGSVTQDDVKRMMDEIDTDGDGFISFEEFIQFAKANSGLMKDVSKVN >Manes.01G275000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42961801:42963524:-1 gene:Manes.01G275000.v8.1 transcript:Manes.01G275000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTYTFISSLLKTHMPVRSILLIISAIMKLYHLEKVADPVTPTTCKSTIVITIIGFAVCLSTQLLIRRKINHLPNYYFTFSQNPKAKDTPFCVVCLHDAMHGEKLRRLPRCNHCFHLVCIDAWLQSHSTCPLCRNRVFLVQQHPPAPFFFFFSILHTIFSKLGNFHLSFTFGDSSFIF >Manes.15G175100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:16164778:16165239:1 gene:Manes.15G175100.v8.1 transcript:Manes.15G175100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVESIQETQDRVSDLGIQQKSKDFSSPVMATASGDLCRKMMGVKEFTEAEMDTESRGSSEESPKSVGKWRRNISNIRFVHNQVLRIREEDLHLGEDIGEGLSAKDKVMNAGFGQNTQLGRVASVVDMVLFSRPILPCSPLSGKTTVRFRAVP >Manes.15G172700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15233687:15234625:-1 gene:Manes.15G172700.v8.1 transcript:Manes.15G172700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDEDARPRFVFQSRPIPSSNADQENQQKPINKLLVFITISFSSLLLILSILYLHIEPFKSLLVWVSISFLIGPFAPSRVTGGDIRVGQGPILEPLDEEPEIVTEKRAPKKRSKPIRSEENVMGPIPAVETTKGLSIRERKREVLANSGNGVVANEGEKEWSEEDLEILKKQMVKNPVGKPRRWEVIAEAFSGKHRVESVIKKAKEMGERKLDDNDSYAKFLKNRKQLDTRVQSEIGETKKDNDGDGGVVGWSAGEDIALLNALKSFPKDVAMRWEKIAAAVPGKSKAACMKRVSDLKRDFRSSKASAES >Manes.03G205000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32058921:32066351:1 gene:Manes.03G205000.v8.1 transcript:Manes.03G205000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFQKLVEEDKIPPVKEIKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Manes.03G205000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32058921:32066351:1 gene:Manes.03G205000.v8.1 transcript:Manes.03G205000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFQKLVEEDKIPPVKEIKPPQIPEDLNSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Manes.18G038000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3350853:3358636:-1 gene:Manes.18G038000.v8.1 transcript:Manes.18G038000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIVEGVAMEEEAPLTTQPKNGTSPYEMLRESKASVEEIVAQILNMKKENKPKSELRELVTQMFLHFVTLRQANRSILLEEDRVKAETERAKAPVDFTTLQLHNLMYEKSHYVKAIKACKDFKSKFPDIELVPEEEFFRDAPENIKGPVLSDDTSHNLMLKRLNYELHQRKELCKLHEKLEQRKKSLLETIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHTKKLKQQNSAELLPPPLYVIYSQLLAQKEAFGEHIDLEIVGSLKDAQAFARQQANKDTGISANVENSRLEDDAPDEEDDGQRRRKRPKRVPSKESLDHFGVFQVHPLKIVLHVYDDEVSDPKSTKLIALKFEYLFKLNVVCVGVEGSHEGPENNILCNLFPDDTGVELPHQSAKLFVGDVPAFDETRTSRPYKWAQHLAGIDFLPEIAPLLSGHETASNETTKSEAIVSGLSLYRQQNRVQTVVQRIRVRRRAQLALVEQLDSLVKLKWPSLNCENVPWALHTPICNLNGWSPAGPPPNQTSSVPVIDTDQAQDPMDADVDRRSGASKEETESAREDGELPSLVASIVNDVKLTPTKISNLEHTKQLALISKSIISPISKAKSLSFKKHDEGSDILLEIDSDQDELALPELEENETFCKRSENRWVDYGVKEYSLVLTRKMGSQGRNVKLEAKIKISMEYPLRPPLFAVSLCSIGENHDDCSVWCNELCAMEAEVNLFMLKMLPLDQENYILAHQVCCLAMLFDYLMDEASPCEKKGTSVIDVGLCKPVSGRLLARSLRGRDRRKMISWKDMECTSGYPY >Manes.18G038000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3352311:3358637:-1 gene:Manes.18G038000.v8.1 transcript:Manes.18G038000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIVEGVAMEEEAPLTTQPKNGTSPYEMLRESKASVEEIVAQILNMKKENKPKSELRELVTQMFLHFVTLRQANRSILLEEDRVKAETERAKAPVDFTTLQLHNLMYEKSHYVKAIKACKDFKSKFPDIELVPEEEFFRDAPENIKGPVLSDDTSHNLMLKRLNYELHQRKELCKLHEKLEQRKKSLLETIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHTKKLKQQNSAELLPPPLYVIYSQLLAQKEAFGEHIDLEIVGSLKDAQAFARQQANKDTGISANVENSRLEDDAPDEEDDGQRRRKRPKRVPSKESLDHFGVFQVHPLKIVLHVYDDEVSDPKSTKLIALKFEYLFKLNVVCVGVEGSHEGPENNILCNLFPDDTGVELPHQSAKLFVGDVPAFDETRTSRPYKWAQHLAGIDFLPEIAPLLSGHETASNETTKSEAIVSGLSLYRQQNRVQTVVQRIRVRRRAQLALVEQLDSLVKLKWPSLNCENVPWALHTPICNLNGWSPAGPPPNQTSSVPVIDTDQAQDPMDADVDRRSGASKEETESAREDGELPSLVASIVNDVKLTPTKISNLEHTKQLALISKSIISPISKAKSLSFKKHDEGSDILLEIDSDQDELALPELEENETFCKRSENRWVDYGVKEYSLVLTRKMGSQGRNVKLEAKVNLFMLKMLPLDQENYILAHQVCCLAMLFDYLMDEASPCEKKGTSVIDVGLCKPVSGRLLARSLRGRDRRKMISWKDMECTSGYPY >Manes.18G038000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3352311:3358636:-1 gene:Manes.18G038000.v8.1 transcript:Manes.18G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIVEGVAMEEEAPLTTQPKNGTSPYEMLRESKASVEEIVAQILNMKKENKPKSELRELVTQMFLHFVTLRQANRSILLEEDRVKAETERAKAPVDFTTLQLHNLMYEKSHYVKAIKACKDFKSKFPDIELVPEEEFFRDAPENIKGPVLSDDTSHNLMLKRLNYELHQRKELCKLHEKLEQRKKSLLETIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHTKKLKQQNSAELLPPPLYVIYSQLLAQKEAFGEHIDLEIVGSLKDAQAFARQQANKDTGISANVENSRLEDDAPDEEDDGQRRRKRPKRVPSKESLDHFGVFQVHPLKIVLHVYDDEVSDPKSTKLIALKFEYLFKLNVVCVGVEGSHEGPENNILCNLFPDDTGVELPHQVWINKSAKLFVGDVPAFDETRTSRPYKWAQHLAGIDFLPEIAPLLSGHETASNETTKSEAIVSGLSLYRQQNRVQTVVQRIRVRRRAQLALVEQLDSLVKLKWPSLNCENVPWALHTPICNLNGWSPAGPPPNQTSSVPVIDTDQAQDPMDADVDRRSGASKEETESAREDGELPSLVASIVNDVKLTPTKISNLEHTKQLALISKSIISPISKAKSLSFKKHDEGSDILLEIDSDQDELALPELEENETFCKRSENRWVDYGVKEYSLVLTRKMGSQGRNVKLEAKIKISMEYPLRPPLFAVSLCSIGENHDDCSVWCNELCAMEAEVNLFMLKMLPLDQENYILAHQVCCLAMLFDYLMDEASPCEKKGTSVIDVGLCKPVSGRLLARSLRGRDRRKMISWKDMECTSGYPY >Manes.18G038000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3352208:3358638:-1 gene:Manes.18G038000.v8.1 transcript:Manes.18G038000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIVEGVAMEEEAPLTTQPKNGTSPYEMLRESKASVEEIVAQILNMKKENKPKSELRELVTQMFLHFVTLRQANRSILLEEDRVKAETERAKAPVDFTTLQLHNLMYEKSHYVKAIKACKDFKSKFPDIELVPEEEFFRDAPENIKGPVLSDDTSHNLMLKRLNYELHQRKELCKLHEKLEQRKKSLLETIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHTKKLKQQNSAELLPPPLYVIYSQLLAQKEAFGEHIDLEIVGSLKDAQAFARQQANKDTGISANVENSRLEDDAPDEEDDGQRRRKRPKRVPSKESLDHFGVFQVHPLKIVLHVYDDEVSDPKSTKLIALKFEYLFKLNVVCVGVEGSHEGPENNILCNLFPDDTGVELPHQSAKLFVGDVPAFDETRTSRPYKWAQHLAGIDFLPEIAPLLSGHETASNETTKSEAIVSGLSLYRQQNRVQTVVQRIRVRRRAQLALVEQLDSLVKLKWPSLNCENVPWALHTPICNLNGWSPAGPPPNQTSSVPVIDTDQAQDPMDADVDRRSGASKEETESAREDGELPSLVASIVNDVKLTPTKISNLEHTKQLALISKSIISPISKAKSLSFKKHDEGSDILLEIDSDQDELALPELEENETFCKRSENRWVDYGVKEYSLVLTRKMGSQGRNVKLEAKIKISMEYPLRPPLFAVSLCSIGENHDDCSVWCNELCAMEAEVNLFMLKMLPLDQENYILAHQVCCLAMLFDYLMDEASPCEKKGTSVIDVGLCKPVSGRLLARSLRGRDRRKMISWKDMECTSGYPY >Manes.16G005000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:609113:610375:1 gene:Manes.16G005000.v8.1 transcript:Manes.16G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASGLISSSRVCSSKRINAAISVPKLPSIRFPVPKTPSTNLVEDLILRNGFANTIPVEKSVTLPRIDEEPFVNSSTSKATAKLYAILEAVADRVEMHKNIGEQRDNWNKLLLNSINMITLTATTMAGVAATGGEGSPFLALNVGSTLLFIAATGMLFIMNKIQPSQLAEEQRNATKLFRQLQSQIQTTLALYDPTELDVKDAMDKVLALDKAYPLPLLGKMVEKFPAKFEPAVWWPKTKNFQRNSKRSGKNGWSKGLEVEMREVIEVIKGKDTEDYMRLGNLVLKINKVLAISGPLLTGIAAAGSTFVGNSSWAAIVAVAAGALATTVNTFEHAGQVGMVVEMYRNCAGFFSLLEESIESSLEEADFDRREDGETFEMKVALQLGRSVSELSSLAQKSSSSRIEGTKVDEFGSKLF >Manes.08G092700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31456281:31458811:1 gene:Manes.08G092700.v8.1 transcript:Manes.08G092700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQSWPEPVVRVQSLSESGIRQIPHRYVKPASHRPSVKNNNVSSSSSPTEANIPVIDFQNAFSDNQTLRLETLKSISLACSKWGFFQIVNHGVRPELLKSVREVWREFFNQPLEVKQEYANSPDTYEGYGSRLGVEKGASLDWSDYFFLHYMPVLLRNHSKWPRVPASCRELIDEYGSEVVRLGGKLMTIFSKNLGLEEDYLLKAFGGEENVGACLRVNFYPKCPQPDLTLGLSPHSDPGGMTILLPDENVAGLQVRRGETWVTVKPVPNAFIINIGDQIQVITSLPSPPNLYT >Manes.08G092700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31456281:31458811:1 gene:Manes.08G092700.v8.1 transcript:Manes.08G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQSWPEPVVRVQSLSESGIRQIPHRYVKPASHRPSVKNNNVSSSSSPTEANIPVIDFQNAFSDNQTLRLETLKSISLACSKWGFFQIVNHGVRPELLKSVREVWREFFNQPLEVKQEYANSPDTYEGYGSRLGVEKGASLDWSDYFFLHYMPVLLRNHSKWPRVPASCRELIDEYGSEVVRLGGKLMTIFSKNLGLEEDYLLKAFGGEENVGACLRVNFYPKCPQPDLTLGLSPHSDPGGMTILLPDENVAGLQVRRGETWVTVKPVPNAFIINIGDQIQVLSNAIYKSIEHRVIVNSDKDRVSLAFFYNPKSDLLIEPAKELVTEDQPAVYQAMTYDEYRLYIRTKGPCGKQQVESLKCT >Manes.01G228000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39333462:39333653:-1 gene:Manes.01G228000.v8.1 transcript:Manes.01G228000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIAHATLKGPSVVKEICMGIVLGMAAGGLWKMHHWNEQRKVRAFYDLLEKGEISVVAEE >Manes.02G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7521619:7525492:-1 gene:Manes.02G096600.v8.1 transcript:Manes.02G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATALLHKPTFTPSLSYPSNPRTFTPTLLLRLPASSFGFFGGVVSKKRVSKTSMTELVKTYAFRDGDGEKSVKMLQQEALVKEPSGFPSQFTPEGLERTLNRLSKWFVSFLFGAVILWRHDAESMWIAMGSVLNALLSVTLKRIFNQERPFPSANSEPGMPSSHAQSIFYTVVFSILSVTEWFGVNEYTLIINSFTLALGSYFSWLRVSQRYHTASQVAVGAIVGSLFSFLWYWSWHSIVLEAFVSSFTVRVIIILAASAFCLGFALYVLRHWLKDDE >Manes.08G107300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34688444:34689169:1 gene:Manes.08G107300.v8.1 transcript:Manes.08G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAYITNDSARKATYKKRKKGLMKKVSELSTLCGIDACAIIYSPYDSQPEVWPSPLGVQRVLAQFRNMPEMDQSKKMVNQESFLRQRITKANEQLKKQRKENREKEMTQVMFQGLIGKSLNSLNMMDLNDLGWLIDQNLKEIHKRVETLNKEANNSQVVAAAATYGAGPSVEVKISPEQAERQAFEVNIDAMQRQQWLMDWINPQEPMGFGGDEVNNLPFGDANHNALWSSAFFP >Manes.05G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10173891:10175842:-1 gene:Manes.05G107000.v8.1 transcript:Manes.05G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNAKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLTQIKDGFSEGKDLVVTVMSSMGEEQICALKDIGPK >Manes.18G127700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24414135:24419212:1 gene:Manes.18G127700.v8.1 transcript:Manes.18G127700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLQHPRILRPLSSPVNSSSSPLAFLMAYSLALPSVSLKNLSFTASDSLTGCHRNGIRGFGVENLTGSRLFMSLSVGTQTIGVVDDSLFADYKANFAFLFPGQGAQAVGMGKEAQSVPAAADLFQKANDILGYDLLELCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRVRDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQEAADAAKSAMVSVIGLDSDKVQQLCDAANQDVDEANKVQIANYLCPGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATAIKPPRIPVISNVDAHPHADPATIKKILARQVTSPVQWETTVKTLLTKGLKKGYELGPGKVIAGIVKRMDKGAEIENVGA >Manes.10G056924.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12918752:12920383:-1 gene:Manes.10G056924.v8.1 transcript:Manes.10G056924.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKINNEHLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPKGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPKQLDEWVLCKIYNNKAEGKKNKNDEDGGTVNAETEIPHADDSTAQPLLCDNSLMIFKEYENGYGSYLLPPLSCDPPQPILNNMDYNPPPNPPPMDNTFNNNFAYNVQPIQTDYPPFHYSNGFQPMYGCGDQISNCMETATMNDHLLPPAEEPAYGRGDQVWDINCMQDIISMQTATRNDHLLMPVEVYERGDQVWDINSM >Manes.10G056924.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12918752:12920383:-1 gene:Manes.10G056924.v8.1 transcript:Manes.10G056924.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKINNEHLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPKGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPKQIYNNKAEGKKNKNDEDGGTVNAETEIPHADDSTAQPLLCDNSLMIFKEYENGYGSYLLPPLSCDPPQPILNNMDYNPPPNPPPMDNTFNNNFAYNVQPIQTDYPPFHYSNGFQPMYGCGDQISNCMETATMNDHLLPPAEEPAYGRGDQVWDINCMQDIISMQTATRNDHLLMPVEVYERGDQVWDINSM >Manes.12G149700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35812220:35817664:-1 gene:Manes.12G149700.v8.1 transcript:Manes.12G149700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSKKFRWKYDVFLSFRGKETRTHFTSHLYHALCRKGINTFIDGKIERGEEITSSIVDAIIGSRISIVVFSPDYASSPYCLDELLTMLARLDESKRHVVFPIFYKVDPVDVEHQTGRFGQAFGKLEGHSEEKVKRWREALAKAAELSGWHLDDGDEAKFIQSVVETVSVKLNRKLLPLPEHNVGIESRVEEVICMLSIGLKDVRMVGILGTGGIGKTTLAKTIYNKIANQFEGSCFLENVRKISEQALQETVLIELIGDKNVLVGNFARGINCIEEMLCNKRVLIVIDDVDNVVQLRSFGLNCFGAGSRIIITTRDEHLLVVHGVERVYKIKELHTNDALVLFSWNAFKNSQPPDDYAELAHFFLNYARGLPLALVVLGSFLYRRKVPEWESEIAKLRQSPNREIFEILKVSYDGLEDNEKAIFLDIACFFKGMDKDVVIKILEACDFNPVIGVQVLIEKSLISIENNKMQMHALLQSMGEEVVRQQSVKPNRRSRLWSYKDVIEVLAGNMGTDDIEGILVDLPESEEIQLSAEAFVKMKRLRILLIRNAHITGGPVDLPNELRWLEWPSCPLPSMPSGLWGRKLVGLNMHRSCIRQFGEGFKNYLTFMDLRDCESLIETPDFSFIPNLERLNLGGCSNLVEVHQSVGYLDKLEFLSFEFCFNLKSLPKKFKLRSLRTLLLTGCTKLEAFPDIVGEMKWLEKVCLHGTAIKVLPSSIENLTGLKVLTVTYCKNLTNIPSSIYKLRHLKRLLLEGCSRLDEFPLNCNGHSLSGFPTLRFLDLRNCRLSGINFVVDHHCFSMLRDLDLSGNDFISLPPSIHLLNCLRSLKLSNCKKVKEIPELPINMKSVEARDCGSLERFSQFQRIFKCNKEDRPNRLHDIDFSNCHKLAENEGNFLENSLLSKKFRQDRRVEIFLPGSEIPEWFSYFSEKDSLSFQVPSQKCEKIRALVLCAILSLRDGETANISREVFINGQNVIRFSRQFFSLESDHMWLYYLPSRFIKGLHLKQNGYSRFEVSFEVLGASAGSTLKRCGVYLVYKPNEVVEDSSVSRSVSCQMESMSFDLKRSCDNDLECDVDSMVKKRTTEASQMAKNCVERHLELPMPSNIGEQQGKQWLSLSLQPHRNQTMEEHGTTFNIQGN >Manes.01G191300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36664170:36669469:-1 gene:Manes.01G191300.v8.1 transcript:Manes.01G191300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQENEEQLARWDGYVDWRNRPALRGRHGGMLAASFVLVVEILENLAFLANASNLVMYLSEYMHLSPSVSANNVTNFMGTSFLLALLGGFLSDAFFTNYTIYLISAAIEFLGLVTLIVQARSPSLKPPACDPANPNVPCQEVTGAKAAMLFLGLYMVALGVGGIKGSLAAHGAEQFDESTPQGRKQRSTFFNYFIFCLACGGLIAVTFVVWLEDNKGWVWGFGVSTIVIFLSIPIFLAGSPTYRNKIPSGSPLTTIFKVLIVAAITTFISSTPSNAIANLNASPISPTQASEESKENSKEMAPGTETLTKSFSFLNRAVVKRQVHSSLECTVQQVEEVKVVIKILPIFSCTIMLSCCLAQLSTFSVQQAATMNTKLGSLKVPPASLPFFPVIFIMILAPIYDHFIIPFARKVTKSEMGITHLQRIGIGLVLSVIAMGVAALVGIKRKKVATNSGLLDSNDPLPITFFWIAFQYLFLGSADLFTLAGLMEFLFTEAPTSMRSLATSLSWASLAMGYYLSSVIVSIVNNITGNSTRRPWLSGDNINYYQLERFYWLMCVLSAVNFLHYLLWANWYKYRSTRSGWQSQFTHST >Manes.10G081400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18816819:18821289:-1 gene:Manes.10G081400.v8.1 transcript:Manes.10G081400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTRSTVYAGRRFFSSIASSHNNSHKDTHKFLEPNSFVGSWKVPKNPKEAEAQLARLRREYGKQVKEVRKDYIREMELMRLEKQRQDEARKEATRVANEKRKKLKAEAAKARAEERKIAEEEFRQMLLKERSAKLEFWRMKEQHKEEYKKEKKEQLRRQSSIWIDEINIEKRTIEAMVDISLL >Manes.10G081400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18816819:18821290:-1 gene:Manes.10G081400.v8.1 transcript:Manes.10G081400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTRSTVYAGRRFFSSIASSHNNSHKDTHKFLEPNSFVGSWKVPKNPKEAEAQLARLRREYGKQVKEVRKDYIREMELMRLEKQRQDEARKEATRVANEKRKKLKAEAAKARAEERKIAEEEFRQMLLKERSAKLEFWRMKEQHKEEYKKEKKEQLRRQSSIWIDEINIEKRTIEAMVDISLL >Manes.10G081400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18815350:18821280:-1 gene:Manes.10G081400.v8.1 transcript:Manes.10G081400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTRSTVYAGRRFFSSIASSHNNSHKDTHKFLEPNSFVGSWKVPKNPKEAEAQLARLRREYGKQVKEVRKDYIREMELMRLEKQRQDEARKEATRVANEKRKKLKAEAAKARAEERKIAEEEFRQMLLKERSAKLEFWRMKEQHKEEYKKEKKEQLRRQSSIWIDEINIEKRTIEAMVDISLLK >Manes.02G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12193705:12195146:-1 gene:Manes.02G155900.v8.1 transcript:Manes.02G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRFLFFLCCLLSLQVLPLITLAQPQMSYHDCTVENTTNGSYHENLNRLLTSIYTNTQIDYGFYNFSYGNDPDKVYANGLCRPDITPESCRDCLKSASESLTTLCPNSKEAIGGLDNCILRYTNRSIFGVMEEGPYFFVYSMNNVSDVNGFNISRKTLLDKLRDEAAAGDSRYKYAVGDIAAPNSQKIYALAQCTPDLSTQDCSKCLTQAIELLPKCCDERQGGRIITPSCNFRYEIDLFYDHAATKKPELSPTSPASIPSPPPSNAARIGKASNVAATLIMGSMPMLFL >Manes.11G060183.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7788443:7793601:1 gene:Manes.11G060183.v8.1 transcript:Manes.11G060183.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIFLQFLRFSFPSFDLRIGAEWWIQHFRNSLSLPSRVPFISCKNYEFLGSMPWMIVLFSLDLEFFGGCWKPCAYTVI >Manes.06G143000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27049474:27055501:1 gene:Manes.06G143000.v8.1 transcript:Manes.06G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYNWIVLSFSLLCLVRALDASAGDADPIYKACVEQCEKTGCVGTKCFQHCKFSSDGKPIDGPWYLQEPLYMQWKQWDCRSDCRYHCMVAREEERQKLGDKPVKYHGKWAFRRAYGIQEPVSVALSALNLAIQFHGWVSFFILIYYKLPLRPNKKTYYEYTGLWHIYGILSMNSWFWSAVFHSRDVELTEKLDYSSAVALLGFTLILAILRAFNVRDEAARVMVAAPLIAFITTHIMYLNFYNLDYGLNMKVCGAMGVAQLLIWAVWAGVTRHPSQWKLWVVVVGGGLAMLLELYDFPPYRGFVDAHALWHASTIPLTYLWWSFVRDDAEFRTSSLLKKTK >Manes.06G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27250108:27251742:-1 gene:Manes.06G146000.v8.1 transcript:Manes.06G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVKCSCGAESCPEWAIVELQGAVEVQPSFQDRLQNLVIGELCRPSSQENYTFTVGYHELTGSKVTLKKPLLVLKKLKSMDLDQGSENGPAEVELDVIGIIRHKILFKTRPRALISKPQPTVK >Manes.14G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10174320:10181120:-1 gene:Manes.14G127600.v8.1 transcript:Manes.14G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDENSIYVGGLPYSATEDTVRRVFGPYGAIVAVKIINDHGTRGKCYGFVTFRNPRSVIDAINDMNGKTIDGRVVRVNGVTTRGGRSNFGRERFRHNIERGVDWDKGRDRERDYDRDRDRYGERYSDRSRERARSWDRDEDEERRYEAAHDHGQARDDFLDKDHSREIDLVGNEQEEGRNNSWNQERGRDLHWDQEREMDGTNDDHELVDKDKDPELGKHNDSRYNDQHSREMSSDTSDDYNDEVKERLERSIQRRDELKKEISEMEERLEEKQQLVSNLRKRTQKLEDALIAAKKRSSHNKVQLTKLHKSFLQVKEYSERLKSCEQELQSLVDSTMIESDVADDVGMRDGVLIHGNA >Manes.14G127600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10174320:10181120:-1 gene:Manes.14G127600.v8.1 transcript:Manes.14G127600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDENSIYVGGLPYSATEDTVRRVFGPYGAIVAVKIINDHGTRGKCYGFVTFRNPRSVIDAINDMNGKTIDGRVVRVNGVTTRGGRSNFGRERFRHNIERGVDWDKGRDRERDYDRDRDRYGERYSDRSRERARSWDRDEDEERRYEAAHDHGQARDDFLDKDHSREIDLVGNEQEEGRNNSWNQERGRDLHWDQEREMDGTNDDHELVDKDKDPELGKHNDSRYNDQHSREMSSDTSDDYNDEVKERLERSIQRRDELKKEISEMEERLEEKQQLVSNLRKRTQKLEDALIAAKKRSSHNKVQLTKVIPNVTN >Manes.13G049500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5650349:5663602:-1 gene:Manes.13G049500.v8.1 transcript:Manes.13G049500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDPPQPPQPPTSSSLFSENWKDRILIPTLLGGIAGGGVGLVSKYRKVHGLANISATYAANFAIVTGCYCGAREFVRITRKSEQDDLMNSAIAGFGTGAILGRLQAGQFGAIRYSIIFAVVGTMVDFATIKLRPTAMSFRESIYGDKEKKGGWKLPEWSPIQVLDEEALAAKEAREKQLYAQRALGKLSKEEP >Manes.06G027400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6258546:6263750:-1 gene:Manes.06G027400.v8.1 transcript:Manes.06G027400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVNNIGKYHLGKTIGEGTFAKVKLAVDSNNASYVAVKIIDKQMVVESNLKYQVVREIKTMKILNHPNIVRIHEVIGTKRKIYIVMEYVSGGQLSDKLSYSQKLKESEARKMFQQLIDAVDYCHNRGVFHRDLKPENLLLDSKGNMKVSDFGLSALRKHGDVLTTACGSPCYVAPEICAAEYTFPLWFTESQKKLISRILDPNPKRRITIAEMIEDEWFQTDYVPSFGYECDEKIYLDDVNAAFDVIEDDAAETKMPKPSSFINAFKLIAMSHDLDLSGLFQEQEDKKETTRLGSKHTVNETIKKIEAAALDLSLTVERMNNFRLKMHPKQKMTRCTRSCFDLSAEVIEVAPTNCVVEISKSAGELRLFSEFCKSLSTTLTEKSNTSFQVLDSEAPSIIDNNNQETIRSEKQIDREKNELGGYSSS >Manes.06G027400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6258546:6263783:-1 gene:Manes.06G027400.v8.1 transcript:Manes.06G027400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVNNIGKYHLGKTIGEGTFAKVKLAVDSNNASYVAVKIIDKQMVVESNLKYQVVREIKTMKILNHPNIVRIHEVIGTKRKIYIVMEYVSGGQLSDKLSYSQKLKESEARKMFQQLIDAVDYCHNRGVFHRDLKPENLLLDSKGNMKVSDFGLSALRKHGDVLTTACGSPCYVAPELLANKGYDGAAADVWSCGVILFELLSGYLPFDDRNLVVLYKKICAAEYTFPLWFTESQKKLISRILDPNPKRRITIAEMIEDEWFQTDYVPSFGYECDEKIYLDDVNAAFDVIEDDAAETKMPKPSSFINAFKLIAMSHDLDLSGLFQEQEDKKETTRLGSKHTVNETIKKIEAAALDLSLTVERMNNFRVIEVAPTNCVVEISKSAGELRLFSEFCKSLSTTLTEKSNTSFQVLDSEAPSIIDNNNQETIRSEKQIDREKNELGGYSSS >Manes.06G027400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6258546:6263750:-1 gene:Manes.06G027400.v8.1 transcript:Manes.06G027400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVNNIGKYHLGKTIGEGTFAKVKLAVDSNNASYVAVKIIDKQMVVESNLKYQVVREIKTMKILNHPNIVRIHEVIGTKRKIYIVMEYVSGGQLSDKLSYSQKLKESEARKMFQQLIDAVDYCHNRGVFHRDLKPENLLLDSKGNMKVSDFGLSALRKHGDVLTTACGSPCYVAPELLANKGYDGAAADVWSCGVILFELLSGYLPFDDRNLVVLYKKICAAEYTFPLWFTESQKKLISRILDPNPKRRITIAEMIEDEWFQTDYVPSFGYECDEKIYLDDVNAAFDVIEDDAAETKMPKPSSFINAFKLIAMSHDLDLSGLFQEQEDKKETTRLGSKHTVNETIKKIEAAALDLSLTVERMNNFRLKMHPKQKMTRCTRSCFDLSAEVIEVAPTNCVVEISKSAGELRLFSEFCKSLSTTLTEKSNTSFQVLDSEAPSIIDNNNQETIRSEKQIDREKNELGGYSSS >Manes.17G055500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25309580:25310846:1 gene:Manes.17G055500.v8.1 transcript:Manes.17G055500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYYLYIKPPFFSHCSSSHPLKLEETKTLVSVLKLYPKMTIIEMRVHMDCAGCETKIKKALQKLDGVDDIDINMAMQKVTVMGWADQKKILKAVRKTGRRAELWPYPYNPEYYNFNQQYYYQQQQGTQPAEAITYYAPQYSTSSYNYRKHGYSNEDYGYYQKPPYSVVDEQTSALFSDENPHACSIM >Manes.07G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32868085:32874385:-1 gene:Manes.07G125700.v8.1 transcript:Manes.07G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDPKKEKRKLSTIEQMCQVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRDKAEAIALERKIKGFGDGTVGMFSSLVVAALSGCVNVLLTNPIWVVVTRMQTHRKVSKKSQPSHSLLVATDKTILDAIEPSPYGTNHAIQEVYGEAGVWGFWKGVLPTLIMVSNPSIQFMLYETMLKKLKKQRSLNKKGSNGVTAWEIFLLGALAKLGATVVTYPLLVVKSRLQAKQLKTGDKRHHYEGTLDAILKMIRYEGFYGFYKGMSTKIAQSVLAAAVLFMVKEELVRGARLLLTKGGTNARLKPS >Manes.03G045400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3967057:3973716:-1 gene:Manes.03G045400.v8.1 transcript:Manes.03G045400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKASPPNLHKSVAISKGYNFASTWEQNAPLSEQQQAAILALSHAVAERPYPANLALDHISRQDNGSLTVSTKDSAFGDSQTTEAVLVNANQFYKWFADLESAMKSETEEKYQHYVNTLTERIQTCDDILHQVDETLDLFNGLQLQHQAVATKTKTLHDACDRLLVEKQRLVEFAEALRSKLHYFDELENIATNFYSAKVNVGSENFLPLLKRLDECISYVESIPQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKGASSQVQAAIRSTAGSKNSVSEGVEASVIYVRFKAAANELKPILEEIESRSSRKEYAQVLAECHKLYCEQRLSLVKGIVLQRISEFAKKEALPSLTRSGCAYLIQVCQLEHQLFDYFFPSSSEDISGLAPLVDPLSTFLYDTLRPKLIHEINVDLLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADIHERLTFRARTYIRDEIANYVPSDEDLDYPAKLEQSVEMTQETTSVEETRDVFRTWYPPLEKTLSCLSKLYRCLEPSVFTGLAQEAVEVCSLSIQKASKLIAKRTTAMDGQLFLIKHLLILREQIAPFDIEFSVTYKELDFSHLLEQLRRILRGHASLFDWSRSTSLARTLSPRILESQVDAKKHVRRIDYDL >Manes.03G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3962373:3973725:-1 gene:Manes.03G045400.v8.1 transcript:Manes.03G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKASPPNLHKSVAISKGYNFASTWEQNAPLSEQQQAAILALSHAVAERPYPANLALDHISRQDNGSLTVSTKDSAFGDSQTTEAVLVNANQFYKWFADLESAMKSETEEKYQHYVNTLTERIQTCDDILHQVDETLDLFNGLQLQHQAVATKTKTLHDACDRLLVEKQRLVEFAEALRSKLHYFDELENIATNFYSAKVNVGSENFLPLLKRLDECISYVESIPQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKGASSQVQAAIRSTAGSKNSVSEGVEASVIYVRFKAAANELKPILEEIESRSSRKEYAQVLAECHKLYCEQRLSLVKGIVLQRISEFAKKEALPSLTRSGCAYLIQVCQLEHQLFDYFFPSSSEDISGLAPLVDPLSTFLYDTLRPKLIHEINVDLLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADIHERLTFRARTYIRDEIANYVPSDEDLDYPAKLEQSVEMTQETTSVEETRDVFRTWYPPLEKTLSCLSKLYRCLEPSVFTGLAQEAVEVCSLSIQKASKLIAKRTTAMDGQLFLIKHLLILREQIAPFDIEFSVTYKELDFSHLLEQLRRILRGHASLFDWSRSTSLARTLSPRILESQVDAKKELEKSLKATCEEFIMSVTKLVVDPMLSFVTKVTAVKVAMSSGQNQKIDSITAKPLKYQAFATPDKVAELVQKVNAAIQQELPMVMAKMKLYLKNSSTRTILFKPIKTNIVEAHIQLQSLVKAEYSHEEQSIINMVSIQELRAQLDNLL >Manes.03G045400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3962376:3973716:-1 gene:Manes.03G045400.v8.1 transcript:Manes.03G045400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKASPPNLHKSVAISKGYNFASTWEQNAPLSEQQQAAILALSHAVAERPYPANLALDHISRQDNGSLTVSTKDSAFGDSQTTEAVLVNANQFYKWFADLESAMKSETEEKYQHYVNTLTERIQTCDDILHQVDETLDLFNGLQLQHQAVATKTKTLHDACDRLLVEKQRLVEFAEALRSKLHYFDELENIATNFYSAKVNVGSENFLPLLKRLDECISYVESIPQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKGASSQVQAAIRSTAGSKNSVSEGVEASVIYVRFKAAANELKPILEEIESRSSRKEYAQVLAECHKLYCEQRLSLVKGIVLQRISEFAKKEALPSLTRSGCAYLIQVCQLEHQLFDYFFPSSSEDISGLAPLVDPLSTFLYDTLRPKLIHEINVDLLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADIHERLTFRARTYIRDEIANYVPSDEDLDYPAKLEQSVEMTQETTSVEETRDVFRTWYPPLEKTLSCLSKLYRCLEPSVFTGLAQEAVEVCSLSIQKASKLIAKRTTAMDGQLFLIKHLLILREQIAPFDIEFSVTYKELDFSHLLEQLRRILRGHASLFDWSRSTSLARTLSPRILESQVDAKKELEKSLKATCEEFIMSVTKLVVDPMLSFVTKVTAVKVAMSSGQNQKIDSITAKPLKYQAFATPDKVAELVQKVNAAIQQELPMVMAKMKLYLKNSSTRTILFKPIKTNIVEAHIQLQSLVKAEYSHEEQSIINMVSIQELRAQLDNLL >Manes.07G032500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3573131:3575985:-1 gene:Manes.07G032500.v8.1 transcript:Manes.07G032500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQFLQDTRTHICSRCRQRLFAKTTNVPEIRCPACQSLASITNTKADGRFSSIVSQVVQNFKNTFSRRFYRQDTDTKQHNSLNCNPSPLKLSSSRTSRSDQRPRKRELLIGVTYKNDDDKLTGTVNDVKNMRSLLIDYFSFHPQNILVLTEEETDPTLIPTKKNIEISLKWLVKDCRAGDSLVFYFSGHGSQEPDLNLDEHDGFDESICPVDYTTEGMITDNYINTTIVWPLPKGVTLHAIVDACHSGTILDLVHVYDRQ >Manes.07G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3573131:3575985:-1 gene:Manes.07G032500.v8.1 transcript:Manes.07G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQFLQDTRTHICSRCRQRLFAKTTNVPEIRCPACQSLASITNTKADGRFSSIVSQVVQNFKNTFSRRFYRQDTDTKQHNSLNCNPSPLKLSSSRTSRSDQRPRKRELLIGVTYKNDDDKLTGTVNDVKNMRSLLIDYFSFHPQNILVLTEEETDPTLIPTKKNIEISLKWLVKDCRAGDSLVFYFSGHGSQEPDLNLDEHDGFDESICPVDYTTEGMITDNYINTTIVWPLPKGVTLHAIVDACHSGTILDLVHVYDRQCFHEERNKWCSDLYFSRNCEEMSRSDIR >Manes.04G080200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28206129:28206413:1 gene:Manes.04G080200.v8.1 transcript:Manes.04G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILRGKQILQRSSSANQTASMDVPKGYLAVYVGRKRFVIPVSYLNKPSFQDLLIQAEEEFGYDHPMGGLTIPCCENMFIDVISCLNCS >Manes.01G229601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39438360:39439749:-1 gene:Manes.01G229601.v8.1 transcript:Manes.01G229601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLCLILIGGVVLLLHCSSSDCEASDCQPNTINTITVDISGHGNFTSVQTAIDSVPEGNTQWIRIQISPGTYREKVAIPVNKSCIFLDGAGRKLTSIEWGDHEETDTSATFTSYSDNIVAKGIKFKIGFNLMKRRLIRKQAVSARIVGDKCAFYECGFIGIQDTLWDGKGRHYFNACYIEGSIDFIFGDGQSIYEKCEISITMGRYGPGLIGSITAQRKEQPQDTNGFVFKNCNISGIGKVDLGRPWGPYSTVVFYNSSISNVITPEGWNAWDYVGHEANFTYVEKDNEGAGANTSKRVPWINKLGENEVYKFLDISYINGDGWLAKIPDLNSTKIAL >Manes.05G065300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5425710:5426915:-1 gene:Manes.05G065300.v8.1 transcript:Manes.05G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNIGNNSERSCQKYSFAGASSEDGIIKEQDRLLPIANVGRIMKQILPPSAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALATLGFDDYAEPLKRYLHRYREQEGERGSHRASNNIEEKDDYRGDQSMKSIASLNFPVNSRRFS >Manes.13G012600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1589786:1592503:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKVSKKNKKSSDFKYDIFGWIILAVGIVAWVGMAKSHVPPPPR >Manes.13G012600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1591057:1592503:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKVRDVIIIIIIILW >Manes.13G012600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1586117:1592691:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKMIMGLYISRRQWRSNSRIIFAATGPPLSLNGMKCWIKLSNSQWPHFH >Manes.13G012600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1586117:1592691:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKVHHFLLME >Manes.13G012600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1586117:1592691:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKMIMGLYISRRQWRSNSRIIFAATGPPLSLNGMKCWIKLSNSQWPHFH >Manes.13G012600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1586110:1592700:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKVSKKNKKSSDFKYDIFGWIILAVGIVAWVGMAKSHVPPPPR >Manes.13G012600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1586228:1592702:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKSG >Manes.13G012600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1586228:1592689:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKMIMGLYISRRQWRSNSRIIFAATGPPLSLNGMKCWIKLSNSQWPHFH >Manes.13G012600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1586228:1592689:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKMIMGLYISRRQWRSNSRIIFAATGPPLSLNGMKCWIKLSNSQWPHFH >Manes.13G012600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1586166:1592689:-1 gene:Manes.13G012600.v8.1 transcript:Manes.13G012600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSQDDFDRLLMFEHTRKSAEATYANYPLDADNLTKWGGALIELSQFQSLPDAKKMLNDAISKFEEALVINPAKADTLWYIGNANTSYAFLTPDLSEAKGYFDKASNYFQQAVDEEPSNDLYRKSLEVTAKAPELHMEIHKHGITQQTMGGGSSASSNAKVHHFLLME >Manes.15G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3835743:3839524:-1 gene:Manes.15G049700.v8.1 transcript:Manes.15G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPQPPSSPSAISISSPTAAKDSTKATLSIIFATSLFSLLFILSLSPSSTSSLRATNHVRPDPYLFPNRQTTFHKIPSDPSPPSVAYLISGSKGDSRRILRLLYATYHPKNQYLLHLDRFAPQAERDRLAVRVQSVPIFRAALNVNVIGKADFAYPKGSSTISETLHGAAILLRLSKNWDWFINLSSGDYPLVTQDDLLHIFSYLPRDFNFVNHSSYIGWRQAKQLKPIIVDPGLYLSERTEMFYASQKRDLPNAFRVFSGSTFSILSRNFIEHCILGTENLPRILLMYFSNTPSSLTNYFPTILCNSRQFNRTVINHNLQYAAFDKPSKQVPRMLNSSEFDAMIQSGAAFASRFQIKDRVLDRIDKEILGRIRGEVVPGGWCLGEPGNGTCSVWGDADVLRPGPGARRLEKRIVKLLSKGEFRSRQCIFE >Manes.07G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4934850:4937304:-1 gene:Manes.07G041500.v8.1 transcript:Manes.07G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVERLKEEIHRLGKIQPDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKVLAYDGELLLQGVHDNVEITLKPPPQPA >Manes.05G179200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29590774:29592649:1 gene:Manes.05G179200.v8.1 transcript:Manes.05G179200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHLFLFLVFYVLSKHFVNKIQNLPPTPFPALPIVGHLHLLNKPLHRSLSTISKRYGPILLLKFGFRRVLLVSSPSIAKECFTKNDIVFANRPRLLAGKYLGYNFTSLVWASYGDLWRNLRKLSSLEILSSHRLQLLSSIRGDEVNLLIRRLFRNKDEMVDLKSAFFELMLNVMTRMIAGKRYYAENVEEVEAAVRFREIVRDTIEMVNTSNMGDFFPLLAKVGGTEKRLLDLQTRRDEFIQGLIEEHRNRMTISPSEEKNKTLIEVLLALQQSNPEYYTDQTIKSLMLVLLTGGTDTPAAAMEWAMPLLVNNPEILKKAQKEIDNVAEHDCLLTESDILNIPYLQCIIREVLRMYPVGPLLVLESSEECSLGGYRVPLGTMLLVNVWSIHNDPQVWDEPRKFKPERFEGCEAGVRDGFQLMPFGLGRRSCPGEGLALRMIGLTLGLVLRCFEWERVGKEMVDMTEGVGLTMPKAQPLLIKCRPRPSMVNLLSQV >Manes.15G102300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8034135:8042847:1 gene:Manes.15G102300.v8.1 transcript:Manes.15G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLVKQGWKWLQTQKNAYSRVKNAALRLRDKIGVFVERHWPTVCSGFVRFGQLMCFLLICWKGCILRGFQSVIKLGSAALLLIMWSGFLSLTSMSCVLYVLLSMVAAGAAVQYLGYTPGIFIVGLFAILILWMYANFWITGTLFIVGGYFFSLNHARLVVLMATIYAIYFVKVRVGWHGVFLSINLTFLSNDVLNFLLQWCDNINESKHFEEQKESETVMRDEFSPECEYSVPTDESEKLQSCKSSSKPAATSSVISNQNETFSRKVVREEISSADEMRRILNSVDHYEALGFPRHKRIDATILRREYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSSKKWDYDEQLRKEESKTRSVCQKSHGTHQANQDYCSEESRRIQCTKCHNSHIWVCTNRSKAKARWCQDCCQYHQAKDGDGWVEYKGSLVFDRPQKVEIPCAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTPRSKSSRFPWDLDAEMMDENEEEFELWLQQALASGLFSETSTRRKSWSPFKLHQKKGKKQWRRSST >Manes.18G065100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5881243:5882702:1 gene:Manes.18G065100.v8.1 transcript:Manes.18G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVMSKVQRLYDACNHVFGQEELPTFLQIQSLKDLLDSFEAVDVGIDEFSSPGSPSSSPEGIRELICGQGVPEITYIHIHECDYFSIGIFCFPAGAIFPLHDHPRMTVLSKLLYGSVYVKAYDWVKLEKSCSRTIGLARNVEDGIRNAPSEPSILFPENGGNIHSFTALTPCAILDVLSPPYSEEFGRPSTYFSEFPIPALPGYTLLEEKDLPGDLVVIGAPYLGPPLSLYKCTNAKACIYT >Manes.13G074300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:11000234:11003589:-1 gene:Manes.13G074300.v8.1 transcript:Manes.13G074300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLSLNRKLFKHHWPPFLNKTPFTTHAHFVDKSLLQPLSSLQCGAILQSLTNIKWLTKGQQLHAYIIVSGNIQNNTYLTTKLAAFYANCGHMTDAQVIFDGIVLKNSFLWNFMIRGYACNGLPVKALVLYQQMSCFGQKADKFTYPFVIKACGDFLNVEMGRRIHGEAVHSGFDSDIYVANSLLAMYSKFGDMWTARMVFDRMPVRDITSWNTMISGYVKNGKPKEALTIFYLMKQTGSSSDGMTLVGLLCTCADLAALKQGKEIHGYVIRNRYALCMDFLMNPLIEVYFKCNSMVYVRRLFEKMAWKDTVSWNSMISGYARNNYAFESLSLFCRMIQEGAKPDQVTFISVLVACDQITALQFGMSVHSYLVKNGFGATTVVATALIDMYAKCGNLACARRVFCETPEKNLICWSVMISGYGIHGMGREAVSLFHEMIKNNIIPDEGVLTSVLSACSHTGLVAEGKEIFYTVTKDYDVEPVLAHYSCMVDLLGRAGHLDEAYKLIQTMEINPSSDIWAAFLNACRLHRNVEMAEISAQNFFDMNAKQVGGYICLSNIYAAEKRWDDVERVRTLMREKGLTKPPGCSFVEFDKMVHQFLVGDKSHPQIEDIYAKLRQLNHLLKEVGYKPDTSSVLYNVDEETKENMLWDHSERLAIAFVLINTGPNTIIRITKNLRVCRDCHTVIKLISKITCREIIMRDIRRFHHFRDGFCSCGDYW >Manes.13G074300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11000234:11003572:-1 gene:Manes.13G074300.v8.1 transcript:Manes.13G074300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLSLNRKLFKHHWPPFLNKTPFTTHAHFVDKSLLQPLSSLQCGAILQSLTNIKWLTKGQQLHAYIIVSGNIQNNTYLTTKLAAFYANCGHMTDAQVIFDGIVLKNSFLWNFMIRGYACNGLPVKALVLYQQMSCFGQKADKFTYPFVIKACGDFLNVEMGRRIHGEAVHSGFDSDIYVANSLLAMYSKFGDMWTARMVFDRMPVRDITSWNTMISGYVKNGKPKEALTIFYLMKQTGSSSDGMTLVGLLCTCADLAALKQGKEIHGYVIRNRYALCMDFLMNPLIEVYFKCNSMVYVRRLFEKMAWKDTVSWNSMISGYARNNYAFESLSLFCRMIQEGAKPDQVTFISVLVACDQITALQFGMSVHSYLVKNGFGATTVVATALIDMYAKCGNLACARRVFCETPEKNLICWSVMISGYGIHGMGREAVSLFHEMIKNNIIPDEGVLTSVLSACSHTGLVAEGKEIFYTVTKDYDVEPVLAHYSCMVDLLGRAGHLDEAYKLIQTMEINPSSDIWAAFLNACRLHRNVEMAEISAQNFFDMNAKQVGGYICLSNIYAAEKRWDDVERVRTLMREKGLTKPPGCSFVEFDKMVHQFLVGDKSHPQIEDIYAKLRQLNHLLKEVGYKPDTSSVLYNVDEETKENMLWDHSERLAIAFVLINTGPNTIIRITKNLRVCRDCHTVIKLISKITCREIIMRDIRRFHHFRDGFCSCGDYCGCI >Manes.06G057398.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19286801:19310074:-1 gene:Manes.06G057398.v8.1 transcript:Manes.06G057398.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSLLSVPAAATSRRLEGKVALIIGAAMSIGECIARSFCKHGAKVVIADIQDDLGKSVAEDLGAHVAVFVHCDVTIESDVEKAVDTAISIFGKLDIMVNNAAVADPRKPSIVENELIDFERVVKVNLIGPFLGTKHSARVMIPARQGSIITLGSVCSSVGGVASHAYTSTKHAIVGLAKNAAAELGQFGIRVNCLSCYFVETPLTMKFFKMEEDGGRDGVYSNLKGVKLKEEDVAEAAIYLASDESKYMSGHNLALDGGFTTINPAFGLFSRNA >Manes.06G057398.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19308381:19310074:-1 gene:Manes.06G057398.v8.1 transcript:Manes.06G057398.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSLLSVPAAATSRRLEGKVALITGAAMSIGECIARSFCKHGAKVVIADIQDDLGESVAEDLGAHVAVFVHCDVTIESDVEKAVDTAVSIFGKLDIMVNNAAVADPRKPSIVENELIDFERVVKVNLIGPFLGTKHAARVMIPARQGSIITLGSVCSSVGGVASHAYTSTKHAIVGLAKNAAAELGQFGIRVNCLSCYFVETPLTMKFFKMEEDGGRDGVYSNLKGVKLKEEDVAEAAIYLASDESKYMSGHNLALDGGFTTINPAFGLFSRNA >Manes.01G161000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34624473:34630326:-1 gene:Manes.01G161000.v8.1 transcript:Manes.01G161000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRLCMCFIRKFKVVEAGPPPDIKDHFKKYTDSATHMTAEQLRTFLVDVQGDSTASIADAEKIIEQVLHKRHHIAKFTGHTLTLDDFHHYLFSADLNPPVRENQVHQDMTKPLSHYFIYTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNSTKDDIHVLHGRTLTTPVEFIRCLKSIKEHAFSFSPYPVIVTLEDHLTPDLQAKAAQMVMETFGNMLYYPESECLEEFPSPEDLKHRIIVSTKPPKKYHKSKNVKRKGNEYQKDKDSDDDAWGKEPSDVVSDQEDSVSIESDTSEDSDDESNHVGVDAYKRLIAIHAGKPKGGLKEALKVDSCKVRRLSLSEKALEKATEKHGVDIIRFTQKNFLRVYPKGTRFNSSNYNPLIAWMHGAQMVAFNMQGYGRALWMMHGMFRANGGCGYVKKPDFFMKLGSRGEVFNPRGKLPVKKTLKVKVYMGDGWHLDFKPTHFDLYSPPDFYTRVGIAGVPDDMKMEKTKTKEDNWTPVWGEEYTFPLTVPEIALLRIEVHEYDMSEKDDFAGQTCFPVSEVRPGIRAVPLFDRKGKKLNSSRLLMHFEFV >Manes.07G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:987194:991106:-1 gene:Manes.07G008600.v8.1 transcript:Manes.07G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLMTERSREKMMINGERKMVVCVTGASGYIASWLVKLLLQKGYIVKATVRDPDDPKKTDHLVALDGAKERLHLLKANLLEEGSFDAVVDGCEAVFHTASPVIFSVTNPQTEIIDPAVKGTLNVLKSCTKVQSIKRVIITSSLATMVFSGKPMTPDMVVDETWYSNPEFCRTLKYWYMLAKTLAEEAAWKFAKENSIDLVTLNPGYVIGPLLQPTLNETVEMILNLVNGAKTYSAAYYRSIDVRDVAVAHVQALEIPSASGRYCLVANTLHFSELLKIVHQLYPTLQLPEECDGDDMGLPLYMVSQEKAKTLGLSFIPLEVTLRDTFESLKAKGFLSI >Manes.04G063971.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24358458:24361175:1 gene:Manes.04G063971.v8.1 transcript:Manes.04G063971.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFALFINSIFIFIQSYSCDAKDLKACKFDAIYQLGDSISDTGNSIVEMPQLYNARFPYGQTIHKATGRSSDGYLIIDYIAQSAGLPLLEPYENPNSTFSHGVNFAVAGATASSIKTIINWHIPLPYTNSSLYVQNKWLKKHLSAICNDKKEYKRKLKHALYMIGTVGCNDYIIAFQYGKSIEEVKVMVPRVIQSIKTAIRKVIDYGAYRVVVPGAFQLGYYNDFFMYHNNHLQVALQKIRKKNPHIHIIYGDLYGALEWILDNFSNLGFKSLRKGCCGISGRFNYNPSIKTMCGAHGVPICSNPKEYVFWDGSHFTHQANKYMSKWLIKDILPQLHCNI >Manes.05G136647.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21748284:21759995:1 gene:Manes.05G136647.v8.1 transcript:Manes.05G136647.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVQDDEDREALAGLSSAPPANLKMHSHSQQHRSNSDQVKRHHPRKHSLDNIPHASSERYYESPEDACFPYQSPSLSSSTYRNVGGSGGNEGLQQRFDSNEGSPDDIKKTLLPEFIATGGTGTFKAPTRAAVHPGRPTCLELRPHPLRETQMGKYLRNIACTETQLWAGQECGVRFWSFENAYDPGLGLQGRVRRGDQDAAPFHESANTSPTMCLMVDNGNRLVWSGHKDGKIRSWKMDQPLDDDAPFKEGLSWQAHKGPVLSMVMSCYGDLWSGGEGGVIKIWPWESIEKSLSLSTEEKHMAALLVERSHIDLRSQVTVNGNCSLSSSDVKCLLYDKVKAKVWCAQSLSFSIWDAHTKELVKVFNIDGQTENRVDLSSAQQPDQPAEDEMKVKFVSTSKKEKSQGFLQRSRNAIMGAADAVRRAASRGAGAFAEDGKRTEALVLTTDGIIWSGCSNGLLVQWDGNGNRLQDFTHHSSTVQCLCTFETRIYVGYVSGIIQVLDLDGNLIAVWVAHSNPVLKLAVGNGYIFSLATHGGIRGWFLTSPGPLDNIIRSELAQKEAIYTRRDSFRILVGTWNVGQGRASHDALMAWLGSAATDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGQWWLDTIGKALEEGTTFERMGSRQLAGLLISLWVRKNLRAHVGDVDAGAVPCGFGRAIGNKGGVGLRIRVLDRIMCFVNCHLAAHLEAVNRRNADFDHIFKNMTFSRSSSNLTSAAGVSTNTQTLKGTNAVSANSEDSKPVLSEADMVVFLGDFNYRLFGITYDEARDLVSQRSFDWLREKDQLRAEMKAGRVFQGMREALIRFPPTYKFERNQPGLGGYDAGEKKRIPAWCDRIIYRDSRLASVSDCGLECPIVSSVVQYVP >Manes.05G136647.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21748284:21759995:1 gene:Manes.05G136647.v8.1 transcript:Manes.05G136647.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVQDDEDREALAGLSSAPPANLKMHSHSQQHRSNSDQVKRHHPRKHSLDNIPHASSERYYESPEDACFPYQSPSLSSSTYRNVGGSGGNEGLQQRFDSNEGSPDDIKKTLLPEFIATGGTGTFKAPTRAAVHPGRPTCLELRPHPLRETQMGKYLRNIACTETQLWAGQECGVRFWSFENAYDPGLGLQGRVRRGDQDAAPFHESANTSPTMCLMVDNGNRLVWSGHKDGKIRSWKMDQPLDDDAPFKEGLSWQAHKGPVLSMVMSCYGDLWSGGEGGVIKIWPWESIEKSLSLSTEEKHMAALLVERSHIDLRSQVTVNGNCSLSSSDVKCLLYDKVKAKVWCAQSLSFSIWDAHTKELVKVFNIDGQTENRVDLSSAQQPDQPAEDEMKVKFVSTSKKEKSQGFLQRSRNAIMGAADAVRRAASRGAGAFAEDGKRTEALVLTTDGIIWSGCSNGLLVQWDGNGNRLQDFTHHSSTVQCLCTFETRIYVGYVSGIIQVLDLDGNLIAVWVAHSNPVLKLAVGNGYIFSLATHGGIRGWFLTSPGPLDNIIRSELAQKEAIYTRRDSFRILVGTWNVGQGRASHDALMAWLGSAATDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGQWWLDTIGKALEEGTTFERMGSRQLAGLLISLWVRKNLRAHVGDVDAGAVPCGFGRAIGNKGGVGLRIRVLDRIMCFVNCHLAAHLEAVNRRNADFDHIFKNMTFSRSSSNLTSAAGVSTNTQTLKGTNAVSANSEDSKPVLSEADMVVFLGDFNYRLFGITYDEARDLVSQRSFDWLREKDQLRAEMKAGRVFQGMREALIRFPPTYKFERNQPGLGGYDAGEKKRIPAWCDRIIYRDSRLASVSDCGLECPIVSSVVQYEACMDVIESDHKPVRCKFSVQIAHVDRSVRRQEFGEIIRSNEKVRSLLEESHYVPETIISTNDIVLQNQDTCILRITNKCKKEKAVFNIICEGQATFKEDGGEPDYRPRGSYGFPRWLEVTPAAGIIRPEQHAEVSVHHEESHTLEDFVDGVPQNWWSEDARDKELILVVIVHGSSSTEMKSHRIHLRHCFSSKAVRLESNSNSRKNQGGGAANRSELRQLSSSSDKGDDLQNSRKS >Manes.05G136647.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21748284:21759995:1 gene:Manes.05G136647.v8.1 transcript:Manes.05G136647.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVQDDEDREALAGLSSAPPANLKMHSHSQQHRSNSDQVKRHHPRKHSLDNIPHASSERYYESPEDACFPYQSPSLSSSTYRNVGGSGGNEGLQQRFDSNEGSPDDIKKTLLPEFIATGGTGTFKAPTRAAVHPGRPTCLELRPHPLRETQMGKYLRNIACTETQLWAGQECGVRFWSFENAYDPGLGLQGRVRRGDQDAAPFHESANTSPTMCLMVDNGNRLVWSGHKDGKIRSWKMDQPLDDDAPFKEGLSWQAHKGPVLSMVMSCYGDLWSGGEGGVIKIWPWESIEKSLSLSTEEKHMAALLVERSHIDLRSQVTVNGNCSLSSSDVKCLLYDKVKAKVWCAQSLSFSIWDAHTKELVKVFNIDGQTENRVDLSSAQQPDQPAEDEMKVKFVSTSKKEKSQGFLQRSRNAIMGAADAVRRAASRGAGAFAEDGKRTEALVLTTDGIIWSGCSNGLLVQWDGNGNRLQDFTHHSSTVQCLCTFETRIYVGYVSGIIQVLDLDGNLIAVWVAHSNPVLKLAVGNGYIFSLATHGGIRGWFLTSPGPLDNIIRSELAQKEAIYTRRDSFRILVGTWNVGQGRASHDALMAWLGSAATDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGQWWLDTIGKALEEGTTFERMGSRQLAGLLISLWVRKNLRAHVGDVDAGAVPCGFGRAIGNKGGVGLRIRVLDRIMCFVNCHLAAHLEAVNRRNADFDHIFKNMTFSRSSSNLTSAAGVSTNTQTLKGTNAVSANSEDSKPVLSEADMVVFLGDFNYRLFGITYDEARDLVSQRSFDWLREKDQLRAEMKAGRVFQGMREALIRFPPTYKFERNQPGLGGYDAGEKKRIPAWCDRIIYRDSRLASVSDCGLECPIVSSVVQYEACMDVIESDHKPVRCKFSVQIAHVDRSVRRQEFGEIIRSNEKVRSLLEESHYVPETIISTNDIVLQNQDTCILRITNKCKKEKAVFNIICEGQATFKEDGGEPDYRPRGSYGFPRWLEVIFAP >Manes.06G028600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:6676915:6678339:-1 gene:Manes.06G028600.v8.1 transcript:Manes.06G028600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGREPFNVTARAPTSAFGNRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLENGNEGDERDLESGEGANKTGEDQKQQSVAFEEKILVIMAGEVKPTFLATPMSSRSSSFGDNSSTKSCSCSENSEKSENGRGSSAEMVKQGTVDQEQSRTAETSTLNDSSDQNH >Manes.06G028600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:6676492:6678339:-1 gene:Manes.06G028600.v8.1 transcript:Manes.06G028600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGREPFNVTARAPTSAFGNRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLENGNEGDERDLESGEGANKTGEDQKQQSVAFEEKILVIMAGEVKPTFLATPMSSRSSSFGDNSSTKSCSCSENSEKSENGRGSSAEMVKQGTVDQEQSRTAETSTLNDSSDQNH >Manes.06G028600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:6676484:6678339:-1 gene:Manes.06G028600.v8.1 transcript:Manes.06G028600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGREPFNVTARAPTSAFGNRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLENGNEGDERDLESGEGANKTGEDQKQQSVAFEEKILVIMAGEVKPTFLATPMSSRSSSFGDNSSTKSCSCSENSEKSENGRGSSAEMVKQGTVDQEQSRTAETSTLNDSSDQNH >Manes.01G274900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42957447:42962308:1 gene:Manes.01G274900.v8.1 transcript:Manes.01G274900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPGILTDWPWKSLGSFKHMILAPWAIHSTYSFLVKEKDERDLGYFLIFPFLLLRMLHNQIWISFSRYKTAKGSNRIVDKGIEFEQVDRESNWDDQIILNGLMLYIGYSFSLGIHLPLWRMDGVIMTILLHAGPVEFLYYWLHRLLHHHYLYSRYHSHHHSSIATEPITSVIHPFAEHIAYFALFAIPLATTLVTGTASIISFAAYITYIDLMNNMGHCNFELTPKTLFSIFPPLKFLMYTPSFHSLHHTQFRTNYSLFMPLYDYIYNTADKCSDTLYEASLKRSEDSPDVVHLMHLTTPESIYYLQFGFASLASKPYTYKWYVWIMWPITLFWSVIMSWIHGQAFISERNAFNGLKSQSWVVPKYAIQYKFKRQREAINGFIEEAILEADKRGVKVLTLGLLNQGEELNRNGEIYLQKNPWLKIKVVDGSSLAVAVVLNSIPQGTTQVLLRGDLTKVAYAVTFALCRRGIQVATMNKHEGEKLGQRVTIPQSNLVVHPITHAPKVWLVGDDLGCEEQMKAAKGTVFIPFSQFPPKKWRKDCVYYSTPAMIVPKAFENLHSCENWLPRRVMSAWRIAGILHALEGWNEHECGDQIFNVEKVWEASLRHGFVPFTTSSS >Manes.01G094950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29631073:29631402:-1 gene:Manes.01G094950.v8.1 transcript:Manes.01G094950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRGRSMRFFSESVVAVVVLILLSTSHCRAGVSKIMGGNHLSIGETNVESEFVMDSEFSRMLVGSTPAIGNPGNPPPPLTKCRDSVHYCLPPQNLCNARIYDRNCER >Manes.08G037599.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3681346:3681834:1 gene:Manes.08G037599.v8.1 transcript:Manes.08G037599.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPVPVSYYQLSFINFRYQLCSCVAIFTYPQVLHYSNGGMSFSPHNPICNSKLFTVLVQGIFFFFLIYVKHCIRSVSSVSYCSYLLKNLFPHFFNLLYFWVCFVSYGKVMNLPIYLNQTVSRWFLYSPFLHYLSHAFDIIPLVRPGINVRKQHIVATMFWL >Manes.06G125200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25514518:25517113:1 gene:Manes.06G125200.v8.1 transcript:Manes.06G125200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKEDMRYSLWGGCVRDLILKRTPKGFDIVTSAELKQTNEAGLLEVYTLRVGILAFHKALSDQPRAPLLVAIFCLAVHNGGDMSEALNIARRITKPHDVSFQELSEPEDKDYEVLNDEVMDLADSIKRVLSNEYDD >Manes.12G055300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5333702:5338253:-1 gene:Manes.12G055300.v8.1 transcript:Manes.12G055300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTESVVTLIDSTTSKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKEYETKTRKAQELLEKREAAVVAKEQASLERLQEKRDAAVFAITNALEKHRKVSPVEPAVVTIDVQGEPPTVEDQPADTMAAESNLEESNNSSENGNVEVISYPELVKLCEVMDSEGLHKFISDNRKNLAVLREEIPLALKAAENPAQLVLNSLEDFYPMEVPTFDGKKDSALLGLRRTCIMLMECLSILLACTDLVSVSDVISEDIKEQAKAIAEEWKPKLDGLDMDASNGNSLEAHAFLQLLATFGIASDFDEEELSRLIPMVSRRRQAAVLCRFLGLSEKMPGVIEVLINSGRQIDAVNLAFAFELTEQFSPVPLLKSYLKEARKTSSSVKPGSASPTAMQNDVNERELTALKAVIKCIEEHKLEEQYPVDPLQKRLIQLEKAKADKKRATEVAKPQPKRPRANGVGCGPRVTNVTADKTFYPRVTDRYPQYVYDRPYVYPGPTDNHVAPLMGSATYNFSPSHGNYFGNGYQYQAPYLH >Manes.12G055300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5333702:5338262:-1 gene:Manes.12G055300.v8.1 transcript:Manes.12G055300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTESVVTLIDSTTSKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKEYETKTRKAQELLEKREAAVVAKEQASLERLQEKRDAAVFAITNALEKHRKVSPVEPAVVTIDVQGEPPTVEDQPADTMAAESNLEESNNSSENGNVEVISYPELVKLCEVMDSEGLHKFISDNRKNLAVLREEIPLALKAAENPAQLVLNSLEDFYPMEVPTFDGKKDSALLGLRRTCIMLMECLSILLACTDLVSVSDVISEDIKEQAKAIAEEWKPKLDGLDMDASNGNSLEAHAFLQLLATFGIASDFDEEELSRLIPMVSRRRQAAVLCRFLGLSEKMPGVIEVLINSGRQIDAVNLAFAFELTEQFSPVPLLKSYLKEARKTSSSVKPGSASPTAMQNDVNERELTALKAVIKCIEEHKLEEQYPVDPLQKRLIQLEKAKADKKRATEVAKPQPKRPRANGVGCGPRVTNVTADKTFYPRVTDRYPQYVYDRPYVYPGPTDNHVAPLMGSATYNFSPSHGNYFGNGYQYQAPYLH >Manes.12G055300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5333702:5338262:-1 gene:Manes.12G055300.v8.1 transcript:Manes.12G055300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTESVVTLIDSTTSKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKEYETKTRKAQELLEKREAAVVAKEQASLERLQEKRDAAVFAITNALEKHRKVSPVEPAVVTIDVQGEPPTVEDQPADTMAAESNLEESNNSSENGNVEVISYPELVKLCEVMDSEGLHKFISDNRKNLAVLREEIPLALKAAENPAQLVLNSLEDFYPMEVPTFDGKKDSALLGLRRTCIMLMECLSILLACTDLVSVSDVISEDIKEQAKAIAEEWKPKLDGLDMDASNGNSLEAHAFLQLLATFGIASDFDEEELSRLIPMVSRRRQAAVLCRFLGLSEKMPGVIEVLINSGRQIDAVNLAFAFELTEQFSPVPLLKSYLKEARKTSSSVKPGSASPTAMQNDVNERELTALKAVIKCIEEHKLEEQYPVDPLQKRLIQLEKAKADKKRATEVAKPQPKRPRANGVGCGPRVTNVTADKTFYPRVTDRYPQYVYDRPYVYPGPTDNHVAPLMGSATYNFSPSHGNYFGNGYQYQAPYLH >Manes.12G055300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5333702:5338253:-1 gene:Manes.12G055300.v8.1 transcript:Manes.12G055300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTESVVTLIDSTTSKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKEYETKTRKAQELLEKREAAVVAKEQASLERLQEKRDAAVFAITNALEKHRKVSPVEPAVVTIDVQGEPPTVEDQPADTMAAESNLEESNNSSENGNVEVISYPELVKLCEVMDSEGLHKFISDNRKNLAVLREEIPLALKAAENPAQLVLNSLEDFYPMEVPTFDGKKDSALLGLRRTCIMLMECLSILLACTDLVSVSDVISEDIKEQAKAIAEEWKPKLDGLDMDASNGNSLEAHAFLQLLATFGIASDFDEEELSRLIPMVSRRRQAAVLCRFLGLSEKMPGVIEVLINSGRQIDAVNLAFAFELTEQFSPVPLLKSYLKEARKTSSSVKPGSASPTAMQNDVNERELTALKAVIKCIEEHKLEEQYPVDPLQKRLIQLEKAKADKKRATEVAKPQPKRPRANGVGCGPRVTNVTADKTFYPRVTDRYPQYVYDRPYVYPGPTDNHVAPLMGSATYNFSPSHGNYFGNGYQYQAPYLH >Manes.01G162200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34699127:34700533:-1 gene:Manes.01G162200.v8.1 transcript:Manes.01G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEQSVTDSINAIYLLFSAYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGSISYYLFGFAFAFGVGSNSSNSFIGTTFFALKDIPNNTYDYSYFLFQWAFAIAVAGITSGSIAERTQFSAYLIFSFFLTGFVYPIVAHWVWSSNGWLSASSSELFFGSGAIDFAGSGVVHLVGGIAGLWGSLIEGPRVGRFDAFGKPVPIRGHNATLVVLGTFLLWFGWFGFNPGSFNKILVAYPNTTDQGNWTAIGRTAVTTTLAGSTAGIVTLFGRRLLVGHWDALGVCNGVLGGFVAITSGCAVVEPWAAIVCGFCAAWILIGLNILALKLQFDDPLEATQLHGGCGAWGLIFTGLFAKEEFVIQAYESGESGVERPYGLIMGGGWGLIGSQVVEVLVIVLWVSITMGPLFYALHKLRILRISIDEEVAGLDISSHGGYAYVGHHPEGDQPRFYADYMRLQAQSQS >Manes.01G011113.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3736002:3737678:-1 gene:Manes.01G011113.v8.1 transcript:Manes.01G011113.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVANMPSSSSPPPPSLSKKSSNDDHNDHSDQLRRGPWTLDEDNLLVHCIARHGEGRWNLLAKRAGLRRTGKSCRLRWLNYLKPDVKRGNLTPQEQLLILDLHSKWGNRWSKIARYLPGRTDNEIKNYWRTRVQKQAKNLKIDANSSAFQEIIRYVWIPRLLQKIEGSSTSSSPSSSLSTYPTVSDQPVNCSAPDLPPPPPPQQEVSGHHQGHVDHNSDSEHGSNSCISSTESMNRSQISELSEYPASPFHSMCTFQKDSFYVDNLDTMTLATLSVTEGGFQNSTCEPHVSESNWVEYDFGDNMWNMDEFMAI >Manes.07G062404.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10149025:10163418:-1 gene:Manes.07G062404.v8.1 transcript:Manes.07G062404.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKLKNRKVKLQRAVEEATRKGEEIDESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYHLSKKAEKKALAIHELASEDDHNPISFPPHPQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKSEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDVWKKLDLNAVGIPFGDGFKGCKILLTSRSQDVLSRKMGTQQEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLNQLSEFDNEEIYSKVHAILESSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYVMGLSLFKNISTVQGARNKVYSLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELVKFPNKDCTRISLPYCEIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLQQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGRLQTFRILIGDNWDWDDNYKTSRALKLKLKASIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKHLRVQNNHAIQHIINSMKWAVCDAFPILESLILENLMKLEKIYHGRLAAGSFNKLEILQVKNCQRLTHLFSLSAVKCLLQLQEMKVEDCPQMKAIVIDESESSNEVFEFNGLRSLNLRNLPNLRTFHSPLKIEEFLSERDDDTHLSFFSRTVSFPNLEHLELNSVGCEKIWHDQLSATSSKLESLSVCCCHELKHLFTTSIVKRLLQLKTLQIYICSSMEEIILTEEFIEEEDERMNQILFPKLNELSLYDLPKLIRFCTGYQIEFQSLRYLDISYCNALMGLVPSVPHKGMMEKQDDTEINQNAEIQSLFNKMVGFPNLERLSLSGLNEFKRIWHSPLAANSFFKLKSLNVVYCQKLMAVFPSNDLERFRRMQELIVSNCASLQEIYQLEGSNVDEAFELRKLNISELGSLKYVWRKDPQAVFSFQNLKSVTVSHCDVLNYLFPASIADGLLQLEELTITTCGVEEIIAEAEDVEQAPYYCFKFPQLTFLELTDLSELRSWYPGTHILELQKLTSLNVRNCNKIIKFSLQEIDEEGKRPLLFLKKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLINLQNKSCPFIIGFLERLYSVETILVKGYNTSEELEELFSYEGLAGKEEEHARTLARVKNLKLDVVYNLKHIWDPDSGLKPLLQYLETLSVSGCHSLINVAPSSSSFQNLATLEVSYCAGLANLITASTAKSMVQLTKMTVRGCKMMTEIVTSDGDDHTEDEIINFDKLKCLELDDLPGLISFCSGNNAFNFPALENVTVKRCSRMKFFAFGDLNTPKLRGILLGDQQRWEGNLNATLAEMRVDQYFKASKFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNNLKKLEVKDCDSADVVFDLEGVSADDGLLPQLCELKLTSLPMLRHLWNKEPQGILEFKNLRLLQVGNCSSLKYIFTESIALCLLQLEKIEIYNCKMIEGIIEKEEIEEAADKMILPSLKSVVLKCLPRFSRFCSGWSNVECPLLEEMSIHKCPSLKNIFATQTLVNTINEMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFQNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLVGDQEDITTIPHIRALTLKILPGLKHIWNPDGQLHDPLFQSLETFEIWFCGNLIVLAPSSVSLGNLKTLKVFGCKTLANIFTSAAAKSMVQLETLIVTHCNMLTEIIGGVEEDGSTDEIVFSKMKTLKLEVLQNLTGFCLGSYIFNFPSLEQVTVFRCPKLRIFTVRKPSTPKIHGVFTGHRLSRTFLHWEGDLNATIEQIYMKYIGFKEIYDIQLSNFPMLKEKWHGQFPFKNLRHIWRLVVDECAFFSNAISSNLLKHLSRLNELAVERCDSVEDLFDLEGLNDDEDNDGLLKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMALGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEIVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDASFEDIIFCEEIIGEEIRPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTTLQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVECPNMKIFAQEVSTPQLWRVQTGEQLKYDKEWKDIIYYCSWEWKGTLKNTIQVICDWEWEGSLNNTIEALFKEKKAEETGIGQCSYG >Manes.03G138556.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26527089:26529067:1 gene:Manes.03G138556.v8.1 transcript:Manes.03G138556.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHVPFFQLLFAFLLVILPMIFNILHNLKNAKLPPGPWKLPLIGNLHQLVGSLPHHSLRNLANEYGPLVHLQLGQVSVVVISSPHMAKEVMKTHDVIFAYRPNLLAGRIMSYDSTNIAFSPYGNYWRQLRKICVMELLSPGRVQSFRSIREDDVASLTKTISSSAGSPINLAEKVFSMIYSITARAAFGEKCKDQEEFMSLILRSATLAGGFCLGDMYPSVKVLQVISGMKPKLEKLHKEIDKILDNILKEHREEKLAAKASDEEASEDLVDILLRFQEQGDLEFSLTDNNIKAVILDIFGAGSETSAATIEWAMSEMLRNPRVMKKAQAEVRRVFDGKADVDEKRIQELKYLKLVIKETLRLHPPVPLLLPRECSETCEINGYKIPVKTRVVVNAWALGRDPSYWSEAETFFPERFADSSIDFKGTNFEYIPFGAGRRICPGISFAQPNIELPLAHLLYHFDWKLANGLKREDLDMTETFGLTARKKLNLILIPIPYK >Manes.05G031700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2695980:2697521:1 gene:Manes.05G031700.v8.1 transcript:Manes.05G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTEVFLPKLFVHTLSFLGFIRNLIICLFNYLGLSDFLETDNIWPDNTTRIHTHTPVSAVLIREILPIIKFDDLIAGGTEGELPESCAVCLYEFEGDAEIRWLKNCKHIFHRACLDRWMDQDRKTCPLCRTSFVPDEMQEEFNQRLLAASDDGDFYSEYSSVPVL >Manes.04G111000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31576908:31579920:-1 gene:Manes.04G111000.v8.1 transcript:Manes.04G111000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Manes.03G143200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27118724:27122135:1 gene:Manes.03G143200.v8.1 transcript:Manes.03G143200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKEDEIEDMSLSLPTMGSMQIAGSNGFGHNIEFMSQAYLRNRYSEIDIEADGLNMHKDHPLPIFLKFEDVVYRVRNSAASSANPVKAVVSKVASQLNLEQDNYKKILKGITGSIGPGEILALMGPSGSGKTTLLKIIGARLTDNVKGSITYNDIPYNAALKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPGNMSRQQKYARVEIIVKELGLERCRHTRIGGGFIKGISGGERKRTSIGHEILVDPSLLLLDEPTSGLDSTSANRLLQVLQGLAKAGRTIITTIHQPSSRTFHMFDKLLLIAEGYPVYYGKARDSMEYFSSLRFIPDIAMNPAEFLLDLATGQVNDISLPQDLSAVPGTPDSDKAVIKYLQLKYKTQIEPKEKEDNHRRAKAPKHLQMAIQVKKDWTLSWSSQFMILLKRTFRERRRDYFDKLRLVQALGVAVLLGLLWWKSETGTEAQLRDQVGLMFYICIFWTSSSIFGAVYVFPFEKIYLVKERKADMYRLSVYYVCSTLCDMMAHVFYPSFFMIIVYFMAGFKRTIPCFFLTLFTILLIAITSQVS >Manes.03G143200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27118724:27122135:1 gene:Manes.03G143200.v8.1 transcript:Manes.03G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKEDEIEDMSLSLPTMGSMQIAGSNGFGHNIEFMSQAYLRNRYSEIDIEADGLNMHKDHPLPIFLKFEDVVYRVRNSAASSANPVKAVVSKVASQLNLEQDNYKKILKGITGSIGPGEILALMGPSGSGKTTLLKIIGARLTDNVKGSITYNDIPYNAALKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPGNMSRQQKYARVEIIVKELGLERCRHTRIGGGFIKGISGGERKRTSIGHEILVDPSLLLLDEPTSGLDSTSANRLLQVLQGLAKAGRTIITTIHQPSSRTFHMFDKLLLIAEGYPVYYGKARDSMEYFSSLRFIPDIAMNPAEFLLDLATGQVNDISLPQDLSAVPGTPDSDKAVIKYLQLKYKTQIEPKEKEDNHRRAKAPKHLQMAIQVKKDWTLSWSSQFMILLKRTFRERRRDYFDKLRLVQALGVAVLLGLLWWKSETGTEAQLRDQVGLMFYICIFWTSSSIFGAVYVFPFEKIYLVKERKADMYRLSVYYVCSTLCDMMAHVFYPSFFMIIVYFMAGFKRTIPCFFLTLFTILLIAITSQGAGELFGAASLSIKRAGMFASLILMLFLLTGGYYVQHIPKFMQWLKYLSFMYYGFRLLLKVQYDGDELYECGSKGGCRPLQSSPSFDTVNLKGGLQEVWVLLAMALGFRITAYFCLRRRINVCHL >Manes.01G049390.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:14880469:14883102:1 gene:Manes.01G049390.v8.1 transcript:Manes.01G049390.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNKQKEIKSSSSSPTKALSEHSEKVMETIVKPSKPSKTINTQDKNPEDLKRWIEELSKSPEVIKALQNVASSSSSGMNSNPKAIVPVHGTTSLSQTVDSKDKSNPLMAVGLPKIQSSHGYSFYKWTIKPLFDFEIVIENGYNVINPWAVIKKYYPENWYFLPKDFSKSQEYYSSILEETDSVKIKHNFDKNDKTVGYPSKRLANPNIIYKITFKTLKKHSTSYNYFDYMDAWKNVFYIQNPTHTHSWSIYFNQSKIKITTQFPNWFLKWWQYKGTSIPIIVRKHKIKWWGSFKNTTTEMVVKQWILQRAQLPTVSYAGKLTLQGEPSFGAQKAQCQALLAASKNPEEFKLICQQMYNQLTSSEKEKLNQESSSSKESSKRSSSKKMVKKKSSRRKSKKQSSSDTESTASETSSSENPVSSYDSNEDDCYGILPAIKIKSNTDKCLNFLT >Manes.18G033444.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3059729:3062585:1 gene:Manes.18G033444.v8.1 transcript:Manes.18G033444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLVSYMEEGSNPPLQPPTYGNLVTVLSIDGGGIRGLIPGTILSFLESELQKLDGEEARIADYFDVIAGTSTGGLITAMLTAPSENNRPLFAAKDIKDFYLNHCPKIFPQPKCLLFGQVEKVIRGISGPKYNGKYLHGIVREKLAKTRLNQTLTNIVIPTFDITQLQPTIFSTYEVKRKPCLDALLSDICIATSAAPTYLPAHYFETEDEQTGKVREFNLIDGGVAANNPALLAIGEVTKEIIKGNPDFFPIKPMDYGRFLVISLGTGSPKSEKRYNANKAAKWGVMGWLNSGGSTPLVDVFTQASADMVDFYLSAVFQALHSEQHYLRIQDDTLSGQESSLDIATKANLENLVEIGERILKKPVSKVNLETGEFEPANQGTNEEALKRFAKILSQEKLLRLARSPHGRLVLSSKRVTQ >Manes.15G157500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12999198:13000130:-1 gene:Manes.15G157500.v8.1 transcript:Manes.15G157500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGEGWRVITCAAGAQDKTIINSVMLRFRPIAPKPVTDGSSSGSWKPENKNGGVTSVRTKRKYVRVKKSNEYYKRRRKKKSSDLERDGREDDSNEKLLTLQLLPEKTDLTQDSTIVKGSSSRDDRTVRKELVQECTDPSSLLNSRKQVTDDLCLLTFTDRTAAMAEKRTIETWVTVESVTDTCMDLVGGLGSTDEEKMNNLKKDTCPGFISDGRTKVEWVNEAYKKMVMVRPENNNRKYPPADEITVKLAIKEKLLPYLYNPAFTCWVRLQYTWQKEKFSQMVPCDVWKMESGGYAWKLDIKAALSLGL >Manes.13G152250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36688519:36688773:1 gene:Manes.13G152250.v8.1 transcript:Manes.13G152250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLCKRAVQHLRKLSLSSRGMVAAVKPSQPKQPPESANKYEASWVSGREAEAKKAGIDEKSRAKQAEKAEKIMHLVCWGPQII >Manes.01G190300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36610304:36613294:1 gene:Manes.01G190300.v8.1 transcript:Manes.01G190300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDEQTLKEDRRPIDQNPNQSQEWETMARAWLGAFPEAKAVSTTEVEAWIDSNRGSLPADLQSMPRADLIDRLLAIQNYMRLPDQVAKLNQVDLPHARFQRTDQWLPVYSWLESLDKDEVVKSKDISDWLTENPDVKEQLCSRHSRYHLMHYIKKCHMKILKRRERKKGLQQQTDKPTSPKVQKIADTKELSSLPSNPLNNIPKDSDLYFAKRNEALQKYHILLELEKKLSPHFSKRQGVQK >Manes.01G190300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36610304:36613294:1 gene:Manes.01G190300.v8.1 transcript:Manes.01G190300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDEQTLKEDRRPIDQNPNQSQEWETMARAWLGAFPEAKAVSTTEVEAWIDSNRGSLPADLQSMPRADLIDRLLAIQNYMRLPDQQVAKLNQVDLPHARFQRTDQWLPVYSWLESLDKDEVVKSKDISDWLTENPDVKEQLCSRHSRYHLMHYIKKCHMKILKRRERKKGLQQQTDKPTSPKVQKIADTKELSSLPSNPLNNIPKDSDLYFAKRNEALQKYHILLELEKKLSPHFSKRQGVQK >Manes.18G046001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4048952:4050060:1 gene:Manes.18G046001.v8.1 transcript:Manes.18G046001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLFFITCNDSLSLSLHLFFHAKLLSLIVETKDSTNVLETKACMSICIYTCKVFIQIQVVNAKSKIFKIGLPSQPASKPMCICSSCIFLMNLFNLWVLPIRLN >Manes.04G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4333032:4338537:1 gene:Manes.04G034000.v8.1 transcript:Manes.04G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFHAQRKKEPFLASVGRCTISCILALLTQYALSLVPRFFSASFLLIQLALSVVVLLLVVGFGKWCRKLLGVSASAPAFVFFNILFVWVVYFVIVRQAIPYFIDAVFNGEVAMLFIGVCSILSSDPGLVTHGSSHSDKLDEIKAFEVEVQNESSSLLKRVRYCKSCEAYVKGFDHHCPAFGNCIGQNNHVLFMVLLLGFLTTEASYVMCSFQFARDSKILGGNRFEIGLAGSLVISTMLFTLLQVLWQGGFMAWHIYCICFNIRTDEWINWRKYPEFQVIIQSQPDRSFTEMRFTNPYDKGIVQNVKEFFTVRD >Manes.07G040500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4317481:4317909:-1 gene:Manes.07G040500.v8.1 transcript:Manes.07G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRSPSLIVLLSLVALSISAEARQLLETTLPEVPELPKPELPDLPLLPKVELPPLPEVPTFPKPELPTLPKLPELPELSKPEIPTLPKPELPEFPKPELPAFPHLPELPKPELPTFPHLPELPKSTFPSKDTKPESATNP >Manes.11G060215.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7852571:7870250:1 gene:Manes.11G060215.v8.1 transcript:Manes.11G060215.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDTDHLLPSWIDDTTSNCCEWERVICNSTTGHVTELLLNNTRQYNIESSSFYNDNLNNLQFLDISDNNFNGTLSFKDFSTFKRLETLNLGGNAFTGCIPEDMWAPPSLKALYLYGNKLSGSLLKQSKFTERIEYLDLSYNSFSGLLPSCSNQLKELKTLNLSYNHFDGSIDNQGLCVLKSLVELHLQGNRLSGPLPECIGNFTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLHGNEFEGSFSFSALANHSKLEVFILSPGNSRLEVETENPTWFPAFQLKYIQLSNCSLNVRTRAIPSFLHYQYDIRFIDLSHNTLVGAFPTWILQNNSNLGVINLRNNSFTGTFQLPNFKHDLVQLDISSNNLTGMLPKEFGLVLPRLEYINMSRNNFGGNVPSSISKTPELSILDLSHNNFSGELPGSLFANCTMYCALILSNNNFQGNVFPQGMNLRSMTVLDMKNNNFSAMVGRGSVRSVFSV >Manes.11G060215.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7852520:7853362:1 gene:Manes.11G060215.v8.1 transcript:Manes.11G060215.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDTDHLLPSWIDDTTSNCCEWERVICNSTTGHVTELLLNNTRQYNIESSSFYNDENSWCVNLSMFQQLKELKTFNLSYNHFDCSIDNQGCERLSKLKKLEVLDLAWNKFNNIILPSFGALISLKTLILSCNRMEGSFPIQGMFDL >Manes.02G158300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12369777:12372709:-1 gene:Manes.02G158300.v8.1 transcript:Manes.02G158300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVARLSRVGIQTVKQGARGMCSFLCRTEFMRTPYSQCRQSWFELHPERKAFQGTLFQKHYLFSTTTSGSDVGVGSEGNDMISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGGCEGSLACSTCHVIVMDVEHYNKLEDPTDEENGMLDLAFGLTETSRLGCQVIARPELDGIHLVIPAATRNFAVDGFVPKPH >Manes.05G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2166870:2169026:1 gene:Manes.05G024300.v8.1 transcript:Manes.05G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDAYGVVEAQYIWRHHRHQPKENQCTSALVKHIKAPVHLVWSLVRRFDQPQKYKPFVSRCVVNGETGEPGIGSVREVNVKSGLPATTSTEMLELLDDEEHILGIKIVGGDHRLKNYSSIMTVHPEVIDGRHGTLVIESFVVDVPEGNTKDETCYFVKALIQCNLKSLADVSERMAVQGWLNPSIDSE >Manes.02G143200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10977037:10981893:-1 gene:Manes.02G143200.v8.1 transcript:Manes.02G143200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL4 MLVAFKRCFCSKKNKHTPGYEEPTVLAAETPFTVSEVEALYELFKKLSNSIISDGLIHKEEFQLALFRNRNRRNLFADRIFDLFDIKRNGVIDFGEFVRSLSVFHPNAPIEDKIKFAFRLYDLRQTGFIEREELKEMVLALLHESELVLSEDVVEMIVDKTWKDADTKGDGKIDLEEWRDLVSKSPSLLKNMTLPYLKQGYNIVISQLCATF >Manes.02G143200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10977037:10980290:-1 gene:Manes.02G143200.v8.1 transcript:Manes.02G143200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL4 MLVAFKRCFCSKKNKHTPGYEEPTVLAAETPFTVSEVEALYELFKKLSNSIISDGLIHKEEFQLALFRNRNRRNLFADRIFDLFDIKRNGVIDFGEFVRSLSVFHPNAPIEDKIKFAFRLYDLRQTGFIEREELKEMVLALLHESELVLSEDVVEMIVDKTWKDADTKGDGKIDLEEWRDLVSKSPSLLKNMTLPYLKDITLSFPSFVLRSEVEDTEI >Manes.02G143200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10977037:10981890:-1 gene:Manes.02G143200.v8.1 transcript:Manes.02G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL4 MLVAFKRCFCSKKNKHTPGYEEPTVLAAETPFTVSEVEALYELFKKLSNSIISDGLIHKEEFQLALFRNRNRRNLFADRIFDLFDIKRNGVIDFGEFVRSLSVFHPNAPIEDKIKFAFRLYDLRQTGFIEREELKEMVLALLHESELVLSEDVVEMIVDKTWKDADTKGDGKIDLEEWRDLVSKSPSLLKNMTLPYLKDITLSFPSFVLRSEVEDTEI >Manes.04G042800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6690783:6703426:1 gene:Manes.04G042800.v8.1 transcript:Manes.04G042800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACGNLCFFCPSLRARSRQPVKRYKKFLADIFPRNQEAEPNDRKIGKLCDYVSKNPFRIPKITDTLEQRFFKELRHGNFGSVKVVVCIYRKFLSSCKEQMPLFASSLLGIVRTLLDETRQDEMQILACNILVDFINSQTDSTHMFNLEGIIPKLCQLAQDVGDGERVLRLRSAGLQALASMVSFMGEHSHISMEFDNIISVTLENYIDPQMDPENSKDDQWVQGVLKAEDNDSSFPDKISSRSLTTKPELDNTVDTSKCPSYWSKVCLRNMARLAKEATTVRRVLEPLFHNFDTSNHWPLETGVAYPVLIYLQSLLEEAGENSHLLLSYLVKHLDHKNVAKQPLVQICIVNVITQLGQNAKQEATVTIIGAIYDLIKHLRKCLQNSAELSTSPGDCINKQNADLQFAIENCISQLSNKVGDVGPILDKMAVLLENISMTAVLARTTISAVHRAAQIIASIPNISYHKKAFPDALFHQLLIAMAHPDHETRVGAHSVLSVVLMPSLLSLWSDQNKKTSEAISGFLGTRQKSMSKSYSFQDEGKDKAEAVDGESWEEDNQISDVGAKQFGKSGLHSHSNSFKSATRDEKTKQTSLRLSSHQISLLLSSIWVQATSAENMPENFEAMANSYNIALLYTRSKTSNHMALARCFQLAFSLRSISLDQERGLQPSRRRSLFTMASYMLIFSAKAGNFPELISLVKASLTEKTADPYLESVGDTRLQTVYLESNGGKMVYGSEEDDVSASKSLLAVELDDHHLKETLISKFVTKFAKLTQDDLSGIKEQLFPEFLPDDAYPFGAPLFMETPRPCSPLAQTEFQAFEEIMPAASLTDDETVTEANGNLSDRKTSLSVNSLDILSVNELLESVLETARQVASSQISSTPVPYDQMKSQCEALVTGKQQKMSMLQSFKQQHDGKIFLTANEKKGTSAYNEKVKFLQSDSTLNSKNQIQAPDQLALWSVEYGHSSLRLPPSSPYDKFMKAAGC >Manes.04G042800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6690369:6703426:1 gene:Manes.04G042800.v8.1 transcript:Manes.04G042800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACGNLCFFCPSLRARSRQPVKRYKKFLADIFPRNQEAEPNDRKIGKLCDYVSKNPFRIPKITDTLEQRFFKELRHGNFGSVKVVVCIYRKFLSSCKEQMPLFASSLLGIVRTLLDETRQDEMQILACNILVDFINSQTDSTHMFNLEGIIPKLCQLAQDVGDGERVLRLRSAGLQALASMVSFMGEHSHISMEFDNIISVTLENYIDPQMDPENSKDDQWVQGVLKAEDNDSSFPDKISSRSLTTKPELDNTVDTSKCPSYWSKVCLRNMARLAKEATTVRRVLEPLFHNFDTSNHWPLETGVAYPVLIYLQSLLEEAGENSHLLLSYLVKHLDHKNVAKQPLVQICIVNVITQLGQNAKQEATVTIIGAIYDLIKHLRKCLQNSAELSTSPGDCINKQNADLQFAIENCISQLSNKVGDVGPILDKMAVLLENISMTAVLARTTISAVHRAAQIIASIPNISYHKKAFPDALFHQLLIAMAHPDHETRVGAHSVLSVVLMPSLLSLWSDQNKKTSEAISGFLGTRQKSMSKSYSFQDEGKDKAEAVDGESWEEDNQISDVGAKQFGKSGLHSHSNSFKSATRDEKTKQTSLRLSSHQISLLLSSIWVQATSAENMPENFEAMANSYNIALLYTRSKTSNHMALARCFQLAFSLRSISLDQERGLQPSRRRSLFTMASYMLIFSAKAGNFPELISLVKASLTEKTADPYLESVGDTRLQTVYLESNGGKMVYGSEEDDVSASKSLLAVELDDHHLKETLISKFVTKFAKLTQDDLSGIKEQLFPEFLPDDAYPFGAPLFMETPRPCSPLAQTEFQAFEEIMPAASLTDDETVTEANGNLSDRKTSLSVNSLDILSVNELLESVLETARQVASSQISSTPVPYDQMKSQCEALVTGKQQKMSMLQSFKQQHDGKIFLTANEKKGTSAYNEKVKFLQSDSTLNSKNQIQAPDQLALWSVEYGHSSLRLPPSSPYDKFMKAAGC >Manes.04G042800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6690433:6703426:1 gene:Manes.04G042800.v8.1 transcript:Manes.04G042800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACGNLCFFCPSLRARSRQPVKRYKKFLADIFPRNQEAEPNDRKIGKLCDYVSKNPFRIPKITDTLEQRFFKELRHGNFGSVKVVVCIYRKFLSSCKEQMPLFASSLLGIVRTLLDETRQDEMQILACNILVDFINSQTDSTHMFNLEGIIPKLCQLAQDVGDGERVLRLRSAGLQALASMVSFMGEHSHISMEFDNIISVTLENYIDPQMDPENSKDDQWVQGVLKAEDNDSSFPDKISSRSLTTKPELDNTVDTSKCPSYWSKVCLRNMARLAKEATTVRRVLEPLFHNFDTSNHWPLETGVAYPVLIYLQSLLEEAGENSHLLLSYLVKHLDHKNVAKQPLVQICIVNVITQLGQNAKQEATVTIIGAIYDLIKHLRKCLQNSAELSTSPGDCINKQNADLQFAIENCISQLSNKVGDVGPILDKMAVLLENISMTAVLARTTISAVHRAAQIIASIPNISYHKKAFPDALFHQLLIAMAHPDHETRVGAHSVLSVVLMPSLLSLWSDQNKKTSEAISGFLGTRQKSMSKSYSFQDEGKDKAEAVDGESWEEDNQISDVGAKQFGKSGLHSHSNSFKSATRDEKTKQTSLRLSSHQISLLLSSIWVQATSAENMPENFEAMANSYNIALLYTRSKTSNHMALARCFQLAFSLRSISLDQERGLQPSRRRSLFTMASYMLIFSAKAGNFPELISLVKASLTEKTADPYLESVGDTRLQTVYLESNGGKMVYGSEEDDVSASKSLLAVELDDHHLKETLISKFVTKFAKLTQDDLSGIKEQLFPEFLPDDAYPFGAPLFMETPRPCSPLAQTEFQAFEEIMPAASLTDDETVTEANGNLSDRKTSLSVNSLDILSVNELLESVLETARQVASSQISSTPVPYDQMKSQCEALVTGKQQKMSMLQSFKQQHDGKIFLTANEKKGTSAYNEKVKFLQSDSTLNSKNQIQAPDQLALWSVEYGHSSLRLPPSSPYDKFMKAAGC >Manes.09G000200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:504608:508168:-1 gene:Manes.09G000200.v8.1 transcript:Manes.09G000200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSPISPVFSISTSQKSPITTSTPTPPTVWHQTRSYSSLRRQILHGLALSPLILIKETAISEAKEVEVGSYLPPSSSDPSFVLFKATPKDTPALRAGNVQPYQFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNASIEEIGSPEKLIASLGPFVTGNSYDPDELLETSIEKLGDQTYYKYVLETPYALTGTHNLAKATAKGSTVVLFVASANDKQWQTSEKTLKAILDSFQL >Manes.02G190300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15257212:15260402:1 gene:Manes.02G190300.v8.1 transcript:Manes.02G190300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTHPAINQNNLISPSPASPPQRLSLPLLFSQPSLMESDPRKQQPPKLPSSQPPPPPLPLDPPPPSSRSLRKIVVVASIAAGVQFGWALQLSLLTPYVQLLGIPHTWAAFIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIAAGSVAVAVAVFLIGYAADLGHLSGDPLSKSPKPRAIAVFVVGFWILDVANNMLQGPCRALLADLSGANQKKTRIANALYSFFMAVGNVLGFAAGSYTHLYKIFPFSKTTACDVYCANLKSCFFISIVLLSSLTLLALIYVRERPWSPEQRNTAAGDDEEEEEGPSESSPMPFFGEIYAALKNLQRPMWILLLVTCLNWVAWFPFLLFDTDWMGREVYGGDSNGNSDQVRLYDRGVRAGALGLMLNSVVLGFTSLGVEVLARGVGGVKRLWGIVNFILAFCLAMTILVTKMAESHRKFTTVEGGATVTLPPPGGVKAGALALFAVMGIPQAITYSIPFALASIFCNTAGAGQGLSLGVLNLSIVIPQV >Manes.02G190300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15257212:15260401:1 gene:Manes.02G190300.v8.1 transcript:Manes.02G190300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTHPAINQNNLISPSPASPPQRLSLPLLFSQPSLMESDPRKQQPPKLPSSQPPPPPLPLDPPPPSSRSLRKIVVVASIAAGVQFGWALQLSLLTPYVQLLGIPHTWAAFIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIAAGSVAVAVAVFLIGYAADLGHLSGDPLSKSPKPRAIAVFVVGFWILDVANNMLQGPCRALLADLSGANQKKTRIANALYSFFMAVGNVLGFAAGSYTHLYKIFPFSKTTACDVYCANLKSCFFISIVLLSSLTLLALIYVRERPWSPEQRNTAAGDDEEEEEGPSESSPMPFFGEIYAALKNLQRPMWILLLVTCLNWVAWFPFLLFDTDWMGREVYGGDSNGNSDQVRLYDRGVRAGALGLMLNSVVLGFTSLGVEVLARGVGGVKRLWGIVNFILAFCLAMTILVTKMAESHRKFTTVEGGATVTLPPPGGVKAGALALFAVMGIPQAITYSIPFALASIFCNTAGAGQGLSLGVLNLSIVIPQMVVSVASGPWDALFGGGNLPAFVVGAIAAAASGIFALTMLPSPPPDIPSVKGSRSTTAAFH >Manes.03G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27350786:27370138:-1 gene:Manes.03G146000.v8.1 transcript:Manes.03G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNRPHFRHRGGGSGRPQPPPTLPSHSPSLSIDPNLALQNIYLQNMANAMLHGFAPQQNFFPFHQNPNFPIQNTNFSIQNTNIPPPQQFPSCLTQQQSHPLQNSNFLIQNPTFAQQQQQQQHSSHNSAQKGGQNLPSSQNTEQQEHNESEQALEKVQHDLAQHQQQYPHNSAQHMPRQNPKKHIRKELIERVDRAVEKARQDLLAAGESVSAWKVSQAVLVTLQVDSWDSLGLRMQEVPSLHRLILTEGKVNAFINCFVGVRRITSLYDLEVAICENEGIEEFEELELGPLLRHPLVLHYFSVNSDATEVLKITTEDLILSLHEYMSTFRKKEINIDEFLDFVAKKQSVKGKGNLGVRIQGLGMHISFIREAKRSKNTTLKKCLETLKRKSEAKTSVNGSGNKCRKRPFLSTQKKDLDERFSAISQRVESFASAHKDFRGKHIRFDSSSSEDEESDDGTNIDKMNSSDEGSPFSLKNVNSNDRVSSCPYPSATEEMSRLGLKSEMGGQSSPHSSSSRHKRYDGSHRKKRKFDDVSCTASAPSKLLKQDTGKHNSHRSEVDNDAEEVTISSEDDISISDNSMRMFITNWKEVCKEHTVAEVLERMLKFYEPLDDRKASQRRKKIKSLFLSYPCIGLLNVAVTSMKCGMLDSIYDTFQAFTQHESPNTLPKNESIDVEPGENQKPVVPDADHSLQQTHSVAGVTVEEILGKIDKYYELDCQFGSHGKFLSEDKFISLKKLCGCEFWLVDQFGIKEFKSLGYGEFFIFLKEHTSLLPTELQKLLAGNICEKSSLEVSLLQHQLMVLVAQASNSLWESETISKKMISTLLVRQFPTLSFKIMENGSMEDFLHIVCENKNNVISKCVLFSATLLSDPSREDDIVESTGIRTNNAQNDSATSKNAIDVLLRAPMLSDLNSWSHWDLVFAPSLGPLVEWLLSEVNAKELLCLVTKDGKVIRIDQSANVDSFLEAALQRSPFQTAVKLLSLLSLAGGEKHVPLSLLKCYARHVFDVILKSHFENKEVQDNKKYFLLAKTVDEVANNLSGEVHKNSIQIDHLVSGASRFILDSLGYLPSEFRGFAAGVLLSGMQSMIKDAPSAILFECNQEERIMLHEIGLSNGIVEWIDDYHSFCVTGTTADLFTSSKSARLQAAESAVSIGFRHTENVLYMSTCDDGEAVAPQEEDVHDEASTETSPTVQGAVSSHEVGSGCAEKTSEFDRQKDSALVIESIRRDEFGLDPNLSCMENTMLRKQHARLGRALHCLSQELYSEDSHFLLELVQNADDNIYPESVEPTLTFILQESGIIVLNNEQGFSAQNIRALCDVGNSTKKGSGSGYIGQKGIGFKSVFRVTDAPEIHSNGFHIKFDLSDGQIGFVLPTIVPPCNVDVFSRLLSRETVQMDKKFWNTCIVLPFRSKLSEGTAMKMFSDLHPSLLLFLHRLQCIIFRNMLNDSLIVMRKEILRDGIIKVSCGKDKMTWLVASQKLQAHASRPKVQTTEIAIAFTLEESDNGDYRPHLDQQPVFAFLPLRTYGLKFIIQGDFVLPSSREEVDKNDPWNEWLLTNFPGLFVNAERSFCALSCFTENPGKAVTAFMSFVPLVGEVHGFFSALPKAIALELRRTSCLLLEGDNHKMVPPCNVLRGWNEQARILLPEGLLQEHLGLGFLDKNIVLSDSLANALGVAEYGPEILIKFMACLCRTENGLKSMGFDWLSSFLSSLYIMFSHSSGSANLVDKLQQIPFIPLSDGTYSSVDRGTIWLHSDILSTRFDGAQELVAFPQLYVKLQTVNPALFSASAADGTSVDNSARMLQKIGVQQLSAHEIVKVHILPSISDDRITNSDEGLMTDYLCFVMIHLQSNCPHCCVERKYIISELQNKAYILTNLGYRRPAETSIHFSKEYGNPININKLVNVVDMKWHEVDITYLKHPVNDSLSNGLMKWRGFFQEIGVTDFVQVVQIEKGVSDLSHTLLKNITWDTEMVSPGSVAKDWVSHELVQILSHLSISGDRDRCKYLLEVLDRLWDDNFSDKGTGYCISESSVCGRRFKSSFLSSIHDVPWVVSSMDDELHYPCDLFYDCDVVHCILGGSAPYALPKVSSAKLLGDIGFKTKVTLDDTLEVLRIWRESQAPFKASITQMSKLYTFIWDEMTPSKKKVLEALDSVSFIFIPCESGSRHEGLVSGVFLSSEEVYWHDPTGSIDNMKNTHPQSGLTVVPQRPLSKTLCNIYSGLHDFFVKECGVHEFPSCRSYFDILRQLASVALPSQAASTVLQVFLKWTDGLKSASLCSEDISYMKECLLKVEYTILPTIQDRWVSLHPSFGLVCWCDDKNLKKIFKDIDNIDFLYFGNLSDSEEEVLQTQVSDLMHNLGIPALSEIITREAIYYGPADSSFKAALVDWALPYAQRYIYSIHPEKYLQFKLYGLNNLKQLKITVVEKLFYRNVIKSCGGASKKRYECSCLLQGNTLYTTSESDSHVLFLELSRLFFDGTSNLHLANFLHMITTMAESGSTEDQTEFFIVNSQKVPRLPDDESAWSLSSISTLTENDESLKTGAASMAINDQKTSKYKRKVGISSNWPPVDWKTAPGFSYARANGFKTQAVVSHPSSSCKTEEDDSEDIIIETDTSVPVEIDTDWAIEENTAGSILLRPESVDTHSAHACNQTPNLDISSDPVGLPLIAYVPEPSSIVFSRREKLNIGTADVSQLLLTGRLGERVAFKYLTEKFGEEVVKWVNEDRETGLPYDIVIEEENGKEYFEVKATKSARKDWFMISMRECQFAIEKGDSYSIVHVVLLSNNTAKVTVFKNPAKQCQAGKLQLVFMMPRQQRESTVIS >Manes.14G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18001862:18006489:-1 gene:Manes.14G153700.v8.1 transcript:Manes.14G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALALQPANGSDILIQTREWFPPARALVATSAFRKTRFAFAASKLNPNNPNHYSDDSAADSIGDDPLAASSGQLIVGVESRYRVVYRLVNGIYVLGITTADQDNSINVFECIHIVNQSVSVIVTACRGVDVTPEKLNRKYAEIYMALDIVLRGVSNIRLAAMLASMHGDGIAKMVHSLLDTENKIRGAETWPAVEVHAAEHQASIEAFSNVRFELPAETIAAGDEVAASLAPVASEQQDQKPEKQEEPEAPKDPFAASDSLNKQEDLVGEFKKDKNQSTDLSLALAGLEVTTLPPAEATQATHINVEGFEGDYGGIEFSSEQASLGETFEGFSDAWGGGLDASEFVGPKKIPKQQGLGGLELLQTGDSGDKAAAAKAASGAAGTPLENLLVQRTEMKGPEMYIVEEINAEFRESLLARVGLMGVVYLRTLPPKTAGDKETEFSFRVDNTSAVKRFIMQSSKVSSLGNGMFHVRTAPSDEPLPILKYSLLPRLTPLPLRVRLIQRRSGTLLSMMIQYASNPDLQVPLSDVTFILKLPVDPTLLKVSPKAVLNRAERELKWHVPEIPLKGSPGRLRARIPVDSSEGEGDEEIEAFGYVNFSMQGTTLSGVCLQPATEGKTDFYEKKAKNNISLAESQRPQKAEEEIKT >Manes.06G072700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20887404:20888759:-1 gene:Manes.06G072700.v8.1 transcript:Manes.06G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSSLPQLFLLFLLFTFTNLCCSIPVNTSKDYLKLPLLHRTPFTSPAQALFSDIRRLSLLQNRPSFKSPVTSGASSGSGQYFVSLRLGSPPQSLLLVADTGSDLVWVKCSACKNCSNYFPGSAFLARHSSTFSPIHCYNPLCQLVPHPQPNPCNHTRLHSPCRYEYLYADGSKTSGFFSKETITLNTSTGRKKKLKNVAFGCGFRISGPSLTGASFKGAQGVMGLGRAPISFSSQLGRRFGNKFSYCLMDYTLSPPPISYLMIGGLQSSAVSRKRMNFTPLLVNPQSPTFYYIAIKSVSVDGIKLPIDPSVWSIDELGNGGTIIDSGTTLTFIAKPAYREILKAFKRRVKLPIAAEESPDFDLCVNVSGVSRPALPRMSFELSGDSVFSPPSMNYFIDTGDGVKCLAIQPVNSDDDFSVIGNLMQQGYLLEFDRDKSRLGFSRSGCAKP >Manes.08G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34062344:34066430:-1 gene:Manes.08G102400.v8.1 transcript:Manes.08G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTRDAMALPVVLLLLCFFIVVESNLSLFDRIFQLPGQPHVGFQQYSGYVTVDDKSKRALFYYFAEAETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNQYSWNREANMLYLESPIGVGFSYSADTSSYESVNDKTTARDNLVFLQRWFVKFPQYRNRSLFITGESYAGHYVPQLAELMLEFNKKHHLFNLKGIAIGNPVLEFATDFNSRAEFFWSHGLISDTTYKMFTSVCNYSRYVSEYYRGSVSPICSRVMGQVSKETSRFVDKYDVTLDVCISSVFSQSKALSPKQVADNVDVCVEDETVNYLNRQDVQMALHARLVGIQRWTVCSNVLDYELLDLEMPTIPIVGRLIKAGIPVLVYSGDQDSVIPLTGSRTLIHGLAEELGLKTSVPYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKAFLERRPLPEAF >Manes.05G146001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24826404:24827337:-1 gene:Manes.05G146001.v8.1 transcript:Manes.05G146001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILLMMFMAYIFAGFFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRQELDLVEQEDQLTHEISLQEDIDPKITLDIFKPDPNFLENEKRYEELRKNILGEESADEEGSDAASGDEDDDEEEDDDDDETETNLVNLRRTI >Manes.05G053500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4447004:4452572:-1 gene:Manes.05G053500.v8.1 transcript:Manes.05G053500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPTSFKTIFLLLCFTYNLIHITHSVPFIVLHGIGDKCSNRGITRFTELLSNWSGAPGYCLEIGDGSWDSWTTPLIEQTAIACEKVKSMSELANGYNIVGLSQGNMVGRGMIEFCEGGPAVKNFISLGGPHAGTASVPFCGSGLVCILVDVLIRIEIYSSYVQEHLAPSGYVKIPTDIADYLKGCKFLPKLNNEIIKNSTYKERFTRLENLVLIMFEHDTVLIPKETAWFGYYPDGAFTPVLPAQETKLYTEDWIGLKTLDEEGKVKFINVSGNHLEISQKDMKKYIVPYLENQASHQQIKTEHSACRWVSSIRNFFVELLGLNEDQALLHIL >Manes.15G180300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22619422:22623225:-1 gene:Manes.15G180300.v8.1 transcript:Manes.15G180300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNTEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEDIEDADRD >Manes.15G180300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22619109:22623297:-1 gene:Manes.15G180300.v8.1 transcript:Manes.15G180300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNTEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEDIEDADRD >Manes.07G014200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1735043:1736971:-1 gene:Manes.07G014200.v8.1 transcript:Manes.07G014200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTQSYGSLILVTRQLLKEQIQPYTEETRETEKMSTTLSDHQDTNLERILLITNLVVELPSAVLDQLSSVHKPRYALISMIMSLIIMLISVLDFVRMGRRQRVKWMKRDKIIPWFYSQGPSFKPLGTFADVVGLVCSIFQCVSAAVAYEFLSHKSDNPIKISVWPLIFAFGVLLTRFPRNHRSEIHRENPAQRNLRELQDSETSLYYGDKKKDGKYSEKIKKAKRKKREELARLKELHTIKGQVESVAELRELEIDSDEQYYSV >Manes.15G064200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4884967:4889030:1 gene:Manes.15G064200.v8.1 transcript:Manes.15G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGSPNTNADTELVDNDQNPNPNSSNVLVPESGSPAVCLLRFAGDSAGGAFMGSIFGYGSGLIKKKGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALAHSFSARHKRGYHDASRPLARTSAPHPFALPLSVPLPNELKAAFTFFCKSLKKPTSNFPTAPW >Manes.03G195200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31343164:31345792:1 gene:Manes.03G195200.v8.1 transcript:Manes.03G195200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCLGTHRVLRIRRAIRQGKLTLFCFFMTVIVLRGIIGAGKFGTPEQDFNELRDRFYASRKHAEPHRVLVEAQPATETTQNNINSNNDPNNYATFDITKILVDEGQDEKPDPNKPYSLGPKISDWDEQRADWLRKNPNFPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFSDMAFEVPWERYKDSNFVMHGWNEMVYDQKNWIGLNTGSFLLRNCQWALDILDAWAPMGPKGKIREEAGKVLTRELKDRPVFEADDQSAMVYLLATQRDKWGEKVYLESAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRVRNESSNPLEVKDELGLLHPAFKAVKLSTSS >Manes.02G129050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9759769:9778311:-1 gene:Manes.02G129050.v8.1 transcript:Manes.02G129050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPESPARDGHGIAFTISPSVEFKGAIATQYLGLFNSTTLDLSSNHLLAVEFDTVRNPEFGDINDNHVGVDINNLTSIESAPAMYFSENDGKNKSLDLASGKPVQVWIDYDEIEKLLNVTLAPLGTVKPERPLLSTTVDISAVLLESMYVGFSASTGSVASYHYILGWSFNNNGQAQSLDLSKLPSLPLKRKSKTSIVLIIASGAVYRRKRFEELHEDWEQEYGPQRFSYKDLYKATRGFKDKELLGLGGFGRVYKGVLPSSNTQVAVKKVSHDSQQGIKEFVSEIVSMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLFQNDTPDLNWIRRYQILRGVASAMLYLHEEWEQVVLHRDVKASNVMLDAELNGRLGDFGLAKFYDHGSIPQTTRVVGTVGYLAPEVSRTGRVTTSSDVFAFGILMLEVACGRRPIEPERPPQEALLRPVEYKRPQEEAVLLDWVLECWKRGDMLMTVDPRLEGSFVVEEMELVLKLGLLCAHRLPMARPTMRQVVQYLDGNATLPEIPLHEACISCNWTKMKAREKGIKLTSKKITFSLIYIKSLVFQNGIFFLLPNKYNRNQNSGPFMLLTHLASADPEVNQFIYHGFNEANLHLNGIAKILPNGLLELTNISFQQIGRAFFPLPIKFSKNASTNSQSFSFSTTFVFAIVPQMPDLGGHGFAFTISPSAEFTGARAIEYLGLFNLTNNGLPSNHVFAVELDTILTTDFNDINDNHVGIDVNGLISNVSAPVAYFPDKERENKSLELRSGNPMQVWIDYDDMEKLLNVTVAPVTSKKPQRPLLSTAIDLSPVFMDSMYVGFSSSTGTLASYHYILGWSFNRSGPAQSLDVSKLPSLPPKRKSSKKLDLRIMVPSVTASIVLIIASGVVYRRKRFEELHEDWEQEYGPQRFSYKDLYKATRGFKDKELLGFGGFGRVYKGVLPSSNTQVAVKKVSHDSQQGIKEFVSEIVSMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLFQNDTPNLNWIRRYQILRGVASAMLYLHEEWEQVVLHRDVKASNVMLDAELNGRLGDFGLAKFYDHGSIPQTTCVVGTVGYLAPEVSRTGRVTTSSDVFAFGILMLEVACGRRPIEPERPPQEALLLDWVLECWKRGAILETSDPRLEGRYMAEEMEMILKLGLLCTHATPAARPIMRQVMQYLDKNAPLPDILLDGPGIGLVTVSQEAAGDFYSSFPASNHYSVLSITTSILSYGR >Manes.09G082900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21909712:21913672:1 gene:Manes.09G082900.v8.1 transcript:Manes.09G082900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSQIHGDGGLEDEKAANGGSTEHERCPIEEVALVVPETDDPTLPVMTFRAWFLGLASCVLLIFLNTFFTYRTQPLTISAILMQIGVLPIGKFMARTLPTKVYKIFGWSFSLNPGPFNIKEHVIITIFANCGVSFGGGDAYSIGAITVMKAYYKQSLSFLCGLLIVLTTQILGYGWAGMLRRYLVDPVEMWWPSNLAQVSLFRALHEKEPKSKGMTRMRFFLIVMTASFLYYALPGYLFPILTFFSWVCWIWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVTPWSSILNVGIGFVMFIYIIVPLCYWKYNTFDARKFPIFSNQLFTSSGHKYDTTKILTPDYQLNIPAYDSYGKLYLSPLFALSIGSGFARFTATLTHVALFHGRDILMQSRSAMKNAKMDIHAKLMKNYKQVPEWWFFILLIGSIALSLLMSFVWSKDVQLKWWGMLFAFGLAWIVTLPIGVIQATTNQQPGYDIIAQFIIGYILPGKPIANLLFKIYGRISTIHALSFLSDLKLGHYMKIPPRCMYVAQLVGTLVAGTVNLAVAWWMLENIENICDVEALHPESPWTCPKYRVTFDASVIWGLIGPRRLFGPGGMYRNLVWLFLIGAFLPVPVWILSKMFPEKTWIPLINIPVISYGFAGMPPATPTNIASWLITGTIFNYFVFRYRKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNEGVNLKWWGTKIDHCPLASCPTAPGIMVEGCPVFK >Manes.18G077624.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7105865:7108356:-1 gene:Manes.18G077624.v8.1 transcript:Manes.18G077624.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSLCMENEEKNVNFSQSYKKIVSSISSFKDPTHRVIADSKVETISRLAQWRIENFGPCSLKTSDPFKLGIWNWQLSVERNGLLYIRLFPEPSPASKEQSPIAKFILRVYSAGANPRTYASPVQERLLRTCDDFVWPVDSTFHGRFTIDVEFLDLKVCLSNGEEACSIWHSDGVMQCFSNQSTHRCLSRMLDEAIYADVTIHTADGSLRAHKAILCASSPVFRSMFYHDLKEKESSTIYIEDMSMESCMAFLNYMYGTIKREDFWKHRLELLDAANKYDIADLKDACEESLLEDIDSGNVLERLQEAWLYQLDKLKKGCLTYLFDFGKINDVREEINNFFEQADKELVLEMFQEVLTVWKPLVFSL >Manes.10G126325.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29359676:29365957:-1 gene:Manes.10G126325.v8.1 transcript:Manes.10G126325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSKILTSSDILRRLSIPENSVKAFPSAQKIILHVKDDSGNVWSFRCRIPAIGFSKPVVFGNWFKFVRSKDLKPRDTIVFYKEMDECSGAQYKIEVKKRDQVIRK >Manes.04G035800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4666329:4671219:-1 gene:Manes.04G035800.v8.1 transcript:Manes.04G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKRSPCSVDQGSLTSIATKRHKSDLSISPKERKEKLGERIVALQQLVSPYGKTDTASVLLEAMEYIQFLHEQVKVLSAPYLQSTPAAQLQELEQYSLRIRGLCLVPISCTVGVARSNGADLWAPIKTTSPKFEKAI >Manes.04G035800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4666328:4671219:-1 gene:Manes.04G035800.v8.1 transcript:Manes.04G035800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKRSPCSVDQGSLTSIATKRHKSDLSISPKERKEKLGERIVALQQLVSPYGKTDTASVLLEAMEYIQFLHEQVKELEQYSLRIRGLCLVPISCTVGVARSNGADLWAPIKTTSPKFEKAI >Manes.04G035800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4666328:4671219:-1 gene:Manes.04G035800.v8.1 transcript:Manes.04G035800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKRSPCSVDQGSLTSIATKRHKSDLSISPKERKEKLGERIVALQQLVSPYGKTDTASVLLEAMEYIQFLHEQVKFALISRPCTYGVVSGAECSIPAKYTGSSITGIRAIQSENQRSLPCSNLVHCWSCSQQWRRSLGPHQDHFSQI >Manes.04G035800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4666329:4671219:-1 gene:Manes.04G035800.v8.1 transcript:Manes.04G035800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKRSPCSVDQGSLTSIATKRHKSDLSISPKERKEKLGERIVALQQLVSPYGKTDTASVLLEAMEYIQFLHEQVLSAPYLQSTPAAQLQELEQYSLRIRGLCLVPISCTVGVARSNGADLWAPIKTTSPKFEKAI >Manes.03G211700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32704515:32706602:-1 gene:Manes.03G211700.v8.1 transcript:Manes.03G211700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKPLIGLSWEPKLPPLPSWSKISNTKSKDPPESSALWKPNKELVDGLFVPPNDPEKVNKLLRSQAKDTLGKDWFDMPAPTMTPELKKDLQLLKLRGALDPKRHYKKGDSKSKTLPKYFQVGTVVQSSTDFYSGRLTKKERKATIADEVLSDRNLAAYRKRKVREIEEQNRPAGNEKWKIKGRQSRKRAKERRH >Manes.03G211700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32704515:32706602:-1 gene:Manes.03G211700.v8.1 transcript:Manes.03G211700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKPLIGLSWEPKLPPLPSWSKISNTKSKDPPESSALWKPNKELVDGLFVPPNDPEKVNKLLRSQAKDTLGKDWFDMPAPTMTPELKKDLQLLKLRGALDPKRHYKKGDSKSKTLPKYFQVGTVVQSSTDFYSGRLTKKERKATIADEVLSDRNLAAYRKRKVREIEEQNRPAGNEKWKIKGRQSRKRAKERRH >Manes.17G077700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27753879:27757523:-1 gene:Manes.17G077700.v8.1 transcript:Manes.17G077700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNAVPCSSQNPNNLLTDDRYEDDRYEPIMNNLKARVFSKISPSGNIAPQLKTHIERRLHEFFPSFDTPSHPPYSSMIQRAISELNNEEGSTKEEISAFIKREYEHLPWGHESFLSHHLGKLCGNMELACVNNERYILLVEEDCELKEVTGSSRKKEGRRGGQGRKKVRVTKRKKKQGQAEKQQIEVLEDTLQAMELKRQKDQMTTLERGVQVGFNKQDDVQAIVTHNLGVVEEEKQLNITSPCEQKELICDLLSEQHPHPQILSNQQMEAKLHSVESPSFLEWHKDSEQQLDLSSMERSPKPKAPVNKDPQHQDEQQPSSKPRGRGRPRKLKSSTDMILSNQQMKAKLHSVESPAFLEWHKDPEQQLDLSSMERSPKPKAPVNKDPEQQPSSKPRGRGRPRKLKSDTDMIISNQQMEAKLHYVESPAFLEWHKDPEQQLDLSSMERSPKPKAPVNKDPQHQDEQQPSSKPRGRGRPRKLKSDNMILSNQQMEAKLHSVESSAFLEWHKDPEQQLDLSSMERSPKPKAPVNKDPQHQDEQQPSSKPRGRGRPRKLKSDTDMILSNQQMEAKLHSVESPAFLEWHKDPEQQLDLSSMERSPKPKAPLNKDPQHQDEQQPSSKPRGRGRPPKLKSDTDMIRNSLLPSSDQDYNEQQQSKRRGRPPKRKTDCY >Manes.17G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31719710:31728044:-1 gene:Manes.17G110800.v8.1 transcript:Manes.17G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDIAGILENSRELDRLRKEQEEVLLEINKMHKKLQATPEVVEKPGDNSLSRLKSLYTQAKDLSENEVIVSNNLLSQLDALLPSGPPGQQRRRIEGSDQKRKRMKNESDISRLSPSMRNQLEACASLKGEQVAARVTGNNAEKDEWFVVKVMQFDRETKEFEVLDEEPGDDEEGGGQRKYKLPMSCIIPFPKKNDLSSVPDFPPGRHVLAVYPGTTALYKATVVSPPRKRKTDEYLLEFDDDEEDGALPQRAVPFYNVVALPDGHRQ >Manes.01G131700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32457626:32462362:-1 gene:Manes.01G131700.v8.1 transcript:Manes.01G131700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSQRILGFLAYLNKTRCHLPRFRASIPVFPFSTVDTQLSTLEDKPLVSQLKDQVNLKECSVLDELSNLLPIHPSNPILHPYKDQKLNELGERRVVADRFLLPEEKLRGVFLQKLKGKSAIERALADTGVDLSLDIVAKVLNGGNLGGEAMVTFFNWAIKQAMIPKDIHSYNIIIKALGRRKFIDFMLKILNELRTEGIRFNLETLSIVMDSLFRAQRVCKAIQMFGNSKEFGFEYNTESLNVLLQCLCRRSHVGVANSYFNSVKGKISFNSTTYNIMIGGWSKFGRVSEMETILEAMVQDGFAPDCSTFCCLLEGLGRAGRIKEAVKIFDNLGEKDFLLDAAVYNAMIFNFISVGDLDECMKYYRSLLSNNCDPNIDTYTKLICAFIKARKVADALEMLDEMLGRGIVPTTTTITSFIEPLCSFGPPHAAMMIYKKARKAGCKISLTAYKLLLMRLSRFGKCGMLLNIWEEMQESGYSTDMEVYEYVINGLCNIGQLENAVLVMEESLSKGFCPSRLICSKLNHKLLASSKVERAYKLFLKIKDARRDENARRFWHANGWHF >Manes.10G083600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20805649:20809912:-1 gene:Manes.10G083600.v8.1 transcript:Manes.10G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADFDNLSVSRSELHDLLSKPSLNGIPLLVLGNKIDKSGALSKQDFTEQMGLKSITDREVCCFMISCKNCTNIDTVIDWLVKHSKSKN >Manes.09G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31651658:31653517:1 gene:Manes.09G114400.v8.1 transcript:Manes.09G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQSNPIPAMTTYQPLQSPVMVIGPQFLAQYPVDLRVAAKLLSLGECNLGVTDVNGTLIFKVKSKLLSIRDRRYLLDAAGNILATFQQKILTAHRRWQVFRGDSTNAQDLIFSVKKSSMIQLKSELDVFLATNTAENVPDFKVKGSWSERSCIIYLGLSNIIIAQMHRKHTLSSVVFDADNFGVTVYPNVDYAFIVALVVILDEINEDRRGDD >Manes.02G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9956634:9962255:-1 gene:Manes.02G131300.v8.1 transcript:Manes.02G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPNNNQSKGFFAAMTSGLSMFGNAMHRSVNGLLGYEGVEVINPEGGKDDAEEEAQRGRWKQEERDSYWNMMHKYIGSDVTSMVTLPVLIFEPMTMIQKMAELMEYSYLLDQADKCEDPYMRLVYASSWAISVYYAFQRTWKPFNPILGETYEMVNHGGITFIAEQVSHHPPMSAGHAENDHFTYDVTSKLKTKFLGNSLDIYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMANLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESLSYQPCDMEGEPLPGSELKEVWHVADAPANDKFQYTYFAHKINSFDTAPRKLLASDSRLRPDRLALEKGDLSKAGAEKSSLEERQRAEKREREAKGHKFIPRWFDMTDEITPTPWGDLEVYQYNGKYAEHKAHVDNSDNIEEVDVQSIEFNPWQYGNCSAE >Manes.15G077500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5821279:5822713:-1 gene:Manes.15G077500.v8.1 transcript:Manes.15G077500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRRRLEFHTEDQTPHKWSVSLTEEVFKIFFSQGTPILHKIFGGGSLFSPLLFGKFFDPSDAFPLWEFESDVLLSNLRSSGKTSVDWFRTDEAYVLKAELPGAEINSVRLYAENGKIVEISGQWKQQKESKAKDWRSGHWWEHGYVRRLELPEDADCRKIEGYLNNDIFLEVRIPKKTLDCDRDDTITKKSDPL >Manes.15G077500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5821331:5822713:-1 gene:Manes.15G077500.v8.1 transcript:Manes.15G077500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRRRLEFHTEDQTPHKWSVSLTEEVFKIFFSQGTPILHKIFGGGSLFSPLLFGKFFDPSDAFPLWEFESDVLLSNLRSSGKTSVDWFRTDEAYVLKAELPAGAEINSVRLYAENGKIVEISGQWKQQKESKAKDWRSGHWWEHGYVRRLELPEDADCRKIEGYLNNDIFLEVRIPKKTLDCDRDDTITKKSDPL >Manes.04G130900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33255135:33259105:-1 gene:Manes.04G130900.v8.1 transcript:Manes.04G130900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKWKQTALLVIDMQVVREHDPLGRDVELFRRHLYSSGKVGPTSKGSKGAELVDGLVIKEGDYKIVKTRFSAFFNTHLHSFLQTEGIKSLVIVGVQTPNCIRQTVFDAVALDYENVSVIVDATAAATPDVHVANIFDMKNIGVRIPTLQEWHESEA >Manes.04G130900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33255135:33259105:-1 gene:Manes.04G130900.v8.1 transcript:Manes.04G130900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKWKQTALLVIDMQNDFILEDGLMRVDGGEAIVPNVIKAVEIARHHGVLVVWVVREHDPLGRDVELFRRHLYSSGKVGPTSKGSKGAELVDGLVIKEGDYKIVKTRFSAFFNTHLHSFLQTEGIKSLVIVGVQTPNCIRQTVFDAVALDYENVSVIVDATAAATPDVHVACE >Manes.04G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33255135:33259105:-1 gene:Manes.04G130900.v8.1 transcript:Manes.04G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKWKQTALLVIDMQNDFILEDGLMRVDGGEAIVPNVIKAVEIARHHGVLVVWVVREHDPLGRDVELFRRHLYSSGKVGPTSKGSKGAELVDGLVIKEGDYKIVKTRFSAFFNTHLHSFLQTEGIKSLVIVGVQTPNCIRQTVFDAVALDYENVSVIVDATAAATPDVHVANIFDMKNIGVRIPTLQEWHESEA >Manes.05G086336.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7482597:7484144:1 gene:Manes.05G086336.v8.1 transcript:Manes.05G086336.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACICPPGKKAWPELVGIDGNCAAAIIEKENKHVKAIVLKEGTPATSDFRCGRVRVWVNEYNVVIQTPIL >Manes.05G167900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28013894:28015958:-1 gene:Manes.05G167900.v8.1 transcript:Manes.05G167900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFPFSASSMIIILPLITPLIYLIWKSRKASSKQRLPPEAAGGWPVFGHLHLLAGSQPPHIVLGNLADQMGPMFTIKLGVHRALVVSSWELARECFTTNDKAFAGRPKSIAMEILGYNYFMFGTSQYGDYWRQMRKIVSLEVLSNHRLQMLKHVREAEVRTAIEELYQQWIKNKNNSDKLLADMKRWFLDVTLNVVFKIVVGKRFAVSQKGEDGRESDDEWRTAMRGFFKFTGKFVVSDAFPFLRRLDLGGDEKEMKKTAKELDNIVEGWLQEHKQKRVSGEAYKGGEADFMDVLLSILEDAEELSGQDVDIINKSTCMALILGASDTTTVTLTWALSLLLNNRHVLKKAQQELDSVVGRERQVNESDMKDLVYLQAIIKEIFRLYPAAPLSVQHESIEDCSVGGYHIPSGTRLIVNLSKLHRDSRVWLNPSEFKPERFLTTHKDIDFKGQDFELIPFGSGRRMCPAVSFASQVVNLILATLLHSFEIENPSGQPVDMSESAGLTNLKATPLDVFLMPRLPPPLYAATT >Manes.14G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5090952:5093437:1 gene:Manes.14G061000.v8.1 transcript:Manes.14G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPAYMTQYPWSTRTIPTSCLLPSQWPQPHSEELLLAMEESDFEEKCNEIRKTNSNIPVIGKTTVDNDREDFDNDADDDDPDNAEESEGEEFEQETG >Manes.01G122000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31785671:31796754:1 gene:Manes.01G122000.v8.1 transcript:Manes.01G122000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSNKEQVVDVRSVVEAISGEDDNNDAPLYQVESLCMRCGENVLNRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILTRAADELEALQEERKKVDPLTAEAIDRFLMKLRTCATGDSSFTFILDDPAGNSFIENPFAPSPDPLLTIKFYERTPEQQASLGYLVDPSQLGESGNRSPSEVTGSVPDQRRGEPHGSVGAVAGHRAIAQSNSAEIADAIFRYSAPEEVMTFPSTCGACASRCETRMFVTKIPYFQEVIVMASTCDSCGYRNSELKPGGAIPEKGKRITLHVKNVNDLSRDVIKSDTASVKVPELELELASGTLGGVVTTVEGLITKISESLERVHGFTFGDSLDEHKKSKWQDFKARLNKLLNVEDPWTLILDDGLANSFIAPATDDIKDDHQLLFEEYERSWEQNEELGLNDIDTSSADAAYNLTDTTLNQKEE >Manes.01G122000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31785671:31796754:1 gene:Manes.01G122000.v8.1 transcript:Manes.01G122000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSNKEQVVDVRSVVEAISGEDDNNDAPLYQVESLCMRCGENGITRLLLTLIPHFRKILLSAFECPHCGERNNEVQFAGEIQPRGCCYCLEVSSGDQKVLNRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILTRAADELEALQEERKKVDPLTAEAIDRFLMKLRTCATGDSSFTFILDDPAGNSFIENPFAPSPDPLLTIKFYERTPEQQASLGYLVDPSQLGESGNRSPSEVTGSVPDQRRGEPHGSVGAVAGHRAIAQSNSAEIADAIFRYSAPEEVMTFPSTCGACASRCETRMFVTKIPYFQEVIVMASTCDSCGYRNSELKPGGAIPEKGKRITLHVKNVNDLSRDVIKSDTASVKVPELELELASGTLGGVVTTVEGLITKISESLERVHGFTFGDSLDEHKKSKWQDFKARLNKLLNVEDPWTLILDDGLANSFIAPATDDIKDDHQLLFEEYERSWEQNEELGLNDIDTSSADAAYNLTDTTLNQKEE >Manes.03G154100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28190582:28191314:1 gene:Manes.03G154100.v8.1 transcript:Manes.03G154100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHIERAYLAFIKGLALLFLVAMSSCEALSRRKLRRVNMTNVLGERLELTIHCKSKDDDLGIIKIPFNGYYSFRFHPNAFDTTLFFCNTAWRGQSHWFDIYMSERDRYKCPNQGWREEFPFGRAPLPLLRHHRLRHLHSLQTESRCFNNFVS >Manes.09G055500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8987101:8989971:-1 gene:Manes.09G055500.v8.1 transcript:Manes.09G055500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVIFTSSIAPASIVNRYKVHNLLCQKNSSPNPSFPIVHYKCSRVSMVGICTIFRNNSCNIRNFISRDSMDPNNQKFTDVSFDWGDQEEEDPEDMVSPWDGAIIYRRNPSISHIEYCTTLERLGLQKLSTEISKTKASVSGLRVTKAVKDYPLGTPVQISIDVTRKKRKLRLDGIIKTVITLGCNRCGEPTAESIYSNFSMLLTEEPIEEPEIIDMGVIFGEDKFKSSVASSIEEDDEDDASIDWDDRLYFPHEEKEIDISKHIRDLIHIEITINAICDPSCKGLCLKCGTNLNTSSCSCTEERPKEKGYGPLKDLRKQMQSKG >Manes.09G055500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8987057:8989971:-1 gene:Manes.09G055500.v8.1 transcript:Manes.09G055500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNQKFTDVSFDWGDQEEEDPEDMVSPWDGAIIYRRNPSISHIEYCTTLERLGLQKLSTEISKTKASVSGLRVTKAVKDYPLGTPVQISIDVTRKKRKLRLDGIIKTVITLGCNRCGEPTAESIYSNFSMLLTEEPIEEPEIIDMGVIFGEDKFKSSVASSIEEDDEDDASIDWDDRLYFPHEEKEIDISKHIRDLIHIEITINAICDPSCKGLCLKCGTNLNTSSCSCTEERPKEKGYGPLKDLRKQMQSKG >Manes.09G055500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8987057:8989971:-1 gene:Manes.09G055500.v8.1 transcript:Manes.09G055500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVIFTSSIAPASIVNRYKVHNLLCQKNSSPNPSFPIVHYKCSRVSMVGICTIFRNNSCNIRNFISRDSMDPNNQKFTDVSFDWGDQEEEDPEDMVSPWDGAIIYRRNPSISHIEYCTTLERLGLQKLSTEISKTKASVSGLRVTKAVKDYPLGTPVQISIDVTRKKRKLRLDGIIKTVITLGCNRCGEPTAESIYSNFSMLLTEEPIEEPEIIDMGVIFGEDKFKSSVASSIEEDDEDDASIDWDDRLYFPHEEKEIDISKHIRDLIHIEITINAICDPSCKGLCLKCGTNLNTSSCSCTEERPKEKGYGPLKDLRKQMQSKG >Manes.09G055500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8987058:8989972:-1 gene:Manes.09G055500.v8.1 transcript:Manes.09G055500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNQKFTDVSFDWGDQEEEDPEDMVSPWDGAIIYRRNPSISHIEYCTTLERLGLQKLSTEISKTKASVSGLRVTKAVKDYPLGTPVQISIDVTRKKRKLRLDGIIKTVITLGCNRCGEPTAESIYSNFSMLLTEEPIEEPEIIDMGVIFGEDKFKSSVASSIEEDDEDDASIDWDDRLYFPHEEKEIDISKHIRDLIHIEITINAICDPSCKGLCLKCGTNLNTSSCSCTEERPKEKGYGPLKDLRKQMQSKG >Manes.09G055500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8987202:8989945:-1 gene:Manes.09G055500.v8.1 transcript:Manes.09G055500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVIFTSSIAPASIVNRYKVHNLLCQKNSSPNPSFPIVHYKCSRVSMVGICTIFRNNSCNIRNFISRDSMDPNNQKFTDVSFDWGDQEEEDPEDMVSPWDGAIIYRRNPSISHIEYCTTLERLGLQKLSTEISKTKASVSGLRVTKAVKDYPLGTPVQISIDVTRKKRKLRLDGIIKTVITLGCNRCGEPTAESIYSNFSMLLTEEPIEEPEIIDMGVIFGEDKFKSSVASSIEEDDEDDASIDWDDRLYFPHEEKEIDISKHIRDLIHIEITINAICDPSCKGLCLKCGTNLNTSSCSCTEERPKEKGYGPLKDLRKQMQSKG >Manes.09G055500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8987101:8989972:-1 gene:Manes.09G055500.v8.1 transcript:Manes.09G055500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNQKFTDVSFDWGDQEEEDPEDMVSPWDGAIIYRRNPSISHIEYCTTLERLGLQKLSTEISKTKASVSGLRVTKAVKDYPLGTPVQISIDVTRKKRKLRLDGIIKTVITLGCNRCGEPTAESIYSNFSMLLTEEPIEEPEIIDMGVIFGEDKFKSSVASSIEEDDEDDASIDWDDRLYFPHEEKEIDISKHIRDLIHIEITINAICDPSCKGLCLKCGTNLNTSSCSCTEERPKEKGYGPLKDLRKQMQSKG >Manes.09G055500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8987058:8989972:-1 gene:Manes.09G055500.v8.1 transcript:Manes.09G055500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVIFTSSIAPASIVNRYKVHNLLCQKNSSPNPSFPIVHYKCSRVSMVGICTIFRNNSCNIRNFISRDSMDPNNQKFTDVSFDWGDQEEEDPEDMVSPWDGAIIYRRNPSISHIEYCTTLERLGLQKLSTEISKTKASVSGLRVTKAVKDYPLGTPVQISIDVTRKKRKLRLDGIIKTVITLGCNRCGEPTAESIYSNFSMLLTEEPIEEPEIIDMGVIFGEDKFKSSVASSIEEDDEDDASIDWDDRLYFPHEEKEIDISKHIRDLIHIEITINAICDPSCKGLCLKCGTNLNTSSCSCTEERPKEKGYGPLKDLRKQMQSKG >Manes.09G055500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8987162:8989972:-1 gene:Manes.09G055500.v8.1 transcript:Manes.09G055500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVIFTSSIAPASIVNRYKVHNLLCQKNSSPNPSFPIVHYKCSRVSMVGICTIFRNNSCNIRNFISRDSMDPNNQKFTDVSFDWGDQEEEDPEDMVSPWDGAIIYRRNPSISHIEYCTTLERLGLQKLSTEISKTKASVSGLRVTKAVKDYPLGTPVQISIDVTRKKRKLRLDGIIKTVITLGCNRCGEPTAESIYSNFSMLLTEEPIEEPEIIDMGVIFGEDKFKSSVASSIEEDDEDDASIDWDDRLYFPHEEKEIDISKHIRDLIHIEITINAICDPSCKGLCLKCGTNLNTSSCSCTEERPKEKGYGPLKDLRKQMQSKG >Manes.08G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35240480:35241728:1 gene:Manes.08G113300.v8.1 transcript:Manes.08G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGPAGPKSLYNACGIRYRKKRKTVLGLEEDSEKKRRKGHISTIRSSMSASSVNSMNGNELGESLKMRVIVMGEKMMCVRSSIVKKQRCHRRKSLERKNRLLFV >Manes.08G113300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35240480:35241692:1 gene:Manes.08G113300.v8.1 transcript:Manes.08G113300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGPAGPKSLYNACGIRYRKKRKTVLGLEEDSEKKRRKGHISTIRSSMSASSVNSMNGNELGESLKMRVIVMGEKMMCVRSSIVKKQRCHRRKSLERKNRLLFV >Manes.04G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34289075:34291826:-1 gene:Manes.04G144700.v8.1 transcript:Manes.04G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNATNTRNRSPFTPTQWQELEHHALIYKYMVSGVPIPPELIYSVKRSLESSLASRLFPHQSLGWGCFQVGFGRKADPEPGRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVELASTITSSTAATTTVINPLPSINRNLPAITSPSASSYSFSSLSSAVEPEYHVVHQNPSHSTIFNPFVYPHSSSSRPHGSGFSPQNSTTHNLFLDPGSSSHSDKDYRYFHGMREDLDERTFFPEDSGSLRTLQDSYQQLATSSDKGYSQSQFESIADSSKEEEDHQQQHCFVLGTDFKSSAARPIKLEKESETQKLLHHFFGEWPPKNSDPWLDLASSSRRHTADG >Manes.07G071314.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:19096393:19099450:-1 gene:Manes.07G071314.v8.1 transcript:Manes.07G071314.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAISTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDVYTYNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNLLPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDVLCISDQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAETIIKIMIQGGVEPNVVTYSSLMDEYCLGSQIDKAKKLFDLMVTNEIADIFSYNILINGYCKCKMIDDA >Manes.18G098000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9048254:9051894:-1 gene:Manes.18G098000.v8.1 transcript:Manes.18G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMTAAVTVSTATRKTTPSCSIPSLFLIFILVSVLSLSLFLLFSDTSPSFQNPQSTIQASSNTLKVYLADLPRSLNYGLLDQYWSSSVPDSRISSDPDHPLIQKRKSSKFPPYPENPLIKQYSAEYWITGDLMTPENLRTQSFAKRVLDVNQADVVFVPFFATLSAEMELGRGKGTFRKKDGNEDYKRQKDVIEFVKSSEAWKRSGGRDHVFVLTDPVAMWHVRSEIAPAILLVVDFGGWYRLDSKSSNGNSSDRIQHTQVSLLKDVIVPYTHLLPRLDLSENKKRHTLLYFKGAKHRHRGGIVREKLWDLLFNEPGVIMEEGFPNASGREQSIRGMRTSEFCLHPSGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMVDYSEFSVFVAVDDALKPSWLVDHLKRISEKQRNEFRQKMAKVQPIFVYDNGHPGSVGPVPPDGAVNHIWKKVHQKLPMIKEAIVREKRKPAGVSIPLRCHCT >Manes.09G160100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35535551:35536550:1 gene:Manes.09G160100.v8.1 transcript:Manes.09G160100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDPTAKHGLKLTIEDYPFANDGMMLWEAIKQWVTDYVNNYYKDASKVVFDNELQAWWEDVRTKGHEDKKDEPWWPVLKTQQDLIQVLTTIIWVTSGHHAAVNFGQYVFGGYFPNRPTIARINMPTEEPTESEFELFLNKPELTLLKCFPSQQQATKVMAILDVLSNHSPDEEYIGDTLESSWEADPVIKAAYERFSTKKKNSKIKGN >Manes.09G173282.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36552425:36552943:-1 gene:Manes.09G173282.v8.1 transcript:Manes.09G173282.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNYYFFFFIVLAIPTHLAADFAPDNCEKTPFLGECTSLLKSAPEADKKDVQSFAKFALKTTCSNGVTMQEKISSLLKTTSDEFVKKCLTKCSELYLGSTKKLEESIVAFDSKAYKDVQASLTEAIAGSDDCRVSFKEKVEAEDPLGDLNFEFNKLCSVSKKLVEMAETN >Manes.01G212700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38328255:38328656:-1 gene:Manes.01G212700.v8.1 transcript:Manes.01G212700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFWSFYSKQMDTKKDVDLSSFLLVEASGDSESDFDPNSAIKDHVDDDAQSCSCDVSDYYSRVTEVQQESVHGVDDHHKEVGKEQEDEVHGYQEWANGLTVNQKSCVSVESTNESMNEMEKNRLFWETCLAS >Manes.02G101501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7937023:7941418:1 gene:Manes.02G101501.v8.1 transcript:Manes.02G101501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVSVLTNKQALIFLASLEVQFLGSSIFGRPMFQKIWGYTTCLLDGIISCTFFRQFVWTKIYYRRAFVYFLVYLSCRSPSNWWFRMA >Manes.02G101501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7939973:7941043:1 gene:Manes.02G101501.v8.1 transcript:Manes.02G101501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVSVLTNKQALIFLASLEVQFLGSSIFGRPMFQKIWGYSKSAATCLLDGIISCTFFRQFVWTKIYYRRAFVYFLVYLSCRSPSNWWFRMA >Manes.16G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1651121:1653507:1 gene:Manes.16G016400.v8.1 transcript:Manes.16G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRNPQVSTMATQLSQKKTACVIGGSGFVASLLVKLLLEKGYAVNTTVRDPDNQKKTSHLQALKDLGDLKIFRADLTNEESFNAPITGCDLVFHVATPVNFASQDPENDMIKPAIQGVQNVLKACAKVKTVRRVILTSSAATVTINKLQGTGLVMDEKNWSDTEFLSSEKPPTWGYPLSKTLAEKAAWKFSEENNIDLISVVPTLMAGPSLTSDVPSSVNLATSLITGDEFLINGLKGMQILSGSISITHVEDVCRAHIFLAEKESSSGRYLCSCVNTSVPELAKFLKNRYPQYEIPTEFGDFPSKAKLIISSEKLISEGFSFKYGIEEIYDQTVEYLKAKGLLN >Manes.01G142900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33386342:33391973:1 gene:Manes.01G142900.v8.1 transcript:Manes.01G142900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGVTGSPSRCCLRIPVNGLGLFGRPRSAFPTAGAAKAELNLGRLDRCYWVGSVKRCNVKQSAMGASYGDDMTNDQPVVFPRIHVRDPYKRLGISREASEDEIQAARNFLIHKYAGHKPSVDAIEAAHDKIIMQKFYDRKNPKIDIKKKVREVKQSRFVLAVTSRFQTPSMLVIIKTSIAFLVLGILTVLFPTEEGPTLQVAISLMATIYFIYDRLKSKIRAFLYGAGAFIFSWLLGTFLMVSVIPPLPFIKGLRSFEVTTSLITYVLLWVSSTYLK >Manes.01G142900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33386559:33391973:1 gene:Manes.01G142900.v8.1 transcript:Manes.01G142900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGVTGSPSRCCLRIPVNGLGLFGRPRSAFPTAGAAKAELNLGRLDRCYWVGSVKRCNVKQSAMGASYGDDMTNDQPVVFPRIHVRDPYKRLGISREASEDEIQAARNFLIHKYAGHKPSVDAIEAAHDKIIMQKFYDRKNPKIDIKKKVREVKQSRFVLAVTSRFQTPSMLVIIKTSIAFLVLGILTVLFPTEEGPTLQVAISLMATIYFIYDRLKSKIRAFLYGAGAFIFSWLLGTFLMVSVIPPLPFIKGLRSFEVTTSLITYVLLWVSSTYLK >Manes.01G142900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33386342:33391973:1 gene:Manes.01G142900.v8.1 transcript:Manes.01G142900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGVTGSPSRCCLRIPVNGLGLFGRPRSAFPTAGAAKAELNLGRLDRCYWVGSVKRCNVKQSAMGASYGDDMTNDQPVVFPRIHVRDPYKRLGISREASEDEIQAARNFLIHKYAGHKPSVDAIEAAHDKIIMQKFYDRKNPKIDIKKKVREVKQSRFVLAVTSRFQTPSMLVIIKTSIAFLVLGILTVLFPTEEGPTLQVAISLMATIYFIYDRLKSKIRAFLYGAGAFIFSWLLGTFLMVSVIPPLPFIKGLRSFEVTTSLITYVLLWVSSTYLK >Manes.12G003300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:529434:532022:1 gene:Manes.12G003300.v8.1 transcript:Manes.12G003300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDEEVDWWKTEDESMSSMFGSDEDTGNQIPTQAQSIVEGSSTVVVSEYKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLAKVQNVIEKPHYDHLPLIEASRLCNMDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >Manes.12G003300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:526608:532471:1 gene:Manes.12G003300.v8.1 transcript:Manes.12G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTLATMRLLLTTASTTSLFSSYSLDFPSNFLKTGNPILNHTCPARRKLPSLSKLTLLCGHMRRDEEVDWWKTEDESMSSMFGSDEDTGNQIPTQAQSIVEGSSTVVVSEYKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLAKVQNVIEKPHYDHLPLIEASRLCNMDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >Manes.12G003300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:526608:532471:1 gene:Manes.12G003300.v8.1 transcript:Manes.12G003300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDEEVDWWKTEDESMSSMFGSDEDTGNQIPTQAQSIVEGSSTVVVSEYKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLAKVQNVIEKPHYDHLPLIEASRLCNMDIISHVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >Manes.07G020550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2291928:2292670:1 gene:Manes.07G020550.v8.1 transcript:Manes.07G020550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIIPINDPKTVVLFSKLLKKTDLEHQLIVPSEVLKKYPILDQNGHVSKFIISFDKNGKRWEFPLATRNTGIYEKPSVPPASWHPFVAEYGLRAGDSVLFYTRRDDPANKIQVRGLRKTILFKGEESWVEV >Manes.16G024800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2643515:2644858:-1 gene:Manes.16G024800.v8.1 transcript:Manes.16G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLIIKVSQTFLLFIQHAHNFKKSIFALLSCLPTSLLALILFLLLIYNGSSVFYVRFPIPPKSHQEHANFSQENPAGKSLKKLSSSLIYAVKEENPPLILKTHFPILPKPTIPINNSSVSRPKKMHKHRAVIKILRHGSKSKQFSSRIRTFFGSSTCKIRFFMTWISSLDSFGDREMFAIESLFKSHPNACLAIVSNSMDSKKGSISLRPCLDKGFKVLAVKPDFDYIFKNTPAESWFHELNKGNVDPGEISLGQNLSNLLRLALLYKFGGVYIDTDVIVLKSFEKLRNVIGAQTIDLETGNWSRLNNAVLIFDKNHPLLFKFIEEFSLTFNGNKWGYNGPYLVSRVVSRVNGRAGIDFTILPPYAFYPVNWSRITSLFKKPGDKLHSKWLHRKLEQIRRESLAVHLWNKQSRNLKVENGSIINHLMMDSCIFCNSSSLISLQYNRK >Manes.04G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2052451:2060569:-1 gene:Manes.04G015700.v8.1 transcript:Manes.04G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDIDVRVEEGGDSINVAATPASPSLSKLNSGSLPSPPLPENAVVARKIAGSSVVWKDLTVTIRGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSAKMYGEIFVNGKKSRLPYGAYGFVERETTLIGSLTVREYLYYAALLQLPGFFCQKKSVVEDAIHAMSLSDYANKLIGGHCYMNGLPNGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASTGFTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCRNWQDDHGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEKEGPYLKSKGKASCATRVAVLTWRSLLIMSRDWKYYWLRLILYMLLTLCIGTVFSGLGHSLSSVVTRVAAIFTFISFTSLLSIAGVPSILKEIKIFASEESNRHSGALVFLLGQLLSSIPFLFLVSISSSLVFYFLIGLRDEFSLLMYFVLNFFICLLVNEGLMLLITSLWLHVFWSVLTLVSMHVVMMLSAGYLRIRNTLPGPVWTYPISYIAFHTYSIQGLLENEYLGTSFAVGEVRTISGIQALRSAYDISSDSNSKWENLLVLFLMAIAYRILVLIVLHFRVGKNESVLKFCRCNQDTNDPR >Manes.04G015700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2052497:2060569:-1 gene:Manes.04G015700.v8.1 transcript:Manes.04G015700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDIDVRVEEGGDSINVAATPASPSLSKLNSGSLPSPPLPENAVVARKIAGSSVVWKDLTVTIRGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSAKMYGEIFVNGKKSRLPYGAYGFVERETTLIGSLTVREYLYYAALLQLPGFFCQKKSVVEDAIHAMSLSDYANKLIGGHCYMNGLPNGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASTGFTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCRNWQDDHGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEKEGPYLKSKGKASCATRVAVLTWRSLLIMSRDWKYYWLRLILYMLLTLCIGTVFSGLGHSLSSVVTRVAAIFTFISFTSLLSIAGVPSILKEIKIFASEESNRHSGALVFLLGQLLSSIPFLFLVSISSSLVFYFLIGLRDEFSLLMYFVLNFFICLLVNEGLMLLITSLWLHVFWSVLTLVSMHVVMMLSAGYLRIRNTLPGPVWTYPISYIAFHTYSIQGLLENEYLGTSFAVGEVRTISGIQALRSAYDISSDSNSKWENLLVLFLMAIAYRILVLIVLHFRVGKNESVLKFCRCNQDTNDPR >Manes.04G015700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2052422:2060653:-1 gene:Manes.04G015700.v8.1 transcript:Manes.04G015700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPEWEDTDIDVRVEEGGDSINVAATPASPSLSKLNSGSLPSPPLPENAVVARKIAGSSVVWKDLTVTIRGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSAKMYGEIFVNGKKSRLPYGAYGFVERETTLIGSLTVREYLYYAALLQLPGFFCQKKSVVEDAIHAMSLSDYANKLIGGHCYMNGLPNGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASTGFTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCRNWQDDHGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEKEGPYLKSKGKASCATRVAVLTWRSLLIMSRDWKYYWLRLILYMLLTLCIGTVFSGLGHSLSSVVTRVAAIFTFISFTSLLSIAGVPSILKEIKIFASEESNRHSGALVFLLGQLLSSIPFLFLVSISSSLVFYFLIGLRDEFSLLMYFVLNFFICLLVNEGLMLLITSLWLHVFWSVLTLVSMHVVMMLSAGYLRIRNTLPGPVWTYPISYIAFHTYSIQGLLENEYLGTSFAVGEVRTISGIQALRSAYDISSDSNSKWENLLVLFLMAIAYRILVLIVLHFRVGKNESVLKFCRCNQDTNDPR >Manes.01G017000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4179989:4182873:1 gene:Manes.01G017000.v8.1 transcript:Manes.01G017000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVKAQKTKAYFKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFSNKDIVAQITSASIAGDMVLASAYSHELPRYGLEAGLTNYAAAYCTGLLLARRTLKMLEMDEEYEGNVEATGEDYSVEPAETRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDGKQLDAEVHRKYVYGGHVAAYMRTLMEDEPEKYQLHFSEYIKKGIEADDMEEMYKKVHAAIRADPTSKKSEKQPPKEHKRYNLRKLTYEERKAKLVERLQALNSAADEDDDE >Manes.11G110350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25498681:25499264:-1 gene:Manes.11G110350.v8.1 transcript:Manes.11G110350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLVSISLFTGENYQVWEVKIKAYLRSLALWTTVEEDRDPPPLGNNPTVNQIQMHEAQVTKKDKALKVLHTGVADHIFTSIMACKTPKEIGIICRKRTRESSIVEKILISITERFEFKISTIEEAQDVTKLSVADLISELHVREQ >Manes.10G065200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9339536:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYSCILSNPNSMALSSTLRLSSSFLRYHLPLAQTRFSGLRYRFSELRFLSTFSPSCTRLLPVQARRRDYSGREERETEEHNGSLLVKDSDGGSDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDTAVYDALVRMAQDFSLRSPLIQGHGNFGSIDADPPAAMRYTECRLEALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHSNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLADGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9338736:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTMLLYGEKFISFLQALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHSNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLAEDGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9339537:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTMLLYGEKFISFLQALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHSNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLAEDGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9339536:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYSCILSNPNSMALSSTLRLSSSFLRYHLPLAQTRFSGLRYRFSELRFLSTFSPSCTRLLPVQARRRDYSGREERETEEHNGSLLVKDSDGGSDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDTAVYDALVRMAQDFSLRSPLIQGHGNFGSIDADPPAAMRYTECRLEALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLADGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9339536:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYSCILSNPNSMALSSTLRLSSSFLRYHLPLAQTRFSGLRYRFSELRFLSTFSPSCTRLLPVQARRRDYSGREERETEEHNGSLLVKDSDGGSDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDTAVYDALVRMAQDFSLRSPLIQGHGNFGSIDADPPAAMRYTECRLEALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHSNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLAEDGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9338736:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLGTLTPNLDSRANQRWIHLLWKLQMGRIYRELTGKGLISLEITATKLHLREPLKEELDKALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHSNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLADGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9339537:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTMLLYGEKFISFLQALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLAEDGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9338736:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLGTLTPNLDSRANQRWIHLLWKLQMGRIYRELTGKGLISLEITATKLHLREPLKEELDKALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHSNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLAEDGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9339537:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTMLLYGEKFISFLQALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLADGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9339536:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYSCILSNPNSMALSSTLRLSSSFLRYHLPLAQTRFSGLRYRFSELRFLSTFSPSCTRLLPVQARRRDYSGREERETEEHNGSLLVKDSDGGSDGRVVPTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDTAVYDALVRMAQDFSLRSPLIQGHGNFGSIDADPPAAMRYTECRLEALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLAEDGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9339537:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTMLLYGEKFISFLQALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHSNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLADGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9338736:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCTMLLYGEKFISFLQALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLAEDGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9338736:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLGTLTPNLDSRANQRWIHLLWKLQMGRIYRELTGKGLISLEITATKLHLREPLKEELDKALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLAEDGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.10G065200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9296429:9338736:-1 gene:Manes.10G065200.v8.1 transcript:Manes.10G065200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRLGTLTPNLDSRANQRWIHLLWKLQMGRIYRELTGKGLISLEITATKLHLREPLKEELDKALTEAVLLADLELDTVDFVPNFDNSQREPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDATLQELLEYMPGPDFPTGGLIMGNLGILEAYRNGRGRIIVRGKTDVEVIDSKTKRTAVIIKEIPYQTNKASLVEKIAELVENKSLDGISDIRDESDRSGMRIVIELKRGSDPSIVLNNLYRLTPLQSSFSCNMVGILEGRPKQMGLKDLLQAFLDFRCSVVERRARFKLSQAQERRHIVEGIVVGLDNLDGVIQTIKEASSNASASASLMNEFNLSEKQAEAILDISLRRLTLLERKKFIDESKLLMEQISRLEELLSSRKNILQLIEQEAVELKNKFSNPRRSLLEDSDTGEVEDIDVIPNDEMLLAISEKGYVKRMKPDTFNLQNRGTVGKSVGKLRVNDAMSDSVVCHAHDHVLYFSDRGIVYSARAYKIPECTRTAAGTPLVQILSLSDGERITSIIPVSDFAGDQFLLMLTVNGYIKKVSLNMFSAIRSTGIIAIQLVPGDELKWVRCCTNDDIVAMASQNGMVILTSCENIRSLSRNTRGGVAMRLKKGDKMASMDIIPATMRRDLERAFEDPRSHNKGNGPWLLFVSESGYGKRVPLSSFRLSPLNRVGLIGCKFSAEDRLTAVFVVGYSLADGESDEQLVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSASLISATESEAKEPIANTTSEIAATETEAPDILSQAS >Manes.11G054050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6652532:6653152:1 gene:Manes.11G054050.v8.1 transcript:Manes.11G054050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVFEGYTKLRCRELCLSLLTTVNSSSQVYNFLCFGPFWLLFMVCFRTAARSLAKMTSLSGLM >Manes.01G003400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2002989:2003348:1 gene:Manes.01G003400.v8.1 transcript:Manes.01G003400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSNTVDEKASNIDEKQQHLHKTSNITVPEQLPLPDINLEAMYNKKNNDSSLNNSRKLQRALTKPAREKWNCLCSPTTHAGSFRCRFHRTHGMVRGHSVGSSLSELASKNHHSIRDLA >Manes.15G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:551157:553775:1 gene:Manes.15G005000.v8.1 transcript:Manes.15G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRRVGVAVDFSPCSKKALKWAVDNLIRNGDHLILLTIRPEGNYETGEMQLWAVTGSPLIPLHEFSDSTIMKKYGVNPDPETLDIANTAANQKQIVVVMKIFWGDPREKICEAIDKIPLSCLVIGNRGLGKIKRVIMGSVSNYVVNNGTCPVTVVKQTDHEI >Manes.09G058896.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9508997:9510617:-1 gene:Manes.09G058896.v8.1 transcript:Manes.09G058896.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLASCYSEHAIKVSDSYCSGPSDQACLSPYQTPSIPSAVSCMYKVDVLSQRILFIILTWCNKLLSHGLSINVSDSVSSPSKINADFHHLRKRKGSKTFQSCNSKIEVFWDLSSAYYDPGPEPISGFYVVVSVDSELALLLGDMDEEATSLQGLKTNSPQLRSSMVSRSEHFSGNSIYSSKAQFCDSGKAHDVLVKCSGEEDGLKNPVLTVCIDNKTIFQVKRLKWNFRGNQTIFLDGLLVDMMWDLHDWLFKEDSGYAVFMFRTRSGLDSRLWLEENLDQKGQDRAEFSFFICACKNPE >Manes.13G038600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4870926:4875569:1 gene:Manes.13G038600.v8.1 transcript:Manes.13G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLETGSEPKTDSEIADLQAIAAIKESAALELKEQGNKYVKMGKKHYSDAIDCYTRAINQKVLSDSENSIIYSNRAHVNLSLGNYRRALKDAEEAIKLCATNVKALYRASKASLSLNLLDEAKSYSESGLKQDPNNEELKKLAKQINSLKMERDKHEAEVSKAVSDAKDLLSAIDARGLKIGKAMFRELVGLKKPVLDKSKIIHWPILLLYAEVMSSDFIEDFCETDMFSTHLDMMFSESCPPLPWDTENNYTREAVELYYEAGSTACLSKSKILHYLLEGTVGANAEIVGEEKDTNEDSIEGTGSSKWVEVNEKKTLHEVLKERNFVIPGIPVFYVVSRNSNFYRKFKAGKWALPP >Manes.04G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33623894:33625343:1 gene:Manes.04G136100.v8.1 transcript:Manes.04G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSINLPMIDFSRSELRPGSSTWDSVKSQVAKAAEEYGCFQALFNRIPHEQRKGMNGAMEELLQLPLETKQRNVSEKPFHGYLGSSSAKPSIYEGLCIIEPGIYDNVESFTNVLWPEGNIKISKTLHLFSQPVVELDQTVRRMIVESLNVEKYLDEHMNSTYNLLRVAKYEAPQTTEKKTGLMAHTDKNIISIICQNEVDGLEIQTRDGDWIPVKFSPDSFFIIIGESFQAWTNGRLYSPYHRVMMSGSKTRYSATFFSTPKEGYIIKALEELVDEEHPLLYKPFDYSEYLKRRFADHGKIKTVPALKNYFGV >Manes.01G034900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6679898:6680768:-1 gene:Manes.01G034900.v8.1 transcript:Manes.01G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLVNISYPVTLSSFQFYFQALQKFLFPKAAGTKKSCDPDEKLNQEELKIVMEQLGVGHDSDDEELLHQEQMLLGAEEFSRLFEEEEPSLEELKEAFDVFDENNDGYIDAKDLQRVLCGLGFKEGKQLEDCKRMIHAVLGGDNGFGRVRFIDFLRFMDKCFS >Manes.05G137829.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:19876250:19876549:1 gene:Manes.05G137829.v8.1 transcript:Manes.05G137829.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAFSEMTKVFGSKDFHFTLVKTPMLGADLWAILKDLMQVLVHLEVGACRRKMHLLQWCAAADAYATADVNLLLLKQVQVHLLLLKLVQQQDFQENKC >Manes.07G102300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30820418:30830942:1 gene:Manes.07G102300.v8.1 transcript:Manes.07G102300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNYCDFSPFLFPSTIVSYDPSSSSSSSSYSSLPLSSPSSPSLNSHFQISSSLPLHPYSQSSSSSFHPPPPPAAAGGPCAACKIHGRRCTDKCYVASYLPINEAHKFIVFDGLFGATNVVQFLQNNNSSSFIPLLLLLRDSSQEGEPQVDPSLNFVPCGTNQLGAPKNSDHVLTKATTGLECDFLNNLSSGLMFANPKDPQQELPSSSSLVPKRQKLYKVPEDSSQEGELQADSNMNNVPYGTNIDGQIEAPMNSNHILTKGTVGLGYDFLNNLSSGVLFANPKHPQQEPPPLMVSKNCGVPNVVSNSINSTVNLSSIFNRDTSESTPQLQQKQTFVKIYKSGSFGRKLDIAKFSSYHELVRELDHIFCLQGKLEDPVRSGWKVVFVDRENDVILLGDVPWLEFVEGACAIKLLSPQEVQQMGSHDWL >Manes.11G001800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:304665:309831:-1 gene:Manes.11G001800.v8.1 transcript:Manes.11G001800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKTEPSTLNVYSNGSIQNQTSNGALEEKLDELRHLIGKAEGDPLRIVGIGAGAWGSVFTALLQDSYGHLRDKVLIRIWRRPGRSVDRATSEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFEEISRYWKERITVPVIISLAKGVEAELEPEPRIITPTQMINRATGVPMENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMMAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQQLAKGELNLEMGDSIKGKGMIQGVSAVKAFYELLSESCLSVLHPEENKPVAPVALCPILKMLYRILIAREFSVQAILQVLRDETMNDPRDRIEIAQSHVFYRPALLGQKP >Manes.12G001400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:363719:365744:1 gene:Manes.12G001400.v8.1 transcript:Manes.12G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLGNPQSMSESHYNTQKIFLFSNYILLGAASSCIFLTLSLRLVPSICGFFLILLHILTIVGAVSGCAAASAGTNRWYAAHMVATVLTAIFQGSVSVLIFTRTGDFLWQLKSYVREEDGEVILKLAGGLCVLIFCLEWVVLTLAFFLKYYAYVEGDVSSGNAAMKRSAKVQQEEDLKDWPWPFQV >Manes.03G210700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32624693:32627230:1 gene:Manes.03G210700.v8.1 transcript:Manes.03G210700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVDLIASLAFNTIGTLQRDAPPVRLSPNYPEPPANPTEDFVEQPKLMSAALVKAAKQFDALVAALPLAEGGEEAQLKRIAELQAENDAIGQELQRQLEAAEKELKQVQELFSQATDNCLNLKKPD >Manes.02G120600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9215111:9217715:-1 gene:Manes.02G120600.v8.1 transcript:Manes.02G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLRAWTGQDPNPDDYSNIQFWSNPERSGWLMKQGDYIKNWRRRWFVLKQGKLLWFKDNRVLSSSIPRGVIPVRNCLTVKGAEDALNKPCAFELSTSQDTMFFIADSEEEKEDWINSIGRSIVQHSKSLTDSEVVDYDSKR >Manes.08G057400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6471411:6476367:1 gene:Manes.08G057400.v8.1 transcript:Manes.08G057400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGAGEENVDGAEGAGSSEMGSLGRCLSSFVDEGSLESHRYYLSRRTVLEMLRDRGYSVPSAEIDQSLQEFRAIHGQNPDIDRLKFSAAHNLYPSRKILVIFCGPGVVKVSSIRHVAAQIVNRESLAGLILILQNQITNQALKSVDLFSFKVEIFQLPRLLKGDAIVRYYGLEKGQVVKVTYCEDITESHVTYRCV >Manes.08G057400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6471411:6476367:1 gene:Manes.08G057400.v8.1 transcript:Manes.08G057400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGAGEENVDGAEGAGSSEMGSLGRCLSSFVDEGSLESHRYYLSRRTVLEMLRDRGYSVPSAEIDQSLQEFRAIHGQNPDIDRLKFSAAHNLYPSRKILVIFCGPGVVKVSSIRHVAAQIVNRESLAGLILILQNQITNQALKSVDLFSFKVEIFQITDLLVNITKHVLKPKHEVLTDQEKQKLLEKYSIEEKQVSF >Manes.08G057400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6471411:6476367:1 gene:Manes.08G057400.v8.1 transcript:Manes.08G057400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGAGEENVDGAEGAGSSEMGSLGRCLSSFVDEGSLESHRYYLSRRTVLEMLRDRGYSVPSAEIDQSLQEFRAIHGQNPDIDRLKFSAAHNLYPSRKILVIFCGPGVVKVSSIRHVAAQIVNRESLAGLILILQNQITNQALKSVDLFSFKVEIFQITDLLVNITKHVLKPKHEVLTDQEKQKLLEKYSIEEKQLPRLLKGDAIVRYYGLEKGQVVKVTYCEDITESHVTYRCV >Manes.04G162400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35637343:35648179:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35637997:35646569:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35638479:35646569:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35637343:35648179:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35637275:35647070:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35637343:35646569:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35637343:35646569:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35639410:35646170:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35637343:35648179:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.04G162400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35637274:35648179:1 gene:Manes.04G162400.v8.1 transcript:Manes.04G162400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEVGCSSLWTREQDKAFEDALATYPEDALDRWEKIAADVPGKTLEEVKVHYELLLEDLNQIEAGCVPLPNYSEGSASHAGDEGTSKKSGHLGHHSSESTHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITNVGNGENSAPQGPITGQTNGSAAGGSVGKVGKLPPHHPAGPPGIGVYGPPTMGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGTVSGVLPGAPMSMGPMTYPMPPTTAHR >Manes.08G068800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10860810:10862289:-1 gene:Manes.08G068800.v8.1 transcript:Manes.08G068800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGFHGYRKLPSTSSGPKLSEMNMRLEEMNHGNSNNTTTNHSTADDNECTVREQDRFMPIANVIRIMRKILPSHAKISDDAKETIQECVSEYISFITSEANERCQREQRKTITAEDVLYAMSKLGFDDYIEPLTVYLHRYRELEGDRASIRGEPLVKRSVEYGNLGVPAGAFAPAFHMGHHGFFGTAAMGGYMRDPSNASSSQNALANGEAFPQNK >Manes.03G104800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22823027:22829309:-1 gene:Manes.03G104800.v8.1 transcript:Manes.03G104800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKVPPWRAVRSFRGRIVPILEILPKPANQIFRPYRSFPATYAQCSLSPSIPYSSSPPAVPDASCRWRPMCLYYTQGKCTKMDDPTHLERFNHDCSRDLSVNAADVERMRPQNFDFFLVFDLEGKVEILEFPVLIIDAKTMAVVDFFHRFVRPSAMTEQRINEYIGNKYGKFGVDRVWHDTALPFNEVIQQFEAWLIHHHLWEPRHGGHLNRAAFVTCGNWDVKTQIPRQCQVSKINLPSYFMEWINLKDVYQNFYNPRKEARGMRTMMEQLKIPMLGSHHLGFDDTKNITKVLLRMLADGAVISITARRRYSLIFR >Manes.03G104800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22823692:22829305:-1 gene:Manes.03G104800.v8.1 transcript:Manes.03G104800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKVPPWRAVRSFRGRIVPILEILPKPANQIFRPYRSFPATYAQCSLSPSIPYSSSPPAVPDASCRWRPMCLYYTQGKCTKMDDPTHLERFNHDCSRDLSVNAADVERMRPQNFDFFLVFDLEGKVEILEFPVLIIDAKTMAVVDFFHRFVRPSAMTEQRINEYIGNKYGKFGVDRVWHDTALPFNEVIQQFEAWLIHHHLWEPRHGGHLNRAAFVTCGNWDVKTQIPRQCQVSKINLPSYFMEWINLKDVYQNFYNPRKEARGMRTMMEQLKIPMLGSHHLGFDDTKNITKVLLRMLADGAVISITARRYPDSPANVHFLFKNRIR >Manes.03G104800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22820721:22829305:-1 gene:Manes.03G104800.v8.1 transcript:Manes.03G104800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKVPPWRAVRSFRGRIVPILEILPKPANQIFRPYRSFPATYAQCSLSPSIPYSSSPPAVPDASCRWRPMCLYYTQGKCTKMDDPTHLERFNHDCSRDLSVNAADVERMRPQNFDFFLVFDLEGKVEILEFPVLIIDAKTMAVVDFFHRFVRPSAMTEQRINEYIGNKYGKFGVDRVWHDTALPFNEVIQQFEAWLIHHHLWEPRHGGHLNRAAFVTCGNWDVKTQIPRQCQVSKINLPSYFMEWINLKDVYQNFYNPRKEARGMRTMMEQLKIPMLGSHHLGFDDTKNITKVLLRMLADGAVISITARSLSLPSPLLMYTVIILYNLLH >Manes.01G264800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41940117:41945009:1 gene:Manes.01G264800.v8.1 transcript:Manes.01G264800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELPPVTVSTATIPSSVPHEKRYRSIFDTPTNFFDGCRLLSPSADSVSEAPENPTIFAAFEDTNDEEEKGSRNAVVIPRWTCNTCKAEFESLQDQRSHFKSDIHRINVKLSLAGKDIVNEEDLDELTSDSFKDYDISSISGSEDEADKGSFPHGVMQKGSIESIKQKLFIRLHTGDRVSLWKCMLLNDFESISYENDKRDSFDFDGNVRCLKESEVIERLKFLIHEPRDKTCLRIILLASGGHFAGCVFDGNAVVVHKTFHRYVVRAKAGKKQSAKDASGKAPHSAGASLRRHNELALKKDIQELLSAWKPYFDASSCVFIYAPSSNRQLLFDGDKAYFSHQHCFVQNIPLNVRRPTFKEARRIYNQLTQVAYEVDEDFPSASKEEFELNDTSIPHGSPDSRKEDLKACTDYKITTEASSSLKDSDFLSMSTERESEIIGVTTPLHEAAHSGNAHKVLELLEQGLDPCIKDERGRTPYMLATEKEVRNNFRRFMASNLDRWDWHAAKVPSALTKEMEESQAAKQAEKDAKRKARAKELKKLRKAKEKAQASTSENSIANVDIKGQSQPCGASQPSKEEELKRAQAAEREKRLAAAERRMAAAAVLNSQGSGTTSVVVNKEQPRSGITSDICCSCCNASLAGRIPFHRYNYKYCSTTCMHVHREVLEDG >Manes.15G001800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:297643:302599:-1 gene:Manes.15G001800.v8.1 transcript:Manes.15G001800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHEELPIPIYSTLEPVYGDGSHLEEAQLRFDRLKSKFLEVFGHPPDVYARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDAGEAQKFIRIANVNDKYTLCTYPADPYQEIDLKNHRWGHYFICGYKGYYAYAKSKGVDVGVPVGLDVLVDGTVPTGSGLSSSAAFVCSSTIAIMAAFSVNFPKKEIAQLTCECERHIGTQSGGMDQAISVMARTGFAELIDFNPIRATDVQLPVGGTFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLAIKLGMKPEDARSKVKTLSDVEGLCITFASSHGSSDPVVAVKEFLKEEPYTAEEIEKITEESLLTIFSNSPTSLDVLKAAKHYKLHQRAAHVYSEARRVHAFKDTVSSNLSDEDKLKKLGDLMNDSHYSCSVLYECSCPELEELVKVCREHGALGARLTGAGWGGCAVALVKESIVPQFIINLKENFFQARIDKGVINKNDLGLYVFASKPSSGAAIFKI >Manes.15G001800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:297371:302600:-1 gene:Manes.15G001800.v8.1 transcript:Manes.15G001800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHEELPIPIYSTLEPVYGDGSHLEEAQLRFDRLKSKFLEVFGHPPDVYARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDAGEAQKFIRIANVNDKYTLCTYPADPYQEIDLKNHRWGHYFICGYKGYYAYAKSKGVDVGVPVGLDVLVDGTVPTGSGLSSSAAFVCSSTIAIMAAFSVNFPKKEIAQLTCECERHIGTQSGGMDQAISVMARTGFAELIDFNPIRATDVQLPVGGTFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLAIKLGMKPEDARSKVKTLSDVEGLCITFASSHGSSDPVVAVKEFLKEEPYTAEEIEKITEESLLTIFSNSPTSLDVLKAAKHYKLHQRAAHVYSEARRVHAFKDTVSSNLSDEDKLKKLGDLMNDSHYSCSVLYECSCPELEELVKVCREHGALGARLTGAGWGGCAVALVKESIVPQFIINLKENFFQARIDKGVINKNDLGLYVFASKPSSGAAIFKI >Manes.15G001800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:298097:302600:-1 gene:Manes.15G001800.v8.1 transcript:Manes.15G001800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHEELPIPIYSTLEPVYGDGSHLEEAQLRFDRLKSKFLEVFGHPPDVYARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDAGEAQKFIRIANVNDKYTLCTYPADPYQEIDLKNHRWGHYFICGYKGYYAYAKSKGVDVGVPVGLDVLVDGTVPTGSGLSSSAAFVCSSTIAIMAAFSVNFPKKEIAQLTCECERHIGTQSGGMDQAISVMARTGFAELIDFNPIRATDVQLPVGGTFVIAHSLAESQKAVTAATNYNNRVVECRLAAIVLAIKLGMKPEDARSKVKTLSDVEGLCITFASSHGSSDPVVAVKEFLKEEPYTAEEIEKITEESLLTIFSNSPTSLDVLKAAKHYKLHQRAAHVYSEARRVHAFKDTVSSNLSDEDKLKKLGDLMNDSHYSCSVLYECSCPELEELVKVCREHGALGARLTGAGWGGCAVALVKESIVPQFIINLKENFFQARIDKGVINKNDLGLYVFASKPSSGAAIFKI >Manes.03G200000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31640947:31646247:-1 gene:Manes.03G200000.v8.1 transcript:Manes.03G200000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKHDGNKLKKRKQNPDVKNRTDSSASKKPKLVSSDTQTERLKKPFKPSKKAHNPSKLIKSESGKEKHEPQTKRERRLHAKELAEARKKKRKRHYTLEQELASLWEKMRQRNIAKEERSKLISEALQKMKGKIPEIASSHVSSRVLQTCVKYCSQTERDSVFEELKPHFLNFACNTYAVHLVNKMLDNASKKQLSEFILNLHGHVASLLRHMVGSVVIEHAYQLANATQKQELLMELYSTELQLFKDLTLMKESRLLDVIAKLNLQKGSVLRHMTAVVQPILEKGIVDHSIIHRVLIEYFSIVDKSSAAEVIQQLSGPLLVRMIHTKDGSRIGMLCIKHGSAKERKKIVKGMKGHMGKIAYDQYGSTVLAYIVSTVDDTTLVTKTVIRELQPILKELALDKNGRRPLLQLLHPNCSRYFSPDEMAAFNLTIPSLNAKDGESGDEDNSTKLTVVGTKESASPENVQLIDGGKKDPYLRRKELLVENGLAEKLIDVCIENAGELLRSNFGKHVLYEVATGGAGGILHPVLDDKLNALHEAIASLVAEPKSEEEHVLENFHSSRTIRRLIIDSPTFATTLWIKALKGNCGLWAQGHSSKVIYAFLESSDVKIKERANKELQPLIDGGILKKPENKQPGKEG >Manes.03G200000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31640947:31646297:-1 gene:Manes.03G200000.v8.1 transcript:Manes.03G200000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKHDGNKLKKRKQNPDVKNRTDSSASKKPKLVSSDTQTERLKKPFKPSKKAHNPSKLIKSESGKEKHEPQTKRERRLHAKELAEARKKKRKRHYTLEQELASLWEKMRQRNIAKEERSKLISEALQKMKGKIPEIASSHVSSRVLQTCVKYCSQTERDSVFEELKPHFLNFACNTYAVHLVNKMLDNASKKQLSEFILNLHGHVASLLRHMVGSVVIEHAYQLANATQKQELLMELYSTELQLFKDLTLMKESRLLDVIAKLNLQKGSVLRHMTAVVQPILEKGIVDHSIIHRVLIEYFSIVDKSSAAEVIQQLSGPLLVRMIHTKDGSRIGMLCIKHGSAKERKKIVKGMKGHMGKIAYDQYGSTVLAYIVSTVDDTTLVTKTVIRELQPILKELALDKNGRRPLLQLLHPNCSRYFSPDEMAAFNLTIPSLNAKKLIDVCIENAGELLRSNFGKHVLYEVATGGAGGILHPVLDDKLNALHEAIASLVAEPKSEEEHVLENFHSSRTIRRLIIDSPTFATTLWIKALKGNCGLWAQGHSSKVIYAFLESSDVKIKERANKELQPLIDGGILKKPENKQPGKEG >Manes.17G086500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29406663:29407976:-1 gene:Manes.17G086500.v8.1 transcript:Manes.17G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPLSLWRVEDKVVWRGDETVRYSVCRAYRLISSQPCPSVTTSNYTIWKSYGHWKSLQRNKKVWDSIVVNPS >Manes.05G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4052328:4055903:-1 gene:Manes.05G048900.v8.1 transcript:Manes.05G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDLLTIEPQELKFPFELRKQISCSLVLLNKSDNYVAFKVKTTNPKKYCVRPNTGVMSPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQGVVASPGATAKDINAEMFNKEAGHHVEEWKLRVVYVAPPRAPSPVREGSEEDSSPRASVSDNGSLSASERTVISTPYVERNEPQDNSFEARALISKLTEEKNSAIQQNKKLQQELELLRHQSSRSRRSGGIPFIYVVLVGLIGIILGYLMKRTSPVTLPANDSV >Manes.03G133300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25957580:25969337:1 gene:Manes.03G133300.v8.1 transcript:Manes.03G133300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLSTALSLSFYPNSPSLHFISQTKPQCVNSFPSKIRFQITCSATNQPPPPSKQQNRSKKSKKNESKGEKGIDPVGFLTRLGISHKQFAQFLRERHKALKDLKEELFNRNLMIKDIAYGFELMGLHRHPEHRMDYMEWAPGARYCALVGDFNGWSPRENCAREGHLGHDDYGYWFIILEDKLREGEEPDELYFQQYNYVDDYDKGDSGVSIEEIFQKANDEYWEPGEDEYIKNRFKVPAKLYEQWFGPNGPETEEELDAIPLPDAETRYKAWKEQHKDDPPSNLPPCDVIDKGKEYDIYNVISDPAWLEKIRAKQPPLPYWFEMRKGRQAWLKKYIPAIPHKSKYRVYFNTPNGPLERVPAWATYVQPGTDGKQPFAIHWEPPPDHAYKWKNTHPKVPKSLRIYECHVGISGSEPKISSFNDFTEKVLPHVKEAGYNAIQLIGVVEHKDYFTAGYRVTNLYAVSSRYGTPDDFKCLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGSNDCYFHTGKRGHHKYWGTRMFKYGDREVLHYLLSNLNWWVVEYQVDGFQFHSLSSMLYTHNGFAAFTGDLEEYCNQYVDKDALLYLILANELLHTLHPNIITIAEDATYYPGLCEPTSQGGLGFDYYVNISASEMWSSLLKDVPNDEWSMSKIVSTLMGNKQYAEKMLLYSENHNQSISGGQSFAEIMFGEYENHSPESLASKESLFKGCALYKMIRMITFTIGGGAYLNFMGNEFGHPKRVEFPMPSNNFSYSLANRCWDLLENEDVHRNLFSFDKDLMKLDENQRVLSRGLPSIHHVNDTSMVISYIRGPLLFVFNFHPTNAYERYSVGVEEAGEYQIILNTDEKKYGGHGLIQVDQYLQRTINKRVDGLRNYLEVFLPCRTAQVYKLARILRI >Manes.03G133300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25957580:25969338:1 gene:Manes.03G133300.v8.1 transcript:Manes.03G133300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARYCALVGDFNGWSPRENCAREGHLGHDDYGYWFIILEDKLREGEEPDELYFQQYNYVDDYDKGDSGVSIEEIFQKANDEYWEPGEDEYIKNRFKVPAKLYEQWFGPNGPETEEELDAIPLPDAETRYKAWKEQHKDDPPSNLPPCDVIDKGKEYDIYNVISDPAWLEKIRAKQPPLPYWFEMRKGRQAWLKKYIPAIPHKSKYRVYFNTPNGPLERVPAWATYVQPGTDGKQPFAIHWEPPPDHAYKWKNTHPKVPKSLRIYECHVGISGSEPKISSFNDFTEKVLPHVKEAGYNAIQLIGVVEHKDYFTAGYRVTNLYAVSSRYGTPDDFKCLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGSNDCYFHTGKRGHHKYWGTRMFKYGDREVLHYLLSNLNWWVVEYQVDGFQFHSLSSMLYTHNGFAAFTGDLEEYCNQYVDKDALLYLILANELLHTLHPNIITIAEDATYYPGLCEPTSQGGLGFDYYVNISASEMWSSLLKDVPNDEWSMSKIVSTLMGNKQYAEKMLLYSENHNQSISGGQSFAEIMFGEYENHSPESLASKESLFKGCALYKMIRMITFTIGGGAYLNFMGNEFGHPKRVEFPMPSNNFSYSLANRCWDLLENEDVHRNLFSFDKDLMKLDENQRVLSRGLPSIHHVNDTSMVISYIRGPLLFVFNFHPTNAYERYSVGVEEAGEYQIILNTDEKKYGGHGLIQVDQYLQRTINKRVDGLRNYLEVFLPCRTAQVYKLARILRI >Manes.03G133300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25955944:25969337:1 gene:Manes.03G133300.v8.1 transcript:Manes.03G133300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDIAYGFELMGLHRHPEHRMDYMEWAPGARYCALVGDFNGWSPRENCAREGHLGHDDYGYWFIILEDKLREGEEPDELYFQQYNYVDDYDKGDSGVSIEEIFQKANDEYWEPGEDEYIKNRFKVPAKLYEQWFGPNGPETEEELDAIPLPDAETRYKAWKEQHKDDPPSNLPPCDVIDKGKEYDIYNVISDPAWLEKIRAKQPPLPYWFEMRKGRQAWLKKYIPAIPHKSKYRVYFNTPNGPLERVPAWATYVQPGTDGKQPFAIHWEPPPDHAYKWKNTHPKVPKSLRIYECHVGISGSEPKISSFNDFTEKVLPHVKEAGYNAIQLIGVVEHKDYFTAGYRVTNLYAVSSRYGTPDDFKCLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGSNDCYFHTGKRGHHKYWGTRMFKYGDREVLHYLLSNLNWWVVEYQVDGFQFHSLSSMLYTHNGFAAFTGDLEEYCNQYVDKDALLYLILANELLHTLHPNIITIAEDATYYPGLCEPTSQGGLGFDYYVNISASEMWSSLLKDVPNDEWSMSKIVSTLMGNKQYAEKMLLYSENHNQSISGGQSFAEIMFGEYENHSPESLASKESLFKGCALYKMIRMITFTIGGGAYLNFMGNEFGHPKRVEFPMPSNNFSYSLANRCWDLLENEDVHRNLFSFDKDLMKLDENQRVLSRGLPSIHHVNDTSMVISYIRGPLLFVFNFHPTNAYERYSVGVEEAGEYQIILNTDEKKYGGHGLIQVDQYLQRTINKRVDGLRNYLEVFLPCRTAQVYKLARILRI >Manes.12G158500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36610418:36617977:1 gene:Manes.12G158500.v8.1 transcript:Manes.12G158500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPAISSLVGQLARAGDAWIRSPNCSYNTAVQAQISNINAIRSTSILENQYDRKAACEIADQKAGRVQKFQIGENVSRNDKIKFLVNTLLDLDNSREAVYGTLDAWVAWERTFPIVSLKSVLLTLEKEQQWHRVVQVIKWMLSKGQGNTMGTYGQLLQALDKDHRAEEAHMFWLKKVGTDLHSVPWQLCKRMISIYYRNNMLESLIKLFKSLEAFDRKPPEKSIIQKVADAYMMLGMLEEKKRALQKYNYLFQETEKGCLKKSRNTSSKKKSGHRKHTSNSEACMESLQ >Manes.12G158500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36610418:36619024:1 gene:Manes.12G158500.v8.1 transcript:Manes.12G158500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPAISSLVGQLARAGDAWIRSPNCSYNTAVQAQISNINAIRSTSILENQYDRKAACEIADQKAGRVQKFQIGENVSRNDKIKFLVNTLLDLDNSREAVYGTLDAWVAWERTFPIVSLKSVLLTLEKEQQWHRVVQVIKWMLSKGQGNTMGTYGQLLQALDKDHRAEEAHMFWLKKVGTDLHSVPWQLCKRMISIYYRNNMLESLIKLFKSLEAFDRKPPEKSIIQKVADAYMMLGMLEEKKRALQKYNYLFQETEKGCLKKSRNTSSKKKSG >Manes.12G158500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36610418:36619024:1 gene:Manes.12G158500.v8.1 transcript:Manes.12G158500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPAISSLVGQLARAGDAWIRSPNCSYNTAVQAQISNINAIRSTSILENQYDRKAACEIADQKAGRVQKFQIGENVSRNDKIKFLVNTVIKWMLSKGQGNTMGTYGQLLQALDKDHRAEEAHMFWLKKVGTDLHSVPWQLCKRMISIYYRNNMLESLIKLFKSLEAFDRKPPEKSIIQKVADAYMMLGMLEEKKRALQKYNYLFQETEKGCLKKSRNTSSKKKSGHRKHTSNSEACMESLQ >Manes.12G158500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36610418:36619024:1 gene:Manes.12G158500.v8.1 transcript:Manes.12G158500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPAISSLVGQLARAGDAWIRSPNCSYNTAVQAQISNINAIRSTSILENQYDRKAACEIADQKAGRVQKFQIGENVSRNDKIKFLVNTVIKWMLSKGQGNTMGTYGQLLQALDKDHRAEEAHMFWLKKVGTDLHSVPWQLCKRMISIYYRNNMLESLIKLFKSLEAFDRKPPEKSIIQKVADAYMMLGMLEEKKRALQKYNYLFQETEKGCLKKSRNTSSKKKSGHRKHTSNSEACMESLQ >Manes.12G158500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36610418:36619024:1 gene:Manes.12G158500.v8.1 transcript:Manes.12G158500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPAISSLVGQLARAGDAWIRSPNCSYNTAVQAQISNINAIRSTSILENQYDRKAACEIADQKAGRVQKFQIGENVSRNDKIKFLVNTLLDLDNSREAVYGTLDAWVAWERTFPIVSLKSVLLTLEKEQQWHRVVQVIKWMLSKGQGNTMGTYGQLLQALDKDHRAEEAHMFWLKKVGTDLHSVPWQLCKRMISIYYRNNMLESLIKLFKSLEAFDRKPPEKSIIQKVADAYMMLGMLEEKKRALQKYNYLFQETEKGCLKKSRNTSSKKKSG >Manes.12G158500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36610418:36619024:1 gene:Manes.12G158500.v8.1 transcript:Manes.12G158500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPAISSLVGQLARAGDAWIRSPNCSYNTAVQAQISNINAIRSTSILENQYDRKAACEIADQKAGRVQKFQIGENVSRNDKIKFLVNTLLDLDNSREAVYGTLDAWVAWERTFPIVSLKSVLLTLEKEQQWHRVVQVIKWMLSKGQGNTMGTYGQLLQALDKDHRAEEAHMFWLKKVGTDLHSVPWQLCKRMISIYYRNNMLESLIKLFKSLEAFDRKPPEKSIIQKVADAYMMLGMLEEKKRALQKYNYLFQETEKGCLKKSRNTSSKKKSGHRKHTSNSEACMESLQ >Manes.12G158500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36610418:36617977:1 gene:Manes.12G158500.v8.1 transcript:Manes.12G158500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPAISSLVGQLARAGDAWIRSPNCSYNTAVQAQISNINAIRSTSILENQYDRKAACEIADQKAGRVQKFQIGENVSRNDKIKFLVNTLLDLDNSREAVYGTLDAWVAWERTFPIVSLKSVLLTLEKEQQWHRVVQVIKWMLSKGQGNTMGTYGQLLQALDKDHRAEEAHMFWLKKVGTDLHSVPWQLCKRMISIYYRNNMLESLIKLFKSLEAFDRKPPEKSIIQKVADAYMMLGMLEEKKRALQKYNYLFQETEKGCLKKSRNTSSKKKSGKPFLSMIIDMPISYHKQIT >Manes.12G158500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36610418:36619024:1 gene:Manes.12G158500.v8.1 transcript:Manes.12G158500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPAISSLVGQLARAGDAWIRSPNCSYNTAVQAQISNINAIRSTSILENQYDRKAACEIADQKAGRVQKFQIGENVSRNDKIKFLVNTLLDLDNSREAVYGTLDAWVAWERTFPIVSLKSVLLTLEKEQQWHRVVQVIKWMLSKGQGNTMGTYGQLLQALDKDHRAEEAHMFWLKKVGTDLHSVPWQLCKRMISIYYRNNMLESLIKLFKSLEAFDRKPPEKSIIQKVADAYMMLGMLEEKKRALQKYNYLFQETEKGCLKKSRNTSSKKKSGHRKHTSNSEACMESLQ >Manes.18G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1049624:1055541:1 gene:Manes.18G007600.v8.1 transcript:Manes.18G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKILKDEASEEKGERARMASFVGATAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIIAGYRMAADCARNALLRKVVDNKENEEKFKLDLMKIAMTTLSSKILSQDKEHFAKLAVDAVLRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGVGQPKRIEDAKILVANTAMDTDKVKIYGARVRVDSMSRVADIEAAEKQKMREKVDKIIAHGINCFVNRQLIYNFPEELFANAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVAMGQACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKDVDELARATPGKKSHAIEAFSRALVAIPTIIADNAGLDSAELIAQLRAEHQKEGCTAGIDVITGSVGDMAELGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRGEKTECENEVDVLAGGLVL >Manes.02G104200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8142050:8142904:-1 gene:Manes.02G104200.v8.1 transcript:Manes.02G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSPERIMKSSHLCIPRLKATTIKNNHRLSPMTLLERFREAVFRLMMLSALSKATNSNDGSPVIKRSYYRPSNDSHHSEAVADCIEFIKKTAVTDEDNGGRKVSFL >Manes.01G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32630994:32632519:-1 gene:Manes.01G133300.v8.1 transcript:Manes.01G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRQAIIIETFNTLSINKRNSKMRALKEEISVEDYLRFFHSQKRFDFTVNFLNQIIVIHGFKKILQRPKKVLTEAIETIDLLNLSRSTLRDNGMSSCAFINLEDVIADLNDLNWQDCRVTSIQTLNSPKDDFSSNTHATDTANHSLGLSSKSTADCHGADVVALAPGSSSNGGSTTGRGAPKLGRKRKREGGRELGSPSSGLASLGSC >Manes.08G090800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30660283:30663468:1 gene:Manes.08G090800.v8.1 transcript:Manes.08G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGLESATAATTRGNETDILALLDFKNMVTQDPLRVMSSWNDSVHFCNWIGVSCSSSNDRVITLNLNSKKLAGSIPPSIGNLTHLTVINLHENSFSGELPQEMGRLRRLQQLNLTYNSFVGKIPSNLSHCKELTVIEASGNNLVGEIPEQLSSLSKLVVFDFGGNNLTGNIPTWIGNFSSLFGLSLAVNNFVGNIPNELGRLSSLGFFQLYGNYLSGTIPSSIYNLSSIYYFSVAQNQLHGQLPQDIGLTLPKLRVFAGGVNNFTGVFPVSLSNVSGLQVLDFAQNSLTGNIPSNLKNLQSLYRLNFDENNLGHWEIDDLNFLSSLANCTSLEVLGLAQNHYAGELPSSIGNLSTNLQIFTIGRNLIHGSIPVGIENLVNLYSLGLEGNHLSGIVPSAIGKLQNLGELNLNTNRFSGPIPPFIGNLTRLTRLFMEENRFEGSIPESLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISLVISNNSLTGSIPSEVGNLHNLVELDLSHNKLFGEIPSSLGSCASLERLHLEGNELGGTIPESLKDLRGIEELDLSSNNMTGEIPEFLSKLLDLKYLNLSFNDFEGEVSGEGIFSNASAVSIIGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVVFAVAILCSVVIFCMANSEAPPSEDRHVGMSYTEIMKSTNGFSAENLIGSGSFGSVYKGTLSDDGKMVAIKVMNLQQRGASKSFIDECDSLRSIRHRNLLRIITACSTIDHQGNDFKCLVFEFMANGSLDTWLHPRADEQDQTKRLSFIQRLNIAIDIASALDYLHHYCETPIVHCDLKPSNVLLNEDMTAHVGDFGLATFLLESSNNPSKSEAISVLLKGSIGYIPPEYGLNDQVSALGDVYSFGILLLEMFTGRRPTDDMFKDDLSIHKFVAMALPENAMDVIDPRMLDEETNEEEEIITNSNAQGNASRTQECVVSAMRIGVSCSSSSPRERMAISSVVNKLHDIRDSFLR >Manes.14G032001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2864049:2865017:-1 gene:Manes.14G032001.v8.1 transcript:Manes.14G032001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMQNVTRLLIERNPWDHLDIDVPHPTGFNPRSDADTFLWQNYVRTCNRRTLFSFVGATRGPVRNDFRGLLLSQCHREPEFCRVVDCTGTRLHQRQLGDS >Manes.02G211901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20316466:20351955:-1 gene:Manes.02G211901.v8.1 transcript:Manes.02G211901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGELAENLVAPIWRPIYYLIYYKHNIENLKEELQKLNDKRTEVGLRVNNAKSNLQVVFDSVIRWQEKADGIDRRSTEFLQNEMNMNKCLNRYSLSREAKKMTENMLALLEEARNFGEIAYPQKIELWISGAEGIKNFKSRESILNNILMALKDDDLRVIGICGMSGIGKTTMAKQLKKIMETKKLFDEFAMATVSHTPDFRKIQDEIASCLGLEFKNDESEVVRASKLHQTLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHVWNDVLLQLKNSNLEDISGMKTKVFSAIELSYKFLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDGEWDESVKMHDLVRDVAISLASRNKQWHTLQSQGRINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIGDLVKLEILEVRSYCLEELPAEIGNLKNLRLLNLRRVEGLRYIPPDVLVGLSKLEELYLPPGYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLKRFHIFVGYSLVGIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKQDECVDALVRIPESPKSPLPYLSNLRKVEIYRCDELKYLIPLSMARELRQLHSMTVASCEKMEGIFYRNKVNDEIESPLTTLCLKNLRNFIGFIYKDIEESSISEMNNRMEIVQSKTEPVEKISILFSFLWLRLSKLQKLSLKNCGLVKALFPPSVAQQFTLLKKLKISGCCKMEYIVAEAKEEEKNKGISKIAFPNLTKLRLYDLPELVAFFADNDISFELSSLEYLQIVSCPKLKTHYCETPDSSTLNKSYDQSELKLMLATSSIAQRSLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRDLHIGHCDFQEADFPLSVAQQLVQLKDLTISSCEKMEYIVAKDKGRSKIVLFPSLTYLRLSDLPNLMGFCKDNNVSLEWSLLEELSFVKCPKMKTFCISVPKSSTLSTSAEVDHLDSTPRKRKKQDKLSTPRKRKKQDNNFSNEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNLKDLEIEGSDSVEVIFSFEGLINGVLNSVEEICLASLPNLKHLWFKIPPEITAFQNLQRLMVEDCDHLINLFSICSAKLFGKLQSIVIRRCKRMEEIIGKEDEEISMQKIVFPQLRYLTLEDLPNLNSFCNTIYALEFPFLETLVFQNCKRMETFSYGSLSMPKLQTDMINGGWHQLMGSDPNLNAKIYELLKMNQQEEEGEPEFESMSLSGEEESDEAAFNGE >Manes.02G211901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20316466:20351955:-1 gene:Manes.02G211901.v8.1 transcript:Manes.02G211901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGELAENLVAPIWRPIYYLIYYKHNIENLKEELQKLNDKRTEVGLRVNNAKSNLQVVFDSVIRWQEKADGIDRRSTEFLQNEMNMNKCLNRYSLSREAKKMTENMLALLEEARNFGEIAYPQKIELWISGAEGIKNFKSRESILNNILMALKDDDLRVIGICGMSGIGKTTMAKQLKKIMETKKLFDEFAMATVSHTPDFRKIQDEIASCLGLEFKNDESEVVRASKLHQTLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHVWNDVLLQLKNSNLEDISGMKTKVFSAIELSYKFLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDGEWDESVKMHDLVRDVAISLASRNKQWHTLQSQGRINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIGDLVKLEILEVRSYCLEELPAEIGNLKNLRLLNLRRVEGLRYIPPDVLVGLSKLEELYLPPGYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLKRFHIFVGYSLVGIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKQDECVDALVRIPESPKSPLPYLSNLRKVEIYRCDELKYLIPLSMARELRQLHSMTVASCEKMEGIFYRNKVNDEIESPLTTLCLKNLRNFIGFIYKDIEESSISEMNNRMEIVQSKTEPVEKISILFSFLWLRLSKLQKLSLKNCGLVKALFPPSVAQQFTLLKKLKISGCCKMEYIVAEAKEEEKNKGISKIAFPNLTKLRLYDLPELVAFFADNDISFELSSLEYLQIVSCPKLKTHYCETPDSSTLNKSYDQSELKLMLATSSIAQRSLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRDLHIGHCDFQEADFPLSVAQQLVQLKDLTISSCEKMEYIVAKDKGRSKIVLFPSLTYLRLSDLPNLMGFCKDNNVSLEWSLLEELSFVKCPKMKTFCISVPKSSTLSTSAEVDHLDSTPRKRKKQDKLSTPRKRKKQDNNFSNEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNLKDLEIEGSDSVEVIFSFEGLINGVLNSVEEICLASLPNLKHLWFKIPPEITAFQNLQRLMVEDCDHLINLFSICSAKLFGKLQSIVIRRCKRMEEIIGKEDEEISMQKIVFPQLRYLTLEDLPNLNSFCNTIYALEFPFLETLVFQNCKRMETFSYGSLSMPKLQTDMINGGWHQLMGSDPNLNAKIYELLKMNQQEEEGEPEFESMSLSGEEESDEAAFNGE >Manes.02G211901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20316466:20351955:-1 gene:Manes.02G211901.v8.1 transcript:Manes.02G211901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGELAENLVAPIWRPIYYLIYYKHNIENLKEELQKLNDKRTEVGLRVNNAKSNLQVVFDSVIRWQEKADGIDRRSTEFLQNEMNMNKCLNRYSLSREAKKMTENMLALLEEARNFGEIAYPQKIELWISGAEGIKNFKSRESILNNILMALKDDDLRVIGICGMSGIGKTTMAKQLKKIMETKKLFDEFAMATVSHTPDFRKIQDEIASCLGLEFKNDESEVVRASKLHQTLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHVWNDVLLQLKNSNLEDISGMKTKVFSAIELSYKFLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDGEWDESVKMHDLVRDVAISLASRNKQWHTLQSQGRINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIGDLVKLEILEVRSYCLEELPAEIGNLKNLRLLNLRRVEGLRYIPPDVLVGLSKLEELYLPPGYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLKRFHIFVGYSLVGIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKQDECVDALVRIPESPKSPLPYLSNLRKVEIYRCDELKYLIPLSMARELRQLHSMTVASCEKMEGIFYRNKVNDEIESPLTTLCLKNLRNFIGFIYKDIEESSISEMNNRMEIVQSKTEPVEKISILFSFLWLRLSKLQKLSLKNCGLVKALFPPSVAQQFTLLKKLKISGCCKMEYIVAEAKEEEKNKGISKIAFPNLTKLRLYDLPELVAFFADNDISFELSSLEYLQIVSCPKLKTHYCETPDSSTLNKSYDQSELKLMLATSSIAQRSLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRDLHIGHCDFQEADFPLSVAQQLVQLKDLTISSCEKMEYIVAKDKGRSKIVLFPSLTYLRLSDLPNLMGFCKDNNVSLEWSLLEELSFVKCPKMKTFCISVPKSSTLSTSAEVDHLDSTPRKRKKQDKLSTPRKRKKQDNNFSNEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNLKDLEIEGSDSVEVIFSFEGLINGVLNSVEEICLASLPNLKHLWFKIPPEITAFQNLQRLMVEDCDHLINLFSICSAKLFGKLQSIVIRRCKRMEEIIGKEDEEISMQKIVFPQLRYLTLEDLPNLNSFCNTIYALEFPFLETLVFQNCKRMETFSYGSLSMPKLQTDMINGGWHQLMGSDPNLNAKIYELLKMNQQEEEGEPEFESMSLSGEEESDEAAFNGE >Manes.02G211901.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20316466:20351955:-1 gene:Manes.02G211901.v8.1 transcript:Manes.02G211901.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGELAENLVAPIWRPIYYLIYYKHNIENLKEELQKLNDKRTEVGLRVNNAKSNLQVVFDSVIRWQEKADGIDRRSTEFLQNEMNMNKCLNRYSLSREAKKMTENMLALLEEARNFGEIAYPQKIELWISGAEGIKNFKSRESILNNILMALKDDDLRVIGICGMSGIGKTTMAKQLKKIMETKKLFDEFAMATVSHTPDFRKIQDEIASCLGLEFKNDESEVVRASKLHQTLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVFSSLESQKNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKSKHVWNDVLLQLKNSNLEDISGMKTKVFSAIELSYKFLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDGEWDESVKMHDLVRDVAISLASRNKQWHTLQSQGRINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIGDLVKLEILEVRSYCLEELPAEIGNLKNLRLLNLRRVEGLRYIPPDVLVGLSKLEELYLPPGYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLKRFHIFVGYSLVGIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKQDECVDALVRIPESPKSPLPYLSNLRKVEIYRCDELKYLIPLSMARELRQLHSMTVASCEKMEGIFYRNKVNDEIESPLTTLCLKNLRNFIGFIYKDIEESSISEMNNRMEIVQSKTEPVEKISILFSFLWLRLSKLQKLSLKNCGLVKALFPPSVAQQFTLLKKLKISGCCKMEYIVAEAKEEEKNKGISKIAFPNLTKLRLYDLPELVAFFADNDISFELSSLEYLQIVSCPKLKTHYCETPDSSTLNKSYDQSELKLMLATSSIAQRSLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFLPSSPLLNLRDLHIGHCDFQEADFPLSVAQQLVQLKDLTISSCEKMEYIVAKDKGRSKIVLFPSLTYLRLSDLPNLMGFCKDNNVSLEWSLLEELSFVKCPKMKTFCISVPKSSTLSTSAEVDHLDSTPRKRKKQDKLSTPRKRKKQDNNFSNEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNLKDLEIEGSDSVEVIFSFEGLINGVLNSVEEICLASLPNLKHLWFKIPPEITAFQNLQRLMVEDCDHLINLFSICSAKLFGKLQSIVIRRCKRMEEIIGKEDEEISMQKIVFPQLRYLTLEDLPNLNSFCNTIYALEFPFLETLVFQNCKRMETFSYGSLSMPKLQTDMINGGWHQLMGSDPNLNAKIYELLKMNQQEVGRRGT >Manes.11G065000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8996537:9010781:1 gene:Manes.11G065000.v8.1 transcript:Manes.11G065000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDQDMGSWTDLLHSSSKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKSLRTEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNLRSFNDYMMKVLEEDWQKEKRDFLQSLSRISTLPRTNAVDTSTGTILSGQKASIVSSPQVSSGPSGVELVPLANKSILERKASAYAEVVKNLNNARERGLQFKPATAFRSAYESLGIEASGGRSVNMQKIWHLVQTLMGENSTIHQNLSRKMSLVIGARRHLEWGHEKYIMDTIQSHPAQAALGGAVGNLQRVRAFLRIRLRDYGVLDFDSGDARRQPPVDTTWQQIYFCLRTGYYDEARNVALTSRASQQFAPLLTEWINTGGMVPMEIAAVASEECEKMLRMVDRVGRATYDKKKLLLYAIVSGSRRQIDRLLRDLPTLFNTIEDFLWFKLSAVRDFHGETSSVVLNEGSIPYSLEDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLKAVLHLSKEVANEGYDIDAVHMSIVLADHGVLSDAGAGQKLGVMDAYAEISSIIRQYGSAYLRHGNLPVTLEYYAQAAAAVGGGELSWTGRGNMDQRRQRSLMLKQLLTELLLRDGGIYLLLGPRGAGEEGELVRFLNDFKARQQFLLEAARQCQETGLYDKSIEIQKRVGAFSMALDTINKCLSEAISALSRGKLDGESRTSGLIHSGNEILETYKYYPEVSLQEREHVLEQETVLRQLEAILSVHKLARLGHYLDALREVAKLPFLPLDPRVPDVTVDAFQNLSPHVQVCVPDLLKVALTCLDNVTDSDGSLRAMRAKIAQFLANNMSRNWPRDLYEKVARSL >Manes.11G065000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8996528:9010783:1 gene:Manes.11G065000.v8.1 transcript:Manes.11G065000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDQDMGSWTDLLHSSSKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKSLRTEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNLRSFNDYMMKVLEEDWQKEKRDFLQSLSRISTLPRTNAVDTSTGTILSGQKASIVSSPQVSSGPSGVELVPLANKSILERKASAYAEVVKNLNNARERGLQFKPATAFRSAYESLGIEASGGRSVNMQKIWHLVQTLMGENSTIHQNLSRKMSLVIGARRHLEWGHEKYIMDTIQSHPAQAALGGAVGNLQRVRAFLRIRLRDYGVLDFDSGDARRQPPVDTTWQQIYFCLRTGYYDEARNVALTSRASQQFAPLLTEWINTGGMVPMEIAAVASEECEKMLRMVDRVGRATYDKKKLLLYAIVSGSRRQIDRLLRDLPTLFNTIEDFLWFKLSAVRDFHGETSSVVLNEGSIPYSLEDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLKAVLHLSKEVANEGYDIDAVHMSIVLADHGVLSDAGAGQKLGVMDAYAEISSIIRQYGSAYLRHGNLPVTLEYYAQAAAAVGGGELSWTGRGNMDQRRQRSLMLKQLLTELLLRDGGIYLLLGPRGAGEEGELVRFLNDFKARQQFLLEAARQCQETGLYDKSIEIQKRVGAFSMALDTINKCLSEAISALSRGKLDGESRTSGLIHSGNEILETYKYYPEVSLQEREHVLEQETVLRQLEAILSVHKLARLGHYLDALREVAKLPFLPLDPRVPDVTVDAFQNLSPHVQVCVPDLLKVALTCLDNVTDSDGSLRAMRAKIAQFLANNMSRNWPRDLYEKVARSL >Manes.11G065000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8996528:9011264:1 gene:Manes.11G065000.v8.1 transcript:Manes.11G065000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDQDMGSWTDLLHSSSKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKSLRTEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNLRSFNDYMMKVLEEDWQKEKRDFLQSLSRISTLPRTNAVDTSTGTILSGQKASIVSSPQVSSGPSGVELVPLANKSILERKASAYAEVVKNLNNARERGLQFKPATAFRSAYESLGIEASGGRSVNMQKIWHLVQTLMGENSTIHQNLSRKMSLVIGARRHLEWGHEKYIMDTIQSHPAQAALGGAVGNLQRVRAFLRIRLRDYGVLDFDSGDARRQPPVDTTWQQIYFCLRTGYYDEARNVALTSRASQQFAPLLTEWINTGGMVPMEIAAVASEECEKMLRMVDRVGRATYDKKKLLLYAIVSGSRRQIDRLLRDLPTLFNTIEDFLWFKLSAVRDFHGETSSVVLNEGSIPYSLEDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLKAVLHLSKEVANEGYDIDAVHMSIVLADHGVLSDAGAGQKLGVMDAYAEISSIIRQYGSAYLRHGNLPVTLEYYAQAAAAVGGGELSWTGRGNMDQRRQRSLMLKQLLTELLLRDGGIYLLLGPRGAGEEGELVRFLNDFKARQQFLLEAARQCQETGLYDKSIEIQKRVGAFSMALDTINKCLSEAISALSRGKLDGESRTSGLIHSGNEILETYKYYPEVSLQEREHVLEQETVLRQLEAILSVHKLARLGHYLDALREVAKLPFLPLDPRVPDVTVDAFQNLSPHVQVCVPDLLKVALTCLDNVTDSDGSLRAMRAKIAQFLANNMSRNWPRDLYEKVARSL >Manes.10G101950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24994537:24994995:1 gene:Manes.10G101950.v8.1 transcript:Manes.10G101950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKGEKREKERREHEEHGKKRALNTRGECL >Manes.15G017000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1349024:1355199:1 gene:Manes.15G017000.v8.1 transcript:Manes.15G017000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKELTPRKADAATGREGRREREEARVERAQEESSQITNGDNRMEKNEVEVEEEEGRVRPRGERRRSSRPNPRFSNPPKHVQGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPNIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIGNDGILRIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCISETFKDFPPSSLPLIETLLAIDPAERQTATAALKSEFFTTKPYACEPSTLPKYPPSKEMDAKLRDEEARRLRAAGKANADGVKKSRPRDRAVKAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSAHHIDPIFDPPDVPFSSTNFSYSKAPIQTWSGPLVDRSLVGAPRRKKQKDKNSTRVKDKEAM >Manes.15G017000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1349024:1355199:1 gene:Manes.15G017000.v8.1 transcript:Manes.15G017000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKELTPRKADAATGREGRREREEARVERAQEESSQITNGDNRMEKNEVEVEEEEGRVRPRGERRRSSRPNPRFSNPPKHVQGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPNIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIGNDGILRIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCISETFKDFPPSSLPLIETLLAIDPAERQTATAALKSEFFTTKPYACEPSTLPKYPPSKEMDAKLRDEEARRLRAAGKANADGVKKSRPRDRAVKAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSAHHIDPIFDPPDVPFSSTNFSYSKAPIQTWSGPLVDRSLVGAPRRKKQKDKNSTRVKDKEAM >Manes.15G017000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1349024:1355199:1 gene:Manes.15G017000.v8.1 transcript:Manes.15G017000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKELTPRKADAATGREGRREREEARVERAQEESSQITNGDNRMEKNEVEVEEEEGRVRPRGERRRSSRPNPRFSNPPKHVQGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPNIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIGNDGILRIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCISETFKDFPPSSLPLIETLLAIDPAERQTATAALKSEFFTTKPYACEPSTLPKYPPSKEMDAKLRDEEARRLRAAGKANADGVKKSRPRDRAVKAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSAHHIDPIFDPPDVPFSSTNFSYSKAPIQTWSGPLVDRSLVGAPRRKKQKDKNSTRVKDKEAM >Manes.15G017000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1349024:1354705:1 gene:Manes.15G017000.v8.1 transcript:Manes.15G017000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKELTPRKADAATGREGRREREEARVERAQEESSQITNGDNRMEKNEVEVEEEEGRVRPRGERRRSSRPNPRFSNPPKHVQGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPNIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIGNDGILRIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCISETFKDFPPSSLPLIETLLAIDPAERQTATAALKSEFFTTKPYACEPSTLPKYPPSKEMDAKLRDEEARRLRAAGKANADGVKKSRPRDRAVKAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSAHHIDPIFDPPDVPFSSTNFSYSKAPIQTWSGPLVDRSLVGAPRRKKQKDKNSTRVKDKEAM >Manes.15G017000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1349024:1355199:1 gene:Manes.15G017000.v8.1 transcript:Manes.15G017000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKELTPRKADAATGREGRREREEARVERAQEESSQITNGDNRMEKNEVEVEEEEGRVRPRGERRRSSRPNPRFSNPPKHVQGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPNIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIGNDGILRIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCISETFKDFPPSSLPLIETLLAIDPAERQTATAALKSEFFTTKPYACEPSTLPKYPPSKEMDAKLRDEEARRLRAAGKANADGVKKSRPRDRAVKAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSAHHIDPIFDPPDVPFSSTNFSYSKAPIQTWSGPLVDRSLVGAPRRKKQKDKNSTRVKDKEAM >Manes.15G017000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1349024:1355199:1 gene:Manes.15G017000.v8.1 transcript:Manes.15G017000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKELTPRKADAATGREGRREREEARVERAQEESSQITNGDNRMEKNEVEVEEEEGRVRPRGERRRSSRPNPRFSNPPKHVQGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDTMTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPNIKFTEPQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLIGNDGILRIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCISETFKDFPPSSLPLIETLLAIDPAERQTATAALKSEFFTTKPYACEPSTLPKYPPSKEMDAKLRDEEARRLRAAGKANADGVKKSRPRDRAVKAFPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSAHHIDPIFDPPDVPFSSTNFSYSKAPIQTWSGPLVDRSLVGAPRRKKQKDKNSTRVKDKEAM >Manes.12G044700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3972781:3976849:1 gene:Manes.12G044700.v8.1 transcript:Manes.12G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTLAIFCFGLHLFDKNGHRIEQLITNTYISISSYSVSLPLSLSFPFMEKLVHQHQQQQQLSLDKCSRQRYNEWIFRDVPSDITIEVSGGTFALHKFPLVSRSGRIRKLVAEHRDADISRVELLNLPGGAESFELAAKFCYGINFEITSANVAQLCCVSDYLEMTEEFSKDNLGSRAEEYLESVVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHTNKQAKCEGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSILWNPSSQAKVDLISTENEKLVVETIVNLLPVEKFTVPISFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATLDDLLIPSFRHAADTLFDADTVHRILVNFSQQDDSEDDMEDASVFETGSPHSPSQTALFKVAKLVDNYLAEIAPDANLKLSKFMVIAETLPEHARTIHDGLYRAIDIYLKAHQGLPDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNALCCSYGDEDHKPMHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQRSHSRKFMSSFSKKIGKLNFFGQSSSRGSSSPSRYSQRTDSRVIERTCASTD >Manes.12G044700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3972781:3976849:1 gene:Manes.12G044700.v8.1 transcript:Manes.12G044700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEFSKDNLGSRAEEYLESVVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHTNKQAKCEGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSILWNPSSQAKVDLISTENEKLVVETIVNLLPVEKFTVPISFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATLDDLLIPSFRHAADTLFDADTVHRILVNFSQQDDSEDDMEDASVFETGSPHSPSQTALFKVAKLVDNYLAEIAPDANLKLSKFMVIAETLPEHARTIHDGLYRAIDIYLKAHQGLPDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNALCCSYGDEDHKPMHQSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQRSHSRKFMSSFSKKIGKLNFFGQSSSRGSSSPSRYSQRTDSRVIERTCASTD >Manes.01G228800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39383102:39389681:-1 gene:Manes.01G228800.v8.1 transcript:Manes.01G228800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFAVANTAELSRKVCGLLLHLLVVSCCVIHISDVSLADEQRFKEPIGPPSGAPAVAPAIPALPLPANLPQFHKLRRKHFSPLGAPSAVAAPARSPNYDPLITSAHSPTGSHLPKPSMKKNALVPTSAGLMEISPTQSNAGTNATGLARPPLSPRASDCCKPDMVLKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLVSQIELINFYVLSLSRLNISMDITPHTGISFSASDASMINSSLALHKVHFDSTLVGDYKLLNLTWFEKPAPSPVKPRTVDAVPAGGSLPHPTSTRFLAYEELKEATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYTSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDTMSTSNTRPNMRPSSTTFESDGTSSIFSSGPYSGLSAFDNDHVSRTAVFSEDLHEGR >Manes.01G228800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39383102:39389680:-1 gene:Manes.01G228800.v8.1 transcript:Manes.01G228800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNALVPTSAGLMEISPTQSNAGTNATGLARPPLSPRASDCCKPDMVLKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLVSQIELINFYVLSLSRLNISMDITPHTGISFSASDASMINSSLALHKVHFDSTLVGDYKLLNLTWFEKPAPSPAPVVASSPVEAPAHQSTTSTSLSASGSGKHSNLILILSIGAGIVIIAIISLLIICSCAFREGKPKGSPKETVKPRTVDAVPAGGSLPHPTSTRFLAYEELKEATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYTSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDTMSTSNTRPNMRPSSTTFESDGTSSIFSSGPYSGLSAFDNDHVSRTAVFSEDLHEGR >Manes.01G228800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39383102:39389476:-1 gene:Manes.01G228800.v8.1 transcript:Manes.01G228800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFAVANTAELSRKVCGLLLHLLVVSCCVIHISDVSLADEQRFKEPIGPPSGAPAVAPAIPALPLPANLPQFHKLRRKHFSPLGAPSAVAAPARSPNYDPLITSAHSPTGSHLPKPSMKKNALVPTSAGLMEISPTQSNAGTNATGLARPPLSPRASDCCKPDMVLKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLVSQIELINFYVLSLSRLNISMDITPHTGISFSASDASMINSSLALHKVHFDSTLVGDYKLLNLTWFEKPAPSPAPVVASSPVEAPAHQSTTSTSLSASGSGKHSNLILILSIGAGIVIIAIISLLIICSCAFREGKPKGSPKETVKPRTVDAVPAGGSLPHPTSTRFLAYEELKEATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYTSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDTMSTSNTRPNMRPSSTTFESDGTSSIFSSGPYSGLSAFDNDHVSRTAVFSEDLHEGR >Manes.01G228800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39383102:39389476:-1 gene:Manes.01G228800.v8.1 transcript:Manes.01G228800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNALVPTSAGLMEISPTQSNAGTNATGLARPPLSPRASDCCKPDMVLKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLVSQIELINFYVLSLSRLNISMDITPHTGISFSASDASMINSSLALHKVHFDSTLVGDYKLLNLTWFEKPAPSPAPVVASSPVEAPAHQSTTSTSLSASGSGKHSNLILILSIGAGIVIIAIISLLIICSCAFREGKPKGSPKETVKPRTVDAVPAGGSLPHPTSTRFLAYEELKEATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYTSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDTMSTSNTRPNMRPSSTTFESDGTSSIFSSGPYSGLSAFDNDHVSRTAVFSEDLHEGR >Manes.01G228800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39383102:39389680:-1 gene:Manes.01G228800.v8.1 transcript:Manes.01G228800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFAVANTAELSRKVCGLLLHLLVVSCCVIHISDVSLADEQRFKEPIGPPSGAPAVAPAIPALPLPANLPQFHKLRRKHFSPLGAPSAVAAPARSPNYDPLITSAHSPTGSHLPKPSMKKNALVPTSAGLMEISPTQSNAGTNATGLARPPLSPRASDCCKPDMVLKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLVSQIELINFYVLSLSRLNISMDITPHTGISFSASDASMINSSLALHKVHFDSTLVGDYKLLNLTWFEKPAPSPAPVVASSPVEAPAHQSTTSTSLSASGSGKHSNLILILSIGAGIVIIAIISLLIICSCAFREGKPKGSPKETVKPRTVDAVPAGGSLPHPTSTRFLAYEELKEATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYTSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWARPILRDKDRLEELADPRLGGKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDTMSTSNTRPNMRPSSTTFESDGTSSIFSSGPYSGLSAFDNDHVSRTAVFSEDLHEGR >Manes.05G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12981245:13011593:1 gene:Manes.05G138800.v8.1 transcript:Manes.05G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQGGDKSNHQTSSPIPVVSNFWKEFDLEKEKSALDEQGLRIAENQENSLKNRRKLAESTRDFKKASAEEKLGLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIAEQDLKLSELESENRKMKIELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEMKQRSLAEENQKTLEVLKEREQSLQDQLRQAKESVTNMHKLHELAQSQLFEVRAQSEEERAAKQSEFNLLMDEVERAQTRLLSLEREKGVLRSQLQSANEEIGNKNSDNGDSNSILENSLSAKEKIISELNMELHNIETALTNEREQHINEIKKLNMVLNEKELALEEMKKELHARPTAKLVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMESLLLDKNRKMEHELTQLKVKLSEEVSLLETAENKIAELTAKVNEQQKLIQKLEDDILKGYSSKDQKGGLFDDWDLSEAGGSVSSENVEQKHALSDQDQNSMLKVICNQRDRFRTRLRETEEEVRQLKEKIGILTAELEKTKADNVKLYGKIRYVQDYNLEKIVSRGSKKQAEDLESGFTSDVESKYKKIYEDDINPFAAFSKKERDQRYRELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHVLVFTCLYRMSALSYLSNGEESFTGDKMQNLPHAL >Manes.15G029600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2346948:2347955:1 gene:Manes.15G029600.v8.1 transcript:Manes.15G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIYKNNRVTVQKPEAVSHLREGIALLFSRWNGLQMAIQNEWGGHDSLQKSHQLATDVLSWFAQSRGPLYVEDLENLLHENLLLSFNTEIEDGSIEEVAEQLIMMHEEYLHRNHQTKC >Manes.02G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10248480:10254259:1 gene:Manes.02G134400.v8.1 transcript:Manes.02G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHFLCNNHAVHPSSNSFAKSNLPSLRPSGSVSFYQRQRTPFLKASKKQLEIVYDPDERLNKWADEVDKIAPLSRLTLFSPCKINIFLRITDKREDGYHDLASLFHVISLGDTIKFSLSPSKSNDRLSTNVSGVPLDERNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGGLATEKELLEWSSEIGSDISFFFSHGAAYCTGRGEIVQDIPSPVPLDLPMVLIKPQEACSTAEVYKRFQLDKTSQVDPLTLLEKISRNGISQDVCINDLELPAFEVLPSLKRLKQRIIAASRGQYDAVFMSGSGSTIVGIGSPDPPQFIYDDDDYKDVFISEAKFLTREANQWYKEPTSTATCSTPPDFSESIE >Manes.01G260100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41623631:41631940:-1 gene:Manes.01G260100.v8.1 transcript:Manes.01G260100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNVARFATSSLRRSRRFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYARFMESYRSLEKNTQGQPNSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNEKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDVQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLNMAIQAVSRMAGKNEAAVKNQFFLLDKDGLITKERTNIDPAAAPFAKDLKDVEGLREGASLIEVVKKLKPHVLLGLSGVGGIFNEEVLKAMRESESTKPAIFAMSNPTMNAECTAADAFKYAGENIVFASGSPFENVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGARIITDEMLQAASECLASYMTDEEIQKGILYPSVNNIRHITAEVGAAVLRAAVAEGLAEGYGDVGPRELRHMSKEETVEYITRNMWFPVYSPLVHEK >Manes.01G260100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41623631:41631940:-1 gene:Manes.01G260100.v8.1 transcript:Manes.01G260100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNEKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDVQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLNMAIQAVSRMAGKNEAAVKNQFFLLDKDGLITKERTNIDPAAAPFAKDLKDVEGLREGASLIEVVKKLKPHVLLGLSGVGGIFNEEVLKAMRESESTKPAIFAMSNPTMNAECTAADAFKYAGENIVFASGSPFENVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGARIITDEMLQAASECLASYMTDEEIQKGILYPSVNNIRHITAEVGAAVLRAAVAEGLAEGYGDVGPRELRHMSKEETVEYITRNMWFPVYSPLVHEK >Manes.01G260100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41623631:41631940:-1 gene:Manes.01G260100.v8.1 transcript:Manes.01G260100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNVARFATSSLRRSRRFSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYARFMESYRSLEKNTQGQPNSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNEKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDVQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLNMAIQAVSRMAGKNEAAVKNQFFLLDKDGLITKERTNIDPAAAPFAKDLKDVEGLREGASLIEVVKKLKPHVLLGLSGVGGIFNEEVLKAMRESESTKPAIFAMSNPTMNAECTAADAFKYAGENIVFASGSPFENVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGARIITDEMLQAASECLASYMTDEEIQKGILYPSVNNIRHITAEVGAAVLRAAVAEGLAEGYGDVGPRELRHMSKEETVEYITRNMWFPVYSPLVHEK >Manes.01G078800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28188898:28189563:-1 gene:Manes.01G078800.v8.1 transcript:Manes.01G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSVASIALLLSVNLLFFSMGSAANCPVNVLLFQACITGWSAGQYSPPYAPCCNLMANLVDLDAAFCLCTAIKADVLGISLNIPINLSLVLNTCGKKVPDGFHCP >Manes.06G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3070552:3075345:-1 gene:Manes.06G018300.v8.1 transcript:Manes.06G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGACTVQQTLTTEAASVLKHSLSLARRRGHAQVTPLHVAATLLSSRASLLKRACLKSQPHQSSHPLQCRALELCFNVALNRLPTTPGPLLHGQPSLSNALIAALKRAQAHQRRGCIEQQQQQPLLTIKVELEQLIISILDDPSVSRVMREAGFSSTAVKNNIEDSSASSVFQCYTAAGGGGGVFSSPCSPSPGETQREIINPTTFWQTHFLTYSSEKNPLLFSPQKKLSSHYFTDLASVKEDIKLVLEIFLRKKRKNTVIVGDCVSITEGLVGELMGRVERGDVPVELKQIQFVKFQFAPVSLRFMKKEDVEMNISQLKRKVESAGDCGAIIYTGDLKWTVEETAMNGEDSAATGGYSPADHLVVEIGRLISDYSNSNRKVWLMATASYQTYMRCQMRQPPLEIQWAFQAVSVPSGGLGLSLHASSINESRVTFSQNPSQLLETKPFITNAKDEEDKLTCCAECFSSYEKEAQLLKPGHQKNLPSWLHPQTTNANQKDELAELRRKWNRICQGLNHQVRHMQSHLGSYSYASSYPWWSNQNNIVPDSNSISFRDSIVKPNQISSFVPKFRRQQSCTIDFNFGSETQKQIQSGEPNLDSLKNTEGKEVKITLALGNSFCSDVGERDKERNDLFKLLQENVPWQSEAIHSIVEALIESKSTGKGTWLLIQGNDTLGKRRLALAIAESVLGSVDLLLSMNMRKKENNEDSCFSEKIEKGLRNQEKIVALVEDVDFADTQLMKLLADGFETGKFGESGKISQSVFILTTGGNFMSLEDGKMDQDSVIRMTMEVKEKAQTNNMGCKRKAEWDISNNTKTSRINENKDVENGNMKKDFSRQSSFNTLDLNIKANEEDESEEKQGEYYSPISSDLTRETISDLVTQHGFLDSIKNRYVFDRNQAQEKEMTKSLSSRMKRAMEEIFGDQNVNGFSIEEKILEEIVDGYGCFVNSLLERWMKEIFQSTLQRVKIGEKKSLGIRVCFEGRSERNLEDGFMGTCLPKKIQVSFMD >Manes.08G171200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40518517:40519680:1 gene:Manes.08G171200.v8.1 transcript:Manes.08G171200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVANEPNLALILCSDISSHGLTPDSCTFSIIIKCHCKRNDPDEAKRVLDRMLENGLKPNVATLTMLINSFCKRGRLQKAFEAFEVMERIGCRPTVQTYNCLLKGLCYVGRVEEAYELLEDIKKSSIAPDIYTYTAVMDGFCKVGRSDEAMELLNEALETGLTPSAVTFNTLLEGYSKEGRPLKGIGVLKKMEQRNCMPDYISYSTLLHGLCRKFLKENDLLEDAYQMFEKMNKRPYVIDHRTYSLVIQALSMGKKVDDALASLHQLIRRGYIPRINTMNSIIRAFCAEGKIDKAFSVLVLMYETHKIPSRISYDLMIRELNRQGKSLEACNVYGAALVRGVVPIKKPQQ >Manes.03G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23829436:23830549:1 gene:Manes.03G113700.v8.1 transcript:Manes.03G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISFFITAALLLIPLPAAFARTARRLPPRHDDDGEEEYPAWPTTGESGGAVGTPTSSAAEEEKKMAEKQGREVVAVEIVGSRLPDCSHACGSCRPCRLVIVSSACASLAQAAETCPVSYRCMCNHKCYPVP >Manes.09G036600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7014832:7020904:1 gene:Manes.09G036600.v8.1 transcript:Manes.09G036600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFILQSSGGDFGAGLLDLHAIYLRAAATLSSMGAAQHSNMDFWYDVYTKNQEDSVVGPSRLDYEWGYVFGNSSPKTKKRKKDVGSTSTACSNSDLSEALSAISAHLSLSSSGSSSREGDQYQDTDKDVAGFMKNHAGIPQDETLDNSMENCSSFDTHSMSSESDEDDELSSNSNEDEMQAEGTQKDHFQVPELGTAFSSEGEAYEFYSRYAKGIGFIVRKGKVRRSSNGDIRERFFFCSREGFRSKKQANKLTKFKRKETRTGCRARMRCTVENGKWVISQFSQKHNHQLYGFTKTSEPNLASRDEATVAKDAGAVKYFEFAKMDFMASERVSTEESDRGFDINRLPVEEAEEGAALSSPNSGMSSFRMDFGIRSSGGGRCNKRDTEALKKLRLSKEQSAFLEESFKEHSTVNPKQRLALARQFNLRYDQVELWFGNRRASTKLKQAEVDSEFLKRCCQTLTEENRRLQKELQELRALKAFHLPDF >Manes.09G036600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7014818:7020904:1 gene:Manes.09G036600.v8.1 transcript:Manes.09G036600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSHSVTRAAATLSSMGAAQHSNMDFWYDVYTKNQEDSVVGPSRLDYEWGYVFGNSSPKTKKRKKDVGSTSTACSNSDLSEALSAISAHLSLSSSGSSSREGDQYQDTDKDVAGFMKNHAGIPQDETLDNSMENCSSFDTHSMSSESDEDDELSSNSNEDEMQAEGTQKDHFQVPELGTAFSSEGEAYEFYSRYAKGIGFIVRKGKVRRSSNGDIRERFFFCSREGFRSKKQANKLTKFKRKETRTGCRARMRCTVENGKWVISQFSQKHNHQLYGFTKTSEPNLASRDEATVAKDAGAVKYFEFAKMDFMASERVSTEESDRGFDINRLPVEEAEEGAALSSPNSGMSSFRMDFGIRSSGGGRCNKRDTEALKKLRLSKEQSAFLEESFKEHSTVNPKQRLALARQFNLRYDQVELWFGNRRASTKLKQAEVDSEFLKRCCQTLTEENRRLQKELQELRALKAFHLPDF >Manes.09G036600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7014695:7020904:1 gene:Manes.09G036600.v8.1 transcript:Manes.09G036600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSHSVTRAAATLSSMGAAQHSNMDFWYDVYTKNQEDSVVGPSRLDYEWGYVFGNSSPKTKKRKKDVGSTSTACSNSDLSEALSAISAHLSLSSSGSSSREGDQYQDTDKDVAGFMKNHAGIPQDETLDNSMENCSSFDTHSMSSESDEDDELSSNSNEDEMQAEGTQKDHFQVPELGTAFSSEGEAYEFYSRYAKGIGFIVRKGKVRRSSNGDIRERFFFCSREGFRSKKQANKLTKFKRKETRTGCRARMRCTVENGKWVISQFSQKHNHQLYGFTKTSEPNLASRDEATVAKDAGAVKYFEFAKMDFMASERVSTEESDRGFDINRLPVEEAEEGAALSSPNSGMSSFRMDFGIRSSGGGRCNKRDTEALKKLRLSKEQSAFLEESFKEHSTVNPKQRLALARQFNLRYDQVELWFGNRRASTKLKQAEVDSEFLKRCCQTLTEENRRLQKELQELRALKAFHLPDF >Manes.09G036600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7014832:7020904:1 gene:Manes.09G036600.v8.1 transcript:Manes.09G036600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFILQSSGGDFGAGLLDLHAIYLRAAATLSSMGAAQHSNMDFWYDVYTKNQEDSVVGPSRLDYEWGYVFGNSSPKTKKRKKDVGSTSTACSNSDLSEALSAISAHLSLSSSGSSSREGDQYQDTDKDVAGFMKNHAGIPQDETLDNSMENCSSFDTHSMSSESDEDDELSSNSNEDEMQAEGTQKDHFQVPELGTAFSSEGEAYEFYSRYAKGIGFIVRKGKVRRSSNGDIRERFFFCSREGFRSKKQANKLTKFKRKETRTGCRARMRCTVENGKWVISQFSQKHNHQLYGFTKTSEPNLASRDEATVAKDAGAVKYFEFAKMDFMASERVSTEESDRGFDINRLPVEEAEEGAALSSPNSGMSSFRMDFGIRSSGGGRCNKRDTEALKKLRLSKEQSAFLEESFKEHSTVNPKQRLALARQFNLRYDQVELWFGNRRASTKLKQAEVDSEFLKRCCQTLTEENRRLQKELQELRALKAFHLPDF >Manes.09G036600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7014691:7020904:1 gene:Manes.09G036600.v8.1 transcript:Manes.09G036600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSHSVTRAAATLSSMGAAQHSNMDFWYDVYTKNQEDSVVGPSRLDYEWGYVFGNSSPKTKKRKKDVGSTSTACSNSDLSEALSAISAHLSLSSSGSSSREGDQYQDTDKDVAGFMKNHAGIPQDETLDNSMENCSSFDTHSMSSESDEDDELSSNSNEDEMQAEGTQKDHFQVPELGTAFSSEGEAYEFYSRYAKGIGFIVRKGKVRRSSNGDIRERFFFCSREGFRSKKQANKLTKFKRKETRTGCRARMRCTVENGKWVISQFSQKHNHQLYGFTKTSEPNLASRDEATVAKDAGAVKYFEFAKMDFMASERVSTEESDRGFDINRLPVEEAEEGAALSSPNSGMSSFRMDFGIRSSGGGRCNKRDTEALKKLRLSKEQSAFLEESFKEHSTVNPKQRLALARQFNLRYDQVELWFGNRRASTKLKQAEVDSEFLKRCCQTLTEENRRLQKELQELRALKAFHLPDF >Manes.06G142200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27013716:27015509:1 gene:Manes.06G142200.v8.1 transcript:Manes.06G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLARTISRLFTTSSATKIPERVIENPVSIHSIKQLHARLIRTHLHEDPFSISVVIRSYALSSLHLHKALLVFSQIKKPTLLIYNYLIRGLSESGRPNEAIDMYYNLIYHHGIVGDNLTFIFLFKACSRVNDVSNGQIFHVHALKLGFESYLFVSNALIRMYGTFGDLELAQQVFDQMKDRDLVSWNSLICSYSQCNRFKEVLSLFNSMQEANVKADAVTMVKVILACSYLSHFDIADSMAKYIDDNHVDIDVYLGNTLIHMYGRRGLVNLARRVFDGMQERNAVSWNAMITGYAKVGDLVAARKLFDEMPARNVISWTSMITGYVQANQSSDALKLFQHMMKANVKLDEITIASVLSACAQLGSLDVGLAVHEYIYRYDIRADIYVGNALIDMYCKCGAVEKALEVFHEMKNKDSVSWTSAISGLAVNGFVNQAFEIFSLMLRDGVQPTHGSFMGILLACTHAGMVDEGLKYFESMNKVYGLTPHMKHYGCIVDLLSRSGYLDRAYEFIKAMPLVPDVVVWRILLSACKLHGNVVLAEIATNKLLELDPSNSVNYVLLSNTYAGSDRWDDATKIRQLMAEGDAQKPSGWSSIEVNK >Manes.13G022200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3201368:3203311:1 gene:Manes.13G022200.v8.1 transcript:Manes.13G022200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSFKLPTPFPFPFPKLSIHNNPNPKGHTNIVKFSMLNLNSSIFKFVRKRINISLSSAAVIHAPVVTATRAEVAGDRIKRLVSEFESLKEPIDRVKRLLDYAARLPPFDESARLPENRVMGCTTQVWLEVRMDVNGRMRFKADSDSEITKGFISCLIWLLDGAEPGEVVAVKSEDLAAMNVGLYGKAQSRVNTWHNVLISMQNRTKALAAERKELPLEPLPSLVVTADAMGGTGTFSEPQ >Manes.13G022200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3201068:3207241:1 gene:Manes.13G022200.v8.1 transcript:Manes.13G022200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSFKLPTPFPFPFPKLSIHNNPNPKGHTNIVKFSMLNLNSSIFKFVRKRINISLSSAAVIHAPVVTATRAEVAGDRIKRLVSEFESLKEPIDRVKRLLDYAARLPPFDESARLPENRVMGCTTQVWLEVRMDVNGRMRFKADSDSEITKGFISCLIWLLDGAEPGEVVAVKSEDLAAMNVGLYGKAQSRVNTWHNVLISMQNRTKALAAERKELPLEPLPSLVVTADAMGGTGTFSEPQEEHCAIRIASPDMRS >Manes.13G022200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3201068:3207241:1 gene:Manes.13G022200.v8.1 transcript:Manes.13G022200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSFKLPTPFPFPFPKLSIHNNPNPKGHTNIVKFSMLNLNSSIFKFVRKRINISLSSAAVIHAPVVTATRAEVAGDRIKRLVSEFESLKEPIDRVKRLLDYAARLPPFDESARLPENRVMGCTTQVWLEVRMDVNGRMRFKADSDSEITKGFISCLIWLLDGAEPGEVVAVKSEDLAAMNVGLYGKAQSRVNTWHNVLISMQNRTKALAAERKELPLEPLPSLVVTADAMGGTGTFSEPQEEHCAIRIASPDMRS >Manes.13G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3201068:3207241:1 gene:Manes.13G022200.v8.1 transcript:Manes.13G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSFKLPTPFPFPFPKLSIHNNPNPKGHTNIVKFSMLNLNSSIFKFVRKRINISLSSAAVIHAPVVTATRAEVAGDRIKRLVSEFESLKEPIDRVKRLLDYAARLPPFDESARLPENRVMGCTTQVWLEVRMDVNGRMRFKADSDSEITKGFISCLIWLLDGAEPGEVVAVKSEDLAAMNVGLYGKAQSRVNTWHNVLISMQNRTKALAAERKELPLEPLPSLVVTADAMGGTGTFSEPQVSYIHFSMSDEFCKLTSGSLSPTS >Manes.16G101400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30683936:30692352:-1 gene:Manes.16G101400.v8.1 transcript:Manes.16G101400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVRVLYCLVCSLPAEYCEFGPDFEKCKPWLIQNAPDLYPGLIKETNAKEADKVAEQLQSAGISSSSGDGATPSGVTSAPKEEVKRLPGGKIKKKEKQEVVIEKVTRNKRKCITTVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVDFITETWPDV >Manes.16G101400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30689763:30692581:-1 gene:Manes.16G101400.v8.1 transcript:Manes.16G101400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVRVLYCLVCSLPAEYCEFGPDFEKCKPWLIQNAPDLYPGLIKETNAKEADKVAEQLQSAGISSSSGDGATPSGVTSAPKEEVKRLPGGKIKKKEKQEVVIEKVTRNKRKCITTVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVDFITETWPDVKYSSFSLLFKLFSGHCLWLHSYPDNCNCIFA >Manes.16G101400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30683511:30692352:-1 gene:Manes.16G101400.v8.1 transcript:Manes.16G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPQPVRVLYCLVCSLPAEYCEFGPDFEKCKPWLIQNAPDLYPGLIKETNAKEADKVAEQLQSAGISSSSGDGATPSGVTSAPKEEVKRLPGGKIKKKEKQEVVIEKVTRNKRKCITTVKGLDLFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVDFITETWPDVPEAAIYFIEDGKKVPAA >Manes.02G091300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7136544:7144137:-1 gene:Manes.02G091300.v8.1 transcript:Manes.02G091300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNLEKMASIDAQLRLLAPRKVSEDDKLVEYDALLLDRFLDILQDLHGEEIRETVQDCYELSAEYEGKHDPQKLEELGKVLTSLDPGDSIVVAKSFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKRLVVQLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERIPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRVHADELHRSSRRDAKHYIEFWKQIPASEPYRVILGDVRDKLYNTRERSRHLLVNGISDIPEEATFTNVEQFLQPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKYLGIGSYREWSEERRQEWLLSELRGKRPLFGPDLPKTEEIADVLDTFHVIAELPPDNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAAVARLFSVDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAIIATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHVVEKDIKNLQMLQEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSKELWPFGERLRANYEETKSFLLKIAGHKDLLEGDPYLKQRLRLRDAYITTLNVCQVYTLKRIRDPDYYVTVRPHLSKEYMESTKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Manes.01G245000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40532506:40537308:-1 gene:Manes.01G245000.v8.1 transcript:Manes.01G245000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGAGGDDLYTELWKACAGPLVDVPVPGERVFYFPQGHMEQLEASTNQELTQQIPRFNLPSKILCRVVNIQLLAEQETDEVYAQITLHPEPNQEEPTSPDSRLPEPKKPTVHSFCKILTASDTSTHGGFSVLRKHATDCLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSLMPSSVISRQSMHLGVLATASHAVTTQTLFVVYYKPRTSQFIIGLNKYLEAVNHGFSVGMRYKMRFEGEDSPERSFTGTIVGVGDVSAQWSGSKWRSLKIQWDEPATIQRPERVSPWDIEPFAASASINLPPTVLKSKRPRPLDTPVSEITTNSTTSPFWYRGSAQSHDLSQLGSAAEAQSCEKSQVVWSVRQKEIDSSVVNGSIFCNSRVRTEGIWPPSPHMNVSLSLFFDSADNSRTITQSVASGYVSPVPLRQTDVLMHDQVEKGKKYENSIGCRLFGIDLTSNSNAAPPLEEALCTTVDPNGTGGPLAAPGDSDKAQNMDASKSSEEQKQIASDALPKETHGKLGPTSSTRSRTKVQMQGVAVGRAVDLTVLKGYGDLIKALEEMFEIKGELSTPDKWAVVFTDDEGDMMLVGDDPWPEFCKMVKKILIYSSEEVKKMSTRSKLHASSLEDEGTIASLDSEHKSET >Manes.01G245000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40532506:40538128:-1 gene:Manes.01G245000.v8.1 transcript:Manes.01G245000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVETNFGSDSLSRDERGGDDLYTELWKACAGPLVDVPVPGERVFYFPQGHMEQLEASTNQELTQQIPRFNLPSKILCRVVNIQLLAEQETDEVYAQITLHPEPNQEEPTSPDSRLPEPKKPTVHSFCKILTASDTSTHGGFSVLRKHATDCLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSLMPSSVISRQSMHLGVLATASHAVTTQTLFVVYYKPRTSQFIIGLNKYLEAVNHGFSVGMRYKMRFEGEDSPERSFTGTIVGVGDVSAQWSGSKWRSLKIQWDEPATIQRPERVSPWDIEPFAASASINLPPTVLKSKRPRPLDTPVSEITTNSTTSPFWYRGSAQSHDLSQLGSAAEAQSCEKSQVVWSVRQKEIDSSVVNGSIFCNSRVRTEGIWPPSPHMNVSLSLFFDSADNSRTITQSVASGYVSPVPLRQTDVLMHDQVEKGKKYENSIGCRLFGIDLTSNSNAAPPLEEALCTTVDPNGTGGPLAAPGDSDKAQNMDASKSSEEQKQIASDALPKETHGKLGPTSSTRSRTKVQMQGVAVGRAVDLTVLKGYGDLIKALEEMFEIKGELSTPDKWAVVFTDDEGDMMLVGDDPWPEFCKMVKKILIYSSEEVKKMSTRSKLHASSLEDEGTIASLDSEHKSET >Manes.12G041459.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3591314:3595363:1 gene:Manes.12G041459.v8.1 transcript:Manes.12G041459.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLMTTFLYSSNFNFSNPRELLIVETIESYDSGREDNKISALISSLKLTLTELN >Manes.03G072400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11739265:11743738:1 gene:Manes.03G072400.v8.1 transcript:Manes.03G072400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQVEIASSAPFGCVLKDHNRRERCSRDAIPKAAASTQAAFQKNLKELVRDHLHTCISISSDENSQNNGNNNNSNNDATDHHHHPRQQFRCLTNHQEDITKNVDGSSLIGCKHERTLDRWAAKQAQEMVSSIESHSQEAELLIASQKTSSSAVENSHTSRNSVVQPESSSGSSNLGASSLVQIWEARLSRPEPKMDRSNSMKNNQNTAPGPSRTVSRTSSGSSFAEIISSSVEEPPRPSDILEPPPRRSDIIEEPPRRSDTVEEPPRRSDIIEEPPRQSDIVDSAAHEEPLADWDSTAQSSRSSNVRSSDAGEKEKVKIADIIKRLTSESHDQEQGNSGGDPPNRRHSYGSDLVELKALSHIINSPKIRGRQAYNDLLLQMVQERQRELVSLAERQSVSKFHQRGRIQSILRLRCLQRGTAIQEQRSHSVQSTVASDANRSHQSATIMHLREIFTAGVEQVRSSANDGAATDGSSNDTANNIVDNGNPSTVHHVTEDSHHQDTSTTTTATETATATATATATATAAAAEEQVAPPADNSFSRTSEIHEDDVHENSSATSDVTWEGTCSEGNNFDPGEVLESAQSSNNWDGNEMNDEESVVHHNFEESNDNWFADIARPRSYWEDRRQAWYQEMLSTSSENNEIRQLLERRAVSTCLSSDFKEKMDRLMVSRVRIQADCDEQLDEESQERMGQLLLSFFQRHSSSESGSSEEEIQEADEEGREGGEEADEEEYAEGGRLLQVHEEESSPSINSPSQFRSWNYSDHHEVADDSEQLPTAPSQPILPSESSNQDRGLFSPAINSSMGMELIYNLRGHMEQLQLEISELRRSMQTCMAVQAKLLNSFKQEVHPVPEATMNSIDMATKRRICCICYEMQVDSFLYRCGHMCTCLKCAHELQWSSGKCPICRAPILDVVRAYMDS >Manes.14G091900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7595194:7600278:1 gene:Manes.14G091900.v8.1 transcript:Manes.14G091900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNKGQNSIASVAESLLVSISEIIESAALEEVEQETFAEIGCYFYRASFSIMELQTTENTPENAMDVLESLSSAINVAKHLMNKCQRGNSSKSDSELKSTIQQLEEVINHMGEHLSLIPSSTFQNQKYAEVSVRSLSNEMKHAHFEVGQNQESQTKELEPQKSLSEEEHNHHEEPVQTESDLYSINVEVSRDNFQFLNKPYLIEFLKNKSLSSQSELNNRSMSSSALPQTIGYIEPLYKTFYCPLTNQIMDDPVTIESGVTYERHAIIEWFEEFDNSEEILCPTTGKKLLSTVLRPNIALKTTIEEWKARDEEAKIKVACAALSLGSSASMVFEAIRDLQGICARKQYNKVQVCNAGVLPLLVKFLEYKDRDVRCAVLELLRELADDDDGKEMIAKMADISTVIKMLSSGHQPIRHAALLLLLELSRSQALCQKIGSVPGGVLMLIRCKYHHSVDAFSSEKADEILRNLEKSPENIKHMAENGLLEPLLSHLIEGSEEIQMEMASYLGEIALGNDSKTYVAEKASPTLIQMVHRGNTLSRSAAFKALAQISSYSSNARILTKAGIIQVMAEEMFTRRIYDEPMNSRNEAAAILANIFEAGIEFQNLQVNTHGHKITSDYVLYNIIHMLKNSTPDELNVNLLRIVLSLLKDPNAVNNIVSVVKESEASYTLIELINNPQEELGVAAIKLLIELSPHMGHTLVERLCKTRGQPESLILGHMTTQISERHAVSAKFLAKLPHQNLTLNLALLRKNTVPTVVQTINQLQISGIRTSRYASAYLEGLVAILVRLTTTLYEPEFLSLARKYNFTSLFTELLMKTSSDEVQRLSAIGLENLSEESINLSKPQQMKKSKSWKQALPKFLYLGSSKKRKESICPVHRGACSSQNTFCLIDAKAVERLLACLDNENVVVVEAALSAICTLLDDKVDVEKSVSMLSEVNAIHHVLNVVKEHRGEGLWQKSFWIIERFLMKGGDKSASDISQDKLLPATLISAFHHGNGNTRQMAEKILSLLNKVPGIPTAGYTL >Manes.14G091900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7595190:7600564:1 gene:Manes.14G091900.v8.1 transcript:Manes.14G091900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTTENTPENAMDVLESLSSAINVAKHLMNKCQRGNSSKSDSELKSTIQQLEEVINHMGEHLSLIPSSTFQNQKYAEVSVRSLSNEMKHAHFEVGQNQESQTKELEPQKSLSEEEHNHHEEPVQTESDLYSINVEVSRDNFQFLNKPYLIEFLKNKSLSSQSELNNRSMSSSALPQTIGYIEPLYKTFYCPLTNQIMDDPVTIESGVTYERHAIIEWFEEFDNSEEILCPTTGKKLLSTVLRPNIALKTTIEEWKARDEEAKIKVACAALSLGSSASMVFEAIRDLQGICARKQYNKVQVCNAGVLPLLVKFLEYKDRDVRCAVLELLRELADDDDGKEMIAKMADISTVIKMLSSGHQPIRHAALLLLLELSRSQALCQKIGSVPGGVLMLIRCKYHHSVDAFSSEKADEILRNLEKSPENIKHMAENGLLEPLLSHLIEGSEEIQMEMASYLGEIALGNDSKTYVAEKASPTLIQMVHRGNTLSRSAAFKALAQISSYSSNARILTKAGIIQVMAEEMFTRRIYDEPMNSRNEAAAILANIFEAGIEFQNLQVNTHGHKITSDYVLYNIIHMLKNSTPDELNVNLLRIVLSLLKDPNAVNNIVSVVKESEASYTLIELINNPQEELGVAAIKLLIELSPHMGHTLVERLCKTRGQPESLILGHMTTQISERHAVSAKFLAKLPHQNLTLNLALLRKNTVPTVVQTINQLQISGIRTSRYASAYLEGLVAILVRLTTTLYEPEFLSLARKYNFTSLFTELLMKTSSDEVQRLSAIGLENLSEESINLSKPQQMKKSKSWKQALPKFLYLGSSKKRKESICPVHRGACSSQNTFCLIDAKAVERLLACLDNENVVVVEAALSAICTLLDDKVDVEKSVSMLSEVNAIHHVLNVVKEHRGEGLWQKSFWIIERFLMKGGDKSASDISQDKLLPATLISAFHHGNGNTRQMAEKILSLLNKVPGIPTAGYTL >Manes.14G091900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7595190:7600564:1 gene:Manes.14G091900.v8.1 transcript:Manes.14G091900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNKGQNSIASVAESLLVSISEIIESAALEEVEQETFAEIGCYFYRASFSIMELQTTENTPENAMDVLESLSSAINVAKHLMNKCQRGNSSKSDSELKSTIQQLEEVINHMGEHLSLIPSSTFQNQKYAEVSVRSLSNEMKHAHFEVGQNQESQTKELEPQKSLSEEEHNHHEEPVQTESDLYSINVEVSRDNFQFLNKPYLIEFLKNKSLSSQSELNNRSMSSSALPQTIGYIEPLYKTFYCPLTNQIMDDPVTIESGVTYERHAIIEWFEEFDNSEEILCPTTGKKLLSTVLRPNIALKTTIEEWKARDEEAKIKVACAALSLGSSASMVFEAIRDLQGICARKQYNKVQVCNAGVLPLLVKFLEYKDRDVRCAVLELLRELADDDDGKEMIAKMADISTVIKMLSSGHQPIRHAALLLLLELSRSQALCQKIGSVPGGVLMLIRCKYHHSVDAFSSEKADEILRNLEKSPENIKHMAENGLLEPLLSHLIEGSEEIQMEMASYLGEIALGNDSKTYVAEKASPTLIQMVHRGNTLSRSAAFKALAQISSYSSNARILTKAGIIQVMAEEMFTRRIYDEPMNSRNEAAAILANIFEAGIEFQNLQVNTHGHKITSDYVLYNIIHMLKNSTPDELNVNLLRIVLSLLKDPNAVNNIVSVVKESEASYTLIELINNPQEELGVAAIKLLIELSPHMGHTLVERLCKTRGQPESLILGHMTTQISERHAVSAKFLAKLPHQNLTLNLALLRKNTVPTVVQTINQLQISGIRTSRYASAYLEGLVAILVRLTTTLYEPEFLSLARKYNFTSLFTELLMKTSSDEVQRLSAIGLENLSEESINLSKPQQMKKSKSWKQALPKFLYLGSSKKRKESICPVHRGACSSQNTFCLIDAKAVERLLACLDNENVVVVEAALSAICTLLDDKVDVEKSVSMLSEVNAIHHVLNVVKEHRGEGLWQKSFWIIERFLMKGGDKSASDISQDKLLPATLISAFHHGNGNTRQMAEKILSLLNKVPGIPTAGYTL >Manes.14G091900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7595194:7600278:1 gene:Manes.14G091900.v8.1 transcript:Manes.14G091900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTTENTPENAMDVLESLSSAINVAKHLMNKCQRGNSSKSDSELKSTIQQLEEVINHMGEHLSLIPSSTFQNQKYAEVSVRSLSNEMKHAHFEVGQNQESQTKELEPQKSLSEEEHNHHEEPVQTESDLYSINVEVSRDNFQFLNKPYLIEFLKNKSLSSQSELNNRSMSSSALPQTIGYIEPLYKTFYCPLTNQIMDDPVTIESGVTYERHAIIEWFEEFDNSEEILCPTTGKKLLSTVLRPNIALKTTIEEWKARDEEAKIKVACAALSLGSSASMVFEAIRDLQGICARKQYNKVQVCNAGVLPLLVKFLEYKDRDVRCAVLELLRELADDDDGKEMIAKMADISTVIKMLSSGHQPIRHAALLLLLELSRSQALCQKIGSVPGGVLMLIRCKYHHSVDAFSSEKADEILRNLEKSPENIKHMAENGLLEPLLSHLIEGSEEIQMEMASYLGEIALGNDSKTYVAEKASPTLIQMVHRGNTLSRSAAFKALAQISSYSSNARILTKAGIIQVMAEEMFTRRIYDEPMNSRNEAAAILANIFEAGIEFQNLQVNTHGHKITSDYVLYNIIHMLKNSTPDELNVNLLRIVLSLLKDPNAVNNIVSVVKESEASYTLIELINNPQEELGVAAIKLLIELSPHMGHTLVERLCKTRGQPESLILGHMTTQISERHAVSAKFLAKLPHQNLTLNLALLRKNTVPTVVQTINQLQISGIRTSRYASAYLEGLVAILVRLTTTLYEPEFLSLARKYNFTSLFTELLMKTSSDEVQRLSAIGLENLSEESINLSKPQQMKKSKSWKQALPKFLYLGSSKKRKESICPVHRGACSSQNTFCLIDAKAVERLLACLDNENVVVVEAALSAICTLLDDKVDVEKSVSMLSEVNAIHHVLNVVKEHRGEGLWQKSFWIIERFLMKGGDKSASDISQDKLLPATLISAFHHGNGNTRQMAEKILSLLNKVPGIPTAGYTL >Manes.11G017450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1825935:1827041:1 gene:Manes.11G017450.v8.1 transcript:Manes.11G017450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSKKKKGLTDLDGLPKQCEEGVADKTTGEEDEDADSTIGEEAEDSSDGEESEEGSPFDPYKLGSEVIVFDPSEGNRDGYGSDDTDYEGEQRDVYLKYRRQYRESEGFDFDDYPKPIKGEMFFGVARHVNLEDEDGFYTKGCREALAYAVQEQNKKGANLRHLEIIKANVESIGLYHITFKAEDTKLGETKVYQTKVFYSLVPDRHQDQVFIFRLKEDDKAN >Manes.10G116000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28156069:28159332:1 gene:Manes.10G116000.v8.1 transcript:Manes.10G116000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGKTNKNAFKALIAAEYSGVKVQLVENFEMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVTRLKADNPLYGSSLIDYARIEQWIDFASLEIDANLLAWLRPRMGFAPYLPPAEEAAISGLKRGLGALNTHLASNTFLVGHSVTLADIVTTCNLYMGFARLMTKSFTSEFPHVERYFWTMVNQPNFKKILGDVQQTESVLPVLSKKPAPSKESAKPKPKEEPKKEAKKEKEPAKPKEAAVEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGENPPYKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDEAQKERVNQMIEDYEPFEGEPLLDAKCFK >Manes.10G116000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28156138:28158969:1 gene:Manes.10G116000.v8.1 transcript:Manes.10G116000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHAGKTNKNAFKALIAAEYSGVKVQLVENFEMGVSNKTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVTRLKADNPLYGSSLIDYARIEQWIDFASLEIDANLLAWLRPRMGFAPYLPPAEEAAISGLKRGLGALNTHLASNTFLVGHSVTLADIVTTCNLYMGFARLMTKSFTSEFPHVERYFWTMVNQPNFKKILGDVQQTESVLPVLSKKPAPSKESAKPKPKEEPKKEAKKEKEPAKPKEAAVEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVSGFLQRMDLARKYAFGKMLVIGENPPYKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDLSDEAQKERVNQMIEDYEPFEGEPLLDAKCFK >Manes.08G132700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37000730:37004521:-1 gene:Manes.08G132700.v8.1 transcript:Manes.08G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGVPDQFHQFIASRTSLPLPHSFSPLHGSSSTSTATFSSFDPYTSHHQQLPLHHHHHHHHQQQHHQQPNFLHPLHQSSPPASKNEDKEESNMAAINLEIVRERSLQESIDPWSNDEVLALLRIRSSMENWFPEFTWEHVSRKLAELGFKRSAEKCKEKFEEESRYFNNINCSKNYRVFVELEEIYHGDNQNPQGMAVGKNKKMDEAAEEEDKMEQNVEEDSRIDQTVGNPSEGNGKGVEKSKNKKRKRQKKFDMFKCFCEDIISKIMAQQEEMHNKLLEDMVKRDEEKLAREEAWKKQEMDRINKELELRAQEQALEGDRQEKIINFLKKFSSSTGSCIEILREVNAQYLLKGTNSSNPSSSSSLMLQQNPNPTSQTNDQNKPETPISITIGLTSLMPENVASLNPSSTLGASSSPSSQAPQTQNSNYPNFQSNPLSTETVQKKATSNDKEDLGKRWPKDEVLALINLRSNLYNSNEDKETTVKAPLWERISQGMSELGYKRSAKRCKEKWENINKYFRKTKDVNKKRSVDSRTCPYFDQLNTLYNQGTLIIAPSSDQGPQKLRLPASPEKHSAYNTLMSSSQNGYANSTLHNVGDEGEKNLVHDQPALDFEF >Manes.15G050500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3869460:3871767:-1 gene:Manes.15G050500.v8.1 transcript:Manes.15G050500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQASHNPHVSISASSLTSDSKLQIQKPYLITSLTSDPKLQIQKPYLITCKILINPSKKISPPKQLLLSPPSLHETLVPPLLQPQPVEPCTPLPPHKTSYPSDLNPFQKLAASALDKIEASLLVPLERKYQLPKTVDPVVQISGGHHLFDGDGMIHAVTLESGNRASYCCRYTRTSRFEQEAALGRSLFPKPIGELHGHLGLARLMMFMARAGLGLIDGSRGGGVANAGLVYFNGRLLALSEDDLPYHVKIKGDGDLETIERYNFRDQLNCSMIAHPKLDPVTGGLHALSYNVTTKPYLKYFKFDRHGKKSRDLSISLDQPTMIHDFAITRNFVVIPDHQVVFKLSEMIRGGSPVIYDRNKATRFGVLPKNADNESRILWTDVPNCFCFHLWNSWEEVASNGDKIIVVIGSCMNPPDSIFNESQIPVQSELSEIRLNLNTRESTRTAVVSGMNLEAGQVNRKLLGEKTRFVYLAIAEPWPKCCGIAKVDLQSREVTKFMYGEGRFGGEPFFVAKNDGIGKEDEGCVMGYVRDEMKERSELVIINASTMKQVATVKLPTRVPYGFHGTFVSEDELSGQAEF >Manes.10G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5255830:5257697:-1 gene:Manes.10G047800.v8.1 transcript:Manes.10G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFKFAWEIFLLHLLLLLCAHGSHGEAKEMMNGVLRLHSMDVEDGAPDKTMHRDHHVHANMDHMDPSWMVFFTVNDLKIGKKMPVYFPKKDSSSSPPLLSRDEANSIPFSSQDLPYLLRFFSFSPSSPQAKAMEHTLRECEIKPINGETKICATSLESMLDFARETFGLETQFKVISTTHLTKLSTLLDNYTILEEPKEIPVPKMVACHTMPYPYKVFYCHSQKTENKVFVVSLGGENGGRVEGVAVCHMDTSQWSSNHASFRVLGIEPGTSPVCHFFRGDNLVYVPVMPLNA >Manes.03G036900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3228273:3231297:1 gene:Manes.03G036900.v8.1 transcript:Manes.03G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAVPFSIDKMICNKSPVTAHMEISGLKRMADKATLISNSARNPNTPFGSVCSQSGIGQVAVAEDVSCRNLCSQSVAIDTSNICFEEVLALDAESNRDPMVVEKTVGSFETTATSHVREPKVELEPVDDIVSVADIEGEDGYGSDPKSSADVPEEKKITMTGSVSAFESCSRPLWGFTSTCGRRPEMEDNYAAVPGFFRIPTQMLMDDLVNGMNQKLGCSSAHFFGVYDGHGGSQVANYCSKRIHLVLANELEIAQAGLCDGSTRSSWQEQWKKAFLNCFLQVDAETGGSCRGITGSITGHSEAQLESIAPETVGSTAVVAIVCTTQIIVSNCGDSRAVLCRGKVAIPLSVDHKPDREDEYARIEAAGGKIIQWNGSRVFGVLAMSRSIGDRYLKPWIIPDPEVMFVPRTKEDECLILASDGLWDVMTNQEVCDIARRRILLWHKRNGDNLSAERGEGNDPAAQAAAEYLSKLALQRGSKDNITVIVVDLKAQRKLKKKA >Manes.07G047201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5391050:5398142:-1 gene:Manes.07G047201.v8.1 transcript:Manes.07G047201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISQLHIVLPVICLIIGEFALNGKAQMVDCNESDREALIDFKKGLEESEDRISSWRGSNFCQWWGITCDNNTGAVVTVDLHNQYPSGYDASGRYGNWNLSGEIRPSLTKLKSLRYLDLSFNTFNGTIPDFLSSLENLQYLNLSNAGFRGAVPPNLGNLSRLQYLDLSLSFPYNLSVNNFEWVTGLVSLKYLEMTGSNLSMVGLRWIEAFNRLPHLTELHLSSCGLSTFTSTLTFVNFTSLAVLDLQGNQFNSMLPSWLVNISSLVSLDISSSSLYGRIPLGFGELTNLQSLNLGNNDNLTASCSQLLGGSWKKIEVLDFELNKLHGSLPASLGNMTFLTRLNLFHNGIKGGIPDSIGRLCNLQYIDLSANNLTGSIPEGIENCPSKGPLPSLQQFIASDNQLVGNLPDWLGQLTSLVELDLQWNSLQGPIPASLGNLQHLSELRLEANKLNGSLPESLGQLSNLSALDVSINELTGVISETHFSRLSKLQLLLLSENSFILNLNSHWIPPFQLWYLELGSCHLGPLFPEWLRSQKELNYLHFPNASVSGSIPEWFWEMSGNLSVLNISFNQLEGQLPNPFNIAPFALLDLSSNLFYGPIPLPSAEINLLDLSNNQFSGPIPDNIGKIMPNLVFLSLSNNQITSEVPVSIGEMKSLQVVDLSRNNLTGSIPPSIGNSSLLSVLDLQKNNLSGEILASLGQLNLLQTLHLNNNRFSGEIPSTLQNLSFLETLDLGNNSSLQVLDLAENKLNSTIPASFGDLKAMTQQQTVNIYLFYGSYMTQYYQENFAVNMYGQPLVYTKTLSLLTSIDLSGNNLHGELPEQITKLVGLVVLNLSGNHISGRIPNSISELRQLLSLDLSDNNFSGLCGGPLTVKCSDGGVTGDSDGRRNADSDRDDSFIDKWFYLSIGLGYAAGLLLPYLTFAIRTSWGDIYFGFVDKIVAKLLEFL >Manes.07G133004.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33494132:33496235:-1 gene:Manes.07G133004.v8.1 transcript:Manes.07G133004.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPALNFKSLNVPRPNLAPLRISDILLAADDDDVLDELSIMSESECSRSICCPSPHRIVARWISGLRRTRVKRIPGEHVKKEKETESKMDEDEKGLGDRAVQARCSMNGLNGLGEHVKKEKETESKMDEDEKGLGDRAVQARCSMNGLKGGNSVSTTGESRKDTSFNMGVGCCLLYLIAASKNELNKIVQMRLQMETLLQNTSEELINKSNISKLSKPNDMFACSDTDSPQGPQFESQYIP >Manes.02G179700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14273019:14275107:1 gene:Manes.02G179700.v8.1 transcript:Manes.02G179700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGLSASISRSILSLRRDQIHSMEPTHEASGHDLELEAFQRQVADRFLDLSSVGSDDLLSLSWIRKLLDSFLCCQEEFRVILFNNRSQVYKAPLDRLINDFFERSVKALDLCNAIRDGIEQIREWKKLIEIVLCALDNRRILGEGQFRRAKKALIDLALSMLDERESTAALAHRNRSFGRQNAASSRDHRNFGHFRSLSWSVSRSWSAARQLQAIGNNLAAPKANEIVATNGIAVAVYTMNSILLFVMWALVAAIPCQDRGLQVHFSIPRQFSWAVPILSLHERVLEESRKRDRRNACGLLREIYQMDKCTKALAEMADSVQFPLTEEKEAEVRLRVQELGKVCEVIKEALDPLERQVRDVFHRIVHSRTEGLDSLGRVNHND >Manes.08G055600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6084301:6084668:-1 gene:Manes.08G055600.v8.1 transcript:Manes.08G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQQYYFFPTDFFYPRPQSVRVDTAQKSALPLQIQKRDISDDLKHPTSLSLVLYTNNHANKASAAISKIRSA >Manes.18G040400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3579330:3580855:-1 gene:Manes.18G040400.v8.1 transcript:Manes.18G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGECCSSSSSTSPTTTTEKRKHRQQQDKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPIAAARAYDTAVFYLRGPSARLNFPDLIYQDDELRDISAASIRKKATEVGAQVDALQQTGLHASENNSNRVVSEKPDLNQYPTPENSDDE >Manes.04G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4141504:4148113:-1 gene:Manes.04G032500.v8.1 transcript:Manes.04G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSDDAVVIQKGKKPGEPHVVTVNCPDKTGLACDICRIILDFGLYITKGDVSTDGKWCYLVFWVVPHSRLLVRWSNLKNRLLSVCPSCSVSFYLNHQPPPSTSSPVYLLKFFCLDRKGLLHDVTQVLSELELTIQSVKVTTTPDGRVLDLFFITDNMELLHTKERQDETCEQLHAVLGESCISCELQLTGPEYECHQGMCSLSPVIAEELFQFDISDKEIHSQALSPDMTKLKKTNVNIDNSLSPAHTLLQVHCIDHKGLLYDVMRTLKDCNIQIAYGRFSANNNGYRDLDLFIQQKDGKKIVDPQKQSGLCSRLKVEMLHPLRVIIANRGPDTELLVANPVELSGKGRPRVFYDVTHGLKSLGICIFSAEIGRHSASDREWEVYRFLLEESIKFQSSIARNQIVDRVRRTLMGW >Manes.02G146100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11232371:11245888:-1 gene:Manes.02G146100.v8.1 transcript:Manes.02G146100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTTMNKNANIEASQGDGVAAQSPAAPPPQGQSENIGSRAGNTVYKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPNAVTQKGSEANLTLGGIDLNSSGSVVVKSEKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKAALENALARAPSAPVMAPNGIVKNDQNDGTDASSDHCAVTLKDKVSMKALVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVRVEGILRQAAAVDDVEHRIREYEQGKSEFSPGEDAHVIADCIKFFLRELPSSPVPASCCKALLEAFRKDRGNSVNAIRVAILETFPEPNRRLLQRILLMMQKVASHKAENRMSTSAVAACMAPLLLRPLLAGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDKIFSLLQEGVMSPGLYSDSEERESEDEEVTDDGEYYEDDDQNDASQESDAYSDDDLGNASTGTESGDSGDKDMSDNKGDDHRSTAKSTQVENDCRVEESSNSVQILLPTDRDVERSENILGQSNNNLATQIIQSDEKPGDDPRETILENTQTDDPSACIQKSASMSNGQQHKPANVLDRVYAKTDISMAPIDCTSDDEAEIQKLESAKIDLQRRIYEEVKGNAVLQANLEKRKKALHERRVALVQEVARLQEELQKEREKTMFLEAGLDESQAHQSVSAFFDEEMKAELEELSQPEADVSNLKQKVDDLSVQQCEQDSSNQAKRKDKQRDIDANAISYFGRSAYKDNYADESTNLPNKTPPQNQQPDPARSSNSKSSTTSKKSSLRSEGLNSTTSALTKLTTRLNFLKERRGQLASELQNIASGRSSGQAAATNKVRGSDVRQSPQLPDKSQGSEVHSVQNPEKGRGSESSGIEGQ >Manes.01G152100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34019685:34021556:1 gene:Manes.01G152100.v8.1 transcript:Manes.01G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANVSQLDQEQLIEKLEIFKIHGRDKQGRKVLRIIGKFFPARFLSVDVLKSYLEEKIYPRLGKKPFSVLYIHTGVQRSENFPAISALRSVYDAIPINVKDNIQAVYFLHPGLQARLFLATFGRLLFSGGVYGKLRYVNRIDYLWEHIRRNEVEIPEFVHDHDEDLEYRPMMDYGLESDHPRVYGADAPTTDSPVCMYSMRCLS >Manes.15G098600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7697083:7701335:1 gene:Manes.15G098600.v8.1 transcript:Manes.15G098600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAITTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINTAMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEVMQEKFTEKIEQVHTAYQKVAKRCQMMEHEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPTGNFYSRNEPDFFPNPATTMMDNREPMRKDWSVFNPPTPGPREDVWPARQNSSNSGPFEISGGSPAKQAAIPIDAGNRRAGVHPAFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.15G098600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7697083:7701335:1 gene:Manes.15G098600.v8.1 transcript:Manes.15G098600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAITTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINTAMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEVMQEKFTEKIEQVHTAYQKVAKRCQMMEHEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPTGNFYSRNEPDFFPNPATTMMDNREPMRKGPREDVWPARQNSSNSGPFEISGGSPAKQAAIPIDAGNRRAGVHPAFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.15G098600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7697083:7701335:1 gene:Manes.15G098600.v8.1 transcript:Manes.15G098600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAITTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINTAMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEVMQEKFTEKIEQVHTAYQKVAKRCQMMEHEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPTGNFYSRNEPDFFPNPATTMMDNREPMRKDWSVFNPPTPGPREDVWPARQNSSNSGPFEISGGSPAKQAAIPIDAGNRRAGVHPAFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.15G098600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7697083:7701335:1 gene:Manes.15G098600.v8.1 transcript:Manes.15G098600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAITTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINTAMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEVMQEKFTEKIEQVHTAYQKVAKRCQMMEHEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPTGNFYSRNEPDFFPNPATTMMDNREPMRKDWSVFNPPTPGPREDVWPARQNSSNSGPFEISGGSPAKQAAIPIDAGNRRAGVHPAFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.15G098600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7697083:7701335:1 gene:Manes.15G098600.v8.1 transcript:Manes.15G098600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAITTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINTAMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEVMQEKFTEKIEQVHTAYQKVAKRCQMMEHEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPTGNFYSRNEPDFFPNPATTMMDNREPMRKDWSVFNPPTPGPREDVWPARQNSSNSGPFEISGGSPAKQAAIPIDAGNRRAGVHPAFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.15G098600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7697528:7701335:1 gene:Manes.15G098600.v8.1 transcript:Manes.15G098600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAITTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINTAMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEVMQEKFTEKIEQVHTAYQKVAKRCQMMEHEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPTGNFYSRNEPDFFPNPATTMMDNREPMRKDWSVFNPPTPGPREDVWPARQNSSNSGPFEISGGSPAKQAAIPIDAGNRRAGVHPAFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.15G098600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7697528:7701335:1 gene:Manes.15G098600.v8.1 transcript:Manes.15G098600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAITTTCGHLLCTEDASKILSNDAACPICDQVLSKSLMKPVDINPNDEWINTAMAGVSPQILMKSAYRSVMFYIGQKELEMQYKMNRIVAQCRQKCEVMQEKFTEKIEQVHTAYQKVAKRCQMMEHEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSDYESMKRSAIQPTGNFYSRNEPDFFPNPATTMMDNREPMRKGPREDVWPARQNSSNSGPFEISGGSPAKQAAIPIDAGNRRAGVHPAFGAGPGNTSMTLRNLILSPIKRPQLSRSRPQMFTL >Manes.01G135701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32841760:32842443:1 gene:Manes.01G135701.v8.1 transcript:Manes.01G135701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLLLCIQTMGTFLFTPIGEQNCRISCSSCFWIFHPNLSANSIILSFYSWLNFVLNLFFNNDGCINLAPCKFVYSNVLRSSLDDSVRTTDTSSPSEAHQNGCSRSPGGAHRKPMRVSLTSRICSS >Manes.08G075650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15643458:15647994:-1 gene:Manes.08G075650.v8.1 transcript:Manes.08G075650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNMKKLLARNFSKIFLVVAVIALIISSVEAKGRGGVGGRGRSSFAAGTGGGMVRGSRGKGSGSAAVSWGAASKFGFIHNSKRRTLKLMLGLSEMVGGKKTA >Manes.08G075650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15643458:15647994:-1 gene:Manes.08G075650.v8.1 transcript:Manes.08G075650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNMKKLLARNFSKIFLVVAVIALIISSVEAKGRGGVGGRGRSSFAAGTGGGMVRGSRGKGSGSAAVSWGAASKFGFIHSNSKRRTLKLMLGLSEMVGGKKTA >Manes.04G145050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34297328:34306769:-1 gene:Manes.04G145050.v8.1 transcript:Manes.04G145050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSFSSDNEQSQEAEEEEERRYLPLYKAALRGDWITAKRIFDDDPAAVTAKISGIGEITLHVAISRGRSSLRFIQMLVELMPEHSLETTNIHGETPLHYAAIAGNIQAISLLVEKNPALLQIVNFDGLTPLHFAAQCCHKEAVSLLLSMTDLSALSGTNGVRLVNLLIIAESYDTAFDLLRRYPDLAIGRDDQRVTALETLARKPHAFPSGSKLGFCERLLYRFVSVDLHAVHRGGDLENRADVSEASQKESMKFGFLDNIRNTKLKHNQALELLSFLITEALNARPSETRMLLKNPLFTAATLGIYEVVVEIIKAYPESVWFVDNAYRRNIFHLAIIHRQKFIFSILKGPSPQNKHLVTSSTDHEGDNVLHLAARLGPLDEIPGAALQMHSDMQWFKEVETIVEPFYREMRNRNGMTPGEIFRQEHKNLAREAEQWMKTIASSCMVVPTLVVTVTFAAAFTVPGGNTQDTGIPIYLKETSFMIFAVSDALAFFSTSASLLMFICIFNLDYSEEITVRTLKLLILGFITLFFSIVTMFAAFGASLYIVLSHRVEWVAAPIGLLACVPVALFAYFQFPQWYSVAYSAFKPSIIAPQTEEIIF >Manes.04G145050.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34297329:34306770:-1 gene:Manes.04G145050.v8.1 transcript:Manes.04G145050.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELMPEHSLETTNIHGETPLHYAAIAGNIQAISLLVEKNPALLQIVNFDGLTPLHFAAQCCHKEAVSLLLSMTDLSALSGTNGVRLVNLLIIAESYDTAFDLLRRYPDLAIGRDDQRVTALETLARKPHAFPSGSKLGFCERLLYRFVSVDLHAVHRGGDLENRADVSEASQKESMKFGFLDNIRNTKLKHNQALELLSFLITEALNARPSETRMLLKNPLFTAATLGIYEVVVEIIKAYPESVWFVDNAYRRNIFHLAIIHRQKFIFSILKGPSPQNKHLVTSSTDHEGDNVLHLAARLGPLDEIPGAALQMHSDMQWFKEVETIVEPFYREMRNRNGMTPGEIFRQEHKNLAREAEQWMKTIASSCMVVPTLVVTVTFAAAFTVPGGNTQDTGIPIYLKETSFMIFAVSDALAFFSTSASLLMFICIFNLDYSEEITVRTLKLLILGFITLFFSIVTMFAAFGASLYIVLSHRVEWVAAPIGLLACVPVALFAYFQFPQWYSVAYSAFKPSIIAPQTEEIIF >Manes.04G145050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34297329:34306770:-1 gene:Manes.04G145050.v8.1 transcript:Manes.04G145050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSFFSDNEQSQEAEEEEERRYLPLYKAALRGDWITAKRIFDDDPAAVTAKISGIGEITLHVAISRGRSSLRFIQMLVELMPEHSLETTNIHGETPLHYAAIAGNIQAISLLVEKNPALLQIVNFDGLTPLHFAAQCCHKEAVSLLLSMTDLSALSGTNGVRLVNLLIIAESYDTAFDLLRRYPDLAIGRDDQRVTALETLARKPHAFPSGSKLGFCERLLYRFVSVDLHAVHRGGDLENRADVSEASQKESMKFGFLDNIRNTKLKHNQALELLSFLITEALNARPSETRMLLKNPLFTAATLGIYEVVVEIIKAYPESVWFVDNAYRRNIFHLAIIHRQKFIFSILKGPSPQNKHLVTSSTDHEGDNVLHLAARLGPLDEIPGAALQMHSDMQWFKEVETIVEPFYREMRNRNGMTPGEIFRQEHKNLAREAEQWMKTIASSCMVVPTLVVTVTFAAAFTVPGGNTQDTGIPIYLKETSFMIFAVSDALAFFSTSASLLMFICIFNLDYSEEITVRTLKLLILGFITLFFSIVTMFAAFGASLYIVLSHRVEWVAAPIGLLACVPVALFAYFQFPQWYSVAYSAFKPSIIAPQTEEIIF >Manes.04G145050.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34297329:34306770:-1 gene:Manes.04G145050.v8.1 transcript:Manes.04G145050.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSFFSDNEQSQEAEEEKETRYLPLYKAALRGDWITAKRIFDDDPAAVTAKISGIGEITLHVAISRGRSSLRFIQMLVELMPEHSLETTNIHGETPLHYAAIAGNIQAISLLVKKNPALLQIVNFDGLTPLHFAAQCCHKEAVSLLLSIDLSALSGRNGVRLVNLLIIAESYDTAFDLLRRYPNLAIGRDDQRETALETLARKPHAFPSGSKLGFCERLLYRFVSVDLHAVHRGGDLENRADVSEASQKESMKFGFLDNIRNTKLKHNQALELLSFLITEALNARPSETRMLLKNPLFTAATLGIYEVVVEIIKAYPESVWFVDNAYRRNIFHLAIIHRQKFIFSILKGPSPQNKHLVTSSTDHEGDNVLHLAARLGPLDEIPGAALQMHSDMQWFKEVETIVEPFYREMRNRNGMTPGEIFRQEHKNLAREAEQWMKTIASSCMVVPTLVVTVTFAAAFTVPGGNTQDTGIPIYLKETSFMIFAVSDALAFFSTSASLLMFICIFNLDYSEEITVRTLKLLILGFITLFFSIVTMFAAFGASLYIVLSHRVEWVAAPIGLLACVPVALFAYFQFPQWYSVAYSAFKPSIIAPQTEEIIF >Manes.02G178200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14060931:14066849:-1 gene:Manes.02G178200.v8.1 transcript:Manes.02G178200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVPGFSLLSLLCSLQMELSPPPHLLIPRIRSPQHVVRLWNLNLTPSPRRWRRRWRRRWMEVPRASRALEQAGGKMVVELVGAFNELTDRMNVLSTSSSRILFKALKLSIPILQNLPLAPDGRSPLSKALSVALVLADLQMDAEVISASILTQVFEAGAISIHEVSYRMGTGTAHLLHEILRVKHIPLRIEVLDDDGAAALRKFCLTYYDIRAVILDLALKLDMMRHLDYLPRYQQQMLSLQVMKIHAPLAYAVGTNYLSLELEDLSFRYLFPYSYLYVDTWLRSHETGNKSLIDTYMEELHCSLKADPILADMVEDISIKGRYKSRFSTMKKLLKDGRKPEEVNDVLGLRVILSPRSTENMPEVGEKACYRTREIIQSLWKEMPHRTKDYIARPKANGYRSLHMAVDVSDNGKSRPLMEIQIRTVEMDLQAVDGMASHSLYKGGLTDPEEAKRLKAIMMAAAELAALRLRDLPSAKGIEIDHRERVFRLFDKNGDGQISIDELMEVMEELGAPGEDAREMMQLLDANSDGSLSSDEFDTFQKQVEFMRALEDRDDEYKTMLNEKLKMAEDSGLIKLYGQELGNKLAN >Manes.02G178200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14060931:14066849:-1 gene:Manes.02G178200.v8.1 transcript:Manes.02G178200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVISASILTQVFEAGAISIHEVSYRMGTGTAHLLHEILRVKHIPLRIEVLDDDGAAALRKFCLTYYDIRAVILDLALKLDMMRHLDYLPRYQQQMLSLQVMKIHAPLAYAVGTNYLSLELEDLSFRYLFPYSYLYVDTWLRSHETGNKSLIDTYMEELHCSLKADPILADMVEDISIKGRYKSRFSTMKKLLKDGRKPEEVNDVLGLRVILSPRSTENMPEVGEKACYRTREIIQSLWKEMPHRTKDYIARPKANGYRSLHMAVDVSDNGKSRPLMEIQIRTVEMDLQAVDGMASHSLYKGGLTDPEEAKRLKAIMMAAAELAALRLRDLPSAKGIEIDHRERVFRLFDKNGDGQISIDELMEVMEELGAPGEDAREMMQLLDANSDGSLSSDEFDTFQKQVEFMRALEDRDDEYKTMLNEKLKMAEDSGLIKLYGQELGNKLAN >Manes.S036916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:971212:971379:1 gene:Manes.S036916.v8.1 transcript:Manes.S036916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.05G186600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30665781:30673406:1 gene:Manes.05G186600.v8.1 transcript:Manes.05G186600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPQAESHRARARPPVVRRVPLRQLLRVTSIAGGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLVVQPLVGHMSDRCASRFGRRRPFILTGAILIVVAVLIIGHSADIGWLLGDRGNTRPRAIGVFVFGFWVLDVANNTTQGPCRALLADLTGKDHRRTRVANAYFSLFMAIGNILGFATGAFSNWFKVFPFTVTTACNVDCANLKSAFYLDIVFMVITSYLSITAAQESPLGLSNRSTPLAEDVSVESSQEAFLWELFGTFRYFPWPVWTILLVTALNWIGWFPFLLFDTDWMGREIYGGKPNEGQNYNVGVRAGSFALMLNSVFLGITSVLMEKLCRKWGAGFIWGLSNILMALCFLAMLITSYVANHIGYLGHDLPPSGIVISAVVIFAVLGVPLAITYSVPYALISSRIEPLGLGQGLSMGVLNLAIVIPQVIVSLGSGPWDQLFGGGNSPAFAVGGLAALAGGLIAILGIPRSGTQKPRALP >Manes.15G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4460387:4463973:1 gene:Manes.15G058100.v8.1 transcript:Manes.15G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGELKYDMSQNAYIKLVLHALKHKSSAVNGVLIGRSTDDVVEIVDSVPLFHNHLGVLPPLEISLIMIEEYYSAQGLGIVGYFHANERFDDGELLNLAKNIADHIYRYFPRAAILLLDNKKIEALPKEKDRSPVMQLYTRDASKNWKLTGSDGGSRLVLKEPAANIVLLDFISSQKWQDVIDFDDHLDDITKDWLNPDLFK >Manes.11G015824.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1397144:1398864:-1 gene:Manes.11G015824.v8.1 transcript:Manes.11G015824.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAYSIFILSLFVFTIVNLHPVSSEFDEYFFIFGDGLYDSGNTEYIIPDEYLPVYHSPYGNTYFKHGTGRYSDGRLIPDFIAHKVGFPDFIPPALNTSANFTYGANFASEGASVFDIQQNNSLNFRNQVRHFIELIKEWRADLQNITEVNRRLKKAVLLINIGTPDILNANITSNTTDAQLETITTEVIGNISDKIKVLYDLGARKFVFQTPPPLGFLPYVKQTRNDSIISVKLNIVALLVVDELYAALMEIKQLNPAFNFTIFGDFFPIFWRVLLPPLFGFNESRVACCGNGTVRGQGCGVLGYEYCVCGNKTEYLFFDGTHYSEAANKQLVELMWDKKSGYIVPYDLKDFFEISNSTSLKSAT >Manes.11G015824.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1377616:1398828:-1 gene:Manes.11G015824.v8.1 transcript:Manes.11G015824.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAYSIFILSLFVFTIVNLHPVSSEFDEYFFIFGDGLYDSGNTEYIIPDEYLPVYHSPYGNTYFKHGTGRYSDGRLIPDFIAHKVGFPDFIPPALNTSANFTYGANFASEGASVFDIQQNNSLNFRNQVRHFIELIKEWRADLQNITEVNRRLKKAVLLINIGTPDILNANITSNTTDAQLETITTEVIGNISDKIKVLYDLGARKFVFQTPPPLGFLPYVKQTRNDSIISVKLNIVALLVVDELYAALMEIKQLNPAFNFTIFGDFFPIFWRVLLPPLFGFNESRVACCGNGTVRGQGCGVLGYEYCVCGNKREYLFFDGTHYSEATNKQLVEMMWDKKSGFIFPYGVKDFFEISNSTSLKSAT >Manes.11G015824.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1377480:1398861:-1 gene:Manes.11G015824.v8.1 transcript:Manes.11G015824.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAYSIFILSLFVFTIVNLHPVSSEFDEYFFIFGDGLYDSGNTEYIIPDEYLPVYHSPYGNTYFKHGTGRYSDGRLIPDFIAHKVGFPDFIPPALNTSANFTYGANFASEGASVFDIQQNNSLNFRNQVRHFIELIKEWRADLQNITEVNRRLKKAVLLINIGTPDILNANITSNTTDAQLETITTEVIGNISDKIKVLYDLGARKFVFQTPPPLGFLPYVKQTRNDSIISVKLNIVALLVVDELYAALMEIKQLNPAFNFTIFGDFFPIFWRVLLPPLFGFNESRVACCGNGTVRGQGCGVLGYEYCVCGNKTEYLFFDGTHYSEAANKQLVELMWDKKSGYIVPYDLKDFFEISNSTSLKSAT >Manes.12G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:19193277:19211508:-1 gene:Manes.12G094400.v8.1 transcript:Manes.12G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEEAAVGAALGFLLQAIKEAKEKAVFFRRTLQSLQDTLQNVRPIIHEVLKIENAPTDACNRFVQMLKKAEMLVEMYSDISKWKLLKKRKVKKLIQEMDASIQRFMTRDFQAEQLLYLAKINEKMDRVIVLLGLDCDAVNGKEFSTETVTNGKSSKPKIENGSEGQSSNPKFEFHWKSKGKCIDIRFWHDRHD >Manes.06G172700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29638902:29644221:-1 gene:Manes.06G172700.v8.1 transcript:Manes.06G172700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPSEKAAELLQNLSLDSRPKTLEIPEPTKKTSVYQYGSVESGVTANGQIQLSERSVTPFMPDLMDPSVCYIPNVYPSPAYYYGSYNGTGNEWDEYPRYTNSDGVEMASGVYGENGSVMYPNAYGYAPYGPYSPAASPVPTLGNDGQLYGPQHYQYPPYFQPLTASSGPFTPSQAASSQGELASSTVPDQNPLPAETTKGNSSTIGSGGVKGNNGATPVKPAYQNSLLNMNDSNGRGILPGGMPPSGYQDPRFGFDGKYRSTSITSSYTKGNHVPSSRNHFRQNSNYMGLHQTGPMSGMGTSHGFVNGMYPNKFYGPYGNTSRSGMGYGSTGYDSRINANGWLDADGKYKSRGRGNGYFGFHNESIDGLNELNRGPRAKGLKNQKGFGHVTLIVKGQNVLPGETVNEEKDVLPMIPDREQYNKADFPEDYTNAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKPGGCPVYLFFSVNTSGQFVGLAEMVGPVDFHKNVEYWQQDKWTGCFPLKWHIVKDIPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLKMIKIFKDNASKTCILDDFGFYEKRQKIIQEKKTKQQQFQKQVLDGKLEDEKKEMVNGLHKSLETVSDVAKEPTSTALSNGDVKHPENRSVAKTVDAMKASKPLASEKQNVANGVANGC >Manes.06G072000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20837727:20843028:1 gene:Manes.06G072000.v8.1 transcript:Manes.06G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPGGAAVVPIRLHNHKSTPSSPFFGKKLNARPSSRAIFSTHRTLSVLASGGEFFDAVHNFFLGVGVGLPCTVMECGDIIYRSTLPKSNGLTLTAPGAALALAAVSYLWATPGVAPGFFDMFFLAFVERLFRPSFRKDDFVLGKKLGEGAFGVVYRVSLAKKPSSKREGDLVLKKATEYGAVEIWMNERVRRACANSCADFVYGFLERSSKKGDEYWLIWRFEGETTLYDLMQSKEFPYNVEAMILNEVQDLPKGLERENRIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSQSFKIIDLGAAADLRVGINYIPNEFLLDPRYAAPEQYIMSTQTPSAPSPPVATILSPVLWQMNLPDRFDVYSAGLIFLQMAFPGLHTDNSLIQFNRQLKRCDYDLVAWRKSVEPRAGPELRRGFELLDLDGGIGWELLTSMVRYKARQRISAKAALAHPYFDREGLLVLSFMQNLRLQLFRATQQDYGEAANWIIQRMAKSGTEKEGGFTEAQLQELREKVEPRNKASAQRNILASALRLQRKIVRTLNESMDELSRRSKSVWWSRWIPREE >Manes.16G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28553922:28561776:-1 gene:Manes.16G080300.v8.1 transcript:Manes.16G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRAILKRLLSESKQCNHQSCHESSTLLNSSSPVLYTPSRYVSSLSSFASRNPRSGMLLGSKNIVTHNAGGGYYGGVSQVRSISVESLKASDTFPRRHNSAPPEEQTKMAELCGFDNLDSLIDATVPKSIRIDSMKFSKFDEGLTESQMIEHMKKLASKNKVFKSYIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNYQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFIIASNCHPQTIDICKTRAEGFDIKVITMDLKDISYKSGDVCGVLVQYPGTEGEILDYENFIMNAHANGVKVVMATDLLALTMLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIAQRVHGLAGAFALGLKKLGTVEVQSLPFFDTVKVKCVDAHAIADAAVKSEINLRVIDANTITVSFDETTTLEDVDKLFKVFSSGKPVPFTAASLASEVQNAIPSELTRESPFLNHPIFNMYHTEHELLRYIHRLESKDLSLCHSMIPLGSCTMKLNATAEMMPVTFPNFTDVHPFAPVEQAEGYQEMFNDLGDLLCTITGFDSFSLQPNAGASGEYAGLMVIRAYHKSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDAKGNINIEELRKAAEANKDNLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVSTGGIPAPEKTQPLGTISAAPWGSALILPISYTYIAMMGSTGLTDASKIAILNANYMAKRLENYYPILFRGVNGTVAHEFIVDLRGFKNTAGIESEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIRHEIAEIEKGNVDIHNNVLKGAPHPSSLLMGDEWTKPYSREYAAFPASWLRSAKFWPTTGRVDNVYGDRNLICTLLPASQYVEEQAAATA >Manes.01G147600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33740756:33748841:-1 gene:Manes.01G147600.v8.1 transcript:Manes.01G147600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKADRIAPTSPEGSPTIKGYSPLRKVFDKILGFVSSWRRNVTPRGGRISHRDVERDEFQYASTPCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTRSYTKRSLDTLAYGLRYELLQRPILRMWNILNSTSEITTAQVKLSEYVIRRYSKPVSQAEQVELYQVMRDVTWALFASRKALNSITITYRNGFVQAFHRDHRSNNTFYIYSNLVNYSINTNEPYDVDNLSSHQEWNDQSIHGNLSAIWYREPLDPMTGEKKGKASQIPPDDLINIAGFSQVPDGAASWHVAVSKYTDSPLLSAALPVRDASNKSIVAVVGVTTALYSVGQLMRELVEVHRGHIYLTSQEGYLLATSTNAPLLRNSTVGPKLMMAVDSEDKIIKMGAQWLQRVYGNKFPPGHIVHVEDAKLGHQKHYIDTFFLNLKRLPIVGVIIIPRRDIMGKVEERAFKTLVILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASNNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDTEFDLGRELEGLIDMFSVQCINHNVEAVLDLSDDMPKLVRGDSARVVQIFANLISNSIKFTTSGHIILRGWCENSSTFSDTTKFPLDQKKARCAIKPKLRHQGNHIKKASKKEKKAILWFEIDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVHKMGGEIKIVKKNGPGTSMRLCLLLSTTADGVEQHSLVDLARHNVVVLLALYGSMARGIMSQWLHKIGLHSIGVSEWNELTQVLRELFHRRKHNNGFEAQCSLNEPLKAEVLDARNVRGPVFVIVVDIGLLDLSTDIWKEQLNFLDKFSGKATFSWMLNHDTSNAIKMELRRKGHMLMVNKPLYKAKMIQILETIIKEQQKHSLNAVRATTKDDDMHECLDIDSTQFDNASSEDSDMAEMGNSNAQNAFVHGGKQKESGRITCTSQYQTFKERLVDLTDVHLEENNLREDECQIKPKLPDTTEETAILMNNKQTPFSTVSESESSKDQDQQSEISCPKGKANSYSSKAVNEQKDLEGLRILLAEDTPILQRVATIMLEKMGATVVAVGDGVQALNCMLSTKEDRRESLLQDENKGSQSEIQDSLPYDLILMDCQMPKMDGYEATKEIRKSEAGTGLHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTKRTT >Manes.01G147600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33740756:33748841:-1 gene:Manes.01G147600.v8.1 transcript:Manes.01G147600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEMAEKADRIAPTSPEGSPTIKGYSPLRKVFDKILGFVSSWRRNVTPRGGRISHRDVERDEFQYASTPCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTRSYTKRSLDTLAYGLRYELLQRPILRMWNILNSTSEITTAQVKLSEYVIRRYSKPVSQAEQVELYQVMRDVTWALFASRKALNSITITYRNGFVQAFHRDHRSNNTFYIYSNLVNYSINTNEPYDVDNLSSHQEWNDQSIHGNLSAIWYREPLDPMTGEKKGKASQIPPDDLINIAGFSQVPDGAASWHVAVSKYTDSPLLSAALPVRDASNKSIVAVVGVTTALYSVGQLMRELVEVHRGHIYLTSQEGYLLATSTNAPLLRNSTVGPKLMMAVDSEDKIIKMGAQWLQRVYGNKFPPGHIVHVEDAKLGHQKHYIDTFFLNLKRLPIVGVIIIPRRDIMGKVEERAFKTLVILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASNNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDTEFDLGRELEGLIDMFSVQCINHNVEAVLDLSDDMPKLVRGDSARVVQIFANLISNSIKFTTSGHIILRGWCENSSTFSDTTKFPLDQKKARCAIKPKLRHQGNHIKKASKKEKKAILWFEIDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVHKMGGEIKIVKKNGPGTSMRLCLLLSTTADGVEQHSLVDLARHNVVVLLALYGSMARGIMSQWLHKIGLHSIGVSEWNELTQVLRELFHRRKHNNGFEAQCSLNEPLKAEVLDARNVRGPVFVIVVDIGLLDLSTDIWKEQLNFLDKFSGKATFSWMLNHDTSNAIKMELRRKGHMLMVNKPLYKAKMIQILETIIKEQQKHSLNAVRATTKDDDMHECLDIDSTQFDNASSEDSDMAEMGNSNAQNAFVHGGKQKESGRITCTSQYQTFKERLVDLTDVHLEENNLREDECQIKPKLPDTTEETAILMNNKQTPFSTVSESESSKDQDQQSEISCPKGKANSYSSKAVNEQKDLEGLRILLAEDTPILQRVATIMLEKMGATVVAVGDGVQALNCMLSTKEDRRESLLQDENKGSQSEIQDSLPYDLILMDCQMPKMDGYEATKEIRKSEAGTGLHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTKRTT >Manes.15G019150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1607947:1609542:1 gene:Manes.15G019150.v8.1 transcript:Manes.15G019150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFPEHLILHILPFLPTIDTITTSLVSKKWYPLWFLVPSLNFSFTHFPPYSTPSTTRQFCAEFVGHIVCRSHSLSSNSTLISSLKIATLSMWIPGFIIQSRIKRKNDLNFFVKESFYVDEPHLRDTYDFPFSVLRNEKVRDLKLTRCDLALPTNLRLLLMKSIYLDEIYLTNQMALILISGCPNLEVLGLGNCTWIL >Manes.17G018837.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:8927331:8927651:1 gene:Manes.17G018837.v8.1 transcript:Manes.17G018837.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEAPKVTTSEKDYLHYDYCGKPRHTNKTCWKLHRRPTRGHGGKRPPSTKAQANGLEAIESSTKIVSNEPLFAHDIEKLKRLLSHLDSSSNATSNFVKSGNVSYLTSW >Manes.11G052800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6583436:6589940:1 gene:Manes.11G052800.v8.1 transcript:Manes.11G052800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCLGASGIKLPKLDFDRVRVGNLRQLNGTRTWLGRRQVQYVGLTVSYEPKKVFSICCGPKLETESATNRVDDFKGIESSGLTSQLIPNSSEVEALVSEICNTTSIAEFEMKVGGFRLYVMRDLTEKSKLPPLPTLAPASAPAPAPSNSTALASPSVSVDTISKAPDSNGSVSSTSLVVSKPVPFSGGIKSFLDRAADEGLIILQSPRVGFFRRSRTIKGQLAPPSCKEKQIVKEGQVICFIEQLGGELPIESDVSGEVIKILREDGEPVGYGDALIAILPSFPGIKKLHQ >Manes.07G071733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:13335846:13339105:-1 gene:Manes.07G071733.v8.1 transcript:Manes.07G071733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCGYATLMNLNEKLQAEDGTEKADVTYFQRMVGGLNYLSHTRPDIAFSVSVLSRFMHSPTKHHLGAAKRVLRYVAGTVNFGIWYTTVSNFKLFGYTDSDWACSLDDRKSTSGYTFSLGSGAISWSSKKHDTIALSSSETEYIAATSAACQAVWLRRVLVDLG >Manes.05G133100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15430509:15434636:1 gene:Manes.05G133100.v8.1 transcript:Manes.05G133100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFAKSSSTVPEQIKLRKPRKQFLHHHREENPIYTQETDPHPHISRPSKSTISSIFLSPFATTTTEVTTKKKSTTFRGLGCTAGAAQQVSVPAVIRGSAEWEGKRVKKKKNPQQQKRKKDSVRICSESNKASLQGLSEGSNSNGNSDSNGNTGSCIQDVWCGPGIGFSSDVVGSVDCVVARRNASGRGKIDGDNKINQRERERASCLGRRATVNPETLSFLDTDPVFVTSHPEPRVFGRRYYRHVRHPSPDGLAEMIMLQNNFTMEGRLDQFSEWRLDIDDMSYEQLLELSESIGYVSTGLKEDEISRCIRKMKLSITNDLSSHLPTTPDKKCSICQEDYETDDELGKLECGHGFHIQCIKQWLAHKNTCPVCKTEPVARE >Manes.03G080900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710030:15735675:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASVPQASALSAASTVSQSIPLPLHSPSSSTKTLSSSPNLGPSPSHIPITTPFLVPPGSAGTPVTPGPSGLVSCAPMTLPSVAVDSASNSVQRPIMLATTTASNPVVQQQNYPTYPSLPAIAASPQGLWFQPPQIGGMPRPPFMPYPTVFTGSFPLPAHAVSRPSFPSHDSQPPGVTPIGTAGANPPLSSASGHQYIGTPGMQKELPPPGIDDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQEKLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQAEN >Manes.03G080900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710320:15735675:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLALLSHHMILNLLVLLLLELQVQIPRCLLPQVISILALQGCRKNYLLLELVCSGFHARLLIKLQYDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRATNTDLDPSDTERLFREHVKMLYERCANDFKSLLAEVINAEAAAQKTENGKTVLDSWSTAKRLLKPDPRYNKMPRKDRESLWRRYADDMSRKQRTTVDQKEDKHADSKNRNSTDSGRYLSGSRRTYDGR >Manes.03G080900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710009:15735679:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASVPQASALSAASTVSQSIPLPLHSPSSSTKTLSSSPNLGPSPSHIPITTPFLVPPGSAGTPVTPGPSGLVSCAPMTLPSVAVDSASNSVQRPIMLATTTASNPVVQQQNYPTYPSLPAIAASPQGLWFQPPQIGGMPRPPFMPYPTVFTGSFPLPAHAVSRPSFPSHDSQPPGVTPIGTAGANPPLSSASGHQYIGTPGMQKELPPPGIDDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRATNTDLDPSDTERLFREHVKMLYERCANDFKSLLAEVINAEAAAQKTENGKTVLDSWSTAKRLLKPDPRYNKMPRKDRESLWRRYADDMSRKQRTTVDQKEDKHADSKNRNSTDSGRYLSGSRRTYDGR >Manes.03G080900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710320:15735675:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASVPQASALSAASTVSQSIPLPLHSPSSSTKTLSSSPNLGPSPSHIPITTPFLVPPGSAGTPVTPGPSGLVSCAPMTLPSVAVDSASNSVQRPIMLATTTASNPVVQQQNYPTYPSLPAIAASPQGLWFQPPQIGGMPRPPFMPYPTVFTGSFPLPAHAVSRPSFPSHDSQPPGVTPIGTAGANPPLSSASGHQYIGTPGMQKELPPPGIDDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRATNTDLDPSDTERLFREHVKMLYERCANDFKSLLAEVINAEAAAQKTENGKTVLDSWSTAKRLLKPDPRYNKMPRKDRESLWRRYADDMSRKQRTTVDQKEDKHADSKNRNSTDSGRYLSGSRRTYDGR >Manes.03G080900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710009:15735679:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLALLSHHMILNLLVLLLLELQVQIPRCLLPQVISILALQGCRKNYLLLELVCSGFHARLLIKLQYDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRATNTDLDPSDTERLFREHVKMLYERCANDFKSLLAEVINAEAAAQKTENGKTVLDSWSTAKRLLKPDPRYNKMPRKDRESLWRRYADDMSRKQRTTVDQKEDKHADSKNRNSTDSGRYLSGSRRTYDGR >Manes.03G080900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710009:15735679:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLALLSHHMILNLLVLLLLELQVQIPRCLLPQVISILALQGCRKNYLLLELVCSGFHARLLIKLQYDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQEKLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRATNTDLDPSDTERLFREHVKMLYERCANDFKSLLAEVINAEAAAQKTENGKTVLDSWSTAKRLLKPDPRYNKMPRKDRESLWRRYADDMSRKQRTTVDQKEDKHADSKNRNSTDSGRYLSGSRRTYDGR >Manes.03G080900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710009:15735679:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASVPQASALSAASTVSQSIPLPLHSPSSSTKTLSSSPNLGPSPSHIPITTPFLVPPGSAGTPVTPGPSGLVSCAPMTLPSVAVDSASNSVQRPIMLATTTASNPVVQQQNYPTYPSLPAIAASPQGLWFQPPQIGGMPRPPFMPYPTVFTGSFPLPAHAVSRPSFPSHDSQPPGVTPIGTAGANPPLSSASGHQYIGTPGMQKELPPPGIDDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQEKLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRATNTDLDPSDTERLFREHVKMLYERCANDFKSLLAEVINAEAAAQKTENGKTVLDSWSTAKRLLKPDPRYNKMPRKDRESLWRRYADDMSRKQRTTVDQKEDKHADSKNRNSTDSGRYLSGSRRTYDGR >Manes.03G080900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710320:15735675:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASVPQASALSAASTVSQSIPLPLHSPSSSTKTLSSSPNLGPSPSHIPITTPFLVPPGSAGTPVTPGPSGLVSCAPMTLPSVAVDSASNSVQRPIMLATTTASNPVVQQQNYPTYPSLPAIAASPQGLWFQPPQIGGMPRPPFMPYPTVFTGSFPLPAHAVSRPSFPSHDSQPPGVTPIGTAGANPPLSSASGHQYIGTPGMQKELPPPGIDDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQEKLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRATNTDLDPSDTERLFREHVKMLYERCANDFKSLLAEVINAEAAAQKTENGKTVLDSWSTAKRLLKPDPRYNKMPRKDRESLWRRYADDMSRKQRTTVDQKEDKHADSKNRNSTDSGRYLSGSRRTYDGR >Manes.03G080900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710030:15735675:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASVPQASALSAASTVSQSIPLPLHSPSSSTKTLSSSPNLGPSPSHIPITTPFLVPPGSAGTPVTPGPSGLVSCAPMTLPSVAVDSASNSVQRPIMLATTTASNPVVQQQNYPTYPSLPAIAASPQGLWFQPPQIGGMPRPPFMPYPTVFTGSFPLPAHAVSRPSFPSHDSQPPGVTPIGTAGANPPLSSASGHQYIGTPGMQKELPPPGIDDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQAEN >Manes.03G080900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15710320:15735675:1 gene:Manes.03G080900.v8.1 transcript:Manes.03G080900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLALLSHHMILNLLVLLLLELQVQIPRCLLPQVISILALQGCRKNYLLLELVCSGFHARLLIKLQYDKDNTYDISSMDNAANNEPLDSWTAHKTVAGVVYYYNASTGESTYNRPAGFKGEPDKVTMQPTPFSMENIAGTDWALITTNDGKKYYYNSKTKLSSWQIPNEVMELKKKQEAEVSKENEVLVARSNALTEKAPVPINLSASAVNTGGRDAISLRASSAPGTSSALDLIKKKLQDSGTPITSPSAVSLGLTTPESNGSRAIEPAAKGLQSENSKDKQKDANGDGNESDSSSDSEDEDNGPTKEECIIQFKEMLKERGIAPFSKWEKELPKIVFDPRFKAIPSHSSRRSLFEHYVKTRAEEERKEKRAAQRAAIEGFKQLLEEASEDINQNADYQTFRKKWGNDPRFEAVDRKDREHLLNERIILVKKAVQEKAQAERAAAAASFKSMLREKGDLTVNSRWSKVKESLRNDPRYKSVRHEDREVLFNEYISELKAVEDGAEREAKIRREEQEKLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETIKDPQASWTESKPKLEKDPQGRATNTDLDPSDTERLFREHVKMLYERCANDFKSLLAEVINAEAAAQKTENGKTVLDSWSTAKRLLKPDPRYNKMPRKDRESLWRRYADDMSRKQRTTVDQKEDKHADSKNRNSTDSGRYLSGSRRTYDGR >Manes.04G012400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1665343:1665645:1 gene:Manes.04G012400.v8.1 transcript:Manes.04G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRNAIVATGLLAFAAAGLSFPFYMASSRSRPVIDSSKPLPPQATFRGPYINTGSRDVGPDSQTYPKK >Manes.09G035001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6746891:6747744:-1 gene:Manes.09G035001.v8.1 transcript:Manes.09G035001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDLYQLTIDKEEDVVIPIKSSRDIPIVTYDFCIVGINTFLPPPSPVQLSEEPVTPPEAPPVPQPVPQPVVIPQLAQPLISDDTRRSLLYNRYLLLNLGGNEDLQRMVSIIDAQVIVERDVEAALVDDGFRPNSILARYREIQGLIHSPQGELLSECTYHSYVTQIREGL >Manes.06G001200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:376442:378407:-1 gene:Manes.06G001200.v8.1 transcript:Manes.06G001200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPPVRFSVKSPLILIKNTKILILSPSPSDLLLLLFDVLIEALEREGVTHVFGYPGGASMEIHNALTRFSVIRNILPRHEPILYVGGGSLNCSEDLRRFVELTKIPVASTLMGLGSFPLGDELSLHMLGMHGTMYANYAIDQSDLLLAFGVRFDDRVTGKVEAFANRASIVYIDIDPAELGKNKQPHVALCADLKIALKGSNRLLEKKGAKSLLDFSAWRDEINEQKAKYPLSYKNLGEAIPPQYAIQVLDELTDGNAVISTGVGQHQMWSAQFYKYKKPRQWLTSGGLGAMGFGLPAAMGAAIARPNALVADIDGDGSFIMNVQELATIRTENLPMKILLLNNQHLGMVVQWEDRFYHSNRAHTYLGNPSKEEEIFPDMLKFARHAIFQLRE >Manes.02G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3311541:3312708:-1 gene:Manes.02G040400.v8.1 transcript:Manes.02G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFFSYFSFLSFSFICLSRPSFPTRFIPDSITGITTDTHNVTDAHNVTWHDFGRFLDVGRGSEVSGMSELKKYLCRFGYLPSTNNFTDVFDKELESAVRAYQSSLGLSVTGKLDSDTISTIMSPRCGLRDTAHNTTDKLHVTRHFAYFYGKPRWVKESPMTLTYAFSANNMIDYISLEEIKRVFKHAFARWASVIPVSFMEVEYYQSANIRIGWYHRDHGDGEPFDGVLGVLAHAFSPENGRLHLDAAETWSVDFETVKSREAVDLESVATHEIGHILGLAHSSIKEAVMYPSLSPRSVKVDLKLDDVEGVQALYGTNPNFTLSSLLESENSSNGGGLQNVHNSYS >Manes.03G102850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22681476:22685006:1 gene:Manes.03G102850.v8.1 transcript:Manes.03G102850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPSKLKILSVYPRKFLSLSLSLSFQLLLHYHNLSSASIQDMGSQIHVMFLPYMAPGHMMPMVDIARLFAAQGIRVTIITTTMNAIGFKNSIERDVESGRNISLEILRFPSAEAGLPEGCENLSSAPTPEATIKLIHGIELLEPQIKILFRERRPDCIASDYIFWWTIDVATELGIPRLAFSGLPDQVEITRSQLPDLVKRRTEFSEFFDKLKEAERKSFGLLMNSFYGLESAYSDHFTKVTGIKAWHLGPVNLFRSVDDKALGGDKATISEQRCLRWLDSKEPNSVLYVCFGSTARFSKAQILEIGNALEDSSYSFIWVVGKVLKEDNNEDHQQQEEWWLPEGYEEKLKENGKGLVIKGWVPQVLILHHPAIGGFLTHCGWNSILEGLCAGLPMVTWPIFAEQFYNEKLVTQVLKFGEPVGNKTWRVWATEDSTLISRSEIENAIRRVMGDENEAKEMRKRARRLAEWAKMAVEEGGSSYNDMKSLIEDIRMFKRLTEKTTKES >Manes.02G089800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7047363:7055714:1 gene:Manes.02G089800.v8.1 transcript:Manes.02G089800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEPASKRMKLASGELRGLSNGSLSETIVGSSRDLMARPLQFEGDEDVVGSRGVIKRVEFVRIIAKALYSLGYKKSSARLEEESGIPLHSSTVNLFMQQILDGSWDESVATLHSIGLKDENIVKSASFLILEQKFFELLDGERIMDALKTLRTEIAPLRVNNGRIRELSSCIVSPSLRASIGSSKQDNARMKSRSKLLEELQKLLPPTVIIPERRLEHLVEQALTLQRDACIFHNSMDREMSLYADHHCGRDQIPSQTLQILEAHTDEVWFLQFSHNGKYLASSSSDQSAIIWEVDINDGVTLKHRLSGHQKPVSSVSWSPDDQQLLTCGMEEAIRRWDVSSGECLHVYEKAGLGLISCGWFPDGKWIFSGVNDKSICMWDLNGKEVECWKGQRTMKISDLEITSDGKQIISLCRETAILLHDREAKIERVIEEDQTITSFSLSRDDRFLLVNLLNQEIHLWNIEGGIKLVAKYKGHKRARFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELIEALPGHSGAVNCVSWNPKNPHILASASDDRTIRIWGLNCLQMKHKSAHTYSNGNHYCNGRT >Manes.02G089800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7046127:7055714:1 gene:Manes.02G089800.v8.1 transcript:Manes.02G089800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEPASKRMKLASGELRGLSNGSLSETIVGSSRDLMARPLQFEGDEDVVGSRGVIKRVEFVRIIAKALYSLGYKKSSARLEEESGIPLHSSTVNLFMQQILDGSWDESVATLHSIGLKDENIVKSASFLILEQKFFELLDGERIMDALKTLRTEIAPLRVNNGRIRELSSCIVSPSLRASIGSSKQDNARMKSRSKLLEELQKLLPPTVIIPERRLEHLVEQALTLQRDACIFHNSMDREMSLYADHHCGRDQIPSQTLQILEAHTDEVWFLQFSHNGKYLASSSSDQSAIIWEVDINDGVTLKHRLSGHQKPVSSVSWSPDDQQLLTCGMEEAIRRWDVSSGECLHVYEKAGLGLISCGWFPDGKWIFSGVNDKSICMWDLNGKEVECWKGQRTMKISDLEITSDGKQIISLCRETAILLHDREAKIERVIEEDQTITSFSLSRDDRFLLVNLLNQEIHLWNIEGGIKLVAKYKGHKRARFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELIEALPGHSGAVNCVSWNPKNPHILASASDDRTIRIWGLNCLQMKHKSAHTYSNGNHYCNGRT >Manes.02G089800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7046125:7055986:1 gene:Manes.02G089800.v8.1 transcript:Manes.02G089800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEPASKRMKLASGELRGLSNGSLSETIVGSSRDLMARPLQFEGDEDVVGSRGVIKRVEFVRIIAKALYSLGYKKSSARLEEESGIPLHSSTVNLFMQQILDGSWDESVATLHSIGLKDENIVKSASFLILEQKFFELLDGERIMDALKTLRTEIAPLRVNNGRIRELSSCIVSPSLRASIGSSKQDNARMKSRSKLLEELQKLLPPTVIIPERRLEHLVEQALTLQRDACIFHNSMDREMSLYADHHCGRDQIPSQTLQILEAHTDEVWFLQFSHNGKYLASSSSDQSAIIWEVDINDGVTLKHRLSGHQKPVSSVSWSPDDQQLLTCGMEEAIRRWDVSSGECLHVYEKAGLGLISCGWFPDGKWIFSGVNDKSICMWDLNGKEVECWKGQRTMKISDLEITSDGKQIISLCRETAILLHDREAKIERVIEEDQTITSFSLSRDDRFLLVNLLNQEIHLWNIEGGIKLVAKYKGHKRARFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELIEALPGHSGAVNCVSWNPKNPHILASASDDRTIRIWGLNCLQMKHKSAHTYSNGNHYCNGRT >Manes.02G089800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7046160:7055714:1 gene:Manes.02G089800.v8.1 transcript:Manes.02G089800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEPASKRMKLASGELRGLSNGSLSETIVGSSRDLMARPLQFEGDEDVVGSRGVIKRVEFVRIIAKALYSLGYKKSSARLEEESGIPLHSSTVNLFMQQILDGSWDESVATLHSIGLKDENIVKSASFLILEQKFFELLDGERIMDALKTLRTEIAPLRVNNGRIRELSSCIVSPSLRASIGSSKQDNARMKSRSKLLEELQKLLPPTVIIPERRLEHLVEQALTLQRDACIFHNSMDREMSLYADHHCGRDQIPSQTLQILEAHTDEVWFLQFSHNGKYLASSSSDQSAIIWEVDINDGVTLKHRLSGHQKPVSSVSWSPDDQQLLTCGMEEAIRRWDVSSGECLHVYEKAGLGLISCGWFPDGKWIFSGVNDKSICMWDLNGKEVECWKGQRTMKISDLEITSDGKQIISLCRETAILLHDREAKIERVIEEDQTITSFSLSRDDRFLLVNLLNQEIHLWNIEGGIKLVAKYKGHKRARFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELIEALPGHSGAVNCVSWNPKNPHILASASDDRTIRIWGLNCLQMKHKSAHTYSNGNHYCNGRT >Manes.02G089800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7046186:7055714:1 gene:Manes.02G089800.v8.1 transcript:Manes.02G089800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEPASKRMKLASGELRGLSNGSLSETIVGSSRDLMARPLQFEGDEDVVGSRGVIKRVEFVRIIAKALYSLGYKKSSARLEEESGIPLHSSTVNLFMQQILDGSWDESVATLHSIGLKDENIVKSASFLILEQKFFELLDGERIMDALKTLRTEIAPLRVNNGRIRELSSCIVSPSLRASIGSSKQDNARMKSRSKLLEELQKLLPPTVIIPERRLEHLVEQALTLQRDACIFHNSMDREMSLYADHHCGRDQIPSQTLQILEAHTDEVWFLQFSHNGKYLASSSSDQSAIIWEVDINDGVTLKHRLSGHQKPVSSVSWSPDDQQLLTCGMEEAIRRWDVSSGECLHVYEKAGLGLISCGWFPDGKWIFSGVNDKSICMWDLNGKEVECWKGQRTMKISDLEITSDGKQIISLCRETAILLHDREAKIERVIEEDQTITSFSLSRDDRFLLVNLLNQEIHLWNIEGGIKLVAKYKGHKRARFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELIEALPGHSGAVNCVSWNPKNPHILASASDDRTIRIWGLNCLQMKHKSAHTYSNGNHYCNGRT >Manes.02G089800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7046125:7055986:1 gene:Manes.02G089800.v8.1 transcript:Manes.02G089800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEPASKRMKLASGELRGLSNGSLSETIVGSSRDLMARPLQFEGDEDVVGSRGVIKRVEFVRIIAKALYSLGYKKSSARLEEESGIPLHSSTVNLFMQQILDGSWDESVATLHSIGLKDENIVKSASFLILEQKFFELLDGERIMDALKTLRTEIAPLRVNNGRIRELSSCIVSPSLRASIGSSKQDNARMKSRSKLLEELQKLLPPTVIIPERRLEHLVEQALTLQRDACIFHNSMDREMSLYADHHCGRDQIPSQTLQILEAHTDEVWFLQFSHNGKYLASSSSDQSAIIWEVDINDGVTLKHRLSGHQKPVSSVSWSPDDQQLLTCGMEEAIRRWDVSSGECLHVYEKAGLGLISCGWFPDGKWIFSGVNDKSICMWDLNGKEVECWKGQRTMKISDLEITSDGKQIISLCRETAILLHDREAKIERVIEEDQTITSFSLSRDDRFLLVNLLNQEIHLWNIEGGIKLVAKYKGHKRARFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELIEALPGHSGAVNCVSWNPKNPHILASASDDRTIRIWGLNCLQMKHKSAHTYSNGNHYCNGRT >Manes.06G140700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26824672:26827482:1 gene:Manes.06G140700.v8.1 transcript:Manes.06G140700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVFLAMTRRSFARRLNGREGNKCLLFVHRCDPSSLLNHSRVSLMGLQGKRAISDHINEAAKYIKDLQNNVQELSVTRDKLKHLLNSTSLDDQGNEISSLDNLMNNTVTVLSRVSGVEIVVSSESGEGNFLLSRVLEAVIEEGFEVVSCISTKRDGRLYNTMQCQTSHLTCIDVAALQQKLNDVILSSRFISRS >Manes.06G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26824672:26827482:1 gene:Manes.06G140700.v8.1 transcript:Manes.06G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGQPQPPAILANSNTKNDVGFSCDDKKIIRKEIERQRRQQMSTLCASLRSLLPLESLKGKRAISDHINEAAKYIKDLQNNVQELSVTRDKLKHLLNSTSLDDQGNEISSLDNLMNNTVTVLSRVSGVEIVVSSESGEGNFLLSRVLEAVIEEGFEVVSCISTKRDGRLYNTMQCQTSHLTCIDVAALQQKLNDVILSSRFISRS >Manes.15G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7011568:7015413:1 gene:Manes.15G089900.v8.1 transcript:Manes.15G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQKSDEIVALEVEKEVCSEFKAHVFSSSAELLERLHEKWSSVKKQSYPAMYSSVYGGIVLDPAMMVIPIDDHMVHRGHGVFDTSIIFYGYLYELDVHLDRFLRSASKARISSPFPRSTLRSILIQMTAVSKCKKGTLRFWLSAGPGNFLLSPAGCPTSAFYAVVIDDDFSQCKEGVKVITSTIPMKAPEFATMKNVNYLPNVLSVMEAEDKGAFASIWVDDEGYIAEGPNVNVAFITQDKELILPFFDKILRGCTALRLLQLAPKLVKQGRLKSVKTANVTVQEAKRAAEMMFVGSTLPLLPIIMWDEQPIGDGKVGELTMALSDLLWEDMVAGVGMQRIPVPYEKLTS >Manes.15G089900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7011568:7015413:1 gene:Manes.15G089900.v8.1 transcript:Manes.15G089900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVYGGIVLDPAMMVIPIDDHMVHRGHGVFDTSIIFYGYLYELDVHLDRFLRSASKARISSPFPRSTLRSILIQMTAVSKCKKGTLRFWLSAGPGNFLLSPAGCPTSAFYAVVIDDDFSQCKEGVKVITSTIPMKAPEFATMKNVNYLPNVLSVMEAEDKGAFASIWVDDEGYIAEGPNVNVAFITQDKELILPFFDKILRGCTALRLLQLAPKLVKQGRLKSVKTANVTVQEAKRAAEMMFVGSTLPLLPIIMWDEQPIGDGKVGELTMALSDLLWEDMVAGVGMQRIPVPYEKLTS >Manes.03G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11942655:11957951:1 gene:Manes.03G073000.v8.1 transcript:Manes.03G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSWQLPLASSLSSSSSPSSSLPSLSSVDTHSFLLYHHQCSPSSRTKLGGLFPNRSTSYSSWRRRKTTGFLVGRENFELRVQDEELEEEEEEEEEGPPPSPQDLQYIQEVKRVVDLLKKNRDMLFNEVKLTIMIEDPREVERRRLLGIEDLDSPTRDDLAEALEQVNEGKVPKNRLALKMLAEEMMNWPNLEVEAPKERPKKSLYAKATDTGIDPKEAAKRLKIDWDSAADIEDVENSDDADVPPAVGYGALYLVTAFPVIIGISVVLILFYNSLR >Manes.08G082000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28206777:28207841:-1 gene:Manes.08G082000.v8.1 transcript:Manes.08G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIPMQLSFSKTLSSHHPLFCSRFSHLSLHTSPVPRKTTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRSELKAAVSELERPWEVVERAPTLFSVSADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGIPSARFFPKGVVHSVRPYGKIAGNGDGDREEEDSALESEGENENGNKDRYGMTMAKNGYKSNAVSVNGKFRRGGLNNGRKKDYMDSLAREDKSDSESGYNKNSAGKWGMDKNSRSRNGGEIRKQGNRSRFRSGLGSLDSGQVGLGRKQRGGGDFKNSRSKIDGRINGSNDFGNRRYSKSEVLDMNLQQDGSYGFQSKNRQIES >Manes.08G082000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28204732:28207927:-1 gene:Manes.08G082000.v8.1 transcript:Manes.08G082000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIPMQLSFSKTLSSHHPLFCSRFSHLSLHTSPVPRKTTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRSELKAAVSELERPWEVVERAPTLFSVSADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGIPSARFFPKGVVHSVRPYGKIAGNGDGDREEEDSALESEGENENGNKDRYGMTMAKNGYKSNAVSVNGKFRRGGLNNGRKKDYMDSLAREDKSDSESGYNKNSAGKWGMDKNSRSRNGGEIRKQGNRSRFRSGLGSLDSGQVGLGRKQRGGGDFKNSRSKIDGRINGSNDFGNRRYSKSEVLDMNLQQDGSYGFQSKNRQIES >Manes.08G082000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28203734:28207927:-1 gene:Manes.08G082000.v8.1 transcript:Manes.08G082000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIPMQLSFSKTLSSHHPLFCSRFSHLSLHTSPVPRKTTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRSELKAAVSELERPWEVVERAPTLFSVSADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGIPSARFFPKGVVHSVRPYGKIAGNGDGDREEEDSALESEGENENGNKDRYGMTMAKNGYKSNAVSVNGKFRRGGLNNGRKKDYMDSLAREDKSDSESGYNKNSAGKWGMDKNSRSRNGGEIRKQGNRSRFRSGLGSLDSGQVGLGRKQRGGGDFKNSRSKIDGRINGSNDFGNRRYSKSEVLDMNLQQDGSYGFQSKNRQIES >Manes.08G082000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28196611:28207966:-1 gene:Manes.08G082000.v8.1 transcript:Manes.08G082000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIPMQLSFSKTLSSHHPLFCSRFSHLSLHTSPVPRKTTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRSELKAAVSELERPWEVVERAPTLFSVSADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGIPSARFFPKGVVHSVRPYGKIAGNGDGDREEEDSALESEGENENGNKDRYGMTMAKNGYKSNAVSVNGKFRRGGLNNGRKKDYMDSLAREDKSDSESGYNKNSAGKWGMDKNSRSRNGGEIRKQGNRSRFRSGLGSLDSGQVGLGRKQRGGGDFKNSRSKIDGRINGSNDFGNRRYSKSEVLDMNLQQDGSYGFQSKNRQIES >Manes.08G082000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28198343:28207920:-1 gene:Manes.08G082000.v8.1 transcript:Manes.08G082000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIPMQLSFSKTLSSHHPLFCSRFSHLSLHTSPVPRKTTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRSELKAAVSELERPWEVVERAPTLFSVSADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGIPSARFFPKGVVHSVRPYGKIAGNGDGDREEEDSALESEGENENGNKDRYGMTMAKNGYKSNAVSVNGKFRRGGLNNGRKKDYMDSLAREDKSDSESGYNKNSAGKWGMDKNSRSRNGGEIRKQGNRSRFRSGLGSLDSGQVGLGRKQRGGGDFKNSRSKIDGRINGSNDFGNRRYSKSEVLDMNLQQDGSYGFQSKNRQIES >Manes.08G082000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28198343:28207920:-1 gene:Manes.08G082000.v8.1 transcript:Manes.08G082000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIPMQLSFSKTLSSHHPLFCSRFSHLSLHTSPVPRKTTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRSELKAAVSELERPWEVVERAPTLFSVSADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGIPSARFFPKGVVHSVRPYGKIAGNGDGDREEEDSALESEGENENGNKDRYGMTMAKNGYKSNAVSVNGKFRRGGLNNGRKKDYMDSLAREDKSDSESGYNKNSAGKWGMDKNSRSRNGGEIRKQGNRSRFRSGLGSLDSGQVGLGRKQRGGGDFKNSRSKIDGRINGSNDFGNRRYSKSEVLDMNLQQDGSYGFQSKNRQIES >Manes.08G082000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28196611:28207966:-1 gene:Manes.08G082000.v8.1 transcript:Manes.08G082000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIPMQLSFSKTLSSHHPLFCSRFSHLSLHTSPVPRKTTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLCRERQIYEMRKRSELKAAVSELERPWEVVERAPTLFSVSADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGIPSARFFPKGVVHSVRPYGKIAGNGDGDREEEDSALESEGENENGNKDRYGMTMAKNGYKSNAVSVNGKFRRGGLNNGRKKDYMDSLAREDKSDSESGYNKNSAGKWGMDKNSRSRNGGEIRKQGNRSRFRSGLGSLDSGQVGLGRKQRGGGDFKNSRSKIDGRINGSNDFGNRRYSKSEVLDMNLQQDGSYGFQSKNRQIES >Manes.05G187800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30869186:30887908:-1 gene:Manes.05G187800.v8.1 transcript:Manes.05G187800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGKLYDFSSTSMKSVIERYNKSKEEHQMGNPISEVKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDHMLMDEIQELKRKGNLIHQENVELYKKVYGAGNVNGLNRDYLSINDAAIEEDSNVPVHLQLSQPQQQNYDTPARATKLGLHLH >Manes.05G187800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30869186:30888159:-1 gene:Manes.05G187800.v8.1 transcript:Manes.05G187800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGKLYDFSSTSMKSVIERYNKSKEEHQMGNPISEVKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDHMLMDEIQELKRKGNLIHQENVELYKKVYGAGNVNGLNRDYLSINDAAIEEDSNVPVHLQLSQPQQQNYDTPARATKLGLHLH >Manes.05G187800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30869186:30887908:-1 gene:Manes.05G187800.v8.1 transcript:Manes.05G187800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGKLYDFSSTSMKSVIERYNKSKEEHQMGNPISEVKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDHMLMDEIQELKRKGNLIHQENVELYKKVYGAGNVNGLNRDYLSINDAAIEEDSNVPVHLQLSQPQQQNYDTPARATKLGRLHLH >Manes.05G187800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30867640:30888165:-1 gene:Manes.05G187800.v8.1 transcript:Manes.05G187800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGKLYDFSSTSMKSVIERYNKSKEEHQMGNPISEVKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDHMLMDEIQELKRKGNLIHQENVELYKKVYGAGNVNGLNRDYLSINDAAIEEDSNVPVHLQLSQPQQQNYDTPARATKLGLHLH >Manes.05G187800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30869186:30888159:-1 gene:Manes.05G187800.v8.1 transcript:Manes.05G187800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGKLYDFSSTSMKSVIERYNKSKEEHQMGNPISEVKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDHMLMDEIQELKRKGNLIHQENVELYKKVYGAGNVNGLNRDYLSINDAAIEEDSNVPVHLQLSQPQQQNYDTPARATKLGRLHLH >Manes.05G187800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30869186:30888165:-1 gene:Manes.05G187800.v8.1 transcript:Manes.05G187800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGKLYDFSSTSMKSVIERYNKSKEEHQMGNPISEVKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDHMLMDEIQELKRKGNLIHQENVELYKKVYGAGNVNGLNRDYLSINDAAIEEDSNVPVHLQLSQPQQQNYDTPARATKLGRLHLH >Manes.05G187800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30869186:30888165:-1 gene:Manes.05G187800.v8.1 transcript:Manes.05G187800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGKLYDFSSTSMKSVIERYNKSKEEHQMGNPISEVKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDHMLMDEIQELKRKGNLIHQENVELYKKVYGAGNVNGLNRDYLSINDAAIEEDSNVPVHLQLSQPQQQNYDTPARATKLGLHLH >Manes.08G013700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1491818:1502643:-1 gene:Manes.08G013700.v8.1 transcript:Manes.08G013700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVQWHKNFTPFVGRILRIERLAEAILDKELLDGSTWTLDKFTETFMENLRSSADKIVGLKSIAAYRSGLEINTNVTRKDAEEGLAEVLHAGRPVRIINKSFIDHIFTHSLEVALQFDLPMQIHTGFGDKDLDLRLSNPLHLRMLLEDERFSNCRIVLLHASYPFSKEASYLASVYPQVYLDFGLAVPKLSVHGMISSLKELLELAPINKVMFSTDGYAFPETHYLGAKKTREIIFSVLRDACCDGDLTVDEAIEAAKDILARNAIKLYKINIDAKAFNSKDILSWNSMNIDNSSLDNGVSLVRILWVDASGQHRCRVVPLRRFNDVVKKNGIGLTFASMAMTSSVDGPADETNLTGVGEIRLMPDLTTKRRIPWMEVEEMVLADMHLRPGEAWEYCPREALRRVSKVLKEEFNLVMNAGFENEFVLLKHVAKEGKEEWVPIDSAPYCSASGYDSAAPIFHEVVSALQSLNIIVEQLHAEAGKGQFEMALGHTACTHSADNLIFTREVIRAIARKHGLLASFVPKYALDDIGSGSHVHISLWQNGENVFIASGGSSRHGISTVGEEFMAGVLHHLPSILAFTAPVPNSYDRIQPNTWSGAYQCWGKENREAPIRTACPPGIKDGLVSNFEIKSFDGCANPYLGLAAVLAAGIDGLRRHLSLPAPVDTNPSYLDGKLNRLPKSLSESLEALKKDDVLEDLLGKKLMIAIKGVRKAEIDHYSKNKEAYKQLIHRY >Manes.08G013700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1492181:1502255:-1 gene:Manes.08G013700.v8.1 transcript:Manes.08G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEELRQAIEEVMMVDAHAHNIVALDSSFPFINAFSEAAGEALSFAPHSLSFKRNLREVAELYGCENSLQVVEEHRISSGLESIMVKCFKAAGISAVLIDDGLKLDKMHDVQWHKNFTPFVGRILRIERLAEAILDKELLDGSTWTLDKFTETFMENLRSSADKIVGLKSIAAYRSGLEINTNVTRKDAEEGLAEVLHAGRPVRIINKSFIDHIFTHSLEVALQFDLPMQIHTGFGDKDLDLRLSNPLHLRMLLEDERFSNCRIVLLHASYPFSKEASYLASVYPQVYLDFGLAVPKLSVHGMISSLKELLELAPINKVMFSTDGYAFPETHYLGAKKTREIIFSVLRDACCDGDLTVDEAIEAAKDILARNAIKLYKINIDAKAFNSKDILSWNSMNIDNSSLDNGVSLVRILWVDASGQHRCRVVPLRRFNDVVKKNGIGLTFASMAMTSSVDGPADETNLTGVGEIRLMPDLTTKRRIPWMEVEEMVLADMHLRPGEAWEYCPREALRRVSKVLKEEFNLVMNAGFENEFVLLKHVAKEGKEEWVPIDSAPYCSASGYDSAAPIFHEVVSALQSLNIIVEQLHAEAGKGQFEMALGHTACTHSADNLIFTREVIRAIARKHGLLASFVPKYALDDIGSGSHVHISLWQNGENVFIASGGSSRHGISTVGEEFMAGVLHHLPSILAFTAPVPNSYDRIQPNTWSGAYQCWGKENREAPIRTACPPGIKDGLVSNFEIKSFDGCANPYLGLAAVLAAGIDGLRRHLSLPAPVDTNPSYLDGKLNRLPKSLSESLEALKKDDVLEDLLGKKLMIAIKGVRKAEIDHYSKNKEAYKQLIHRY >Manes.15G161400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13391450:13393836:-1 gene:Manes.15G161400.v8.1 transcript:Manes.15G161400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSPNFSIPKTFITTLQAPTFRTYFPTFTNNQTSSSRPSLCITTASLSDHKPKANTTATAAAATATATTTPITTPPPPKTATRLETIIPTIAPQLPRKGSDVLVEALEREGVTHVFGYPGGASMEIHQALTRSSVIRNILPRHEQGGIFAAEGYAKASGRVGVCIATSGPGATNFVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIIEVTRSITKHNFMVLDAEDIARTVKEAFYLASSGRPGPVLIDIPKDIQQQLIIPNWDVPMRLPGYVSRLPKSPEIGKLEQIVRLIFQSKKPVLYVGGGSLNCSEELRRFVELTKIPVASTLMGLGSFPLGDELSLHMLGMHGTMYANYAIDQSDLLLAFGVRFDDRVTGKVEAFANRASIVHIDIDPAELGKNKQPHVALCADLKIALKGLNRLLEKKGAKSLLDFSAWRDEINEQKAKYPLSYKNLGEAIPPQYAIQVLDELTDGNAVISTGVGQHQMWSAQFYKYKKPRQWLTSGGLGAMGFGLPAAMGAAIARPNALVVDIDGDGSFIMNVQELATVRTENLPVKILLLNNQHLGMVVQWEDRFYHSNRAHTYLGNPSKEEEIFPDMLKFAEACDIPAARVTKRSELREAMKKMLETPGPYLLDVIVPHQEHVLPMIPSGLSFKDAITEGDGRAKN >Manes.02G088400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6959947:6963680:1 gene:Manes.02G088400.v8.1 transcript:Manes.02G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQKPVSFIIYASLLHLVSSSSEQVKITGNDTSSENGIPQISSKLVFEITLHGVLLWASMGFLMPVGILSIRMSNREKCRKRLKILFYLHSISQMLSVLLATAGAVMSVKNFNNAFNNHHQRIGVALYGIVWLQVLIGLLRPQRGSKARSIWFFWHWMLGTAVSLLGIINIYTGLQAYHQKTYKSTRPWTALFIIEVSLIALFYLLQDKWVYMQKQGVILGGEPVRLIHLVATTQDKQKESTNESC >Manes.01G182300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36049334:36054512:-1 gene:Manes.01G182300.v8.1 transcript:Manes.01G182300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKEKRSSHQIQCLPLILKLPFLLPPSWFLLLAVLVVLLVVASLLDRNIKSRLLLRDPEKATALFGKQDEETLQVLRGDTRNPEDLDPSMFDGVTHVICCTGTTAFPSKRWDGDNTPERVDWEGVRNLVSALPSSLKRIILVSSVGVTKFNELPWSVMNLFGVLKYKKMGEDFVRQSGLPFTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQIYEINSVEGDGPGSDPQKWRDLFKAAQTQN >Manes.01G182300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36049334:36054512:-1 gene:Manes.01G182300.v8.1 transcript:Manes.01G182300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKLFSTSVSDFPLVHGKLSNFPQIIPPNVSPGHGYCSFKFLSSSRRVFSSSLVVHAAKGEAIQSPNSVSTLDSKTTLPSSSKLVLVVGGTGGVGQLVVASLLDRNIKSRLLLRDPEKATALFGKQDEETLQVLRGDTRNPEDLDPSMFDGVTHVICCTGTTAFPSKRWDGDNTPERVDWEGVRNLVSALPSSLKRIILVSSVGVTKFNELPWSVMNLFGVLKYKKMGEDFVRQSGLPFTIIRPGRLTDGPYTSYDLNTLLKATAGQRRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQIYEINSVEGDGPGSDPQKWRDLFKAAQTQN >Manes.12G051200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4810643:4813116:1 gene:Manes.12G051200.v8.1 transcript:Manes.12G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFVRAKRVTDPLDDKAKARLVGTQLSYVSSGSEHSADDSPCLSELVHGFLEDDSDFQSLGYESDSDHDDDLVADCTDVVVDIVRSATGNSMDSFRNKLLANVLKAMEMFSCLRNQRPVFRRQVMTFLRDLGYNAGICKTKWDSSGGLTAGNYEFIDVVQSSSTCQNRYIIDLDFASQFEIARPTSQYLKPLQSLPRVFVGRNEELKKIIKAMCDAARRSLKSKGLTIPPWRKNRYMQNKWLCPYRRTANTIPASSLTPVMQPVNGVKCRLVGFEDGVNGRVFVRTR >Manes.13G017500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1743690:1745721:-1 gene:Manes.13G017500.v8.1 transcript:Manes.13G017500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDMPSVPSTSSVLSTYTTFAASAMLVKTMLNEVQNMASQLIPQKLQQKLLSRLGGLFRNSCQLTLIIEEYNGFVINEIYQASEVYLSTRITPYIDQLKVSKAHRDKNLVVTINKGQKIIDVFEEIHLVWEFVCREAQNTVVDCENYSETVEKSEVKSVVLRFDKRYQEKVVKTYLPYVVQRSKAIKEENKVVKLHSLGTFNGDYSGGPWGSINLDHPSTFDTLAVDPLLKQELTDDLDRFVKRRDFYKRVGKPWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSLRNNSDLRRLLTSTGNRSILVIEDIDCSIELQDRQYGAHNNGDSQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDMHINMSYCTPSGFKILAANYLRVKSHCLFTVIERLIEEVEVTPAEVAEELMKGDDVDVDSTLNGLVGFLQRKKEMKCKESESKVKEDKQMNENDKAREEMEKNSVSKKSKKNKRKKAKTGEGRVV >Manes.18G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2425745:2436380:-1 gene:Manes.18G028500.v8.1 transcript:Manes.18G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFASASAIADQRQKIEQYKHILASVISSNDIFQAKKFIDHVLSDDVPLVVSRQLLQTFAQELGRLEPETQKEIAHYILAQIQPRVVSFEEQVLIIREKLADLYESEQQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEEALEHALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKVYPILQKVYLERILRKPEIDAFAEELKAHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGILPNKAEKIASRMICEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGLCQALNDVLDSMAKKGLPIPV >Manes.18G028500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2425745:2436380:-1 gene:Manes.18G028500.v8.1 transcript:Manes.18G028500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFASASAIADQRQKIEQYKHILASVISSNDIFQAKKFIDHVLSDDVPLVVSRQLLQTFAQELGRLEPETQKEIAHYILAQIQPRVVSFEEQVLIIREKLADLYESEQQWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEEALEHALSAAVTCTILAAAGPQRSRVLATLYKVYLERILRKPEIDAFAEELKAHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGILPNKAEKIASRMICEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGLCQALNDVLDSMAKKGLPIPV >Manes.03G167500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29314592:29315146:-1 gene:Manes.03G167500.v8.1 transcript:Manes.03G167500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKQASSETSSEENGRQEHAKEDAATTTTKRFYECTFCRRGFTNAQALGGHMNIHRRDRAKTKQVSASSSISGKTNEDFLNPNHMGPISSELMNKYPVLEAQREYSMYLHPSEFSYPGQPHGYYHGSDFLVSRHQSLSVNEELWGANLSLQIGSSHSGDNELNKDVPKEDEVDLELRLGHDR >Manes.11G015400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1621003:1623953:1 gene:Manes.11G015400.v8.1 transcript:Manes.11G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEEIQSHASAATGSPSPSPPNGRITVTVAAAPPSSHHLQQQNDNSLSLTLPIQQPKTNGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVADIVSSREDYMKAAKTDIQCKNRIDTVKKKYKLEKAKIAAGGGPSKWPFFERLDQLIGPAAKIPVTATAAAASLSSKVPVGIPVGIRSGGASGSNQYQFPQGKAQMKSNPVTKNQNVKAQLRKRAQVETESSSEEEENFPDSDDSLPQEKKPRGVQRGVNTKGVKERKGWGNSIRMLTQAILKFGEAYEQAESAKLQQVVEMEKTRMKFAKELELQRMQFFMKTQMEISQLKRGRRAGNGSNHHISGNSIIHNNDNSDSDN >Manes.15G179700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22082822:22099646:1 gene:Manes.15G179700.v8.1 transcript:Manes.15G179700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPSPDFESGQNPLLVLGGNKQLGLWVPVTVLAMADSEEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHHISACKRIPNLARIFLIGFYEEREFTLYVSSISNELKVPVRYLKEDKPHGSAGGLYYFRDVIMEDSPSHIFLLNCDVCCSFPLPDMLEAHKKYGGIGTMLVIKVSAESANQFGELVADTTTKELLHYIEKPETFVSDLINCGVYVFTPEIFTAIQGVSNNREDRANLLRVSSFEGLQSVTRPSLPTDFVRLDQDILSPLAGKKMLYTYETMDFWEQIKTPGMSLKCSALFLSMYQFTSPHLLATGDGTRSASIVGDVYIHPSAKVHPTAKIGPNVSVSANVRVGAGVRLISCIILDDVEIQENAVVMHSIIGWKSSLGRWSRVQANGDYNTKLGITILGEAVTVEDEVVVTNCIVLPNKIISGSVQEEIIL >Manes.15G179700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22083267:22099646:1 gene:Manes.15G179700.v8.1 transcript:Manes.15G179700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPSPDFESGQNPLLVLGGNKQLGLWVPVTVLAMADSEEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHHISACKRIPNLARIFLIGFYEEREFTLYVSSISNELKVPVRYLKEDKPHGSAGGLYYFRDVIMEDSPSHIFLLNCDVCCSFPLPDMLEAHKKYGGIGTMLVIKVSAESANQFGELVADTTTKELLHYIEKPETFVSDLINCGVYVFTPEIFTAIQGVSNNREDRANLLRVSSFEGLQSVTRPSLPTDFVRLDQDILSPLAGKKMLYTYETMDFWEQIKTPGMSLKCSALFLSMYQFTSPHLLATGDGTRSASIVGDVYIHPSAKVHPTAKIGPNVSVSANVRVGAGVRLISCIILDDVEIQENAVVMHSIIGWKSSLGRWSRVQANGDYNTKLGITILGEAVTVEDEVVVTNCIVLPNKIISGSVQEEIIL >Manes.15G179700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22083295:22099646:1 gene:Manes.15G179700.v8.1 transcript:Manes.15G179700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPSPDFESGQNPLLVLGGNKQLGLWVPVTVLAMADSEEKVVAVIMVGGPTKGTRFRPLSFNTPKPLFPLAGQPMVHHHISACKRIPNLARIFLIGFYEEREFTLYVSSISNELKVPVRYLKEDKPHGSAGGLYYFRDVIMEDSPSHIFLLNCDVCCSFPLPDMLEAHKKYGGIGTMLVIKVSAESANQFGELVADTTTKELLHYIEKPETFVSDLINCGVYVFTPEIFTAIQGVSNNREDRANLLRVSSFEGLQSVTRPSLPTDFVRLDQDILSPLAGKKMLYTYETMDFWEQIKTPGMSLKCSALFLSMYQFTSPHLLATGDGTRSASIVGDVYIHPSAKVHPTAKANGDYNTKLGITILGEAVTVEDEVVVTNCIVLPNKIISGSVQEEIIL >Manes.14G168000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26997541:26998808:1 gene:Manes.14G168000.v8.1 transcript:Manes.14G168000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKVIGHTGSLFCTRIEWALKIKGVEYEYLQEDLMNKSPILLKYNPVHKKVPVVVDGEKPIAESLVILEYIDETWKHNPLLPQDPHERALARFWAKFADDKCLIGAFEAFWKQGEEKEKAIESAVESFAFLEKEIKGKKFFSGEQIGYLDIVMGWIPHWLNVMEEVGGMKLIDAENFPALHEWTQSFIEIPIIKQVLPPRMLSLAANNQ >Manes.13G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30449738:30450743:-1 gene:Manes.13G104200.v8.1 transcript:Manes.13G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKYAYPYPAQGYYQGPPVMAPPQYAAPPPRREPGFLEGCLAALCCCCLIDECCCDPSIIFVS >Manes.03G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23759839:23762115:-1 gene:Manes.03G113100.v8.1 transcript:Manes.03G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLACDVSDLCLGKPALRSLPLTATVAEALSALKNSDDNFLSVWSCDHKPKTITGFQPDVDGDECKCVGKVSIVDIICYLCQDENLLSPSSALKAPLSHLLPKIPGLVTHVEPSSSLLEAIDLILQGAQNLVVPIETRLDSSSSRRKKQQKVLVTTSTPTTIHGGREFCWLTQEDIIRFLLSSIGLFSPIPALSIDNLGIISTDIFTIDYHSPASSALGAISRCLRDQTSVAVVDGDEGILIGELSPFTLACCDETVSAAITTLSCGDLMAYIDCGGPPDDLVRIVMERLKQRGLETMLQQFRSSTTPLVSLSSSSSSDEESGTTLQRSGKYSRSMSYSARLVRKAETIICHPKSSLAAVMIQATAHRVNYVWVIEEDCSLVGIVTFHNMLKVFRELLEAMA >Manes.03G164400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29115360:29119335:1 gene:Manes.03G164400.v8.1 transcript:Manes.03G164400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFRGANASSGMGVADHSKATYLELQRKKVHRYVVFKIDEKKKEVVVEKTGGPAESYDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSVSRIRAKMLYATSKDRFRRELEGIHYEIQATDPTEMDLEVLKDRAN >Manes.03G164400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29115347:29119357:1 gene:Manes.03G164400.v8.1 transcript:Manes.03G164400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAFRGANASSGMGVADHSKATYLELQRKKVHRYVVFKIDEKKKEVVVEKTGGPAESYDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSVSRIRAKMLYATSKDRFRRELEGIHYEIQATDPTEMDLEVLKDRAN >Manes.14G128500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10230057:10235613:1 gene:Manes.14G128500.v8.1 transcript:Manes.14G128500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREVQLEPVGAENPSDSDPLLVDKADSSSPPPLSSSEINNEDDIENGSIPCCRICLESDCELGDELISPCMCKGTQQFVHRLCLDHWRSVKEGFAFSHCTTCKAQFHLRVALFEDNSWRKVKFRLFVTRDVIIVFLAVQTVIAAIGGFAYFMDKDGTFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSLNSNDPGMAGCQNCCYGWGILDCFPASMEACFALVLVFVVIFAILGIAYGFLAATMAIQKIWQRHYHILTKRELTKEYIVEDLHGCYTPPKLDSEHEERLKMLKLL >Manes.02G118800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9094476:9098087:-1 gene:Manes.02G118800.v8.1 transcript:Manes.02G118800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSKKITHTGFTMENEFQKRDGFFCDLSVLLELSASNDLIGFKREIEGGRDIDERGLWYGRRIGSKRMGFEERTPLMIAALYGSKDVLNYILEMGCVDVNRSCGSDGATALHCAAAGGSASSLEVVKLLLDASADPNTVDADGNHASDLLVPFVGSDSNSRGKALELVLKGGCANDESCVVVDQNPNEMDGQDKQEVSTPILSKDGTEKKEYPVDVTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDEINCTRRVCFFAHKPEELRPLYASTGSAVPSPRSFSANGSALDIGSVSPLALGSPAVLIPATSTPPLTPSGSSSPMGGWPNQSNIVPPTLHLPGSRLKSALCARDMDLDMELLGLDNHHRWQQQLMDEISGLSSPSNWNNGLSTGSAFAVSGDRTAELNRIGGVKPTNLEDIFGSLDPSILPQLQGLSVDASASQLQSPTGIQMHQNINQKLRSGYPTNFSSSPVRTSSFGIDPSGAAAAAAAVLNSRAAAFAKRSQSFIERSAVNRHPGFSSPTSSATVMPSNISDWGSPDGKLDWGIQGEELNKLRKSASFGIRSNASSLAAAAVSLPATLDEPDVSWVQSLVKDTSPLKSGHLGFEEQQQQQCHTNTGSSEMLPAWMEQLYIEQEQMVA >Manes.02G118800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9094476:9097944:-1 gene:Manes.02G118800.v8.1 transcript:Manes.02G118800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEFQKRDGFFCDLSVLLELSASNDLIGFKREIEGGRDIDERGLWYGRRIGSKRMGFEERTPLMIAALYGSKDVLNYILEMGCVDVNRSCGSDGATALHCAAAGGSASSLEVVKLLLDASADPNTVDADGNHASDLLVPFVGSDSNSRGKALELVLKGGCANDESCVVVDQNPNEMDGQDKQEVSTPILSKDGTEKKEYPVDVTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDEINCTRRVCFFAHKPEELRPLYASTGSAVPSPRSFSANGSALDIGSVSPLALGSPAVLIPATSTPPLTPSGSSSPMGGWPNQSNIVPPTLHLPGSRLKSALCARDMDLDMELLGLDNHHRWQQQLMDEISGLSSPSNWNNGLSTGSAFAVSGDRTAELNRIGGVKPTNLEDIFGSLDPSILPQLQGLSVDASASQLQSPTGIQMHQNINQKLRSGYPTNFSSSPVRTSSFGIDPSGAAAAAAAVLNSRAAAFAKRSQSFIERSAVNRHPGFSSPTSSATVMPSNISDWGSPDGKLDWGIQGEELNKLRKSASFGIRSNASSLAAAAVSLPATLDEPDVSWVQSLVKDTSPLKSGHLGFEEQQQQQCHTNTGSSEMLPAWMEQLYIEQEQMVA >Manes.03G083338.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14870768:14872410:1 gene:Manes.03G083338.v8.1 transcript:Manes.03G083338.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHRHLVLPLLEFLQERQLYLEEQIFKSKIELLSKTNMVDYAMDIHKSLYHTEDVPQDMIERRAKVVARLKALEEAAAPLVAFLQNANAVQELRADKQYYLQMLNDRFQVCNCNLCFSNG >Manes.05G005607.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:424710:453688:-1 gene:Manes.05G005607.v8.1 transcript:Manes.05G005607.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLYYKIDGSGLLADDEFWDEDVKRDSPPFLKSVLHEAEFRPGIVTLDGHADDWNDIDGFEFSLLPALDPDDDKEYKGGKMTVKALHDGKDLFFLLQVDGDYAYAKGNNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWHTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGNPWHGSGHFSINCDWIPLDISPGSSMLPPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.02G194100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15835858:15840639:1 gene:Manes.02G194100.v8.1 transcript:Manes.02G194100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKEMGLKNVSSTCSISEMDDFDLSRLLDKPRLNIERKRSFDERSLSELSIGLTRGGLDNYETTYSPGGRSGFDTPASSTRNSFEPHPMVADAWEALRRSIVYFRGQPVGTIAAIDHASEEILNYDQVFVRDFVPSALAFLMNGEPEIVKNFLMKTLYLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDTEGKEFIERISKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSMAIMDLIESRWEELVGEMPLKIAYPAIESHDWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIDLAETRLLKDSWPEYYDGKLGKFIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMVSLEEDKQMKPVMKRSSSWTC >Manes.01G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32176229:32185002:-1 gene:Manes.01G127600.v8.1 transcript:Manes.01G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDHWKKNSVEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTYTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARKYLTTMRKKQLVPFAQKFPNGDPLALRLLERLLAFDPKDRPTAKEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDLRELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFRRQFAHLEENGGSGPVIPLERKHASLPRSTVIHANTIPPREQNIPSSRDRQTTEETYSKNYRDSEGTRINISTLQAPQRIPLAKPGRVVGPVVPYDNGSMMKDPYDPRTFVRGSFLPSQAIPGAYGYCKSSTGKQDRSASETQRELCSQKQVQQCGIATKYAPDIAINIDSNPFFLTRAGINKVEQVDDRISINTNLLHVKAQAHAQHAGISTPATVAASHRKVGTVQYGMTKMY >Manes.01G127600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32176229:32184997:-1 gene:Manes.01G127600.v8.1 transcript:Manes.01G127600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFVAGLWNKAEEVEIVDWTNGFKFGDFEREKENKMQQDHWKKNSVEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTYTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARKYLTTMRKKQLVPFAQKFPNGDPLALRLLERLLAFDPKDRPTAKEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDLRELIFREILEYHPQLLKDYINGTERTNFLYPSAVDQFRRQFAHLEENGGSGPVIPLERKHASLPRSTVIHANTIPPREQNIPSSRDRQTTEETYSKNYRDSEGTRINISTLQAPQRIPLAKPGRVVGPVVPYDNGSMMKDPYDPRTFVRGSFLPSQAIPGAYGYCKSSTGKQDRSASETQRELCSQKQVQQCGIATKYAPDIAINIDSNPFFLTRAGINKVEQVDDRISINTNLLHVKAQAHAQHAGISTPATVAASHRKVGTVQYGMTKMY >Manes.08G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35849821:35853960:-1 gene:Manes.08G119600.v8.1 transcript:Manes.08G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITGEGGTFSSGNTTAAVGEADVQQQELLINHLNSIASTTVATSTTNGSTAQQHQPSTVKKKRNLPGNPDPSAEVIALSPKTLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSTEIRKRVYICPELSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHNKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALTEENNKANQGLVPKTETNLQSQVPNLISIPINNNQDQPMIMSNLSNLDTRIPLSLPHELMPIPTKPSGAMFSSGTTSLFGGGRSLSNCSSSFQLSANSSTVFEGNAHISAGSVSMSATALLQKAAQMGATASPTTHKSFVAGMASSTFGPMQNSNDQSQVTAGGNGGFINQFFNANGGIENPAANDVGLINGVLDQTSGLFKNIEQKTSNDKSFFHGVNSSPGLISSGLTRFSGDVMTVDFLGVGGSRQRNLHEHHKQEMEFRGIVHPRIHQGLGQFEQTALEKPMWDV >Manes.09G174200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36602410:36603423:-1 gene:Manes.09G174200.v8.1 transcript:Manes.09G174200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLLLHFIDADALFTRKMPGQENPDTCRALLDLNSTSSYFCSITISQAKLRLKIPKLLAKPMQKTSNDRTNVRNNNWGKIGSIFSCAFNSNKFPRTYSKVQRNYDIDQQDGLAVTEAKILTRDVFDGIGDQSPLSKSYSFKKLLEIEDHKQKRPIKENNPNNRQPRKVRKPLKISVSLSRLFHKRANSAGGCQNKSDATRVDNIADGVIVKRADTRSLATVPSTGNISDNVSLPRVPSRILTCRRNLKMSRPLMKTTSRQSNEGKSSDREEKDGEELCKKRILMGEKCRPLSYSGKLEYDRDGILLPHVMP >Manes.06G160000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28608455:28612107:-1 gene:Manes.06G160000.v8.1 transcript:Manes.06G160000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDYQDDENETKPKPKSNPSSSSSSSSSKTLSFNATFDPRTPIGIVERLFDFLVNETDFMAEDTAEREIVAVVKAAKDKVKKKMAEEREREAALKGTESKRLKEEKKREVKAEEKKPEIKEEKKPVIKEEKKFELNKEVKATVKEEPIEVEKEEPIEVEKEEESGAIVPNKGNGLDLEKYSWTQTLQEVNVLVPVPSGTKSRFVVCDIKKKHLKVGLKGQPPIIEGELYKPIKVDDCYWSIEDQNTISILLTKHDQMEWWKCLVKGDPEIDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQELLKKFMAQHPEMDFSKAKIS >Manes.15G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3567862:3568920:1 gene:Manes.15G046400.v8.1 transcript:Manes.15G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISETAKAYYANLSEKQKRLAANLFQAIDANGDGKISFDEYAKYMKQKGFKTISSPDFFRKLDKDGNGTLDFNEFVALHYICSSERVFVCDECRVFLDGVYFTCVQCFNGPGNTYDLCCGCYRDKNMNHHKDALFLDNYTLLQAKRQQNKRQGKNGVSETVEVAVAGLETSSNIISLCNQM >Manes.09G168200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36076002:36078703:-1 gene:Manes.09G168200.v8.1 transcript:Manes.09G168200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVFSSQMSVHKNVLKLLGCCLESPIPILVYEVAEKGTLHDCIILDRNRTNFQPLSWKNRLKIAVDVANAIAYLHTAFPRPIVHRDIKSTVILLDEECRAKLSDFSLSISIPEGETHIQDENVIGTLGYLAPEYLSESKFNEKIDVYSFGILLLVLLTEKTPNETSNYDPDLVLVKSFEKCMEEYGMIDNFVDPKIVEEGPWLGKEQQLRVYARLALQCLHYRAEDRPDITDVGKQLRQIYQSLISTGSHQN >Manes.09G168200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36076002:36078703:-1 gene:Manes.09G168200.v8.1 transcript:Manes.09G168200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVFSSQMSVHKNVLKLLGCCLESPIPILVYEVAEKGTLHDCIILDRNRTNFQPLSWKNRLKIAVDVANAIAYLHTAFPRPIVHRDIKSTVILLDEECRAKLSDFSLSISIPEGETHIQDENVIGTLGYLAPEYLSESKFNEKIDVYSFGILLLVLLTEKTPNETSNYDPDLVLVKSFEKCMEEYGMIDNFVDPKIVEEGPWLGKEQQLRVYARLALQCLHYRAEDRPDITDVGKQLRQIYQSLISTGSHQN >Manes.09G168200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36076002:36078587:-1 gene:Manes.09G168200.v8.1 transcript:Manes.09G168200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCFRGNRDENETETAAMRNGRLLLEKSIAINNGRGNPIRCFSTQDLNNATNNYDQSQMFFYNRFYGLYKGFLQERPVIVKRYKEDENLLQNCMSDIVFSSQMSVHKNVLKLLGCCLESPIPILVYEVAEKGTLHDCIILDRNRTNFQPLSWKNRLKIAVDVANAIAYLHTAFPRPIVHRDIKSTVILLDEECRAKLSDFSLSISIPEGETHIQDENVIGTLGYLAPEYLSESKFNEKIDVYSFGILLLVLLTEKTPNETSNYDPDLVLVKSFEKCMEEYGMIDNFVDPKIVEEGPWLGKEQQLRVYARLALQCLHYRAEDRPDITDVGKQLRQIYQSLISTGSHQN >Manes.09G168200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36076002:36078587:-1 gene:Manes.09G168200.v8.1 transcript:Manes.09G168200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCFRGNRDENETETAAMRNGRLLLEKSIAINNGRGNPIRCFSTQDLNNATNNYDQSQMFFYNRFYGLYKGFLQERPVIVKRYKEDENLLQNCMSDIVFSSQMSVHKNVLKLLGCCLESPIPILVYEVAEKGTLHDCIILDRNRTNFQPLSWKNRLKIAVDVANAIAYLHTAFPRPIVHRDIKSTVILLDEECRAKLSDFSLSISIPEGETHIQDENVIGTLGYLAPEYLSESKFNEKIDVYSFGILLLVLLTEKTPNETSNYDPDLVLVKSFEKCMEEYGMIDNFVDPKIVEEGPWLGKEQQLRVYARLALQCLHYRAEDRPDITDVGKQLRQIYQSLISTGSHQN >Manes.06G170450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29498204:29499405:1 gene:Manes.06G170450.v8.1 transcript:Manes.06G170450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQNQIQLVTFQSSKSQNEVAKTRRQEQIKLSLTNILQQPTACSKKPLISGEKPILFQSIHLLGSLPHLSALSLQSPHSLLLPLKSSSNIALTFYIKKLRLKKFKQKQ >Manes.04G064350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:24903340:24904395:1 gene:Manes.04G064350.v8.1 transcript:Manes.04G064350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINEEKPFVMEFHSEKQEGDIQWVSPNYERLPDLCFNCSTVGHFNNFCPNPRVQSNLRIGRRIAYWSSIKVLSPRSRMVSQSNNQAPEQPMSACNKVLTLPLETTQCPLPILSLLPPSEP >Manes.18G137881.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23400823:23401639:-1 gene:Manes.18G137881.v8.1 transcript:Manes.18G137881.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPFASNGNPPSNKQVSSNEPTIRNQVRRRGRALDPNMNFRKLKRVMASRQYFQKYRLKQLEYDLQLEKDVQALQAEVAITSPRIKYVDNQNFLLRIQNGSMNESLSVFSSDLIFKEAQYEELKKERDMLKRFYEMNQPQVLDFLKIKPFENY >Manes.02G001100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:151490:161823:-1 gene:Manes.02G001100.v8.1 transcript:Manes.02G001100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADSGYSMENGECCLPCTPQEEKQIVKGLMGEAELHLKEGNLYYVVSARWFTKWERYVGQGSDDPVVDMQSSDSQDLVDLPGRITDRPGPINNSDIVENGSDGENDDLELSRTLLEGRDYVLVPQKVWEKLVQWYTGGPALPRKMISQGVFNKKQFNVEVYPLRLKLIDSRDDSEFTIRLSKKASLHELYGRVCALRGTEREKAVIWDYFNKYKQSRLVDSSRTLEESNLQMDQEILLEMQVHESYPSLSGQDSTGNELALVALEPSRTSLSIAGGPTLSNGHPGTSSLNTRPGSALNSGPTDIDDGFGAYSAVRRGERGGLAGLQNMGNTCFMNSALQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGHNTIAPRAFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIEMKDWGGCPDKEVAEECWRNHKARNDSIIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTVSVLKNGHCRDLIQALSAACCLRSDESFLLAEVYDHRIYRLFENPFESLTCIKDEEHIVAYRFSQEGTGKKKLEIVHQEKSAPDLLKGGGWKNFGVPLLAYLEDDSPSGADIELAASRLLSPLRKACLSSVAHNGKENGVLSEANGEASNSCNGQSESGSQSMDKIELESEDTLTQNLSLQLFLTDDRYSSRKPIFKDSVVRSGRDRIKIFLDWTDTEHKLYDPSYLKDLPVVYNKSGFSAKKTRQEAVSLFSCLGAFLNEEPLGPDDMWYCPGCKEHRQATKKLDLWTLPQILVIHLKRFSYSRYLKNKLDIFVDFPIHNLDLSKFVKQKDGRSYVYELYAISNHYGGLGGGHYTAFAKLIDDNRWYNFDDSYVSPVNEADIRTSAAYVLFYGRIESEMNVAAGGTSQDHTGS >Manes.02G001100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:151490:161823:-1 gene:Manes.02G001100.v8.1 transcript:Manes.02G001100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADSGYSMENGECCLPCTPQEEKQIVKGLMGEAELHLKEGNLYYVVSARWFTKWERYVGQGSDDPVVDMQSSDSQDLVDLPGRITDRPGPINNSDIVENGSDGENDDLELSRTLLEGRDYVLVPQKVWEKLVQWYTGGPALPRKMISQGVFNKKQFNVEVYPLRLKLIDSRDDSEFTIRLSKKASLHELYGRVCALRGTEREKAVIWDYFNKYKQSRLVDSSRTLEESNLQMDQEILLEMQVHESYPSLSGQDSTGNELALVALEPSRTSLSIAGGPTLSNGHPGTSSLNTRPGSALNSGPTDIDDGFGAYSAVRRGERGGLAGLQNMGNTCFMNSALQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGHNTIAPRAFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIEMKDWGGCPDKEVAEECWRNHKARNDSIIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTVSVLKNGHCRDLIQALSAACCLRSDESFLLAEVYDHRIYRLFENPFESLTCIKDEEHIVAYRFSQEGTGKKKLEIVHQEKSAPDLLKGGGWKNFGVPLLAYLEDDSPSGADIELAASRLLSPLRKACLSSVAHNGKENGVLSEANGEASNSCNGQSESGSQSMDKIELESEDTLTQNLSLQLFLTDDRYSSRKPIFKDSVVRSGRDRIKIFLDWTDTEHKLYDPSYLKDLPVVYNKSGFSAKKTRQEAVSLFSCLGAFLNEEPLGPDDMWYCPGCKEHRQATKKLDLWTLPQILVIHLKRFSYSRYLKNKLDIFVDFPIHNLDLSKFVKQKDGRSYVYELYAISNHYGGLGGGHYTAFAKLIDDNRWYNFDDSYVSPVNEADIRTSAAYVLFYGRIESEMNVAAGGTSQDHTGS >Manes.02G001100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:151490:161823:-1 gene:Manes.02G001100.v8.1 transcript:Manes.02G001100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGLFSAAGPYKTVRADSKLKKDNESFSSAMTIADSGYSMENGECCLPCTPQEEKQIVKGLMGEAELHLKEGNLYYVVSARWFTKWERYVGQGSDDPVVDMQSSDSQDLVDLPGRITDRPGPINNSDIVENGSDGENDDLELSRTLLEGRDYVLVPQKVWEKLVQWYTGGPALPRKMISQGVFNKKQFNVEVYPLRLKLIDSRDDSEFTIRLSKKASLHELYGRVCALRGTEREKAVIWDYFNKYKQSRLVDSSRTLEESNLQMDQEILLEMQVHESYPSLSGQDSTGNELALVALEPSRTSLSIAGGPTLSNGHPGTSSLNTRPGSALNSGPTDIDDGFGAYSAVRRGERGGLAGLQNMGNTCFMNSALQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGHNTIAPRAFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIEMKDWGGCPDKEVAEECWRNHKARNDSIIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTVSVLKNGHCRDLIQALSAACCLRSDESFLLAEVYDHRIYRLFENPFESLTCIKDEEHIVAYRFSQEGTGKKKLEIVHQEKSAPDLLKGGGWKNFGVPLLAYLEDDSPSGADIELAASRLLSPLRKACLSSVAHNGKENGVLSEANGEASNSCNGQSESGSQSMDKIELESEDTLTQNLSLQLFLTDDRYSSRKPIFKDSVVRSGRDRIKIFLDWTDTEHKLYDPSYLKDLPVVYNKSGFSAKKTRQEAVSLFSCLGAFLNEEPLGPDDMWYCPGCKEHRQATKKLDLWTLPQILVIHLKRFSYSRYLKNKLDIFVDFPIHNLDLSKFVKQKDGRSYVYELYAISNHYGGLGGGHYTAFAKLIDDNRWYNFDDSYVSPVNEADIRTSAAYVLFYGRIESEMNVAAGGTSQDHTGS >Manes.02G001100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:151490:161823:-1 gene:Manes.02G001100.v8.1 transcript:Manes.02G001100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADSGYSMENGECCLPCTPQEEKQIVKGLMGEAELHLKEGNLYYVVSARWFTKWERYVGQGSDDPVVDMQSSDSQDLVDLPGRITDRPGPINNSDIVENGSDGENDDLELSRTLLEGRDYVLVPQKVWEKLVQWYTGGPALPRKMISQGVFNKKQFNVEVYPLRLKLIDSRDDSEFTIRLSKKASLHELYGRVCALRGTEREKAVIWDYFNKYKQSRLVDSSRTLEESNLQMDQEILLEMQVHESYPSLSGQDSTGNELALVALEPSRTSLSIAGGPTLSNGHPGTSSLNTRPGSALNSGPTDIDDGFGAYSAVRRGERGGLAGLQNMGNTCFMNSALQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGHNTIAPRAFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIEMKDWGGCPDKEVAEECWRNHKARNDSIIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTVSVLKNGHCRDLIQALSAACCLRSDESFLLAEVYDHRIYRLFENPFESLTCIKDEEHIVAYRFSQEGTGKKKLEIVHQEKSAPDLLKGGGWKNFGVPLLAYLEDDSPSGADIELAASRLLSPLRKACLSSVAHNGKENGVLSEANGEASNSCNGQSESGSQSMDKIELESEDTLTQNLSLQLFLTDDRYSSRKPIFKDSVVRSGRDRIKIFLDWTDTEHKLYDPSYLKDLPVVYNKSGFSAKKTRQEAVSLFSCLGAFLNEEPLGPDDMWYCPGCKEHRQATKKLDLWTLPQILVIHLKRFSYSRYLKNKLDIFVDFPIHNLDLSKFVKQKDGRSYVYELYAISNHYGGLGGGHYTAFAKLIDDNRWYNFDDSYVSPVNEADIRTSAAYVLFYGRIESEMNVAAGGTSQDHTGS >Manes.07G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12509448:12512260:1 gene:Manes.07G066200.v8.1 transcript:Manes.07G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNAWPCPPAFEKDENALQFVEQMTTNADMVQERILAEILSRNKEVEYLKRFKLDGATDRETFKSKIPVITYDDLQPEIQRIANGDRSAILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRRLLYNLLMPVMNLYVPGLDKGKVLNFLFIKSETRTPGGLLARPVLTSYYKSDHFKTRPYDPYNVHTSPNEAILCADSFQSMYTQMLCGLLEREQVLRVGAVFASGLLRAIRFLQLNWRELALDIESGTLNKRITDPCIKDCMGKILKPNPELAEFIRLQCSKENWEGIITRIWPNTKYLDVIVTGAMSQYIPTLDYYSGGLPLACTMYASSECYFGLNLNPMCKPSEVSYTIMPNMAYFEFLPHEPHSDGLTRDSPPKLVDLADVEVGKEYELVITTYAGLYRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQKAVEKASQLLREFNTSVVEYTSYADTKTIPGHYVIYWELMIKDSANSPTQKILNECCLAMEESMNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSRHFSPGLPQWSSERRRM >Manes.03G173300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29761629:29767337:-1 gene:Manes.03G173300.v8.1 transcript:Manes.03G173300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPGQDSREEVIQAWYMDDSDEDQRLPHHKDPKEFVSLDQLAEFGVLSWRLDADNYETDEELKKLREERGYSYMDIIEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYCLDGSGYFDVRDQNDSWIRVSVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGDPVWTPFNRPHDHLPERMEYLKAFVHKEAGDHAVDAAA >Manes.17G095400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30337376:30339270:-1 gene:Manes.17G095400.v8.1 transcript:Manes.17G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIDSSFVQDLEHRPNFRGVEIEQIPVIDLSVSTPTETQQLISKIGDACKKYGFFQVINHGVPLELRQNTEKVAKGFFHLPLEEKRKVMRNEVDPMGYYDCEHTKNVRDWKEVFDYLVLDPTLIPASADPDDEELRTLTNQWPQNPSEFREICREYTKQVEKLAFKLLEFISLSLGLPADRLNGYFKDQISFARLNYYPPCPAPHLALGVGRHKDGGALTVLAQDEVGGLEIALRSNGEWIPVKPIPDAFIINIGNCMQVWSNDLYWSAEHRVVVNSEKERFSMPFFFFPAHYVQIKPLEELVNDQNPPNYKEFNWGKFFASRNRSDYKKQEVENVQIDHFKASD >Manes.15G115701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9211709:9219625:-1 gene:Manes.15G115701.v8.1 transcript:Manes.15G115701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTWWMVAAAALFVVPQVPCYFIFGDSLADNGNNNFLNTLAKANYSPYGIDFPYGSTGRFTNGRTIVDAIAELMGFQHFIPPFATATGIDRLFGVNYASGSAGIRKETGQHLGERIPFDMQLENHQTTVLELVDIPGTKWAAEWHLSRCLYSYRTSRDYTLSQFSQLPIQQYTQQLLALYDYGARKIALFGLFNKKLKSLVKELNANLTDAKFIYINYYAIGADSSVLNFKDSSIGCCPVSSDGECIENEVPCKNRTEYAFWDSYHPTEAVNKFIATSDAYPFDIRHLVMLHLQIVITVHICLFTYILKIFSMAGRRTKLHLLGIFLLTLASLKQHCVDGESKVPCYFIFGDSLVDSGNNNNLPTLAKVNYLPYGIDFPEGPTGRFCNGRTADNLYKSGARKVALSGIGPIGCTPGAVASSDTNGSLCVDWMNKAINLFNNRLELLVNQLNSELIGAQFIYLNTYGIVSEYIASPASQIKIDGCCKVNVSALHVKIGICTSSGMLFTQVKLQTRSLEDYRI >Manes.09G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12144860:12151393:-1 gene:Manes.09G071100.v8.1 transcript:Manes.09G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDKSVPEQLPPVLDATAEQPPLFDGTTRLYTAYTCPFAQRVWITRNYKGLQDKIHLVPLNLQNRPSWYGEKVYSVNKVPALEHNGKIIGESLDLIKYIDSNFEGPSLLPDDPAKKELAEELFSYTDKFNTTVFTSFKGDVAKESGPAFDYLENALHKFDDGPFLLGQFSLVDIAYIPFVERFQIFLSEVFKYDTTAGRPKLAAWIEEMNKIGAYKQTKTDPKQLVEFYKKRILGQ >Manes.13G106550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30930664:30933009:-1 gene:Manes.13G106550.v8.1 transcript:Manes.13G106550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDSFVQSTIPHFDGHYDHWSMLMENFLRSKEMFDYVVCAIEESKDIDTLSLDELQSPLLVHEQKMNRSSTIEEKALKASTFDHSSSSRGRGRGDRGNRNGNTNSKTDDDQSYKGKGRFQHFDKSKIECYKCHKFSHFHSECRTKISNDKENREKSNFMENHEAETFDINIKTKNGFIDTISSVFYVPGLKSNLLSAGQLQEKGYVITIQKGACEIYDPSRGAIAIMQMSSNRLFPLQIQVAQTCFMAKIKNLSWLCHFRYGHLNFNGLRTLQ >Manes.15G123200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9815612:9816710:1 gene:Manes.15G123200.v8.1 transcript:Manes.15G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTPIFVQNSVISPYKSKAHYPQKAKGISFIAFVFSIFLYISIFYIFNLSPSELFKNSKFWFFISNTLIIIILVDYGAFSSSTKQHELYQDYIIRRQTSAPSCYPQHYSEIIKTCIPEEHVEDLWEKSKVTIPQRTSSLTSFQGNYKKPGSCLEQDYISGSCKKKQVQAKTFRRSKSDICKRVVIDESKNSIRRIETDDILHDSPPNVVDGEENKNDEYAKMSNEELNRRVEEFIQRFNRQIRLQRDVY >Manes.17G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26192273:26196353:-1 gene:Manes.17G062300.v8.1 transcript:Manes.17G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAGNKWKWWWLVYSAVFLALHGAASAQQVPCYFIFGDSLVDNGNNNQLSSLARADYLPYGIDFVPPRATGRFSNGKTTVDVIAQQLGFNSFIPPYATTRGRNILQGLNYASAAAGIREETGQQLGGRISFSGQVRNYQNTVSQLVNLLGDQNRTANYLSKCIFSIGLGSNDYLNNYFMPRFYSSSRQYTPEQWANILIQQYIQQLRILYNNGARKFALIGLGQIGCSPSELAQNSPDGRTCVQRINSANEIFNNKLRSLVDQFNGNTPDARFIYINVYGMFQDITSNPARYGFRVTNAGCCGVGRNNGQITCLPLQTPCPNRNQYLFWDAFHPTEAANIIIGRRSYSAQSPSDAYPFDISRLAQL >Manes.05G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5664148:5672661:1 gene:Manes.05G068500.v8.1 transcript:Manes.05G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSQKRVVVCTPLECETAAEMLSSMEKAREEGADLVEFRIDSMSFSHISQLHKLFQLRTLPAIVSFRADSWNASSSEDRNTSTCLQVMRLAVDLNVEFVEMDYEVASDTNMAECVYNRPNSKLIVSSYVNGGKPSPEKLGNLIACMQSTGADVIKLVINVEYITDLASVFKILAHCQVPLIALAVGSRGLISQLLGPKFGGFLVYGSLGDKTVPGMPTLFSLRHVYKLEYINAETKVFGLISNPVGHSKGPILHNPAFRHTGYNGIYVPMQVDDIKAFFRTYTGTDFAGFSVGIPHKEAAVGCCDEVHPLAKSIGAVNTIVRRPTDGKLVGYNTDCEASISAIEDALRERQATNGGGSDASPLAGKTFVLVGAGGAGRALAFGAKSRGAHVIVFNRNYERAKSLAHAVSGKALPYESLEKFRAEKVMILANASAVGMEPNSDQTPVSKEALKAYELVFDAVYTPRNTRLLQEAKEVGAIVVSGLEMFIRQAIGQFRLFTGGLAPEAFMRKLVLEQF >Manes.16G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1553454:1557510:1 gene:Manes.16G017900.v8.1 transcript:Manes.16G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRFLFSFYFLFLHTAILARAQFCMNNIGNYTTNSTYKRNLNNLLSSIVSNNETNYGFYNLSGGRNPDQVNAISLCRGDISSDECKGCIRNSTLQILQACPNQKEAIGFSESCMLRYSNRSIFNAMETQPTVHMWNTENASDVNQFNQALQALLSRLRSKAASGNSTRKFATGNESAGFETIFGLVQCTPDVSEQDCNDCVVAAVRDIPFCCDGKLGGRVIKPSCNLRFENYRFYRVTLDDDAATQPLLPAAPPPQGSLSPPPPPPSEGKNNRRITIIIVVLIVSITTLIICVSVYLILRKPRERVETVDEIVSVESLQFSFETIRASTDNFSEENKLGAGGFGSVYKGSLHNEQNIAVKRLSSDSKQGDLEFKNEVLLLAKLQHRNLVRLRGFCLEGKERLLIYEFVPNGSLDHFIFDPVRCVHLDWETRYKIIGGIARGLLYLHEDSRLPIIHRDLKASNILLDADMNPKISDFGMARLFMMDQTHSNTSRIVGTFGYMAPEYAMHGQFSFKSDVFSFGVLVLEIVSGKRNSCFCSDESFEDLLSSAWKNWREGTSLNLVDPNLTTGSRTDMMRCIHIALLCVQENISERPSMASIVLMLSSNSHTLTLPSQPPCFMLSSIESYVSSSVGNWTTKSSQARNRTLPSSITRNRTLPSSINKGSITELYMRTL >Manes.06G006200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1069354:1071032:-1 gene:Manes.06G006200.v8.1 transcript:Manes.06G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFGTAEKVAAFFSIVFLLVLWLRLWGRKRINMIRSLLRNFSNIHDYTTSGLRRSGGTLSFKGPWFTGMDFLVISDPMNVNHILSKNFTNYHKGPEFKQIFEPLGNGIFNADSDSWSVQRKIFHSLLIKNKKFELAVEFTLKQKILQGLFPLLENVSQVDIQDVFQRFTFDNICQLVLGFDPNSLSIEFPEVPYQKAFDDIEEAVMYRHAVPGSIWKLQKWLQIGKEKKLKEACKIFDDFLEQCIIRKREQSDQNCKEQTEGEYFDLLTYFFAEGDEFAAAAAKGGIHLKSSKFLRDMATSLLVAGRDTVGASLVWLFWLVGTHPSVEKKILEEIKSTLGEKTDEKWRVFSIEEVRKLVYLHAVICEVLRLYPSIPFQHKVSIEKDILPSGHRVPKNMRILFSFYSMGRMEEIWGKDCLEFKPERWISEGGGIKHVPSYKFIAFNAGPRSCLGKELSFIQIKLIAASIMWNYSLQVVENHPVSPCVSVILYMKKGLKVNVFKRIAA >Manes.01G004600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2179382:2180877:1 gene:Manes.01G004600.v8.1 transcript:Manes.01G004600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRLASISVENIESNRQALRELLFTSPNALSHLSGVILFEETLYQKTSDGKPFVDVLQENNVVPGIKVDKGTVELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIECTIAQGLARYAIICQENGLVPIVEPEVLTDGSHDIKKCAYVTEIVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVAAEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATLNLNAMNKLAVLKPWTLSFSFGRALQQSTLKIWAGKKENVEKAQEAFLARCRANSEATLGKYTGGGAGGLASESLFVKGYKY >Manes.01G039192.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7987556:7990669:1 gene:Manes.01G039192.v8.1 transcript:Manes.01G039192.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEGEALVKFKSSLVNNSNSLPSWVGDDCCRWHGVTCDDITGHVVKLVLSRASIMGNISLHLGNLSNLQYLDLSWNYDLSANPSLAIHSLHFPSSLKYLYLPYVVLDKCDNWLQSINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLNLGLNNFHSTIPSWLYNITKLRHLVLQSSAFRGSLSTDISNLNSLASLYAGSNSLESNIPNTLNRLCNLIELDLGYNKFSGEISGTFGNSSTCIKNSLENLILLNNSFSGSIPDNLGQFKRLKVLSLSKNSFWGSIPVSIGQLYNLETLGLSKNSLHGKVSELHLLNLRSLIKLNTGGNSLVFDIDPEWIPPFQLDWIGLSSCEVGPSFPEWLKTQKSIKVLGMSNASISDNIPDWFENISSNIVGLDLSYNQLFGTLPTFRKLNTTYANEYRIISLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNLSEMMPSMQLLSLSNNYLNGTVPANLCRSGSLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNAFDGFIPSWIGESLSSLKILSIHSNKFEGEIPLQLCYLASLRILNLANNKMTGTIPNCFGNFTAIAMHEQKGHWDYYTKDEPFALLTASYGENLLVYIKGIELEYSRTLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSDNELSGSIPFSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGLCSILYFNDSWRCAWFVLVDRVCNKLWVTIAIKVNQVKRKFLRNKLEGNA >Manes.04G137850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33738519:33738833:1 gene:Manes.04G137850.v8.1 transcript:Manes.04G137850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQEREDHSHHHHHRNPQLCKAKPETFQLSSHEHKLYVLGNEAEEGERERKRKRRKLFQCLKLEIQVKKKQNQILSSGVLDFHEKMKFQKEKREKEGDICLGS >Manes.06G112900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24382643:24385126:1 gene:Manes.06G112900.v8.1 transcript:Manes.06G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMSFGAFLFWQSMDRVHVWIALHQDEKKERLEKEMEIRRVREELLQQAKQKDSLA >Manes.17G062900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26235548:26238848:1 gene:Manes.17G062900.v8.1 transcript:Manes.17G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTGLSSVFSGCSDAAGIAGNIFAFVLFLSPIPTFRRIIKSQSTEQFSGLPYVYAFLNCLICLWYGLPIISPGIILVATVNSIGAVFQLIYISIFIWYADNSRKLKMSGLLVAVVAAFGVIAFVSMAFFESHARQIFVGYLSVFSLISMFASPLCVINLVIKTRSVEYMPFYLSLATFVMSLSFFAYGMLKFDPFIYVPNGIGTILGICQLVLYSYYSSKYGEDSSEPLLAPYA >Manes.16G011800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1166321:1167334:-1 gene:Manes.16G011800.v8.1 transcript:Manes.16G011800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESERSSSDETFVNSQESNEEKTLEFSEDEEALVIRMYNLVGKRWSLIAGRIPGRTAEEIEKYWNSRYPTTE >Manes.16G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1166321:1167334:-1 gene:Manes.16G011800.v8.1 transcript:Manes.16G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESERSSSDETFVNSQAESNEEKTLEFSEDEEALVIRMYNLVGKRWSLIAGRIPGRTAEEIEKYWNSRYPTTE >Manes.05G116801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11979463:11980135:1 gene:Manes.05G116801.v8.1 transcript:Manes.05G116801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDGAARVLRGRNAKTNFAYYGTSAIDPNEENCCRLLGKNPRLYQLLRHAVLNNHARSSSAADKVSVDRNFDKMVEERIVCSSDLDHDHGGSNKLCGVSFRTSKVYSSVFVAPSFSSSSSLLCTGEENSCKEA >Manes.03G082200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14535342:14535830:-1 gene:Manes.03G082200.v8.1 transcript:Manes.03G082200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLIFFFVNLLVVHTHQASDLATQTCDKLTSVKELCKTALGTSTATDMEGFVKASLAATTRVGGDVSEQIAQMLMSEASTAQESLTKCASIYKAAMDELKNSTAALNEKAYADVEVKLTEAMTTSKACEDGFKGASPLTEQNNKFRDYCNLTLDIIKTIKV >Manes.03G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6482956:6509870:1 gene:Manes.03G060700.v8.1 transcript:Manes.03G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSCSTSLHLFNPLPTTAISSIHSSSNLFFSPNSNRFTVPRSERSTFGKELHALCGEGDGRLLPPVGESVWAGQRGYRKVRRRAVPKSKDAELALNVSICIEEEMPNDPEILRIAELLRLNVPMAMKVAFDGLKDSAYKTRDMAVSDVGGYQSVELSVLLCNDKFIRKLNKEWRGEDHATDVLSMSQHVPELKLPVLMLGDIVISVETAARQAEERGHALTDEIRILLVHGLLHLLGFDHEISEEAEAEMEKVEELLLKSSGWKGKGLIQNAYDAETTMNLQTENLDGRKREGSLQFYKPKFSYIFCDMDGTLLNSKSQVSLTNAKALKEALSRGIKVVIATGKARPAAISILEMVDLAGKDGVISEFSPGVFLQGLLVYGRQGREIFRRNLDPSVCREACLYSLEHKVPLIAFSNNRCLTLFDHPLVESLHTIYQEPKAEIMPSVEQLLAASDIQKMLFFDTAESVSTSLRPYWLEATGGRANVVQAVPDMLELVPRGTSKGSGVKLLLDHLGVTTKEVMAIGDGENDIEMLELASLGVALSNGSEKTKAVADIIGVSNDEDGVADAIYRYAF >Manes.03G060700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6482956:6509870:1 gene:Manes.03G060700.v8.1 transcript:Manes.03G060700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSCSTSLHLFNPLPTTAISSIHSSSNLFFSPNSNRFTVPRSERSTFGKELHALCGEGDGRLLPPVGESVWAGQRGYRKVRRRAVPKSKDAELALNVSICIEEEMPNDPEILRIAELLRLNVPMAMKVAFDGLKDSAYKTRDMAVSDVGGYQSVELSVLLCNDKFIRKLNKEWRGEDHATDVLSMSQHVPELKLPVLMLGDIVISVETAARQAEERGHALTDEIRILLVHGLLHLLGFDHEISEEAEAEMEKVEELLLKSSGWKGKGLIQNAYDAETTMNLQTENLDGRKREGSLQFYKPKFSYIFCDMDGTLLNSKSQVSLTNAKALKEALSRGIKVVIATGKARPAAISILEMVDLAGKDGVISEFSPGVFLQGLLVYGRQGREIFRRNLDPSVCREACLYSLEHKVPLIAFSNNRCLTLFDHPLVESLHTIYQEPKAEIMPSVEQLLAASDIQKMLFFDTAESVSTSLRPYWLEATGGRANVVQAVPDMLELVPRGTSKGSGVKLLLDHLGVTTKEIICKGYVSGGLYILHAWVL >Manes.03G060700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6482956:6509870:1 gene:Manes.03G060700.v8.1 transcript:Manes.03G060700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSCSTSLHLFNPLPTTAISSIHSSSNLFFSPNSNRFTVPRSERSTFGKELHALCGEGDGRLLPPVGESVWAGQRGYRKVRRRAVPKSKDAELALNVSICIEEEMPNDPEILRIAELLRLNVPMAMKVAFDGLKDSAYKTRDMAVSDVGGYQSVELSVLLCNDKFIRKLNKEWRGEDHATDVLSMSQHVPELKLPVLMLGDIVISVETAARQAEERGHALTDEIRILLVHGLLHLLGFDHEISEEAEAEMEKVEELLLKSSGWKGKGLIQNAYDAETTMNLQTENLDVSMLSGRKREGSLQFYKPKFSYIFCDMDGTLLNSKSQVSLTNAKALKEALSRGIKVVIATGKARPAAISILEMVDLAGKDGVISEFSPGVFLQGLLVYGRQGREIFRRNLDPSVCREACLYSLEHKVPLIAFSNNRCLTLFDHPLVESLHTIYQEPKAEIMPSVEQLLAASDIQKMLFFDTAESVSTSLRPYWLEATGGRANVVQAVPDMLELVPRGTSKGSGVKLLLDHLGVTTKEVMAIGDGENDIEMLELASLGVALSNGSEKTKAVADIIGVSNDEDGVADAIYRYAF >Manes.03G060700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6482956:6509871:1 gene:Manes.03G060700.v8.1 transcript:Manes.03G060700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSCSTSLHLFNPLPTTAISSIHSSSNLFFSPNSNRFTVPRSERSTFGKELHALCGEGDGRLLPPVGESVWAGQRGYRKVRRRAVPKSKDAELALNVSICIEEEMPNDPEILRIAELLRLNVPMAMKVAFDGLKDSAYKTRDMAVSDVGGYQSVELSVLLCNDKFIRKLNKEWRGEDHATDVLSMSQHVPELKLPVLMLGDIVISVETAARQAEERGHALTDEIRILLVHGLLHLLGFDHEISEEAEAEMEKVEELLLKSSGWKGKGLIQNAYDAETTMNLQTENLDVSMLSGRKREGSLQFYKPKFSYIFCDMDGTLLNSKSQVSLTNAKALKEALSRGIKVVIATGKARPAAISILEMVDLAGKDGVISEFSPGVFLQGLLVYGRQGREIFRRNLDPSVCREACLYSLEHKVPLIAFSNNRCLTLFDHPLVESLHTIYQEPKAEIMPSVEQLLAASDIQKMLFFDTAESVSTSLRPYWLEATGGRANVVQAVPDMLELVPRGTSKGSGVKLLLDHLGVTTKEAYMTQHVFFINRHVLIYPLNME >Manes.03G060700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6482956:6509870:1 gene:Manes.03G060700.v8.1 transcript:Manes.03G060700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSCSTSLHLFNPLPTTAISSIHSSSNLFFSPNSNRFTVPRSERSTFGKELHALCGEGDGRLLPPVGESVWAGQRGYRKVRRRAVPKSKDAELALNVSICIEEEMPNDPEILRIAELLRLNVPMAMKVAFDGLKDSAYKTRDMAVSDVGGYQSVELSVLLCNDKFIRKLNKEWRGEDHATDVLSMSQHVPELKLPVLMLGDIVISVETAARQAEERGHALTDEIRILLVHGLLHLLGFDHEISEEAEAEMEKVEELLLKSSGWKGKGLIQNAYDAETTMNLQTENLDGRKREGSLQFYKPKFSYIFCDMDGTLLNSKSQVSLTNAKALKEALSRGIKVVIATGKARPAAISILEMVDLAGKDGVISEFSPGVFLQGLLVYGRQGREIFRRNLDPSVCREACLYSLEHKVPLIAFSNNRCLTLFDHPLVESLHTIYQEPKAEIMPSVEQLLAASDIQKMLFFDTAESVSTSLRPYWLEATGGRANVVQAVPDMLELVPRGTSKGSGVKLLLDHLGVTTKEIICKGYVSGGLYILHAWVL >Manes.18G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18857420:18859485:1 gene:Manes.18G140400.v8.1 transcript:Manes.18G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSSFYYYNMAFSSQIYGLYLFMLLLGLGLGYAQLSSNYYATTCPKALSTIKIAVLKAVAKEHRLGASLLRMHFHDCFVNGCDASILLDDVSPSFKGEKTAGPNANSLRGFEVIDSIKSQVESICPGVVSCADIIAVAARDSVAALGGPSWQVELGRRDSTTASYSAANTELPSPLMDLSDLISSFSNKGFTAKDMVALSGAHTTGQARCSMFRGRLYNETNIDSTLATSLKSSCPSTGSDTNFSPLDATSPVIFDNAYFTNLVNNKGLLHSDQQLFSGGSTDSQVKTYSTNPITFYADFANAMVKMGKLSPLTGTEGEIRTDCRKVN >Manes.18G140400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18857420:18859485:1 gene:Manes.18G140400.v8.1 transcript:Manes.18G140400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYLPITMPQHAQKLFLPSKLLCLKLLQRSIAWEHLCFGCDASILLDDVSPSFKGEKTAGPNANSLRGFEVIDSIKSQVESICPGVVSCADIIAVAARDSVAALGGPSWQVELGRRDSTTASYSAANTELPSPLMDLSDLISSFSNKGFTAKDMVALSGAHTTGQARCSMFRGRLYNETNIDSTLATSLKSSCPSTGSDTNFSPLDATSPVIFDNAYFTNLVNNKGLLHSDQQLFSGGSTDSQVKTYSTNPITFYADFANAMVKMGKLSPLTGTEGEIRTDCRKVN >Manes.01G178500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35813179:35815785:1 gene:Manes.01G178500.v8.1 transcript:Manes.01G178500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSHMHSYKDLGSKLKPADDVVAMGTTLEKSKQKAHFDIKLWGWSLRSFLPWAINAKDKIPTPTTINKGLRRRAQSHGFVEYGGAGANSLHFRPYVSKVPWHTGPRGYLSQLFPRYGHYCGPNWSSGKDKGSLLWDKRPIDWLDYCCYCHDMGYDTHDQAELLKADLQFLACLEKPNMATKGNIHIAQIYKTMCITGLRNVLIPYRIHLVKLQSGHSFLSFGWLSDVK >Manes.01G178500.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35813179:35815785:1 gene:Manes.01G178500.v8.1 transcript:Manes.01G178500.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSHMHSYKDLGSKLKPADDVVAMGTTLEKSKQKAHFDIKLWGWSLRSFLPWAINAKDKIPTPTTINKGLRRRAQSHGFVEYGGAGANSLHFRPYVSKVPWHTGPRGYLSQLFPRYGHYCGPNWSSGKDKGSLLWDKRPIDWLDYCCYCHDMGYDTHDQAELLKADLQFLACLEKPNMATKGNIHIAQIYKTMCITGYIL >Manes.01G178500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35813179:35815785:1 gene:Manes.01G178500.v8.1 transcript:Manes.01G178500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSHMHSYKDLGSKLKPADDVVAMGTTLEKSKQKAHFDIKLWGWSLRSFLPWAINAKDKIPTPTTINKGLRRRAQSHGFVEYGGAGANSLHFRPYVSKVPWHTGPRGYLSQLFPRYGHYCGPNWSSGKDKGSLLWDKRPIDWLDYCCYCHDMGYDTHDQAELLKADLQFLACLEKPNMATKGNIHIAQIYKTMCITGLRNVLIPYRIHLVKLQSGHSFLSFGWLSDVK >Manes.01G178500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35813179:35815800:1 gene:Manes.01G178500.v8.1 transcript:Manes.01G178500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSHMHSYKDLGSKLKPADDVVAMGTTLEKSKQKAHFDIKLWGWSLRSFLPWAINAKDKIPTPTTINKGLRRRAQSHGFVEYGGAGANSLHFRPYVSKVPWHTGPRGYLSQLFPRYGHYCGPNWSSGKDKGSLLWDKRPIDWLDYCCYCHDMGYDTHDQAELLKADLQFLACLEKPNMATKGNIHIAQIYKTMCITGLRNVLIPYRIHLVKLQSGHSFLSFGWLSDVK >Manes.01G178500.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35813179:35815785:1 gene:Manes.01G178500.v8.1 transcript:Manes.01G178500.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSHMHSYKDLGSKLKPADDVVAMGTTLEKSKQKAHFDIKLWGWSLRSFLPWAINAKDKIPTPTTINKGLRRRAQSHGFVEYGGAGANSLHFRPYVSKVPWHTGPRGYLSQLFPRYGHYCGPNWSSGKDKGSLLWDKRPIDWLDYCCYCHDMGYDTHDQAELLKADLQFLACLEKPNMATKGNIHIAQIYKTMCITGYIL >Manes.01G178500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35813179:35815785:1 gene:Manes.01G178500.v8.1 transcript:Manes.01G178500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSHMHSYKDLGSKLKPADDVVAMGTTLEKSKQKAHFDIKLWGWSLRSFLPWAINAKDKIPTPTTINKGLRRRAQSHGFVEYGGAGANSLHFRPYVSKVPWHTGPRGYLSQLFPRYGHYCGPNWSSGKDKGSLLWDKRPIDWLDYCCYCHDMGYDTHDQAELLKADLQFLACLEKPNMATKGNIHIAQIYKTMCITGYIL >Manes.01G178500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35813179:35815800:1 gene:Manes.01G178500.v8.1 transcript:Manes.01G178500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSHMHSYKDLGSKLKPADDVVAMGTTLEKSKQKAHFDIKLWGWSLRSFLPWAINAKDKIPTPTTINKGLRRRAQSHGFVEYGGAGANSLHFRPYVSKVPWHTGPRGYLSQLFPRYGHYCGPNWSSGKDKGSLLWDKRPIDWLDYCCYCHDMGYDTHDQAELLKADLQFLACLEKPNMATKGNIHIAQIYKTMCITGLRNVLIPYRIHLVKLQSGHSFLSFGWLSDVK >Manes.01G178500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35813179:35815800:1 gene:Manes.01G178500.v8.1 transcript:Manes.01G178500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSHMHSYKDLGSKLKPADDVVAMGTTLEKSKQKAHFDIKLWGWSLRSFLPWAINAKDKIPTPTTINKGLRRRAQSHGFVEYGGAGANSLHFRPYVSKVPWHTGPRGYLSQLFPRYGHYCGPNWSSGKDKGSLLWDKRPIDWLDYCCYCHDMGYDTHDQAELLKADLQFLACLEKPNMATKGNIHIAQIYKTMCITGYIL >Manes.10G136600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30389959:30393237:-1 gene:Manes.10G136600.v8.1 transcript:Manes.10G136600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMETSPFLFILFLFAGELVSGGTAQLVHCNEADREVLLDFKMGLEDPMNQLFSWHGSNCCQWLGIACDNATGAVISINLSSLVLGGEIRPSFSKLKFLTHLDLSSNLFNCSIPDSFSFLEKLQYLNLASAGFSGAIPPTLGNLSSLQILDVSSYGLNVDNLDWVSGLVSLKYLSMNYVDLSKVGAEWVMALNKLPFLAELHLAGCGLSNFMYTLPSLNFTSLAVLDLACDFHFTCNHFHSKLPNWLANVSSLVSVDISHGSLRGRIPLGFSELPNLKSLKLNGNENLSASCSQLFHGSWEKIEFLDFSVNKLHGRLPASLGNMTSLFHLDLSHNDIKGEIPNSIGRLKNLTHLSLDYNLLHGLIPAAIGNLQHLAFLSLSSNKLNGTLPDSLGLLSELYFLDVSINELTSVITEAHFLMLSKLKSLFLYRNSLIFNISSNWVPPFQLHVLDIRSCHSGPSFPFWLRSQKEIRFLLLSNCSISGSIPNWFWSISGSFYHLDVSSNHLVGRLPKLLNVGLGAFMVDLSNNHLEGPIPLFDVSILDLSNNQFSGSIPNNIGQIMTSVEFLSLSGNQLNGAIPDSLGSIGYSLEVLDLSKNNLTGSIPLSIGNCFDLQIVDFQNNNLSGGIPSSMGKLFGLQILHLGKNSLSGEIHSLQSVSSLETLDLANNRFTGNIPPWIAEAFPHLRILSLRSNNFSGEIPLALFNMGSLQVLDLAENHLNGYIHANIGSLEAMTHQQNITNILFYGSFVEHQYKQYIDAMKNGIELQYTKTLALLTSIDLSGNDLHGVIPKEITKLVGLVVLNLSRNHISGQIPENISELSQLLSLDLSGNKLSGRIPLSMSSMTFLGSLNLSNNNLLGRIPYQGQMTTFSASSFVGNSGLCGNPLALKCPGDESNNSSYVGGKDEAEEGNGFIHKWFYFSIGLGFGVGLLLPFLIFAIKRTWGGVYFAYVDMVVVKLWSSRN >Manes.05G031000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2654521:2659474:1 gene:Manes.05G031000.v8.1 transcript:Manes.05G031000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYMEAVLGFMVLMYVFETYLDLRQHSALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFNFVHEFVTILLDSAILYFGILPWFWKKSGNFLLLSGLSAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFIRDLFKGICLAIVLGPPIVSAIILIVQKGGPYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELRAKIEALASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSSDLFRSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRSFEFQADAFAKKLGYASALRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAALDESDKKAD >Manes.05G031000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2655072:2659638:1 gene:Manes.05G031000.v8.1 transcript:Manes.05G031000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMYVFETYLDLRQHSALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFNFVHEFVTILLDSAILYFGILPWFWKKSGNFLLLSGLSAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFIRDLFKGICLAIVLGPPIVSAIILIVQKGGPYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELRAKIEALASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSSDLFRSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRSFEFQADAFAKKLGYASALRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAALDESDKKAD >Manes.05G031000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2654521:2659474:1 gene:Manes.05G031000.v8.1 transcript:Manes.05G031000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYMEAVLGFMVLMYVFETYLDLRQHSALKLPTLPKTLEGVISQEKFEKSRAYSLDKSHFNFVHEFVTILLDSAILYFGILPWFWKKSGNFLLLSGLSAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKKGGPYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELRAKIEALASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSSDLFRSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRSFEFQADAFAKKLGYASALRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAALDESDKKAD >Manes.S048416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1540787:1541161:-1 gene:Manes.S048416.v8.1 transcript:Manes.S048416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.03G138100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26473901:26477139:1 gene:Manes.03G138100.v8.1 transcript:Manes.03G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKIQVDLNTNWEEGFSQFESVIASGNEFMQVQATIRLAHLSKHAPEHVLARCIPILAKLLQIDNSNNLNHSVQEAAAYCLKRIVCQGNGVLAAEIAQSGATNLILSLLPQSNDRFRSVLIKCVWCLVNFGDVNRLIVARNGGLEIVLDMLNSCRVGNRLYLLEILSALALLREVRRVLVRLGGLRFLVEAVRFGSTISRERACQAVGLLGVTRRARSMLVELGVIQVLVELFRDGDGTTKLVAGNSLGVVSAHIDFIRPVAEAGAIPLYAELLQGPDPVGKEIAEDAFCILAVAEDNAASIAEHLVRILREGDDEAKVAASDVFWDLSSCKHSVSIVQNSGAIPILVELLRDENGEVREKVSGAIAQLSYNEADRAALADAGAVQTLIELLHDESEELKDNAAEALVNFGKDPQQHDIIFAAVDIPSFQNMQDRMARLRVSDEHMVRSLRRMSINQLTSNPVLT >Manes.18G072700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6518719:6525139:-1 gene:Manes.18G072700.v8.1 transcript:Manes.18G072700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIETPTNPENGSATLSPAVTAADLHQKPHSAVNGTPPQAAEMTQMESDSLKKRRSSVLPLEVGTRVMCRWRDGKYHQVKVIERRKMQCGGPNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDSVKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >Manes.18G072700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6518719:6525140:-1 gene:Manes.18G072700.v8.1 transcript:Manes.18G072700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIETPTNPENGSATLSPAVTAADLHQKPHSAVNGTPPQAAEMTQMESDSLKKRRSSVLPLEVGTRVMCRWRDGKYHQVKVIERRKMQCGGPNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVERKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >Manes.12G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10987160:10989282:-1 gene:Manes.12G083100.v8.1 transcript:Manes.12G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEHTNKGAWTKEEDERLINYIKLHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLYSRGIDPQTHRPVSSGAAAATDTFNATTATAALGKCRSSSSTNHNKNNKSSINVSKIEIHNPLSQSIEARECINTNNMKICSSSNKKVGTDSSAEDSNCSSGVTTEEICPEINLDLSIGLPRQQPQVSSINFIKQKQADNYQQQQYHHQQQQPQRQNLTHVFSEASAKSVCLCCSVGLQSNQTCSCRVMETSLTAGHFSRYYRSLNF >Manes.17G108900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31535934:31537120:1 gene:Manes.17G108900.v8.1 transcript:Manes.17G108900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRSKRFCRGSFKLGCNGGNGNNKGGSEREGSCGGLGEIKWELRPGGMLVQKRENGDSVGELITIRVSTLSQWHDISIDATSTFGELKIVLSLVSGLEPREQRLLFKGKEREDIEYLHMIGVRDKDKVFLLEDPAIKERKLHGLADGTPCTISI >Manes.07G105900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31222444:31228203:1 gene:Manes.07G105900.v8.1 transcript:Manes.07G105900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLTLNCGRFTATIRNQTHHCTITSLRLRSLTVQSRAESDMAASTVRVAAAQMTSINDLAANFATCSRLTKEAAAAGAKLLCLPESFSFIGAKDGDSVKVAEPLDGPIMQQYCSLARESGIWLSLGGFQEKGCDDEHLRNTHVIIDDRGNIIDTYRKIFLFDVDVPGGRVYKESSFTEAGKDIVAVDSPVGRLGLSVCYDLRFPELYQQLRFQKEAQVLLVPAAFTKITGQAHWEILLRARAIETQCYVIAAAQAGKHNDKRESHGETLIIDPWGTVVGRLPDRLSTGITVADIDFAFIDSVRAKIPIAQQRKPIDFWKSASL >Manes.11G159300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32487715:32496772:-1 gene:Manes.11G159300.v8.1 transcript:Manes.11G159300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAASSSLCNANTRLPIPVPNFQLCHITTKFNPLSLKIPFPSYPIVQICSLNSHHHKKLPTSRITSSRSLVIEASSMASSTESETKTFSVLFVCLGNICRSPAAEGVFTDIVKKRGLDSKFKIDSAGTIGYHEGNPADPRMRAASKRRGIQITSVSRPIRPSDFRDFDIILVMDNQNREDIMKAFNRWKVRENLPDDAHQKVKLMCSYCKKHDETEVPDPYYGGPQGFEKVLDLLEDACESLLDSILTANGDILSS >Manes.03G120449.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24492718:24493584:1 gene:Manes.03G120449.v8.1 transcript:Manes.03G120449.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNNRRQWILLIGYAWRLWKEGSPLELAAPIILDSCPVSEVIRCIHISLLCVQQHAEDRPRMASVVLMLGSETALLPQPKEPGFLKEESSSSNHVSWSTNEISVSVLEAR >Manes.06G116700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24769370:24777215:-1 gene:Manes.06G116700.v8.1 transcript:Manes.06G116700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIEFIGQKESRKCWLSQPMGKSRKYSKGHSSGFIPDYRHAVETVGESEGFGSSGRVETELTASEDSFAPKRKCMSLNLDGYDSFGVPMQVFSLSKMSRSERKDLEFRLKGELDRVRVLQRKVDFLSSNAVVLSPSSDIRSCSDGHRRPPLEGVNNSLEVSASQSRKRAPPGRNGAQTKKTTHRRPEPAKPGAPVITSNTMLMKQCETLLNRLMAHQFGWVFNTPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLDFAADVRLTFSNAMKYNPPGNDVHFMAEALSKYFEVRWKAIEKKLLVTINVESMPQRTHVAMETEINIGTRPAKKKKIAPNDNKVKSEPTRRIMTDEEKHKLSTELEALLGELPDSIIDFLKENSHSADQTGEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQAKAEACEMELLNESGFSNSSLQPCKGNEPVDEDVDIVGGNDPAISSYPAVEIEKDAAQKSSKCSSSSSSSSESGSSSGDSDSGSSSGSESDAAKASIACALTKEVVGSGENLDQKRSGVDDPEIGNKSANGMANIDPSLRGKPVTAETDGQQEGESAPPERQVSPDKLYRAALLRNRFADTILRAREKALEKGEKLDPEKLRVEREELERRQKEEKARMQAEAKAAEEARKKAEAEAANEARRKRELEREAARQALQQMEKTVDINENSQFMEDLEMLRTAHDEESPSFMEETSPDFMLQGSINPLEQLGLYMKKDDEEEEVEPPQSLPVLEKDVEEGEID >Manes.06G116700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24769990:24777220:-1 gene:Manes.06G116700.v8.1 transcript:Manes.06G116700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIEFIGQKESRKCWLSQPMGKSRKYSKGHSSGFIPDYRHAVETVGESEGFGSSGRVETELTASEDSFAPKRKCMSLNLDGYDSFGVPMQVFSLSKMSRSERKDLEFRLKGELDRVRVLQRKVDFLSSNAVVLSPSSDIRSCSDGHRRPPLEGVNNSLEVSASQSRKRAPPGRNGAQTKKTTHRRPEPAKPGAPVITSNTMLMKQCETLLNRLMAHQFGWVFNTPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLDFAADVRLTFSNAMKYNPPGNDVHFMAEALSKYFEVRWKAIEKKLLVTINVESMPQRTHVAMETEINIGTRPAKKKKIAPNDNKVKSEPTRRIMTDEEKHKLSTELEALLGELPDSIIDFLKENSHSADQTGEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQAKAEACEMELLNESGFSNSSLQPCKGNEPVDEDVDIVGGNDPAISSYPAVEIEKDAAQKSSKCSSSSSSSSESDSDSGSSSGSESDAAKASIACALTKEVVGSGENLDQKRSGVDDPEIGNKSANGMANIDPSLRGKPVTAETDGQQEGESAPPERQVSPDKLYRAALLRNRFADTILRAREKALEKGEKLDPEKLRVEREELERRQKEEKARMQAEAKAAEEARKKAEAEAANEARRKRELEREAARQALQQMEKTVDINENSQFMEDLEMLRTAHDEESPSFMEETSPDFMLQGSINPLEQLGLYMKKDDEEEEVEPPQSLPVLEKDVEEGEID >Manes.06G116700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24769370:24777220:-1 gene:Manes.06G116700.v8.1 transcript:Manes.06G116700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIEFIGQKESRKCWLSQPMGKSRKYSKGHSSGFIPDYRHAVETVGESEGFGSSGRVETELTASEDSFAPKRKCMSLNLDGYDSFGVPMQVFSLSKMSRSERKDLEFRLKGELDRVRVLQRKVDFLSSNAVVLSPSSDIRSCSDGHRRPPLEGVNNSLEVSASQSRKRAPPGRNGAQTKKTTHRRPEPAKPGAPVITSNTMLMKQCETLLNRLMAHQFGWVFNTPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLDFAADVRLTFSNAMKYNPPGNDVHFMAEALSKYFEVRWKAIEKKLLVTINVESMPQRTHVAMETEINIGTRPAKKKKIAPNDNKVKSEPTRRIMTDEEKHKLSTELEALLGELPDSIIDFLKENSHSADQTGEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQAKAEACEMELLNESGFSNSSLQPCKGNEPVDEDVDIVGGNDPAISSYPAVEIEKDAAQKSSKCSSSSSSSSESGSSSGDSDSGSSSGSESDAAKASIACALTKEVVGSGENLDQKRSGVDDPEIGNKSANGMANIDPSLRGKPVTAETDGQQEGESAPPERQVSPDKLYRAALLRNRFADTILRAREKALEKGEKLDPEKLRVEREELERRQKEEKARMQAEAKAAEEARKKAEAEAANEARRKRELEREAARQALQQMEKTVDINENSQFMEDLEMLRTAHDEESPSFMEETSPDFMLQGSINPLEQLGLYMKKDDEEEEVEPPQSLPVLEKDVEEGEID >Manes.06G116700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24769990:24777215:-1 gene:Manes.06G116700.v8.1 transcript:Manes.06G116700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIEFIGQKESRKCWLSQPMGKSRKYSKGHSSGFIPDYRHAVETVGESEGFGSSGRVETELTASEDSFAPKRKCMSLNLDGYDSFGVPMQVFSLSKMSRSERKDLEFRLKGELDRVRVLQRKVDFLSSNAVVLSPSSDIRSCSDGHRRPPLEGVNNSLEVSASQSRKRAPPGRNGAQTKKTTHRRPEPAKPGAPVITSNTMLMKQCETLLNRLMAHQFGWVFNTPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLDFAADVRLTFSNAMKYNPPGNDVHFMAEALSKYFEVRWKAIEKKLLVTINVESMPQRTHVAMETEINIGTRPAKKKKIAPNDNKVKSEPTRRIMTDEEKHKLSTELEALLGELPDSIIDFLKENSHSADQTGEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQAKAEACEMELLNESGFSNSSLQPCKGNEPVDEDVDIVGGNDPAISSYPAVEIEKDAAQKSSKCSSSSSSSSESGSSSGDSDSGSSSGSESDAAKASIACALTKEVVGSGENLDQKRSGVDDPEIGNKSANGMANIDPSLRGKPVTAETDGQQEGESAPPERQVSPDKLYRAALLRNRFADTILRAREKALEKGEKLDPEKLRVEREELERRQKEEKARMQAEAKAAEEARKKAEAEAANEARRKRELEREAARQALQQMEKTVDINENSQFMEDLEMLRTAHDEESPSFMEETSPDFMLQGSINPLEQLGLYMKKDDEEEEVEPPQSLPVLEKDVEEGEID >Manes.14G169600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:27679248:27683567:1 gene:Manes.14G169600.v8.1 transcript:Manes.14G169600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVPFGIATNILMKLGSSTFQDIGATYGVKKDLQKLENTLSTIKAALLDAEERQEKSYLVQDWIRKLKDVVYVADDVLDTFATKALKHQLESNGLRIKEHVSEFFSMSNQLAFRFKMARKIRYIREKVDDIAADMSKFNFKERVVEREENRQREQTHSFLSTSEIIGRDQNKDEIVNLLMCSSNQENVSVLPIVGIGGLGKTTLAQLVYNDTRVVNSFEKRMWVSVYEKIDVGIIVANIIKSIKKIDPGNLELDQLQLCLRENLEGKRYLLVLDDVWDESHERWVCLKNLLSIGARGSKILVTTRSRKVAFVSGIKSPYILQGLAEDDCWELFERLTFGEDKEGVNSSLITIGKEIVSRCKGVPLAVKSLACAMRTKTEESEWLAIQNAEIWRFCLDDNEILPVLRLSYDHLPIPLRQCFAFCSIFPKDFIVQKDKLIQLWIAQGYIHSISGNEYLEYLGDQYFKDLVTMSFFQEVEIDEYGNIKSFKMHDLIHDLAQIVAGTDCAIAGSTDTGNISERVHHISFQHPSYSPDIPKHLLEAKSMRTFFLPDYCGFTNESTPNTVISSFKCLRSLDLHHSCIKELPDTIGKLKHLRYLDLSNNFDMESLHCSICYLLNLQTLLLSNCTSLQQLPRDLGKLISLRHLMIDGCDRLTCMPFGLGKLTSIQTLSRFIIAVNEDSALGSAKTNELSGLNQLRGELCIENLGNVKNIALESKAGNLKGKKFLRSLILNWGSSVRANEDGHDELLMQNLQPHSNLKELHVQGYGGVRFSSWLSLLKNIVKITIKKCNRCQHLPPLHELRHLKFLSLEELMNLECIDNGTGQLSSSAIFFPSLKVLSLVDLPNLKRWWRGEAVVESRNDSDSASTSLGEHQEPQPAVPPSFPRLSSLKVHHCFNLTSIPLHPYLEELYLYEVSEELLQQQGLMMLTMMTMRISMMMMMMAALQSPKESSSSGSLLPHDSFIASPLSKLKSLQLVRIDDLEALPESWLPNLTSLELVKIEECPRLSSLPRQGFKALTSLRNLRIYRCEGLKSLSNGIQHLIALEELRIRSCEELDLSDDGMQLQALKKLHYLEFNDIPKLVLLPRWIKDIPSLQELQVEECKNLVALPEWIDSLTSLQRLKISYCPRLSSLPDRICNLAALQKLCICNCPHLSKRCKKARGADWPKISHIKMIKINSKWVQRLS >Manes.11G015900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1656893:1661553:1 gene:Manes.11G015900.v8.1 transcript:Manes.11G015900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDVKEIEMYKQQVKALFKNLTTRQNEPSRMSIETGPYVFHYIIEGRVCYLTMCDRTYPKKLAFQYLEDLKNEFERVNGVQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQVMTRNVQEVLGVGEKLDQVSEMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVKTKIW >Manes.11G015900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1656977:1661553:1 gene:Manes.11G015900.v8.1 transcript:Manes.11G015900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDVKEIEMYKQQVKALFKNLTTRQNEPSRMSIETGPYVFHYIIEGRVCYLTMCDRTYPKKLAFQYLEDLKNEFERVNGVQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQVMTRNVQEVLGVGEKLDQVSEMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVKTKIW >Manes.05G033300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2802715:2806654:1 gene:Manes.05G033300.v8.1 transcript:Manes.05G033300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEILQITRRTTRSFSSSAAAGNEAEKTKISEPYNPTLKDLVFGGNHLNYDDLLSSFPARGVQISQITRLLGPLNSPMLPIFIYGGASTGKTSSILQIFRHLNRPFVYASYRTCYSPCLFFESILNQLLLHRKNAVNGYSSSKRCEKPSDFVNFLRESLLSVINDLKVNSGKSSNKLAGKPHGSMVYLILDNLELVRNWDKSSIILPFIFNLYDILKMPELGLIYISTTSPDTYYSNVGYIEPIPVYFPEYTEEDLRQIFMRNQANQKLYSSFLDVVLKPFCRITRRVDELSTAFSSLFRKYCDPLNDLEHVPNEEMKRRMFSHFQPHIAPSLNEIFWVPSWPSLDVQPNREKKQKSGVKKSRGCEDFAELDFHMSTSAKYLLISAFLASRNPATLDASLFDSTAGSDSRKRKRKASVKSMEQKEAAEQELLMKGPGTFPLERLLAIFQCISSVADDPLDEEHENCALRFESGDSGFMSDVLMQLSSLCNANFIIKGGSCPLEGSTRYRSMITEDLALKVARSLKFPLPNYLYRR >Manes.05G033300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2802227:2806655:1 gene:Manes.05G033300.v8.1 transcript:Manes.05G033300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEILQITRRTTRSFSSSAAAGNEAEKTKISEPYNPTLKDLVFGGNHLNYDDLLSSFPARGVQISQITRLLGPLNSPMLPIFIYGGASTGKTSSILQIFRHLNRPFVYASYRTCYSPCLFFESILNQLLLHRKNAVNGYSSSKRCEKPSDFVNFLRESLLSVINDLKVNSGKSSNKLAGKPHGSMVYLILDNLELVRNWDKSSIILPFIFNLYDILKMPELGLIYISTTSPDTYYSNVGYIEPIPVYFPEYTEEDLRQIFMRNQANQKLYSSFLDVVLKPFCRITRRVDELSTAFSSLFRKYCDPLNDLEHVPNEEMKRRMFSHFQPHIAPSLNEIFWVPSWPSLDVQPNREKKQKSGVKKSRGCEDFAELDFHMSTSAKYLLISAFLASRNPATLDASLFDSTAGSDSRKRKRKASVKSMEQKEAAEQELLMKGPGTFPLERLLAIFQCISSVADDPLDEEHENCALRFESGDSGFMSDVLMQLSSLCNANFIIKGGSCPLEGSTRYRSMITEDLALKVARSLKFPLPNYLYRR >Manes.05G033300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2803656:2806654:1 gene:Manes.05G033300.v8.1 transcript:Manes.05G033300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEILQITRRTTRSFSSSAAAGNEAEKTKISEPYNPTLKDLVFGGNHLNYDDLLSSFPARGVQISQITRLLGPLNSPMLPIFIYGGASTGKTSSILQIFRHLNRPFVYASYRTCYSPCLFFESILNQLLLHRKNAVNGYSSSKRCEKPSDFVNFLRESLLSVINDLKVNSGKSSNKLAGKPHGSMVYLILDNLELVRNWDKSSIILPFIFNLYDILKMPELGLIYISTTSPDTYYSNVGYIEPIPVYFPEYTEEDLRQIFMRNQANQKLYSSFLDVVLKPFCRITRRVDELSTAFSSLFRKYCDPLNDLEHVPNEEMKRRMFSHFQPHIAPSLNEIFWVPSWPSLDVQPNREKKQKSGVKKSRGCEDFAELDFHMSTSAKYLLISAFLASRNPATLDASLFDSTAGSDSRKRKRKASVKSMEQKEAAEQELLMKGPGTFPLERLLAIFQCISSVADDPLDEEHENCALRFESGDSGFMSDVLMQLSSLCNANFIIKGGSCPLEGSTRYRSMITEDLALKVARSLKFPLPNYLYRR >Manes.15G040200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3125921:3129188:1 gene:Manes.15G040200.v8.1 transcript:Manes.15G040200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLGVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDYDKDKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLILMVVCSLASGLSFGGTPEGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVGLIVSSAFDHRFKTPRYSVSRRASLAPQADYIWRIILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLHVDLEAEEAKVRKLATEQSNSFGLFSKEFAKRHGLHLVGTTTTWFLLDIAFYSQNLFQKDIFSAINWIPKAAEMNAIHEVYRIARAQTLIALCSTVPGYWFTVFLIDYMGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSQDKTKTDAGYPPGIGVKNSLIALGVINFFGMLFTLLVPESKGKSLEELTGENEENDAETQDAGTARTVPV >Manes.15G040200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3125517:3129252:1 gene:Manes.15G040200.v8.1 transcript:Manes.15G040200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLGVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDYDKDKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLILMVVCSLASGLSFGGTPEGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVGLIVSSAFDHRFKTPRYSVSRRASLAPQADYIWRIILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLHVDLEAEEAKVRKLATEQSNSFGLFSKEFAKRHGLHLVGTTTTWFLLDIAFYSQNLFQKDIFSAINWIPKAAEMNAIHEVYRIARAQTLIALCSTVPGYWFTVFLIDYMGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSQDKTKTDAGYPPGIGVKNSLIALGVINFFGMLFTLLVPESKGKSLEELTGENEENDAETQDAGTARTVPV >Manes.13G077600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17874977:17880012:1 gene:Manes.13G077600.v8.1 transcript:Manes.13G077600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSSTPPPPESYATGDSIPAVDSDPPFPELFDNGNLNMTHYKENDEKKKKKKKKQQQQKKKQQQKKNKNACEGVILASSSSSSSPFPSMQRSIRLSYKRRNPKLVIAPIRRVGRLEDSNLEAVVLPLGMSFAAVVAQVLERKDVACEKMSVDHLSKICASAVREALANVFGDKFDIFARNFERSFGSTLRTLRLINEASNNKDAYNLSHLNIENWDSDLDLNNRVGCTSNSGYHSENEVPSVSVQNQMHVAEEVEESVPCLNQELNLNAQLIQRVCVPSSLGSVIINQSIIKKSVMEQARSNDLKTMEIGLTMKKLKLKEAQLALSFDSNHLERSKLAMGMSKASFKAEKFKNQVEDTKHAELLRNCLDCLVAGLFIMSISLLYGAYAFSYQRITDATASCNPSVEESKSWWLPRPVSSLNSGLHTLQCHVQELYVVMLANFVWTHWEEVDCIGYCIGRLCAFCTSSQMFSHRCCSAYFTGLSPFPKG >Manes.13G077600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17874977:17880012:1 gene:Manes.13G077600.v8.1 transcript:Manes.13G077600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHPRILVFQVFGDKFDIFARNFERSFGSTLRTLRLINEASNNKDAYNLSHLNIENWDSDLDLNNRVGCTSNSGYHSENEVPSVSVQNQMHVAEEVEESVPCLNQELNLNAQLIQRVCVPSSLGSVIINQSIIKKSVMEQARSNDLKTMEIGLTMKKLKLKEAQLALSFDSNHLERSKLAMGMSKASFKAEKFKNQVEDTKHAELLRNCLDCLVAGLFIMSISLLYGAYAFSYQRITDATASCNPSVEESKSWWLPRPVSSLNSGLHTLQCHVQVWSRMLFGMLIILAVAYLLIQRSATSHQTMPVTFILLLLGAVCGYAGKFCVDTLGGSGLHWLLYWETMCFLHFFSNVFTPLLFRILHGPVTVSQGIKSNAMCPYWFRQILFYAVMLLFLPLCCGLLPFASPGEWKDHFCILATDFVLNAHD >Manes.13G077600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17874977:17880012:1 gene:Manes.13G077600.v8.1 transcript:Manes.13G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSSSTPPPPESYATGDSIPAVDSDPPFPELFDNGNLNMTHYKENDEKKKKKKKKQQQQKKKQQQKKNKNACEGVILASSSSSSSPFPSMQRSIRLSYKRRNPKLVIAPIRRVGRLEDSNLEAVVLPLGMSFAAVVAQVLERKDVACEKMSVDHLSKICASAVREALANVFGDKFDIFARNFERSFGSTLRTLRLINEASNNKDAYNLSHLNIENWDSDLDLNNRVGCTSNSGYHSENEVPSVSVQNQMHVAEEVEESVPCLNQELNLNAQLIQRVCVPSSLGSVIINQSIIKKSVMEQARSNDLKTMEIGLTMKKLKLKEAQLALSFDSNHLERSKLAMGMSKASFKAEKFKNQVEDTKHAELLRNCLDCLVAGLFIMSISLLYGAYAFSYQRITDATASCNPSVEESKSWWLPRPVSSLNSGLHTLQCHVQVWSRMLFGMLIILAVAYLLIQRSATSHQTMPVTFILLLLGAVCGYAGKFCVDTLGGSGLHWLLYWETMCFLHFFSNVFTPLLFRILHGPVTVSQGIKSNAMCPYWFRQILFYAVMLLFLPLCCGLLPFASPGEWKDHFCILATDFVLNAHD >Manes.04G139600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33886758:33897764:1 gene:Manes.04G139600.v8.1 transcript:Manes.04G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEECCSTQLIDGDGTFNATGMEYFIKEIGLGECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFKGRSQTTKGIWMARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDAVPKPEAHKETPLSEFFHVEVVALSSYEEKEEQFKEQVANLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIAKEKYANFSANEGWHQIEESVQSSAVSGFGKKLTSTLNACLSEYDEEAIYFDEGVRSAKRKQLEEKLLQLVQPAYQSMLGHLRSGALDKFKEAFDKALNAGEGFSSAARTCSQSYMALFDEGCADAVIEQANWDSSKVRDKLQRDIDAHVASVRAVKLSELTSAFEEKLHTGLSGPVEALLEGANTETWPAIRKLLRRETESAVAGLSSALAGFDMDGQTKDKMLRSLENYARGVVEAKAKEEAGRVLIRMKDKFSMLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDEVDQIESTLSSALMDTKSNAAVTDRSITTYDSLASSTWDEIPSSRTLITPVQCKSLWRQFKTETEYSVTQAISAQEASRRNNNWLPPPWAIVALIVLGFNEFMTLLRNPLYLGFIFVAFLLMKALWVQLDISGEFQHGALPGLISLSTKFLPTIMNLIKRLAEEGQVPATNNPQRNPALATKTYRNENGTSSDMSTASSGLTHTENGMAYSSSSKDD >Manes.10G114126.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27961680:27964889:1 gene:Manes.10G114126.v8.1 transcript:Manes.10G114126.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYCRNLSASFSSLCLLSVIHLLCFNLPVASAIHRNNDTDRLSLLEFKATISNDPLGVMSSWKSTLHFCRWYGVTCGRRHQRVTMLDLNSLKLSGSISPHVGNLSFLRKLSLQNNSFTHEIPPQIGYLRRLQVLSLYNNSFDGQIPSSISNCSNLVFFYLDNNNFAGKIPAELGSLVKLKAIYLQSNNFIGTFPSSFGNLSSLEELPAYQNHLHGNLPETFGQLKSLRDLAIFRNGFSGTIPSSIFNLSSIIYLDLGVNNFHGKLPLDIGNSLPNLQFFSIAENQFRGTIPASMSNASNLELVDLPLNNLAGGVPSLAKLHRLSEFVISGNNLGSGKADDLRFLSTLTNATALKFLFIDANNFGGELPEYIANFSKELQFFGAELNQISGNIPVGIQALVNLEMFLVDRNKLSGNIPSGIGQLQNLKLLYLGNNKLSGYIPSSLGNLTNLLEVVLSNNYLQGTIPSSLGKCMKLLRLDFSVNNLSGPIPSQVIGLSSLSKVLDLSLNNLSGSLPKAIENLKNLEILALHGNMLSGELPSGLGSCSSLELLLMSANLFQGFIPSSFGTLRGIRELNLSHNNLSGKIPEFLKSFNSINLLDLSYNDFEGMVPVVGVFKNSSATFVGGNKNLCGGIPDFGLPACKFEQSKRRLTTKLKIIIFAVCVVIGVALLFICLLLWRNSKKRKGEATSLFDGKSLLKLSYQSLLKATNGFSPDNLIGVGSFGSVYKGILDQEGMVIAVKVLNMMRRGASRSFIAECEALRNIRHRNLVKVVTACSGVDYQGNDFKALVYEFMVNGSLDGWLHPTLGSDEMPRSVNIIQRLNIAIDVASAVEYLHYHCGTPIVHCDLKPSNVLLDEEIVGHSSGMLYYSTNHSSSLGIRGTIGYCPPEYGVGSEVSMHGDVFSFGILLLEMFTGKRPTDDMFKDNLSLHNYVKRGLPEQVKEIVDPKLFHMQLDADATSNHNHNLRNRRNNMLIECLTSILEIGIYCSMESPQERMNISDVITQLSSVRSKLIETRF >Manes.05G103450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9642496:9643518:-1 gene:Manes.05G103450.v8.1 transcript:Manes.05G103450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTHLFPTFLLLGSFVQQHKYISYERVIIKCFNVSVVFDAKDCEGKEVGILSWNEAVSHNN >Manes.01G011500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3626947:3629458:1 gene:Manes.01G011500.v8.1 transcript:Manes.01G011500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rbcS MATSMLSTATVASINRASPAQASMVAPFTGLKSTSAFPATTKTSADITSLASNGGRVQCMQVWPTRGKKKFETLSYLPPLSREQLASEIDYLLRSGWIPCLEFELEHGFVYRAHGSLPGYYDGRYWTMWKLPMFGCTDSSQVLKELDELIKAHPDGFARIIGFDNVRQVQCISFIAYKPPGTDY >Manes.16G130600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33134248:33139241:-1 gene:Manes.16G130600.v8.1 transcript:Manes.16G130600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLACNCFSPSPEARKWNELIKKHMLVGDAEQAILTYVNMQVLGLRADNYTFPVLLKAAGKICLSHIGYALHGQAIKTGLFAHSFLQTALINMYGTLDCIVDACKVFAKMPVKDLIAWNSMLYAHASNGQMEDAVHLFNLMPLKDLSSFNIMISGYSSCGEALSARSIFDKMAVKDIVSWNSMVSAYIRAGYMEEAHMLFMEMSVKNKITWNTMITGCLQNEHYTEALHLFDEMKTTNCTPDYLTMTSVLSACAHLGSLETGTKIHTYAIDSGLASSPHVTTALIDMYAKCGSIVQALQVFYKSQVKDIYCWNAMIYGLALHGQGYAALELFDKMRRKLVKPDDITFIGLLNACGHSGLVQEGCQLFNSMQEDFGISPKLEHYGCTVDLLGRAGHLDQAYEVLETMPYEPGEAILGALLSACVIHQDLETGERVIKVISSRACYLSDGELMMFANLYASCGKWEEANRWREMMNDTGIVKIAGQSVIEVSGEFHKFLAGEIGQQMIITC >Manes.16G130600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33134248:33139241:-1 gene:Manes.16G130600.v8.1 transcript:Manes.16G130600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLACNCFSPSPEARKWNELIKKHMLVGDAEQAILTYVNMQVLGLRADNYTFPVLLKAAGKICLSHIGYALHGQAIKTGLFAHSFLQTALINMYGTLDCIVDACKVFAKMPVKDLIAWNSMLYAHASNGQMEDAVHLFNLMPLKDLSSFNIMISGYSSCGEALSARSIFDKMAVKDIVSWNSMVSAYIRAGYMEEAHMLFMEMSVKNKITWNTMITGCLQNEHYTEALHLFDEMKTTNCTPDYLTMTSVLSACAHLGSLETGTKIHTYAIDSGLASSPHVTTALIDMYAKCGSIVQALQVFYKSQVKDIYCWNAMIYGLALHGQGYAALELFDKMRRKLVKPDDITFIGLLNACGHSGLVQEGCQLFNSMQEDFGISPKLEHYGCTVDLLGRAGHLDQAYEVLETMPYEPGEAILGALLSACVIHQDLETGERVIKVISSRACYLSDGELMMFANLYASCGKWEEANRWREMMNDTGIVKIAGQSVIEVSGEFHKFLAGEIGQQMIITC >Manes.16G130600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33134248:33139241:-1 gene:Manes.16G130600.v8.1 transcript:Manes.16G130600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLACNCFSPSPEARKWNELIKKHMLVGDAEQAILTYVNMQVLGLRADNYTFPVLLKAAGKICLSHIGYALHGQAIKTGLFAHSFLQTALINMYGTLDCIVDACKVFAKMPVKDLIAWNSMLYAHASNGQMEDAVHLFNLMPLKDLSSFNIMISGYSSCGEALSARSIFDKMAVKDIVSWNSMVSAYIRAGYMEEAHMLFMEMSVKNKITWNTMITGCLQNEHYTEALHLFDEMKTTNCTPDYLTMTSVLSACAHLGSLETGTKIHTYAIDSGLASSPHVTTALIDMYAKCGSIVQALQVFYKSQVKDIYCWNAMIYGLALHGQGYAALELFDKMRRKLVKPDDITFIGLLNACGHSGLVQEGCQLFNSMQEDFGISPKLEHYGCTVDLLGRAGHLDQAYEVLETMPYEPGEAILGALLSACVIHQDLETGERVIKVISSRACYLSDGELMMFANLYASCGKWEEANRWREMMNDTGIVKIAGQSVIEVSGEFHKFLAGEIGQQMIITC >Manes.16G130600.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33134248:33139241:-1 gene:Manes.16G130600.v8.1 transcript:Manes.16G130600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLACNCFSPSPEARKWNELIKKHMLVGDAEQAILTYVNMQVLGLRADNYTFPVLLKAAGKICLSHIGYALHGQAIKTGLFAHSFLQTALINMYGTLDCIVDACKVFAKMPVKDLIAWNSMLYAHASNGQMEDAVHLFNLMPLKDLSSFNIMISGYSSCGEALSARSIFDKMAVKDIVSWNSMVSAYIRAGYMEEAHMLFMEMSVKNKITWNTMITGCLQNEHYTEALHLFDEMKTTNCTPDYLTMTSVLSACAHLGSLETGTKIHTYAIDSGLASSPHVTTALIDMYAKCGSIVQALQVFYKSQVKDIYCWNAMIYGLALHGQGYAALELFDKMRRKLVKPDDITFIGLLNACGHSGLVQEGCQLFNSMQEDFGISPKLEHYGCTVDLLGRAGHLDQAYEVLETMPYEPGEAILGALLSACVIHQDLETGERVIKVISSRACYLSDGELMMFANLYASCGKWEEANRWREMMNDTGIVKIAGQSVIEVSGEFHKFLAGEIGQQMIITC >Manes.16G130600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33134248:33139241:-1 gene:Manes.16G130600.v8.1 transcript:Manes.16G130600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLACNCFSPSPEARKWNELIKKHMLVGDAEQAILTYVNMQVLGLRADNYTFPVLLKAAGKICLSHIGYALHGQAIKTGLFAHSFLQTALINMYGTLDCIVDACKVFAKMPVKDLIAWNSMLYAHASNGQMEDAVHLFNLMPLKDLSSFNIMISGYSSCGEALSARSIFDKMAVKDIVSWNSMVSAYIRAGYMEEAHMLFMEMSVKNKITWNTMITGCLQNEHYTEALHLFDEMKTTNCTPDYLTMTSVLSACAHLGSLETGTKIHTYAIDSGLASSPHVTTALIDMYAKCGSIVQALQVFYKSQVKDIYCWNAMIYGLALHGQGYAALELFDKMRRKLVKPDDITFIGLLNACGHSGLVQEGCQLFNSMQEDFGISPKLEHYGCTVDLLGRAGHLDQAYEVLETMPYEPGEAILGALLSACVIHQDLETGERVIKVISSRACYLSDGELMMFANLYASCGKWEEANRWREMMNDTGIVKIAGQSVIEVSGEFHKFLAGEIGQQMIITC >Manes.16G130600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33134248:33139241:-1 gene:Manes.16G130600.v8.1 transcript:Manes.16G130600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLACNCFSPSPEARKWNELIKKHMLVGDAEQAILTYVNMQVLGLRADNYTFPVLLKAAGKICLSHIGYALHGQAIKTGLFAHSFLQTALINMYGTLDCIVDACKVFAKMPVKDLIAWNSMLYAHASNGQMEDAVHLFNLMPLKDLSSFNIMISGYSSCGEALSARSIFDKMAVKDIVSWNSMVSAYIRAGYMEEAHMLFMEMSVKNKITWNTMITGCLQNEHYTEALHLFDEMKTTNCTPDYLTMTSVLSACAHLGSLETGTKIHTYAIDSGLASSPHVTTALIDMYAKCGSIVQALQVFYKSQVKDIYCWNAMIYGLALHGQGYAALELFDKMRRKLVKPDDITFIGLLNACGHSGLVQEGCQLFNSMQEDFGISPKLEHYGCTVDLLGRAGHLDQAYEVLETMPYEPGEAILGALLSACVIHQDLETGERVIKVISSRACYLSDGELMMFANLYASCGKWEEANRWREMMNDTGIVKIAGQSVIEVSGEFHKFLAGEIGQQMIITC >Manes.03G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11447516:11449960:-1 gene:Manes.03G071800.v8.1 transcript:Manes.03G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRAIRRDLVEPLYEKKDVAKLAALEAALAAPKLPVKSSPFASTSSSSMETTTTASNTNMDVEMADDSQTRSTLKPIGKKLKKKFKLGKNKRGGKGKIRRKHI >Manes.06G030033.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7864254:7865059:1 gene:Manes.06G030033.v8.1 transcript:Manes.06G030033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLNNEYDPIRVQVLGRNPFPSLEEAHAHVQQEESRRHAMLHTAPVEKAGLTTSLSTPQPPTSEKDHLHCDYCGKPRHTKETCWKLHGRPTRGRGGKRGTSRNQAKLAETVEEPFKETTTTEFMSPNELQSLKRLLSHIDTSSSSGATSNFVKSGSSHREDDWQW >Manes.03G085800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16861540:16871548:-1 gene:Manes.03G085800.v8.1 transcript:Manes.03G085800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVFRKAAALSFLFVLTQVSLVLGFPPTAPAFFWSSHRDQQFPNNRMDEAVNYQTISSRDLARSILAEGGWSNLLCAEQKLQQSLDLALVFVGRELLSSDISTSKNADRALVNMLKVSFTRSNFSLAFPYVAASEESSMENSLVSSFAENCGQDTGINNVAFSESCFIEGDNFQKLADVHAVHDYLVSKMEKRTNGQADLVVFCHGGSYSAKGLEKPQSESQILSELISSVESLGAKYEVLYLSDPFRSIQYPSRRELERFLAEGTAGSLNSTICDEVCQIKSSLLEGVLVGIVLLIILISGLCCMMGIDTPTKFETPQDS >Manes.08G154600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38876621:38878216:-1 gene:Manes.08G154600.v8.1 transcript:Manes.08G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLISLEPSNLVAIRIEPGQKCYGELTLRNVMYTMPVAFRIQAMNKTRYTIKPQSGIISPLATLTIEITYHLLPGSFLPETFPHCEDAFLLHSVVVPGASIKDPTSNFDAVPPDWFTAKKKQVFIDSGIKIMFVGSPILAQLVADGAMDEIREVLERSDQAWNPADSMDSHGKTLLHLAIAQGRPDIVQLLLEFQPDVELLSRSGSTPLEAAAGAGEALIVELLLARRASTERSESSTWGPIHLAAGGGHLEVLRLLLLKGANVDALTKDGNTALHLAVEERRRDCARLLLASGAKADIRDTTDGDTPLHIASKLGDESMVKLLLQKGANKDIRNKSGKTAYDVAAEYGHSRLFDSLKLGDSLCIAARKGEVRTILKLLENGAAINGRDQHGWTALHRAAFKGKIEAVRALLDKGIDIDAKDEAGYTPLHCAVESGHADVIELLVKKGADVEARTNKSVTALQIAESLHYVGITRVLIHSGAAKEGAALVHFPFGKGMSGTEVEKAIKKRPPRARALKGSFDRSMPLAVL >Manes.14G025600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2387991:2391785:1 gene:Manes.14G025600.v8.1 transcript:Manes.14G025600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRQRFARKRYKEAHPELFTKPEHTPPKDPDKKKKKSKSKFKRKRSDYKEPKDPNGPNKKGFKKHPLRVPGMKPGESCFICKAKDHIAKLCPQKAEWEKNKICLLCRQRGHSLKRCPNKKDETVDKKLCYNCGEAGHSLSNCPLPLQDGGTRFANCFICNEHGHLSKDCPKNTHGIYPKGGCCKICGGVTHLAKDCPEKGKRGSLATGKEAFEQGERPTPKLTKFVSGDELDDDFMTENSNVIQQEKPSDSKDTQAKSKKKQGHKVVNFPG >Manes.15G131700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10559175:10561122:1 gene:Manes.15G131700.v8.1 transcript:Manes.15G131700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEVSTNMQLPPVPALHEQPQSQQQPQQQSSTLPQYPEMIMAAIEALNDQNGSNKSAISKQIESTYPGLPAAHVSLLSHHLNKMKQSGQLVLVKNNYMKPDPNAPPKRGRGRPPKPKEMVSPGTVISPPRPRGRPPKPKDPFSPLASPKKKSTSGSGRPRGRPPKAKTAAGPPSSSATQVTGVKRGRGRPPKVKPAVAPVAG >Manes.06G159300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28480748:28482448:1 gene:Manes.06G159300.v8.1 transcript:Manes.06G159300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEQLMRRIPRIKFPQRHAKSSPSGSISDSHAMSRSDVPAPPSNAAVGGKASLQPKRTPVSEREIEAILLGGCF >Manes.05G150100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25348520:25350445:-1 gene:Manes.05G150100.v8.1 transcript:Manes.05G150100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIFLLLLPIFLLFLLKIHIRKPRLPPGPKGLPLVGNLFQLDNSNIQKHLWQLSKQYGPLMSLRLGFKQTLIVSSAKMAKEVLKTQDLEFCSRPPLLGLQRLSYNGLDLAFAPYDAYWREMRKICVVYLFNSNRVQEFRPIREDEVSRMLENISKVADASKPVNLTEAMMALASAAICRVAFGKRFEEGGNEAKRLHELLNETQAMFVGFFFSDYFPYIGRIVDKFSGLLSRLEKNFHDFDAFYQELIDEHLDPKRQKPQHEDILDVLLQLWRDRSFKVQLTFEHIKAILMNLFVAGTDTSAAAVIWAMSFLMKNPKTMKKVQDEIRSLIGKKGFVDEDDIQQLLYLKAVVKEMMRLQPTVPFLVPRETVHKCTLGEYEIAEKTLVHVNAWAIGRDPEAWEKPLEFRPERFLDTCIDMKGQDYELIPFGAGRRICPGIFMGIANVELSLANLLYKFEWEMPDGMKREDIDTDNVLPGITVHKREHLRLMAKKYI >Manes.06G154000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28110587:28112386:-1 gene:Manes.06G154000.v8.1 transcript:Manes.06G154000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQIPSKPLASLKTYNLTASSICYVKPTTIANTILPFFLFKPCTATPPICILQDVSFTACPSEILAIVGPSGAGKSTLLDILAARTSPTTGTLLLNSYPINPSSFRKLSAYVPQHDACLPLLTVSETFTFAARLLHPKSSEIANIVGSLLNELNLKHLADARLALGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSKSALNVMQTLKSIATSRYRTVILSIHQPSFKILSTIDKILLLSKGTVVHHGTLSSLQSFLLSNGFTVPPQLNALEYAMEILNQLPESKPRTQPSLLSSPDANARIPDSSNKARDIRYRSSRLHEILVLYNRFWKIIYRTRQLLLTNTLEALIVGLVLGTIYINIGFDKQGIEKRFGLFAFTLTFLLSSTTETLPIFISERPIILRETSSGVYRLSSYLVANTLVFLPYLLAIAIIYSVSIYFLVGLCASWQAFAYFVLVIWVIVLMANSFVLFLSSLAPNYIAGTSLVTILLGAFFLFSGYFISQDSMPKYWLFMHFLSMYKYALDALLINEYSCLVSKCFLWYDETHTCMVTGGDVLQKRGLQESHRWINIYILIGFFVFYRVLCLLVLIRRVSRSKK >Manes.05G135126.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15598879:15601477:1 gene:Manes.05G135126.v8.1 transcript:Manes.05G135126.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKILECQMECLLMAKVPVSITPHLFLMVLTMKLLKSIQVLNLENMDKTRHWKIVGCSAYTGKGLLEEFDWLVQDMMIP >Manes.06G072200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20843977:20844129:1 gene:Manes.06G072200.v8.1 transcript:Manes.06G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSSSSSITMGVSKRRISSRGLGAALKEQRARLYIIKRCVVMLLCWHD >Manes.S095206.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251164.1:26:918:-1 gene:Manes.S095206.v8.1 transcript:Manes.S095206.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLGAKNKLAFLEGKLAVPEGGFEDYDRWRRCDYMMTLWILNSISKDMVGSFLYAITARELWVELGEQYSESNGPMVYQIKRQIASISKIISM >Manes.03G018500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1543463:1544953:1 gene:Manes.03G018500.v8.1 transcript:Manes.03G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVTHICKRTVVSTKPVQPGKFHPLSVLDRLMEQNHLRIVYYFQTPKGRKPGEISKKLRESMSEMLTCFPTVTGRLLKDEQGHWMIKCNDAGTRLVEARAQGSVEEWLQIVDREKELKLIHWEEMFHKPYFWSTFYVQVTEFEEGGLSIGLSCSHLLADPTCATMFIKAWADTTLFGKITNPPFFHPLPPRKPANKNPNHQPYTHLINYYNSIAQNPNSVTSTRYATIALAFPDSMVRACIAMARTTTTPDHPDPSPFQALAGLFWACISKAKGRRNGLTDMCLCLDMRHVLGLDKGFFGNCMVYNKVHADSSEDDNSLINATHAIEEVMTKMDTDGIMDLIEWLTTKDCKSNPMMNGCDLICYRLDEVNPYLAVFEDGYEAVGVSYHVEPAGGAGQVLVLPWGRSEGEMSRVVMVTLPKDEVVRLCDDELILRFSPTIFMGRNKGNTSHSQV >Manes.15G108100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8648292:8655274:-1 gene:Manes.15G108100.v8.1 transcript:Manes.15G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTHSFSLTKPEFSCLLPQRRNGRCRIQSPILPSKIHLHGLSISRGISKLSGFKSNGFLVHYFSSDFSVDNQGGVEKSASSDTAKKPEVQSSIWKWKGYSIRYQYSGNSGPALVLVHGFGANSDHWRKNIPVLAKKHRVYSIDLIGYGYSDKPNPREFGDNSFYTFQTWATQLNDFCADVIKDKAFFICNSIGGLVGLQAAVMEPQMCRGIVLLNISLRMLHIKKQPWFGRPFIRSFQSLLKNTALGKLFFKSVATSESVRNILCQCYHDTAQVTEELVQKILLPGLEPGAVDVFLDFICYSDGPLPEELLPQVKCPVLIAWGDKDPWEPIELGRNYANYDPVEDFVILPNVGHCPQDEAPHLVNPIVASFVARHSRARATVSTVS >Manes.05G174500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29085465:29091519:1 gene:Manes.05G174500.v8.1 transcript:Manes.05G174500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHLNFKNFHNNPTSDAGVGGGSGSRPSGNFPLARQPSVYSLTFDEFQSTMGGIGKEFGSMNMDELLKNIWSAEETQNVVASSTGAQEGLQRQGSLTLPRTLSQKTVDEVWKDMSKEYGNGGGTGGANLPQRQQTLGEITLEEFLVKAGVVREDAQLAAKLNANGGFFGDLSRTGNSSTLGVGLQQNRGLGLDNNNTNQISMRSTNLPLNVNGVRSNQAQVHQQHQQQQQQQQQQQQLFPKQPNLGYVTQMPLQTSPGIRGGIMGIGDQGISGLMQAGALGMVGLGVATESPANKLSSNGITKSNGDTSSVSPVPYVFNGGLRGRRANGAVEKVVERRQRRMIKNRESAARSRARKQVIFCDASNHTFPDLEHNLLLF >Manes.05G174500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29085771:29091519:1 gene:Manes.05G174500.v8.1 transcript:Manes.05G174500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHLNFKNFHNNPTSDAGVGGGSGSRPSGNFPLARQPSVYSLTFDEFQSTMGGIGKEFGSMNMDELLKNIWSAEETQNVVASSTGAQEGLQRQGSLTLPRTLSQKTVDEVWKDMSKEYGNGGGTGGANLPQRQQTLGEITLEEFLVKAGVVREDAQLAAKLNANGGFFGDLSRTGNSSTLGVGLQQNRGLGLDNNNTNQISMRSTNLPLNVNGVRSNQAQVHQQHQQQQQQQQQQQQLFPKQPNLGYVTQMPLQTSPGIRGGIMGIGDQGISGLMQAGALGMVGLGVATESPANKLSSNGITKSNGDTSSVSPVPYVFNGGLRGRRANGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKIKEENRELQKKQAEIMEMQKNQVLEMMNEQQGVKRRCLRRTQTGPW >Manes.05G174500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29085465:29091519:1 gene:Manes.05G174500.v8.1 transcript:Manes.05G174500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHLNFKNFHNNPTSDAGVGGGSGSRPSGNFPLARQPSVYSLTFDEFQSTMGGIGKEFGSMNMDELLKNIWSAEETQNVVASSTGAQEGLQRQGSLTLPRTLSQKTVDEVWKDMSKEYGNGGGTGGANLPQRQQTLGEITLEEFLVKAGVVREDAQLAAKLNANGGFFGDLSRTGNSSTLGVGLQQNRGLGLDNNNTNQISMRSTNLPLNVNGVRSNQAQVHQQHQQQQQQQQQQQQLFPKQPNLGYVTQMPLQTSPGIRGGIMGIGDQGISGLMQAGALGMVGLGVATESPANKLSSNGITKSNGDTSSVSPVPYVFNGGLRGRRANGAVEKVVERRQRRMIKNRESAARSRARKQVIFCDASNHTFPDLEHNLLLF >Manes.05G174500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29085465:29091519:1 gene:Manes.05G174500.v8.1 transcript:Manes.05G174500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHLNFKNFHNNPTSDAGVGGGSGSRPSGNFPLARQPSVYSLTFDEFQSTMGGIGKEFGSMNMDELLKNIWSAEETQNVVASSTGAQEGLQRQGSLTLPRTLSQKTVDEVWKDMSKEYGNGGGTGGANLPQRQQTLGEITLEEFLVKAGVVREDAQLAAKLNANGGFFGDLSRTGNSSTLGVGLQQNRGLGLDNNNTNQISMRSTNLPLNVNGVRSNQAQVHQQHQQQQQQQQQQQQLFPKQPNLGYVTQMPLQTSPGIRGGIMGIGDQGISGLMQAGALGMVGLGVATESPANKLSSNGITKSNGDTSSVSPVPYVFNGGLRGRRANGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKIKEENRELQKKQAEIMEMQKNQVLEMMNEQQGVKRRCLRRTQTGPW >Manes.05G141900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24168639:24169583:1 gene:Manes.05G141900.v8.1 transcript:Manes.05G141900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSSAAFVSSSSSPLSFFSPKRKDSPIRILRFHTSSKKNENDSDLRSDSNDSSIVPFFSNPTLSKDAAMGLVLSAASVRGWTTGSGMEGPPVPAGADEGSNTEKVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVNPSFNYKDAKWDVGFKLFEMDDEDDRNDVFPI >Manes.13G001600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:741965:743222:1 gene:Manes.13G001600.v8.1 transcript:Manes.13G001600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQVGASMPSSALFVMETLTVCAMQKWSIEPNFSGQISKIGIKDAPFLGKRFQMRRPFALDTGGLPGNAGEENLDGDGPGLGGTRLGRIVSAGGRQLLQKLNSARKNFPMKIFLLLFGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPSSMSTGRLQSFVVLMNYWKAGVCLGLFVDAFKLGS >Manes.02G224450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:37431449:37432406:1 gene:Manes.02G224450.v8.1 transcript:Manes.02G224450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIEIIQITKEMVIVGNIYKQRVGVTEQEAAENIILGFTGELRTWWDKLLSNEIKTNILTARRIDNTTGEPVIDPTTGQTQSFTLAYLVYNLISHFIGDLDLYTERNSEILQNLKCRKLENFR >Manes.08G093500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31654708:31663608:-1 gene:Manes.08G093500.v8.1 transcript:Manes.08G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFSSPSPSSSGRFKLLDRLELQQFQDKYVIKSVDYPNRGFSIGRRDGNIEPFTDETISGTPSKTSTIYGVAGTIRLIAGTYILVITSRKEAGDFLGFPVFRITSMEFLPCNEALRFSTSQEKRDEAYFLNLLKTVVSTPGLYYSYETDITLNLQRRYKLSPGWMAKPIWKQADPRFVWNKNLLEELIECKLDEFIIPLMQGSFGAAQLSLKDTTATITLVSRRCTRRLGTRMWRRGANLEGDTANFIETEQLFELEGFKCSLLQIRGSIPLLWEQIVDLSYKPSLRIINHEQTSKVVERHFHDLHQRYGDTVAVDLTDKHGDEGKLSSAYAAEMQKLPNVRYVSFDFHHICGNSNFGNLQILYDQISEEFQNQGYFIIDAEGEVLGEQKGIIRSNCIDCLDRTNVTQSYLAQKSLTAQMQRIGVLASNECISMFSEDYGKFRTLWAEQGDEISLEYAGTYALKGDLVRYGRQTLGGIIKDGMSALSRYYLNNFQDGVRQDALDLISGRYSMNRNGPSPFQLNGFESVSYLPVASALVVGGLTLTSFTLHQAGRNAPHYVSTVLWAGVTAGVMAFVKANGRQFCSRPRLCGLL >Manes.08G093500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31654702:31663608:-1 gene:Manes.08G093500.v8.1 transcript:Manes.08G093500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFSSPSPSSSGRFKLLDRLELQQFQDKYVIKSVDYPNRGFSIGRRDGNIEPFTDETISGTPSKTSTIYGVAGTIRLIAGTYILVITSRKEAGDFLGFPVFRITSMEFLPCNEALRFSTSQEKRDEAYFLNLLKTVVSTPGLYYSYETDITLNLQRRYKLSPGWMAKPIWKQADPRFVWNKNLLEELIECKLDEFIIPLMQGSFGAAQLSLKDTTATITLVSRRCTRRLGTRMWRRGANLEGDTANFIETEQLFELEGFKCSLLQIRGSIPLLWEQIVDLSYKPSLRIINHEQTSKVVERHFHDLHQRYGDTVAVDLTDKHGDEGKLSSAYAAEMQKLPNVRYVSFDFHHICGNSNFGNLQILYDQISEEFQNQGYFIIDAEGEVLGEQKGIIRSNCIDCLDRTNVTQSYLAQKSLTAQMQRIGVLASNECISMFSEDYGKFRTLWAEQGDEISLEYAGTYALKGDLVRYGRQTLGGIIKDGMSALSRYYLNNFQDGVRQDALDLISGRYSMNRNGPSPFQLNGFESVSGTGNDTLLKRNSET >Manes.11G078000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16499259:16504396:1 gene:Manes.11G078000.v8.1 transcript:Manes.11G078000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLKRDPIIILRMDGEDLSEFINGPSYEAEMVSIFSQIASPDADGSLHDSFVKAFEKLTVENGMPPSSDSWVMSNIVEPALESCPGEDLDKPISQETFLVEFKKVSERVAQHLKEQPVIVAHSESTFDGSGIRRLFTNKFELDKTLNTALENVPKDRNGKMSKEHLRVAIDSVAAGAGLPPIGAVDEIDKVVNEGLKMMNADDGKLVKEDEFKKMMTEILGSVMLKLEGNPISVSSNTVVHEPLASPSTLP >Manes.11G078000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16499236:16504417:1 gene:Manes.11G078000.v8.1 transcript:Manes.11G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLKRDPIIILRMDGEDLSEFINGPSYEAEMVSIFSQIASPDADGSLHDSFVKAFEKLTVENGMPPSSDSWVMSNIVEPALESCPGEDLDKPISQETFLVEFKKVSERVAQHLKEQPVIVAHSESTFDGSGIRRLFTNKFELDKTLNTALENVPKDRNGKMSKEHLRVAIDSVAAGAGLPPIGAVDEIDKVVNEGLKMMNADDGKLVKEDEFKKMMTEILGSVMLKLEGNPISVSSNTVVHEPLASPSTLP >Manes.13G091600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:23609089:23610540:-1 gene:Manes.13G091600.v8.1 transcript:Manes.13G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFHGEEDVDLPISFQEGGSEDEDEISYDDLKKRMWKDSMRMQKLKEKRKTDEPHESLAKQEASRRKKMSRAQDSILKYMVKIMEVCNAQGFVYGIVPEKGKPVTGSSDSLRQWWKEDVRFDQNAPLAITEFFPLLEKHEVDPVSCMHLLHDLQDTTLGSLLSALMQSCIPPQRRFPLERGLAPPWWPTGGEAWWGEQGASQEHGAPPYKKPHDLKKAWKVSVLAAVIKHMSPNFDRMRRLVTQSKCLQAKMTAKESTTWSKVVNQEETLSILTQTCLRIDAGEEDHYESVTHDFGSYDLQVNEKRKCTFEREASVDKGYACQNLECPRSEEGLGFLDKNSRTDHQVTCAYRLKEIDSSSTQENSDGNFSDLSTSLLPFYDQPLISPRSMTAAMDNNRDLLSVIDWANTDIDPKGDHVAEIVEESAGFKMVEDYLNFGGTGIEDYPNYRNTGTEQRQTENMGMNQRTDDQGIITSIWDLGFE >Manes.12G027100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2379647:2385656:1 gene:Manes.12G027100.v8.1 transcript:Manes.12G027100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSFRRLSFMLCIAFLVWCSSLDACMARRGKHWRQNRATSASLYKKKGKSHGSSHHNHNGGSKPKTKPKIPSHKAPLPPPPSPPPKEDAPPSSSPTKGSATFNVLDFGAKGDGKSDDTKAFQSAWAAACKVEASTVLVPSKYVFLVGPVSFSGPYCQANIVFQLDGTMVAPTNSNIWGKGLLWWIEFTKLKGITVQGTGTIDGSGSVWWQDYPFDDPLDDETKLIIPLNNTVEEHPPIPIRNEFSKKMPSIKPTALRFYGSFNATVSGITIQNSPQCHLKFDNCMGVVVHDISISSPGDSPNTDGIHLQNTKDVLVHSSNLACGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGKDNTKACVSNITVRDVMMHNTMTGVRIKTWQGGSGSVQGVLFSNIQVSEVQLPIVIDQFYCDKRTCKNQTSAVALSGINYEKIRGTYTVKPVHFACSDALPCLDVSLTTIELKPLQEHYHMYDPFCWQTFGELNTPTTPPIDCLQIGKPSSNRPQSDHDAC >Manes.04G161200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35547278:35553786:1 gene:Manes.04G161200.v8.1 transcript:Manes.04G161200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFQTGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGARVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTQTEENNQMRGPRTGVPYFL >Manes.04G161200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35547278:35553786:1 gene:Manes.04G161200.v8.1 transcript:Manes.04G161200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWIAKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGARVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTQTEENNQMRGPRTGVPYFL >Manes.02G139200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10707410:10714636:1 gene:Manes.02G139200.v8.1 transcript:Manes.02G139200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNIELENKRRKSAQARIPSDPNAWQQMRENYEAIVLEDHGFSEQHNIEYALWQLHYRRIEELRAHFSAALANGGSNTSQGVKVPTRPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRVVLEKDAKKSSDMKKGLISCHRSLIYLGDLARYKGLYGEGDSKTREYAAASSYYLQAASLWPSSGNPHHQLAILASYSGDELVAVYHYFRSLAVDNPFTTARDNLIVAFEKNRQIYTQLLGDSKGSVVKDSSVRLTSKGRGKGESKPAVKDTNLEANVVNDGTSNIREIHKSFCIRFVRLNGILFTRTSLETFATVLSLVSGDFCDLLSRGPEEQLNFGADVVENAIFIVRLISILIFTVHNVRREAEGQTYAEIVQRAVLLQNALTAVFELMGHILERFVQLHDPSASYLLPGILVFVEWLACCPDVASGSDADEKQSTVRLKFWNHCTSFLNKILSFWSMSLDDNEDDTCFKNMSHYEEGETGNRLALWEDFELRGFLPLLPAQSILDFSRKHSFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDPRMKKFVIGVEPQISDVSMLAFDSGLPKTNDLVQEIQPQKVINVGVLPPNAQPFIEGDEEDEVIVFRPAATEKRNGLSPKWAPYDSLKQNPDVSADLKFYGGAVSSPLDMLQHSAFDAGSQISASSGISASQHLQPIQPPASKWLMEEAASLANSLNAVRFMENGHVTEHDLLKDLGIGHPATHSLPLQQPVNVNASFFYNQTKVPEAVIPSKVDVIAENLAVKTSAALPAGLKKTPVSRPVRHLGPPPGFNHVPLKQVNEHVSGADLMSENSLTDDYSWLDGYQLPSSTKGSVLNNMSTITSEAMPQYINSSNGLSGTASFPFPGKQVSSLQFQTEKQKGWQNYQAFEHLRLQQEQQLQQQLLNGNQQFTPMSEQYHGKSIWSGRYIV >Manes.02G139200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10707379:10714636:1 gene:Manes.02G139200.v8.1 transcript:Manes.02G139200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNIELENKRRKSAQARIPSDPNAWQQMRENYEAIVLEDHGFSEQHNIEYALWQLHYRRIEELRAHFSAALANGGSNTSQGVKVPTRPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRVVLEKDAKKSSDMKKGLISCHRSLIYLGDLARYKGLYGEGDSKTREYAAASSYYLQAASLWPSSGNPHHQLAILASYSGDELVAVYHYFRSLAVDNPFTTARDNLIVAFEKNRQIYTQLLGDSKGSVVKDSSVRLTSKGRGKGESKPAVKDTNLEANVVNDGTSNIREIHKSFCIRFVRLNGILFTRTSLETFATVLSLVSGDFCDLLSRGPEEQLNFGADVVENAIFIVRLISILIFTVHNVRREAEGQTYAEIVQRAVLLQNALTAVFELMGHILERFVQLHDPSASYLLPGILVFVEWLACCPDVASGSDADEKQSTVRLKFWNHCTSFLNKILSFWSMSLDDNEDDTCFKNMSHYEEGETGNRLALWEDFELRGFLPLLPAQSILDFSRKHSFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDPRMKKFVIGVEPQISDVSMLAFDSGLPKTNDLVQEIQPQKVINVGVLPPNAQPFIEGDEEDEVIVFRPAATEKRNGLSPKWAPYDSLKQNPDVSADLKFYGGAVSSPLDMLQHSAFDAGSQISASSGISASQHLQPIQPPASKWLMEEAASLANSLNAVRFMENGHVTEHDLLKDLGIGHPATHSLPLQQPVNVNASFFYNQTKVPEAVIPSKVDVIAENLAVKTSAALPAGLKKTPVSRPVRHLGPPPGFNHVPLKQVNEHVSGADLMSENSLTDDYSWLDGYQLPSSTKGSVLNNMSTITSEAMPQYINSSNGLSGTASFPFPGKQVSSLQFQTEKQKGWQNYQAFEHLRLQQEQQLQQQLLNGNQQFTPMSEQYHGKSIWSGRYIV >Manes.17G045400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24217074:24224693:1 gene:Manes.17G045400.v8.1 transcript:Manes.17G045400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPAVPARAGNAYHAPPPPLPDVYNIIPIHDLLSDHPSLRYPEVRAAAAALRDVSNLPRLPFVTWDPRMDLMDWLGVLFGFQRDNVRNQREHLVLHLANSQMRLQPPPAIPDELDHKVLRRFRSKLLSNYTSWCSYLGRKSQVALSRRSSNSNDLRRELLYVALYLLIWGESGNLRFMPECICYIYHHMAMELNQVLDEWPDPNTGRPFLPSIYGDCAFLKSIIIPFYQTIKTEVDSSNNGTKPHSAWRNYDDLNEFFWSRRCFRKLSWPINFGSNYFDKVEKSKRIGKTGFVEQRSFWNVFRSFDKLWVLLVLFLQASFIVAWEGTEYPWQALERRDVQVELLTCFITWGGLRFLQSILDAGTQYSLVSRDTVLLGLRMVLKSMVALTWTVVFGVFYARIWSAKNSAGFWSSEANSRIVTFLEAVLVFVIPELLALVFFVLPWIRNVLEELDWSILYVLTWWFHTRIFVGRGLREGLVNNVKYTFFWVAVLASKFTFSYFLQIKPLVAPTRVLLNLRNVNYNWHEFFGSSNRVAVVLIWIPVLLIYFMDLQIWYSIFSSFVGATIGLFSHLGEIRNTEQLRLRFQFFASALQFNLMPEEQSLCPKMTLVKRLRDAIHRFRLRYGLGQSYKKIESDQVEATRFALIWNEIIITFREEDIISDREHELLELPPNSWNIRVIQWPCFLLCNELLLALNQAQELADAPDRWIWLKISKSEYRRCAVIEAYDSAKYLLLTVVRYGTEEHSIVERIFGEIDNNIQFGKVSEAYYLKQLERFHSKLISLVEVLIGQKKDVSKTVNILQALYELYIRDFPRGKRKIEQLRQEGLACHGAATDEGLLFENAIEFPDAEDELFNRHLRRLHTILTSRDSMHNVPKNIEARRRIAFFSNSLFMNMPRAPIVEKMMAFSVLTPYYEEEVCFPKEMLRRQNEDGISTIFYLQKIYEDEWNNFMERMYREGMEDDDDIWETKSRDLRLWASYRGQTLARTVRGMMYYYRALKMLSYLDSASEMDIRTGTQELASHHSSKQNRCLDGLNTVKPPSLHKLSRASSSVSLLFKGHEYGSALMKFTYVVSCQVYGQQKAKGDAHAEEILNLMKNNEALRVAYVDEVHLGRDEVEYYSVLVKYDQQLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFKTYYGIRRPTILGVREKIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFVPRGGLSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFQAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNMMLVVLTVYTFLWGRLYLALSGIEGHAMADSNKNKALGTILNQQFIIQLGLFNALPMIVENALEHGFLPAVWDFLTMQLQLASFFYTFSMGTHSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLFARSHFVKAIELGVILIVYASYSDLATNTFVYIIMTISCWFLVVSWIISPFLFNPSGFDWLKTVYDFEDFMNWIWFRGVLAKADQSWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLNITGGNTNIAVYLLSWIFMVAAVGIYVSLSYAQEKFAAKEHIKYRLTQLIVITLTIFVIVLLLELTNFIFLDLVSSLLAFIPTGWGLICIAQVLRPFLQSTVVWDTVVSLARLYDMLFGVIVMIPVAFLSWLPGFQSMQTRILFNEAFSRGLQISLILSGKKTN >Manes.17G045400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24217165:24224502:1 gene:Manes.17G045400.v8.1 transcript:Manes.17G045400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPAVPARAGNAYHAPPPPLPDVYNIIPIHDLLSDHPSLRYPEVRAAAAALRDVSNLPRLPFVTWDPRMDLMDWLGVLFGFQRDNVRNQREHLVLHLANSQMRLQPPPAIPDELDHKVLRRFRSKLLSNYTSWCSYLGRKSQVALSRRSSNSNDLRRELLYVALYLLIWGESGNLRFMPECICYIYHHMAMELNQVLDEWPDPNTGRPFLPSIYGDCAFLKSIIIPFYQTIKTEVDSSNNGTKPHSAWRNYDDLNEFFWSRRCFRKLSWPINFGSNYFDKVEKSKRIGKTGFVEQRSFWNVFRSFDKLWVLLVLFLQASFIVAWEGTEYPWQALERRDVQVELLTCFITWGGLRFLQSILDAGTQYSLVSRDTVLLGLRMVLKSMVALTWTVVFGVFYARIWSAKNSAGFWSSEANSRIVTFLEAVLVFVIPELLALVFFVLPWIRNVLEELDWSILYVLTWWFHTRIFVGRGLREGLVNNVKYTFFWVAVLASKFTFSYFLQIKPLVAPTRVLLNLRNVNYNWHEFFGSSNRVAVVLIWIPVLLIYFMDLQIWYSIFSSFVGATIGLFSHLGEIRNTEQLRLRFQFFASALQFNLMPEEQSLCPKMTLVKRLRDAIHRFRLRYGLGQSYKKIESDQVEATRFALIWNEIIITFREEDIISDREHELLELPPNSWNIRVIQWPCFLLCNELLLALNQAQELADAPDRWIWLKISKIVRYGTEEHSIVERIFGEIDNNIQFGKVSEAYYLKQLERFHSKLISLVEVLIGQKKDVSKTVNILQALYELYIRDFPRGKRKIEQLRQEGLACHGAATDEGLLFENAIEFPDAEDELFNRHLRRLHTILTSRDSMHNVPKNIEARRRIAFFSNSLFMNMPRAPIVEKMMAFSVLTPYYEEEVCFPKEMLRRQNEDGISTIFYLQKIYEDEWNNFMERMYREGMEDDDDIWETKSRDLRLWASYRGQTLARTVRGMMYYYRALKMLSYLDSASEMDIRTGTQELASHHSSKQNRCLDGLNTVKPPSLHKLSRASSSVSLLFKGHEYGSALMKFTYVVSCQVYGQQKAKGDAHAEEILNLMKNNEALRVAYVDEVHLGRDEVEYYSVLVKYDQQLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFKTYYGIRRPTILGVREKIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFVPRGGLSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFQAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNMMLVVLTVYTFLWGRLYLALSGIEGHAMADSNKNKALGTILNQQFIIQLGLFNALPMIVENALEHGFLPAVWDFLTMQLQLASFFYTFSMGTHSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLFARSHFVKAIELGVILIVYASYSDLATNTFVYIIMTISCWFLVVSWIISPFLFNPSGFDWLKTVYDFEDFMNWIWFRGVLAKADQSWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLNITGGNTNIAVYLLSWIFMVAAVGIYVSLSYAQEKFAAKEHIKYRLTQLIVITLTIFVIVLLLELTNFIFLDLVSSLLAFIPTGWGLICIAQVLRPFLQSTVVWDTVVSLARLYDMLFGVIVMIPVAFLSWLPGFQSMQTRILFNEAFSRGLQISLILSGKKTN >Manes.17G045400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24217165:24224502:1 gene:Manes.17G045400.v8.1 transcript:Manes.17G045400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGPAVPARAGNAYHAPPPPLPDVYNIIPIHDLLSDHPSLRYPEVRAAAAALRDVSNLPRLPFVTWDPRMDLMDWLGVLFGFQRDNVRNQREHLVLHLANSQMRLQPPPAIPDELDHKVLRRFRSKLLSNYTSWCSYLGRKSQVALSRRSSNSNDLRRELLYVALYLLIWGESGNLRFMPECICYIYHHMAMELNQVLDEWPDPNTGRPFLPSIYGDCAFLKSIIIPFYQTIKTEVDSSNNGTKPHSAWRNYDDLNEFFWSRRCFRKLSWPINFGSNYFDKVEKSKRIGKTGFVEQRSFWNVFRSFDKLWVLLVLFLQASFIVAWEGTEYPWQALERRDVQVELLTCFITWGGLRFLQSILDAGTQYSLVSRDTVLLGLRMVLKSMVALTWTVVFGVFYARIWSAKNSAGFWSSEANSRIVTFLEAVLVFVIPELLALVFFVLPWIRNVLEELDWSILYVLTWWFHTRIFVGRGLREGLVNNVKYTFFWVAVLASKFTFSYFLQIKPLVAPTRVLLNLRNVNYNWHEFFGSSNRVAVVLIWIPVLLIYFMDLQIWYSIFSSFVGATIGLFSHLGEIRNTEQLRLRFQFFASALQFNLMPEEQSLCPKMTLVKRLRDAIHRFRLRYGLGQSYKKIESDQVEATRFALIWNEIIITFREEDIISDREHELLELPPNSWNIRVIQWPCFLLCNELLLALNQAQELADAPDRWIWLKISKSEYRRCAVIEAYDSAKYLLLTVVRYGTEEHSIVERIFGEIDNNIQFGKVSEAYYLKQLERFHSKLISLVEVLIGQKKDVSKTVNILQALYELYIRDFPRGKRKIEQLRQEGLACHGAATDEGLLFENAIEFPDAEDELFNRHLRRLHTILTSRDSMHNVPKNIEARRRIAFFSNSLFMNMPRAPIVEKMMAFSVLTPYYEEEVCFPKEMLRRQNEDGISTIFYLQKIYEDEWNNFMERMYREGMEDDDDIWETKSRDLRLWASYRGQTLARTVRGMMYYYRALKMLSYLDSASEMDIRTGTQELASHHSSKQNRCLDGLNTVKPPSLHKLSRASSSVSLLFKGHEYGSALMKFTYVVSCQVYGQQKAKGDAHAEEILNLMKNNEALRVAYVDEVHLGRDEVEYYSVLVKYDQQLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFKTYYGIRRPTILGVREKIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFVPRGGLSKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFQAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNMMLVVLTVYTFLWGRLYLALSGIEGHAMADSNKNKALGTILNQQFIIQLGLFNALPMIVENALEHGFLPAVWDFLTMQLQLASFFYTFSMGTHSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLFARSHFVKAIELGVILIVYASYSDLATNTFVYIIMTISCWFLVVSWIISPFLFNPSGFDWLKTVYDFEDFMNWIWFRGVLAKADQSWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLNITGGNTNIAVYLLSWIFMVAAVGIYVSLSYAQEKFAAKEHIKYRLTQLIVITLTIFVIVLLLELTNFIFLDLSTVVWDTVVSLARLYDMLFGVIVMIPVAFLSWLPGFQSMQTRILFNEAFSRGLQISLILSGKKTN >Manes.18G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11941718:11950232:-1 gene:Manes.18G116100.v8.1 transcript:Manes.18G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEASAEFQDWELLVNSDTDVINSPNSANNSRNFDDVEADSEGVLRLDYFSPENDNGYAKTFADASEEVSVESDNPSWIDPGLESGDQRRNFGEFWSDLRSDRSDERKSSDFDVKKEVGFVENVKTEGSSEGTGEYKDNGEEGKFESHKGTFNNLEGKTEISLEENVKNQTGFEEFEENCTKDKDLCKFWSDASADSLVFGDTGKMNEGSEFLGESNSGNAREDENLSVVAVGDRKPGGDEEKRKVVWWKVPFDLLKYCVFRISPVWTFSMAAAVLGFVILGQRLYKMKRKTRSLPLKVALDDKKVSQFMSRAARLNEAFSVVRRVPIVRPLLPAAGTSSWPITTLR >Manes.18G141033.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26023196:26029475:-1 gene:Manes.18G141033.v8.1 transcript:Manes.18G141033.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSFTDSAHPLTTHVFLSLYFDFSSSTIHAAALLSLAAPHTGILSLDTRFLSIYKVLDPQTLSPLPFSLSPIDPIKGSHLTVSLQNHSSVLIFYSTSPSSSALQWLSPPQTFGKIHPFVFTQCQSIHARSVFPCQDTPAARICYSAKLNIPRHLSAVMSARHSDRRAPVSGDVNDLVTGDVGFDFRSLWCAEGRVVEEFVMEQPIPPYLFAFAIGDLSFREVGPRTKVYSEAVAEVLDAAAREFAGTEDMIRQGEKLFGPYEWERFDLMVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKNNDHFWLNEGFTTYAERRIVEVVQGEDRAVMNIGIGWRGLNEEIERFKDNMEFTKLKTNQENIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFKSIDTEMFLDFLKANVPGIEKDIDLKLWTEGTGIPPDAYEPVSNLYTKIVSLANEFKLGRMPREDEVADWHGQEWELYLENLPKPVEASQILALDARYRLSESKDYEVKVAFLQLAISSSCRDYYSEVEKTLKAVGRMKYLRPLYRALVQGTGKEEEKVFAKRVFAEARECYHPIAQGVVESIFAKHM >Manes.18G141033.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26025022:26029543:-1 gene:Manes.18G141033.v8.1 transcript:Manes.18G141033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSFTDSAHPLTTHVFLSLYFDFSSSTIHAAALLSLAAPHTGILSLDTRFLSIYKVLDPQTLSPLPFSLSPIDPIKGSHLTVSLQNHSSVLIFYSTSPSSSALQWLSPPQTFGKIHPFVFTQCQSIHARSVFPCQDTPAARICYSAKLNIPRHLSAVMSARHSDRRAPVSGDVNDLVTGDVGFDFRSLWCAEGRVVEEFVMEQPIPPYLFAFAIGDLSFREVGPRTKVYSEAVAEVLDAAAREFAGTEDMIRQGEKLFGPYEWERFDLMVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKNNDHFWLNEGFTTYAERRIVEVVQGEDRAVMNIGIGWRGLNEEIERFKDNMEFTKLKTNQENIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFKSIDTEMFLDFLKANVPGIEKDIDLKLWTEGTGIPPDAYEPVSNLYTKIVSLANEFKLGRMPREDEVADWHGQEWELYLENLPKPVEASQILALDARYRLSESKDYEVKVAFLQLAISSSCRDYYSEVEKTLKAVGRMKYLRPLYRALVQGTGKEEEKVFAKRVFAEARECYHPIAQGVVESIFAKHM >Manes.02G218200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34208892:34216301:1 gene:Manes.02G218200.v8.1 transcript:Manes.02G218200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESASLNLIQLWKRFRGNDKPPAHLGSSRDYNVDMIPKFMMANGNLVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYNENDPKTHEGMDLTRVTTRELIAKYGLDDNTVDFIGHALALHRDDRYLNEPALDTVMRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFNEEGKVSGVTSEGETAKCKKVVCDPSYLPNKVRKVGRVARAIAIMSHPIPNTNDSHSVQVILPQKQLGRRSDMYLFCCSYSHNVAPKGKFIAFVSSEAETDHPEIELKPGIDLLGPIDEIFFDIYDIYEPVNEPSLDNCFISTSYDATTHFESTVTDVLNMYTLITGKVLDLSVDLSAASAAEE >Manes.08G062600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8410948:8418660:-1 gene:Manes.08G062600.v8.1 transcript:Manes.08G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPPTAINDKESMVDPFLVEALQNPRHRLTILRMELDIQRFLQNPDQQQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKRLESDKPELLKIAIRPRPNKGSENESSEFGIKRSPVRSVEERKEEYDRARARIFSSPSSPNSDDTVSQGYVDRKSTSVSKDEQEGCRNSVSEPEKYICSRDYAPSRVAIFRDREKDRTDPDYDRSYDRYVRSLPTNQNFNLAPFDMQKIQLPFVQYDTGFTQLGQMPRTQTSLGYRPATTQVMNPFCAVGSNQASRDAAYVQWPSAAMMYAHSYEQFRHAVFQAPCFQQPLSFDYSQNH >Manes.08G062600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8410948:8418660:-1 gene:Manes.08G062600.v8.1 transcript:Manes.08G062600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPPTAINDKESMVDPFLVEALQNPRHRLTILRMELDIQRFLQNPDQQQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKRLESDKPELLKIAIRPRPNKGSENESSEFGIKRSPVRSVEERKEEYDRARARIFSSPSSPNSDDTVSQGYVDRKSTSVSKDEQEGCRNSVSEPEKYICSRDYAPSRVAIFRDREKDRTDPDYDRSYDRYVRSLPTNQNFNLAPFDMQKIQLPFVQYDTGFTQLGQMPRTQTSLGYRPATTQVMNPFCAVGSNQASRDAAYVQWPSAAMMYAHSYEQFRHAVFQRC >Manes.08G163522.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39859645:39860028:-1 gene:Manes.08G163522.v8.1 transcript:Manes.08G163522.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IARPYPGQILLPTPKGIIFISLLPVISKASPLFKNLSGLNAIGSSHTFGSQPISATMKFIVPCFGTRNPSRTASSLTACGNTKCPGGCRLNPSKITAFRYGIICKYSSLTSVSSTPTTSLISMKSFS >Manes.13G002500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:778990:797103:-1 gene:Manes.13G002500.v8.1 transcript:Manes.13G002500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAVSSSSSSSSSSLREWKYDAFLSFRGEDIRKSFISHLHKELSREGINTFIDDRELETGQSISQTLTEAIRSSRILIIILSKNYASSRWCLDELVQILKCKKAGSQTVLPIFYDVSPSQVRKQSGNFENAFDSHGQLFKEKVQQWRDAIMQVADLCGWDLHDRDESEFICEIVKDIISKLGRFSSDIAKGLVGMESRSEKMRMYLDLGQPDKVKFIGVWGMGGIGKTTIASVVYKQLHSQFEGSSFLIDVREASKRHNGLVSLQNKLLSAILNRDLEVHDVHKGTDELRKRLCHKKVLVILDDVNELEQLEYLIGKRDENWFGKGSRIVITTRNKHLLAQCGLDDECIYRMEELADHEAFQLFCSKAFRNNCPTVDYMELCNQFVSYASGLPLALSVLGSSLYAKSIKEWKSALDRLKDIPSAEILRKLQISFDGLDEMQKKLFLDIACFFNGREENYVRKILESCGFYPDSGIGELMDKSLIIVSNERVWMHDLLRQMSQEIVRRESPKEPGRRSRIWLYEDVYHVLINDTGSEENEGIVLDLLSETSQLIRAKGFSKMKYLRLLILQNAFVFHDLEYLSNELRYLEWHKYPFKSFPSTFQPNKLVELNMQHSKLKQLWKAVKPLQLLKIIDLSFSKDLIKTPDFRDVPNLEVLKLQGCTSLVEVHKCIGVLRRFFSLNLKDCKNLVALPSDIWNLKSLKILNLRGCLKLSKLPEGLAGLTSLEELDAAGIGSRQMTFAKPWDLLSNFLPHAERNQNLQAFAFSSLRALRKLDLSCCNLPKVPNDISYLRSLVKLNLSGNYLLSVPSSISELSNLKDVDFSNCTRLRSLPALPSSVECLDMKNCTSLQTLPNLVQVLRLKTLKCANCKSLRSLPHLPSSVKFLDMENCTALAIFPNLIETHNVEKSFYIGFSKFSKLNYFQGEIRVPFTWLRYYMLWLHDVRQLLKMQRRIHPFLGLPDFYLCLPGSGICHQRSMLSFLELPEFYICLPGSGIPDWFKHQAEESHLRIELHPNESWWNIAGFVVCAVVEKGNVGEPITWSITVGRNIGSARCWSNHVPIPETSQVPSDHLSMFFQVNQLVDPNDECSPTELLLIFEPEEKIKKCGIRIVYEVEIQEIIQMNRPLKDLVI >Manes.13G002500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:778990:797103:-1 gene:Manes.13G002500.v8.1 transcript:Manes.13G002500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAVSSSSSSSSSSLREWKYDAFLSFRGEDIRKSFISHLHKELSREGINTFIDDRELETGQSISQTLTEAIRSSRILIIILSKNYASSRWCLDELVQILKCKKAGSQTVLPIFYDVSPSQVRKQSGNFENAFDSHGQLFKEKVQQWRDAIMQVADLCGWDLHDRDESEFICEIVKDIISKLGRFSSDIAKGLVGMESRSEKMRMYLDLGQPDKVKFIGVWGMGGIGKTTIASVVYKQLHSQFEGSSFLIDVREASKRHNGLVSLQNKLLSAILNRDLEVHDVHKGTDELRKRLCHKKVLVILDDVNELEQLEYLIGKRDENWFGKGSRIVITTRNKHLLAQCGLDDECIYRMEELADHEAFQLFCSKAFRNNCPTVDYMELCNQFVSYASGLPLALSVLGSSLYAKSIKEWKSALDRLKDIPSAEILRKLQISFDGLDEMQKKLFLDIACFFNGREENYVRKILESCGFYPDSGIGELMDKSLIIVSNERVWMHDLLRQMSQEIVRRESPKEPGRRSRIWLYEDVYHVLINDTGSEENEGIVLDLLSETSQLIRAKGFSKMKYLRLLILQNAFVFHDLEYLSNELRYLEWHKYPFKSFPSTFQPNKLVELNMQHSKLKQLWKAVKPLQLLKIIDLSFSKDLIKTPDFRDVPNLEVLKLQGCTSLVEVHKCIGVLRRFFSLNLKDCKNLVALPSDIWNLKSLKILNLRGCLKLSKLPEGLAGLTSLEELDAAGIGSRQMTFAKPWDLLSNFLPHAERNQNLQAFAFSSLRALRKLDLSCCNLPKVPNDISYLRSLVKLNLSGNYLLSVPSSISELSNLKDVDFSNCTRLRSLPALPSSVECLDMKNCTSLQTLPNLVQVLRLKTLKCANCKSLRSLPHLPSSVKFLDMENCTALAIFPNLIETHNVEKSFYIGFSKFSKLNYFQGEIRVPFTWLRYYMLWLHDVRQLLKMQVGCDMDEFDEGFPHRRIHPFLGLPDFYLCLPGSGICHQRSMLSFLELPEFYICLPGSGIPDWFKHQAEESHLRIELHPNESWWNIAGFVVCAVVEKGNVGEPITWSITVGRNIGSARCWSNHVPIPETSQVPSDHLSMFFQVNQLVDPNDECSPTELLLIFEPEEKIKKCGIRIVYEVEIQEIIQMNRPLKDLVI >Manes.02G186500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14935045:14939699:1 gene:Manes.02G186500.v8.1 transcript:Manes.02G186500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSASCLDREEGDDKVWPPGFRFHPTDEELVLYYLKRKMCKRRLQLDIIRELDVYKWDPEELPGQSVLKTGDRQWFFFSPRDRKYPNGARSNRATGQGYWKATGKDRTIKCNSRNVGLKKTLVFYRGRAPNGERTDWVMHEYTLDEEELKRCLNVQDYYALYKVYKKSGPGPKNGEQYGAPFKEEDWADDEYAVANNSDTPVNQPNVVTPPVDAIKAIVQVEQPLNGIEDFMKQIADENPFDQNQISDCDYTSELLQIAGEDETQSILVDPSLREVIFPESISLSPETVQRDSLDFNQSAISTFQSLEVPEPTSGPHSSEGALQLCEDNFLELDDLIGPEPTLLNNEKTANNLSFDDFDGLSEFDLYHDAELFLNEMGPVSHVNEVGLQLQFHSMANQVDYQLQPHSAVNDVDYLQPEAFDAAHLWVDDQRSNVHVTAETTHGILSQPTPGVAHESSSIPTGANENQSSGEDGGATGWFSSALWTFVESIPTTPASACETPLVNKAFERMSSFSRIRLNVKNNNINNDNLISSDRAANSNKAGGTSRGFLILSILGALCAILWVLSGPSVLKRAIFS >Manes.S032516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:798075:799600:1 gene:Manes.S032516.v8.1 transcript:Manes.S032516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKMRLALVNKYIPLANHQAKRGSRSQLIVHFNEQSVPLFSVLNKLKLEYLYNDNIFQHTRKNIDQHFAMVGSHAWTHTCHHRIGNENLWSDSLLLIETMNQLLQPL >Manes.12G069800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7453101:7461113:-1 gene:Manes.12G069800.v8.1 transcript:Manes.12G069800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDNAKFVFPLTSLQIGDLQSYLSDLSLFVALESNKLYILVDNRPWLRNLGSRPAHLWQLMVTKSRLSPFANTKAQRGRKEGKEACTDPSLSKSKEFKRWFSLLDATSLSRKRALLPVKNLQNSLFLSNELHRTLYGFIVFEVSWTNVRGINYLNELQTDTSLALESKIMLRWEFDSIEQAASCISLWFSGTVFEQLHLKEYLESAVGEIFYDARENFSRTIPIDDEDHICNDSLGVKDISLCCPGGSFSVHGTMENRASDPHTPPLSGPYKRRRVTKSTSTGVQVDFYSEDIQDEIEDLLDNSETSTNDCENTVEAKEYQDVLILFRFSDPDLPFKLREIVMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARTLYVLISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWVETWEMVSRIKYLGTMLFLHNFQKAVTWFLMVTRSTRSFFSMFTQPLVEPFMEISGFLLPIWNLLIEVVESSCSVVWVVTGSFFNIVIDLVELILWPLWVIFSMIWSIATTILYPIFWILGEILYAPIRMVLAIASSVALICAWISELIVDIWLSLSGIFQFASASEATMRTCEVSIWRSLWNDLFSQVFRALRSILNGFVAFFTACNRHRLSIYNHIQDFIQRMFGGAQTMQPSGHRHNRPTRASGTRSLADVNRKIHIS >Manes.05G130900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23311573:23331108:1 gene:Manes.05G130900.v8.1 transcript:Manes.05G130900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTTMKLLLLISLFQVLYIASIIHAEGSDKRLLLTSNAKSGERHSEEYCAMYDICGERDDGKVLNCPSGSPSIKPDDLLSQKIQSLCPTITGNVCCTEPQFETLRSQVQQVIPFLVGCPACLRNFLNLFCELSCSPHQSLFINVTSISKVKNNLTVDGIDFYISDAFGEGLYDSCKDVKFGTMNTRALDFVGAGAQNFKEWYAFIGRRAAPNLPGSPYAITFKSTAPESAGMQPMNVSAYSCGDTSLGCSCGDCPSALVCANTAPPREHEKSSCSVRIGSLKVMNCAVDILSFDQSDLFVQAKCIDFALTILYIMLVSIFLGWGLFHRKIKRNQTSGMESIPNSKDGGEIHSVIGQKDENIPMQMHEDSPQTGNRVQLSIVQGYMSKFYRRYGTWVAQHPILVLSVSVAVVLLLCLGLIRFKVETRPEKLWVGPESKAAEEKKFFDSHLAPFYRIEQLILATTPNAEEGKLPSILTENNIKLLFTIQKKVDGIRANYSGSMVSLTDICMKPLDQDCATQSILQYFQMDPQNYDNYGGVEHVHYCLQHYTSADKCMSTFKAPLDPSTALGGFSGSNYLEASAFIITYPVNNAIDKERNKTDKAVAWEKAFIQLVKDELLPMVHLNNLTLSFSSESSIEEELKRESTADAITILISYLVMFAYISLTLGDIPHLSSFYISSKVLLGLCGVLLVMLSVLGSVGLFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISKALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFLRAEDKRVDCVPCLKISSSYADSDKGIGGGRPGLLARYMKGVHAPVLSLWGVKIAVISVFIGFALASIALSSRVEPGLEQKIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCDSNSLLNEIARASLTPEFSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGSYCPPDDQPPCCSSDTGSCDLSGVCKDCTTCFRHSDLNNDRPSTAQIRDKLPWFLNALPSADCAKGGHGAYTSSVELEGYENGVIQASSFRTYHTPLNKQVDYVNSMRAAREFSSRMSDSLKMEVFPYSVFYMFFEQYLDIWKTALINLAIAIGAVFVVCLAITCSVWSSAIILLVLVMIVVDLMGVMAILDIQLNAISVVNLVMSVGIAVEFCVHITFSFSVSSGDRDQRMKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCALVEKPEDRPSVSLQP >Manes.05G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23311573:23331124:1 gene:Manes.05G130900.v8.1 transcript:Manes.05G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTTMKLLLLISLFQVLYIASIIHAEGSDKRLLLTSNAKSGERHSEEYCAMYDICGERDDGKVLNCPSGSPSIKPDDLLSQKIQSLCPTITGNVCCTEPQFETLRSQVQQVIPFLVGCPACLRNFLNLFCELSCSPHQSLFINVTSISKVKNNLTVDGIDFYISDAFGEGLYDSCKDVKFGTMNTRALDFVGAGAQNFKEWYAFIGRRAAPNLPGSPYAITFKSTAPESAGMQPMNVSAYSCGDTSLGCSCGDCPSALVCANTAPPREHEKSSCSVRIGSLKAKCIDFALTILYIMLVSIFLGWGLFHRKIKRNQTSGMESIPNSKDGGEIHSVIGQKDENIPMQMHEDSPQTGNRVQLSIVQGYMSKFYRRYGTWVAQHPILVLSVSVAVVLLLCLGLIRFKVETRPEKLWVGPESKAAEEKKFFDSHLAPFYRIEQLILATTPNAEEGKLPSILTENNIKLLFTIQKKVDGIRANYSGSMVSLTDICMKPLDQDCATQSILQYFQMDPQNYDNYGGVEHVHYCLQHYTSADKCMSTFKAPLDPSTALGGFSGSNYLEASAFIITYPVNNAIDKERNKTDKAVAWEKAFIQLVKDELLPMVHLNNLTLSFSSESSIEEELKRESTADAITILISYLVMFAYISLTLGDIPHLSSFYISSKVLLGLCGVLLVMLSVLGSVGLFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEERISKALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFLRAEDKRVDCVPCLKISSSYADSDKGIGGGRPGLLARYMKGVHAPVLSLWGVKIAVISVFIGFALASIALSSRVEPGLEQKIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCDSNSLLNEIARASLTPEFSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGSYCPPDDQPPCCSSDTGSCDLSGVCKDCTTCFRHSDLNNDRPSTAQIRDKLPWFLNALPSADCAKGGHGAYTSSVELEGYENGVIQASSFRTYHTPLNKQVDYVNSMRAAREFSSRMSDSLKMEVFPYSVFYMFFEQYLDIWKTALINLAIAIGAVFVVCLAITCSVWSSAIILLVLVMIVVDLMGVMAILDIQLNAISVVNLVMSVGIAVEFCVHITFSFSVSSGDRDQRMKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCALVEKPEDRPSVSLQP >Manes.16G059250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22415944:22416909:1 gene:Manes.16G059250.v8.1 transcript:Manes.16G059250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEMNINELQRDKEQLTYHPICSLHKLKVANPCKYCGAKRLNSKVKCITTKIPNDLLDLFTSINNEAKEFRKNVQLYNDEDLSPRDGNPCYFQLHFCNDNNELSDTQLSQNKVGAIWIKENHSNVQLERKIIIQTHSRHKHKVKYYFGCYDPLHYPLLNPKGKS >Manes.14G120240.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11620637:11627576:-1 gene:Manes.14G120240.v8.1 transcript:Manes.14G120240.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMKVCGMVLAMTMVLLFQRVWCSDDCWETERIALLQLQSHFNYSLQNDYYLQNYPYLFFYDYSMEIDVIKCCDWERVWCNATTGHVIQLNLRNIRVFSPGTWYLNASLFLPFQHLNYLDLRGNYIAGFQRLSSLENLKFLNLASNNFKTDILLSLTHLSSLKYLNLDDNHMKGRINIEELNSLTNLESLSIRSNEIEGFKSFNGGEELLNMSNLEFLDMSHNHLENGVLSLKGLSSLKTLLMDYNQLKGPFDLKELNTMNNLEMLSLGGNNITNLISSRGGENLSNMSNLKSLDMSFNNLGNDVLSSLRSLSFLTTLRMDYNQFEGPFDLKELDTMSNLELLSLIGNNITKFVGTKGMRSFRNLRWLSLTINGSSMLLESLGAFTNLDNLHISWSDLKGTRFAQGSNLTNLKELYLDNSSVDENFLQSFEALSSLETLSMHGCGPSGIIPVSQSICKLKHLQKLDISYNDFSGNLPLCLANLTSLQQLDLSSNHFIGNISSSPLEGLTNLEYLSVSDNLFQIPISLSPFFNHSKLKYVGSRGNKIFAETNDQYLNPRFQLEMLVLSSGGYGGAFPKFLYHQHDLQFIDLSHIQMSEGFPYWLLQNNTKLERLYLINNSLSGPLKLPIHSHMNLSVLDISDNFFQGFITPEMGTYLPKLSHLNMSGNGFSGSIPSSFGNMSLLERLDLSNNRLSGTIPEDLTIGCVSLQELILSNNSLQGQIFSETSNLRVLYELQLDGNQFTGSIPHSLSNISFLRVLDLSHNNLYGRIPRWLGNMYFLRVLDLSMNNISGSLPSNFCPSNIQEIYLSRNGLQGSLEDAFYGCSELIVLDLGHNHMTGSIPSWIGKFSRLSYLILGHNYIDGEIPVQLCNLTQLSLLDLSHNHLFGSILPCLRSTSQTYRQLGRMLPNPYNASMDKPLEFTTKSISYSYQGRMLSYISGIDLSCNHLTGQIPIEIGYLNEIHVLNLSHNSLTGKIPASFSNLRQIESLDLSYNNLEGNIPPQLTELNFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRNCTEMVSPPSKSRTSIENEESNGFMDMGVFYISFGVAYSVVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFIIDNLVVLSKFRFCSLRR >Manes.16G109800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31522062:31526669:1 gene:Manes.16G109800.v8.1 transcript:Manes.16G109800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQLLKDGADEIPSSHRKVSALNCVDLSCPDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFSEKKRFFELPLAEKLKVLRNENYRGYTPVLDELLDPDNQVHGDYKEGYYIGVEVPEGDPQAEKPFYGKNVWPAADLLPGWRQTMERFHKEALEVTRAVARIIALALDLEADFFDRPEMLGQPIAIMRLLRYGAQISDPTKGIFGAGAHSDYGLITLLATDDIYALQICKDKNAQPQVWEYVAPLKGAFIVNLGDMLERWSNCIFRSTLHRVVANGQERYSIAYFVEPSHDCVVECLPTCKSEKNPPKFPPIKCGTYLSHRYKDTHSDLNTYKEHQA >Manes.16G109800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31522061:31526704:1 gene:Manes.16G109800.v8.1 transcript:Manes.16G109800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQLLKDGADEIPSSHRKVSALNCVDLSCPDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFSEKKRFFELPLAEKLKVLRNENYRGYTPVLDELLDPDNQVHGDYKEGYYIGVEVPEGDPQAEKPFYGKNVWPAADLLPGWRQTMERFHKEALEVTRAVARIIALALDLEADFFDRPEMLGQPIAIMRLLRYGAQISDPTKGIFGAGAHSDYGLITLLATDDIYALQICKDKNAQPQVWEYVAPLKGAFIVNLGDMLERWSNCIFRSTLHRVVANGQERYSIAYFVEPSHDCVVECLPTCKSEKNPPKFPPIKCGTYLSHRYKDTHSDLNTYKEHQA >Manes.16G109800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31522055:31526988:1 gene:Manes.16G109800.v8.1 transcript:Manes.16G109800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQLLKDGADEIPSSHRKVSALNCVDLSCPDIQQSVSLLKQACLDCGFFYVVNHGISQEFMDQVFSEKKRFFELPLAEKLKVLRNENYRGYTPVLDELLDPDNQVHGDYKEGYYIGVEVPEGDPQAEKPFYGKNVWPAADLLPGWRQTMERFHKEALEVTRAVARIIALALDLEADFFDRPEMLGQPIAIMRLLRYGAQISDPTKGIFGAGAHSDYGLITLLATDDIYALQICKDKNAQPQVWEYVAPLKGAFIVNLGDMLERWSNCIFRSTLHRVVANGQERYSIAYFVEPSHDCVVECLPTCKSEKNPPKFPPIKCGTYLSHRYKDTHSDLNTYKEHQA >Manes.10G147500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31659203:31672955:-1 gene:Manes.10G147500.v8.1 transcript:Manes.10G147500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQYSLFCLLNLAYLLAFAGGKNTIQLSSEFSRRSFPDGFVFGAGSSSYQYEGATEQDGRKPSIWDTFTREHPEKIADHSNGKVAEDFYHRYEVDISLLKEIGLDSYRFSISWPRILPGGKLSRGVNWEGVNFYNSLINNLLSNGIQPLVTLFHWDVPQVLEDEYKGLLSPNIVKDFYNFVDFCFKEFGDRVKHWVTINEANLMSIYGYAYGQNAPGRCSDYIGNCTQGNSATEPYIVVHHLILCHAAAVKLYRQKYQASQGGIIGITVFTAWRVPKYDDVSCRRAASRALDFLIGWIMHPITYGDYPVTMKYLVGDRLPKFTEKQAKLVIGSFDFIGINYYTASYAEDLTSYSNVNLSYTTDSRVNVTTDKNGIPIGEPILYFLCVCSVD >Manes.10G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31659348:31672954:-1 gene:Manes.10G147500.v8.1 transcript:Manes.10G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQYSLFCLLNLAYLLAFAGGKNTIQLSSEFSRRSFPDGFVFGAGSSSYQYEGATEQDGRKPSIWDTFTREHPEKIADHSNGKVAEDFYHRYEVDISLLKEIGLDSYRFSISWPRILPGGKLSRGVNWEGVNFYNSLINNLLSNGIQPLVTLFHWDVPQVLEDEYKGLLSPNIVKDFYNFVDFCFKEFGDRVKHWVTINEANLMSIYGYAYGQNAPGRCSDYIGNCTQGNSATEPYIVVHHLILCHAAAVKLYRQKYQASQGGIIGITVFTAWRVPKYDDVSCRRAASRALDFLIGWIMHPITYGDYPVTMKYLVGDRLPKFTEKQAKLVIGSFDFIGINYYTASYAEDLTSYSNVNLSYTTDSRVNVTTDKNGIPIGEPTDCSWLYIYPEGLYKLLLYLKRKYNHPVIYITENGMGDRSSLSVAEALEDKLRIKYHHLHLLSVLEAIKEGVDVKGYYIWSFLDDFEWDLGYTIRFGITYIDYTNELKRHLKHSALWFKKFLHEENRTIASSLLYSE >Manes.10G147500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31659348:31672954:-1 gene:Manes.10G147500.v8.1 transcript:Manes.10G147500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMADTISPSIQLSLLLCQKQTKVTFQSLHLLRDIEQNLFQSRMNRQYSLFCLLNLAYLLAFAGGKNTIQLSSEFSRRSFPDGFVFGAGSSSYQYEGATEQDGRKPSIWDTFTREHPGGKLSRGVNWEGVNFYNSLINNLLSNGIQPLVTLFHWDVPQVLEDEYKGLLSPNIVKDFYNFVDFCFKEFGDRVKHWVTINEANLMSIYGYAYGQNAPGRCSDYIGNCTQGNSATEPYIVVHHLILCHAAAVKLYRQKYQASQGGIIGITVFTAWRVPKYDDVSCRRAASRALDFLIGWIMHPITYGDYPVTMKYLVGDRLPKFTEKQAKLVIGSFDFIGINYYTASYAEDLTSYSNVNLSYTTDSRVNVTTDKNGIPIGEPTDCSWLYIYPEGLYKLLLYLKRKYNHPVIYITENGMGDRSSLSVAEALEDKLRIKYHHLHLLSVLEAIKEGVDVKGYYIWSFLDDFEWDLGYTIRFGITYIDYTNELKRHLKHSALWFKKFLHEENRTIASSLLYSE >Manes.10G147500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31659348:31672954:-1 gene:Manes.10G147500.v8.1 transcript:Manes.10G147500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMADTISPSIQLSLLLCQKQTKVTFQSLHLLRDIEQNLFQSRMNRQYSLFCLLNLAYLLAFAGGKNTIQLSSEFSRRSFPDGFVFGAGSSSYQYEGATEQDGRKPSIWDTFTREHPEKIADHSNGKVAEDFYHRYEVDISLLKEIGLDSYRFSISWPRILPGGKLSRGVNWEGVNFYNSLINNLLSNGIQPLVTLFHWDVPQVLEDEYKGLLSPNIVKDFYNFVDFCFKEFGDRVKHWVTINEANLMSIYGYAYGQNAPGRCSDYIGNCTQGNSATEPYIVVHHLILCHAAAVKLYRQKYQASQGGIIGITVFTAWRVPKYDDVSCRRAASRALDFLIGWIMHPITYGDYPVTMKYLVGDRLPKFTEKQAKLVIGSFDFIGINYYTASYAEDLTSYSNVNLSYTTDSRVNVTTDKNGIPIGEPTDCSWLYIYPEGLYKLLLYLKRKYNHPVIYITENGMGDRSSLSVAEALEDKLRIKYHHLHLLSVLEAIKEGVDVKGYYIWSFLDDFEWDLGYTIRFGITYIDYTNELKRHLKHSALWFKKFLHEENRTIASSLLYSE >Manes.10G147500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31659348:31672954:-1 gene:Manes.10G147500.v8.1 transcript:Manes.10G147500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMADTISPSIQLSLLLCQKQTKVTFQSLHLLRDIEQNLFQSRMNRQYSLFCLLNLAYLLAFAGGKNTIQLSSEFSRRSFPDGFVFGAGSSSYQYEGATEQDGRKPSIWDTFTREHPEKIADHSNGKVAEDFYHRYEVDISLLKEIGLDSYRFSISWPRILPGGKLSRGVNWEGVNFYNSLINNLLSNGIQPLVTLFHWDVPQVLEDEYKGLLSPNIVKDFYNFVDFCFKEFGDRVKHWVTINEANLMSIYGYAYGQNAPGRCSDYIGNCTQGNSATEPYIVVHHLILCHAAAVKLYRQKYQASQGGIIGITVFTAWRVPKYDDVSCRRAASRALDFLIGWIMHPITYGDYPVTMKYLVGDRLPKFTEKQAKLVIGSFDFIGINYYTASYAEDLTSYSNVNLSYTTDSRVNVTTDKNGIPIGEPTDCSWLYIYPEGLYKLLLYLKRKYNHPVIYITENGI >Manes.10G147500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31659203:31672955:-1 gene:Manes.10G147500.v8.1 transcript:Manes.10G147500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQYSLFCLLNLAYLLAFAGGKNTIQLSSEFSRRSFPDGFVFGAGSSSYQYEGATEQDGRKPSIWDTFTREHPEKIADHSNGKVAEDFYHRYEVDISLLKEIGLDSYRFSISWPRILPGGKLSRGVNWEGVNFYNSLINNLLSNGIQPLVTLFHWDVPQVLEDEYKGLLSPNIVKDFYNFVDFCFKEFGDRVKHWVTINEANLMSIYGYAYGQNAPGRCSDYIGNCTQGNSATEPYIVVHHLILCHAAAVKLYRQKYQASQGGIIGITVFTAWRVPKYDDVSCRRAASRALDFLIGWIMHPITYGDYPVTMKYLVGDRLPKFTEKQAKLVIGSFDFIGINYYTASYAEDLTSYSNVNLSYTTDSRVNVTTDKNGIPIGEPVNPFSLSHSHIHMKKMKNHTEYFILFVCVFCRLIAAGSTYTQKDFTNFCCI >Manes.10G147500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31659203:31672955:-1 gene:Manes.10G147500.v8.1 transcript:Manes.10G147500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMADTISPSIQLSLLLCQKQTKVTFQSLHLLRDIEQNLFQSRMNRQYSLFCLLNLAYLLAFAGGKNTIQLSSEFSRRSFPDGFVFGAGSSSYQYEGATEQDGRKPSIWDTFTREHPEKIADHSNGKVAEDFYHRYEVDISLLKEIGLDSYRFSISWPRILPGGKLSRGVNWEGVNFYNSLINNLLSNGIQPLVTLFHWDVPQVLEDEYKGLLSPNIVKDFYNFVDFCFKEFGDRVKHWVTINEANLMSIYGYAYGQNAPGRCSDYIGNCTQGNSATEPYIVVHHLILCHAAAVKLYRQKYQASQGGIIGITVFTAWRVPKYDDVSCRRAASRALDFLIGWIMHPITYGDYPVTMKYLVGDRLPKFTEKQAKLVIGSFDFIGINYYTASYAEDLTSYSNVNLSYTTDSRVNVTTDKNGIPIGEPVNPFSLSHSHIHMKKMKNHTEYFILFVCVFCRLIAAGSTYTQKDFTNFCCI >Manes.02G017467.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1619693:1620795:-1 gene:Manes.02G017467.v8.1 transcript:Manes.02G017467.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAFLASIVGGLQCRSFDDWFSKRDELLKVSSGSKLYVGFILHCVICFLLCVLSCRRRKVHVRRQRHQLGWPCCKPLIKGLSSFSIF >Manes.13G069800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9812742:9818256:-1 gene:Manes.13G069800.v8.1 transcript:Manes.13G069800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSRGASFVSQKWTLFICLCCFCAGMLFTDRMWKVPESKGITRTTAMDAEKLKLVSEGCGFRTKEVRRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESMLSGSPVSEDLKETGSSAKIRYLMVVGVNTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSSTSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHQKKPRVYVGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINRHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPGLLIGLKRFIGGAGKVRKLYGVQHSETTQEISSQLRRRTS >Manes.13G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9812742:9818256:-1 gene:Manes.13G069800.v8.1 transcript:Manes.13G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSRGASFVSQKWTLFICLCCFCAGMLFTDRMWKVPESKGITRTTAMDAEKLKLVSEGCGFRTLQQKEVRRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESMLSGSPVSEDLKETGSSAKIRYLMVVGVNTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSSTSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHQKKPRVYVGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINRHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKEQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWSATF >Manes.13G069800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9812742:9818256:-1 gene:Manes.13G069800.v8.1 transcript:Manes.13G069800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSRGASFVSQKWTLFICLCCFCAGMLFTDRMWKVPESKGITRTTAMDAEKLKLVSEGCGFRTKEVRRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESMLSGSPVSEDLKETGSSAKIRYLMVVGVNTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSSTSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHQKKPRVYVGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINRHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKEQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWSATF >Manes.13G069800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9812742:9818256:-1 gene:Manes.13G069800.v8.1 transcript:Manes.13G069800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSRGASFVSQKWTLFICLCCFCAGMLFTDRMWKVPESKGITRTTAMDAEKLKLVSEGCGFRTLQQKEVRRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESMLSGSPVSEDLKETGSSAKIRYLMVVGVNTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSSTSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHQKKPRVYVGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINRHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPVEGQEELSCSL >Manes.13G069800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9812742:9818256:-1 gene:Manes.13G069800.v8.1 transcript:Manes.13G069800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSRGASFVSQKWTLFICLCCFCAGMLFTDRMWKVPESKGITRTTAMDAEKLKLVSEGCGFRTKEVRRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESMLSGSPVSEDLKETGSSAKIRYLMVVGVNTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSSTSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHQKKPRVYVGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINRHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPVEGQEELSCSL >Manes.13G069800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9812742:9818256:-1 gene:Manes.13G069800.v8.1 transcript:Manes.13G069800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSRGASFVSQKWTLFICLCCFCAGMLFTDRMWKVPESKGITRTTAMDAEKLKLVSEGCGFRTLQQKEVRRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESMLSGSPVSEDLKETGSSAKIRYLMVVGVNTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSSTSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHQKKPRVYVGCMKSGPVLSQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINRHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPGLLIGLKRFIGGAGKVRKLYGVQHSETTQEISSQLRRRTS >Manes.14G080600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6702355:6705179:1 gene:Manes.14G080600.v8.1 transcript:Manes.14G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCNEAGLKKGAWTADEDQKLIAYIQEHGVGGWRTLPQKAGLQRCGKSCRLRWANYLRPDIKRGEFSTEEEQQIIQLHASLGNRWSAIARHLPKRTDNEIKNYWNTHLKKRLVDMGNDPVTHNQISPSPTSSSSVDNPGSVGEQRSDSQIKPIQRSISTSTKLINKVSAKFAQMQRKEIATKVLTPSPSLDAIKAVLLNSTKDTITFRGCTRRDDGGSGGDGDDIDVEIIASRPVSCSSSSRILNKMATKLKPSKNLDLLKDRLSVPSKQSMASTSTGSDTSAAGGSYNNIESPISISDFLESLPTSSSACESSEFTGNLNMGDDQVNEALAAFHQAMELETTTTPESPMYTPYELEEFLENYGGDGEDHGATFTNDVNQSKACDSQVATSMEPTTDFIQQFTNMPFDCRDNEYSTTKCYFYGEEESNGGDLEVNMNYWNEDYGW >Manes.02G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3792981:3794499:1 gene:Manes.02G046400.v8.1 transcript:Manes.02G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVAVFLLALIAISMLQTLVMASHGHGGHHYNNQNSYGPGSLKSYQCPSQCSRRCSKTQYHKPCMFFCQKCCRKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >Manes.12G018700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1670170:1670934:-1 gene:Manes.12G018700.v8.1 transcript:Manes.12G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMKQKPHILKYFLLFLFLSFPLFLFFFILKTTTNPPPQNPPSAIKDLRIRPGYTSYDSYIQHQLNKTLNPKLRKIWTTRDWDRKIQVFASFFQYFKQRNLLSNDSKALCIGARVGQEVKALRRIGVADSVGIDLVPFPPLVIKGDFHHQPFDDETFDFEFSNVFDHALYPDKFVAEIERTLKPGGICVLHVAISRRADKYSANDLYNTKPLLEMFKNSEVVHVRKVDGFGLDTEMVFRKKKMKEKEKVIQRS >Manes.01G045210.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17647209:17649860:1 gene:Manes.01G045210.v8.1 transcript:Manes.01G045210.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPSFTSTLINSSPFCPKSFSKFQSLPFLSFAHKLKSFPVTNSKTVLLQGKPGCLTVKAQTLDFSGSFYEGGGFGSDEDPPPLSGSVMTAVEDKEPPPCPPGLRQYETMAVLRPDMSEDERLALTQKYEELLVAGGGMYVEVFNRGVIPLAYSIRKKNKAGETNTYLDGIYLLFTYFTKPESIAILEATLNTDDDVIRSSTFKVRKRKY >Manes.S095212.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251185.1:252:3920:-1 gene:Manes.S095212.v8.1 transcript:Manes.S095212.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLFEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEYEIFTSFSIIDASVITRVVLDYSGAILHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCSCTAYASIDILESGTTGCLAWFGGLIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGDSYYHKETIVANEVGDSMSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKGQLSNGQEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYMPNKSLDSLLFDERNMSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTRRVVGTFGYMSPEYVVFGKFSEKSDIFSFGVILLEIITGKKNNSFHQEGSCLTLIGHVWNLWKEERALEIVDPLVKDSNFSHEVLRCIQIGLLCVQENAKDRPTILAIVLMLNSESILPSPNEPAFILKKCNSKTKELYSVNELTISNITSR >Manes.S095212.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251185.1:252:3920:-1 gene:Manes.S095212.v8.1 transcript:Manes.S095212.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLFEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEYEIFTSFSIIDASVITRVVLDYSGAILHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCSCTAYASIDILESGTTGCLAWFGGLIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGDSYYHKETIVANEVGDSMSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKGQLSNGQEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYMPNKSLDSLLFAAICHQSTWFSENFQKNLISSVLG >Manes.S095212.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251185.1:252:3920:-1 gene:Manes.S095212.v8.1 transcript:Manes.S095212.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLFEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEYEIFTSFSIIDASVITRVVLDYSGAILHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCSCTAYASIDILESGTTGCLAWFGGLIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGDSYYHKETIVANEVGDSMSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKGQLSNGQEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYMPNKSLDSLLFGVEFVERRKSIGDS >Manes.S095212.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251185.1:252:3920:-1 gene:Manes.S095212.v8.1 transcript:Manes.S095212.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLFEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEYEIFTSFSIIDASVITRVVLDYSGAILHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCSCTAYASIDILESGTTGCLAWFGGLIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGDSYYHKETIVANEVGDSMSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYMPNKSLDSLLFDERNMSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTRRVVGTFGYMSPEYVVFGKFSEKSDIFSFGVILLEIITGKKNNSFHQEGSCLTLIGHVWNLWKEERALEIVDPLVKDSNFSHEVLRCIQIGLLCVQENAKDRPTILAIVLMLNSESILPSPNEPAFILKKCNSKTKELYSVNELTISNITSR >Manes.10G063900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9993467:9997434:1 gene:Manes.10G063900.v8.1 transcript:Manes.10G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARQQQQDKTTAMAPSAEEEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCYYWLNGNCLNPKCGFRHPPLDGLFGSQASDSGAASLPPSLMAVAPAMNSQQSSAKQAVPCFFFQKGLCIKGDRCAFLHGPNPTSNKVSQPTVPSINELSPQKKASVGSQRLTQDHKISLANFSKVAGAAAEAKPVPKPEIGSLINAAGVERNLPPSKSMDAELSKYKATNLPPVNGNLSRFNRLHQSQDDHVLQNGKDGDEILRESSPGFDVLVDDELRNSDYYHSEDQYGRARGHEGRSMNPVDEYDMGHTADYSSVAEFDRETYSSLRDYDTYDHMQGQYAWEQHRPSSETVLERPAHLEQRAYSKPDSPEPIDGSDLRYRLSKQRRVHGLRSVVSHDFVPENQVEERGYRGSSRRDAHNLPSHESSMSSLLRGRIKLPEQSPNGSNLHAEREIGRGRNWDRLSPGRSEIQSQQGRLRDRIKARVEEHYNIEGRNLRGTRMRREMANEGGIDFAGPKSLAELKGAKTTESRVQQSLGKRKQLGDYQPSEGDLSFEGPMPLSEILKRKREAESAATGSGITSVSKNENDQKECKESLLGESNNAVVAETQGDLSSMKDEASKQVHKDEGSTYAAAGVGTVGESVGQYSSQQPKPSETGMIVDDGMEDHEYEADDQREGDYEYEQVDEGEYYEEGENADAEEEYEDDEDGDDFAKKIGVMLS >Manes.10G063900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9993467:9997434:1 gene:Manes.10G063900.v8.1 transcript:Manes.10G063900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARQQQQDKTTAMAPSAEEEALKRNTDCVYFLASPLTCKKPLDGLFGSQASDSGAASLPPSLMAVAPAMNSQQSSAKQAVPCFFFQKGLCIKGDRCAFLHGPNPTSNKVSQPTVPSINELSPQKKASVGSQRLTQDHKISLANFSKVAGAAAEAKPVPKPEIGSLINAAGVERNLPPSKSMDAELSKYKATNLPPVNGNLSRFNRLHQSQDDHVLQNGKDGDEILRESSPGFDVLVDDELRNSDYYHSEDQYGRARGHEGRSMNPVDEYDMGHTADYSSVAEFDRETYSSLRDYDTYDHMQGQYAWEQHRPSSETVLERPAHLEQRAYSKPDSPEPIDGSDLRYRLSKQRRVHGLRSVVSHDFVPENQVEERGYRGSSRRDAHNLPSHESSMSSLLRGRIKLPEQSPNGSNLHAEREIGRGRNWDRLSPGRSEIQSQQGRLRDRIKARVEEHYNIEGRNLRGTRMRREMANEGGIDFAGPKSLAELKGAKTTESRVQQSLGKRKQLGDYQPSEGDLSFEGPMPLSEILKRKREAESAATGSGITSVSKNENDQKECKESLLGESNNAVVAETQGDLSSMKDEASKQVHKDEGSTYAAAGVGTVGESVGQYSSQQPKPSETGMIVDDGMEDHEYEADDQREGDYEYEQVDEGEYYEEGENADAEEEYEDDEDGDDFAKKIGVMLS >Manes.13G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14714400:14716833:1 gene:Manes.13G082400.v8.1 transcript:Manes.13G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSNTTTRPKSKCLLFTTIASLLLLPLLAIIPFFALRHKISHPYSPKSFSRLLVQVADIQLACQATRHPETCQTSLSQSNLLPPNPTPLQIIQSALWVSSQNLTIAQSMVKSLLGGATGNQDLTSVAKFCLDLLGFSQYRISATNDTLPLGKTKTLRAWMSAALAYQSDCYGGLSFQGGNSQEVNETKAFLEDLIGLSSNALSMIVSYDLYGNDTRLWRPPKTERDGFWEEPKVGSEMGLSVKFPPNLKSDVTVCKNSSRGCYKTVQEAVNEAPSNAVERKFVIHIKKGVYEEIVRVPSEKKNVVFIGDGMGKTIITGSLSVGQPGVTTYESATVGVIGDGFMASGITFQNAAGPPTRQAVAFRSESDQSYIENCEFLGNQDTLYAHSLRQFYKSCRIQGNVDFIFGNSAAFFQDCEILISPRQENPEKGETNVVTAQGRTDPAQSTGFVFLNCSINGTAEYMALYEKNPEVHRSYLGRPWKEYSRVVYIDSKFEAVITADGWLPWSGDFALDTLYYGEYKNSGPGSNLSERVSWSNQIPEEHINTYSIQNFIQGEEWLPSL >Manes.03G052300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4844989:4846820:-1 gene:Manes.03G052300.v8.1 transcript:Manes.03G052300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRYVVPYHDPHDSPINYEILNFLRDANPTLENLPSTDEPTSLHVSSDDIVNPNLSLEDPMIWDIVNQPNSGGQSQGEGPSLNRERRATENLEQRYLDDGKPISVWPPPAMPFQCTCCQVLREIIHTDGNCTTKLEIHGRLGIICHAVLEIKDQVMYGSSEPRYHMFDFCKKSIDSVKQFLQQYCNDQTKAGYIMVQDPLSVFYEALCVGMEWDENLQNDSSPVYSEAQQADQTEGGNEAERGSRSTLAQQRERTGRLTLKDFEAYFHLPIEEAAKIMNLCPTVVKKICRRYGMTRWPHRKIKSIQRQISNLRANSNWNDPGERARAQAEIQRLEVEISNICSGVTN >Manes.03G052300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4844988:4846821:-1 gene:Manes.03G052300.v8.1 transcript:Manes.03G052300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRYVVPYHDPHDSPINYEILNFLRDANPTLENLPSTDEPTSLHVSSDDIVNPNLSLEDPMIWDIVNQPNSGGQSQGEGPSLNRERRATENLEQRYLDDGKPISVWPPPAMPFQCTCCQVLREIIHTDGNCTTKLEIHGRLGIICHAVLEIKDQVIFCKKSIDSVKQFLQQYCNDQTKAGYIMVQDPLSVFYEALCVGMEWDENLQNDSSPVYSGQWCLYLMWIDTWQCQ >Manes.03G052300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4844988:4846821:-1 gene:Manes.03G052300.v8.1 transcript:Manes.03G052300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRYVVPYHDPHDSPINYEILNFLRDANPTLENLPSTDEPTSLHVSSDDIVNPNLSLEDPMIWDIVNQPNSGGQSQGEGPSLNRERRATENLEQRYLDDGKPISVWPPPAMPFQCTCCQVLREIIHTDGNCTTKLEIHGRLGIICHAVLEIKDQVMYGSSEPRYHMFDFCKKSIDSVKQFLQQYCNDQTKAGYIMVQDPLSVFYEALCVGMEWDENLQNDSSPVYSEAQQADQTEGGNEAERGSRSTLAQQCRGKGQGG >Manes.01G133600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32676696:32679104:1 gene:Manes.01G133600.v8.1 transcript:Manes.01G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGESQTKFNKYAAACAIVASMISIIFGYDTGVMSGAMIFIKDEMKIRDTQVEVLAGILNLCALAGSLLAGRTSDYIGRRYTIVVACVIFMLGSILMGYGPNYGILMTGRCVAGIGVGFALMIAPVYSAEVSSPSSRGFLTSLPELGISIGILLGYISNLIFGKLSLKLGWRLMLGIAAIPSLILGFGIVQMPESPRWLVMQGRLKEAKKVLQLVSNSTEEAEARFHDIKVAAGIDENCNEEFVKLPKNTNGEGVWKELLLRPTPAVRWILIAAIGIHFFEHAVGIEAVVLYSPRIFKKAGVTGKQKLLLATVGVGLTKFTFILISTFLIDRVGRRRLLLTSTAGIVASLTVLGSCLTIVEYHNGQNLLWALCLSIISTYVFVAFFNIGLAPVTWVYSSEIFPLKLRAQGYAIGVAVNRLMNATISMSFISLYKAITIGGAFFLFAGISVTAWFFFYFLFPETKGRSLEEMELLFTKGIKATNETLQHQPTARSDNV >Manes.06G076000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21157179:21163708:-1 gene:Manes.06G076000.v8.1 transcript:Manes.06G076000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASPPPNSSSSAVPPVSTTPPPASTSSSPPPQLSSPPPDTVPATSPPTQPNANPGDPPTPSAPSEPPPSPSPPFVATPPSTPSTTPPPTSRSTPSTSAPQTSPSTPSTSPPPTTPSSPPPTPSTSPPRTSPSPPSSNADPPTSNSPPPPSQSASPPTSSSPPTPPSNPPTSSPPPSNPPASSPPPPSPPPSANSPPPPPAPNPPLSPPPPSKPPENSPPPTVSVPPPKSSPPPANSTPPPASTPPKSSPPPSASTPPTDSPPSPASIAPTPSNAPPPPRQTPIPPPSPSNSTKASPPSPVKRLSPPPPSFNSPPSSSTPSSVPSAPDNSKPTGRGGIDTGGVVAIGLAVGIIMLSLVGLAVWCLRKRRREALGLNGGYVMPSPLGSSPRSDSNFTKTQSTAPLIGSGSSNDCFVYSPSEPGGLGNSRSWFAYEELLKATNGFSSLNLLGEGGFGSVYKGCLPDGREVAVKQLKVGGGQGEREFKAEVEIISRIHHRHLVSLVGYCISENRRLLVYDYVPNNTLHFHLHGEGRPVLDWAKRVKIAVGAARGIAYLHEDCHPRVIHRDIKSSNILLDNNFEAKVSDFGLAKLALDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSYGVVLLELITGRKPVDASQPLGDESLVEWARPLLGHAIANEEFDGLVDPRLERNYDESEMFRMIEAAAACVRHLAAKRPKMGQVVRAFDSLATADLSNGMRVGESEVFNSAEQSAEIRLFRRMAFGSQNYSTDFFTQGSVDS >Manes.12G005000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:631371:637201:-1 gene:Manes.12G005000.v8.1 transcript:Manes.12G005000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRKNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSDIASLFTQQGKKGTNQDAMIVWENFGSRKDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLNTHWEVNIASEDVLKEISLNTAGSMNSEDTAFLSADEASRASVELEDTEKQPEFFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLIKQGQYLVVGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPEEAERIRRCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVSFRHLTDKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTSNLSTASNANAKEQQPTPVEQTDNDCQKDEDPSGPTGLGRSSTVRNGKDVLLDGSAEEDSSKQEEMLLESGIEWSALEGVSRVNTLLTLPRFVPGKEDKKAKAAREMKARK >Manes.12G005000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:631282:637201:-1 gene:Manes.12G005000.v8.1 transcript:Manes.12G005000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRKNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSDIASLFTQQGKKGTNQDAMIVWENFGSRKDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLNTHWEVNIASEDVLKEISLNTAGSMNSEDTAFLSADEASRASVELEDTEKQPEFFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLIKQGQYLVVGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPEEAERIRRCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVSFRHLTDKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTSNLSTASNANAKEQQPTPVEQTDNDCQKDEDPSGPTGLGRSSTVRNGKDVLLDGSAEEDSSKQEEMLLESGIEWSALEGVSRVNTLLTLPRFVPGKEDKKAKAAREMKARK >Manes.12G005000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:631537:637201:-1 gene:Manes.12G005000.v8.1 transcript:Manes.12G005000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRKNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSDIASLFTQQGKKGTNQDAMIVWENFGSRKDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLNTHWEVNIASEDVLKEISLNTAGSMNSEDTAFLSADEASRASVELEDTEKQPEFFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLIKQGQYLVVGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPEEAERIRRCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVSFRHLTDKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTSNLSTASNANAKEQQPTPVEQTDNDCQKDEDPSGPTGLGRSSTVRNGKDVLLDGSAEEDSSKQEEMLLESGIEWSALEGVSRVNTLLTLPRFVPGKEDKKAKAAREMKARK >Manes.12G005000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:631537:637201:-1 gene:Manes.12G005000.v8.1 transcript:Manes.12G005000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRKNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSDIASLFTQQGKKGTNQDAMIVWENFGSRKDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLNTHWEVNIASEDVLKEISLNTAGSMNSEDTAFLSADEASRASVELEDTEKQPEFFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLIKQGQYLVVGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPEEAERIRRCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVSFRHLTDKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTSNLSTASNANAKEQQPTPVEQTDNDCQKDEDPSGPTGLGRSSTVRNGKDVLLDGSAEEDSSKQEEMLLESGIEWSALEGVSRVNTLLTLPRFVPGKEDKKAKAAREMKARK >Manes.12G005000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:631371:637201:-1 gene:Manes.12G005000.v8.1 transcript:Manes.12G005000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRKNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSDIASLFTQQGKKGTNQDAMIVWENFGSRKDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLNTHWEVNIASEDVLKEISLNTAGSMNSEDTAFLSADEASRASVELEDTEKQPEFFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLIKQGQYLVVGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPEEAERIRRCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVSFRHLTDKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTSNLSTASNANAKEQQPTPVEQTDNDCQKDEDPSGPTGLGRSSTVRNGKDVLLDGSAEEDSSKQEEMLLESGIEWSALEGVSRVNTLLTLPRFVPGKEDKKAKAAREMKARK >Manes.06G108750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24088543:24089887:-1 gene:Manes.06G108750.v8.1 transcript:Manes.06G108750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVSCVEECPVRGSRVAFSICRDSLGQTSFSTTIQITCEASFDTLP >Manes.17G072700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27246092:27247595:-1 gene:Manes.17G072700.v8.1 transcript:Manes.17G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVSKILILGGTGYIGKYLVKASVLLGHKTYVYGRPITSITPPPKLQLHRDFQSMGVTIVHGELNEHEKIVALLRQVDVVISALAYPQVLDQLKIIDAIKVAGNIKRFFPSDFGIEEDRVTPLPPFEAFLEKKRKIRRTIEAAGIPFTFVSANCFGSYFVNYLLRPREKRHDVDVYGSGEAKTVLNYEEDIAIYTIKAADDPRTLNRVVIYRPEKNIISQLELISLWEKKTGQSLNRVHIPEKQIVELSETLPDPHKIPVAIIHSLFVKGDMMSYELDKDDLEASKLYPDFEYTTVDRLLDIFLVNPPEIPFAAFE >Manes.02G090600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7080732:7085467:1 gene:Manes.02G090600.v8.1 transcript:Manes.02G090600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRNGEIWDFEHETPVSEDRPVILGLDGGTTSTVCICMPVLPFSNPLPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVQAVCLAVSGVNHPTDEQRILNWLRDIFPIHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPILGDWGSGYGIAAQALTAVVRAHDGRGPETILTNSILQELDLCSPDELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILQDSVEELALSVKAVVQKLDLCGEDGNASFPLVMVGGVLEANKRWDIGKEVVNCISEDYPGALPIRPKVTTIQFLPTISFLIFIFLFFE >Manes.02G090600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7080358:7089362:1 gene:Manes.02G090600.v8.1 transcript:Manes.02G090600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRNGEIWDFEHETPVSEDRPVILGLDGGTTSTVCICMPVLPFSNPLPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVQAVCLAVSGVNHPTDEQRILNWLRDIFPIHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPILGDWGSGYGIAAQALTAVVRAHDGRGPETILTNSILQELDLCSPDELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILQDSVEELALSVKAVVQKLDLCGEGGACSRGSIVGLEFLHERDLQGRLQKMTKVG >Manes.02G090600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7080358:7089363:1 gene:Manes.02G090600.v8.1 transcript:Manes.02G090600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALSKSGSNRSAVQAVCLAVSGVNHPTDEQRILNWLRDIFPIHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPILGDWGSGYGIAAQALTAVVRAHDGRGPETILTNSILQELDLCSPDELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILQDSVEELALSVKAVVQKLDLCGEDGNASFPLVMVGGVLEANKRWDIGKEVVNCISEDYPGALPIRPKVEPAVGAALLGWNFFMKETCKEDYKR >Manes.02G090600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7080358:7089362:1 gene:Manes.02G090600.v8.1 transcript:Manes.02G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYRNGEIWDFEHETPVSEDRPVILGLDGGTTSTVCICMPVLPFSNPLPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVQAVCLAVSGVNHPTDEQRILNWLRDIFPIHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPILGDWGSGYGIAAQALTAVVRAHDGRGPETILTNSILQELDLCSPDELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILQDSVEELALSVKAVVQKLDLCGEDGNASFPLVMVGGVLEANKRWDIGKEVVNCISEDYPGALPIRPKVEPAVGAALLGWNFFMKETCKEDYKR >Manes.07G009932.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1201861:1204138:-1 gene:Manes.07G009932.v8.1 transcript:Manes.07G009932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RECVFHTASPFYLSATDPQAELIDPPLKGTLNVLRSCTKVPSIKRVVITSSMAAVAFNGKPLAPDVIIDETWFSDPDFCEKSKLWYMLSKTLAEEAAWKFTKENGIDMVTINPGLVIGPLLQPTLNTSSESVLKLINGAEKYPNTTFRWVDVRDVANAHIYAFENSSASGRYCLVGSVVHSSETLEILHKLFPELKLPKECADDKPPSTKYQVSKKRAESLGVKFTPLDVSLKDTVESLKEKNLVSF >Manes.11G072000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10429404:10439877:-1 gene:Manes.11G072000.v8.1 transcript:Manes.11G072000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLYSGYVGNGVGAAREALAVQISPVIFAVSFVKPSLAVARRSASASASRLCCRRFGRRGIGMAGQNFKTTKNHLRHVESMSTLPSGAGKITGLNAVILGEALASEEDDLVFPNDDFVRQALVPSPKKYLEMYKRSIEDPAGFWSDIASQFYWKQKWGKSVLSENFDVREGRIKIEWFKGGVTNICYNCLDRNVESGNADKVAIYWEPNEPGSQDSLTYSQLLQRVCQLSNYLKDKGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVITCNAVKRGSKVIPLKDIVDSALVDSTKNGISVDVCLTYENQSAMKRESTKWQEGRDVWWQDVVPKYPTNCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPMLNGATVVVFEGAPNYPDPGRCWDIVDKFKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAELEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVFHPQCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPSVVEQLIALADR >Manes.11G072000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10429404:10439877:-1 gene:Manes.11G072000.v8.1 transcript:Manes.11G072000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLYSGYVGNGVGAAREALAVQISPVIFAVSFVKPSLAVARRSASASASRLCCRRFGRRGIGMAGQNFKTTKNHLRHVESMSTLPSGAGKITGLNAVILGEALASEEDDLVFPNDDFVRQALVPSPKKYLEMYKRSIEDPAGFWSDIASQFYWKQKWGKSVLSENFDVREGRIKIEWFKGGVTNICYNCLDRNVESGNADKVAIYWEPNEPGSQDSLTYSQLLQRVCQLSNYLKDKGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVITCNAVKRGSKVIPLKDIVDSALVDSTKNGISVDVCLTYENQSAMKRESTKWQEGRDVWWQDVVPKYPTNCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPMLNGATVVVFEGAPNYPDPGRCWDIVDKFKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAELEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVFHPQCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPSVVEQLIALADR >Manes.11G072000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10431939:10439877:-1 gene:Manes.11G072000.v8.1 transcript:Manes.11G072000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLYSGYVGNGVGAAREALAVQISPVIFAVSFVKPSLAVARRSASASASRLCCRRFGRRGIGMAGQNFKTTKNHLRHVESMSTLPSGAGKITGLNAVILGEALASEEDDLVFPNDDFVRQALVPSPKKYLEMYKRSIEDPAGFWSDIASQFYWKQKWGKSVLSENFDVREGRIKIEWFKGGVTNICYNCLDRNVESGNADKVAIYWEPNEPGSQDSLTYSQLLQRVCQLSNYLKDKGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVITCNAVKRGSKVIPLKDIVDSALVDSTKNGISVDVCLTYENQSAMKRESTKWQEGRDVWWQDVVPKYPTNCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPMLNGATVVVFEGAPNYPDPGRCWDIVDKFKVTIFYTAPTLVRSLMRDGDEYVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGAELEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVFHPQCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSEELRKSLILTVRNQTHN >Manes.06G112300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24359883:24362327:-1 gene:Manes.06G112300.v8.1 transcript:Manes.06G112300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELMLWTARSLLYFLRSHTCDFSVFFKVGVGTSKKIRPTSANSNAVKLSCELLRVFVAEAVQRAAAIAEAEGVSKIEATHLERILPQLLMDF >Manes.06G112300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24359941:24362376:-1 gene:Manes.06G112300.v8.1 transcript:Manes.06G112300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSILYDLIRAIFKLVWSRRALEREKNEGADAVDCEVGVGTSKKIRPTSANSNAVKLSCELLRVFVAEAVQRAAAIAEAEGVSKIEATHLERILPQLLMDF >Manes.06G112300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24360216:24362364:-1 gene:Manes.06G112300.v8.1 transcript:Manes.06G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNAFDPDLIRAIFKLVWSRRALEREKNEGADAVDCEVGVGTSKKIRPTSANSNAVKLSCELLRVFVAEAVQRAAAIAEAEGVSKIEATHLERILPQLLMDF >Manes.06G112300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24359941:24362376:-1 gene:Manes.06G112300.v8.1 transcript:Manes.06G112300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELMLWTARSLLYFLRSHTCDFSVFFKVGVGTSKKIRPTSANSNAVKLSCELLRVFVAEAVQRAAAIAEAEGVSKIEATHLERILPQLLMDF >Manes.11G008300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:896741:897748:-1 gene:Manes.11G008300.v8.1 transcript:Manes.11G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQIKLVDEVSGWLRRYDDGSVDRTWTGPPQVKFMADPVPAHQDFIDGIATRDVTIHENSGLRVRIYLPEPNPEDFHKLPVILHFHGGGFCISQADWFMYYHIYTRFAKSLHAICVSVYLRPAPEHRLPAACDDGFSALLWLRSLAQGRSSEPWLNDYADFNRVFLIGDSSGGNIVHEVAARAGQVDLSPLRLAGGIPVHPGFVRSTRSKSEMEQPESPFLTLDMVDKFLGLALPIGCNKDHPITCPMGAAAPSLDSLNLPPVLLCVAEMDLIKDTEMEYYEAMKKANKDVELLISRGMGHSFYLNKLALDMDYHTAEQTTALIEGIKDFIHKH >Manes.17G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27587697:27594699:1 gene:Manes.17G075100.v8.1 transcript:Manes.17G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKRWFFTLFSAAFISLLFVLLYSISAFSSSKPFPSVVHYGTHHPPAFAYYISGGRGDGNRIFRLLLAVYHPRNYYLLHLSADASDEERVRLVGAINAVPAISSFANVDVVGKPNRLSYMGSSSIAATLRAAAILLRLHSGWSWFVVLSASDYPLLTQDDLSHVFSSVSRDLNFIDHTSDLGWKESQRIQPIVVDPGIYLARRTQIFQATQKRPTPDAFKVFTGSAWVILSRSFLEFCILGWNNLPRTLLMYFNNVILPEEGYFHSVVCNAPEFKNTTVNTDLRYMIWDNPPKMEPHFLNISDYDGMVQSGAAFARQFKRNDPVLEMIDEKILKRGHNRAAPGAWCTGRRRWWIDPCSQWGDVNVVKPGPQAKKFEKTIKNLLDQSNLQRNECQ >Manes.10G030700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3128282:3131501:-1 gene:Manes.10G030700.v8.1 transcript:Manes.10G030700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDVQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTSAEREIVRDMKEKLSYIALDFEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLYQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFAGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKTEYDESGPSIVHRKCF >Manes.15G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1041603:1043719:1 gene:Manes.15G011700.v8.1 transcript:Manes.15G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TCTP MLLYQDLLTGDELLSDSFSYKEIHNGMLWEVEGKWVVQGAVDVDIGANPSAEGADEDEGVDDQAVKVVDIVDTFRLQEQPSFDKKQFVTYMKRFIKLTTAKLDEEKQEKFKKNIEGATKFLLSKLSDLQFFVGESMHDDGSLVFAYYKEGATDPTFLYFAYALKEVKC >Manes.10G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29670135:29670659:-1 gene:Manes.10G130900.v8.1 transcript:Manes.10G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGGILAILMLLLLCFETTQSTTYIVGGDEGWSPIVSMEAWSLQYKFFAGDILVFNYDEQLYNVILVDQNGHDTCTISGNSQSFHSGHDEIPLSFGANYFIDSNPEDCQNGMKLSINATARPTPPTAAVKTQRLV >Manes.13G026900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3565874:3566368:-1 gene:Manes.13G026900.v8.1 transcript:Manes.13G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNMKFQCSFPLVFLATTTTLLFGSQATAKVSDICSVTDYKPLCRATLKGISDPYKALEAAIDQAIIKTRHAYYLSRTMGSDQNIDICKEMFDSGVTDLESSLKALKVNDKGTLNSQLSAVISYYSTCDDTYSEAGETNPLTKVTGVLTHMVANCLALAEKIH >Manes.07G029401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3192141:3192502:1 gene:Manes.07G029401.v8.1 transcript:Manes.07G029401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMMKLLFLCLIAAGSISFSLGAEAEQLTSEGRSKEKNIHPILHMPAEIDNLQSPLIFRQGDGKFRKIVRKLIGVELPSSPPSGQYWYAWVN >Manes.01G109200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30794407:30808465:1 gene:Manes.01G109200.v8.1 transcript:Manes.01G109200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLWRALYQVSPNLFPKGPDFVTPESKLIDQPKFQVIYHETDMVDSQLLTDTGLKNIQMHVEMARSMLPGKFSHDKNRPDCLLKMVKNQPLTRADCGKDVRHFEFEFISSAIKYEVGDVLELLPGQNPAAVDAFIQHCNLEPESLITVHPRVMESAESNAPNVPIKLKTFVELNMDVASASPRRYFFEVMSFFATAQHEKERLQYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPFEWLVQLVPQLKTRAFSISSSLSAHPNQVHLTVNVVSWTTPFKRTRTGLCSTWLAKLDPQQNTGLYIPAWFHKGLLPPPPPSLPLILVGPGTGCAPFRGFVEERTIQDVSDRAAPIMFFFGCRSEENDFLYKDFWLSHALENGVLAEQRGGGFYVAFSRDQPQKVYVQHKMQEHSGRIWDLLLEGASIYVAGSSTKMPSDVRAAFEDIISREAGVSKETAELQLRRLERDGRYHVEAWS >Manes.01G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30794407:30808465:1 gene:Manes.01G109200.v8.1 transcript:Manes.01G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKPKKLVILYATQTGNALDAAERIGREAERRGCPITLCSMDEFDASSLPQEDTVIFVVSTTGQGDTPDSMKDFWRVLLQKNLSKHWLDGVHYAVFGLGDSGYQKYNFVAKKLDRRLSDLGATTIVERGLGDDQHPSGYEGELDPWMSSLWRALYQVSPNLFPKGPDFVTPESKLIDQPKFQVIYHETDMVDSQLLTDTGLKNIQMHVEMARSMLPGKFSHDKNRPDCLLKMVKNQPLTRADCGKDVRHFEFEFISSAIKYEVGDVLELLPGQNPAAVDAFIQHCNLEPESLITVHPRVMESAESNAPNVPIKLKTFVELNMDVASASPRRYFFEVMSFFATAQHEKERLQYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPFEWLVQLVPQLKTRAFSISSSLSAHPNQVHLTVNVVSWTTPFKRTRTGLCSTWLAKLDPQQNTGLYIPAWFHKGLLPPPPPSLPLILVGPGTGCAPFRGFVEERTIQDVSDRAAPIMFFFGCRSEENDFLYKDFWLSHALENGVLAEQRGGGFYVAFSRDQPQKVYVQHKMQEHSGRIWDLLLEGASIYVAGSSTKMPSDVRAAFEDIISREAGVSKETAELQLRRLERDGRYHVEAWS >Manes.01G109200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30794407:30808465:1 gene:Manes.01G109200.v8.1 transcript:Manes.01G109200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFWRVLLQKNLSKHWLDGVHYAVFGLGDSGYQKYNFVAKKLDRRLSDLGATTIVERGLGDDQHPSGYEGELDPWMSSLWRALYQVSPNLFPKGPDFVTPESKLIDQPKFQVIYHETDMVDSQLLTDTGLKNIQMHVEMARSMLPGKFSHDKNRPDCLLKMVKNQPLTRADCGKDVRHFEFEFISSAIKYEVGDVLELLPGQNPAAVDAFIQHCNLEPESLITVHPRVMESAESNAPNVPIKLKTFVELNMDVASASPRRYFFEVMSFFATAQHEKERLQYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPFEWLVQLVPQLKTRAFSISSSLSAHPNQVHLTVNVVSWTTPFKRTRTGLCSTWLAKLDPQQNTGLYIPAWFHKGLLPPPPPSLPLILVGPGTGCAPFRGFVEERTIQDVSDRAAPIMFFFGCRSEENDFLYKDFWLSHALENGVLAEQRGGGFYVAFSRDQPQKVYVQHKMQEHSGRIWDLLLEGASIYVAGSSTKMPSDVRAAFEDIISREAGVSKETAELQLRRLERDGRYHVEAWS >Manes.15G176648.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:21883850:21884167:-1 gene:Manes.15G176648.v8.1 transcript:Manes.15G176648.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALATLINFSPMSNLTNQIPLKLTSINFLLWKLQFLPMLRGCALEHHIDGSQPAPMKLLSENQSNPAYSLWVRQDQMVFRWIIACILDSIIPQIVGVETAKEA >Manes.17G109100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31548415:31550410:1 gene:Manes.17G109100.v8.1 transcript:Manes.17G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATLSSLFLFFFFAIVTPHFVFSSPVPDPELVVQEVHRSINASRRNLAFLSCGTGNPIDDCWRCDPNWERNRQRLADCAIGFGKDAIGGRNGRIYVVTDSGDDDAVNPKPGTLRYAVIQDEPLWIVFQRDMVIQLKQELVMNSFKTLDGRGASVHISGGPCITIHYATNIIIHGLHIHDCKQGGNGNIRDSPHHSGWWTQSDGDGISIFTSKHIWVDHCSLSNCHDGLIDAIHGSTAITISNNYFTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPNDRSKKEVTKHEDAPESEWRHWNWRSEGDLMMNGAFFRQSGAGASSSYARAASLSARPSSLVDSMTRTAGALGCRRGSRC >Manes.05G017000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1581444:1582904:1 gene:Manes.05G017000.v8.1 transcript:Manes.05G017000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKRFGVEIEEMVKVVTTNGGIMELYAPITAQCITNEFPGHAIYRNSDHSSPPLLHDEELHGGHFYYLLPVNADKNTSTSTTANTNSIINSGTSCTSSSKVTPYRMSFDNQRVLKRLKDAEVFPTGVWKVKLVICPEQLAEILAQEARTEELIESVRTVAKCGNGVPSSLASSDQWSVSSSWKGSAEKYGEDYTH >Manes.15G148200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12224188:12226381:1 gene:Manes.15G148200.v8.1 transcript:Manes.15G148200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLWNLAWRKGSLGYIKYVLKSSLMRLPVFGWGFHILEFISVERRWEVDESNMRHMLASFKDPRDPLWLALFPEGTDFTEQKCIRSQKYAAENGLPILNNVLIPKTKGFYACLEDLRASLDAVYDVTIGYKPRCPSLLDNVFGVNPSEVHMHVRRIAVDEIPTSEEEVAAWLMKTFQLKDQLLSNFYVQGHFPHQGTEGDLSTFKCFVHSVAVILLISTCTVFTLCSIWFKIYVSLVCCCLSSATYFNVRPMPLLGFLNIGRSPM >Manes.15G148200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12224188:12226381:1 gene:Manes.15G148200.v8.1 transcript:Manes.15G148200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEVWGVVDSGDGKVERALTPLSVHWSRKATSFFFGAWLALWPFLFEKINKTKVIFSGETVPAKERVLLIANHRTEVDWMYLWNLAWRKGSLGYIKYVLKSSLMRLPVFGWGFHILEFISVERRWEVDESNMRHMLASFKDPRDPLWLALFPEGTDFTEQKCIRSQKYAAENGLPILNNVLIPKTKGFYACLEDLRASLDAVYDVTIGYKPRCPSLLDNVFGVNPSEVHMHVRRIAVDEIPTSEEEVAAWLMKTFQLKDQLLSNFYVQGHFPHQGTEGDLSTFKCFVHSVAVILLISTCTVFTLCSIWFKIYVSLVCCCLSSATYFNVRPMPLLGFLNIGRSPM >Manes.15G148200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12224188:12226381:1 gene:Manes.15G148200.v8.1 transcript:Manes.15G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEVWGVVDSGDGKVERALTPLRLIRGIMCLIVLIISAFIMIVYFGFMSAFIVRFFSVHWSRKATSFFFGAWLALWPFLFEKINKTKVIFSGETVPAKERVLLIANHRTEVDWMYLWNLAWRKGSLGYIKYVLKSSLMRLPVFGWGFHILEFISVERRWEVDESNMRHMLASFKDPRDPLWLALFPEGTDFTEQKCIRSQKYAAENGLPILNNVLIPKTKGFYACLEDLRASLDAVYDVTIGYKPRCPSLLDNVFGVNPSEVHMHVRRIAVDEIPTSEEEVAAWLMKTFQLKDQLLSNFYVQGHFPHQGTEGDLSTFKCFVHSVAVILLISTCTVFTLCSIWFKIYVSLVCCCLSSATYFNVRPMPLLGFLNIGRSPM >Manes.16G127700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32863966:32905387:-1 gene:Manes.16G127700.v8.1 transcript:Manes.16G127700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSERKPLYNLDESDDDAEFVSGKPGKSQEKIERIVRPDAKDECCQSCGGSGDLLSCETCTYAYHGKCLLPPLKATPPSNWRCPECVSPLNDIDKILDCEMRPTVAGDNDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNSHLRTKVNNFHRQTASNNNSDDDFVAIRPEWTTVDRILACRGDEDEKEYLVKYKELSYDECYWEFESDISAFQPEMKRFNRIQSRSRKLRKQKNSLRDATDSKKPKEFQQYEHSPEFLTGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEENISPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAIIREYEFYYPKNKKIKKKKKSGQVVGESKQDRIKFDVLLTSYEMINLDTASLKPIKWESMIVDEGHRLKNKDSKLFLSLKRYSSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFSSLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMTELPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGPQISLINVVMELRKLCCHAYMLEGVEPIIADGDESFKQLVESSGKLQLLDKLMVKLKEQGHRVLIYSQFQHMLDLLEDYLTYKKWQYERIDGRVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLVARGTIEERMMQLTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDREQVGDEEASLDDEEDGFLKAFKVANFEYIDKAEAAAEEVEAQKAAAEERSSMSNSERMTYWEELLKDRYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSTEAEDDNYEADLTDGETTSSGTQTGRKPYRKKSRVDNMEPVPLMEGEGRSFRVLGFNQNQRAAFVQILMRFGVGEYDWKEFTYRMKQKTYEEIRDYGVLFLSHITEDIADSPNFADGVPKEGLRVQDVLVRIAVLLLIRDKVKFALEKPGTPLFTDDILLRYSGLKCGKCWKEEHDLLLLRAVLKHGYGKWQAMVDDKDLRLQEIICQELNLPLINAPVPGQASSQAQNGANKVTVEAPSTQVKGSGNGTSNGLAADVAQGMTDVANQPQIYQDSSVLHHFRDMQRRQVEFIKKRVLLLEKGLNAEYQKEYFRDDPKSTDVTGEEPEGDINAADGSSLRSMKIDAQMIDQLPQIEPITSEEISTAACDDDPDRLELPQLYNKMCTLLDQNIHESIQTSLTNQADNPKLGLDLLPLETICEQINQILSLEEVSASEVDVLDSNKEQAAVKNNLLESQSPSIQLNNGNPSTLQDTEMKDTATESELQKGGSVLIGQELNVPPYDNIMAAAEACTSPKRSVHSPETTKNEIKTGAIPSENETASKSKSEVVILDD >Manes.01G234800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39765856:39773727:-1 gene:Manes.01G234800.v8.1 transcript:Manes.01G234800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGENLENLFGSLRIIFLIIISTGAIRVKSQLLPQNEVKALEEVATQLGKQDWNFKVNPCSNDTSWFTPISKHRPLYNNSIVCTCSDPGGECHVISIFLKGQDLAGVLPRAIVKLPYLKNLDLTRNYLSGNIPSEWASTKLEFLSLSVNRLTGPMPSYLGNISTLKVLAIENNQFSGAVPLQLGNLFSLEILILSANNLTGTLPLALSNLTKLTELRISSNNFIGKIPSFIQSWKHLQKLEIQASGLEGPIPSSISALNNLTELRISDLHGGGSEFPHLENMTKIQLLMLRSCNISGPIPLNVAATEKLKILDLSFNRLEGNLSTNLEGLTSLENVYLTSNLLSGPIPDWIKNGDTRAEIDLSRNNFTESTLPSTCRDTLNLFKSASGGNDSKVVECLKSYACSKDRYSLHINCGGGATKMGSITYEADEEAGAAAKYVPIKESWEISNTGHFWDKSRSSSDYIAQNLSTLNMKNSELYTRARLSPLSLTYYVRCLGNGNYSVKLHFAEIVMRDNRSFYALGRRIFDVYVQGKRVLKDFNIVEEAKGVDKVIIQDFKAVVKDGTLEIHLHWAGKGTTAVPKRGTYGPLISAIDVESDFKPPSSDGGKRKKFIVAGAVVLALFLILIMVGVLWWKGCLGGRVRKEQELLGLDLQTGIFTFRQIKAATNNFDPANKIGEGGFGSVFKGTLSDGTVIAVKQLSSKSKQGNREFLNEIGMISALQHPNLVRLYGCCVEGNQLLLVYEFMENNSLAHTLFDTGESQLNLDWPTRKRICVGIAKGLAFLHEESALKIVHRDIKTTNILLDKDLNPKISDFGLAKLDEEENTHISTRVAGTMLFFCNKEETLWSWLIPDWGPSSRRKK >Manes.01G234800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39765856:39773727:-1 gene:Manes.01G234800.v8.1 transcript:Manes.01G234800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGENLENLFGSLRIIFLIIISTGAIRVKSQLLPQNEVKALEEVATQLGKQDWNFKVNPCSNDTSWFTPISKHRPLYNNSIVCTCSDPGGECHVISIFLKGQDLAGVLPRAIVKLPYLKNLDLTRNYLSGNIPSEWASTKLEFLSLSVNRLTGPMPSYLGNISTLKVLAIENNQFSGAVPLQLGNLFSLEILILSANNLTGTLPLALSNLTKLTELRISSNNFIGKIPSFIQSWKHLQKLEIQASGLEGPIPSSISALNNLTELRISDLHGGGSEFPHLENMTKIQLLMLRSCNISGPIPLNVAATEKLKILDLSFNRLEGNLSTNLEGLTSLENVYLTSNLLSGPIPDWIKNGDTRAEIDLSRNNFTESTLPSTCRDTLNLFKSASGGNDSKVVECLKSYACSKDRYSLHINCGGGATKMGSITYEADEEAGAAAKYVPIKESWEISNTGHFWDKSRSSSDYIAQNLSTLNMKNSELYTRARLSPLSLTYYVRCLGNGNYSVKLHFAEIVMRDNRSFYALGRRIFDVYVQGKRVLKDFNIVEEAKGVDKVIIQDFKAVVKDGTLEIHLHWAGKGTTAVPKRGTYGPLISAIDVESDFKPPSSDGGKRKKFIVAGAVVLALFLILIMVGVLWWKGCLGGRVRKEQELLGLDLQTGIFTFRQIKAATNNFDPANKIGEGGFGSVFKHLNCILIAGNTIRWYCNCC >Manes.01G234800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39765856:39773727:-1 gene:Manes.01G234800.v8.1 transcript:Manes.01G234800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGENLENLFGSLRIIFLIIISTGAIRVKSQLLPQNEVKALEEVATQLGKQDWNFKVNPCSNDTSWFTPISKHRPLYNNSIVCTCSDPGGECHVISIFLKGQDLAGVLPRAIVKLPYLKNLDLTRNYLSGNIPSEWASTKLEFLSLSVNRLTGPMPSYLGNISTLKVLAIENNQFSGAVPLQLGNLFSLEILILSANNLTGTLPLALSNLTKLTELRISSNNFIGKIPSFIQSWKHLQKLEIQASGLEGPIPSSISALNNLTELRISDLHGGGSEFPHLENMTKIQLLMLRSCNISGPIPLNVAATEKLKILDLSFNRLEGNLSTNLEGLTSLENVYLTSNLLSGPIPDWIKNGDTRAEIDLSRNNFTESTLPSTCRDTLNLFKSASGGNDSKVVECLKSYACSKDRYSLHINCGGGATKMGSITYEADEEAGAAAKYVPIKESWEISNTGHFWDKSRSSSDYIAQNLSTLNMKNSELYTRARLSPLSLTYYVRCLGNGNYSVKLHFAEIVMRDNRSFYALGRRIFDVYVQGKRVLKDFNIVEEAKGVDKVIIQDFKAVVKDGTLEIHLHWAGKGTTAVPKRGTYGPLISAIDVESDFKPPSSDGGKRKKFIVAGAVVLALFLILIMVGVLWWKGCLGGRVRKEQELLGLDLQTGIFTFRQIKAATNNFDPANKIGEGGFGSVFKGTLSDGTVIAVKQLSSKSKQGNREFLNEIGMISALQHPNLVRLYGCCVEGNQLLLVYEFMENNSLAHTLFDTGESQLNLDWPTRKRICVGIAKGLAFLHEESALKIVHRDIKTTNILLDKDLNPKISDFGLAKLDEEENTHISTRVAGTIGYMAPEYALYGYLTYKADVYSFGVVALEIVVGKANMKYIPDENFVSLLDWALFLQQRGNLMELVDPRLGSKFKKEEIVRMTKVALLCANSSPALRPTMSEVVDMLEGRTAVHEQVGGPSIYGEELGFKGSTDKFDQISHHSSSRTQSSTPRSDASLIT >Manes.10G053150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6326811:6330521:-1 gene:Manes.10G053150.v8.1 transcript:Manes.10G053150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYKISHVDGQITNPEQRIASDVPRFCSELSELVQEDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGEHREESHIEQKFKDLVRHMRIVLHDHWWFGMIQDFLVKYLGATVAVVLIIEPFFCWQS >Manes.10G053150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6329223:6329889:-1 gene:Manes.10G053150.v8.1 transcript:Manes.10G053150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYKISHVDGQITNPEQRIASDVPRFCSELSELVQEDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGEHREESHIEQKFKDLVRHMRIVLHDHWWFGMIQDFLVKYLGATVAVVLIIEPFFCWQS >Manes.17G065400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26555350:26563363:-1 gene:Manes.17G065400.v8.1 transcript:Manes.17G065400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLCNSSSSLLLSSPSPPTPTKKSPILLTTNNNWVRKALLGAFNGALSLNILLSSPFSLAAESPLQLQSPSNPLTEQCLQEEKLEEITGPQTVTNEGIVEEAWQIVNDSFLNAGRHRWTPESWQQKREDILSTSIQSRSKAHDIIRRMLASLGDPYTRFLSPAEFSKMARYDITGIGINLREVPDESGGVKLKVLGLLLDGPAHTAGVRQGDEVLAVNGEDISGKSAFEVSSLLQGPNETFVTIKVKHGNCGPIESIEVQRQLIARTPVFYRMEQVDKGATSVGYIRLKEFNALARKDLVIAMQRLQDMGASYFVLDLRDNLGGLVQAGIEISKLFLNEGNTVLVNKKTASASEIVASALHDNCKAVLVGERTFGKGLIQSVFELRDGSGVVVTVGKYVTPKHMDINGNGIEPDYENIPAWADVTRHLSQCNMNKKR >Manes.17G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26555350:26563360:-1 gene:Manes.17G065400.v8.1 transcript:Manes.17G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLCNSSSSLLLSSPSPPTPTKKSPILLTTNNNWVRKALLGAFNGALSLNILLSSPFSLAAESPLQLQSPSNPLTEQCLQEEKLEEITGPQTVTNEGIVEEAWQIVNDSFLNAGRHRWTPESWQQKREDILSTSIQSRSKAHDIIRRMLASLGDPYTRFLSPAEFSKMARYDITGIGINLREVPDESGGVKLKVLGLLLDGPAHTAGVRQGDEVLAVNGEDISGKSAFEVSSLLQGPNETFVTIKVKHGNCGPIESIEVQRQLIARTPVFYRMEQVDKGATSVGYIRLKEFNALARKDLVIAMQRLQDMGASYFVLDLRDNLGGLVQAGIEISKLFLNEGNTVIYTVGRDPQYQNTIVADTQPLVTSPVIVLVNKKTASASEIVASALHDNCKAVLVGERTFGKGLIQSVFELRDGSGVVVTVGKYVTPKHMDINGNGIEPDYENIPAWADVTRHLSQCNMNKKR >Manes.17G089367.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29791192:29793505:1 gene:Manes.17G089367.v8.1 transcript:Manes.17G089367.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFADIKAQIEKISGEISEYSNFNKSLMSSLITDEQDFSLRKLNEFQTHLRTLQKKKSDRLHKVIEYVNEVHSLCGVLGLDFGKTVGDVHPSLHGENREQSTNISSGTLEGLEQTIIMLKLERKARIQKDSNRYNAGMGAHINLKCAERARVTISKIPGKISVNLQDYKLARQLREEEKKRYRVSNRRLSCVDVLLLRNSLVFHMLYGLYKWEKKHDNWFLLFQFQASFCPL >Manes.17G089367.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29791192:29793505:1 gene:Manes.17G089367.v8.1 transcript:Manes.17G089367.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFADIKAQIEKISGEISEYSNFNKSLMSSLITDEQDFSLRKLNEFQTHLRTLQKKKSDRLHKVIEYVNEVHSLCGVLGLDFGKTVGDVHPSLHGENREQSTNISSGTLEGLEQTIIMLKLERKARIQKDSNRYNAGMGAHINLKCAERARVTISKIPAMVNNLISKTLAWEKEKKDVISL >Manes.14G145300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13453777:13454425:-1 gene:Manes.14G145300.v8.1 transcript:Manes.14G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVILIGLLFIIIFSISLPCNAFEGSFPPQDKQLTRGNHLKDACEKADIESLQRRSRGSYGGGDLLRPR >Manes.05G176600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29297411:29299336:-1 gene:Manes.05G176600.v8.1 transcript:Manes.05G176600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKFVPSFLCIILICSIVDTIALGINRGRGVSKSCKNEIHRNKKLPIPLECPKLSVPHPPSPPLPLITEILEFADQRLAVVYPIVQKFKSLITSDPLGITKTWVGSDLCSYKGFFCDNPPDNKSALAVASIDFNGFQLSAPTLDGFLDQLPDLALFHANSNFFQGIISPNIAKLPYLYELDISNNLFSGPFPSAVLGMNGLTFLDIRFNFFSGSVPPQLFTQPLDALFLNDNNFMTRLPDKLGSTHILYLTLADNKFTGPLPGGIFEAFSSLTEVILLNNQLTGCLPYEIGLLKEAIVFDAGNNQLTGPLPLSLACLEKVEQLSFDGNRLFGMVPELVCEMENLVNLSLANNYFTMVGPLCRILIEKGVLDVRNNCIPDLPFQRSVVECANFFAHSKLCPDMWSYTYVPFSCSN >Manes.04G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6427450:6431396:-1 gene:Manes.04G043700.v8.1 transcript:Manes.04G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSNGGSNSSLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGHMLAGILKQNGVIGDGINFDKGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEAAKDAGALLSYDPNLRLPLWPSPEEAREQIMSIWDKAEVIKVSDVELEFITGSDKIDDADAMSLWHPNLKLLLVTLGEKGCRYYTKDFHGSVEAFLVKTVDTTGAGDSFVGALLCKLVDDRSILEDEARLREVLKFANACGAITTTKKGAIPALPTEQDALNLINASK >Manes.03G162400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28920400:28923310:1 gene:Manes.03G162400.v8.1 transcript:Manes.03G162400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSLTPSSQSDSTQQDEQMDLYLKIVKTVCLNLKGSETIKTLKELIEEKEGIGEKNQDLFFDGNLLRDGQRLVDCGVRRNCTLHLIVQNPVIIKLLVKIPSDPRIIMVEAKTCDTIHNVKLMIQSKEGILSDNFTLVHDGHLLEDESTLASLNIRSNSNIHLVFCQKEVPSIFVKAPNKDTVQLRVKVMFTVDDIKAICGSIIGVSVSGCNMFCAAKRLEGSKTLAFYDIKEGSLLELLPSSMQIFVKTWIGKTLVLDVKEHDTVKHIKQQIFQKLKIPIDIQSIVYVGRRLENDRDLASYGIQRHSTLHMGYVVKSV >Manes.02G027000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2292430:2295787:1 gene:Manes.02G027000.v8.1 transcript:Manes.02G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSTLLSPRHRLRSESPAQLQACHFQLPSMSTQRLDLPCTFSRKESSRSQPIRPVGVGLSVDKSLESKTSSCSLKQNIRLPPLATSTQSVKDEFWEKGKSLKRFAEQGSLDESCTNRAKRKRGSNDNGKSSGVHEVGDTLTLGQLGSGNFWFQSGFEVPRGLKPPQAPFSLTCSGDEERVHIVSGEVISPPFPLSRNPWLDSVITEITDLGEKDGESSQRPAKETSGSSASSESHVLGLRLSENVAEHEVGNGSRNPHPQEGTTIESSEENHQEYRAFELVSLLTACVESIGSKNMALINHCLAKLGDLASPKGTAFSRLSAYYTEALALRVARLWPHIFHLITPREFDRVDDDSGTALRLLNQVSPIPKFIHFTANEILLRAFEGKDKVHIIDFDIKQGLQWPSLFQSLASRTNPPSHVRITGIGESKQELNETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHVKEGECVAINCIFQMHKTLYDGNGGALRDLLGLFRSTNPSIVLMAEQEAEHNAPNFEARVCNSLKYYSAIFDSIDSSLPLDSLIRIKIEEMFAREIRNIVACEGSDRLERHESFEKWIKLMEQGGFRCMGINEREVLQSQMLLKMYSCEDYRVKEWQDRAAITLSWLDQPLYTISAWAPLDVAGSSSSFSQPS >Manes.09G063700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11294108:11297440:-1 gene:Manes.09G063700.v8.1 transcript:Manes.09G063700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQPFDFPTSRATIIFQPTYHTQTTNSKISFYLNPIFLLNKSLSNPIFPVAFSSPLLQLQLHLVVLLSGYLLNMATVSQNGHQNGSLDILCTIRDPLSWGVAAESMSGNHLDEVKKMVAEYRKPLVKLGGETLTVAQVAAIASGGGRDSCVKVELAESARAGVKASSDWIMDSMNKGTDSNGVTTGFGATSHRRTKEGGALQRELIRFLNAGIFGKGTESCHTLSHSATRAAMLVRINTLLQGYSGIRFEILEAITKFLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGESIDAQQAFSAAGIDSGFFELQPKEGLALVNGTAVGSGLASMVLFEANVLAVLSEVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKAAKKLHEMDPLQKPKPDRYALRTSPQWLGPQIEVIRFSTKAIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASRDPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISARKTEEAIDILKLMSTTFLVALCQAIDLRNLEENLKHTVKNTVSQVAKRVLTTNANGELHPSRFCEKDLLKVVDHEQVFAYIDDPCSATYPLMQKLRQVLVDHALANGENEKNPSSSIFQKIEAFEEELKALLPKEVENAREAYESGNPAIANKIKECRSYPLYKFVREEVGTGLLTGEKLRSPGEEFDKVFTAMCQGKIIDPMLDCLKEWNGAPLPIC >Manes.15G075700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5716332:5718998:-1 gene:Manes.15G075700.v8.1 transcript:Manes.15G075700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEAQIPSTFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGVVKKENIKIHGF >Manes.15G075700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5716332:5718998:-1 gene:Manes.15G075700.v8.1 transcript:Manes.15G075700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEAQIPSTFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGVVKKENIKIHGF >Manes.15G075700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5716789:5717466:-1 gene:Manes.15G075700.v8.1 transcript:Manes.15G075700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELEAQIPSTFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGVVKKENIKIHGF >Manes.13G001500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:736423:740985:-1 gene:Manes.13G001500.v8.1 transcript:Manes.13G001500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALHPVKHDVFLSFRGADTRNNFTSHLYGALHRQGIMTFIDNRLDRGEEIEPAILKSIQDSESSVIPVFYHVDPTDLDNQTASFEEAFVKHDQDFKENSDRFEHVQDIFNNILKCLKHLYPNEVQNLVGLSSRIKNIESLLCVDLDDEVRIVGIWGMGGIVRSVGIWGMGGIGKTTLAREVFQKISSHFEGCCFLQNSTAFGMGSRIIITSRDKNVFQYRVHGIYEVPALIGHEALQLFSLCAFKQIHPKKDLMELSSNVVSNAGGNPLGLKILEAVQGIFLDKSKLNTMHFTPTVFSNMNQVKLLKFHYSNVDLDGVLCLPEELRYFHWNFYPLTRLPTKFYTDKLIELNMSGSSVVRLWNGVQKIWRLDCRGCIKVVKVCPSIGCLQKLHSLELARCIKISSLPSIKHLTSFENLDLSDCSKIREFPEIPDSIKYLCLMGTAIQEIPSSICLFSRLKILSLLDSGSKFESIPSCIKLVSGLRELYLNFFSNSIMALTQTWGFFDFVNCSSLNRHEHKNILRHMRRRMLLAAHATTKRHQQLTIMLGGNKIPRIQHERIGDSATFPLSSTWHHNFLGFALSAVFKLDNTLTRRWMKLKCQFKSNYGESYCISAEFKYILGVEEVLFQFFIVDDCGKSWPSSIVKKCGVQLLYDGDIDDGASEGENGVDETFASPLLLNFINFFCKCVVS >Manes.14G022500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2190080:2192096:-1 gene:Manes.14G022500.v8.1 transcript:Manes.14G022500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSKSSRLNISKVFSILILVGVLCLPSAESRNVKVLKIKTSIEYPAISCRKHSAVLTEFGGVGDGKTLNTKAFKSAIANLSQYASDGGAQLIVPPGKWLTGSFNLTSHFTLFLHKGAVLLASQNEEDFPLIDILPSYGREQNFTDGRYASLILGMNLTDVVITGNNGTIDGQGAPWWNKFAKGLLKATRPFLIEILHTTQLQITNITLTNSPSWHIHPIYCSNVVIQGLTILAPVKVPNTDGINPDSCINMRIEDVYIVSGDDCIAIKSGWDQYGIKYGMPTKQLVIRRLTCISPKSATIAIGSEMSGGIQDVRAEDITAFNTESAVRIKTAPGRGGYIKDIFVRRMTLKTMKYVFWVSGAYKTHVDDGYDPNALAEINNVNYMDVVAENVNITGSLSGFAKDPFTGFCISNATITLSETAKKLQWNCTDVQGVSNNVTPKPCDLLPQKDTRCSFPEEKLPIETIRMNTCSVKWF >Manes.15G014500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1214155:1220427:1 gene:Manes.15G014500.v8.1 transcript:Manes.15G014500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGWTQEGSQSDPSPEWTASGPETELEEGVWQLGLGEGESGYPERPDEDDCIYYLRTGFCGYGARCRFNHPRERGAYYMRTGACKFGTSCKYHHPKQGGGSVIPVSLNYYGYPLRPGEKECTYYIKTGQCKFGATCKFHHPQPASLQMATQSLAPQVAPVPAPVAGPALYHQMQSPSIPSTQQYGLVVARPPLMPGSYVQGPYGPMLLSPGVVSYPSWSPYAAHVSPVASPNTQQGVGSGSVYGITQLSPSAPAYTGAYQPMPTSIGPSSSSQKEQSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPEFIAPRTTVVLNPIGLPMRPGAPTCTHYTQRGQCKFGPACKFDHPMGTLSYSPSASSLADMPVSPYPVGSSIGTLAPSSSSSELRPDLISGSSKESSSTRMSSSSSTSSGLVGSTFSKSGSVPHSDAQQSSQRSGPSTGSSSTEACTSI >Manes.15G014500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1214155:1220427:1 gene:Manes.15G014500.v8.1 transcript:Manes.15G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGWTQEGSQSDPSPEWTASGPETELEEGVWQLGLGEGESGYPERPDEDDCIYYLRTGFCGYGARCRFNHPRERGAAIAPARAGAGEFPERLGQPVCQYYMRTGACKFGTSCKYHHPKQGGGSVIPVSLNYYGYPLRPGEKECTYYIKTGQCKFGATCKFHHPQPASLQMATQSLAPQVAPVPAPVAGPALYHQMQSPSIPSTQQYGLVVARPPLMPGSYVQGPYGPMLLSPGVVSYPSWSPYAAHVSPVASPNTQQGVGSGSVYGITQLSPSAPAYTGAYQPMPTSIGPSSSSQKEQSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPEFIAPRTTVVLNPIGLPMRPGAPTCTHYTQRGQCKFGPACKFDHPMGTLSYSPSASSLADMPVSPYPVGSSIGTLAPSSSSSELRPDLISGSSKESSSTRMSSSSSTSSGLVGSTFSKSGSVPHSDAQQSSQRSGPSTGSSSTEACTSI >Manes.14G051901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4412489:4415431:-1 gene:Manes.14G051901.v8.1 transcript:Manes.14G051901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPAKSLGAPSAVANSGAITQSTPLNNQSPHLLSQSQPQTQGGSAFPGHFQLSEPQAQVLGHSQHAQAAHAHFQAQIHSTNRSIAQLQNPNPSNAGVPSPSVSTPGTASAKRANQKPPSRPPGGSSNSNTASLFKTMELTPAVRRKKQKLPEKQIPDKVAAILPESALYTQLLEFEARVDAAMTRKKMDIQESLKTPPRICKTLRVYIFNTFENQMQGEKNSAEPPSWSLKIFGKILEDGKDPVLAGMPQKPYPKFSSYFKRITIYLDQSLYPDNHVILWESARSPVLNEGFEVKRKGDKEFTAMIRLEMNYVPEKFKLSPALSEVLGIEVETRSRILVAIWHYVKTRKLQIPNDPSFFMCDPPLKKLFGEEKMKFTMVSQKISHHLTPPQPIHLEHRVKLSGNCPAGTTCYDIVVDGPFPLQKDLAAFLASTEKNKEIDACDQLICDSIKKIHEHRRRRSFFLGFSQCPAEFINSLIASQSKDLKLVAGDASHSAEKERRSYFYNQPWVEDAVIRYLNRKSAGSDAPGSI >Manes.06G092200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22748197:22753715:1 gene:Manes.06G092200.v8.1 transcript:Manes.06G092200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVLCADRLITPESLQSMEGDKEPVDSGECSSSHTADEQTCFIDVERVGEHDVSEEEKPLIQTMECRICQEEDSINNLESPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPPVEDTAIDISEGWTIAGTPLDLHDPRILAMAAAERHFLEAEYDDYADSSASGAAFCRSAALILMALLLLRHAMSLTGDGDEDASAFFSLFLIRAAGFLLPCYIMAWAISILQRRRQTQEAAALAATEVAFMLQAGQRRSLQVTIAPGPGATPHQAATPQQEPLQ >Manes.06G092200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22748529:22753179:1 gene:Manes.06G092200.v8.1 transcript:Manes.06G092200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVLCADRLITPESLQSMEGDKEPVDSGECSSSHTADEQTCFIDVERVGEHDVSEEEKPLIQTMECRICQEEDSINNLESPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPPVEDTAIDISEGWTIAGTPLDLHDPRILAMAAAERHFLEAEYDDYADSSASGAAFCRSAALILMALLLLRHAMSLTGDGDEDASAFFSLFLIRAAGFLLPCYIMAWAISILQRRRQTQEAAALAATEVAFMLQAGQRRSLQVTIAPGPGATPHQAATPQQEPLQ >Manes.06G092200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22748739:22753179:1 gene:Manes.06G092200.v8.1 transcript:Manes.06G092200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLVLCADRLITPESLQSMEGDKEPVDSGECSSSHTADEQTCFIDVERVGEHDVSEEEKPLIQTMECRICQEEDSINNLESPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPPVEDTAIDISEGWTIAGTPLDLHDPRILAMAAAERHFLEAEYDDYADSSASGAAFCRSAALILMALLLLRHAMSLTGDGDEDASAFFSLFLIRAAGFLLPCYIMAWAISILQRRRQTQEAAALAATEVAFMLQAGQRRSLQVTIAPGPGATPHQAATPQQEPLQ >Manes.11G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1698639:1714431:-1 gene:Manes.11G016400.v8.1 transcript:Manes.11G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEMEASPSYFDPEDLTSREQFRRYGKRHSTSSVSPYQDTPVSKFKESRLLYEGQSIHSPTNAALLLENIKQEADSIDTDHIEGTPARTHSAFKRRYSVDSHGISEVDLGVDSIRRLGSESLKACKIEDESLTDSGETIFGLFASLIDSSIQGLMPIADLILRFEKSCRNVSESIRYGPNIRHRVIEDKLMRQEAQLLLDEAATWSLLWYLYGKVTEEPPEELIVSPSTSHLEACQFVVNDHTAQLCLRIVQWLEGLASKALDLESKVRGCHVGTYLPNSGIWHHTQRLLKKGSSGTNTVQHLDFDAPTREHAHQLPDDKKQDESILEDVWTLLRAGRLDEACDLCRSAGQPWRAASLCPFGGLDLAPSVEALVKNGKNRTLQAIELESGIGHQWRLWKWASYCAAEKIAEQNGGKYEVAVYAVQCSDLKRMLPICRDWESACWAMAKSWLDVQVDLELARSQPGRMEQLKSYGDGIDGSPGQMDSASHSTIGPENWPLHVLNQQPRNLSALLQKLHSGEMVSEAVTRGCKEQQRQIEMDLMSGNIPHLLDMIWSWIAPSEDDQNNFRPHGDPQMIRFGSHLVLVLRYLLAEEMKDSFREKIMNVGDLILHMYVMFLFSKQHEELVGIYASQLARHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLPFSMEDDSKGSFEEIIERVLSRSREIKVGKYDKSSEVAEQHRLQSLQKAMVIQWLCFTPPSTITNVKDVSIKLLLRALVHSNILFREFALISMWRVPAMPIGAHALLSLLAEPLKQLSEIPDTLEDYISENLKEFQDWNEYYSCDATYRNWLKIELANAEVPPLELSVEEKQRAITAAKETLNSSLSLLLKKDKPWLAAVEDHVYESMAPVFLELHATAMLCLPSGECMCPDATICTALMSALYSSVSEESVLHRQLMVNVAISTRDNYCIEVVLRCLAVEGDGLGLHQSNDGGILSTVMAAGFKGELPRFQVGVTMEISRLDAWYSSAEGSLEGPATYIVQGLCRTCCIPEVILRCMQVSVSLVESGNPPEDHDELIELVACPESGYLHLFSQQQLQEFLLFEREYTIFKMELDDELSS >Manes.06G096000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23046073:23056930:-1 gene:Manes.06G096000.v8.1 transcript:Manes.06G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQWRPMPPPEGNICPVCSAAHFPFCPPQPLPPYPPYSQNPRFPPHPNYLPPDPYHAGMPNQYMDNPNGGWHGNPSFDYREGFGLPIYDYNNSNSNNSSNGYIGEVDRRFKRPRVDEVGSTLYGQDNNQNPAEILSEDERRLKLIRDHGVASSGNLMNVRYGSEFNSSYGRNADMNYHVDSSRNGEPNRFQNDRSAMYSPFHPNAGKQQAREPQSLPYQVTEVRNAHYGDLNLPQNQLNESMSAKGSQSSHSSNWQHNQPSQSYGMPTYLRPDYQSPGHGMNEPGGYLPCPENRIIGGQPPRAPLPASPPPPVPIERFKTSSSPPKISLFPVPISSSATNSYLQNKPLSHASAAFSSEGWQTSSLPKQLSPDKPTVIDASQLFKQPYRATRSDHIVIILRGLPGSGKSYLAKMMRDLEVENGGNAPRIHSMDDYFMTEVEKVEDSDASKSSSLGRGKKLTVKKVMEYCYEPEMEEAYRDSMLKAFKKTLEEGIFTFVIVDDRNLRVADFAQFWAIAKRSGYEVYLSEATYKDPVGCAARNVHGFTLAEIKNMAQQWEEAPTLYLQLDIKSLFHGDDLKESGIQEVDMDTEDGSFDDNPPGLLERNTEKTMPVLLEDNPPQVLPKDGKRWDAEEDHSTTGVKELGKSKWSDDLDEDDKEGSKGMKRNLNALSGIIQAYGKQGKTVRWSDQGGNTGFSIGATNKANVLSLVIGPGAGYNLKSNPLSKDEGLASTQSMAELRRRSTFQERLRAEHESFKAVFDKRRRRIGLDLEDE >Manes.06G096000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23046073:23056930:-1 gene:Manes.06G096000.v8.1 transcript:Manes.06G096000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQWRPMPPPEGNICPVCSAAHFPFCPPQPLPPYPPYSQNPRFPPHPNYLPPDPYHAGMPNQYMDNPNGGWHGNPSFDYREGFGLPIYDYNNSNSNNSSNGYIGEVDRRFKRPRVDEVGSTLYGQDNNQNPAEILSEDERRLKLIRDHGVASSGNLMNVRYGSEFNSSYGRNADMNYHVDSSRNGEPNRFQNDRSAMYSPFHPNAGKQQAREPQSLPYQVTEVRNAHYGDLNLPQNQLNESMSAKGSQSSHSSNWQHNQPSQSYGMPTYLRPDYQSPGHGMNEPGGYLPCPENRIIGGQPPRAPLPASPPPPVPIERFKTSSSPPKISLFPVPISSSATNSYLQNKPLSHASAAFSSEGWQTSSLPKQLSPDKPTVIDASQLFKQPYRATRSDHIVIILRGLPGSGKSYLAKMMRDLEVENGGNAPRIHSMDDYFMTEVEKVEDSDASKSSSLGRGKKLTVKKVMEYCYEPEMEEAYRDSMLKAFKKTLEEGIFTFVIVDDRNLRVADFAQFWAIAKRSGYEVYLSEATYKDPVGCAARNVHGFTLAEIKNMAQQWEEAPTLYLQLDIKSLFHGDDLKESGIQEVDMDTEDGSFDDNPPGLLERNTEKTMPVLLEDNPPQGWQYWFFYRCNK >Manes.06G096000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23046073:23056930:-1 gene:Manes.06G096000.v8.1 transcript:Manes.06G096000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQWRPMPPPEGNICPVCSAAHFPFCPPQPLPPYPPYSQNPRFPPHPNYLPPDPYHAGMPNQYMDNPNGGWHGNPSFDYREGFGLPIYDYNNSNSNNSSNGYIGEVDRRFKRPRVDEVGSTLYGQDNNQNPAEILSEDERRLKLIRDHGVASSGNLMNVRYGSEFNSSYGGYLPCPENRIIGGQPPRAPLPASPPPPVPIERFKTSSSPPKISLFPVPISSSATNSYLQNKPLSHASAAFSSEGWQTSSLPKQLSPDKPTVIDASQLFKQPYRATRSDHIVIILRGLPGSGKSYLAKMMRDLEVENGGNAPRIHSMDDYFMTEVEKVEDSDASKSSSLGRGKKLTVKKVMEYCYEPEMEEAYRDSMLKAFKKTLEEGIFTFVIVDDRNLRVADFAQFWAIAKRSGYEVYLSEATYKDPVGCAARNVHGFTLAEIKNMAQQWEEAPTLYLQLDIKSLFHGDDLKESGIQEVDMDTEDGSFDDNPPGLLERNTEKTMPVLLEDNPPQVLPKDGKRWDAEEDHSTTGVKELGKSKWSDDLDEDDKEGSKGMKRNLNALSGIIQAYGKQGKTVRWSDQKSNPLSKDEGLASTQSMAELRRRSTFQERLRAEHESFKAVFDKRRRRIGLDLEDE >Manes.01G025900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5413479:5416428:1 gene:Manes.01G025900.v8.1 transcript:Manes.01G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITGLSETSKLYKKSFHRRNDSDELDVFEASRYFSGYNEAVGYSGATYTQRVMREDHRYPWRGGRMSLDVPMRNPLPQQSHTVEKQILKEKKYKQPRSPGGRLASFLNSLFNQTSSKKKKSKSATQSMKDEDESPGGRRKRRSSISHFRTSSTADTKSLYSSSSSGFRTPPPYAHTPIKSYKDFRSYSDHKQVLSLSKHNGNVKSTVLQNEVLDDKRNTDLSWLDEKFKCSDTFAEKPKSLGHRYLEKDRIWVDQYQPEDKIFRKFDEVDDGAESDSSSDLFELQNYDLGIYSSGLPVYETTNMDSIKRGAPISNGTL >Manes.10G129000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527923:29537611:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGDRKRKV >Manes.10G129000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527936:29537716:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGDRKRKVQ >Manes.10G129000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527940:29537606:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGDRKRKVQ >Manes.10G129000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527940:29537606:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGDRKRKVQ >Manes.10G129000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527919:29537622:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGDRKRKV >Manes.10G129000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527919:29538220:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGISSHGEPLIPPTGLLLVTHEPSSVNTAPGPHIRGNTLRAYSLGAKEVDLLLPGTGRLRVQLGVNMPAQASRKLVEEVDSMEVELFEVYDVVLYMGIIDILQEYNMRKKAEHACKSLKFDPLSISAVEPKLYADRFLKFLQRVFPEQP >Manes.10G129000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29528784:29537580:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGDRKRKV >Manes.10G129000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527919:29537622:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGDRKRKV >Manes.10G129000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29528784:29537580:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGISSHGEPLIPPTGLLLVTHEPSSVNTAPGPHIRGNTLRAYSLGAKEVDLLLPGTGRLRVQLGVNMPAQASRKLVEEVDSMEVELFEVYDVVLYMGIIDILQEYNMRKKAEHACKSLKFDPLSISAVEPKLYADRFLKFLQRVFPEQP >Manes.10G129000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527936:29537716:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGDRKRKVQ >Manes.10G129000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527923:29538220:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGISSHGEPLIPPTGLLLVTHEPSSVNTAPGPHIRGNTLRAYSLGAKEVDLLLPGTGRLRVQLGVNMPAQASRKLVEEVDSMEVELFEVYDVVLYMGIIDILQEYNMRKKAEHACKSLKFDPLSISAVEPKLYADRFLKFLQRVFPEQP >Manes.10G129000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29528784:29537580:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGISSHGEPLIPPTGLLLVTHEPSSVNTAPGPHIRGNTLRAYSLGAKEVDLLLPGTGRLRVQLGVNMPAQASRKLVEEVDSMEVELFEVYDVVLYMGIIDILQEYNMRKKAEHACKSLKFDPLSISAVEPKLYADRFLKFLQRVFPEQP >Manes.10G129000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29527919:29538220:1 gene:Manes.10G129000.v8.1 transcript:Manes.10G129000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQQLLNMEDSGRVDKRVLSNGDIYIGDFKGVLPHGKGKYTWTDGTVYEGDWEEGKMTGKGHIVWSSGSKYEGEFSGGYLHGFGTFTGSDGSEYRGAWRMNIQHGLGRKQYSNSDIYEGSWKEGVHEGSGRYSWNSGNTYIGNWKGGKMCGRGVMKWVNGDLFDGFWLNGLRHGSGVYRYADGGFYFGTWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDSRRSSLSESSSLHSEQGGITNARPSFGHSFSEKISISSRLRNSGRISSRTNSLDENWSPSDSARELASREPSCALSRASNEGEGEVQDRSTVVYEREYMQGVLIKERIRNTESLRKSKQKNKFQMKEAKKKSCVNFFEGHQSYYLMLNLQLGIRYTVGKITPVPMREVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSLDDRFVIKTLKKSELKFFLKMLPDYYRHVGKHENTLITKFFGVHRIKLRGGRKVRFVVMGNMFCTELRIHQRYDLKGSTQGRKTDKDKIRENTTLKDCDLKYDFHMDKMLRESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLKALLESTGAIANHESSTSGDGISSHGEPLIPPTGLLLVTHEPSSVNTAPGPHIRGNTLRAYSLGAKEVDLLLPGTGRLRVQLGVNMPAQASRKLVEEVDSMEVELFEVYDVVLYMGIIDILQEYNMRKKAEHACKSLKFDPLSISAVEPKLYADRFLKFLQRVFPEQP >Manes.02G103801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8125515:8126039:-1 gene:Manes.02G103801.v8.1 transcript:Manes.02G103801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVLQFLNSNPLPSIIPVYKNPSSTIKTTVHTPTTVPRRRRSLSLNCTNTKGGNDDTPQPAPPLITSSETVEIRFKRGSRKRNRNRKQSAEPVKAQAVPNPPKKWEDMSLAEKALEVYVGEKGMLFWLNKFAYASIFIVIGGWILFRFVGPSLNLYQLDTPPLSPNNMFKGS >Manes.11G155100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32186265:32189776:1 gene:Manes.11G155100.v8.1 transcript:Manes.11G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRNGARSEASDYSSEDEGTEDYRRGGYHAVQIGDKFKNGRYMVQRKLGWGHFSTVWLAWDTQGSRYVALKVQKSAQHYTEAAMDEIKILKQIAAGDPDDEKCVVKLLDYFKHSGPNGHHVCMVFEFLGDNLLTLIKYSGYRGVPLPMVKEICFHILVGLDYLHRKLSIIHTDLKPENILLLSMIDPSKDPCKSGTPLILPTSKNKIVSESPASKSSQSLNGDLTKNQKKKIRKKAKKAAQISAGKEAYEENKVDPEILGPEDSNAGKNSNEDSLDDSNTLVIKDKSMKLEESKDACQEKRGHRRGSPTTRQKLLAAVDMKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTPVDLWSFACICFELATGDVLFDPHSDENYDRDEDHLALMIELLGMMPHKIALGGRYSRDFFNRHGDLKHINRLRFWPLHKVLGEKYDFSEHDANDMADFLIPLLDFVPEKRPTAAQCLSHPWFTAGPRLLEPAMPPVQPHASDGKMSENERERDEREAVEAGVGNIAIDGATKPHDAL >Manes.11G155100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32186265:32189776:1 gene:Manes.11G155100.v8.1 transcript:Manes.11G155100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAGIALKVQKSAQHYTEAAMDEIKILKQIAAGDPDDEKCVVKLLDYFKHSGPNGHHVCMVFEFLGDNLLTLIKYSGYRGVPLPMVKEICFHILVGLDYLHRKLSIIHTDLKPENILLLSMIDPSKDPCKSGTPLILPTSKNKIVSESPASKSSQSLNGDLTKNQKKKIRKKAKKAAQISAGKEAYEENKVDPEILGPEDSNAGKNSNEDSLDDSNTLVIKDKSMKLEESKDACQEKRGHRRGSPTTRQKLLAAVDMKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTPVDLWSFACICFELATGDVLFDPHSDENYDRDEDHLALMIELLGMMPHKIALGGRYSRDFFNRHGDLKHINRLRFWPLHKVLGEKYDFSEHDANDMADFLIPLLDFVPEKRPTAAQCLSHPWFTAGPRLLEPAMPPVQPHASDGKMSENERERDEREAVEAGVGNIAIDGATKPHDAL >Manes.09G113200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31538275:31539131:-1 gene:Manes.09G113200.v8.1 transcript:Manes.09G113200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASIDNINYGSSNGNSSTRLPLSEVVSDCVKRWFKDTLKEAKAGDINMQVLVGQMYYSGYGIPRDAQKGRIWMTRASRTRSSVWKVSDKRPGYNASDSDSDELKGDSQ >Manes.09G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6168506:6183728:1 gene:Manes.09G029000.v8.1 transcript:Manes.09G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAAVVIVPLGLLFFLSGLIINFIQAICFVLIRPLSKNTYRTINRALVEFLWLELIWVVDWWAGVKVQLFTDRDTLRLLGKEHALVICNHRSDIDWLVGWVLAQRAGCLGSAVAVMKKSSKVLPVIGWSMWFSEYLFLERSWAKDENTLKSGLLRLKDFPRPFWLALFVEGTRFTQAKLLAAQEYAASAGLPIPRNVLIPRTKGFVSAVSHMRSFVPAVYDITLAIPKSSPQPTMLRLFKGQSSVVHVHLKRHLMKDLPESDDAVAQWCRDVFVAKDALLDKHTIEDTFSDLELQDLGRPIKSLVVVTFWACLLVFGVLKFLQRSSLLSSWKGIVISSSALAVITVLMHILIRFTQSEHSTPAKVVPAKPKNAVEPSETGQEKQQ >Manes.09G095800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28934523:28935725:1 gene:Manes.09G095800.v8.1 transcript:Manes.09G095800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYRAIMQYKNGNEGPLGSWLNDSPSSSYMRLPGDSGRFQASEILLFGSDYGFSTTTSTSSASTTQILVSTGAQSPLCRLTSRRMAA >Manes.08G077900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22931063:22937167:1 gene:Manes.08G077900.v8.1 transcript:Manes.08G077900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKIEECCLENKQLTAASSSSISEGSGSAILKSPGVSSPATASPTHRRTTGPIRRAKGGWTPEEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKITELVAKYGPTKWSVISKSLPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKLDFYLATGELPPVVKSDIVFPSRKLPSVAKNGIQNGTRDTNKPAVTKTSKESDSTAQTSSGTTDACKLEEDGKDQLESLALVRDMAASSSVFPNESADSEGVECTHSFVADLSCCDSEALPKCENYGINYETNEEKVFGSQLQFETSTYGSLYYEPPQLETCTPINLDPSVMHQVQHEYSSSPISSPISFFTPPCVKSSNLRAQSPESILRIAAKSYPNTPSIFRKRKTGSQVHLLPSKIGKVGQESIEDRLQRRTEYTPEKAGSQKGNLHDSPHPDDSTSLPNGKAFNASPPYRLKSKRTAVFKSVERQLEFTFDKERCDTTKSVNGSSLITEDCARATKMGVT >Manes.08G077900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22931154:22937091:1 gene:Manes.08G077900.v8.1 transcript:Manes.08G077900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKIEECCLENKQLTAASSSSISEGSGSAILKSPGVSSPATASPTHRRTTGPIRRAKGGWTPEEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKITELVAKYGPTKWSVISKSLPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRIHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKLDFYLATGELPPVVKSDIVFPSRKLPSVAKNGIQNGTRDTNKPAVTKTSKESDSTAQTSSGTTDACKLEEDGKDQLESLALVRDMAASSSVFPNESADSEGVECTHSFVADLSCCDSEALPKCENYGINYETNEEKVFGSQLQFETSTYGSLYYEPPQLETCTPINLDPSVMHQVQHEYSSSPISSPISFFTPPCVKSSNLRAQSPESILRIAAKSYPNTPSIFRKRKTGSQVHLLPSKIGKVGQESIEDRLQRRTEYTPEKAGSQKGNLHDSPHPDDSTSLPNGKAFNASPPYRLKSKRTAVFKSVERQLEFTFDKERCDTTKSVNGSSLITEDCARATKMGVT >Manes.15G062800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4776397:4787973:-1 gene:Manes.15G062800.v8.1 transcript:Manes.15G062800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPGRPNFPMAPSATPFVAPTMTPFSSSGPRVGSEAPGIRAPPPAVPQTTIPSSLSAPAGGSQTSGFRPTPPASYVPPAVGPFQRFPTPQYPTIPQAPSAGVPPVGQQASVPPSAGQASSPLLYRPQLPQMPSVPMGSPPPSNVNIPQSSSDSSLFAPRPILQPSFPPEDSSYPPSRATFQAPLPGYIKQSTAISQAPPIQSHFQAQQGSFLPPATTPSSQFSSHQGGFGQPPPVAASFSLHSRDQIQQPGPVPPVGGIQGLMEDFSSLSIGSMPGSIDPGLDPKALPRPLDGDVEPTPLPEAYSMNCDPRYLRLTTGAIPNSQSLVSRWHLPLGAVVCPLAEAPGGEEVPVLNFVSTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFAHLDATGRRVDLDQRPELTKGSVEFVAPTEYMVRPPMPPLYFFLIDVSISAVRSGMIEVVAQTIKSCLDDLPGFPRTQIGFITYDSTIHFYNMKSSLMQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNMNVESAFGPALKAAFMVMSQLGGKLLIFQNTMPSLGIGRLKLRGDDLRVYGTDKEHALRIPEDPFYKQMAADFTKYQIGVNVYAFSDKYTDIASIGTLAKYTGGQVYYYPSFQSANHGEKLKHELGRDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPVVDCDKAYAMQLSLEETLLTNQTVYFQVALLYTASCGERRIRVHTAAAPVVTDLGEMYRQADTGAMVSLFCRLAIEKTLSHKLEDARNSVQLRIVKALREYRNLYAVQHRLGGRMIYPKSLKFLPLYGLALCKSTPLRGGYADVQLDERCAAGFTMMALPVKKMLELLYPSLIRVDDHLLKPLSKADEFKNIMRLPLTMESLDSRGLYIYDDGFRFVLWFGRMLSPDIAMSLLGPDAAAELSKVTLGERDTDMSRKLVQMLKKLRESDHSYYQLCYLVRQGEQPREGFLVLTNLVEDAIGGTNGYVDWMLQIHRQVQQNA >Manes.12G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2035956:2040070:-1 gene:Manes.12G023900.v8.1 transcript:Manes.12G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQITWPDASRFKSLPLPNIKSTKETGASILSTSTKSSNAGDFSALPFDILTKIAASFTSPDIQAASLVCRSWRDALRPLREAMIFLRWGKRFKHGQGVRRNLVKALDSFLKGASRGSTLAMVDAGLVCWEMGRKEKAIALYCKAAELGDPAGQCNLGISYLQAEPPKQKEAMKWLSQAAIAGHVRAQYQLALCLHQGLGVDHNLQEAAKWYLKAASGGYVRAMYNVALCYSVGEGLSVNRQQARKWMKRAADRGHSKAQFEHGRGLFSEGEMMKAVVYLELATRSGEAAAAHVKNIILQQISTTTRNHVMVLADSHCALSSSHRVLQNQRLLQGSLAGRQSSYKNQQAK >Manes.09G037400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7086376:7088809:1 gene:Manes.09G037400.v8.1 transcript:Manes.09G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEDRPDGTNVHNWHWAETNCLEWSRNLLSNLLTDLVILDGDGNLFIKIKKVEKVDGEAYVNVRKGKIIPGYELNVSLSWEGEAKDSDGKSLLEVDGSVEIPYISDENADEDPEIKVTIKDDGPIGKRLKEAMVAKGKPVIEEKVRFYVQTMAKGGPAKDELEAKKVAPKGQWTAENKAASATPAAGEKKEVKKESKKGFKTISLTEKFSCRARDMYEILMDENRWKGFTQSNARISKEVGGEFSIFDGSVTGTNVELQEGKLIVQKWRFGSWPDGIVSTVRLTLDEPEPGLTIIKLLHTDIPEEDRYGNATVAENTERGWRDLIFHKIRAVFGFGI >Manes.17G054601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25200430:25204865:-1 gene:Manes.17G054601.v8.1 transcript:Manes.17G054601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEGKGIAWVGSIFHTLEAMFLEVDDIMRQMIGTNVKQLHSDLLQEVIPESSLDTADGSAYDSSLVQNIDEVYIDGDHLEKEACTVSEVYSCLGKYYKVSQYDLSLEETFNAGITENHSEEMQFNLEKSDIVILDNKDPSLAVLSPASNELLESNSCVKIVPALVPPSGSVKMLHSCGSKVYYGNFSSGGASTESVGTSDNSIDDITNKASSHKMNLDESCVIVDNGELFYVSLSHDVGRQVLQVTKLSFSFYCLLEFYENVPQSR >Manes.13G009200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1272680:1279160:1 gene:Manes.13G009200.v8.1 transcript:Manes.13G009200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTILVGAGILGSVLAKEGRLSNVSDLVSGAFKIAFKQINQDDSSSSIGKPHNDSLMAQVNCLRQELQMLASNRSVTIVTASGTSLGASKYGLIVVVGVAGYGYVWWKGWKLPDMMFATRRSLSDACTTIAGQLENVYASIRSTRRQLSSNIDRVDSNLNEVAVLTATTQEKVTELLEDSERIGHDVRYVRDAVETLEIKISRIEGKQDMTNLGVKKLVDYAYNLENKLLEENTQASSSSSRIAFSSERGSLLLPPSEPTSLSISIGSLERTNGISEAVEVSSNLGISNGFRALEETNNGSSRWIKPTRIMRTCSATNAVVPQTSSIRQQF >Manes.13G009200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1272680:1279161:1 gene:Manes.13G009200.v8.1 transcript:Manes.13G009200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTILVGAGILGSVLAKEGRLSNVSDLVSGAFKIAFKQINQDDSSSSIGKPHNDSLMAQVNCLRQELQMLASNRSVTIVTASGTSLGASKYGLIVVVGVAGYGYVWWKGWKLPDMMFATRRSLSDACTTIAGQLENVYASIRSTRRQLSSNIDRVDSNLNEVAVLTATTQEKVTELLEDSERIGHDVRYVRDAVETLEIKISRIEGKQDMTNLGVKKLVDYAYNLENKLLEENTQRGSLLLPPSEPTSLSISIGSLEVQRPLHRAASATPPQRTNGISEAVEVSSNLGISNGFRALEETNNGSSRWIKPTRIMRTCSATNAVVPQTSSIRQQF >Manes.13G009200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1275300:1279160:1 gene:Manes.13G009200.v8.1 transcript:Manes.13G009200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNRSVTIVTASGTSLGASKYGLIVVVGVAGYGYVWWKGWKLPDMMFATRRSLSDACTTIAGQLENVYASIRSTRRQLSSNIDRVDSNLNEVAVLTATTQEKVTELLEDSERIGHDVRYVRDAVETLEIKISRIEGKQDMTNLGVKKLVDYAYNLENKLLEENTQASSSSSRIAFSSERGSLLLPPSEPTSLSISIGSLEVQRPLHRAASATPPQRTNGISEAVEVSSNLGISNGFRALEETNNGSSRWIKPTRIMRTCSATNAVVPQTSSIRQQF >Manes.13G009200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1272679:1279160:1 gene:Manes.13G009200.v8.1 transcript:Manes.13G009200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTILVGAGILGSVLAKEGRLSNVSDLVSGAFKVSLLFCVMIAFKQINQDDSSSSIGKPHNDSLMAQVNCLRQELQMLASNRSVTIVTASGTSLGASKYGLIVVVGVAGYGYVWWKGWKLPDMMFATRRSLSDACTTIAGQLENVYASIRSTRRQLSSNIDRVDSNLNEVAVLTATTQEKVTELLEDSERIGHDVRYVRDAVETLEIKISRIEGKQDMTNLGVKKLVDYAYNLENKLLEENTQASSSSSRIAFSSERGSLLLPPSEPTSLSISIGSLEVQRPLHRAASATPPQRTNGISEAVEVSSNLGISNGFRALEETNNGSSRWIKPTRIMRTCSATNAVVPQTSSIRQQF >Manes.13G009200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1272680:1279201:1 gene:Manes.13G009200.v8.1 transcript:Manes.13G009200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVNCLRQELQMLASNRSVTIVTASGTSLGASKYGLIVVVGVAGYGYVWWKGWKLPDMMFATRRSLSDACTTIAGQLENVYASIRSTRRQLSSNIDRVDSNLNEVAVLTATTQEKVTELLEDSERIGHDVRYVRDAVETLEIKISRIEGKQDMTNLGVKKLVDYAYNLENKLLEENTQRGSLLLPPSEPTSLSISIGSLEVQRPLHRAASATPPQRTNGISEAVEVSSNLGISNGFRALEETNNGSSRWIKPTRIMRTCSATNAVVPQTSSIRQQF >Manes.13G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1272680:1279160:1 gene:Manes.13G009200.v8.1 transcript:Manes.13G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTILVGAGILGSVLAKEGRLSNVSDLVSGAFKIAFKQINQDDSSSSIGKPHNDSLMAQVNCLRQELQMLASNRSVTIVTASGTSLGASKYGLIVVVGVAGYGYVWWKGWKLPDMMFATRRSLSDACTTIAGQLENVYASIRSTRRQLSSNIDRVDSNLNEVAVLTATTQEKVTELLEDSERIGHDVRYVRDAVETLEIKISRIEGKQDMTNLGVKKLVDYAYNLENKLLEENTQASSSSSRIAFSSERGSLLLPPSEPTSLSISIGSLEVQRPLHRAASATPPQRTNGISEAVEVSSNLGISNGFRALEETNNGSSRWIKPTRIMRTCSATNAVVPQTSSIRQQF >Manes.07G062324.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9313899:9366334:-1 gene:Manes.07G062324.v8.1 transcript:Manes.07G062324.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDILISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHDESGKLKNRTVELQQAVEEATRKGEEIYERVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKTEKKTLAIHELANKGDHNPISFRPPLQQIVAPSVYAREGLNSRMLFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKVEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDIWKQLDLNAVGIPFGDDFKGCKIFLTSRSQDVLSREMGTQKEFRLDVLQDEEARSLFEITVAIAKDSEFQPIAAEIAKKCAGLPFLLCTVATDLKNRGLYAWKYKLKQLSEFNNEEIYLKVRAILESTYNNLCSNEIKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKSITVEDARDKLRVWIDTLKAQSLLQDGDMCGFIKIHDVVRETALSIASREQHAFIVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLGIPDQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYIVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDDSYKTSRLLKLKLKTSIHSGYEVKVLLRETEDLFLDEVGEAENLLYDINGDGFPKLKHLRVQNNHAIQHIIKWAACDAFPILESLILENLMKLEKIYHGGLTEGSFNKLKILRVKNCQKLTHLFSLSAVKCLLQLQEMEVEDCPEMKAIVIDESQNSNEVFEFNDLRSLNLQNLPNLRTFHNPSKIEEFMSGRENDTHLSFFSRTVSFPNLEHLELVSVNCEKIWHDQLSTTSSKLETLTVKDCNELKHLFTTSIVKRLLQLETLHISSCTSMEEIILTEKFIEDEDEMMNQILFPKLDELTLCYLPKLIRFCTGYQIEFQSLRDLDIDSTGMMEKQDHIEMNQNQNQNQNAEIQSLFNGMVGFPNLERLSLSNINELKRIWHSPLAANSFFKLKSLDVSYCQKLMAVFPSNDLERFRRMEELCVSNCASLQEIYQLEGFNVDEAFELRRLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSNCDILNYLFPTSIAEGLLQLEELTITCCGVVEIIAKVEDVEQDPYCCFKFPQLTSLQLIDLSKLRSWYPETHNFECQKLTSLDVRNCHKIIKFSFQETHEEGKQSLLFLKKMSPNLEELTLEHKDLIVIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLSSVETIFVQGHNTSEELFSYEGLAGEEEEEHARTLARVKNLKLESVDNLKHIWDPYSRLKPLLQYLETLSVFGCESLINVAPSSSSFQNLATLEVIFCEGLANLITASTAKSMVHLTKMTVQYCDMMTEIVTSDGDDHTEDEIINFDKLKCLELYGLPGLISFCSGNNAFNFPALENVTVNGCSRMKIFAFGDLKTPKLRGIVLEYQQRWGGNLNATLAEMPFCQYFKASEFPELWHDGMQGRLLRNVKRLEVDKCAMYNKAIPSNVLVFLNKLKELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHKCPSLKNIFATQTLVNTINKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLGGVQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEINSCGNLIVLAPSSVSLGNLKTLKVFGCKTLANIFTSAAAKSMVQLETLNVRFCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEILPNLTGFCLGSYIFNFPSLEQVTVYRCPKLRIFTVRQLSASKIHGVFTGRRFNRTFHWEGDLNATIEQIYMKYIGFREIYGIQLSNFPMLKEKWHGQFPFENLRHIWRLVVDECAFFSNAISSNLLKHLSYLNELAVERCDSVEDLFDLEGLNDDEDNDGLLKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMALGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSARDVLKCPSLKRIEIVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVEYNTIKNLCSQTSQADFLCGLKGIELTCISSDFTLLPSQFFKSLPILEKLVLSDASVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAKVDEEEENEICFSRLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWEGSLNNTIQALFKEKKAEETGIGQCSCG >Manes.07G062324.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9353453:9366083:-1 gene:Manes.07G062324.v8.1 transcript:Manes.07G062324.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDILISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHDESGKLKNRTVELQQAVEEATRKGEEIYERVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKTEKKTLAIHELANKGDHNPISFRPPLQQIVAPSVYAREGLNSRMLFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKVEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDIWKQLDLNAVGIPFGDDFKGCKIFLTSRSQDVLSREMGTQKEFRLDVLQDEEARSLFEITVAIAKDSEFQPIAAEIAKKCAGLPFLLCTVATDLKNRGLYAWKYKLKQLSEFNNEEIYLKVRAILESTYNNLCSNEIKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKSITVEDARDKLRVWIDTLKAQSLLQDGDMCGFIKIHDVVRETALSIASREQHAFIVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLGIPDQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYIVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDDSYKTSRLLKLKLKTSIHSGYEVKVLLRETEDLFLDEVGEAENLLYDINGDGFPKLKHLRVQNNHAIQHIIKWAACDAFPILESLILENLMKLEKIYHGGLTEGSFNKLKILRVKNCQKLTHLFSLSAVKCLLQLQEMEVEDCPEMKAIVIDESQNSNEVFEFNDLRSLNLQNLPNLRTFHNPSKIEEFMSGRENDTHLSFFSRTVSFPNLEHLELVSVNCEKIWHDQLSTTSSKLETLTVKDCNELKHLFTTSIVKRLLQLETLHISSCTSMEEIILTEKFIEDEDEMMNQILFPKLDELTLCYLPKLIRFCTGYQIEFQSLRDLDIDSTGMMEKQDHIEMNQNQNQNQNAEIQSLFNGMVGFPNLERLSLSNINELKRIWHSPLAANSFFKLKSLDVSYCQKLMAVFPSNDLERFRRMEELCVSNCASLQEIYQLEGFNVDEAFELRRLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSNCDILNYLFPTSIAEGLLQLEELTITCCGVVEIIAKVEDVEQDPYCCFKFPQLTSLQLIDLSKLRSWYPETHNFECQKLTSLDVRNCHKIIKFSFQETHEEGKQSLLFLKKMSPNLEELTLEHKDLIVIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLSSVETIFVQGHNTSEELFSYEGLAGEEEEEHARTLARVKNLKLESVDNLKHIWDPYSRLKPLLQYLETLSVFGCESLINVAPSSSSFQNLATLEVIFCEGLANLITASTAKSMVHLTKMTVQYCDMMTEIVTSDGDDHTEDEIINFDKLKCLELYGLPGLISFCSGNNAFNFPALENVTVNGCSRMKIFAFGDLKTPKLRGIVLEYQQRWGGNLNATLAEMRVDRYFNKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGLSADDGLLPQLCELQLTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIELSNCKMIEGIIEKEEAADKMILLPLLKSVVLKCLPRFSRLCSGWSDVECPLLEEMSIYECPSLKNIFATKTPVNTIDEFHTPFFHKMFPNLKKLSLDKKSTITILGFKFPTGFFSKVEVLKLSFFLNKYHVPLFSLLQIFPNLERLEVLDSSLDKLLPFEGLGGDQEDITTIPQINSCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNVLTEIIGGVEEDGSTEEIVFSKMKTLKLKDLQNLTCFCLGSYTFNFPSLEQVNVFRCPKLRIFTVRKLSTPKIHGVFTGNRFNRTFHWEGDLNATIEQIYMKYVGFKYIDDVQLSNFPMLKEKWHGQFPFENLEDLRKLVVDECAFFSNAISSNLLKHLSCLNELAVERCDLVEELFELEELNADEGDVGLLESLEELRLIDLPRLVHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLLKLKHLELKRCNLVKHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASIFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDRTLLPSQFFESLPILEKLVLSDASFEDIISCEEIIGEEIHPQSLVKVKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLEQLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVECPNMKIFAQEVSTPQLWRVQTGEQRKYDKKWDWDWEYTIQVICDWEWEGSLNNTIEALFKEKKAEETRIGQCSYG >Manes.07G062324.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9313899:9366340:-1 gene:Manes.07G062324.v8.1 transcript:Manes.07G062324.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDILISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHDESGKLKNRTVELQQAVEEATRKGEEIYERVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKTEKKTLAIHELANKGDHNPISFRPPLQQIVAPSVYAREGLNSRMLFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKVEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDIWKQLDLNAVGIPFGDDFKGCKIFLTSRSQDVLSREMGTQKEFRLDVLQDEEARSLFEITVAIAKDSEFQPIAAEIAKKCAGLPFLLCTVATDLKNRGLYAWKYKLKQLSEFNNEEIYLKVRAILESTYNNLCSNEIKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKSITVEDARDKLRVWIDTLKAQSLLQDGDMCGFIKIHDVVRETALSIASREQHAFIVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLGIPDQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYIVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDDSYKTSRLLKLKLKTSIHSGYEVKVLLRETEDLFLDEVGEAENLLYDINGDGFPKLKHLRVQNNHAIQHIIKWAACDAFPILESLILENLMKLEKIYHGGLTEGSFNKLKILRVKNCQKLTHLFSLSAVKCLLQLQEMEVEDCPEMKAIVIDESQNSNEVFEFNDLRSLNLQNLPNLRTFHNPSKIEEFMSGRENDTHLSFFSRTVSFPNLEHLELVSVNCEKIWHDQLSTTSSKLETLTVKDCNELKHLFTTSIVKRLLQLETLHISSCTSMEEIILTEKFIEDEDEMMNQILFPKLDELTLCYLPKLIRFCTGYQIEFQSLRDLDIDSTGMMEKQDHIEMNQNQNQNQNAEIQSLFNGMVGFPNLERLSLSNINELKRIWHSPLAANSFFKLKSLDVSYCQKLMAVFPSNDLERFRRMEELCVSNCASLQEIYQLEGFNVDEAFELRRLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSNCDILNYLFPTSIAEGLLQLEELTITCCGVVEIIAKVEDVEQDPYCCFKFPQLTSLQLIDLSKLRSWYPETHNFECQKLTSLDVRNCHKIIKFSFQETHEEGKQSLLFLKKMSPNLEELTLEHKDLIVIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLSSVETIFVQGHNTSEELFSYEGLAGEEEEEHARTLARVKNLKLESVDNLKHIWDPYSRLKPLLQYLETLSVFGCESLINVAPSSSSFQNLATLEVIFCEGLANLITASTAKSMVHLTKMTVQYCDMMTEIVTSDGDDHTEDEIINFDKLKCLELYGLPGLISFCSGNNAFNFPALENVTVNGCSRMKIFAFGDLKTPKLRGIVLEYQQRWGGNLNATLAEMRVDRYFNKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGLSADDGLLPQLCELQLTSLPMLRHLWNKDPRGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIELSNCKMIEGIIEKEEAADKMILLPLLKSVVLKCLPRFSRLCSGWSDVECPLLEEMSIYECPSLKNIFATKTPVNTIDEFHTPFFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLGGVQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEINSCGNLIVLAPSSVSLGNLKTLKVFGCKTLANIFTSAAAKSMVQLETLNVRFCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEILPNLTGFCLGSYIFNFPSLEQVTVYRCPKLRIFTVRQLSASKIHGVFTGRRFNRTFHWEGDLNATIEQIYMKYIGFREIYGIQLSNFPMLKEKWHGQFPFENLRHIWRLVVDECAFFSNAISSNLLKHLSYLNELAVERCDSVEDLFDLEGLNDDEDNDGLLKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMALGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSARDVLKCPSLKRIEIVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVEYNTIKNLCSQTSQADFLCGLKGIELTCISSDFTLLPSQFFKSLPILEKLVLSDASVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAKVDEEEENEICFSRLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWEGSLNNTIQALFKEKKAEETGIGQCSCG >Manes.07G062324.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9313899:9366334:-1 gene:Manes.07G062324.v8.1 transcript:Manes.07G062324.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDILISIFSEFIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHDESGKLKNRTVELQQAVEEATRKGEEIYERVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKTEKKTLAIHELANKGDHNPISFRPPLQQIVAPSVYAREGLNSRMLFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEGKRFGVVAMVAVGQTPELRRIQSEIADILGLEFKVEEIPGRANRLYERLKKELEKEKTELEKEKKVLIILDDIWKQLDLNAVGIPFGDDFKGCKIFLTSRSQDVLSREMGTQKEFRLDVLQDEEARSLFEITVAIAKDSEFQPIAAEIAKKCAGLPFLLCTVATDLKNRGLYAWKYKLKQLSEFNNEEIYLKVRAILESTYNNLCSNEIKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKSITVEDARDKLRVWIDTLKAQSLLQDGDMCGFIKIHDVVRETALSIASREQHAFIVTSGKEFVKFPNKDCTRISLQYCDIENLPEGWECPKAEALFLFTDVFCLGIPDQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYIVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDDSYKTSRLLKLKLKTSIHSGYEVKVLLRETEDLFLDEVGEAENLLYDINGDGFPKLKHLRVQNNHAIQHIIKWAACDAFPILESLILENLMKLEKIYHGGLTEGSFNKLKILRVKNCQKLTHLFSLSAVKCLLQLQEMEVEDCPEMKAIVIDESQNSNEVFEFNDLRSLNLQNLPNLRTFHNPSKIEEFMSGRENDTHLSFFSRTVSFPNLEHLELVSVNCEKIWHDQLSTTSSKLETLTVKDCNELKHLFTTSIVKRLLQLETLHISSCTSMEEIILTEKFIEDEDEMMNQILFPKLDELTLCYLPKLIRFCTGYQIEFQSLRDLDIDSTGMMEKQDHIEMNQNQNQNQNAEIQSLFNGMVGFPNLERLSLSNINELKRIWHSPLAANSFFKLKSLDVSYCQKLMAVFPSNDLERFRRMEELCVSNCASLQEIYQLEGFNVDEAFELRRLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSNCDILNYLFPTSIAEGLLQLEELTITCCGVVEIIAKVEDVEQDPYCCFKFPQLTSLQLIDLSKLRSWYPETHNFECQKLTSLDVRNCHKIIKFSFQETHEEGKQSLLFLKKMSPNLEELTLEHKDLIVIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLSSVETIFVQGHNTSEELFSYEGLAGEEEEEHARTLARVKNLKLESVDNLKHIWDPYSRLKPLLQYLETLSVFGCESLINVAPSSSSFQNLATLEVIFCEGLANLITASTAKSMVHLTKMTVQYCDMMTEIVTSDGDDHTEDEIINFDKLKCLELYGLPGLISFCSGNNAFNFPALENVTVNGCSRMKIFAFGDLKTPKLRGIVLEYQQRWGGNLNATLAEMMSPNLEKLTLEHKDLIEIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVQGHNTSEELFSYEGLAAEEEEHPARVKNLKLESVDNLKHIWDPDSGLKPLLQYLETLSVIGCRSLINVAPSSSSFQNLATLEVRFCAGLANLITESTAKSMVQLTKMTVQRCNMMTEIVTSDGDDHTEDEIINFDKLKFLELYGLPGLISFCSGNNAFNFPALENVTVNRCSRMKIFASGLLNTPKLRGIRLGDQRHWEGNLNATLAEMPFCQYFKASEFPELWHDGMQGRLLRNVKRLEVDKCAMYNKAIPSNVLVFLNKLKELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHKCPSLKNIFATQTLVNTINKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLGGVQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEINSCGNLIVLAPSSVSLGNLKTLKVFGCKTLANIFTSAAAKSMVQLETLNVRFCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEILPNLTGFCLGSYIFNFPSLEQVTVYRCPKLRIFTVRQLSASKIHGVFTGRRFNRTFHWEGDLNATIEQIYMKYIGFREIYGIQLSNFPMLKEKWHGQFPFENLRHIWRLVVDECAFFSNAISSNLLKHLSYLNELAVERCDSVEDLFDLEGLNDDEDNDGLLKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMALGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSARDVLKCPSLKRIEIVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVEYNTIKNLCSQTSQADFLCGLKGIELTCISSDFTLLPSQFFKSLPILEKLVLSDASVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAKVDEEEENEICFSRLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWEGSLNNTIQALFKEKKAEETGIGQCSCG >Manes.07G062324.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9313898:9328335:-1 gene:Manes.07G062324.v8.1 transcript:Manes.07G062324.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHEAEELKNRTVKLQQAVEEATRKGEEIHKSVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELASVDDHNPISFRPPLQQIVAPSVYAREGLNSRELFLEKVIDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMVAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKVFIILDDIWKKLDLNVVGIPFGDGFKGCKILLTSRGQDVLSREMGTQKEIKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLNQLSEFDNEEIYSKVHAILESSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYVMGLSLFKNISTVQGARNKVYGLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELVKFPNKDCTRISLPYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRKLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDKILPKHLFSNGSLQSFRILIGDNWDWDDNYKTSRTLKLKLKTSIHSGYGIKVLLRETEDLCLDEVRDAENLLYDIDGDGFPKLKHLRVQNNHAIQHIINSTKWAVCDAFPILESLILKNLMKLEKIYHGRLAAGSFNKLEILQVRNCQKLTHLFSLSAAKCLLQLQEMKVKDCLKMKAIVIHESENSNEVLEFNRLRSLNLQNLPNLRTFHSKMKAPSKIEEFLSERASDTHLSLFSRTVSFPNLEHLELNSVGCEKIWHDQLSATSSKLERLSVNNCHKLKHLFTTSIVKRLLQLKTLYIFSCTSMEEIILTEEFIEEEDERMNQILFPKLDELTLQYLLKLIRFCTGYQIEFQSLRYLNISNCHALMCLVPSVPHTGMMEKQDDTERNQNQNQNQNAEIQSLFNGMVGFPNLERLRLSHINELKRIWHSPLAANSFFKLKSLNVSFCQKLMVVFPSNVLERFRRMEELHVSHCILLQEIYQLEGFSVDEAFELRSLNIDRLRSLKHVWRKDPQGVFGFQNLKSVRVSDCDVLNYLFPVSIAEGLLQLEELTITKCGVEEIIAKAEDVEQAPYYCFKFPQLTSLELTDLSELRSWYPGTHISELQKLTSFNVRNCHKIIKFSFQEIDEKDRRPLLFLEKMSPNLEKLTLEHKDLIEIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVQGHNTSEELFSYEGLAAEEEEHPARVKNLKLESVDNLKHIWDPDSGLKPLLQYLETLSVIGCRSLINVAPSSSSFQNLATLEVRFCAGLANLITESTAKSMVQLTKMTVQRCNMMTEIVTSDGDDHTEDEIINFDKLKFLELYGLPGLISFCSGNNAFNFPALENVTVNRCSRMKIFASGLLNTPKLRGIRLGDQRHWEGNLNATLAEMPFCQYFKASEFPELWHDGMQGRLLRNVKRLEVDKCAMYNKAIPSNVLVFLNKLKELEVKDCDSADVVFDLEGVSADDGLLPRLKKLELTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIEKEETEEAVNSADKMILHSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHKCPSLKNIFATQTLVNTINKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLGGVQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEINSCGNLIVLAPSSVSLGNLKTLKVFGCKTLANIFTSAAAKSMVQLETLNVRFCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEILPNLTGFCLGSYIFNFPSLEQVTVYRCPKLRIFTVRQLSASKIHGVFTGRRFNRTFHWEGDLNATIEQIYMKYIGFREIYGIQLSNFPMLKEKWHGQFPFENLRHIWRLVVDECAFFSNAISSNLLKHLSYLNELAVERCDSVEDLFDLEGLNDDEDNDGLLKSLKELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMALGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSARDVLKCPSLKRIEIVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVEYNTIKNLCSQTSQADFLCGLKGIELTCISSDFTLLPSQFFKSLPILEKLVLSDASVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAKVDEEEENEICFSRLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWEGSLNNTIQALFKEKKAEETGIGQCSCG >Manes.07G062324.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9353577:9354371:-1 gene:Manes.07G062324.v8.1 transcript:Manes.07G062324.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IELTCISSDRTLLPSQFFESLPILEKLVLSDASFEDIISCEEIIGEEIHPQSLVKVKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLEQLEKMKIEECELIQEVIVAEVDKEEEENEICFNQLKCLELRRLPSLSSFCSGNLTFNFPSLEEVILVECPNMKIFAQEVSTPQLWRVQTGEQLICDWEWEGSLNNTIEALFKEKVNIY >Manes.02G139400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10719588:10724504:-1 gene:Manes.02G139400.v8.1 transcript:Manes.02G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKNMGRVSPLFLVLLSLGFFFATYNLLTLVIQYKSSNSGDGLELADPITDMPHEVKRLGKSNPRYHVALTATDAPYSQWQCRIMYYWYKKMKDMPGSDMGKFTRVLHSGKPDKLMDEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFVNPLPNLAHGDHPAGFPFFYIKPAQHENIIRKFYPKEKGPVTNVDPIGNSPVIIKKTILEEISPTWVNISLRMKDDPETDKAFGWVLEMYAYAVASALHGVRHILRKDFMIQPPWDLKVGKRFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGSPPRNLSLPPAGVPESVVRLVKMVNEATANIPGWG >Manes.02G139400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10719588:10724504:-1 gene:Manes.02G139400.v8.1 transcript:Manes.02G139400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKNMGRVSPLFLVLLSLGFFFATYNLLTLVIQYKSSNSGDGLELADPITDMPHEVKRLGKSNPRYHVALTATDAPYSQWQCRIMYYWYKKMKDMPGSDMGKFTRVLHSGKPDKLMDEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFVNPLPNLAHGDHPAGFPFFYIKPAQHENIIRKFYPKEKGPVTNVDPIGNSPVIIKKTILEEISPTWVNISLRMKDDPETDKAFGWVLEMYAYAVASALHGVRHILRKDFMIQVLVISVESSLVSFHLL >Manes.14G064500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5339531:5344735:-1 gene:Manes.14G064500.v8.1 transcript:Manes.14G064500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTTVASYWLNWRFFLCTIWVLIAITIASILIWKYEKFHKAEPDSGENKQETESDLLDDETWRPCLKGINPAWLLAFRIFAFFVLLVLLIVVALVDGGSIFYYYTQWTFTLVTIYFGLGSFLSLRGCYLNHKRVCGDKVDNVEVDSEKGNCATLAPGEGSGTSIERRGFNSIEQVDKRQPAGKWVLIFQIIFQMNAGAVMLTDCVFWFVLVPFLAIKDYHLTALVISMHSMNAIFLLCDTALNCMRFPWFRIAYFFIWTITYLLFQWIVHACFRLWWPYPFLDLSSPYSPLWYFTVGVMHIPCYGVFIFIIKMKHTLFSRWFPDSYQCPR >Manes.14G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5339531:5343836:-1 gene:Manes.14G064500.v8.1 transcript:Manes.14G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFITGSSENTWLPAMTANTTVASYWLNWRFFLCTIWVLIAITIASILIWKYEKFHKAEPDSGENKQETESDLLDDETWRPCLKGINPAWLLAFRIFAFFVLLVLLIVVALVDGGSIFYYYTQWTFTLVTIYFGLGSFLSLRGCYLNHKRVCGDKVDNVEVDSEKGNCATLAPGEGSGTSIERRGFNSIEQVDKRQPAGKWVLIFQIIFQMNAGAVMLTDCVFWFVLVPFLAIKDYHLTALVISMHSMNAIFLLCDTALNCMRFPWFRIAYFFIWTITYLLFQWIVHACFRLWWPYPFLDLSSPYSPLWYFTVGVMHIPCYGVFIFIIKMKHTLFSRWFPDSYQCPR >Manes.14G064500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5339545:5343742:-1 gene:Manes.14G064500.v8.1 transcript:Manes.14G064500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTTVASYWLNWRFFLCTIWVLIAITIASILIWKYEKFHKAEPDSGENKQETESDLLDDETWRPCLKGINPAWLLAFRIFAFFVLLVLLIVVALVDGGSIFYYYTQWTFTLVTIYFGLGSFLSLRGCYLNHKRVCGDKVDNVEVDSEKGNCATLAPGEGSGTSIERRGFNSIEQVDKRQPAGKWVLIFQIIFQMNAGAVMLTDCVFWFVLVPFLAIKDYHLTALVISMHSMNAIFLLCDTALNCMRFPWFRIAYFFIWTITYLLFQWIVHACFRLWWPYPFLDLSSPYSPLWYFTVGVMHIPCYGVFIFIIKMKHTLFSRWFPDSYQCPR >Manes.14G064500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5339531:5344728:-1 gene:Manes.14G064500.v8.1 transcript:Manes.14G064500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSENTWLPAMTANTTVASYWLNWRFFLCTIWVLIAITIASILIWKYEKFHKAEPDSGENKQETESDLLDDETWRPCLKGINPAWLLAFRIFAFFVLLVLLIVVALVDGGSIFYYYTQWTFTLVTIYFGLGSFLSLRGCYLNHKRVCGDKVDNVEVDSEKGNCATLAPGEGSGTSIERRGFNSIEQVDKRQPAGKWVLIFQIIFQMNAGAVMLTDCVFWFVLVPFLAIKDYHLTALVISMHSMNAIFLLCDTALNCMRFPWFRIAYFFIWTITYLLFQWIVHACFRLWWPYPFLDLSSPYSPLWYFTVGVMHIPCYGVFIFIIKMKHTLFSRWFPDSYQCPR >Manes.11G118500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27637819:27639300:1 gene:Manes.11G118500.v8.1 transcript:Manes.11G118500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFDRRNRHYGVIEVVDHILVIEMRQDQSGHGVNCLITLFDASLTIINGNNDDSKDDIQSWMIWGYCCLSYDVCTKCFSFL >Manes.01G106500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30579447:30585285:1 gene:Manes.01G106500.v8.1 transcript:Manes.01G106500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKEKPKEKKKNKKAKKSSIELSQKEVMLNSKEKGNGTGSIKSAGKKKRKREKENNDDADKLIKVGEKNKNKVRKKKRKGEKNNAPVGKSDWQDGCEEQSDDEAADHSLVETQGDMNDFKVQSEAVIGEDIRTRKSKKSHRKKKEHGKLKKGETMPEKMEEAVHDGVYYISSGEEDSSKGMRKWLMEYHQSRPGLKVLQQRIDEFIIAHEEKLEQERKEREARATEDGWTVVVHHKGRKKTTDSESGMTVGSVAPAVAESQLTKKKGKEVGPDFYRFQKREAQRSEILALQSKFEQDRKRIQQLRAARKFRPY >Manes.01G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30579447:30585285:1 gene:Manes.01G106500.v8.1 transcript:Manes.01G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKEKPKEKKKNKKAKKSSIELSQKEVMLNSKEKGNGTGSIKSAGKKKRKREKENNDDAGIAVLINNDKLIKVGEKNKNKVRKKKRKGEKNNAPVGKSDWQDGCEEQSDDEAADHSLVETQGDMNDFKVQSEAVIGEDIRTRKSKKSHRKKKEHGKLKKGETMPEKMEEAVHDGVYYISSGEEDSSKGMRKWLMEYHQSRPGLKVLQQRIDEFIIAHEEKLEQERKEREARATEDGWTVVVHHKGRKKTTDSESGMTVGSVAPAVAESQLTKKKGKEVGPDFYRFQKREAQRSEILALQSKFEQDRKRIQQLRAARKFRPY >Manes.01G106500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30579447:30585285:1 gene:Manes.01G106500.v8.1 transcript:Manes.01G106500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKEKPKEKKKNKKAKKSSIELSQKDDEAADHSLVETQGDMNDFKVQSEAVIGEDIRTRKSKKSHRKKKEHGKLKKGETMPEKMEEAVHDGVYYISSGEEDSSKGMRKWLMEYHQSRPGLKVLQQRIDEFIIAHEEKLEQERKEREARATEDGWTVVVHHKGRKKTTDSESGMTVGSVAPAVAESQLTKKKGKEVGPDFYRFQKREAQRSEILALQSKFEQDRKRIQQLRAARKFRPY >Manes.14G078100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6535474:6536251:-1 gene:Manes.14G078100.v8.1 transcript:Manes.14G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVSRGILLLLVCIGYLALQPQKVSSLTSLDLALRWKQGLLPLVQNSRMLRSVAIKDLQALASSAPVPSMVFDPNQSNKRTVRKGSDPIHNRC >Manes.13G063819.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7940836:7942439:1 gene:Manes.13G063819.v8.1 transcript:Manes.13G063819.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMEKSSSGPKATKEAGSKKIQKESSTMESLPREIALDILSRLPITSLIQVKWVCRSWRSLAQDPLLATMHFSRMNDYDPGLVLHCDLPLQNHLYYLHLSSPDIVITRLRVPMISEFAVVGSCNGLLCLWHSLFKDKCYIYNPFTRDFKELPVPEQFPTQTRVTLGFGFHPGTKEYKVVRIVYYRNEDEVSNFQVRRYSLPESEVQVLTLGNGMSTWRSKGRTSYQLLGSHPYQSQVLLNGRLHWLTCRHRHQSRRQLVSFDLADEQFQEVPTPNHGIFGRYFSHLAILRGCLAAITQGFRQLNIWVMKEYGVKESWVKEFSIGAHLPRHLDLNQAPFIYVPECPINWSQARALCFFKNGELLLEYKRRSLVSCDPTSGAFKEISFGGLPESFSTFVHIGSLNFIN >Manes.06G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23260523:23263347:-1 gene:Manes.06G098700.v8.1 transcript:Manes.06G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSFKHYVEQELGKFPLFLIYAVLEWLLIIVLFIDGFLAFFANEFARFFELEIPCLLCTRIDHVLVDRADDFYYNGSVCQSHKKELSCLAYCHSHKKLSDIRKMCETCLLSFATEKATDCYTYKSLVGILHKDIEMFVDNDQDHHFTLPTARKDDSMHAERIIRNPCSCCGEPLKAKSYFKGKGSSMVSQAPTPSPRAPFGNLKNEDHRNMELPNMRFTELKFSDNESEPHEDDDGQNTSHVGKQSREDVKAATVPSLPEAEYMNEDRTPTFARGNRFFGIPLTDSATCSPRWTNRIPRKSPLEKTELASESTEGSTVQNEGDGDLTLHHMKGQARLDRKSLMALYMELDEERNASAIAANNAMAMITRLQAEKASVQMEALQYQRMMEEQAEYDQEALQATNNLLRKRDEDINALEAELDEYRERYGLLREESFEGSGDEFDEGYLEKNAQSISSENISPDYSFIDGCINEENLHSNNQSSSMWDVNGEGTPNMLDKGGRSYLGRLKSLEKRIHLLSDDGAPFLQAISNNITHDEDTAQERTLMNELLQLHERVRALEDEEVSKHAAGDTTQSDTEREKLLTDICDNIQQLRQFISMPLSEGQDA >Manes.07G106308.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31287991:31289437:-1 gene:Manes.07G106308.v8.1 transcript:Manes.07G106308.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.07G106308.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31287991:31289437:-1 gene:Manes.07G106308.v8.1 transcript:Manes.07G106308.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.07G106308.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31287952:31289443:-1 gene:Manes.07G106308.v8.1 transcript:Manes.07G106308.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.09G072600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12327631:12328065:-1 gene:Manes.09G072600.v8.1 transcript:Manes.09G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSTPLPSKPFLLHFPTTHSIPPVQPLLPIFRVTATAAPTICIHSFKTQSSSLTLYRLLHIHHTGSPTEIKAAYRSLAKLYHPDTASSNHNGGRFIEIHNAYATLSNPVARANYYLSICATSAHHHSRCSESNNIPGFWPTRR >Manes.02G061900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4768428:4769679:1 gene:Manes.02G061900.v8.1 transcript:Manes.02G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSEVTGLHYIVPSNTSPYSAYFGMTQSNSPTIQFNRSFNNPQTFQISPQFQEFSLQSSCLSNNSTSDEADEQQLSLINERKQRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHQLINKLNHVTECHDQVLQENAQLKEEASELRQLLCDMQLNSPYAALRDLEDVPCNTAYLRADSSNLLS >Manes.02G106700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8309107:8315213:-1 gene:Manes.02G106700.v8.1 transcript:Manes.02G106700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALFRCVLLFLCSVIQLTSATVVLKPFLFSFPDLPAKFAVRVNRSGICGALHVANPLDACSSLLNRFGFNETEQVRIALIIRGECPFENKIRNAQNAGFHAAIVYDDRDKRNLVYMMMNPDGIKVHAVFVSKPAGEILKEHARGEESECCIYLSHSHTAWTVLAISLLSLLVILTFLIIAFVVPRHWIHWQRTHFRSKSVDVRVLEGLPCFTFYSTHLNCNQNRETCAICLEDYKDGEILKVLPCQHEFHSSCVDSWLTKWGTFCPVCKFDMKTKFVYSEVSTQNLLPIWDGLLNCIFSVSISCAIIVFLLCSVGLCHMGLSLGLISCGLFLLLLINYSSEAEVVTVDVKAAKGFLESGYIYLDVRTAVEFKKGHVHAEKVFNIPFMFKSPEGWVKNPKFLKEISAVCKEDDHLVVGCQSGGRSLHATADLLAAGFKDVSNMGGGYLAWMQNEFPLKVEEEEERTEL >Manes.05G098825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9106808:9112006:-1 gene:Manes.05G098825.v8.1 transcript:Manes.05G098825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAIGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITHGEYDEDSRYPETKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSGFTYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTRSSH >Manes.02G107900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8391650:8394034:1 gene:Manes.02G107900.v8.1 transcript:Manes.02G107900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLCDKKVASIFMITLFILTAAAKQHEKVPAVIVFGDSIVDPGNNNHVKTIVKCNFPPYGRDFIGRKPTGRFCNGVVPSDIIAQELGVKDLLPAYLDPNLKTEDLLTGVSFASGGSGYDPLTPKIVSVLSLSDQLKLFREYLSKIKSAVGEGAVETILSKSIVIVCTGSDDIANTYFSTPFRRPQYDINSYTDLLLSSATAFYKSGQELYEVGARRIGVLSLPPIGCVPSQRTLGGGIDRQCSKSANEAASLFNSKLSSAIHALNKQLPNSTLVYLDTYNPLLSLIQNPAQYGFEVANKGCCGTGNIEVSILCNGLDDPHTCKDASKYIFWDSYHPSERAYKILTSQILNKDLDKLF >Manes.02G107900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8391580:8394959:1 gene:Manes.02G107900.v8.1 transcript:Manes.02G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLCDKKVASIFMITLFILTAAAKQHEKVPAVIVFGDSIVDPGNNNHVKTIVKCNFPPYGRDFIGRKPTGRFCNGVVPSDIIAQELGVKDLLPAYLDPNLKTEDLLTGVSFASGGSGYDPLTPKIVSVLSLSDQLKLFREYLSKIKSAVGEGAVETILSKSIVIVCTGSDDIANTYFSTPFRRPQYDINSYTDLLLSSATAFYKELYEVGARRIGVLSLPPIGCVPSQRTLGGGIDRQCSKSANEAASLFNSKLSSAIHALNKQLPNSTLVYLDTYNPLLSLIQNPAQYGFEVANKGCCGTGNIEVSILCNGLDDPHTCKDASKYIFWDSYHPSERAYKILTSQILNKDLDKLF >Manes.03G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24015008:24017041:1 gene:Manes.03G114300.v8.1 transcript:Manes.03G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSGSQQPNLPPGFRFHPTDEELVVHYLKKKASSAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGVRPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLVDNKVSNKPPGCDLGNKKNSLRLDDWVLCRIYKKNNTHRPMDHDKDDSMEDMLGSIPPSISIGTQQNTKLQLLTGTNYGALVENEQNLFDGMLSNDGISNTNSLSHLASSTARPAELSMLPLKRTLPSLYWNDEDEDGPSSSKRFHGDNGDGVRTDGNSSMAPLLSQLPQTPPLHQQTMLGSIGDGIFRPPYQLSGLNWYS >Manes.15G139400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11293287:11294737:-1 gene:Manes.15G139400.v8.1 transcript:Manes.15G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPRSVLLSSGKTIPLLGYGTAEYPFGASSETMKDSILHAIKLGYRHFDSAALYQSEQPLGEAISDALQLGLVKSRDELFITSKLWCCDSHHDSVLPALRKTLKNLSLDYLDLYLIHFPVSLKSDEYELPVKKKDLVPFDVKSVWEGMEECLKLGLTKSIGVSNFSCKKLETLLATAKIPPAVNQVEMNPLWQQKKLRDFCEQKGIHISAYSPLGATGTLWGTNLVLDCKVLKEIAEAKGKTTAQVCLTWAYEQGVSVLVKSFNKERMKENFSIFDLELSEDDLQKINELPQRKGFPALEFISDDGPYKTTEELWDEEIDLSSVNV >Manes.09G081604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20185957:20190285:1 gene:Manes.09G081604.v8.1 transcript:Manes.09G081604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADSFNDIKDNTAPLPEQSVVTYAAECGPYSDKNGSRDAPAASEIAQSYGFKRYEYQKLAKATRFFSNVHRIGEGGFGIVYKASLDDDDVAIKKLKIVKLENKLEEIEYLSVVRHPNIVKMIGYCSEGEDKLLVLEFVPNKSLRHHLHDEDKLLEWSKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLSDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDKQGNTIVNWV >Manes.15G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6498856:6504261:1 gene:Manes.15G084500.v8.1 transcript:Manes.15G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMVEAKPRPLLMSLTVKPHLHHYKNKMGKPTSTNRDWTQIYAIYGMDQWQTLLFLLCHAIFFSILSILLLFYFDPVCKLLETGLLLFTTSTSSTGAARFAAGFTGSVTALSAICLFFAAGDFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANMEGVGEYVTCREGDVRSLPFADNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGELVRVLKPGGIGVVWDIVHVPEYVRRLQELKMEDITVSERVTAFMVSSHIVTFRKPNQPVWRVNEVRLDWRC >Manes.15G084500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6498893:6501781:1 gene:Manes.15G084500.v8.1 transcript:Manes.15G084500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVKPHLHHYKNKMGKPTSTNRDWTQIYAIYGMDQWQTLLFLLCHAIFFSILSILLLFYFDPVCKLLETGLLLFTTSTSSTGAARFAAGFTGSVTALSAICLFFAAGDFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANMEGVGEYVTCREGDVRSLPFADNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGELVRVLKPGGIGVVWDIVHVPEYVRRLQELKMEDITVSERVTAFMVSSHIVTFRKPNQPVWRVNEVRLDWRC >Manes.15G084500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6498857:6504261:1 gene:Manes.15G084500.v8.1 transcript:Manes.15G084500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVKPHLHHYKNKMGKPTSTNRDWTQIYAIYGMDQWQTLLFLLCHAIFFSILSILLLFYFDPVCKLLETGLLLFTTSTSSTGAARFAAGFTGSVTALSAICLFFAAGDFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANMEGVGEYVTCREGDVRSLPFADNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGELVRVLKPGGIGVVWDIVHVPEYVRRLQELKMEDITVSERVTAFMVSSHIVTFRKPNQPVWRVNEVRLDWRC >Manes.15G084500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6498858:6501781:1 gene:Manes.15G084500.v8.1 transcript:Manes.15G084500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVKPHLHHYKNKMGKPTSTNRDWTQIYAIYGMDQWQTLLFLLCHAIFFSILSILLLFYFDPVCKLLETGLLLFTTSTSSTGAARFAAGFTGSVTALSAICLFFAAGDFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANMEGVGEYVTCREGDVRSLPFADNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGELVRVLKPGGIGVVWDIVHVPEYVRRLQELKMEDITVSERVTAFMVSSHIVTFRKPNQPVWRVNEVRLDWRC >Manes.15G084500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6498893:6501787:1 gene:Manes.15G084500.v8.1 transcript:Manes.15G084500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFNFQVEAKPRPLLMSLTVKPHLHHYKNKMGKPTSTNRDWTQIYAIYGMDQWQTLLFLLCHAIFFSILSILLLFYFDPVCKLLETGLLLFTTSTSSTGAARFAAGFTGSVTALSAICLFFAAGDFFYSSVALHHDMAQRMVSYVNDWSSVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANMEGVGEYVTCREGDVRSLPFADNYFDVVVSAVFVHTVGKEYGHRTVEAAAERMRALGELVRVLKPGGIGVVWDIVHVPEYVRRLQELKMEDITVSERVTAFMVSSHIVTFRKPNQPVWRVNEVRLDWRC >Manes.18G061100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5399626:5403641:1 gene:Manes.18G061100.v8.1 transcript:Manes.18G061100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFECAVSSLLCAEDNNMVFDDNDCYRAVVELFEATWHHKNHQIYCKDRAFVGGGDGELPMQSKECLALMVEKECQHLPNVDYLKRLRRGDLDLEARKEAIDWIGKKGKAWMIQLLAVACLSLAAKMEETEVPHSLDLQVGESKFVFEARTIQRMELLVLRTLSWRMQAITPFSFIDQFLDKINNDETPPRSLIWQSIQLILSTTRGIEFLEFRPSEIAAAVAIAVVGEIKTVDAEQAIPVLSQHVEKERVLKCIQVIHEMSLIGGYANNGNASILYVPQSPIGVLDAACLSYRSDDTTVGLCANSSQNTPDAKRRKLNGQWEL >Manes.18G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5399626:5403640:1 gene:Manes.18G061100.v8.1 transcript:Manes.18G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFECAVSSLLCAEDNNMVFDDNDCYRAVVELFEATWHHKNHQIYCKDRAFVGGGDGELPMQSKECLALMVEKECQHLPNVDYLKRLRRGDLDLEARKEAIDWIGKVHAHFGFGPLCAYLSINYLDRFLSAYKLPKGKAWMIQLLAVACLSLAAKMEETEVPHSLDLQVGESKFVFEARTIQRMELLVLRTLSWRMQAITPFSFIDQFLDKINNDETPPRSLIWQSIQLILSTTRGIEFLEFRPSEIAAAVAIAVVGEIKTVDAEQAIPVLSQHVEKERVLKCIQVIHEMSLIGGYANNGNASILYVPQSPIGVLDAACLSYRSDDTTVGLCANSSQNTPDAKRRKLNGQWEL >Manes.18G061100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5399626:5403640:1 gene:Manes.18G061100.v8.1 transcript:Manes.18G061100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFECAVSSLLCAEDNNMVFDDNDCYRAVVELFEATWHHKNHQIYCKDRAFVGGGDGELPMQSKECLALMVEKECQHLPNVDYLKRLRRGDLDLEARKEAIDWIGKVHAHFGFGPLCAYLSINYLDRFLSAYKLPGKAWMIQLLAVACLSLAAKMEETEVPHSLDLQVGESKFVFEARTIQRMELLVLRTLSWRMQAITPFSFIDQFLDKINNDETPPRSLIWQSIQLILSTTRGIEFLEFRPSEIAAAVAIAVVGEIKTVDAEQAIPVLSQHVEKERVLKCIQVIHEMSLIGGYANNGNASILYVPQSPIGVLDAACLSYRSDDTTVGLCANSSQNTPDAKRRKLNGQWEL >Manes.04G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4337880:4339570:-1 gene:Manes.04G034100.v8.1 transcript:Manes.04G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTATAKQAEDMSLHLLVENQSNKVLYAESGKAFVDVLFFFLQIPLGSLVGILQENDMNLSSSLSRVFESVRNLKPTHFLSQTVQESLLKPEVASPTSTPPLLQSFFPVKQLKSETSPATLSSPVFGIPSSAPAAAKKKAEVGFVKEGETKYIVMDDLRVLPLSSIFLVDLFKKFNVKDTSFREVKININKCLEIVKASLESDAVLTDVFIGKNSEENLNHKDMKLNNC >Manes.01G260400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41639889:41642410:1 gene:Manes.01G260400.v8.1 transcript:Manes.01G260400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCWVTEKEKGGFRCFLSPKRPNRASFSTQVQIIWEWKQDQSVCSKEISVDCASLIQTLHRKSLPHLAHELMLEMKSQDLLPSNSTLSAMMLCYADNGLFPQAQVIWEELLNSSFMPSIQLVSQIIDAYGKWGLFNEVMKILDQLSYSNFSMLNEAYSLAISCFGKGGQLQLMEQTLKEMVSRGFPVDFATGNAFIRYSSIHGSLTEMEAAYNRLKSSRHLIDREGIRAMSFKYIKEKKFYKLGEFLRNVGLGRKDVGNLIWNLLLLSYAANFKMKSLQREFLRMLEAGFKPDVTTFNIRALAFSRMALLWDLHLSLEHMKQEKVSPDLVTCGCVVDAYLDRRLGKNLDFALNKMNLEGSPVISTDPFVFEVLGKGDFHSSAEAFLESKRYRNWTYRELVLLYLRKQYRSNQIFWNY >Manes.01G260400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41639889:41642410:1 gene:Manes.01G260400.v8.1 transcript:Manes.01G260400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSVIARSTILLCFVGSLRRKRVGFVVFSRQRDPTERALARKIIWEWKQDQSVCSKEISVDCASLIQTLHRKSLPHLAHELMLEMKSQDLLPSNSTLSAMMLCYADNGLFPQAQVIWEELLNSSFMPSIQLVSQIIDAYGKWGLFNEVMKILDQLSYSNFSMLNEAYSLAISCFGKGGQLQLMEQTLKEMVSRGFPVDFATGNAFIRYSSIHGSLTEMEAAYNRLKSSRHLIDREGIRAMSFKYIKEKKFYKLGEFLRNVGLGRKDVGNLIWNLLLLSYAANFKMKSLQREFLRMLEAGFKPDVTTFNIRALAFSRMALLWDLHLSLEHMKQEKVSPDLVTCGCVVDAYLDRRLGKNLDFALNKMNLEGSPVISTDPFVFEVLGKGDFHSSAEAFLESKRYRNWTYRELVLLYLRKQYRSNQIFWNY >Manes.01G260400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41639889:41642410:1 gene:Manes.01G260400.v8.1 transcript:Manes.01G260400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSVIARSTILLCFVGSLRRKRVGFVVFSRQRDPTERALARKIIWEWKQDQSVCSKEISVDCASLIQTLHRKSLPHLAHELMLEMKSQDLLPSNSTLSAMMLCYADNGLFPQAQVIWEELLNSSFMPSIQLVSQIIDAYGKWGLFNEVMKILDQLSYSNFSMLNEAYSLAISCFGKGGQLQLMEQTLKEMVSRGFPVDFATGNAFIRYSSIHGSLTEMEAAYNRLKSSRHLIDREGIRAMSFKYIKEKKFYKLGEFLRNVGLGRKDVGNLIWNLLLLSYAANFKMKSLQREFLRMLEAGFKPDVTTFNIRALAFSRMALLWDLHLSLEHMKQEKVSPDLVTCGCVVDAYLDRRLGKNLDFALNKMNLEGSPVISTDPFVFEVLGKGDFHSSAEAFLESKRYRNWTYRELVLLYLRKQYRSNQIFWNY >Manes.01G260400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41639889:41642410:1 gene:Manes.01G260400.v8.1 transcript:Manes.01G260400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSVIARSTILLCFVGSLRRKRVGFVVFSRQRDPTERALARKIIWEWKQDQSVCSKEISVDCASLIQTLHRKSLPHLAHELMLEMKSQDLLPSNSTLSAMMLCYADNGLFPQAQVIWEELLNSSFMPSIQLVSQIIDAYGKWGLFNEVMKILDQLSYSNFSMLNEAYSLAISCFGKGGQLQLMEQTLKEMVSRGFPVDFATGNAFIRYSSIHGSLTEMEAAYNRLKSSRHLIDREGIRAMSFKYIKEKKFYKLGEFLRNVGLGRKDVGNLIWNLLLLSYAANFKMKSLQREFLRMLEAGFKPDVTTFNIRALAFSRMALLWDLHLSLEHMKQEKVSPDLVTCGCVVDAYLDRRLGKNLDFALNKMNLEGSPVISTDPFVFEVLGKGDFHSSAEAFLESKRYRNWTYRELVLLYLRKQYRSNQIFWNY >Manes.01G260400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41639889:41642410:1 gene:Manes.01G260400.v8.1 transcript:Manes.01G260400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCWVTEKEKGGFRCFLSPKRPNRASFSTQVQIIWEWKQDQSVCSKEISVDCASLIQTLHRKSLPHLAHELMLEMKSQDLLPSNSTLSAMMLCYADNGLFPQAQVIWEELLNSSFMPSIQLVSQIIDAYGKWGLFNEVMKILDQLSYSNFSMLNEAYSLAISCFGKGGQLQLMEQTLKEMVSRGFPVDFATGNAFIRYSSIHGSLTEMEAAYNRLKSSRHLIDREGIRAMSFKYIKEKKFYKLGEFLRNVGLGRKDVGNLIWNLLLLSYAANFKMKSLQREFLRMLEAGFKPDVTTFNIRALAFSRMALLWDLHLSLEHMKQEKVSPDLVTCGCVVDAYLDRRLGKNLDFALNKMNLEGSPVISTDPFVFEVLGKGDFHSSAEAFLESKRYRNWTYRELVLLYLRKQYRSNQIFWNY >Manes.01G260400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41639889:41642410:1 gene:Manes.01G260400.v8.1 transcript:Manes.01G260400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSVIARSTILLCFVGSLRRKRVGFVVFSRQRDPTERALARKIIWEWKQDQSVCSKEISVDCASLIQTLHRKSLPHLAHELMLEMKSQDLLPSNSTLSAMMLCYADNGLFPQAQVIWEELLNSSFMPSIQLVSQIIDAYGKWGLFNEVMKILDQLSYSNFSMLNEAYSLAISCFGKGGQLQLMEQTLKEMVSRGFPVDFATGNAFIRYSSIHGSLTEMEAAYNRLKSSRHLIDREGIRAMSFKYIKEKKFYKLGEFLRNVGLGRKDVGNLIWNLLLLSYAANFKMKSLQREFLRMLEAGFKPDVTTFNIRALAFSRMALLWDLHLSLEHMKQEKVSPDLVTCGCVVDAYLDRRLGKNLDFALNKMNLEGSPVISTDPFVFEVLGKGDFHSSAEAFLESKRYRNWTYRELVLLYLRKQYRSNQIFWNY >Manes.01G260400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41639887:41642410:1 gene:Manes.01G260400.v8.1 transcript:Manes.01G260400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCWVTEKEKGGFRCFLSPKRPNRASFSTQVQIIWEWKQDQSVCSKEISVDCASLIQTLHRKSLPHLAHELMLEMKSQDLLPSNSTLSAMMLCYADNGLFPQAQVIWEELLNSSFMPSIQLVSQIIDAYGKWGLFNEVMKILDQLSYSNFSMLNEAYSLAISCFGKGGQLQLMEQTLKEMVSRGFPVDFATGNAFIRYSSIHGSLTEMEAAYNRLKSSRHLIDREGIRAMSFKYIKEKKFYKLGEFLRNVGLGRKDVGNLIWNLLLLSYAANFKMKSLQREFLRMLEAGFKPDVTTFNIRALAFSRMALLWDLHLSLEHMKQEKVSPDLVTCGCVVDAYLDRRLGKNLDFALNKMNLEGSPVISTDPFVFEVLGKGDFHSSAEAFLESKRYRNWTYRELVLLYLRKQYRSNQIFWNY >Manes.01G051575.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10675328:10675966:-1 gene:Manes.01G051575.v8.1 transcript:Manes.01G051575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPSARRISFSSSSSPQKFFSAEKCLLCAVSWKRRRRFGVLLKKIRRAAEGGDPTCC >Manes.11G161800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32710385:32714088:1 gene:Manes.11G161800.v8.1 transcript:Manes.11G161800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEALREAISTIMTASKEKKRNFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEGIGLQWMDVEALKKLNKNKKLVKKLAKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQVFQNVQMSVNFLVSLLKKNWQNVKCLHLKTTMGAPQRVF >Manes.10G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3093078:3096810:1 gene:Manes.10G030100.v8.1 transcript:Manes.10G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAAATDDWWARGIAGQIGGGFSQESELDLALMVSDFLENGSSGPDSWCSSDSESGLSDLHHLADKISFYRHSVAQYESDLLSLVQSLMVSIKETDLHLVKSGPCNASCIRFSLVKLLRLAGYDAAVCASRWQGGGKVPGGDHEYIDVVNHTNGGSSERLIIDIDFQSHFEIARAVDTYDRILKSLPVIYVGSVTRLKQYLQAMVEAAKSSLKQNSMPLPPWRSLAYLQAKWHSPYQRHLSPEEHNFSSISSSDHKQCRGHLKRLQAWVQSDMEEERLLKPMNTDNNWRVKRERRRNSGLRGL >Manes.13G055000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6378139:6379359:1 gene:Manes.13G055000.v8.1 transcript:Manes.13G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFTVDLGPFIVCCVNCSRERAEKIYQLEENLSTLKFAKEELEEIKKDLQKTISEEMLQDLLQSRIARWIEKAEKKTLEADDLTKDGKREVGKLCCGGHCSKHLKQSYKFGKNVSKKIGEVGELNKEGKEFVDSLLAKRPGDGVMYVFDQLYLCCLDKQEEEPRKPIHELCETEEEELETLAGEEDEPQTPTAAEGEGGEKPVEVVAMYGGWCVIFMCVVLLLVSVSCVNIR >Manes.14G099500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8254453:8255996:1 gene:Manes.14G099500.v8.1 transcript:Manes.14G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISLDPSLSMQIGKDCHHRGAVITEEIEGLIKVYKDGHTERPQIVPFVASSLAPEYGVISQDLIIDKFTNIWARFYVPTTCDGKLPLIVYFHGGGFCVGSAAWSCYHEFLARLSVNAGCLIMSVNYRLAPENPLPAAFDDGIKALIWLKQQALSSANDWLSSQCNFSNVFVAGDSAGANIAYNVIARLGSKGAAAIKPIDLKGMILIQPFFGGETRTNSEKYSLQSSRSALSLATSDTYWRLALPSGANREHPWCNPLGKGSSVKMDDLMKLPAMVCIAEMDILKDRSMEFAAALAKGRKRVKQVIHRDVGHGFQVLSKSQVSQTRTLEMLAQIQAFISG >Manes.10G114416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27547664:27548403:1 gene:Manes.10G114416.v8.1 transcript:Manes.10G114416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDRLVKYKGAGGLGFKRIHDFNLALLGKQCWRLLTRPSSIVARVIKARYFPSSSLWTTSLGHNPSYVWRSIWESWSLVQQGIVWQVGSGSDIFVWHSPWLYDPHDSFISTLPLEPYVQQILSIPLGRSWVSDCISWKLERDGEFSVKSTYKLQCSYASPSTSILGLWSKFWKCKVPPKVLNFVWRALSNVVPCYDVL >Manes.15G154550.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12821637:12825667:-1 gene:Manes.15G154550.v8.1 transcript:Manes.15G154550.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFALLVDRLLTESTLEAAIESRNRLMQATANETKIVISSQKVDSRDDSSPKKMVECRICQDEDEDCNMETPCSCCGSLKYAHRRCVPRWCNEKGNTICEICHQHFTPGYTAPPPLFQIGGIPMNLRGNWQTSGRDLHGPQFIAMVSTDRNFLNPEYEEYSASTRNSNCCRSVAIAFMVLLILRHILPVILRTNEVSFPLLMLLFLRIAGILLPVYVIMRAVTALQRRLHQQGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFAVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLFWYSGPKKCRRSFNFGGNLQRRAQPLAPFKATIFFRLEPTQFKYSRSSSHCEPCYYCNS >Manes.07G011900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1529361:1543062:1 gene:Manes.07G011900.v8.1 transcript:Manes.07G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSVNRSASVALAPDAPYIAAGTMAGAVDLSFSSFASLEIFKLDFQSDDRDLPLVGEFQSSERFNRLAWGRNGSGSDQYSLGLIAGGLVDGGIDIWNPLNLIRSESSESALVGHLSNHKGPVRGLEFNTFTPNLLASGADDGEICIWDLAAPSEPSHFPPLKGSGAAAQGEISYVSWNSKVQHILASTSLNGITVVWDLKKQKPVISVEDSVRRRCSVLQWHPDVATQLIIASDEDSSPALRLWDMRNTMTPVKEFVGHTKGVIAMSWCPSDSSYLLTCAKDNRTICWNTITGEIVRELPPGANWNFDIHWYPKIPGVISASSFDGKIGIYNIEGCSQYSTGESDFGAVTLRAPKWYKRPAGVSFCFGGKLVSFHPKSSAAGASEVLLHNLVTEHSLVSRSSEFESAIQNGEKSSLKALCEKKSQESESEDDRETWGFLKVMFEEDGTARTKMLAHLGFSVPVEEKEDLHNDISQQIDSIRLDDTATDKVGFGSVKEATDFPVDDGEDFFNNLPSPKIGTPKFTSTDGIGAGNSAPYPEEIKHEPDVLEESADPSFDDSVQHALVVGDYKGAVALCIAANKIADALVIAHVGGTSLWENTRDQYLKMSRSPYLKIVSAMVNNDLMRLVNTRPLKYWKETLALLCTFAQNEEWSLLCNSLASKLMAVGNTLAATLCFICAGNIDKTVEIWSRHLTTECKGKSYVELLQDLMEKTIVLALASGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSEELSPELMILRDRIALSTEPDKNDKTSAFENSQQQRGLPYAEQSVFGASDPSQHYYSEAAPSQVHQSVPSSPYSENYQQTLGPSYGRGYGAPTPYQPAAQAATYQPALQPGMFIPSQAPQVPQPSFAPPHAAAQQAVKTFVPSNNVPILRNAEQYQQPSLGSQLYAGTANATYHPVQPPAGSQGPITSQVGPMPGHKIPQVMAPTSTPMGFRPVTNSGVVQRPGMSSMQPPSPTQSAAVQPAVAPPAPPPTVQTVDTSNVPAHHKPVITTLTRLFNETSEALGGSRANPARKREIEDNSRKFGALFAKLNSGDISKNASDKLVQLCQALDKNDFSTALQIQVLLTTSEWDECNFWLATLKRMIKTRQGVGARSS >Manes.01G155400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34183530:34186287:1 gene:Manes.01G155400.v8.1 transcript:Manes.01G155400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKCLLVTGPPGVGKTTLIMRVFESLKTSNPNLEIQGFYTREIREGSERVGFEVVTLDGRKAPLASSSISTPESLRWPTVGKYKVDLASFESLALPELQVKEDADLFIIDEVGKMELYSSSFFPAVLKVLESNVPVLASIPVPKFGRDIPGVARLRNHPGATIFTLSPNNRDAVKEQIYRQLVDSLRKL >Manes.01G155400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34183510:34186313:1 gene:Manes.01G155400.v8.1 transcript:Manes.01G155400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKCLLVTGPPGVGKTTLIMRVFESLKTSNPNLEIQGFYTREIREGSERVGFEVVTLDGRKAPLASSSISTPESLRWPTVGKYKVDLASFESLALPELQVKEDADLFIIDEVGKMELYSSSFFPAVLKVLESNVPVLASIPVPKFGRDIPGVARLRNHPGATIFTLSPNNRDAVKEQIYRQLVDSLRKL >Manes.01G155400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34183528:34186302:1 gene:Manes.01G155400.v8.1 transcript:Manes.01G155400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGKCLLVTGPPGVGKTTLIMRVFESLKTSNPNLEIQGFYTREIREGSERVGFEVVTLDGRKAPLASSSISTPESLRWPTVGKYKVDLASFESLALPELQVKEDADLFIIDEVGKMELYSSSFFPAVLKVLESNVPVLASIPVPKFGRDIPGVARLRNHPGATIFTLSPNNRDAVKEQIYRQLVDSLRKL >Manes.03G153100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28087096:28088384:1 gene:Manes.03G153100.v8.1 transcript:Manes.03G153100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTKILLIVCLAIGIIFLSLCQPDHIPGIQYDVRVINGFTNNSSLPLVIWCSSNGDELGGRALQEGDDFSWSLRTTIWGNTHFLCTMKWDERRRQFDAFKVPRDITRCSLFRKCSWLVREDGFYFSDDEVNWKKDFSWL >Manes.10G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28388326:28394328:1 gene:Manes.10G118900.v8.1 transcript:Manes.10G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLTFRLFHAPPQKTHFIKFPLTRHEQRFAIYSPPKFRSLTTVIRSVHEDSAHVPATPAKPRWENVLSTAASLYPVYVTVGGVVACLKPSTFAWFVQRGPASYSLSLGLIMLAMGLTLELKDLISLFMQRPLSILFGCVAQYTIMPAFGAIVSKSLGLSPSLSVGLILLGCCPGGTASNVVTLIARGDVPLSIVMTLCTTLGAVILTPLLTKILAGTYVPVDAIQLSISTLQVVVAPILLGSYLQSKFPAAVKAVIPFAPLFAVLVSSLLACSVFSENVVRLKSSIVATSLPPVSSPVLFIQSVLSGELGMIILSVILLHFAGFFVGYLSAAICGFREQERRAISIEVGMQNSSLGVVLATSHFTSPMVAIPPAMSAVIMNIMGSSLGFIWRYIDPSDSDKSPKLAGK >Manes.09G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32310699:32314912:-1 gene:Manes.09G120200.v8.1 transcript:Manes.09G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRISANPRPCSGRRILAKKRPLANGFANTVKKLQRREISSKRDRAFSMSNAQERFRNMSLTEEYDTHDPKGHCSVVLPFLMKRTKVIEIVAARDIVFALAHSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSVYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFNRATSHVPLKILSIEDGTVLKAFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAELMEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAESEDQWMEGKAGSINVSNILTGKCLAKINASNGNPKCDEPSGSSSKRIHSPISTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Manes.01G049405.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:14911083:14911864:-1 gene:Manes.01G049405.v8.1 transcript:Manes.01G049405.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEPKRSPSEQRQSDPVETGQNVEGLFSPRFKSLAAMAGWDEESILIASLIVEDTPDRQFKHKKRSDLHFKTPPSASSRSPILISVPAISLEEEELPEKQENHQKEKTEIVAKEERKTEGDELKKDDSGVSCSNSVFPCMDKLREELSCAICLEICFEPSTTSCGHR >Manes.18G091900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8503791:8509577:1 gene:Manes.18G091900.v8.1 transcript:Manes.18G091900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDLRLTGHHRGKSHSSVNELNDQPVVIQNSRWASLPPELLRDVIKRLEASESAWPARKHVVACAAVCRSWREMCKEIVTGPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSKRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDHSTEFSSARFSDIVGPRDGEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.18G091900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8503925:8509343:1 gene:Manes.18G091900.v8.1 transcript:Manes.18G091900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDLRLTGHHRGKSHSSVNELNDQPVVIQNSRWASLPPELLRDVIKRLEASESAWPARKHVVACAAVCRSWREMCKEIVTGPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSKRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDHSTEFSSARFSDIVGPRDGEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.18G091900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8503925:8508996:1 gene:Manes.18G091900.v8.1 transcript:Manes.18G091900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDLRLTGHHRGKSHSSVNELNDQPVVIQNSRWASLPPELLRDVIKRLEASESAWPARKHVVACAAVCRSWREMCKEIVTGPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSKRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDHSTEFSSARFSDIVGPRDGEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.18G091900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8503793:8509343:1 gene:Manes.18G091900.v8.1 transcript:Manes.18G091900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDLRLTGHHRGKSHSSVNELNDQPVVIQNSRWASLPPELLRDVIKRLEASESAWPARKHVVACAAVCRSWREMCKEIVTGPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSKRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDHSTEFSSARFSDIVGPRDGEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.18G091900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8503925:8509343:1 gene:Manes.18G091900.v8.1 transcript:Manes.18G091900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDLRLTGHHRGKSHSSVNELNDQPVVIQNSRWASLPPELLRDVIKRLEASESAWPARKHVVACAAVCRSWREMCKEIVTGPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSKRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDHSTEFSSARFSDIVGPRDGEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.18G091900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8503925:8508996:1 gene:Manes.18G091900.v8.1 transcript:Manes.18G091900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDGFGSLSRRSFDLRLTGHHRGKSHSSVNELNDQPVVIQNSRWASLPPELLRDVIKRLEASESAWPARKHVVACAAVCRSWREMCKEIVTGPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSKRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDHSTEFSSARFSDIVGPRDGEDEGKERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.16G034601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4218872:4221936:-1 gene:Manes.16G034601.v8.1 transcript:Manes.16G034601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCCLSPLPSWTALRSINSHCHCHCHCHCHATATCSFATNRTRLQIRAMRAVVQRVTSASVEVEGRVVSEIGPGLLVLVGLHESDTDSDADYICRKVLNMRLFPNETTGRGWDQNVMQKNYGVLLVSQFTLYGMLKGNKPDFHVAMPPQKAKPFYDSLVERFRKSYRPDAIKDGEFGAMMKVNLVNDGPVTMQLDSLQSSKNTNGAEAK >Manes.01G056000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23903229:23907018:1 gene:Manes.01G056000.v8.1 transcript:Manes.01G056000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDYTRFLYYWASLILSISGVLSPTMVDSIGINYGQIANNLPSPDNVVPLVKAIGATKVKLYDADPRVLRAFANTGVEFVVGLGNEYLSKMRDPENARAWVQSNVQPHLPATKVTCITVGNEVLTFNDTSLTDHLLPAMQSVHTALVNLGLDKQVTVTTPHSLAILETSYPPSAGAFRRDLVRCITPILNFHAKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNQGIVDPASNLHYDNMLFAQIDAVSSALSSLGYKKLPIHISETGWPSKGDTDEAGATPENAKKYNGNLMKLICQKKGTPMRPNTDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYSLGISSTDAVSTNTSSSRGSVNAGTPPTGPESSSTGYLSISGATGAHETVIGHLFIASALLVIRWALRVPF >Manes.01G056000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23903229:23907155:1 gene:Manes.01G056000.v8.1 transcript:Manes.01G056000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDYTRFLYYWASLILSISGVLSPTMVDSIGINYGQIANNLPSPDNVVPLVKAIGATKVKLYDADPRVLRAFANTGVEFVVGLGNEYLSKMRDPENARAWVQSNVQPHLPATKVTCITVGNEVLTFNDTSLTDHLLPAMQSVHTALVNLGLDKQVTVTTPHSLAILETSYPPSAGAFRRDLVRCITPILNFHAKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNQGIVDPASNLHYDNMLFAQIDAVSSALSSLGYKKLPIHISETGWPSKGDTDEAGATPENAKKYNGNLMKLICQKKGTPMRPNTDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYSLGISSTDAVSTNTSSSRGSVNAGTPPTGPESSSTGYLSISGATGAHETVIGHLFIASALLVIRWALRVPF >Manes.05G053202.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4423224:4426359:1 gene:Manes.05G053202.v8.1 transcript:Manes.05G053202.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQFPSPYLFFTLCPYVLFILLGAVPFPTDVPCLKALGVSGVVTLNEPYETLVPTSLYHNIPPMERQYMFTERLVGGAVQQLFSLTLLNTGTTPGAAYGYVRSIRPRVLLASSQWRNIKQHLQLSQGIQAFSGYIGKFLSYG >Manes.05G053202.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4424076:4425281:1 gene:Manes.05G053202.v8.1 transcript:Manes.05G053202.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQFPSPYLFFTLCPYVLFILLGAVPFPTDVPCLKALGVSGVVTLNEPYETLVPTSLYHNIPPMERQYMFTERLVGGAVQQLFSLTLLNTGTTPGAAYGYVRSIRPRVLLASSQWRVCMILTLHFFVCLFLRALFLLNNKCGNFPVMN >Manes.05G053202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4423224:4427811:1 gene:Manes.05G053202.v8.1 transcript:Manes.05G053202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQFPSPYLFFTLCPYVLFILLGAVPFPTDVPCLKALGVSGVVTLNEPYETLVPTSLYHNIPPMERQYMFTERLVGGAVQQLFSLTLLNTGTTPGAAYGYVRSIRPRVLLASSQWRVEVAPSTFFSSEQFEVLDVNFSASRICRRGYNSILFRYFMLLTGLSPLSPSLHCFFPLKKKPFSSLERDLTKTDFAWIIVAQISGRASNA >Manes.05G035700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2978207:2979568:1 gene:Manes.05G035700.v8.1 transcript:Manes.05G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEMEVQIISKENVKPSSPTPTHQRIFKFSLLDQLLPSSYAPLILFYPMKKNSTHLKVPSRLSLLKSSLSQTLTWFYPLAGKIKDELSINCNDEGACFAEARVSCSLHEFLTQPDLLLIHNKLLPCEFSFKELAPGSYVVCIQANIFSCGGIAISICISHKIIDGAALETFIKGWTSAARGCNQPIYPNFLSASLFPANDELWFKDSSSPMWGSFVRKGSCITRRFVFDSSAIAKLKAEATSSGVKCPTRIEVVSAFLWKAMIAASAELHGFQRPSLLTHLVNLRRTMEPSFFTENSLGNLLWLAAAKYAAESKPEFSNLVSEVKKAVSRIDAQFFRQIKGEEGKSVMSEFFKTVAEMGSGDEVDCFGFSSWSHFGYYGADFGWGKPVWVSSIGLTASVFMNIIILVDTRLGDGIEAWVTLDETDMAILAGNPELLKLALLDPSPLLFDDSV >Manes.07G054720.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:7962611:7963245:-1 gene:Manes.07G054720.v8.1 transcript:Manes.07G054720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQFSIYNRIKYFSKKAQNKMVEILQKLTTEEVVKNWITKRVQFSTVSYAGKLTLQAEPSFGAQKAQYQALLTAAKTPKEYKMICQQMFNHLASGESIKKEKIKQTSNKESSKKSSDKKYQRRHSAEERDSPVRKRSQQRHLRRHPPVKTRHLHTVIAMKTTAIKFFQQ >Manes.02G202166.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18831323:18836263:-1 gene:Manes.02G202166.v8.1 transcript:Manes.02G202166.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGIQIASASASTSFSSPSSTPQWKYDVFLSFRGEDTRTSFTDHLYAALNQKGIVAFRDDRSLQRGKEIAPELLKAIEDSRLSIVILSRNYASSTWCLDELVKILDCMNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPKIGQKIVWKESPDEPGKRSRLWVDKDVYHVLTENSGTEAVEMMTANFLGRKNINLSAKALSNMRKLRLLKMANVQLSQGLEFLSNEIRLLEWHGYPLKSLPLCFKPEKLVELRMPCSCIKQLWSGIITLDGLKFIDLSHSQALRRTPDFTRAPNLEKLVLEGCTSLIEVHPSIFLLKRLIILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPGVVVPSDIGCLSSLEDLDLSFNKFASLPASISQLSSLTLLRLVGCKWLQSLPELPANVKYVFAGGCIALENIPNPLKPCTLRVLKLDLFNCHGLVDHHNLSSWACKLLKTHLKGLSSETSFFKFGVCIPGSEIPEWFKNQNMGSSISVDLPPHRNNDNLMGFAFCANFILRPSASSNYGYEKKTRSRDFILGCRIKNDQGEDDLIDSSFYLTTLEENSAQIGLDHLWLVCKRLHSISKTASLDGHDPNGSSLSFWAQSVFSNVEVEYRKCGFRFAYSQDLEE >Manes.02G202166.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18831458:18841543:-1 gene:Manes.02G202166.v8.1 transcript:Manes.02G202166.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGIQIASASASTSFSSPSSTPQWKYDVFLSFRGEDTRTSFTDHLYAALNQKGIVAFRDDRSLQRGKEIAPELLKAIEDSRLSIVILSRNYASSTWCLDELVKILDCMNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPSEKLIGIDDHLEKMNSCLAMRFDDVRLVGIHGMGGIGKTTLAREIFDRISNQFESSSFVANVREVSEKSGLCALQHQLLSELLNEKNIKIWDVCKGSNMIRNRICRKRVLVVLDDVDKRDQLEHLAGNHDWFGLGSRIIITTRDVHLLVQHNVDEVYKMETLNHCYALKLFSLKAFKSDNPMEGFIDLSEEIVRYAQGLPLALKVLGCFFYGKSLDEWTSALGRIKEDSEKEIFDRLEISFHGLKPTEKKIFLDIACVFKGMDKDYVMNLLDSFGFHAAIGIRVLIDKSLLTIIGNNTLWMHDLLQEIGQKIVWKESPDEPGKRSRLWVDKDVYHVLTENSGTEAVEMMTANFLGRKNINLSAKALSNMRKLRLLKMANVQLSQGLEFLSNEIRLLEWHGYPLKSLPLCFKPEKLVELRMPCSCIKQLWSGIITLDGLKFIDLSHSQALRRTPDFTRAPNLEKLVLEGCTSLIEVHPSIFLLKRLIILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPGVVVPSDIGCLSSLEDLDLSFNKFASLPASISQLSSLTLLRLVGCKWLQSLPELPANVKYVFAGGCIALENIPNPLKPCTLRVLKLDLFNCHGLVDHHNLSSWACKLLKTHLKGLSSETSFFKFGVCIPGSEIPEWFKNQNMGSSISVDLPPHRNNDNLMGFAFCANFILRPSASSNYGYEKKTRSRDFILGCRIKNDQGEDDLIDSSFYLTTLEENSAQIGLDHLWLVCKRLHSISKTASLDGHDPNGSSLSFWAQSVFSNVEVEYRKCGFRFAYSQDLEE >Manes.02G202166.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18832299:18841543:-1 gene:Manes.02G202166.v8.1 transcript:Manes.02G202166.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGIQIASASASTSFSSPSSTPQWKYDVFLSFRGEDTRTSFTDHLYAALNQKGIVAFRDDRSLQRGKEIAPELLKAIEDSRLSIVILSRNYASSTWCLDELVKILDCMNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPSEKLIGIDDHLEKMNSCLAMRFDDVRLVGIHGMGGIGKTTLAREIFDRISNQFESSSFVANVREVSEKSGLCALQHQLLSELLNEKNIKIWDVCKGSNMIRNRICRKRVLVVLDDVDKRDQLEHLAGNHDWFGLGSRIIITTRDVHLLVQHNVDEVYKMETLNHCYALKLFSLKAFKSDNPMEGFIDLSEEIVRYAQGLPLALKVLGCFFYGKSLDEWTSALGRIKEDSEKEIFDRLEISFHGLKPTEKKIFLDIACVFKGMDKDYVMNLLDSFGFHAAIGIRVLIDKSLLTIIGNNTLWMHDLLQEIGQKIVWKESPDEPGKRSRLWVDKDVYHVLTENSGTEAVEMMTANFLGRKNINLSAKALSNMRKLRLLKMANVQLSQGLEFLSNEIRLLEWHGYPLKSLPLCFKPEKLVELRMPCSCIKQLWSGIITLDGLKFIDLSHSQALRRTPDFTRAPNLEKLVLEGCTSLIEVHPSIFLLKRLIILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPGVVVPSDIGCLSSLEDLDLSFNKFASLPASISQLSSLTLLRLVGCKWLQSLPELPANVKYVFAGGCIALENIPNPLKPCTLRVLKLDLFNCHGLVDHHNLSSWACKLLKTHLKVSLSLLVCAHTYKHI >Manes.02G202166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18831323:18836263:-1 gene:Manes.02G202166.v8.1 transcript:Manes.02G202166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGIQIASASASTSFSSPSSTPQWKYDVFLSFRGEDTRTSFTDHLYAALNQKGIVAFRDDRSLQRGKEIAPELLKAIEDSRLSIVILSRNYASSTWCLDELVKILDCMNTKGQIIFPIFYNVDPSDVRKQKGYFEKAFAKHDEDFGQNAEKVNKWRTTLTKVANLSGWDSHNRHETELIQDIVEAILARLNHAVSAPSEKLIGIDDHLEKMNSCLAMRFDDVRLVGIHGMGGIGKTTLAREIFDRISNQFESSSFVANVREVSEKSGLCALQHQLLSELLNEKNIKIWDVCKGSNMIRNRICRKRVLVVLDDVDKRDQLEHLAGNHDWFGLGSRIIITTRDVHLLVQHNVDEVYKMETLNHCYALKLFSLKAFKSDNPMEGFIDLSEEIVRYAQGLPLALKVLGCFFYGKSLDEWTSALGRIKEDSEKEIFDRLEISFHGLKPTEKKIFLDIACVFKGMDKDYVMNLLDSFGFHAAIGIRVLIDKSLLTIIGNNTLWMHDLLQEIGQKIVWKESPDEPGKRSRLWVDKDVYHVLTENSGTEAVEMMTANFLGRKNINLSAKALSNMRKLRLLKMANVQLSQGLEFLSNEIRLLEWHGYPLKSLPLCFKPEKLVELRMPCSCIKQLWSGIITLDGLKFIDLSHSQALRRTPDFTRAPNLEKLVLEGCTSLIEVHPSIFLLKRLIILNMKDCIGLQTLPISIEMPSLQVLILSGCFKLKKFPEIKGNMEHLLELHLEGTAIVELPLSIEHLSGLVLLNLRNCKRLISLPSNIFHYISLKTLILSGCSKFDKFPGKLGNEECLEELDISGTAVRQLPSSIVLLKNLRRLTWQGCEVQPSEPWSSLLNYFSSWQSLTSLNLSNCNFPGVVVPSDIGCLSSLEDLDLSFNKFASLPASISQLSSLTLLRLVGCKWLQSLPELPANVKYVFAGGCIALENIPNPLKPCTLRVLKLDLFNCHGLVDHHNLSSWACKLLKTHLKGLSSETSFFKFGVCIPGSEIPEWFKNQNMGSSISVDLPPHRNNDNLMGFAFCANFILRPSASSNYGYEKKTRSRDFILGCRIKNDQGEDDLIDSSFYLTTLEENSAQIGLDHLWLVCKRLHSISKTASLDGHDPNGSSLSFWAQSVFSNVEVEYRKCGFRFAYSQDLEE >Manes.03G213200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:33043889:33045654:-1 gene:Manes.03G213200.v8.1 transcript:Manes.03G213200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTERLDAPLHAIGFEIEELSPHKVTGRLLVTHKCVQPFKVLHGGVSALISEALASMGAHMASGFRRVAGIHLSISHLKRAELGDLILAEAAPLNLGKTIQVWEVQLWKVDPSNTESKSLVSSSRVTLLCNMPVPENAKGAADNLKKYAKL >Manes.03G213200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:33043889:33045648:-1 gene:Manes.03G213200.v8.1 transcript:Manes.03G213200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTERLDAPLHAIGFEIEELSPHKVTGRLLVTHKCVQPFKVLHGGVSALISEALASMGAHMASGFRRVAGIHLSISHLKRAELGDLILAEAAPLNLGKTIQVWEVQLWKVDPSNTESKSLVSSSRVTLLCNMPVPENAKGAADNLKK >Manes.07G116800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32243262:32247082:1 gene:Manes.07G116800.v8.1 transcript:Manes.07G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSVRIKAESPLHHGADPKSGSKGGYDASGTSSTVPSTPRTEGEILQSSNLKSFSFGELKAATRNFRPDSVLGEGGFGCVYKGWIDEHSLTPARPGTGMVIAVKRLNQESFQGHQEWLTEINFLGQLYHPNLVKLIGYCLEDDHRLLVYEFMPKGSLENHLFRRASYVQPLSWNLRIKIALDAAKGLAFLHSDKAKVIYRDLKASNILLDSNYNAKLSDFGLAKDGPTGSKSHVSTRVMGTYGYAAPEYMATGHLTKKSDIYSFGVVLLEILSGRRAIDKSKPSREQNLVDWARPYLGSKRKVFQVMDARLEGQYSLKDALKAANLAVQCLSTEPRFRPKVEELVKALELLLESNDNEESRGSQSEKPRKRYRSSSNDPKNRRSTKEISAGKAASNPKPTASPLRA >Manes.07G116800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32243262:32247082:1 gene:Manes.07G116800.v8.1 transcript:Manes.07G116800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVKRLNQESFQGHQEWLTEINFLGQLYHPNLVKLIGYCLEDDHRLLVYEFMPKGSLENHLFRRASYVQPLSWNLRIKIALDAAKGLAFLHSDKAKVIYRDLKASNILLDSNYNAKLSDFGLAKDGPTGSKSHVSTRVMGTYGYAAPEYMATGHLTKKSDIYSFGVVLLEILSGRRAIDKSKPSREQNLVDWARPYLGSKRKVFQVMDARLEGQYSLKDALKAANLAVQCLSTEPRFRPKVEELVKALELLLESNDNEESRGSQSEKPRKRYRSSSNDPKNRRSTKEISAGKAASNPKPTASPLRA >Manes.01G039300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7071756:7074519:-1 gene:Manes.01G039300.v8.1 transcript:Manes.01G039300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAKTKTTTTVALRRRTQAPNPLSSSLYADNAADYDDACCNECGSGHSPAELLLCDKCDGGYHLFCLRPILVSVPKGSWFCPSCSNLKKPKSFPLVQTKIIDFFRIQRSPELIQKLSQDSLKKRKRSSSLVMSKKKRKLLPFTPSEDPERRLEQMRSLATALTASGTEYSNELTYQPGMAPRSANCPALEKGGMQVLPKEDIEALNMCKRMMDRGECPPLMVVFDPKEGFTVEADRSIKDLTIITEYVGDVDYLKNRENDDGDSMMTLLCAANPSQSLVICPDKRGNIARFINGINNHSLDGKKKQNLKCVRFNVNGSCRVLLIANRDIKKGERLYYDYNGYEHEYPTEHFV >Manes.02G064100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4909284:4910213:1 gene:Manes.02G064100.v8.1 transcript:Manes.02G064100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASVQSQNSNWTLEQHKLFEDALAIYDKDTPDRWSNIAKAVGRTTEEEVKLQFEILVSDISDIESDKVPLPNYEDEGIIREETTTINNEQKIRNTAELRMKKL >Manes.02G064100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4909284:4910213:1 gene:Manes.02G064100.v8.1 transcript:Manes.02G064100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASVQSQNSNWTLEQHKLFEDALAIYDKDTPDRWSNIAKAVGRTTEEEVKLQFEILVSDISDIESDKVPLPNYEDEGIIREETTTINNEQKIRGILQN >Manes.12G104500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28354339:28357399:1 gene:Manes.12G104500.v8.1 transcript:Manes.12G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAEIDRLPIDLLAHIFVLINSFSDLAQASSVCRKWKEAVKQSLARRHSLSFAGLKMDDNSTARLVGYAYSLKELDISRSCWGCQITDNGLYEISLAKCISNLTSISLWGMTGITDYGVVQLISRANSLQHLNVGGTFITDESLFVIANSCPNLKSIGLWSCRHVTEIGLLNLVNKCRKLESINLWGTRVPVDCFIGLLTISPALQIKHAGFLINTGSTAMLPVA >Manes.14G102400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8488933:8492642:1 gene:Manes.14G102400.v8.1 transcript:Manes.14G102400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDKNVANAIGGKKARACDSCIKRRARWFCAADDAFLCQACDSSVHSANPLARRHERVRLKTVSLKHLDIVPKENYVPSWHRGFTRKARTPRCGKPASHSKFEEKLRNSIPLVPEGSDEISHEENEEEHLLYRVPIFEPFASQLCISTTISNNEAETSAAAADVSDQTVADANGIESKASFGSRGEDIGSLQRFFQSDMDFAEFDADVESLLGRGLENESFGMEELGLVESKEEELQREYYQGRGKVKLEEEEAGAEKKAARDYRVDTDIEMARELPFELSFNYDSATCGEEDEKLLGTQNRDLNNKEDGENLKKKKKRKILLQLDYEAVITAWASQGCPWTTGNGPDVDPEECWQECCMVIKGISGAEIDDHGYGDHTSGVGVQQPATANGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFATPAFPLRTT >Manes.14G102400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8488933:8492642:1 gene:Manes.14G102400.v8.1 transcript:Manes.14G102400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDKNVANAIGGKKARACDSCIKRRARWFCAADDAFLCQACDSSVHSANPLARRHERVRLKTVSLKHLDIVPKENYVPSWHRGFTRKARTPRCGKPASHSKFEEKLRNSIPLVPEGSDEISHEENEEEHLLYRVPIFEPFASQLCISTTISNNEAETSAAAADVSDQTVADANGIESKASFGSRGEDIGSLQRFFQSDMDFAEFDADVESLLGRGLENESFGMEELGLVESKEEELQREYYQGRGKVKLEEEEAGAEKKAARDYRVDTDIEMARELPFELSFNYDSATCGEEDEKLLGTQNRDLNNKEDGENLKKKKKRKILLQLDYEAVITAWASQGCPWTTGNGPDVDPEECWQECCMVIKGISGAEIDDHGYGDHTSGVGVQQPATANGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFATPAFPLRTT >Manes.14G102400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8488933:8492642:1 gene:Manes.14G102400.v8.1 transcript:Manes.14G102400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDKNVANAIGGKKARACDSCIKRRARWFCAADDAFLCQACDSSVHSANPLARRHERVRLKTVSLKHLDIVPKENYVPSWHRGFTRKARTPRCGKPASHSKFEEKLRNSIPLVPEGSDEISHEENEEEHLLYRVPIFEPFASQLCISTTISNNEAETSAAAADVSDQTVADANGIESKASFGSRGEDIGSLQRFFQSDMDFAEFDADVESLLGRGLENESFGMEELGLVESKEEELQREYYQGRGKVKLEEEEAGAEKKAARDYRVDTDIEMARELPFELSFNYDSATCGEEDEKLLGTQNRDLNNKEDGENLKKKKKRKILLQLDYEAVITAWASQGCPWTTGNGPDVDPEECWQECCMGISGAEIDDHGYGDHTSGVGVQQPATANGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFATPAFPLRTT >Manes.10G096900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24454511:24457219:1 gene:Manes.10G096900.v8.1 transcript:Manes.10G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKFAVLLCAEDSEYVKKKYGGYFGVFVRMLGEEGETWVVYKVASGEFPDDDEIKTFDGFVITGSCNDAHGTDLWIRKLLILLNKLNSMKKKVLGICFGHQILARSLGGKTGRAVSGWDMGITAIHFASSTKLFSSVKVPASLSVIECHRDEVRELPPEAEVIAWSDKTGIEMFRYEDHIMGIQGHPEYNRDILTHLLDRLLQRNLIVESFADEVKANLDGQEPDREAWKKLCTNFLKGRL >Manes.08G164911.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39982172:39986433:-1 gene:Manes.08G164911.v8.1 transcript:Manes.08G164911.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSWDMKVQPNFCPTPPSLPSIHGMSPLFLVCSSRLKGSLKYFSGPCSVMRNNDVKEVIAMKNNNSVAMPTKESASGEELSAESYNYCICDTCKVGNIDDAMTLLAQMESLGCRPNYSSYTSLIEALLNVGRTLEADALFQEMVCFGLKPRLRLYNIMLRGLLKKGLLGLADRVLKMMEELGVSRNQETYEILLDYNVNAGRLEDTWSLINEMKVKGFQLNSFVYSKVIGLYRDNGMWKKAIGIIEEIREMGIPVDKHIYNSIIDTFGKYGELEEALEVFSEMQQQGIRPDIVTWNYLIHWHCKSGKLSKALHLLAKMQEKGFYPDPKIFITIIGGLAEQGKWDTIKENFEIMKSWGHKESGAIYAILVDIYGQYGKFQDAEECISALMSEGIRPSASMFCVLANAYAQQGLCDQTVKVLQLMEAEGIEPNLVMLNVLINAFGVAGKHMEALSIYHLMKENGISPDVVTYSTLMKAFIRARKFDKVPQIYNEMESSGCTPDRKAREILQTALIVLERRHCKNPD >Manes.08G164911.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39982172:39986433:-1 gene:Manes.08G164911.v8.1 transcript:Manes.08G164911.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSWDMKVQPNFCPTPPSLPSIHGMSPLFLVCSSRLKGSLKYFSGPCSVMRNNDVKEVIAMKNNNSVAMPTKESASGEELSAESYNYCICDTCKVGNIDDAMTLLAQMESLGCRPNYSSYTSLIEALLNVGRTLEADALFQEMVCFGLKPRLRLYNIMLRGLLKKGLLGLADRVLKMMEELGVSRNQETYEILLDYNVNAGRLEDTWSLINEMKVKGFQLNSFVYSKVIGLYRDNGMWKKAIGIIEEIREMGIPVDKHIYNSIIDTFGKYGELEEALEVFSEMQQQGIRPDIVTWNYLIHWHCKSGKLSKALHLLAKMQEKGFYPDPKIFITIIGGLAEQGKWDTIKENFEIMKSWGHKESGAIYAILVDIYGQYGKFQDAEECISALMSEGIRPSASMFCVLANAYAQQGLCDQTVKVLQLMEAEGIEPNLVMLNVLINAFGVAGKHMEALSIYHLMKENGISPDVVTYSTLMKAFIRARKFDKVPQIYNEMESSGCTPDRKAREILQTALIVLERRHCKSDISGESRLMLLN >Manes.08G164911.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39982172:39986433:-1 gene:Manes.08G164911.v8.1 transcript:Manes.08G164911.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSWDMKVQPNFCPTPPSLPSIHGMSPLFLVCSSRLKGSLKYFSGPCSVMRNNDVKEVIAMKNNNSVAMPTKESASGEELSAESYNYCICDTCKVGNIDDAMTLLAQMESLGCRPNYSSYTSLIEALLNVGRTLEADALFQEMVCFGLKPRLRLYNIMLRGLLKKGLLGLADRVLKMMEELGVSRNQETYEILLDYNVNAGRLEDTWSLINEMKVKGFQLNSFVYSKVIGLYRDNGMWKKAIGIIEEIREMGIPVDKHIYNSIIDTFGKYGELEEALEVFSEMQQQGIRPDIVTWNYLIHWHCKSGKLSKALHLLAKMQEKGFYPDPKIFITIIGGLAEQGKWDTIKENFEIMKSWGHKESGAIYAILVDIYGQYGKFQDAEECISALMSEGIRPSASMFCVLANAYAQQGLCDQTVKVLQLMEAEGIEPNLVMLNVLINAFGVAGKHMEALSIYHLMKENGISPDVVTYSTLMKAFIRARKFDKVPQIYNEMESSGCTPDRKAREILQTALIVLERRHCKNPD >Manes.08G164911.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39982172:39986433:-1 gene:Manes.08G164911.v8.1 transcript:Manes.08G164911.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSWDMKVQPNFCPTPPSLPSIHGMSPLFLVCSSRLKGSLKYFSGPCSVMRNNDVKEVIAMKNNNSVAMPTKESASGEELSAESYNYCICDTCKVGNIDDAMTLLAQMESLGCRPNYSSYTSLIEALLNVGRTLEADALFQEMVCFGLKPRLRLYNIMLRGLLKKGLLGLADRVLKMMEELGVSRNQETYEILLDYNVNAGRLEDTWSLINEMKVKGFQLNSFVYSKVIGLYRDNGMWKKAIGIIEEIREMGIPVDKHIYNSIIDTFGKYGELEEALEVFSEMQQQGIRPDIVTWNYLIHWHCKSGKLSKALHLLAKMQEKGFYPDPKIFITIIGGLAEQGKWDTIKENFEIMKSWGHKESGAIYAILVDIYGQYGKFQDAEECISALMSEGIRPSASMFCVLANAYAQQGLCDQTVKVLQLMEAEGIEPNLVMLNVLINAFGVAGKHMEALSIYHLMKENGISPDVVTYSTLMKAFIRARKFDKVPQIYNEMESSGCTPDRKAREILQTALIVLERRHCKSDISGESRLMLLN >Manes.10G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3437025:3440464:1 gene:Manes.10G034600.v8.1 transcript:Manes.10G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWAKFCQKAKPFLAVIFLQFGYAGMSIISKFALNKGMSPHVLVVYRHLVATIVIAPFAIVFDRKVRPKMNISIFAKILLLGLLEPTIDQNLYYTGMKYTTATFASTMCNILPAFAFLMAWALRLEKVNLRKLHSQAKILGTLVTVGGAMLMTLIKGPKLDFPWTKGYDVHGSTSALTSNDTIKGAFMIGVGCLCWSGFIILQAITLKTYPAELSLTALICLMGTIEGSIFAVIMERGNPSAWSLHFDSRLLAAVYSGVICSGVAYYVQGVIMKSKGPVFVTAFNPLSMVIVTILGSFVLSEIVYLGRVIGALAIVIGLYLVLWGKSKDQSPLTCSNDKVAATTSQMDGSLESSNQESVAIDVTKLRPTHESV >Manes.10G034600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3437025:3440464:1 gene:Manes.10G034600.v8.1 transcript:Manes.10G034600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISIFAKILLLGLLEPTIDQNLYYTGMKYTTATFASTMCNILPAFAFLMAWALRLEKVNLRKLHSQAKILGTLVTVGGAMLMTLIKGPKLDFPWTKGYDVHGSTSALTSNDTIKGAFMIGVGCLCWSGFIILQAITLKTYPAELSLTALICLMGTIEGSIFAVIMERGNPSAWSLHFDSRLLAAVYSGVICSGVAYYVQGVIMKSKGPVFVTAFNPLSMVIVTILGSFVLSEIVYLGRVIGALAIVIGLYLVLWGKSKDQSPLTCSNDKVAATTSQMDGSLESSNQESVAIDVTKLRPTHESV >Manes.14G056036.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4795847:4802670:-1 gene:Manes.14G056036.v8.1 transcript:Manes.14G056036.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGFLTDEQREMLKIASLNVDNLSSPPKSLSSSPKSPSMLLSEHHIKAPTAGKATNAGMAVRHVRRSHSGKLVRVKKDGGGGKGTWGKLLDTEGESHIDRNDPNYDSGEEPYQLVGATISDPLDEYKKAVVSIIEEYFSTGDVEVAASDLRELGSSQYHPYFIKRLVSIAMDRHDKEKEMASVLLSGLYAVVISPSQIRDGFVILLESADDLAVDILDAVDILALFVARAVVDDILPPAFLTRAKKTLPESSKGFQVLQTAEKSYLSAPHHAELVERRWGGSTHITVEEVKKKIADLLREYVENGDAFEACRCIRELGVSFFHHEVVKRALILGMEIQSAEPHILKLLKEASEEGLISSSQMMKGFARLTESLDDLALDIPSAKSLFQSLVPKAIAEGWLDASFLKSSTEDGQVLAEGKKLGQYKEEVVTIIHEYFLSDDIPELIRSLEDLGMPEFNPVFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVTGFVMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEISSKLPPNCSGSETLHMARSLIAARHAGERILRCWGGGTGWAVEDAKDKIQKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKSLVMAMEKKNDRMLDLLEECFNEGLITINQMTKGFTRIKDGLDDLALDIPNAEEKFSFYLDYASKKGWLQASFGSSVAAAT >Manes.14G056036.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4795847:4802670:-1 gene:Manes.14G056036.v8.1 transcript:Manes.14G056036.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGFLTDEQREMLKIASLNVDNLSSPPKSLSSSPKSPSMLLSEHHIKAPTAGKATNAGMAVRHVRRSHSGKLVRVKKDGGGGKGTWGKLLDTEGESHIDRNDPNYDSGEEPYQLVGATISDPLDEYKKAVVSIIEEYFSTGDVEVAASDLRELGSSQYHPYFIKRLVSIAMDRHDKEKEMASVLLSGLYAVVISPSQIRDGFVILLESADDLAVDILDAVDILALFVARAVVDDILPPAFLTRAKKTLPESSKGFQVLQTAEKSYLSAPHHAELVERRWGGSTHITVEEVKKKIADLLREYVENGDAFEACRCIRELGVSFFHHEVVKRALILGMEIQSAEPHILKLLKEASEEGLISSSQMMKGFARLTESLDDLALDIPSAKSLFQSLVPKAIAEGWLDASFLKSSTEDGQVLAEGKKLGQYKEEVVTIIHEYFLSDDIPELIRSLEDLGMPEFNPVFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVTGFVMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEISSKLPPNCSGSETLHMARSLIAARHAGERILRCWGGGTGWAVEDAKDKIQKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKSLVMAMEKKNDRMLDLLEECFNEGLITINQMTKGFTRIKDGLDDLALDIPNAEEKFSFYLDYASKKGWLQASFGSSVAAAT >Manes.04G103800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30889544:30896382:-1 gene:Manes.04G103800.v8.1 transcript:Manes.04G103800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDEESDISESEINDYKDKPYEELRAGKYKVKVNGMLRCPFCAGKKKQDYKYKDLLQHASGVSKGSANRRGKQKANHLALSLYLETDLANEADQIQNPVLPQPANQTPEQADVFTWPWMGIVVNIVTDPKNDNAALQSGYWLKKFAQYKPLEVYTFWSEQEQTAQAVVKFNNDWNGFVNATEFEKSFETVHHGKKDWKERKTHPGSTIYGWCARADDHASEGPIGEYLCREGKLRTISSIVQEATESRNIVVAHLANKIDQTNENLDELQYKYNEKTMSLSRMLEEKDKLHYAFLEETRKMQRLARDNVHRIMEETQNLNDELEAKKRKLDCWSKELNKREAITERERQKLDEEKKMNDVRNNSLQLASMEQKKADENVLRLVEEQKREKEEALNKILQLEKQLDAKQKLELEIEELKGKLQVMKHLGDDNDAAVQKTMKEMNDELEEKIDDLTAGESLNQTLIVKERQSNDELQEARKELIQGLKGTLSSTVRTNIGVKRMGEIDEKPFFNTCKLRFPPEEAQVQATTLCSLWQENLKNPDWHPFKIINNAQGNSQMQEIVDEEDEKLQNLKQEWGNDIYMAVITALKELNEYNPSGRYVVAELWNFKEQRKATLKEVIAYIVKNIKTLKRKR >Manes.04G103800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30889544:30896382:-1 gene:Manes.04G103800.v8.1 transcript:Manes.04G103800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDEESDISESEINDYKDKPYEELRAGKYKVKVNGMLRCPFCAGKKKQDYKYKDLLQHASGVSKGSANRRGKQKANHLALSLYLETDLANEADQIQNPVLPQPANQTPEQADVFTWPWMGIVVNIVTDPKNDNAALQSGYWLKKFAQYKPLEVYTFWSEQEQTAQAVVKFNNDWNGFVNATEFEKSFETVHHGKKDWKERKTHPGSTIYGWCARADDHASEGPIGEYLCREGKLRTISSIVQEATESRNIVVAHLANKIDQTNENLDELQYKYNEKTMSLSRMLEEKDKLHYAFLEETRKMQRLARDNVHRIMEETQNLNDELEAKKRKLDCWSKELNKREAITERERQKLDEEKKMNDVRNNSLQLASMEQKKADENVLRLVEEQKREKEEALNKILQLEKQLDAKQKLELEIEELKGKLQVMKHLGDDNDAAVQKTMKEMNDELEEKIDDLTAGESLNQTLIVKERQSNDELQEARKELIQGLKGTLSSTVRTNIGVKRMGEIDEKPFFNTCKLRFPPEEAQVQATTLCSLWQENLKNPDWHPFKIINNAQGNSQEIVDEEDEKLQNLKQEWGNDIYMAVITALKELNEYNPSGRYVVAELWNFKEQRKATLKEVIAYIVKNIKTLKRKR >Manes.04G103800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30889544:30896382:-1 gene:Manes.04G103800.v8.1 transcript:Manes.04G103800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDEESDISESEINDYKDKPYEELRAGKYKVKVNGMLRCPFCAGKKKQDYKYKDLLQHASGVSKGSANRRGKQKANHLALSLYLETDLANEADQIQNPVLPQPANQTPEQADVFTWPWMGIVVNIVTDPKNDNAALQSGYWLKKFAQYKPLEVYTFWSEQEQTAQAVVKFNNDWNGFVNATEFEKSFETVHHGKKDWKERKTHPGSTIYGWCARADDHASEGPIGEYLCREGKLRTISSIVQEATESRNIVVAHLANKIDQTNENLDELQYKYNEKTMSLSRMLEEKDKLHYAFLEETRKMQRLARDNVHRIMEETQNLNDELEAKKRKLDCWSKELNKREAITERERQKLDEEKKMNDVRNNSLQLASMEQKKADENVLRLVEEQKREKEEALNKILQLEKQLDAKQKLELEIEELKGKLQVMKHLGDDNDAAVQKTMKEMNDELEEKIDDLTAGESLNQTLIVKERQSNDELQEARKELIQGLKGTLSSTVRTNIGVKRMGEIDEKPFFNTCKLRFPPEEAQVQATTLCSLWQENLKNPDWHPFKIINNAQGNSQMQEIVDEEDEKLQNLKQEWGNDIYMAVITALKELNEYNPSGRYVVAELWNFKEQRKATLKEVIAYIVKNIKTLKRKR >Manes.04G103800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30889544:30896382:-1 gene:Manes.04G103800.v8.1 transcript:Manes.04G103800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSDEESDISESEINDYKDKPYEELRAGKYKVKVNGMLRCPFCAGKKKQDYKYKDLLQHASGVSKGSANRRGKQKANHLALSLYLETDLANEADQIQNPVLPQPANQTPEQADVFTWPWMGIVVNIVTDPKNDNAALQSGYWLKKFAQYKPLEVYTFWSEQEQTAQAVVKFNNDWNGFVNATEFEKSFETVHHGKKDWKERKTHPGSTIYGWCARADDHASEGPIGEYLCREGKLRTISSIVQEATESRNIVVAHLANKIDQTNENLDELQYKYNEKTMSLSRMLEEKDKLHYAFLEETRKMQRLARDNVHRIMEETQNLNDELEAKKRKLDCWSKELNKREAITERERQKLDEEKKMNDVRNNSLQLASMEQKKADENVLRLVEEQKREKEEALNKILQLEKQLDAKQKLELEIEELKGKLQVMKHLGDDNDAAVQKTMKEMNDELEEKIDDLTAGESLNQTLIVKERQSNDELQEARKELIQGLKGTLSSTVRTNIGVKRMGEIDEKPFFNTCKLRFPPEEAQVQATTLCSLWQENLKNPDWHPFKIINNAQGNSQEIVDEEDEKLQNLKQEWGNDIYMAVITALKELNEYNPSGRYVVAELWNFKEQRKATLKEVIAYIVKNIKTLKRKR >Manes.17G051300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24826455:24830175:-1 gene:Manes.17G051300.v8.1 transcript:Manes.17G051300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNSHHHQWQHDITPSSLLSSACHRTPCASVPGCEERSSEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRHLQNSMQQTHQAPSVTTSIPTLTAPSSSPSSSSEKSSPKASQGTLSLTTPTVVDIFNSPTGSVNQTYFQAHNEFVPEPFFFQAHQTGAGGGARPLTQGFCFSDLPNLVQVQDNTVGPCTSLLLSEIMSSEASKKYLYHEDKNLKIQPRLSYPVTAPISHCIGFAPLPLPTTHPNNVTVPSTISQIQGESASVGSSGAVRSTVFINDVAFEVGVGPFNLREAFGDDFVLIHSSGQPVLTNEWGVTFHSLQRGASYYLVPISMNEHI >Manes.17G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24826455:24830174:-1 gene:Manes.17G051300.v8.1 transcript:Manes.17G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNSHHHQWQHDITPSSLLSSACHRTPCASGVPGCEERSSEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRHLQNSMQQTHQAPSVTTSIPTLTAPSSSPSSSSEKSSPKASQGTLSLTTPTVVDIFNSPTGSVNQTYFQAHNEFVPEPFFFQAHQTGAGGGARPLTQGFCFSDLPNLVQVQDNTVGPCTSLLLSEIMSSEASKKYLYHEDKNLKIQPRLSYPVTAPISHCIGFAPLPLPTTHPNNVTVPSTISQIQGESASVGSSGAVRSTVFINDVAFEVGVGPFNLREAFGDDFVLIHSSGQPVLTNEWGVTFHSLQRGASYYLVPISMNEHI >Manes.02G098200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7661253:7662453:1 gene:Manes.02G098200.v8.1 transcript:Manes.02G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQDQYQAGSYKDEYGNPIRPTDEYGYPIQQTGTPGTGTYGTGGAYAGAPESGTDFGVGHDKDRHGVSGMLHRSGSSSSSSSEDDGHGGRRKKGLKQKIKEKLPGHHKEEKSHATSTTTPGGYHAAEEHHQSGGIVDKIKEKLPGAHHHTHQQGHHY >Manes.02G215060.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35783522:35787441:1 gene:Manes.02G215060.v8.1 transcript:Manes.02G215060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTTSTSGRAFESIEIFEQDPSVRKALIVCRVIAGRVHKPLDNIQEISGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVKSTALGNSEISL >Manes.02G215060.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35783522:35787441:1 gene:Manes.02G215060.v8.1 transcript:Manes.02G215060.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTTSTSGRAFESIEIFEQDPSVRKALIVCRVIAGRVHKPLDNIQEISGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKT >Manes.02G215060.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35783522:35784393:1 gene:Manes.02G215060.v8.1 transcript:Manes.02G215060.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTTSTSGRAFESIEIFEQDPSVRKALIVCRVIAGRVHKPLDNIQEISGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKT >Manes.12G125500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33154898:33160133:-1 gene:Manes.12G125500.v8.1 transcript:Manes.12G125500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATNWLSFSLSPMEMLRSSESQFTSYEGNSTASPHYLIDNFYANGWGSSKEAQGTTTMAESSIITSFIDPETHHPQAPKLEDFLGDSSSIVRYSDSQTETQDSSLTHIYDQSSAAYFNDQQDLKAIAGFQAFSTNSGSEVDDSASIARTQLGGEKAIVPAESDCPKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDREEKAARAYDLAALKYWGPTATTNFPVTNYNKELEEMKYVSKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGMNAVTNFEMSRYDVAAIMKSVLPIGGTAKRLKLSLEAEQKPTLNHEQQPQGSSSNTISFDSIPPVSIPCGIPFENTSALYHHNLFHHLQSANNIGGTDSSGSTSSMATPMTLMPQTADFFVWPHQSY >Manes.12G125500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33154904:33160133:-1 gene:Manes.12G125500.v8.1 transcript:Manes.12G125500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATNWLSFSLSPMEMLRSSESQFTSYEGNSTASPHYLIDNFYANGWGSSKEAQGTTTMAESSIITSFIDPETHHPQAPKLEDFLGDSSSIVRYSDSQTETQDSSLTHIYDQSSAAYFNDQQDLKAIAGFQAFSTNSGSEVDDSASIARTQLGGGEFVGQSIESSGNELGFSNCTDANNALSLGINNQCSTEKAIVPAESDCPKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDREEKAARAYDLAALKYWGPTATTNFPVTNYNKELEEMKYVSKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGMNAVTNFEMSRYDVAAIMKSVLPIGGTAKRLKLSLEAEQKPTLNHEQQPQGSSSNTISFDSIPPVSIPCGIPFENTSALYHHNLFHHLQSANNIGGTDSSGSTSSMATPMTLMPQTADFFVWPHQSY >Manes.12G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33154904:33160167:-1 gene:Manes.12G125500.v8.1 transcript:Manes.12G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATNWLSFSLSPMEMLRSSESQFTSYEGNSTASPHYLIDNFYANGWGSSKEAQGTTTMAESSIITSFIDPETHHPQAPKLEDFLGDSSSIVRYSDSQTETQDSSLTHIYDQSSAAYFNDQQDLKAIAGFQAFSTNSGSEVDDSASIARTQLGGGEFVGQSIESSGNELGFSNCTDANNALSLGINNQCSTEKAIVPAESDCPKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDREEKAARAYDLAALKYWGPTATTNFPVTNYNKELEEMKYVSKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGMNAVTNFEMSRYDVAAIMKSVLPIGGTAKRLKLSLEAEQKPTLNHEQQPQGSSSNTISFDSIPPVSIPCGIPFENTSALYHHNLFHHLQSANNIGGTDSSGSTSSMATPMTLMPQTADFFVWPHQSY >Manes.14G108800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9121491:9128034:-1 gene:Manes.14G108800.v8.1 transcript:Manes.14G108800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAHKKSSGNGNGVVMSTQVAHRLRLNPITDHKPDSYEDLQSDFSPLLFSSLERYLPPSMLNMSREAKIQFMRDILVRYSPEGERTRPLHRELYTMNAVNFFVPSFLKAINENTEESFRSILVEPTPGVYVFEMLQPSFCEMLMSEVENFERWVHETKFRIMRPNTMNKYGAVLDDFGLETVLDKWMDEYIRPMSKGFHVDDSEVTLNVCLGKQFYGGELFFRGVRCDKHVNSETQPEEILDYVHVPGRAVLHRGRHRHGARATTSGHRCNLILWCRSSVFRELKKYQKDFSSWCGECRRERRERQRLSITATKLELLKRNGISAS >Manes.14G108800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9121491:9128034:-1 gene:Manes.14G108800.v8.1 transcript:Manes.14G108800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVNFFVPSFLKAINENTEESFRSILVEPTPGVYVFEMLQPSFCEMLMSEVENFERWVHETKFRIMRPNTMNKYGAVLDDFGLETVLDKWMDEYIRPMSKVFFPEVGGLTLDSHHGFVVEYGVDRDVELGFHVDDSEVTLNVCLGKQFYGGELFFRGVRCDKHVNSETQPEEILDYVHVPGRAVLHRGRHRHGARATTSGHRCNLILWCRSSVFRELKKYQKDFSSWCGECRRERRERQRLSITATKLELLKRNGISAS >Manes.14G108800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9121491:9128033:-1 gene:Manes.14G108800.v8.1 transcript:Manes.14G108800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAHKKSSGNGNGVVMSTQVAHRLRLNPITDHKPDSYEDLQSDFSPLLFSSLERYLPPSMLNMSREAKIQFMRDILVRYSPEGERTRPLHRELYTMNAVNFFVPSFLKAINENTEESFRSILVEPTPGVYVFEMLQPSFCEMLMSEVENFERWVHETKFRIMRPNTMNKYGAVLDDFGLETVLDKWMDEYIRPMSKVFFPEVGGLTLDSHHGFVVEYGVDRDVELGFHVDDSEVTLNVCLGKQFYGGELFFRGVRCDKHVNSETQPEEILDYVHVPGRAVLHRGRHRHGARATTSGHRCNLILWCRSSVFRELKKYQKDFSSWCGECRRERRERQRLSITATKLELLKRNGISAS >Manes.14G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9121491:9128008:-1 gene:Manes.14G108800.v8.1 transcript:Manes.14G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAHKKSSGNGNGVVMSTQVAHRLRLNPITDHKPDSYEDLQSDFSPLLFSSLERYLPPSMLNMSREAKIQFMRDILVRYSPEGERTRIQKHREYRQKIISNYQPLHRELYTMNAVNFFVPSFLKAINENTEESFRSILVEPTPGVYVFEMLQPSFCEMLMSEVENFERWVHETKFRIMRPNTMNKYGAVLDDFGLETVLDKWMDEYIRPMSKVFFPEVGGLTLDSHHGFVVEYGVDRDVELGFHVDDSEVTLNVCLGKQFYGGELFFRGVRCDKHVNSETQPEEILDYVHVPGRAVLHRGRHRHGARATTSGHRCNLILWCRSSVFRELKKYQKDFSSWCGECRRERRERQRLSITATKLELLKRNGISAS >Manes.14G108800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9121491:9128008:-1 gene:Manes.14G108800.v8.1 transcript:Manes.14G108800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAHKKSSGNGNGVVMSTQVAHRLRLNPITDHKPDSYEDLQSDFSPLLFSSLERYLPPSMLNMSREAKIQFMRDILVRYSPEGERTRIQKHREYRQKIISNYQPLHRELYTMNAVNFFVPSFLKAINENTEESFRSILVEPTPGVYVFEMLQPSFCEMLMSEVENFERWVHETKFRIMRPNTMNKYGAVLDDFGLETVLDKWMDEYIRPMSKGFHVDDSEVTLNVCLGKQFYGGELFFRGVRCDKHVNSETQPEEILDYVHVPGRAVLHRGRHRHGARATTSGHRCNLILWCRSSVFRELKKYQKDFSSWCGECRRERRERQRLSITATKLELLKRNGISAS >Manes.14G108800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9121491:9128008:-1 gene:Manes.14G108800.v8.1 transcript:Manes.14G108800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAHKKSSGNGNGVVMSTQVAHRLRLNPITDHKPDSYEDLQSDFSPLLFSSLERYLPPSMLNMSREAKIQFMRDILVRYSPEGERTRIQKHREYRQKIISNYQPLHRELYTMNAVNFFVPSFLKAINENTEESFRSILVEPTPGVYVFEMLQPSFCEMLMSEVENFERWVHETKFRIMRPNTMNKYGAVLDDFGLETVLDKWMDEYIRPMSKVFFPEVGGLTLDSHHGFVVEYGVDRDVELGFHVDDSEVTLNVCLGKQFYGGELFFRGVRCDKHVNSETQPEFSFPRAEKISEGFLQLVWRVPT >Manes.14G108800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9121491:9128008:-1 gene:Manes.14G108800.v8.1 transcript:Manes.14G108800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAHKKSSGNGNGVVMSTQVAHRLRLNPITDHKPDSYEDLQSDFSPLLFSSLERYLPPSMLNMSREAKIQFMRDILVRYSPEGERTRIQKHREYRQKIISNYQPLHRELYTMNAVNFFVPSFLKAINENTEESFRSILVEPTPGVYVFEMLQPSFCEMLMSEVENFERWVHETKFRIMRPNTMNKYGAVLDDFGLETVLDKWMDEYIRPMSKVEYGVDRDVELGFHVDDSEVTLNVCLGKQFYGGELFFRGVRCDKHVNSETQPEEILDYVHVPGRAVLHRGRHRHGARATTSGHRCNLILWCRSSVFRELKKYQKDFSSWCGECRRERRERQRLSITATKLELLKRNGISAS >Manes.05G132800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15320708:15322488:1 gene:Manes.05G132800.v8.1 transcript:Manes.05G132800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYESDSEDEALPRGKLFGRQRPLHDVLGGGKYVLLWKNKNVSAALTISMSVIWFLFEVVDYNFVPLFCHISITAMLLVFIWCNGAQFFNWSPPKIPKSILDGDAFHEAASNFHERFNHALSKLLDIASGKDPALFILTVVSLYILSVIGSYFTFLNFLYLIFLCFQTLPFLYDRFEEQVDDYTGRLFRQVKKRYRRFESRVLNKIPRGAVKEKVR >Manes.05G132800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15320708:15322488:1 gene:Manes.05G132800.v8.1 transcript:Manes.05G132800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYESDSEDEALPRGKLFGRQRPLHDVLGGGKYVLLWKNKNVSAALTISMSVIWFLFEVVDYNFVPLFCHISITAMLLVFIWCNGAQFFNWSPPKIPKSILDGDAFHEAASNFHERFNHALSKLLDIASGKDPALFILTVVSLYILSVIGSYFTFLNFLYLKQVDDYTGRLFRQVKKRYRRFESRVLNKIPRGAVKEKVR >Manes.05G132800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15320708:15322488:1 gene:Manes.05G132800.v8.1 transcript:Manes.05G132800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYESDSEDEALPRGKLFGRQRPLHDVLGGGKFADVLLWKNKNVSAALTISMSVIWFLFEVVDYNFVPLFCHISITAMLLVFIWCNGAQFFNWSPPKIPKSILDGDAFHEAASNFHERFNHALSKLLDIASGKDPALFILTVVSLYILSVIGSYFTFLNFLYLKQVDDYTGRLFRQVKKRYRRFESRVLNKIPRGAVKEKVR >Manes.05G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15320708:15322488:1 gene:Manes.05G132800.v8.1 transcript:Manes.05G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYESDSEDEALPRGKLFGRQRPLHDVLGGGKFADVLLWKNKNVSAALTISMSVIWFLFEVVDYNFVPLFCHISITAMLLVFIWCNGAQFFNWSPPKIPKSILDGDAFHEAASNFHERFNHALSKLLDIASGKDPALFILTVVSLYILSVIGSYFTFLNFLYLIFLCFQTLPFLYDRFEEQVDDYTGRLFRQVKKRYRRFESRVLNKIPRGAVKEKVR >Manes.11G114666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26999963:27005157:1 gene:Manes.11G114666.v8.1 transcript:Manes.11G114666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGGGPTRGRLWPQLAFALAVVLVSSCVSSVYGDAYPYSSPPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPKPHYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPPPSPKPHYEYKSPPPPSPSPPPPYEYKSPPPPPYEYKSPPPPPPSPKPHYEYKSPPPPSPSPPPPYEYKSPPPPSPKPHYEYKSPPPPSPSPPPPYEYKSPPPPSPKPHYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPKPHYEYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYEYKSPPPPSPKPHYEYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPEKSPAPEPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPEKSPAPEPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPAPVPYYYKSPPPPSPSPPPPYYYKSPPPPKAYPPPYYYSSPPPPPKAYPPPYYYTSPPPPKSPAPTPYYYPSPPPPKAHPPPYYYTSPPPPVPYPHPSPHPHHFVVKVVGKVYCYRCYDWDYPEKSHDKKHLKGAVVEVTCKTGEKEIKAYGKTKINGKYSITVEGFAYGKYGAEACKAKLHKAPEGSPCNIPTNLHWGKKGAKLKVKSKTKYEVVLYAKPFAYAPKTPYEECEKPKPKPTPAPYYYTSPPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPTKSPAPEPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPIKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPVYIYASPPPPPPTHY >Manes.17G001700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1912195:1915748:-1 gene:Manes.17G001700.v8.1 transcript:Manes.17G001700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLAFSGIMSNRKQFNPDFYNWNRVKIRYCDGASFTGDVEEVNPETKLHFRGARIYVAAIEELLAKGMKNAENALLSGCSAGGLASILHCDSFQALLPKGAKVKCLSDAGYFVNVKDVSGKPHMETYFNDVVTLHGSEKNLPTSCTSKLDPPLCFFPQNVVQQIKTPLFLLNPAYDSWQIKHVLAPTVADPGNTWKACKLDIKSCSAAQLKAMQDYRTQFLSALPKSANSSSEGMYIDSCHVHCQSELQRLWFMDGSPELEKTKIAKAVGDWFHEKTPFHNKIDCPYPCNPTCPSNKDLVKEL >Manes.17G001700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1912382:1916375:-1 gene:Manes.17G001700.v8.1 transcript:Manes.17G001700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSRMGQWLNFLVCILLLVKTEGLTVEITYLTSAVAKGAVCLDGSPPAYHLDKGSDTGVNSWLVHFEGGGWCHNVTNCLARKNTRLGSSKQMATPLAFSGIMSNRKQFNPDFYNWNRVKIRYCDGASFTGDVEEVNPETKLHFRGARIYVAAIEELLAKGMKNAENALLSGCSAGGLASILHCDSFQALLPKGAKVKCLSDAGYFVNVKDVSGKPHMETYFNDVVTLHGSEKNLPTSCTSKLDPPLCFFPQNVVQQIKTPLFLLNPAYDSWQIKHVLAPTVADPGNTWKACKLDIKSCSAAQLKAMQDYRTQFLSALPKSANSSSEGMYIDSCHVHCQSELQRLWFMDGSPELEKTKIAKAVGDWFHEKTPFHNKIDCPYPCNPTCPSNKDLVKEL >Manes.17G001700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1912119:1915796:-1 gene:Manes.17G001700.v8.1 transcript:Manes.17G001700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLAFSGIMSNRKQFNPDFYNWNRVKIRYCDGASFTGDVEEVNPETKLHFRGARIYVAAIEELLAKGMKNAENALLSGCSAGGLASILHCDSFQALLPKGAKVKCLSDAGYFVNVKDVSGKPHMETYFNDVVTLHGSEKNLPTSCTSKLDPPLCFFPQNVVQQIKTPLFLLNPAYDSWQIKHVLAPTVADPGNTWKACKLDIKSCSAAQLKAMQDYRTQFLSALPKSANSSSEGMYIDSCHVHCQSELQRLWFMDGSPELEKTKIAKAVGDWFHEKTPFHNKIDCPYPCNPTCPSNKDLVKEL >Manes.14G142700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13710059:13712963:-1 gene:Manes.14G142700.v8.1 transcript:Manes.14G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYIRVKRNKTTYFIQCDPTEKILEIKQKLQVLIDKPVNDQRLISLSNGEVLEDSKTLAEQKVENDAKLALTLRKDDNEFEDINIVKPDDFYQSRDGMGEP >Manes.01G223600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39014097:39015910:1 gene:Manes.01G223600.v8.1 transcript:Manes.01G223600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRFVIEACEAESMAKKSGLTVPQLLPTLVQEARSLARTPISNYYVGAVGLGSSGRIFFGANLEFPGLPLHHSVHAEQFLITNLTLNAEPGLNYVSVSAAPCGHCRQFFQEIRNAPDIQILITDDSNNNNCSGVSQNNGDTREFESFSRFLPHRFGPDDLLDKDVPLALEPHNNHLSFLYDSNSNIPNGINTPVCDDLKYEALKAANKSHAPYSNCPSGVALMDCEGKVYRGSYMESAAYNPSLGPVQAAIVAYIVGGGGGGYEKIVAAVLVEKEGAVVRQEYTARLLLQVISPKCEFKVLHCGMKSC >Manes.07G111200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31675074:31675694:1 gene:Manes.07G111200.v8.1 transcript:Manes.07G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTITDGSDSPSVFSLASQICTHIASSFSKPTDPYPPPLDLIVTNLSAIAAQNGRVFLYGVGREGLMLKALCMRLAHLGLSTHFVFDMTTPPITSNDLFIASAGPGGFSTVDALCSLARSKGAKVLLLTAQPESGSCVKHASVVCYVPAQTMATDEEVKSRPLLPMGSVYEGALFVLFEMVIYKLGEVLGQSPETIRSRHTNLE >Manes.13G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29141908:29156782:1 gene:Manes.13G098700.v8.1 transcript:Manes.13G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTCPKFTIFTVAALIFQIIGLSLFVFGFFPVKPALSGVSGRESFFAPGCNSVENRTQTEMGLSPNELKSLYQDLSGIPPLFDRLILMVIDGLPAEFVLGKDGQPPRKDLMDAMPYTQSLLSSGMAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQALLDDNLLGQFLRIGWKMVMLGDETWLKLFPGLFVRHDGVSSFFVKDTVQVDQNVTRHLGNELSRDDWNLLVLHYLGLDHVGHIGGRSSSLMGPKLLEMDEVVKMIHLSTIQAHDHDQGRTLLVVVSDHGMTESGNHGGSSYEETDSLALFIGLKNQVSHYLSPTHNSVLQVDIAPTLALLYGVPIPKNNVGVVISGTFDSSTDDQQLRALELNSWQLYRLVEAQLSGLSCGDFACRGFFGDLASGSGECSGSIEETLCCLFMNAANLHNSWKYKKEKGSESRDDYRSTIAAYHEFLKTASEWLSRRVTDKPVSLHASGVVAMAVSCLIFLGLITCISREVYTGEKQHLSNSSNSKMTWCLEEGFILGVVLILVMSMGSSSMIEEEQYIWNFVICTLYLLLLRKAVQSPSGRSGSALLLKAQNRRSDVQVCAILLLLISARILRGWHQGGVNWTHLPDISKWLEQGGSNTIRLVQLTSGLLMMSLGLFALFLFVSKTKLVQVVGFFFLISGFLVLWHIMEYQDNALVSSNYRATLLAQIIYATLGIGTVGTVIALPWLMPIQISSACSKHKTNSSTSVSFDIQYKFPLVELRDSSYLIGLVYMLCWCLLQLLLQQPINSMPIFLLLMQILTNMLYCSYNRPQNKEWLEVALLYYMGMAGHFALGNSNTLATIDVAGAFIGLSSHSTLLSGILMFIITYASPMLVLLSMVMYISVKDTSYLATSQNVDLGHFMKMMLAFPCLVPLGLNSILLTAYTIVLLLMRNHLFVWSVFSPKYLYVCATTVCIYIGVFVVAATEIYTYMVLAFRRKLQVSIGNGVL >Manes.07G059500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:8683489:8684963:1 gene:Manes.07G059500.v8.1 transcript:Manes.07G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELYNFFKVWLSVLASLIYCYAIGTMVPKGSARFFCLLPILCLFLLLPLNLSSIHFRGMTAFFIAWLANFKLLLYAFGKGPLSSSYDNNPSISFSRFLPVACFPIKIKRESHPNGDEQNPVRTKVQKSMTNYAVKGLLLAMVVRVYNYREFIHPNVILLLYFLHMYFFLELILAMVGVMARALLGLELEPQFNEPYLSTSLQDFWGRRWNLMVTSILRPTAYEPFLRISASVIGRRWAPIPAIFGTFVVSAVMHELMFYYVCLEKPTWEITWFFLLHGVCLMVEVALKKAVAGKWSLSRLTSTPLTVGFLLATGLWLFFPQLLRCKVDVRAFEEYSALSAFLKNVGRASSSMFGSFNRSINWSIVNTIKS >Manes.09G014100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3145653:3149737:1 gene:Manes.09G014100.v8.1 transcript:Manes.09G014100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLSLFTNYCRSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMNPLPSRVHFNRFISALLKMKQYHTVFSMSKTIELLGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDVYTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISSNVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQLNEALALLKEMVGRNISPDVFTFNILIHTLCKKGLVSIAQNIIKIMIQRAVEPDVVTYNSLMDGYCLCKQIDKARKLFDLMVTNEIADIFSYSILINGYCKCKMIDDANEFFDEMSHKGLVPDVVTYSTLIKGMFQAGRPQTAKELFKNMCSHGQQPNTVTFSIMINGLCRQGNLDEALTLLKEMGESQLKPNLVTYSILINGMCKAGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKIFRDMEKGGCLPNNYSYNIIIQGFLKHEDLPKASELFNEMVDKGFSTDVATTELVVHLSQNNDLILSKLRNRYEASKGVQ >Manes.06G009800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1522073:1524469:-1 gene:Manes.06G009800.v8.1 transcript:Manes.06G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >Manes.01G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30058609:30066827:1 gene:Manes.01G099400.v8.1 transcript:Manes.01G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNKPQRSLEEIEDIILRKIMLVSLTDSMVADSRIIYLEMTAAEILSEGKDLKMNRDLVERVLIDRLSGQFPGVEPPFEYLLGCYRRATEEEKKIANMKDKNVKLELESSIKQAKKLFISYCRIHLGNPDMFPFNSDPKKPNVSPLLPLIFAAVDGFNSGGTLPPPPRFLEDLFQEGDFDSLDPILKGLYEDLRGNVIKVSVLGNFQQPLRALLFLLTFPVGVKSLVNHPWWIPKGAYLNGRVIEMTSILGPFFHVSALPDHTIFKSEPDVGQQCFSEVSTRRPADLLSSFTTIKTLMNNLYDDLEKVLLTLLRNSDTRENVLQYLAEVINRNSSRAHIQVDPISCASSGMFVNLSAVMLRLCEPFLDPNLTKRDKIDPKYVFYSNRLDIRGLTALHASSEEVTEWINKENPGKAVVSAHSSDGESRLLQSQEATSSGSGAYNPASSSGKQAKYTFICECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDTLSTLKAMQEQSPSPQLQLDIARLEKDLELYSQEKLCYEAQILRDEALIQRALSFYRLMVVWLVGLVGGFKMPLPPACSMEFASLPEHFVEDAMELLIFASRIPKALDGVLLDDFMNFVIMFMASPTYIRNPYLRAKMVEVLNCWMPRRSGSSATATLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIFLLDESLNKILELKELEAEMSNTTEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKQLLKQIVHVYVHLARGDTENIFPAAISKDGRSYNEQLFSAAADVLRRIGEDGRIIQEFIELGARAKVAASEAMDTEAALGEIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDTTDPFNRSHLTVDMLIPNVELKVRIEEFIRSQELKRHGDDFSMQSSKATIQRTTGDMLID >Manes.05G111400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11431900:11432680:-1 gene:Manes.05G111400.v8.1 transcript:Manes.05G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCESSQRSNSRKNGTSGNRSEDVDTETADVSLETIGAVAVVVVACSVVALFSSLRGSNTDERKTMKAPGRNYRIFRDDFEDDPAGYFRSLRK >Manes.15G190900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28252821:28262902:1 gene:Manes.15G190900.v8.1 transcript:Manes.15G190900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGVGALEKEVGNAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPVAYIREKIFGNQLLFLLGLGYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLSRTEGQAKVGGTLVCVSGAMLMVLFRGPVVFGFSDADSAASEISAKGQPEPAGWFLSSFLNIGLESWHLGVLCLIGNCMCMAAFLAIQAPVLIKYPASISVTAYSYAFGALLMVLTAFFMTNGAAEWSLVQSELWAVIYAGIVASALNYGLLTWSNKILGPAMVALYNPLQPAASALLSRIFLGSPIYLGSILGGCLIVAGLYLVTWASYRERQAIAGIIPYVLSRGSESFIHKDPSVNKVV >Manes.15G190900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28252821:28262902:1 gene:Manes.15G190900.v8.1 transcript:Manes.15G190900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGVGALEKEVGNAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPVAYIREKIRPPMTKRLLLSFFFLGLTGIFGNQLLFLLGLGYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLSRTEGQAKVGGTLVCVSGAMLMVLFRGPVVFGFSDADSAASEISAKGQPEPAGWFLSSFLNIGLESWHLGVLCLIGNCMCMAAFLAIQAPVLIKYPASISVTAYSYAFGALLMVLTAFFMTNGAAEWSLVQSELWAVIYAGIVASALNYGLLTWSNKILGPAMVALYNPLQPAASALLSRIFLGSPIYLGSILGGCLIVAGLYLVTWASYRERQAIAGIIPYVLSRGSESFIHKDPSVNKVV >Manes.15G190900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28252821:28261123:1 gene:Manes.15G190900.v8.1 transcript:Manes.15G190900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGVGALEKEVGNAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPVAYIREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLLGLGYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLSRTEGQAKVGGTLVCVSGAMLMVLFRGPVVFGFSDADSAASEISAKGQPEPAGWFLSSFLNIGLESWHLGVLCLIGNCMCMAAFLAIQAPVLIKYPASISVTAYSYAFGALLMVLTAFFMTNGAAEWSLVQSELWAVIYAL >Manes.15G190900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28253088:28262902:1 gene:Manes.15G190900.v8.1 transcript:Manes.15G190900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGVGALEKEVGNAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPVAYIREKIRPPMTKRLLLSFFFLGLTGIFGNQLLFLLGLGYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLSRTEGQAKVGGTLVCVSGAMLMVLFRGPVVFGFSDADSAASEISAKGQPEPAGWFLSSFLNIGLESWHLGVLCLIGNCMCMAAFLAIQAPVLIKYPASISVTAYSYAFGALLMVLTAFFMTNGAAEWSLVQSELWAVIYAGIVASALNYGLLTWSNKILGPAMVALYNPLQPAASALLSRIFLGSPIYLGSILGGCLIVAGLYLVTWASYRERQAIAGIIPYVLSRGSESFIHKDPSVNKVV >Manes.15G190900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28252821:28262902:1 gene:Manes.15G190900.v8.1 transcript:Manes.15G190900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGVGALEKEVGNAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPVAYIREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLLGLGYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLSRTEGQAKVGGTLVCVSGAMLMVLFRGPVVFGFSDADSAASEISAKGQPEPAGWFLSSFLNIGLESWHLGVLCLIGNCMCMAAFLAIQAPVLIKYPASISVTAYSYAFGALLMVLTAFFMTNGAAEWSLVQSELWAVIYAGIVASALNYGLLTWSNKILGPAMVALYNPLQPAASALLSRIFLGSPIYLGSILGGCLIVAGLYLVTWASYRERQAIAGIIPYVLSRGSESFIHKDPSVNKVV >Manes.15G190900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28253086:28262902:1 gene:Manes.15G190900.v8.1 transcript:Manes.15G190900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGVGALEKEVGNAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPVAYIREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLLGLGYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLSRTEGQAKVGGTLVCVSGAMLMVLFRGPVVFGFSDADSAASEISAKGQPEPAGWFLSSFLNIGLESWHLGVLCLIGNCMCMAAFLAIQAPVLIKYPASISVTAYSYAFGALLMVLTAFFMTNGAAEWSLVQSELWAVIYAGIVASALNYGLLTWSNKILGPAMVALYNPLQPAASALLSRIFLGSPIYLGSILGGCLIVAGLYLVTWASYRERQAIAGIIPYVLSRGSESFIHKDPSVNKVV >Manes.15G190900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28253193:28257938:1 gene:Manes.15G190900.v8.1 transcript:Manes.15G190900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAGGGVGALEKEVGNAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPVAYIREKRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLLGLGYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLSRTEGQAKVGGTLVCVSGAMLMVLFRGPVVFGFSDADSAASEISAKGQPEPAGWFLSSFLNIGLESWHLGVLCLIGNCMCMAAFLAIQAPVLIKYPASISVTAYSYAFGALLMVLTAFFMTNGAAEWSLVQSELWAVIYAVSCCSIYICPQFHVFCGCTIYFQSSNFNSTQL >Manes.02G036000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2992188:2998017:-1 gene:Manes.02G036000.v8.1 transcript:Manes.02G036000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSNKSSPVLTDPAPINKSRLGIHSNLLPYPQSGASFSSGKHINIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVAADETDIAYFSWMLKYPSALNSFEQITNFAKTKKIAIFLDYDGTLSPIVVDPDQATMSDEMRSVVRNVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPINRAVSNNQPNCIKSIDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKEIKGAKVENHKFCASVHYRNVDEKNWHTIAQCVHDILTQYPRLRLTHGRKVLEIRPVIDWNKGRAVEFLLESLGLSKRDDVLPIYIGDDRTDEDAFKVLRERNQGYGILVSCVPKETNAFYSLRDPIEVLQFLSSLVRWKKLGEERRSMNNRRSI >Manes.02G036000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2992188:2998006:-1 gene:Manes.02G036000.v8.1 transcript:Manes.02G036000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSNKSSPVLTDPAPINKSRLGIHSNLLPYPQSGASFSSGKHINIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVAADETDIAYFSWMLKYPSALNSFEQITNFAKTKKIAIFLDYDGTLSPIVVDPDQATMSDEMRSVVRNVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPINRAVSNNQPNCIKSIDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKEIKGAKVENHKFCASVHYRNVDEKNWHTIAQCVHDILTQYPRLRLTHGRKVLEIRPVIDWNKGRAVEFLLESLGLSKRDDVLPIYIGDDRTDEDAFKVLRERNQGYGILVSCVPKETNAFYSLRDPIEVLQFLSSLVRWKKLGEERRSMNNRRSI >Manes.02G036000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2992095:2998017:-1 gene:Manes.02G036000.v8.1 transcript:Manes.02G036000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSNKSSPVLTDPAPINKSRLGIHSNLLPYPQSGASFSSGKHINIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVAADETDIAYFSWMLKYPSALNSFEQITNFAKTKKIAIFLDYDGTLSPIVVDPDQATMSDEMRSVVRNVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPINRAVSNNQPNCIKSIDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKEIKGAKVENHKFCASVHYRNVDEKNWHTIAQCVHDILTQYPRLRLTHGRKVLEIRPVIDWNKGRAVEFLLESLGLSKRDDVLPIYIGDDRTDEDAFKVLRERNQGYGILVSCVPKETNAFYSLRDPIEVLQFLSSLVRWKKLGEERRSMNNRRSI >Manes.02G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2991402:2998016:-1 gene:Manes.02G036000.v8.1 transcript:Manes.02G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSNKSSPVLTDPAPINKSRLGIHSNLLPYPQSGASFSSGKHINIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVAADETDIAYFSWMLKYPSALNSFEQITNFAKTKKIAIFLDYDGTLSPIVVDPDQATMSDEMRSVVRNVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPINRAVSNNQPNCIKSIDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKEIKGAKVENHKFCASVHYRNVDEKNWHTIAQCVHDILTQYPRLRLTHGRKVLEIRPVIDWNKGRAVEFLLESLGLSKRDDVLPIYIGDDRTDEDAFKVLRERNQGYGILVSCVPKETNAFYSLRDPIEVLQFLSSLVRWKKLGEERRSMNNRRSI >Manes.02G036000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2992188:2998007:-1 gene:Manes.02G036000.v8.1 transcript:Manes.02G036000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKSNKSSPVLTDPAPINKSRLGIHSNLLPYPQSGASFSSGKHINIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDFNFEVAADETDIAYFSWMLKYPSALNSFEQITNFAKTKKIAIFLDYDGTLSPIVVDPDQATMSDEMRSVVRNVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMGPINRAVSNNQPNCIKSIDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKEIKGAKVENHKFCASVHYRNVDEKNWHTIAQCVHDILTQYPRLRLTHGRKVLEIRPVIDWNKGRAVEFLLESLGLSKRDDVLPIYIGDDRTDEDAFKVLRERNQGYGILVSCVPKETNAFYSLRDPIEVLQFLSSLVRWKKLGEERRSMNNRRSI >Manes.06G141400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26929119:26933632:1 gene:Manes.06G141400.v8.1 transcript:Manes.06G141400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK2 MAANHTTDGSKDWALNTNGGSKDNISLVVCFGEMLIDFVPTVAGVSLAEAPAFKKAPGGAPANVAVCISRLGGSSAFIGKLGDDDFGYMLADILKQNNVNHSGIRFDPSARTALAFVTLRADGEREFLFFRHPSADMLLRESELDMNLLKQARIFHYGSISLIAEPCRSAHLAAMRIAKSSGSILSYDPNLRLALWPSPENAREGIMSIWEQADVIKISEEEITFLTGGDDPNDDNVVLKKLFHPNLKLLIVTEGSEGCRYYTKEFKGRVGGVKVKPVDTTGAGDAFVGGILSSLASDLSLLKVLLAFIT >Manes.06G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26929119:26934757:1 gene:Manes.06G141400.v8.1 transcript:Manes.06G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK2 MAANHTTDGSKDWALNTNGGSKDNISLVVCFGEMLIDFVPTVAGVSLAEAPAFKKAPGGAPANVAVCISRLGGSSAFIGKLGDDDFGYMLADILKQNNVNHSGIRFDPSARTALAFVTLRADGEREFLFFRHPSADMLLRESELDMNLLKQARIFHYGSISLIAEPCRSAHLAAMRIAKSSGSILSYDPNLRLALWPSPENAREGIMSIWEQADVIKISEEEITFLTGGDDPNDDNVVLKKLFHPNLKLLIVTEGSEGCRYYTKEFKGRVGGVKVKPVDTTGAGDAFVGGILSSLASDLSLLKDEERLRDALLFANACGAVTVTERGAIPALPTKEAVLKLLGKAPA >Manes.05G166100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27791330:27795632:1 gene:Manes.05G166100.v8.1 transcript:Manes.05G166100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVAATSSFSYIPPWPKNGRRPHSREFVTLNRVSNQRESGFLSITQDDRRTCELFNLSKRLVPYDDAWNWQKDIVREKRTFIERKEESPDTLIVLQHHPVYTLGTGSSVGYLNFDVEDAPYEVYRTERGGEVTYHGPGQLIMYPIINLRNHKMDLHWYLRALEEVAIRVLSSTFSIEASRVDGLTGVWVGDQKLAAIGIKVSQWITYHGLALNVTTDLKPFDWIVPCGIRNRKVGSIKGLLEEVRLCNGSVDGDVHHFDDSQLIDITSKSLIREFSEVFQLKIVMKQYPI >Manes.05G166100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27791330:27795632:1 gene:Manes.05G166100.v8.1 transcript:Manes.05G166100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVAATSSFSYIPPWPKNGRRPHSREFVTLNRVSNQRESGFLSITQDDRRTCELFNLSKRLVPYDDAWNWQKDIVREKRTFIERKEESPDTLIVLQHHPVYTLGTGSSVGYLNFDVEDAPYEVYRTERGGEVTYHGPGQLIMYPIINLRNHKMDLHWYLRALEEVAIRVLSSTFSIEASRVDGLTGVWVGDQKLAAIGIKVSQWITYHGLALNVTTDLKPFDWIVPCGIRNRKVGSIKGLLEEVRLCNGSVDGDVHHFDDSQLIDITSKSLIREFSEVFQLKIVMKQYPI >Manes.14G163216.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24717903:24718939:-1 gene:Manes.14G163216.v8.1 transcript:Manes.14G163216.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYSSLQIKGVIHPKDWPTPNLHTGIAFKTLKKHFTSYNYFDYMDAWQNIFCIQNPTHTHSWLVYFDQSRIKTTTRFPNWFLKWWQHRGISEEILSPEVFQVYQYFKTNFKPAQHEAYIPPLMYFCISFFIPWVYQWFFDFQYALGTNLHSIVKRHKIKWWGSFKNTTTEIVPSFGAQKAQCQALLAASKNPEEFKLICQQMYNQLTSSEKDKLNQESSSSKESSKWSSSKKMVKKKSSRRKSKKQSSSDTESTASETSSSEDPASSCNSNEDDCYGVLSAIRIKSKTDKVKKEKKKKEKVKKKGKEKKKQDTSSSESD >Manes.06G005900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1021968:1029578:-1 gene:Manes.06G005900.v8.1 transcript:Manes.06G005900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLPLTRKLISSAPLSNSVYPRKPEALMKTHHGEVNAVFNKAFSSFALYEGKNGGDFVPYQCSTRYHHRDRDSKFVLGLRKWLADFQVDEGPNSFLFLREIKEGERANLVCKVLHICEICEHEWMAFVWDGTDSPPLGIETKLENETDNPLPLQVEPKPLPRDLLCTFPTVGTILRVIIDKGNEKHVLHLLTAGKWVRFLNILCEVHEGLWHGVLTPFTKIRYMSDDNRLIVGCQRSYNERLSLELGRIPYWCFPWCSQLTEVDYDHVPFVTIMDVLTCSQVTAKFKCIVRVVAALPWRVEDFCSHLGTYMIRLTIEDPTARIHAFLFDEDGDKFFGGRSSIDALTRKRNKLLGVAVNDDGKEIEDAARKPPWVQCCIKSYYLDKNNTWGTRHYRIFGTKLVD >Manes.06G005900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1021968:1029578:-1 gene:Manes.06G005900.v8.1 transcript:Manes.06G005900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDYKFLQIRDAIASINQKVNLIGAIIEFGLPKKTRGTDWFCTLKIIDESYPKPGISVNIFASSMENLPRISSLGDIIQLSRVVMKTHHGEVNAVFNKAFSSFALYEGKNGGDFVPYQCSTRYHHRDRDSKFVLGLRKWLADFQVDEGPNSFLFLREIKEGERANLVCKVLHICEICEHEWMAFVWDGTDSPPLGIETKLENETDNPLPLQVEPKPLPRDLLCTFPTVGTILRVIIDKGNEKHVLHLLTAGKWVRFLNILCEVHEGLWHGVLTPFTKIRYMSDDNRLIVGCQR >Manes.06G005900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1021968:1029578:-1 gene:Manes.06G005900.v8.1 transcript:Manes.06G005900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDYKFLQIRDAIASINQKVNLIGAIIEFGLPKKTRGTDWFCTLKIIDESYPKPGISVNIFASSMENLPRISSLGDIIQLSRVVMKTHHGEVNAVFNKAFSSFALYEGKNGGDFVPYQCSTRYHHRDRDSKFVLGLRKWLADFQVDEGPNSFLFLREIKEGERANLVCKVLHICEICEHEWMAFVWDGTDSPPLGIETKLENETDNPLPLQVEPKPLPRDLLCTFPTVGTILRVIIDKGNEKHVLHLLTAGKWVRFLNILCEVHEGLWHGVLTPFTKIRYMSDDNRLIVGCQRSYNERLSLELGRIPYWCFPWCSQLTGFTSLTC >Manes.06G005900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1021968:1029578:-1 gene:Manes.06G005900.v8.1 transcript:Manes.06G005900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLGKDWFCTLKIIDESYPKPGISVNIFASSMENLPRISSLGDIIQLSRVVMKTHHGEVNAVFNKAFSSFALYEGKNGGDFVPYQCSTRYHHRDRDSKFVLGLRKWLADFQVDEGPNSFLFLREIKEGERANLVCKVLHICEICEHEWMAFVWDGTDSPPLGIETKLENETDNPLPLQVEPKPLPRDLLCTFPTVGTILRVIIDKGNEKHVLHLLTAGKWVRFLNILCEVHEGLWHGVLTPFTKIRYMSDDNRLIVGCQRSYNERLSLELGRIPYWCFPWCSQLTEVDYDHVPFVTIMDVLTCSQVTAKFKCIVRVVAALPWRVEDFCSHLGTYMIRLTIEDPTARIHAFLFDEDGDKFFGGRSSIDALTRKRNKLLGVAVNDDGKEIEDAARKPPWVQCCIKSYYLDKNNTWGTRHYRIFGTKLVD >Manes.06G005900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1021968:1029578:-1 gene:Manes.06G005900.v8.1 transcript:Manes.06G005900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDYKFLQIRDAIASINQKVNLIGAIIEFGLPKKTRGTDWFCTLKIIDESYPKPGISVNIFASSMENLPRISSLGDIIQLSRVVMKTHHGEVNAVFNKAFSSFALYEGKNGGDFVPYQCSTRYHHRDRDSKFVLGLRKWLADFQVDEGPNSFLFLREIKEGERANLVCKVLHICEICEHEWMAFVWDGTDSPPLGIETKLENETDNPLPLQVEPKPLPRDLLCTFPTVGTILRVIIDKGNEKHVLHLLTAGKWVRFLNILCEVHEGLWHGVLTPFTKIRYMSDDNRLIVGCQSCVIHSWKFSSLWL >Manes.06G005900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1021968:1029578:-1 gene:Manes.06G005900.v8.1 transcript:Manes.06G005900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIPNREYQLIYSHQMKTHHGEVNAVFNKAFSSFALYEGKNGGDFVPYQCSTRYHHRDRDSKFVLGLRKWLADFQVDEGPNSFLFLREIKEGERANLVCKVLHICEICEHEWMAFVWDGTDSPPLGIETKLENETDNPLPLQVEPKPLPRDLLCTFPTVGTILRVIIDKGNEKHVLHLLTAGKWVRFLNILCEVHEGLWHGVLTPFTKIRYMSDDNRLIVGCQRSYNERLSLELGRIPYWCFPWCSQLTEVDYDHVPFVTIMDVLTCSQVTAKFKCIVRVVAALPWRVEDFCSHLGTYMIRLTIEDPTARIHAFLFDEDGDKFFGGRSSIDALTRKRNKLLGVAVNDDGKEIEDAARKPPWVQCCIKSYYLDKNNTWGTRHYRIFGTKLVD >Manes.06G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1021968:1029578:-1 gene:Manes.06G005900.v8.1 transcript:Manes.06G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDYKFLQIRDAIASINQKVNLIGAIIEFGLPKKTRGTDWFCTLKIIDESYPKPGISVNIFASSMENLPRISSLGDIIQLSRVVMKTHHGEVNAVFNKAFSSFALYEGKNGGDFVPYQCSTRYHHRDRDSKFVLGLRKWLADFQVDEGPNSFLFLREIKEGERANLVCKVLHICEICEHEWMAFVWDGTDSPPLGIETKLENETDNPLPLQVEPKPLPRDLLCTFPTVGTILRVIIDKGNEKHVLHLLTAGKWVRFLNILCEVHEGLWHGVLTPFTKIRYMSDDNRLIVGCQRSYNERLSLELGRIPYWCFPWCSQLTEVDYDHVPFVTIMDVLTCSQVTAKFKCIVRVVAALPWRVEDFCSHLGTYMIRLTIEDPTARIHAFLFDEDGDKFFGGRSSIDALTRKRNKLLGVAVNDDGKEIEDAARKPPWVQCCIKSYYLDKNNTWGTRHYRIFGTKLVD >Manes.06G005900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1021968:1029579:-1 gene:Manes.06G005900.v8.1 transcript:Manes.06G005900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLGKDWFCTLKIIDESYPKPGISVNIFASSMENLPRISSLGDIIQLSRVVMKTHHGEVNAVFNKAFSSFALYEGKNGGDFVPYQCSTRYHHRDRDSKFVLGLRKWLADFQVDEGPNSFLFLREIKEGERANLVCKVLHICEICEHEWMAFVWDGTDSPPLGIETKLENETDNPLPLQVEPKPLPRDLLCTFPTVGTILRVIIDKGNEKHVLHLLTAGKWVRFLNILCEVHEGLWHGVLTPFTKIRYMSDDNRLIVGCQRSYNERLSLELGRIPYWCFPWCSQLTEVDYDHVPFVTIMDVLTCSQVTAKFKCIVRVVAALPWRVEDFCSHLGTYMIRLTIEDPTARIHAFLFDEDGDKFFGGRSSIDALTRKRNKLLGVAVNDDGKEIEDAARKPPWVQCCIKSYYLDKNNTWGTRHYRIFGTKLVD >Manes.05G164100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27663805:27668302:-1 gene:Manes.05G164100.v8.1 transcript:Manes.05G164100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCFPIPYSSRLHSHLRPQKSLHWKPRHESKLTRPELLDRISRLLILGRHHALKNLNFDFSDNLLDSVLLKLKLHPTSCLNFFKLASKQPNFRPSVKSYCKLVHILSRVRMYNETRSYLNELIGLCKNNYSSFIVWDELVRAYKEFAFSPTVFDMLLKAYAEKGMTKYALHVFDNMGKCGFVPSLRSCNSLLSSLVRKGQSYTAVLVYDQVDRLGIVPDVFTCSIMVNAYCKEGRVGRAMEFVKEMENLGYELNVVTYNSLIDGCVSLGDMEQAKKVLILMGERGILRNKVTFTLLIKGYCRQRKFEEAEKVLREMEKEEGVVLDEYAYGVLIDGYCRVSKMDDAIRVRDELLNVGLKMNLFICNSLINGYCKNGRVCEAERLLTAMGNWDLKPDSYSYSTLVDGYCREGLTSKAFNVCNLMLQVGIEPNVVTYNTLIKGLCRVSAFEDALNLWHLMLKRGVSLNEVSYCTLLDGLFKMGDFPRALSLWNDILARGFGRSTYAFNTVINGLCKMKKMAEAEEIFSRMKDLGCKADAITYRTLSDGYCRLGKVEEAFKVKEIMEKEAISLSIELYNSLIYGLFKSRKTSEVMVLLSEMCIKGLSPNVVTYGTLIAGWCDEDRLDKAFSAYFDMIEKGFAPNVIICSKIVSSLYRLGRIDEANMLLQKMVDFDVFLDHGSFDRFQKADGRYVDSQKIADTLDESAKSFSLPNRVVYNIALAGLCKSGKIDDARNLFSGLLLRGFNPDNFTYCTLIHGLSASGNVNEAFFLRDEMMKRGLVPNIVTYNALMNGLCKSGNLDRAWRLFNKLHSKGLNANVITYNILIDAYCKNGNTREALDLRNKMLKEGISPSIVTCSALIYGFCKQGDVEKSIKLLDEMTEVFVDQNLATFLKLVEGYIKQGDVKKISKLHNMMQVTYHSASVISHKQMELDVFSNAKKILDLDSCIMSQAVSC >Manes.S047716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1515460:1521331:1 gene:Manes.S047716.v8.1 transcript:Manes.S047716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRHDGRAIRRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.12G100611.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26696693:26698984:-1 gene:Manes.12G100611.v8.1 transcript:Manes.12G100611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKCIHCRHQLGVSKIGATTHFLRHLNSFLRRKMNLKGQQQLNITTTITETESVTSVKNFKYDHTKKASHAIASKYCFSAYEIEKKKVKALMKDTNKIVAQGGMQLISCYHLLWSLNISSLDISKEIEVIHICLVRMIGKRLRKCALFLKNLMKLLMSFQVIWTLKLKFKLTS >Manes.10G108050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26097889:26098484:1 gene:Manes.10G108050.v8.1 transcript:Manes.10G108050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFGDFPWLEFCSMVREIFICASDEVRRMKSRSG >Manes.10G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11633693:11636582:-1 gene:Manes.10G071700.v8.1 transcript:Manes.10G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSVRLLSPPLSHSSSSTRHRTRLLAGPSPTVVQPVEVDVDAERLEPRVQEKDGYWILKEKFRQGINPQEKVKIEKEPMKLFMENGIEEISKLSMEEIDKSKDSKDDIDVRLKWLGLFHRRKHHYGRFMMRLKLPNGVTTSEQTRYLASVIRKYGEDGCADITTRQNWQIRGVVLPDVPEILKGLAEVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSQFITGNSRGNPAVSNLPRKWNVCVIGSHDLYEHPHINDLAYMPATKDGRFGFNLLVGGFFSAKRCADAVSLDAWVSAEDVVPLCKAVLEAFRDLGTRGNRQKTRMMWLIDELGIEGFRSEVAKRMPNGELERASSEDLVQKQWQRRDYFGVHPQIQEGFSYVGLHVPVGRLQADDMDEVARLADTYGSGELRLTVEQNIIIPNIENSKIEALLEEPLLKHKFSPEPPLLMKGLVACTGSQFCGQAIIETKARAMKVTEEVQRIVSVRRPVRMHWTGCPNTCAQVQVADIGFMGCMTRDENGKICEGVDVFLGGKIGSDSHLGEVYKKGVPCKDLVPFVVDILVKHFGAVPIEREEVED >Manes.08G171700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40531125:40532417:-1 gene:Manes.08G171700.v8.1 transcript:Manes.08G171700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRVLKYLAWLVLVSLVVGAPKVSRAAVTCEQVVTNLYPCINYVLRGGQVPGQCCTGIKNLFSAAQNTPDRRTVCKCMKSAISSSGVAYNSYNIGLAAGLPAKCHVNVPYKIDPSTNCDTIQ >Manes.01G077100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28047489:28049724:-1 gene:Manes.01G077100.v8.1 transcript:Manes.01G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPLISRRVAVIGAGAAGLAAAHELQREGHNVVVFEREIQIGGTWVYDPRVESDPLSLDPSRSIIHSSLYCSLRTNLQREVMGFIDYPFIPKDDHTRDPRRYPGHREVLLYLQDFAREFGIEDMVRLQTEVVYVGLVEETNKWKVRSKKKRENNDEFEDEIYDAVVVCNGHYTEPCVAQIPGISSWPGKQMHSHNYRIPDLFQDQVVILIGSSLSANEISREIAGVAKEIHVTSRSVRDETYEQQSGYDNMWIHSMIESVHENGSVIFRNGRVVVADIILHCTGYKYHFPFLETNGIVTVDDNRVGPLFKHVFPPALAPWLSFVGLQRKIAPFPIFELQSKWIADVLSGRIELPSQEEMMEDIEAFYLSLEASNVPKRYTHNMGDSQFDYSNWLGAQCGCEGFEEWRIQKYYASGIGRRLRPDTYRDHEEEDDHHLVLEIHQIK >Manes.09G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33996489:34000191:-1 gene:Manes.09G141500.v8.1 transcript:Manes.09G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFKLAARLLGLDSKLEKSLIIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAIVTGKPTDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFVIQGFGNVGSWAAQLISEQGGKIVAVSDVTGAIKNKNGIDIPSLLKHARERKGVKGFHGGDPIDPKSVLVEDCDILIPAALGGVINRENANEIKANIIVEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNKELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Manes.07G105102.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31095706:31096203:1 gene:Manes.07G105102.v8.1 transcript:Manes.07G105102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTRIEARLESVERNLFLLKDGFSKLKTDNETIHSKLSSIESLLQTLLRSKYVVNEGEVSTQLTPNILTLSQPNTFIHSTLLSSPPLSKTLEIANFNGIDPIGWLTRAEQYFELNRSQLEFKVMMAMVCMVEGALHWLRWLQHRQPHLTWEQFSLELVNCYMLI >Manes.15G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7606011:7610739:1 gene:Manes.15G097200.v8.1 transcript:Manes.15G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPVECMDIVGGSVTASPCSSYHPSPCASYNPSPASSSFPSPASSSYAANANADRNSLIPWLKNLSSASSSASSSKLPNLYIRSGSISAPVTPPLSSPTARTPRIKANWDDQSAHPGWRGQHYSFLPSSTPPSPGRQIVPDPEWFAGIHIPQGGPNSPTFSLVSSNPFGFKEDALAGGGAHGGSRMWTPGQSGTCSPAIAAGFDHTADVPMSEAVSEFAFGSNAGVVKPWEGERIHEECGSDDLELTLGSSRTR >Manes.07G070800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:14239890:14242360:-1 gene:Manes.07G070800.v8.1 transcript:Manes.07G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESLAHVLLVSFPGQGHVNPLLRLGKRLASKGLLVTFSTPEITGRQMKKSGSVFDEPTPVGDGYIRFEFFEDGWDDDEPRRQDLDQYLPQLELAGRKLIPEIIMRNFEQGRPVSCLINNPFIPWVSDVAESLGLPSAMLWVQSCACFSAYYHYYHGLVPFPSEKNPEIDVQLPCLPLLKYDEVPSFLYPTTPYPFLRRAILGQYKKLDKPFCILMESFQELEPEIISYMSKICPIKPVGPLFKNPKAPNSAVRGDIMKADDCIEWLVSKPPSSVVYVSFGSVVYLKQDQWDEIAYGLLNSGLSFLWVMKPPHKDSGYEVLVLPDGFLEKAGDKGKVVQWSPQEKVLAHPSVACFVTHCGWNSTMEALSSGMPVVAFPQWGDQVTDAKYLVDVFKVGVRMCRGEAENKLITRDEVEKCLLEATVGPKAEEMKKNAMKWKEAAEAAVAEGGSSDRNIQAFVDEVRRRSVEITSKSSSSQLNDEHENWVNKPSSNGKVEILADSSA >Manes.01G210100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38128212:38140311:-1 gene:Manes.01G210100.v8.1 transcript:Manes.01G210100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLHPVQQTLWDSVLQLTKSAQDKNSDPLLWAIQLSSSLNSAGVMLPSTELAHLLVSYICFENNVPITWKFLEKALAVQIAPPMLVLALLSARVVPNRQLHPAAYRLYMELVKRHAFSFSYQVKGPNYPKIMKSVDEVLRLSQIFGLQVYEPGLLLVEFVFSMVWQLLDASLDDEGLLDLTSKTNSKWLNSLQDMEIDVHKTFSEKRNKLYEGLHNENTTMAIELMGEFLQNKVTSRILYLARRNLPSHWQGFIQRVQQLAAHSAALRNSKHISAEILIQLTSDTRPLLSQECKKKSQQDFHSVMSSGFLRSSAGRCHRASWSVLFLPIDLFLQNAMDGLQVAAIGAVEDLTGLVKALQAVNGTTWHDTFLGLWIAALRLVQREREPSEGPVPRLDTCLCMLLSITTLAVANIIEEEEGELIDETEHSPASQMKEKQGPGKCRHGLITALQLLGDYDSLLIPPESVTSVANQAAAKATLFVSGVNSSNSYYESMSMNDMPSNCSGNMRHLIVEACIARNLLDTTAYFWQGYVNAQSNQIPCGVLGQTPGWSSLMKGSPLTPSLINTLVSTPASSLPEIEKIYEIAVSGSNDEKIAAATILCGASLFRGWNIQEQTILFILKLLSPPVPADYCGSDSYLVDYAPLLSVLLVGISSVDCVQILSLHGLAPLLAGALMPICEVFGSSVPKVSSTSVEGISCHAVFSNAFSLLVRLWRFHYPPIENVMGDKTPVGSHIGPEYLLLVRNSQLASFGTLPRDRIKIRRYSKNLNISLDPIFMDSFPKLKRWYWQHLECIASTFSGLVHGTPVHQLVDALLNMMFRRINRSVQSLTSTTSGSSNSSGPGAEEAYVRLQVPAWDILEATPFALDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYLSAEVTRGVWGPAFMNGTDWPSPAANLATVEQQIKKILSATGVDVPSLPAEGSSPATLPLPLAALVSLTITYRLDKASERFRVLVGPALNALAAGCPWPCMPIIAALWAQKVKRWSDFLVFSASGTVFHHNNDAVVQLLKSCFTSTLGFSTSHISSNGGVGALLGHGFGCHFSGGITPVAPGILYLRVHRSIRDVMFMTEKILSILMHSVKDIANSGLRRETMEKLKRTKYGTRYGQVSLAAAMMRVKLAASLGASLVWIAGGLSLVQSLILETLPSWFISAHGSEQEGGESGGLVPMLGGYALAYFVVFCGTFAWGVDSQSPASKRRQKVLGSHLEFLASAVDGKISLGCDWATARAYISGFLSLMVTCTPTWVLEVNVDILTRLSKGLRQWSEEELSVALLGLGGAGAMGAAAELIIETGL >Manes.15G084700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6514324:6516138:-1 gene:Manes.15G084700.v8.1 transcript:Manes.15G084700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSASQQPNLPPGFRFHPTDEELVVHYLKKKAASAPLPVPIVAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGVRPNRAATSGYWKATGTDKPVLTCGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKVSNRPPGCDLGNKKNSLRLDDWVLCRIYKKNNTHRSMDHDKDDYVEDMLGSIPHSISVGLQQNAKLQLLKGTNYGALVENEQNLFDGMLSNDAMNNTNSISQFASSTSRPAELSMLPLKRTLPSLYWNDDDDLAGPSSSKRLQGDSGDGSVVRTDGNSSIASLLSQLPQTPPLHQQTMLGSTGDGIFRPSYQLSGLN >Manes.15G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6513524:6516147:-1 gene:Manes.15G084700.v8.1 transcript:Manes.15G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSASQQPNLPPGFRFHPTDEELVVHYLKKKAASAPLPVPIVAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGVRPNRAATSGYWKATGTDKPVLTCGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKVSNRPPGCDLGNKKNSLRLDDWVLCRIYKKNNTHRSMDHDKDDYVEDMLGSIPHSISVGLQQNAKLQLLKGTNYGALVENEQNLFDGMLSNDAMNNTNSISQFASSTSRPAELSMLPLKRTLPSLYWNDDDDLAGPSSSKRLQGDSGDGSVVRTDGNSSIASLLSQLPQTPPLHQQTMLGSTGDGIFRPSYQLSGLNWYS >Manes.02G185350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14826349:14827050:1 gene:Manes.02G185350.v8.1 transcript:Manes.02G185350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVYKNIDQINGLPRYFSRGLTIKSVKDQVKGTIQVDVEIKNSLGYSYSDNEELVDAMGVLYIWKK >Manes.S025716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:370547:370714:1 gene:Manes.S025716.v8.1 transcript:Manes.S025716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.07G046300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5229039:5251124:1 gene:Manes.07G046300.v8.1 transcript:Manes.07G046300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKARELSILCDAEVGVIIFSSTGKLYDYASSSMNSVIERYNKLKEEQNQLMNPASEIKFWQREATSLRKELQYLQECHRQLMGEELSGLSVKDLQNLENQLEMSLKGVRMKKDQVLTDEIKELNRKGNLTYQENLKLHKKFDILCQENAELRKKASGERDASEANKSSHPPYTLSNGYDLHAPIHLQLSQPQPHTNEPPPKSMKLG >Manes.07G046300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5229039:5251217:1 gene:Manes.07G046300.v8.1 transcript:Manes.07G046300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKARELSILCDAEVGVIIFSSTGKLYDYASSSMNSVIERYNKLKEEQNQLMNPASEIKFWQREATSLRKELQYLQECHRQLMGEELSGLSVKDLQNLENQLEMSLKGVRMKKDQVLTDEIKELNRKGNLTYQENLKLHKKFDILCQENAELRKKASGERDASEANKSSHPPYTLSNGYDLHAPIHLQLSQPQPHTNEPPPKSMKLGLQLQ >Manes.08G084442.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:24776251:24792396:-1 gene:Manes.08G084442.v8.1 transcript:Manes.08G084442.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFPIFCFGFSSILFSEFWFFNTTTGVLTVFRIFGTIVEPQFFGSTIEKFYSSDFRLSLETSLFSGFYRYCREISLFWILIMLWDLLDFGFLLLPLEITLF >Manes.02G034900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2898360:2899435:1 gene:Manes.02G034900.v8.1 transcript:Manes.02G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLILSPAKLATLFLFFSIHFTSSSATRFLSSNTNAQFVKASCSNTTYPQLCYESFARYASKIQSNPKMLAHVALDVTLSATKSASDLMRNLAKLHGLKAREVAAIADCVEVVGDAVDELERSIGEMGRARGSNSDPVINDIQTWVSAALTNDDTCMDGFAFAAYANDGEVKSTVTNKMTKVARLTSIALALINSFASSQGNSALIL >Manes.13G092900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27298865:27300643:1 gene:Manes.13G092900.v8.1 transcript:Manes.13G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHFVLIHTICHGAWVWDKLKPALEKLGHTVTALDLVASGNDPRQIEDVGSFDAYSEPLLSFLENDVAHGEKVILVGESCGGINVAIAADKFKDKIAAAVFHNSLMPDTVHKASYVLDMFLEVFFPDWKDSVFEPYTFGDDVITAVTLGPILMKENIYTDCPPEDLERAKMLVRKGSTFQETLQKRESFTEKGYGSIKKIYIYGSADKIMTEVFHRWQINNYKPDKVYVVPGGGHKLMLSRVCDLVPILQEVADKYA >Manes.05G060100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5061662:5070521:1 gene:Manes.05G060100.v8.1 transcript:Manes.05G060100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEFIIWSFMGCSGAEAVLNLQPDSLIAIAYHPHFGSHDDLMLLEVDEKLLPDVLQQRVTLRGQPDEGAVLCTRSKTYAIKFVGTSNSSFLIPPSDQFAPSQNSLVCDSKVYDLQQLAPVIKVAPGNMELNEVSPRLDKLKLLLSENPYRTEEVLEMDDWDMGKSKTGLYTWDDLVERVQASNDELRAGLRALSAVEIDGYWRIVEEKYMDMILRMLLHNSVLNDWSLHALNEDEVVRVLASDGFPQKLALHCLDVYGSKMDGDVCTSYVWKLDGRKVCVHFGREILREGKRKMENFMVEWLKKIPDGIQASFDMLEGEVLTEKLGVETWVRAFSVSSLPLTPAERFSILFRERPKWEWKDLHPYIRDLKVPGLSSEALLLKYTRRTQPSLDAEPVFSAR >Manes.14G013200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1252747:1254292:1 gene:Manes.14G013200.v8.1 transcript:Manes.14G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLYITLITLLATASAVKSCPPSDRAALLAFKAALHEPYLGIFNSWKGIDCCHKWYGVSCDPETHKVADINLRGESEDPIFEKAGRSGYMTGTISPSICKLERLSSLTIADWKGISGEIPRCITALPFLRILDLIGNRISGDLPADIGRLQRLTVLNVADNLISGEIPRSLTNLSSLMHLDLRSNRISGPLPRNFGRLRMLSRALLSNNYISGPIPSSISNIYRLADLDLSLNKLSGAIPPSLGRMAVLATLNLDANKLSGVIPSSLFNSGISNLNLSKNAFEGYIPDVFSSGSYFTVLDLSYNNFRGPIPKSLSAASYIGHLDLSHNHLCGKIPGGSPFDHLEASSFAYNDCLCGKPLRAC >Manes.11G041100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4472008:4495465:1 gene:Manes.11G041100.v8.1 transcript:Manes.11G041100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGADHRIETRDQNESGSSSEKTIGPKSYSYGELAKATDHFSHNNLIGKGGFGHVFKASLDGEIRAIKKLDFSDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIYGANRLLILKYFPNGSLRSKLHGSDNVLDWEIRMKIAIGSARGLEYLHENYNRFWTCSIFYRRCYSYIQIISYGNPCANPLIKKALDGRYTNFIDSNLQSFHHEQMYRMVSCVNSCLNQPPSSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHKGSDPRNRGSQQ >Manes.11G041100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4472008:4495465:1 gene:Manes.11G041100.v8.1 transcript:Manes.11G041100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGADHRIETRDQNESGSSSEKTIGPKSYSYGELAKATDHFSHNNLIGKGGFGHVFKASLDGEIRAIKKLDFSDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIYGANRLLILKYFPNGSLRSKLHGSDNVLDWEIRMKIAIGSARGLEYLHENYNRFWTCSIFYRRCYSYIQIISYGNPCANPLIKKALDGRYTNFIDSNLQSFHHEQMYRMVSCVNSCLNQPPSSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHKDPRNRGSQQ >Manes.11G041100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4472008:4495464:1 gene:Manes.11G041100.v8.1 transcript:Manes.11G041100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGADHRIETRDQNESGSSSEKTIGPKSYSYGELAKATDHFSHNNLIGKGGFGHVFKASLDGEIRAIKKLDFSDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIYGANRLLILKYFPNGSLRSKLHGSDNVLDWEIRMKIAIGSARGLEYLHENYNRFWTCSIFYRRCYSYIQIISYGNPCANPLIKKALDGRYTNFIDSNLQSFHHEQMYRMVSCVNSCLNQPPSSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHKDPRNRGSQQ >Manes.11G041100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4472008:4495464:1 gene:Manes.11G041100.v8.1 transcript:Manes.11G041100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGADHRIETRDQNESGSSSEKTIGPKSYSYGELAKATDHFSHNNLIGKGGFGHVFKASLDGEIRAIKKLDFSDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIYGANRLLILKYFPNGSLRSKLHGSDNVLDWEIRMKIAIGSARGLEYLHENYNRFWTCSIFYRRCYSYIQIISYGNPCANPLIKKALDGRYTNFIDSNLQSFHHEQMYRMVSCVNSCLNQPPSSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHKGSDPRNRGSQQ >Manes.11G041100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4472008:4495464:1 gene:Manes.11G041100.v8.1 transcript:Manes.11G041100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGADHRIETRDQNESGSSSEKTIGPKSYSYGELAKATDHFSHNNLIGKGGFGHVFKASLDGEIRAIKKLDFSDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIYGANRLLILKYFPNGSLRSKLHGSDNVLDWEIRMKIAIGSARGLEYLHENYKSKIVHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITVRMPIDNGIDIVTWANPLIKKALDGRYTNFIDSNLQSFHHEQMYRMVSCVNSCLNQPPSSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHKDPRNRGSQQ >Manes.11G041100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4472008:4495464:1 gene:Manes.11G041100.v8.1 transcript:Manes.11G041100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGADHRIETRDQNESGSSSEKTIGPKSYSYGELAKATDHFSHNNLIGKGGFGHVFKASLDGEIRAIKKLDFSDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIYGANRLLILKYFPNGSLRSKLHGSDNVLDWEIRMKIAIGSARGLEYLHENYKSKIVHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITVRMPIDNGIDIVTWANPLIKKALDGRYTNFIDSNLQSFHHEQMYRMVSCVNSCLNQPPSSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHKGSDPRNRGSQQ >Manes.11G041100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4472008:4495464:1 gene:Manes.11G041100.v8.1 transcript:Manes.11G041100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGADHRIETRDQNESGSSSEKTIGPKSYSYGELAKATDHFSHNNLIGKGGFGHVFKASLDGEIRAIKKLDFSDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIYGANRLLILKYFPNGSLRSKLHGSDNVLDWEIRMKIAIGSARGLEYLHENYKSKIVHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITVRMPIDNGIDIVTWANPLIKKALDGRYTNFIDSNLQSFHHEQMYRMVSCVNSCLNQPPSSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHKGSDPRNRGSQQ >Manes.11G041100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4472008:4495464:1 gene:Manes.11G041100.v8.1 transcript:Manes.11G041100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLGMNGADHRIETRDQNESGSSSEKTIGPKSYSYGELAKATDHFSHNNLIGKGGFGHVFKASLDGEIRAIKKLDFSDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIYGANRLLILKYFPNGSLRSKLHGSDNVLDWEIRMKIAIGSARGLEYLHENYKSKIVHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITVRMPIDNGIDIVTWANPLIKKALDGRYTNFIDSNLQSFHHEQMYRMVSCVNSCLNQPPSSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHKDPRNRGSQQ >Manes.08G065450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9483307:9498727:1 gene:Manes.08G065450.v8.1 transcript:Manes.08G065450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLRVGGAEVGRKMTTGMSSMSFKVKELIQGSNQAEKFVEEATAETLEEPDWALNLELCDMINHENINSIELIRGIKKRIMMKNARVQNLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPETVVDNRNKALMLIEAWGEATGELRYLPVYEETYKSLRSRGIQFPGRNNESLAPIFTPPLSDSAQEVDASLAWQIQHDVPVVSFTAEQTKEVFDVARNSIELLTTVLSSSPQQDALKDDLTTTLIQQCRQSQLTVQRIIETAGDNEALLFEALNVNDEIQRVISKYEELKKPIVVSSEPEPAMIPVSVEPDDSPVDGKEDALIRKPAGSRGRTSGGSNDDVMDDLDEMIFGKKTGGTSEGAEDSKKQQASKDDHISL >Manes.08G065450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9483623:9498727:1 gene:Manes.08G065450.v8.1 transcript:Manes.08G065450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLRVGGAEVGRKMTTGMSSMSFKVKELIQGSNQAEKFVEEATAETLEEPDWALNLELCDMINHENINSIELIRGIKKRIMMKNARVQNLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPETVVDNRNKALMLIEAWGEATGELRYLPVYEETYKSLRSRGIQFPGRNNESLAPIFTPPLSDSAQEVDASLAWQIQHDVPVVSFTAEQTKEVFDVARNSIELLTTVLSSSPQQDALKDDLTTTLIQQCRQSQLTVQRIIETAGDNEALLFEALNVNDEIQRVISKYEELKKPIVVSSEPEPAMIPVSVEPDDSPVDGKEDALIRKPAGSRGRTSGGSNDDVMDDLDEMIFGKKTGGTSEGAEDSKKQQASKDDHISL >Manes.08G065450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9483307:9498727:1 gene:Manes.08G065450.v8.1 transcript:Manes.08G065450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLRVGGAEVGRKMTTGMSSMSFKVKELIQGSNQAEKFVEEATAETLEEPDWALNLELCDMINHENINSIELIRGIKKRIMMKNARVQNLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPETVVDNRNKALMLIEAWGEATGELRYLPVYEETYKSLRSRGIQFPGRNNESLAPIFTPPLSDSAQEVDASLAWQIQHDVPVVSFTAEQTKEVFDVARNSIELLTTVLSSSPQQDALKDDLTTTLIQQCRQSQLTVQRIIETAGDNEALLFEALNVNDEIQRVISKYEELKKPIVVSSEPEPAMIPVSVEPDDSPVDGKEDALIRKPAGSRGRTSGGSNDDVMDDLDEMIFGKKTGGTSEGAEDSKKQQASKDDHISL >Manes.02G108200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8412290:8420489:1 gene:Manes.02G108200.v8.1 transcript:Manes.02G108200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWEHFGEIANVAQLTGVDAVRLIGMIVKAASTARMHKKNCRQFAQHLKLIGNLLEQLKISELKKYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNARVRERLEDIQKDQHEYTLDEEDRKVQDVILKPEPLKDQTIVLKKTLSSSYPNLGFNEALQKESQKLQLELQRSQANLDVKQCEVIQHLIDVTEAAAANSLPQKTSPMKASKKLESNNSDVSEKNDSSDENYPKKSDSRTTSRNTSSFSSGDNLLSHRNSYQHEEWHSDLLGCCSEPSLCLKTFFYPCGTFSKIATVATNKHMSPAEACNELMAYSLILSCCCYTCCIRRKLRKTLNISGGFVDDFLSHLMCCCCALVQEWREVETRGVYGPEKTKTSPPPSQFMES >Manes.08G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36338514:36341263:1 gene:Manes.08G123100.v8.1 transcript:Manes.08G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYQQDLFGHSSKEIYNLLGGNWSYDHSLLEKEDDKACSFDNFLENQPESFLHGDWNSSPPPSVFPHFTEMLQINPSPDANNVNNGLTTCTPAATELFPDHNLLAHSSSTMPARAKRRRSRSRKNKEEIENQRMTHIAVERNRRKQMNEYLSILRSLMPESYVQRGDQASIIGGAINFVKELEQRLQSLAAQKETKEKSDGVEASSLPFSEFFTFPQYSTSSTRCENSVAAVNETMSTTQSTSVVDIEVTMVESHANLKIRSKRRPKQLLKVVSGLHAMRLTILHLNVTTIHQIVLYSLSVKIEDDCKLSSVDEIATAVYEMLGRIQEECSMMN >Manes.16G027950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3076813:3081450:1 gene:Manes.16G027950.v8.1 transcript:Manes.16G027950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMRAVDSFMAMDEYFSFPTLSTSGHISHFADFLFLGKKKRSQKMMRKLRTTTVASLIFYNPIVETVAEKSVKLKILAEMTLRNPEIDYWEKMLRFLDNTDSSLKGLVFQLVSTHIDPRTMQANLSNEATLELSKINKTEGKQVSYKLEFQVDSNFGVPGAITVINKYQKELFLESVTIEGIVKFACDSWVQPDKIHPEKRIFFSNKVYLPCQTPLGLKDLRDMELKQLRGDGKGVRKLSDRIYDYDVYNDLGNPDKGVEYSRPTLGGENCPYPRRCRTGRRPNNSDDNTESPVNEELPIYVPRDEALDESKAKAVDEGKLKGLVKNVIHKLSNVATMKSDCIKDFSEVNSLYKERSLLGVQTPMENWRRLPLPSILSKIPLSITEISKFDPPKGISLSGAASCCLRDEEFGRLTLRGLNPLSIERLKVFPPVSKLNPSIYGPQESSLREEHIVGYLNGMTVQQAIEEKKLFILDYHDIYLPVLNRTNALGERKAHATRTIFFLNPLGTLKPIAFELSLPPMDKSSPSKQVVTPPVDDTSYWLWQLAKVHVCSNDAGAHQLIHHWLRVHACMEPFIIAAHRHLSVMHPIFKLLKPHMRDTLAINALARKVLVNAEGIIESYFSPGKYCLEITQSVYRDWWRFDLEGLPADLIRRGIAEPDPTQKHGLRLLIEDYPYANDGLLIWSAVETLVRTYVNYYYPEASLVQYDTELQACYNEFINVGHADVSHADWWPKLSTPEDLASFLTTIIWIVTAEHAALNYGQYHYGGFIPHRPPYMRKLVPSKGNGDFLADPQGHFLSSLPSLSETTYFMSVLDILSTHSMDEEYIGYRKDLSTWGGDPEIIEAFYKFSMEIKKIEKEIERRNADPKLSSRCGPGVAAYELLLPSSGPGVTGRGVTNSISM >Manes.03G086922.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17312070:17312393:-1 gene:Manes.03G086922.v8.1 transcript:Manes.03G086922.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFSLRSLFIAAWFCYCHITPLINLKRDLNLGAHISLSKLDSFSDSESELDSFPDSESELDSFSDSESELDSFSDSKSPLLELPEIIFGCKEFAALTICSFSKSQ >Manes.15G077400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5811266:5817800:-1 gene:Manes.15G077400.v8.1 transcript:Manes.15G077400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTATNGRMRQAFSIVNGEQELVPTSAPHSNAGSECGGIEFTREDVDALLNERMKYKNKFNYKERCDNMMDYIKRLRLCIRWFQEIEGCYLFEQEKLQNLLEFKESQCAEMELMLENKEEELNLIIVELRKSLSSLQEKVSKEESEKLAAVDSLAREKEARISIEKSQASLSEELGKIQGELQSANQRIASVNDMYKLLQEYNSSLQLYNGKLQTDLDTAHETVKRGEKEKAAIVENLSNLRGQHKSLQDQYALCKASLEEVTKQKDALVYEVASLRGELQQARNDRDQYLSQVNDLTARVVNCDELAVKSNELEERCLSQSKQLQTLQEQLEVAQNKLKVSDLSAFETRTEFEAQKRLITELQNRLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSPCAEGKVVSYPTTTEALGRGIDLLQNGQKYPFTFDKVFTPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGHPEQKGLIPRSLEQIFQTRQSLLSQGWKYEMQVSMLEIYNETIRDLLSTNRDSSRTENGTSGKQYAIKHDANGNTHVSDLSIVDVRSTREVAFLLDQAANSRSVGKTQMNEQSSRSHFVFTLRISGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDYVPFRNSKLTYLLQVIV >Manes.15G077400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5811266:5817800:-1 gene:Manes.15G077400.v8.1 transcript:Manes.15G077400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQNKTPLNSTLIITSPSHKYIGDEVPVDKWHQLGVDKMVGTATNGRMRQAFSIVNGEQELVPTSAPHSNAGSECGGIEFTREDVDALLNERMKYKNKFNYKERCDNMMDYIKRLRLCIRWFQEIEGCYLFEQEKLQNLLEFKESQCAEMELMLENKEEELNLIIVELRKSLSSLQEKVSKEESEKLAAVDSLAREKEARISIEKSQASLSEELGKIQGELQSANQRIASVNDMYKLLQEYNSSLQLYNGKLQTDLDTAHETVKRGEKEKAAIVENLSNLRGQHKSLQDQYALCKASLEEVTKQKDALVYEVASLRGELQQARNDRDQYLSQVNDLTARVVNCDELAVKSNELEERCLSQSKQLQTLQEQLEVAQNKLKVSDLSAFETRTEFEAQKRLITELQNRLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSPCAEGKVVSYPTTTEALGRGIDLLQNGQKYPFTFDKVFTPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGHPEQKGLIPRSLEQIFQTRQSLLSQGWKYEMQVSMLEIYNETIRDLLSTNRDSSRTENGTSGKQYAIKHDANGNTHVSDLSIVDVRSTREVAFLLDQAANSRSVGKTQMNEQSSRSHFVFTLRISGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDYVPFRNSKLTYLLQVIV >Manes.15G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5811266:5817800:-1 gene:Manes.15G077400.v8.1 transcript:Manes.15G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQNKTPLNSTLIITSPSHKYIGDEVPVDKWHQLGVDKMVGTATNGRMRQAFSIVNGEQELVPTSAPHSNAGSECGGIEFTREDVDALLNERMKYKNKFNYKERCDNMMDYIKRLRLCIRWFQEIEGCYLFEQEKLQNLLEFKESQCAEMELMLENKEEELNLIIVELRKSLSSLQEKVSKEESEKLAAVDSLAREKEARISIEKSQASLSEELGKIQGELQSANQRIASVNDMYKLLQEYNSSLQLYNGKLQTDLDTAHETVKRGEKEKAAIVENLSNLRGQHKSLQDQYALCKASLEEVTKQKDALVYEVASLRGELQQARNDRDQYLSQVNDLTARVVNCDELAVKSNELEERCLSQSKQLQTLQEQLEVAQNKLKVSDLSAFETRTEFEAQKRLITELQNRLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSPCAEGKVVSYPTTTEALGRGIDLLQNGQKYPFTFDKVFTPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGHPEQKGLIPRSLEQIFQTRQSLLSQGWKYEMQVSMLEIYNETIRDLLSTNRDSSRTENGTSGKQYAIKHDANGNTHVSDLSIVDVRSTREVAFLLDQAANSRSVGKTQMNEQSSRSHFVFTLRISGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDYVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSLGESLCSLRFAARVNACEIGVPRRHTIMRSADSRLSLG >Manes.15G077400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5811266:5817800:-1 gene:Manes.15G077400.v8.1 transcript:Manes.15G077400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQNKTPLNSTLIITSPSHKYIGDEVPVDKWHQLGVDKMVGTATNGRMRQAFSIVNGEQELVPTSAPHSNAGSECGGIEFTREDVDALLNERMKYKNKFNYKERCDNMMDYIKRLRLCIRWFQEIEGCYLFEQEKLQNLLEFKESQCAEMELMLENKEEELNLIIVELRKSLSSLQEKVSKEESEKLQAAVDSLAREKEARISIEKSQASLSEELGKIQGELQSANQRIASVNDMYKLLQEYNSSLQLYNGKLQTDLDTAHETVKRGEKEKAAIVENLSNLRGQHKSLQDQYALCKASLEEVTKQKDALVYEVASLRGELQQARNDRDQYLSQVNDLTARVVNCDELAVKSNELEERCLSQSKQLQTLQEQLEVAQNKLKVSDLSAFETRTEFEAQKRLITELQNRLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSPCAEGKVVSYPTTTEALGRGIDLLQNGQKYPFTFDKVFTPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGHPEQKGLIPRSLEQIFQTRQSLLSQGWKYEMQVSMLEIYNETIRDLLSTNRDSSRTENGTSGKQYAIKHDANGNTHVSDLSIVDVRSTREVAFLLDQAANSRSVGKTQMNEQSSRSHFVFTLRISGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDYVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSLGESLCSLRFAARVNACEIGVPRRHTIMRSADSRLSLG >Manes.15G077400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5811266:5817800:-1 gene:Manes.15G077400.v8.1 transcript:Manes.15G077400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTATNGRMRQAFSIVNGEQELVPTSAPHSNAGSECGGIEFTREDVDALLNERMKYKNKFNYKERCDNMMDYIKRLRLCIRWFQEIEGCYLFEQEKLQNLLEFKESQCAEMELMLENKEEELNLIIVELRKSLSSLQEKVSKEESEKLAAVDSLAREKEARISIEKSQASLSEELGKIQGELQSANQRIASVNDMYKLLQEYNSSLQLYNGKLQTDLDTAHETVKRGEKEKAAIVENLSNLRGQHKSLQDQYALCKASLEEVTKQKDALVYEVASLRGELQQARNDRDQYLSQVNDLTARVVNCDELAVKSNELEERCLSQSKQLQTLQEQLEVAQNKLKVSDLSAFETRTEFEAQKRLITELQNRLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPLLPDDSPCAEGKVVSYPTTTEALGRGIDLLQNGQKYPFTFDKVFTPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGHPEQKGLIPRSLEQIFQTRQSLLSQGWKYEMQVSMLEIYNETIRDLLSTNRDSSRTENGTSGKQYAIKHDANGNTHVSDLSIVDVRSTREVAFLLDQAANSRSVGKTQMNEQSSRSHFVFTLRISGVNESTDQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEDYVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPSSLGESLCSLRFAARVNACEIGVPRRHTIMRSADSRLSLG >Manes.17G091300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29954581:29956794:-1 gene:Manes.17G091300.v8.1 transcript:Manes.17G091300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSRYFHGSSSLGGCWDLQNLGLLNADTMSLVMDGVSPFFSSLESDFSTGYLEDALLEFSERSKRRRLLLYTDDHTKISDDHHFAKSYWNENCEWEMSENLSCMRHLTSSIHGVSEEPISTSLSNMSEEEANVITEMKTPEEEIAATPEILDSSSSSFKNSAKRKSIFENDNLQDHSGEDKRKKRMITRVVYPFALVKPGGVEGDMTINDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTRIHTQGRGTITIIRTKG >Manes.17G091300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29954581:29956794:-1 gene:Manes.17G091300.v8.1 transcript:Manes.17G091300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSRYFHGSSSLGGCWDLQNLGLLNADTMSLVMDGVSPFFSSLESDFSTGYLEDALLEFSERSKRRRLLLYTDDHTKISDDHHFAKSYWNENCEWEMSENLSCMRHLTSSIHGVSEPISTSLSNMSEEEANVITEMKTPEEEIAATPEILDSSSSSFKNSAKRKSIFENDNLQDHSGEDKRKKRMITRVVYPFALVKPGGVEGDMTINDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTRIHTQGRGTITIIRTKG >Manes.06G178700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30322897:30326879:1 gene:Manes.06G178700.v8.1 transcript:Manes.06G178700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKANGSKKGGNALVAVAIDKDKSSQNALKWALENLLSRGQTVVLIHVLQKAASHGHGHSGEINAVGKNQLDKATKDLFLSFRCYCTRKDVQCLDVVLEDYDVVKGLTEYVSYSAIENLVIGASKHGFIRKFKADIPSSVSKGAPDFCNVYVVSKGKVSSMRHASRAAPYASPLRDQIQVLNKQNDAPSTPPQDTSLSLHSGSIRERTPVKPRMSLDESFKSPFERAGRAFNVKSFAELMESDPDISFVSSGRPSTDRSSSVALDFIDSCLNARLSTSSETSFGSIRSGQKFNDLSSLHEFSSFSHDSARTSFSGSSQNLDDMEAEMRRLKLELKQTMDMYSTACKEALTAKQKAMELHRWRKEEERKLEEAKVAEEAALSAAEKEKDRCKAAMEAAEAAKKLAELEAQKRLTVEIKALKEAEEMKKVMEALAQQDVRYRRYNIEDIEEATEYFSAARKIGEGGYGPVYKCHLEHTQVAVKVLRPDAAQGRSQFQREVEVLSLIRHPNMVLLLGAVPEYGVLVYEYMANGSLDDCLFRKGDTPVLPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPDNVLLDHNYVCKISDVGLARLVPAIAENVTQYHMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQIITARPPMGLTHIVEQSIENGVFREILDPAVPDWPLEETLTFAKLALQCAELRRKDRPDLGKVVLPELDKLRTYAQANMNQLMWMESACQSPHHSYTSTSQEVMSDPLIGHSESVKSQSSTSSLAEGT >Manes.06G178700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30323341:30326879:1 gene:Manes.06G178700.v8.1 transcript:Manes.06G178700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHASRAAPYASPLRDQIQVLNKQNDAPSTPPQDTSLSLHSGSIRERTPVKPRMSLDESFKSPFERAGRAFNVKSFAELMESDPDISFVSSGRPSTDRSSSVALDFIDSCLNARLSTSSETSFGSIRSGQKFNDLSSLHEFSSFSHDSARTSFSGSSQNLDDMEAEMRRLKLELKQTMDMYSTACKEALTAKQKAMELHRWRKEEERKLEEAKVAEEAALSAAEKEKDRCKAAMEAAEAAKKLAELEAQKRLTVEIKALKEAEEMKKVMEALAQQDVRYRRYNIEDIEEATEYFSAARKIGEGGYGPVYKCHLEHTQVAVKVLRPDAAQGRSQFQREVEVLSLIRHPNMVLLLGAVPEYGVLVYEYMANGSLDDCLFRKGDTPVLPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPDNVLLDHNYVCKISDVGLARLVPAIAENVTQYHMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQIITARPPMGLTHIVEQSIENGVFREILDPAVPDWPLEETLTFAKLALQCAELRRKDRPDLGKVVLPELDKLRTYAQANMNQLMWMESACQSPHHSYTSTSQEVMSDPLIGHSESVKSQSSTSSLAEGT >Manes.06G178700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30322897:30326879:1 gene:Manes.06G178700.v8.1 transcript:Manes.06G178700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHASRAAPYASPLRDQIQVLNKQNDAPSTPPQDTSLSLHSGSIRERTPVKPRMSLDESFKSPFERAGRAFNVKSFAELMESDPDISFVSSGRPSTDRSSSVALDFIDSCLNARLSTSSETSFGSIRSGQKFNDLSSLHEFSSFSHDSARTSFSGSSQNLDDMEAEMRRLKLELKQTMDMYSTACKEALTAKQKAMELHRWRKEEERKLEEAKVAEEAALSAAEKEKDRCKAAMEAAEAAKKLAELEAQKRLTVEIKALKEAEEMKKVMEALAQQDVRYRRYNIEDIEEATEYFSAARKIGEGGYGPVYKCHLEHTQVAVKVLRPDAAQGRSQFQREVEVLSLIRHPNMVLLLGAVPEYGVLVYEYMANGSLDDCLFRKGDTPVLPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPDNVLLDHNYVCKISDVGLARLVPAIAENVTQYHMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQIITARPPMGLTHIVEQSIENGVFREILDPAVPDWPLEETLTFAKLALQCAELRRKDRPDLGKVVLPELDKLRTYAQANMNQLMWMESACQSPHHSYTSTSQEVMSDPLIGHSESVKSQSSTSSLAEGT >Manes.06G178700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30324030:30326879:1 gene:Manes.06G178700.v8.1 transcript:Manes.06G178700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLTPPPFYPLLSTYAERTPVKPRMSLDESFKSPFERAGRAFNVKSFAELMESDPDISFVSSGRPSTDRSSSVALDFIDSCLNARLSTSSETSFGSIRSGQKFNDLSSLHEFSSFSHDSARTSFSGSSQNLDDMEAEMRRLKLELKQTMDMYSTACKEALTAKQKAMELHRWRKEEERKLEEAKVAEEAALSAAEKEKDRCKAAMEAAEAAKKLAELEAQKRLTVEIKALKEAEEMKKVMEALAQQDVRYRRYNIEDIEEATEYFSAARKIGEGGYGPVYKCHLEHTQVAVKVLRPDAAQGRSQFQREVEVLSLIRHPNMVLLLGAVPEYGVLVYEYMANGSLDDCLFRKGDTPVLPWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPDNVLLDHNYVCKISDVGLARLVPAIAENVTQYHMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQIITARPPMGLTHIVEQSIENGVFREILDPAVPDWPLEETLTFAKLALQCAELRRKDRPDLGKVVLPELDKLRTYAQANMNQLMWMESACQSPHHSYTSTSQEVMSDPLIGHSESVKSQSSTSSLAEGT >Manes.18G017300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1782029:1789043:-1 gene:Manes.18G017300.v8.1 transcript:Manes.18G017300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPCVCFLHFYHFRSQRNFPFNFFISGIDKVFGTECHTKQVYEEGAKEIALAAVSGINSSIFAYGQTSSGKTYTMCGITGYATADIYEYVDQHEEREFVLKFSAMEIYNEAIRDLLSTDSTPLRVLDDPEKGTVVDKLIEETLIDRNHLQELLSICEAQRQVGETSLNECSSRSHQIIRLTVESSVREYTRGGSSSTLTAQVNFVDLAGSERASQTLSAGTRLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILHNSLGGNARTAMICTISPSRNHVEQSRNTLLFASCAKQVTINAQVNVVMSEKALVKQLQKELGRLEGRLKSMGSSSAKGETAALLREKELLIEQMEKEIKELTWQRDLAQSRVEGLIRSTGEDRISRFDENSVSESSEVINPIILAKNNIDFEEASLFTPTAQILQIPDLEDNFLLDDSTPKFFEPDPCQGWEMISQRNNEETEDMCKDVRCIEKTEEASMNRKTEGDALSLGCEEQEEKLAAKEVCNGSAVPRMQEEDKELNHVDSYNSSDALKQKIQELYETINRLEQSVSIDAAASSSKGLTWTRSKSRRTIHMTIPSEVWYEKEQENEKTPHTEDSIEKTPLSEDSIEKTPHAEESIEKPLDIEPKLPELEQVDKTMNMSRKDSHNSISSASTEEESIKEIDVDIDVDIYVNDTTSVLDFVAGVSKSQIPQSEVEDNDVPALGASTRIGESGNSIDAGAERGYGARQHLNWPKKFQKYRRKIIDLWTKCNVPLVHRSYFFLLFKGDPSDNVYMEVELRRLYFLKDTFARGTNVMIDTQIVSQSSSLKALNREKEFLAKQLQKKFTRREREELYLRWGIDLDTKQRSLQLTRRLWTDTTDLKHMRESSVLVAKLVGFVEPRYAPKEMFGLSFLTPSSLSQKSHSWRDNMSSLSLL >Manes.18G017300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1782029:1789043:-1 gene:Manes.18G017300.v8.1 transcript:Manes.18G017300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRREERWSSLGGDELMLGDLQGSSGQDERIFVSIRMRPLNEKEIARNEVCDWECINSTTIIFKSNMPDRSMVPSAYNFDKVFGTECHTKQVYEEGAKEIALAAVSGINSSIFAYGQTSSGKTYTMCGITGYATADIYEYVDQHEEREFVLKFSAMEIYNEAIRDLLSTDSTPLRVLDDPEKGTVVDKLIEETLIDRNHLQELLSICEAQRQVGETSLNECSSRSHQIIRLTVESSVREYTRGGSSSTLTAQVNFVDLAGSERASQTLSAGTRLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILHNSLGGNARTAMICTISPSRNHVEQSRNTLLFASCAKQVTINAQVNVVMSEKALVKQLQKELGRLEGRLKSMGSSSAKGETAALLREKELLIEQMEKEIKELTWQRDLAQSRVEGLIRSTGEDRISRFDENSVSESSEVINPIILAKNNIDFEEASLFTPTAQILQIPDLEDNFLLDDSTPKFFEPDPCQGWEMISQRNNEETEDMCKDVRCIEKTEEASMNRKTEGDALSLGCEEQEEKLAAKEVCNGSAVPRMQEEDKELNHVDSYNSSDALKQKIQELYETINRLEQSVSIDAAASSSKGLTWTRSKSRRTIHMTIPSEVWYEKEQENEKTPHTEDSIEKTPLSEDSIEKTPHAEESIEKPLDIEPKLPELEQVDKTMNMSRKDSHNSISSASTEEESIKEIDVDIDVDIYVNDTTSVLDFVAGVSKSQIPQSEVEDNDVPALGASTRIGESGNSIDAGAERGYGARQHLNWPKKFQKYRRKIIDLWTKCNVPLVHRSYFFLLFKGDPSDNVYMEVELRRLYFLKDTFARGTNVMIDTQIVSQSSSLKALNREKEFLAKQLQKKFTRREREELYLRWGIDLDTKQRSLQLTRRLWTDTTDLKHMRESSVLVAKLVGFVEPRYAPKEMFGLSFLTPSSLSQKSHSWRDNMSSLSLL >Manes.18G058500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5184220:5190044:1 gene:Manes.18G058500.v8.1 transcript:Manes.18G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHSLPLSPSLNRFQCSSPGASFFRQTTLTCPPLVPLTLQSKAKGRVEGLCSIRAMGPSSQPEIETKETNNKEAKLWGGRFEESVTDAVEKFTESISFDKELYKHDIMGSKAHASMLSQQGLISISDRDSILQGLDEIQRRIEAGEFVWRTDREDVHMNIEAALTDMIGEPAKKLHTARSRNDQVLTDFRLWCRDAIDRIIASIKNLQVALVTLALNEEGLIVPGYTHLQRAQPVLLPHLLLAYVEQLDRDAGRLIDCRARLNFCPLGACALAGTGLPIDRFMTSNALGFTAPMRNSIDAVSDRDFVLEFLSANSITAIHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVVGDLVTLLTLCKGLPLAYNRDLQEDKEPVFDSVKTVIGMLEVSAEFAQNITFNHARIQKSLPAGYLDATTLADYLVKKGMPFRTSHDIVGRSVALCVSKNCQLQDLNLDDMRSISPVFEEDVYEYIGVENAVKKFSSYGSTGSACVANQLDYWVAKLEISRSRSH >Manes.02G026000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2226154:2227599:-1 gene:Manes.02G026000.v8.1 transcript:Manes.02G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVLFIGFMTFLIMTLCSLPSQAQLSDETFYALSCPAALATISTTVTLAVLNDTRMAASLIRLHFHDCFVQGCDASVLLDDTPSMIGEKTSIFNDKSLRGFEVIDNIKSQLQSLCPGVVSCADIVAVAARYASVAVGGPTWSVNLGRRDSLTASKTLADSSLPRFTDSVQQLTDLFASKNLTQRHMVALSGSHTVGQAHCATFRDRVNSNTSDIDSEFGRSLREDLPCPADGSGDGNLAPMDLVTPNTFDNSFFRNLVNKKGLLQSDQVLFSGGATDSIVMEYVNNAVTFRSDFAAAMVQMGKISPLTGTQGQIRTFCNVVN >Manes.18G145774.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:29567260:29567924:1 gene:Manes.18G145774.v8.1 transcript:Manes.18G145774.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSATHSTVAHTAQSSTSLHVGQAHSHATDPPTSTWTAPCKLPRLLHTITWAALHTSTSPWPAPPKRLTSLHQHGPAHPFTQPSHTWQPSPMHTDSPWTSPLLHMDEDPPARKTRAFNPRSTPQLHVDIRASDSLPGKQFS >Manes.15G142300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11523124:11527027:1 gene:Manes.15G142300.v8.1 transcript:Manes.15G142300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRVCAFLFSAKRNCPSSFSASSIVLGNSIIVRNAGPSFSYTPRIMLLNGCCSNCLPKSSLPLTCSPGIVAYFATIRCYCYLSAVPEQTIEGRNDVGDSNIDKVYATVMDSSIDMEKALDQLGLELTTGLVVEVLGKLRFEEKIAFRFFMWAGHQQNYAHEPSAYNAMIDILSNTKYKVKQFRIVCDMLDYMKRSNKNVVPVEVLLTILRNYTQKYLTHVQKFAKKKRIRVKTQPEINAFNLLLDAMCKCCLVEDAETMFKRMKNRVKPDANTYNILFFGWCRVRKPTRGMKVLEEMIQLGHTPDSFTYNTAIDAYCKAGRVTEAAELFEFMRTKGSTMSAPTAKTYTIMIVALIQNNRIDEGFKLIGHMINSGCLPDVSTYKELIEGMCLAGKLDEAYRFLQEMGNKGYPPDIVTYNCFLKVLCENGKSDEALRLYRRMIEVGCLPSVQTYNMLISMFFEMDNPDGAFETWHEMCKRDCAQDIDTYSVMIDGLFGCNKVDDACILIEEVVNKGMKLPYKMLDSILMKLSEIGDLRTIHKLSEHTRKFYNPSMARRFSLNEKRKSMSFRGRKKL >Manes.15G142300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11523124:11527027:1 gene:Manes.15G142300.v8.1 transcript:Manes.15G142300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRVCAFLFSAKRNCPSSFSASSIVLGNSIIVRNAGPSFSYTPRIMLLNGCCSNCLPKSSLPLTCSPGIVAYFATIRCYCYLSAVPEQTIEGRNDVGDSNIDKVYATVMDSSIDMEKALDQLGLELTTGLVVEVLGKLRFEEKIAFRFFMWAGHQQNYAHEPSAYNAMIDILSNTKYKVKQFRIVCDMLDYMKRSNKNVVPVEVLLTILRNYTQKYLTHVQKFAKKKRIRVKTQPEINAFNLLLDAMCKCCLVEDAETMFKRMKNRVKPDANTYNILFFGWCRVRKPTRGMKVLEEMIQLGHTPDSFTYNTAIDAYCKAGRVTEAAELFEFMRTKGSTMSAPTAKTYTIMIVALIQNNRIDEGFKLIGHMINSGCLPDVSTYKELIEGMCLAGKLDEAYRFLQEMGNKGYPPDIVTYNCFLKVLCENGKSDEALRLYRRMIEVGCLPSVQTYNMLISMFFEMDNPDGAFETWHEMCKRDCAQDIDTYSVMIDGLFGCNKVDDACILIEEVVNKGMKLPYKMLDSILMKLSEIGDLRTIHKLSEHTRKFYNPSMARRFSLNEKRKSMSFRGRKKL >Manes.06G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25765657:25773515:-1 gene:Manes.06G128300.v8.1 transcript:Manes.06G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKGGATGWYSAKVKAVPSGDALVLTAKSSNKPGPPPERTITLSSLIAPRLARRGGVDEPFAWESREFLRKLCIGKDVKFKIDYAVPSIGREFGSVFIDDQNVGALVVSEGWAKVREQGQQKGEVSPFLAEYQLLEEQAKQNGQGRWSKAPGAAEASIRNLPPSAIGDPSNLDAMGLLAANKGKPMQGIVEQVRDGSTVRVYLLPGFQFVQVFVAGIQAPSMGRRAASETVVEKGLNSDEQNGDASEPRAPLTSAQRLAVSSASIEVSPDPFGIDAKYFTELRVLNRDVRIVLEGVDKFSNLIGSVYYSDGESAKDLALELVENGLAKYVEWSANMMEDDAKRRLKNAELQAKKSRLRIWTNYVPPPTNSKAIHDQNFSGKVVEVVSGDCVIVADDSVPYGSPLAERRVNLSSIKCPKMGNPRRDEKPENYAREAKEFLRRRLIGQQVNVQMEYSRKVTIGDGATVAGSGDLRVMDFGSVFELSSHEGDTDEVSPASSAAGSPQAGVNVGELMVSRGYGTVIRHRDFEERSNYYDALLAAESRASASKRGVHSNKEPPVTHITDLSAASAKKARDFLPFLHRNRKIAAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEASALMRRRIMQREVEIEVETVDRTGTFLGSLWESKTNMAVILLEAGLAKLQTSFGIDRIPDARLLEQAEQSAKKKKLKIWENYVEGEEVSNGPVVDSKQKEVLKVVVTEVLGSGQFYVQMVGDQKVPSIQQQLASLNLQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVSAPRGAVESMKDKFEVFYIDYGNQEEVAYSQLRPLDPSVSLAPGLAQLCSLAYIKVPSLEEDCGPEAAEYLSAHTLSSSKEFRAKVEERDTSGGKVKGQGTGPVLIVTLVAVDSEISINAAMVQEGLARIEKKKKWDSRERQVALDNLEKFQEEARADRRGIWTYGDIQSDDEDMAPPVRKAGGRR >Manes.09G016750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3612135:3614319:-1 gene:Manes.09G016750.v8.1 transcript:Manes.09G016750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLQDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRIPFNRFLSALVKMKQYHTVLSMSKTIELLGITHDVYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCIESKMDKAVEFFDDMLARGYQPNVYTFNVIVKGLCKFGKTNLAIELLKEMADRGCEPDVTYNAIIDTLCKDELVGEALELFSQMRNKGISPNVITYTSLIHGACKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFQEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLRKQIDKARKLFDLMVTNEIANIFSYNILINGYCKCKMIDDAKDIFVEMSHKGLVPGVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCSQGNLDEALTLLKKMEESQLKPNLVTYCILINGMCKAGKINDAKELFSSLFENDLQPNVHKYSAIMKGLCREGLIVEAYKIFRDMEKGGCLPNSCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVRLLCNDDLILRLLKVRNEGSAN >Manes.06G077000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21332963:21335667:1 gene:Manes.06G077000.v8.1 transcript:Manes.06G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAVSAIGFEGYEKRLEITFFELGIFVDPEGKGLRSLSKAQLDEILGAAECTIVDSLSNDHVDSYVLSESSLFVYPYKIIIKTCGTTKLLLAIPPILRLADSLSLNVKSVRYTRGSFNFPGAQSYPHRSFTEEVTVLDSYFGKLGSGSKAYIMGGFESPQKWHIYSVSAADSAISCDHVYTIEMCMTGLDQEKASVFYKTQSASASSMTIDSGIRKILPDSKICDFDFDPCGYSMNAIEGAAISTIHVTPEDGFSYASFEAVGYDPEEVSLNQLVDRVLVCFQPSQFSLAVHASVTGEKLVRTCSLDVKGYCRGERSLEELGMGGSIVYQKFVGTVDSGSPRSTLKCCWKEKEEEDEEEEDSF >Manes.16G129300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33061760:33063961:1 gene:Manes.16G129300.v8.1 transcript:Manes.16G129300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSVLILVLMFLIRYNMTMADGNSMCHSGNDLNLGSVRDSLIRQEDTIVFSLIERARYPVNSRLYNQTLGLISGFSGSLVQFIVKETEAIQAKAGRYENPEETPFFPNDLPPPLVPTHKYPQVLHLAAASVNMNEDIWDMYFNKLLPLFVAEGDDGNYASTAASDLNCLQALSRRIHYGKFVAEVKYREAPQDYEPLIRAKDRDGLMSLLTFKNVEEMVKKRVAKKAMVFGQEVTVGNYSSENYKVDPSIVSNLYSEWVMPLTKLVEVEYLLRRLD >Manes.13G114100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31960868:31963893:1 gene:Manes.13G114100.v8.1 transcript:Manes.13G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDILSRPLKSCFGDGNRGDELSWHIDLKPHASGDYSIAVVQANSLLEDQGQVFTSSSATYVGVYDGHGGPEASRFIADNLFPSLHKFATEQGGLSVDVIRKAFDATEEEFLDLVKRTWMSQPQIISVGSCCLVGIISNGVLYVANLGDSRAVLGRRVSASKTTSAVVAERLSTDHNVGVEEVRKEVAALHPDDSHIVVYTQGVWRIKGIIQVSRSIGDFYLKKPEFNKIPLFQHFGFPIPLKRPVMTAEPSILVRQLTPQDLFLIFASDGLWEQLSDETVVDIVSKSPRAGIARRLVRAALREAARKREMSYEEIKSIEKGVRRHYHDDITVIVMYLDHPLSSSSSTGRFSDHTVVDCTSTPADIFSSDVNEGQC >Manes.17G118000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33421575:33428543:1 gene:Manes.17G118000.v8.1 transcript:Manes.17G118000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSWCNSVRENGKIGSVRSLMKAFRIACHYGDDGGDDSSMKFTIMSSGVFNKIMSFTLSEMDGILRNLLGLPTSGGKKETINNLMTTRKWKNYNHLVKSYLGNALHVLNQMTDADMISFTIRRLKYSAVLLAAFPNLLRKYIKVVLHFWGTGGGALPVVCFLFLRDLCIRIGSDCIDECFKGIYKAYVLNCQFINAAKLQHIQFLRNCVVELLGVDLPSAYQHAFVFIRQLVMILRDAITMKTKESFRKVYEWKFINCLELWTGAICAYSSEVDFRPLAYPLTQIISGVARLVPTARYFPLRLRCVRMLNRIAASAGTFIPVSTLLLDMLEMKELNRRPTGGVGKAVDLRTVLKVSKPTLKTRAYQEACVFSVIEELAEHLAQWSYSVAFFELSFVPAVRLRNFCKSTKIERFRKEIRQLLCQVEANSKFTNEKRILINFLPNDPAATAFLEDEKKSGASPLSRYAATLRQRAQQRNESLEESSVLVGENTIVFGNKASEMNEDEDDDVENEKGAAIFSSSWLPGRESRAKPSKEKKEKKKKTKGQQEAATLDEDIVEDLVLSSDEDGSVDDSLASSEDEDEESTSPNLQRKQQKLSENLPKKRSRPRKSKKKNSAGLASSDGKGDKAKSMPPKQHGKKPKPPGILSKKNVRSQNKKRKRTN >Manes.17G118000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33420908:33428543:1 gene:Manes.17G118000.v8.1 transcript:Manes.17G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEYPVKDLDNEVSEEEEEEEEEEGHRNVSKSRKKLAREHKDQLQKLKEKDPEFYQFLQEHDEELLQFTDEDIEEDAETDLEETKVQADEEISDHDIAEKEEKPSKNVITTEMVDSWCNSVRENGKIGSVRSLMKAFRIACHYGDDGGDDSSMKFTIMSSGVFNKIMSFTLSEMDGILRNLLGLPTSGGKKETINNLMTTRKWKNYNHLVKSYLGNALHVLNQMTDADMISFTIRRLKYSAVLLAAFPNLLRKYIKVVLHFWGTGGGALPVVCFLFLRDLCIRIGSDCIDECFKGIYKAYVLNCQFINAAKLQHIQFLRNCVVELLGVDLPSAYQHAFVFIRQLVMILRDAITMKTKESFRKVYEWKFINCLELWTGAICAYSSEVDFRPLAYPLTQIISGVARLVPTARYFPLRLRCVRMLNRIAASAGTFIPVSTLLLDMLEMKELNRRPTGGVGKAVDLRTVLKVSKPTLKTRAYQEACVFSVIEELAEHLAQWSYSVAFFELSFVPAVRLRNFCKSTKIERFRKEIRQLLCQVEANSKFTNEKRILINFLPNDPAATAFLEDEKKSGASPLSRYAATLRQRAQQRNESLEESSVLVGENTIVFGNKASEMNEDEDDDVENEKGAAIFSSSWLPGRESRAKPSKEKKEKKKKTKGQQEAATLDEDIVEDLVLSSDEDGSVDDSLASSEDEDEESTSPNLQRKQQKLSENLPKKRSRPRKSKKKNSAGLASSDGKGDKAKSMPPKQHGKKPKPPGILSKKNVRSQNKKRKRTN >Manes.17G018849.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9127716:9177779:-1 gene:Manes.17G018849.v8.1 transcript:Manes.17G018849.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISALHPAFLCSIHSPRVSLSFPFLSSKLCLVPHSPSLALKSSARRSVCPCLISSLNANAMAQLVKEDKEAIASASGEKEEDKKEKSSHRRTFLDAKTEEDLLSGIRKQVEAGKLPLNVAAGMEEVYQNYRNAVLQSGIPNAKEIIVSNMAVAMDRMCLDVEDPFVFSPYHKALREPFDYYNFGQNYIRPLVDFRDSYVGNISLFHEVEKQLQQGHNVVLISNHQTEADPAVIALLLEKTNPYIAENLIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMGDDPELIEMKKRANIRSLKEMAGILRDGSQIVWIAPSGGRDRPDPLTGEWYPAPFDTASVDNMRRLADHSGAPGHIYPLALLCYDIMPPPLQVEKEIGERRVISFHGAGLSIAPEIRFSEIAVACENPEEAKKAYAQVLHDSVIEQYNVLKSAIHESRGLEASSPTVSLSQPWN >Manes.17G018849.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9127716:9177779:-1 gene:Manes.17G018849.v8.1 transcript:Manes.17G018849.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISALHPAFLCSIHSPRVSLSFPFLSSKLCLVPHSPSLALKSSARRSVCPCLISSLNANAMAQLVKEDKEAIASASGEKEEDKKEKSSHRRTFLDAKTEEDLLSGIRKQVEAGKLPLNVAAGMEEVYQNYRNAVLQSGIPNAKEIIVSNMAVAMDRMCLDVEDPFVFSPYHKALREPFDYYNFGQNYIRPLVDFRDSYVGNISLFHEVEKQLQQGHNVVLISNHQTEADPAVIALLLEKTNPYIAENLIYVAGDRVITDPLCKPFSMGRNLICVYSKKHMGDDPELIEMKKRANIRSLKEMAGILRDGSQIVWIAPSGGRDRPDPLTGEWYPAPFDTASVDNMRRLADHSGAPGHIYPLALLCYDIMPPPLQVEKEIGERRVISFHGAGLSIAPEIRFSEIAVACENPEEAKKAYAQVLHDSVIEQYNVLKSAIHESRGLEASSPTVSLSQPWN >Manes.02G047600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3892917:3893081:-1 gene:Manes.02G047600.v8.1 transcript:Manes.02G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNLSALLIGLVGAAITLCAYSQTVISATQCITIGLLVLMFGLLVGEGLISLF >Manes.05G182200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30034072:30034785:-1 gene:Manes.05G182200.v8.1 transcript:Manes.05G182200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPLICGSSHHPQDEDEALGSSPCSTPRRSSRKSSKDSKNPYSTLGLDKFSALLADLEEKRAKIYSQMGSQDISLVRFAYSSSNDCVPIVVKLKDQNKACSGDKDETKDHKPRTTHKAEALEKSPTENSIVYHHEEETEKKRILSWNFKSHVWKRPSCYIPVFVVFVLLFLAFFGRSVAILCTSIGWYLVPTFSSKKPAKTKKKQLLRRFSEISPRSNKNFGDLKQKSSHGRQNSF >Manes.13G033500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4174921:4176504:1 gene:Manes.13G033500.v8.1 transcript:Manes.13G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYHVDGAKNPGTLPPNVSAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGITLLLMVLCSLCSGLSFGKDPKGIMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGVFALIVSSAFNAKFKAPAYEVDPIGSTVPEADYVWRIILMFGAIPAALTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVDIEAEPQTIERLNQKPANSFGLLSKEFVSRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWISPAKTMNAIEEVYKIARAQTLIALCSTVPGYWFTVALIDIMGRFAIQLMGFFFMTVFMFALAIPYNHWTHKDNRIGFVVMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQNQDKSKADAGYPAGIGMKNSLIVLGVISFLGMLFTFLVPEPKGKSLEEISGDNEHENGQELQ >Manes.05G160600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27372793:27375842:1 gene:Manes.05G160600.v8.1 transcript:Manes.05G160600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHCTSALLLLFLLQASIANSEPFIGVNYGQVASNLPPPSATAKLLQSTLIEKVRLYGSDPALIKALAGTGIGITIGASNADIPSLASDPNFAKNWVDTNVVPFYPASKIILITVGNEVMSSGDKNLMTKLLPAIQNVQNALNAASLGGKIKVSTVHSMAVLKQSDPPSTGSFDPSFGDLMKGLLGFNNATGSPFAINPYPYFAYKSDPRTETLAFCLFQPNMGRVDANTKIKYMNMFDAQLDAVHSALGSMGFKNVEIVVAETGWPYKGDDNEVGTSIEDAKAYNGNLIAHLRSMVGTPLMPGKSVDTYIFALYDEDLKPGPGSERSFGLFKPDLTMTYDAGLSKGQTPSTPKTPETPKPVTPTPVSNKETWCVPKAGVSDAQLQSNLDYACGRGIDCSPIQPGGVCFEPNTVASHAAYAMNLLYQNSDRSPGNCDFSQTGTLSSKNPSYDACNYPGGSA >Manes.10G133668.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29925011:29928061:-1 gene:Manes.10G133668.v8.1 transcript:Manes.10G133668.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFAQHALGANNFDDHLELKEIGEEIVKRCGGLPLAAKALGGILKGKPNPDLWKEVMSSEMWELPDNRNNILPALKLSYLHLPPLLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDKKKMKDSEGLGQNYFDDLLSRSFFQQSNDNKSKYIMHDLIVDLACFVSREICFHMVGKLQNAKSFAKIRHSSFIPHFMNTFQRFQSFYEMKNLRTLLSWSRYVGRCYLTSKVVHELVPKLKCLRSLSLTHHVIEELPDSIGDLKHLRYLNLSITLIKGLPESVDKLLNLQTLKLHHCYELIELPKGICNLLNLQHLDIIGTRKLKEMPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGQLHITSLENVEVEDIRDAGFANLKDKPGITELHLKWTDEFSYDLRNPSHEEQVLNSMQPHHSLSSLSITSFSGRKFPSWLGEPSFSSMVQVQLSNCHQITSLPPLGRLKSLKKLSIKGSSRVKEVGVEFYEDDSCFSCLEMLEIVNMGKWEQWAWSNGLGEDSVVKFPKLHELRLHNCPKLVGKLPNFLPSMEKLVINNCPRLVELPKVLPSLTALSIRRCQEAILKSVTNATSLTSLQIIECLDLASLVDGEEELLPCSLEVLEVDLCPNLKELPSGLKDLKSLKDLRISQCRSLVSFPAGGLPHNLICLSLQNCGALEYLPEGIVSHSKYSSDTSHLEKLRISGCKSLGSSSNGKFPDFLKTLEIHNWTTQLLNSLYYGLSHLTDLQIWNCPQLESFPGKELPIPSLISLKIAGCEGLRSLSNHMQDLQSLQQLEIGNCPRLELFPEMGLRNPKLVSFEIHRCKNLKSLPNQMQSLTSLQSINMSDCGAAIECSIECLPPNLTMLSMGACLNLKQPMLEWGLHRLTSLRKLGIGSMKSSGDIISFPDDDGFLLPTSLTHLFIAGFKNLKSISMGIQKLTSLEKLEIWRCPKLQSFPAEGFPATLECLCIDNCPLLRDRCLKEKGGDYWPIISHIPRVVIRN >Manes.15G178248.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:22422550:22424055:1 gene:Manes.15G178248.v8.1 transcript:Manes.15G178248.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQLSQISSIKKILPTDVASSLGLCFSLCQESSDFGFYADGLAIWLALVQVFLYRWLWRLFFIIAAFLWIFGLSWCFSGSTCSFAAVLSFCLHCHATSCLFSIYSLVR >Manes.05G119402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12749580:12750485:-1 gene:Manes.05G119402.v8.1 transcript:Manes.05G119402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSSLLGFYGRCHYFINLEIFLSGFSLEVPFKLDKGGIIQARRKRRCNRGYLLEPTSFYMS >Manes.13G035201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4322045:4325352:-1 gene:Manes.13G035201.v8.1 transcript:Manes.13G035201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSAIIFTKRSQMKRFLRVLWLKLTCIFVSHGSFLVAKLNATEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVLDPITREIVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFNKSKGDNNSKLFDHQQFMFQSSTSHNAPFNYLAASASSDHQQQIASLSSSSATPTHHHYHHHQMSCDKSSLINLLQLSQETNTNPLTAATTTTTTDMSSKGDDDYGFLWEDMNLEETSLGDGGASSLENMRFEMGNNMVFI >Manes.13G035201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4322045:4325352:-1 gene:Manes.13G035201.v8.1 transcript:Manes.13G035201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGATLPPGFRFYPSDEELVCHYLYKKVTNEEVSKGTLVEIDLHICEPWQLPEVAKLNATEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVLDPITREIVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFNKSKGDNNSKLFDHQQFMFQSSTSHNAPFNYLAASASSDHQQQIASLSSSSATPTHHHYHHHQMSCDKSSLINLLQLSQETNTNPLTAATTTTTTDMSSKGDDDYGFLWEDMNLEETSLGDGGASSLENMRFEMGNNMVFI >Manes.06G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8508491:8511357:1 gene:Manes.06G032400.v8.1 transcript:Manes.06G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKLYLVISVTIVISLVSYPSSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWINGIEDAEFVGVGARFGTTIVSKEKNANQTRFTLSDPRDCCTPPKKKLDRDIIMVDRGKCKFTTKANNAEAAGASAVLIINNQKELYKMVCEPNETDLDIKIPAVMLPQDAGASLEKMLLNSSSGTFLTLGKKRGDLNILWTKGEPERACPHVHLEHSHELNMEK >Manes.06G032400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8508599:8512976:1 gene:Manes.06G032400.v8.1 transcript:Manes.06G032400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKLYLVISVTIVISLVSYPSSVTAGDIVHDDDSAPKKPGCENDFVLVELQCCFFSSAQFLVKVQTWINGIEDAEFVGVGARFGTTIVSKEKNANQTRFTLSDPRDCCTPPKKKLDRDIIMVDRGKCKFTTKANNAEAAGASAVLIINNQKELYKMVCEPNETDLDIKIPAVMLPQDAGASLEKMLLNSSSGTFLTLGKKRGDLNILWTKGEPERACPHVHLEHSHELNMEKRPKPADSSNA >Manes.06G032400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8508599:8512976:1 gene:Manes.06G032400.v8.1 transcript:Manes.06G032400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKLYLVISVTIVISLVSYPSSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWINGIEDAEFVGVGARFGTTIVSKEKNANQTRFTLSDPRDCCTPPKKKLDRDIIMVDRGKCKFTTKANNAEAAGASAVLIINNQKELYKMVCEPNETDLDIKIPAVMLPQDAGASLEKMLLNSSSGTFLTLGKKRGDLNILWTKGEPERACPHVHLEHSHELNMEKPKPADSSNA >Manes.06G032400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8508599:8511380:1 gene:Manes.06G032400.v8.1 transcript:Manes.06G032400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKLYLVISVTIVISLVSYPSSVTAGDIVHDDDSAPKKPGCENDFVLVELQCCFFSSAQFLVKVQTWINGIEDAEFVGVGARFGTTIVSKEKNANQTRFTLSDPRDCCTPPKKKLDRDIIMVDRGKCKFTTKANNAEAAGASAVLIINNQKELYKMVCEPNETDLDIKIPAVMLPQDAGASLEKMLLNSSSGTFLTLGKKRGDLNILWTKGEPERACPHVHLEHSHELNMEK >Manes.06G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8508599:8512976:1 gene:Manes.06G032400.v8.1 transcript:Manes.06G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKLYLVISVTIVISLVSYPSSVTAGDIVHDDDSAPKKPGCENDFVLVKVQTWINGIEDAEFVGVGARFGTTIVSKEKNANQTRFTLSDPRDCCTPPKKKLDRDIIMVDRGKCKFTTKANNAEAAGASAVLIINNQKELYKMVCEPNETDLDIKIPAVMLPQDAGASLEKMLLNSSSGTFLTLGKKRGDLNILWTKGEPERACPHVHLEHSHELNMEKRPKPADSSNA >Manes.10G096051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24366190:24374897:-1 gene:Manes.10G096051.v8.1 transcript:Manes.10G096051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRVCLIAKDDLLVCISRMQMQPLSRFQAVTLANFTACNYFWSFFMSGYQKNHS >Manes.16G124900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32660669:32668055:-1 gene:Manes.16G124900.v8.1 transcript:Manes.16G124900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTICTRKKQFTIDGLHPGRPNVSEAELKEKLASLCEVKDPNTIFVFKFRTHYGGGKSTGFGLVYESVENAKKYEPKYRLIRNGVDTKVEKSRKQLKERKNRAKKIRRVKKTKAGEAAKGGKKR >Manes.13G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4139539:4145567:1 gene:Manes.13G032900.v8.1 transcript:Manes.13G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASISLLSRLTRQHNKALTPLLSRNYISDTASFSSSSLHSPGNHLKHCTKTNGLIVKPRQFQANPSPNIDTLVEEKAQISSRQRKIKEKYELEEAFESAQTAEEMLQAFKEMEASFDEKELGFAALKIGLKLDQEGEDPEKTLSFATRALKVLDKVDNKPSLLLAMTLQLMGSANYSLKRFNDSLGYLNRANRILGRLGEEGSDNLEDMKAVLHAVQLELANVKTAIGRREEALENLRKCLEIKEMTLEKDSKELGVANRELAEAYVAVLNFKEALPFGLKALDIHRSGLGNNSVEVARDRRLLGVIYTGLEEHQKALEQNELSQKVLKNWGLSSDLLHAEIDASNMQIALGRYDEAINTLKGIVQQTEKDSETRALVFISMSKALSNQEKFADSKKCLEIACGILEKKESVSPVEVAEAYSEIAMQYENMNELETAISLLKRTLSLLEKLPQEQHSEGSVSARVGWLLLLTGKVPQAIPYLENAAERLKESFGSKHFGVGYIYNNLGAAYLELDRPQSAAQMFAVAKDIMDVALGPQHADAIEACQNLSKAYGAMGSYALAIEFQQRAIDAWESHGRSAQDELIEARRILEQLKTKARGTSTNQLPTRALPLPHSSPSGRSLQPDVPLNRK >Manes.08G173100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21660535:21667226:-1 gene:Manes.08G173100.v8.1 transcript:Manes.08G173100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSIKNPKKAKRKNKGSKKGDGSSSSSSIPSMPTKVWQPGVDKLEEGEELECDPSAYNSLHGFHIGWPCLSFDIVRDSLGLIRTEFPHTAYLVAGTQAEKASFNSIGIFKVSNISGKRRELLPTQKNSDDADMDADSSDSDEDSEDAENSGSLTPALQLRKVAHEGCVNRIRAMTQNPHICASWSDTGHVQVWDFTTHLNALAQSEAAVNQGGSSVFNQAPLVKFGGHKDEGYAIDWSPRVTGRLVSGDCKNCIYLWEPTSGATWNFSSAFIGHSASVEDLQWSPTEDEVFASCSVDGSIAIWDIRLGKSPAISFKAHNADVNVISWNRLASVMLASGSDDGTFSIRDLRLLSQKQEDDKSVVAHFEYHKYPVTSIEWSPHEASVLAVSSSDNQLTIWDLSLEKDEEEEAEFRAKTNEQVNAPADIPPQLLFVHQGQKDLKELHWHSQIPGMLVSTAADGFNILMPSNIQSTLPSEGA >Manes.08G173100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21660535:21666437:-1 gene:Manes.08G173100.v8.1 transcript:Manes.08G173100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSIKNPKKAKRKNKGSKKGDGSSSSSSIPSMPTKVWQPGVDKLEEGEELECDPSAYNSLHGFHIGWPCLSFDIVRDSLGLIRTEFPHTAYLVAGTQAEKASFNSIGIFKVSNISGKRRELLPTQKNSDDADMDADSSDSDEDSEDAENSGSLTPALQLRKVAHEGCVNRIRAMTQNPHICASWSDTGHVQVWDFTTHLNALAQSEAAVNQGGSSVFNQAPLVKFGGHKDEGYAIDWSPRVTGRLVSGDCKNCIYLWEPTSGATWNFSSAFIGHSASVEDLQWSPTEDEVFASCSVDGSIAIWDIRLGKSPAISFKAHNADVNVISWNRLASVMLASGSDDGTFSIRDLRLLSQKQEDDKSVVAHFEYHKYPVTSIEWSPHEASVLAVSSSDNQLTIWDLSLEKDEEEEAEFRAKTNEQVNAPADIPPQLLFVHQGQKDLKELHWHSQIPGMLVSTAADGFNILMPSNIQSTLPSEGA >Manes.12G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34390593:34398840:1 gene:Manes.12G137000.v8.1 transcript:Manes.12G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQENPNPTSDDETQIVSRRIQRLSFHLIPIPRPLYESHQLGMVACAKAKLEVDTQKLSFYMRGEHREIQEKVYAYFNSRPELQTPLEISKDEHRELCWRQLSGLVREAGIRPFRYVVEDPAKYFAIAEAAGGMDMSLGIKLGVQYSLWGGSVLNLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQGLQTVATFDQITDEFVINTPNDGAIKWWIGNAAVHGKFATVFARLLLPTNDSKGVSDMGVHAFIVPIRDLKTHQTLPGIEIHDCGHKVGLNGVDNGALRFSSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYSSVGVLKLAGTIAIRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAFHFATLHLVKKYSEMKKTHDEQLFGDVHALSSGLKAYVTSYTAKSLSVCREACGGHGYAAVNRFGTLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFQGGTLSVTWNYLKESMNAYLSQPNPVTARWEGVEHLRDPKFQLDAFRYRTSRLLQSLAVRLRKHSKTLGGFGAWNRCLNHLLTLAESHIESVILEKFIEAVQNCPDASSRAALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTEYLSFQVRNIARELVDAFDLPDHVTKAPIAMQSEAYSQYTQYIGF >Manes.01G185800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36313309:36316902:1 gene:Manes.01G185800.v8.1 transcript:Manes.01G185800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFFYTIKTQFHFLHSVGFINDFVYDSMYRILSFICSYTLSLFGSLFRHIFRFQEGKSQDKFESNSNEVFHQQEDDQFGSCCAQLSEIKKSYGEGENSVVMESVFLASTNKYEFLSGKGICGFVEEPVTLSFTVHELFPDSNNDAIINTPIADTGEFTDEDFQDVEMDAEPEDKAEKAGPFFKSFVIDEASEEKHEQETLMGNKNLEEEELISDDRVTVDRGFGEHEWDAEKKENSVKSLAIEEASEKPEPETSTEANSSDEPEVDALDTNSELLVNGNDGEVFESEVAVSVGVRPESSVLVDEEKTEHNREIEAVSMRDQFTDSDDEYIEIKLQLDKEMLSKEDLSNAVDKEEEQKLVHDTAELEFDSSFHEQKSSDSHVQNDTDYMYEDQDIIEQLKMGLKLARTGGLPTILEESESEELEIPKPVHELRPMKVEDQKFEHKDLLEEIRKIYKSYLDKMRKLDVLNFQTMHSLSLLQMKDTVQFQTARKSSTISLLSQNLLSCRGTAVIDPMEKVIADMQSDLETIYVGQLCLSWEILLWQYRKAQELQKYDSQGSHQYNQVADEFQLFQVLVQRFLENEQFQGPRVLNYVKSRCILRSLLQVPLVKEDSFKDKCKGGDENEDAITSQMLIETIEQSMRDFWEFLRADKEESSLILRGHQKTNVNLQDHVDSELLTDIRTDFQKDKKLKDILRSGSCIMKRFKRQKEEVVHHIQTLFIAQVELKLISRVLNMSKLTTDQLIWCHEKLDKINLCNRKVFVESSFLLFPC >Manes.01G185800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36313309:36316894:1 gene:Manes.01G185800.v8.1 transcript:Manes.01G185800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFFYTIKTQFHFLHSVGFINDFVYDSMYRILSFICSYTLSLFGSLFRHIFRFQEGKSQDKFESNSNEVFHQQEDDQFGSCCAQLSEIKKSYGEGENSVVMESVFLASTNKYEFLSGKGICGFVEEPVTLSFTVHELFPDSNNDAIINTPIADTGEFTDEDFQDVEMDAEPEDKAEKAGPFFKSFVIDEASEEKHEQETLMGNKNLEEEELISDDRVTVDRGFGEHEWDAEKKENSVKSLAIEEASEKPEPETSTEANSSDEPEVDALDTNSELLVNGNDGEVFESEVAVSVGVRPESSVLVDEEKTEHNREIEAVSMRDQFTDSDDEYIEIKLQLDKEMLSKEDLSNAVDKEEEQKLVHDTAELEFDSSFHEQKSSDSHVQNDTDYMYEDQDIIEQLKMGLKLARTGGLPTILEESESEELEIPKPVHELRPMKVEDQKFEHKDLLEEIRKIYKSYLDKMRKLDVLNFQTMHSLSLLQMKDTVQFQTARKSSTISLLSQNLLSCRGTAVIDPMEKVIADMQSDLETIYVGQLCLSWEILLWQYRKAQELQKYDSQGSHQYNQVADEFQLFQVLVQRFLENEQFQGPRVLNYVKSRCILRSLLQVPLVKEDSFKDKCKGGDENEDAITSQMLIETIEQSMRDFWEFLRADKEESSLILRGHQKTNVNLQDHVDSELLTDIRTDFQKVHSLYSLS >Manes.01G185800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36313309:36316894:1 gene:Manes.01G185800.v8.1 transcript:Manes.01G185800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFFYTIKTQFHFLHSVGFINDFVYDSMYRILSFICSYTLSLFGSLFRHIFRFQEGKSQDKFESNSNEVFHQQEDDQFGSCCAQLSEIKKSYGEGENSVVMESVFLASTNKYEFLSGKGICGFVEEPVTLSFTVHELFPDSNNDAIINTPIADTGEFTDEDFQDVEMDAEPEDKAEKAGPFFKSFVIDEASEEKHEQETLMGNKNLEEEELISDDRVTVDRGFGEHEWDAEKKENSVKSLAIEEASEKPEPETSTEANSSDEPEVDALDTNSELLVNGNDGEVFESEVAVSVGVRPESSVLVDEEKTEHNREIEAVSMRDQFTDSDDEYIEIKLQLDKEMLSKEDLSNAVDKEEEQKLVHDTAELEFDSSFHEQKSSDSHVQNDTDYMYEDQDIIEQLKMGLKLARTGGLPTILEESESEELEIPKPVHELRPMKVEDQKFEHKDLLEEIRKIYKSYLDKMRKLDVLNFQTMHSLSLLQMKDTVQFQTARKSSTISLLSQNLLSCRGTAVIDPMEKVIADMQSDLETIYVGQLCLSWEILLWQYRKAQELQKYDSQGSHQYNQVADEFQLFQVLVQRFLENEQFQGPRVLNYVKSRCILRSLLQVPLVKDSFKDKCKGGDENEDAITSQMLIETIEQSMRDFWEFLRADKEESSLILRGHQKTNVNLQDHVDSELLTDIRTDFQKKDKKLKDILRSGSCIMKRFKRQKEEVVHHIQTLFIAQVELKLISRVLNMSKLTTDQLIWCHEKLDKINLCNRKVFVESSFLLFPC >Manes.01G185800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36302624:36316894:1 gene:Manes.01G185800.v8.1 transcript:Manes.01G185800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNSPTAQAHKDEHLLSEIKKSYGEGENSVVMESVFLASTNKYEFLSGKGICGFVEEPVTLSFTVHELFPDSNNDAIINTPIADTGEFTDEDFQDVEMDAEPEDKAEKAGPFFKSFVIDEASEEKHEQETLMGNKNLEEEELISDDRVTVDRGFGEHEWDAEKKENSVKSLAIEEASEKPEPETSTEANSSDEPEVDALDTNSELLVNGNDGEVFESEVAVSVGVRPESSVLVDEEKTEHNREIEAVSMRDQFTDSDDEYIEIKLQLDKEMLSKEDLSNAVDKEEEQKLVHDTAELEFDSSFHEQKSSDSHVQNDTDYMYEDQDIIEQLKMGLKLARTGGLPTILEESESEELEIPKPVHELRPMKVEDQKFEHKDLLEEIRKIYKSYLDKMRKLDVLNFQTMHSLSLLQMKDTVQFQTARKSSTISLLSQNLLSCRGTAVIDPMEKVIADMQSDLETIYVGQLCLSWEILLWQYRKAQELQKYDSQGSHQYNQVADEFQLFQVLVQRFLENEQFQGPRVLNYVKSRCILRSLLQVPLVKEDSFKDKCKGGDENEDAITSQMLIETIEQSMRDFWEFLRADKEESSLILRGHQKTNVNLQDHVDSELLTDIRTDFQKKDKKLKDILRSGSCIMKRFKRQKEEVVHHIQTLFIAQVELKLISRVLNMSKLTTDQLIWCHEKLDKINLCNRKVFVESSFLLFPC >Manes.01G185800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36313202:36317205:1 gene:Manes.01G185800.v8.1 transcript:Manes.01G185800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFFYTIKTQFHFLHSVGFINDFVYDSMYRILSFICSYTLSLFGSLFRHIFRFQEGKSQDKFESNSNEVFHQQEDDQFGSCCAQLSEIKKSYGEGENSVVMESVFLASTNKYEFLSGKGICGFVEEPVTLSFTVHELFPDSNNDAIINTPIADTGEFTDEDFQDVEMDAEPEDKAEKAGPFFKSFVIDEASEEKHEQETLMGNKNLEEEELISDDRVTVDRGFGEHEWDAEKKENSVKSLAIEEASEKPEPETSTEANSSDEPEVDALDTNSELLVNGNDGEVFESEVAVSVGVRPESSVLVDEEKTEHNREIEAVSMRDQFTDSDDEYIEIKLQLDKEMLSKEDLSNAVDKEEEQKLVHDTAELEFDSSFHEQKSSDSHVQNDTDYMYEDQDIIEQLKMGLKLARTGGLPTILEESESEELEIPKPVHELRPMKVEDQKFEHKDLLEEIRKIYKSYLDKMRKLDVLNFQTMHSLSLLQMKDTVQFQTARKSSTISLLSQNLLSCRGTAVIDPMEKVIADMQSDLETIYVGQLCLSWEILLWQYRKAQELQKYDSQGSHQYNQVADEFQLFQVLVQRFLENEQFQGPRVLNYVKSRCILRSLLQVPLVKEDSFKDKCKGGDENEDAITSQMLIETIEQSMRDFWEFLRADKEESSLILRGHQKTNVNLQDHVDSELLTDIRTDFQKKDKKLKDILRSGSCIMKRFKRQKEEVVHHIQTLFIAQVELKLISRVLNMSKLTTDQLIWCHEKLDKINLCNRKVFVESSFLLFPC >Manes.17G055600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25312357:25319935:1 gene:Manes.17G055600.v8.1 transcript:Manes.17G055600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLIQVRCGYSPRFSNNLRNGAYVGPACSTSYAPSSSSCSCCSSQGLNFSSGVNSYWKNQGLRAQAMNTTTQGNFASPRGIMNGSNEPDHLLVLVHGILASPGDWTYVQAELKKRLGRNFLIYASASNTYTKTFSGIDGAGKRLADEVMQVVEKTEGLKRISFLAHSLGGLFARYAVAVLYSENALHTSQSNDLIDSTLPRSIRRGTIAGLDPINFITLATPHLGVRGRKQLPFLLGIPFLEKLAPPVAPILVGRTGSQLFLTDGKPDKPPLLLRMASDCEDGKFISALGAFRCRTIYANVSYDHMVGWRTSSIRREQELVKPPRRSLDGYKHVVDVEYCPPISSDGPHFPPEAAKAKEAAQNEPTVQNTVEYHEIVEEEMIHGLQQLGWKKVDVSFHSAFWPFFAHNNIHVKNEWFHNAGAGVIAHVADSLKQQEFSCLITASL >Manes.17G055600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25312357:25319935:1 gene:Manes.17G055600.v8.1 transcript:Manes.17G055600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLIQVRCGYSPRFSNNLRNGAYVGPACSTSYAPSSSSCSCCSSQGLNFSSGVNSYWKNQGLRAQAMNTTTQGNFASPRGIMNGSNEPDHLLVLVHGILASPGDWTYVQAELKKRLGRNFLIYASASNTYTKTFSGIDGAGKRLADEVMQVVEKTEGLKRISFLAHSLGGLFARYAVAVLYSENALHTSQSNDLIDSTLPRSIRRGTIAGLDPINFITLATPHLGVRGRKQLPFLLGIPFLEKLAPPVAPILVGRTGSQLFLTDGKPDKPPLLLRMASDCEDGKFISALGAFRCRTIYANVSYDHMVGWRTSSIRREQELVKPPRRSLDGYKHVVDVEYCPPISSDGPHFPPEAAKAKEAAQNEPTVQNTVEYHEIVEVC >Manes.17G003200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2488701:2491766:1 gene:Manes.17G003200.v8.1 transcript:Manes.17G003200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPNEDNLSPHTQLSSHFFGDLLDSIIVDVASECHRIAKLGLDRNLEEEEEELRLSTQARVRVADPSNSGETNSKYVVDIFGQTHPPVANEIFECMNCGRSIMAGRFAPHLEKCMGKGRKARLKATRSSTAAQNRYSRGSPVSTYSPHSNSSSTNRLSNGTPGIAGEEYSNGTLEEP >Manes.09G170100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36184655:36187291:-1 gene:Manes.09G170100.v8.1 transcript:Manes.09G170100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVSEMAEETKAAAIIPESVLKKRKRSEEWALLKNQELKVKKEKNAESRKIIFKRAEQYGKEYREKERELIRLKREAKLKGGFYVEPEAKLLFIIRIRGINAMDPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIIEQALGNFGIICMEDLIHEIMTVGPHFKEANNFLWPFKLSAPSGGLEKKRNHYVEGGDAGNREDYINELIRRMN >Manes.08G092000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30970929:30975504:-1 gene:Manes.08G092000.v8.1 transcript:Manes.08G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFKDSLKALEADIQFANTLASYYPREYDGACLQMRLSYSPAAQFFLFLVQWTDCHLAGALGLLRILIYKAYEDGKTTMSIHERKASLREFYGVIFPSLLQLQRGINDVEERKQKEICAKYQKKDEMDKGKLYEIDLEREEECGICMEMNTKVVLPKCNHSMCMKCYRNWRARSQSCPFCRDSLKRVNSGDLWIYTSKNEIADVSSITRENLKRLFMYIDKLTLIAPDPMCASYYPQYW >Manes.13G146700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36256169:36265839:-1 gene:Manes.13G146700.v8.1 transcript:Manes.13G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRANSGSKGFDFGSDDILCSYEDYGNQESSNGTHSDPVVSANSSKDFHKGRMARSSVFPASSYGQPEDSFTQDVISIFEKGMKKHTDNLLRFLEGISSRMSQLELYCYNLDKSIGELRSDLVRDHGEADSKLKSLEKHLQEVHRSVQILRDKQELAETQKELAKLQLVQKESSSSSHSQSEEKTSPPASESKKADSGPEMHNQQLALALPHQVVPQQQPAPVPTPTQVPPQNVAQQQSYYLPTAQTQHPQTQYLSSDSQYRTPQVQDISRVAPQPTQTQINQTPQGQQFPQYQQQWPQQSPQQVQAPQQPAIQPQIRPSSPSVYPTYPPQAQPMSASPSEALANSMPMQVSYAAVPQSLPSRADAMPYGYGAGRTVTQPPPSQQIKGTYGAQPGDGYSTAGPHSAIPAGSTFMMYDNEGGRTHQPPHFPHAGYPPANMGLHNPQQATGANMLARNPSHSPFVRNHPYNELIEKLLNMGFRGDIVVGVIQRMEESGQPVDFNSVLDRLNVHASGGPHRGWSG >Manes.02G123900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9440384:9442266:1 gene:Manes.02G123900.v8.1 transcript:Manes.02G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAHTSHFDTDHHLSRSSSDMSLSGEIGAHRDSSVSDCLSEVDLESGVLEGKLNLDDKTDRDCRICHLGFESNVLENGAAIELGCSCKGDLGAAHKKCAETWFKIKGNMICEICGFTAVNLAGEQANAGQSISAAVSLAPTAPLMLVETRTFWHSRRIMNFLLGCMVFAFVISWLFHFKVL >Manes.14G159021.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21308838:21328901:1 gene:Manes.14G159021.v8.1 transcript:Manes.14G159021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDLDPAFQGAGQKAGLEIWRIENFHPVPVPKSSYGKFFVGDSYVVLKTTSLKSGALRHEIHYWLGKDTSQDEAGCAAIKTIELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHAEAEEHQTRLFVCKGKRVVHVKEVPFARSSLNHDDIFVLDTESKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGLFGGFAPLPRKTSTDEDKIADSHSTKLFRVEKGQAKPVDADSLTRELLDTNKCYILDCGLEVFVWMGRNTSLDERKAASGAAEELVHGANRPKSHIIRVIEGFETVMFRSKFESWPQTTDVAVSEDGRGKVAALLRRQGVNVKGLLKAAPPKEEPQLYIDVTGHLQVWHVDGQEKILLQASDQSKFYSGDCYIFQYSYPGDDKEEYLIGTWFGKKSVEEERASAISLASKMVESLKFLPCQACFYEGNEPIQFFIIMQSFIVFKGGLSTGYKTCIVEKELPDETYREDGLALFRVQGSGPDNMQAIQVEPVASSLNSAHCYILHNDSTIFTWSGNLTTNDDQELVERLLDLIKPNLQTKPQKEGSESEQFWELLGGKTEYPSQKVAREAERDPHLFSCTFLKGREIAVFAGNLKVVEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVDSKSKTHALTIGEKFLESDFLLEKLSHETPIYIVMEGNEPPFFTRFFEWDSAKSAMHGNSFQRKLAIVKHGVAPIVDKPKRRTPVSYGGRSSVPEKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKIYPRSVTPDSGKLASKSAAIEALTASFEQPPPARQVIMPRSVKVSPEGPKSTPGKLTPESNNKENSMSTRIESLTIQEDVKEGEAEDEEGLPIFPYERLTTNSTDPVTEIDVTKRETYLSATEFREKFGMAKDAFNKMPKWKQNKLKMALHLF >Manes.16G129900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33095208:33100169:1 gene:Manes.16G129900.v8.1 transcript:Manes.16G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGGRSLPPLATICAVLVLLFHGAHCFYLPGVAPEDFVKGDKLKVKVNKLTSTKTQLPYSYYTLPYCRPSKILDSAENLGEVLRGDRIENSPFVFKMREPKMCNIVCRLKLDAKTVKEFKEKIDDEYRVNMILDNLPLVVPRQRLDQESPTVYQLGFHVGLKGQYSGSNEQKYFIHNHLAFTVKFHRDLQTDSARIVGFEVKPLSVKHEYEGKWNDEKTHLTTCDPQAKHTVVNSNSPQEVEEKKEVIFTYDVEFQESDVKWASRWDTYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMTLVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGLFAGYASARLYKMFKGTEWRRPAFRTALMFPGIVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFRKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIVTCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSGALYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Manes.17G016450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:8469117:8469578:1 gene:Manes.17G016450.v8.1 transcript:Manes.17G016450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEPLYKAWERMKDMLRICPHHGLSNWIIIEKFYYGLNANTRNIVDNAARGSFMRKEIPEAFALLDELATTNFEFPIDRIPPRIPAGMHEVDTISTLQAQVEVLIRMLDKFTIDSVNYVVQVCENYVGNHDSIECPMDYSLLQVEHINYVDN >Manes.08G082211.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28395840:28399633:-1 gene:Manes.08G082211.v8.1 transcript:Manes.08G082211.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLKLFCLVGLNLLVSIAGGVDGAGECGKSSPDNEALKLAPCAEAAQNENAAVSDGCCLQVKRIGQNTSCESGIKPEIAITIPKRCNIASRPVGYKCGAYMLP >Manes.18G139308.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19056109:19061671:-1 gene:Manes.18G139308.v8.1 transcript:Manes.18G139308.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAADEEVESHAPSEAAAPVAAPPPAASPPPAAAGGPGQDALFQQIAELIRRVTQNVPEVLPPPPPVVAQAQPRPPIEKLRKYGATEFRGKKEDDPSAAEFWLESTERVLQQLQCSPAESLMCAVSLLKDEAYRWWTTLTQMVRLERQTWEFFLAEFKKKYIGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCKRFEQGLHADIRMYLTVMHIRELSVLVETAHRLERIKEEEQSRRQKGQQKRSQSQYQGQSFASQTSSKRQREFQQTGQRGPPRQIQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPIQTERSLPTGSRGRGRGRGESSSAQSHRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAGEGTSKGKEIARD >Manes.14G099300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8243774:8245518:-1 gene:Manes.14G099300.v8.1 transcript:Manes.14G099300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSFIYTCNFPFFIRSNQDITKFPFEDFLMEFINNQDLEYDGHDDDDVYYMEIRRQILLLTADEDEEEFPHAKVSNPVAAAASKRVSSRLERCSSCGVKHGSSNSFPWWEVQNTNSAPAWLVNLWRRTGNGTGVFIPQNQAVQSRRRYRTSGRMMNNEKSRMYRARNRL >Manes.16G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:641681:645009:-1 gene:Manes.16G005900.v8.1 transcript:Manes.16G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKFSLLIIAIIFVFSTGIFRFSLAKSLPQAVAQIKQQKQDQDSEPFVGFNVGTDVSNVLSPKDLVSFLQTQKITHVRLYDADPDTLKALAKTKIRVIISVPNNQILAIGSSNATAASWIGRNVVAYYPETLITAIAVGDELLTTIPSSAPLLMPAIESLYSALVAANLHTQIKISTPHAASIMLDPFPPSQSFFNQSWNSVMLPLLQFLSKTGSPLMMNLYPYYVFMQNKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYFSMKNLNITDVVVLVTETGWPSRGDSKEPYATIDNADTYNSNLIKHVLDRSGTPFHPEVTSSVYIYELFNEDLRSPPVSEANWGLFYGNSTPVYLLHVYGSGTFLANDTTNQTYCIAMDGVDSRTLQAALDWACGPGRANCSEIQPGEGCYQPNSVKYHASYAFDSYYQKEGKAPGSCDFKGVAMITTTDPSHGSCIFPGSKKVSNKTRTVANLTQESSATHSLRFINFKSSRIDKGLSSFLAVVFSILLHIPFV >Manes.16G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3752624:3754545:-1 gene:Manes.16G031400.v8.1 transcript:Manes.16G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDVVLLDLWVSPYTARVKIALAEKGIEYESKEEDLRNKSSLLLETNPAYKKVPVLIHKGKPISESLIIVQYIDEFWNHKSPLLPSDPYERAHARFWADFVDQKIQAAIYRIFWAPSYSEEKEAAEKEFIECCKVIEGELGNKPYFGGETFGFVDIALIPSYSYFYAHERMGNLSLVEELPKLTAWVKRCLERETVAKSLADPHKIYEFVSEIRKQKGLE >Manes.12G157400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36538954:36543754:1 gene:Manes.12G157400.v8.1 transcript:Manes.12G157400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGANNFRLRGRHLSIALLALTFTTIFLWAWEKNPFVTTLQSAQEQFSFHSSEFLVDSPEDSSSPLSLNQTEHVEEAYSHSISSEDLEKQNKDSGASALNSTASFTSEKEDNDGDNMSSSKLKACNYAKGRWVADSRRPLYSGFGCKQWLSGMWACRLTQRTDFSYEGYRWQPENCKITEFESSQFLKRMQDKTIAFIGDSLGRQQFQSMMCMATDGEWRLDVEDVGAEYGLVKPRGAIRPDGWAYRFSNTNTTILYYWSASLADLEPLNITDPSTNVAMHLDRAPAFMSRFLHRFDVLVLNTGHHWNRGKLRANRWVMYVNGKPVEDRRLAEIGNAKNFTVNSVVRWLDSQLPSHPRLVAFFRTISPRHFRNGDWNSGGHCDVTTPLTQGSEVTQDESSDPVVAGAVKGTRVKLLDITAVSELRDEGHISRYSVKATPGVNDCLHWCLPGIPDTWNELLAAQI >Manes.06G092900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22795015:22798783:-1 gene:Manes.06G092900.v8.1 transcript:Manes.06G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATFFISSPPYFTLSCRNYKSSLFPKSILVKASSTSLDYSTASVADKPIIPLKSNNWEWKLKDNSINIYYEEHVKESSDPPKNILMIPTISDVSTVEEWRSVARDIVQRVGKINWQATIVDWPGLGFSDRPKIDYNADIMEKFLVDFINAPDSPLQYSDEKDLVVFAGGHAATVILRAAKKGLVNPTAMAAVAPTWAGPLPIVFGRDSSMETRYGMLRGTLKAPGVGWMVYNMLVSNEKAIKSQYQSHVYANPENVTADIVESRYALTKRKGARYAPAAFLTGLLDPVKSREEFLELFADLDGKLPVLVISTEGSPKRSKAEMEALKGAKGVSKFIEVPGALLPQEEYPNMVAEELYQFLQENFEFGR >Manes.11G037500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3623274:3628998:-1 gene:Manes.11G037500.v8.1 transcript:Manes.11G037500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVVSTCLGGAFIGSMFSGWIADGVGRRRAFQLCALPMIIGASISATTKDLKGMLLGRFFVGTGIGIGPPVAALYVSEVSPAHVRGTYGSLTQISTGLGIMGSLFIGIPAKETLGWWRICFWVSAVPAAALALFMEFCAESPHWLLKRGRSAEAETALEKLLGGSHVKFAMVELSKSDRGDEADKVKLSELLYGRHFRVVFIGSALFVLQQLSGINAVFYFSSTVFKNAGVPSKSANICIGIFNLSGSVIATLLMDKLGRKLLLIGSFSGMAVSMGLQAIAPSPFVPRTAALYLSVGGMLMFVLTFSLGAGPVPSLLLSEILPGRVRAKAMAVCMAVHWVVNFFVGLLFLHLLEQIGPLVLYTAFASFCLLAVIFVKKNVVETKGKSLQEIEIALLP >Manes.11G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3623274:3630619:-1 gene:Manes.11G037500.v8.1 transcript:Manes.11G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMRGRHGDIASSRYKRVPSSDFIDAYDKEESSEHLLKGTGKDIGHPSWRRSLVHVLVATLSSFLFGYHISVVNETLESISLDLSFSGNTMAEGLVVSTCLGGAFIGSMFSGWIADGVGRRRAFQLCALPMIIGASISATTKDLKGMLLGRFFVGTGIGIGPPVAALYVSEVSPAHVRGTYGSLTQISTGLGIMGSLFIGIPAKETLGWWRICFWVSAVPAAALALFMEFCAESPHWLLKRGRSAEAETALEKLLGGSHVKFAMVELSKSDRGDEADKVKLSELLYGRHFRVVFIGSALFVLQQLSGINAVFYFSSTVFKNAGVPSKSANICIGIFNLSGSVIATLLMDKLGRKLLLIGSFSGMAVSMGLQAIAPSPFVPRTAALYLSVGGMLMFVLTFSLGAGPVPSLLLSEILPGRVRAKAMAVCMAVHWVVNFFVGLLFLHLLEQIGPLVLYTAFASFCLLAVIFVKKNVVETKGKSLQEIEIALLP >Manes.11G037500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3623274:3628998:-1 gene:Manes.11G037500.v8.1 transcript:Manes.11G037500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWWQHFPLSCLATILESISLDLSFSGNTMAEGLVVSTCLGGAFIGSMFSGWIADGVGRRRAFQLCALPMIIGASISATTKDLKGMLLGRFFVGTGIGIGPPVAALYVSEVSPAHVRGTYGSLTQISTGLGIMGSLFIGIPAKETLGWWRICFWVSAVPAAALALFMEFCAESPHWLLKRGRSAEAETALEKLLGGSHVKFAMVELSKSDRGDEADKVKLSELLYGRHFRVVFIGSALFVLQQLSGINAVFYFSSTVFKNAGVPSKSANICIGIFNLSGSVIATLLMDKLGRKLLLIGSFSGMAVSMGLQAIAPSPFVPRTAALYLSVGGMLMFVLTFSLGAGPVPSLLLSEILPGRVRAKAMAVCMAVHWVVNFFVGLLFLHLLEQIGPLVLYTAFASFCLLAVIFVKKNVVETKGKSLQEIEIALLP >Manes.11G037500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3623274:3630619:-1 gene:Manes.11G037500.v8.1 transcript:Manes.11G037500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWWQHFPLSCLATILESISLDLSFSGNTMAEGLVVSTCLGGAFIGSMFSGWIADGVGRRRAFQLCALPMIIGASISATTKDLKGMLLGRFFVGTGIGIGPPVAALYVSEVSPAHVRGTYGSLTQISTGLGIMGSLFIGIPAKETLGWWRICFWVSAVPAAALALFMEFCAESPHWLLKRGRSAEAETALEKLLGGSHVKFAMVELSKSDRGDEADKVKLSELLYGRHFRVVFIGSALFVLQQLSGINAVFYFSSTVFKNAGVPSKSANICIGIFNLSGSVIATLLMDKLGRKLLLIGSFSGMAVSMGLQAIAPSPFVPRTAALYLSVGGMLMFVLTFSLGAGPVPSLLLSEILPGRVRAKAMAVCMAVHWVVNFFVGLLFLHLLEQIGPLVLYTAFASFCLLAVIFVKKNVVETKGKSLQEIEIALLP >Manes.02G076900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5849627:5853665:1 gene:Manes.02G076900.v8.1 transcript:Manes.02G076900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDMSLDDIIKKNRERGRGRGRPRRGRGPGGPFNGGRMVGAVRKGPLSVNSRPAQYTIAKPPRRIRSLPWQHDLLEDSIRAAGITGVEIGTKLYVSNLDYGVSNEDIRELFSEIGDLKRYAVHYDKNGRPSGSAEVVYTRRSDAFAALKKYNNVLLDGKPMKIEIVGASAEMPFSARVNVTGVDGRRKRTVVMTPGPGRVRAAAPSNRGSGQNRRGGLRNGRGRGQGQGQGRGRGRGRGKKQPFVKSADDLDKELENYHAEAMQT >Manes.02G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5849627:5853665:1 gene:Manes.02G076900.v8.1 transcript:Manes.02G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDMSLDDIIKKNRERGRGRGRPRRGRGPGGPFNGGRMVGAVRKGPLSVNSRPAQYTIAKPPRRIRSLPWQHDLLEDSIRAAGITGVEIGTKLYVSNLDYGVSNEDIRELFSEIGDLKRYAVHYDKNGRPSGSAEVVYTRRSDAFAALKKYNNVLLDGKPMKIEIVGASAEMPFSARVNVTGVDGRRKRTVVMTPGPGRVRAAAPSNRGSGAGSLSSQNRRGGLRNGRGRGQGQGQGRGRGRGRGKKQPFVKSADDLDKELENYHAEAMQT >Manes.13G063846.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7963700:7966924:-1 gene:Manes.13G063846.v8.1 transcript:Manes.13G063846.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFPKSTLSRGKAEVYVAAVPLRATRGAAQLLMSTAYSLNLWDLQHFMVIIKSHQPQPPPPSQAFIVFDFQPKDPENIYTALAVLSGRAVPGAVLVRKLAKLPRSKCWLIGSSEVDALNVATEFSNGWETCLRVGRHDCRDYTNAY >Manes.13G063846.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7963700:7966924:-1 gene:Manes.13G063846.v8.1 transcript:Manes.13G063846.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFPKSTLSRGKAEVYVAAVPLRATRGAAQLLMSTAYSLNLWDLQHFMVIIKSHQPQPPPPSQAFIVFDFQPKDPENIYTALAVLSGRAVPGAVLVRKLAKLPRSKCWLIGSSEVDALNVATEFSNGWETCLRVGRHDCRDYTNGLVELLTGERNVLMRLRSSDSQG >Manes.04G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1132555:1140852:1 gene:Manes.04G009000.v8.1 transcript:Manes.04G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDSPTQLTDSQKNFMPQPEQVQEKEQGGPDAEKRSLLSPDSDSDSDSDSDSDYDYDYVPGQDANVSYTRPGEEPPPSTNTPEINIALFSEALDSVKQKQEEADRKYISREELFNFPKDNEDWREEDLKELWADAPLEMTKPGWDPVWADEEDWGIMMKEKKEGRDPPIAPFYLPYRPPYPVIPDNNFDVRTPKDVVEELDRIEEFLTWVSYIFPDGSSYEGTVWDDLAHGKGVYIAEQGLVKYEGEWLRNNMEGHGVCEVDIPDIEPLPGSKLEAKMRAEGHIISRDFMSPEDRKWLEMDIEDSILLTRGQYEIPFYENDEWIRQFGEKPEKGRYRYAGEWKHGRMHGCGVYVVNERMLYGRFYFGEYVEEATDCDENISALHAGIAEVAAAKARMFVNKPDGMVREDRGPYSDPQHPYFYEEEDVWMAPGFINQFYEVPDYWKRYVQDVDQEREMWLNSFYKAPLRLPMPAELEHWWSNDEEPEFVILSKEPEPDPEDPSKLIYTEDPVILHTKTGRIINYIEDEEHGVRLFWQPPLKDGEDVDPKKVEFLPLGFDDFYGEETVQETMWQRLLKAVENAVKPTLDKLEKWTKEKKKESEVKIKLLEEELALAEAELSLEEAIEDMDEELKMQEKEEEEEKAEIDLQEKEDGSVSPDQDQKPVAAEEEEAEEEEDDEDDDVTPSSFGSVGQVESSTKNDQQGKRPGETPFSSCSLSFASSSLLSAVPSGIQQSFLAWRNRLPEKPTSPLHAEGLNVLPGGVNSVSFPIIIGQKGRLKAKNHGNQTFRSRKSIGKMSQLHSLSRILSLTSASPNPEKGLKASRNQSHTWLHAAPERDSDSILSLHIQVYS >Manes.04G009000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1132555:1140852:1 gene:Manes.04G009000.v8.1 transcript:Manes.04G009000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDSPTQLTDSQKNFMPQPEQVQEKEQGGPDAEKRSLLSPDSDSDSDSDSDSDYDYDYVPGQDANVSYTRPGEEPPPSTNTPEINIALFSEALDSVKQKQEEADRKYISREELFNFPKDNEDWREEDLKELWADAPLEMTKPGWDPVWADEEDWGIMMKEKKEGRDPPIAPFYLPYRPPYPVIPDNNFDVRTPKDVVEELDRIEEFLTWVSYIFPDGSSYEGTVWDDLAHGKGVYIAEQGLVKYEGEWLRNNMEGHGVCEVDIPDIEPLPGSKLEAKMRAEGHIISRDFMSPEDRKWLEMDIEDSILLTRGQYEIPFYENDEWIRQFGEKPEKGRYRYAGEWKHGRMHGCGVYVVNERMLYGRFYFGEYVEEATDCDENISALHAGIAEVAAAKARMFVNKPDGMVREDRGPYSDPQHPYFYEEEDVWMAPGFINQFYEVPDYWKRYVQDVDQEREMWLNSFYKAPLRLPMPAELEHWWSNDEEPEFVILSKEPEPDPEDPSKLIYTEDPVILHTKTGRIINYIEDEEHGVRLFWQPPLKDGEDVDPKKVEFLPLGFDDFYGEETVQETMWQRLLKAVENAVKPTLDKLEKWTKEKKKESEVKIKLLEEELALAEAELSLEEAIEDMDEELKMQEKEEEEEKAEIDLQEKEDGSVSPDQDQKPVAAEEEEAEEEEDDEDDDVTPSSFGSVGQVESSTKNDQQGKRPGETPFSSCSLSFASSSLLSAVPSGIQQSFLAWRNRLPEKPTSPLHAEGLNVLPGGVNSVSFPIIIGQKGRLKAKNHGNQTFRSRKSIGKMSQLHSLSRILSLTSASPNPEKGLKASRNQSHTWLHAAPERDSDSILSLHIQVYS >Manes.10G055666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7100889:7101220:1 gene:Manes.10G055666.v8.1 transcript:Manes.10G055666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTRPFRLRDPFIVAGQATFGGRTCLRMHAMFGGRTWTSLTYAFGGLRHTRNACMFDGRTWVFLQGYFHTKLIFLFT >Manes.10G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:551639:557369:-1 gene:Manes.10G001600.v8.1 transcript:Manes.10G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRVGMVFLLVFIAADESYATQISPSPGPEPNLGYGGTPPIVALTDERCSDSFTRSCNLNHMTACLNISAASKDLFLVVQNDGEDSLKVNVTVVDINVAIPEIQVPKHQAKKIKIMANIEGSPYVTIKSGILKCAIPIGSKKSNSGFYKQFATHLSPIYGVYLLFFTFLIAGGSWACCKIVKNERHDGGVQYQELEMGQAESHSANDEEMALGWNQSWDDDWVEQKEGKPLDEHLTENVSANGHASPTSDKDGWGNDWDD >Manes.10G126350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29374153:29376207:1 gene:Manes.10G126350.v8.1 transcript:Manes.10G126350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGVAILCFVVLMIVTPAIPQSQGYHDFADKRQFFGTPNTLNVVSNLPFLFIGVIGFVLCHHGNYFKLTWKVSFGVTCFFLVVAAVAFGSGYYHLKPDDARLVWDRLPMTVAFTSRIAIFIIERIDERKGTISILPLVLAGITSIVYWRFCKDLRPYALVQFVPCIAIPFMAILLPPMYTHSAYWLWAQDFTF >Manes.01G195400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36953252:36958219:1 gene:Manes.01G195400.v8.1 transcript:Manes.01G195400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMNRKPIFSMSKSPQTAWPLLPSVFLLLCFMGLGFGSIYSKALKVPFTVNDMLPVLPHQISWPVLNNFHGAIDLLPYFVGSVSPRNGSIQWKGACFYENEAHLDFTQGDRDQSGLGGGILYLKTSAAHSWTCMDLYVFATPYRLTWDYYFSAREHTLMFGSWEEPAELEYVKQHGISVFLMPSGMLGTLRSLMDVLPLFSNTGWGENANLAFLKKHMGATFERRPQPWRATINPDDVDSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEMGNLWVGESGRENEKGEEIIAVIPWDEWWDMSLKDSSNPQIALLPLHPDVRAKFNNTAAWEYARSMLGKPYGYHNMIFSWIDTITDNYPPPLDAHLVISVMSMWTRVQPTYAANMWNEALNKRLGTEDLDLYGILAEVERRGITFDELLTAPEQDEWIYSDGKSTTCVAFILAMYKEAGVFGPISSSIQVTEFTIRDAYMLKIFENNQTRLPGWCNNKDGQLPFCQILGEYQMELPGYNSVEPYANMNENCPSLPPSYDRPGRC >Manes.03G095816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:16449583:16450794:-1 gene:Manes.03G095816.v8.1 transcript:Manes.03G095816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSTLRVKLFHNLQVKRKNDDYKVKEIERLLMRRSMKTRQFVEREKKNKTIIIKYYIYFTNTLKNIIIILIKINKQHCTLLVDMGRYYYFLEFFFWWVCKGVFGIIYLFFLGEIGFGWVRVHRDL >Manes.05G086331.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7724821:7725356:1 gene:Manes.05G086331.v8.1 transcript:Manes.05G086331.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYCPGKHAWPELVGKDGNYAAAIIEKENNVKAIVVQVGTHLPYDFVCYRVWVWVDENNVVIRTPTVG >Manes.S028252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2346701:2346859:1 gene:Manes.S028252.v8.1 transcript:Manes.S028252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.03G024100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1967780:1975416:-1 gene:Manes.03G024100.v8.1 transcript:Manes.03G024100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVKNEDLIPGAKFTGKIRSIQQFGAFVDFGAFTDGLVHVSRLSDSFVKDVGNVVSVGQEVTVKLVEVNMETGRISLTMRESDITNKPQERVDSPGTSGDKPKPARRNTPKPSQRKEVKSSKFVKGQDLDGTVKNLTRSGAFISLPEGEEGFLPASEESEDGLLNMTGGSSLQVGQEVNVRVLRVARGQVTLTMKKEEDNDFDLEVSQGVVHVATNPFVLAFRKNKDIAAFLDEREKIEIVAKEPVKPKTSVEVGQVNQTETVSGIPKVQDQDQPPSSDEELVCVSSTVVETVEDVEITPEELNVESSSSGDDEPESIESSTSQFVGEVVKPVEDPEATPKDVAVGSSSAGDDEKPESIEPNSSQSVKGVLQTVEKEAEEGSVSTADKIIEKASSTDEVEDGKSGLTSSIVPVQNEGTGATSADEIGSISSSGGQADILPPQEAKESQDFGVVENQVDNVKDELATQTSAADSEISSAIQVEDEKQVEKTKDEVEIQTSAAEAEIPSPEQVEIEKQVENTKGEVEIQTSAAAAEIPSPEQVEIEKQVENTKGEVEIQTSAAAAEIPSPEQVEIEKQVENTKGEVEIQTSAAAAEIPSPEQVEIEKQVENTKGEVEIQTSAAAAEIPSPEQVEIEKQVENTKGEVEIQTSAAAAEIPSPEQVGNTKDEVEIQTSTIQAEIPSAIQVENEKVETVPDENGTSRNSNAQGSSSSTQESVAKATISPALVKQLREETGAGMMDCKKALSETGGDIVKAQEFLRKKGLVSAEKKASRATAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVDDIAMQVAACPQVQYLVTEDVPEEIVNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKRLEELALLEQPYIKNDKLVVKDWVKQTIATIGENIKVRRFIRYNLGEGLEKKSQDFAAEVAAQTAAKTFTTPAKEQPASAEAKETVQKPPTVTVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSIEEVPESILNKEKELEMQREDLLSKPENIREKIVEGRVSKRLGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRFTLGEATEDAKSETETSDE >Manes.12G081200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11618399:11623913:1 gene:Manes.12G081200.v8.1 transcript:Manes.12G081200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRAVGRTSAAGAGTGNNASSTGKSASEISVSKVEQLGQGVAGIRLDAAQDDGEWEVKSRKTKSRAGSSAAKPWGSQNSNSKAWGHPDLVQKPGMRNNGGSGKVSANAWPTQGFDSKKPTGRGNTRPQSSSWGLDNYIAPQSVIPPPLEHGWNWRSRAGATDPNGTEDDQRKDEDTEVNKETDVDDEDDDAMDDTDDELLSEDFDSDASQKSHETRKKSRWFKQFFESLDSLTVEELNEPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGSKRVRLHRELAELLEEELRRRGTSVIPAGEVFGKWKGLKDEERDHEIVWPPMVIIMNTRLEQDENDKWIGMGNQELLDYFSGYAAAKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFADQGTDRNAWDRRRVLFHPGGNRQLYGYMAVKEDLDIFNQHSQGRSKLKYEMRSYHEMVVCQINQMSEDNQQLIWFKSKADKEKRKNRTLEESLEIVTDKLRKTTEENRIVRQRTQMHHEQSQEELDFQEQFFKDQLKLIHEARDAKEEDFENLQQKERGEKAKQLSSNPSNSEEYRRRVEEMDKFVQFQDKEMKEYVAERDGLIKAHEEKFAEMKRRHWEEEYKLEKEFDAELTHLMYKYTPRPHTKEGCNATSKE >Manes.12G081200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11618390:11623972:1 gene:Manes.12G081200.v8.1 transcript:Manes.12G081200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLPSYACFLFLRLSHSRLLAGLCNFISSGFNREREVRLFQSTLVAKMSSRRAVGRTSAAGAGTGNNASSTGKSASEISVSKVEQLGQGVAGIRLDAAQDDGEWEVKSRKTKSRAGSSAAKPWGSQNSNSKAWGHPDLVQKPGMRNNGGSGKVSANAWPTQGFDSKKPTGRGNTRPQSSSWGLDNYIAPQSVIPPPLEHGWNWRSRAGATDPNGTEDDQRKDEDTEVNKETDVDDEDDDAMDDTDDELLSEDFDSDASQKSHETRKKSRWFKQFFESLDSLTVEELNEPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGSKRVRLHRELAELLEEELRRRGTSVIPAGEVFGKWKGLKDEERDHEIVWPPMVIIMNTRLEQDENDKWIGMGNQELLDYFSGYAAAKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFADQGTDRNAWDRRRVLFHPGGNRQLYGYMAVKEDLDIFNQHSQGRSKLKYEMRSYHEMVVCQINQMSEDNQQLIWFKSKADKEKRKNRTLEESLEIVTDKLRKTTEENRIVRQRTQMHHEQSQEELDFQEQFFKDQLKLIHEARDAKEEDFENLQQKERGEKAKQLSSNPSNSEEYRRRVEEMDKFVQFQDKEMKEYVAERDGLIKAHEEKFAEMKRRHWEEEYKLEKEFDAELTHLMYKYTPRPHTKEGCNATSKE >Manes.12G081200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11618399:11623913:1 gene:Manes.12G081200.v8.1 transcript:Manes.12G081200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLLPSYACFLFLRLSHSRLLAGLCNFISSGFNREREVRLFQIFSYIQSTLVAKMSSRRAVGRTSAAGAGTGNNASSTGKSASEISVSKVEQLGQGVAGIRLDAAQDDGEWEVKSRKTKSRAGSSAAKPWGSQNSNSKAWGHPDLVQKPGMRNNGGSGKVSANAWPTQGFDSKKPTGRGNTRPQSSSWGLDNYIAPQSVIPPPLEHGWNWRSRAGATDPNGTEDDQRKDEDTEVNKETDVDDEDDDAMDDTDDELLSEDFDSDASQKSHETRKKSRWFKQFFESLDSLTVEELNEPARQWHCPACQGGPGAIDWYRGLQPLMTHAKTKGSKRVRLHRELAELLEEELRRRGTSVIPAGEVFGKWKGLKDEERDHEIVWPPMVIIMNTRLEQDENDKWIGMGNQELLDYFSGYAAAKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFADQGTDRNAWDRRRVLFHPGGNRQLYGYMAVKEDLDIFNQHSQGRSKLKYEMRSYHEMVVCQINQMSEDNQQLIWFKSKADKEKRKNRTLEESLEIVTDKLRKTTEENRIVRQRTQMHHEQSQEELDFQEQFFKDQLKLIHEARDAKEEDFENLQQKERGEKAKQLSSNPSNSEEYRRRVEEMDKFVQFQDKEMKEYVAERDGLIKAHEEKFAEMKRRHWEEEYKLEKEFDAELTHLMYKYTPRPHTKEGCNATSKE >Manes.07G038304.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4688137:4688562:1 gene:Manes.07G038304.v8.1 transcript:Manes.07G038304.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKKLLKLARKWQKMAAIRRRRIMSSQNIGSIDTSLIAEKGHFVVYSADQKRFLLSLEYLNNEIIRELFNMAEEEFGLQSEGPLTLPCDADLIEYAIALIRQNASKDVERAVLVSIASSCCSSSFPFQHHATRHQLPICS >Manes.02G190000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFVDLLTCCP >Manes.02G190000.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMVFFFLIFS >Manes.02G190000.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFVDLLTCCP >Manes.02G190000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFVDLLTCCP >Manes.02G190000.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMVFFFLIFS >Manes.02G190000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFVDLLTCCP >Manes.02G190000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFVDLLTCCP >Manes.02G190000.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFSIYRSNIFSATKLSLMN >Manes.02G190000.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFVDLLTCCP >Manes.02G190000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFSIYRSNIFSATKLSLMN >Manes.02G190000.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.02G190000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKVALDTVNLSKNRYMDVVPFDQTRVVLNQCKDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMFVDLLTCCP >Manes.02G190000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15182995:15193749:1 gene:Manes.02G190000.v8.1 transcript:Manes.02G190000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLDYRPSAKGYINASFIMTSSSESISQFIATKGPLPHTYEDFWEMVIQYHCPVIVMLTRLVDNYKTAKCGDYFRAEDGPRDFGNICLVTKWMETTNTSLVLRNLEVSYKESDKAPMSVLHVQYPEWPDHGVPKDTVAVREILKRVYQVPTNLGPVVVHCSAGIGRTGTYCTIHNTIQRILVGDMSALDLANTITIFRSQRVGLVQTMEQYFFCYKAIVDELEDLISECND >Manes.11G040056.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3937402:3947834:1 gene:Manes.11G040056.v8.1 transcript:Manes.11G040056.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGMNRADRRIETRDQNESGSSPEKSIGPKSYSYGELARVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFPDVQCEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWKKRMKIATGSAKGLEYLHEHCKPKIIHLDIKPDNILLDENFESKIADFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGITLLELITGRKPIDNGIDIVTWANPLIQNALEGRYANFIDPKLQSFDNEEVYRTVSCVNSCLNQPLNSRPTMEKIRFVLEGKLPPEKLCDDELQRSIRDPKGSRSSPELNRSIILGPRQYSYQQLAKATNYFSSNDLIGEGGFGQVYRGLLDGESFAIKKLKNHPDLQSQENLKNEIMVVSSIRHRNLIELLGYCIEGANRLLVFKYFPNKSLSSQLHESDLDLDWKTRINIAKGCAKGLEYLHEHCEPPILHLDIKSDNILLDDDFKPKVADFGLACFFSEAATHISESAIMRNKAYVDPYAIKIGQYSVKSDVYSFGIMLLELITGRRPIEEDGFDVVKWAKSEIKSALRDKEFEEFVDSILQMFNDEEMYRMLFCIDVCINNPPKFRPSMKKILLALEGILPIDELCNEKGDNKLPRYPTLYKDPSPVKANNGTKMWRSTDEALFKGRFLVRNRSTSNKIWRSAEATNRLAEGVKRLDLSDSDEEEEEDSYEEEPLPDFLFFRPGKVVDEIVGANIEELQ >Manes.11G040056.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3937402:3962766:1 gene:Manes.11G040056.v8.1 transcript:Manes.11G040056.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGMNRADRRIETRDQNESGSSPEKSIGPKSYSYGELARVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFPDVQCEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWKKRMKIATGSAKGLEYLHEHCKPKIIHLDIKPDNILLDENFESKIADFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGITLLELITGRKPIDNGIDIVTWANPLIQNALEGRYANFIDPKLQSFDNEEVYRTVSCVNSCLNQPLNSRPTMEKIRFVLEGKLPPEKLCDDELQRSIRDPKGSRSSPELNRSIILGPRQYSYQQLAKATNYFSSNDLIGEGGFGQVYRGLLDGESFAIKKLKNHPDLQSQENLKNEIMVVSSIRHRNLIELLGYCIEGANRLLVFKYFPNKSLSSQLHESDLDLDWKTRINIAKGCAKGLEYLHEHCEPPILHLDIKSDNILLDDDFKPKVADFGLARFFSEAATHISESAIMGTKAYVDPYAIKTGQYSVKSDVYSFGVMLLELITGRRPIENGFDVVEWAKPKIKSALRNEEFEDFVDYTMHIFDHGEMYRMLFCIDVCINNRPKFRPSMKKIFLALEGLFSLDELFNEKGDNKLPWYPTYIKIQVRNRSISNKMWRSADEVLMRRSTEATNLLAEGVKRLGLSNDDEEEEDEEDEEEEEDDEEEVSDEEEEELEENEDGDNDLSHKSKMAEGGEVIACHTVRAWTEQLEKAQKGKQLTVVDFSAAWCPPCRYMSSVLAKMAKEMPNVTFLVVDVDELTSVTAEWKIEAMPTFLFFKQGKEVAKIVGANTEELQSTIAKHAVDDTPTIFTYQQIEWATRGFSKFLGEGSLGSVFKGFLDGKDVAVRKLEDLSDEEEQEELEQSIKTIGSVIHPNLVQQFGHCIEGSNIYLVLEFFPSNSLRSLLNGKKTLEWSKRMKIAIDSAKALEYLHDNYNIVHREIMTNNILVGKNFQPKVANFGLIMYYRSERTDVYADPEDNECSFEESDVYAFGVVLLELITGKNTKDNDTDIVQWANSLMKRALYGEYTLLIDSNLEGDYNKKEVQRMIYCAAACLYKPSDSRPQMKEDQEKEAAH >Manes.14G146800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16210426:16211329:-1 gene:Manes.14G146800.v8.1 transcript:Manes.14G146800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVMRIVVMAAMVLCLSSIALAAQGIAVWYKNEAGPGKPPYTPCGKSDALWSNGAACGKSYRVSSIAGTNLAPHPCKQGSSVVVKIVNYCSRGCQGEINLSRDAFAQIADPDARIVKVQYDQ >Manes.17G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29886187:29887874:1 gene:Manes.17G090500.v8.1 transcript:Manes.17G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLASLASTGQKIPLLGFGTADFPFGEDEETVKQSVLHAIEVGYRHFDTAAAYQSEKPLGDAIAEALQRGLIKSRDELFVTSKIFCSNCHSHLVLPALQETLKNLGLAYLDLYLIHFPVSLKPGTQFPFTPGDILVMDFEGVWKAMEECQSLGLTKSIGVSNFTCKKIEKLLATASIPPAVNQVEMNVFWPQKQLRKLCEEKGIHITAYSALGTKGTPWELINCRPIDCDALKEIASARGKTVAQVCLRWVYQQGVSVVVKSFNKERMKENLQIFDWELSEEDLQKIDQLPQRRGHLADFFVREEGPYKSLSELWDGEL >Manes.05G004600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:371036:371368:1 gene:Manes.05G004600.v8.1 transcript:Manes.05G004600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRIGSRNKAGYLTGEVKKPPPEDSNYAIWVTENYKVKNWLIDSMDLLLMQQFIRLSTAKEIWKAVAKTFYDESDETFLFELNKKSFSTTQNGRPLSTYYNELVAIFQE >Manes.02G206800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18418579:18420348:1 gene:Manes.02G206800.v8.1 transcript:Manes.02G206800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLPEQEHPQQAFGWAARDDSGVLSPFTFSRRATGEKDVCFKVLYCGMCHSDLHMVKNEWGTSTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCIVGSCHSCHNCAKNLDNYCPELILTYGAKYYDGTTTFGGYSDIMVADEHFIVRIPDTLPLDATAPLLCAGITVYSPLKYYGLDKPGMHVGVVGLGGLGHMAVKFAKAMGMKVTVISTSPSKKQEAVEHLGADSFLVSRDQDQMKAAMGTIDGIIDTVSAMHPLVPLIGLLKTNGKLVLVGAPEKPLELPAFPLLMGRKMVGGSGIGGMKETQEMIDFAAKHNITADIEVIPIEDVNTAMERILKADVRYRFVIDIGNTISTTH >Manes.08G154000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38913074:38918514:-1 gene:Manes.08G154000.v8.1 transcript:Manes.08G154000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDPETPEGLDRSKTPTKENGDEDQGKESEEEEEEVGECGFCLFMKGGGCKDAFVAWENCIEEAEKKNEDIVEKCFEVTGALKKCMEAHADYYEPILQAEKDEQEAARKSSEEEKANKSESNMAGKAMEQSVGPKDSEKGS >Manes.11G031600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3094553:3104410:1 gene:Manes.11G031600.v8.1 transcript:Manes.11G031600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDMKAVRSFCTHSQFFFFNPTKTLRAFSFHPRQFHPASRRFCAGESALSSQSSITNSDSGDKRNGNNSESARQVWSIYGSVSSNTNKSDEQELEPRLSNFPTEVRDTHVERTYGSARKGNLSDKDNAPVGVESSDEEIDKLSKENDYSGLKTENLSNRDKIRKGVVRYSNDNGDFLNERRYGGLKKADLLKEVGPGKIVGSRKKGKTKITYVCENCGYEDGQWWGICRECRLAGTMKQFIERDVESGTKVTGMEVSENAVRSWLPQKVGEAHPLKLKDINQRMDQLNWRIPLPGLFGSEVARVLGGGLVPGSLILVGGDPGVGKSTLLLQIAAIIAEGQDVGKQTPVVYVSGEESIEQIVNRADRIRIGVEEIFLYSSTDIEDILAKIQPLSPRALIIDSIQTVYIQGVTGSAGGLSQVKECTSALLRFAKKTKIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYLEGEKHSTHRLLRPVKNRFGSTDELGVFEMSQSGLEAVSNPSEIFLSEQYLGSEILVGLAVAVIMDGSRSFLLEIQALCATGSSVSRHVNGIQASRADMIISVLKKQAGLMLQENSIFLNVVSGVTLSETAGDLAIAAAICSRYQEWRKE >Manes.11G031600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3094553:3105732:1 gene:Manes.11G031600.v8.1 transcript:Manes.11G031600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDMKAVRSFCTHSQFFFFNPTKTLRAFSFHPRQFHPASRRFCAGESALSSQSSITNSDSGDKRNGNNSESARQVWSIYGSVSSNTNKSDEQELEPRLSNFPTEVRDTHVERTYGSARKGNLSDKDNAPVGVESSDEEIDKLSKENDYSGLKTENLSNRDKIRKGVVRYSNDNGDFLNERRYGGLKKADLLKEVGPGKIVGSRKKGKTKITYVCENCGYEDGQWWGICRECRLAGTMKQFIERDVESGTKVTGMEVSENAVRSWLPQKVGEAHPLKLKDINQRMDQLNWRIPLPGLFGSEVARVLGGGLVPGSLILVGGDPGVGKSTLLLQIAAIIAEGQDVGKQTPVVYVSGEESIEQIVNRADRIRIGVEEIFLYSSTDIEDILAKIQPLSPRALIIDSIQTVYIQGVTGSAGGLSQVKECTSALLRFAKKTKIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYLEGEKHSTHRLLRPVKNRFGSTDELGVFEMSQSGLEAVSNPSEIFLSEQYLGSEILVGLAVAVIMDGSRSFLLEIQALCATGSSVSRHVNGIQASRADMIISVLKKQAGLMLQENSIFLNVVSGVTLSETAGDLAIAAAICSSFLEFPIPNNVAFIGEIGLGGELRTVPRMEKRVNTVAKLGYKMCIVPKSAEKYLSTVGFEGLKIIGCSNLRQVIDTVFTRQK >Manes.11G031600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3094553:3105732:1 gene:Manes.11G031600.v8.1 transcript:Manes.11G031600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDMKAVRSFCTHSQFFFFNPTKTLRAFSFHPRQFHPASRRFCAGESALSSQSSITNSDSGDKRNGNNSESARQVWSIYGSVSSNTNKSDEQELEPRLSNFPTEVRDTHVERTYGSARKGNLSDKDNAPVGVESSDEEIDKLSKENDYSGLKTENLSNRDKIRKGVVRYSNDNGDFLNERRYGGLKKADLLKEVGPGKIVGSRKKGKTKITYVCENCGYEDGQWWGICRECRLAGTMKQFIERDVESGTKVTGMEVSENAVRSWLPQKVGEAHPLKLKDINQRMDQLNWRIPLPGLFGSEVARVLGGGLVPGSLILVGGDPGVGKSTLLLQIAAIIAEGQDVGKQTPVVYVSGEESIEQIVNRADRIRIGVEEIFLYSSTDIEDILAKIQPLSPRALIIDSIQTVYIQGVTGSAGGLSQVKECTSALLRFAKKTKIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYLEGEKHSTHRLLRPVKNRFGSTDELGVFEMSQSGLEAVSNPSEIFLSEQYLGSEILVGLAVAVIMDGSRSFLLEIQALCATGSSVSRHVNGIQASRADMIISVLKKQAGLMLQENSIFLNVVSGVTLSETAGDLAIAAAICSRYQEWRKE >Manes.11G031600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3094553:3105732:1 gene:Manes.11G031600.v8.1 transcript:Manes.11G031600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDMKAVRSFCTHSQFFFFNPTKTLRAFSFHPRQFHPASRRFCAGESALSSQSSITNSDSGDKRNGNNSESARQVWSIYGSVSSNTNKSDEQELEPRLSNFPTEVRDTHVERTYGSARKGNLSDKDNAPVGVESSDEEIDKLSKENDYSGLKTENLSNRDKIRKGVVRYSNDNGDFLNERRYGGLKKADLLKEVGPGKIVGSRKKGKTKITYVCENCGYEDGQWWGICRECRLAGTMKQFIERDVESGTKVTGMEVSENAVRSWLPQKVGEAHPLKLKDINQRMDQLNWRIPLPGLFGSEVARVLGGGLVPGSLILVGGDPGVGKSTLLLQIAAIIAEGQDVGKQTPVVYVSGEESIEQIVNRADRIRIGVEEIFLYSSTDIEDILAKIQPLSPRALIIDSIQTVYIQGVTGSAGGLSQVKECTSALLRFAKKTKIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYLEGEKHSTHRLLRPVKNRFGSTDELGVFEMSQSGLEAVSNPSEIFLSEQYLGSEILVGLAVAVIMDGSRSFLLEIQALCATGSSVSRHVNGIQASRADMIISVLKKQAGLMLQENSIFLNVVSGVTLSETAGDLAIAAAICSRYQEWRKE >Manes.11G031600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3094553:3105732:1 gene:Manes.11G031600.v8.1 transcript:Manes.11G031600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDMKAVRSFCTHSQFFFFNPTKTLRAFSFHPRQFHPASRRFCAGESALSSQSSITNSDSGDKRNGNNSESARQVWSIYGSVSSNTNKSDEQELEPRLSNFPTEVRDTHVERTYGSARKGNLSDKDNAPVGVESSDEEIDKLSKENDYSGLKTENLSNRDKIRKGVVRYSNDNGDFLNERRYGGLKKADLLKEVGPGKIVGSRKKGKTKITYVCENCGYEDGQWWGICRECRLAGTMKQFIERDVESGTKVTGMEVSENAVRSWLPQKVGEAHPLKLKDINQRMDQLNWRIPLPGLFGSEVARVLGGGLVPGSLILVGGDPGVGKSTLLLQIAAIIAEGQDVGKQTPVVYVSGEESIEQIVNRADRIRIGVEEIFLYSSTDIEDILAKIQPLSPRALIIDSIQTVYIQGVTGSAGGLSQVKECTSALLRFAKKTKIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYLEGEKHSTHRLLRPVKNRFGSTDELGVFEMSQSGLEAVSNPSEIFLSEQYLGSEILVGLAVAVIMDGSRSFLLEIQALCATGSSVSRHVNGIQASRADMIISVLKKQAGLMLQENSIFLNVVSGVTLSETAGDLAIAAAICSSFLEFPIPNNVAFIGEIGLGGELRTVPRMEKRVNTVAKLGYKMCIVPKSAEKYLSTVGFEGLKIIGCSNLRQVIDTVFTRQK >Manes.11G031600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3094553:3104410:1 gene:Manes.11G031600.v8.1 transcript:Manes.11G031600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDMKAVRSFCTHSQFFFFNPTKTLRAFSFHPRQFHPASRRFCAGESALSSQSSITNSDSGDKRNGNNSESARQVWSIYGSVSSNTNKSDEQELEPRLSNFPTEVRDTHVERTYGSARKGNLSDKDNAPVGVESSDEEIDKLSKENDYSGLKTENLSNRDKIRKGVVRYSNDNGDFLNERRYGGLKKADLLKEVGPGKIVGSRKKGKTKITYVCENCGYEDGQWWGICRECRLAGTMKQFIERDVESGTKVTGMEVSENAVRSWLPQKVGEAHPLKLKDINQRMDQLNWRIPLPGLFGSEVARVLGGGLVPGSLILVGGDPGVGKSTLLLQIAAIIAEGQDVGKQTPVVYVSGEESIEQIVNRADRIRIGVEEIFLYSSTDIEDILAKIQPLSPRALIIDSIQTVYIQGVTGSAGGLSQVKECTSALLRFAKKTKIPVLLIGHVTKSGDIAGPRVLEHIVDVVLYLEGEKHSTHRLLRPVKNRFGSTDELGVFEMSQSGLEAVSNPSEIFLSEQYLGSEILVGLAVAVIMDGSRSFLLEIQALCATGSSVSRHVNGIQASRADMIISVLKKQAGLMLQENSIFLNVVSGVTLSETAGDLAIAAAICSSFLEFPIPNNVAFIGEIGLGGELRTVPRMEKRVNTVAKLGYKMCIVPKSAEKYLSTVGFEGLKIIGCSNLRQVIDTVFTRQK >Manes.14G149800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16699375:16700352:1 gene:Manes.14G149800.v8.1 transcript:Manes.14G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGRRRTNDFDPFSLDIWDPSSDFPFPSTSLSIPRPEFGNETSLFANTRIDWKETPEAHVFLADLPGLKREEVKVKVEEGRVLQISGERSKEKEENNEQGNRVERSSGKFMRSFRLPENAKVDHIKASMENGVLKITVPKEEIKQDINCQGH >Manes.15G081202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6241148:6244334:1 gene:Manes.15G081202.v8.1 transcript:Manes.15G081202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCELCGGAARMYCESDQASLCWDCDEKVHCANFLVAKHCRNLLCQVCQSPTPWKASGPKLGPTVSICESCFSLHNGKKVEVGQDRDETHGNEQLDELDDSNNYDYDEEEDEDEEEDEEEDDEEDDEEEEEEEGGEGEDGENQVVPWSVISPSPPVASSSSSEYDISSRYSGASAAALKRMREHSADIDDIDFDNEHGCSSCHMGCGRLSNDEGDSIASFRPSKQARTSGGGVDVEVEDNHDHDHDHDHGQAESRSTAIIDSLRRLQSEMVSNRESASATILGISRLSRDHSR >Manes.15G081202.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6241428:6244334:1 gene:Manes.15G081202.v8.1 transcript:Manes.15G081202.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGCELCGGAARMYCESDQASLCWDCDEKVHCANFLVAKHCRNLLCQVCQSPTPWKASGPKLGPTVSICESCFSLHNGKKVEVGQDRDETHGNEQLDELDDSNNYDYDEEEDEDEEEDEEEDDEEDDEEEEEEEGGEGEDGENQVVPWSVISPSPPVASSSSSEYDISSRYSGASAAALKRMREHSADIDDIDFDNEHGCSSCHMGCGRLSNDEGDSIASFRPSKQARTSGGGVDVEVEDNHDHDHDHDHGQAESRSTAIIDSLRRLQSEMVSNRESASATILGISRLSRDHSR >Manes.17G119656.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34590299:34592106:-1 gene:Manes.17G119656.v8.1 transcript:Manes.17G119656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEKELSFEKKRPRRRLPGSAAESEVRPPNMERLRERLWPPKVLFERAKVRPPKVKFGRQTCMSLGGTLGCRRSLTRPPIKSPQIGNGRVFSPFSSSEFSSLDRSFGSLELLELGFSTPPSLRSRNPRSSRGSDPRDRGGHQF >Manes.17G119656.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34591075:34592106:-1 gene:Manes.17G119656.v8.1 transcript:Manes.17G119656.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEKELSFEKKRPRRRLPGSAAESEVRPPNMERLRERLWPPKVLFERAKVRPPKVKFGRQTCMSLGGTLGCRRSLTRPPIKSPQIGNGRVFSPFSSSEFSSLDRSFGSLELLELGFSTPPSLRSRNPRSSRGKCRSLLS >Manes.07G067100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17549509:17552553:1 gene:Manes.07G067100.v8.1 transcript:Manes.07G067100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRMKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYRTVISMSKTIELVGISHDVYSLNILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFSDDMVASGYQPDVCTYNVIVNGMCKFGKTNAAIGLLKGMADRGCEPDVVTYNAIIDALCKDELVGEALELFSQMRNEGISPDVITYTCLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISGQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPTVVTYSSLMDGYCLCNHMDKARKLFDLWWPMK >Manes.12G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:671207:676154:1 gene:Manes.12G005800.v8.1 transcript:Manes.12G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNPPPQQPSSKTLVSSSIAHIDNFTDVDYTISSNDASENAIRGSIAQRRAAKFGFKAEKINTARFRTTSPLASPAEVGVRSPCITIPPGISPTALLDSPIMLPNSQPSPTTGTFPLPCLHYESSMLNTVVPADANKGSNAGSFRFKPHKNPDPLPDFATLENQGNNVNYQAVVSVGPSMAFEFPVEFSKEATTENCAGGSATEMKVLNGTLANSDLSDVEMGHTDVAGNQTSIQMEPIPGENVGAHNPHEEDKRTLPAPGNGRNSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCEVKKKIEHSHDGQIMEIIYKGAHNHPKPQPNCRAQVGSASSFDEMPEMDEGGEIRVKVEVGSVWKNSKPGPEDVKVGSEWKADGLERTSSASVVTELSDLLSATQRKSMSTFKSAGTPELSSTLVGNDDDCDDGATQGSISLGVDADVEESESKRRKMESCGVETSLASRAVREPRVVVQIESEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCSVRKHVERASHNLKYVITTYEGKHNHEVPAARNSNTMNSGGGSLSQITTNTQPALALARNTGPKPETQIQDFVPGFNRKPVFNNDYLRPSFAGNFSNGMKLGASTIYPLKYPTFQHTMPYGPFEINRSATHHSGSIASLVPDFPISLPSSINASVGLSLAGADFNYNGKPIGQSQALLSGQQMVKPKQEQRDDNLYDAEQSIIDHVNASPSSSSVYQRMRNFPS >Manes.12G005800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:671207:676154:1 gene:Manes.12G005800.v8.1 transcript:Manes.12G005800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEFPVEFSKEATTENCAGGSATEMKVLNGTLANSDLSDVEMGHTDVAGNQTSIQMEPIPGENVGAHNPHEEDKRTLPAPGNGRNSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCEVKKKIEHSHDGQIMEIIYKGAHNHPKPQPNCRAQVGSASSFDEMPEMDEGGEIRVKVEVGSVWKNSKPGPEDVKVGSEWKADGLERTSSASVVTELSDLLSATQRKSMSTFKSAGTPELSSTLVGNDDDCDDGATQGSISLGVDADVEESESKRRKMESCGVETSLASRAVREPRVVVQIESEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCSVRKHVERASHNLKYVITTYEGKHNHEVPAARNSNTMNSGGGSLSQITTNTQPALALARNTGPKPETQIQDFVPGFNRKPVFNNDYLRPSFAGNFSNGMKLGASTIYPLKYPTFQHTMPYGPFEINRSATHHSGSIASLVPDFPISLPSSINASVGLSLAGADFNYNGKPIGQSQALLSGQQMVKPKQEQRDDNLYDAEQSIIDHVNASPSSSSVYQRMRNFPS >Manes.12G005800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:671207:676154:1 gene:Manes.12G005800.v8.1 transcript:Manes.12G005800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEFPVEFSKEATTENCAGGSATEMKVLNGTLANSDLSDVEMGHTDVAGNQTSIQMEPIPGENVGAHNPHEEDKRTLPAPGNGRNSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCEVKKKIEHSHDGQIMEIIYKGAHNHPKPQPNCRAQVGSASSFDEMPEMDEGGEIRVKVEVGSVWKNSKPGPEDVKVGSEWKADGLERTSSASVVTELSDLLSATQRKSMSTFKSAGTPELSSTLVGNDDDCDDGATQGSISLGVDADVEESESKRRKMESCGVETSLASRAVREPRVVVQIESEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCSVRKHVERASHNLKYVITTYEGKHNHEVPAARNSNTMNSGGGSLSQITTNTQPALALARNTGPKPETQIQDFVPGFNRKPVFNNDYLRPSFAGNFSNGMKLGASTIYPLKYPTFQHTMPYGPFEINRSATHHSGSIASLVPDFPISLPSSINASVGLSLAGADFNYNGKPIGQSQALLSGQQMVKPKQEQRDDNLYDAEQSIIDHVNASPSSSSVYQRMRNFPS >Manes.04G059912.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14837230:14838753:-1 gene:Manes.04G059912.v8.1 transcript:Manes.04G059912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLNHVYEDFEPTTEWAKDAAFDTLLVYLPGFKKEQLRVQVTSGRNLRIFGERPLVENKWSRFRKELLTPSNYYTNKITAKFEGGILKVKHPKIIQQAHENASSAETSDLQKSERDEAAHQVPPKTKIDQETIRNNISENGASQKIPDEEKELKDSSGMNCSPENDVNNFPGKTLDNKKNETGKMPSTSCLETELKKPNKLAKLVVAGGFLVLAIGLYVKNVVTSEE >Manes.16G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26906235:26915429:1 gene:Manes.16G066200.v8.1 transcript:Manes.16G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHGFLIYRTSLGPTSNSPIRYCHLSVMTNRTNYILSSSSPSSLKFTDYSFLHVYSLLRSHRLRSISSVRHRNWHYQSAMAPPAQSQRSPSPSQPSGKSEVTDLKTQLRQLAGSRASGVDDSKRELFKKVISYMTIGIDVSSLFGEMVMCSATSDIVLKKMCYLYVGNYAKGNPDLALLTINFLQRDCKDEDPMIRGLALRSLSSLRVENLVEYLVGPLASGLKDNNSYVRIVAVMGVLKLFHISAATCVDADFPATLKHLMLHDTDTQVVANCLSALQEIWNSEASTSEEASREKEALISKPVIYYFLNRIKEFSEWAQCLVLELVAKYVPADSNEIFDMMNLLEDRLQHANGAVVLGTIKVFLQLTLSMADVHQEVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVLRAPYIFSSDYKHFYCQYNEPSYVKKLKLEMLTAVANETNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAEALVLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQDMNDAPYILESLIENWDDEHSAEVRLHLLTAVMKCFFKRPPETQKALGAALAAGLADFHQDVHDRALFYYRLLQYNVSIAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGLFEFSDEIGNLSIGAETANDVVPSNRVEANDKDLLLSTSEKEESTGASNNGSAYSAPVYDSSSVSAAASQAQSEPLISNIAVASQQASFAIDDLLGLGLPAEPTPVSAPPPLKLNSRAVLDPATFQQKWRQLPISVSQEHSLNPQGVAALITPQALLRHMQSHSIQCIASGGQSPNFKFFFFAQKAEDSSIYLVECKINTSSAKAQITIKVDDPNTSQEFSTLFQSALSKFGIP >Manes.03G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4864921:4867875:-1 gene:Manes.03G052500.v8.1 transcript:Manes.03G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVEIIYTTNGFSKLCTQNHDQSSWYEETIDDDLRWSFALNRVLHKGTSQFQDIALLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPALLCHPIPRNVFIMGGGEGSAAREALKHKLVEKVVMCDIDQEVVNFCRTYLTANQDAFRNKKLDLVINDAKAELEKRIDKFDIIVGDLADPVEGGPCYQLYTKSFYEKILKPKLNNNGIFVTQAGPAGIFTHKEVFSSIYNTVKQVFQYVVAYSAHVPSFADTWGWVMASDQPFSIDAVEIDRRIEERIEGELLYLNGAAFLSSATLNKTVSLSLLNETHVYTEDDARFIPGHGLACRN >Manes.12G026501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2348423:2349408:1 gene:Manes.12G026501.v8.1 transcript:Manes.12G026501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVRCGHCTTLLSVNMMKVSFVPFQFLASLSHDHQEEETSPEEVYAQRALEIQRSSSMVAFSDNELEDDKNPVNRAINKPPEKRQRAPSAYNRFIKEEIRRLKAENPSMAHKEAFSTAAKNVISSISNHVF >Manes.07G015700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1886462:1892682:1 gene:Manes.07G015700.v8.1 transcript:Manes.07G015700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSPSYRRRRSPSPLGHRYSRRDRSRRDRSRSPYSSYSYSRRRSRSISPRRRKSRSPTPRRYKRQRSRSSSLSPTLKSSSPSLGSMERKIVSEKLRKEDEEEKKRRQQEAELKLIEEETAKRVEEAIRRKVEESLNSEEIKLEIQRRLEEGRKRLNEEVAIQLEKEKGVALIEARRKEEQARKEKEELEKMLEENRRRVEEAQRREALEQQQREEERYRELEELQRHKEEAMKRKKQQEDEERLNQLKLLGKNKSRPKLSFAIGSK >Manes.07G015700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1886462:1892682:1 gene:Manes.07G015700.v8.1 transcript:Manes.07G015700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSRSISPRRRKSRSPTPRRYKRQRSRSSSLSPTLKSSSPSLGSMERKIVSEKLRKEDEEEKKRRQQEAELKLIEEETAKRVEEAIRRKVEESLNSEEIKLEIQRRLEEGRKRLNEEVAIQLEKEKGVALIEARRKEEQARKEKEELEKMLEENRRRVEEAQRREALEQQQREEERYRELEELQRHKEEAMKRKKQQEDEERLNQLKLLGKNKSRPKLSFAIGSK >Manes.07G015700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1886462:1892682:1 gene:Manes.07G015700.v8.1 transcript:Manes.07G015700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSPSYRRRRSPSPLGHRYSRRDRSRRDRSRSPYSSYSYSRRRSRSISPRRRKSRSPTPRRYKRQRSRSSSLSPTLKSSSPSLGSMERKIVSEKLRKEDEEEKKRRQQEAELKLIEEETAKRVEEAIRRKVEESLNSEEIKLEIQRRLEEGRKRLNEEVAIQLEKEKGVALIEARRKEEQARKEKEELEKMLEENRRRVEEAQRREALEQQQREEERYRELEELQRHKEEAMKRKKQQEDEERLNQLKLLGKNKSRPKLSFAIGSK >Manes.07G015700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1886462:1892710:1 gene:Manes.07G015700.v8.1 transcript:Manes.07G015700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVRFSLLLLNNFFILHRRRSRSISPRRRKSRSPTPRRYKRQRSRSSSLSPTLKSSSPSLGSMERKIVSEKLRKEDEEEKKRRQQEAELKLIEEETAKRVEEAIRRKVEESLNSEEIKLEIQRRLEEGRKRLNEEVAIQLEKEKGVALIEARRKEEQARKEKEELEKMLEENRRRVEEAQRREALEQQQREEERYRELEELQRHKEEAMKRKKQQEDEERLNQLKLLGKNKSRPKLSFAIGSK >Manes.07G015700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1886462:1892682:1 gene:Manes.07G015700.v8.1 transcript:Manes.07G015700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSRSISPRRRKSRSPTPRRYKRQRSRSSSLSPTLKSSSPSLGSMERKIVSEKLRKEDEEEKKRRQQEAELKLIEEETAKRVEEAIRRKVEESLNSEEIKLEIQRRLEEGRKRLNEEVAIQLEKEKGVALIEARRKEEQARKEKEELEKMLEENRRRVEEAQRREALEQQQREEERYRELEELQRHKEEAMKRKKQQEDEERLNQLKLLGKNKSRPKLSFAIGSK >Manes.07G015700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1886462:1892682:1 gene:Manes.07G015700.v8.1 transcript:Manes.07G015700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSRSPSYRRRRSPSPLGHRYSRRDRSRRDRSRSPYSSYSYSRRRSRSISPRRRKSRSPTPRRYKRQRSRSSSLSPTLKSSSPSLGSMERKIVSEKLRKEDEEEKKRRQQEAELKLIEEETAKRVEEAIRRKVEESLNSEEIKLEIQRRLEEGRKRLNEEVAIQLEKEKGVALIEARRKEEQARKEKEELEKMLEENRRRVEEAQRREALEQQQREEERYRELEELQRHKEEAMKRKKQQEDEERLNQLKLLGKNKSRPKLSFAIGSK >Manes.07G015700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1886462:1892710:1 gene:Manes.07G015700.v8.1 transcript:Manes.07G015700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVRFSLLLLNNFFILHRRRSRSISPRRRKSRSPTPRRYKRQRSRSSSLSPTLKSSSPSLGSMERKIVSEKLRKEDEEEKKRRQQEAELKLIEEETAKRVEEAIRRKVEESLNSEEIKLEIQRRLEEGRKRLNEEVAIQLEKEKGVALIEARRKEEQARKEKEELEKMLEENRRRVEEAQRREALEQQQREEERYRELEELQRHKEEAMKRKKQQEDEERLNQLKLLGKNKSRPKLSFAIGSK >Manes.07G015700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1886462:1892682:1 gene:Manes.07G015700.v8.1 transcript:Manes.07G015700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSRSISPRRRKSRSPTPRRYKRQRSRSSSLSPTLKSSSPSLGSMERKIVSEKLRKEDEEEKKRRQQEAELKLIEEETAKRVEEAIRRKVEESLNSEEIKLEIQRRLEEGRKRLNEEVAIQLEKEKGVALIEARRKEEQARKEKEELEKMLEENRRRVEEAQRREALEQQQREEERYRELEELQRHKEEAMKRKKQQEDEERLNQLKLLGKNKSRPKLSFAIGSK >Manes.10G104300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25199266:25211023:-1 gene:Manes.10G104300.v8.1 transcript:Manes.10G104300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVQPIGSRYIIILFPPKATVSRLSLSLSVTLCRAMDSKEADEVKPLAEFLIRHHSEQLRSIVLSSDPKLHYPLYIDFAELMDENPQLAHLVFSQPTEYLRHFDQAALWAHKIVLENLNFGEKGIQKKYIHVRINVCGSPLEYPETFPSIGRVRVKHRGILLTLKGTVIRSGTIKMYEGERMYQCRKCKHEFPVYPELESRNSITLPSFCPSMRSKPCEGMRFDCVDETVIRHDYQEIKIQESTQALGVGAIPRSIPVILKDDLVDIVKAGDDVIVSGILTAKWSPDLKDVRCNLDPVLVANHVRRTNGLKSDINIPNDIIMKFNQFWSDFKDTPLKGRNAILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPDQTLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEGESDNGDSNDDLANIWTLDMLRRPSVLEGGPTGN >Manes.10G104300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25199266:25211024:-1 gene:Manes.10G104300.v8.1 transcript:Manes.10G104300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENPQLAHLVFSQPTEYLRHFDQAALWAHKIVLENLNFGEKGIQKKYIHVRINVCGSPLEYPETFPSIGRVRVKHRGILLTLKGTVIRSGTIKMYEGERMYQCRKCKHEFPVYPELESRNSITLPSFCPSMRSKPCEGMRFDCVDETVIRHDYQEIKIQESTQALGVGAIPRSIPVILKDDLVDIVKAGDDVIVSGILTAKWSPDLKDVRCNLDPVLVANHVRRTNGLKSDINIPNDIIMKFNQFWSDFKDTPLKGRNAILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPDQTLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEGESDNGDSNDDLANIWTLDMLRRYIHYVKGYFRPVLTKEAEKVISSYYQLQRRSATDNAARTTVRMLESLIRLAQAHARLMFRNEVKRLDAIMAILCIESSMTTSAIVDSVGNALHSNFTENPDQEYTKQETLILEKLRSIDEFSDMHIIEELPR >Manes.10G104300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25199266:25211023:-1 gene:Manes.10G104300.v8.1 transcript:Manes.10G104300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVQPIGSRYIIILFPPKATVSRLSLSLSVTLCRAMDSKEADEVKPLAEFLIRHHSEQLRSIVLSSDPKLHYPLYIDFAELMDENPQLAHLVFSQPTEYLRHFDQAALWAHKIVLENLNFGEKGIQKKYIHVRINVCGSPLEYPETFPSIGRVRVKHRGILLTLKGTVIRSGTIKMYEGERMYQCRKCKHEFPVYPELESRNSITLPSFCPSMRSKPCEGMRFDCVDETVIRHDYQEIKIQESTQALGVGAIPRSIPVILKDDLVDIVKAGDDVIVSGILTAKWSPDLKDVRCNLDPVLVANHVRRTNGLKSDINIPNDIIMKFNQFWSDFKDTPLKGRNAILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPDQTLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEGESDNGDSNDDLANIWTLDMLRRYIHYVKGYFRPVLTKEAEKVISSYYQLQRRSATDNAARTTVRMLESLIRLAQDLCSEMRSRG >Manes.10G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25199266:25211023:-1 gene:Manes.10G104300.v8.1 transcript:Manes.10G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVQPIGSRYIIILFPPKATVSRLSLSLSVTLCRAMDSKEADEVKPLAEFLIRHHSEQLRSIVLSSDPKLHYPLYIDFAELMDENPQLAHLVFSQPTEYLRHFDQAALWAHKIVLENLNFGEKGIQKKYIHVRINVCGSPLEYPETFPSIGRVRVKHRGILLTLKGTVIRSGTIKMYEGERMYQCRKCKHEFPVYPELESRNSITLPSFCPSMRSKPCEGMRFDCVDETVIRHDYQEIKIQESTQALGVGAIPRSIPVILKDDLVDIVKAGDDVIVSGILTAKWSPDLKDVRCNLDPVLVANHVRRTNGLKSDINIPNDIIMKFNQFWSDFKDTPLKGRNAILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPDQTLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEGESDNGDSNDDLANIWTLDMLRRYIHYVKGYFRPVLTKEAEKVISSYYQLQRRSATDNAARTTVRMLESLIRLAQAHARLMFRNEVKRLDAIMAILCIESSMTTSAIVDSVGNALHSNFTENPDQEYTKQETLILEKLRSIDEFSDMHIIEELPR >Manes.10G104300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25199266:25211023:-1 gene:Manes.10G104300.v8.1 transcript:Manes.10G104300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVQPIGSRYIIILFPPKATVSRLSLSLSVTLCRAMDSKEADEVKPLAEFLIRHHSEQLRSIVLSSDPKLHYPLYIDFAELMDENPQLAHLVFSQPTEYLRHFDQAALWAHKIVLENLNFGEKGIQKKYIHVRINVCGSPLEYPETFPSIGRVRVKHRGILLTLKGTVIRSGTIKMYEGERMYQCRKCKHEFPVYPELESRNSITLPSFCPSMRSKPCEGMRFDCVDETVIRHDYQEIKIQESTQALGVGAIPRSIPVILKDDLVDIVKAGDDVIVSGILTAKWSPDLKDVRCNLDPVLVANHVRRTNGLKSDINIPNDIIMKFNQFWSDFKDTPLKGRNAILRGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPDQTLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEGESDNGDSNDDLANIWTLDMLRRYIHYVKGYFRPVLTKEAEKVISSYYQLQRRSATDNAARTTVRMLESLIRLAQGMLCLC >Manes.17G099100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30557629:30560397:-1 gene:Manes.17G099100.v8.1 transcript:Manes.17G099100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVSKKPAEASSTINLNSNLQYTTELSSYEAACRLDEDLQSFDTTLQVRTNHVLNTLAGGVEVRALSFDSLKEVTECLLEMNQEVVRVILECKKDIWKNQELFELVEEYFENSLQTLDFCTALEKCLKRARDSQLFIIVALQQFEEETEAGGDRYVKTLEGLKNFKASGDPFTEEFFQIFQSVYRQQILMLEKLQFRKNKLDKKLKYIHAWRKVSSMIFVATFASVLICSVVAAAMAAPPVAAALAAAASIPLGSMGKWIDSLWKNYENALKGQKELIRTMQAGSYIAIKDLDNIRVLVDRLEIDIEALMINAEFAIEEEAVKVAIEEIKKKLEVFMKNVEDLGMQSDMCSRDIRRARTVVLQRIIKHPIS >Manes.09G074200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16610037:16619457:-1 gene:Manes.09G074200.v8.1 transcript:Manes.09G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSPDQESIGSGTKRSSVSSGKSRNRKEFLYRFVDSEILTAKLEDWFESILVKSATEKSAFDVPFELIELQKFDYALEGVSFQQLIRMPNAIYGSTSDAVEATAYLAIEDFLHASMKGLWEAFWSEDDPMPFSVACLYNGNLKFYQAEKAIANGKLGGLGATGILLNNPRHPHGKWDQVLELALLRPDIRSLSVGSDQLPSLSVLGEALLYAIRMLLSRSSSRLSFSECSNCVFILLVDSQYGGVVKVEGDVNKMDFDVKNVYECSAEWIKDHCRVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIIQFAGMPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGNGLFRFQHCSGSPEIVEVQDESIKVKSEGLIMKLDVGSVLWLEDAEQRRGYQIADVLHNGELQYYIASPVEDPGKSLFLFVGSHPSQLEPAWEDMNLWYQVQRQTKILTIMRQKGLSSKYLPQLSASGRIIHQGQCRKPSSGGNCDHPWCGTPILVTSPVGETVADMVSAGRFGLDEAIRCCHDCLSALAVASSAGIRHGAIRPENVICVRSGARHPYFVLIGWGHAILEDRDRPAMNLHYSSTYALQEGKLCSASDAESLVYMLYFSCGGPLPDMDSVEGALQWRETAWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDEDHGKGVDTSG >Manes.07G071886.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13556067:13556414:-1 gene:Manes.07G071886.v8.1 transcript:Manes.07G071886.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSINKLLPIFSGENYDYCAIQMKTYFISKKLWEIIEKGVIVASNSTPNEEEVKRLKEEKTKDAEALFYIQMASVESIFPRIVGAKFVKEAWETLKEELQGCIKVCIIKIQTL >Manes.04G116000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31832564:31834696:-1 gene:Manes.04G116000.v8.1 transcript:Manes.04G116000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKKLHVALFPWLAFGHLIPFLELAKRIAKRGHKISFISTPRNIQRLPKIPPNLEPLLNLVSLPLPTVEHLPQNAEATTDIPSRKTAYLKIAYDGLQVPLLQFLQTSNPDWIIYDFAPYWLPPMVADLGISAVFFSMYGAWTLSFLGSSPSALIKGEDPRTRPEDFTVPPQWIPFPSKVAFRLHEAKRAFADHFEVNTSGFSDMFRLGSVMQGCDAIVIRNCNELERNFSMLVEEFSGKPVLPLGLLPPVDLDGSSDEDVTWLTIKEWLDKQSKGSVVYIAFGSESELSQPELHELAHGLELSGFPFFWALRKRDNSVKLPDGFEERVKGRGMVWGSWVPQLKIMGHESVGGFLTHCGYASMLEALYFERPLIMLPISIDQGLIARFFSEKMVGIEVKRDGEDGSLRRDSIAASLRLVMVEKEGEVYRNGAKEMKKLIADKDVHDRYIDHFVEFMQNHRVA >Manes.11G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31544075:31548131:-1 gene:Manes.11G151400.v8.1 transcript:Manes.11G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNMIKPKPNPQQQLRDWQRRLRQECRNIERQIRDIQREEKSVQKAIRDAAKRNDMGSAKALAKEIVRSRKTVNRLYENKAQMNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVAATMLEFSKEMTKAGVIEEFVNDAVDNALDSEDIEEEIEEEVDKVLTAIAGETAAQLPEAVRKERVKQSAGTARTAEEEEAIAEGVDDEEELEEIRARLAKVRS >Manes.03G208100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32354331:32362425:-1 gene:Manes.03G208100.v8.1 transcript:Manes.03G208100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSCAHFRKGDLVEVLKQENGPSTSTYYAAKVLRSNVKQRNQIFVEYQTMIIIGSGCQKCVTELVDLASVRPMPPRELNKCFRMGDSVDVYCDNAWQKGTIKDILENSKYIVRFHGKSEGIVAEQCYLRLHREWDDGSWVPPLPEQNMSSSMDMQSRKVKLKTKCSKRKSEPMIGMGTSVEVKSDEEGYKGAWYGASVIDTIGNDEFLVQYLTLVTDDETAPLREVVKKDDIRPCPPPVSSVVRFELFEKVDVWFNEGWWEGEVLEVQYGFKYKVYFSSSNETLEFDHSVLRHHQEWNNGKWIKGKSTKLGANLTNQRTKFSTGTIVEVKSDEVGFQGAWFSAIIVKEMGNGKFMVQYHSLLTDDGTDFLIEEASAADIRPSPPHIGHAHPFKLLELVDAWCSDGWWVGCIIKVHKNLEYTVYFETAEELKFQHCDLRPHQEWIDGYWVAAAKASRGNQ >Manes.03G208100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32353951:32362425:-1 gene:Manes.03G208100.v8.1 transcript:Manes.03G208100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSCAHFRKGDLVEVLKQENGPSTSTYYAAKVLRSNVKQRNQIFVEYQTMIIIGSGCQKCVTELVDLASVRPMPPRELNKCFRMGDSVDVYCDNAWQKGTIKDILENSKYIVRFHGKSEGIVAEQCYLRLHREWDDGSWVPPLPEQNMSSSMDMQSRKVKLKTKCSKRKSEPMIGMGTSVEVKSDEEGYKGAWYGASVIDTIGNDEFLVQYLTLVTDDETAPLREVVKKDDIRPCPPPVSSVVRFELFEKVDVWFNEGWWEGEVLEVQYGFKYKVYFSSSNETLEFDHSVLRHHQEWNNGKWIKGKSTKLGANLTNQRTKFSTGTIVEVKSDEVGFQGAWFSAIIVKEMGNGKFMVQYHSLLTDDGTDFLIEEASAADIRPSPPHIGHAHPFKLLELVDAWCSDGWWVGCIIKVHKNLEYTVYFETAEELKFQHCDLRPHQEWIDGYWVAAAKLEVVITECIGQIQNERIAGRSFHLSATNEGDTKLLS >Manes.03G208100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32357791:32362425:-1 gene:Manes.03G208100.v8.1 transcript:Manes.03G208100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSCAHFRKGDLVEVLKQENGPSTSTYYAAKVLRSNVKQRNQIFVEYQTMIIIGSGCQKCVTELVDLASVRPMPPRELNKCFRMGDSVDVYCDNAWQKGTIKDILENSKYIVRFHGKSEGIVAEQCYLRLHREWDDGSWVPPLPEQNMSSSMDMQSRKVKLKTKCSKRKSEPMIGMGTSVEVKSDEEGYKGAWYGASVIDTIGNDEFLVQYLTLVTDDETAPLREVVKKDDIRPCPPPVSSVVRFELFEKVDVWFNEGWWEGEVLEVQYGFKYKVYFSSSNETLEFDHSVLRHHQEWNNGKWIKGKSTKLGANLTNQRTKFSTGTIVEVKSDEVGFQGAWFSAIIVKEMGNGKFMVQYHSLLTDDGTDFLIEEASAADIRPSPPHIGHAHPFKLLELVDAWCSDGWWVGCIIKVHKNLEYTVYFETAEELKFQHCDLRPHQEWIDGYWVAAAKVRLPYSIKTNLFIIW >Manes.03G208100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32353829:32362425:-1 gene:Manes.03G208100.v8.1 transcript:Manes.03G208100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSCAHFRKGDLVEVLKQENGPSTSTYYAAKVLRSNVKQRNQIFVEYQTMIIIGSGCQKCVTELVDLASVRPMPPRELNKCFRMGDSVDVYCDNAWQKGTIKDILENSKYIVRFHGKSEGIVAEQCYLRLHREWDDGSWVPPLPEQNMSSSMDMQSRKVKLKTKCSKRKSEPMIGMGTSVEVKSDEEGYKGAWYGASVIDTIGNDEFLVQYLTLVTDDETAPLREVVKKDDIRPCPPPVSSVVRFELFEKVDVWFNEGWWEGEVLEVQYGFKYKVYFSSSNETLEFDHSVLRHHQEWNNGKWIKGKSTKLGANLTNQRTKFSTGTIVEVKSDEVGFQGAWFSAIIVKEMGNGKFMVQYHSLLTDDGTDFLIEEASAADIRPSPPHIGHAHPFKLLELVDAWCSDGWWVGCIIKVHKNLEYTVYFETAEELKFQHCDLRPHQEWIDGYWVAAAKVKSKMKELLEGAFTSQQLMKKPGGRIPRQLFWR >Manes.03G208100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32353829:32362425:-1 gene:Manes.03G208100.v8.1 transcript:Manes.03G208100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSCAHFRKGDLVEVLKQENGPSTSTYYAAKVLRSNVKQRNQIFVEYQTMIIIGSGCQKCVTELVDLASVRPMPPRELNKCFRMGDSVDVYCDNAWQKGTIKDILENSKYIVRFHGKSEGIVAEQCYLRLHREWDDGSWVPPLPEQNMSSSMDMQSRKVKLKTKCSKRKSEPMIGMGTSVEVKSDEEGYKGAWYGASVIDTIGNDEFLVQYLTLVTDDETAPLREVVKKDDIRPCPPPVSSVVRFELFEKVDVWFNEGWWEGEVLEVQYGFKYKVYFSSSNETLEFDHSVLRHHQEWNNGKWIKGKSTKLGANLTNQRTKFSTGTIVEVKSDEVGFQGAWFSAIIVKEMGNGKFMVQYHSLLTDDGTDFLIEEASAADIRPSPPHIGHAHPFKLLELVDAWCSDGWWVGCIIKVHKNLEYTVYFETAEELKFQHCDLRPHQEWIDGYWVAAAKKPGGRIPRQLFWR >Manes.01G028800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814708:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKESRLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATHITHLEVLLEESKSLSTRDLHDGGVRTVCICDSQQVDLDKVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.01G028800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814708:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDDPPVAVEIDQSAQQSYSHPQSDSKRPQTDDVPVGVTVITGYLGAGKSTLFVWLKKNMRLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKERLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITFLFFQLFLVSNCCDHSEQPITLSCWQYSKAIFDVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATHITHLEVLLEESKSLSTRDLHDGGVRTVCICDSQQVDLDKVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.01G028800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814708:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDDPPVAVEIDQSAQQSYSHPQSDSKRPQTDDVPVGVTVITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKESRLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITFLFFQLFLVSNCCDHSEQPITLSCWQYSKAIFDVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATHITHLEVLLEESKSLSTRDLHDGGVRTVCICDSQQVDLDKVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.01G028800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814708:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDDPPVAVEIDQSAQQSYSHPQSDSKRPQTDDVPVGVTVITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKERLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITFLFFQLFLVSNCCDHSEQPITLSCWQYSKAIFDVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATHITHLEVLLEESKSLSTRDLHDGGVRTVCICDSQQVDLDKVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.01G028800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814708:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKERLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATHITHLEVLLEESKSLSTRDLHDGGVRTVCICDSQQVDLDKVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.01G028800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814708:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDDPPVAVEIDQSAQQSYSHPQSDSKRPQTDDVPVGVTVITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKESRLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.01G028800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814708:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDDPPVAVEIDQSAQQSYSHPQSDSKRPQTDDVPVGVTVITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKERLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATHITHLEVLLEESKSLSTRDLHDGGVRTVCICDSQQVDLDKVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.01G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814241:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDDPPVAVEIDQSAQQSYSHPQSDSKRPQTDDVPVGVTVITGYLGAGKSTLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKESRLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATHITHLEVLLEESKSLSTRDLHDGGVRTVCICDSQQVDLDKVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.01G028800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5814705:5819557:-1 gene:Manes.01G028800.v8.1 transcript:Manes.01G028800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEDDPPVAVEIDQSAQQSYSHPQSDSKRPQTDDVPVGVTVITGYLGAGKSTLFVWLKKNMRLVNHILNSQHGKRIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQMKESRLDHIIIETTGLANPAPLASVLWLDDQLESSVKLDSIITVVDAKNLRFQLNKHRDSSSFPEAFLQIAFADVVILNKVDLVSSEGSVILEELEKEIHNINSLANIIHSVRCEVDLSKILNCGAYDATHITHLEVLLEESKSLSTRDLHDGGVRTVCICDSQQVDLDKVRLWLEEILWDKKDGMDVYRCKGVLRVQNSDELHTLQAVREIYDIVPARKWKGSETQMNKIVFIGHNLNEDILFDSFRGCAMTT >Manes.12G122800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32918840:32921904:1 gene:Manes.12G122800.v8.1 transcript:Manes.12G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHSSSSCLLYTLVMAISIFLFMLFLPTANSLSFNFTSFDPNMEDINFQGDAFSSSNVLKLTKNEQGANLRSSVGRASYKQPVRIWDAKTRRLTDFTTHFSFTMKALDPDRFGDGMTFFLAPFDSKIPENSSGGFLALFSPDTAFNASKENQIVAVEFDSFENPWDPDDNHVGILVDSIVSVRNVTWKSSIRNGSQANAWVSYNSTTKNLSVFLSYAQNPVFSGNSSLSYVVDLRDFLPEWVRVGFSASTGSWVELHDIISWDFNSTLEVNQKAKNKTGLFVGISVGLGFLGCAVIGVFWFVFWRKRYVVRNKDEPEDVSMDDEFEKGTGPKRFTFRELSRATNDFAEDGKLGEGGFGGVYMGLLSESNTKIAVKKVSRGSKQGKKEYVSEVRIISRLRHRNLVQLIGWCHERGELLLVYEFMPNGSLDSHLFGGETVLIWSVRYKIAHGLASALLYLHEEWEQCVVHRDIKSSNVMLDSNFNAKLGDFGLARLVDHELGSQTTVLAGTMGYLAPECVTTGKASKESDVYSFGVVALEITCGRKPVDARQEPSKVRLVEWVWDLYGKGQLLEAVDKRLSKEFDERQLECLMIVGLWCCHPDFACRPSIRQVINVLNFEAPLPSLPAKLPVPMYYAPPMNLCNFSYASSGLTTSEGSCTTNSSLTSGGSAKSLLKQEKPSV >Manes.11G133300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29764504:29765805:-1 gene:Manes.11G133300.v8.1 transcript:Manes.11G133300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLRFLELKAKRTYRSIVFKIEEKQKQVIVEKLGEPTQSYEDFTASLPADECRYAVYDFDFVTEENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAS >Manes.11G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29764504:29767295:-1 gene:Manes.11G133300.v8.1 transcript:Manes.11G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLRFLELKAKRTYRSIVFKIEEKQKQVIVEKLGEPTQSYEDFTASLPADECRYAVYDFDFVTEENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAS >Manes.03G182900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30437816:30442346:-1 gene:Manes.03G182900.v8.1 transcript:Manes.03G182900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPSQQQQDHETVQFPEIFKKFKRLRLFEPSVGVLGFFLVTVCVICCFFYLDFRSVINKGYIVPGKSERFMWLQFNGSGPNRRVEFLGEEGDGCDLFDGEWVWDDSYPLYHSKDCSFLDEGFRCTENGRPDLFYTKWRWQPKNCNLPRYDAKTMLENLRNKRLVFAGDSIGRNQWESLLCMLSSAVSNKDSIYEVNGSPITKHKGFLVFKFQDYNCTMEYYRAPFLVLQSRPPADVPQNVKLTLKLDQMDWNSGKWSNADVLVLNMGHWWNYEKTIRGGTYFQEGSEVKLEMRVEQAYHRSIETVLQWIQNTVKTSKTQVFFRTFAPVHFRGGDWRTGGSCHLETLPELGSSLVPPETWTQYKIVSDVLSGNANHVLKFDILNITKMSAQRKDGHASVYYQHSKPAPLRRQDCSHWCLPGVPDSWNELLYAVLLKHKTMKTYNISLFNAHSFG >Manes.15G056600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4369614:4373280:-1 gene:Manes.15G056600.v8.1 transcript:Manes.15G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTIKLERYNSYIRKVHNTKLLNASSKIIFRATLLIALLLILFFTINYPPLSDHPQHLHDHPHRHSFLSTSFFASSSSVGGSSWEKQVRHSATPRRPNGFSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPSLKRDRQKLLLKHQVFVVEGDLNDAPLLAKLFDVVPFTHILHLAAQAGVRYAMKNPQSYVSSNIAGFVNLLEVAKAANPQPAIVWASSSSVYGLNTEVPFSETHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKPIDIYQTQDEKQVARDFTYIDDVVKGCIGALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVGKLVSILESLLNTKAKKHVIKMPRNGDVPYTHANVSLAYRDFGYKPTTDLSSGLRKFVKWYVSYYGIHTRVKKESEIDSEHSQN >Manes.12G124650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33074013:33075033:1 gene:Manes.12G124650.v8.1 transcript:Manes.12G124650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKHLCFDCPRAGTVWLNSPCALRYSMLGGIDIKDHWTELLNSLEKHSDGPQLIQIVSADQATTWSPPPRDFIKVNFDAAVDSRRRRGVVALLAHDPQDFPIDWFCRRFDAILNPFILKSLRSGNTATHVLASKFLGDWSFACTPWLQISSVFGNLPSLR >Manes.09G062806.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10593667:10599274:1 gene:Manes.09G062806.v8.1 transcript:Manes.09G062806.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHSRIVLLFFLYATFTIFCYASNVLEAKTKPTKLITKLIHRNSIHSPFHNPHHSIGDKAKFIFENSLARFTNYKTDLAAGTDMGLLFLVRFYIGNPAISQLAVMDTASNLLWVQCSPNWYISRRSPIPLLDPLKSSTYASMPCKSEFCSYFPSSSCINEQCTYNISYVNAPPSTGNAATEQLLFESDENIVVVPEVIFGCSKVNKEYIDGGINGVFGLGPKKLSMARQLANKFSYCIGDFYDPNYNYNRLILGDEARLEGDTTPLEMSELHYYLNLQEISIGENTLDIDKKVFIRNITDKSKLSGVIIDSGSVATWLVNEAYYRFRNEVKRILGDSIVDTKECRWCLCYEGTMAQNLTNFPGSTTLVKKLF >Manes.16G081700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28803066:28805916:-1 gene:Manes.16G081700.v8.1 transcript:Manes.16G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKSLIGLKKSNDKEENVKMGGKSKKWKLWRSSSGDLGSSWKGFKGSHRAASECSGSSPITDAFTAAMATVVRAPPKDFRVVRQEWAAIRIQTAFRGFLARRALRALKGVVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQQMLYERRSKSDLLKQAEEGWCDSKGTLEDIKAKLQMRHEGAFKRERAIAYSLAQKQWRSNPSSNTRSNGSLSTFKNHEFDKNSWGWSWLERWMAAKPWETRLMEQSHTDLSETTPPPKSGSDSLVSKNTKSSEQSFVKVRKNNVTTRISAKPPIGHVTRSSSSPSSEFHYDESSASSSICTSATPVSGNTGLPLDRIEEGGYSRPSYMNLTESTKAKRKTSNQLSHRIQRQSMDEFQFLKRSGAFSNADSKSSAGSDPSVNLSKPLCQTTRLDKNSMKQFRGTDNPLYD >Manes.16G081700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28803066:28805916:-1 gene:Manes.16G081700.v8.1 transcript:Manes.16G081700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKSLIGLKKSNDKEENMGGKSKKWKLWRSSSGDLGSSWKGFKGSHRAASECSGSSPITDAFTAAMATVVRAPPKDFRVVRQEWAAIRIQTAFRGFLARRALRALKGVVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQQMLYERRSKSDLLKQAEEGWCDSKGTLEDIKAKLQMRHEGAFKRERAIAYSLAQKQWRSNPSSNTRSNGSLSTFKNHEFDKNSWGWSWLERWMAAKPWETRLMEQSHTDLSETTPPPKSGSDSLVSKNTKSSEQSFVKVRKNNVTTRISAKPPIGHVTRSSSSPSSEFHYDESSASSSICTSATPVSGNTGLPLDRIEEGGYSRPSYMNLTESTKAKRKTSNQLSHRIQRQSMDEFQFLKRSGAFSNADSKSSAGSDPSVNLSKPLCQTTRLDKNSMKQFRGTDNPLYD >Manes.09G081644.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20568578:20569079:1 gene:Manes.09G081644.v8.1 transcript:Manes.09G081644.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCVSTASYFVQVNGHKTGFIHPSREIQQDDTITYARASSRDAEEIKSVLYERASGQSINLANPVSFSPNTSSVVRQSVTSILHISHLEAPNKFLGLPADIPRSKHQIFFFIKVRVANKIAG >Manes.02G009100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1014462:1017698:-1 gene:Manes.02G009100.v8.1 transcript:Manes.02G009100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEREDFSLSGPLHLNAVDWTNAHHQRSVTASLVQGVYVLERDRQLKREGPEALANPWWEFFHFQLLRKLVDDVDSSIFGAIYEFKPSTAYSSHSLDAGPHYVIAFRGTITKPDSLPRDIELDLHIIRNGLHETSRFEIGIQAVRNVVTTVGESNVWLAGHSLGSAMALLAGKIMAKTGIFIQAFLFNSPFFSAPIEGIKDQRVKHGLRIAGSVITAGLAFAAAAKKNDQNSRSIDTFAALSSWVPSLFVNPADHICSEYVGYFEHRKKMDDIGIGAIERLATQNSIGGLIMNAIGKESEPLHLIPSANLTVNLTPSRDFKEAHGIHQWWRPDLEVQSNLYKYQ >Manes.03G149400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27681408:27684333:-1 gene:Manes.03G149400.v8.1 transcript:Manes.03G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNHNQIRVFGQRSIPSSFNFRSSNLVKDSNVDNQKQASNKDSSISLSDFLESKLHTGPARPKIVKGKLRPFTSPLVPRGDGGSTDDRMGVEKEGKERNSVIDEVVFEQFKHASSEKVYSIYSCGVDGNDVELGTSSTQFDLDSTHVNSNNDQETRKRRIPFEDGKEKYATRKHSLVLGGDPEPKQRTWGKQFTSNKKPRPLYNHYANGCGWWDCDMEGVDTEDVGTGEIWEGVGSTTFGGI >Manes.03G062100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6694653:6699900:-1 gene:Manes.03G062100.v8.1 transcript:Manes.03G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHLSSTQSLMHTCLLKSLLFPLAHPPNFVSYKYGIRTTINKAASQPTTNTIVQMESHSHDSQKLRFDFLQLLHSRRSANVPLSVEIGKPVADPLYQDNTLPKTRSEAMESCPKVVIKNFKELLKEENLYLITESGEQGRLPVLIMSMKENEERRPAIVFLHSTNKCKEWLRPLLEAYASRGYVAVAIDSRYHGERAGNKTTYRDALISSWKRGDTMPFIYDTVWDLIKLADYLTQREDVDPTRIGITGESLGGMHAWFAAFAEPRYSVVVPIIGVQGFRWAIDNDKWQARVDSIRPLFEEAQKDLGKSAIDKEVVEKVWDRIAPFLASSFDSPYTIPAIAPRPLLIVNGAEDPRCPLEGLEIPRLRASNGYADAHCLHKFKLIAQPGIGHQMTALMVKEASDWFDKFLKH >Manes.12G047043.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3939560:3940364:1 gene:Manes.12G047043.v8.1 transcript:Manes.12G047043.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGLLLWVFLHQLLQASHLVGFCLPLSLGKGRSSDGFSVLFLLWLESLIDPVRAVMFHFSFSFFLLIFFALFVFLFFFADPCGFQWRVFLVLLREFARLYHLEKFFDRCQYLGTAPRCSVTNAPMRAIASLFLAIGPHSRFSCCQGFFLNCMFALYHLFDVVVRCC >Manes.01G067000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26786425:26788011:-1 gene:Manes.01G067000.v8.1 transcript:Manes.01G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLASPRDSCKSNLDQSTRLHKSDMLIPLVSGNLTSQPSEKTTTHLSLAINEAKSIANIALPMILTGLLLYSRSMISMLFLGRLGELALAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAKRYKLLGLTMQRTILLLILISLPISFLWFNMKNILLFCGQEDGIATEAQTYILFSLPDLILQSLLHPLRIYLRTQSITLPLTFCAALSILFHIPINYFLVSVLNLGIKGVALSGIWTNFNLVSSLIIYVILSGAHRKTWGGISLECLRGWKSLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPRATVASMGILIQTTALIYIFPSSLSFGVSTRVGNELGANNPQKAKLAAIIGLSSSFGLGFSALFFAVMVRKVWATMFTEDAEIIALTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKMGANINLGCFYLVGMPVAVWLSFYGGFDFKGLWLGLLAAQGSCVVTMLFVLTRTDWKWQALRAKELTGNANTADDVEDAETLQDHNTLLLSTSSDHNDSSA >Manes.07G103400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30936888:30937268:1 gene:Manes.07G103400.v8.1 transcript:Manes.07G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHGYQEQEDDKLEHAGDDSDMTNINPRSEHKISNSEAREKGEGEEFWSKYPRLNESLKLEQFSEYTLPDSGVNIIKERLISIGNLKEKELEDKWKKLQVAETEVKLMRANLIQQQTQLILDLIS >Manes.17G084700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29130491:29134797:1 gene:Manes.17G084700.v8.1 transcript:Manes.17G084700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMKSCKREQENGGGGGYLWGRGRGGWRLLKRRQVCVPRRQPDSGASLRSASLPRDTDWSLGKRQAKLPEAPGSGWRFFKKRQPYLALVESIPLSLFHLICYPDSTPLPQMEKTKEIAVTQMRKSIEKLGSSAESYGDITLIRFLIARSMDPEKAAKMFVQWQQWRAAFVPKGFIADSEVQDELDTKKIYLQTSKHFPSKDHLQFKKFVVHFLDKTIASSFKGREIGNEKSIVIIDLQQITYRNVDVRGLITGFQLLQAYYPERLAKLFILSMPWFFVSVWRMLSRFLEKATLEKIVIVSNEEERKKFIKEIGEESLPEEYGGQAKLVALQDFILPELED >Manes.03G086206.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17013463:17013984:-1 gene:Manes.03G086206.v8.1 transcript:Manes.03G086206.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSNLSSFSIHLNEDIAGDYTSSDRPLGVKKAKLKKKLDESFSSALKCLHADNEKFVESLANATAEKEKGRLMKSRVLDLKEFKEENKILLLDLNSISDPIARETFRQEKIRISEKRA >Manes.03G120832.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24692451:24699070:-1 gene:Manes.03G120832.v8.1 transcript:Manes.03G120832.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSPDPELRSPLLQSSLKQSEAEKLTIDDMLQKHCGEFGWWQMRHFVLTSLAWALEAFHTMVMIFADCEPDFRCLGPGCDATAKNVCGFEPGSWEWVGGLERSTVAQWGLVCGNKFKVGLVQAVFFGGCMIGAGIFGHLSDSILGRKGSLTVVCTFNALFGCITALAPDYRTYLLLRLLTGFSTGGVGLCAFVLATEPVGPTKRGTAGMSTFYFFSTGIAILSGIAYVFRSWRQLYIASSIPSILFLILVLPFISESPRWYLVRGKINEAMKLMRVIAKSNGNHLPDGVILALDEEANSISSNDQNCKEEPATNKEAITGSLIDVIRSPLTRIRLFLAVAINLLCSVVYYGISLNVVNLDTNLYLNVLLNAVAEMPAFTITALLLNKFGRRPLAIGTQWFSGLFCLMGSLMENTGIWKIMRMICGIFGIFGMAGTYNLLFIYTAELFPTVVRNAALGSATQSAQMGAILAPLVVVLGGAFPFAVFAVCGIMGGLLAFYLPETLNRPLYDTMRGMEDGESGWRGI >Manes.03G120832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24694722:24699131:-1 gene:Manes.03G120832.v8.1 transcript:Manes.03G120832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSSPDPELRSPLLQSSLKQSEAEKLTIDDMLQKHCGEFGWWQMRHFVLTSLAWALEAFHTMVMIFADCEPDFRCLGPGCDATAKNVCGFEPGSWEWVGGLERSTVAQWGLVCGNKFKVGLVQAVFFGGCMIGAGIFGHLSDSILGRKGSLTVVCTFNALFGCITALAPDYRTYLLLRLLTGFSTGGVGLCAFVLATEPVGPTKRGTAGMSTFYFFSTGIAILSGIAYVFRSWRQLYIASSIPSILFLILVLPFISESPRWYLVRGKINEAMKLMRVIAKSNGNHLPDGVILALDEEANSISSNDQNCKEEPATNKEAITGSLIDVIRSPLTRIRLFLAVAINLLCSVVYYGISLNVVNLDTNLYLNVLLNAVAEMPAFTITALLLNKFGRRPLAIGTQWFSGLFCLMGSLMENTGIWKIMRMICGIFGIFGMAGTYNLLFIYTAELFPTVVRNAALGSATQSAQMGAILAPLVVVLGGAFPFAVFAVCGIMGGLLAFYLPETLNRPLYDTMRGMEDGESGWRGI >Manes.01G230000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39469187:39471928:1 gene:Manes.01G230000.v8.1 transcript:Manes.01G230000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGKEAVKIESIVGSSTFPDYIQSSYPFPGVFDFCEGDKSSLGFMELLGMQDFSPSMFDVLQVPSMVQPAASNPVVTKVESPEALNQPATPNSSSISSASSEALNDELVKVADNEEEEQQKTKKELKPKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSASCNVKKRVERSFSDPSIVVTTYEGQHTHPSAVMTRPSFVGAASESGFSATPFAMPMQRRSLLYQQEQQPFVHSFTPLGFGYDGTTNAAFLHERRLHTTPGSALLEDHGLLQDVVPSHMLKE >Manes.16G060526.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:18883250:18883588:1 gene:Manes.16G060526.v8.1 transcript:Manes.16G060526.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSFYLLIFISFSLFSLILFFFFFHFFLFFSFNFILFYFLFHYLSFFLLFFFLFFHLLYFLSYSLTFFFHSFLFYSIFFIFSFIFLHSLHLSLIFFHFLFFFLFFLHFFSHFL >Manes.01G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30165928:30167447:1 gene:Manes.01G101300.v8.1 transcript:Manes.01G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYTRNDDDVNDFDEYDPTPYGGGYDIALTYGRPTPPSEETCYPNSSSADEIDYDRPHFSSHAKPSAYADDHLQEEYTSYSRPKPRPRPAHGGAHGGDVFLDARPEPGYGSQHERTRPDSDYGDESPRRHNHGRRGDESPRRHDHGRRGDESPRRHDHGRRGDEYERRDSDDEERYRRKKHGDDDDSDDEEKKHHRHKHHHHKKHSDDDE >Manes.09G124100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32614416:32621772:1 gene:Manes.09G124100.v8.1 transcript:Manes.09G124100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSGAVDCGVGPIVWVRRRNGSWWPGKIMSPEELAECNLSSPRTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGDFDDCIERAESAQGMRIKKREKYARREDAILHALELEKQMMKKQGKLSTTSDNQRSKLSGPAKKDLGIASEGLTNNSGIPGNAKLNTSIKDEIIGIPAKAKDGNQPISGDDHSEATPRMRGLQDLGLRTASKRKLPTSSDSDVCMKPMGDTHFQVHPVDAPNMERRNHANGADGVEVIQAKRSRCVYSPADSSDYLDDKELLPNQIKMLPSKSEDDDDHPHHSSLKEQNSSSGFMENLESDSSGTDSLQSESASSETEPDVADGMTVLPGTIMPTEAEQNALRQPEVPEHGTVSKWRLKGKRNIRHLTKKSSDRADEKVLNGPLHGTYHKINGSTLGQRGYSFDDADLGRKYIGMYNDRSSYASRYAFKGRNNIGHNTIDWRDMAWEDRPAFKGHLEDRVEHFNPIFFGRHYYGGRARSMLVDVDLKVQASYQKAPVPIVSLTSKLNGKAIIGHPIQIQALEEGSSETLISINDYHGTEAVDHDANTSISPAWRTARRTNYRVPRPLLSSVLGSEHPQFIDQEGRLPFRKLSVGNFSHKATLVRKSFPHTSRPSMDRKFQRKLQKKASLSSNKKTRTLSSIAVQQSFSKPLHYSSISQMGGLLKSETTRPTTVACIPVKLVFSRLLEKINRPPSKAACKVVISNGNAERPPS >Manes.09G124100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32614416:32621772:1 gene:Manes.09G124100.v8.1 transcript:Manes.09G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSGAVDCGVGPIVWVRRRNGSWWPGKIMSPEELAECNLSSPRTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGDFDDCIERAESAQGMRIKKREKYARREDAILHALELEKQMMKKQGKLSTTSDNQRSKLSGPAKKDLGIASEGLTNNSGIPGNAKLNTSIKDEIIGIPAKAKDGNQPISGDDHSEATPRMRGLQDLGLRTASKRKLPTSSDSDVCMKPMGDTHFQVHPVDAPNMERRNHANGADGVEVIQAKRSRCVYSPADSSDYLDDKELLPNQIKMLPSKSEDDDDHPHHSSLKEQNSSSGFMENLESDSSGTDSLQSESASSETEPDVADGMTVLPGTIMPTEAEQNALRQPEVPEHGSISSEDPDELAFSGEISLLYPDDPFLANAAVSKWRLKGKRNIRHLTKKSSDRADEKVLNGPLHGTYHKINGSTLGQRGYSFDDADLGRKYIGMYNDRSSYASRYAFKGRNNIGHNTIDWRDMAWEDRPAFKGHLEDRVEHFNPIFFGRHYYGGRARSMLVDVDLKVQASYQKAPVPIVSLTSKLNGKAIIGHPIQIQALEEGSSETLISINDYHGTEAVDHDANTSISPAWRTARRTNYRVPRPLLSSVLGSEHPQFIDQEGRLPFRKLSVGNFSHKATLVRKSFPHTSRPSMDRKFQRKLQKKASLSSNKKTRTLSSIAVQQSFSKPLHYSSISQMGGLLKSETTRPTTVACIPVKLVFSRLLEKINRPPSKAACKVVISNGNAERPPS >Manes.S017332.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:169309:169734:1 gene:Manes.S017332.v8.1 transcript:Manes.S017332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.03G117950.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24345379:24346659:-1 gene:Manes.03G117950.v8.1 transcript:Manes.03G117950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDFDFEDYFPSMMQRLGAEGFIMELCNGFRLLMDGEKGLITFESLKRNSILLGLQDLRDEELVWMLMEGDLDGDGAINQMEFCILMFRLSPGMKVGPKQWMDYEFDVNNEM >Manes.03G117950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24345347:24346711:-1 gene:Manes.03G117950.v8.1 transcript:Manes.03G117950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGRRVIGSLFPRRPASVLISFLLLYKPPAPPPFFTFQHHPSSLRGLFTCVYNLPRLHKRLGAEGFIMELCNGFRLLMDGEKGLITFESLKRNSILLGLQDLRDEELVWMLMEGDLDGDGAINQMEFCILMFRLSPGMKVGPKQWMDYEFDVNNEM >Manes.02G164500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12867397:12873947:1 gene:Manes.02G164500.v8.1 transcript:Manes.02G164500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHSYLLFLIFSLVFSDNFILLSSSCSSSSSSSENEFSSSFFDAVTSLNPKSLNSLKNQSAFRQRKPSSGCEVWTKACSEAILSLARRPETVSWLKSVRRKIHENPELAFEEVKTSQLVRNELDKMEIGYKYPLAKTGIRAWIGTGRPPFVAIRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVAMLIGAAKILKTREHLLKGTVILLFQPAEEAGNGAKRMIGDGALEDVEAIFAVHVSHEHPTAIIGSRPGPLLAGCGFFRAEISGRKGGAENPHRCVDPILAASAAVISLQSIVSREANPLDSQVVSITTMDGGNNLDMIPDTVTLGGTFRAFSNASFYQLLRRIKEVIVEQANVFRCSATVDFFEQEYTIYPPTVNDDDMYGHVRKVAIDLLGPTNFRVVPPMMGAEDFSFYSQVVPAAFYYIGIRNETLGSTHTGHSPYFMIDEDVLPIGAATHAAIAERYLIEHG >Manes.08G062500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8407443:8409690:1 gene:Manes.08G062500.v8.1 transcript:Manes.08G062500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIQRFLQNPYQQQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKQLESDKPELLKIAIRPRPNKGSENESSEFGIKRSPVRSVEERKEEYDRARARIFSSPSSPNSDDTVSQGYVDRKSTSVSKDEQEGCRNAVSVPEKYICSRDYAPSRVAIFRDREKDRTDPDYDRSYDQYVRSLPTNQNFNLAPFDMQKIQLPFVQYDTGFTQLGQMPRTQTSLGYRPATTPVMNPFCAVGSNQASRDAAYVQWPSVAMTYAHSYEQFRHAVFQRC >Manes.08G062500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8405861:8409690:1 gene:Manes.08G062500.v8.1 transcript:Manes.08G062500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAVQNNFFWGVLRMELDIQRFLQNPYQQQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKQLESDKPELLKIAIRPRPNKGSENESSEFGIKRSPVRSVEERKEEYDRARARIFSSPSSPNSDDTVSQGYVDRKSTSVSKDEQEGCRNAVSVPEKYICSRDYAPSRVAIFRDREKDRTDPDYDRSYDQYVRSLPTNQNFNLAPFDMQKIQLPFVQYDTGFTQLGQMPRTQTSLGYRPATTPVMNPFCAVGSNQASRDAAYVQWPSVAMTYAHSYEQFRHAVFQRC >Manes.08G062500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8407443:8409698:1 gene:Manes.08G062500.v8.1 transcript:Manes.08G062500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIQRFLQNPYQQQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKQLESDKPELLKIAIRPRPNKGSENESSEFGIKRSPVRSVEERKEEYDRARARIFSSPSSPNSDDTVSQGYVDRKSTSVSKDEQEGCRNAVSVPEKYICSRDYAPSRVAIFRDREKDRTDPDYDRSYDQYVRSLPTNQNFNLAPFDMQKIQLPFVQYDTGFTQLGQMPRTQTSLGYRPATTPVMNPFCAVGSNQASRDAAYVQWPSVAMTYAHSYEQFRHAVFQVMI >Manes.08G062500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8405861:8409199:1 gene:Manes.08G062500.v8.1 transcript:Manes.08G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDIQRFLQNPYQQQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKQLESDKPELLKIAIRPRPNKGSENESSEFGIKRSPVRSVEERKEEYDRARARIFSSPSSPNSDDTVSQGYVDRKSTSVSKDEQEGCRNAVSVPEKYICSRDYAPSRVAIFRDREKDRTDPDYDRSYDQYVRSLPTNQNFNLAPFDMQKIQLPFVQYDTGFTQLGQMPRTQTSLGYRPATTPVMNPFCAVGSNQASRDAAYVQWPSVAMTYAHSYEQFRHAVFQAPCFQQPLSFDYSQNH >Manes.08G062500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8405861:8409698:1 gene:Manes.08G062500.v8.1 transcript:Manes.08G062500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAVQNNFFWGVLRMELDIQRFLQNPYQQQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKQLESDKPELLKIAIRPRPNKGSENESSEFGIKRSPVRSVEERKEEYDRARARIFSSPSSPNSDDTVSQGYVDRKSTSVSKDEQEGCRNAVSVPEKYICSRDYAPSRVAIFRDREKDRTDPDYDRSYDQYVRSLPTNQNFNLAPFDMQKIQLPFVQYDTGFTQLGQMPRTQTSLGYRPATTPVMNPFCAVGSNQASRDAAYVQWPSVAMTYAHSYEQFRHAVFQVMI >Manes.08G062500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8405861:8409690:1 gene:Manes.08G062500.v8.1 transcript:Manes.08G062500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAVQNNFFWGVLRMELDIQRFLQNPYQQQFEFQHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLANKILVKKTAQSRYPPVCLSEIPAKQLESDKPELLKIAIRPRPNKGSENESSEFGIKRSPVRSVEERKEEYDRARARIFSSPSSPNSDDTVSQGYVDRKSTSVSKDEQEGCRNAVSVPEKYICSRDYAPSRVAIFRDREKDRTDPDYDRSYDQYVRSLPTNQNFNLAPFDMQKIQLPFVQYDTGFTQLGQMPRTQTSLGYRPATTPVMNPFCAVGSNQASRDAAYVQWPSVAMTYAHSYEQFRHAVFQLHAFSNL >Manes.06G101200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23484922:23485923:-1 gene:Manes.06G101200.v8.1 transcript:Manes.06G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPFPFLLPVLLLLFFPSISLSQSNLSVDYYKTTCPDFPNIIRETVTNKQLTSPTTAAATLRLFFHDCIVDGCDASVLISSNAFNKAERDAEINHSLAGDAFDVVLRAKTALETKCPKIVSCADILAQATRDLVIIVGGPFYPVQLGRKDGLISMVSHADEGLPRTNMTMDQLIDLFDKKGFSIKEFVALLGSHTIGFSHCKEFADRLYNFSKTTPTDPLFNPKYAEALKTLCANYTIDSTISAFNDVLTPGKFDNMYYQNLPKGLGLLITDNLLMKDPRTKPIAELYAKDQTVFFTDFSDAMEKLSVLEVKSGTEGEVRNRCDQFNSIKT >Manes.10G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3121215:3122155:-1 gene:Manes.10G030600.v8.1 transcript:Manes.10G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVQYFFFFSFITIWLSSTIAYAQVDGLASYYTPPYTPSACYGYEDHGVMIAAASEAIFNNGAACGQYYQVTCISGTNAGTPHPCWGSGTVVVKIVDRCPDGCRSTIDLSQEAFASIADPNSGVINISYQRV >Manes.01G102000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30193913:30195006:1 gene:Manes.01G102000.v8.1 transcript:Manes.01G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELKIQWRRCLEFSFTVLHLVLIVASISFAAPLIGNANYFCNATGGDCHEEPPCVDSDPCPGPPIGSQLRYITYHSLGKQPICNSKIYGSCLRPEGSNKTERCSYYTRCRLHS >Manes.11G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30692752:30696277:-1 gene:Manes.11G141500.v8.1 transcript:Manes.11G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPSQGANDVATTTTSVPEIPIEIVTEEEMALIEAALAATRSSLSSSVMPTICSPSSSSLFINNARSIHSITSLSKRRVPGGCGESDIEDLSDLRSTQKKNRVAESLFHRFRRRRGLSVTDITSAEWCEKQTEFGLLLGKIKISKAMKAGHERHVKLEQEQVVRRVKISVESAEDAWALKFINFITGANQLLFEGLTRELPLVGFAGGVWMVGIVDEIRMPEGENRNPILVDTKTRVQDTRPAEPQRRNGRLQLMCYKHLWDNLVADKFSSKDFFDFFSLNPYYILSKDIRENTAKAGIPAKTLDDIVRCYRNTCSMLLPADNQMLLRYELQKDNSVIGEDQFAYDPDWLNSQIQGFVEFWLGEREASFTPEEERWKCRFCQFASVCPVNTISGASSPVKSNSPNSSPS >Manes.11G141500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30692752:30696277:-1 gene:Manes.11G141500.v8.1 transcript:Manes.11G141500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPSQGANDVATTTTSVPEIPIEIVTEEEMALIEAALAATRSSLSSSVMPTICSPSSSSLFINNARSIHSITSLSKRRVPGGCGESDIEDLSDLRSTQKKNRVAESLFHRFRRRRGLSVTDITSAEWCEKQTEFGLLLGKIKISKAMKAGHERHVKLEQEVVRRVKISVESAEDAWALKFINFITGANQLLFEGLTRELPLVGFAGGVWMVGIVDEIRMPEGENRNPILVDTKTRVQDTRPAEPQRRNGRLQLMCYKHLWDNLVADKFSSKDFFDFFSLNPYYILSKDIRENTAKAGIPAKTLDDIVRCYRNTCSMLLPADNQMLLRYELQKDNSVIGEDQFAYDPDWLNSQIQGFVEFWLGEREASFTPEEERWKCRFCQFASVCPVNTISGASSPVKSNSPNSSPS >Manes.17G093800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30185035:30185646:1 gene:Manes.17G093800.v8.1 transcript:Manes.17G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKSFFFFVIYIISLLVFLLFPSEMVLARQVLQVPTTKEQLHNFQESKTEAPGSPSVRGPHGLAPPAT >Manes.17G022801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12611607:12614864:1 gene:Manes.17G022801.v8.1 transcript:Manes.17G022801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFIFRSQLFCLILKLDLDKDCCFGCSSEDLELNLLLYLVMVLEFGLSK >Manes.01G043900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8753371:8755839:1 gene:Manes.01G043900.v8.1 transcript:Manes.01G043900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGPVVEADAPKPEVADLISSTEEETQQKLKLEDEPVVEDVKEDDEDEEDDDDDEDDDKEDGTPGANGSSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRVPDMASVLPKSDISGAAAASQADEEEEEVDETGVEPRDIDLVMTQAGVSRSKAVKALKTHNGDIVSAIMELTT >Manes.03G118100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24408827:24412216:1 gene:Manes.03G118100.v8.1 transcript:Manes.03G118100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTTCINMLWICFSLLLLSQTSSSLDSLSPGESIKDGETLVSAAGTFELGFHRPQNSSNRYLAIWYKAVSAFSVVWVANRETPILDSSGVVSLSKKGLVALLNEKNITVWSSNKTTSAQNPIMQLLDSGNLVVRDANDDQSDEFLWQSFDSPCDTFLPGMRIGRNFSTGEDRFLTSWKTANDPAPGQFSLWIDPRGFPQFVLRNGTAMHYRAGSWNGLRFTGTPQLPQIQIFKYEFELSKNGVYYEYEVQGTLMSRLVVNLSGFVERFARTPESPGWRSIYFAPLDQCDEYSVCGVNMKCNIVDNSPNCVCLEGFVSKSPKNWSDGCFRKTPLDCKTGDVFRSYAGLKLPDTSGSPYNTTLSFAECKEMCSGNCNCTAFASSNINGTGCLLWFGELADMREYNEGGQEIYIRMSSSKPGKIEKKLIGIVISAILVGMLVVGIIVCIRKRKQRMTRGINMSDYENKEGKEDMELLTFDITTIAKATDNFASDNKLGQGGFGPVYKGTLTDGQEIAVKRLSKSSGQGQTEFKTEVILISKLQHRNLVKLLGWCIQKDEKMLIYEFMPNKSLDFFIFDQMRSKFLDWPKRVHIIEGIAKGLLYLHHDSRLRIIHRDLKASNVLLDKDMNPKISDFGMARIFGGDQTEANTNRVAGTYGYMSPEYAVDGLFSMKSDVFSFGVLVLEIVSGKKNRGFSHPDHSLNLLGHAWKLWVEERSLEIVDNMLDSVSISEVLRCINMGLLCVQQRPEDRPNMSSVVVMLGSESSLPQPKQPGFFTERNMPEAESSSSKHGSSSINEMSASSLVAR >Manes.08G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8672709:8678986:-1 gene:Manes.08G063600.v8.1 transcript:Manes.08G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASTNSSTEADIRLQTTKFSEKKIGKQGLLKKILKKGISWKSPFPGDEVEVHFSGHVEGGECLDSTRKKGVPFVFKLGQGEVIKGLDDGVATMKKGERAIFKVPPNLAYGEAGSPPLIPSNATLVFDVEMLSWSSIRDLTGDGGILKKITKEGDGWATPRDGDQVLVKYEARLENGLLASKSEEGAEFHIGDGYLCPALGIAVKTMRRGEKADLSVKCSYGFSQNGNIPGEIGRSIHLNSNLTVQLELVSWRSVIDITGNKQVLKTIIKAGEGFERPNEGSQVKVAYTGKMEDGTVFEKKGTAEEPFEYTTLEDQINNGLDRAIMTMKKGEHALITISADCFSDHEISGKFQANSVLGYEVELIDFIKEKPFWKMDALEKLEACEKKKLEGNVFFKAQKFWLASKKYEKASNYTEFSHSFTDDQKCLVKELRLSCLLNNAACKLKLGEYLEASRLCTKVLEQEPLNVKALFRRSQAYLTISELEKAEDDIKKALIVDPNNRDLKLIYKELKDKQREYTKYQAEIFGTMISRMS >Manes.15G157100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12951382:12954042:1 gene:Manes.15G157100.v8.1 transcript:Manes.15G157100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEENRDVPKENPQVSSDIAARQKAIDDWLPITSSRNAKWWYAAFHNVTAMVGAGVLSLPYAMSNLGWGPGTVILILSWTITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLICEVGVDIVYMVTGGKSLQKVHDIVCKHCKPIKTTYFIMIFASVHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWAASVHKGRQPDVQYGYKASTTSGTVFDFFTALGDVAFAYAGHNVVLEIQATIPSTTEKPSKGPMWRGVVIAYIVVALCYFPVALIGYYMFGNNVEDNILISLEKPTWLIVAANMFVVVHVIGSYQLYAMPVFDMLETLLVKQLHFKPSTTLRFITRNLYVAFTMFIAICFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWLTNWICIILGIFLMILSPIGGLRSIILKAKDYKFFS >Manes.15G157100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12950881:12954308:1 gene:Manes.15G157100.v8.1 transcript:Manes.15G157100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEENRDVPKENPQVSSDIAARQKAIDDWLPITSSRNAKWWYAAFHNVTAMVGAGVLSLPYAMSNLGWGPGTVILILSWTITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLICEVGVDIVYMVTGGKSLQKVHDIVCKHCKPIKTTYFIMIFASVHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWAASVHKGRQPDVQYGYKASTTSGTVFDFFTALGDVAFAYAGHNVVLEIQATIPSTTEKPSKGPMWRGVVIAYIVVALCYFPVALIGYYMFGNNVEDNILISLEKPTWLIVAANMFVVVHVIGSYQLYAMPVFDMLETLLVKQLHFKPSTTLRFITRNLYVAFTMFIAICFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWLTNWICIILGIFLMILSPIGGLRSIILKAKDYKFFS >Manes.18G001800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:664098:668606:1 gene:Manes.18G001800.v8.1 transcript:Manes.18G001800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSIPGPSSGSSSSSGFQYLNSPFGDTTYTKVFVGGLAWETQSETMGRYFEQFGEILEAVVITDKNTGRSKGYGFVTFREPEAAQRACADPAPIIDGRRANCNLASLGRPRPPLPHGTPYIASLQTPRGTYVGNFGCHQPLSYSYQQGLMYHPYGYAAYGPEYVYPQHYLQIYGVPGTVNTPIYPYGQLGQTLPGGHSYTTVHGYAMPGHHIVQFGGPSVNAVTSSPIPSIQAPYPTGIRAPLPAQPPFIVPAPSPQHMQGSGSDQKAG >Manes.06G101000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23473605:23475589:-1 gene:Manes.06G101000.v8.1 transcript:Manes.06G101000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIIIVLMSIFLYLRWRRMKLEEKFLKELMTYDRARDVEELDDGGNRGHNLLIYSFATIKTATNGFSFKNRLGQGGFGPVYKGRLTEGQEIAIKRLSSSSGQGLEEFKNELILIAKLQHMNLVRLLGFCIQGEEKLLVYEYMPNKSLDFFIFGDESRRKLLDWKKRFNIIEGIGQGLLYLHKYSRLRIIHRDLKASNILLDAEMNPKISDFGLARIFKANESEANTSTVVGTRGYMSPEYIMEGIFSTKSDVYSFGVLVLEIVSGRKILNVYHQDRPLNLVGYAWEQWKGNALEIVEPTIKNSAPKDEVLKCINLGLLCVEQSPLNRPTMSDVLSMLTSEDPELPMPRQPAFYIGSSTVTANSNEKQIERYTVNEISISEMDGR >Manes.13G083201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14542502:14566438:1 gene:Manes.13G083201.v8.1 transcript:Manes.13G083201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRLLGLSRGDSDESPREITSRTNLISESGESGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMLIHKPSPSLDKFVIDICSKSLLIALKVHWFLLAEIEDSDDNEGISRIQEKCQIAATLMGEWLPLVRPPSESSSPGGKNPVLNKILSSKQKFLSLTSSPPANKSLSFSPSSGNSLIEDGNLLSPDENKIFRKFIPGPKVRDALLFRKSVEKDEEESEKDGFFKRLLRDSSRGEDEESTSNSDGFFKRLLRDSSRGEDEELTSPSDSFFKRFLRDSSSKGEDEELTSSSEGFFKRLFRDNKSDGDEKSVSKSVEDDEKEGFFRKLFKEKFEDKKDEHEERVSSEDKFSKSAEDDEKEGFFRKLFKDKFDERKDGNDKIDEGNANGEEEEPSEFSLFRRLFRVHPEDAKSNAMNENGNSGGLFESSPGTENFFRKLFRDRDRSVEELELFGSKKNKEKRPGSPKQQNDKSNTKPPLPNNTTSHFRKGAYHESLDFVMSLCETSYGLVDVFPIEDRKSALRESLAEINMHLAEAQNSGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKCEMPSNTKDTFGGQKLSRGGIPLANGDAFLPKPPPWAYPLWTAQEAYRNSSDRMSRSTAQAIDQAMSHSLDARLKYVNVSLSVEKQFSRQSRNKKAHDIHPDINCSVQHQRATFEGDLQWVRVVLTADPGLRMEDIGDQGPPRRREHRRVPSTIAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPMVNGGNPKASDALSGELWEAKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPNITSLRDFFVAKYEENSPSFRLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Manes.13G083201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14542502:14566855:1 gene:Manes.13G083201.v8.1 transcript:Manes.13G083201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRLLGLSRGDSDESPREITSRTNLISESGESGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMLIHKPSPSLDKFVIDICSKSLLIALKVHWFLLAEIEDSDDNEGISRIQEKCQIAATLMGEWLPLVRPPSESSSPGGKNPVLNKILSSKQKFLSLTSSPPANKSLSFSPSSGNSLIEDGNLLSPDENKIFRKFIPGPKVRDALLFRKSVEKDEEESEKDGFFKRLLRDSSRGEDEESTSNSDGFFKRLLRDSSRGEDEELTSPSDSFFKRFLRDSSSKGEDEELTSSSEGFFKRLFRDNKSDGDEKSVSKSVEDDEKEGFFRKLFKEKFEDKKDEHEERVSSEDKFSKSAEDDEKEGFFRKLFKDKFDERKDGNDKIDEGNANGEEEEPSEFSLFRRLFRVHPEDAKSNAMNENGNSGGLFESSPGTENFFRKLFRDRDRSVEELELFGSKKNKEKRPGSPKQQNDKSNTKPPLPNNTTSHFRKGAYHESLDFVMSLCETSYGLVDVFPIEDRKSALRESLAEINMHLAEAQNSGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYLICVEVLKCEMPSNTKDTFGGQKLSRGGIPLANGDAFLPKPPPWAYPLWTAQEAYRNSSDRMSRSTAQAIDQAMSHSLDARLKYVNVSLSVEKQFSRQSRNKKAHDIHPDINCSVQHQRATFEGDLQWVRVVLTADPGLRMEDIGDQGPPRRREHRRVPSTIAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPMVNGGNPKASDALSGELWEAKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPNITSLRDFFVAKYEENSPSFRLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Manes.07G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32063967:32066415:1 gene:Manes.07G115400.v8.1 transcript:Manes.07G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVYLDPPNWQQQPNHQPGAGGENPQLPPPPPPSGGGGGGSSGAIRPGSMTERARLAKIPQPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGTSRSKSPTKAGSSSSSGLVSNSCTTDIIGHMAPPPPQLPILPSLHHLGDYNSTDIELNFGGIQPPVAATAGGGGGMEFQLGSSTSGGASGSLLSTGGLVDQWRFQQVQQFPFLANIEPPNGLYPFEGIEPANYVGQLRSKPMDSGVTQLASVKVEENYQGLNLSKNFLGITGNDQYWPGSTAWTADLPGFSSSSTSHLL >Manes.07G115400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32063967:32066416:1 gene:Manes.07G115400.v8.1 transcript:Manes.07G115400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERARLAKIPQPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGTSRSKSPTKAGSSSSSGLVSNSCTTDIIGHMAPPPPQLPILPSLHHLGDYNSTDIELNFGGIQPPVAATAGGGGGMEFQLGSSTSGGASGSLLSTGGLVDQWRFQQVQQFPFLANIEPPNGLYPFEGIEPANYVGQLRSKPMDSGVTQLASVKVEENYQGLNLSKNFLGITGNDQYWPGSTAWTADLPGFSSSSTSHLL >Manes.07G115400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32063967:32066415:1 gene:Manes.07G115400.v8.1 transcript:Manes.07G115400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPVYLDPPNWQQPNHQPGAGGENPQLPPPPPPSGGGGGGSSGAIRPGSMTERARLAKIPQPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGTSRSKSPTKAGSSSSSGLVSNSCTTDIIGHMAPPPPQLPILPSLHHLGDYNSTDIELNFGGIQPPVAATAGGGGGMEFQLGSSTSGGASGSLLSTGGLVDQWRFQQVQQFPFLANIEPPNGLYPFEGIEPANYVGQLRSKPMDSGVTQLASVKVEENYQGLNLSKNFLGITGNDQYWPGSTAWTADLPGFSSSSTSHLL >Manes.11G164900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32926582:32927979:1 gene:Manes.11G164900.v8.1 transcript:Manes.11G164900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLSATLKRLQDKVALITGASSGIGECTARLFSKHGAKLVIADIQDELGNSLCQELRADAPCSFVHCDVTKEEDVQNAVDTAVSKYGKLDIMINNAGIVGVAKPNILDNEKSEFEKIVSVNLVGVFLGTKHAARVMIPNRRGSIITTASVCSVIGGVAPHAYTSSKHGVLGLMRNTAVELGQYGIRVNCVSPYLVATPLAKDFLKLDEDGVHRVYSNLKEAVLKPEDVAQAALYLAGDESKYVSGHNLVVDGGFTVVNPGFCMFPQSV >Manes.11G164900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32926165:32927944:1 gene:Manes.11G164900.v8.1 transcript:Manes.11G164900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLSATLKRLQDKVALITGASSGIGECTARLFSKHGAKLVIADIQDELGNSLCQELRADAPCSFVHCDVTKEEDVQNAVDTAVSKYGKLDIMINNAGIVGVAKPNILDNEKSEFEKIVSVNLVGVFLGTKHAARVMIPNRRGSIITTASVCSVIGGVAPHAYTSSKHGVLGLMRNTAVELGQYGIRVNCVSPYLVATPLAKDFLKLDEDGVHRVYSNLKEAVLKPEDVAQAALYLAGDESKYVSGHNLVVDGGFTVVNPGFCMFPQSV >Manes.11G164900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32926170:32927944:1 gene:Manes.11G164900.v8.1 transcript:Manes.11G164900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLSATLKRLQDKVALITGASSGIGECTARLFSKHGAKLVIADIQDELGNSLCQELRADAPCSFVHCDVTKEEDVQNAVDTAVSKYGKLDIMINNAGIVGVAKPNILDNEKSEFEKIVSVNLVGVFLGTKHAARVMIPNRRGSIITTASVCSVIGGVAPHAYTSSKHGVLGLMRNTAVELGQYGIRVNCVSPYLVATPLAKDFLKLDEDGVHRVYSNLKEAVLKPEDVAQAALYLAGDESKYVSGHNLVVDGGFTVVNPGFCMFPQSV >Manes.03G153900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28161549:28162643:1 gene:Manes.03G153900.v8.1 transcript:Manes.03G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHRLIFSFLFFAAVAFAALVGGWQPIKDLKDPNIVEIGEYAVKEYNKRANTDLILVNVVKGEEQVVSGMNYRLILAVTEGKASKKYQAEVWEKAWENFKNLTSFEPVKE >Manes.03G105516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22926622:22927354:1 gene:Manes.03G105516.v8.1 transcript:Manes.03G105516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMEISFSCFSVVSLHFLRVWNPLPSQSVYGSGYSGVCRAFPDLLCRG >Manes.05G149700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25309151:25310237:-1 gene:Manes.05G149700.v8.1 transcript:Manes.05G149700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMGKCSKIRHIVRLRQLLRRWRNKARISANRIPSDVPAGHVAICVGSSCRRFVVRATYLNHPVFKKLLVQAEEEYGFTNQGPLAIPCDETVFEEVIRYISRSESGNSTRFLNLEDFQRHCHVGVRSTLNFWTESRPLLHGFDDKTIWIDSGRVSKPHHSESRKYIKYIIRVEFLELTRLNFPDFFYGF >Manes.07G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31330755:31333257:-1 gene:Manes.07G107100.v8.1 transcript:Manes.07G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNSWILLHFCVFILLQITCSQDPLTWKNIDCGTEAPRVGPNFLTWEMDHDFTPSGINKRLPENQPLDEMTTLRFFPNRMDQNCYTIPAYKQNLQYIIRAGFYYGNYDGLSKPPTFDLYLDGQKWSTVSTSTIGGPIYVEAIHWTHGSGSIGLCLIQTRNGEVPFISSLEAMPLWTHLYLQMETNSTFTLLNRTNLGGNEIRYTGLLSDEKYNRIWTSGSIPPNCKKVSTLPDSTTPTLQNDPPKLVLQDSITSNTSDPIILTVDSPLNWTSQTAYLVLYFTETATRPTIDDTRIMDIEIDGRMMYTVGTEINQCKVVTLYPVPLVGPTTNIKLAPSRLSTLPPIITAIEAFTRVNIDVHNDPPPPSSAASGDNSTSGAWKRFCVPYIVFIYISSAIFMF >Manes.16G003700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:525137:525971:1 gene:Manes.16G003700.v8.1 transcript:Manes.16G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKLFLLLSLLVASAAIALPTTPSEEESFMDDNDDAAEENSDIPLQENQETTSSLRGTKRYLAQETRGVQMTCDKYPRVCRAKGSPGPDCCKKKCVNVKTDKLNCGKCGKKCKYPEICCKGVCVNPMSNKKHCGGCNNKCKQGSQCVYGMCSYA >Manes.09G078108.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21478923:21479782:-1 gene:Manes.09G078108.v8.1 transcript:Manes.09G078108.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNGEHIKYPLNLDISKEKDKSKFYHFYDDYGHTTDECQNLKDEIEKLAKKGALNKFSKKKKVCKEAKIMVVESLSQHLITLNMISTPHSGLLVISARIMFMVKQILIDIEIFINLITLDIFDKLGLDQKKNLSKVSYPLVELGDKLVPVIGVTNLIVVVGDEKFKREIYMKFTVVDIPLSYNSILGWPILNNYKIIFNMEYLCLKLPIGGGIAVAMGSQDSSFVIRRVPVNLCD >Manes.09G016753.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3621040:3639357:-1 gene:Manes.09G016753.v8.1 transcript:Manes.09G016753.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDSRFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRIPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTIVTFTTLINGLCIESKMDKAVEFFDDMVARGYQPNVYTFNVIVKGLCKFGKTNLAIELLKEMADRGCEPDVVTYNAIIDTLCKDELVGEALELFSQMRNKGISPHVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFQEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPNVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYSILINGYCTCKDMTTTSPF >Manes.03G038300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3366540:3369754:1 gene:Manes.03G038300.v8.1 transcript:Manes.03G038300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLWSASSPTQARTMAERADDFSIQISDATQDAVILLSSQMGSSLPQSRETENLGDRDPLFSTSSKSPTSSLIQRKSATSSPHSKPLRATPPADDSESTDQKKKLPVSPLPNKEEETQKKGMKSWEKIVISVETTAFLCVMGLLIASLTADRFQNSMIWGFKIWKWCLLLLAIVCGRLAGFLITNFLMSLIWKFWLDEKVIYFAHGVKKSALFFIWLGLVTLAWGLLFNHGDKREVTRGLAGCLIGSTLWLLKTLLIKLIGSVHATKLFSKIKEAIRNRKVLRALSEMKIENTNSGIQKKDKVSVETMREIMDAIRGKMLVPLFYVHSDVDEVKKITDEAGARIASDEIFTRLAGSNNKDGFMDFKTLVTSVDDEKVIQHFEGVAEDKQHIPGAEQNNTEQDKRIKKSVFRNWVVEIYKDHDSLNSTLQHSKTAIDELNVIVSVIILFIIAVVWLLFMEVLSTKLLVFMSSQLLLVVFMFGNTAKNVFEAVIFVFVVHAFDVGDRCVIDGVQMVVDEMNILTTTFLKNDGEKVYYPNSVLALKPISNLYRSPPMTDSLEFAISLRTPMQIINDLQDKITKYLEINPRKWRADHSVQFKEIEDVNKMKAGDPMKKKDV >Manes.03G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3366540:3369427:1 gene:Manes.03G038300.v8.1 transcript:Manes.03G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLWSASSPTQARTMAERADDFSIQISDATQDAVILLSSQMGSSLPQSRETENLGDRDPLFSTSSKSPTSSLIQRKSATSSPHSKPLRATPPADDSESTDQKKKLPVSPLPNKEEETQKKGMKSWEKIVISVETTAFLCVMGLLIASLTADRFQNSMIWGFKIWKWCLLLLAIVCGRLAGFLITNFLMSLIWKFWLDEKVIYFAHGVKKSALFFIWLGLVTLAWGLLFNHGDKREVTRGLAGCLIGSTLWLLKTLLIKLIGSVHATKLFSKIKEAIRNRKVLRALSEMKIENTNSGIQKKDKVSVETMREIMDAIRGKMLVPLFYVHSDVDEVKKITDEAGARIASDEIFTRLAGSNNKDGFMDFKTLVTSVDDEKVIQHFEGVAEDKQHIPGAEQNNTEQDKRIKKSVFRNWVVEIYKDHDSLNSTLQHSKTAIDELNVIVSVIILFIIAVVWLLFMEVLSTKLLVFMSSQLLLVVFMFGNTAKNVFEAVIFVFVVHAFDVGDRCVIDGVQMVVDEMNILTTTFLKNDGEKVYYPNSVLALKPISNLYRSPPMTDSLEFAISLRTPMQIINDLQDKITKYLEINPRKWRADHSVQFKEIEDVNKMKVALYVNHTVNFHYLAKRTKRRSELVLEMKKIFEELKIEYNLLPQQVNLSYAGSAAPALPLAFPVKGS >Manes.03G038300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3366540:3369486:1 gene:Manes.03G038300.v8.1 transcript:Manes.03G038300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERADDFSIQISDATQDAVILLSSQMGSSLPQSRETENLGDRDPLFSTSSKSPTSSLIQRKSATSSPHSKPLRATPPADDSESTDQKKKLPVSPLPNKEEETQKKGMKSWEKIVISVETTAFLCVMGLLIASLTADRFQNSMIWGFKIWKWCLLLLAIVCGRLAGFLITNFLMSLIWKFWLDEKVIYFAHGVKKSALFFIWLGLVTLAWGLLFNHGDKREVTRGLAGCLIGSTLWLLKTLLIKLIGSVHATKLFSKIKEAIRNRKVLRALSEMKIENTNSGIQKKDKVSVETMREIMDAIRGKMLVPLFYVHSDVDEVKKITDEAGARIASDEIFTRLAGSNNKDGFMDFKTLVTSVDDEKVIQHFEGVAEDKQHIPGAEQNNTEQDKRIKKSVFRNWVVEIYKDHDSLNSTLQHSKTAIDELNVIVSVIILFIIAVVWLLFMEVLSTKLLVFMSSQLLLVVFMFGNTAKNVFEAVIFVFVVHAFDVGDRCVIDGVQMVVDEMNILTTTFLKNDGEKVYYPNSVLALKPISNLYRSPPMTDSLEFAISLRTPMQIINDLQDKITKYLEINPRKWRADHSVQFKEIEDVNKMKVALYVNHTVNFHYLAKRTKRRSELVLEMKKIFEELKIEYNLLPQQVNLSYAGSAAPALPLAFPVKGS >Manes.03G038300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3366540:3369427:1 gene:Manes.03G038300.v8.1 transcript:Manes.03G038300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERADDFSIQISDATQDAVILLSSQMGSSLPQSRETENLGDRDPLFSTSSKSPTSSLIQRKSATSSPHSKPLRATPPADDSESTDQKKKLPVSPLPNKEEETQKKGMKSWEKIVISVETTAFLCVMGLLIASLTADRFQNSMIWGFKIWKWCLLLLAIVCGRLAGFLITNFLMSLIWKFWLDEKVIYFAHGVKKSALFFIWLGLVTLAWGLLFNHGDKREVTRGLAGCLIGSTLWLLKTLLIKLIGSVHATKLFSKIKEAIRNRKVLRALSEMKIENTNSGIQKKDKVSVVKNTNSGIQKEDKVSVVKNTNSGIQKEDKVSVVKNTNSGIQKEDKVSVETMREIMDAIRGKMLVPLFYVHSDVDEVKKITDEAGARIASDEIFTRLAGSNNKDGFMDFKTLVTSVDDEKVIQHFEGVAEDKQHIPGAEQNNTEQDKRIKKSVFRNWVVEIYKDHDSLNSTLQHSKTAIDELNVIVSVIILFIIAVVWLLFMEVLSTKLLVFMSSQLLLVVFMFGNTAKNVFEAVIFVFVVHAFDVGDRCVIDGVQMVVDEMNILTTTFLKNDGEKVYYPNSVLALKPISNLYRSPPMTDSLEFAISLRTPMQIINDLQDKITKYLEINPRKWRADHSVQFKEIEDVNKMKVALYVNHTVNFHYLAKRTKRRSELVLEMKKIFEELKIEYNLLPQQVNLSYAGSAAPALPLAFPVKGS >Manes.01G089200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29113610:29120593:1 gene:Manes.01G089200.v8.1 transcript:Manes.01G089200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTCHYVERCKGVNGLDKVILREVRGFSAEVYLYGGQVTSWKNEHGEEMLFLSSKATFQPPKVINGGIQICFPQFGSHCSLEHRGFSRNRVWCMDPDPPPFPTCSTNKAFIDLILKHSEEGIKIWPHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKPFTFTFSYQTYFAVSDISEVRVEGLETLDYLDNLNNRERFTEQGDAITFESEVDKVYVSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKTLPDFSHGEYKNMLCVDASCVENPITLKPGEEWKGRQELSAVPSSYCSSRR >Manes.01G089200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29113610:29120593:1 gene:Manes.01G089200.v8.1 transcript:Manes.01G089200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTCHYVERCKGVNGLDKVILREVYLYGGQVTSWKNEHGEEMLFLSSKATFQPPKVINGGIQICFPQFGSHCSLEHRGFSRNRVWCMDPDPPPFPTCSTNKAFIDLILKHSEEGIKIWPHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKPFTFTFSYQTYFAVSDISEVRVEGLETLDYLDNLNNRERFTEQGDAITFESEVDKVYVSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKTLPDFSHGEYKNMLCVDASCVENPITLKPGEEWKGRQELSAVPSSYCSSRR >Manes.01G089200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29114089:29120593:1 gene:Manes.01G089200.v8.1 transcript:Manes.01G089200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTCHYVERCKGVNGLDKVILREVRGFSAEATFQPPKVINGGIQICFPQFGSHCSLEHRGFSRNRVWCMDPDPPPFPTCSTNKAFIDLILKHSEEGIKIWPHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKPFTFTFSYQTYFAVSDISEVRVEGLETLDYLDNLNNRERFTEQGDAITFESEVDKVYVSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKTLPDFSHGEYKNMLCVDASCVENPITLKPGEEWKGRQELSAVPSSYCSSRR >Manes.01G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29113609:29120593:1 gene:Manes.01G089200.v8.1 transcript:Manes.01G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTCHYVERCKGVNGLDKVILREVRGFSAEVYLYGGQVTSWKNEHGEEMLFLSSKATFQPPKVINGGIQICFPQFGSHCSLEHRGFSRNRVWCMDPDPPPFPTCSTNKAFIDLILKHSEEGIKIWPHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKPFTFTFSYQTYFAVSDISEVRVEGLETLDYLDNLNNRERFTEQGDAITFESEVDKVYVSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKTLPDFSHGEYKNMLCVDASCVENPITLKPGEEWKGRQELSAVPSSYCSSRR >Manes.01G089200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29114676:29120593:1 gene:Manes.01G089200.v8.1 transcript:Manes.01G089200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSSKATFQPPKVINGGIQICFPQFGSHCSLEHRGFSRNRVWCMDPDPPPFPTCSTNKAFIDLILKHSEEGIKIWPHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKPFTFTFSYQTYFAVSDISEVRVEGLETLDYLDNLNNRERFTEQGDAITFESEVDKVYVSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKTLPDFSHGEYKNMLCVDASCVENPITLKPGEEWKGRQELSAVPSSYCSSRR >Manes.01G089200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29113610:29120593:1 gene:Manes.01G089200.v8.1 transcript:Manes.01G089200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTCHYVERCKGVNGLDKVILREVYLYGGQVTSWKNEHGEEMLFLSSKATFQPPKVINGGIQICFPQFGSHCSLEHRGFSRNRVWCMDPDPPPFPTCSTNKAFIDLILKHSEEGIKIWPHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKPFTFTFSYQTYFAVSDISEVRVEGLETLDYLDNLNNRERFTEQGDAITFESEVDKVYVSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKTLPDFSHGEYKNMLCVDASCVENPITLKPGEEWKGRQELSAVPSSYCSSRR >Manes.01G089200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29113610:29120593:1 gene:Manes.01G089200.v8.1 transcript:Manes.01G089200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTCHYVERCKGVNGLDKVILREVRGFSAEATFQPPKVINGGIQICFPQFGSHCSLEHRGFSRNRVWCMDPDPPPFPTCSTNKAFIDLILKHSEEGIKIWPHRYEFRLRVTLGPGGDLMLTSRIRNTNTDGKPFTFTFSYQTYFAVSDISEVRVEGLETLDYLDNLNNRERFTEQGDAITFESEVDKVYVSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKTLPDFSHGEYKNMLCVDASCVENPITLKPGEEWKGRQELSAVPSSYCSSRR >Manes.17G103900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31138136:31139067:-1 gene:Manes.17G103900.v8.1 transcript:Manes.17G103900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCWVGLSITINTRRQDEGFNKYLREELLMKRMKMECRVLLVLVIVALNIVEGAMAAQHIVGGSQGWEETADFDSWASSKKFKVGDQLVFKYTSGLHSVVEIAGESAYKSCDLGSALDSMNTGNDVVKLNKVGTRYFACGTAGHCEQGMKVKITTEAGTAPSTPDSSSSSPPASSASSIHQSFACSFVLLAALVVTSFVYCMC >Manes.04G150600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34676487:34677178:-1 gene:Manes.04G150600.v8.1 transcript:Manes.04G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASFSYHQLIVQNYGPFIKPRSKAKMFKHTQLPLKKSPSLQVRSSFKDKVFENQSEGIICYRDEISGEIICEGLDEGPRFHQHLPRTAYPSRDAEIINLLQQRLLQVVNGGELKKADNGVAAVQEDFKWNGFNKFY >Manes.15G014800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1231901:1236386:-1 gene:Manes.15G014800.v8.1 transcript:Manes.15G014800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFLTCCSPRALARPRTRNPIPNRSSPDRHSRSPNRNRNSNLKPQKSKYKSAPQPLTLSGGEATTYNRLPLKEDVEAPSLSFAATEFKLSDSSVVETDEDDEVYRLSREKEVEVEEDGMDSQLRVDYGKFEVYEINSDVEEDDCDDHNDYSREEVSTKTVNKHSSNRFYETEEEEEFTNGQTVYLSDFEEGVVKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKFVPLGGPSGGDGGRGGNVYVEVEGSMNSLLPFRNRVHYRAGRGSHGQGMMMNGAKGEDVVVKVAPGTVVREAGKEEVLLELLYPGQRALLLPGGRGGRGNAAFKCGSNKVPRIAENGEEGPEMWLELELKLVADVGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGQEFLRHTERCSALVHVVDGSSQQPEFEFDAVRLELELFSPELAEKPFVVAYNKMDLPEAYENWPSFKERLQSCGIEPFCMSAVMREGTHEVICKAYELLQKNKGPNQEFEGWPDPVNLNHVADTVQKQRRSPINDFEIFYDSGSNIWHVVGSGLQRFVQMTNWRYADSERRFQHVLEACGVNKSLTKMGVKEGDTVIVGEMEMVWHDSPESSGPSNMKKRYDPTKWPQLM >Manes.15G014800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1231438:1236435:-1 gene:Manes.15G014800.v8.1 transcript:Manes.15G014800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFLTCCSPRALARPRTRNPIPNRSSPDRHSRSPNRNRNSNLKPQKSKYKSAPQPLTLSGGEATTYNRLPLKEDVEAPSLSFAATEFKLSDSSVVETDEDDEVYRLSREKEVEVEEDGMDSQLRVDYGKFEVYEINSDVEEDDCDDHNDYSREEVSTKTVNKHSSNRFYETEEEEEFTNGQTVYLSDFEEGVVKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKFVPLGGPSGGDGGRGGNVYVEVEGSMNSLLPFRNRVHYRAGRGSHGQGMMMNGAKGEDVVVKVAPGTVVREAGKEEVLLELLYPGQRALLLPGGRGGRGNAAFKCGSNKVPRIAENGEEGPEMWLELELKLVADVGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGQEFLRHTERCSALVHVVDGSSQQPEFEFDAVRLELELFSPELAEKPFVVAYNKMDLPEAYENWPSFKERLQSCGIEPFCMSAVMREGTHEVICKAYELLQKNKGPNQEFEGWPDPVNLNHVADTVQKQRRSPINDFEIFYDSGSNIWHVVGSGLQRFVQMTNWRYADSERRFQHVLEACGVNKSLTKMGVKEGDTVIVGEMEMVWHDSPESSGPSNMKKRYDPTKWPQLM >Manes.S095213.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251192.1:10999:11406:-1 gene:Manes.S095213.v8.1 transcript:Manes.S095213.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEGNLFITGVPLLQYPLRSTMASPNENNVVNTPNDLSSAQEGQQFSFSSPTTPSNQPPVPFNPSPSLARDVPSAALSKQDIQTIALQLQNTTHWLGQLMQQRGLSTLVNALPVIEEPQTNGLQPTLNHPQTNS >Manes.02G069400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5301155:5305666:-1 gene:Manes.02G069400.v8.1 transcript:Manes.02G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCQHDQVVFLVTIGVFSLVILALWRTILMTPFKLITVFLHEASHAIACKLTCGQVEGIQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMALILASTNLTTARIAAGCLAVALLVVLFVAKNWTLRGLCIGFIIFLAIIWLLQETTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVGWGIIWGMISFIFLCGSIYLGLLILS >Manes.18G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7888915:7892631:-1 gene:Manes.18G085300.v8.1 transcript:Manes.18G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSQPEFDYLFKLLLIGDSGVGKSSLLLSFTSKTFEDLSPTIGVDFKVKHVTIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVTKKEGIDFAREYGCLFLECSAKTRVNVEQCFEELVLKILETPSLLAEGSSGVKKNIFKQKPSQDVPTSNCCSW >Manes.13G121801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33024619:33025669:1 gene:Manes.13G121801.v8.1 transcript:Manes.13G121801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLRLSSHSLCLFFYEEIIQAPEHILSSVRKSLLWNHTNPTAPEIHSIHFLHTGFASHDRESVGHVAVEANHQHTNKFFRPLNLWPFDEDCDAFNLHSCSSILE >Manes.12G082700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11103806:11104084:-1 gene:Manes.12G082700.v8.1 transcript:Manes.12G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLNRVCIAASVAVVQGHPDQGSRWRSSAKSLQPGNRRFFSTGNPSELGPLTGAAGSDCDGVPESPRSVEGPREYDESLRRVMYLNCWGQG >Manes.13G093050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27831944:27833505:1 gene:Manes.13G093050.v8.1 transcript:Manes.13G093050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKIKPHAVLLPYPAQGFHITFVNTEFNHRRLLQSNGLNFVKAVIDFRFETVPDGLPSSDKDATQDIWALSDSVQKNCPIPFRELLRKLNSSPELPPVSCIISDGLMSFAIEAAHEFGIPEVQFWTASAIGLIGFLHFDELIKRGIVPFKDDNFMNDGSLEMPLDWIEGVENIRLKDMPTLIRTTDPKDIMLNFMSNEAQNCLKSSAIIFNTFAELEHPSPLSLLEKHLPPLPVAKSLKSNLWKEDFKCLEWLDKQESQSVLYVNYGSITVMSEDQFQEFAWGLANSKPHFCGLLGLIKERGFIAHWCSQQQNSTLESVCNGVPMLCWPFFDEQPMNCRYSCTKWGIGMEINHDVKRSEIQRLVEEIMEGEKGKKMKINALEWKKKAESYKNWRIILY >Manes.07G140000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34169458:34170460:1 gene:Manes.07G140000.v8.1 transcript:Manes.07G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYKAHSLIPFFLLISLSILSSSSARLLHDSFPQNLAASEPAFNLALPSDNVIDASPENPSEKDESKHVNVPCELESDENKDKNSDVKVGARLAGKYGPTLLNMLPKGSVPNSGPSKGSNDINN >Manes.13G155600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36916066:36929676:-1 gene:Manes.13G155600.v8.1 transcript:Manes.13G155600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSASADDRAATISGEGYRDAETLFRTKPISQIRDVEATTRKQIQDKKEELRQLVGNRYRDLIDSADSIVLMKSSCESISSNIASVQTNICSLSASHVSETPKFTNPNPARLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAGRYIRAKHVHYNLMQAHNADAESSAEWDHTKILSNFPLLQHQWQIVESFKAQISQRSRERLLDPGLDIGAYVDALAAVAVIDELDPKQVLGLFLDTRKSWILQKLATFGGNDNNAATGEVVASVFCEVLKIIQVSVAQVGELFLQVLNDMPLFYKVILCSPPASQLFGGIPNPYGEVRLWKLFRERLESTMVILDKQYIASTCMTWLRDSGGEVVSNINGKYLIDAIATGGELSLAEKLIRGTMDSKQVLQGSLDWLKSVFGSEIELPWSRIRELVIEDDSDLWDEIFEDAFVRRMKDIINSAFDDLAKGIDVEDSVGTIGEAPGEHIDFQGYLNRPSTGGGVWFIESNANKSSLISGYKASAEENDFESCLSAYFGPEVSQIRDAVDSRCQSVLEDLLSFLESPKAVLRLKDLGPFLQERCYSCLSTILSELKRILDKLNAAMESASKGSPSVPPAIVVERSLFIGRLLFAFQNHTKHVSLILGSPRFWTKDNVAAVFDKLPSVLRQPQVAIDSPIAGSPGRQTRARRQTSSATAALLGASEDSSPKLEELARITRELSIRAHNLWIFWLSDELSNILARDLGKDDGLSATIPLRGWDETVIKQEQSDENYSEMKISLPSLPSSYIISFLFRACEEIHRIGGHVLDKLILQKFAVRLLQKLIEIYEDFLSIRDSHASQVSEKGVLQILLDLKFAADVLSGGDSNMIEDLYKSPTVKVSFRRKLEQRQTYSVFGERIEGLISRFSQKLDPIDWLTYESYLWENEKQSYLRHAVLFGFFVQLKRMYTDTMQKLPSNPESNVMRCSSVPRFKYLPISAPVLSTRGTAKASIPAASDDISSRSSWKAYNNGELSQKIDLNGNSSFGVAAPILKSFMQVGSRFGESTLKLGSILTDGQVGIFKDRSAAAMSTFGDILPAQAAGLLSSFTATRSDS >Manes.13G155600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36923289:36929676:-1 gene:Manes.13G155600.v8.1 transcript:Manes.13G155600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSASADDRAATISGEGYRDAETLFRTKPISQIRDVEATTRKQIQDKKEELRQLVGNRYRDLIDSADSIVLMKSSCESISSNIASVQTNICSLSASHVSETPKFTNPNPARLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAGRYIRAKHVHYNLMQAHNADAESSAEWDHTKILSNFPLLQHQWQIVESFKAQISQRSRERLLDPGLDIGAYVDALAAVAVIDELDPKQVLGLFLDTRKSWILQKLATFGGNDNNAATGEVVASVFCEVLKIIQVSVAQVGELFLQVLNDMPLFYKVILCSPPASQLFGGIPNPYGEVRLWKLFRERLESTMVILDKQYIASTCMTWLRDSGGEVVSNINGKYLIDAIATGGELSLAEKLIRGTMDSKQVLQGSLDWLKSVFGSEIELPWSRIRELVIEDDSDLWDEIFEDAFVRRMKDIINSAFDDLAKGIDVEDSVGTIGEAPGEHIDFQGYLNRPSTGGGVWFIESNANKSSLISGYKASAEENDFESCLSAYFGPEVSQIRDAVDSRCQSVLEDLLSFLESPKAVLRLKDLGPFLQERCYSCLSTILSELKRILDKLNAAMESASKGSPSVPPAIVVERSLFIGRLLFAFQNHTKHVSLILGSPRFWTKDNVAAVFDKLPSVLRQPQVAIDSPIAGSPGRQTRARRQTSSATAALLGASEDSSPKLEELARITRELSIRAHNLWIFWLSDELSNILARDLGKDDGLSATIPLRGWDETVIKQEQSDENYSEMKISLPSLPSSYIISFLFRACEEIHRIGGHVLDKLILQKFAVRLLQKLIEIYEDFLSIRDSHASQVSEKGVLQILLDLKFAADVLSGGDSNMIEDLYKSPTVKVSFRRKLEQRQTYSVFGERIEGLISRFSQKLDPIDWLTFQALLVVSISCL >Manes.13G155600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36916066:36929676:-1 gene:Manes.13G155600.v8.1 transcript:Manes.13G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSASADDRAATISGEGYRDAETLFRTKPISQIRDVEATTRKQIQDKKEELRQLVGNRYRDLIDSADSIVLMKSSCESISSNIASVQTNICSLSASHVSETPKFTNPNPARLRIYGIACRVKYLVDTPENIWGCLDESMFLEAAGRYIRAKHVHYNLMQAHNADAESSAEWDHTKILSNFPLLQHQWQIVESFKAQISQRSRERLLDPGLDIGAYVDALAAVAVIDELDPKQVLGLFLDTRKSWILQKLATFGGNDNNAATGEVVASVFCEVLKIIQVSVAQVGELFLQVLNDMPLFYKVILCSPPASQLFGGIPNPYGEVRLWKLFRERLESTMVILDKQYIASTCMTWLRDSGGEVVSNINGKYLIDAIATGGELSLAEKLIRGTMDSKQVLQGSLDWLKSVFGSEIELPWSRIRELVIEDDSDLWDEIFEDAFVRRMKDIINSAFDDLAKGIDVEDSVGTIGEAPGEHIDFQGYLNRPSTGGGVWFIESNANKSSLISGYKASAEENDFESCLSAYFGPEVSQIRDAVDSRCQSVLEDLLSFLESPKAVLRLKDLGPFLQERCYSCLSTILSELKRILDKLNAAMESASKGSPSVPPAIVVERSLFIGRLLFAFQNHTKHVSLILGSPRFWTKDNVAAVFDKLPSVLRQPQVAIDSPIAGSPGRQTRARRQTSSATAALLGASEDSSPKLEELARITRELSIRAHNLWIFWLSDELSNILARDLGKDDGLSATIPLRQGWDETVIKQEQSDENYSEMKISLPSLPSSYIISFLFRACEEIHRIGGHVLDKLILQKFAVRLLQKLIEIYEDFLSIRDSHASQVSEKGVLQILLDLKFAADVLSGGDSNMIEDLYKSPTVKVSFRRKLEQRQTYSVFGERIEGLISRFSQKLDPIDWLTYESYLWENEKQSYLRHAVLFGFFVQLKRMYTDTMQKLPSNPESNVMRCSSVPRFKYLPISAPVLSTRGTAKASIPAASDDISSRSSWKAYNNGELSQKIDLNGNSSFGVAAPILKSFMQVGSRFGESTLKLGSILTDGQVGIFKDRSAAAMSTFGDILPAQAAGLLSSFTATRSDS >Manes.06G024400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5143367:5150369:1 gene:Manes.06G024400.v8.1 transcript:Manes.06G024400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSVWPWEKLGIFKYLFYGPLVAKAIYSQIHQEGNFMIDWCLHILIICALRGLVHIFWSSFVNMLFLTSNRRINQQGYDFKQIDNEWNWDNFILLQAIIASIACYIFPTFIENVPVWNTKGFITILILHVGMSEPLYYWVHRCFHESYPFTHYHSLHHSSHVLHPFTGATATFLEHLVLTMIIGIPITGSYMMGYGSRAVVYGYILAFDFLRCLGHSNVEIVSHRLFEIFPFFRYLLYTPSYHSLHHSEMGTNFCLFMPVFDAIWNTLNANSWELHNQISSHAGKKERVPDFVFLAHAVDVSSSMHAPFVNRAIASFPYTAVSVMLPFWPVSFMVLLAMWAKAKTFMLSFYNLKGRLHATWVVPRTGFQYFLPFAQEGINKHIEEAILRADRDGVKVISLAALNKNEALNGGGTLFVNKHPKLRVRVVHGNTLTAAVILNEIHKDVKEVFLTGATSKLGRAIALYLCQRRVRVLMLTLSTERFQNVQKEAPLDCQSYLVQVTKYQAARNCKNWIVGKWITPREQSWAPKGTHFHQFVVPPILSFRRDCTYGDLAAMRLPEDVQGLGCCEYTMERGVVHACHAGGVVHLLEGWTHHEVGAIDVDRIDLVWNAALKHGLKPVSSVNKQKSM >Manes.15G041700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3221083:3231138:1 gene:Manes.15G041700.v8.1 transcript:Manes.15G041700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARCWFNKLKSKDKLKSAKKKETAGNGKDGSNTPTSEEAPSNVTKQRAAAAKQYIENHYKKQMKSLQERKERRNILEKKLADAEVSEEEQNNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRVCREKSSGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHMKLSDFGLCKPLDCSNLQEKDFSLGNNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVDQRLGTKGADEIKAHPWFKGLEWDKLYQMKAAFIPEVNDELDTQNFEKFEETDSQIESSTKAGPWRKMLSSKDINFVGYTYKNFEIVNDNQLPGIAELKKKNTKPKRPSIKSLFEDESAAANQPVQGSFLGLLPPKIDEASELPSRSK >Manes.15G041700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3221673:3230886:1 gene:Manes.15G041700.v8.1 transcript:Manes.15G041700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARCWFNKLKSKDKLKSAKKKETAGNGKDGSNTPTSEEAPSNVTKQRAAAAKQYIENHYKKQMKSLQERKERRNILEKKLADAEVSEEEQNNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRVCREKSSGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHMKLSDFGLCKPLDCSNLQEKDFSLGNNLSGALQSDGRPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVDQRLGTKGADEIKAHPWFKGLEWDKLYQMKAAFIPEVNDELDTQNFEKFEETDSQIESSTKAGPWRKMLSSKDINFVGYTYKNFEIVNDNQLPGIAELKKKNTKPKRPSIKSLFEDESAAANQPVQGSFLGLLPPKIDEASELPSRSK >Manes.16G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:785371:789324:1 gene:Manes.16G007900.v8.1 transcript:Manes.16G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSHSQPLLQSFRSGHEAVTSELEDILNDTRTSTFKRLRSATWVELKLLFQLAAPAVIVYLLNNVVSMSTQIFCGHLGTLQLAAVSLGNTGIQVFAYGLMLGMGSAVETLCGQAFGAHKYEMLGVYLQRSTILLMAAGIPLTLIYIFSKPILVLLGEPTDIATAAAVFVYGLIPQIFAYAANFPIQKFLQSQSIIAPSAYISLVALAVHILFTWLAVFKWNWGLLGAALILSLSWWLIVIAQFLYIVMSRKCRKTWAGFSVQAFFGLWSFFKLSAASAVMLCLETWYFQVLVLIAGLLENAEIALDSLSVCMTISGWVYMISVGFNAAASVRVSNELGAGHPKSAAFSVIIVNLCSFIIAVVAAIVVMILRDYLSYAFTDGETVSKAVSDLTPFLAVTLILNGVQPVLSGVAVGCGWQAFVAYVNVGCYYLIGVPLGILLGFKFNLGAQGIWSGMIGGTFLQTIILLWVTYRTDWNKEVENAKDRLDIWEEKKEPLLEDKREPLLDDRRDESEN >Manes.06G162300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28968498:28977482:-1 gene:Manes.06G162300.v8.1 transcript:Manes.06G162300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPIRFPHAAMSFIYFVLFFWVLVSRSYFLIFGSASCCLHNYVQHSNRQFEQKTDRFWEFQEESNTWVEVELPYDLVSCVNDDCTKVGSIDQITEDKEKNLERDYDLTRRTESLKKKDANRGRAEENSEIVLPQRKRISLTRMSETSIWVTGESGSIYERFWNGVQWVIAPHDLPPSAGYAICVFIVSQTILVLSEAGILYQMQLSESSQPIWVAFTPTPDSSTSKEAEESSVILIKSGVVSHDGLRIYFSTKKGLLLELTEVDPPRWLNHGQPPGGDVAAIADAGTIRPEVVYTISSTGNLYEYDKSSKPSWKKHIWTAGMAEDALLIPSTGYAINGLSGDYSSSLFLLTEVGKLVERRLHQRKWKWIIHGSPKDHELTSITPVLQDESNENFSLFFTTSTGSIFEFRTPKNSGAALENQIPEAWSSHMHPPHAKAAKGIAGLQLQVGRILFAMDDGRLAELHLPGLGGENTSPNYQINVRRKVSVKYVWSILDAPESEGWNAEYCKEERGPTNCITGVKDEPNDLGITRAATRRRKGSQAHQDYLVSGASKLVESSEEYSLPDNWINTNFRLRLMHGSKSFFLIADGGLAFEYLNTENVWLWLRHDHSTPMKGGLGNYNGSVFLVDIYGSLFIRERSGNELQWLNCTAMRKGKQVSGGPPWDGIPGKSMKVTAEDALFFVSKNGRLLQFTVALRKFKWKDCQRPPNTKVASIVDQELFRENIVFVIGRNGRLYQYNKVTELWHEHYQSHHLILSRLPGAAMRPSSLSLTGSLFMLSEDGGLVEYHWSTGEGWNWVEHGTPNKGVTLITSPSPCFEGNQLFLIGSDGKVYMRYMDQMTWSWKNCGFPYVRKTRDEYQRQEEAGEKNEEVCIDKDISASLEKDAEAFSDLNGKCNAKVASTRPIPFSEDSVIFELRDGRVSNNSYLLTSCQFLA >Manes.06G162300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28966189:28976592:-1 gene:Manes.06G162300.v8.1 transcript:Manes.06G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPIRFPHAAMSFIYFVLFFWVLVSRSYFLIFGSASCCLHNYVQHSNRQFEQKTDRFWEFQEESNTWVEVELPYDLVSCVNDDCTKVGSIDQITEDKEKNLERDYDLTRRTESLKKKDANRGRAEENSEIVLPQRKRISLTRMSETSIWVTGESGSIYERFWNGVQWVIAPHDLPPSAGYAICVFIVSQTILVLSEAGILYQMQLSESSQPIWVAFTPTPDSSTSKEAEESSVILIKSGVVSHDGLRIYFSTKKGLLLELTEVDPPRWLNHGQPPGGDVAAIADAGTIRPEVVYTISSTGNLYEYDKSSKPSWKKHIWTAGMAEDALLIPSTGYAINGLSGDYSSSLFLLTEVGKLVERRLHQRKWKWIIHGSPKDHELTSITPVLQDESNENFSLFFTTSTGSIFEFRTPKNSGAALENQIPEAWSSHMHPPHAKAAKGIAGLQLQVGRILFAMDDGRLAELHLPGLGGENTSPNYQINVRRKVSVKYVWSILDAPESEGWNAEYCKEERGPTNCITGVKDEPNDLGITRAATRRRKGSQAHQDYLVSGASKLVESSEEYSLPDNWINTNFRLRLMHGSKSFFLIADGGLAFEYLNTENVWLWLRHDHSTPMKGGLGNYNGSVFLVDIYGSLFIRERSGNELQWLNCTAMRKGKQVSGGPPWDGIPGKSMKVTAEDALFFVSKNGRLLQFTVALRKFKWKDCQRPPNTKVASIVDQELFRENIVFVIGRNGRLYQYNKVTELWHEHYQSHHLILSRLPGAAMRPSSLSLTGSLFMLSEDGGLVEYHWSTGEGWNWVEHGTPNKGVTLITSPSPCFEGNQLFLIGSDGKVYMRYMDQMTWSWKNCGFPYVRKTRDEYQRQEEAGEKNEEVCIDKDISASLEKDAEAFSDLNGKCNAKVASTRPIPFSEDSVIFELRDGRLAEMRRVEDTHWAWSRIIGTPTSSCITNHWTAVAS >Manes.07G095502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29969164:29969771:1 gene:Manes.07G095502.v8.1 transcript:Manes.07G095502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRLKGNFCVCKGHEKRITKVLSKNEGLWIKNLYLENGLIHIDGDIEIEKLTDSDKCESGPQPILTLENGVGHSVPRLEWSDMGGLSRSGLRPHGGLTQLGYGGFGTTSTYSYDGQNYQISNYYNQDNDLIKIIRDENPNACSTM >Manes.10G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3181497:3183525:1 gene:Manes.10G031300.v8.1 transcript:Manes.10G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSNRDMFETDRPHFFKVILDETIHDKKLGIPRRFVRKYGKDLSSPVVLEVPSGRTWQVELKKWDDEIWLQNGWQEFLEYYSLTHGFFLVFEYTKRNCHFNVIIFDKSASEIDYPINVVTNGDFLEGIQEPKIIQETARDSSAETLEDFMPCRKRKEKSPLPSPLPHKMMKVENPTGNTALHFPGKQDEGKRVDGEISGQKQTSDTIVLRKKPLKAKEKNEALRRASTNFKSKNPFFLVAMQPSYVHPAVKLNIQARFAVKYFPKKRQSGDATLHGLDGRSWPVKFYLYNRACNEKRMAKISQGWRNFAKDNHLEVGDVCAFELIMIKSSKATFQVTIFRHHRGKKQKLKDEESNSPEAIEAAKSFTSVHPFFKVVISPGSVENSNMCVPMKFISNMKQSTEKVKLQIENRWWLVKLNLYPQLGKGKFTDGWSAFVRGNSLKVGDVCIFELNNSENLQLKVTIFRNVK >Manes.10G015784.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1623176:1624888:-1 gene:Manes.10G015784.v8.1 transcript:Manes.10G015784.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEDVVIIGAGIAGLATAVAFKRVGVRSLILEKSQTLRSTGAALSLFPNAWLALDALGVSDKLTSIYPPFSKGTVTDVATGAVQEINFYTGKGGKAHGPRSVHRKILLEALAEELPADSIRFSSKFTAIEQQELGGTSIVVLYLEDGTTIKSKVLVGCDGVNSVVAKWLGLSAPVHSGRAAVRGLAVFPQGHGFKQEVTRKHHSGRRRHAPNDI >Manes.03G137400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26365855:26368813:-1 gene:Manes.03G137400.v8.1 transcript:Manes.03G137400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKFELFLKALTHITVFLLITECLGKELSETEAFFKFIRAVDPQNVLQESWNGTVPHPCSNKWKGVNCNLQTNTIAEIRLENLYLSGIIDADSLCKLQNLQVLSLAKNLIHGNIPHSISKCRSITYLNLSSNLLTGRVFVDLTKLKHLQTLDISRNHFTGAIPLSRQGFKDPDEDVMKQSATQTYNLRKLLRAVDHEAVGDSSTDYGDSEPPIGSEPADSGKNPWYKQLFELMPFILGIAIVILFFVVVYFVTRNVSEAAKEKEILKSLAHSPKNSPPPVHKEDIIKPDEEGRSELVFFVEEQETFKLDDLFEATADLRSQTLYSSLYKVTLKNNAVYAVKRLKKLQVSFDEFGQIMKQIGNLKHPNILPLVGYNSTSEEKLLIYKYQSNGSLLNLLEDYIEGKKVFPWKLRLSIASGIAKALDFIYQNKFHNKGTISHGNIKSSNILLGENDEPLISEYGFTKFLDPTKASLFSSNGYTAPEKTSSEQGDIFSFGIILLELLTGKTVEKSGIDLPKWVRSMVREEWTGEVFDKEVNNAARESAFPLLNIALKCVSNKAEDRPSMAEVMEKIEEIVNVHDDVSISSMASLESSPQDCCLLHTVIPETWDTPGSNY >Manes.16G033500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4063438:4069209:1 gene:Manes.16G033500.v8.1 transcript:Manes.16G033500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFMDLQFARKESRTVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGTLGSFSSDIYRLLQLCEEQDDATSALAAPKPEPNDQSLQIRDIVVFQEGYGVNQGQQGCNFPFVDQGKNPSSGVHGMMASTIEGAGQLDYHQYDLSQNLELNFYAGHNSTDLCVEDGVPHISGYLSSICPPSAFLGPKCALWDCPRPAQGGLDLCQNYCSSFHHALALNEGPPGTGPVLRPGGIGLKDGLLFAALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETIREWIFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMNEFGGLKRSYYMDPQPLNTFEWHLYEYEINKCDACALYRLELKAVDGKKCAKGKITTESVVDLQKQMVRLTAEFPTDNKRCVKGRTKVNAKVSVGSVYAAPDGGAPTNETFDYEPCNYLVENLGDYYVN >Manes.12G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7720707:7730897:1 gene:Manes.12G071800.v8.1 transcript:Manes.12G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLGLALGFFLLLSHFYSTECKCSRTCDLALASYYVSSGDTLSVIAQKFKSNVLQSEDTIVNYNRDKTNNKDFIDAFIRINIPFPCDCIRDESLGLEFLGYKFQYNVESQDTYTTVANKTYSNLTTVSWLEQFNSYPATNIPDTGVLNVTVNCSCGDSSVSNDYGLFITYPLRPGDTLESIARESNISADLLQRYNVGADFSAGTGLVYVPGKDENNSYRPLTSSTGGIAGGAIAGIAIGTVAVVLLVAVCVYFGLYRKKKVKEAISLSGSQELSQALQAPGSNSDKPVESSGLRPSPGLAGITVDKSVEFSYEELSRATDNFNMANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFFAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGRDPLPWSARLQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLTKLTEVGGSSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKDAIVKTNDSSAETKGLVSLFEDALDEADAREELPKLVDPRLGDNYPIDSVEKLAQLAKACTHENPQLRPSMRSIVVALMTLSSSTEDWDVGSFYENKPLANLLSGR >Manes.05G188900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31062633:31065160:-1 gene:Manes.05G188900.v8.1 transcript:Manes.05G188900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRNFLLALSLVIFFFMTPSFCVVQMMNPIDSCWRQNPNWRRSRQQLATCSVGFSGKMTNNIGKDTIRYKVSDPRDDPLNPKKGTLRYGATMITGKVWITFQKNMNIQLVKPLLISSFTTLDGRGVDIHITGNACLLVYKATDVIIHGLRIHHCKAVGPSSVRGPNGEMVPLGQMDGDAIRLVTASKVWIDHNTLYSCQDGLLDVTRGSTDVTISNNWFKDQDKVMLLGHDDGYLRDKNMRVTVVFNHFGPNCNQRMPRVRHGYAHVANNLYQGWEQYAIGGSMNPSIKSEANYFIAPKSGNKEVTWRNGINENSKPWKFYSVGDVFENGASFIQTGLRGAKPNYNDQQRFKVADAKFVRSITKSSGALKCFRTIMC >Manes.04G101900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30676906:30684164:-1 gene:Manes.04G101900.v8.1 transcript:Manes.04G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPEVYVGGGSFRRGDSSIWRSNAMDTFSKSSREEDDEEALKWAALERLPTYDRLKKGILTTSKGEASEIYVQDLGFQERRTLVDRLVNVAEEDNERFLLNLKNRIERVGIELPTIEVRFEHLNIETEAHVGNRALPTFINFSIDMVEGFLNKLHILPSRKKRLSILQDISGIIKPRRMTLLLGPPSSGKTTLLLALAGKLDPKLKFSGRVTFNGHEMNEFVPQRTAAYISQYDKHIGEMTVRETLAFSARCQGVGHRYEMLTELLRREKASNIKPDSDLDVFMKAIATEGQETSVITDYILKVLGLEVCADIMVGNEMLRGVSGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSIKQYIKILNGTAVISLLQPAPETYDLFDDIILLSDGWIVYQGPREHVLEFFEFMGFKCPERKGVADFLQEVTSRKDQQQYWTRKDDPYCFVTVQQFSEAFQSFHVGRNLQAELSTPFDKTKSHPAALTTKKYGVGKMELLKACFSRELLLMKRNSFVYIFKLSQLTIMAIIAMTLFLRTEMHRESVIDGGIYVGALFYSVVFIMFNGLSEISMTISKLPVFYKQRNLLFYPAWAFSLPPWIIKIPITLVQVALWVFITYYVIGYDPYVGRLFRQYLLLVLVSQMASALFRFIAAAGRDMIVANTFGSFALLTLFALGGFILSRDNIKKWWIWGYWISPLMYGQNAIVVNEFLGKSWSRVLPNSSEPLGVEVLKSRGFFTNAYWYWIGVGALVGFTLLYNLCFTLALTFLGPLQKPQAVISEDSPSDESGSDHQTSAKSGSGSGSSSARAEVRVNSSHQNKKGMVLPFEPHFITFDEIRYSVDMPQEMKNQGVTEDKLELLRGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLSPDVSSETRKMFIEQVMHLVELEPLRQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSCHLIKYFEGIEGVPNIKDAYNPATWMLEVTSSAQESVLGVDFAAVYRNSELYRSNKEMIEKLSTPAPDSKDLYFPSKYSQSFFTQCMACLWKQRWSYWRNPPYTAVRLLFTTVIALMFGTMFWNLGSKTKKRQDLFNAMGSMYAAIVFLGVQNASSVQPVVAVERSVFYRERAAGMYSPLPYAFAQVLIELPYIFIQSVVYGLIVYAMIGFEWNAAKFFWYLFFMYFTLLYYTFYGMMSVAATPNQHVGAIVSSAFYSLWNLFSGFIIPRPRIPVWWRWYAWACPVAYTLYGLISSQFGDLKHTLESGETVEDFVRSYFGFRHELLGAVAAAVVGFATLFAFIFAICIKFFNYQRR >Manes.13G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1157775:1159129:1 gene:Manes.13G007300.v8.1 transcript:Manes.13G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPICFLLLVVATFFANPFSLLAQPANPTSSISVVGVVYCDTCSTNIFSRHSYFLPGVDVHVQCKFKAESPKTAEQINFSVNRTTDRYGIYKLEVPQVEGVDCVDGSAIESLCQASVISSSSSACDVPGLKTTANEVSVKSKQDNHCIYSLNALSFRPAKRNDILCGN >Manes.09G027450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6098130:6098477:1 gene:Manes.09G027450.v8.1 transcript:Manes.09G027450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPILLLVLLCSVDFLPQGLARPWLEAFDEEGIQNFALPPITHEPNVSKCLKIFHEEKICVGEILLSIRNHKVIVDPRCCSLVDKISEDCSETCFAGLTESFFSIVLKNYCAYK >Manes.15G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6320824:6322368:-1 gene:Manes.15G081900.v8.1 transcript:Manes.15G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSACCDKNGLKKGPWTAEEDQKLMDYIQKHGHGKWRTLPKNAELKRCGKSCRLRWTNYLRPDIKRGKFSAEEEEAIIQLHGVLGNKWSAIASRLPGRTDNEIKNFWNTHIKRRLLRMGIDPVTHKPRLDLLQLYSLLNSSLCNSSHQINISSLLGIGSMLNPNLATSLLSTQSNSHDISPGNFQEHQNDNSQVQNQFQSLQPNQIMDSSPQFLNETQLLQANLEQISTSQTNFNCLNSLQSLWQETGKSANMGESLMPNCGYNEMSNQAMMKCSFENISNLGLNSSLLSTPSSSTTPLQSSSTTYVNGGTEDERDSYCSNIMMFDIPSALDVNGLL >Manes.04G040000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6169220:6174015:1 gene:Manes.04G040000.v8.1 transcript:Manes.04G040000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIVLAVLGKISNLLIQELDSFLGVEDQILRIETHLRTYADDSEYAINQKILTGIIQDLEVVIDELIIGSAQKRKRDDFIRHGLASVDLPVYFFHFLALVDLLQHYRLRMKLEQLIKIFKIYTDVLEYGGWHCEGSFQSCGLWHESVGPYELGVAPVVSLFDALATQKEFSPAVQIQARYLRDKFKSLQDFLKNSKSKELSKVGMAWMEELGDVCRLAENVIGLFISQQQQMKNRKGTFTKLVWSSKNFISKRKIAQKLKLIEDKVRDIYGRRYEAIPSPVPNSVPPSEIFRSLDRKIRELPCAVDQLDRVSFNDDVDAVTTQLLKEDPRCLTISIVGVRGIGKASLAKLIYDSQTIADHFPHRVWISRSGASKQDIMKQILQIKGSDLNHDSKDTEESYICRVRQMVNAFFMDKKSLIVIDDSSSSKVRNACEFLRGMGSAFNEISNGTRILFTVCHLWQAPPVTETNFTYRMHLRTHDESWALFAHTLKVSIPPEIQHLKGRIMKSCGGLPTIIVKLAELLSQRDATLEEWTRVLDQLTQDEEPWSEVLEEISNYLPLYLRQCLFYFGLFPAGYKIPARRLIALWVAEGLGYQQDDAKSKSPEHVAKTCLRELINYTMVQPTEKKLNGKFKTCCLPEALQVHWFKKAKEAKFLQGHSDISDTDIGVIRRLADHLQHNDVVFDDIHCHNSASSYSRYRDVVSFLSFDTREGNKPGEEIGNFLDKCISSNCFRFLWVLDLENVYKPKLPKAIDQLTWLKYLGLRSTYLEMLPAFINKLLSLQTLDLKRTCINTLPSSLWKMRKLRHLFLDESFGSAFVASQEDSSLVDLQTLRGAYINEDSPVRNGLETSLNITKLGLKCKISVPSQTAAMSSQLLDVANWVLKLKHLQSLRLKSFDESDLPWELHLHSLLGHLDLSNVYLVGKLMNHQLVSELPGSLIELTLSASGLVEDPMQKLDKLPNLRILRLFSRSFIGKKMLCNIGGFPKLEVLKFWELELLEEWNVEEGAMPNLRDLEIRRCTNLKMLPCGLQSMKLLRELKLTKMPMLSASLKDKQGEDWSKIAHVRHVLIED >Manes.07G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:745000:748792:1 gene:Manes.07G005400.v8.1 transcript:Manes.07G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQVQYPTVMQKVAGQLLHSSLSQDFHGYDGAIKRPALYQRRSYGNYSNAAFQYSMVRGCGAATDLSLVPSTASSVCVQAPSEKGFAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTMKDEGIVALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTMASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >Manes.05G006400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1184002:1188080:1 gene:Manes.05G006400.v8.1 transcript:Manes.05G006400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMRWLSYYSSALVTLLLVVFQVHGNCMVLSQAGSSNDSSVFARTNGTHFVMNNKPLYLNGFNAYWMVYMASDPSTRAKVTSAFQQASRNGMNIARTWAFRDGGSDKPLQISPGSYNEDMFKGLDFVISEAGKHGIYLILSLVNNYEEFGGRPRYVQWARERGQQLSNDDDFYTNPTVKTFYKSHVKAVLTRVNSITGVTYQEDPTIFAWELMNEPRCSDFSGTQIQDWIKEMASYVKSIDSSHLLEIGLEGFYGDSMKQLNPGNLLVGTDFITNNQVPDIDFSTIHLYPEQWLLNSSEEDQAAFVDSWVKAHIQDSDSVLKKPLIIGEFGKSSRIPGYSLQKRDGYFVKIYNAIYSSVTSEGPFSGGVFWQLMAQGMDSWADGYQVVLEESPSTASVIAEQSRKLSSFTHEKRKENASDMQM >Manes.05G006400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1184002:1187931:1 gene:Manes.05G006400.v8.1 transcript:Manes.05G006400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLQGLDFVISEAGKHGIYLILSLVNNYEEFGGRPRYVQWARERGQQLSNDDDFYTNPTVKTFYKSHVKAVLTRVNSITGVTYQEDPTIFAWELMNEPRCSDFSGTQIQDWIKEMASYVKSIDSSHLLEIGLEGFYGDSMKQLNPGNLLVGTDFITNNQVPDIDFSTIHLYPEQWLLNSSEEDQAAFVDSWVKAHIQDSDSVLKKPLIIGEFGKSSRIPGYSLQKRDGYFVKIYNAIYSSVTSEGPFSGGVFWQLMAQGMDSWADGYQVVLEESPSTASVIAEQSRKLSSFTHEKRKENASDMQM >Manes.05G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1184002:1187931:1 gene:Manes.05G006400.v8.1 transcript:Manes.05G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMRWLSYYSSALVTLLLVVFQVHGNCMVLSQAGSSNDSSVFARTNGTHFVMNNKPLYLNGFNAYWMVYMASDPSTRAKVTSAFQQASRNGMNIARTWAFRDGGSDKPLQISPGSYNEDMFKGLDFVISEAGKHGIYLILSLVNNYEEFGGRPRYVQWARERGQQLSNDDDFYTNPTVKTFYKSHVKAVLTRVNSITGVTYQEDPTIFAWELMNEPRCSDFSGTQIQDWIKEMASYVKSIDSSHLLEIGLEGFYGDSMKQLNPGNLLVGTDFITNNQVPDIDFSTIHLYPEQWLLNSSEEDQAAFVDSWVKAHIQDSDSVLKKPLIIGEFGKSSRIPGYSLQKRDGYFVKIYNAIYSSVTSEGPFSGGVFWQLMAQGMDSWADGYQVVLEESPSTASVIAEQSRKLSSFTHEKRKENASDMQM >Manes.08G160800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39649310:39655385:1 gene:Manes.08G160800.v8.1 transcript:Manes.08G160800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGGGGGVGGVTGGLGTGVLAMRSPFTVSQWQELEHQALIFKYMMAGLPVPPDLVLPIQKSFESISHRFFHHPTVGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTVTGSSSGTGSFQNLPLRTFGNLQGTGSGTNQSHYNMDSVPFGIPSKDYRYGQGLKSEVGEHSFFSEASGSNRGLQIDSQLDSAWPLMQSRISSFPTSKSTDNSMLQNDYPQHSFFSGGFATGELVKQGGQSLRPFFDEWPKTRDSWSGFEDDRSNPTSFSTTRLSISTPMASSDLSTPSSRSPHDNIDGMSRTDGSVAECPGKL >Manes.08G160800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39650599:39655385:1 gene:Manes.08G160800.v8.1 transcript:Manes.08G160800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYHVLILLILLTINVELFVLYSITFKHNFAVGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTVTGSSSGTGSFQNLPLRTFGNLQGTGSGTNQSHYNMDSVPFGIPSKDYRYGQGLKSEVGEHSFFSEASGSNRGLQIDSQLDSAWPLMQSRISSFPTSKSTDNSMLQNDYPQHSFFSGGFATGELVKQGGQSLRPFFDEWPKTRDSWSGFEDDRSNPTSFSTTRLSISTPMASSDLSTPSSRSPHDNIDGMSRTDGSVAECPGKL >Manes.14G167500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26895804:26901655:-1 gene:Manes.14G167500.v8.1 transcript:Manes.14G167500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNESSSFHRHSESLPCVHKVGLPPKQNLFQEITAAVKETLFADDPLRQFKDQTRSRKFVLGIQTLFPIFEWGRDYSFSKFKGDLVAGLTIASLCIPQDIGYSQLANLKPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLVLGSLLQNEIDPSKDPVNYLHLTFTATFFAGITQVTLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKELLGIAHFTRKTDIVSVMRSVWTTVDHGWNWQTIVIGVSFLVLLLLAKQIAKKKKKLFWVAAIAPLVSVILSTLIVYVTHANKHGVKIVNEIKRGVNPPSVNEIFFSGEYLGKGFRIGVVAGMIALTEAIAIGRTFAAMKDYQIDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNFMAGCNTAVSNIVMSLVVLLTLELITPLFKYTPKAIISSIIISAVIGLIDIEAVILIWKVDKFDFVACMGAFFGVVFKSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPRTTVYRNIQQYPEASKVPGILIVRVDSAIYFSNSNYIKERILRWLTDEEEHLEENNLPRIQFLIVEMSPVTDIDTSGIHALKELHSSLQKRNVELVLANPGPVVVNKLHASSFTELIGEDNIFLTVADAVHTCAPKMEEV >Manes.14G167500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26895842:26899951:-1 gene:Manes.14G167500.v8.1 transcript:Manes.14G167500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNESSSFHRHSESLPCVHKVGLPPKQNLFQEITAAVKETLFADDPLRQFKDQTRSRKFVLGIQTLFPIFEWGRDYSFSKFKGDLVAGLTIASLCIPQDIGYSQLANLKPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLVLGSLLQNEIDPSKDPVNYLHLTFTATFFAGITQVTLGFFRLGFLIDFLSHAAIVGFMAGAAITIALQQLKELLGIAHFTRKTDIVSVMRSVWTTVDHGWNWQTIVIGVSFLVLLLLAKQIAKKKKKLFWVAAIAPLVSVILSTLIVYVTHANKHGVKIVNEIKRGVNPPSVNEIFFSGEYLGKGFRIGVVAGMIALTEAIAIGRTFAAMKDYQIDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNFMAGCNTAVSNIVMSLVVLLTLELITPLFKYTPKAIISSIIISAVIGLIDIEAVILIWKVDKFDFVACMGAFFGVVFKSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPRTTVYRNIQQYPEASKVPGILIVRVDSAIYFSNSNYIKERILRWLTDEEEHLEENNLPRIQFLIVEMSPVTDIDTSGIHALKELHSSLQKRNVELVLANPGPVVVNKLHASSFTELIGEDNIFLTVADAVHTCAPKMEEV >Manes.02G013000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1300080:1302777:1 gene:Manes.02G013000.v8.1 transcript:Manes.02G013000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSEAAADQKAAAEVTKGRNGIDQIVLRNSRGASARVSLYGGQVLSWKTDQGEELLFTSSKAIFRPPNPVRGGILICFPQFGNSGTLEQHGFARKKTWVIDDDPPPLNPNDSSAKAYVDLLLRPSEEDLKIWPHSFEFRLRVCLSADGNLTLVSRIRNINCKPFSFCIAYHTYFAISDISEVRIEGLETLDYLDNLCQKERFTEQGHSLTFESEVDRVYLSSSDAVAVFDHKRKRTFLVTKGGLPDVVDVSSGLESMGEEIKVHGGFWR >Manes.02G013000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1300080:1302778:1 gene:Manes.02G013000.v8.1 transcript:Manes.02G013000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQVSLYGGQVLSWKTDQGEELLFTSSKAIFRPPNPVRGGILICFPQFGNSGTLEQHGFARKKTWVIDDDPPPLNPNDSSAKAYVDLLLRPSEEDLKIWPHSFEFRLRVCLSADGNLTLVSRIRNINCKPFSFCIAYHTYFAISDISEVRIEGLETLDYLDNLCQKERFTEQGHSLTFESEVDRVYLSSSDAVAVFDHKRKRTFLVTKGGLPDVVVWNPWEKKSKSMVDFGDEEYKQMLCVDGSAIEKQITLKPGEEWTGRLELSVVPSS >Manes.02G013000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1300080:1302777:1 gene:Manes.02G013000.v8.1 transcript:Manes.02G013000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSEAAADQKAAAEVTKGRNGIDQIVLRNSRGASARVSLYGGQVLSWKTDQGEELLFTSSKAIFRPPNPVRGGILICFPQFGNSGTLEQHGFARKKTWVIDDDPPPLNPNDSSAKAYVDLLLRPSEEDLKIWPHSFEFRLRVCLSADGNLTLVSRIRNINCKPFSFCIAYHTYFAISDISEVRIEGLETLDYLDNLCQKERFTEQGHSLTFESEVDRVYLSSSDAVAVFDHKRKRTFLVTKGGLPDVVVWNPWEKKSKSMVDFGDEEYKQMLCVDGSAIEKQITLKPGEEWTGRLELSVVPSS >Manes.02G013000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1300080:1302777:1 gene:Manes.02G013000.v8.1 transcript:Manes.02G013000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFSHGRLIREKNCYSLVARPPNPVRGGILICFPQFGNSGTLEQHGFARKKTWVIDDDPPPLNPNDSSAKAYVDLLLRPSEEDLKIWPHSFEFRLRVCLSADGNLTLVSRIRNINCKPFSFCIAYHTYFAISDISEVRIEGLETLDYLDNLCQKERFTEQGHSLTFESEVDRVYLSSSDAVAVFDHKRKRTFLVTKGGLPDVVVWNPWEKKSKSMVDFGDEEYKQMLCVDGSAIEKQITLKPGEEWTGRLELSVVPSS >Manes.02G013000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1300080:1302778:1 gene:Manes.02G013000.v8.1 transcript:Manes.02G013000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKLCFVSLYGGQVLSWKTDQGEELLFTSSKAIFRPPNPVRGGILICFPQFGNSGTLEQHGFARKKTWVIDDDPPPLNPNDSSAKAYVDLLLRPSEEDLKIWPHSFEFRLRVCLSADGNLTLVSRIRNINCKPFSFCIAYHTYFAISDISEVRIEGLETLDYLDNLCQKERFTEQGHSLTFESEVDRVYLSSSDAVAVFDHKRKRTFLVTKGGLPDVVVWNPWEKKSKSMVDFGDEEYKQMLCVDGSAIEKQITLKPGEEWTGRLELSVVPSS >Manes.02G013000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1300080:1302777:1 gene:Manes.02G013000.v8.1 transcript:Manes.02G013000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSEAAADQKAAAEVTKGRNGIDQIVLRNSRGASARVSLYGGQVLSWKTDQGEELLFTSSKAIFRPPNPVRGGILICFPQFGNSGTLEQHGFARKKTWVIDDDPPPLNPNDSSAKAYVDLLLRPSEEDLKIWPHSFEFRLRVCLSADGNLTLVSRIRNINCKPFSFCIAYHTYFAISDISEVRIEGLETLDYLDNLCQKERFTEQGHSLTFESEVDRVYLSSSDAVAVFDHKRKRTFLVTKGGLPDVGRPFFCFPSFFFFLFNL >Manes.02G013000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1300080:1302777:1 gene:Manes.02G013000.v8.1 transcript:Manes.02G013000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFSHGRLIREKNCYSLVARPPNPVRGGILICFPQFGNSGTLEQHGFARKKTWVIDDDPPPLNPNDSSAKAYVDLLLRPSEEDLKIWPHSFEFRLRVCLSADGNLTLVSRIRNINCKPFSFCIAYHTYFAISDISEVRIEGLETLDYLDNLCQKERFTEQGHSLTFESEVDRVYLSSSDAVAVFDHKRKRTFLVTKGGLPDVVVWNPWEKKSKSMVDFGDEEYKQMLCVDGSAIEKQITLKPGEEWTGRLELSVVPSS >Manes.04G069400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26729673:26731386:1 gene:Manes.04G069400.v8.1 transcript:Manes.04G069400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFSCKMGTPTFVSIPLSASSSFPLKVNCQTTPAESTVKNLPPGPRIFAIEHGPLMHLQLGEVPTVVVSSAKVAQELMKKHDHVFSQRPQILASRILSYNHTDIVFSQGYYWKHMRRICLTELLGFKKVKSFAPIREDEVSNLLETIRLAGGLSPVNLTEKIMWLTSAIVCRAAFGNRWEDQKAVISIAREVVSLAGGFDLADLYPSKEFLHVITNMKPRLEKMYVNLDRDLENIVNEHKQKLVNRKGEPADDEDLVDVLLRLQGSGRLDCPIAIDNIKAVIMDMFVAGTETSSTATEWALAEMIRNPRILKKAQEEIRQSVQGKETICEEDIQGLQYLKMVIKETLRFHPPLPLLIPRESKERCEVAGYEIPEKTKVIVNVWAIGRDPEYWKDPEKFIPERFHDNSINFKGTNFAYIPFGAGRRICPGISFGLASIELPLANLLYHFDWKLPGEVRPEDLDMTEAFGATVGRKKNLQLIAIPYTPSSSYQACNLIQTSNESSRK >Manes.07G088100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28044514:28049411:1 gene:Manes.07G088100.v8.1 transcript:Manes.07G088100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLIEPSTSSHVFLLRFLDRTIDTIKQNAAQMFANIFYREPTVDPNARCDSFVFSYSFNHLMETLTHPCGLRTQDWFPNNKQCNDEGDPQAVFNVLDAMLKGSLERLKSMREGIPSLKMGLTGRVMDVSYAGHITLIRDLSLAGKLGAALLLRRKMLQKGFVPDVITHNYLVNGLCKTGELDNANWLIREMLEIGPSPNCATYNTFIKGYCLMNDVDKALFIFCTMNNYGIRPNRVTFNILVHALCKRGLLEDAKKLLSEILEDDEEKTSSDLITSTILMDGCIKNGDIIQAFGIWDAMTQKNTLIDAVAYNVFIHGLCLTEDVKLAYSYSCEMLKRGLLPDIFTYNTLISGLFKAGKSYEACYIHDVMLRMGVSPDQISYKMLIQGLCTQGDVSKASEYLHCMLKKSMVPEPQVWNLIINGYGRCGDPDIAFSFRDQMISFGVLPNVFTYNALIHAHVKAQNIVGAFSLKKEMVCYGLFPDVVTYNLLISAACNAGHINFALHLYNEMLGRGYEPDMITYTELIKGHCMRGNVKETVELFNKLLNSGLTVDHVPFQILIKKYCIMGETDWAFDLYQKWLERKTVFH >Manes.07G088100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28044568:28049928:1 gene:Manes.07G088100.v8.1 transcript:Manes.07G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLIEPSTSSHVFLLRFLDRTIDTIKQNAAQMFANIFYREPTVDPNASRCDSFVFSYSFNHLMETLTHPCGLRTQDWFPNNKQCNDEGDPQAVFNVLDAMLKGSLERLKSMREGIPSLKMGLTGRVMDVSYAGHITLIRDLSLAGKLGAALLLRRKMLQKGFVPDVITHNYLVNGLCKTGELDNANWLIREMLEIGPSPNCATYNTFIKGYCLMNDVDKALFIFCTMNNYGIRPNRVTFNILVHALCKRGLLEDAKKLLSEILEDDEEKTSSDLITSTILMDGCIKNGDIIQAFGIWDAMTQKNTLIDAVAYNVFIHGLCLTEDVKLAYSYSCEMLKRGLLPDIFTYNTLISGLFKAGKSYEACYIHDVMLRMGVSPDQISYKMLIQGLCTQGDVSKASEYLHCMLKKSMVPEPQVWNLIINGYGRCGDPDIAFSFRDQMISFGVLPNVFTYNALIHAHVKAQNIVGAFSLKKEMVCYGLFPDVVTYNLLISAACNAGHINFALHLYNEMLGRGYEPDMITYTELIKGHCMRGNVKETVELFNKLLNSGLTVDHVPFQILIKKYCIMGETDWAFDLYQKWLERKTVFH >Manes.05G039700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3245171:3250187:1 gene:Manes.05G039700.v8.1 transcript:Manes.05G039700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNICVPDNKVIVNGLCKQLCLRRFPCLHRVDHVIEPNCGMKNPSEDGCSKSVEWETLEREHRVYAFLARGCTSFALRECIADAISASSTDNYPEESIHNTLEPRDRVAWGASYWSSKGQSDSASCEMLTYKLVADICVINEISIRPFQAFFQRGSPIYSSKSVRFRMGHTKAPEDEFTGEPSDNCANDNFIWTYTSPEFAMAQENRLQKFKLPEPVLCIGGILQVELLGRVQKQEMDGLFYLCISHVQVLGRQLSPPFSVEILEPSGKFVLKAHSYTQPSLPENGSCLIPNAHLEERAGDLQQFMDLLQEGLVFEYGWHEDDELDEEMPL >Manes.05G039700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3245171:3250186:1 gene:Manes.05G039700.v8.1 transcript:Manes.05G039700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNICVPDNKGVCKLLKMETYVDFFNWLDHDTLMRILICLEDPSDLVRVSSVSRSWREFVIVNGLCKQLCLRRFPCLHRVDHVIEPNCGMKNPSEDGCSKSVEWETLEREHRVYAFLARGCTSFALRECIADAISASSTDNYPEESIHNTLEPRDRVAWGASYWSSKGQSDSASCEMLTYKLVADICVINEISIRPFQAFFQRGSPIYSSKSVRFRMGHTKAPEDEFTGEPSDNCANDNFIWTYTSPEFAMAQENRLQKFKLPEPVLCIGGILQVELLGRVQKQEMDGLFYLCISHVQVLGRQLSPPFSVEILEPSGKFVLKAHSYTQPSLPENGSCLIPNAHLEERAGDLQQFMDLLQEGLVFEYGWHEDDELDEEMPL >Manes.14G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8524179:8527621:-1 gene:Manes.14G102900.v8.1 transcript:Manes.14G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILPLSLNPSLKPKPYLHHPSSPFKGNLANLKFRACNFIPLKLNFKTNSNGRPSLVVTNQAASAAVASPASNVRFRLDNLGPQPGSRKRGKRKGRGISAGQGNSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYVPVNLKDIEAAGFQEGEEVSLETLKEKGLINPSGRERKLPLKILGDGELSVKLKFKARAYSESAKEKLEAAGCALTVLPGRKKWVKPSVAKNLARAEEYFAKKKAAAATSEAESVSA >Manes.09G033825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6689045:6690790:1 gene:Manes.09G033825.v8.1 transcript:Manes.09G033825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLRLFLFTCPSTSFSSFSTVRLRVIKGDLNYCSKTDTFVGGSCGNSQCLLDFLGKYGASSMPKDCTCKPLGSNQRSCTCLIVCKD >Manes.09G109100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31097399:31098971:-1 gene:Manes.09G109100.v8.1 transcript:Manes.09G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVNNLPTSNYDVALAPSVPSTCMETDMAFSTEDVYGSSTLQKLAKQLQFSTPIGFRQVDKQFGEATGSKHEQKDSSSAEENDNLSFLNWRKTRAAVLICLFEGHEGELRVILTKRSMKLSSHPGDVALPGGKMEEGDADDSATALREAMEEIGLDPHLVKVVAKLEPFISQHKLRVVPVVGLLSRIEDFKPVLNTDEVDAIFDVPLDMFLKEENHGWEEKQWMEWKYVVHLFEFTSEQGVFKIWGLTASILVQAASIIYQRIPCFRQHLPDFQQLHKSLNYETSQLQ >Manes.14G147049.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15239021:15240424:-1 gene:Manes.14G147049.v8.1 transcript:Manes.14G147049.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQEARLAAIEASLAELREMIGQLTLQQGIHQPAAAAHPHVAANPVAAIPVVVNPMPANPQQNYQEGYRIKVDLQNFSGSLDVESVLDWLAEVERFFEIMNVEEERKMFEQRFLPSDHAQVLYNRYYDCVQGNRRVDEYTEEFLRLQARCENCENEAQQVAHYQRGLNHEIRCMMGVAAIFTLADAIEMAKRAEERTQQYRGNYSGQPSKVVNSGNPPNTMEERRDSKGKAVTTTTDKGGRTNPYQKPMGDICYRCRQSGHRSNNCPERRGVNADRRQVNIVEQVAETDEKVDDDDRSIAGSKDGEVTYVVKKILCSTKQEDETQRRKIFQAKCRVGEAICRLIIDSCSCENLIAKQLVEKLQLPTQPHPSPYKVGWIKEGPTIDVTTRKPIPLCNGPNRYWR >Manes.07G072338.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15413054:15416521:1 gene:Manes.07G072338.v8.1 transcript:Manes.07G072338.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLNLSDNALAGKLPKNLTSLQNLTVLSLRSNYFSGYVPSGFNPIEVLDLSSNLLNGSLPLDFGGSNLHYLNLTYNKLSGQISQPFAEKIPQNASVDLSFNNLTGAIPGSVSLLNQKTESFRGNVDLSISPAIAVIPKPLESTPVANSSAGNQNTTKQNQTQNGLKPTTIVAIAAADLAGISVLAITILYAYHLKKKKKKNIDQNDQPQPKSKQKLPSETIISELDQPVETRKPTTNTEEATTSDSDHDGGNQNEVINMNQHRQKGRKLVMVDGETELDMETLLKTTAYTLGASGASIVYKAVLGDGTTFAVRRIGECGVARFRDFENQVRHIAKLRHPNLVRVRGIHWGDNEKLIIYDYVSNGSLASSSYRKAKPKSIVTFVEKQLLVEQLSAFFYSIASPHFITIHLPLAVRFKIARGVAQGLTFIHDKKYVHGNIKPINILLNSDMEPIISDFGLHSLVSNNNNNSCKASNSGRNFDSQRSISTSQDLTITSSPYATPNSTTSSTMPYQAPESFKNIKPNHKWDVYSFGVIFLQLLIGRVLSNRELSQWSAILIAENKNQVLRLVDMAIRADVEAKEDVVLSCLKLRFSCASFAPQKRPTMREAVQVLEKIP >Manes.12G156900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36493829:36501444:-1 gene:Manes.12G156900.v8.1 transcript:Manes.12G156900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINLKPEMGSPVLAIQNLLSWNKYKRLDFSTDFVKGRRLQKHEVSWRVLCTKRIGASISCSHSFHEGINLPSIQELTDARVIYSVAPAMGHNQDSHPESHLRVPAIVSALEKMELTSKAMGRASERGIIFIEGTGPTYATGNTFQESLVAAGAGLALVDSVVAASNSNQNPPTGFALIRPPGHHAIPKGPMGFCVFGNVAIAARHAQRVHGLRRVFIIDFDVHHGNGTNDAFYDDPDIFFLSTHQDGSYPGTGKIHEVGHGDGEGATLNLPLPGGSGDIAMRTVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTGTYYMLASNIKQLAKDLCGGRCVFFLEGGYNLDSLSYSVADSFRAFLGDKSLASEFDNSAFLYEEPSTRVKQAIQKVKHIHSL >Manes.12G156900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36493829:36501444:-1 gene:Manes.12G156900.v8.1 transcript:Manes.12G156900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINLKPEMGSPVLAIQNLLSWNKYKRLDFSTDFVKGRRLQKHEVSWRVLCTKRIGASISCSHSFHEGINLPSIQELTDARVIYSVAPAMGHNQDSHPESHLRVPAIVSALEKMELTSKFRGPEIIELKNFKPASADDIASVHAKAYIDGLEQAMGRASERGIIFIEGTGPTYATGNTFQESLVAAGAGLALVDSVVAASNSNQNPPTGFALIRPPGHHAIPKGPMGFCVFGNVAIAARHAQRVHGLRRVFIIDFDVHHGNGTNDAFYDDPDIFFLSTHQDGSYPGTGKIHEVGHGDGEGATLNLPLPGGSGDIAMRTVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTGTYYMLASNIKQLAKDLCGGRCVFFLEGGYNLDSLSYSVADSFRAFLGDKSLASEFDNSAFLYEEPSTRVKQAIQKVKHIHSL >Manes.04G159900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35448523:35462073:1 gene:Manes.04G159900.v8.1 transcript:Manes.04G159900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAEQSRSGPVERDIELAITALKKGAQLLKYGRRGKPKFCPFRLVNDESTLIWLSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLLYSDGSLDLICKDKDEAEVWITGLKALISRNRLRRGRADSRSDGISSEATSPRAHTQRSSPLSSAFGSGDGSQKDEMDPLPLRTPYDSPPKAGLEKALSDVELFVVAPKVLYPPESACGSVHSVSSGGSEATGRLKGMNVDAFRVSLSSAVSSSSQGSGHDDSDALGDVYFWGEGTGDGILGGGVHGVGGSGVRIDSFVPKALESAVLLDVQTIACGRRHAALVTKQGEVFSWGEELGGRLGHGVDSDVLHPKLVDGLKNINVELVACGEYHSCAVTLSGDLYIWGGSSHNFGLIGHGNEASNWVPKKLNGHLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGSFGVLGHGDRKSAFTPRQVESLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGCLGHGDKEPRLVPTCVAALVEPNFCHVACGHSMTVALTTTGHVYTMGSPVYGQLGNSQADGKLPVRVEGKLTKNFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNLPTIVEALKEKQVKTIVCGTNFTAAICLHKWVSGIDQSMCSSCRLPFNFKRKRHNCYNCGVIFCHSCSSKKSLKASMAPNPNKAYRVCDQCFGKLRRVTETDFSSHSALTRRGSLNQRLVDVENNDYLNTRSHVQLGRNNFMESSKDVEIESLKRNKLNMNHPQVSLSANYSSQRNTFNNSKYFGSSKKFFSASLPGSRIMSRATSPTSRRSSPPRATTPTPTLSVQALPKIVVNDTKKTNDRLSEEVVKLRAQVEELTRQAQVQEDALERTTKQLKEATAVAEEETSKCKAAKEVIKSLTAQLKDMAERLPVGGARNSSSPSFHFSSATPPREVSSAGNEQLSSPITCHEADSNGSNSLVISNMSGTTRNQTINHYEVPHLEATTKGKSRTAKVEPTPGDEWVEQDESGVYITLVSLPGGAKDLKRVRFSRKRFSEKQAEQWWTANRARVYQQYNVPMVDKSIAGVGRESLVR >Manes.S095320.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251210.1:26435:27754:-1 gene:Manes.S095320.v8.1 transcript:Manes.S095320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGKKRMAVLVGCNYPKTKNELHGCINDVVAMRDVLINRFGFQPAHVQLLTDAPGSSSSPPLVMPTGANIKKALDQMVDEAQPGDVLYFHYSGHGTRIPSLKPGHPFRQDEAIVPCDFNLITDMDFRQLVNRLPKGTSFTILSDSCHSGGLIDKEKEQIGPNSLIKSNANKQISHKPKAIPYESILQHLTSLTGINTTDIGTHLLECFGANASLSFRIPMLELDNFLQVLKSDEGILLSGCQANETSADMNPVESGGKAYGAFSNAVQMVLKEHSGELISNRQLVMMARQVLEVQGFEQHPCLYCSDENADAVFLWQPESQSQC >Manes.03G100600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22471314:22477104:1 gene:Manes.03G100600.v8.1 transcript:Manes.03G100600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKSILSRRISRSFRSVNNHKHDDGVAEVSGRLGGDVSGEWWAESDPVNGWASLLPELLGEIIKRVEESEDRWPQRQNVVVCACVCKKWREVTKEIVRSTRNIGKITFPSCLKQPGPHDFPHQCLIKRNKKTSTFYLSLALTPSLLDKGKFLLAARRIRHGAHMEYIISLDADDLSQRSNAYVGKLSSDFLGTNFTIYDSQPPDSSAKPASSRASRRFASKKISPQVLVANYEVGQVSYKFNLLKSRGPRRMVCSLKCPLSQETVNEKHLEGSMTKGSETSVSGCTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEDTVLLQFGKVGDDTFTMDYRQPLSALQAFAICLTSFGTKLA >Manes.03G100600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22471314:22476015:1 gene:Manes.03G100600.v8.1 transcript:Manes.03G100600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKSILSRRISRSFRSVNNHKHDDGVAEVSGRLGGDVSGEWWAESDPVNGWASLLPELLGEIIKRVEESEDRWPQRQNVVVCACVCKKWREVTKEIVRSTRNIGKITFPSCLKQPGPHDFPHQCLIKRNKKTSTFYLSLALTPSLLDKGKFLLAARRIRHGAHMEYIISLDADDLSQRSNAYVGKLSSDFLGTNFTIYDSQPPDSSAKPASSRASRRFASKKISPQVLVANYEVGQVSYKFNLLKSRGPRRMVCSLKCPLSQETVNEKHLEGSMTKGSETSVSGCTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEDTVLLQFGKVGDDTFTMDYRQPLSALQAFAICLTSFGTKLA >Manes.03G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22471295:22477016:1 gene:Manes.03G100600.v8.1 transcript:Manes.03G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKSILSRRISRSFRSVNNHKHDDGVAEVSGRLGGDVSGEWWAESDPVNGWASLLPELLGEIIKRVEESEDRWPQRQNVVVCACVCKKWREVTKEIVRSTRNIGKITFPSCLKQPGPHDFPHQCLIKRNKKTSTFYLSLALTPSLLDKGKFLLAARRIRHGAHMEYIISLDADDLSQRSNAYVGKLSSDFLGTNFTIYDSQPPDSSAKPASSRASRRFASKKISPQVLVANYEVGQVSYKFNLLKSRGPRRMVCSLKCPLSQETVNEKHLEGSMTKGSETSVSGCTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEDTVLLQFGKVGDDTFTMDYRQPLSALQAFAICLTSFGTKLACE >Manes.06G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21316073:21319334:-1 gene:Manes.06G076800.v8.1 transcript:Manes.06G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLATTFLSCFFFFFVISSAEGHKLLSGKMRSEDDLKSYSQLDLPGVVGPESIAFDCNGKGPYVGVSDGRILKWQELGWAEFAVSSSNRDRKACDGSTDPDKEPICGRPLGLKFNPATCDLYIADAYYGLLVVGPKGGVPTQLATSAEGVPFRFTNGLDIDPRTGVVYFTDSSIYFQRRVYILAIISSDKSGRLLKYDPRSKKVTVLFRGLAFPNGVALSKDNSFIVVAESGRSRILKFVLVNSEIHSPGEVFAELGRLPDNIKRNENGEFWVALNTGRGRIQRMGGEWFVNDPVGIKFSEDGKIVRALDGNGGKILDSVSEVEEHYGRLWLGSAVQPYVGCMKN >Manes.15G146800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12119599:12120713:1 gene:Manes.15G146800.v8.1 transcript:Manes.15G146800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSEISHFSHPLHPLKFVYSEFPFKCDGCKEVGIGSRYKCSKCDFDLHMHCAIPSPSIFHPFYYKCSFQFMSRPPGDKPRYCNACERIVSGFLYHCRDCGFDLHPCCAKLPMVLDDGEVKLYLHRKVSATCHKCGRQGRSWSYRSSCKKYNLHVACVKDMLVENWAELYHPENNGNKKKDNKIPSLKNALQTHHQKSKGKVQKCCEMAGLAVQFVISAVLGDPTTIIAGFIGSLIAG >Manes.11G006700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:759318:764389:-1 gene:Manes.11G006700.v8.1 transcript:Manes.11G006700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSSFFLFFFFCFAAENSSSSIPVNVGVILDFDEVGRMWLSCISMSLLDFYAAHGDYKTRLVLHTRDSKDDVVSAAVAAVDLISNVKAEAIIGPTTSKQANFVIELGEKAQVPIISFTASVPSLASIKRPYFFRSRDSDKTQLKAIAAIVKNFGWREVVPIYVDDLYGVGILPYLFDALQAVGASVPYQSAISPWASDVDILKELYKLKTMQTRVFIVHMLPSLGARLFTKAKEAGMMSTGYVWIMTDGVTNLLSLLNDSTIDSMQGVLGVRPCVRKTKELKNFRLRWKRKLHREQPDLVDAELDIYGWLAYDATMALAMAIEEVAGNSTNLVMKEANVSSNLLLETIGVSKNGKKLSEALSSISFKGLTGDFHFVNQQLQSSAIQIVNINGVEPKGIGFWTSERGLFKRWKLNSTTNVYSTSQSKLATIIWPGEPTSNPKGWDIATSGKRLRIGVPVKDGFTEFVKVTRNVKTNITDVTGYCVDVFDAVMDQLPYDVAYDYFPFANSEGESAGTYNDLIHQVYLGEFDVVVGDVTILAKRSIYVDFAMPYTEASISMVVPIKDTKNDNALIFLKPFKLDLWVTTFCSFVAVAFVIWVLEHRINKSFRGPPSQQAGTSLWFAFSTMVFSPQEKVLNNLARIVVIIWSVVVLIITQSYTASLSSLLTVQQLQPSVTDVTELIRNREFVGYHKDSFVKEILIGLGFQDSQLLNYTSIEECQELLSKGSENGGIAAAFEQFPLVKLILARNCSNYASVEARTFMSKFPNQKKIANNIQQFKTRGFGFAFPLGSPLVPDVSRAILKVTESDVMGRIEDKWLSTKSICAATLGRVKDL >Manes.11G006700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:759318:764388:-1 gene:Manes.11G006700.v8.1 transcript:Manes.11G006700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSSFFLFFFFCFAAENSSSSIPVNVGVILDFDEVGRMWLSCISMSLLDFYAAHGDYKTRLVLHTRDSKDDVVSAAVAAVDLISNVKAEAIIGPTTSKQANFVIELGEKAQVPIISFTASVPSLASIKRPYFFRSRDSDKTQLKAIAAIVKNFGWREVVPIYVDDLYGVGILPYLFDALQAVGASVPYQSAISPWASDVDILKELYKLKTMQTRVFIVHMLPSLGARLFTKAKEAGMMSTGYVWIMTDGVTNLLSLLNDSTIDSMQGVLGVRPCVRKTKELKNFRLRWKRKLHREQPDLVDAELDIYGWLAYDATMALAMAIEEVAGNSTNLVMKEANVSSNLLLETIGVSKNGKKLSEALSSISFKGLTGDFHFVNQQLQSSAIQIVNINGVEPKGIGFWTSERGLFKRWKLNSTTNVYSTSQSKLATIIWPGEPTSNPKGWDIATSGKRLRIGVPVKDGFTEFVKVTRNVKTNITDVTGYCVDVFDAVMDQLPYDVAYDYFPFANSEGESAGTYNDLIHQVYLGEFDVVVGDVTILAKRSIYVDFAMPYTEASISMVVPIKDTKNDNALIFLKPFKLDLWVTTFCSFVAVAFVIWVLEHRINKSFRGPPSQQAGTSLWFAFSTMVFSPQEKVLNNLARIVVIIWSVVVLIITQSYTASLSSLLTVQQLQPSVTDVTELIRNREFVGYHKDSFVKEILIGLGFQDSQLLNYTSIEECQELLSKGSENGGIAAAFEQFPLVKLILARNCSNYASVEARTFMSKFPNQKKIANNIQQFKTRISFRFSSGA >Manes.11G006700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:759318:764388:-1 gene:Manes.11G006700.v8.1 transcript:Manes.11G006700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSSFFLFFFFCFAAENSSSSIPVNVGVILDFDEVGRMWLSCISMSLLDFYAAHGDYKTRLVLHTRDSKDDVVSAAVAAVDLISNVKAEAIIGPTTSKQANFVIELGEKAQVPIISFTASVPSLASIKRPYFFRSRDSDKTQLKAIAAIVKNFGWREVVPIYVDDLYGVGILPYLFDALQAVGASVPYQSAISPWASDVDILKELYKLKTMQTRVFIVHMLPSLGARLFTKAKEAGMMSTGYVWIMTDGVTNLLSLLNDSTIDSMQGVLGVRPCVRKTKELKNFRLRWKRKLHREQPDLVDAELDIYGWLAYDATMALAMAIEEVAGNSTNLVMKEANVSSNLLLETIGVSKNGKKLSEALSSISFKGLTGDFHFVNQQLQSSAIQIVNINGVEPKGIGFWTSERGLFKRWKLNSTTNVYSTSQSKLATIIWPGEPTSNPKGWDIATSGKRLRIGVPVKDGFTEFVKVTRNVKTNITDVTGYCVDVFDAVMDQLPYDVAYDYFPFANSEGESAGTYNDLIHQVYLGEFDVVVGDVTILAKRSIYVDFAMPYTEASISMVVPIKDTKNDNALIFLKPFKLDLWVTTFCSFVAVAFVIWVLEHRINKSFRGPPSQQAGTSLWFAFSTMVFSPQEKVLNNLARIVVIIWSVVVLIITQSYTASLSSLLTVQQLQPSVTDVTELIRNREFVGYHKDSFVKEILIGLGFQDSQLLNYTSIEECQELLSKGSENGGIAAAFEQFPLVKLILARNCSNYASVEARTFMSKFPNQKKIANNIQQFKTRGFGFAFPLGSPLVPDVSRAILKVTESDVMGRIEDKWLSTKSICAGQANSFSSSRLGQHWEELRTSNSMWSRIVDFFRIFNQKDSKWHTFRSEVNKGSTDGPYPHGEQGADSSAVHEGSVELADLSPTEHSTDLSPTEQPHQEVAPFGIDILPTNPKQLMPTATPINHHHTN >Manes.11G006700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:759318:764389:-1 gene:Manes.11G006700.v8.1 transcript:Manes.11G006700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSSFFLFFFFCFAAENSSSSIPVNVGVILDFDEVGRMWLSCISMSLLDFYAAHGDYKTRLVLHTRDSKDDVVSAAVAAVDLISNVKAEAIIGPTTSKQANFVIELGEKAQVPIISFTASVPSLASIKRPYFFRSRDSDKTQLKAIAAIVKNFGWREVVPIYVDDLYGVGILPYLFDALQAVGASVPYQSAISPWASDVDILKELYKLKTMQTRVFIVHMLPSLGARLFTKAKEAGMMSTGYVWIMTDGVTNLLSLLNDSTIDSMQGVLGVRPCVRKTKELKNFRLRWKRKLHREQPDLVDAELDIYGWLAYDATMALAMAIEEVAGNSTNLVMKEANVSSNLLLETIGVSKNGKKLSEALSSISFKGLTGDFHFVNQQLQSSAIQIVNINGVEPKGIGFWTSERGLFKRWKLNSTTNVYSTSQSKLATIIWPGEPTSNPKGWDIATSGKRLRIGVPVKDGFTEFVKVTRNVKTNITDVTGYCVDVFDAVMDQLPYDVAYDYFPFANSEGESAGTYNDLIHQVYLGEFDVVVGDVTILAKRSIYVDFAMPYTEASISMVVPIKDTKNDNALIFLKPFKLDLWVTTFCSFVAVAFVIWVLEHRINKSFRGPPSQQAGTSLWFAFSTMVFSPQEKVLNNLARIVVIIWSVVVLIITQSYTASLSSLLTVQQLQPSVTDVTELIRNREFVGYHKDSFVKEILIGLGFQDSQLLNYTSIEECQELLSKGSENGGIAAAFEQFPLVKLILARNCSNYASVEARTFMSKFPNQKKIANNIQQFKTRISFRFSSGA >Manes.11G006700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:759318:762806:-1 gene:Manes.11G006700.v8.1 transcript:Manes.11G006700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRVFIVHMLPSLGARLFTKAKEAGMMSTGYVWIMTDGVTNLLSLLNDSTIDSMQGVLGVRPCVRKTKELKNFRLRWKRKLHREQPDLVDAELDIYGWLAYDATMALAMAIEEVAGNSTNLVMKEANVSSNLLLETIGVSKNGKKLSEALSSISFKGLTGDFHFVNQQLQSSAIQIVNINGVEPKGIGFWTSERGLFKRWKLNSTTNVYSTSQSKLATIIWPGEPTSNPKGWDIATSGKRLRIGVPVKDGFTEFVKVTRNVKTNITDVTGYCVDVFDAVMDQLPYDVAYDYFPFANSEGESAGTYNDLIHQVYLGEFDVVVGDVTILAKRSIYVDFAMPYTEASISMVVPIKDTKNDNALIFLKPFKLDLWVTTFCSFVAVAFVIWVLEHRINKSFRGPPSQQAGTSLWFAFSTMVFSPQEKVLNNLARIVVIIWSVVVLIITQSYTASLSSLLTVQQLQPSVTDVTELIRNREFVGYHKDSFVKEILIGLGFQDSQLLNYTSIEECQELLSKGSENGGIAAAFEQFPLVKLILARNCSNYASVEARTFMSKFPNQKKIANNIQQFKTRGFGFAFPLGSPLVPDVSRAILKVTESDVMGRIEDKWLSTKSICAGQANSFSSSRLGVSSFWVLFAITGGISFLALTTYVTMFVQQHWEELRTSNSMWSRIVDFFRIFNQKDSKWHTFRSEVNKGSTDGPYPHGEQGADSSAVHEGSVELADLSPTEHSTDLSPTEQPHQEVAPFGIDILPTNPKQLMPTATPINHHHTN >Manes.11G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:759318:764388:-1 gene:Manes.11G006700.v8.1 transcript:Manes.11G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRSSFFLFFFFCFAAENSSSSIPVNVGVILDFDEVGRMWLSCISMSLLDFYAAHGDYKTRLVLHTRDSKDDVVSAAVAAVDLISNVKAEAIIGPTTSKQANFVIELGEKAQVPIISFTASVPSLASIKRPYFFRSRDSDKTQLKAIAAIVKNFGWREVVPIYVDDLYGVGILPYLFDALQAVGASVPYQSAISPWASDVDILKELYKLKTMQTRVFIVHMLPSLGARLFTKAKEAGMMSTGYVWIMTDGVTNLLSLLNDSTIDSMQGVLGVRPCVRKTKELKNFRLRWKRKLHREQPDLVDAELDIYGWLAYDATMALAMAIEEVAGNSTNLVMKEANVSSNLLLETIGVSKNGKKLSEALSSISFKGLTGDFHFVNQQLQSSAIQIVNINGVEPKGIGFWTSERGLFKRWKLNSTTNVYSTSQSKLATIIWPGEPTSNPKGWDIATSGKRLRIGVPVKDGFTEFVKVTRNVKTNITDVTGYCVDVFDAVMDQLPYDVAYDYFPFANSEGESAGTYNDLIHQVYLGEFDVVVGDVTILAKRSIYVDFAMPYTEASISMVVPIKDTKNDNALIFLKPFKLDLWVTTFCSFVAVAFVIWVLEHRINKSFRGPPSQQAGTSLWFAFSTMVFSPQEKVLNNLARIVVIIWSVVVLIITQSYTASLSSLLTVQQLQPSVTDVTELIRNREFVGYHKDSFVKEILIGLGFQDSQLLNYTSIEECQELLSKGSENGGIAAAFEQFPLVKLILARNCSNYASVEARTFMSKFPNQKKIANNIQQFKTRGFGFAFPLGSPLVPDVSRAILKVTESDVMGRIEDKWLSTKSICAGQANSFSSSRLGVSSFWVLFAITGGISFLALTTYVTMFVQQHWEELRTSNSMWSRIVDFFRIFNQKDSKWHTFRSEVNKGSTDGPYPHGEQGADSSAVHEGSVELADLSPTEHSTDLSPTEQPHQEVAPFGIDILPTNPKQLMPTATPINHHHTN >Manes.10G020700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2030951:2033440:-1 gene:Manes.10G020700.v8.1 transcript:Manes.10G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENDYTTDLESNFCFPAEFPYEFDSFGSISALSSPVESVLSCTETESDDEEDFLVGLTRRLTQKVAVEPHKKNWIMAGSPESILGGIGSGFLSSSGSSNGEISPPTTPFGLKSDPWDLISVGAGPVSMLKMRIEDKNNSSKQGRGLLRPATSKNPDTIEENGRTGFSSSQSFGQSACQLNQYPLKQKQVPRPLRHSVCRRQQVKESKQDEPQHQQQYQNQRRGRRVMAYEKGRCMLPLGLPQSAWPPLQVKSTQHQHHQQSHNSSGKSTGVFLGGANAKRECAGTGVFLPRTYTKTSDRKKKSARSRVLAPANVVQSLDTKLKDLNMQGHTKPRFNGAFGSDYDAFIENGRLAQQKGDVGAENVLSHEKCVPQEWMY >Manes.10G020700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2031054:2033262:-1 gene:Manes.10G020700.v8.1 transcript:Manes.10G020700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENDYTTDLESNFCFPAEFPYEFDSFGSISALSSPVESVLSCTETESDDEEDFLVGLTRRLTQKVAVEPHKKNWIMAGSPESILGGIGSGFLSSSGSSNGEISPPTTPFGLKSDPWDLISVGAGPVSMLKMRIEDKNNSSKQGRGLLRPATSKNPDTIEENGRTGFSSSQSFGQSACQLNQYPLKQKQVPRPLRHSVCRRQQVKESKQDEPQHQQQYQNQRRGRRVMAYEKGRCMLPLGLPQSAWPPLQVKSTQHQHHQQSHNSSGKSTGVFLGGANAKRECAGTGVFLPRTYTKTSDRKKKSARSRVLAPANVVQSLDTKLKDLNMQGHTKPRFNGAFGSDYDAFIENGRLAQQKGDVGAENVLSHEKCVPQEWMY >Manes.04G152900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34800342:34805487:-1 gene:Manes.04G152900.v8.1 transcript:Manes.04G152900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGNNSVPPSPTSDKSKVLNVKPLRSLVPVFPSNPQTPPFVCVPPSGPFPSGFTPFFPFNGPQPNTPDLNQQTQTPAPLRSFPAVQSNGDMSRDGNADGSMDAPTKRPVGRPRSSSSQKKAKKDLDVTLSVADTNFIVGISPSLMEDGDREVVNSVRMRFDALRRRLSQLEDAKESPGGLIRRADLKAGNILMSKGVRTNMRKRVGAVPGVEIGDIFFFRMEMCLVGLHSQSMAGIDYMVVRGELEEDPLAVSIVSSGGYEDEAEDSDILIYSGQGGNVNSNKKDKQIADQKLERGNLALERSLHRNNEVRVIRGMRDVISATSKVYVYDGLYRIQESWVEKGKSGCNIFKYKLVRLPGQPVAFSVWKSIQQWREGFSSRVGLILPDLTSGAENIPVSLVNDVDEEKGPAYFTYFATIKYNKSFKLMEPSYGCNCRNACAPGDLNCSCIRKNGGDFPYTTNGVLVSRRPLVHECGPTCPCIPNCKNRVSQFGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVIEKVKARHLGEGEDDDYVFDTTRVYEPFKWNCEPGLVEEDDIDTTEEYDIPSPLIISAKNVGNVARFMNHSCTPNVFWQPVAYEHNSESYVHIAFFALRHIPPMTELTYDYGITRSDEADGNNALHGKNKCLCGSSRCRGYFGGRLSVN >Manes.04G152900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34799274:34805473:-1 gene:Manes.04G152900.v8.1 transcript:Manes.04G152900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGNNSVPPSPTSDKSKVLNVKPLRSLVPVFPSNPQTPPFVCVPPSGPFPSGFTPFFPFNGPQPNTPDLNQQTQTPAPLRSFPAVQSNGDMSRDGNADGSMDAPTKRPVGRPRSSSSQKKAKKDLDVTLSVADTNFIVGISPSLMEDGDREVVNSVRMRFDALRRRLSQLEDAKESPGGLIRRADLKAGNILMSKGVRTNMRKRVGAVPGVEIGDIFFFRMEMCLVGLHSQSMAGIDYMVVRGELEEDPLAVSIVSSGGYEDEAEDSDILIYSGQGGNVNSNKKDKQIADQKLERGNLALERSLHRNNEVRVIRGMRDVISATSKVYVYDGLYRIQESWVEKGKSGCNIFKYKLVRLPGQPVAFSVWKSIQQWREGFSSRVGLILPDLTSGAENIPVSLVNDVDEEKGPAYFTYFATIKYNKSFKLMEPSYGCNCRNACAPGDLNCSCIRKNGGDFPYTTNGVLVSRRPLVHECGPTCPCIPNCKNRVSQFGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVIEKVKARHLGEGEDDDYVFDTTRVYEPFKWNCEPGLVEEDDIDTTEEYDIPSPLIISAKNVGNVARFMNHSCTPNVFWQPVAYEHNSESYVHIAFFALRHIPPMTELTYDYGITRSDEADGNNALHGKNKCLCGSSRCRGYFGGRLSVN >Manes.04G152900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34799274:34805473:-1 gene:Manes.04G152900.v8.1 transcript:Manes.04G152900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGNNSVPPSPTSDKSKVLNVKPLRSLVPVFPSNPQTPPFVCVPPSGPFPSGFTPFFPFNGPQPNTPDLNQQTQTPAPLRSFPAVQSNGDMSRDGNADGSMDAPTKRPVGRPRSSSSQKKAKKDLDVTLSVADTNFIVGISPSLMEDGDREVVNSVRMRFDALRRRLSQLEDAKESPGGLIRRADLKAGNILMSKGVRTNMRKRVGAVPGVEIGDIFFFRMEMCLVGLHSQSMAGIDYMVVRGELEEDPLAVSIVSSGGYEDEAEDSDILIYSGQGGNVNSNKKDKQIADQKLERGNLALERSLHRNNEVRVIRGMRDVISATSKVYVYDGLYRIQESWVEKGKSGCNIFKYKLVRLPGQPVAFSVWKSIQQWREGFSSRVGLILPDLTSGAENIPVSLVNDVDEEKGPAYFTYFATIKYNKSFKLMEPSYGCNCRNACAPGDLNCSCIRKNGGDFPYTTNGVLVSRRPLVHECGPTCPCIPNCKNRVSQFGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVIEKVKARHLGEGEDDDYVFDTTRVYEPFKWNCEPGLVEEDDIDTTEEYDIPSPLIISAKNVGNVARFMNHSCTPNVFWQPVAYEHNSESYVHIAFFALRHIPPMTELTYDYGITRSDEADGNNALHGKNKCLCGSSRCRGYFGGRLSVN >Manes.04G152900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34800674:34805460:-1 gene:Manes.04G152900.v8.1 transcript:Manes.04G152900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGNNSVPPSPTSDKSKVLNVKPLRSLVPVFPSNPQTPPFVCVPPSGPFPSGFTPFFPFNGPQPNTPDLNQQTQTPAPLRSFPAVQSNGDMSRDGNADGSMDAPTKRPVGRPRSSSSQKKAKKDLDVTLSVADTNFIVGISPSLMEDGDREVVNSVRMRFDALRRRLSQLEDAKESPGGLIRRADLKAGNILMSKGVRTNMRKRVGAVPGVEIGDIFFFRMEMCLVGLHSQSMAGIDYMVVRGELEEDPLAVSIVSSGGYEDEAEDSDILIYSGQGGNVNSNKKDKQIADQKLERGNLALERSLHRNNEVRVIRGMRDVISATSKVYVYDGLYRIQESWVEKGKSGCNIFKYKLVRLPGQPVAFSVWKSIQQWREGFSSRVGLILPDLTSGAENIPVSLVNDVDEEKGPAYFTYFATIKYNKSFKLMEPSYGCNCRNACAPGDLNCSCIRKNGGDFPYTTNGVLVSRRPLVHECGPTCPCIPNCKNRVSQFGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVIEKVKARHLGEGEDDDYVFDTTRVYEPFKWNCEPGLVEEDDIDTTEEYDIPSPLIISAKNVGNVARFMNHSCTPNVFWQPVAYEHNSESYVHIAFFALRHIPPMTELTYDYGITRSDEADGNNALHGKNKCLCGSSRCRGYFGGRLSVN >Manes.12G059100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5039628:5040495:-1 gene:Manes.12G059100.v8.1 transcript:Manes.12G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLPLSSLIILSLGFSILDVNPRKEDSSVYDVLKSHGLPMGLLPKGVKDFRIEDTGHFVVHLDEACNAKFENELHYDRNVSGTLSYGQIGALSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVSKQFSLSLFETPRDCLAVRDGQTEAIENGRLIAEAVSKSQLGELRYQLDQENFARGVM >Manes.01G091700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29326360:29332776:1 gene:Manes.01G091700.v8.1 transcript:Manes.01G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGSVESSVFLLAVSNGDSRFKPAVRISVHSLQAKSKKVLARQGDLRQQIAKRRNLVSSIKCSSFDHEIDRTSHEQRDSSLPDSESTSTSDVNILQHQNNNVLPSGYVHSPADEVPEISPSAISGGYAKDEMEHGQRISPKTSSSIKNLTKEFKDMEMKSENEIIEGSAESTLPKVDNVENDTMTEDAKSVPLAGAHAMNIILVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYHNYAEPQYTGVPKVYKVDGQDFEVTYFQAFIDGVDFVFIESPIFRHLENNIYGGNRVDVLKRMVLFCKAAVEVPWHVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYRDKGFMHYARSVLVIHNIAHQGRGPVDDFRYMDLPGHYIDLFKLYDPVGGEHSNILAAGLKTADRLVTVSHGYAWELKTSEGGWGLHNIINENGWKMSGIVNGIDAKEWNPQFDVHLTLDGYTNYSLETLDTGKPQCKAALQKELGLPVRPDVPVIGFIGRLDHQKGVDLIAEAIPWMIDQDVQLVMLGTGRHDLEEMLRNFECRHSDKVRGWVGFSVQTSHRITAGADILLMPSRFEPCGLNQLYAMTYGTVPVVHAVGGLRDTVQPFDPFSESGLGWTFDSAEKNKLIHALWNCLLTYRQYKKSWEGLQRRGMTQDLSWDHAAAKYEDVLVAAKYQW >Manes.14G163000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:24984668:24985144:1 gene:Manes.14G163000.v8.1 transcript:Manes.14G163000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPVSDQTGTNSSSLDLWDAEGFFPSLDLWDPFQNFPFPFPSSILSSSFPSLAGEIFPSLETHVDWKETPRAHVFRAVFPGFNSDDVLVFIDDDNMLQISTENGKFMSKFKLPDNARRDQIKAGMVNGILTVTIPKEGGAGARSPNVRAIEISGSG >Manes.01G098300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29950526:29953582:1 gene:Manes.01G098300.v8.1 transcript:Manes.01G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSQTLSKTKHLLAASRTQYLSLLKHYAPARSLTKTKQLHALTITAGVLSSPGSARLRSSLAAAYMHCGYVPHARKLFDELPERSALLYNNLMIMYVNNALYLHALKAFVEMLQSGCCAPDNYTYPIVIKACSELSMLGLGRAVHGKIVVSLYGSHTYVQNSLLAMYMNCGKKETAQRVFDGMRERSVVSWNTMISGYFKNGCAKTALMVFNQMVDFGVEIDCATVVSVLPACGYLRELELGRRVHGLLEDKGLDKKIAVRNALVDMYAKCSSMAEAKLVFDRMDEKDVISWTSMINGYIIDGDVRSALMLCRIMQVEGIRPNSVTIASVLSACNDLRDGRCLHGWTIRQNLDPEVIILTSLIDMYAKCCRVDLSFAVFTRTSINRTVPWNAMLSGCIHNGLETEAIRLFKQMLVKGVEPDGATMNSLLPAYGFLADLQPSKNIHGYLTKSGFLSGIEVATCLIDIYSKCGSLESAHQLFNAIPIDVKDIFVWSVIISGYGMHGHGETAVSLFRQMVCSGVKPNEVTFTSVLHACSHAGLVDEGLFLFEFMIKDHEIQPTDDHFTCIVDLLGRAGRLDEACNLIRRMPFVPSHAVWGALLGACVIHGNVEVGEVAAQHVFELEPENTGNYILLAKLYSAVGRWEDAENVRHMLNDIGLRKAPAHSLIDAINI >Manes.02G221800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36733260:36749405:-1 gene:Manes.02G221800.v8.1 transcript:Manes.02G221800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFRSGKMHLEGKKVVPDPRKGLVRITRGEEGLVHFQWLDRNRNVVEDDQIIFPDEAVFEKVNQASGRVYILKFNTDDRKFFFWMQEPKAEDDEKLCNSVNYYINQPLDLGDEEPDASAPLQVSEDMVEDDISSRAGNLIVPNLGAEVISDVTSSSGPVKLEDLQRILNNIGHTGSAGDPDGGLGLGDILKPDLIMPLIDTLPLEERLASYLPEGQWTPEDILELLQSPPFRQQVDSFTYVLRTGQVDLSQFGIDPSKYKFTVSSFLEALEDSVSKVPEEPRQENEDLTSRSCDRNEPMDESH >Manes.12G102566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23361880:23380443:1 gene:Manes.12G102566.v8.1 transcript:Manes.12G102566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNPHLNEYQLCETIFPKTHYYIPDNFQKSQIYYEAILTHTNSILIQNNFDPHNHTKLRYCKVRLLKVWTLTEWGQEPHKTKEFTYTNGQLRQNAKYNYYDYQFAWERTFFKQNEQLSISFFFYISDNFTYPIPFWFHQWWNKFGIHDDIIPDQIKPARTQFFNKQQLPETIICSPQWLIYSHYFHIPWIFMTEYHIKDQVIDNFQIPMLVRKYKTKWWTKTNLQGCCQIAVDQCFAILWIVYKKLVYCYLAATLEISFSPPFSFVFSD >Manes.18G102100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8819136:8821116:1 gene:Manes.18G102100.v8.1 transcript:Manes.18G102100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTLLLCCSLILNLLLINLFMHGELERSNWTKTAAVEAEAVASISCSGHGRAFLDGLLGVDGKPVCECNSCFKGPDCSDPIPNCEVDADSGDPMFLEPFWLKHAASSSLVLPGWHRMSYEFEGGSLISEELKNHIRRLHSVVGNANTDGRFIIFGAGATQLLNAAVHALSSDHDGDAPSSSPSRVVASIPYYPVYREQTEFFKSEAYRFHGDTMSMKNEMDYLSSNYIELVTSPNNPDGQLKKAVLGGASVKTIHDLAYYWPHFTAIPAPADEDLMIFTVSKLTGHAGSRFGWAIVKDEAIYQRMLTYMSLSTYGVPRETQLRVLKLLKAVLEGEGKEMFEFGYKTMANRWRKLRKIFSASRRFSLQDLDHQYCSFSKKIRGPSPAFAWMKCEREEDKECFQVVKSAANVSGRHGSLFGSESRYVRLSLVKSQDDFNLLLERMEALVQQEPHNKKIQQENERNASMTFGVGHHFLQHPDLVSYINSYKSMDEDM >Manes.18G102100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8819136:8821116:1 gene:Manes.18G102100.v8.1 transcript:Manes.18G102100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEPFWLKHAASSSLVLPGWHRMSYEFEGGSLISEELKNHIRRLHSVVGNANTDGRFIIFGAGATQLLNAAVHALSSDHDGDAPSSSPSRVVASIPYYPVYREQTEFFKSEAYRFHGDTMSMKNEMDYLSSNYIELVTSPNNPDGQLKKAVLGGASVKTIHDLAYYWPHFTAIPAPADEDLMIFTVSKLTGHAGSRFGWAIVKDEAIYQRMLTYMSLSTYGVPRETQLRVLKLLKAVLEGEGKEMFEFGYKTMANRWRKLRKIFSASRRFSLQDLDHQYCSFSKKIRGPSPAFAWMKCEREEDKECFQVVKSAANVSGRHGSLFGSESRYVRLSLVKSQDDFNLLLERMEALVQQEPHNKKIQQENERNASMTFGVGHHFLQHPDLVSYINSYKSMDEDM >Manes.13G114700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32151516:32153508:1 gene:Manes.13G114700.v8.1 transcript:Manes.13G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNVKILGTGEQVLVLAHGFGTDQSVWKHLVPHLLDEFKVILYDNMGAGTTNPDYFDFSRYSSLEGYAYDLLAILEELQIESCILVGHSVSGMIGAIASISRPDLFSKIVMLSASPRYLNDVDYYGGFEQEDLNQLFEAMQSNYKAWCAGFAPLAVGGDMDSVAVQEFSRTLFNMRPDIALSVAQNIFQSDMRQILRLVTVPCHVLQSGKDLAVPVVVSEYLHQHLGGESIVEVMSSDGHLPQLSSPDIVIPVLLRHIRYDIVV >Manes.11G136800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30194115:30198048:1 gene:Manes.11G136800.v8.1 transcript:Manes.11G136800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQALETVYRVNMGGPTILPETDTLGLARTWVPDQGFLINKNFATNVSNIAAVKYVEGGATPEIAPNVVYGTATMMNSGDNPTSNFNVTWQFNVDPGFQYLVRFHFCDIVSKALDELYFEVYIDSWIVAKDLDLSVISSNTLAAALYMDFVTASKVSSKLLVSIGPSSIPNVLPNAILNGLEIMKMNNSLGSLSGSATLLSDSNSKKNVGMIVGLIVGAVIVVVAVIIFMLCRKRKKLACQRLSKTWIPLSISGENSHTVGSKYSNGTTASVNSNLGYYIPFVAVQEATNNFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLAEFQTEIQMLSQFRHRHLVSLIGYCDEKNEMILVYEYMENGTLKGHLYGAGHPSLSWKERLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLEQIIDPTLVRKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEVSVPGDPEENSTNMIGELSPQINNFSNVDNSVSASQFETSSVDDLSGVSMSKVFSQLVKSEGR >Manes.11G136800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30194399:30197988:1 gene:Manes.11G136800.v8.1 transcript:Manes.11G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVKGLSVFVCVLSILNSASLCCGFDPVDNYLIDCGSSIDTKVGNRVFLADNSNSNSISTPKNIFAKASTSVTSSDSSLLYQTARILDGTSKYTFSIRQPGRHWIRLYFYPFIHDSYKMNRAIFHVSTQDHVLLSNFNVNSTVVKEFSLNVTSSSLEIIFTPSNNTFAFLNALEVVSVPDALITDDASTFNPLGKFQGMFSQALETVYRVNMGGPTILPETDTLGLARTWVPDQGFLINKNFATNVSNIAAVKYVEGGATPEIAPNVVYGTATMMNSGDNPTSNFNVTWQFNVDPGFQYLVRFHFCDIVSKALDELYFEVYIDSWIVAKDLDLSVISSNTLAAALYMDFVTASKVSSKLLVSIGPSSIPNVLPNAILNGLEIMKMNNSLGSLSGSATLLSDSNSKKNVGMIVGLIVGAVIVVVAVIIFMLCRKRKKLACQRLSKTWIPLSISGENSHTVGSKYSNGTTASVNSNLGYYIPFVAVQEATNNFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLAEFQTEIQMLSQFRHRHLVSLIGYCDEKNEMILVYEYMENGTLKGHLYGAGHPSLSWKERLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLEQIIDPTLVRKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEVSVPGDPEENSTNMIGELSPQINNFSNVDNSVSASQFETSSVDDLSGVSMSKVFSQLVKSEGR >Manes.04G067950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26522438:26524440:-1 gene:Manes.04G067950.v8.1 transcript:Manes.04G067950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHVHKHFHAATIIVFAFKHTLTLAIVHYKLSNMEGLDLPGFRFHPTEEELLDFYLKNMVFGKKLCLDIIGYLNIYHHDPWDLPGMAKNGEREWYFFVPRDRRHGNGGRPNRTTKNGFWKATGSDRKILSLSDPKRIIGLRKTLVFYQGRAPRGSKTDWVMNEYRLPDSRPLPKDIVLCKIYRKATSLKVLEQRAATEEEMKLINASSSSSPLSSLDNMSFSSQQEESVAPVCLHHVVFKTEVEEDICVGKNNTRDEKLKEIKGSSIQLPVGNDKLPELEVPKLSMDWNQDNNFWLNSPWLQSLAQNLAPYANILNF >Manes.09G164900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35847851:35850570:1 gene:Manes.09G164900.v8.1 transcript:Manes.09G164900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTTFLSTFASSMGTFTSRSHHLNLSPSLGGVTLLALGNGAPDVFASLAAVRSGQYRTGFGAILSAGTFVSAFVVGFVAIYAAPFNVDPGSFVRDVGFYLVGALFLFYVYLSGEITFWQAVGFVGFYIFFVGFVFWMDLGIDVVHKRDGVEMDCEKVGEVVVSILEDEKQLSVGFERAYGKISKVWERPISILLKLTIPQTAPSEWSRFYISANIMLCPLALLYTCNSFMPLHHPIVFLLANTHFPLWFIVLLASSSLALLHFVLETESPKTEQMPILLVAFMMSVFWISTVAGELLNCLAAIGMLLEVHPSLLGLTVLSWGNSVGDLVADVAVARAGQPAMAMAGCFAGPMFNMLVGLGTALVMQTADVYPKAYELHLHTGIVIAFVFLLLSLMGSLLVITWSRFRVPKFWGFCLVGLYVFFMVISLVIAKFSG >Manes.07G065401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11591968:11592363:1 gene:Manes.07G065401.v8.1 transcript:Manes.07G065401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGESQRKEYFCFPEQYCACYSFFYDIVNRGEQLCCKHQLAARLAAALGTCVNVRVSDEQLALLLVKL >Manes.09G171600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36246247:36249123:-1 gene:Manes.09G171600.v8.1 transcript:Manes.09G171600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMTMEGMMDKGVLDDIIRRLLDGKGGKQVQLSEGEIRQLCVNARQIFLSQPNLLQLNAPIRICGDIHGQYQDLLRLFEYGGFPPSCSYLFLGDYVDRGKQSLETICLLLAYKIRYPDKISLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELEDVNQIKEIQRPTEIPDSGLLCDLLWSDPDATVKGWSDSDRGVSCTFGPDRVVEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPAPAASSSKLPLKKPPKIGNI >Manes.01G150000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33896664:33900604:-1 gene:Manes.01G150000.v8.1 transcript:Manes.01G150000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANVGNTSSNGGTPQPPVSQQVIGEVHLNNPSPRDHLQRNSQFPIANDYPQQQRNSFRRNAGQHSRGDGPHHHNYGGRREHDRTNQAHRNFNNRDAHMQPQRLARFIRHPPPPPAPPTATPFIGSPAARAFSSPIGFPELYYVAGPPPDPLGGMPFVAAPLPPPAMYFTTPEPQLHSKILNQIDYYFSNENLIKDTFLRQKMDDQGWVSVKLIAGFNKVLHLTDNIQFILEAIRSSTVVEVQGDKLRRRNDWMRWIMPPSVQFPSASGHATLGRSPANVPNEPFLGRSSSDDLSSQSSTIERTDQMIVRGGSDSSTLARNLSK >Manes.16G070500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25614515:25624115:1 gene:Manes.16G070500.v8.1 transcript:Manes.16G070500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVKEDEKNERIIRGLLKLPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVISLQEGGNKRARDIYLKEWDPQRQSAPDGSNVDRLRDFIKHVYVDRRYSGERNYGKPSSVKLGDKEDSYQGGSRSPPYEDTYERRYSEMSSPGGRSDDRNRYAYDERRSPGYDQESRQYNDYRRSPARGEIVNDWRKEDRFGNGRRADDRRVSEGDSKLETRSPEPLKDPGASSPPVVRPVREILGDNVVPLHVSEPPKANVVRAADSSAQTQRTVSSSSVGSTSGNMTEVKVESTASLIDFDADPEPPVSTAVPQAQQTIVSQSIAQPASTTNDNNWASFDFGPANKASQANANPLESVLSQLAVPASVPGQISGMPSGSGAPVAASFGNIANLPNATASPAVSAGNAHILPLNSGATFFHPGGVSTAAPGLAPVMPVNGGPSFVKVNETGQWYSVQHQQPVLFPPSGQSTSQQFAPPFGGASANQPWNLSVAPNVQAALSKPSAGAPQVTSTPALVVASAGVSQPSAVEVQSSGRKELPEDLFAATYPSFHGAVPGWQTGLPRGMGFAMQYSNTAVHMPSFIQPSKSTNPFVLNEPPPMQGHTFPSMASLQSALPSMPPSSGLQRTSSLGTPPSAWMPSQSLPYPSALPPQPPSYASAMPPRAYMGQVSSNMPLPGSQGIGGLPTEGGTYGAANMDQQLAGRFSAPAAPSPFSSVGGNPFG >Manes.16G070500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25614603:25624115:1 gene:Manes.16G070500.v8.1 transcript:Manes.16G070500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVKEDEKNERIIRGLLKLPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVISLQEGGNKRARDIYLKEWDPQRQSAPDGSNVDRLRDFIKHVYVDRRYSGERNYGKPSSVKLGDKEDSYQGGSRSPPYEDTYERRYSEMSSPGGRSDDRNRYAYDERRSPGYDQESRQYNDYRRSPARGEIVNDWRKEDRFGNGRRADDRRVSEGDSKLETRSPEPLKDPGASSPPVVRPVREILGDNVVPLHVSEPPKANVVRAADSSAQTQRTVSSSSVGSTSGNMTEVKVESTASLIDFDADPEPPVSTAVPQAQQTIVSQSIAQPASTTNDNNWASFDFGPANKASQANANPLESVLSQLAVPASVPGQISGMPSGSGAPVAASFGNIANLPNATASPAVSAGNAHILPLNSGATFFHPGGVSTAAPGLAPVMPVNGGPSFVKVNETGQWYSVQHQQPVLFPPSGQSTSQQFAPPFGGASANQPWNLSVAPNVQAALSKPSAGAPQVTSTPALVVASAGVSQPSAVEVQSSGRKELPEDLFAATYPSFHGAVPGWQTGLPRGMGFAMQYSNTAVHMPSFIQPSKSTNPFVLNEPPPMQGHTFPSMASLQSALPSMPPSSGLQRTSSLGTPPSAWMPSQSLPYPSALPPQPPSYASAMPPRAYMGQVSSNMPLPGSQGIGGLPTEGGTYGAANMDQQLAGRFSAPAAPSPFSSVGGNPFG >Manes.16G070500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25614438:25624115:1 gene:Manes.16G070500.v8.1 transcript:Manes.16G070500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVKEDEKNERIIRGLLKLPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVISLQEGGNKRARDIYLKEWDPQRQSAPDGSNVDRLRDFIKHVYVDRRYSGERNYGKPSSVKLGDKEDSYQGGSRSPPYEDTYERRYSEMSSPGGRSDDRNRYAYDERRSPGYDQESRQYNDYRRSPARGEIVNDWRKEDRFGNGRRADDRRVSEGDSKLETRSPEPLKDPGASSPPVVRPVREILGDNVVPLHVSEPPKANVVRAADSSAQTQRTVSSSSVGSTSGNMTEVKVESTASLIDFDADPEPPVSTAVPQAQQTIVSQSIAQPASTTNDNNWASFDFGPANKASQANANPLESVLSQLAVPASVPGQISGMPSGSGAPVAASFGNIANLPNATASPAVSAGNAHILPLNSGATFFHPGGVSTAAPGLAPVMPVNGGPSFVKVNETGQWYSVQHQQPVLFPPSGQSTSQQFAPPFGGASANQPWNLSVAPNVQAALSKPSAGAPQVTSTPALVVASAGVSQPSAVEVQSSGRKELPEDLFAATYPSFHGAVPGWQTGLPRGMGFAMQYSNTAVHMPSFIQPSKSTNPFVLNEPPPMQGHTFPSMASLQSALPSMPPSSGLQRTSSLGTPPSAWMPSQSLPYPSALPPQPPSYASAMPPRAYMGQVSSNMPLPGSQGIGGLPTEGGTYGAANMDQQLAGRFSAPAAPSPFSSVGGNPFG >Manes.16G070500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25614597:25624115:1 gene:Manes.16G070500.v8.1 transcript:Manes.16G070500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRVKEDEKNERIIRGLLKLPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVISLQEGGNKRARDIYLKEWDPQRQSAPDGSNVDRLRDFIKHVYVDRRYSGERNYGKPSSVKLGDKEDSYQGGSRSPPYEDTYERRYSEMSSPGGRSDDRNRYAYDERRSPGYDQESRQYNDYRRSPARGEIVNDWRKEDRFGNGRRADDRRVSEGDSKLETRSPEPLKDPGASSPPVVRPVREILGDNVVPLHVSEPPKANVVRAADSSAQTQRTVSSSSVGSTSGNMTEVKVESTASLIDFDADPEPPVSTAVPQAQQTIVSQSIAQPASTTNDNNWASFDFGPANKASQANANPLESVLSQLAVPASVPGQISGMPSGSGAPVAASFGNIANLPNATASPAVSAGNAHILPLNSGATFFHPGGVSTAAPGLAPVMPVNGGPSFVKVNETGQWYSVQHQQPVLFPPSGQSTSQQFAPPFGGASANQPWNLSVAPNVQAALSKPSAGAPQVTSTPALVVASAGVSQPSAVEVQSSGRKELPEDLFAATYPSFHGAVPGWQTGLPRGMGFAMQYSNTAVHMPSFIQPSKSTNPFVLNEPPPMQGHTFPSMASLQSALPSMPPSSGLQRTSSLGTPPSAWMPSQSLPYPSALPPQPPSYASAMPPRAYMGQVSSNMPLPGSQGIGGLPTEGGTYGAANMDQQLAGRFSAPAAPSPFSSVGGNPFG >Manes.01G246700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40685529:40691572:1 gene:Manes.01G246700.v8.1 transcript:Manes.01G246700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGRTFPVDPNLPRWVCQNCRHSLCISGVDSYADKFMNDSTSRSAMQGFSMHGANSVLGSTRMDNSFVVLPKQRPQAQGVPPRPRNGAVQPDMGQSGKAMEESFVVVYKSEPASDGGGTHLPSLEGGPNGQLQPNNAGFHSTITVLKRAFEIATSQTQVEQPLCLECMRVLSDKLDKEVEDVNRDIEAYEACLQRLEGETRDVLSEADFLKEKLKIEEEERKLEAAIEEIEKQNAEVNAELKELELKSVRFKELEERYWQEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKQTNVLNDAFPIHHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFKPKFQYRIKMLPMGSYPRIMDTNNSVYDLKESEGKEEEMRERQRWRKKIETEFEEKSRLFGPVNLFWSTRYDKAMTLFLTCLKDFAEFAYLKDQENNIPPEKRFKLPYKIEVDKVENHSITQSFNKQENWTKALKYTLCNLKWALYWFIGNTNFQPLNAMVSSRVEVPAVSSLYTKRGADSKSESRHIPK >Manes.01G246700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40685529:40691572:1 gene:Manes.01G246700.v8.1 transcript:Manes.01G246700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGRTFPVDPNLPRWVCQNCRHSLCISGVDSYADKFMNDSTSRSAMQGFSMHGANSVLGSTRMDNSFVVLPKQRPQAQGVPPRPRNGAVQPDMGQSGKAMEESFVVVYKSEPASDGGGTHLPSLEGGPNGQLQPNNAGFHSTITVLKRAFEIATSQTQVEQPLCLECMRVLSDKLDKEVEDVNRDIEAYEACLQRLEGETRDVLSEADFLKEKLKIEEEERKLEAAIEEIEKQNAEVNAELKELELKSVRFKELEERYWQEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKQTNVLNDAFPIHHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFKPKFQYRIKMLPMGSYPRIMDTNNSVYDLFGPVNLFWSTRYDKAMTLFLTCLKDFAEFAYLKDQENNIPPEKRFKLPYKIEVDKVENHSITQSFNKQENWTKALKYTLCNLKWALYWFIGNTNFQPLNAMVSSRVEVPAVSSLYTKRGADSKSESRHIPK >Manes.01G246700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40685529:40691572:1 gene:Manes.01G246700.v8.1 transcript:Manes.01G246700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGRTFPVDPNLPRWVCQNCRHSLCISGVDSYADKFMNDSTSRSAMQGFSMHGANSVLGSTRMDNSFVVLPKQRPQAQGVPPRPRNGAVQPDMGQSGKAMEESFVVVYKSEPASDGGGTHLPSLEGGPNGQLQPNNAGFHSTITVLKRAFEIATSQTQVEQPLCLECMRVLSDKLDKEVEDVNRDIEAYEACLQRLEGETRDVLSEADFLKEKLKIEEEERKLEAAIEEIEKQNAEVNAELKELELKSVRFKELEERYWQEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKQTNVLNDAFPIHHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFKPKFQYRIKMLPMGSYPRIMDTNNSVYDLFGPVNLFWSTRYDKAMTLFLTCLKDFAEFAYLKDQENNIPPEKRFKLPYK >Manes.01G246700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40685529:40691572:1 gene:Manes.01G246700.v8.1 transcript:Manes.01G246700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGRTFPVDPNLPRWVCQNCRHSLCISGVDSYADKFMNDSTSRSAMQGFSMHGANSVLGSTRMDNSFVVLPKQRPQAQGVPPRPRNGAVQPDMGQSGKAMEESFVVVYKSEPASDGGGTHLPSLEGGPNGQLQPNNAGFHSTITVLKRAFEIATSQTQIEEEERKLEAAIEEIEKQNAEVNAELKELELKSVRFKELEERYWQEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKQTNVLNDAFPIHHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFKPKFQYRIKMLPMGSYPRIMDTNNSVYDLFGPVNLFWSTRYDKAMTLFLTCLKDFAEFAYLKDQENNIPPEKRFKLPYKIEVDKVENHSITQSFNKQENWTKALKYTLCNLKWALYWFIGNTNFQPLNAMVSSRVEVPAVSSLYTKRGADSKSESRHIPK >Manes.09G163850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35765490:35792011:1 gene:Manes.09G163850.v8.1 transcript:Manes.09G163850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKLDPTVPPGLHLVSAFLAMEPTDCLISMARACGGLVTERVQRFIWDYCISEAVGKGNAPYLKNFIKKLIFEIESSHSTVLEELYEQYGYYMTSLKDDISAKVNTRVCKCISFLFPHGCSGSPSCPVSRKLMVSLYCSLNMLEGDTGCAVWPSSLYLSEFVLSFPHIFSGKTCFEVGCGVGLVGICLSHVKASKVILSDGDLSTLANMKLNLELNQLRADTGKPERSVQDLNMVTYSKQAKAEMDNKSQVNCIHLPWESATKNELQEFMPEIVLGADIIYDPACLPYLVQALALLLNTKKECSDSQTVKYSCQGSFIHCNCINVEANDIDDGEASIACDLDGSKADQIGCQIDIGGVDGLQNGSANNGVKQGPVAYIASVIRNIETFSYFVQLAEQANLAIADITETQRPLELLPYMQSYDRSTQRTLFKNLSEMGGQTQGEVEVIHSWSAPRSLSTSIMYSFAQRDDMEVLDEPLYATFLKVTGAERPYRDEVLSKMESDPNKVVNEVIFGPGEKKYRFCKHIAKQRLPGLPNDLMKKGKHFILIRNPLDILPSFRKVVPPSFLELGLAELVSIYSDLSQMGKPPAVVDAADLQQDPEGTLRGLCKDLEIPFDDAMLRWEAGPKPIDGVWAPWWYKSVHQSTGFNQARKYPQPFPFSLYDLLEKSIPLYNMLRRHVRQMTGLLKSPLPPPDIPIPANEKLLAWVGDEILPRESAKVSVFDSVVQGGDSVWEGLRVYNRKIFKLDEHLDRLFDSAKALAFKNVPTREEVKKAIFITLIRNGMFDNAHIRLSLTRGKKVTSGMSPAFNLYGCTLIVLPEWKPPVYDNSHGITLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNADAGDAIMLDKDGYVSETNATNIFLVKKGRVLTPHADYCLPGITRATVMDLVVKEGFVLEERRISLSEFHTADEVWTTGTMGELTPVVKIDGREIGDGQVGPVTQRLQNAYKGLTEESGVPIPSYQQEEFE >Manes.09G175500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36682914:36685105:1 gene:Manes.09G175500.v8.1 transcript:Manes.09G175500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPNQSPHGSTPDPIPDLDNSNNGLPFSPQQPHLFSDDFDSTCSTPYVSAPSSPGRGAASAPINGGFFYSCPASPMHFAITTTAASYSASAVSSPDIGNGGSVPFGYEFEFSARLGSSGSGQTGSMSSADELFLNGKIRPMKLSTHLERPQVLAPLLDLENEEEGDDEEDDMHGGRIVNGGESTRGRDLRLRDKSLRRRTRSMSPLRSTFEFANDEEDSKKNEIYGSTDESCEGSCLEAIGSNKIEEEAATPSVSASSSRSSSAGRNSKRWVFLKDFLYRSKSEGRSNNKFWYNISFSPAKEKKSMNTAAGVQVPATTKEKLGNASMENQKVKGSGIASGKKPMHGVGKRRIPTSPHELHYKASKAQAEEMRKKTFLPYRQGLLGCLGFSSKGYGAMNGFTRTLNPVSSR >Manes.08G077045.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18450728:18455045:-1 gene:Manes.08G077045.v8.1 transcript:Manes.08G077045.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVTDMAPPIETTNKTHVSQQSSHPPLNERILSSMTRRSVAAHPWHDLEIGPGAPKIFNCVIEIGKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPLDVLIIMQEPVLPGCFLRAKAIGVMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATDAYEAVQHSMTLYADYIVESLRR >Manes.03G069600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10358196:10359138:1 gene:Manes.03G069600.v8.1 transcript:Manes.03G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENGEKSEERSGPTGKYKVVLMLRVMAILATAAATIVMALNKQTKTFVVATIGTTPISATLAAKFHHTPAFVFFVVANGMATVHNLVMLVVEIFAPKLDYKGLRLAIIAILDMMTVGLISGGVNAAVFMAELGKNGNSHARWNKICDKFDSFCDRGGASIIASFIGLLFMLLITTFSIIKLLKPISHHNLP >Manes.06G103100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23588234:23591245:1 gene:Manes.06G103100.v8.1 transcript:Manes.06G103100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHLMYAMGTGLALTTLTDGRFTPHHTLTYTINAFFGPDIGSFSEWLGSNLGSFAQPFGSALADYIHDPFYYVFILGFPLCVLYSWVSRILLQRKILDSVSGVPLSRRQCLFLVAAGCLSHFFLDHLFEENGHSSVYTWILSTGWWKNRAPINPDSVFVVGFLCSCLIGGFIYINRVKSIKFSRKESHLSLKLIIIIASLYCLWCATQIYWVNPRRPAVGEEADLGVLVFLATYFFLPHCLCVISVNPKDHDMQQLPL >Manes.06G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23588234:23591245:1 gene:Manes.06G103100.v8.1 transcript:Manes.06G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHLMYAMGTGLALTTLTDGRFTPHHTLTYTINAFFGPDIGSFSEWLGSNLGSFAQPFGSALADYIHDPFYYVFILGFPLCVLYSWVSRILLQRKILDSVSGVPLSRRQCLFLVAAGCLSHFFLDHLFEENGHSSVYTWILSTGWWKNRAPINPDSVFVVGFLCSCLIGGFIYINRVKSIKFSRKESHLSLKLIIIIASLYCLWCATQIYWVNPRRPAVGEEADLGVLVFLATYFFLPHCLCVISVNPKDHDMQQLPL >Manes.09G077209.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:17956802:17957824:1 gene:Manes.09G077209.v8.1 transcript:Manes.09G077209.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLPESRNQPSSVTSRRPTTSSAAIEATATHGKHATPTTGERKHCRAFSEGPASAYTDPFLTTRETTGHFSHLPCATQVHRRRQAYVDRQTRRVTCRPVVLCWHRVIHEVDFQKSGILFFNLPKYNFNFT >Manes.07G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2246234:2252737:-1 gene:Manes.07G020200.v8.1 transcript:Manes.07G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEQVLMRPGRSLAETPTYAVATLVTVLVFVCFIVERSIYRFGKWLNKTRRKALFASLEKIKEELMLLGLISLFLAQCGRWLSEICVNSSLFNSKFYLCSKEDYDLTEHVLLESSSFFLNKTDIPPKGITTRTSHQCGEGHEPFVSLEGLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWRKWENQASLVADGSLHQAKKKKVMRRQSTFVFHHASHPWSRSRILIWMLCFLRQFKSSIKKSDYLALRLGFITKHKLPLSYDFHKYMVRSMEDEFHGILGISWPLWVYAIVCIFINIHGLNIYFWLSFIPAILVMLVGTKLQHVVSTLALEIVEQTGPSVGTQLKPRDDLFWFGKPEILLRLIQFIIFQNAFEMATFIWSLWGFKERSCFMKNHVMVVIRLASGVLVQFWCSYSTVPLNVIVTQMGSRFKKALVAESVRDSLHSWCKRVKERSKRESVHSVATRSVCSLDTTLEGDEITVASGTISRSSSLGSLNEITVAPPEHAEDAENSNPHHIGLSSRIEEYLNDSTSHPPIIEEDDDASSELFRT >Manes.07G020200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2246234:2252737:-1 gene:Manes.07G020200.v8.1 transcript:Manes.07G020200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEQVLMRPGRSLAETPTYAVATLVTVLVFVCFIVERSIYRFGKWLNKTRRKALFASLEKIKEELMLLGLISLFLAQCGRWLSEICVNSSLFNSKFYLCSKEDYDLTEHVLLESSSFFLNKTDIPPKGITTRTSHQCGEGHEPFVSLEGLEQLHRFLFVLGITHVLYSCLAVGLAMSKIYSWRKWENQASLVADGSLHQAKKKKVMRRQSTFVFHHASHPWSRSRILIWMLCFLRQFKSSIKKSDYLALRLGFITKHKLPLSYDFHKYMVRSMEDEFHGILGISWPLWVYAIVCIFINIHGLNIYFWLSFIPAILVMLVGTKLQHVVSTLALEIVEQTGPSVGTQLKPRDDLFWFGKPEILLRLIQFIIFQNAFEMATFIWSLWGFKERSCFMKNHVMVVIRLASGSGVAIAQCL >Manes.16G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29926726:29937380:-1 gene:Manes.16G093200.v8.1 transcript:Manes.16G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHQRLKQQTVMQYPHPTLLAAPQIEPILSGNLPPGFDSSSCRSVYVGNIHPQATEPLLQEVFSNTGPIEGFKLIRKEKSSYGFVDYFDRRSAALAIVTLNGRHLFGQPIKVNWAYASSQREDTTGHFNVFVGDLSPEVTDATLYACFSVYRSCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLNGKWLGSRQIRCNWATKGASSNDDRQSSDAKSVVDLTNGTSEESQEKTDDVPENNIQYTTVYVGNLAPEVTSVDLHRVFHALGAGTIEDVRVQRDKGFGFVRYSAHAEAALAIKMGNARILYGKPIKCSWGSKPTLPGTSSTPLPPPAVAHMPGLSAADLAAYERQMALSKMAGAQAIMHPQGQHALKQAVMGMGAVGTSQAIYDGMFQNVATTQQLMYYH >Manes.01G018700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4388304:4390470:-1 gene:Manes.01G018700.v8.1 transcript:Manes.01G018700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGELVSNKQVIFRDYVNGFPKDSDMFLTSGNINLKVPEDSDGILVKNLYLSCDPYMRLLMNHIPSQSVFTAYTPGSPVTGLGVGKVLDSKHPDFQEGDLVWGTIGWEEFSLITTPQGFFKIQHTDVPLSYYTGILGMPGLTAYAGFYEVDLLKNKFGFDEAFNYKEEPDLNAALKRYFSQGIDIYFENVRGKMLDAVLLNMRIHGRIAVCGMISQYNLGEPEGVCNLMSIIYKRVNIQGFVAAEYYHQFPKFLEVVLPYIRQGKITYVEDIVEGLENAPAALIGLFRGQNVGKQVVVVARD >Manes.01G018700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4388304:4390470:-1 gene:Manes.01G018700.v8.1 transcript:Manes.01G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGELVSNKQVIFRDYVNGFPKDSDMFLTSGNINLKVPEDSDGILVKNLYLSCDPYMRLLMNHIPSQSVFTAYTPGSPVTGLGVGKVLDSKHPDFQEGDLVWGTIGWEEFSLITTPQGFFKIQHTDVPLSYYTGILGMPGLTAYAGFYEVCAPKKGEYVFISAASGAVGQLVGQFAKLMGCYVVGSAGTPQKVDLLKNKFGFDEAFNYKEEPDLNAALKRYFSQGIDIYFENVRGKMLDAVLLNMRIHGRIAVCGMISQYNLGEPEGVCNLMSIIYKRVNIQGFVAAEYYHQFPKFLEVVLPYIRQGKITYVEDIVEGLENAPAALIGLFRGQNVGKQVVVVARD >Manes.13G135300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34278054:34279017:-1 gene:Manes.13G135300.v8.1 transcript:Manes.13G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFSLQVSLMATDRIPKPSKWFSNKSSLGRISFHRRRSKSSSTPSSPSSPMSPCTPQDNYSKDNELKEVFRHFDIDGDEKISALELRSYFGSIGEYISYEEAQAVIDDLDSDGDELLDFKDFLRLMKRDENEEDGDLKKAFEMFEIEKGSGCITPKGLQRMLHRLGDAKSYDECVAMIHVFDIDGNGVLDFQEFHQMMA >Manes.15G171200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15468454:15474276:1 gene:Manes.15G171200.v8.1 transcript:Manes.15G171200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLHSSTSFINLNDTKSIKTPDDFSGTVCFTQIKLSCRLRAKHAIQEVQLSHDNNFMMKSRSDKWEKLHAISGPHSSNVAKVPVFVMLPLDTMTLGGNLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDAPLKYKWEGYAELVQMVQKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDRSGRRNPEYISLGCDSIPVLRGRTPIQVYTDYMRSFQNRFKDYLGETIVEIQVGMGPCGELRYPAYPESNGTWRFPGIGEFQCYDKYMKASLEAAAEAIGKRDWGRGGPHDAGHYNQFPEETGFFQRGGTWNTDYGQFFLEWYSGKLLDHGDRILAAAKGIFQGSGAKLSGKVAGIHWHYRTRSHAAELTAGYYNTRHHDGYLPVARMFSKQGVVFNFTCMEMRDQEQPESANCSPEGLVRQVKMVTRTAGIELAGENALERYDAGAYAQVLATSRSESGNQLAAFTYLRMNKKLFERSNWRHLVEFVKSMSEQGQNKRLAECDSRGTDLYVGFIKDKNVKRTKEAALA >Manes.01G208500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38031302:38034477:1 gene:Manes.01G208500.v8.1 transcript:Manes.01G208500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVIPIPDEQGSSVAQEKKKSQFSLLPIISFLTNEDDNRKWIHSIKVGVALVLVSLLYFLDPLYKEVGDDNAMWAIMTVVVIFEFYAGATLGKGLNRGMGTILGGGLGCLTATLAEAVGGSGNSIIVGAAMLIFGGAATYCRLKPSIKVRYDYGVMIFILTFSLVAVSGMRDEVIEIARERLLMIVLGFVICLFTSLFIFPIWASDELHDSTISKFDALATSIQGCLEEYFSLDYEMESKPIFSFSKCKAVLNSKSKDESLANFARWEPWHGKFGLTYPWDKYLKIGEVLRELAATILSLKACLQYPGEAPLQTVRESIKEACEEVGSSLASTLKELGESMKKMRKCKTEVQKLKSVRMELSEVTSPSRLTQLENADGLAIASFVFCLMAMVEKLEELAKEVEELGELASFT >Manes.04G110442.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31400223:31403516:-1 gene:Manes.04G110442.v8.1 transcript:Manes.04G110442.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDLGWNYHVSGKIPAELGSLTKLQTLNLFANNLTGEIPASLGNLSSLTFFCVSCNRLLGNIPDDLGKLTSLTVLYVGANQLSGTIPLPLFNISSIRKFSVIQNQLHGNLPENLGITLPNLIFFSVGNNNFSGTIPNSLFNASHLEIVNLGWSNFVGQVPMNLGNLKNLWRLRLHGNALGSNSTNDLAFLDSLTNCTKMKILDLGRNNFGGVLPNSVANLSTEFDLFYIGENQITGTIPAGLENLIKLTGIALHNNLLSGVFPNYFGKFQKLQSLSLGGNRLSGEIPSSIGNLTHLLELYLPDNNFQGSIPSSIGNCQNLYFLDISQNHLNGVIPPEILLVRSFTRLLSLSQNSLTGVLPFEVGKLSNIGALDFSENNLSGQIPATIGDCLSLEFLYLQGNSFQGTIPPSLASLRGLQYLDLSRNKLTGRIPKDLQDIPYLLFLNLSFNDLEGEVPTGGVFRNASAVSLIGNDKLCGGVSELNLPKCPNKRGGLFFHKLEIILTVMPVCILLTLAFLFVYWKRNPKQKSSSSSSMMKQFLKVSYGDICRATNGFSPENLIGSGSFGSVYKGFLDQVERPVAVKVLKLEHKGASKSFISECIVLRNIRHRNLVKMLTCCSSMDYKLNDFKALILEFMGNGSLEKWLHPEIEGKNQSWNLNLLQRLNVAVDVASALQYLHEQCENPIIHCDLKPSNVLFDDDMVAHVSDFGLARLVSTSKSSSQSLSTTTGIKGTIGYAPPEYGMGCPASREGDVYSFGILVLEMFSGRRPTDEIFKDGLNLHSFVKTALPESLMQIVDPNLITAETQETNSGRTATEEERELSNLSKMSAKARSCVVSVLEIGIGCSAESPKGRMSMEDVSRQLHLIRKTFLGI >Manes.18G063326.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5585672:5587075:-1 gene:Manes.18G063326.v8.1 transcript:Manes.18G063326.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSFVTLMIFALFACSALAQAPGAAPAASPRKSPTAAPAPKTTATPTPAPVQAPTQSPPASAPTPTTTNVPEAAPTSSPTSSPPAPSALTPSSGPSVALPPSGVLPPSADTPSQVPPSGAVLNRSGAALAVVGAACLWSLLL >Manes.15G130450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10421551:10421958:1 gene:Manes.15G130450.v8.1 transcript:Manes.15G130450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEAITTLKIIRITHQLSTSLKHGFSRPLRSAY >Manes.13G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3261780:3264963:-1 gene:Manes.13G023300.v8.1 transcript:Manes.13G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWMMSENGGHYCSKKTDDICGDVCGQDSGRVLSMSRIRCILRGIDLKTILFLLILVPTCVFGIYVHGQKISYFLRPLWEKPPRSFNEMPHYYHENVSMENLCKLHGWKIREFPRRVYDAVLFSNELDILTLRWKELYPYVTQFILLESNSTFTGSEKLLYFANHRDEFKFVESRLTYGTVRGRFRKGENPFIEEAYQRVALDQLIKVAGISDDDLLIMSDVDEIPSRHTINLLRWCDDIPSILHLRLKNYLYSFEFLVDSNSWRASIHRYQTGKTRYAHYRQADDILVDAGWHCSFCFRHISEFIFKMRAYSHHDRVRFKHFLNPERIQRKICEGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLQNADKYKYLLPGNCMRESG >Manes.06G173400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29756695:29760081:1 gene:Manes.06G173400.v8.1 transcript:Manes.06G173400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGRKQGALSPCAACKLLRRRCAHDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDSLQTQLAIAQAEVVHMRMKQYGSSSNPGTMDLVIDQANAGESMWSC >Manes.06G173400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29756695:29760081:1 gene:Manes.06G173400.v8.1 transcript:Manes.06G173400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGRKQGALSPCAACKLLRRRCAHDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDSLQTQLAIAQAEVVHMRMKQYGSSSNPGTMDLVIDQANAGESMWSC >Manes.06G173400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29756829:29759958:1 gene:Manes.06G173400.v8.1 transcript:Manes.06G173400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGRKQGALSPCAACKLLRRRCAHDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQDVMGSEILKELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDSLQTQLAIAQAEVVHMRMKQYGSSSNPGTMDLVIDQANAGESMWSC >Manes.06G173400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29756695:29760081:1 gene:Manes.06G173400.v8.1 transcript:Manes.06G173400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGRKQGALSPCAACKLLRRRCAHDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDSLQTQLAIAQAEVVHMRMKQYGSSSNPGTMDLVIDQANAGESMWSC >Manes.01G101600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30175248:30180184:1 gene:Manes.01G101600.v8.1 transcript:Manes.01G101600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFAAQMVQEWQEAYMDYNYLKTILKDVLHFRQRNSPSAPAAAATSKGSLKRRVSLYRAFSGLTSRYRGSPRKNINEDEVILTSAVKEEGGEGRYQTMFMNASEEGGEYELMFFRRLDDEFNKVVNFYKKKVEEVMAEAEDLTRQMDALIALRIKVENPELGGTKMENVGISLNSVSIVHPINGGTRTPEWSQMEVIPEVEMSTEANSVNDKRGSDDESSASSRQSKAKHGILGFEPASLEVLDRVKINIEPETPVSTMKNITASSKSDLSYSKEELRKAEGLMARAFIVFYQKLRLLKSYCFLNQLAFSKIMKKYDKITSRNASKAYLNMVDASYLGSSEEVTKLMERVEATFIKHFANGNHRKGMNTLRPKAKREKHRTTFSLGFFSGCSFALVVAVIVLIHARDVLNSPGGPKYMENIFPLYSFFGFIVLHMLLYAANIYFWKRYRVNYTFIFGFKQGTELGYRDVLLLGSGLAVLTLGGVLSNLDMEMDPRTRDFKAATELIPLGLLTLVLLITFCPFNIMYRSSRFFLIQCALHCLLAPLYKVTLPDFFLADQLTSQVQAFRNLEFYICYYGWGDFKRRSNKCIESKVFESFYFVVAIIPYWIRFLQCLRRFFEEKDSMQIYNSVKYLSTIVAVALRTCYDLKRGMTWKILAAATSGFATIISTYWDIVIDWGLLRRNSRNPWLRDKLVIPNKSVYFVAMGLNVVLRLAWMQTVLGFRQAPFLHRTALTAIVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYEDDEDKSI >Manes.12G064400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6848657:6851237:1 gene:Manes.12G064400.v8.1 transcript:Manes.12G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRATYARTGSFRPENLGQNALAMIGNLCFTIFVLGVLIFTIIAATYEPEDPLFHPSTKINTFLTSTSNATFRSDETVVKTGEDFLASNQTAFATFINITDVETPKDDGSSTPELTTENCVGPIDCRDPEVFHLMMRKTIEQFKDIHFYRFGKPVPANNGSSCDMAWRFRPKEGKTAAFYKDYRRFVITRSENCTLSVVGIGDYHTGVNARKRKKNQKPGFEKAPKQQQQAVLLPVVGETVNDSLPVVESEKSFSRGKYIIYSGGGDRCKSMNHYLWSFLCALGEAQYLNRTLIMDLTICLNSMYSSSNQDEEGKDFRFYFDFEHLKEAASVLDQAQFWEDWGKWHRKDKLSLHLVEDFGITPMKLAEVQDTLIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLIWKSRRLMDIVSAIASRLNWDYDAVHIERGDKAKNKELWPNLAVDTSPDALLSTLANKIEDGRNIYIATNEPDTSFFDPLKDKYTTHFLDEYKDLWDENSEWYSETTKLNKGVPVEFDGYMRVSVDTEVFLRGKKQIETFNDLTNDCKDGINTCNTAAS >Manes.14G068600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5692306:5698080:-1 gene:Manes.14G068600.v8.1 transcript:Manes.14G068600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKHKRRGRKPKNPTPITTTAQPLDPMAANTTDDDIFSVSNVEIINPTTPTSTTNNHHHHGGERRRGRPKKRPKYSPEKPQKPPPSLINGEVGLPQPVGGVARVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRILTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWKGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGHCVGIAFQSLKHEDVENIGYVIPTPVITHFIQDYEKKGAYTGFPFLGIEWQKMENPDLRMAMGMKPDQKGVRIRRIDPTAPESEVLKPSDIILSFDGVDIANDGTVPFRHGERIGFSYLISQKYTGDSAKIKVLRDSNILNFDIKLSTHRRLIPPHVKGRPPSYYIIAGFVFSTVSVPYLRSEVCKFISTFLVRGVG >Manes.14G068600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5691878:5698080:-1 gene:Manes.14G068600.v8.1 transcript:Manes.14G068600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKHKRRGRKPKNPTPITTTAQPLDPMAANTTDDDIFSVSNVEIINPTTPTSTTNNHHHHGGERRRGRPKKRPKYSPEKPQKPPPSLINGEVGLPQPVGGVARVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRILTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWKGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGHCVGIAFQSLKHEDVENIGYVIPTPVITHFIQDYEKKGAYTGFPFLGIEWQKMENPDLRMAMGMKPDQKGVRIRRIDPTAPESEVLKPSDIILSFDGVDIANDGTVPFRHGERIGFSYLISQKYTGDSAKIKVLRDSNILNFDIKLSTHRRLIPPHVKGRPPSYYIIAGFVFSTVSVPYLRSEYGKDYEFEAPVKLLDKLLHSMPQSPEEQLVVVSQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLANMVESCDDEFLKFELEYEQIVVLRTKAAKAATVDILATHCIPSAMSDDLKPWIVS >Manes.14G068600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5691751:5698087:-1 gene:Manes.14G068600.v8.1 transcript:Manes.14G068600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKHKRRGRKPKNPTPITTTAQPLDPMAANTTDDDIFSVSNVEIINPTTPTSTTNNHHHHGGERRRGRPKKRPKYSPEKPQKPPPSLINGEVGLPQPVGGVARVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRILTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWKGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGHCVGIAFQSLKHEDVENIGYVIPTPVITHFIQDYEKKGAYTGFPFLGIEWQKMENPDLRMAMGMKPDQKGVRIRRIDPTAPESEVLKPSDIILSFDGVDIANDGTVPFRHGERIGFSYLISQKYTGDSAKIKVLRDSNILNFDIKLSTHRRLIPPHVKGRPPSYYIIAGFVFSTVSVPYLRSEYGKDYEFEAPVKLLDKLLHSMPQSPEEQLVVVSQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLANMVESCDDEFLKFELEYEQIVVLRTKAAKAATVDILATHCIPSAMSDDLKPWIVS >Manes.15G013800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1168432:1176683:1 gene:Manes.15G013800.v8.1 transcript:Manes.15G013800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNERKTIDLEQGWEFMQKGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKASNWILEDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSIFKQHVTVEGTALVKQAEDAASNKKADKKDIVGLQEQVFVRKVIVLHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNLIGKFEPKTLELIVTTYQASALLLFNSSDRLSYSEIMSQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKSISSTDYFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNLFRYLA >Manes.08G079262.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22671907:22672955:1 gene:Manes.08G079262.v8.1 transcript:Manes.08G079262.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSDCKCGNGCGGCKMYPDMSFSEKTNTETLVLGVAPAKALHFEGDEMSSGSENGGCNCKCGENCTCNPCNCK >Manes.01G190100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36598248:36603995:-1 gene:Manes.01G190100.v8.1 transcript:Manes.01G190100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSEDGEVKASLDVCQSKGRKKKKKDGGVGCSGEDDVVEEAETGCWFKFRFIGSCISSRSKIDSSVSGTSGESKSTNDTSRDQPAAPAISSTTTSNTESNSSTSKLEEELKIASRLRKFAFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNSKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMISGRRSMDKNRPIGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRIASSPNAKNSSRIQGGLLRNGQQQRSLSIPNGSHPSPYHHQYPHQSPKPIGKP >Manes.01G190100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36598248:36603995:-1 gene:Manes.01G190100.v8.1 transcript:Manes.01G190100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSEDGEVKASLDVCQSKGRKKKKKDGGVGCSGEDDVVEEAETGCWFKFRFIGSCISSRSKIDSSVSGTSGESKSTNDTSRDQPAAPAISSTTTSNTESNSSTSKLEEELKIASRLRKFAFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNSKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTVLLEMISGRRSMDKNRPIGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQADRIASSPNAKNSSRIQGGLLRNGQQQRSLSIPNGSHPSPYHHQYPHQSPKPIGKP >Manes.12G087000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13367771:13375132:-1 gene:Manes.12G087000.v8.1 transcript:Manes.12G087000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGWTLYEVLRYAPEHNWIAYEQALKTNPVLAKMAISGIVYSMGDWIAQCYEGKPLFEFDLTRMFRSGLVGFTLHGSLSHYYYQFCEALFPFEEWWVVPAKVAFDQTMWSAVWNSIYFTVLGFLRLESPENIFSELRATFWPMLTAGWKLWPFAHLITYGLIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEATLEESANSTSNPTEVSFPFHLQVMRYFGRENS >Manes.16G018320.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1920359:1921620:-1 gene:Manes.16G018320.v8.1 transcript:Manes.16G018320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILEDDQIQHKTHRVVGTYGYMSPEYAVLGKFSVKSDVFSFGVILLEIISGKKSNGFHQEDPSLTLIGHVWELWQEDKAMEIVDSTLKESYNSHEVLKCIHIALLCVQEDAVDRPTISAIIVMLDSEISLPCPKQPAFIFRTSCNSSPIWEGPSSVNEMSITETVAR >Manes.03G068050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9564970:9566855:1 gene:Manes.03G068050.v8.1 transcript:Manes.03G068050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGKPHVVCVPFPLQGHIIPMLKLAKLLHYKGFHVTFVNTQFNHQRILESRGSDDALDGLPDFHFATIPLQHPPSNCHTSLALNFLALREICRKSFLPLFRDLVTKLNDTSSSNPPVSCILSDAILNHSLELSQELNIPNVFLWNMGASGFLSFKHSRDQIKQCLAFLKDPSNKAAANENLDSMMEWIPGMKGAQVRDLSKFIKTKDQVDSMAESSGGELERAAKASAVIFHTFDALESKVLNSLLPMFQGVYSIGPLQLLLDQIPNGHYDSIEGNLWNEEPECIKWLDSKEPNSVIYINFGSTTVMTVEQLVELAWGLANSNHNFLWITRPDLIMGDSAVLPPEFLLETKERGLIASWCPQEQVLNHPSTGGFITHCGWNSIVESISAGIPMICWPFFGEHFVNCRKSCNEWGIGVELSSNFQRDEVEKLVEELLSGEKGKKMKEKAMEWKKLSEEATSPNGSSSLNLNNLVNEVLLSKN >Manes.13G001700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:743744:745708:1 gene:Manes.13G001700.v8.1 transcript:Manes.13G001700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINFFLICSLVFYTCNASATFSSSPLPKFPAILVFGDSVVDTGNNNYIKTWVKANYPPYGQDYSDHLPTGRFSNGKLLPDMLASILGIKDSVPPFLDPTLSENDLITGVNFASAGSGFDDLTSAIPNVVSFSTQIQLFKEYIERLKGIAGEVKAMEITNSALVIINAGTNDWVNFYDIPTRKLHFNASEYQDFELSKLHGFIKELYKSGCRAMIISGLPPIGCLPVQRTPSVEKPEGERHCLEDQNRDCEAYNQKLVKLLPEIQATLPGTKIGYNDVYEIFVDIIIHHQKYGFEETTKGCCASGSLHASQFCDTTTPTCENPSKFVFWDMIHPTQTAYEYLVAQVVNTLLPNFL >Manes.06G180101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30801303:30809359:-1 gene:Manes.06G180101.v8.1 transcript:Manes.06G180101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSASVSRTLTATTASLRNSTSFKFRSQSHREIIALPSSTKSKTSKSISVRAANNEKDDGKENNEATRTQKQPLSLEDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTRPDDKEALDALLIREGPMCEFAIPGAQNTTVLVVGGTSRIGRIVVRKLMLRGYTVKVLVRKTDQEVIDSLPRSVEIVNGDVGDPSTLWAAVEGCNKIIYCATARSAITGDLFRVDHQGVSNLTKAFQDYNNKLAQLRAGKSSKSKLLLAKFKSPDSLNGWEVRQGTYFQDAVISKYDAGMDAKFEFTETGDAVFSGYVFTRGGYVELSKKLSLPLGYTLDRYEGLVLSVGGNGRSYVLILEAGPSADTTQSKLYFARISTKVGFCRVRVPFSSFRPLKPDDPPLDPFLVHTLTIRFEPRRQRPVEGPSGAKQDLRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPTRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKCRKSLVANVRSYLTKETGFLRELAVLMWPISV >Manes.06G180101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30801303:30809359:-1 gene:Manes.06G180101.v8.1 transcript:Manes.06G180101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSASVSRTLTATTASLRNSTSFKFRSQSHREIIALPSSTKSKTSKSISVRAANNEKDDGKENNEATRTQKQPLSLEDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTRPDDKEALDALLIREGPMCEFAIPGAQNTTVLVVGGTSRIGRIVVRKLMLRGYTVKVLVRKTDQEVIDSLPRSVEIVNGDVGDPSTLWAAVEGCNKIIYCATARSAITGDLFRVDHQGVSNLTKAFQDYNNKLAQLRAGKSSKSKLLLAKFKSPDSLNGWEVRQGTYFQDAVISKYDAGMDAKFEFTETGDAVFSGYVFTRGGYVELSKKLSLPLGYTLDRYEGLVLSVGGNGRSYVLILEAGPSADTTQSKLYFARISTKVGFCRVRVPFSSFRPLKPDDPPLDPFLVHTLTIRFEPRRQRPVEGPSGAKQDLRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPTRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQGISCADVADICVKALHDPTARNKSFDVCYEYVAEQGRELYELVAHLPDKANNYLTPALSVLEKNT >Manes.01G218100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38699042:38701438:1 gene:Manes.01G218100.v8.1 transcript:Manes.01G218100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQKQVMVVGVDDSEHSLYALGWTLDHFFVPFVSNSPFNLVVVHAKPTASSAVGLAGPGAAEVLPYVDSDLKRIAARVIEKAKEICTSKLVNDVIYEVGEGDARNVLCEAVERHNASILVVGSHGYGAIKRAVLGSVSDYCSHHAHCSVMIVKRPKIKH >Manes.03G137702.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26435207:26438173:-1 gene:Manes.03G137702.v8.1 transcript:Manes.03G137702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSKKTTIMDGSQRFYDQTMGDQESYCWHPNQNVGHYPFSDDRSQEMHLSVETFEQQCTLESSSGTSSYPVHNSPSTGSFSPNESAISQLNSQSCLLDLHDSSENTSCSPDRDSYVTHKLRELETAMLGPIDDLDIYNITTPASQITSEEEKFKFLVEMMSRGDLKAALCACAQAVANNDMLTFEWLMTELRQKVSVSGEPIQRLGAYMVEGLVARLASSGSSIYKALRCKEPASAELFSYMHILYEVCPYFKFGYMSANGAIAEAMKDERKVHIIDFQISQGSQWVTLIQALAAQPGGPPHIRLTGIDDSTSAYARGGGLDIVGQRLSRLAKSCKVPFEFHAAAVSASEIQLENLGIRPGEALAVNFALTLHHLPDESVGTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLLRFTEALNYYLAVFESIDVTLPREHKERINVEQHCLAREVVNIIACEGAERVERHEPLGKWRSRFTMAGFTPYPLSTYVNATIKTLLESYSNKYTLEERDGALYLGWMNRPLVASCAWR >Manes.01G067400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26837275:26838396:1 gene:Manes.01G067400.v8.1 transcript:Manes.01G067400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQLSDPFPLQSSSFSIDSNQPSPLSDASSAAANCSAHSDNEELLLASSHPKKRAGRKIFKETRHPIYRGVRKRKNNRWVCELREPNKKSRIWLGTYPTPEMAARAHDVAALALRGKSACLNFADSAWKLPVPLSTKASDIRNAAHQAAELFRTQEFSGEVTMQNSTTNSGEEDADKASSSDQKHEHATYIDEEAVFDMPGLLEDMAKGLLLSPPRYGGTDCMSWNDVEPTDIDVSLWNFSF >Manes.02G187500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15019885:15027746:1 gene:Manes.02G187500.v8.1 transcript:Manes.02G187500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERKVGALVFVWLIFLLLPLWLALCNMEGDALHSLRSNLIDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPVPGDLGNLTNLVSLDLYLNSFTGPIPESLGKLSKLRFLDLSNNRLSGVVPDNGSFSMFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPPPISSPGGNGATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFCNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEVLRQDVELAPHPNSDWIVDSTENLHAVELSGPR >Manes.02G187500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15019885:15027746:1 gene:Manes.02G187500.v8.1 transcript:Manes.02G187500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERKVGALVFVWLIFLLLPLWLALCNMEGDALHSLRSNLIDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYFRLNNNSLTGRIPMSLINISSLQVLDLSNNRLSGVVPDNGSFSMFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPPPISSPGGNGATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFCNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEVLRQDVELAPHPNSDWIVDSTENLHAVELSGPR >Manes.02G187500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15019885:15027746:1 gene:Manes.02G187500.v8.1 transcript:Manes.02G187500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERKVGALVFVWLIFLLLPLWLALCNMEGDALHSLRSNLIDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPVPGDLGNLTNLVSLDLYLNSFTGPIPESLGKLSKLRFLRLNNNSLTGRIPMSLINISSLQVLDLSNNRLSGVVPDNGSFSMFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPPPISSPGGNGATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFCNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEVLRQDVELAPHPNSDWIVDSTENLHAVELSGPR >Manes.02G187500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15019885:15027746:1 gene:Manes.02G187500.v8.1 transcript:Manes.02G187500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERKVGALVFVWLIFLLLPLWLALCNMEGDALHSLRSNLIDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLDLSNNRLSGVVPDNGSFSMFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPPPISSPGGNGATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFCNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEVLRQDVELAPHPNSDWIVDSTENLHAVELSGPR >Manes.02G187500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15023092:15027747:1 gene:Manes.02G187500.v8.1 transcript:Manes.02G187500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITGLSTYHCAKRELYSNNISGPVPGDLGNLTNLVSLDLYLNSFTGPIPESLGKLSKLRFLRLNNNSLTGRIPMSLINISSLQVLDLSNNRLSGVVPDNGSFSMFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPPPISSPGGNGATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFCNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEVLRQDVELAPHPNSDWIVDSTENLHAVELSGPR >Manes.05G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2434516:2437237:-1 gene:Manes.05G028300.v8.1 transcript:Manes.05G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSESPIESVMEKISEKIHAHDSSSSSDSDSESNKKPDSPSSVKAKIFRLFGREKPVHKVFGGGKPADVLLWRNKKISAGVLGFATAIWVLFELIEYNLLSLVCHILILSLAVLFLWSNAHTFIHKTPPRIPQVHLPEEPFLQVASALTYEINRGFAVLRSIASGKDLKKFLIVIAALWILSIVGSWCNFLTLFYTTFVFLHTVPVLYEKYEDKIDPFAEKAAIEMKKQYAVFDAKVLSKIPVGALKAKRV >Manes.02G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6529462:6533063:-1 gene:Manes.02G083100.v8.1 transcript:Manes.02G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGDPKFPGIIDLNHHDNAYHELSQGFYRKLGENSNMSIDSYDSLQTSNGGGSVTMSIKSIGSNDSHTRILNHQGLRRRANDNYSIQQSVNDRGRVTHDLNDDALAHALMDSNPPTEGLENFDEWTIDLRKLDMGAAFVQGAFGKLYRGTYNENDPERVKLMEQQFQQEVMILATLKHPNIVRFIGACRKPMVWCTVREYAKGGSVRQMAYVHGLSLIHRDLKSDNLLIFRDKSIKIADFGVARIEVQTEGMTPEMGTYCWMAPEMIQHRPYTQKVDVYSFGIVLWKLITGMLPFQDKTAVQAAVAVVNKGVRPVIPHDCLPALGEIMSRCWDANHDFRPLFVEVVKMLKSAETQIMTNVHKARFRCCITMPRTLD >Manes.18G020950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2063041:2063169:1 gene:Manes.18G020950.v8.1 transcript:Manes.18G020950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICKGTGETINDKDHCSQFKGEKVIQENEVLEVSVEKGMQNG >Manes.16G123400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32529321:32534000:-1 gene:Manes.16G123400.v8.1 transcript:Manes.16G123400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCLNSEPVFDEGDDYEVEEDCSAEEHENETGERYLKKEPLPPTVGLEFDSFDEAYDFYNVYAKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMIVIRLVDSKRWRIVEVELQHNHPVNPQIKRFYKSHKKMIIAAQKAQPPPEPVTEVHTIKLYRTALPDAGGDGYSNFDKRDGANLVDHSKHLKLKEGDAHAVYNYFCRMKLTSPNFFYLMDLDDDGCLRNVFWADARSRAAYGYFHDTVAIDTTCLANKYEIPLISFVGVNHHGQPSLLGCGFLGHESVEYFVWIFKAWLKCMQGRPPQVIITDQCKPLQSAVSEVFPEARHCYCLLYIMQKVPERLGGLKGFEAIKRQLNKAVYNSLKIAEFETSWADMIRQHGLANNKWLQTLYEERQRWVPVYLKDIFFAGMIRLQENEGSNAFFDGYVHKHTSFKEFVDKYDLALHRKHLKEAIADLESRNSNFELKTKCNFEAQLSKVYTKEIFKKFQSEVEGVYSCFNTRQVSVNGPIVTYIVKERVEMEGSEKEVRHFEVLYETSQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEIPARYVLPRWSKDFKLKCSPDHVSSNIDVYNPIYWQIHPYKAAIPIVEGGAQSPEHYKIALQELDDLLNKLNLVDN >Manes.16G123400.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32529321:32534000:-1 gene:Manes.16G123400.v8.1 transcript:Manes.16G123400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCLNSEPVFDEGDDYEVEEDCSAEEHENETGERYLKKEPLPPTVGLEFDSFDEAYDFYNVYAKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMIVIRLVDSKRWRIVEVELQHNHPVNPQIKRFYKSHKKMIIAAQKAQPPPEPVTEVHTIKLYRTALPDAGGDGYSNFDKRDGANLVDHSKHLKLKEGDAHAVYNYFCRMKLTSPNFFYLMDLDDDGCLRNVFWADARSRAAYGYFHDTVAIDTTCLANKYEIPLISFVGVNHHGQPSLLGCGFLGHESVEYFVWIFKAWLKCMQGRPPQVIITDQCKPLQSAVSEVFPEARHCYCLLYIMQKVPERLGGLKGFEAIKRQLNKAVYNSLKIAEFETSWADMIRQHGLANNKWLQTLYEERQRWVPVYLKDIFFAGMIRLQENEGSNAFFDGYVHKHTSFKEFVDKYDLALHRKHLKEAIADLESRNSNFELKTKCNFEAQLSKVYTKEIFKKFQSEVEGVYSCFNTRQVSVNGPIVTYIVKERVEMEGSEKEVRHFEVLYETSQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEIPARYVLPRWSKDFKLKCSPDHVSSNIDVYNPIYWQIHPYKAAIPIVEGGAQSPEHYKIALQELDDLLNKLNLVDN >Manes.16G123400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32529321:32534000:-1 gene:Manes.16G123400.v8.1 transcript:Manes.16G123400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCLNSEPVFDEGDDYEVEEDCSAEEHENETGERYLKKEPLPPTVGLEFDSFDEAYDFYNVYAKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMIVIRLVDSKRWRIVEVELQHNHPVNPQIKRFYKSHKKMIIAAQKAQPPPEPVTEVHTIKLYRTALPDAGGDGYSNFDKRDGANLVDHSKHLKLKEGDAHAVYNYFCRMKLTSPNFFYLMDLDDDGCLRNVFWADARSRAAYGYFHDTVAIDTTCLANKYEIPLISFVGVNHHGQPSLLGCGFLGHESVEYFVWIFKAWLKCMQGRPPQVIITDQCKPLQSAVSEVFPEARHCYCLLYIMQKVPERLGGLKGFEAIKRQLNKAVYNSLKIAEFETSWADMIRQHGLANNKWLQTLYEERQRWVPVYLKDIFFAGMIRLQENEGSNAFFDGYVHKHTSFKEFVDKYDLALHRKHLKEAIADLESRNSNFELKTKCNFEAQLSKVYTKEIFKKFQSEVEGVYSCFNTRQVSVNGPIVTYIVKERVEMEGSEKEVRHFEVLYETSQVDIRCICSLFNYKGYLCRHALNVLNYNGVEEIPARYVLPRWSKDFKLKCSPDHVSSNIDVYNPIYWQIHPYKAAIPIVEGGAQSPEHYKIALQELDDLLNKLNLVDN >Manes.15G064400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4896078:4900679:-1 gene:Manes.15G064400.v8.1 transcript:Manes.15G064400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKPKSSVEIVRQTRSLLLLLNGSGDFRASKRDEKMDELNKHIRELKLILYGSSDSEPVAEACAQLTQEFFKENTMHLLIVSLPKLGLEARRDATQVVANLQRQQVQSRMIASAYLEANLNLIDILISGYEDPDLALHYGGMLRECIRHQSIARYVLESGHIKKFFNYIQLPNFDIAADAMATFKELLIRHKSTVAGFLSKNYDWDFNSKLLESDSYITKRQAVKLLGEILLDRSNSAVMVRYVSSKDNLRILMNLLRESSKNIQMDAFHVFKLFAANQNKPPEIVSILIANRSKLLRFLAEFKMDREDEQFEEDKTQVVKEIAELKQASSP >Manes.15G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4896078:4900679:-1 gene:Manes.15G064400.v8.1 transcript:Manes.15G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKPKSSVEIVRQTRSLLLLLNGSGDFRASKRDEKMDELNKHIRELKLILYGSSDSEPVAEACAQLTQEFFKENTMHLLIVSLPKLGLEARRDATQVVANLQRQQVQSRMIASAYLEANLNLIDILISGYEDPDLALHYGGMLRECIRHQSIARYVLESGHIKKFFNYIQLPNFDIAADAMATFKELLIRHKSTVAGFLSKNYDWFFQDFNSKLLESDSYITKRQAVKLLGEILLDRSNSAVMVRYVSSKDNLRILMNLLRESSKNIQMDAFHVFKLFAANQNKPPEIVSILIANRSKLLRFLAEFKMDREDEQFEEDKTQVVKEIAELKQASSP >Manes.18G143400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32864500:32883492:1 gene:Manes.18G143400.v8.1 transcript:Manes.18G143400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISIPYGGSITHRSENPPRRLRITQATLVERSDVYINSYMSYVDCKIGDKAPIYICSLNKGSIPLEVEIEVSEDITFRVIGYRGVHLSGYYISQITKAKTHLCELKAAEHARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGINLEGLQQQFNIVEKACESQKDAVVQDSEISEPPAMIEFENEEVAGPKYHGSHGEGITSNMEGSQQQFNIVQKACEIQKDAVIQDTEISEPPAMIEFENGEVASLKCHGEGITSNIECSQQEFSIVGHIGYVQKDEALKQDDKISEPLVLQSDKVACVNNLEKGIDSNMAGSQQQNNFERTSDFHKVTNEFWNDDGTSIRHLERDVYSDIQATISLENDKVVNTKHPVEDGAGDTEVSQQQLDIVERTHDIQKDETIVHDAENLKLPVIVEFENEKVATIMHLEENIADIDELRNEEGVCINYLEDIVSDSEGSPQEFVIVEMPCDVQKDVQDVDIGKLVGEFLNKDDIEALPSADPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEMVCVNQLEEDIASDIESSKQQFVIVKRTCDVQNNEVAKDDGILGNLGEGLLNKDEFAVLPNANLERDKAELINRKADISPTMTIEQCQAVSISSTVTVIKASSYEREKKKKSRKQKSRIVGLISNENQDQVRGNNGGTCSNDYDRQFDGDLDLMEKRNVDASVSMCNDKINDASVPYTELDVRNRQLEKKKRKRKHQKVKRVGDDYKIRHVDDGLVLEGMSEGEVGACMTHDKIHDVSGPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVPDDNIHHLSFPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKMRHVDDLLHKETIEVEVDVSMISEKLNHTFVPSTGLDVGHMQIAEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKMRRKLEEHNGVASYSNALDAPTAIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMTAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKRGHKDLKNIEDDCRNREEISQEQKVVAGKMHGKSSEGQTNSRPIVLALCNSQTRIHKK >Manes.18G143400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32864499:32883492:1 gene:Manes.18G143400.v8.1 transcript:Manes.18G143400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISIPYGGSITHRSENPPRRLRITQATLVERSDVYINSYMSYVDCKIGDKAPIYICSLNKGSIPLEVEIEVSEDITFRVIGYRGVHLSGYYISQITKAKTHLCELKAAEHARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGINLEGLQQQFNIVEKACESQKDAVVQDTMIEFENEEVAGPKYHGSHGEGITSNMEGSQQQFNIVQKACEIQKDAVIQDTEISEPPAMIEFENGEVASLKCHGEGITSNIECSQQEFSIVGHIGYVQKDEALKQDDKISEPLVLQSDKVACVNNLEKGIDSNMAGSQQQNNFERTSDFHKVTNEFWNDDGTSIRHLERDVYSDIQATISLENDKVVNTKHPVEDGAGDTEVSQQQLDIVERTHDIQKDETIVHDAENLKLPVIVEFENEKVATIMHLEENIADIDELRNEEGVCINYLEDIVSDSEGSPQEFVIVEMPCDVQKDVQDVDIGKLVGEFLNKDDIEALPSADPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEMVCVNQLEEDIASDIESSKQQFVIVKRTCDVQNNEVAKDDGILGNLGEGLLNKDEFAVLPNANLERDKAELINRKADISPTMTIEQCQAVSISSTVTVIKASSYEREKKKKSRKQKSRIVGLISNENQDQVRGNNGGTCSNDYDRQFDGDLDLMEKRNVDASVSMCNDKINDASVPYTELDVRNRQLEKKKRKRKHQKVKRVGDDYKIRHVDDGLVLEGMSEGEVGACMTHDKIHDVSGPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVPDDNIHHLSFPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKMRHVDDLLHKETIEVEVDVSMISEKLNHTFVPSTGLDVGHMQIAEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKMRRKLEEHNGVASYSNALDAPTAIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMTAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKRGHKDLKNIEDDCRNREEISQEQKVVAGKMHGKSSEGQTNSRPIVLALCNSQTRIHKK >Manes.18G143400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32864500:32883492:1 gene:Manes.18G143400.v8.1 transcript:Manes.18G143400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISIPYGGSITHRSENPPRRLRITQATLVERSDVYINSYMSYVDCKIGDKAPIYICSLNKGSIPLEVEIEVSEDITFRVIGYRGVHLSGYYISQITKAKTHLCELKAAEHARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGINLEGLQQQFNIVEKACESQKDAVVQDSEISEPPAMIEFENEEVAGPKYHGSHGEGITSNMEGSQQQFNIVQKACEIQKDAVIQDTEISEPPAMIEFENGEVASLKCHGEGITSNIECSQQEFSIVGHIGYVQKDEALKQDDKISEPLVLQSDKVACVNNLEKGIDSNMAGSQQQNNFERTSDFHKVTNEFWNDDGTSIRHLERDVYSDIQATISLENDKVVNTKHPVEDGAGDTEVSQQQLDIVERTHDIQKDETIVHDAENLKLPVIVEFENEKVATIMHLEENIADELRNEEGVCINYLEDIVSDSEGSPQEFVIVEMPCDVQKDVQDVDIGKLVGEFLNKDDIEALPSADPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEMVCVNQLEEDIASDIESSKQQFVIVKRTCDVQNNEVAKDDGILGNLGEGLLNKDEFAVLPNANLERDKAELINRKADISPTMTIEQCQAVSISSTVTVIKASSYEREKKKKSRKQKSRIVGLISNENQDQVRGNNGGTCSNDYDRQFDGDLDLMEKRNVDASVSMCNDKINDASVPYTELDVRNRQLEKKKRKRKHQKVKRVGDDYKIRHVDDGLVLEGMSEGEVGACMTHDKIHDVSGPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVPDDNIHHLSFPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKMRHVDDLLHKETIEVEVDVSMISEKLNHTFVPSTGLDVGHMQIAEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKMRRKLEEHNGVASYSNALDAPTAIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMTAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKRGHKDLKNIEDDCRNREEISQEQKVVAGKMHGKSSEGQTNSRPIVLALCNSQTRIHKK >Manes.18G143400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32864500:32883492:1 gene:Manes.18G143400.v8.1 transcript:Manes.18G143400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISIPYGGSITHRSENPPRRLRITQATLVERSDVYINSYMSYVDCKIGDKAPIYICSLNKGSIPLEVEIEVSEDITFRVIGYRGVHLSGYYISQITKAKTHLCELKAAEHARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGINLEGLQQQFNIVEKACESQKDAVVQDSEISEPPAMIEFENEEVAGPKYHGSHGEGITSNMEGSQQQFNIVQKACEIQKDAVIQDTEISEPPAMIEFENGEVASLKCHGEGITSNIECSQQEFSIVGHIGYVQKDEALKQDDKISEPLVLQSDKVACVNNLEKGIDSNMAGSQQQNNFERTSDFHKVTNEFWNDDGTSIRHLERDVYSDIQATISLENDKVVNTKHPVEDGAGDTEVSQQQLDIVERTHDIQKDETIVHDAENLKLPVIVEFENEKVATIMHLEENIADELRNEEGVCINYLEDIVSDSEGSPQEFVIVEMPCDVQKDVQDVDIGKLVGEFLNKDDIEALPSADPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEMVCVNQLEEDIASDIESSKQQFVIVKRTCDVQNNEVAKDDGILGNLGEGLLNKDEFAVLPNANLERDKAELINRKADISPTMTIEQCQAVSISSTVTVIKASSYEREKKKKSRKQKSRIVGLISNENQDQVRGNNGGTCSNDYDRQFDGDLDLMEKRNVDASVSMCNDKINDASVPYTELDVRNRQLEKKKRKRKHQKVKRVGDDYKIRHVDDGLVLEGMSEGEVGACMTHDKIHDVSGPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVPDDNIHHLSFPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKMRHVDDLLHKETIEVEVDVSMISEKLNHTFVPSTGLDVGHMQIAEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNSREVVESSVLEKKGKIKKGKSKMRRKLEEHNGVASYSNALDAPTAIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMTAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKRGHKDLKNIEDDCRNREEISQEQKVVAGKMHGKSSEGQTNSRPIVLALCNSQTRIHKK >Manes.18G143400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32864500:32883498:1 gene:Manes.18G143400.v8.1 transcript:Manes.18G143400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISIPYGGSITHRSENPPRRLRITQATLVERSDVYINSYMSYVDCKIGDKAPIYICSLNKGSIPLEVEIEVSEDITFRVIGYRGVHLSGYYISQITKAKTHLCELKAAEHARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGINLEGLQQQFNIVEKACESQKDAVVQDSEISEPPAMIEFENEEVAGPKYHGSHGEGITSNMEGSQQQFNIVQKACEIQKDAVIQDTEISEPPAMIEFENGEVASLKCHGEGITSNIECSQQEFSIVGHIGYVQKDEALKQDDKISEPLVLQSDKVACVNNLEKGIDSNMAGSQQQNNFERTSDFHKVTNEFWNDDGTSIRHLERDVYSDIQATISLENDKVVNTKHPVEDGAGDTEVSQQQLDIVERTHDIQKDETIVHDAENLKLPVIVEFENEKVATIMHLEENIADIDELRNEEGVCINYLEDIVSDSEGSPQEFVIVEMPCDVQKDVQDVDIGKLVGEFLNKDDIEALPSADPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEMVCVNQLEEDIASDIESSKQQFVIVKRTCDVQNNEVAKDDGILGNLGEGLLNKDEFAVLPNANLERDKAELINRKADISPTMTIEQCQAVSISSTVTVIKASSYEREKKKKSRKQKSRIVGLISNENQDQVRGNNGGTCSNDYDRQFDGDLDLMEKRNVDASVSMCNDKINDASVPYTELDVRNRQLEKKKRKRKHQKVKRVGDDYKIRHVDDGLVLEGMSEGEVGACMTHDKIHDVSGPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVPDDNIHHLSFPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKMRHVDDLLHKETIEVEVDVSMISEKLNHTFVPSTGLDVGHMQIAEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNSREVVESSVLEKKGKIKKGKSKMRRKLEEHNGVASYSNALDAPTAIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMTAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKRGHKDLKNIEDDCRNREEISQEQKVVAGKMHGKSSEGQTNSRPIVLALCNSQTRIHKK >Manes.03G126233.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25287843:25294462:-1 gene:Manes.03G126233.v8.1 transcript:Manes.03G126233.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLKELNSVSHLVLFHLAPLFLLSGQVLAFAGAEHTMAARNMEAEALLTWKASLDNNSQTVLSSWTGGSPCNWFGIHCNEAGSVTNISMMDIGLKGTLQSLNFLSIPNLLELHLLNNSLYGTIPSRIANLSKLTKFRVDINDIYGSIPVEICSLTSLGVLSMAHNHINGPIPQEIGMLRSLYYLDLSHNNLRGTIPASTGNLSNLVELHISESELSGSIPKEIGLLTSLRVLDLDVNNLSGAIPSSIGNLTNLAKIFLNYNELSGTLPVEMNNLTALKTLQMSYNRFSGHLPQDICLGGLLENISVVANYFTGPIPQSLRNCSSLIRVRLDQNQLTGNLADDLGIYPKLKYLDLSDNKFYGQLPSKWEGFLGLSTLRISSNNISGKIPSNLGSASQLQSLDLSSNHHLVGGIPKELGKLKLLDLVLNDNKLSGGIPHEILVHDLENLNLASNNLTGSIPEQLGECLSLLTLNLSNNNFKDTIPAKIGNLHFLVNLDLSYNLLMDSIPSQLGELQMLDTLNLSHNKLSGTIPASFNNLLSLITVNISYNELVGPIPNIKAFLDAPFDALRNNKDLCGNATGLKACVSLKTNGAAPKMANQILKLIVVPVLGGLILVFVLLGGFFVCRRRNKSRKSKSEDEHRIDLFKSWGQDGRMLYEDIIQATEDFNSNYCIGEGGYGIVYKVVLPKGQVIAVKKLHRSRDGMTPDLKAFRSEICALSNVKHRNIVKLYGFCSHPKNSFLVYEFVERGSLKMILSKDEPAMELDWKKRLNIVKGIANALCYMHHDCCPPVIHRDISSNNVLLDLEYEAHVSDFGTARLLMPDSSNWTSLAGTFGYIAPELAYTMKVDKTCDVYSFGVVTLEIIMGEHPKSIISSLYSSIDRSTYNRPTAPTS >Manes.03G171300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29641182:29644545:1 gene:Manes.03G171300.v8.1 transcript:Manes.03G171300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFMSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIAVIDSTDRARISIMKDELFRLLGHEDLQNSVILVFANKQDLKDAMTPAEITDALSLHSIKNHDWHIQSCCALTGEGLYDGLGWIAQRVSGKVPS >Manes.13G119700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32646844:32649719:1 gene:Manes.13G119700.v8.1 transcript:Manes.13G119700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMMTYRNDGFAAKMEENAVQEAASGLESVNKLIRLLSHQNQENIQSSSSSPSSRSSMDIEMDCKAVADVAVSKFKKVISLLGRTRTGHARFRRAPVATPFSNAQISQENQVPASKIYYATPIQQIPPPPPPPPSVPNHFHDYSSMTVMSKNNGVTNDRKESSTTINFSYSSAGNSFMSSLTGDTIDSKQPSSSSAFQITNLSQVSSAGKPPLSSSSLKRKCSSENLGSGKCSGGSSGRCHCSKKRKLRLKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLIVTYEGEHNHTLSIAETSNLILESS >Manes.13G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32646844:32649719:1 gene:Manes.13G119700.v8.1 transcript:Manes.13G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMMTYRNDGFAAKMEENAVQEAASGLESVNKLIRLLSHQNQENIQSSSSSPSSRSSMDIEMDCKAVADVAVSKFKKVISLLGRTRTGHARFRRAPVATPFSNAQISQENQVPASKIYYATPIQQIPPPPPPPPSVPNHFHDYSSMTVMSKNNGVTNDRKESSTTINFSYSSAGNSFMSSLTGDTIDSKQPSSSSAFQITNLSQVSSAGKPPLSSSSLKRKCSSENLGSGKCSGGSSGRCHCSKKSRKLRLKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLIVTYEGEHNHTLSIAETSNLILESS >Manes.14G116700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11067737:11082580:-1 gene:Manes.14G116700.v8.1 transcript:Manes.14G116700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGTQKSLRKALGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPAKERHIRAVFSAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDVETDRPRIKDLDTAELLEQLPALQQLLFRVLCCQPQGAAVNNFVIQLALSLVASESVRIYQTISDGIANLVDKFFEMQRHDASRALDIYRRACQQAERLSEFYEICKSMDIGRGDKFMKIEQPPASFLQTMEEYVREAPRMSTVRKDQVADNKIAAPKEILAIEYKKEPDVTVKEERPPSPPPPEPVKVEEPVVEPPDLVTLDDPVPAASELDEKNALALAIVPVSDQQSTTIANHANGTTGWELALVTAPSSNDNAAAASKLAGGLDKLTLDSLYDDAIRRNNQPVSYNPWEPAPMVNNPMMQTTAHDPFFASNAVAAPHSVQMAAMTNQQQAFMLHQQQQQQQMMMMGPQQQSSNPFANPYGASFHPYGSGMPTAQAYNPYSGFR >Manes.14G116700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11067737:11082182:-1 gene:Manes.14G116700.v8.1 transcript:Manes.14G116700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGTQKSLRKALGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPAKERHIRAVFSAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDVETDRPRIKDLDTAELLEQLPALQQLLFRVLCCQPQGAAVNNFVIQLALSLVASESVRIYQTISDGIANLVDKFFEMQRHDASRALDIYRRACQQAERLSEFYEICKSMDIGRGDKFMKIEQPPASFLQTMEEYVREAPRMSTVRKDQVADNKIAAPKEILAIEYKKEPDVTVKEERPPSPPPPEPVKVEEPVVEPPDLVTLDDPVPAASELDEKNALALAIVPVSDQQSTTIANHANGTTGWELALVTAPSSNDNAAAASKLAGGLDKLTLDSLYDDAIRRNNQPVSYNPWEPAPMVNNPMMQTTAHDPFFASNAVAAPHSVQMAAMTNQQQAFMLHQQQQQQQMMMMGPQQQSSNPFANPYGASFHPYGSGMPTAQAYNPYSGFR >Manes.14G116700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11067455:11082580:-1 gene:Manes.14G116700.v8.1 transcript:Manes.14G116700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGTQKSLRKALGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPAKERHIRAVFSAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALFLEERLECFRVLKYDVETDRPRIKDLDTAELLEQLPALQQLLFRVLCCQPQGAAVNNFVIQLALSLVASESVRIYQTISDGIANLVDKFFEMQRHDASRALDIYRRACQQAERLSEFYEICKSMDIGRGDKFMKIEQPPASFLQTMEEYVREAPRMSTVRKDQVADNKIAAPKEILAIEYKKEPDVTVKEERPPSPPPPEPVKVEEPVVEPPDLVTLDDPVPAASELDEKNALALAIVPVSDQQSTTIANHANGTTGWELALVTAPSSNDNAAAASKLAGGLDKLTLDSLYDDAIRRNNQPVSYNPWEPAPMVNNPMMQTTAHDPFFASNAVAAPHSVQMAAMTNQQQAFMLHQQQQQQQMMMMGPQQQSSNPFANPYGASFHPYGSGMPTAQAYNPYSGFR >Manes.04G130300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33238356:33241577:1 gene:Manes.04G130300.v8.1 transcript:Manes.04G130300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEDDVKKAQIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIQAGKTDKEIYKKLEDDYGETVLYAPKFDMQTAALWLSPLLVAGVAGGIWAYNKHRQKTNVHIMALNLVRGVPLTPKEKETMLEILTPPAPEGASPSSWWRRWRNG >Manes.04G130300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33238356:33241577:1 gene:Manes.04G130300.v8.1 transcript:Manes.04G130300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEDDVKKAQIVDARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIQAGKTDKEIYKKLEDDYGETVLYAPKFDMQTAALWLSPLLVAGVAGGIWAYNKHRQKTNVHIMALNLVRGVPLTPKEKETMLEILTPPAPEGASPSSWWRRWRNG >Manes.01G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7177242:7183177:1 gene:Manes.01G037000.v8.1 transcript:Manes.01G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQSEVEATVEEEEHCPVKQVELTVPKTDDPTMPVLTFRMWVLGLASCVILAIVNQFFWYRTQPMNITSISAQIAVVPLGHLMARTLPKRVIFEGTKWEFSLNPGPFNVKEHVLITIFANAGAGTVYATHVLSAVKLFYKRKLSFLPSLLVMTTTQVLGFGWAGIFRKYLVEPGEMWWPTNLVQVSLFRALHEKEKRSRGGFTRNQFFLIALTCSFAYYVFPGYLFSMLTSVSWVCWFAPKSVLVQQLGSGLQGLGIGALGFDWSTVAAYLGSPLASPWFATANVAFGFCIIMYVIVPICYWLNIYQAKTFPLYSNYLFQGNGEEYDIRSIVDSKFRLDRKTYASIGPVHLSTFFAMTYGFGFATLPATIMHVFLFNGSDLWNQSKRAFMGKSKMDIHTKLMKKYKSVPSWWFLIILAVNIAVVIITCEYYETLQLRWWGVLLACAIALFFTLPIGIIYATTNQAPGLNIITEYIIGYIFPERPVANMCFKVYGYISMTQALTFVQDFKLGHYMKIPPRSMFMAQVVGTVVSVIVYLLTAWGMMEAVPNLCDRSKLPKDSPWKCPMDTVFFDASVIWGLVGPRRIFGNLGEYGMINWFFAGGAIAPVLVWLAHKAFPSRKWIRLIHMPVLLGSTSMMPPASAVNFTSWITLGFLSGFVFFRYHPNLWKRYNYILSGGLDAGTAFMTILIFFSLSYQNIQLDWWGSSSTNPEGCPIASCPTAKGVLVDGCPVF >Manes.17G039200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23082856:23087227:-1 gene:Manes.17G039200.v8.1 transcript:Manes.17G039200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGQHQLQQDSAMEEAAENTESTEQDYSWPAIRFDLPPYGIHHFYKQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRVFSLPDNGRGSDSNACSLDSDGDSYEAGLVVSEGESVYDFCWYPYMTASDPVSCVFASTTRDHPIHLWDASSGLLRCTYRAYDAVDEITAAFSIAFNPAGTKIFDIHRPGREFEQYSTLQGNKEGQAGIISTIAFCPTHSGMLATGSYNQTAAIFREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKDPYILCWDMRKTVEVVYKLYRSSEQTNQRILFDIEPFGRHLGTGGQDGLVHIYDLQSGQWISGFQAAADTVNGFSFHPFLPMAASSSGHRRFQVPDDGDENLLLRAMYINLLEIGMLFQ >Manes.17G039200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23082856:23087227:-1 gene:Manes.17G039200.v8.1 transcript:Manes.17G039200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGQHQLQQDSAMEEAAENTESTEQDYSWPAIRFDLPPYGIHHFYKQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRVFSLPDNGRGSDSNACSLDSDGDSYEAGLVVSEGESVYDFCWYPYMTASDPVSCVFASTTRDHPIHLWDASSGLLRCTYRAYDAVDEITAAFSIAFNPAGTKIFGGYNKSVRIFDIHRPGREFEQYSTLQGNKEGQAGIISTIAFCPTHSGMLATGSYNQTAAIFREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKDPYILCWDMRKTVEVVYKLYRSSEQTNQRILFDIEPFGRHLGTGGQDGLVHIYDLQSGQWISGFQAAADTVNGFSFHPFLPMAASSSGHRRFQVPDDGDENLLLRGDENCARVWNFFSGSEMENGGDHNGGHSTSQSENDFHNQQEL >Manes.17G039200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23082856:23087227:-1 gene:Manes.17G039200.v8.1 transcript:Manes.17G039200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGQHQLQQDSAMEEAAENTESTEQDYSWPAIRFDLPPYGIHHFYKQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRVFSLPDNGRGSDSNACSLDSDGDSYEAGLVVSEGESVYDFCWYPYMTASDPVSCVFASTTRDHPIHLWDASSGLLRCTYRAYDAVDEITAAFSIAFNPAGTKIFGGYNKSVRIFDIHRPGREFEQYSTLQGNKEGQAGIISTIAFCPTHSGMLATGSYNQTAAIFREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKDPYILCWDMRKTVEVVYKLYRSSEQTNQRILFDIEPFGRHLGTGGQDGLVHIYDLQSGQWISGFQAAADTVNGFSFHPFLPMAASSSGHRRFQVPDDGDENLLLRAMYINLLEIGMLFQ >Manes.17G039200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23082856:23087227:-1 gene:Manes.17G039200.v8.1 transcript:Manes.17G039200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGQHQLQQDSAMEEAAENTESTEQDYSWPAIRFDLPPYGIHHFYKQFRTGPNPNNFLKGVKWSPDGSCFLTSSEDNTLRVFSLPDNGRGSDSNACSLDSDGDSYEAGLVVSEGESVYDFCWYPYMTASDPVSCVFASTTRDHPIHLWDASSGLLRCTYRAYDAVDEITAAFSIAFNPAGTKIFDIHRPGREFEQYSTLQGNKEGQAGIISTIAFCPTHSGMLATGSYNQTAAIFREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKDPYILCWDMRKTVEVVYKLYRSSEQTNQRILFDIEPFGRHLGTGGQDGLVHIYDLQSGQWISGFQAAADTVNGFSFHPFLPMAASSSGHRRFQVPDDGDENLLLRGDENCARVWNFFSGSEMENGGDHNGGHSTSQSENDFHNQQEL >Manes.18G143600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32781535:32798907:1 gene:Manes.18G143600.v8.1 transcript:Manes.18G143600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISITYGGSITHRSENPPRRFRITQATLVERSDVYIISYMSYVECKIGDKAPIYICSLNKGSIPLEVEFEESEDITFRVRGPRGVHLSGYYISQITKAKTHLCKLKAAEDARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGSNLEGLQQQFNIVEKACESQKDAVVQDTEISEPPAMIEFENEEVAGPKCHGGHGEGITSNMEGSQQQFNIVEKACEIQKDAVIQDTEISEPPALIEFENEEVASLKCRGEGITSNIEGSQQEFSIVGHIGYVQKDEALKQDKISEPLVLQSDKVACINNLEKGIDSNMEGSQQHNNFERTSDFHKVIVEFENEKVATIMHLEENIADIDELRNEEGVCINYLEDIVSDSEGSPQEFVIVERPCDVQKDVQDGNIGKLVGEFLNKDDIEAFPSANPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEVVCVNQLEEDIASDIESSKQQFIIVKRTCDVQNHEVAKDDGILGNLGEELFNKDEFAVLPNANLERDKAELINGKADISPTMTIEQCQAVSISSTVTVIKASSYERERKEKSRKQRKSRIVGLISNENQDQVRGNNGGTCSNDYARQFDGDLVIMEKRNVDASVSMCNDKINDTSVPYTELEVGNRQLEKKKRKRKHQKVKRVEEDYKIRHVDERLVLEGKSAGEVGASMTHDKIHDVSVPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVLDDNIHHVSVPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKTRHVDDLLHKETTEVEVDVSMIGEKLNHTFVPSAGLDVGDMQITEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKIRRKLEDHNGVASYSNVLDAPTTIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMIAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKREHKDLKNIEDDCRNREEISQEQNVVAGKMHGKSSEGQTNSRPIVLALYNSQTRIHKK >Manes.18G143600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32781478:32798907:1 gene:Manes.18G143600.v8.1 transcript:Manes.18G143600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISITYGGSITHRSENPPRRFRITQATLVERSDVYIISYMSYVECKIGDKAPIYICSLNKGSIPLEVEFEESEDITFRVRGPRGVHLSGYYISQITKAKTHLCKLKAAEDARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGSNLEGLQQQFNIVEKACESQKDAVVQDTEISEPPAMIEFENEEVAGPKCHGGHGEGITSNMEGSQQQFNIVEKACEIQKDAVIQDTEISEPPALIEFENEEVASLKCRGEGITSNIEGSQQEFSIVGHIGYVQKDEALKQDKISEPLVLQSDKVACINNLEKGIDSNMEGSQQHNNFERTSDFHKVTDEFWNDDGTSIRHLERDVYSDIQATISLENDKVVNIKHLVEDGAGDTEVSLQQLDIVERTHDIQKDETIVQDAENLKLPVIVEFENEKVATIMHLEENIADIDELRNEEGVCINYLEDIVSDSEGSPQEFVIVERPCDVQKDVQDGNIGKLVGEFLNKDDIEAFPSANPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEVVCVNQLEEDIASDIESSKQQFIIVKRTCDVQNHEVAKDDGILGNLGEELFNKDEFAVLPNANLERDKAELINGKADISPTMTIEQCQAVSISSTVTVIKASSYERERKEKSRKQRKSRIVGLISNENQDQVRGNNGGTCSNDYARQFDGDLVIMEKRNVDASVSMCNDKINDTSVPYTELEVGNRQLEKKKRKRKHQKVKRVEEDYKIRHVDERLVLEGKSAGEVGASMTHDKIHDVSVPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVLDDNIHHVSVPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKTRHVDDLLHKETTEVEVDVSMIGEKLNHTFVPSAGLDVGDMQITEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKIRRKLEDHNGVASYSNVLDAPTTIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMIAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKREHKDLKNIEDDCRNREEISQEQNVVAGKMHGKSSEGQTNSRPIVLALYNSQTRIHKK >Manes.18G143600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32781478:32798907:1 gene:Manes.18G143600.v8.1 transcript:Manes.18G143600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISITYGGSITHRSENPPRRFRITQATLVERSDVYIISYMSYVECKIGDKAPIYICSLNKGSIPLEVEFEESEDITFRVRGPRGVHLSGYYISQITKAKTHLCKLKAAEDARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGSNLEGLQQQFNIVEKACESQKDAVVQDTEISEPPAMIEFENEEVAGPKCHGGHGEGITSNMEGSQQQFNIVEKACEIQKDAVIQDTEISEPPALIEFENEEVASLKCRGEGITSNIEGSQQEFSIVGHIGYVQKDEALKQDKISEPLVLQSDKVACINNLEKGIDSNMEGSQQHNNFERTSDFHKVTDEFWNDDGTSIRHLERDVYSDIQATISLENDKVVNIKHLVEDGAGDTEVSLQQLDIVERTHDIQKDETIVQDAENLKLPVIVEFENEKVATIMHLEENIADELRNEEGVCINYLEDIVSDSEGSPQEFVIVERPCDVQKDVQDGNIGKLVGEFLNKDDIEAFPSANPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEVVCVNQLEEDIASDIESSKQQFIIVKRTCDVQNHEVAKDDGILGNLGEELFNKDEFAVLPNANLERDKAELINGKADISPTMTIEQCQAVSISSTVTVIKASSYERERKEKSRKQRKSRIVGLISNENQDQVRGNNGGTCSNDYARQFDGDLVIMEKRNVDASVSMCNDKINDTSVPYTELEVGNRQLEKKKRKRKHQKVKRVEEDYKIRHVDERLVLEGKSAGEVGASMTHDKIHDVSVPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVLDDNIHHVSVPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKTRHVDDLLHKETTEVEVDVSMIGEKLNHTFVPSAGLDVGDMQITEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKIRRKLEDHNGVASYSNVLDAPTTIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMIAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKREHKDLKNIEDDCRNREEISQEQNVVAGKMHGKSSEGQTNSRPIVLALYNSQTRIHKK >Manes.18G143600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32781535:32798907:1 gene:Manes.18G143600.v8.1 transcript:Manes.18G143600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISITYGGSITHRSENPPRRFRITQATLVERSDVYIISYMSYVECKIGDKAPIYICSLNKGSIPLEVEFEESEDITFRVRGPRGVHLSGYYISQITKAKTHLCKLKAAEDARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGSNLEGLQQQFNIVEKACESQKDAVVQDTEISEPPAMIEFENEEVAGPKCHGGHGEGITSNMEGSQQQFNIVEKACEIQKDAVIQDTEISEPPALIEFENEEVASLKCRGEGITSNIEGSQQEFSIVGHIGYVQKDEALKQDKISEPLVLQSDKVACINNLEKGIDSNMEGSQQHNNFERTSDFHKVTDEFWNDDGTSIRHLERDVYSDIQVIVEFENEKVATIMHLEENIADIDELRNEEGVCINYLEDIVSDSEGSPQEFVIVERPCDVQKDVQDGNIGKLVGEFLNKDDIEAFPSANPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEVVCVNQLEEDIASDIESSKQQFIIVKRTCDVQNHEVAKDDGILGNLGEELFNKDEFAVLPNANLERDKAELINGKADISPTMTIEQCQAVSISSTVTVIKASSYERERKEKSRKQRKSRIVGLISNENQDQVRGNNGGTCSNDYARQFDGDLVIMEKRNVDASVSMCNDKINDTSVPYTELEVGNRQLEKKKRKRKHQKVKRVEEDYKIRHVDERLVLEGKSAGEVGASMTHDKIHDVSVPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVLDDNIHHVSVPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKTRHVDDLLHKETTEVEVDVSMIGEKLNHTFVPSAGLDVGDMQITEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKIRRKLEDHNGVASYSNVLDAPTTIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMIAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKREHKDLKNIEDDCRNREEISQEQNVVAGKMHGKSSEGQTNSRPIVLALYNSQTRIHKK >Manes.18G143600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32781535:32798907:1 gene:Manes.18G143600.v8.1 transcript:Manes.18G143600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISITYGGSITHRSENPPRRFRITQATLVERSDVYIISYMSYVECKIGDKAPIYICSLNKGSIPLEVEFEESEDITFRVRGPRGVHLSGYYISQITKAKTHLCKLKAAEDARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGSNLEGLQQQFNIVEKACESQKDAVVQDTEISEPPAMIEFENEEVAGPKCHGGHGEGITSNMEGSQQQFNIVEKACEIQKDAVIQDTEISEPPALIEFENEEVASLKCRGEGITSNIEGSQQEFSIVGHIGYVQKDEALKQDKISEPLVLQSDKVACINNLEKGIDSNMEGSQQHNNFERTSDFHKVIVEFENEKVATIMHLEENIADELRNEEGVCINYLEDIVSDSEGSPQEFVIVERPCDVQKDVQDGNIGKLVGEFLNKDDIEAFPSANPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEVVCVNQLEEDIASDIESSKQQFIIVKRTCDVQNHEVAKDDGILGNLGEELFNKDEFAVLPNANLERDKAELINGKADISPTMTIEQCQAVSISSTVTVIKASSYERERKEKSRKQRKSRIVGLISNENQDQVRGNNGGTCSNDYARQFDGDLVIMEKRNVDASVSMCNDKINDTSVPYTELEVGNRQLEKKKRKRKHQKVKRVEEDYKIRHVDERLVLEGKSAGEVGASMTHDKIHDVSVPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVLDDNIHHVSVPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKTRHVDDLLHKETTEVEVDVSMIGEKLNHTFVPSAGLDVGDMQITEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKIRRKLEDHNGVASYSNVLDAPTTIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMIAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKREHKDLKNIEDDCRNREEISQEQNVVAGKMHGKSSEGQTNSRPIVLALYNSQTRIHKK >Manes.18G143600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32781535:32798907:1 gene:Manes.18G143600.v8.1 transcript:Manes.18G143600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGISITYGGSITHRSENPPRRFRITQATLVERSDVYIISYMSYVECKIGDKAPIYICSLNKGSIPLEVEFEESEDITFRVRGPRGVHLSGYYISQITKAKTHLCKLKAAEDARSDDNALRLFKCMGTCTSRVVVPLENENVASIKHFEDDTGSNLEGLQQQFNIVEKACESQKDAVVQDTEISEPPAMIEFENEEVAGPKCHGGHGEGITSNMEGSQQQFNIVEKACEIQKDAVIQDTEISEPPALIEFENEEVASLKCRGEGITSNIEGSQQEFSIVGHIGYVQKDEALKQDKISEPLVLQSDKVACINNLEKGIDSNMEGSQQHNNFERTSDFHKVTDEFWNDDGTSIRHLERDVYSDIQVIVEFENEKVATIMHLEENIADELRNEEGVCINYLEDIVSDSEGSPQEFVIVERPCDVQKDVQDGNIGKLVGEFLNKDDIEAFPSANPESDSCDEEAGISERISDPRQKNLEGLAKLKNDEVVCVNQLEEDIASDIESSKQQFIIVKRTCDVQNHEVAKDDGILGNLGEELFNKDEFAVLPNANLERDKAELINGKADISPTMTIEQCQAVSISSTVTVIKASSYERERKEKSRKQRKSRIVGLISNENQDQVRGNNGGTCSNDYARQFDGDLVIMEKRNVDASVSMCNDKINDTSVPYTELEVGNRQLEKKKRKRKHQKVKRVEEDYKIRHVDERLVLEGKSAGEVGASMTHDKIHDVSVPHSGMDGGNMQKPEKKKRKRKHQDMKNVEDDCRARHVGDDLALEEKGEVEVGVLDDNIHHVSVPSTELDVGNMQKPEKKRKRKHQDVKSLEDNCKTRHVDDLLHKETTEVEVDVSMIGEKLNHTFVPSAGLDVGDMQITEKKKSKKKHQDVKSTGDNTRIRRVDGDLILKDKGNVEAIVINDISVPLVKSEVGNIQKLEKKKKRSAQQDVNNAEDDCRNREVVESSVLEKKGKIKKGKSKIRRKLEDHNGVASYSNVLDAPTTIVSESKSEHKKEKDSDSDVVHKEKIQLNKRMIAEVTVNMTSDKLNDTSVSSTNLEVGNVQKLKKKKKKREHKDLKNIEDDCRNREEISQEQNVVAGKMHGKSSEGQTNSRPIVLALYNSQTRIHKK >Manes.S048316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1540595:1540762:-1 gene:Manes.S048316.v8.1 transcript:Manes.S048316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.S040416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1185938:1186750:-1 gene:Manes.S040416.v8.1 transcript:Manes.S040416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.11G135100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30019684:30024953:1 gene:Manes.11G135100.v8.1 transcript:Manes.11G135100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLSSAGVKESKLWKGVFAVSGIMTTLVIYGVLQASKKALNPVAPVYKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTVIMQKRYKGMDYLIAFLVTLGCSIFILFPAGADISPYSRGRENTVWGVTLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCALSFTGLVMQGHLLLAIDFVYRHKDCLFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIMLSCVWFAHPLSWEQWIGAVIVFGSLYAKNFLKSGSLKPPSEHTQNGASKPTP >Manes.11G135100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30019685:30024953:1 gene:Manes.11G135100.v8.1 transcript:Manes.11G135100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLSSAGVKESKLWKGVFAVSGIMTTLVIYGVLQEKIMRIPYGENKEYFKYSLFLVFCNRISTSAVSAGVLLASKKALNPVAPVYKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTVIMQKRYKGMDYLIAFLVTLGCSIFILFPAGADISPYSRGRENTVWGVTLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCALSFTGLVMQGHLLLAIDFVYRHKDCLFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIMLSCVWFAHPLSWEQWIGAVIVFGSLYAKNFLKSGSLKPPSEHTQNGASKPTP >Manes.11G135100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30019684:30024953:1 gene:Manes.11G135100.v8.1 transcript:Manes.11G135100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLSSAGVKESKLWKGVFAVSGIMTTLVIYGVLQASKKALNPVAPVYKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTVIMQKRYKGMDYLIAFLVTLGCSIFILFPAGADISPYSRGRENTVWGVTLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCALSFTGLVMQGHLLLAIDFVYRHKDCLFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIMLSCVWFAHPLSWEQWIGAVIVFGSLYAKNFLKSGSLKPPSEHTQNGASKPTP >Manes.11G135100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30019685:30024953:1 gene:Manes.11G135100.v8.1 transcript:Manes.11G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLSSAGVKESKLWKGVFAVSGIMTTLVIYGVLQEKIMRIPYGENKEYFKYSLFLVFCNRISTSAVSAGVLLASKKALNPVAPVYKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTVIMQKRYKGMDYLIAFLVTLGCSIFILFPAGADISPYSRGRENTVWGVTLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCALSFTGLVMQGHLLLAIDFVYRHKDCLFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIMLSCVWFAHPLSWEQWIGAVIVFGSLYAKNFLKSGSLKPPSEHTQNGASKPTP >Manes.17G061450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26108484:26111765:-1 gene:Manes.17G061450.v8.1 transcript:Manes.17G061450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSGRLCCPFLFMAILSRDLADSWFNGSIPPALCSLPSLQVLFLYNNLLSGGIPPQLGNLTSLVERDLSDNQLSGVLPKELGHLRNLERLVLINKEFTGELPQSLARLKKMVNLFIFGNNFSGRIPEYIAKWENLKYLGLIGNSFEGPFPDALSSLNLSELHVSDLTGSNEGRGFLFPNISGMTSLEWM >Manes.17G061450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26108484:26111765:-1 gene:Manes.17G061450.v8.1 transcript:Manes.17G061450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSGRLCCPFLFMAILSRDLADSWFNGSIPPALCSLPSLQVLFLYNNLLSGGIPPQLGNLTSLVERDLSDNQLSGVLPKELGHLRNLERLVLINKEFTGELPQSLARLKKMVNLFIFGNNFSGRIPEYIAKWENLKYLGLIGNSFEGPFPDALSSLNLSELHVSDLTGSNEGRGFLFPNISGMTSLEWMTLRNCSLTGPIPTFVSQMKSLYYMYLKGNKLNGSVPRWLAGSKALYAYVFVFILFSL >Manes.17G061450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26108483:26111765:-1 gene:Manes.17G061450.v8.1 transcript:Manes.17G061450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSGRLCCPFLFMAILSRDLADSWFNGSIPPALCSLPSLQVLFLYNNLLSGGIPPQLGNLTSLVERDLSDNQLSGVLPKELGHLRNLERLVLINKEFTGELPQSLARLKKMVNLFIFGNNFSGRIPEYIAKWENLKYLGLIGNSFEGPFPDALSSLNLSELHVSDLTGSNEGRGFLFPNISGMTSLEWMTLRNCSLTGPIPTFVSQMKSLYYMHGGGVWSVPPVVAEDVVFEMKEQ >Manes.15G149250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12343609:12345272:-1 gene:Manes.15G149250.v8.1 transcript:Manes.15G149250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPSPADQSQAIIDPIADLSQKLFQLIQNSQNGNQKSANQFTLDSAQPPSDIKLNDSNYVVWAKMMEMFITGRGKSNHLTGTPSPPTETDPAIYLWKTNDSIVRGWLIQTVEQKLHPNLLQHKTSKGLWDALKIRFNTGSNKLIIYELQSKAYKLTQQGSNLEDLYNDLQAIWAEIDERQPTRIEGDNNIIIRNREIQEERLYLFLAGVQSDLDPVRREILNEEPLPTLDNAYSRLRGEKLRRAIHLPLPSPATAGSDLVGAGLLAKNRSDTDKSSLRDDKSGLKCTHCGGSRHTRDGCFKIIGYPEWWEENKIHKKKGKGQGAGNTFAVTTSGNQKAACGNNLIGQTEENSSNGQSSGVAAALQGAEKGGGTGVPYDREGGYSYGHGTGSWY >Manes.05G188350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31015831:31017615:1 gene:Manes.05G188350.v8.1 transcript:Manes.05G188350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIADYSVKTANLGKRSPLEHYDGNSILCKHKRWIPKSFLRLSRGWHIINRPQSLVARVLKARYFSTQFFFEAPLGSNPSFLWRSIWETRGLIRAGAYWRIGNELPESLVSTTPPLNCARVVVSNLIINHRWNESLIAQMFNERDRSCILNIPLSLSSCSDIWCWKFESKGHYSVKSAYRFLVDGFQHREGSEIWKRFWKAKVPPKVLNFCWQALVNRVPIDPSCPLCHVAPENVLHILIQCPFARSCWLSSPLGWPTPSASSLNEWFSLAFSFAFVENASLMLMILWALWQNMNNVVWKGQGQTASGQWKAARVVSSVSTIVDPARPFWSPPPHGWIKANIDASLSLQRDSVGFGCVIRKDDGSFVAARTGSFYSQMDAKCAETIAFREALSWIKEFNCVLLDDLSSFGLLEAKCVFVHRSANDVAHVLATSAHSESGQGVWIDIPPPHIVSLFSLN >Manes.18G026801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2346383:2349001:1 gene:Manes.18G026801.v8.1 transcript:Manes.18G026801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSSITPFLRLTWSFCLHLQRQLLSHFLLRRSLRSPRSESKNYSRKATNITSSLHLKSTFLAVAETLICSGVQFDLQCLVSSLPGQESDLFLFAFAVPSRKSYSPHFEYLLRSAAKECIPQERNSFVAFQASTGVEVCTFRLIVKNVSSSLDKEVLPKLEAETIKKVADALMNTISSLNKFEQGVKDCLEV >Manes.09G126200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32917142:32918826:1 gene:Manes.09G126200.v8.1 transcript:Manes.09G126200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFKVAVVVLGLLAMAEQSMQLSLRHRLHGVIRKINEEGPYLGLVLVSDTNEQALQESNVFVPSNFFPTVALAGRTFHVGKIKGADVIYVKTGDGSVNAAATVQLLVDTFHIEGIVHYGSAGAVNDSLLIGDVVVPSQVAFTGSWEWKKFESKKGQLKFGDYNFPEEGKNLLGSIEFQPSTLYTPDQKQTIFWLPINSTWIETATQLQNIEMQQCLTTDRCLPRAPEIVYGLRTSTSDIYIQNAAYREYICTKFKASTVDEESAAVASVALSNEVPFIVFRGVSNTAGGPTEYKSYGYLGSVNALNAAVGFIGAVGSSSGGAASMANY >Manes.02G194701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15906616:15906936:-1 gene:Manes.02G194701.v8.1 transcript:Manes.02G194701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVLIISLLLVALVGVVSAAEPAATTPAAGGAANSSAPAADAIGNEDDGAAGAPGAVASDVVAGPLGSAASSNQSPAGEAPASEATTLQVSAIVGVAAVAGYFL >Manes.07G009952.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1221791:1224965:1 gene:Manes.07G009952.v8.1 transcript:Manes.07G009952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKLQLIGMLIFFLISLLALTKPTMANDVDDIPVDFDRSYFPDDFIFGTATSAYQIEGAANISGKGPSVWDTFTHEYPERIRDNSNGDVAVDFYHRYREDIQNVKNMGFNAFRFSISWSRVIPSGRRREGVNEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSRNIVEDFREYADLLFQRFGDRVKHWMTFNEPWALSGFAYDDGLFAPGRCSSWVNNQCRAGNSATEPYIVAHHLLLSHSKAVQVYRKKYQTTQKGKIGITLFTFWFEPLSNRRIDIEASRTALDFMFGLWMDPLTYGQYPKSVQNLIGDKLLNFTNKETQLLRGSYDFIGLQYYTSYYAKPNASVDSDRVRYKTDSNITETPYDYDGNLIGPQAYSPWFYIYPKGIRHLLNYTKDRYNNPVIYITENGVDNVNDENQPIEEALKDEFRIDYYRKHMWNTLESLKEYDVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKESAIWFTKFLNPSN >Manes.10G124425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28931197:28932483:-1 gene:Manes.10G124425.v8.1 transcript:Manes.10G124425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCMHVRPPKEVWLATYKSPSDRKWVRFLPILELRVFKLRSKFWELGDPRSSFLPSPS >Manes.05G122804.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14734709:14735056:-1 gene:Manes.05G122804.v8.1 transcript:Manes.05G122804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFDLLSGAPKKLWVSLLSVSISFCHFFNFCKQSWSLEKKKNQTFLIIGFRLRSKRCCSWVDCLKDCLTKRLLFSILGFRGLVFT >Manes.01G151600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33981459:33983655:-1 gene:Manes.01G151600.v8.1 transcript:Manes.01G151600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIYQDQSDIPLPTGLLPDESSPEWISKADNAWQLTATTMVCLQSVPGLVILYGSMVKKKWAVNSAFMAFYAFAAVLVCWVLWGHHMSFGNRLGPLVGRPNAALSQSFLLDKSKASQVPTADFVFYQFAFAAITVILLAGSLLGRMNIYAWMLFVPLWLTFSYTIGAFSIWGGGFLEQHIIDYAGGYVIHLSSGVAGFTAAYWVGPRHSHDRQHFPPNNVITMLAGAGFLWLGWTGFNGGSPFAAGLVASLAIFNTHLCTATSLLVWVSFDMIIYKKSSVIGAVQGMITGLVCITPAAGVVEPWAAMLMGAMSGSLTWYTMMVLHRRSAFFQSVDDTLAVFHTHAVAGLLGGILSGLLAKPALVKLMYPHNTYGTGLIYSLANGKHKDGLRQMLCQIEGAAFIAAWNVAMTSLICILLSRIVDLRIQEDDLEIGDDAVHGEEAYALWGDGERMPISRHLHPRLPSLYPRLTALCRSLS >Manes.11G115400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27181430:27186534:1 gene:Manes.11G115400.v8.1 transcript:Manes.11G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLCCEKKNRTMGNPNFLKNPLVKFLLAIVLFSLSYILGIHTNTLSSTSPAPSLLQNQQLSLSCLQQNSSLPDLDFGPHHRLSLPQEPFKDLPFFNFCSQNFTHYCPCHDPNREMLFSTERFFHRERHCQEPDKKLRCLIPKPIGYKKPFPWPKSRDYAWFKNVPFKKLTEFKKSQNWVRLEGDLLIFPGGGTSFTQGVRGYVEEIAGIVPLKSGSIRTVLDVGCGVASFGAFLMDYNILTMSIAPVDKHEAQVQFALERGLPAMLGILSTHRLPFPSRSFDMAHCSRCLVQWTNYGGLYLIEIDRVLRPGGYWVFSGPPISWKVRFKNWETQAQDMEKEQNKLEDLARRLCWKKVAERGHIAVWRKPTNHLHCIKKSKTWESPPFCINSDPDAGWYMKMETCITPLPNVTDIHDFSGGALLKWPKRLSAVPPRIRSEGISVRAYDKDNQLWKRRVEYYGKILESLSKGRYRNIMDMNAGIGGFAAALIQYPVWVMNVIPFDAKKNNLSIVYERGLIGTYMNWCEVFDTYPRTYDLIHAYGVFSMYMNKCNILDILVEMHRILRPEGAVIIRDDVDIIVKLQGIANRMRWESKFLHSENGPFHPEKILLIDNSKQILHPTIL >Manes.11G128100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29075748:29076751:1 gene:Manes.11G128100.v8.1 transcript:Manes.11G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKYTTYLLHLLSSTITQQLQHNFLLLLLLFLGIQKINFFLSSYCSAFLLEKMMSFSKATIVLAIFLILVGVFGQVKVEATRVLPEDFAAANHLESYSSVYEKAKSAMGCWLERLASGPSPKGPGH >Manes.07G095602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29982457:29987920:1 gene:Manes.07G095602.v8.1 transcript:Manes.07G095602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQLKGNYCVCKGHEKRITKVLSKNKGLFIKNLDLENGFIHIDGDIDIEKVIKELQKKFKSMQVEVVEKTDSDEETDSDKCESVPQSFLTLQNGIGYSAPRLEWADAGGQSRFALRPYVGLNQLRYGGYETTSTYGYDEETDSDKCESGSQPISTLENGVGHSAPRLEWSDMGGLSRSGLRPHGGLTQLGYGGFGTTSTYSYDGQNYQISNYYNQNNDLTKIIRDQNPNACSTM >Manes.18G108600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10730349:10735098:1 gene:Manes.18G108600.v8.1 transcript:Manes.18G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSRFLQQSRNSFGNHIDGRDASRLHTLTFQARISTSQDFTANERIYAPYSVFKGKAALSVQPVPPTFTKLTSGHVKVERRGIMMLTFMPAMGERKYDHEKRQHFALSATEVGSLISLGPKDSSEFFHDPSMLSSNAGQVRKSLSIKPQADGGGYFVSLSVVNNILRTNERFNVPLTAGEFAVLKTACSFALPHIMGWDRLTAKLPREKVGSPSKANRQEPNLEWAK >Manes.04G090920.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29609664:29610642:-1 gene:Manes.04G090920.v8.1 transcript:Manes.04G090920.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGNGMKHLRFIKWKFLEAKAAAYYYHGLILDKGNEPACHVSSVCCFLAAEELLTESKKAGLSFCLAAPVTRSPPLWGAMKYLHQKIPEVASRKSQMYGYLLEEEKALQALPDLPDFQLSLRPDNYALPEMDEAWDRETWKLQNQPLKKHLGDSDDEIELPPDEIGGVKHDISQIYSDALTTKLSL >Manes.14G108900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9148502:9152053:1 gene:Manes.14G108900.v8.1 transcript:Manes.14G108900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASFAKLNAASSSWIGQQSFGQRPGSSSARLTTRRVSLPIRASSYKDELVQTAKSIASPGRGILAIDESNATCGKRLASIGLDNNEVNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTVDGKKFVDCLRDENIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWAEVFFYLAENNVVFEGILLKPSMVTPGAEHKEKASPETIAKYTLTMLKRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQIPNPWHVSFSYARALQNTVLKTWQGRPENVEAAQKALLVRAKANSLAQLGKYSGEGESEEAKKGMFVKGYTY >Manes.01G224500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39055172:39057586:-1 gene:Manes.01G224500.v8.1 transcript:Manes.01G224500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLSSSDEDDEYPPMLYCWWRSAAKFEECSRINVGLPNIANLTPRLRVLRELERLALIAHEGLNELRYKLQIYRSGDFWVPTGGIKREEMDIPPVITILLVGFSASGKSSLVNLMYSVLGRTGLVPFAQTSSGSCKNYKTMYMEEHNVLRSQQSGFCVYDSMGFSYDKMGEALEELSSWMIDGVHHNQPCLRSEDYTLLKDDAGSSASRSSSKFVQRRVNCAMVVVDIAEVYKALKAGDSQPLEATRELFCSPALRNCNENPILTLTHGDLLTTEERIEARLKLCESLGISETNGVYDVVCLTEYGFLADESDPVTAYAVAEAVYRALLISDRGHFPKKNFKDWAVFILSWLMTFMGILFAFLAEICTTLGQKKKLKH >Manes.05G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8479781:8481418:-1 gene:Manes.05G092600.v8.1 transcript:Manes.05G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTLVKIPEVILSSSGPRMPLLGMGTAASPLVGSDEIKAAILQAIELGYRHFDTATLYLTEEPLGEAIAEALTRGLIKSREELFITSKLWCSDAHSDLVSPAIQKSLRALQLEYLDLYLIHWPVSSRPGIYEFPIKKEDLLAMDFKGVWAAMEECQKLGLAKSIGVSNFSCKKLSDLLEIAEIPPAINQVEINPLWQQKKQMEFCKAHGIVLTGYATLGGTIKGSNGVMENEVLKEIANAKGKSVAQICLRWAYEQGVCIIVKSFHEERMKENLDIFNWTLSEEESKKISEIPQSKGSSGEDYISDKGPFKTLEELWDGEI >Manes.14G077733.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6520762:6521055:1 gene:Manes.14G077733.v8.1 transcript:Manes.14G077733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRQVSLKRDSGSGRASTTSSSVVRNVRYGECQKNHAANIGGYAVDGCREFMASGEEGAGGALMCAACGCHRNFHRREVESEVVCEYSPSNHSQR >Manes.17G062600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26212709:26217236:1 gene:Manes.17G062600.v8.1 transcript:Manes.17G062600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICRLGGGRSETILQTSHCWPKRITIESWIRQKCHSVWPESKSFSDEGMGPIPKSWKGICQTGVAFNSSHCNKKIVGARYYIKGYLNYYKKLNATEDCMSPRDMDGHGTHTASTVAGRQVHDAAAFGGFASGTAKGGAPLARLAIYKACWAIPNQEKAEGNTCFEEDMLAAIDDAIKDGVHVLSMSIGTSEPMQYREDGIAIGALHAVKKGIVVSCAAGNSGPAPSTLSNPAPWIITVGASSVDRAFLGPVVLGNGKKIIGQTATPYQLDKMHPLVYAADVAAPGIPKNESDQCLPNSLSPKKVKGKIVLCIRGLGMRVGKGMEVKRAGGVGFVLGNAPQNGNDISVDAHVLPATAVVDTDAIEILNYIKSTNKPKAIIGKGKTVLHYSPAPSMAAFTSRGPNVIDLNILKPDITAPGINILAAWSRGDSPTKLWDDNRIADYVIESGTSMACPHVSAVAALLRAIYPKWSSAAIRSAIMTTAWMKNNKGQPIKDPSGKIADPFQFGSGHFRPSKAADPGLVYDASYDDYVAYLCNYGYSFLGHYHCPKNKTLEPTYNLNYPSVSIPKLNDTVIVKRSVTNVGAAKSVYFFTAKPPVGISIKASPSILYFSSVGHKRSFTLTVAARDAQTAKNYYKNEFAFGWYTWTDSYHYVRSPVAVSLA >Manes.17G062600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26212709:26217236:1 gene:Manes.17G062600.v8.1 transcript:Manes.17G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSVLFLLLLPLLASCTEKKSYIVYFGEHGGEKTHDEIQQTHLSYLNSVKETEEEAIDSLIYSYKHSINGFAALLTQEEASKLSELEEVVSVYETKPGKYSKQTTRSWEFVGLEEGDQKQFSKHHTVGQRELPLRAGFGKNVIVGVLDSGVWPESKSFSDEGMGPIPKSWKGICQTGVAFNSSHCNKKIVGARYYIKGYLNYYKKLNATEDCMSPRDMDGHGTHTASTVAGRQVHDAAAFGGFASGTAKGGAPLARLAIYKACWAIPNQEKAEGNTCFEEDMLAAIDDAIKDGVHVLSMSIGTSEPMQYREDGIAIGALHAVKKGIVVSCAAGNSGPAPSTLSNPAPWIITVGASSVDRAFLGPVVLGNGKKIIGQTATPYQLDKMHPLVYAADVAAPGIPKNESDQCLPNSLSPKKVKGKIVLCIRGLGMRVGKGMEVKRAGGVGFVLGNAPQNGNDISVDAHVLPATAVVDTDAIEILNYIKSTNKPKAIIGKGKTVLHYSPAPSMAAFTSRGPNVIDLNILKPDITAPGINILAAWSRGDSPTKLWDDNRIADYVIESGTSMACPHVSAVAALLRAIYPKWSSAAIRSAIMTTAWMKNNKGQPIKDPSGKIADPFQFGSGHFRPSKAADPGLVYDASYDDYVAYLCNYGYSFLGHYHCPKNKTLEPTYNLNYPSVSIPKLNDTVIVKRSVTNVGAAKSVYFFTAKPPVGISIKASPSILYFSSVGHKRSFTLTVAARDAQTAKNYYKNEFAFGWYTWTDSYHYVRSPVAVSLA >Manes.14G134600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9513585:9520166:1 gene:Manes.14G134600.v8.1 transcript:Manes.14G134600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLIAEASKEGEENCVINLHDSPGGAKTFELVAKFCYGVKLELTSSNVVYLHCAAEHLEMTEDYGEGNLIMQTETFFNQVVLQNWKDSLKALQTCDDSLPYAEELHITKRCIESLATKAYTDPNLLGWPTMEYGPMQSPGGSVLWNGISTGARPKNSSSNWWYEDVSTLSFPLYKRLISIMESRGIREEIIAGSLVFYAKKYLPGLNRRQGASESSSRLLAVALAPPSEEDQKTFLEDIDRLLPMQKGLVPTKFLFGLLRTALILKASPSCLSNLEKRIGMQLDQATLEDLLMPSFSYSMETLYNVDCVQRILDHFLAMDQISGGASGGASGGASPSACSVDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLSKFQALAAAVPDYARPLDDGLYRAIDIYLKSHQWLAESDREQLCRLMDCQKLSLEACSHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGIVGSTEGGWATAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKMGRTKASSTWGNVSKKFGFKLKSQMCSAQEGAVSNQKNNFNAKAKDRLGKLKKY >Manes.14G134600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9514871:9520166:1 gene:Manes.14G134600.v8.1 transcript:Manes.14G134600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTTGLPSDIVVEVGDMSFHLHKFPLLSRSGVMERLIAEASKEGEENCVINLHDSPGGAKTFELVAKFCYGVKLELTSSNVVYLHCAAEHLEMTEDYGEGNLIMQTETFFNQVVLQNWKDSLKALQTCDDSLPYAEELHITKRCIESLATKAYTDPNLLGWPTMEYGPMQSPGGSVLWNGISTGARPKNSSSNWWYEDVSTLSFPLYKRLISIMESRGIREEIIAGSLVFYAKKYLPGLNRRQGASESSSRLLAVALAPPSEEDQKTFLEDIDRLLPMQKGLVPTKFLFGLLRTALILKASPSCLSNLEKRIGMQLDQATLEDLLMPSFSYSMETLYNVDCVQRILDHFLAMDQISGGASGGASGGASPSACSVDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLSKFQALAAAVPDYARPLDDGLYRAIDIYLKSHQWLAESDREQLCRLMDCQKLSLEACSHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGIVGSTEGGWATAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKMGRTKASSTWGNVSKKFGFKLKSQMCSAQEGAVSNQKNNFNAKAKDRLGKLKKY >Manes.14G134600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9513586:9520166:1 gene:Manes.14G134600.v8.1 transcript:Manes.14G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSDAFQRHGQAWFCTTGLPSDIVVEVGDMSFHLHKFPLLSRSGVMERLIAEASKEGEENCVINLHDSPGGAKTFELVAKFCYGVKLELTSSNVVYLHCAAEHLEMTEDYGEGNLIMQTETFFNQVVLQNWKDSLKALQTCDDSLPYAEELHITKRCIESLATKAYTDPNLLGWPTMEYGPMQSPGGSVLWNGISTGARPKNSSSNWWYEDVSTLSFPLYKRLISIMESRGIREEIIAGSLVFYAKKYLPGLNRRQGASESSSRLLAVALAPPSEEDQKTFLEDIDRLLPMQKGLVPTKFLFGLLRTALILKASPSCLSNLEKRIGMQLDQATLEDLLMPSFSYSMETLYNVDCVQRILDHFLAMDQISGGASGGASGGASPSACSVDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLSKFQALAAAVPDYARPLDDGLYRAIDIYLKSHQWLAESDREQLCRLMDCQKLSLEACSHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGIVGSTEGGWATAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKMGRTKASSTWGNVSKKFGFKLKSQMCSAQEGAVSNQKNNFNAKAKDRLGKLKKY >Manes.14G134600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9513976:9520166:1 gene:Manes.14G134600.v8.1 transcript:Manes.14G134600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTTGLPSDIVVEVGDMSFHLHKFPLLSRSGVMERLIAEASKEGEENCVINLHDSPGGAKTFELVAKFCYGVKLELTSSNVVYLHCAAEHLEMTEDYGEGNLIMQTETFFNQVVLQNWKDSLKALQTCDDSLPYAEELHITKRCIESLATKAYTDPNLLGWPTMEYGPMQSPGGSVLWNGISTGARPKNSSSNWWYEDVSTLSFPLYKRLISIMESRGIREEIIAGSLVFYAKKYLPGLNRRQGASESSSRLLAVALAPPSEEDQKTFLEDIDRLLPMQKGLVPTKFLFGLLRTALILKASPSCLSNLEKRIGMQLDQATLEDLLMPSFSYSMETLYNVDCVQRILDHFLAMDQISGGASGGASGGASPSACSVDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLSKFQALAAAVPDYARPLDDGLYRAIDIYLKSHQWLAESDREQLCRLMDCQKLSLEACSHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGIVGSTEGGWATAVRENQVLKVGMDNMRMRVSELEKECSNMRQEIEKMGRTKASSTWGNVSKKFGFKLKSQMCSAQEGAVSNQKNNFNAKAKDRLGKLKKY >Manes.15G105600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8361623:8364160:1 gene:Manes.15G105600.v8.1 transcript:Manes.15G105600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLVQSIYTSPFQSTIHTNFNNSNACFLPKSLFTSFDANHPSKSLKGFLYLRERSCRLCIFYALLKAGLAGSIANPLVSGVDGAGAESGDLGFSKWLENKQGKPDKEAADKRKLVSKWHPTTKGTLKRNYRVPSKSEGRRLLKAIASLLSDDDHFTDATSHKGCQIRRETAHGESVCCNNVRVLFDELPTPHLVVEITAFPAGPLTENDYVKAEKLERALRSGPSV >Manes.09G019001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4010920:4011519:1 gene:Manes.09G019001.v8.1 transcript:Manes.09G019001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVVVEMFNALNNLSENQSLFVIPPWSNLWLVTSIILTMLFYILILYVHPLSVLFSVTPLSWAEWTVVLYLSFPV >Manes.04G148000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34490062:34496069:1 gene:Manes.04G148000.v8.1 transcript:Manes.04G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQRLKQQQQALMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFVHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFSVYHSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGAAGTNDDKQSSDAKSVVELTNGTSEEGKEMANNEAPENNPQYTTVYVGNLAPEVTQLELHRHFHSLGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNAQSILCGKQIKCSWGSKPTPPGTSSNPLPPPAAAPLPGLSATDLLTYERQLAMSKMGGVHALMHPQGQHPLKQAAMGMGAAGASQAIYDGGFQNVAAAQQLMYYQ >Manes.16G014950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1503620:1506079:1 gene:Manes.16G014950.v8.1 transcript:Manes.16G014950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKYAFAELREHNPLLILSNQPPITSSQTEVEEATSKTKRKSMKPRSTVWDHFTKVVDNTGTQKGKNHMFACIKNPHSMTTRQSQLSLQPTCSTQEGGGGTQIGTLSSWHFDQNVLRQKLAKMIIVDELPFMFVEGEGFREWVEYTQPRFRIPSRWTVSRDCYDLYLEERKKLKKKIQKTSQRVCITSDTWTSLQRINYMCVTAHFINDNWTLQKKIINFCPITSHKGDDIGMAIESCLLNWGIKRVFTITVDNASSNDVAISYLKKKINAWGFSILNCKYLHMRCIAHIINLVVVDGMKDGLTPIKKVRDAVRYIRQSPARLQRFKACCEMEGIQSKSSLCLDVSTRWNSTYLMLSSALKFENAFDRYATVDPYFKIDLQSCEGDSVPDSLEWEYIGKIVEFLGHFYELTLRISGSRYVTSNIIFDEISSVDCLLQEWKSSNDLELSCMGEKMKLKFDKYWGDPDKMNKIIYIAVVVDPRYKLEFMHFALSTVYGKEKDTELAKKVKLFVYELFDDYKRIFQSENANEHIENVSESIEEGTKKKPRMRLGHQFMQHKIEIGEAKNKSDLDSYLNEDILKMNANRFLILSHMVKDILAVHISTVASESAFSTGGRVLDCFRSSLTPKIVEALICTQDWLRKSQHHKSIEEQIADLERLEECMY >Manes.05G089800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8175031:8175934:-1 gene:Manes.05G089800.v8.1 transcript:Manes.05G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSGEGGVVVKIRTNPDVFWNHIQHSKKYFPKAAKTLYSSITSDSKKCNVRYVTYGPRAENIKNSTEIITKNDGGEFAYTVTGDILTKFSLTEFKAVIRYPRGGWVSWTWTYKYSSNDEEDAISVDKEMSEIATETLAKVDYYVQSESANFAAAAKLQAQAS >Manes.03G208500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32388173:32390936:-1 gene:Manes.03G208500.v8.1 transcript:Manes.03G208500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMMDVKGINSNRKSSSSSSSSSSTTQSEEEMGAHDLRRGPWTAEEDFNLINYIATHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLMILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLIERIQAANTNATSTSTSTATTTAAATTGVANITTDPTYHHHLINNTDMGNRQWAAVVHGGAAGLVGNEFGAAHVTPTTYTTPETSSTGASSDSFGTRVSPNDYYNMNPDYFQSVQVGYSDSMISPSGYFNQVMDFQTMEQNNHQLWVDGGDTSENLWNVDDIWFNM >Manes.01G261700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41731163:41732776:1 gene:Manes.01G261700.v8.1 transcript:Manes.01G261700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANESHTTSHADGEGPSSKPRLDDIPESCVALVLMHLDPLEICNLARLNRAFHGASSADFIWETKLPSNYRFVLDKVLDDDKTMAGLGKKDLYARMCRPIPFDGGTKEIWLDKNTAGVCLSISSKALTITGIDDRRYWNCISTDESRFQKVAYLHHIWWLEVDGELEFQFPAGKYSLFFRVQLGKPTKKMGRRVCNTEHIHGWDIKPVKFELTTSDGQHAASECFLENPGNWVNYHVGDFVVLHPNELTNIKFSLTQIDCTHTKGGLCLDAVFIYPSSLGTEISTTLKM >Manes.08G056600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6331276:6331776:1 gene:Manes.08G056600.v8.1 transcript:Manes.08G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSEKQENNPSAGYPTETPTTGKKCFNRSKKKGDRGFIEGCLFALCCCWLCEACF >Manes.03G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23748072:23756828:1 gene:Manes.03G113000.v8.1 transcript:Manes.03G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHRLVSIEELPSHLILEILMTGRLCAVDLVCLELTSKTFGGSHSLYPQKFKSMVDFAAFQLCVSHGVYKGMTRDAQKELFDRCGGNWKRVLRFLQAVEESSGMVETSAGNMQITTGRYHTLLISNSSVYSCGSSLCGVLGHGPETTQCVAFTRISFPSLAHVVQVSASHNHAAFVLDSGKVFTCGDNSSFCCGHRDTSRPIFRPRLVEALKGVACKQVAAGLNFTLFLTRQGHVYSCGTNTHGQLGHGDTVDRPTPKIIESLEGVGSIVQIAAGPSYVLAVTDNGAVYSFGSGSNFCLGHGEQHNEFLPRAIQTFRRKGIHVVRVSSGDEHAVALDSSGYVYTWGKGYCGALGHGDEIDKTLPEPLNSLKSHLAVQVCARKRKTFVLVDGGHVYGFGWMGFGSLGFPDRGVSDKVMKPRILDSLRAHRVSQISTGLYHTVAVTSQGQIFGFGDNERAQLGHDTLRACLEPTEIFVPEFVGDTSLASEN >Manes.03G113000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23748072:23756828:1 gene:Manes.03G113000.v8.1 transcript:Manes.03G113000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITTGRYHTLLISNSSVYSCGSSLCGVLGHGPETTQCVAFTRISFPSLAHVVQVSASHNHAAFVLDSGKVFTCGDNSSFCCGHRDTSRPIFRPRLVEALKGVACKQVAAGLNFTLFLTRQGHVYSCGTNTHGQLGHGDTVDRPTPKIIESLEGVGSIVQIAAGPSYVLAVTDNGAVYSFGSGSNFCLGHGEQHNEFLPRAIQTFRRKGIHVVRVSSGDEHAVALDSSGYVYTWGKGYCGALGHGDEIDKTLPEPLNSLKSHLAVQVCARKRKTFVLVDGGHVYGFGWMGFGSLGFPDRGVSDKVMKPRILDSLRAHRVSQISTGLYHTVAVTSQGQIFGFGDNERAQLGHDTLRACLEPTEIFVPEFVGDTSLASEN >Manes.S039116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1085607:1085981:1 gene:Manes.S039116.v8.1 transcript:Manes.S039116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.07G081166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24983829:24984708:-1 gene:Manes.07G081166.v8.1 transcript:Manes.07G081166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLRNEGGLGIKDIVAWNKAAVGRQIWDLATARESLRVAWMRRNRLKWLSLRGIIKPFEASWVWRNLVNTRKDLKGYFMYKLERGNFSFCFDPWMEDVAWTNIMRYRVNDQDDQVTRKLSSTGEYTIDSARQAWRRKRPLVVKARLVRCGLVPNAECCLCQRYEETVEHLFFRYAFLKVVWADVMQKCGINTLLLNWRKELVHKESSWKEPGLYYLLCYNSN >Manes.03G183400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30468944:30470430:-1 gene:Manes.03G183400.v8.1 transcript:Manes.03G183400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAFLSLCVTPLKTSVSCYPLIFIGGWLVKMKHLLEDQLPPSPRISINASSNSGLSRDCPDMGSTEMSIDGHGHVFANSCVSGCFPKGMDLNPARMVLAEMMGTFVLMFCVCGIIGNTQITRGQVGLLEYASTAGLTVIILVFSLGPISGAHVNPAVTIAFAAFGHFSWSRVPLYVLAQIVGSILATYVGKCIYGIKPELMATRPLRDCNSAFWVEFIATFIIMFLSASLTFQKSIMHLSGFVVGLAIGLAVLITGPLSGGSLNPARSLGPAIISWNFKDIWVYITAPVIGSLAGALMFHALRVQRRPCNSTDSSSNADLLGHSIAFRS >Manes.12G057504.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5559475:5563389:-1 gene:Manes.12G057504.v8.1 transcript:Manes.12G057504.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIMDCQLLRLVHDVESQEEQTKIKDIVSQICTISSTGNSLDPLLNECFKMKTTEAIKFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSDKYAMLHHVGLSEESDYELDNVASVKRKRRKKKKSRKKKRNFDDEEFYENELLDLDTSNDQLGLQSKAGSWLLSTDGFSASWTDVDLPDHLSKFYFSTWMKWVFAK >Manes.12G147700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36654141:36659944:-1 gene:Manes.12G147700.v8.1 transcript:Manes.12G147700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLPSYTPFSLKFNMQAYTSGRNFLGIFIRDFVQSPSRGRWIIPFRPYVKFVRTKCRISVPGNEKNEPSSCLRDKKMVPYSDPPTNTDVNLLYQFFDRSTNLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFLRSSRARRRYWARSYAGWRRFTAAQPGAAHFALASLEKAGRINFMVTQNVDRLHHRAGSNPLELHGTVYSVICLDCGSSFSRSLFQDQLKALNPKWAAAIESLGYGSPGSDKSFGMKQRPDGDIEIDEKFWAEDFHIPTCQKCNGMLKPDVVFFGDNVPKDRADKAMEAAKGCDAFLVLGSSLMTMSAFRLVRAAQEAGAATAIVNVGVSRADNLVPLRINARLGEILPRVIDIGSLSIPAL >Manes.12G147700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36654141:36659944:-1 gene:Manes.12G147700.v8.1 transcript:Manes.12G147700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLPSYTPFSLKFNMQAYTSGRNFLGIFIRDFVQSPSRGRWIIPFRPYVKFVRTKCRISVPGNEKNEPSSCLRDKKMVPYSDPPTNTDVNLLYQFFDRSTNLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFLRSSRARRRYWARSYAGWRRFTAAQPGAAHFALASLEKAGRINFMVTQNVDRLHHRAGSNPLELHGTVYSVICLDCGSSFSRSLFQDQLKALNPKWAAAIESLGYGSPGSDKSFGMKQRPDGDIEIDEKFWAEDFHIPTCQKCNGMLKPDVVFFGDNVPKDRADKAMEAAKGCDAFLVLGSSLMTMSAFRLVS >Manes.01G072200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27388064:27393469:1 gene:Manes.01G072200.v8.1 transcript:Manes.01G072200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNTTTPAVDSAASADELTAKAVHKRYEGLVMVRTKAVKGKGAWYWAHLEPILVQNTETGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSLSPPSNTAVASPSSGGGTAVVPAAASVVHNNRKRAAGASSGGMSASSYPMTAVAAVASASSYQVSPLAIVDPSRFSGELAVLPQQPHLMLSGGKEDLGALAMLEDSVKKLKSPKTSPGPALSKTQIDCALGHLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFSGGRLDAKFEETKVESEARIRDAMFFQIASDGWKVKSFSGFSGVNLVNLTVNLPNGTSLYRRAVFVSGSVPSKYAEEVFWETISGICGSAVQQCVGIIADRFKDKALRNIESQNHWMVNLSCQFQGFTSLIKDFSKELPLFRTVTENCFKLANFVNNKPQIRNSFHKYQLQEYGHAGLLRVPLREYEKMDFGPVYTMIEDILSSARALPLVLLDESYKIVSMEDPTAREVAEMIRDVGFWNELEAVHSLVKLTKEMAHEIETERPLVGQCLPLWDELRGKVKDWCSKFHIAEGAVEKAIERRFKKNYHPAWAAAYILDPLYLLRDTSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMKLANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWVCAHGHSRAAMDKAQKLVFIAAHSKLERRDFSSDEDKDAELFALANGKVPAEMSTFTCDSLKYTFISDLLKWLP >Manes.01G072200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27388083:27393469:1 gene:Manes.01G072200.v8.1 transcript:Manes.01G072200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNTTTPAVDSAASADELTAKAVHKRYEGLVMVRTKAVKGKGAWYWAHLEPILVQNTETGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSLSPPSNTAVASPSSGGGTAVVPAAASVVHNNRKRAAGASSGGMSASSYPMTAVAAVASASSYQVSPLAIVDPSRFSGELAVLPQQPHLMLSGGKEDLGALAMLEDSVKKLKSPKTSPGPALSKTQIDCALGHLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFSGGRLDAKFEETKVESEARIRDAMFFQIASDGWKVKSFSGFSGVNLVNLTVNLPNGTSLYRRAVFVSGSVPSKYAEEVFWETISGICGSAVQQCVGIIADRFKDKALRNIESQNHWMVNLSCQFQGFTSLIKDFSKELPLFRTVTENCFKLANFVNNKPQIRNSFHKYQLQEYGHAGLLRVPLREYEKMDFGPVYTMIEDILSSARALPLVLLDESYKIVSMEDPTAREVAEMIRDVGFWNELEAVHSLVKLTKEMAHEIETERPLVGQCLPLWDELRGKVKDWCSKFHIAEGAVEKAIERRFKKNYHPAWAAAYILDPLYLLRDTSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMKLANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWVCAHGHSRAAMDKAQKLVFIAAHSKLERRDFSSDEDKDAELFALANGEDDVLNEVLVDTSSVSTRQRYCWTGEATIRWLVV >Manes.01G072200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27387883:27393469:1 gene:Manes.01G072200.v8.1 transcript:Manes.01G072200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNTTTPAVDSAASADELTAKAVHKRYEGLVMVRTKAVKGKGAWYWAHLEPILVQNTETGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSLSPPSNTAVASPSSGGGTAVVPAAASVVHNNRKRAAGASSGGMSASSYPMTAVAAVASASSYQVSPLAIVDPSRFSGELAVLPQQPHLMLSGGKEDLGALAMLEDSVKKLKSPKTSPGPALSKTQIDCALGHLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFSGGRLDAKFEETKVESEARIRDAMFFQIASDGWKVKSFSGFSGVNLVNLTVNLPNGTSLYRRAVFVSGSVPSKYAEEVFWETISGICGSAVQQCVGIIADRFKDKALRNIESQNHWMVNLSCQFQGFTSLIKDFSKELPLFRTVTENCFKLANFVNNKPQIRNSFHKYQLQEYGHAGLLRVPLREYEKMDFGPVYTMIEDILSSARALPLVLLDESYKIVSMEDPTAREVAEMIRDVGFWNELEAVHSLVKLTKEMAHEIETERPLVGQCLPLWDELRGKVKDWCSKFHIAEGAVEKAIERRFKKNYHPAWAAAYILDPLYLLRDTSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMKLANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWVCAHGHSRAAMDKAQKLVFIAAHSKLERRDFSSDEDKDAELFALANEALDKDIVGLEKPP >Manes.01G072200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27387973:27393469:1 gene:Manes.01G072200.v8.1 transcript:Manes.01G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNTTTPAVDSAASADELTAKAVHKRYEGLVMVRTKAVKGKGAWYWAHLEPILVQNTETGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSLSPPSNTAVASPSSGGGTAVVPAAASVVHNNRKRAAGASSGGMSASSYPMTAVAAVASASSYQVSPLAIVDPSRFSGELAVLPQQPHLMLSGGKEDLGALAMLEDSVKKLKSPKTSPGPALSKTQIDCALGHLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFSGGRLDAKFEETKVESEARIRDAMFFQIASDGWKVKSFSGFSGVNLVNLTVNLPNGTSLYRRAVFVSGSVPSKYAEEVFWETISGICGSAVQQCVGIIADRFKDKALRNIESQNHWMVNLSCQFQGFTSLIKDFSKELPLFRTVTENCFKLANFVNNKPQIRNSFHKYQLQEYGHAGLLRVPLREYEKMDFGPVYTMIEDILSSARALPLVLLDESYKIVSMEDPTAREVAEMIRDVGFWNELEAVHSLVKLTKEMAHEIETERPLVGQCLPLWDELRGKVKDWCSKFHIAEGAVEKAIERRFKKNYHPAWAAAYILDPLYLLRDTSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMKLANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWVCAHGHSRAAMDKAQKLVFIAAHSKLERRDFSSDEDKDAELFALANGEDDVLNEVLVDTSSV >Manes.07G032050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3502365:3504619:-1 gene:Manes.07G032050.v8.1 transcript:Manes.07G032050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLGLRRTKWKRLSLNTNVTAILQCPPCHEISPTRSYEQSSSGSVREEEKGILNGNIDPNSKVKKPAYGSHRKIMCLRCRQAILITGNIIENIVCPACQNQNRLQYCLQKNSVIAFTDFYLA >Manes.07G032050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3503507:3504619:-1 gene:Manes.07G032050.v8.1 transcript:Manes.07G032050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLGLRRTKWKRLSLNTNVTAILQCPPCHEISPTRSYEQSSSGSVREEEKGILNGNIDPNSKVKKPAYGSHRKIMCLRCRQAILITGNIIENIVCPACQNQNRLQYCLQKNSVIAFTDFYLA >Manes.11G024900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2505547:2511442:-1 gene:Manes.11G024900.v8.1 transcript:Manes.11G024900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVKNNFLPPGLVSNLQEVLSSRKGEEDNSNDKSTEPSTCTSVENTSEAEENSNPIVLVTNGDGIESPGLVFLVQALVHEGLYNVHVCAPQSDKSVSGHSVTLQETIAVTSANIKGAKAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINQGSSCGNHMFYSGVVAGAREALISGVPSLSISLNWKKNESQESHFKDAVAVCLPLIKAAVRDIEKGTFPKSCSLHIEIPTSPSTNKGFKLTKQSMWRSVPSWRAVSANRNPSAGHFMSNQQSLGIQLAQLGRDASAAGAARRVTTQKKNVEIESIGAAGKSDANRVKKYFRLEVAITPVLLSQHMETDIRVAASDWISSAALTGGQ >Manes.11G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2505547:2511442:-1 gene:Manes.11G024900.v8.1 transcript:Manes.11G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVKNNFLPPGLVSNLQEVLSSRKGEEDNSNDKSTEPSTCTSVENTSEAEENSNPIVLVTNGDGIESPGLVFLVQALVHEGLYNVHVCAPQSDKSVSGHSVTLQETIAVTSANIKGAKAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINQGSSCGNHMFYSGVVAGAREALISGVPSLSISLNWKKNESQESHFKDAVAVCLPLIKAAVRDIEKGTFPKSCSLHIEIPTSPSTNKGFKLTKQSMWRSVPSWRAVSANRNPSAGHFMSNQQSLGIQLAQLGRDASAAGAARRVTTQKKNVEIESIGAAGKSDANRVKKYFRLEFLDKEHEDTDEDLDYRALENGFVAITPVLLSQHMETDIRVAASDWISSAALTGGQ >Manes.08G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33332475:33335907:-1 gene:Manes.08G099900.v8.1 transcript:Manes.08G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSARTGRHKQRYQDHLRLVAGCIPYKLDKNVQDKNCRVEDSVRVLMISTPNRKDLVFPKGGWEDDETLDQAACREAMEEAGVTGLLGENPLGVWEFRSKSSQNSGSLLGGCKGYMFALKVTEELDHWPGQASYKRKWLSTEEAFEFCRYEWMREALKMFQASLSKDNAHGKEEEPTESDQMLSSGCFVKTSGVQHLEESSAKPCLMTI >Manes.11G050800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5932439:5939460:1 gene:Manes.11G050800.v8.1 transcript:Manes.11G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGKLARRALETEMPVMVQIQEVIRGAKNAMSLAQGVVYWQPPKQALEKVKELVWEPSISRYGADEGIPELREALTLKLQKENKLVKSSVMVTAGANQAFVNLVLALCDAGDSVVMFAPYYFNAYMSFQMTGVTNILVGPGNRKTLHPDADWLERTLSETRPVPKVVTVVNPGNPTGTYIPEPLLKRISDLCRKAGSWLIVDNTYEYFMYDGLKHSCVEGDHIVNIFSFSKAYGMMGWRVGYIAYPSEVEGFATQLLKIQDNIPICASLLSQHLALYSLEMGPEWVTERVKDLVRNREILLEALSPLGEDAVKGGEGAIYLWAKLPDKYLDDFRVVQWLATRHGVVVIPGGACGCAGHIRISFGGLIESDCKVAAERLRRGLEELVNHGIME >Manes.11G101150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21909560:21909932:-1 gene:Manes.11G101150.v8.1 transcript:Manes.11G101150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALNYTSCPNYTFCDLKRRRWFNMLSSASGEEWWAALIFFSARVSARLNLGLGVLTF >Manes.03G163600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29029665:29032868:-1 gene:Manes.03G163600.v8.1 transcript:Manes.03G163600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQEFDSTSIALPLTSTTGNTTATVASSSPISSTSNLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPENNPFGARAVRLYLREVRDSQSKARGISYEKKKRKRPPHQQQQNPALVMAPPPASATTDTSDDHDGMDMPELVYT >Manes.03G163600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29029665:29032868:-1 gene:Manes.03G163600.v8.1 transcript:Manes.03G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQEFDSTSIALPLTSTTGNTTATVASSSPISSTSNLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPENNPFGARAVRLYLREVRDSQSKARGISYEKKKRKRPPHQQQQNPALVMAPPPASATTDTSDDHEFELLAA >Manes.03G163600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29029665:29032868:-1 gene:Manes.03G163600.v8.1 transcript:Manes.03G163600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQEFDSTSIALPLTSTTGNTTATVASSSPISSTSNLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPENNPFGARAVRLYLREVRDSQSKARGISYEKKKRKRPPHQQQQNPALVMAPPPASATTDTSDDHE >Manes.18G125800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14539062:14543471:-1 gene:Manes.18G125800.v8.1 transcript:Manes.18G125800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.18G125800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14539062:14543880:-1 gene:Manes.18G125800.v8.1 transcript:Manes.18G125800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.18G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14540103:14543232:-1 gene:Manes.18G125800.v8.1 transcript:Manes.18G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKTEPSMRPLPAAGHRSMPWVDLIIPSTEFRRICYPCLLYQLDKITERLKVPFCSDVFAADSKLARSC >Manes.18G125800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14540245:14543232:-1 gene:Manes.18G125800.v8.1 transcript:Manes.18G125800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.18G125800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14539062:14543326:-1 gene:Manes.18G125800.v8.1 transcript:Manes.18G125800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.01G204900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37806174:37815377:-1 gene:Manes.01G204900.v8.1 transcript:Manes.01G204900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSHGDSKRKYSWWWDSHISPKNSKWLQENLTDMDLKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAQRTMAEAFPNQVPFILTDDSPAGSADGEPRTPDMPPIRALFDPDELKNDALGISPSYSHALKRLGAFTEESDSVPVRKSLKQFNDLLGTGEARARKGLSFHDAEENDHGVQDNDKNDIKSRVPSDSQRVGKAELEIATLKDTLAKLEAEKEAGLLQYQQSLQRLSNLESEVSRAKEDSKGLNERASKAEAEVQTLKEALTKLEAEREASLLQYQQCLDKITNLENIISHTQKDAGELNERASKAEVVVQSLEQELANLEAEKEEFLYQYKECLEKISDLEGKLLHAEDDARKCYERADKAEREIETLKEMLAKLTDENEAAATQYQKCLDTISSLEQKLAFAQEEAQRLKSEVDDGVVKLKGAEEKCLLLERSNNTMHSELEYLAQEMSAQSEELTEKQKELGRLWTCIQEERLRFVEAETAFQTLQHVHSQSQEELRSMAAELQNRARILQDLEARNQSLQHEVEQVKVENKGLSEVNLSSNLTIQDLQEEISNLRQTIGRLEAEVELRLDQRNALQQEIYCLKEELNDINKKNQAIMDQVDSVGLSPECLGSSVKSLQDENIKLKEVCVRETDQNVALLQKLEIMEKLAERNALLENSLSELNVELEGVREKVRVLEESCQSLLEEKSTLVSEKVTLVSQLQVATDNVEKLTEKTNFLENSLFDANAEVEGLRIKSKSLQDLCMLLDNEKSDLATVKGNLMSQLNITQKRLEDLEKNYRDLEEKCSTLEEERESTLHEIEELYVRLNAQKQEHASLAQLSESQLAGMATQVRMLQEEGQCIKKEHEEELDKAFYAQTEIFILQKCMQDLEEHNSSLSIERQKLLEESKLSEKLISELKHENLEQEVEVKSLYDKIEVLRVGLYRVLKTLGLDGKQGCEDKAEQDQMLLNYALDKLLETQRFLLEMQDENQQLGIENTILVTLLGELQWELANLTAAKNTLDQELASRSEQFLVLHGESQKLADVNEELSLKIIEGEHKEETLKAQLKTMHGQLLDLQGDCQNLQKENCKLVDEQRLLMKSLSDLGEEKCNLEDENFTIFAETLSLSTISLIFKDIISEKHSEIKELSENLDKLHVVNNGLDEKVKIMEGKLLELSIIKDEKRELYKMVEDLKCKRDEVELIRADQEKQIIKLSGDCDEQSKEIECIQKANWEMKAELGKLNGELLETKVREEILNRELQKGRNEAEWWESQAVALFGELQISAVQQALFEGKVHELIELCENLEGRNCLKAEEIDQMKERVSTLEHENEELKSQMTSYVPAFISLTDCIASLENHTLSHPTLHEHKEAKDTPFVVHAESCQRICDDQTAMGQGGLLEVEGLRMRIIAIQEAVEERERFVILEKSEAYSKLAEAIRQIEELKSRSSLHEEAVVSSKKGNQNPEDKGLGSAAYYNLRLQKPTHETSEEGSEVMTKDIMLDQISESSSYGISRRDTPEADAPMLEIWQTTEQDAAIDLTVGKAQKQTAASTEKKGKKELTSMESMVEKDVSVDKLEISKRLSGSRQDVNERKILERLDSDAQKLTNLQITVQDLKRKVEITEKNKKGKGNEYDSVKEQLEESEEAITKMFEVNLQLMKSIEDEQLSIDEKSALASDENGSARKRRISEQARRGSEKIGRLQLEVQKLQFLLLKLDEENKSGGKTKIIESKTRVLLRDYLYGGTRTSQKKKKRHFCACVQPPTEGD >Manes.06G104800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23719497:23720351:-1 gene:Manes.06G104800.v8.1 transcript:Manes.06G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSLVTISPSSPSFNSYSSGKLSQTAARVVQEFSNDSESEDDSHFYSLETKQHFPPSIQESEDHEKLGDDDEEEFEFAILSTEPDASPVAADEIFYNGKIRPIYPLFNTALLMDGEELSKSLSAASNQTDTTKPIRLQLKKLFREDLETTSCSSSEADDLENVPAGTYCVWTPKKGKESLERCKKSNSTGSSKRWKFRDLLYRSNSDGKDNFVFLTPAKKSSERVMGDEGKENKDKDKVKEHYAKSRALKEEDKRRSFLPYRQDLVGFFSNVHGLNKNLNPF >Manes.13G086300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15980475:15986308:1 gene:Manes.13G086300.v8.1 transcript:Manes.13G086300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSVQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G086300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15980522:15986293:1 gene:Manes.13G086300.v8.1 transcript:Manes.13G086300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSVQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G086300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15981365:15986365:1 gene:Manes.13G086300.v8.1 transcript:Manes.13G086300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSVQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G086300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15980475:15986299:1 gene:Manes.13G086300.v8.1 transcript:Manes.13G086300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSVQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKVRLSIFLFLACFHNS >Manes.13G086300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15980478:15986307:1 gene:Manes.13G086300.v8.1 transcript:Manes.13G086300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSVQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G086300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15980481:15986308:1 gene:Manes.13G086300.v8.1 transcript:Manes.13G086300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSVQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G086300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15980481:15986308:1 gene:Manes.13G086300.v8.1 transcript:Manes.13G086300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSVQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G086300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15980476:15986298:1 gene:Manes.13G086300.v8.1 transcript:Manes.13G086300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQAEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSVQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.01G262150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41754755:41755769:-1 gene:Manes.01G262150.v8.1 transcript:Manes.01G262150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIK >Manes.01G262150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41754755:41755769:-1 gene:Manes.01G262150.v8.1 transcript:Manes.01G262150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIK >Manes.18G015600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1648836:1651061:-1 gene:Manes.18G015600.v8.1 transcript:Manes.18G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGPYSGTSTLALVARASAFSLGLVYGSLKLKILKMKTKSHKNSEAKAHH >Manes.16G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4678099:4680831:-1 gene:Manes.16G036800.v8.1 transcript:Manes.16G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHPILRRWFCFCKKISKKRMVESIAKRWKHLSGQNNWKDLLDPLDIDLRRFIIHYGEMAQATYDAFNTQKASKYAGSSLYAKADFFSKVGLEKGNPYKYSVTKFLYATSQIPLPEAFIVKSLSREAWSKESNWIGYVAVATDEGKALLGRRDIVIAWRGTVQTLEWVDDFEFLFVSASKILGNSGDPKVHQGWYSVYTSDDPRSPYNKSSARDQVLNEVRRLVEQFKNEEISITVCGHSLGAALATLNAVDIVANGFNKSKSSPNKACPVTAIVFASPRVGDSDFKKVFSGCKDLRVLRIRNALDVVPNYPLIGYTDVGQELTIDTTKSKFLKSPGNVSAWHNLEGYMHGVAGTQGSKGGFSLEINRDIALVNKSLDGLKDEYLVPVAWRVQKNKGMVQQPDGSWKLMDHEDDVPEM >Manes.16G014600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1463457:1466320:-1 gene:Manes.16G014600.v8.1 transcript:Manes.16G014600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSARHINILPLLLHCLMIIHLTNALVCYNTGNFTANSTYAKNRGLILSYLASNVTENGGFYTATVGQGPDKVYGLVLCRADTPSEECSKCVNTAIAELVEQCPNQKEALSWGGDPPCIIRYANRSIVGLLELSPTDAGYNVNNITSNMEEFDQIWGSLMDRVVTKASMGSSKVKFATEEANLTPFQKIYALMLCTPDISKGNCSYCLRESVENYQSCCHGKQGGYVQKPNCIFRWDLYLFYKSIADAPTPTTPPPPLSISPPPANNTISKGNGTTSGRTVVIITVPTIIFAAVVVLTCSLFYYRNCKKRTKSKRNRKKRTKKVDESSSTECMKFNFETIRLATKDFSDNNKLGQGGFGAVYKGVLPDGQVVAIKRIARISKQGEADFKNEVMLVARLQHRNLVRLLGFCFERNERLLVYEFVKNSSLDHFIYAHQDSRLRIIHRDLKVSNILLDEQMNPKISDFGTARLFPTNQSEDATSKIMGTFGYMAPEYAFRGIVSVKSDVFSFGVLILEIISGQNINKFQIDEEGSLLTYAWENWNAGTASKIIDPVLVGAASTNEILRCVQIGLLCIQEDAAKRPTMASVILMLDSCSAVISELSRPAYFLHSQQEPITIGTQSKRRSAQPSANECSISEMEPR >Manes.09G056401.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9075398:9078885:-1 gene:Manes.09G056401.v8.1 transcript:Manes.09G056401.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDFAVPPVIFPSGGNPTVGSASNMQQRRVATAPFQPPRPSNSGIPFMSFEIGSATTAPYGAGPIGGGSTLSSGGVNFEDEEPLLDELGIHPDQIWRKTKSILNPFRVNPTFHKDSDLSGPIFLYLSLCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRNGNLDLHTCTSVLGYCLLPVVMLSAISLFLPQGGPVRFLIAGVFVIWATRACTNLMVAVADGGEEHRGLIACR >Manes.09G056401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9075398:9078885:-1 gene:Manes.09G056401.v8.1 transcript:Manes.09G056401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDFAVPPVIFPSGGNPTVGSASNMQQRRVATAPFQPPRPSNSGIPFMSFEIGSATTAPYGAGPIGGGSTLSSGGVNFEDEEPLLDELGIHPDQIWRKTKSILNPFRVNPTFHKDSDLSGPIFLYLSLCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRNGNLDLHTCTSVLGYCLLPVVMLSAISLFLPQGGPVRFLIAGVFVIWATRACTNLMVAVADGGEEHRGLIAMLDWLREVAGCPPCLKV >Manes.09G056401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9075398:9078885:-1 gene:Manes.09G056401.v8.1 transcript:Manes.09G056401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDFAVPPVIFPSGGNPTVGSASNMQQRRVATAPFQPPRPSNSGIPFMSFEIGSATTAPYGAGPIGGGSTLSSGGVNFEDEEPLLDELGIHPDQIWRKTKSILNPFRVNPTFHKDSDLSGPIFLYLSLCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRNGNLDLHTCTSVLGYCLLPVVMLSAISLFLPQGGPVRFLIAGVFVIWATRACTNLMVAVADGGEEHRGLIASIGCIKS >Manes.04G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31337908:31340931:-1 gene:Manes.04G109500.v8.1 transcript:Manes.04G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSMLTQYDIEEVQRHCHNLFSQQEIVSLYKRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASLEQKVGLIFKVYDTDGNGKVSFNDILEVLQDLSGSFMSDEQREKALCQVLKEAGYTRESYLMLNDFIKIFGHSGLKMEVEVPVD >Manes.03G065580.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7542986:7547302:1 gene:Manes.03G065580.v8.1 transcript:Manes.03G065580.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKVDNEESVARCKERKILMKEAVVARNAFAAGHSGYAISLKNTGAALSDYAQGEVQDSHSHLLEPPIEPISQPPPPPPPPPSMDSLPPPPPLPNFSPSPIPIKRALSMPAIPMMPHLKPGEKIDSMAIAEEDEDDDELDHGARNGIANDNKTKNKDLNGSRGPPNGKVRPEETPDSSPRTLENHAVPPMPESKNMAWDYFFKVDDMPGPSLEPEVDTHRNVNTFGSVEDLSVRFGGIENPTGAEVDAVEPKTPEKPEEHLATVAEEEEEEEDKEPKKERHIEHSKTAPPDFRVVGKKVVQVPTVNLMQVLSEIDDHFLKASESAQEVSKMLEATRLHYHSNFADNRGYVDHSARVMRVITWNRSFRGAPNGEGGKDELDSEDYETHATVLDKLLAWEKKLYDEVKQGELMKLEYRKKVALLNRQKKRGASAESLEKTKAAVSHLHTRYIVDMQSMDSTVSEVNDIRDKQLYPKLVELVEGMAKMWNSMCMHHNGQLKIVTDLKSLDVSHSVKETTRHHHERTKQLCNVVQGWHSQFEKLATHQKQYVQTLNNWLKLNLIPIESSLKEKISSPPKVPNPPIQALLHSWHDYLEKLPDEVAKSAISSFAAVIKTIELHQEEEMKLKEKCEETRKEFLRKNQAFEEWYQKYMQRRTPTDEADAERGEDANSKDPISERQFVVESLKKRLEEEVEAHQRHCIQVREKSLGSLKLRLPELFRAMSDYAHACSDAYEKLRALTQLQNSSHGPPQ >Manes.01G175500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35653414:35657978:-1 gene:Manes.01G175500.v8.1 transcript:Manes.01G175500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKSAVRILDRKLIVKDRTILSGVPENVIATSGSSSGPVDGVFLGAFFDGENSRHVVPLGTLRDVRFMACFRFKLWWMAQKMGDHGRDIPLETQFLLVETKDGSQLESDDGNEENQIIYTVFLPLIEGSFRACLQGNTNDELELCLESGDDDTKASSFTHSLFIHAGTDPFATITDAIREVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLQSLAAGGTLPKFVIIDDGWQSVGSDPQEETISNDQKENKPQPLLRLTGIKENSKFQKKDDPMVGIKNIVNIAKQKHRLKYVYVWHAITGYWGGVRPGAREMEKYGSLMKYPMLSKGVVENEPTWKNDALALQGLGLVNPKKVHTFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVELTRQYHQALDASVAKNFPDNGCIACMSHNTDALYCSKQTAVMRASDDFFPRDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPVYVSDEPGKHDFKVLKKLVLPDGSILRARLPGRPTRDCLCSDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWNSVERKNTFHQTKSDAITGAIRGRDVHLIAEAATDSSWNGDTAIYGHRTGELVILPYNVSMPVSLKVLEHDIFTVTPIKILAPGFSFAPFGLVAMYNAGGAIEGLKYEVKGGAQLSEIEEGYKGENSILSEERVENCNNELVGEVYMEIRGCGKFGAYSATKPRKCIVDSNVVDFVYDSSTALLTFSLDSLPEEGEFHVIKVEL >Manes.01G175500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35653414:35657625:-1 gene:Manes.01G175500.v8.1 transcript:Manes.01G175500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSCFSRINPESLVNFTHLSRFPSIQSYSSFFLNISTTTTIKNRRSIYSRKYFSHRALSLSAFKKEDQLEEMTIKSAVRILDRKLIVKDRTILSGVPENVIATSGSSSGPVDGVFLGAFFDGENSRHVVPLGTLRDVRFMACFRFKLWWMAQKMGDHGRDIPLETQFLLVETKDGSQLESDDGNEENQIIYTVFLPLIEGSFRACLQGNTNDELELCLESGDDDTKASSFTHSLFIHAGTDPFATITDAIREVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLQSLAAGGTLPKFVIIDDGWQSVGSDPQEETISNDQKENKPQPLLRLTGIKENSKFQKKDDPMVGIKNIVNIAKQKHRLKYVYVWHAITGYWGGVRPGAREMEKYGSLMKYPMLSKGVVENEPTWKNDALALQGLGLVNPKKVHTFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVELTRQYHQALDASVAKNFPDNGCIACMSHNTDALYCSKQTAVMRASDDFFPRDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPVYVSDEPGKHDFKVLKKLVLPDGSILRARLPGRPTRDCLCSDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWNSVERKNTFHQTKSDAITGAIRGRDVHLIAEAATDSSWNGDTAIYGHRTGELVILPYNVSMPVSLKVLEHDIFTVTPIKILAPGFSFAPFGLVAMYNAGGAIEGLKYEVKGGAQLSEIEEGYKGENSILSEERVENCNNELVGEVYMEIRGCGKFGAYSATKPRKCIVDSNVVDFVYDSSTALLTFSLDSLPEEGEFHVIKVEL >Manes.01G175500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35653414:35657625:-1 gene:Manes.01G175500.v8.1 transcript:Manes.01G175500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKSAVRILDRKLIVKDRTILSGVPENVIATSGSSSGPVDGVFLGAFFDGENSRHVVPLGTLRDVRFMACFRFKLWWMAQKMGDHGRDIPLETQFLLVETKDGSQLESDDGNEENQIIYTVFLPLIEGSFRACLQGNTNDELELCLESGDDDTKASSFTHSLFIHAGTDPFATITDAIREVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLQSLAAGGTLPKFVIIDDGWQSVGSDPQEETISNDQKENKPQPLLRLTGIKENSKFQKKDDPMVGIKNIVNIAKQKHRLKYVYVWHAITGYWGGVRPGAREMEKYGSLMKYPMLSKGVVENEPTWKNDALALQGLGLVNPKKVHTFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVELTRQYHQALDASVAKNFPDNGCIACMSHNTDALYCSKQTAVMRASDDFFPRDPVSHTIHIAAVAYNSVFLGEFMLPDWDMFHSLHPAAEYHASARAISGGPVYVSDEPGKHDFKVLKKLVLPDGSILRARLPGRPTRDCLCSDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWNSVERKNTFHQTKSDAITGAIRGRDVHLIAEAATDSSWNGDTAIYGHRTGELVILPYNVSMPVSLKVLEHDIFTVTPIKILAPGFSFAPFGLVAMYNAGGAIEGLKYEVKGGAQLSEIEEGYKGENSILSEERVENCNNELVGEVYMEIRGCGKFGAYSATKPRKCIVDSNVVDFVYDSSTALLTFSLDSLPEEGEFHVIKVEL >Manes.14G081000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6725307:6732523:1 gene:Manes.14G081000.v8.1 transcript:Manes.14G081000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASLCSSTQINGFGGGLRLQKTHLYQPSPFTLTRRKIHTVVKATARVDKFLKSDIIVSPSILSANFSKLGEQVKAVELGGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRICAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSAVFGAKDYAEAIKGIKTSKRPVAVPA >Manes.08G161000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39671269:39675664:-1 gene:Manes.08G161000.v8.1 transcript:Manes.08G161000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRDTLKEPKLKVEGAGRDSTITVNLQNGVQAQFPAYLEEDMGISQKNLQSLASILLTRNVNNNIGKGLLERQMLGFDLEQISLEEMEHSLAERTVENRDVQASDDSFLPGLHEDTALDILAWSCRSDYPILACVNKKFKKLLGSGYLYRLRRRLGVIEHWVYLACILMPWEAFDPVRQRWMRLPRMPCDECFTYADKESLAVGTELLVFGRELSGFAIWMYSLLSHEWARCPPMILPRCLFGSSSLGEIAIIAGGSDKNGRILRSAELYNSELGVWQNLPDMNLPRKLCSGFFMDGKFYVIGGMSSQTECLSCGEEYNLETRTWRRIENMYTVSSAGHPAMRSPPLVAVVNNQLYSADQATNEVKKYDKINNTWSVVKQLPVRADSSHGWGLAFKACGNSLLVIGGHRGPEGEVIVLHSWDPQDRTMHGPEWDVLAVKERAGAFVYNCAVMGC >Manes.08G172600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41027510:41029146:-1 gene:Manes.08G172600.v8.1 transcript:Manes.08G172600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVIFYLSALLILTAAATTMGSSSSLSPYYYDRVCPEALPAIKRLIEAAVYREPRMGASLLRLHFHDCFVNGCDASVLLDPSPTIDSEKNALANINSARGFEVIDEIKQEVDKVCGRPVVSCADILAVAARDSVVALGGPTWKVLLGRRDSTTASRAKANADIPSPFMDLPALIKNFKNQGLDERDLVALSGGHTIGFAQCFVFRDRIYNETNIDPKFAKARRSTCPRTGSDSNLSPLDPTPAHFDTAYFTNLKMKKGLLHSDQQLFNGGTTDAIVKTYSSNAKAFSADFARSMVKMGNIKPITGKQGEIRFNCRKVNY >Manes.07G035000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3810792:3812062:1 gene:Manes.07G035000.v8.1 transcript:Manes.07G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMALSSTDSFDLTDFVINKGNGVKGLSDLGIKSLPSQYIQPQEALINIIPQKSIPVIDMSNWENDPRVAESVCEAAEKFGFFQLVNHGVPLEVLDGVKDATHRFFGLPAEEKRKFSKELSSTNNIRFGTSFSPDAEKALEWKDYLSLFYVSEEEASVLWPSACRDEVLEYMKKSQVLCTKLMTALMEKLNVKEIDESKESLLMGSKRINLNYYPRCPNPQLTVGVGRHSDVSSLTFLLQDEIGGLYVRINEGKGDEDGWVHVPPIEGSLVINVGDALQILSNGRYKSVEHCVIASGSKNRISIPIFVNPKPNDVIGPLPELIAAGEKPKYKNILYSDYVKHFFRKAHDGKKTVAFAEI >Manes.16G129600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33074768:33080372:-1 gene:Manes.16G129600.v8.1 transcript:Manes.16G129600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKELIKVRFSFNSRAGFLSTQRGFSALPDYSQHENLEDQVLVEGRAKSRAAVLNRPSSLNALTAPMVARLKRLYESWEENPDIGFVLMKGSGRAFCSGGDMIWLNELVNEGRVEECKSFFQMLYKFVYLQGTYLKPHVAILDGITMGCGAGISLPGMFSVVTDKTIFAYPETQMGFHPDAGASYYLSRLPGCLGEYLALTGDKLNGVEMIACGLATHYSLNARLALIEERLGRLITDDRTVIETSLAQYGDIVFPDKTSVLHKIETIDKCFGHDTVEEIIDALENEAASSYENWCKTALRKIKEASPLSLKIALRSIREGRFQSLDQCLAREYRVSLTGISKTVSTDLFEWNPPSLGEVSEDMVDCYFSPPGEVEPELELPTAIREPYI >Manes.16G129600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33074768:33080409:-1 gene:Manes.16G129600.v8.1 transcript:Manes.16G129600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKELIKVRFSFNSRAGFLSTQRGFSALPDYSQHENLEDQVLVEGRAKSRAAVLNRPSSLNALTAPMVARLKRLYESWEENPDIGFVLMKGSGRAFCSGGDMIWLNELVNEGRVEECKSFFQMLYKFVYLQGTYLKPHVAILDGITMGCGAGISLPGMFSVVTDKTIFAYPETQMGFHPDAGASYYLSRLPGCLGEYLALTGDKLNGVEMIACGLATHYSLNARLALIEERLGRLITDDRTVIETSLAQYGDIVFPDKTSVLHKIETIDKCFGHDTVEEIIDALENEAASSYENWCKTALRKIKEASPLSLKIALRSIREGRFQSLDQCLAREYRVSLTGISKTVSTDLFEGTRARLLDKDFAPKWNPPSLGEVSEDMVDCYFSPPGEVEPELELPTAIREPYI >Manes.16G129600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33074768:33080358:-1 gene:Manes.16G129600.v8.1 transcript:Manes.16G129600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKELIKVRFSFNSRAGFLSTQRGFSALPDYSQHENLEDQVLVEGRAKSRAAVLNRPSSLNALTAPMVARLKRLYESWEENPDIGFVLMKGSGRAFCSGGDMIWLNELVNEGRVEECKSFFQMLYKFVYLQGTYLKPHVAILDGITMGCGAGISLPGMFSVVTDKTIFAYPETQMGFHPDAGASYYLSRLPGCLGEYLALTGDKLNGVEMIACGLATHYSLNARLALIEERLGRLITDDRTVIETSLAQYGDIVFPDKTSVLHKIETIDKCFGHDTVEEIIDALENEAASSYENWCKTALRKIKEASPLSLKIALRSIREGRFQSLDQCLAREYRVSLTGISKTVSTDLFEWNPPSLGEVSEDMVDCYFSPPGEVEPELELPTAIREPYI >Manes.16G129600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33074768:33080358:-1 gene:Manes.16G129600.v8.1 transcript:Manes.16G129600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKELIKVRFSFNSRAGFLSTQRGFSALPDYSQHENLEDQVLVEGRAKSRAAVLNRPSSLNALTAPMVARLKRLYESWEENPDIGFVLMKGSGRAFCSGGDMIWLNELVNEGRVEECKSFFQMLYKFVYLQGTYLKPHVAILDGITMGCGAGISLPGMFSVVTDKTIFAYPETQMGFHPDAGASYYLSRLPGCLGEYLALTGDKLNGVEMIACGLATHYSLNARLALIEERLGRLITDDRTVIETSLAQYGDIVFPDKTSVLHKIETIDKCFGHDTVEEIIDALENEAASSYENWCKTALRKIKEASPLSLKIALRSIREGRFQSLDQCLAREYRVSLTGISKTVSTDLFEGTRARLLDKDFAPKWNPPSLGEVSEDMVDCYFSPPGEVEPELELPTAIREPYI >Manes.01G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5074825:5076530:-1 gene:Manes.01G023600.v8.1 transcript:Manes.01G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMYASEIMNSYSYHLMDSIALQKLLIIFLFSFLFFPSFILSHDQKQKIIKLYLPPSVAGPEATAFDRAGFGPYTGVADGRVFKYVNPTDGYVEFATTSSTRPKQLCDGNSNRAIEPKCGRPLGLAFYHTTGHLYIADAYFGLVEVGPRGGIAASISKSAGGVPYRNLNALDVDQKNGVVYFTDLSTKFQLRQFNDALLSGDQTGRLLKYDIRKKQATVLAHNLGLPVGVAVSKHGDFVIVSEYVHNRTLRFWLTGHKAKTTEIFTKFPGRPDNIKRNAKGEFWIPVTSVNGNPNRTVAWKLDEDGKLKKSFDLRMVGNPLPMYSEVQEIHPRVFSLGILNLNYSGIMY >Manes.15G052100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4027637:4033739:-1 gene:Manes.15G052100.v8.1 transcript:Manes.15G052100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLEVGAAVSVLLGFAPLTTLSAAGSSKLNEVLMPNPFDRPRAVFMLEVTGVNDLVAPANSMFSNALRSKVILDSKKAQIELPDEEVSVVSLDEKLSDCNDEELSSLASWLGGSYTIDNLEPRNGKLIIPLASGSNMILYVSKKADEEFIGSLLALLRNSRRAIEMHEDLSQAIQGPAELIIGRFDGIKALQEQYGHEVVKQGLELLLATLSKLLDSYQAAYRGQIVGVIICTEISSPESGTMLNVIMTSRPSARWLAEKEGSNATNATTIAEIALVRKTLAWVTGIILLISTLLGIHLLLNMPLTRDTLLYANVKLD >Manes.15G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4027637:4033739:-1 gene:Manes.15G052100.v8.1 transcript:Manes.15G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSTMDHHIRSFFRLLIVSSILGSVARADSSGSVFFIDGQNRQYLRTSPSNDVLQSHLMSPLEVGAAVSVLLGFAPLTTLSAAGSSKLNEVLMPNPFDRPRAVFMLEVTGVNDLVAPANSMFSNALRSKVILDSKKAQIELPDEEVSVVSLDEKLSDCNDEELSSLASWLGGSYTIDNLEPRNGKLIIPLASGSNMILYVSKKADEEFIGSLLALLRNSRRAIEMHEDLSQAIQGPAELIIGRFDGIKALQEQYGHEVVKQGLELLLATLSKLLDSYQAAYRGQIVGVIICTEISSPESGTMLNVIMTSRPSARWLAEKEGSNATNATTIAEIALVRKTLAWVTGIILLISTLLGIHLLLNMPLTRDTLLYANVKLD >Manes.06G020000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:3494678:3498374:-1 gene:Manes.06G020000.v8.1 transcript:Manes.06G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKFYSEIKGLKVKEVPNYVKPMLSMDYVKKSVQKGLDNYHAKYIETSSIEPLYHVCFGGMILSYLIALPEERRHLEHQQHAKEHGH >Manes.08G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34014784:34020779:1 gene:Manes.08G102200.v8.1 transcript:Manes.08G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAGSSADNDCNGIAIADSGDALYRELWHACAGPLVSVPRQGELVYYFPQGHIEQVQASTNQVADQQMPAYDLPAKILCRVVNVQLKAELDTDEVFAQITLLPQHEQDAKLVEEALPPPTRPRVHSFCKTLTPSDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLLGNKWHFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGENGEIRVGVRRAMRQPSNIPPSVISSHSMHIGILATAWHAVSTGTMFTVYYKPRTSPAEFIIPVDKYDESVKSSFTTGMRFKMRFEAEEAPEQRLSGTVVGVEQADPKRWPASKWRCLKVRWDETSPIHRPEKVSPWEIEPASAPSLNSLPACRIKRPRSNMAMHSADSAASIKKDNGLSRDLQDQENETLRSTFAVSKFQETAQNPSRGSSLHVKDQNCTYFGKRRFEHESRNPQLGLGEKYLNAALGQQTPHDSGEFGQHLVDLIPDTAGQLKKHVVDEENKLNWCSLSIMHSGPSLNMCEPILEPHTSKDKEICPTSWNLKSTFLRQSDYVQGMEVDYQPGQRILSQGCAGNSPIATEKLHPLSLQLHEEVKSNGDSSCKLFGISLISNPFPMEPAMPHEMFMLRPQGQFNHASDHLQDMGSNLSLQEFKNPKCSESIIRCDEEKSFLASDNFVLNKTQGGLTRRCVKVQKQGIGVGRSVDLTKFNNYDEFLAELDQIFEFNGELVAPNKNWLVVFTDDEGDMMLVGDDPWQEFCSMVRRILIYTREEINRMDPRSLNTIVEENSTVADHIAVEDKSSKHLPTASV >Manes.02G130300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9897965:9898897:-1 gene:Manes.02G130300.v8.1 transcript:Manes.02G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGSSEKSVIMKADNDGEHGCTTPRCRIPEPKVCPPPPKKKPFMLVGKKTEPKSGYFQPPDLELLFSVGSRRQACA >Manes.03G159700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28709763:28717211:1 gene:Manes.03G159700.v8.1 transcript:Manes.03G159700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKFSDAQKGSHASEDSSSKSSNKDRGLSGDNGLDGSESKLKRLVRRTNSQHTFILKVKQLQRSRSYIKESFLRSIRILESWIPKHMVTVDEKYLRRCLELIHVSASKAAPRNISLNLEWGEMGILSDGSSPVKIDNENTCDFARFHFDCPLASANGSVVISPAGHWIVGSIMGSKSMVNILNSPLFHKYGAYDGDANFEKVSFSDVDRSPYDFMNSPGVLSNYLSSKLHKEASVPGNHKYKSEPLHERLASVSSTNSSCSDQSSSSLTATSTQGMLQCTWKGGSPHFVFSLDDQKVVYVANLWKVEPANDKAVDYTYLFHLRMGGQKEHEIRDSDSHLVGKMKVSTSFTLCPNKSKIMEREFVLFGGNENLSRMQNSINDLKKNKGLSKKVAEVFRSSHSMKKRTTSRFTGSSAILEDSSWEPFQDIDNNVDALCGPDLLANHLPPNFELVAIVVKEHLPDSQQQKVGGWGMKFLKKSSVKRTDDLMESSQPHGCCVRDTGDCSTSMDVLIPAGLHGGPRTRNGGPSSLIERWSSGGCCDCGGWDLGCPLTLLKNRMSNKELSPQADMQGECKLVDLIIQGSENSAPPLRMVNVHNGLYFVNFQSTLSALQSFSIAVAFIHTQSPALRPQDVQELK >Manes.03G159700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28709763:28717211:1 gene:Manes.03G159700.v8.1 transcript:Manes.03G159700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKFSDAQKGSHASEDSSSKSSNKDRGLSGDNGLDGSESKLKRLVRRTNSQHTFILKVKQLQRSRSYIKESFLRSIRILESWIPKHMVTVDEKYLRRCLELIHVSASKAAPRNISLNLEWGEMGILSDGSSPVKIDNENTCDFARFHFDCPLASANGSVVISPAGHWIVGSIMGSKSMVNILNSPLFHKYGAYDGDANFEKVSFSDVDRSPYDFMNSPGVLSNYLSSKLHKEASVPGNHKYKSEPLHERLASVSSTNSSCSDQSSSSLTATSTQGMLQCTWKGGSPHFVFSLDDQKVVYVANLWKVEPANDKAVDYTYLFHLRMGGQKEHEIRDSDSHLVGKMKVSTSFTLCPNKSKIMEREFVLFGGNENLSRMQNSINDLKKNKGLSKKVAEVFRSSHSMKKRTTSRFTGSSAILEDSSWEPFQDIDNNVDALCGPDLLANHLPPNFELVAIVVKEHLPDSQQQKVGGWGMKFLKKSSVKRTDDLMESSQPHGCCVRDTGDCSTSMDVLIPAGLHGGPRTRNGGPSSLIERWSSGGCCDCGGWDLGCPLTLLKNRMSNKELSPQADMQGECKLVDLIIQGSENSAPPLRMVNVHNGLYFVNFQSTLSALQSFSIAVAFIHTQSPALRPQDVQELK >Manes.03G159700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28709763:28717211:1 gene:Manes.03G159700.v8.1 transcript:Manes.03G159700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKFSDAQKGSHASEDSSSKSSNKDRGLSGDNGLDGSESKLKRLVRRTNSQHTFILKVKQLQRSRSYIKESFLRSIRILESWIPKHMVTVDEKYLRRCLELIHVSASKAAPRNISLNLEWGEMGILSDGSSPVKIDNENTCDFARFHFDCPLASANGSVVISPAGHWIVGSIMGSKSMVNILNSPLFHKYGAYDGDANFEKVSFSDVDRSPYDFMNSPGVLSNYLSSKLHKEASVPGNHKYKSEPLHERLASVSSTNSSCSDQSSSSLTATSTQGMLQCTWKGGSPHFVFSLDDQKVVYVANLWKVEPANDKAVDYTYLFHLRMGGQKEHEIRDSDSHLVGKMKVSTSFTLCPNKSKIMEREFVLFGGNENLSRMQNSINDLKKNKGLSKKVAEVFRSSHSMKKRTTSRFTGSSAILEDSSWEPFQDIDNNVDALCGPDLLANHLPPNFELVAIVVKEHLPDSQQQKVGGWGMKFLKKSSVKRTDDLMESSQPHGCCVRDTGDCSTSMDVLIPAGLHGGPRTRNGGPSSLIERWSSGGCCDCGGWDLGCPLTLLKNRMSNKELSPQADMQGECKLVDLIIQGSENSAPPLRMVNVHNGLYFVNFQSTLSALQSFSIAVAFIHTQSPALRPQDVQELK >Manes.03G159700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28710791:28717211:1 gene:Manes.03G159700.v8.1 transcript:Manes.03G159700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKFSDAQKGSHASEDSSSKSSNKDRGLSGDNGLDGSESKLKRLVRRTNSQHTFILKVKQLQRSRSYIKESFLRSIRILESWIPKHMVTVDEKYLRRCLELIHVSASKAAPRNISLNLEWGEMGILSDGSSPVKIDNENTCDFARFHFDCPLASANGSVVISPAGHWIVGSIMGSKSMVNILNSPLFHKYGAYDGDANFEKVSFSDVDRSPYDFMNSPGVLSNYLSSKLHKEASVPGNHKYKSEPLHERLASVSSTNSSCSDQSSSSLTATSTQGMLQCTWKGGSPHFVFSLDDQKVVYVANLWKVEPANDKAVDYTYLFHLRMGGQKEHEIRDSDSHLVGKMKVSTSFTLCPNKSKIMEREFVLFGGNENLSRMQNSINDLKKNKGLSKKVAEVFRSSHSMKKRTTSRFTGSSAILEDSSWEPFQDIDNNVDALCGPDLLANHLPPNFELVAIVVKEHLPDSQQQKVGGWGMKFLKKSSVKRTDDLMESSQPHGCCVRDTGDCSTSMDVLIPAGLHGGPRTRNGGPSSLIERWSSGGCCDCGGWDLGCPLTLLKNRMSNKELSPQADMQGECKLVDLIIQGSENSAPPLRMVNVHNGLYFVNFQSTLSALQSFSIAVAFIHTQSPALRPQDVQELK >Manes.03G159700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28710127:28717211:1 gene:Manes.03G159700.v8.1 transcript:Manes.03G159700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKFSDAQKGSHASEDSSSKSSNKDRGLSGDNGLDGSESKLKRLVRRTNSQHTFILKVKQLQRSRSYIKESFLRSIRILESWIPKHMVTVDEKYLRRCLELIHVSASKAAPRNISLNLEWGEMGILSDGSSPVKIDNENTCDFARFHFDCPLASANGSVVISPAGHWIVGSIMGSKSMVNILNSPLFHKYGAYDGDANFEKVSFSDVDRSPYDFMNSPGVLSNYLSSKLHKEASVPGNHKYKSEPLHERLASVSSTNSSCSDQSSSSLTATSTQGMLQCTWKGGSPHFVFSLDDQKVVYVANLWKVEPANDKAVDYTYLFHLRMGGQKEHEIRDSDSHLVGKMKVSTSFTLCPNKSKIMEREFVLFGGNENLSRMQNSINDLKKNKGLSKKVAEVFRSSHSMKKRTTSRFTGSSAILEDSSWEPFQDIDNNVDALCGPDLLANHLPPNFELVAIVVKEHLPDSQQQKVGGWGMKFLKKSSVKRTDDLMESSQPHGCCVRDTGDCSTSMDVLIPAGLHGGPRTRNGGPSSLIERWSSGGCCDCGGWDLGCPLTLLKNRMSNKELSPQADMQGECKLVDLIIQGSENSAPPLRMVNVHNGLYFVNFQSTLSALQSFSIAVAFIHTQSPALRPQDVQELK >Manes.04G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5621794:5632746:1 gene:Manes.04G047900.v8.1 transcript:Manes.04G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSGIHHQDANHTPSSIVGANPTNGHGNSVPERSVGALKHNPGISTDWSAEEQAILEDGLVQFATDPSVSRYAKIALQLPNKTVRDVALRCRWMTKKEQSKRRKEDNLARKSKDKKERVVDPSAKVSPFMARPNIPPYSTPVIPMDYDDGISCKAIGGITGELLEQNAKVLNQISANLSTMQLQENIGLLCQTRDNILKIMNQLNDMPDIMKQMPPLPVKLNEELANTILPHSNLPMK >Manes.14G173700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28763536:28766685:-1 gene:Manes.14G173700.v8.1 transcript:Manes.14G173700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRREILERRKAFDELIKAASSVKDHLASFPPFRHFDSNGLSVYLESGRGDKISSSLKRCLQNLLKDNMERHYGPEWAAEEKVKRRELVTPEARYIFVYEASSNEEKVILDGEHSLVGFVHYRFTLEEEMPVLYVYEIQLESRAQGKGLGKFLMQLLELIARKNCMSAVVLTVQKANVVAMNFYTSKLRYVVSSISPSKVDPLIGVQKNYEILCKAFDNEAKATLEA >Manes.14G173700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28763536:28766685:-1 gene:Manes.14G173700.v8.1 transcript:Manes.14G173700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRREILERRKAFDELIKAASSVKDHLASFPPFRHFDSNGLSVYLESGRGDKISSSLKRCLQNLLKDNMERHYGPEWAAEEKVKRRELVTPEARYIFVYEASSNEEKVILDGEHSLVGFVHYRFTLEEEMPVLYVYEIQLESRAQGKGLGKFLMQLLELIARKNCMSAVVLTVQKANVVAMNFYTSKLRFH >Manes.04G101050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30560149:30561485:-1 gene:Manes.04G101050.v8.1 transcript:Manes.04G101050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETNSIVQTLQNNQIPPIIINQDSSAFATSVILNKTNYPLWSQIMEIRIGSRNKAGYLTREAKKPPSEDPNYAIRITKNHKAKEIWEAVAKTFYDGSNETCLFELNQKSFSITQNDHRMTSQEKTVEGVVKLHSAMARLRVYIFLSVLDPEFDHVHGEILRKDPKLNLENIYAYLCYGSQNELNKDYHLTQQKLKVPSLIILCYEIIGYPEWWDFTKKSRKKVAGTPMMAATTEVQQNMEDKSQPTANITHPGIVGYFDPKDSWLWC >Manes.16G136000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33642814:33649990:-1 gene:Manes.16G136000.v8.1 transcript:Manes.16G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGGISLDEIKNESVDLERIPIEEVFEQLKCTREGLTSEEGANRLQVFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAALMAIVLANGDGRPPDWQDFVGIIALLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWTEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVIEIIVMYPIQHRKYRQGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRTLIEVFAKGVDKEYVILLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQILALCNSKEDVRKKVHAVIDKFAERGLRSLAVARQEVPEKTKDSPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKYDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFSTGVVLGGYLALMTVIFFWAMNNTNFFSDKFGVRSLHGREHEMMAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLVSAFIVAQLVATLIAVYANWGFARIKGCGWGWAGVIWLYSLVTYVPLDLLKFAIRYVLSGKAWDNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPETNNLFADKNSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Manes.05G196000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31987375:31991799:1 gene:Manes.05G196000.v8.1 transcript:Manes.05G196000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTIIRKMRPGLRVILAFRNPTIQPMLGNGEAKTARLIVIPHYPIRRQLWRQVLLLRLRPPILNLAEQISSIEEQTQQKFKLDDEPIVEDVKEDDEDDEDDENDDKDDGTPGEYGSSKQSRSEKKSRKAMLKLGMKPVTGVSRITIKRTKNILFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMASVLPKSDISGAAAASQADEEEEEVDETGVEPRDIDLVMTQAGVSRSRAVKALKSHNGDIVSAIMELTT >Manes.12G017300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1590681:1593343:1 gene:Manes.12G017300.v8.1 transcript:Manes.12G017300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKWVNIRNYDLSISTRILIRVGEISGAFQNTKISLPFLPHRHKIFLQKCPHFSLNITNSIDLPKLRFTLVTKNKSNMSQVLSNLSFSLPSSAKITSTESRNFCFLKTNGASYNSLRKKNSSIKVVAADNRANLDHLQRASKHQQQTQPKKKVVPVAPPGLWDRFPTARTVQQMMETMERIMEDPYAYSGRWPSPAPTNESGYGRGRTPWEIKEGESEYKMRFDMPGMTKEDVKVWVEEKMLVVKAEKTPAKKVNGGENGKKAAAAEEEEEEEEEWSAKSYGRYSSRIALPENIQFEKIKAEVKDGVLYINIPKASTAGKILDIEVK >Manes.10G006100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:783627:787599:-1 gene:Manes.10G006100.v8.1 transcript:Manes.10G006100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMEAKRIRRSSSRKPLSDCTNSIHSIKPSLSSSTSTKNSSSHIKSSKFLPANSETTEQSETQAKSTSGSTATGQIGNHSLKSSNISLTVASTPSRDSKPSSLAGTASHEVSEPCSVYSRRESIDKRKSKGKAVAVPMSCFSSVKNQFTRDEMNEGRTTRLSKSCTVPYKKKRRQTTPKEDVANHGLTQDFIEQQRAYFAEIDAFDLPEEEVASIDDLD >Manes.10G006100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:783627:787599:-1 gene:Manes.10G006100.v8.1 transcript:Manes.10G006100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIMEAKRIRRSSSRKPLSDCTNSIHSIKPSLSSSTSTKNSSSHIKSSKFLPANSETTEQSETQAKSTSGSTATGQIGNHSLKSSNISLTVASTPSRDSKPSSLAGTASHEVSEPCSVYSRRESIDKRKSKGKAVAVPMSCFSSVKNQFTRDEMNEGRTTRLSKSCTVPYKKFQPRQSP >Manes.05G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8765330:8766820:-1 gene:Manes.05G095900.v8.1 transcript:Manes.05G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRDKPYFSRHDRAPYPKRRRPLPPPQSAEESDDKPSVKPPPPPALVIMGLPLNSSVLDLKSRFEIYGSISRIRIDRDGVGYVTYRSKDYAEAAIAASLDASFGITIESKRVQVLWATDPLAQWREGVGVGDKKESGSSSKLLRPELPLSRRGRGNKLASAIVNPRSNSSGSTGVADVASKGREMVAYDDIL >Manes.13G145756.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36194114:36197682:1 gene:Manes.13G145756.v8.1 transcript:Manes.13G145756.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEDEASTTQQPSSTYYLFLTIMSKRRTWVCLFVLVYAILLSTSWNFLKSVLSWYKEQSQVTTAASCGWPALYASVLLGAVFGFLSMVAALAVAVPATLVIWITVLVLLTFFGKPRRALVIEGRKITREIVGCVLKILLKEGNVVAAVCAVLGYFALVRRNYEGN >Manes.08G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37925229:37926263:-1 gene:Manes.08G131900.v8.1 transcript:Manes.08G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVLLALAVAFSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNYAVEKLQKLFANA >Manes.12G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8826512:8827960:1 gene:Manes.12G076300.v8.1 transcript:Manes.12G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFGSLGILVLAILAYIASTQAQLQIGFYSQSCPRAEQIVQDFVNQHIHNAPSLAATFIRMHFHDCFVRGCDASVLINSTSNNQAEKSATPNLTLRGFDFIDRVKSLLEAECPGVVSCADTIALVARDSIVATGGPSWNVPTGRRDGTISNSSEALANIPPPTSNFTNLQRLFANVGLDLKDLVLLSGAHTIGIAHCPSFSNRLYNFTGVGDQDPALDSEYAANLKARKCTTPNDNTTIVEMDPGSRKTFDLSYYSNLLKRRGLFQSDSALTTNSATLATINQLLSGSLQNFYSEFAASMEKMGRINVKTGSAGEIRKQCAVINS >Manes.12G101600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25485181:25521326:1 gene:Manes.12G101600.v8.1 transcript:Manes.12G101600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAVQHLDLRHVGRGFHRPLQPHAAAFHPTQALIVVAIGTYINEFDALTGSRLSTIDIGAPVVRMSYSPTSGHAVIAILEDCTIRSCDFDNEQTCVLHSPEKRMERISVNTEVNFALTPLQPIVFFGFHRKMSVTVVGTVEGGRAATKIKTDLKKPIVKLACHPRLPILYVAYADGLIRAYNIHTYAVAYTLQVDNTIKLIGASAFAFHPTLEWIFIGDRRGTLLAWDVSTDRPSLIGITLVGSQPITSIAWLPTLRLLVTVSKDGTLQVWKTRAFLNPNRPPMQANFFESAGIESIDIPRILSQQGGEAIYPLPHIRALEVHSKLNLAALLFANMTGGDNLKNRATCTREGRKQLFAVLQSARGSSASILKEKLSSLGSSGILADHQLQAQLQEHHLKGSQSQLTISDIARKAFLYSHFMEGHAKNAPISRLPLITILNTKHYLTDIPTCLPFHLELNFFNKENRVLHYPVRAFYVDGMNLMGYNLCSGVDNIYKKLYTSIPGNVEFHPKHIVYSKKQHLFLVVYEFSGYTNEVVLYWENTNSQLANSKANTVKGRDAVFIGPNENQFAILDDDETSLALYVLPGGASKEAGEKNLLLEEDQWVETNAGALRGPMQFMFESKIDRIFSTPLESTLMFAINGNQIGFAKLVQGFRLSTSDGHYIPTKTEGRKSIKLKMNEIVHQVHWQETLRGYVAGILTTQRVLIVSADLDILASSSAKFDKGLPSFRSLLWVGPALLFSTATAVSVLGWDGVVRTILSISMPYTVLLGALNDRLLLANPTETNPRQKKGVEIRSCLVGLLEPLLIGFSTMQEKFEQKLDLTETLYQITSRFDSLRITPRSLDILARGPPVCGDLALSLSQAGPQFTQVLRGAYAVKALRFSTALSVLKDEFLRSRDYPKCPPTSQLFHRFQQLGHACIKYGQFDSAKETFEVVRDYESMLSLFICHLNPSAMRRLAQKLEEESADPEIRRYCERILRARSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAAEVMPYMRTDDGTIPAIITDHIGVYLGSIKGRGTVVEVRDDSLVKAFKSAGENMPIGLPNTSAKSMSNEPKRSPDGNTNVDSLMGLETLIKQNVSSSAADEQTKAQEEFKKTMYGATDGSSSDEEEPSRAKKLQIRIRDKPLTSTTVDVNKIKEATKIFKLGEGLGPPMRTKSLTGSQDLGQILSQPPAGGATAATVPTVPSTATDLFGIDTLTQSAPVSQPSPMVAGMGVTAGPIPEDFFQNTIPSLQVAASLPPPGTYLAKLDQTSRQVGSDKVMPNPVGPSVADIGLPDGGVPPPQATQQAISHEFIGLPDGGVPPKASSQPVVSPQLQVQTPQALQASTLSQPIDLNLLVDSGKPPPQTAAPPSAVRPGQVPRGAAASICFKVGLAHLEQNQLPDALSCFNEAFLALAKDNSQGADIKAQATICAQYRIAVTLLQEIARLQKVHGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNFAYAKQMLELLLSKAPPGKQDDLRSLVEMCVQRGSANKSIDPLEDPSHFCAGTLSRLSTIGYDVCDLCGAKFSALSTPGCIICGMGSIKRSDAVAGPGPVPSPFG >Manes.12G101600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25499461:25521326:1 gene:Manes.12G101600.v8.1 transcript:Manes.12G101600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDNLKNRATCTREGRKQLFAVLQSARGSSASILKEKLSSLGSSGILADHQLQAQLQEHHLKGSQSQLTISDIARKAFLYSHFMEGHAKNAPISRLPLITILNTKHYLTDIPTCLPFHLELNFFNKENRVLHYPVRAFYVDGMNLMGYNLCSGVDNIYKKLYTSIPGNVEFHPKHIVYSKKQHLFLVVYEFSGYTNEVVLYWENTNSQLANSKANTVKGRDAVFIGPNENQFAILDDDETSLALYVLPGGASKEAGEKNLLLEEDQWVETNAGALRGPMQFMFESKIDRIFSTPLESTLMFAINGNQIGFAKLVQGFRLSTSDGHYIPTKTEGRKSIKLKMNEIVHQVHWQETLRGYVAGILTTQRVLIVSADLDILASSSAKFDKGLPSFRSLLWVGPALLFSTATAVSVLGWDGVVRTILSISMPYTVLLGALNDRLLLANPTETNPRQKKGVEIRSCLVGLLEPLLIGFSTMQEKFEQKLDLTETLYQITSRFDSLRITPRSLDILARGPPVCGDLALSLSQAGPQFTQVLRGAYAVKALRFSTALSVLKDEFLRSRDYPKCPPTSQLFHRFQQLGHACIKYGQFDSAKETFEVVRDYESMLSLFICHLNPSAMRRLAQKLEEESADPEIRRYCERILRARSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAAEVMPYMRTDDGTIPAIITDHIGVYLGSIKGRGTVVEVRDDSLVKAFKSAGENMPIGLPNTSAKSMSNEPKRSPDGNTNVDSLMGLETLIKQNVSSSAADEQTKAQEEFKKTMYGATDGSSSDEEEPSRAKKLQIRIRDKPLTSTTVDVNKIKEATKIFKLGEGLGPPMRTKSLTGSQDLGQILSQPPAGGATAATVPTVPSTATDLFGIDTLTQSAPVSQPSPMVAGMGVTAGPIPEDFFQNTIPSLQVAASLPPPGTYLAKLDQTSRQVGSDKVMPNPVGPSVADIGLPDGGVPPPQATQQAISHEFIGLPDGGVPPKASSQPVVSPQLQVQTPQALQASTLSQPIDLNLLVDSGKPPPQTAAPPSAVRPGQVPRGAAASICFKVGLAHLEQNQLPDALSCFNEAFLALAKDNSQGADIKAQATICAQYRIAVTLLQEIARLQKVHGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNFAYAKQMLELLLSKAPPGKQDDLRSLVEMCVQRGSANKSIDPLEDPSHFCAGTLSRLSTIGYDVCDLCGAKFSALSTPGCIICGMGSIKRSDAVAGPGPVPSPFG >Manes.08G099700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33232475:33237963:-1 gene:Manes.08G099700.v8.1 transcript:Manes.08G099700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSQEFEDDEQFQSTIVSQSSYGSPNPTENVLDGSVPTSSASTKKRRNLPGNPDPDAEVVALSPRTLMATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRPTTQVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHTKICGTREYRCDCGTIFSRKDSFVTHRAFCDALAEENYKANQTLAAPGGILQSQVQELFTSTMPSSDSCSKKSSMMNLTMSDENIDNSRRPLSLNSTGITMSSNLNPILNPRTSLACFSSADGSNISPMAMGSAFTSATALLQKAAEMGAKISDNSIAPILLRGFAGYPPSNMNSSGSAPEGSSLVGSNMEPTAANMNSFCVGDTETLDNNFDPGYSRNGYTTISQTGLFDSTLNMHSENGNASNSLGGEVYMGRGEKMTLDFLGVEPTGHTTIGRKQNYEGNMMGLGYSHGNQNLNNLHSDW >Manes.08G099700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33232475:33237963:-1 gene:Manes.08G099700.v8.1 transcript:Manes.08G099700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSQEFEDDEQFQSTIVSQSSYGSPNPTENVLDGSVPTSSASTKKRRNLPGNPDPDAEVVALSPRTLMATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRPTTQVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHTKICGTREYRCDCGTIFSRKDSFVTHRAFCDALAEENYKANQTLAAPGGILQSQVQELFTSTMPSSDSCSKKSSMMNLTMSDENIDNSRRPLSLNSTGITMSSNLNPILNPRTSLACFSSADGSNISPMAMGSAFTSATALLQKAAEMGAKISDNSIAPILLRGFAGYPPSNMNSSGSAPEGSSLVGSNMEPTAANMNSFCVGDTETLDNNFDPGYSRNGYTTISQTGLFDSTLNMHSENGNASNSLGGEVYMGRGEKMTLDFLGVEPTGHTTIGRKQNYEGNMMGLGYSHGNQNLNNLHSDW >Manes.09G014860.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3269960:3272815:1 gene:Manes.09G014860.v8.1 transcript:Manes.09G014860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYSHSSTSTLEDARFLTNIFKSASFTHLDVAIATFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSINILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPDVYTYNTIINGICKFGKTNVAIGLLKGMVDRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVNYSSLMDGYCLCNQIDKARKVFDLMVTNEIANIFSYTILINGYCKCKMIDDAKELFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAQELFKNMCSQGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGLCQQGLMDEAYKVFRDMEKGGCLPNNYCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTKLVVHLSWNNDLILRLLKVRNEGSAN >Manes.14G087300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7217962:7229400:-1 gene:Manes.14G087300.v8.1 transcript:Manes.14G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLSLKHASSSFSKKLPFTNSSLSPSRPYYNAFNSLDKPTHHSCQQQNYIFGFSQVNPTYHFLSSQPHHTLSRIVHGFLSNPLLSKQFASNLSTAHFKFSSKGLAHYKFGGSSARFVRRYSGFNQGFGSYRRSWRSWFYQLSANDMVLGLILANVAVFMLWRVADRKFMANNFMISLDNFKSGRLHTLITSAFSHIDAEHIISNMIGLYFFGVNIGRTFGPDYLLKLYVAGAIGGSLFYLVHHAFMALSTKGQGMWMKDTSRTPGLGASGAVNAIMLLDIFLNPRSTLYLDFFIPVPAILLGIFLIGKDVMRILEGNSNISGSAHLGGAAVAAIAYARIRKGRF >Manes.09G078100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21300721:21306415:1 gene:Manes.09G078100.v8.1 transcript:Manes.09G078100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYEEQLLSGGQQLSLSQPDPLVLELNRLQNLHKEKDRELGAAHAEIKALKTTEVSKDKAIEELSNEVIKLDQKLSVTENLLEHKNLEIKKLTDEKKDALAAQYAAESTLRRLHANLKDDDSLPIESFIAPLEAEIKMHKNEIAALQEDKKAMERLNKSKEAALLEAERILRSALERALMVEEVQNQNYELKRQIEICQEENRILEKTNRQKVLEVEKLSQTIQELEEAILAGGAAANTVRDYKRRISELNEEKRLLERELARAKVSANRVATVVANEWKDENDKVMPVKQWLEERRLMQAEMQRLRDKLAVADRSAKAEEQLKDKFKLRLKTLEEGLKHVSSFSVNSPKPAKTSNILGFLTSSGGLRKRSSSQPRGSTINSNTPLRQPNIENENANAARELKQADSFKKKYCSAENKMRNSLWASRSKIIDSSVKENTELKENTDANINKYKNDETAISVELKNKAGGIEDLRGKGTTQPECEDVVSGFLYDRLQKEVINLRKSCEAKGSSLDAKDREIQILMKKVAALNKAIEIESKKVKREIAAGEKEAVSAKVDHTNKFGSMNSTRRLMTHYIYTVSHCSMIF >Manes.09G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21300595:21306448:1 gene:Manes.09G078100.v8.1 transcript:Manes.09G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYEEQLLSGGQQLSLSQPDPLVLELNRLQNLHKEKDRELGAAHAEIKALKTTEVSKDKAIEELSNEVIKLDQKLSVTENLLEHKNLEIKKLTDEKKDALAAQYAAESTLRRLHANLKDDDSLPIESFIAPLEAEIKMHKNEIAALQEDKKAMERLNKSKEAALLEAERILRSALERALMVEEVQNQNYELKRQIEICQEENRILEKTNRQKVLEVEKLSQTIQELEEAILAGGAAANTVRDYKRRISELNEEKRLLERELARAKVSANRVATVVANEWKDENDKVMPVKQWLEERRLMQAEMQRLRDKLAVADRSAKAEEQLKDKFKLRLKTLEEGLKHVSSFSVNSPKPAKTSNILGFLTSSGGLRKRSSSQPRGSTINSNTPLRQPNIENENANAARELKQADSFKKKYCSAENKMRNSLWASRSKIIDSSVKENTELKENTDANINKYKNDETAISVELKNKAGGIEDLRGKGTTQPECEDVVSGFLYDRLQKEVINLRKSCEAKGSSLDAKDREIQILMKKVAALNKAIEIESKKVKREIAAGEKEAVSAKVDHTNKFGSMNSTRRLMKVS >Manes.04G012850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1693762:1698149:-1 gene:Manes.04G012850.v8.1 transcript:Manes.04G012850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASAVAAMAAHAVLAASLSSHPLLSPLPNLNPSNNPSLHSSFHGVSVKFPRQSLALSIAAASPKKPLAVVAATKKAVAVLKGTSNVEGIVTLTQEDDGPTTVDVRVTGLTPGPHGFHLHEYGDTTNGCISTGAHFNPNNMTHGAPEDEVRHAGDLGNIVANADGVAEVKIVDNQILLSGPNTVVGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPI >Manes.16G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30558039:30564315:-1 gene:Manes.16G099600.v8.1 transcript:Manes.16G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIGARNFTAMAVFSFSAGTSRRRTLRTYRQGGLSNKRCHLYRVRASSAGTDSCVAIKEEFADEEDYIKAGGSELVYVQMQQNKDMEQQSKLADKLPPIPIGDEVLDLVVIGCGPAGLALAAESAKLGLSVGLIGPDLPFTNNYGVWEDEFKDLGLEGCIEHVWRDTIVYLDDDDPILIGRAYGRVSRHLLHEELLRRCVESGVSYLSSKVERIIEASDGHSLVACERDIVVSCRLATVASGAASGKLLLYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYMKQKVPCLEAEYPTFLYAMPMSSTRVFFEETCLASKDAMPFDLLKRKLMSRLETMGIRILKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYASTIANILKQDHPNAKLHHKRSNANISMQAWDTLWPQERKRQRAFFLFGLALILQLDIEGIRTFFHTFFRLPSWMWQGFLGSTLSSADLVVFAFYMFFIAPNDMRMCLVRHLVSDPTGATMIRTYLTL >Manes.16G099600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30558039:30564315:-1 gene:Manes.16G099600.v8.1 transcript:Manes.16G099600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIGARNFTAMAVFSFSAGTSRRRTLRTYRQGGLSNKRCHLYRVRASSAGTDSCVAIKEEFADEEDYIKAGGSELVYVQMQQNKDMEQQSKLADKLPPIPIGDEVLDLVVIGCGPAGLALAAESAKLGLSVGLIGPDLPFTNNYGVWEDEFKDLGLEGCIEHVWRDTIVYLDDDDPILIGRAYGRVSRHLLHEELLRRLATVASGAASGKLLLYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYMKQKVPCLEAEYPTFLYAMPMSSTRVFFEETCLASKDAMPFDLLKRKLMSRLETMGIRILKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYASTIANILKQDHPNAKLHHKRSNANISMQAWDTLWPQERKRQRAFFLFGLALILQLDIEGIRTFFHTFFRLPSWMWQGFLGSTLSSADLVVFAFYMFFIAPNDMRMCLVRHLVSDPTGATMIRTYLTL >Manes.11G016761.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1401768:1403674:1 gene:Manes.11G016761.v8.1 transcript:Manes.11G016761.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSHLPSPENHAPLFIFGDSLFDTGNNNNPTSSACYWPYGETFFKHPTGRASDGRLIPDFIAEYAKLPFPLPYRQTRNRQLRYGVNFASGGAEVLGVNPDKIVIHLKGQLSNFKNVKKRLRHKLGDSETEALLSKAIYLFSFGTNDYGKVTDGFSVLHYYSSEEYVGMVVDNFTTGIKVRTHMINWPPQ >Manes.02G148300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11389605:11391870:-1 gene:Manes.02G148300.v8.1 transcript:Manes.02G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNDKPQVNNTKRRALLILNCILLTVGNCGGPLILRLYFIHGGKRVWLSSWLQTGGWPIIFILLLISYLHRRSHKPTTKFFYMDTSLFIAATIVGVITGFDDYLYAYGIARLPVSTSSLIIATQLAFTAGFAFLLVKQKFNFYSINAVILLTVGAGVLALHSNSDRPEHESKGEYILGFVTTLAAAAIYGLILPLVELIYKKSKQEISYTLVMEIQLVMSLFATVVCTVGMLVNKDFEAIPGEARGFGLGETKYYVIIVCTAIILQCFFLGAIGVIFYSSSLVSAIIIAVLLPVTEILAVIFYQEKFQAEKGVALALSLWGFISYFYGEVKQTKKKDLATETEMSQTSNPTQNV >Manes.02G068600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5256031:5260084:1 gene:Manes.02G068600.v8.1 transcript:Manes.02G068600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSCFCLPQLPLKICYPERNIRSQQIEKVGPKFSFSFKSNTTELFGQRVARVEANLLSDWSFLGGSRITIQPKLARFTVCQKCSRVHASWLTNSQIASSVFTLGTAAVLPFYTLMVVAPKAELTRKSMESSMPYVVLGLLYAYLLYLSWTPDTLRLMFATKYWLPELSGIAKMFSSEMTLASAWIHLLAVDLFAARQVFHDGLENEIETRHSVSLCLLFCPIGIVTHVITRALTKNDGSTRHGM >Manes.03G104200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22774910:22778758:1 gene:Manes.03G104200.v8.1 transcript:Manes.03G104200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIADVIAIDCEMVGIGQGNRSALGRVTLVNQWGNVIYDEFVRPIERVVDFRTKISGIRPQHLRKAKDFTTVQKKVAEIIKGRVLVGHALSNDLKALLLSHPKKDARDTSEYQPFLKERRRKALRHLAAEFLGAKIQNGEHCPIEDARAAMLLYQKNKKQWEKGMKDQMRLKQKQKKRKHKKKPKVTPGVNNVATAS >Manes.03G104200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22774910:22778758:1 gene:Manes.03G104200.v8.1 transcript:Manes.03G104200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIADVIAIDCEMVGIGQGNRSALGRVTLVNQWGNVIYDEFVRPIERVVDFRTKISGIRPQHLRKAKDFTTVQKKVAEIIKGRVLVGHALSNDLKALLLSHPKKDARDTSEYQPFLKERRRKALRHLAAEFLGAKIQNGEHCPIEDARAAMLLYQKNKKQWEKGMKDQMRLKQKQKKRKHKKKPKVTPGVNNVATAS >Manes.03G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22774910:22778658:1 gene:Manes.03G104200.v8.1 transcript:Manes.03G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQKKKNPKQQQLPLNPNWAQLKQTLKNHGSRPSRPSNSSISEAQKSILGKRKERPNEESDESQLNPLTPTNDDCSLTDVIAIDCEMVGIGQGNRSALGRVTLVNQWGNVIYDEFVRPIERVVDFRTKISGIRPQHLRKAKDFTTVQKKVAEIIKGRVLVGHALSNDLKALLLSHPKKDARDTSEYQPFLKERRRKALRHLAAEFLGAKIQNGEHCPIEDARAAMLLYQKNKKQWEKGMKDQMRLKQKQKKRKHKKKPKVTPGVNNVATAS >Manes.15G130250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10409216:10410051:1 gene:Manes.15G130250.v8.1 transcript:Manes.15G130250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMGLISLGLISLLFLLITQLASTMRGLQSVSRRWLLLSKLKQGLSKRRKKDLFPWEFSLEKKEKVGSSKAERVLKSRESWDTWI >Manes.10G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31415646:31417601:-1 gene:Manes.10G148100.v8.1 transcript:Manes.10G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTPKVSRNPDLVRGIGKYSRSQMYHKRGLWAIKAKNGGVFPKHDPKPKPAAAAEKPAKFYPADDVKKPLLNKRKPKPAKLRASITAGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGVPLRRLNQSYVIATSTKVDISGVNVDKFDDTYFAKQGERKKKKGEGEFFESEKEEKNVLPQEKKDDQKTVDAPLIKSIEGVPDLKAYLGARFSLKAGMKPHELVF >Manes.03G070940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10934991:10950887:1 gene:Manes.03G070940.v8.1 transcript:Manes.03G070940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYQETTVIMENGKEAELKSFLEVLPSVEFCCVYGSALHPNNYDKSSMVDYILGVSDPQQWHSENLKLNGDHYASWMVHFGGAKLITEVAEEIGVGVHFNPFITWKGKMLKYGVVRMHDLVQDIMNWKRFYLCGRLQKPVHILFDNLDIGNVNSVNLRAALSAALLILPSKFTEEDLYSKICSLSYMGDLRMLFAEDKNKVKKIVQGQFGLFQSMYTPLLQEYEAKELLRFSSFNSHQANISQDCGLSVTRSLVRALPPLVRSKMGMKLGEENVLTDSGRVLREVLIGSREEAARCMQNVIRRTVMVSSLRQAASGLLAVGGINSTRYLASKMRKAWKSWT >Manes.02G038800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3187090:3188534:1 gene:Manes.02G038800.v8.1 transcript:Manes.02G038800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLANNTLMHFEDLTLPSFQVIVMSGSIGCARCRQRVSQVIAKMTGLREYTVDVKRKQVIVKGDFGNQQKQEDDYSKSEMNKERGNCHPLRLLLGSFVASCFRKQVAD >Manes.02G038800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3187090:3190229:1 gene:Manes.02G038800.v8.1 transcript:Manes.02G038800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLANNTLMHFEDLTLPSFQVIVMSGSIGCARCRQRVSQVIAKMTGLREYTVDVKRKQVIVKGDFGNQQKQEDDYSKSEMNKERGPFSQYQKWKDLMESTMDSSHCPDVYI >Manes.02G038800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3187090:3188587:1 gene:Manes.02G038800.v8.1 transcript:Manes.02G038800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLANNTLMHFEDLTLPSFQVIVMSGSIGCARCRQRVSQVIAKMTGLREYTVDVKRKQVIVKGDFGNQQKQEDDYSKSEMNKERGNCHPLRLLLGSFVASCFRKQVAD >Manes.02G101300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7927741:7933923:1 gene:Manes.02G101300.v8.1 transcript:Manes.02G101300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYATSLIGGPSTLATFVAVYALFGPTFPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSTDKKVGVGSLMDKASAPPLPSGSLYMEEVHVKLGEELCFSTGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVIQVNNSKNITPSTKWDQVKEILGDCGRAAIQTQGSASNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Manes.02G101300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7927741:7933923:1 gene:Manes.02G101300.v8.1 transcript:Manes.02G101300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHQHQPPAQRTRRRCEGTAMGAIVLDLRPGLGMPICEAFAQIEQQPNIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSTLATFVAVYALFGPTFPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSTDKKVGVGSLMDKASAPPLPSGSLYMEEVHVKLGEELCFSTGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVIQVNNSKNITPSTKWDQVKEILGDCGRAAIQTQGSASNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Manes.02G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7927741:7933923:1 gene:Manes.02G101300.v8.1 transcript:Manes.02G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHQHQPPAQRTRRRCEGTAMGAIVLDLRPGLGIGPFSLGMPICEAFAQIEQQPNIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSTLATFVAVYALFGPTFPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSTDKKVGVGSLMDKASAPPLPSGSLYMEEVHVKLGEELCFSTGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVIQVNNSKNITPSTKWDQVKEILGDCGRAAIQTQGSASNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Manes.02G101300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7927741:7933924:1 gene:Manes.02G101300.v8.1 transcript:Manes.02G101300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHQHQPPAQRTRRRCEGTAMGAIVLDLRPGLGIGPFSLGMPICEAFAQIEQQPNIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSTLATFVAVYALFGPTFPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSTDKKVGVGSLMDKASAPPLPSGSLYMEEVHVKLGEELCFSTGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYIKCNFVIQVNNSKNITPSTKWDQVKEILGDCGRAAIQTQGSASNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Manes.01G068100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26921252:26924299:-1 gene:Manes.01G068100.v8.1 transcript:Manes.01G068100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPNIHDINHPSFPSCKCYKVSNLTQTILDATEISNLKDRYMLGEQLGWGRFGVIRACSDKMTGEVLACKSIAKDRLVTPEDVRSVKLEIEIMTRLSGHPNVVNLEAVYEEDNYVHLLMELCAGGELFHRLQKHGRFSEHDARVIFRHLMQVVQYCHENGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKPGHNLHGTVGSPFYIAPEVLSGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSDPWDQISASSKDLITGMLCVDPSKRLNSGQVLAHSWMQDCSEPTQSHKQDTVEFEQLEIGGGSFSIPFINRVQDYSFSYGSPVIGECQEQQSPTFTSFSSLLVDNNAPCSASGIFSFSNCDESSGTEFPIPSMLSFTFFSPNSAVEQREVEFGFNCNESDMNSNHGDADSTLEKLFVMADASSVKRGVGEITQRSEFRREGVTGSRVSSIHSRRNHTIGLGELDQLNIMVTDSIIRWASCTRIPTAPSLRLSLVC >Manes.01G068100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26921252:26924299:-1 gene:Manes.01G068100.v8.1 transcript:Manes.01G068100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPNIHDINHPSFPSCKCYKVSNLTQTILDATEISNLKDRYMLGEQLGWGRFGVIRACSDKMTGEVLACKSIAKDRLVTPEDVRSVKLEIEIMTRLSGHPNVVNLEAVYEEDNYVHLLMELCAGGELFHRLQKHGRFSEHDARVIFRHLMQVVQYCHENGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKPGHNLHGTVGSPFYIAPEVLSGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSDPWDQISASSKDLITGMLCVDPSKRLNSGQVLAHSWMQDCSEPTQSHKQDTVEFEQLEIGGGSFSIPFINRVQDYSFSYGSPVIGECQEQQSPTFTSFSSLLVDNNAPCSASGIFSFSNCDESSGTEFPIPSMLSFTFFSPNSAVEQREVEFGFNCNESDMNSNHGDSTLEKLFVMADASSVKRGVGEITQRSEFRREGVTGSRVSSIHSRRNHTIGLGELDQLNIMVTDSIIRWASCTRIPTAPSLRLSLVC >Manes.01G068100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26921252:26924299:-1 gene:Manes.01G068100.v8.1 transcript:Manes.01G068100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPNIHDINHPSFPSCKCYKVSNLTQTILDATEISNLKDRYMLGEQLGWGRFGVIRACSDKMTGEVLACKSIAKDRLVTPEDVRSVKLEIEIMTRLSGHPNVVNLEAVYEEDNYVHLLMELCAGGELFHRLQKHGRFSEHDARVIFRHLMQVVQYCHENGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKPGHNLHGTVGSPFYIAPEVLSGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSDPWDQISASSKDLITGMLCVDPSKRLNSGQVLAHSWMQDCSEPTQSHKQDTVEFEQLEIGGGSFSIPFINRVQDYSFSYGSPVIGECQEQQSPTFTSFSSLLVDNNAPCSASGIFSFSNCDESSGTEFPIPSMLSFTFFSPNSAVEQREVEFGFNCNESDMNSNHGDADSTLEKLFVMADASSVKRGVGEITQRSEFRREGVTGSRVSSIHSRRNHTIGLGELDQLNIMVTDSIIRWASCTRIPTAPSLRLSLVC >Manes.01G068100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26921252:26924299:-1 gene:Manes.01G068100.v8.1 transcript:Manes.01G068100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPNIHDINHPSFPSCKCYKVSNLTQTILDATEISNLKDRYMLGEQLGWGRFGVIRACSDKMTGEVLACKSIAKDRLVTPEDVRSVKLEIEIMTRLSGHPNVVNLEAVYEEDNYVHLLMELCAGGELFHRLQKHGRFSEHDARVIFRHLMQVVQYCHENGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKPGHNLHGTVGSPFYIAPEVLSGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSDPWDQISASSKDLITGMLCVDPSKRLNSGQVLAHSWMQDCSEPTQSHKQDTVEFEQLEIGGGSFSIPFINRVQDYSFSYGSPVIGECQEQQSPTFTSFSSLLVDNNAPCSASGIFSFSNCDESSGTEFPIPSMLSFTFFSPNSAVEQREVEFGFNCNESDMNSNHGDSTLEKLFVMADASSVKRGVGEITQRSEFRREGVTGSRVSSIHSRRNHTIGLGELDQLNIMVTDSIIRWASCTRIPTAPSLRLSLVC >Manes.15G166150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14084194:14088851:1 gene:Manes.15G166150.v8.1 transcript:Manes.15G166150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFIDKVVKENFKTSLTGVSASRVKRRIVHVILKLEILGGGKVEVESSWGSLESYHSIGDEEVYEVESNTCRHFSDCTICRVSEKFYVENTGNFNPQFLNFKHIFN >Manes.16G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17249960:17253242:-1 gene:Manes.16G057100.v8.1 transcript:Manes.16G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGRKQGAASPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPEYQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLALAQAEVVHLRVRQTTISHHQFQSVLSPTSPPSNSGSPSSRLVGSQIRPMFDMDMVDHASLGESMWSC >Manes.11G014200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1533669:1536203:-1 gene:Manes.11G014200.v8.1 transcript:Manes.11G014200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFLPAIPSFLFIFIFFLLAPPLCALHDQLTSCLSYNHITNFTFFPSTENDSTAYFNLLEFSIQNLRFAEPTIPKPLAIVQPESVDQLVKTVTCCREGLLEIRVRCGGHSYEGTSSVASDGAPFIIIDMMNLNKVSVELEGEVAFVEGGATLGETYSAIAEASSVHGFSAGSCPTVGVGGHIGGGGFGLLSRKYGLAADNVVDALLVDARGRLLDRKAMGEDVFWAIRGGGGGVWGIVYAWKIKLLKVPQVVTCFTVSRPGTKTHVAKLINKWQYVAPRLDGDFYVSCFVGAGLPETKTPGISATFKGFFLGPRNEAMAILNKIFPELGILEEDCKEMSWIESILFFSGLSKGSSVSDLKNRYLQGKQYFKAKSDYVKREISLAGINGALDILEKEPKGYVILDPYGGMMDKISGEAIAFPHRKGNLFAIQYMVEWKEKDNNKSKEYIDWVREFYNSMTPFASWGPRAAYINYMDFDLGVMKMLKMRVPSRDAVEAARVWGEKYFLKNFDRLVRAKTIIDPDNVFSNLQSIPPMPSSVGSKATVKEDML >Manes.17G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13680096:13683045:-1 gene:Manes.17G022100.v8.1 transcript:Manes.17G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAKLVLIFVFCFAAIIPLLEANSGYDEDGVWKKRKEEAKKSMMESYVPDPLVVTDEFDVQINQDKGNNGTATHDDDDDGDDDVKEENKNVSGSVNTTTNSSRRSLRKKRYTGPCHTTNPIDACWRCRPDWESRRKSLGRCALGFGRRTNGGMAGKIYVVTDNNDDDLLEPKPGTLRYGVIQKEPLWITFAKDMNIKLSQELIMNSNKTIDGRGHKVHIAYGAGITIQFVSNVIIHGIRIHHICAKGGGMIRDSPDHYGLRTQSDGDGITIFGSSNVWIDHVSMSKCGDGLIDAVQGSTAVTISNCLFTRHNDVMLLGASDSFAGDQFMQVTVAFNRFGQGLLQRMPRCRWGFFHVVNNDYTYWKMYAIGGSKHPTIISQGNRFLAPDDIHLKSVTKRDYAPESEWRNWVWRSEGDLFMNGAFFTQSGQQNMKARPSHEYMIQANPGEFAARMTRYAGALECVRGKKC >Manes.13G051420.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5967090:5970177:-1 gene:Manes.13G051420.v8.1 transcript:Manes.13G051420.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHALQYSKYGGGADGLKHVEVPVPTPHKDEILLKLEATSINPVDWKIQKGGLRPLFPRKFPHIPGTDVAGEVFEVGPGVKNFKVGDKVVAKISLDVGGGLAEFAVSKESLTVARPPEVSAVEASALPVAGLTAHQALTQPAGIKLDGSGKQANILITAASGGVGHLAVQLAKLGNTHVTATCGARNIEFVKSLGADEVLDYRTPEGAALKSPSGRKYDAVIHCATGIPWSTFEPNLSENGKVIDITPGPNAFLTFAVKKVTCSKKQLIPLFLSPKAENLDYLLKLVQERKLKVVIDSQHPLSKAEDAWARSISGRATGKIIVEP >Manes.01G227067.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39209988:39211232:1 gene:Manes.01G227067.v8.1 transcript:Manes.01G227067.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATPYYQKVSRATARKDCFSAYEIEKKKVKALLKDTDKVSVTTDLWKSGQHISYMVVTAHFVDSEWKLQKRTLNFCDVPPPHTGVVICDVLQKCLVEWGIEDKVWTISVDNASYNDVAVRMLKDNLAYKNSLALHGKLFHVRCCAHILNLLVQDGLSEIADIIKNVRESVKHLVASESRRLIFSEIAKQLKLPSKKLLLDCGTRWNATYFMLSAALEFKDVFPRYQQRDSSYTYLPSEDDWQKVKEVCSFLEEFNEVTNVISGTEYPTSNLFLHELHSIKKLLDEAHENGNIYMKVMVGKMKCKFDKYWGD >Manes.01G106150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30553113:30560302:1 gene:Manes.01G106150.v8.1 transcript:Manes.01G106150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYVSQNLNFFLLCWFGFFVGDQGDNNTRWKFWRILQNWACLVLFSARYLTRPSNDRFTTCYVDSYFLLAPVSTLQRLGFCLLGISRILPSGHLPDSFLELLLLYFVTILFFFLSLPEYGSTKLNPYFYHVFENLNVGIFFLLHSLSSHNCINLAAFCYWQIVFLLL >Manes.01G106150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30553113:30560331:1 gene:Manes.01G106150.v8.1 transcript:Manes.01G106150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYVSQNLNFFLLCWFGFFVGDQGDNNTRWKFWRILQNWACLVLFSARYLTRPSNDRFTTCYVDSYFLLAPVSTLQRLGFCLLGISRILPSGHLPDSFLELLLLYFVTILFFFLSLPEYGSTKLNPYFYHVFENLNVGIFFLLHSLSSHNCINLAAFCYWQIVFLLL >Manes.03G078500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21205724:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPMQANAENYPQYGVGPGSWSAYDMQRAQGHR >Manes.03G078500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21219136:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPMQANAENYPQYGVGPGSWSAYDMQRAQGHR >Manes.03G078500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21205724:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPMQANAENYPQYGVGPGSWSAYDMQRAQGHR >Manes.03G078500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21205724:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPFLMS >Manes.03G078500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21219399:21224132:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPMQANAENYPQYGVGPGSWSAYDMQRAQGHR >Manes.03G078500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21205724:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPMQANAENYPQYGVGPGSWSAYDMQRAQGHR >Manes.03G078500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21205724:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPMQANAENYPQYGVGPGSWSAYDMQRAQGHR >Manes.03G078500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21205724:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPFLMS >Manes.03G078500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21205724:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPA >Manes.03G078500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21218720:21224997:-1 gene:Manes.03G078500.v8.1 transcript:Manes.03G078500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNRGPPLPIKGLPHAGLPPAVHEAHFGRGLGPIPPHPALLEEMRETQFGIDPRRLPPHPAIMEERLAAQHQDIQGLLADNQRLAATHVALKQELEAAQHELQRMAHFADSLHVEKDSKMRELHEKSLRLEADLREVEAMRSELQHVRADVKELSDVRQDLTSRMQAMTQDLTRYNADLQQLPALKAEIESMKQELQRARAAIEYEKKGYAENYEHGQVMEKKLIAMAREMEKLRAEIANAEKRARAAAAVSNPAYNANYGNPEAGYAGNPYPVGYGMNPMQANAENYPQYGVGPGSWSAYDMQRAQGHR >Manes.16G074500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27691707:27695430:1 gene:Manes.16G074500.v8.1 transcript:Manes.16G074500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLFLFMFLFLNSRILHAEELELLLSFKSSINDPFQYLSNWNPSVTFCKWQGITCNNSSRIKAIDLQGKNISGKLSLSVFQLPYVETINLSGNQLSGQVPRNIFSSSSLRHLNLSNNNFTGFIPSGSIACLETLDLSNNMLAGRIPQEIGSFSSLKFLDFGGNVLVGTIPTSITNITSLEFLTLASNQLVGQIPRELGQIRSLKWIYLGYNNLSGEIPKEIGELTSLNHLDLVYNNLTGSIPSSLGNLKNLHYLFLYQNKLTGSIPKSIFGLRKLISLDLSDNFLSGEIPELIVQLQNLEIIHLFSNNFTGKIPGALSSLPRLQVLQLWSNNFSDEIPKDLGKHNNLTVIDLSTNSLTGKIPEGLCSSGNLFKLILFSNSLDGEIPNSLSTCKSLQRVRLQDNNLSGELSTEFTKLPLVYFLDISGNNFSGRIDARKWEMTSLQMLSLARNRFYGGLPNSFGSDQLENLDLSQNRFSGSIPRTFGSLTELVQLKLSGNKLSGEIPHELSSLKKLVSLDLSHNQLSGKIPDSFSDMPVLGQLDLSQNQLSGEIPTNLGRVESLVLVNISHNHFHGSLPSTGAFLAVNASAVAGNELCGGDISSGLPPCKRIKNPIWWFYVACILGTSVVLALAAFGIVLIRGRKDLEMKTVENEDGIWELQFFHPKVSSSVTMEDILSSKKEEKAICKGKEGLSYEGKCIIKNMQFMVKEINDTKSIQSNFWSEISELGKLQHPNIVKLIGVCRSDNVAYLVYEYVEGKNLSEILRNLSWERRKKIAIGIAKALRFLHCYCSPAVLVGYMSTEKITVDRKDEPRLRLSLPDLRCFDTKCFISSAYVAPEIRDSKATSEKSDIYGFGLILIEILTGKSPTNAEFSFDENIIEWARYCYSDCHIDMWVDPMIKGDATISQNEMIETMNLALHCTATDPKARPCANDVFKILHSALRSSSCVSGLKFSEYF >Manes.16G074500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27691424:27695450:1 gene:Manes.16G074500.v8.1 transcript:Manes.16G074500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLFLFMFLFLNSRILHAEELELLLSFKSSINDPFQYLSNWNPSVTFCKWQGITCNNSSRIKAIDLQGKNISGKLSLSVFQLPYVETINLSGNQLSGQVPRNIFSSSSLRHLNLSNNNFTGFIPSGSIACLETLDLSNNMLAGRIPQEIGSFSSLKFLDFGGNVLVGTIPTSITNITSLEFLTLASNQLVGQIPRELGQIRSLKWIYLGYNNLSGEIPKEIGELTSLNHLDLVYNNLTGSIPSSLGNLKNLHYLFLYQNKLTGSIPKSIFGLRKLISLDLSDNFLSGEIPELIVQLQNLEIIHLFSNNFTGKIPGALSSLPRLQVLQLWSNNFSDEIPKDLGKHNNLTVIDLSTNSLTGKIPEGLCSSGNLFKLILFSNSLDGEIPNSLSTCKSLQRVRLQDNNLSGELSTEFTKLPLVYFLDISGNNFSGRIDARKWEMTSLQMLSLARNRFYGGLPNSFGSDQLENLDLSQNRFSGSIPRTFGSLTELVQLKLSGNKLSGEIPHELSSLKKLVSLDLSHNQLSGKIPDSFSDMPVLGQLDLSQNQLSGEIPTNLGRVESLVLVNISHNHFHGSLPSTGAFLAVNASAVAGNELCGGDISSGLPPCKRIKNPIWWFYVACILGTSVVLALAAFGIVLIRGRKDLEMKTVENEDGIWELQFFHPKVSSSVTMEDILSSKKEEKAICKGKEGLSYEGKCIIKNMQFMVKEINDTKSIQSNFWSEISELGKLQHPNIVKLIGVCRSDNVAYLVYEYVEGKNLSEILRNLSWERRKKIAIGIAKALRFLHCYCSPAVLVGYMSTEKITVDRKDEPRLRLSLPDLRCFDTKCFISSAYVAPEIRDSKATSEKSDIYGFGLILIEILTGKSPTNAEFSFDENIIEWARYCYSDCHIDMWVDPMIKGDATISQNEMIETMNLALHCTATDPKARPCANDVFKILHSALRSSSCVSGLKFSEYF >Manes.13G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33505017:33506217:-1 gene:Manes.13G127400.v8.1 transcript:Manes.13G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDMYNSEHKGHCPPMSPRISFSNDFVDSQQIFKQERTSSRSRSEAPVSTDFEFSVSNYSMMSADELFFKGTLLPFKDNANNSQMQRTIRDELLVDDDDYEDREVCLRPPKGSTRWKSLLGLKKSHIGSKKVDKNDGSMEKVGGSRRLGFVHEEELLSEGGSSITEM >Manes.S095322.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251213.1:27195:28127:1 gene:Manes.S095322.v8.1 transcript:Manes.S095322.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKINNEHLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPKQVFIIFFL >Manes.10G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1333179:1337503:-1 gene:Manes.10G012800.v8.1 transcript:Manes.10G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKKVKKQKKSPDTTKDELGSYNYRMFNFFNRKFKITEAEPPADVKEIFAKFSGGQRQMSVEQFRRFLVLHQDLMDCTLADAQRIVEDLIKKRHHETKYKNRRGFTLEDFFHFLLFDDLNGPIIPQVHHDMTAPLSHYYIYTGHNSYLTGNQLSSDCSEVPIIRALKRGVRVIELDLWPGYTKDEILVLHGRTLTTPVPFVKCLKAIRDYAFVSSPYPVIITLEDHLTREHQAKAAELVTQTFGGMLYYPQSQSAGLVEFPSPESLKHRIIISTKPPKEYLESSGIKRKGSAGGKNSSEEEEEEAEAAGLEADGRSDNDQDDEEFDNKSEAAPEYRRLITIHAGKPKGALRDTLKVAVNQVRRLSMSEQELVRAATYYGADVVRFTQENILRVYPKGTRITSSNYKPLIGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYVLKPDFLTQKGDEHFDPKRTLSVIKTLKVKMYLGDGWRLDFSHTYFDAYSPPDFYTKVYIVGVPADCAYKKTKIIEDNWAPVWNQEFTFPLTVPELALLRIEVREYDISEKDDFGGQTCLPVSEIRPGIRSVPLHDKKGEKFNNTRLLMKFEFV >Manes.10G012800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1333179:1337503:-1 gene:Manes.10G012800.v8.1 transcript:Manes.10G012800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYKKVKKQKKSPDTTKDELGSYNYRMFNFFNRKFKITEAEPPADVKEIFAKFSGGQRQMSVEQFRRFLVLHQDLMDCTLADAQRIVEDLIKKRHHETKYKNRRGFTLEDFFHFLLFDDLNGPIIPQVHHDMTAPLSHYYIYTGHNSYLTGNQLSSDCSEVPIIRALKRGVRVIELDLWPGYTKDEILVLHGRTLTTPVPFVKCLKAIRDYAFVSSPYPVIITLEDHLTREHQAKAAELVTQTFGGMLYYPQSQSAGLVEFPSPESLKHRIIISTKPPKEYLESSGIKRKGSAGGKNSSEEEEEEAEAAGLEADGRSDNDQDDEEFDNKSEAAPEYRRLITIHAGKPKGALRDTLKVAVNQVRRLSMSEQELVRAATYYGADVVRFTQENILRVYPKGTRITSSNYKPLIGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYVLKPDFLTQKGDEHFDPKRTLSVIKTLKVKMYLGDGWRLDFSHTYFDAYSPPDFYTKRVPAYESVCRFTLLGYRLIVPTKKRR >Manes.03G185200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30602672:30602815:-1 gene:Manes.03G185200.v8.1 transcript:Manes.03G185200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSPSQRFPKLRSWRRCSRLVKEQRTRLYIIWRCTVILLSWDDKC >Manes.06G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25265645:25267375:1 gene:Manes.06G121900.v8.1 transcript:Manes.06G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNKLMDILFAPLAILALLFFLPPYLFFKYLLSTLSSIFSEDVAGKVVLITGASSGIGEHLAYEYAARGARLALVARRESRLRSVAALAEEMGSPDAIIIPGDVTELQDCQSFVNATVKHFGRLDHLVANAGVTSTTMFDDISNITNLAPVMDINFWGSVYGSYFAIPHLKRSKGKIIVIASCASWLPAPRMSFYNASKAALVSMYETLRIELGPEIGITIVTPGLIKSEMTGGKFLNQLGQLAVDEEIRDVQINVMPLESTRECAKAIVKGACRGEKYLTEPAWYRAVFFWKVFCPEVMEWLNRLFLIPGPGRSERDTISKKIVDLFTWMKELWI >Manes.18G080750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7425462:7428034:-1 gene:Manes.18G080750.v8.1 transcript:Manes.18G080750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSNLNLAEYDGLTEQVGQVDNVEFLKSKINLFMGWSPLLPRAYCFIGIDRIESVWLCFTSDFQACMVTSLPCVFMACLCQGMPSLDDHQLLSIHQSNWVATSLGVRGVCLLGQMGRISLQEEAC >Manes.01G180800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35951875:35953709:1 gene:Manes.01G180800.v8.1 transcript:Manes.01G180800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFITLLLSLVPVLIFICCFFISSSPSHPPCPESYPIIGNLPGFLRNRNRFHDWVTDMLCQTPSSTLQINSLFSRGICTANPVHVEHLLVTNFSNYVKGSRFLNVLYELLGHGIFNVDGRLWTLQRKVASHEFNTKSLKHFISDVVKSEIAKTLLPNLSKACDENSVFDLQEVLGKFTFSTISKVAFGIDLQSVSNLPFAEAFDDAVEICFSRFLSPFPPIWKLKRLFNIGSEKRFKEAIETINEFAMEIMKSKHQEESSGERVAKNQDLLSRFMFLSSNLEFQDQEHKTKFLRDIIISFVLAGKDTTSTALTWFFWLLAGDPRCARLIYQELSEVATQLDAEAVPAARTFSYDELKKLHYLHAALSESMRLFPPVAINSRLTVSDDVLPDGTPVKKGWFADYSAYAMGRMERVWGQDCREFKPERWLDRDGKYRSFDQFRFPVFHCGPRTCLGKDLAYIQMKAIAAAVMYEFEIVAADGGATSERMMNPPYIVALLLKMTGGFPVRLKRREKPKYI >Manes.01G154200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34121069:34128632:1 gene:Manes.01G154200.v8.1 transcript:Manes.01G154200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGAADEVSESNGGGDEVTVNIRCSNGSKFSVGISLSSTVEAFKAVLAGKCDVPADQQRLIYKGRILKDDQTLHSYGLEADHTVHLVRGFAPTAAANAAPASNTGAPNAASANAQAVGSNEGGALGGSGFGASLFPGLGLNGLGGSGGMFGAGLPEFEQVQQQLTRNPNIMREIMNMPVIQNLMNNPEIMRNLIMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGGDNANPFAALLGNQAAAGSTNPSTTSSQSTTGSPAPNTNPLPNPWTAAGTGAQTNTTRPNTTGDARPPAPAGLGGLGLPEFDNMFGAMPDANLMSQMLQNPGISQMMQSLLSNPQYMNQILGANPQFRSMLDSNSQFREMMQNPEFLRQLTSPETMQQLLTFQQALLSQLGRQQSNQEPSQTGVGTGTPNNMGLEMLMNMFGGLGAGSLTVPNRPNVPPEELYATQLSQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNSD >Manes.01G154200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34122900:34127238:1 gene:Manes.01G154200.v8.1 transcript:Manes.01G154200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGLPEFEQVQQQLTRNPNIMREIMNMPVIQNLMNNPEIMRNLIMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGGDNANPFAALLGNQAAAGSTNPSTTSSQSTTGSPAPNTNPLPNPWTAAGTGAQTNTTRPNTTGDARPPAPAGLGGLGLPEFDNMFGAMPDANLMSQMLQNPGISQMMQSLLSNPQYMNQILGANPQFRSMLDSNSQFREMMQNPEFLRQLTSPETMQQLLTFQQALLSQLGRQQSNQEPSQTGVGTGTPNNMGLEMLMNMFGGLGAGSLTVPNRPNVPPEELYATQLSQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNSGQ >Manes.01G154200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34121048:34128632:1 gene:Manes.01G154200.v8.1 transcript:Manes.01G154200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGAADEVSESNGGGDEVTVNIRCSNGSKFSVGISLSSTVEAFKAVLAGKCDVPADQQRLIYKGRILKDDQTLHSYGLEADHTVHLVRGFAPTAAANAAPASNTGAPNAASANAQAVGSNEGGALGGSGFGASLFPGLGLNGLGGSGGMFGAGLPEFEQVQQQLTRNPNIMREIMNMPVIQNLMNNPEIMRNLIMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGGDNANPFAALLGNQAAAGSTNPSTTSSQSTTGSPAPNTNPLPNPWTAAGTAGAQTNTTRPNTTGDARPPAPAGLGGLGLPEFDNMFGAMPDANLMSQMLQNPGISQMMQSLLSNPQYMNQILGANPQFRSMLDSNSQFREMMQNPEFLRQLTSPETMQQLLTFQQALLSQLGRQQSNQEPSQTGVGTGTPNNMGLEMLMNMFGGLGAGSLTVPNRPNVPPEELYATQLSQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNSGQ >Manes.01G154200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34121069:34128632:1 gene:Manes.01G154200.v8.1 transcript:Manes.01G154200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGAADEVSESNGGGDEVTVNIRCSNGSKFSVGISLSSTVEAFKAVLAGKCDVPADQQRLIYKGRILKDDQTLHSYGLEADHTVHLVRGFAPTAAANAAPASNTGAPNAASANAQAVGSNEGGALGGSGFGASLFPGLGLNGLGGSGGMFGAGLPEFEQVQQQLTRNPNIMREIMNMPVIQNLMNNPEIMRNLIMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGGDNANPFAALLGNQAAAGSTNPSTTSSQSTTGSPAPNTNPLPNPWTAAGTAGAQTNTTRPNTTGDARPPAPAGLGGLGLPEFDNMFGAMPDANLMSQMLQNPGISQMMQSLLSNPQYMNQILGANPQFRSMLDSNSQFREMMQNPEFLRQLTSPETMQQLLTFQQALLSQLGRQQSNQEPSQTGVGTGTPNNMGLEMLMNMFGGLGAGSLTVPNRPNVPPEELYATQLSQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNSD >Manes.01G154200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34122900:34127238:1 gene:Manes.01G154200.v8.1 transcript:Manes.01G154200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGLPEFEQVQQQLTRNPNIMREIMNMPVIQNLMNNPEIMRNLIMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGGDNANPFAALLGNQAAAGSTNPSTTSSQSTTGSPAPNTNPLPNPWTAAGTAGAQTNTTRPNTTGDARPPAPAGLGGLGLPEFDNMFGAMPDANLMSQMLQNPGISQMMQSLLSNPQYMNQILGANPQFRSMLDSNSQFREMMQNPEFLRQLTSPETMQQLLTFQQALLSQLGRQQSNQEPSQTGVGTGTPNNMGLEMLMNMFGGLGAGSLTVPNRPNVPPEELYATQLSQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNSGQ >Manes.01G154200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34121048:34128632:1 gene:Manes.01G154200.v8.1 transcript:Manes.01G154200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGAADEVSESNGGGDEVTVNIRCSNGSKFSVGISLSSTVEAFKAVLAGKCDVPADQQRLIYKGRILKDDQTLHSYGLEADHTVHLVRGFAPTAAANAAPASNTGAPNAASANAQAVGSNEGGALGGSGFGASLFPGLGLNGLGGSGGMFGAGLPEFEQVQQQLTRNPNIMREIMNMPVIQNLMNNPEIMRNLIMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGGDNANPFAALLGNQAAAGSTNPSTTSSQSTTGSPAPNTNPLPNPWTAAGTGAQTNTTRPNTTGDARPPAPAGLGGLGLPEFDNMFGAMPDANLMSQMLQNPGISQMMQSLLSNPQYMNQILGANPQFRSMLDSNSQFREMMQNPEFLRQLTSPETMQQLLTFQQALLSQLGRQQSNQEPSQTGVGTGTPNNMGLEMLMNMFGGLGAGSLTVPNRPNVPPEELYATQLSQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNSGQ >Manes.01G132700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32585936:32594867:-1 gene:Manes.01G132700.v8.1 transcript:Manes.01G132700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSGSASCCADIKISAVVLDLDGTLLDTENATKSVLKEFLAKYGKNLDKEKEDRKRLGMTLQASAATIVKDYDLPFTPNQFIDEIMPLYRDKWLLARPLPGANRLIKHLHKNGVPFALASNSLREYIDAKISHQEGWNEYFSTILGSDQVKSGKPSPDLLIEAARRMGVDALKCLVIEDSLVGVKAAQAAKMRVVAVPSGSEADCSLLADIVLHSLLEFQPEIWGLPLFDDWVDKALPIEPIYFRILYKTGCVTEVTDDGKSALPCQVSGLYFGWAESGMHRISKVVVGIGLLHHSSTVKRNIQIHMIDEKANEFSDQKMQLELVGYIRRLNSMEVESNNVEILEEDKSIASCGLDQSIFIHHFSAPFNNNGCCVSGEL >Manes.01G132700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32586073:32594901:-1 gene:Manes.01G132700.v8.1 transcript:Manes.01G132700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSGSASCCADIKISAVVLDLDGTLLDTENATKSVLKEFLAKYGKNLDKEKEDRKRLGMTLQASAATIVKDYDLPFTPNQFIDEIMPLYRDKWLLARPLPGANRLIKHLHKNGVPFALASNSLREYIDAKISHQEGWNEYFSTILGSDQVKSGKPSPDLLIEAARRMGVDALKCLVIEDSLVGVKAAQAAKMRVVAVPSGSEADCSLLADIVLHSLLEFQPEIWGLPLFDDWVDKALPIEPIYFRILYKTGCVTEVTDDGKSALPCQVSGLYFGWAESGMHRISKVVVGIGLLHHSSTVKRNIVSSQSFSFF >Manes.01G132700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32586981:32594901:-1 gene:Manes.01G132700.v8.1 transcript:Manes.01G132700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSGSASCCADIKISAVVLDLDGTLLDTENATKSVLKEFLAKYGKNLDKEKEDRKRLGMTLQASAATIVKDYDLPFTPNQFIDEIMPLYRDKWLLARPLPGANRLIKHLHKNGVPFALASNSLREYIDAKISHQEGWNEYFSTILGSDQVKSGKPSPDLLIEAARRMGVDALKCLVIEDSLVGVKAAQAAKMRVVAVPSGSEADCSLLADIVLHSLLEFQPEIWGLPLFDDWVDKALPIEPIYFRILYKTGCVTEVTDDGKSALPCQVSGLYFGWAESGMHRISKVVVGIGLLHHSSTVKRNIASEPYQLPPYGPHHTRSCGSGFLSQLY >Manes.01G132700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32585936:32594901:-1 gene:Manes.01G132700.v8.1 transcript:Manes.01G132700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSGSASCCADIKISAVVLDLDGTLLDTENATKSVLKEFLAKYGKNLDKEKEDRKRLGMTLQASAATIVKDYDLPFTPNQFIDEIMPLYRDKWLLARPLPGANRLIKHLHKNGVPFALASNSLREYIDAKISHQEGWNEYFSTILGSDQVKSGKPSPDLLIEAARRMGVDALKCLVIEDSLVGVKAAQAAKMRVVAVPSGSEADCSLLADIVLHSLLEFQPEIWGLPLFDDWVDKALPIEPIYFRILYKTGCVTEVTDDGKSALPCQVSGLYFGWAESGMHRISKVVVGIGLLHHSSTVKRNIQIHMIDEKANEFSDQKMQLELVGYIRRLNSMEVESNNVEILEEDKSIASCGLDQSIFIHHFSAPFNNNGCCVSGEL >Manes.09G157500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35311880:35313382:-1 gene:Manes.09G157500.v8.1 transcript:Manes.09G157500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPLRPQESLDGDVSSLQRDLMQIPESATSSAAVDLGLSLAVAEIDSPRKRMVTESIAEQIAGKISQRVLMQQTAPATVQQGGSPYGGITIGEALEATALTAGQKIVEWSDAAAIQAAEVRATGRTTISPGGVAAAAQSAATVNARTARDEDKRKLADILMDATSKLPADRPATRKDAEGVTGAEMRNDPFLTTHPTGVAASIAAAARINQQNNFK >Manes.17G027400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19659262:19664158:-1 gene:Manes.17G027400.v8.1 transcript:Manes.17G027400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFLGEKLYRIQNPFFSVNCFFFFFFSYMGMLHFFPTFSLLTIFLSVVLGKYHYATQPKIENDHHSSNQSEEETLEQILRREKSAAGILCQLRLRHGTDASHLSLTKDVLGVVATLGRVDDDNLSRLLSEYLGVETMLAIVCKTYEGVRALETYEEEGHINNGSGLHGLGASIGRALGGRYLVICLENLRPFSGEFVADNPQRRLDLLKPKFPNGECPPRFVGFAVNMINVDCRNLFYVTSSGHGLPETLFYKLYSRLQVYKSREDMFHALPCISDGAISLDGGMIKVTGFFSLGNRNDMDVRFPKPSMPSNIPDNVSETEKQLTEMKWKKDKMLDDIKPEQALLNAAKYNFERKKEEFVKFLAESSAYAMQHQMQA >Manes.17G027400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19659262:19665082:-1 gene:Manes.17G027400.v8.1 transcript:Manes.17G027400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDQKETSIVPRDDVQNGEFLESQSIIYCSKKLQEDLHLLGLKIKQHEDNVKFLKNEKNKLEDSILDLQVVLGKYHYATQPKIENDHHSSNQSEEETLEQILRREKSAAGILCQLRLRHGTDASHLSLTKDVLGVVATLGRVDDDNLSRLLSEYLGVETMLAIVCKTYEGVRALETYEEEGHINNGSGLHGLGASIGRALGGRYLVICLENLRPFSGEFVADNPQRRLDLLKPKFPNGECPPRFVGFAVNMINVDCRNLFYVTSSGHGLPETLFYKLYSRLQVYKSREDMFHALPCISDGAISLDGGMIKVTGFFSLGNRNDMDVRFPKPSMPSNIPDNVSETEKQLTEMKWKKDKMLDDIKPEQALLNAAKYNFERKKEEFVKFLAESSAYAMQHQMQA >Manes.S028952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2395169:2395981:-1 gene:Manes.S028952.v8.1 transcript:Manes.S028952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.12G001800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:417611:420621:-1 gene:Manes.12G001800.v8.1 transcript:Manes.12G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYEKPHSDLPQDLKISIQDSFLLFPSQQTQRKSIFLSNIDQVLNFDVQTLHFFPCHKDFPPHVVADKLKNSLEKLLVTYDFLAGRLEMNPETGRLEIDCNGGGAGFAVASSECSLDEVGDLVYPNPAFGKLILNTMDILDKDDQPLCIFQVTSFSCGGFAIGTSTNHVTFDGISFKTFLENLAAVAAGKPLAIIPCMDRELLAARSPPRVTFPHPELLKLNTPLGQAEPNAPVFDLSKEELDFNIFKLTSTDISHLKEKAKSTHNTRVSGFNVVTAHIWRCKALSYEEIGQAMERVSTILYAVNIRPRLAPPLPAAYAGNAVLTAYASAKCKELEEGPISRLVEMVGEGAKRMSDEYARSVIDWGEINRGFPHGEFLISSWWKLGFEEVEYPWGCPRYSCPLVYHRKDIILLFPDIVDKNGVNVLVALPSKQMEKFEILFRKFLSL >Manes.02G179900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14283423:14285860:-1 gene:Manes.02G179900.v8.1 transcript:Manes.02G179900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEIGLKITHYRDDITSHAHLQIAKDRSGPLFLSRETDTMFILIAYLKGFRKENIDIRINEDGSKIEVSGKGAVQEMVLSGWIMRKKDVELKAFDKVFRIPDRIILDGIKAKFNDDESKLTIVMPKSEKGMRGMNIEEVKEEEFDGVIRNAAEEPPEVANRDSETEEIEPVVQEEIERREPETQQILAEVPNEEPKEEAQAFSERDNSGGMLQEKPEQPQIENKQVIERENFDEDKFRKKQDLVPETSVNMELQNKPRELEETPPPEKPKPPATPDNPETTTMESEEAEQEKQEPTADTFPDLKEQHNEQKIPEAAIAEEENVRGKESEELQEPEEKEPDTNVQEPDKTEPPETMQPAKQPDEDHKREDIPGIGTEIKEEASDQVQHPEAKQVEEKESSEVQKQKDLSDGASKAEKAVKRSKLCPPFVVAGSALLVTIIVFVINYIRSRRR >Manes.12G019700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1747776:1751075:1 gene:Manes.12G019700.v8.1 transcript:Manes.12G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGIVRFPGNLDPRAQEFRPRNNPNNVNFTQRMPLFGPPPLPPPPPPTPHQVYYTYAAPQAVPFTDDGVVGYPQYPSAAPPAYVSTVLPLPPQSAAPTRTLVLSSVPSDVSESIIRRELEVFGEVRGVQMEKICEGIVTVHFYDLRHAEKALQDIRDQHMRQQSRLGNLFATLERSSGFIGLGEKLFAPPSPAARGLISGCAVWAQFIIPSCNAVPDGHNQGTIVVFNLDPNVSTSSLKEIFQAFGSVKELRETPLKKQQRFVEFYDIRDAAKALREMNGKEIHGKPVVIEFSRPGGYGRRFFNATPTSSSKTSSISNAFISTKISRYASPQPPPPPPPPPPPMPRKFFSPSVPNASPCASLPQTQSSTKKASHFSKGNPKENVNDKGCLTINRGDEDGVVDKVGDGPVKRNSKKSQNESCPTSTKQQHRNRPWKSRQAKKFDTRFLINEGAMMESNSSDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIANGEDQPLSSYDFVYLPIDFNNKCNVGYGFVNMTSPQATWRLYKAFNHQHWEVFNSRKICEVTYARVQGLEALKEHFKNSKFPCEMEHYLPVVFAPPRDGRQLTEPQPIVGQKQQHPMILGLPMDSTDHNSKNNNGDADREEDDEISNRRRSRNGSDEEDKGSNGGS >Manes.05G036301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3001980:3002959:-1 gene:Manes.05G036301.v8.1 transcript:Manes.05G036301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKCCKLTSCCWDSQFKAAAVVEVPDAVGNENKRKVDYLPAFGDFAFEQLKHATSGSPVQTIVSEHGEKAPDVVYKGKPENRRRIAVKRINRMAWPDARQFLVANFFYHY >Manes.11G040489.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4233439:4239412:1 gene:Manes.11G040489.v8.1 transcript:Manes.11G040489.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRRMETRDPNESGSSPSASENYDRIGPKRYSYEELATVTGHFSHNNLIGRGGFGHVFKASLDGETRAIKRLDYPGVESEGDLEREIMVVKSISHKNLVELIGYCIDGANRLLILKYFPNGSLRSKLDGKENVLDWKKRMNIAIGSARGLEYLHEHCKPKIIHLDIKPDNILLDEHFEPKILDLLIFLRVLLLTYPNHQLWEPKYQNESGSSPEKTIGPKSYSYGELARVTDNFSLNNLICRGRFDHVFKASLDGEIRAIKRLDFPDVQFEEGLEREIMVVKSISHKNLVELVGYCIHGANRLLIFKYFPNGSLRSKLHGSGDVLDWEKRMKIAVGCAKGLEYLHEHCNPKIIHLDMKPDNILLDEDFEPKITNFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLREYSDKSDVYSFGVTLLELITGRKPIDNIIDIVTWANHHIKKVLEGEYTNFVDFNLQSFDHEQMYRMVSCVNSCINQRPNSRPTMKKICLVLEGILPPEELYDHELQSRSRRAQT >Manes.02G161900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12706035:12709539:1 gene:Manes.02G161900.v8.1 transcript:Manes.02G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCKSRTRAALFSLILLCSTFSNLNLIPVAEAIWFQIPSSGTKCVSEEIQNNVVVLADYYVINEDRPEHTPLVSAKVTSPYGNNLHHSENVTHGQFAFTTSEAGNYMACFWLDDHQQQAGTTTLSLDWKIGIAAKDWDSVAKKEKIEGVELDLRRLEGTVQSIHNNLIYLREREAEMREVSERTNARVAWFSIMSLGLCIVVAVFQLWHLKRFFEKKKLI >Manes.02G161900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12706035:12709539:1 gene:Manes.02G161900.v8.1 transcript:Manes.02G161900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCKSRTRAALFSLILLCSTFSNLNLIPVAEAIWFQIPSSGTKCVSEEIQNNVVVLADYYVINEDRPEHTPLVSAKVTSPYGNNLHHSENVTHGQFAFTTSEAGNYMACFWLDDHQQQAGTTTLSLDWKIGIAAKDWDSVAKKEKIEGVELDLRRLEGTVQSIHNNLIYLRERDEGSQ >Manes.02G225500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37907276:37944446:-1 gene:Manes.02G225500.v8.1 transcript:Manes.02G225500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALNYTKSGNIANYLMLQEVDSMYLPVPVNFIFIGFEGKGNQEFKLHPEELERWFMKIDHIFEHTRVPQIGEVLTPFYKISVDREQRHNLPIISHINYNFSVHAIQMGEKVTSIFEHAINVLGRKDDVSVKSDDADVLWQVDVDLMDVLFSSLVEYLQLENAYNIFILNPKFDLKRAKYGYRSGLSESEITFLKENKSLQAKILQSGSIPESVLELEKIKRPLYEKHPMTKFAWTVTEDTDTVEWYNICLNALNNVEKLYQGKDTSDIIQNKVLQLLKGKKNEDMKLILEKELKSGDFSGFHAECLTDTWIGKDRWAFIDLTAGPFSWGAAVGGEGVRTDHSLPNVTKTIGAVAEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMQDLKNELQSFEGEERDESHKNKAIEALKRMESWNLFSDTYEEFQNYTVARDTFLAHLGATLWGSMRHIISPSIADGAFHYYEKISFQLFFITQEKVRNDKLPVDLKALMDGLSSLLLPSQKPMFSQSLLSLAEDPALAMAFSVARRAAAVPLLLVNGTYRKTTRSYLDSSILQYQLQRLNEHGSLKGAHAHARSTLEVPIFWFIHGEPLLVDKHYQAKALSDMVIVVQSEPPSWESYLQCNGQSLLWDLRRPIKASMAAVSEHLAGLLPLHIAYSHAHETAIEDWIWSVGCNPFSITSQGWHISQFQSDTIGRSYIITTLEESIQRVNSAIHRLLMESTSEKTFRLFQSKEKELVNKYNYVVSLWRRISTITGELRYVDATRLLYTLEDASKGFADQVNATIALLHPIHCTRERKVHVVFDMTTVPAFLTVLGVLYIVLRPRRPKPKIN >Manes.02G225500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37907269:37944446:-1 gene:Manes.02G225500.v8.1 transcript:Manes.02G225500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRSATRRRIFLLFAVLLFAVTGSNGSPYGSQQAGRSSVFSLFNLKAKSRFWSEAVIREDFDDLESSGPGKMGALNYTKSGNIANYLMLQEVDSMYLPVPVNFIFIGFEGKGNQEFKLHPEELERWFMKIDHIFEHTRVPQIGEVLTPFYKISVDREQRHNLPIISHINYNFSVHAIQMGEKVTSIFEHAINVLGRKDDVSVKSDDADVLWQVDVDLMDVLFSSLVEYLQLENAYNIFILNPKFDLKRAKYGYRSGLSESEITFLKENKSLQAKILQSGSIPESVLELEKIKRPLYEKHPMTKFAWTVTEDTDTVEWYNICLNALNNVEKLYQGKDTSDIIQNKVLQLLKGKKNEDMKLILEKELKSGDFSGFHAECLTDTWIGKDRWAFIDLTAGPFSWGAAVGGEGVRTDHSLPNVTKTIGAVAEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMQDLKNELQSFEGEERDESHKNKAIEALKRMESWNLFSDTYEEFQNYTVARDTFLAHLGATLWGSMRHIISPSIADGAFHYYEKISFQLFFITQEKVRNDKLPVDLKALMDGLSSLLLPSQKPMFSQSLLSLAEDPALAMAFSVARRAAAVPLLLVNGTYRKTTRSYLDSSILQYQLQRLNEHGSLKGAHAHARSTLEVPIFWFIHGEPLLVDKHYQAKALSDMVIVVQSEPPSWESYLQCNGQSLLWDLRRPIKASMAAVSEHLAGLLPLHIAYSHAHETAIEDWIWSVGCNPFSITSQGWHISQFQSDTIGRSYIITTLEESIQRVNSAIHRLLMESTSEKTFRLFQSKEKELVNKYNYVVSLWRRISTITGELRYVDATRLLYTLEDASKGFADQVNATIALLHPIHCTRERKVHVVFDMTTVPAFLTVLGVLYIVLRPRRPKPKIN >Manes.02G225500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37907344:37944446:-1 gene:Manes.02G225500.v8.1 transcript:Manes.02G225500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRSATRRRIFLLFAVLLFAVTGSNGSPYGSQQAGRSSVFSLFNLKAKSRFWSEAVIREDFDDLESSGPGKMGALNYTKSGNIANYLMLQEVDSMYLPVPVNFIFIGFEGKGNQEFKLHPEELERWFMKIDHIFEHTRVPQIGEVLTPFYKISVDREQRHNLPIISHINYNFSVHAIQMGEKVTSIFEHAINVLGRKDDVSVKSDDADVLWQVDVDLMDVLFSSLVEYLQLENAYNIFILNPKFDLKRAKYGYRSGLSESEITFLKENKSLQAKILQSGSIPESVLELEKIKRPLYEKHPMTKFAWTVTEDTDTVEWYNICLNALNNVEKLYQGKDTSDIIQNKVLQLLKGKKNEDMKLILEKELKSGDFSGFHAECLTDTWIGKDRWAFIDLTAGPFSWGAAVGGEGVRTDHSLPNVTKTIGAVAEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMQDLKNELQSFEGEERDESHKNKAIEALKRMESWNLFSDTYEQEFQNYTVARDTFLAHLGATLWGSMRHIISPSIADGAFHYYEKISFQLFFITQEKVRNDKLPVDLKALMDGLSSLLLPSQKPMFSQSLLSLAEDPALAMAFSVARRAAAVPLLLVNGTYRKTTRSYLDSSILQYQLQRLNEHGSLKGAHAHARSTLEVPIFWFIHGEPLLVDKHYQAKALSDMVIVVQSEPPSWESYLQCNGQSLLWDLRRPIKASMAAVSEHLAGLLPLHIAYSHAHETAIEDWIWSVGCNPFSITSQGWHISQFQSDTIGRSYIITTLEESIQRVNSAIHRLLMESTSEKTFRLFQSKEKELVNKYNYVVSLWRRISTITGELRYVDATRLLYTLEDASKGFADQVNATIALLHPIHCTRERKVHVVFDMTTVPAFLTVLGVLYIVLRPRRPKPKIN >Manes.15G183200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24954254:24957013:1 gene:Manes.15G183200.v8.1 transcript:Manes.15G183200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEVARNIVGIIGNVISFGLFLSPVPTFYRIWKRKDVEEFQYIPYLVTVMNCLFWVFYGLPIVKPDSILVVTINGIGLVMELIYLAIFCLYDKGKKGRVQVASWLAVEVVFLAGLVLATLLGFHTHAKRTLFVGIFCDVFNVMMYTSPLAIMISNGLGALSGAVQLILYARYYSTTPKRSKDKADVVKASEIQLSGSNAECRA >Manes.15G183200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24954254:24957012:1 gene:Manes.15G183200.v8.1 transcript:Manes.15G183200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLFWVFYGLPIVKPDSILVVTINGIGLVMELIYLAIFCLYDKGKKGRVQVASWLAVEVVFLAGLVLATLLGFHTHAKRTLFVGIFCDVFNVMMYTSPLAIMKKVITTKSVEFMPLSLSLANFANGCIWTAYALIKFDLFILISNGLGALSGAVQLILYARYYSTTPKRSKDKADVVKASEIQLSGSNAECRA >Manes.15G183200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24954254:24957012:1 gene:Manes.15G183200.v8.1 transcript:Manes.15G183200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEVARNIVGIIGNVISFGLFLSPVPTFYRIWKRKDVEEFQYIPYLVTVMNCLFWVFYGLPIVKPDSILVVTINGIGLVMELIYLAIFCLYDKGKKGRVQVASWLAVEVVFLAGLVLATLLGFHTHAKRTLFVGIFCDVFNVMMYTSPLAIMKKVITTKSVEFMPLSLSLANFANGCIWTAYALIKFDLFILISNGLGALSGAVQLILYARYYSTTPKRSKDKADVVKASEIQLSGSNAECRA >Manes.15G183200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24954254:24957012:1 gene:Manes.15G183200.v8.1 transcript:Manes.15G183200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHDGRTLSVYLRTHHFISRNVISFGLFLSPVPTFYRIWKRKDVEEFQYIPYLVTVMNCLFWVFYGLPIVKPDSILVVTINGIGLVMELIYLAIFCLYDKGKKGRVQVASWLAVEVVFLAGLVLATLLGFHTHAKRTLFVGIFCDVFNVMMYTSPLAIMKKVITTKSVEFMPLSLSLANFANGCIWTAYALIKFDLFILISNGLGALSGAVQLILYARYYSTTPKRSKDKADVVKASEIQLSGSNAECRA >Manes.15G183200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24954254:24957012:1 gene:Manes.15G183200.v8.1 transcript:Manes.15G183200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLFWVFYGLPIVKPDSILVVTINGIGLVMELIYLAIFCLYDKGKKGRVQVASWLAVEVVFLAGLVLATLLGFHTHAKRTLFVGIFCDVFNVMMYTSPLAIMKKVITTKSVEFMPLSLSLANFANGCIWTAYALIKFDLFILISNGLGALSGAVQLILYARYYSTTPKRSKDKADVVKASEIQLSGSNAECRA >Manes.15G183200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24954254:24957012:1 gene:Manes.15G183200.v8.1 transcript:Manes.15G183200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEVARNIVGIIGNVISFGLFLSPVPTFYRIWKRKDVEEFQYIPYLVTVMNCLFWVFYGLPIVKPDSILVVTINGIGLVMELIYLAIFCLYDKGKKGRVQVASWLAVEVVFLAGLVLATLLGFHTHAKRTLFVGIFCDVFNVMMYTSPLAIMKKVITTKSVEFMPLSLSLANFANGCIWTAYALIKFDLFILVKIQDLFFQSCKKLKRKITQKEK >Manes.01G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30543998:30545567:-1 gene:Manes.01G106000.v8.1 transcript:Manes.01G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKEKEVEIQDELADSLNDLFTSVSTMVKGELQGANNLMELMEKMNLKVAEEYKGFGDVASGLRVFVEQLKSKSGSFDQYVQQIDAIERQVTEFEAVISMLDNYVSLLESKVQSVYQHPPS >Manes.01G043200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8515546:8521919:1 gene:Manes.01G043200.v8.1 transcript:Manes.01G043200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVISSEDFDLNTHNQRKRRKETAEEEIEEEQRKESEMEKEGSKLVMRWENLFPKMALRVLLVEADDSTRQIIAALLRKCSYKVVAVPDGLKAWEMLKGRPHNIDLILTEVDLPSISGYALLTLIMEHQNCKSIPVIMMSSQDSVSTVYKCMLRGASDYLVKPIRKNELRNLWQHVWRRKSQSLEGGNGTQDESVGQDKVEATSENNAASNHSSGDMACIQYDKEFVEKGSDAQSSCTKPDVEAESAHVENTRDILQPDLGKIFLKDITMQKHEAHRDCGQILLLHESEAGGSAVAACKDSNRMTVNERVVPESQRMDSNNTCEPHDNNHVRVISSRKAIDFMGTSASHNSSSDNAKSKFDCSPHLDLCLTRCHPGGFEIQVTGERHTLRHSNASAFTRYTNRQLQNIHSTLGNCSNQKELGANSERKLSSNVSDYNPDNPGPPIERSIASLANCQTKESEIANSCAQQRAFPIQIPVNGINFNNLCTSYGSVFPPIFCKQSGASPIVSPSSGSQLEPNPKVNPFYPSSFKSSELYDHFGQTPNGSTNISLQKQDYKLESLEDRERISPATDQSATSSFCNGAASHLNMGYGSTSGSNSNVDQVAVVRAVAERKSEGVLQNVNSHRSIQREAALSKFRLKRKDRCYEKKVHLFIFILLSSSFHSYHSHKFVIIIIIIQLILYLSFFWGHNYRHHMASWV >Manes.01G043200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8515546:8521919:1 gene:Manes.01G043200.v8.1 transcript:Manes.01G043200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVISSEDFDLNTHNQRKRRKETAEEEIEEEQRKESEMEKEGSKLVMRWENLFPKMALRVLLVEADDSTRQIIAALLRKCSYKVVAVPDGLKAWEMLKGRPHNIDLILTEVDLPSISGYALLTLIMEHQNCKSIPVIMMSSQDSVSTVYKCMLRGASDYLVKPIRKNELRNLWQHVWRRKSSLEGGNGTQDESVGQDKVEATSENNAASNHSSGDMACIQYDKEFVEKGSDAQSSCTKPDVEAESAHVENTRDILQPDLGKIFLKDITMQKHEAHRDCGQILLLHESEAGGSAVAACKDSNRMTVNERVVPESQRMDSNNTCEPHDNNHVRVISSRKAIDFMGTSASHNSSSDNAKSKFDCSPHLDLCLTRCHPGGFEIQVTGERHTLRHSNASAFTRYTNRQLQNIHSTLGNCSNQKELGANSERKLSSNVSDYNPDNPGPPIERSIASLANCQTKESEIANSCAQQRAFPIQIPVNGINFNNLCTSYGSVFPPIFCKQSGASPIVSPSSGSQLEPNPKVNPFYPSSFKSSELYDHFGQTPNGSTNISLQKQDYKLESLEDRERISPATDQSATSSFCNGAASHLNMGYGSTSGSNSNVDQVAVVRAVAERKSEGVLQNVNSHRSIQREAALSKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRQVQHPPAEIEQ >Manes.01G043200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8515546:8521919:1 gene:Manes.01G043200.v8.1 transcript:Manes.01G043200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVISSEDFDLNTHNQRKRRKETAEEEIEEEQRKESEMEKEGSKLVMRWENLFPKMALRVLLVEADDSTRQIIAALLRKCSYKVVAVPDGLKAWEMLKGRPHNIDLILTEVDLPSISGYALLTLIMEHQNCKSIPVIMMSSQDSVSTVYKCMLRGASDYLVKPIRKNELRNLWQHVWRRKSQSLEGGNGTQDESVGQDKVEATSENNAASNHSSGDMACIQYDKEFVEKGSDAQSSCTKPDVEAESAHVENTRDILQPDLGKIFLKDITMQKHEAHRDCGQILLLHESEAGGSAVAACKDSNRMTVNERVVPESQRMDSNNTCEPHDNNHVRVISSRKAIDFMGTSASHNSSSDNAKSKFDCSPHLDLCLTRCHPGGFEIQVTGERHTLRHSNASAFTRYTNRQLQNIHSTLGNCSNQKELGANSERKLSSNVSDYNPDNPGPPIERSIASLANCQTKESEIANSCAQQRAFPIQIPVNGINFNNLCTSYGSVFPPIFCKQSGASPIVSPSSGSQLEPNPKVNPFYPSSFKSSELYDHFGQTPNGSTNISLQKQDYKLESLEDRERISPATDQSATSSFCNGAASHLNMGYGSTSGSNSNVDQVAVVRAVAERKSEGVLQNVNSHRSIQREAALSKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRQVQHPPAEIEQ >Manes.01G043200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8515546:8521919:1 gene:Manes.01G043200.v8.1 transcript:Manes.01G043200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVISSEDFDLNTHNQRKRRKETAEEEIEEEQRKESEMEKEGSKLVMRWENLFPKMALRVLLVEADDSTRQIIAALLRKCSYKVVAVPDGLKAWEMLKGRPHNIDLILTEVDLPSISGYALLTLIMEHQNCKSIPVIMMSSQDSVSTVYKCMLRGASDYLVKPIRKNELRNLWQHVWRRKSSLEGGNGTQDESVGQDKVEATSENNAASNHSSGDMACIQYDKEFVEKGSDAQSSCTKPDVEAESAHVENTRDILQPDLGKIFLKDITMQKHEAHRDCGQILLLHESEAGGSAVAACKDSNRMTVNERVVPESQRMDSNNTCEPHDNNHVRVISSRKAIDFMGTSASHNSSSDNAKSKFDCSPHLDLCLTRCHPGGFEIQVTGERHTLRHSNASAFTRYTNRQLQNIHSTLGNCSNQKELGANSERKLSSNVSDYNPDNPGPPIERSIASLANCQTKESEIANSCAQQRAFPIQIPVNGINFNNLCTSYGSVFPPIFCKQSGASPIVSPSSGSQLEPNPKVNPFYPSSFKSSELYDHFGQTPNGSTNISLQKQDYKLESLEDRERISPATDQSATSSFCNGAASHLNMGYGSTSGSNSNVDQVAVVRAVAERKSEGVLQNVNSHRSIQREAALSKFRLKRKDRCYEKKVHLFIFILLSSSFHSYHSHKFVIIIIIIQLILYLSFFWGHNYRHHMASWV >Manes.10G140800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30846941:30849826:-1 gene:Manes.10G140800.v8.1 transcript:Manes.10G140800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFNPTRIPHKPISKITSVSKPTKISFLSSIKPSNNTHKPHHFQSNSIPKLSVSTQEELEVEDYEEDDPTAEMSYLDPETDPDSIIEWELDFCSRPILDIRGKKVWELVVCDDSLSLQYTKYFPNNVINSITLKNAIVSISEELGVPRPQKIRFFRSQMQTIITKACKELDIRPIPSKRCISLLLWLEERYETLYTRHPGFQKGSKPLLALDNPFPMELPENLFGEKWAFVQLPFSAWMNGLEVCSIEVDTARACLILSVGISTRYIYATYKKSPVTTAEAEAWEAAKKACGGLHFLAIQDELDSEDCVGFWLLLDLPPPPV >Manes.10G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30846941:30849826:-1 gene:Manes.10G140800.v8.1 transcript:Manes.10G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSFNPTRIPHKPISKITSVSKPTKISFLSSIKPSNNTHKPHHFQSNSIPKLSVSTQEELEVEDYEEDDPTAEMSYLDPETDPDSIIEWELDFCSRPILDIRGKKVWELVVCDDSLSLQYTKYFPNNVINSITLKNAIVSISEELGVPRPQKIRFFRSQMQTIITKACKELDIRPIPSKRCISLLLWLEERYETLYTRHPGFQKGSKPLLALDNPFPMELPENLFGEKWAFVQLPFSAVREEVSSLETRFMFGASLDLDLLGIEVGDKTLIPGLAVASSRAKPLAAWMNGLEVCSIEVDTARACLILSVGISTRYIYATYKKSPVTTAEAEAWEAAKKACGGLHFLAIQDELDSEDCVGFWLLLDLPPPPV >Manes.02G143300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10984990:10986583:1 gene:Manes.02G143300.v8.1 transcript:Manes.02G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIWLYYFEIQGKKMVVKVYGAASASVNRVLICLIEKGIEFETVPVDLNKGENRNPEYLNLQPFGVVPVIQDGDYTLYESRAIIRYFAEKYKFQGTDLHGKSNEERGQVEQWLEVEAQNYHPHVYNLAIHILFASVLGFAPDEKLIQESEEKLAKVLDIYEDRLAKSKYLAGDFFSLADLSHIPFIHYLVGAIKKEYMIRSRKRVSCWWDDISNRPSWKKVLQL >Manes.04G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31910698:31922942:1 gene:Manes.04G117200.v8.1 transcript:Manes.04G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPEDNRIPPSTTSSSSPTVQESPFFNYLRNLSPIRSGKTARYVQGFTQTNFTVPPPPVFTSPRVDLQRETSFREETDAAGPDIYGQGDTHLKPEPIHCFQKEDQPCSPSGCIDEYLADPVDMEDTTKSSDLCAQTRNDVPMIFENRLSTHGDTIDKDDDHVDSHMFQGSFAGAQDHEKFEPQATDGQDNKVGDSYQSIPQTLQSVKTHKDFGEDSRLALKQSAKDADQHQRGIRRHLQFGATMSCKDTGNETHDTANSTLAEGLTDFESLVSYQIEPSGISNSWQAGTHAQTVNFLLSSCPPQPVMSVESCGNHNVSACFPSDLELSASGIIRLTDSMGSDSTATQKFVGKVHAREEKQIAVRNHSSASVAGEIYAQVEDDQQETQAAKAIVPYDGRTLTPQNTNMVEELYQASPKRNRKRAKCINEGEGCKRCNCKRSKCLKLYCECFAAGVYCLDSCACTNCFNRPEYEDTVLDTRQQIETRNPLAFAPKVVKQDTDSPANIVEEGNWTTPSSARHKRGCNCKKSKCLKKYCECYQARVGCSSGCRCEGCNNSFGKKTESIFRRPEKWRNPSHEQPDNLQTQDDFVKAGRAHQISSTWEELVDMSHLTPISHSHSAAMATSASLSIRDSSKVSQPQPYQESTLQSSAVYLHSHHSPISPTPKLYGSKILSDISSDNHLYDILEDDTPELLKNTLTPTKAVKISSPNQKRVSPPQNRSQEVRSSTSQGLRSARKFVLPAVPSFPPLSPYSKSRDGTQKRNGDSKDDDNSCQ >Manes.04G117200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31910698:31922942:1 gene:Manes.04G117200.v8.1 transcript:Manes.04G117200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTKSSDLCAQTRNDVPMIFENRLSTHGDTIDKDDDHVDSHMFQGSFAGAQDHEKFEPQATDGQDNKVGDSYQSIPQTLQSVKTHKDFGEDSRLALKQSAKDADQHQRGIRRHLQFGATMSCKDTGNETHDTANSTLAEGLTDFESLVSYQIEPSGISNSWQAGTHAQTVNFLLSSCPPQPVMSVESCGNHNVSACFPSDLELSASGIIRLTDSMGSDSTATQKFVGKVHAREEKQIAVRNHSSASVAGEIYAQVEDDQQETQAAKAIVPYDGRTLTPQNTNMVEELYQASPKRNRKRAKCINEGEGCKRCNCKRSKCLKLYCECFAAGVYCLDSCACTNCFNRPEYEDTVLDTRQQIETRNPLAFAPKVVKQDTDSPANIVEEGNWTTPSSARHKRGCNCKKSKCLKKYCECYQARVGCSSGCRCEGCNNSFGKKTESIFRRPEKWRNPSHEQPDNLQTQDDFVKAGRAHQISSTWEELVDMSHLTPISHSHSAAMATSASLSIRDSSKVSQPQPYQESTLQSSAVYLHSHHSPISPTPKLYGSKILSDISSDNHLYDILEDDTPELLKNTLTPTKAVKISSPNQKRVSPPQNRSQEVRSSTSQGLRSARKFVLPAVPSFPPLSPYSKSRDGTQKRNGDSKDDDNSCQ >Manes.14G084100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6958276:6960697:-1 gene:Manes.14G084100.v8.1 transcript:Manes.14G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQEFRHVCKFCSKSFSCGRSLGGHMRSHMINDISAQADGTKLTRKKLPSLPNNNGANNNTSFNEAAGYGLRENPKKTWRLADHSGEDTSVLDKSCKECGKVFQSWKALFGHMKCHALEKEKVSNNNSLEEQQDSWTSANNQKLVMDSQSDNETAAPNRRKRSKRRIRYMGAENSSSLSFANNASSSVSEIEQEQEEVAMCLMMLSRDAAQWGGLNSVAESSDNNSAFLETNLVSSKTEGKSFVCTGTKTVKMKKLGEKLETGKFEDDEYFKVENRKSEFSASGISRKGFTKNDKAKKPQLDDEHGAQNSGFKLAKNFTRETSLDQTGMVAKSSSSKRKLSDSSDKKLKSDYLKKLTTDASDSEACKNTDKSSRFECTTCNKVFHSYQALGGHRASHKKTKGCFASRIDSSENSIETEPRPDTTADSKLIKTIKNEISADHLAIDCDDKAETSCGAKKSKGHECPVCLKVFPSGQALGGHKRSHLVGAPEAKNNQNIAIQEPIPPVRDFLDLNLPAPVEEEGNGLVGFNPWWIRSNHKHEPLVGLISN >Manes.01G229900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39461660:39462482:1 gene:Manes.01G229900.v8.1 transcript:Manes.01G229900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSAHPLLVSLKGMVQQGEETSPILESQARRRWQVRRKQRVTGKKRSRRMVINSRTHPENSRSRAAKGIQKKVRTLKKLLPNSESAGLEELFRDTTDYILSLQMRVKVMQIMVKVLTGSDE >Manes.12G086500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13427444:13428498:-1 gene:Manes.12G086500.v8.1 transcript:Manes.12G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAQPSTSLNGDHRPLRPPQSSNTHHHHPYYPTSSSSKSASLKGCCCCCCLFLLFSFLALLVLAIFLIIILTVKPKKPEFDLQQVGVQYMGIPASNLNSLDPTIGTTTMTTGATTASLSLTIHMLFTAVNPNKVGIKYSESKFTVMYHGIPLGKASVPGFYQEAHSERQVEATISVDRYSLIQANAVDLIRDASLNDRVEPRVLGEVGAKIRVVDFDSPGVQVSH >Manes.05G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6234114:6236339:1 gene:Manes.05G076500.v8.1 transcript:Manes.05G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPSSSTLLFSNLPSNLSPPSLLSSPRISLSSSSSQSSPLSSFLSISPTSFTYPTFSRRISSSTFTVKASAAKKKALIVNTNSGGHAVIGFYFAKELLGSGHEVTIMTVGDQNSDKMKKPPFSRFSEIVSAGGRTVWGDPAEIGKAVEGATFDVVLDNNGKDLDAVRPVADWSKSAGVEQFLFISSAGIYVPTDEPPHVEGDAVKESAGHVGVEKYIAEIFSSWAVFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNIAHVRDLSSMLTAAVENPETASNKIFNCVSDRAVTLDGMAKLCAQAAGLSVEIVHYDPKAVGVDAKKAFPFRNMHFYAEPRAAKDILGWRATTNLPEDLKERFDEYVKIGRDKKQMKFEIDDKILESLKVPVAA >Manes.05G076500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6234114:6236339:1 gene:Manes.05G076500.v8.1 transcript:Manes.05G076500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPSSSTLLFSNLPSNLSPPSLLSSPRISLSSSSSQSSPLSSFLSISPTSFTYPTFSRRISSSTFTVKASAAKKKALIVNTNSGGHAVIGFYFAKELLGSGHEVTIMTVGDQNSDKMKKPPFSRFSEIVSAGGRTVWGDPAEIGKAVEGATFDVVLDNNGKDLDAVRPVADWSKSAGVEQFLFISSAGIYVPTDEPPHVEGDAVKESAGHVGVEKYIAEIFSSWAVFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNIAHVRDLSSMLTAAVENPETASNKIFNCVSDRAVTLDGMAKLCAQAAGLSVEIVHYDPKAVGVDAKKAFPFRNMVDQ >Manes.04G073800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29025189:29030351:1 gene:Manes.04G073800.v8.1 transcript:Manes.04G073800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTHLSHPPSLSKTRTFPLSQNPFLKTPLLTVKSTKSSLKSLSLKAVLSQNPASTLPQESAPFKHCFTKSPDGFLYCENLKVQDIMENVEKRPFYLYSKPQITRNFEAYRDALEGLRSIIGYAIKANNNLKILEHLRQLGCGAVLVSGNELRLALHAGFDPTRCILNGNGKLLEELVLAAQEGVFINVDSEFDLENIVAAARIAGKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDQIRAQGFEVDYLNIGGGLGIDYYHTGAVLPSPRDLIDTVRELVLSRNLNLIIEPGRSVIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPQPANAEVSTFDVVGPVCESADFLGKNRELPTPAKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVAKIRHGETFEDHIRFFKGL >Manes.08G130200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37959243:37960193:-1 gene:Manes.08G130200.v8.1 transcript:Manes.08G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLALLALAVAFSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNYAVEKLQKLFANA >Manes.18G136421.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23388042:23388981:-1 gene:Manes.18G136421.v8.1 transcript:Manes.18G136421.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSPFASCGNPSSNKKIPTNEPTIRNQVPHQGRMPDTDMDPRKLKRAMASRQYSQKYRLKQLQYILQLEKEVKALQAEVAITSPRIKYVDRKNSLLRMQNGSMNERLSAFSTNLMFKEAQYEELKKERDMLKQFYVINQPQQLPDFLKIKPVDNYQLLNFNLNHTAFNPFLEPAAVGMSQIMINQNLNQTYYE >Manes.10G124900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28771522:28772508:-1 gene:Manes.10G124900.v8.1 transcript:Manes.10G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSKILTSVDINKGLEIPHDRCDDVLAELLITGQRMEILVADMQGNPWKFVCFTKSGNNQLPNPVFKTGWLEFAGHWNLAAGTTITFYKEIDQATGAQYKIRVR >Manes.16G103500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30865740:30867179:1 gene:Manes.16G103500.v8.1 transcript:Manes.16G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVHLQHPRNQMTFPPSAGPRTANKISYSPPFSCSLKISPPSRKRSKLKPLCSYADSKANNSPAKVYRRQKRKNPQKDWKFSNNNQNDNDPLRRISTIVLPTVDLMSLCKEGKIKEALEYVGQGAFADYGVFGALLDSCGSLKLLDMGKRVHELLKQSPFAGDIEMNNKLIRMYGKCGCMGDARRVFDRMRERNMGSWHLLMSEYAENWQGENGLMMFEEMKKEGLHPDKETFVAVFAACSSAGAVEEGLLHFEAMRSEYGIAPGIDHYLGVIHVLGNAGHLREAEELIQRMPFEPTAEVWRALRNYARIHGDIELEDRAEELLVAVDSSEPNADIIPLPQRKKQSATNMLEEKNRLAEYRCTEPYRGEGYQMVKGLNGQMREAGYVPDTRYVLHDIDEEAKEQALLYHSERLAIAYGLISTPARQTLRIMKNLRICGDCHNAIKIMSRIVGRELIIRDNKRFHHFKDGKCSCGDYW >Manes.18G057500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4825610:4831440:1 gene:Manes.18G057500.v8.1 transcript:Manes.18G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASEETTNICSHCDRAIPSLNIDLHFAHCSRNLEKCKICGDMVPKKHAEEHFLNTHAPVACSLCSETMEREILAIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYIRLRERYNHEARCTGVSDSTAGSSRDVRAAERAPGAPRTQPHDYSRRRLLFTIAITGIAVLLGSILFQRKTGNNQVH >Manes.16G039802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5169549:5184896:1 gene:Manes.16G039802.v8.1 transcript:Manes.16G039802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFLKSEGVDLWDIVEHGPFFPTRVIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTAKEIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDETISQMYDRFLEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLSKVQLDELLGNLIDYETTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEKLALVTRRIRKLLLQNKKFIPRKNFRKKKGESSKKEVVICYECNKPGYYKVDCPKLKKPIKKFKKKVFKATWDESSDTEEEDVGDEIANMCFMALEESSDEVTILDDSTLCDDVVEFSYDELVGALKLMNDELEKSHKKNKILKCELASFKRESENSPKKPLPSNNSLQKSLDELSLENKILKNEILELKNSLSKFLKGKDKLDEILDSQRSPSIKYGLGYDKSTQANSSKTVFVCLKSSKIESKWYLDSGCSRHMTGNSNGSGQVTFGDNGKGKIVGIGKVGKENSPILDKDNLGKFDSKTDEGIFLGYSISSKSYRVFNKRTLIVEESMHVVFDESNPFALRKEVSCDDDLVGNLDELTIEDPQPHGDQSQPKEDSIEAIEDQDWTYKKDHPKDQIIDEAISDESWVLAMQEELNQFERNKVWTLVSRPKHHPTIGTKWVFRNKLDEDGNIIRNKARLVAKGYRL >Manes.06G062900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19729271:19733899:-1 gene:Manes.06G062900.v8.1 transcript:Manes.06G062900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSLLRSSTRRSVSKSPLSNSNPKLSSPSPCSTRRASPYGYLLTRAAEYATSAAAAAASPPSKPEGAKGGGKITDEFTGKGAVGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGESMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDIKTSHFLPIHREAPAFVDQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Manes.06G062900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19729271:19733899:-1 gene:Manes.06G062900.v8.1 transcript:Manes.06G062900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSLLRSSTRRSVSKSPLSNSNPKLSSPSPCSTRRASPYGYLLTRAAEYATSAAAAAASPPSKPEGAKGGGKITDEFTGKGAVGQVCQVIGAVVDVRFDEGLPPILTALEVMDHSIRLVLEVAQHLGESMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDERGDIKTSHFLPIHREAPAFVDQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >Manes.04G063801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22288161:22293299:-1 gene:Manes.04G063801.v8.1 transcript:Manes.04G063801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVALFHINSNRFCGIIPESFCKLKLMHEFDVSNNRFVGSFPKVVLSWPVLKYLDIRFNNFEGCLPDELFAKDLDALFLNNNRFGCKIPETMGNSTVSTVVFAYNSFTGCVPQSIGNMANLNEIIFLSNNLSGCLTPEIGKLKNLTVFDVSFNQLIGNLPSTFADLKHIEELNVGNNKLTGSMPENICKLPKLRNLTFSCNYFKEEAQVCLSLPKKDIVVDDVSNCISNRPHQKSSKECFPIVSKHVDCTKHKCGGGGASSPPQPTSETPSPQPEMKSPTPPQSTSETPSPPVDSPPPPKPEAPQPSKPEAPEPSESDSLGASPPQQSTPKPEAPQPSKPETPEPSESDSPPPPQSTPKPEAPQPSKPEAPQPSKPEAPEPSESESLGVSSPPQSTSETPSPPVDSPPPPKPEAPQPSKPEAPEPSESDSLGASSPPQSTPKPEAPQPSKPEAPEPSKSESLGASSPPQSTSETPSPSVDSPPPPKPEAPQPSKPEAPEPSESDSLGASSPPQSTPKPEAPQPSKPEAPGPSESQSDSLGASSPPQSTPKPEAPQPSKPEAPEPSESESLGASPPQSTSETPSPPVDSPPPPKPEAPQPSKPEAPEPSESDSLGASSPPQSTPKPEAPQPSKPETPEPSESESLGASSPPQSTSETPSPQPEMKSPTPPQSTSKTPCPPVDSPPPPKPEVPQPSKPEPEMKSPTPPESTSETPSPSVDSPPPLHSPPPPIESPPPPVESPPPPVQSPPPPVESPPPPVQSPPPPVESPPPPVHSPPPPVESPPPPEHSPPPPVESPPPPVHSPPPPVESPPPPVESPPPPVHSPPPPVESPPPPVQSPPPPVESPPPPVHSPPPPVESPPPPVHSPPPPVESPPPPVSSPPPPVESPPPPVSSPPPPVESPPPPVHSPPPPVESPPPPVHSPPPPVESPPPPVQSPPPPPVESSPPPIPSPSPPISSPPPTASPPPIELPTASPPPIELPTPPATEIVLPPNIGFQYSSPPPPLFPGY >Manes.03G022900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1874433:1884837:1 gene:Manes.03G022900.v8.1 transcript:Manes.03G022900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHVTLEVGNDGVAVISMFNPPVNALALPIIAGLKEKFDEATRRNDVQAIVLTGKNGKFSGGFDIGVMQKVHQTGDVSLVPDVSVDLVVNTIEDCKKPVVAAVEGLALGGGLELAMGCHARIVAPKTQLGLPELTLGVIPGFGGTQRLPRLVGLSKAIEMMLSSKPITSEEGKKLGLVDVIVSSQELLKVSRQWALDIKEKHKPRMRSLHRTDKIGSLSEALKLLKAARQQAKKTAPNMPQHQACLDVIEEGVVHGGYHGVLKEAKVFKELVMSDTSKGLIHVFFAQRATSKVPNVTDIGLRPTQIKKVAVIGGGLMGSGIATALIMSNIYVVLKEINSEYLQKGIKTIEANVRGLVTRGKLTKDKSDKALSMLNGALDYSDFRDVDMVIEAVIESVPLKQKIFSEIEKVCPPHCILATNTSTIDLNIIGEKTSARDRIIGAHFFSPAHIMPLLEIVRTEKTSPQVILDLMTVGKSIKKVPVVVGNCTGFAVNRTFFPYSQGAHFLVNLGVDVFRIDKVIRNFGLPIGPFQLQDLAGYGVAVAVGKEFANAFPDRTFLSPLVGLLIKSGRNGKNNGKGYYIYEKGSKPNPDPSVMPIIEESRRLTNIMPNGKPINVTDREIVEMMLFPVVNEACRVLDEGVVVRASDLDIASVLGMSFPSYRGGIVFWADMVGPKHIYTSLKKWSQLYGNFYKPSRYLEERASKGMLLSAPASPTRSRM >Manes.03G015900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1373705:1379202:1 gene:Manes.03G015900.v8.1 transcript:Manes.03G015900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGLEYPYLIYDGDILFIPVPAGELQNLTILEERKDTKDTKASKSRIHILVGSISGASIVILLAVVFVFWKRNRANRAQQSKSFSQRSDCLLCNHDKCPCPRKCEEHPASLLNSEKATIFPYYEIVDATSNFSVASKVGQGSYGSVYRGKLRGTDVAIKQMKDTKSKEFMSELNILCRVHHSNLIELIGYGAGGDSLFLVYEFAQNGALSDHLHNPTLRGHRPLPWITRAQIALDAAKGLEYIHEHTQPYYVHRDVKTSNILLDSNFRAKIADFGLVKLLDNSPDVRAAASRIVGTFGYLAPECVCSRWVCDHEE >Manes.03G015900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1373705:1379202:1 gene:Manes.03G015900.v8.1 transcript:Manes.03G015900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGLEYPYLIYDGDILFIPVPAGELQNLTILEERKDTKDTKASKSRIHILVGSISGASIVILLAVVFVFWKRNRANRAQQSKSFSQRSDCLLCNHDKCPCPRKCEEHPASLLNSEKATIFPYYEIVDATSNFSVASKVGQGSYGSVYRGKLRGTDVAIKQMKDTKSKEFMSELNILCRVHHSNLIELIGYGAGGDSLFLVYEFAQNGALSDHLHNPTLRGHRPLPWITRAQIALDAAKGLEYIHEHTQPYYVHRDVKTSNILLDSNFRAKIADFGLVKLLDNSPDVRAAASRIVGTFGYLAPEYARDGFVTTKSDVYSFGVVLMELLTGQPALTKDASSENDQVSEHRSVVQYILSAFNDTEDPFTQLEKCVDPNLTCYHKDSLFQMALLSKDCVDDNWNHRPEMSRVVLRLSHILLNSKDNEGLECDQLTG >Manes.03G015900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1373704:1379202:1 gene:Manes.03G015900.v8.1 transcript:Manes.03G015900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCRLYDDTSENYSQSFQESHMAPNGQAKTAGFFLYVFFFHFLIQSPTPSGELQNLTILEERKDTKDTKASKSRIHILVGSISGASIVILLAVVFVFWKRNRANRAQQSKSFSQRSDCLLCNHDKCPCPRKCEEHPASLLNSEKATIFPYYEIVDATSNFSVASKVGQGSYGSVYRGKLRGTDVAIKQMKDTKSKEFMSELNILCRVHHSNLIELIGYGAGGDSLFLVYEFAQNGALSDHLHNPTLRGHRPLPWITRAQIALDAAKGLEYIHEHTQPYYVHRDVKTSNILLDSNFRAKIADFGLVKLLDNSPDVRAAASRIVGTFGYLAPEYARDGFVTTKSDVYSFGVVLMELLTGQPALTKDASSENDQVSEHRSVVQYVSVHLFFCYNRCILLLLIAICSFRLKTDGTNYWKLFE >Manes.10G104200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25195886:25199265:1 gene:Manes.10G104200.v8.1 transcript:Manes.10G104200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGFVYIFFFTMCMISFKVPISRCQDIACHSNDLRALKSFSNRLKSGINGWNFSTSNCCNWTGVSCNHSTTSSNRVTGLELGKKRILGVLCETLGGLDQLRILNLSQNFLHGIVPTKLFQLQNLEVLDLSDNDFVGSVPGGIHLPSIRYFDISKNSFNGSSDPTFCKTSLHLQVLNLASNDFSGEVSTSFGSCSSLQQLFLNGNKLSGSFPYSLSQLQHLHILHLQNNQFSGALNDGIGNLSNLVELDISNNIFSGNLPDVFQRLRQLELFASSSNRLNGHLPYSLVNSPTLRILKLGNNTMDGPININCSAMIHLAYLDIGSNNFHGPIPESICSCQNLSVLNLGRNKLGGEVPYKFKNLQALTYLSLSNTSLTNISAALGILQQCTNLTTLVLTRNFRDEQMPSDANFQFKNLRVFIIANCQLRGSIPLWLEGHKMLQLLDLSWNHLGGNIPLWIGNFSSLFYLDLSDNSFIGEIPTSLTGLQTLTDTNILTDGNALSIPLYKKTEASSRTFQYNKIRSLQPTLDLSSNKLTGPIWPSFGNLKGLHVLNLKNNDLSGPISDGLSRMSSLEILDLSHNKLFGEIPTSLVKLSFLSKFSVADNQIYGEIPTGGQFLTFPCSSFEGNSDLYGGGAFSSCQLAQHPPPPPPPLATQPPIEKMNIMGLQFGLGATTGFVLTVTFVFMSGWVIPKPKARIRETMAMRTTIRHRM >Manes.10G104200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25195695:25199265:1 gene:Manes.10G104200.v8.1 transcript:Manes.10G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGFVYIFFFTMCMISFKVPISRCQDIACHSNDLRALKSFSNRLKSGINGWNFSTSNCCNWTGVSCNHSTTSSNRVTGLELGKKRILGVLCETLGGLDQLRILNLSQNFLHGIVPTKLFQLQNLEVLDLSDNDFVGSVPGGIHLPSIRYFDISKNSFNGSSDPTFCKTSLHLQVLNLASNDFSGEVSTSFGSCSSLQQLFLNGNKLSGSFPYSLSQLQHLHILHLQNNQFSGALNDGIGNLSNLVELDISNNIFSGNLPDVFQRLRQLELFASSSNRLNGHLPYSLVNSPTLRILKLGNNTMDGPININCSAMIHLAYLDIGSNNFHGPIPESICSCQNLSVLNLGRNKLGGEVPYKFKNLQALTYLSLSNTSLTNISAALGILQQCTNLTTLVLTRNFRDEQMPSDANFQFKNLRVFIIANCQLRGSIPLWLEGHKMLQLLDLSWNHLGGNIPLWIGNFSSLFYLDLSDNSFIGEIPTSLTGLQTLTDTNILTDGNALSIPLYKKTEASSRTFQYNKIRSLQPTLDLSSNKLTGPIWPSFGNLKGLHVLNLKNNDLSGPISDGLSRMSSLEILDLSHNKLFGEIPTSLVKLSFLSKFSVADNQIYGEIPTGGQFLTFPCSSFEGNSDLYGGGAFSSCQLAQHPPPPPPPLATQPPIEKMNIMGLQFGLGATTGFVLTVTFVFMSGWVIPKPKARIRETMAMRTTIRHR >Manes.05G187300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30794331:30797633:-1 gene:Manes.05G187300.v8.1 transcript:Manes.05G187300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNQHGYLEELLTFRRDTWETMPSFPSEMSELFSSGWSFDCFDDNLAATLPPISFHEDLSSPLDQEFNNYYFNEVSCPFGDEFSTPPLADEFSAPQFTDSSYNTLDSLPFPVQEGTPMSATMEDDQDLGLLPNNVHGLKMQDTCKVEPIQSPEIPVFNIGSCPERKLRGKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELLERIQSLQQEIEVGSDQLNMMNILKDTKASDFIVRNSPKFDVERVNESTRIDICCAAKPGLLLSTVNTLEALGLEIQQCVISCFNDFAMQASCSEELEQRRLISSEDVKQALFRSAGYGGRCL >Manes.05G187300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30794394:30797617:-1 gene:Manes.05G187300.v8.1 transcript:Manes.05G187300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNQHGYLEELLTFRRDTWETMPSFPSEMSELFSSGWSFDCFDDNLAATLPPISFHEDLSSPLDQEFNNYYFNEVSCPFGDEFSTPPLADEFSAPQFTDSSYNTLDSLPFPVQEGTPMSATMEDDQDLGLLPNNVHGLKMQDTCKVEPIQSPEIPVFNIGSCPERKLRGKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELLERIQSLQQEIEVGSDQLNMMNILKDTKASDFIVRNSPKFDVERVNESTRIDICCAAKPGLLLSTVNTLEALGLEIQQCVISCFNDFAMQASCSEELEQRRLISSEDVKQALFRSAGYGGRCL >Manes.13G119400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32587999:32597166:1 gene:Manes.13G119400.v8.1 transcript:Manes.13G119400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAALLDSVDENSDSESGMKKNKGKTKSSVSSASKVLTSREKVKKRNCSHRKEEVAVSWKRPKRAAACTDFKEKSIHLSEKISVLELKNDQFADEEIIAIHLTHEQDEGRPNRRLADFVVHDANGTPQPLEMIEVDDMFISGLILPLEEGPEREKEKRVRCEGFGRIEGWSISGYEDGSPVVWLTTDIADYDCLKPASSYKKFYDHFFEKAHVCIEVYKKLSKSSGGNPDLALDELLAGVVRSMIGSKCFSGAASIKDFVISQGEFIYNQLIGLDETSKKNDQKFAGLPVLLALKGKSREHGNFVLAKAASSGGNLMICPKVGDTESNVLLSNSSFSGAEEDEDAKLARLLQEEEYWQSTKKQKKNQGSASSTNTVYIKINEDEIANDYPLPVFYKHSDEEIDEYVAIDSDEHIMVDPDDLPKKMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGRMTEDDGSGFCLDNGTDQSSSGCSGAQDDVGLPIFLSAIKEWMIEFGSSMVFISIRTDMAWYRLGRPSKQYSPWYKPVLKTAKLARSIITLLKEQSRVSRLSFADVIRKVSEFKKDDHGYISHDSATVKRYVVVHGQIILQLFAEFPDEKIKKCAFVVGLTSEMEDRHHTKWVVNKKKIMQKIQPNLNPRAAMGCTGPVLSKRKVMQATTTRLINRIWGEYYSNYSPEDLKEETNYEAKEEDCIEEQEENEDDAEEETPLISENTQKPCLVSSRTKSNYSRDEVQWDGNPVGVTYSGEAIYKCAVVRGEMIAVGGAVLVDLNESNEFPEIYFVEYMFEASDGSKMFHGRMMQQGSQTVLGNAANEREVFLRNECANYDLQDVKQAIAVEVRKMPWGYHHRKDNDNADKIDRARAEERKRKGLPPEYYCKSLYWPERGAFFSLPLDTMGLGSGVCYSCKIKEVNMEKDIFKVNSSRTGFVYIGTEYSVHDFVYLLEIIVQKELQQAEARSTRVKVRRFFRPEDISSEKAYCSDIREIYYSEDMHLLPAEAIRGKCEVRKKSDIPTCSAAATFDHIFFCEHLYDPSRGSLKQLPANIRLRYSTGSEESDAASRKRKGKCKQGEDEGEREREASQERRLATLDIFAGCGGLSEGLQQAGVSTTKWAIEYEEPAGEAFKLNHPASLVFINNCNVILRAVMEKCGDADECISTSEAMDLAASLDEKIINDLPLPGQVDFINGGPPCQGFSGMNRFSQSTWSKVQCEMILAFLSFVDYFRPKYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAASPDEILPEWPEPMHVFSGPELKIMLSENSQYSAVRSTANGAPFRAITVRDTIGDLPAVENGASKTNMEYKNDPVSWFQKKIRGDTAVLTNHISKEMNELNLIRCQKIPKRPGADWRDLPDEKVKLSTGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDGYKFAGNIQHKHRQIGNAVPPPLAYALGIKLKEAMYNKGAHGLGQL >Manes.13G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32587999:32597166:1 gene:Manes.13G119400.v8.1 transcript:Manes.13G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAALLDSVDENSDSESGMKKNKGKTKSSVSSASKVLTSREKVKKRNCSHRKEEVAVSWKRPKRAAACTDFKEKSIHLSEKISVLELKNDQFADEEIIAIHLTHEQDEGRPNRRLADFVVHDANGTPQPLEMIEVDDMFISGLILPLEEGPEREKEKRVRCEGFGRIEGWSISGYEDGSPVVWLTTDIADYDCLKPASSYKKFYDHFFEKAHVCIEVYKKLSKSSGGNPDLALDELLAGVVRSMIGSKCFSGAASIKDFVISQGEFIYNQLIGLDETSKKNDQKFAGLPVLLALKGKSREHGNFVLAKAASSGGNLMICPKVGDTESNVLLSNSSFSGAEEDEDAKLARLLQEEEYWQSTKKQKKNQGSASSTNTVYIKINEDEIANDYPLPVFYKHSDEEIDEYVAIDSDEHIMVDPDDLPKKMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGRMTEDDGSGFCLDNGTDQSSSGCSGAQDDVGLPIFLSAIKEWMIEFGSSMVFISIRTDMAWYRLGRPSKQYSPWYKPVLKTAKLARSIITLLKEQSRVSRLSFADVIRKVSEFKKDDHGYISHDSATVKRYVVVHGQIILQLFAEFPDEKIKKCAFVVGLTSEMEDRHHTKWVVNKKKIMQKIQPNLNPRAAMGCTGPVLSKRKVMQATTTRLINRIWGEYYSNYSPEDLKEETNYEAKEEDCIEEQEENEDDAEEETPLISENTQKPCLVSSRTKSNYSRDEVQWDGNPVGVTYSGEAIYKCAVVRGEMIAVGGAVLVDLNESNEFPEIYFVEYMFEASDGSKMFHGRMMQQGSQTVLGNAANEREVFLRNECANYDLQDVKQAIAVEVRKMPWGYHHRKDNDNADKIDRARAEERKRKGLPPEYYCKSLYWPERGAFFSLPLDTMGLGSGVCYSCKIKEVNMEKDIFKVNSSRTGFVYIGTEYSVHDFVYVSPNHFSVERETEIFKGGRNVGLKAYVVCQLLEIIVQKELQQAEARSTRVKVRRFFRPEDISSEKAYCSDIREIYYSEDMHLLPAEAIRGKCEVRKKSDIPTCSAAATFDHIFFCEHLYDPSRGSLKQLPANIRLRYSTGSEESDAASRKRKGKCKQGEDEGEREREASQERRLATLDIFAGCGGLSEGLQQAGVSTTKWAIEYEEPAGEAFKLNHPASLVFINNCNVILRAVMEKCGDADECISTSEAMDLAASLDEKIINDLPLPGQVDFINGGPPCQGFSGMNRFSQSTWSKVQCEMILAFLSFVDYFRPKYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAASPDEILPEWPEPMHVFSGPELKIMLSENSQYSAVRSTANGAPFRAITVRDTIGDLPAVENGASKTNMEYKNDPVSWFQKKIRGDTAVLTNHISKEMNELNLIRCQKIPKRPGADWRDLPDEKVKLSTGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDGYKFAGNIQHKHRQIGNAVPPPLAYALGIKLKEAMYNKGAHGLGQL >Manes.04G001901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:517030:521274:1 gene:Manes.04G001901.v8.1 transcript:Manes.04G001901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCINFYSVKIRADCPARTRRSIVFHVGYLLSVNGLLCLCLCLCFSHTPLTATQLDTYNTRSFRTTDFIWSLLRQHQW >Manes.04G001901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:517030:518710:1 gene:Manes.04G001901.v8.1 transcript:Manes.04G001901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCINFYSVKIRADCPARTRRSIVFHVGYLLSVNGLLCLCLCLCFSHTPLTATQLDTYNTRCYMGGLLVNSVEQGEG >Manes.04G001901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:517030:518160:1 gene:Manes.04G001901.v8.1 transcript:Manes.04G001901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCINFYSVKIRADCPARTRRSIVFHVGYLLSVNGLLCLCLCLCFSHTPLTATQLDTYNTRCPFPLPFQSVLLNVFVSLSNYNLLRFFI >Manes.06G012001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1871843:1874121:-1 gene:Manes.06G012001.v8.1 transcript:Manes.06G012001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKGFLLPFLLMIIHFAASMDTIAINQTIEDGGFLISKENNFVLGFFSPGNSKYRYLGIWYYKVPEQTVVWVANRNHPINGSSGVLSFNQYGNLVLYSNHSRKVPVWSANVSREVTKTDTCCVAQLLDSGNLILVQERSGRVLWESFDYPTDTHIPGMKFGLNRETGIHRFFTSWRSADDPGTGDYVLEVNLKGSPQGILYKGTKRYWRVVPWPRTNYVLNNISFVHNQKETFFTFFPVDASLILRTRLEYSGLVSHLIWHENEGKWKELRSAPKNLCGCVRKRKESSSLCNQGEGFVKVTDVKVPDTSEAVWLGLNMSSVDCELQCKRNCTCSAYSSISISGKETGCLAWYGELTDTEIDIAEGIDIYVRVDALELAEITQKSNGFLEKKHLLAILLVSIFSAWFVIILFAYLWFKKKRKTESEEQMECKIV >Manes.17G000837.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1605524:1607008:1 gene:Manes.17G000837.v8.1 transcript:Manes.17G000837.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTKWEDFEDKGIGHWRFSCIMSFSWRPILSATGYTEYYFDAQSGRVCRVLAAVKVQNMTKIQLQSARENP >Manes.09G085600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25559931:25563725:1 gene:Manes.09G085600.v8.1 transcript:Manes.09G085600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIDIFCASQASTAICMSMDQPSSSSSSSLSSLPPTTPLGGRAIDRHNPIIRDQRRNPRSLSLAPCTSQQPPINPQPYHLLHNTKKNPAAAAATNKINNDQTKRKSSRKPNERKDKRNSAKAAGDNNGEMHSSASSSSAISVVKEDFVNKSWAKREDFITPPGSSRYLLSEKDFVDGLSDYDPVLALVPAEPHNNSKPQESESNSSKAHSTSNSERPSNQVVVLRVSLHCRGCEGKVRKHLSRMEGVRSYSIDFAAKKVTIVGDVTPLGVLASVSKVKSAQFWTSAPLSNNSLLNNMTNSVGVGSSPFACRQIQKKT >Manes.09G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25560188:25561311:1 gene:Manes.09G085600.v8.1 transcript:Manes.09G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIDIFCASQASTAICMSMDQPSSSSSSSLSSLPPTTPLGGRAIDRHNPIIRDQRRNPRSLSLAPCTSQQPPINPQPYHLLHNTKKNPAAAAATNKINNDQTKRKSSRKPNERKDKRNSAKAAGDNNGEMHSSASSSSAISVVKEDFVNKSWAKREDFITPPGSSRYLLSEKDFVDGLSDYDPVLALVPAEPHNNSKPQESESNSSKAHSTSNSERPSNQVVVLRVSLHCRGCEGKVRKHLSRMEGVRSYSIDFAAKKVTIVGDVTPLGVLASVSKVKSAQFWTSAPLSNNSLLNK >Manes.09G007800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1839261:1844911:1 gene:Manes.09G007800.v8.1 transcript:Manes.09G007800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIISPVDAPVTEAKSGTDAARIAEVKVWLSSQFDAAGKDVPEFEYTPRSIAHLYNLATVSQAKTQAANILANDFRQKATEYRAQAARIREILENVGLAQESLPSNVVGSAQVLANVANLLNIRDTELNSFLVAMGDISLRKTAVEEKRAKAQKESKILLDYTRKAIARLTYLKRTLAQLEDDVAPCEAQMENWKTNLAVMASKERQYLQQYSNYKALLNRVGYKPEISHGLLVEMAEHRKDLEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALATSD >Manes.09G007800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1839253:1844911:1 gene:Manes.09G007800.v8.1 transcript:Manes.09G007800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIISPVDAPVTEAKSGTDAARIAEVKVWLSSQFDAAGKDVPEFEYTPRSIAHLYNLATVSQAKTQAANILANDFRQKATEYRAQAARIREILENVGLAQESLPSNVVGSAQVLANVANLLNIRDTELNSFLVAMGDISLRKTAVEEKRAKAQKESKILLDYTRKAIARLTYLKRTLAQLEDDVAPCEAQMENWKTNLAVMASKERQYLQQYSNYKALLNRVGYKPEISHGLLVEMAEHRKDLEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALATSD >Manes.03G207100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:32313186:32313695:-1 gene:Manes.03G207100.v8.1 transcript:Manes.03G207100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:INH2 MKTSTSLTFIFLGFLITQLSFVQSDANIIAQTCKQTPYYNLCVSSLSSDPASSRADVRGLALIMINMVKAKATISVQLINQLFKKSPRLKNPLSFCAESYSAILSADIPEALEALQKGVPKFAQNGANDAANEANLCEANFHGKSPLTKFNKIVHDTSVIASAIIQQLL >Manes.02G117704.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9013867:9015412:-1 gene:Manes.02G117704.v8.1 transcript:Manes.02G117704.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRMLPSGEITNSKPRKKKVSTSRASLIPTQVNNIREREVQQVQRPLRMSQKASKRNLKNEGSPMIHQPERSNSDSLPDSSTSGDEYRALRRKYLLLEEESFGLGRELEVIEEEVKTLEDEKLALLDQLVVLEGLIDPSEVHAHGLQ >Manes.02G117704.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9013135:9015410:-1 gene:Manes.02G117704.v8.1 transcript:Manes.02G117704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRMLPSGEITNSKPRKKKVSTSRASLIPTQVNNIREREVQQVQRPLRMSQKASKRNLKNEGSPMIHQPERSNSDSLPDSSTSGDEYRALRRKYLLLEEESFGLGRELEVIEEEVKTLEDEKLALLDQLVVLEGLIDPSEVHAHGLQ >Manes.02G117704.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9013867:9015399:-1 gene:Manes.02G117704.v8.1 transcript:Manes.02G117704.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRMLPSGEITNSKPRKKKVSTSRASLIPTQVNNIREREVQQVQRPLRMSQKASKRNLKNEGSPMIHQPERSNSDSLPDSSTSGDEYRALRRKYLLLEEESFGLGRELEVIEEEVKTLEDEKLALLDQLVVLEGLIDPSEVHAHGLQ >Manes.14G144500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13350448:13352461:-1 gene:Manes.14G144500.v8.1 transcript:Manes.14G144500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCPLFACFLYMKFVLQISRYLSNPQYYFQVNDDYVKNKLKIILFPFLHRGHWMRSTEKVGGELSYKPPIYDINAPDLYIPLMAFGTYLILAGFFLGINGKFSPEALSVQLTNGLLCWLFQVLILEATLHTLGDGDVPLLDVVAYGGYTFVAVSLAILARIACRYFFYTTTLWECFCMGMFFVKIMKRILIAEMRSCDKHSSKRHYLLLLVAIAQIPLLFWLGSVGL >Manes.14G144500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13350448:13353064:-1 gene:Manes.14G144500.v8.1 transcript:Manes.14G144500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRIRGSKAEAVMKAPSKDGNSFGEAIYGAGTDIIRTELGAYGEKLLCSSSAYVHGNGHWMRSTEKVGGELSYKPPIYDINAPDLYIPLMAFGTYLILAGFFLGINGKFSPEALSVQLTNGLLCWLFQVLILEATLHTLGDGDVPLLDVVAYGGYTFVAVSLAILARIACRYFFYTTTLWECFCMGMFFVKIMKRILIAEMRSCDKHSSKRHYLLLLVAIAQIPLLFWLGSVGL >Manes.09G156900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35244330:35246839:-1 gene:Manes.09G156900.v8.1 transcript:Manes.09G156900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQDDAVFGHDLDDDDEDSSQSQDDGDDLENDDVMVENDDVLADEEDEEDLNSVSPSISSPATVPVAVPSASAVTIAVPNGGDPPSASVESAPDPKRQRVETTVVVHQQEEKKPQPQQMDESRRLFQRLWTDEDEIELLQGFLDYTTQRGGNHHDTALFYGQIKSKLQLDFNKNQLVEKLRRLKKKYRNVLNKISSGKEFSFKSPHDQATFEISRKIWSSTGQIGVVDGNLDDEEGNPNPNHHNFNDVEIKIEDHKSTPRSRKRSRSRLSAPAPSGVKVDDKRVSTEAFVVNTDNTNMNNNTTTSTTTTTNNNSNLNVTFLIEETVKSCLTPLFKELLSNVMAAGPYNGRGIGGLAMNAMPLSFGGGEMMTDDKWRKQQILELEVYSRRLELVQDQIRAQLEELRSMGG >Manes.09G156900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35242055:35246852:-1 gene:Manes.09G156900.v8.1 transcript:Manes.09G156900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQDDAVFGHDLDDDDEDSSQSQDDGDDLENDDVMVENDDVLADEEDEEDLNSVSPSISSPATVPVAVPSASAVTIAVPNGGDPPSASVESAPDPKRQRVETTVVVHQQEEKKPQPQQMDESRRLFQRLWTDEDEIELLQGFLDYTTQRGGNHHDTALFYGQIKSKLQLDFNKNQLVEKLRRLKKKYRNVLNKISSGKEFSFKSPHDQATFEISRKIWSSTGQIGVVDGNLDDEEGNPNPNHHNFNDVEIKIEDHKSTPRSRKRSRSRLSAPAPSGVKVDDKRVSTEAFVVNTDNTNMNNNTTTSTTTTTNNNSNLNVTFLIEETVKSCLTPLFKELLSNVMAAGPYNGRGIGGLAMNAMPLSFGGGEMMTDDKWRKQQILELEVYSRRLELVQDQIRAQLEELRSMGG >Manes.08G143600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38127912:38128902:1 gene:Manes.08G143600.v8.1 transcript:Manes.08G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLALLALAFSLASAYDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVANDFSFSGLNIPANTQNRVGSNVTLLNVDRIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRFISKVLYPGDVFVFPIGLIHFQFNIAKTHAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDQNVVENLQNLFKNNA >Manes.03G137851.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26392459:26395465:-1 gene:Manes.03G137851.v8.1 transcript:Manes.03G137851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSKKTTIMDGSQRFYDQTMRDQESYCWHPNQNVGHYPFSDDRSQEMHLSVETFEQQCTLESSSGTSSYPVHNSPSTGSFSPNESAISQLNSQSCLLDLHDSSENTSCSPDRDSYVTHKLRELETAMLGPIDDLDIYNITTPASQITSEEEKFKFLVEMMSRGDLKAALCACAQAVANNDMLTFEWLMTELRQKVSVSGEPIQRLGAYMVEGLVARLASSGSSIYKALRCKEPASAELFSYMHILYEVCPYFKFGYMSANGAIAEAMKDERKVHIIDFQISQGSQWVTLIQALAAQPGGPPHIRLTGIDDSTSAYARGGGLDIVGQRLSRLAKSCKVPFEFHAAAVSASEIQLENLGIRPGEALAVNFALTLHHLPDESVGTQNHRDRLLRLVKSLSPKVVTLVEQESNTNTAPFLLRFTEALNYYLAVFESIDVTLPREHKERINVEQHCLAREVVNIIACEGAERVERHEPLGKWRSRFTMAGFTPYPLSTYVNATIKTLLESYSNKYTLEERDGALYLGWMNRPLVASCAWR >Manes.18G000200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:260864:265978:1 gene:Manes.18G000200.v8.1 transcript:Manes.18G000200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFAQFLSSSTNLKNTEKTNYIAAADLQATYSLYPGSPASSLISPISRTSGDCLSSSFHEREFPPQWDPSVSPQNGRYSRSGSGSLFGHDTSNASLVSQDTNFFCPATFARYYLDHNPPFPHTGGRLSVSKDSDVYPAGGNGHQNRHNRSPKQDVEELEAYRASFGFSADEIITTQQYVEISDVMDDSFTMTPFTSNQPNQEESVEAASKGESQKAQTTQMNLPSLKLKSDTICSEVPFSCDRLEDPKSRKQVGDVSASSTPGIHALKDDDGIFLKMTSSKISRKYDLGPSCSDAEIDYRRGRSLREGKGDFAWHD >Manes.18G000200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:260864:265978:1 gene:Manes.18G000200.v8.1 transcript:Manes.18G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNRFPQQERDKRWGGCWGAFSCFGSQKGGKRIVPASRIPDGNATAVQPNGQQAGGLTNQPPALPPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSASSPGGPSSTMFVTGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFAQFLSSSTNLKNTEKTNYIAAADLQATYSLYPGSPASSLISPISRTSGDCLSSSFHEREFPPQWDPSVSPQNGRYSRSGSGSLFGHDTSNASLVSQDTNFFCPATFARYYLDHNPPFPHTGGRLSVSKDSDVYPAGGNGHQNRHNRSPKQDVEELEAYRASFGFSADEIITTQQYVEISDVMDDSFTMTPFTSNQPNQEESVEAASKGESQKAQTTQMNLPSLKLKSDTICSEVPFSCDRLEDPKSRKQVGDVSASSTPGIHALKDDDGIFLKMTSSKISRKYDLGPSCSDAEIDYRRGRSLREGKGDFAWHD >Manes.18G000200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:261338:265978:1 gene:Manes.18G000200.v8.1 transcript:Manes.18G000200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFAQFLSSSTNLKNTEKTNYIAAADLQATYSLYPGSPASSLISPISRTSGDCLSSSFHEREFPPQWDPSVSPQNGRYSRSGSGSLFGHDTSNASLVSQDTNFFCPATFARYYLDHNPPFPHTGGRLSVSKDSDVYPAGGNGHQNRHNRSPKQDVEELEAYRASFGFSADEIITTQQYVEISDVMDDSFTMTPFTSNQPNQEESVEAASKGESQKAQTTQMNLPSLKLKSDTICSEVPFSCDRLEDPKSRKQVGDVSASSTPGIHALKDDDGIFLKMTSSKISRKYDLGPSCSDAEIDYRRGRSLREGKGDFAWHD >Manes.18G000200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:260864:265978:1 gene:Manes.18G000200.v8.1 transcript:Manes.18G000200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFAQFLSSSTNLKNTEKTNYIAAADLQATYSLYPGSPASSLISPISRTSGDCLSSSFHEREFPPQWDPSVSPQNGRYSRSGSGSLFGHDTSNASLVSQDTNFFCPATFARYYLDHNPPFPHTGGRLSVSKDSDVYPAGGNGHQNRHNRSPKQDVEELEAYRASFGFSADEIITTQQYVEISDVMDDSFTMTPFTSNQPNQEESVEAASKGESQKAQTTQMNLPSLKLKSDTICSEVPFSCDRLEDPKSRKQVGDVSASSTPGIHALKDDDGIFLKMTSSKISRKYDLGPSCSDAEIDYRRGRSLREGKGDFAWHD >Manes.10G013701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1404178:1407632:-1 gene:Manes.10G013701.v8.1 transcript:Manes.10G013701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLFSETLICNSFFSIMALLFSLLFWVSLSSLVFIIHRTSHRRRLRLPPGNLGLPFLGETLQLISAYKTENPEPFIDERVNRFGSLFTTHVFGEPTVFSVDPDTNRFILQNEGKLFESSYPSSISNLLGKHSLLLMKGSRHKRLHSLTMSFANSSIIRDQLLVDIDRLVRFNLDSWTDRVFLMEEAKKITFELSMKQLMSFDPGEWTESLRKEYMLVIEGFFTLPLPIFSATYRRAIKARTKVAEALNLIVRQRRRGSEGGERKKDMLGALLSADDGGFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEEIRAKKSEGEALDWRDYKSMHFTQCVVNETLRVANIISGVFRRAMADIDVKGYKIPKGWKVFASFRAVHLDYDHFKDARTFNPWRWQSNSGATSSGNVFTPFGGGPRLCPGYELARVELSVFLHHLVTSFSWTPAEDDKLVFFPTTRTQKRYPINVHRRNHVKC >Manes.10G013701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1404178:1418785:-1 gene:Manes.10G013701.v8.1 transcript:Manes.10G013701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYLYIYIYIYTRKEKLSKYPRLILFYILFSLKDTYEIPLMCSIFPPLMSSLLFSETLTCNTFFSIMALLFSLLFWVSLSSLVFIIHRTSHRRRLRLPPGNLGLPFLGETLQLISAYKTENPEPFIDERVNRFGSLFTTHVFGEPTVFSVDPDTNRFILQNEGKLFESSYPSSISNLLGKHSLLLMKGSRHKRLHSLTMSFANSSIIRDQLLVDIDRLVRFNLDSWTDRVFLMEEAKKITFELSMKQLMSFDPGEWTESLRKEYMLVIEGFFTLPLPIFSATYRRAIKARTKVAEALNLIVRQRRRGSEGGERKKDMLGALLSADDGGFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEEIRAKKSEGEALDWRDYKSMHFTQCVVNETLRVANIISGVFRRAMADIDVKGYKIPKGWKVFASFRAVHLDYDHFKDARTFNPWRWQSNSGATSSGNVFTPFGGGPRLCPGYELARVELSVFLHHLVTSFSWTPAEDDKLVFFPTTRTQKRYPINVHRRNHVKC >Manes.07G127200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32967776:32974823:1 gene:Manes.07G127200.v8.1 transcript:Manes.07G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFRDRTAEFRSLTQTLKKIGGVTSINHENDLFSSTPHASPLSSRSEFNKKASIIGLGIHEASQKISRLAKLAKRSSMFDDPTVEIQELTVLIKNDITTLNTALTDLQTIQNMEIADGNYSQDRVVHSTAVCDDLKSRLMGATKELQDVLTTRTENMKAHESRKQIFSSNASRENPFVRQAKPITEPPPWSSSANSFGTSQPSAMPPTGVQVGNQLRRRPAVDNTSSSHMEMSMLQQVVPRQENYTQSRAVALHNVESTISELSGIFTHLATMVAQQGELAIRIDDNMDESLANVESARSALLRHLNQISSNRWLLLKLFAVIVFFLMVFIIFVA >Manes.02G081950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6420851:6423599:-1 gene:Manes.02G081950.v8.1 transcript:Manes.02G081950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFGKYVFPRQIALMASGVLFLASTTYDVHRSIKNNETPPTPQQIQALQHFIDSKRPSP >Manes.10G064200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9025836:9030371:-1 gene:Manes.10G064200.v8.1 transcript:Manes.10G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGTTRKYQASNGEQILDPLKSIWYKIDELHAPEQLCFPFNSSFTHSISFVFLCTFLLMKPFILKLHQLQIPTPPILLIPITRFLFRYIFKKHQDFTHKLYKQHFTAAVELINQIQMQPSSFFLLLFCFLLLFSASLISSIPPPQSPSLACKSTLYPKLCHSILSNFGSSPSNPYDYGKFSVKQCLKQAQRLSKVINHYLTHDKELSELSHEETAALADCRELTELSVDYLETLSSELKWPELMKDEMVERVKSLLSGIVTNQETCFDGLVESKSRIVSSLSSPLSNVTELYSVSLGLVTHALKKYKKNKKGGHEQRAFSNNGLHEPLEALIQALRKTKSSSGGRQLADLQGNGILINGTVFVSPDGVEGITSIGDAIAIAPNKLKPEDGYFIIYAREGCYEEYVVVPKHKKNILLIGDGINRTIITGNHSVVAGWTTFNSSTFAVSGERFIAVDITFRNTAGPEMHQAVAVRNNADLSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNSAAVFQSCNLFARKPLPNQKNSLTAQGRTDPNQNTGISIHNCTIEAAPDLSMDLNSTLNYLGRPWKNYSRTVYMQSYIGNLIDPVGWLEWNGTIGLDTLYYGEFNNYGPGANTSMRVKWAGYNLMNVSQALNFTVYNFTMGYTWLPDTDIPFSGGLLY >Manes.01G201000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37429931:37433023:1 gene:Manes.01G201000.v8.1 transcript:Manes.01G201000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRLHTGILQGASLQVASLGNNIAIQIAAGSSLKAVYKYFHKEGTLTLQHFIIFFGTFELFLSQLPNIHSLRWVNALCTLSTIGFAGTTIGVTIYNGGKIDRNSVSYSLQGSSPLKTFKAFNALGAIAFSFGDAMLPEIQNTVREPAKKNTYKGVSAAYGVIILTYWQLAFCGYWAFGSEVQPYIVASLTHPEWTIVMANIFAVIQISGCYQIYCRPTYAYFEDKMLWRKTAEHIPVNYCLIRLVFTSIYIVLITLIAAAMPFFGDFVSICGATGFTPLDFVFPAIMYLKSGKMPKSTNFKVPMQLLNFAIAMWFSVVALLGCIGAVRFIVEDIRTYKFFHDM >Manes.01G201000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37429931:37433023:1 gene:Manes.01G201000.v8.1 transcript:Manes.01G201000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDPTEAEKDKPRQEHDDEEEDTKGVAIADSATEPEGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVSSLVGATLATWYSSLLIASLWRWNGKKQITYRHLAGSIFGFWGYWSIAFFQQVASLGNNIAIQIAAGSSLKLPNIHSLRWVNALCTLSTIGFAGTTIGVTIYNGGKIDRNSVSYSLQGSSPLKTFKAFNALGAIAFSFGDAMLPEIQNTVREPAKKNTYKGVSAAYGVIILTYWQLAFCGYWAFGSEVQPYIVASLTHPEWTIVMANIFAVIQISGCYQIYCRPTYAYFEDKMLWRKTAEHIPVNYCLIRLVFTSIYIVLITLIAAAMPFFGDFVSICGATGFTPLDFVFPAIMYLKSGKMPKSTNFKVPMQLLNFAIAMWFSVVALLGCIGAVRFIVEDIRTYKFFHDM >Manes.01G201000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37429931:37433023:1 gene:Manes.01G201000.v8.1 transcript:Manes.01G201000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDPTEAEKDKPRQEHDDEEEDTKGVAIADSATEPEGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVSSLVGATLATWYSSLLIASLWRWNGKKQITYRHLAGSIFGFWGYWSIAFFQQVASLGNNIAIQIAAGSSLKAVYKYFHKEGTLTLQHFIIFFGTFELFLSQLPNIHSLRWVNALCTLSTIGFAGTTIGVTIYNGGKIDRNSVSYSLQGSSPLKTFKAFNALGAIAFSFGDAMLPEIQNTVREPAKKNTYKGVSAAYGVIILTYWQLAFCGYWAFGSEVQPYIVASLTHPEWTIVMANIFAVIQISGCYQIYCRPTYAYFEDKMLWRKTAEHIPVNYCLIRLVFTSIYIVLITLIAAAMPFFGDFVSICGATGFTPLDFVFPAIMYLKSGKMPKSTNFKVPMQLLNFAIAMWFSVVALLGCIGAVRFIVEDIRTYKFFHDM >Manes.11G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7005872:7014518:1 gene:Manes.11G058000.v8.1 transcript:Manes.11G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAPTLFLVPSLGFSSPSSSSSTSSTSSLFFTGSSHLLSHKNFAYVSLTSLKFRTKASTYRFRPVINASGDYYATLGIPKSATNKEIKAAYRRLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGASSAYTTNPFDLFETFFGPTMGGFPGMETGFGTRRRSTVTKGEDIRYDITLEFSESIFGSEKEFELSHLETCEVCTGTGAKLGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSLCPNCGGEGEVISEYCRKCSGEGRVRVRKNIKVKVPPGVSTGSILRVAGEGDAGPRGGPPGDLFVYLDVEEIAGIQRDGINLNSTISITYLDAIMGTVVKVKTVEGVSELQIPAGTQPGDVLVLAKKGAPKLNKPSIRGDHLFVINVTIPKRISASERELLEELASLTSTNGTRPRTRSRTQPISRSTESQEETVAEKSEESGDQNDVWTKLKDFAGSVANGALKWLKDNL >Manes.02G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2662433:2666182:1 gene:Manes.02G032500.v8.1 transcript:Manes.02G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSACMDEYEKLVIRMTTPRVVIDNAVCPTATIVKVDSARKHGILLDAVQVLTDLNLSIKKAYISSDGRWFMDVFHVTDINGNKLTEESVINYIEQSLGTIHYGRTHDLNGLTALELTGTDRIGLLSEVFAVLADLQCDVVEAKVWTHNGRIASLISVKDCNSGSPIEDTQQIHRIEARLRNVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFADRDYERKPILRRTADSPVVTVQNWVEREYSVINVQCKDRMKLLFDVVCTLTDMEYVVFHATINTAGDRAYLEFYIKHTDGTPISSEPERQRVIQCLQAAVERRASEGVRLELCTPDRQGLLADVTRTFRENGLNVTRAEISTTSEMAANVFYVTDAIGNPADPKLIDSVRQKIGLSNLKVKELPPLVYHEEAEREEQGVGVAGAVLLSLGGLVRRNLYNLGLIRSYS >Manes.16G073900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27620157:27625979:-1 gene:Manes.16G073900.v8.1 transcript:Manes.16G073900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMMNGGYSVEEEEKKKNVNVGGGVEDVYGEDNATEDQFATPWTVSVASGYTLLRDPHYNKGLAFSEKERDAHYLRGLLPPAIFTQELQEKKLMQSLRQYKVPLQRYVAMMDLQERNEKLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPVTIDVGTNNEQLLNDEFYIGLKQRRATGQEYAELLEEFMTAVKQNYGEKVLVQFEDFANHNAFELLTKYSPTHLVFNDDIQGTASVVLAGLLAALKLVGGTLADHKFLFLGAGEAGTGIAELIALEISKQIKAPIEETRKKIWLVDSKGLIVNSRKESLQHFKKPWAHEHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVKAMASFNEKPLILALSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYDGKVFVPGQANNAYIFPGFGLGLIMSGAIRVHNDLLLAASEALAAQVKQEHFDKGLIYPPFSNIRKISAQIAAKVAAKAYELGLASRLPRPKDLVQHAESCMYSPVYGCYR >Manes.16G073900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27620157:27625979:-1 gene:Manes.16G073900.v8.1 transcript:Manes.16G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSSNTSYVMQEDFSPLFLSLPKRVTSFLTLKKKAQNVHFLSVYPLQFLARSCIMITLKRFTFLRVVLGRGVERKFWALMASSMMNGGYSVEEEEKKKNVNVGGGVEDVYGEDNATEDQFATPWTVSVASGYTLLRDPHYNKGLAFSEKERDAHYLRGLLPPAIFTQELQEKKLMQSLRQYKVPLQRYVAMMDLQERNEKLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPVTIDVGTNNEQLLNDEFYIGLKQRRATGQEYAELLEEFMTAVKQNYGEKVLVQFEDFANHNAFELLTKYSPTHLVFNDDIQGTASVVLAGLLAALKLVGGTLADHKFLFLGAGEAGTGIAELIALEISKQIKAPIEETRKKIWLVDSKGLIVNSRKESLQHFKKPWAHEHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVKAMASFNEKPLILALSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYDGKVFVPGQANNAYIFPGFGLGLIMSGAIRVHNDLLLAASEALAAQVKQEHFDKGLIYPPFSNIRKISAQIAAKVAAKAYELGLASRLPRPKDLVQHAESCMYSPVYGCYR >Manes.04G147400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34459346:34462533:1 gene:Manes.04G147400.v8.1 transcript:Manes.04G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPEDIYLSTSLASYLDKKLLVLLRDGRKLLGILRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPPHMTRVSAAEIKRAQKAEREATDLKGTMRKRMEFLDLD >Manes.04G114100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31750488:31754259:1 gene:Manes.04G114100.v8.1 transcript:Manes.04G114100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANVEGNILIERFSGVPAEERLHWRSFLVKLGADNLKGVKNEELLVASHKSVYIVYTVLGDVSIFVVGKDEYDELALAEVIFSITSAVKDVCGKPPTERLFLDKYGKICLCLDEIVWKGLLENTDKDRIRRLMRLKPPTEV >Manes.06G092100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22718778:22722147:-1 gene:Manes.06G092100.v8.1 transcript:Manes.06G092100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTAEPTELNQEALDPVSEDMDLVGESAANAAKRAREEEGDDDVSKKQKMDKSVEEERLEKLGGEQKEEEEEGDEQKGETAPVSLGPKSFGSSVEMFDYFYNFLHYWPPNININQYEHMALLDLLKKGHLEPEKKIGVGVQAFQVRYHPMWKSRCFFLIRDDDSVDDFSFRKCVDKILPLPEDMKIRSDGNNKGNGGRGGGGGRGGRGRGRGHARGRGGKSRN >Manes.06G092100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22718778:22722121:-1 gene:Manes.06G092100.v8.1 transcript:Manes.06G092100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTAEPTELNQEALDPVSEDMDLVGESAANAAKRAREEEGDDDVSKKQKMDKSVEEERLEKLGGEQKEEEEEGDEQKGETAPVSLGPKSFGSSVEMFDYFYNFLHYWPPNININQYEHMALLDLLKKGHLEPEKKIGVGVQAFQVRYHPMWKSRCFFLIRDDDSVDDFSFRKCVDKILPLPEDMKIRSDGNNKGNGGRGGGGGRGGRGRGRGHARGRGGKSRN >Manes.18G023500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2256111:2258325:1 gene:Manes.18G023500.v8.1 transcript:Manes.18G023500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHFSSSSHGSSSSWTPKQNKLFEKALALYDKDTPDRWQNVAKAVGGKSPEEVKIHYDRLVEDLIYIESGQAPLPTYKATGSNGRGIDEEKRTSAEKLSGLYLPVNYNIRIDVLTLRVLHQTSR >Manes.14G057900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4871269:4876596:-1 gene:Manes.14G057900.v8.1 transcript:Manes.14G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILSKRASSRQASTSYSRSNPQPSYVQPNQEFMPYQQYTPAPPSYGGRAPDSERRLDRKYSKIDDNYNSLEQVTNALAQSGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGDDQNPYEQAISIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDESFCNGFEEVLRRYRELVPHLRLAGPTSFAPIIEMAITIVEQSGGQYHVLVIIADGQVTRSVDTQYGQLSPQEKRTVEAIVKASQYSLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNVDRSRKEAEFALAALMEIPSQYKATLELNILGSTRGKAIDRVSLPPPQYGAASFSNSKPARSSSFHSSAPSSGRYDAASTAPPANFASDSHLCPICLTNAKDMAFGCGHQTCCDCGQDLQLCPICRSTIHTRIKLY >Manes.13G106600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:30934023:30935749:1 gene:Manes.13G106600.v8.1 transcript:Manes.13G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATATEFLTSIRPQNPTSKLVCFSFAAYAKTVIEYLKCFNIPVLPGLTDSEFSSIESTFNFSFPPDLRSILQEGLPVGHHFPNWRSSSPQQLKLLLNLPYLNISKNVANNNYWVRSWGDKPDDSNRRLDIVKEFLDKAPVLVPIYGNCYIPSTPNAAGNPVFYVDDGGVRVLSFDVARFFQEVEFLQRGVHFIRPAIQKRPINVPAWAATAARRIEFWTEVAERGRRVVTRDNTHGWWSGEDLEYWELGDCLEDVFWKLRDGGWREEEVREMMMMDGCDQERENGCGAKLGKEDVVGQVRVLSHVLLHAGWSREDVVYSLDLQDHEDNIISSSCVGKFSLDFQIPTTNSSCSRQDDHERSSTKQLMNLRSLEV >Manes.05G161400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27413327:27418868:-1 gene:Manes.05G161400.v8.1 transcript:Manes.05G161400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSCNHLHFIWVAKDGLATKALNVYRGRPALKFKKVKDLYGTEDAKTFNPLPVFRPKVEFEYDEAESLHTLAGERTIKVDGETSESNIKGIDVGEREIDDHNFGNMTLKQIKERCKEKRRNASRYVGLSKETTETCSLGKGNHFNSQSEEDEYDMMEPLSCWKSRILNKMKTVRKGRNRSVVSSQKAISIVKHEEITSHEVIFQSNENLPAPTDVKVEVSELTSNCKDMIITAANSSFNCNKPETCCGEEPTEEYEAVNNYSLEAGSSMRTSEVPDTANGSVSETGISVITSEELTTNACGFETQMPTFFSNEPQYCGTNEEYYEYLEHEDPESIPNVKSSGGEPQYCATNEEYYEYLEHEDPESIPNVKSSGGELLMEDTAEVISNKFSDFSLSEAKEEVAFVDQHPKNDSPETVSLSEVYIPVLHPETLPCVHESSWKLSSGIQVQVLDVPINNSPQGVELSKRNDSCLPHDETKDDTLHVGASVISNPGRECSAFWNASLHSSTKGSLDSILDDSPIDEKMRAPLTARVDSSRSCSTVIQLSIDEPVLSERVEDCHHSKMQHPPERLFSTRMAISPTSQKRLREAMVSTELDDEQYYRYARKLCYRKQNENKNGRLEVSSQIKRAEVIISPKKVVRKPKAGNNGFHQNDILKVPHPSCAVQGSSTGRTSVRSSSESAILFSQQQMRDIESVATKLAKELQFMKYIVEETLQSNVYPATSWKYSADEMRLAVQNATRVEESARRSLSVMARDCNRFCKIMKLAEKGSAASQNGLCKKRKIVFADEAGGKLCDVKTFEDDNGFAYEAYDRENGNP >Manes.08G016900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1723915:1725375:-1 gene:Manes.08G016900.v8.1 transcript:Manes.08G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNHQLHFLLLPMMSQSHLIPFTEMAKLLAHRGLTVTILITPLNAVRYNKIIDYAKNFNLKIQFLSVPFPCKEAGLPEGCENRDSLPSPDLVPNFFKAGNMLQFPLEKWLQELDVESKPSCIVSDLCLPWTYDLSLRFKIPRIIFHGVSCFTLFCSHSISQSHYKVLESVTSDSESFSVPDIPDKIEFTKAQLPEIRQDLKPLLEKFKEAELSAEGVVVNTFEELEPAYVEGYRKVVKKIWCIGPLSLCSTNGTSSYEHHHESLKWLDSKKQSSVLYVCFGSLCQFLTQQLIELGLGLEASDHPFIWVVREGDCSIELNKWLVEEKFEERVQGRGLVVRGWAPQVLILSHPAIGGFLTHCGWNSTLEGVSAGVPMICWPMFAEQFYNEKLIVQVLRIGVRVGVEVCVNWGDEEKLGVMVKREDIEKAVKKLMDEGDGEGEERRERARQVGKMAKRAVEGGSSYLNMTLLIQHVMELVNKNDAEN >Manes.13G088541.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:21392466:21392957:-1 gene:Manes.13G088541.v8.1 transcript:Manes.13G088541.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSEILSRLYTVAIVFFCLLALEAVILFRSVTASIANSDKRSVISTSQYLQLIEEKYPSTLYKEKLRQQSLIECAVCLSEFLEGERVRKLKCKHTFHRDCLDKWLQQYLATCPLCRTKVLPDEIVADFHRLQDQIDQYDGSDDEIIFLLSALHGNGLQRIF >Manes.05G077600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6339524:6346160:-1 gene:Manes.05G077600.v8.1 transcript:Manes.05G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPASMIEIPDGRYRPERRTDRYTPESDTSADYHRYRDRRSPSYESYDERLRGTARGRYGSSSPEYSSPRRRSPSPRPMKNMETLPKKFGRGRPYLDRGGSRNLRNSESESDEELMGLSFEERRRLKRQKMRKNIARYCIWTLTPSPPRDENEEDLEGQADEISERYGEDEEKSDFSEKEKGKARDGKGVSESDSGKSLSSDSRSVSKSESESNDSRSRKKRGSGSKRRGRKTSVDSDSESDEDASESGSEAESDSEEDRRRRKKSRKSGSSRRRKSSKRSSRKGKSQRKRKSRYSDSDDIGCEGSDYESKKRIRISGSKRSKKKRSETESASSYPDKSSGSDIDAKGKLKADEMKIPEINTEPLIFKEKMESLKIPALDNEPTVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEYLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPTHDPFATKASDGADA >Manes.11G117700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27564921:27571736:-1 gene:Manes.11G117700.v8.1 transcript:Manes.11G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIESLPGSSGYLDSFPERRMSYFSNPFVLRLTVIAGIGGLLFGYDTGVISGALLYIKDEFEVVNQSSFLQETIVSMALVGAIIGAASGGWINDAYGRKKATLIADIVFAVGSIVMAAAPDPYVLILGRLLVGLGVGLASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLVNLAFTEVPGTWRWMLGVAALPAVVQFCLMLCMPESPRWLFMKDDKDKAIMVLAKIYDIARLEDEIDHLSASSEEDRQKHNNVRYLDVFKSKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGTILGIYLIDHFGRKKLALSSLAGVIVSLLILAGSFFGQSSGSSNALYGWLAVLGLASYIGFFSPGMGPVPWTVNSEVYPEAYRGICGGMSATVNWVSNLIVAQTFLSLANAVGTGATFLILAVVAVVAVVFVILFVPETKGLTFVEVEQIWKQRAWGSSYNTESLLERGNETS >Manes.15G163900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13715246:13720151:1 gene:Manes.15G163900.v8.1 transcript:Manes.15G163900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSLVFLFLLLLQAFFFIAWSQSFIGINYGSLADNLPPPTATAKLLQSTSIQKVRLYGADPAVLKALANTGIGIVIGAANGDIPPLASDPNSATQWINANILPYYPASKIILVTIGNEVLLSGDQNLISQLLPAMQNMENALKAASLDGKIKVSTVHSMAVLSQSDPPSSGLFNPSYQDTMRGLLQFQRDNGSPLAINPYPFFAYQSDPRPETLAFCLFQPNSGRVDSGNGILYMNMFDAQVDAIRSALNAMGFNDIEILVAETGWPYHGDSNEVGPSIENARAYNGNLIAHLRSLVGTPLMPGKSVDT >Manes.16G031500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3755891:3757767:-1 gene:Manes.16G031500.v8.1 transcript:Manes.16G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELILLDLWVSPYTARVKIALAEKGLEYESNEEDLSNKSSLLLEMNPVYKKVPVLIHKGKPISESLIIVQYIDEVWNHKSPLLPSDPYERAHARFWADFVDKKIQGASYRLFCAPSFSEEKEAAEQEFIECCKVMEGELGKKPYFGGETFGFVDIVLITSYSYHHAHETLGSFSLVEEFPKLTAWAKRCLERESVSKSLADPNKTYEFILQIRKEKGLE >Manes.08G140400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37668514:37677544:-1 gene:Manes.08G140400.v8.1 transcript:Manes.08G140400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKERVYNAFKATRALGIPDEEVKPVLKKLLKVYDKNWELIEAEDYRALIDSYFELRETEVIKGEKKSPAEDDASERASKRASKRVCSQEQEDQASSTLDGTSINNLEPAIRKSPQLCAESSFLFPSRQSSQKKDPFPNERVERGRNSPSPRLPGLQYSKQPILESGCSSLQRKKVSNNCPIRDHIKNSAYCSEPDSVIQLGTLELASTQNNVTHYLASQHITDISKGTENIKISLIDDLGNGDLPKFMYMPHNIIYQNAHMQISLARIADEDCCSSCLGDCLSSPLPCACASETGGEFAYTQQGLLKQEFLRDCVSMKVDPQKHHYVFCQDCPLERSKNEEMPEQCKGHLLKKFIKECWRKCGCDMNCGNRVVQRGITCSLQVFCTGEGKGWGLRTLESLPKGAFVCEYVGEILTNMELFERNENSGNERHTYPVTLDADWGSERILRDEEALCLDATFSGNVARFINHRCDDANLIDIPVQVETPDRHYYHLAFFTTREVSKLEELTWDYGIDFDDRDHPIKAFQCCCGSASCRDVKRKRRRNGITRRRGDN >Manes.08G140400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37668514:37677544:-1 gene:Manes.08G140400.v8.1 transcript:Manes.08G140400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKERVYNAFKATRALGIPDEEVKPVLKKLLKVYDKNWELIEAEDYRALIDSYFELRETEVIKGEKKSPAEDDASERASKRASKRVCSQEQEDQASSTLDGTSINNLEPAIRKSPQLCAESSFLFPSRQSSQKKDPFPNERVERGRNSPSPRLPGLQYSKQPILESGCSSLQRKKVSNNCPIRDHIKNSAYCSEPDSVIQLGTLELASTQNNVTHYLASQHITDISKGTENIKISLIDDLGNGDLPKFMYMPHNIIYQNAHMQISLARIADEDCCSSCLGDCLSSPLPCACASETGGEFAYTQQGLLKQEFLRDCVSMKVDPQKHHYVFCQDCPLERSKNEEMPEQCKGHLLKKFIKECWRKCGCDMNCGNRVVQRGITCSLQVFCTGEGKGWGLRTLESLPKGAFVCEYVGEILTNMELFERNENSGNERHTYPVTLDADWGSERILRDEEALCLDATFSGNVARFINHRCDDANLIDIPVQVETPDRHYYHLAFFTTREVSKLEELTWDYGIDFDDRDHPIKAFQCCCGSASCRDVKRKRRRNGITRRRGDN >Manes.12G134200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34119820:34124775:1 gene:Manes.12G134200.v8.1 transcript:Manes.12G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKNTGDAEENLLTCLADLFTQVITPQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKSDPETSSPPEKIANGPNNSQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTMEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGASSKS >Manes.12G134200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34119820:34124775:1 gene:Manes.12G134200.v8.1 transcript:Manes.12G134200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKNTGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKSDPETSSPPEKIANGPNNSQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTMEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGASSKS >Manes.14G034100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3047432:3052533:1 gene:Manes.14G034100.v8.1 transcript:Manes.14G034100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFFPRSLSIACASKFTACRRRNRCSCSLSAQAPMTTTTGRRSGSSAILWFKQDLRVDDHPGLVQASKYPSLVPLYVFDRRILSCYSNEMLELVLFALEDLRKSLKDQGSNLMIRFGNAENVVRDLVQEVKATHIYTEEEVEYHLHKIMALVQETLLRLPLLEKNPKMMLWQTPFYDIKDLPESYVDFKKLQLPITSPLLPPTLPGATMELEWGSLPTLDELKQFMNENPLKLKESLTLIKGMSIETVLQKKLSKLRETNISNLNFKQSQRNRVDKSVFVTQNKNVVGGGTNSILNALAAYLRYLEGTARDDWQQVHEKLRDAESRDGASFFTLFGPAICLGIISRRRVHYEAIKYEKERNAGFLSPFGYSATTVTAAADAVCSMEWYWLMALKGQISDEGTYPIRIWRWNGYLIQYTVVGNEGPAVLLVHGFGAFLEHYRDNIHGISKGGNRVWAITILGFGKSEKPNVIYTELLWAELLRDFIIEVVGEPVHLVGNSIGGYFASIVACFWPALVKSVVLINSAGDVIPGYGYLQFSKERKTSVVARLGAQLLLLFLRLNIRTIMKDYYPTKTERADDWLINKMLRASFDPGVLVVLESIFSLNLSLPINYLLEGFKEKALIIQGMKDPISDSKSKVSMLKEHCSGVAIRKLDAGHCPHDEKPEDVNPIICQWVVAAESKILAGSIS >Manes.14G034100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3047432:3052533:1 gene:Manes.14G034100.v8.1 transcript:Manes.14G034100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEWGSLPTLDELKQFMNENPLKLKESLTLIKGMSIETVLQKKLSKLRETNISNLNFKQSQRNRVDKSVFVTQNKNVVGGGTNSILNALAAYLRYLEGTARDDWQQVHEKLRDAESRDGASFFTLFGPAICLGIISRRRVHYEAIKYEKERNAGFLSPFGYSATTVTAAADAVCSMEWYWLMALKGQISDEGTYPIRIWRWNGYLIQYTVVGNEGPAVLLVHGFGAFLEHYRDNIHGISKGGNRVWAITILGFGKSEKPNVIYTELLWAELLRDFIIEVVGEPVHLVGNSIGGYFASIVACFWPALVKSVVLINSAGDVIPGYGYLQFSKERKTSVVARLGAQLLLLFLRLNIRTIMKDYYPTKTERADDWLINKMLRASFDPGVLVVLESIFSLNLSLPINYLLEGFKEKALIIQGMKDPISDSKSKVSMLKEHCSGVAIRKLDAGHCPHDEKPEDVNPIICQWVVAAESKILAGSIS >Manes.14G034100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3047432:3052533:1 gene:Manes.14G034100.v8.1 transcript:Manes.14G034100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAGFFLVKATHIYTEEEVEYHLHKIMALVQETLLRLPLLEKNPKMMLWQTPFYDIKNLKDLPESYVDFKKLQLPITSPLLPPTLPGATMELEWGSLPTLDELKQFMNENPLKLKESLTLIKGMSIETVLQKKLSKLRETNISNLNFKQSQRNRVDKSVFVTQNKNVVGGGTNSILNALAAYLRYLEGTARDDWQQVHEKLRDAESRDGASFFTLFGPAICLGIISRRRVHYEAIKYEKERNAGFLSPFGYSATTVTAAADAVCSMEWYWLMALKGQISDEGTYPIRIWRWNGYLIQYTVVGNEGPAVLLVHGFGAFLEHYRDNIHGISKGGNRVWAITILGFGKSEKPNVIYTELLWAELLRDFIIEVVGEPVHLVGNSIGGYFASIVACFWPALVKSVVLINSAGDVIPGYGYLQFSKERKTSVVARLGAQLLLLFLRLNIRTIMKDYYPTKTERADDWLINKMLRASFDPGVLVVLESIFSLNLSLPINYLLEGFKEKALIIQGMKDPISDSKSKVSMLKEHCSGVAIRKLDAGHCPHDEKPEDVNPIICQWVVAAESKILAGSIS >Manes.14G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3047432:3052533:1 gene:Manes.14G034100.v8.1 transcript:Manes.14G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFFPRSLSIACASKFTACRRRNRCSCSLSAQAPMTTTTGRRSGSSAILWFKQDLRVDDHPGLVQASKYPSLVPLYVFDRRILSCYSNEMLELVLFALEDLRKSLKDQGSNLMIRFGNAENVVRDLVQEVKATHIYTEEEVEYHLHKIMALVQETLLRLPLLEKNPKMMLWQTPFYDIKNLKDLPESYVDFKKLQLPITSPLLPPTLPGATMELEWGSLPTLDELKQFMNENPLKLKESLTLIKGMSIETVLQKKLSKLRETNISNLNFKQSQRNRVDKSVFVTQNKNVVGGGTNSILNALAAYLRYLEGTARDDWQQVHEKLRDAESRDGASFFTLFGPAICLGIISRRRVHYEAIKYEKERNAGFLSPFGYSATTVTAAADAVCSMEWYWLMALKGQISDEGTYPIRIWRWNGYLIQYTVVGNEGPAVLLVHGFGAFLEHYRDNIHGISKGGNRVWAITILGFGKSEKPNVIYTELLWAELLRDFIIEVVGEPVHLVGNSIGGYFASIVACFWPALVKSVVLINSAGDVIPGYGYLQFSKERKTSVVARLGAQLLLLFLRLNIRTIMKDYYPTKTERADDWLINKMLRASFDPGVLVVLESIFSLNLSLPINYLLEGFKEKALIIQGMKDPISDSKSKVSMLKEHCSGVAIRKLDAGHCPHDEKPEDVNPIICQWVVAAESKILAGSIS >Manes.14G034100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3047432:3052533:1 gene:Manes.14G034100.v8.1 transcript:Manes.14G034100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFFPRSLSIACASKFTACRRRNRCSCSLSAQAPMTTTTGRRSGSSAILWFKQDLRVDDHPGLVQASKYPSLVPLYVFDRRILSCYSNEMLELVLFALEDLRKSLKDQGSNLMIRFGNAENVVRDLVQEVKATHIYTEEEVEYHLHKIMALVQETLLRLPLLEKNPKMMLWQTPFYDIKNLKDLPESYVDFKKLQLPITSPLLPPTLPGATMELEWGSLPTLDELKQFMNENPLKLKESLTLIKGMSIETVLQKKLSKLRETNISNLNFKQSQRNRVDKSVFVTQNKNVVGGGTNSILNALAAYLRYLEGTARDDWQQVHEKLRDAESRDGASFFTLFGPAICLGIISRRRVHYEAIKYEKERNAGFLSPFGYSATTVTAAADAVCSMEWYWLMALKGQISDEGTYPIRIWRWNGYLIQYTVVGNEGPAVLLVHGFGAFLEHYRDNIHGISKGGNRVWAITILGFGKSEKPNVIYTELLWAELLRDFIIEVVGEPVHLVGNSIGGYFASIVACFWPALVKSVVLINSAGDVIPGYGYLQFSKERKTSVVARLGAQLLLLFLRLNIRTIMKDYYPTKTERADDWLINKMLRASFDPGVLVVLESIFSLNLSLPINYLLEGFKEKALIIQVHSGMKDPISDSKSKVSMLKEHCSGVAIRKLDAGHCPHDEKPEDVNPIICQWVVAAESKILAGSIS >Manes.14G034100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3047432:3052533:1 gene:Manes.14G034100.v8.1 transcript:Manes.14G034100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAGFFLVKATHIYTEEEVEYHLHKIMALVQETLLRLPLLEKNPKMMLWQTPFYDIKDLPESYVDFKKLQLPITSPLLPPTLPGATMELEWGSLPTLDELKQFMNENPLKLKESLTLIKGMSIETVLQKKLSKLRETNISNLNFKQSQRNRVDKSVFVTQNKNVVGGGTNSILNALAAYLRYLEGTARDDWQQVHEKLRDAESRDGASFFTLFGPAICLGIISRRRVHYEAIKYEKERNAGFLSPFGYSATTVTAAADAVCSMEWYWLMALKGQISDEGTYPIRIWRWNGYLIQYTVVGNEGPAVLLVHGFGAFLEHYRDNIHGISKGGNRVWAITILGFGKSEKPNVIYTELLWAELLRDFIIEVVGEPVHLVGNSIGGYFASIVACFWPALVKSVVLINSAGDVIPGYGYLQFSKERKTSVVARLGAQLLLLFLRLNIRTIMKDYYPTKTERADDWLINKMLRASFDPGVLVVLESIFSLNLSLPINYLLEGFKEKALIIQGMKDPISDSKSKVSMLKEHCSGVAIRKLDAGHCPHDEKPEDVNPIICQWVVAAESKILAGSIS >Manes.16G067900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26161313:26165927:-1 gene:Manes.16G067900.v8.1 transcript:Manes.16G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILSKLPRKSSKSDTAELTRPELASTQRPNGSSMGSGAKPSGPKRTSSAVFPASVVAGIEPLVPFKDVPSSERMNLFVSKVSLCCVTFDFTDPTKNTLEKDVKRQTLLELLDFVASGSMKFSEPAILAMCRMSAVNLFRVFPPNYRSNSMNVSENDDNDEPMFDPAWPHLQIVYDLLLRFITSTCLDAKVAKKYIDHSFIVRLLDLFDSEDPRERDCLKTILHRVYGKFMVHRPFIRKSISNIFYRFVFETEKHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPKSVGIYFQQLSYCVTQFMEKEPKLASIVIKGILKYWPITNSQKEVMFLGELEEILEAINMVEFQKIMVPLFWRIGCCINSFHFQVAERVLFLWNNDQIVNLIAHNRHAILPIIFPALEKNAHSHWNQGVLNITLNVRKMFSEMDEQLFLSCLAQFKDEEEKLSLVAEKRKEAWRRLENAASLKPIRGNTAVLVTPYATSIAC >Manes.13G148900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36465695:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGAVDLFLLKTCCFLPGLIRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36463903:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISCGCVALLVIALLCLPAVTPARHIGRPRTRHGHRHSSPKEVAMENPNFYREIRATKSRGPDTVQIAGSSLPDCSHACGSCSPCRLVMVDEDEVYWRSEKRNEELEWSYNSTHVISQLTQCFTTAMVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRGCGFISLENLLFFARTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36466162:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRGCGFISLENLLFFARTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36465996:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKSRQCFPSCSSLRRVDEDEVYWRSEKRNEELEWSYNSTHVISQLTQCFTTAMVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36465030:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36466162:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRGCGFISLENLLFFARTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36463903:36470507:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISCGCVALLVIALLCLPAVTPARHIGRPRTRHGHRHSSPKEVAMENPNFYREIRATKSRGPDTVQIAGSSLPDCSHACGSCSPCRLVMVDEDEVYWRSEKRNEELEWSYNSTHVISQLTQCFTTAMVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRGCGFISLENLLFFARTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGGNETTAPRCMTLSCSWIYETPFALRL >Manes.13G148900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36465695:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRGCGFISLENLLFFARTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36465030:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRGCGFISLENLLFFARTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36463903:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISCGCVALLVIALLCLPAVTPARHIGRPRTRHGHRHSSPKEVAMENPNFYREIRATKSRGPDTVQIAGSSLPDCSHACGSCSPCRLVMVDEDEVYWRSEKRNEELEWSYNSTHVISQLTQCFTTAMVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36465695:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKSRQCFPSCSSLRRVDEDEVYWRSEKRNEELEWSYNSTHVISQLTQCFTTAMVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGAVDLFLLKTCCFLPGLIRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36466162:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGAVDLFLLKTCCFLPGLIRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36465695:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKSRQCFPSCSSLRRVDEDEVYWRSEKRNEELEWSYNSTHVISQLTQCFTTAMVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGANPSTDFRGCGFISLENLLFFARTYPVSFRRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36465195:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGAVDLFLLKTCCFLPGLIRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.13G148900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36466162:36470960:1 gene:Manes.13G148900.v8.1 transcript:Manes.13G148900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWLAGLFNRSANKRPEKSLENFTPFQEKRLKKLQERLQTPFDETRPDHQEALRSLWDAAFPDVALTGLISEQWKEMGWQGAVDLFLLKTCCFLPGLIRLLFKQGGERATWEYPFAVAGINVSFMLIQMLDLQSEKPKSLPGINFVKLLGEDETAFDVLFCSAFELMDAQWLAMHASYMEFNEVLKVTREQLERELSLEDVHRIKNLPAYNLLYQ >Manes.02G192500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15519295:15520492:1 gene:Manes.02G192500.v8.1 transcript:Manes.02G192500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSSSKAMGYSPTKNSSSRQTPSFSSSPSFSLCSFSEFPVDSSLGPATPPRLTGVPFSWEHLPGIPKKPSHKRKDSKLFKILPLPPPTSKRFNMKEVKKNSNGCFGKDPFFTAFIECSKVDDNGEEASGSNFWNGSKVLRSISGRFGFINLHTSCKRTCAVSESIVRISRSRRHQMII >Manes.15G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:363254:366908:-1 gene:Manes.15G001300.v8.1 transcript:Manes.15G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAEQMIKTEISMANSTFITEELSTSFALSSTTPTSASIFDMMPCDIGDKASLGIMDLLDSNQDFGTSLFDWFQPPIVPHQPLPSPASTVPESSEVLNTPATPNSSSISSSSNEPGNEAQAKAGDEEELDQEKNKKQLKPKKKNQKRQREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTSAGCGVKKRVERSSEDPTIVVTTYEGQHTHPSPITPRGSIGLLPDSGGFGAATSFVIPQPQYQQQQHAYMYSSSPSLNISTSSSSFYPPFSPSFLQERRFGPSSASFFRDHGLLQDIVPTQMRKETTEE >Manes.15G001300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:361634:366425:-1 gene:Manes.15G001300.v8.1 transcript:Manes.15G001300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAEQMIKTEISMANSTFITEELSTSFALSSTTPTSASIFDMMPCDIGDKASLGIMDLLDSNQDFGTSLFDWFQPPIVPHQPLPSPASTVPESSEVLNTPATPNSSSISSSSNEPGNEAQAKAGDEEELDQEKNKKQLKPKKKNQKRQREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTSAGCGVKKRVERSSEDPTIVVTTYEGQHTHPSPITPRGSIGLLPDSGGFGAATSFVIPQPQYQQQQHAYMYSSSPSLNISTSSSSFYPPFSPSFLQERRFGPSSASFFRDHGLLQDIVPTQMRKETTEE >Manes.15G001300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:361534:366425:-1 gene:Manes.15G001300.v8.1 transcript:Manes.15G001300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRAEQMIKTEISMANSTFITEELSTSFALSSTTPTSASIFDMMPCDIGDKASLGIMDLLDSNQDFGTSLFDWFQPPIVPHQPLPSPASTVPESSEVLNTPATPNSSSISSSSNEPGNEAQAKAGDEEELDQEKNKKQLKPKKKNQKRQREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTSAGCGVKKRVERSSEDPTIVVTTYEGQHTHPSPITPRGSIGLLPDSGGFGAATSFVIPQPQYQQQQHAYMYSSSPSLNISTSSSSFYPPFSPSFLQERRFGPSSASFFRDHGLLQDIVPTQMRKETTEE >Manes.06G128100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25753889:25755327:1 gene:Manes.06G128100.v8.1 transcript:Manes.06G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLSCWKSMLSRLRGTATYATSSSPKMMAYTPTANIGGPKQEKRTKKAIRGDFVPVYVVLGMIAISLSLGLYTAKQQILHSPAVRVKKKERETIPEVEEPEIVANEADRFVKKSFFRKVAHIQDFDSVLQSTPDPIHRDAFSREQTRAETSKSVGVDSKRH >Manes.07G064632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11496152:11497644:1 gene:Manes.07G064632.v8.1 transcript:Manes.07G064632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLIEVSDSEVRLRSLSATTPIAFKVQTSSPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPFDRFLIKTAPFPPNPSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSCGDAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDWQSKGWSELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHFAAGRGNIKCARVLVESGADKDANSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHVRGERGEVVLMAARRGNLEHLESLLKKGANKNYKDQYGFTALHAAAIKGHKDIVSMLVEFGVDLECQDNEGHSSLHFAVEGGSLETVEVLVGRGANVNAMSNRGATPLYMARTLGYTDISQFLVSRGASLPSPPPSSLPSSLQSIQHDKVL >Manes.06G033850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9285273:9287611:1 gene:Manes.06G033850.v8.1 transcript:Manes.06G033850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIKESNLIHQAEDLPNNQHQWLSNLDRIHERNNIPTVYFYKAADHPISFESKVLKEALSKVLVPFYPVAGRLGRDNKGRLEIVCNNEGVLFIEAETDSELDEVGDLMPVEVSQLIPSVDYSQGISSFPILAVQVTKFKCGGLSLGLRFHHILADGFGALHFINTWCDVARGLSITMPPFIDRTILRCRAPPTPTFEHAEYDKPLSMNSATQILTSQQNCIQIFKITLQQLETLKNKVKNADGKTKYTTYEILTAHIWRCTCKARALSNNQPIKLFIPINGRSRLHPPLPPNFFGNVIFSATLFALSGEILSETLKNTVERIDKKIKRIDDEYMRSAIDYLEVMDDLTPILRGANTCRCPNLNIVSWMRLPFYDADFGMGKPIIVRPANPLEGKVYIMQTPSDDGSWQLAICLLADHMQSFQRLFYEF >Manes.06G033850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9285550:9287611:1 gene:Manes.06G033850.v8.1 transcript:Manes.06G033850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIKESNLIHQAEDLPNNQHQWLSNLDRIHERNNIPTVYFYKAADHPISFESKVLKEALSKVLVPFYPVAGRLGRDNKGRLEIVCNNEGVLFIEAETDSELDEVGDLMPVEVSQLIPSVDYSQGISSFPILAVQVTKFKCGGLSLGLRFHHILADGFGALHFINTWCDVARGLSITMPPFIDRTILRCRAPPTPTFEHAEYDKPLSMNSATQILTSQQNCIQIFKITLQQLETLKNKVKNADGKTKYTTYEILTAHIWRCTCKARALSNNQPIKLFIPINGRSRLHPPLPPNFFGNVIFSATLFALSGEILSETLKNTVERIDKKIKRIDDEYMRSAIDYLEVMDDLTPILRGANTCRCPNLNIVSWMRLPFYDADFGMGKPIIVRPANPLEGKVYIMQTPSDDGSWQLAICLLADHMQSFQRLFYEF >Manes.04G076700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27810507:27814475:1 gene:Manes.04G076700.v8.1 transcript:Manes.04G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKEVETVIVGNYEEMETEGKPKDMKTILSKFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMASGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKYWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLLMTTYTAWYLTIASLLHGQVEGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAAAVYWAFGDLLLNHSNAFSLLPRSPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGLHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHTFTFKSAAARENAVEQPPRFVGRWVGTYVINVFVVIWVLIVGFGFGGWASMINFVHQIDTFGLFTKCYQCPPPLPNNLNATNAAPPLYHPINRTHHL >Manes.01G012847.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3334980:3335872:-1 gene:Manes.01G012847.v8.1 transcript:Manes.01G012847.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGSFDTSAITIEWTLTEPLRHPSAMKRLQDELQSVVGLDKMVEEKDLSNLPYLDMVIKESLRLHPVGPLLIPRSCMEEIIIDGYHIPKKARIIVNAWGIGRDPDVWSDNAEEFLPERFAAKSIDIRGRDFEFLPFGSGRRGCPGMQLGLTVVRLVIAQLVHCFNWELPDGVLPGELDMSEVFGLSLPRASHLVVVPKYRLGI >Manes.05G126400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22771338:22775990:-1 gene:Manes.05G126400.v8.1 transcript:Manes.05G126400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKLPWRLIIYQFSRSHQFLPLRSFSNQQNPASAQQSSYMRLTQSFYRAIKERGSIPIAQKLHGQLISSGLDFSVFLQNHLLNMYFNCGLINDAFRVFAEMGFRNVVTYNTMISGLGSMGRIRDAKELFDEMPDKDSISWNSMMSGYFRNGKPEEALGVFISLIRDFTSFTNLLSFSCAMKACGALGYIKLAFQLHGLVEKINFGNDTSIETSIMDMYIKCDAASYAELVFLRIPNPNLFCFNSMIYGYSKFYGVGKALNMFNQMPERDSVSWNTMISILSRRGFGVPTLTMFVEMWTQGFRPNSKTYACVLSTCATFCYLEWGTHLHARIVRTESIIDVYVGNGLIDMYAKCGNLEFARRAFNSLTEHNSVTWTSLIGGVAQCGLEGEALVLFNQMRKVPVALDEFTLTTVLKVCSFPNNISVGKQLHALTIKAGMDSFVSVGNALIKMYSKCGDCQEANYAFEMMSVSNIISWTSMITAFSQVGDVEKAQACFDEMLGRNVITWNSMISMYLQHGFWERGLKFYLQMQKECIIPDDATFATLISGCADLAMLKLGTQIIAQAEKFGFGSDVSVANSVITMYSKCGQIENARKVFDSISMKDLVSWNSMMSGYAQNGQGRIVIEIFQNMLKMGYTPDSISYVSLLSGCSYSGLVTEGKHYFESMTKDYGILPAHEHFACMVDLLGRAGLLEQAKDLIDEMPFKPNADVWGALLGACKIHCNPKLAELAVRNLLELNVDKSGSYMLLAHVYSECGKLEGVANVRKLMREKRIQMNPSCSWIEVNNRVHIFTVDEANHPQINDIYAILDDIHNKIEDTRSCCAVSSTGS >Manes.04G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1013048:1014868:-1 gene:Manes.04G007900.v8.1 transcript:Manes.04G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFKGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRAYRELLFCAPGVLQFLSGVILFEETLYQKTTDGKLFVDILKGGGVLPGIKVDKGIVELPGTNGETSTLGLDGLAERCQKYYEAGARFAKWRAVLKIGPTEPSQLAISENANGLARYAIICQENGLVPIVEPEILVDGSHDINRCADVTERVLAACYKALNDNHVLLEGTLLKPNMVTPGSDSAKVKPEVVAEYTVRALQRTVPPAVPAIVFLSGGQSEEEATINLNAMNKLKCKTPWSLTFSFGRALQQSTLKAWAGKEENVKPAQAALHVRCKANSEATLGSYKGDATLGEGAAESLHVKDYKY >Manes.02G003300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:442231:444230:1 gene:Manes.02G003300.v8.1 transcript:Manes.02G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSMELKLGMQPRGRNSLKIKAFFVRFSGFKSCKSLPDSLTLLYLPRVNGSELLVDGSKVRPDSPAFLTLHRVVNVKTKKGEAIFGSRERVWASGGVRFEVYLGEERVLEGIFRKDEEEWKLECGCGLESELVAGGGGTTEVASVGADVCVALEGHVALSGRVEMVSMRKKNRKVGFDRLEVIPEEKEEADDESLGEFCCDCKNRRSNGGELDEVCGPDCNLMEMDLEGMRWAVDVGIWVMCLGVGYLVSKASARSLRRLRLRLL >Manes.13G042300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4993628:4996510:1 gene:Manes.13G042300.v8.1 transcript:Manes.13G042300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMAHVSYKFSIRPPDFYHNSNCKYLSFSSQIISHKQTWFTCMSNNRNHTMVHTKKQNSSFITCSAANKPSPPEISSAAKIRSEVLSPFRSVRMFFYLAFIASGAMGGLIATTQLIAALTNPARGAQASDILKGLGIDIGAVSLFAFLYYRENNAKKAQLARLSREESLSNLKLRVDDKRIISVNALRGIARLVICAGPGSYILESFRLSEPFTQSLLDRGVLVVPFATDGNLPSFEFDESEEMKEVTAKRKRLWQLNPTYITEWSKWLDEQKKLAGVSLESPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGIWTGLLDGMDGRVL >Manes.13G042300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4993598:4997375:1 gene:Manes.13G042300.v8.1 transcript:Manes.13G042300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMAHVSYKFSIRPPDFYHNSNCKYLSFSSQIISHKQTWFTCMSNNRNHTMVHTKKQNSSFITCSAANKPSPPEISSAAKIRSEVLSPFRSVRMFFYLAFIASGAMGGLIATTQLIAALTNPARGAQASDILKGLGIDIGAVSLFAFLYYRENNAKKAQLARLSREESLSNLKLRVDDKRIISVNALRGIARLVICAGPGSYILESFRLSEPFTQSLLDRGVLVVPFATDGNLPSFEFDESEEMKEVTAKRKRLWQLNPTYITEWSKWLDEQKKLAGVSLESPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGIWTGLLDGMDGRVL >Manes.13G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4993628:4997375:1 gene:Manes.13G042300.v8.1 transcript:Manes.13G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMAHVSYKFSIRPPDFYHNSNCKYLSFSSQIISHKQTWFTCMSNNRNHTMVHTKKQNSSFITCSAANKPSPPEISSAAKIRSEVLSPFRSVRMFFYLAFIASGAMGGLIATTQLIAALTNPARGAQASDILKGLGIDIGAVSLFAFLYYRENNAKKAQLARLSREESLSNLKLRVDDKRIISVNALRGIARLVICAGPGSYILESFRLSEPFTQSLLDRGVLVVPFATDGNLPSFEFDESEEMKEVTAKRKRLWQLNPTYITEWSKWLDEQKKLAGVSLESPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGIWTGLLDGMDGRVL >Manes.13G042300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4993628:4995868:1 gene:Manes.13G042300.v8.1 transcript:Manes.13G042300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMAHVSYKFSIRPPDFYHNSNCKYLSFSSQIISHKQTWFTCMSNNRNHTMVHTKKQNSSFITCSAANKPSPPEISSAAKIRSEVLSPFRSVRMFFYLAFIASGAMGGLIATTQLIAALTNPARGAQASDILKGLGIDIGAVSLFAFLYYRENNAKKAQLARLSREESLSNLKLRVDDKRIISVNALRGIARLVICAGPGSYILESFRLSEPFTQSLLDRGVLVVPFATDGNLPSFEFDESEEMKEVTAKRKRLWQLNPTYITEWSKWLDEQKKLAGVSLESPVYVPFYVFVPLIIFL >Manes.07G047600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5560553:5561441:-1 gene:Manes.07G047600.v8.1 transcript:Manes.07G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKLSGTNIAMIVLVVALIALMVTSADARIGGGGGGGRGGGGGGGRGSAGGGAKGGGSSGGKSGSGNRGVGSGTRGRGIVGGGGVIVGTGGATAAGGGGTSVNRGQHASSASVTCGPASKNGFIAGLPLMLVIFLMV >Manes.11G053200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6603925:6607628:-1 gene:Manes.11G053200.v8.1 transcript:Manes.11G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSLTMSFYALNYPSHAFSHVNSHKTYKGRLNPVLAIPPSSTVLHTDESGKFHESKKNSDLISSGSSDSLLSQANTVGILGGISANSTVNFLKKVVQWSTKDGQDSLPFVLCSDPVLNEKLLLHERDSFHSLGRRNEHSGLDHTSIVENLQRKREFLEKSGARCIVMPCHIAHAWHDDISKGCSVHLLHMGECVAKELKEAKLKPLEAGSPLRIGVLATHATLTAGFYQEKLQSEGFEVVLPDRATMEHALIPAIQALDRRDMEGARNLLRIAVQVLLVRAVNTVILASDDMCDLLPDDDPLLKKCIDPVDALARSTIMWAQAAGKGT >Manes.11G053200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6603925:6607626:-1 gene:Manes.11G053200.v8.1 transcript:Manes.11G053200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSLTMSFYALNYPSHAFSHVNSHKTYKGRLNPVLAIPPSSTVLHTDESGKFHESKKNSDLISSGSSDSLLSQANTVGILGGISANSTVNFLKKVVQWSTKDGQDSLPFVLCSDPVLNEKLLLHERDSFHSLGRRNEHSGLDHTSIVENLQRKREFLEKSGARCIVMPCHIAHAWHDDISKGCSVHLLHMGECVAKELKEAKLKPLEAGSPLRIGVLATHATLTAGFYQEKLQSEGFEVVLPDRATMEHALIPAIQALDRRDMEGARNLLRIAVQVLLVRAVNTVILASDDMCDLLPDDDPLLKKCIDPVDALARSTIMWAQAAGKGN >Manes.06G050100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16133088:16133887:-1 gene:Manes.06G050100.v8.1 transcript:Manes.06G050100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLNGIVQCLLTSIYIRLSNPTLPYKHQHLQDQFCKPFSRLIRKMSWTGGDWMCPACQHINFKKREACQHCSYPKYGGPDPATFIYKRPGDWYCTAVNCGSHNYASRSSCYRCGATKNDYGYMYCSDGSVPPGWKNGDWICRRVGCGEHNYASRTECYKCKTPKDYGSGV >Manes.06G050100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16132859:16134018:-1 gene:Manes.06G050100.v8.1 transcript:Manes.06G050100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTGGDWMCPACQHINFKKREACQHCSYPKYGGPDPATFIYKRPGDWYCTAVNCGSHNYASRSSCYRCGATKNDYGYMYCSDGSVPPGWKNGDWICRRVGCGEHNYASRTECYKCKTPKDYGSGV >Manes.06G050100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16132859:16133744:-1 gene:Manes.06G050100.v8.1 transcript:Manes.06G050100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTGGDWMCPACQHINFKKREACQHCSYPKYGGPDPATFIYKRPGDWYCTAVNCGSHNYASRSSCYRCGATKNDYGYMYCSDGSVPPGWKNGDWICRRVGCGEHNYASRTECYKCKTPKDYGSGV >Manes.08G118100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35740219:35753854:-1 gene:Manes.08G118100.v8.1 transcript:Manes.08G118100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCLSGNALRTFGRSITCLARIGNELAMQASPSQLTLHTINSSRSAYQSITFKSSFFDMYTISGTQVQCSLLLKAICSVLRTPIQTINQLNVRLPDPDSSKVQWTLECHSGMRKAYSITCNVEPDIQHLSLDRRKFPSSFVVRPRDLNRLLANFQSSLQEITVIATEPASIPTDAASEIGGKAVELRSYIDPTKDNDSALHTQLWIDPAEEFVQYSHTGDPVDVTFGVKELKAFLAFCEGCEVDIHLYFEKAGEPILMAPKFGLDDGSSSNFDATLVLATMLISQLREGNSSEPPQGATTTHVQAVCGTGSQPQARCRANASEHPSDHTRIWSELSGSAARSGSGTDERQAQGQRNLNATEQREIQRISMMQISKDASAQVNEPAGPRCHPMEEHQKEAQDGPEIDGHGFSQRHPSNWVDAAEDDDDDGDENELCVQSTPPYYEEH >Manes.03G058900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6037824:6041041:-1 gene:Manes.03G058900.v8.1 transcript:Manes.03G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLNASGNLSRKVIAVAAGEAHTLALTGDGYVYSWGRGMFGRLGTGSESDELFPVRVNFDTSNESEEKRLKFVGIAAGSYHSMALADDGSVWCWGYNTYGQLGMDGENAVVPHLMEQFLGLSTSDSVTDDSETKNTVPLKVCSVKSGGMMSLAIDNLGMLWMWGNCPQQSSNSEDGFSLVSSFTPTPVWDLHGHTVVKVACGNEHVVALVSVGETYKGEDLVCYSWGNNGHGQLGLGDKESRIHPEIVETFNQDSPWAVYEVACGAFHTALIAHKKRPSDKLESTCWTFGLGDNGQLGHGTTQSALKPELVYELPRDAYLVSVDCGLFHTSVVSSAGDLWSWGMEKGLGLCPNASFTGTDAGDAMSPLRIRGPQEPRFQDPVQVVCGAAHTVLVANDGYKLWSWGRGRSGVLGTGNTNDFFIPTVVLWPPLTHDFKDQESNKATEEDNTESKGSEEATELENRLSSAMEEMKLLQSKLSIMEKYAGVLHGSIFGKPFTEEDIPNSLRNSGTFDIAKEWEEMLESADRSKLIRLELFYRNMLAGVEDKKMKRRIQEIIKEFIPSSTTGS >Manes.03G058900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6037824:6041041:-1 gene:Manes.03G058900.v8.1 transcript:Manes.03G058900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLGMDGENAVVPHLMEQFLGLSTSDSVTDDSETKNTVPLKVCSVKSGGMMSLAIDNLGMLWMWGNCPQQSSNSEDGFSLVSSFTPTPVWDLHGHTVVKVACGNEHVVALVSVGETYKGEDLVCYSWGNNGHGQLGLGDKESRIHPEIVETFNQDSPWAVYEVACGAFHTALIAHKKRPSDKLESTCWTFGLGDNGQLGHGTTQSALKPELVYELPRDAYLVSVDCGLFHTSVVSSAGDLWSWGMEKGLGLCPNASFTGTDAGDAMSPLRIRGPQEPRFQDPVQVVCGAAHTVLVANDGYKLWSWGRGRSGVLGTGNTNDFFIPTVVLWPPLTHDFKDQESNKATEEDNTESKGSEEATELENRLSSAMEEMKLLQSKLSIMEKYAGVLHGSIFGKPFTEEDIPNSLRNSGTFDIAKEWEEMLESADRSKLIRLELFYRNMLAGVEDKKMKRRIQEIIKEFIPSSTTGS >Manes.03G058900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6037823:6041041:-1 gene:Manes.03G058900.v8.1 transcript:Manes.03G058900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQLGMDGENAVVPHLMEQFLGLSTSDSVTDDSETKNTVPLKVCSVKSGGMMSLAIDNLGMLWMWGNCPQQSSNSEDGFSLVSSFTPTPVWDLHGHTVVKVACGNEHVVALVSVGETYKGEDLVCYSWGNNGHGQLGLGDKESRIHPEIVETFNQDSPWAVYEVACGAFHTALIAHKKRPSDKLESTCWTFGLGDNGQLGHGTTQSALKPELVYELPRDAYLVSVDCGLFHTSVVSSAGDLWSWGMEKGLGLCPNASFTGTDAGDAMSPLRIRGPQEPRFQDPVQVVCGAAHTVLVANDGYKLWSWGRGRSGVLGTGNTNDFFIPTVVLWPPLTHDFKDQESNKATEEDNTESKGSEEATELENRLSSAMEEMKLLQSKLSIMEKYAGVLHGSIFGKPFTEEDIPNSLRNSGTFDIAKEWEEMLESADRSKLIRLELFYRNMLAGVEDKKMKRRIQEIIKEFIPSSTTGS >Manes.05G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4835999:4837731:1 gene:Manes.05G057600.v8.1 transcript:Manes.05G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFNYFKDKSRSRQQRSAPELKERSKSDYSGSGTRTIAKSDYSCSETSPRGIPQLYEEKAHNLRVFSFSELRHATHDFERLLKIGEGGFGSVYKGSIKPADGNGDPIVVAIKKLNKDGLQGHKQWVAEVQFLGVVEHPNLVKLIGYCAADGERGMQRLLVYEFMPNKSLEDHLFNRAYPVLPWKRRLQIILGAAQGLAYLHEGLEIQVIYRDFKSSNVLLDENFNPKLSDFGLAREGPVAGRTHVSTAVMGTYGYAAPDYIETGHLTAKSDVWSFGVVLYEIITGRRSMERKRPRAEQRLLEWVKQFPADSKKFALIIDTRLENQYSIAAARKIARLADSCLLKSAKDRPKMSQVVESLKQIIQNSDEEASISKESFESSETESIDSSKKQDQLVAAESWKRRMAHLAKLGDHVEGASRRRYMIMQRAKVP >Manes.01G134700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32775751:32777540:1 gene:Manes.01G134700.v8.1 transcript:Manes.01G134700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLVEQLRDYQIRSQHKCSALTVFSPKPHITSWADVIVAIFWALVFSMLVISSYVSLYFRHFWLSFLIICLGIFLPLRLRSSRQVLTRKRERRWLLPLSM >Manes.13G090650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22234523:22235441:1 gene:Manes.13G090650.v8.1 transcript:Manes.13G090650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSAGYSTNEDVLLCGVYLDVSQDPIVGKQQSSQRFWSRVAEAYELAKNECWESRNPRSLQCRLQVIEKAIRKLNGCYRQVENLHPSGASEQDLLNQAKTLLIQDPSYKRGFKFDHVWNMMKDAEKFKDCSSKKNKSDNPTPDSPMVPSPNLSSFSIHLNEDIAGDYTSSDRPLGVKKAKLKKKLDESFSSALKCLHANNEKLVELLANATAEREKGRLMKSRALDLKEFKEENKILLLDLNSISDPIARETFRQEKIRISEKRAQRQ >Manes.12G148600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35511499:35514024:1 gene:Manes.12G148600.v8.1 transcript:Manes.12G148600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLFALYPICSFTKLPPRTRIFRLPKATSFSSPLNCLVCPKLDQKTIVRRSANYQPPIWDFDFVQSLKREYEGEVYTNRISKLKEEVRLMLKQAVDPLDQLQLIDTLQRLGLAYHFEDEIKSILMSIYSDNNARREDLYATALEFRLLRQHGYKIPQEIFNSFQDEVGNFKKCLCEDWEGMLSLYEASFLSDENEDILQNARDFTTTCLRKFVQQSQDQNLSNLVSHALEIPLHWRMLRLETRWFIDVYERKQGMNPLLLELAKLDFNNVQMIHQNDLKHMSRWWRSTGLGKKLSFARDRLMENFFWTIGVIFKPQFSYCRRMLTKVNALITTIDDIYDVYGTLDELELFTDAVQRWDVNAVEQLPDYMKICYLSLHNSINEIAFDFLREEGVHIIPYLKRAWADLCKSYLLEARWYYNGYTPSLQEYIDNAWISISGPVILVHAFFLVNSPISNDALKCLEEYSSIIRCSSMILRLADDLGTSSDELKRGDVPKSIQCYMHETGASEKEARDHIRFLISETWKEMNEEKSTYSPFSETFISIAFNLARMAQCMYQHGDGHGIEDRETKDRVVSLLVQPIPCLNKVV >Manes.12G148600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35511499:35514024:1 gene:Manes.12G148600.v8.1 transcript:Manes.12G148600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLFALYPICSFTKLPPRTRIFRLPKATSFSSPLNCLVCPKLDQKTIVRRSANYQPPIWDFDFVQSLKREYEGEVYTNRISKLKEEVRLMLKQAVDPLDQLQLIDTLQRLGLAYHFEDEIKSILMSIYSDNNARREDLYATALEFRLLRQHGYKIPQEIFNSFQDEVGNFKKCLCEDWEGMLSLYEASFLSDENEDILQNARDFTTTCLRKFVQQSQDQNLSNLVSHALEIPLHWRMLRLETRWFIDVYERKQGMNPLLLELAKLDFNNVQMIHQNDLKHMSRWWRSTGLGKKLSFARDRLMENFFWTIGVIFKPQFSYCRRMLTKVNALITTIDDIYDVYGTLDELELFTDAVQRWDVNAVEQLPDYMKICYLSLHNSINEIAFDFLREEGVHIIPYLKRAVSFNDVFEFLYMLIKNEIILITIHIIC >Manes.06G073200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20911170:20918913:-1 gene:Manes.06G073200.v8.1 transcript:Manes.06G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLGFLVAASIAAYTVKQLNVQTSRSTGQFRHSDDGEASLEQHRNKGKDREQFPYSDDSLKEKEGEEEEEEEEVKLISSVFDRAHGVAPGIEDEDILPEFEDFLSGEIEYRLPGDKIDKAEKEKIYETEMANNANELERLRNLVEELEEREVKLEGELLEYYGLKEQESDITELQRQIKIKTVEIDMLKITINSLQAERKKLQEEIRQGESCKKEVEVARNKIKELQRQIQLEANQTKGQLLLLKQQVSGLQAKEEEAIKKDAEVEKKLKAVKELEVEVVELRRKNKELQHEKRELTIKLDAAQAKIAALSNMTESEMVAKTREEVNDLRHVNEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPPGKISARDLNKSLSPKSQEKAKQLMLDYAGSERGQGDTDLESNFSHPSSPGSEDFDNTSIDSSTSRYSSLSKRPSLIQKIKKWGKSKDDSGPLSSPSRSLSGGSPSRTSMSHRPKGPLEALMLRNAGDSVAITTFGKMEQDIPDSPETPSNVPHIRTQVSSGDSLNNVASSFQLMSKSVEGVLDEKYPVYKDRHKLALEREKQIKEKAEQARAARFAENSSFDSMSKGGRVKSASLPSQLSQIKEKSIASAGQSNDGKAVDSQSISKMRLADIEKRPPRVPRPPPKPSSGAVVDSNKLTSTGVPPPLPGAPPPPPPPPGGPPRPPPPPGSLPRGAGSGDKVHRAPELVEFYQTLMKREAKKDTSSLMSSTSNASDARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEVRAASFTNIDDLIVFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLLKLEKQVSSFVDDPKLPCEAALKKMYKLLEKVENSVYALLRTRDMAISRYREYGIPVDWLLDSGVVGKIKLSSVQLARKYMGRVASELDALSGPEKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKTFEELRSRVHSQTGEDNKLEGS >Manes.04G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33973967:33976824:-1 gene:Manes.04G140900.v8.1 transcript:Manes.04G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSRKWLKSLITLKNLPSTNLEEQSDNYKGKKKWRLWRSSSQGFGPSSSKGLNRIHVASSESSLVLDHAFAAAMATVARAPPKDFLLVKQEWAAIRIQTAFRGLLARRALRALKAVVRIQAIFRGRQVRKQAAVTLRCMQALVRVQARVRAQGSRMSSESQGLDKLLGEHQMADPTKQAEQGWCDSVGTADEVRARLQMRQEGAIKRERATAYSQQPSRSCASPARRRNNSASSIKDQRMDNSSPGWSWLERWMAAKPWENRLMDEIQNDSSETSFSRKSEDNIASIYSYSSINDSVKVRKNNVSTRIHAKPPVVNQITRSSSAPSSESLYDESSECTPSSTVSPIPLSSNTFMLDKVEERYNRNPSYMNLTESTKAKQKVDRHFSAHNMRRHTVENQFHMLSMPLSDGDAKSSAGSNPSFNFCRDDELRIQGH >Manes.15G067700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5144739:5147939:-1 gene:Manes.15G067700.v8.1 transcript:Manes.15G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNFMLRIKRVPTLVSNFQKEAEEGASRSGGCGRNCLQKCCIQGAKLPLYAFKKLNKIVREKEVLEHENNEPPVAFLETLLLGEWEDRMQRGLFRYDVTACETKVIPGQYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEPSENGEVQFFPSASIDVDNSTSVVAINVSPIEYGHVLLIPRILECLPQRIDRESFLLALYMAAEAANPYFRLGYNSLGAFATINHLHFQAYYLAVQFPIEKAPTKKITTLDSGVKISELVNYPVRSLLFEGGNTLQDLSNTVSDACICLQDNSIPYNVLISDCGNRIFLLPQCYAEKQALGEVSPELLHTQVNPAVWEISGHMVLKRKEDYEGASEENAWRLLAEVSLSEARFEEVNALIFEAISCSHSGSENDAQAVQDTNVNNSLEEVDAINKGSHRASVTGTQECLVQQ >Manes.15G179872.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18157805:18158311:-1 gene:Manes.15G179872.v8.1 transcript:Manes.15G179872.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSTLSLRSILEKDKLKENETNFVYWFRNLIIVLKQGKNSYVLDEAVPKPHPADATNVVNTKHKKHMDDSNDIGCLMLATMCPELQKDLEHFEAYKMTRRDRYETTIALHDCKMAEGESVSAHVLKIKGYIDHLARLGYPLSLDDAEPQPIRD >Manes.13G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30596577:30604312:1 gene:Manes.13G105200.v8.1 transcript:Manes.13G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYEGDEFEDYDAYEEEGYEQEEEGEEEEYEEEEEQKPSAEAIEYLELRARIKERIRKKMQRENGSAVSKSQEIKKKLSSDNYGSFFGPSQPVIAQRVIQESKSFLENPHLVSRVSNSHHVKKTSSSSGTGSKNGVHQPISKVRNELKTKVQKLKDTRDYSFLLSDDAEIPAPPKQSVPQSVAAPKSEARSAQVPQKSKQHSGSSVRDTRGVHEERKSTTVNGQMHSKSGLYKSTSTCKPTSTLINSRRQLGSNNGSGPGRPTAPKSLPSKMPPSTVEKKSFVPGAKTILPAARKPIPPIKQSSIPRQPVEQRRALPEPKKNIMKPNNSVVSSKSQINRPVKQIPSHASLKNNLPKKKPARPFCDVEDDDDSKALDMIRQMFNTKRYTDRYDDDDDDSMMEANFDDIMREERRSAKIAKEEDEEQLRLIEEEERRERQRRLAKKRKLSQH >Manes.17G101900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30943329:30944556:-1 gene:Manes.17G101900.v8.1 transcript:Manes.17G101900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLASSSSWSPSENKLFEEALALYDKETPDRWENIARAVGGKSADEIKRHYEILLEDVKHIESGRVPFPNYKLSGGNPSNGN >Manes.17G101900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30943329:30944556:-1 gene:Manes.17G101900.v8.1 transcript:Manes.17G101900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYLASSSSWSPSENKLFEEALALYDKETPDRWENIARAVGGKSADEIKRHYEILLEDVKHIESGRVPFPNYKLSGGNPSNGN >Manes.05G016000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1512166:1520613:-1 gene:Manes.05G016000.v8.1 transcript:Manes.05G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNPQHGQIIEINVDVPDGGSTVGRSRICGEAPCGFSDGGANSKDAKERSASMRKLLIAVALCVLFMSAEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQSYGFFRVEILGALVSMQLIWLITGILVYEAIVRLIHDTGDVNGFLMFIVAAFGLVVNIIMALLLGHDHGHGHDHAHGHDDHNHGIGHIHGEKNNSHRHHHHHHQHEEHTKDKHHHHEEHSKDEHHPVSEDNTVPLLDKGKDKHGKIQRNINVQGAYLHVLGDSIQSIGVMIGGAVIWYKPEWKIVDLICTLIFSVIVLGTTIKMLRSILEVLMESTPREIDATKLEKGLLEMEEVVAIHELHIWAITVGKVLLACHVKIRPEANADMVLDNVINYIRREYNISHVTIQIER >Manes.08G024100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2435354:2435584:1 gene:Manes.08G024100.v8.1 transcript:Manes.08G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCYMMKENERLRKKAQLLNQENQALLSELKQKLSKANSKANAPNSIPDLNLSSSSSHNPTNSSTN >Manes.16G059600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22626408:22628208:-1 gene:Manes.16G059600.v8.1 transcript:Manes.16G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRPHHEAVPAELEMQKDPVMSWVLKPRALNIVWGNDPRYWKMPVGKEDPAELLQVCWLEVTGSINDPQMVKGKTYEISFEVEMKEDAFGWNGSSVFMLAKAGKRGTYKGQKITLSDNKDGNRKRITIDKRFEVQNDNHDNTLYFGLYEVWSGRWKGGLLIYQAKVSQTSSNHN >Manes.01G043300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8527718:8575512:1 gene:Manes.01G043300.v8.1 transcript:Manes.01G043300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTIESPKHCANKEINVKKFYKKFFFIFSSFFTTILSLIFIVWLILHPAKPQFSLQEADVYQLKLSSPNNLLNSSIQLTLLSKNPNEKVGIYYDELQVYAAYKGQQITVYTPLPPFYQGHQDSNLLTASLIGTGLPVASSFGYEVGRDQTAGKLFLNLKLNGRLRWKVGTWVSGRYRLNVNCVAVMAFGPTLPSGPLSSKQGTLCSTTV >Manes.01G043300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8527718:8575512:1 gene:Manes.01G043300.v8.1 transcript:Manes.01G043300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTIESPKHCANKEINVKKFYKKFFFIFSSFFTTILSLIFIVWLILHPAKPQFSLQEADVYQLKLSSPNNLLNSSIQLTLLSKNPNEKVGIYYDELQVYAAYKGQQITVYTPLPPFYQGHQDSNLLTASLIGTGLPVASSFGYEVGRDQTAGKLFLNLKLNGRLRWKVGTWVSGRYRLNVNCVAVMAFGPTLPSGPLSSKQGTLCSTTV >Manes.01G043300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8527712:8529842:1 gene:Manes.01G043300.v8.1 transcript:Manes.01G043300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTIESPKHCANKEINVKKFYKKFFFIFSSFFTTILSLIFIVWLILHPAKPQFSLQEADVYQLKLSSPNNLLNSSIQLTLLSKNPNEKVGIYYDELQVYAAYKGQQITVYTPLPPFYQGHQDSNLLTASLIGTGLPVASSFGYEVGRDQTAGKLFLNLKLNGRLRWKVGTWVSGRYRLNVNCVAVMAFGPTLPSGPLSSKQGTLCSTTV >Manes.01G043300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8527708:8575515:1 gene:Manes.01G043300.v8.1 transcript:Manes.01G043300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTIESPKHCANKEINVKKFYKKFFFIFSSFFTTILSLIFIVWLILHPAKPQFSLQEADVYQLKLSSPNNLLNSSIQLTLLSKNPNEKVGIYYDELQVYAAYKGQQITVYTPLPPFYQGHQDSNLLTASLIGTGLPVASSFGYEVGRDQTAGKLFLNLKLNGRLRWKVGTWVSGRYRLNVNCVAVMAFGPTLPSGPLSSKQGTLCSTTV >Manes.14G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9434001:9442245:1 gene:Manes.14G135800.v8.1 transcript:Manes.14G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTQICLILIVNSLLLLSSKVESFPPGSRSILREFSKDNAVSTDYAVDFNITNFDAVLRDTLATFAIIEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIVLMARMDCALKINNELCDRFSVAHYPMLFWGPPSKFVSAAWESKEEKSVIRVIDDGRTAEKLLSWINKQLGSSYALDDKKFENEQLLSNISDPGQIVHAVYDVEEAKAIAFEIIFEHKMIKSENRASVIKFLQLLVAHHPSKRCRKGSAEVLVNFDDLWPPDEKQEIVSNGKSMLGNFQICGKEVPVGHWMFCRGSKNDTRGFSCGLWVLVHSLSVRIEDAESQFAFTAICDFINNFFICEECRQHFYRMCSRVEGPFNPSSDFALWLWKAHNTVNERLMKEEASLGTGDPNFPKIIWPPKQLCSSCYLSHGQRDDGTSWIDWNKDEVFKFLINYYGKALASPFKDKDLLGSEVIDGAIDDLAASTNAVVVPVGAALAIALASCACGAIACYWRLRQKSRKYYHIHSLKNI >Manes.14G135800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9434008:9437182:1 gene:Manes.14G135800.v8.1 transcript:Manes.14G135800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTQICLILIVNSLLLLSSKVESFPPGSRSILREFSKDNAVSTDYAVDFNITNFDAVLRDTLATFAIIEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGIVLMARMDCALKINNELCDRFSVAHYPMLFWGPPSKFVSAAWESKEEKSVIRVIDDGRTAEKLLSWINKQLGRY >Manes.06G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5552468:5565624:1 gene:Manes.06G024900.v8.1 transcript:Manes.06G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSMMGNSFGCSASGERLVSAARDGDLLEAKALLECNPRLARYSTIGVRNSPLHYSAAQGHHEIVSLLIESGVDIDLRNYRGQTALMQACQHGHWEVVLILMLYKANIHRSDYLNGGTALHLASLNGHSRCIRILLADYVPSIGACWNILKEKSNDNGSTSEFDEGALHEVINRSADAGITALHMAALNGHLDTVQLLLDLGASVSEVTVDDGTTIDLIGAGSTPLHYAACGGNAQCCRILIARGANLTAENANGRTPLMIAHLWHRNELEEILSMQPERQPQMCPSPYLCLPLMSIFKIAKECGWRDEAFLPKCQDPCVVCLERKCTVAAEGCGHEFCTWCALYLCSTFCSTSVVSQGPPGSVACPLCRHGIVSFRKLPGTRPAVKPISRASLSLSFCTCSGEESEPSSMISAVWKPDIGCTRISPLSSSFRSLSCQKFPSVIFNARGCMGNPETCPSLVPCTIDQNLRKQLVRCPRPSWTIYF >Manes.12G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26399290:26410270:-1 gene:Manes.12G106800.v8.1 transcript:Manes.12G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAVYLAKRRGDPQQSIQVIGSRCRTYRDDGLYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIREAGSRRFRAPEKSEEEEELEEFVNFERYRDLIKHRRRGFTDEEGLQHVTQEMEAKAVIPFASDSTSQLTQAPSSKGSYSQVGFSYDGDVKEESQFSDGDDNEEDDEDDEDDEDFNSDDSNDEGMDMIAKEFGVKRYGWLVYMDKRAKEEEKRQKEMIKGDPAIRKLSRKERRKASQIEREREREAARITGSRVLHHDPYREPRRSPTYEAYSRSRRSRSRSRSYSPSHSRRYARGGHSDEVHRSKPRTPKIEYITEFGGSGEGDEPKLEGYSPPSSPPSQADMLSRQSSGRILEALHVDPASGVSLDKEKNAKAVKPAVSTSSALAKLTKASSSGGPLKQQPGEKKETPQGRLKRIMSKQLNKQIKKDTAAEIAKKREQERQRLEKLAETNRLSRYRRRSRSRSYSRSPPRRHRRSRSPSRSRSSRRYHSRSRSRSRSRSHSRSRSRSRSYSRSPRVRSRSRQS >Manes.12G106800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26402401:26410270:-1 gene:Manes.12G106800.v8.1 transcript:Manes.12G106800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSERKVHDMMDAARKRAQRRAVYLAKRRGDPQQSIQVIGSRCRTYRDDGLYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIREAGSRRFRAPEKSEEEEELEEFVNFERYRDLIKHRRRGFTDEEGLQHVTQEMEAKAVIPFASDSTSQLTQAPSSKGSYSQVGFSYDGDVKEESQFSDGDDNEEDDEDDEDDEDFNSDDSNDEGMDMIAKEFGVKRYGWLVYMDKRAKEEEKRQKEMIKGDPAIRKLSRKERRKASQIEREREREAARITGSRVLHHDPYREPRRSPTYEAYSRSRRSRSRSRSYSPSHSRRYARGGHSDEVHRSKPRTPKIEYITEFGGSGEGDEPKLEGYSPPSSPPSQADMLSRQSSGRILEALHVDPASGVSLDKEKNAKAVKPAVSTSSALAKLTKASSSGGPLKQQPGEKKETPQGRLKRIMSKQLNKQIKKDTAAEIAKKREQERQRLEKLAETNRLSRYRRRSRSRSYSRSPPRKHLSAM >Manes.04G075100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27524999:27532133:-1 gene:Manes.04G075100.v8.1 transcript:Manes.04G075100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIDLNNHDNTYHDLSQGFYRKVGEHSNMSIDSYDSLQTSNGGGSVAMSIESIGSNDSHTRILNHQGLRRHANDNYSIQQSVNHRGRVTHALNDDALAHALMDGNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEDVAIKILERPGNDPERAKLMEQQFQQEVMMLATLKHPNIVRFIGACWKPMVWCIVTEYAKGGSVRQFLMRRQNRAVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPALGEIMTRCWDANPDVRPSFIEVVKMLESAETEIMTNIRKARFRCCMTMPMTVD >Manes.04G075100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27524999:27532133:-1 gene:Manes.04G075100.v8.1 transcript:Manes.04G075100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGPKFPGIIDLNNHDNTYHDLSQGFYRKVGEHSNMSIDSYDSLQTSNGGGSVAMSIESIGSNDSHTRILNHQGLRRHANDNYSIQQSVNHRGRVTHALNDDALAHALMDGNSPTEGLENFDEWTIDLRKLNMGAAFAQGAFGKLYRGTYNGEDVAIKILERPGNDPERAKLMEQQFQQEVMMLATLKHPNIVRFIGACWKPMVWCIVTEYAKGGSVRQFLMRRQNRAVPLKLAVKQALDVARGMAYVHGLGLIHRDLKSDNLLIFGDKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGMLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPALGEIMTRCWDANPDVRPSFIEVVKMLESAETEIMTNIRKARFRCCMTMPMTVD >Manes.12G146601.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35388685:35390091:1 gene:Manes.12G146601.v8.1 transcript:Manes.12G146601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRSNRKTEPNPAQPNPTQPNPTQPNPTQQASNPTPSIPFAESLPSIPFSTFSNLPIPPPLFSRKMRTTKTTNRFSRRTTTRMTMKMTMVKAETTMTMTMTTTMRRRKTVTRMKTVKKSLALDIQLFVYTVETAHTREGPDLSPSDPQWRSSTIPGPDEAKHSILLERLRLRHLKHSSKPSQAKTQFPPKPVVAIEKDEDGFKSKKGKKMVGSFEEIGLSEEVMGAVREMGIEVPTEIQCIGIPAVLDGKSVVLGSYTGSGKTLAYMLPLVQVNLLSCLIDFLVLLL >Manes.01G049900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17800766:17802401:-1 gene:Manes.01G049900.v8.1 transcript:Manes.01G049900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCTKQRIKYEDPAVLASQTYFNEAQVEALYELFKKLSSSLTDDGLISKEEFQLGLFRNSKKQTLISDRVFQLFDSKQDGVIEFEEFVRSLSVFHPEASRAEKVAFAFQLHDMSQTGFIERNELKNLILALLEESELTLSDDIVESIIDKTFKDADTKGDGRIDIQEWDDFVTRYPSLLKTMTIPHLKDITTAFPSFVLISEIEDDDLNE >Manes.01G049900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17800766:17802401:-1 gene:Manes.01G049900.v8.1 transcript:Manes.01G049900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLCTKQRIKYEDPAVLASQTYFNEAQVEALYELFKKLSSSLTDDGLISKEEFQLGLFRNSKKQTLISDRVFQLFDSKQDGVIEFEEFVRSLSVFHPEASRAEKVAFAFQLHDMSQTGFIERNELKNLILALLEESELTLSDDIVESIIDK >Manes.01G000279.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:901782:937336:-1 gene:Manes.01G000279.v8.1 transcript:Manes.01G000279.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKKEDFDESPLKKSKIEDGFLDEGSAKESKAEDKDNKEWIDYSDEYKRELLKKYKEDFAASEGFEHDYWPARMTCPSTWDWLTVGERIHLGDDIMYTERANDALDFAVRKENEKVRTRGTEAPSSESAAVSGQS >Manes.01G000279.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:935833:937336:-1 gene:Manes.01G000279.v8.1 transcript:Manes.01G000279.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKKEDFDESPLKKSKIEDGFLDEGSAKESKAEDKDNKEWIDYSDEYKRELLKKYKEDFAASEGFEHDYWPARMTCPSTWDWLTVGERIHLGDDIMYTERANDALDFAVRKENEKVAQYNINFMLLIRYYLIE >Manes.01G000279.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:918307:937336:-1 gene:Manes.01G000279.v8.1 transcript:Manes.01G000279.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKKEDFDESPLKKSKIEDGFLDEGSAKESKAEDKDNKEWIDYSDEYKRELLKKYKEDFAASEGFEHDYWPARMTCPSTWDWLTVGERIHLGDDIMYTERANDALDFAVRKENEKIWTRGFELQSQS >Manes.03G068716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10065115:10072378:-1 gene:Manes.03G068716.v8.1 transcript:Manes.03G068716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASPYIDLRIKILRGKYYAIAEMRGPSYSGFGWNERKKCITYDNDVWDKWVKSHPYVVGLRNRSFSFYDELDYIYKKDRGTRKGAKNPIDAIEEIDLQEHDQ >Manes.15G181800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24695361:24720740:-1 gene:Manes.15G181800.v8.1 transcript:Manes.15G181800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQENDQQHHYRVAENDHNQTLPEEEGEGEGEEAEPRLQELEKQHNHSMHLSSSSPPAKPAPKPPSSCLDSTPDSTRSSDHSSHSHGYAFSPPQLKQSKYQSPPADQISQSIGFSSPPDVKQPKPLSPPPMAAAAATLPVSKVASENQDDEVVKSVKDDIGHGGGCGKGGANFSRKREILKGRALLGFRFFGLVFCLSSFSVMATDKNQGWAFDSFYRYKEFRYCMSVNVMGFVYLGLQTCDLAYTLATGKLFAQNQLRYYLDFSLDQVRTRGTEDPSSESAAPVSGQIYPEVCRYRVDQEVSKSNEVICM >Manes.15G181800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24717138:24720740:-1 gene:Manes.15G181800.v8.1 transcript:Manes.15G181800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQENDQQHHYRVAENDHNQTLPEEEGEGEGEEAEPRLQELEKQHNHSMHLSSSSPPAKPAPKPPSSCLDSTPDSTRSSDHSSHSHGYAFSPPQLKQSKYQSPPADQISQSIGFSSPPDVKQPKPLSPPPMAAAAATLPVSKVASENQDDEVVKSVKDDIGHGGGCGKGGANFSRKREILKGRALLGFRFFGLVFCLSSFSVMATDKNQGWAFDSFYRYKEFRYCMSVNVMGFVYLGLQTCDLAYTLATGKLFAQNQLRYYLDFSLDQMLTYLLLSASSSATFRVEDWESNWGKDKFPAMARLSVVFSYLAFVAFALCSLVSGHTVFTPRST >Manes.15G181800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24695361:24720740:-1 gene:Manes.15G181800.v8.1 transcript:Manes.15G181800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQENDQQHHYRVAENDHNQTLPEEEGEGEGEEAEPRLQELEKQHNHSMHLSSSSPPAKPAPKPPSSCLDSTPDSTRSSDHSSHSHGYAFSPPQLKQSKYQSPPADQISQSIGFSSPPDVKQPKPLSPPPMAAAAATLPVSKVASENQDDEVVKSVKDDIGHGGGCGKGGANFSRKREILKGRALLGFRFFGLVFCLSSFSVMATDKNQGWAFDSFYRYKEFRYCMSVNVMGFVYLGLQTCDLAYTLATGKLFAQNQLRYYLDFSLDQMLTYLLLSASSSATFRVEDWESNWGKDKFPAMARLSVVRTRGTEDPSSESAAPVSGQIYPEVCRYRVDQEVSKSNEVICM >Manes.03G089400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19618814:19621324:-1 gene:Manes.03G089400.v8.1 transcript:Manes.03G089400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCKNSVEESHYDILSVKEDASYEEIRTSYKLAILNYHPDKLQSTYQKCDNQNKLQDRFLKVQNAWEILGNARSRAVYDKELRALRKDTGVGVAAEDLSLEDMMIEDGGEVVELFYQCRCGDYFSVDSSELEKMGYTLLRDENKVSFETAATDALASVVLPCGSCSLQVRLLINTGIKVPINDNNL >Manes.06G088400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22451732:22452545:-1 gene:Manes.06G088400.v8.1 transcript:Manes.06G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTCEYARKYSKDVSLHELRDRLAEFAEVRGWDQFHSPRNLLLALVGEVGELSEIFQWKGEVAKGLPNWSSGDKEHLEEELSDVLLYLIRLADVCGLDLGQAALTKIVKNARKYPVAARQTT >Manes.16G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32513291:32519398:-1 gene:Manes.16G123100.v8.1 transcript:Manes.16G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRDTIWPHDPRSGWSYCFYRVQIGVQSPEGITTIRGVLRRFNDFLKLFTNLKKSFPKKNLPPTPPKALLQIKSWALLEEWMTKLLSDIDSSRSMAVAFFLQLGAAVRSSFQDVNQQPSEASPAIDSTTSSSHVPPHSTSSMIIGGLSVKSDYGSDTAHETSELGTPRLIRDEQLEIGTEDLTLDEDLTNPIENLVKYGMSNIDEGLFMGQTILEQLEGFPRHKPNDTHLNNIIGKDTCNGNASKASFLAGNGMKLFSEPESGKIFSHALKLSSESVGSDGSSLRGSEVSNSGVPNSSGDGSFDEVLNTAGLLGRTELQFTDDEQIVLPLDQPHKMNRVLTTMQRRLNQQIAVKSYLKTKVKDLEAELETTEQKNKENLQQAILIERERLTQMLHKSLEMELRLRSREDENSGAGSNYLEKDSALEELDATRKQLEILSKQYEELQAKSKEDIRFLAKEFKSLQKSQAELKDKLGQSLKEKSEVEEDKFIMYSSEADALDLLSTSADQIGLLLAEVQALLEDCKTAISEDDNMEATDPELRNMLATISTDSAKLRKLVNYFMRIRVHTGNNTSENRDKAYSTEVDPVER >Manes.07G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2506686:2511437:-1 gene:Manes.07G023100.v8.1 transcript:Manes.07G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYSAAASGRGRDGQDGGRGRRGRGRGGGLEGGPARGAGRGGRGVRGGRGGGVGGIVDWGPAGGRFRGSTSSAPSVQTRIEPQNISTEELPEPIVPAMQSLAISTSSAPEDSGKRVPIKRPDNGGKNCDRPVPLRVNHFLVRYDPERIIRHYDVNVKPDLRSEDGRAVKLPKTVLSMIRNKLFSDDPDNFPLLKSAYDGEKNIFSAEPLPTGTFKVGLSNEEGIKIRYFTVEVQLVNELKCSKLGDYIRGEILSIPRDVLQALDVIMKENPTRNMIFASRSFHPIEPDTQVDLHRGIIASRGIKHSLKPTFQGLALCMDYSVVPFRKQMPVIDYLQEHIPGFNPNNFRRFKKQVKEALEGLNVTVTHRTTNQKYKIAGLTDQNTRDISFDVENPSDQNSQGKVNLVSYFRKKYNKDIIHMDIPCLALGKSNRKNYVPMEFCIIAGWQRYAKELLDVNQLGELRKISLVAPKDRQRTIRDMVENRVGPCSGDIIQNFGISVDVEMTTLIGRVIKPPELKLDTNLRRSLVITSEPIREWGILFFGEYSLENFAPELISRSQRMNIRMDQPRLNELHPRKLLLDVQKLEELLERIKSARLQILVCVLPKEPKEPKEPKEGPAGYNNLKWISEKKVGILTQCCLAKNCSRPKDQFLANLALNINAKLGGSNVELIKQPNFLQIKGHFMFVGADVNHPRSYNTTSPSIAAVVGTMNWPAANKYSARICPQDHRTEKILKFGSMCMELVNTYTSLNQAMPKNIILFRDGVSDGQFDMVLNEELTDLKMTFQASNYSPRITVVVAQKRHTTRMFLDGNQDGNVPPGTVVDTKITHPCGFDFYICSHYGSIGTSKPAHYHVLWDENGFTSDELQELIHGMCFTCAQCTKSVSLVPPVLYADRAAYRGRVYHDAMEAYQSSAPPPSTSSTPSFDEQLYKLHPDLENSMFFI >Manes.07G067900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:17032714:17037942:1 gene:Manes.07G067900.v8.1 transcript:Manes.07G067900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAFKLSLSILVSSLYPKARSMRRVQILLLLAVCKWVVTLAGRPLGNVPAAVRREVYDNGRIFDITHMINPRMPTWDSKNGLGEAVKLVASMKNGSIDNLSEMKFSSHTGTHVDAPSHFIEEYFEAGYDTSTLDLKTLNGPALLVDVPRSSNISAQVMKSLKIPKGIRRVLFRTLNTDRKLMFKKEFDSSYVGFLSEGAKWLVQNTDIKLVGLDYLSVAAYTDLNQTHLTLLRSRVSNLSNYIYSVDY >Manes.07G067900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:17032714:17037942:1 gene:Manes.07G067900.v8.1 transcript:Manes.07G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAFKLSLSILVSSLYPKARSMRRVQILLLLAVCKWVVTLAGRPLGNVPAAVRREVYDNGRIFDITHMINPRMPTWDSKNGLGEAVKLVASMKNGSIDNLSEMKFSSHTGTHVDAPSHFIEEYFEAGYDTSTLDLKTLNGPALLVDVPRSSNISAQVMKSLKIPKGIRRVLFRTLNTDRKLMFKKEFDSSYVGFLSEGAKWLVQNTDIKLVGLDYLSVAAYTDLNQTHLTLLRSRKIVVLEGLKLDDIKPGLYNLHCLPIRVLGADGTPTRCILME >Manes.07G067900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:17032714:17037942:1 gene:Manes.07G067900.v8.1 transcript:Manes.07G067900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAFKLSLSILVSSLYPKARSMRRVQILLLLAVCKWVVTLAGRPLGNVPAAVRREVYDNGRIFDITHMINPRMPTWDSKNGLGEAVKLVASMKNGSIDNLSEMKFSSHTGTHVDAPSHFIEEYFEAGYDTSTLDLKTLNAQVMKSLKIPKGIRRVLFRTLNTDRKLMFKKEFDSSYVGFLSEGAKWLVQNTDIKLVGLDYLSVAAYTDLNQTHLTLLRSRKIVVLEGLKLDDIKPGLYNLHCLPIRVLGADGTPTRCILME >Manes.02G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3634630:3637566:1 gene:Manes.02G044200.v8.1 transcript:Manes.02G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFASFFDSQSASRNRWTYDSLKNFRQISPVVQTHLKQVYLTLCCALVASAAGAYLHILWNIGGLLTTFACLGCMAWLLSTPPYEEQQKRVALLMAAGLFEGASIGPLIDLAIEIDPSVLITAFVGTSVAFGCFSAAAMLARRREYLYLGGLLSSGLSILLWLQFASSIFGGSAAIFKFELYFGLLVFVGYVVVDTQDIIEKAHLGDLDYVKHALNLFTDFIAVFVRILIVMLKNSAEKEERKKKRRN >Manes.02G044200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3634630:3637566:1 gene:Manes.02G044200.v8.1 transcript:Manes.02G044200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFASFFDSQSASRNRWTYDSLKNFRQISPVVQTHLKQVYLTLCCALVASAAGAYLHILWNIGGLLTTFACLGCMAWLLSTPPYEEQKRVALLMAAGLFEGASIGPLIDLAIEIDPSVLITAFVGTSVAFGCFSAAAMLARRREYLYLGGLLSSGLSILLWLQFASSIFGGSAAIFKFELYFGLLVFVGYVVVDTQDIIEKAHLGDLDYVKHALNLFTDFIAVFVRILIVMLKNSAEKEERKKKRRN >Manes.07G080411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24439878:24441260:1 gene:Manes.07G080411.v8.1 transcript:Manes.07G080411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSILDIATALLSAWKEGCVAAGSGKVVVPKGKYFLGVVDLIGPYKGAMHLQVEGTLVALAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFAFVTNSIVEDVTSIDSKQFHINLLRSKNVTLQQFSVKAPGHSLNTDGIHIGRSKGINIINSNIVTGDYCISIGQGSGQYEKEEPMSGIYVKNCTIYHTDNGMRIKTWPALYGVIVSNIHFEGIVMQNVSNPIIIDQMYCPRNLCNRKPSTVQISDVSFKNIRGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTECKGSAKSICTNFKPRIIGKLIPGGC >Manes.13G003000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:906650:907912:-1 gene:Manes.13G003000.v8.1 transcript:Manes.13G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPVSAKDLKVISEVSRVKSQDIATLPRDALTNFIRSINENQQETEPFYVLDLRVVIGLMEKWNQSLPNVKPFYAVKCNCEAAILVALATLGANFDCGSKAEIETILGLGYSPGRILYANPCKAISHIKYAAKVGVNLTTFDSKEEVNKIKKWHPHCRLLLRLKVPNDDHLKMISSRSINEKFGAFPDEIGPLLQHAHQAGLQVLGVSFHVGYKASEAKIFRTAISAARFVFSAAAELKMPPMHILNIGRGFRDIPLFDEIAKTVNDSIQEYFPDEKSVSVIAEPGRFFVETAFTMVTNVIGKRVIRGEAIQYWIDDGIYGSFNLAVYDRSSMILKPLLLQSEDGDCAGSEAAASSTIFGPTCDALDIVVSDCKLVELQVGDLVVFYNMGAYTTSPATKFNGFNRFAMPTYLAYTTPN >Manes.08G146901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38279057:38281907:1 gene:Manes.08G146901.v8.1 transcript:Manes.08G146901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGGVLEAVPTTSVPNSAELKKELQRLVNAILDEEDFSLEITDEAIRLLTALKELKFEKSSDSLKLVDDTVLPDEFKCPISRKLMADPVVLATGQTYDRPSILQWLSTGHQTCPRTQQVLSHTVLTPNHLVREIITRWCKKHGLELPKPFGYSDDNFVAYADGDHLNSLLEKMCSSLSDQKEAARELRLLTRTMPSVRALFGESSDAIPKLLCPLSLGRVDSHADLQEDLITTILNLSIHDNNKQLVAENPLAIPLLIESLKSGTIETRSNAAAALFTLSGPDSNKISIGKAGALKPLIDLLEEGHTLAMKDAASAIFNLCIILENKGRAVHEGAVRVILKKIMDGILVDELLAILAMLATHQKAVEDMKELGAVGCLLSIIREGPSERNKENCAAILYTICLNDRTTCREIRDEENANHTISKLAENGTSRARRKANGILERLDRAALLLHTA >Manes.04G007700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:997675:999289:-1 gene:Manes.04G007700.v8.1 transcript:Manes.04G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNMNLWVVVLTWFCWTAVFGWARDCPLTSNQQHTTLGYPAISFDTESATPKTYSQFLTNLRTELKSGAEIYSIPLLRQQSKVLSSQRFVLVTLSNSRSSAILAIDVVNVYLVAYQVGTSSYFFNDTSDSAFSDLFKGTTKTRFRFSGGYPDLKNLGADRENVDLGIYPLDNAIYALNRDSSIPRAIAAPLVAVIQMVSEASRISHIERKIKTNFYQRFRPLGDVISLENQWGALSSAIQKSNKGVFQEPVQLQRSNYTIFNVTKVDEIKPYLALLLFVSTNSITSLGQEIVKSYLSTESLDGMWL >Manes.04G007700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:997675:999289:-1 gene:Manes.04G007700.v8.1 transcript:Manes.04G007700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDCQMEGNMNLWVVVLTWFCWTAVFGWARDCPLTSNQQHTTLGYPAISFDTESATPKTYSQFLTNLRTELKSGAEIYSIPLLRQQSKVLSSQRFVLVTLSNSRSSAILAIDVVNVYLVAYQVGTSSYFFNDTSDSAFSDLFKGTTKTRFRFSGGYPDLKNLGADRENVDLGIYPLDNAIYALNRDSSIPRAIAAPLVAVIQMVSEASRISHIERKIKTNFYQRFRPLGDVISLENQWGALSSAIQKSNKGVFQEPVQLQRSNYTIFNVTKVDEIKPYLALLLFVSTNSITSLGQEIVKSYLSTESLDGMWL >Manes.06G059400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18436532:18438757:1 gene:Manes.06G059400.v8.1 transcript:Manes.06G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLIIYCAVIYLLLQIFLFQISMATPDPTEETFFLCLSTHSLLDPPISQVTYFPSNPQYTSVLQSYIRNLRFASSATPKPLFIVTPTHVSHIQASIICCRIHGLEMRIRSGGHDYDGLSYISSVPFIILDMFNLRSVSVDVEDESAWVESGATLGEVYYWIAKQSMIHGYPAGVCPTVGVGGHLSGGGYGNMMRKHGLSVDNVLDAIIVDANGRVLDRESMGEDLFWAIRGGGGASFGVIVSWKIKLVQVPEIVTVFRVEKTLEQGASDIVYQWQNVADKIDDDLFIRVVIMPVIKKDRETIKAKFNALFLGNAERLVALMDEQFPELGLTAKNCEEMSWIESVLFWSNYPNGTSADVLLERNPQSEKYLKRKSDYVQKPISKPDLESIWKKIMELKKITFTFNPYGGKMSGIPESETPFPHRAGNAYKIQYAVSWKDESIETENYNLEMIRKLYDYMTPFVSKTPRCSYLNYRDVDLGVNEVGNESYEEASQWGIKYFKGNFDRLVEVKSRVDPGNFFRYEQSIPSLEASWKSSVEE >Manes.18G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:822858:826018:-1 gene:Manes.18G004500.v8.1 transcript:Manes.18G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCKFRPSSSNNTPFWTTDAGAPVWNNNSSMTVGTRGPILLEDYHMIEKLANFTRERIPERVVHARGMSAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFATKFYTREGNFDIVGNNFPVFFIRDGIKFPDVIHAFKPNPKSHIQEYWRIFDFLSHHPESLSTFAWFFDDVGIPQDYRHMEGFGVHTFTFINKAGKVTYVKFHWKPTCGVKCLMDDEALKIGGANHSHATQDLYDSIAAGNYPEWRLFIQTMDPADEDKFDFDPLDMTKIWPEDIFPLQQIGRLVLNRNIDNWFAENEMLAFDPGHIVPGIHYSNDKLFQLRTFAYADTQRHRLGPNYKMLPVNAPKCAYHNNHYDGFMNFMHRDEEVDYFPSRYDPVRHAERSPIPNAICSGRREKCVIEKENNFKQPGERYRSWAPDRQERFLCRLVNALSEPRITFEIRSIWVSYWSKCDASLGQKLASRLNVRPNI >Manes.13G028400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3760983:3762498:1 gene:Manes.13G028400.v8.1 transcript:Manes.13G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPRDVVIHVTGFKKFQGVAKNPTETIVNNLKDFVEKRGWPAGVTLGSCTVLETAGDGALPSLYKILESGTAAANNDKREQVVWLHLGVNSGALRFAIERLAANEATFLCPDELGWQPQQHPIVPVDGGISCTRETCCSIETILKILKEKGYEVTISDDAGRFVCNYVYYHSLRFAEQQGHKSLFVHVPLFSRIDEETQMQFIASLLEAIALTCQ >Manes.10G009300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1045906:1047567:-1 gene:Manes.10G009300.v8.1 transcript:Manes.10G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLSLLCFLFFIPLSLIFPSTTFGLQESQEYFEITPPLPSDHLAPSCINSIINHTFTNTANFLFSTPYSPPSDCSSPWSHVVLNFQAECSNETSYSISGLWLGGVELLRTSTPKLSTNRSSNLWRFKKDISRYSSLLAKTNLNLTMMLQSVGNDVSAGVYNVSVTLFFYGGANVVEDQLSFYDTPADLIVPISDDGETGFWFEIKNELDLPSKQIVVPQNTRRAVLEVYVSFHGNDESWYSNPSSSYMRMNNISLLGNGACREVLVTIDGATVGSELPFPVIFLTARINSLFWKPVVAIGAFNLPSYDFEVTPFLEKILDGEVHEFGVGIGDAIPYWFVDANLHIWLDKGSSSVTAGTVIAHNPSLALQGQEQFKRLDGSFETKGKGRSESKGWVISSSGNLTTLTMQEFRFRSFIQFENNATYRFVKMKIKVHKEVQVFNERRELLKRVIVTRKYPLRIITSVLSGKFVSNISHAFEETWSNGNNLSRTTDNLQNWNGWIPISEQQQPSLSGDSNADQRLVYKDESICYSRIVAVANGRLFADDLRYGCIS >Manes.04G038500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5937029:5944329:1 gene:Manes.04G038500.v8.1 transcript:Manes.04G038500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISKSCSMASIPCSRVRNGLCVWPGARQLCLRKGLLYGLMHLLSLPFKTLRGASKTLRVAEFCSVSNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPTEAVPIIDALSRKNRNLTYILNTHHHHDHTGGNEELKARYGAKVIGPGIDRERIPGIDIVLNDGDKWMFAGHEVVVMETPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMHSSLGKITSLPDDTNIYCGHEYTLSNSKFALSIEPNNEALQSYAAHVAHLRSKNLPTFNSKELVLSSKSSCLATWQAFQPSVEYLQ >Manes.04G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5937029:5944329:1 gene:Manes.04G038500.v8.1 transcript:Manes.04G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISKSCSMASIPCSRVRNGLCVWPGARQLCLRKGLLYGLMHLLSLPFKTLRGASKTLRVAEFCSVSNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPTEAVPIIDALSRKNRNLTYILNTHHHHDHTGGNEELKARYGAKVIGPGIDRERIPGIDIVLNDGDKWMFAGHEVVVMETPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMHSSLGKITSLPDDTNIYCGHEYTLSNSKFALSIEPNNEALQSYAAHVAHLRSKNLPTIPTTLKVEKACNPFLRTSSAEIRQSLNIPVTANDAEVLGVIRQAKDNF >Manes.04G038500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5937029:5944329:1 gene:Manes.04G038500.v8.1 transcript:Manes.04G038500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISKSCSMASIPCSRVRNGLCVWPGARQLCLRKGLLYGLMHLLSLPFKTLRGASKTLRVAEFCSVSNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPTEAVPIIDALSRKNRNLTYILNTHHHHDHTGGNEELKARYGAKVIGPGIDRERIPGIDIVLNDGDKWMFAGHEVVVMETPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMHSSLGKITSLPDDTNIYCGHEYTLSNSKFALSIEPNNEALQSYAAHVAHLRSKNLPTVSAESSSPVSCRYIHSPAHSHTCTEVFIYIYSMTKLHKVSVYVPQSLGPALGRSKTLPCKLGIMPHLWYGAKRHC >Manes.08G134000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37091921:37094938:-1 gene:Manes.08G134000.v8.1 transcript:Manes.08G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQEISFTAKHNRRRWRMAFTAIYFTRVLVSLSKKIRDNHTSLLRSLSYVAIDVNDAPRPRPEPLVDIDHKTLTELVKNKSFESLHQLGGAKQVATLLLSDVKEGITGNEADLTHRRNIFGANRYHKPPSKTFITFVFEAFKDTTIIILLVCAILSLGFGIKQHGLKDGWYDGGSIIVAIVLVIVVSSVSNFKQSKQFEKLSDASSDIKVQVVRDGRHQPISIFDLVAGDVVSLKIGDQVPADGLFLDGYSLKLDESSMTGESDHVEVNGTMNPFLLSGTKVIDGFGSMLVTSVGMNTAWGEMMSSITRNVGEQTPLQARLDKLASYIGKVGLSVATIVLAVLLIRYFIGSTRDETGKREYNGSKTKVSNILNSVVEMIAAAVTILVVAIPEGLPLAVTLTLAYSMMRMIKDNAMVRKLSACETMGSATTICTDKTGTLTLNKMTVTEFWLGKDQLIKDDASMVIEPEIFLLLQEGVALNTTGTVDKPHASSVPEISGSPTEKAILSWAVSDFGMNINETKQNCEILHVEAFNSEKKRSGVLVRKMEDREIHTHWKGAAEMILAMCSNYYYVGSGALKEMNEDDKLQFGAIIKSMADKSLRCIAFAYKKVPDDKDDEVSKMLEESELTLLGFVGLKDPCRPGVRTAVESCKNAGVNVKMITGDNMHTARSIAFECGILYGEEDMEKAVVEGSQFRNFSHEERMTKIEDIRVMARSSPFDKLLMVQCLKQKGHVVGVTGDGTNDAPALKEADIGLAMGIQGTEVAKESADIIILDDNFASVVTVLRWGRSVSNNIQKFLQFQLTVNVAALAINFVAAVYSGKVPLTAVQLLWVNLIMDTLGALALATEQPTNDLMKKPPVGRSEPLITKIMWRNLIAQALYQVAVLLILEFKAKSIFGVNEKVKNTIVFNTFVLCQVFNEFNARNMEKKNIFRGIHKNKLFLAIIGITIVLQVLMVELLRRFAGTERLNWGQWGVCIAIAAVSWPIGFVAKCIPVQLKSKEFPKT >Manes.15G125300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10004316:10014427:-1 gene:Manes.15G125300.v8.1 transcript:Manes.15G125300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSATWLYQGSFVNGKPSCSHLQTSFLGPFSAGRLQVQFGFKALLKPPLLHCIKCEKKDEYVEHISVERPPYHSYMDSTSGQLEPASGARASIPGQEYWPEGTADRVRAARAPEPTGTSTGSPSYGKRPGSRRKKHRTSAIAPESSEVTTDSPEALETLEETTEEPKDVSSDYVVYQTEPEEEQETGYDLDKKLGHPHPFIDPKVKKPIEGTLTSEESWWNWRKPEKEQWSRWQRRKPDVETVFLKAMAETGQVKLYGETPTLTECALYRARKHLFKEERLRVEQERLERIGPIAYYSEWVEAWKRDTSREAVQKHFEETGEDENTQLIEMFSHQTDREYRIMMGTDIRIRRDPLAMRMREDLIKQIWGGDPVYPTINYIQDPNEIVDYRGPDFHEPTPNMLAFLKEHGKIVPREEFEKIMAKEKTEQLEMTDMDEAMAQAVDIGENDDEVEDSDVDEVEEEEEEEEEDEKITRNWSVLKSTPQLRKSKEKPKKNGSISLEEAIEDSENLTDFLMDFGEEE >Manes.15G125300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10004316:10014427:-1 gene:Manes.15G125300.v8.1 transcript:Manes.15G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSATWLYQDIGLRGTVYAGSFVNGKPSCSHLQTSFLGPFSAGRLQVQFGFKALLKPPLLHCIKCEKKDEYVEHISVERPPYHSYMDSTSGQLEPASGARASIPGQEYWPEGTADRVRAARAPEPTGTSTGSPSYGKRPGSRRKKHRTSAIAPESSEVTTDSPEALETLEETTEEPKDVSSDYVVYQTEPEEEQETGYDLDKKLGHPHPFIDPKVKKPIEGTLTSEESWWNWRKPEKEQWSRWQRRKPDVETVFLKAMAETGQVKLYGETPTLTECALYRARKHLFKEERLRVEQERLERIGPIAYYSEWVEAWKRDTSREAVQKHFEETGEDENTQLIEMFSHQTDREYRIMMGTDIRIRRDPLAMRMREDLIKQIWGGDPVYPTINYIQDPNEIVDYRGPDFHEPTPNMLAFLKEHGKIVPREEFEKIMAKEKTEQLEMTDMDEAMAQAVDIGENDDEVEDSDVDEVEEEEEEEEEDEKITRNWSVLKSTPQLRKSKEKPKKNGSISLEEAIEDSENLTDFLMDFGEEE >Manes.15G125300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10004316:10014442:-1 gene:Manes.15G125300.v8.1 transcript:Manes.15G125300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSGQLEPASGARASIPGQEYWPEGTADRVRAARAPEPTGTSTGSPSYGKRPGSRRKKHRTSAIAPESSEVTTDSPEALETLEETTEEPKDVSSDYVVYQTEPEEEQETGYDLDKKLGHPHPFIDPKVKKPIEGTLTSEESWWNWRKPEKEQWSRWQRRKPDVETVFLKAMAETGQVKLYGETPTLTECALYRARKHLFKEERLRVEQERLERIGPIAYYSEWVEAWKRDTSREAVQKHFEETGEDENTQLIEMFSHQTDREYRIMMGTDIRIRRDPLAMRMREDLIKQIWGGDPVYPTINYIQDPNEIVDYRGPDFHEPTPNMLAFLKEHGKIVPREEFEKIMAKEKTEQLEMTDMDEAMAQAVDIGENDDEVEDSDVDEVEEEEEEEEEDEKITRNWSVLKSTPQLRKSKEKPKKNGSISLEEAIEDSENLTDFLMDFGEEE >Manes.08G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:658146:665341:-1 gene:Manes.08G004000.v8.1 transcript:Manes.08G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGLASHRRDPIKSSVGSVAAQKRRQNAVAVGKERRESLVRAKRLCRVGTSGDGDTPLDSDMMIDEEQSILEVQTVSAVEELKSAVAFQGKGAMQKKVGALRELRRLLSRSEFPPIEAALKAGAVPLLVQCLAFGSPDEQLLEAAWCLTNIAAGKPEETKALLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEDLRNVLLSQGALPPLARMMLPNKGSTVRTAAWALSNLIKGPGSKAATELIRVDGVLDAICRHLRKADEELATEVAWVVVYLSALSNVATNMLVKSDVLQLLIQRLATSNSLQLLIPVLRSLGNLIAGDSHSISAVLLPGREITDNIIEVLVKCLKSEHRVLKKEAAWVLSNIAAGSVEHKQFVYSSEAVPLLLRLLSTAPFDIRKEVAYVLGNLCVAPAEGNGKPDLILEHLVSLVGRGCLPGFIDLVRSVDIEAAKLGLQFIELVLRGMPNREGLKLVEREDGIEAMERFQFHENEDLRNMANGLVDRYFGEDYGVDE >Manes.02G095100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7437834:7440464:1 gene:Manes.02G095100.v8.1 transcript:Manes.02G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSTVLEKQQARLKWLQHSCMMTNPNDYSLQSDSMPHLHGFFDNGDNVHLERQPETFLGSSDFSIGGSGLVANDKMGLVRTDVAAHSTEIYYCLSRTSSCQVGIELGEAARMEEDVALMEGTQSDNQRDSSNKRKAEYFAAEEFKGQVEVQSKVKEKTSTEISADSCKKNHKTSEVRKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQELVPGCNKITGRAGLLDEIINYIQSLQRQVEFLSMKLAAFAPRQELNADNFSGKEYLASFPTATISPGVINMSQPEHNLVQEEAGANNPTEAAPERTASSSGFIHELCLDSSCFSQVQPFWKPDSQDLHNMGFHKG >Manes.02G011100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1136784:1137260:1 gene:Manes.02G011100.v8.1 transcript:Manes.02G011100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRKPELYFVFMNYDPEYERLRADRTKRGAYELDLYLSRKHDQLLANILEHGSYNKTLSLVIVDGFAVEITEDQANLLRSADGVRVVEKNQEVA >Manes.02G011100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1136784:1137177:1 gene:Manes.02G011100.v8.1 transcript:Manes.02G011100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRKPELYFVFMNYDPEYERLRADRTKRGAYELDLYLSRKHDQLLANILEHGSYNKTLSLVIVDGFAVEITEDQVISLSLSLSLSLPKSVTLLIYRL >Manes.09G023450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4734702:4760343:-1 gene:Manes.09G023450.v8.1 transcript:Manes.09G023450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKIHCAYRRFYKELRNENFDSAKIVMCIYKKLLISCKEQMPLFASGLLSIMDILLDQIRQDELQIIGCETLFDFVNNQKDGTYMFNLEGFIHKLCQLAQEVGEEKRAQGLRAAALQALSSMVWFMGEHTHISLEFDNIVSVVLENYEDPTKKSENLDTDKLGTQGRWVQEVRKNEGHVIHVNPSEVIRGVPSWRAIVNENGEVNVTADDARNPTFWSIVCLHNMAQLGKETTNIRRVLESLFRYFDNTNLWSPEYGLAFPVLKDMQSLMENSGQNTHVLLSTLIKHLDHKNVLKQPKMQLEIIEVTTSLAQHAKVESSVAIIGAVSDLMRHLRKSIHCSLDDVDLGADVKNWNKSYREAVDKCLVELSYKVGDAGPIVDAMAVMLENISTITVMSRTTISAVYRTAQIVAPIPNLLYQKKAFPETLFHHLIPAMVHPDHEVRLGAHRIFSVVLVPSSISPCQSSTNSEANKGLNLRTLSRTVSVFSSSAALFEKLRKEKTSFKENSHQDNQETVVEGEEIRSGMLDTLKLSYSRVYSVKNSPAHLTTVENPVSNKETEAGSLRLSSLQINLLLTSIWVQSISPLNTPENYEAIAHTYGLVILFSRAKNSSNESLIRSFQLAFSLRDIALNEGAPLPQSRHRSLFTLATSMILFSAKAYNIVPVVQCAKVVLTEKMVDPFLHLVDQKLKAVKAGSDHCTNFYGSKEDDDSALKFLSEIDITGDQSKEFLAAEIVKSLENFPDSELSIVHEQLLNEFLPDDVCQLGGQYMDALCKLDQGDKMVAIDEDVFVDSVEDEAKANKELVFQNPILLSVNQLLESVLDAAAHQVGRLSITAPDMPYKEMAHHCETLLMGKQKKISKVLSAQMKQESLISLSSENHGEEMKKDGYPFIDLSFTADSQRLSVATIPKQYATKHQHNPDFLRLPSSYPYDNFLKAAGC >Manes.09G023450.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4738170:4760343:-1 gene:Manes.09G023450.v8.1 transcript:Manes.09G023450.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSGVISRQVLPACGSLCFFCPAMRPRSRQPIKRYKKLIASIFPRNQGDGPNDRMIAKLCEYASKNPLRIPKIATSLEQRFYKELRNENFDSAKIVMCIYKKLLISCKEQMPLFASGLLSIMDILLDQIRQDELQIIGCETLFDFVNNQKDGTYMFNLEGFIHKLCQLAQEVGEEKRAQGLRAAALQALSSMVWFMGEHTHISLEFDNIVSVVLENYEDPTKKSENLDTDKLGTQGRWVQEVRKNEGHVIHVNPSEVIRGVPSWRAIVNENGEVNVTADDARNPTFWSIVCLHNMAQLGKETTNIRRVLESLFRYFDNTNLWSPEYGLAFPVLKDMQSLMENSGQNTHVLLSTLIKHLDHKNVLKQPKMQLEIIEVTTSLAQHAKVESSVAIIGAVSDLMRHLRKSIHCSLDDVDLGADVKNWNKSYREAVDKCLVELSYKVGDAGPIVDAMAVMLENISTITVMSRTTISAVYRTAQIVAPIPNLLYQKKAFPETLFHHLIPAMVHPDHEVRLGAHRIFSVVLVPSSISPCQSSTNSEANKGLNLRTLSRTVSVFSSSAALFEKLRKEKTSFKENSHQDNQETVVEGEEIRSGMLDTLKLSYSRVYSVKNSPAHLTTVENPVSNKETEAGSLRLSSLQINLLLTSIWVQSISPLNTPENYEAIAHTYGLVILFSRAKNSSNESLIRSFQLAFSLRDIALNEGDALPSFFHAMDCCANVGV >Manes.09G023450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4734702:4760343:-1 gene:Manes.09G023450.v8.1 transcript:Manes.09G023450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSGVISRQVLPACGSLCFFCPAMRPRSRQPIKRYKKLIASIFPRNQGDGPNDRMIAKLCEYASKNPLRIPKIATSLEQRFYKELRNENFDSAKIVMCIYKKLLISCKEQMPLFASGLLSIMDILLDQIRQDELQIIGCETLFDFVNNQKDGTYMFNLEGFIHKLCQLAQEVGEEKRAQGLRAAALQALSSMVWFMGEHTHISLEFDNIVSVVLENYEDPTKKSENLDTDKLGTQGRWVQEVRKNEGHVIHVNPSEVIRGVPSWRAIVNENGEVNVTADDARNPTFWSIVCLHNMAQLGKETTNIRRVLESLFRYFDNTNLWSPEYGLAFPVLKDMQSLMENSGQNTHVLLSTLIKHLDHKNVLKQPKMQLEIIEVTTSLAQHAKVESSVAIIGAVSDLMRHLRKSIHCSLDDVDLGADVKNWNKSYREAVDKCLVELSYKVGDAGPIVDAMAVMLENISTITVMSRTTISAVYRTAQIVAPIPNLLYQKKAFPETLFHHLIPAMVHPDHEVRLGAHRIFSVVLVPSSISPCQSSTNSEANKGLNLRTLSRTVSVFSSSAALFEKLRKEKTSFKENSHQDNQETVVEGEEIRSGMLDTLKLSYSRVYSVKNSPAHLTTVENPVSNKETEAGSLRLSSLQINLLLTSIWVQSISPLNTPENYEAIAHTYGLVILFSRAKNSSNESLIRSFQLAFSLRDIALNEGAPLPQSRHRSLFTLATSMILFSAKAYNIVPVVQCAKVVLTEKMVDPFLHLVDQKLKAVKAGSDHCTNFYGSKEDDDSALKFLSEIDITGDQSKEFLAAEIVKSLENFPDSELSIVHEQLLNEFLPDDVCQLGGQYMDALCKLDQGDKMVAIDEDVFVDSVEDEAKANKELVFQNPILLSVNQLLESVLDAAAHQVGRLSITAPDMPYKEMAHHCETLLMGKQKKISKVLSAQMKQESLISLSSENHGEEMKKDGYPFIDLSFTADSQRLSVATIPKQYATKHQHNPDFLRLPSSYPYDNFLKAAGC >Manes.10G058400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7982779:7984170:1 gene:Manes.10G058400.v8.1 transcript:Manes.10G058400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNSQLYLQNCYIIRQNEMLRKKAQQLNKENQALLSELKQKQKLSKGNSNQNANLDLNLSSTSTTNPMNLNKN >Manes.04G024700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2845246:2849951:1 gene:Manes.04G024700.v8.1 transcript:Manes.04G024700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLASGKFRPSLSDLCVSPHLRAVFANKSESPNKLSDSSFLFLHFSYLRLIDIQTMAPSMVNDNGSEPAQLLGPESASERTLYPYVTGTSVVALKYKDGILMAADMGASYGSTLRYKSVERIKSVGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGMVSMIGVNFEDNHVATGFGNHLARPILRDEWHENLSFEDGVKLLEKCMRVLLYRDRSAVNKLQIAKITEEGVTISQPYALKTFWGFSAFQNPTVGAEGSW >Manes.S029416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:624919:625731:-1 gene:Manes.S029416.v8.1 transcript:Manes.S029416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G126900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33468969:33471667:1 gene:Manes.13G126900.v8.1 transcript:Manes.13G126900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRASSKIQPPTYGNLITVLTIDGGGIRGIIPGVILAYLESKLQELDGEDARLADYFDVIAGTSTGGLVATMLAAPNEEGRPLFAAKDIVPFYLEHSPQIFPQRKGMLAWLLNLLKALTGPKYNGKYLHKLVRNLLKDTKLHQTLTNLVIPTFDIKKLQPTIFSSYKVTSHPILDALLSDICIATSAAPTILPAYSFKNQDSDGNVQEFNLIDGGVAASNPTLVAITEITKQTMKKNPDFFPIKPSDYDRYLVISLGTGSKIDGENYNANMASKWGVISWLYYKGYTPLIDCYSKASGNMVDYHNSVVFEALHSEDKYLRIDDDKLRGGLSSADLSTKKNLDDLVKVGESLLKSEISRVNLDTGHYEPVENAGTYEQALERFAQLLSVEKKLRESRSPHTKDSK >Manes.13G126900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33468969:33471667:1 gene:Manes.13G126900.v8.1 transcript:Manes.13G126900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRASSKIQPPTYGNLITVLTIDGGGIRGIIPGVILAYLESKLQELDGEDARLADYFDVIAGTSTGGLVATMLAAPNEEGRPLFAAKDIVPFYLEHSPQIFPQRKGMLAWLLNLLKALTGPKYNGKYLHKLVRNLLKDTKLHQTLTNLVIPTFDIKKLQPTIFSSYKVTSHPILDALLSDICIATSAAPTILPAYSFKNQDSDGNVQEFNLIDGGVAASNPTLVAITEITKQTMKKNPDFFPIKPSDYDRYLVISLGTGSKIDGENYNANMASKWGVISWLYYKGYTPLIDCYSKASGNMVDYHNSVVFEALHSEDKYLRIDDDKLRGGLSSADLSTKKNLDDLVKVGESLLKSEISRVNLDTGHYEPVENAGTYEQALERFAQLLSVEKKLRESRSPHTKDSK >Manes.S055816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1957767:1957934:1 gene:Manes.S055816.v8.1 transcript:Manes.S055816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.11G162500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32746387:32752078:1 gene:Manes.11G162500.v8.1 transcript:Manes.11G162500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSPSHFPSSPSTTFASNSSSCAPEATSLSCASPRQLPSIQGNGGGSGDTQEEEGSPVTFSDPDEDFVKAREKKQREQLSLLALVVTLFRKSLVACKSDRRELCAMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASQTVFGVSTESMQLSYDSRGNSVPMILLLMQRHLYAQGGLQAEGIFRINAENSQEEYVRDQLNRGVVPEGIDVHCLAGLIKAWFRELPTGVLDSLSPEQVMQCQTEEDCAELARRLPPIETALLDWAINLMADIVQQEHVNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLILRTLRVRKDSMVEPTPISRLEPFDENGHQSSSQSCVEATIKDNEKTAQTFTAEEPVVESSCNYSQSNVITDGENHRSIHLSTNLMLVLSMV >Manes.11G162500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32746387:32752078:1 gene:Manes.11G162500.v8.1 transcript:Manes.11G162500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSPSHFPSSPSTTFASNSSSCAPEATSLSCASPRQLPSIQGNGGGSGDTQEEEGSPVTFSDPDEDFVKAREKKQREQLSLLALVVTLFRKSLVACKSDRRELCAMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASQTVFGVSTESMQLSYDSRGNSVPMILLLMQRHLYAQGGLQAEGIFRINAENSQEEYVRDQLNRGVVPEGIDVHCLAGLIKAWFRELPTGVLDSLSPEQVMQCQTEEDCAELARRLPPIETALLDWAINLMADIVQQEHVNKMNARNIAMVFAPNMTQVGAYVVLLGFELKCYLEKEELSMADPLTALMYAVQVMNFLKTLILRTLRVRKDSMVEPTPISRLEPFDENGHQSSSQSCVEATIKDNEKTAQTFTAEEPVVESSCNYSQSNVITDGENHRYTISAEQLIAKGYQSCDAASQVDTFINESDAGIVNGLKIGVHARPGQSKVGQSSSSNLRKNPGKINRQQSILCMTMPIEKTAGLSNLRRIDSRMELIEAWR >Manes.11G162500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32746299:32752649:1 gene:Manes.11G162500.v8.1 transcript:Manes.11G162500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSPSHFPSSPSTTFASNSSSCAPEATSLSCASPRQLPSIQGNGGGSGDTQEEEGSPVTFSDPDEDFVKAREKKQREQLSLLALVVTLFRKSLVACKSDRRELCAMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASQTVFGVSTESMQLSYDSRGNSVPMILLLMQRHLYAQGGLQAEGIFRINAENSQEEYVRDQLNRGVVPEGIDVHCLAGLIKAWFRELPTGVLDSLSPEQVMQCQTEEDCAELARRLPPIETALLDWAINLMADIVQQEHVNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLILRTLRVRKDSMVEPTPISRLEPFDENGHQSSSQSCVEATIKDNEKTAQTFTAEEPVVESSCNYSQSNVITDGENHRYTISAEQLIAKGYQSCDAASQVDTFINESDAGIVNGLKIGVHARPGQSKVGQSSSSNLRKNPGKINRQQSILCMTMPIEKTAGLSNLRRIDSRMELIEAWR >Manes.03G105016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22855354:22855761:-1 gene:Manes.03G105016.v8.1 transcript:Manes.03G105016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNTKFYHLAAKVKKKRKLISALQDSNGQWVTDEASLENLVVQFYKGLFTNDLTYVLSNLEGIACRRIPEELRADLDKPYQKEEVARALFQMASFKTAGEDGFTAGFFQRA >Manes.04G011100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1465700:1467417:1 gene:Manes.04G011100.v8.1 transcript:Manes.04G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRIESTRTVQPIYEGNCPPPTSHCIPLSVFDKVTYNTHIAVIYAYRPPTPKNATIELGLQRALSEYREWAGRLGEDEKGNPVIFLNDKGVKLVEASVDGKLDQLMPLKPSPFLLSLHPSLKDVEELVQVQLTRFTCGSLVVGFTAHHLVADGHSTSNFLVAWGKASRGVDMSPIPLHDRTIFIPRKPPHFEFEHRGVEFKTKGLLKDCPNKYDDNFVDDIIVHKVHFTLDFLSKLKARASPPLNNLQNKPYSTFESLVAHLWRAVTRARGLGGFETTHVRISVNGRMRMNPRVSNEYFGNLVLWAFPTSRVKDLLREPLPYAAKLIHEAVAKVNNNYFKSFIDFATHKVEKEEDLVPTAEMNKTVLCPNLEVDSWLRFPFYDLDFGGGSPYIFMPSYFPTEGMMFLLPSFIGDGSIDAFVPLFQENLASFKEIIYSLD >Manes.11G092006.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15390664:15395344:-1 gene:Manes.11G092006.v8.1 transcript:Manes.11G092006.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVHLHLRLLHPHQPILISRRLSPPIATSHSNLFPISNPASIASVHFRSKPLINSITCMARPRRVKMVAKQIQRELSDMLLTDKVLQYAVLPESALGADRYLSSLTTISDVEVSADLQVVKVYVSVFGDDRGKEVAIAGLKSKAKYVRSELGRRMKLRLTPEIRFIEDESLERGSRVIAILDRIKAEKENGFDEDDDLSESSDSPQEDRDWEGDDPDEDIIYVK >Manes.11G092006.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15390664:15395344:-1 gene:Manes.11G092006.v8.1 transcript:Manes.11G092006.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVHLHLRLLHPHQPILISRRLSPPIATSHSNLFPISNPASIASVHFRSKPLINSITCMARPRRVKMVAKQIQRELSDMLLTDKVLQYAVLPESALGADRYLSSLTTISDVEVSADLQVVKVYVSVFGDDRGKEVAIAGLKSKAKYVRSELGRRMKLRLTPEIRFIEDESLERGSRVIAILDRIKAEKENGFDEDDDLSESSDSPQEDRDWEGDDPDEDIIYVK >Manes.11G092006.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15393345:15395344:-1 gene:Manes.11G092006.v8.1 transcript:Manes.11G092006.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVHLHLRLLHPHQPILISRRLSPPIATSHSNLFPISNPASIASVHFRSKPLINSITCMARPRRVKMVAKQIQRELSDMLLTDKVLQYAVLPESALGADRYLSSLTTISDVEVSADLQVVKVYVSVFGDDRGKEVAIAGLKSKAKYVRSELGRRMKLRLTPEIRFIEDESLERGSRVIAILDRIKAEKENGFDEDDDLSESSDSPQEDRDWEGDDPDEDIIYVK >Manes.12G113350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31355365:31356537:-1 gene:Manes.12G113350.v8.1 transcript:Manes.12G113350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSHPVLEKELLDSFVDVDKRTCGNLVFAAKYHMLQEKALVHICRDDCRTIGRHDKDFRKTQAPCPYSACNRLGFLIRHFAGCRWRISEGCVHCKRMCSC >Manes.14G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:437180:444957:-1 gene:Manes.14G008200.v8.1 transcript:Manes.14G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDTLWEFQPKKLGEGDLVTGGDLYATVFENSLMQHHVTLPADAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSSALESFYDQFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERAAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFSKLHEDLTAGFRALEDETR >Manes.05G090700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8277824:8281818:1 gene:Manes.05G090700.v8.1 transcript:Manes.05G090700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMMVGNPASLLTISNASAANFIYFRIQVRNETPKTMPHFLYFSNSSSTASDCLHFKSSCSLSSPQQSQRTHFSFCSLLRKRDDILCVNAIKKQRNGDPAHMEMSDLDEMDYEFDDFDDESEDDEDGEMFVPFGKMKKWLENKPSGFGEGKVYDTSIEDKMLEEMEQSRKAQAANINKLKNDSILSSPQKDNQNKKVTDVVASGFRVRIVNLPKKKNIYRDLKSAFKEVPGIMNIIPAVSGNKKTKDPICKGFAFVDFKSEEDANRFLQQFSGQSVAFGRTQKQIKCMMTNSHSSNSSDDESADSFYTGSHLVIPTPEEDKRSSSDGDDSSLEETSREVSGNTDELVTEELQDTVDNLESSSVSELDSSDSTESKLESKPSSFSSKKQYKGGATKKKTVVKERKEKVPKMEIPGSGKRLKIKEKAVLTSVFSKYGLQSSLSSKEGS >Manes.05G090700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8277824:8281818:1 gene:Manes.05G090700.v8.1 transcript:Manes.05G090700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMMVGNPASLLTISNASAANFIYFRIQVRNETPKTMPHFLYFSNSSSTASDCLHFKSSCSLSSPQQSQRTHFSFCSLLRKRDDILCVNAIKKQRNGDPAHMEMSDLDEMDYEFDDFDDESEDDEDGEMFVPFGKMKKWLENKPSGFGEGKVYDTSIEDKMLEEMEQSRKAQAANINKLKNDSILSSPQKDNQNKKVTDVVASGFRVRIVNLPKKKNIYRDLKSAFKEVPGIMNIIPAVSGNKKTKDPICKGFAFVDFKSEEDANRFLQQFSGQSVAFGRTQKQIKCMMTNSHSSNSSDDESADSFYTGSHLVIPTPEEDKRSSSDGDDSSLEETSREVSGNTDELVTEELQDTVDNLESSSVSELDSSDSTESKLESKPSSFSSKKQYKGGATKKKTVVKERKEKVPKMEIPGSGKRLKIKEKAVLTSVFSKYGLQSSLSSKEGS >Manes.13G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25594585:25600980:1 gene:Manes.13G075400.v8.1 transcript:Manes.13G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPNTCHKVIDKAVPALIDLADITALGDHKKLGDSIVNVLQDCIQSLGTGRNSVSNRTKELIEELLNSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPLGRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPELCLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHCEGDAVDVYGQDTDDSEWETASESDIGNDGRDEMGNDDDDDSEWKNEDERKDKYDKPSLKEIKHVYNVQLAEDES >Manes.12G103900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27953538:27956084:-1 gene:Manes.12G103900.v8.1 transcript:Manes.12G103900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFLIRSIQRGKMSDQKTGLMSDQLSKSTSIFGLRLWVVVGVCIGVAFVLVLFLITLWLASKRSKMCKNVDKSKILVVSKEIPEIRVEPIKPSRVQCQTHPSPDTETAGNERQALLVTPLEAESPVGYHGVQIEIGKGHLISFHRSSGEAPRGGGGRGRGSGIDQIAVVGPDVSHLGWGRWYTLRELEVSTNGFADENVIGEGGYGIVYHGVLEDNAQVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCVEGSHRMLVYEYVNNGNLEQWLHGDIGPWSPLTWEIRMNIILGTAKGLTYLHEGLDPKVVHRDVKSSNILLDKLWNPKISDFGLAKLLYSGRSYIATRVLGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPDEVNLVEWLKKKVTERNTEGVLDPKLSEKPSSRALKRALLVALRCLDPNAQKRPKMGHVVHMLEADEFPLREDRRGGRELRRTLSYNAKDMENPITESSNRVSARGNSTEDI >Manes.12G103900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27953393:27956236:-1 gene:Manes.12G103900.v8.1 transcript:Manes.12G103900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKTGLMSDQLSKSTSIFGLRLWVVVGVCIGVAFVLVLFLITLWLASKRSKMCKNVDKSKILVVSKEIPEIRVEPIKPSRVQCQTHPSPDTETAGNERQALLVTPLEAESPVGYHGVQIEIGKGHLISFHRSSGEAPRGGGGRGRGSGIDQIAVVGPDVSHLGWGRWYTLRELEVSTNGFADENVIGEGGYGIVYHGVLEDNAQVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCVEGSHRMLVYEYVNNGNLEQWLHGDIGPWSPLTWEIRMNIILGTAKGLTYLHEGLDPKVVHRDVKSSNILLDKLWNPKISDFGLAKLLYSGRSYIATRVLGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPDEVNLVEWLKKKVTERNTEGVLDPKLSEKPSSRALKRALLVALRCLDPNAQKRPKMGHVVHMLEADEFPLREDRRGGRELRRTLSYNAKDMENPITESSNRVSARGNSTEDI >Manes.12G103900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27953393:27956319:-1 gene:Manes.12G103900.v8.1 transcript:Manes.12G103900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKTGLMSDQLSKSTSIFGLRLWVVVGVCIGVAFVLVLFLITLWLASKRSKMCKNVDKSKILVVSKEIPEIRVEPIKPSRVQCQTHPSPDTETAGNERQALLVTPLEAESPVGYHGVQIEIGKGHLISFHRSSGEAPRGGGGRGRGSGIDQIAVVGPDVSHLGWGRWYTLRELEVSTNGFADENVIGEGGYGIVYHGVLEDNAQVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCVEGSHRMLVYEYVNNGNLEQWLHGDIGPWSPLTWEIRMNIILGTAKGLTYLHEGLDPKVVHRDVKSSNILLDKLWNPKISDFGLAKLLYSGRSYIATRVLGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPDEVNLVEWLKKKVTERNTEGVLDPKLSEKPSSRALKRALLVALRCLDPNAQKRPKMGHVVHMLEADEFPLREDRRGGRELRRTLSYNAKDMENPITESSNRVSARGNSTEDI >Manes.12G103900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27953393:27956236:-1 gene:Manes.12G103900.v8.1 transcript:Manes.12G103900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKTGLMSDQLSKSTSIFGLRLWVVVGVCIGVAFVLVLFLITLWLASKRSKMCKNVDKSKILVVSKEIPEIRVEPIKPSRVQCQTHPSPDTETAGNERQALLVTPLEAESPVGYHGVQIEIGKGHLISFHRSSGEAPRGGGGRGRGSGIDQIAVVGPDVSHLGWGRWYTLRELEVSTNGFADENVIGEGGYGIVYHGVLEDNAQVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCVEGSHRMLVYEYVNNGNLEQWLHGDIGPWSPLTWEIRMNIILGTAKGLTYLHEGLDPKVVHRDVKSSNILLDKLWNPKISDFGLAKLLYSGRSYIATRVLGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPDEVNLVEWLKKKVTERNTEGVLDPKLSEKPSSRALKRALLVALRCLDPNAQKRPKMGHVVHMLEADEFPLRESHDYRTAEVEGN >Manes.12G103900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27953393:27956319:-1 gene:Manes.12G103900.v8.1 transcript:Manes.12G103900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKTGLMSDQLSKSTSIFGLRLWVVVGVCIGVAFVLVLFLITLWLASKRSKMCKNVDKSKILVVSKEIPEIRVEPIKPSRVQCQTHPSPDTETAGNERQALLVTPLEAESPVGYHGVQIEIGKGHLISFHRSSGEAPRGGGGRGRGSGIDQIAVVGPDVSHLGWGRWYTLRELEVSTNGFADENVIGEGGYGIVYHGVLEDNAQVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCVEGSHRMLVYEYVNNGNLEQWLHGDIGPWSPLTWEIRMNIILGTAKGLTYLHEGLDPKVVHRDVKSSNILLDKLWNPKISDFGLAKLLYSGRSYIATRVLGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPDEVNLVEWLKKKVTERNTEGVLDPKLSEKPSSRALKRALLVALRCLDPNAQKRPKMGHVVHMLEADEFPLREDRRGGRELRRTLSYNAKDMENPITESSNRVSARGNSTEDI >Manes.12G103900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27953393:27956319:-1 gene:Manes.12G103900.v8.1 transcript:Manes.12G103900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKTGLMSDQLSKSTSIFGLRLWVVVGVCIGVAFVLVLFLITLWLASKRSKMCKNVDKSKILVVSKEIPEIRVEPIKPSRVQCQTHPSPDTETAGNERQALLVTPLEAESPVGYHGVQIEIGKGHLISFHRSSGEAPRGGGGRGRGSGIDQIAVVGPDVSHLGWGRWYTLRELEVSTNGFADENVIGEGGYGIVYHGVLEDNAQVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCVEGSHRMLVYEYVNNGNLEQWLHGDIGPWSPLTWEIRMNIILGTAKGLTYLHEGLDPKVVHRDVKSSNILLDKLWNPKISDFGLAKLLYSGRSYIATRVLGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPDEVNLVEWLKKKVTERNTEGVLDPKLSEKPSSRALKRALLVALRCLDPNAQKRPKMGHVVHMLEADEFPLRESHDYRTAEVEGN >Manes.12G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27953393:27956319:-1 gene:Manes.12G103900.v8.1 transcript:Manes.12G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKTGLMSDQLSKSTSIFGLRLWVVVGVCIGVAFVLVLFLITLWLASKRSKMCKNVDKSKILVVSKEIPEIRVEPIKPSRVQCQTHPSPDTETAGNERQALLVTPLEAESPVGYHGVQIEIGKGHLISFHRSSGEAPRGGGGRGRGSGIDQIAVVGPDVSHLGWGRWYTLRELEVSTNGFADENVIGEGGYGIVYHGVLEDNAQVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGFCVEGSHRMLVYEYVNNGNLEQWLHGDIGPWSPLTWEIRMNIILGTAKGLTYLHEGLDPKVVHRDVKSSNILLDKLWNPKISDFGLAKLLYSGRSYIATRVLGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPDEVNLVEWLKKKVTERNTEGVLDPKLSEKPSSRALKRALLVALRCLDPNAQKRPKMGHVVHMLEADEFPLRESHDYRTAEVEGN >Manes.17G119301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33199403:33201065:1 gene:Manes.17G119301.v8.1 transcript:Manes.17G119301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVLFVVASFLLLTDAKASYEEFSEKDIYSKKLVAPSPSPVPPAKTPSPAPSVKKPTPSPPVVKPPTPAPYPPVVKPPTPTPPVVKPPTPIPPVVKPPSPSPPVVKPPTPTPPVVKPPTPTPPVVKPPTPTPPVVKPPTPTPPVVKPPTPTPPVVKPPTPYPPVVKPPTPVPPVKPPTGTPMPPVRTKADCIPLCAERCKLHSRKNVCARACVTCCDRCKCVPPGTYGNREKCGKCYTNMTTRGNRPKCP >Manes.18G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9786325:9788297:-1 gene:Manes.18G102500.v8.1 transcript:Manes.18G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKEGKKKKQIIIEPPPPISWQEKRDLADKEEGVLEKEIEELRQWTDMIAAMNDEQLRDYLKNRPEELKTVKMQKSKPRQRVQQPRKSKSSGSSSTGIMASVWKFHKENEEISPN >Manes.02G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7267284:7276036:1 gene:Manes.02G092800.v8.1 transcript:Manes.02G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSEMKNVSADSPTSVLEEEEKCKDKTGDTLENDILLDAKNGDVSLISRAMTEEEEKLLEARLKQEAKEEGQPEVAPHLNSTQFTKLDELLTQTQLYSEFLLEKMDEITCNGVQQESEPAVRKRGRGPKRKAAAQYNSRKAKRAVAAMLTRSKETENHEDTSLSEEESLEKEQSELVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGLHGPYLVVAPLSTLSNWVNEFSRFAPSVNAIIYHGDMKQRNEIRSKYMPRSIGPKFPIIVTSYEIALSDSKKYLRHYNWKYLVVDEGHRLKNSKCKLLKELKRLPIENKLLLTGTPLQNNLAELWTLLNFILPDIFQSHDEFESWFDLSGKTNGEALKEELEEKRRAQVVAKLHAILRPFLLRRMKADVEQMLPRKKEIILYATLTEHQKNFQDHLINKTLEGYLREKVDTGRGMKGKLNNLMIQLRKNCNHPDLLESAFDGSWFYPPVEQIVEQCGKFRLLDKLLNRLFELEHKVLIFSQWTKILDIMDYYFSERGYEVCRIDGSVKLDERKRQIQEFNDVNSNYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRILKRAFSKLKLEHVVIGKGQFHQERMKSNAMVDVEEEDILGLLRDEETAEDKLIQTDISDEDLERVLDRSDLIGCPVDDKENDAPVGSFPLKGPGWEVVIPTATGGMLSTLSS >Manes.11G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30643319:30647486:1 gene:Manes.11G140900.v8.1 transcript:Manes.11G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTTTTTSRAADDDDLHSLLSECRRELMEAQSLESDLDFAFRLQLEEAVNASLTLLPSSSKSPPRSSPSVIIIPDKDDTVKSSLPSLQSEEISKFEQELNDRRQSEFEMRRMREDLDRRMHDHKVAREILRIPDDEWLEWGDNFEKPFGEGSSRSMDNNGSIFKLYFKGLVSEEKVSGQKVILAGIGVAICDPIDNLVFEVRKPLIGNGMSRQAAEAKALIEGLNAALALELKKIVVYCDYYPLYQFVIGRWPPKQRKIATLVNQVSLLQRKFTYCNPTLVARNDIKFAFKLARDAIVSQITMPAESSRGKTLQETCVICLEDTDAERIFSVDRCGHRYCISCMKQHVEVKLLHGKVPKCPHDGCNLELSVDSCRKFLTSKLIETMNQRIKEASIPVTEKIYCPYPKCSALMSKKEVSEYAENIFAGSQRSAARKCVKCHGLFCINCKVPWHSNISCTSYKMLNPNQPADDVKLKSLATRKLWRQCVKCNHMIELAEGCYHMTCRCGFEFCYNCGAEWKEKKATCHCPLWDEDNILYDDDGDFDEEEDFELDDDDDDDYDFEYNEYYESESDSDYYF >Manes.11G140900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30643329:30647482:1 gene:Manes.11G140900.v8.1 transcript:Manes.11G140900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTTTTTSRAADDDDLHSLLSECRRELMEAQSLESDLDFAFRLQLEEAVNASLTLLPSSSKSPPRSSPSVIIIPDKDDTVKSSLPSLQSEEISKFEQELNDRRQSEFEMRRMREDLDRRMHDHKVAREILRIPDDEWLEWGDNFEKPFGEGSSRSMDNNGSIFKLYFKGLVSEEKVSGQKVILAGIGVAICDPIDNLVFEVRKPLIGNGMSRQAAEAKALIEGLNAALALELKKIVVYCDYYPLYQFVIGRWPPKQRKIATLVNQVSLLQRKFTYCNPTLVARNDIKFAFKLARDAIVSQITMPAESSRGKTLQETCVICLEDTDAERIFSVDRCGHRYCISCMKQHVEVKLLHGKVPKCPHDGCNLELSVDSCRKFLTSKLIETMNQRIKEASIPVTEKIYCPYPKCSALMSKKEVSEYAENIFAGSQRSAARKCVKCHGLFCINCKVPWHSNISCTSYKMLNPNQPADDVKLKSLATRKLWRQCVKCNHMIELAEGCYHMTCRCGFEFCYNCGAEWKEKKATCHCPLWDEDNILYDDDGDFDEEEDFELDDDDDDDYDFEYNEYYESESDSDYYF >Manes.10G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3282519:3288088:1 gene:Manes.10G032500.v8.1 transcript:Manes.10G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHYKNSDIFYNFFGGNYRNSRRMAKEATNGNHNSASKPPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPDVKITMVENTPDDPRQRKPDITKARELLGWEPKIKLRDGLPLMEDDFRQRLGVPRKN >Manes.10G032500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3282519:3288088:1 gene:Manes.10G032500.v8.1 transcript:Manes.10G032500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEATNGNHNSASKPPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPDVKITMVENTPDDPRQRKPDITKARELLGWEPKIKLRDGLPLMEDDFRQRLGVPRKN >Manes.10G032500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3282519:3288088:1 gene:Manes.10G032500.v8.1 transcript:Manes.10G032500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEATNGNHNSASKPPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPDVKITMVENTPDDPRQRKPDITKARELLGWEPKIKLRDGLPLMEDDFRQRLGVPRKN >Manes.10G032500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3282517:3288199:1 gene:Manes.10G032500.v8.1 transcript:Manes.10G032500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEATNGNHNSASKPPPTPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPDVKITMVENTPDDPRQRKPDITKARELLGWEPKIKLRDGLPLMEDDFRQRLGVPRKN >Manes.09G090300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25948313:25949074:1 gene:Manes.09G090300.v8.1 transcript:Manes.09G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRTRDNYRNLESLDMAKCLILLSQTLQNNNPIKPFNTHHVDDDHLFECKTCNKKFPSFQALGGHRASHKKPKIMGDNTNNDDNNKPISVKLQISSAAKPKMHECSICGMEFALGQALGGHMRKHRAAITQGFGSAHAHPVVSKLPVMRRSNSIKRVFGLDLNLTPLENDLEYLFGKMAPKVDPLI >Manes.16G105900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31146834:31148938:1 gene:Manes.16G105900.v8.1 transcript:Manes.16G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGERHRPNPTIHVPPWALLDDTNSDANPSSPLTSHSGNAAIASDYNPCYLQEALAALQRYLPSNEPDLDSDSELLGLEPGSPVDAYSCDHFRMFEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGNCKKGDACEFAHGVFECWLHPARYRTQPCKDGTNCRRRVCFFAHTADQLRVLPQQSPRSLNSVDSYDGSPLRQAREAYCAKSVPFLASPGSISPPATPPLESPPMSPITQSLSRSLGSNSINEIVVSLRNLQLGKVNSMPPSCNVHVGGSGFGSPRGSMIRSGFCSLPSTPSGGPTRSGLRYRDVWENVCEEEPAMERVESGRDLRTKMFEKLSKENSLGRVDPDPDQSSKAPDVGWVSELLK >Manes.08G024800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2471433:2479150:1 gene:Manes.08G024800.v8.1 transcript:Manes.08G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLSPYCTSSDTRKPMGMLTVLGGRVSVENHPSRLGCLRMSDDKNRYPCVKKSNISYSKCSVRCTNVSPYYNDDSFLDLHPQISMLRGEGNNMATTSRKDTPSGIITDSLGEDATPSNCNEAKIKVIGVGGGGSNAVNRMIESAMKGVEFWIVNTDIQAMKMSPVFPENRLQIGQELTRGLGAGGNPDIGMNAAKESKEAIEEALYGSDMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMASAGSSLMGIGTATGKARARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRPLQASQLSQGDVAFGINRRPSSFTEGGSVEIPEFLKKKGRSRYPRA >Manes.14G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3245856:3250435:-1 gene:Manes.14G037000.v8.1 transcript:Manes.14G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPFFLSLTFSSSYLLQTFLLLFYATAQPLQLRALLSLRLSLRDPLDTFHGWDPTRTSSKPKGPVWCSWSGIKCDPRTAQITTLDLSSRSLSGVIPDEIRHLNSLIHLNLSLNAFTGPLPPVIFELTQLRTIDISHNNFNSTFPPGISKLKFLRVFHAYSNNFTGPLPKEFSSLRFLERLNLTGSFFQGEIPPEYGNFQRLKFLGLAGNLLEGPLPPQLGSLSQLERMEIGYNNMLTGRVPEEFALLSNLLYLDISACSLSGNLTQELGNLTKLEMLLLFQNQFTGEIPVCFSNLKALKVLDLSDNQLKGKIPVELSSLKELTRVSLMRNQFSGEIPEGIGELPNLEALYLWNNSLTGILPQKLGSNGKLQWLDVSSNSLTGPIPPNICQGKKLLKLILFSNKFVGILPESLANCTSLSRVRIQDNQLNGSIPYGFGLLRNLTYVDLSKNNFTGDIPHDLHNAPQLQYLNISENSFHSNLPSNIWSTPSLQIFSASSSKLTGKIPDFIGCSSLYKIELQDNSFSGGIPWDIGHCEKLINLNLGGNSLTGIIPWEISTLPAITDVDLSHNFLTGSIPSNFENCTTLENFNVSYNRLTGPIPGSGLFPNLHPTSFSGNDGLCGRVLAKPCATDTLSVGDVEVHRREQPKKTAGAIVWIMAIAFGIGLFVLVAGTRCFHANYNRKFSDDREIGPWKLTAFQRLNFTADDVLECLSMTDKIIGMGSTGTVYKAEMPGGEIIAVKKLWGKNKENIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLEDLLHGKNKGENLVADWFTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSFGVMLMEIISGKRSVDAEFGDGNSIVDWIRSKIKSKDSINDILDKNAGACIASVREEMMQMLRIALLCTSRNPADRPSMRDVVLMLQEAKPKRKLPGCVVSGGDNLVTAGGAIAQKPAVECLYD >Manes.13G023600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3280362:3280652:-1 gene:Manes.13G023600.v8.1 transcript:Manes.13G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGHIISKTNNTKVEIPSSDHDNISSDVVAKCQVTMPQLTKNNCLCSPTTHAGSFRCRLHRGPNLRRTKGIDSALSLRDSASKVNATADDPAATH >Manes.01G174800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35590060:35597617:-1 gene:Manes.01G174800.v8.1 transcript:Manes.01G174800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKGIADEGKNEPRVIDEKKRKGVISRIWNGIFRLHGDNFEKRLQSISKKEAAVLSRMKRRSLTWRKMTRHLIIFSVIFEVIAVGYAIMTTRSMDLNWKMRAFRVMPMFLLPGISCLAYSAFVSFRTMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDEDKLNSATRMSNDVEFVQASGLRNRKPGHTRSSSAGSSPVLHRDEGMLCSAQSESLQTAQEKPLVVEHQNPQGSALQEGGWIARLAALLVGEDPTESYALICGNCHMHNGLVRKEDFPHITYYCPHCRALNQPKHSEGHVSGFNSPNLGNMKGEDNVDVVNSASNLLGESILTGSSPTRDDSEKEQERGDIGSGELAS >Manes.01G174800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35590060:35597617:-1 gene:Manes.01G174800.v8.1 transcript:Manes.01G174800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKGIADEGKNEPRVIDEKKRKGVISRIWNGIFRLHGDNFEKRLQSISKKEAAVLSRMKRRSLTWRKMTRHLIIFSVIFEVIAVGYAIMTTRSMDLNWKMRAFRVMPMFLLPGISCLAYSAFVSFRTMCDRRDQKTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDEDKLNSATRMSNDVEFVQASGLRNRKPGHTRSSSAGSSPVLHRDEGMLCSAQSESLQTAQEKPLVVEHQNPQGSALQEGGWIARLAALLVGEDPTESYALICGNCHMHNGLVRKEDFPHITYYCPHCRALNQPKHSEGHVSGFNSPNLGNMKGEDNVDVVNSASNLLGESILTGSSPTRDDSEKEQERGDIGSGELAS >Manes.07G009976.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1307009:1309981:-1 gene:Manes.07G009976.v8.1 transcript:Manes.07G009976.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKLSLQLTGMFIFFLISLLALMKPATADGADDIPTDFNRSYFPDDFLFGTATSAYQIEGAANISGKGPSVWDTFTHEYPERIRDKSNGDIAVDFYHRYQEDIQNVKNMGFNAFRFSIAWSRVIPSGRRREGVNEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSRNIVRDYREYADLLFERFGDRVKHWMTFNEPWALSGFAYDDGLFAPGRCSSWVNNQCRAGNSATEPYIVAHNLLLSHSAAVHIYRKKYQKTQNGKIGITLFTFWFEPLSNRAADIKASKTAMDFMFGLWMDPLTYGRYPRTVQDLVGDKLLSFTEEETQLLKGSYDFIGLQYYTSYYAKPNASIDSDRIRYKTDSNISETPYDYEGNLIGPQAYSPWFYIYPKGIRHLLNYTKDRYNNPVIYITENGVDNLNDENQPIEEALKDEFRVDYYRKHIWNTLGSLKEYNVNIKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKESAIWFTKFLNPSN >Manes.09G151600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34886610:34890671:1 gene:Manes.09G151600.v8.1 transcript:Manes.09G151600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKTWALIVELCFLSGFTFTEVNSVKASSMKVISCHFSADGKWLATAGHDKKAVLWYTDGLKPKSIFEGHSSLITDVCFSPVLPYLATSSFDKTIRVWDAGSPHDSLHTFRGHSTCVMSVDFHSNRDDLICSCDGNGEIRYWSITNSNCAGVFKGGTAQVRFQPRLGRYLAAAEDFSVSILDVETQASRQLLQGHVKPIHFLCWDPSGEYLASVSEDSVRIWRVGSGDEGECIHELRSKGNKFHSCVFHPTNPSLLIIGCYQYLELWNMTENKSRSVPAHEGLIASLAVSTATRMVASASHDKFVKLWI >Manes.09G151600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34886610:34890671:1 gene:Manes.09G151600.v8.1 transcript:Manes.09G151600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNGFTFTEVNSVKASSMKVISCHFSADGKWLATAGHDKKAVLWYTDGLKPKSIFEGHSSLITDVCFSPVLPYLATSSFDKTIRVWDAGSPHDSLHTFRGHSTCVMSVDFHSNRDDLICSCDGNGEIRYWSITNSNCAGVFKGGTAQVRFQPRLGRYLAAAEDFSVSILDVETQASRQLLQGHVKPIHFLCWDPSGEYLASVSEDSVRIWRVGSGDEGECIHELRSKGNKFHSCVFHPTNPSLLIIGCYQYLELWNMTENKSRSVPAHEGLIASLAVSTATRMVASASHDKFVKLWI >Manes.09G151600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34886610:34890671:1 gene:Manes.09G151600.v8.1 transcript:Manes.09G151600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNGFTFTEVNSVKASSMKVISCHFSADGKWLATAGHDKKAVLWYTDGLKPKSIFEGHSSLITDVCFSPVLPYLATSSFDKTIRVWDAGSPHDSLHTFRGHSTCVMSVDFHSNRDDLICSCDGNGEIRYWSITNSNCAGVFKGGTAQVRFQPRLGRYLAAAEDFSVSILDVETQASRQLLQGHVKPIHFLCWDPSGEYLASVSEDSVRIWRVGSGDEGECIHELRSKGNKFHSCVFHPTNPSLLIIGCYQASWNLHLLMTLVITIKFLNFLLCKYVAGVCYCF >Manes.09G151600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34886610:34890671:1 gene:Manes.09G151600.v8.1 transcript:Manes.09G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLLLLAMIKSVNTWEKEGKERLLPMFLLDHAKLKQNVILFACFSVMQAVLWYTDGLKPKSIFEGHSSLITDVCFSPVLPYLATSSFDKTIRVWDAGSPHDSLHTFRGHSTCVMSVDFHSNRDDLICSCDGNGEIRYWSITNSNCAGVFKGGTAQVRFQPRLGRYLAAAEDFSVSILDVETQASRQLLQGHVKPIHFLCWDPSGEYLASVSEDSVRIWRVGSGDEGECIHELRSKGNKFHSCVFHPTNPSLLIIGCYQYLELWNMTENKSRSVPAHEGLIASLAVSTATRMVASASHDKFVKLWI >Manes.03G078600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21227155:21233582:1 gene:Manes.03G078600.v8.1 transcript:Manes.03G078600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHTPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIRVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETMGIDLEVTKVVPAVTEEIKEALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKDAMRKAEAAGNKDCPVKIKLVAPPLYVLTTQTLDKEQGISVLNKAIAACTEAIEQHKGKLVVKEPPRAVSERDDKLLAEHMAKLRNDNEEVSGDEDSEEEEDTGMGDVDVENAGPGITE >Manes.03G078600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21227155:21233582:1 gene:Manes.03G078600.v8.1 transcript:Manes.03G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHTPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIRVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETMGIDLEDLYVHIGWPLYRKYGHAFEAFKIIVTDPDSVLNLLTREVKEIGPDGQEVTKVVPAVTEEIKEALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKDAMRKAEAAGNKDCPVKIKLVAPPLYVLTTQTLDKEQGISVLNKAIAACTEAIEQHKGKLVVKEPPRAVSERDDKLLAEHMAKLRNDNEEVSGDEDSEEEEDTGMGDVDVENAGPGITE >Manes.S047516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1510435:1510815:1 gene:Manes.S047516.v8.1 transcript:Manes.S047516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.14G027972.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:100663:102034:-1 gene:Manes.14G027972.v8.1 transcript:Manes.14G027972.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLKNVIQRLESDLVNQGHALNQLNGGYIMELQRIAVRVLSQTTSASNCERNWSTFSLIHTKTRNRLRYQKLHALVFVHYNMRLKLRNLSKKSQHELEKSYNPINLDYIFEEDDPLNPWLEERENPVLDGEENPWLEEDEPITTQQVNAPSHGHNIGGSGDAEPEDSFILSSSSDDDDDGSGQGGRGEGHGATSSLQSHDDPSSYQRHSPSPSPSPAPTPAPTLQHTYHRSRGSGGSSDKGKGVAHGECSMDTDNYGYGTYGTSESSMEATSTSDYGYRGNFQWKYSNPYPYQPPPSYSDLSLSEQSFSHTQTQSNPSDQFGMGSFFSFDPSQYYQYHQDQSSQSQDEGGGSTQEPARRSFWW >Manes.16G120000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32320676:32322160:1 gene:Manes.16G120000.v8.1 transcript:Manes.16G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGEPRPGDRGEHYGSFGGRGGSSFGFTGPDVRPGDWYCTFGNCGAHNFASRSSCFKCGASKDESSAGFDGDMSRMRGYGFGGGGSTSRSGWKSGDWICTRPGCNEHNFASRTECYRCSAPRDLSASKSSY >Manes.16G120000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32320451:32322571:1 gene:Manes.16G120000.v8.1 transcript:Manes.16G120000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGEPRPGDRGEHYGSFGGRGGSSFGFTGPDVRPGDWYCTFGNCGAHNFASRSSCFKCGASKDESSAGFDGDMSRMRGYGFGGGGSTSRSGWKSGDWICTRPGCNEHNFASRTECYRCSAPRDLSASKSSY >Manes.16G120000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32320451:32322571:1 gene:Manes.16G120000.v8.1 transcript:Manes.16G120000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGEPRPGDRGEHYGSFGGRGGSSFGFTGPDVRPGDWYCTFGNCGAHNFASRSSCFKCGASKDESSAGFDGDMSRMRGYGFGGGGSTSRSGWKSGDWICTRPGCNEHNFASRTECYRCSAPRDLSASKSSY >Manes.16G120000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32320676:32322453:1 gene:Manes.16G120000.v8.1 transcript:Manes.16G120000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGEPRPGDRGEHYGSFGGRGGSSFGFTGPDVRPGDWYCTFGNCGAHNFASRSSCFKCGASKDESSAGFDGDMSRMRGYGFGGGGSTSRSGWKSGDWICTRPGCNEHNFASRTECYRCSAPRDLSASKSSY >Manes.01G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4074104:4087685:-1 gene:Manes.01G015800.v8.1 transcript:Manes.01G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGLSTGNNGGQQQRLGITEPISLGGPTEYDEIKTRELEKFLQDVGLYESREEAVSREEVLGRLDQIVKNWVKAISRSKCLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREDDFFGELYRMLLEMPEVTELHPVPDAHVPVMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIKNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLFPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEKSLGLQVWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTEEFQRGNEICEAMEANKADWDTLFEPFSFFEAYKNYLQIDINAENEDDLRNWKGWVESRLRQLTLKIERHTFNMLQCHPHPGEFTDKSRPLHCSFFMGLQRKQGVPASEGEQFDIRLTVEEFKHSVNMYTLWKPGMEIHVTHVKRRNIPSFVFPGGIRPPRPSKATWDSRRSSAEKSSECKGVSDGLDDGRKRKRMDANGANTLKGANSFAASSLNGEDNKGSPSVGNVSVGGVLASTNVIGEPREGKTVCNITDSINNSRSLGGNLAQNGELSSQNKDLSASNDAPFSKEAEKLAIEKIMSGPYVTNHTLPQELDDLEDDFECRNQVKDLGANAKDSTVESTLATMTATSFANAPESPPLTSSSGAGPSTLCPSGGLEELEPAELVAPLSNGFRSAASVAQPKPLIRLNFTSLGKASGRST >Manes.01G015800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4074104:4087685:-1 gene:Manes.01G015800.v8.1 transcript:Manes.01G015800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGLSTGNNGGQQQRLGITEPISLGGPTEYDEIKTRELEKFLQDVGLYESREEAVSREEVLGRLDQIVKNWVKAISRSKCLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREDDFFGELYRMLLEMPEVTELHPVPDAHVPVMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIKNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLFPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEKSLGLQVWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTEEFQRGNEICEAMEANKADWDTLFEPFSFFEAYKNYLQIDINAENEDDLRNWKGWVESRLRQLTLKIERHTFNMLQCHPHPGEFTDKSRPLHCSFFMGLQRKQGVPASEGEQFDIRLTVEEFKHSVNMYTLWKPGMEIHVTHVKRRNIPSFVFPGGIRPPRPSKATWDSRRSSAEKSSECKGVSDGLDDGRKRKRMDANGANTLKGANSFAASSLNGEDNKGSPSVGNVSVGGVLASTNVIGEPREGKTVCNITDSINNSRSLGGNLAQNGELSSQNKDLSASNDAPFSKEAEKLAIEKIMSGPYVTNHTLPQELDDLEDDFECRNQVKDLGANAKDSTVESTLATMTATSFANAPESPPLTSSSGAGPSTLCPSGGLEELEPAELVAPLSNGFRSAASVAQPKPLIR >Manes.01G015800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4074104:4087685:-1 gene:Manes.01G015800.v8.1 transcript:Manes.01G015800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGLSTGNNGGQQQRLGITEPISLGGPTEYDEIKTRELEKFLQDVGLYESREEAVSREEVLGRLDQIVKNWVKAISRSKCLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREDDFFGELYRMLLEMPEVTELHPVPDAHVPVMKFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIKNFRTTLRCMRFWAKRRGVYSNVAGFLGGINWALLVARICQLFPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEKSLGLQVWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTEEFQRGNEICEAMEANKADWDTLFEPFSFFEAYKNYLQIDINAENEDDLRNWKGWVESRLRQLTLKIERHTFNMLQCHPHPGEFTDKSRPLHCSFFMGLQRKQGVPASEGEQFDIRLTVEEFKHSVNMYTLWKPGMEIHVTHVKRRNIPSFVFPGGIRPPRPSKATWDSRRSSAEKSSECKGVSDGLDDGRKRKRMDANGANTLKGANSFAASSLNGEDNKGSPSVGNVSVGGVLASTNVIGEPREGKTVCNITDSINNSRSLEIRSKIWGQMQKTVQWNLHWQL >Manes.01G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27990720:28000794:-1 gene:Manes.01G076600.v8.1 transcript:Manes.01G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRTPPSSALSSKSSLQGERLRLLGRSGASNAVFFKLQPPFPQIRASSSFYSSLDTGARTELDAVSSFSEIVPDTVIFDDFEKFPPTAATVSSSLLLGILSLPDTIFRNAVDMALADSGCAALENVELRLSCFANKALVNVGGDLVKLVPGRVSTEVDARLAYDTHGIIRKVHSLLKLYSEINVPPERLLFKIPATWQGIEASRLLESEGIQTHMTLVYSFAQAAAAAQAGASVIQIFVGRIRDWARNHSGDPEIDAALKRGEDPGLSLVTKAYNYIHKYGHKSKVMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLNESLTTPDEKYSFVRRLSPQSAAVYNFSKEEVAKWDQLSLASAMGPAAVELLAAGLDGYADQARRVEELFTKIWPPPNV >Manes.01G076600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27990880:28000573:-1 gene:Manes.01G076600.v8.1 transcript:Manes.01G076600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRTPPSSALSSKSSLQGERLRLLGRSGASNAVFFKLQPPFPQIRASSSFYSSLDTGARTELDAVSSFSEIVPDTVIFDDFEKFPPTAATVSSSLLLGILSLPDTIFRNAVDMALADSGCAALENVELRLSCFANKALVNVGGDLVKLVPGRVSTEVDARLAYDTHGIIRKVHSLLKLYSEINVPPERLLFKIPATWQGIEASRLLESEGIQTHMTLVYSFAQAAAAAQAGASVIQIFVGRIRDWARNHSGDPEIDAALKRGEDPGLSLVTKAYNYIHKYGHKSKVMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLNESLTTPDEKYSFVRRLSPQSAAVYNFSKEEVAKWDQLSLASAMGPAAVELLAAGLDGYADQARRVEELFTKIWPPPNV >Manes.15G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7850110:7851411:1 gene:Manes.15G100300.v8.1 transcript:Manes.15G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAAPLLLLFLYLISVGNLVASNNYEQKTWCVAKPSSDQATLLANINYACSQVDCRILHKGCPCFSPDNLISHASIAMNLYYQCRGRNRWNCDFKNSALIVITDPSFADCIYA >Manes.15G100300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7850110:7851411:1 gene:Manes.15G100300.v8.1 transcript:Manes.15G100300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLYAVGNLVASNNYEQKTWCVAKPSSDQATLLANINYACSQVDCRILHKGCPCFSPDNLISHASIAMNLYYQCRGRNRWNCDFKNSALIVITDPSFADCIYA >Manes.18G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1255124:1257437:1 gene:Manes.18G010200.v8.1 transcript:Manes.18G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVLAMLILLQLFCFSAINVNAFAASGWTKAHATFYGGSDASGTMGGACGYGNLYSTGYGTSTAALSTALFNDGASCGQCYRIMCDSQADPRWCIKGTSVTITATNFCPPNFALPNNNGGWCNPPLQHFDMAQPAWEKIGIYRGGIIPVMFQRVPCEKSGGVRFTVNGRDYFELVLISNVAGGGSVKSVSIKGSKTGWMTMSRNWGANWQSNAYLNGQSLSFRVTTTDGETRLLNDIVPAKWAFGQTFTTAVQF >Manes.18G010200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1255124:1257437:1 gene:Manes.18G010200.v8.1 transcript:Manes.18G010200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACGYGNLYSTGYGTSTAALSTALFNDGASCGQCYRIMCDSQADPRWCIKGTSVTITATNFCPPNFALPNNNGGWCNPPLQHFDMAQPAWEKIGIYRGGIIPVMFQRVPCEKSGGVRFTVNGRDYFELVLISNVAGGGSVKSVSIKGSKTGWMTMSRNWGANWQSNAYLNGQSLSFRVTTTDGETRLLNDIVPAKWAFGQTFTTAVQF >Manes.02G219100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34349314:34367379:1 gene:Manes.02G219100.v8.1 transcript:Manes.02G219100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWEGMDETRLLIAPSAIENGVGHFLSLQHPKSGKATCYLLINGVLQELHWFKQSYTSWFLGDYVCEDGRLYSATPVDPVFILLPIFEQARMKKGDDPGKFRQLDEIIFVDGYPGYHRLMSIAENCMQVVCEIKEIGSSKFFRLDDSKVLSWLSCKVCQLKQALPSLDKNYAAQDEKSTLTDAVTILGEYLKDEPWLKLLCHNLKLNLLEATSKEPGTEICSTAAENSPASSGLLQDKVKTDSKTKRTGKQAKKIKVETESLNIKEMFNRASRRR >Manes.S041116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1199076:1199450:-1 gene:Manes.S041116.v8.1 transcript:Manes.S041116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.07G081800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25544227:25546553:1 gene:Manes.07G081800.v8.1 transcript:Manes.07G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFAKDCKRIGKRIEDIESSKKMKFDLNFSFHEFKLPSLSFECFSFSPDNTISPATSSNSAGFLSGDLCSGNSTSSPCSSNKSSLVVKDSLRFVDLEAKSFETESLTCLGNKFRETTPSSQFYGDTDDMDSTRADGGKNFRKTFPVEKVSMPTQAEMDEFFAEAEKKEQKRFAEKYNYDIAKDIPLEGRYQWLRLKP >Manes.07G081800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25544284:25545723:1 gene:Manes.07G081800.v8.1 transcript:Manes.07G081800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFAKDCKRIGKRIEDIESSKKMKFDLNFSFHEFKLPSLSFECFSFSPDNTISPATSSNSAGFLSGDLCSGNSTSSPCSSNKSSLVVKDSLRFVDLEAKSFETESLTCLGNKFSRETTPSSQFYGDTDDMDSTRADGGKNFRKTFPVEKVSMPTQAEMDEFFAEAEKKEQKRFAEK >Manes.07G081800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25544284:25547927:1 gene:Manes.07G081800.v8.1 transcript:Manes.07G081800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFAKDCKRIGKRIEDIESSKKMKFDLNFSFHEFKLPSLSFECFSFSPDNTISPATSSNSAGFLSGDLCSGNSTSSPCSSNKSSLVVKDSLRFVDLEAKSFETESLTCLGNKFSRETTPSSQFYGDTDDMDSTRADGGKNFRKTFPVEKVSMPTQAEMDEFFAEAEKKEQKRFAEKYNYDIAKDIPLEGRYQWLRLKP >Manes.07G081800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25544227:25546553:1 gene:Manes.07G081800.v8.1 transcript:Manes.07G081800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFAKDCKRIGKRIEDIESSKKMKFDLNFSFHEFKLPSLSFECFSFSPDNTISPATSSNSAGFLSGDLCSGNSTSSPCSSNKSSLVVKDSLRFVDLEAKSFETESLTCLGNKFSRETTPSSQFYGDTDDMDSTRADGGKNFRKTFPVEKVSMPTQAEMDEFFAEAEKKEQKRFAEKYNYDIAKDIPLEGRYQWLRLKP >Manes.06G060600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19427955:19434741:-1 gene:Manes.06G060600.v8.1 transcript:Manes.06G060600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSDAFQKQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERLIAEALEEGDEKCVINLPDIPGGAKTFELVAKFCYGVKLELTSSNVVYLRCAAEHLEMTEDYGEGNLIMQTETFFNQVVLRNWKDSLKALQTCDDILPYAEELNITKRCIESLATKACTDPNLFGWPIMERGPMQSPGGSVLWNGISTGARPKNSSSDWWYEDVSTLSLPLYKRLISIMESRGIREEIIAGSLAFYAKKYLPGLNRRQGASESSSRVVPAALAPPSEEEQKTLLEEIDRLLPMQKGLVPTKFLFGLLRTALILKASPSCLSKLEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILGHFLSMDQIAGGASPCSVDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPDYARPLDDGLYRAIDIYLKSHPWLAESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLEGSRQLRSGFAGSTEGGWATAVRENQVLKVGMDNMRMRVSELEKECSDMRQEIEKLGRTKGSSAWGNVSKKFGFKLKSQMCSAEEGSVSNQNNGSAKSEKAKDRQGKHKKNLSSDG >Manes.06G060600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19428005:19434741:-1 gene:Manes.06G060600.v8.1 transcript:Manes.06G060600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLIAEALEEGDEKCVINLPDIPGGAKTFELVAKFCYGVKLELTSSNVVYLRCAAEHLEMTEDYGEGNLIMQTETFFNQVVLRNWKDSLKALQTCDDILPYAEELNITKRCIESLATKACTDPNLFGWPIMERGPMQSPGGSVLWNGISTGARPKNSSSDWWYEDVSTLSLPLYKRLISIMESRGIREEIIAGSLAFYAKKYLPGLNRRQGASESSSRVVPAALAPPSEEEQKTLLEEIDRLLPMQKGLVPTKFLFGLLRTALILKASPSCLSKLEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILGHFLSMDQIAGGASPCSVDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPDYARPLDDGLYRAIDIYLKSHPWLAESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLEGSRQLRSGFAGSTEGGWATAVRENQVLKVGMDNMRMRVSELEKECSDMRQEIEKLGRTKGSSAWGNVSKKFGFKLKSQMCSAEEGSVSNQNNGSAKSEKAKDRQGKHKKNLSSDG >Manes.06G060600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19428005:19434741:-1 gene:Manes.06G060600.v8.1 transcript:Manes.06G060600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSDAFQKQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERLIAEALEEGDEKCVINLPDIPGGAKTFELVAKFCYGVKLELTSSNVVYLRCAAEHLEMTEDYGEGNLIMQTETFFNQVVLRNWKDSLKALQTCDDILPYAEELNITKRCIESLATKACTDPNLFGWPIMERGPMQSPGGSVLWNGISTGARPKNSSSDWWYEDVSTLSLPLYKRLISIMESRGIREEIIAGSLAFYAKKYLPGLNRRQGASESSSRVVPAALAPPSEEEQKTLLEEIDRLLPMQKGLVPTKFLFGLLRTALILKASPSCLSKLEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILGHFLSMDQIAGGASPCSVDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPDYARPLDDGLYRAIDIYLKSHPWLAESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLEGSRQLRSGFAGSTEGGWATAVRENQVLKVGMDNMRMRVSELEKECSDMRQEIEKLGRTKGSSAWGNVSKKFGFKLKSQMCSAEEGSVSNQNNGSAKSEKAKDRQGKHKKNLSSDG >Manes.06G060600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19428005:19434742:-1 gene:Manes.06G060600.v8.1 transcript:Manes.06G060600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLIAEALEEGDEKCVINLPDIPGGAKTFELVAKFCYGVKLELTSSNVVYLRCAAEHLEMTEDYGEGNLIMQTETFFNQVVLRNWKDSLKALQTCDDILPYAEELNITKRCIESLATKACTDPNLFGWPIMERGPMQSPGGSVLWNGISTGARPKNSSSDWWYEDVSTLSLPLYKRLISIMESRGIREEIIAGSLAFYAKKYLPGLNRRQGASESSSRVVPAALAPPSEEEQKTLLEEIDRLLPMQKGLVPTKFLFGLLRTALILKASPSCLSKLEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILGHFLSMDQIAGGASPCSVDDGQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPDYARPLDDGLYRAIDIYLKSHPWLAESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLEGSRQLRSGFAGSTEGGWATAVRENQVLKVGMDNMRMRVSELEKECSDMRQEIEKLGRTKGSSAWGNVSKKFGFKLKSQMCSAEEGSVSNQNNGSAKSEKAKDRQGKHKKNLSSDG >Manes.03G129900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25641916:25646828:-1 gene:Manes.03G129900.v8.1 transcript:Manes.03G129900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSRIAGFFTSRNFVGVDKAGNRYFTRVEEVDGIMKEKRWVKFKGEEDPTSIPVEWICWLNGQRKKAPTPEEMIELEARRELTRQNVALLKKEEEERRAKEGSFRKNVGTGKVGGPDLKSFIQQFPTTPEGQKPEEESYIMDKVRKRGEAAEVENAKEPRPASSEPSGSGATFRPGTWQPPT >Manes.03G129900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25641916:25646828:-1 gene:Manes.03G129900.v8.1 transcript:Manes.03G129900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMKEKRWVKFKGEEDPTSIPVEWICWLNGQRKKAPTPEEMIELEARRELTRQNVALLKKEEEERRAKEGSFRKNVGTGKVGGPDLKSFIQQFPTTPEGQKPEEESYIMDKVRKRGEAAEVENAKEPRPASSEPSGSGATFRPGTWQPPT >Manes.03G129900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25641916:25646828:-1 gene:Manes.03G129900.v8.1 transcript:Manes.03G129900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFSRIAGFFTSRNFVGVDKAGNRYFTRVEEVDGIMKEKRWVKFKGEEDPTSIPVEWICWLNGQRKKAPTPEEMIELEARRELTRQNVALLKKEEEERRAKEGSFRKNVGTGKVGGPDLKSFIQQFPTTPEGQKPEEESYIMDKVRSSEPSGSGATFRPGTWQPPT >Manes.03G129900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25641916:25646828:-1 gene:Manes.03G129900.v8.1 transcript:Manes.03G129900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMKEKRWVKFKGEEDPTSIPVEWICWLNGQRKKAPTPEEMIELEARRELTRQNVALLKKEEEERRAKEGSFRKNVGTGKVGGPDLKSFIQQFPTTPEGQKPEEESYIMDKVRSSEPSGSGATFRPGTWQPPT >Manes.12G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28741685:28742536:1 gene:Manes.12G105700.v8.1 transcript:Manes.12G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYHIRSISLPSRSHPLIVNIEEQLCKLKASQSSSMSCKLTGLKNLFKCIDDLLQMPVAQQTISRERQNQYVENTLSRSMELLDLLQELELSLRRRKGKDSSITSEVEAYMVSRKRLSKVTCKYLRNLKRKEKNCTTAVLDINSNVTDMINTLKRVQEISLVEFKSVLSFISQPKAKSKSSGWHIISKALQSKRVSCEVEIEVNELEKIDAELLILKSSKDVSISQLQSLLKGLETLGSSIKEAEEELECIYRQLVKTRVSLLNILNH >Manes.05G197350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32166244:32167533:1 gene:Manes.05G197350.v8.1 transcript:Manes.05G197350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPSQAAAQPHQSISLNPSFIPFSTFCNLLSRTRFPPNPYSLSRIPIPPPGLSFSFTSIVNLFLVDLRPPTVDHQPLSRRFGEFRRRPWTDLYQLLKASLNESDAFAFFKADEPGDYITYPAFCEGLRLVHSYFLLYYIRYKHKMALHIETVLALFIYLFLGHAASMFCKSRLPECKASNGAVS >Manes.11G141100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30678358:30681058:1 gene:Manes.11G141100.v8.1 transcript:Manes.11G141100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGRGSNYYVKTASNRGKGWYTPFMIAASRAIAARIPYFIYPIATCLMELSPTLFFNAFRFLCLQHDLVNGSQLKYLNFLQAQIKGLKNIDHSFSTITMMMVGIPNVVGRISAAEKRKLKRAKVSPYPGDTKDVSSLKIGSHPTIYVLDTPSILPPQIFDAEVCFKLALIAGAISDCLIGEKKWEKLSSLENDRSCIDHKGECSSSIQQEMKGERQNFIDHTQDFIVHSVRRMPFGTISCFDGDMQNEVDLQKLIELQLTALREAFHLHLELGDDVRE >Manes.05G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4799936:4801431:-1 gene:Manes.05G057400.v8.1 transcript:Manes.05G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Manes.18G031900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2709701:2713261:-1 gene:Manes.18G031900.v8.1 transcript:Manes.18G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSKEDALVFFFSILILSIIKAQASPWCNRTCGSNNLPYPFGFSPGCKIQLNCTRNGDILVNNEFPVQNITHDKIRIHVEPKCNRSIQSFRELFTSNFAPTSTNAILLHKCSSSRACNITTLNVTTHFESFNCSKESHLSCLFNLTTGRVAGFFDRSSHGLGNCQSLLSSISFNGVTSLEIQVMDLGWWLQGNKCHCSNHANCSGVVITPAGPGYRCQCENGFHGDGYADGAGCRKASCNLANHLNGQCGGATRITVLIGGIAAGIAFMVTLGLLCCFIRRRFTSKAKGFTELHLAETTGIHIPIYPYKEMETATNSFSERLRLGTGAYGTVYAGKLQNDLWVAIKRIKHRDSDTIGQVMNEIKLISSVNHPNLVRLLGCSIENGEQILVYEFMPNGTLCQHLQRERGDGLAWPVRLTIASETAQAIAHLHSAIHPPIFHRDIKSSNILLDYNNRSKVADFGLSRLGITEISHISTAPQGTPGYLDPQYHQNFHLSDRSDVYSFGVVLVEIITALKVVDFSRPQNEVNLAALAADRIGKGRLDEIIDPFLDIHSDAWTLNCVHRVAELAFMCLAFQRDMRPSMMEVAAELEQIRLSRWTPSEELNCTSSSNETCSSSSSNISEKPLCSTDKKAQVENSRILFAEANSEKSMEMEKDNSPVSVQDPWLSEQSPLS >Manes.14G112800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:13953093:13957832:-1 gene:Manes.14G112800.v8.1 transcript:Manes.14G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLSISLNANKSNFSKNPLQFPTHVSLFSISSCIPSSKPCIITILSRFNPVKVSRVETELSESEPVLSTSRDLVQESLNQDLIERNQDLKRKIRKNYRGAKKGRKSQVGFKFNYKRHGSQQREDFFVHDTDLDVDYSVINSNLSLEQCNYILKQLEGCSSESKTLRFFEWMKSNGKLEKNVNAYNVILRVLARREDWDCAERMIRELSDSFGSALDFRIFNTLIYICSKRGHMKLGGKWFLMMLELGVQPNVATFGMLMGLYQKGWNVEEAEFVFSQMRSFRIICQSAYSAMITIYTRLRLYDKAEEVIGIMRKDNVALNLENWLVLLNAYCQQGKLEEAEELLIAMQESGFSPNIVAYNTLITGYGKLSKMDAAQHLFLEIKNVGLGPDETTYRSMIEGWGRTGNYKEAKWYYSELKRLGFSPNSSNLYTLINLQAKHDDEEGAVRTIQDMLKMGCQYSSILGTLLKSYERAGKIDKVPLLLKGSFYQHVLVNQTSCSILVMAYVKHCLVHDALEVLQDKEWNDPAFEDNLYHLLICSCKELGHLENAVKIYSQMPKSNGKPNLHILCTMIDVYSSLGLFTEGEKLYLQLKSSGIALDMIAFSIVVRMYVKAGLLKDACTVLETIEKQKDIIPDIYLFRDMLRIYQRCGMMSKLNDLYYKILRSGVVWDQELYSCIINCCARALPVYEISRLFNEMLRCGFSPNTITFNVMLDVYGKAKNFRKVKELFWMARKRGLVDVISYNTVIAAYGHNRDFKNMASAIQKMQFDGFSVSLEAYNCMLDAYGKEGQMESFRYVLQRMKQSKCTSDQHTYNIMINIYGKQGWIDEVAGVLTELKECGPGPDLCSYNTLIKAYGIAGMIEDAINLVKEMRQNGIEPDKITYTTLITALQKNDKYLEAVKWSLWMKQLVL >Manes.01G209750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38090647:38110103:-1 gene:Manes.01G209750.v8.1 transcript:Manes.01G209750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRKGAGKAAAASAAACRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYPADWKKVLVYFFGTQQIAFCHPADVEAFTEEKKQSLLIKRQGKGADFVRAVQEIIDSYERSNKPDQVDDLNSGEVALANGGNSVESSAHIELKDQTETSEATVTVRNDPSLASGVAPDVAKVGSLHDKEASLEQPADNMMVTAKPVIATYISRKRSGGLRSRKRGTQKKDLSVERSTSLSRLESSRFQSFTIPSNNGNQSAGDASTEVVLNRSLRRNKRVKKSPASECDDVESSAVISNGSTEDNVSEIATVDSDSPSLNEGSTIDSACKPEHSQTVFDCLEEDVELSKGFDFQIKAVIIKKKRKPNRKRVINEAAEPPARLETGADLDAGVHNSSQNLQLACENLSERHTKEDGDEHLPLVKRARVRMGKLSSLEDHSSLSLEEEKTCTQVAVSLTEAHNGICEIEERTSNEVLVTMLEEISPPANFNDDCSAHKDSLLEKGALYNLSPQKSCAQIPDSRPQLSIAKEHQTFGCSADGESALPPSKRLHRALEAMSANAAEQGQACTKTSTMKTLINESSTSLVRSSSLVFNERKESNCSGELSVASPGCRASAFCSSSNRVLEESIKQPVEANLCNRLIESSESQEHYEDVLPESLDHDLGKDLGRSCFGGDTVSTISQQSTKDFTPNLDRRCGSLRSNQGSLDQLLILKDEVKSENIELRDVVAQNLNKDVDVLENSLTSPSIILQADEAAKGMSQNSSDVLWYSAEDIGCGNTESLRSLIHDKDQFNGMGEEAKGVKFEQRQKHTSYASVSDDHLGERHVLVAQSSPVPADGNGTESSAQTSPTTTSICHVSTSESANFIQNSQCSSPNHLHQKTTVCTSIDEKIESVMAQRPKSVGKWSNYAEAHASLSSFEGIIRSLTRTKESIGRATRIAIDCAKFGVSAKVVEILARALESESSLHRRVDLFFLVDSITQCSRGDVGGIYPSAIQAVLPRLLSAAAPPGSLAQENRRQCMKVLRLWLERRILPESVICHHMRELDSLGGSSSAGAYSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKEEDDGSDSDGESFEAVTPEHNSETPEELEIMPAVEKHTHILEDVDGELEMEDVAPSCEVEAGSTGGIAGVNSVRNLHDQLEEHFPLPFAPPLPQDVPPTSPPLPTSPPPPPPPPPAIPPSCGNPDSYINGMDSKLSENSHDMQDNLRECVCQQPAAPRINPSMSNGVNYHATECRDQLQMQQCESMNSFSSYPVHPVHSDGPNFHHKAYPPRPPYPPPSNHFSYVQAGQHGKSRRQTPPPYHQRFHSSHNADGGNFYNTLERMRPVPYEFNESWRYPAPPFPGPRHLDKGRPSYPPDSYGGPPREPNSIPHQGWSFPPRGMHHRSFMPFRPSGSASPVSSRASSVWRPR >Manes.01G209750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38090647:38110103:-1 gene:Manes.01G209750.v8.1 transcript:Manes.01G209750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRKGAGKAAAASAAACRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYPADWKKVLVYFFGTQQIAFCHPADVEAFTEEKKQSLLIKRQGKGADFVRAVQEIIDSYERSNKPDQVDDLNSGEVALANGGNSVESSAHIELKDQTETSEATVTVRNDPSLASGVAPDVAKVGSLHDKEASLEQPADNMMVTAKPVIATYISRKRSGGLRSRKRGTQKKDLSVERSTSLSRLESSRFQSFTIPSNNGNQSAGDASTEVVLNRSLRRNKRVKKSPASECDDVESSAVISNGSTEDNVSEIATVDSDSPSLNEGSTIDSACKPEHSQTVFDCLEEDVELSKGFDFQIKAVIIKKKRKPNRKRVINEAAEPPARLETGADLDAGVHNSSQNLQLACENLSERHTKEDGDEHLPLVKRARVRMGKLSSLEDHSSLSLEEEKTCTQVAVSLTEAHNGICEIEERTSNEVLVTMLEEISPPANFNDDCSAHKDSLLEKGALYNLSPQKSCAQIPDSRPQLSIAKEHQTFGCSADGESALPPSKRLHRALEAMSANAAEQGQACTKTSTMKTLINESSTSLVRSSSLVFNERKESNCSGELSVASPGCRASAFCSSSNRVLEESIKQPVEANLCNRLIESSESQEHYEDVLPESLDHDLGKDLGRSCFGGDTVSTISQQSTKDFTPNLDRRCGSLRSNQGSLDQLLILKDEVKSENIELRDVVAQNLNKDVDVLENSLTSPSIILQADEAAKGMSQNSSDVLWYSAEDIGCGNTESLRSLIHDKDQFNGMGEEAKGVKFEQRQKHTSYASVSDDHLGERHVLVAQSSPVPADGNGTESSAQTSPTTTSICHVSTSESANFIQNSQCSSPNHLHQKTTVCTSIDEKIESVMAQRPKSVGKWSNYAEAHASLSSFEGIIRSLTRTKESIGRATRIAIDCAKFGVSAKVVEILARALESESSLHRRVDLFFLVDSITQCSRGLKGDVGGIYPSAIQAVLPRLLSAAAPPGSLAQENRRQCMKVLRLWLERRILPESVICHHMRELDSLGGSSSAGAYSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKEEDDGSDSDGESFEAVTPEHNSETPEELEIMPAVEKHTHILEDVDGELEMEDVAPSCEVEAGSTGGIAGVNSVRNLHDQLEEHFPLPFAPPLPQDVPPTSPPLPTSPPPPPPPPPAIPPSCGNPDSYINGMDSKLSENSHDMQDNLRECVCQQPAAPRINPSMSNGVNYHATECRDQLQMQQCESMNSFSSYPVHPVHSDGPNFHHKAYPPRPPYPPPSNHFSYVQAGQHGKSRRQTPPPYHQRFHSSHNADGGNFYNTLERMRPVPYEFNESWRYPAPPFPGPRHLDKGRPSYPPDSYGGPPREPNSIPHQGWSFPPRGMHHRSFMPFRPSGSASPVSSRASSVWRPR >Manes.18G128100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24190293:24192899:1 gene:Manes.18G128100.v8.1 transcript:Manes.18G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTMEVNLRTSMHVKKVLTRVRVICEKIHSPHPLGIFYGENPFDYSFSLILFEIILIISISRIIRILLKPLRQPRIISDILGGIVIGPSVLGQCPTFSRIVFPENAVYLVQNIGIMGFMFFLFLAGVKMDLTLVRKSGKKHVCIALIGVFIPVAVVAVVGAVLRSSMDTELARVSGIGAVAGDLALTSFPVIYLVLKELNLLSSEVGRIALAVAVIADALGIFTIVAFEALKQAETNGQNSIWYLISVFALGAFFVLPVRRLMIWIVKRTPEGKPAEQAFVIFIFLGVLVMGFFTDLFGMAIANGSLWFGLVVPDGPPLGATIVERSETIVMEILMPFAFAFIGLCTNVFSMTNYGWSRLAPLFTMFIMGYISKVIAVFLAAAYFEMPLKDSLALSLTLNLRGQLELLIYIHWIDKRIIGIPMFTMLVLLTMLMTGICTPLIGILYNPTRPYMVNRRRTIQHSAPGKELRIVICIYDEENVAGLIDLLEVSYPTTNNPFSIYAFHLVDLVGRATPLFIDHDKSDEYLKYTEHESIYKAFKIYQEPRDEFVKLHFFTAFAARRTMYQDICALALSNRSSLIILPFEKGKIDALSGTEIVRHGHGLQSINSNVIDHAPCSVGILIDKSHVRNSFMAQNFRQTTSSNYVILFLGGADAREALTYADRMAMNPEVSLLVVRFLAYNNVGEDEMEKKLDDGLVTSFWVKNEKNERVIYREVLVRNGEETLAAIQAFHGNANDLWIVGRKQGINPVILQGLTNWSENDELGVIGDYVASHDFGSTASVLVIHQQVMKGKGATTEA >Manes.08G071412.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390325:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.08G071412.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390325:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKWIEQSTEPSRDAVVKALLGSRNAMLQIRNYMHQMGEAAGVPIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.08G071412.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390325:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKWIEQSTEPSRDAVVKALLGSRNAMLQIRNYMHQMGEAAGVPIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.08G071412.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390339:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKWIEQSTEPSRDAVVKALLGSRNAMLQIRNYMHQMGEAAGVPIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.08G071412.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390325:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKWIEQSTEPSRDAVVKALLGSRNAMLQIRNYMHQMGEAAGVPIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.08G071412.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390325:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSIFSFSGKVFPVTEASRDSIFSDCRSHRLTEKDAMAIVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKWIEQSTEPSRDAVVKALLGSRNAMLQIRNYMHQMGEAAGVPIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.08G071412.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390339:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKWIEQSTEPSRDAVVKALLGSRNAMLQIRNYMHQMGEAAGVPIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.08G071412.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390325:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.08G071412.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13368113:13390325:1 gene:Manes.08G071412.v8.1 transcript:Manes.08G071412.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGYLILERPNAGIVLSTNARFYAIVKPLYDEIKPDSWAWAWTDVKLTSPQLSRESLYKFSLKNFTLQCVSSSASRNPFVEQAVQYAVAAAWATRDNDKNEFLNKLLLQGLDITILGSNDFYSYRNQIEARGLPLTPEALAALPPFSSITFNVEEFNGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGSIDLSSCCKENQSSNLDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPEVLSSAQDAGKGIPLQEVISNILKGEWDHERTTFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKSDTQKSQEIYRKLSQANSALETQLNILSKLAEDHWDAYKCVIHGCSMKKSEKWIEQSTEPSRDAVVKALLGSRNAMLQIRNYMHQMGEAAGVPIEPEMQTRLLDTTMAMDGVLLAGVPGAGGFDAVFAITLGDSSNNVTKAWNSLNVLALLVREDPNGVLLESVDPRTKEITSAVSAVHI >Manes.15G121950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9709760:9711264:1 gene:Manes.15G121950.v8.1 transcript:Manes.15G121950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLCPYGLSLSNSQISYDQNTPCFFLQAKAKSKGWIWRILKFGAFSG >Manes.17G019500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10355595:10360965:1 gene:Manes.17G019500.v8.1 transcript:Manes.17G019500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLSAWEDFPKGLRILLLEEDSNSAAELKSKLEAMDYIVSIFCNENEALSAISNEPESFHVAIVEVGTSNSNGRFEFLEAAKDLPAIMISNIHCLSIMMKCIALGAVEFLRKPLSEEKLRNIWQHVVRKAFNAGGNVQSESLKPVKDYVASMLQIKVETEEAKNESLERIENGSPAQENEHEQSSGSDKYPAPSTPQLKQGARLLDDGDCQDHTNCSAEKESEGESKSVENTCAMTEVTLQVNHPQSLSETLIKEEDDLADCVKCENNVSNSPQNKGNLNNPDGNAQTPDKASGLHNSYGTRVIRKKMKAVEQLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRWSQQRSYYQHKPIMAYPPPHSNHTFQLSPVYPVWGAAAGQPPGVQMWCSSPGYSPWLPSESWYWKPYPGMHAEAWGCPVMPPPQSPYSSSPQNATVFHSDGIVDNGCNGPQNSFDLHPAEELVDNIVKEAINKPWLPLPLGLKPPSTDSVLAELTRQGISRLPSHINFSNSM >Manes.17G019500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10355595:10360964:1 gene:Manes.17G019500.v8.1 transcript:Manes.17G019500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLSAWEDFPKGLRILLLEEDSNSAAELKSKLEAMDYIVSIFCNENEALSAISNEPESFHVAIVEVGTSNSNGRFEFLEAAKDLPAIMISNIHCLSIMMKCIALGAVEFLRKPLSEEKLRNIWQHVVRKAFNAGGNVQSESLKPVKDYVASMLQIKVETEEAKNESLERIENGSPAQENEHEQSSGSDKYPAPSTPQLKQGARLLDDGDCQDHTNCSAEKESEGESKSVENTCAMTEVTLQVNHPQSLSETLIKEEDDLADCVKCENNVSNSPQNKGNLNNPDGNAQTPDKASGLHNSYGTRVIRKKMKVDWTAELHKKFVQAVEQLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRWSQQRSYYQHKPIMAYPPPHSNHTFQLSPVYPVWGAAAGQPPGVQMWCSSPGYSPWLPSESWYWKPYPGMHAEAWGCPVMPPPQSPYSSSPQNATVFHSDGIVDNGCNGPQNSFDLHPAEELVDNIVKEAINKPWLPLPLGLKPPSTDSVLAELTRQGISRLPSHINFSNSM >Manes.17G019500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10356316:10360964:1 gene:Manes.17G019500.v8.1 transcript:Manes.17G019500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTANDLSAWEDFPKGLRILLLEEDSNSAAELKSKLEAMDYIVSIFCNENEALSAISNEPESFHVAIVEVGTSNSNGRFEFLEAAKDLPAIMISNIHCLSIMMKCIALGAVEFLRKPLSEEKLRNIWQHVVRKAFNAGGNVQSESLKPVKDYVASMLQIKVETEEAKNESLERIENGSPAQENEHEQSSGSDKYPAPSTPQLKQGARLLDDGDCQDHTNCSAEKESEGESKSVENTCAMTEVTLQVNHPQSLSETLIKEEDDLADCVKCENNVSNSPQNKGNLNNPDGNAQTPDKASGLHNSYGTRVIRKKMKVDWTAELHKKFVQAVEQLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRWSQQRSYYQHKPIMAYPPPHSNHTFQLSPVYPVWGAAAGQPPGVQMWCSSPGYSPWLPSESWYWKPYPGMHAEAWGCPVMPPPQSPYSSSPQNATVFHSDGIVDNGCNGPQNSFDLHPAEELVDNIVKEAINKPWLPLPLGLKPPSTDSVLAELTRQGISRLPSHINFSNSM >Manes.15G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8951370:8961184:1 gene:Manes.15G112200.v8.1 transcript:Manes.15G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNKDVPSAEKTGSTESKIPKSEMSSGESQSAQGRIPPFPGPGFVPNAFDLSAMSGLLNDPSIKNLAEQIAKDPSFNQMADHLHKTLQGAGAEDGIPQFDSQQYFTTMQQVMQNPQFMNMAERLGNALMQDPSMSQMLEGLTNPSQKDQIEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDKEVLQKLGEAMGLAVSGDATTSVENPGQDEAEEAGNEEDESVVHHCASVGDVEGLKNALASGADKDEEDSEGRTALHFACGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIDVAKLNNQHEVLKLLEKDAFL >Manes.15G038800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3034963:3036680:1 gene:Manes.15G038800.v8.1 transcript:Manes.15G038800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAKQPLMSETNNAFAPKKRNFLYVKSAPLAKFVFSEFGRKLPPSAESIFGKLHTSFREVAILLAVYLGIGTLCFSFVLDDLKGKKTTPIIDALYFCVVTMTTVGYGDLTPDTSFVKILVCVFVFIGMAIVGLIMNKVAEYLVEKQELMLVKALTKHQKNDPSKITETEFNKPMFKCLLAMAILSVLMMIGTIFLLVIEDLNSIDALFCVCSTVTTLGFGDKSFSSAGGRAFGILWILSTTLGMGQFFLYVAELFTESRQKALVDWILNWKMTNLDRIDNNGVVGSAEFIISKLKEKGKIGEEDISVALKEFDVLDVGEIGILLASHLVRAKTAQRK >Manes.15G038800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3034757:3036680:1 gene:Manes.15G038800.v8.1 transcript:Manes.15G038800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCRIIIQSFAGLQISLMASNGAKQPLMSETNNAFAPKKRNFLYVKSAPLAKFVFSEFGRKLPPSAESIFGKLHTSFREVAILLAVYLGIGTLCFSFVLDDLKGKKTTPIIDALYFCVVTMTTVGYGDLTPDTSFVKILVCVFVFIGMAIVGLIMNKVAEYLVEKQELMLVKALTKHQKNDPSKITETEFNKPMFKCLLAMAILSVLMMIGTIFLLVIEDLNSIDALFCVCSTVTTLGFGDKSFSSAGGRAFGILWILSTTLGMGQFFLYVAELFTESRQKALVDWILNWKMTNLDRIDNNGVVGSAEFIISKLKEKGKIGEEDISVALKEFDVLDVGEIGILLASHLVRAKTAQRK >Manes.15G038800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3034563:3036680:1 gene:Manes.15G038800.v8.1 transcript:Manes.15G038800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAKQPLMSETNNAFAPKKRNFLYVKSAPLAKFVFSEFGRKLPPSAESIFGKLHTSFREVAILLAVYLGIGTLCFSFVLDDLKGKKTTPIIDALYFCVVTMTTVGYGDLTPDTSFVKILVCVFVFIGMAIVGLIMNKVAEYLVEKQELMLVKALTKHQKNDPSKITETEFNKPMFKCLLAMAILSVLMMIGTIFLLVIEDLNSIDALFCVCSTVTTLGFGDKSFSSAGGRAFGILWILSTTLGMGQFFLYVAELFTESRQKALVDWILNWKMTNLDRIDNNGVVGSAEFIISKLKEKGKIGEEDISVALKEFDVLDVGEIGILLASHLVRAKTAQRK >Manes.15G038800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3034963:3036680:1 gene:Manes.15G038800.v8.1 transcript:Manes.15G038800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAKQPLMSETNNAFAPKKRNFLYVKSAPLAKFVFSEFGRKLPPSAESIFGKLHTSFREVAILLAVYLGIGTLCFSFVLDDLKGKKTTPIIDALYFCVVTMTTVGYGDLTPDTSFVKILVCVFVFIGMAIVGLIMNKVAEYLVEKQELMLVKALTKHQKNDPSKITETEFNKPMFKCLLAMAILSVLMMIGTIFLLVIEDLNSIDALFCVCSTVTTLGFGDKSFSSAGGRAFGILWILSTTLGMGQFFLYVAELFTESRQKALVDWILNWKMTNLDRIDNNGVVGSAEFIISKLKEKGKIGEEDISVALKEFDVLDVGEIGILLASHLVRAKTAQRK >Manes.15G038800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3034646:3036680:1 gene:Manes.15G038800.v8.1 transcript:Manes.15G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAKQPLMSETNNAFAPKKRNFLYVKSAPLAKFVFSEFGRKLPPSAESIFGKLHTSFREVAILLAVYLGIGTLCFSFVLDDLKGKKTTPIIDALYFCVVTMTTVGYGDLTPDTSFVKILVCVFVFIGMAIVGLIMNKVAEYLVEKQELMLVKALTKHQKNDPSKITETEFNKPMFKCLLAMAILSVLMMIGTIFLLVIEDLNSIDALFCVCSTVTTLGFGDKSFSSAGGRAFGILWILSTTLGMGQFFLYVAELFTESRQKALVDWILNWKMTNLDRIDNNGVVGSAEFIISKLKEKGKIGEEDISVALKEFDVLDVGEIGILLASHLVRAKTAQRK >Manes.16G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810270:5815868:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPELSIGTKDYQIRSLESLPSSDPSIGRRYSGVDNNWKTKVVLLDGLKDEYGKLGSKGVYVGKRHIWFRKHVRSIAFMFALMGFLFLLDSFMVSIFDSINVQNDSTSSNSSGLKYAKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPSHFMMEGRND >Manes.16G041500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810959:5816746:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPRCPECMCKS >Manes.16G041500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810453:5816133:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPSHFMMEGRND >Manes.16G041500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810959:5815859:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPELSIGTKDYQIRSLESLPSSDPSIGRRYSGVDNNWKTKVVLLDGLKDEYGKLGSKGVYVGKRHIWFRKHVRSIAFMFALMGFLFLLDSFMVSIFDSINVQNDSTSSNSSGLKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPRCPECMCKS >Manes.16G041500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810270:5816139:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPELSIGTKDYQIRSLESLPSSDPSIGRRYSGVDNNWKTKVVLLDGLKDEYGKLGSKGVYVGKRHIWFRKHVRSIAFMFALMGFLFLLDSFMVSIFDSINVQNDSTSSNSSGLKYAKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPSHFMMEGRND >Manes.16G041500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810453:5816140:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPSHFMMEGRND >Manes.16G041500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810959:5816342:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPELSIGTKDYQIRSLESLPSSDPSIGRRYSGVDNNWKTKVVLLDGLKDEYGKLGSKGVYVGKRHIWFRKHVRSIAFMFALMGFLFLLDSFMVSIFDSINVQNDSTSSNSSGLKYAKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPRCPECMCKS >Manes.16G041500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810959:5816316:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPRCPECMCKS >Manes.16G041500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810959:5816103:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPELSIGTKDYQIRSLESLPSSDPSIGRRYSGVDNNWKTKVVLLDGLKDEYGKLGSKGVYVGKRHIWFRKHVRSIAFMFALMGFLFLLDSFMVSIFDSINVQNDSTSSNSSGLKYAKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPRCPECMCKS >Manes.16G041500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810959:5816316:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPRCPECMCKS >Manes.16G041500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810959:5815859:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPELSIGTKDYQIRSLESLPSSDPSIGRRYSGVDNNWKTKVVLLDGLKDEYGKLGSKGVYVGKRHIWFRKHVRSIAFMFALMGFLFLLDSFMVSIFDSINVQNDSTSSNSSGLKYAKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPRCPECMCKS >Manes.16G041500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810453:5816316:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPSHFMMEGRND >Manes.16G041500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810453:5816103:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPELSIGTKDYQIRSLESLPSSDPSIGRRYSGVDNNWKTKVVLLDGLKDEYGKLGSKGVYVGKRHIWFRKHVRSIAFMFALMGFLFLLDSFMVSIFDSINVQNDSTSSNSSGLKYAKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPSHFMMEGRND >Manes.16G041500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5810453:5816103:-1 gene:Manes.16G041500.v8.1 transcript:Manes.16G041500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPELSIGTKDYQIRSLESLPSSDPSIGRRYSGVDNNWKTKVVLLDGLKDEYGKLGSKGVYVGKRHIWFRKHVRSIAFMFALMGFLFLLDSFMVSIFDSINVQNDSTSSNSSGLKEDKTAYIKEEKPPVQMYGRLLNLAYAALAEKEFKQEKSNFWEEPHQKASLWKPCADKKDSERLGKPDKSNGYLMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMKIMKDDVNIIKELPSHMKSLDIESIGSLITDADIVKEAKPSDYLEKVLPLLLRNGVVHFLGFGNRLGFDPVPSQLQRLRCKCNFHALKFVPKIQQVGSLLIRRIRKYDASQSMLDKQLLGEFMPSSASKMNDPTRGPSRYLALHLRFEVDMIAYSLCEFGGGEDEKRELKAYRESHFPLLIERLKKSKPISPSELRKLGRCPLTPEEAALVLAGLGFKRGTYIYLAGSHMYGGESRMYPLTSLYPNLVTKETLLTDSELAPFRNFSSQMAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGRRAPNLRPNKKRLAAILSENSTIGWNSFEDRVRKMIEEGQRVRARSFGRSIYRQPSHFMMEGRND >Manes.16G093350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29933279:29935380:1 gene:Manes.16G093350.v8.1 transcript:Manes.16G093350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCAKSVQHVCEELQEHMQKKVARKELDKKFGDDEYEDEIVGVANAKVSHKGMVCVGEANVDKVMTNNASNCMLMLEIKHPHFYWTPCAANCVDLILEDIGNIAKFHNTIKRAVALSSYIYVRSRVVNMLRRFTSERELIRPAITTSFFTLQHMHKNKTNLRKTLTSEEWTRTMDRTKEAIAKTFGENEDKYKEIFEIIDKRRESQLHQPLHAKGYYLNPEYFYSNKNIEQDEEVMTSSYQLLYQNGEGIFGMPIPTRNWTLIAPAEWWKAYGASTPNLRNFAIKVHSKKKNRLAQQRLNDLVFVKYNRSLKRKYDARRRVDPISLKEIDDSNEWLMGQMQEELNRDELVFKDDNLTWNMVGEATGAYEDAYNTRTGKRRSVASYLLQKNQNLHQRRKEKHMPVPLV >Manes.13G150200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36575472:36580142:1 gene:Manes.13G150200.v8.1 transcript:Manes.13G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGCSCTQSNSSRELSREILYHHISSSSKLLSLHSPSGPRVFLGFNHLHHRIFPGTQYYSLPTDYPVEPTLRTRVGCSYPHKQNLKGRRVSLGFKLQCHSKSLASHTKSSSDTKSTSLSGKKKRYGGVLPSILRSLESDNDIEKTLTSFCESLNPKEQTVILKEQRNLGRLVRVFEFFKLQKNYVPNVIHYNIVLRAHGRAQRWDELRRYWIEMAKNGVLPTNNTYGMLVDVYGKAGLVTEALLWIKHMRLRGLFPDEVTMNTVVRVLKDAGEFDRAHRFYKDWCVGRIELEDLEFDDTCDFKNGSDSVPVSFKHFLSTELFKIGGRIIGSPRAESLVRKPRLTSTYNTLIDLYGKAGRLGDAADVFAGMLKSGVAMDTITFNTMIFTCGSHGHLSEAESLLDKMEERGITPDTRTYNIFLSLYASVGNIDAAIKCYRKIREVGLFPDTITHRAMLHELCGRTMVKEAEAIIEEMDKSSQPIDEHSLPGIIKMYINKGLLDRAKSLLDKCQFESGLSSRTRAAILDAFAEKGLWTEAEALFHRMRGQRRDILEYNVMIKAYGKGKLYEKAFSLFKSMRNHGTWPDECTYNSLIQMFSGADLVDQARDLLGEMKGAGFKPQCLTFSSIVACYARLGQLSDAVDVYQEMVNEGVKPNEVVYGAMINGYAEAGKVEKALEYFYKMEECGISANQIVLTSLIKVYSKLGCFDSAKQLYQKMMDLEGGPDVIASNSMISLYAELGMISEAELVFNNLREKGSADGVSYATMMYLYKSMGMLDEAIDVAEEMKESDLLRDPMSYNQVMACYASNGQLLECGKLLHEMIGQKLLPDDGTFKILFTVLKKGGLPTEAVMQLESSYQEGRPYARQAVITSVFSIVGLHALGLQSCEIFTKADVALDSFAYNVAIYAYGSSGEIDRALNMFMKMQDEGLEPDLVTCINLVRCYGKAGMVEGVKRIYGQIKYGEIKPNDSLFKAVVDAYKNANRPDLAELVNQELRFGFDSQQFSDYDSDSQQYSFESEAEDGSDAIGIESP >Manes.06G085750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22147223:22155444:-1 gene:Manes.06G085750.v8.1 transcript:Manes.06G085750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPQPNYASDEAEGGLRNEGEDEVEGEDEVDGHGDVEVESEDEMQEVEPDPGESEGEREPSSEEVDIGDEREESEAKDAESDEKEDYGHRVAMSRRNDIIESGSERSEEQHYADREDEEVDQARSPRLFPFCLICSIANNVHCCVSPYKNNPRCYYVFHACLVLKFTSLIGMGKLCDSSKSPDGEKDQNPISQSAAEIRDVFGDSDDEEEAGYAVRNEIEHDSHRSPMEEEGSYENNLRPEDMLMDEDARYGSEEDTEVKSKEKPVGPPLELEIPFQRPPADPTKMNMIKVSNIMGIDPNPFDPKTYVEEKTFVTDESGAKTRIRLENNIVRWRTVRNRDGSKSIESNARFVRWSDGSLQLLIGNEVLDISVQDDQHDQTHLFLRHNKSLLQSQGRIRRKMRFMPSSLTSNSHRLLTALVDSRHRKVYKVKNCITDIDPEREKEEKERAENQTIRANVLLNRKREKVSRKYTQTVERRRQLSPGFLEGALDEDDEPDYFDSRRSRYRFEEDMEVEAQAEKRIMNAKKGHKDILRKSSLPTVKSSKRPVNFSDSEREESEYESDREEFERSPAWKRVEEPEQDYEEEEEEEGRYEEEAEVDGASEEEEEAEEPKQKSKEFGGRHKRAGIESDEESPPRKISTHRRMAVVYDSDEE >Manes.06G085750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22147223:22155444:-1 gene:Manes.06G085750.v8.1 transcript:Manes.06G085750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPQPNYASDEAEGGLRNEGEDEVEGEDEVDGHGDVEVESEDEMQEVEPDPGESEGEREPSSEEVDIGDEREESEAKDAESDEKEDYGHRVAMSRRNDIIESGSERSEEQHYADREDEEVDQARSPSKSPDGEKDQNPISQSAAEIRDVFGDSDDEEEAGYAVRNEIEHDSHRSPMEEEGSYENNLRPEDMLMDEDARYGSEEDTEVKSKEKPVGPPLELEIPFQRPPADPTKMNMIKVSNIMGIDPNPFDPKTYVEEKTFVTDESGAKTRIRLENNIVRWRTVRNRDGSKSIESNARFVRWSDGSLQLLIGNEVLDISVQDDQHDQTHLFLRHNKSLLQSQGRIRRKMRFMPSSLTSNSHRLLTALVDSRHRKVYKVKNCITDIDPEREKEEKERAENQTIRANVLLNRKREKVSRKYTQTVERRRQLSPGFLEGALDEDDEPDYFDSRRSRYRFEEDMEVEAQAEKRIMNAKKGHKDILRKSSLPTVKSSKRPVNFSDSEREESEYESDREEFERSPAWKRVEEPEQDYEEEEEEEGRYEEEAEVDGASEEEEEAEEPKQKSKEFGGRHKRAGIESDEESPPRKISTHRRMAVVYDSDEE >Manes.12G066600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8253989:8269122:-1 gene:Manes.12G066600.v8.1 transcript:Manes.12G066600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLKSVILEIISWVCSSGLAFYLLLFIFLCLYCLVILVVDESSVGQSKAKCVCAFLQELNDAVKAKFIEEHPEALIETNPSFFSQFTLVVATQLVEDSMIKLDKICREANVKLIFARSYGLTGFVRVSVKEHTVIESKPDHFLDDLRLNNPWPELKSFAETIDLNVADPVAHKHTPYVIILVKMAEEWAKTHGGGLPSTRDEKKQFKELLKTGMLAVEEENYKEAIEASFKVFAPRGTSSDLQQIISDSCTEVDSNSSDFWVMVAALKEFIVNKGVGEAPLEGSIPDMTSSTELYVNLQKIYQAKAEADFLVIEQRVRNILKKIGRDPDSIPKTMIKSFCKNARKLKVCRYRPIEDEFNNPSLPQLQKYLTDEDYSMAMGFYILLRAVDRFAANYNSFPGQFDGAMDEDISRLKTTAVSLLSDLGCNGSPLTEDLINEMCRFGASELHAVAAFIGGIASQEVIKLITRQFVPISGTFIFNGIDHKSQLLAL >Manes.12G066600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8253989:8269122:-1 gene:Manes.12G066600.v8.1 transcript:Manes.12G066600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLDKICREANVKLIFARSYGLTGFVRVSVKEHTVIESKPDHFLDDLRLNNPWPELKSFAETIDLNVADPVAHKHTPYVIILVKMAEEWAKTHGGGLPSTRDEKKQFKELLKTGMLAVEEENYKEAIEASFKVFAPRGTSSDLQQIISDSCTEVDSNSSDFWVMVAALKEFIVNKGVGEAPLEGSIPDMTSSTELYVNLQKIYQAKAEADFLVIEQRVRNILKKIGRDPDSIPKTMIKSFCKNARKLKVCRYRPIEDEFNNPSLPQLQKYLTDEDYSMAMGFYILLRAVDRFAANYNSFPGQFDGAMDEDISRLKTTAVSLLSDLGCNGSPLTEDLINEMCRFGASELHAVAAFIGGIASQEVIKLITRQFVPISGTFIFNGIDHKSQLLAL >Manes.12G066600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8253989:8269122:-1 gene:Manes.12G066600.v8.1 transcript:Manes.12G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKTKYDRQLRIWGEQGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITVIDGSKVEVSDLGNNFMVDESSVGQSKAKCVCAFLQELNDAVKAKFIEEHPEALIETNPSFFSQFTLVVATQLVEDSMIKLDKICREANVKLIFARSYGLTGFVRVSVKEHTVIESKPDHFLDDLRLNNPWPELKSFAETIDLNVADPVAHKHTPYVIILVKMAEEWAKTHGGGLPSTRDEKKQFKELLKTGMLAVEEENYKEAIEASFKVFAPRGTSSDLQQIISDSCTEVDSNSSDFWVMVAALKEFIVNKGVGEAPLEGSIPDMTSSTELYVNLQKIYQAKAEADFLVIEQRVRNILKKIGRDPDSIPKTMIKSFCKNARKLKVCRYRPIEDEFNNPSLPQLQKYLTDEDYSMAMGFYILLRAVDRFAANYNSFPGQFDGAMDEDISRLKTTAVSLLSDLGCNGSPLTEDLINEMCRFGASELHAVAAFIGGIASQEVIKLITRQFVPISGTFIFNGIDHKSQLLAL >Manes.12G066600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8253989:8269122:-1 gene:Manes.12G066600.v8.1 transcript:Manes.12G066600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKTKYDRQLRIWGEQGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITVIDGSKVEVSDLGNNFMVDESSVGQSKAKCVCAFLQELNDAVKAKFIEEHPEALIETNPSFFSQFTLVVATQLVEDSMIKLDKICREANVKLIFARSYGLTGFVRVSVKEHTVIESKPDHFLDDLRLNNPWPELKSFAETIDLNVADPVAHKHTPYVIILVKMAEEWAKTHGGGLPSTRDEKKQFKLLKTGMLAVEEENYKEAIEASFKVFAPRGTSSDLQQIISDSCTEVDSNSSDFWVMVAALKEFIVNKGVGEAPLEGSIPDMTSSTELYVNLQKIYQAKAEADFLVIEQRVRNILKKIGRDPDSIPKTMIKSFCKNARKLKVCRYRPIEDEFNNPSLPQLQKYLTDEDYSMAMGFYILLRAVDRFAANYNSFPGQFDGAMDEDISRLKTTAVSLLSDLGCNGSPLTEDLINEMCRFGASELHAVAAFIGGIASQEVIKLITRQFVPISGTFIFNGIDHKSQLLAL >Manes.10G097500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24588685:24598350:-1 gene:Manes.10G097500.v8.1 transcript:Manes.10G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFLSPPSKRPIQYVTMADSTSVNGSSKKRSKPPPPPLPVPPGHVSFRLLCHASRIGGVIGKSGNVIKQLQQLTGAKIRIEDAPADSPDRVITIIAQNAIVSKLSVSSENDGSDGAVSNVDVGEQEELDVSKAQEALVKVFERILEVAAESDGIGVVGGVVSCRLLAEAKQVGSVIGKGGKVVEKIRKDCGVKIRVLIDKLPVCAWPTEEMVEIEGDVLAVKKALVAVSRCLQDCQPVDKTRMISRKPAEAIPAEPAPGGRVDFLSQHNLVPPTMPSSTTSYASGSLSLSLKSDRLPSLDANSLQQEVVFRILCSNDRVGGVIGKGGTIIKALQNETGASITIGATVAECDERLITVAALENPESRYSAAQKTVVLVFSRSVEAGIENGLDSSSGEGFPVSARFVVPSNHVGCLLGKGGIIISEMRMTTGTSIRVLGGDQVPKCVPENDQVVQISGELINVKDAIYHVTGRLRDNLFSSTLSTPGTRSSSVITEAIPYGRLREPLRDTVRDVLRDPLRDPLGDSFRDPSRDTLGLPTRDTLRDPLRDTLRDPLRGTLKEQLRGPAPVNLHSSIGLSHSLSRHTNISQSMDHLGLSHSLDRPPSPRLWASQTIAGVNPRAIPDTSRGSASFRGGLELGSGSKSAFVTNTTVEIVVPENVIGAVYGENGSNLARLRQISGAKVILHEPRLGTSDRIVVISGTPDETQAAQSLLQAFILTGQ >Manes.10G097500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24588685:24598350:-1 gene:Manes.10G097500.v8.1 transcript:Manes.10G097500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFLSPPSKRPIQYVTMADSTSVNGSSKKRSKPPPPPLPVPPGHVSFRLLCHASRIGGVIGKSGNVIKQLQQLTGAKIRIEDAPADSPDRVITIIAQNAIVSKLSVSSENDGSDGAVSNVDVGEQEELDVSKAQEALVKVFERILEVAAESDGIGVVGGVVSCRLLAEAKQVGSVIGKGGKVVEKIRKDCGVKIRVLIDKLPVCAWPTEEMVEIEGDVLAVKKALVAVSRCLQDCQPVDKTRMISRKPAEAIPAEPAPGGRVDFLSQHNLVPPTMPSSTTSYASGSLSLSLKSDRLPSLDANSLQQEVVFRILCSNDRVGGVIGKGGTIIKALQNETGASITIGATVAECDERLITVAALENPESRYSAAQKTVVLVFSRSVEAGIENGLDSSSGEGFPVSARFVVPSNHVGCLLGKGGIIISEMRMTTGTSIRVLGGDQVPKCVPENDQVVQISGELINVKDAIYHVTGRLRDNLFSSTLSTPGTRSSSVITEAIPYGRLREPLRDTVRDVLRDPLRDPLGDSFRDPSRDTLGLPTRDTLRDPLRDTLRDPLRGTLKEQLRGPAPVNLHSSIGLSHSLSRHTNISQSMDHLGLSHSLDRPPSPRLWASQTIAGVNPRAIPDTSRGSASFRGGLELGSGSKSAFVTNTTVEIVVPENVIGAVYGENGSNLARLRQVQHAHANSSKVS >Manes.07G040843.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4807289:4814707:1 gene:Manes.07G040843.v8.1 transcript:Manes.07G040843.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCNTSSDDVERYLNSLPVGYRFAPSDDELILFASNSANDLASSCDYYLQRKISNEPLTPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKATGTDKAILDGKKLLGFRKSLDYYEGRQRDGTKTNWKMHEY >Manes.12G058907.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5643551:5669133:1 gene:Manes.12G058907.v8.1 transcript:Manes.12G058907.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRSINIVNLDPAAENFDYPVAMDIKELISLDDVMEELGLGPNGALIYCMEELEYNLDGWFAEELENYRDDDYLVFDCPGQIELFSHVPVLRNFVEHLKSKNFNVCAVCLIDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKKDIEDYLNPEPRALLSELNQRMAPQFLKLNKALIELVDEYSMVNFVPLDLRKESSIQYVLGQIDNCIQYGEDADVKVRDFDPPDED >Manes.10G069300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10969409:10976157:1 gene:Manes.10G069300.v8.1 transcript:Manes.10G069300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIAKVLDSSCHRVMLGLKRKRASRYGAYFAEASRTMSPEWPAVHFSSHKSGKRRRLSASRSKLVGCGCHFRRSLLRCYSNFMRTGVPQRIMFYQNGEWTDFSQDLVALVWKDLQEKRPAIEVELEGRPYMLDLLHMFRVDMNTGFQQPIAWIDKEGACFFPEIYIDNEERHDFCQHNCVNDQGPIFGKSNGPHEIKLQLEIDINGLDHHQSKLECSGESDAFVKHIEIAQNSKSVNVVEVEESRSRKTGGKINEAFEENQHIKDNVSTGIEYINEKMDSDTVRNMFLTGMKSFSGADMLDIRRCSSDSMQARLEIFQKQIELTKRCRGDANVQYAWLATSKGLLSTIMLYGLGHCGPSATKSKYGIGVHLFAANCCQTSAKFCDVDENGVQHMVFCRVIMGNMELVQPGSQQCHPSSENFDSGVDDLENPRQYIVWIMNMNSHIYPEFVVSFKVSSSSEDFSPPIGILAGIEMKHAVSGITASSQGAQRILPIESSTVGLNLPTESPTVDLNVQMESPVSDLGIEGRPESGGSLEKASSLGSSSTRTPRSPWMPFPMLFAAISNKVPRKDMESVTTHYELFQAKKISRGDFIKRLRLIVGDALLKSTITSLQCKVPLKCVVETPKSTKEGSSGL >Manes.10G069300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10969409:10976157:1 gene:Manes.10G069300.v8.1 transcript:Manes.10G069300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIAKVLDSSCHRVMLGLKRKRASRYGAYFAEASRTMSPEWPAVHFSSHKSGKRRRLSASRSKLVGCGCHFRRSLLRCYSNFMRTGVPQRIMFYQNGEWTDFSQDLVALVWKDLQEKRPAIEVELEGRPYMLDLLHMFRVDMNTGFQQPIAWIDKEGACFFPEIYIDNEERHDFCQHNCVNDQGPIFGKSNGPHEIKLQLEIDINGLDHHQSKLECSGESDAFVKHIEIAQNSKSVNVVEVEESRSRKTGGKINEAFEENQHIKDNVSTGIEYINEKMDSDTVRNMFLTGMKSFSGADMLDIRRCSSDSMQARLEIFQKQIELTKRCRGDANVQYAWLATSKGLLSTIMLYGLGHCGPSATKSKYGIGVHLFAANCCQTSAKFCDVDENGVQHMVFCRVIMGNMELVQPGSQQCHPSSENFDSGVDDLENPRQYIVWIMNMNSHIYPEFVVSFKVSSSSEGILAGIEMKHAVSGITASSQGAQRILPIESSTVGLNLPTESPTVDLNVQMESPVSDLGIEGRPESGGSLEKASSLGSSSTRTPRSPWMPFPMLFAAISNKVPRKDMESVTTHYELFQAKKISRGDFIKRLRLIVGDALLKSTITSLQCKVPLKCVVETPKSTKEGSSGL >Manes.10G069300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10969386:10976156:1 gene:Manes.10G069300.v8.1 transcript:Manes.10G069300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIAKVLDSSCHRVMLGLKRKRASRYGAYFAEASRTMSPEWPAVHFSSHKSGKRRRLSASRSKLVGCGCHFRRSLLRCYSNFMRTGVPQRIMFYQNGEWTDFSQDLVALVWKDLQEKRPAIEVELEGRPYMLDLLHMFRVDMNTGFQQPIAWIDKEGACFFPEIYIDNEERHDFCQHNCVNDQGPIFGKSNGPHEIKLQLEIDINGLDHHQSKLECSGESDAFVKHIEIAQNSKSVNVVEVEESRSRKTGGKINEAFEENQHIKDNVSTGIEYINEKMDSDTVRNMFLTGMKSFSGADMLDIRRCSSDSMQARLEIFQKQIELTKRCRGDANVQYAWLATSKGLLSTIMLYGLGHCGPSATKSKYGIGVHLFAANCCQTSAKFCDVDENGVQHMVFCRVIMGNMELVQPGSQQCHPSSENFDSGVDDLENPRQYIVWIMNMNSHIYPEFVVSFKVSSSSEGILAGIEMKHAVSGITASSQGAQRILPIESSTVGLNLPTESPTVDLNVQMESPVSDLGIEGRPESGGSLEKASSLGSSSTRTPRSPWMPFPMLFAAISNKVPRKDMESVTTHYELFQAKKISRGDFIKRLRLIVGDALLKSTITSLQCKVPLKCVVETPKSTKEGSSGL >Manes.10G069300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10969441:10975906:1 gene:Manes.10G069300.v8.1 transcript:Manes.10G069300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIAKVLDSSCHRVMLGLKRKRASRYGAYFAEASRTMSPEWPAVHFSSHKSGKRRRLSASRSKLVGCGCHFRRSLLRCYSNFMRTGVPQRIMFYQNGEWTDFSQDLVALVWKDLQEKRPAIEVELEGRPYMLDLLHMFRVDMNTGFQQPIAWIDKEGACFFPEIYIDNEERHDFCQHNCVNDQGPIFGKSNGPHEIKLQLEIDINGLDHHQSKLECSGESDAFVKHIEIAQNSKSVNVVEVEESRSRKTGGKINEAFEENQHIKDNVSTGIEYINEKMDSDTVRNMFLTGMKSFSGADMLDIRRCSSDSMQARLEIFQKQIELTKRCRGDANVQYAWLATSKGLLSTIMLYGLGHCGPSATKSKYGIGVHLFAANCCQTSAKFCDVDENGVQHMVFCRVIMGNMELVQPGSQQCHPSSENFDSGVDDLENPRQYIVWIMNMNSHIYPEFVVSFKVSSSSEDFSPPIGILAGIEMKHAVSGITASSQGAQRILPIESSTVGLNLPTESPTVDLNVQMESPVSDLGIEGRPESGGSLEKASSLGSSSTRTPRSPWMPFPMLFAAISNKVPRKDMESVTTHYELFQAKKISRGDFIKRLRLIVGDALLKSTITSLQCKVPLKCVVETPKSTKEGSSGL >Manes.10G069300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10969441:10975906:1 gene:Manes.10G069300.v8.1 transcript:Manes.10G069300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIAKVLDSSCHRVMLGLKRKRASRYGAYFAEASRTMSPEWPAVHFSSHKSGKRRRLSASRSKLVGCGCHFRRSLLRCYSNFMRTGVPQRIMFYQNGEWTDFSQDLVALVWKDLQEKRPAIEVELEGRPYMLDLLHMFRVDMNTGFQQPIAWIDKEGACFFPEIYIDNEERHDFCQHNCVNDQGPIFGKSNGPHEIKLQLEIDINGLDHHQSKLECSGESDAFVKHIEIAQNSKSVNVVEVEESRSRKTGGKINEAFEENQHIKDNVSTGIEYINEKMDSDTVRNMFLTGMKSFSGADMLDIRRCSSDSMQARLEIFQKQIELTKRCRGDANVQYAWLATSKGLLSTIMLYGLGHCGPSATKSKYGIGVHLFAANCCQTSAKFCDVDENGVQHMVFCRVIMGNMELVQPGSQQCHPSSENFDSGVDDLENPRQYIVWIMNMNSHIYPEFVVSFKVSSSSEGILAGIEMKHAVSGITASSQGAQRILPIESSTVGLNLPTESPTVDLNVQMESPVSDLGIEGRPESGGSLEKASSLGSSSTRTPRSPWMPFPMLFAAISNKVPRKDMESVTTHYELFQAKKISRGDFIKRLRLIVGDALLKSTITSLQCKVPLKCVVETPKSTKEGSSGL >Manes.10G069300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10969380:10976156:1 gene:Manes.10G069300.v8.1 transcript:Manes.10G069300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIAKVLDSSCHRVMLGLKRKRASRYGAYFAEASRTMSPEWPAVHFSSHKSGKRRRLSASRSKLVGCGCHFRRSLLRCYSNFMRTGVPQRIMFYQNGEWTDFSQDLVALVWKDLQEKRPAIEVELEGRPYMLDLLHMFRVDMNTGFQQPIAWIDKEGACFFPEIYIDNEERHDFCQHNCVNDQGPIFGKSNGPHEIKLQLEIDINGLDHHQSKLECSGESDAFVKHIEIAQNSKSVNVVEVEESRSRKTGGKINEAFEENQHIKDNVSTGIEYINEKMDSDTVRNMFLTGMKSFSGADMLDIRRCSSDSMQARLEIFQKQIELTKRCRGDANVQYAWLATSKGLLSTIMLYGLGHCGPSATKSKYGIGVHLFAANCCQTSAKFCDVDENGVQHMVFCRVIMGNMELVQPGSQQCHPSSENFDSGVDDLENPRQYIVWIMNMNSHIYPEFVVSFKVSSSSEDFSPPIGILAGIEMKHAVSGITASSQGAQRILPIESSTVGLNLPTESPTVDLNVQMESPVSDLGIEGRPESGGSLEKASSLGSSSTRTPRSPWMPFPMLFAAISNKVPRKDMESVTTHYELFQAKKISRGDFIKRLRLIVGDALLKSTITSLQCKVPLKCVVETPKSTKEGSSGL >Manes.16G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4776153:4777442:-1 gene:Manes.16G037500.v8.1 transcript:Manes.16G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILENKLIFMVVLVVGLWVSQAWSRSLKDATMAEKHEIWIAKYGRVYKDNAEKERRFNIFKENVEFIESFNNAGHRPYKLGVNAFADLTNEEFRNSKNGYRSSSIFKTPETSPFRYENVTTVPTSIDWTKKGAVTPIKDQSQCGCCWAFSAVAAMEGITKLSTGKLISLSEQELVDCDTSGEDQGCEGGLMDDAFKFIIKNGGLTTEANYPYQGVDGTCNNGKASNHAAKITGYEDVPANSEESLRKAVAKQPVSVAIDASGSAFQFYSSGVFTGDCGTELDHGVTAVGYGTSDDGKKYWLVKNSWGTSWGEDGYIRMERDIDAKEGLCGIAMEPSYPTA >Manes.10G082700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19841314:19842859:-1 gene:Manes.10G082700.v8.1 transcript:Manes.10G082700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEATEDFDIKYCIGTGSYGTVYKAQLPSGRVIALKKLHRKEAEEPSFDKCFKNEVKLLTEIRHKNIVKLYGYCLHQRAMFLIYEYMEKGSLFYVLRNEDEAVKLSWRKRVNIVKGIAHALSYMHHDCSPPIVHRDISSNNILLNSDWEAIVSDFGTARFLYLDSSNQTILAGTRGYIAPELAYTMVVNEKCDVYSFGVVALEIIMGKHPGELLSSLPSLPSSPSNSNVMLKDVLDSRLLPPNNHMVAQSVVLGVTLALACLRSNSKSRPTMKQVSREFLVHKPVPLSQSLQEIPLWHLINQDICMQEEN >Manes.10G082700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19839711:19842859:-1 gene:Manes.10G082700.v8.1 transcript:Manes.10G082700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEATEDFDIKYCIGTGSYGTVYKAQLPSGRVIALKKLHRKEAEEPSFDKCFKNEVKLLTEIRHKNIVKLYGYCLHQRAMFLIYEYMEKGSLFYVLRNEDEAVKLSWRKRVNIVKGIAHALSYMHHDCSPPIVHRDISSNNILLNSDWEAIVSDFGTARFLYLDSSNQTILAGTRGYIAPELAYTMVVNEKCDVYSFGVVALEIIMGKHPGELLSSLPSLPSSPSNSNVMLKDVLDSRLLPPNNHMVAQSVVLGVTLALACLRSNSKSRPTMKQVSREFLVHKPVPLSQSLQEIPLWHLINQDICMQEEN >Manes.10G082700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19841800:19842859:-1 gene:Manes.10G082700.v8.1 transcript:Manes.10G082700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEATEDFDIKYCIGTGSYGTVYKAQLPSGRVIALKKLHRKEAEEPSFDKCFKNEVKLLTEIRHKNIVKLYGYCLHQRAMFLIYEYMEKGSLFYVLRNEDEAVKLSWRKRVNIVKGIAHALSYMHHDCSPPIVHRDISSNNILLNSDWEAIVSDFGTARFLYLDSSNQTILAGTRGYIAPELAYTMVVNEKCDVYSFGVVALEIIMGKHPGELLSSLPSLPSSPSNSNVMLKDVLDSRLLPPNNHMVAQSVVLGVTLALACLRSNSKSRPTMKQVSREFLVHKPVPLSQSLQEIPLWHLINQDICMQEEN >Manes.10G082700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19841316:19842859:-1 gene:Manes.10G082700.v8.1 transcript:Manes.10G082700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVEATEDFDIKYCIGTGSYGTVYKAQLPSGRVIALKKLHRKEAEEPSFDKCFKNEVKLLTEIRHKNIVKLYGYCLHQRAMFLIYEYMEKGSLFYVLRNEDEAVKLSWRKRVNIVKGIAHALSYMHHDCSPPIVHRDISSNNILLNSDWEAIVSDFGTARFLYLDSSNQTILAGTRGYIAPELAYTMVVNEKCDVYSFGVVALEIIMGKHPGELLSSLPSLPSSPSNSNVMLKDVLDSRLLPPNNHMVAQSVVLGVTLALACLRSNSKSRPTMKQVSREFLVHKPVPLSQSLQEIPLWHLINQDICMQEEN >Manes.12G088176.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:16455999:16457800:1 gene:Manes.12G088176.v8.1 transcript:Manes.12G088176.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLLLLLLLVAFSLPRSLGGQNGIAPRDLWCVAKNNADDQALQGAINWACGPGGADCSPIQQGGPCYDPNNIQTTASWAFNDYYLKHGLTDDACSFSNSAALTSLNPSHDNCKFPSSLSVSNRNSSDSTTATIGMGPDTAADFSRSNQITGTWLWPLIIGHLLVVLATIIGAW >Manes.14G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9789818:9799106:-1 gene:Manes.14G123700.v8.1 transcript:Manes.14G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYRQRNGEIWAFENAPKQELQAALAHDHCENADGYDGVVLGLDGGTTSTVCVCMPFLPFSKTLPDPLPVLARAIAGCSNHNSIGESSARDTLEDIIAEVLSKSGSNRSAVRAVCLGISGVNHPNDQERVLSWLRKIFPAHVKLYVQNDAVAALASGTMGRLHGCVLIAGTGCISYGIAEDGKEARASGVGPILGDWGSGYGIAAQALTAVIRAHDGRGPQTMLTDKILKALDLSSPDEVIGWTYADPSWARIAALVPEVVFCAEASDQVANKILLKAVQELALSVKAVVQKLGLCGEDGNGSFPVVMVGGVLKANKSWDIGKEVVKCIQEYFPGAYPVRPQVEPAVGAALLACNFLMKESKENNHS >Manes.14G123700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9789818:9799106:-1 gene:Manes.14G123700.v8.1 transcript:Manes.14G123700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIVEFKSSARDTLEDIIAEVLSKSGSNRSAVRAVCLGISGVNHPNDQERVLSWLRKIFPAHVKLYVQNDAVAALASGTMGRLHGCVLIAGTGCISYGIAEDGKEARASGVGPILGDWGSGYGIAAQALTAVIRAHDGRGPQTMLTDKILKALDLSSPDEVIGWTYADPSWARIAALVPEVVFCAEASDQVANKILLKAVQELALSVKAVVQKLGLCGEDGNGSFPVVMVGGVLKANKSWDIGKEVVKCIQEYFPGAYPVRPQVEPAVGAALLACNFLMKESKENNHS >Manes.14G123700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9789818:9799106:-1 gene:Manes.14G123700.v8.1 transcript:Manes.14G123700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYRQRNGEIWAFENAPKQELQAALAHDHCENADGYDGVVLGLDGGTTSTVCVCMPFLPFSKTLPDPLPVLARAIAGCSNHNSIGESSARDTLEDIIAEVLSKSGSNRSAVRAVCLGISGVNHPNDQERVLSWLRKIFPAHVKLYVQNDAVAALASGTMGRLHGCVLIAGTGCISYGIAEDGKEARASGVGPILGDWGSGYGIAAQALTAVIRAHDGRGPQTMLTDKILKALDLSSPDEVIGSILGKDCSSCSRSGILCRSF >Manes.14G123700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9789818:9799106:-1 gene:Manes.14G123700.v8.1 transcript:Manes.14G123700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNYRQRNGEIWAFENAPKQELQAALAHDHCENADGYDGVVLGLDGGTTSTVCVCMPFLPFSKTLPDPLPVLARAIAGCSNHNSIGESSARDTLEDIIAEVLSKSGSNRSAVRAVCLGISGVNHPNDQERVLSWLRKIFPAHVKLYVQNDAVAALASGTMGRLHGCVLIAGTGCISYGIAEDGKEARASGVGPILGDWGSGYGIAAQALTAVIRAHDGRGPQTMLTDKILKALDLSSPDEVIGWKWFFSCCDGWRRP >Manes.18G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3841244:3844498:-1 gene:Manes.18G043300.v8.1 transcript:Manes.18G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRLSFFSGKKSIEEILTRYVTLPEHERGRLHKQEFLEKALGKLKAEDDRNHQATSTPAVTDSQLEEFQQEIFRFKSQVEDMEKQIRILEGNLSHTTTLSEAEYQEQILEEALKRVQMRKRVLEEEYNSSGAPPVSQVQQLPPKSAFVNDFIAEESPNNVLDWFRQREPQVQILNFLDSNGLLPLRNQVQHPADVFLPSPATLLHGQNINLDDHISPRSGLEEDNTVQGPEFGQVIDVNLSPWAEFYPTGNSSIPTSQPRERALLELYLSRICTPSTI >Manes.01G192100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36725738:36728007:1 gene:Manes.01G192100.v8.1 transcript:Manes.01G192100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMEFGFPVLRRGRTMSRLFSFDHCNEFSRAKPAAQVVEIRSTEQWKAYFDASKGNNKLLVIQFTATWCGPCRFMDPAIKEFAATYTDVDFIKIDVDKLMLVAMQFEANSLPAFVLVKKGKEVDRVVGVQKLELQIKIEQHRI >Manes.16G126400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32773478:32775711:-1 gene:Manes.16G126400.v8.1 transcript:Manes.16G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVLNPQDCLQNPLSSRQDLISPPPQMKTPRNANSNRPNRAQPSRRKRSPNTSPSSRAAVAPQVTAKNLVMGQVKILKRGEQLAKPTPEKVSQPAKVEKMEDLDLGSTHRLGPDPELVPTQIRLTETNKVNGFYAGSAFITSPPPSSLPLPAFFMKKCAVGVKNTDATTDLRRILGLNL >Manes.03G191500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31026231:31027691:1 gene:Manes.03G191500.v8.1 transcript:Manes.03G191500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQNKVNHGPMDGVESRGAKDGGGRTSFLLLRLMALLLTLAATIVLGVNKQTKVVPIQLLDSLPPLNIPVVAKWHYLSSFVFFVVSNAIACTYAAVSLLLSFSRKKRWLLVTLILDVLMVALLFSGNGATAAIGVLGYKGNSHVRWNRVCNVFGKFCNQVAAAVALSLLGSIVFILLVMLAALRLHNKSK >Manes.10G117500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28273773:28278528:-1 gene:Manes.10G117500.v8.1 transcript:Manes.10G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAMHLKSHKSFSLADCKHLLEDCLQSKSVFQGKTVHQHLIKNHIPHGDDDHFNQTIEKLTLFYLACNKVEYARRVFDRSPRKPKKVVIWNLLIKGYAWNGPFGEAINFYYKTLELGIQPSKFTFPIVLKACSALQAIETGKDIHVHAKRLRLDSDVYVSTALVDMYAKCGCLDDAETVFNEMPHRDVVAWNSMISGFSLHGVYYHDTIRLLVRMQNDFISPNSSTIAAVLPAVAQANALSHGKAMHGFCARRAYIDDIIVATGLLDMYGKCQYMDYARRIFDKVSIVKNEVTWSAMLGAYVICDFMREALEFFLEMMRLKDFTLFPTPVTLATVLRACAKLTDSSIGRCIHCYAIKSGFVSDLMLGNTLLSTYAKCGVVIDAKQFFYEMDLKDSVSYSAIISGCVQNGHAKEALRLFHKMQLSGLYPELATMLGVLPACAHLAAIQHGSCSHCYAIVHGLTTEITICNALIDMYAKCGRIDTARRVFDTMHKRDIVSWNAIIIAYGIHGLGMEALLLFDNMLVECLEPDDVTFICLLSACSHSGLVTEGKHWFNAMRQDFGITPRMEHYICMADLLSRAGKFEEVCNFIEKMPFEPDVHIWSALLAACRVHRNVELGEEVSKKIQKLGHGSTGNFVLLSNMYSTVGRWDDAAQIRILQREQGFKKSPGCSWIEIGGVVHAFFGGDRSHPHSPQINNKIDELLVEMRRLGYHGEASYVLQDVEDEEKEHILLYHSEKLAIAFGLLNLSPNKPILVTKNLRVCGDCHTAIKLISLITKRNITVRDASRFHHFKDGICNCGDFW >Manes.10G117500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28273773:28278528:-1 gene:Manes.10G117500.v8.1 transcript:Manes.10G117500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWAMHLKSHKSFSLADCKHLLEDCLQSKSVFQGKTVHQHLIKNHIPHGDDDHFNQTIEKLTLFYLACNKVEYARRVFDRSPRKPKKVVIWNLLIKGYAWNGPFGEAINFYYKTLELGIQPSKFTFPIVLKACSALQAIETGKDIHVHAKRLRLDSDVYVSTALVDMYAKCGCLDDAETVFNEMPHRDVVAWNSMISGFSLHGVYYHDTIRLLVRMQNDFISPNSSTIAAVLPAVAQANALSHGKAMHGFCARRAYIDDIIVATGLLDMYGKCQYMDYARRIFDKVSIVKNEVTWSAMLGAYVICDFMREALEFFLEMMRLKDFTLFPTPVTLATVLRACAKLTDSSIGRCIHCYAIKSGFVSDLMLGNTLLSTYAKCGVVIDAKQFFYEMDLKDSVSYSAIISGCVQNGHAKEALRLFHKMQLSGLYPELATMLGVLPACAHLAAIQHGSCSHCYAIVHGLTTEITICNALIDMYAKCGRIDTARRVFDTMHKRDIVSWNAIIIAYGIHGLGMEALLLFDNMLVECLEPDDVTFICLLSACSHSGLVTEGKHWFNAMRQDFGITPRMEHYICMADLLSRAGKFEEVCNFIEKMPFEPDVHIWSALLAACRVHRNVELGEEVSKKIQKLGHGSTGNFVLLSNMYSTVGRWDDAAQIRILQREQGFKKSPGCSWIEIGGVVHAFFGGDRSHPHSPQINNKIDELLVEMRRLGYHGEASYVLQDVEDEEKEHILLYHSEKLAIAFGLLNLSPNKPILVTKNLRVCGDCHTAIKLISLITKRNITVRDASRFHHFKDGICNCGDFW >Manes.10G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5567666:5574095:-1 gene:Manes.10G050000.v8.1 transcript:Manes.10G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSLPDRSSRRLSGLGNPESNGVPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTIGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPESFNKAQYWVKELQKHGSPDIVLALVGNKADLHENREVPVQDGMDYAEKNGMFFIETSAKTADNINQLFEEIGKRLPRTPPS >Manes.10G050000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5564224:5574258:-1 gene:Manes.10G050000.v8.1 transcript:Manes.10G050000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSLPDRSSRRLSGLGNPESNGVPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTIGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPESFNKAQYWVKELQKHGSPDIVLALVGNKADLHENREVPVQDGMDYAEKNGMFFIETSAKTADNINQLFEGFTSLFY >Manes.16G065900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26968114:26973814:1 gene:Manes.16G065900.v8.1 transcript:Manes.16G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEVGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLHKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTASMDVGQVGFHNPKMVRTVRVEKRINEIVNRLNRTKVERRPDLKAEKEAIHAAERAERKLQLRDKKRREEVERLEKERQAEIRSYKGLMVSEKMTSNKQIAAENKSLQELEEDFM >Manes.07G092800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28888817:28889958:1 gene:Manes.07G092800.v8.1 transcript:Manes.07G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKTFQLFGAILLLFLFQLPNRIQSKPSGNPFGFIQHLEGCHKGESISGLKDLKRYLEKFGYLNYAEKSRNNHANDDEFDDLLEAAIKDYQHYYHLQATGVLDNNTVSQMTKPRCGVPDVVKNGTNKHYHNPKSIHSVAHFSFFPGPRRWPAERSHLRYRFRSSVQVPGTGNFRSICAQAFQRWAQVTHFTFEEVDANSEAEIEIGFHRRFHGDGNPFDGISGTLAHAFAPTNGRCHFDGDEIWSANPGPNEVDLESVAVHEIGHLLGLDHSEDRNAIMYSTFDYGITKRELGTDDIQGIRTLYGLQ >Manes.12G001000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:332635:345477:1 gene:Manes.12G001000.v8.1 transcript:Manes.12G001000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSCQIMIFFKATTLTIMSVLGSRLLCKTPWMVLFTPHHSLDWMVSASLCMSWLHERFGDGDTTQVGLDLEEDLFLDKVAARKHDEISENDAQTSVESMPHPKVERSHEGMAGSSKDMPFNGSREKIEGLAANLEGIDSAQAPSTPGLMEEPNVSSVKEVLACDDHLESEDHNLRRFLGIESSENAYNKSDLHDRDGAIDLSLGDNSNHNSVVCLPTGESSHPSGDLEVNQTALKGDLLSTSVTTEHISSDWTVSGLDGLDMVEDASRVVSCNNEETVPSVDRMNGECEESTSIRLRETNDGEIFKNMEDSHSNEKTVVSNVVHLLGSPSAPNSVNVEGQGCQGLEDPKALNDSDYNERMPPACTGVLWTCNAHLNEPDTLSCDVRNSAITSDLQSAGIVLLSTESLQGEEEFHASGTSTKVQGQECHVIDVVQSEEKWISEPTLSGEIQADGEKQEEQLDNAISNNQCSDLNSSMTSDLPAPEKLLFAPQRLLNSPHDLLVETPDKEVRGEGDESGAQIETSGKKRGFAESCLTVQSIKSVESFDMTRSKRAVDSVPDDDDLLSSILVGRRSSVLKMKPTPPIPEVRSVKRVRSSSRSTALKRKVLMDDSMVLHGDTIRQQLTNTEDIRRMRKKAPCTRTEILIIQRQSLEEEIFCEPVLTGILPELTHLNGEAFDLSRIRISENDDINNNAFLEALSDEDSAKQNVILHNEIEGSTEPGSCRSNLDGQSSETPIQNDNHQRPGLIGSNDFVNKEHINGITDSAGYRTSEHEYMGEISEIVTDNVNAIVVDAGTHDSAQGLITCQSEPVPRDTFEMATGTVDRSDIMDKMFAADDFVQMEASNLPSDKIDTRLVEEVISLRDMGIDRGFDGVEVLDHSAEQIVAIGTELGAEGIPLDESKVGTSMEVGDFLAEGSAHIDEADSSLAKVPSETGGCISFSLVNVDQALDEIGNDKNGTRSEDGGLAVTSGCIDVKDQISNHLCNDESEMDSACMIGPDGDFKSTSMNGDDTVCQQIDHQSAMDTQNTPLDHVTTACDDFQDTAFANNTEFLNVDGDEMGEDDEEGMPNAEDTRLLENSGWSSRTRAVAKYLQTLFDKKAGHGKVLSMDNLLAGKTRKEASRMFFETLVLATRDYVHVEQAKPFDNINIKPRAKLVESDF >Manes.12G001000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:332635:345477:1 gene:Manes.12G001000.v8.1 transcript:Manes.12G001000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGISVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGNYIDHHVSTREQITLQDTMDGVVYSTSQFGLDERFGDGDTTQVGLDLEEDLFLDKVAARKHDEISENDAQTSVESMPHPKVERSHEGMAGSSKDMPFNGSREKIEGLAANLEGIDSAQAPSTPGLMEEPNVSSVKEVLACDDHLESEDHNLRRFLGIESSENAYNKSDLHDRDGAIDLSLGDNSNHNSVVCLPTGESSHPSGDLEVNQTALKGDLLSTSVTTEHISSDWTVSGLDGLDMVEDASRVVSCNNEETVPSVDRMNGECEESTSIRLRETNDGEIFKNMEDSHSNEKTVVSNVVHLLGSPSAPNSVNVEGQGCQGLEDPKALNDSDYNERMPPACTGVLWTCNAHLNEPDTLSCDVRNSAITSDLQSAGIVLLSTESLQGEEEFHASGTSTKVQGQECHVIDVVQSEEKWISEPTLSGEIQADGEKQEEQLDNAISNNQCSDLNSSMTSDLPAPEKLLFAPQRLLNSPHDLLVETPDKEVRGEGDESGAQIETSGKKRGFAESCLTVQSIKSVESFDMTRSKRAVDSVPDDDDLLSSILVGRRSSVLKMKPTPPIPEVRSVKRVRSSSRSTALKRKVLMDDSMVLHGDTIRQQLTNTEDIRRMRKKAPCTRTEILIIQRQSLEEEIFCEPVLTGILPELTHLNGEAFDLSRIRISENDDINNNAFLEALSDEDSAKQNVILHNEIEGSTEPGSCRSNLDGQSSETPIQNDNHQRPGLIGSNDFVNKEHINGITDSAGYRTSEHEYMGEISEIVTDNVNAIVVDAGTHDSAQGLITCQSEPVPRDTFEMATGTVDRSDIMDKMFAADDFVQMEASNLPSDKIDTRLVEEVISLRDMGIDRGFDGVEVLDHSAEQIVAIGTELGAEGIPLDESKVGTSMEVGDFLAEGSAHIDEADSSLAKVPSETGGCISFSLVNVDQALDEIGNDKNGTRSEDGGLAVTSGCIDVKDQISNHLCNDESEMDSACMIGPDGDFKSTSMNGDDTVCQQIDHQSAMDTQNTPLDHVTTACDDFQDTAFANNTEFLNVDGDEMGEDDEEGMPNAEDTRLLENSGWSSRTRAVAKYLQTLFDKKAGHGKVLSMDNLLAGKTRKEASRMFFETLVLATRDYVHVEQAKPFDNINIKPRAKLVESDF >Manes.07G021700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2413773:2414171:-1 gene:Manes.07G021700.v8.1 transcript:Manes.07G021700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVLFRKKLTHTDVKFRMVFPMKSYREVLQIQNGDLSQGIDVIDVEDKSVKNFICTKRHKGHHKPVFSKGWISFVKEKHLVAGDKVIFCKEEDKVGRIRFKIHAKKVPCLLFGFDLREAIRKATYPGQQN >Manes.05G191250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31432594:31432698:-1 gene:Manes.05G191250.v8.1 transcript:Manes.05G191250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTPTSLADSSLALFSLAISSSDPWPFSLLLLL >Manes.12G065075.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6459745:6460581:-1 gene:Manes.12G065075.v8.1 transcript:Manes.12G065075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGINFVTARLEFSLGLKGYNQGRRREDMAQSKNMRCRSDTVGDAAAQRTQHIIYF >Manes.10G014400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1462111:1462554:1 gene:Manes.10G014400.v8.1 transcript:Manes.10G014400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRAATTALTQTQTTQTDCDGVEFWSNPERTGWLMKQGEYIKTWRRRWFVLKQGKLFWFKDSTVTRESKPRGVIPVATCLTVKGAEDVLNKQHAFELSTRTETMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEIVDYDNKR >Manes.02G222232.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36809660:36810316:1 gene:Manes.02G222232.v8.1 transcript:Manes.02G222232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSLLYLTASRPDIMFSVCLCARFQSCPKESHLHAVKRILRYLNGSLHLGLWYPRSSSFSLCSYSDADFAGSILDRKSTSGTCQLLGQSLVSWCSKKQNSVALSTAEAEYVAAGLCCSQILWIKQQLRDFEVSLDHIPIKCDNTSAINLTKNPIQHSRTKHIDIRHHFIRNHVLNGDVVLEFVDTNNQLADIFTKPLNEERFNFIKRELGMLDGVAC >Manes.09G112600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31493205:31495280:1 gene:Manes.09G112600.v8.1 transcript:Manes.09G112600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDDTAPKTQFESSPSALPPPMAPEVAGADTEAGTGFGIGAITRRWRREAILQRGSLALRGLGLLFSLLAFLVMASNKHGDWKNFDRYEEYRYLLAIAILSTLYTGGQVLRHIHELSTGKFLLQQRTSAMVDFFGDQQFP >Manes.09G112600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31493205:31495280:1 gene:Manes.09G112600.v8.1 transcript:Manes.09G112600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDDTAPKTQFESSPSALPPPMAPEVAGADTEAGTGFGIGAITRRWRREAILQRGSLALRGLGLLFSLLAFLVMASNKHGDWKNFDRYEEYRYLLAIAILSTLYTGGQVLRHIHELSTGKFLLQQRTSAMVDFFGDQFP >Manes.09G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31493205:31495280:1 gene:Manes.09G112600.v8.1 transcript:Manes.09G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDDTAPKTQFESSPSALPPPMAPEVAGADTEAGTGFGIGAITRRWRREAILQRGSLALRGLGLLFSLLAFLVMASNKHGDWKNFDRYEEYRYLLAIAILSTLYTGGQVLRHIHELSTGKFLLQQRTSAMVDFFGDQTISYLLISAASTAVPLTNRMREGADNIFTDASAAAISMAFFAFFVLALSSMVSGYKLSTQSYI >Manes.13G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4021820:4026837:-1 gene:Manes.13G031200.v8.1 transcript:Manes.13G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRISGLLSRSFTSASASALFPGGRNSSLGRGLSRYNSTIAAATAAEEPIVPSVAVNYTRLLINGQFVDAASGKTFPTYDPRTGEVIAHVAEGDIEDVNRAVYAARKAFDEGPWPKMTAYERSRIMLRFADLLEKHNDEIATLETWDNGKPYEQAAKIEVPMVVRLFRYYAGWADKIHGLVVPADGQHHVQILHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVIKTAEQTPLTAIYAAKLLHEAGLPEGVLNVVSGFGPTAGAALANHMDVDKLAFTGSTDTGKIVLELAARSNLKPVTLELGGKSPFIICEDADIDQAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFVEKAKARAVKRLVGDPFKGGIEQGPQVDLEQFEKILKYIRSGIESGATLETGGERFGTKGFYIQPTVFSNVTEEMLIAKDEIFGPVQSILKFKDLDEVIHRANATRYGLAAGVFTQNIDTANMLTRALRAGTVWINCFDIFDAAIPFGGYKMSGIGREKGIYSLSNYLQVKAVVTPLKNPAWL >Manes.03G083178.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14769191:14772071:-1 gene:Manes.03G083178.v8.1 transcript:Manes.03G083178.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTFKLWALLLFKNFSLAWGLESELQKIKENLKVIKPVLLDAEQQLSQNPWVEIWLENLKQVLYDAEDVVDDFEYEALRRKVTRKVRRFFSSPNPLAFHFKMGHKVKKIRERIDKIAALKSKFGLTERIFHRHVIHKKREMTHSFIDASNVIGREEAKFTIIEMLLQFVDGENVVSIIPIVGLGGLGKTTLAKLVYNDQRRGPQKWLDLKSLLMGGSNGNRIVVTTRSNRVAEIMGTVSPHNLSLLHHQHLREGKQNPNFTRIRGEIVRKCEGVPLAVITLGSLLYSITDEHALHIVPFSKDYELVDIDLVYLWMANGLVQSSNENQEFEDVGLRYFKVLCSRCFFLDFSEYGGNVRCKMHELINDLALSITQNEYSMFIGSTQQFAKSVRHVSFPYPESLSKVVPKSLQNLDCMRTICCINERREGISSKVFIRTCLKKLRRLAVSSCRSLISLPQSIKCLTALDSLCIEDCKNLDLRIEEGEDAQFSLHKLELRELPKLVDFPQWPIRGFTNTLKVLEVAYCDNLRELPNCLQNMASLQELRFIDCTKLNNNLL >Manes.11G050200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6015971:6017366:-1 gene:Manes.11G050200.v8.1 transcript:Manes.11G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTGAKIPLIDFTTDDLNPGSSSWVCVCRDLCSALEEYGFFIAKYDEVSSRLNEAIFGVSKELFHLPTDIKIKNVNSKPFLGYHGQLAARPLYESFGIDYVTDKEQVHEFTNTMWPSGNSDFSETVYLYSKVMKQLHEKVVRMIFDNYGVDKYYESNKEATTYLLRFLKYRAPQENESNKGLLPHTDKSFLTILHQNHVNGLEVKAKNGEWISLDFAPSSTFVVMAGEAIMGWSNDRIDACEHMVTMKFKETRYSLGLFSFIKGIIQVPEELIDEEEHPLKYKPFDHVGMLHFFATAEGRHHQRTLKAYCGL >Manes.07G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31636985:31644363:1 gene:Manes.07G110400.v8.1 transcript:Manes.07G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDGNPLAPRQLPSTAGSRKMFWRSASWSSSRTALQNPEADEKDCAMDPSGNDIVNSNNVENRRYPVPLTPRSQQNFKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIQRNPDRNAGLVKRDRIAFFDKECSKVAEHVYLGGDAVARDREILKQNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQCGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPRMLNDPSPSAMDSRGAFIVQIPSAIYIWIGKNCEAIMERDARGAVCQIVRYERAQVPIIVVKEGEEPAYFWDAFSNYLPLMDKSSNGVDNGKAAIKICSGERKVDAYNIDFEIFQKAIKGGFVPAFASSENEHETHLPARESSWSVLRRKFAPVDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSMSSSSSSSSPPYLSPDSISSDSSTSSKYFSESSLDSPAAASCSLLVSSTFSNFSNLSLLSLKGSSSFQSPSDAPGSHAVNSMSNQSSQVTSSPSKKSSLSLAERRGSLSKSLKLPVMTDNMRMTNAPPSSLAFQEDDARINASFSWCNSNSTEIVLESENRAKEDQDPMKKCKLNISQDRVDSANLYGREASFVNNCDGPGRNCVVGDGMGCSTSNGKEENATSDRNLVQPLVFHWPNLEKIATFGTGDLDSKAAFAILVPTTGLGRDGSRVLYFWIGRLFPFDESLIQLDCSNRLTDGKYIDWNQVGSHVLTRMDLPKDLPVKFIKEGEEPAELLALLSAI >Manes.05G144900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24683879:24690115:-1 gene:Manes.05G144900.v8.1 transcript:Manes.05G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNEIGSRLEPEMQQGDYNSFYPYPPHLQNPNPNPNPNPNPPLNDHHQTSYASAPPFTTAYAASDYPGYPPNYPPYTQNPYSVPPPTAPSYNPLPPSPPPPPPPATTAAPNPNSLQSSFNSPPQPPQPSFPPYDSNGPYQPTTTQQSYYPPYDKTASSYAPPPSSSSSIPPNSNPSVNPPYSSIYTAPPYNNPIGSSVPPAYENPYENSLKFDQSVSYFDDKYGGYTRSGSDLGPDPYGKRPESRYDIGRNDGYGDGVYAYEGGKVEPYGARGTAPKSSTWAGFDDYGRAISFPSGKDSSVGSGSVKIVRAVPKAETQQDVKSGVQKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPSTGRTLRIYPLENITRCDVTDSSTFAFWSKSAVDIEPRRIRLQSNSYTTNTLLDIVTAATVQLKEMGGSRRPTESSKMPEQPTEKKKGFVDWMNLIKPGNEEKDHWVPDEAVSKCTACGTDFGAFVRRHHCRNCGDIFCDKCTHGRIALTADENAQPVRVCDRCMAEVTQRLSNAKEAASKPAGLQSHEDLARKLQEEMEKNRKASSGSKSDGSGRRMREVACPTCTVHLQVQVPSSGSETIECGVCQHPFQISAY >Manes.01G074925.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27762680:27763755:1 gene:Manes.01G074925.v8.1 transcript:Manes.01G074925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPFLVYVLIATLILQFSTLTNSSSATQYIRTSCSNTTYPRLCYHSLSIYASEIKTNPKSLANTALNITLKATKSTSRLMKKMSRIHGLNPREAAAVADCVEVVGDSVYELQRSIGEMGHARGSNFFQVMADVQTWVSAALTDDNTCMDEFAGDPMNGNAKALARRHLVKIAHLTSNALALINNYASSYIN >Manes.05G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3584306:3595870:1 gene:Manes.05G044100.v8.1 transcript:Manes.05G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHRNGTSKGAPKPLVSNTKSSSFKSRLHPSQSSGAVLRRSSFGQNAAAAAGDDGVPGRVRVAVRLRPRNAEELVADADFADCVELQTELKRLKLRKNNWDADTYEFDELLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTVGHLGDDDTSARGIMVRAMEDILADVSLETDSVSVSYLQLYMETIQDLLDPANDNITIVEDPKTGDVSLPGATLVEIRDQQSFVELLRLGEAHRIAANTKMNTESSRSHAILMVHVKRSVGGGDDAFSSETDSSSHLVKPMKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLKDSFGGTARTSLIVTIGPSPRHRGETTSTVLFGQRAMKVENMLKIKEEFDYKSLSRKLEIQVDKLIAENERQQKAFDDEIERINQEAENRIAEVERSFAEALEKERLKCQMEYVEAVKKLEEKMVSNQKKHDRDSFPNGKCNGEVPGTSTSEEVTEIKRLLQNETQLRKTAEEEVNKLNSRLENFMQSMAGGDAETVKVHRILEDEAQKKRKLEEEISILQSQLLQLTLEADQTRRYLDRCGSGNAFAGLDSFVSQMRHSQVKESVNGHKAPMATLFEHVGLQKILSLLESEDANTRIHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSFEDETVRRVAAGAIANLAMNEANQELIMTQGGISLLSMTAADAEDAQTLRMVAGAIANLCGNDKLQRKLRSEGGIKALLGMVRCGHPDVLSQVARGIANFAKCESRSSMQGMKAGRSLLIEDGALPWIVQNANNEAAPIRRHIELALCHLAQHEVNAKDMIGGGALWELVRISRDCSREDIRNLARRTLNSSPTFRAEMRRLRIEC >Manes.13G077301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:12941730:12943544:1 gene:Manes.13G077301.v8.1 transcript:Manes.13G077301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKITFPKTFTLFGESILSTVMAFKVCQPIHEMAREVLSRLVVALISAIGPPTQPSLDTVPEEVSIDSQAAMSNKALPTEIVKTAKVVQKNTMKRFKSPILAVFLPGQKNWSCLRKPTFQAS >Manes.10G023900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2285752:2288993:1 gene:Manes.10G023900.v8.1 transcript:Manes.10G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLWFAHSICFLLFHLHFQAFPSLSFSFNSSSAAIQCQYDQSLALLQFKKSLSVKSAPSPWSFLHHPKPYLKTESWKEGTNCCSWDGITCDTETGNVIGLHLSNSLLYGSIYSSNPLFSLRHLRKLDLSLNDFNHSRIVPQFGQFSKLTHLNLSYSGFVGQIPSEITYLSGLLSLDLSRNYGLISETTTFTKIARNLTQLRELDLSRVKMSLVPLSSLMNLSSSLTFLKLKSCELQGKIPDISHLSKLVSLDISWNYGGLSMEPMIFDKLVRNLTEIRELHLSWVNMSMVEPSSLTNLSSHLSSLALGNCELKGKFPDNIIQRTNLQLLYLFGNGDLNGSLPRHNWNNSLRSLSLSFTQFPIYLDYDFISNLKSLETLGLSSCNFRVLNLELLGKLTELSKLALSSNNFSGRIPSSFGSLKRLSHLYLSYNNFSGEIPSTFENIRQLQILWLQNNHCSGPIPHYFTNFTLLYILDLSNNQFTGPIPFQVSRLSSLLHLDLSNNLLNATIPPSVFTLPELWCLILNNNQLTGHLGPFQDNPLRYIDLSNNMLHGSIPSSILKLADLTVLILSSNKLVGEVSSAVCNLNSLQILDLSNNSLNGFIPQCLGNFTNNLSVLHLGTNNFHGTIPETFSASCSLRYLNLNGNQLQRRIPPSISNCRNLEILDLGYNKIEDSFPYFLETLPELQILVLKSNKLHGLVKWSSTYYSLKLRMFDLSNNMLSGPLPSGYFNNSKAMMNSDVKMEYMTAPNYPYDYSVSLTLKGVEIELVRIQTLLTTIDLSGNKFTGEIPQSIGKLKALKLLNLSHNQLTGNIQPSLRELSNLESLDLSSNFLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDKSS >Manes.17G016200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8295317:8298839:-1 gene:Manes.17G016200.v8.1 transcript:Manes.17G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNDRPLKRTKRNRVTADLYDFFTFPEGPAPDEDLTKPFREAIKLFLSHHARVTSPPLFSCLLRWQIVFRVGDLLEGSDVSPVVVVLDIVEEDVLGSSRSPYCNQCRVVGWSGHPVCRKRYHFIIRATRSSIDEHEYLCSKCSNLLHVSESRCKWCDTGNHVEDWVLSQFEDHTHLLHGVVHSNGFGHLLRVNGREGGSNILTGSHIVDFWDRLCATLAVRKVSVMDVSRKYGMEYRLLHAITKGHSWYGNWGYEFQSGSYALTPDSYQKAVETLSNVPLAPLLFQRRRPRTRLQAVISFYQSLSDAEILTLRDLCSFLLRLIHESNEPLLPKTPENLASSTSKTLCAWTRHNVECVQQAMMKVLAASGESNWVSRRALKGVMYKKASPELLDYCIKHLRGKLAANSMVVQVRCNPNSGDAEYRLTPVSSIYYGNGLDKIHPLKEDVKRDLKFLLDSLLNPDTTVNFGSQVMRENVIDAATKLIDCKQFMKEYRPDKMKLNDSFAIHLLCHVELSDHAKDDPAIPPELIILPLNATVTDLKKEAAKAFQEVYVMFKRFEAHELVEYGALEDSITVKFLVGTSGSIKIKGTCPSRHANSQFRTERGKERWTVDCMCGAKDDDGEKMLACDACGVWQHTRCAGINNCDKIPPIFVCIRCMSSYCKECERISNLKELPSLSRTSTCREEAIGGTRSLKSLI >Manes.06G007900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1257282:1275850:-1 gene:Manes.06G007900.v8.1 transcript:Manes.06G007900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFYDLYGIFMESTSERMPSLVDLQGTPVSDNVSWEAVLVNRVADANLLKLEQKALEMAVKLRSESPISTDRDFVQKLAVLVSDYMGGSVGDPDNITRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALMFKVLVDSVGVPCRLVKGHQYTGSDDVAMNFVKIDDGREYIVDLMAAPGTLIPSDVAGSHIVYDESFFSSSHLSQAIDSSHRASSSSGVASSFEEHSDVGTLEKKSRLRNVAGSRNQSDERCEFHEFANMTRTIKGEEESKMILDDFKKCSNVEKGPGRESPVRPSYPFTHGRSPSWTEGVSSPAAHRLKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPSLFTEIYHEQLDGSTNEAKSPAEDKDDYKQISEARHMKDQDDLGPAQFLPPLPHQRLHYKSEATSASCAKNVPVAAAAAAAAVVASSMVVAVAKSSTDSNLPVAAAAAAAATTAAVSKQYEQCTRSDGDAKSSGYESRGSKDHGNGGQERGTPGENSEGQRMSDRSAGNDSLKSDAGLDDIAECDIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQGISGESLEEFRSEVRIMKRLRHPNVVLFMGAVTHAPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDTARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVSDFGLSRMKHNTFLSSRSAAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELCTLQQPWGGMNPMQVVGAVGFQQRRLEIPDGMDPVIADVIRKCWQTDPKLRPTFAEIMAALKPLQKPITGAQVPRRSSSTRGGHEKGQVSREEEEQAG >Manes.06G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1257282:1275850:-1 gene:Manes.06G007900.v8.1 transcript:Manes.06G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFKKLHITSNQSHDAAEGSNSSKGTNKPINPSSPDRILPSRSHEHNPFSARRESGSTTSRDPEIEEEYHIQLALELSAREDPEAVQIEAVKQISLGTCAPENTPAEVVAYRYWNYNALNYDDKIMDGFYDLYGIFMESTSERMPSLVDLQGTPVSDNVSWEAVLVNRVADANLLKLEQKALEMAVKLRSESPISTDRDFVQKLAVLVSDYMGGSVGDPDNITRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALMFKVLVDSVGVPCRLVKGHQYTGSDDVAMNFVKIDDGREYIVDLMAAPGTLIPSDVAGSHIVYDESFFSSSHLSQAIDSSHRASSSSGVASSFEEHSDVGTLEKKSRLRNVAGSRNQSDERCEFHEFANMTRTIKGEEESKMILDDFKKCSNVEKGPGRESPVRPSYPFTHGRSPSWTEGVSSPAAHRLKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPSLFTEIYHEQLDGSTNEAKSPAEDKDDYKQISEARHMKDQDDLGPAQFLPPLPHQRLHYKSEATSASCAKNVPVAAAAAAAAVVASSMVVAVAKSSTDSNLPVAAAAAAAATTAAVSKQYEQCTRSDGDAKSSGYESRGSKDHGNGGQERGTPGENSEGQRMSDRSAGNDSLKSDAGLDDIAECDIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQGISGESLEEFRSEVRIMKRLRHPNVVLFMGAVTHAPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDTARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVSDFGLSRMKHNTFLSSRSAAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELCTLQQPWGGMNPMQVVGAVGFQQRRLEIPDGMDPVIADVIRKCWQTDPKLRPTFAEIMAALKPLQKPITGAQVPRRSSSTRGGHEKGQVSREEEEQAG >Manes.06G007900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1257282:1275850:-1 gene:Manes.06G007900.v8.1 transcript:Manes.06G007900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLGSLTIGLARHRALMFKVLVDSVGVPCRLVKGHQYTGSDDVAMNFVKIDDGREYIVDLMAAPGTLIPSDVAGSHIVYDESFFSSSHLSQAIDSSHRASSSSGVASSFEEHSDVGTLEKKSRLRNVAGSRNQSDERCEFHEFANMTRTIKGEEESKMILDDFKKCSNVEKGPGRESPVRPSYPFTHGRSPSWTEGVSSPAAHRLKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPSLFTEIYHEQLDGSTNEAKSPAEDKDDYKQISEARHMKDQDDLGPAQFLPPLPHQRLHYKSEATSASCAKNVPVAAAAAAAAVVASSMVVAVAKSSTDSNLPVAAAAAAAATTAAVSKQYEQCTRSDGDAKSSGYESRGSKDHGNGGQERGTPGENSEGQRMSDRSAGNDSLKSDAGLDDIAECDIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQGISGESLEEFRSEVRIMKRLRHPNVVLFMGAVTHAPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDTARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVSDFGLSRMKHNTFLSSRSAAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELCTLQQPWGGMNPMQVVGAVGFQQRRLEIPDGMDPVIADVIRKCWQTDPKLRPTFAEIMAALKPLQKPITGAQVPRRSSSTRGGHEKGQVSREEEEQAG >Manes.06G007900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1257282:1275850:-1 gene:Manes.06G007900.v8.1 transcript:Manes.06G007900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFKKLHITSNQSHDAAEGSNSSKGTNKPINPSSPDRILPSRSHEHNPFSARRESGSTTSRDPEIEEEYHIQLALELSAREDPEAVQIEAVKQISLGTCAPENTPAEVVAYRYWNYNALNYDDKIMDGFYDLYGIFMESTSERMPSLVDLQGTPVSDNVSWEAVLVNRVADANLLKLEQKALEMAVKLRSESPISTDRDFVQKLAVLVSDYMGGSVGDPDNITRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALMFKVLVDSVGVPCRLVKGHQYTGSDDVAMNFVKIDDGREYIVDLMAAPGTLIPSDVAGSHIVYDESFFSSSHLSQAIDSSHRASSSSGVASSFEEHSDVGTLEKKSRLRNVAGSRNQSDERCEFHEFANMTRTIKGEEESKMILDDFKKCSNVEKGPGRESPVRPSYPFTHGRSPSWTEGVSSPAAHRLKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPSLFTEIYHEQLDGSTNEAKSPAEDKDDYKQISEARHMKDQDDLGPAQFLPPLPHQRLHYKSEATSASCAKNVPVAAAAAAAAVVASSMVVAVAKSSTDSNLPVAAAAAAAATTAAVSKQYEQCTRSDGDAKSSGYESRGSKDHGNGGQERGTPGENSEGQRMSDRSAGNDSLKSDAGLDDIAECDIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQGISGESLEEFRSEVRIMKRLRHPNVVLFMGAVTHAPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDTARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVSDFGLSRMKHNTFLSSRSAAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELCTLQQPWGGMNPMQVVGAVGFQQRRLEIPDGMDPVIADVIRKCWQT >Manes.06G007900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1257282:1275850:-1 gene:Manes.06G007900.v8.1 transcript:Manes.06G007900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFYDLYGIFMESTSERMPSLVDLQGTPVSDNVSWEAVLVNRVADANLLKLEQKALEMAVKLRSESPISTDRDFVQKLAVLVSDYMGGSVGDPDNITRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALMFKVLVDSVGVPCRLVKGHQYTGSDDVAMNFVKIDDGREYIVDLMAAPGTLIPSDVAGSHIVYDESFFSSSHLSQAIDSSHRASSSSGVASSFEEHSDVGTLEKKSRLRNVAGSRNQSDERCEFHEFANMTRTIKGEEESKMILDDFKKCSNVEKGPGRESPVRPSYPFTHGRSPSWTEGVSSPAAHRLKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPSLFTEIYHEQLDGSTNEAKSPAEDKDDYKQISEARHMKDQDDLGPAQFLPPLPHQRLHYKSEATSASCAKNVPVAAAAAAAAVVASSMVVAVAKSSTDSNLPVAAAAAAAATTAAVSKQYEQCTRSDGDAKSSGYESRGSKDHGNGGQERGTPGENSEGQRMSDRSAGNDSLKSDAGLDDIAECDIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQGISGESLEEFRSEVRIMKRLRHPNVVLFMGAVTHAPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDTARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVSDFGLSRMKHNTFLSSRSAAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELCTLQQPWGGMNPMQVVGAVGFQQRRLEIPDGMDPVIADVIRKCWQT >Manes.15G096200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7518595:7521242:-1 gene:Manes.15G096200.v8.1 transcript:Manes.15G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGYKARAEAEGRLLFLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKQRVHQFAASVRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >Manes.01G159100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34436705:34440328:1 gene:Manes.01G159100.v8.1 transcript:Manes.01G159100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASATERIDVFWHEGMLKHDTGKGVFDTGLDPGFLDVLEMHPENANRIKNMVSILKRGPISPYISWHLGRPAQLSELLSFHTPEYINELVKADKEGGKMICCGTFLSPGSWNASLLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFLNNAGLAIQLALDSGCQKVAVVDIDVHYGNGTAEGFYQSDRVLTMSLHMNHGSWGPSHPQNGSVDELGERDGFGYNLNIPLPNGTGDKGYEYAMSELVMPAINKFKPDMLVMVVGQDSSAFDPNGRQCLTLDGYRAIGRMVRSLANAHCGGRLLIVQEEDEAFAMKVVESIQKFQKESVPFLKEA >Manes.01G159100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34436703:34440328:1 gene:Manes.01G159100.v8.1 transcript:Manes.01G159100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASATERIDVFWHEGMLKHDTGKGVFDTGLDPGFLDVLEMHPENANRIKNMVSILKRGPISPYISWHLGRPAQLSELLSFHTPEYINELVKADKEGGKMICCGTFLSPGSWNASLLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFLNNAGLAIQLALDSGCQKVAVVDIDVHYGNGTAEGFYQSDRVLTMSLHMNHGSWGPSHPQNGSVDELGERDGFGYNLNIPLPNGTGDKGYEYAMSELVMPAINKFKPDMLVMVVGQDSSAFDPNGRQCLTLDGYRAIGRMVRSLANAHCGGRLLIVQEEDEAFAMKVVESIQKFQKESVPFLKEA >Manes.01G159100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34436705:34440328:1 gene:Manes.01G159100.v8.1 transcript:Manes.01G159100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASATERIDVFWHEGMLKHDTGKGVFDTGLDPGFLDVLEMHPENANRIKNMVSILKRGPISPYISWHLGRPAQLSELLSFHTPEYINELVKADKEGGKMICCGTFLSPGSWNASLLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFLNNAGLAIQLALDSGCQKVAVVDIDVHYGNGTAEGFYQSDRVLTMSLHMNHGSWGPSHPQNGSVDELGERDGFGYNLNIPLPNGTGDKGYEYAMSELVMPAINKFKPDMLVMVVGQDSSAGWINC >Manes.01G159100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34436705:34440328:1 gene:Manes.01G159100.v8.1 transcript:Manes.01G159100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASATERIDVFWHEGMLKHDTGKGVFDTGLDPGFLDVLEMHPENANRIKNMVSILKRGPISPYISWHLGRPAQLSELLSFHTPEYINELVKADKEGGKMICCGTFLSPGSWNASLLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFLNNAGLAIQLALDSGCQKVAVVDIDVHYGNGTAEGFYQSDRVLTMSLHMNHGSWGPSHPQNGSVDELGERDGFGYNLNIPLPNGTGDKGYEYAMSELVMPAINKFKPDMLVMVVGQDSSAFDPNGRQCLTLDGYRAIGRMVRSLANAHCGGRLLIVQEEDEAFAMKVVESIQKFQKESVPFLKEA >Manes.01G159100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34436705:34440328:1 gene:Manes.01G159100.v8.1 transcript:Manes.01G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASATERIDVFWHEGMLKHDTGKGVFDTGLDPGFLDVLEMHPENANRIKNMVSILKRGPISPYISWHLGRPAQLSELLSFHTPEYINELVKADKEGGKMICCGTFLSPGSWNASLLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFLNNAGLAIQLALDSGCQKVAVVDIDVHYGNGTAEGFYQSDRVLTMSLHMNHGSWGPSHPQNGSVDELGERDGFGYNLNIPLPNGTGDKGYEYAMSELVMPAINKFKPDMLVMVVGQDSSAFDPNGRQCLTLDGYRAIGRMVRSLANAHCGGRLLIVQEGGYHITYSAYCLHATLEGVLSLPQPLLSDPIAYYPEDEAFAMKVVESIQKFQKESVPFLKEA >Manes.01G159100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34436703:34440328:1 gene:Manes.01G159100.v8.1 transcript:Manes.01G159100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASATERIDVFWHEGMLKHDTGKGVFDTGLDPGFLDVLEMHPENANRIKNMVSILKRGPISPYISWHLGRPAQLSELLSFHTPEYINELVKADKEGGKMICCGTFLSPGSWNASLLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPTQADGYCFLNNAGLAIQLALDSGCQKVAVVDIDVHYGNGTAEGFYQSDRVLTMSLHMNHGSWGPSHPQNGSVDELGERDGFGYNLNIPLPNGTGDKGYEYAMSELVMPAINKFKPDMLVMVVGQDSSAFDPNGRQCLTLDGYRAIGRMVRSLANAHCGGRLLIVQEGGYHITYSAYCLHATLEGVLSLPQPLLSDPIAYYPEDEAFAMKVVESIQKFQKESVPFLKEA >Manes.17G089010.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29703247:29705245:-1 gene:Manes.17G089010.v8.1 transcript:Manes.17G089010.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEPTMLPLIFAAFIICFCSFSSLAELQSFQQPLKSDGSLSFLVIGDWGRRGLYNQSEVALQMGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNSEHNYDWKGISPRETYLANLLKDVDAALSSSNAKWKLVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSSHSNIQFITSGGGSKAWRGDIRKWDPEELKLYYDGQGFMSVQMTDSTAAFAFYDAYGSVLHQWSISKESHSAA >Manes.01G163000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34741206:34748281:-1 gene:Manes.01G163000.v8.1 transcript:Manes.01G163000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAALNSSPYKDMVAVISEKPSEITPSNVQSTTPQKRKLRSNGPLVQETPVSLPVKRKSPRRCFYSSPTAPENEIEKDSCQQLEKTCNSPPKKLYDDFTDKPKLNPRDIVQMSAVKEALHVSTAPSVVVCREDEQKKIFDFCKACIQQEKAGSLYVCGCPGTGKSLSMEKVKQHLVDWAKEIMGKNIPKRRINGSASPLQHLQNFYSQQQQSSGSNMMLIIADELDYLITKDRGVLHDLFMLTTFPFSRCILIGIANAIDLADRFLPRLQSMNCKPMVVTFRAYSKDQILRILKERLMALPCSVFHPQALELCARKVAAASGDMRKALCICRSAIEILEAELRESASNLNSSAVENELFHPQTAPACEFFKRLESSIVGIDHMAVALSKAYKSPIVDTIQSLPQHQQIILCAAVKFFRGGKKDTVLGELNKYYIDICKSTMIPPVGILEFLSMCQVLNDQGLLKLGQCRDDKMRRLTLKIDAADITFALQGVRFFRNCLQ >Manes.01G163000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34741206:34748280:-1 gene:Manes.01G163000.v8.1 transcript:Manes.01G163000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAALNSSPYKDMVAVISEKPSEITPSNVQSTTPQKRKLRSNGPLVQETPVSLPVKRKSPRRCFYSSPTAPENEIEKDSCQQLEKTCNSPPKKLYDDFTDKPKLNPRDIVQMSAVKEALHVSTAPSVVVCREDEQKKIFDFCKACIQQEKAGSLYVCGCPGTGKSLSMEKVKQHLVDWAKESGLQSPEVLSLNCTSLTKTSEIFNKIMGKNIPKRRINGSASPLQHLQNFYSQQQQSSGSNMMLIIADELDYLITKDRGVLHDLFMLTTFPFSRCILIGIANAIDLADRFLPRLQSMNCKPMVVTFRAYSKDQILRILKERLMKVAAASGDMRKALCICRSAIEILEAELRESASNLNSSAVENELFHPQTAPACEFFKRLESSIVGIDHMAVALSKAYKSPIVDTIQSLPQHQQIILCAAVKFFRGGKKDTVLGELNKYYIDICKSTMIPPVGILEFLSMCQVLNDQGLLKLGQCRDDKMRRLTLKIDAADITFALQGVRFFRNCLQ >Manes.01G163000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34741206:34748280:-1 gene:Manes.01G163000.v8.1 transcript:Manes.01G163000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIAALNSSPYKDMVAVISEKPSEITPSNVQSTTPQKRKLRSNGPLVQETPVSLPVKRKSPRRCFYSSPTAPENEIEKDSCQQLEKTCNSPPKKLYDDFTDKPKLNPRDIVQMSAVKEALHVSTAPSVVVCREDEQKKIFDFCKACIQQEKAGSLYVCGCPGTGKSLSMEKVKQHLVDWAKESGLQSPEVLSLNCTSLTKTSEIFNKIMGKNIPKRRINGSASPLQHLQNFYSQQQQSSGSNMMLIIADELDYLITKDRGVLHDLFMLTTFPFSRCILIGIANAIDLADRFLPRLQSMNCKPMVVTFRAYSKDQILRILKERLMALPCSVFHPQALELCARKVAAASGDMRKALCICRSAIEILEAELRESASNLNSSAVENELFHPQTAPACEFFKRLESSIVGIDHMAVALSKAYKSPIVDTIQSLPQHQQIILCAAVKFFRGGKKDTVLGELNKYYIDICKSTMIPPVGILEFLSMCQVLNDQGLLKLGQCRDDKMRRLTLKIDAADITFALQGVRFFRNCLQ >Manes.14G170200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28022222:28023971:1 gene:Manes.14G170200.v8.1 transcript:Manes.14G170200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFGSPPFLSHPLTRTNHISSSSQTPPPPSTPPSQPQPPPSPQLSTSLSEQPTPVFSAKVQEQKKSTKVESTDWIASTLTRRFGLGAGLAWAAFLAVGVVSEQIKTRLEVSQQEANTRDVEKEEEVLLPNGIRYTELRVGGGASPRAGDLVVIELKGKVEESGKVFVDTFGEKKPLALVMGSRPYSKGICEGIEYALRSMKAGGKRKVIVPANLGFGENGADLGPDVQIPPFATLEYIVEVERVSIAPA >Manes.14G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8245519:8247522:-1 gene:Manes.14G099400.v8.1 transcript:Manes.14G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNTLSQPKTVSPPLEKKFPEGQWTSGLCDCFDDPSNCVITCCCPCITFGQTAEIIDRGNTSCGIAGLLYYVTASVGCGWLYACTYRSKLRGHFSLPEAPCADWLVHCCCCACSLCQEYRELKTRGADPSIGWQANVDKWSQDKLQPPFVAAGMGR >Manes.15G139000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11251886:11255501:1 gene:Manes.15G139000.v8.1 transcript:Manes.15G139000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDALNMRNWGYYEPTFKGHLGLQLMSSMADRDTKHFLPGRDPNNIMVGANGAFHPRDCVVSDASVPMHYVRDGWISQREKFLNMLPPNPNYAVLPEASGAHSMQVLQPPNSSRDEKVGRIEEPTVNKEGNQLKKRQGGGAPKTPKAKKPRKPKDSSNNAVQRAKPAKKSMDVVINGIDMDISGIPIPVCSCTGTPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFVNPIDLRTHWAKHGTNKFVTIR >Manes.15G139000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11251886:11255501:1 gene:Manes.15G139000.v8.1 transcript:Manes.15G139000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDALNMRNWGYYEPTFKGHLGLQLMSSMADRDTKHFLPGRDPNNIMVGANGAFHPRDCVVSDASVPMHYVRDGWISQREKFLNMLPPNPNYAVLPEASGAHSMQVLQPPNSSRDEKVGRIEEPTVNKEGNQLKKRQGGGAPKTPKAKKPRKPKDSSNNAVQRAKPAKKSMDVVINGIDMDISGIPIPVCSCTGTPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFVNPIDLRTHWAKHGTNKFVTIR >Manes.05G086320.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7502586:7503245:1 gene:Manes.05G086320.v8.1 transcript:Manes.05G086320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSSMESDPSEWESEPFPLARSGGEDRARDPERSVEVCREVMVQRRDVSLQVNMDEESMEKSKDSKSSSSGEVDPSMLSTATKRGRKWGRARAPKQWGKTRKGRLWKRFRLDAEDGSSFGRGPTRCLRCGRLHRGPCRVGTTACFRCGQEGHFARDCPTAPRRVWSQQRAAGDVAQASVPGRGADTSNAVMPGTLTCSCSDVCVCALVCFPFLLLL >Manes.11G130500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29225817:29238006:1 gene:Manes.11G130500.v8.1 transcript:Manes.11G130500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCVRASPSRPCFHVSYTSQSHQKPRMLPVLPVGVKRFPTLSVKIAPVFLPYGLVLKHQQQETALKYKQLTPVCLLGGKDKSERDSGGSPWNPFEKVMGNFKGQSVEDMLRQQIEKQEFYDGGSGKNPPRGGGGGSGDGFGGSDDEGLAGIMDETLQVILATMGFILLYIYILTGEEMTRLGKDFIKFLFGGSKSVRLTKVMDKWKSFFVPPKEEEEIDRFWLEKEIINTPTVYDSPEKFRRLYRPSIIANAISNATSNATSNASDE >Manes.03G091000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20655692:20657411:1 gene:Manes.03G091000.v8.1 transcript:Manes.03G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTMRNYRPTTFFSGIPIIDLSKPDSKRALVKACEELGFFKVVNHGVPMEFISRLESKALSFFSLPLSEKEKAGPPNPFGYGNKSIGPNGDVGWLEYLLFTINQDSFSQRFLSVFGHNPEEFRSALNDYISAVKKMACEILEMMADGLRIQPRNVFSKLLMDEQSDSVFRLNHYPPIAGIQTLNSNNMIGFGEHTDPQIISVLRSNNTSGLQISLGENNWVSVPPDQNSFFINVGDSLQVMTNGRFKSVRHRVLANSMRSRVSMIYFGGPPLSEKIAPLPPLMKEKEESLYKEFTWFEYKRSAYNSRLADNRLHHFEKVAAS >Manes.16G025600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2702274:2708826:1 gene:Manes.16G025600.v8.1 transcript:Manes.16G025600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQGTHSLAFRVMRLCRPSFQVEPPLLVDPADLIVGEDIFDDPIAASRLPPLIDSHVTKLTDTSDLTYRTRFLLQHPFDSFGLTGLLVLPQAFGAIYLGETFCSYISINNSSNFEVRDVTIKAEIQTERQRILLLDTSKTPVESIRAGGRYDFIVEHDVKELGAHTLVCTALYSDGDSVSERKYLPQFFKFIVANPLSVRTKVRVVKETTYLEACIENHTKTNLYMDQVEFEPAQHWSAKVLKADEHLSEKDSLTREIFKPPVLIRSGGGIHNYLYQLTLSSNSSVQSNILGKLQITWRTNLGEPGRLQTQQILGTPVTHKEIELCVMEVPSVINLDKPFSVHLNLKNHTDRELGPFEVWLSQNDSLDGKAVMINGLQTMELPQLAAFGATDFRLNLIATKIGVQKITGITVFDKSEKKTHDPLPDLEIFVDVD >Manes.05G005360.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1243413:1248113:1 gene:Manes.05G005360.v8.1 transcript:Manes.05G005360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNSSGMTCPPQSDSQNLPVGWRFHPSDEELVDYYLKRKRLDHPIYGLDISEVQVCDYDPRDLPGLSMNNSRDKVWYFFCLPLYHNNRGQAKRKAKDGYWKGTGDLRSVTPEDSDEEIGTKRTLVFHNPKATQWVIHEYEYTAALNLPTKGDYVLCKLKISKNKKKASKKDEKAEPDSKKTRPNKKSRKSESNGNLASASASTSKNRKLEGMTTNSAYGEGEPNSLMILDLENQNLNTMASISTSNKDEMSSLMTSNFENGYYKRAIVSTCNKGETSCPMASDLENHCPEEMSAMSSYKKVNPSCPRASVLENLSPNEITSLSTNSKGDTSFFRTCDIENKKSDSES >Manes.05G005360.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1243413:1248113:1 gene:Manes.05G005360.v8.1 transcript:Manes.05G005360.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPPQSDSQNLPVGWRFHPSDEELVDYYLKRKRLDHPIYGLDISEVQVCDYDPRDLPGLSMNNSRDKVWYFFCLPLYHNNRGQAKRKAKDGYWKGTGDLRSVTPEDSDEEIGTKRTLVFHNPKATQWVIHEYEYTAALNLPTKGDYVLCKLKISKNKKKASKKDEKAEPDSKKTRPNKKSRKSESNGNLASASASTSKNRKLEGMTTNSAYGEGEPNSLMILDLENQNLNTMASISTSNKDEMSSLMTSNFENGYYKRAIVSTCNKGETSCPMASDLENHCPEEMSAMSSYKKVNPSCPRASVLENLSPNEITSLSTNSKGDTSFFRTCDIENKKSDSES >Manes.05G005360.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1243413:1248113:1 gene:Manes.05G005360.v8.1 transcript:Manes.05G005360.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPPQSDSQNLPVGWRFHPSDEELVDYYLKRKRLDHPIYGLDISEVQVCDYDPRDLPGLSMNNSRDKVWYFFCLPLYHNNRGQAKRKAKDGYWKGTGDLRSVTPEDSDEEIGTKRTLVFHNPKATQWVIHEYEYTAALNLPTKGDYVLCKLKISKNKKKASKKDEKAEPDSKKTRPNKKSRKSESNGNLASASASTSKNRKLEGMTTNSAYGEGEPNSLMILDLENQNLNTMASISTSNKDEMSSLMTSNFENGYYKRAIVSTCNKGETSCPMASDLENHCPEEMSAMSSYKKVNPSCPRASVLENLSPNEITSLSTNSKGDTSFFRTCDIENKKSDSES >Manes.10G051550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5733808:5736968:1 gene:Manes.10G051550.v8.1 transcript:Manes.10G051550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIGSPKNKVPAVGTASLNLAEYASTAEQKELELSLPLSLPAGAAEPKPMLCISLILLELRFPEATKPLQREIVPVSSPPQSGETVSTEKDELSAIKAGLRKSHKADEDSNANRSSVSDFGDDNFAIGSWEHKEIISRDGHMKL >Manes.13G071301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10311163:10312624:1 gene:Manes.13G071301.v8.1 transcript:Manes.13G071301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNSIVLALRNNKKPPIIINLDSFAFSTNIILNETNYPLWLQIMEMRIGSCNKARYLTGEAKKLPPEDPSYAIWVTKNYKVKSWLIDSMDPLLMQRFILVAKTFYDESNKTCLFELNQKSFSTTQNDRPLSTYYNELIPIFQKIDHRMTSQEETVEGVVQLHSTMARLRVHIFLSGLDPEFDHVRREILRKDPKLNLESTYVYQRQTMGGSRPIPKSSVMVAKRIQQGPSSGSTKTQSAKFNNLVCSYCGYPEWWDFTNKLRKKVAGTPIMAVTTEVQQNMENKSQPTANVTHPEQLHVTLNVSFCE >Manes.09G056700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9223620:9237455:1 gene:Manes.09G056700.v8.1 transcript:Manes.09G056700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTPSSSIPTPSTTANATSQPSEPSPTQPTPPAPRPPQQQQQQPPPLQPPSSSTPSAPSPTPTPNPSPSPSPSPSPNPSLRPSTSNSLTQPQSQPNSASRPQSLHRPWQHPHPHSHFPHFSSITSPSSSLPASSGLSLSSSASPSITASQQRGGVAIGVPAPHPSPSPAPFSSSFGQQYGGLSRGTVNMPDSVANTSSSQVRQGMQGVQGVGMVGSMSSTSQIRPVGIPAHHQQRPVQSSIRPPTSSPNNQSPTTQNFQGHGFMRPSSVGPPSSSAPNTSQSMQSPSQPWLSSGSQGKPPLPSPSYRTQISTPSLQQRSHIPQQHHSLTTTSQQQQHMSSAQTQQPLPSHQPSDHYGQQFPARIPRSITQQLALQGSANPKPPSLPMVQPNTVQPTTQNRTTNVENDEPGNRILSKRSINELVSQIDPSEKLDPEVEDILADIADEFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSSDEIKTYRKPITSDIHKERLAAIKKSVLATEMTNAKSSIGQAAGNAKSNLSKTPANVMVSPNLKNRDVT >Manes.09G056700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9223605:9238395:1 gene:Manes.09G056700.v8.1 transcript:Manes.09G056700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTPSSSIPTPSTTANATSQPSEPSPTQPTPPAPRPPQQQQQQPPPLQPPSSSTPSAPSPTPTPNPSPSPSPSPSPNPSLRPSTSNSLTQPQSQPNSASRPQSLHRPWQHPHPHSHFPHFSSITSPSSSLPASSGLSLSSSASPSITASQQRGGVAIGVPAPHPSPSPAPFSSSFGQQYGGLSRGTVNMPDSVANTSSSQNFQGHGFMRPSSVGPPSSSAPNTSQSMQSPSQPWLSSGSQGKPPLPSPSYRTQISTPSLQQRSHIPQQHHSLTTTSQQQQHMSSAQTQQPLPSHQPSDHYGQQFPARIPRSITQQLALQGSANPKPPSLPMVQPNTVQPTTQNRTTNVENDEPGNRILSKRSINELVSQIDPSEKLDPEVEDILADIADEFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSSDEIKTYRKPITSDIHKERLAAIKKSVLATEMTNAKSSIGQAAGNAKSNLSKTPANVMVSPNLKNRDVT >Manes.09G056700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9223605:9238395:1 gene:Manes.09G056700.v8.1 transcript:Manes.09G056700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTPSSSIPTPSTTANATSQPSEPSPTQPTPPAPRPPQQQQQQPPPLQPPSSSTPSAPSPTPTPNPSPSPSPSPSPNPSLRPSTSNSLTQPQSQPNSASRPQSLHRPWQHPHPHSHFPHFSSITSPSSSLPASSGLSLSSSASPSITASQQRGGVAIGVPAPHPSPSPAPFSSSFGQQYGGLSRGTVNMPDSVANTSSSQNFQGHGFMRPSSVGPPSSSAPNTSQSMQSPSQPWLSSGSQGKPPLPSPSYRTQISTPSLQQRSHIPQQHHSLTTTSQQQQHMSSAQTQQPLPSHQPSDHYGQQFPARIPRSITQQLALQGSANPKPPSLPMVQPNTVQPTTQNRTTNVENDEPGNRILSKRSINELVSQIDPSEKLDPEVEDILADIADEFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSSDEIKTYRKPIKKSVLATEMTNAKSSIGQAAGNAKSNLSKTPANVMVSPNLKNRDVT >Manes.09G056700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9223618:9237743:1 gene:Manes.09G056700.v8.1 transcript:Manes.09G056700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTPSSSIPTPSTTANATSQPSEPSPTQPTPPAPRPPQQQQQQPPPLQPPSSSTPSAPSPTPTPNPSPSPSPSPSPNPSLRPSTSNSLTQPQSQPNSASRPQSLHRPWQHPHPHSHFPHFSSITSPSSSLPASSGLSLSSSASPSITASQQRGGVAIGVPAPHPSPSPAPFSSSFGQQYGGLSRGTVNMPDSVANTSSSQVRQGMQGVQGVGMVGSMSSTSQIRPVGIPAHHQQRPVQSSIRPPTSSPNNQSPTTQNFQGHGFMRPSSVGPPSSSAPNTSQSMQSPSQPWLSSGSQGKPPLPSPSYRTQISTPSLQQRSHIPQQHHSLTTTSQQQQHMSSAQTQQPLPSHQPSDHYGQQFPARIPRSITQQLALQGSANPKPPSLPMVQPNTVQPTTQNRTTNVENDEPGNRILSKRSINELVSQIDPSEKLDPEVEDILADIADEFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSSDEIKTYRKPVRTHLGPCY >Manes.09G056700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9223605:9238395:1 gene:Manes.09G056700.v8.1 transcript:Manes.09G056700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTPSSSIPTPSTTANATSQPSEPSPTQPTPPAPRPPQQQQQQPPPLQPPSSSTPSAPSPTPTPNPSPSPSPSPSPNPSLRPSTSNSLTQPQSQPNSASRPQSLHRPWQHPHPHSHFPHFSSITSPSSSLPASSGLSLSSSASPSITASQQRGGVAIGVPAPHPSPSPAPFSSSFGQQYGGLSRGTVNMPDSVANTSSSQVRQGMQGVQGVGMVGSMSSTSQIRPVGIPAHHQQRPVQSSIRPPTSSPNNQSPTTQNFQGHGFMRPSSVGPPSSSAPNTSQSMQSPSQPWLSSGSQGKPPLPSPSYRTQISTPSLQQRSHIPQQHHSLTTTSQQQQHMSSAQTQQPLPSHQPSDHYGQQFPARIPRSITQQLALQGSANPKPPSLPMVQPNTVQPTTQNRTTNVENDEPGNRILSKRSINELVSQIDPSEKLDPEVEDILADIADEFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSSDEIKTYRKPIKKSVLATEMTNAKSSIGQAAGNAKSNLSKTPANVMVSPNLKNRDVT >Manes.09G056700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9223606:9238394:1 gene:Manes.09G056700.v8.1 transcript:Manes.09G056700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQTPSSSIPTPSTTANATSQPSEPSPTQPTPPAPRPPQQQQQQPPPLQPPSSSTPSAPSPTPTPNPSPSPSPSPSPNPSLRPSTSNSLTQPQSQPNSASRPQSLHRPWQHPHPHSHFPHFSSITSPSSSLPASSGLSLSSSASPSITASQQRGGVAIGVPAPHPSPSPAPFSSSFGQQYGGLSRGTVNMPDSVANTSSSQVRQGMQGVQGVGMVGSMSSTSQIRPVGIPAHHQQRPVQSSIRPPTSSPNNQSPTTQNFQGHGFMRPSSVGPPSSSAPNTSQSMQSPSQPWLSSGSQGKPPLPSPSYRTQISTPSLQQRSHIPQQHHSLTTTSQQQQHMSSAQTQQPLPSHQPSDHYGQQFPARIPRSITQQLALQGSANPKPPSLPMVQPNTVQPTTQNRTTNVENDEPGNRILSKRSINELVSQIDPSEKLDPEVEDILADIADEFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSSDEIKTYRKPITSDIHKERLAAIKKSVLATEMTNAKSSIGQAAGNAKSNLSKTPANVMVSPNLKNRDVT >Manes.11G019000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2093588:2095663:-1 gene:Manes.11G019000.v8.1 transcript:Manes.11G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDVTMVPAGEASSSVAGPSSSTKKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Manes.11G152091.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31623619:31626403:-1 gene:Manes.11G152091.v8.1 transcript:Manes.11G152091.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFIFFSFCSWKFIRFRVLLLFLLYQNFIFCWSLNDEGLALLKFRERIVSDPYDALKNWKDEDGVVNPCYWFGVECSDGKVVELNLKDLYLGGTLAPDLRNLVRIKSIILHNNSFTGIIPEGIGELKELEVLDFGNNNFSGPLPPVLDSSLSLTILFLDNNRLLSNLSPEIHRLETHSEFQVDENQLASAAKGPSYNERSALRNAVQTENAINKRQLQVANAPRVNESPYLRSRFSVPEAPSESGKAPPRSVAPPFSLLPSPPVNNSIQSPPPEPNPAPSSPPAVVSLPTPLEPNPPSASPNGSASNPLLVPTPPSSNNPVSLTIENTEQRQKL >Manes.03G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:669496:672144:1 gene:Manes.03G008200.v8.1 transcript:Manes.03G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTVASTPQLGNCRFLSRRKTPAFSSLSFCKVNSFANHVGPLITSRPHETRKQSWSLRLNGLFGGKKENNDKGDEKPPSKAGLLGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGFCEDELIKATLSGNQQPVRIEITEAAMELGAEKLSLLITEAYKDAHQKSVKAMKERMSNLAQSLGLPPNLNEELK >Manes.06G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25352039:25353824:-1 gene:Manes.06G123300.v8.1 transcript:Manes.06G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLPWGFIFGLLGNVLSCMVCLAPAPTFYQIFKKKTSEGFQSLPYVIALLSAMLWLFYAVFAKDATLLITVNSFTFLLEIAYLTLYFFYATKKDKILTTKLVFLFNVLGFGSISIVAMFILHGNQRVNVLGWICMIFALSVFVAPLAIVRKVIKTKSVEFMPISLSFFLTLSAVMWFLYGFLRKDYYVAIPNVLGFIFGMLQMLLYAIYRKPRKSLEKPTLGESSEHVIDVSKLGANTCCELNTEVAAPKNENDHEEVDDNHVKEQPKKINEDKDLSDTV >Manes.11G096400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20121691:20127550:1 gene:Manes.11G096400.v8.1 transcript:Manes.11G096400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLYSSSPTPTLKFHLKPTLFLPPLRRVDSLKFGLPSIPRPEFRRLNLVSCKHENPSTPPPLVFSRTGSVPKSHFHQQIVNGAPVQQKVVNLGTFIILSAVIMFLIHPVFASPAFATFQTAAKTGGPVAAAAVGAKLLRTELLTSAWTGFFAGCLHTLSGPDHLAALAPLSIGRSRMESAVVGALWGCGHDAGQVIFGLLFLLLKDQLHIEIIRTWGTRVVGFTLLVIGAMGIREASEVPTPCVALENGECDVSVYEALESPTVGKKKIGFATFATGIIHGLQPDALMMVLPALALPSRLAGAAFLIMFLLGTVVAMGSYTVFLGSCSQALKDRVPRITEKLTWISSLIAIALGLAIIISQFFGYSLY >Manes.06G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23559198:23564120:1 gene:Manes.06G102500.v8.1 transcript:Manes.06G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRSTTLSDLPIHPHPSVSVSPSAKMEKSSWDYWVEESLAKLESLKLLRSLRPIYLSKESNPSKPIALEVEDNNYEVFDEMQPWDRSSVEVSISGSTFQKWLLEIPSSGDEIVCGKAVTDYKVEQSKKLLLFSGNDYLGLSSHPTIGKAAAKAAQEHGMGPRGSALICGYTNYHRALESCLANLKKKEDCLLCPTGFAANMAVMVAVGNISSLLAPAEKPLQKEKIAIFSDALNHASIIDGIRLAERQQSVEVHVYRHCDMSHLSSLLSSCPLKKKVVVTDSLFSMDGDFAPMVELVNLRKRHGFLLVIDDAHGTFVCGRNGGGVAEEFNCERDVDICVGTLSKAAGCHGGFIACSEKWKKLIQSRGRSFIFSTASPVPVAAAAHASVIVAKKEVWRRKAIWNRVQEFQALTGIPITSPIISLIVGSEEKALQASRHMLKSGFHVTAIRPPTVPPNSCRLRVTLSATHTADDLKQLIGALSSCINFQEICSNSIYAYARL >Manes.11G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22358161:22368058:-1 gene:Manes.11G099600.v8.1 transcript:Manes.11G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIKALVGLKKSEKSQSQERDDNRTATSKFRQRRKHSVDFDADKLQEEFKDNVAEPVGDANHSVPDASESPSASLQVQDVAHNQKALREEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRIALENQTAQQKLQLQLANEARVREIEEGWCDIVGSVEQIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRQQAAPPGFEPDKSSWGWNWLERWMAVRPWENRFLDINLKDGVMIRENGMPDCKNGAKPQLKSSGKKPVVSSLQSNLSSQKIGPSNSDGSSTSPSKLEASNTVFAKPKGKPVLEDLVEEANSRPGLAQRSHSNPKERPIQPDKPTKKRLSLPNSGGGAGSQAARHGRIAGKGTPVSHKPARDKSKLNEKVDSNTTKSVAQAVDV >Manes.01G089600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29127059:29130928:-1 gene:Manes.01G089600.v8.1 transcript:Manes.01G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAAANLSTAKTPISHSASATSFSPLNPQIFPLHVTTTPATIKKPRPAIVASVSTSLSPSSSSNVISPNWSLDSWKTKPAHQLPEYPDQGELESALHTLSTFPPIVFAGEARKLEERIADAAVGNAFLLQGGDCAESFKEFSANNIRDTFRVLLQMGVVLSFGAQMPIIKVGRMAGQFAKPRSEPFEIKDGVKLPSYRGDNINADAFDEKSRIPDPQRLIRAYLQSVGTLNLLRAFATGGYAAMQRVSQWNLDFVLHSEQGDRYMELARRVDEALGFMAAAGLTVDHPVMNTTEFWTSHECLHLPYEQALTREDSTTGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVSNPLGIKVSDKMDPQELVKLCEILNPHNRPGRLTIIARMGADNLRIKLPHLIRAIRQAGLIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSKAVTFDDLNSRYHTHCDPRLNASQSLELAFAIAERLRRKRLRSGNGILKGHNVGGSVA >Manes.18G094101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8709634:8718146:1 gene:Manes.18G094101.v8.1 transcript:Manes.18G094101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWHELIYKIAKVESIIRKELKKIFKKDVGRAAGLLRLHFHDCFVQGCDSSVLLDGSAGGPKIVHKQCGRVVSCSDIVAIAARDSVFLTGLDYDVLLGRRDGVNFARVNQTFVDLVGPDANTTTILVKLARKKLDATDAVALSGSHTIGIGHTTSFTDRLYPTQDPTMDKTFAKSLKRTCPKPDTRNTTFLDIRSPNKFDNNFHDQDGELNVLTGKQGEIRANCSARNSDNKLLVYVAEEEMDHLLK >Manes.12G050800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4744640:4747155:1 gene:Manes.12G050800.v8.1 transcript:Manes.12G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAVKKETSEEGEGATATATAEETKKSNHVQRKLEKRQKDRKLDSHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAGAA >Manes.02G004200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:550977:553209:1 gene:Manes.02G004200.v8.1 transcript:Manes.02G004200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRLYSCCNCRNHVALHDDVISKAFQGRHGRAFLFSHAMNIMVGPKEDRQLMTGLHTVADVYCCDCHEVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >Manes.02G004200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:550977:553209:1 gene:Manes.02G004200.v8.1 transcript:Manes.02G004200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGPRLYSCCNCRNHVALHDDVISKAFQGRHGRAFLFSHAMNIMVGPKEDRQLMTGLHTVADVYCCDCHEVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >Manes.08G008600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1117186:1122532:1 gene:Manes.08G008600.v8.1 transcript:Manes.08G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQPRLSINNLRTTSHLIKQASASFSANLFTFLLLSFLLFSFRSLVENGTHALTSFIDRDPSLKSLLSRLDLAGHHLHPQHRIHRLPPRRHRRPFLHLTRVGTLDDDFFSGDDDTDRSLFGPNRKLPPNGSSVILYNFDRESGFSEFVVDNGIKISETVHSGIQFKVDSLPMDGSDREGTDYGDNEKEEEEEEEKENGSLGLEKGGDLDRIVDLQFFIKGLELGRRDAAALFFLVSFLSAAYGWVILGFTALYSWILGIVFVAVVSDLLGRFNSFVAVVWDGSRLGLKRLTGFILMRWAVRDALTQLIGLWYFGEVEDQYSFFKLFVRLKLMPFSIMSPWIRGFEKEISGFLFTWFLLDAFVAFIFAVDAWVTIVDSRRTGREIVKEGCYLISTMFNQAIQIKSMETILCGSVARWLLTRVFGKFLAAVFQSTLEVYFMVAWLIFYFAARCKEAHSEGRRFGRRELEGLIDGLR >Manes.09G045800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8025929:8054409:-1 gene:Manes.09G045800.v8.1 transcript:Manes.09G045800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSDDLGLFVIDEDDNETLLLHRIMPDDIYRKQEDTIISWRDPVCSAELALSFQETTGCSHIWYNICNVHRSLQFSTIEKRTSRGSLSANTQYSCNMWHNHKDVSIGSREFSGNSLNLQYLEPRSYGRNYASDEEETVSMPVFPSKKSLTTEVSDGWKSKRSKTEFTYSSTSSESEVNFATFDSTFLFTLSKDEERKQNFK >Manes.12G039100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3413613:3417382:-1 gene:Manes.12G039100.v8.1 transcript:Manes.12G039100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAWEPNKPLVIEDVQVAPPQAGEVRIKVLFTALCHTDAYTWSGKDPEGLFPCILGHEAAGVVESVGEGVTEVQPGDHVIPCYQAECRECKFCRSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPKASLEKVCLLGCGVPTGLGAVWNTAKVEAGSIVAIFGLGTVGLAVAEGAKAAGSSRIIGIDIDSKKFDRAKNFGVTEFVNPKDHDKPIQQVIIDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKVDEYITHSLTLGEINKAFDLMHEGDCLRCVLDMHV >Manes.06G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27018901:27023235:1 gene:Manes.06G142400.v8.1 transcript:Manes.06G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGMSHGETKDGQQENMAAWLLGVNTLKIQPFKLPPLGPHDVRVAMKAVGICGSDVHYLKTLRCADFVVKEPMVIGHECAGIIEEVGSEVKHLLPGDRVALEPGISCWRCNLCKEGRYNLCPDMKFFATPPVHGSLANQVVHPADLCFKLPENVSLEEGAMCEPLSVGVHACRRANVGPETNVLVMGAGPIGLVTMLAARAFGAPRIVIVDVDDYRLSVAKDLGADEIVKVSTNIQDVSEEAVLIHKAMGTGVDVTFDCAGFNKTMSTALSATRPGGKVCLVGMGHNEMTVPLTPAAAREVDVIGVFRYKNTWPLCLEFLRSGKIDVKPLITHRFGFTQEEVEEAFETSARGGSAIKVMFNL >Manes.05G121100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20211719:20213972:1 gene:Manes.05G121100.v8.1 transcript:Manes.05G121100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEGAARKHVLILPLPLQGHINPILQFSKRLASKGLQVTLLTFTGKPSTEGEDGEEISKDMDADDTKKLQDTVALKLPEIVAKHKESAFPVSCLIYGSIMPWAQELARKIGISTAPFFTISCAVCAIYNAVHEGKLKIPIASASIQGMPPLEAYDLPSFFYDLGKYPGALSYLACQFSNIGEVDWIFCNTFDIWNKSKWPIKSIGPTIPSMFIDKRLKDDEEYGLSLFKTNSHACMKWLDKEPGSVVYVSFGIRKPEKENLPNNFIEETKDMGLIVTWSPQLEVLAHKSAVCFVTHCGWNSTLEALSLEVPMVATPQWTDQPTNAKFVSDVWEVGIIVKIDEEGIVTKEEIERCIRDVMERKTGNEMKKNLDKWKKLACIVVDEGGSSDKNIDEFVTKLGCSSNGFKE >Manes.07G107400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31365267:31366352:1 gene:Manes.07G107400.v8.1 transcript:Manes.07G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLSYDHPDDDYIDMEVSSYSNFLCKTSPPQAREFEFQMSSVSLEKDATTSPADELFYKGKLLPLHLPPRLQMVEKLLEHTNSCRKDNFDEFFSTPLMTTATTPTTTSTPFESCNISPAESCQVSRELNSEEYFLEYSNEECGFIGENQKKSWTKKLKIIKQSSLSSRLKASRAYLKSFFGKSGCSDDSCTAASKVADEGIVSKAKDSLNKWEKTARKVPFGQIQKDKNQMSATSMGNINKQKISNEDGNGRLHRRSFSMAIKRHSTNKSSSSSSSSSGSSSSSSSTNTTGFYGLPFLKRCSSVNSEIENPIQGAIAHCKQSQQLFCPRKTATEVGFYSLSTSKIAICEEQKRPELCRG >Manes.01G140000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33160150:33171528:1 gene:Manes.01G140000.v8.1 transcript:Manes.01G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLRFGSVHYLHFFCILVSAIITQTPGIASVAVPSSSCYVLDNSSRLVDFSSWIGHPFEYEGKESTDLMVRFCKDVERRSQTGYVDFGRFDTFNYFISGSGRVDFVQGFYNGDLANCEYSYDKLGRTAQVNIICGNCWNGQCKGGLGCICNVTYESDCRVFIELAIPCEKPGPRVFEGFTVGFHPRSWEIVYNGLTQPGYEKSYKDFSFSTEQTHVTLYMTAIASLSSLVRKPFIKVHPVKGLEVSLSGSGTNGNSPTTLSPTLLIVHWRCLKVHDKPYEVNVTIPIEGYEPVQFFLAKKCESREDQEEDSRQGWAIFGVISCVFFVSSTLFCCVGFIYKTRVERLRGIDALPGMTTLSACLEIASGDGHGYTQAADINGGYSRAEASWEQPSASAQGTWRTTEKTYGSI >Manes.06G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24235152:24241392:1 gene:Manes.06G110100.v8.1 transcript:Manes.06G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLVIKVRYGDTLRRFNAHVNESGQLDLNLSGLRAKILGLFNFPLNADLTLTYVDEDGDVVTLVDDDDLLDVMKQSLKFLRVNVQLNNDKHGKSYAKSSGSSTPMRSPRVQNPLSNINICAADMLKSVPEPFRESLSELLSRLSLDLTSKIVAPNPVIAEVVDCFSKMGQTILSPSQHSGVNASSSTQTGATEHPMPSAVPAETSAMNDEPSLEVRIANVTRGVGVPVNSVLAPVDLNLDPPCDSNPSGCANVNSAPTPHVDDRKETKKQNAGQPSEKCFGVGASSSSTASALPSSLGTECPFSGIPVVNDLSIPPFIVPQMSSSRRSNGRNDSMVGMFHRGVQCDGCGVHPITGPRYKSKVREDYDLCSICFIEMGNEYDYIKMDRPVYYRHPRSFKGLHDHSQNYWVGPPPLSPVMRHCGVKPARAKLDSRFILDVNLLDGTMMASSTPFTKIWRMRNSGSIVWPQGSRLVWIGGDRFSHADSADLEIPADGVPVDGELDIAVDFTSPELPGRYISYWRMASPSGTKFGQRVWVLIQVDASVKDSLNGVRGLNLNLLPDCSGSKSPQIIDVNLQPVMDSGFLEPCNSTSVPVPTVDVEQPKEQELNFPINDTLLVGDGVSSSASNQAPSSVSYPIIDLRDMAPVVPSEALPAMDVPSSSDDVTVKDAVEKSLLKELEEMGFKQIDLNKEILRMNEYNLEQSVDDLCGVSDWDPILEELQEMGFSDKEMNRRLLKKNNGSIKRVVMDLLTGEKA >Manes.05G178102.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29501188:29501658:-1 gene:Manes.05G178102.v8.1 transcript:Manes.05G178102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFISFVLLLLSSSFLISEARPLNVAEPHAFGDKETEVLFDGFYIKSGGPSPGGKGHKFTNAQSLGGIKSSGPSTPGQGHFYTTGSSRTLGGIKHSGPSTPGQGHYYTSSAPRTLGGINHSGPSPGQGNCYTTGASRTYGEIKHSGPSPRGPGN >Manes.14G100300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8298574:8308074:-1 gene:Manes.14G100300.v8.1 transcript:Manes.14G100300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDASRHKHHRSPSDDEAEKSSKRHKHRHRRHHRCHRSKTHGEASKHDRDEIAPPPPVNHIVANNNRVDDDVEEGEILEEEGSGVSVKDMELREDKTDSQNLGIHADNGDSGLTNSSNANKLDMEVSLPDDSRAEVRHELVPRDELDVHANGDLGHEYHKSGKKQHGEPGSSKGSYKRKSYHDADTPEDNECKLGDWGKSSSESGGKKYKSLRGAASHDRYHYEVGARSRSLSHDFVREHSHSHSIIEEDVLLKRGRHNGRFENDSDDERMTQQDRDLQHGSKDLEREFSTSYSRSLGGGDKHSSKDARGREVMREKEMDWEWRRDQEQERSRDRDQQREKDREKIRDMDLRREKERERSRDRDVRREKEQERSREKAVRREKGRERSRERDVRREKERERSRDMDVRREKERERSRDREMDRGRREKQQERKLEREVERDRRRDNEWDRSRDKEEEMEKQRQKGKDKSKDKDVTRSSDRHRDWEKERENNRDRHRERDRVRDQDWESGRDRDRRSNRDSDNSRDSKRDLTKVLSHGDTIEGDRDKRKRDEDEQDDFEERINLKLAEQEEDLDRVKEESRKRRQAILEKFRNQQSLQKNETRLEDVEKDAEPVQLPVKSLPAHIVAPETHETRIDGDVFLADPSFSVGKLPPRNGTQDSERTSGAVGLGEGTPKSERSEENYCDDIFGETPAGIRKSGKGDGLPILRSGLHDNWDDPDGYYGYRFGEILDGRYEIVAAQGKGVFSTVVRAKDLKAGVGEPEEVAIKIIRNNETMQRAGQSEVKILNKLAELDQENKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFSGKNEITPYLVSRFYRAPEVILGLPYDHPMDMWSVGCCLYELYTGKVLFPGPTNNDMLRLQMELKGPFPKKMLKKGAFVDQHFDPDLNFHATEDDPVTKKTIKRMILNIKPKDIGTIVTSSPGEDPKLLANFRDLLDKIFVLDPEKRMTVHQAQYHPFITGK >Manes.14G100300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8301360:8308074:-1 gene:Manes.14G100300.v8.1 transcript:Manes.14G100300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDASRHKHHRSPSDDEAEKSSKRHKHRHRRHHRCHRSKTHGEASKHDRDEIAPPPPVNHIVANNNRVDDDVEEGEILEEEGSGVSVKDMELREDKTDSQNLGIHADNGDSGLTNSSNANKLDMEVSLPDDSRAEVRHELVPRDELDVHANGDLGHEYHKSGKKQHGEPGSSKGSYKRKSYHDADTPEDNECKLGDWGKSSSESGGKKYKSLRGAASHDRYHYEVGARSRSLSHDFVREHSHSHSIIEEDVLLKRGRHNGRFENDSDDERMTQQDRDLQHGSKDLEREFSTSYSRSLGGGDKHSSKDARGREVMREKEMDWEWRRDQEQERSRDRDQQREKDREKIRDMDLRREKERERSRDRDVRREKEQERSREKAVRREKGRERSRERDVRREKERERSRDMDVRREKERERSRDREMDRGRREKQQERKLEREVERDRRRDNEWDRSRDKEEEMEKQRQKGKDKSKDKDVTRSSDRHRDWEKERENNRDRHRERDRVRDQDWESGRDRDRRSNRDSDNSRDSKRDLTKVLSHGDTIEGDRDKRKRDEDEQDDFEERINLKLAEQEEDLDRVKEESRKRRQAILEKFRNQQSLQKNETRLEDVEKETHETRIDGDVFLADPSFSVGKLPPRNGTQDSERTSGAVGLGEGTPKSERSEENYCDDIFGETPAGIRKSGKGDGLPILRSGLHDNWDDPDGYYGYRFGEILDGRYEIVAAQGKGVFSTVVRAKDLKAGVGEPEEVAIKIIRNNETMQRAGQSEVKILNKLAELDQENKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFSGKNEITPYLVSRFYRAPEVILGLPYDHPMDMWSVGCCLYELYTGKVLFPGPTNNDMLRLQMELKGPFPKKMLKKGAFVDQHFDPDLNFHATEDDPVTKKTIKRMILNIKPKDIGTIVTSSPGEDPKLLANFRDLLDKIFVLDPEKRMTVHQAQYHPFITGK >Manes.14G100300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8298574:8308074:-1 gene:Manes.14G100300.v8.1 transcript:Manes.14G100300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDASRHKHHRSPSDDEAEKSSKRHKHRHRRHHRCHRSKTHGEASKHDRDEIAPPPPVNHIVANNNRVDDDVEEGEILEEEGSGVSVKDMELREDKTDSQNLGIHADNGDSGLTNSSNANKLDMEVSLPDDSRAEVRHELVPRDELDVHANGDLGHEYHKSGKKQHGEPGSSKGSYKRKSYHDADTPEDNECKLGDWGKSSSESGGKKYKSLRGAASHDRYHYEVGARSRSLSHDFVREHSHSHSIIEEDVLLKRGRHNGRFENDSDDERMTQQDRDLQHGSKDLEREFSTSYSRSLGGGDKHSSKDARGREVMREKEMDWEWRRDQEQERSRDRDQQREKDREKIRDMDLRREKERERSRDRDVRREKEQERSREKAVRREKGRERSRERDVRREKERERSRDMDVRREKERERSRDREMDRGRREKQQERKLEREVERDRRRDNEWDRSRDKEEEMEKQRQKGKDKSKDKDVTRSSDRHRDWEKERENNRDRHRERDRVRDQDWESGRDRDRRSNRDSDNSRDSKRDLTKVLSHGDTIEGDRDKRKRDEDEQDDFEERINLKLAEQEEDLDRVKEESRKRRQAILEKFRNQQSLQKNETRLEDVEKDAEPVQLPVKSLPAHIVAPETHETRIDGDVFLADPSFSVGKLPPRNGTQDSERTSGAVGLGEGTPKSERSEENYCDDIFGETPAGIRKSGKGDGLPILRSGLHDNWDDPDGYYGYRFGEILDGRYEIVAAQGKGVFSTVVRAKDLKAGVGEPEEVAIKIIRNNETMQRAGQSEVKILNKLAELDQENKRHCVRFLSSFNCASICKAAFYCTETS >Manes.14G100300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8298546:8308078:-1 gene:Manes.14G100300.v8.1 transcript:Manes.14G100300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDASRHKHHRSPSDDEAEKSSKRHKHRHRRHHRCHRSKTHGEASKHDRDEIAPPPPVNHIVANNNRVDDDVEEGEILEEEGSGVSVKDMELREDKTDSQNLGIHADNGDSGLTNSSNANKLDMEVSLPDDSRAEVRHELVPRDELDVHANGDLGHEYHKSGKKQHGEPGSSKGSYKRKSYHDADTPEDNECKLGDWGKSSSESGGKKYKSLRGAASHDRYHYEVGARSRSLSHDFVREHSHSHSIIEEDVLLKRGRHNGRFENDSDDERMTQQDRDLQHGSKDLEREFSTSYSRSLGGGDKHSSKDARGREVMREKEMDWEWRRDQEQERSRDRDQQREKDREKIRDMDLRREKERERSRDRDVRREKEQERSREKAVRREKGRERSRERDVRREKERERSRDMDVRREKERERSRDREMDRGRREKQQERKLEREVERDRRRDNEWDRSRDKEEEMEKQRQKGKDKSKDKDVTRSSDRHRDWEKERENNRDRHRERDRVRDQDWESGRDRDRRSNRDSDNSRDSKRDLTKVLSHGDTIEGDRDKRKRDEDEQDDFEERINLKLAEQEEDLDRVKEESRKRRQAILEKFRNQQSLQKNETRLEDVEKDAEPVQLPVKSLPAHIVAPETHETRIDGDVFLADPSFSVGKLPPRNGTQDSERTSGAVGLGEGTPKSERSEENYCDDIFGETPAGIRKSGKGDGLPILRSGLHDNWDDPDGYYGKYVGIFLFLY >Manes.14G100300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8301360:8308074:-1 gene:Manes.14G100300.v8.1 transcript:Manes.14G100300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDASRHKHHRSPSDDEAEKSSKRHKHRHRRHHRCHRSKTHGEASKHDRDEIAPPPPVNHIVANNNRVDDDVEEGEILEEEGSGVSVKDMELREDKTDSQNLGIHADNGDSGLTNSSNANKLDMEVSLPDDSRAEVRHELVPRDELDVHANGDLGHEYHKSGKKQHGEPGSSKGSYKRKSYHDADTPEDNECKLGDWGKSSSESGGKKYKSLRGAASHDRYHYEVGARSRSLSHDFVREHSHSHSIIEEDVLLKRGRHNGRFENDSDDERMTQQDRDLQHGSKDLEREFSTSYSRSLGGGDKHSSKDARGREVMREKEMDWEWRRDQEQERSRDRDQQREKDREKIRDMDLRREKERERSRDRDVRREKEQERSREKAVRREKGRERSRERDVRREKERERSRDMDVRREKERERSRDREMDRGRREKQQERKLEREVERDRRRDNEWDRSRDKEEEMEKQRQKGKDKSKDKDVTRSSDRHRDWEKERENNRDRHRERDRVRDQDWESGRDRDRRSNRDSDNSRDSKRDLTKVLSHGDTIEGDRDKRKRDEDEQDDFEERINLKLAEQEEDLDRVKEESRKRRQAILEKFRNQQSLQKNETRLEDVEKDAEPVQLPVKSLPAHIVAPETHETRIDGDVFLADPSFSVGKLPPRNGTQDSERTSGAVGLGEGTPKSERSEENYCDDIFGETPAGIRKSGKGDGLPILRSGLHDNWDDPDGYYGYRFGEILDGRYEIVAAQGKGVFSTVVRAKDLKAGVGEPEEVAIKIIRNNETMQRAGQSEVKILNKLAELDQENKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFSGKNEITPYLVSRFYRAPEVILGLPYDHPMDMWSVGCCLYELYTGKVLFPGPTNNDMLRLQMELKGPFPKKMLKKGAFVDQHFDPDLNFHATEDDPVTKKTIKRMILNIKPKDIGTIVTSSPGEDPKLLANFRDLLDKIFVLDPEKRMTVHQAQYHPFITGK >Manes.13G143200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35698311:35699766:1 gene:Manes.13G143200.v8.1 transcript:Manes.13G143200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNLHKLSPHFVLKLGSLTLDGRHSFVILAGLIIWPSMWLSDLGVMSYVSATGILSSVIIVVCVFCAGVSGGAGFHGKGRLINMQGMPTALSLYTFCYGAHAMFPAIYNSMRKKDQFSMVLLISFILCTINYFCMALVGYLIYGQNVQSQVTLNLPVQEASAKIAIYTILAGPVAKYALTITPIATAIESCLPPKYQDSKPISIIIRTSLLISTVLLALLFPSFQSVTALSGAVLVVSVSFLLPCICYLKIFQVYRNWGVELAGILIIILMAILVGTLGTYSSIAQAIKHTDISGV >Manes.13G143200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35697960:35701977:1 gene:Manes.13G143200.v8.1 transcript:Manes.13G143200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPDEQKQVSFLKTCVNGINALSGIGILSIPYALSSGGWLSLILLFLIATAAYFTSLLIRRCLDTNPHIRSYSDIAAHAFGSKGRVVASVFTSLELYLVATGLLIMEEDNLHKLSPHFVLKLGSLTLDGRHSFVILAGLIIWPSMWLSDLGVMSYVSATGILSSVIIVVCVFCAGVSGGAGFHGKGRLINMQGMPTALSLYTFCYGAHAMFPAIYNSMRKKDQFSMVLLISFILCTINYFCMALVGYLIYGQNVQSQVTLNLPVQEASAKIAIYTILAGPVAKYALTITPIATAIESCLPPKYQDSKPISIIIRTSLLISTVLLALLFPSFQSVTALSGAVLVVSVSFLLPCICYLKIFQVYRNWGVELADISKRISRVDDNHSNSILICNCFNRFNINLPTFFWYKIKMAHFKLAET >Manes.13G143200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35697960:35701977:1 gene:Manes.13G143200.v8.1 transcript:Manes.13G143200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITPDEQKQVSFLKTCVNGINALSGIGILSIPYALSSGGWLSLILLFLIATAAYFTSLLIRRCLDTNPHIRSYSDIAAHAFGSKGRVVASVFTSLELYLVATGLLIMEEDNLHKLSPHFVLKLGSLTLDGRHSFVILAGLIIWPSMWLSDLGVMSYVSATGILSSVIIVVCVFCAGVSGGAGFHGKGRLINMQGMPTALSLYTFCYGAHAMFPAIYNSMRKKDQFSMVLLISFILCTINYFCMALVGYLIYGQNVQSQVTLNLPVQEASAKIAIYTILAGPVAKYALTITPIATAIESCLPPKYQDSKPISIIIRTSLLISTVLLALLFPSFQSVTALSGAVLVVSVSFLLPCICYLKIFQVYRNWGVELAGILIIILMAILVGTLGTYSSIAQAIKHTDISDISKRISRVDDNHSNSILICNCFNRFNINLPTFFWYKIKMAHFKLAET >Manes.13G143200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35697960:35700047:1 gene:Manes.13G143200.v8.1 transcript:Manes.13G143200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNLHKLSPHFVLKLGSLTLDGRHSFVILAGLIIWPSMWLSDLGVMSYVSATGILSSVIIVVCVFCAGVSGGAGFHGKGRLINMQGMPTALSLYTFCYGAHAMFPAIYNSMRKKDQFSMVLLISFILCTINYFCMALVGYLIYGQNVQSQVTLNLPVQEASAKIAIYTILAGPVAKYALTITPIATAIESCLPPKYQDSKPISIIIRTSLLISTVLLALLFPSFQSVTALSGAVLVVSVSFLLPCICYLKIFQVYRNWGVELAGILIIILMAILVGTLGTYSSIAQAIKHTDISGV >Manes.09G168700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36106832:36108222:-1 gene:Manes.09G168700.v8.1 transcript:Manes.09G168700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEQDRLLPIANVGRIMKQILPPTAKISKEAKETMQECATEFISFVTGEASDKCHKENRKTLNGDDICWALSSLGFDNYSQAIVRYLHKYREAERERSANQNKATASTQEKDEQELQTETPTPMEFRVLEKGNSSSSFRY >Manes.10G027700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2743503:2746327:1 gene:Manes.10G027700.v8.1 transcript:Manes.10G027700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKLSPFLFFLSFLLNPVFSQLDELFSQGFDHARSNMSVCDGAQIEDNGILRLTNNSLRLKGHAFYSNQMQFKSSITGKAFSFSTAFAFAIVPEYPKLGGHGFAFTISPSKDLPGSLPSQYLGLLNASNIGNFSNHIFAVEFDTVQDFEFGDINDNHVGIDINSLVSNKSAPATFFIDNSTKMNLTLQSGRVFQAWVDYDSTQNLIEVRLSSSSTKPRSPILSFEVDLSPFLHDYMYVGFSSSTGLLASTHYILGWSFTMNKMAQSLSLSSLPSLPRPGKNHTVLIAVVTFSAVVFIILVMGIAFYLVRKIKNADVIEEWEFDVGPHRFSYQELKKATRGFRDKELLGFGGFGKVYKGTLPNSNTQVAVKRISRESKQGVREFTSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYDFMPNGSLDKYLFDNPKTILNWEQRFNIIKGVASGLLYLHEGWEQTVIHRDIKAGNVLLDSELNGRLGDFGLAKLYERGTNPSTTRVVGTLGYLAPELTRTGKPTTSSDVFAFGALLLEVVCGRRPIEPKAMPEELILVDWVWEKWKNGAILDVVDPRLNDEFDELEAVVVLKLGLMCSNNLPNVRPTMRQVVRYLEGEVSLPEVVAAPDAYDGKKSEGGNGGEFEDYVHSYPSSTNFDKFTTWSCGADYGDIDIEAGSTSFRSPSHRNEGR >Manes.05G122847.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20983280:20994943:-1 gene:Manes.05G122847.v8.1 transcript:Manes.05G122847.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNIVHCLLILVLFSSSSRASNGAYNVESFGAKPDGRTDSTQAFVRAWSAACMSTGPATVYVPRGSFLVKPIVFSGPCKNKILFRIDGKILGPSNYWSYGSSGFWILFYKVSRVNIHGGIVDARGRSYWACRNAGKICPPGARSISLVASRNVIVSRLISMNSQMFHIAIDQCRNIVLENLTISAPSLSPNTDGVHIQSSTGINISNSTIKTGDDCISMGPGSKNILIQRIACGPGHGISIGSLGEHSHEDGVQNVTVAATVFKGTQNGVRIKSWGRPSSGFASNIVFRNIVMKNAYNPIIIDQKYCPSGHGCPHQNSGVKISGVTYEKIRGTSVTQVAMNFICSSSNPCRGIKMQGINLTYFNRPIATSVCVNAHGTASGSVLPPSCF >Manes.01G085700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28788084:28792866:-1 gene:Manes.01G085700.v8.1 transcript:Manes.01G085700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSQNLFIAFHYLFSSGVPNLFSTRQVEHQMATPDESPSAKRWLPLEANPDVMNQFLWGLGLPEREAECYDVYGLDEELLEMVPKPVLAVLFLYPITQKSEEERMRQEGVQKELSSKVYFMKQTVGNACGTIGLLHAVGNITSEIMLVKGSFLDKFFKSTAKMGPLERAAFLENDREMEVAHSVAATAGDTEASDNVDSHFICFTCVEGELFELDGRKSGPISHGASSPSSLLQDAAKVIRNMIQENPDSLNFNVIAISKKAEGAF >Manes.01G167300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35042612:35045333:-1 gene:Manes.01G167300.v8.1 transcript:Manes.01G167300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQHYTESSLLPPYSQFFTDDNTNGLSGNNNKHLSQTCPSCFTSSDFGSELSSPVDSELGSAVSDSDQDYDYIAELTRQMAHYMLQDDGNRRENEAWSLAGSLQSTKWSPFGSSKEEVSTFENLKMNEEKSRHKNSERFLAPRKPRNSNLEIHSKQALIDYQIQAIQFYKLRQEQIMKQKQESRYWGKQANGFNHVELDTQAARPVHQSKGRACAGFGNRQKVSWANMRQQQRAGSDMTAVFLSESGPRNVSCGTGVFLPRGIGNTCESRKKPGCSTVLIPARVVQALKLHSEKMGSESRSNRASFPVQQDALLGDVRYDLQFQKKSQSRTTPAKNQEMGLPQEWTY >Manes.15G070387.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5352577:5356700:-1 gene:Manes.15G070387.v8.1 transcript:Manes.15G070387.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKVVNEIAYTQDHAKLSSLNRSLLPLLTVASFLYRVTLSLRRYLYHFGLFSKQRLPVPVISVGNLTWGGNGKTPMVEFIAQWLADSGISPLILTRGYAGGDEARMLTRHLLGTSSKIGIGANRAATATCFLKQYGYLDPKSFLFEGMWVDQTVRSPLNFGKIGAVVLDDGMQHWSLDRDLEIVMVNGLSPWGNHQLIPLGPLREPMAALRRADVAVVHHANLVSKQSLKDIELMMKEVKESLPVFFARMSPSHFFEVGNINFRIPLDVIQDAIVLCVSAIGSANAFVQGIEKMGAFYVDRIDFTDHHSFQTQDIEMIMIKLRDLEGKFGFKPVVIVTEKDYDRDPEILSHLSPFKVMALCSELQIIPHRQQSKDVFTELLKELLEMKWSGPK >Manes.15G070387.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5352577:5357813:-1 gene:Manes.15G070387.v8.1 transcript:Manes.15G070387.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKVVNEIAYTQDHAKLSSLNRSLLPLLTVASFLYRVTLSLRRYLYHFGLFSKQRLPVPVISVGNLTWGGNGKTPMVEFIAQWLADSGISPLILTRGYAGGDEARMLTRHLLGTSSKIGIGANRAATATCFLKQYGYLDPKSFLFEGMWVDQTVRSPLNFGKIGAVVLDDGMQHWSLDRDLEIVMVNGLSPWGNHQLIPLGPLREPMAALRRADVAVVHHANLVSKQSLKDIELMMKEVKESLPVFFARMSPSHFFEVGNINFRIPLDVIQDAIVLCVSAIGSANAFVQGIEKMGAFYVDRIDFTDHHSFQTQDIEMIMIKLRDLEGKFGFKPVVIVTEKDYDRDPEILSHLSPFKVMALCSELQIIPHRQQSKDVFTELLKELLEMKWSGPK >Manes.15G070387.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5352577:5356700:-1 gene:Manes.15G070387.v8.1 transcript:Manes.15G070387.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKVVNEIAYTQDHAKLSSLNRSLLPLLTVASFLYRVTLSLRRYLYHFGLFSKQRLPVPVISVGNLTWGGNGKTPMVEFIAQWLADSGISPLILTRGYAGGDEARMLTRHLLGTSSKIGIGANRAATATCFLKQYGYLDPKSFLFEGMWVDQTVRSPLNFGKIGAVVLDDGMQHWSLDRDLEIVMVNGLSPWGNHQLIPLGPLREPMAALRRADVAVVHHANLVSKQSLKDIELMMKEVKESLPVFFARMSPSHFFEVGNINFRIPLDVIQDAIVLCVSAIGSANAFVQGIEKMGAFYVDRIDFTDHHSFQTQPQPEVFRVCGRQPQGTYL >Manes.15G070387.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5352577:5356637:-1 gene:Manes.15G070387.v8.1 transcript:Manes.15G070387.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKVVNEIAYTQDHAKLSSLNRSLLPLLTVASFLYRVTLSLRRYLYHFGLFSKQRLPVPVISVGNLTWGGNGKTPMVEFIAQWLADSGISPLILTRGYAGGDEARMLTRHLLGTSSKIGIGANRAATATCFLKQYGYLDPKSFLFEGMWVDQTVRSPLNFGKIGAVVLDDGMQHWSLDRDLEIVMVNGLSPWGNHQLIPLGPLREPMAALRRADVAVVHHANLVSKQSLKDIELMMKEVKESLPVFFARMSPSHFFEVGNINFRIPLDVIQDAIVLCVSAIGSANAFVQGIEKMGAFYVDRIDFTDHHSFQTQVQLSYCGVLILHCIIRTVQFCIN >Manes.15G070387.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5352577:5357813:-1 gene:Manes.15G070387.v8.1 transcript:Manes.15G070387.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKVVNEIAYTQDHAKLSSLNRSLLPLLTVASFLYRVTLSLRRYLYHFGLFSKQRLPVPVISVGNLTWGGNGKTPMVEFIAQWLADSGISPLILTRGYAGGDEARMLTRHLLGTSSKIGIGANRAATATCFLKQYGYLDPKSFLFEGMWVDQTVRSPLNFGKIGAVVLDDGMQHWSLDRDLEIVMVNGLSPWGNHQLIPLGPLREPMAALRRADVAVVHHANLVSKQSLKDIELMMKEVKESLPVFFARMSPSHFFEVGNINFRIPLDVIQDAIVLCVSAIGSANAFVQGIEKMGAFYVDRIDFTDHHSFQTQVQLSYCGVLILHCIIRTVQFCIN >Manes.15G070387.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5352577:5357813:-1 gene:Manes.15G070387.v8.1 transcript:Manes.15G070387.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKVVNEIAYTQDHAKLSSLNRSLLPLLTVASFLYRVTLSLRRYLYHFGLFSKQRLPVPVISVGNLTWGGNGKTPMVEFIAQWLADSGISPLILTRGYAGGDEARMLTRHLLGTSSKIGIGANRAATATCFLKQYGYLDPKSFLFEGMWVDQTVRSPLNFGKIGAVVLDDGMQHWSLDRDLEIVMVNGLSPWGNHQLIPLGPLREPMAALRRADVAVVHHANLVSKQSLKDIELMMKEVKESLPVFFARMSPSHFFEVGNINFRIPLDVIQDAIVLCVSAIGSANAFVQGIEKMGAFYVDRIDFTDHHSFQTQPQPEVFRVCGRQPQGTYL >Manes.15G092500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7182512:7187240:-1 gene:Manes.15G092500.v8.1 transcript:Manes.15G092500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFYSFFRKKRASPPRHSTEVDDVVSSIQNTNLYTYRELRTATENFSPANKIGEGGFGSVYKGRLKDGTAAAIKVLSADSRQGVREFLTEIKLIADVEHENLVKLYGCCVEENNRILVYGYLENNSLAQTLLGGSHSSIQFSWPTRCKICIGVAQGLAFLHEEVQPHIVHRDIKASNILLDRDLMPKISDFGLAKLFPANMTHISTRVAGTAGYLAPEYAIRGQLTRKADIYSFGVLLLEIVCGRSNTNRRLPPEEQYLLERVWQTHVKGELASLVDASLNEDYDAEEACRYLKIGLLCTQDKPKLRPTMSTVVKMLRGEIRVNDTNISSPGLLSEFMGIKRDQGHRDKANLKKSSNTDSAGSSRVANVKNSSNYTESAGSSKLDDSSSSSAMATSYATMTFNSIYDRSS >Manes.15G092500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7182604:7187220:-1 gene:Manes.15G092500.v8.1 transcript:Manes.15G092500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFYSFFRKKRASPPRHSTEVDDVVSSIQNTNLYTYRELRTATENFSPANKIGEGGFGSVYKGRLKDGTAAAIKVLSADSRQGVREFLTEIKLIADVEHENLVKLYGCCVEENNRILVYGYLENNSLAQTLLGGSHSSIQFSWPTRCKICIGVAQGLAFLHEEVQPHIVHRDIKASNILLDRDLMPKISDFGLAKLFPANMTHISTRVAGTAGYLAPEYAIRGQLTRKADIYSFGVLLLEIVCGRSNTNRRLPPEEQYLLERVWQTHVKGELASLVDASLNEDYDAEEACRYLKIGLLCTQDKPKLRPTMSTVVKMLRGEIRVNDTNISSPGLLSEFMGIKRDQGHRDKANLKKSSNTDSAGSSRVANVKNSSNYTESAGSSKLDDSSSSSAMATSYATMTFNSIYDRSS >Manes.15G092500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7182604:7185451:-1 gene:Manes.15G092500.v8.1 transcript:Manes.15G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLLYFLLLHPPLWLSHYNVLMTLHGTVVSSIQNTNLYTYRELRTATENFSPANKIGEGGFGSVYKGRLKDGTAAAIKVLSADSRQGVREFLTEIKLIADVEHENLVKLYGCCVEENNRILVYGYLENNSLAQTLLGGSHSSIQFSWPTRCKICIGVAQGLAFLHEEVQPHIVHRDIKASNILLDRDLMPKISDFGLAKLFPANMTHISTRVAGTAGYLAPEYAIRGQLTRKADIYSFGVLLLEIVCGRSNTNRRLPPEEQYLLERVWQTHVKGELASLVDASLNEDYDAEEACRYLKIGLLCTQDKPKLRPTMSTVVKMLRGEIRVNDTNISSPGLLSEFMGIKRDQGHRDKANLKKSSNTDSAGSSRVANVKNSSNYTESAGSSKLDDSSSSSAMATSYATMTFNSIYDRSS >Manes.15G092500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7182604:7187066:-1 gene:Manes.15G092500.v8.1 transcript:Manes.15G092500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFYSFFRKKRASPPRHSTEVDDVVSSIQNTNLYTYRELRTATENFSPANKIGEGGFGSVYKGRLKDGTAAAIKVLSADSRQGVREFLTEIKLIADVEHENLVKLYGCCVEENNRILVYGYLENNSLAQTLLGGSHSSIQFSWPTRCKICIGVAQGLAFLHEEVQPHIVHRDIKASNILLDRDLMPKISDFGLAKLFPANMTHISTRVAGTAGYLAPEYAIRGQLTRKADIYSFGVLLLEIVCGRSNTNRRLPPEEQYLLERVWQTHVKGELASLVDASLNEDYDAEEACRYLKIGLLCTQDKPKLRPTMSTVVKMLRGEIRVNDTNISSPGLLSEFMGIKRDQGHRDKANLKKSSNTDSAGSSRVANVKNSSNYTESAGSSKLDDSSSSSAMATSYATMTFNSIYDRSS >Manes.15G092500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7182512:7187240:-1 gene:Manes.15G092500.v8.1 transcript:Manes.15G092500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFYSFFRKKRASPPRHSTEVDDVVSSIQNTNLYTYRELRTATENFSPANKIGEGGFGSVYKGRLKDGTAAAIKVLSADSRQGVREFLTEIKLIADVEHENLVKLYGCCVEENNRILVYGYLENNSLAQTLLGGSHSSIQFSWPTRCKICIGVAQGLAFLHEEVQPHIVHRDIKASNILLDRDLMPKISDFGLAKLFPANMTHISTRVAGTAGYLAPEYAIRGQLTRKADIYSFGVLLLEIVCGRSNTNRRLPPEEQYLLERVWQTHVKGELASLVDASLNEDYDAEEACRYLKIGLLCTQDKPKLRPTMSTVVKMLRGEIRVNDTNISSPGLLSEFMGIKRDQGHRDKANLKKSSNTDSAGSSRVANVKNSSNYTESAGSSKLDDSSSSSAMATSYATMTFNSIYDRSS >Manes.03G171500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29648923:29652435:1 gene:Manes.03G171500.v8.1 transcript:Manes.03G171500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSSNHKKITSFIIKKETEKRLENRNDRLRFTQSGANSRRKKIKSTATRSLPSPISLIEPLPLLFQTKMASKLGASSRSTPLFAHFRKTLKPPFSPLEQSLFSDKSITRIIGSSRIRASNDGSSYLDMWMNAADSDRKTVEFQKITERVAGVDNANDANGDGDWRVNLEKKSENFKKILDVSKDERDRIQRMQVIDRAAAAIAAARAILKEKPSGNDEANDGENSNSSGGSGGGEAEDNQGAANKGSIFASRSENSGNGVPGPDFWSWAPPPDAEKSQYDADLLAAKRSLISPILASPVAMKERCLDFLNIPLESKLSESSSNPPIPPLQSLMEVKKDEVSIPGPDIPSLKEEERELNLLFHAHELEVAHVLDEVDEEFSGVDPNGSRWWKETGIEQRPDGVICRWTMTRGTSANQDVEWQEKFWEASDEFGYKELGSEKSGRDATGNVWREFWRESMWQESGLLHLEKTADKWGKNGRGDEWKEEWWEHYDASNQAEKWAHKWCTVDPTTQLEVGHAHVWHERWGEKYDGHGGTMKYTDKWAERCEDDGWTKWGDKWDEHFDPNGRGVKQGETWWEGQYGERWNRTWGERHNGSGWIHKYGKSSSGEHWDTHVEQETWYERFPHFGFFHCFDNSVQLREVPKPSDRQEVPKPSDRQEVLEPSDRQEVLEPSDVNSA >Manes.09G100300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29876280:29877772:1 gene:Manes.09G100300.v8.1 transcript:Manes.09G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSTSACFIALNFFIFHLKMLSAYTNSSFSFRSFEKTSNFESAIALYGDANAVNNGSALQLTRSVSSSAGRVMYKKPIKLVEGKPANLVSFSTYFSFLMSPENGDGLAFVLVSDAFNASSFDKSIPFGLSLRPEDNNSEILAVEFDTKRDAKYGDLNGNDSVSVKVKNASSVDIVLNDLRRLSSWIDYEAGSKRLEIRLSLFGDARPIDPLLSYPIDLSKLWNEEEVFIGLSSSNGNSSQACFIYSWSFELRRVPYWMHSEPLDPQALAKNVKPVVIHKRSACVLRVLASMIFGTACGALGASIVLYLWTIFGNRRQVVPEEKCSVHPADFEYKKFKVVVEKAVEDGKQ >Manes.06G009900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1529921:1531195:-1 gene:Manes.06G009900.v8.1 transcript:Manes.06G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKLNPHNYSPSSSSSSSTPHPKAAGDPMQSWWESVSKARARILSLSSILPSDPPSSFSLSSLADSERPALSFLSSFDAYELLSSALSCPSSGSGSDPLCQWLYNTYLSSDPHLRLIVLSFLPLLLGLYLSRIHSSDSASAPSLAGFEAVLLAIYSSEAKSRGGKQVLIQIPDLSQPSLYHTPRNKQNPHGFDDSRPSLAVLAPPLEPQIAVKSTKRPVIVGVALDCYFKQISQMPSWSKVELCKYASAWAGQDCACSDKLDGDKEVEIRNGNETNGGYFLEGRSLSNGSDDNGHGVDDVVKEMEKLSVEKIGTEDSRQKGVRIPLPWEILQPLLRIIGHCLLGPLNSQDVKDAASMAVRRLYARGSHDLAPQAILATRSLILLDKRAREAAKATAVTAVNASSDGNTPSKAKKPEMLLVSK >Manes.01G203700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37662637:37667289:-1 gene:Manes.01G203700.v8.1 transcript:Manes.01G203700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQSYSARHLQFSCQFSAMAASISHFNFPLLNSTTKPHVKHYPPLNIPKFSPSPARIPFSKEKCKQSTLRYAFSSFTDLLTGHGNLLLFNLDHVYGPLLELCASEKALLQGQQIHAHIIKSNVARISVFLSTKLVFMYGKCGSVLHAKRVFDKMCERTIFTRNAMLGSYVSNGEPLQALEMYREMRCLGVPFDSYTFPYLLKACATVQDLRCGAEIHGLAIKCGNDSIVYVANSLVTMYAKCNDLNGARKLFDRMNDRSDVVSWNSMISAYSGNGCCIEALGLFREIHKAAVATNAYTLVAVLQACEDYSSMKLGMEIHAAILKSRQLLDVYVANALLAMYFRFGKMAEAAVIFDDLDDKDIVTWNSMLTGFIQNGLYNEALQFFHDLQDAGLKPDQVSVINIIVASGRLGYLLNGREIHAYAIKNGFAYNVLVGNTLIDMYAKCCCMIYAGRAFHKIPNKDFISWTTIITGYAQNNCHIEALELFREVQMEGMDVDAMMMGSVLLACGGLKCLILVKEIHGYIIRRGLSDPVLQNTIISAYGECGTVDYMSRMFESIECKDIVSWTSMISSYVHNGLANEALEVFYSFKEATLEPDSIILVSLLSAVASLSTLKKGKEIHGFIIRKGLISEKTTVNALVDMYARCGSLDNACKIFISTRNKSLVLWTAMINAYGMHGHGEAAVELFTRMKGEKLIPDHITFLAILYACSHSGLINEGKRFIEIMENDYQLEPWAEHYACLVDLLGRTNHIEEAYQFVKSMPIASTPEVWCALLGACRVHSNKEIGEIAAQKLLDMDIDNPGNYALVSNVFAASGRWKDVQKVRMKMKGIGLKKNPGCSWIEVGNKVHTFLARDRSHPECDKIYQKLAQITEKLEREGGYVAQTKFVLHNVGEEEKVHMLYEHSERLAIAYGLLSTAKGTPIRITKNLRVCGDCHTFCKLVSKLFVRKLIVRDASRFHHFEDGACFCGDFW >Manes.18G104700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10158969:10165694:-1 gene:Manes.18G104700.v8.1 transcript:Manes.18G104700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLVSGNSSRGGGGGSELIGSGLARFRSAPATWLEALLEDEEEEDPLKPNRTLTQLLASNNPTRNSVPLASSSSLSSSFLSGNLFEPTGFQRQNSSPADFLGDSGPGSDGYFSSFGIPSNYDYISPMDVSPSGEKAREKGEQSGRVAGEVSTLMEMEIEKLLEDSVPYRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKVNNAQLKSRGSNGENWKSLRAWTGVFCLLQ >Manes.18G104700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10159064:10165688:-1 gene:Manes.18G104700.v8.1 transcript:Manes.18G104700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLVSGNSSRGGGGGSELIGSGLARFRSAPATWLEALLEDEEEEDPLKPNRTLTQLLASNNPTRNSVPLASSSSLSSSFLSGNLFEPTGFQRQNSSPADFLGDSGPGSDGYFSSFGIPSNYDYISPMDVSPSGEKAREKGEQSGRVAGEVSTLMEMEIEKLLEDSVPYRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKVEGELL >Manes.18G104700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10156463:10165688:-1 gene:Manes.18G104700.v8.1 transcript:Manes.18G104700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLVSGNSSRGGGGGSELIGSGLARFRSAPATWLEALLEDEEEEDPLKPNRTLTQLLASNNPTRNSVPLASSSSLSSSFLSGNLFEPTGFQRQNSSPADFLGDSGPGSDGYFSSFGIPSNYDYISPMDVSPSGEKAREVELHHPSTKYPSLLKGEQSGRVAGEVSTLMEMEIEKLLEDSVPYRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKIKVRYEGYTSMYSMFKAYCLILENVLSEVYLVWCVVKYFTK >Manes.18G104700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10159064:10165688:-1 gene:Manes.18G104700.v8.1 transcript:Manes.18G104700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLVSGNSSRGGGGGSELIGSGLARFRSAPATWLEALLEDEEEEDPLKPNRTLTQLLASNNPTRNSVPLASSSSLSSSFLSGNLFEPTGFQRQNSSPADFLGDSGPGSDGYFSSFGIPSNYDYISPMDVSPSGEKAREVELHHPSTKYPSLLKGEQSGRVAGEVSTLMEMEIEKLLEDSVPYRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKVEGELL >Manes.18G104700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10164099:10165688:-1 gene:Manes.18G104700.v8.1 transcript:Manes.18G104700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLVSGNSSRGGGGGSELIGSGLARFRSAPATWLEALLEDEEEEDPLKPNRTLTQLLASNNPTRNSVPLASSSSLSSSFLSGNLFEPTGFQRQNSSPADFLGDSGPGSDGYFSSFGIPSNYDYISPMDVSPSGEKAREVELHHPSTKYPSLLKGEQSGRVAGEVSTLMEMEIEKLLEDSVPYRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKVIFINLLIMTISEEIFVNSGCKSLFSHLVIVRLLKYKFKMSEIYIFK >Manes.18G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10158969:10165694:-1 gene:Manes.18G104700.v8.1 transcript:Manes.18G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLVSGNSSRGGGGGSELIGSGLARFRSAPATWLEALLEDEEEEDPLKPNRTLTQLLASNNPTRNSVPLASSSSLSSSFLSGNLFEPTGFQRQNSSPADFLGDSGPGSDGYFSSFGIPSNYDYISPMDVSPSGEKAREVELHHPSTKYPSLLKGEQSGRVAGEVSTLMEMEIEKLLEDSVPYRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKVNNAQLKSRGSNGENWKSLRAWTGVFCLLQ >Manes.18G104700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10164099:10165688:-1 gene:Manes.18G104700.v8.1 transcript:Manes.18G104700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLVSGNSSRGGGGGSELIGSGLARFRSAPATWLEALLEDEEEEDPLKPNRTLTQLLASNNPTRNSVPLASSSSLSSSFLSGNLFEPTGFQRQNSSPADFLGDSGPGSDGYFSSFGIPSNYDYISPMDVSPSGEKAREKGEQSGRVAGEVSTLMEMEIEKLLEDSVPYRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKVIFINLLIMTISEEIFVNSGCKSLFSHLVIVRLLKYKFKMSEIYIFK >Manes.18G104700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10156462:10165689:-1 gene:Manes.18G104700.v8.1 transcript:Manes.18G104700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTPLVSGNSSRGGGGGSELIGSGLARFRSAPATWLEALLEDEEEEDPLKPNRTLTQLLASNNPTRNSVPLASSSSLSSSFLSGNLFEPTGFQRQNSSPADFLGDSGPGSDGYFSSFGIPSNYDYISPMDVSPSGEKAREKGEQSGRVAGEVSTLMEMEIEKLLEDSVPYRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKIKVRYEGYTSMYSMFKAYCLILENVLSEVYLVWCVVKYFTK >Manes.06G111300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24317347:24321904:-1 gene:Manes.06G111300.v8.1 transcript:Manes.06G111300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERRLSKESSRGSVTLQKKLVESRQPSKTQQPPDLTDFMNDMFFGTVQTDKKAYNLTGSPSEDDDEESFDDSTRSNSSRLTQEWLEEARRMMASSPTRCDSPTKVAGSPKFAAIPGRLSSPSVLDRRDPLSRSARRHKAIEGFSGEILAKSAKHSRNRSESLDSMPSSPSEPSPALQVQQWFSNMLKPYNPESHQPNDSPTPSIDPVATVLPRQPTYRKSRFQTEPTIPASQGVPVPSRRTFKAAPLPDTQMLSPPKNLIESAHRRSISSSTCSQPEKQLLSPPRNVVESAHRRSITRSTCSVGKIAPKPHVNGWQQKEEGERVVSLNDFLKNQRIKIERILNGEIDSKAKVILSGTSTNTSSMVAAICYAWLLENRFIKNKGEGDGDRDVVVPVMNVRRGRMWKQRQAAWLFHHVGLETTSLLFADEVDLESLIMAGKLTILVVGQDILKTDNEVGSKCTILTDNYCEDAYDLLQTPVLKKLLLAVIQDQRDSSFFETLRYNYGKPPSERGLDSTTQMEQREAERKSTSICYSEASVPNSDKKSKDVKNAKSNGDTPKSAETVKTNSDSSRGKSKFFLARWFGFGK >Manes.06G111300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24317347:24321904:-1 gene:Manes.06G111300.v8.1 transcript:Manes.06G111300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERRLSKESSRGSVTLQKKLVESRQPSKTQQPPDLTDFMNDMFFGTVQTDKKAYNLTGSPSEDDDEESFDDSTRSNSSRLTQEWLEEARRMMASSPTRCDSPTKVAGSPKFAAIPGRLSSPSVLDRRDPLSRSARRHKAIEGFSGEILAKSAKHSRNRSESLDSMPSSPSEPSPALQVQQWFSNMLKPYNPESHQPNDSPTPSIDPVATVLPRQPTYRKSRFQTEPTIPASQGVPVPSRRTFKAAPLPDTQMLSPPKNLIESAHRRSISSSTCSQPEKQLLSPPRNVVESAHRRSITRSTCSVGKIAPKPHVNGWQQKEEGERVVSLNDFLKNQRIKIERILNGEIDSKAKVILSGTSTNTSSMVAAICYAWLLENRFIKNKGEGDGDRDVVVPVMNVRRGRMWKQRQAAWLFHHVGLETTSLLFADEVDLESLIMAGKLTILVVGQDILKTDNEVGSKCTILTDNYCEDAYDLLQTPVLKKLLLAGILLDTQNLNASTKLSMTRDAEAVRLLLVGSTANYQNALFEQCMSSASPNHQQISSFSSHDLYSFFLFLFI >Manes.06G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24317347:24321904:-1 gene:Manes.06G111300.v8.1 transcript:Manes.06G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERRLSKESSRGSVTLQKKLVESRQPSKTQQPPDLTDFMNDMFFGTVQTDKKAYNLTGSPSEDDDEESFDDSTRSNSSRLTQEWLEEARRMMASSPTRCDSPTKVAGSPKFAAIPGRLSSPSVLDRRDPLSRSARRHKAIEGFSGEILAKSAKHSRNRSESLDSMPSSPSEPSPALQVQQWFSNMLKPYNPESHQPNDSPTPSIDPVATVLPRQPTYRKSRFQTEPTIPASQGVPVPSRRTFKAAPLPDTQMLSPPKNLIESAHRRSISSSTCSQPEKQLLSPPRNVVESAHRRSITRSTCSVGKIAPKPHVNGWQQKEEGERVVSLNDFLKNQRIKIERILNGEIDSKAKVILSGTSTNTSSMVAAICYAWLLENRFIKNKGEGDGDRDVVVPVMNVRRGRMWKQRQAAWLFHHVGLETTSLLFADEVDLESLIMAGKLTILVVGQDILKTDNEVGSKCTILTDNYCEDAYDLLQTPVLKKLLLAGILLDTQNLNASTKLSMTRDAEAVRLLLVGSTANYQNALFEQLIQDQRDSSFFETLRYNYGKPPSERGLDSTTQMEQREAERKSTSICYSEASVPNSDKKSKDVKNAKSNGDTPKSAETVKTNSDSSRGKSKFFLARWFGFGK >Manes.14G067600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5630923:5635594:-1 gene:Manes.14G067600.v8.1 transcript:Manes.14G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTSSLIARSLSSSSSAASLLLSLGRNRSRGRSIYRYSTAAAVEEPITPPVQAIYTQHLINGQFVDAASGKTFPTYDPRTEGVIAHVAEGDAEDINRAVAAARKAFDEGPWPKMTPYERSRILLRFADLVEKHNDELAALETWNNGKPYEQSAKSELPMLSRLFHYYAGWADKIHGLTVPGDSNHHIQVLHEPIGVAGQIIPWNFPLIMFAWKVGPALACGNTIVLKTAEQTPLTALYAAKLFHEAGLPPGVLNVISGYGPTAGAALASHMDVDKIAFTGSTETGKVVLELAARSNLKPVTLELGGKSPFIVCEDADVDKAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFIEKSKARAKRRVVGDPFKKGVEQGPQIDSEQFQKVLRYIRSGIESNATLECGGDRFGSKGYFIQPTVFSNVQDDMLIAKDEIFGPVQSILKFKNLDEVIQRANTTRYGLAAGVFTKNIDTANTLSRALRAGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLNNYLQVKAVVTPLKNPAWL >Manes.06G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1030230:1038602:-1 gene:Manes.06G006000.v8.1 transcript:Manes.06G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLLFSRSLLFRSNAHTVLFPIPSLLPSKSLQFHRLCSSAALRSDTTETLNSLQQHHPWPEWVSFVDRLKSKGYFTPNVTNDDATESIYKDMNQLKDPCLSFARDRYDLLKSLSVGEIQTVLEGGCPNLFRKVVNSAKRLRAYMALDEGEVCGACDLRGSCDRAYVILKDDEGNARTADIMRILMFYALDPLVISGEEKPPGREVVEAAVRKLLSELIELSEKTPDPPVLMPAPKAAHQKKQERRFRDNKEPPLSDAILDEKLSRDVEMKRGDWSCAKCNFMNFSKNIRCLKCNGEGPKKVDVDAEMKQGDWICPKCDFMNFSRNIRCLKCKTEGPKRVAVNEAQMKPGDWNCPECGFMNFANNKMCLRCREQRPKRQLIPGDWECPACDFLNYSRNVSCRKCNHERPKEATTESKYEERIWRSPR >Manes.15G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12234051:12235858:-1 gene:Manes.15G148500.v8.1 transcript:Manes.15G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFALPSKMPVAHSLPARMKLRMRSHIQAIPSVLERPSTLELKNDRHPFEAQIKKQKTLFILGATGTGKTKLSIDLATHIPAEIINSDKIQVYKGLDIVTNKIAEDERKGIPHHLLGFMDPEADFTVQDFCTHVHVAMTRIINNGSVPIIVGGSNNYIRRLVEDPCTKFKSNFDSCFLWVDVDLPVLYKRVAQRVDEMVEAGLVEEVRAMVAPGADYTRGVWRAIGVPEMDDYLHAEKVMADEKTKRMLLEAAIYRIKQNTCKLVDSQLRKINQMIDELGWKLHRIDATCVLETRGGGAVEAWEEVVLEPSLAIVRDFLEGQD >Manes.10G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:623994:627361:1 gene:Manes.10G000100.v8.1 transcript:Manes.10G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQDSCVYDGYVLPKLYVKMQYCVSCAIHSRVVRVRSRTQRRNREPPQRFLRRRDDLPKPGQPGQPGQGIRPAGVGTAARA >Manes.04G081628.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28289712:28292417:1 gene:Manes.04G081628.v8.1 transcript:Manes.04G081628.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHVKQILRRSNMLQNQSASNFTDVPKGYLAVYVGEGQKKRYIVPVSLLNKPSFQELLRKSEEEFGFNHPMGGITIPCREDIFINLTSC >Manes.01G153401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34071389:34074250:1 gene:Manes.01G153401.v8.1 transcript:Manes.01G153401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHQGALAMAAHQICLQVWLSVSLLVDAQAASCQRSRRASSSSCPFLFSVVAPVLAAILLCHLDSFDPAPFPHQDFTHPDPSLKNGHLLQGSEFLGVGQLTAPEDTAYDSKVLLSHLYFANGLAISPDQQSLIYCETPLSKCKRYYIKENKKGNIENFVDIPGLPDNIHYDGDGHFWIASISEITQFWKLAFKYPVVRKFAAIALKYMGKRPTKKNAGVFTVNLEGKLISHYHDPDLMLISSGVKIGTHLYCGSTIYPHIIRLNLAKHPPHPTT >Manes.04G086500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28796437:28803417:-1 gene:Manes.04G086500.v8.1 transcript:Manes.04G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVMVHTTPSESYSKGHKRMKLSDDGDKNGSVVDYSDPFAISSFIDKLDSGKYGSVTSDIEALVSWSLQVLGPYLKKHPGLSTILFDGKKDPNKDASMSQENVIDLEDDSVTNNPQTKPRPVVILDSDDEDDIDHRSTYPFQEVVLARSTGQFIADTAIQIHPDRKNYEKLVSLAGELEMRDKGVFVGIEEFDDDNQSKTEDDGLGDIWNEMSLALECSKDVAENPSSDEDTQEDEEYCDHSSVLKDDLGYVCRICGVIQRGIETIIEVQFNKKKSTRTFAPESRSAKDRDSSEMVGIELSEEDLMVTDISAHPRHMKQMKPHQVEGFNFLRSNLVTDNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHARPLVVLPKGIIATWKKEFQTWQVEDIPLLDFYSVKADNRIQQLEVLKQWVEHKSILFLGYKQFSTIVCDDVNNKVAANCQEILLKRPTILILDEGHTPRNENTDVLQSLAKVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDNSRGIVKRIMSKVHFQGVKKHLKTGETIFYDLVEHTIQKDQDFRRKVSIIQDLREMTSKVLHYYKGDFLDELPGLVDFTIVLNLSSRQKKEVEKLKKKWARKFKRSSVGSAVYLHPNLYNVSENTDMTDAKMDEFLEELNVRDGAKAKFFLNILNLCESAGEKLLVFSQYLTPLKFLERLTVKAKGWILGREIFVISGESSSDHREWSMEQFNNSIEARVFFGSIKACGEGISLVGASRILILDVHLNPSVTRQAIGRAFRPGQKKKVYAYRLVAADSPEEEDHSACFRKEVIAKMWFEWNEYCGYQDFEVETVELKECGDLFLESPLLREDVRELYKR >Manes.12G026300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2317493:2322979:-1 gene:Manes.12G026300.v8.1 transcript:Manes.12G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVASMPRLNLFSSLPSNSPRNDPTASTSSPQPPIPIPKYPPPLKKSKNSESSATSPAFKLPHRRTKYYKPVKDGVLSSEGDRSVLVGENGVSYLLPGAPFEFQYSYSETPKVKPVAIREPAFLPFAPPTMPRPWTGKAPLKKSKKKIPLFGSFNVPPPDKEGAKYLEMTGPFPLGRFPEEGKSIKEILGEPLKKWEIKMLIKPLLSDNRQVNLGRDGLTHNMLELIHSHWRRKPVCKVRCKGIPTVDMDNVCRHLEEKAGGKVIHRVGGIVYLFRGRHYDYRTRPQYPVMLWKPAAPVYPKLIQEAPEGLTKAKADELRKKGKNLLPICKLAKNGVYITLVRDVRTAFEGSPLVKIDCKGMNPSDYKKLGAKLKELVPCVLLSFDDEQILMWRGQNWKSMYPEVPSVSIPAKVDDTNNSDDSGKLDDDCSDPDTNIVHSSPKMMSLWKRAIEANKAILLDELDLGPDDLLRKVEEFENISQATEHSYPALILSREDGADNIISAFEDSSPSESLGEDGTYTDEYEDDDEYEDNEYYDSDSSEEVDASVPLGSLPVDLIADKLDQA >Manes.06G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21146660:21154639:-1 gene:Manes.06G075700.v8.1 transcript:Manes.06G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSYISSAVLTLRTKGSLSFSFNDFSNLLSERFDKNPFLSSPSQCCACCNCCSCCASSLATHRVPITPSLFYGLRQSTLIQWSPCRRLILGHRGPYYYRVPSYGLDEDCYEVSCSFKKKSGGERIKRRTEGKVGRLNLRERRCLSSADDAETVISLLSEEVTGECLGDRLQKSSSSKRLELEKKSKYGDELKQHRKKNDRLSLLESDSKSQFELITTELSREGYRMKEEREQCRRKENTDDFLKKEETGEFRRKEERDGKEERSKGGNRRGRKASSSFSSYYSLSSMGDFESDKEAQNEHVVSLEESSSRHKKELRSEENTFDGQVVEEFKRHGDRGQGKVSEQGTDMRRADAAWDLRKKSEKQLTEIDEMQSREESSQLHSRMARTSISDHEKVSSSRKQIRDENEKLTLAVSLEKGTGKQYNQTGDQVAEQSQIRRKYQEITHREDIQGKNGKATSQYQRQSNSREENLTADSDLVGERKGENYGTVGESAECSNLRKNTTEMSEIGSVNVERVSNVQRQYESRMKIHEEDRAARSVWETNEKRQQTSEYITGHNESRRDSQWLSERPEIHDSTRSETGTKNQEASTGIVYHPVEEAKEKRSPTEGKTIGRIQSRKGSQGVTNISVNVTNVSVVHASDRERVNDSQITSVNKITHQGSELMSVVKPIRETRERYEQTDERITEVKSRKGAHMTSEASGFQEKTSEEASSSRASLNMVSQGRMQHIDVEGNYRNSQAVMTPPAPQMVARGSPSVDPFSEISTHEAHTETSESSLSVFNNNSGERTPISQQELYDKDRKGEIYGKPLIPEDALGSAHHLEESSLQFVGEFMQRARHELLSSKITEEKVTDQNLTYEGEKDKEKSSGQNGSEDLLFKEQDSRRSGVKGPSDEMWDVANPSFPEPSETEAAEGSTATTSTVVKRRGRSLWGIIADVVRLRWGSHAETPKSARRSGGKISSNDSVNSEAWFSGHDPEEISDKTIERERSNMAKEATSSHHLQLMQMSGRGQGKESDMIGSKDELGLLEGETSSSPTIFKSGSTSKGISSPSEEENLVWGQDRKSSQGTRKQDGKSSQVFPPSTVVGETSSIPVPSSGMDTLMVEESYGRDKTDATISGSMELMEQPATAKLTEVLSPDRKDGELKQRRLQRNKQVLRDRFDEWEEAYIRESEQRKVDEMFMREALLEAKKAADTWEVPVGAVLVQNGKIIARGYNLVEELRDSTAHAEMICIREASNHLRSWRLAECTLYVTLEPCPMCAGAILQARIDTLVWGAPNKLLGADGSWIRLFPGGENGSELTDKPAAPVHPFHPKMTIRRGIMASECADRMQQFFQLRRRKKVKSEDLLPKPSLPIASHQSKILTKMHDIFHAFLCL >Manes.06G033800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:9123316:9128279:-1 gene:Manes.06G033800.v8.1 transcript:Manes.06G033800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDRCGHRSLVRDDVTGLLVCESCGAVQEFDNYETRTGGINGPEGVLIRVGTSGTGSVLNYRDKKIFEANKLVDEITFKLDLVGQKVSEIKSMIDRITEGEFGQGDWFPVLIGACAYVVVRSDNKSLSIAEIGNVIGCDVHELGRMIMRVVDHLNIKLPEFDIVRSFEKVVRNLSNLGRVDSNKVERMREQGVFLIQCAIKWFLTTGRRPLPIVAAVLVLVAELNGVENVKIEEVAREVHATVSTCRLRYKELLEALVKVAQALPWGKDVTMKNIVKNVPFVIRYMEMKTKAKCGGKEARLECVGFDLREVVNHCLRKDVEYGVEQDHVEYDDSQYFELKNGSGMNEVGDSDVYNIQLSHECLSMVYNNFLDEGGSSKCARENGRVHRRKSNLKLELHPTEWWNGKSELSKKLLLKEILEKDVGLNPMPPSFVNGHLAVERRRARIRAAKLRIERIMHPRNALSGDSSDCCVLEDSRARKRKVKTSTKGIDWEDFIIETLLLHKVKEEEIEKGHYNTLMDLHVFNSGIL >Manes.06G033800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:9124511:9128235:-1 gene:Manes.06G033800.v8.1 transcript:Manes.06G033800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDRCGHRSLVRDDVTGLLVCESCGAVQEFDNYETRTGGINGPEGVLIRVGTSGTGSVLNYRDKKIFEANKLVDEITFKLDLVGQKVSEIKSMIDRITEGEFGQGDWFPVLIGACAYVVVRSDNKSLSIAEIGNVIGCDVHELGRMIMRVVDHLNIKLPEFDIVRSFEKVVRNLSNLGRVDSNKVERMREQGVFLIQCAIKWFLTTGRRPLPIVAAVLVLVAELNGVENVKIEEVAREVHATVSTCRLRYKELLEALVKVAQALPWGKDVTMKNIVKNVPFVIRYMEMKTKAKCGGKEARLECVGFDLREVVNHCLRKDVEYGVEQDHVEYDDSQYFELKNGSGMNEVGDSDVYNIQLSHECLSMVYNNFLDEGGSSKCARENGRVHRRKSNLKLELHPTEWWNGKSELSKKLLLKEILEKDVGLNPMPPSFVNGHLAVERRRARIRAAKLRIERIMHPRNALSGDSSDCCVLEDSRARKRKVKTSTKGIDWEDFIIETLLLHKVKEEEIEKGHYNTLMDLHVFNSGIL >Manes.09G029800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6245744:6246606:1 gene:Manes.09G029800.v8.1 transcript:Manes.09G029800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKISFAVLIVAASMSAALIAHAAEGPAVEGPAAEGPAAEGPAAAAGPGASAVAPTSGAAVTSPVVGSLIGAFLLSFFAYYLQ >Manes.09G145000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34286389:34289681:-1 gene:Manes.09G145000.v8.1 transcript:Manes.09G145000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTCGMLEAIHTAAAAASTVAAVPNSTELKMELQSLMNQILDGEDHSLEITVEVLKILTALEELKKKSSDSLKVIDDNAIVPDEFKCPISRELMADPVVLATGQTYDRAFILRWLNDGHRTCPQTRQVLSHTILTPNHLVREMISHWCEKHGVEVPRLISDVEDNVVGDADRDYLNSLLEKMYSSLSDQKKAAKELRLLTKRMPSFRALFGELTGSIPKLFSPLSLGTADSHPDLQEDLITTFLNLSLHNDNKQLIAENLFVIPLLIEALKSGTMETRSNAAAALFSLSTLDSNKILIGKSGALKALIDLLEEGHLLVMKDAASAIFNLCIVPDNKARAVHDGAVRVILKKIMENVLVDELLAILAMLASHQKAVEETRELGAVSCLLSIIRRGTSERNKEYCAAILHTVCLNDRTTWREFKDEENGNHTLSKLAVNGNSRARRKAKGILERLINTSSVSCKKLTCMFSYQASFGPI >Manes.09G145000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34286389:34289681:-1 gene:Manes.09G145000.v8.1 transcript:Manes.09G145000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTCGMLEAIHTAAAAASTVAAVPNSTELKMELQSLMNQILDGEDHSLEITVEVLKILTALEELKKKSSDSLKVIDDNAIVPDEFKCPISRELMADPVVLATGQTYDRAFILRWLNDGHRTCPQTRQVLSHTILTPNHLVREMISHWCEKHGVEVPRLISDVEDNVVGDADRDYLNSLLEKMYSSLSDQKKAAKELRLLTKRMPSFRALFGELTGSIPKLFSPLSLGTADSHPDLQEDLITTFLNLSLHNDNKQLIAENLFVIPLLIEALKSGTMETRSNAAAALFSLSTLDSNKILIGKSGALKALIDLLEEGHLLVMKDAASAIFNLCIVPDNKARAVHDGAVRVILKKIMENVLVDELLAILAMLASHQKAVEETRELGAVSCLLSIIRRGTSERNKEYCAAILHTVCLNDRTTWREFKDEENGNHTLSKLAVNGNSRARRKAKGILERLVSADIIIHNAR >Manes.06G016700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2751630:2751905:1 gene:Manes.06G016700.v8.1 transcript:Manes.06G016700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLIWATAEDLGRNRGRVISLYRQILRSLNSPSLPLNLAARLAKKAEVRAIFMLASEERSLHNINDLIDTGEYALSILRKGEIPNNIQW >Manes.16G019170.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2081904:2085470:-1 gene:Manes.16G019170.v8.1 transcript:Manes.16G019170.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPRKKNTSAEAVVVQPSSPRFAVSTPTSGAQRRIGIAVDLSDESAYAVKWAVQNYLRHGDAVILVHVRPTGVLYGADWGAIDLSITDQDSENQTEHSHKKLEDDFDLFTSTKANDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLCAVIMGSRGFGASRRHTKGRLGSVSDYCVHHCVCPVIVVRFPDEKDGAAAKGPGGCVKKDEKNGEVRPPLMEAAEQEFHDASDKQADMEKAS >Manes.16G019170.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2081904:2085432:-1 gene:Manes.16G019170.v8.1 transcript:Manes.16G019170.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPRKKNTSAEAVVVQPSSPRFAVSTPTSGAQRRIGIAVDLSDESAYAVKWAVQNYLRHGDAVILVHVRPTGVLYGADWGAIDLSITDQDSENQTEHSHKKLEDDFDLFTSTKANDLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLCAVIMGSRGFGASRRHTKGRLGSVSDYCVHHCVCPVIVVRFPDEKDGAAAKGPGGCVKKDEKNGEVRPPLMEAAEQEFHDASDKQAG >Manes.02G002000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:231502:233632:-1 gene:Manes.02G002000.v8.1 transcript:Manes.02G002000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAKISSFVQIILITCCSFSLFCNAQDYTDALEKSILFFEGQRSGVLPPNQRLNWRGNSGLSDGSSYHVNLVGGYYDAGDNVKFGLPMAFSTTLLAWSVIEFGASMQNQIENAKAAIRWSADYLLKAATATPGTLYVQVGDPNLDHKCWERPEDMDTPRNVYKVTTKNPGSDLAAETAAALASASIVFKNSDPSYSSKLLQTAMELVVIVQVFDFADRFRGSYSDSLSSAVCPFYCSYSGYQGASWIHRASQNGSYLAYIKSNGHLMGADNDDYSFSWDDKRAGTKILLSKGFLDKRVEEFQLFKAHSDNYICSLIPGTSRFQAQYTPGGLLYKASESNLQYVTSTSFLLLTYAKYLSSNGGIITCGGSTVTVESLISQAKKQVDYILGDNPAKTSYMVGFGKRYPQHVHHRGSSVPSIHAHPDHISCNDGFQYLYSSSPNPNVLIGAIVGGPDNRDNFADDRNNYQQSEPATYINAPFVGALAFFSGKN >Manes.05G155333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26745928:26750673:-1 gene:Manes.05G155333.v8.1 transcript:Manes.05G155333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVEPPNGVRIQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIHNAFENHVDALRTLRELKLLRHLRHENVIQLKDVMMPIHKRNFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNGKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQREEDLEFIDNPKAKKYIKSLPYSPGTPFSRLYPNAHPLAIDLLGKMLVFDPSKRITVTEALQHPYMSPLYDPSSNPPAQVPIDLDIDEDLGEDMIREMMLKEILHYHPEEGTANGQMCA >Manes.05G204160.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32926714:32929069:-1 gene:Manes.05G204160.v8.1 transcript:Manes.05G204160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLATAEACDSNAALLASGDLRALQPIFKIYGQCRAFSGPIVTLRVFEDNVIVRELLETRGEGRVLVIDGGGSMRCALVGGNLGQLAQNMGWAGIVVNGCIRDVDEIKGCDIGVRALASHPTKSNKKGIGEKHVPVQIAGTLIQDGEWLYADSDGILISKSELSV >Manes.05G204160.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32926426:32929084:-1 gene:Manes.05G204160.v8.1 transcript:Manes.05G204160.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLATAEACDSNAALLASGDLRALQPIFKIYGQCRAFSGPIVTLRVFEDNVIVRELLETRGEGRVLVIDGGGSMRCALVGGNLGQLAQNMGWAGIVVNGCIRDVDEIKGCDIGVRALASHPTKSNKKGIGEKHVPVQIAGTLIQDGEWLYADSDGILISKSELSV >Manes.06G076200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21241029:21244295:1 gene:Manes.06G076200.v8.1 transcript:Manes.06G076200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLQANNLQGPIPDNLQNLTSLKILDLSFNYLSSGLPNWFHSFSQLEYLNFCSCNLQGSMSTAISNLSSTFNNLEISFNSELSGKIPRSFGKLCNLVSISLTGVKLGQDISEVVEILSGCASNTLESVYMGRCQLSGHLINQLGRFNRLNLLSLWGNSISGPIPESLGELPSLKTLYLYGNKLNGSLPTNFGKLAKLEDAVISQNFLEGVVSEVHFANLTRLKAFIAHGNSLKLKVDADWVPPFHVEALALGSWQLGPRFPSWLQSQEHLLNLDISNSGIFDSVPAWFWNLSYQFTVLDLSHNQIYGQIPSIDVFSYSLVIDLSSNKFMGPLPRVSSRVAALDVSNNSLSGSISNFLCGKLNELSGTQVLNLADNHFSDEIPDCWGNWQTLVAIKLANNKFSGKIPGSLGSLSSLQSLSLRNNSLSGELPLSLRNCSNLVSIDFSQNNFAGSIPTWMGKWLPNIKILSLHSNKLHGAIPDELCALNSLQILDLGQNELSGTIPRCINNFQAMITKNDSATTSISYATSSGSYFEDALLVIKGRVVEYSTTLKLVRSMDLSDNLLSGEIPNKQITSLQGLQSLNLSHNLLTGRVPENIGAMRELESLDLSMNQLSGEIPQSISSLTFLSHLNLSYNNLIGKIPSSTQLQSVSASSFVGNKLCGAPLAEICNEDNTTSKIENDGEESKGLEVEWKIVSITIGFVVGFWGVLGPLILNRAWRIEYYQFLDRMWYRIQLLFLAIN >Manes.06G076200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21241083:21244295:1 gene:Manes.06G076200.v8.1 transcript:Manes.06G076200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAFVTILFLLIAAANLSISSCFQNSNYVGCIEEEKQALLRFKQDLIDPSNRLASWVGHRDCCKWAGVVCNKETGYVSELRLNNPPLNDYSSDVKYKAYEMSKLGGQLNPSLLGLKNLIHLDLSDNNFVGNSIPEFIGSMVSLRYLNLSVSGFQGKVPHQLGNLSNLQYLDLQANRLYVENLQWLSGLSFLEFLDLSIIDLSTTTDWLQVVTKLPSLLELRLSNCLLGELPLLEDVNVSSSLTVLDLSWNWFDYSLIHRWIFRLSNLMSLNLQANNLQGPIPDNLQNLTSLKILDLSFNYLSSGLPNWFHSFSQLEYLNFCSCNLQGSMSTAISNLSSTFNNLEISFNSELSGKIPRSFGKLCNLVSISLTGVKLGQDISEVVEILSGCASNTLESVYMGRCQLSGHLINQLGRFNRLNLLSLWGNSISGPIPESLGELPSLKTLYLYGNKLNGSLPTNFGKLAKLEDAVISQNFLEGVVSEVHFANLTRLKAFIAHGNSLKLKVDADWVPPFHVEALALGSWQLGPRFPSWLQSQEHLLNLDISNSGIFDSVPAWFWNLSYQFTVLDLSHNQIYGQIPSIDVFSYSLVIDLSSNKFMGPLPRVSSRVAALDVSNNSLSGSISNFLCGKLNELSGTQVLNLADNHFSDEIPDCWGNWQTLVAIKLANNKFSGKIPGSLGSLSSLQSLSLRNNSLSGELPLSLRNCSNLVSIDFSQNNFAGSIPTWMGKWLPNIKILSLHSNKLHGAIPDELCALNSLQILDLGQNELSGTIPRCINNFQAMITKNDSATTSISYATSSGSYFEDALLVIKGRVVEYSTTLKLVRSMDLSDNLLSGEIPNKQITSLQGLQSLNLSHNLLTGRVPENIGAMRELESLDLSMNQLSGEIPQSISSLTFLSHLNLSYNNLIGKIPSSTQLQSVSASSFVGNKLCGAPLAEICNEDNTTSKIENDGEESKGLEVEWKIVSITIGFVVGFWGVLGPLILNRAWRIEYYQFLDRMWYRIQLLFLAIN >Manes.13G043250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5088852:5089531:-1 gene:Manes.13G043250.v8.1 transcript:Manes.13G043250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINARDVPSVKTTVSTPKDSGLNDQKNFVTFGDVGGFAGLGGFGGVGGGSGLGGATGLGEPGGLGGGDRGLGCLGGGVGGGVRGGSGVIPCP >Manes.16G076800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28123380:28126052:1 gene:Manes.16G076800.v8.1 transcript:Manes.16G076800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNVTLRLMELVATGLPCPRKLALSDVERVAVYGGSIISGQTSNMEVSLKRKIKSYSLSIVKWEAGKWSLIASHLPGRTDNDVKNYWNTKLKKKLLLGGNPSLAIKNNTEIITPADHNYNATTAPSATSSLPNVIPRTETTCSFTFWDSLTHSSVTLPILSDVVYDQHLLDPSQILSLDPVDQFSFTPGIMDNLSELGSNLINNHNIVSSSQEGSSISDSSSIVMDHNLSMPAGILMDSGFGFPYDPVTALLFEDKAGEVASSGYAEIKH >Manes.16G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28123380:28126052:1 gene:Manes.16G076800.v8.1 transcript:Manes.16G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDATLKRYLETYGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDKIIFTLYSQMGSRWSLIASHLPGRTDNDVKNYWNTKLKKKLLLGGNPSLAIKNNTEIITPADHNYNATTAPSATSSLPNVIPRTETTCSFTFWDSLTHSSVTLPILSDVVYDQHLLDPSQILSLDPVDQFSFTPGIMDNLSELGSNLINNHNIVSSSQEGSSISDSSSIVMDHNLSMPAGILMDSGFGFPYDPVTALLFEDKAGEVASSGYAEIKH >Manes.09G148850.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34676040:34677307:1 gene:Manes.09G148850.v8.1 transcript:Manes.09G148850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSYLVIFLLIHGMCVGKTLALELPSTEFSPHYKLTVITRRAVPRRSPPPPPKLNTRPHQKPPFRTPPPPPPLQYSHRHADA >Manes.07G056600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6434597:6437058:-1 gene:Manes.07G056600.v8.1 transcript:Manes.07G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDGEDEEY >Manes.02G063900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4870836:4874668:-1 gene:Manes.02G063900.v8.1 transcript:Manes.02G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ProDH MAASTTTRVISPKLLKHISSFIRPLVTSSSSTSSSSSSISAIPSLNLATKPEPTITTPPSILDLSDHQKLFATLPSRKLLHASLILHMAAMNPVVDLGMWVMNSKLMGVDNIVRDLILSAVRHSFYEHFCAGEDTVEVANCVKKLNESGLRGMLDFSVEFTVDNDACDRNFQGFLDTIETAKSLSPSSVSAVVVKITAICPLNLLERVADLLRWQEKDPSFNLPWKQNTFPIFSESTPLYHTLKKPEPLTPEEEQHLLLGQQKLLKLCQACAEANVPLVIDAEHTKVQPAIDYFTYSSAIKYNKDDNPIVYGTIQAYLKDAKERLLLATKAADKMGLPMGFKLVRGAYMSSERKLASSLGYQSPIHNSIQQTHDCYNDCASFLLEAIADRSHGLILATHNVESGTLAAKKAEELGIGNGNQRLEFAQLYGMAEALSFGLRNAGFQVSKYLPFGPVEMVIPYLLRRAEENRGLLSASGLDRELTRKELSRRLKAAMF >Manes.05G158300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27102675:27102959:1 gene:Manes.05G158300.v8.1 transcript:Manes.05G158300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYFSSSSHGSSSSWTPKQNKLFEKALAVYDKDTPDRWQNVAKAVGGKSPEEVKRHYDLLVEDLMYIESGQAPLPTYKPTGSNGRGIGEEQR >Manes.05G158300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27102675:27104171:1 gene:Manes.05G158300.v8.1 transcript:Manes.05G158300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSYFSSSSHGSSSSWTPKQNKLFEKALAVYDKDTPDRWQNVAKAVGGKSPEEVKRHYDLLVEDLMYIESGQAPLPTYKPTGSNGRGIGEEQRLMKNLRI >Manes.01G202800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37561607:37563808:1 gene:Manes.01G202800.v8.1 transcript:Manes.01G202800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTASSKDKDKDKKRPISRSSRAGIQFPVGRIHRHLKQRASANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKATKD >Manes.01G202800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37561316:37563865:1 gene:Manes.01G202800.v8.1 transcript:Manes.01G202800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTASSKDKDKDKKRPISRSSRAGIQFPVGRIHRHLKQRASANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKATKD >Manes.01G202800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37561337:37563808:1 gene:Manes.01G202800.v8.1 transcript:Manes.01G202800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTASSKDKDKDKKRPISRSSRAGIQQRASANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKATKD >Manes.01G202800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37561337:37563808:1 gene:Manes.01G202800.v8.1 transcript:Manes.01G202800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTASSKDKDKDKKRPISRSSRAGIQFPVGRIHRHLKQRASANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKATKD >Manes.04G101402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30584328:30585922:1 gene:Manes.04G101402.v8.1 transcript:Manes.04G101402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEATSNGSNHFLYSGDKKHVLAGIAIISAVFGVPWYLMNRGTKHQSHQDYLERADKARSERLSSGSGSGSGSAR >Manes.12G058903.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5670327:5673756:-1 gene:Manes.12G058903.v8.1 transcript:Manes.12G058903.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASPQTGGNAPAKPWERAGTSSSGPTPFKPSSPGSTSDVVEASGTAKPGEIVPAVNKNTTVAGNSLGRPVPTRPWEQQTYGSTYGGYGSGVNYNSGYGSGMYGSSYGGYGGSYGGGLYGNNMYRGGYGGLYGGGMYGGGGGMYNGGFGGPMGGYGMGMGPYGDQDPNNPYGAPSSPPGFWISFLRVMQGVVNFFGRISILIDQNTQAFHMFMTALLQLFDRTGLLYGELARFVLRLLGIKTKPRKVEGPGPNGLPAPHNPHGNQNYIEGPKSAPSGGWDNVWGDGSS >Manes.01G189900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36582004:36585905:1 gene:Manes.01G189900.v8.1 transcript:Manes.01G189900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYSDIGKKARDLLYKDYQADQKVTLTTYTSTGVAITSSGTKKGELFLADVSSQFKNKNITTDVKVDTNSNLFTTITVDEPAPGLKSIFSFRVPDQRSGKVELQYQHEYAGISTSIGLTAKPIVNFSGVIGNNVIALGTDLSFDTATGNFTKLNAGLSYTNADIITSLTLNDKGDTLNASYYHTVSPLTNTAVGAELTHSFSSNEKTLSIGTQHALDPLTTVKARVNNYGRASALIQHEWRPKSLFTISGEVDTRAIEKSAKIGLALALKP >Manes.14G141100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12420660:12425882:-1 gene:Manes.14G141100.v8.1 transcript:Manes.14G141100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISANRSYYIGASNLFFYRTPVNPIVERVRALCALLGGPAIRYQCSMPLVSRIDGAGTNHDEFSRWGNGGGTFHKSARVDPTAIMEIGAIVHSKAVLDANVYVGSGAVIGPAVTVGQSTKIGYNVSLSNCTIGDHCVIHNGVCIGQDGFGFFVDEQGSMVKKPQLLNAKIGNHVEIGANTCIDRGSWRDTVIGDHSKIDNLVQIAHNVVIGKSCLLCGQVGIAGSVTIGDYVTLGGRVAVRDHASITSKVRLAANSCVTKDITEPGDYGGFPAVPIHQWRRQIANHSRLSKKSIS >Manes.14G141100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12420903:12425882:-1 gene:Manes.14G141100.v8.1 transcript:Manes.14G141100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAIVHSKAVLDANVYVGSGAVIGPAVTVGQSTKIGYNVSLSNCTIGDHCVIHNGVCIGQDGFGFFVDEQGSMVKKPQLLNAKIGNHVEIGANTCIDRGSWRDTVIGDHSKIDNLVQIAHNVVIGKSCLLCGQVGIAGSVTIGDYVTLGGRVAVRDHASITSKVRLAANSCVTKDITEPGDYGGFPAVPIHQWRRQIANHSRLSKKSIS >Manes.14G141100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12420903:12425264:-1 gene:Manes.14G141100.v8.1 transcript:Manes.14G141100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISANRSYYIGASNLFFYRTPVNPIVERVRALCALLGGPAIRYQCSMPLVSRIADGAGTNHDEFSRWGNGGGTFHKSARVDPTAIMEIGAIVHSKAVLDANVYVGSGAVIGPAVTVGQSTKIGYNVSLSNCTIGDHCVIHNGVCIGQDGFGFFVDEQGSMVKKPQLLNAKIGNHVEIGANTCIDRGSWRDTVIGDHSKIDNLVQIAHNVVIGKSCLLCGQVGIAGSVTIGDYVTLGGRVAVRDHASITSKVRLAANSCVTKDITEPGDYGGFPAVPIHQWRRQIANHSRLSKKSIS >Manes.14G141100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12420903:12425873:-1 gene:Manes.14G141100.v8.1 transcript:Manes.14G141100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSRIDGAGTNHDEFSRWGNGGGTFHKSARVDPTAIMEIGAIVHSKAVLDANVYVGSGAVIGPAVTVGQSTKIGYNVSLSNCTIGDHCVIHNGVCIGQDGFGFFVDEQGSMVKKPQLLNAKIGNHVEIGANTCIDRGSWRDTVIGDHSKIDNLVQIAHNVVIGKSCLLCGQVGIAGSVTIGDYVTLGGRVAVRDHASITSKVRLAANSCVTKDITEPGDYGGFPAVPIHQWRRQIANHSRLSKKSIS >Manes.15G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:703093:708766:-1 gene:Manes.15G006700.v8.1 transcript:Manes.15G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKEVSKSGGGYVGGFFQLFDWTAKSRKKLFSSKSDLTVPSKQGKRSDGNLPMTRLHLMDEDENGAGSSIRGSSDYSCASSVIDDDGCGPKAPGVVARLMGLDSMPTSSFSEPNTTPFLDSQSLREVSQRRKHFEYYHDHQILYSGSLLNKEGGHLQNFLDSKPQRVLSRPIEKFQTEILPPKSAKSIPITHHKLLSPIKSPGFIPSKTAAHIMEAAARIIEPTPQTTAKAKISVVGSSSVPLKVRDLKEKLEVAQKMPLVGPSAASLKARELKQKVEAPSKTSRLAEPSRRPAESNAVKCLKGQSLNKSWNGSVEITSFGASPETQESSSGLKNKGKSISLAIQAKVNVQKRGSLNSSGSRGLLSQKDQCEVTSSQNFKGQLNIQKSLTKKSSMHNGVLRQNNQKQNCHIDKDKPAKATDSCLQGRKTMTGNSSYLGQKTSVKTVGSKTGSRKLGLDVTHDEKGLSNYSTKHISRKKRSIDGNLHTEKNRVVDNMFIDKNRKEIESNQGHFSWAEENKKGMDVVSFTFTAPLMRSTPGYETCGQFVHKDSNACMDNRGKRLLLDTDSGKLSSVGYNVIRGDALSTLLEQKLRELTNNVVSSSCNYVKKGSASSSLLFSQDPVPTLSAVSTTPMSHCNWDQNMLLVGKSGNSGDSDILFSTDTPALRLKHLFQGVDEREECSSKSNDCRKLLDCIRPSPVSVLEPSISTESSSSLDSTDCSSTEGSKQCSSIQAHEILGLSSSKKFQYMDADTDLSDSASSMSTGTAAGKHANTLPLIDLVKSIKWEREYVQKILFNLEFMFQDFAVGQAREIISPHLFNELESQLYGQDRDGVEARLERKVLFDCVNECLDIRCRRYVGGGYKTWTRGVTMVRRKERLAEEMLKEISAWEGMGDCMVDELVDKDMSSQYGKWMGFEVDAFFLGTEIEGRIFSSLLDEVVADVLRC >Manes.02G180200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14292733:14297736:-1 gene:Manes.02G180200.v8.1 transcript:Manes.02G180200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMMASSKPKSSDLTSSSSSSVTARSRRASSSASASASAKPLTAFTSFSCSSNPTRRINSLTSTSSSSAASTMTVDGILSNVYATPSAESTLLDAQITLMDTPAPLLSETPPPAPAPATIETNHTNSSNNQEINSVLPQASKTVDDVWREIVAGRKEMKEEPDEMMTLEDFLVKTGAVEVGEEDEVKMPPPERLSGGVYAFDPVPPSAFQMLDKVEGSIVGFPNETELVAGRGGNVVGRGKRGRTLVMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKEERTKERFKQLMEKVVPVVEKRRPPRRLRRVHSLQW >Manes.02G180200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14296228:14297736:-1 gene:Manes.02G180200.v8.1 transcript:Manes.02G180200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMMASSKPKSSDLTSSSSSSVTARSRRASSSASASASAKPLTAFTSFSCSSNPTRRINSLTSTSSSSAASTMTVDGILSNVYATPSAESTLLDAQITLMDTPAPLLSETPPPAPAPATIETNHTNSSNNQEINSVLPQASKTVDDVWREIVAGRKEMKEEPDEMMTLEDFLVKTGAVEVGEEDEVKMPPPERLSGGVYAFDPVPPSAFQMLDKVEGSIVGFPNETELVAGRGGNVVGRGKRGRTLVMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKCSD >Manes.02G180200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14296313:14297396:-1 gene:Manes.02G180200.v8.1 transcript:Manes.02G180200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMMASSKPKSSDLTSSSSSSVTARSRRASSSASASASAKPLTAFTSFSCSSNPTRRINSLTSTSSSSAASTMTVDGILSNVYATPSAESTLLDAQITLMDTPAPLLSETPPPAPAPATIETNHTNSSNNQEINSVLPQASKTVDDVWREIVAGRKEMKEEPDEMMTLEDFLVKTGAVEVGEEDEVKMPPPERLSGGVYAFDPVPPSAFQMLDKVEGSIVGFPNETELVAGRGGNVVGRGKRGRTLVMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKVTSHCKCFREKKEF >Manes.02G180200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14292732:14297737:-1 gene:Manes.02G180200.v8.1 transcript:Manes.02G180200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMMASSKPKSSDLTSSSSSSVTARSRRASSSASASASAKPLTAFTSFSCSSNPTRRINSLTSTSSSSAASTMTVDGILSNVYATPSAESTLLDAQITLMDTPAPLLSETPPPAPAPATIETNHTNSSNNQEINSVLPQASKTVDDVWREIVAGRKEMKEEPDEMMTLEDFLVKTGAVEVGEEDEVKMPPPERLSGGVYAFDPVPPSAFQMLDKVEGSIVGFPNETELVAGRGGNVVGRGKRGRTLVMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKVTSHCKCFREKKEF >Manes.09G060608.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9762817:9767996:-1 gene:Manes.09G060608.v8.1 transcript:Manes.09G060608.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTIVSVRARQIFDSRGNPTVEADVKLSDNHLARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVDNVNTIIGPALIGKDPTDQVAIDNLMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAHVKGIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGAEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGSDKTYDLNFKEENNNGSQKISGDALKDLYKSFVTEYPIVSIEDPFDQDDWEHYAKLTSEIGEKVQIVGDDLLVTNPKRVEKAIKEKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGANFRTPVEPY >Manes.01G157900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34360226:34370296:-1 gene:Manes.01G157900.v8.1 transcript:Manes.01G157900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNATENEDESARPLLHPPASDMPIESQLVPAEQLDHASPTHPVPMDQDEPSAIPHVNNVELKSSPQHSHSETPSSDNGLELKSNLQKPQTEAALTDDMVTDPPQSQCHAAANDNSAEFKANIQQPQAVTDANDNSKELRTNIEQPQAETVLHGDNMELKTSPQNPQAEAAPNANDVNLRSSPKQHHTESVESDDRSVELKTSPQVEAAPNDNDVNLRSSPKQHQTGAAASDKSVELKSVSHEHSQGSPYPIPLDDERGLLKPGTEPIAERRPEANETSDYKSKTCSIVGTTISTCHLETYKSTPDAKVEPSEASENKSGHHAGTLTIEENEPATPHAGSSSIKTERENKRESKNVKDKTDIATPESNGNSSSKYSFLLDDDHDGNESGAEEEQWAFMKELENFFRERSMEFKPPKFYGEGLNCLKLWRAVMRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTCGGELSIPLASNSEPMNVDNQPPGSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDKNSPSLQKREKQLKSLSLLKRKKPSYMEHAVKAARTKTSKPQLDVEVIDLGPPADWVKVNVQRTKDCFEVYALVPGLLREELKTLLSNSGSCSIRSSRATGHQW >Manes.01G157900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34360226:34370296:-1 gene:Manes.01G157900.v8.1 transcript:Manes.01G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNATENEDESARPLLHPPASDMPIESQLVPAEQLDHASPTHPVPMDQDEPSAIPHVNNVELKSSPQHSHSETPSSDNGLELKSNLQKPQTEAALTDDMVTDPPQSQCHAAANDNSAEFKANIQQPQAVTDANDNSKELRTNIEQPQAETVLHGDNMELKTSPQNPQAEAAPNANDVNLRSSPKQHHTESVESDDRSVELKTSPQVEAAPNDNDVNLRSSPKQHQTGAAASDKSVELKSVSHEHSQGSPYPIPLDDERGLLKPGTEPIAERRPEANETSDYKSKTCSIVGTTISTCHLETYKSTPDAKVEPSEASENKSGHHAGTLTIEENEPATPHAGSSSIKTERENKRESKNVKDKTDIATPESNGNSSSKYSFLLDDDHDGNESGAEEEQWAFMKELENFFRERSMEFKPPKFYGEGLNCLKLWRAVMRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTCGGELSIPLASNSEPMNVDNQPPGSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDKNSPSLQKREKQLKSLSLLKRKKPSYMEHAVKAARTKTSKPQLDVEVIDLGPPADWVKVNVQRTKDCFEVYALVPGLLREEVRVQSDPAGRLVISGEPEHPENPWGVTPFRKVVSLPSRIDPHQTSAVVTLHGQLFVRVPYEQSE >Manes.03G186000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30653600:30656343:1 gene:Manes.03G186000.v8.1 transcript:Manes.03G186000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCCSNPPVLDPASGAGHVEKVGGLNSYVTGPSDSNSAIFLISDAFGYEAPSFRKLADKIAAAGFYVVVPDFFYGDPYSPDNAEKTIHVWLQEHGTDKGFDDAKAVVQALKSKGVSAIGAAGFCWGAKVVVQLAKPEFIQAAGLLHPSLVTVDDIREVKVPISILAAENDQISPPALVKQFEEILTAKAEVDYHVKIFPKVAHGWTVRYNVEDETAVECADEAHGNLLDWFTKHVNNNK >Manes.09G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30128774:30131845:1 gene:Manes.09G102600.v8.1 transcript:Manes.09G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQFRFLLLVLCLLLPALVECRIRRYNFDVVMKNTTRLCSSKPIVTVNGRFPGPTLYAREDDTVLVRVVNKVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLLWHAHILWLRSTVHGGIVILPKRGVPYPFPAPHKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGQPGAVSNCPSQGGFKLPVDSGKTYMLRLINAALNEELFFKIAGHQLTVVEIDATYVKPFKTDTVLIAPGQTTNVLVTADKNTGKYLVAASPFMDAPIAVDNRTATATLHYSGTLSSSPTTLANPPPQNATAVANKFISSLRSLNSKKYPAKVPLNIDHSLFFTVGLGVNPCPTCKAGNGSRVVASINNVTFVMPTTALLQAHFFNINGVFTTDFPANPPHVFHFTGKGPRNLQTSSGTKVYRLKYNSTVQLVLQDTGIISPENHPVHLHGFNFFEVGRGVGNYNSKTDPQNFNLVDPPERNTVGVPKGGWAAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESLLPPPSDLPKC >Manes.04G077600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27908322:27908870:-1 gene:Manes.04G077600.v8.1 transcript:Manes.04G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCQILELAFILCLTATPVYSQYYSKTLPYKSAKNKVTNLHFFFHDTLSGENPSAILIASPNITNKFQFGKAYAIDDPLTLGPEPTSHVIGNAQGFYLFSGKESSSLVSYYDLGFTEGEFNGSSFSLFSRNPVEDTERELAVVGGRGKFRSAEGFALLKTYYFNLTTGDAVVEYDVTLIHY >Manes.07G060833.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10252320:10253573:-1 gene:Manes.07G060833.v8.1 transcript:Manes.07G060833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSKVEKLHHESEKMKNRTVKLQQAVEEATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELAIEEDHNPISFLPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEEKLFDVVAMVAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKKVLIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRKQDVLSCEMGTQKEFKLDVLQDEEARSLFEISVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLKRYPSLTTKKYIRKCTRLWSQATIICVAMKSSRFSCFVVC >Manes.14G167666.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26939894:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMVVPFFLFPILRLHFLLLHDHSPLISMHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26939879:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMVVPFFLFPILRLHFLLLHDHSPLISMHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929871:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMVVPFFLFPILRLHFLLLHDHSPLISMHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929892:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929715:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAYLTSKVKFLKSLSLSKLSKLKMHNFSISNAMPCRNFFWPMIHQARLEIQKEQLACHTRVQRNVNLEKLRTGYLFPEISMHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26927798:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26920797:26926302:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929715:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929922:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929922:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929843:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAHWVQRNVNLEKLRTGYLFPEISMHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26938109:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMVVPFFLFPILRLHFLLLHDHSPLISMHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929715:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMVVPFFLFPILRLHFLLLHDHSPLFPSLRLEIQKEQLACHTRVQRNVNLEKLRTGYLFPEISMHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.14G167666.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26919666:26929715:-1 gene:Manes.14G167666.v8.1 transcript:Manes.14G167666.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMVVPFFLFPILRLHFLLLHDHSPLIGNPEGTTCYHFVIIACHTRVQRNVNLEKLRTGYLFPEISMHELQHIRKYPDAKLINLGIGDTTKPIPEIISVSMAEYARALSTVEGYRGYGAEQGNKALRKAIAETFYKNVQVKDSEVFVSDGSQCDIARLQLLLGSNVTVAVQDPSFPAYIDTSVIMGQAGDFEEKSGKFGNIEYMKCGPQNNFFPELAKTRRTDIIFFCSPNNPTGYAATRQQLEELVKFAKANGSIIIFDSAYAVYVTDDSPRSIFEIPGAKEVAIEVSSFSKFAGFTGVRLGWTVVPEELCFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSSEGFMAVCSVVDYYRENAKILLKTLKSLGLKVYGGENAPYVWAQFPGSKSWDVFDDILEKAHVITVPGSGFGPGGEEFMRISAFGHRETILEASKRLKGLFS >Manes.10G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15085075:15088285:-1 gene:Manes.10G073700.v8.1 transcript:Manes.10G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSSKPKQIFILSGQSNMAGRGGVIRDPHQHHQHWDHDVPPECHPHPDILRFTAKLQWVEAHEPLHDDIDTKKVCGVGPGMSFANSLRDCGVGVVGLVPCAVGGTAIKEWARGEELYETMVKRAKESVKEGGEIKCLLWYQGESDTSTEHDAEAYQGSMEKLIQNVREDLALPSLPIVQVAIASGDEKYLEKVREAQQRINIPNVVCVDAKGLQLKHDNLHLTTQSQVKLGQMLAEAYLKHFASS >Manes.01G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33510653:33512345:-1 gene:Manes.01G144600.v8.1 transcript:Manes.01G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKEAEVVAPAVEQAPAVEEEEVKPTEKPVKEKKPRARKEKKPKQPKTASHPPYFQMIKEALLALDEKTGGSSPYAIAKYMEEKHKAVLPANFKKILALQLKNSTSRGKLIKIKGSYKLSEAGKKEKGTGKVPKAKGKADKEAKETKKARNTTTTTTTTTTRKTRSVNKSEAAKPAAKRVGTKKTKKSTPAKPKQPKSIKSPTAKRMKKTPGAAAAS >Manes.01G168200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35088802:35092386:1 gene:Manes.01G168200.v8.1 transcript:Manes.01G168200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTISRMKCLMSPPFSPIAKAAPSALFFCLSSKSKFLSYPTTLTSPISLLVKPLAIPSLFPIHNRYIHSNSKASLSSGEIHVIVGPMFAGKTTTLLRRIQSESSDGRKVAVIKSNRDTRYGLDSIVTHDGVKLPCMALPNLSSFRQKFGADAYEQLDVIGIDEAQFFEDLYDFCCEAADHDGKTLIVAGLDGDYLRRSFGSVLDIIPLADSVTKLTARCELCGKRAFFTLRKTEETRIELIGGADVYMPVCRHHYVSGQVVVEASRILLESQKAECGLHVPAIAEC >Manes.S020929.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:208439:208597:1 gene:Manes.S020929.v8.1 transcript:Manes.S020929.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.10G141900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31083777:31100039:1 gene:Manes.10G141900.v8.1 transcript:Manes.10G141900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSSESEWLSVLENELWKVFESDGDISQVLKLSYDVLPYHLKQCFAYCAMFPKDYEFGKDRLIQLWMAQGYVQSRGQSENENAEEIGEGYFNELLFRSFFQKFKYCYKMHDLIHDLAQSIAGDSCFVVNDNTKYIPDRVQHVFSENLSFEECFRQLKNKGLRTLYCPYFGDGLWLNLDSIFSNCRSIRALSFGWNINGMPDSIGKLKYLRYLEFSSSKISSLPNCICSLYNLQTLILWECWGLKELPTDMRKLICLRQLINIGCSRLEFMPLGLGRLTNLQTLSTFVVGSDQGRRCSSLNELNSLNRLRGEISIEGLENVKNAALESSRVNLKEKKHLLCLRLRWDGRGDSNSGNSELLLDNLHPHPNLKELNVVCYEGVRFSNWLSSITNLVNITLYKCPKCEHLPPLDNLPYLEILNLSYFDSLEYISDEYNLFSSLSTSAATFFPSLKILKLDVCPNVKRWWRTRMEAKMVPQFPCLSKLTIAKCRNLTLMPMFPSLDMELHLAYAHIRPLHYTLQMSATASAVPSTSSSVTSPFSKLKTLWLQGIENLASLPGEWMQNLSFLEKLFLSYCMEISDEDECGIFKWRYLVSLRDLSLYNLSNLVSLPRELQYVTTLQRLSIHECSNLRALPNWIGNLTALENLNIHYCSKLESLPRGMRQITTLHKLSVTRCPHLSERCAHDMAADWPNISHIPNIQINGNDIQREGRYLL >Manes.03G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2679861:2684702:1 gene:Manes.03G032100.v8.1 transcript:Manes.03G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLFVKLNLGGDYWLDFLVDDMNGKGASDMAVGKVVVVAVKASKEIPRRALIWALTHVVQPGDFIKLLVVIPAHSSSKRVWGFSRFTSDCTSGKSMSGTRLDQRDDISDSCSEMLRQIHDAYDPEKIKIRVKVVAGSSCGAVAAEAKKAQSNWVILDKNLKQEKKYCMQELQCNVVLMRRSQPKVLRLNLTGSPVMQTEVCWPFPFETEASSKDFESKHHQVDMLRGPFVTPASSPDHESSLTATDVGTSSISSSDQGTSPFFLSGIYGSQKKEHLVFNEENESLYESESDSDSDKQAPSSTRLYFQPWLDDNLNSSGELAKSMLDGFQRSKDASQMFTYKSLLEYLSKLDRKPDIGVLNYRIDLNLSKSVREAISLTTHVPPGPPPLCSVCRRKAPAFGNPPKWFTYAELELATDGFSRENFLAEGGFGSVHRGVLPDGLVVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLDSHLFGHAAKPLNWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPMVGDFGLARWQPSGDIGVETRIIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAIDVKRPKGQQCLTEWVRPLLEKHSIQELIDPRLMNCYLEQEVHNMLQCASSCIRRDPHSRPRMSQVLRMLEGDMVMNSSNH >Manes.S027052.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2268650:2269030:1 gene:Manes.S027052.v8.1 transcript:Manes.S027052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.04G102800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30746586:30747302:-1 gene:Manes.04G102800.v8.1 transcript:Manes.04G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSMQYSREYNITPYHYPNHQPTNEEKPSMCDDKPAAVGFWGSSPAVPQDVWFPSNPTPPPPRSYYVPSNPFRTNNYKSAPVPLTEDQVRQIFMKFDLNGDNVLSREEIRQAFNYLGAMFPAQKARQGIKLADANGDGVVDMSEMEDLVKYAYNLGYVVR >Manes.09G058588.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9358679:9367038:1 gene:Manes.09G058588.v8.1 transcript:Manes.09G058588.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAITGDDLARSSMGSRRSLGSRRSWTQQPDIFNRSMRREEDEEELRWAAIERLPTYDRMKKGMLRQVLDNGKVIQSEVDVRNLRMQDKKLLMESILKDIEDDNEKFLTRLRGRIDKVGIKIPKIEVRFENLSVEGDVHVGSRALPTLLNATLNTIENILGLIRLAPSKKRKIQILQDISGIARPSRMALLLGPPGAGKTTLLLALAGKLGQDLRKTGKITYCGHELHEFVPQRTCAYISQHDLHNGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKAAGIKPDPEIDAFMKAIAVSGQKTSLVTDYVLKILGLDICADIIVGDEMRRGISGGQKKRLTTGEMLVGPAKVLLMDEISTGLDSSTTFQICRFMRQMVHIMDVTMIICLLQPSPETFELFDDIILLSEGQIVYQGPREKVLEFFEYMGFKCPERKGVADFLQEVTSMKDQEQYWYKKDQPYRFISVPEFVQGFGSFHIGQQLASDLSIPYDKSRVHPAALVTKKYGISNWELFRACFSKEWLLMKRNSFVYIFKTTQITIMSVFAFTVFLRTQMKVGTVVDGQKFFGALFFSLINVMFNGMAELAMTIFRLPVFFKQRDFLFYPAWAFGLPIWVLRIPLSLLESAIWIVLTYYTIGFAPSAKRFFRQFLAFFGIHQMALSLFRFIAALGRVQVVANTLGTFTLLLVFVLGGFIVAKNDIAPWMIWGYYASPMMYGQNAVVMNEFLDERWSAPNPDPRIDAPTVGKVLLKSRGFFTDDYWFWICIGALFAFSLLFNVLFIVALSYLNPLSDSKTVVVDEDKKEKSQTGKHRTQGIDMEVRNSSEGVSAVSSSYRRGMVLPFQPLSLAFNHVNYYVDMPAEMKNEGVQEKRLQLLRDVSGYFRPGILTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINIAGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSKDVDTKTRKMFVEEVMELVELNPIRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELVLMKRGGQIIYAGPLGYQSNKLIEYFEAIPGVPKIKNGYNPATWMLDITTSSMETQLNVDFAEIYINSPLYQRNQDLIRELSTPAPGSKDLYFPTKYSQSFLVQCKACFWKQHWSYWRNPKYNAIRFFLTIVIGILFGLIFWNQGQKITKQQDLMNLLGAVYTAIFFLGATNTSSVQPIVAIERTVFYRERAAGLYSALPYAFAQVAIEAIYVTIQSIFYSCILYTMIGYEWKAIKLLPLSCPSSYLSGTCSPVFSFPGVKYLYGGDGTTGLLLWLGQYMGLWHLKWETRMFFWKYLELVMCH >Manes.09G058588.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9358677:9367226:1 gene:Manes.09G058588.v8.1 transcript:Manes.09G058588.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAITGDDLARSSMGSRRSLGSRRSWTQQPDIFNRSMRREEDEEELRWAAIERLPTYDRMKKGMLRQVLDNGKVIQSEVDVRNLRMQDKKLLMESILKDIEDDNEKFLTRLRGRIDKVGIKIPKIEVRFENLSVEGDVHVGSRALPTLLNATLNTIENILGLIRLAPSKKRKIQILQDISGIARPSRMALLLGPPGAGKTTLLLALAGKLGQDLRKTGKITYCGHELHEFVPQRTCAYISQHDLHNGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKAAGIKPDPEIDAFMKAIAVSGQKTSLVTDYVLKILGLDICADIIVGDEMRRGISGGQKKRLTTGEMLVGPAKVLLMDEISTGLDSSTTFQICRFMRQMVHIMDVTMIICLLQPSPETFELFDDIILLSEGQIVYQGPREKVLEFFEYMGFKCPERKGVADFLQEVTSMKDQEQYWYKKDQPYRFISVPEFVQGFGSFHIGQQLASDLSIPYDKSRVHPAALVTKKYGISNWELFRACFSKEWLLMKRNSFVYIFKTTQITIMSVFAFTVFLRTQMKVGTVVDGQKFFGALFFSLINVMFNGMAELAMTIFRLPVFFKQRDFLFYPAWAFGLPIWVLRIPLSLLESAIWIVLTYYTIGFAPSAKRFFRQFLAFFGIHQMALSLFRFIAALGRVQVVANTLGTFTLLLVFVLGGFIVAKNDIAPWMIWGYYASPMMYGQNAVVMNEFLDERWSAPNPDPRIDAPTVGKVLLKSRGFFTDDYWFWICIGALFAFSLLFNVLFIVALSYLNPLSDSKTVVVDEDKKEKSQTGKHRTQGIDMEVRNSSEGVSAVSSSYRRGMVLPFQPLSLAFNHVNYYVDMPAEMKNEGVQEKRLQLLRDVSGYFRPGILTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINIAGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSKDVDTKTRKMFVEEVMELVELNPIRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELVLMKRGGQIIYAGPLGYQSNKLIEYFEAIPGVPKIKNGYNPATWMLDITTSSMETQLNVDFAEIYINSPLYQRNQDLIRELSTPAPGSKDLYFPTKYSQSFLVQCKACFWKQHWSYWRNPKYNAIRFFLTIVIGILFGLIFWNQGQKITKQQDLMNLLGAVYTAIFFLGATNTSSVQPIVAIERTVFYRERAAGLYSALPYAFAQVAIEAIYVTIQSIFYSCILYTMIGYEWKVGKFLWFLYFIITSFIYFTLYGMMLVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRSQIPIWWRWYYWASPVAWTIYGLVASQVGDKDVLLEIPGAGNVPLKVYLKETLGFDHDFLPAVGVAHIGWVLLFFFVFAYGIKFLNFQRR >Manes.09G058588.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9359797:9367041:1 gene:Manes.09G058588.v8.1 transcript:Manes.09G058588.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLGPPGAGKTTLLLALAGKLGQDLRKTGKITYCGHELHEFVPQRTCAYISQHDLHNGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKAAGIKPDPEIDAFMKAIAVSGQKTSLVTDYVLKILGLDICADIIVGDEMRRGISGGQKKRLTTGEMLVGPAKVLLMDEISTGLDSSTTFQICRFMRQMVHIMDVTMIICLLQPSPETFELFDDIILLSEGQIVYQGPREKVLEFFEYMGFKCPERKGVADFLQEVTSMKDQEQYWYKKDQPYRFISVPEFVQGFGSFHIGQQLASDLSIPYDKSRVHPAALVTKKYGISNWELFRACFSKEWLLMKRNSFVYIFKTTQITIMSVFAFTVFLRTQMKVGTVVDGQKFFGALFFSLINVMFNGMAELAMTIFRLPVFFKQRDFLFYPAWAFGLPIWVLRIPLSLLESAIWIVLTYYTIGFAPSAKRFFRQFLAFFGIHQMALSLFRFIAALGRVQVVANTLGTFTLLLVFVLGGFIVAKNDIAPWMIWGYYASPMMYGQNAVVMNEFLDERWSAPNPDPRIDAPTVGKVLLKSRGFFTDDYWFWICIGALFAFSLLFNVLFIVALSYLNPLSDSKTVVVDEDKKEKSQTGKHRTQGIDMEVRNSSEGVSAVSSSYRRGMVLPFQPLSLAFNHVNYYVDMPAEMKNEGVQEKRLQLLRDVSGYFRPGILTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINIAGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSKDVDTKTRKMFVEEVMELVELNPIRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELVLMKRGGQIIYAGPLGYQSNKLIEYFEAIPGVPKIKNGYNPATWMLDITTSSMETQLNVDFAEIYINSPLYQRNQDLIRELSTPAPGSKDLYFPTKYSQSFLVQCKACFWKQHWSYWRNPKYNAIRFFLTIVIGILFGLIFWNQGQKITKQQDLMNLLGAVYTAIFFLGATNTSSVQPIVAIERTVFYRERAAGLYSALPYAFAQVAIEAIYVTIQSIFYSCILYTMIGYEWKVGKFLWFLYFIITSFIYFTLYGMMLVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRSQIPIWWRWYYWASPVAWTIYGLVASQVGDKDVLLEIPGAGNVPLKVYLKETLGFDHDFLPAVGVAHIGWVLLFFFVFAYGIKFLNFQRR >Manes.09G058588.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9358679:9367039:1 gene:Manes.09G058588.v8.1 transcript:Manes.09G058588.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAITGDDLARSSMGSRRSLGSRRSWTQQPDIFNRSMRREEDEEELRWAAIERLPTYDRMKKGMLRQVLDNGKVIQSEVDVRNLRMQDKKLLMESILKDIEDDNEKFLTRLRGRIDKVGIKIPKIEVRFENLSVEGDVHVGSRALPTLLNATLNTIENILGLIRLAPSKKRKIQILQDISGIARPSRMALLLGPPGAGKTTLLLALAGKLGQDLRKTGKITYCGHELHEFVPQRTCAYISQHDLHNGEMTVRETLDFSGRCLGVGTRYEMLSELSRREKAAGIKPDPEIDAFMKAIAVSGQKTSLVTDYVLKILGLDICADIIVGDEMRRGISGGQKKRLTTGEMLVGPAKVLLMDEISTGLDSSTTFQICRFMRQMVHIMDVTMIICLLQPSPETFELFDDIILLSEGQIVYQGPREKVLEFFEYMGFKCPERKGVADFLQEVTSMKDQEQYWYKKDQPYRFISVPEFVQGFGSFHIGQQLASDLSIPYDKSRVHPAALVTKKYGISNWELFRACFSKEWLLMKRNSFVYIFKTTQITIMSVFAFTVFLRTQMKVGTVVDGQKFFGALFFSLINVMFNGMAELAMTIFRLPVFFKQRDFLFYPAWAFGLPIWVLRIPLSLLESAIWIVLTYYTIGFAPSAKRFFRQFLAFFGIHQMALSLFRFIAALGRVQVVANTLGTFTLLLVFVLGGFIVAKNDIAPWMIWGYYASPMMYGQNAVVMNEFLDERWSAPNPDPRIDAPTVGKVLLKSRGFFTDDYWFWICIGALFAFSLLFNVLFIVALSYLNPLSDSKTVVVDEDKKEKSQTGKHRTQGIDMEVRNSSEGVSAVSSSYRRGMVLPFQPLSLAFNHVNYYVDMPAEMKNEGVQEKRLQLLRDVSGYFRPGILTALVGVSGAGKTTLMDVLAGRKTSGYIEGSINIAGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSKDVDTKTRKMFVEEVMELVELNPIRDALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELVLMKRGGQIIYAGPLGYQSNKLIEYFEAIPGVPKIKNGYNPATWMLDITTSSMETQLNVDFAEIYINSPLYQRNQDLIRELSTPAPGSKDLYFPTKYSQSFLVQCKACFWKQHWSYWRNPKYNAIRFFLTIVIGILFGLIFWNQGQKITKQQDLMNLLGAVYTAIFFLGATNTSSVQPIVAIERTVFYRERAAGLYSALPYAFAQVAIEAIYVTIQSIFYSCILYTMIGYEWKVGKFLWFLYFIITSFIYFTLYGMMLVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRSVSEALHHISSI >Manes.18G036200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3192906:3193644:-1 gene:Manes.18G036200.v8.1 transcript:Manes.18G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVRYKGVPVSDVQLRKLFSRFDKNKDNRLSREEISEAFSELGGFFPGYRAGRVLTHYDTNGDGFIDLGEFHGFVDYAISRGFDVKLS >Manes.11G039376.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3735145:3739334:1 gene:Manes.11G039376.v8.1 transcript:Manes.11G039376.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKRISKKSDVYSFGVVLLELITGRKPICDGIDIVNWAKNPIKQALMGQYSGFVDSKLQSYNVEEIKLMICCAEHCVYKPSTDRPRIREIVRVLEGFIPPQDKDATDFLQHRVQKLSIIPETDDNDSVESEVYSTRMFPYQQLERATQGFSQFLGEGSLGLVYKGYLDGKEVAVRQLKDLPDEKQKENLEKDIKIIGSVNHPNLVRQIGYSIQGLNRFLALEFFPSISLKSLLHGKRTLEWSKRVKIAIDSARGLEYLHENCKIVHGDIMTNNILIDNNFQPKVTNFGLIKYYRSERTVVYADPEDRKRISENSDIYAFGVVLLELITGIESRKKGTNNIVNKAKTLMRQAMKREYTTILDPTLQGNYNKIEIDRMFCCAAACVYKPSAFRPQIKKIVGVLKGSIPRKDIWNESDNQFLSNTIVKESPPLLTKPEKMVEEGNVIACHTVKAWKKQYKRGKRLIVVDFSASWCGPSRFMSPILAGWAKLMPNVRFLTVDVDELSSVARNWAIEAMPTFLLLKRGQLLDKVVGANVPLLQLTIARHAGGAHRTLGPEVLNPAIFSQPTNVYVTLPTGYAQPQFISMTSVQNPQMFPPYPNYPY >Manes.11G039376.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3735145:3739340:1 gene:Manes.11G039376.v8.1 transcript:Manes.11G039376.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYSGFVDSKLQSYNVEEIKLMICCAEHCVYKPSTDRPRIREIVRVLEGFIPPQDKDATDFLQHRVQKLSIIPETDDNDSVESEVYSTRMFPYQQLERATQGFSQFLGEGSLGLVYKGYLDGKEVAVRQLKDLPDEKQKENLEKDIKIIGSVNHPNLVRQIGYSIQGLNRFLALEFFPSISLKSLLHGKRTLEWSKRVKIAIDSARGLEYLHENCKIVHGDIMTNNILIDNNFQPKVTNFGLIKYYRSERTVVYADPEDRKRISENSDIYAFGVVLLELITGIESRKKGTNNIVNKAKTLMRQAMKREYTTILDPTLQGNYNKIEIDRMFCCAAACVYKPSAFRPQIKKIVGVLKGSIPRKDIWNESDNQFLSNTIVKESPPLLTKPEKMVEEGNVIACHTVKAWKKQYKRGKRLIVVDFSASWCGPSRFMSPILAGWAKLMPNVRFLTVDVDELSSVARNWAIEAMPTFLLLKRGQLLDKVVGANVPLLQLTIARHAGGAHRTLGPEVLNPAIFSQPTNVYVTLPTGYAQPQFISMTSVQNPQMFPPYPNYPY >Manes.07G064566.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11488497:11494146:-1 gene:Manes.07G064566.v8.1 transcript:Manes.07G064566.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWISGLRRTRVKRIPGEHVKKEKETESKMDEDEKGLGDRAVQARCSMNGLNGLGEHVKKEKETESKMDEDEKGLVNRAVQARCSMNGLNGLGEHVKKEKETESKMGEDEKGLGDRAVQARCSMNGLNGGNSVSKTGQSVLFVSYVISPFLTSLSPLMERPLIEVSDSEVRLRSLSATTPIAFKVQTSWQHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPSDRFLIKTAPFPPNPSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSCGDVHSVKNIIKRQRSILSELSHRERLSRSFELLLRGERGEVVLMAARRGNLEHLESLLKKGANKNYKDQYGFTALHAAAIKGHKDIVSMLVEFGVDLECQDNEGHSSLHFAVEGGSLETVEVLVGRGANVNAMSNRGATPLYMARTLGYTDISQFLLHQQHKHHELSLFHSLLSTLGLLLSINAGCSNDTSYHISGLWLGGVELLRTITPKLTIDKSRNSWAVKKDITRYSSLLAKEDLNLTMILQSIVDNVSTGVYNVSVTVLFYKNSSITVSLNRNDLSLPILAEKEANGDGDSVLEGVLSFYDTPADLIIPISDDGDTGFWYRMKNEIDLPSKQILVPCNTHRAVLELYVSFHGNDESWYSNPPSSYLRMNNISLQGNGAYREVFVTIDGASVGSELPFPVVLTSVFNSLFWKPVVSIGAFNLPSYDFEVTPFLEKVLDGQVHEFGVGVGNVIPYWLVDANLHIWLDKGSSSVTAGTVVAHNPSLALKSRKEFKRLDGSFEVKGKGGSESKGWVISTAGNLTTLVLQEFRFQSFIQFQKNATRKFVKLKIKVNKEIQVLNDRGELLKRVIVKRKYPLNMITTTIPGRIVANVSHAFLETWSNGNNMSRTIDNLQKTNRWMPIAEQQASFPGESNTNQRLIYRDISICYSRTIAVTHGILSADDLSYDCWNHLLFLKDITNKQLPGSAPVSSVPSTRTENGKNLFSASPPHSHCFSAFTLNISRPCLQAIISDIQTHFLQPKYREFFELIHPLPSDHLTPSCALHIVHHTFANTVNRPPYSTPYSPLSGCPPPWLHLTLEFRFKSRGGDKYDRICGLWLGGAEVLRTITAEPTNSGITWTVRKDITRYSSLLAKRNIHYHARKRR >Manes.12G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13611316:13613777:-1 gene:Manes.12G090500.v8.1 transcript:Manes.12G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDCNDVQTIERSSPVPSTTSSNIAQRHKDSLLRSCPSCGHQIKCQDQARIHDLPGLPAGVKFDPTDQELLEHLEGQVKSDSRKIHPLIDEFIPTIEGENGICYTHPEKLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHTDTEGGETRWHKTGKTRPVFVSGKLKGYKKILVLYTNYGKQKKPEKTNWVMHQYHLGNNEEEKEGELVVSKVFYQTQPRQCGSSMKDSFTSKLKGQSGHEGANSLKNSSVVEYYSPFISFDQGSHTRGNPPPPQLLQHFAVHDGSSFIP >Manes.17G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4236502:4242499:1 gene:Manes.17G010300.v8.1 transcript:Manes.17G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNSKPASTWRRTEEEMGLGFLKRALDSRNTVSSMAKPDTCFELSLSNPRVSESNSNHFHLLQNTSNCNGKDVFNYLQQNQYQHHLQQNHLLHHHPLFQQQQGLSQELGLFRPIRGIPLYQNPPLFSFSQQPLDSTGSQTSSLATNNSSSTGLNPYNQSPALMRSRLMSRFPAKRGMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSEVFDNGSSGETSDDLMFDIQNSRSELSMHQGKPSDHNQDKDSHGLWSNSSREAWLHVKSKDSNGNLPSHEQKEMDPKCSIYERISDVSSSSLSGTSPKKPNLEFTLGRPH >Manes.17G010300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4236502:4242499:1 gene:Manes.17G010300.v8.1 transcript:Manes.17G010300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNSKPASTWRRTEEEMGLGFLKRALDSRNTVSSMAKPDTCFELSLSNPRVSESNSNHFHLLQNTSNCNGKDVFNYLQQNQYQHHLQQNHLLHHHPLFQQQQGLSQELGLFRPIRGIPLYQNPPLFSFSQQPLDSTGSQTSSLATNNSSSTGLNPYNQSPALMRSRLMSRFPAKRGMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAASSGQSEVFDNGSSGETSDDLMFDIQNSRSELSMHQGKPSDHNQDKDSHGLWSNSSREAWLHVKSKDSNGNLPSHEKEMDPKCSIYERISDVSSSSLSGTSPKKPNLEFTLGRPH >Manes.02G210080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24962899:25000896:-1 gene:Manes.02G210080.v8.1 transcript:Manes.02G210080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLRLLPSLAFTLTHSHSGNCLPRGSAVGFRSISSTSTTTKMSAEHYKFGPYKIEPKEVFYSSELSYAMVNLRPVVPAHVLVCPKREVKRFADLTVDETSDLWFMAQKIGSRLEGYHQATSLTFTIQDGPQAGQTVPHVHIHILPRKSGDFENNDEIYDAIDVKEKELKQKLDLDKERKDRSIEEMAQEAEAYRSLFF >Manes.11G130266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29382052:29382818:1 gene:Manes.11G130266.v8.1 transcript:Manes.11G130266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDVLIVADGLCPPVRQARHLLAGVIRNEEGLFVSAFSGYGSGALSFHMRLRQNVIVVSDCARLVHAVNVSYETFSDWAPIIAEIRIPLQQLVTVSLSWTSNAHFFPNFHSWNTIPQCLEEVLTTDYHLTWL >Manes.06G122200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25280720:25283783:1 gene:Manes.06G122200.v8.1 transcript:Manes.06G122200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEYAKLIRRMNSPRVVIDNDASEHATIIKVDTVNRHGTLLEVVQVLTDLNLVITKAYISRDGVWFMDVLYVTGNDGSKVEDESVLNYIQKVLEADANVFNSIGSSIGVLHSSKEHTSIELSGGDRPGLLSEVSAVLADMGCNVVNAEIWTHNARVAAVMHITEQSTGTAIEDPKRLSLIKKLLRNVLKGNGNFRSPRICISSPEETHTGRRLHQMMFAARDFEKLEGVKENSLGPYVNVSDCADRDYTVVTVRCIDRPKLLFDTVFALTDMQYEVFHGTFITGRKEAYQEYYIRHVDGLPISSEAERQRVTECLEAAIKRRASEGLELEMCTDDRFGLLSDITRILRESGVSIRRAEISTEDGKAKDTFFVTDVSGNPVDPKIVRLIQQQVGHTVLRVRGKANVPPESPKETPRSSLFGTLFRCRSFQNFGLIKSYT >Manes.06G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25280395:25284427:1 gene:Manes.06G122200.v8.1 transcript:Manes.06G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEYAKLIRRMNSPRVVIDNDASEHATIIKVDTVNRHGTLLEVVQVLTDLNLVITKAYISRDGVWFMDVLYVTGNDGSKVEDESVLNYIQKVLEADANVFNSIGSSIGVLHSSKEHTSIELSGGDRPGLLSEVSAVLADMGCNVVNAEIWTHNARVAAVMHITEQSTGTAIEDPKRLSLIKKLLRNVLKGNGNFRSPRICISSPEETHTGRRLHQMMFAARDFEKLEGVKENSLGPYVNVSDCADRDYTVVTVRCIDRPKLLFDTVFALTDMQYEVFHGTFITGRKEAYQEYYIRHVDGLPISSEAERQRVTECLEAAIKRRASEGLELEMCTDDRFGLLSDITRILRESGVSIRRAEISTEDGKAKDTFFVTDVSGNPVDPKIVRLIQQQVGHTVLRVRGKANVPPESPKETPRSSLFGTLFRCRSFQNFGLIKSYT >Manes.12G100000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:25886474:25887221:-1 gene:Manes.12G100000.v8.1 transcript:Manes.12G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNLFFTCVFFVLIFSQELHSVDGRHLKHEKKHKSSKLHTSNKFEKEQTKFVDKLNVHGHNDSSVEVPPASRSSPAIPIPSGAVVDEPPPSPTTPGHVDDFRPTAPGHSPGVGHSIQN >Manes.07G077700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:23659605:23662383:1 gene:Manes.07G077700.v8.1 transcript:Manes.07G077700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKTLNLSSSTSPISSSFLSPTLRNLKRSNLSFLLSRSASNNASFSIRATSLSSPTTHITATSTSQSESPDRFRVDILSESLPYIQKFRGKTIVVKYGGAAMKVPELKASVVSDLVLLSCVGLRPVLVHGGGPEINHWLQLLNIQPLFHEGLRVTDAKTMEIVSMVLVGKVNKDLVSLINKAGATAVGLSGMDGRLLMARPTPNSAHLGFVGEVSRVDSTILQPLVDNGHIPVIASVAADESGQSYNINADTVAGELAAALGAEKLILLTDVAGILENRDDPKSLVKEIDIKGVKKMIAEKKVAGGMIPKVNCCVRSLAQGVRTASIIDGRVHHSLLHEIMSEEGIGTMITG >Manes.07G077700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23659605:23662383:1 gene:Manes.07G077700.v8.1 transcript:Manes.07G077700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKTLNLSSSTSPISSSFLSPTLRNLKRSNLSFLLSRSASNNASFSIRATSLSSPTTHITATSTSQSESPDRFRVDILSESLPYIQKFRGKTIVVKYGGAAMKVPELKASVVSDLVLLSCVGLRPVLVHGGGPEINHWLQLLNIQPLFHEGLRVTDAKTMEIVSMVLVGKVNKDLVSLINKAGATAVGLSGMDGRLLMARPTPNSAHLGFVGEVSRVDSTILQPLVDNGHIPVIASVAADESGQSYNINADTVAGELAAALGAEKLILLTDVAGILENRDDPKSLVKEIDIKGVKKMIAEKKVAGGMIPKVNCCVRSLAQGVRTASIIDGRVHHSLLHEIMSEEGIGTMITGMSLWILWTIFGLSCKEPRMNDFES >Manes.07G077700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:23659605:23662383:1 gene:Manes.07G077700.v8.1 transcript:Manes.07G077700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKTLNLSSSTSPISSSFLSPTLRNLKRSNLSFLLSRSASNNASFSIRATSLSSPTTHITATSTSQSESPDRFRVDILSESLPYIQKFRGKTIVVKYGGAAMKVPELKASVVSDLVLLSCVGLRPVLVHGGGPEINHWLQLLNIQPLFHEGLRVTDAKTMEIVSMVLVGKVNKDLVSLINKAGATAVGLSGMDGRLLMARPTPNSAHLGFVGEVSRVDSTILQPLVDNGHIPVIASVAADESGQSYNINADTVAGELAAALGAEKLILLTDVAGILENRDDPKSLVKEIDIKGVKKMIAEKKVAGGMIPKVNCCVRSLAQGVRTASIIDGRVHHSLLHEIMSEEGIGTMITG >Manes.07G077700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23659605:23662383:1 gene:Manes.07G077700.v8.1 transcript:Manes.07G077700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASKTLNLSSSTSPISSSFLSPTLRNLKRSNLSFLLSRSASNNASFSIRATSLSSPTTHITATSTSQSESPDRFRVDILSESLPYIQKFRGKTIVVKYGGAAMKVPELKASVVSDLVLLSCVGLRPVLVHGGGPEINHWLQLLNIQPLFHEGLRVTDAKTMEIVSMVLVGKVNKDLVSLINKAGATAVGLSGMDGRLLMARPTPNSAHLGFVGEVSRVDSTILQPLVDNGHIPVIASVAADESGQSYNINADTVAGELAAALGAEKLILLTDVAGILENRDDPKSLVKEIDIKGVKKMIAEKKVAGGMIPKVNCCVRSLAQGVRTASIIDGRVHHSLLHEIMSEEGIGTMITGMSLWILWTIFGLS >Manes.07G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6049391:6051466:-1 gene:Manes.07G051000.v8.1 transcript:Manes.07G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKDFLEVISEANKRLQKDAGGNSQNYDIEVLTGTESEVIEMDLMLGVADLHTPEAIAAAESAVNSGQPLVPSDSDSSETESEGTSDNENKLDSDKDDDDDNNNNDDQTCSSLKRKTSFGEESSSQCAGRKGSRKRPKIVEMS >Manes.02G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9755207:9762114:1 gene:Manes.02G128800.v8.1 transcript:Manes.02G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAMASTSFTSPSLRRSQFHPIFRQKPKCIPHSVFVCSFNFPSPKHKTFSHFNPKFPMSSKETFLSGRKLGFDVEFSAGQEAESFVPDATQQEAFAWSSVILPFLFPALGGLLFGYDIGATSGATISLQSAELSGTTWFNLSAIQLGLVVSGSLYGALLGSLLVYPIADFLGRRRELITAAVLYIFGGLTTAYAPGLGVLLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINSVGGWRYMYGLSVPVAVIMGLGMWSLPPSPRWLLLRAVQGKASLQDFKEKAIFALSKLRGRPLGDRESEKQIEDTLVSLKFLSSEEESEGSILEVFQGPNLKAFIIGGGLVLFQQITGQPSVLYYAGPILQGAGFSAAADATRVSVIIGLFKLVMTWIAVAKVDDLGRRPLLIGGVGGIALSLFLLSAYYKFLGGFPIVAVSALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFFLFGAIALVSLLFTIVFVPETKGLSLEEIESKILK >Manes.15G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2876265:2877538:1 gene:Manes.15G036800.v8.1 transcript:Manes.15G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKAPEKGKAKAWKEPKLQNTAPAPKKPRAHPPYSKMINEAIMALKERTGSSQIAIAKFIQGKQNPNLPANFKKLLLIQLKKLVASGKLVKVKNSFKLPPKTTNVKAPVKAKEKTEAPAKLRGTTVKLKAVTEAKPKKSLKTAAAKSAGKKAPKSPRKKGSVSAKKKMPMKEMKKPKSIKSPVKKATVKNVERFS >Manes.05G029950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2542710:2543398:-1 gene:Manes.05G029950.v8.1 transcript:Manes.05G029950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHGLFLKEFVGLIYIFHSTVSGFRQKGHGPPEPVLVHNQLATVI >Manes.02G037850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3128219:3131402:-1 gene:Manes.02G037850.v8.1 transcript:Manes.02G037850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVASIALLLSLNLLFFSAVSAATCPVDALKFKVCANALGLIKIPPDAPCCNLIENLVDLEAALCLCTAIKANVLGTDLKVPLDLSLVLNKCGKKVPQGFKCP >Manes.03G080400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15497871:15514465:1 gene:Manes.03G080400.v8.1 transcript:Manes.03G080400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLCQSSFNGALDRCYRISPCLANPARRSSLGLKVALVLLHLIYVGILFLFDNDLIDKIKEDPWYMALYFLLFVATLIQYFVTSGSSPGYVMDAMRALNEKNAVFAKASMSSKRSVSSKDGNLIITVDGSQSGITPVGGNVTSWMKLVLDMYPSGTSVRTLTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCRFWWYICGETALCIWTGILCVAYLKSNITKAWWKGAIMILLLVILSISLIFLLLLLLFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCRNLYEFCCARSDIYKLERLPTAVELEEKSRPYTCLDFFTCRCC >Manes.03G080400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15497879:15514465:1 gene:Manes.03G080400.v8.1 transcript:Manes.03G080400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLCQSSFNGALDRCYRISPCLANPARRSSLGLKVALVLLHLIYVGILFLFDNDLIDKIKEDPWYMALYFLLFVATLIQYFVTSGSSPGYVMDAMRALNEKNAVFAKASMSSKRSVSSKDGNLIITVDGSQSGITPVGGNVTSWMKLVLDMYPSGTSVRTLTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCRFWWYICGETALCIWTGILCVAYLKSNITKAWWKGAIMILLLVILSISLIFLLLLLLFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCRNLYEFCCARSDIYKLERLPTAVELEEKSRPYTCLDFFTCRCC >Manes.03G080400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15497871:15514465:1 gene:Manes.03G080400.v8.1 transcript:Manes.03G080400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLCQSSFNGALDRCYRISPCLANPARRSSLGLKVALVLLHLIYVGILFLFDNDLIDKIKEDPWYMALYFLLFVATLIQYFVTSGSSPGYVMDAMRALNEKNAVFAKASMSSKRSVSSKDGNLIITVDGSQSGITPVGGNVTSWMKLVLDMYPSGTSVRTLTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCRFWWYICGETALCIWTGILCVAYLKSNITKAWWKGAIMILLLVILSISLIFLLLLLLFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCRNLYEFCCARSDIYKLERLPTAVELEEKSRPYTCLDFFTCRCC >Manes.03G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15497827:15514465:1 gene:Manes.03G080400.v8.1 transcript:Manes.03G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLCQSSFNGALDRCYRISPCLANPARRSSLGLKVALVLLHLIYVGILFLFDNDLIDKIKEDPWYMALYFLLFVATLIQYFVTSGSSPGYVMDAMRALNEKNAVFAKASMSSKRSVSSKDGNLIITVDGSQSGITPVGGNVTSWMKLVLDMYPSGTSVRTLTCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCRFWWYICGETALCIWTGILCVAYLKSNITKAWWKGAIMILLLVILSISLIFLLLLLLFHSYLILTNQTTYELVRRRRIPYLRGIPERVYPFSKGVCRNLYEFCCARSDIYKLERLPTAVELEEKSRPYTCLDFFTCRCC >Manes.03G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26881148:26887471:1 gene:Manes.03G140900.v8.1 transcript:Manes.03G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEMANQVSEVVENGTIAPEKSGNVVTKKMEEGSSELKAMNVDKVDNEKAEAEKMDEDPKVNEEKECKEDEENVKEEPKTILEEKTEQVEEENGSKGDAEVEEKEESKEEVEVKGDGSEEKEENEEKGVKKRRRGRSGGDKVEKKKVMEEKKESEPRTPASDRPQRERKSVERLVATVEKDATKEFHIEKGSGTPLKDIPNVAFKLSRRKTDDTFKLLHTILFGRRGKAIQIKSNISRFSGFVWHENEEKQKIKVKEKFDKCNKEKLLEFCDMLDIPVAKAATKKEDIIAKLIDFLVAPHATTAVLLAEKEKESKSKKRKRVTKSSTSGRVSSRRSAKSLKKVDTSKTDTKDATDTEDESEEEKVEEEEEEETAEEENENGHPEKSDADIPEHSDEENESEEESGEDVGKHKTSSKASRKEASGKANAKKLTISNKCSPPPKRTSKKSLSKPPEEDDYSDASPKVFSRKKKNEKLVKEKSSKSPSRERTGKKAVKGKEQAKVKEEKLKPTDDELSVAICEILKEVDFNTATFTDILKQLAKRFDADLTQRKSSIKLMIQEELTKLADEADDEDGDGDAEKDENQSAGKEVEA >Manes.07G133110.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29592934:29593537:1 gene:Manes.07G133110.v8.1 transcript:Manes.07G133110.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLNRRIEQLLTASLLTYLHCRFEQLLIVCYSTRLLAARLSKRFLNARYSSLIDPHVARHSLLHKFLVAHRSMGSSMYARYLSFVPPRSSPLLIAPWVSSSLVEAPRRSCSRELNGFSRYLGECVNDENGRN >Manes.11G023300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2392627:2399203:-1 gene:Manes.11G023300.v8.1 transcript:Manes.11G023300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAMTLSLGNSICDNSGNATHVEITRLKLVTDTVSLLSGPVNVVPDETVPASCGSSDYAGNVVDLAALSAPSNGVGGNDSLKILPENGKISIMTDTVIQESDEDEVLSVVEDNNGITTEELLALEAGSEISLPKSVEIEDSQLIAKAIIVESSDEVEVPTAKLLIAAVSPNTDVSDGSDLRASAVVLKLPSEKNLSKGTTRSVFELDCIPLWGSVSICGRRPEMEDAVAAVPRFVKIPIKMLIGDRVVDGLSENLTHLTTHFFGVYDGHGGAQVANYCRDRIHLALTEEIGNVKNDSSDISMEGNQQAQWEKAFTSCFLKVDDEIGGKGTSGTDEGHEDASDATSEPVAPETVGSTAVVALVCSSHIIVANCGDSRAVLYRGKESMALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRARDDECLILASDGLWDVMTNDEACEAARKRILLWHKKNGVTSLPERGNGIDPASQAAADYLSMLAMQKGSKDNISVIVVDLKAQRKFKSKF >Manes.11G023300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2394982:2399102:-1 gene:Manes.11G023300.v8.1 transcript:Manes.11G023300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAMTLSLGNSICDNSGNATHVEITRLKLVTDTVSLLSGPVNVVPDETVPASCGSSDYAGNVVDLAALSAPSNGVGGNDSLKILPENGKISIMTDTVIQESDEDEVLSVVEDNNGITTEELLALEAGSEISLPKSVEIEDSQLIAKAIIVESSDEVEVPTAKLLIAAVSPNTDVSDGSDLRASAVVLKLPSEKNLSKGTTRSVFELDCIPLWGSVSICGRRPEMEDAVAAVPRFVKIPIKMLIGDRVVDGLSENLTHLTTHFFGVYDGHGGAQVANYCRDRIHLALTEEIGNVKNDSSDISMEGNQQAQWEKAFTSCFLKVDDEIGGKGTSGTDEGHEDASDATSEPVAPETVGSTAVVALVCSSHIIVANCGDSRAVLYRGKESMALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRARDDECLILASDGLWDVMTNDEACEAARKRILLWHKKNGVTSLPERGNGIDPASQAAADYLSMLAMQKGSKDNISVIVVDLKAQRKFKSKF >Manes.11G023300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2392627:2399102:-1 gene:Manes.11G023300.v8.1 transcript:Manes.11G023300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAMTLSLGNSICDNSGNATHVEITRLKLVTDTVSLLSGPVNVVPDETVPASCGSSDYAGNVVDLAALSAPSNGVGGNDSLKILPENGKISIMTDTVIQESDEDEVLSVVEDNNGITTEELLALEAGSEISLPKSVEIEDSQLIAKAIIVESSDEVEVPTAKLLIAAVSPNTDVSDGSDLRASAVVLKLPSEKNLSKGTTRSVFELDCIPLWGSVSICGRRPEMEDAVAAVPRFVKIPIKMLIGDRVVDGLSENLTHLTTHFFGVYDGHGGAQVANYCRDRIHLALTEEIGNVKNDSSDISMEGNQQAQWEKAFTSCFLKVDDEIGGKGTSGTDEGHEDASDATSEPVAPETVGSTAVVALVCSSHIIVANCGDSRAVLYRGKESMALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRARDDECLILASDGLWDVMTNDEACEAARKRILLWHKKNGVTSLPERGNGIDPASQAAADYLSMLAMQKGSKDNISVIVVDLKAQRKFKSKF >Manes.11G023300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2392627:2399280:-1 gene:Manes.11G023300.v8.1 transcript:Manes.11G023300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAMTLSLGNSICDNSGNATHVEITRLKLVTDTVSLLSGPVNVVPDETVPASCGSSDYAGNVVDLAALSAPSNGVGGNDSLKILPENGKISIMTDTVIQESDEDEVLSVVEDNNGITTEELLALEAGSEISLPKSVEIEDSQLIAKAIIVESSDEVEVPTAKLLIAAVSPNTDVSDGSDLRASAVVLKLPSEKNLSKGTTRSVFELDCIPLWGSVSICGRRPEMEDAVAAVPRFVKIPIKMLIGDRVVDGLSENLTHLTTHFFGVYDGHGGAQVANYCRDRIHLALTEEIGNVKNDSSDISMEGNQQAQWEKAFTSCFLKVDDEIGGKGTSGTDEGHEDASDATSEPVAPETVGSTAVVALVCSSHIIVANCGDSRAVLYRGKESMALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRARDDECLILASDGLWDVMTNDEACEAARKRILLWHKKNGVTSLPERGNGIDPASQAAADYLSMLAMQKGSKDNISVIVVDLKAQRKFKSKF >Manes.11G023300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2392627:2399280:-1 gene:Manes.11G023300.v8.1 transcript:Manes.11G023300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAMTLSLGNSICDNSGNATHVEITRLKLVTDTVSLLSGPVNVVPDETVPASCGSSDYAGNVVDLAALSAPSNGVGGNDSLKILPENGKISIMTDTVIQESDEDEVLSVVEDNNGITTEELLALEAGSEISLPKSVEIEDSQLIAKAIIVESSDEVEVPTAKLLIAAVSPNTDVSDGSDLRASAVVLKLPSEKNLSKGTTRSVFELDCIPLWGSVSICGRRPEMEDAVAAVPRFVKIPIKMLIGDRVVDGLSENLTHLTTHFFGVYDGHGGAQVANYCRDRIHLALTEEIGNVKNDSSDISMEGNQQAQWEKAFTSCFLKVDDEIGGKGTSGTDEGHEDASDATSEPVAPETVGSTAVVALVCSSHIIVANCGDSRAVLYRGKESMALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRARDDECLILASDGLWDVMTNDEACEAARKRILLWHKKNGVTSLPERGNGIDPASQAAADYLSMLAMQKGSKDNISVIVVDLKAQRKFKSKF >Manes.16G121800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32420034:32425029:-1 gene:Manes.16G121800.v8.1 transcript:Manes.16G121800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPMDFDNIVPIDGTETAHQNGVHEQIPAPADGVGTNNINGTKLEDCATDNLSAGELREGSNVHVGSNGFTDSKEGEIKDAEHSEQVKSLKGSGKGKNEKPSNREQVSAAQMKKGKDGKVAGAPPTVSNGALSSNSQSKQPLTSKSFNEKQHSGKSDVTSSEGLMERAKLKALKKGHIKAEGEPQTPLSPTSEEAKNRRVGALPNYGFSFKCDERAEKRKEFYSKLEEKIHAKEVEKSTLQAKSKETQEAEIKLLRKSLNFKATPMPSFYQEPPPPKVELKKIPPTRPKSPKLGRRKSSPVDSEGNHSQSSRPGRLSLDEGVSRSNSAKGPSPVHSKKPQRKSLPKLPSEKSSLSNGTNDEKTAPSKVTNEENTTSSDQINEDESLTHKDEKPVVGEQQAQPTLVREPIAS >Manes.05G110500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10985779:10990252:1 gene:Manes.05G110500.v8.1 transcript:Manes.05G110500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEDAEIRIFLCRCRILTIASRFRCLACKILFLNSPSFIFSSFEMGALKLIFSAILLLLALQQTTSHGDNPLSKIAIHKSTFSLNDLAYVEASPSVLGLTEQNSGWVTLEYSNPVPSVNDWIGVFSPANFSASSCPSENPRVYPPLLCSAPIKPKLVAVSNTVAFSNPNAPVYPRLAQGRTWNEMTVTWTSGYGINEAEPFIEWAPKGGDPIHSPAGTLTFDRSSMCGAPARTVGWRDPGYIHTSFLKDLWPNKVYTYKLGHKLFNGTCIWSREYQFKASPYPGQNSVQRVVIFGDMGKGEVDGSCEYNDFQPGSLNTTKQLIQELNNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIASGNHERDWPGTGSFYGNMDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIENCLASVDRQKQPWLIFLAHRVLGYSSCITYAIEGSFQEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNICTSKEKHYYKGSLNGTIHVVAGGAGASLSPYTTLQTSWSLYKDYDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFRISRDYRDILACTVDSCPSKTLAS >Manes.05G110500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10985779:10990252:1 gene:Manes.05G110500.v8.1 transcript:Manes.05G110500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEDAEIRIFLCRCRILTIASRFRCLACKILFLNSPSFIFSSFEMGALKLIFSAILLLLALQQTTSHGDNPLSKIAIHKSTFSLNDLAYVEASPSVLGLTNSGWVTLEYSNPVPSVNDWIGVFSPANFSASSCPSENPRVYPPLLCSAPIKPKLVAVSNTVAFSNPNAPVYPRLAQGRTWNEMTVTWTSGYGINEAEPFIEWAPKGGDPIHSPAGTLTFDRSSMCGAPARTVGWRDPGYIHTSFLKDLWPNKVYTYKLGHKLFNGTCIWSREYQFKASPYPGQNSVQRVVIFGDMGKGEVDGSCEYNDFQPGSLNTTKQLIQELNNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIASGNHERDWPGTGSFYGNMDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIENCLASVDRQKQPWLIFLAHRVLGYSSCITYAIEGSFQEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNICTSKEKHYYKGSLNGTIHVVAGGAGASLSPYTTLQTSWSLYKDYDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFRISRDYRDILACTVDSCPSKTLAS >Manes.05G110500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10985779:10990251:1 gene:Manes.05G110500.v8.1 transcript:Manes.05G110500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEDAEIRIFLCRCRILTIASRFRCLACKILFLNSPSFIFSSFEMGALKLIFSAILLLLALQQTTSHGDNPLSKIAIHKSTFSLNDLAYVEASPSVLGLTNSGWVTLEYSNPVPSVNDWIGVFSPANFSASSCPSENPRVYPPLLCSAPIKYQYANYSSPEYKDSGKGSMKLQLINQRSDFSFALFTGGFLNPKLVAVSNTVAFSNPNAPVYPRLAQGRTWNEMTVTWTSGYGINEAEPFIEWAPKGGDPIHSPAGTLTFDRSSMCGAPARTVGWRDPGYIHTSFLKDLWPNKVYTYKLGHKLFNGTCIWSREYQFKASPYPGQNSVQRVVIFGDMGKGEVDGSCEYNDFQPGSLNTTKQLIQELNNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIASGNHERDWPGTGSFYGNMDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIENCLASVDRQKQPWLIFLAHRVLGYSSCITYAIEGSFQEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNICTSKEKHYYKGSLNGTIHVVAGGAGASLSPYTTLQTSWSLYKDYDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFRISRDYRDILACTVDSCPSKTLAS >Manes.05G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10985779:10990251:1 gene:Manes.05G110500.v8.1 transcript:Manes.05G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEDAEIRIFLCRCRILTIASRFRCLACKILFLNSPSFIFSSFEMGALKLIFSAILLLLALQQTTSHGDNPLSKIAIHKSTFSLNDLAYVEASPSVLGLTEQNSGWVTLEYSNPVPSVNDWIGVFSPANFSASSCPSENPRVYPPLLCSAPIKYQYANYSSPEYKDSGKGSMKLQLINQRSDFSFALFTGGFLNPKLVAVSNTVAFSNPNAPVYPRLAQGRTWNEMTVTWTSGYGINEAEPFIEWAPKGGDPIHSPAGTLTFDRSSMCGAPARTVGWRDPGYIHTSFLKDLWPNKVYTYKLGHKLFNGTCIWSREYQFKASPYPGQNSVQRVVIFGDMGKGEVDGSCEYNDFQPGSLNTTKQLIQELNNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIASGNHERDWPGTGSFYGNMDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIENCLASVDRQKQPWLIFLAHRVLGYSSCITYAIEGSFQEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNICTSKEKHYYKGSLNGTIHVVAGGAGASLSPYTTLQTSWSLYKDYDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFRISRDYRDILACTVDSCPSKTLAS >Manes.12G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5436694:5438829:-1 gene:Manes.12G054700.v8.1 transcript:Manes.12G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDQRAWSAHALAFPFPAQGHINPVLQFCKRLVSKGIKATLVTTRFLSKSIHVDPSSNIDLETISDGFDEGGHAQAESVEAYVSTFKAVGSETLANLIRKLNDSGHPVNALIYDGTFPWALDVAKEFGLLKVMFCTQSCAVSIVAYHVQRGLLRVPLSGPVVSIPGLPLMEAADTPSFIYDLSTYTAFYDVLVNQFINIDETDWILHSSFHKMEEEVVDWMAKRWKLRTIGPTVPSMYLDKRIESDKSYAINLFKPNASACINWLHAKPVASVVYVSFGSVAELGVEQTRELAWGLKGSNCYFLWVVRATELFKLPENFVEETKEKGLVVTWCPQLEVLTHEAIGCFLTHCGFNSVLEALSLGVPMVAVPQWSDQPTNAKYVEDVWKMGIRAKPDEEGIVRREVVEVCLREVMEGEKAKEIRDNARNWKRLSKEAIDEGGTSDKNIDEFVAALLGKTILGNCDFI >Manes.09G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31495281:31498378:-1 gene:Manes.09G112700.v8.1 transcript:Manes.09G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSSGLNTYINSQPTFSFSTHLLMSSSSSSSFTNLLSTNIKDMDSISWGLYDHGTDAIGIEIPKFKSFTPSSLPLSPPPVSPSTYLAIPPDLSPAELLDSPVLFSTSNVFPSPITGAFAGQSFNWRNNFSENQRGVKGEERSFSDFSFQTQTAPTSSSFFQSSSSFIPVEQSMKKQQESWNFNKPTKQTDFSSDKGGVVKSECKPMQYFSSEMAPIQSTIQNNTASQLSYNHYNNQPAPYMREQRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRSSSQSMQPSAGVGLEISDQSVAPLESVNMQEDSSISMGDDEFEQSSPISNSGGDDDENEPEAKRYKGQNENEGILGAGSRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSVGCPVRKHVERASHDTRAVITTYEGKHNHDVPAARGSGYASNRPPSNGNPSSMPIPIRPSVTTNTNYPSSLNSTRLPTSASQAPFTLQEMLQGPGSIGFSGYGKPSGSYMNQTQSAYSGAKEEPKDDSFFDSFLC >Manes.09G112700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31495281:31498378:-1 gene:Manes.09G112700.v8.1 transcript:Manes.09G112700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSSGLNTYINSQPTFSFSTHLLMSSSSSSSFTNLLSTNIKDMDSISWGLYDHGTDAIGIEIPKFKSFTPSSLPLSPPPVSPSTYLAIPPDLSPAELLDSPVLFSTSNEQSMKKQQESWNFNKPTKQTDFSSDKGGVVKSECKPMQYFSSEMAPIQSTIQNNTASQLSYNHYNNQPAPYMREQRKSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRSSSQSMQPSAGVGLEISDQSVAPLESVNMQEDSSISMGDDEFEQSSPISNSGGDDDENEPEAKRYKGQNENEGILGAGSRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSVGCPVRKHVERASHDTRAVITTYEGKHNHDVPAARGSGYASNRPPSNGNPSSMPIPIRPSVTTNTNYPSSLNSTRLPTSASQAPFTLQEMLQGPGSIGFSGYGKPSGSYMNQTQSAYSGAKEEPKDDSFFDSFLC >Manes.03G204400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31953109:31956877:-1 gene:Manes.03G204400.v8.1 transcript:Manes.03G204400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLVQSKKKKGPTRISATTIILCNLVVVLIVLSLVATYRHWSQRSREQTGVSKFEDISDTFTDSKKYDLPGYAILNTSKGYITVELYKDSSPEIVDNFLDLSQKGHFKGMPFHHVIKHYVIQGGHSQGLGAAEDWTTKVKIRSRLATSPKHEAFMLGTLKTKDSQGFEIFITTAPIPDLSDKLIVFGRVIKGEDVVQEIEEVDTDEHYRPKSPVGIINVTLKREI >Manes.03G204400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31952960:31956909:-1 gene:Manes.03G204400.v8.1 transcript:Manes.03G204400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLVQSKKKKGPTRISATTIILCNLVVVLIVLSLVATYRHWSQRSREQTGVSKFEDISDTFTDSKKYDLPGYAILNTSKGYITVELYKDSSPEIVDNFLDLSQKGHFKGMPFHHVIKHYVIQGGHSQGLGAAEDWTTKVKIRSRLATSPKHEAFMLGTLKTKDSQGFEIFITTAPIPDLSDKLIVFGRVIKGEDVVQEIEEVDTDEHYRPKSPVGIINVTLKREI >Manes.13G059366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6821890:6823078:-1 gene:Manes.13G059366.v8.1 transcript:Manes.13G059366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKMVIKVFMNGNSKTRSKALQIAVTTNGVQSAALGEKDKDQLEVVGEGVDAVKLIASLRKKLAKWPCLTYIMPKTQVHAELLNMKDVEEKK >Manes.S046816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1470532:1470690:1 gene:Manes.S046816.v8.1 transcript:Manes.S046816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.03G123000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25035142:25041304:1 gene:Manes.03G123000.v8.1 transcript:Manes.03G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGSMFRYADGTDKLLMLFGALGSIGDGLQYALTMYVLSHVINEYGSPNSSLSNDTVDKYSLKLLYVAIFVGVSAFVKGMCWTRTAERQTSRMRTEYLKSVLRQEVGFFDTQEAGSSTTYQVVSTISNDANAIQVAICEKIPDCLTYMSTFVFCLVFSFVLSWKLALAALPLSMMFIVPGLVFGKLMMDVTMKMIESYGVAGGIVEQAISSIRTVYAYVAERETLDRFSRALQTTLGLGIKQGFAKGLMMGSMGIIYVGWAFQAWVGTYLVTSKGEKGGSIFVAGINVIMGGLSILGALPNLTSITEATVASTRIFEMIDRTPSIDSEDKKGKALSYVRGEIEFKGIYFSYPSRPDTPILNGLNLTVPAGKTVGLVGGSGSGKSTVISLLQRFYDPVEGEVLLDGYKIRRLQLKWLRSQMGLVNQEPVLFATSIKENILFGKEGASMDDVINAAKSANAHDFIVKLPDGYETQVGQYGFQMSGGQKQRIAIARALIRNPKILLLDEATSALDTQSERLVQEAIDHASRGRTTITIAHRLSTIRTAKLIVVLQAGRVVESGSHEELMQMSYGQGGEYSRMVQLQQMAAGNESPRDFSPYNNVKNFHKMNVAPSPISVRSSARNSPALSPFSPALSLGTPYSFSVTYDPDDDSIDDGLKRQNYPATSQWRLLKMNTPEWGRALVGCLAAIGSGAVQPINAYCVGSLIANYFRTDKSAMKHKSRTLSLVFLGIGALNFTTSLLQHYNFAVMGEKLTKRVREKLLAKLMTFEIGWFDDEENTSASICARLATEANMVRSLVGDRMSLLVQAFFGSLFAYALSIVLSWRLALVMIAVQPLVVGSYYSRSILMKSMAGKAQKAQKEGSQLASEAVINHRTITAFSSQKRMLGLFKDTLRGPKEESVKHSWLSGFGLFSAQFFNTAAIALAYWYGGRLLTEGSISPEHLFQAFLILLFTAYVIAEAGSMTNDLSKGGNAVRSVFAILDRKSEIDPDHSWGLDVKKRIKGHIDFKNVFFAYPTRPDQMIFRGLNLKIDAGKTVALVGPSGSGKSTIISLIERFYDPLKGSILIDGQEIMNYNLKMLRSYIALVSQEPTLFAGTIRENITYGKEDAGESEIKKAAVLANADEFISGMKDGYDTYCGERGVQLSGGQKQRIALARAILKNPSILLLDEATSALDSVSESLVQEALEKMMVRRTCIVVAHRLSTIQKSDSIAVVKNGKIVEEGSHNELISLSRGGVYYSLIKEQRSSSTYR >Manes.15G185500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26532939:26538131:1 gene:Manes.15G185500.v8.1 transcript:Manes.15G185500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQLDLSLQIRPPNSKPTTAWRRTEEEMDLGFWKRALDSRNTTSSMEKPDTYFELSLSNPRVSESKSNHFDLHQNTSSYNDNNLFRSFQKNQYQHQLHHHHHNPVFHQQQQQQGQSQELGFLRPIRGIPIYQNPPPPLSFSQQHLDSTGSQNSSLVTNNSSSSNFSPYKSPGLMRSRLMSRFPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDQAAASSGQSDVIDNVSSGDTSEDLMFDIQNSRPEMQQGRPSGHQENKNFHGFWSNNSSSREAACLHVKSKDSGENLPCPEPKDMDPKCLSHERISDVSSSTLSWTNPKKPNLEFTLGRPH >Manes.15G185500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26532939:26538131:1 gene:Manes.15G185500.v8.1 transcript:Manes.15G185500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQLDLSLQIRPPNSKPTTAWRRTEEEMDLGFWKRALDSRNTTSSMEKPDTYFELSLSNPRVSESKSNHFDLHQNTSSYNDNNLFRSFQKNQYQHQLHHHHHNPVFHQQQQQQGQSQELGFLRPIRGIPIYQNPPPPLSFSQQHLDSTGSQNSSLVTNNSSSSNFSPYKSPGLMRSRLMSRFPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDQAAASSGQSDVIDNVSSGDTSEDLMFDIQNSRPEMQQGRPSGHQENKNFHGFWSNNSSREAACLHVKSKDSGENLPCPEPKDMDPKCLSHERISDVSSSTLSWTNPKKPNLEFTLGRPH >Manes.15G185500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26532939:26538131:1 gene:Manes.15G185500.v8.1 transcript:Manes.15G185500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQLDLSLQIRPPNSKPTTAWRRTEEEMDLGFWKRALDSRNTTSSMEKPDTYFELSLSNPRVSESKSNHFDLHQNTSSYNDNNLFRSFQKNQYQHQLHHHHHNPVFHQQQQQQGQSQELGFLRPIRGIPIYQNPPPPLSFSQQHLDSTGSQNSSLVTNNSSSSNFSPYKSPGLMRSRLMSRFPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDQAAASSGQSDVIDNVSSGDTSEDLMFDIQNSRPEMQQGRPSGHQENKNFHGFWSNNSSSRRTWIQSA >Manes.04G132202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33321683:33324724:1 gene:Manes.04G132202.v8.1 transcript:Manes.04G132202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMETKLSYAISKNERVALKSSVQEHKSHLASRMGHTELARKIIECPDLAVAEKERIEPKKLMLKENEIIVRELLEGWPQFAWKVDKDGCVPLHYASERGLLEITRLLLKVDPRCANKFNKNGYTPLHFAAMNGEVPILLEFMTWAQKSFMLLTKKAETAMHLAAKFGKCNAFVSMAETLSSTHLFNLPDQYGNTVLHVAVSASCYQLAEYILGKIAVDVKHRNRQGHTALDLLNHARVTNGTNHIRVMLLKYGCPESPDSSKISQFSSEVSTSTSQNISLRFRDASEQKRNKQHMANEQKRNKQHMANEQKRNNQHMANEQKRNNQHMANDETTTETDDFSQSEEIHYDNKYKQGSSSARRELHHQHKHPSKRHNRRNKQYDTHKEALQNARNTIILVAILIATVTFTVGLNPPGGVYQDGKLKGQSTVGRNLAFKIFAISNTIALFTSLCLVIVLVSIIPFERRKLMKLMVIAHKVMCVAVSFMTTAFVAATWVILPNDYKTRWMLETVLAIAAGSMATVFVYLVVKLARHWLRKLKYKKQRRNKSRIVAVNRELKSQPSKTLMTKQIQPDTGQFHDLSDSSNSDVESAKSLGYHTY >Manes.04G132202.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33321708:33324438:1 gene:Manes.04G132202.v8.1 transcript:Manes.04G132202.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMETKLSYAISKNERVALKSSVQEHKSHLASRMGHTELARKIIECPDLAVAEKERIEPKKLMLKENEIIVRELLEGWPQFAWKVDKDGCVPLHYASERGLLEITRLLLKVDPRCANKFNKNGYTPLHFAAMNGEVPILLEFMTWAQKSFMLLTKKAETAMHLAAKFGKCNAFVSMAETLSSTHLFNLPDQYGNTVLHVAVSASCYQLAEYILGKIAVDVKHRNRQGHTALDLLNHARVTNGTNHIRVMLLKYGCPESPDSSKISQFSSEVSTSTSQNISLRFRDASEQKRNNQHMANDETTTETDDFSQSEEIHYDNKYKQGSSSARRELHHQHKHPSKRHNRRNKQYDTHKEALQNARNTIILVAILIATVTFTVGLNPPGGVYQDGKLKGQSTVGRNLAFKIFAISNTIALFTSLCLVIVLVSIIPFERRKLMKLMVIAHKVMCVAVSFMTTAFVAATWVILPNDYKTRWMLETVLAIAAGSMATVFVYLVVKLARHWLRKLKYKKQRRNKSRIVAVNRELKSQPSKTLMTKQIQPDTGQFHDLSDSSNSDVESAKSLGYHTY >Manes.01G122600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31835363:31845779:1 gene:Manes.01G122600.v8.1 transcript:Manes.01G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKQNFKFPAKVRTTFYVITATGLTLRAADYLNFPPFCSLNSSSFFPEKIRAAIHAVFRSSRAISTIGFTIADYKYSLRGLPVDSEDYRGKLSEVHLRSANRMLKLCETNKGFYVKAGQFVAALRQVPKEYSSTLSSLQDQAIPCHFKAIKEVLVSNLGQDLSKIYLSFDEQPIAAASIAQVHRAILKDGQEVAIKVQYPGLELQMKIDITTMSFLSKSVALLFPDYRFDWLVSEFTKAISSELDFMQEARNSERTAKNFKNKKIVRVPRIFWEFTTSQVLTMQFCRGHKVDDMGFFKEMGISPIKVAKTLVEVFAEMIFIHGFVHGDPHPGNILVSPEGPNGFSLVLLDHGIYKQLDEGFRIDYCQLWKALILQDSLQVQQLGERFNVGKYSRYFPVIFIGRTIDSKSALGSGMSAEEKRILKQELKSLKMEDISSFMESLPPDFLTILRTDGLLRSLVSKLGAPQRIRLLTYAKYAVYGLSLKSDPNSGYAGKAAFSRLKTNVSYLQSRLIIEGLELLSWMERVKQFLRTLCRKILSAALV >Manes.01G122600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31835363:31845779:1 gene:Manes.01G122600.v8.1 transcript:Manes.01G122600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKQNFKFPAKVRTTFYVITATGLTLRAADYLNFPPFCSLNSSSFFPEKIRAAIHAVFRSSRAISTIGFTIADYKYSLRGLPVDSEDYRGKLSEVHLRSANRMLKLCETNKGFYVKAGQFVAALRQVPKEYSSTLSSLQDQAIPCHFKAIKEVLVSNLGQDLSKIYLSFDEQPIAAASIAQVHRAILKDGQEVAIKVQYPGLELQMKIDITTMSFLSKSVALLFPDYRFDWLVSEFTKAISSELESRRKRTNGLIAYDQDFMQEARNSERTAKNFKNKKIVRVPRIFWEFTTSQVLTMQFCRGHKVDDMGFFKEMGISPIKVAKTLVEVFAEMIFIHGFVHGDPHPGNILVSPEGPNGFSLVLLDHGIYKQLDEGFRIDYCQLWKALILQDSLQVQQLGERFNVGKYSRYFPVIFIGRTIDSKSALGSGMSAEEKRILKQELKSLKMEDISSFMESLPPDFLTILRTDGLLRSLVSKLGAPQRIRLLTYAKYAVYGLSLKSDPNSGYAGKAAFSRLKTNVSYLQSRLIIEGLELLSWMERVKQFLRTLCRKILSAALV >Manes.04G078900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28111532:28113064:1 gene:Manes.04G078900.v8.1 transcript:Manes.04G078900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMIILFISFILLSTFLHVWKLEATFLSFSFRLMDLSPQQDDNNHHSFSKSLENCEAINNVTGTKSPKTSFLVFVGAYEFFSPEMWRAVLVELVATACLLFTLTICILSCLESREPEPKLLVPFAVFIIAFFFLLATVPLSGGHMSPVFTFIAALKGVITLVRAIFYILSQCVGSIMAYMVIKSVMNNATVEKYSLGGCMIDGNGGGIAPGTALVLEFSCTFVVLFVGVTVAFDKRRFKELGLIMVCVILAASMGLAIFVSITVTGRAGYAGVGLNPARCLGPALLHGGSLWHGHWVFWVGPCLACTVYYVYTLTLPRDRMGSVDED >Manes.16G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31830929:31833127:-1 gene:Manes.16G112500.v8.1 transcript:Manes.16G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYFGEPNLGNERGGSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHGQMGSGFHPSLHGSYASNFNQEDIRMQSAYSSVPSSSFSYSSSSAASYGYPNIMMGLGDHYERSNIRYGDSQPSTGASWHPGNNFYEAPHFAQGGSTRHLLNLQVEDPNKSKKHRSDSMGSSSQNSESSDNQEPDLELRLSI >Manes.16G041400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5807224:5811435:1 gene:Manes.16G041400.v8.1 transcript:Manes.16G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPSFLVPLMGGDHGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDPSLMSIQDDHVRFLNTMRAVQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVISLVGFGLFDRGFPLVGRCVEIGIPMLILFIAFSQYLKNFQTRQLPILERFALLICITVIWAYAHLLTASGAYKHRPELTQVNCRTDKAYLISSAPWIKIPYPLQWGAPTFDAGHCFGMMAAVIVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGMFGTLSGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVALFLGLSVPEYFRAYTAKAFHGPAHTRAGWFNDFLNTIFFSSPTVALIVAVFLDNTLDYKDSARDRGMTWWVRFRSFNGDSRNEEFYTLPFNLNRFFPPS >Manes.06G039400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11925205:11928939:-1 gene:Manes.06G039400.v8.1 transcript:Manes.06G039400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCNPEGSDPVILANASDVSHFGYFQRSSVKEFVVFVGRTVAKRTLPGQRQSVQHEEYKVHSYNSNGLCAVGFMDDHYPVRSAFSLLNQVLDEYRKNFGDSWRALQADNTQPWPYLDEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQVHLLDFIHYRHSFASIVYL >Manes.06G039400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11922420:11929108:-1 gene:Manes.06G039400.v8.1 transcript:Manes.06G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKCNPEGSDPVILANASDVSHFGYFQRSSVKEFVVFVGRTVAKRTLPGQRQSVQHEEYKVHSYNSNGLCAVGFMDDHYPVRSAFSLLNQVLDEYRKNFGDSWRALQADNTQPWPYLDEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >Manes.18G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1502388:1506456:1 gene:Manes.18G013600.v8.1 transcript:Manes.18G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATKRPIHAVATWVQRQPPKIKAFLAIISGMAALVFLRMVVHDHDNLFVAAEAVHAIGISVLIYKLMKERTCAGLSLKSQELTAIFLAVRLYCSLVMEYDIHTLLDSATLLTTLWVIYMIRFKLRSSYMDDKDNFAIYYVLIPCVVLSLLIHPTTQHHLINRICWSFCVFLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWVLQVLDTRGRLLTALGYGLWPPMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >Manes.05G169200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28299208:28308706:1 gene:Manes.05G169200.v8.1 transcript:Manes.05G169200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTISFSGYVAQNVVSGAGSRVGNCRSLYECWVRSRIFASPPVQNADLEPPAPRTRDFQSGYRRPTQKPNSFAKSWVSRYSTTAGEMFGDNFKSPIAVGLVSLMKSTAGISASSSCTGVFGISPLRTASILPFLLGSRWLPCNEPVTGPKSIDVDRGGAVSYLRHESNSTVTLEINGKEFDKGGSWLSKVFSFCSEDAKAIFTAATVSLLFRSALAEPRSIPSTSMSPTLDLGDRILAEKVSYIFRKPEPADIVLFKAPPILQEIGYKSGDVFIKRIVAVAGDIVEAREGKLYVNGTVQDEDFILEPLAYEMEPLLVPEGCVFVMGDNRNNSFDSHNWGPLPVKNIVGRSVFRYWPPSKVSNTIYDPSAEKNTAAIS >Manes.16G076100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28015821:28019687:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSDAAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWVCS >Manes.16G076100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28013255:28019687:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSDAAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWDRTRGTEEASNASSCRVSQRLPGLLQVESEFRGSRVKSRLS >Manes.16G076100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28015821:28019687:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWVCS >Manes.16G076100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28013255:28019662:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSDAAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWAPAETKMLMSNCIRALCNADVKEGFVFGSDPRDRGGQ >Manes.16G076100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28013255:28019662:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWAPAETKMLMSNCIRALCNADVKEGFVFGSDPRDRGGQ >Manes.16G076100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28009305:28019687:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSDAAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWDRTRGTEEASNASSCRVSQRLPGLLQVESEFRGSRVKSRLS >Manes.16G076100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28013255:28019687:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSDAAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWCCRLSQSSEAAG >Manes.16G076100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28013255:28019687:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWDRTRGTEEASNASSCRVSQRLPGLLQVESEFRGSRVKSRLS >Manes.16G076100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28013255:28019687:-1 gene:Manes.16G076100.v8.1 transcript:Manes.16G076100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKFRLWCPMETQRLLWLVGMMFLVIITFQHFELPSGNIFSLISSVHTDQIEENGNFSTDSALSKSETVSNMSRLNGLNYTDADHAYGRSENYDQEKDADVNNGLVSETSAVSNNKSRTDSRDRDSSLQQVFQPNVHPAVDPVNNSVNIYVPEETQQTFSRESISYSLENSSISDINDHLSEASTDFNSSSGVDKHNRESSFEQPNVHSITDTINDSPRPGVIEQSFSEENSSSSLENTGTSNSAPNMDNHGKSSKLKNNITISDDKSSTATIPKVKKKPELPTSGVISVSEMNSLLLRSWSSPGVRPRWVSAVDQELLRAKSQIENAPIVKNDPILYAPLYWNISMFKRSYELMEDMLKVYIYKEGERPIVHQPVLKGIYASEGWFMKLMEASKKFVTKKPRKAHLFYLPFSSRNLEMQLYVPNSHNHKNLMQYLKNYLDIIVGKYPFWNRTGGVDHFLVACHDWCCRLSQSSEAAG >Manes.08G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25454232:25456841:-1 gene:Manes.08G088600.v8.1 transcript:Manes.08G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRKTMHDPFKFWKFLVFPTVKAADIFSLFVKATLLVGTVVSISLLFYSAFSNQSQWFSCPQCDRVLLYGHRKLFKSNNASGDNYQETNVSHILFGIGGSAKTWNQRRHYCELWWRPEITRGYVWLDEKPPETEEWPKTSPPYKVSEDTSMFKYNCSYGSRSALHIARIVKESFELGFDNVRWFVMGDDDTVFFVENLVMILAKYDHNQMYYIGGNSESVEQDVIHSYTMAYGGGGFAISYPLAKELVRVLDGCVDRYSFFYGSDQRVQACMSEIGVSLTKELGFHQVDIRGNPYGLLASHPLAPLVSLHHLDYVQSIFPDMTQIDSLNKLIKPYGMDPGRTLQHSFCYDWNRSRSVSVSWGYTIQLYPYLATAKQLETAFQTFQTWRSWSNGPFTFNTQPMSHDPCERPVVYFLDRVESVGQGQTLTTYRRHVEKGNEGCDRPDYASIQAVQFVNVTSSTLKPDIWNMAPRRQCCEIINSKMEEVVGVKIRGCHQFESVTPP >Manes.03G043100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3778199:3779265:1 gene:Manes.03G043100.v8.1 transcript:Manes.03G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRLTKLKSVLKKLKSFNKLKSGSSIEESSSFSSNSGDLHPVYVGKSRRRYLISSDLIDHPLLRELAEVSSGSDSVSIACEVVLFEHLLWVLENADPQTDSLDDLVEFYTCL >Manes.09G116800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31947002:31951676:1 gene:Manes.09G116800.v8.1 transcript:Manes.09G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASRKIASVLLFFLLSLPSISLAYRPGDIVPMSKMGQYHSSRTLWQDVIGKHCPIFAVNREVLIPIPKPTGYTGADPYKISFQVGREKFLIPWLFLINRKSSEVPMIDVHLRYSGSDLHGVTAKVVDMPHHYIEIHPDIRKQFWDPQHWPKHVLVRYTWEEQSDIDVTSGFYVLFGSGLMLSFILSIYILQSSRDKLARFVMETVAESNIPAGGVAKVE >Manes.11G111500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25857341:25864408:-1 gene:Manes.11G111500.v8.1 transcript:Manes.11G111500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHVIDEEEGMGHRVLVYDRDAEPSEGGEANNAEHSSAHDEDGAAEPHVGMEFHSEDSAKTFYDEYARRLGFSSKVVHFNRSKTDGTVVSREFVCGREGLKRRSADSCDAMLRIELKGPNKWVVTKFIKEHSHSMVNPSKVHYLRPRRHFAGANKSITETYQGVGIVPSGVMYVSAEANRGLRTSPATEPNRVINNAATLNYAVRSNARRRTLGRDAQNLLEYFKKMQAENPGFFYAIQLDDDNCMANVFWADARSRTAYNHFGDAVTLDTSYRINQYRVPFAPFTGVNHHGQTILFGCAILLDDSEASFVWLFKTFLTAMNDRQPVSIVTDQDRAIQTAVSQVFPEARHCISKWHVLREGQEKLAHVCHAHLNFQVELYNCINLTETIEEFESSWSSILDKYDLRGHDWLQSLYDARAQWVPVYFRDSFFAVISQNQGFEGSFFDGYVNQQTTLPMFFRQYERALENSNLYTRKIFAKFQEELVETFVYTANKIEDDGAISTFRVAKFEDDNKAYVVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPSHYILKRWTRNAKTGSGIDECGGELHGQESLTLRYNNLCREAVKYAEEGAIAVDTYNVAIAALREGVKKIAAVKKNAAKIIPPSSLVGGIGYDDRKTSTSASDTTPLLWPRQDEATRRFNLNDAGGPAQSVADLNLPRMAPVSLQRDDGPPGNMAVLPCLKSMTWVMENKNTTPGNRVAVINLKLQDYSKTPSTEFEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTSGESEVKFQVSRDTLGAMLRSMAYIREQLSAADPQPEPLSKKQRK >Manes.S030516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:702450:702608:1 gene:Manes.S030516.v8.1 transcript:Manes.S030516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.18G048900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4219746:4229541:1 gene:Manes.18G048900.v8.1 transcript:Manes.18G048900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDGEDTPSRHELLSMVKKHSALLGKGTLDERDASDVEMDCRFWYDMLDLYFIRCKESRGRQDDDLVFFVRNMGTEGYGLNDTVQDLPPYFVRRWAPKLENLISENSKEVDWRRSFYLNLIAHTSFTVTVAICSHQVLRNHQAGQDTPLSPIYKVVKTVYASPSRVDFQLDSKKEQETTPAYPDICFAVDDFDSTFDAVVLTETDHCYCVLLNAHDGAAFPSEKESPDNSSSGNTPLNVDSNSGKTKNSKLTLFSGFVSYQMVREAYDAGKSRFGSLLSLGYSPGKADKLYMKGPGGRGEVEVAVSGVADQSQQDFGPFSPVTSKRGFGIGSIVRKAASVASVAAKHAYAAAASTSSDDEMLPLKCCLMSISLPWEHIAYDLLFKGSPPVNL >Manes.10G052700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6087879:6099020:-1 gene:Manes.10G052700.v8.1 transcript:Manes.10G052700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEIKKDLDVNKWFSQLTYDQWMAIPASGARPSARYKHAAAVADEKLYIFGGSRNGRYLSDVQVFDFSSSTWSSLRLKSVLQADQVKDNNSQEVLPATSDHSMVKWGNKLLLLGGHSKKSSDSMMVRYIDLETHLCGVIETSGNTPVARGGHSATLVGSRLIIFGGEDSSRRLLNDLYALDLEKMTWDVLETTQTPPAPRFDHAATLHAGRYLLIFGGCSHSIFFNDLHVLDLQTMEWSLPEIRGDLVTPRAGHAGIAIDENWYIVGGGDNKNGCPETLVLNMSKLAWSTLTSVKGRDPLASEGLSVCSTLMDGEKHLVAFGGYNGKYNNEVFVMRLKPRDSLRPKIFQSPAAAAAAASVTAAYALAKSDKLDFSNINLNPRVIESNPSEQDLTIEIDAIKEDKKVLELSLAEVRAENSRLREKIDEVNSTHAELSKELHSVQGQLVAERSRCFKLEAQIAELQKMLESLQSIENEVQILRRQKSALEREMELNASAQRQGSGGVWRWLAG >Manes.10G052700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6088373:6099020:-1 gene:Manes.10G052700.v8.1 transcript:Manes.10G052700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEIKKDLDVNKWFSQLTYDQWMAIPASGARPSARYKHAAAVADEKLYIFGGSRNGRYLSDVQVFDFSSSTWSSLRLKSVLQADQVKDNNSQEVLPATSDHSMVKWGNKLLLLGGHSKKSSDSMMVRYIDLETHLCGVIETSGNTPVARGGHSATLVGSRLIIFGGEDSSRRLLNDLYALDLEKMTWDVLETTQTPPAPRFDHAATLHAGRYLLIFGGCSHSIFFNDLHVLDLQTMEWSLPEIRGDLVTPRAGHAGIAIDENWYIVGGGDNKNGCPETLVLNMSKLAWSTLTSVKGRDPLASEGLSVCSTLMDGEKHLVAFGGYNGKYNNEVFVMRLKPRDSLRPKIFQSPAAAAAAASVTAAYALAKSDKLDFSNINLNPRVIESNPSEQDLTIEIDAIKEDKKVLELSLAEVRAENSRLREKIDEVNSTHAELSKELHSVQGQLVAERSRCFKLEAQIAELQKMLESLQSIENEVQILRRQKSALEREMELNASAQRQGSGGVWRWLAG >Manes.10G052700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6088390:6098998:-1 gene:Manes.10G052700.v8.1 transcript:Manes.10G052700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEIKKDLDVNKWFSQLTYDQWMAIPASGARPSARYKHAAAVADEKLYIFGGSRNGRYLSDVQVFDFSSSTWSSLRLKSVLQADQVKDNNSQEVLPATSDHSMVKWGNKLLLLGGHSKKSSDSMMVRYIDLETHLCGVIETSGNTPVARGGHSATLVGSRLIIFGGEDSSRRLLNDLYALDLEKMTWDVLETTQTPPAPRFDHAATLHAGRYLLIFGGCSHSIFFNDLHVLDLQTMEWSLPEIRGDLVTPRAGHAGIAIDENWYIVGGGDNKNGCPETLVLNMSKLAWSTLTSVKGRDPLASEGLSVCSTLMDGEKHLVAFGGYNGKYNNEVFVMRLKPRDSLRPKIFQSPAAAAAAASVTAAYALAKSDKLDFSNINLNPRVIESNPSEQDLTIEIDAIKEDKKVLELSLAEVRAENSRLREKIDEVNSTHAELSKELHSVQGQLVAERSRCFKLEAQIAELQKMLESLQSIENEVQILRRQKSALEREMELNASAQRQGSGGVWRWLAG >Manes.10G052700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6087879:6099020:-1 gene:Manes.10G052700.v8.1 transcript:Manes.10G052700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEIKKDLDVNKWFSQLTYDQWMAIPASGARPSARYKHAAAVADEKLYIFGGSRNGRYLSDVQVFDFSSSTWSSLRLKSVLQADQVKDNNSQEVLPATSDHSMVKWGNKLLLLGGHSKKSSDSMMVRYIDLETHLCGVIETSGNTPVARGGHSATLVGSRLIIFGGEDSSRRLLNDLYALDLEKMTWDVLETTQTPPAPRFDHAATLHAGRYLLIFGGCSHSIFFNDLHVLDLQTMEWSLPEIRGDLVTPRAGHAGIAIDENWYIVGGGDNKNGCPETLVLNMSKLAWSTLTSVKGRDPLASEGLSVCSTLMDGEKHLVAFGGYNGKYNNEVFVMRLKPRDSLRPKIFQSPAAAAAAASVTAAYALAKSDKLDFSNINLNPRVIESNPSEQDLTIEIDAIKEDKKVLELSLAEVRAENSRLREKIDEVNSTHAELSKELHSVQGQLVAERSRCFKLEAQIAELQKMLESLQSIENEVQILRRQKSALEREMELNASAQRQGSGGVWRWLAG >Manes.02G179400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14249936:14255215:1 gene:Manes.02G179400.v8.1 transcript:Manes.02G179400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDMRDGFGSLSRRSFELRLPGHHRGKSHSSVIELHDQPVVIQNSCWASLPPELLRDVIKRLEASESTWPARKHVVACAAVCRSWREMCKEIVASPEFSGKITFPVSLKQPGHREGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNTQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGPRDEEDEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPPQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.02G179400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14249936:14255215:1 gene:Manes.02G179400.v8.1 transcript:Manes.02G179400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDMRDGFGSLSRRSFELRLPGHHRGKSHSSVIELHDQPVVIQNSCWASLPPELLRDVIKRLEASESTWPARKHVVACAAVCRSWREMCKEIVASPEFSGKITFPVSLKQPGHREGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNTQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGPRDEEDEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPPQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.02G179400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14249936:14255215:1 gene:Manes.02G179400.v8.1 transcript:Manes.02G179400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDMRDGFGSLSRRSFELRLPGHHRGKSHSSVIELHDQPVVIQNSCWASLPPELLRDVIKRLEASESTWPARKHVVACAAVCRSWREMCKEIVASPEFSGKITFPVSLKQPGHREGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNTQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGPRDEEDEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPPQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.02G179400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14249936:14255507:1 gene:Manes.02G179400.v8.1 transcript:Manes.02G179400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDMRDGFGSLSRRSFELRLPGHHRGKSHSSVIELHDQPVVIQNSCWASLPPELLRDVIKRLEASESTWPARKHVVACAAVCRSWREMCKEIVASPEFSGKITFPVSLKQPGHREGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNTQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGPRDEEDEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPPQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.02G179400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14249936:14255215:1 gene:Manes.02G179400.v8.1 transcript:Manes.02G179400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDMRDGFGSLSRRSFELRLPGHHRGKSHSSVIELHDQPVVIQNSCWASLPPELLRDVIKRLEASESTWPARKHVVACAAVCRSWREMCKEIVASPEFSGKITFPVSLKQPGHREGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNTQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGFVPGQPELLPRSLEDSFRSISFSKSIDNSTEFSSARFSDIVGPRDEEDEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPPQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Manes.17G117400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33500026:33503629:1 gene:Manes.17G117400.v8.1 transcript:Manes.17G117400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSENQKSKTKTFHKWFTRKNKREKAHDQLNEISDDSEDEVDILDDVYVHSLETDPCISTNELRIFVGTWNVAGRSPVGSLAVDLDEWLNLKDAADMYVLGFQEIVPLKTRNVIGAEDPTEATNWNLLIGKTLNDKYGCPWLTPMTDPISSYHYHYDKISDSERRTSVSGHSGIQQLRTEDENLYGGSKYKLMASKKMVGVFISVWMKKELLSKYYVSNVKVSSVACGIMGYLGNKGSVSVSMSIEGTSFCFIAAHLASGEKKGDECRRNHQVTEIFRRTSFPRSSEDDCNPQPLTILGHDRIFWFGDLNYRLYLEDNLARYLIKKQDWKALQEFDQLRRELENGGVFQGWREGNIEFAPTYKYSTSNCNRYSGGLPSRSGEKQRTPA >Manes.17G117400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33500026:33503629:1 gene:Manes.17G117400.v8.1 transcript:Manes.17G117400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSENQKSKTKTFHKWFTRKNKREKAHDQLNEISDDSEDEVDILDDVYVHSLETDPCISTNELRIFVGTWNVAGRSPVGSLAVDLDEWLNLKDAADMYVLGFQEIVPLKTRNVIGAEDPTEATNWNLLIGKTLNDKYGCPWLTPMTDPISSYHYHYDKISDSERRTSVSGHSGIQQLRTEDENLYGGSKYKLMASKKMVGVFISVWMKKELLSKYYVSNVKVSSVACGIMGYLGNKGSVSVSMSIEGTSFCFIAAHLASGEKKGDECRRNHQVTEIFRRTSFPRSSEDDCNPQPLTILGHDRIFWFGDLNYRLYLEDNLARYLIKKQDWKALQEFDQLRRELENGGVFQGWREGNIEFAPTYKYSTSNCNRYSGGLPSRSGEKQRTPAWCDRILWYGKGIEQLSYFRSESKFSDHRPVSALFSIPVEVKKPTNPRIFPLQTILPTIKPPRKREPGNNNEETKSTLSSLMAKDLIEASPTNML >Manes.01G012564.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3309926:3311768:-1 gene:Manes.01G012564.v8.1 transcript:Manes.01G012564.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFILAILVTLLVSFLFNPRATSFWSRRHPKNDRKLPPGPPALPIIGNLYMLGSLPHRSLYRLSKKYGPIMSMRLGSIPAIVVSSPQAAELFLKTHDVVFASRPIIQASVYLFYGNKGMVFSEYGPYWRSIRKLCTLQLLSPSKIEYFAPMRMEEVRLLVNSLKKAAAAREAVDISLGVGDLIRNMSCKLVFGEANIYEFDLKLLIEEALNLTGAFNIADYVPFLGAFDLQGLKKRMKAFSKKMDKILEKIIDEHEREAQWQKQQQIRDFVDVLLSLMNQPIASNDESLSTLDRTNIKAILQDMIIASFDTSAITIEWTLTELLRHPSAIKRLQDELQSVVGLDKMVEEKDLSNLPYLDMVIKENLRLHPVGPLLLPRSCMEEIIIDGYHIPKKARIIVNAWGIGRDPDVWSDNAEEFLPERFAAKSIDIRGRDFEFLPFGSGRRGCPGMQLGLTVVRLVIAQLVHCFNWELPDGVLPGELDMSEVFGLSLPRASHLVVVPKYRLGI >Manes.04G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27277373:27279257:-1 gene:Manes.04G076500.v8.1 transcript:Manes.04G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AQP MAKDVTEETQPTHGKDYVDPPPAPLIDMAEIKLWSFYRALIAEFIATLLFLYITVATVIGYKKQTDPCGGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMVAQCLGAICGVGLVKAFMKHSYNGLGGGANSVAPGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNDKVWDDHWIFWVGPFIGALAAAAYHQYILRAAAIKALGSFRSNPTN >Manes.06G111600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24330988:24335277:-1 gene:Manes.06G111600.v8.1 transcript:Manes.06G111600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSATIPMNASSFYYSLFSVSPNNTPHRRLFSHKTQFPSFSFTSLNCVRPDSNSNSSNNPNVSRFSCSAVAFSHSPTTDLVAAKLRHLINEFQSLPESVDRVKRVLHYASLLPPFPDSSRVDSNRVMGCTARVWLDAQLDHYGKMRFLADSDSEITRGFCACLLSLLDGAAPEEVLSLKTEDLAVLNVGLPGGERSRANSWHNVLVSMQKRTKKLIAEREGKKPFDPFPSLIIASEVIQAKGSYAEAQARYLLPDESKVQELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVRAILDQAGFAGVGVYRMSKESIGCSLADAASSPSYMNYLEAASGSPHSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILQAFTQIPDLNVWYGPDSYMGANIAKLFQQMTMMTDEEIAEVHQAHNRDTIKALLPRLHYYQDGTCIVHHLFGYEVVDKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQKVQEALDRNVNDHLQFVLGTESGMITSIVAAVRHLLGSGKSSGEAKITVEIVFPVSSDSITRTSPNSSPGLKSANAGDFMLPVVPGVASGEGCSIHGGCASCPYMKMNSLNSLVKVCQHLPDEKSAIVAYEAERFKVQTPSGKSIAEVGCEPILHMRHFQTRKELPEKLVNDVLHLNSSRRPT >Manes.06G111600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24330591:24335278:-1 gene:Manes.06G111600.v8.1 transcript:Manes.06G111600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSATIPMNASSFYYSLFSVSPNNTPHRRLFSHKTQFPSFSFTSLNCVRPDSNSNSSNNPNVSRFSCSAVAFSHSPTTDLVAAKLRHLINEFQSLPESVDRVKRVLHYASLLPPFPDSSRVDSNRVMGCTARVWLDAQLDHYGKMRFLADSDSEITRGFCACLLSLLDGAAPEEVLSLKTEDLAVLNVGLPGGERSRANSWHNVLVSMQKRTKKLIAEREGKKPFDPFPSLIIASEVIQAKGSYAEAQARYLLPDESKVQELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVGVYRMSKESIGCSLADAASSPSYMNYLEAASGSPHSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILQAFTQIPDLNVWYGPDSYMGANIAKLFQQMTMMTDEEIAEVHQAHNRDTIKALLPRLHYYQDGTCIVHHLFGYEVVDKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQKVQEALDRNVNDHLQFVLGTESGMITSIVAAVRHLLGSGKSSGEAKITVEIVFPVSSDSITRTSPNSSPGLKSANAGDFMLPVVPGVASGEGCSIHGGCASCPYMKMNSLNSLVKVCQHLPDEKSAIVAYEAERFKVQTPSGKSIAEVGCEPILHMRHFQTRKELPEKLVNDVLHLNSSRRPT >Manes.06G111600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24329468:24335293:-1 gene:Manes.06G111600.v8.1 transcript:Manes.06G111600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSATIPMNASSFYYSLFSVSPNNTPHRRLFSHKTQFPSFSFTSLNCVRPDSNSNSSNNPNVSRFSCSAVAFSHSPTTDLVAAKLRHLINEFQSLPESVDRVKRVLHYASLLPPFPDSSRVDSNRVMGCTARVWLDAQLDHYGKMRFLADSDSEITRGFCACLLSLLDGAAPEEVLSLKTEDLAVLNVGLPGGERSRANSWHNVLVSMQKRTKKLIAEREGKKPFDPFPSLIIASEVIQAKGSYAEAQARYLLPDESKVQELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVRAILDQAGFAGVGVYRMSKESIGCSLADAASSPSYMNYLEAASGSPHSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILQAFTQIPDLNVWYGPDSYMGANIAKLFQQMTMMTDEEIAEVHQAHNRDTIKALLPRLHYYQDGTCIVHHLFGYEVVDKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQKVQEALDRNVNDHLQFVLGTESGMITSIVAAVRHLLGSGKSSGEAKITVEIVFPVSSDSITRTSPNSSPGLKSANAGDFMLPVVPGVASGEGCSIHGGCASCPYMKMNSLNSLVKVCQHLPDEKSAIVAYEAERFKVQTPSGKSIAEVGCEPILHMRHFQTRKELPEKLVNDVLHLNSSRRPT >Manes.06G111600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24328350:24335278:-1 gene:Manes.06G111600.v8.1 transcript:Manes.06G111600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSATIPMNASSFYYSLFSVSPNNTPHRRLFSHKTQFPSFSFTSLNCVRPDSNSNSSNNPNVSRFSCSAVAFSHSPTTDLVAAKLRHLINEFQSLPESVDRVKRVLHYASLLPPFPDSSRVDSNRVMGCTARVWLDAQLDHYGKMRFLADSDSEITRGFCACLLSLLDGAAPEEVLSLKTEDLAVLNVGLPGGERSRANSWHNVLVSMQKRTKKLIAEREGKKPFDPFPSLIIASEVIQAKGSYAEAQARYLLPDESKVQELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVGVYRMSKESIGCSLADAASSPSYMNYLEAASGSPHSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILQAFTQIPDLNVWYGPDSYMGANIAKLFQQMTMMTDEEIAEVHQAHNRDTIKALLPRLHYYQDGTCIVHHLFGYEVVDKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQKVQEALDRNVNDHLQFVLGTESGMITSIVAAVRHLLGSGKSSGEAKITVEIVFPVSSDSITRTSPNSSPGLKSANAGDFMLPVVPGVASGEGCSIHGGCASCPYMKMNSLNSLVKVCQHLPDEKSAIVAYEAERFKVQTPSGKSIAEVGCEPILHMRHFQTRKELPEKLVNDVLHLNSSRRPT >Manes.06G111600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24330392:24335277:-1 gene:Manes.06G111600.v8.1 transcript:Manes.06G111600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSATIPMNASSFYYSLFSVSPNNTPHRRLFSHKTQFPSFSFTSLNCVRPDSNSNSSNNPNVSRFSCSAVAFSHSPTTDLVAAKLRHLINEFQSLPESVDRVKRVLHYASLLPPFPDSSRVDSNRVMGCTARVWLDAQLDHYGKMRFLADSDSEITRGFCACLLSLLDGAAPEEVLSLKTEDLAVLNVGLPGGERSRANSWHNVLVSMQKRTKKLIAEREGKKPFDPFPSLIIASEVIQAKGSYAEAQARYLLPDESKVQELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVRAILDQAGFAGVGVYRMSKESIGCSLADAASSPSYMNYLEAASGSPHSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILQAFTQIPDLNVWYGPDSYMGANIAKLFQQMTMMTDEEIAEVHQAHNRDTIKALLPRLHYYQDGTCIVHHLFGYEVVDKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQKVQEALDRNVNDHLQFVLGTESGMITSIVAAVRHLLGSGKSSGEAKITVEIVFPVSSDSITRTSPNSSPGLKSANAGDFMLPVVPGVASGEGCSIHGGCASCPYMKMNSLNSLVKVCQHLPDEKSAIVAYEAERFKVQTPSGKSIAEVGCEPILHMRHFQTRKELPEKLVNDVLHLNSSRRPT >Manes.06G111600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24328350:24335277:-1 gene:Manes.06G111600.v8.1 transcript:Manes.06G111600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSATIPMNASSFYYSLFSVSPNNTPHRRLFSHKTQFPSFSFTSLNCVRPDSNSNSSNNPNVSRFSCSAVAFSHSPTTDLVAAKLRHLINEFQSLPESVDRVKRVLHYASLLPPFPDSSRVDSNRVMGCTARVWLDAQLDHYGKMRFLADSDSEITRGFCACLLSLLDGAAPEEVLSLKTEDLAVLNVGLPGGERSRANSWHNVLVSMQKRTKKLIAEREGKKPFDPFPSLIIASEVIQAKGSYAEAQARYLLPDESKVQELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVRAILDQAGFAGVGVYRMSKESIGCSLADAASSPSYMNYLEAASGSPHSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILQAFTQIPDLNVWYGPDSYMGANIAKLFQQMTMMTDEEIAEVHQAHNRDTIKALLPRLHYYQDGTCIVHHLFGYEVVDKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQKVQEALDRNVNDHLQFVLGTESGMITSIVAAVRHLLGSGKSSGEAKITVEIVFPVSSDSITRTSPNSSPGLKSANAGDFMLPVVPGVASGEGCSIHGGCASCPYMKMNSLNSLVKVCQHLPDEKSAIVAYEAERFKVQTPSGKSIAEVGCEPILHMRHFQTRKELPEKLVNDVLHLNSSRRPT >Manes.06G111600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24329468:24335277:-1 gene:Manes.06G111600.v8.1 transcript:Manes.06G111600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSATIPMNASSFYYSLFSVSPNNTPHRRLFSHKTQFPSFSFTSLNCVRPDSNSNSSNNPNVSRFSCSAVAFSHSPTTDLVAAKLRHLINEFQSLPESVDRVKRVLHYASLLPPFPDSSRVDSNRVMGCTARVWLDAQLDHYGKMRFLADSDSEITRGFCACLLSLLDGAAPEEVLSLKTEDLAVLNVGLPGGERSRANSWHNVLVSMQKRTKKLIAEREGKKPFDPFPSLIIASEVIQAKGSYAEAQARYLLPDESKVQELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHISDSLVMADSAVKMAKAGCKFITVLGVDFMSENVGVYRMSKESIGCSLADAASSPSYMNYLEAASGSPHSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILQAFTQIPDLNVWYGPDSYMGANIAKLFQQMTMMTDEEIAEVHQAHNRDTIKALLPRLHYYQDGTCIVHHLFGYEVVDKIKEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQKVQEALDRNVNDHLQFVLGTESGMITSIVAAVRHLLGSGKSSGEAKITVEIVFPVSSDSITRTSPNSSPGLKSANAGDFMLPVVPGVASGEGCSIHGGCASCPYMKMNSLNSLVKVCQHLPDEKSAIVAYEAERFKVQTPSGKSIAEVGCEPILHMRHFQTRKELPEKLVNDVLHLNSSRRPT >Manes.14G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1537402:1544661:-1 gene:Manes.14G003900.v8.1 transcript:Manes.14G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQLNSTDSCGNGDASFSCSQTTNGFNDSLSLFHSEKAVQELLQQTPIQGTDDHLIEFSEALRTVAKALRRAAEGKATAQTEAAEWKRRYELERQRNQHLRRKEHSHEECNDDFDEKRTVNSTNQPLPSNTNKQSENCLTNGICSHEILQDGETNLDSEMFQNRMMRKASFKLSWCCKGEISDQHKHDVVSFERGNITTAERSSKQISLKWESNPQTVLIMTKPNSTSVRILCADMVRWLKEHKRLNIYVEPRVRGELLTESSYFNFVQTWEDDKEISLLHNKVDLVITLGGDGTVLWAASMFKGAVPPVVPFSLGSLGFMTPFYLDSEHYRDSLDSILRGPISITLRHRLQCHVIRDAATNEVETEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSSAWVSFDGKDRKKLTPGDALVCSMAPWPVPTACQVDSTNDFLHSIHEGLHWNLRKTQSFDGPRDQ >Manes.06G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11431012:11444434:1 gene:Manes.06G038100.v8.1 transcript:Manes.06G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSASFHAPFVSIPPSRPSSSSSLSSKRSTTFSMSVSARIAVVGDVHDDWNLEEDTKALQLLQPDLVLFTGDFGNENVDLVQSVADLQFPKAVILGNHDSWCTQQFSQKRKDGVQLQLECLGEEHVGYRHLDFPSLKLSVVGGRPFSCGGDRLFRKKLLSARYGIQDMDGSAVRIYNTALRTPENHLIIFLAHNGPTGLGSNLNDICGKDWVFGGGDHGDPDLAQAIAHLKETTRLNIPLVVFGHMHKELAHGNGLRKMIVISNDKTIYLNGAIVPRVKRINDDERSPSSSFMNSETSQLTSESRGTKRAFTVVEILEGRVDKIAETWVSVNGDVTLVEEEHVLFEGSN >Manes.10G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1145564:1157630:-1 gene:Manes.10G010500.v8.1 transcript:Manes.10G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMKEVLTLPSIGINPQFITFTNVTMESDKYICVRETAPQNSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKIKSHQMPEQVVFWKWITAKMLGLVTQTSVYHWSIEGESEPVKMFDRTANLVNNQIINYRCDPSEKWLVLIGIAPGSPERQQLVKGNMQLYSVDQQRSQALEAHAAAFAQFKVPGNENPSILISFATKSFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLDTASAVYRNRISPDPIFLTAEASSVGGFYAINRRGQVLLATVNEATIVPFVSSQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYAELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYCEQLGIDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVADLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLRVGLVSDAIESFIRADDATQFLDVIHAAEDANVYHDLVRYLLMVRQKTKEPKVDSELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLFDGALYEAAKIIFAFISNWAKLACTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGYFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVSVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMIAVQSNNVSAVNEALNQIYVEEEDYERLRESIDMHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNHYKDAMETASQSGERELAEELLVYFIEQGKKECFASCLFVCYDLIRADVALELAWIHNMVDFAFPYLLQFIREYVGKVDELVKDKLEAQKEVKAKEQEEKDVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFSAPPPMAGMGMPPMPPFGMPPMGSY >Manes.03G046900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4220688:4223722:-1 gene:Manes.03G046900.v8.1 transcript:Manes.03G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIARNTASRLPLLLFQHRGAALSLHTTLPSHSPESAPPTPYARPLPPSTSSPAGLSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFHHWWTK >Manes.07G074400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22122850:22123773:-1 gene:Manes.07G074400.v8.1 transcript:Manes.07G074400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSFGLLLLLFIVLASQEMVLPIEARVCESKSHHFRGVCTIAHNCAIVCRNEGFSGGKCKGFRRRCFCTRLC >Manes.08G007700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1051122:1054999:1 gene:Manes.08G007700.v8.1 transcript:Manes.08G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLGSKSEAFHREGRTWTCTTGLQSDVTIEIGEMSFNLHKFPLLSKSGLLEKRIEEHSCDNGSIRVLELDGIPGGAKAFELISKFCYGVKLDLTAANVVCIRCAAEYLRMTEDYGEGNLIAQTEAFLNEVFTNWKDSLKALESCEEVLPYAEELHIVSRCIDSLATKACADPKVFNLPLSGQTTSQNEGSVIVWNGISVAAKPEAMGNDWWFQDVSFLNFPLYRRLILAIESRGMKPETVAASLIHYAKKYIPLMNKQSNFNNITCVNPGTTVSFPPEADQRIVLEEIVTLLPNKRGVTPTRFLLRLLRTAMVLHASPSCQENLEKRVGAQLDQAVLVDLLIPNVGYSAETLYDVDCVQRMLDHFVSLHQETALSTSPCIVEEGHFLEETDALTPITMVASLVDEFLAEIAPDVNLKLQKFELLAATIPDYARPLDDGVYHAIDVYLKAHPWLTDIEREQLCRLMNCQKLSIEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSENLESSQNPTGNIELPKKNSSCPMDSAQDHAVEVDVRERVSELEKECSNLKEEIQNLVKTKKKWKIFSKKFSFRQKLQPCNSKESCELKEPESSKNEQPNHDNSDKFSNSN >Manes.08G007700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1051122:1054999:1 gene:Manes.08G007700.v8.1 transcript:Manes.08G007700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDYGEGNLIAQTEAFLNEVFTNWKDSLKALESCEEVLPYAEELHIVSRCIDSLATKACADPKVFNLPLSGQTTSQNEGSVIVWNGISVAAKPEAMGNDWWFQDVSFLNFPLYRRLILAIESRGMKPETVAASLIHYAKKYIPLMNKQSNFNNITCVNPGTTVSFPPEADQRIVLEEIVTLLPNKRGVTPTRFLLRLLRTAMVLHASPSCQENLEKRVGAQLDQAVLVDLLIPNVGYSAETLYDVDCVQRMLDHFVSLHQETALSTSPCIVEEGHFLEETDALTPITMVASLVDEFLAEIAPDVNLKLQKFELLAATIPDYARPLDDGVYHAIDVYLKAHPWLTDIEREQLCRLMNCQKLSIEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSENLESSQNPTGNIELPKKNSSCPMDSAQDHAVEVDVRERVSELEKECSNLKEEIQNLVKTKKKWKIFSKKFSFRQKLQPCNSKESCELKEPESSKNEQPNHDNSDKFSNSN >Manes.16G055032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15959660:16000663:1 gene:Manes.16G055032.v8.1 transcript:Manes.16G055032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTQREALRYSADGGITSAAASTASHLAPWTPPPGGDISTAVEPLIAGIKRSTEAWYSANSLAKRSRFESASNLPIYPQRPGEKDCAYYMLTRTCKFGDSCKFDHPIWVPEGGIPNWTEVPPVATVESLPQRLGQPDCPYFLKTHRCKYGLKCKFNHPTEKLALDGLENNDVSALPERPSEPTCAFYMKTGICKFGATCKFHHPKDIQILSGQENGNSVQTESAVQIDEITGHVNAAQASISFNPALFHNSKGLPMRLGELDCPFYLKTGSCKYGAICRYNHPDRNAINPPAAAVGHPIVATLAANLNIGVVPAASIYQFMDPRLAHSTLGVGSTVYPQRPGQTECDYYMKTGECKFGERCKFHHPIDRSAWMAMQAQAQTVKLTLAGLPRREGAIHCPYYMTTGTCKYGAVCKFDHPAPGEVMDIAASQGTSSYAGIYVNGAEA >Manes.07G142000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34511022:34517512:-1 gene:Manes.07G142000.v8.1 transcript:Manes.07G142000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSAQIRLVRCPRCMKILPESLDILVYECGGCGTRLQAKDRKENAKSRTSGLPEIDASEKDKLDHVADTKEDCSLSYETSLHSGAECSSNQNNGRDQNESSNGNGDKLSGFYLPSENENNVSDQSESGEFDSEQLEDENSPNDDKKSGTDKNDPGICDNQQDGGVNLSDEDHNNGSHQNESLTCKIEQHEISNEDFSSNELCHLENGKLSQSPLSRTNSEVDVSDESLLSAAKQKVKAKAEAEAEADAHSESNSTLRRSTKGVPIDTKGSNSIATAQHPAKKNISPDIFSSSPYELLEHPQDSPNHRFDYVMSRDAFENSDFIDPSSELSGSLIDLSKSPTTRSSRAYYDDCASSYEGTDDQLPHRHKHSSKHACRIANCVASDARPRREGFPINTSNNDAQHHFRTSASILPERMHYAIKSNKLDRDELLEPIRHGHPCRNWRRLEKDDYLSQRPFHRRNSLASYESGNPSNHNKFHSSFPTQDKPLYTEQEKMKLLRMFYELQDQLNKASLNDKTIGMAGKDDDIRMNHGHEVFQKESFHNLIYPRFCGRLGEESNWPQQKKYSRVPFSAEVTTSRHQVDHSFCCCPQEWKCTTQFPQPGLHQYKGFPRVHSHLGLYNSYGSCPSSPQRHVDPEFPTYSHGTKSDDQRRRNQELKRSLREKHHLAKRHLRPIAGGAPFITCYCCLKQLQLPADFLLFKRRCHQLKCGACSKVLNFSLQDRIHLLPYTPRTETPPPSEVDEYSYSILRRNFTSTSHISGPYTDSVSCSDDCRLSSYKSCSTVRDPVPPKPFHAIQKNEVQGNMPHVSPEHGEETRKFALNEARNKGKNLVQTDESAGSSSRTSILKKVSSEIEELPAAGRRGGGSPLHRLMGYSSASEVIYG >Manes.07G142000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34511022:34517512:-1 gene:Manes.07G142000.v8.1 transcript:Manes.07G142000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSAQIRLVRCPRCMKILPESLDILVYECGGCGTRLQAKDRKENAKSRTSGLPEIDASEKDKLDHVADTKEDCSLSYETSLHSGAECSSNQNNGRDQNESSNGNGDKLSGFYLPSENENNVSDQSESGEFDSEQLEDENSPNDDKKSGTDKNDPGICDNQQDGGVNLSDEDHNNGSHQNESLTCKIEQHEISNEDFSSNELCHLENGKLSQSPLSRTNSEVDVSDESLLSAAKQKVKAKAEAEAEADAHSESNSTLRRSTKGVPIDTKGSNSIATAQHPAKKNISPDIFSSSPYELLEHPQDSPNHRFDYVMSRDAFENSDFIDPSSELSGSLIDLSKSPTTRSSRAYYDDCASSYEGTDDQLPHRHKHSSKHACRIANCVASDARPRREGFPINTSNNDAQHHFRTSASILPERMHYAIKSNKLDRDELLEPIRHGHPCRNWRRLEKDDYLSQRPFHRRNSLASYESGNPSNHNKFHSSFPTQDKPLYTEQEKMKLLRMFYELQDQLNKASLNDKTIGMAGKDDDIRMNHGHEVFQKESFHNLIYPRFCGRLGEESNWPQQKKYSRVPFSAEVTTSRHQVDHSFCCCPQEWKCTTQFPQPGLHQYKGFPRVHSHLGLYNSYGSCPSSPQRHVDPEFPTYSHGTKSDDQRRRNQELKRSLREKHHLAKRHLRPIAGGAPFITCYCCLKQLQLPADFLLFKRRCHQLKCGACSKVLNFSLQDRIHLLPYTPRTETPPPSEVDEYSYSILRRNFTSTSHISGPYTDSVSCSDDCRLSSYKSCSTVRDPVPPKPFHAIQKNEVQGNMPHVSPEHGEETRKFALNEARNKGKNLVQTDESAGSSSRTSILKKVSSEIEELPAAGRRGGGSPLHRLMGYSSASEVIYG >Manes.07G142000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34511022:34517512:-1 gene:Manes.07G142000.v8.1 transcript:Manes.07G142000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSAQIRLVRCPRCMKILPESLDILVYECGGCGTRLQAKDRKENAKSRTSGLPEIDASEKDKLDHVADTKEDCSLSYETSLHSGAECSSNQNNGRDQNESSNGNGDKLSGFYLPSENENNVSDQSESGEFDSEQLEDENSPNDDKKSGTDKNDPGICDNQQDGGVNLSDEDHNNGSHQNESLTCKIEQHEISNEDFSSNELCHLENGKLSQSPLSRTNSEVDVSDESLLSAAKQKVKAKAEAEAEADAHSESNSTLRRSTKGVPIDTKGSNSIATAQHPAKKNISPDIFSSSPYELLEHPQDSPNHRFDYVMSRDAFENSDFIDPSSELSGSLIDLSKSPTTRSSRAYYDDCASSYEGTDDQLPHRHKHSSKHACRIANCVASDARPRREGFPINTSNNDAQHHFRTSASILPERMHYAIKSNKLDRDELLEPIRHGHPCRNWRRLEKDDYLSQRPFHRRNSLASYESGNPSNHNKFHSSFPTQDKPLYTEQEKMKLLRMFYELQDQLNKASLNDKTIGMAGKDDDIRMNHGHEVFQKESFHNLIYPRFCGRLGEESNWPQQKKYSRVPFSAEVTTSRHQVDHSFCCCPQEWKCTTQFPQPGLHQYKGFPRVHSHLGLYNSYGSCPSSPQRHVDPEFPTYSHGTKSDDQRRRNQELKRSLREKHHLAKRHLRPIAGGAPFITCYCCLKQLQLPADFLLFKRRCHQLKCGACSKVLNFSLQDRIHLLPYTPRTETPPPSEVDEYSYSILRRNFTSTSHISGPYTDSVSCSDDCRLSSYKSCSTVRDPVPPKPFHAIQKNEVQGNMPHVSPEHGEETRKFALNEARNKGKNLVQTDESAGSSSRTSILKKVSSEIEELPAAGRRGGGSPLHRLMGYSSASEVIYG >Manes.07G142000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34511022:34517512:-1 gene:Manes.07G142000.v8.1 transcript:Manes.07G142000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTSAQIRLVRCPRCMKILPESLDILVYECGGCGTRLQAKDRKENAKSRTSGLPEIDASEKDKLDHVADTKEDCSLSYETSLHSGAECSSNQNNGRDQNESSNGNGDKLSGFYLPSENENNVSDQSESGEFDSEQLEDENSPNDDKKSGTDKNDPGICDNQQDGGVNLSDEDHNNGSHQNESLTCKIEQHEISNEDFSSNELCHLENGKLSQSPLSRTNSEVDVSDESLLSAAKQKVKAKAEAEAEADAHSESNSTLRRSTKGVPIDTKGSNSIATAQHPAKKNISPDIFSSSPYELLEHPQDSPNHRFDYVMSRDAFENSDFIDPSSELSGSLIDLSKSPTTRSSRAYYDDCASSYEGTDDQLPHRHKHSSKHACRIANCVASDARPRREGFPINTSNNDAQHHFRTSASILPERMHYAIKSNKLDRDELLEPIRHGHPCRNWRRLEKDDYLSQRPFHRRNSLASYESGNPSNHNKFHSSFPTQDKPLYTEQEKMKLLRMFYELQDQLNKASLNDKTIGMAGKDDDIRMNHGHEVFQKESFHNLIYPRFCGRLGEESNWPQQKKYSRVPFSAEVTTSRHQVDHSFCCCPQEWKCTTQFPQPGLHQYKGFPRVHSHLGLYNSYGSCPSSPQRHVDPEFPTYSHGTKSDDQRRRNQELKRSLREKHHLAKRHLRPIAGGAPFITCYCCLKQLQLPADFLLFKRRCHQLKCGACSKVLNFSLQDRIHLLPYTPRTETPPPSEVDEYSYSILRRNFTSTSHISGPYTDSVSCSDDCRLSSYKSCSTVRDPVPPKPFHAIQKNEVQGNMPHVSPEHGEETRKFALNEARNKGKNLVQTDESAGSSSRTSILKKVSSEIEELPAAGRRGGGSPLHRLMGYSSASEVIYG >Manes.10G088500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22530698:22535609:1 gene:Manes.10G088500.v8.1 transcript:Manes.10G088500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLVNQVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSKNYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGIFEDSLAKHTEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYEVQKLNDDEASQLFCFHAFRRDISREEYMELSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGTSDPKIHDILKLSYDGLSKDDKEIFLDIACFFKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTLNAFEKMYNLKFLKFYCSILHWNRVKLTEGLNFLPDELRLLQWYEYPLESVPWSSCAENLVEIRMVHSKLKQLWNGDQHLGNLKFVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKKLYLRHCQSLCNLPSFLHLKNLEILSIFGCSKIREFPELPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLIANDSGIKELPESICNLKKLTCLSTARCENLRSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFINVGGCINLNELPECLGNLESLELLVVSHSGIKKLPSSVNQLSNLRSLHLGGCKGLMIPALTGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELLFCVPGREVPKWIKYQNNSGSRLSFPFSQPKRAEFTRFIYCAVFDPKVYHPFPCRGSLQIGFEGINESGHGQYHFCNYWKNHIRISSDASFLRSEHVFLWSFGRHSHFREKNMTLQFFSEEILSRVDSNKRRRSYSGIIKCGFHLE >Manes.10G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22530683:22535609:1 gene:Manes.10G088500.v8.1 transcript:Manes.10G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLVNQVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSKNYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGIFEDSLAKHTEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYEVQKLNDDEASQLFCFHAFRRDISREEYMELSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTLNAFEKMYNLKFLKFYCSILHWNRVKLTEGLNFLPDELRLLQWYEYPLESVPWSSCAENLVEIRMVHSKLKQLWNGDQHLGNLKFVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKKLYLRHCQSLCNLPSFLHLKNLEILSIFGCSKIREFPELPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLIANDSGIKELPESICNLKKLTCLSTARCENLRSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFINVGGCINLNELPECLGNLESLELLVVSHSGIKKLPSSVNQLSNLRSLHLGGCKGLMIPALTGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELLFCVPGREVPKWIKYQNNSGSRLSFPFSQPKRAEFTRFIYCAVFDPKVYHPFPCRGSLQIGFEGINESGHGQYHFCNYWKNHIRISSDASFLRSEHVFLWSFGRHSHFREKNMTLQFFSEEILSRVDSNKRRRSYSGIIKCGFHLE >Manes.03G123100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25044938:25056510:-1 gene:Manes.03G123100.v8.1 transcript:Manes.03G123100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSKVGRSGERGLKLHELNGLVDQTTSPLRKDVVNRSSFSMKSHENDEIDLEGGKLEKDRDKMARSNRVFKIQNQALLSGLAYCISSCSMILVNKLVLSSYDFNAGISLMLYQNLISVIIVSVLSFLGIISTEPLTWRLIKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGEMYLFSKHHESRVWAALFLMIISAISGGITDLSFHAVGYAWQIVNCFLTASYSLTLRRVMDTAKQVTRSGNLNEFSMVLLNNTLSVPLGIILIFVFNEVEYLSRTPLLRLPLFWLVITLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGILLFKVPTSLENSASIFFGLLAGVFFARAKMQQRS >Manes.03G123100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25044938:25056510:-1 gene:Manes.03G123100.v8.1 transcript:Manes.03G123100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSKVGRSGERGLKLHELNGSSFSMKSHENDEIDLEGGKLEKDRDKMARSNRVFKIQNQALLSGLAYCISSCSMILVNKLVLSSYDFNAGISLMLYQNLISVIIVSVLSFLGIISTEPLTWRLIKVWLPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGEMYLFSKHHESRVWAALFLMIISAISGGITDLSFHAVGYAWQIVNCFLTASYSLTLRRVMDTAKQVTRSGNLNEFSMVLLNNTLSVPLGIILIFVFNEVEYLSRTPLLRLPLFWLVITLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGILLFKVPTSLENSASIFFGLLAGVFFARAKMQQRS >Manes.18G143301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:33469198:33469365:-1 gene:Manes.18G143301.v8.1 transcript:Manes.18G143301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.14G174550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:29294331:29299206:-1 gene:Manes.14G174550.v8.1 transcript:Manes.14G174550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGLGVELPFGWISSCPDAYSHAHRKRIPGRLHLTSSFPGDACTSNDETLNSGKNNGAVVSGTTARGRRLLKIREEKRKREIDRLNNYPSWAKVLEDACKNDEELRAVLGDSIGNPELMRKRVEDRVRKKGRNFYKSKMGSVLAFRVGFRDFNPTDSYIWFELYGSPSDRDVDLIGSAIQSWYVMGRLGAFNSSNLQLANQSMEYNPFYDADKGFKVMPSSFHDISDIEFQDNWGRFWVDIGTSDFFAVDVLLNCLTVLSSEYLGIQQVVFGGRQIGDWEEGMTDPDYGYKYFKI >Manes.01G050733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9806301:9808655:-1 gene:Manes.01G050733.v8.1 transcript:Manes.01G050733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNARHCWTLLSPLLHCRSPLPPLPPLPIKGGFGRGQGHSGGNETVTGRACPKGLYGIFCEKNSIILEVEGMASSSL >Manes.16G071700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27324965:27331364:1 gene:Manes.16G071700.v8.1 transcript:Manes.16G071700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEAISDFAPKKLARQLDFTAVCRASANVVLPPSQASQSHIKLQPHAPQPSSQQVQPQPLLHLQLQTSPKQPQAMPQLHARPQQVPVVRRIPHPVQKHPLPTMPLSKQESPGSRPRNNAEAKDGTPKKLKQCNCKNSRCLKLYCECFAAGIHCNGCNCLNCYNNVEHEATRQEAVGAILERNPHAFRPKIASSPCGSLDTREDPREAQMIGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNSEGSEEMRSLFLGNHNGTTCMQQAANAAINGAIGSSGYGTPIASKHRKSEEILSSVATKDQSAKYEKENHVRNSAASSPSFSAPVSHSANATALGASKFIYKSPLAGILQPQDVKEMCSLLVILSEETKKALEGKKDKQPEKGNDHFFEPTSALCIQGKEDNVNGPDIHRTLPDDCVNGIKAGRERNNGSGTGGDLENGRPASPEIDLMCHEQEMVFMEAGPAAGIGGLSQNKTQISSNGHECSEVYADQEKLILTRFRDFLNRLITCGSIKG >Manes.16G071700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27324965:27330087:1 gene:Manes.16G071700.v8.1 transcript:Manes.16G071700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEAISDFAPKKLARQLDFTAVCRASANVVLPPSQASQSHIKLQPHAPQPSSQQVQPQPLLHLQLQTSPKQPQAMPQLHARPQQVPVVRRIPHPVQKHPLPTMPLSKQESPGSRPRNNAEAKDGTPKKLKQCNCKNSRCLKLYCECFAAGIHCNGCNCLNCYNNVEHEATRQEAVGAILERNPHAFRPKIASSPCGSLDTREDPREAQMIGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNSEGSEEMRSLFLGNHNGTTCMQQAANAAINGAIGSSGYGTPIASKHRKSEEILSSVATKDQSAKYEKENHVRNSAASSPSFSAPVSHSANATALGASKFIYKSPLAGILQPQDVKEMCSLLVILSEETKKALEGKKDKQPEKGNDHFFEPTSALCIQGKEDNVNGPDIHRTLPDDCVNGIKAGRERNNGSGTGGDLENGRPASPEIDLMCHEQEMVFMEAGPAAGIGGLSQNKTQISSNGHECSEVYADQEKLILTRFRDFLNRLITCGSIKG >Manes.16G071700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27324965:27331364:1 gene:Manes.16G071700.v8.1 transcript:Manes.16G071700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEAISDFAPKKLARQLDFTAVCRASANVVLPPSQASQSHIKLQPHAPQPSSQQVQPQPLLHLQLQTSPKQPQAMPQLHARPQQVPVVRRIPHPVQKHPLPTMPLSKQESPGSRPRNNAEAKDGTPKKLKQCNCKNSRCLKLYCECFAAGIHCNGCNCLNCYNNVEHEATRQEAVGAILERNPHAFRPKIASSPCGSLDTREDPREAQMIGKHNKGCHCKKSGCLKKYSNAAINGAIGSSGYGTPIASKHRKSEEILSSVATKDQSAKYEKENHVRNSAASSPSFSAPVSHSANATALGASKFIYKSPLAGILQPQDVKEMCSLLVILSEETKKALEGKKDKQPEKGNDHFFEPTSALCIQGKEDNVNGPDIHRTLPDDCVNGIKAGRERNNGSGTGGDLENGRPASPEIDLMCHEQEMVFMEAGPAAGIGGLSQNKTQISSNGHECSEVYADQEKLILTRFRDFLNRLITCGSIKETMNSPSAKTKMENQQEPADRLIIHAGTEIGNHKKAYSNGDAKSSVVATSSNVVLPLVSAMPVKNGDINPEKKAKLEENS >Manes.16G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27324965:27331364:1 gene:Manes.16G071700.v8.1 transcript:Manes.16G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEAISDFAPKKLARQLDFTAVCRASANVVLPPSQASQSHIKLQPHAPQPSSQQVQPQPLLHLQLQTSPKQPQAMPQLHARPQQVPVVRRIPHPVQKHPLPTMPLSKQESPGSRPRNNAEAKDGTPKKLKQCNCKNSRCLKLYCECFAAGIHCNGCNCLNCYNNVEHEATRQEAVGAILERNPHAFRPKIASSPCGSLDTREDPREAQMIGKHNKGCHCKKSGCLKKYCECFQANILCSDNCKCMDCKNSEGSEEMRSLFLGNHNGTTCMQQAANAAINGAIGSSGYGTPIASKHRKSEEILSSVATKDQSAKYEKENHVRNSAASSPSFSAPVSHSANATALGASKFIYKSPLAGILQPQDVKEMCSLLVILSEETKKALEGKKDKQPEKGNDHFFEPTSALCIQGKEDNVNGPDIHRTLPDDCVNGIKAGRERNNGSGTGGDLENGRPASPEIDLMCHEQEMVFMEAGPAAGIGGLSQNKTQISSNGHECSEVYADQEKLILTRFRDFLNRLITCGSIKETMNSPSAKTKMENQQEPADRLIIHAGTEIGNHKKAYSNGDAKSSVVATSSNVVLPLVSAMPVKNGDINPEKKAKLEENS >Manes.03G024200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1987318:1989552:1 gene:Manes.03G024200.v8.1 transcript:Manes.03G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHYGDPNLGNERGGSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHGEMGSSYHPSLHGSYPSNFNQEDVRLQTAYSSVPSSTSFNYTSSSAASSVSHGLHPNIMMGLGDHYERLNIRYGDTQPPTGANWNSGNSFMEAQNFSQPGSTRHLLNLQIEDTQPKKSKKHRSNSIGSSSQNSESSDNQEPDLELKLSL >Manes.16G096100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30187435:30189899:-1 gene:Manes.16G096100.v8.1 transcript:Manes.16G096100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSRNQKTFRPKKNAPSGSKGAQLQKHIDATLGSGNLREAVRLPPGEDINEWMAVNTVDFFNQVNILYGTLTEFCTATSCPTMSAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIEAQLDQETIFPQKLGVPFPSNFRDVVKTIFKRLFRVYAHIYHEHFQKIVSLKEEAHLNTCFKHFVFFTWEFRLIDKGELAPLQDLVESILQL >Manes.10G114450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27552299:27555490:1 gene:Manes.10G114450.v8.1 transcript:Manes.10G114450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYCRNLSASFSSLCLLSVIHLLCFNLPVASAIHRNNDTDRLSLLEFKATISNDPLGVMSSWNSTLHFCRWYGVTCGRRHQRVTMLDLNSLKLSGSISPHVGNLSFLRKLSLQNNSFTHEIPPQIGYLRRLQVLSLYNNSFDGQIPSSISNCSNLVFFYLDNNNFAGKIPAELGSLVKLKAIYLQSNNFIGTFPSSFGNLSSLEELPAYQNHLHGNLPETFGQLKSLRDLAIFRNGFSGTIPSSIFNLSSIIYLDLGVNNFHGKLPLDIGNSLPNLQFFSIAENQFRGTIPASMSNASNLELVDLPLNNLAGGVPSLAKLHRLSEFVISGNNLGSGKADDLRFLSTLTNATALKFLFIDANNFGGELPEYIANFSKELQFFGAELNQISGNIPVGIQALVNLEMFLVDRNKLSGNIPSGIGQLQNLKLLYLGNNKLSGYIPSSLGNLTNLLEVVLSNNYLQGTIPSSLGKCMKLLRLDFSVNNLSGPIPSQVIGLSSLSKVLDLSLNNLSGSLPKAIENLKNLEILALHGNMLSGELPSGLGSCSSLELLLMSANLFQGSIPSSFGTLRGIRELNLSHNNLSGKIPEFLKSFNSINLLDLSYNDFEGMVPVVGVFKNSSATFVGGNKNLCGGIPDFELPACKFEQSKRRLTIKLKIIIFAVCVVIGVALLFICLLLWRNSKKRKGEATSLFDGKSLLKLSYQSLLKATNGFSPDNLIGVGSFGSVYKGVLDQEGMVIAVKVLNMMRRGASRSFIAECEALRNIRHRNLVKVVTACSGVDYQGNDFKALVYEFMVNGSLDGWLHPTLGSDEMPRSVNVIQRLNIAIDVASALEYLHHHCGTPIVHCDLKPSNILLDEEMVGHVSDFGLVKFLTSRMLYYSTNHSSSLGIRGTIGYCPPEYGVGSEVSMHGDVFSFGILLLEMFTGKRPTDDLFKDNLSLHNYVKRGLPEQVKEIVDPKLFHMQLDADATSNHNHNFRNRRNNMLIECLTSILEIGIYCSMESPQERMNISDVVTQLSSVRRKLVGTRF >Manes.14G160001.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23760875:23765099:1 gene:Manes.14G160001.v8.1 transcript:Manes.14G160001.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETSEESGKEAANSEHHPVNSREDSEYVRLVISNESRVAEPEDTRILQTEANTRIKYLIWWIKALALCLLLIILLLILVKWGVPFLFEKVLLPIMQWEATAFGRPVLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGIGFVIIMVGTTIGMILPYLIGLLFRERIHQWLKRWPQKAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMRFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVQYGNYHLSTLEIIYNVISFIIAIVTTVAFTVYAKRALQELEKAETTEEVPAYNTGSYEMVKLSLERSNHVGFSSSLS >Manes.14G160001.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23761368:23765099:1 gene:Manes.14G160001.v8.1 transcript:Manes.14G160001.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETSEESGKEAANSEHHPVNSREDSEYVRLVISNESRVAEPEDTRILQTEANTRIKYLIWWIKALALCLLLIILLLILVKWGVPFLFEKVLLPIMQWEATAFGRPVLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGIGFVIIMVGTTIGMILPYLIGLLFRERIHQWLKRWPQKAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMRFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVQYGNYHLSTLEIIYNVISFIIAIVTTVAFTVYAKRALQELEKAETTEEVPAYNTGSYEMVKLSLERSNHVGFSSSLS >Manes.14G160001.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23760875:23765099:1 gene:Manes.14G160001.v8.1 transcript:Manes.14G160001.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETSEESGKEAANSEHHPVNSREDSEYVRLVISNESRVAEPEDTRILQTEANTRIKYLIWWIKALALCLLLIILLLILVKWGVPFLFEKVLLPIMQWEATAFGRPVLALVLVASLALFPVFLIPSGPSMWLAGMIFGYGIGFVIIMVGTTIGMILPYLIGLLFRERIHQWLKRWPQKAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVVTSMRFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVQYGNYHLSTLEIIYNVISFIIAIVTTVAFTVYAKRALQELEKAETTEEVPAYNTGSYEMVKLSLERSNHVGFSSSLS >Manes.13G025400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3409858:3413352:-1 gene:Manes.13G025400.v8.1 transcript:Manes.13G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTWPTRSDTLRFKSLPLSNIKALSSRSTTIPSISRLTRSSFSSDSRDFSTLPFDILTKIAASFTLPNLQAASLVCRSWSDALRPLREAMMFLRWGKRFKHGRGGVRPNLEKALDSFLKGAARGSTLAMVDAGLLFWEMGRKEKAISLYRKAAVLGDPAGQCNLGISYLQAEPPQPKEAIKWLSQASVAGHVRAQYQLALCLHQGRGVDHNLQESAKWYLKAAAGGYVRAMYNVALCYSVGEGLPQSRRQARKWMKRAADRGHSKAQFEHGLGLFSEGEMMKAVVYLELATRSGETAAAHVKNVILQQLSATSRDRVMLLADNWRALPSCH >Manes.08G174000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40806102:40817301:1 gene:Manes.08G174000.v8.1 transcript:Manes.08G174000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRAWSPELNGAVLEERPSSSSLSLANQAGISAESWQRAEAVTQGIIGQVQPTLVSEERRKAVIDYVQRLIRNSLGCEVFPFGSVPLRTYLPDGDIDLTAFGGMHIEEALANDVCSVLEREDQNRIAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGRDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSSLAGPLAVLYKFLDYFSKFDWDNYCISLNGPVRISSLPEVVVETPENGGFDLLLSNDFLKECVEMFSVPARAYETNSRTFPPKHLNIVDPLKENNNLGRSVSKGNFYRIKSAFTYGARKLGRILSQPEESISSELHKFFSNTLERHGSGRRPDVQDPAPSDGQHGFAATLSYMRPDLHEEDHTICESESSHSSSITREYRLDHEQPLHGDSIEVSERKMNFNGTINELQNSTNEPGVSENRLSGDAKDLATSRLQGLLIANDAIKSSDPSAEVIESPVGKAHHAPHLYFSSSVMGNGAMRNGNLESKHQESSGFKEKRVSSGIMPASVEDTIHAVCNDTDDKQLVTNHEVLSPVGYKNHPLLFSSVAWSSEDLYQSHSSNLAYASTTGSPEALKSLSDLTGDYESHLNSLHHGRWWYEYAFSTSIHSMSPQLLTQFQGKNSWDVIRQSVQFRRNVISQMNVNGVIPSPVFYPMNPPVLPGGAFSLEEMPKPRGTGTYFPNTNHYRDRSLTARGRNQAPVRSPRSNGRIVISQEKSLPERKSRDHELSQAQFHINQSAGKFGYSDLHHTGSPESKLCSNVNSSTHLSERMVEFGSVGHPAYCVSSTEGGRQPNPDSAPAHNFSVSQATPGMQGPKSVSAINQDRITIQSYQLKDEGDFPPLSDSSGKGLSISTIKKLER >Manes.11G090978.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:17733624:17735525:1 gene:Manes.11G090978.v8.1 transcript:Manes.11G090978.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCKPCRVPMDSRTKLSKKDESPLVDATLYRSTIESLRYLVNTRPDLAYSVGIVSRFMESPTSKHLGAVKQILRYIKGTLNYGCRYVKEERKELRLIGYCDSDLAGDIDDRKSTSRVIYFLGSNPITWFSQNQKVMALSLCQAEYVAATTGACQGVWIERFLSELRGQQDKEILLRIDNQSAIALTKNPVHHSRSKHIDVKYHFIRDCVQKGDIEVKYVKTEEQCADILTKPLHWNKFEEQRIMIGIKNVKTL >Manes.02G057600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4525621:4526256:1 gene:Manes.02G057600.v8.1 transcript:Manes.02G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGASKRGCFTLSVAIMVILLCSTGLTRTWAALLDTNRNISWNDVSIKEEEIHQKLVLNNPRYIFYITLQPQPFCNEKLYGNCLKAFATVKSRCTYYVRCRS >Manes.12G062601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6026280:6029094:-1 gene:Manes.12G062601.v8.1 transcript:Manes.12G062601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYVENHGKRRRKMKINPDQDDPPSTAMEHLPHEIIHDILSRLPISSLVQFKCVCKAWRALAQDPHLVRFYLSTTTNNDPCLILHCDFPIRNQIYFVDFAAAPDQGKDKVKRINVPFWPMMPEFDVVGSCNGLLCLADSLYNDAVYIYNPFTRNHVELPKSLKYPDQEVVFGFGFHPKTQEYKVVKIVYYRNGHSTYPRARRLVYPQSEVQILTLGSPKWRSLGKISYQLVRRPSEALVNGRLHWVSRPRRYNPARRLVSFDLADEQFREVPKPDCGGLSRCNYHLVVLRGCLAAAVYCSYGRLEIWVMKEYNVKETWVKEYSIGTYMPKGLKQNLDRPSKIWKNSLNGRVVRVLGLLQDGEVLLEYKSRVLVTYDPNHGKFKELSLQGIPKWFQAVVHAGSLSWINTPIDT >Manes.16G137450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33947172:33947618:-1 gene:Manes.16G137450.v8.1 transcript:Manes.16G137450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWTKICSISRPWQFDVDALHKEKENSYTFTWSRKKITILPFGSAKYSKVEGKNTAVVSTGVQRLSSAVEKSEGTLALLVRAIGAAEDALSLPPPVKELLKEFSRIVEESSKLLPLRDIQHQIALILESKLPNLPYYKMSPKESAIL >Manes.13G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4201348:4206176:1 gene:Manes.13G034000.v8.1 transcript:Manes.13G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLDNSQKPSLRHQRKWKSDPNYSKSWYDRGAKVYQAEKYRKGACENCGAMTHDVKSCMERPRKLGAKWTNKHIAPDEKIESFELDYDGKRDRWNGYDTSNYARVVERYEARDAARSKHLKEQQLKKLEEKNSNQIDEAGASDEDNYEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPNEKFYGGDNQYRNSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLYKNYKVIKEKLKTQTKDTIMEKYGNAANEEELPRELLLGQSERQVEYDRAGRIIKGQENVLPKSKYEEDVYINNHTSVWGSWWKDHLWGYKCCKQTIRNSYCTGAAGIKAAEAATDLMKANIARKETTEELPAPVEEKRLATWGTEVPDDLVLDEKLLSEALRKENDRKKEEKDERKRKYNVTWNDEVTPEEMEAYRMKKIHHDDPMKNFLH >Manes.07G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33296690:33299063:1 gene:Manes.07G130900.v8.1 transcript:Manes.07G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAQKQHLPNFYTAASAPPPTPSSQPNTHHVTSAADADALSRLLHRLPPILSLPTRRSSPATSPPVISLSDPNSSDLLLSASSQHGFFQLTDHDIPSQLAHSSESEARSLFELTRDKKESYFPKNWPLGFEDDEDGNGESFWLDAACSTESTELNLSSLRELTQAMEKVGMEIIDNLAREVGFENPLKEDLTRNCSLMLVHESSCEDKPAMSGGFYPYIVGLQYQIRSQKYSLLSDSGWVAVEPRMDSVMVTVGDIAQVWSNGKLKKVRGRPTASMGESKSSSCITMTLLITLPTESKVSPLLPINEENANEIEIEIAEEVEDESHGNRSKSKAEERLMFRSFSFEDYAWRVYHEPLLFKDPLHKYRIIH >Manes.07G110704.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31652349:31653226:1 gene:Manes.07G110704.v8.1 transcript:Manes.07G110704.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHRQKGQQGYFCRSRKAFVDILLYILSLPLATAISILTDEYMVGCLGDLYESIGKLGQSYMLTTQTKDSILNPQLSISAAELPLLPASSSRSSSGYSGYGNTEKGFVKGVVTYMVMDNLEVSPMSSISSVTLLNKFKIQDLSQLEERMVVLGKDEAWKLLKESLQTKNVLTRVFLGSMVN >Manes.06G047800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15097173:15098779:1 gene:Manes.06G047800.v8.1 transcript:Manes.06G047800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEYFQLLKPKSSTTLSLSLTASLLTLFLLPFHPNMVLSSPAAMLLLLLLFLAGLLNVYFSVPSKNLYSWIQSFLFKNPSAKVPPLIKSTTASCNSTAMGELKSVFATFDKNGDGFITKQELRDSLKNIGIFTTEKEVDEMVVKVDSNGDGLIDYEEFCLLCNSSSMGGGDQDMVEEGSGGGREIAEEEEGDLKEAFDVFDRDKDGLISVEELSLVLSCLGLKEGKRAEDCKEMIRKVDMDGDGMVNFDEFKRMMMMSGSTKLTPLC >Manes.13G078801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13130039:13131053:-1 gene:Manes.13G078801.v8.1 transcript:Manes.13G078801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRHLNPRGGNSSHQPRRRTGSSPAAKKPVDATSVAAPETHGVDYRIQQAKNFAVAQAQQEGCTANFRIFDSPFGNFLVPVIPTRAELAE >Manes.03G078400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21186139:21190736:-1 gene:Manes.03G078400.v8.1 transcript:Manes.03G078400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFSSTLVPVVLQNHHLEFSSNNGLRRRTGTCIPNSQNFHLGFSSNRASRPLRRRTGTCFPTSQVRNALEPKRRQQRSSEVRKNGLLPLVSALKAAAAQNVASFHFPGHNRGHAAPSSLTQLIGLRPFIHDLAGLPELGTLFSPEGPILEAQKLAAELFGSQETWFLVGGTTCGIQAAIMATCSPGEHLILPRNSHISTISAMVLSGAIPKYIIPEYNCHWDIAGGITPSQVNVAIKELENEGQKPAAVFVTSPTYHGICSNLSEISKLCHSSGIPLIVDEAHGAHLGFHSQMPHSALQQGADLVVQSTHKVLCSFIQSSMLHMSGNLVDREKICRSLQTLQSTSPSHLLLASLDAARAQLDQNPETIFNRAMKLATEAKFLIKKISGITLLELPSFPEFPAIDPLRLTVGFWHLGLSGREADGILYRKHRIVPELVGRQSITFAINLGTCKEHVRRLVSGLQELSACSLQNETTETKVKDGGHEPFRDISTSLNPRDAFFANKRKVSIGESLGKVCGELICPFPPGIPVMIPGEIIGERALDYLLDARRKGAVVTGASDPLLSSIIICDV >Manes.10G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31144194:31146028:-1 gene:Manes.10G143100.v8.1 transcript:Manes.10G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRKMDSEDQEALFHSYPCAYYVQSPSTVSHANSSELKTQNPESTFHSPSRSDSNSLLNKNPDVSRFTLSRYSSSRGSNNSFLNEKKISGDENGVNRLITVDGHGRCGAEEEDEDDEYYYGRKGGWWWRYCSFRRSNSCAWISLQISWRLLVSLGVALLVFYIATKPPPPKMSIKMAGIKEFELGEGVDGSGVTTKILTCNCSMDLVIENKSKLFGLHIQPPLLQMFFGSLPFAMSRQGSELYAETRGSTVFKLYVGTKSKPMYGAGRNMQDMLDSGNGLPILIRVSLSSHFHVVLNLINPKYHHHAQCLLLLDTTYDLKHRTQTYNSTCTMS >Manes.10G143100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31144194:31146028:-1 gene:Manes.10G143100.v8.1 transcript:Manes.10G143100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRKMDSEDQEALFHSYPCAYYVQSPSTVSHANSSELKTQNPESTFHSPSRSDSNSLLNKNPDVSRFTLSRYSSSRGSNNSFLNEKKISGDENGVNRLITVDGHGRCGAEEEDEDDEYYYGRKGGWWWRYCSFRRSNSCAWISLQISWRLLVSLGVALLVFYIATKPPPPKMSIKMAGIKEFELGEGVDGSGVTTKILTCNCSMDLVIENKSKLFGLHIQPPLLQMFFGSLPFAMSRGSELYAETRGSTVFKLYVGTKSKPMYGAGRNMQDMLDSGNGLPILIRVSLSSHFHVVLNLINPKYHHHAQCLLLLDTTYDLKHRTQTYNSTCTMS >Manes.03G074900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13013831:13021567:1 gene:Manes.03G074900.v8.1 transcript:Manes.03G074900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRIIAHIDMDCFYVQVEQRKQPHLRGLPTAVVQYNEWKGGALIAVSYEARNFGVKRSMRGDEAKQVCPQIQLVQVPVARGKADLNAYRNAGSEVVSILARKGRCERASIDEVYLDLTESAEAMLKESPPHSLEAIDEEALKSHVLGLKNENGNNVKENVREWLCRSDTDHRDKLLACGALIVAELRMQVLKETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPFSSVKELLDSLPIKKMKQLGGKLGTSLQNDLGVNTVGDLLQFSEEKLQDSYGINTGTWLWNIARGINGEEVQGRLLPKSHGSGKSFPGPRALKTIVSVQHWLNQLGEELSERLCSDLEQNRRMAHTLTLHASAYKSSDSDSHKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYLGSCGVKIQGTNHKGWGITALSISASKIVAVPSGTCSIMKYFQGYYPSHSSPKQTPDNFTQESVPLSSSENREGFMLDTEGYSELKSAEPQIERSFPCLDQQGQKSCEWRDQDSFCSLPKQAKDGFALETSHPSGGESGSAVKQSNSQLCFFRDDARITLPKLEEQEHKRNALKDKVRIRTC >Manes.03G074900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13013434:13030868:1 gene:Manes.03G074900.v8.1 transcript:Manes.03G074900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRIIAHIDMDCFYVQVEQRKQPHLRGLPTAVVQYNEWKGGALIAVSYEARNFGVKRSMRGDEAKQVCPQIQLVQVPVARGKADLNAYRNAGSEVVSILARKGRCERASIDEVYLDLTESAEAMLKESPPHSLEAIDEEALKSHVLGLKNENGNNVKENVREWLCRSDTDHRDKLLACGALIVAELRMQVLKETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPFSSVKELLDSLPIKKMKQLGGKLGTSLQNDLGVNTVGDLLQFSEEKLQDSYGINTGTWLWNIARGINGEEVQGRLLPKSHGSGKSFPGPRALKTIVSVQHWLNQLGEELSERLCSDLEQNRRMAHTLTLHASAYKSSDSDSHKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYLGSCGVKIQGTNHKGWGITALSISASKIVAVPSGTCSIMKYFQGYYPSHSSPKQTPDNFTQESVPLSSSENREGFMLDTEGYSELKSAEPQIERSFPCLDQQGQKSCEWRDQDSFCSLPKQAKDGFALETSHPSGGESGSAVKQSNSQLCFFRDDARITLPKLEEQEHKRNALKDKGTIFKFFKNSNCSSSKPKLENGGMIQDLEANQVELPKERPPAECTTNSGQNVQREAWDYKIDEIDPSVIDELPQEIQAEVRSWFRPLKRPNTVKRSSTIAHYFSPAKAP >Manes.03G074900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13013434:13030868:1 gene:Manes.03G074900.v8.1 transcript:Manes.03G074900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRIIAHIDMDCFYVQVEQRKQPHLRGLPTAVVQYNEWKGGALIAVSYEARNFGVKRSMRGDEAKQVCPQIQLVQVPVARGKADLNAYRNAGSEVVSILARKGRCERASIDEVYLDLTESAEAMLKESPPHSLEAIDEEALKSHVLGLKNENGNNVKENVREWLCRSDTDHRDKLLACGALIVAELRMQVLKETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPFSSVKELLDSLPIKKMKQLGGKLGTSLQNDLGVNTVGDLLQFSEEKLQDSYGINTGTWLWNIARGINGEEVQGRLLPKSHGSGKSFPGPRALKTIVSVQHWLNQLGEELSERLCSDLEQNRRMAHTLTLHASAYKSSDSDSHKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYLGSCGVKIQGTNHKGWGITALSISASKIVAVPSGTCSIMKYFQGYYPSHSSPKQTPDNFTQESVPLSSSENREGFMLDTEGYSELKSAEPQIERSFPCLDQQGQKSCEWRDQDSFCSLPKQAKDGFALETSHPSGGESGSAVKQSNSQLCFFRDDARITLPKLEEQEHKRNALKDKQGTIFKFFKNSNCSSSKPKLENGGMIQDLEANQVELPKERPPAECTTNSGQNVQREAWDYKIDEIDPSVIDELPQEIQAEVRSWFRPLKRPNTVKRSSTIAHYFSPAKAP >Manes.03G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13013434:13030868:1 gene:Manes.03G074900.v8.1 transcript:Manes.03G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPESSDSRIIAHIDMDCFYVQVEQRKQPHLRGLPTAVVQYNEWKGGALIAVSYEARNFGVKRSMRGDEAKQVCPQIQLVQVPVARGKADLNAYRNAGSEVVSILARKGRCERASIDEVYLDLTESAEAMLKESPPHSLEAIDEEALKSHVLGLKNENGNNVKENVREWLCRSDTDHRDKLLACGALIVAELRMQVLKETEFTCSAGIAHNKMLAKLTSGMNKPAQQTVVPFSSVKELLDSLPIKKMKQLGGKLGTSLQNDLGVNTVGDLLQFSEEKLQDSYGINTGTWLWNIARGINGEEVQGRLLPKSHGSGKSFPGPRALKTIVSVQHWLNQLGEELSERLCSDLEQNRRMAHTLTLHASAYKSSDSDSHKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYLGSCGVKIQGTNHKGWGITALSISASKIVAVPSGTCSIMKYFQGYYPSHSSPKQTPDNFTQESVPLSSSENREGFMLDTEGYSELKSAEPQIERSFPCLDQQGQKSCEWRDQDSFCSLPKQAKDGFALETSHPSGGESGSAVKQSNSQLCFFRDDARITLPKLEEQEHKRNALKDKQGTIFKFFKNSNCSSSKPKLENGGMIQELNLDLEANQVELPKERPPAECTTNSGQNVQREAWDYKIDEIDPSVIDELPQEIQAEVRSWFRPLKRPNTVKRSSTIAHYFSPAKAP >Manes.16G091750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29805261:29806475:-1 gene:Manes.16G091750.v8.1 transcript:Manes.16G091750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVNTAPLTLTRLSHTFATCNNFKIYAQRKKERVKKGKCHAVGLTQSSQPLIFSHV >Manes.16G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32494461:32496845:1 gene:Manes.16G122800.v8.1 transcript:Manes.16G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKFVPAQPPTFEFNLFGGDLENHRTIEASSRHSTPWIDPANLKFRQRIGRGPFGDVWLATYHQSTEDYDEYHEVAVKILYPVKMDHEKVLLDKFDDLFLKCRGIEGVCLLYGISIITSKLCIVMKFYEGSIDDKMALLKGGKLSLADVLRHGIQLAQGILELHAKEVLVFNLKPSNFLLDENDQVILGEVGIPYLLLGIPLPSSDVSRRLGTPNYMAPEQWQPEVRGPLSLEVDSWGFACSIGEMLTGVRPWCGRTIEEIYDSVVIKQEKPHLPDGLPPPVENVLRCCFDYDFRNRPLMIDILRVFKSSHNAIYGDGGCTGLGSGTTSNKSSGSGYSKWFLLKDHLLVGDTVRSRKPPNARKSENMDVPEAVVVSLEHDADQDGSASVRIHGIDDPLIVPISALERITFGFAAGDWVLLKEEEQKHSPIGILHSINRDGSVAVGFIGVETFWKGNSSELQISESYCVGQFVTLKANVLSPRFEWPRKRGEAWATGKIWQILPNGCLIIKFPGRLTFGEECSSFLADPAEVEAVSFRTCPGVVKKYQHLEDFHWAVRPLVIALGLFTAMKVGLFAGKKMVVSKGKKLQNSMLLMDGENVDGRCSGDSASPAWFSGTCGKNPWC >Manes.08G070000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11714528:11720080:1 gene:Manes.08G070000.v8.1 transcript:Manes.08G070000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMAYNFCSSPSSLYQPLCNSVSSSRDIKPRNSKFSCRLTTFPLQLRTSLQVTRVSLQDRIAQEATQDANVQYPDGKRGTSSSKSYVWVNPNSPRASQLKKRSYDTRYASLVKVAELLDSSNPSEEDVCNILSGLGGRMIEQDAVVIINNMSNPETALLALRYFGERLNFDKEVIIYNVTMKVFRKCKDLDKAEKLFEEMLERGVKPDNATFSTILSCARMSNLPDKAVEWFEKMPSFGLSPDDVTYSAMIDAYGRAGDIEKALSLYDRARTEKWRIDAVTFSTLIKIYGMSGNFDGCLNVYEEMKAVGAKPNMVTYNTLLDAMGRAKRPWQAKKIYKEMINNGFTPSFGTYASLLRAYGRARYGEDALIVYREMKEKGIDLTAVLYNTLLAMCADLGYVNEAVEIFEEMKSSGTNPDSWTFSSLITIFSCSAEVSKAENMLNEMLEAGFEPNIFVLTSLIQCYGKSQRTDDVVRTFNQLLELGITPDDRFCGCLLNVMTQTPKEELSKLAECIEKANSKLGFVVKLLIAEKGSEGNFKNEAAELFDSIGSDVKKAFCNCLIDLCVNLDMLERACDLLDLGLKLEIYKDLQSKSSNQWSLHLKSLSLGAALTALHVWINDLSKAVESGENLPALLGINTGHGKHKYSDKGLAGVFESHLKELNAPFHEDPDKVGWFLTTKIAAESWLESRKSKGRLSLSFSSVRIVMSVSFTRVRRPFNSP >Manes.08G070000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11714528:11716994:1 gene:Manes.08G070000.v8.1 transcript:Manes.08G070000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSNTLMEKGELPLQNPTSGSIPTAPERHSLRKDHMILGGRMIEQDAVVIINNMSNPETALLALRYFGERLNFDKEVIIYNVTMKVFRKCKDLDKAEKLFEEMLERGVKPDNATFSTILSCARMSNLPDKAVEWFEKMPSFGLSPDDVTYSAMIDAYGRAGDIEKALSLYDRARTEKWRIDAVTFSTLIKIYGMSGNFDGCLNVYEEMKAVGAKPNMVTYNTLLDAMGRAKRPWQAKKIYKEMINNGFTPSFGTYASLLRAYGRARYGEDALIVYREMKEKGIDLTAVLYNTLLAMCADLGYVNEAVEIFEEMKSSGTNPDSWTFSSLITIFSCSAEVSKAENMLNEMLEAGFEPNIFVLTSLIQCYGKSQRTDDVVRTFNQLLELGITPDDRFCGCLLNVMTQTPKEELSKLAECIEKANSKLGFVVKLLIAEKGSEGNFKNEAAELFDSIGSDVKKAFCNCLIDLCVNLDMLERACDLLDLGLKLEIYKDLQSKSSNQWSLHLKSLSLGAALTALHVWINDLSKAVESGENLPALLGINTGHGKHKYSDKGLAGVFESHLKELNAPFHEDPDKVGWFLTTKIAAESWLESRKSNISVA >Manes.08G070000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:11714528:11716994:1 gene:Manes.08G070000.v8.1 transcript:Manes.08G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREMAYNFCSSPSSLYQPLCNSVSSSRDIKPRNSKFSCRLTTFPLQLRTSLQVTRVSLQDRIAQEATQDANVQYPDGKRGTSSSKSYVWVNPNSPRASQLKKRSYDTRYASLVKVAELLDSSNPSEEDVCNILSGLGGRMIEQDAVVIINNMSNPETALLALRYFGERLNFDKEVIIYNVTMKVFRKCKDLDKAEKLFEEMLERGVKPDNATFSTILSCARMSNLPDKAVEWFEKMPSFGLSPDDVTYSAMIDAYGRAGDIEKALSLYDRARTEKWRIDAVTFSTLIKIYGMSGNFDGCLNVYEEMKAVGAKPNMVTYNTLLDAMGRAKRPWQAKKIYKEMINNGFTPSFGTYASLLRAYGRARYGEDALIVYREMKEKGIDLTAVLYNTLLAMCADLGYVNEAVEIFEEMKSSGTNPDSWTFSSLITIFSCSAEVSKAENMLNEMLEAGFEPNIFVLTSLIQCYGKSQRTDDVVRTFNQLLELGITPDDRFCGCLLNVMTQTPKEELSKLAECIEKANSKLGFVVKLLIAEKGSEGNFKNEAAELFDSIGSDVKKAFCNCLIDLCVNLDMLERACDLLDLGLKLEIYKDLQSKSSNQWSLHLKSLSLGAALTALHVWINDLSKAVESGENLPALLGINTGHGKHKYSDKGLAGVFESHLKELNAPFHEDPDKVGWFLTTKIAAESWLESRKSNISVA >Manes.15G028900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2274059:2275655:1 gene:Manes.15G028900.v8.1 transcript:Manes.15G028900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELKDKLASIHEVKDQNTLFVFKFRTRLGGGKSTGFRLIYDSLDNVKKYEPKYRLIRTKAGDAA >Manes.15G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2274059:2275114:1 gene:Manes.15G028900.v8.1 transcript:Manes.15G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELKDKLASIHEVKDQNTLFVFKFRTRLGGGKSTGFRLIYDSLDNVKKYEPKYRLIRDAGVGLTLKEDKLKEGWRSLQE >Manes.15G028900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2273729:2275724:1 gene:Manes.15G028900.v8.1 transcript:Manes.15G028900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELKDKLASIHEVKDQNTLFVFKFRTRLGGGKSTGFRLIYDSLDNVKKYEPKYRLIRDAGVGLTLKEDKLKEGWRSLQE >Manes.15G028900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2273729:2275724:1 gene:Manes.15G028900.v8.1 transcript:Manes.15G028900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELKDKLASIHEVKDQNTLFVFKFRTRLGGGKSTGFRLIYDSLDNVKKYEPKYRLIRDAGVGLTLKEDKLKEGWRSLQE >Manes.17G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25906048:25908432:-1 gene:Manes.17G060400.v8.1 transcript:Manes.17G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMCIYRRSNSGSFSSMAKLRKPNCYLFVLAVVYLSGSLCVRAGDEYTSAVGDPGMRKDGLRLAIESWNQCNEVGEEVPGMGSPRAADCFDIYKASPQAKEKNCSICNLLPYMLVHRVTEEDNKLGVGDPFPGVQTKSLYDVNLYAAEKELYLGSKCQVEDSPNPWQFWMVMLKSGNMDTYAAKCPKNGQKIGPFGPNSGFPCFGKGCMNQPFIYHDYTTLQGPNRTTLKGRFYGTWDLDADLKNGLLGNISYHSVSWEKEVGKGSWIFHHVLRTSTKYPWLMLYLRSDATRGLSGGYHYPTRGMSKIIPESPNFNVRFTLNVIKGGGPSSQFYLLDIGSCWKNNGQPCDGNVSSDVTRYCEMIINPNTSSWCHPDNLNLCPPHHTFPNGTRVHRNDTAQFPYAAYHLHCSPGNAEHLEVPYSLCDPYSNPQPQEILQILPHPVWREYGYPTKQGEGWIGDPRTWELDVGRLSQSLYFYQDPGTPPARRQWMSVDLGTEIFKAPDQVAEWTVTDFDILVPKQ >Manes.18G112400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11273889:11277787:-1 gene:Manes.18G112400.v8.1 transcript:Manes.18G112400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLPTYVMDRLKRKRVIVVLDDVNDSDQLTALVGNRRWFGQGSRIIITSRDKEVLNGQVDEIYKVEGLKNSEALQLFSLKAFKQKYPPKDYIELSKRVVSYTKGLPLALHILGSHLCSRLPAEWRSALSKLNQFPNSNIQKILKISYDELDPVAKEIFLDIACFFKGNERNWVEDILNGCGFPASWGIIRLLDKCLITIVDDVLDMHDLIQDMGHNVAWRNGRRLWNSKDIFHILSTKMGMKKVEGIFLDMSELGKIHLGHVALPQMHNLRLLKCFRPQNWSELKIISSASYSSQPSHLLHLSNKLSLLHWDEYPYKSLPSNFLMENLVELNMQGSKVEQLWNGHKYPQQLKTLNLSESVHLRSLPDLTSATELEWISLQGCESLLEIPMSIQCLQKLVHLDLQGCKKLRSLPNLVQLESLKELSLSYCSNLKMLPDIPVGIEELELEDCGLLELPSFVPFLEDIYILKLRNCKSLSSLPSSIHLKFVDALDLSGCSNLSEFPEIIGNVKVLLLSKTAIEELSSSIRSFSSLVVLNMKGCERLKNLPSCISDMKFLQMLILSGCSKLVKLPPLYGLCSLRELYVDGTALLEIPIDIKAAKCLHRLVLSAYSKLSKLRALYDFSHLTLSYNDRSALIDVHIRGWDKRTVVMYHKGLHALPVSCTLMETTGTSYVTPIAEYEDPHQSYHVFNHCNSVNLDQNARGDIIADALLRMKEIATATHVKAHLIVGLPGSEIPEWFSYQSSGSSMDVLFPPYCFNSLFLGFAFCVVLDFEDPTVLVHTEDGMTGLCFFLSLLRLSLNQTTFFFFTAKKIIFVGT >Manes.18G112400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11273947:11277787:-1 gene:Manes.18G112400.v8.1 transcript:Manes.18G112400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLPTYVMDRLKRKRVIVVLDDVNDSDQLTALVGNRRWFGQGSRIIITSRDKEVLNGQVDEIYKVEGLKNSEALQLFSLKAFKQKYPPKDYIELSKRVVSYTKGLPLALHILGSHLCSRLPAEWRSALSKLNQFPNSNIQKILKISYDELDPVAKEIFLDIACFFKGNERNWVEDILNGCGFPASWGIIRLLDKCLITIVDDVLDMHDLIQDMGHNVAWRNGRRLWNSKDIFHILSTKMGMKKVEGIFLDMSELGKIHLGHVALPQMHNLRLLKCFRPQNWSELKIISSASYSSQPSHLLHLSNKLSLLHWDEYPYKSLPSNFLMENLVELNMQGSKVEQLWNGHKYPQQLKTLNLSESVHLRSLPDLTSATELEWISLQGCESLLEIPMSIQCLQKLVHLDLQGCKKLRSLPNLVQLESLKELSLSYCSNLKMLPDIPVGIEELELEDCGLLELPSFVPFLEDIYILKLRNCKSLSSLPSSIHLKFVDALDLSGCSNLSEFPEIIGNVKVLLLSKTAIEELSSSIRSFSSLVVLNMKGCERLKNLPSCISDMKFLQMLILSGCSKLVKLPPLYGLCSLRELYVDGTALLEIPIDIKAAKCLHRLVLSAYSKLSKLRALYDFSHLTLSYNDRSALIDVHIRGWDKRTVVMYHKGLHALPVSCTLMETTGTSYVTPIAEYEDPHQSYHVFNHCNSVNLDQNARGDIIADALLRMKEIATATHVKAHLIVGLPGSEIPEWFSYQSSGSSMDVLFPPYCFNSLFLGFAFCVVLDFEDPTVLVHTEGDCFCFTCECHFKNADVKKGGMTEKCFDRWDDRFMFFLEPFEAVSESDHLFLFYCKKNYFRRNLNEWLIERCCNVMEASFEFKSEFQHSHANDKVKLKVKMCGLQLLYSRDELYKCNACVFGSKFSLQRGKTKPEFLEQEMETNANKKRSREKSFQLDCINIIADGKSDYGEEQPQPKRVK >Manes.18G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11273947:11277787:-1 gene:Manes.18G112400.v8.1 transcript:Manes.18G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLPTYVMDRLKRKRVIVVLDDVNDSDQLTALVGNRRWFGQGSRIIITSRDKEVLNGQVDEIYKVEGLKNSEALQLFSLKAFKQKYPPKDYIELSKRVVSYTKGLPLALHILGSHLCSRLPAEWRSALSKLNQFPNSNIQKILKISYDELDPVAKEIFLDIACFFKGNERNWVEDILNGCGFPASWGIIRLLDKCLITIVDDVLDMHDLIQDMGHNVAWRNGRRLWNSKDIFHILSTKMGMKKVEGIFLDMSELGKIHLGHVALPQMHNLRLLKCFRPQNWSELKIISSASYSSQPSHLLHLSNKLSLLHWDEYPYKSLPSNFLMENLVELNMQGSKVEQLWNGHKYPQQLKTLNLSESVHLRSLPDLTSATELEWISLQGCESLLEIPMSIQCLQKLVHLDLQGCKKLRSLPNLVQLESLKELSLSYCSNLKMLPDIPVGIEELELEDCGLLELPSFVPFLEDIYILKLRNCKSLSSLPSSIHLKFVDALDLSGCSNLSEFPEIIGNVKVLLLSKTAIEELSSSIRSFSSLVVLNMKGCERLKNLPSCISDMKFLQMLILSGCSKLVKLPPLYGLCSLRELYVDGTALLEIPIDIKAAKCLHRLVLSAYSKLSKLRALYDFSHLTLSYNDRSALIDVHIRGWDKRTVVMYHKGLHALPVSCTLMETTGTSYVTPIAEYEDPHQSYHVFNHCNSVNLDQNARGDIIADALLRMKEIATATHQVKAHLIVGLPGSEIPEWFSYQSSGSSMDVLFPPYCFNSLFLGFAFCVVLDFEDPTVLVHTEGDCFCFTCECHFKNADVKKGGMTEKCFDRWDDRFMFFLEPFEAVSESDHLFLFYCKKNYFRRNLNEWLIERCCNVMEASFEFKSEFQHSHANDKVKLKVKMCGLQLLYSRDELYKCNACVFGSKFSLQRGKTKPEFLEQEMETNANKKRSREKSFQLDCINIIADGKSDYGEEQPQPKRVK >Manes.18G112400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11273888:11277787:-1 gene:Manes.18G112400.v8.1 transcript:Manes.18G112400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLPTYVMDRLKRKRVIVVLDDVNDSDQLTALVGNRRWFGQGSRIIITSRDKEVLNGQVDEIYKVEGLKNSEALQLFSLKAFKQKYPPKDYIELSKRVVSYTKGLPLALHILGSHLCSRLPAEWRSALSKLNQFPNSNIQKILKISYDELDPVAKEIFLDIACFFKGNERNWVEDILNGCGFPASWGIIRLLDKCLITIVDDVLDMHDLIQDMGHNVAWRNGRRLWNSKDIFHILSTKMGMKKVEGIFLDMSELGKIHLGHVALPQMHNLRLLKCFRPQNWSELKIISSASYSSQPSHLLHLSNKLSLLHWDEYPYKSLPSNFLMENLVELNMQGSKVEQLWNGHKYPQQLKTLNLSESVHLRSLPDLTSATELEWISLQGCESLLEIPMSIQCLQKLVHLDLQGCKKLRSLPNLVQLESLKELSLSYCSNLKMLPDIPVGIEELELEDCGLLELPSFVPFLEDIYILKLRNCKSLSSLPSSIHLKFVDALDLSGCSNLSEFPEIIGNVKVLLLSKTAIEELSSSIRSFSSLVVLNMKGCERLKNLPSCISDMKFLQMLILSGCSKLVKLPPLYGLCSLRELYVDGTALLEIPIDIKAAKCLHRLVLSAYSKLSKLRALYDFSHLTLSYNDRSALIDVHIRGWDKRTVVMYHKGLHALPVSCTLMETTGTSYVTPIAEYEDPHQSYHVFNHCNSVNLDQNARGDIIADALLRMKEIATATHVKAHLIVGLPGSEIPEWFSYQSSGSSMDVLFPPYCFNSLFLGFAFCVVLDFEDPTVLVHTEGT >Manes.18G112400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11273943:11277787:-1 gene:Manes.18G112400.v8.1 transcript:Manes.18G112400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLPTYVMDRLKRKRVIVVLDDVNDSDQLTALVGNRRWFGQGSRIIITSRDKEVLNGQVDEIYKVEGLKNSEALQLFSLKAFKQKYPPKDYIELSKRVVSYTKGLPLALHILGSHLCSRLPAEWRSALSKLNQFPNSNIQKILKISYDELDPVAKEIFLDIACFFKGNERNWVEDILNGCGFPASWGIIRLLDKCLITIVDDVLDMHDLIQDMGHNVAWRNGRRLWNSKDIFHILSTKMGMKKVEGIFLDMSELGKIHLGHVALPQMHNLRLLKCFRPQNWSELKIISSASYSSQPSHLLHLSNKLSLLHWDEYPYKSLPSNFLMENLVELNMQGSKVEQLWNGHKYPQQLKTLNLSESVHLRSLPDLTSATELEWISLQGCESLLEIPMSIQCLQKLVHLDLQGCKKLRSLPNLVQLESLKELSLSYCSNLKMLPDIPVGIEELELEDCGLLELPSFVPFLEDIYILKLRNCKSLSSLPSSIHLKFVDALDLSGCSNLSEFPEIIGNVKVLLLSKTAIEELSSSIRSFSSLVVLNMKGCERLKNLPSCISDMKFLQMLILSGCSKLVKLPPLYGLCSLRELYVDGTALLEIPIDIKAAKCLHRLVLSAYSKLSKLRALYDFSHLTLSYNDRSALIDVHIRGWDKRTVVMYHKGLHALPVSCTLMETTGTSYVTPIAEYEDPHQSYHVFNHCNSVNLDQNARGDIIADALLRMKEIATATHQVKAHLIVGLPGSEIPEWFSYQSSGSSMDVLFPPYCFNSLFLGFAFCVVLDFEDPTVLVHTEDGMTGLCFFLSLLRLSLNQTTFFFFTAKKIIFVGT >Manes.18G112400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11273888:11277787:-1 gene:Manes.18G112400.v8.1 transcript:Manes.18G112400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLPTYVMDRLKRKRVIVVLDDVNDSDQLTALVGNRRWFGQGSRIIITSRDKEVLNGQVDEIYKVEGLKNSEALQLFSLKAFKQKYPPKDYIELSKRVVSYTKGLPLALHILGSHLCSRLPAEWRSALSKLNQFPNSNIQKILKISYDELDPVAKEIFLDIACFFKGNERNWVEDILNGCGFPASWGIIRLLDKCLITIVDDVLDMHDLIQDMGHNVAWRNGRRLWNSKDIFHILSTKMGMKKVEGIFLDMSELGKIHLGHVALPQMHNLRLLKCFRPQNWSELKIISSASYSSQPSHLLHLSNKLSLLHWDEYPYKSLPSNFLMENLVELNMQGSKVEQLWNGHKYPQQLKTLNLSESVHLRSLPDLTSATELEWISLQGCESLLEIPMSIQCLQKLVHLDLQGCKKLRSLPNLVQLESLKELSLSYCSNLKMLPDIPVGIEELELEDCGLLELPSFVPFLEDIYILKLRNCKSLSSLPSSIHLKFVDALDLSGCSNLSEFPEIIGNVKVLLLSKTAIEELSSSIRSFSSLVVLNMKGCERLKNLPSCISDMKFLQMLILSGCSKLVKLPPLYGLCSLRELYVDGTALLEIPIDIKAAKCLHRLVLSAYSKLSKLRALYDFSHLTLSYNDRSALIDVHIRGWDKRTVVMYHKGLHALPVSCTLMETTGTSYVTPIAEYEDPHQSYHVFNHCNSVNLDQNARGDIIADALLRMKEIATATHQVKAHLIVGLPGSEIPEWFSYQSSGSSMDVLFPPYCFNSLFLGFAFCVVLDFEDPTVLVHTEGT >Manes.14G088100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7280395:7282950:1 gene:Manes.14G088100.v8.1 transcript:Manes.14G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVSPTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINKILGPKASCISFKDSACRCFGYLVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRNGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKTTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGFGVKTGLPYIYHSKASNPFVNLKKEYKGIYWQEELIPFFQSVVLPKDCSTVQKCYIELSKQVRAKLGKVDEYFIKLADAMVTWIEAWDELNSSGTSGELINATAK >Manes.06G027600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6298180:6309534:1 gene:Manes.06G027600.v8.1 transcript:Manes.06G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIFGISLKKMSKMKVGKILLFLLCLNIVTFVVIADGPPTCPADLGDECDDSDEWKGEFFPGIPKIKYEGPSSKNPLAFKWYNAEEEILGKKMKDWMRFSVAFWHTFRGTGGDPFGSPTKYWPWEDGTNSLAMAKRRMRANFEFLDKLGVDKWCFHDRDIAPEGKTLEESNANLDEVVALAKELQGSKIRPLWGTAQLFLHPRYMHGAATSSHVGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQSLLNTNMERELDHLARFMEGAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLLGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFMTDIAEATLVMLSVIKNGGLAPGGFNFDAKLRRESTDVEDLFIAHIGGMDTLARGLQNAAKLIEDGSLAELVRKRYESFDSEIGRQIEAGKADFEMLEKKAFEWGEPKVASAKQELAEMIFQSAL >Manes.06G027600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6297895:6310462:1 gene:Manes.06G027600.v8.1 transcript:Manes.06G027600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKVGKILLFLLCLNIVTFVVIADGPPTCPADLGDECDDSDEWKGEFFPGIPKIKYEGPSSKNPLAFKWYNAEEEILGKKMKDWMRFSVAFWHTFRGTGGDPFGSPTKYWPWEDGTNSLAMAKRRMRANFEFLDKLGVDKWCFHDRDIAPEGKTLEESNANLDEVVALAKELQGSKIRPLWGTAQLFLHPRYMHGAATSSHVGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQSLLNTNMERELDHLARFMEGAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLLGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFMTDIAEATLVMLSVIKNGGLAPGGFNFDAKLRRESTDVEDLFIAHIGGMDTLARGLQNAAKLIEDGSLAELVRKRYESFDSEIGRQIEAGKADFEMLEKKAFEWGEPKVASAKQELAEMIFQSAL >Manes.06G027600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6302124:6309534:1 gene:Manes.06G027600.v8.1 transcript:Manes.06G027600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKVGKILLFLLCLNIVTFVVIADGPPTCPADLGDECDDSDEWKGEFFPGIPKIKYEGPSSKNPLAFKWYNAEEEILGKKMKDWMRFSVAFWHTFRGTGGDPFGSPTKYWPWEDGTNSLAMAKRRMRANFEFLDKLGVDKWCFHDRDIAPEGKTLEESNANLDEVVALAKELQGSKIRPLWGTAQLFLHPRYMHGAATSSHVGVYAYAAAQVKKAIEVTHYLGGENYVFWGGREGYQSLLNTNMERELDHLARFMEGAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLLGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFMTDIAEATLVMLSVIKNGGLAPGGFNFDAKLRRESTDVEDLFIAHIGGMDTLARGLQNAAKLIEDGSLAELVRKRYESFDSEIGRQIEAGKADFEMLEKKAFEWGEPKVASAKQELAEMIFQSAL >Manes.12G087800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13236162:13241525:-1 gene:Manes.12G087800.v8.1 transcript:Manes.12G087800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKNSNPFDEEDVNPFADQATKGTGSGESSFGGGFFNMIMNRGSAPSANSGLSPLPPERYDRSATIDIPLNSGKDLKSREKELEAREAELKKREQELKRREDAIARAGIVIEEKNWPSFFPIIHHDISSEIPIHLQRMQYVAFTTFLGISVCLLWNLIAVTTAWINGEGLTIWLLSIIYFISGVPGAYIMWYRPLYRAMRTDSALKFGWFFLSYMFHIGFCILATFSPPIIFEEKSLTGILPAFDLLNTNTFVGILYFIGFGCFCVESLLSIWVIQQVYMYFRGSGKAAEMKREAATRTMLAAF >Manes.02G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4839083:4841295:-1 gene:Manes.02G063200.v8.1 transcript:Manes.02G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTECIIRMLNLNQPVNATGTANEEVYKILIYDRFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPSQTNIQRIISDATRSVYDSFHLNFSSSIPRPLLEDLASGTLNSDSIQRISKVHDQYLEFVTLEDNLFSLAQKSSYVQLNDPSAGDREIEEIVEKIVSGLFCVLATLAVVPVIRCPRGGPAEMVASALDQRLRDHLLSKNNLFSEGGGFMSSFQRPILCIFDRNFELAVGIQHDFRYRPLVHDVLGLKLNRLSVQGEKGGMKSYELDSSDPFWVANGSLEFPEVAVEIETQLNKYKKDVDEVNRRTGGTDGTEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGGIDRNELLGVLKGKGSKMDKLRFAIIYLISSDSLNQSEVESVEAALRESEVDTCAFQYVKKIKSLNVSLASANSASRSHIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRIVEALMEGKPNPEIDSYLVFDPRSPKSGAGSSHLKGPFKEAMVFMIGGGNYMEYGGLQELAQRQQPVKHIIYGTTEILTGAEFVEQLTLLGQKMGLGSTAATASTH >Manes.14G048500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4226404:4228209:-1 gene:Manes.14G048500.v8.1 transcript:Manes.14G048500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTGDTFQLSVKQNEPSLVPPAEETQKGLYFLSNLDQNIAVIVRTIYCFKSDVKGNEDAVEVIKDALSKVLVHYYPIAGRLTISSEGKLIVDCTGEGAVFVEAEANCSITEIGDTTKPDPVTLGKLVYDIPGAQNILQMPPLVVQVTRFNCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLPLKVPPFLDRSILKARNPPKTEFPHHEFAEIEDVSNTSKLYEEEMLFRSFCFDPEKLEQLKRKALEDGVLAKCTTFEALSAFVWRARCQALRMLPDQQTKLLFAVDGRSRFVPPIPEGYFGNGIVLTNSLCKAGELQNNPLSFAVGLVHKAVNLVNDSYMRSAIDYFEVTRARPSLAATLLITTWSRLSFHTTDFGYGEPILSGPVALPEKEVILFLSHGEERKSINVLLGLPASAMKIFEELMQI >Manes.14G150300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17024257:17027924:1 gene:Manes.14G150300.v8.1 transcript:Manes.14G150300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRLSNKNFSSSLSIFIFSFLLCFSSTTSSGNETDLQALLEFRSKITHDPFMILSSWNVSSHFCQWQGVTCGRRHRRVTVLNLQSLKLAGYISPYIGNLSFLRELNLQNNSFSREIPQEIGYLRRLKELKLSNNSISGRIPTNLSSCSNLIDILLGGNKLERDVPAELGGLSKLRILSIFENKLTGRIPISLGNLSQLQKLSLSNNRLAGTVPESLGQLRNLAFVGFRSNKLSGNIPPSIFNLSSIREIDMGENHFHGNLPSDIGFSLPNIRWFGISYNEFTGKIPSSFSNASNLEVLLLIGNQLAGDVPSLAKLQRLRALSLTSNYLGTGKNDDLNFIYSITNATGLKELTINGNNFGGVIPEIIGNLSTSLTILLLDNNRLIGRIPPGIGNLVGLQDLEMWNNQLSGFIPHTIGKLQNLVVLALNNNTFSGRIPSSIGNLTNLIQLLLEQNNLSGSIPSTLGRCGSLIGMDLSQNNLSGSISTQILSLSSLSIYLDLSLNNLTGELSMEVGNLKGLSEFDVSGNKLSGEIPNSLGSCISLEILHLNDNNFQGSIPSSLSSLKALQVLDLSDNHLSGEIPEFLSHFSLLELLNLSYNNLTGMVPSKGIFKNASATSVEGNNMLCGGIPKFHLPKCRSVRDNKSGSVLKLKLAIAIISGILGVTLVLLFLFLFFKQKKRNEPTPDLSEKKILELSYQNLYNATDGFSSENMIGKGSFGSVYKGTLDERATLIAIKVFDLMRHGAFKSFQAECEALRNIRHRNLVKVLTVCSSVDYQGNDFKALVYEFMINGSLEEWLHLPTAENEADVVAPRNLKILQRLNILIDVAHAIDYLHHHCETQIVHCDLKPSNILLDEEFTGHVGDFGLAKFLLNPTDMDNRANQSSSIGVRGTMGYAPPEYGMGNEISTNGDVYSYGILLLEMFTGKRPTDEKFNKGFNLHGFVKEALPDNVTEILDPMLLKEIEEDGPTIDTAQRRSKTSRNRVVECLISIFHIGVNCSVESPRERTNIVDVAQKLISIRNKLVGAEIHGP >Manes.05G149133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25223188:25227233:1 gene:Manes.05G149133.v8.1 transcript:Manes.05G149133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIRLHIAPYKTLNLSDINKTKISSFSTRIRPKPIYHSNSLIHFVYDKPKMLDSSNPVESSIPSIKSSLIEPDGGALVDLVVPESERGARALEAESMPKVRLTKIDVEWVHVISEGWASPLTGFMRENEYLQSLHFNSLRMADGTVVNMSLPIVLAIDDETKERIGSSKNVGLVASDGDLIGILRSIEIYKHNKEERIARTWGTTAPGLPYVEECITSAGNWLIGGDLEVLKPIKYNDGLDHYRLSAQQLRKEFDNRQADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPKTTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVAKKMAFFDPSRSQDFLFISGTKVCTKSDSSVISISTVGSECGIIRQHHVLELMNC >Manes.05G149133.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25223188:25227138:1 gene:Manes.05G149133.v8.1 transcript:Manes.05G149133.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIRLHIAPYKTLNLSDINKTKISSFSTRIRPKPIYHSNSLIHFVYDKPKMLDSSNPVESSIPSIKSSLIEPDGGALVDLVVPESERGARALEAESMPKVRLTKIDVEWVHVISEGWASPLTGFMRENEYLQSLHFNSLRMADGTVVNMSLPIVLAIDDETKERIGSSKNVGLVASDGDLIGILRSIEIYKHNKEERIARTWGTTAPGLPYVEECITSAGNWLIGGDLEVLKPIKYNDGLDHYRLSAQQLRKEFDNRQADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPKTTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVAKKMAFFDPSRSQDFLFISGTKMRTYARTGENPPDGFMCPGGWKVLVKYYESLQVEEAPKKPTVLST >Manes.06G167400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29306323:29308447:-1 gene:Manes.06G167400.v8.1 transcript:Manes.06G167400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDQVSIDIGKLARSLRNEMEILQSLSEECCIYRVPMRLRQLNEIAYTPRVVSIGPLHHGNQEFKAMEDHKLRYLHDFLEWSKVNIEDCIKLVQKSEIRLRNCYAEILKFSSEDFVKMILLDATFIIVLLVKAFSTDSWSINDRIFNKPWLIVDIKRDMSLLENQLPFFILEELFKQSNMEDRLGFSIGELTYNLFKARYDPWLADDSWKRHDLSKVEHIMDFLRICQLPSKLKDRKKELKKLNVPSLGELHQAGVKFKSSSSKNLLDITFNNGILEIPPLTIGDHTEILLRNLSAFEQCHFKAGDYFVNDYICMMATIVRAPEDVEILVQSGIIENYLRNNESVLTLFHKLDQECIISTSCFYFSTVAEKLKEYSRDSWHKWKANLRQNYFNTPWAGISVFAAILLLMLTFIQSLCSILQVV >Manes.06G167400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29305648:29308447:-1 gene:Manes.06G167400.v8.1 transcript:Manes.06G167400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDQVSIDIGKLARSLRNEMEILQSLSEECCIYRVPMRLRQLNEIAYTPRVVSIGPLHHGNQEFKAMEDHKLRYLHDFLEWSKVNIEDCIKLVQKSEIRLRNCYAEILKFSSEDFVKMILLDATFIIVLLVKAFSTDSWSINDRIFNKPWLIVDIKRDMSLLENQLPFFILEELFKQSNMEDRLGFSIGELTYNLFKARYDPWLADDSWKRHDLSKVEHIMDFLRICQLPSKLKDRKKELKKLNVPSLGELHQAGVKFKSSSSKNLLDITFNNGILEIPPLTIGDHTEILLRNLSAFEQCHFKAGDYFVNDYICMMATIVRAPEDVEILVQSGIIENYLRNNESVLTLFHKLDQECIISTSCFYFSTVAEKLKEYSRDSWHKWKANLRQNYFNTPWAGISVFAAILLLMLTFIQSLCSILQVV >Manes.06G167400.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29306304:29308447:-1 gene:Manes.06G167400.v8.1 transcript:Manes.06G167400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDQVSIDIGKLARSLRNEMEILQSLSEECCIYRVPMRLRQLNEIAYTPRVVSIGPLHHGNQEFKAMEDHKLRYLHDFLEWSKVNIEDCIKLVQKSEIRLRNCYAEILKFSSEDFVKMILLDATFIIVLLVKAFSTDSWSINDRIFNKPWLIVDIKRDMSLLENQLPFFILEELFKQSNMEDRLGFSIGELTYNLFKARYDPWLADDSWKRHDLSKVEHIMDFLRICQLPSKLKDRKKELKKLNVPSLGELHQAGVKFKSSSSKNLLDITFNNGILEIPPLTIGDHTEILLRNLSAFEQCHFKAGDYFVNDYICMMATIVRAPEDVEILVQSGIIENYLRNNESVLTLFHKLDQECIISTSCFYFSTVAEKLKEYSRDSWHKWKANLRQNYFNTPWAGISVFAAILLLMLTFIQSLCSILQVV >Manes.06G167400.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29306323:29308447:-1 gene:Manes.06G167400.v8.1 transcript:Manes.06G167400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDQVSIDIGKLARSLRNEMEILQSLSEECCIYRVPMRLRQLNEIAYTPRVVSIGPLHHGNQEFKAMEDHKLRYLHDFLEWSKVNIEDCIKLVQKSEIRLRNCYAEILKFSSEDFVKMILLDATFIIVLLVKAFSTDSWSINDRIFNKPWLIVDIKRDMSLLENQLPFFILEELFKQSNMEDRLGFSIGELTYNLFKARYDPWLADDSWKRHDLSKVEHIMDFLRICQLPSKLKDRKKELKKLNVPSLGELHQAGVKFKSSSSKNLLDITFNNGILEIPPLTIGDHTEILLRNLSAFEQCHFKAGDYFVNDYICMMATIVRAPEDVEILVQSGIIENYLRNNESVLTLFHKLDQECIISTSCFYFSTVAEKLKEYSRDSWHKWKANLRQNYFNTPWAGISVFAAILLLMLTFIQSLCSILQVV >Manes.06G167400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29306323:29308447:-1 gene:Manes.06G167400.v8.1 transcript:Manes.06G167400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDQVSIDIGKLARSLRNEMEILQSLSEECCIYRVPMRLRQLNEIAYTPRVVSIGPLHHGNQEFKAMEDHKLRYLHDFLEWSKVNIEDCIKLVQKSEIRLRNCYAEILKFSSEDFVKMILLDATFIIVLLVKAFSTDSWSINDRIFNKPWLIVDIKRDMSLLENQLPFFILEELFKQSNMEDRLGFSIGELTYNLFKARYDPWLADDSWKRHDLSKVEHIMDFLRICQLPSKLKDRKKELKKLNVPSLGELHQAGVKFKSSSSKNLLDITFNNGILEIPPLTIGDHTEILLRNLSAFEQCHFKAGDYFVNDYICMMATIVRAPEDVEILVQSGIIENYLRNNESVLTLFHKLDQECIISTSCFYFSTVAEKLKEYSRDSWHKWKANLRQNYFNTPWAGISVFAAILLLMLTFIQSLCSILQVV >Manes.06G167400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29306304:29308447:-1 gene:Manes.06G167400.v8.1 transcript:Manes.06G167400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDQVSIDIGKLARSLRNEMEILQSLSEECCIYRVPMRLRQLNEIAYTPRVVSIGPLHHGNQEFKAMEDHKLRYLHDFLEWSKVNIEDCIKLVQKSEIRLRNCYAEILKFSSEDFVKMILLDATFIIVLLVKAFSTDSWSINDRIFNKPWLIVDIKRDMSLLENQLPFFILEELFKQSNMEDRLGFSIGELTYNLFKARYDPWLADDSWKRHDLSKVEHIMDFLRICQLPSKLKDRKKELKKLNVPSLGELHQAGVKFKSSSSKNLLDITFNNGILEIPPLTIGDHTEILLRNLSAFEQCHFKAGDYFVNDYICMMATIVRAPEDVEILVQSGIIENYLRNNESVLTLFHKLDQECIISTSCFYFSTVAEKLKEYSRDSWHKWKANLRQNYFNTPWAGISVFAAILLLMLTFIQSLCSILQVV >Manes.13G077700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:21880471:21887591:-1 gene:Manes.13G077700.v8.1 transcript:Manes.13G077700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYPTIDNRPIEQWKVTELKEELKRRKLTTKGLKDDLIKRLGEALLIEEENVAEDVDTDIDLVKQPVDETKNSEAVPVVSDADKVDLNVIDAKTENMGGVKVQVDINDGAEATDKELSEGSVQVDIHGGNNSSRFEGELTVPGATLGSSTTVSESVASDVTLGGQDAQNSETQKVNGDSSPKLDNEDSKTHLDLQDSKSTLDNEDSKTQLENEGLRPPHEDVTFDSSAPNNQVSEVNPNLGFQVKSDSISTDCVSINEKIELKDNIITDNVKLEIDVVKPEMVEPSSNISVPVGGESHPMDVEEPQEKKTSVEKEDDNNATNADMCKKSDMVDIGYSEKLNLDRSSGDDSMEEDVVESKRIDSKYNSDDVGDKSEKRETHVVVKEDIVDVVGNKSIGQKEIHVENKPDPVVPVEKRKLNDQDAIGSAEPVKRQRRWKSDSLKMPESQRSSVTPTSTPKDACQPTLSRRNFSRSDSVSIDDAPKERVVPPSQRPTTNSLRIDNFVRPFTLKAVQELLGKTGKVTSFWMDQIKTHCYVSYSSVEEAVETRNAVYNLQWPPNGGRFLAAEFVDPKEVKIKVEAPQSPAARITPGATAAPPLAQPSPRQQVSRQQLPPPPPLPPPPPLSNPPLAKEQLVHPPPPPPPPPHPEKHDPPIVTLDDLFRKTRATPRIYYLPLSEEQVAAKLAEPGKNARQ >Manes.13G077700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:21880471:21886816:-1 gene:Manes.13G077700.v8.1 transcript:Manes.13G077700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYPTIDNRPIEQWKVTELKEELKRRKLTTKGLKDDLIKRLGEALLIEEENVAEDVDTDIDLVKQPVDETKNSEAVPVVSDADKVDLNVIDAKTENMGGVKVQVDINDGAEATDKELSEGSVQVDIHGGNNSSRFEGELTVPGATLGSSTTVSESVASDVTLGGQDAQNSETQKVNGDSSPKLDNEDSKTHLDLQDSKSTLDNEDSKTQLENEGLRPPHEDVTFDSSAPNNQVSEVNPNLGFQVKSDSISTDCVSINEKIELKDNIITDNVKLEIDVVKPEMVEPSSNISVPVGGESHPMDVEEPQEKKTSVEKEDDNNATNADMCKKSDMVDIGYSEKLNLDRSSGDDSMEEDVVESKRIDSKYNSDDVGDKSEKRETHVVVKEDIVDVVGNKSIGQKEIHVENKPDPVVPVEKRKLNDQDAIGSAEPVKRQRRWKSDSLKMPESQRSSVTPTSTPKDACQPTLSRRNFSRSDSVSIDDAPKERVVPPSQRPTTNSLRIDNFVRPFTLKAVQELLGKTGKVTSFWMDQIKTHCYVSYSSVEEAVETRNAVYNLQWPPNGGRFLAAEFVDPKEVKIKVEAPQSPAARITPGATAAPPLAQPSPRQQVSRQQLPPPPPLPPPPPLSNPPLAKEQLVHPPPPPPPPPHPEKHDPPIVTLDDLFRKTRATPRIYYLPLSEEQVAAKLAEPGKNARQ >Manes.13G077700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:21880471:21887591:-1 gene:Manes.13G077700.v8.1 transcript:Manes.13G077700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYPTIDNRPIEQWKVTELKEELKRRKLTTKGLKDDLIKRLGEALLIEEENVAEDVDTDIDLVKQPVDETKNSEAVPVVSDADKVDLNVIDAKTENMGGVKVQVDINDGAEATDKELSEGSVQVDIHGGNNSSRFEGELTVPGATLGSSTTVSESVASDVTLGGQDAQNSETQKVNGDSSPKLDNEDSKTHLDLQDSKSTLDNEDSKTQLENEGLRPPHEDVTFDSSAPNNQVSEVNPNLGFQVKSDSISTDCVSINEKIELKDNIITDNVKLEIDVVKPEMVEPSSNISVPVGGESHPMDVEEPQEKKTSVEKEDDNNATNADMCKKSDMVDIGYSEKLNLDRSSGDDSMEEDVVESKRIDSKYNSDDVGDKSEKRETHVVVKEDIVDVVGNKSIGQKEIHVENKPDPVVPVEKRKLNDQDAIGSAEPVKRQRRWKSDSLKMPESQRSSVTPTSTPKDACQPTLSRRNFSRSDSVSIDDAPKERVVPPSQRPTTNSLRIDNFVRPFTLKAVQELLGKTGKVTSFWMDQIKTHCYVSYSSVEEAVETRNAVYNLQWPPNGGRFLAAEFVDPKEVKIKVEAPQSPAARITPGATAAPPLAQPSPRQQVSRQQLPPPPPLPPPPPLSNPPLAKEQLVHPPPPPPPPPHPEKHDPPIVTLDDLFRKTRATPRIYYLPLSEEQVAAKLAEPGKNARQ >Manes.02G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5722671:5724548:-1 gene:Manes.02G075100.v8.1 transcript:Manes.02G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKYRMGSVQTCAYNENVTVDLYGFDDENQKKMVLEEGESSNCFDKTNNGLTIKNLAVRHSSSSLGSPSSANSNELIFHSTSHQTEEAHSLINFRSGYDHFVHANGSLLSFQQNNPQATSHQDDYTTWEGNFNYNYHRNQMNPKCNTDPRLLEEINCFQTASNFNSMANTETENHGDWLYSEGTIATDVVQESGTQDVNFHKRPNLGESMQALKKPCNGATRKPKPKSSPSKDPQSIAAKNRRERISERLKVLQELVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPDISQVKEAIDAILSSQKDRNSSSSSK >Manes.04G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30391112:30394175:1 gene:Manes.04G099600.v8.1 transcript:Manes.04G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQAAVVKVALGIVGLCLAGYILGPPLYWHFKEALAAVSHSSFTCSPCDCDCSFQPLLSIPQGLRNASFADCAKHDPEVSKDIEKNFAELLTEELKLREAEALENQRHADMALLEAKKMTSQYQKEADKCNSGMETCEEAREKAETALAAQKKMTSMWESRARQRGWKEGTARSHAQSQGNVESM >Manes.04G099600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30391112:30394175:1 gene:Manes.04G099600.v8.1 transcript:Manes.04G099600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQAAVVKVALGIVGLCLAGYILGPPLYWHFKEALAAVSHSSFTCSPCDCDCSFQPLLSIPQDCAKHDPEVSKDIEKNFAELLTEELKLREAEALENQRHADMALLEAKKMTSQYQKEADKCNSGMETCEEAREKAETALAAQKKMTSMWESRARQRGWKEGTARSHAQSQGNVESM >Manes.10G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3808177:3811041:-1 gene:Manes.10G036400.v8.1 transcript:Manes.10G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQTENIRYDEEFILNPRGLKLFTCRWIPLNQEPIALIFICHGYAMECSITMNSTANRLAKEGFAVYGIDYEGHGKSSGLQGYVDNMDNVIDDCTMHFTSICEKEENRKKMRYLLGESMGGAVAMLMHRKKPEFWDGAVLVAPMCKIADDLRPHPVVINVLTKLSSFIPTWKIIPSKDIIDLAFKVPQVRQEVRSNPYCYKGRPRLKTGYELYRTTLQMEQKLEEVSFPFLVLHGEDDKVTDKSVSKQLHREASSTDKTIKLYPGMWHGLLYGEPLENIEIVFKDIIEWLRERASLGNTRLERELKHRNDDLSKYA >Manes.15G053700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4128248:4131800:1 gene:Manes.15G053700.v8.1 transcript:Manes.15G053700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHETQSWTFSGLVGAFLDLFIVYLLLCASTLAYSASKFLGLFGLSLPCSCNGIFDDPNSDNFWQTALVDCPSETISSVQFSVKSKFPFDSSWDRNLYLTNSEDRFFGLDEEASTSSLQEKNEVFVGSAVMQARDDKEESFDIKGKGKGRLSHKIRHDLRRCATDAGRSSSVSSYNPFKWDAQTLHQSPASVSKIVNASNEGSMVPDSSDGNAMKRSFSSMNWQFDGRDSSMEFGLLGRESPDFESNEPANEKKPTEKVASPESDLKLNAKEELHFGPYEKNAIRVLEQALEAEHSARDALYLELEKERSAAATAAEEALAMILRLQEEKASIEMEARQYQRMIEAKSAYDFEEMNIVKEILLRGEREKHFLQKEVEAYRQMMFGSEHLHYDAQDTGTSRGKRASTMQYSDEDSLRMPQKIGECICEKENVYHILALGEELPIPMLNEVFPQEKEIQFQFDLSTAEGYEKTVVPVGEVQQQSDVISTSGTLASEIIQTCDETEHIIPYNSDDSKMHDQDPCNAMLNMDSHIHDVHTIDDKFNTCGEVRGTGSEEMSVKTTIDIPKSCDSPAISSSQTEQDTSKSCSGITSGLPSVDCSLRKPLASAFRRNSMSAVDYERLKIDTEVGRLWERLRVVQEGRGKLNLTMEYREKETIQLQLLEKIVSQLREIQQLRESARQVSLPPPSCQIMSKKRRWQSISLEVHKST >Manes.15G053700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4128248:4131800:1 gene:Manes.15G053700.v8.1 transcript:Manes.15G053700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHETQSWTFSGLVGAFLDLFIVYLLLCASTLAYSASKFLGLFGLSLPCSCNGIFDDPNSDNFWQTALVDCPSETISSVQFSVKSKFPFDSSWDRNLYLTNSEDRFFGLDEEASTSSLQEKNEVFVGSAVMQARDDKEESFDIKGKGKGRLSHKIRHDLRRCATDAGRSSSVSSYNPFKWDAQTLHQSPASVSKIVNASNEGSMVPDSSDDGRDSSMEFGLLGRESPDFESNEPANEKKPTEKVASPESDLKLNAKEELHFGPYEKNAIRVLEQALEAEHSARDALYLELEKERSAAATAAEEALAMILRLQEEKASIEMEARQYQRMIEAKSAYDFEEMNIVKEILLRGEREKHFLQKEVEAYRQMMFGSEHLHYDAQDTGTSRGKRASTMQYSDEDSLRMPQKIGECICEKENVYHILALGEELPIPMLNEVFPQEKEIQFQFDLSTAEGYEKTVVPVGEVQQQSDVISTSGTLASEIIQTCDETEHIIPYNSDDSKMHDQDPCNAMLNMDSHIHDVHTIDDKFNTCGEVRGTGSEEMSVKTTIDIPKSCDSPAISSSQTEQDTSKSCSGITSGLPSVDCSLRKPLASAFRRNSMSAVDYERLKIDTEVGRLWERLRVVQEGRGKLNLTMEYREKETIQLQLLEKIVSQLREIQQLRESARQVSLPPPSCQVWCLLILLL >Manes.15G053700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4128248:4131800:1 gene:Manes.15G053700.v8.1 transcript:Manes.15G053700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHETQSWTFSGLVGAFLDLFIVYLLLCASTLAYSASKFLGLFGLSLPCSCNGIFDDPNSDNFWQTALVDCPSETISSVQFSVKSKFPFDSSWDRNLYLTNSEDRFFGLDEEASTSSLQEKNEVFVGSAVMQARDDKEESFDIKGKGKGRLSHKIRHDLRRCATDAGRSSSVSSYNPFKWDAQTLHQSPASVSKIVNASNEGSMVPDSSDGNAMKRSFSSMNWQFDGRDSSMEFGLLGRESPDFESNEPANEKKPTEKVASPESDLKLNAKEELHFGPYEKNAIRVLEQALEAEHSARDALYLELEKERSAAATAAEEALAMILRLQEEKASIEMEARQYQRMIEAKSAYDFEEMNIVKEILLRGEREKHFLQKEVEAYRQMMFGSEHLHYDAQDTGTSRGKRASTMQYSDEDSLRMPQKIGECICEKENVYHILALGEELPIPMLNEVFPQEKEIQFQFDLSTAEGYEKTVVPVGEVQQQSDVISTSGTLASEIIQTCDETEHIIPYNSDDSKMHDQDPCNAMLNMDSHIHDVHTIDDKFNTCGEVRGTGSEEMSVKTTIDIPKSCDSPAISSSQTEQDTSKSCSGITSGLPSVDCSLRKPLASAFRRNSMSAVDYERLKIDTEVGRLWERLRVVQEGRGKLNLTMEYREKETIQLQLLEKIVSQLREIQQLRESARQVSLPPPSCQVWCLLILLL >Manes.15G053700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4128248:4131800:1 gene:Manes.15G053700.v8.1 transcript:Manes.15G053700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHETQSWTFSGLVGAFLDLFIVYLLLCASTLAYSASKFLGLFGLSLPCSCNGIFDDPNSDNFWQTALVDCPSETISSVQFSVKSKFPFDSSWDRNLYLTNSEDRFFGLDEEASTSSLQEKNEVFVGSAVMQARDDKEESFDIKGKGKGRLSHKIRHDLRRCATDAGRSSSVSSYNPFKWDAQTLHQSPASVSKIVNASNEGSMVPDSSDDGRDSSMEFGLLGRESPDFESNEPANEKKPTEKVASPESDLKLNAKEELHFGPYEKNAIRVLEQALEAEHSARDALYLELEKERSAAATAAEEALAMILRLQEEKASIEMEARQYQRMIEAKSAYDFEEMNIVKEILLRGEREKHFLQKEVEAYRQMMFGSEHLHYDAQDTGTSRGKRASTMQYSDEDSLRMPQKIGECICEKENVYHILALGEELPIPMLNEVFPQEKEIQFQFDLSTAEGYEKTVVPVGEVQQQSDVISTSGTLASEIIQTCDETEHIIPYNSDDSKMHDQDPCNAMLNMDSHIHDVHTIDDKFNTCGEVRGTGSEEMSVKTTIDIPKSCDSPAISSSQTEQDTSKSCSGITSGLPSVDCSLRKPLASAFRRNSMSAVDYERLKIDTEVGRLWERLRVVQEGRGKLNLTMEYREKETIQLQLLEKIVSQLREIQQLRESARQVSLPPPSCQIMSKKRRWQSISLEVHKST >Manes.15G053700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4128248:4131800:1 gene:Manes.15G053700.v8.1 transcript:Manes.15G053700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHETQSWTFSGLVGAFLDLFIVYLLLCASTLAYSASKFLGLFGLSLPCSCNGIFDDPNSDNFWQTALVDCPSETISSVQFSVKSKFPFDSSWDRNLYLTNSEDRFFGLDEEASTSSLQEKNEVFVGSAVMQARDDKEESFDIKGKGKGRLSHKIRHDLRRCATDAGRSSSVSSYNPFKWDAQTLHQSPASVSKIVNASNEGSMVPDSSDGNAMKRSFSSMNWQFDGRDSSMEFGLLGRESPDFESNEPANEKKPTEKVASPESDLKLNAKEELHFGPYEKNAIRVLEQALEAEHSARDALYLELEKERSAAATAAEEALAMILRLQEEKASIEMEARQYQRMIEAKSAYDFEEMNIVKEILLRGEREKHFLQKEVEAYRQMMFGSEHLHYDAQDTGTSRGKRASTMQYSDEDSLRMPQKIGECICEKENVYHILALGEELPIPMLNEVFPQEKEIQFQFDLSTAEGYEKTVVPVGEVQQQSDVISTSGTLASEIIQTCDETEHIIPYNSDDSKMHDQDPCNAMLNMDSHIHDVHTIDDKFNTCGEVRGTGSEEMSVKTTIDIPKSCDSPAISSSQTEQDTSKSCSGITSGLPSVDCSLRKPLASAFRRNSMSAVDYERLKIDTEVGRLWERLRVVQEGRGKLNLTMEYREKETIQLQLLEKIVSQLREIQQLRESARQVSLPPPSCQVWCLLILLL >Manes.15G053700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4128248:4131800:1 gene:Manes.15G053700.v8.1 transcript:Manes.15G053700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHETQSWTFSGLVGAFLDLFIVYLLLCASTLAYSASKFLGLFGLSLPCSCNGIFDDPNSDNFWQTALVDCPSETISSVQFSVKSKFPFDSSWDRNLYLTNSEDRFFGLDEEASTSSLQEKNEVFVGSAVMQARDDKEESFDIKGKGKGRLSHKIRHDLRRCATDAGRSSSVSSYNPFKWDAQTLHQSPASVSKIVNASNEGSMVPDSSDDGRDSSMEFGLLGRESPDFESNEPANEKKPTEKVASPESDLKLNAKEELHFGPYEKNAIRVLEQALEAEHSARDALYLELEKERSAAATAAEEALAMILRLQEEKASIEMEARQYQRMIEAKSAYDFEEMNIVKEILLRGEREKHFLQKEVEAYRQMMFGSEHLHYDAQDTGTSRGKRASTMQYSDEDSLRMPQKIGECICEKENVYHILALGEELPIPMLNEVFPQEKEIQFQFDLSTAEGYEKTVVPVGEVQQQSDVISTSGTLASEIIQTCDETEHIIPYNSDDSKMHDQDPCNAMLNMDSHIHDVHTIDDKFNTCGEVRGTGSEEMSVKTTIDIPKSCDSPAISSSQTEQDTSKSCSGITSGLPSVDCSLRKPLASAFRRNSMSAVDYERLKIDTEVGRLWERLRVVQEGRGKLNLTMEYREKETIQLQLLEKIVSQLREIQQLRESARQVSLPPPSCQVWCLLILLL >Manes.05G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6183311:6186513:-1 gene:Manes.05G075700.v8.1 transcript:Manes.05G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEDEEVGFEEGMAWLPSQIKHEAIWETKEDHHHHHHHCHQYRNLAKLPLQPQQLRSKSSPKPHGRTKYPINWASGGHGMQAIFLDTGENSCGTGVFLPRRAGTNLQSSKRPACAPVLLPARVVQALNLNAHEIGLQISRRQDAKNKSKGGDCVSMKNKNSKDASTECCVVSQNENSSPEIFLPKEWTY >Manes.01G058800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24826939:24830585:-1 gene:Manes.01G058800.v8.1 transcript:Manes.01G058800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTTTTTTTATTTKAHLKVQSQPTKPKRRNCRETTISSSAATTATAIANSNNSDSVSASGYSSHTQSRKLERPNIVSPDNSWCCPASIPISAPSPPPQPCRLTVRDSHPFLDSPSTFKIRFSPGSLSPVMDFTPNSASTITGTTTLANGNHSPHEPSSFPSSFTKFNTALTAGLLNPMSPPPDKPRSSPTLFEMMASEPEMQPRNQIPINNANVTARSSQNPQMSVQDKQTLIMQRIADILGNRSPGNQFNDASSSDVKLTLSSKDGISVSMSVHRQILVAHSRFFAMKLSDRWAKQQRSSGPYVVEIADCDDVEVYIETLRLMYCKDLRRKLMKEDVSRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEGVGAGEVLKRVSVEVTNGTEESSDNDELLLKLLRVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDGCLRLLHSHFLQAAAGDLEDIEQIARQADNLHWILDILIDRQIAEDFLKTWASQSELSDVHSKIPAVHRYEVSRVTARLFVGIGKGQLLASKEVRCLLLQTWLVPFYDDFGWMRRASKGLDRHLIEDGLSNTILTLPLAWQQDILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQERTRPLRISSATIENS >Manes.01G058800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24823716:24830585:-1 gene:Manes.01G058800.v8.1 transcript:Manes.01G058800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTTTTTTTATTTKAHLKVQSQPTKPKRRNCRETTISSSAATTATAIANSNNSDSVSASGYSSHTQSRKLERPNIVSPDNSWCCPASIPISAPSPPPQPCRLTVRDSHPFLDSPSTFKIRFSPGSLSPVMDFTPNSASTITGTTTLANGNHSPHEPSSFPSSFTKFNTALTAGLLNPMSPPPDKPRSSPTLFEMMASEPEMQPRNQIPINNANVTARSSQNPQMSVQDKQTLIMQRIADILGNRSPGNQFNDASSSDVKLTLSSKDGISVSMSVHRQILVAHSRFFAMKLSDRWAKQQRSSGPYVVEIADCDDVEVYIETLRLMYCKDLRRKLMKEDVSRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEGVGAGEVLKRVSVEVTNGTEESSDNDELLLKLLRVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDGCLRLLHSHFLQAAAGDLEDIEQIARQADNLHWILDILIDRQIAEDFLKTWASQSELSDVHSKIPAVHRYEVSRVTARLFVGIGKGQLLASKEVRCLLLQTWLVPFYDDFGWMRRASKGLDRHLIEDGLSNTILTLPLAWQQDILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQERTRPLRISSATIENS >Manes.16G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2788319:2792071:1 gene:Manes.16G026500.v8.1 transcript:Manes.16G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVKEVQSKPELDNLLSSGAPVIVHFWASWCDASKHMDQVFSHLATDFPSAHFLRVEAEELPEISEEYSVSAVPFFVFFKEGKKVDVLEGADPSSLANKIAKVAGSVNPGEPAAPASLGLAAGPSVLETVKELAKENGSSQVSNQVQPGLSDALVKQLQQLINSHSVMLFMKGTPEAPRCGFSQKVVDILKAENVKFGSFDILSNNEVREGLKKFSNWPTFPQLYCKGELLGGCDIAIAMHESGELKDVFRDNGIDTNHSEEPKQGDSEGGKGGISESTGLSATLTSRLKSLIDSNPVMLFMKGSPDEPKCGFSGKVVEILKEEKVNFESFNILSDDEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGEFRRLLVEKGVVPKETLEDRLKSLIASSPVMLFMKGNPDAPRCGFSSKVVNALREEGVSFGSFDILSDEEVRQGLKVFSNWPTFPQLYYKGELIGGCDIVMELRGNGELKSTLSE >Manes.07G062380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10074396:10077047:-1 gene:Manes.07G062380.v8.1 transcript:Manes.07G062380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGKLQVLVASSVSFQNLTELQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVVKVDKEEEENEICFSQLKCLELQHLPSLSSFCSGNLNFSFPSMEEVIIVECPNMKIFAHEVSTPHLWRVQTGPHIYEWEWEWESSLNNTIQALFMEMKAEDMGIGRFSYG >Manes.01G184100.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210592:36216264:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.01G184100.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210595:36214421:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.01G184100.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210595:36215993:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.01G184100.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210594:36216144:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.01G184100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210595:36216124:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.01G184100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210549:36216125:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.01G184100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210595:36213691:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.01G184100.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210549:36216125:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.01G184100.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36210549:36213065:1 gene:Manes.01G184100.v8.1 transcript:Manes.01G184100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPDLIFYACIAKGPTILSEFSSSKEPGIEDIAKQCIEKTPPHHSMFSHTIRKKTYTFLIHEPFAYFVIFDEDLEKSESMWFLDRVKIAFEELIASNPIKDFDKLTSLCFQGQFYPIFREILSLDVDLMDSLLEEPKDVRNPSIDSTRGKKSVVRPLLSKPTKMVMKKKKKPLGCAGAGGGGEANGDRHNLKDGGGAMMDSKVNHAYENGNIVGSREFSVSMSHKNGGYYLADNKQKVKQIWRKHVWVILILDLVICAVLFGIWLWVCRGFKCIDG >Manes.06G077401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21356609:21357418:1 gene:Manes.06G077401.v8.1 transcript:Manes.06G077401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYQQEVGSLSKIKSIFNKLKIKPDVFFSLSSVDQSIEPRIILAELTVQDFHDA >Manes.10G049950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5546014:5547320:-1 gene:Manes.10G049950.v8.1 transcript:Manes.10G049950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQLTLQQGLHQLAAAAHPHVAANPVVDLQNFSGSLDVESVLDWLAEVERFFEIMNVEEERKVPIVAYKLKGGAAAWKRLEPIRNWVLMKQMFEQRFLPSDHAQVLYNRYHDCVHGNRRVDEYTKEFLRLQARCENCENEAQQVAHYQRGLNHEIRCMMGVAVIFTLADAIEMTKRAEERIDWQPRQQQYNRNFNYRNSSSTGTQQHRGNYSGQPSKVVNSGNPPNTMEERRDSKGKAVTTTTDKGGRTNPYQKPTGDICYRCRQSGYRSNNCPERRGVNTDRQHVNIVEQAAETNEEVDDDDRSIAGSEDGEVTYVVKKILCSTKQEDETQRRKIFQAKCQVGEAICRLIIDSCSCENLITKQLVEKLQLPTQPHPSPYKRVYLDR >Manes.14G098800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8212297:8214861:1 gene:Manes.14G098800.v8.1 transcript:Manes.14G098800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSATGSGKGGGLLERPVIEKTTPGRESEFDLRKSRKTSPPYRVILHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICSQADAEEHCMQLRGNGLLSSIEPASGGC >Manes.14G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8212297:8214861:1 gene:Manes.14G098800.v8.1 transcript:Manes.14G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAICGRIALSPNQVFSPKSGDRHFLSRGPWINRGILMALSATGSGKGGGLLERPVIEKTTPGRESEFDLRKSRKTSPPYRVILHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICSQADAEEHCMQLRGNGLLSSIEPASGGC >Manes.14G098800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8212297:8214861:1 gene:Manes.14G098800.v8.1 transcript:Manes.14G098800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSATGSGKGGGLLERPVIEKTTPGRESEFDLRKSRKTSPPYRVILHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICSQADAEEHCMQLRGNGLLSSIEPASGGC >Manes.14G098800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8213401:8214861:1 gene:Manes.14G098800.v8.1 transcript:Manes.14G098800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSATGSGKGGGLLERPVIEKTTPGRESEFDLRKSRKTSPPYRVILHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICSQADAEEHCMQLRGNGLLSSIEPASGGC >Manes.04G099000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30326097:30329012:1 gene:Manes.04G099000.v8.1 transcript:Manes.04G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNILSSPHRKSPSFRKQFPRDELGSWSTLVQRHRFLLTALVLLAFLCTIYLYFAITLGATATGSCSGLTGKQQALCRIKEAKASVKGKMKFF >Manes.04G099000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30326097:30329012:1 gene:Manes.04G099000.v8.1 transcript:Manes.04G099000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDNILSSPHRKSPSFRKQFPRDELGSWSTLVQRHRFLLTALVLLAFLCTIYLYFAITLGATATGSCSGLTGKQQALCRIKEAKASVKGKMKFF >Manes.02G029175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2537939:2562871:1 gene:Manes.02G029175.v8.1 transcript:Manes.02G029175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLTHFRAHMESQIPPSKIARLVDYSSIGQTSCLNMERHGYNGGSAGSPPIGLGLALGRGGSTDHTPMPIPACTGSYGFTILQLQELQLQSLIYKYIESGFSVPYHLVLPLWKSVPSSLSGSNSKLYQPYPSSMGGSNSIPLHLEYKIGMDPEPGRCRRTDGKKWRCSKEALPDQKYCERHMHRGRQRSRKLVEANSETLNASTNLSISLPFSNDDPSTGEVGLRP >Manes.05G142400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24352043:24353672:1 gene:Manes.05G142400.v8.1 transcript:Manes.05G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTNFLSKPPSSLSSTPRITPRCFHSSFLVSFGSKHKPFSATRLDTKRTHFITKATAAPETKKAVSDERVQKIHNMEEFDEALKMAKNKLVVVEYAASHSANSNKIYPFMVELSRTCNDVEFLLVMGDESEKTRELCRREKVEKVPHFSFYKGMEKIHEEGGIGPDQLMGDVLYYGDNHSAVVQLHSREDVEKLIDDHKADHKLIVLDVGLKHCGPCVKVYPTVIKLSRRMGDTVVFARMNGDENDSCMQFLKDMDVIEVPTFLFIRDGEICGRYVGSGKGELVGEILRYQGVRVTY >Manes.08G052850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5688477:5688871:-1 gene:Manes.08G052850.v8.1 transcript:Manes.08G052850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFKFLNIFPFIFTHFLPFFYFLFYFFIIFFIFSLFYFIFFFCFILYFFFLFLLFLFFLYFLSFSFLFLSSFLSFSSILSYYLLFL >Manes.18G109400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10827494:10835050:-1 gene:Manes.18G109400.v8.1 transcript:Manes.18G109400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPS4 MAGNDWINGYLEAILDVGSSLRKRNDGQLKISKFEDSKQKEDKSFSPTKYFVEEVINSFDESDLHRTWVKVIATRNTRERSNRLENMCWRIWHLARMKKKIEWDDAQRLARRRLEREQGRNDAADDLSELSEGEKEKGDTNLSEPVKNFSRINSDMQIWSDEEKPRRLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVYRVDLLTRQISSPEVDYSYGEPIEMLACPPDGSGSCGAYIVRIPCGPREKYIPKESLWPYIPEFVDGALSHIVNMARALGEQVNGGKPTWPYVIHGHYADAGEVASHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSKEDINVTYKIMRRIEAEELGLDATEMVVTSTKQEIEEQWGLYDGFDIKLERKLRVRRRRGVSCMGRHMPRMVVIPPGMDFSYVTTHDSLEGDLKSLIGPDRTQTKRNLPPIWSEVMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQRLRELANLTLILGNRDDIEEMSNSSSVVLTTVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKALNNGLLVDPHDQKAIADALLKLVADKNLWTECRKNGLKNIHRFSWPEHCRNYLSHIEHCRNRHPTSRLEITPVPEEPMSDSLKDVEDLSLRFSIEGDPKLNGELDATTRQKKLIEAITQAASFNGNTNVTYSPGRRQMLFVIAVDCYDCNGKSTETFQEIIKNVMKAAGSCLGLGRIGFVLSTGSSLQETMEALRYCPVNIEDFDAIICNSGSEMYCPWRDMVADLDYEAHVGYRWPGENVRSMAIRLAKVEDGAEDDVLEYVQASGSRSYSYIIKPGAKTRKVDEIRQRLRMRGIRCSLVYTRAASRLNVIPLFASRKQALRYLSVRWGIDLSKIVVFVGEKGDTDYEELLAGLHKTLIMRGSVEYGSENLLCGQDGFKREDIIPQESPSLRFVEENYENLSTALETLGIK >Manes.18G109400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10827495:10833313:-1 gene:Manes.18G109400.v8.1 transcript:Manes.18G109400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPS4 MQIWSDEEKPRRLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVYRVDLLTRQISSPEVDYSYGEPIEMLACPPDGSGSCGAYIVRIPCGPREKYIPKESLWPYIPEFVDGALSHIVNMARALGEQVNGGKPTWPYVIHGHYADAGEVASHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSKEDINVTYKIMRRIEAEELGLDATEMVVTSTKQEIEEQWGLYDGFDIKLERKLRVRRRRGVSCMGRHMPRMVVIPPGMDFSYVTTHDSLEGDLKSLIGPDRTQTKRNLPPIWSEVMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQRLRELANLTLILGNRDDIEEMSNSSSVVLTTVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKALNNGLLVDPHDQKAIADALLKLVADKNLWTECRKNGLKNIHRFSWPEHCRNYLSHIEHCRNRHPTSRLEITPVPEEPMSDSLKDVEDLSLRFSIEGDPKLNGELDATTRQKKLIEAITQAASFNGNTNVTYSPGRRQMLFVIAVDCYDCNGKSTETFQEIIKNVMKAAGSCLGLGRIGFVLSTGSSLQETMEALRYCPVNIEDFDAIICNSGSEMYCPWRDMVADLDYEAHVGYRWPGENVRSMAIRLAKVEDGAEDDVLEYVQASGSRSYSYIIKPGAKTRKVDEIRQRLRMRGIRCSLVYTRAASRLNVIPLFASRKQALRYLSVRWGIDLSKIVVFVGEKGDTDYEELLAGLHKTLIMRGSVEYGSENLLCGQDGFKREDIIPQESPSLRFVEENYENLSTALETLGIK >Manes.18G109400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10827659:10835050:-1 gene:Manes.18G109400.v8.1 transcript:Manes.18G109400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPS4 MAGNDWINGYLEAILDVGSSLRKRNDGQLKISKFEDSKQKEDKSFSPTKYFVEEVINSFDESDLHRTWVKVIATRNTRERSNRLENMCWRIWHLARMKKKIEWDDAQRLARRRLEREQGRNDAADDLSELSEGEKEKGDTNLSEPVKNFSRINSDMQIWSDEEKPRRLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVYRVDLLTRQISSPEVDYSYGEPIEMLACPPDGSGSCGAYIVRIPCGPREKYIPKESLWPYIPEFVDGALSHIVNMARALGEQVNGGKPTWPYVIHGHYADAGEVASHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSKEDINVTYKIMRRIEAEELGLDATEMVVTSTKQEIEEQWGLYDGFDIKLERKLRVRRRRGVSCMGRHMPRMVVIPPGMDFSYVTTHDSLEGDLKSLIGPDRTQTKRNLPPIWSEVMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQRLRELANLTLILGNRDDIEEMSNSSSVVLTTVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKALNNGLLVDPHDQKAIADALLKLVADKNLWTECRKNGLKNIHRFSWPEHCRNYLSHIEHCRNRHPTSRLEITPVPEEPMSDSLKDVEDLSLRFSIEGDPKLNGELDATTRQKKLIEAITQAASFNGNTNVTYSPGRRQMLFVIAVDCYDCNGKSTETFQEIIKNVMKAAGSCLGLGRIGFVLSTGSSLQETMEALRYCPVNIEDFDAIICNSGSEMYCPWRDMVADLDYEAHVGYRWPGENVRSMAIRLAKVEDGAEDDVLEYVQASGSRSYSYIIKPGAKTRKVDEIRQRLRMRGIRCSLVYTRAASRLNVIPLFASRKQALRYLSVRWGIDLSKIVVFVGEKGDTDYEELLAGLHKTLIMRGSVEYGSENLLCGQDGFKREDIIPQESPSLRFVEENYENLSTALETLGIK >Manes.18G109400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10827495:10835067:-1 gene:Manes.18G109400.v8.1 transcript:Manes.18G109400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPS4 MAGNDWINGYLEAILDVGSSLRKRNDGQLKISKFEDSKQKEDKSFSPTKYFVEEVINSFDESDLHRTWVKVIATRNTRERSNRLENMCWRIWHLARMKKKIEWDDAQRLARRRLEREQGRNDAADDLSELSEGEKEKGDTNLSEPVKNFSRINSDMQIWSDEEKPRRLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVYRVDLLTRQISSPEVDYSYGEPIEMLACPPDGSGSCGAYIVRIPCGPREKYIPKESLWPYIPEFVDGALSHIVNMARALGEQVNGGKPTWPYVIHGHYADAGEVASHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSKEDINVTYKIMRRIEAEELGLDATEMVVTSTKQEIEEQWGLYDGFDIKLERKLRVRRRRGVSCMGRHMPRMVVIPPGMDFSYVTTHDSLEGDLKSLIGPDRTQTKRNLPPIWSEVMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQRLRELANLTLILGNRDDIEEMSNSSSVVLTTVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKALNNGLLVDPHDQKAIADALLKLVADKNLWTECRKNGLKNIHRFSWPEHCRNYLSHIEHCRNRHPTSRLEITPVPEEPMSDSLKDVEDLSLRFSIEGDPKLNGELDATTRQKKLIEAITQAASFNGNTNVTYSPGRRQMLFVIAVDCYDCNGKSTETFQEIIKNVMKAAGSCLGLGRIGFVLSTGSSLQETMEALRYCPVNIEDFDAIICNSGSEMYCPWRDMVADLDYEAHVGYRWPGENVRSMAIRLAKVEDGAEDDVLEYVQASGSRSYSYIIKPGAKTRKVDEIRQRLRMRGIRCSLVYTRAASRLNVIPLFASRKQALRYLSVRWGIDLSKIVVFVGEKGDTDYEELLAGLHKTLIMRGSVEYGSENLLCGQDGFKREDIIPQESPSLRFVEENYENLSTALETLGIK >Manes.S044116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1312556:1313272:1 gene:Manes.S044116.v8.1 transcript:Manes.S044116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPRPRMFVNTFAGRAAEQVSTMILPQVHLRKPCYDFSFL >Manes.18G073554.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6589804:6591217:1 gene:Manes.18G073554.v8.1 transcript:Manes.18G073554.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRNKIARARTNSNRVIITVCVESPRKCTHQKTGDLTSEATRNPLFKRPQSRRKKGYDRRAELLAYSRELRDGVSLRQQERRPRRNSSRLKAEKLKWSSAPVRIKASIQRIFRRNERQCMYEKIESVSEENSGVASQFHCRKEKASGGNIASSFCKKLKRKLKELSCGLTCSKE >Manes.18G073554.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6589803:6591222:1 gene:Manes.18G073554.v8.1 transcript:Manes.18G073554.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEAGMHFRNKIARARTNSNRVIITVCVESPRKCTHQKTGDLTSEATRNPLFKRPQSRRKKGYDRRAELLAYSRELRDGVSLRQQERRPRRNSSRLKAEKLKWSSAPVRIKASIQRIFRRNERQCMYEKIESVSEENSGVASQFHCRKEKASGGNIASSFCKKLKRKLKELSCGLTCSKE >Manes.15G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7928076:7929633:1 gene:Manes.15G101100.v8.1 transcript:Manes.15G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELILLDFWPSPFGMRVRIALAEKGVKYEYRDEDLRNKSPLLLQMNPIHKKIPVLIHKGKSICESLIAVQYVDEAWHDKSPLLPSDPYQRAQARFWADFVDKKIYDIGRKVWTTKGEEQEAAKKEFIEALKLLEGELGNKPYFGGENIGYVDVALVPFYSWFYAYETFGNFSIEAECPVLIAWAKRCLQKESISKALPDPKMVYDFILMLKQKLGI >Manes.17G016003.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6311625:6312494:-1 gene:Manes.17G016003.v8.1 transcript:Manes.17G016003.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCIANSVFNFSGCKWRSPQSLFGWNIGKRNADDKPNPNYHQIDLPFSPSLVNSTFLNGRELKCCYRATIDGFSATEFHDCCDFKGPCVIIGYTNKALKFGAFNPEGYRSTDDYYDTFDAFLFYWVDNGTIEPIILPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDSNSGVGDLRQAKSRLGLSYAKRADGKESIFGDDSKATLEEVQVFCSPQIASLY >Manes.01G259900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41615003:41618813:-1 gene:Manes.01G259900.v8.1 transcript:Manes.01G259900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRPGLIALFDVDGTLTAPRKVATPEMLEFMQKLRKVVAVGVVGGSDLSKISEQLGKTVTEDYDYVFSENGLVAHKDGKLIGTQEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSLLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDEFDEIHFFGDKTYKGGNDNEIYESERTVGHTVTSPEDTVKQCKALFLANS >Manes.01G259900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41615003:41618813:-1 gene:Manes.01G259900.v8.1 transcript:Manes.01G259900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRPGLIALFDVDGTLTAPRKVATPEMLEFMQKLRKVVAVGVVGGSDLSKISEQLGKTVTEDYDYVFSENGLVAHKDGKLIGTQSLKTFLGEEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSLLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDEFDEIHFFGDKTYKGGNDNEIYESERTVGHTVTSPEDTVKQCKALFLANS >Manes.10G082600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19829190:19840976:1 gene:Manes.10G082600.v8.1 transcript:Manes.10G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFTEHQICVIRTYSEWVIDGEYDWPPKCCSCEAVLEEGNDSQTTRLGCLHVIHTNCLVSHIKSFPPHTAPAGYVCPSCSTSIWPPKSVKDSGSRLHSKLKEAIVQTGLEKNLFGNHPVSLLVPPPAFASDPLVDISSSSSLKQDEINISEGYLSAAGKEPPKISVSDIVEIDSPSSAGNYLKNSSPGAPGATTRKGGINVDRQNSEISYYADDEDGNRKKYSRRGPFRHKFLRALLPFWSSALPTLPVTAPPRKDASNGDDASEGRARHQRPSRMDPRKILLFIAIMACMATMGILYYRIAQRGFGEEQLDDEQ >Manes.15G059750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4581959:4583224:1 gene:Manes.15G059750.v8.1 transcript:Manes.15G059750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSTKFALLLTLSLAVFYLENAVSVSRNVDDPSSTACTNCTICQYPCHHLSPPPPSPADNPPPPPYPISGCPPYGTPPSQINCTQFPDQCYFRPPPYPLGYQPYESYSASCCSPFYFILIGSLIFSITVLL >Manes.04G051400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:16802965:16805412:1 gene:Manes.04G051400.v8.1 transcript:Manes.04G051400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSIPLVGFLLLCCLASVTGAEKYKNSKQPFNVRIKDLMNRMTLAEKIAQMVQLDMAAATPEIMRDYSIGSLLSGGGHVPHLQATPQDWIQMVNAFQNGSLSSRLGIPMIYGIDAVHGHNNVYKATVFPHNIGLGATRDLDLVKRIGAATALEVRATGINYVFAPCIAVCRDPRWGRCYESYSEDPSIVKQMTEIISGLQGDAPKRGIPYVGGTDKVAACAKHFVGDGGTDRGINENNTVIDYHGLLSIHMPGYYHSIIKGVATIMVSYSSWNGEKMHANQFLVTKFLKDILKFRGFVISDWQGIDRITSPAHSNYSDSVLKGILAGIDMVMVPFNYTEFIGIVTNHVQNDAIPMSRIDDAVRRILRVKSILALFENPLADQTFVEQLGSQTHRELAREAVRKSLVLLKNGEDSDSPVLPLPKKTCRILVAGTHANNLGYQCGGWTLTWQGLSGNNNTQGTTILSGISTAVDPSTEIVYSQSPDADFVKANNFSYAIVVVGEPPYAETAGDNLNLTIAEPGFSTITNVCGSVKCVVVIVSGRPLVIEPYLSQIDALVAAWLPGTEGQGVADVIFGDYGFTGKLSRTWFKTVDQLPMNVGDDHYDPLFPFGFGLTTEPAKSS >Manes.01G236500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39866965:39868115:1 gene:Manes.01G236500.v8.1 transcript:Manes.01G236500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFFAYLFFFASISTTLAYDPDMLQDLCVADKSSGVKLNGFPCKSEANISEADFFFDGIATSGVVNNSVGSLVTGANVEKIPGLNTLGVSLARIDFAPNGLNPPHTHPRATEITFVLEGELDVGFITTANKLISKTVKQGEIFVFPRGLVHFQKNNGDKAASVISAFNSQLPGTQSIAVTLFTATPSLPDNVLTKAFQVGTKEIEKIKSRLAPKKS >Manes.06G109800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24198860:24204331:1 gene:Manes.06G109800.v8.1 transcript:Manes.06G109800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCFLVEFEDHDCFSTQTIPVAGKILLSLSLNGKAHGSSVSHFLSSNSSAKNEGHKDKEGLLLSSHGMYCSKAPCLKKAEGKKLIKTIANCLERVFNKNEEVSRVDNSSDSATASSDYEDCVEEHSYSCSFEEAMEIMKSSDSKQEMPENLLGGILLDQIYEIAPYDLNKFLFAPGSQFRKDLAELQGTTDVEEESWSWKSGNMSHLARTVTYTRAATKLVKAVEATEEQTYMRADGREFAVLVNVSTPDVPYGRTFDVELLYKIVPGFELSSGEESSHLIISWGIDFHQSTMMKGMIEGGVRQGLKESFDQFSDLLAKHFKMVESTDSLKKDQVLTTLEAEHQSDWDLAYEYFWNFTVVSSIFMVFYIILHIVLCEPSQAQGLEFSGLDLPDSFGQLITCAVLVIQLERVYNMASHFIQARIQRGSDHGIKAQGNGWILTVALIEGTNLASLDSTGFSDPYVVFTCNGKTRTSSVKLQTSNPQWNDILEFDAMEEPPSVLDLEVFDFDGPFDQATSLGHAEINFLKCTSTELADMWVSLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLTKMEKEVGKKLNLRSPHRNSTFQKLFGLPPEEFLISDFTCHLKRKMPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEDIQVLPPSLSSVGSPTLVVVLRKGRGVDARHGAKAQDEEGRLRFYFQSFVPFNVASRTIIALWRTRRLTPEQKAQIAEEQQQDLEETPIILADSGSLFDVEEAKMSKIYSSELPISVESLMEIFGGKMEHRIMEKSGCRNYTTTTWECVKPGIFERHISYRFNHHVSIFGGEVSCTQQKYAMENDRGSILSTRLSNLPLLILHASVMFMSGQLG >Manes.06G109800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24197390:24204331:1 gene:Manes.06G109800.v8.1 transcript:Manes.06G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVYVLQAKDLLVSDSFVKLQVGNHKSKTRILKNNTNPVWNEEFTFRVHNTDEDLLVTLFSHNDDFGLFGGSGDLVGWASIPVWSVLAEHNQTLPPTWLPLEKPQTGKFINMECGKILLSLSLNGKAHGSSVSHFLSSNSSAKNEGHKDKEGLLLSSHGMYCSKAPCLKKAEGKKLIKTIANCLERVFNKNEEVSRVDNSSDSATASSDYEDCVEEHSYSCSFEEAMEIMKSSDSKQEMPENLLGGILLDQIYEIAPYDLNKFLFAPGSQFRKDLAELQGTTDVEEESWSWKSGNMSHLARTVTYTRAATKLVKAVEATEEQTYMRADGREFAVLVNVSTPDVPYGRTFDVELLYKIVPGFELSSGEESSHLIISWGIDFHQSTMMKGMIEGGVRQGLKESFDQFSDLLAKHFKMVESTDSLKKDQVLTTLEAEHQSDWDLAYEYFWNFTVVSSIFMVFYIILHIVLCEPSQAQGLEFSGLDLPDSFGQLITCAVLVIQLERVYNMASHFIQARIQRGSDHGIKAQGNGWILTVALIEGTNLASLDSTGFSDPYVVFTCNGKTRTSSVKLQTSNPQWNDILEFDAMEEPPSVLDLEVFDFDGPFDQATSLGHAEINFLKCTSTELADMWVSLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLTKMEKEVGKKLNLRSPHRNSTFQKLFGLPPEEFLISDFTCHLKRKMPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEDIQVLPPSLSSVGSPTLVVVLRKGRGVDARHGAKAQDEEGRLRFYFQSFVPFNVASRTIIALWRTRRLTPEQKAQIAEEQQQDLEETPIILADSGSLFDVEEAKMSKIYSSELPISVESLMEIFGGKMEHRIMEKSGCRNYTTTTWECVKPGIFERHISYRFNHHVSIFGGEVSCTQQKYAMENDRGWVVNEVMVLHNVPFGDHFRVNFKYQIEQSALAHIACKCDVYVGTTWLKSTKFQQRITRNITEKFTHRLKEIFELLEREVLFASQQDGVISEKLAENVLTEKI >Manes.06G109800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24197390:24204331:1 gene:Manes.06G109800.v8.1 transcript:Manes.06G109800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVYVLQAKDLLVSDSFVKLQVGNHKSKTRILKNNTNPVWNEEFTFRVHNTDEDLLVTLFSHNDDFGLFGGSGDLVGWASIPVWSVLAEHNQTLPPTWLPLEKPQTGKFINMECGKILLSLSLNGKAHGSSVSHFLSSNSSAKNEGHKDKEGLLLSSHGMYCSKAPCLKKAEGKKLIKTIANCLERVFNKNEEVSRVDNSSDSATASSDYEDCVEEHSYSCSFEEAMEIMKSSDSKQEMPENLLGGILLDQIYEIAPYDLNKFLFAPGSQFRKDLAELQGTTDVEEESWSWKSGNMSHLARTVTYTRAATKLVKAVEATEEQTYMRADGREFAVLVNVSTPDVPYGRTFDVELLYKIVPGFELSSGEESSHLIISWGIDFHQSTMMKGMIEGGVRQGLKESFDQFSDLLAKHFKMVESTDSLKKDQVLTTLEAEHQSDWDLAYEYFWNFTVVSSIFMVFYIILHIVLCEPSQAQGLEFSGLDLPDSFGQLITCAVLVIQLERVYNMASHFIQARIQRGSDHGIKAQGNGWILTVALIEGTNLASLDSTGFSDPYVVFTCNGKTRTSSVKLQTSNPQWNDILEFDAMEEPPSVLDLEVFDFDGPFDQATSLGHAEINFLKCTSTELADMWVSLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLTKMEKEVGKKGRLFLSARIVGFYANLFGHKTKFFFLWEDIEDIQVLPPSLSSVGSPTLVVVLRKGRGVDARHGAKAQDEEGRLRFYFQSFVPFNVASRTIIALWRTRRLTPEQKAQIAEEQQQDLEETPIILADSGSLFDVEEAKMSKIYSSELPISVESLMEIFGGKMEHRIMEKSGCRNYTTTTWECVKPGIFERHISYRFNHHVSIFGGEVSCTQQKYAMENDRGWVVNEVMVLHNVPFGDHFRVNFKYQIEQSALAHIACKCDVYVGTTWLKSTKFQQRITRNITEKFTHRLKEIFELLEREVLFASQQDGVISEKLAENVLTEKI >Manes.06G109800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24197390:24204331:1 gene:Manes.06G109800.v8.1 transcript:Manes.06G109800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVYVLQAKDLLVSDSFVKLQVGNHKSKTRILKNNTNPVWNEEFTFRVHNTDEDLLVTLFSHNDDFGLFGGSGDLVGWASIPVWSVLAEHNQTLPPTWLPLEKPQTGKFINMECGKILLSLSLNGKAHGSSVSHFLSSNSSAKNEGHKDKEGLLLSSHGMYCSKAPCLKKAEGKKLIKTIANCLERVFNKNEEVSRVDNSSDSATASSDYEDCVEEHSYSCSFEEAMEIMKSSDSKQEMPENLLGGILLDQIYEIAPYDLNKFLFAPGSQFRKDLAELQGTTDVEEESWSWKSGNMSHLARTVTYTRAATKLVKAVEATEEQTYMRADGREFAVLVNVSTPDVPYGRTFDVELLYKIVPGFELSSGEESSHLIISWGIDFHQSTMMKGMIEGGVRQGLKESFDQFSDLLAKHFKMVESTDSLKKDQVLTTLEAEHQSDWDLAYEYFWNFTVVSSIFMVFYIILHIVLCEPSQAQGLEFSGLDLPDSFGQLITCAVLVIQLERVYNMASHFIQARIQRGSDHGIKAQGNGWILTVALIEGTNLASLDSTGFSDPYVVFTCNGKTRTSSVKLQTSNPQWNDILEFDAMEEPPSVLDLEVFDFDGPFDQATSLGHAEINFLKCTSTELADMWVSLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLTKMEKEVGKKLNLRSPHRNSTFQKLFGLPPEEFLISDFTCHLKRKMPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEDIQVLPPSLSSVGSPTLVVVLRKGRGVDARHGAKAQDEEGRLRFYFQSFVPFNVASRTIIALWRTRRLTPEQKAQIAEEQQQDLEETPIILADSGSLFDVEEAKMSKIYSSELPISVESLMEIFGGKMEHRIMEKSGCRNYTTTTWECVKPGIFERHISYRFNHHVSIFGGEVSCTQQKYAMENDRGSILSTRLSNLPLLILHASVMFMSGQLG >Manes.06G109800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24197390:24204331:1 gene:Manes.06G109800.v8.1 transcript:Manes.06G109800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSRLIPPPPPSFFIYSKFATKWNIITTFDWIPCKFQTFIDCPQLGEAKQFQMTTCFLVEFEDHDCFSTQTIPVAGKILLSLSLNGKAHGSSVSHFLSSNSSAKNEGHKDKEGLLLSSHGMYCSKAPCLKKAEGKKLIKTIANCLERVFNKNEEVSRVDNSSDSATASSDYEDCVEEHSYSCSFEEAMEIMKSSDSKQEMPENLLGGILLDQIYEIAPYDLNKFLFAPGSQFRKDLAELQGTTDVEEESWSWKSGNMSHLARTVTYTRAATKLVKAVEATEEQTYMRADGREFAVLVNVSTPDVPYGRTFDVELLYKIVPGFELSSGEESSHLIISWGIDFHQSTMMKGMIEGGVRQGLKESFDQFSDLLAKHFKMVESTDSLKKDQVLTTLEAEHQSDWDLAYEYFWNFTVVSSIFMVFYIILHIVLCEPSQAQGLEFSGLDLPDSFGQLITCAVLVIQLERVYNMASHFIQARIQRGSDHGIKAQGNGWILTVALIEGTNLASLDSTGFSDPYVVFTCNGKTRTSSVKLQTSNPQWNDILEFDAMEEPPSVLDLEVFDFDGPFDQATSLGHAEINFLKCTSTELADMWVSLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLTKMEKEVGKKLNLRSPHRNSTFQKLFGLPPEEFLISDFTCHLKRKMPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEDIQVLPPSLSSVGSPTLVVVLRKGRGVDARHGAKAQDEEGRLRFYFQSFVPFNVASRTIIALWRTRRLTPEQKAQIAEEQQQDLEETPIILADSGSLFDVEEAKMSKIYSSELPISVESLMEIFGGKMEHRIMEKSGCRNYTTTTWECVKPGIFERHISYRFNHHVSIFGGEVSCTQQKYAMENDRGWVVNEVMVLHNVPFGDHFRVNFKYQIEQSALAHIACKCDVYVGTTWLKSTKFQQRITRNITEKFTHRLKEIFELLEREVLFASQQDGVISEKLAENVLTEKI >Manes.06G109800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24197390:24204331:1 gene:Manes.06G109800.v8.1 transcript:Manes.06G109800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVYVLQAKDLLVSDSFVKLQVGNHKSKTRILKNNTNPVWNEEFTFRVHNTDEDLLVTLFSHNDDFGLFGGSGDLVGWASIPVWSVLAEHNQTLPPTWLPLEKPQTGKFINMECGKILLSLSLNGKAHGSSVSHFLSSNSSAKNEGHKDKEGLLLSSHGMYCSKAPCLKKAEGKKLIKTIANCLERVFNKNEEVSRVDNSSDSATASSDYEDCVEEHSYSCSFEEAMEIMKSSDSKQEMPENLLGGILLDQIYEIAPYDLNKFLFAPGSQFRKDLAELQGTTDVEEESWSWKSGNMSHLARTVTYTRAATKLVKAVEATEEQTYMRADGREFAVLVNVSTPDVPYGRTFDVELLYKIVPGFELSSGEESSHLIISWGIDFHQSTMMKGMIEGGVRQGLKESFDQFSDLLAKHFKMVESTDSLKKDQVLTTLEAEHQSDWDLAYEYFWNFTVVSSIFMVFYIILHIVLCEPSQAQGLEFSGLDLPDSFGQLITCAVLVIQLERVYNMASHFIQARIQRGSDHGIKAQGNGWILTVALIEGTNLASLDSTGFSDPYVVFTCNGKTRTSSVKLQTSNPQWNDILEFDAMEEPPSVLDLEVFDFDGPFDQATSLGHAEINFLKCTSTELADMWVSLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLTKMEKEVGKKGRLFLSARIVGFYANLFGHKTKFFFLWEDIEDIQVLPPSLSSVGSPTLVVVLRKGRGVDARHGAKAQDEEGRLRFYFQSFVPFNVASRTIIALWRTRRLTPEQKAQIAEEQQQDLEETPIILADSGSLFDVEEAKMSKIYSSELPISVESLMEIFGGKMEHRIMEKSGCRNYTTTTWECVKPGIFERHISYRFNHHVSIFGGEVSCTQQKYAMENDRGSILSTRLSNLPLLILHASVMFMSGQLG >Manes.06G109800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24197390:24204331:1 gene:Manes.06G109800.v8.1 transcript:Manes.06G109800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCFLVEFEDHDCFSTQTIPVAGKILLSLSLNGKAHGSSVSHFLSSNSSAKNEGHKDKEGLLLSSHGMYCSKAPCLKKAEGKKLIKTIANCLERVFNKNEEVSRVDNSSDSATASSDYEDCVEEHSYSCSFEEAMEIMKSSDSKQEMPENLLGGILLDQIYEIAPYDLNKFLFAPGSQFRKDLAELQGTTDVEEESWSWKSGNMSHLARTVTYTRAATKLVKAVEATEEQTYMRADGREFAVLVNVSTPDVPYGRTFDVELLYKIVPGFELSSGEESSHLIISWGIDFHQSTMMKGMIEGGVRQGLKESFDQFSDLLAKHFKMVESTDSLKKDQVLTTLEAEHQSDWDLAYEYFWNFTVVSSIFMVFYIILHIVLCEPSQAQGLEFSGLDLPDSFGQLITCAVLVIQLERVYNMASHFIQARIQRGSDHGIKAQGNGWILTVALIEGTNLASLDSTGFSDPYVVFTCNGKTRTSSVKLQTSNPQWNDILEFDAMEEPPSVLDLEVFDFDGPFDQATSLGHAEINFLKCTSTELADMWVSLEGKLAQSSQSKLHLRIFLDNNKGVETIKEYLTKMEKEVGKKLNLRSPHRNSTFQKLFGLPPEEFLISDFTCHLKRKMPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEDIQVLPPSLSSVGSPTLVVVLRKGRGVDARHGAKAQDEEGRLRFYFQSFVPFNVASRTIIALWRTRRLTPEQKAQIAEEQQQDLEETPIILADSGSLFDVEEAKMSKIYSSELPISVESLMEIFGGKMEHRIMEKSGCRNYTTTTWECVKPGIFERHISYRFNHHVSIFGGEVSCTQQKYAMENDRGWVVNEVMVLHNVPFGDHFRVNFKYQIEQSALAHIACKCDVYVGTTWLKSTKFQQRITRNITEKFTHRLKEIFELLEREVLFASQQDGVISEKLAENVLTEKI >Manes.05G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4859207:4864268:1 gene:Manes.05G058100.v8.1 transcript:Manes.05G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNSRSVSSPSRSGRKRRLLPSLFCGSSVSHSPMEMSDHVDDSSTGSPQNSTRPRHISVSSEQQSSSTFLLETGLSGFCAETGSNSDSAVDTSFTHSFSNHSENSELHYQQVNVNDNLMEAHRDDKSLSADAPLTSIVGQDTGDVHSDAETIADMSVDGNTADFSSDVSGSLVDSQPLPRSLLSIGEQGQIGMGVLLVDVVSIHSNTLSSTIDEISNREMRRNTRRMFWDAFSRNSFRRNSDFPTIVFTTRHADDLGSSDRWLLDFSGDLHYDGVGRESVVSSTRNDHRSGRQWQSRYETPERFHDVHDEQTWQGSSCATGRHPRGTCSCESSFLGEESSSQANISQSQIFMLADALFEVLEEIHHHRMSRSLSMLTLPAPEAVVNSFPLKNHKVSCKSENGAHDVQQCHICLVDYEEGDKIRVLPCSHEYHMLCVDKWLKEVHGVCPLCRDDVCKGVAEGSSSNPEIPSL >Manes.02G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11028508:11032244:-1 gene:Manes.02G143900.v8.1 transcript:Manes.02G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGGWFSSVKKVFKSSSNHKKLPEKKKDNAEKWQHEAPEVVSFEHFPSPDATNEGSTASSPVTEDRNHAIAVAVATAAAAEAAVAAAQAAAKVVRLAGYGRHSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARARARKLQLAHEKLEKKVEEEEELEGRRRSVDGVNYPRSPLQSYRTEGWDNGHQSYERIKESTSRKQDAVVKRERALAYAYAYQQQQQHQLMQSDPNGKKRVFYANEREKMQWDWNWLERWMSSQPYHARYLGPNEASYVPLTTTTTTTDDMSEKTLEMDGVAPPGMGNVNDGLADTSPYPSKHQRQSSLSTHVPSYMASTQSAKAKLRGQGMVKQRGSYVPQWNSSTRKGSIGGSGCDSSSSGGGTAGYQAPRSPNPKGNGMRPQSRRIAGYSPDSYGGGEDWRLSPIDGHAWRHDFS >Manes.06G064100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19927830:19933167:-1 gene:Manes.06G064100.v8.1 transcript:Manes.06G064100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEPLFDYRRVQPLNFVCLDDDDGSDTSPLPAIKRRKTFQNPKAIVKEVDDEDVEVVGVKCKDKDEEDWLPPPPKVSCDFGNRLGEDSTIKELRLKKQELESLANSGVDVLQAVEESVKKELSGSLKAALHAVSEQPLKPPCERAKIVISVQDKDELKQFRIYKDDNFERLFKLYADKVKLNIQNLVFSFDGDKISPTASPDSLGMEDEDIIEVHVKKSE >Manes.06G064100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19927792:19933167:-1 gene:Manes.06G064100.v8.1 transcript:Manes.06G064100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQEDSMEELEPLFDYRRVQPLNFVCLDDDDGSDTSPLPAIKRRKTFQNPKAIVKEVDDEDVEVVGVKCKDKDEEDWLPPPPKVSCDFGNRLGEDSTIKELRLKKQELESLANSGVDVLQAVEESVKKELSGSLKAALHAVSEQPLKPPCERAKIVISVQDKDELKQFRIYKDDNFERLFKLYADKVKLNIQNLVFSFDGDKISPTASPDSLGMEDEDIIEVHVKKSE >Manes.06G064100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19927792:19933167:-1 gene:Manes.06G064100.v8.1 transcript:Manes.06G064100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQEDSMEELEPLFDYRRVQPLNFVCLDDDDGSDTSPLPAIKRRKTFQNPKAIVKEVDDEDVEVVGVKCKDKDEEDWLPPPPKVSCDFGNRLGEDSTIKELRLKKQELESLANSGVDVLQAVEESVKKELSGSLKAALHAVSEQPLKPPCERAKIVISVQDKDELKQFRIYKDDNFERLFKLYADKVKLNIQNLVFSFDGDKISPTASPDSLGMEDEDIIEVHVKKSE >Manes.06G064100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19926944:19933244:-1 gene:Manes.06G064100.v8.1 transcript:Manes.06G064100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSMEELEPLFDYRRVQPLNFVCLDDDDGSDTSPLPAIKRRKTFQNPKAIVKEVDDEDVEVVGVKCKDKDEEDWLPPPPKVSCDFGNRLGEDSTIKELRLKKQELESLANSGVDVLQAVEESVKKELSGSLKAALHAVSEQPLKPPCERAKIVISVQDKDELKQFRIYKDDNFERLFKLYADKVKLNIQNLVFSFDGDKISPTASPDSLGMEDEDIIEVHVKKSE >Manes.06G064100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19929589:19933193:-1 gene:Manes.06G064100.v8.1 transcript:Manes.06G064100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSMEELEPLFDYRRVQPLNFVCLDDDDGSDTSPLPAIKRRKTFQNPKAIVKEVDDEDVEVVGVKCKDKDEEDWLPPPPKVSCDFGNRLGEDSTIKELRLKKQELESLANSGVDVLQAVEESVKKELSGSLKAALHAVSEQPLKPPCERAKIVISVQDKDELKQFRIYKDDNFERLFKLYADKVKLNIQNLVFSFDGDKISPTASPDSLGMEDEDIIEVHVKKSE >Manes.06G064100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19927830:19933167:-1 gene:Manes.06G064100.v8.1 transcript:Manes.06G064100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEPLFDYRRVQPLNFVCLDDDDGSDTSPLPAIKRRKTFQNPKAIVKEVDDEDVEVVGVKCKDKDEEDWLPPPPKVSCDFGNRLGEDSTIKELRLKKQELESLANSGVDVLQAVEESVKKELSGSLKAALHAVSEQPLKPPCERAKIVISVQDKDELKQFRIYKDDNFERLFKLYADKVKLNIQNLVFSFDGDKISPTASPDSLGMEDEDIIEVHVKKSE >Manes.06G064100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19927007:19933244:-1 gene:Manes.06G064100.v8.1 transcript:Manes.06G064100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSMEELEPLFDYRRVQPLNFVCLDDDDGSDTSPLPAIKRRKTFQNPKAIVKEVDDEDVEVVGVKCKDKDEEDWLPPPPKVSCDFGNRLGEDSTIKELRLKKQELESLANSGVDVLQAVEESVKKELSGSLKAALHAVSEQPLKPPCERAKIVISVQDKDELKQFRIYKDDNFERLFKLYADKVKLNIQNLVFSFDGDKISPTASPDSLGMEDEDIIEVHVKKSE >Manes.12G004650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:614777:616028:-1 gene:Manes.12G004650.v8.1 transcript:Manes.12G004650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHRLTTSAICSGLTVSVSIKLSSVLPSSRAMAASTSSNAPYRKSATPAKSYLLSASCTANCISSFFCLRRPISSILSFSFLYSILRG >Manes.14G043900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3821583:3825172:-1 gene:Manes.14G043900.v8.1 transcript:Manes.14G043900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWKWVFVGILCFAAFASTAGAVKLGRGQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKVLQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFAACFHYLEEKAIERGILHLLQRATLVQTFGQRNHVCLKEGSIIVPPYAPPQKMQAHFIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTDHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHEKTIYLRPGEKILNWTAGPVGDLKPW >Manes.14G043900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3821583:3825172:-1 gene:Manes.14G043900.v8.1 transcript:Manes.14G043900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAEIFMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFAACFHYLEEKAIERGILHLLQRATLVQTFGQRNHVCLKEGSIIVPPYAPPQKMQAHFIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTDHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHEKTIYLRPGEKILNWTAGPVGDLKPW >Manes.15G009801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:869895:870200:1 gene:Manes.15G009801.v8.1 transcript:Manes.15G009801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATISGFGGDGDGNGLLGGAGGSWGGGRGWNFDRFGGQNWDEWSWSSSSEFAYGFIYEVIYWIALSTCTHFAFEKVVRIMADGIDDAEGEKVPMRLASVC >Manes.06G076100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21168686:21174811:-1 gene:Manes.06G076100.v8.1 transcript:Manes.06G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPSIHCTPPLSRSISPRLPVAFCNRVSSLPAFLTEAPIQRIVLVRSQMPSSEDFGHMRSVAESDSHVGSLGASASSAINFLTLCNRLKTTKRKGWINHGIKGAESIADHMYRMALMALIAGDLPGVNRERCIKIAIVHDIAEAIVGDITPSDGVPKQEKSRREQAALNEMCEVLGGGIRAEEIQELWAEYENNASLEARLVKDFDKVEMILQALEYELEHGKVLDEFFLSTAGKFQTEIGKSWAAEIISRRNSRLASKRQ >Manes.08G070984.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12180902:12185251:1 gene:Manes.08G070984.v8.1 transcript:Manes.08G070984.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEDSHSSSNRFPLTRNSNFYNPSSASTTKISRHVGRSMRTIRSNLYQNDNSCCSFTTATDNSAYVSENLTESVIDMRLGELVSRNNDSNDKSGKTSSNSDEEFLDISHAFSDFSACSSDISGELQRLASLPSPEHTPKHDGCESAEPEPEPCLGFLQRENFSTEIIESISPEDLQPTVKICVDGLQSPSVAVKRSAAAKLRLLAKNRSDNRALIGESGAIPALIPLLRCSDPWTQEHAVTALLNLSLLEENKCLITNNGAIKSLVYVLKTGTETSKQNAACALLSLALVEENKSSIGACGAIPPLVSLLINGSTRGKKDALTTLYKLCSIKQNKERAVSAGAVKPLVGMVVEQGTGMAEKAMVVLSSLAAIEEGREAIVEEGGIPALVEAIEDGSVKGKEFAVLTLLQLCADSVRNRGLLVREGGIPPLVALSQTGSVRAKHKAETLLGYLREPRQEASSSSP >Manes.05G058500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4874960:4878347:-1 gene:Manes.05G058500.v8.1 transcript:Manes.05G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRTAMDSAFWDQPVASPKTLEGCARSIPGDPFPLDATRASRALRIQQLSLLGNGFPLGIIPSYCSTSNKDLGSFSLQSVLLKLATSNCWLGLIGQLRPKKLISSIKTEFSNAEKLEFSVFKDAAKHFLDKSLYSIGLSSQFSPTPSCSVLLSTERHGEKTKPRYKMMLFQQLPSHDITLEAAWPELFIDHKGGYWDVPESISLDMSSLVSESGFRYRFGVHKSNGHPKAVDGINDEPPLALMPGLCGKASFSYEKSKDFWRKKQSRKDVMITLDDGCILYPSYDVRLSEPHSAISATVGGSCAAWFRGWGNSASADGEISTSTRKRSPFSADLFGSICYSFQHGQFIELYRDLTRVDARLDICSAFAVTERAFNLFRRSSVSSANNPLSSPRLNLTLQQQVAGPIIFRVDSRFSLGSTSGQQGPHVEDLIYSLSYSLRLLRSGKVVAWYSPKRKEGMIELRLFEF >Manes.12G034600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3059072:3060244:-1 gene:Manes.12G034600.v8.1 transcript:Manes.12G034600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITKITPHKHNQTSANRSLFVSFLNTLSGTSPLSLSLSFLPFVSDFSPASLLFLMIVIFFFPPGRSSFSFPKTLIFLIFFFSDADLSRMSTKTMRPPPRRVLTSNKRKEMEGFDSLESSPPSPPTPTPTKSPKPTSPQAGSARHTQPVSFNQLMAGYLAYEYLSKGTIYGEKWDPDGAEEEWKKAEPSEDREEEGEPNKGDYRRYVEVSSLLKGDGAHLPGVVNPSELSRFLQM >Manes.01G024001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12881493:12886176:1 gene:Manes.01G024001.v8.1 transcript:Manes.01G024001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYKISHVDGQITNPEQRIASDVPRFCSELSELIQEDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGEHREESHIEQKFKDLVRHMRIVLHDHWWFGMIQDFLVKYLGATVAVVLIIEPFFCWQS >Manes.06G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22683951:22686383:1 gene:Manes.06G091400.v8.1 transcript:Manes.06G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVITQASAAVFRPCASRSRFLTGSSSKLNREVSLKPMLSSPAASFKVEAKKGEWLPGLPSPAYLNGSLPGDNGFDPLGLAEDPENLKWYIQAELVNGRWAMLGVAGMLLPEVFTKIGVINVPQWYDAGKEEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPNEVGYPGGIFNPLNFAPTLEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFDNLLQHLSDPWHNTIVQTLRGY >Manes.06G091400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22683951:22686383:1 gene:Manes.06G091400.v8.1 transcript:Manes.06G091400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVITQASAAVFRPCASRSRFLTGSSSKLNREVSLKPMLSSPAASFKVEAKKGEWLPGLPSPAYLNGSLPGDNGFDPLGLAEDPENLKWYIQAELVNGRWAMLGVAGMLLPEVFTKIGVINVPQWYDAGKEEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPNEVGYPGGIFNPLNFAPTLEAKEKELANGNNYPTAWLILLGIFLENRLILFDLLLQGDWQCWLS >Manes.13G146027.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36104337:36111156:-1 gene:Manes.13G146027.v8.1 transcript:Manes.13G146027.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIAILMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.13G146027.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36104337:36111156:-1 gene:Manes.13G146027.v8.1 transcript:Manes.13G146027.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILFLQIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIAILMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.10G072200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11871512:11878381:1 gene:Manes.10G072200.v8.1 transcript:Manes.10G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKPTEGFPNSEITLNSASRRSNSSSHSKASRGNSVREVTFGDLGSKPVRYGSRGADSEGFSASQKEISDEDARLVYLNDPEKTNERFEFSGNSVRTGKYSIISFVPRNLFEQFHRVAYIYFLIIAVLNQLPQLAVFGRGVSIMPLAFVLLVTAVKDAYEDWRRHRSDRIENNRLAWVLVNDQFEQKKWKDIRAGEIIKIHANETLPCDMVLLSTSDSTGVAYVQTINLDGESNLKTRYAKQETLAKTPEKEKISGLIKCEKPNRNIYGFHANMDVDGKRLSLGPSNIILRGCELKNTTWSIGVAVYCGRETKVMLNSSGAPSKRSRLETRMNREIIILSFFLIALCTIVSICAAVWLRRNRDKLNTMPFYRKKNFNDEDKDDYKYYGWGLEIFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDTIMYDEASNSTFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYSGGKASSEDKQIEHSVQVDGKTLRPKMKVRVDPELLQLSRSGKDTEKTKRVHDFFLALAACNTIVPIVFDDASDPTVKLMDYQGESPDEQALAYAAAAYGFMLVERTSGHIVIDIKGERQRFDVLGLHEFDSDRKRMSVILGCPDKTVKVFVKGADTTMFSVIDRSLNMNVIRATEAHLHDYSSLGLRTLVIGMRELSDSEFEQWHSSFETASSALIGRAAMLRKVASTVEKSLSILGASAIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTIKMTQIIINSNSKESCRRSLGDALLMSKKLITVSGTTPDTAGNSGGAVSPVALIIDGTSLVYILDSELEEQLFELASKCSVVLCCRVAPLQKAGIVALVKNRTSDLTLSIGDGANDVSMIQMADVGVGISGKEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNALFVLVLFWYVLFTCFTLTTAINEWSSMLYSIIYTSLPTIVVGILDKDLSRRTLLRYPQLYGAGHRQESYNSKLFWTTMIDTLWQSAVIYFIPHLAYWASTIDAPSIGDLWTLAVVILVNLHLAMDVIRWSWITHAAIWGSIVATFICVMVIDAVPTLVGYWAFYEIAKEGLFWLCLLAIIVAALLPRFVVIVLHQYFSPSDIQISKEAEKFGNGREFGAVEIEMNPILDPSRR >Manes.10G072200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11871511:11878381:1 gene:Manes.10G072200.v8.1 transcript:Manes.10G072200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKPTEGFPNSEITLNSASRRSNSSSHSKASRGNSVREVTFGDLGSKPVRYGSRGADSEGFSASQKEISDEDARLVYLNDPEKTNERFEFSGNSVRTGKYSIISFVPRNLFEQFHRVAYIYFLIIAVLNQLPQLAVFGRGVSIMPLAFVLLVTAVKDAYEDWRRHRSDRIENNRLAWVLVNDQFEQKKWKDIRAGEIIKIHANETLPCDMVLLSTSDSTGVAYVQTINLDGESNLKTRYAKQETLAKTPEKEKISGLIKCEKPNRNIYGFHANMDVDGKRLSLGPSNIILRGCELKNTTWSIGVAVYCGRETKVMLNSSGAPSKRSRLETRMNREIIILSFFLIALCTIVSICAAVWLRRNRDKLNTMPFYRKKNFNDEDKDDYKYYGWGLEIFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDTIMYDEASNSTFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYSGGKASSEDKQIEHSVQVDGKTLRPKMKVRVDPELLQLSRSGKDTEKTKRVHDFFLALAACNTIVPIVFDDASDPTVKLMDYQGESPDEQALAYAAAAYGFMLVERTSGHIVIDIKGERQRFDVLGLHEFDSDRKRMSVILGCPDKTVKVFVKGADTTMFSVIDRSLNMNVIRATEAHLHDYSSLGLRTLVIGMRELSDSEFEQWHSSFETASSALIGRAAMLRKVASTVEKSLSILGASAIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTIKMTQIIINSNSKESCRRSLGDALLMSKKLITVSGTTPDTAGNSGGAVSPVALIIDGTSLVYILDSELEEQLFELASKCSVVLCCRVAPLQKAGIVALVKNRTSDLTLSIGDGANDVSMIQMADVGVGISGKEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNALFVLVLFWHFVQVCALYLFHFDDSYQ >Manes.15G169000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14537897:14543412:1 gene:Manes.15G169000.v8.1 transcript:Manes.15G169000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIISCTFCSTLHCKPASLRQLLSTNYTNHNSTSVKCRLKTTSELQTPVGVTGGSGYQSPSIPIHKVTVRDRQRGLVHEFLVPEDQYILHTAESQNISLPFACRHGCCTSCAVRVKSGQIRQPEALGISAELKSKGYALLCVGFPSSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >Manes.15G169000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14537955:14543151:1 gene:Manes.15G169000.v8.1 transcript:Manes.15G169000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIISCTFCSTLHCKPASLRQLLSTNYTNHNSTSVKCRLKTTSELQTPVGVTGGSGYQSPSIPIHKVTVRDRQRGLVHEFLVPEDQYILHTAESQNISLPFACRHGCCTSCAVRVKSGQIRQPEALGISAELKSKGYALLCVGFPSSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >Manes.09G035650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6851205:6851366:1 gene:Manes.09G035650.v8.1 transcript:Manes.09G035650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIGRGRHSVLQIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.13G009702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1325003:1381361:1 gene:Manes.13G009702.v8.1 transcript:Manes.13G009702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKLEMKLIEDKSSRQVTFSKRRNGLIKKARELSVLCDVQIGVIVFSNSGKLYEFCSTGSFAKFLKDYQRHLELEATSMEGAKHKKMYQVDYSSFKSYAELLKVVETQLEGPNSKQLSLVDILELENQFTATLTQVRAKKRFLQTELMLDFLKALKKKEIMLKEENALLEREVEEMKNGVDMERRLRNHPYLHLVNSPRQATLSLLH >Manes.07G068000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16857115:16859725:1 gene:Manes.07G068000.v8.1 transcript:Manes.07G068000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRRDVLQLMLLLQIWAAVLTLAKAVRSLDEEVLTLTTPASSRDYPNPPARRDVYSHGKIHDITHLINPRMPKWGSPDGMGKVVTIIDDMKKGAVAYTSEMDLPSHTGTHVDAPSHFFEEYFERGFDTSTLSLKTLNGPALVIDVPRNSNITAEVMKNLQISQGIHRVLFRTLNTDRKLMYTRKFHSDYVGIVKDGASWIVDNTNITLVGIDYLSIATYDDAVPTHQTLLKSRKIVIVEGLKLNKVPAGIYDLHCLPIKVLGAEGTPARCILMS >Manes.18G111600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11169085:11185873:-1 gene:Manes.18G111600.v8.1 transcript:Manes.18G111600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSHQRLSGADRKKLKRTIKDRFPRASDADIDNLLPPKVEITVAKFQNRVHVFGVEGGFPMFFDVDGRGTEIFPAVFALWKVPELLPSFMLKGGEVSRFVIGGADLMFPGINIPDEGLPSFSSGETWAVKVPGNAAPIAVGSTTMSSTEAKKAGFRGKALRITHYYRDLLWESVEGHYVPNAGFFEDVVFEDPAFAASVHVSDECHATSDAPCEQQNEVESKEIGESADTNDVISEPHSSLIAEVDSKNDIIEQVTEDASNLKVTENAVADESTTEEQYTLSTADVDAYLDKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGMMLDIKKSSHKKLSKWLQSKSSAGLIAVKEDKFKKETVLVSVNRSHPDFLSFKPEKRQEQKVDQAVDQATNESRQKKMLEVVEIYKPSVHVNPIFASVGADTGKLYSASEASDIVFKYIEKENLVKPTNKAFVVLDPPLCDALFKGTVKKGSTYPTEIHKKDLGLTFVSRMQAHYVVSRGSESVVRKGSLKTIQIVTERRQGNKKVTKVSGVETFLMDAEALASELQKKFACSTSVQELPGKKGQEVLIQGGVIDDVARHLVEQYGVPKRYIEVLDKTRK >Manes.18G111600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11173401:11185913:-1 gene:Manes.18G111600.v8.1 transcript:Manes.18G111600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSHQRLSGADRKKLKRTIKDRFPRASDADIDNLLPPKVEITVAKFQNRVHVFGVEGGFPMFFDVDGRGTEIFPAVFALWKVPELLPSFMLKGGEVSRFVIGGADLMFPGINIPDEGLPSFSSGETWAVKVPGNAAPIAVGSTTMSSTEAKKAGFRGKALRITHYYRDLLWESVEGHYVPNAGFFEDVVFEDPAFAASVHVSDECHATSDAPCEQQNEVESKEIGESADTNDVISEPHSSLIAEVDSKNDIIEQVTEDASNLKVTENAVADESTTEEQYTLSTADVDAYLDKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGMMLDIKKSSHKKLSKWLQSKSSAGLIAVKEDKFKKETVLVSVNRSHPDFLSFKPEKRQEQKVDQAVDQATNESRQKKMLEVVEIYKPSVHVNPIFASVGADTGKLYSASEASDIVFKYIEKENLVKPTNKAFVVLDPPLCDALFKGTVKKGSTYPTEIHKKDLGLTFVSRMQAHYVVSRGSESVVRKGSLKTIQIVTERRQGNKKVTKVSGVETFLMDAEALASELQKKFACSTSVQELPGKKGQEVLIQGGVIDDVARHLVEQYGVPKRYIEVLDKTRK >Manes.18G111600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11171927:11185880:-1 gene:Manes.18G111600.v8.1 transcript:Manes.18G111600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSHQRLSGADRKKLKRTIKDRFPRASDADIDNLLPPKVEITVAKFQNRVHVFGVEGGFPMFFDVDGRGTEIFPAVFALWKVPELLPSFMLKGGEVSRFVIGGADLMFPGINIPDEGLPSFSSGETWAVKVPGNAAPIAVGSTTMSSTEAKKAGFRGKALRITHYYRDLLWESVEGHYVPNAGFFEDVVFEDPAFAASVHVSDECHATSDAPCEQQNEVESKEIGESADTNDVISEPHSSLIAEVDSKNDIIEQVTEDASNLKVTENAVADESTTEEQYTLSTADVDAYLDKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGMMLDIKKSSHKKLSKWLQSKSSAGLIAVKEDKFKKETVLVSVNRSHPDFLSFKPEKRQEQKVDQAVDQATNESRQKKMLEVVEIYKPSVHVNPIFASVGADTGKLYSASEASDIVFKYIEKENLVKPTNKAFVVLDPPLCDALFKGTVKKGSTYPTEIHKKDLGLTFVSRMQAHYVVSRGSESVVRKGSLKTIQIVTERRQGNKKVTKVSGVETFLMDAEALASELQKKFACSTSVQELPGKKGQEVLIQGGVIDDVARHLVEQYGVPKRYIEVLDKTRK >Manes.18G111600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11171602:11185910:-1 gene:Manes.18G111600.v8.1 transcript:Manes.18G111600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVEAKSHQRLSGADRKKLKRTIKDRFPRASDADIDNLLPPKVEITVAKFQNRVHVFGVEGGFPMFFDVDGRGTEIFPAVFALWKVPELLPSFMLKGGEVSRFVIGGADLMFPGINIPDEGLPSFSSGETWAVKVPGNAAPIAVGSTTMSSTEAKKAGFRGKALRITHYYRDLLWESVEGHYVPNAGFFEDVVFEDPAFAASVHVSDECHATSDAPCEQQNEVESKEIGESADTNDVISEPHSSLIAEVDSKNDIIEQVTEDASNLKVTENAVADESTTEEQYTLSTADVDAYLDKCLLQALHTTVKDKDLPMPGSTLWSNHVLPCRPSGMMLDIKKSSHKKLSKWLQSKSSAGLIAVKEDKFKKETVLVSVNRSHPDFLSFKPEKRQEQKVDQAVDQATNESRQKKMLEVVEIYKPSVHVNPIFASVGADTGKLYSASEASDIVFKYIEKENLVKPTNKAFVVLDPPLCDALFKGTVKKGSTYPTEIHKKDLGLTFVSRMQAHYVVSRGSESVVRKGSLKTIQIVTERRQGNKKVTKVSGVETFLMDAEALASELQKKFACSTSVQELPGKKGQEVLIQGGVIDDVARHLVEQYGVPKRYIEVLDKTRK >Manes.11G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:714228:718557:-1 gene:Manes.11G006000.v8.1 transcript:Manes.11G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPAVALVSASASASTSALSLPWFLRASQLEIASPPSKFPKLLLSPRSSSSSCLRVVCCGESKPGDLKFVLHHALDSSGIDTAHAREARKGFLSQIEKLTIIERETSISINRRVDLGKTALYIAAEDDSLVSHSSVPLPVDSFLDRLYDLSMGFCSDYSSSIGSSPEKFLDSLEKYLYVKKGFRRSSGGNHLDTRALYLHSVLTHRSGSSAMLSLVYSEILKLLRLWSLLEFDCEIFFPHDHHGLPKGYHKQKSVESDQLHIMTTETLLQEILRNLKETFWPFQNDHTKSSFLRAADAANCIDRSSTAEDSGFQLASAKAAQHRLDRGVWTSVRFGDMRRALSACERLILLESDPKELRDYSILLYHCGLYEQSLQYLKLYMDKKSNSLQKQPTYKFRNMEEDAVEKLMTRLNLISMEDGWSKPSHVRNFLGNNSEPW >Manes.18G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1699988:1708225:-1 gene:Manes.18G016300.v8.1 transcript:Manes.18G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAETGKKEVVEKGGELLFCGATCWDIIGRKKGSQDGNLVSPTRLRPLVGVDIRFVASGCVSCHCVALDVQGRCYTWGRNEKGQLGHGDTIQRDRPTIVSELSKYKIISAGSGRSHTVVVTEEGQSLAFGWNKHGQLGSGSVKNEIESSPVRCLVTNAKKTACGADFTVWLSSVEGASILTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPRAIASLAGETIVKVACGSNHTVAVDSNGYVYTWGFGGYGRLGHREQKDEWVPRRVEVFSKHNVLPPDAVISAGSVNSACTAGGGQLYMWGKLKNAGDDWMYPKPLMDLSGWNLRCMDSGSMHHFVGADNSCISWGHAQYGELGYGPSGQKSSALPKKVDILEGMHVIGVACGMGHSMVIVDRTNVGDRLEQLDVYDGKASGEGSAEPETKTPAAKPTRKAASKASENSKKRKKKSKDSSESEEEISDNESEDSEEEFNGRTQQSKRSGKASDKGRGKSASKGKAGHPSATKTTNSSRANAGKRGRPRKS >Manes.04G063963.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23819236:23819654:1 gene:Manes.04G063963.v8.1 transcript:Manes.04G063963.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSPDIKNQVRRAYLLKSPCQPHSHKFLQRIDKNRNRRFIFDEFGSLLEYSIAKDAAYCLYCYLFYLGRKKERLREHVGDHNSDHNRCRLLFQDLMNQAQHIEESILK >Manes.11G123900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28565464:28566627:-1 gene:Manes.11G123900.v8.1 transcript:Manes.11G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNYDIFTEILFLLPIETLLRFRCLSKTCCSFIDSPEFINLHLNQSIKTSTSRSLIIHEIKPDGSIYGIDLDSSESDRCARELHRPNRSYFDTLLSYAYTVYDIWDRKFYGDVFGSCNGLLAMYNGQGIILWNPSTRKHRTLPRFWGRSHCEYELLRGFGYDAVNDEYKLIVLIQPHMDNNVRVVVYSLKANSLTRIKYLHNYSIIRKCNNRRSIGVLVGGSLHWVVELKGDTKGRVILAFDLVEEKIYELAIPDMNMNGHSYLHVEELGGSLAICGLAGDWIFEIWVMKEYGKMESWTKIFYKASASTHLNEIPCPYMKALCCLRTKAGDDVLLLYNYNGKSFFLYDMQQRSAEKLEIFGPSEQYKIICNRISASTCIRSLVPVN >Manes.S025916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:374842:375654:-1 gene:Manes.S025916.v8.1 transcript:Manes.S025916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.05G019700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1794486:1801173:1 gene:Manes.05G019700.v8.1 transcript:Manes.05G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVITSASSVPASEFLTDVVEGMIEIAYAANNVLIKKESFKELAIYMDRIVPILKEFNKKDIGHSESLNNAIEILNREITTAKQLTMECTKRNKVYLLMNCRAIVKRLENTTREISRALDLLPLASLDLSSGIIDEIVKLRDSMQSAEFKAAIAEEEILEKIESGIQERNVDRSYANNLLVHIAEAVGISTERAALKKEFEDFKQEIESVQLRKDQAEAIQMAQIIALLERADAASSPKEKEMKYFTKRKSLGNQPLEPLLSFYCPITQEVMVDPVETSSGQTFERSAIEKWLVDGNKLCPLTMTPLNSSILRPNKTLRQSIEEWKDRNTMITIASMKSKLMSEEEGEVLHCLEQLEDLCAQRDQHREWVILENYIPVLIKLLGEKNRDIRHHALVILNILAKDSDDAKERIANVDNAIEFIVRSLGRRIGERKLAVALLLELSKFTLVRDCIGKVQGCILLLVTMSSSDDNQAAADAQELLENLAFSEQNIIQMAKANYFKHLLQHLSTGPEDVKMIMVSTLAEMELTDHNKASLFEGGVLSPLLHLVSGGDMEMRKLAIKALRNFSSLPANGLQMIREGAVRPLLDLLFRHISSSSGLREQLAATIMHLAESTVSQVSSPTPISLLESDEDALMLFSLINLTGPDVKQNILRIFYALCQSPSAPKIKSKLTECSAVQVLVQLCEQENQNVRANAVKLFCCLVEGGDEATILEHVGQNCLETLLRIIQSPTDLEEIVSAMGIISKLPENSQITHWLLNAGALPVIIRILPNSIQNDPHQNRLVENAVEAICRFTVPTNLEWQKKAAEAGIIPMLVQLLDFGTTLTKKYCAISLAHFSESSLSLSRPIPKHKGFWCFSVPPEIGCRIHGGVCAVESSFCLVEADAIRPLVRVLEDPDPGACEASLDALLTLIEAERLQSGSKVLAEANAIPSIVKFLSSSTPTLQEKALKALERIFRLPEYKQKYGPSAQFPLVDLTQRGNSSMKSLAARILAHLNVLHDQSSYF >Manes.01G065300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26489639:26490594:1 gene:Manes.01G065300.v8.1 transcript:Manes.01G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIISAQGLKTNSSSPFSHRLRPFITITAYPLAASSCSDDNKRRIYSTRIDDQGGVNPTWGDKFYIPIDTAFLTNRYSCIYLELYTKRLIMGRVLLGWCQIPVTDIGFPPEGSVRHLSYRLLARDGTKGHGIVNLTVKLTDFSSVGSQRFSDLNSKKISQSGSTVIGIPEMVFSHLNTCQRLNFKVD >Manes.03G179200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30123471:30129843:-1 gene:Manes.03G179200.v8.1 transcript:Manes.03G179200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSGTLRQYRLKHKRVNIRAVKHWCRQILKGLHYLHSRNPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAARCVGTPEFMAPEVYKEEYNELVDIYSFGMCVLEMVTCEFPYSECNHPAQIYKKVISGKKPDALYKVRDPEVRQFVEKCLATASSRLSARELLEDPFLQIDNYGYDLRSLDHQGDSNETGTLVRQPFYGIKDHAHYLGYDPENKIEYHKVGYEPCEIDLFSCQEDEHLENVDITIKGRRREDDGIFLRLRIADEEGRIRNIYFPFDIETDTALSVAAEMVSELDITDQDELKIADMIDSEIASLVPEWKRRPGKVESSQFTDATFCHNCSSHGHLLDYVSSNSPGAKNLQVLQCTEQGCANLHGRFEEITYQVEGPEKCSSTDYAPVVSSQSNGLSYADIWAQRDGSQESKEIHRDDEGDDAVNQSIFVKEERIINIDSLCESKTRKCVTSSPSAACAHWDDHENEIRQELRWLKAKYQTQLRELRDQQLSANHKKENGVPLPSIFHKVKREKYKPPLKSLPSGKHLSSNFLTDAEKRRANSEYQLVQNFEAVIRRYSPDRIINAKSFNTGDLLPYTLHRATSLPVDALDV >Manes.03G179200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30123471:30129843:-1 gene:Manes.03G179200.v8.1 transcript:Manes.03G179200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSGTLRQYRLKHKRVNIRAVKHWCRQILKGLHYLHSRNPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAARCVGTPEFMAPEVYKEEYNELVDIYSFGMCVLEMVTCEFPYSECNHPAQIYKKVISGKKPDALYKVRDPEVRQFVEKCLATASSRLSARELLEDPFLQIDNYGYDLRSLDHQGDSNETGTLVRQPFYGIKDHAHYLGYDPENKIEYHKVGYEPCEIDLFSCQEDEHLENVDITIKGRRREDDGIFLRLRIADEEGRIRNIYFPFDIETDTALSVAAEMVSELDITDQDELKIADMIDSEIASLVPEWKRRPGKVESSQFTDATFCHNCSSHGHLLDYVSSNSPGAKNLQVLQCTEQGCANLHGRFEEITYQVEGPEKCSSTDYAPVVSSQSNGLSYADIWAQRDGSQESKEIHRDDEGDDAVNQSIFVKEERIINIDSLCESKTRKCVTSSPSAACAHWDDHENEIRQELRWLKAKYQTQLRELRDQQLSANHKKENGVPLPSIFHKVKREKYKPPLKSLPSGKHLSSNFLTDAEKRRANSEYQLVQNFEAVIRRYSPDRIINAKSFNTGDLLPYTLHRATSLPVDALDV >Manes.03G179200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30123471:30129843:-1 gene:Manes.03G179200.v8.1 transcript:Manes.03G179200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPARLEPDSDEYNEILGKGASKIVYKAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHENIIKFYTSWVDAANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILKGLHYLHSRNPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAARCVGTPEFMAPEVYKEEYNELVDIYSFGMCVLEMVTCEFPYSECNHPAQIYKKVISGKKPDALYKVRDPEVRQFVEKCLATASSRLSARELLEDPFLQIDNYGYDLRSLDHQGDSNETGTLVRQPFYGIKDHAHYLGYDPENKIEYHKVGYEPCEIDLFSCQEDEHLENVDITIKGRRREDDGIFLRLRIADEEGRIRNIYFPFDIETDTALSVAAEMVSELDITDQDELKIADMIDSEIASLVPEWKRRPGKVESSQFTDATFCHNCSSHGHLLDYVSSNSPGAKNLQVLQCTEQGCANLHGRFEEITYQVEGPEKCSSTDYAPVVSSQSNGLSYADIWAQRDGSQESKEIHRDDEGDDAVNQSIFVKEERIINIDSLCESKTRKCVTSSPSAACAHWDDHENEIRQELRWLKAKYQTQLRELRDQQLSANHKKENGVPLPSIFHKVKREKYKPPLKSLPSGKHLSSNFLTDAEKRRANSEYQLVQNFEAVIRRYSPDRIINAKSFNTGDLLPYTLHRATSLPVDALDV >Manes.03G179200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30123471:30129843:-1 gene:Manes.03G179200.v8.1 transcript:Manes.03G179200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPARLEPDSDEVVEVDPTGRYGRYNEILGKGASKIVYKAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHENIIKFYTSWVDAANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILKGLHYLHSRNPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAARCVGTPEFMAPEVYKEEYNELVDIYSFGMCVLEMVTCEFPYSECNHPAQIYKKVISGKKPDALYKVRDPEVRQFVEKCLATASSRLSARELLEDPFLQIDNYGYDLRSLDHQGDSNETGTLVRQPFYGIKDHAHYLGYDPENKIEYHKVGYEPCEIDLFSCQEDEHLENVDITIKGRRREDDGIFLRLRIADEEGRIRNIYFPFDIETDTALSVAAEMVSELDITDQDELKIADMIDSEIASLVPEWKRRPGKVESSQFTDATFCHNCSSHGHLLDYVSSNSPGAKNLQVLQCTEQGCANLHGRFEEITYQVEGPEKCSSTDYAPVVSSQSNGLSYADIWAQRDGSQESKEIHRDDEGDDAVNQSIFVKEERIINIDSLCESKTRKCVTSSPSAACAHWDDHENEIRQELRWLKAKYQTQLRELRDQQLSANHKKENGVPLPSIFHKVKREKYKPPLKSLPSGKHLSSNFLTDAEKRRANSEYQLVQNFEAVIRRYSPDRIINAKSFNTGDLLPYTLHRATSLPVDALDV >Manes.03G179200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30123471:30129844:-1 gene:Manes.03G179200.v8.1 transcript:Manes.03G179200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSGTLRQYRLKHKRVNIRAVKHWCRQILKGLHYLHSRNPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAARCVGTPEFMAPEVYKEEYNELVDIYSFGMCVLEMVTCEFPYSECNHPAQIYKKVISGKKPDALYKVRDPEVRQFVEKCLATASSRLSARELLEDPFLQIDNYGYDLRSLDHQGDSNETGTLVRQPFYGIKDHAHYLGYDPENKIEYHKVGYEPCEIDLFSCQEDEHLENVDITIKGRRREDDGIFLRLRIADEEGRIRNIYFPFDIETDTALSVAAEMVSELDITDQDELKIADMIDSEIASLVPEWKRRPGKVESSQFTDATFCHNCSSHGHLLDYVSSNSPGAKNLQVLQCTEQGCANLHGRFEEITYQVEGPEKCSSTDYAPVVSSQSNGLSYADIWAQRDGSQESKEIHRDDEGDDAVNQSIFVKEERIINIDSLCESKTRKCVTSSPSAACAHWDDHENEIRQELRWLKAKYQTQLRELRDQQLSANHKKENGVPLPSIFHKVKREKYKPPLKSLPSGKHLSSNFLTDAEKRRANSEYQLVQNFEAVIRRYSPDRIINAKSFNTGDLLPYTLHRATSLPVDALDV >Manes.18G144836.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21514049:21515540:1 gene:Manes.18G144836.v8.1 transcript:Manes.18G144836.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.16G070201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:25647560:25649815:-1 gene:Manes.16G070201.v8.1 transcript:Manes.16G070201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNNTHFYSLLIALFFLATIVCFSSAASQQKIPTLSSHGRRLSDAEALFIKKRQLLYYRDEFGDRGERVTVDPSMVFENPRLRNAYIALQAWKQAIHSDPLNITANWVGSNVCDYTGVYCASAPDNKTIKTVAGIDLNHGDIAGFLPEELSLLVDLALFHINSNRFCGTVPHKFKDLRLLFELDLSNNRFAGKFPQVVLKLPMLKFLDLRFNEFEGSVPKELFDKDLDAIFINHNRFVFDIPDNLGNSPVSVIVLANNNFHGCVPSSLGNMTNLNEIILMNNHLKSCLPPEIGLLKDLNVLDVSFNQLMGPLPETFGGMVGLEQLNVAHNMLSGSIPSSICRLSNLENFTFSYNFFTGEPPVCLNLKDFDDRTNCLPARPLQRSAAQCRAFLSKPVDCNAFRCKPFVPSFPAPPPPSPPPPVVIPLSPPPPVLSPPPPPPPPVYSPPPPPPVYSPPPPPPSPPPPVYSPPPPPPSPPPPSPPPPPPPVYSPPPPPPSPPPPSPPPPSPPPPSPSPPPPSPPPPSPPPPSPLPPCVRPPPPPPPNSPPPPPPLYSPPPPIPYYYSSPPPPSPPHYSPPPPHSPPPPPIYPYLSPPPPPIYPPPAPVYSPPPPSPTPCIEPPPPPPPPCIEYSPPPPSPPPPPPPTHYKPPPSPSPPPPPPVYKSPPPPPPVTYASPPPPVHHHSPPPPSPPIYHSPPPPSPPPPIPCENPPPPPPPAVLYGSPPPPAPVYEGPLPPITGVSYASPPPPPYY >Manes.10G044000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4585857:4586681:1 gene:Manes.10G044000.v8.1 transcript:Manes.10G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIEEFQDALSLCDLSDLCDREIQPINEIPGSPSTQEEFFEFSTSETHSTMVDDNIIFCGKVISCRIENDLRNPSSSSPLLPNKNKLSTSTSTSKNSSKSGTFRIPSVNSRKQKVMIGLAKIPSKMELSDLRERQNRRTPSTMSPAVGSGVADSRKSGWGLIRLFRVRSHAISSTLPKTSVGCISLARPCID >Manes.07G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6100849:6102375:-1 gene:Manes.07G051700.v8.1 transcript:Manes.07G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSKGRQKVAMVKMPNESNLQVTFSKRRSGLFKKASELCTLCGVEVAIIVFSPGKKVFSFGHPGVETVVERFITRSPPQISPTMQLIEAHRNASVRELNLQLTQVCNQLEMEKKKAEEINQTRKANKSQCWWESPIEELNMPQLQQLKLSLEQLKKIVATQADKLLIQNSQAHLHSQFYASTSSGAVLPFESKNGVFNPEIVPSHGHNLGYGRGFY >Manes.12G012850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1284549:1293704:-1 gene:Manes.12G012850.v8.1 transcript:Manes.12G012850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASISLFLHRNSSVNNFVRRITTFCHLPVDAANQHQQKNPNNVSRKPQPYKNVLGTKHTFKEYSSLAPVLSLEDKPRLSDSQAIGIVAAAQANFMRVIVQLLPSYSELSSTFQKSEDRASSILSKSKIGVELLCVVKAVLKKIKRRVLVGDKVVVGSIDWGDRRGMIENVFQRNSEILYPPLANVDRLLVLFSMEQPKIQPFTLTRFLVEAESAGIPLTLVLNKSELVDEQTLVLWKYRLRSWGYEPVFCSVESKRGLDSLALSLRNKTTVVMGPSGVGKSRLINALRNNPRAYDAAGVDNLFDSKLGSKRFEEQRVAEVSTRSGRGKHTTCNVSLLPLSGGGYLVDTPGFSQPSLLKVTKQSLAQAFPEIQKILRASGCKCAFNDCLHVGEPGCTVKRNWERYSFYFQLVDEIRIWEEFQSRTFGTKKEADVRFPTLRILRV >Manes.12G012850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1284550:1293704:-1 gene:Manes.12G012850.v8.1 transcript:Manes.12G012850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASISLFLHRNSSVNNFVRRITTFCHLPVDAANQHQQKNPNNVSRKPQPYKNVLGTKHTFKEYSSLAPVLSLEDKPRLSDSQAIGIVAAAQANFMRVIVQLLPSYSELSSTFQKSEDRASSILSKSKIGVELLCVVKAVLKKIKRRVLVGDKVVVGSIDWGDRRGMIENVFQRNSEILYPPLANVDRLLVLFSMEQPKIQPFTLTRFLVEAESAGIPLTLVLNKSELVDEQTLVLWKYRLRSWGYEPVFCSVESKRGLDSLALSLRNKTTVVMGPSGVGKSRLINALRNNPRAYDAAGVDNLFDSKLGSKRFEEQRVAEVSTRSGRGKHTTCNVSLLPLSGGGYLVDTPGFSQPSLLKVTKQSLAQAFPEIQKILRASGCKCAFNDCLHVGEPGCTVKRNWERYSFYFQLVDEIRIWEEFQSRTFGTKKEADVRYKAGDMGVQQAEPRLDPKKHRTASRKRINQSIIDE >Manes.12G012850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1284550:1293704:-1 gene:Manes.12G012850.v8.1 transcript:Manes.12G012850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASISLFLHRNSSVNNFVRRITTFCHLPVDAANQHQQKNPNNVSRKPQPYKNVLGTKHTFKEYSSLAPVLSLEDKPRLSDSQAIGIVAAAQANFMRVIVQLLPSYSELSSTFQKSEDRASSILSKSKIGVELLCVVKAVLKKIKRRVLVGDKVVVGSIDWGDRRGMIENVFQRNSEILYPPLANVDRLLVLFSMEQPKIQPFTLTRFLVEAESAGIPLTLVLNKSELVDEQTLVLWKYRLRSWGYEPVFCSVESKRGLDSLALSLRNKTTVVMGPSGVGKSRLINALRNNPRAYDAAGVDNLFDSKLGSKRFEEQRVAEVSTRSGRGKHTTCNVSLLPLSGGGYLVDTPGFSQPSLLKVTKQSLAQAFPEIQKILRASGCKCAFNDCLHVGEPGCTVKRNWERYSFYFQLVDEIRIWEEFQSRTFGTKKEADVRTGSQLCGYSGYDHRIMNCHAAGTRLETWVSSKQNLVWIPRSIEQRLAKGSTNQ >Manes.12G012850.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1281225:1293704:-1 gene:Manes.12G012850.v8.1 transcript:Manes.12G012850.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASISLFLHRNSSVNNFVRRITTFCHLPVDAANQHQQKNPNNVSRKPQPYKNVLGTKHTFKEYSSLAPVLSLEDKPRLSDSQAIGIVAAAQANFMRVIVQLLPSYSELSSTFQKSEDRASSILSKSKIGVELLCVVKAVLKKIKRRVLVGDKVVVGSIDWGDRRGMIENVFQRNSEILYPPLANVDRLLVLFSMEQPKIQPFTLTRFLVEAESAGIPLTLVLNKSELVDEQTLVLWKYRLRSWGYEPVFCSVESKRGLDSLALSLRNKTTVVMGPSGVGKSRLINALRNNPRAYDAAGVDNLFDSKLGSKRFEEQRVAEVSTRSGRGKHTTCNVSLLPLSGGGYLVDTPGFSQPSLLKVTKQSLAQAFPEIQKILRASGCKCAFNDCLHVGEPGCTVKRNWERYSFYFQLVDEIRIWEEFQSRTFGTKKEADVREAGPA >Manes.07G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3954954:3957659:-1 gene:Manes.07G038100.v8.1 transcript:Manes.07G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARATSYSLPSFLQLMYRYRAFCSRNCALGYVEDKKICSNGHHAMHHRGLQIMISPAFGGGTRRNLHTHMVKVKDDMVSPPPVPPAPNHRRINFLKWAKWVLGSLLSFILPFWKLKWEKPKTIQEEVEIIEEETETAATVVQKVATVAENVSAEMAEKLPENGKLKETAMLIEKVSKATAHDAQLTGEFIHKVDELKHDVEDLETMAEPVIEKLPQQKSEGK >Manes.07G038100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3954954:3962128:-1 gene:Manes.07G038100.v8.1 transcript:Manes.07G038100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRGLQMMISPASGGGTRRNLHTHMVKVKDDMVSPPPVPPAPNHRRINFLKWAKWVLGSLLSFILPFWKLKWEKPKTIQEEVEIIEEETETAATVVQKVATVAENVSAEMAEKLPENGKLKETAMLIEKVSKATAHDAQLTGEFIHKVDELKHDVEDLETMAEPVIEKLPQQKSEGK >Manes.17G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27023659:27025400:-1 gene:Manes.17G069900.v8.1 transcript:Manes.17G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFTRKLMGKFDFRLENFLNSFTGKSRHGAVAVILAAPIVIIAGTYMVFRRAKHACKRKNDGVFARSMSIGALHGGILSLERLTYYHQARADAASLNTAESELKVLLAEEQPDFKKLQSIVAKLEMSGKEAFAVEILERAVDKARKDKKPHEAYEIEMLLVEMLIYKGDYKKALTCACLSDEAITDARRPLFKAIIHIMLEHPKEEATKCWEEFTEVRTLFQYPPSSQEDGQSQQGSTSFNEFEIFVKVLKQNIAKAHTKR >Manes.16G038600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4960977:4961405:-1 gene:Manes.16G038600.v8.1 transcript:Manes.16G038600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKEIRKPSSREIVIKGHEECVKMSNCLLEEFGFPLGLLPLEDVIELGFVRSSGYVWMKQKKRKEHNFKMINKLVSYDTEISGFVSKKSMKKLKGVKAKELMLWPPVIEIIVDDPSTGKIHFGSLLGITKTFQVEAFAAGQ >Manes.16G088100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29452712:29455539:-1 gene:Manes.16G088100.v8.1 transcript:Manes.16G088100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHDSRSSLPSRKRKLQEEEEEDEGMLSFSMDELNQDLLERVLSWLPTSTFFRLRSVCKRWKSVADSASFKFACSQIPSRDPWFFMVDPHLRKWTIFDSAERSWKKINHPPLLQQSSNSNSMPVAASGGLVCFSNDSGCLIVCNPVTGSCRELSPLNHATENQSLHVIAMSKCCKPQQSYKLVLVSGELPTLSCKIYNSSTNCWDEEILLKRKADETQEFESNDDNAVYFLSKSGNVVATDMQRSPFKQYSSIMTVKDGEEIAYFLSSFGTIVACNLTHKCFSEYPRLLPVFYEYSIDVVECGGEMLVVLLSEFFGSASLRVWRFDGVTRSWQQIAAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAGFFSYILCDLRTNAWVELPKCFINGEAVEFMSAFSFEPRIEASV >Manes.16G088100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29453185:29454803:-1 gene:Manes.16G088100.v8.1 transcript:Manes.16G088100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHDSRSSLPSRKRKLQEEEEEDEGMLSFSMDELNQDLLERVLSWLPTSTFFRLRSVCKRWKSVADSASFKFACSQIPSRDPWFFMVDPHLRKWTIFDSAERSWKKINHPPLLQQSSNSNSMPVAASGGLVCFSNDSGCLIVCNPVTGSCRELSPLNHATENQSLHVIAMSKCCKPQQSYKLVLVSGELPTLSCKIYNSSTNCWDEEILLKRKADETQEFESNDDNAVYFLSKSGNVVATDMQRSPFKQYSSIMTVKDGEEIAYFLSSFGTIVACNLTHKCFSEYPRLLPVFYEYSIDVVECGGEMLVVLLSEFFGSASLRVWRFDGVTRSWQQIAAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAGFFSYILCDLRTNAWVELPKCFINGEAVEFMSAFSFEPRIEASV >Manes.03G071500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:11312660:11316053:-1 gene:Manes.03G071500.v8.1 transcript:Manes.03G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTVQPLSPIFLTFNPQSHSPLQTHQQSTKLLQKNCLQTLGSTSPPKPINQSLSQASWLESLRFCSRSNLYQEAVSTYIEMILSGVSPDNSAFPAVLKAVTGLQDLSFGKQVHAHVIKYGYESSSVTIANTLVNFYGKCGELDDVYKVFDRITERDLVSWNSLISAFCRSEEWDCALEAFRLMWSENLEPSSFTLVSLALACSKLHKHEGLRLGKQVHAYSFRKCHSTTFTNNSLMTMYANLGRLNDAKILFDLFEDRNLVSWNTIISSFSQNDRFMEALVFLRLMVLEGVKPDGFTLASVLPACSNLEMFVTGKEIHAYALRNGNLIENSYVGSALVDMYCNCGHVESGRQVFDGILERNIGLWNAMIAGYAQNEHDEKAVMLFLEMEPVAGLFPNATTMASVVPACVRCEAFSNKESIHGFVIKRGLERNRYVQNALVDMYSRMGNMEISKTIFKNMDFRDIVSWNTMITGYVISGSFDDALQLLHEMQYADEGNNKHNDNKQVRLKANSITLMTVLPGCASLAALAKGKEIHAYSMRNSLASEVTVGSALVDMYAKCGCLNLSRRVFDRMPIRNIITWNVIIMAYGMHGNGKKALELFKDMVNSGEVKPTDVTFIAILAACSHSGMVEEGLHLFHKMKDDHSIDPGPDHYACVVDLLGRAGKVEQAYELINVMPSGFDKVGAWSSLLGSCRIHQNIKIGETVAQNLLQLQPNVASHYVLLSNMYSSVGLWDKAMNVRRKMKEMGLKKEPGCSWIEYGDETHKFLAGDLSHPQSEKLHDYLETLSEKMKKEGYVPDTSCVLHNVDEEEKETLLCGHSEKLAIAFGILNTPPGTTIRVAKNLRVCNDCHAATKFISKMVDREIILRDVRRFHHFRNGTCSCGDYW >Manes.04G088300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29351502:29354118:-1 gene:Manes.04G088300.v8.1 transcript:Manes.04G088300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASEIAARISEALISASKKANPALSWTPSLERIVHSLGCRSSLSPSLVAQVIDPCLLTHHSLALGFFNWASQQPGFTHTSLTYQSILKSLSLSRQFNSIESILKQVKARKFSLDSSTHRFVINSLIQGSKTQNAFLLFTEVKSQILDIGPETCNSLLAALSSVGCFENAQRVYDEMTTRGVPFSTMGFGVFIWRFCRDAYLAKVLTMLNQVKEGNSMINGSVIALLIVHGLCQASRVDEALWILNELRIRDCKPDFMAYRVVAEAFRSLGSAADVNKVLKMKRKLGVAPRSNDYGEFILILIKERLIYEAKELGEIIVHGNFPIEIDVLNALMGSVSSIDPSAAVLFFHFLIGKGRLPTLLTLSNLGRNLCRHGKIDEMLEVYHVLTSNDYFSDMESYNVIFSFFCKVGRVREAYGILQEMKKKGLGPDIAMYNSLIEVCCREDLLRPAKKLWDEMFAIGCGVNLKTYNTLIAKFSEIAEVEEALRLFNHMLEKGMAPDATTYASLLKGLCQDTKFDAAIEIFNKSINQDLVLAQSILSTFILNLCTKGQFLVASELLCGLAYDIGHPDSHVVLLKCLADAEEVPIAVEHLKRIQDMSPSKLQVIFTELLALLSCSSKPESILFLLQSMREHCMDSSGDYWKHVCRKSHV >Manes.07G080698.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24688179:24689689:1 gene:Manes.07G080698.v8.1 transcript:Manes.07G080698.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.08G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1110731:1115602:1 gene:Manes.08G008500.v8.1 transcript:Manes.08G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLRRALGSRSSQILPNTTTASAGPNYSLLASVARAFSSATTPITATLFPGDGIGPEIAESVKQVFKEAEVPIQWEEHYVGTEIDPRTQSFLTWESLESVRRNRVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCNSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKAHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPSFFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNMANPTALLLSAVSMLRHLELHDKADRIQNAILNTIAEGKYRTADLGGTSSTTDFTKAICDHL >Manes.18G086650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7993878:7995314:1 gene:Manes.18G086650.v8.1 transcript:Manes.18G086650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISKEIFTSSSLQSPQRDVGLFRVSGVFPTFSKDGSKLAFVDNEFKAVWVADKQGLRIVYETKGHDHHIFSPVWNQDPQKDILYVCVGPSFNAGEILDICAIPNVSSGARQRRKLTNGFNNAFPSTSPDGKKLVFRSTRDGGNKKYKNLYIIEDAEVGEYGNGKITRLTNGPWTDTHCQWSPTNDWIVFSSTRDKPKDAPETDNGLDPGYFAVFLVKANDPSVVIRVIRSGDDLAEHVNHPFFSPDGKSIAVTANDCIVFCRSNFFTSFLAFCETIWRYLHC >Manes.07G024000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2586612:2592045:-1 gene:Manes.07G024000.v8.1 transcript:Manes.07G024000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETAKHSLDSASAESPNRPSKISKVQYFSDDEGGYDEELVESSVVTRTMSPNPRLQRYLVAIEYIGTRFSGSQKQLTCRTVVGVLEEAFHKFIGQPVSISLSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPAVVRRAVNHFLQKNEGDIMVIDVQCVPVDFHARFKAQERTYFYRLLSGPEPLSTFEQNRAWHVPEQLDLVAMQEACKILVGHHDFSSFRASGCQAKSPIRTLDELSVSEVASTPYFPSIVEREKEVLHEEESCCNNFQTDMASGSISDTNMPGASYIEDVGFGIRKRHRCYVVTARARSFLYHQVRLLVGALKSVGTGDLTVSDEY >Manes.07G024000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2586748:2592041:-1 gene:Manes.07G024000.v8.1 transcript:Manes.07G024000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETAKHSLDSASAESPNRPSKISKVQYFSDDEGGYDEELVESSVVTRTMSPNPRLQRYLVAIEYIGTRFSGSQKQLTCRTVVGVLEEAFHKFIGQPVSISLSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPAVVRRAVNHFLQKNEGDIMVIDVQCVPVDFHARFKAQERTYFYRLLSGPEPLSTFEQNRAWHVPEQLDLVAMQEACKILVGHHDFSSFRASGCQAKSPIRTLDELSVSEVASTPYFPSIVEREKEVLHEEESCCNNFQTDMASGSISDTNMPGASYIEDVGFGIRKRHRCYVVTARARSFLYHQVRLLVGALKSVGTGDLTVSDVERILKAKSVTAASPMAPACGLYLGRVKYDLP >Manes.07G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2586612:2592070:-1 gene:Manes.07G024000.v8.1 transcript:Manes.07G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETAKHSLDSASAESPNRPSKISKVQYFSDDEGGYDEELVESSVVTRTMSPNPRLQRYLVAIEYIGTRFSGSQKQLTCRTVVGVLEEAFHKFIGQPVSISLSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPAVVRRAVNHFLQKNEGDIMVIDVQCVPVDFHARFKAQERTYFYRLLSGPEPLSTFEQNRAWHVPEQLDLVAMQEACKILVGHHDFSSFRASGCQAKSPIRTLDELSVSEVASTPYFPSIVEREKEVLHEEESCCNNFQTDMASGSISDTNMPGASYIEDVGFGIRKRHRCYVVTARARSFLYHQVRLLVGALKSVGTGDLTVSDVERILKAKSVTAASPMAPACGLYLGRVKYDLP >Manes.16G090200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29665097:29669698:-1 gene:Manes.16G090200.v8.1 transcript:Manes.16G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKRHHKEKFARRKEEKPEEPETPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGAVDLRSADAHKISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPDAADDADGKSRTPKEDQQLSFRTATAKSVYQCIVKPQTIIKTNEMFLPGRMAFIFNMEGGYSHDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDAKGKVPSVDEEGKHSKTFGVASNNKTEREILPPPPPLPPPKKSQPDSREKQGPAVARGEDNDIFVGDGVDYNIPGKDMSQSPISEDMEESPRNKDRVSYFSEPVYGPVPPSGPSQEWQDLNGYDAMQGQALANGYQGEWQDYQYAEQLAYPEQYLQQTVQGYDMQAVSNIVQDPRLMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHQINKILARKKMEKETGDGGHFDDDIQLAKKPRV >Manes.05G136200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:23837330:23838489:-1 gene:Manes.05G136200.v8.1 transcript:Manes.05G136200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSKVLSDIVRKWTKRKKGHFVVYTKEGKRFVVPICYLNHPIFRVLLEMAEEEFGTTTHGPLQVPCEEEFMEYIFAVLRKNPSVEVESALISMNTCEGISISSFFPVLPSHCQAARGSIVL >Manes.05G082100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6758660:6763434:-1 gene:Manes.05G082100.v8.1 transcript:Manes.05G082100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQSNFDCSTLLTNTLNKAKKRWRIAYLTICSVRAMLSLVREIIASETNSYQHSGILHSIPYTILDVESISSKKHGPVSKLPDVDKKTLAEMVKEKDSEALRQFEGVEGVATALGTNIEHGIDGGESEICSRRDVFGSNTYNKPPPKGFLFFVVDAFKDTTIIILLVCATLSLGFGIKEDGAEDGWYEGGSIFVAVFLVVVVSALSNFRQETQFDKLSRISSNIKIDVVRNGRRQQISIFDIVVGDVISLKIGDQIPADGLFLHGHSFMVDESSMTGESDHVEVNSTRNPFLLSGSKVADGYAQMLVTSVGMNTAWGEMMSSITRDSNERTPLQARLDKLTSSIGKVGLSVAFLVLVVMLIRYFTKNTKNENGMTEYRGTKTDTDDMLNAIVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLSACETMGSATVICTDKTGTLTLNQMQVTKFWLGQEPIDEVSCRGIAPTIVELFHQGVGLNTTGSVYIPASGSLPELSGSPTEKAILSWAVSEMGMDIGRVKQNYTILHVETFNSEKKRSGVSIRKLADNTVHVHWKGAAEMILGMCSDYYESNGTINSIDEDGRNKIERIIQGMAASSLRCIAFAHKKITEEAMENDNGEKTRPKLQEHDLTLLGIVGLKDPCRPGAKKAVEICKSAGVNIKMITGDNVFTAKAIATECGILEMDHKVDDGVVVEGAEFRNYTHEERMERVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTDELMKRPPVGRTEPLITNIMWRNLLAQSLYQIAILLTLQFRGESIFNVSPEVNDTIIFNTFVFCQVFNEFNARNLEKQNVFKGIHRNHLFLGIVGVTIVLQIVMVEFLKKFASTEKLNWLQWAVCILIAAVSWPIAWIVKLMPVSGKPFLSYLKRPAFSFNRAMSSIYHRRSSSWIAGHDRWIPPLRSEAKPVISAQYLEALKSSL >Manes.05G082100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6758652:6763496:-1 gene:Manes.05G082100.v8.1 transcript:Manes.05G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQSNFDCSTLLTNTLNKAKKRWRIAYLTICSVRAMLSLVREIIASETNSYQHSGILHSIPYTILDVESISSKKHGPVSKLPDVDKKTLAEMVKEKDSEALRQFEGVEGVATALGTNIEHGIDGGESEICSRRDVFGSNTYNKPPPKGFLFFVVDAFKDTTIIILLVCATLSLGFGIKEDGAEDGWYEGGSIFVAVFLVVVVSALSNFRQETQFDKLSRISSNIKIDVVRNGRRQQISIFDIVVGDVISLKIGDQIPADGLFLHGHSFMVDESSMTGESDHVEVNSTRNPFLLSGSKVADGYAQMLVTSVGMNTAWGEMMSSITRDSNERTPLQARLDKLTSSIGKVGLSVAFLVLVVMLIRYFTKNTKNENGMTEYRGTKTDTDDMLNAIVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLSACETMGSATVICTDKTGTLTLNQMQVTKFWLGQEPIDEVSCRGIAPTIVELFHQGVGLNTTGSVYIPASGSLPELSGSPTEKAILSWAVSEMGMDIGRVKQNYTILHVETFNSEKKRSGVSIRKLADNTVHVHWKGAAEMILGMCSDYYESNGTINSIDEDGRNKIERIIQGMAASSLRCIAFAHKKITEEAMENDNGEKTRPKLQEHDLTLLGIVGLKDPCRPGAKKAVEICKSAGVNIKMITGDNVFTAKAIATECGILEMDHKVDDGVVVEGAEFRNYTHEERMERVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTDELMKRPPVGRTEPLITNIMWRNLLAQSLYQIAILLTLQFRGESIFNVSPEVNDTIIFNTFVFCQVFNEFNARNLEKQNVFKGIHRNHLFLGIVGVTIVLQIVMVEFLKKFASTEKLNWLQWAVCILIAAVSWPIAWIVKLMPVSGKPFLSYLKRPAFSFNRAMSSIYHRRSSSCRFANECGRS >Manes.05G082100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6758651:6763434:-1 gene:Manes.05G082100.v8.1 transcript:Manes.05G082100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQSNFDCSTLLTNTLNKAKKRWRIAYLTICSVRAMLSLVREIIASETNSYQHSGILHSIPYTILDVESISSKKHGPVSKLPDVDKKTLAEMVKEKDSEALRQFEGVEGVATALGTNIEHGIDGGESEICSRRDVFGSNTYNKPPPKGFLFFVVDAFKDTTIIILLVCATLSLGFGIKEDGAEDGWYEGGSIFVAVFLVVVVSALSNFRQETQFDKLSRISSNIKIDVVRNGRRQQISIFDIVVGDVISLKIGDQIPADGLFLHGHSFMVDESSMTGESDHVEVNSTRNPFLLSGSKVADGYAQMLVTSVGMNTAWGEMMSSITRDSNERTPLQARLDKLTSSIGKVGLSVAFLVLVVMLIRYFTKNTKNENGMTEYRGTKTDTDDMLNAIVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLSACETMGSATVICTDKTGTLTLNQMQVTKFWLGQEPIDEVSCRGIAPTIVELFHQGVGLNTTGSVYIPASGSLPELSGSPTEKAILSWAVSEMGMDIGRVKQNYTILHVETFNSEKKRSGVSIRKLADNTVHVHWKGAAEMILGMCSDYYESNGTINSIDEDGRNKIERIIQGMAASSLRCIAFAHKKITEEAMENDNGEKTRPKLQEHDLTLLGIVGLKDPCRPGAKKAVEICKSAGVNIKMITGDNVFTAKAIATECGILEMDHKVDDGVVVEGAEFRNYTHEERMERVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTDELMKRPPVGRTEPLITNIMWRNLLAQSLYQIAILLTLQFRGESIFNVSPEVNDTIIFNTFVFCQVFNEFNARNLEKQNVFKGIHRNHLFLGIVGVTIVLQIVMVEFLKKFASTEKLNWLQWAVCILIAAVSWPIAWIVKLMPVSGKPFLSYLKRPAFSFNRAMSSIYHRRSSS >Manes.14G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1463142:1467256:1 gene:Manes.14G002500.v8.1 transcript:Manes.14G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLALTMSSSCSLAFNSRPSFKSPSLSHFRSSATRLPSFPKLTANIRFSPAISYLPKFSKSDAVTATEVFQKRRSFSLTVKASVAAASPASSTPPSQPWHGAAIKPLLASIATGVILWFIPVPSGVSRPAWQLLAIFLATIVGIITQPLPLGAVALMGLGASVLTKTLTFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNAGDGTEHKLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTFNTIKQTIGWTDWATAAIVPGLVSLFVVPLLLYIIYPPTVKSSPDAPKLAREKLEKMGPMTKNEIIMAGTLLLTVGLWIFGSKLNVDAVTAAILGLSMLLITGVVTWKECLSEAVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYFGAMVLSFLSNLMGGLTHYGIGSAPVFYGANYVPLAKWWGYGFLMSVVNIIIWLGIGGIWWKAIGLW >Manes.05G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10990188:10996381:-1 gene:Manes.05G110400.v8.1 transcript:Manes.05G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRGRRLPDLPELAFPNNTGKLNLDGCGNGVSGLPGLKKRGHGHGSRSWIKIDQNGHSKILELDKATIIRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEKIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELACMSLDAQVQELEMEIYPVLDELASSINTLNLECVRRLKGHLLTLTQRVQKVHDEIEHLMDDDGDMAEMYLTEKKESAEACALGDLYFQNNISGEIRVVSKSAPVSPVRSMSGVLQLQRTFSNVVTSSKHGSLTSPSTNEENVHQLEMLLEAYFVAIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVAALFAAVAGIFGMNFTASIFDYHSAFNWVLIITGIACGLLYFLFLLYFKHKKVFPL >Manes.05G110400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10990188:10996381:-1 gene:Manes.05G110400.v8.1 transcript:Manes.05G110400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRGRRLPDLPELAFPNNTGKLNLDGCGNGVSGLPGLKKRGHGHGSRSWIKIDQNGHSKILELDKATIIRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEKIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELACMSLDAQVQELEMEIYPVLDELASSINTLNLECVRRLKGHLLTLTQRVQKVHDEIEHLMDDDGDMAEMYLTEKKESAEACALGDLYFQNNISGEIRVVSKSAPVSPVRSMSGVLQLQRTFSNVVTSSKHGSLTSPSTNEENVHQLEMLLEAYFVAIDNTLSKLFSLKEYIDDTEDLINIKLQPLWPPYSLQWQEYLG >Manes.05G110400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10990188:10996381:-1 gene:Manes.05G110400.v8.1 transcript:Manes.05G110400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRGRRLPDLPELAFPNNTGKLNLDGCGNGVSGLPGLKKRGHGHGSRSWIKIDQNGHSKILELDKATIIRHCSLPARDLRLLDPLFIYPSTILGREKAIVVSLEKIRCIITADEVILMNSLDGCVVQYESEFCKRLQTNKDQAEDLPFEFRALELALELACMSLDAQVQELEMEIYPVLDELASSINTLNLECVRRLKGHLLTLTQRVQKVHDEIEHLMDDDGDMAEMYLTEKKESAEACALGDLYFQNNISGEIRVVSKSAPVSPVRSMSGVLQLQRTFSNVVTSSKHGSLTSPSTNEENVHQLEMLLEAYFVAIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVAALFAAVAGIFGMNFTASIFDYHSAFNWVLIITGIACGLLYFLFLLYFKHKKVFPL >Manes.06G002350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:611317:611643:1 gene:Manes.06G002350.v8.1 transcript:Manes.06G002350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVSQFLQSRQLNSQLIRENLQSAWDRMKQIVDEKRSGEVLEVGDWVYLKLQPYKQTSLVVRKQLKLFARYHGPYKVIEKIGQVAYKLLLPPDAVVHPVFRVSLRKG >Manes.04G068501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:26619701:26620102:-1 gene:Manes.04G068501.v8.1 transcript:Manes.04G068501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEIGLKHDLNRALAEEEIFWKQHSKTFWLRERERDSNYKFFYAQASIRKRTNTVEALQDSDGQLVHDKTGMGNLVINYFNDLFSTSSCVYEPVVSLIEDKVTKDMNDHLFAPFNPDEFLKGYFSDTSRLISRA >Manes.01G232000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39595281:39599848:1 gene:Manes.01G232000.v8.1 transcript:Manes.01G232000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLRGIKNDLKGRLLCYKQDWTGGFRAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAVCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKERPDLGRDLFLAWTGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRIPQREDPKLIEFIPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGTGWLRSFTADYGVPFMVLVWTAVSYIPSGSVPQGIPRRLFSPNPWSPGAYENWTVIKEMLNVPIFYIIGAFVPATMIAVLYYFDHSVASQLAQQKEYNLRKPSSYHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKYQLLRNRLVATARTSIKKNASLGQLYGNMQEAYQQMQTPLIYQQPSQGLKEFKETTIQAASSTGHIDAPVDETVFDIEKEIDDLLPVEVKEQRVSNLLQATMVGGCVAAMPFLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIATFTIFQTIYLLICFGLTWVPIAGVMFPLMIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPALPYNIATESELGAGATRAGDGEILDEVITRSRGEFRHVSSPKITSTTGTPANDPKSHQSPRLSYTYSPRLSELKGEKSPKASGRGPISPRPAELGLSKLGKSPSNSAQK >Manes.08G154500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38880270:38881157:-1 gene:Manes.08G154500.v8.1 transcript:Manes.08G154500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINKEALHPLLFIKSLTNISKKKTTKRGDYTKKMAGLIKKIEETLHIGDHKGKHQGDHKGKHEGDHHKAGEHNKDHKKGEHKEGLVDKIKEKIHGDKHVDGDKHVDGDKKKKKEKKKHEHESGHECGHSSSSDSD >Manes.11G163700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32840589:32842584:1 gene:Manes.11G163700.v8.1 transcript:Manes.11G163700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLRLFSPPPTKASHINHIKNPVSVFSSPTPTITFSRNSNCRIRSSKFGSFLELKPESTPDFLDFDLSWFDPAARSRFDVIIIGTGPAGLRLAEQVSGYGIKVCCVDPSPLSMWPNNYGVWVDEFESLGLLDCLDKTWPMACVFIDDHKTKYLDRPYGRVSRKKLKTKLIEKCVSNDVKFHKAKVWKVEHEEFESSVICDDGNELKASLVVDASGFASPFIQYNKPRNHGYQIAHGILAEVDCHPFDLDKMILMDWRDSHMGNEPYLRASNSKFPTFLYAMPFDSNLIFLEETSLVSRPVLSYMEVKRRMVARLRHLGIRVKRVIEDEKCLIPMGGPLPSIPQSVMAIGGTSGVVHPSTGYMVARTMALAPVAADAIAECLGSTRMIRGKPLYNRVWNGLWPLEKRCEREFYSFGMETLLKLDLKGSRSFFDAFFDLDPYYLQGFLSSKLSLGELALLSLSVFGNASNSSRFDIVTKCPVPLVRMMGNLALETIL >Manes.03G123918.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25103862:25113429:-1 gene:Manes.03G123918.v8.1 transcript:Manes.03G123918.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSGEPADSYYEVRPECTDVPKSKFKIRAGKTLSVRKWQSAFSPEGLLDIGKTLNRIQHGGIHPSIRGEVWEFLLGCYDAKSTYEEREEIREQRRIQYARLKEVCCEIFPVVGSGRFITAPVVTEDGQPIQEPLVALETNQDKASASPSEDGNSAEVAGSSDADNIVATSEIVKELTSHGPLDKKIIQWLLTLHQIGLDVVRTDRTLVFYEKKENLSKLWDILAVYAWIDTDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTDSSVGVETQLTTLASVTQVIDPKLHQHLDALGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFMMYEEADYDKAEGSKGRSKSIRQYGKYERENMRSGGAEAPLPISVFLVASVLKDKSAKLLTEARGLDDVVKILNDMTGNLDAKKACTGAMKLHKKYLKKQAKKP >Manes.03G123918.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25105094:25113429:-1 gene:Manes.03G123918.v8.1 transcript:Manes.03G123918.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSGEPADSYYEVRPECTDVPKSKFKIRAGKTLSVRKWQSAFSPEGLLDIGKTLNRIQHGGIHPSIRGEVWEFLLGCYDAKSTYEEREEIREQRRIQYARLKEVCCEIFPVVGSGRFITAPVVTEDGQPIQEPLVALETNQDKASASPSEDGNSAEVAGSSDADNIVATSEIVKELTSHGPLDKKIIQWLLTLHQIGLDVVRTDRTLVFYEKKENLSKLWDILAVYAWIDTDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTDSSVGVETQLTTLASVTQVIDPKLHQHLDALGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFMMYEEADYDKAEGSKGRSKSIRQYGKYERENMRSGGAEAPLPISVFLVASVLKDKSAKLLTEARGLDDVVKILNDMTGNLDAKKACTGAMKLHKKYLKKVLLLP >Manes.03G123918.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25103862:25113429:-1 gene:Manes.03G123918.v8.1 transcript:Manes.03G123918.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSGEPADSYYEVRPECTDVPKSKFKIRAGKTLSVRKWQSAFSPEGLLDIGKTLNRIQHGGIHPSIRGEVWEFLLGCYDAKSTYEEREEIREQRRIQYARLKEVCCEIFPVVGSGRFITAPVVTEDGQPIQEPLVALETNQDKASASPSEDGNSAEVAGSSDADNIVATSEIVKELTSHGPLDKKIIQWLLTLHQIGLDVVRTDRTLVFYEKKENLSKLWDILAVYAWIDTDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTDSSVGVETQLTTLASVTQVIDPKLHQHLDALGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFMMYEEADYDKAEGSKGRSKSIRQYGKYERENMRSGGAEAPLPISVFLVASVLKDKSAKLLTEARGLDDVVKILNDMTGNLDAKKACTGAMKLHKKYLKKAKKP >Manes.08G004112.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:826359:830278:1 gene:Manes.08G004112.v8.1 transcript:Manes.08G004112.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGSGCGYDSNFQQPPDGIFRFSSSASASSPSGKKTRISRKKSGITGRRLNFGAENYKADKRSGGSEKSARRLAVCLKKKRTAKSVPSKCRSCSSKDSSLFGCGLGIGIMYMMSAGKAEISKLSNAMDETAKTVKELRTELYKRKSAKVVAISKDLSSNNELEFYRAGTGHNNDSKVIKASGIPMIDDFECPSSGLTEEPEPQLPEMDQLEAELASELQKPPWSYPEASGHGGVEPNMDKKHIVQNETFSGGLHKLEGQSNISCQGDGVLPSELDRKLSHLLIEQLENQIEELESELHSAQSKLHEKEAELDCVKCLTEFSLSTESDDETVTFAEQEYVITNAGITYCKSKFQLTECDYQKARDYLIKT >Manes.18G004200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:789409:809856:1 gene:Manes.18G004200.v8.1 transcript:Manes.18G004200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLWISLFQVLHIASIICAEGFDTRLLLTSNAKSGERRSEEYCAMYGICGARDDGKVLNCPHGSPSVKPDDLLSQKIQSLCPTITGNVCCTEPQFETLRSQVQPAIPFLVGCPACLRNFLNFFCELTCSPHQSLFINVTSISKVKNNFTVDGIDFYVADAFGEGLYESCKDVKFGTMNTRALNFIGAGAQNFKEWYAFIGRRAAPNLPGSPYAITFKSIVPEPSGMKPMNVSTYSCADTSLGCSCGDCPSSPVCTSTAPPPQHKKSSCSVRIGSIKAKCIDFTLTILYIVLVSMFLGWGLFHQKRERNQTSGMKPMQHSMDIGDFHSVIRRKDENLPMQMLEDSPQTGNRVQLSIVQGYMSTFYRRYGTWVARHPALVLSSSVVVVLLLCLGLIRFKVETRPEKLWVGPGSKAAEEKKFFDSHLAPFYRIEQLILATTPNAEDGKLPSIITEENIKLLFEVQKKIDGIRANYSGSKISLTDICMKPLDQDCATQSVLQYFQMDPQNYDNYGGIEHVHYCLQHYTSADKCMSTFKAPLDPSTALGGFSGSNYSEASAFIVTYPVNNAVDREGNKIDKAVAWEKAFIQLAKDDLLPMFQRKNLTLSFSSESSIEEELKGESTADAITILISYLVMFAYISLTLGDTPRLSSFYISSKVLLGLSGVLLVMLSVLGSVGLFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFLRAEDKRVDCVPCLKISSSYADSDKGIGGRRPGLLARYMKVVHAPMLSLWGVKIVVIFIFIGFALASIALSTRVEPGLDQKIVLPRDSYLQGYFNNASEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCNSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGSYCPPDDQPPCCSSDSGSCDPSGVCKDCTTCFRHSDLNNDRPSTAQFRDKLPWFLNALPSADCAKGGHGAYTSSVELEGYEDGVIQASSFRTYHTPLNKQIDYVNSMKAAQEFSSRMSDSLKMEVFPYSVFYMFFEQYLDIWKTALINLAIAIGAVFVVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILDIQLNAVSVVNLVMSVGISVEFCVHITHAFSVTSGDRDQRVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEIFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCKLVEKTEDRPSVSLQP >Manes.18G004200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:789409:809856:1 gene:Manes.18G004200.v8.1 transcript:Manes.18G004200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLWISLFQVLHIASIICAEGFDTRLLLTSNAKSGERRSEEYCAMYGICGARDDGKVLNCPHGSPSVKPDDLLSQKIQSLCPTITGNVCCTEPQFETLRSQVQPAIPFLVGCPACLRNFLNFFCELTCSPHQSLFINVTSISKVKNNFTVDGIDFYVADAFGEGLYESCKDVKFGTMNTRALNFIGAGAQNFKEWYAFIGRRAAPNLPGSPYAITFKSIVPEPSGMKPMNVSTYSCADTSLGCSCGDCPSSPVCTSTAPPPQHKKSSCSVRIGSIKAKCIDFTLTILYIVLVSMFLGWGLFHQKRERNQTSGMKPMQHSMDIGDFHSVIRRKDENLPMQMLEDSPQTGNRVQLSIVQGYMSTFYRRYGTWVARHPALVLSSSVVVVLLLCLGLIRFKVETRPEKLWVGPGSKAAEEKKFFDSHLAPFYRIEQLILATTPNAEDGKLPSIITEENIKLLFEVQKKIDGIRANYSGSKISLTDICMKPLDQDCATQSVLQYFQMDPQNYDNYGGIEHVHYCLQHYTSADKCMSTFKAPLDPSTALGGFSGSNYSEASAFIVTYPVNNAVDREGNKIDKAVAWEKAFIQLAKDDLLPMFQRKNLTLSFSSESSIEEELKGESTADAITILISYLVMFAYISLTLGDTPRLSSFYISSKVLLGLSGVLLVMLSVLGSVGLFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFLRAEDKRVDCVPCLKISSSYADSDKGIGGRRPGLLARYMKVVHAPMLSLWGVKIVVIFIFIGFALASIALSTRVEPGLDQKIVLPRDSYLQGYFNNASEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCNSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGSYCPPDDQPPCCSSDSGSCDPSGVCKDCTTCFRHSDLNNDRPSTAQFRDKLPWFLNALPSADCAKGGHGAYTSSVELEGYEDGVIQASSFRTYHTPLNKQIDYVNSMKAAQEFSSRMSDSLKMEVFPYSVFYMFFEQYLDIWKTALINLAIAIGAVFVVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILDIQLNAVSVVNLVMSVGISVEFCVHITHAFSVTSGDRDQRVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEIFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCKLVEKTEDRPSVSLQP >Manes.18G004200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:789409:809856:1 gene:Manes.18G004200.v8.1 transcript:Manes.18G004200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLWISLFQVLHIASIICAEGFDTRLLLTSNAKSGERRSEEYCAMYGICGARDDGKVLNCPHGSPSVKPDDLLSQKIQSLCPTITGNVCCTEPQFETLRSQVQPAIPFLVGCPACLRNFLNFFCELTCSPHQSLFINVTSISKVKNNFTVDGIDFYVADAFGEGLYESCKDVKFGTMNTRALNFIGAGAQNFKEWYAFIGRRAAPNLPGSPYAITFKSIVPEPSGMKPMNVSTYSCADTSLGCSCGDCPSSPVCTSTAPPPQHKKSSCSVRIGSIKAKCIDFTLTILYIVLVSMFLGWGLFHQKRERNQTSGMKPMQHSMDIGDFHSVIRRKDENLPMQMLEDSPQTGNRVQLSIVQGYMSTFYRRYGTWVARHPALVLSSSVVVVLLLCLGLIRFKVETRPEKLWVGPGSKAAEEKKFFDSHLAPFYRIEQLILATTPNAEDGKLPSIITEENIKLLFEVQKKIDGIRANYSGSKISLTDICMKPLDQDCATQSVLQYFQMDPQNYDNYGGIEHVHYCLQHYTSADKCMSTFKAPLDPSTALGGFSGSNYSEASAFIVTYPVNNAVDREGNKIDKAVAWEKAFIQLAKDDLLPMFQRKNLTLSFSSESSIEEELKGESTADAITILISYLVMFAYISLTLGDTPRLSSFYISSKVLLGLSGVLLVMLSVLGSVGLFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIVFDFLRAEDKRVDCVPCLKISSSYADSDKGIGGRRPGLLARYMKVVHAPMLSLWGVKIVVIFIFIGFALASIALSTRVEPGLDQKIVLPRDSYLQGYFNNASEYLRIGPPLYFVVKNYNYSSESRHTNQLCSISQCNSDSLLNEIARASLTPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGSYCPPDDQPPCCSSDSGSCDPSGVCKDCTTCFRHSDLNNDRPSTAQFRDKLPWFLNALPSADCAKGGHGAYTSSVELEGYEDGVIQASSFRTYHTPLNKQIDYVNSMKAAQEFSSRMSDSLKMEVFPYSVFYMFFEQYLDIWKTALINLAIAIVFVVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILDIQLNAVSVVNLVMSVGISVEFCVHITHAFSVTSGDRDQRVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEIFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCKLVEKTEDRPSVSLQP >Manes.03G007700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:645473:649842:-1 gene:Manes.03G007700.v8.1 transcript:Manes.03G007700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSSSPLQSLTMLKLTSNSYSSRPSVAFFVPSVNSSFGSTNSTFLQHGFSLQSQNFPGVLSKTRSFGVYARAATEKSIHSYTVKDIDGKDVSLSKFKRKILLIVNVASKCGLTSSNYTELSHLYEKYKTQGFEILAFPCNQFGGQEPGSNPEIKQFACTRYKAEFPIFDKVDVNGPNTAPVYKFLKSSAGGFLGDVIKWNFEKFLVNKNGKVVERYPPTTSPFQIERDIQKLLAA >Manes.03G007700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:645199:649843:-1 gene:Manes.03G007700.v8.1 transcript:Manes.03G007700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSSSPLQSLTMLKLTSNSYSSRPSVAFFVPSVNSSFGSTNSTFLQHGFSLQSQNFPGVLSKTRSFGVYARAATEKSIHSYTVKDIDGKDVSLSKFKRKILLIVNVASKCGLTSSNYTELSHLYEKYKTQGFEILAFPCNQFGGQEPGSNPEIKQFACTRYKAEFPIFDKVDVNGPNTAPVYKFLKSSAGGFLGDVIKWNFEKFLVNKNGKVVERYPPTTSPFQIERDIQKLLAA >Manes.01G043951.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8791068:8791412:-1 gene:Manes.01G043951.v8.1 transcript:Manes.01G043951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRGQGLAAESLSRAESHQPSAAGSGAETPPQSRKSKLSGASLGGQTASTGRFGGRTWLRQPNLSSSRMGELKPLMHILPPKPSKLKPNHAKTCINTFLSI >Manes.05G107300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10199563:10201409:-1 gene:Manes.05G107300.v8.1 transcript:Manes.05G107300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRKHYEMAIVFLLFLVLCFIILTNFSFIWRSRNSILSSNENFAEMPRAKLRTITRRDRQLHCGSQISCDRTQLRYDLCSVNGSTVLDPTTSTFFVVGPANLNSVEKIKPYPRKFEDFIMAQIKELTITLSPTSPPCQIQHSAPALVFSVGGYTGNFFHDFNDGIIPLFITVNTIFPDQDFVIVVSEAPDWWVTKYGDLLNTFTRHPIVTLKDTNTHCFPSAIFGLISHGFMTINQTLMPNHRTFLHFRALLEKAYNQKGLNLNPPTSSPGWARPRLLLASRKGSTGREIVNENEVIKAMEETGFEVIVFEPKYNTSLVESYALVNSSHALVGVHGAALTHSLFLRAGAVFLQVVPIGVEWASEAFFGRVGRGLELEYMEYRIGAEESSLVEKYGSESLLVKDPHGVQVQGKGWPGEIMDIYLKEQNVKLDLRRFRKFLKIAYSKARRFMDREG >Manes.05G107300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10199561:10201445:-1 gene:Manes.05G107300.v8.1 transcript:Manes.05G107300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKRKHYEMAIVFLLFLVLCFIILTNFSFIWRSRNSILSSNENFAEMPRAKLRTITRRDRQLHCGSQISCDRTQLRYDLCSVNGSTVLDPTTSTFFVVGPANLNSVEKIKPYPRKFEDFIMAQIKELTITLSPTSPPCQIQHSAPALVFSVGGYTGNFFHDFNDGIIPLFITVNTIFPDQDFVIVVSEAPDWWVTKYGDLLNTFTRHPIVTLKDTNTHCFPSAIFGLISHGFMTINQTLMPNHRTFLHFRALLEKAYNQKGLNLNPPTSSPGWARPRLLLASRKGSTGREIVNENEVIKAMEETGFEVIVFEPKYNTSLVESYALVNSSHALVGVHGAALTHSLFLRAGAVFLQVVPIGVEWASEAFFGRVGRGLELEYMEYRIGAEESSLVEKYGSESLLVKDPHGVQVQGKGWPGEIMDIYLKEQNVKLDLRRFRKFLKIAYSKARRFMDREG >Manes.11G065650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9158137:9159516:1 gene:Manes.11G065650.v8.1 transcript:Manes.11G065650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVLYDPALLILIAILFCGILRHVPLFISKFPSNNQNPLTKINPHFPALATKGRNARFAQSYHPTALIWSRSHCPSIVLDAYSLHSLFPPYFHSSFPGSCPIFFLSADSAHPIPQLPSMALGHWKIGSNVFTNPNTLIKSTKFSLKIDYFTSHSKIPTLSNGLPPKITKGDHESNSTSAIFKFVFVTTRKSDRYRR >Manes.14G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9697358:9706313:-1 gene:Manes.14G122900.v8.1 transcript:Manes.14G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMHNKEGSNKQMDTSKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVTQLVYENGYMRQQLQNASATTTDNSCESVVVSGQQQQQQQNPTPQRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPMKVAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTYAPTTLAAARDYWTLRYTTTLEDGSLVICERSLTTATGGPTGPPASSFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLDAWSVPEVLRPLYESSKILAQKMTMAALRHIRQIAQESSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLLGGDGVEDVTIAINSSPNKFLGSQYNASMFPTFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDTYSAACLKASPYAVPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFSPEDVALARDMYLLQLCSGVDENAIGACAQLVFAPIDESFADDAPLLPSGFRVIPLDVKTDGPAATRTLDLASTLEVGGGGARPSSEVDTNNCNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAISPSWVGSHVGPKSLPGSPEALTLARWICRSYRIHTGGDLFQVDSQAGDSLLKQLWHHSDAIMCCSLKTNASPVFTFANQAGLDLLETTLVALQDIMLDKILDEAGRKTLCSEFSKIMQQGFAYLPSGICVSSMGRPVSYEQAIAWKVLNDDDSNHCLAFMFMNWSFV >Manes.07G055600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6963851:6975949:1 gene:Manes.07G055600.v8.1 transcript:Manes.07G055600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGDDGDKVDDFAPFPVKEELPGVDFCVPSTPSWPQAIFLGFQHYLVTLGTTVIIPSTLVPLMGGGNVEKAELISTLLFVAGINTLLQTWFGTRLPLVIGGSYAFVIPTISIVLSTNNNKNYAFLSPHQRFKQSMRAIQGALIVASFFQMAIGLFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVMVVLLSQFLPPLMKSKGIILDRFAVLFSVAVVWVFAEILTVSGAYDNKPPSTQISCRTDRSRLLGAAPWIRVPYPFQWGRPTVDAGDTFAMMAACFVAVVEGFGTLLAGAFGTGSGSTASVENAGLLGLTRIASRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFTEYLLVSGRGPAHTGAIWFNNILQVIFSSPATVAIIVAFFLDCTHSLRHSSTRRDSGRHWWRKFRNFDQDDRSGEFYSLPYNLNRFFPSF >Manes.07G055600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6964032:6975937:1 gene:Manes.07G055600.v8.1 transcript:Manes.07G055600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGDDGDKVDDFAPFPVKEELPGVDFCVPSTPSWPQAIFLGFQHYLVTLGTTVIIPSTLVPLMGGGNVEKAELISTLLFVAGINTLLQTWFGTRLPLVIGGSYAFVIPTISIVLSTNNNKNYAFLSPHQRFKQSMRAIQGALIVASFFQMAIGLFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVMVVLLSQFLPPLMKSKGIILDRFAVLFSVAVVWVFAEILTVSGAYDNKPPSTQISCRTDRSRLLGAAPWIRVPYPFQWGRPTVDAGDTFAMMAACFVAVVEGFGTLLAGAFGTGSGSTASVENAGLLGLTRIASRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFTEYLLVSGRGPAHTGAIWFNNILQVIFSSPATVAIIVAFFLDCTHSLRHSSTRRDSGRHWWRKFRNFDQDDRSGEFYSLPYNLNRFFPSF >Manes.07G055600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6963850:6975950:1 gene:Manes.07G055600.v8.1 transcript:Manes.07G055600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGDDGDKVDDFAPFPVKEELPGVDFCVPSTPSWPQAIFLGFQHYLVTLGTTVIIPSTLVPLMGGGNVEKAELISTLLFVAGINTLLQTWFGTRLPLVIGGSYAFVIPTISIVLSTNNNKNYAFLSPHQRFKQSMRAIQGALIVASFFQMAIGLFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVMVVLLSQFLPPLMKSKGIILDRFAVLFSVAVVWVFAEILTVSGAYDNKPPSTQISCRTDRSRLLGAAPWIRVPYPFQWGRPTVDAGDTFAMMAACFVAVVEGFGTLLAGAFGTGSGSTASVENAGLLGLTRIASRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFTEYLLVSGRGPAHTGAIWFNNILQVIFSSPATVAIIVAFFLDCTHSLRHSSTRRDSGRHWWRKFRNFDQDDRSGEFYSLPYNLNRFFPSF >Manes.07G055600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6963850:6975950:1 gene:Manes.07G055600.v8.1 transcript:Manes.07G055600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGDDGDKVDDFAPFPVKEELPGVDFCVPSTPSWPQAIFLGFQHYLVTLGTTVIIPSTLVPLMGGGNVEKAELISTLLFVAGINTLLQTWFGTRLPLVIGGSYAFVIPTISIVLSTNNNKNYAFLSPHQRFKQSMRAIQGALIVASFFQMAIGLFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVMVVLLSQFLPPLMKSKGIILDRFAVLFSVAVVWVFAEILTVSGAYDNKPPSTQISCRTDRSRLLGAAPWIRVPYPFQWGRPTVDAGDTFAMMAACFVAVVESTGTIIAASRYGGATPIPPSVLTRGIGWLGFGTLLAGAFGTGSGSTASVENAGLLGLTRIASRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFTEYLLVSGRGPAHTGAIWFNNILQVIFSSPATVAIIVAFFLDCTHSLRHSSTRRDSGRHWWRKFRNFDQDDRSGEFYSLPYNLNRFFPSF >Manes.07G055600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6964032:6975937:1 gene:Manes.07G055600.v8.1 transcript:Manes.07G055600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGDDGDKVDDFAPFPVKEELPGVDFCVPSTPSWPQAIFLGFQHYLVTLGTTVIIPSTLVPLMGGGNVEKAELISTLLFVAGINTLLQTWFGTRLPLVIGGSYAFVIPTISIVLSTNNNKNYAFLSPHQRFKQSMRAIQGALIVASFFQMAIGLFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVMVVLLSQFLPPLMKSKGIILDRFAVLFSVAVVWVFAEILTVSGAYDNKPPSTQISCRTDRSRLLGAAPWIRVPYPFQWGRPTVDAGDTFAMMAACFVAVVESTGTIIAASRYGGATPIPPSVLTRGIGWLGFGTLLAGAFGTGSGSTASVENAGLLGLTRIASRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFTEYLLVSGRGPAHTGAIWFNNILQVIFSSPATVAIIVAFFLDCTHSLRHSSTRRDSGRHWWRKFRNFDQDDRSGEFYSLPYNLNRFFPSF >Manes.07G055600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6963806:6975949:1 gene:Manes.07G055600.v8.1 transcript:Manes.07G055600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGGGDDGDKVDDFAPFPVKEELPGVDFCVPSTPSWPQAIFLGFQHYLVTLGTTVIIPSTLVPLMGGGNVEKAELISTLLFVAGINTLLQTWFGTRLPLVIGGSYAFVIPTISIVLSTNNNKNYAFLSPHQRFKQSMRAIQGALIVASFFQMAIGLFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVMVVLLSQFLPPLMKSKGIILDRFAVLFSVAVVWVFAEILTVSGAYDNKPPSTQISCRTDRSRLLGAAPWIRVPYPFQWGRPTVDAGDTFAMMAACFVAVVESTGTIIAASRYGGATPIPPSVLTRGIGWLGFGTLLAGAFGTGSGSTASVENAGLLGLTRIASRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFTEYLLVSGRGPAHTGAIWFNNILQVIFSSPATVAIIVAFFLDCTHSLRHSSTRRDSGRHWWRKFRNFDQDDRSGEFYSLPYNLNRFFPSF >Manes.16G103600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30867478:30871244:-1 gene:Manes.16G103600.v8.1 transcript:Manes.16G103600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKGEERGTGLFLDGMIEGMPLFAKELVAGGVAGALAKTTVAPLERVKILFQTRRDEFKAIGLLGSIKKIGKTEGIMGFYRGNGASVARIIPYAALHYMTYEQYRRWIIHTFPNFGRGPVLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVDSSKLNVQGLASTEYKGIYDCFSKTLKESGPRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPEEHKNNILVKLVCGSVAGLLGQTFTYPLDVVRRQMQVQRLATSNPAELKGTMETLIMIAQKQGWKQLFSGLSINYLKVVPSVAIGFTIYDLMKSCLRVPSRDVIEVMTDKRNSQPSLHS >Manes.02G082200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6442996:6448927:1 gene:Manes.02G082200.v8.1 transcript:Manes.02G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDNARSYNTKRKRGGDSPPLPASNGNPSNDNDSDNFDLSLLEVAEKSQKESAVEVLDLRTLKKLVLSFERRYKENIEARLKYPDQPERFADSEIELHQELQKLKLLAGGPELYPDLVNLNTIPSILGLLSHDNTDIAIDVVQLLQDLTDEDVLEDNDEPARVLVDALIENNVLELLVQNLQRLSDTDPDEMSAVYNSLATIENLIEVKPAVAELVCERTKILRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKSSDEEEMVENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYGSAIRALDFAMTKYPPACERFVDVLGLKTAFAAFMGKIPMSKKNKKERYQEELEERIVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKVETERMNQLELEDLEMDEEERYNRRLESGLYTLQLIAVILGHLWCSEHSRMRARIELLLKQHKLTREDVKDILQEYHDNIGDLDGPEEKERAQSRIQKFISAF >Manes.02G082200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6442996:6448927:1 gene:Manes.02G082200.v8.1 transcript:Manes.02G082200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDNARSYNTKRKRGGDSPPLPASNGNPSNDNDSDNFDLSLLEVAEKSQKESAVEVLDLRTLKKLVLSFERRYKENIEARLKYPDQPERFADSEIELHQELQKLKLLAGGPELYPDLVNLNTIPSILGLLSHDNTDIAIDVVQLLQDLTDEDVLEDNDEPARVLVDALIENNVLELLVQNLQRLSDTDPDEMSAVYNSLATIENLIEVKPAVAELVCERTKILRWLLGKIKVREFDSNKQYASEILAILLQNSTANQKRLGQMNGVDVVLQAVAMYKSKDPKSSDEEEMVENLFDCLCCLLMPLENKERFVKAEGVELMIIIMKQKKSAYGSAIRALDFAMTKYPPACERFVDVLGLKTAFAAFMGKIPMSKKNKKERYQEELEERIVSLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKVETERMNQLELEDLEMDEEERYNRRLESGLYTLQVRFCTADFLSGFAIKLVSI >Manes.02G131700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9989062:9993141:1 gene:Manes.02G131700.v8.1 transcript:Manes.02G131700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEELAHNAVEIKVHSQMGDNDVHPVGSSSKLLPKRSGQSPAVPSRQQVPSGNFSLFRRWVSWLVPLFVVVNIVIFFIAMYVNNCPKNSESCVGKFLGRFSFQPIKENPLLGPSSTTLEKMGALDVDKVVHGHEPWRLVSCIWLHAGVFHILSNMLSLLFIGIRLEQEFGFIRIGLVYVISGFGGSLLSALFIQSGISVGASGALFGLLGGMLSELITNWTIYANKLAALSTLVLIIVINLAVGILPHVDNFAHIGGFLSGFLLGFVLLIRPQFGWINQKACPPGYIAPPVKSKHKTYQYVLWVFSLVLLIVGFTGGLIALLRGTDLNNQCSWCHYLSCVPNSLWSCKSDKVYCESSEFGNQFNLTCLSNGKSRIYPLSDDTSSQVQQLCSQLCS >Manes.11G088700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16042066:16042871:1 gene:Manes.11G088700.v8.1 transcript:Manes.11G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPFMFHNVKQILKGKHSHHHLSRNQSDVPKGHVAVYVGEHQWRRFVVPISYLSHPLFQDLLNRAEQEFGFNHPMGGLTIPCREDTFLHLITCNFHAS >Manes.09G070600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12122394:12126829:-1 gene:Manes.09G070600.v8.1 transcript:Manes.09G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQSKADLAKKQKIVEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKPDPSKAAAKKKKEEDKAKEKELNDLFKVAVSQPKVPIGVDPKSILCEFYKVGQCAKGFKCKFSHDLNVQRKGEKIDLYCDTRDQETMEEWDQETLEKVVESKKMEYNQNKPTEIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKLSIEEEIENQRAKVATSTPMTPELFMQWKQKKMEERDAGLAAQKAERAKNDRMSGRELFLSDASVFVDDAEAYEEYKREERSDAPEKKANANPDEPSTSATSVDSVEDDEDELDMDELNELEASLAKTSIQIQEPGSDD >Manes.12G133600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34066385:34066714:-1 gene:Manes.12G133600.v8.1 transcript:Manes.12G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLEALAMADMDYVEWGMDVQEWERREEEEDGAPPPHLLAEEDEDEDFPLNLNFPGKLSHVEANQSRNEDENNVAQSEWWKAIFLMVKTIIRILIITWVDIRERCNS >Manes.08G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:634152:641335:-1 gene:Manes.08G003600.v8.1 transcript:Manes.08G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARAGSSEVPQRKSPSTPRTARQLKIPGSGSDSLSPNPASKTPKEKSPKVPERRSPRSPATEKKRPSRVSELESQLVQLQEDLKKAKDQLNTSETWKRRAQQDAEDTKNQLLAMSAKLEESQQQLMELSNSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDSAALASAMNEIQKLKCQLEMVAESEAAKTKHAESAHAELQGLRMELTETLSLVEKLKLDLSDCRESEAQALELVSKTQKQLETAKATVTMLRSDGIKAMEAYNSLSLELEQSKAQVRSLEEHVSKLQSDLANSVGKILLNPTGDVEQAGESGENEESNQLKEELNSLKFEVGQLRSALEASERRYQEEYIQSTLQIRSAYEQVEKVKLESGKREAELEAGLEKAKSNIEELRANLMDKETELQSVSEENERLHVKIEKNQPNERESELAVEMKKLQLDLAELKASLLDKEAQLQIVTEQNEMLKMEVKKGELDRSKVHDEAIALAETAKAAEQEALMKLGHLTEEADKSSKRAARVIEQLDAAQAANAEMEAELRRLKVQSDQWRKAAEVAAAMISAGNNNGKIVETGSLENNYNTIAGTMDSPYSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >Manes.08G003600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:634152:638725:-1 gene:Manes.08G003600.v8.1 transcript:Manes.08G003600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLEESQQQLMELSNSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDSAALASAMNEIQKLKCQLEMVAESEAAKTKHAESAHAELQGLRMELTETLSLVEKLKLDLSDCRESEAQALELVSKTQKQLETAKATVTMLRSDGIKAMEAYNSLSLELEQSKAQVRSLEEHVSKLQSDLANSVGKILLNPTGDVEQAGESGENEESNQLKEELNSLKFEVGQLRSALEASERRYQEEYIQSTLQIRSAYEQVEKVKLESGKREAELEAGLEKAKSNIEELRANLMDKETELQSVSEENERLHVKIEKNQPNERESELAVEMKKLQLDLAELKASLLDKEAQLQIVTEQNEMLKMEVKKGELDRSKVHDEAIALAETAKAAEQEALMKLGHLTEEADKSSKRAARVIEQLDAAQAANAEMEAELRRLKVQSDQWRKAAEVAAAMISAGNNNGKIVETGSLENNYNTIAGTMDSPYSEDMDDDSPKKKNGNMLKKIGVLWKKGQK >Manes.09G031000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6355456:6358502:1 gene:Manes.09G031000.v8.1 transcript:Manes.09G031000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNHSYHHQSNQPSSGLLRFRSAPSSLLANFNDNGVSDDSVLSFQEFEDRSAVRVRESPLSYANSPQSYSCLPPHYPRQSSATTSSAMDSSYGLMGSMAMGHHEQAKRLDSNLARLNSSPAGFIDLCVQNGYATMKGMGIHAGVNGTNGEGSPRLKSQLSFPARIPSSLSMLSPMSAIESESIDAASPDSGKLRNGNCDARAYTATGFPYGSWNDSNFAENFNSMKRDPDDNGKLFSNIQNGELVNRVNSLSHHLSLPKTSVDMVAMEKLLNFQDTVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQQTNTADMLDLAVVYIKDLQKQYKTLSDIRAHCKCSNKQKPVQHQIV >Manes.09G031000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6355456:6358502:1 gene:Manes.09G031000.v8.1 transcript:Manes.09G031000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNHSYHHQSNQPSSGLLRFRSAPSSLLANFNDNGVSDDSVLSFQEFEDRSAVRVRESPLSYANSPQSYSCLPPHYPRQSSATTSSAMDSSYGLMGSMAMGHHEQAKRLDSNLARLNSSPAGFIDLCVQNGYATMKGMGIHAGVNGTNGEGSPRLKSQLSFPARIPSSLSMLSPMSAIESESIDAASPDSGKLRNGNCDARAYTATGFPYGSWNDSNFAENFNSMKRDPDDNGKLFSNIQNGELVNRVNSLSHHLSLPKTSVDMVAMEKLLNFQDTVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVVYIKDLQKQYKTLSDIRAHCKCSNKQKPVQHQIV >Manes.11G161500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32693509:32700850:-1 gene:Manes.11G161500.v8.1 transcript:Manes.11G161500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGVGETGLSDSGPSNHHLPYAAIHGINPPSTSFFNQEGSPFDFGDLEEAVLQGVKIRNDEAKAPLFRPAATLEMFPPWPLRFQQTPRVVVSWLAFSVFDYVFCLLIWGSSKSGGESSDSGSPVNTLSSKAAAQLDPESPISKKASPSDHQAFDQKHLQLQQHHQQIEMASDTSRTGGPSELNPSSANTPQEKRKGSISEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCGGGGNISSGAAIFDMEYGRWLDEDQRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLITGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTQQQLMGIYSLQHSSQQAEEALSQGLEQLQQSLVDTIASGPVVDGMQQMAVALGKLSNLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASLPRESLVGEENTCQTTSDLQMVQPPQNHFSNI >Manes.08G059801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6996520:6997767:-1 gene:Manes.08G059801.v8.1 transcript:Manes.08G059801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKERIENLEAAVETLNDTMGKLQTTVSNLDKGLSSKLQQIEAAITRFSDIAVSNKEGVNSVGDHSQTRSNKEESREGGKPIFASKLAKIEFPKFYGDDPTEWMTKVDQFFDYQKTDPSEKVYLASYHLQGEANQWWRWLQRTYREEDKEVTWEVFVEELWSRFGPTDCDDFDESLSKIRQVGSLRDYQREFERLGNKVKGWTQKALVGTFMGGLKTEISDGIQMFKPKTLKDAINYARMRDEQLQRQKKPFRTFSSSNPLSPTKDKATPPVKRLSWEEMQKRRSAGLCFNCDAKFTPGHRCAKPQLLLLDGGTEDDDDEGEDELEISLHALNGWSTAQTMRVWITMGSTVMIALIDSGSTHNFINEKLAESLKLPATQIKCS >Manes.03G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23000966:23004408:1 gene:Manes.03G106500.v8.1 transcript:Manes.03G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSANPLALSVPDAAFESWLRDSGYLEILDHRSSSSPSSTATTTTVSATSSTPATATITGGFFVFLFSRILTLLSLFTLNPLSKLTTDDFSGQTPSWTCAFLGDCGSYSFPSGTDQARLRVHENVKRYARNYASLFILFFACTLYQMPLALIGLISSLALWDIFKFCSDKWGLDQYPVTRQVLLRTAQCATAVILICLNVQMALFCALGVSYAVMILHAAFRKLTPAKLPSRQR >Manes.11G069200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9806826:9811415:1 gene:Manes.11G069200.v8.1 transcript:Manes.11G069200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKDYSNKDGKKVLDGLLDGFSPVSTPRIFWKSRRRSASGRNLDKVTDDTAKETPSKQEEISNDEDMQEPKELPELSERRKALFEPLEPVTNINGKRPSAESLLPPPDFDSASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREINGLNEQLEEDARCLEHLQLQLLQERSKRAEVERENAMLHDQISMLMNMIEENEQMGDQGATDEGPDEP >Manes.17G015156.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7877868:7880562:1 gene:Manes.17G015156.v8.1 transcript:Manes.17G015156.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFFSSLSLPYLQTWEASCQAVAWGPGLPAVAWGPGLPVITYIKSRGLIGSYSIHPQPQNKMQCDIFYQNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.05G177100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29344849:29347952:1 gene:Manes.05G177100.v8.1 transcript:Manes.05G177100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGIDFSCAFGSLLNGKFPDKDCLLPLISKLLGYCIVAASTTVKVPQIMKILRHRSVRGLSVVAFELEAVGYTIALAYCLHKGLPFSAYGELAFLLIQAIILVAIIYYFSQPVPTVTWIRPLLYCAVAPTILGGQIDPILFEALYASQHAVFLFARIPQIWKNFSNKSTGELSFLTCFLNFAGSLVRVFTSMQEKAPSSVVLGSTIGVITNGTILSQILLYQKQEAKKEKKEM >Manes.08G132300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36978609:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKV >Manes.08G132300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36979806:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSGECQCVNVGTWSKWQIYGSRNF >Manes.08G132300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36979829:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSCLSPTQGECQCVNVGTWSKWQIYGSRNF >Manes.08G132300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36979829:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWHASLFSSYGFVDLDIWTNSCYFSLYRELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSVSTSI >Manes.08G132300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36979806:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSCLSPTQGECQCVNVGTWSKWQIYGSRNF >Manes.08G132300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36978839:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWHASLFSSYGFVDLDIWTNSCYFSLYRELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSVSTSI >Manes.08G132300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36978710:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSCLSPTQGECQCVNVGTWSKWQIYGSRNF >Manes.08G132300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36978876:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKV >Manes.08G132300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36978839:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSGECQCVNVGTWSKWQIYGSRNF >Manes.08G132300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36979829:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKV >Manes.08G132300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36979829:36987074:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSRKFRKTVTLEEFVSLLLFLLVLAGVGAFFLVLQLFTDKNYQMKLIS >Manes.08G132300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36978856:36986196:1 gene:Manes.08G132300.v8.1 transcript:Manes.08G132300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPSTLSLRCFSFLKPYPSSYRNSNYRKTTTATIPIAGCCLNSLMETAKTTTDEFNVGIFSYVSQLPGFHAILKQRYSDFIVNEVDKDGIVVHLTSLEVPPQIVEAIQEGEKEILNQIGKSYTVEIELFRSLAGDSDAERLEAFITQVATQSEDNSYIYPIILSPNSDKAHRTAIHNFFKEKFKFLVTDTVDGPDASRKCIRVRLKSGVHSNRVRNFKKLKDRGDQPFDSRGSNNWPQHLGKFLRFHLYKENKDTHEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNDRLIGIRVGNFCHVTEKLLLGQLLGNRFTITLQGVVADSEDTIKMSADSLGKRGFINYFGLQRFGIGYMPTHLIGAALLRGEWKSAVSMILDPREGERDVVRKAREYYKESGDIEGTLRQLPRNLIAERAILQCMKKCPGNYLQALKAIPTTLRMMYVHSYQSYLWNHAASVRVQKYGTDEVVVGDLVYFKGDDTERETLGVNSECEDDSCDDMYDCSNSDPTSGTHHPERKNTHVKAATAEDISLGNYTIDDVILPLPGSRVSYPTNDIAKVYHDLAKKDSINLAESVHSIKEFSITSITGRYRRVFQKPMALEWELLLYTDGSIPLAEMDLEKIAKDKSKHLFKEEKRANGNEDKNPSDCMRQPMSFQNDIHPSTDHNETEDERGLGKSQSNSFCDSTSQGAQMALKLSFTLPASSYATMAIRELLKTSTSVALHKI >Manes.04G049000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7966749:7970543:-1 gene:Manes.04G049000.v8.1 transcript:Manes.04G049000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERTNLWRNPKPTFSPLSYSDRKAIIPASCKSVLLHDWWLVKSEDKGIAVAGFASRERLGARVFTSARISRRLDATTLETLDGVTIKISGLINRSQSHQNGFSFMVCNSFQLGFPYCWEDCVSQCCGEESSKQSCIDAYTFLPFTLDNLPATRLRDHVMCLPEDSENLFCDVMGKLRDNASQHAPVTGNSNSANEGPSVTASSEMEETPRKHKKLKTDQMQRDDDDRTLEAKDTVTKFNRCKGVVTRSMSRSRNLKSKGEEDVPLSSPANFRNSRNKLGKNLPLNFFIEGESSAQLSTSAAKDSQSAGVVCAMQAGSIYVSSRQDEKDTDFRRSDRLKKYWYE >Manes.02G208660.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25492203:25580891:1 gene:Manes.02G208660.v8.1 transcript:Manes.02G208660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACCIYKSDDIVIKSPNDRRLYRVIELDNGLCALLVHDPEILSGHDSETLRNSIQQEEAEEEECDDDDDDDEEDDSEEEENEEEEDEEQDDEEEEREEEGDQQDNEGKVKGTGKGISQTKKAAAAMCVAMGSFSDPVEAQGLAHFLEHMLFMGSNDFPDENEYDSYLSKHGGSSNAYTETEHTCYHFEVKHEFLKGALRRFSQFFVSPLMKIEAMEREALAVDSEFNQVLQNDACRLQQLQCHTSKPGYPFNRFFWGNKKSLIGTMEKGINLREYILKLYRDNYHGGLMKLVVIGGESLDILESWVTELFANVRKGPQAQPKFQAQGPIWKAGKIYRLEAVKDVHILDLTWTLPCLRQDYLKKSEDYLAHLLGHEGRGSLHCFLKAKGWATSLSAGVGDEGMHRSSVAYIFGMSIHLTDSGLGKIFDIISFIYQYLKLLRQLAPQEWIFKELQDIGNMEFRFAEEQPQDEYAAELAENLLVYPAEHVIYGDYVYKIWDEELIKYVLSFFTPENMRVDVVSKSFMKSQDVHHEPWFGSSYTEENIPPPLMELWRDPPEIDVLLHLPSKNEFIPCDFSIRADNSNGTNSSSPRCIIDEPLMKFWHKLDNTFKFPRANTYFRINLKGAYNDMKSCLLTELFIHLLKDELNEIIYQASVAKLETSVTFVGDKLELKFYGFNDKVSVLLSKVLVIAKSFVPTSDRFKVIKEDMERSLKNANMKPLNHSSYLRLQVLCKSFYDVEEKLYVLGDLALADLKAFIPELRSQLYVEGLCHGNLLEGEAISISNIFKSNFSSPPLPVNMRHQEHVLCLPPSSNLVRDVNVKNKSETNSVVELYFQIEPETESESIALKTLADLFDEIVEEPLFNQLRTKEQLGYVVECSPRVTYCIYGFCFCVQSSKYNPFYLQKRIENFMNGLQELLEELDEASFSSYKSGLMAKLLEKDPSLQYETNRLWNQIINKRYMFNFSQKQVEELECINKNDVINWYKTYLQQSSPKCRRLVVRLWGCNTDMKEFEKQRDSEELIKDLSAFKLSSQYYPSLC >Manes.07G125000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32803508:32807841:1 gene:Manes.07G125000.v8.1 transcript:Manes.07G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLPTTTLLLLIFLFPTFVESAIRHYNFTVVMKNTTKLCSSKSIATINGKFPGPTLYAREDDTVNVRVSNNIQYNVTIHWHGVRQLRTGWSDGPAYITQCPIQPGQSFLYNFTLTGQRGTLLWHAHISWLRTTIHGAIVIFPKKGVPYPFPKPDKEKLIILSEWWKADTEAVINQAMQTGLPPNISDSHTINGHVGPATGCTSQGYTLHVEPGKTYLIRLVNAAINDELFFKIAGHNLKIVEVDASYTKPFTTDTIFIGPGQTTNALLTTDQATGKYLIAVSPFMDTIVAVDNVTAIAFLRYKDTLAFSPPVLTSTPAINATAVTSKFMDNLRSLNSKKYPANVPLTVDHYLYFTIGVGINSCPTCVNGSKSVGNINNVSFVMPSTALLQAHYYNISGIFTDDFPANPLVPFNYTGNFTGGISTMNGTRLFRIAFNSTVQIVLQGTGIIAPESHPIHLHGFNFFAIAKGVGNFDLVNDPKKFNLVDPVERNTISVPTGGWTAIRFRADNPGVWFLHCHLEVHTSWGLKMAFVVDNGKGPNESLPPPPSDLPQC >Manes.18G036500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3240115:3241350:-1 gene:Manes.18G036500.v8.1 transcript:Manes.18G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLIPRSSPVVIYTEAQAKGMLLKADRNRDGVLNFQELTEGFKSVGSKAPSVRALEALYLADENRDGRIDQKELDMVAKLVGSLGYPIK >Manes.01G009800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3161634:3162401:-1 gene:Manes.01G009800.v8.1 transcript:Manes.01G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKALKKNKTRRQYECLSSGAALGYNPADFYINDAELNLIKTSSVSTENGNNAERKFHRRFYSVEDLSATAAAVAPSPPRKQLVRFRSHRRMFSCVTGG >Manes.08G064000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8834929:8836992:1 gene:Manes.08G064000.v8.1 transcript:Manes.08G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITDQETTVREIKPKSRRIMGGGGPADGGDDADNKEDIKWPPWLRPLLQTSFFVQCKLHADAHKSESNMYCLDCMNGALCSACLSFHKGHRAIQIRRSSYHDVIRVSEIQKYLDITGVQTYIINSARIVFLNERPQPRPGKGVTNTCQVCDRSLLDSFCFCSLGCKIAGTSNSFKKKKMHKEMDESSDAEESMNDSIRIMNKGSDNNKCKIQSFTPSTPPPTSLSYRTTAKRRKGVPHRSPTGGFIIEY >Manes.03G083322.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14862662:14867350:1 gene:Manes.03G083322.v8.1 transcript:Manes.03G083322.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIKILNGFGMSLGILYIIGFGFGYSQFCGYPTRLHPYNQLHDCLKNPNSITLLSPPSIRRKYEHQHHPRATMATYDLTPRIAPNLDRHLVFPLLEFLQERQLYPEEQIFKSKIELLSKTNMVDYAMDIHKSLYHTEDVPQDMIERRAEVVARLKALEEGAAPLVAFLQNVNAVQELRADKQYNLQMLNDRFQIGPKQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQMQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLSTAFIVNKRRRPQFKDFIKVLQQEQQSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEDSNFSTVPMRDEFLENARLFIFETYCRIHQRINMGVLAEKLNLNYEEAERWIVNLIRNSKLDAKIDSQSGTVIMEPNQPNVYEQLIDHTKAISGRTYKLVGQLLEHAQAQAVR >Manes.10G072300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11950045:11959366:1 gene:Manes.10G072300.v8.1 transcript:Manes.10G072300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHTIRSFKRRISSISTSSTPREKVDCVVIGAGVVGIAVARELALKGREVFVVDSASTFGAGTSSRNSQVIHAGIYYLPNSLKALFCVRGRNLLYSYCSEHGIPHKQTGKLIVATSPAEIPNLYQLMNRAAQNGVDDLRMLEGFEAMKMEPQLQCLKALFSPVSGIIDVHSLLLSLMGEAESHGATFSYNSTVNSGHLEGNHLQLHIVGSNHLQSWDGQSPLHPELILIPKLVVNSAGLSAVPLARRFNGLNSGMIPPAHYARGCYFTLTNTRVPPFQHLIYPIPEDGGLGVHVTLDLDGQVKFGPDVEWIHGVDDISSFLDKYDYSVSASHAERFYPEIRKYYPSMKDGSLEPGYAGIRPKISGPGRSHIDFLIQGEDSHGVPGLVNLFGIESPGLTSSMAIAEYIAARFLK >Manes.10G072300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11950045:11953988:1 gene:Manes.10G072300.v8.1 transcript:Manes.10G072300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHTIRSFKRRISSISTSSTPREKVDCVVIGAGVVGIAVARELALKGREVFVVDSASTFGAGTSSRNSQVIHAGIYYLPNSLKALFCVRGRNLLYSYCSEHGIPHKQTGKLIVATSPAEIPNLYQLMNRAAQNGVDDLRMLEGFEAMKMEPQLQCLKALFSPVSGIIDVHSLLLSLMGEAESHGATFSYNSTVNSGHLEGNHLQLHIVGSNHLQSWDGQSPLHPELILIPKLVVNSAGLSAVPLARRFNGLNSGMIPPAHYARGCYFTLTNTRVPPFQHLIYPIPEDGGLGVHVTLDLDGQVKFGPDVEWIHGVDDISSFLDKYDYSVSASHAERFYPEIRKYYPSMKDGSLEPGYAGIRPKISGPGRSHIDFLIQVNTFLFL >Manes.10G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11948860:11953987:1 gene:Manes.10G072300.v8.1 transcript:Manes.10G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHTIRSFKRRISSISTSSTPREKVDCVVIGAGVVGIAVARELALKGREVFVVDSASTFGAGTSSRNSQVIHAGIYYLPNSLKALFCVRGRNLLYSYCSEHGIPHKQTGKLIVATSPAEIPNLYQLMNRAAQNGVDDLRMLEGFEAMKMEPQLQCLKALFSPVSGIIDVHSLLLSLMGEAESHGATFSYNSTVNSGHLEGNHLQLHIVGSNHLQSWDGQSPLHPELILIPKLVVNSAGLSAVPLARRFNGLNSGMIPPAHYARGCYFTLTNTRVPPFQHLIYPIPEDGGLGVHVTLDLDGQVKFGPDVEWIHGVDDISSFLDKYDYSVSASHAERFYPEIRKYYPSMKDGSLEPGYAGIRPKISGPGRSHIDFLIQGEDSHGVPGLVNLFGIESPGLTSSMAIAEYIAARFLK >Manes.10G015728.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1584912:1586343:-1 gene:Manes.10G015728.v8.1 transcript:Manes.10G015728.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEDVVIIGAGIAGLATAVALKRVGVRSLILEKSQTLRSTGAALSLFPNAWLALDALGVSDKLTSIYPPFSKGTVTDVATGAVQEINFYTGKGSKAHGPRSVHRKILLEALAEELPADSIRFSSKFTAIEQQELGGTSIVVLYLEDGTTIKSKVLVGCDGVNSVVAKWLGLSAPVHSGRAAVRGLAVFPQGHGFKQEVTQFADVGKRAGENMARDPLLIRKQVIEKYAENFPQEYLDVVRHADLSNLTWAPLMFRHPWNVIFGNLSKGNITVAGDAMHPMTSDLAQGGCSALEDAVVLGRHIGNSFIQNGGVLVPEDMARAIDGYVKERKWRAAMLITGSYLSGWVQQVRDSQWWKKILRNIFYAFVMPRLSNVAGYDCGALPSVFAASDLQHSSDKSD >Manes.06G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23190644:23195967:1 gene:Manes.06G097700.v8.1 transcript:Manes.06G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPDVLKEQIEKLELMKADGALDKARKHKKRQLEDTLNLVLKKRKEYEEKMKEKGETPVMFSHLGPPRRRTSAEEEERVKHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLSAATSSSTAPSSSNAESDDAALAMPPPPPPPPPPLTESTNLASGDISVVPASLPLPPPPPMPPKPPAPGLGMPLPPPPPGPPPKDQVANHTLLPPPPPLQQSAQPPPPGTNEIGRERNLCTLSDEPSSKETVQVPTLLPPPPPPPSMLLKSASNQSEGALSEIDGDNPTAAKDNLKMVPPPPPPRQPPPVPGPTLIPTLQPDVLPPGISHLPGPPPPPGMQAPVIATGLPGQVAPPGVMVPLMPRPPYPPPPGPPPMMRPPLPPGPPPTLQEDPAMRPPIPQKPSYIKSAASTVVKRPLAQHTPELTAMVPASVRVRRETAVQKSKPKPTVSTASVAATRPTVATIVKPESTNLPSAPKAQSIDDSYMAFLEDMKALGALDA >Manes.14G118921.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11433346:11433546:1 gene:Manes.14G118921.v8.1 transcript:Manes.14G118921.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKPTKIQVKIEDKEELEEARKRASAATSTSTAAAATASTSLLNLLDRNQTNPSSKSHRIGLSP >Manes.18G115432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11795715:11796296:1 gene:Manes.18G115432.v8.1 transcript:Manes.18G115432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDIKNYNLSNIEEDQTHQSIKNSREITDEMAIEILEEDINVVHKLNIEQLHAYKIILQTVDLNTSGVFFVDGYGGTEKIFLYRALLAYVRSIGMIALVIATSRIIAFIMLGGRTTHSRFSIPLSPIESNMCSISKQSGQTELLCIAKLIIWNEALMAKGLAIEIVDRSLRDIMDNSQPFGEKVIVFSGDFR >Manes.10G142050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31062068:31087831:1 gene:Manes.10G142050.v8.1 transcript:Manes.10G142050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLIWRISGTIRSTFGPGWQMIDPEFLQFHHLLLLLKLYMHNIMKKGSEGSITREFR >Manes.03G092400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:21770628:21772630:-1 gene:Manes.03G092400.v8.1 transcript:Manes.03G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRKPPHILVFPYPAQGHTLPLLDLTHQLSLRNLTITIITTPKNLPTLSPLLSSHPQIHTLVLPLPPHPLLPAGVENVKELGNAGNLPIIGALSKLYDPIVQWFRSHPNPPVSLISDFFLGWTSRLANEINIPRFTFFSSGAFLTTVADHCWNYLETLKNSEVVNFVALPRSPSFQAEHLPSIFRLYKESDPDWEVVREGMLANTLSFGCIFNSCEALEGEYLDFLKKKMGHERVFGVGPLSLLGSDNSSRGNADSNSFTHVFDWLDECPDGSVVYICFGSQKLMSKAQMEAIALGLVKSTARFIWVVKMGTTEQVEDGYDVVPHGFEERVAGRGLIIRGWSPQVMLLSHRAVGGFLSHCGWNSVVEGIVNGVLILAWPMEADQFVNEKLLVDDLGVAVRICMGPDSVPDSDELGKVIGDSMNGVAYEEEKMRARSLKAKAVGAVREGGSSLKDLDELVKEMWKSLAKAEKE >Manes.16G124600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32619418:32619885:1 gene:Manes.16G124600.v8.1 transcript:Manes.16G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLNFLSAIFFLSLMGQGSGQCYPVTDLKVFQTETGDRVQNKPQWKVTILNDCICSQMGIKLGCNGFHSAEKIDPSMLAKADDSVCHINHEQPLRGFHTFNFTYAWDSIYPFHPIYSEIACS >Manes.04G056888.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:12080578:12080820:-1 gene:Manes.04G056888.v8.1 transcript:Manes.04G056888.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYFELCKDKCTGNRAINCKPPEDDFTEVFAPNCGGELPTFETITGHIVGCESKYLQPSRAFSHVSIKDSKTLSLSEK >Manes.04G121000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32284629:32290181:1 gene:Manes.04G121000.v8.1 transcript:Manes.04G121000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTGEAGVAAKASTKDGKKEKISVAALLANMDQKPDKPKKGSTSSLSTAKAKAPKVSSYTDGIDLPPSDEEDDYASEDEEQQAGAKRQSSRQQRGDTKPLDISVTDKELKKREKKDLLAAYAVEQAKKEALKDDHDAFTVVIGSRASVLEGEDNADANVKDITIDNFSVSARGKELLKNASVKISHGKRYGLVGPNGMGKSTLLKLIAWRKIPVPKNIDVLLVEQEVVGDDKTALEAVVAANEELVKIRQEVASLQNLTLAAGDEDGDDINGNDAGEKLAELYEKLQILGSDAAEAQASKILAGLGFTREMQGRRTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNEIIHLHDLKLHFYRGNFDDFESGYEQRRKEVNKKFEIYDKQVKAARRSGNRVQQEKVKDRAKFAAAKEASKSKAKGKGGEEEPLAEAPRKWKDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFRLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPAEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPEQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSRPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCENEERSEIWVVENGTVNAYPGTFEEYKEELQKEIKAEVDD >Manes.02G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3725449:3729035:1 gene:Manes.02G045400.v8.1 transcript:Manes.02G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKQLTSFSNMATIQSSPANTSFSKYLQSLSQTPHRLKRRMLATWTPDQELNQVRQRSGADMKRKLKWHDLIALGIGGMLGAGVFVTTGTVARDNSGPAVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLSVTFGEFVGYFAGANILMEYVLSNAAVARSFTDYFCRAVGESHPNSWRVEVDGLVKGYDKLDFIAVALILLLTLCLCHSTKESSMLNLIMTVFHVIFFGFIIIAGICNGSAKNLVKPGGLAPFGVKGVVNGAAIVYFSFIGYDSVSTMAEEIQNPSRSLPVGIMGSVLIVCVLYCLMALSLCMLVPYSKIPKEASFSDVFQITGWNWASNVVGAGASLGIVASLLVAMLGQSRYLCVIGRARLVPSWLAKVHPSTGTPLNATLFLGLCTASIALFTDLDIVLQMISISTLLVFYLVANALIYRRYAIISQNPPSHTLLYLFLLSSTAISFSISWKLEKQIWVSSIFGVIMIAITAFFQNKIPSISQPNEEWSVPFMPWPAAISVFLNVFLMTTLKLLSFQRFAIWAFLITVFYVLYGVHSTYEAEEMEVGVDEIQHPQLQQSKLEIQVL >Manes.04G053600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10746201:10749429:1 gene:Manes.04G053600.v8.1 transcript:Manes.04G053600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWNLSRTLLVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLVILGGSYVLLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGTVLCLVGILTLIFHDHDHDHNHNGDGGLLGQHLHRKLVIP >Manes.04G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10746181:10750043:1 gene:Manes.04G053600.v8.1 transcript:Manes.04G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWNLSRTLLVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLVILGGSYVLLFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGTVLCLVGILTLIFHDHDHDHNHNGDGGLLGQHLHRKLVIP >Manes.07G015780.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1911638:1927036:-1 gene:Manes.07G015780.v8.1 transcript:Manes.07G015780.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQQLLNIEDSERDDKKVLSNGDVYIGDFKRLIPHGKGKYTWRDKTVYEGDWEEGKMTGKGYISWSSGSKYEGDFSGGHLHGFGTFTGTDGSEYRGAWRMNIQQGLGRKRYSNSDIYEGSWKEGVHEGCGRYSWNSGNMYIGKWKGGKMCGRGVMKWANGDLFDGLWLNGLRHGSGVYRFADGGYYFGAWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDNGSSSLSHSLSLNSEQRRVSRRPSVVRSLSEKISISGMLRNSGRISNRSNSLHENWSPCDSARELICHEPSCSFPCATNEGQREVQGKSTVVYEREYMQGVLIKQRIKNAEPFRKIKQKNKFHTTEAKKKSCIDIFEGHQSYYLMLNLQLGIRYTVGKITPVPMRDVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLKKSELKFFLKMLPNYYRHVGKHENTLITKFFGVHRIKLRGGKKVRFVVMGNMFCTELSIHRRYDLKGSTQGRFTDKDKIGENTTLKDNDLKYDFHMDKMLQESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLMALSESAGAIANHENLPSGDGATSQRELLIPPTGLRLVTHEPGFNTAPGPHIRGNTLRAYSLGAKEVDLLLPGTGRLRVQLGVNMPAQASCKVGGEVDPTEVELFEKVFPEQP >Manes.07G015780.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1911638:1927036:-1 gene:Manes.07G015780.v8.1 transcript:Manes.07G015780.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQQLLNIEDSERDDKKVLSNGDVYIGDFKRLIPHGKGKYTWRDKTVYEGDWEEGKMTGKGYISWSSGSKYEGDFSGGHLHGFGTFTGTDGSEYRGAWRMNIQQGLGRKRYSNSDIYEGSWKEGVHEGCGRYSWNSGNMYIGKWKGGKMCGRGVMKWANGDLFDGLWLNGLRHGSGVYRFADGGYYFGAWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDNGSSSLSHSLSLNSEQRRVSRRPSVVRSLSEKISISGMLRNSGRISNRSNSLHENWSPCDSARELICHEPSCSFPCATNEGQREVQGKSTVVYEREYMQGVLIKQRIKNAEPFRKIKQKNKFHTTEAKKKSCIDIFEGHQSYYLMLNLQLGIRYTVGKITPVPMRDVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLKKSELKFFLKMLPNYYRHVGKHENTLITKFFGVHRIKLRGGKKVRFVVMGNMFCTELSIHRRYDLKGSTQGRFTDKDKIGENTTLKDNDLKYDFHMDKMLQESLFKCNFTKGALDSTNGLAPRYT >Manes.07G015780.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1911638:1927036:-1 gene:Manes.07G015780.v8.1 transcript:Manes.07G015780.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQQLLNIEDSERDDKKVLSNGDVYIGDFKRLIPHGKGKYTWRDKTVYEGDWEEGKMTGKGYISWSSGSKYEGDFSGGHLHGFGTFTGTDGSEYRGAWRMNIQQGLGRKRYSNSDIYEGSWKEGVHEGCGRYSWNSGNMYIGKWKGGKMCGRGVMKWANGDLFDGLWLNGLRHGSGVYRFADGGYYFGAWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDNGSSSLSHSLSLNSEQRRVSRRPSVVRSLSEKISISGMLRNSGRISNRSNSLHENWSPCDSARELICHEPSCSFPCATNEGQREVQGKSTVVYEREYMQGVLIKQRIKNAEPFRKIKQKNKFHTTEAKKKSCIDIFEGHQSYYLMLNLQLGIRYTVGKITPVPMRDVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLKKSELKVRFVVMGNMFCTELSIHRRYDLKGSTQGRFTDKDKIGENTTLKDNDLKYDFHMDKMLQESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLMALSESAGAIANHENLPSGDGATSQRELLIPPTGLRLVTHEPGFNTAPGPHIRGNTLRAYSLGAKEVDLLLPGTGRLRVQLGVNMPAQASCKVGGEVDPTEVELFEVYDVVLYMGMIDILQEYNMRKKVEHTFKSLKFDPLSISAVEPELYADRFLKFLQKVFPEQP >Manes.07G015780.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1911638:1927036:-1 gene:Manes.07G015780.v8.1 transcript:Manes.07G015780.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQQLLNIEDSERDDKKVLSNGDVYIGDFKRLIPHGKGKYTWRDKTVYEGDWEEGKMTGKGYISWSSGSKYEGDFSGGHLHGFGTFTGTDGSEYRGAWRMNIQQGLGRKRYSNSDIYEGSWKEGVHEGCGRYSWNSGNMYIGKWKGGKMCGRGVMKWANGDLFDGLWLNGLRHGSGVYRFADGGYYFGAWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDNGSSSLSHSLSLNSEQRRVSRRPSVVRSLSEKISISGMLRNSGRISNRSNSLHENWSPCDSARELICHEPSCSFPCATNEGQREVQGKSTVVYEREYMQGVLIKQRIKNAEPFRKIKQKNKFHTTEAKKKSCIDIFEGHQSYYLMLNLQLGIRYTVGKITPVPMRDVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLKKSELKFFLKMLPNYYRHVGKHENTLITKFFGVHRIKLRGGKKVRFVVMGNMFCTELSIHRRYDLKGSTQGRFTDKDKIGENTTLKDNDLKYDFHMDKMLQESLFKQLSEDCMFLQSQQIIDYSLLLGLHFRAPEQLMALSESAGAIANHENLPSGDGATSQRELLIPPTGLRLVTHEPGFNTAPGPHIRGNTLRAYSLGAKEVDLLLPGTGRLRVQLGVNMPAQASCKVGGEVDPTEVELFEVYDVVLYMGMIDILQEYNMRKKVEHTFKSLKFDPLSISAVEPELYADRFLKFLQKVFPEQP >Manes.07G015780.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1911638:1927036:-1 gene:Manes.07G015780.v8.1 transcript:Manes.07G015780.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFQQLLNIEDSERDDKKVLSNGDVYIGDFKRLIPHGKGKYTWRDKTVYEGDWEEGKMTGKGYISWSSGSKYEGDFSGGHLHGFGTFTGTDGSEYRGAWRMNIQQGLGRKRYSNSDIYEGSWKEGVHEGCGRYSWNSGNMYIGKWKGGKMCGRGVMKWANGDLFDGLWLNGLRHGSGVYRFADGGYYFGAWSRGLKDGKGTFYPAGTKLPSLRRWSSSLGYDDNGSSSLSHSLSLNSEQRRVSRRPSVVRSLSEKISISGMLRNSGRISNRSNSLHENWSPCDSARELICHEPSCSFPCATNEGQREVQGKSTVVYEREYMQGVLIKQRIKNAEPFRKIKQKNKFHTTEAKKKSCIDIFEGHQSYYLMLNLQLGIRYTVGKITPVPMRDVRHSDFEDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLRELSSPGKSGSIFYLSHDDRFVIKTLKKSELKFFLKMLPNYYRHVGKHENTLITKFFGVHRIKLRGGKKVRFVVMGNMFCTELSIHRRYDLKGSTQGRFTDKDKIGENTTLKDNDLKYDFHMDKMLQESLFKCNFTKGALDSTNGLAPRYT >Manes.12G080101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11941022:11971806:1 gene:Manes.12G080101.v8.1 transcript:Manes.12G080101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQMAPPPGLKRNSLTQKRGPSSSRKPLSSENGASHGTVSNSSSLSAQSSVVGERTVKNLRLSKALTIPEGTTVSDACRRMAARRVNAVLLTDSNALLSGIVTDKDIAARVIAEGLRPEQTIVSKIMTRNPIFVTSDSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGSNFSAPYAFIETLRDRMFKPALSTIIGEQAKVAIASLSDPVCVAAKKMSELRVNSIIIATGNKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECATLETPILDALHIMHDGKFLHLPVMDKDGSIAACVDVLQITHAAISMVENSSGAVNDVANTMMQKFWDSALALEPPDDYDTQSEMSAIMTSDGTDLAKNAYPSLGLGNSFAFKFVDPKGRVHRFNCGTENLDELTHAVWQRIGVGQDHPQLLYEDDEGDKVLLATDGDLIGAINHARTTGLKVLRLHLDFSDSSNQTRSQPDTVTAQRTGWRSFHSSILASAVVLTGIGVLVYLKRSRH >Manes.15G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3937004:3941340:1 gene:Manes.15G051300.v8.1 transcript:Manes.15G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEKAENHHHQEPYHVIHKLPPGDSPYVRAKHVQLVQKDPEAAIVLFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAIKSFRHRCSKQAQESLDNVLIDLYKKCGRIEEQIELLKQKLRMIYEGEAFNGKLTKTARSHGRKFQVTIKQETSRILGNLGWAYMQQGSYWAAEVVYQKAQLIDPDANKACNLCLCLIKQMRYAEARSILDDVLQGKLSGSNDPKSRNRVEELLHELETCQSSLLSPKSSGLSLEDAFVEGLDQLMSQWAPYRSRRLPIFEEISPYRDQLAC >Manes.16G022000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2430659:2432518:-1 gene:Manes.16G022000.v8.1 transcript:Manes.16G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVITTPGGPEVLQLQEVEDPQVKDNEVLIKVEATALNQADTIQRQGKYPTPSGASPFPGLECSGTVVATGKLVSRWKVGDQVCALLSGGGYAEKVAVPAGHVLPIPSGISVKEAAAFPEVACTVWSTVFMTSRLSAGETFLVHGGSSGIGTFAIQIAKARGIKVFVTAGSEEKLAACKELGADVCINYKTEDFVVRVKEETSGKGVDVILDCMGAAYFQRNLDSLNFDGRLHIIGLMGGAATEFNLGSLFAKRLTVQASGLRMRSPENKAEIVNEVEKNVWPEVVAGKVKPVVYKEFPLSEAAEAHRLIESSQHVGKILLVP >Manes.06G175900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29926086:29934561:1 gene:Manes.06G175900.v8.1 transcript:Manes.06G175900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLNNAAMEQSDKQKQFQYSSRESGQQGFPPASQAFMLDPASSRNSNVRLPPNLNVSEVKPVHNYSITGEEFAFEFMRDRVNHKKPLIPNAAGDPNYATGYMELKGILGISHTGSESGSDISMLTVAEKGPKEFERTSSSLHEGRSNYGSVQSVPRTSLGYENRGHILGYASSGASDNLSGKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRITRDISWQELKQKTLSIYDQVQVIKYQLPGEDLDALVSVSSDEDLVNMMEEWSEVEDREGSQKLRMFLFSMSDLDDAQFGLGSAEGDSEIQYVVAVNGMDVGSRKNSTLHGLASSSGNNLEQLDRLNIDRGMSGAATVSVGISTLPLTGPPIQTHPHPQIYHGQFEHREPQHFLLHDRRNSSNYPPFEETPQSAPLHGLSNQQGGLHEGHSGTSFQVHKSQILVKEEKPKPDVSVQQDIDPEKSRPLEKIYHVPVDEVPVGVALQGDPHSLSSKNEGKYQEPEKVSSSVDAVNAVQVPKSSEDDLCSTSDGTFGQVYADSASNLIDLSYLEPSAPPQRVYYSERIPREQADLLNRLSKSDDSLGSQLLTSIAESVEKFHQSNFALHTEISMSTSKPSYSDTQTINDGLSQLQKYKEFADAVSQMNKKLSDSDYMSEKNSVLAGDFNTDYTAGNNMKHLAEELGEAGSGHSAVRQVTAVMPQKDPASNLSERKYFETTSKESTSNNNLGHSQPFSGTDCSTKDVSKGVTPVGVPAAKQADISIDINDRFPRGFLAEIFSGGIPTEDTSGVNPIHKDGAGVSVNMENHEPKHWSYFQKLAQEGFVQKEAADANPDHGTQSAPAKVEEGDSYHLTTLTTDAMSMDHDYSQVNFGEDIKKNLPGVVGADSTVLSDFVHSPVKNSGSVQFDAMKENLKSPESFYEGSKLEKRSAGLPPLDPSLVDFDINTLQVIKNDDLEELIELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEEERLTLEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVTEYMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPAIPSTCDPEWKRLMEQCWAPNPAVRPSFTEIAGRLRVMSTAAGQNKGHNNKTSK >Manes.13G078400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:13494844:13496720:-1 gene:Manes.13G078400.v8.1 transcript:Manes.13G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFSYCHLFKVSPCILRCLFSATLMASKFPFSWAMIVALVIFSLFFSPAFSTSRRVLDHPPEVKNGFRVMLKHVDSDKNLTKFEHIQHGIKRASHRLQRFKAMVLAAGSNSQIDAPVFPGNGEFLMKLAIGTPAETYSAILDTGSDLIWTQCKPCTQCYNQPNPIFDPTKSSSFSKLSCSSQLCKALPQSSCSDGCEYLYAYGDYSSTQGILATETFTFGNVSVPNVGFGCGEDNEGDGFTQGSGLVGLGRGPLSLVSQLKEAKFSYCLTSIDDTEASTLLMGSLASVSGNSNAIKTTPLIQNPLQPSFYYLSLEGISVGGKRLAIKKSTFKLQEDGSGGIIIDSGTTITYLEESAFDLVKKEFTSQMGLSVDNSGATGLELCFTLPSDSSEVEVPKFVFHFKDADLELPGENYMIADSSVGVICLAMGSSNGMSILGNVQQQNMLVLHDLDKETLSFLPTKCDQL >Manes.16G126000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32740534:32740968:1 gene:Manes.16G126000.v8.1 transcript:Manes.16G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQEKLAAPISESSPLAETHFSEDYEAVISSGCCCFHRFCWKTNNDGSHRYLLSKQEEINDGWFVEKAKKLKEISEILAGPRWKNFIRRFTTVHGFNKKRRIKCQYDPQSYALNFDNGIDKEVEDSCPDFSARFATPKANGV >Manes.17G026299.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20229511:20230062:-1 gene:Manes.17G026299.v8.1 transcript:Manes.17G026299.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDKEFLQWPKPMKSEADQRNPDKYCQYHRTHGHDTNNCFQLIAEIERLIKRGHLKNFIKKSEGQRPQSSLAVQVPRRTGTGPVNDGSSGTINMIVGGTGGRMGRRGKKRNREGETSSTEVMQIVDHSPMTITFSSEDAQGIQMPHDDALVIEAVIHNYRVKKVLVDDGSKVNLLPYRVFQ >Manes.17G069500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26964465:26969539:1 gene:Manes.17G069500.v8.1 transcript:Manes.17G069500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLYHHHHLSFSVFFRYRKRLSSSTANLFHGFKLNISDVGKCEYRLQNRIARVRAFDEDLSDISLIDDWGLDEGTAAYLISSSDGEDSDGDFVLNPVSDVDLPSSSGSTNDALTVTAHRFALIGKGHKKHRIRAGILNNLGLIVFLLLLLLFVDWCAWKIVRLPLSPFYLSQPFFISTALVSIAGYLFVPFLNALKIRRNVRKKAPVRHFKKRATPTMGGLFVIPIGVGVAKFTAGFSSIEVSGATVATLAFASIGLLDDILSFAKNNNHGLSSQIKLFLEVAVGTLFAFWLDTASISSPYSMKFLIPLPAPLGLVCLGKCYLLLTSFCFVSMGSGVDLTDGLDGLAGGTAAVAFVAMSIAVLPICSGVASNTVLSVVTCYFWSINGWRLCWLSVAQPIQSIYIYGRYRIPGIGWRISCNGCLYWNVLSIIYFIRILCPGNIICYYAGSILQGNQVVEGIWAPLVSDGTFSSSPRIMWV >Manes.17G069500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26964465:26969539:1 gene:Manes.17G069500.v8.1 transcript:Manes.17G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLYHHHHLSFSVFFRYRKRLSSSTANLFHGFKLNISDVGKCEYRLQNRIARVRAFDEDLSDISLIDDWGLDEGTAAYLISSSDGEDSDGDFVLNPVSDVDLPSSSGSTNDALTVTAHRFALIGKGHKKHRIRAGILNNLGLIVFLLLLLLFVDWCAWKIVRLPLSPFYLSQPFFISTALVSIAGYLFVPFLNALKIRRNVRKKAPVRHFKKRATPTMGGLFVIPIGVGVAKFTAGFSSIEVSGATVATLAFASIGLLDDILSFAKNNNHGLSSQIKLFLEVAVGTLFAFWLDTASISSPYSMKFLIPLPAPLGLVCLGKCYLLLTSFCFVSMGSGVDLTDGLDGLAGGTAAVAFVAMSIAVLPICSELAIFGASMAGACVGFLLHNRYRASIFMGDTGSLALGGGLAAMAACTGMFFPLFISSGFFVLETSSVIMQVAYFKATRWLRGSGRRLFRMAPFHHHLELCGFEEPTIVAGAYFLSCLLALVAGYVGLISV >Manes.10G083444.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:20480335:20481648:1 gene:Manes.10G083444.v8.1 transcript:Manes.10G083444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPISSALISTNLRPSFASTNRFSPLQSTPIPPSTFKQAVTGPTIISPSSSNPLLTQEPTQTEYSYKSIDEYILTIEPEYWAQNPNLNIYQLCSTIFPRNHYYIPDNFQKSQQFYETILINTCSIVIHNNYDPQNPNKLRYCKVRILKIWTLTDWGLEPHKMREMIMTIGQIKQNIKYNYYDYQIAWERDFFKQNEQLSVSFFFFFDDNFSYPLPYWFYQWWNKFDICETNIPSQITIAKEQFFERQQLPETITLAPSWLVYSHHFHIPWILMIEYQIKDQTIDIFQVPTLVRKFKTKWWNKTNLHGCDSKAIEQFFQDHPQFCKKPSISIITRQETFLARKQQIMSQMTACTSEEEYDQLINELNEVKSSAASPSPISLDNDNDDFFTQAEM >Manes.12G086000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10106768:10111072:-1 gene:Manes.12G086000.v8.1 transcript:Manes.12G086000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQSDQLEPDLPLPRLRIHPSSPPRFPITAPIPTSTGQRRIAIAVDLSDESAYAVKWAVNNYLRPGDAVILLHVRPTSVLYGADWGSIKLQINDNSDDAPLDESDQQKLEDDFDNFTATKAKSLAQPLVEAQIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAARRNSKGRLGSVSDYCVHHCICPVVVVRCPDDKEGESNGDGGKEGSVKKGIIGKEVELHPVPEEDQEYHDASDEQKDFYQVSG >Manes.16G025000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2653295:2661907:1 gene:Manes.16G025000.v8.1 transcript:Manes.16G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIQHKHIQVRGLNLHVAEIGTGAKVVLFLHGFPEIWYSWRHQMIALANAGFRAIAPDYRGYGLSDSPPEPEKTTFRDIVSDLVGILDSLEITKVVLVAKDFGGRLVSMFTLLHPERVLGIATIGAPLLYQGRLSQSSKNLPEGFYIHRFREPGRAEADFGRFDAKTVVRNVYILFSRSEIPIAAENQEIMDLVEPSSPLPPWFTEEDLATYGALYEKSGFQTALKVPYRSLNEEDLSITDPKIKVPALLIMGTKDYVLKFTGIEDYISSGKVKDFVPDLDIIYLPEGSHFAQEQSPDEVNQLILNFLNSRIWS >Manes.17G098800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30498430:30501110:-1 gene:Manes.17G098800.v8.1 transcript:Manes.17G098800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALEALCGQAVGAGQLNMLGIYMQRSWIITGITALFLAPFYVFASPILQLLHQDKGISKLAGKYSIWVIPQLFAYALNFPIQKFLQAQSRVWIMTIISIAALAIHVLLNWVLVTKLDHGLVGAAVAGNISWWLVVLGQIVYVFCGCFPEAWTGFSWSALKSISSFLKLSLASAVMLCLELWYVTAVIFMVGRLHNPAIAVDAVSICMNLQRWTLMIALGFNAALSVRVSNELGAGNPKAAKFPVVVTLLTSTITGVVFTALVLVTKNDFPKVFTDKPAVMKEASKLGYFLAATIFLNSIQPVLHGVAVGAGWQFSVAFINVGCYYIIGLPIGAVLGYKFDLGVKGIWSGMLAGCLLQIIVLIFVFLRANWKKEALKAEERIRTWGGSASVEPRQSSFEENMN >Manes.17G098800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30498430:30501110:-1 gene:Manes.17G098800.v8.1 transcript:Manes.17G098800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDDSVQEKSLGIEMQVINGVMGKKKMVKKSWDESKKIWEIAAPAMLTAVAQFSIGFVTSAFVGHLGEVELAAVSIVQNVIEGFVYGVMLGMGSALEALCGQAVGAGQLNMLGIYMQRSWIITGITALFLAPFYVFASPILQLLHQDKGISKLAGKYSIWVIPQLFAYALNFPIQKFLQAQSRVWIMTIISIAALAIHVLLNWVLVTKLDHGLVGAAVAGNISWWLVVLGQIVYVFCGCFPEAWTGFSWSALKSISSFLKLSLASAVMLWLVFKLYFLQNPCSGFHFSSLLAHASLELWYVTAVIFMVGRLHNPAIAVDAVSICMNLQRWTLMIALGFNAALSVRVSNELGAGNPKAAKFPVVVTLLTSTITGVVFTALVLVTKNDFPKVFTDKPAVMKEASKLGYFLAATIFLNSIQPVLHGVAVGAGWQFSVAFINVGCYYIIGLPIGAVLGYKFDLGVKGIWSGMLAGCLLQIIVLIFVFLRANWKKEALKAEERIRTWGGSASVEPRQSSFEENMN >Manes.17G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30498430:30501127:-1 gene:Manes.17G098800.v8.1 transcript:Manes.17G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDDSVQEKSLGIEMQVINGVMGKKKMVKKSWDESKKIWEIAAPAMLTAVAQFSIGFVTSAFVGHLGEVELAAVSIVQNVIEGFVYGVMLGMGSALEALCGQAVGAGQLNMLGIYMQRSWIITGITALFLAPFYVFASPILQLLHQDKGISKLAGKYSIWVIPQLFAYALNFPIQKFLQAQSRVWIMTIISIAALAIHVLLNWVLVTKLDHGLVGAAVAGNISWWLVVLGQIVYVFCGCFPEAWTGFSWSALKSISSFLKLSLASAVMLCLELWYVTAVIFMVGRLHNPAIAVDAVSICMNLQRWTLMIALGFNAALSVRVSNELGAGNPKAAKFPVVVTLLTSTITGVVFTALVLVTKNDFPKVFTDKPAVMKEASKLGYFLAATIFLNSIQPVLHGVAVGAGWQFSVAFINVGCYYIIGLPIGAVLGYKFDLGVKGIWSGMLAGCLLQIIVLIFVFLRANWKKEALKAEERIRTWGGSASVEPRQSSFEENMN >Manes.09G166500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35925653:35929305:-1 gene:Manes.09G166500.v8.1 transcript:Manes.09G166500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLESLSEATSGAIGSLLSTTILYPLDTCKTKYQAEVRAHGQRKYRNLSDVLWEALSNGQFLSLYQGLGTKNLQSFISQFVYFYGYSYFKRLYLEKSGFKKIGTSANLVIAAAAGACTAIVTQPLDTASSRMQTSAFGKSKGLWQTLTEGTWSDAFDGLGISLLLTSNPAIQYTVFDQLKQRLIKGKENTADKGSSVEALSALSAFVLGAISKSAATVITYPAIRCKVMIQAADSDEDESKKGERKSSKTLPAVVHAIWRREGLLGFFKGLQAQILKTVLSSALLLMIKEKISRSTWVLILAIRRYLLLSRGRLKSA >Manes.02G120900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9234302:9237691:-1 gene:Manes.02G120900.v8.1 transcript:Manes.02G120900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFKLEVVISNDCDESVDHVSEKSTLDEKFNAAEKILNGFGKQDNRSTGNFATNAERRAVGDRFFRENHATHGAKISALFNGDEKREHGSGRKSGPLLSGTAYCISSCSMILLNKVVLSSYNFDAGISLMLYQNLICCVVVAILGFCGVVSVQKLNWKLIKVWIPVNAIFVGMLVSGMYSLKYINIAMVTILKNMTNIMTAIGELYIFRKHQNQKVWAAMILMIISAITGGITDLSFDATGYAWQLMNCILTASYSITLRRVMDKAKQSTRSGSLNEISMVLLNNLLSLPFAIFLILVFDEWEYVINADVIKLPLFWVVATASGLLGLAISFTSMWFLRQTGPTTYSLVGSLNKIPISIAGLVLFKVPLSLSNLFSILFGLFAGIFFARAKMS >Manes.09G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10674967:10677949:1 gene:Manes.09G064800.v8.1 transcript:Manes.09G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLLLLLSVLIPSSSFAQWPPSPGYWPSSRFRSMSFYQGYRNLWGYTHQRVDQNALTIWLDSTSGSGFKSVKAFRSGYFGASIKLQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTQDFHHYAILWSPKEIIFLVDDVPIRRYPKKSDETFPMRPMWIYGSIWDASSWATEDGKYKADYRYQPFVARYTNFKAAGCSAYSPAWCRPVSASPSWSGGLSRQQYRAMRWVQTRNMVYNYCKDSKRDHSLTPECRR >Manes.05G073550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6067704:6068459:-1 gene:Manes.05G073550.v8.1 transcript:Manes.05G073550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFIFRIFKMSTFNSFEDVASCKATTVSQPSSTTQPANSFTHSQLEPSTPIYPSHSLPAASEIEAGCSNESKSCLWDAEDDMN >Manes.05G073550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6067860:6068181:-1 gene:Manes.05G073550.v8.1 transcript:Manes.05G073550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNSFEDVASCKATTVSQPSSTTQPANSFTHSQLEPSTPIYPSHSLPAASEIEAGCSNESKSCLWDAEDDMN >Manes.04G079541.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29159576:29160817:-1 gene:Manes.04G079541.v8.1 transcript:Manes.04G079541.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVARFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPPPPEPFSLEIVEFGFDSRTCDYKVLRIFEYFTLDNQRDYLAEIYSLRDDTWRKLDVSLNSWVLPSYKFQNGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSRYFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVAHPEYLRMALPLGFSRKGELFFSSWNEHLLVWNPPEETISPLPLEGAVYASNCLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.02G105000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8202105:8206537:1 gene:Manes.02G105000.v8.1 transcript:Manes.02G105000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVKQILTKPIQLADQVIKAADEACAFKQEAAELKSKTEKLAALLRQAARASSDLYERPTRRIIEDTEQVLDKGLALVQKCRANGLVKRVFTIIPTAAFRKMSSQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIAILYTGSLDDRSDAAASLVSLARDNDRYGKLIIEEGGVPPLLKLIKEGKMEGQENAARAIGLLGRDSESVEYMIHAGVCIVFAKILKEGPMKVQAVVAWAVSELAANYPKCQDLFAQHNIIRLLVGHLAFETIQEHSKYAITSQKATSIHAVVMASNSSTTAHNVAKPTTNDDDQSRIPHPMGNQTVNQLHNVVTNTIAMNAASKTPQQRPGYNSNGPSQTNVAKFSGNGNNSMKLNHQPHHQQSHSLSGVNIKGRELEDPATKANMKAMAARALWRLAKGNSPICRSITESRALLCFAVLLEKGAKDVQYNSAMALMEITAVAEEDADLRRSAFKPNSPACKAVIDQLLKIVEKADSDLLIPCVKAIGYLARTFRATETRMIAPLVKLLDEREAEVSREASIALTKFACTENYLHLDHSKAIIQAGGEKHLIQLVYFGEQIVQPSALYLLCYIAMHVPDSEQLAQAKVLTVLEWASKQSFVTQDETIEALVDDAKSKLELYQSRGSRGFH >Manes.04G011000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452977:1462322:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCYGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.04G011000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452993:1461820:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCYGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.04G011000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452993:1461820:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.04G011000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452993:1461820:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.04G011000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452992:1462322:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCYGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.04G011000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452993:1461820:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.04G011000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452992:1462322:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCYGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.04G011000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452977:1462321:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCYGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.04G011000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1452993:1461858:1 gene:Manes.04G011000.v8.1 transcript:Manes.04G011000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPHLLQFPVHTSSPGPDYLLFYPSPCQSPSPISVHKTKIPVVPIAFKVSSRVRCLTKSSTEEDRWSDSETLVSDDGGDDRDAAREDAKVQQPQRERIAPISYGDSLSLGIREPVYEVVEVKSNGMVSTRKISRRRLLKTSGLRPRDIRSVDPSLFLTNSMPSLVVREHAILLNLGSLRAIAMQERVLIFDYNCKGGKAFIDTLLPRLNPRNVNGGPCMPFELEVVEAALLSRVQRLEQRLMTVEPRVQALLEVLPNRLTADILEELRISKQTLVELGSRAGALRQMLLDLLEDPHEIRLICIMGRNCTLVKGNDNVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCYGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGILVGAVVAFFIVYLYLRARKIL >Manes.03G204450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31982573:31983870:1 gene:Manes.03G204450.v8.1 transcript:Manes.03G204450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIATANGSILTWGGFGIGQGHSGGNETVTGRACPKGLYGIFCEVFLLIPSCQFLVDHLNILNIL >Manes.13G063398.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7496611:7497491:1 gene:Manes.13G063398.v8.1 transcript:Manes.13G063398.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGTLGCRRLMTRPPIKRPSDRKWASFLPILELSFEAKVEVLGAWSFWSLDSPHLRVRDRTNPRSSRGKCRSLFP >Manes.12G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32694252:32705870:1 gene:Manes.12G121400.v8.1 transcript:Manes.12G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIHNWIVRGVECFIACLLIIIPLTCAEEGLNIKCCAASNSTDIRKLTWISDYGWFPDDASCQPITRAEANFTGYDVRFFKIDSGKRCYKLPTNKDQDYLIRGTFLYGDSVGSLDSFDVLIGVTKISRVNTSEDLKVEGIFRAKDQHTDFCLVHDKGYPYISQLELKPLEDSEYLNVLGKAPNILKLVSRNDVGNSGEDIRYPDDPFDRIWDTDSKLVKPSPDLTLARSYIHNASTTVPIRVLQTALTHPDRLEFLHSSLDAEYHNYTLFLYFFELNSTIKPQQRVFKIYINNEIHQDEFDIQANGSYYNEVALNVTAKEALNLTLVKVSNRSVFGPILNAYEILQMYSWIQGTNQQDVDTIEMARYELLQHNKENQVLQSWSGDPCHPLPWKGLTCQSMNGDPVITRLDISSSRLRGPLPANITRLNNLMQLNVTHNKFTARIPEFPSSSKLTSVDLSHNELVGFLPNSLTSLPNLTTLYYGCNNLSKGTPSFNNSRLATDYGVCVNVTQSSTRNIQGILIGTVACGSFLLALGVALVCIYRQKFIAQRNFDGKRHPMTKNAIFSLPSSDDVTFKSITIQMFTLEYIENATHKYKTLIGEGGFGSVYRGTLSDGQEVAVKVRSSTSTQGTREFENELNLLSSIRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKTLDWPTRLSIALGAARGLTHLHTFAGRCVIHRDVKSSNILLDQSMNAKVADFGFSKYAPQEGDSGASLEVRGTAGYLDPEYYSTQHLSAKSDVFSFGVVLLEIVSGREPLNIHRPRNEWSLVEWAKPYIRESKIDEIVDPSIKGVYHAEAMWRVVEAALACIEPFSAYRPCMADIVRELEDALIIENNASEYMKSIDSIGGYSLGGSNRFSIVIDKKNVIPPTPTPTEPSPINAQAMAPPEPR >Manes.12G121400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32694252:32705870:1 gene:Manes.12G121400.v8.1 transcript:Manes.12G121400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIHNWIVRGVECFIACLLIIIPLTCAEEGLNIKCCAASNSTDIRKLTWISDYGWFPDDASCQPITRAEANFTGYDVRFFKIDSGKRCYKLPTNKDQDYLIRGTFLYGDSVGSLDSFDVLIGVTKISRVNTSEDLKVEGIFRAKDQHTDFCLVHDKGYPYISQLELKPLEDSEYLNVLGKAPNILKLVSRNDVGNSGEDIRYPDDPFDRIWDTDSKLVKPSPDLTLARSYIHNASTTVPIRVLQTALTHPDRLEFLHSSLDAEYHNYTLFLYFFELNSTIKPQQRVFKIYINNEIHQDEFDIQANGSYYNEVALNVTAKEALNLTLVKVSNRSVFGPILNAYEILQMYSWIQGTNQQDVDTIEMARYELLQHNKENQVLQSWSGDPCHPLPWKGLTCQSMNGDPVITRLDISSSRLRGPLPANITRLNNLMQLNVTHNKFTARIPEFPSSSKLTSVYYGCNNLSKGTPSFNNSRLATDYGVCVNVTQSSTRNIQGILIGTVACGSFLLALGVALVCIYRQKFIAQRNFDGKRHPMTKNAIFSLPSSDDVTFKSITIQMFTLEYIENATHKYKTLIGEGGFGSVYRGTLSDGQEVAVKVRSSTSTQGTREFENELNLLSSIRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKTLDWPTRLSIALGAARGLTHLHTFAGRCVIHRDVKSSNILLDQSMNAKVADFGFSKYAPQEGDSGASLEVRGTAGYLDPEYYSTQHLSAKSDVFSFGVVLLEIVSGREPLNIHRPRNEWSLVEWAKPYIRESKIDEIVDPSIKGVYHAEAMWRVVEAALACIEPFSAYRPCMADIVRELEDALIIENNASEYMKSIDSIGGYSLGGSNRFSIVIDKKNVIPPTPTPTEPSPINAQAMAPPEPR >Manes.03G153351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28099967:28100365:1 gene:Manes.03G153351.v8.1 transcript:Manes.03G153351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARITLLTLVMSSAVGAAGWPLEKIRTVNITNNLGANIELNFHCKSKNDDLGQQQLPYKGFWYFKFRPNFWGTTLFYCSMNWEQVSHSFDIYVDTRDNLKCVVCQWSIQAKGPCRLNSTSQKYDICFPWTP >Manes.10G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31856639:31861416:-1 gene:Manes.10G151400.v8.1 transcript:Manes.10G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVKRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.10G151400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31856721:31861209:-1 gene:Manes.10G151400.v8.1 transcript:Manes.10G151400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVKRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.10G151400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31856546:31861271:-1 gene:Manes.10G151400.v8.1 transcript:Manes.10G151400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVKRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.03G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1593314:1596823:-1 gene:Manes.03G018800.v8.1 transcript:Manes.03G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKGFGGIGENGSSSEGRPPNPLAAACRQCFSIDNSPVSCKKTLVRHQSLVKTRTSDISVESELDHNSDFIPIVRSGAWKDIGFRPSMEDVYICIDDFGSDCGLGNVVNGPNAFYGVFDGHGGKHAADFACYHLPKFIVENEHFPSEVEKVVASAFLQTDASFAEACSMDAALASGTTALAALVIGRFLVVANAGDCRAVLCRRGKAIEMSRDHKPICSKERKRIEASGGHVCDGYLNGLLNVARAIGDWHMEGMKGADGGPLSAEPELMTTQLTTEDEFLVIGCDGLWDVFRSQNAVDFARRRLQEHNDPVMCSKDLVDEALKRKSGDNLAVVVVCFRSEPPPNLVAPRSRVQRSFSAEGLRELQSFLDSLAN >Manes.14G142100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12533624:12537151:1 gene:Manes.14G142100.v8.1 transcript:Manes.14G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMIRFFILISLLIHVSSKPASTKKWLTLNGRPPTIMARGGLSGVFPESSFLAIQMAMDFGTKGTVLYCNLQLTKDGVGLCQEDMNLQVSTNIDMKFPNDEKTYKINGKDVKGWFAVDYTIDDMLKANVTGMQTVLNRPDFLDDMFPLLTVEEIFQNDFSSFWLNVQNAAFYSQQKLNLASYIQGEKSFRKVKYISCAEIDFLKTMNGKVNKVRTQLIFVFRGKDEIEPSTNQTYGSILENLASVKAFASGIVVPKDYIWPVNKAKYLEDPTTLVPDAHELGLQVYATGFANDFLNVFNYSYDPIAEYLNFIDAPQFSVDGVITDFANTAASAIECFATYRDVNFTKKNKPLIISHNGASGIYSNCTDLAYEEALTDGADIIDCKVQMTKDGMAFCMGSADLAGETTAVATFQTRVATIPEIQVRPGIFSFDLTWSEVQTLKPQLGSPFGMQQKYPRNPAYKNAGKLVTLGQFLELAKTKAAYGILINIENAAYLAAKKGLDIIGAVNAALSKASLDKESTLQVLIQSDDTSVLSKFKTVPSYQRVFAITNDIGDAPMQSIDEIKKFADAVNILRQSVIVVNQGGTRTQGLIKSVTNVVEELKRANLTVYISVMRNEFFSLAFDYMADPTVEIATFAEFNMDGLVSEYPATVNRYFTNVCSDLDTTPYVIAIQPPYLIQLLGNIPGPASAPNPPLKPADVVDPALPPVAKITDADSTPAAPGSDKKSSSALLNADVLGSFLVASLVLGFLCKGY >Manes.01G039700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7035234:7038703:-1 gene:Manes.01G039700.v8.1 transcript:Manes.01G039700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLSQNTRFLPYSSSKRRHFLSQGLLHPPIKIITCSAKRDQVDFVSFTPLKELQTVACGLLAAWAVTAASPVIAASQRLPPLSTEPNRCEKAFVGNTIGQANGVYDKPIDLRFCDYTNEKSNLKGKSLAAALMSDAKFDGADMSEVVMSKAYAVGASFKGVDFSNAVLDRVNFGKANLQGAVFKNAVLSGSTFDEAQLADAVFEDTIIGYIDLQKLCTNKTIDPEGRANLGCR >Manes.01G039700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7035189:7038742:-1 gene:Manes.01G039700.v8.1 transcript:Manes.01G039700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLSQNTRFLPYSSSKRRHFLSQGLLHPPIKIITCSAKRDQVDFVSFTPLKELQTVACGLLAAWAVTAASPVIAASQRLPPLSTEPNRCEKAFVGNTIGQANGVYDKPIDLRFCDYTNEKSNLKGKSLAAALMSDAKFDGADMSEVVMSKAYAVGASFKGVDFSNAVLDRVNFGKANLQGAVFKNAVLSGSTFDEAQLADAVFEDTIIGYIDLQKLCTNKTIDPEGRANLGCR >Manes.01G123600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31922099:31926750:1 gene:Manes.01G123600.v8.1 transcript:Manes.01G123600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGHKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQMRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETASGLQDAYALCRVFKKSANIPKMGEHFASTSNQMASEHSSSIELYSEGRCEDFESSNYNMPIDTCSPSIGNTGSPIHLAETRDGKWKQFLSEDAFTFSSPSFSSYGALPYPLPKIDVAFECARLQHSSLPPLEVEDFPHLGLTDVKVMHENTNRKDILQEILSVAHASQELINHSNTQDTWGGNYAADNNNFSFVAGKDMHLYSNINSMRCMDKSWGDPNLRFIEIGNSAEDFKTERMTENLRWVGMTDEELHKEEMGIK >Manes.01G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31922099:31926749:1 gene:Manes.01G123600.v8.1 transcript:Manes.01G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGHKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQMRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETASGLQDAYALCRVFKKSANIPKMGEHFASTSNQMASEHSSSIELYSEGRCEDFESSNYNMPIDTCSPSIGNTGSPIHLAETRDGKWKQFLSEDAFTFSSPSFSSYGALPYPLPKIDVAFECARLQHSSLPPLEVEDFPHLGLTDVKVMHENTNRKDILQEILSVAHASQELINHSNTQDTWGGNYAADNNNFSFVAGKDMHLYSNINSMRCMDKSWGDPNLRFIEIGNSAEDFKTERMTENLRWVGMTDEELHKSFMEEHKVVPIENISTFQTREGNELQGGNGHQVDCMGFNETDDYSLGFINDDDPNGNLIDESNVDDLASSPSFEVVEEIKVSHGMFVSTRQAAETFFHRLVPSQTVKIHLNPADTFSMQKIEMHSAADETQSFKTNTFSRESNFMEMSKSLNPWKKFATAVFCTIVILMSKLMMHRMRENGYNVKPRKMEERNKWNHDYSENDLLVSIRSGGNQFSVLLKKLGIFLTISLAVCTMWVNHVMLTS >Manes.01G123600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31922099:31926750:1 gene:Manes.01G123600.v8.1 transcript:Manes.01G123600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETASGLQDAYALCRVFKKSANIPKMGEHFASTSNQMASEHSSSIELYSEGRCEDFESSNYNMPIDTCSPSIGNTGSPIHLAETRDGKWKQFLSEDAFTFSSPSFSSYGALPYPLPKIDVAFECARLQHSSLPPLEVEDFPHLGLTDVKVMHENTNRKDILQEILSVAHASQELINHSNTQDTWGGNYAADNNNFSFVAGKDMHLYSNINSMRCMDKSWGDPNLRFIEIGNSAEDFKTERMTENLRWVGMTDEELHKSFMEEHKVVPIENISTFQTREGNELQGGNGHQVDCMGFNETDDYSLGFINDDDPNGNLIDESNVDDLASSPSFEVVEEIKVSHGMFVSTRQAAETFFHRLVPSQTVKIHLNPADTFSMQKIEMHSAADETQSFKTNTFSRESNFMEMSKSLNPWKKFATAVFCTIVILMSKLMMHRMRENGYNVKPRKMEERNKWNHDYSENDLLVSIRSGGNQFSVLLKKLGIFLTISLAVCTMWVNHVMLTS >Manes.02G050500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4057957:4059339:-1 gene:Manes.02G050500.v8.1 transcript:Manes.02G050500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDHKLAHIALLPSAGMGHLTPFFRLAALLADNNVKITFITPSPTVSLAESQTLSRFFDSFPEISRKQFHLLPIDYHPSNSEDPFYYHMERICQSSHLLSPLISSLYPPLSALITDMSLASPAISITQALNLPNYVFFTSSAKMSTLFMSFHATIGSKATETDEIEAIKIPGLEAIPRSWIPPPLLQDAKNLLKTYILENGKKMAESSGILVNTFEGIERESLRKLNDGEVIKGLPPVIAIGPLAPCEFEKIQPLAWLDEQPNGSVVYVSFGSRTAMSREQLRELGEGLARSGHRFLWLVKNKKVDREDEEELDQIIGSGLIERLKEKGLVVKYWLNQEDILSHPAVGGFLSHCGWNSVTEAIRNGVRILAWPQHGDQKINADIVEKIGLGTWEKSWGWGEEMVVNGTDIAENIKKMMGNESLRLQAKQIREQARTAVGIDGSSRKALLELIEIWQN >Manes.14G118700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11386463:11389305:-1 gene:Manes.14G118700.v8.1 transcript:Manes.14G118700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSKSVQEMSITGDEPPPEYIVKGCTFAPSDLSSSLSSSPFPVIDISLFSSSSAHSNSKEEVDSALETLRSALGSNGCFQAVGHGMSSSFLDKVRAMAIQFFELPVEEKQKYARAVNESEGYGSDVVVSANQVLDWSHRLSLRVFPEDRRRNNLWPENPNDFRETLIEYSLKIKSVMDLLYKAMARSLNVEENSFSGQFGDRSLMQARFNFYPKCSRPDLVLGVKPHTDRSGITVLLQDRQVEGLEILADNKWIRVPVIPDALVVNLGDQMQIMSNGIFRSPMHRVVTSTERLRISVALFHEPEPEREIGPVDSLVDEQTPRLYRNVKNYGFINYECYQKGIVALETVKI >Manes.12G078151.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9678014:9678517:1 gene:Manes.12G078151.v8.1 transcript:Manes.12G078151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSGFPAVLEGYSDAKWISDSYEIKSTSGYVFTLGGSAITWKSSKQNIISKSTLESEFIALELVGTETEWLRNFLANISLEIKPTPSVSMRCDCQAAIAIAKNKTFNGKNRHIRLKHNVIKQLLKDGTIFMDYVKSEVNLADSLTKPLWRKIIDETSRGMRLEPI >Manes.02G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9363089:9369843:-1 gene:Manes.02G123100.v8.1 transcript:Manes.02G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSPFGLRVWSWASLVLLLLNSGLVFSDSEYLIGLGSYDITGPAADVNMMGYANTEQIASGIHFRLRARAFIVAEPQGNRIVFVNLDACMASQLVTIKVIERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSFGFVRQSFDVIVDGIEKSIVQAHENLQPGSIFVNKGELLDAGVNRSPSAYLNNPAEERNKYMYDVDKEMTLLKFVDDEWGPVGSFNWFTTHGTSMSRTNSLISGDNKGAAARFMEDWFEKKGVGNSYSNESVADGIPRRVSNIIPHLPSNHHELLELAASFQSSPGRPATKILSVARRVRSSLRQAEKPAFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCSGKNELCYGRGPGYPDEFESTRIIGERQFRKAVELFNKASERVNGKVDYRHTFLDFSQLEVTLPKQEGSFEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWRLVRNFLKAPNKEQIDCQHPKPILLDTGEMKQPYDWAPSILPIQILRVGQLVILSVPGEFTTMAGRRLRDAVRTVLTSGNAEFSSNVHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLFGPHTLSAYIQEFKKLASALLSGQTVEPGPQPPDLLNKQISLLPPVVFDATPPGANFGDCSSDVPENSTFNRGDTVTVEFWSACPRNDLMTEGTFALVEILEGKDTWAPAYDDDDFCLRFKWSRPFKLSARSHATIEWRIPQSATPGVYRIRHFGAAKSLLGSVRHFTGSSSAFVVE >Manes.10G122000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29029863:29038057:-1 gene:Manes.10G122000.v8.1 transcript:Manes.10G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRSTPSNDLYHLPFTMKRSWRNHHGHPQTPHHHHHQEDDTSLSVSSLSKIILPPLGVSSYNHNPVETKGWIVSPMNSKYRCWETFMVVLVAYSAWVYPFEVAFLNSSPNKMLYITDNIVDLFFAIDIVLTFFVAYIDSRTQLLVRDRTKISIRYLSTWFLMDVASTIPFEALAYFFTGKHSMGLSYSLLGMLRFWRLRRVKQLFTRLEKDIRFSYFWIRCARLIIVTLFLVHCAGCLYYLLADRYPHQGRTWIGAVIPNFRETSLWIRYISALYWSITTMTTVGYGDLHAVNTMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQNHLIEQLPKSICKSICHHLFLPTVEKVYLFSGVSREILLLLVAEMKAEYIPPREDVIMQNEAPDDVYIIVSGEVEIIDSDLEKELVVGTLQSGDMFGEVGALCCKVQSFTFRTKTLSQLLKLKTSTLIDTMQTKQEDYVAIIKNFLQHHKKLKGLKLGESLVDDGEEDGDPNMAFNLLTVASTGNAAFLEELLRAKLDPDIGDSKGRTPLHVAASKGHEDCVLALLRHGCNINLRDVNGNTALWEALSSKHQSVFRILYHFSNIDDPHTAGELLCKAAKENDLTMMKELLKHGLNVDAKDRQGKTAVQIAMAQNYVDMVDLLVMNGADVSAANTSEFSSTTLNEMLQKREIGHRITVPDTVTSDEVILKRNQEEEEGNSSGKSNGWECRRVSIYRGHPLIRKETCCLEPGRLIRLPNSMEELKSIAGEKFGFDARNAMVTDEEGSEIDSIEVIRDNDKLFIVEDPNSSM >Manes.14G051500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4386383:4390226:-1 gene:Manes.14G051500.v8.1 transcript:Manes.14G051500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHDVRRPFKRAAISDQQRRRDLTLQRQAQSRRDAQLQARCLASTVLSFQSQSTEPEQEPDIELEPESLVDFLPDQEESGASSKDLDVRHASKLKGAEARKWFARQLMLPEWMIDIPDCLAQDWYVFARPAGKRCFVVSSNGTTVSRQRNGSILHRFPSALPNGAKIRDASGPSQSYSILDCIFHERDQTYYVIDMVCWRGYSLYDCTAEFRFFWLNSKLGETGACNPPSFYHKYRFSTVPIYNCDQSGLWSAYTGAVPYVKDGLLFYNKHAHYQTGNTPLALVWKDENCSQYVIDTDSKGQVPSQQQVVLELQDDGQLVTSDDPSVVFGCLDLDFIQKTGLHSGNLLRFTVSDGGLSFVDGKLEKVDLHYLGKVNRARAFADSYSKIMFQHTVRHSPLKIDDLVASICSLDDQQGKPCDTEMSG >Manes.18G138097.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:19152075:19153178:-1 gene:Manes.18G138097.v8.1 transcript:Manes.18G138097.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLDFYVFSLVRVMLQIWNPQFWLCSRGIKDKEEIIKIKARSFIAPIVIRMVVHDKHVEHCMADHHGLINFIVLAKQQFVLSNLMEKTCFHNLLINFRY >Manes.16G019132.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2042434:2044291:-1 gene:Manes.16G019132.v8.1 transcript:Manes.16G019132.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREFMVKSGFLCFFLFNLFSFAYCSVIYNITTTRAVSPEQTLNSPRQIFELGFFTPNNNSRNQYVGMWFKEASPQTVIWVANRENPITSSSASLTIGSDGNLRLLDGQRNTIWSTNISRQSNSSIAVLSDDGNFILRNSITGDDLWKSSQHPTDSLFPGTWLAYNETTGMRLALTSWKSNNDPSIGDFTAGVPPLTPPQAFVWKGSKPHWRSGPWGKTKFIGTPEMDADYKSGFTVIEGLQPGIAYLTVSVHRNCSYSMFVVSPAGVLRFLCWLKERGWFVRWEAPVTPCEVYGACGPFGVCQRYAPNLTCRCLKGFVPKSDDEWRKGNWTGGCIRRTELSCGGNTSSVNAQGGKPDGFLKVGGLKLPDWHVYLKVLDKNECHQRCLSNCSCSGYSYVDGIGCLVWTTNLLDMHELPFGGQDLNLRLALTELGESNYN >Manes.16G019132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2042434:2045986:-1 gene:Manes.16G019132.v8.1 transcript:Manes.16G019132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREFMVKSGFLCFFLFNLFSFAYCSVIYNITTTRAVSPEQTLNSPRQIFELGFFTPNNNSRNQYVGMWFKEASPQTVIWVANRENPITSSSASLTIGSDGNLRLLDGQRNTIWSTNISRQSNSSIAVLSDDGNFILRNSITGDDLWKSSQHPTDSLFPGTWLAYNETTGMRLALTSWKSNNDPSIGDFTAGVPPLTPPQAFVWKGSKPHWRSGPWGKTKFIGTPEMDADYKSGFTVIEGLQPGIAYLTVSVHRNCSYSMFVVSPAGVLRFLCWLKERGWFVRWEAPVTPCEVYGACGPFGVCQRYAPNLTCRCLKGFVPKSDDEWRKGNWTGGCIRRTELSCGGNTSSVNAQGGKPDGFLKVGGLKLPDWHVYLKVLDKNECHQRCLSNCSCSGYSYVDGIGCLVWTTNLLDMHELPFGGQDLNLRLALTELGEMLLLFHLSSSLVP >Manes.02G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2586874:2590787:-1 gene:Manes.02G031600.v8.1 transcript:Manes.02G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFYQCCVSHALLLSISCFLGFICTVSPASEREILLQFKANITIDPYNSLATWVPSGNPCEYSGVFCNSLGFVERIVLWKTNLSGTLSPALSGLRSLRILTLFGNRFSGNVPQEYAELSTLWKINLSSNALSGSIPEFIGDLPSIRFLDLSRNGYSGDVPSALFKFCYKTKFVSLSHNSLSGTIPISIVNCTKLEGFDFSFNNLSGELPSQICDIPSLKFMSLSSNMLRGSVQEQISRCQALSFLDLGSNMFSGLAPFGVLGFQNLSYFNISYNGFHGEIPDIGTCSEGLEIFDASRNDLDGEIPLSITNCKILKVLNLGFNRLNGSIPGGIAALEKLWVLNLGVNSIDGTIPAGFGGVELLLVLDLHNLQLTGEIPKDISNCKYLRELDLSGNDLDGEIPNTLYNMTFLEVLDLHRNQLNGSIPETVGNLTNLLVLDLSQNNISGTIPSSLENLTNLTSFNLSSNSLYGPIPSIPKIQAFGPSAFLNNSGLCGPPLDISCSGTVINPKSKKNKVLSNSVIVAIVAAALILTGVCVVSFMNIRARGKKMEDETMVVESTPMGSTDSTVIIGKLVLFSKTLPSRYEDWEAGTKALLDKECLVGSGSIGTVYKTNFEGGISIAVKKLETLGRIRSQDEFEQEIGRLGNLSHPNLVAFQGYYWSSTMQLILYEFVSNGNLYDNLHGLDYPGTSTSVGNPELYWSRRFQIALGTARALSFLHHDCRPPILHLNIKSTNILLDENYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRLSEKCDVYSFGVILLELVTGRKPVESPSANEVVVLCEYVHRLLETGSASDCFDRGLRGFSENELIQVMKLGLICTSEVPSRRPSMAEVVQVLESIRSGVESS >Manes.14G024800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2317717:2324854:-1 gene:Manes.14G024800.v8.1 transcript:Manes.14G024800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDGIMELKAYDVEDTIFVAVGKNVEKSKTTLFWAVQSFAGKKICVLHVQQSDNAVSLTDPKLAVTKPKQDMFRAFHELEKEKMHDVLNQYCLILALEGVRTDKVWIEMDNIEKGIVELIARYNIRWLVMGAAADKYYSEKLEGIKSKKAMFVHQHAPISCHIWFVCKGCLIYTRDGRKDSLEAEVGLPLLLLNSESEVKQAEHLTLGSYKQTHSSLDDDDWEEIEGFNFHCAVHSSQSSNIVLRTSKMVPFQTDKEEKNRGLTTENTCYILEQAILDAKDSKQKAFEEAVKRWKEEDDAMEAKCKAKALENLCVKEMSLRKEMEGVLAREKEEIERTKDQCDEFMKELQVVQEQKSALESHLAESNRNVKDLEEKIISAVELLISYKERRDAARREYENAIREANRLKKLAKVEATSFCRSEILEFSFMEINEATQQLDPSWRIGEGRYGNVYKGILRHVHVAIKMLPSYGYQSQLDFQNGVEVLCRVRHPHLVTLIGTCLESRSLVYEYVRNGSLEDYLECKNKRLPLPWKSRIHVATEICSALIFLHSNKPCIIHGNLKPSKILLDANSVSKLNDFGISYLISRGERVGDTIPVSNKSNPNLTSAYADPEYLETGMLTPESDVYSFGIILLRLLTGRPVAGLVKDVKCALEKENLGAVLDCCSGEWPVGQAELLAKLALNCCENNRLNRPDLVSEIWSVLEPLRASCTDSGPCTGSKDLHCIPSHFVCPIFQEVMKDPQIAADGFTYDAEAIRGWLKSGHNTSPMTNLKLAHCNLLPNHALHQAIQEWRQ >Manes.14G024800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2317717:2324854:-1 gene:Manes.14G024800.v8.1 transcript:Manes.14G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDGIMELKAYDVEDTIFVAVGKNVEKSKTTLFWAVQSFAGKKICVLHVQQSDNAVSLTDPKLAVTKPKQDMFRAFHELEKEKMHDVLNQYCLILALEGVRTDKVWIEMDNIEKGIVELIARYNIRWLVMGAAADKYYSEKLEGIKSKKAMFVHQHAPISCHIWFVCKGCLIYTSTNENRDGRKDSLEAEVGLPLLLLNSESEVKQAEHLTLGSYKQTHSSLDDDDWEEIEGFNFHCAVHSSQSSNIVLRTSKMVPFQTDKEEKNRGLTTENTCYILEQAILDAKDSKQKAFEEAVKRWKEEDDAMEAKCKAKALENLCVKEMSLRKEMEGVLAREKEEIERTKDQCDEFMKELQVVQEQKSALESHLAESNRNVKDLEEKIISAVELLISYKERRDAARREYENAIREANRLKKLAKVEATSFCRSEILEFSFMEINEATQQLDPSWRIGEGRYGNVYKGILRHVHVAIKMLPSYGYQSQLDFQNGVEVLCRVRHPHLVTLIGTCLESRSLVYEYVRNGSLEDYLECKNKRLPLPWKSRIHVATEICSALIFLHSNKPCIIHGNLKPSKILLDANSVSKLNDFGISYLISRGERVGDTIPVSNKSNPNLTSAYADPEYLETGMLTPESDVYSFGIILLRLLTGRPVAGLVKDVKCALEKENLGAVLDCCSGEWPVGQAELLAKLALNCCENNRLNRPDLVSEIWSVLEPLRASCTDSGPCTGSKDLHCIPSHFVCPIFQEVMKDPQIAADGFTYDAEAIRGWLKSGHNTSPMTNLKLAHCNLLPNHALHQAIQEWRQ >Manes.14G024800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2317717:2324854:-1 gene:Manes.14G024800.v8.1 transcript:Manes.14G024800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDGIMELKAYDVEDTIFVAVGKNVEKSKTTLFWAVQSFAGKKICVLHVQQSDNAVSLTDPKLAVTKPKQDMFRAFHELEKEKMHDVLNQYCLILALEGVRTDKVWIEMDNIEKGIVELIARYNIRWLVMGAAADKYYSEKLEGIKSKKAMFVHQHAPISCHIWFVCKGCLIYTSTNENRDGRKDSLEAEVGLPLLLLNSESEVKQAEHLTLGSYKQTHSSLDDDDWEEIEGFNFHCAVHSSQSSNIVLRTSKMVPFQTDKAKALENLCVKEMSLRKEMEGVLAREKEEIERTKDQCDEFMKELQVVQEQKSALESHLAESNRNVKDLEEKIISAVELLISYKERRDAARREYENAIREANRLKKLAKVEATSFCRSEILEFSFMEINEATQQLDPSWRIGEGRYGNVYKGILRHVHVAIKMLPSYGYQSQLDFQNGVEVLCRVRHPHLVTLIGTCLESRSLVYEYVRNGSLEDYLECKNKRLPLPWKSRIHVATEICSALIFLHSNKPCIIHGNLKPSKILLDANSVSKLNDFGISYLISRGERVGDTIPVSNKSNPNLTSAYADPEYLETGMLTPESDVYSFGIILLRLLTGRPVAGLVKDVKCALEKENLGAVLDCCSGEWPVGQAELLAKLALNCCENNRLNRPDLVSEIWSVLEPLRASCTDSGPCTGSKDLHCIPSHFVCPIFQEVMKDPQIAADGFTYDAEAIRGWLKSGHNTSPMTNLKLAHCNLLPNHALHQAIQEWRQ >Manes.15G164900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13824640:13825632:1 gene:Manes.15G164900.v8.1 transcript:Manes.15G164900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQEDMKHSNLEIPVKRKDISSSSNNNNISSSQPDPEGFHQISRMRHDTDLDLDLSLGPRRISPGSLLSSSSSSSSSYSYYYYPCPQLLPPHQKTPQLFPSQAPATTLLRSHTILPATSHTGFTHEPTFHAGAAVIAPSLQSQEGHAAGPSRAPRSRRNPSNTPRNGKSETISPPFPWATNRRAMVHSLDILLSRNIEIITGAVQCKKCEKQYEMEFNLKKFDAIGKYIAENKSSMHDRAPNKWMNPILPTCKYCKQENSVKPLISEKKKSINWLFLLLGEMLGCCTLEQLKYFCKHTKNHRTGAKDRVLYLTYLGFCKQLDPNGPFDR >Manes.08G117500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35712771:35717322:-1 gene:Manes.08G117500.v8.1 transcript:Manes.08G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRIERADLWKSKARVLQLRLRERFRVAVDRHRRRPPIFSDGYFSSTVQRWLIRFRDFRQGSLQSSSAFYRKRVSKDFTAEEDSVIIRMLQSIAVPLLGNMCHVFMNGLNHVQVYGLEKLHDALLHRPRNKPLLTVSNHVASVDDPFVIASLLPPRVLLDAQNLRWTLCATDRCFRNPVTSAFFRSVKVLPVSRGDGIYQKGMDMAIAKLNNGGWVHIFPEGSRSRDGGRTIGSSKRGVGRLVLDADTVPIVIPFVHTGMQEIMPIGANFPRIGKMVTVLVGDPIHFDDLLNVEGIEHISRRKLYDAVASRIQSRLLELKIQVDKLASEQLIKLQHPNAGSTEKASDMLQQVDWDSFGLGSCAFTEDDSLEPEIQPKQNITSQGEHQEPISTDRSPRMGSMYEGGTISRMHGYMDSFELLGFAARGVFMSLRPRINTPGVGDASPLRAWKLYLEANLLRPLNAC >Manes.10G084300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21618946:21625956:1 gene:Manes.10G084300.v8.1 transcript:Manes.10G084300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIQGALIIAAFFQMVIGFFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVLVVFLSQFLPHMMKSKGIILDRFAVLFSVAVVWAFAEVLTVAGAYDNKPPNTQFSCRTDRSGLLSAAPWIRVPYPFQWGGPTFAAGDTFAMMAACFVAVVESTGTIIAASRYGSATPIPPSVLSRGIGWLGLGTLLAGAFGTGSGSTASVENAGLLGLTRVGSRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYLLISGRGPVHTGAIWFNSIMQVIFSSPATVAIIVAFFLDCTHSLRDSSTRRDSGRHWWRKFRNFDQDIRSEEFYSLPYKLNRFFPSF >Manes.10G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21618946:21625956:1 gene:Manes.10G084300.v8.1 transcript:Manes.10G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGAAKSDDFAPFPVKDQLPGVDFCVSSSPSWPEAIVLGFQHYLVMLGTSVIIPSIIVPLMGGGNVEKAELISTLLFVAGINTLLQTWFGTRLPVVFGGSYAFIIPTISIVLSNNNNNNNEFLSPHQRFEQSMQAIQGALIIAAFFQMVIGFFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVLVVFLSQFLPHMMKSKGIILDRFAVLFSVAVVWAFAEVLTVAGAYDNKPPNTQFSCRTDRSGLLSAAPWIRVPYPFQWGGPTFAAGDTFAMMAACFVAVVESTGTIIAASRYGSATPIPPSVLSRGIGWLGLGTLLAGAFGTGSGSTASVENAGLLGLTRVGSRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYLLISGRGPVHTGAIWFNSIMQVIFSSPATVAIIVAFFLDCTHSLRDSSTRRDSGRHWWRKFRNFDQDIRSEEFYSLPYKLNRFFPSF >Manes.10G084300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21618946:21625996:1 gene:Manes.10G084300.v8.1 transcript:Manes.10G084300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIQGALIIAAFFQMVIGFFGFWRIFARFLSPLAAVPLVTLTGLGLYAHGFPQLAKCVEIGLPALVLVVFLSQFLPHMMKSKGIILDRFAVLFSVAVVWAFAEVLTVAGAYDNKPPNTQFSCRTDRSGLLSAAPWIRVPYPFQWGGPTFAAGDTFAMMAACFVAVVESTGTIIAASRYGSATPIPPSVLSRGIGWLGLGTLLAGAFGTGSGSTASVENAGLLGLTRVGSRRVVQISAGFMLFFSVLGKFGALLASIPLPIMAALYCVLFAYVCSAGLGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYLLISGRGPVHTGAIWFNSIMQVIFSSPATVAIIVAFFLDCTHSLRDSSTRRDSGRHWWRKFRNFDQDIRSEEFYSLPYKLNRFFPSF >Manes.05G179100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29579309:29581638:1 gene:Manes.05G179100.v8.1 transcript:Manes.05G179100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHFFLFLVLYVLTKHYLNKIRNLPPSPFPALPIIGHLHLLNKPFHRSLSVISKRYGPILLLQFGSRRVLLVSSPSAVEECFTKNDIVFANRPRLLAGKHIGYNFTSLAWAPYGDLWRNLRKLSSLEILSSHRLQLLSSIRNDEVKLLIHRLFRNKDEMVDLKSTFFELMLNVMMRMIAGKRYYGENVEEVEKAESFREIVRETFQMATSMGDFLPLLAKIGGIEKRLLDLQKRRDAFIQDLIEEHRSRMMISPSEEKNKTLIEVLLTLQQSDPEYYTDQTIKNLMLVLLGAGTDTSAATMEWAMSLLVNNPEILKKAQKEIDIVIGHNRLITESDTSKIPYLQCIISEVLRMYPAGPLLVPHESSEECSVGGYRVPPGTMLLANIWSIQNDPQVWDEPQKFKPERFDGREVGVRDGFRLMPFGSGRRSCPGEGLALRMVGLTLGSILHCFEWERVGKEIVDMTEGVGLTMPKAQPLLVKCRPRPSIVNLLSKI >Manes.17G050100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24744058:24745786:-1 gene:Manes.17G050100.v8.1 transcript:Manes.17G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMNMPSLILPPGFRFHPTDEELINHYLKKKASSSSDDPELSIIANINIYKFNPWELPGKALFGENEWFFFSSRDRKYPNGGRPNRTAASGYWKATGTDKPILSSNGSQCLGVKKSLVFYKGRAPKGIKTNWMMLEYRLLDDNHQLQKLRGSMRLDDWVLCRIRRKNSNLKEKEETYESSCGSSLPFSVGCLQGQEAILEITNMALKDYDYDFEACLKSEDTDGQAEYHEGSPGNSFSAVDSGSHQQPAAVSSLKEALESIKKVLFVGAFEELMPVPVPPTKRLHVSTSNNAENSSIFQVSSPTISPPYPQSFSEFMI >Manes.18G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4371797:4374229:1 gene:Manes.18G051000.v8.1 transcript:Manes.18G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYKACSDSHGQDSSYFLGWQEYEKNSYHQIHNPNGIVQMGLAENQLSFDLLESWLESNPDSMGFKRNGESIFRELALFQDYHGFPAFKNALAEFMAEIRRNKVKFDPNKLVLTAGSTSANETLMFCLAERGDAFLLPTPYYPGFDRDLKWRTEVEIVPIHCSSSNGFRITMSALEKAYQQAKKLDLKVKGILIVNPSNPLGTSMTRNELNLIVSFAMAKQVHIVSDEIFSGTVFDSPNFISIAEALVDRQLENTDMWSRIHIVYSLSKDLGLSGFRVGMIYSNNETVVSAATKMSSFGLVSSQTQYLLSNMLADKKFTGKYLKENQKRIKKRKQMLVSGLENAGIGCLKSNAGLFCWVDMRHLLSKQTFEAEKELWKKILSEVGLNISPGSSCHCSEPGWFRICFANMSAETLGVAMERIKDFAESVTSNRSRRIYICHQMISDQRSLINKWVSKLSSYDHESDR >Manes.09G154250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35069677:35070140:-1 gene:Manes.09G154250.v8.1 transcript:Manes.09G154250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVFLCLCFFVLLSISLSHCKWHSVFIKLQHQPLLSHLSRTLSYHGSEKIGGSQHTQL >Manes.16G069300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26492008:26500663:-1 gene:Manes.16G069300.v8.1 transcript:Manes.16G069300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRSPLPLLFRRRSSSEMMKNLASVSSSLLPAFGTVVDEGYLHLRKYVIAPYDRRYRWWQTFLVALVIYSAWASPFELAFKKAATDAFMPVDLVVDAFFAMDILLTFFVAYLDKSTYLLVDDHKKIALRYVTSLWFPMDVASTLPFQFLYRIFTGKMSHGEIFGFLNLLRLWRLRRVSELFKRLEKDTRFGYFWTRLFKLISVTLFAVHSAGCFYFWLAAHHKTPENTWIGVEVSDFKHRSIWLCYTYSIYWSIVTLTTVGYGDLHAVNTGEKIFNMLYMLFNIGLTAYIIGNMTNLIVHSAVRTFAMRDAINEILRFGSKNRLPEGLKGQMLAHMQLKFKTAELQQEEVLQDLPKAIRSSIAQHLFHSTVGNTYLVKGVSEDLISQLVSEMKAEYYPPKVEIILQNEIPTDFYILVSGEVDVLTYKNGTEQHTETNEELQNPGALNSHAETNTEGRPETSSPISRKCPIRVIIHGHHSNESPKEGDISGKLIHLPDSIEDLFRLAEKKFGKQGNTILMEDGSLVEDLVALRENDHLFIF >Manes.16G069300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26492008:26500663:-1 gene:Manes.16G069300.v8.1 transcript:Manes.16G069300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRSPLPLLFRRRSSSEMMKNLASVSSSLLPAFGTVVDEGYLHLRKYVIAPYDRRYRWWQTFLVALVIYSAWASPFELAFKKAATDAFMPVDLVVDAFFAMDILLTFFVAYLDKSTYLLVDDHKKIALRYVTSLWFPMDVASTLPFQFLYRIFTGKMSHGEIFGFLNLLRLWRLRRVSELFKRLEKDTRFGYFWTRLFKLISVTLFAVHSAGCFYFWLAAHHKTPENTWIGVEVSDFKHRSIWLCYTYSIYWSIVTLTTVGYGDLHAVNTGEKIFNMLYMLFNIGLTAYIIGNMTNLIVHSAVRTFAMRDAINEILRFGSKNRLPEGLKGQMLAHMQLKFKTAELQQEEVLQDLPKAIRSSIAQHLFHSTVGNTYLVKGVSEDLISQLVSEMKAEYYPPKVEIILQNEIPTDFYILVSGEVDVLTYKNGTEQSLSKLGAADMAGEIGVIFNIPQPFTVRTKRLSQVIRMSHHHFKQMVQPQSEDGKIIISNFIQHTETNEELQNPGALNSHAETNTEGRPETSSPISRKCPIRVIIHGHHSNESPKEGDISGKLIHLPDSIEDLFRLAEKKFGKQGNTILMEDGSLVEDLVALRENDHLFIF >Manes.16G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26492008:26500663:-1 gene:Manes.16G069300.v8.1 transcript:Manes.16G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRSPLPLLFRRRSSSEMMKNLASVSSSLLPAFGTVVDEGYLHLRKYVIAPYDRRYRWWQTFLVALVIYSAWASPFELAFKKAATDAFMPVDLVVDAFFAMDILLTFFVAYLDKSTYLLVDDHKKIALRYVTSLWFPMDVASTLPFQFLYRIFTGKMSHGEIFGFLNLLRLWRLRRVSELFKRLEKDTRFGYFWTRLFKLISVTLFAVHSAGCFYFWLAAHHKTPENTWIGVEVSDFKHRSIWLCYTYSIYWSIVTLTTVGYGDLHAVNTGEKIFNMLYMLFNIGLTAYIIGNMTNLIVHSAVRTFAMRDAINEILRFGSKNRLPEGLKGQMLAHMQLKFKTAELQQEEVLQDLPKAIRSSIAQHLFHSTVGNTYLVKGVSEDLISQLVSEMKAEYYPPKVEIILQNEIPTDFYILVSGEVDVLTYKNGTEQSLSKLGAADMAGEIGVIFNIPQPFTVRTKRLSQVIRMSHHHFKQMVQPQSEDGKIIISNFIQYLKGLKQEMQEEIPFLMELLDDMNATHTETNEELQNPGALNSHAETNTEGRPETSSPISRKCPIRVIIHGHHSNESPKEGDISGKLIHLPDSIEDLFRLAEKKFGKQGNTILMEDGSLVEDLVALRENDHLFIF >Manes.16G069300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26492008:26500663:-1 gene:Manes.16G069300.v8.1 transcript:Manes.16G069300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDLVVDAFFAMDILLTFFVAYLDKSTYLLVDDHKKIALRYVTSLWFPMDVASTLPFQFLYRIFTGKMSHGEIFGFLNLLRLWRLRRVSELFKRLEKDTRFGYFWTRLFKLISVTLFAVHSAGCFYFWLAAHHKTPENTWIGVEVSDFKHRSIWLCYTYSIYWSIVTLTTVGYGDLHAVNTGEKIFNMLYMLFNIGLTAYIIGNMTNLIVHSAVRTFAMRDAINEILRFGSKNRLPEGLKGQMLAHMQLKFKTAELQQEEVLQDLPKAIRSSIAQHLFHSTVGNTYLVKGVSEDLISQLVSEMKAEYYPPKVEIILQNEIPTDFYILVSGEVDVLTYKNGTEQSLSKLGAADMAGEIGVIFNIPQPFTVRTKRLSQVIRMSHHHFKQMVQPQSEDGKIIISNFIQYLKGLKQEMQEEIPFLMELLDDMNATHTETNEELQNPGALNSHAETNTEGRPETSSPISRKCPIRVIIHGHHSNESPKEGDISGKLIHLPDSIEDLFRLAEKKFGKQGNTILMEDGSLVEDLVALRENDHLFIF >Manes.04G149900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34629086:34630535:-1 gene:Manes.04G149900.v8.1 transcript:Manes.04G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRFHRCLLILCASLLISTSTQNPPQHHVALFIFGDSLFDAGNNNYLKNAIGLANFWPYGETFFKHPTGRFSDGRLISDFIAEYLKLPLIPPYLQPGIDHFTDGVNFASGGAGALVETHQGNEGRVIDLKTQVLYLKNVKEHIQQQKGDAETRRLLSRAIYLISIGGNDYIAPSSVFESFSKEEYVGMVIGNLTSVIKEIYKIGGRKFAFVGMGAFDCSPNMRALKQDEGGCDEEITALAKLHNQVLPEILKELKSELKDFKYSFFDFYSTLSERINNPSKYGFKVANEACCGSGPFRGVLSSCGISKDYKVCEDVSEYLFFDSVHPTEKAYKQLAKLIWRGGYNVSWPYNIKTLVDADLKHSFCN >Manes.17G055850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25345445:25346722:1 gene:Manes.17G055850.v8.1 transcript:Manes.17G055850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKSTKTYNIIDSKLTSALGNARSPKRALESWNSANHVGPIFLLMNCGCRKTKC >Manes.18G136300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22744449:22746316:1 gene:Manes.18G136300.v8.1 transcript:Manes.18G136300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVFMPSSTLPSLAPNSFQVRREPYFFKTGGNNNNNNNNNTHGLPPPHSDHKPTLLPALAPLPPSADDGLVKSEIIPGLRSGKNVDPNMDPKKLKRIISNRVSAQKSRMKKVQYVTEMERKAKALETEIAMLHPQVAMYRNQQQLLQMEHNRLNQEMSSRTTSKILKDAEIEENKAEVNRLRQLHLALQQKRMQEQMMNMVPAWKHGFEQMMNHSAGEVDFMNSNNAENGDKATEMEEQQLVGQMWMPTVVAAPMLQQKILKTNSNLGGIEHINFSQNPHGSVI >Manes.03G062000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6714343:6720704:-1 gene:Manes.03G062000.v8.1 transcript:Manes.03G062000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSEAISDFAPKKLARQLEFTAICRSSANVALTPSQEPQPHLNLQPHAPQPPPQQVQRQPLSHFQLQTPPKQASAMAQFHARSEQVPVARRIPHPAENLPLTTLTLAKQESPGSRPRNNVDAKDGTPKKIKRCNCKNSQCLKLYCECFAAGLHCNGCNCLNCYNNVENEDARQKAVGATLERNPNAFRPKIAGSPHGSLDAREDTMDTQMIGKHNKGCQCKKSGCLKKYCECFQANILCSDNCKCMDCKNSVGSEERRSLFHGNHNGRACMQRTANAAINGAIGSAGYVTPVTLEKRKSKELLGSVTIDQSEKYQQENHVKISATSSPSSVPVSHYATGSGASKFTYKSPLSGILQPHDVKEICSLLVILSQETTKALAGKMDRQPERENNHSFESNSASSTQGRGDSGHGNYARRTLSDDCMDRRKTGRESNDAPGNRVDLENGRPASPEIDLMCHEQEIVFMEAASPIRMGVLSQNKTQISSNGHECSDVYAEQERLILTRFQNFLSSLIACGSMKETLHSISQGLK >Manes.07G020850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2327027:2330392:1 gene:Manes.07G020850.v8.1 transcript:Manes.07G020850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLQYIAELERNVQAVQAEGSEVSAQVEFLNQQNLILSMENKALKQRLESLAQEQLIKYVEHEVLEREIGRLRTLYQQQQQPQPQQQPSSSHRRTNSRDIESQFANLSLKYKDANSGRDPVTGPLRT >Manes.08G093000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31562618:31568219:-1 gene:Manes.08G093000.v8.1 transcript:Manes.08G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFLSECGLKPLPLLFPRPTAGAVSRTSSLKLRFLHTGKISSSADLRLPVVKFSGGCFRERSWGIKVSVPCRFQSIEGEEGRTDGVFGIEGEEEVEFDPGAPPSFKLADVRASIPKHCWVKDPVKSMSYVVRDVAVVFGLAAAAAYLNNWIVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYRSLDNLTKILRFTVPFPMLAYPFYLWSRSPGKTGSHFHPDSDLFVPNERKDVITSTICWSAMASLLVGLSFIMGPIQLLKLYGIPYWIFVMWLDFVTYLHHHGHEEKLPWYRGNEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPEKSGPIPFHLIGSLIRSLKKDHYVSDTGHVVYYQTDSQLNGSSSE >Manes.08G093000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31562618:31568219:-1 gene:Manes.08G093000.v8.1 transcript:Manes.08G093000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFLSECGLKPLPLLFPRPTAGAVSRTSSLKLRFLHTGKISSSADLRLPVVKFSGGCFRERSWGIKVSVPCRFQSIEGEEGRTDGVFGIEGEEEVEFDPGAPPSFKLADVRASIPKHCWVKDPVKSMSYVVRDVAVVFGLAAAAAYLNNWIVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYRSLDNLTKILRFTVPFPMLAYPFYLWSRSPGKTGSHFHPDSDLFVPNERKDVITSTICWSAMASLLVGLSFIMGPIQLLKLYGIPYWIFVMWLDFVTYLHHHGHEEKLPWYRGNEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEAVRIILYFQFLFLFVVFHS >Manes.07G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27564552:27567397:1 gene:Manes.07G087100.v8.1 transcript:Manes.07G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEVPKYKLVTPSILSDRLRISGSLARRAIKDLMARGSIRMISAHASQQIYTRATNT >Manes.04G145500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34314092:34319071:-1 gene:Manes.04G145500.v8.1 transcript:Manes.04G145500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIALYASPPSSVCSAPHPCQINAHATYDFELNSRSSSTASSSASSSQKSVVGGLSCLFSSSTVKHSSFSGDREELGSLWHDRGEDLKELSSSFRYSPSKYFGRSSIKRDQSPISVLQAPVSCSSSPPMRIARERSADMGFQSSIHGSFRSGARGLFNGFVRHALESCVDYGSPSFELHSIGTDGGSSSIAVDDLTFNMEDSFVGAINVPYAKELLLGAQMRHKIFYDDFIVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLAMIGANSTVVAAGLLHDTLDDSCLSYDYIFRTFGAGVADLVEGVSKLSYLSKLARENNTANKTVEADRLHTMFLAMADARAVLIKLADRLHNMLTLDALPLIKQWRFAKETLEIFAPLANRLGISSWKEQLENLCFKCLYPDQHRDLSSRLVQSFDDAMITSATEKLEQALKDEAIIYHVLSGRHKSLYSIYCKMLKKKLNMDEIHDIHGLRLIVENEEDCYRALEVVRQLWSEVPGKFKDYINHPKFNGYQSLHTVVRAESMVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKYSSFVLQMVEWARWVVTWQCETMSKDRSSIGCADSIKPPCAFPTHSADCPYSYKPGQDGPIFIIMIENDKMSVQEFPANSTMMDLLERIGRGSSRWSSYGFPMKEELRPRVNRQPVTDTSCKLKMGDVVELTPAIPDKCLTECREEIQRMYDRGSNGVSSAATAAGGGSTSVSSTATATGGIVGWRS >Manes.03G071900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11587533:11607374:1 gene:Manes.03G071900.v8.1 transcript:Manes.03G071900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQELPGFYYDAEKNRYFPLKGPIPGSSRASSSTINARNPTIKATQATNFCRKTRVRVSKLLQGRELNGSIIDFNKGKCSFMEELLKIQASQAMVWKYRGTEKMSDGALDQMHIDIYTPEGQTEVDVLIAGGINGSLSLFEVGKGGHSDNGENCIPDCVRSVVEENTEECSKAPVHIWRPAGASLQMSSSLSCIKLFGKHPSYAADDFFSIQHALVTTLGSETSGGSVFILNIVEPLDLYSSTSIRRMIREVASFNCTIWTADCNHNASLAVIGTNLGAALVNSETGTATWTCRSKSDVLAQQLDQSGNIVLCGLRNGAILTVDVREKQEGFSARLTRHKIPHTPFGRYHQNSKKQWFELRGHIYPSYSLYMPSSICCLASLQSYEQYFLASSMDGSIKLYDHRMTKRGAVQSYEGHVNSHSRIQLGVDQSERFLMAGGEDCKLRLWSLKSGELLSEEKFSDSVLSTVRWQRAGAYVKIPDESNGYEECVSGQKHSWGAWCGSQEGLFYLHW >Manes.03G071900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11587532:11603202:1 gene:Manes.03G071900.v8.1 transcript:Manes.03G071900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQELPGFYYDAEKNRYFPLKGPIPGSSRASSSTINARNPTIKATQATNFCRKTRVRVSKLLQGRELNGSIIDFNKGKCSFMEELLKIQASQAMVWKYRGTEKMSDGALDQMHIDIYTPEGQTEVDVLIAGGINGSLSLFEVGKGGHSDNGENCIPDCVRSVVEENTEECSKAPVHIWRPAGASLQMSSSLSCIKLFGKHPSYAADDFFSIQHALVTTLGSETSGGSVFILNIVEPLDLYSSTSIRRMIREVASFNCTIWTADCNHNASLAVIGTNLGAALVNSETGTATWTCRSKSDVLAQQLDQSGNIVLCGLRNGAILTVDVREKQEGFSARLTRHKIPHTPFGRYHQNSKKQWFELRGHIYPSYSLYMPSSICCLASLQSYEQYFLASSMDGSVSLNHSLIFYFNSFVWHMLASFFLWF >Manes.10G077300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17428598:17438108:-1 gene:Manes.10G077300.v8.1 transcript:Manes.10G077300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSADSSTPSLKAPVEPHAASDSGADNSPRDEPSKISTAGLASWAKSLKIPQPLSSSQDDSPTGNSGKSSFARFTSGFGLRSSPKSPPADDSPKGTSTTLQPGFIGTITRGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFESHHKGKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDGKGLVLPSQIRYVKYFERILTYFNGENQPGRRGFRLHRCPYWIRPSITVSDHNGVLFSTKKHPRTADLSPEDYWFSAPKKGVMVFALPGEPGLAEVSGDFKVHFHDRQGDFYFWLNTTMMENRKVLNTSDIDGFDKRKLPSPGFQVEVVLVDYNGAAPKGPNQETAAEKSNESSSAAPNPVDANAAAAAPNQNKGRGNDKDDVFSDSEAEESVSSKLRQAQAASADGGPKTTTASGSETSPKTDQVASLTHATEQFSLGNAGSQEVRAASQPKIDAVGGAVSGLGVNNSESEFKVMAADASVFTFGDEDDYESE >Manes.10G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17428598:17438108:-1 gene:Manes.10G077300.v8.1 transcript:Manes.10G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSADSSTPSLKAPVEPHAASDSGADNSPRDEPSKISTAGLASWAKSLKIPQPLSSSQDDSPTGNSGKSSFARFTSGFGLRSSPKSPPADDSPKGTSTTLQPGFIGTITRGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFESHHKGKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDGKGLVLPSQIRYVKYFERILTYFNGENQPGRRCLLRGFRLHRCPYWIRPSITVSDHNGVLFSTKKHPRTADLSPEDYWFSAPKKGVMVFALPGEPGLAEVSGDFKVHFHDRQGDFYFWLNTTMMENRKVLNTSDIDGFDKRKLPSPGFQVEVVLVDYNGAAPKGPNQETAAEKSNESSSAAPNPVDANAAAAAPNQNKGRGNDKDDVFSDSEAEESVSSKLRQAQAASADGGPKTTTASGSETSPKTDQVASLTHATEQFSLGNAGSQEVRAASQPKIDAVGGAVSGLGVNNSESEFKVMAADASVFTFGDEDDYESE >Manes.09G095934.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28972218:28972547:1 gene:Manes.09G095934.v8.1 transcript:Manes.09G095934.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDFIQPAISCFDGHYDHWSMLMENFLRSKEYWLVVSNGVQEPGADMDLSEAKKTALDSLRLKDLKAKNYLFQAIDRSILETILCKDTFKQIWDSMKKKYQGTAKAK >Manes.02G133100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10122307:10123754:-1 gene:Manes.02G133100.v8.1 transcript:Manes.02G133100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNMLQGLDGLPEEDQRRMAAVIDQLQVRDSMRMYNSVVERCFNDCVDNFTRKSLQKQEETCVMRCAEKFLKHSMRVGLRFAELNNLAATPDQSS >Manes.11G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4837939:4840973:-1 gene:Manes.11G045000.v8.1 transcript:Manes.11G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSIFEAENMRKFSLLIFVLCILISLKNQNAETKKGSSSISAYWSSSSWDLYPSMFLSIEDEEEGLPQRSLEYDFYRTSCPQAENIIREVIREIYKVRSSVAPELLRLAFHDCFIEGCDASVLLDAAEDLKSEKDSAPNESLKGFDIIDIIKSQVEEVCPGVVSCADIVVLAAREGVVQAGGPFYPLLTGRRDSFRTFPDIATYELPSPLADLSETLASFSSRGFDERETVTLLGAHSIGTIHCKFFESRLYNFGGTNKPDPSMDPQFLNQMRSRCNNSDAPGSPAASPSSDAPEPSSLVPPASFDSPESSSTASSPSYDGSPSPSSEKPGRMGAMSSVAAPSIFPQRSLSFSPSSSRKSTVSYEGSLQSSMEDPGVPMTYEGPGIDFGSVYYHRLLQGRGILYADQQLMSGEETRIWVKAYASDVTLFRRDFAQAMIKLSDLHVLTGSAGQVRFNCSRVA >Manes.15G015100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1253549:1254163:1 gene:Manes.15G015100.v8.1 transcript:Manes.15G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTTNPSIMEPKPPHPLHQIAETPTHKLLLKQWLKEEELILNRINLKETQIDSVHKEITQLYIFFFLFHSVALLLLFNASSRDPPGSGSSCKRSWIPSLCSLLCSLGITWAVRYKTDVELHLEKLLEREKEDGKLLSKCVEELKKKGVEFDLLKEVDALRRAKSLRVETKVVRKWSARDFVTLFFFTVSCLVLGLTRIILCS >Manes.13G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34480965:34482605:1 gene:Manes.13G136800.v8.1 transcript:Manes.13G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIMNHNKVDQDHMALLSQLYYTQMVPQQGEPKPRRRRKKNKGAENGVTGAKKRKLSADQVNLLEMNFGNEHKLESERKDKLASELGLDPRQVAVWFQNRRARWKNKKLEEEYTKLKTAHETNIIEKCRLESEVLKLKEKLLEAEKEIQRLTEKIDGVSSNSCSSTLSMNTPFLGEFGMEGIGDIFYMSETNYIHGMEWSNLYV >Manes.15G009500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:849638:852066:-1 gene:Manes.15G009500.v8.1 transcript:Manes.15G009500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFVAKAMVLFPSLFDGLARSVSIKKGKNSQKDVGKEAAEALAKDARKSELILSSSGIVKSNRSNNFASVCSKRGQKGINQDSLIVWEEFGCQEDIIFCGIFDGHGPWGHVVSKRVKESVPSSLLCNWQKTLALTSLDMDFKIELDRDHQFDIWKQSYMKTYAAIDQELKQHPDIDAFCSGTTALTIIKQGEHLVIANAGDSRAVLATTSDDGSLVPIQLTIDFKPSLPQEAERITQSNGRIFCLHDEPGVYRVWMPNGKRPGLALSRAFGDYCVKDFGLSSIPDVTQRSIRSKDQFVILATDGLWDVISNQEAVQIVSSTPDREKSAKTLVQCAVRAWKYKKRGIAMDDISAICLFFHNSPTQQVDPLMILKQA >Manes.09G023700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4773715:4780722:-1 gene:Manes.09G023700.v8.1 transcript:Manes.09G023700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRLLFFQNVHVCPQTKNVKQTTTQVCQICSDDIGLTVDGEPFVACHVCAFPVCRPCYEYERKDGNQSCPQCKTKYKRHKGSPPIRGEEVDDADFSDVENKSNHYASGIHEEKQKIEHMLGWDASSGRKEYIAPINYDKEVSLNHIPYLAGRHSVSGDLSAASPERFSMASPESGSRVNIRVGDTARDSGLSGFGNVAWKERIDGWKMKPEKTPAPMSVSNAPSEGRGGGDFDASTDVLMDDSLLNDETRQPLSRKVSIPSSRINPYRMVIVLRLVILSIFLHYRITNPVRDAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEKEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQPSFVKDRRSMKREYEEFKVRINGLVAKAQKVPDEGWVMQDGTPWPGNNTRDHPGMIQVFLGHSGGFDSEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSRALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKLGVLSSCFGGSRKKSRSSGKNKHSKKKSSKNFDPTVPVFNLEDIEEGVEGAGFDDEKSLLMSQMTLEKRFGQSAVFVASTLMENGGVPESATPEALLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPHLAAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTSIPLLAYCTLPAVCLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >Manes.09G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4773582:4780830:-1 gene:Manes.09G023700.v8.1 transcript:Manes.09G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGDSTGTKNVKQTTTQVCQICSDDIGLTVDGEPFVACHVCAFPVCRPCYEYERKDGNQSCPQCKTKYKRHKGSPPIRGEEVDDADFSDVENKSNHYASGIHEEKQKIEHMLGWDASSGRKEYIAPINYDKEVSLNHIPYLAGRHSVSGDLSAASPERFSMASPESGSRVNIRVGDTARDSGLSGFGNVAWKERIDGWKMKPEKTPAPMSVSNAPSEGRGGGDFDASTDVLMDDSLLNDETRQPLSRKVSIPSSRINPYRMVIVLRLVILSIFLHYRITNPVRDAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEKEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQPSFVKDRRSMKREYEEFKVRINGLVAKAQKVPDEGWVMQDGTPWPGNNTRDHPGMIQVFLGHSGGFDSEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSRALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKLGVLSSCFGGSRKKSRSSGKNKHSKKKSSKNFDPTVPVFNLEDIEEGVEGAGFDDEKSLLMSQMTLEKRFGQSAVFVASTLMENGGVPESATPEALLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPHLAAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYVNTTIYPVTSIPLLAYCTLPAVCLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVEQCGINC >Manes.04G055100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15848865:15890247:-1 gene:Manes.04G055100.v8.1 transcript:Manes.04G055100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKKGANKVKANSELTLGDLVLAKVKGFPAWPAKISRPEDWERVPDPKKCFVQFFGTEEIAFVAPADIQIFTSELMSKLLARCQGKSRYFAQAVKEISAAFQQLQKEKSSSLQGTDRSEPGCEGASVDRITDDIELELNGGMGTSESKIETWDDEGDFDSKLKHCSHRQGQTEYEDIKPSISSDANDASSLVMSSEKKAEISNGEPQLVLSNIKDEESGDVHGNVSCTKKPGNAERAGMNIHKFKSMANETKRKHEDATGGNKKDSSGVYVLSNNVKKLKDREEEKNASGGTVTGFSPDALVSDSEAVKSDSEIRTGIKPKQLQKGKNSCIVPDSMHENVSNCMGEVSDKKKRAQSGLGKTSETSHPAKKTKCLHVGDAAAKASLTKNTKNDSPSSNAVKHSTSHDKREILLALRAQTGKGKTDGFSQAARNKSNISSQTGKAKSAVSIQTGKGKSTISSDEAVLPVSKCHRRAMEAMSDSVFFNSNDKTDKDCGELKIASNNVSVLVNQLPKRRRAVCLYDDDDDEEEDPKTPIHGGSSKSIRVPSLVFGTSIRTDSHIGSSVNHQHGGSIDVLSSVDDSTRFERSSSKESFSQVHVESLSPFRSKSVKLPDTLDFFSPVKLAGEQLLSKDAKLILNSPKKSPHLPVTRPPLEQHEATKPLFKASNGCPMKKAQSVSTKSGLVSDSLNTSQNHVVGQRNRQGISGERLKSTPKGQMGDPAVLMEPSTEQEVVTEDGSSSLVDSKTPDSVMSMKHLIAAAQAKRREAHSQHFPLGNPYSSFISINDSQGRSPSPSSFQPFLSGTSTVLHTDLHGFQQHVVSSSAHDHQSASCNQVDTEDLEEQRVSSGHRAIGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGISNEVVELLIRKLESESSFHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLSAAAPPGNGARENRRQCLKVLRLWLERKILPESVLRQYMDDIGISNDDSSAGVTLRRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFLSSSVFEEEDEEDDLPGSSLKEGACVSTLADTTRTLGDKETFNITPNDRRHCILEDVDGELEMEDVSGHQKDERSLLSSSVEVDAPQLCSDEVLEPVVTKSVELPALPEGSPPLPPDSPPPPPPLPPSPPPPPPPPTSPPPLPPPLPSQPPPPVPPSGPPQSLVPQPLTPTQPSLISQSILPSVSSLQSSPQLAYAPAGPHEYCSTSSGNQLTQMSGNIHGNLMAVGVKSELFPQKSSCFTPAAVCSSRESSGYNPSRQLEYGHNDLYLNPQASQQNPHFQPGNASFTHRSLHSTLPQNVCGQFSFAKPAIQQHPQHSFPHPYPVPSHPDGRRRFVGDDIWRLPSSEFNTDNQHGTWMSGRTPAHPGPFGHEGYFRPPLEGPPSNNMGFQPNNLPAGAPIPGHGGVSHMLPCRPDMPALNCWRPA >Manes.09G086800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26194212:26201300:-1 gene:Manes.09G086800.v8.1 transcript:Manes.09G086800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFAPHCGSIKLPELHTSKILSRYCLTRRNVKVFAVASSVNEASTYIPAAPILIPEGPWKEIPGGVTAAMGFKATGIYGGLRAKGEKPDLALVTCDVDATAAGAFTTNMVAAAPVLYCKKTLGISKMARAVLINAGQANAATGDAGYQDVLECADTLATMLKVKQEEVLIESTGVIGQRIKKEALLNALPELVNSLTSSTEGALSAAVAITTTDLVSKSVAIESQVGGTNVRVGGMAKGSGMIHPNMATMLGVITTDALVKSDVWRKMVQIAVNRSFNQITVDGDTSTNDTVIALASGLSGSTLISSVNCNEAMQLQACLDAVMQGLAKSIAWDGEGATCLIEVIVTGAESEVKAAKIARAVASSSLVKAAVYGRDPNWGRIAAAAGYAGIPFDQNNLRIMLGNILLMDKGQPLAFDRAAASNYLRKAGETHGTVGIYISVGDGTGNGQAWGCDLSYDYVKINAEYTT >Manes.09G086800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26194211:26200118:-1 gene:Manes.09G086800.v8.1 transcript:Manes.09G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKATGIYGGLRAKGEKPDLALVTCDVDATAAGAFTTNMVAAAPVLYCKKTLGISKMARAVLINAGQANAATGDAGYQDVLECADTLATMLKVKQEEVLIESTGVIGQRIKKEALLNALPELVNSLTSSTEGALSAAVAITTTDLVSKSVAIESQVGGTNVRVGGMAKGSGMIHPNMATMLGVITTDALVKSDVWRKMVQIAVNRSFNQITVDGDTSTNDTVIALASGLSGSTLISSVNCNEAMQLQACLDAVMQGLAKSIAWDGEGATCLIEVIVTGAESEVKAAKIARAVASSSLVKAAVYGRDPNWGRIAAAAGYAGIPFDQNNLRIMLGNILLMDKGQPLAFDRAAASNYLRKAGETHGTVGIYISVGDGTGNGQAWGCDLSYDYVKINAEYTT >Manes.09G086800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26194212:26201301:-1 gene:Manes.09G086800.v8.1 transcript:Manes.09G086800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKATGIYGGLRAKGEKPDLALVTCDVDATAAGAFTTNMVAAAPVLYCKKTLGISKMARAVLINAGQANAATGDAGYQDVLECADTLATMLKVKQEEVLIESTGVIGQRIKKEALLNALPELVNSLTSSTEGALSAAVAITTTDLVSKSVAIESQVGGTNVRVGGMAKGSGMIHPNMATMLGVITTDALVKSDVWRKMVQIAVNRSFNQITVDGDTSTNDTVIALASGLSGSTLISSVNCNEAMQLQACLDAVMQGLAKSIAWDGEGATCLIEVIVTGAESEVKAAKIARAVASSSLVKAAVYGRDPNWGRIAAAAGYAGIPFDQNNLRIMLGNILLMDKGQPLAFDRAAASNYLRKAGETHGTVGIYISVGDGTGNGQAWGCDLSYDYVKINAEYTT >Manes.09G086800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26194212:26201300:-1 gene:Manes.09G086800.v8.1 transcript:Manes.09G086800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFAPHCGSIKLPELHTSKILSRYCLTRRNVKVFAVASSVNEASTYIPAAPILIPEGPWKEIPGGVTAAMGFKATGIYGGLRAKGEKPDLALVTCDVDATAAGAFTTNMVAAAPVLYCKKTLGISKMARAVLINAGQANAATGDAGYQDVLECADTLATMLKVKQEEVLIESTGVIGQRIKKEALLNALPELVNSLTSSTEGALSAAVAITTTDLVSKSVAIESQVGGTNVRVGGMAKGSGMIHPNMATMLGVITTDALVKSDVWRKMVQIAVNRSFNQITVDGDTSTNDTVIALASGLSGSTLISSVNCNEAMQLQACLDAVMQGLAKSIAWDGEGATCLIEVIVTGAESEVKAAKIARAVASSSLVKAAVYGRDPNWGRIAAAAGYAGIPFDQNNLRIMLGNILLMDKGQPLAFDRAAASNYLRKAGETHGTVGIYISVGDGTGNGQAWGCDLSYDYVKINAEYTT >Manes.08G075800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15643829:15647275:1 gene:Manes.08G075800.v8.1 transcript:Manes.08G075800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVETNGIHRPDKTELPETEINDSPIEQVRLTVPITDDPTLPCLTFRTWVLGVISCALLSFLNQFFVYRQNPLYISSVAAQIAVLPIGKFMAASLPNKIICIRGTKWSFSLNPGPFNMKEHVLITIFANSGSNPVYAVNIITIVKAFYHRAINPLAAFLLSLTTQMLGYGWAGVFRRFLVDSPYMWWPANLVQVSLFRALHDDETRPKGGLTRLQFFVVVFVSSFAYYIIPNYLFQSITALSFVCWIWKDSITAQQIGSGLKGLGIGSFALDWSTISSFLGSPLATPGFAVINLLAGFIIILYIMIPISYWTDSYGAKRFPIISSHVFNATGQPYDVDLVLNSSSFSFNEPAYDGYSKVHLSIFFVYAYGLSFAILAATLSHVALFHGREIWHQSKLALKDNFGDVHTRIMKKNYEVVPQWWFYTVLIVIVGLAMLACEGFGRQLQLPYWGVLFSISLALFFTLPTGVIQATTNQQPGLNVLTELIIGYMYPGRPLANVAFKTYGYISMTQALMFLSDFKLGHYMKIPPKSMFIVQLVGTVIASSAYYGTAWWLLTSVEHICDPEKLPTGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGLYSKMNYFFLVGLLAPVVVWLLSRTFPDKKWIKLINIPVILSGTGAMPPARAVNYISWFTVGIFFNFVVYKRYKSWWARHNYILSAGLDAGVAFLAILCYFTLQLKNINGPEWWGLELDDHCPLAKCPTAPGVVAEGCPVL >Manes.08G075800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15643829:15647275:1 gene:Manes.08G075800.v8.1 transcript:Manes.08G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVETNGIHRPDKTELPETEEINDSPIEQVRLTVPITDDPTLPCLTFRTWVLGVISCALLSFLNQFFVYRQNPLYISSVAAQIAVLPIGKFMAASLPNKIICIRGTKWSFSLNPGPFNMKEHVLITIFANSGSNPVYAVNIITIVKAFYHRAINPLAAFLLSLTTQMLGYGWAGVFRRFLVDSPYMWWPANLVQVSLFRALHDDETRPKGGLTRLQFFVVVFVSSFAYYIIPNYLFQSITALSFVCWIWKDSITAQQIGSGLKGLGIGSFALDWSTISSFLGSPLATPGFAVINLLAGFIIILYIMIPISYWTDSYGAKRFPIISSHVFNATGQPYDVDLVLNSSSFSFNEPAYDGYSKVHLSIFFVYAYGLSFAILAATLSHVALFHGREIWHQSKLALKDNFGDVHTRIMKKNYEVVPQWWFYTVLIVIVGLAMLACEGFGRQLQLPYWGVLFSISLALFFTLPTGVIQATTNQQPGLNVLTELIIGYMYPGRPLANVAFKTYGYISMTQALMFLSDFKLGHYMKIPPKSMFIVQLVGTVIASSAYYGTAWWLLTSVEHICDPEKLPTGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGLYSKMNYFFLVGLLAPVVVWLLSRTFPDKKWIKLINIPVILSGTGAMPPARAVNYISWFTVGIFFNFVVYKRYKSWWARHNYILSAGLDAGVAFLAILCYFTLQLKNINGPEWWGLELDDHCPLAKCPTAPGVVAEGCPVL >Manes.02G130200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9892531:9892815:-1 gene:Manes.02G130200.v8.1 transcript:Manes.02G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKWKTSKKEGSSSSFVRSFSTKSSSSKSPLLRSSSLKCSSPTNKCALPRSYSQKNSSITSKCSSLAKEQKARFYIMRRCVAMLVCWHKHRDS >Manes.05G206500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33321972:33324606:-1 gene:Manes.05G206500.v8.1 transcript:Manes.05G206500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEAPASMEVETMPNGLGTNTGSFSLPPKPKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKAWMEIYTPIYEQLKIDIRMNLKARKVELKTRSDTPDVSNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFQNIKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >Manes.15G061700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4696001:4702551:1 gene:Manes.15G061700.v8.1 transcript:Manes.15G061700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNGHYFVEWKEQFVSQERGNRVVHYFLKDSAGESILAVVGTERSVRHMFYVVAEEFVQAYGAENSIHAGFKWRSRREVVDWLTSMLSKQHLQSDWSKSPKHDLVQTLEYPQYPVNGFGAQLTQGRLSRNLNGHNSDIVWSGVAWTCGKQLKHYPAFCRNGIRIAIQSFVFVMAKGENHYLAYLEDMYEDKRGQKKVKVRWFHHNQEVKGVVPLRNAHPKEVFITPYSQVISAECVDGPATVLTREHYEECLAAFPNALSTRVHLCFRQFRSNKVKPFDLSKLRGYFDQQILSCLNAKPFPGADSIGIGYGLTGEDEGLSPDENVKLGAKRTRSCRGSETFVTDHSGVGISGSRSMTYEPSCFNSRYGMSGKRLPPLKHVESQLRCSLQFKVDEKIELLCQDSGIRGCWFRCTVLQVSQKQIKVQYDDLQDEDEYGNLEEWIPAFKLAVLDKLGMRCPGRPTIRPSPPLNEQRDLAFEVGSAVDAWWSDGWWEGVVTGTSSSSDDILQVYFPGESFFLNIHKKDLRTSRDWVGNQWIDIQAKPDILSAISATISPDAKMSMSSTITKDMKPSGCDLSGTEGNISAELNNMEEKRSGIATLVSSDSILDMDCNDDRKPSSDNKADEGDGDDVNVGHDELDKVQGNDEDEVDSDVKENDERIDMEVFESSDCKAMEFMEVTA >Manes.15G061700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4696001:4702552:1 gene:Manes.15G061700.v8.1 transcript:Manes.15G061700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRSLCKLMVQKILFMLVSSGGQEGRLWIGLRLCYQSSICRVIGPIQSFVFVMAKGENHYLAYLEDMYEDKRGQKKVKVRWFHHNQEVKGVVPLRNAHPKEVFITPYSQVISAECVDGPATVLTREHYEECLAAFPNALSTRVHLCFRQFRSNKVKPFDLSKLRGYFDQQILSCLNAKPFPGADSIGIGYGLTGEDEGLSPDENVKLGAKRTRSCRGSETFVTDHSGVGISGSRSMTYEPSCFNSRYGMSGKRLPPLKHVESQLRCSLQFKVDEKIELLCQDSGIRGCWFRCTVLQVSQKQIKVQYDDLQDEDEYGNLEEWIPAFKLAVLDKLGMRCPGRPTIRPSPPLNEQRDLAFEVGSAVDAWWSDGWWEGVVTGTSSSSDDILQVYFPGESFFLNIHKKDLRTSRDWVGNQWIDIQAKPDILSAISATISPDAKMSMSSTITKDMKPSGCDLSGTEGNISAELNNMEEKRSGIATLVSSDSILDMDCNDDRKPSSDNKADEGDGDDVNVGHDELDKVQGNDEDEVDSDVKENDERIDMEVFESSDCKAMEFMEVTA >Manes.01G019500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4568269:4569132:1 gene:Manes.01G019500.v8.1 transcript:Manes.01G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMGFTFRWPTCNFQDLAPQATTTKPVTGLSKEVDIWEKQQPTCLETQATKKKTEDKDPSQLSTNLPFHPKQKQRKKNKEKMKNQNNHPRVGLTHSLGRRKKGYLKTFEDTDTQAKKKIETGVGL >Manes.04G133300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33392151:33393047:1 gene:Manes.04G133300.v8.1 transcript:Manes.04G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETTKQSHSSSSDVVDKHKNLDREVRDMVNAITSRVGDLHKPGGSQQLQQTEQDEHGVRIITLAGTNTGATMRSELDEKLPNNAPQDGVSGGEPEALDTYVNSNFQAVNNSIMLGSSYNTNDPGVHLEISDIIEQRGYNKPNKHAKKGKKKDKEVLKSDQQTDHSE >Manes.04G084666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28619506:28620323:-1 gene:Manes.04G084666.v8.1 transcript:Manes.04G084666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYRLLSSSRIRSYFLLALCSSGFSNKEEMLAMVRGANSSKAHLSQKNTPSFPPDKDLSEDSFPRYVCELLDVIKNVFHLYSFHLVFWIFHLAVYVLF >Manes.14G134800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9497327:9497909:1 gene:Manes.14G134800.v8.1 transcript:Manes.14G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMMTTLPQFNGLKPQISVAPVKSVAAVQPMRRKGNGALGARMDFIGSPTNLIMVTTTSLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGTVGHIMGVGIVLGLKNLGAL >Manes.06G002801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:672619:672981:-1 gene:Manes.06G002801.v8.1 transcript:Manes.06G002801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLEPISKKTVVAKVSPTTTSSYLPYTPHLSTIGLVSTVSQKKIIDIVLMFHIPKVFKGYPYGVGQMVNGMVTKGRCIIVFMEQLKAKLRFPLDPLIVEVLSLFRFSELRFIPMVGGF >Manes.06G002801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:672136:672981:-1 gene:Manes.06G002801.v8.1 transcript:Manes.06G002801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLEPISKKTVVAKVSPTTTSSYLPYTPHLSTIGLVSTVSQKKIIDIVLMFHIPKVFKGYPYGVGQMVNGMVTKGRCIIVFMEQLKAKLRFPLDPLIVER >Manes.10G005900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:758631:762222:-1 gene:Manes.10G005900.v8.1 transcript:Manes.10G005900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLTMAVSLKSMRPTCINGVCNKASTSQVAFFYSALYIVAIGAGGTKPNISTFGADQFDDFNPHEKKLKVSFFNWWMFSSFLGALFATLCLVYIQENMGWGLGYGIPTAGLLLSLFIFYLGTPLYRHKVRKTKSPTRDLIQVPIASFKNRKLQLPSDPSELHEFELQHYIDSGKRQLYPTLIFKFLDKAAIKDINTSDNSSPPCTVTQVEGTKLVLGMTLIWLVTLIPSIIWAQVNTLFVKQGTTMDRSLGSNFQIPAASLGSFVTLSMLLSVPMYDRFFVPYMRKKTGNPRGITLLQRLGIGFAIQVSAITIAYAVELRRMHVIRLHNIMGPKQIVPMSISWLLPQYILLGVADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTIVDKITGRGSGGKSWIGNNLNDCHLDYYYGFLLVISTLNLGAFVWASSRFIYKKEIIAVDNEGCVQVEGKNLDTSTLDLQV >Manes.10G005900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:758631:762222:-1 gene:Manes.10G005900.v8.1 transcript:Manes.10G005900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWIFMVVLCLPPKLGNGKLVPFLLGMILLTMAVSLKSMRPTCINGVCNKASTSQVAFFYSALYIVAIGAGGTKPNISTFGADQFDDFNPHEKKLKVSFFNWWMFSSFLGALFATLCLVYIQENMGWGLGYGIPTAGLLLSLFIFYLGTPLYRHKVRKTKSPTRDLIQVPIASFKNRKLQLPSDPSELHEFELQHYIDSGKRQLYPTLIFKFLDKAAIKDINTSDNSSPPCTVTQVEGTKLVLGMTLIWLVTLIPSIIWAQVNTLFVKQGTTMDRSLGSNFQIPAASLGSFVTLSMLLSVPMYDRFFVPYMRKKTGNPRGITLLQRLGIGFAIQVSAITIAYAVELRRMHVIRLHNIMGPKQIVPMSISWLLPQYILLGVADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTIVDKITGRGSGGKSWIGNNLNDCHLDYYYGFLLVISTLNLGAFVWASSRFIYKKEIIAVDNEGCVQVEGKNLDTSTLDLQV >Manes.10G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:758631:762222:-1 gene:Manes.10G005900.v8.1 transcript:Manes.10G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGQGHTQDGTVDLHGRPVLASKTGKWKACAFLVGYETFERMAFYGIASNLVNYLTTQLHEDTVSSVRNVNNWSGSVWITPILGAYIADTYVGRYWTFTVSSLFYVMGMILLTMAVSLKSMRPTCINGVCNKASTSQVAFFYSALYIVAIGAGGTKPNISTFGADQFDDFNPHEKKLKVSFFNWWMFSSFLGALFATLCLVYIQENMGWGLGYGIPTAGLLLSLFIFYLGTPLYRHKVRKTKSPTRDLIQVPIASFKNRKLQLPSDPSELHEFELQHYIDSGKRQLYPTLIFKFLDKAAIKDINTSDNSSPPCTVTQVEGTKLVLGMTLIWLVTLIPSIIWAQVNTLFVKQGTTMDRSLGSNFQIPAASLGSFVTLSMLLSVPMYDRFFVPYMRKKTGNPRGITLLQRLGIGFAIQVSAITIAYAVELRRMHVIRLHNIMGPKQIVPMSISWLLPQYILLGVADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTIVDKITGRGSGGKSWIGNNLNDCHLDYYYGFLLVISTLNLGAFVWASSRFIYKKEIIAVDNEGCVQVEGKNLDTSTLDLQV >Manes.04G138700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33795417:33801969:1 gene:Manes.04G138700.v8.1 transcript:Manes.04G138700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGSDVAEKVVVAVKASKEIPKTALVWALTHVVHAGDCITLLVVVPSQSSGRKLWGFPRFAGDCASGHRKSHSGATSEQKCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKRCMDELQCNIVVMKRSQPKVLRLNLVGSSKEAESVGKLPSEPDEASGEHTKNKNDSSDSIRGPVVTPTSSPELGTPFTATEVGTSSVSSDPGTSPFFTSETNGDLKKEESLIVKENRDVDESSSDTDSEHLSSASASLRFEPWMGEFISSHIQSSRRMEEVSQRSTNMAQESTTKALLEKFSKLDRQIGAGMSNYRTDLELSGNVREATSLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKVAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELIDPRLGNCYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLMDANYTSTPGYDVGNRSGRIWVERQQQHYSGPMSNEAVEGFSKLSLDTLRPVFWERDKGRKISYEEDL >Manes.04G138700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33795665:33801869:1 gene:Manes.04G138700.v8.1 transcript:Manes.04G138700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREQKRGKQEKGSDVAEKVVVAVKASKEIPKTALVWALTHVVHAGDCITLLVVVPSQSSGRKLWGFPRFAGDCASGHRKSHSGATSEQKCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVAAEAKRAQANWVVLDKQLKHEEKRCMDELQCNIVVMKRSQPKVLRLNLVGSSKEAESVGKLPSEPDEASGEHTKNKNDSSDSIRGPVVTPTSSPELGTPFTATEVGTSSVSSDPGTSPFFTSETNGDLKKEESLIVKENRDVDESSSDTDSEHLSSASASLRFEPWMGEFISSHIQSSRRMEEVSQRSTNMAQESTTKALLEKFSKLDRQIGAGMSNYRTDLELSGNVREATSLSRNAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCIEDKRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKVAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIDELIDPRLGNCYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLMDANYTSTPGYDVGNRSGRIWVERQQQHYSGPMSNEAVEGFSKLSLDTLRPVFWERDKGRKISYEEDL >Manes.14G040900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3458438:3469379:-1 gene:Manes.14G040900.v8.1 transcript:Manes.14G040900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVLMLLSEGISPTNILAMTFTTAAASEMRDRIGAVAGKAIAKELMISTFHSFSLQLCRSYAEKLGRTPEFLIYGQGHQRRAIIEAMRLLEKQKTAIQNIDEASNGITSPEHFKDKSKKWQRFVTKAKASGKTPADCNKMGDVIGASILGNYNDILRSCDALDYHDLISCSVKLLTDYPDVFEECQNSWKAIVIDEFQDTSAMQYNLLRLLASHSQITIVGDDDQSIFSFNGADISGFNSFRLDFPNYKEIRLNKNYRSTRHIVEAASFLIQNNMKRCQFKEVVTDNSSGSKIIIKECHNEHAQCSFVVDKTLEIASNGSPDKPSYGSIAVLYRRQASGKAFQVAFRDRKIPFNVHGVAFYRKKVVKAIIAMLRTTLPGCDDGPYRQVFKAMLPFEKDEKKRVIDHIDKILTIRKCSFISVANDVFSAKLSGTFNRSQLSQGRKVLLTLEMISKLVQRSISAVITSVANMVPQKYLLEQRAVVDVDGGKLLNEENDLRSVLQYLLDDVSDFLSMQCAKKGEAGDVKEEEKGCLRLLKAFIDYITEREKENFRSRRHDNENSVTLTTIHQSKGLEWDIVFIVKVNESEIPLLHESNGTTKENGTSIEEERRLLYVAMTRARKKLFILYVMMDSNWQMLQPSRFLKEIPDHLREIQAEVCLRDLQTKPQDIPKQPVNITTNLPGEKNSSEVDMEPNDSLNIQINNASKEITEPVDACNGNIFLKRFSVEDRSVVSHLFHKWAKKQAFQNPKRLLDKVGFVIDERLRIKKSKHKDVLHALKPCLSSEEAFQYAEYVLRWEQIPADERAHLMREKQEYFQKLRIENSMSTSAPTAKQIGFLQNLGCTVVPISRLHASRLIEQYKSL >Manes.14G040900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3458753:3469379:-1 gene:Manes.14G040900.v8.1 transcript:Manes.14G040900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKENGYAPSKVITEEQRARISQNFRAAKALLSRKRPRDSPSTSPLFPLKDAKCTASPSPDQVTSIKRVPLVEMQMNTPSPIRANGIESTGSKVRSDLCSSMAGFVPRNGLINNISSSRAMSISLGDKCSLDCFTTPIKYPECLCLSDYLSKPSILDDDFDESILNEIDAICEQNSAAKVEIQSSNSSLLEENKYKEENIGCNFASSVSVSADDDMRTRVAFESSGDLVSRKEEKDTSQLIEHGNMPEEYSKYLQSLNNRQREAACSDISVPLMIVAGPGSGKTSTMVGRVLMLLSEGISPTNILAMTFTTAAASEMRDRIGAVAGKAIAKELMISTFHSFSLQLCRSYAEKLGRTPEFLIYGQGHQRRAIIEAMRLLEKQKTAIQNIDEASNGITSPEHFKDKSKKWQRFVTKAKASGKTPADCNKMGDVIGASILGNYNDILRSCDALDYHDLISCSVKLLTDYPDVFEECQNSWKAIVIDEFQDTSAMQYNLLRLLASHSQITIVGDDDQSIFSFNGADISGFNSFRLDFPNYKEIRLNKNYRSTRHIVEAASFLIQNNMKRCQFKEVVTDNSSGSKIIIKECHNEHAQCSFVVDKTLEIASNGSPDKPSYGSIAVLYRRQASGKAFQVAFRDRKIPFNVHGVAFYRKKVVKAIIAMLRTTLPGCDDGPYRQVFKAMLPFEKDEKKRVIDHIDKILTIRKCSFISVANDVFSAKLSGTFNRSQLSQGRKVLLTLEMISKLVQREQSISAVITSVANMVPQKYLLEQRAVVDVDGGKLLNEENDLRSVLQYLLDDVSDFLSMQCAKKGEAGDVKEEEKGCLRLLKAFIDYITEREKENFRSRRHDNENSVTLTTIHQSKGLEWDIVFIVKVNESEIPLLHESNGTTKENGTSIEEERRLLYVAMTRARKKLFILYVMMDSNWQMLQPSRFLKEIPDHLREIQAEVCLRDLQTKPQDIPKQPVNITTNLPGEKNSSEVDMEPNDSLNIQINNASKEITEPVDACNGNIFLKRFSVEDRSVVSHLFHKWAKKQAFQNPKRLLDKVGFVIDERLRIKKSKHKDVLHALKPCLSSEEAFQYAEYVLRWEQIPADERAHLMREKQEYFQKLRIENSMSTSAPTAKQIGFLQNLGCTVVPISRLHASRLIEQYKSL >Manes.14G040900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3458753:3469379:-1 gene:Manes.14G040900.v8.1 transcript:Manes.14G040900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKENGYAPSKVITEEQRARISQNFRAAKALLSRKRPRDSPSTSPLFPLKDAKCTASPSPDQVTSIKRVPLVEMQMNTPSPIRANGIESTDDDMRTRVAFESSGDLVSRKEEKDTSQLIEHGNMPEEYSKYLQSLNNRQREAACSDISVPLMIVAGPGSGKTSTMVGRVLMLLSEGISPTNILAMTFTTAAASEMRDRIGAVAGKAIAKELMISTFHSFSLQLCRSYAEKLGRTPEFLIYGQGHQRRAIIEAMRLLEKQKTAIQNIDEASNGITSPEHFKDKSKKWQRFVTKAKASGKTPADCNKMGDVIGASILGNYNDILRSCDALDYHDLISCSVKLLTDYPDVFEECQNSWKAIVIDEFQDTSAMQYNLLRLLASHSQITIVGDDDQSIFSFNGADISGFNSFRLDFPNYKEIRLNKNYRSTRHIVEAASFLIQNNMKRCQFKEVVTDNSSGSKIIIKECHNEHAQCSFVVDKTLEIASNGSPDKPSYGSIAVLYRRQASGKAFQVAFRDRKIPFNVHGVAFYRKKVVKAIIAMLRTTLPGCDDGPYRQVFKAMLPFEKDEKKRVIDHIDKILTIRKCSFISVANDVFSAKLSGTFNRSQLSQGRKVLLTLEMISKLVQREQSISAVITSVANMVPQKYLLEQRAVVDVDGGKLLNEENDLRSVLQYLLDDVSDFLSMQCAKKGEAGDVKEEEKGCLRLLKAFIDYITEREKENFRSRRHDNENSVTLTTIHQSKGLEWDIVFIVKVNESEIPLLHESNGTTKENGTSIEEERRLLYVAMTRARKKLFILYVMMDSNWQMLQPSRFLKEIPDHLREIQAEVCLRDLQTKPQDIPKQPVNITTNLPGEKNSSEVDMEPNDSLNIQINNASKEITEPVDACNGNIFLKRFSVEDRSVVSHLFHKWAKKQAFQNPKRLLDKVGFVIDERLRIKKSKHKDVLHALKPCLSSEEAFQYAEYVLRWEQIPADERAHLMREKQEYFQKLRIENSMSTSAPTAKQIGFLQNLGCTVVPISRLHASRLIEQYKSL >Manes.14G040900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3458438:3469379:-1 gene:Manes.14G040900.v8.1 transcript:Manes.14G040900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRVLMLLSEGISPTNILAMTFTTAAASEMRDRIGAVAGKAIAKELMISTFHSFSLQLCRSYAEKLGRTPEFLIYGQGHQRRAIIEAMRLLEKQKTAIQNIDEASNGITSPEHFKDKSKKWQRFVTKAKASGKTPADCNKMGDVIGASILGNYNDILRSCDALDYHDLISCSVKLLTDYPDVFEECQNSWKAIVIDEFQDTSAMQYNLLRLLASHSQITIVGDDDQSIFSFNGADISGFNSFRLDFPNYKEIRLNKNYRSTRHIVEAASFLIQNNMKRCQFKEVVTDNSSGSKIIIKECHNEHAQCSFVVDKTLEIASNGSPDKPSYGSIAVLYRRQASGKAFQVAFRDRKIPFNVHGVAFYRKKVVKAIIAMLRTTLPGCDDGPYRQVFKAMLPFEKDEKKRVIDHIDKILTIRKCSFISVANDVFSAKLSGTFNRSQLSQGRKVLLTLEMISKLVQREQSISAVITSVANMVPQKYLLEQRAVVDVDGGKLLNEENDLRSVLQYLLDDVSDFLSMQCAKKGEAGDVKEEEKGCLRLLKAFIDYITEREKENFRSRRHDNENSVTLTTIHQSKGLEWDIVFIVKVNESEIPLLHESNGTTKENGTSIEEERRLLYVAMTRARKKLFILYVMMDSNWQMLQPSRFLKEIPDHLREIQAEVCLRDLQTKPQDIPKQPVNITTNLPGEKNSSEVDMEPNDSLNIQINNASKEITEPVDACNGNIFLKRFSVEDRSVVSHLFHKWAKKQAFQNPKRLLDKVGFVIDERLRIKKSKHKDVLHALKPCLSSEEAFQYAEYVLRWEQIPADERAHLMREKQEYFQKLRIENSMSTSAPTAKQIGFLQNLGCTVVPISRLHASRLIEQYKSL >Manes.14G049400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4278099:4279698:-1 gene:Manes.14G049400.v8.1 transcript:Manes.14G049400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSERGKETEEKLHQQFQHLQQQWDSIKHLKPRTLCRSSTDSRVMFKELHLLDNSPRNLMSSLQHRRSPLDGGGAWKVRYNDLAVEEILRDRKAAIESGKLKGRRLFEEEEGASEVGSGGKQDIISDNWNGFEEMSMFSYNSDDEKENVESKEEELYPVLCHYGSCSYSSSTSYICDDCIDEAVATEKKGVNIVAGYSNRLTKAKGWLAIILILCAICIISMKRLSGNGKVKE >Manes.07G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2364594:2368975:-1 gene:Manes.07G021200.v8.1 transcript:Manes.07G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFLFVGYRSMGSVLIFIFFSSLIISSWPSYGFDPLDPNANITIKWDLLQSASSSNDLRLTLYNFQLYRHVEPPGWKLNWDWKGDEVIWGMWGAEATEQGDCSAFKGGQLPHCCEKSPVIVDLLPGAPYNIQSQNCCKGGVLSSMIQDPSRYAATFQMSVGGGGNYSDFTMPENFTLGLPGYTCGDAHEVKPSRYPADSGRRWTQALRTWKVTCIYSQFISSATPKCCVSLSAFYNQTIVPCPKCSCRCQGQPGAKCVKPGETPSMLEQKHDPNEETPSNVRCSQHMCPIRVHWHVKESYKLYWRVKMTVTNLNILKNYSQWNLVVLHPNLRSITQVFSFNYSPLNQYGIINDTGMFWGIQYYNDMLLQAGESGNVQSEMLLNKDPGIFTFREGWGFPRKISFNGDECVMPPPDDYPRLPNYAHRKFISSFIAIFSLFLVVMF >Manes.09G037300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7079436:7084154:1 gene:Manes.09G037300.v8.1 transcript:Manes.09G037300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDQQKILDLVKELVHRLLSQNPNPKTPNSNPNHNPNSSDFQNALRYAIRILSSRLTPSIAPDAAAIAESIKRRLATQGKSSQALNFADLFNKFSLKTGPGSINNKWAVLYLLKIISEDRKTAKNAPNTVSLLPNLALNDPDLNNDSRVLHNLKRGDRDWDNGVLLVAKDPENLREIAFREYVNLVREENEVSEEVLVRDVLYACQGIDGKYVKFDVNADGYVLLDTVKVPRTTRLIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQAMNPIPLVSEPASSGNYLSLRRLSVWFAEPTVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMRSLLQHVCSPLFEMVRSWVLEGELEDIFAEFFVVGQPVKAESLWREGYRLHAGMLPAFISQSLAQRILRTGKSINFLRVCCDDRGWADAATEAAAAAGTTTRRGSLGYGETDALETLVFEAAKRIDKHLLDVMHTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDRDILDRLRVKMMPHGTGDRGWDVFSLEYDARVPLDTVFTESVMGRYLRIFNFLWKLRRVEHVLIGAWKMMKPNCITSNSFTKLQGTVKLQLLSTLRRCQVLWNEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVARDLDDLLAAHEHYLHSIVEKSLLGERSQHLYKSLFVLFDLILRFRSHADRLYEGIHELQARTVACSLPSQDKKKSRRQTSDKSSEPGSWVSDGRKALTQRAGEFLRNMAQELDAVSKEYTTLLEGFLSQLPMQQHVDLKFLLFRLDFTKFYSQLHPVK >Manes.09G037300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7079436:7084154:1 gene:Manes.09G037300.v8.1 transcript:Manes.09G037300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDQQKILDLVKELVHRLLSQNPNPKTPNSNPNHNPNSSDFQNALRYAIRILSSRLTPSIAPDAAAIAESIKRRLATQGKSSQALNFADLFNKFSLKTGPGSINNKWAVLYLLKIISEDRKTAKNAPNTVSLLPNLALNDPDLNNDSRVLHNLKRGDRDWDNGVLLVAKDPENLREIAFREYVNLVREENEVSEEVLVRDVLYACQGIDGKYVKFDVNADGYVLLDTVKVPRTTRLIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQAMNPIPLVSEPASSGNYLSLRRLSVWFAEPTVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMRSLLQHVCSPLFEMVRSWVLEGELEDIFAEFFVVGQPVKAESLWREGYRLHAGMLPAFISQSLAQRILRTGKSINFLRVCCDDRGWADAATEAAAAAGTTTRRGSLGYGETDALETLVFEAAKRIDKHLLDVMHTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDRDILDRLRVKMMPHGTGDRGWDVFSLEYDARVPLDTVFTESVMGRYLRIFNFLWKLRRVEHVLIGAWKMMKPNCITSNSFTKLQGTVKLQLLSTLRRCQVLWNEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVARDLDDLLAAHEHYLHSIVEKSLLGERSQHLYKSLFVLFDLILRFRSHADRLYEGIHELQARTVACSLPSQDKKKSRRQTSDKSSEPGSWVSDGRKALTQRAGEFLRNMAQELDAVSKEYTTLLEGFLSQLPMQQHVDLKFLLFRLDFTKFYSQLHPVK >Manes.09G037300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7079393:7084154:1 gene:Manes.09G037300.v8.1 transcript:Manes.09G037300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDQQKILDLVKELVHRLLSQNPNPKTPNSNPNHNPNSSDFQNALRYAIRILSSRLTPSIAPDAAAIAESIKRRLATQGKSSQALNFADLFNKFSLKTGPGSINNKWAVLYLLKIISEDRKTAKNAPNTVSLLPNLALNDPDLNNDSRVLHNLKRGDRDWDNGVLLVAKDPENLREIAFREYVNLVREENEVSEEVLVRDVLYACQGIDGKYVKFDVNADGYVLLDTVKVPRTTRLIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQAMNPIPLVSEPASSGNYLSLRRLSVWFAEPTVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMRSLLQHVCSPLFEMVRSWVLEGELEDIFAEFFVVGQPVKAESLWREGYRLHAGMLPAFISQSLAQRILRTGKSINFLRVCCDDRGWADAATEAAAAAGTTTRRGSLGYGETDALETLVFEAAKRIDKHLLDVMHTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDRDILDRLRVKMMPHGTGDRGWDVFSLEYDARVPLDTVFTESVMGRYLRIFNFLWKLRRVEHVLIGAWKMMKPNCITSNSFTKLQGTVKLQLLSTLRRCQVLWNEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVARDLDDLLAAHEHYLHSIVEKSLLGERSQHLYKSLFVLFDLILRFRSHADRLYEGIHELQARTVACSLPSQDKKKSRRQTSDKSSEPGSWVSDGRKALTQRAGEFLRNMAQELDAVSKEYTTLLEGFLSQLPMQQHVDLKFLLFRLDFTKFYSQLHPVK >Manes.09G037300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7079430:7084154:1 gene:Manes.09G037300.v8.1 transcript:Manes.09G037300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDQQKILDLVKELVHRLLSQNPNPKTPNSNPNHNPNSSDFQNALRYAIRILSSRLTPSIAPDAAAIAESIKRRLATQGKSSQALNFADLFNKFSLKTGPGSINNKWAVLYLLKIISEDRKTAKNAPNTVSLLPNLALNDPDLNNDSRVLHNLKRGDRDWDNGVLLVAKDPENLREIAFREYVNLVREENEVSEEVLVRDVLYACQGIDGKYVKFDVNADGYVLLDTVKVPRTTRLIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQAMNPIPLVSEPASSGNYLSLRRLSVWFAEPTVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMRSLLQHVCSPLFEMVRSWVLEGELEDIFAEFFVVGQPVKAESLWREGYRLHAGMLPAFISQSLAQRILRTGKSINFLRVCCDDRGWADAATEAAAAAGTTTRRGSLGYGETDALETLVFEAAKRIDKHLLDVMHTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDRDILDRLRVKMMPHGTGDRGWDVFSLEYDARVPLDTVFTESVMGRYLRIFNFLWKLRRVEHVLIGAWKMMKPNCITSNSFTKLQGTVKLQLLSTLRRCQVLWNEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVARDLDDLLAAHEHYLHSIVEKSLLGERSQHLYKSLFVLFDLILRFRSHADRLYEGIHELQARTVACSLPSQDKKKSRRQTSDKSSEPGSWVSDGRKALTQRAGEFLRNMAQELDAVSKEYTTLLEGFLSQLPMQQHVDLKFLLFRLDFTKFYSQLHPVK >Manes.04G057608.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14044622:14045447:-1 gene:Manes.04G057608.v8.1 transcript:Manes.04G057608.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENQTHQSIEVIALATATYGVAASIMPRGRTAPSQFNIPLSPTESSMCGISKQSGQAKLLRTEKLITWVEAPMAKRLTIEIVDRCLRDIMDTSQPFEGKVLPVVPKVLRQESVSASLVKSYLWSKMKVLKLTTNIRTRTYPYFGEFILKVGNGEEKNTKLAQYMTNRAILATKNEYVDSLNENMINMFPGERNIYTSFDEAIDYTNNHYQKEFLNTLLPNELPQHKLK >Manes.08G090400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:30187758:30189362:-1 gene:Manes.08G090400.v8.1 transcript:Manes.08G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSHKVLDYRLLGHAKIITLSVISIAAVFFIFSADSFASKVYLQSSAIEIDQVSEILQTHTTERRIKSTIVSIPLSSKHEEIKEVRSANQNSLIPPFNISEAERIEWFRKKLPEFEVFKSNNVSQKFHSRIQEFFENKCELQFFMTWISPAESFGRRDFLAMESLFKVHPHGCLLILSGALDSRQGSRILKPLLDCGFKVTAVAPDLASLVKDTPAESWFQEMKSGNKDPGEIPLAQNLSNLIRLAALYKYGGIYLDTDFIVLKSFIGLRNSIGAQSIDMVSRNWTRLNNAVLVFDRNHPLLFKFIQEFAATFDGNKWGHNGPYLVSRVVERVARRPGYNFTVLPPMAFYPVDWNRIVGFFKKPVNEADSRWVKAKLLQLSGETYGVHLWNKQSSRIRIEEGSIMARLISDHCVICTNIYSS >Manes.06G168700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29367844:29368440:-1 gene:Manes.06G168700.v8.1 transcript:Manes.06G168700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRMLLFHKMAFGKPLSTCSISMVISLLVFISFVHSSRTRLQGGVAEDAATSTATQGLESHVVKSLGKGVSTIVAENSAREVPTGPDPLHHNNNPTRP >Manes.17G006674.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3484831:3488133:1 gene:Manes.17G006674.v8.1 transcript:Manes.17G006674.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICTVYTTQSLNSTCSIYTPAKTHLGFNQKHVVFYSSSKKTSRRAANGVITCSAGDSQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKALKDGIAVEKPIYNHVTGLLDPPELIKPPKILVIEGLHPMFDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQHADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKYFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFFYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEITQQMLKHADFPGSNNGTGLFQTIIGLKIRDLYEQIITSKAGAPVEAKA >Manes.02G221780.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21583606:21584155:-1 gene:Manes.02G221780.v8.1 transcript:Manes.02G221780.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADHSHQNHRSKGLYMLQILVCYSDLALGYSSNKVRKLRFSLSFQYICWMNLDVFA >Manes.01G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8959006:8961765:-1 gene:Manes.01G044400.v8.1 transcript:Manes.01G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKQAEPKPEEKVEEKKEEKPAEEEKKEEPKPPAPFVLFVDLHCVGCAKKIEKSIMKIRGVEGVVIDMAQNQVTIKGIIEPQAVCNRIMKKTKRRAKVLSPLPEAEGEPMPQVVTSQVSESTTVELNVNMHCEACAEQLKRKILKMRGVQTATTELSTGKVIVTGTMNAEKLVDYVYRRTKKQAKIVPQPEPEPEKKEEKKEEEKPAAEEPKPEEAKPEEKKEENSEKKEEDQKATEEEPKKQEAGENPEKNENKEEAKKEEGGDQNIEVISSIDDEGMKRMMYYYQPLYVIERIPPPQLFSDENPNACSIS >Manes.02G156700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12256487:12258751:1 gene:Manes.02G156700.v8.1 transcript:Manes.02G156700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSEKGFFCKAKSVALSVYGFISWSITLPSTMQIQKQHWPTSPGLKTLIYSPEESPQNPNPHRFVVHSYMISSLFFPPSPSSSSTSSSSFRSLTSSSSYSSWSSMLDDVIGTESGVYLDSISEEETEARMEKLLQPYHRNLSKRNQQPCEMRKKYPPPIPLLARTGNLPGHMPWNLTRHYSNGRLILKEQRVKHHEYFEAYRENGRLILNLVPLDDTVRCCHSVYDEEEEIELQDLELVEEEETEETYDNIEEEEEETEADEQTEDDKYLEDKINNEKLMGAASASSVPKSSLKNVSDERPGDVRKCLTYAGRKTLESYYLSCNANAGGYIARSGSAATLSFTIRPMTTVV >Manes.06G016800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2783031:2790415:1 gene:Manes.06G016800.v8.1 transcript:Manes.06G016800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDQYPVQEPLLSSQGVKKRPLFVSSVKWVLEILMWVIFIAWVVFIFLYPTQFGNELFEKFVGASSGTLFGLSGSIFLLLSGPILLIAFLAIAHLIISGEDEFHHKKNSKYPSVRLWTFPVLVDGPFGVVSAAEFIGILLFVVYIIWAVYVYTLRNLSLISQLHLTSKDEGIWLLELTGLRLGMIGLFCMVFLFLPVARGSALLRLIDIPFEHATRYHVWLGHLTMLLFTLHGLFYVIGWGMQGNLRYEIIEWRDVGISNLAGVISLLAGLFMWVTSLPGVRKWNFELFFYTHQLYVVFVLFFAFHVGDFIFSMAAGAIFLFMLDRFLRFCQSRRTVDVVSAKCLPCGTVELVFSKPGNLRYNALSFVFLQIRELSWLQWHPFSVSSSPLDGKYHLSILIKVLGRWTDKLRGVIMKASEVETAKSPDQPFQPHTKITASVEGPYGHEVPYHLMYENLILVAGGIGISPFLAILSDILHRVNEGRPCLPKNVLIVWAVKKSNEIPLLSTVDMESICPYFSDKLNLETCIYVTRETDPLLEEGIVHKATNSSICTASKGCGMSVLVGTGDNIWSGLYIISSTVGFIILLCLLDVFYINRYGVQSWWYKGLLFIVCMFASIFIFGGCVVGLWHLWERKTSARDEYREDGRKAEKVPNNEDMEDKNFFEKNHSSSTVIQYGSRPDFKEIFGSVSKRWGFVDVGVIICGPPTLQSSVAREIRSQNLRRESHDPVFHFHSHSFDL >Manes.12G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30448951:30451314:1 gene:Manes.12G109400.v8.1 transcript:Manes.12G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIAEGLKQFNIHLAKSNSINLTISTSKNLATTNSDGMTEWCTAFAFLARLSAYIAILALVILMVFLIMKYLMENGDESTSDGGSATEINPLPLGKAMACTYGTCHIDIESGCCNINSSDSDSSSSSNKISAELYDGKICVICYDEERNCFFVPCGHCATCYICARRILHEENKVCPVCRRFIGKVRKLFA >Manes.18G053100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4493621:4497377:-1 gene:Manes.18G053100.v8.1 transcript:Manes.18G053100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGRNTVESIRVNCPSKSTSASSSSSSPPRPQNCFGVPSMDCRSSEFMLQNNCNFKRSAAPVRFMYFNPDGSWVDFPSEVLGSLKSAFVEGKPMVDLLISGSRYLFDFLRMLQTDFESGFQRSIAWIDEKGKCFFPKTFVGEDFDGRLEKSENPKIEIEIRIDNNPIKRKRDGLVKEEPEVSSSMQQQQEDASKRQRLHLPDAETSRWPNARLLTEGEKAYSTVRDHFLPGIRKVDHGATITAIYQFTRNGHLEKARYEVFQKQIEITKAARGVSNTVYAWHGASAKGVESILAHGFAVPGKVSGPECYGVGVYLSPLGLPYMSAKLSEMDGNGEKHLILCRVILGNVEKVEVGSQQYYPSKLEFDTGADDLNNPKWYIVWSSNMNRHIIPEFVVSYKSSRQVQGQVKGPTCVKYSLENLFWKMRNLLPRTKIREVVTLYDTYRGGKLARDVFIKQLRLIAGDEVLLSTIREINTE >Manes.18G053100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4493621:4497398:-1 gene:Manes.18G053100.v8.1 transcript:Manes.18G053100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGRNTVESIRVNCPSKSTSASSSSSSPPRPQNCFGVPSMDCRSSEFMLQNNCNFKRSAAPVRFMYFNPDGSWVDFPSEVLGSLKSAFVEGKPMVDLLISGSRYLFDFLRMLQTDFESGFQRSIAWIDEKGKCFFPKTFVGEDFDGRLEKSENPKIEIEIRIDNNPIKRKRDGLVKEEPEVSSSMQQQQEDASKRQRLHLPDAETSRWPNARLLTEGEKAYSTVRDHFLPGIRKVDHGATITAIYQFTRNGHLEKARYEVFQKQIEITKAARGVSNTVYAWHGASAKGVESILAHGFAVPGKVSGPECYGVGVYLSPLGLPYMSAKLSEMDGNGEKHLILCRVILGNVEKVEVGSQQYYPSKLEFDTGADDLNNPKWYIVWSSNMNRHIIPEFVVSYKSSRQVQGQVKGPTCVKYSLENLFWKMRNLLPRTKIREVVTLYDTYRGGKLARDVFIKQLRLIAGDEVLLSTIREINTE >Manes.03G187600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30728260:30734217:1 gene:Manes.03G187600.v8.1 transcript:Manes.03G187600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGCSIRALWIINNLDGVIFSRRFPVVERQWRAACKTENESSNEDPVKYTALPVLPNDSEIAAAFMERKKSQGSTRGYGIRVTQSAQGSDSWVDDPIMRHIISLRIGAEEEGESYLLWPLVLHVRGPYCVLALPLIEPRHLKVYSRLCCRSDCGNAVGVDESISSLLLDLPSITGAFMVALAIGDIITGDMVDPEVVVSVSPSVGGLLDSLTGSIGISGISSRAKPVAAPVASATPSSTAAIGAVASDAPKIGSRPLDKDALRNFISSAMPFGTPLDLNYSNIFAIKANGFSSSDVPPTDLKQPAWKPYLYKGKQRILFTVHETVHAAMYDRDDISDTISIAGQINCRAELEGLPDVSLPLTGLSKAHVEVLSFHPCAQVPEHGVDKHAIMFSPPLGNFVLVRYQANCAFGPPVMGFYQLSMVSEDEGAFLFKLRIMEGYKSPLAMEFCNVTMPFPRRRVVSFEGTPSVGAVSTTEHSVEWKIIPSGRGLTRSIEATFPGTVRFAPWQIQRVPSSKSGSGNMSDGDSDVEAESANNMVNVEEFLMEKMSKNLPPVDLEEPFCWQAYNYAKVSFKITGASLSAMSIDPKSVSIYPAVKAPVELSTQVIFLQSNHLKS >Manes.03G187600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30728261:30734216:1 gene:Manes.03G187600.v8.1 transcript:Manes.03G187600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGCSIRALWIINNLDGVIFSRRFPVVERQWRAACKTENESSNEDPVKYTALPVLPNDSEIAAAFMERKKSQGSTRGYGIRVTQSAQGSDSWVDDPIMRHIISLRIGAEEEGESYLLWPLVLHVRGPYCVLALPLIEPRHLKVYSRLCCRSDCGNAVGVDESISSLLLDLPSITGAFMVALAIGDIITGDMVDPEVVVSVSPSVGGLLDSLTGSIGISGISSRAKPVAAPVASATPSSTAAIGAVASDAPKIGSRPLDKDALRNFISSAMPFGTPLDLNYSNIFAIKANGFSSSDVPPTDLKQPAWKPYLYKGKQRILFTVHETVHAAMYDRDDISDTISIAGQINCRAELEGLPDVSLPLTGLSKAHVEVLSFHPCAQVPEHGVDKHAIMFSPPLGNFVLVRYQANCAFGPPVMGFYQLSMVSEDEGAFLFKLRIMEGYKSPLAMEFCNVTMPFPRRRVVSFEGTPSVGAVSTTEHSVEWKIIPSGRGLTRSIEATFPGTVRFAPWQIQRVPSSKSGSGNMSDGDSDVEAESANNMVNVEEFLMEKMSKNLPPVDLEEPFCWQAYNYAKVSFKITGASLSAMSIDPKSVSIYPAVKAPVELSTQVTSGDYILWNTLGKCPSAATA >Manes.02G120000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9167830:9172386:1 gene:Manes.02G120000.v8.1 transcript:Manes.02G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSFKDSLKALEADIQHANTLALDYPREIDGARLQMRLSYSPAAQFLLFLVQWTDCHLAGALGLLRILIYLTYADGKTTMSVYERKATIREFYAVIFPSLLQLQRGITDLEDKKQKELCSIRYKRKDELEKGKLSEIDIEREEECGICMEMNNKVVLPNCSHSLCLGCYRDWRERSQSCPFCRDSLKRVNSGDLWIYTDKSDTVDFSIISRENCKRLFVYIDKLPLIVPDNVIVPYDCHVR >Manes.15G186150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:26640171:26641810:-1 gene:Manes.15G186150.v8.1 transcript:Manes.15G186150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQIKVMGYKYIPMDNHKVFLTILFVFLALGICSAKRNLLSFEGLSYGGIAGGGGSGGGGGSGYAAVGGHGVNTYAGGVGGGEGGGGGYGGAGIGGGGGGGSGGGGGAAHVGGASGVGYGTGGGEGGGAGYGGATGLGGGGGGGGKGGGGGAAHAGETGAAGYGSGGGEGGGAGSGGVIGYAGGGGGNGGGSGGGAAHAGETGAAGYGSGSGAGAGGGASGHAGGGGGGGSGEGGGAGYAGGASGAGYGSGGGEGGGATGYAGGGGGGNGGGGGAGSAGGATGAGYGSGGGNGGGAGYGSGGEKGAGYGGGGGAGGGGGAGIGSGGAGYGGGEGAGSGGGYGAGGAGYGGGGGGGNGGGGAGGAHGGGYGSGGGEGGGAGGGYNGGGGGGGGSGGGGGGGYGAGGIHGGGYGAGGGSGAGGGHGGGYAP >Manes.02G175100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13824464:13828484:-1 gene:Manes.02G175100.v8.1 transcript:Manes.02G175100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMVDGFNPGAFPFSTRFFSFGNGIIKRHCRFWIFPPFNGIIAVASFLSFLLAISCAYLFLFPRFQPDILSYEIPESNGSISACNFFRGSWIKDESYPLYNASQCPFAEGGFNCLANGRRDRGYTKWRWKPKNCDIPRFNVHQILEKLRGKRIVFVGDSLSRTQWESLICMLMTGVEDKRSVYEVNGNKITKQIRFLGVRFSSYNLRIDFYRSVFLVQPGSTPRRAPKRVKSTLKLDKLDDISNEWVDSDVLIFNSGHWWNPSKLFEMGCYFQINGSLKLGMPITTAFKTALNTWASWAETTINTNKTSVFFRTFESSHWSGRNRLSCKVTRRPSTKTGGRDRSPVSDIIMKAVKKMSVPVTVLHVTPMGAFRSDAHVGTWSDNPSVPDCGHWCLPGVPDMWNEIFLSYLMSKNELSVS >Manes.02G175100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13824464:13828484:-1 gene:Manes.02G175100.v8.1 transcript:Manes.02G175100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMVDGFNPGSWIKDESYPLYNASQCPFAEGGFNCLANGRRDRGYTKWRWKPKNCDIPRFNVHQILEKLRGKRIVFVGDSLSRTQWESLICMLMTGVEDKRSVYEVNGNKITKQIRFLGVRFSSYNLRIDFYRSVFLVQPGSTPRRAPKRVKSTLKLDKLDDISNEWVDSDVLIFNSGHWWNPSKLFEMGCYFQINGSLKLGMPITTAFKTALNTWASWAETTINTNKTSVFFRTFESSHWSGRNRLSCKVTRRPSTKTGGRDRSPVSDIIMKAVKKMSVPVTVLHVTPMGAFRSDAHVGTWSDNPSVPDCGHWCLPGVPDMWNEIFLSYLMSKNELSVS >Manes.02G175100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13824464:13828484:-1 gene:Manes.02G175100.v8.1 transcript:Manes.02G175100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMVDGFNPGAFPFSTRFFSFGNGIIKRHCRFWIFPPFNGIIAVASFLSFLLAISCAYLFLFPRFQPDILSYEIPESNGSISACNFFRGSWIKDESYPLYNASQCPFAEGGFNCLANGRRDRGYTKWRWKPKNCDIPRFNVHQILEKLRGKRIVFVGDSLSRTQWESLICMLMTGVEDKRSVYEVNGNKITKQIRFLGVRFSSYNLRIDFYRSVFLVQPGSTPRRAPKRVKSTLKLDKLDDISNEWVDSDVLIFNSGHWWNPSKLFEMGCYFQINGSLKLGMPITTAFKTALNTWASWAETTINTNKTSVFFRTFESSHWSGRNRLSCKVTRRPSTKTGGRDRSPVSDIIMKAVKKMSVPVTVLHVTPMGAFRSDAHVGTWSDNPSVPDCGHWCLPGVPDMWNEIFLSYLMSKNELSVS >Manes.07G120000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32470555:32474183:1 gene:Manes.07G120000.v8.1 transcript:Manes.07G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFSQYSLISAFIFMEPKLSNQLLFAAALLLSVVLCAFSAKQCPNCGSNSVPYPLSTQTTCGDQSYKIRCNAGVLIFNTLNNSYPIISINALNQRLVIQPTNLFPNTCISSDFIHQGIQLSPSLPFNITGENTVMLYNCSDSILDQPLDCSASSLCHLYAKRGEYSRCSDTPLCCTFKAGGSASAHKIRVRENGCRAYRSFVGLDWGSPVEKWPNPGVEIQWVLPQEPACESQVDCDVDSTCQPKPADPVKRCYCKAGLQWNPIQGICVQTGGCQSPGGCGRQKKIPLIAGLASGLGATFLLVISIGILLHRRYKHIREAQQRLARHREEILSADGSKAAKHFTSKEIKKATNNFSKDCLVGTGGYGDVYKGCLEDGTVVAVKCAKIGNTKGTDQLLNEVRILCQVNHKTLVRLLGCCVELEQPILVYEYIQNGTLLDHLQGLGLGGKSQLSWIHRLRIAKDSAECLTYLHISAVPPIYHRDVKSSNILLDDKFNAKISDFGLSRLAYSDLSHISTCAQGTIGYLDPEYFRKFQLTDKSDVYSFGVVLLELLTSLRAIDFSRGEDNVNLAAYVQRMTENGRLIDVIDPMLRERSSSLELDSMNALTQLALACLEEKRENRPSMKEVAEDIDYILNIVSTNHVEN >Manes.02G182200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14497446:14497970:-1 gene:Manes.02G182200.v8.1 transcript:Manes.02G182200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTIRVFFTIFLCLLLQSEAAPPESLLKELCTNSKNPDFCMETFNADPRTSSATDVLGLAKIGLDLAAKNARETRDHMQELSASATTEPQAKPALNQCVELYNYVAAIFRNANVEVENGDYEKANYDTLTAANYVGNCEKSLANAKANIQSVADKIQVSKYFCDIADRVTDMP >Manes.02G192100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15435261:15440808:-1 gene:Manes.02G192100.v8.1 transcript:Manes.02G192100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTLSLCSSFTSQCRIFHHQNPCFPSKTLSLSKPNFGFLSKTHTLTYTRPSFLPVPKSSESEAPVLEVEADQIQSQPEPESEPEPEPASAAIVDVAKEEPKREEVFAVVMIGSRQYIVIPGRYIYVQRLKGANVNDKIALNKVLLVGTKTSTYIGKPVVTNAVVHAVVEEQGLNPKVVVFKYKKKKNYRRNIGHRQPNTRIRITGITGYQEYPAVTLD >Manes.08G104700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34498856:34504085:-1 gene:Manes.08G104700.v8.1 transcript:Manes.08G104700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGSMLFPAAVQHGSTGSARFSIWGTDTTSSFCYNQRKVLCACMAPPRNIGSDEYGATKFNQLGTVLEPEDDSDVLIECKNVYKSFGDKDILRGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGRKRDGLISDQDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSTMGEHQISELVKETLAAVGLKGVEDRLPSELSGGMKKRVALARSIIFDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMVGDDAIRKPGKIASYVVVTHQHSTIRRAVDRLLFLYKGKVVWQGMTHEFTTSSNPIVQQFASGSLDGPIKY >Manes.08G104700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34498856:34504313:-1 gene:Manes.08G104700.v8.1 transcript:Manes.08G104700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGSMLFPAAVQHGSTGSARFSIWGTDTTSSFCYNQRKVLCACMAPPRNIGSDEYGATKFNDSYNSKQLGTVLEPEDDSDVLIECKNVYKSFGDKDILRGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGRKRDGLISDQDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSTMGEHQISELVKETLAAVGLKGVEDRLPSELSGGMKKRVALARSIIFDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMVGDDAIRKPGKIASYVVVTHQHSTIRRAVDRLLFLYKGKVVWQGMTHEFTTSSNPIVQQFASGSLDGPIKY >Manes.08G104700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34498856:34504085:-1 gene:Manes.08G104700.v8.1 transcript:Manes.08G104700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGSMLFPAAVQHGSTGSARFSIWGTDTTSSFCYNQRKVLCACMAPPRNIGSDEYGATKFNIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGRKRDGLISDQDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSTMGEHQISELVKETLAAVGLKGVEDRLPSELSGGMKKRVALARSIIFDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMVGDDAIRKPGKIASYVVVTHQHSTIRRAVDRLLFLYKGKVVWQGMTHEFTTSSNPIVQQFASGSLDGPIKY >Manes.08G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34498856:34504085:-1 gene:Manes.08G104700.v8.1 transcript:Manes.08G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTGSMLFPAAVQHGSTGSARFSIWGTDTTSSFCYNQRKVLCACMAPPRNIGSDEYGATKFNDSYNSKQLGTVLEPEDDSDVLIECKNVYKSFGDKDILRGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGRKRDGLISDQDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSTMGEHQISELVKETLAAVGLKGVEDRLPSELSGGMKKRVALARSIIFDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMVGDDAIRKPGKIASYVVVTHQHSTIRRAVDRLLFLYKGKVVWQGMTHEFTTSSNPIVQQFASGSLDGPIKY >Manes.02G046000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3758416:3762085:-1 gene:Manes.02G046000.v8.1 transcript:Manes.02G046000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPEAEEPEVGTTECRSTTENADLEEETPKFFFKFQFQTYREDCERDFSNFVSSRTTNKYEFSSGKDSSLYLEKPETFSLRVKELYADSIDGSISNTDTAKDKILPGENFGEQKAEVESTREERKENSADGACKEEVSGKLESETCIEGSIWRKGISVVAEHTELSDQQVSRDDDQFLSEKDFIAQDYHSDSDSITSSHEIISRFAASSGDGFLSDKDFEDAFEVDIMGNIGREKAELIGDLETEDMNSQHLSAGYEPDDFGDEDSDILEEFKHLDDSNMHETEQLADEKDVEEQEFGCSDKQPTNSLDSCEDSNGLEILWEHQDLIEQLKMELKKVRATGLPTILEEDESPKIMEDLKPWKIDEKFQHEDRMDELHKFYKSYRERMRKFDILNYQKMYAMGFLQSKDPLKSISRRKASGPALTSLVSQKFLLGKRKKSNSDPMTSFIRELHSDLEMVYVGQMCLSWEILHWQYQKALEIWDLDPYGMRRYNEVAGEFQQFQVLMQRFLENEPFEGPRVQNYVKNRCILRNLLQVPVIREDCIKDKKARRRMKDDDAITSDNLVEMIEESIRIFWRFLRADKDAYYATPKSRRGTQIEPQDPTELELVTEVRASLQKKEKKLKDILRSGNCILRKFQKRHEDSSSEQVLYFFSQVDMKLVSRVLNMSKLTTDKLIWCRNKLNNINFVSRKIHVETSFLLFPC >Manes.02G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3758416:3762076:-1 gene:Manes.02G046000.v8.1 transcript:Manes.02G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNGFLHQKLIPFAGFFWVSVSALFLILFDFFNRTIFRVEGNSFQTINSKCLEFNPNCAEHEAEAKELELISDFMEQEPEAEEPEVGTTECRSTTENADLEEETPKFFFKFQFQTYREDCERDFSNFVSSRTTNKYEFSSGKDSSLYLEKPETFSLRVKELYADSIDGSISNTDTAKDKILPGENFGEQKAEVESTREERKENSADGACKEEVSGKLESETCIEGSIWRKGISVVAEHTELSDQQVSRDDDQFLSEKDFIAQDYHSDSDSITSSHEIISRFAASSGDGFLSDKDFEDAFEVDIMGNIGREKAELIGDLETEDMNSQHLSAGYEPDDFGDEDSDILEEFKHLDDSNMHETEQLADEKDVEEQEFGCSDKQPTNSLDSCEDSNGLEILWEHQDLIEQLKMELKKVRATGLPTILEEDESPKIMEDLKPWKIDEKFQHEDRMDELHKFYKSYRERMRKFDILNYQKMYAMGFLQSKDPLKSISRRKASGPALTSLVSQKFLLGKRKKSNSDPMTSFIRELHSDLEMVYVGQMCLSWEILHWQYQKALEIWDLDPYGMRRYNEVAGEFQQFQVLMQRFLENEPFEGPRVQNYVKNRCILRNLLQVPVIREDCIKDKKARRRMKDDDAITSDNLVEMIEESIRIFWRFLRADKDAYYATPKSRRGTQIEPQDPTELELVTEVRASLQKKEKKLKDILRSGNCILRKFQKRHEDSSSEQVLYFFSQVDMKLVSRVLNMSKLTTDKLIWCRNKLNNINFVSRKIHVETSFLLFPC >Manes.02G046000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3758416:3762076:-1 gene:Manes.02G046000.v8.1 transcript:Manes.02G046000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPEAEEPEVGTTECRSTTENADLEEETPKFFFKFQFQTYREDCERDFSNFVSSRTTNKYEFSSGKDSSLYLEKPETFSLRVKELYADSIDGSISNTDTAKDKILPGENFGEQKAEVESTREERKENSADGACKEEVSGKLESETCIEGSIWRKGISVVAEHTELSDQQVSRDDDQFLSEKDFIAQDYHSDSDSITSSHEIISRFAASSGDGFLSDKDFEDAFEVDIMGNIGREKAELIGDLETEDMNSQHLSAGYEPDDFGDEDSDILEEFKHLDDSNMHETEQLADEKDVEEQEFGCSDKQPTNSLDSCEDSNGLEILWEHQDLIEQLKMELKKVRATGLPTILEEDESPKIMEDLKPWKIDEKFQHEDRMDELHKFYKSYRERMRKFDILNYQKMYAMGFLQSKDPLKSISRRKASGPALTSLVSQKFLLGKRKKSNSDPMTSFIRELHSDLEMVYVGQMCLSWEILHWQYQKALEIWDLDPYGMRRYNEVAGEFQQFQVLMQRFLENEPFEGPRVQNYVKNRCILRNLLQVPVIREDCIKDKKARRRMKDDDAITSDNLVEMIEESIRIFWRFLRADKDAYYATPKSRRGTQIEPQDPTELELVTEVRASLQKKEKKLKDILRSGNCILRKFQKRHEDSSSEQVLYFFSQVDMKLVSRVLNMSKLTTDKLIWCRNKLNNINFVSRKIHVETSFLLFPC >Manes.02G046000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3758416:3762076:-1 gene:Manes.02G046000.v8.1 transcript:Manes.02G046000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEPEAEEPEVGTTECRSTTENADLEEETPKFFFKFQFQTYREDCERDFSNFVSSRTTNKYEFSSGKDSSLYLEKPETFSLRVKELYADSIDGSISNTDTAKDKILPGENFGEQKAEVESTREERKENSADGACKEEVSGKLESETCIEGSIWRKGISVVAEHTELSDQQVSRDDDQFLSEKDFIAQDYHSDSDSITSSHEIISRFAASSGDGFLSDKDFEDAFEVDIMGNIGREKAELIGDLETEDMNSQHLSAGYEPDDFGDEDSDILEEFKHLDDSNMHETEQLADEKDVEEQEFGCSDKQPTNSLDSCEDSNGLEILWEHQDLIEQLKMELKKVRATGLPTILEEDESPKIMEDLKPWKIDEKFQHEDRMDELHKFYKSYRERMRKFDILNYQKMYAMGFLQSKDPLKSISRRKASGPALTSLVSQKFLLGKRKKSNSDPMTSFIRELHSDLEMVYVGQMCLSWEILHWQYQKALEIWDLDPYGMRRYNEVAGEFQQFQVLMQRFLENEPFEGPRVQNYVKNRCILRNLLQVPVIREDCIKDKKARRRMKDDDAITSDNLVEMIEESIRIFWRFLRADKDAYYATPKSRRGTQIEPQDPTELELVTEVRASLQKKEKKLKDILRSGNCILRKFQKRHEDSSSEQVLYFFSQVDMKLVSRVLNMSKLTTDKLIWCRNKLNNINFVSRKIHVETSFLLFPC >Manes.11G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2462112:2465105:1 gene:Manes.11G024500.v8.1 transcript:Manes.11G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRSPDLKSKNGETLRTISFKKRDLNNVDESDGYTNDLLIQESLSFKKMKSTATNVQTTFSFKNLNLDIKGNENKLYAAVSSVEPAYAPSPRPATELDAAATKLQKAYKSYRTRRNLADCAVVVEELWWKALDFATLRRSSISFFDSDRSETAVSRWARARTRVAKFAKGLSKDEKAQQLALRHWLEAIDPRHRYGHNLHLYYNVWFGSESSQPFFYWLDVGDGKELNIETCPRTKLQRQCIKYLGPKEREAYEVIVVDGRLVYKQSGKPVETVEGTKWIFVLSASRTMYVGKKEKGLFQHSSFLAGGAAIAAGRLIAHDGILEAVWSYSGHYRPSEENFLEFIDFLDEHNVNLTDVKKCPMDDDIPPTKEEDQKHKVESMKGTLQIEDVSAKGETNKVNANSNSSKEANVDTKERNEDNKESPIANSPSAVFDLHKPLSCKWSTGAGPRIGCVREYPACLQLQALEHVNLSPRPRFVAGSLATCGPIPSPRPSPKVHLSPRLPYLGLTSPRVQAPTTK >Manes.05G088100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7989642:7993966:-1 gene:Manes.05G088100.v8.1 transcript:Manes.05G088100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLVFCFIVFFLLASNHVSADRILDDGYKVTTVIDGHKLKLNPHMVLPRPGSSDLIVLDYSGSVFYTVSLPISQNSDFKQFSGDGVIGFSDGAAGSARFNKPKSFTVDLKGNIYVADRNNGAIRMISNSGVTTIAGGYSEGTGHQDGPAQNATFSNDFEVSFVPEICVLLISDHGNQLVRQIDLKPENCARGSQSAFGGVSIWVLALGLVFSCVLGMVMGFVMHPHIRSYTGRLQSPSLRQDMEALPNQSGETNTDVLLRHQKRSC >Manes.05G088100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7989662:7993940:-1 gene:Manes.05G088100.v8.1 transcript:Manes.05G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLVFCFIVFFLLASNHVSADRILDDGYKVTTVIDGHKLKLNPHMVLPRPGSSDLIVLDYSGSVFYTVSLPISQNSDFKQFSGDGVIGFSDGAAGSARFNKPKSFTVDLKGNIYVADRNNGAIRMISNSGVTTIAGGYSEGTGHQDGPAQNATFSNDFEVSFVPEICVLLISDHGNQLVRQIDLKPENCARGSQSAFGGVSIWVLALGLVFSCVLGMVMGFVMHPHIRSYEGSSPLHCGKTWKLCLINLVKPILMFYSDIRSVVASSRLYGLLRRFICLNLSHLSLLFSINAVGSHASSRRTTYQTSSKGFVSLLDSDVNNFEKSQIPPDELEDLVSLNGPLKLSNSSNEVSKQGDQDDVLLDGRGRIDTMIQANIMEFAKAAEETSPLNGSLVVREGLVKRK >Manes.05G081000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6649912:6653520:1 gene:Manes.05G081000.v8.1 transcript:Manes.05G081000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATVTLSITLSAMLLAMASLSSEVVKPVLAEQLPVFEAGDISTKRISVDTSPKPLFIISPTVEGNYPVFLFFPGTCSSNSAYSRLFNHIASHGYIVVAPQLYTCPDIIPTTSENDELNHAAETANWLLSGLDAALPDNVKGNLQQLAVSGHSRGGKAAFALALGYSNVPLQVPISILVGVDPVAGWSKGCRCNPKILTYVPNSFNLSIPVTVIGSGLGDQKFFNIIHPCAPSGVNHKEFYSESKPPIGYFVATEYGHMDILDDHERIFNFCNGNNHRDPLRRTVGGIIVAFLNGYFRFETKDYLTIANDPTVAPVDLNPGFFINETQESLVKLGDSYSFKFDLFCILDWVPGCHSNIVYM >Manes.03G089800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19792663:19796096:-1 gene:Manes.03G089800.v8.1 transcript:Manes.03G089800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRPGTASPIQRTFVGVDFFSVFQEVYLKTNDPRVSNIVRFSDAIGELKVEAAAAIENGKRIIFRFDRAAFSFNFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQKKTEPRQRLLAAISTETQVREAIDEFVSLNKNTAKDEIELLDGEWQMIWSSQTETDSWIENAANGLMGKQIVKNNGQLKFVVDILLGLRFSMTGTFEKSGTNKYNVIMDDAAIIAGPYGYPLEMETKINLELLYTDEKIRISKGYNGIVFVHVRTDGTKQE >Manes.03G089800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19791362:19801148:-1 gene:Manes.03G089800.v8.1 transcript:Manes.03G089800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLNLSVTLSLGLNPFPKFNSKKLHAYGCRRGLHVSRSSLVEEQQQSISFSKPETHLIDALIGIQGRGKSASPQQLQEVESAVKALEGLEGVPEPTSSDLIEGCWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVYLKTNDPRVSNIVRFSDAIGELKVEAAAAIENGKRIIFRFDRAAFSFNFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQKKTEPRQRLLAAISTETQVREAIDEFVSLNKNTAKDEIELLDGEWQMIWSSQTETDSWIENAANGLMGKQIVKNNGQLKFVVDILLGLRFSMTGTFEKSGTNKYNVIMDDAAIIAGPYGYPLEMETKINLELLYTDEKIRISKGYNGIVFVHVRTDGTKQE >Manes.03G089800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19791362:19801082:-1 gene:Manes.03G089800.v8.1 transcript:Manes.03G089800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLNLSVTLSLGLNPFPKFNSKKLHAYGCRRGLHVSRSSLVEEQQQSISFSKPETHLIDALIGIQGRGKSASPQQLQEVESAVKALEGLEGVPEPTSSDLIEGCWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVYLKTNDPRVSNIVRFSDAIGELKVEAAAAIENGKRIIFRFDRAAFSFNFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQKKTEPRQRLLAAISTETQVREAIDEFVSLNKNTAKDEIELLDGEWQMIWSSQTETDSWIENAANGLMGKQIVKNNGQLKFVVDILLGLRFSMTGTFEKSGTNKYNVIMDDAAIIAGPYGYPLEMETKINLELLYTDEKIRISKGYNGIVFVHVRTDGTKQE >Manes.08G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35144218:35147338:1 gene:Manes.08G112200.v8.1 transcript:Manes.08G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDSYDKERFAESKKELDALLSDDALANVPFLILGNKIDIPYAASEDELRHHLGLTNFTTGKGKVNLGDSNVRPMEVFMCSIVRKMGYGDGFKWLSQYIN >Manes.01G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6591611:6599500:1 gene:Manes.01G034000.v8.1 transcript:Manes.01G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTPSICNLGILDAISDDSIHEILEAYAGFCAATIALLNVAGDHSVKPEFVSHVHSLCKHGLYSLVRDLFLKSLEETFEKKGSSKFWQHFDAYDNVANLEKIETPIFYQELQHVLGRALEEISSEKKYQEKCLLVLVHALQSYKECSSEEKYNPNDQRSYLFSKYQLMVSSVLMASIPRHFPEILHWYFKGRLEELSIIMDGEFNGDGDSPDKDDMDLDEKSKLSSRNGEMDIDGCYLQGKFTENNKLVRNIGKVVRDLRNLGFTSMTEDAYASAIFLLLKAKVHDLAGDDYRASVLEPIKGWIQAVPLQFLHALLSFLGDSVCCDSPFPGIKSPLASLSSSSNSPSEGLIRWQLRLEYFAYETLQDLRIAKLFEIIVDYPDSSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGTGGNPNGSGITGDSLLEELNRDEESQENAGADDDFHTDDKQAWINAVRWEPDPVEADPSKGSRTQRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQRCEIMLNDLIDSKRTNHNIKATIKSTQTGPVQQETGVSLDILDATIISTNFWPPIQDEALNVPEPVEKLLADYANRFHEIKTPRKLLWKKNLGTVKLELQFEDRAMQLTVSPVHAAIIMQFQDQTSWTAGRLASAIGLPVDVLNRRINFWISKGILAESIGADANDHVFTLVEGMVDAGKNGGNSGNGEELLVGDEEGERSVASVEDQIRKEMTVYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >Manes.06G012351.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1915894:1921646:-1 gene:Manes.06G012351.v8.1 transcript:Manes.06G012351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSSPSLSSHRINQAFVHLHSSHQTGMRCNFKLYMVPAQAIRHYCTLRTSTSVASLNVDVACEGNSSAASSPSDLRRSKTLLQSPSNASDGMNDLERQLQELYDEVKAMILMGNKDDAVDLLQANYGVVKKQINAGSRGIEEAATLDVIALGYMAIGDLESVGPILNLMNKVVESLKDDEPLLDSVLIHMGSMYSALGKFESSMVAYKRAIGVLESKHGKSSIFLVSPLLGMAKILGSIGRVKKAIEVYHRSIAILESSKGVESEDLVVPLFGLGNLLMKEGRTTDSEIHFNRILSIYRKLYGENDGRVGMALCSLAHAKCSTGNVEEAIDLYRKGLQLIKDTNYIALDDSIMEKMRIDLAELLHVVGRGKEGRELLEECLLISERSKGKDHPSSVSHLINLATSYSQSKNFVEAERLLRISLELMAKTVSPEDQSITFPMLHLAVTLYHLNRDEEAEQLALKVLRIREKAFGKDSLPVGEALDCLISIQTRLRRGDAELLELLERVLKIQEKENPWSEEVMQTLKKIVYYLDKLGRKDEKFSIQKRLSVLRMKYKQRVQY >Manes.10G050600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5614863:5618679:-1 gene:Manes.10G050600.v8.1 transcript:Manes.10G050600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFVELTECMAEMIKYVANEPSVGLFYVQQHTQNAIPNVTNLKNNIIEKLCETILHTEDLEDSITMVKSVKDCGFPIADEMIRDIRTSLAMVSAKQPRRGLIPSPTSGFQLGRTSSWGPSTWDHNGVQQGSRRKVNYFSTVFNTARKRASNFKWPQLDPKDSTPAPVEKLLSYNNPSELVASASTSSSLPDMESDELPLSSQAADELQPEDEQAETNDAPGNVLSPQANYDDFKAVKEAKLEEWLGRANNSLDKLQEAK >Manes.10G050600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5614827:5618693:-1 gene:Manes.10G050600.v8.1 transcript:Manes.10G050600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSTVDGFVELTECMAEMIKYVANEPSVGLFYVQQHTQNAIPNVTNLKNNIIEKLCETILHTEDLEDSITMVKSVKDCGFPIADEMIRDIRTSLAMVSAKQPRRGLIPSPTSGFQLGRTSSWGPSTWDHNGVQQGSRRKVNYFSTVFNTARKRASNFKWPQLDPKDSTPAPVEKLLSYNNPSELVASASTSSSLPDMESDELPLSSQAADELQPEDEQAETNDAPGNVLSPQANYDDFKAVKEAKLEEWLGRANNSLDKLQEAK >Manes.16G094700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30116511:30117618:1 gene:Manes.16G094700.v8.1 transcript:Manes.16G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSLAIFQLAMRISHKELMPEKNIIKFPASEVETHNRRIIKGLYKALASNQTEIAAKLLVTSSELEWWFHGPPHCNHMMRILTGDRSRQIEFRFKPRSIKCIGDRVIVEGWKGLKVYWVHVWSFEDGIVTQFREYFNTWLTVIHRVSEDGDAIRLWQSEPRQRLNRSLPDLVLAM >Manes.03G137106.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26025679:26028790:-1 gene:Manes.03G137106.v8.1 transcript:Manes.03G137106.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTNQEEDKKPNDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEINSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGAIA >Manes.03G068066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9581834:9582587:1 gene:Manes.03G068066.v8.1 transcript:Manes.03G068066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFGSQKQIATLLQLIQSSLEKTRMRLKELCKKSKGLKEEIVASNVRGG >Manes.15G139500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11301332:11303543:-1 gene:Manes.15G139500.v8.1 transcript:Manes.15G139500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPKAKLGSTDKTIPLVGFGTAEFPFGASSETMKDSILHAIKLGYRHFDSAALYQSEQPLAEAISEALQLGLVKSRDELFITSKLWCSDAHHDCVLPALRKTLKNLKLDYLDLYLIHWPVSVKPGEYELPVKKNDLVPFDAKSVWEAMEECLKLGLTKSIGVSNFSCKKLETLLATANIPPAVNQVEMNPLWQQKKLRDFCGRKGIHVSVYSPLGGKGTLWGTNLVLDCKVLKEIADAKAKSIAQVCLRWAYEQEVSVLVKSFNKERMKENVDIFDWKLSQEELHKISQLPQRKGFPGLEFISHEGPYKTVEELWDEEIDLSSDNI >Manes.04G165900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35924296:35928422:1 gene:Manes.04G165900.v8.1 transcript:Manes.04G165900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQDNSDGPQRKLEKLLNLSGNKICADCGSPDPKWVSLSHGVFICIKCSGVHRSLGVHISKVLSIKLDEWTDEQVNNFIDLGGNTTANNKYEASIPEEYEKPKPDASIDERTEFIRRKYELLQFFGTDDQVTSARPQRSPSSSQDKRQYDKQATRHRIGNAFRNSWGRKDADYKNSKKNSSMAGMIEFIGLIKVNVVKGTNLAVRDVVTSDPYVILALGHQSVRTRVIKNNLNPVWNESLMLSIPEHIPPLKLIVYDKDTFTTDDFMGEAEIDIQPLVAAAKAYEASTITEPMQLGKWVASKDNTLVKDGIITLVDGRVKQDISLRLQNVERGVLEIELECVPLTQ >Manes.04G165900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35924677:35928422:1 gene:Manes.04G165900.v8.1 transcript:Manes.04G165900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQDNSDGTISSLQDFFFSDKHSWSKKDRPKNAGPQRKLEKLLNLSGNKICADCGSPDPKWVSLSHGVFICIKCSGVHRSLGVHISKVLSIKLDEWTDEQVNNFIDLGGNTTANNKYEASIPEEYEKPKPDASIDERTEFIRRKYELLQFFGTDDQVTSARPQRSPSSSQDKRQYDKQATRHRIGNAFRNSWGRKDADYKNSKKNSSMAGMIEFIGLIKVNVVKGTNLAVRDVVTSDPYVILALGHQSVRTRVIKNNLNPVWNESLMLSIPEHIPPLKLIVYDKDTFTTDDFMGEAEIDIQPLVAAAKAYEASTITEPMQLGKWVASKDNTLVKDGIITLVDGRVKQDISLRLQNVERGVLEIELECVPLTQ >Manes.04G165900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35924296:35928422:1 gene:Manes.04G165900.v8.1 transcript:Manes.04G165900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRQDNSDGTISSLQDFFFSDKHSWSKKDRPKNAGPQRKLEKLLNLSGNKICADCGSPDPKWVSLSHGVFICIKCSGVHRSLGVHISKVLSIKLDEWTDEQVNNFIDLGGNTTANNKYEASIPEEYEKPKPDASIDERTEFIRRKYELLQFFGTDDQVTSARPQRSPSSSQDKRQYDKQATRHRIGNAFRNSWGRKDADYKNSKKNSSMAGMIEFIGLIKVNVVKGTNLAVRDVVTSDPYVILALGHQSVRTRVIKNNLNPVWNESLMLSIPEHIPPLKLIVYDKDTFTTDDFMGEAEIDIQPLVAAAKAYEASTITEPMQLGKWVASKDNTLVKDGIITLVDGRVKQDISLRLQNVERGVLEIELECVPLTQ >Manes.15G172800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15225304:15230578:1 gene:Manes.15G172800.v8.1 transcript:Manes.15G172800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYISIRIFVIIVFVHPWKTTKNATPFYLSGNNDMDERQKSLILNSSSRFPPPQGVRLSYGTAGFRADALLLESTVFRAGILAALRSLKTHSVIGLMITASHNKVSDNGIKVADPSGGMLTQDWEPFADAIANAATPEHLVQLIDEFVKKENIHFHGVRSAEILLGRDTRPSGESLLEAAKQGINSIVGALAIDMGILTTPQLHWMVRARNKGMKATEVDYYEQLSVSFRCLIDLIPNGQKIHEIEDKLVMDGANGIGGEKMEVLKKMLDCLPIEVRNSGKGGGILNEGVGADYVQKEKVVPQGFGFKDVGIRCASLDGDADRLVYFSVPSCDSNMIDLVDGDKILSLFALFIKEQLSVLSMDGNEKISDDYRARFGVIQTAYANGASTDYLKQLGLEIVFTPTGVKYLHEQAAQYDIGIYFEANGHGTILFSECFLSWLETRCNELSSKNQDTEQHKAALRLLAVSKLINQAVGDALSNLLLVEAILQHMGWSIHKWVELYQDLPSRQLKVKVADRTAVVTANAETMVVTPPGIQDAINVEIAKYTRGRSFIRPSGTEDVIRVYAEASTQEAADSLASSVAKLVDQFLGFGSPR >Manes.15G172800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15225304:15230578:1 gene:Manes.15G172800.v8.1 transcript:Manes.15G172800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITASHNKVSDNGIKVADPSGGMLTQDWEPFADAIANAATPEHLVQLIDEFVKKENIHFHGVRSAEILLGRDTRPSGESLLEAAKQGINSIVGALAIDMGILTTPQLHWMVRARNKGMKATEVDYYEQLSVSFRCLIDLIPNGQKIHEIEDKLVMDGANGIGGEKMEVLKKMLDCLPIEVRNSGKGGGILNEGVGADYVQKEKVVPQGFGFKDVGIRCASLDGDADRLVYFSVPSCDSNMIDLVDGDKILSLFALFIKEQLSVLSMDGNEKISDDYRARFGVIQTAYANGASTDYLKQLGLEIVFTPTGVKYLHEQAAQYDIGIYFEANGHGTILFSECFLSWLETRCNELSSKNQDTEQHKAALRLLAVSKLINQAVGDALSNLLLVEAILQHMGWSIHKWVELYQDLPSRQLKVKVADRTAVVTANAETMVVTPPGIQDAINVEIAKYTRGRSFIRPSGTEDVIRVYAEASTQEAADSLASSVAKLVDQFLGFGSPR >Manes.15G172800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15225304:15230578:1 gene:Manes.15G172800.v8.1 transcript:Manes.15G172800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITASHNKVSDNGIKVADPSGGMLTQDWEPFADAIANAATPEHLVQLIDEFVKKENIHFHGVRSAEILLGRDTRPSGESLLEAAKQGINSIVGALAIDMGILTTPQLHWMVRARNKGMKATEVDYYEQLSVSFRCLIDLIPNGQKIHEIEDKLVMDGANGIGGEKMEVLKKMLDCLPIEVRNSGKGGGILNEGVGADYVQKEKVVPQGFGFKDVGIRCASLDGDADRLVYFSVPSCDSNMIDLVDGDKILSLFALFIKEQLSVLSMDGNEKISDDYRARFGVIQTAYANGASTDYLKQLGLEIVFTPTGVKYLHEQAAQYDIGIYFEANGHGTILFSECFLSWLETRCNELSSKNQDTEQHKAALRLLAVSKLINQAVGDALSNLLLVEAILQHMGWSIHKWVELYQDLPSRQLKVKVADRTAVVTANAETMVVTPPGIQDAINVEIGNNIMRPGVLDMAYCLSGFLHILLMHLLGFM >Manes.15G172800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15225304:15230578:1 gene:Manes.15G172800.v8.1 transcript:Manes.15G172800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYISIRIFVIIVFVHPWKTTKNATPFYLSGNNDMDERQKSLILNSSSRFPPPQGVRLSYGTAGFRADALLLESTVFRAGILAALRSLKTHSVIGLMITASHNKVSDNGIKVADPSGGMLTQDWEPFADAIANAATPEHLVQLIDEFVKKENIHFHGVRSAEILLGRDTRPSGESLLEAAKQGINSIVGALAIDMGILTTPQLHWMVRARNKGMKATEVDYYEQLSVSFRCLIDLIPNGQKIHEIEDKLVMDGANGIGGEKMEVLKKMLDCLPIEVRNSGKGGGILNEGVGADYVQKEKVVPQGFGFKDVGIRCASLDGDADRLVYFSVPSCDSNMIDLVDGDKILSLFALFIKEQLSVLSMDGNEKISDDYRARFGVIQTAYANGASTDYLKQLGLEIVFTPTGVKYLHEQAAQYDIGIYFEANGHGTILFSECFLSWLETRCNELSSKNQDTEQHKAALRLLAVSKLINQAVGDALSNLLLVEAILQHMGWSIHKWVELYQDLPSRQLKVKVADRTAVVTANAETMVVTPPGIQDAINVEIGNNIMRPGVLDMAYCLSGFLHILLMHLLGFM >Manes.09G067614.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10965381:10966108:1 gene:Manes.09G067614.v8.1 transcript:Manes.09G067614.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKPSPVALRLRTHLGTTTRFPISRANLRQKWINSHIPNNAGLRARLAILAYHRSYSLCSSISVCSTSLLSSFSLCTLFRPRFPHLRSPLSMTTQANSDPPQSSSSTKTVRAVIKGRVQGVFYRNWTVDNATQLGLKGWVRNRRDGSVEALFSGDADKVQEMEQRCRRGPPDAVVTGFQVFPCDDEPGSGFQRKPTV >Manes.09G067614.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10965248:10969242:1 gene:Manes.09G067614.v8.1 transcript:Manes.09G067614.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKPSPVALRLRTHLGTTTRFPISRANLRQKWINSHIPNNAGLRARLAILAYHRSYSLCSSISVCSTSLLSSFSLCTLFRPRFPHLRSPLSMTTQANSDPPQSSSSTKTVRAVIKGRVQGVFYRNWTVDNATQLGLKGWVRNRRDGSVEALFSGDADKVQEMEQRCRRGPPDAVVTGFQVFPCDDEPGSGFQRKPTV >Manes.09G067614.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10965214:10970274:1 gene:Manes.09G067614.v8.1 transcript:Manes.09G067614.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKPSPVALRLRTHLGTTTRFPISRANLRQKWINSHIPNNAGLRARLAILAYHRSYSLCSSISVCSTSLLSSFSLCTLFRPRFPHLRSPLSMTTQANSDPPQSSSSTKTVRAVIKGRVQGVFYRNWTVDNATQLGLKGWVRNRRDGSVEALFSGDADKVQEMEQRCRRGPPDAVVTGFQVFPCDDEPGSGFQRKPTV >Manes.07G131200.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33310376:33328046:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEDKPWWSFGNKNDPFNSGILYIKRKVGACSYVDDPVGRQSLLSRAMHKVFGLTSTRACSPIVSGKSGLSSVSVDQLVSTFSSDPSLIAFAQLCCDPSWNCRSDTDFQEFCLQVLYECISKDRPALLQVYLSLYTTVGSMTDQVTNGTFVSGDSLAISNLKVIFLFPSTLFYQ >Manes.07G131200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33310656:33328046:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEGWRMLL >Manes.07G131200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33307367:33328054:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEDKPWWSFGNKNDPFNSGILYIKRKVGACSYVDDPVGRQSLLSRAMHKVFGLTSTRACSPIVSGKSGLSSVSVDQLVSTFSSDPSLIAFAQLCCDPSWNCRSDTDFQEFCLQVLYECISKDRPALLQVYLSLYTTVGSMTDQVTNGTFVSGDSLAISNLKLALTYNEALLSGRLTTSRGGVVQSVFLGSLRKQVEELLTFSEALKNDLCNYLNSARWPSDEKQGEKNSVLLSWYLQWFGVPAPSVIRIALEKVKPKVSSSSSVPLLRLLFPTTHINAIGEMDKSLFSSWSH >Manes.07G131200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33307365:33328046:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEDKPWWSFGNKNDPFNSGILYIKRKVGACSYVDDPVGRQSLLSRAMHKVFGLTSTRACSPIVSGKSGLSSVSVDQLVSTFSSDPSLIAFAQLCCDPSWNCRSDTDFQEFCLQVLYECISKDRPALLQVYLSLYTTVGSMTDQVTNGTFVSGDSLAISNLKLALTYNEALLSGRLTTSRGGVVQSVFLGSLRKQVEELLTFSEALKNDLCNYLNSARWPSDEKQGEKNSVLLSWYLQWFGVPAPSVIRIALEKVKPKVSSSSSVPLLRLLFPTTHINAIGEMDKSLFSSWSH >Manes.07G131200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33307367:33328046:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEDKPWWSFGNKNDPFNSGILYIKRKVGACSYVDDPVGRQSLLSRAMHKVFGLTSTRACSPIVSGKSGLSSVSVDQLVSTFSSDPSLIAFAQLCCDPSWNCRSDTDFQEFCLQVLYECISKDRPALLQVYLSLYTTVGSMTDQVTNGTFVSGDSLAISNLKLALTYNEALLSGRLTTSRGGVVQSVFLGSLRKQVEELLTFSEALKNDLCNYLNSARWPSDEKQGEKNSVLLSWYLQWFGVPAPSVIRIALEKVKPKVSSSSSVPLLRLLFPTTHINAIGEMDKSLFSSWSH >Manes.07G131200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33307367:33328053:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEDKPWWSFGNKNDPFNSGILYIKRKVGACSYVDDPVGRQSLLSRAMHKVFGLTSTRACSPIVSGKSGLSSVSVDQLVSTFSSDPSLIAFAQLCCDPSWNCRSDTDFQEFCLQVLYECISKDRPALLQVYLSLYTTVGSMTDQVTNGTFVSGDSLAISNLKLALTYNEALLSGRLTTSRGGVVQSVFLGSLRKQVEELLTFSEALKNDLCNYLNSARWPSDEKQGEKNSVLLSWYLQWFGVPAPSVIRIALEKVKPKVSSSSSVPLLRLLFPTTHINAIGEMDKSLFSSWSH >Manes.07G131200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33307367:33328046:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEDKPWWSFGNKNDPFNSGILYIKRKVGACSYVDDPVGRQSLLSRAMHKVFGLTSTRACSPIVSGKSGLSSVSVDQLVSTFSSDPSLIAFAQLCCDPSWNCRSDTDFQEFCLQVLYECISKDRPALLQVYLSLYTTVGSMTDQVTNGTFVSGDSLAISNLKLALTYNEALLSGRLTTSRGGVVQSVFLGSLRKQVEELLTFSEALKNDLCNYLNSARWPSDEKQGEKNSVLLSWYLQWFGVPAPSVIRIALEKVKPKVSSSSSVPLLRLLFPTTHINAIGEMDKSLFSSWSH >Manes.07G131200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33307365:33328046:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEDKPWWSFGNKNDPFNSGILYIKRKVGACSYVDDPVGRQSLLSRAMHKVFGLTSTRACSPIVSGKSGLSSVSVDQLVSTFSSDPSLIAFAQLCCDPSWNCRSDTDFQEFCLQVLYECISKDRPALLQVYLSLYTTVGSMTDQVTNGTFVSGDSLAISNLKLALTYNEALLSGRLTTSRGGVVQSVFLGSLRKQVEELLTFSEALKNDLCNYLNSARWPSDEKQGEKNSVLLSWYLQWFGVPAPSVIRIALEKVKPKVSSSSSVPLLRLLFPTTHINAIGEMDKSLFSSWSH >Manes.07G131200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33310656:33328046:-1 gene:Manes.07G131200.v8.1 transcript:Manes.07G131200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLRELTVLGEFKPFGLIAEALDGKPADNVADKYDYFLFDPEIVRDRCETDDADASASALRDRCDHELFIRGNKIIWSTGSRVFKRFTSPSPVITACWCHVGDVSEAVLCILQSDSLTIYNISGEVSIPLPCTITSIWPLPFGLLLQPAAEGCSSAQSTFLSTSPLLGACDISRPRRESRHSPQQNMTFFRAFDHSIKSDIVTMSSHLILKDLLEEPQATYIEERGKLNIMKDFDERTIWTSDRIPLMASYNKGKMQHSVWVAEVINSNLEVASASVIDAIPADVLAKQFSFRRIWQGKGAQTAACKIFLATDDDSAPVICFLLQEQKKLLSVRLQSLEINNEIIFDVKPDMSWSLPAIAAAPVVVTRPRVRVGLLPYTDIIVLAPDNTILLYSGKQCLCKYSLPSCLGKGWISHNSEFSETVSTPHNIKILGLADAVEGRVNLITNNGQMFRCVLQRSPSSVLVNDCITAMAEGLSSSFYNHFLGVLWGDADSEYLSKVDSSVDAEWNSFCGLVLGMCGKSNEVSHKYSSSLMLSSWEFLLNSKFHMNYPKLNFTTRISSAMSLDVKDIDSSGPNTRCKQSSEASCFLQGILDSLHALYENLKLDNLRKRDLELLAVLLCNIAKFLGQESYLDHYIRDFPGLFKKIGICAKTCSQKTASSLFRWLEHCLQFGCSSANKNDLPPLIYKDGSSVMSRARKIVSFYSLLCGGKQIGKKLSSGVHCNVARGSYTNSEELTVLAMAGERFGLQQLDSLPSGVSLPLRHVLDKCRESPPTDWSAAAYVLLGREDLALSHLTHTHKSKELETQSNVNLISMSSPYMLHLHPVTIPSSVSDTTGLESSKFEDTDSAEGPMMDGMEHILNSSTQLRYGRDLRLNEVRRLLCSARPVAIQTSVNPSTSDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWPEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNVIHAGLLLALGLHGYLRVLIITDIYTYFTQEHESTTVGLMLGLAASYRGTMQPAISKTLYVHIPARHSSSFPELELPTLLQSAALVSLGLLYEGSVHPQTMQILLGEMGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDALGFMDSLVDRLFHYIGGKEIHNERPLFLTPSTDEHNRGIGQMMDGTAVNVDVTAPGAIIALSLMFLKTESEAIVSRLSIPQTYFDLQYVRPDFIMLRVIARNLIMWSRVHPSKDWILSQISEIVKNGLEGLRDDISDIDEMDAETFVQAYVNIVAGACISLGLKFAGTKDGNVQELLYEYAVYFLNEIKPVSTTGGNTFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRNRNSADGHANYGIQMAISLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLAMEARWIQTVDVDSGLPVYAPLEVTVRETEHYAETSYCEVTPCILPERAVLKSVRVCGPRYWPQVMELVPEDKPWWSFGNKNDPFNSGILYIKRKVGACSYVDDPVGRQSLLSRAMHKVFGLTSTRACSPIVSGKSGLSSVSVDQLVSTFSSDPSLIAFAQLCCDPSWNCR >Manes.14G049500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4279835:4285207:-1 gene:Manes.14G049500.v8.1 transcript:Manes.14G049500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELTEQETALYDRQIRVWGADAQRRLSKSHILVYGMKGTVAEFCKNIVLAGVGSLTLMDDRAVTEDALSSNFLILPDENLCAEKTLAELCCNSLKEFNPMVRVSVEKGDLSSFGGDFFDKFDVVIVSCCTLATKKLINEKCRKLSKRVAFYAVDCRDSCGEIFVDLQKYIYTKKKLDENNQPTTVECELQYPSFQESISVPWRTLPRRVSKLYFAMRVIERFEESEGRNPGEISIEDLPAVLKLKKEICEAQSLNESHIPNALLERLVMGRREFPPVCAIVGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGVIEDISSPNL >Manes.10G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30308653:30312254:1 gene:Manes.10G135600.v8.1 transcript:Manes.10G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNSLSLLASFLIILSNFLVEIHGNKQSEALGKLYNAKFRGNSGIDVSLFQGIHHVNESRIHPQDGLKENDRIQNLPGQPHVRFSQYGGYITVDKSDGRAFYYYFAEADHPSKSKESLPLLLWLNGGPGCSSLGYGAFQELGPFRVYSDGKTLYRNRFSWNYAANVLFLESPAGVGFSYSNVTADYGKNGDKKTAEDNYVFLLNWLERFPEYKGRDFYISGESYAGHYVPQLAHTILSHNKIANKTLINLKGILIGNAVINDETDTLGMYDYFGSHALISYEAIQKIRESCDFSPNTTTQSEACNSVDGQINKALSYINIYSIYAPSCFSTNTTAKPKRASLVDFDPCTDYYVYAYLNRPEVQEAMHANVTKLDHDWEPCSDVITKWSDSPATVIPLLKEFMANGLRVWIFSGDTDGRVPITSTEYSVATMKLDIKTEWHPWYLKGEVGGYTQVYKGDLTLATVRGAGHQVPSYQPLRALSLIKHFLDGTSLPDTTRYN >Manes.16G060500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18678163:18702335:-1 gene:Manes.16G060500.v8.1 transcript:Manes.16G060500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGSTTSKMINRNWVLKRKRKKLLYGRVLANGKEEKLAALESPRSTSAAKRRAKSELSSDLSSSKKKGNDGYYYECVICDLGGNLLCCDSCPSVYHLQCLDPPLKRIPMGKWQCPKCSQKNDPLKSITQLDSISKRARTKVVTANSKAGIKSSDTQKVSQIFGSSILSKRRSSSKGKDVLTFGVKSCEKEADSSLDESTSTKPSDPFLVCVEGTSSCVNADDAKESAVSPPASPADKKSTSHAEETLSHSKLTKSGPNDEASDEKHDFSCYNGSPRSKIVLAIGAASKKDRKRKHELNGDSIKKHRTDRGRRTSKKWESKANNTSSGTSKLHQKRKTGTHRVSESLSENDVGTKSLDAQGKNEKLPEELVHPSVESGKTGGVMDGTRICEDVILEVQQVDRVLGCRIEDDNSSSSRNISLIATDDLPSKELLIPETQNRGENSNCDIDSDVVVAENLVGGSPGIKQRFDRRESRKNDTRVDKINVYKRSANKDCKGGNVIDLAGKDDKDSGSKGPSDKDQDESTECTEDFAQQHEKVLTENVDVSTKSQDMIEFSKDCEPHLSPETNVREEADMEMKMSGGDEKNVQEPAKIEPACSNNGETTSYEFLVKWVGKSHIHNAWISESRLKVLAKRKLENYKGKYGTAVINICEEKWKQPQRIISLRTSRDGTREAFVKWTGLPYDECTWERVDEPVLSKSPHMIDMFDQLERQTLEKDAMGNDLTKGRGDSHQNEIVTLTEQPKELKGGTLFPHQLEALNWLRKCWNKSKNVILADEMGLGKTVSACAFISSLYFEFKASLPCLVLVPLSTMPNWLAEFALWAPNLNVVEYHGCAKARAIIRQYEWHANDPNKMNKKTASYKFNVLLTTYEMVLADSSYLRGVPWEVLVVDEGHRLKNAESKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKALYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVCDRQAAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFGDSSSMIGKDITESNSNKDDAVIDIEQKQRKRGGGLGDVYKDKCTDGGNNIVWDENAIAKLLDRSNLQSGIADVAEMDFENDMLGSVKSVEWNDETAEDQGGVESPPVVAEDICGQNSDRKEDNAVTTAEENEWDRLLRSRWMKYQNEEEAALGRGKRQRKAVSYREAYAPHPSETLSEQSGGEEEREPEPEREYTPAGRALKMKYARLRARQKERLAQRNAIEECCPSEGLPLPDLLPQPQFPPTNERDKDHAKGLFQAVGEKSSVFEVEDNKFPPPSDTPKSKADSTLRLGRVSKHKMSSHLDLSVNSHDYPSPDINIPSQQNQGMGHANYNLLPVLGLCAPNANLFDSSHRKSSRSNSRQSKPANGPEFPFILPPSSRTSIETDLKRQEINASAEVLQKHLKSSLSDGWLPFSPCPPTVPQGRTSDGFESSSFTEFQEKMSIPNLPFDEKLLPRVSVPAKSMPISYPDLLPSLSLGGRLEAVNDSMRDLPAMPLLPGLKFPSQDVPIYNQLDKEVLPVLGLGQMPTNLPPFPENHRKVLENIMIRTGSGSSNFYRKKLRTDGWSEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKYKTTEDLAARWEEEQLKILDAPPFSGPKASKLAQSSRSCLFPSVPEGMMARALNGSRLVTPPKFHSHLTDMKLGFGDPSSSLPHFEPSDQLSLQNEHFGPIPSWNLDKFRTNFAGDSTAGPSSNFSSEMPFLLNSFGASNLGSLGLNGCSSFDLHREEENGNMKYGKLPCLLDRSLTILRDSQNNIGNGESSSALFPDHNCGIYISHSKGKEVVGSSSSKNKLPHWLREAVHAPAKLPEPELPPTVSAIAQSVRVLYGESKPNIPPFVIPGPPPTQPKDPRRTLKKKKKRRSHMFRQFPQDIAGSMQNFKSSIPGCIVASSSAQPVPTFQLPPSLIPGTSGHAWNESDPNFPNKHMLHSLTSSSFLNLSKKTSMGLSPSSEVLQLVASCVAPGPHLPSTSGLTSSSFLDSKLPLPKSVNHVECLNSGGAVQKNMDMQSLPPDAQVMLPENKTNQPDSGDSSKTQTEQPDVEVISSEGTVSDHPVSEHEP >Manes.16G060500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18678163:18702335:-1 gene:Manes.16G060500.v8.1 transcript:Manes.16G060500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGSTTSKMINRNWVLKRKRKKLLYGRVLANGKEEKLAALESPRSTSAAKRRAKSELSSDLSSSKKKGNDGYYYECVICDLGGNLLCCDSCPSVYHLQCLDPPLKRIPMGKWQCPKCSQKNDPLKSITQLDSISKRARTKVVTANSKAGIKSSDTQKVSQIFGSSILSKRRSSSKGKDVLTFGVKSCEKEADSSLDESTSTKPSDPFLVCVEGTSSCVNADDAKESAVSPPASPADKKSTSHAEETLSHSKLTKSGPNDEASDEKHDFSCYNGSPRSKIVLAIGAASKKDRKRKHELNGDSIKKHRTDRGRRTSKKWESKANNTSSGTSKLHQKRKTGTHRVSESLSENDVGTKSLDAQGKNELPEELVHPSVESGKTGGVMDGTRICEDVILEVQQVDRVLGCRIEDDNSSSSRNISLIATDDLPSKELLIPETQNRGENSNCDIDSDVVVAENLVGGSPGIKQRFDRRESRKNDTRVDKINVYKRSANKDCKGGNVIDLAGKDDKDSGSKGPSDKDQDESTECTEDFAQQHEKVLTENVDVSTKSQDMIEFSKDCEPHLSPETNVREEADMEMKMSGGDEKNVQEPAKIEPACSNNGETTSYEFLVKWVGKSHIHNAWISESRLKVLAKRKLENYKGKYGTAVINICEEKWKQPQRIISLRTSRDGTREAFVKWTGLPYDECTWERVDEPVLSKSPHMIDMFDQLERQTLEKDAMGNDLTKGRGDSHQNEIVTLTEQPKELKGGTLFPHQLEALNWLRKCWNKSKNVILADEMGLGKTVSACAFISSLYFEFKASLPCLVLVPLSTMPNWLAEFALWAPNLNVVEYHGCAKARAIIRQYEWHANDPNKMNKKTASYKFNVLLTTYEMVLADSSYLRGVPWEVLVVDEGHRLKNAESKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKALYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVCDRQAAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFGDSSSMIGKDITESNSNKDDAVIDIEQKQRKRGGGLGDVYKDKCTDGGNNIVWDENAIAKLLDRSNLQSGIADVAEMDFENDMLGSVKSVEWNDETAEDQGGVESPPVVAEDICGQNSDRKEDNAVTTAEENEWDRLLRSRWMKYQNEEEAALGRGKRQRKAVSYREAYAPHPSETLSEQSGGEEEREPEPEREYTPAGRALKMKYARLRARQKERLAQRNAIEECCPSEGLPLPDLLPQPQFPPTNERDKDHAKGLFQAVGEKSSVFEVEDNKFPPPSDTPKSKADSTLRLGRVSKHKMSSHLDLSVNSHDYPSPDINIPSQQNQGMGHANYNLLPVLGLCAPNANLFDSSHRKSSRSNSRQSKPANGPEFPFILPPSSRTSIETDLKRQEINASAEVLQKHLKSSLSDGWLPFSPCPPTVPQGRTSDGFESSSFTEFQEKMSIPNLPFDEKLLPRVSVPAKSMPISYPDLLPSLSLGGRLEAVNDSMRDLPAMPLLPGLKFPSQDVPIYNQLDKEVLPVLGLGQMPTNLPPFPENHRKVLENIMIRTGSGSSNFYRKKLRTDGWSEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKYKTTEDLAARWEEEQLKILDAPPFSGPKASKLAQSSRSCLFPSVPEGMMARALNGSRLVTPPKFHSHLTDMKLGFGDPSSSLPHFEPSDQLSLQNEHFGPIPSWNLDKFRTNFAGDSTAGPSSNFSSEMPFLLNSFGASNLGSLGLNGCSSFDLHREEENGNMKYGKLPCLLDRSLTILRDSQNNIGNGESSSALFPDHNCGIYISHSKGKEVVGSSSSKNKLPHWLREAVHAPAKLPEPELPPTVSAIAQSVRVLYGESKPNIPPFVIPGPPPTQPKDPRRTLKKKKKRRSHMFRQFPQDIAGSMQNFKSSIPGCIVASSSAQPVPTFQLPPSLIPGTSGHAWNESDPNFPNKHMLHSLTSSSFLNLSKKTSMGLSPSSEVLQLVASCVAPGPHLPSTSGLTSSSFLDSKLPLPKSVNHVECLNSGGAVQKNMDMQSLPPDAQVMLPENKTNQPDSGDSSKTQTEQPDVEVISSEGTVSDHPVSEHEP >Manes.16G060500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18678056:18702402:-1 gene:Manes.16G060500.v8.1 transcript:Manes.16G060500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGSTTSKMINRNWVLKRKRKKLLYGRVLANGKEEKLAALESPRSTSAAKRRAKSELSSDLSSSKKKGNDGYYYECVICDLGGNLLCCDSCPSVYHLQCLDPPLKRIPMGKWQCPKCSQKNDPLKSITQLDSISKRARTKVVTANSKAGIKSSDTQKVSQIFGSSILSKRRSSSKGKDVLTFGVKSCEKEADSSLDESTSTKPSDPFLVCVEGTSSCVNADDAKESAVSPPASPADKKSTSHAEETLSHSKLTKSGPNDEASDEKHDFSCYNGSPRSKIVLAIGAASKKDRKRKHELNGDSIKKHRTDRGRRTSKKWESKANNTSSGTSKLHQKRKTGTHRVSESLSENDVGTKSLDAQGKNEKLPEELVHPSVESGKTGGVMDGTRICEDVILEVQQVDRVLGCRIEDDNSSSSRNISLIATDDLPSKELLIPETQNRGENSNCDIDSDVVVAENLVGGSPGIKQRFDRRESRKNDTRVDKINVYKRSANKDCKGGNVIDLAGKDDKDSGSKGPSDKDQDESTECTEDFAQQHEKVLTENVDVSTKSQDMIEFSKDCEPHLSPETNVREEADMEMKMSGGDEKNVQEPAKIEPACSNNGETTSYEFLVKWVGKSHIHNAWISESRLKVLAKRKLENYKGKYGTAVINICEEKWKQPQRIISLRTSRDGTREAFVKWTGLPYDECTWERVDEPVLSKSPHMIDMFDQLERQTLEKDAMGNDLTKGRGDSHQNEIVTLTEQPKELKGGTLFPHQLEALNWLRKCWNKSKNVILADEMGLGKTVSACAFISSLYFEFKASLPCLVLVPLSTMPNWLAEFALWAPNLNVVEYHGCAKARAIIRQYEWHANDPNKMNKKTASYKFNVLLTTYEMVLADSSYLRGVPWEVLVVDEGHRLKNAESKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKALYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVCDRQAAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFGDSSSMIGKDITESNSNKDDAVIDIEQKQRKRGGGLGDVYKDKCTDGGNNIVWDENAIAKLLDRSNLQSGIADVAEMDFENDMLGSVKSVEWNDETAEDQGGVESPPVVAEDICGQNSDRKEDNAVTTAEENEWDRLLRSRWMKYQNEEEAALGRGKRQRKAVSYREAYAPHPSETLSESGGEEEREPEPEREYTPAGRALKMKYARLRARQKERLAQRNAIEECCPSEGLPLPDLLPQPQFPPTNERDKDHAKGLFQAVGEKSSVFEVEDNKFPPPSDTPKSKADSTLRLGRVSKHKMSSHLDLSVNSHDYPSPDINIPSQQNQGMGHANYNLLPVLGLCAPNANLFDSSHRKSSRSNSRQSKPANGPEFPFILPPSSRTSIETDLKRQEINASAEVLQKHLKSSLSDGWLPFSPCPPTVPQGRTSDGFESSSFTEFQEKMSIPNLPFDEKLLPRVSVPAKSMPISYPDLLPSLSLGGRLEAVNDSMRDLPAMPLLPGLKFPSQDVPIYNQLDKEVLPVLGLGQMPTNLPPFPENHRKVLENIMIRTGSGSSNFYRKKLRTDGWSEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKYKTTEDLAARWEEEQLKILDAPPFSGPKASKLAQSSRSCLFPSVPEGMMARALNGSRLVTPPKFHSHLTDMKLGFGDPSSSLPHFEPSDQLSLQNEHFGPIPSWNLDKFRTNFAGDSTAGPSSNFSSEMPFLLNSFGASNLGSLGLNGCSSFDLHREEENGNMKYGKLPCLLDRSLTILRDSQNNIGNGESSSALFPDHNCGIYISHSKGKEVVGSSSSKNKLPHWLREAVHAPAKLPEPELPPTVSAIAQSVRVLYGESKPNIPPFVIPGPPPTQPKDPRRTLKKKKKRRSHMFRQFPQDIAGSMQNFKSSIPGCIVASSSAQPVPTFQLPPSLIPGTSGHAWNESDPNFPNKHMLHSLTSSSFLNLSKKTSMGLSPSSEVLQLVASCVAPGPHLPSTSGLTSSSFLDSKLPLPKSVNHVECLNSGGAVQKNMDMQSLPPDAQVMLPENKTNQPDSGDSSKTQTEQPDVEVISSEGTVSDHPVSEHEP >Manes.16G060500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18678056:18702402:-1 gene:Manes.16G060500.v8.1 transcript:Manes.16G060500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGSTTSKMINRNWVLKRKRKKLLYGRVLANGKEEKLAALESPRSTSAAKRRAKSELSSDLSSSKKKGNDGYYYECVICDLGGNLLCCDSCPSVYHLQCLDPPLKRIPMGKWQCPKCSQKNDPLKSITQLDSISKRARTKVVTANSKAGIKSSDTQKVSQIFGSSILSKRRSSSKGKDVLTFGVKSCEKEADSSLDESTSTKPSDPFLVCVEGTSSCVNADDAKESAVSPPASPADKKSTSHAEETLSHSKLTKSGPNDEASDEKHDFSCYNGSPRSKIVLAIGAASKKDRKRKHELNGDSIKKHRTDRGRRTSKKWESKANNTSSGTSKLHQKRKTGTHRVSESLSENDVGTKSLDAQGKNEKLPEELVHPSVESGKTGGVMDGTRICEDVILEVQQVDRVLGCRIEDDNSSSSRNISLIATDDLPSKELLIPETQNRGENSNCDIDSDVVVAENLVGGSPGIKQRFDRRESRKNDTRVDKINVYKRSANKDCKGGNVIDLAGKDDKDSGSKGPSDKDQDESTECTEDFAQQHEKVLTENVDVSTKSQDMIEFSKDCEPHLSPETNVREEADMEMKMSGGDEKNVQEPAKIEPACSNNGETTSYEFLVKWVGKSHIHNAWISESRLKVLAKRKLENYKGKYGTAVINICEEKWKQPQRIISLRTSRDGTREAFVKWTGLPYDECTWERVDEPVLSKSPHMIDMFDQLERQTLEKDAMGNDLTKGRGDSHQNEIVTLTEQPKELKGGTLFPHQLEALNWLRKCWNKSKNVILADEMGLGKTVSACAFISSLYFEFKASLPCLVLVPLSTMPNWLAEFALWAPNLNVVEYHGCAKARAIIRQYEWHANDPNKMNKKTASYKFNVLLTTYEMVLADSSYLRGVPWEVLVVDEGHRLKNAESKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKALYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVCDRQAAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFGDSSSMIGKDITESNSNKDDAVIDIEQKQRKRGGGLGDVYKDKCTDGGNNIVWDENAIAKLLDRSNLQSGIADVAEMDFENDMLGSVKSVEWNDETAEDQGGVESPPVVAEDICGQNSDRKEDNAVTTAEENEWDRLLRSRWMKYQNEEEAALGRGKRQRKAVSYREAYAPHPSETLSESGGEEEREPEPEREYTPAGRALKMKYARLRARQKERLAQRNAIEECCPSEGLPLPDLLPQPQFPPTNERDKDHAKGLFQAVGEKSSVFEVEDNKFPPPSDTPKSKADSTLRLGRVSKHKMSSHLDLSVNSHDYPSPDINIPSQQNQGMGHANYNLLPVLGLCAPNANLFDSSHRKSSRSNSRQSKPANGPEFPFILPPSSRTSIETDLKRQEINASAEVLQKHLKSSLSDGWLPFSPCPPTVPQGRTSDGFESSSFTEFQEKMSIPNLPFDEKLLPRVSVPAKSMPISYPDLLPSLSLGGRLEAVNDSMRDLPAMPLLPGLKFPSQDVPIYNQLDKEVLPVLGLGQMPTNLPPFPENHRKVLENIMIRTGSGSSNFYRKKLRTDGWSEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKYKTTEDLAARWEEEQLKILDAPPFSGPKASKLAQSSRSCLFPSVPEGMMARALNGSRLVTPPKFHSHLTDMKLGFGDPSSSLPHFEPSDQLSLQNEHFGPIPSWNLDKFRTNFAGDSTAGPSSNFSSEMPFLLNSFGASNLGSLGLNGCSSFDLHREEENGNMKYGKLPCLLDRSLTILRDSQNNIGNGESSSALFPDHNCGIYISHSKGKEVVGSSSSKNKLPHWLREAVHAPAKLPEPELPPTVSAIAQSVRVLYGESKPNIPPFVIPGPPPTQPKDPRRTLKKKKKRRSHMFRQFPQDIAGSMQNFKSSIPGCIVASSSAQPVPTFQLPPSLIPGTSGHAWNESDPNFPNKHMLHSLTSSSFLNLSKKTSMGLSPSSEVLQLVASCVAPGPHLPSTSGLTSSSFLDSKLPLPKSVNHVECLNSGGAVQKNMDMQSLPPDAQVMLPENKTNQPDSGDSSKTQTEQPDVEVISSEGTVSDHPVSEHEP >Manes.16G060500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18678056:18702402:-1 gene:Manes.16G060500.v8.1 transcript:Manes.16G060500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGSTTSKMINRNWVLKRKRKKLLYGRVLANGKEEKLAALESPRSTSAAKRRAKSELSSDLSSSKKKGNDGYYYECVICDLGGNLLCCDSCPSVYHLQCLDPPLKRIPMGKWQCPKCSQKNDPLKSITQLDSISKRARTKVVTANSKAGIKSSDTQKVSQIFGSSILSKRRSSSKGKDVLTFGVKSCEKEADSSLDESTSTKPSDPFLVCVEGTSSCVNADDAKESAVSPPASPADKKSTSHAEETLSHSKLTKSGPNDEASDEKHDFSCYNGSPRSKIVLAIGAASKKDRKRKHELNGDSIKKHRTDRGRRTSKKWESKANNTSSGTSKLHQKRKTGTHRVSESLSENDVGTKSLDAQGKNELPEELVHPSVESGKTGGVMDGTRICEDVILEVQQVDRVLGCRIEDDNSSSSRNISLIATDDLPSKELLIPETQNRGENSNCDIDSDVVVAENLVGGSPGIKQRFDRRESRKNDTRVDKINVYKRSANKDCKGGNVIDLAGKDDKDSGSKGPSDKDQDESTECTEDFAQQHEKVLTENVDVSTKSQDMIEFSKDCEPHLSPETNVREEADMEMKMSGGDEKNVQEPAKIEPACSNNGETTSYEFLVKWVGKSHIHNAWISESRLKVLAKRKLENYKGKYGTAVINICEEKWKQPQRIISLRTSRDGTREAFVKWTGLPYDECTWERVDEPVLSKSPHMIDMFDQLERQTLEKDAMGNDLTKGRGDSHQNEIVTLTEQPKELKGGTLFPHQLEALNWLRKCWNKSKNVILADEMGLGKTVSACAFISSLYFEFKASLPCLVLVPLSTMPNWLAEFALWAPNLNVVEYHGCAKARAIIRQYEWHANDPNKMNKKTASYKFNVLLTTYEMVLADSSYLRGVPWEVLVVDEGHRLKNAESKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKALYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVCDRQAAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFGDSSSMIGKDITESNSNKDDAVIDIEQKQRKRGGGLGDVYKDKCTDGGNNIVWDENAIAKLLDRSNLQSGIADVAEMDFENDMLGSVKSVEWNDETAEDQGGVESPPVVAEDICGQNSDRKEDNAVTTAEENEWDRLLRSRWMKYQNEEEAALGRGKRQRKAVSYREAYAPHPSETLSESGGEEEREPEPEREYTPAGRALKMKYARLRARQKERLAQRNAIEECCPSEGLPLPDLLPQPQFPPTNERDKDHAKGLFQAVGEKSSVFEVEDNKFPPPSDTPKSKADSTLRLGRVSKHKMSSHLDLSVNSHDYPSPDINIPSQQNQGMGHANYNLLPVLGLCAPNANLFDSSHRKSSRSNSRQSKPANGPEFPFILPPSSRTSIETDLKRQEINASAEVLQKHLKSSLSDGWLPFSPCPPTVPQGRTSDGFESSSFTEFQEKMSIPNLPFDEKLLPRVSVPAKSMPISYPDLLPSLSLGGRLEAVNDSMRDLPAMPLLPGLKFPSQDVPIYNQLDKEVLPVLGLGQMPTNLPPFPENHRKVLENIMIRTGSGSSNFYRKKLRTDGWSEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKYKTTEDLAARWEEEQLKILDAPPFSGPKASKLAQSSRSCLFPSVPEGMMARALNGSRLVTPPKFHSHLTDMKLGFGDPSSSLPHFEPSDQLSLQNEHFGPIPSWNLDKFRTNFAGDSTAGPSSNFSSEMPFLLNSFGASNLGSLGLNGCSSFDLHREEENGNMKYGKLPCLLDRSLTILRDSQNNIGNGESSSALFPDHNCGIYISHSKGKEVVGSSSSKNKLPHWLREAVHAPAKLPEPELPPTVSAIAQSVRVLYGESKPNIPPFVIPGPPPTQPKDPRRTLKKKKKRRSHMFRQFPQDIAGSMQNFKSSIPGCIVASSSAQPVPTFQLPPSLIPGTSGHAWNESDPNFPNKHMLHSLTSSSFLNLSKKTSMGLSPSSEVLQLVASCVAPGPHLPSTSGLTSSSFLDSKLPLPKSVNHVECLNSGGAVQKNMDMQSLPPDAQVMLPENKTNQPDSGDSSKTQTEQPDVEVISSEGTVSDHPVSEHEP >Manes.16G060500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18678056:18702402:-1 gene:Manes.16G060500.v8.1 transcript:Manes.16G060500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSGSTTSKMINRNWVLKRKRKKLLYGRVLANGKEEKLAALESPRSTSAAKRRAKSELSSDLSSSKKKGNDGYYYECVICDLGGNLLCCDSCPSVYHLQCLDPPLKRIPMGKWQCPKCSQKNDPLKSITQLDSISKRARTKVVTANSKAGIKSSDTQKVSQIFGSSILSKRRSSSKGKDVLTFGVKSCEKEADSSLDESTSTKPSDPFLVCVEGTSSCVNADDAKESAVSPPASPADKKSTSHAEETLSHSKLTKSGPNDEASDEKHDFSCYNGSPRSKIVLAIGAASKKDRKRKHELNGDSIKKHRTDRGRRTSKKWESKANNTSSGTSKLHQKRKTGTHRVSESLSENDVGTKSLDAQGKNELPEELVHPSVESGKTGGVMDGTRICEDVILEVQQVDRVLGCRIEDDNSSSSRNISLIATDDLPSKELLIPETQNRGENSNCDIDSDVVVAENLVGGSPGIKQRFDRRESRKNDTRVDKINVYKRSANKDCKGGNVIDLAGKDDKDSGSKGPSDKDQDESTECTEDFAQQHEKVLTENVDVSTKSQDMIEFSKDCEPHLSPETNVREEADMEMKMSGGDEKNVQEPAKIEPACSNNGETTSYEFLVKWVGKSHIHNAWISESRLKVLAKRKLENYKGKYGTAVINICEEKWKQPQRIISLRTSRDGTREAFVKWTGLPYDECTWERVDEPVLSKSPHMIDMFDQLERQTLEKDAMGNDLTKGRGDSHQNEIVTLTEQPKELKGGTLFPHQLEALNWLRKCWNKSKNVILADEMGLGKTVSACAFISSLYFEFKASLPCLVLVPLSTMPNWLAEFALWAPNLNVVEYHGCAKARAIIRQYEWHANDPNKMNKKTASYKFNVLLTTYEMVLADSSYLRGVPWEVLVVDEGHRLKNAESKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSVEFLHEMRIKASAKLTLLHSMLKALYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVCDRQAAITRFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFGDSSSMIGKDITESNSNKDDAVIDIEQKQRKRGGGLGDVYKDKCTDGGNNIVWDENAIAKLLDRSNLQSGIADVAEMDFENDMLGSVKSVEWNDETAEDQGGVESPPVVAEDICGQNSDRKEDNAVTTAEENEWDRLLRSRWMKYQNEEEAALGRGKRQRKAVSYREAYAPHPSETLSESGGEEEREPEPEREYTPAGRALKMKYARLRARQKERLAQRNAIEECCPSEGLPLPDLLPQPQFPPTNERDKDHAKGLFQAVGEKSSVFEVEDNKFPPPSDTPKSKADSTLRLGRVSKHKMSSHLDLSVNSHDYPSPDINIPSQQNQGMGHANYNLLPVLGLCAPNANLFDSSHRKSSRSNSRQSKPANGPEFPFILPPSSRTSIETDLKRQEINASAEVLQKHLKSSLSDGWLPFSPCPPTVPQGRTSDGFESSSFTEFQEKMSIPNLPFDEKLLPRVSVPAKSMPISYPDLLPSLSLGGRLEAVNDSMRDLPAMPLLPGLKFPSQDVPIYNQLDKEVLPVLGLGQMPTNLPPFPENHRKVLENIMIRTGSGSSNFYRKKLRTDGWSEDELDFLWIGVRRHGRGNWDAMLRDPRLKFSKYKTTEDLAARWEEEQLKILDAPPFSGPKASKLAQSSRSCLFPSVPEGMMARALNGSRLVTPPKFHSHLTDMKLGFGDPSSSLPHFEPSDQLSLQNEHFGPIPSWNLDKFRTNFAGDSTAGPSSNFSSEMPFLLNSFGASNLGSLGLNGCSSFDLHREEENGNMKYGKLPCLLDRSLTILRDSQNNIGNGESSSALFPDHNCGIYISHSKGKEVVGSSSSKNKLPHWLREAVHAPAKLPEPELPPTVSAIAQSVRVLYGESKPNIPPFVIPGPPPTQPKDPRRTLKKKKKRRSHMFRQFPQDIAGSMQNFKSSIPGCIVASSSAQPVPTFQLPPSLIPGTSGHAWNESDPNFPNKHMLHSLTSSSFLNLSKKTSMGLSPSSEVLQLVASCVAPGPHLPSTSGLTSSSFLDSKLPLPKSVNHVECLNSGGAVQKNMDMQSLPPDAQVMLPENKTNQPDSGDSSKTQTEQPDVEVISSEGTVSDHPVSEHEP >Manes.12G100900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:26815361:26815483:1 gene:Manes.12G100900.v8.1 transcript:Manes.12G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRQKLCDNLVSSKFFLSGFYCWGWEFLTALLLFSCSA >Manes.16G016900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1623994:1626378:1 gene:Manes.16G016900.v8.1 transcript:Manes.16G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSTPLDDPPAASSEEEEESSSGEEEDGEEEEGSSSEEEGTQGKPQKPSSQPASQIQTAKPVHKKPDSESESDESDSESDSDADRPNHARDATVKPIASKPMEETPTKTTKLRSKPSASSSATAKSTAAVKRASESDRDPKDSKRGKKKDSESDGVVEKSEDTKKQLFQRLWSEDDEIAVLKGIIDFTEKKGTDPSKDMTSFHDFIKKSLHFDVSLSQLKDKVWRLKKKFENHVSKGKKGEDKTFSKAHDQKSFDLSKKIWGSEAISGGGVDLGVKSNGKAKKNGGNNQRSKSFATLKAELGLDVEETEKVDKMEVETESHSSLKQILQFDRTVSVAGMEECVVKRGLDMLEGAKKAEMEEKWRQLHVAELELFLKRNELIREQAKLMLAAYKTE >Manes.16G016900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1624075:1626342:1 gene:Manes.16G016900.v8.1 transcript:Manes.16G016900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSTPLDDPPAASSEEEEESSSGEEEDGEEEEGSSSEEEGTQGKPQKPSSQPASQIQTAKPVHKKPDSESESDESDSESDSDADRPNHARDATVKPIASKPMEETPTKTTKLRSKPSASSSATAKSTAAVKRASESDRDPKDSKRGKKKDSESDGVVEKSEDTKKQLFQRLWSEDDEIAVLKGIIDFTEKKGTDPSKDMTSFHDFIKKSLHFDVSLSQLKDKVWRLKKKFENHVSKGKKGEDKTFSKAHDQKSFDLSKKIWGSEAISGGGVDLGVKSNGKAKKNGGNNQRSKSFATLKAELGLDVEETEKVDKMEVETESHSSLKQILQFDRTVSVAGMEECVVKRGLDMLEGAKKAEMEEKWRQLHVAELELFLKRNELIREQAKLMLAAYKTE >Manes.16G016900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1623995:1626377:1 gene:Manes.16G016900.v8.1 transcript:Manes.16G016900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSTPLDDPPAASSEEEEESSSGEEEDGEEEEGSSSEEEGTQGKPQKPSSQPASQIQTAKPVHKKPDSESESDESDSESDSDADRPNHARDATVKPIASKPMEETPTKTTKLRSKPSASSSATAKSTAAVKRASESDRDPKDSKRGKKKDSESDGVVEKSEDTKKQLFQRLWSEDDEIAVLKGIIDFTEKKGTDPSKDMTSFHDFIKKSLHFDVSLSQLKDKVWRLKKKFENHVSKGKKGEDKTFSKAHDQKSFDLSKKIWGSEAISGGGVDLGVKSNGKAKKNGGNNQRSKSFATLKAELGLDVEETEKVDKMEVETESHSSLKQILQFDRTVSVAGMEECVVKRGLDMLEGAKKAEMEEKWRQLHVAELELFLKRNELIREQAKLMLAAYKTE >Manes.04G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1545951:1552639:1 gene:Manes.04G011400.v8.1 transcript:Manes.04G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGRRPDSRSDQQSSSPSPSFQRGGGGGRGGRGGRGGRGREGPGHSPLPTFTQSASTPATSFSPISRPPVPAYSPEAQTPSVAPSPPQAVASSSRAPPSKAAASSSTAEEIRREVEQKLSLGDQATKAVRFPSRPGFGTVGMKCVVKANHFLVQVADRDLCQYDVTITPEVTSKKVNRDIISELVRMYRASHLGNRMPAYDGRKNLYTAGPLPFESKEFIVKLVEKNNAAGSSGSSKRERQFKVAIKFASKADLHHLQQFLYGRQADAPQETVQVLDIVLRASPSEKYTTVGRSFFSPDLGPRGELGDGIEYWRGYYQSLRPTQMGLSFNVDVSARSFFEPIMVTDFVAKYFRLRDLSRPLSEQDRIKVKKSLKGVKVELHHREYPKSYKITSLSNQPMNQTFFTLDDKNSKVSVVQYFRERYNIMLKYTSLPALQAGSDSKPVYLPMELCRIVEGQRYTKKLNERQVTQLLRATCQRPHDRENSIKQMVRRNNYSRDELVANEFGIQVKEELALVDARVLPPPMLKYHETGGESRVDPRLGQWNMINKKMVNGGRVDFWTCVNFSSQIHPNLPRDFCQQLVQMCVSKGMGFNPNPILPIQSAHPSQIERALADVHKQCTAKLANEKKQLQLLIIILPDFTGSYGKIKRICETEFGIVSQCCQPKQAAKLSKQYFENVALKINVKVGGRNTVLNDAIQRRIPLVTDLPTIIFGADVTHPAPGEDSNPSIAAVVASMDWPEVTKYRGLVSAQAHREEIIQDLYKSYHNPDKGLVHLGMIRELLISFRKSTGHKPGRIIFYRDGVSEGQFSQVLLHEMDAIRKACSSLEEGYLPRVTFVVVQKRHHTRLFPVDRGQTDRSGNILPGTVIDTKICHPKEFDFYLNSHAGIQGTSRPTHYHVLYDENGFTADGLQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGETSDSGSSSGRNTIGRSKEVQPLPVIKDNVKDVMFYC >Manes.12G091802.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17737643:17744435:1 gene:Manes.12G091802.v8.1 transcript:Manes.12G091802.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLSDACDDLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILQSLLEASEKSGQPFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVVTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGEKLPEFPAEEEEVLLLLERVIEAKRISQMKIKESGGKKRMGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.04G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33205337:33208729:-1 gene:Manes.04G129500.v8.1 transcript:Manes.04G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLMTFPPIYPYLVEELEKRFTLYKLHNAPDKTHFINSHKNFIRAVVGNAVFGADADLIDQLPKLEIVSTYSVGLDKVDLPKCKEKGIRVTNTPDVLTDDVADLAIGLTLAVLRRLCESDRYVRSGKWKKGDYKLTTKFTGKSVGIIGLGRIGMAIATRAEAFSCPISYTDIAEKPDVKYKFYPSVVELAANCHILVVACALTEETRHIVNREVINALGPKGILINIARGPLVDEPELVSALLEGRLGGAGLDVFEDEPNVPEQLFGLENVVLLPHVGSGTVETRQAMADLVVGNLEAHFLNKPLLTPVV >Manes.03G204000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31935034:31937306:1 gene:Manes.03G204000.v8.1 transcript:Manes.03G204000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSENFNLHEVQDELSTTNKSSESMVERNQGLEDWGESAGVDFHGSDYGYMKDNAPKGHLSFFPHQQKSFLHSDIFYGIGYDALSPPLRTSLEDTSKLGDFQNDIQGQPNKTKQDQFASTSLELLNSYVKALKRLSSERIIKPTNDTPSIEVASKGFSTEGIMRVAAERFIQSFTKTVDVVSMLDNPFDISFSGLPDEEAKKVELAELLLASAEKVSNQQFDRARTLLNQCDHLSSSTGNAVERVVHYFSKALGERIDRETGKMTSQGLGKQQLFNIDEAIMAPTPNILASYQEVPFSQVAHFAGTQAVLENVAEAKRIHVIDLGIRIGVQWTGLMQALVSQSDFSLELLKITAIGTTLKQVIEDTGKRLTSFADSIGLPFSFKIVMVSDILELKEDLFELDADETVVVYCEYLLRSLIPLPDRLDSMMKVIRTLNPTIMVVTEPQFNCTSPYFVNRFIESLFYFSAYFDCLESCMGDDPNRMIVESLHFGEGIRNIVTTEGEERKIQNATLEVWRAFFARFGMEETEMSTSSLYLAELIARKFACGRACTLDLDGKSLLIGWKGTAMHSLSAWKFT >Manes.10G067400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9804665:9810525:-1 gene:Manes.10G067400.v8.1 transcript:Manes.10G067400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLPRIRRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAELAIKTDPQNSDYVVESGATRNFEPWRAEDEEADKQKQKRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDAMLEALQKTAAEKEKKLEEEDEALIKSIFERRPKEVIRRISDSDLDDDEDSNQLSNGHGETSNDNPKRRKISNENPSKPTDALTKANVSDSTDNGETSSTLKMQSDAKPKLISPFVRIAVVKKPVGASDGSKLASAEESKQVEGEGNDTNTGLLSLCQNYNSDDE >Manes.10G067400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9805247:9810525:-1 gene:Manes.10G067400.v8.1 transcript:Manes.10G067400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLPRIRRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAELAIKTDPQNSDYVVESGATRNFEPWRAEDEEADKQKQKRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDAMLEALQKTAAEKEKKLEEEDEALIKSIFERRPKEVIRRISDSDLDDDEDSNQLSNGHGETSNDNPKRRKISNENPSKPTDALTKANVSDSTDNGETSSTLKMQSDAKPKLISPFVRIAVVKKPVGASDGSKLASAEESKQVEGEGNDTNTGLLSLCQNYNSDDE >Manes.10G067400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9804665:9810525:-1 gene:Manes.10G067400.v8.1 transcript:Manes.10G067400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLPRIRRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAELAIKTDPQNSDYVVESGATRNFEPWRAEDEEADKQKQKRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDAMLEALQKTAAEKEKKLEEEDEALIKSIFERRPKEVIRRISDSDLDDDEDSNQLSNGHGETSNDNPKRRKISNENPSKPTDALTKANVSDSTDNGETSSTLKMQSDAKPKLISPFVRIAVVKKPVGASDGSKLASAEESKQVEGEGNDTNTGLLSLCQNYNSDDE >Manes.07G095816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30058721:30059284:-1 gene:Manes.07G095816.v8.1 transcript:Manes.07G095816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGLYYGEPMEAIEFPLFPSKGLRLRRKIQDWLKEFQKLPYVSPYEDNSHLDPNSDIGEKRVVGLLHEFLSLFVEHSVERKKLLCLKKYFELPQKVHKAFERHPHMFYLSFRNKTCTTILKEAYGDDELAMERHPMAMIRKKYIKLMKESEVILKRRRANNPFLEYKKLDFEMDSVNEERREEEKQ >Manes.S030252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2451999:2452373:1 gene:Manes.S030252.v8.1 transcript:Manes.S030252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.10G038141.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3586116:3586737:-1 gene:Manes.10G038141.v8.1 transcript:Manes.10G038141.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNYNVYVSAIYGGSRQDNSLSTSKFSIILRILSSFISEIKDPSDTTIPFSFPCHSLTSDDGAPSWACVSRMLSHSNISFTLDRIQWRQNDVIRQILGFARRKASVINDQHKELNMLVIIKKETVLAADEFEAMFNARRVEQVYAALAWANEQERSSLQKLLTDTKTFKYLCYKNSLISFCIR >Manes.18G079800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7309574:7314635:-1 gene:Manes.18G079800.v8.1 transcript:Manes.18G079800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSALIAMVGKNCFAIASDRRLGVQLQTIATDFQRIYKVHDRLFIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLSDEDKPFICTMDSIGAKELAKDFVVAGTASESLYGACEAVFKPDMEPEELFETVSQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >Manes.05G152650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26274758:26275717:1 gene:Manes.05G152650.v8.1 transcript:Manes.05G152650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKIRSLWVLLFLAMAVVDLIRCDDQVRNSTVQVNVTSLVFPELPPVQGSHNSTSSTVVIVHDNKNRYSGYYRRGGGSGGSGGGGGGGGGGAGGGGGGGSFGWGWGGGGGGGGGGGGGGGGGWGWGGGGGGWYKWGCGGKGKGGGGGGGGDGGGGGGGGGRRGINNRRMHRKREFKNENIVMGEFAQCMGRGRCRGMRLDCPLHCGGPCFYDCQHMCKAHCRYSRSSLAH >Manes.02G057000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4483190:4485862:1 gene:Manes.02G057000.v8.1 transcript:Manes.02G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLLKPITNFFFFLLLNYHLAFAISKPPYTPTDNILTNCGTSGETTAPDDRTWTGDDNSKFGPIEQSNSKSLPATALSQGSSVESVPYMTARISRSQFMYTYPVTAGQKFIRLHFYSVSYQGGFNRFKDFFDVKSGPFTLLRNFSASFYAEAGGNDFFFKEFCVNVAENQQLNLTFFPSLSDSNDSYAFINGIEIWSMPSNLYYTPPEGLGLTSIGKKDKFFIRNDTALENMCRFNVAGGTISPVLDTGMYRLWRDDALNLGLVNNEPNVSLNYSKIPKYTAPDDVYLSARQMEINGTNLTWRVPVDLGFMYLVRLHFCEFDPGITKVNERRFDIFIDNRTAKLGFDVIESSGGQRTPIYNDYIVTTGKRVENSDEAYRLFITLRPNPSSMFPDAFLNGLEIFKLNDSDGNLAGPNPQVSVLSPPATTAPTSSPPAEKSSTKKILLVAIGGSVMGLLIILSLLGFLIIWRLRKKEHRHYGSYYKSLSCCWKLNSATYVGKSSRTTASSLPQELCRQFSLEEIKAATNDFHESLIIGTGGFGNVYRGDIDNGAMTVAIKRLNQGSSQGAREFKTEIEMLSQLRHVNLVSLIGYCIHGREMILVYDYMVNGSLRDHLYDKVNNPLPWKQRLNICIGAARGLHYLHAGAANIVIHRDIKTTNILLDENWVAKVSDFGLSKIGVNDSAVSTNVKGTWGYLDPEYARRNQLTEKSDVYSFGVVLLEVLSARKPLNKKVEEEQWNLVNWARKCIQNENIHVIIDPHLIGKIAPACFQKFMEIAENCVRDQAIERPSMHDVMERLEFALELQQSADAEKEKMNPGHGGDYVYPPQVSFHVSRYTNIVGGSQLDCSSNISMDLDTDATGQTYPSVLSSTLISSHGFSDTINSSEK >Manes.15G148600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12274608:12281712:-1 gene:Manes.15G148600.v8.1 transcript:Manes.15G148600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLIILLTVLQLLPFLCNSFTSESTADRRVLVLLDDSAIKSSHSLFFKSLQSRGFELEFKLADDPKVALQRYGKYLYNALILFCPTAELVGAPINVDAILDFVDSGHDLIMAADIDVSDSIREIAIECGVDFDEDPAAMVIDHTSYAVSETDGDHTLIASDDFMKSDVMLGSNKIDAPVLFQGIGHTLNPASTLVLKVLSASPSSYSANPKSKLSNPPSLTGSAISLVSAVQARNNARILIAGSLSMFSNRFFRSGVQKAGSSTKHEKSGNEQFLVELTQWLFHERGHLKAVNVRHHKVGEADEPSLYRINDDLEYSVEIYEWSGTSWEPYVADDVQLQFYMMSPYVLKTLSSDKKGLFYTAFKVPDVYGVFQFKIEYQRLGYTTLSLAKQIPVRPFRHNEYERFILAAYPYYGAAFSMMAGFFIFSLVHLYSK >Manes.18G125900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14598860:14604445:-1 gene:Manes.18G125900.v8.1 transcript:Manes.18G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLGIERILHASRGQARPAGITLSHTRCNTALMKCFQASVFQFFVIVILIPLANAADLNSDKQALLNFSAAVPHFRLLNWNPASSICTSWTGIICNSNGTRVIELRLPGVGLFGHIPADTLGKLDALRVLSLRSNLLSGNLPFDITSLPSLHSLYLQHNNFSGAIPTSFSLQLNVLDLSFNFFSGNIPQSISNLTQLTGLSLQNNTLSGPIPDLSHSKLRHLNLSYNHLNGSIPVFLQKFSNSSFIGNSLLCGLPLSPCSPVLPPPSPPPAFSSPPAIPHKRGSKAKLTLGAIIAIAVGGSAVLVLVVLIILCCCLKKKDNGGSRVLKGKAVSGGMGEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKREFEQQMETVGRIGQHPNVAPLRAYYYSKDEKLLVYDYISGGSLSTLLHGNRQAGRSSLGWESRVKIVLGTARGIAHLHSAGGPKFTHGNIKSSNVLLNQDQDGCISDFGLTPLMNIPATPSRNAGYRAPEVIETRKYTHKSDVYSFGVVLLEMLTGKAPLQSPGRDDMVDLPRWVQSVIREEWTAEVFDVELMRYQNIEEEMVQMLQIGIACVARVPDMRPTMDEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >Manes.13G000300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:466559:476538:-1 gene:Manes.13G000300.v8.1 transcript:Manes.13G000300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQASQDQHPQYYQQSSGAGSLEGSYGTVGEVGWYILGENQQLVGPYASSELREHFSNGYISQSTIIWSEGRTEWQPLSSIPELISGISEQGTDSTIAAPVKNDVELEKWHEVREEVKGSDFEKGPPLSNKEQTNRSSAVLSNDGEAEFERWQREIIEAEIEAGQLKNGSLSSSVGGDIDIDDRSGVPSPPDGEEEFTDDDGTTYKWDRSLRVWVPQYGVEEMTFSKEEEVFPTANIVDAASKEEVNGTDETVESKHDGKRKREDMEADEKDKQTDKQDKQSDKEANRVPDSWFELKVNTHVYVTGLPDDVTAEEVVEVFSKCGIIKEDPETKRPRVKIYVDKEMGKIKGDALVTYLKEPSVDLAIQILDGIPLRPGGKIPMTVSQAKFEQKGDRFIPKKVDKKKKKKLKQVEEKILGWGGLDDAKVAIPATVVLRYMFTPPEMRADENLRSELELDVKEECMKLGPVDSVKVCENHPQGVVLVKFKDRKDARKCIELMNGRWFGGRQIHASEDDGLVNHASVRDFDADAERLERFGAELEAD >Manes.13G000300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:464099:476646:-1 gene:Manes.13G000300.v8.1 transcript:Manes.13G000300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQASQDQHPQYYQQSSGSLEGSYGTVGEVGWYILGENQQLVGPYASSELREHFSNGYISQSTIIWSEGRTEWQPLSSIPELISGISEQGTDSTIAAPVKNDVELEKWHEVREEVKGSDFEKGPPLSNKEQTNRSSAVLSNDGEAEFERWQREIIEAEIEAGQLKNGSLSSSVGGDIDIDDRSGVPSPPDGEEEFTDDDGTTYKWDRSLRVWVPQYGVEEMTFSKEEEVFPTANIVDAASKEEVNGTDETVESKHDGKRKREDMEADEKDKQTDKQDKQSDKEANRVPDSWFELKVNTHVYVTGLPDDVTAEEVVEVFSKCGIIKEDPETKRPRVKIYVDKEMGKIKGDALVTYLKEPSVDLAIQILDGIPLRPGGKIPMTVSQAKFEQKGDRFIPKKVDKKKKKKLKQVEEKILGWGGLDDAKVAIPATVVLRYMFTPPEMRADENLRSELELDVKEECMKLGPVDSVKVCENHPQGVVLVKFKDRKDARKCIELMNGRWFGGRQIHASEDDGLVNHASVRDFDADAERLERFGAELEAD >Manes.13G000300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:464099:476646:-1 gene:Manes.13G000300.v8.1 transcript:Manes.13G000300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQASQDQHPQYYQQSSGSLEGSYGTVGEVGWYILGENQQLVGPYASSELREHFSNGYISQSTIIWSEGRTEWQPLSSIPELISGISEQGTDSTIAAPVKNDVELEKWHEVREEVKGSDFEKGPPLSNKEQTNRSSAVLSNDGEAEFERWQREIIEAEIEAGQLKNGSLSSSVGGDIDIDDRSGVPSPPDGEEEFTDDDGTTYKWDRSLRVWVPQYGVEEMTFSKEEEVFPTANIVDAASKEEVNGTDETVESKHDGKRKREDMEADEKDKQTDKQDKQSDKEANRVPDSWFELKVNTHVYVTGLPDDVTAEEVVEVFSKCGIIKEDPETKRPRVKIYVDKEMGKIKGDALVTYLKEPSVDLAIQILDGIPLRPGGKIPMTVSQAKFEQKGDRFIPKKVDKKKKKKLKQVEEKILGWGGLDDAKVAIPATVVLRYMFTPPEMRADENLRSELELDVKEECMKLGPVDSVKVCENHPQGVVLVKFKDRKDARKCIELMNGRWFGGRQIHASEDDGLVNHASVRDFDADAERLERFGAELEAD >Manes.18G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6356582:6361028:-1 gene:Manes.18G070400.v8.1 transcript:Manes.18G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLLLPAMRTIVAHFFPQKTLLPLLSPKLSSFTIAAKTLSSLAPLNTHKLKTPAKPHAILNQSPNSKPTLLLNNKDQRFLGPDSTVSNENSATTRSTIAAIVTSVGGPPAAVGIVRLSGPSAVDIASRVFRPKRKKKKNSKRNGGLSAWQPSSHVVDYGVVFDHEGHIIDEVLALPMLAPRSYTCEDVVELQCHGSEVCLNRVLRACLEAGARLAEPGEFTLRAFLNGRLDLSQAENVIKLVSAKSVAAADAALSGLQGGFASLVKSLRRQCIELLTDIEARLDFDDEMPPLELDLIMDSINVMSQDLENALETANYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDIVEASVTVSGIPVTLLDTAGIRVTDDIVEKIGVERSEAVAMGADVIIMTVSACDGWTVEDTELLSRIESNKKSVESSAPMVLAINKIDTTSPLHMEWVDKYSNSFSKFVFTSAVTGQGIQDLEMAISEIVGLNRIPAGGRKWTVNQRQCEQLLRTKEALARLKLSIEDEMPLDFWTIDLRDAALALGQISGEDISEEILSNIFGKFCIGK >Manes.13G001900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:755813:765503:-1 gene:Manes.13G001900.v8.1 transcript:Manes.13G001900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFSFCPCFGSRSSVDTDPDRNPVLLVSGIGGSILHSKNKKFGFQNRVWVRILLADYEFKKRIWSLYNPNTGYTEVLDDGTELLVPDDDYGLYAIDILDPSLFVKLCHVTEVYHFHDMIDMLIKCGYKKGTTLFGYGYDFRQSNRIDKLMEDLKAKLETAYEASGNRKIDIISHSMGGLLVSCFISLHADVFSKFVNKWICIACPFQGAPGCINDSLLTGLQFIEGFESYFFVSRWTMHQLLVECPSIYEMLANPDFKWERQPQIQVWREQSDDGESSVKLESYGPLDSIAFFQEALRNNELNYEGNTVALPFNFYILRWAAGTRQILNDVQLPKGVSFYNIFGTSYDTPFDVRYGTETSPIKDLSEVCHTMPQYSYVDGDGTVPAESAKADGFDAVERVGIAATHRGVLRDKTVFELIKKWLGGDQKLCKHSRTSRVMDVS >Manes.13G001900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:757328:765544:-1 gene:Manes.13G001900.v8.1 transcript:Manes.13G001900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFSFCPCFGSRSSVDTDPDRNPVLLVSGIGGSILHSKNKKFGFQNRVWVRILLADYEFKKRIWSLYNPNTGYTEVLDDGTELLVPDDDYGLYAIDILDPSLFVKLCHVTEVYHFHDMIDMLIKCGYKKGTTLFGYGYDFRQSNRIDKLMEDLKAKLETAYEASGNRKIDIISHSMGGLLVSCFISLHADVFSKFVNKWICIACPFQGAPGCINDSLLTGLQFIEGFESYFFVSRWTMHQLLVECPSIYEMLANPDFKWERQPQIQVWREQSDDGESSVKLESYGPLDSIAFFQEALRNNELNYEGNTVALPFNFYILRWAAGTRQILNDVQLPKGVSFYNIFGTSYDTPFDVRYGTETSPIKDLSEVCHTMPQYSYVDGDGTVPAESAKTKDVRS >Manes.06G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23590750:23593936:-1 gene:Manes.06G103200.v8.1 transcript:Manes.06G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGVASSDHESHTTQVQKIDQIATRLLSSPPILEMENLHKASSNGVSFNIPGWYADVALSWPGEAHLYEMEKVLFHGKSEYHDLFVFQSSSHGKIVILNGDMQLTEKDEFVYQEMLTHLPLCSIPNPKKVLLIGGGDGGILREISRHYSVDRIDICELDKMVIDAYKRFFPDIAIGYNDPRVNVYIGNGVEFLKNVPQATYDVIILDAFHCIGPVEEEVGEKSFLESVAGALRPGGVLGCPAESLWNAEFSLSNSIAKCREAFKGSVNYAWCTTPAYASGMIGFMLCSTEGPPVDFKHPVNPLNPENYGVAKGPPRFYNSEVHTAAFSLPSFAKKMFGSKILSTYE >Manes.06G103200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23589971:23593936:-1 gene:Manes.06G103200.v8.1 transcript:Manes.06G103200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGVASSDHESHTTQVQKIDQIATRLLSSPPILEMENLHKASSNGVSFNIPGWYADVALSWPGEAHLYEMEKVLFHGKSEYHDLFVFQSSSHGKIVILNGDMQLTEKDEFVYQEMLTHLPLCSIPNPKKVLLIGGGDGGILREISRHYSVDRIDICELDKMVIDAYKRFFPDIAIGYNDPRVNVYIGNGVEFLKNVPQATYDVIILDAFHCIGPVEEEVGEKSFLESVAGALRPGGVLGCPAESLWNAEFSLSNSIAKCREAFKGSVNYAWCTTPAYASGMIGFMLCSTEGPPVDFKHPVNPLNPENYGVAKGPPRFYNSEVFP >Manes.01G136800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32931235:32932869:-1 gene:Manes.01G136800.v8.1 transcript:Manes.01G136800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFLSYVELKRQAQKDLELELDMESGFLNPADEPNLSQFFQEVSAIKAEMEEITNLLSDLCALVQESKSTHSAKVLRGLRDRMEADSVSVLRKAKLIKAKLESLDQSNKINRRTSELYKEGSPVDRARISVTNGLRAKLREMMNEFQFLREKILSDYKADLIRRYYTATGDEPSEEDIEKIISGGGEVKMFSGKAEEEVSGKEMHKVLMDIQRSLTKLHQVFLDMAVIVETQGEKMDDIEENVANAGNFISGGTTNLYYANQIKKKRKAWVYWVWAVMMIILLVCIVSLLAS >Manes.01G136800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32931113:32933085:-1 gene:Manes.01G136800.v8.1 transcript:Manes.01G136800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFLSYVELKRQAQKDLELELDMESGFLNPADEPNLSQFFQEVSAIKAEMEEITNLLSDLCALVQESKSTHSAKVLRGLRDRMEADSVSVLRKAKLIKAKLESLDQSNKINRRTSELYKEGSPVDRARISVTNGLRAKLREMMNEFQFLREKILSDYKADLIRRYYTATGDEPSEEDIEKIISGGGEVKMFSGKAEEEVSGKEMHKVLMDIQRSLTKLHQVFLDMAVIVETQGEKMDDIEENVANAGNFISGGTTNLYYANQIKKKRKAWVYWVWAVMMIILLVCIVSLLAS >Manes.01G136800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32931112:32933086:-1 gene:Manes.01G136800.v8.1 transcript:Manes.01G136800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFLSYVELKRQAQKDLELELDMESGFLNPADEPNLSQFFQEVSAIKAEMEEITNLLSDLCALVQESKSTHSAKVLRGLRDRMEADSVSVLRKAKLIKAKLESLDQSNKINRRTSELYKEGSPVDRARISVTNGLRAKLREMMNEFQFLREKILSDYKADLIRRYYTATGDEPSEEDIEKIISGGGEVKMFSGKAEEEVSGKEMHKVLMDIQRSLTKLHQVFLDMAVIVETQGEKMDDIEENVANAGNFISGGTTNLYYANQIKKKRKAWVYWVWAVMMIILLVCIVSLLAS >Manes.13G147200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36325674:36329257:1 gene:Manes.13G147200.v8.1 transcript:Manes.13G147200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQYIEESVENDYIREDKSPLNTCKSSDNVGDSDDSPCNGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWLHFQNISAENDMQIQQQCPVCKAEVSEGTLVPLFGRGQPTKPSKSKAPNLGIIIPRRPLGLACGFDSPRSPSTPSSVRSRATQQIYNGDYSPHPSQLYYSQPGGMSYPASSMLTPRVATTNMYDPMIGMFGEMIYARVFGNSITNIYSYPNSYNLAGSTSPRMRRHILQADMSLSRICFFLFCCVFLCFLSF >Manes.13G147200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36325674:36329546:1 gene:Manes.13G147200.v8.1 transcript:Manes.13G147200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQYIEESVENDYIREDKSPLNTCKSSDNVGDSDDSPCNGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWLHFQNISAENDMQIQQQCPVCKAEVSEGTLVPLFGRGQPTKPSKSKAPNLGIIIPRRPLGLACGFDSPRSPSTPSSVRSRATQQIYNGDYSPHPSQLYYSQPGGMSYPASSMLTPRVATTNMYDPMIGMFGEMIYARVFGNSITNIYSYPNSYNLAGSTSPRMRRHILQADMSLSRICFFLFCCVFLCFLSF >Manes.13G147200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36325844:36329257:1 gene:Manes.13G147200.v8.1 transcript:Manes.13G147200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWHMLSNKAAKKSLRETDAMATEQYIEESVENDYIREDKSPLNTCKSSDNVGDSDDSPCNGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWLHFQNISAENDMQIQQQCPVCKAEVSEGTLVPLFGRGQPTKPSKSKAPNLGIIIPRRPLGLACGFDSPRSPSTPSSVRSRATQQIYNGDYSPHPSQLYYSQPGGMSYPASSMLTPRVATTNMYDPMIGMFGEMIYARVFGNSITNIYSYPNSYNLAGSTSPRMRRHILQADMSLSRICFFLFCCVFLCFLSF >Manes.02G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7877058:7883449:-1 gene:Manes.02G101100.v8.1 transcript:Manes.02G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRTSFLSPTQRYAAGSLFAMALHQAQIHQTRPLSFSLEEDPTEGKRISNGSSSRASVSEDPDLWVHENSALLRPVFRFLEVDSKAWSGLEGTAGASLAKHHVGAYLRLLSEDGDNASSQSSDQELALSKAVDAIAQSMEPDAASFKYKKEKHQEYEHECREKLSAGEVQSHAEAVKVALENAEERDSNLVSVSDAIHGSASGVDEKPIEEEKMLSYHRKITVLYELLSACLADKRGDNKNCTRRRKGYDARHRVALRLLATWLDIKWIKMEAIETTVAWSAMATAKEEAAKEEASQSTESKWAKWRRGGIIGAAAITGGTLMAITGGLAAPAIAAGFSALAPTLGTLIPVIGASGFAAAATAAGTVAGSVAVAASFGAAGAGLAGAKMARRTGSVDEFEFKAIGENQKYGRLAVEILISGFVFNEEDFIRPWEGQNDNLERYSLQWESKNLIAVSTSIQDWLTSRIALEIMRQGAMMTVLSTLLAALAWPAALLAATNFIDSKWTIAIDRSDKAGKLLAEVLLKGLQGNRPVTLVGYSLGARVVFKCLETLAETEHHAEVIERVVLLGAPIAIKDEKWEAARKMVAGRFVNAYSTNDWILGLAFRASLLTQGLAGIQPIAVPGIENVDVTDIIEGHSSYLWATQQILEQLELDAYYPVFGSIIHHE >Manes.11G098550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:20928382:20928930:1 gene:Manes.11G098550.v8.1 transcript:Manes.11G098550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLNPTWSRPGIGRMKQQADKRRSEKEFQEGDWVYLKLQLYRQTSLALRKNLKLAAKYYGPYQVLKRVGKVAYQLQLPENTSIHHVFHVSLLKRKLGDIVVPQTQLLTMVEEEVLVAPAAVLKTRTFERDGQFVNQNLIQWTNLTAEEAMWEDRAFIQAQFPEFQHPWGQECLNGGSIVTN >Manes.04G075172.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29002321:29006604:1 gene:Manes.04G075172.v8.1 transcript:Manes.04G075172.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIICCHLCVYVQSNLYFDCHAALPVLYVGKILIMKRISVFQSKIEAMLMINQMSYLITTLSWIKHPLQGGQNKTQLFYEGIQQFGTDLSMIQQLFPGQTRHQIKLKFKETQHALRLSEALSSRTKDNIVLSIRHDELCKPIISPAPSTHSKRWEHKCTSVRQLWLILGFVLQQLTIFKLFAINVAFKCCCDLSFSCRSFIL >Manes.04G075172.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29002321:29006604:1 gene:Manes.04G075172.v8.1 transcript:Manes.04G075172.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIICCHLCVYVQSNLYFDCHAALPVLYVGKILIMKRISVFQSKIEAMLMINQMSYLITTLSWIKHPLQGGQNKTQLFYEGIQQFGTDLSMIQQLFPGQTRHQIKLKFKETQHALRLSEALSSRTKDHSYYEKVIEQLQQVAAQAEEESNRGNSVSLTEEEPELNPETNGASTVEAQDGDPLKYDEEEEDDDLNIWGSYKSEF >Manes.10G134700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30230232:30233083:-1 gene:Manes.10G134700.v8.1 transcript:Manes.10G134700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHMAAPSMLVAFFLIVSPPFSFSIYNGSIGGGSSLYVENPDDVLISPNRIFSAGFYQVGENAYTFAIWFSEPSCFNSCTVVWMLNRDVPINGRHSKLSLLETGNLILSDAGKSIVWASNTFSMSSSSLQLHDTGNLILITQSESKILWQSFDSPTDTLLPLQSLSKGSVLVSSRSSTKFSSGFYKLSFDGDNILHTLYNGPPEVSIAFWPNRWSLNLDAGRTSDNSSRIAVLDSLGKFTSSDNFNFLSADYGVQMQRRLRLDFDGNLRLYSRKNSKDNWTVSWQIYSQPCLIYGACGPNSMCKYVPSFGRKCSCLPGYKMKNPSDWSLGCEPEIMVPNCSASNQATFIQFPHVEMNGNDMGYFLNYTLDKCKELCLQRCDCKGFIFRFYLHYRPDNVPYCFPKTRLLNGYSSPHYDGNLYLKVSKTNPSKTDWLSTEELSLDCPAAAAVTQLDRRFTYDELKKATNNFKEEIGRGASGIVYKGLIDGQHRVAAIKRLNNSSQGGEAEFVAEISTAGKLNHMNLIDMWGCCAEGKHRLLVYEHMENGSLADNLSSNKSLDWKKRFEIALGTARGLAYLHEECLEWILHCDVKPQNILLDAEYRPKISDFGLSRLLKRDDLHKSSFSRIRGTRGYMAPEWISNRHITAKVDVYSYGIVVLEMVTGKSPSMGDNVEEKGLVQWVKQKRNTTSASGLWWKEMIEPVMGIEYDAKKMETLIEVALKCVEEDRAARPTMSQVVEMIMKHEN >Manes.05G123200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21062772:21064403:1 gene:Manes.05G123200.v8.1 transcript:Manes.05G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAITHKCVVFMSLLITILDWSNNNYGGVESARVPAMFVFGDSLVDVGNNNYLSSIARANYFPYGVDFSIGPTGRFSNGKTFVDILGEMLGVPYPSAFADPTTSGARILGGVNYASATGGILDETGQHYGQRYSLSQQVLNFETTLNQLRGMMNGTILTQYLAKSLAVLVFGSNDYINNYLMPSIYSSSYNYSPPEFANLLLNHYARQLVALYSLGLRKFLIAGIGPLGCIPNQRASGQAPPDRCVDYVNEILGTFNEGLKLIVDQMNTRPGTISAYGNTYAAVGDILNNPNTYGFSVVDRGCCGIGRNRGQITCLPFAIPCSNRNVYVFWDAFHPTQAVNAILAQRAFTGSPRDCYPINVQQMTLIY >Manes.09G089600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26714870:26717518:1 gene:Manes.09G089600.v8.1 transcript:Manes.09G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSRGYLRVFCFLLLVPAFVIGDCTCENEEQDRNKSEALKYKAAAIAAILVAGAIGVCLPILGKFIPALNPEKNIFFIIKAFAAGVILSTGFIHVLPDAFESLTSPCLNENPWGKFPFTGFVAMVSAIGTLMVDAFATSYYTKSHGQVRNIAGDEEKTEEDGGFHTHATHDHSHCSGLIENSASPELLRHRVISQVLELGIVVHSVIIGISLGASQSPKTIRPLVAALTFHQFFEGMGLGGCICQAKFKGRVMAIMALFFSLTTPIGIGIGIGISNAYNENSPTALIVEGIFNSASAGILIYMALVDLLAADFMNPKVQVNGKLQIGVNLSLLLGAGLMSLLAKWA >Manes.01G179900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35901477:35909533:1 gene:Manes.01G179900.v8.1 transcript:Manes.01G179900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEVHSEENRVSPEKNTKRILKTPAQIIALEKFYNEHNYPTEEMKSELAEQIGLTEKQISSWFCHRRLKDKRLSKDETFANGRQDRSSGTIQDRGSGLRQDSCGSTKQGDYRKVDPKEVESQRLSGHNLPAADVTYDRTSRYTGNVNGMDDTSSESSSSLQDKLFSQSEDPHDMNNSGYLAQNGASKPLIPKGANKMGYKPSGYLKVKGEIENAAITAVKKQLGKYYREDGPPLGVEFQPLPPGAFSSSSRDPMNAAAFYVGDLARIHSPDVSGVRKQSNISSRFEVYGSKMSSQDSYMEGPNCDLKNSSDSREKKSHHQLKRKVTSYNYSSSIPGGKSALDKYGDLAAETSSHSSKRNYKLSSMHGVEGTRPDTVSNHHHPDGTKAISEQADPWLHDYDNSSPNIVQKKDYKSKPSNLIPGFSKSLDTQERVLSTMSEKEKHREMKGAKEYRDPVRVRMHPTNEMTISKRHGANFSQQQHVTKAAFPKIPRKTNSNKGFAMERPSSFSEDETAEPSSSVD >Manes.06G116800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793516:24798516:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRIDVSRRRVYDGYSNKYFDHYRKGARGVDLNRAVEHGVVYKNGYPISSNARNLCVQRSGLSCRDEERELADLQSRTGLDHSSRLEDGEIPKSDDGIQMPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793439:24798516:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793482:24798516:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793324:24801271:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793324:24801271:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793513:24799321:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRIDVSRRRVYDGYSNKYFDHYRKGARGVDLNRAVEHGVVYKNGYPISSNARNLCVQRSGLSCRDEERELADLQSRTGLDHSSRLEDGEIPKSDDGIQMPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793459:24798516:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793438:24798516:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793513:24799452:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRIDVSRRRVYDGYSNKYFDHYRKGARGVDLNRAVEHGVVYKNGYPISSNARNLCVQRSGLSCRDEERELADLQSRTGLDHSSRLEDGEIPKSDDGIQMPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793324:24799248:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793516:24797227:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRIDVSRRRVYDGYSNKYFDHYRKGARGVDLNRAVEHGVVYKNGYPISSNARNLCVQRSGLSCRDEERELADLQSRTGLDHSSRLEDGEIPKSDDGIQMPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.06G116800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24793516:24798516:1 gene:Manes.06G116800.v8.1 transcript:Manes.06G116800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRIDVSRRRVYDGYSNKYFDHYRKGARGVDLNRAVEHGVVYKNGYPISSNARNLCVQRSGLSCRDEERELADLQSRTGLDHSSRLEDGEIPKSDDGIQMPPAKKRKFSPIIWDIEDKVATKIRAVPTSTTSSTFSSSHGTCSRGPKIVLDAGITKHASQENQHIGLESVSDKPCETAGLVDTNGTESESLAKLSPSFPREKHRDDSQEQGEGEEEEFAEARNISMSRWASDSDSPKDANLSDDEGIPGENTHRKEFSAERMECHREALVIDGSRSSGFSERYCSSGEDGDVVSKLKNVGPVNGDGFQGENDNFIQIDDISSHVEESIASTQRGLNMLQGCRSVYEYEKLHEINEGTYGKVYKAIDKRTGEPVALKKVKMDVGGDRNLEEYGFPLSSLREINILSSFYHPSIVNVKEVVMGSLDSVFMVMEYMEHDLKGLMQKMKQPFSTSEVKCLMLQLLEGVDYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKQYSTAIDMWSVGCIMAELLTKEPLFKGKGEIDQLGKIFRILGTPSETIWPGFSKLPGAKANFVQQPYNLLRKKFPATSFTGSPVLSDLGFDLLNKLLTYDPEKRITADAALNHPWFHEVPLPKSKEFMPTFPPQYVKKR >Manes.11G009500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1017570:1021284:-1 gene:Manes.11G009500.v8.1 transcript:Manes.11G009500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYDLQAQRREMKHKGRNVVWSIAMDKCLIEALAIQARNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVVNRLKTIKKRYKVIRDMLSQDGFRWNPSTKMIECDSDDLWKRYISAHPDAKGIRGKQIEMYDELKVVCGNYQAPSHWAKMKDGGRLTKSLDDDSPSFQSPSSEDASETDGTESYTGTPDCAPDGSQEPPLIQPVRQIPKRVRGSEALQDAMLAVASSIRRLADAMEQNKTTFDAPELLQAVMEVDGLEEAKQMYAFEYLNADPIKARAFLTYNARMRKIYLLRQFWWWK >Manes.10G008800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:989509:990439:-1 gene:Manes.10G008800.v8.1 transcript:Manes.10G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPIHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQNEPVVMMPTPQMDDLDDAKSSSSFVFQNNHPQYLNFASSSNVIHDSLKRESIFGDIIS >Manes.17G011766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4816981:4819179:-1 gene:Manes.17G011766.v8.1 transcript:Manes.17G011766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGNIYLVYNIISHFIGDLDLYTERNSEILQNLKCRKLENFKWYKDNFLKRVYSLADPNAYHWKEKFLTGLPRLFSTKVKETIEQKYGHISYDDLTYGDLITCVNLTGIRLCRDMKLQQKLKMENRQSRKELGNWCEQFGFGTIKKHKQRKYNYKRKQYDRQIDKKPFKRKQFKKNNNYRKNNFKRTNKDKITCYLCNQKEHYAKECPARNKIHELGLELKIDNIDQLLDKIDQIKLSSSKLDDEYSSDNSLQTVSSTDSDHNCKGEICNCNNKINVLIEYNQVLEQIEQVQDSNIKRKFFKKLTKLINEEINQGTESPTNFEDIEQMFKQKKLVSTISSMDLQSEIRQLKLEVRQLKLRCDQLELNQNLKQKEKMVEEKLEDIDSDKEKELKFNDITRITFQKWYVKITLTIKDFKLETIDMLDSGADMNCIDQGIIPSKYFHKTKQTLSAANSTKVKIDYKIPSAHICNNGVCFKTSFMLIKNLNTQVILENPFLQMLYPFKVTQLGLETNVLGQNIIFKFISPQENISKMNNLEKQIKFLKEDLHSIKIEEQLEKPNIQQQIKEIQEQFERDLCSDLPTAFWNRKQHIVTLPCEPDFKEQDIPTKARPIQMNQEMLEFCKKEIQDLLTKNLIRPSKSP >Manes.02G122700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9332525:9341119:-1 gene:Manes.02G122700.v8.1 transcript:Manes.02G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWHRRPNRSDIHLSAEQEAKIQEETREHFDGLAPKRHTKPQRSEFSAQYVDAFPFPVSLPNHSSIPELLEFQRLQTDPQKLVYNGEGRVSEEFVATEYYEDLNCVDKQHHTTGTGFIKMENANGKCFCLAPDPVSLCHPSCRGNPATNEWIPAADDTVTFASDKPRRSDN >Manes.02G122700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9339876:9341271:-1 gene:Manes.02G122700.v8.1 transcript:Manes.02G122700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWHRRPNRSDIHLSAEQEAKIQEETREHFDGLAPKRHTKPQRSEFSAQYVDAFPFPVSLPNHSSIPELLEFQRLQTDPQKLVYNGEGRVSEEFVATEYYEDLNCVDKQHHTTGTGFIKMENANGKCFCLAPDPVSLCHPSCRGNPATNEWIPAADDTVTFASDKPRRSDN >Manes.04G082000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28324418:28325675:1 gene:Manes.04G082000.v8.1 transcript:Manes.04G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHAKQLLRRSNLLPNQSASNFKDVPKGHLAVYVGEGQKKRFIVSVALLNKPSFQELLRKAEEEFGFNHPMGAITIPCREDIFIDLTSC >Manes.01G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33563311:33570246:1 gene:Manes.01G145400.v8.1 transcript:Manes.01G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDRVRKDDPFLLQYQPSELRIASEFLTTWLPFLSRDLCHHCTQVLSDRIRFLDLGLDAEAEPSQSDKTITTAALSSAESHENCYDGNYDSGSWKDGDGDDANSLGSWKDGANGWSEPVSEASTSGILGAAPLVEASSHRISWADMAQEDELVEEEQKDLNKPIVNRSALTGEIKVLKELEKPKLSRDQREYIRFTSVKRKKDFICLERVRGRIVNILEGLELHTCVFSAVEQTRIVNYVHELQELGMKGELKERTYTAPQKWMRGKGRITIQFGCCYNYAPDKNGNPPGILHNELVDPIPNLFKVIIRRLIRWHVLPPTCVPDSCIVNIYDEGDCIPPHIDNHDFLRPFCTVSFVSECNIVFGSNLKVVGAGQFAGSIAIPLPVGSVLVLNGNAADISKHCVPSVPTKRISITFRKMDEAKRPIGFVPEPDLQGIEPLAYDVEKTKRLDSPKSEPYRRREPIGMEGKMEARGFGENGSKSEPRYSSRSRQRSANWRRFR >Manes.01G020000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4737814:4743671:1 gene:Manes.01G020000.v8.1 transcript:Manes.01G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSASSSWLSPRATVFSLASSPKREPFSSSFFSCKSSTTNTITCCATDPTDDSSSTSSSSSSLLSASYTRRWYNPLRRRPYDHPSPEIFRHWVEEDQSQASQERFTMVSYNILGDRNAFKHRDLYKNVDPLYLEWAHRKRALNKELAGWNADVICLQEVDRYYDLLKLMEKAGYAGSYKRRTGDYADGCAMFWKADKFQLLEGESIEFKALGLRDNVAQLSVFEICEAESRRLLVGNIHVLYSPNRGEIKLGQIRFLLSRAQILAEKWGNIPVILAGDFNSTPQSAIYKFLASSELNIMLYDRKQMSGQRNCDPTQVFGLKTELSTPLHLMDRFLKNCWTNEEVKVATGDPECQLLTHPLKLRSSYATLKGSTRTRDLNGEPLATSYHSKFLGTVDYLWYSDGVAPIRVLDTLPFDTLRRTGGLPCEKLGSDHLALVSEFTFTQGAKEGSTTNKATVSAADTSSSREDDKSK >Manes.05G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24736938:24741338:1 gene:Manes.05G145600.v8.1 transcript:Manes.05G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRISLAFFLILLLLASASSGYSDLEILLKMKTSMLGPHGRGLDDWKFWPSFSAHCSFSGVTCDEDSRVVSLTLSSHCLLTGYIPPEIGLLNKLVNLTLSSHNLTRSLPLEMADLTSLRFLNISNNGFVGNFPGEITLGMAQLEVLDIYNNNFSGPLPVELAHLKNLKHLHLGGNYFSGSIPELYSEIQSLEYLGLNGNGLSGKVPSSLAKLKNLRSLYLGYYSSYEGGIPPEFGFLSSLEVLDMAFCNLTGEIPSTLGLLKRLHTLFLQMNNLSGFIPPELSGLISLKSLDLSINGLTGEIPESFSELKNITLIHLFRNNMYGQIPEFIGDFPNLEVLHVWGNNFVFELPKNLGRSGKLKMLDVSFNHLTGTIPRDLCKGGKLEELILMNNFFLGPLPEELGQCKSLSKIRIMNNFLTGTIPAGIFNLPLVTVVELNDNFFSGELPSEMSGNEVGLLTISNNNISGRIPPAFGKLSNLHILSLGLNKFSGEIPGEIFSLKYLTTINITGNNLTGEIPPSISQCASLTAVDFSRNRLQGEIPKGIAELKDLSILNISQNQLTGQIPSDIRYMTSLTILDLTYNNLLGRIPTGGQFLVFNGSSFVGNPNLCAPRQPSCPSLITLSGGSGHGQTASFGTSKLIITVIALVTVLLLIILTVYRMRKKRLQKSRAWKLTAFQRLDFKAEDVLECLKEENIIGKGGAGIVYRGSMPDGVDVAIKRLVGRGSGRSDHGFSAEIQTLGRIRHRHIVRLLGYVSNKDTNLLLYEYMPNGSLGELLHGSKGGHLKWETRYRIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDSDFEAHVADFGLAKFLQDAGASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVRWVRKTTSEVSQPSDTASVLAILDSRLTGYPLTGVIHLFKIAMMCVEDESSARPTMREVVHMLTNPPRQSAASLCIL >Manes.11G079000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11736265:11736507:-1 gene:Manes.11G079000.v8.1 transcript:Manes.11G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPPGAKTATTYESVVGGENRTKTELRSTEDQGMMQIDKQQDKVPDPAGKGGPVFGAGKDDDKNDLGVTGTGTG >Manes.16G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32941507:32944797:-1 gene:Manes.16G128300.v8.1 transcript:Manes.16G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFFGLSIFLFLNLDFEQGPFSSASASSSPSEGVKITYGTVLKLMHERTKFRLHSHEVPYGSGSGQQSVTGFPGVDDSNSYWIVRPQLGTDAKQGDAIKTGTIIRLQHMRTRKWLHSHLHLSPISGNMEVSCFGGENESDTGDYWRVLIEGSGKIWKQDQRIRLQHVDTDGYLHSHDKKYQRIAGGQQEVCGVREKRADNIWLAAEGTYLPVTQS >Manes.05G096700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8889849:8895723:1 gene:Manes.05G096700.v8.1 transcript:Manes.05G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSEDGKVETACNTCQSKGRRKKKDSGSGGDEVVERSETGCWVKFRFIGSCISSRSKVDSSVSGTSTHCESKSTIDTSRDQPVAPAISSTTTSNTESNSSTSKLEEELKIASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHSNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSMRMKIALGAAKGLSFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMITGRRSMDKNRPIGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQAERAASSPNSKNSSRTPGGLLRNGQQQRSLSIPNGSNASPYHQQYPHQSPKPNRKP >Manes.15G133900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10790400:10790978:-1 gene:Manes.15G133900.v8.1 transcript:Manes.15G133900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRALFHRKKSKKSANSPGASPAVAAPLDGSRSQSFKYKPQVEELEQVFKKFDVNGDGKISSSELGSIMSNLGHQATEEELQKMIKEFDADGDGFIDFQEFVELNTQGMDTDDVLVNLKDAFSVYDIDGNGSISAEELHKVMGSLGEHCSIAECRKMISGVDSDGDGMIDFEEFKVMMTVGIKWDAINGLG >Manes.01G124500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31988525:31998399:-1 gene:Manes.01G124500.v8.1 transcript:Manes.01G124500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANILSLHNLLLDGSFASKFESENSSLPTVIIVGGGISGLAAARLLHDASFKVILLESRDRLGGRIHTDYSFGYPVDMGASWLHGVCNENPLAPIIRSLGLTLYRTSGDDSVLYDHDLESYTLFDMDGHQVPQRLVKEVGDIFKKILKEEHVLSGGHGLMVQGYDHIIKALAKDIDIRLNHRVTKICYGSNKAMVMVEGGRNFVADAAIVTVPIGVLKANLIQFEPKLPKWKVASISDIGVGSENKVALQFDKVFWPNVEFLGIVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESSANFVMLQLKKMFPDATEPVRYLVSRWGTDPNSLGCYAHDVVGKPEDVYERLGAPLGNLFFGGEAVSMEHQGSVHGAYSAGVMAAVNCQRHVLGKLGRLDKLQLLPLRSEIVEAAFPLQISRM >Manes.01G124500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31988525:31998399:-1 gene:Manes.01G124500.v8.1 transcript:Manes.01G124500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLLRLHGVCNENPLAPIIRSLGLTLYRTSGDDSVLYDHDLESYTLFDMDGHQVPQRLVKEVGDIFKKILKETERVRDAHADDMSILQAIGLVLDKHPELRQEGLAYEVLQWYICRMEAWFAVDADMISLKTWDQEHVLSGGHGLMVQGYDHIIKALAKDIDIRLNHRVTKICYGSNKAMVMVEGGRNFVADAAIVTVPIGVLKANLIQFEPKLPKWKVASISDIGVGSENKVALQFDKVFWPNVEFLGIVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESSANFVMLQLKKMFPDATEPVRYLVSRWGTDPNSLGCYAHDVVGKPEDVYERLGAPLGNLFFGGEAVSMEHQGSVHGAYSAGVMAAVNCQRHVLGKLGRLDKLQLLPLRSEIVEAAFPLQISRM >Manes.01G124500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31988525:31997226:-1 gene:Manes.01G124500.v8.1 transcript:Manes.01G124500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWLHGVCNENPLAPIIRSLGLTLYRTSGDDSVLYDHDLESYTLFDMDGHQVPQRLVKEVGDIFKKILKETERVRDAHADDMSILQAIGLVLDKHPELRQEGLAYEVLQWYICRMEAWFAVDADMISLKTWDQEHVLSGGHGLMVQGYDHIIKALAKDIDIRLNHRVTKICYGSNKAMVMVEGGRNFVADAAIVTVPIGVLKANLIQFEPKLPKWKVASISDIGVGSENKVALQFDKVFWPNVEFLGIVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESSANFVMLQLKKMFPDATEPVRYLVSRWGTDPNSLGCYAHDVVGKPEDVYERLGAPLGNLFFGGEAVSMEHQGSVHGAYSAGVMAAVNCQRHVLGKLGRLDKLQLLPLRSEIVEAAFPLQISRM >Manes.01G124500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31988525:31998399:-1 gene:Manes.01G124500.v8.1 transcript:Manes.01G124500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHQVPQRLVKEVGDIFKKILKETERVRDAHADDMSILQAIGLVLDKHPELRQEGLAYEVLQWYICRMEAWFAVDADMISLKTWDQEHVLSGGHGLMVQGYDHIIKALAKDIDIRLNHRVTKICYGSNKAMVMVEGGRNFVADAAIVTVPIGVLKANLIQFEPKLPKWKVASISDIGVGSENKVALQFDKVFWPNVEFLGIVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESSANFVMLQLKKMFPDATEPVRYLVSRWGTDPNSLGCYAHDVVGKPEDVYERLGAPLGNLFFGGEAVSMEHQGSVHGAYSAGVMAAVNCQRHVLGKLGRLDKLQLLPLRSEIVEAAFPLQISRM >Manes.01G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31988525:31998399:-1 gene:Manes.01G124500.v8.1 transcript:Manes.01G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANILSLHNLLLDGSFASKFESENSSLPTVIIVGGGISGLAAARLLHDASFKVILLESRDRLGGRIHTDYSFGYPVDMGASWLHGVCNENPLAPIIRSLGLTLYRTSGDDSVLYDHDLESYTLFDMDGHQVPQRLVKEVGDIFKKILKETERVRDAHADDMSILQAIGLVLDKHPELRQEGLAYEVLQWYICRMEAWFAVDADMISLKTWDQEHVLSGGHGLMVQGYDHIIKALAKDIDIRLNHRVTKICYGSNKAMVMVEGGRNFVADAAIVTVPIGVLKANLIQFEPKLPKWKVASISDIGVGSENKVALQFDKVFWPNVEFLGIVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESSANFVMLQLKKMFPDATEPVRYLVSRWGTDPNSLGCYAHDVVGKPEDVYERLGAPLGNLFFGGEAVSMEHQGSVHGAYSAGVMAAVNCQRHVLGKLGRLDKLQLLPLRSEIVEAAFPLQISRM >Manes.01G124500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31988525:31997226:-1 gene:Manes.01G124500.v8.1 transcript:Manes.01G124500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLLRLHGVCNENPLAPIIRSLGLTLYRTSGDDSVLYDHDLESYTLFDMDGHQVPQRLVKEVGDIFKKILKETERVRDAHADDMSILQAIGLVLDKHPELRQEGLAYEVLQWYICRMEAWFAVDADMISLKTWDQEHVLSGGHGLMVQGYDHIIKALAKDIDIRLNHRVTKICYGSNKAMVMVEGGRNFVADAAIVTVPIGVLKANLIQFEPKLPKWKVASISDIGVGSENKVALQFDKVFWPNVEFLGIVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESSANFVMLQLKKMFPDATEPVRYLVSRWGTDPNSLGCYAHDVVGKPEDVYERLGAPLGNLFFGGEAVSMEHQGSVHGAYSAGVMAAVNCQRHVLGKLGRLDKLQLLPLRSEIVEAAFPLQISRM >Manes.04G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28061865:28069659:-1 gene:Manes.04G078800.v8.1 transcript:Manes.04G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGEESGAAGEAMVAQGPISNILIIIAMQTEAMPVVNRFQLTEEPEPAFPKGVPWVRYHGIYKDLHINLVWPGKDSNLGVDSVGTVSASLVTYAAIQALQPDLIINAGTAGGFKAKGSSIGDVYLVSDVAFHDRRIPIPVFDLYGVGLRQAYSTPNLRKDLNLKIGKLSTGDSLDMSTQDEATIIANDASVKDMEGAAVAYVADLLKVPVIFVKAVTDIVDGDKPTAEEFLQNLAAVTAALDQAVAQVVDFINGKSLSEL >Manes.04G078800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28064769:28069659:-1 gene:Manes.04G078800.v8.1 transcript:Manes.04G078800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGEESGAAGEAMVAQGPISNILIIIAMQTEAMPVVNRFQLTEEPEPAFPKGVPWVRYHGIYKDLHINLVWPGKDSNLGVDSVGTVSASLVTYAAIQALQPDLIINAGTAGGFKAKGSSIGDVYLVSDVAFHDRRIPIPVFDLYGVGLRQAYSTPNLRKDLNLKIGKLSTGDSLDMSTQDEATIIANDASVKDMEVTFEHHLIRFELNGSWHPCLRF >Manes.04G078800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28061865:28069663:-1 gene:Manes.04G078800.v8.1 transcript:Manes.04G078800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVNRFQLTEEPEPAFPKGVPWVRYHGIYKDLHINLVWPGKDSNLGVDSVGTVSASLVTYAAIQALQPDLIINAGTAGGFKAKGSSIGDVYLVSDVAFHDRRIPIPVFDLYGVGLRQAYSTPNLRKDLNLKIGKLSTGDSLDMSTQDEATIIANDASVKDMEGAAVAYVADLLKVPVIFVKAVTDIVDGDKPTAEEFLQNLAAVTAALDQAVAQVVDFINGKSLSEL >Manes.10G009400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1049509:1056252:1 gene:Manes.10G009400.v8.1 transcript:Manes.10G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHQLLARKAPLGQIWMAATMHAKINRKKLNKLNIIQICEQILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRLLVEINEAWKVKSAPDPTVLPKGKSQAKKEAVTLPENQDTDVGDIERSVNFSNTNARMGFQQTAYFAMRLDDIDEPFINNDPREEDAFQQLHQADADNIKLFERFDLYQSHTETYNRFERFDIEEDEETQLNFTSGGNMEIPTTLIPSPPPQDEALRADGFEDHLEKQISQPSDECRAARQDQHAQVIIKKKTRRHATPAMDYEQTIIPGQIYQAWLQNASNIASRRGRKRKARRDIMSTMKVANLMDLPPTVLMDDLYTPENRQIYYPAPLLELWMKSTQPPHDSPSARISEPLPPEPSLSSPPERGHYQESTGYPFEDFHSGIGSQSMGVSIEKQRKAVVDDEIPMENLMGELRTNIMDNSRKITEANLVTPGNSGDEIRSIPSSGSGHGMPSHHSEVHSGRSNKKRPYSSSRNSGNGLEPVEEENSWKFPDPNFKLSRLSENGHTPDPELLETGPTQTQHPIISQPMDKITDTIRMQMKAHFETPGNPLVESLNKLAVGMNRKGAAMLFYQTCVLASRDFLRVEQKVPYGEILISKGGKL >Manes.04G164200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35754114:35755550:1 gene:Manes.04G164200.v8.1 transcript:Manes.04G164200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDGASSVTSSPLQFFPWMSLSPGYGSPYPWLRELKSEERGLYLIHLLLACANHVAAGSVENANISLEQISHLASADGDTMQRIAAYFTEALADRILRKGWPGLHRALTFTKKSLVSEELLVQRLFFELFPFLKLAYVITNQAIIEAMEGEKMVHIIDLNSFEPAQWIDLLQTLSARPEGPPHLRITGIHEQKEVLDQMALRLTEEAEKLDIPFQFNPIVSKLEDLDIESLRVKTGEALAVSSVLQLHSLLAVDDEILKGNSPSASKNPNPSHFQRALQINKNKRTLGELLEKDLVHVYGASPDSALSPLSLAPSPKMGSFLSALWGLSPKLMVITEQESNHNGFTLMERVTEALNFYAALFDCLESTVSRASVERQKVEKMLFGEEIKNIIACEGTDRKERHEKLEKWILRLELAGFGRVPLSYHGILQASRLLQSYGYDGYKIKEENGCLVICWHSRPLFSVSAWRFRGMVERF >Manes.05G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4535185:4541325:1 gene:Manes.05G054700.v8.1 transcript:Manes.05G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKLDNEDTVRRCKDRRRFIKEAVNARHHLAAAHADYCRSLRITGSALCSFAAGEPLSVSEHTPAVFLHSSNPPPPSTTSLPPRVAPSPSPSVHPPPPPPAFSPSPSPTIASSKLPHILSASSVKSAANRRRKPPKLPHILSESSLPSSPRSLKSNFTGNFEYPTAYQANSTYSSTPSQASSVWNWENFYPPSPPDSEFFDRKAQKQNQNHQHRQQHHLDTDDVQDEETETETETETERSEYDFFQLQNKKHNYHINSNTDNKQHSTVDEETEREEVQCSEWGDHDHYSTTSSSEVGEEDEDRESRSEIGTRSNFGSSVRAESTKQQSFYGNATKSDEAGSSASFRTGEISDMRMVVRHKDLKEIVEAIKENFDKAAAAGDQVSEMLEISRAQLDQSFRQLKKTVYHSTSILSNLSSNWTSKPPLAVKYRLDTGSLNEPGGPRSLCSTMERLLAWEKKLYEEVKARESVKIEHEKKLSTLQSQEYKGQDDAKLDKTKASIKRLQSLIIVTSQAVSTTSTAIIGLRDTDLVPQLVELCHGFMYMWKSMHQYHEVQNNIVQQVRGLVNRSAKGDSTSELHRQATRDLESAVSSWHSSFCRLIKFQRDFIRSVQGWFKLTLLPVSNDNVNGNVEHSDAYAFCDEWKLSLDRVPDTVASEAIKSFINVVHVISVKQSEELKSKKRTENVSKELEKKASSLRNIERKFYHSYSMVGVGLPDTGPDNGQGLDARDPLAEKKSELAACQRRVEDEMVKHAKAVELTRAMTLNNLQTGLPGVFQALTSFSSLFTEALESVCNRSYAIK >Manes.15G167500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14260769:14264224:1 gene:Manes.15G167500.v8.1 transcript:Manes.15G167500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASINGGCLTSLSPSQSSLRRPTLRPSVSARLNTPSSSSIPALIRNEPVFAAPAPIINPTWREEMGKNSYEEAIEALKKLLNEKGELKPTAAAKVEQITAELQSGSSGSIPFNPIERMKEGFIHFKKEKYDKNPGLYTELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQVKYAGIGSAVEYAVLHLKVENIVVIGHSACGGIKGLMTFPFDGNKSTDFIEDWVSIGLPAKAKVLAEHGGADLPELCTHCEKEAVNVSLGNLLTYPFVRDGLVKKTLALKGGYYDFVTGSFELWGLEFGLSPPLSV >Manes.15G167500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14261012:14264224:1 gene:Manes.15G167500.v8.1 transcript:Manes.15G167500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASINGGCLTSLSPSQSSLRRPTLRPSVSARLNTPSSSSIPALIRNEPVFAAPAPIINPTWREEMGKNSYEEAIEALKKLLNEKGELKPTAAAKVEQITAELQSGSSGSIPFNPIERMKEGFIHFKKEKYDKNPGLYTELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQVKYAGIGSAVEYAVLHLKVENIVVIGHSACGGIKGLMTFPFDGNKSTDFIEDWVSIGLPAKAKVLAEHGGADLPELCTHCEKEAVNVSLGNLLTYPFVRDGLVKKTLALKGGYYDFVTGSFELWGLEFGLSPPLSVKDVATILHWKL >Manes.15G167500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14262044:14264224:1 gene:Manes.15G167500.v8.1 transcript:Manes.15G167500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSYEEAIEALKKLLNEKGELKPTAAAKVEQITAELQSGSSGSIPFNPIERMKEGFIHFKKEKYDKNPGLYTELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQVKYAGIGSAVEYAVLHLKVENIVVIGHSACGGIKGLMTFPFDGNKSTDFIEDWVSIGLPAKAKVLAEHGGADLPELCTHCEKEAVNVSLGNLLTYPFVRDGLVKKTLALKGGYYDFVTGSFELWGLEFGLSPPLSV >Manes.15G167500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14261012:14264224:1 gene:Manes.15G167500.v8.1 transcript:Manes.15G167500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASINGGCLTSLSPSQSSLRRPTLRPSVSARLNTPSSSSIPALIRNEPVFAAPAPIINPTWREEMGKNSYEEAIEALKKLLNEKGELKPTAAAKVEQITAELQSGSSGSIPFNPIERMKEGFIHFKKEKYDKNPGLYTELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQVKYAGIGSAVEYAVLHLKVENIVVIGHSACGGIKGLMTFPFDGNKSTDFIEDWVSIGLPAKAKVLAEHGGADLPELCTHCEKEAVNVSLGNLLTYPFVRDGLVKKTLALKGGYYDFVTGSFELWGLEFGLSPPLSV >Manes.15G167500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14262171:14264224:1 gene:Manes.15G167500.v8.1 transcript:Manes.15G167500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSYEEAIEALKKLLNEKGELKPTAAAKVEQITAELQSGSSGSIPFNPIERMKEGFIHFKKEKYDKNPGLYTELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQVKYAGIGSAVEYAVLHLKVENIVVIGHSACGGIKGLMTFPFDGNKSTDFIEDWVSIGLPAKAKVLAEHGGADLPELCTHCEKEAVNVSLGNLLTYPFVRDGLVKKTLALKGGYYDFVTGSFELWGLEFGLSPPLSV >Manes.15G167500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14262022:14264224:1 gene:Manes.15G167500.v8.1 transcript:Manes.15G167500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSYEEAIEALKKLLNEKGELKPTAAAKVEQITAELQSGSSGSIPFNPIERMKEGFIHFKKEKYDKNPGLYTELSKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVVRNVANMVPPYDQVKYAGIGSAVEYAVLHLKVENIVVIGHSACGGIKGLMTFPFDGNKSTDFIEDWVSIGLPAKAKVLAEHGGADLPELCTHCEKEAVNVSLGNLLTYPFVRDGLVKKTLALKGGYYDFVTGSFELWGLEFGLSPPLSV >Manes.12G089000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14061237:14084497:-1 gene:Manes.12G089000.v8.1 transcript:Manes.12G089000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLPDYPDNDEEDAPSSPLQNGHRYTKQSRLDPPPFSDPELHQQQELEEEEEDDDAPPKPEEGEEEDEVEGDADEEQEEQVEEKQPAEYSEESQSSSSEEEKPDCRFVFVELPDIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKKIQASIAQIFQRQSDALVRRRPIGRETTSPFMARSQRNHRAIPSRRRQNGQGTEFQVCEDIEEENDDNGGKDSSSNEDRSAEVRQQRRKRRLGLRPSHPSSSASNLEGGSIENDLEATKENKGISLGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKNSRSTRLSKLVEYLKSLEEKDDELDVHFVLISVDKESFMSLKQPYLCCRTNLSVKHLCEYVALKKSLEAGEVEIFLVKGQHKPIDYISSLRPPISVDELQSLEGQETLAHLKANRDHLILAFRRKETI >Manes.12G089000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14061237:14084497:-1 gene:Manes.12G089000.v8.1 transcript:Manes.12G089000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLPDYPDNDEEDAPSSPLQNGHRYTKQSRLDPPPFSDPELHQQQELEEEEEDDDAPPKPEEGEEEDEVEGDADEEQEEQVEEKQPAEYSEESQSSSSEEEKPEFVFVELPDIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKKIQASIAQIFQRQSDALVRRRPIGRETTSPFMARSQRNHRAIPSRRRQNGQGTEFQVCEDIEEENDDNGGKDSSSNEDRSAEVRQQRRKRRLGLRPSHPSSSASNLEGGSIENDLEATKENKGISLGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKNSRSTRLSKLVEYLKSLEEKDDELDVHFVLISVDKESFMSLKQPYLCCRTNLSVKHLCEYVALKKSLEAGEVEIFLVKGQHKPIDYISSLRPPISVDELQSLEGQETLAHLKANRDHLILAFRRKETI >Manes.12G089000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14070225:14084497:-1 gene:Manes.12G089000.v8.1 transcript:Manes.12G089000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRSLPDYPDNDEEDAPSSPLQNGHRYTKQSRLDPPPFSDPELHQQQELEEEEEDDDAPPKPEEGEEEDEVEGDADEEQEEQVEEKQPAEYSEESQSSSSEEEKPEFVFVELPDIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKKIQASIAQIFQRQSDALVRRRPIGRETTSPFMARSQRNHRAIPSRRRQNGQGTEFQVCEDIEEENDDNGGKDSSSNEDRSAEVRQQRRKRRLGLRPSHPSSSASNLEGGSIENDLEATKENKGISLGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKNSRSTRLSKLVEYLKSLEEKDDEDRGTGR >Manes.01G041100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8244997:8248167:1 gene:Manes.01G041100.v8.1 transcript:Manes.01G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAQILAMLILIQSILIFCTGTNLDGSCIKIEREALVKFKSSLAINSSDSLLSWVGDDCCRWEGVSCDNITDHVVKLDLSRAYFQGNILSRAYFQGNVSLHLGNLSNLQYLDLSGNNELAIDSLQFPSSMKYLNMEAVLLDKCVDWLQSINMLPSLLELHLSNCELSIPGHVSHVNLTSLEVVYLSGNNFNSKIPSWLFNITNLQHLDLSYSAFRGSLSTRIGNLNSLSFLDLSWNSLEGNIPTTLNQLCNLSELHLKTNKFSGEISGPFGNSSSCVQKSLVYLYLYNNSFSGSLPNNIGQFKHLKFLLLSNNSFWGPIPVSIGQLSNLQILDFSQNSLQGKVSELHLLKLRGLDQLILSGNSLVFDIDLKWVPPFQLSRIELSSCKLGPWFPQWLKTQKSIAFLLMSNASISDSIPDWFENISSNIEGLDLSYNQLSGILPNLRKFNTTYEVYYRYILLKSNRFEGSLTHFHSDASILDISNNLLQGQIPHNISEMMPILRFLSLSNNSLNGTIPASLCMIESLDILHLAKNHLSGPIPSCWGNLQRLTVIDLSSNMLGGHIPMSLSSQQFLVSLHLQNNNLQGKIPISLRNLEYLETLDLGNNYFDGYIPWWIGESLSSLKVLSVQSNKFEGEIPLQLCYLASLRILNLANNMMTGTIPTCFGNFTAIAMHENKGLWDYYTYLLPREAFEENGYGENVQVYVKGIELEYTRTLRFLYSIDLSGNNFVGEIPQELMNLSGLLNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNNGLCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMLWFYSGMGMGFVAGFVGVCSILYLKDSWRSAWFELVDRVYNKLWVTVGIKGNQLKRKFMRNTFEGNA >Manes.02G107500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8360790:8370566:-1 gene:Manes.02G107500.v8.1 transcript:Manes.02G107500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADGVEDEEKWLAAGIAGLQQNAFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYELYMRAFDELRKLEMFFKEETRRGCSIIDLYELVLHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPVRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVMDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKGRNELRDLVGKNLHVLSQIEGVDLDMYKDTVLPRVLEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLEVLLSACPQLQPSVDIKTVLSRLMERLSNYAASSAEVLPEFLQVEAFSKLNNAIGKVIEAQVDMPIFGAVALYSSLLTFTLHVHPDRLDYADQVLGACVKKLSSKGKLEDSRATKQIVALLSAPLEKYNDVVTALKLSNYPRVMEYLDNETNKIMATVIIQSIIKNKTHISTSDKVEALFELIRGLIKDLDGNHEEVDEDDFKEEQYSVARLIQMLHNDDPEEMYKIICTVRRHVMTGGPKRLPSTVPPLVFSSLKLIRRLQGQDEGQDENPFGDESLTTPKKIFQLLNQTIEVLSTVPAPELAFRLYLQCAEAANDSDLEPVAYEFFTQAYILYEEEISDSKAQVTALHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYGCAHLFWVDDQDNMKDGERVLICLKRALRIANAAQQMSNVTRGSTGSITLFVEILNKYLYFFEKGNPQVTAASVQSLIELITTEMQSDSSTPDPAADAFFASTLRYIQFQKQKGGAIGERYEAIKV >Manes.02G107500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8360790:8370566:-1 gene:Manes.02G107500.v8.1 transcript:Manes.02G107500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADGVEDEEKWLAAGIAGLQQNAFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYELYMRAFDELRKLEMFFKEETRRGCSIIDLYELVLHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPVRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVMDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKGRNELRDLVGKNLHVLSQIEGVDLDMYKDTVLPRVLEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLEVLLSACPQLQPSVDIKTVLSRLMERLSNYAASSAEVLPEFLQVEAFSKLNNAIGKVIEAQVDMPIFGAVALYSSLLTFTLHVHPDRLDYADQVLGACVKKLSSKGKLEDSRATKQIVALLSAPLEKYNDVVTALKLSNYPRVMEYLDNETNKIMATVIIQSIIKNKTHISTSDKVEALFELIRGLIKDLDGNHEEVDEDDFKEEQYSVARLIQMLHNDDPEEMYKIICTVRRHVMTGGPKRLPSTVPPLVFSSLKLIRRLQGQDEGQDENPFGDESLTTPKKIFQLLNQTIEVLSTVPAPELAFRLYLQCAEAANDSDLEPVAYEFFTQAYILYEEEISDSKAQVTALHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYGCAHLFWVDDQDNMKDGERWVPSI >Manes.15G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12838278:12840313:1 gene:Manes.15G154900.v8.1 transcript:Manes.15G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLRRGFSIARVSYNTPFTSRFISARFHASEAEAQKVEPKASSGSNLKTFQIYRWNPDNPSKPHLQDYQIDLKECGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGCNGLACLTKIPSGDSSTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPPVPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEFTNERLEAINDEFKLYRCHTILNCARACPKGLNPGKQIMHIKQLQLSGGA >Manes.01G165800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34970548:34977078:-1 gene:Manes.01G165800.v8.1 transcript:Manes.01G165800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSPVGLRVWSWVSLVLLLLNSGIVLSDSRYLIGLGSYDITGPAADVNMMGYANSDQIASGIHFRLRARTFIVAEPQGNRVVFVNLDACMASQLVKIKVIERLKSRYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEKSIVQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPAEERNKYKYDVDKEMTLLKFVDDEWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKNDIDSLYADEVADGIPRRVPDIIPRVPSNHHELLELAASFESSPGRPATKMLSVARRVRGDVRQAEKPGFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQLRKAMELFNTASEQLNGRVDYRHTFLDFSQLEVTLPKQGGGTEVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWRLVRNFLKTPNKEQVNCQHPKPILLDTGEMKRPYDWAPSILPIQILRVGQLVILSVPGEFTTMAGRRLRDAVRTVLTSGNKEFNRNVHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLFGPHTLSAYIQEFEKLAHALVDGQTVEPGPQPPDLLNKQISFLTPVVMDATPPGVNFGDCSSDVPKNSTFKRGDTVTVVFWSACPRNDLMTEGTFALVEILEGSSSWVPAYDDDDFCLRFKWSRPSKLSTRSQATIEWRIPQSTTPGVYRIRHFGAAKSLVGSVRHFTGSSSAFVVE >Manes.09G016732.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3559266:3560036:-1 gene:Manes.09G016732.v8.1 transcript:Manes.09G016732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSTDASTPPLPWEVVVLVAHKLDPKTLAIASCVSKSWYISMSSDHNWESHCITQYPSLARLKYTNPLIPYRRLYTMGHTAAKRRVKSPCKPRLSLDNIIFVIDLSTENQLIINSAKSGRELEKRERKGVFRFEFDVDVNYESWSSVDDKVLLEGVKISWNVVLKGWRAVFTMMECGGKVRIGKGGDGWFSEELPSPGCCFSDSRSGMVADMKVGFSSGRSRIGKVSLGILSIINWRYLSLEDGLRYLQHYLLP >Manes.04G006800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:921338:923328:1 gene:Manes.04G006800.v8.1 transcript:Manes.04G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAASSSPSAYGANTRLPTRSLNLQLCHIPLKVSFLSYPLVQNWILKSIPIITETVSTSRVAFSYPFRSFVIKASSVASSADTETKPFSVLFVCLGNICRSPAAEGIFRDIVKKRGLDSKFKIDSAGTIGYHEGNLADTRMRAVSKRRGVEITSLSRPLRPSDFRDFDIILAMDKQNREDIMEAFNRWRFRETLPDDAHKKVKLMCSYCKNRDETEVPDPYYGGPQGFEKVFDLLEDACESLLDSILEENSDILSS >Manes.03G080050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14185672:14197917:-1 gene:Manes.03G080050.v8.1 transcript:Manes.03G080050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTLLFNQLKAAEPFFLLAGPNVIESEEHLLRMASHLKTIASKLGLPLVFKSSFDKANRTSSKSFRGPGMIEGLKILEKVKIAYDLPIVTDVHETIQCEPVGRVADIIQIPAFLCRQTDLLVSAAKTGKIINIKKGQFCASSVMINSAEKVRLAGNSNVMVCERGTMFGYNDLIVDPRNFEWMREADCPVVADVTHSLQQPAGRKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRNLEELLEELMAIARVSKGKRRMEIDLTPFRE >Manes.05G206800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33355974:33361610:-1 gene:Manes.05G206800.v8.1 transcript:Manes.05G206800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIISPLFLSAFLFFSLLHPPTFAIEKSYIVYLGSHSHGSEAKLSDFKRVKNSHYELLGSFTKSKEKAREKIFYSYTKNINGFAAMLEDDEAAELAKHPDVISVFLNKGKRLHTTHSWSFLGLERDGEIPLGSIWYKSKFGKDVVVGNLDTGVWPESKSFSDEGMGPIPSHWRGICQRDTSGFCCNRKLIGARYFNKGYAAFAGPLNSTYNTTRDKAGHGTHTLSTAGGNFVPKANVFGNGNGTVKGGSPNARVAAYKVCWPPINGSGECFDADIMAAFDAAISDGVNVLSVSLGGDPADFFEDSIAIGSFHASKKGIVVVASAGNSGPAPGTVSNVAPWMITVGASTTDREFTNYVGLGNRRHLKGTSLSEKGLPAAKFYSLISGEHAKAPNSSAVDASLCKPGALDPRKAKGKILVCLRGENGRVNKGEQALLAGAVGMILANDENSGNEIIADAHVLPASHINFTDGEALYAYINSSRVPVAFMTHVKTKLETKPAPFMAAFSSRGPNSIASSILKPDITAPGVSIIASYSLAVSPTGEDFDKRRISFNTESGTSMSCPHVSGVVGLLKTLHPDWSPASIRSAIMTTARTRANNMNPILDSSTNLKATPFDYGAGHIRPNRAMDPGLVYDLTENDYLNFLCAQGYNQSQIKIFSGKPYPCPKSFSLEEFNYPSITVPNLNGHVTVTRRVRNVGPPGEYLAYVKSPPGVTVLVQPKSLKFKRIGEEKTFKVTLKNVTENEPRDYVFGTLVWTDRKHYVRSALVVRNY >Manes.05G206800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33355974:33360890:-1 gene:Manes.05G206800.v8.1 transcript:Manes.05G206800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDEAAELAKHPDVISVFLNKGKRLHTTHSWSFLGLERDGEIPLGSIWYKSKFGKDVVVGNLDTGVWPESKSFSDEGMGPIPSHWRGICQRDTSGFCCNRKLIGARYFNKGYAAFAGPLNSTYNTTRDKAGHGTHTLSTAGGNFVPKANVFGNGNGTVKGGSPNARVAAYKVCWPPINGSGECFDADIMAAFDAAISDGVNVLSVSLGGDPADFFEDSIAIGSFHASKKGIVVVASAGNSGPAPGTVSNVAPWMITVGASTTDREFTNYVGLGNRRHLKGTSLSEKGLPAAKFYSLISGEHAKAPNSSAVDASLCKPGALDPRKAKGKILVCLRGENGRVNKGEQALLAGAVGMILANDENSGNEIIADAHVLPASHINFTDGEALYAYINSSRVPVAFMTHVKTKLETKPAPFMAAFSSRGPNSIASSILKPDITAPGVSIIASYSLAVSPTGEDFDKRRISFNTESGTSMSCPHVSGVVGLLKTLHPDWSPASIRSAIMTTARTRANNMNPILDSSTNLKATPFDYGAGHIRPNRAMDPGLVYDLTENDYLNFLCAQGYNQSQIKIFSGKPYPCPKSFSLEEFNYPSITVPNLNGHVTVTRRVRNVGPPGEYLAYVKSPPGVTVLVQPKSLKFKRIGEEKTFKVTLKNVTENEPRDYVFGTLVWTDRKHYVRSALVVRNY >Manes.05G206800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33355974:33361610:-1 gene:Manes.05G206800.v8.1 transcript:Manes.05G206800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDEAAELAKHPDVISVFLNKGKRLHTTHSWSFLGLERDGEIPLGSIWYKSKFGKDVVVGNLDTGVWPESKSFSDEGMGPIPSHWRGICQRDTSGFCCNRKLIGARYFNKGYAAFAGPLNSTYNTTRDKAGHGTHTLSTAGGNFVPKANVFGNGNGTVKGGSPNARVAAYKVCWPPINGSGECFDADIMAAFDAAISDGVNVLSVSLGGDPADFFEDSIAIGSFHASKKGIVVVASAGNSGPAPGTVSNVAPWMITVGASTTDREFTNYVGLGNRRHLKGTSLSEKGLPAAKFYSLISGEHAKAPNSSAVDASLCKPGALDPRKAKGKILVCLRGENGRVNKGEQALLAGAVGMILANDENSGNEIIADAHVLPASHINFTDGEALYAYINSSRVPVAFMTHVKTKLETKPAPFMAAFSSRGPNSIASSILKPDITAPGVSIIASYSLAVSPTGEDFDKRRISFNTESGTSMSCPHVSGVVGLLKTLHPDWSPASIRSAIMTTARTRANNMNPILDSSTNLKATPFDYGAGHIRPNRAMDPGLVYDLTENDYLNFLCAQGYNQSQIKIFSGKPYPCPKSFSLEEFNYPSITVPNLNGHVTVTRRVRNVGPPGEYLAYVKSPPGVTVLVQPKSLKFKRIGEEKTFKVTLKNVTENEPRDYVFGTLVWTDRKHYVRSALVVRNY >Manes.12G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31039135:31042931:1 gene:Manes.12G112500.v8.1 transcript:Manes.12G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEMISRPLERCFKGGDGEDELLWHMDLKPHASGDYSIAVVQANSSLEDQGQVLTSPSATYVGVYDGHGGPEASRFIAHNLFPFLQKFAAEQGGLSAEVIRKAFDATEDEFLHLVKRLWAAQPQIASVGSCCLVGAISNGVLYVANLGDSRAVLGKRVSEGKTSGAVVAERLSTDHNVCVEEVRKEVAALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFNKDPLFHHIGLPVPLKRPVMTAEPSILVRQIRPQDLFLIFASDGLWEQLSDETAVDIVSKSPRTGIARRLVRAALQEAARKREMRYDDIRRIEKGVRRHFHDDITVIVIYLDHPPAPSNGKFKNQSVVECTSAPADIFSLGVNEG >Manes.12G112500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31039135:31042931:1 gene:Manes.12G112500.v8.1 transcript:Manes.12G112500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEMISRPLERCFKGGDGEDELLWHMDLKPHASGDYSIAVVQANSSLEDQGQVLTSPSATYVGVYDGHGGPEASRFIAHNLFPFLQKFAAEQGGLSAEVIRKAFDATEDEFLHLVKRLWAAQPQIASVGSCCLVGAISNGVLYVANLGDSRAVLGKRVSEGKTSGAVVAERLSTDHNVCVEEVRKEVAALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFNKDPLFHHIGLPVPLKRPVMTAEPSILVRQIRPQDLFLIFASDGLWEQLSDETAVDIVSKSPRTGIARRLVRAALQEAARKREMRYDDIRRIEKGVRRHFHDDITVIVIYLDHPPAPSNDGVQLKKKTVKFDMW >Manes.01G264400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41887042:41903784:-1 gene:Manes.01G264400.v8.1 transcript:Manes.01G264400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSGIFLGMIFGIAVMAGWNHMMRYRSIKRVSKAVDIKLLGSLSRDALKKICGDNFPQWISFPVFEQVKWLNKQLGKLWPFVADAATMVVKESVEPLLEEYRPPGITSLKFSKFSLGTVPPKIEGIRIQSLKKGQITMDIDFRWCGDPSIILGIEAALVASIPIQLKDLEVYTVIRVIFQLAEEIPCISAVVIALLSEPKPKIDYVLKAVGGSLTAIPGLSDMIDDTVHSIVTDMLQWPHRIVVPIGGIPVDTSELELKPQGKLTVVVVKANDLKNMEMIGKSDPYVVLYIRPVFKVKSNVVENNLNPVWDQTFELIAEDKETQSLVLEVFDEDIGQDERLGIVKVPLIGLKEETWKDLELRLLPSLNMLKIKDKKDRGSITIKVLYHEFNKEEQLAALEEEKMTVEEIKKLKEAGVIGSRMDALDGAASMVGTGSGTGVGKVGSGLGAVGSGLSKAGKLMGRKITGQSGKKKGSTTPVNS >Manes.10G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28316650:28320452:-1 gene:Manes.10G118200.v8.1 transcript:Manes.10G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDIAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAVTEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.14G031500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2823622:2825106:-1 gene:Manes.14G031500.v8.1 transcript:Manes.14G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSHQNNELSFQISSTPYQETSVPQDMILILQGHTTADGIDPCNENKKRKDQQRIPCFSRKKDEKSAEISTKKIMHRDFERRRRQDMTTLYTSLRNLLPLEYIKGKRAISDQIHQAEKYIKDLQKKIKQLSLYRDEMKNSSNLRALGHEAEGERINSFAPTSVVVRSSFLGVEIVISSGGLGKQVFHLSRVLELLREEGLDVVCFTSAKVNQRVIHTIQSEVSYMTCIDISELQNKLIEAMPSTSTENPN >Manes.06G160333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28670310:28672164:-1 gene:Manes.06G160333.v8.1 transcript:Manes.06G160333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVDLPVEDKREKYGKRYQKTFEQVTAEDIADRREKYGKRYEQAFAEKTADRIEKYEKLYEDTADRIEKYGKRYEDVADRTEKYGKRYEDAADRTEKYEKRYEDAADRTKKYGKRYEDAADRIEKYGKRYEDIADRTEKYGKRYEDAADRIEKYGKCYEDTADRTEKYGKRYEDAADRTEKYGKRYEDVADRTEKYGKRYENAADRTEKYGKRYEDAADRTEKYGKRYEDVVDRTEKYGKLYEDTADRTQRYRQRYEQAFAEDTIDRREKYGKRYEQPFVEDTTDRREKYGKRYEQAFAEDTTDRREKYGKRYEQSFVEDTTDKRKQYGIRYEQAFAEDSTDRREKYGKRYELKFNKHALPNSTVFFLPNDLHAVSRNYEALFGKF >Manes.03G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7211652:7216194:1 gene:Manes.03G062800.v8.1 transcript:Manes.03G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLFQLRLQQLPMVKPCYSCTCMPMASYSPFSSSLTISPRLSAYPKLIIAPASSTCLLPPLTVVRSRFVCKMNGSDMIRQLELDKPEERRKPEKRANGVFWIILLNLGLYVADHFFQVRGIRTLYLYHNLPAWYQFVTATFCHASWEHLSSNLFFLYIFGKLVEEEEGNFALWLSYILTGVGANLVSWLVLPRNAVSVGASGAVFGLFAISVLVKITWDWRKILEVLILGQFVIEKVMEAAQASAALSGTFRGGFPMQSVNHIAHLSGALIGAVLVWLVSRIPSSPDHETSKLHGK >Manes.08G103500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34270271:34274413:1 gene:Manes.08G103500.v8.1 transcript:Manes.08G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKSETTQVPCDFCTDQVAVLYCRADSAKLCLFCDQHVHSANLLSRKHLRSQICDNCTSEPVSVRCATDNLVLCQECDLDAHGSNFVSASHDRTPIEGFSGCPSAMELALIWGFDLDEKKLDDPSTSLIPSWSGCGVQDVVMQVEPWMCRSNGGVSYLDLIVPNDKATVYGNVNNVEMVTMSKEQQSPTCGKYKHLIYKQLVELLNRNLITGDGGGGDGGGGGGDNLMPQTPNRSCWQGNVEAADFGNGNASNVASSTVPQQPLQEQAPFTSLLMMPSQMDLKPSGQVAGEDLIWDGNANAQGTQIWDFHLGQLRTHEESDQLELAYGSTDAGFVINNIGEFMKEASLSNAKILGDRYQLDCPFACDDMSLFNLKLQNNSNNATASQGPATSESNNLSIARPSSGSTFSKLKSSSGGKDSQFMDMEQKILVTGDSVSTMLATKADMELLAKNRGTAMQRYKEKKKTRRYDNHIRYESRKARADTRKRVKGRFVKATDAPNE >Manes.08G103500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34270271:34274414:1 gene:Manes.08G103500.v8.1 transcript:Manes.08G103500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKSETTQVPCDFCTDQVAVLYCRADSAKLCLFCDQHVHSANLLSRKHLRSQICDNCTSEPVSVRCATDNLVLCQECDLDAHGSNFVSASHDRTPIEGFSGCPSAMELALIWGFDLDEKKLDDPSTSLIPSWSGCGVQDVVMQVEPWMCRSNGGVSYLDLIVPNDKATVYGNVNNVEMVTMSKEQQSPTCGKYKHLIYKQLVELLNRNLITGDGGGGDGGGGGGDNLMPQTPNRSCWQGNVEAADFGNGNASNVASSTVPQQPLQEQAPFTSLLMMPSQMDLKPSGQVAGEDLIWDGNANAQGTQLKLQNNSNNATASQGPATSESNNLSIARPSSGSTFSKLKSSSGGKDSQFMDMEQKILVTGDSVSTMLATKADMELLAKNRGTAMQRYKEKKKTRRYDNHIRYESRKARADTRKRVKGRFVKATDAPNE >Manes.05G128647.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22297938:22319014:-1 gene:Manes.05G128647.v8.1 transcript:Manes.05G128647.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCKFFTGENGLLLRIERLDKAELEKLETPEEKHAKEKLIAKQGKSYAKAALAHYNRQEDVDFELVKVLNCSTFCVLGSWHHINFEAKPRNFKCSPKLFFAELYGNALRVTCCCMLKPKGSDASALKGCYFCRSDVRHPDSGFRAGGERGLRVGKYGGIPVDRGPVLPQWSS >Manes.13G090200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22315267:22318356:1 gene:Manes.13G090200.v8.1 transcript:Manes.13G090200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENNTNRQVTFSKRRNGLIKKAYELAILCDIDIALIMFSPSGRLSHFSGKQRIEDVIARYINFPENDGGCDVQNREYLLSTLKKLKTENDMALQAANPEVTRSNIEELQQEVCNLQHQVQIAEDQLRIYEPDPLKFTSMGELESCEKNLLDVMTLLQDRKKYLLSNHASAYDPSTLQIYLDSEEGLQNFQNEIANWLPPENGQNPNAVALKSDQSSCIPVSNNQSSTTMFDGLSPRGTNVNVDPCNMGVGGTAQINNSDSETITSWQQAFSSLSSTELLAAFMPPSSFPLMKQHEIEGPSFSTMLEQQQADTTPNCPQMPSSGEGSNYDSYDL >Manes.01G151801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34005767:34005964:-1 gene:Manes.01G151801.v8.1 transcript:Manes.01G151801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGGLQNEVCKTRKSIPFSAFWLRSSVVSVLISLISDTWTISPHDIKLIFLGEGLITVACYWNP >Manes.09G106700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30967195:30975666:1 gene:Manes.09G106700.v8.1 transcript:Manes.09G106700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVLEEGDIVKIDMGCHIDGFIAVVAHTHVIQAGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNSDTRVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKHTTIYKRAVDKNYHLKMKASRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHPLQELQPTKPIDDPEIKAWLALGTKTKKKGGGKKKKAKKGDKAEESTEAEPMDATSGNDGQE >Manes.09G106700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30967195:30975181:1 gene:Manes.09G106700.v8.1 transcript:Manes.09G106700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEREEKELDLTSPEVVTKYKSAAEIVNKSLQLVVSECKAKAKVVDVCEKGDAYIREQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVLEEGDIVKIDMGCHIDGFIAVVAHTHVIQAGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNSDTRVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKHTTIYKRAVDKNYHLKMKASRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHPLQELQPTKPIDDPEIKAWLALGTKTKKKGGGKKKKAKKGDKAEESTEAEPMDATSGNDGQE >Manes.09G106700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30967195:30975666:1 gene:Manes.09G106700.v8.1 transcript:Manes.09G106700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEREEKELDLTSPEVVTKYKSAAEIVNKSLQLVVSECKAKAKVVDVCEKGDAYIREQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVLEEGDIVKIDMGCHIDGFIAVVAHTHVIQAGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNSDTRVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKHTTIYKRAVDKNYHLKMKASRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHPLQELQPTKPIDDPEIKAWLALGTKTKKKGGGKKKKAKKGDKAEESTEAEPMDATSGNDGQE >Manes.09G106700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30967195:30975666:1 gene:Manes.09G106700.v8.1 transcript:Manes.09G106700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEREEKELDLTSPEVVTKYKSAAEIVNKSLQLVVSECKAKAKVVDVCEKGDAYIREQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVLEEGDIVKIDMGCHIDGFIAVVAHTHVIQAGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNSDTRVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKHTTIYKRAVDKNYHLKMKASRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHPLQELQPTKPIDDPEIKAWLALGTKTKKKGGGKKKKAKKGDKAEESTEAEPMDATSGNDGQE >Manes.09G106700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30967195:30975181:1 gene:Manes.09G106700.v8.1 transcript:Manes.09G106700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEREEKELDLTSPEVVTKYKSAAEIVNKSLQLVVSECKAKAKVVDVCEKGDAYIREQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVLEEGDIVKIDMGCHIDGFIAVVAHTHVIQAGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNSDTRVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKHTTIYKRAVDKNYHLKMKASRFIFSEINQKFPILPFTARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRITSHPLQELQPTKPIDDPEIKAWLALGTKTKKKGGGKKKKAKKGDKAEESTEAEPMDATSGNDGQE >Manes.06G013800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2270591:2283145:1 gene:Manes.06G013800.v8.1 transcript:Manes.06G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRVSDPSRLHLKKELTQIRKAARVLRDPATTSSWKSPLTSSRSAAAETLAAAAASSTSASAWKQFENENVIPNGSNSHLDSHFRDTGKERRVFLYNWKTQKSSSEKSAMPRNDADEDYESRSIEESFDDSLSDERNAADSKSDSYMGESRSSSTILRCRGANPVSRSMNRAMGIKKKCKKTNTHLDILSRYQQKEMNLRRLLKSHPSMALGLGVRVEDSVEQSDDTEEYSNSEDLTKNSGASPFLIKHKHKNLSNSPSKFLRNCQKEDSSYCYSTPALSTSSYNRYCNRNPSTVGSWDATTASLNDGDDKVDDHLDLPVRQGCGIPCYWLKRTPRHRGVCGSCCSPSLSDSIRRKGTSILCGNQSMYHRRWRSSSASNKRRLASRSSQGLLPLLTDIDGRGGPSIGTGHSDDELSTNFGELDLEALSRLDGRRWSSCRSQDGLEIVALNGDREEEGTLENILCLSQKYNPLFFGEVIGQNIVVQSLINAISRGRIAPVYLFQGPRGTGKTSTARIFASALNCRSIEETKPCGYCTECADFISGQTRDLWEVDDSNKKGFDKVRNLLKKLSQRPLTGSSHYKVFLIDECHLLPAKMWLAFLQFLQGPPQRVVFIFVTTDPDNVPRTIQSQCQKYLFNKIKDADIVARLRRISAEENLDVELDALDLIALNADGSLRDAETMLDQLSLLGKRITTSLVNELVGVVPDEKLLELLKLSMSSDTAETVKRARNLMDSGVDPMVLVSQLASLIMDIIAGTYNVVDAKYSNSLFGGRSLNEAEMERLKHALKLLSEAEKQLRVSSDSSTWFTATLLQLGSVPSPDLTQSSSSRRQSSRTTEDDPSSTSREVTIHKHNSDAHYLLRKSSSPASLYKAINENSSHQRECGFSSKPPRSQSMDSLNSSASRDDKLVGNLLQRARNSDKLDHIWEKCIANCHSNTLRQLLHAHGKLFSISEVEGSLVIFVAFADEDIKARAERFMSSITNSIEVVLRCNVEVRIILEPDGEESMNRVNQSELQGQKRAEATLGIEQEKKANGFTDSQQESPKLARGIFNDMEGKLKEGSGDHFKSLISTELLPEANAENGDVKERRQELPIQRLETAWLQATEKGTPGSLIGPKPEKNQVLPQADIYHQNQIEPASSMDLPLPSQLLENQLNHELKVLKMEDQRVVHKDQIGKRPDCYPISPSLLHDSNFMDNPIKESLGYESSSSSRGCSGIFCWNANKSHRQKVQRTPVRSFECRGGGLTLFGECGKHKKIESSNKG >Manes.03G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24322068:24325656:-1 gene:Manes.03G117900.v8.1 transcript:Manes.03G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCELCGAAARMYCESDQASLCWDCDEKVHCANFLVAKHCRSLLCQVCQSPTPWKASGPKFGPTVSICESCFSLHKGKEGEIGHDRTESEEGNEQEDEILDDSDDYDDEDDEDEEEEEEEEEEDGENQVVPWSVTSPSPPVASSSSSEEEISTGFFGGSAAALKRMREDNADLDSDDENGCSSSHLGCGRLSNEEGNSMASFRPLKQARTNCGVGEVEEDHDHNNGQVESRSTAIIESLKRLQSEMVSNTESASATILRFSRLSRYPSR >Manes.17G036800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21181116:21184389:-1 gene:Manes.17G036800.v8.1 transcript:Manes.17G036800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMISSLNHSHSNFPSSDFLSRKLSVLKPQYSPVTGIQNSQKGHNFVASLTPEKPLHISSVEKFTFSAKTQQKKPLSVCNAYEADRSRPLDINIELPDEQAAQKIKIGIDFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMLISWASRVADAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPLPVYLSLAPIIGGCGLAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGNSVSGMNYYACLSMLSLLILTPFAIAMEGPQVWAAGWQNALAQIGPNFAWWVAAQSIFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIISSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >Manes.17G036800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21180547:21184389:-1 gene:Manes.17G036800.v8.1 transcript:Manes.17G036800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMISSLNHSHSNFPSSDFLSRKLSVLKPQYSPVTGIQNSQKGHNFVASLTPEKPLHISSVEKFTFSAKTQQKKPLSVCNAYEADRSRPLDINIELPDEQAAQKIKIGIDFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMLISWASRVADAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPLPVYLSLAPIIGGCGLAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGNSVSGMNYYACLSMLSLLILTPFAIAMEGPQVWAAGWQNALAQIGPNFAWWVAAQSIFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIISSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >Manes.01G088200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28961959:28963448:-1 gene:Manes.01G088200.v8.1 transcript:Manes.01G088200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFFFFFIFFVLHFSLSSATTNFNVLSYGAKPTGLTDSTKAFLNAWAAACGSTGPTMIYVPKGRYLLGSMVFGGGCKSPDITIRIDGTLVAPGDYRILGKAANWLSFEGVAGVSIVGGALDAKGSALWACKAKGKNCPSGATSLSFTNSNNIKIKGLLSLNSHMFHIVINGCQNVNVEGIKVIAAGDSPNTDGIHVQLSNNIAIINSNIKTGDDCISIGPGTQNLWIERVKCGPGHGISIGSLAKELEEEGVQNVTVTKTVFADTQNGLRIKSWARPSKGFVRRVRFIGAIMKNVQNPIVIDQNYCPHNLNCPNKMSGVKINDILYQNIRGTSATEVGIKFDCSSKNPCSDIRLHNINLTYSNQIAQSLCVNVLGKTIGLVKPHGCL >Manes.12G134100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34115172:34119214:-1 gene:Manes.12G134100.v8.1 transcript:Manes.12G134100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETATSPPTDLSNPTKSSDHALQKKHQAMLDRLSNRHQARNKSSSSSSATESTASFLSKFSDSKRLIESQLSESSKLATTDTTRLKSHLADISSSISALEQLVAENSYLLPSYELRSSLKTVSELKQSLDSLNAELVPKKKFSFKNKSTNKTSVSGPKETEIAKPEPPKPTLVIRDLPGIRNKENEILAKNFRDSEAGEFTLSDLDSCEVRLIGCVSVLFINRLTNCKVYAGPVMGSILIEEVENCVFVLASHQIRIHYAKGSDFYLRVRSRPIIEDCGGVRFAPYCLSYEGIEDDMIKAGLAEDTGNWSNVDDFKWLRAVQSPNWSVLAESERVGAIELKDLGSMTGEG >Manes.12G134100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34115172:34119214:-1 gene:Manes.12G134100.v8.1 transcript:Manes.12G134100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETATSPPTDLSNPTKSSDHALQKKHQAMLDRLSNRHQARNKSSSSSSATESTASFLSKFSDSKRLIESQLSESSKLATTDTTRLKSHLADISSSISALEQLVAENSYLLPSYELRSSLKTVSELKQSLDSLNAELVPKKKFSFKNKSTNKTSVSGPKETEIAKPEPPKPTLVIRDLPGIRNKENEILAKNFRDSEAGEFTLSDLDSCEVRLIGCVSVLFINRLTNCKVYAGPVMGSILIEEVENCVFVLASHQIRIHYAKGSDFYLRVRSRPIIEDCGGVRFAPYCLSYEGIEDDMIKAGLAEDTGNWSNVDDFKWLRAVQSPNWSVLAESERVGAIELKDLGSMTGEG >Manes.18G077439.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7070455:7075581:1 gene:Manes.18G077439.v8.1 transcript:Manes.18G077439.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWPWKRKSSERSPGETESSGSISSHSERFSDDQQDALKASPNIDAQLQSPEVTSKTSVEDEEVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAESEVAALKKQLEAALQQNSAQEDRVNHLDGALKECVRQLRQAREEQEEKVYAAVAKKTREWESTKIELEDQLVELKAKAEAAKSESPPKIDPEIYYKLEYLEKDNASLKLELLSLSEELEVRIIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKALACKSTSHNDRKISTASSIYVESLTTNSQSDSGERLNAVELDTRKMSCSELYKAETSCSDSWASALIAELDQFKNEKPVNRGLPSSANEIDLMDDFLEMERLAALPQTKNGANQSELEAVGKQSTDAESSLRVELETMIHRTAELEEKLQKVEVEKVELEEKLQKMEVEKVELEEKLEKIQVERTELEMVLTLSQEKNEEFQTQLKEAELKSAELQQKLSMANESKQHIESQLVSMEAEARTMASKVNSLEEEVEKERVLSAEIAVKYQALEEELSQKNKEVELQKSASSNNELKIKQEDLAAAAGKLAECQKTIASLGKQLKSLATLEDFLIDTAILPDGSAGGSLMPTASEEPWKLHSGDTFSPKKDPISSRTASENSDPSANKFEGHSPPSSSMSTSSASAMSNHSSSEKNRNGFAKFFSRSKNGIQLEI >Manes.18G077439.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7070455:7075581:1 gene:Manes.18G077439.v8.1 transcript:Manes.18G077439.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWPWKRKSSERSPGETESSGSISSHSERFSDDQDALKASPNIDAQLQSPEVTSKTSVEDEEVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAESEVAALKKQLEAALQQNSAQEDRVNHLDGALKECVRQLRQAREEQEEKVYAAVAKKTREWESTKIELEDQLVELKAKAEAAKSESPPKIDPEIYYKLEYLEKDNASLKLELLSLSEELEVRIIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKALACKSTSHNDRKISTASSIYVESLTTNSQSDSGERLNAVELDTRKMSCSELYKAETSCSDSWASALIAELDQFKNEKPVNRGLPSSANEIDLMDDFLEMERLAALPQTKNGANQSELEAVGKQSTDAESSLRVELETMIHRTAELEEKLQKVEVEKVELEEKLQKMEVEKVELEEKLEKIQVERTELEMVLTLSQEKNEEFQTQLKEAELKSAELQQKLSMANESKQHIESQLVSMEAEARTMASKVNSLEEEVEKERVLSAEIAVKYQALEEELSQKNKEVELQKSASSNNELKIKQEDLAAAAGKLAECQKTIASLGKQLKSLATLEDFLIDTAILPDGSAGGSLMPTASEEPWKLHSGDTFSPKKDPISSRTASENSDPSANKFEGHSPPSSSMSTSSASAMSNHSSSEKNRNGFAKFFSRSKNGIQLEI >Manes.18G077439.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7070381:7075620:1 gene:Manes.18G077439.v8.1 transcript:Manes.18G077439.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWPWKRKSSERSPGETESSGSISSHSERFSDDQDALKASPNIDAQLQSPEVTSKTSVEDEEVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAESEVAALKKQLEAALQQNSAQEDRVNHLDGALKECVRQLRQAREEQEEKVYAAVAKKTREWESTKIELEDQLVELKAKAEAAKSESPPKIDPEIYYKLEYLEKDNASLKLELLSLSEELEVRIIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKALACKSTSHNDRKISTASSIYVESLTTNSQSDSGERLNAVELDTRKMSCSELYKAETSCSDSWASALIAELDQFKNEKPVNRGLPSSANEIDLMDDFLEMERLAALPQTKNGANQSELEAVGKQSTDAESSLRVELETMIHRTAELEEKLQKVEVEKVELEEKLQKMEVEKVELEEKLEKIQVERTELEMVLTLSQEKNEEFQTQLKEAELKSAELQQKLSMANESKQHIESQLVSMEAEARTMASKVNSLEEEVEKERVLSAEIAVKYQALEEELSQKNKEVELQKSASSNNELKIKQEDLAAAAGKLAECQKTIASLGKQLKSLATLEDFLIDTAILPDGSAGGSLMPTASEEPWKLHSGDTFSPKKDPISSRTASENSDPSANKFEGHSPPSSSMSTSSASAMSNHSSSEKNRNGFAKFFSRSKNGIQLEI >Manes.18G077439.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7070381:7075620:1 gene:Manes.18G077439.v8.1 transcript:Manes.18G077439.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWPWKRKSSERSPGETESSGSISSHSERFSDDQDALKASPNIDAQLQSPEVTSKTSVEDEEVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAESEVAALKKQLEAALQQNSAQEDRVNHLDGALKECVRQLRQAREEQEEKVYAAVAKKTREWESTKIELEDQLVELKAKAEAAKSESPPKIDPEIYYKLEYLEKDNASLKLELLSLSEELEVRIIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKALACKSTSHNDRKISTASSIYVESLTTNSQSDSGERLNAVELDTRKMSCSELYKAETSCSDSWASALIAELDQFKNEKPVNRGLPSSANEIDLMDDFLEMERLAALPQTKNGANQSELEAVGKQSTDAESSLRVELETMIHRTAELEEKLQKVEVEKVELEEKLQKMEVEKVELEEKLEKIQVERTELEMVLTLSQEKNEEFQTQLKEAELKSAELQQKLSMANESKQHIESQLVSMEAEARTMASKVNSLEEEVEKERVLSAEIAVKYQALEEELSQKNKEVELQKSASSNNELKIKQEDLAAAAGKLAECQKTIASLGKQLKSLATLEDFLIDTAILPDGSAGGSLMPTASEEPWKLHSGDTFSPKKDPISSRTASENSDPSANKFEGHSPPSSSMSTSSASAMSNHSSSEKNRNGFAKFFSRSKNGIQLEI >Manes.18G077439.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7070455:7075581:1 gene:Manes.18G077439.v8.1 transcript:Manes.18G077439.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKWPWKRKSSERSPGETESSGSISSHSERFSDDQQDALKASPNIDAQLQSPEVTSKTSVEDEEVNDSVKILTERLSAALVNVSAKDDLVKQHSKVAEEAVAGWEKAESEVAALKKQLEAALQQNSAQEDRVNHLDGALKECVRQLRQAREEQEEKVYAAVAKKTREWESTKIELEDQLVELKAKAEAAKSESPPKIDPEIYYKLEYLEKDNASLKLELLSLSEELEVRIIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKALACKSTSHNDRKISTASSIYVESLTTNSQSDSGERLNAVELDTRKMSCSELYKAETSCSDSWASALIAELDQFKNEKPVNRGLPSSANEIDLMDDFLEMERLAALPQTKNGANQSELEAVGKQSTDAESSLRVELETMIHRTAELEEKLQKVEVEKVELEEKLQKMEVEKVELEEKLEKIQVERTELEMVLTLSQEKNEEFQTQLKEAELKSAELQQKLSMANESKQHIESQLVSMEAEARTMASKVNSLEEEVEKERVLSAEIAVKYQALEEELSQKNKEVELQKSASSNNELKIKQEDLAAAAGKLAECQKTIASLGKQLKSLATLEDFLIDTAILPDGSAGGSLMPTASEEPWKLHSGDTFSPKKDPISSRTASENSDPSANKFEGHSPPSSSMSTSSASAMSNHSSSEKNRNGFAKFFSRSKNGIQLEI >Manes.18G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16288626:16294361:1 gene:Manes.18G139200.v8.1 transcript:Manes.18G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGVILTGESQIPQENWERVEEAAMDIVNRIHPTVESHCKRKEVIEYLQALIQSFLGCEIFPYGSVPLKTYLPDGDIDLTIICHPAVEDAMVAAVHTILRQEQQNRNAPYEVKDVYFIDAAEVKLVKCIVRNIVIDISVNQLGGLSTLCYLEQVDQIVGRDHLFKRSILLIKAWCYFESRTLGSYHGLISTYALETLILYILHLFHNSLSGPLAVLYKFLDYFGKFDWKHYCISLYGRVSQSDLPNIVVEPLENRGELLLSDEFLRRCVGMFSVWSTNPEINSRPFPVKHLNIVDPLKANNNLGRSVNKASFHRIQSAFKYGARKLGRILSLPCEKMINELNRFFENTLCGHGSNYWTHMQNPCMACASRNSDNSSLSSLSDTSHLQLSYSYGENGKFDGALGCTSRSEYKENYFVVNNSACSSANNEDKPLVGSATKILVNNSSENLTPTVGEREYGSITETSQTFKSILDLNGDYECHLKSVLYGQYCQFYAENAPTLFFPLMAAVCQYLQLKQNVQSQMRTDGVFRQQQYSLNPPAQFSASVDYDRKEKRRGTGTYIPRMSYPSYRGRPSSGKWKYQTRGRNGEPDRYTHDNWVVATPQKGNCSKSSHELSEAEYPYLGNAKPLPSKILTPQSSVWGSSSADAFSPQPESIASEFQSLQLHEESLPERITPNYAGVSCTTSSTSIPITTAAERSETVSENEHERVAVQPYCLKDEVDFPPLFQGRAMGI >Manes.06G024300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5081328:5092993:1 gene:Manes.06G024300.v8.1 transcript:Manes.06G024300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPIENPTTKLKEGDILEGKNSIWGFGDETEPWANELAYSMDLWRDQVSYFIEEVIGEPVYIVGNSLGGYVALYFAASNPQLVKGVTLLNATPFWGFLPNPTRSPALARLFPWSGTFPLPPSVRKLIELVWQKISDPKSIAEILKQVYADHSTNVDQVFSRILEITQHPAAAASFASIMFAPQGQLSFEECLMRCKMNNLPICLMYGKEDPWVKPIWGLKVKRQVPEAPYYEISPAGHCPHDEVPEVVNYLLRGWINNIESHGSSALPLLDDSHSIQNGISKDLEFTREGSKKLVKIRAFGEGFSIWTWISSSIKSQLGKLGR >Manes.15G004300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:517384:517908:-1 gene:Manes.15G004300.v8.1 transcript:Manes.15G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVLFFISSLALLYVAYTIPRQDPKQTNLVVYVHDYFTGPDSSAITVAGKSGPNFHILHFGTVAVVDDPVTEGASIESREIGRAQGTYINSQLDGKGLYMVFSLIFTDGEYKGSTLEIQGSDIFSMKEREFGIVSGTGFFRFVKGYGTMQTEFMDIPNLRAIIKLNITVKHY >Manes.01G178200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35793183:35799790:-1 gene:Manes.01G178200.v8.1 transcript:Manes.01G178200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHSETPKSPFHCPLHHKSHLKSKTYHTLVQILSQCHKHPELCEIPPIVPQELQPEYNQSNEPGEASEKDVCEHIEVSTFYGMDPNNSATQTTVSCNENFDPAGFQEGFCDTKAVIDEIENMMRVEEDDNPSKQRDLPVAVIDDTDETGLQGKGFGQLQQWIMDELEDVIKGNKEVSFDNVCNFATASLNESQNGGVMGTFSNNVERHLDFQPDVLEAFGNVDQQQVDKAQSSQNTFNTIDSSLDKSITCGVPKLSDNHEENSSSLRNNQLEASHVMQQKEMESGKSVYTINAVCSSDHMIEDGEMEEGEISGEIQVCGSLVDVSSEEVVPQEQKQLSEDVADGNKFPSNDKKEANKKDSGSSSVTLEMVENMSDTRGAETKYIRNEMAYKRKFIYRDAILVEAPDGYKKQKKGCGTKELKKGSGVKGANKGKDSASCARNADQIATGRQENTCKDELHQDAGLENKKKRGPPSEEKKAKKKEKRRKKRAQKNRELGVRRLKLRPVHNPKPVALCRHYIRGRCQEGEKCKFSHETIPLTKSKPCCHFARHSCLKGDDCPFDHQLSKYPCANYVSAGFCSRGEDCMFSHELPLKEDLPSVSNVPTPDLKPQSLPGTSNSKKQPDTLGVNSCKNTEHNVAKSVMSPPSVVPKGISFLCAGKSSVFESSHSARGIPSLMRNEGFKVGNKTDGRTLGSIQNSNESPRGTPAAVPPMGINFLAFGKAPWEFSNIKKLNGNSGAKLSLPVNLDLTKQSASPLHTGNDIQVGKESKQSVSNTTAGLNKMLPESEFAAVPLKSNILLSGEFSTDGSTSSSQGSLPSNSVTCADRSVLASAIAPEKSSAILQGLLASAHQSADYPWLKKTPKSAQKAFLSTLAFAAKVESEMKMKQSPIGARAVSGRIIKETGNIVIPDSHNDSTKA >Manes.01G178200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35793173:35799790:-1 gene:Manes.01G178200.v8.1 transcript:Manes.01G178200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNSATQTTVSCNENFDPAGFQEGFCDTKAVIDEIENMMRVEEDDNPSKQRDLPVAVIDDTDETGLQGKGFGQLQQWIMDELEDVIKGNKEVSFDNVCNFATASLNESQNGGVMGTFSNNVERHLDFQPDVLEAFGNVDQQQVDKAQSSQNTFNTIDSSLDKSITCGVPKLSDNHEENSSSLRNNQLEASHVMQQKEMESGKSVYTINAVCSSDHMIEDGEMEEGEISGEIQVCGSLVDVSSEEVVPQEQKQLSEDVADGNKFPSNDKKEANKKDSGSSSVTLEMVENMSDTRGAETKYIRNEMAYKRKFIYRDAILVEAPDGYKKQKKGCGTKELKKGSGVKGANKGKDSASCARNADQIATGRQENTCKDELHQDAGLENKKKRGPPSEEKKAKKKEKRRKKRAQKNRELGVRRLKLRPVHNPKPVALCRHYIRGRCQEGEKCKFSHETIPLTKSKPCCHFARHSCLKGDDCPFDHQLSKYPCANYVSAGFCSRGEDCMFSHELPLKEDLPSVSNVPTPDLKPQSLPGTSNSKKQPDTLGVNSCKNTEHNVAKSVMSPPSVVPKGISFLCAGKSSVFESSHSARGIPSLMRNEGFKVGNKTDGRTLGSIQNSNESPRGTPAAVPPMGINFLAFGKAPWEFSNIKKLNGNSGAKLSLPVNLDLTKQSASPLHTGNDIQVGKESKQSVSNTTAGLNKMLPESEFAAVPLKSNILLSGEFSTDGSTSSSQGSLPSNSVTCADRSVLASAIAPEKSSAILQGLLASAHQSADYPWLKKTPKSAQKAFLSTLAFAAKVESEMKMKQSPIGARAVSGRIIKETGNIVIPDSHNDSTKA >Manes.08G148300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38457475:38463241:1 gene:Manes.08G148300.v8.1 transcript:Manes.08G148300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCRLHSDVLPHGSHGVHGYSLSSNSRGSRSWVGSERLTNHHHSVSDGVLSYSDSLPDNVQEPRWTSPVQKFNLGEVAASTVGGSRSQTYSLPSTERRFAVRATTASPSFGSPSPLSEPSHWESTSKRPLAFSNRNFPSRRPYMSKTVYPLVFRNPVSDCETFGDADISNIGRLIPGENLASPSCWPDNSSSVEYKFHRTLTELQKLETSPDPSASSRREGFRWSSASSYDLGLDGDRFDMAEHMDMESLRSPNSAVPDQKCGVCGKFLWQKSPWSSHRIMRGGDMPIAGVLPCSHVFHAECLEQATPKAHIHDPPCPLCLKTIGSVEESSSISEPLQLALRSIRRNRGVVISEALGSRNNSEASTHIKGRLRKNWLRAVRRWNDNGSLTSRLKGHFTFRGKVGKGIFSTKVSHKKGSSSREPIQSQISMQ >Manes.08G148300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38458284:38463241:1 gene:Manes.08G148300.v8.1 transcript:Manes.08G148300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCRLHSDVLPHGSHGVHGYSLSSNSRGSRSWVGSERLTNHHHSVSDGVLSYSDSLPDNVQEPRWTSPVQKFNLGEVAASTVGGSRSQTYSLPSTERRFAVRATTASPSFGSPSPLSEPSHWESTSKRPLAFSNRNFPSRRPYMSKTVYPLVFRNPVSDCETFGDADISNIGRLIPGENLASPSCWPDNSSSVEYKFHRTLTELQKLETSPDPSASSRREGFRWSSASSYDLGLDGDRFDMAEHMDMESLRSPNSAVPDQKCGVCGKFLWQKSPWSSHRIMRGGDMPIAGVLPCSHVFHAECLEQATPKAHIHDPPCPLCLKTIGSVEESSSISEPLQLALRSIRRNRGVVISEALGSRNNSEASTHIKGRLRKNWLRAVRRWNDNGSLTSRLKGHFTFRGKVGKGIFSTKVSHKKGSSSREPIQSQISMQ >Manes.08G148300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38457475:38463241:1 gene:Manes.08G148300.v8.1 transcript:Manes.08G148300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCRLHSDVLPHGSHGVHGYSLSSNSRGSRSWVGSERLTNHHHSVSDGVLSYSDSLPDNVQEPRWTSPVQKFNLGEVAASTVGGSRSQTYSLPSTERRFAVRATTASPSFGSPSPLSEPSHWESTSKRPLAFSNRNFPSRRPYMSKTVYPLVFRNPVSDCETFGDADISNIGRLIPGENLASPSCWPDNSSSVEYKFHRTLTELQKLETSPDPSASSRREGFRWSSASSYDLGLDGDRFDMAEHMDMESLRSPNSAVPDQKCGVCGKFLWQKSPWSSHRIMRGGDMPIAGVLPCSHVFHAECLEQATPKAHIHDPPCPLCLKTIGSVEESSSISEPLQLALRSIRRNRGVVISEALGSRNNSEASTHIKGRLRKNWLRAVRRWNDNGSLTSRLKGHFTFRGKVGKGIFSTKVSHKKGSSSREPIQSQISMQ >Manes.16G041300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5796059:5802260:1 gene:Manes.16G041300.v8.1 transcript:Manes.16G041300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKQSEGIALLSMYNDEDDEDMEDLDDQQREEQQQKQEDELLEPQNDYRESNEQGPDSLNDTMVIDEFPNDSSSSVLSLNSTPKDGLLRPLTPQQPQVSFSSSLQQQQQRLVNLEVKRSGRGRLTIVDYGHDEVAMSPEPEEGEFVEELLTANAGASQEITPAGTVQVLTPSAQATPQSSELLETSQPDEMNNAVILSEAAENEGTTNVPAEDAGSLDKFLPPPPKEKCPEELQRKIDKFLALKKVGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFFDEIAGASSALHSTSTTADAVARDGRQNKKTKWDKVDGDGRNLLPTGGQDSLATVAAHAALLSAANVGAGYTAFVQQKRREAEEKRSSEKKLERRS >Manes.16G041300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5796059:5802260:1 gene:Manes.16G041300.v8.1 transcript:Manes.16G041300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKQSEGIALLSMYNDEDDEDMEDLDDQQREEQQQKQEDELLEPQNDYRESNEQGPDSLNDTMVIDEFPNDSSSSVLSLNSTPKDGLLRPLTPQQPQVSFSSSLQQQQQRLVNLEVKRSGRGRLTIVDYGHDEVAMSPEPEEGEFVEELLTANAGASQEITPAGTVQVLTPSAQATPQSSELLETSQPDEMNNAVILSEAAENEGTTNVPAEDAGSLDKFLPPPPKEKCPEELQRKIDKFLALKKVGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFFDEIEADMRREKERKEQELKKSPKVEFISGGTQPGQVVGAPKFPLPIPGPKHQLL >Manes.16G041300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5796059:5802260:1 gene:Manes.16G041300.v8.1 transcript:Manes.16G041300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKQSEGIALLSMYNDEDDEDMEDLDDQQREEQQQKQEDELLEPQNDYRESNEQGPDSLNDTMVIDEFPNDSSSSVLSLNSTPKDGLLRPLTPQQPQVSFSSSLQQQQQRLVNLEVKRSGRGRLTIVDYGHDEVAMSPEPEEGEFVEELLTANAGASQEITPAGTVQVLTPSAQATPQSSELLETSQPDEMNNAVILSEAAENEGTTNVPAEDAGSLDKFLPPPPKEKCPEELQRKIDKFLALKKVGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFFDEIEADMRREKERKEQELKKSPKVEFISGGTQPGQVVGAPKFPLPIPAGASSALHSTSTTADAVARDGRQNKKTKWDKVDGDGRNLLPTGGQDSLATVAAHAALLSAANVGAGYTAFVQQKRREAEEKRSSEKKLERRS >Manes.16G041300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5796059:5802260:1 gene:Manes.16G041300.v8.1 transcript:Manes.16G041300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKQSEGIALLSMYNDEDDEDMEDLDDQQREEQQQKQEDELLEPQNDYRESNEQGPDSLNDTMVIDEFPNDSSSSVLSLNSTPKDGLLRPLTPQQPQVSFSSSLQQQQQRLVNLEVKRSGRGRLTIVDYGHDEVAMSPEPEEGEFVEELLTANGASQEITPAGTVQVLTPSAQATPQSSELLETSQPDEMNNAVILSEAAENEGTTNVPAEDAGSLDKFLPPPPKEKCPEELQRKIDKFLALKKVGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFFDEIAGASSALHSTSTTADAVARDGRQNKKTKWDKVDGDGRNLLPTGGQDSLATVAAHAALLSAANVGAGYTAFVQQKRREAEEKRSSEKKLERRS >Manes.16G041300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5796059:5802260:1 gene:Manes.16G041300.v8.1 transcript:Manes.16G041300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKQSEGIALLSMYNDEDDEDMEDLDDQQREEQQQKQEDELLEPQNDYRESNEQGPDSLNDTMVIDEFPNDSSSSVLSLNSTPKDGLLRPLTPQQPQVSFSSSLQQQQQRLVNLEVKRSGRGRLTIVDYGHDEVAMSPEPEEGEFVEELLTANGASQEITPAGTVQVLTPSAQATPQSSELLETSQPDEMNNAVILSEAAENEGTTNVPAEDAGSLDKFLPPPPKEKCPEELQRKIDKFLALKKVGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFFDEIEADMRREKERKEQELKKSPKVEFISGGTQPGQVVGAPKFPLPIPAGASSALHSTSTTADAVARDGRQNKKTKWDKVDGDGRNLLPTGGQDSLATVAAHAALLSAANVGAGYTAFV >Manes.16G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5796059:5802260:1 gene:Manes.16G041300.v8.1 transcript:Manes.16G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKQSEGIALLSMYNDEDDEDMEDLDDQQREEQQQKQEDELLEPQNDYRESNEQGPDSLNDTMVIDEFPNDSSSSVLSLNSTPKDGLLRPLTPQQPQVSFSSSLQQQQQRLVNLEVKRSGRGRLTIVDYGHDEVAMSPEPEEGEFVEELLTANGASQEITPAGTVQVLTPSAQATPQSSELLETSQPDEMNNAVILSEAAENEGTTNVPAEDAGSLDKFLPPPPKEKCPEELQRKIDKFLALKKVGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFFDEIEADMRREKERKEQELKKSPKVEFISGGTQPGQVVGAPKFPLPIPAGASSALHSTSTTADAVARDGRQNKKTKWDKVDGDGRNLLPTGGQDSLATVAAHAALLSAANVGAGYTAFVQQKRREAEEKRSSEKKLERRS >Manes.16G041300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5796059:5802260:1 gene:Manes.16G041300.v8.1 transcript:Manes.16G041300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKQSEGIALLSMYNDEDDEDMEDLDDQQREEQQQKQEDELLEPQNDYRESNEQGPDSLNDTMVIDEFPNDSSSSVLSLNSTPKDGLLRPLTPQQPQVSFSSSLQQQQQRLVNLEVKRSGRGRLTIVDYGHDEVAMSPEPEEGEFVEELLTANGASQEITPAGTVQVLTPSAQATPQSSELLETSQPDEMNNAVILSEAAENEGTTNVPAEDAGSLDKFLPPPPKEKCPEELQRKIDKFLALKKVGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFFDEIEADMRREKERKEQELKKSPKVEFISGGTQPGQVVGAPKFPLPIPGPKHQLL >Manes.16G041300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5796059:5802260:1 gene:Manes.16G041300.v8.1 transcript:Manes.16G041300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKKQSEGIALLSMYNDEDDEDMEDLDDQQREEQQQKQEDELLEPQNDYRESNEQGPDSLNDTMVIDEFPNDSSSSVLSLNSTPKDGLLRPLTPQQPQVSFSSSLQQQQQRLVNLEVKRSGRGRLTIVDYGHDEVAMSPEPEEGEFVEELLTANAGASQEITPAGTVQVLTPSAQATPQSSELLETSQPDEMNNAVILSEAAENEGTTNVPAEDAGSLDKFLPPPPKEKCPEELQRKIDKFLALKKVGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDKSDFFDEIEADMRREKERKEQELKKSPKVEFISGGTQPGQVVGAPKFPLPIPAGASSALHSTSTTADAVARDGRQNKKTKWDKVDGDGRNLLPTGGQDSLATVAAHAALLSAANVGAGYTAFV >Manes.11G152500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31987248:31991883:-1 gene:Manes.11G152500.v8.1 transcript:Manes.11G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTAATLSWSSSSWLHSFCGHSNEISKLPDKRMPMVIWAQKKAKKTRKIILKEDVTDLGKKGQLLDVKAGYYRNYLLPMGKAQIVTPSLLKEMRMEEERIDAEKKRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQRDVDKRIVSLPEIRETGEYIAELKLHPEVTARVRVNVYAN >Manes.11G152500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31988442:31991883:-1 gene:Manes.11G152500.v8.1 transcript:Manes.11G152500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTAATLSWSSSSWLHSFCGHSNEISKLPDKRMPMVIWAQKKAKKTRKIILKEDVTDLGKKGQLLDVKAGYYRNYLLPMGKAQIVTPSLLKEMRMEEERIDAEKKRVKEEAQQLALIFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQRDVDKRIVSLPEIRETGEYIAELKLHPEVTARVRVNVYAN >Manes.18G066200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5966732:5974219:1 gene:Manes.18G066200.v8.1 transcript:Manes.18G066200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLHRGISGMRISGNNNDLWDSQMKDKTEKEDLDRNRSSDHSYLSLKFPFRVLLPENNSPKYCSSENGFASDPFSIGTPRTRHKFTLLLLKLSLAVILVLALTGSFWWTISISTSSRSQILHNYRRLQEQLVSDLWDIGELSFGSSRLKEVEFCSQDSENFVPCFNVSENLALGFADGNENDRHCGLGSKQSCQVLPPVNYRIPLRWPTGKDVIWVSNVKITAQEVLSSGSLTKRMMMLDEEQISFRSSSMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFPKQLLTMCVANYEASGSQVQLTLERGLPAMIGSFSSKQLPYPSLSFDMLHCAQCGISWDQKDGIFLIEVDRVLKPGGYFVWTSPLTNARNKENLKRWNFVRDFAQNICWEMLSQQDETVVWKKTTKRNCYGSRKPGLGPSVCSRSHDIESPYYQPLQACIAGTQSRRWIPIEERKTWPSRSSLSEGELKIYGLLSDELAEDSQSWRTAVHNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAHFGGFNSALLEAGKSVWVMNVVPTSGPNYLPLILDRGFVGVLHDWCEAFPTYPRTYDLVHAAGLLSLEIGQQRRCTMLDIFTEVDRVLRPEGWVIIHDTAPLIESARVLATRLKWDARITEIESNSDERLLICQKPFFKRQAS >Manes.16G047207.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9676646:9678224:1 gene:Manes.16G047207.v8.1 transcript:Manes.16G047207.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNSSHLKLISIIFIILSIFTSKAISQSDECKTETNNSCNDKKRALTLKIIATVSILVTSMIGVGAPLFTHSIPALNPEKDLFVIVKTFAAGIILATGFMHVLPDSFDMLSSSCLKENPWHKFPFTGFLAMLSAIGTLMVDSMATSIYSNRCNSVGVDPAAESEVVHRDREMGVVESTGHFHGHFHESKAASGGQQLLRYRVIAMVLELGIIVHSVVIGLSMGASNNTCSIKGLVAALCFHQMFEGMGLGGCILQAEYKLLKKIAMAFFFSVTTPFGIALGIGLSNTYKENSPTALITVGLLNASSAGLLIYMALVDLLSADFMGPKLQRSIKLQIKCYIAVLLGAGGMSVMAKWA >Manes.08G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37314828:37315880:1 gene:Manes.08G136300.v8.1 transcript:Manes.08G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEVQAPAVPAVADTKSKRASTKATAAKANAPKAKKATVEKKAKSPRAYPSFLEMITDAIATMKERTGSSQHAITKFIEEKQKKLPSNFRKLLLVQLKRLVASGKLVKVKNSYKLPPTRSAPAKKPADVKPKVAASKPKTGKVVAKPKAKVATKPKPKAPVKAKPTAKPKAKTAVKPKAKPAVKPKAKPAVRPKAKSAVKSKPKPKTVAKPTKVAKTAAKTTPGKKTAKKAKK >Manes.12G153400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36104797:36107331:-1 gene:Manes.12G153400.v8.1 transcript:Manes.12G153400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSLCFLPLFFLLSSAIGTPPEIIRACNSTRFPDVCVSSFANSKQVPQKPTPLQIVQSAVSLSGTNLQHAQSMVKNILADSAGNLNRTDAAKFCIEQLGNSQYRISSTLDALPRGKNKDARAWMSASLTFQYSCWSSLQRVSDTSQTNETVALLDALQMITSNSLSLLMAYDVYGNKTESWTVPKTERDGFWEVPKSGDKLESYGGFLSKLTADVTVCKDGSGGCYKTVQEAVNAAPDNESSKKFVVHIKAGVYKEIVRIPLKKKNVVFLGDGMGKTVITGSLTAGPSLKTYNTATVGVAGDGFMASGITFKNAAGPPTSQAVAFRSDSDLSYIENCEFLGHQDTLYPNSLRQFYKSCRIQGNVDFIFGNAAAVFQDCQILIVPRQENPKKGEKNAVTAQGRFDPAQSAGFVFQKCSISGTAEYMALYKSNPKVHRNYLGRPWKEYSRVVYINCKFESLIAAEGWMPWDGDYALDTLYFGEYKNSGPRSNLSKRVTWSTQIPAEHVNTYSVKNFIQGDEWMPKSS >Manes.17G041200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23293349:23294272:1 gene:Manes.17G041200.v8.1 transcript:Manes.17G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSTHGTSTKHQNFQVGSQSLKPESSHESRAPPPLIEEETVKEVLSETPKLKPPPVVTQLIQPIKNPEQELHYQETNKNNILVDPPFPDEKIKTNGHKEEGFIVQEEEISEKEVCSLSFSETVSTTTFNNDKRDDDDDDDGEEVKQRVKKSPVAKLTPRNSAVSGNFGPTRDRSVGKSPNRRTDQSPDKRNNASRGGGGSMRLVQSRESSTNQAGRRGLKPDANRRDPGESSGRRSRSPANNGSTVGRSPSTRRTNGSPCRSRTDLTQSGGMEGKWPCTSNGSRTTTDESLENPLVSLECFIFL >Manes.16G056825.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20261626:20300677:1 gene:Manes.16G056825.v8.1 transcript:Manes.16G056825.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILSLIAGCRNVAYNSLSAKKHREIENLAPHKRMEVKFVQSLAPPLIAAFVALSPLCDTPVSLGQTTADIQKGAILFSRSCIGCHDAGGNIIQPGATLFMEDLQRNGAATEEEIYRITYFGKGRMPGFGENCTPRGQCTFGPRLQDDEIKLLAEFVKSQADQGWPNNQIIQD >Manes.16G056825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20261626:20300677:1 gene:Manes.16G056825.v8.1 transcript:Manes.16G056825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILSLIAGCRNVAYNSLSAGKKKHREIENLAPHKRMEVKFVQSLAPPLIAAFVALSPLCDTPVSLGQTTADIQKGAILFSRSCIGCHDAGGNIIQPGATLFMEDLQRNGAATEEEIYRITYFGKGRMPGFGENCTPRGQCTFGPRLQDDEIKLLAEFVKSQADQGWPNNQIIQD >Manes.02G017610.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1648131:1653091:-1 gene:Manes.02G017610.v8.1 transcript:Manes.02G017610.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHLYTSYSPIATRTISSNTNNSNKVLSLPLQHRHAKSIASSSLSLRPTTRLSISAAVGTTAVQVDGPTTSSKGPKSLPFRVGHGFDLHRLEPGYPLIIGGINIPHERGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDNDPKWKGAPSSVFIKEAVRLMHEAGYDIGNLDATLILQRPKLSPHKEAIRENLCQLLGADPSVINLKAKTHEKVDSLGENRSIAAHTVVLLMKK >Manes.S032316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:793835:794260:1 gene:Manes.S032316.v8.1 transcript:Manes.S032316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.15G170800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15502499:15508084:-1 gene:Manes.15G170800.v8.1 transcript:Manes.15G170800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKISETQIRKETGTSRSRSRSRGRGRGISSGSSNQQIQSQSYPQSQSKIPLLPISPNPPPPTLLTQHPPHFHFHHDLHHPISSHPSTTQSTQPLLSSPHKRPTIMNLSPSPLSLSSTLSSVRTHQQSNFSPTPSFATQFSIKTIAYKLFFHLSRARLLCVHLRVLVLISLPSLYFFLSNPNRFVILNFVSILAFSVTLLVSLNLAFPRLPSFRLLLSRSLPNKLNPTNLATKASKPVLWTIGSKSKVEKRLNSGSWVQVYSNGDVYEGEFHKGKCSGSGVYYYNMSGRYEGDWVDEKYDGHGVETWAKGSRYRGQYRQGLRHGIGVYRFYSGDVYAGEWSNGQCHGCGIYTCEDGSRYVGEFKWGVKHGLGRYHFRNGDTYAGEYFADRMHGFGVYQFGNGHQYEGAWHEGMRQGLGMYTFRNGEVQSGYWQNGFLDVPNSETSLNGSPYAVNHAKVRYAVQEAQHAARKAYEVARIDERVNRTVAAANKAANAARVAAVKAVQRQMPNNDSDDAPNPCV >Manes.06G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:330225:347776:-1 gene:Manes.06G000900.v8.1 transcript:Manes.06G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGGTANENTSLFPLFAASTGPQQITSTGATNPTTTSPPQWLCNTSFTTNLSVINDAVSSRQKDHDRTESDQEEDDSSPQRPQLKPPSSSASYELLEVEEEYSHSDSDVDSGSERKTNKRKEKPYKKKKKRRNRCRDEDADVHVRSRKSNVRAWADSHTKPPKDYYFDSHGDADNLVYGSLYRMDVPRYKPYSHTKLSAMDFHGLYRFNRSSIFDSDDDIDALGVKLKSGGRYWSAKYVALECQKSLKRLRLLAPKQPMLVGSDDYIPFSDAHLSQEGVDHGSTSKASLVEESWEDEVLRKTREFNQLTREHPHDEKVWLDFAEFQDRVAKLQPQKGARLQMLEKKISILEKAVELNPDNEELLLYLLKVYQSRDSSDMLIGRWEKVLMGHSGSSKLWKEYLHVVQGEFSRFKVSDVRKIFAHAIQALSTACSKQFRQVDQNEKPSPDPAIVQLELGLVDVFLSLCRFERQAGYQELATALFQAEIEFSLFCPSLLLSEHSKLRLFEHFWNGNGPRVGEEGALGWSSWLEKEEETRQRVVKEETSHDDERGGWTGWSEPLAKLTETDKNSANASNDTEELQGEIEDEEIKEEDDTEALLKQLGIDVDTEPSSDIKDTSTWIRWSEEEYLRDCKQWMPVHGKSDGISQSIGTPDREDCEQLSGVILFEDVGEYLFSLRSEEARISLLSQFIEFFGGDMSKRICTNSSSWNEKILSLEVLPNSIVQNLGLISNDLFLLLGNTGDHSRQADVMKFLRNAILLCLIAFPRNHILEEAALIAEELSTTRMDSSTPCRALAKSLLKSDRQDLLLCGVYAQREAASGNIDHARRVFDMALSSINGLPSHLQSNAPLLYFWYAEAELANSSGNTQELSPRALYILSCLGTGAKYYPFEHKPSSLQLLRAHQGFKEKLRTVQLAWVCGVVDDQSVALICSAALFEELTTGWAAGIGVLEEALTMVLPERRRHSYQLEFLFNYYMRMLLRHHKRSSSSRIWESISQGLQIYPQSCELFKALVEISHHYSTPNKLRWMFDDYCYRKPSVIVWIFALSYEMSRGGSHHRIHGLFERALANVSLRKSVVLWRSYIAYEIDILHNPSAAKRIFFRAIHACPWSKKLWLDGFLKLNSVLSAKELSDLQEVMRDKELNLRTDIYEILLRDELL >Manes.02G093100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7287586:7292110:1 gene:Manes.02G093100.v8.1 transcript:Manes.02G093100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLQLPIFLLPSVLSLLLFLVLIRRKQARFNLPPGNMGWPFIGETFGYLKHYYATSIGEFMEQHITRYGKIYKSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRIISLNFLSHARLRTHLLREVENHTLLVLNSWRENSVFSAQDEAKKFTFNLMAKHIMSMDPGKPETEQLKKEYVTFMKGVVSAPLNLPGTAYRKALQSRSIILKFIEQKMEERIEKMKEGVENLEEDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLESCPRTIQQLREEHLEIAKTKKQSGEKGLNWDDYKKMEFTQCVISETLRLGNVVRFLHRKALKDVRYKGFDIPRGWKVLPVISAVHLDSTLFDHPQLFNPWRWLQVG >Manes.02G093100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7287586:7292110:1 gene:Manes.02G093100.v8.1 transcript:Manes.02G093100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLQLPIFLLPSVLSLLLFLVLIRRKQARFNLPPGNMGWPFIGETFGYLKHYYATSIGEFMEQHITRYGKIYKSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRIISLNFLSHARLRTHLLREVENHTLLVLNSWRENSVFSAQDEAKKFTFNLMAKHIMSMDPGKPETEQLKKEYVTFMKGVVSAPLNLPGTAYRKALQSRSIILKFIEQKMEERIEKMKEGVENLEEDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLESCPRTIQQLREEHLEIAKTKKQSGEKGLNWDDYKKMEFTQCVISETLRLGNVVRFLHRKALKDVRYKGFDIPRGWKVLPVISAVHLDSTLFDHPQLFNPWRWLQGSTARGSSSCPSIGSSSSNYFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNYQWELANDDQAYAYPFVDFPKGLPIRVVKLHDSI >Manes.02G093100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7287586:7290074:1 gene:Manes.02G093100.v8.1 transcript:Manes.02G093100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLQLPIFLLPSVLSLLLFLVLIRRKQARFNLPPGNMGWPFIGETFGYLKHYYATSIGEFMEQHITRYGKIYKSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRIISLNFLSHARLRTHLLREVENHTLLVLNSWRENSVFSAQDEAKKFTFNLMAKHIMSMDPGKPETEQLKKEYVTFMKGVVSAPLNLPGTAYRKALQSRSIILKFIEQKMEERIEKMKEGVENLEEDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLESCPRTIQQLREEHLEIAKTKKQSGEKGLNWDDYKKMEFTQCVISETLRLGNVVRFLHRKALKDVRYKGFDIPRGWKVLPVISAVHLDSTLFDHPQLFNPWRWLQVGKLSELGQTCLP >Manes.09G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10648846:10652419:1 gene:Manes.09G064400.v8.1 transcript:Manes.09G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPKRLEEGLAHETKDKNELFSEKVDKTNDRDVTNREKEDTSSVNQQKQQQQQPLVKQKAKRVATLDAFRGLTVALMILVDNAGESYARIDHSPWNGCTLADFVMPFFLFIVGVAIALAFKRIPKKRDAVKKIILRTLKLLFWGILLQGGYSHAPNDLSYGVDMKIIRWCGILQRIALVYMFVALIETLTIKQRQSVVEPDHFTIFTAYKWQWIGGFIAFLIYMITTYALYVPDWSFDHESKRYTVKCGMRGHLGPACNAVGYVDREVWGINHLYQYPVWSRLKACTLSSPGSGPFREDAPTWCYASFEPEGLLSTISAILSGTIGIHYGHVLVHFKDHSERLKQWVSMGFGLLIIAIILHFTDAIPINKQLYSFSYVCFTAGAAGIVFSGFYILIDVWGLRMPFLFLEWIGMNAMLVYVMAAQGIFEGFINGWYYKSPDNTLVKWIQNHVFFNVWNSQRLGTLLYVIFAQITFWGVVAGILHKLGIYWKL >Manes.13G056002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6471646:6472623:1 gene:Manes.13G056002.v8.1 transcript:Manes.13G056002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEERKIPIVAYKLKGGAAAWWNSIQSMKQMFEQRFLPSDHAQVLYNRYHDCVQRNRRVDEYTEEFLRLQVRCENCENEAQQVAHYQRGLNHEIRCMMGVAAIFTLADAIEMAKRAEERVDWQPRQQQYNRNFNYRNSSSTETQQYRSNYSGQPSKVVNSSNPPNTMEERRDSKGKAVTTTTDKGGRTNPYQKPTGDICYRCRQSGHRSNNCPESRGVNIDHWQVNIVEQVAETDEEVDDDDGSIAGSEDGEVTYVVKKILCSTKQEDETQRRKIFQAKCRVGEAICRLIIDSCSCENLIAKQLVEKL >Manes.06G108300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24034765:24039607:1 gene:Manes.06G108300.v8.1 transcript:Manes.06G108300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILDKNDSGRPYVLLLPLIEGPFRASLQPGADDYVDICVESGSTKVCESSFRSCLYMHVGDDPYSLVKEAMKVIGVHLGTFKLLEEKTPPGIVDKFGWCTWGAFYLNVHPKGVWEGVKGLVEGGCPPGMVLIDDGWQSICHDDEPITEEGMNRTAAGEQMPCRLIKFEENYKFRDYGSPKRASKKGMGAFIKDLKEEFESVEDVYVWHALCGYWGGIRPNMQGMPEARVVTPRLSQGLRMTMEDLAVDKIVKSGVGLVPPNLAHQLYEGLHSHLQSVGVDGVKVDVIHLLEMLSEEFGGRVELPKAYYKALTASVRKHFKGNGVFASMEHCNDFMFLGTEAIALGRVGDDFWCTDPSGDPKGTYWLQGCHMVNCAYNSLWMGNFILPDWDMFQTTHPCAEFHAASRAISGGPVYVSDSVGNHNFKLLKRLVLPDGSILRCQSYALPTRDCLFEDPLHDGKTMLKIWNLNKYTGVLGLFNCQGGGWCPVSRRNKSADQFSRSVTCLASPKDIEWIHGKNPISIEGVRAFAIYILQEKKLKLLKSLESIEISLEPYNYELLIVSPVTMLPRRLVQFAPIGLVNMLNSGGAIQSLAVDDKNLVRVGVKGRGEMRVFATEKPMACKIDGVDVEFSYDDQMVIIQVPWPKSSRLSVIEYSF >Manes.13G061200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7126844:7135107:-1 gene:Manes.13G061200.v8.1 transcript:Manes.13G061200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPAEIKPRDVCIVGVARTPMGGFLGSLSTLSATKLGSVAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAELRKGSRLGHDSLVDGMLKDGLWDVYNDVGMGSCAEICADNHSITREDQDNYAVRSFEQGIAARDSGAFAWEIVPVEVSGGRGKPSTIVDKDEGIGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVTNAGLAASQIDYYEINEAFAVVALANQKLLGVNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVVELL >Manes.13G061200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7126849:7135107:-1 gene:Manes.13G061200.v8.1 transcript:Manes.13G061200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPAEIKPRDVCIVGVARTPMGGFLGSLSTLSATKLGSVAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAELRKGSRLGHDSLVDGMLKDGLWDVYNDVGMGSCAEICADNHSITREDQDNYAVRSFEQGIAARDSGAFAWEIVPVEVSGGRGKPSTIVDKDEGIGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVTNAGLAASQIDYYEINEAFAVVALANQKLLGVNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVVELL >Manes.13G061200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7126847:7135107:-1 gene:Manes.13G061200.v8.1 transcript:Manes.13G061200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPAEIKPRDVCIVGVARTPMGGFLGSLSTLSATKLGSVAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAELRKGSRLGHDSLVDGMLKDGLWDVYNDVGMGSCAEICADNHSITREDQDNYAVRSFEQGIAARDSGAFAWEIVPVEVSGGRGKPSTIVDKDEGIGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVTNAGLAASQIDYYEINEAFAVVALANQKLLGVNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVVELL >Manes.13G061200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7126860:7135107:-1 gene:Manes.13G061200.v8.1 transcript:Manes.13G061200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPAEIKPRDVCIVGVARTPMGGFLGSLSTLSATKLGSVAIEAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVVCTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAELRKGSRLGHDSLVDGMLKDGLWDVYNDVGMGSCAEICADNHSITREDQDNYAVRSFEQGIAARDSGAFAWEIVPVEVSGGRGKPSTIVDKDEGIGKFDPVKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGETALKLGLQVIAKITGYADAAQAPELFTTAPALAIPKAVTNAGLAASQIDYYEINEAFAVVALANQKLLGVNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGVGGVCNGGGGASALVVELL >Manes.01G270500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42415359:42416112:1 gene:Manes.01G270500.v8.1 transcript:Manes.01G270500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQTVCCMCGDVGFPDKLFRCSKCRHRFQHSNYYSELSEPTELCDWCLSEEKNARKGNSSKKSAVGHDSGGVTNRSEYSGDKIKQHDREESTAEKGKSSSGVPSPRTATRRYKLLKDVMC >Manes.01G270500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42415359:42416112:1 gene:Manes.01G270500.v8.1 transcript:Manes.01G270500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQTVCCMCGDVGFPDKLFRCSKCRHRFQHSYCSNYYSELSEPTELCDWCLSEEKNARKGNSSKKSAVGHDSGGVTNRSEYSGDKIKQHDREESTAEKGKSSSGVPSPRTATRRYKLLKDVMC >Manes.12G056700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5841521:5849373:1 gene:Manes.12G056700.v8.1 transcript:Manes.12G056700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPESVVNVTVIWRGSKYIVETNTNASLKDLGDELLKLTNVKPDTMRLIVPQIYSKSSKLLSPFSKEHSQLSLHEASIIGERSIRMMGVSEDEVDKVLQNAKIDHRIAGFDEEEKRMRQRSSDRTEPLLKLPQGPYTFNDFRTLEIPGLQLNPPASEALKRMHMLAADPGIIAIMNKHRWRVGIMTELAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEAASLDWTKSTGHTLNGFRHLNHYEEEFYVPDNRSFSQKLGGNVSDQLDSARSSSVAAAYRRLANASANSLEDYGKYKEPDPDDSSFSINDRSDIIDHGREENMDIENPHKVHLEPDYEPDPDEHSGDQSMYEPYPDDSQSNHPLLMETLRSGIQLSKIIDEPDPDDSETKQRNSGYRNIQGPYQNNGLAREENIDIETPHKVQLKLDYEPDSDEHSSEQIKYEPDPDDSQSNHPQLMKTLNGGIQLSKTIDEPDPDDSKTKQSNSGERNTQGPDQNISLVSESMEYTSLDKDYREPDPDESPANITLRTEPDPDDDLVASQEISRKKTAESMIIDEPDPDDLEAKQSSSRDVNIHEPYQNNPLSTEMTEPDPDESQAKRTEPDPDSDLVTSQEISSMKIDEPDPDDELKRIQDPVTVVCGKLRKAVESLRAEVNSTEATIVLQILFKIIRNVIEHPNEMKFKRLRKANPIIQKNVANHKAAIEILQIVGFIEDVVLSETGKTETCLVLKRNDPGLLWLAKSSLEECVTC >Manes.12G056700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5841518:5849372:1 gene:Manes.12G056700.v8.1 transcript:Manes.12G056700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPESVVNVTVIWRGSKYIVETNTNASLKDLGDELLKLTNVKPDTMRLIVPQIYSKSSKLLSPFSKEHSQLSLHEASIIGERSIRMMGVSEDEVDKVLQNAKIDHRIAGFDEEEKRMRQRSSDRTEPLLKLPQGPYTFNDFRTLEIPGLQLNPPASEALKRMHMLAADPGIIAIMNKHRWRVGIMTELAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEAASLDWTKSTGHTLNGFRHLNHYEEEFYVPDNRSFSQKLGGNVSDQLDSARSSSVAAAYRRLANASANSLEDYGKYKEPDPDDSSFSINDRSDIIDHGREENMDIENPHKVHLEPDYEPDPDEHSGDQSMYEPYPDDSQSNHPLLMETLRSGIQLSKIIDEPDPDDSETKQRNSGYRNIQGPYQNNGLAREENIDIETPHKVQLKLDYEPDSDEHSSEQIKYEPDPDDSQSNHPQLMKTLNGGIQLSKTIDEPDPDDSKTKQSNSGERNTQGPDQNISLVSESMEYTSLDKDYREPDPDESPANITLRTEPDPDDDLVASQEISRKKTAESMIIDEPDPDDLEAKQSSSRDVNIHEPYQNNPLSTEMTEPDPDESQAKRTEPDPDSDLVTSQEISSMKIDEPDPDDELKRIQDPVTVVCGKLRKAVESLRAEVNSTEATIVLQILFKIIRNVIEHPNEMKFKRLRKANPIIQKNVANHKAAIEILQIVGFIEDVVLSETGKTETCLVLKRNDPGLLWLAKSSLEECVTC >Manes.12G056700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5841521:5849329:1 gene:Manes.12G056700.v8.1 transcript:Manes.12G056700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPESVVNVTVIWRGSKYIVETNTNASLKDLGDELLKLTNVKPDTMRLIVPQIYSKSSKLLSPFSKEHSQLSLHEASIIGERSIRMMGVSEDEVDKVLQNAKIDHRIAGFDEEEKRMRQRSSDRTEPLLKLPQGPYTFNDFRTLEIPGLQLNPPASEALKRMHMLAADPGIIAIMNKHRWRVGIMTELAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVYSEHDANFYALDKQLNQEAASLDWTKSTGHTLNGFRHLNHYEEEFYVPDNRSFSQKLGGNVSDQLDSARSSSVAAAYRRLANASANSLEDYGKYKEPDPDDSSFSINDRSDIIDHGREENMDIENPHKVHLEPDYEPDPDEHSGDQSMYEPYPDDSQSNHPLLMETLRSGIQLSKIIDEPDPDDSETKQRNSGYRNIQGPYQNNGLAREENIDIETPHKVQLKLDYEPDSDEHSSEQIKYEPDPDDSQSNHPQLMKTLNGGIQLSKTIDEPDPDDSKTKQSNSGERNTQGPDQNISLVSESMEYTSLDKDYREPDPDESPANITLRTEPDPDDDLVASQEISRKKTAESMIIDEPDPDDLEAKQSSSRDVNIHEPYQNNPLSTEMTEPDPDESQAKRTEPDPDSDLVTSQEISSMKIDEPDPDDELKRIQDPVTVVCGKLRKAVESLRAEVNSTEATIVLQILFKIIRNVIEHPNEMKFKRLRKANPIIQKNVANHKAAIEILQIVGFIEDVVLSETGKTETCLVLKRNDPGLLWLAKSSLEECVTC >Manes.13G003500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:935472:939379:-1 gene:Manes.13G003500.v8.1 transcript:Manes.13G003500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRFLSFLFTVFLLTPSFSAFQSDELLVDDEEFGLEGAPPHPHQRSSDPAHIKSPPTPTTTRRKFSDSDSDSKIQFSLEHAFGDSDFVPAGTFSARLKTWSHGGQQTLTKLRFSRNEFAQVDKEKFEKLLLGDDFYRIRLPSNVLSPPGRNYVVSSVKARCLPREGLDEHFVIHMEGVNILGVNYGAPGACPYPRQMKLPAKWSFNSHTVLKNSEQAPRTPVFSEEQLGENGEGEAVTPPERSFWAKYWMYLIPLGLIVMNAITQAMNLPEEQAAGQAGAQPGAVQRGPSPAVRRR >Manes.13G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:935472:939398:-1 gene:Manes.13G003500.v8.1 transcript:Manes.13G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRFLSFLFTVFLLTPSFSAFQSDELLVDDEEFGLEGAPPHPHQRSSDPAHIKSPPTPTTTRRKFSDSDSDSKIQFSLEHAFGDSDFVPAGTFSARLKTWSHGGQTLTKLRFSRNEFAQVDKEKFEKLLLGDDFYRIRLPSNVLSPPGRNYVVSSVKARCLPREGLDEHFVIHMEGVNILGVNYGAPGACPYPRQMKLPAKWSFNSHTVLKNSEQAPRTPVFSEEQLGENGEGEAVTPPERSFWAKYWMYLIPLGLIVMNAITQAMNLPEEQAAGQAGAQPGAVQRGPSPAVRRR >Manes.13G003500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:935472:939379:-1 gene:Manes.13G003500.v8.1 transcript:Manes.13G003500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRFLSFLFTVFLLTPSFSAFQSDELLVDDEEFGLEGAPPHPHQRSSDPAHIKSPPTPTTTRRKFSDSDSDSKIQFSLEHAFGDSDFVPAGTFSARLKTWSHGGQTLTKLRFSRNEFAQVDKEKFERCLPREGLDEHFVIHMEGVNILGVNYGAPGACPYPRQMKLPAKWSFNSHTVLKNSEQAPRTPVFSEEQLGENGEGEAVTPPERSFWAKYWMYLIPLGLIVMNAITQAMNLPEEQAAGQAGAQPGAVQRGPSPAVRRR >Manes.15G141400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11459741:11464223:-1 gene:Manes.15G141400.v8.1 transcript:Manes.15G141400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSASFFRSREENQNQMMQQNSSTAAPTTVPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPSNLSTIGTHLYGSNHMSLGLSQVGSQISSLQDQNHPTSNMLRLGSAGAAKFEHIIPPSNSSSLPSMPSSAFFMSDANQGSFPNKSLHGLIQLNDLQNTTTNSSHTNLFNLGFFSNNAAAPSRMSNSDNANSSTTATNLVNSSFLNPNPFNNGNGGQGLFSNNMGDHHVDSAGIPSLYSNSMQQENITPHMSATALLQKAAQMGSTTSSNNSNLLRGLGGSPSTGTKSDRSPLVSANFSSNSFGNATVGDGGLGTQIEHDNQLQGLMNSLANGGSSIFGGGHGQDNSFGGFTGSGISLEQLHNSTNFSNVEEAKLQQSLGVGSDKLTLDFLGVGEMVRSIGGAQHGINLSSNNKPF >Manes.15G141400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11459741:11464223:-1 gene:Manes.15G141400.v8.1 transcript:Manes.15G141400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSASFFRSREENQNQMMQQNSSTAAPTTVPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPSNLSTIGTHLYGSNHMSLGLSQVGSQISSLQDQNHPTSNMLRLGSAGAAKFEHIIPPSNSSSLPSMPSSAFFMSDANQGSFPNKSLHGLIQLNDLQNTTTNSSHTNLFNLGFFSNNAAAPSRMSNSDNANSSTTATNLVNSSFLNPNPFNNGNGGQGLFSNNMGDHHVDSAGIPSLYSNSMQQENITPHMSATALLQKAAQMGSTTSSNNSNLLRGLGGSPSTGTKSDRSPLVSANFSSNSFGNATVGDGGLGTQIEHDNQLQGLMNSLANGGSSIFGGGHGQDNSFGGFTGSGISLEQLHNSTNFSNVEEAKLQQSLGVGSDKLTLDFLGVGEMVRSIGGAQHGINLSSNNKPF >Manes.15G141400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11459741:11464223:-1 gene:Manes.15G141400.v8.1 transcript:Manes.15G141400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSASFFRSREENQNQMMQQNSSTAAPTTVPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPSNLSTIGTHLYGSNHMSLGLSQVGSQISSLQDQNHPTSNMLRLGSAGAAKFEHIIPPSNSSSLPSMPSSAFFMSDANQGSFPNKSLHGLIQLNDLQNTTTNSSHTNLFNLGFFSNNAAAPSRMSNSDNANSSTTATNLVNSSFLNPNPFNNGNGGQGLFSNNMGDHHVDSAGIPSLYSNSMQQENITPHMSATALLQKAAQMGSTTSSNNSNLLRGLGGSPSTGTKSDRSPLVSANFSSNSFGNATVGDGGLGTQIEHDNQLQGLMNSLANGGSSIFGGGHGQDNSFGGFTGSGISLEQLHNSTNFSNVEEAKLQQSLGVGSDKLTLDFLGVGEMVRSIGGAQHGINLSSNNKPF >Manes.09G054800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8894250:8895829:1 gene:Manes.09G054800.v8.1 transcript:Manes.09G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDIKTLVSACADVSCDRKIGCETVATTSTTTVTTDNQLRQHQESEIDPQEIPPDFPPESFCLSKDAELDWFDRNAFIERKDSTKGSSHSTNLNPNVNPNTNQSNSQRYSNLKSKASIIGLPMPHKSRFVDGRRHDKPRNTRLFHKRSASTCKSDSSVIEPSSPKVSCMGRVRSKKDKNRRLRNKQRSNETDSKKEKAESKQIRGLFASFLAIFSASGNRTTKRENDASQRGSQLKKSSTVKTIDIRERLPVSERDALPRNSVGNDDFERAVGAEPIGLGGMKRFASGRKSNSWLDTA >Manes.12G116050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31769498:31770782:1 gene:Manes.12G116050.v8.1 transcript:Manes.12G116050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLFLNLISLIIYACHSFMGLPASTAFFYYYYFINAHCIAFEKIHKINYKRTKNIQINQSNQNKKQNSKPIKANKTINTTAYTDFNAESSCTIAKISIRRRNKQNRKRIAKQVLMAIPKLLQTQKFSKGEA >Manes.01G097800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29908655:29912479:-1 gene:Manes.01G097800.v8.1 transcript:Manes.01G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTKSSKSVTPVKDPHGFHSKVQEISKISENLNPNVSHSSPVAKHTNSPLIKSAKSQKTASKNPIINHNAVPYSPRNKIRERKFVVAKKNSQKGNVNLTSAVDCKCKERFGGNTMKCLCVAYETLRASQEEFFKNRDGIEEKGEMDKEKTTGYKAESEAEEEEIEKGFMAQKDESEGGYGSDNQRLCESEQSGQVGISTIKRRRDKLLEQARNSVPESGIVMHLVKAFEQLRTIPDVKESDKKEEKIKDEKKKAMQWALPGLQHPKLPDIQTNSSCSLSVFQSSKVAEMQDSPSSLCPSDLLLTSENLGLDPRLSVSSSWDSSQGSISSRTSNGGRRSRRNSSESCGTMGGSRWKKKQLKITRQQPFKLRTEQRGRMKEEEFMKKLQEMMTEEEKQRIPVAQGLPWTTDEPECLIKPPVKENTRPVDLKLHSDIRAVERAEFDHQVAEKLSLIEQYKMERERQRKMEEEEEVRRLRKELVPKAQPMPYFDRPFIPRRSMKHPTVPREPKFHIPQHKKIKSCLSWNDLSTFTYQQ >Manes.14G127300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10127565:10131226:1 gene:Manes.14G127300.v8.1 transcript:Manes.14G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFESVAVSFTLLLLLLSHGRVHSEPVQDKQTLLAFISRVPHANRVQWNESDSACNWVGVVCDANENSVFELRLPGVGLVGQIPPNTLGKLTQLRVLSLRSNRLFGEIPSDLSNLTLLRSLYLQNNEFSGDFPPSLPRLTRLTRLDLSSNNFTGSIPFAVNNLTHLTRLYLQNNQFSGTLPSINPSNLMDFNVSNNNLNGSIPSVLSRFPASSFAGNLNLCGGPLPPCNPFFPSPAPSPSEAPPETPGHKKSKKLSTAAIVLIAVGSALAAFLLLLFLLLCLRRKQRRQPAKTPKPTAAARAVPVEAGTSSSKDDITGGSTEAERNKLVFFEGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKREFEMQMEVLGKIKHDNVVPLRAFYYSKDEKLLVYDFMPAGSLSALLHGSRGSGRTPLDWDNRMRIAISAARGLAHLHVVGKVVHGNIKSSNILLRPDQDASFSDFGLNPLFGTSTPPSRVAGYRAPEVVETRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVSTVPDQRPAMQEVVRMIEDINRGETDDGLRQSSDDPSKGSDGHTPPQEPRTPPGVVTP >Manes.01G156400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34260594:34267415:-1 gene:Manes.01G156400.v8.1 transcript:Manes.01G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHFLRVVCFFLVLFYGFLDSCVYGFNSESREIVHSSPPWSDFKSSTRAGARSLKSTSYFEDSTELVAFLNGTIASMDTASGKVFWSFSTGAPIYSSYEAPFDHDKDKENGSGPTTGFSVDYEDDWQLYLRGTTGVKFKMNIEDFIKITPHISDDGSVTLGSKTTTVFVVDAKTGRLVHTYKLLDSPSTLQRDEGSALHDDNKNNKDLVMSGSSSAAQVMYITRTDYTLQNFGSNSDNVSWNMKVAMIEAAFLCKDVESSAATLNSAYDLISESRSDFNMPLSCHSRRMVVRRQGKPESGFGAARSDEKLPLPASNLMLPSDSGIEKSLDAHHEEQMLTGFAPNPMLSLEPKVDKLSNFLPNNENERMLALPYDSVVVDVHDARLPYENVLRSSAFVLIVIIILLGYIFYPHDLAGKEKIASEKLSSSGSLKISPSKRKKSKKSGKKNASVDTKDADVSSENGDGSALGEGDDTTFLDLNKLVDCGADGRRIGKLFVSNTEIAKGSNGTIILEGVYEGRVVAVKRLVQTHHDVAFKEIQNLIASDRHPNIVRWYGVEYDKDFVYLSLERCTCSLDDLIQIFSGSSVSQISGEDHATRAEIEYKLRLDKVKVIMQDLNLWQENGHPSPLLLALMRDVVSGLVHLHELGIIHRDLKPQNVLLLKERSLCAKLSDMGISKRLFGDLSSLGYNATGCGSSGWQAPEQLLHGRQTRAVDLFSLGCVLFFCITGGRHPFGDRLERDINIVKNKMDLFLVEYIPEAEDLISRLLNPDPELRPKALEVLHHPMFWSSEMRLSFLRDTSDRVELEDRESDSDLLTALESTAPTALGGKWNEKMEPAFISNIGHYRRYKFDSVRDLLRVLRNKLNHYRELPKGIQELVGPVPEGYDGYFRSRFPKLLIEVYKVVNIFCREEDCFHKYF >Manes.01G156400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34260593:34267415:-1 gene:Manes.01G156400.v8.1 transcript:Manes.01G156400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEDFIKITPHISDDGSVTLGSKTTTVFVVDAKTGRLVHTYKLLDSPSTLQRDEGSALHDDNKNNKDLVMSGSSSAAQVMYITRTDYTLQNFGSNSDNVSWNMKVAMIEAAFLCKDVESSAATLNSAYDLISESRSDFNMPLSCHSRRMVVRRQGKPESGFGAARSDEKLPLPASNLMLPSDSGIEKSLDAHHEEQMLTGFAPNPMLSLEPKVDKLSNFLPNNENERMLALPYDSVVVDVHDARLPYENVLRSSAFVLIVIIILLGYIFYPHDLAGKEKIASEKLSSSGSLKISPSKRKKSKKSGKKNASVDTKDADVSSENGDGSALGEGDDTTFLDLNKLVDCGADGRRIGKLFVSNTEIAKGSNGTIILEGVYEGRVVAVKRLVQTHHDVAFKEIQNLIASDRHPNIVRWYGVEYDKDFVYLSLERCTCSLDDLIQIFSGSSVSQISGEDHATRAEIEYKLRLDKVKVIMQDLNLWQENGHPSPLLLALMRDVVSGLVHLHELGIIHRDLKPQNVLLLKERSLCAKLSDMGISKRLFGDLSSLGYNATGCGSSGWQAPEQLLHGRQTRAVDLFSLGCVLFFCITGGRHPFGDRLERDINIVKNKMDLFLVEYIPEAEDLISRLLNPDPELRPKALEVLHHPMFWSSEMRLSFLRDTSDRVELEDRESDSDLLTALESTAPTALGGKWNEKMEPAFISNIGHYRRYKFDSVRDLLRVLRNKLNHYRELPKGIQELVGPVPEGYDGYFRSRFPKLLIEVYKVVNIFCREEDCFHKYF >Manes.01G156400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34260594:34267415:-1 gene:Manes.01G156400.v8.1 transcript:Manes.01G156400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASGKVFWSFSTGAPIYSSYEAPFDHDKDKENGSGPTTGFSVDYEDDWQLYLRGTTGVKFKMNIEDFIKITPHISDDGSVTLGSKTTTVFVVDAKTGRLVHTYKLLDSPSTLQRDEGSALHDDNKNNKDLVMSGSSSAAQVMYITRTDYTLQNFGSNSDNVSWNMKVAMIEAAFLCKDVESSAATLNSAYDLISESRSDFNMPLSCHSRRMVVRRQGKPESGFGAARSDEKLPLPASNLMLPSDSGIEKSLDAHHEEQMLTGFAPNPMLSLEPKVDKLSNFLPNNENERMLALPYDSVVVDVHDARLPYENVLRSSAFVLIVIIILLGYIFYPHDLAGKEKIASEKLSSSGSLKISPSKRKKSKKSGKKNASVDTKDADVSSENGDGSALGEGDDTTFLDLNKLVDCGADGRRIGKLFVSNTEIAKGSNGTIILEGVYEGRVVAVKRLVQTHHDVAFKEIQNLIASDRHPNIVRWYGVEYDKDFVYLSLERCTCSLDDLIQIFSGSSVSQISGEDHATRAEIEYKLRLDKVKVIMQDLNLWQENGHPSPLLLALMRDVVSGLVHLHELGIIHRDLKPQNVLLLKERSLCAKLSDMGISKRLFGDLSSLGYNATGCGSSGWQAPEQLLHGRQTRAVDLFSLGCVLFFCITGGRHPFGDRLERDINIVKNKMDLFLVEYIPEAEDLISRLLNPDPELRPKALEVLHHPMFWSSEMRLSFLRDTSDRVELEDRESDSDLLTALESTAPTALGGKWNEKMEPAFISNIGHYRRYKFDSVRDLLRVLRNKLNHYRELPKGIQELVGPVPEGYDGYFRSRFPKLLIEVYKVVNIFCREEDCFHKYF >Manes.01G156400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34260594:34267415:-1 gene:Manes.01G156400.v8.1 transcript:Manes.01G156400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASGKVFWSFSTGAPIYSSYEAPFDHDKDKENGSGPTTGFSVDYEDDWQLYLRGTTGVKFKMNIEDFIKITPHISDDGSVTLGSKTTTVFVVDAKTGRLVHTYKLLDSPSTLQRDEGSALHDDNKNNKDLVMSGSSSAAQVMYITRTDYTLQNFGSNSDNVSWNMKVAMIEAAFLCKDVESSAATLNSAYDLISESRSDFNMPLSCHSRRMVVRRQGKPESGFGAARSDEKLPLPASNLMLPSDSGIEKSLDAHHEEQMLTGFAPNPMLSLEPKVDKLSNFLPNNENERMLALPYDSVVVDVHDARLPYENVLRSSAFVLIVIIILLGYIFYPHDLAGKEKIASEKLSSSGSLKISPSKRKKSKKSGKKNASVDTKDADVSSENGDGSALGEGDDTTFLDLNKLVDCGADGRRIGKLFVSNTEIAKGSNGTIILEGVYEGRVVAVKRLVQTHHDVAFKEIQNLIASDRHPNIVRWYGVEYDKDFVYLSLERCTCSLDDLIQIFSGSSVSQISGEDHATRAEIEYKLRLDKVKVIMQDLNLWQENGHPSPLLLALMRDVVSGLVHLHELGIIHRDLKPQNVLLLKERSLCAKLSDMGISKRLFGDLSSLGYNATGCGSSGWQAPEQLLHGRQTRAVDLFSLGCVLFFCITGGRHPFGDRLERDINIVKNKMDLFLVEYIPEAEDLISRLLNPDPELRPKALEVLHHPMFWSSEMRLSFLRDTSDRVELEDRESDSDLLTALESTAPTALGGKWNEKMEPAFISNIGHYRRYKFDSVRDLLRVLRNKLNHYRELPKGIQELVGPVPEGYDGYFRSRFPKLLIEVYKVVNIFCREEDCFHKYF >Manes.14G095101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7910579:7910857:1 gene:Manes.14G095101.v8.1 transcript:Manes.14G095101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCNILAADCVVISCCCQCLILKIIIFILLKLPYKIIRKTREYTKKKLRIRYRKQAEKTTESVKARFQDEFLEFSVTNQMEELHGSHVFGS >Manes.10G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15218907:15223153:-1 gene:Manes.10G073600.v8.1 transcript:Manes.10G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNGVNLHFFTLVLVLVLVPAFSLNTDGVLLLSFKYSILSDPLSVLESWNYDDVTPCAWKGVTCTELGQPRSPDLFRVTSLVLPRSQLLGSIPSDLGFIQYLRHLDLSNNFLNGSLPSSFFNSTELQVISLSGNEISGELPESIGGMVSLQLLNLSDNALAGKIPENLTTLQNLTVLSLRTNYFSGYVPSGFNSVQVLDLSSNLLNGSLPFDFGGGNLRYLNLSYNKLSGPISQDFAEKIPQNSTIDLSFNNFTGAIPESVSLLNQKTESFRGNMDLCGKPLKNLCSIPSTLSTPPNISTISPAIAVIPKPLESTPVANSSSGTQNATAQNQTQTGLKPTTIAAIAVADLAGITILALVILYVYQLKKKKTLNQKNNKSEQKLPSETIISKLDQASSAEPRKTTACSCLTIKAEATSEEATTSDSEHDGGEGSSQQNEVINVNQHQQNKGGKLVIVDGETELDMETLLKASAYILGASGASIVYKAVLADGSAFAVRRIGESGVERFKDFENQVRFIAKLRHPNLVKVRGFFWGDNEKLVIYDYVSNGSLASSSSRKPGSSPLHLPLKVRLNIARGVARGLAFIHDKKHVHGSIKPTNILFNSDMDPIISDFGLDRLISGNNNNYKASNFSQNFGLQKSNSTSQNHPITAASPSATPSSSTTTSTLPYQAPESLKNPKPNPKWDVYSFGIILLELLTGRVVSDRELSQWSVSSISKDENQVLRLADVAIRADLVGREDAMVACLKLGFSCACFAPQKRPTMKEVVQVLEKIL >Manes.15G088200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6765260:6765379:-1 gene:Manes.15G088200.v8.1 transcript:Manes.15G088200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQCPCCSCFCFMKPKQGKPKAKEAKVEDSKEEKKE >Manes.09G119850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32264584:32264902:1 gene:Manes.09G119850.v8.1 transcript:Manes.09G119850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLLVPYIFFSLSAAIFNLLRGEVGKWIAFIAVVLRLFFPRHFPGIKFISFFKLYLALPSQISFCLVPSI >Manes.09G119850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32263730:32266349:1 gene:Manes.09G119850.v8.1 transcript:Manes.09G119850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLLVPYIFFSLSAAIFNLLRGEVGKWIAFIAVVLRLFFPRHFPVKQEHKTERKNGCYFIITITAGERRKKADHRAEKREERIWV >Manes.10G097400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24580547:24587374:-1 gene:Manes.10G097400.v8.1 transcript:Manes.10G097400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPEPMNTRTQLEEEDEDIAGGGEESIDNPNIHYENGTGTGGGVDDVPPSAIHVTAGPDYPLVAGNGGDADQLTLSFQGEVYVFDAVSPDKVQAVLLLLGGYEIPSGIPAPVPLNLRGPSDLSGRSIQPQRAASLRRFREKRKERCFDKKIRYSVRKEVALRMQRKKGQFTSSKASSDEAGLASSGWSATQGSGQDDSIVETLCTHCGTSSNSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKASSMRIKGPPAKPTEQGEGEANGLDIVTMAADIVSSSNGDNSTVTAET >Manes.10G097400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24580543:24587376:-1 gene:Manes.10G097400.v8.1 transcript:Manes.10G097400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRTQLEEEDEDIAGGGEESIDNPNIHYENGTGTGGGVDDVPPSAIHVTAGPDYPLVAGNGGDADQLTLSFQGEVYVFDAVSPDKVQAVLLLLGGYEIPSGIPAPVPLNLRGPSDLSGRSIQPQRAASLRRFREKRKERCFDKKIRYSVRKEVALRMQRKKGQFTSSKASSDEAGLASSGWSATQGSGQDDSIVETLCTHCGTSSNSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKASSMRIKGPPAKPTEQGEGEANGLDIVTMAADIVSSSNGDNSTVTAET >Manes.10G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24580541:24587374:-1 gene:Manes.10G097400.v8.1 transcript:Manes.10G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSNIQPSMYPPEPMNTRTQLEEEDEDIAGGGEESIDNPNIHYENGTGTGGGVDDVPPSAIHVTAGPDYPLVAGNGGDADQLTLSFQGEVYVFDAVSPDKVQAVLLLLGGYEIPSGIPAPVPLNLRGPSDLSGRSIQPQRAASLRRFREKRKERCFDKKIRYSVRKEVALRMQRKKGQFTSSKASSDEAGLASSGWSATQGSGQDDSIVETLCTHCGTSSNSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKASSMRIKGPPAKPTEQGEGEANGLDIVTMAADIVSSSNGDNSTVTAET >Manes.03G058250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5868986:5872162:-1 gene:Manes.03G058250.v8.1 transcript:Manes.03G058250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMATKHSFQLLGMLFVLITLLALTKLAMADDIDDVPADFNSAYFPDDFIFGTATSAYQIEGAANKSGAGPSVWDAFTHQFPDRIKDHSTGDVAVDFYNRFDEDLKNVKKMNFSAFRFSISWPRVIPSGRRSEGINQEGIDFYNRVINETIKNGLEPFVTIFHWDTPQALEDKYGGFLSSNIVNDYRDYADLLFEKFGDRVKYWMTFNEPWSLSGFSYDDGVFAPGRCSSWVNRKCQAGNSATEPYIVAHHLLLSHAAAVGVYRNQYQTTQAGKIGITLFTFWYEPLSNRSIDIQAARTALDFMFGLWMDPITYGRYPRTVQDLVGDRLLKFTYEESQMLRRSYDFLGLQYYTAYYAKPNAPVDPNYIRYKTDSHIIETPFDYEGNPIGPQAYSPWFYVFPKGIRHLLNYTKDTYNDPVIYITENGVDRYNDKNQTIEEVINDQFRIDYYKEHMWNALGSLKNYSVNLKGYFAWSYLDNFEWNIGYTSRFGLYYVNRSDNLTRVPKNSAGWFTTFLQANQSMIGKVKSSNSRKIGKYYIM >Manes.06G107100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23941582:23945707:-1 gene:Manes.06G107100.v8.1 transcript:Manes.06G107100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTHQMKSSHVPFPVRPSLWSRGFKLKQHVATRHLFGRTDRHFPIKYNLCSSVGASVHGPKVKLLKISAFKGGARNEECGNQANGSRIANNSVKLSYLPKESGETIMESPKVHSFPASYTSDGNGGIAGSPAIHKLFKKWLNMLRTESPGQVTEEILGEGPPSSEELLQTQSIAQTKGHEILRAVCFHFLGLDATIKIPLLIFIPLYLAVNVIYGVDVSKELTPLWILGPLIVAFYIKMFRVLWALYVFSFKQTVKLIKNLPTYYAVYISQGKLKQAVQARVFQPVASIKNLDYKELSREKMKEWFMDKYLDFVESIWPYYCRTLRFLKRANLI >Manes.08G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8232657:8236399:1 gene:Manes.08G062000.v8.1 transcript:Manes.08G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPIVPQPARGEAVVGVNMHQKKNAAGADGRNRRALGDIGNLVTVRGIDAAKPQAQISRPMTRKFCAQLLANAQAAAAAENNKKLVCAKVDKVPGDGAAAVKKAAAVKEAEKKIVAKPEPKEVIEISSDNEKEEEKVKKQDKVVNKKKESPRMKVQTLTSALTARSKAACGLGNKPKEHIIDIDAADANNHLAGVEYVEDIYKFYKLVENESRPRNYMVSQPEINEKMRAILIDWLIDVHQKFELSPETLYLTINIIDRFLSVKAVPRRELQLVGISATLMASKYEEIWPPEVNDLVLISDRAYTHEQVLVMEKTILEKLEWTLTVPTHYVFLARFIKASIPDKEMENMVYFLAELGIMHYDTIMFCPSMVAASAVYAAGCTLNKSPVWTETLKLHTGFSESQLKDCAGLLVYLHSKAAENKLQTVHRKYSNPQKGAVAMLPPAKSLLPASLCQ >Manes.18G020332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1990709:1997659:-1 gene:Manes.18G020332.v8.1 transcript:Manes.18G020332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGSDERRERKSDFENSEEDRKTRIGNLKRKAIKASKKLRRSLHKRGSLQKRKTKSSDEEISAAIEDVRNAEELQVVDAFRQALIADDLLPERHDDYHMLLRFLKARKFDIEKAKQMWANMIQWRKDFGTDTITEDFEFNELNEVIKYYPQGYHGVDKEGRPVYIERLGKVDPSKLMQVTTIDRYLKYHVQEFEKSFAIKFPACSIAAKRHIDSSTTILDVQGVGLKNFTKSARELIIRLQKIDGDNYPETLCQMFIINAGPGFKLLWNTVKSFLDSQTVSKIHVLGNKYQSKLLDIIDASELPEFLGGTCSCADQGGCMRSDKGPWKDPNILKMVENGEALYSRQIVTISNREGMVISSDKQHYPMIKTSDTSTAESGSEVEDASPKPTRNYLLPRLTPVCEEARGLGKASAAGGFSEYDEYVPMIDKTVDGGWKKQVPFREPHTCRGALPPPPPSSEKNPEGLFARIWALLMAFFIGFLTVIHSLPIWVTKKSPVPNSVCDTTDPTLETVTEEEFRPPSPAPGFTQADSLSSVLKRLGELEAKVDMLQMKPFQMPCEKEELLNAAVCRVDALEAELISTKKALHEALIRQEELLAYIDRQEEARFRVNQLLQFHTVAEQIKTLILPSWFCLSLSIAEKEVLLVKKSPVYRRRCAHVSSFMTLALLLENC >Manes.08G076048.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:15602203:15622873:1 gene:Manes.08G076048.v8.1 transcript:Manes.08G076048.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSIHVMLLLRLIWSLKFMIFGSKMKHIGGKDLGCNGYRKEIEIPNFLIYRLYNNVNKIVLIAFNLLRVVQLSGTLSLLFLFRTSFKIFISPSLYTVVIKFSP >Manes.11G074200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10707137:10707646:-1 gene:Manes.11G074200.v8.1 transcript:Manes.11G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKTFYYSFLPTKSEEEAAAARNAQHQVTRTIVEIKDFLTPPGIEPTYPWQIRRPITRNEVATGEILLSHEEMFEHVFRYWNLESANHLVQGNKCFVTLIDYTEENLPKTFQNVCVKSGPNDTYVVGMMEVVRGRVINPGDEIGFFWDVRPATYGFAFKVFRRGNSIT >Manes.05G196900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32126657:32128850:1 gene:Manes.05G196900.v8.1 transcript:Manes.05G196900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMENDDLVSLTLFKFVLQYISYSSLFGCGLGIGIMYMMSAEKAEISELSNAMDETAKTVKELRTELYKRRSVKVAAISKDLSSNNELEFYRAGTGHNNDPKVIKAYGIPMIDDVECPRSGLIEEPEPQLLEMDQPKAELAFELQKLLWSYPEASGHEGVEPNIDKNETFSGGLHKLEGQSKISCQCHAVLPSELDRKLSHLLIKQQENQIEELESELHSAQSKLHEKEAELQALRDCVKLLTEIEIFPSTYCFTDDEAVTFAEQEYVIPGITYCKSKFD >Manes.05G132000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19524714:19536664:1 gene:Manes.05G132000.v8.1 transcript:Manes.05G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQSSIKSSDAQLFQTLNGLQHLSEARRFKAWLLDQFGVVHDGKQPYPGAISTLKKLASNGARIVIISNSSRRASTTMEKMKSLGFDPSLFVGAITSGELTHQYLQRRDDDWFASLGRSCIHMTWSDRGAISLEGLDLQIVENVEEADFVLAHGTEALGQSSGAACPMSLEELEKILERCAAKRIPMVVANPDFVTVEARALRVMPGTLAAKYEKLGGEVKWMGKPDKIIYKSAMAMVGVDALDCIAVGDSLHHDIKGANAAGIHSAFITGGIHATELGIGSFGEAADMSSVKALASKYDAYPSYVLPAFTW >Manes.05G132000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19524897:19530096:1 gene:Manes.05G132000.v8.1 transcript:Manes.05G132000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQSSIKSSDAQLFQTLNGLQHLSEARRFKAWLLDQFGVVHDGKQPYPGAISTLKKLASNGARIVIISNSSRRASTTMEKMKSLGFDPSLFVGAITSGELTHQYLQRRDDDWFASLGRSCIHMTWSDRGAISLEGLDLQIVENVEEADFVLAHGTEALGQSSGAACPMSLEELEKILERCAAKRIPMVVANPDFVTVEARALRVMPGTLAAKYEKLGGEVKWMGKPDKVKLSSIILFFFSLFIWFLYLYSRPSLFSKLQM >Manes.05G132000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19524714:19536664:1 gene:Manes.05G132000.v8.1 transcript:Manes.05G132000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKSLGFDPSLFVGAITSGELTHQYLQRRDDDWFASLGRSCIHMTWSDRGAISLEGLDLQIVENVEEADFVLAHGTEALGQSSGAACPMSLEELEKILERCAAKRIPMVVANPDFVTVEARALRVMPGTLAAKYEKLGGEVKWMGKPDKIIYKSAMAMVGVDALDCIAVGDSLHHDIKGANAAGIHSAFITGGIHATELGIGSFGEAADMSSVKALASKYDAYPSYVLPAFTW >Manes.03G080101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14212349:14215299:-1 gene:Manes.03G080101.v8.1 transcript:Manes.03G080101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQEPSLKSPLISQEETLEESRHRFTKNEILEEVNKLLILAGPLISASFFTFLLQTISVMFVGHLGELALSGASMATSFASMTGFSILRGTGSALETFCGQAYGAKQYHMLGIHLQRAVIVLLLVSVLLAFVWANAGEILLFFRQDPEIAHEAGQYARYMIPSIFGFAIQDCLIRFLQTQNNVIPMMIISGNTTLLHIFICWVLVFKSGLGNKGAAMANAISYWVNAISLMLYVKKSPTCKETWAGFSKEALHGIPKFLMLAIPSAAMLSLEIWSFEMMVLLSGLLPNPKLETSALSISLNTSAMLYMIPLGLSAATSTRVSNELGAGRPQAASLTVCVATFLVATEGIFVALSLILGHNVWGYLYSREERVVKYVGKMLIFIGASHFFDGIQSVLSGTARGCGWQKLGAVINLGAYYLVGLPCSIVLAFVYHLGGMGFCIGFIVGLAVHGLGLLAVTMSTNWKNESMKARDRAYDSTAIPKDPLA >Manes.08G037566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3681307:3683157:-1 gene:Manes.08G037566.v8.1 transcript:Manes.08G037566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSLVSRTLKLKNPKLLLLESCSTLLDLKIIHAHMIRTHTIFDVFAASRLIAFSTENNLLDYALQIFYQIQNPNLFIYNALIRGFSGSQTPVQSLYFYIQSQRVGIFPDNLTFPFLIKACTQLGSLDMGIQAHGKVITHGLEADAYVQNSLVNMYATLGDIKAASYIFRTMSRLDVVSWSSMVAGYNKSGDVESARMLFDRMPEKNLVTWSIMISGYARNNLFDKAIELFQVLQSEGVQANETVMVSVISSCAHLGALELGERAHDYVVRNKMTINLILGTALVDMYARCGSIDKAVQVFEELPERDALSWTALIAGFAMHGHAEKAVQYFSEMIKTGLTPRDITFTAVLSACSHRGLVERGMEIFESMKRDYRIEPRLEHYGCVVDLLGRAGKLAEAEKFALEMPVKPNAPIWGALLGACRTYKNAEIAERVGKILIELQPEHSGYYVLLSNIYARTNKWDNVESMRQIMKERGVKKPPGHSLIEIDGKVHNFTIGDKTHPEIEKIEKMWEEILKKIRTVGYTGNTTDAMFDIDEEEKENALHKHSEKLAIAYGIMRTKAHTTIRIVKNLRVCEDCHTATKLISKVYERELIVRDRNRFHHFKGGACSCMDYW >Manes.18G006600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1005139:1006708:1 gene:Manes.18G006600.v8.1 transcript:Manes.18G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLILQNPSTQKRIMSFPNFNALRDLHNSANDLLHSPEIQQVLVQQKQEKWVHQVSEASLTMLDVCSISKDVLLLVKEHLLDLQFTLRRKSFSHQPNINAKIAAYNHYRKKLKKATLKCLRRVKGMKNKSSVVTSDTSTIDHKLIVVVEVLREVRVITISIVESLLSLISIPWLDQKSSKGSFRSKLFRSGSQKQRLYEICDETALQSANKRLEAVEIAIEDLEAELDCIFRRLIQTRSSLLNLLNN >Manes.S029652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2422769:2422891:1 gene:Manes.S029652.v8.1 transcript:Manes.S029652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G006400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:683357:685370:1 gene:Manes.15G006400.v8.1 transcript:Manes.15G006400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCNRNQDFYASQELEGSSMNKKYGGLVPKKKPLISKDHERAFFDSADWALCKQGAGVNPKSTVAIETLRPKLQRTPHQQLPPRRPACTSGTHES >Manes.15G006400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:683050:685703:1 gene:Manes.15G006400.v8.1 transcript:Manes.15G006400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCNRNQDFYASQELEGSSMNKKYGGLVPKKKPLISKDHERAFFDSADWALCKQGAGVNPKSTVAIETLRPKLQRTPHQQLPPRRPACTSGTHES >Manes.01G206300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37890766:37892210:1 gene:Manes.01G206300.v8.1 transcript:Manes.01G206300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPSPNKRDLTASQYDVSFSSTAANPMPDKKLKRLPHVFARVLELPFNSDADVFVLETQESFLFVANSEDITITNNFQAHVIKILPGVTKIEVRGDRSADRCSTEGLYTDTWRFRLPATTFPEMASTRCIVGQLVVTVPKTLNLEDVIEDDCNAEEMLFLVE >Manes.01G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1967322:1971359:1 gene:Manes.01G003200.v8.1 transcript:Manes.01G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVVSSSNGHVCCNKGPGYASPLEAMAGPRESLIYVTCIYTGTGRGKPDYLATVDVDPNSPTYSKVISRLPVPYLGDELHHSGWNACSSCHGEPSADRRYLILPSLVSGNVYVVDTQKDPKAPSLHKVVEAADILEKTGLAYPHTSHCLASGDIMISCLGDKDGHAKGSGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHNTMISSSWGAPAAFTKGFNLQHVSDGLYGRHLHVYSWPNGELKQTLDLGDTGLLPLETRFLHDPSKDTGFVGCALTSNMVRFFKTPDGSWSHELAISVKPLKVRNWILPEMPGLITDFLISLDDRFLYFVNWLHGDVRQYNIEDVKNPVLTGHVWVGGLIQKGSPIVVEKEDGDTWQADVPEIQGKRLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPEILEKGSHMLQIDVDSEQGGLKVNPNFFVDFAAEPDGPSLAHEMRYPGGDCTSDIWI >Manes.14G156901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:20187510:20188823:-1 gene:Manes.14G156901.v8.1 transcript:Manes.14G156901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVQIISRETIKPASPTPDHLRNFKICLLDELAPPSYVPILLFYSSADFDTNMNCNSLSDKLKKSLSETLVRFYPLCGKLKGNLFVECNDEGALFVEAKVNISASEIVKNPETEMLYQLFPFDPYKVRPNGDGEKAEAVVTGVQVNVFECGSVGIGLCVSHKVADGATMATFLSAWSATASGIDEMLAPNLDSAVLFPPKGIDIIKQSDMIRNEKVVTKRFEFDGKNLANLKAKIANGNPTRVEAVTALIWKSAMETARVNSGKEKLPASIATHLVNIRERTAPPLPRHSLGNLWRLSLAPYLDAKEEVKLNELVGLLRKAIQRIDGDYVSKLQGANGLAKAIEPLQELRQLALGGKGVEVYTFSSWARFPLYEIDFGWGKPERVCTITVPVRNCVILMGTRSGDGIEAWVTLTEKDMVTFECSQELLQFVSASA >Manes.04G149400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34596785:34599574:1 gene:Manes.04G149400.v8.1 transcript:Manes.04G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTAAAPTRALSQKELDIQMMLAAEVHLGTKNCNFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVGIENPQDMIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPCLLILTDPRTDHQPIKESSLGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPQGHKWDVMVDLFFYREPEETKEQEEEEAVPVADYALPSTDFGLSAPDWGAQITEGQWTAEAAPPPISAVPAANFYPEQSSGFSNEWDAAAPPPQFAGAVAPAAPAAPAAPAAPTGWE >Manes.12G004300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:584230:592958:1 gene:Manes.12G004300.v8.1 transcript:Manes.12G004300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRLLVVLTVVVLFQLGSVRLASGNFVFEVQHKFAGRERSLSALKAHDARRHRRILSSVDLPLGGNGHPAEDGLYFAKIGLGNPPKDYYVQVDSGSDILWVNCANCDKCPTKSDLGVKLTLYDPASSASSSRIYCADDFCAATYNGVLQGCTKDLPCQYSVVYGDGSGTAGFFVKDDLQFQSVTGDHQTASANGSVIFGCGAKQSGELGTSSEALDGILGFGQANSSMLSQLAAAGKVKKMFAHCLDNVNGGGIFAIGEIVSPKVKTTPMVANRPHYNVVMKKVEVGGNVLELATDTFASGDQKGTIIDSGTTLAYLPESVYESVMNKIMAQQPGLKLHTVEEQFMCFKYSGSVDDGFPVVKFHFEDSLTLSIYPHEYLFQIRVSKHFLRLMTIISSVLCCIVVYVKCCAFHI >Manes.12G004300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:584230:592958:1 gene:Manes.12G004300.v8.1 transcript:Manes.12G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRLLVVLTVVVLFQLGSVRLASGNFVFEVQHKFAGRERSLSALKAHDARRHRRILSSVDLPLGGNGHPAEDGLYFAKIGLGNPPKDYYVQVDSGSDILWVNCANCDKCPTKSDLGVKLTLYDPASSASSSRIYCADDFCAATYNGVLQGCTKDLPCQYSVVYGDGSGTAGFFVKDDLQFQSVTGDHQTASANGSVIFGCGAKQSGELGTSSEALDGILGFGQANSSMLSQLAAAGKVKKMFAHCLDNVNGGGIFAIGEIVSPKVKTTPMVANRPHYNVVMKKVEVGGNVLELATDTFASGDQKGTIIDSGTTLAYLPESVYESVMNKIMAQQPGLKLHTVEEQFMCFKYSGSVDDGFPVVKFHFEDSLTLSIYPHEYLFQIRDDVWCVGWQNSGMQSKDARDMTLLGDLVFSNKLVLYDMEKQAIGWTEYNCSSSIKVKDEISGAVYSVGHHNVSAASQMISGRIITFLVLAFAVFHRFW >Manes.01G176200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35689595:35692391:-1 gene:Manes.01G176200.v8.1 transcript:Manes.01G176200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTESGLKSLDGFLAGKSYISGAKLSKDDIKVYAAVLAKPGDAFPNASKWYDCVTSHLAASFPGKAVGVRIGGKAAAPAEAAGPAKEAAADGDDDDLDLFGDETEEDKKAAEEREAAKKSAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVEMPGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDDLIEEHLTAEPCNEYVQSCDIVAFNKI >Manes.05G031400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2677976:2679763:1 gene:Manes.05G031400.v8.1 transcript:Manes.05G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMAKDLHVMMLPWSAFGHLIPFFHLSIALAKAGVKISFVSTPKNIQRLPKIPSNLETLINFVEFSLPTPQDESLPEGAEATVDIPPEKIPFLKIAYDLLQYPLNKFVADQRPDWIIIDLVSHWMVDISRENNVPLLYFSVFSAASFLFLGHPGCLAGDSQKNLRPSWESMTSPPEWVDFPSSVAFRKHEAIGAFEWIYGTNASGISDAERVATILNSCQGMVIRSCTEFEGDYLTSLNKIMKKPVVPLGLLPIVKPKARQITDGSWGEIFDWLDQQKRKSVIFVSFGSEFKLTRDQVYEIAYGLELSGLPFLWALRKPNWAIDDVDALPLGFSQRTRGKGIVSIGWAPQMEILGHPSIGGSLFHSGWGSIIETLEFGHSLILLPFIIDQPLNARYLVEKGLGVEVERSEDGSFSRDGIAKALRVAMVREEGKELRARANEAAKIFGDEKLHQEHYIGKFVEFLKSYYEDHKDC >Manes.05G031400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2678014:2679721:1 gene:Manes.05G031400.v8.1 transcript:Manes.05G031400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMAKDLHVMMLPWSAFGHLIPFFHLSIALAKAGVKISFVSTPKNIQRLPKIPSNLETLINFVEFSLPTPQDESLPEGAEATVDIPPEKIPFLKIAYDLLQYPLNKFVADQRPDWIIIDLVSHWMVDISRENNVPLLYFSVFSAASFLFLGHPGCLAGDSQKNLRPSWESMTSPPEWVDFPSSVAFRKHEAIGAFEWIYGTNASGISDAERVATILNSCQGMVIRSCTEFEGDYLTSLNKIMKKPVVPLGLLPIVKPKARQITDGSWGEIFDWLDQQKRKSVIFVSFGSEFKLTRDQVYEIAYGLELSGLPFLWALRKPNWAIDDVDALPLGFSQRTRGKGIVSIGWAPQMEILGHPSIGGSLFHSGTWLRRVWAWK >Manes.09G089700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26681430:26683720:1 gene:Manes.09G089700.v8.1 transcript:Manes.09G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEDGWPLGLRPVNARVELLRNRDFNGSISFSTLLTGSPSSITDSSSDLDTESTASFFHDESITLGSLIGVSSILELSRRSTRRRTAETLRDQKNCKSKHCFFSLCSKLSTDADNKNHTPSLGHFLEEERRAASIHRRDIPVAYGPNDFSPVLPNSNTKSLFVGDQIAPQSSSSMGVNERRRSNTELLEHRNGYGLPLLFTCLCGQLIE >Manes.14G025400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2357762:2359177:1 gene:Manes.14G025400.v8.1 transcript:Manes.14G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINALALLVAAFVLCAQGTLGEIICEHLDQETCSYAISSTGKRCVLEKHVKRSGGESYTCGTSEIEADKLTNWIETDQCIIACGLDRKSLGISSDSLLESRFTQQLCSPQCYDSCPNIVDLYFNLAAGEGVFLPKLCEAQKGNARRELTADLRSSGLVAPGPIQPSKYTIAPIVAPALAPF >Manes.14G025400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2352172:2359177:1 gene:Manes.14G025400.v8.1 transcript:Manes.14G025400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRNSPPIALNSHQVSFPGEIICEHLDQETCSYAISSTGKRCVLEKHVKRSGGESYTCGTSEIEADKLTNWIETDQCIIACGLDRKSLGISSDSLLESRFTQQLCSPQCYDSCPNIVDLYFNLAAGEGVFLPKLCEAQKGNARRELTADLRSSGLVAPGPIQPSKYTIAPIVAPALAPF >Manes.12G044900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3981985:3984200:1 gene:Manes.12G044900.v8.1 transcript:Manes.12G044900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTIHQLYTRLSSIDLTKPPALKSDPTRTLCCLCRPRFFASARKLKAFPSALSHTRSQIIQMGSQAKVYTLAEVSEHNQPKDCWLVIEGKVYDVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSSSARAMMDEFFVGEIDTSTIPSRKAYTPPKQPHYNQDKTPEFIIKLLQFLVPLLILGLAVGIRFYTKSTEA >Manes.18G034200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2979075:2984876:-1 gene:Manes.18G034200.v8.1 transcript:Manes.18G034200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRAGTRLQTMKGPVKSDKGKVEKLQKSKQRDATKTAQKNRRASSSERKIALQQDVDKLKKKLRYEENVHRALKRAFNRPLGALPRLPPYLPAATLELLAEVAVLEEEVVRLEEQVVHFRQDLYQEAVYISSSKKNVESFADLHDLSPTKNSKPDYVKTIAQSLGESTTSTIRHLPSLSDGQGKENQLCTNATKQNKGSSIIKAQASRSTAKRPPFDNKPVEKHLNPQKLQIECKGSIRENAEARNVSTTEERLSGDENPNKISEDILKCLSSIFLRMSTTKSRRTAENLPFLSTLVSEGNGDETEYRDPYGICSQFWKRDIGPYKHLFAIDAGTINPNRTSNSLFLLHRLKLLLGKLESVDLQELTHQEKVAFWINVYNSCMMNAFLEHGIPETPEMIVELMQKGTINVGGHLLSAITIEHFILRLPYHSRYTLSKGAKNDEITVRSKFGLELSEPLVTFALSCGSWSSPAVRVYTASKVENELDVAKREYLQAAVGISTRKFAIPKLLDWYLLDFAKDLESLLDWICLQLPSELGKEAIKCLDRGKMEPHSQFVQIMPYEFSFRYLLYTQ >Manes.18G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2979075:2984876:-1 gene:Manes.18G034200.v8.1 transcript:Manes.18G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRAGTRLQTMKGPVKSDKGKVEKLQKSKQRDATKTAQKNRRASSSERKIALQQDVDKLKKKLRYEENVHRALKRAFNRPLGALPRLPPYLPAATLELLAEVAVLEEEVVRLEEQVVHFRQDLYQEAVYISSSKKNVESFADLHDLSPTKNSKPDYVKTIAQSLGESTTSTIRHLPSLSEDGQGKENQLCTNATKQNKGSSIIKAQASRSTAKRPPFDNKPVEKHLNPQKLQIECKGSIRENAEARNVSTTEERLSGDENPNKISEDILKCLSSIFLRMSTTKSRRTAENLPFLSTLVSEGNGDETEYRDPYGICSQFWKRDIGPYKHLFAIDAGTINPNRTSNSLFLLHRLKLLLGKLESVDLQELTHQEKVAFWINVYNSCMMNAFLEHGIPETPEMIVELMQKGTINVGGHLLSAITIEHFILRLPYHSRYTLSKGAKNDEITVRSKFGLELSEPLVTFALSCGSWSSPAVRVYTASKVENELDVAKREYLQAAVGISTRKFAIPKLLDWYLLDFAKDLESLLDWICLQLPSELGKEAIKCLDRGKMEPHSQFVQIMPYEFSFRYLLYTQ >Manes.16G049900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14009453:14019298:-1 gene:Manes.16G049900.v8.1 transcript:Manes.16G049900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSLARARRIPHIKSVLKCHPLQPSGANRYKNISTPQNWSSFVGHHRVPVPRFEVSTPPIGRWLDNSCRKRHFATYQTFTSAERKSRKMLIYLTGVVAVMIGCTYAAVPLYRRFCQATGYGGTIQRRETVEEKIARHGKEGTVTTRDIVVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSTPITGVSTYNVTPMRAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNIILSYTFFKVEE >Manes.16G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14009453:14019298:-1 gene:Manes.16G049900.v8.1 transcript:Manes.16G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSLARARRIPHIKSVLKCHPLQPRAHDFSGANRYKNISTPQNWSSFVGHHRVPVPRFEVSTPPIGRWLDNSCRKRHFATYQTFTSAERKSRKMLIYLTGVVAVMIGCTYAAVPLYRRFCQATGYGGTIQRRETVEEKIARHGKEGTVTTRDIVVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSTPITGVSTYNVTPMRAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNIILSYTFFKVEE >Manes.16G082800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28921660:28934218:1 gene:Manes.16G082800.v8.1 transcript:Manes.16G082800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVEENGEEEVLGSSLTMEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLASSDVPKEEQINLIKDLERKETEFIRLKRHKICVDDFELLTIIGRGAFGEVEHVRAERNLLAEVASHCIVKLYYSFQDAEDLYLIMEYLPGGDMMTLLMREDTLTENVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCTALSVIPENKTTDDENMSEPMDIDGSIPDADNKSNWKSRHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDARLSPEAKDLICRLLCGVDHRLGTGGAHQIKAHPWFKDIVWDKLYEMEAAFKPEVNGELDTQNFMKFDEMNPPPARTGSGPSRKMLLTPKDLSFVGYTYKNFDAVKGLHSFDLKGSMSPKRPSIDSIFSGSGAIYPAKRPGEETEVQMLASSGDPMLP >Manes.16G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28921661:28934217:1 gene:Manes.16G082800.v8.1 transcript:Manes.16G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIVEENGEEEVLGSSLTMEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLASSDVPKEEQINLIKDLERKETEFIRLKRHKICVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEDLYLIMEYLPGGDMMTLLMREDTLTENVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCTALSVIPENKTTDDENMSEPMDIDGSIPDADNKSNWKSRHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDARLSPEAKDLICRLLCGVDHRLGTGGAHQIKAHPWFKDIVWDKLYEMEAAFKPEVNGELDTQNFMKFDEMNPPPARTGSGPSRKMLLTPKDLSFVGYTYKNFDAVKGLHSFDLKGSMSPKRPSIDSIFSGSGAIYPAKRPGEETEVQMLASSGDPMLP >Manes.02G203300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17414781:17419088:-1 gene:Manes.02G203300.v8.1 transcript:Manes.02G203300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDAKLKLSWKRKMEKRPSFFFALLCWFLYAANPCTCSQNDTDRLALLSFKQAIERDPFQVLSSWNNSQHHCDWHGVFCSRRHPGRVIALILRSRGLVGPLSPHIGNLSFLRVINFQNNTFYGEIPQEIGRLQRVRHLILSNNSFAGNIPANLSRCSNLLFLDLIDNKLIGNIPAELGSLPKLGALGLAANNLTGRIPPSIGNLSSLYQISIRTNNLHGQIPEEFSRLGKLKDMLFAENSLSGEIPPGLYNISSIEIFNMNQNQLHGRIPADVGLTLPRLSSFVLSYNKFTGSIPVSLSNASGLMKIVLFSNNLTGSIPKYLGMMPNLQHVILAVNQLKGDLSFIDSLTNSSRLLQINVARNLLNGSIPKSIANLSKTMWLLGLSENQLQGTVPLGLDNLVNLRILQLAWNLLSGPLLTDFGKFQKLQYLTLSDNNFGGAIPSSLSNLTFLSVLYMRNNNLHGTIPPALGNLRSLIELDLRDNSLNGSIPPEVISLFSLSFALSLSHNQLTGSVPLEVGSMQNILLLDLSDNRLSGTIPSSIGKCLNLEGLFLEGNSFEGEIPQALSTLQGLRELDISRNNLSGKIPDSLVELDGLNLLNISFNNLEGEVPKLGIFLNSSAVSLLGNDNLCGGIADLKLPPCPFPKSNKNKFSSSLKISISVVGAALFLLLLVGFLFFWRRKRMSRSKGISMPSFNLPFLRISYAELFKATDGFSTSNVIGSGSYSSVYRGILEATGNEIAVKVLNLQRTGASQSFMSECKALKNIRHRNLIKILSACSSIDFEGNDFKALIYEFMPNGSLDKWLHPGNAREDGHMNLMQRLNIAIDIASAIEYLHNGCSSTIVHGDLKPSNVLLDNEMTAHVGDFGLAKFVSAISGGADQHLGSSSLAIKGTIGYVAPEYGMGDMVSIEGDIYSYGILLLEMFTGKKPTDDSFKDGLNLHVYTERSLPDKVMEVVDPSIDLSNDGRESLLNCMVSVMRIGVACSEEVPAERMKMVDVIRELHKIKDAVAEKYSPI >Manes.02G203300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17415597:17419088:-1 gene:Manes.02G203300.v8.1 transcript:Manes.02G203300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDAKLKLSWKRKMEKRPSFFFALLCWFLYAANPCTCSQNDTDRLALLSFKQAIERDPFQVLSSWNNSQHHCDWHGVFCSRRHPGRVIALILRSRGLVGPLSPHIGNLSFLRVINFQNNTFYGEIPQEIGRLQRVRHLILSNNSFAGNIPANLSRCSNLLFLDLIDNKLIGNIPAELGSLPKLGALGLAANNLTGRIPPSIGNLSSLYQISIRTNNLHGQIPEEFSRLGKLKDMLFAENSLSGEIPPGLYNISSIEIFNMNQNQLHGRIPADVGLTLPRLSSFVLSYNKFTGSIPVSLSNASGLMKIVLFSNNLTGSIPKYLGMMPNLQHVILAVNQLKGDLSFIDSLTNSSRLLQINVARNLLNGSIPKSIANLSKTMWLLGLSENQLQGTVPLGLDNLVNLRILQLAWNLLSGPLLTDFGKFQKLQYLTLSDNNFGGAIPSSLSNLTFLSVLYMRNNNLHGTIPPALGNLRSLIELDLRDNSLNGSIPPEVISLFSLSFALSLSHNQLTGSVPLEVGSMQNILLLDLSDNRLSGTIPSSIGKCLNLEGLFLEGNSFEGEIPQALSTLQGLRELDISRNNLSGKIPDSLVELDGLNLLNISFNNLEGEVPKLGIFLNSSAVSLLGNDNLCGGIADLKLPPCPFPKSNKNKFSSSLKISISVVGAALFLLLLVGFLFFWRRKRMSRSKGISMPSFNLPFLRISYAELFKATDGFSTSNVIGSGSYSSVYRGILEATGNEIAVKVLNLQRTGASQSFMSECKALKNIRHRNLIKILSACSSIDFEGNDFKALIYEFMPNGSLDKWLHPGNAREDGHMNLMQRLNIAIDIASAIEYLHNGCSSTIVHGDLKPSNVLLDNEMTAHVGDFGLAKFVSAISGGADQHLGSSSLAIKGTIGYVAPEYGMGDMVSIEGDIYSYGILLLEMFTGKKPTDDSFKDGLNLHVYTERSLPDKVMEVVDPSIDLSNDGRESLLNCMVSVMRIGVACSEEVPAERMKMVDVIRELHKIKDAVAEKYSPI >Manes.02G203300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17414781:17419088:-1 gene:Manes.02G203300.v8.1 transcript:Manes.02G203300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDAKLKLSWKRKMEKRPSFFFALLCWFLYAANPCTCSQNDTDRLALLSFKQAIERDPFQVLSSWNNSQHHCDWHGVFCSRRHPGRVIALILRSRGLVGPLSPHIGNLSFLRVINFQNNTFYGEIPQEIGRLQRVRHLILSNNSFAGNIPANLSRCSNLLFLDLIDNKLIGNIPAELGSLPKLGALGLAANNLTGRIPPSIGNLSSLYQISIRTNNLHGQIPEEFSRLGKLKDMLFAENSLSGEIPPGLYNISSIEIFNMNQNQLHGRIPADVGLTLPRLSSFVLSYNKFTGSIPVSLSNASGLMKIVLFSNNLTGSIPKYLGMMPNLQHVILAVNQLKGDLSFIDSLTNSSRLLQINVARNLLNGSIPKSIANLSKTMWLLGLSENQLQGTVPLGLDNLVNLRILQLAWNLLSGPLLTDFGKFQKLQYLTLSDNNFGGAIPSSLSNLTFLSVLYMRNNNLHGTIPPALGNLRSLIELDLRDNSLNGSIPPEVISLFSLSFALSLSHNQLTGSVPLEVGSMQNILLLDLSDNRLSGTIPSSIGKCLNLEGLFLEGNSFEGEIPQALSTLQGLRELDISRNNLSGKIPDSLVELDGLNLLNISFNNLEGEVPKLGIFLNSSAVSLLGNDNLCGGIADLKLPPCPFPKSNKNKFSSSLKISISVVGAALFLLLLVGFLFFWRRKRMSRSKGISMPSFNLPFLRISYAELFKATDGFSTSNVIGSGSYSSVYRGILEATGNEIAVKVLNLQRTGASQSFMSECKALKNIRHRNLIKILSACSSIDFEGNDFKALIYEFMPNGSLDKWLHPGNAREDGHMNLMQRLNIAIDIASAIEYLHNGCSSTIVHGDLKPSNVLLDNEMTAHVGDFGLAKFVSAISGGADQHLGSSSLAIKGTIGYVAPGYFCL >Manes.02G171700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13556471:13559090:1 gene:Manes.02G171700.v8.1 transcript:Manes.02G171700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSQYATIQQLLLLAVALAAASETTQASAQPMPGCPDRCGDLNIPFPFGTKEGCYLNKDFLITCNASNNYEAFLGETNITVLSISVEGQLRISTSPAYDCYDSSFHSTISQTSDLSLDKFPLSYTENKFTAVGCDTDTIIQGFQAKEYAAGCISYCGEFGDVVNGSCIGMGCCQTFIPKDVLEFEVSIYSFFSHSIVWDFNPCSYAFVVEANAYNFSTLDLADLRNETIFPVVLDWAIGNETCDDARKNQETYACKDNKSICYDSDNGPGYRCNCSEGYWGNPYLVNGCKDVNECEISSLNKCTDICLNTVGNYTCSCPKGYHGDGRKDGNGCSATTKSHIGVIAGTSIGLVVLFAGISFSILIIQRRSQTRLRKEFFEQNGGFLLQRLLTHKSSTDAAKIFTEEVLKKATNNFSKSMVIGQGGFGVVYKGNLSDERIVAIKRSKAIDRTQIEQFVNEVIVLSQIHHPNVVKLLGCCLETSVPLLVYEFISNGTLFHHIHDDGCAHTLPWETRLRMAEETAGAFAYMHSMQIIHRDVKSANILLDDKFTAIVSDFGVSRLVPFDEEQISTLVQGTLGYMDPEYFQSGILTEKSDVYSFGVVLVELLTGKKAICSECAEKSLALYFISSLRDGRLFEILEDRVKEEGNAEQLERVAEIARSCLRLEGEQRPTMKEVVEDLEMVRSIA >Manes.18G142300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:24749657:24750466:-1 gene:Manes.18G142300.v8.1 transcript:Manes.18G142300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLLAAKQSDKKRNHRHIIDSQEDSEVSTELTLSCGFPSMIKKPRITQISSSSSCGINHSLYFLFHDSIVVSTRPAKEEVSTEQKLFDEYWNADDASGTRKEPDGVSEESSELKTLARNTANQTIYSPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNHCRLLVASALVKDHILPFMRSETVEKIKTEGAEFSFWDCDTNTKLNLILKYWRTSKSYIFNKGWLNNFVKRRNLVEGDLIGIYWDSTGKIFNFSVLERASDVYP >Manes.05G101450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9472127:9472645:1 gene:Manes.05G101450.v8.1 transcript:Manes.05G101450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYVLSKSKSYLWPSQVSMNISEAVNSTSDDVEKDEEE >Manes.14G060500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5043278:5044477:-1 gene:Manes.14G060500.v8.1 transcript:Manes.14G060500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRVSQTRQQHGWETTSHDYHVHVAGIERMPSILPDAPRYPNVFRALNNRVAHEEEPEENSHKECLVQKQQSPTKPHKKVQIAEQDKIIEDSIDAETDGFIRQKHKGFELCKWKTFKIH >Manes.06G122700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25321499:25324652:1 gene:Manes.06G122700.v8.1 transcript:Manes.06G122700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFSKGRIAAVLVLLFSLILLSMPLYSTGTSYIEGRSTGSINSVSKGGNYTLFSPHRKLLRRSLAIVEPVRIAEKCTKADILIIQGATPPLPSGIPTYTVEIMNACASGCDISGIHLTCGWFSSANPINPNIFKRLSYNDCLVNDGKPLAVGGTISFVYASTYLYPMSVSSVFC >Manes.06G122700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25322388:25324652:1 gene:Manes.06G122700.v8.1 transcript:Manes.06G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFSKGRIAAVLVLLFSLILLSMPLYSTGTSYIEGRSTGSINSVSKGGNYTLFSPHRKLLRRSLAIVEPVRIAEKCTKADILIIQGATPPLPSGIPTYTVEIMNACASGCDISGIHLTCGWFSSANPINPNIFKRLSYNDCLVNDGKPLAVGGTISFVYASTYLYPMSVSSVFC >Manes.15G181190.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23902353:23902864:-1 gene:Manes.15G181190.v8.1 transcript:Manes.15G181190.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNVNLRSILYENKPNDSNFINWYRDLRIVSSFKKVVYVLDKSILLYIAYSKHIKDNKWETFIMLVSTSLKLQKQYEHMDAHSIIFHMKEMFKEHNLIRCKTVEGTSVVQHGLKMNGYIERLGTLGFLMDHEISVDLILQSSLDSYTQ >Manes.07G124000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32757233:32761800:1 gene:Manes.07G124000.v8.1 transcript:Manes.07G124000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDREDARPRKFHDEERLAVEIPETAHQISSDSWFQVGFVLTTGVNSAYVLGYSGTIMVPLGWTVGVVGLIIAAAISLYANSLVAKLHEYGGKRHIRYRDLAGYIYGKKAYSCTWGLQYVNLFMINTGYIILAGQALKAVYVLFRDDDAMKLPYFIAIAGFVCALFAISIPHLSALRIWLGVSTVLSLIYIIIAIVLSTRDGLRAPPRDYSIPGTSASKIFTSIGAGASLVFAFNTGMLPEIQATIRPPVVSNMMKALYFQFIIGSLPLFAVTWIGYWAYGNSTATYLLSSVNGPVWVKTMANISAFLQSVIALHIFASPMYEYLDTKFGIKGSALALRNLSFRLGVRGGYLSMNTLVSALLPFLGDFESLTGAISTFPLTFILANHMYLRAKKSELTKLQKKWHWLNVCFFSCMSVAAAVAALRLIAVDSKTYHVFADL >Manes.17G081500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28640399:28642767:1 gene:Manes.17G081500.v8.1 transcript:Manes.17G081500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYPQNQDPCDKQSHSSSTPAPVTGIPLNPPPAPYENRQVPLHRHEGAWSAGLCDCCFDVKNCCITFWCPCITFGQIAEIIDKGTPNCATSGAIYFLIACLTGCGCIYSCVYRSKLREQYKLSGSCCHDCLVHCCCELCSLCQEYRELQSRGFDMSIGWRRNQERQNRGVGMATPTAPVIEGAMSR >Manes.17G081500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28640399:28642767:1 gene:Manes.17G081500.v8.1 transcript:Manes.17G081500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYPQNQDPCDKQSHSSSTPAPVTGIPLNPPPAPYENRQVPLHRHEGAWSAGLCDCCFDVKNCCITFWCPCITFGQIAEIIDKGTPNCATSGAIYFLIACLTGCGCIYSCVYRSKLREQYKLSGSCCHDCLVHCCCELCSLCQEYRELQSRGFDMSIVFCGC >Manes.17G081500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28640399:28642767:1 gene:Manes.17G081500.v8.1 transcript:Manes.17G081500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYPQNQDPCDKQSHSSSTPAPVTGIPLNPPPAPYENRQVPLHRHEGAWSAGLCDCCFDVKNCCITFWCPCITFGQIAEIIDKGTPNCATSGAIYFLIACLTGCGCIYSCVYRSKLREQYKLSGSCCHDCLVHCCCELCSLCQEYRELQSRGFDMSIGWRRNQERQNRGVGMATPTAPVIEGAMSR >Manes.17G081500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28640399:28642767:1 gene:Manes.17G081500.v8.1 transcript:Manes.17G081500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYPQNQDPCDKQSHSSSTPAPVTGIPLNPPPAPYENRQVPLHRHEGAWSAGLCDCCFDVKNCCITFWCPCITFGQIAEIIDKGTPNCATSGAIYFLIACLTGCGCIYSCVYRSKLREQYKLSGSCCHDCLVHCCCELCSLCQEYRELQSRGFDMSIGWRRNQERQNRGVGMATPTAPVIEGAMSR >Manes.08G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32882986:32884914:1 gene:Manes.08G097400.v8.1 transcript:Manes.08G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATFNQSGEKVESFRLLSGHAIPAVGLGTWRSGSKVTEAIYSAIVEAGYRHVDTAAEYGVQEDVGHALKAAMHAGVERKALFIASKLWCTDLSPDRVRKALNKTLQDLQLDYLDLYVGFCFLIHWPFHLKDGASRPPKPGEVLDFDMEGVWREMEKLVKENVVRDIGICNFTVKKLNKLVGFAQTMPSVCQMEMHPGWRNDKMLEACKKNNIHVTAYSPLGSSGRDLIHDKTVETISNKINKTPGQVLVKWALQRGTSVVPKSSHPERIKENIQVFGWEIPEEDFQALCSISDQRRVLDGEDLFVNKSEGPFRSIADLWDHED >Manes.02G092900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7275857:7277535:-1 gene:Manes.02G092900.v8.1 transcript:Manes.02G092900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRERDIERLMPIQAIKNRDNNNTGFSNSSSETVSPLVSSSHHSAGREAFCKVIRSWASKKFMTGCVILLPIAITFCVTWWFISFVDGFFSPIYAHLGINPWLGASVLNFGEWFIKKMPFIGYLYSASKQISAAIDQNSNAFKDVAIIRHPRNGEYAFGFITSSVILSGGLGTEELCSIYVFTNHLYLGDVFLISSTDILRPNLSVREGIDEKIVISGGLSIPKILTTLDAHSIRASGVGNVMIPPV >Manes.05G079700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6511553:6517170:1 gene:Manes.05G079700.v8.1 transcript:Manes.05G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTQIEAGENNKDIEVAPALISVHPNQNSVAVAVGSDLRIFDLLGGRGVSLVDDSAQPFHKDSIRAIRYSPNGKLLVSAADDKLVKIWSTDSWRCISSVCAEKRVSAVAISNDGLYVCFADKFGVVWVVGLHELDGNESLVNRKAAPLLAHYCSIITSLEFSPDGQFIVSADRDFKIRVTVFPKKPLDGAHEIQSFCLGHTEFVSCLAFICTVDYFLGFLVSGSGDSTVRLWDITSGSLLDTCEVGAKSGLLESNGGDEGCYTVSDLCTIPDGNLIAVAIQGLRGVMLLCCELSSKTLNAIKVVSIMGESFIPTSLGSGSDAELLWMVTGVSKLSGSDCDSLARVRVLSGFKKSNPDSDGHELTLLGDDELPGSVKLLEKLQGSVTIEENVFLAAAEAVKTAMCNLLIKKQYTMEKREFRKRGRNDRKIKQ >Manes.17G123100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32849849:32857601:-1 gene:Manes.17G123100.v8.1 transcript:Manes.17G123100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLISYPGSQFRQFQRLGENLVSQSFSASSVAADDHPLHILWRYQILDPDSDIVNYWNYVFLITSIIALFIDPLFFFLPYVGTDGVCLSNDNHLAVLITFFRSIADMFFLLHMLMKFRTAFVAPNSRIFGRGELVMDAREIARRYLKSDFVIDLAATLPLPQIVVWIVIPATRDNSADHANNALSLMVLIQYIPRIFLIFPLHQRIVKTTGFVAKTAWAGAGYNLLLYMLASHTLGASWYLLSLARQFYCWKSECLKEDKSGTIGCIKPFLDCSSLGRFERQYWRNVTSVAANCDPKDSNILFHFGIFAGAFTNDVATSPFIHKYLYCLWWGLRNLSSYAQTLETSTFLGENIFCIVTCILGLVLSAQLIGNMQTNLQSMTVRVEEWRIKRRDTEEWMRHRQLPPDLQERVRRFVQYKWLATRGVHEESILRSLPLDLRREIQQHLRLNLVRRVQFFSQMDEQLLDAICERLVSSLSTQGTYIFQEGDPVNEMLFIIRGQLESSTTDGGRSGFFNSITLRPGDFCGEELLTWALMPNPSANLPSSTRTVRALSEVEAFALQAEDLKFVAQQFKRLQSKKLQHAFRYYSHQWRTWAACLIQSIYRRYQKRKMAKELAARESLAYISIPEEEYYYYNELADGDYENESNERLSTDDANNVQHLGATILASKFAANTRRGTQQKDQVDSASRLKMPQLFKPVEPDFSVHKEDV >Manes.14G117421.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11292936:11293127:1 gene:Manes.14G117421.v8.1 transcript:Manes.14G117421.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPVPLSFSSLSLSLSLSLTHTHTHTHLHTHTHTHTHTHKNALVGTQLHTGTDEQLICLMGF >Manes.16G038900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6212848:6216230:1 gene:Manes.16G038900.v8.1 transcript:Manes.16G038900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLARNGLRRAGGNFGSFAERGTLCEGDINHKWSLPFLKNVTAGNNLSYLSSIKKVNHASFWSRGIGGTPYYQFHNAERILDVSENENEEPRYSGLEATKPGEKPRVVVLGTGWAACRFMKGLDTKIYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVNRIQSALATSPNSYFYLASCTGIDTDKHEVYCETISNGGLPQETHKFKVAYDKLVIAAGAEPLTFGIKGVKEHAYFLREVNHAQEIRKKLLLNLMLSENPGISEEEKNRLLHCVVIGGGPTGVEFSGELSDFIMRDVQEQYSHVKDRIKVTLIEANEILSSFDVGLRQYATNHLTKCGVRLTRGVVKEVHPTKLVLSDGAEVPYGLLVWSTGVGPSQFVKSLNVPKSPGGRIGIDQWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAERQGKYLVELFNNIGKQNGGKAFSAKDVLLGDPFVYRHLGSMASVGRYKALVDLRQSKDAKGLSLAGFISWLIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNARIG >Manes.16G038900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6211841:6216230:1 gene:Manes.16G038900.v8.1 transcript:Manes.16G038900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLARNGLRRAGGNFGSFAERGTLCEGDINHKWSLPFLKNVTAGNNLSYLSSIKKVNHASFWSRGIGGTPYYQFHNAERILDVSENENEEPRYSGLEATKPGEKPRVVVLGTGWAACRFMKGLDTKIYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVNRIQSALATSPNSYFYLASCTGIDTDKHEVYCETISNGGLPQETHKFKVAYDKLVIAAGAEPLTFGIKGVKEHAYFLREVNHAQEIRKKLLLNLMLSENPGISEEEKNRLLHCVVIGGGPTGVEFSGELSDFIMRDVQEQYSHVKDRIKVTLIEANEILSSFDVGLRQYATNHLTKCGVRLTRGVVKEVHPTKLVLSDGAEVPYGLLVWSTGVGPSQFVKSLNVPKSPGGRIGIDQWLRVPSVEDVFALGDCAGFLEQTGRPVLPALAQVAERQGKYLVELFNNIGKQNGGKAFSAKDVLLGDPFVYRHLGSMASVGRYKALVDLRQSKDAKGLSLAGFISWLIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNARIG >Manes.08G011100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1299896:1308344:-1 gene:Manes.08G011100.v8.1 transcript:Manes.08G011100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSQEIKTIEQWKWSEMQGLELVSPPHPSSEPFKTNSTSSTPTPTLTINSTQQEQNNQTHQPTSPERREMDDTAPKKDGGGDGGSSSNTCGDGEKPGDVAIVGFGELFRFADGLDYVLMAIGSIGALVHGSSLPLFLRFFADLVNSFGSNANDVDKMMQEVLKYAFYFLIVGAAIWASSWAEISCWMWTGERQTTRMRIKYLEAALNQDIQYFDTEVRTSDVVFAVNTDAVMVQDAISEKLGNFLHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIAAIHTNTLAKLSGKSQEALSQAGNIVEQTIVQIRVVLAFVGESRALQGYSSALKVAQRNGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLALGQSAPSMGAFAKAKVAATKIYRIIDHKPAVDRNSESGLELDSVTGLVELKNVDFSYPSRPDVRILNNFTLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLLDGHDIKALKLRWLRQQIGLVSQEPALFATTIKENILLGRPDADQIEIEEAARVANAHSFIVKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVTEIGTHDELIAKGENGVYAKLIRMQETAHETAMNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDATHPNYRLEKLAFKEQASSFWRLAKMNSPEWVYALVGSIGSIVCGSLSAFFAYVLSAVLSVYYNPNHAYMSREIGKYCYLLIGLSSAALIFNTLQHFFWDIVGENLTKRVREKMLAAVLKNEMAWFDQEENESARISARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPLVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSESQIVGLFTSNLQIPLRRCFWKGQIAGSGFGIAQFSLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEIDPDDPDATPVPDRLRGEVELKHVDFSYPTRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALVQRFYEPSSGRVMIDGKDIRKYNLKSLRKHIAMVPQEPCLFATTIYENIAYGHESATEAEIIEAATLANAHKFISGLPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHSQVIGITSGSSSSARPKDDEEREG >Manes.08G011100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1299896:1308344:-1 gene:Manes.08G011100.v8.1 transcript:Manes.08G011100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSQEIKTIEQWKWSEMQGLELVSPPHPSSEPFKTNSTSSTPTPTLTINSTQQEQNNQTHQPTSPERREMDDTAPKKDGGGDGGSSSNTCGDGEKPGDVAIVGFGELFRFADGLDYVLMAIGSIGALVHGSSLPLFLRFFADLVNSFGSNANDVDKMMQEVLKYAFYFLIVGAAIWASSWAEISCWMWTGERQTTRMRIKYLEAALNQDIQYFDTEVRTSDVVFAVNTDAVMVQDAISEKLGNFLHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIAAIHTNTLAKLSGKSQEALSQAGNIVEQTIVQIRVVLAFVGESRALQGYSSALKVAQRNGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLALGQSAPSMGAFAKAKVAATKIYRIIDHKPAVDRNSESGLELDSVTGLVELKNVDFSYPSRPDVRILNNFTLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLLDGHDIKALKLRWLRQQIGLVSQEPALFATTIKENILLGRPDADQIEIEEAARVANAHSFIVKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVTEIGTHDELIAKGENGVYAKLIRMQETAHETAMNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDATHPNYRLEKLAFKEQASSFWRLAKMNSPEWVYALVGSIGSIVCGSLSAFFAYVLSAVLSVYYNPNHAYMSREIGKYCYLLIGLSSAALIFNTLQHFFWDIVGENLTKRVREKMLAAVLKNEMAWFDQEENESARISARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPLVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSESQIVGLFTSNLQIPLRRCFWKGQIAGSGFGIAQFSLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEIDPDDPDATPVPDRLRGEVELKHVDFSYPTRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALVQRFYEPSSGRVMIDGKDIRKYNLKSLRKHIAMVPQEPCLFATTIYENIAYGHESATEAEIIEAATLANAHKFISGLPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHSQVIGITSGSSSSARPKDDEEREG >Manes.S024952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2133017:2133829:1 gene:Manes.S024952.v8.1 transcript:Manes.S024952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36870217:36873250:-1 gene:Manes.08G127800.v8.1 transcript:Manes.08G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLASLYEVKDPNAIFVFKFRTHFGGGKSSGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRTKKVRGIKKTKASDAAKAGKKK >Manes.09G011648.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2382529:2390790:1 gene:Manes.09G011648.v8.1 transcript:Manes.09G011648.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMNPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELVGISHDVYSINILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPDVRTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAQKIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADILGYNILINGYCKCKMIDDAEELFDEMSHKGLVPNVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVYLSRNNNLILRLLKVRNEGSAN >Manes.05G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3091712:3095457:-1 gene:Manes.05G037500.v8.1 transcript:Manes.05G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKPGLIALFDVDGTLTAPRKAATPKMLEFMQELKKVVTVGVVGGSDLSKISEQLGKTVTQDYDYVFSENGLVAHKEGKLIGTQSLKTFLGEEELKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFPHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDEFDEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPEDTLEQCKALFLANS >Manes.05G037500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3091712:3095457:-1 gene:Manes.05G037500.v8.1 transcript:Manes.05G037500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKPGLIALFDVDGTLTAPRKAATPKMLEFMQELKKVVTVGVVGGSDLSKISEQLGKTVTQDYDYVFSENGLVAHKEGKLIGTQSLKTFLGEEELKEFINFTLHYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFPHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDEFDEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPEDTLEQCKALFLANS >Manes.07G094400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29493766:29495562:-1 gene:Manes.07G094400.v8.1 transcript:Manes.07G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAASATSLGTAGFPSMKSFTIQVITGRWFMVFASLLIMAASGATYMFGLYSGDIKTALGYDQTTLNLLSFFKDLGANIGVLSGLINEVTPPWVVLSMGAVLNFFGYFMLWLAVTGKITGVHVWHMCLYMWIGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYVGLSGAIITQLYHAFHGDDSKALILFIGWLPTAISIVFLRTIRIMKVIRQPDELKVFYHFLYVSLGLAGFLMIIIIVEKSVKFTQSEYGLSAAMVIFFLALPLAIVSIEENKIWKSKKLATDDRSPLKIIIAEKPSQDTISSTASNTQSGDKLLANSDDKSNVSCWRTAFRPPNRGEDYTILQALCSIDMLILLSATICGLGGTLTAIDNLGQIGSSLGYPKKSISTFVSLVSIWNYLGRVAAGFISEHFLTKYKFPRPLMLTLILLVSCVGYLLIAFNVPNGLYISSIIIGFCFGAQWPLIYAIISEIFGLKYYSTLYNFGAMASPIGSYLLNVRVSGYLYDREAVKQLAARGLVRQPGQDLNCVGIECFKLSFIIITAATLFGTFVSFVLVLRTRKFYKSDIYKKFREAAQAAETEMAPAGNGFGNEK >Manes.03G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6753977:6763417:-1 gene:Manes.03G061800.v8.1 transcript:Manes.03G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAFSAFLISLLFLSTTTPTFSLYEDQVGLMDWHQQYIGKVKDAVFHTHKTGRKRVVVSTEENVIASLDLRHGEIFWRHVLGANDAIDGIDIAMGKYVITLSSEGSILRAWNLPDGQMVWESFLQGPNYSESIFLVPTSLKIDKDNVIIVFGKGCLHAVSSIHGEILWKKDFAAESFEVQHVIQPLGSDILYVVGFVGSSQFNVYQVDAKNGELLKHESEAFSGGFSGKVSLVSTNTLVVLDSTGSTLITVNFHNGEISIQKTDISDLIGESLGSTMILPSKLTGIFALKTNTFMIFIRVTDEGKLEVVDKINHVTAVSDALSFSEGREAFAVVEHYNNDICLMVKLGPEWNNDLLKERIKLDQQRGLVQKVFINNYIRTDRSHGFRALIVMEDHSLLLLQQGEIVWSREDGLASVIDTTTSELPVAKEGVSVAKVEENLFEWLKGHILKIKGTLMLASPEEVVAIQAMRLKSSKKSKMTRDHNGFRKLLIVLTKSGKVFALHTGDGRIVWSILLNSLRKSETCENPTGLNLYQWQVPHHHAMDENPSVLVVGRCRSSSDAPGVLSFVDTYTGKELSSSSLVHSVVQVILLPFTDSTEQRLHLLIDAKQQAHLYPKTPEAVGIFQREFSNIYWYSVEADDGIIKGHVLKSNCIGEVSDEYCFETRDIWSIVFPLESEKIITTVTRKLNEVVHTQAKVIAEQDVMYKYTSKNILFVVTVAPKAIGGIGSATPEESWLVAYLIDTVTGRILHRVTHHGAHGPVRAVFSENWVVYHYFNLRAHRYEMSVIEIFDQSRADNKDVWKLVLGKHNLTSPVSSYSRPEVITKSQSYFFTHSVKTIAVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRTVNPTQAEKEEGIIPLTDSLPIIPQSFVTHRLQVEGLRGIVTVPAKLESTTLVFVYGVDLFFTQLAPSRTYDSLTEDFSYALLLITIVALVVAIFITWILSEKKELRDKWR >Manes.03G168400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29393248:29393556:-1 gene:Manes.03G168400.v8.1 transcript:Manes.03G168400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIKTSHVRVLFSLLAILLAMSTLQVGVAKRPLHGEQWLKLQKVPVPTSGPSPCSHIPPPGKASICPSLNGMDFTGRHVNRAPPPPPSFPSSTPANSGGY >Manes.06G155000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28187218:28198605:-1 gene:Manes.06G155000.v8.1 transcript:Manes.06G155000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSLVCAGLGVAEEEETGNRIGYSKGDNCLDNLRDLLRFLRRDDPQTREVFKEVCKWKIVSKDLIPIIQFCRDERNLVLNAVKVLVFLTMPIEPSSVDIPQQIEYLWDLKSAITSSDTVGVIVSLLEGPLENLECESFTEDDWKLVQLVLTLFRNVLAIQDISLPQKVGGSACHLLSLRDRFLELLFRENVMDLILIITQHVRVSHGYLRQDNLLLLEIFHYIFLGQEPELIAKAHQNDFQVSGDTKASLDSLKSIMEEEEEKRKLSRNVARHSQFSGTFTRLTMDGSKAVCKGNPRSASQNILPKPHKIQRSSTKKIVWDYGRFPSMKDNILVLLHDFLNQFLSGGYNVLMQTICEDIEKEHHAIQKSDIVVFFQVAQFVTSFQYHKFLTYEPNMERDNSHSLSNELADSTIFKGDICGPIAATMSESMFLIVISRWRNAFDGLKETNDYKFLSAAGSLMKIMIRILDLVLKLLPEDSREPQTARILLYKLFYDQTDLGMTQFLLGLIKSFNIHKQSKSDLADLVETIHVIVRLMENLQSRGTLRVSKKSRKVRKKKVLSDKMETKNEMSRDEVTNQDLNLSSNTEEPADLSTLQEKSQGNVTSDNQENICNAIQVDKPEIVSPEMANLPPVGNRKSDHKDYNLSCSSDDSSGDELPAANYEVDFKVSTFVSAFANHNIIQNLCWLLRFYKSNSISTNHYIICMLQKITDDLDLSPMLYQLSLLTTFYDILDEQKTRPCKEYANIVNFLASFIRRMLRKMKSQPLLFVEVLFWKSRKECHYINAEYLLHELGHIRKETSSWGVFEKGEIGSSQAKGWVPRNIADALGEDEADVVISHEPYQKLKENFGEVRRDISPNSKSSDDGKGNSEYAENTMEHETEGISKRKRRFVLTDELEMQIRDLYEKFKDDGNCSRLIAESLDPTCHISPAQVFNKLKQLGLKVASKRRMRGVDKTSSISNQPGEKGRTTEKESDLRNSIDFEGTMPRQALATRKRVRAFDKDQEEMIRALFEQFKEHKRCSYMIANAMPAGNSFTAAQISQKLKQLGLRLPQQERSEAKLHLIDDEPSSLSTGGHDSDDETLLSLRRNRSRNKDGGRFLNESSTRNREKFSDDSDDEFLSSILKHKSKDGDRLFGEAQSTEAGLPDDEILASALNKTRKPKAKRRKLTTTSVERKVTDENLGDGDSKDVAQRDEEGIHEDDALNINQKDASEPEAIGSVSRSPVVSVVNDKDDLADRQMDDDDHADSGSSIKTAQLRRKLRMVVDFDDDD >Manes.06G155000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28187218:28198605:-1 gene:Manes.06G155000.v8.1 transcript:Manes.06G155000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSLVCAGLGVAEEEETGNRIGYSKGDNCLDNLRDLLRFLRRDDPQTREVFKEVCKWKIVSKDLIPIIQFCRDERNLVLNAVKVLVFLTMPIEPSSVDIPQQIEYLWDLKSAITSSDTVGVIVSLLEGPLENLECESFTEDDWKLVQLVLTLFRNVLAIQDISLPQKVGGSACHLLSLRDRFLELLFRENVMDLILIITQHVRVSHGYLRQDNLLLLEIFHYIFLGQEPELIAKAHQNDFQVSGDTKASLDSLKSIMEEEEEKRKLSRNVARHSQFSGTFTRLTMDGSKAVCKGNPRSASQNILPKPHKIQRSSTKKIVWDYGRFPSMKDNILVLLHDFLNQFLSGGYNVLMQTICEDIEKEHHAIQKSDIVVFFQVAQFVTSFQYHKFLTYEPNMERDNSHSLSNELADSTIFKGDICGPIAATMSESMFLIVISRWRNAFDGLKETNDYKFLSAAGSLMKIMIRILDLVLKLLPEDSREPQTARILLYKLFYDQTDLGMTQFLLGLIKSFNIHKQSKSDLADLVETIHVIVRLMENLQSRGTLRVSKKSRKVRKKKVLSDKMETKNEMSRDEVTNQDLNLSSNTEEPADLSTLQEKSQGNVTSDNQENICNAIQVDKPEIVSPEMANLPPVGNRKSDHKDYNLSCSSDDSSGDELPAANYEVDFKVSTFVSAFANHNIIQNLCWLLRFYKSNSISTNHYIICMLQKITDDLDLSPMLYQLSLLTTFYDILDEQKTRPCKEYANIVNFLASFIRRMLRKMKSQPLLFVEVLFWKSRKECHYINAEYLLHELGHIRKETSSWGVFEKGEIGSSQAKGWVPRNIADALGEDEADVVISHEPYQKLKENFGEVRRDISPNSKSSDDGKGNSEYAENTMEHETEGISKRKRRFVLTDELEMQIRDLYEKFKDDGNCSRLIAESLDPTCHISPAQVFNKLKQLGLKVASKRRMRGVDKTSSISNQPGEKGRTTEKESDLRNSIDFEGTMPRQALATRKRVRAFDKDQEEMIRALFEQFKEHKRCSYMIANAMPAGNSFTAAQISQKLKQLGLRLPQQERSEAKLHLIDDEPSSLSTGGHDSDDETLLSLRRNSRNKDGGRFLNESSTRNREKFSDDSDDEFLSSILKHKSKDGDRLFGEAQSTEAGLPDDEILASALNKTRKPKAKRRKLTTTSVERKVTDENLGDGDSKDVAQRDEEGIHEDDALNINQKDASEPEAIGSVSRSPVVSVVNDKDDLADRQMDDDDHADSGSSIKTAQLRRKLRMVVDFDDDD >Manes.03G116000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24171062:24173886:1 gene:Manes.03G116000.v8.1 transcript:Manes.03G116000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLQISVLNILHDDISKKFICSHSLPSSKITSFTQSRFRLRANREKLSVLELGSGKDGMLVRDEGWKRKRRVVLVRFNQGFGFNGGGGGGGGGRDNSGTIRLLGNVALAIGLTYLSMTGQLGWIFDAIGWVLDIAISIWLLAVLIPIVGVGAFLWWAGRDMLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFSVVEDEFVRDSVKFSNSSTTFGQAFRDFSARSEKGKDSSSVVDIEAEIKDAD >Manes.03G116000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24171061:24173887:1 gene:Manes.03G116000.v8.1 transcript:Manes.03G116000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLQISVLNILHDDISKKFICSHSLPSSKITSFTQSRFRLRANREKLSVLELGSGKDGMLVRDEGWKRKRRVVLVRFNQGFGFNGGGGGGGGGRDNSGTIRLLGNVALAIGLTYLSMTGQLGWIFDAIGWVLDIAISIWLLAVLIPIVGVGAFLWWAGRDMLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFSVEDEFVRDSVKFSNSSTTFGQAFRDFSARSEKGKDSSSVVDIEAEIKDAD >Manes.03G116000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24171062:24173886:1 gene:Manes.03G116000.v8.1 transcript:Manes.03G116000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLQISVLNILHDDISKKFICSHSLPSSKITSFTQSRFRLRANREKLSVLELGSGKDGMLVRDEGWKRKRRVVLVRFNQGFGFNGGGGGGGGGRDNSGTIRLLGNVALAIGLTYLSMTGQLGWIFDAIGWVLDIAISIWLLAVLIPIVGVGAFLWWAGRDMLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFSVVEDEFVRDSVKFSNSSTTFGQAFRDFSARSEKGKDSSSVVDIEAEIKDAD >Manes.03G116000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24171062:24173368:1 gene:Manes.03G116000.v8.1 transcript:Manes.03G116000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLQISVLNILHDDISKKFICSHSLPSSKITSFTQSRFRLRANREKLSVLELGSGKDGMLVRDEGWKRKRRVVLVRFNQGFGFNGGGGGGGGGRDNSGTIRLLGNVALAIGLTYLSMTGQLGWIFDAIGWVLDIAISIWLLAVLIPIVGVGAFLWWAGRDMLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFSVVEDEFVRDSVKFSNSSTTFGQAFRDFSARSEKGKDSSSVVDIEAEIKDAD >Manes.09G050100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8487516:8488759:1 gene:Manes.09G050100.v8.1 transcript:Manes.09G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSSQISATNRLEGKVALITGGASGIGESSARLFVKQGAKVVIADVQDELGLSLCKEIGSEVISYIHCDVTCDSDVQKAVDLAISKYGKLDIMFSNAAVSGNSPPMILATENEDFKRVFDVNVFGAFLAAKHAARVMIPAKKGCILFTSSALSVTCAGGPHPYVASKHAVVGLAKNLCVELGQYGIRVNVVSPFVVVTPMMRKSMGLIVMEKEKIQEAVSCAANLKGVVLDGEDIAYAALYLASDESKYVSGMNLLVDGGFSLTNPSFAVAMKSLFS >Manes.08G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8749884:8753068:1 gene:Manes.08G063800.v8.1 transcript:Manes.08G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGRLFKAAQMGDTDDLHSLIDEDELILSRSSLSDTADTALHIATLAGKLIFVEEVMARKSEAERPNADGFSPIHMASANGYAEIVRVFLSSDKQKMCHLRSGDGRTALHFAVITGSVDVISELIAAVPDCKNDLTAEGDSVFHLAVKNCQFEAFRRLVLMLKEINQQEMNEMLNAQDCNGNTILHLATSKKQHRIVKVLLGTDDAIAIDKVDVNLKNKNNLTALDMFDFPEKSTSEADANWKSIRRMLQDAGALQSQDIVILQHENPSVCFNCLPQKLRKYLSEQVSSFLTCELWLKLKREVKNSPSEMRSAVMVVAVLIATVTFQAVLSPPGGFQQPNDGQHSNGMAAISSDVVIFTALMLLNLVGFFSSIVMILMLLSGFPLKLLLRIAVLATTGSFLITIFYIGPTKLIVPFTVAMIMAAIVLLQVLLFIVWLGRRFVNFGSCCGASIIENNDGV >Manes.09G003800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1067856:1074389:1 gene:Manes.09G003800.v8.1 transcript:Manes.09G003800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYAKLYNKYDALKKKRISELDEINKDQELKFLNYVTAAEELIQHLKVENDMLQEQVSELRNQVASIRSTKDNECAQCQKLLMEENQKNEKLVKEVERLQKLQEQLFSSSKDYNNESVQHNMLETPQVSLGEVISDSIRSRRKRSREDGTQMENVTTPGHQDDLSLRESAQDSSRETLSIVDLENEQQPECCKRTVCRSANGVMNDGSYATCQFQALIECLMGMKFSSVNQTEGICISALHQSSGYAFSLTWIKKDGGEEPELLYRVSTLGTFERVAPEWMRSVLMFSTSMCPIFFERVARVIKMHH >Manes.09G003800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1067858:1074389:1 gene:Manes.09G003800.v8.1 transcript:Manes.09G003800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYAKLYNKYDALKKKRISELDEINKDQELKFLNYVTAAEELIQHLKVENDMLQEQVSELRNQVASIRSTKDNECAQCQKLLMEENQKNEKLVKEVERLQKLQEQLFSSSKDYNNESVQHNMLETPQVSLGEVISDSIRSRRKRSREDGTQMENVTTPGHQDDLSLRESAQDSSRETLSIVDLENEQQPECCKRTVCRSANGVMNDGSYATCQFQALIECLMGMKFSSVNQTEGICISALHQSSGYAFSLTWIKKDGGEEPELLYRVSTLGTFERVAPEWMRSVLMFSTSMCPIFFERVARVIKMHH >Manes.12G090054.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15994778:15999944:-1 gene:Manes.12G090054.v8.1 transcript:Manes.12G090054.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTGKDAQALFSSLRSAYAATPTSLKIIDLYVGFAVFTALIQVVYMAVVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Manes.01G237400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39924151:39929043:-1 gene:Manes.01G237400.v8.1 transcript:Manes.01G237400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLLKKVLKEQEQQQQHHEEEEEPESPDLSTRPAINPFDLLNDDDSNQENESEITDESLMGNDYKEESSVIKSLVSVVSTSNQKSKKKKKKKNKAAPSSSANKGESLLDKALENVSLDANSSRNQPEPVKTRPEKVREEFTKQYAPSILQVDPKCLNPENELRRIFGSKVVKSFERSNLASSSRQVRGTRRGSHHARKTILVSPSEHWPRWDGSLSMEFLETRDGYHYFRYVHSSSYDQAQKSFEAAKAIHDLNGIASILLYHPYHLDSLITMADYFKFVGEHQMSADAIAKCLYALECAWHPMFTPLQGNCQLKISHETNKAIFTTLFTHMKNMDRRGCHRSALEVCKLLLSLDLDDPMGTLFCIDYFTLRAEEYAWLEQFSEDYKSDNSLWLFPNFSYSLAICRFYLEREESGKDTFVLAKKSASADLMKQALMLHPSVLKKLVDKVPLKDQAWINILKHSFFRSEKTGSPSLDHLINIYIARSYIIWRLPDLQKLLRDAALQVIETIEHNSSEAKDWACVRKEAFSSENNEYSHLLVSDFSDAVPTLPPENLQNFMVDPRIREADPNGVRIANPAAGGPAARDVANRNALAVLFESMLPWVHYGAGEDEGANEENQVNEDDRGNRD >Manes.09G145700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34351663:34354384:-1 gene:Manes.09G145700.v8.1 transcript:Manes.09G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAATQMVFFLLAVAESVVLQSEARAFFVFGDSLVDSGNNNYLVTTARADSPPYGIDYPTHRPTGRFSNGLNIPDIISERIGAQPVLPYLSPELTAQRLLNGANFASAGIGILNDTGFQFLNVIRMYRQLEYFQQYQQRVGALIGVDRTKRLVNGALILITVGGNDFVNNYYLVPYSARSRQFALPDYVKFLVSEYRKLLMELYKLGARRVLVTGTGPLGCAPAELATRGTNGGCSDELQRAASLYNPQLVEMLKRLNQKIGKDVFIVANTQRLHMDFISNPQAYGFTTSKVACCGQGPYNGLGLCTEISNLCQNRSQYAFWDPFHPSEKANRLIVESIFSGSTSYMVPMNLSTTMALDART >Manes.01G026500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5479316:5483798:1 gene:Manes.01G026500.v8.1 transcript:Manes.01G026500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASTRKHRVETQRRFNEREIFETKERFLRETKETPVLNSMPTLTKLYTMHEASQHKTKEDCWIVIDGKVYDVSSYLDEHPGGDDVVLATIGKDAADDFEDAGHSESARELLQSFCIGELDTSAPVIPELEISSKKQPEVYYQKLKDLTKQYWEVPVAIVGISVVVGFLYLRRK >Manes.18G097000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8968350:8972059:-1 gene:Manes.18G097000.v8.1 transcript:Manes.18G097000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAINILILWVLHCSASTHYYFQVKEAPYTRLCYRKRILTVNGEFPGPTLHVHKGDTIFVTVHNRGRHNISIHWHGVKQPRNPWSDGPEYVTQCPIQPGAKFKQKVIFSAEEGTLWWHAHSDWSRATVHGAIIVYPKYGTNYPFPSPDAEVPVILGEWWKRDVMEVFMEFLMTGGGPQVSDAFTINGQPGDLNPCSRSGTFRLTVTQGKTYLLRMINAAMNDILFVSIAKHSLTVVGADGSYTKPLTTNYIAIGPGQTIDALLNANQDPNHYYMAASSYTSNPMIPFDNTTATALVQYKGTHSPSSNPTLPLLPDSNDTEAAYTFFNSLRSLASKDHPIQVPLDISANILTTISLNTFPCPRNSSCEGPNGTRLAASMNDISFVNPPIDILEAYYWRINGVFGYNFPSYPPLVFNSTEPILPLVLQIPRKGTEAKVLAYDSSVEIVFQGTNILGGIDHPMHVHGYSFYVVGWGLGNFDREKDPQKYNLVDPPFRNTVCVPINGWVAIRFKAYNPGVWLMHCHLESHFSWGMETLLIVSDGMNQKERLLPPPPHMPPC >Manes.18G086366.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7962935:7963743:1 gene:Manes.18G086366.v8.1 transcript:Manes.18G086366.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTVTKETTNVCQIGHTLSCFLLSLHSPSFSETMETQQQQQPQPKTTDEKLSLDIEHFEPKTLTREQIKSARAAAALQLAALREERKAQIKMAEYSSSRRGEGGCDV >Manes.14G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7367850:7370743:1 gene:Manes.14G089200.v8.1 transcript:Manes.14G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRLIHNERNCASEKMFQFIRELNMIYADENPGTESRLNLNDLPVYKSFEFIDNSGEPKGLIQDQNLRIKPNRKKQHGVLRNRKGSDGFRSSDDSSRYAKSSIGESEGSMGRKKVYLKKDLHDYDSPEMVCFLDDSSYDFIKDILTETEPLSQDKYLTENYELDHCNFSSTVNSDSESSDSTQETLERLSCIANELKFIAEDDCKNERHNSKRFLTDEDGLDKGDDDVMIDHPAKNIVHVIHLSEEVKDDDCENEQHGCRRLTMGDEEGFQGRRAISIDHSVEDIVRTIQLIKGVQMEEEGALSNPNCKQVLENDSLSSCPESLRISSDKESLASSIEADRPSDQIENSGSSIIEHSGGSISLTSSNSTASTRSFAFPVLTTEWPASPVRMRGGDETLRQASADHRRRMHRPRRWKALFCCKSKLR >Manes.14G089200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7367721:7370843:1 gene:Manes.14G089200.v8.1 transcript:Manes.14G089200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRLIHNERNCASEKMFQFIRELNMIYADENPGTESRLNLNDLPVYKSFEFIDNSGEPKGLIQDQNLRIKPNRKKQHGVLRNRKGSDGFRSSDDSSRYAKSSIGESEGSMGRKKVYLKKDLHDYDSPEMVCFLDDSSYDFIKDILTETEPLSQDKYLTENYELDHCNFSSTVNSDSESSDSTQETLERLSCIANELKFIAEDDCKNERHNSKRFLTDEDGLDKGDDDVMIDHPAKNIVHVIHLSEEVKDDDCENEQHGCRRLTMGDEEGFQGRRAISIDHSVEDIVRTIQLIKGKLQVQMEEEGALSNPNCKQVLENDSLSSCPESLRISSDKESLASSIEADRPSDQIENSGSSIIEHSGGSISLTSSNSTASTRSFAFPVLTTEWPASPVRMRGGDETLRQASADHRRRMHRPRRWKALFCCKSKLR >Manes.14G089200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7367850:7370649:1 gene:Manes.14G089200.v8.1 transcript:Manes.14G089200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRLIHNERNCASEKMFQFIRELNMIYADENPGTESRLNLNDLPVYKSFEFIDNSGEPKGLIQDQNLRIKPNRKKQHGVLRNRKGSDGFRSSDDSSRYAKSSIGESEGSMGRKKVYLKKDLHDYDSPEMVCFLDDSSYDFIKDILTETEPLSQDKYLTENYELDHCNFSSTVNSDSESSDSTQETLERLSCIANELKFIAEDDCKNERHNSKRFLTDEDGLDKGDDDVMIDHPAKNIVHVIHLSEEVKDDDCENEQHGCRRLTMGDEEGFQGRRAISIDHSVEDIVRTIQLIKGKLQVQMEEEGALSNPNCKQVLENDSLSSCPESLRISSDKESLASSIEADRPSDQIENSGSSIIEHSGGSISLTSSNSTASTRSFAFPVLTTEWPASPVRMRGGDETLRQASADHRRRMHRPRRWKALFCCKSKLR >Manes.14G089200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7367721:7370843:1 gene:Manes.14G089200.v8.1 transcript:Manes.14G089200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRLIHNERNCASEKMFQFIRELNMIYADENPGTESRLNLNDLPVYKSFEFIDNSGEPKGLIQDQNLRIKPNRKKQHGVLRNRKGSDGFRSSDDSSRYAKSSIGESEGSMGRKKVYLKKDLHDYDSPEMVCFLDDSSYDFIKDILTETEPLSQDKYLTENYELDHCNFSSTVNSDSESSDSTQETLERLSCIANELKFIAEDDCKNERHNSKRFLTDEDGLDKGDDDVMIDHPAKNIVHVIHLSEEVKDDDCENEQHGCRRLTMGDEEGFQGRRAISIDHSVEDIVRTIQLIKGVQMEEEGALSNPNCKQVLENDSLSSCPESLRISSDKESLASSIEADRPSDQIENSGSSIIEHSGGSISLTSSNSTASTRSFAFPVLTTEWPASPVRMRGGDETLRQASADHRRRMHRPRRWKALFCCKSKLR >Manes.14G089200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7367712:7371120:1 gene:Manes.14G089200.v8.1 transcript:Manes.14G089200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRLIHNERNCASEKMFQFIRELNMIYADENPGTESRLNLNDLPVYKSFEFIDNSGEPKGLIQDQNLRIKPNRKKQHGVLRNRKGSDGFRSSDDSSRYAKSSIGESEGSMGRKKVYLKKDLHDYDSPEMVCFLDDSSYDFIKDILTETEPLSQDKYLTENYELDHCNFSSTVNSDSESSDSTQETLERLSCIANELKFIAEDDCKNERHNSKRFLTDEDGLDKGDDDVMIDHPAKNIVHVIHLSEEVKDDDCENEQHGCRRLTMGDEEGFQGRRAISIDHSVEDIVRTIQLIKGVQMEEEGALSNPNCKQVLENDSLSSCPESLRISSDKESLASSIEADRPSDQIENSGSSIIEHSGGSISLTSSNSTASTRSFAFPVLTTEWPASPVRMRGGDETLRQASADHRRRMHRPRRWKALFCCKSKLR >Manes.14G089200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7367712:7371120:1 gene:Manes.14G089200.v8.1 transcript:Manes.14G089200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRLIHNERNCASEKMFQFIRELNMIYADENPGTESRLNLNDLPVYKSFEFIDNSGEPKGLIQDQNLRIKPNRKKQHGVLRNRKGSDGFRSSDDSSRYAKSSIGESEGSMGRKKVYLKKDLHDYDSPEMVCFLDDSSYDFIKDILTETEPLSQDKYLTENYELDHCNFSSTVNSDSESSDSTQETLERLSCIANELKFIAEDDCKNERHNSKRFLTDEDGLDKGDDDVMIDHPAKNIVHVIHLSEEVKDDDCENEQHGCRRLTMGDEEGFQGRRAISIDHSVEDIVRTIQLIKGKLQVQMEEEGALSNPNCKQVLENDSLSSCPESLRISSDKESLASSIEADRPSDQIENSGSSIIEHSGGSISLTSSNSTASTRSFAFPVLTTEWPASPVRMRGGDETLRQASADHRRRMHRPRRWKALFCCKSKLR >Manes.14G089200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7367838:7370649:1 gene:Manes.14G089200.v8.1 transcript:Manes.14G089200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRLIHNERNCASEKMFQFIRELNMIYADENPGTESRLNLNDLPVYKSFEFIDNSGEPKGLIQDQNLRIKPNRKKQHGVLRNRKGSDGFRSSDDSSRYAKSSIGESEGSMGRKKVYLKKDLHDYDSPEMVCFLDDSSYDFIKDILTETEPLSQDKYLTENYELDHCNFSSTVNSDSESSDSTQETLERLSCIANELKFIAEDDCKNERHNSKRFLTDEDGLDKGDDDVMIDHPAKNIVHVIHLSEEVKDDDCENEQHGCRRLTMGDEEGFQGRRAISIDHSVEDIVRTIQLIKGVQMEEEGALSNPNCKQVLENDSLSSCPESLRISSDKESLASSIEADRPSDQIENSGSSIIEHSGGSISLTSSNSTASTRSFAFPVLTTEWPASPVRMRGGDETLRQASADHRRRMHRPRRWKALFCCKSKLR >Manes.14G089200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7367850:7370743:1 gene:Manes.14G089200.v8.1 transcript:Manes.14G089200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRLIHNERNCASEKMFQFIRELNMIYADENPGTESRLNLNDLPVYKSFEFIDNSGEPKGLIQDQNLRIKPNRKKQHGVLRNRKGSDGFRSSDDSSRYAKSSIGESEGSMGRKKVYLKKDLHDYDSPEMVCFLDDSSYDFIKDILTETEPLSQDKYLTENYELDHCNFSSTVNSDSESSDSTQETLERLSCIANELKFIAEDDCKNERHNSKRFLTDEDGLDKGDDDVMIDHPAKNIVHVIHLSEEVKDDDCENEQHGCRRLTMGDEEGFQGRRAISIDHSVEDIVRTIQLIKGKLQVQMEEEGALSNPNCKQVLENDSLSSCPESLRISSDKESLASSIEADRPSDQIENSGSSIIEHSGGSISLTSSNSTASTRSFAFPVLTTEWPASPVRMRGGDETLRQASADHRRRMHRPRRWKALFCCKSKLR >Manes.14G120800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11681524:11682175:1 gene:Manes.14G120800.v8.1 transcript:Manes.14G120800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSAASDSKSHMQQGRTCLCSPTAHPGSFRCSLHRNFHRVPSVSTVKVSSKKWELAVIDKANSLKAFLLQIIKPSSHDLQRRRNFRPRPTRFCLMNASRDGVAVS >Manes.06G114600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24559321:24561254:1 gene:Manes.06G114600.v8.1 transcript:Manes.06G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYLFFQITLIAIFILSSSIFASSVNPTSSIPRTFKKIYAFGDSFTDTGNTRSVSGPSGFGHVSSFPYGSTFFHHSTNRYSDGRLMIDFAAEMLSLPYLPPYRHLKGNATHGVNFAVAGSTLINHAFFVKNNLTLDITPESIQTQMIWFNEFLEKQGCKGPVSSGPECRAALEDALIWVGEIGVNDYAYITGSSVPGDTIRELAISSVTNFLQALLSKGVKNVVVQGLPPTGCLTLAMTLAPEDDRDDMGCVKSVNDQSSTHNAVYLAQLQDLRRKFSNTTIAYLDFWNAYRTVIKNPKAYGFMETFKACCGSGDPPYNFNGFATCGTASASVCRNPAQYINWDGVHLTEAMYKVLSGMFLNGTYSQPPFESLLGRKQSEG >Manes.18G009700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1202401:1206561:1 gene:Manes.18G009700.v8.1 transcript:Manes.18G009700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCFTKSRSHEIPISYSSDSPPRAHKPRPRRPPQQGYNPPQLPYVPQPPSASFPPAAPPSNIGPILCKPYVDITSIYHLHKELGRGQFGITYLCTEKSSGRKYACKSISRGKLVNNKDIEDVRREILILQHLTGQPNIVEFKGAYEDKQNLHLVMELCSGGELFDRIIAKGSYSEREAAKVMRQIVNVVHVCHFMGVMHRDLKPENFLLMSKEEDSPLKATDFGLSVFIEQGKEYRDIVGSAYYVAPEVLNRKYGKEIDVWKHPWLKVDGKASDKPIDSAVLIRLKQFRAMNKMKKLALKVIAENLSSEEIKGLKQMFDNMDTDGSGTITYEELKEGLSRLGSKLTEAEIQQLMDAADVDKNGTIDYVEFITATMHRHKLEKEEHLFKAFRYFDKDNSGFITRDELRQAMAQYGMGDEATIDEIIEDVDTDKDGRINYDEFADMMRRGTQDNGEK >Manes.18G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1202401:1206561:1 gene:Manes.18G009700.v8.1 transcript:Manes.18G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCFTKSRSHEIPISYSSDSPPRAHKPRPRRPPQQGYNPPQLPYVPQPPSASFPPAAPPSNIGPILCKPYVDITSIYHLHKELGRGQFGITYLCTEKSSGRKYACKSISRGKLVNNKDIEDVRREILILQHLTGQPNIVEFKGAYEDKQNLHLVMELCSGGELFDRIIAKGSYSEREAAKVMRQIVNVVHVCHFMGVMHRDLKPENFLLMSKEEDSPLKATDFGLSVFIEQGKEYRDIVGSAYYVAPEVLNRKYGKEIDVWSAGIILYILLSGVPPFWAENEKGIFEEISKCQLDLQSKPWPEISSPAKDLIRKMLTKDPRKRITAAQALEHPWLKVDGKASDKPIDSAVLIRLKQFRAMNKMKKLALKVIAENLSSEEIKGLKQMFDNMDTDGSGTITYEELKEGLSRLGSKLTEAEIQQLMDAADVDKNGTIDYVEFITATMHRHKLEKEEHLFKAFRYFDKDNSGFITRDELRQAMAQYGMGDEATIDEIIEDVDTDKDGRINYDEFADMMRRGTQDNGEK >Manes.02G149100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11455052:11457472:1 gene:Manes.02G149100.v8.1 transcript:Manes.02G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSSSDSLAALVSTSSSKEEKTQNQTQGYTKEFQAMLDNLEEEDYTEDATHTAEKKRRLTLDQVKALEKTFEVENKLEPERKQRLAEELGLQPRQVAIWFQNRRARWKTKQLEKEYVALKANYEDLKLNYDNLERQNESLVLQLKELKAKLREENADSSNSVKEEECPVSVSENNISVQSQSHEISDNENSNPTIKDQISGLSSHPLMNWIQLSESRTILGNGFQVYQPHVVKLEEQNLFNTEESCNFFSVDQAPTLHWHFPEL >Manes.02G204000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17615576:17624816:-1 gene:Manes.02G204000.v8.1 transcript:Manes.02G204000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAQEFLGNNRLDDVHWLCTLSESELDMLISLKMLVIQRAKVLGYEDLAMKFDLKVLRTLAFVLMEYLKGNVKNFSHIPGMVKTPNLLDGCNLSQFKLGAILSIEELKACIGVDETKRRAKRPREEDTITHEK >Manes.02G204000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17616245:17624816:-1 gene:Manes.02G204000.v8.1 transcript:Manes.02G204000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAQEFLGNNRLDDVHWLCTLSESELDMLISLKMLVIQRAKVLGYEDLAMKFDLKVLRTLAFVLMEYLKGNVKNFSHIPGMVKTPNLLDGCNLSQFKLGAILSIEELKACIGVDETKRRAKRPREEDTITHEK >Manes.02G204000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17616245:17624816:-1 gene:Manes.02G204000.v8.1 transcript:Manes.02G204000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAQEFLGNNRLDDVHWLCTLSESELDMLISLKMLVIQRAKVLGYEDLAMKFDLKVLRTLAFVLMEYLKGNVKNFSHIPGMVKTPNLLDGCNLSQFKLGAILSIEELKACIGVDETKRRAKRPREEDTITHEK >Manes.03G039600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3480376:3490708:-1 gene:Manes.03G039600.v8.1 transcript:Manes.03G039600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGLHPNCDLQALQRQYVSRKFEGFGRVQGSLGFQNHSRIEPILEPQVLFVYPPDKQLPLKYKDLVSFCFPGGLEEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPCGLFSVISDKRPPCSSFSRYVLTTRRCYCILSRLPFFELHFGVLNSILTEERLERLTKDVGALDFMESSSKEEILEENLDGISSNYRDAGEMLNGTAENFQSSSRNSASGDVDYVDSETEIVFDKGESASGNPEDCNIDVDDISDKQAEERRLPNAVLPLPRYYQYESSESSSSFQGSPCEDRNFRSDVDDTETEESSFSGQEDSNDHMDILELAKKNNYGSLQIICEYYQLRCPSRGSTVRFHPLEHLHPLEYHKPDETVLHIAGSTIDLRSCTTSLEFAEAHSALLAEEEATALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALVLSIIPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLTNSILVDANKGQVKSSTIPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLPAHTITNVQSNNDKVSLLLKESFIDSFPHRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Manes.03G039600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3480299:3490716:-1 gene:Manes.03G039600.v8.1 transcript:Manes.03G039600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGLHPNCDLQALQRQYVSRKFEGFGRVQGSLGFQNHSRIEPILEPQVLFVYPPDKQLPLKYKDLVSFCFPGGLEVCAIERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPCGLFSVISDKRPPCSSFSRYVLTTRRCYCILSRLPFFELHFGVLNSILTEERLERLTKDVGALDFMESSSKEEILEENLDGISSNYRDAGEMLNGTAENFQSSSRNSASGDVDYGNHMEHQIPQGHIHLSNKGNEDNVVVTVDSETEIVFDKGESASGNPEDCNIDVDDISDKQAEERRLPNAVLPLPRYYQYESSESSSSFQGSPCEDRNFRSDVDDTETEESSFSGQEDSNDHMDILELAKKNNYGSLQIICEYYQLRCPSRGSTVRFHPLEHLHPLEYHKPDETVLHIAGSTIDLRSCTTSLEFAEAHSALLAEEEATALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALVLSIIPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLTNSILVDANKGQVKSSTIPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLPAHTITNVQSNNDKVSLLLKESFIDSFPHRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Manes.03G039600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3480324:3490715:-1 gene:Manes.03G039600.v8.1 transcript:Manes.03G039600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGLHPNCDLQALQRQYVSRKFEGFGRVQGSLGFQNHSRIEPILEPQVLFVYPPDKQLPLKYKDLVSFCFPGGLEVCAIERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPCGLFSVISDKRPPCSSFSRYVLTTRRCYCILSRLPFFELHFGVLNSILTEERLERLTKDVGALDFMESSSKEEILEENLDGISSNYRDAGEMLNGTAENFQSSSRNSASGDVDYVDSETEIVFDKGESASGNPEDCNIDVDDISDKQAEERRLPNAVLPLPRYYQYESSESSSSFQGSPCEDRNFRSDVDDTETEESSFSGQEDSNDHMDILELAKKNNYGSLQIICEYYQLRCPSRGSTVRFHPLEHLHPLEYHKPDETVLHIAGSTIDLRSCTTSLEFAEAHSALLAEEEATALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALVLSIIPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLTNSILVDANKGQVKSSTIPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLPAHTITNVQSNNDKVSLLLKESFIDSFPHRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Manes.03G039600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3480324:3490715:-1 gene:Manes.03G039600.v8.1 transcript:Manes.03G039600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEDTGSPGWRASLFLQTTEDVARAVAAAAAAATAPVSPRPSVVFSSKDDHGDSQFLKLQRHVSRVLKGFLSPPPPETKGGTYNPEVLTSQKRQWANFQLQYLDHRPLKEPSRLFESMVVVGLHPNCDLQALQRQYVSRKFEGFGRVQGSLGFQNHSRIEPILEPQVLFVYPPDKQLPLKYKDLVSFCFPGGLEVCAIERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPCGLFSVISDKRPPCSSFSRYVLTTRRCYCILSRLPFFELHFGVLNSILTEERLERLTKDVGALDFMESSSKEEILEENLDGISSNYRDAGEMLNGTAENFQSSSRNSASGDVDYVDSETEIVFDKGESASGNPEDCNIDVDDISDKQAEERRLPNAVLPLPRYYQYESSESSSSFQGSPCEDRNFRSDVDDTETEESSFSGQEDSNDHMDILELAKKNNYGSLQIICEYYQLRCPSRGSTVRFHPLEHLHPLEYHKPDETVLHIAGSTIDLRSCTTSLEFAEAHSALLAEEEATALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALVLSIIPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLTNSILVDANKGQVKSSTIPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLPAHTITNVQSNNDKVSLLLKESFIDSFPHRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Manes.03G039600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3480299:3490716:-1 gene:Manes.03G039600.v8.1 transcript:Manes.03G039600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEDTGSPGWRASLFLQTTEDVARAVAAAAAAATAPVSPRPSVVFSSKDDHGDSQFLKLQRHVSRVLKGFLSPPPPETKGGTYNPEVLTSQKRQWANFQLQYLDHRPLKEPSRLFESMVVVGLHPNCDLQALQRQYVSRKFEGFGRVQGSLGFQNHSRIEPILEPQVLFVYPPDKQLPLKYKDLVSFCFPGGLEVCAIERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPCGLFSVISDKRPPCSSFSRYVLTTRRCYCILSRLPFFELHFGVLNSILTEERLERLTKDVGALDFMESSSKEEILEENLDGISSNYRDAGEMLNGTAENFQSSSRNSASGDVDYGNHMEHQIPQGHIHLSNKGNEDNVVVTVDSETEIVFDKGESASGNPEDCNIDVDDISDKQAEERRLPNAVLPLPRYYQYESSESSSSFQGSPCEDRNFRSDVDDTETEESSFSGQEDSNDHMDILELAKKNNYGSLQIICEYYQLRCPSRGSTVRFHPLEHLHPLEYHKPDETVLHIAGSTIDLRSCTTSLEFAEAHSALLAEEEATALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALVLSIIPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLTNSILVDANKGQVKSSTIPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLPAHTITNVQSNNDKVSLLLKESFIDSFPHRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Manes.03G039600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3480376:3490697:-1 gene:Manes.03G039600.v8.1 transcript:Manes.03G039600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEDTGSPGWRASLFLQTTEDVARAVAAAAAAATAPVSPRPSVVFSSKDDHGDSQFLKLQRHVSRVLKGFLSPPPPETKGGTYNPEVLTSQKRQWANFQLQYLDHRPLKEPSRLFESMVVVGLHPNCDLQALQRQYVSRKFEGFGRVQGSLGFQNHSRIEPILEPQVLFVYPPDKQLPLKYKDLVSFCFPGGLEVCAIERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPCGLFSVISDKRPPCSSFSRYVLTTRRCYCILSRLPFFELHFGVLNSILTEERLERLTKDVGALDFMESSSKEEILEENLDGISSNYRDAGEMLNGTAENFQSSSRNSASGDVDYGNHMEHQIPQGHIHLSNKGNEDNVVVTVDSETEIVFDKGESASGNPEDCNIDVDDISDKQAEERRLPNAVLPLPRYYQYESSESSSSFQGSPCEDRNFRSDVDDTETEESSFSGQEDSNDHMDILELAKKNNYGSLQIICEYYQLRCPSRGSTVRFHPLEHLHPLEYHKPDETVLHIAGSTIDLRSCTTSLEFAEAHSALLAEEEATALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALVLSIIPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLTNSILVDANKGQVKSSTIPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLPAHTITNVQSNNDKVSLLLKESFIDSFPHRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Manes.03G039600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3480376:3490708:-1 gene:Manes.03G039600.v8.1 transcript:Manes.03G039600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEDTGSPGWRASLFLQTTEDVARAVAAAAAAATAPVSPRPSVVFSSKDDHGDSQFLKLQRHVSRVLKGFLSPPPPETKGGTYNPEVLTSQKRQWANFQLQYLDHRPLKEPSRLFESMVVVGLHPNCDLQALQRQYVSRKFEGFGRVQGSLGFQNHSRIEPILEPQVLFVYPPDKQLPLKYKDLVSFCFPGGLEEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPCGLFSVISDKRPPCSSFSRYVLTTRRCYCILSRLPFFELHFGVLNSILTEERLERLTKDVGALDFMESSSKEEILEENLDGISSNYRDAGEMLNGTAENFQSSSRNSASGDVDYVDSETEIVFDKGESASGNPEDCNIDVDDISDKQAEERRLPNAVLPLPRYYQYESSESSSSFQGSPCEDRNFRSDVDDTETEESSFSGQEDSNDHMDILELAKKNNYGSLQIICEYYQLRCPSRGSTVRFHPLEHLHPLEYHKPDETVLHIAGSTIDLRSCTTSLEFAEAHSALLAEEEATALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALVLSIIPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLTNSILVDANKGQVKSSTIPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLPAHTITNVQSNNDKVSLLLKESFIDSFPHRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Manes.03G039600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3480332:3490701:-1 gene:Manes.03G039600.v8.1 transcript:Manes.03G039600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEDTGSPGWRASLFLQTTEDVARAVAAAAAAATAPVSPRPSVVFSSKDDHGDSQFLKLQRHVSRVLKGFLSPPPPETKGGTYNPEVLTSQKRQWANFQLQYLDHRPLKEPSRLFESMVVVGLHPNCDLQALQRQYVSRKFEGFGRVQGSLGFQNHSRIEPILEPQVLFVYPPDKQLPLKYKDLVSFCFPGGLEVCAIERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPCGLFSVISDKRPPCSSFSRYVLTTRRCYCILSRLPFFELHFGVLNSILTEERLERLTKDVGALDFMESSSKEEILEENLDGISSNYRDAGEMLNGTAENFQSSSRNSASGDVDYVDSETEIVFDKGESASGNPEDCNIDVDDISDKQAEERRLPNAVLPLPRYYQYESSESSSSFQGSPCEDRNFRSDVDDTETEESSFSGQEDSNDHMDILELAKKNNYGSLQIICEYYQLRCPSRGSTVRFHPLEHLHPLEYHKPDETVLHIAGSTIDLRSCTTSLEFAEAHSALLAEEEATALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALVLSIIPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLTNSILVDANKGQVKSSTIPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLPAHTITNVQSNNDKVSLLLKESFIDSFPHRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Manes.01G171500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35332279:35335332:-1 gene:Manes.01G171500.v8.1 transcript:Manes.01G171500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSHSSTFNRSYRCYPVSFIDKTHVEKGDKIIMPPSALDHLASLHIDYPMLFELRNPSVERVTHCGVLEFIADEGIIFLPYWMMENMLLQEGDIVQLKIASLRKGTYVKLQPHTKDFLDISNPKAILETSLRNYSCLTTGDTIMVAYNNKKYYIDIVETKPCSAISIIETDCEVDFAPPLDYKEPEKPAPSPRSNRTAPEVDEEPAKKIPKFSPFTGVAKRLDGKLPTQPTPSANNLKHHHQEVGKDVSSSKPSNSASCSHSGKLIFGSNVNQPQIEPPKNAPKKSNQEASQNVEEPKFQAFTGKKYSLKE >Manes.01G171500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35332303:35335155:-1 gene:Manes.01G171500.v8.1 transcript:Manes.01G171500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPGSHSSTFNRSYRCYPVSFIDKTHVEKGDKIIMPPSALDHLASLHIDYPMLFELRNPSVERVTHCGVLEFIADEGIIFLPYWMMENMLLQEGDIVQLKIASLRKGTYVKLQPHTKDFLDISNPKAILETSLRNYSCLTTGDTIMVAYNNKKYYIDIVETKPCSAISIIETDCEVDFAPPLDYKEPEKPAPSPRSNRTAPEVDEEPAKKIPKFSPFTGVAKRLDGKLPTQPTPSANNLKHHHQEVGKDVSSSKPSNSASCSHSGKLIFGSNVNQPQIEPPKNAPKKSNQEASQNVEEPKFQAFTGKKYSLKE >Manes.09G000300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:500036:504240:1 gene:Manes.09G000300.v8.1 transcript:Manes.09G000300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTILACHPPLPTPPPNSNNSMDDFDSLPIPPLDPMFLSAQNSSSTTITGGENFVSDLPLSLEDNYDFDITFDDLDSFYFPSENEHFSIPNLDVTLQSGSSNDFIDGFAAHQANSASLQSGSSGICGDHGSDVSKYLNSSLLESRSCNSADLSSNSDRESNVSSPISSQGSGNGGSGVSEAMNAPSPDSDTFAFDQKIKLEEVNAKSGGLPKRKKETTSEDFNDETRNLKYRRSENADPNTKANSQCGTFDELSEEEEKRRARLMRNRESAQLSRQRKKHYVEELEDKVRTMHSTIAELNSKISFFMAENASLKQQLGGNGLCPPPLYPPMAPMPYPWVPCAPYVVKPQGSQVPLVPIPRLKTQQPVPALKTKKAEAKKVEGKTKKVASVSFLGLLFFVMLFGGLVPFVNVKFVGVNDNGANGMGFVSEKFYDQQKGRIIGVHGHLNESHENMGVEFSNGNFHVGSRIKCRRGSDGCLAYDVELKRGLDNLPDSDKFARLANTSNKPLAASLFVPRNDELVKIDGNLIIHSVLASERAMASHEDPEVNKNKETGLAIPRDLFPSLAFPDVEASRGRHSHLHRTPNERQKALASGTSDAVKEKKSSVADGKLQQWFHEGLAGPMLSSGMCREVFQFDASPSPGAIIPASSVTNITAEDQQNATNHKKGKSRRILRGLPIPLAGSNLNVTAEHVGSSQKDNFQGHKSVSPMVVSVLVDPREAGDSEVDGVIAPKSISRIFVVVLIDSVKYATYSCVLPRSGPHLVTT >Manes.06G127500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25725363:25726666:-1 gene:Manes.06G127500.v8.1 transcript:Manes.06G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMEPMPFNSLLILLLISSASVSIESKSEDPTPAAWPSQFHSILFMNTSAGKLQVVDLWYDWPNGRNFNIIQNQLGKLLYDLEWDNGTSFIYTLDSNQECRVLHFPVGVLRPNWLEGANYLGQQEIDGFLCNVWEKVDFIWYYEDVITRRPVSWTFYTGMVTHVMTFEVGAVLEDENWQAPVYCFKEHEKERSTVLESVAGDGSSGGFSLMRATVI >Manes.02G174100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13756671:13759884:-1 gene:Manes.02G174100.v8.1 transcript:Manes.02G174100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SWEET1a MDVLHFLFGVFGNATALFLFLSPTITFKRIIKSKSTEQFSGIPYVMTLLNCLLSAWYGLPFVSKNNLLVSTINGTGAAIEIVYVLVFIVYAPRKEKSKILGLLTLVLAIFATVAFVSLFALHDNSRKLFCGFAATIFSIIMYASPLSIIRLVIKTKSVEYMPFFLSLFVFLCGTSWFIYGLLGRDPFVAVPNGFGCGLGTLQLILYFIYRKNKGEDKDAAATKQSVEMGSSEKPQQEKKMMANGSADEQV >Manes.16G049600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14349239:14354161:1 gene:Manes.16G049600.v8.1 transcript:Manes.16G049600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLRRLLVAAPRQQNKAKLIHYVATLREQLEHLAEERNPDGLPRVPKAKVNEYSEKIEAIASRIAAPITHIQVSEESVAESSAKESPKADEETHFASSPGLRRRFVAASNIDDRTHDLNQVHTSATVKLDTAAKAHIEKHRKLQEDLTDEMVQLAQQLKESSLMMSQSVQNTEKVMTFIIL >Manes.16G049600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14347435:14356216:1 gene:Manes.16G049600.v8.1 transcript:Manes.16G049600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLRRLLVAAPRQQNKAKLIHYVATLREQLEHLAEERNPDGLPRVPKAKVNEYSEKIEAIASRIAAPIVSEESVAESSAKESPKADEETHFASSPGLRRRFVAASNIDDRTHDLNQVHTSATVKLDTAAKAHIEKHRKLQEDLTDEMVQLAQQLKESSLMMSQSVQNTEKILDSTEKAVEQSLASTGRANVRALEIYSKTSKTTCFTWLLIFVMTCIFIMVVLLIRVT >Manes.16G049600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14347435:14356216:1 gene:Manes.16G049600.v8.1 transcript:Manes.16G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLRRLLVAAPRQQNKAKLIHYVATLREQLEHLAEERNPDGLPRVPKAKVNEYSEKIEAIASRIAAPITHIQVSEESVAESSAKESPKADEETHFASSPGLRRRFVAASNIDDRTHDLNQVHTSATVKLDTAAKAHIEKHRKLQEDLTDEMVQLAQQLKESSLMMSQSVQNTEKILDSTEKAVEQSLASTGRANVRALEIYSKTSKTTCFTWLLIFVMTCIFIMVVLLIRVT >Manes.05G099600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9279158:9280526:1 gene:Manes.05G099600.v8.1 transcript:Manes.05G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPSKRLRDEAQIEDDVVDETNKRHKSYNHILSLLDEEEDEPPQDLSSLITTLQQELSSDSTFDDPLSCQTTGIYQENPTTTVEDCPSSSSSTFLKEDEEDDKERVIRHLLEASDDELGIPNTQAFGGGDDGYGENLSNSGNGFSGEDGFSLCDGLWELEDANANYYALLQSELFL >Manes.03G029100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2352103:2354701:1 gene:Manes.03G029100.v8.1 transcript:Manes.03G029100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVALTVHGWQSPGHCYRPVPRISTAARKGCSIQGFLKDTTFLLKTSKGDDELHLGLMMKSLGGGHGNVLIKNKTRIHVMSPEISPPSFAVEFLDAWDDEYGGIVVDANSLPSSANAFASALRASLTDWKLKGKKGIWLKILSEQAELIPIAIKEGFDYHHAEPGYGMLTYWVPDGPCMLPDSPSHQIGVGAFVINEKREVLVVKEKCPCNCSGVWKMPTGYINKSEDIFSGAIREVKEETGVDTIFLKLVAFRHAHLVAFEKSDLLFVCMLKPLSSEITIDEKEIQAAKWMPVDEYIGQPFYEEDDMSRKVIEACIAEHEGHYNGFVGRRLSSKLDGKLSYLYYDCSSSF >Manes.08G089100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:29836953:29838634:1 gene:Manes.08G089100.v8.1 transcript:Manes.08G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSTTTVISKCTIYPDHKSSLKTLKLSVSDLPMLSCQYIQKGVLLSYPPYSFDDLITFLKRSLSTALSFFPPLAGRLSTDPDGHVHIVCNDAGIDFVQAKARHIFINNVLSPSDDVPDCFKGFFTFDKMLSYSGHSKPLAAVQVTELADGVFIGCIVNHAVTDGTSFWHFFNTFAEFCKGAKKISKAPDFSRDTVFNTPAVLKFPVGGPKVTFSGDEPLRERIFHFSREAILNLKYRANNGILCERVETLGEQYNDSWKVVNGDSNGKVVPSSENLFKNKTAGISSFQSLCAQLWRSVTRARNLSPSKTTTFRMAVNCRHRLEPRLHPYSFGNAIQSIPTVAPVGELLSHDLHWGAKLLHKNVIAHDGATVRKGIADWEREPRLFPLGNFDGASVTMGSSPRFPMYDNDFGWGRPLAIRSGRANKFDGKISAFPGREGNGSVDLEVVLAPETMGGLELDGEFMQYVSTSV >Manes.05G052200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4343036:4347973:-1 gene:Manes.05G052200.v8.1 transcript:Manes.05G052200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYGGRRSNNTKYYEILGVSKNASQDEVKKAYRKSAIKNHPDKGGDPEKFKELGHAYEVLSDPEKRDIYDQYGEDALKEGMGGGGGVHNPFDIFDSLFGGGAFGGSSRGRRQKRGDNIVHPLMVSLEDLYNGTTKKLSLSRNILCPKCKGKGSKSGASGACYGCQGSGMKITTRHIGLGMVQQMQHICPECRGSGEIITEKDKCPRCRGSKVHQEKKVLEIHVEKGMQHGQKIVFEGQADAAPDTITGDIVFVLQLKDHPKFERRLDDLVVEHKLNLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGHYKAINDEGMPQYGRPFMKGKLYIHFNVDFPDSGVLSPEQCRSIEAILPLRSRKHLSDMELDECEETILHDVNMNEEDKRRRQQQRYEAYDEDEDEDEDESPMPGVQCAQQ >Manes.05G052200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4343036:4347973:-1 gene:Manes.05G052200.v8.1 transcript:Manes.05G052200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYGGRRSNNTKYYEILGVSKNASQDEVKKAYRKSAIKNHPDKGGDPEKFKELGHAYEVLSDPEKRDIYDQYGEDALKEGMGGGGGVHNPFDIFDSLFGGGAFGGAGSSRGRRQKRGDNIVHPLMVSLEDLYNGTTKKLSLSRNILCPKCKGKGSKSGASGACYGCQGSGMKITTRHIGLGMVQQMQHICPECRGSGEIITEKDKCPRCRGSKVHQEKKVLEIHVEKGMQHGQKIVFEGQADAAPDTITGDIVFVLQLKDHPKFERRLDDLVVEHKLNLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGHYKAINDEGMPQYGRPFMKGKLYIHFNVDFPDSGVLSPEQCRSIEAILPLRSRKHLSDMELDECEETILHDVNMNEEDKRRRQQQRYEAYDEDEDEDEDESPMPGVQCAQQ >Manes.05G052200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4342931:4347973:-1 gene:Manes.05G052200.v8.1 transcript:Manes.05G052200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYGGRRSNNTKYYEILGVSKNASQDEVKKAYRKSAIKNHPDKGGDPEKFKELGHAYEVLSDPEKRDIYDQYGEDALKEGMGGGGGVHNPFDIFDSLFGGGAFGGAGSSRGRRQKRGDNIVHPLMVSLEDLYNGTTKKLSLSRNILCPKCKGKGSKSGASGACYGCQGSGMKITTRHIGLGMVQQMQHICPECRGSGEIITEKDKCPRCRGSKVHQEKKVLEIHVEKGMQHGQKIVFEGQADAAPDTITGDIVFVLQLKDHPKFERRLDDLVVEHKLNLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGHYKAINDEGMPQYGRPFMKGKLYIHFNVDFPDSGVLSPEQCRSIEAILPLRSRKHLSDMELDECEETILHDVNMNEEDKRRRQQQRYEAYDEDEDEDEDESPMPGVQCAQQ >Manes.05G052200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4342937:4347973:-1 gene:Manes.05G052200.v8.1 transcript:Manes.05G052200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYGGRRSNNTKYYEILGVSKNASQDEVKKAYRKSAIKNHPDKGGDPEKFKELGHAYEVLSDPEKRDIYDQYGEDALKEGMGGGGGVHNPFDIFDSLFGGGAFGGSSRGRRQKRGDNIVHPLMVSLEDLYNGTTKKLSLSRNILCPKCKGKGSKSGASGACYGCQGSGMKITTRHIGLGMVQQMQHICPECRGSGEIITEKDKCPRCRGSKVHQEKKVLEIHVEKGMQHGQKIVFEGQADAAPDTITGDIVFVLQLKDHPKFERRLDDLVVEHKLNLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGHYKAINDEGMPQYGRPFMKGKLYIHFNVDFPDSGVLSPEQCRSIEAILPLRSRKHLSDMELDECEETILHDVNMNEEDKRRRQQQRYEAYDEDEDEDEDESPMPGVQCAQQ >Manes.03G140433.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26868668:26869329:-1 gene:Manes.03G140433.v8.1 transcript:Manes.03G140433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEKIIHYKYHIIFTFIISLIFVAIIFLAPSFVTILAYFWPLFLSTALFLVAVVFFGKTSSPGTETESSGDKAVEGLLDYVAGQPEQVVENLKPE >Manes.01G064600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26298266:26305510:1 gene:Manes.01G064600.v8.1 transcript:Manes.01G064600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEKLERFKKQQEKCQSTLTSIAAKAGSSKSASIKKSSPVAVTPLANPRTPGPPVKFSNDTERLQHINSIRKAPVGAQIKRVINLLLEKRQPLTPEQINEACFVDVNSNKAVFDSLRNNPKVNYDGKRFSYKSKHDLKDKSQLLVLIRKFPEGIAVIDLKDAYPTVMDDLQALKAAGQMWLLSNFDSQEDIAYPNDPRAVIKVDDDLKQLFRGIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISSKPKIKKKKDTISRRTKLTNAHLPELFANLGS >Manes.01G064600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26298266:26305510:1 gene:Manes.01G064600.v8.1 transcript:Manes.01G064600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQEKLERFKKQQEKCQSTLTSIAAKAGSSKSASIKKSSPVAVTPLANPRTPGPPVKFSNDTERLQHINSIRKAPVGAQIKRVINLLLEKRQPLTPEQINEACFVDVNSNKAVFDSLRNNPKVNYDGKRFSYKSKHDLKDKSQLLVLIRKFPEGIAVIDLKDAYPTVMDDLQALKAAGQMWLLSNFDSQEDIAYPNDPRAVIKVDDDLKQLFRGIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISSKPKIKKKKDTISRRTKLTNAHLPELFANLGS >Manes.16G037400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4771609:4773555:1 gene:Manes.16G037400.v8.1 transcript:Manes.16G037400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSAVSCSLPHWVSIRSVATARGPSASLALITGSYPRSNLSVSMMRNSTARSGLIDCSFLSSSLAFPSSFSGLSLGLDLNSNWVRKERGNGLVVRAGKAALCQTKRNRSRKSLARTHGFRRQMRTTSGRAVLKRRRAKGRWVLCTKSNPNSGKRHL >Manes.13G130950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33944053:33946216:1 gene:Manes.13G130950.v8.1 transcript:Manes.13G130950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSERHDGSLFQSEKIFFFKIILEDTVRDKKLAIPRSFVKKYGNALSNRAILRIPDGVSWKMELFKGSDVWLSEGWQEFADYYYVKLAHLLLFKYEGDSQFSVVIFSKTAVEIDYPLRSTHQDFKFQNLKREETEDGVSDEAMDVHSPSPTRSHQEREQPSERPYHNEKTLQRASAFKSKNPLMVITMRPSYVPPAGSKMNLPERFCRKYLLTSEDYVVHLVSGGKIWPAGYAHDEEGRARVRLQTGWKAFATDNHLEIGDVCVFELIKSTGGKITFEVHIFRVAEDGASDSSLGKLQRHEKPPPCSIFPPPPSQSARKIVSKNPFFSVVMQESYLHLYLHIPAGFARRHLKHWKKNTITLQVEDRSWIATLKNFDSCSRITGGWAHFARENFLKVGDVCKLELIDRKKMVLKVSISRSEN >Manes.01G191800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36704113:36709132:-1 gene:Manes.01G191800.v8.1 transcript:Manes.01G191800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTTAPTHFFVSLNSFVKLSPYTFPFSIFQGSSRKKKEQLTSLHFLAVKEAGCTLGFVDVKRRNYRDYCWSCAGFVRRCRQDCGSEGDFALEAEILEFMKNSHKPEAFPSKKELTDAGRVDLVDAIWKRGGWRSLGWDLEDRVNDGFLYNGDVSWDSIADKECKNMVIPDKVLNGNEELSPEVSSFNEGSYSPASSSGRSLETAAEDDSGIEGILSRLEKERNKNFGFGLREKAENTRVRSNDLGHDLLAKSSKNVTVADLERNNRPALSSPTNGTINGSECKLNHSTSLSNIDGFRNSLKPDTWRTWSIKRAGFSEMEFEADEIISYGTRTVAGMDDMGNEIVEKEEDDTASLNRRKDNSSHERINTNKIRSRLQQLESELSSVLNMLKSNTSGSVSEKADHESTVDNLLKLSDAWEFQENEVINAQAKLRSIRAKLAVLDGKMALAIIDAQKMVEEKQKRVDSARRALRLLRTACIVWPNSASEVLLAGSFDGWASKRKMQKSSTGIFSLCMTLYPGRYEIKFIVDGEWRIDPLRPIVQSDGYENNLLIIT >Manes.11G134700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30006079:30008483:1 gene:Manes.11G134700.v8.1 transcript:Manes.11G134700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFDFYRSVSGFVLKNFDELKHKLMCTTIELESLKVEASEKMRKHKEDVNHLIDLLKMAYKERDEARDQLQKLLNKLIPSNSAELNPILHQTQQPKTSIVIPMKTNSSITESNSLSDTYNHQSHGSSPVDSLFDAVTSPDFSSMNMADSSQINFGNNAYLEEYTASMATGLVSQSVPKIDPADAAIDSLVNGKILPQKGKLLQSVTEAGPLLQTLLVSGPLPQWRNPPPMELFNIPPFSIKGCEVASTIDQKPAPNANSADQKPQSLACPDMFRGSSQMCSVSMLNFTSGASGSSLGSFWPLNSSKRQRFL >Manes.02G220600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35428644:35440215:1 gene:Manes.02G220600.v8.1 transcript:Manes.02G220600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEDYLPVLLGLVKDGSHLQHKVQFVWINQEDDAEETAMSNAWYEVLSVLHLMAMLLLSQANLLLLPRISTDGYQPKVSAESRRASIDIFLKAAGYLDCAVRHVLPQFPAALRRDLPVDLAEGVLRALCLQALGQVVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESRRACEAFNAASPLSRNPPLWGTVKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALSLKPDDYQLPSVDSSWNEENVNGG >Manes.02G220600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35428394:35438140:1 gene:Manes.02G220600.v8.1 transcript:Manes.02G220600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIVSTPKDSGGNRRRPGSIGEVFVYIPGFRIPKAVDFSVSLGDHLSKNSVERLSALRTRIVVMAGQEAPTVTRTKRKSATQHGGSTLADLHQALEDYLPVLLGLVKDGSHLQHKVQFVWINQEDDAEETAMSNAWYEVLSVLHLMAMLLLSQANLLLLPRISTDGYQPKVSAESRRASIDIFLKAAGYLDCAVRHVLPQFPAALRRDLPVDLAEGVLRALCLQALGQVVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESRRACEAFNAASPLSR >Manes.02G220600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35428394:35440215:1 gene:Manes.02G220600.v8.1 transcript:Manes.02G220600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIVSTPKDSGGNRRRPGSIGEVFVYIPGFRIPKAVDFSVSLGDHLSKNSVERLSALRTRIVVMAGQEAPTVTRTKRKSATQHGGSTLADLHQALEDYLPVLLGLVKDGSHLQHKVQFVWINQEDDAEETAMSNAWYEVLSVLHLMAMLLLSQANLLLLPRISTDGYQPKVSAESRRASIDIFLKAAGYLDCAVRHVLPQFPAALRRDLPVDLAEGVLRALCLQALGQVVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESRRACEAFNAASPLSRNPPLWGTVKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALSLKPDDYQLPSVDSSWNEENVNGG >Manes.02G220600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35427018:35444729:1 gene:Manes.02G220600.v8.1 transcript:Manes.02G220600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIVSTPKDSGGNRRRPGSIGEVFVYIPGFRIPKAVDFSVSLGDHLSKNSVERLSALRTRIVVMAGQEAPTVTRTKRKSATQHGGSTLADLHQALEDYLPVLLGLVKDGSHLQHKVQFVWINQEDDAEETAMSNAWYEVLSVLHLMAMLLLSQANLLLLPRISTDGYQPKVSAESRRASIDIFLKAAGYLDCAVRHVLPQFPAALRRDLPVDLAEGVLRALCLQALGQVVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESRRACEAFNAASPLSRNPPLWGTVKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALSLKPDDYQLPSVDSSWNEENVNGG >Manes.04G062600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22135759:22152966:1 gene:Manes.04G062600.v8.1 transcript:Manes.04G062600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEKTGLGRALVKHHNQMIQQSKEKGRFYKALHKKVLESVTEVSDIDAVIEQAEDADRLFSLQHPTPNLLIDLDPSSSSSDMTPEQRREQQKQEEELHASSLRVPRRPPWNAGMSVEELDANERQAFLIWRRSLASLEENEKLVLTPFEKNLDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEVYAREVDKHKKTLLLVNKADLLPCSIRKKWAEYFCHHEILFLFWSAKAASAALEGKKLKEPWDGGATIENMDDPDTKIYSRDEVLSRLQLEAEEIVELSGKSGSSGATPSSDHSLEGNAAGISAPKNVVVGFVGYPNVGKSSTINALLGQKRTGVTSTPGKTKHFQTLRISEKLTLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAVQVVANKVPRHVIEDVYKITLPKPKPYEPQSRPPLASEFLRAYCASRGYVASSGLPDETRAARQILKDYLDGKLPHFEMPPGMSEEIASDENGGPSLSDTHETDSSDIENTPDIEGENVHVIENVLDDLSSFDMANGLASKKATAKKPTASHKHHKKPQRKKDRSWRIGNDDGDGMPVVRVFQKPVNAGPLRSG >Manes.10G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27109329:27116311:-1 gene:Manes.10G110400.v8.1 transcript:Manes.10G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTTSNKCKDHCRSSGSEALPEGIVRKTSDFEMRPLWNNYMEDNKAKPSTSLLALAVGIKQKELVDQIIKKFPLKEFVVMLFHYDGVVDKWRDLPWSDHVIHVSAVNQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFNPGRYLSIVKDEGLEISQPALDPTKSAVYHPITARQPKLKVHRRMYKFKGSGRCYHNSTSPPCIGWVEMMAPVFSRAAWRCVWHMIQNDLIHAWGLDIQLGYCAQGDRTKNVGVVDSEYIVHLGLLTLGVFNGSKSNSRSDSQAHLPHEKQFAEPSESHIVDKRLEVRRQSSIEMQIFRDRWRNAVKEDKCWVDPFQHLENQTNI >Manes.10G110400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27109329:27115216:-1 gene:Manes.10G110400.v8.1 transcript:Manes.10G110400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWNNYMEDNKAKPSTSLLALAVGIKQKELVDQIIKKFPLKEFVVMLFHYDGVVDKWRDLPWSDHVIHVSAVNQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFNPGRYLSIVKDEGLEISQPALDPTKSAVYHPITARQPKLKVHRRMYKFKGSGRCYHNSTSPPCIGWVEMMAPVFSRAAWRCVWHMIQNDLIHAWGLDIQLGYCAQGDRTKNVGVVDSEYIVHLGLLTLGVFNGSKSNSRSDSQAHLPHEKQFAEPSESHIVDKRLEVRRQSSIEMQIFRDRWRNAVKEDKCWVDPFQHLENQTNI >Manes.10G110400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27109329:27116311:-1 gene:Manes.10G110400.v8.1 transcript:Manes.10G110400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNCVSVQSDPKSRSCLWTLFFAASLICTAYFVGYSSFGSEDKERLTRWQVIETMQSTTSNKCKDHCRSSGSEALPEGIVRKTSDFEMRPLWNNYMEDNKAKPSTSLLALAVGIKQKELVDQIIKKFPLKEFVVMLFHYDGVVDKWRDLPWSDHVIHVSAVNQTKWWFAKRFLHPDIVAEYDYIFLWDEDLGVENFNPGRYLSIVKDEGLEISQPALDPTKSAVYHPITARQPKLKVHRRMYKFKGSGRCYHNSTSPPCIGWVEMMAPVFSRAAWRCVWHMIQNDLIHAWGLDIQLGYCAQGDRTKNVGVVDSEYIVHLGLLTLGVFNGSKSNSRSDSQAHLPHEKQFAEPSESHIVDKRLEVRRQSSIEMQIFRDRWRNAVKEDKCWVDPFQHLENQTNI >Manes.14G035900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3148799:3152301:-1 gene:Manes.14G035900.v8.1 transcript:Manes.14G035900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSEPVPERNQNKLYGIQFLECVNKNRLSYKTYQAIVLIVTFFAYASYHAARKTTSIVKSTLDPQTFGVGLNFVPWRITYLSKPEERRFSWAVGDGWAPFNGSDGTALLGELDLSFLSIYAIGMYFSGHIGDRMNLRIFLTIGMIGTGLFTSLFGVGYWANVHNFYYFLIMQMVAGLFQSTGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLSYGWGWSFVMPGFLIAFIGLVVFLFLPVSPESVGIDRDEDELHSPRKTGEEVTVPLLGSHSEVKETAVGFIQAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISHTAIDGKYLSSGAAGNLSTLFDVGGVIGGILAGHISDRLGGRAITAASFMYCAIPALFFYRTYGHISLAVNIALMFLTGMLVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLLTGYISAFSWSAVFIMLMGAALIAGLLLTRLVIAEVAAIIAESRSQTSSASVAPSIQLDA >Manes.05G071200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5861975:5864753:1 gene:Manes.05G071200.v8.1 transcript:Manes.05G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCNYCLLFFTYLALSVTPSFAQNGTASCPLDFGVLRKLIADSKSTSISGSQECQYARQGLRLVQSYYLQRSNSFLPPLDSAEACWRAYQDIVNDLRPNFDIRSSCGFNTSWISQGCMDITTRSQYEAQVPNSSFRDVVSNCNQSLENNSPCAACTASLSRQQALYLTGKSVGNLSDCQAYPSIYAAAFANRYGPTDRGTAKCLFLLDFIPKKSGSKKRKLLILLISILGVGLLIIIGGCWFLRLRYEGLRRKKKRDRIGRGVEMNLSAGLESISGSTTLVEYKIDEIKKATRNFSRDNIIGRGGYGNVYKGELPDGSQVAMKRFKNMSAAGDASFIHELEVIASVRHVNLVALRGYCTATTPFEGHQRIIVCDLMKNGSLHDHLFGSQKEKLSWPVRQKIALGTARGLAYLHYGAQPGIIHRDIKASNILLDERFEAKVADFGLAKFTPEGATHLSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGKKALIVSEESQPALVTDWAWSLVRKGKALEVIEDGIPELGPQDILEKYVLIAVLCSHPQLYARPTMDQVVKMLETEESIPTIPERPIPLVAQLDDIERSASSSWSGQLSSPAGYRTYMLENDHPSSHQARSSSGHMEARFSSDSRPVELL >Manes.12G040000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3512289:3513124:-1 gene:Manes.12G040000.v8.1 transcript:Manes.12G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSMASAASGFLLTPNVAANTNSGSRSSNLVFFPSKNNNPRLVVRAAEEDATPAPPATAAATPTTTTKPAEGEAPKPKPPPIGPKRGTKVKILRRESYWYNGIGSVVAVDQDPKTRYPVVVRFDKVNYANVSTNNYALDEIEEVK >Manes.10G094900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24211210:24213665:1 gene:Manes.10G094900.v8.1 transcript:Manes.10G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLWLLNSHHQSPTFICFLLSCFLTIFSFPTTFNVSAAALSFNFSSFRPNHSEIYTEKDAFISRTGIELTKNQRDMDPEGSVGRATYTKPFHLWDKASGTLANFTTHFSFIIASNENNYGYGLAFFLAPNGSRIPADTEADGGLGLAVNEQSHALNYSENNFIAVEFDTYQDYWDPQYTGDHIGINVRSMKSVNAVQWLSGVMEGHVTDVWISYDSTNKILEVMYTYIYYKGVSVIRENISAVVDMAKHLPEWVTLGFSASTGRSYQINRILSWEFNSSNLDKNGEEISVPPWNSEPPSVNAPPPNLELPRESKSSKAGMVGVVVGVCAVIVFAAGFVANHLRKKKRKREKEVKMVDLVFDVSLGDDFRSEKGPRNFSYKELSDATNNFSEDEMLGEGGFGAVHRGFLKELNSYVAVKRVSKRSKQGVKEYAAEVKIISRLRHRNLVKLIGWCHEKELLLAYEFMPNGSLDCHLFKGKSLLTWEIRYRIAQGLASALLYLHEEGDQCVLHRDIKSSNIMLDSDFNAKLGDFGLARLVDHAKGFQTTVLAGTMGYMAPECFTSGKASKESDIYSFGVVALEIACGRRAVEPKLAENQIRIVEWVWELYGIGKILEAADPKLGRDFNEQEIERLMIVGLWCVHPDHNCRPCIRQLSNVLLSSEAPLPVLPPEMPVAAYHAPPMKFSLSSYGSTFSSSDGEQPCRTDSSKLTS >Manes.03G114832.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24094783:24105072:1 gene:Manes.03G114832.v8.1 transcript:Manes.03G114832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGKAIEVAASVVDYTLNFKEKKEVLERKWKQLESIEADIIIELESAENRSGKKRKNEVTNWLSNVALMKKEVQDIEEIAVQGGWSILLGRRVEKKTEEVKELIDQRNRFQGALVFDVQEDCGDRLLAPKLAGQAFQKNLSEIQECLMIDEVSILGIYGMGGVGKTALVMNIHNHLLLRRGNANVYWVSVSQDLNIYKLQSRIAKTIGFDLSNEDDEKKRAAKLSKALSKRAEFVLILDDLWIHFPLEKVGIPVGVNRCKLILTTRSLDVCWRMGCQKNFKVEPLSEKESWDLLKEKIGEAVSVEVKPIAKSIVRECAGLPLGIITVAGSMKGVNDVYEWRNALRELEESIVWQVDMESEVFRILKFSYNRLIDSALQQGFLYCALYPEDHKVRRAELIEYLIVEGVIGGGSREAEFDMGHTILNRLEKVCLLEGVVERGDRCVKMHDLVRDMAIHIMKVDPRVMVKAGKQLFEVPDWRNWAEDLVRISLMYNHIREIPSGYSPRCPQLSTLLLRGNNLRSIADSFFNQLHGLKVLDLSYTHIEQLPVSISLLMNLSALLLRGCNRLRHMPSLAQLTADMHYTKVKHVPQGMELLLNLEYLDLSDTEIEELPTGILLNLSSLQVLILDQVKAEEIASLRKLERLQCRVYNVGELNAYTTSTRTPNLIQYYLLVAQHKPPDNLLSRGSKVVYFDNCSISEGEDAVLLPKDVQCLDFYRCNIKRSSLCLKNATELRSFTIASCHGIECLFSSASSSSIFQSLEDLYVSDLKDLHFLFGREADMASPPAKFIPLSPPLGSFSLLKKFVVWGCPSIKKLFPPGLSSNLQNLEDISVHYCKNMGELIAMEEQLGSHKRKGILQCPFPKLQSFSLSNLPELKSIYSGKMICNSLRTIYVNSCLKLKRMPFSLMALDNDQPSPLPSLQNIKIFPQEWWDCVELDHHDAKSVLLPLCQFKQF >Manes.14G087900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7273659:7273970:1 gene:Manes.14G087900.v8.1 transcript:Manes.14G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.15G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2962647:2964592:1 gene:Manes.15G037800.v8.1 transcript:Manes.15G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITKASETQMETQSNYDRKSELKAFDDTKAGVKGLVDAGLTKIPRIFNCQQFIFQHSSKTTSDQHNVPVIDLKGNLEVSSFEADIIDRVRNACEKWGFFQLINHGIPINIMDETLNGVRRFHEQDTEAKKQLYTRDLSKKVYYVSNFDLYQAGAANWRDSFVTSMAPDPPSSEELPDVCRGEVIEYTNQVMKLANTLFELLSQALGLSPCHLRDMGCAKGLLFVGHYYPPCPEPEFTMGTTQHTDGTFITILLQDEIGGLQVLHEDQWVDVSPLPGSLVINVGDLLQLISNDKFISANHRVLAKKVGPRISVACFYRPHIQTGGSSEIYGPIKELLSEENPPIYRETDVKEYRRIYISKGLDGTSPLVHFKLV >Manes.15G037800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2962468:2964249:1 gene:Manes.15G037800.v8.1 transcript:Manes.15G037800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITKASETQMETQSNYDRKSELKAFDDTKAGVKGLVDAGLTKIPRIFNCQQFIFQHSSKTTSDQHNVPVIDLKGNLEVSSFEADIIDRVRNACEKWGFFQLINHGIPINIMDETLNGVRRFHEQDTEAKKQLYTRDLSKKVYYVSNFDLYQAGAANWRDSFVTSMAPDPPSSEELPDVCRGEVIEYTNQVMKLANTLFELLSQALGLSPCHLRDMGCAKGLLFVGHYYPPCPEPEFTMGTTQHTDGTFITILLQDEIGGLQVLHEDQWVDVSPLPGSLVINVGDLLQLISNDKFISANHRVLAKKVGPRISVACFYRPHIQTGGSSEIYGPIKELLSEENPPIYRETDVKEYRRIYISKGLDGTSPLVHFKLV >Manes.14G111800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12623542:12626588:-1 gene:Manes.14G111800.v8.1 transcript:Manes.14G111800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKPPLPRILLDNVSCMRNAQQILRHVNVSVHDGGALVLTGSNGSGKTTFLRMLAGFSKPSGGQILWNGHDVTESGVFHQYKLQLNWLSLKDAIKEKFTVLDNVQWFEVLEGKQGNSLPALELMGLGRLANDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMHLRLPPRFPRRMTLVDMLDRADIS >Manes.09G043900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7825629:7826688:1 gene:Manes.09G043900.v8.1 transcript:Manes.09G043900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLATNFAKRLQGKVALITGGVSGIGESTARLFARHGAKVVIADVQSELGHSVAEEIRSESGQPVSYVQCDVSKDSDVENAVNTAVSMHGKLDIMFNNAGITGKYDPSIFSVEREDFSKVIDINVYGGLIGAKHAARVMIPEKKGCILFTSSFASVCYGGIPHAYTASKHAVVGITKNLAVELGKHGIRVNCISPGGVPTPLSAKVMGGVDLKTVQEAYMAISNLKGVPVDAIDIAEAALYLGSEESKFVSGLNMIVDGGFGLTNSGFSAN >Manes.11G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4932679:4934065:1 gene:Manes.11G046000.v8.1 transcript:Manes.11G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADQLLLLDLQPSPFAARVRIALAEKGLKYESKEEDLSNKSPLLLKMNPVHKQIPVLIHNGRPICESMSIVEYIDEIWNHKSPLLPSDPYQRAHARFWADYIDKKIYPIGRMLWASKGETKEASTKELIECFKTLEGELGDKAYFGGESFVYVDVALIPFYSVFYAFEKLGNFSMAVESPKLLEWAQRCLQKESVAKSLCEPSKVYEVVLEIRKELGAE >Manes.12G086900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13375340:13377536:-1 gene:Manes.12G086900.v8.1 transcript:Manes.12G086900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLWRPPSHLSAILHLSQPPLTCNCDGSVPKLGRTTCFTSIFRWVFMSSSLPPPEWIDPFVDLSDLASRSCQNLNPSPWVHQIISLLDGSSKMESNLDSFCHKFLIKLSPSFVSFVLRSTEVQKNPHVAWRFFIWAGKQKKYTHNIESYVSLIDVFSAHGDLDRVKFVFTKFTEMRFLMTVHSSNSLIKSFGSLGMVEELLWVWRKMKENGIEPSLFTYNFLLNGLVNSKFIESAERVFDVMENGKIGPDVVTYNTMIKGYCEVGKTQKAFEKLRDMELRNMAPDKITYMTLIQACYAEGNFDSCLGLYHEMDEKCLEIPSHVFSLVIGGLCKEEKLVEAYSIFENMFEKGCKANVAIYTALIDANAKCGNMREAMLLFDRIKKEGLAPDEVTYGVIVNGLCKSGRMDEAMDFMEFCRGNAVAVNAMFYSSLIDGFGKLGRVDEAEKLFEEMVQKGCPPDSYCYNALNDALAKCSKIDEALTVFKRMEMEGCDQTVYTFTIIISGLFREHRTEEALELWDVMIDKGITPTAAAFRALSIGLCLSGKVARACKILDELAPMGVILETAFEDMLNILCKAGRVKEACKLADGIVDRGREIPGRVRTILINALRKAGNADLALKLMHSKIGIGYDRMGSIKRRVKFRILVES >Manes.06G036400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:10751115:10752455:1 gene:Manes.06G036400.v8.1 transcript:Manes.06G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPKDRIRFNVGGRIFETTSTTLANAGRNSFFGAFFDDNWALKQSINDPNPGSEFYIDRNPACFSVLLDLLRTGDLHIPSHVPERLLYREASFYGLLDHVRSAKWGPFDGNRLRHSRSVPGRAPGDGTAIRAGPDGGCCVAHGSMVHVYDWMMEEHPPINLDYQRVNDVTWVDSENVILSACERLGRGDGGMGLFSKSTGELRYKFQVCHENQVKSYTAGALSTSSDYRIFSSCRGRSNEYGIGVWDQITGKQIDFFYENSGWSLGDADKLQWLNGSNCLLVATLFPRKDNCYISLLDFRQKRMVWSWSDIGAPITVDEKRVRDAIAMEDCNAICVVNEYEDLGFMDLRMTGGSVRWSSRSRLMKGKMPDEPCYPKLALHEGQLFSSMDDCISVFCGPDWVLTSRLRQSHGGSICDFSIGGDRLFALHSEENVFDVWETPPPPIL >Manes.15G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8978981:8981158:-1 gene:Manes.15G112700.v8.1 transcript:Manes.15G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGVILTRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDQDDNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIDAQDSLVETAKEQASSISNIIAQATK >Manes.07G089400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28119419:28124378:1 gene:Manes.07G089400.v8.1 transcript:Manes.07G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDGSAPLPLKRRRLTTIDIMEAEAAKAKLAAVKEKVGRDVHVFETSALASSTNQVSDGEESDDFYEFTAEDYHRILAARKEDKYLKTKKIRDAEEAAHRSRITKAVIRVRFPDNHTLEVAFLPSEKIQSLFDFLLKVIARPELPFYLYTTPPKKQMKNLSQDFYSAGFIPGAIVYFSYDLPQGDDSAALSSGPFLGEEVMSLKGLELISEPTEPVQPAEPEPVTVVPSPVPQESKSAGKKPIKPKWLRM >Manes.07G089400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28119135:28124378:1 gene:Manes.07G089400.v8.1 transcript:Manes.07G089400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAKAKLAAVKEKVGRDVHVFETSALASSTNQVSDGEESDDFYEFTAEDYHRILAARKEDKYLKTKKIRDAEEAAHRSRITKAVIRVRFPDNHTLEVAFLPSEKIQSLFDFLLKVIARPELPFYLYTTPPKKQMKNLSQDFYSAGFIPGAIVYFSYDLPQGDDSAALSSGPFLGEEVMSLKGLELISEPTEPVQPAEPEPVTVVPSPVPQESKSAGKKPIKPKWLRM >Manes.06G172100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29609681:29610088:1 gene:Manes.06G172100.v8.1 transcript:Manes.06G172100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVILLQGRFAGRKAVIVRSFDEGTRDRPYGHCLVAGISKYPSKVIKKDSAKKTAKKSRVKAFMRVVNYSHLMPTRYTLDVDLKDVVNPEALVTKDKKVTAAKEIKKRFEERFKTGKNRWFFSKLRF >Manes.14G173300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28682740:28702504:1 gene:Manes.14G173300.v8.1 transcript:Manes.14G173300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIWQWCTCRETKKTKGDMKNIKDVVCSDDDDNNKKPRSSWKAMANHLKRRIAVVLLVAFAFSCLVLSKQSLYPDPPPSPSSFSASASASVAMANHTISHQGNFDNYDLENVLRAASMENKTVILTTLNEAWSEPGSIFDLFLESFKIGDNTQKLLNHLVIITLDHKAHARCLSIHPHCFALKSHGLNLSSEAFFMTPNYLEMLWARIHFLSTILHMGYNFIFTDTDIMWIRDPFLHFYEDADFQIACDIYNGHPFDRKNKPNGGFNYVKSNKRTMEFYKFWYLSRKAYPGLNDQDVLNKIKHDPFIAKLGLQMRFLDTAYFGGFCARTKNFNSVCTVHANCCIGLEVKAHDLKIVLEDWRRFLLIPPNLKPSSSFSWRAPQKCRHHL >Manes.14G173300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28697547:28702504:1 gene:Manes.14G173300.v8.1 transcript:Manes.14G173300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIKDVVCSDDDDNNKKPRSSWKAMANHLKRRIAVVLLVAFAFSCLVLSKQSLYPDPPPSPSSFSASASASVAMANHTISHQGNFDNYDLENVLRAASMENKTVILTTLNEAWSEPGSIFDLFLESFKIGDNTQKLLNHLVIITLDHKAHARCLSIHPHCFALKSHGLNLSSEAFFMTPNYLEMLWARIHFLSTILHMGYNFIFTDTDIMWIRDPFLHFYEDADFQIACDIYNGHPFDRKNKPNGGFNYVKSNKRTMEFYKFWYLSRKAYPGLNDQDVLNKIKHDPFIAKLGLQMRFLDTAYFGGFCARTKNFNSVCTVHANCCIGLEVKAHDLKIVLEDWRRFLLIPPNLKPSSSFSWRAPQKCRHHL >Manes.14G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:947429:950314:1 gene:Manes.14G016400.v8.1 transcript:Manes.14G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTALFIAGAGAGSHFSGEFQLLGRFEPGFSQRHGRQTGWLWLNEQQLPHCNRLCQIPRAKKLKLKLSFALRKDSDDNFLSNVEDTDEMFDDLFNKHGKVVFRRNDQKPPSAEVDDDAESLSFAVAMAKVASEVKAADIRVLFVKPLVYWTRFFIIATAFSRPQIDAIASKIRDLAEKKYGKVPSGDTKPNSWTLLDFGDVVIHVFLPQQRAFYNLEEFYGNATPIELPFENQPPFRS >Manes.14G016400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:947429:950314:1 gene:Manes.14G016400.v8.1 transcript:Manes.14G016400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTALFIAGAGAGSHFSGEFQLLGRFEPGFSQRHGRQTGWLWLNEQQLPHCNRLCQIPRAKKLKLKLSFALRKDSDDNFLSNVEDTDEMFDDLFNKHGKVVFRRNDQKPPSAEVDDDAESLSFAVAMAKVASEVKAADIRVLFVKPLVYWTRFFIIATAFSRPQIDAIASKIRDLAEKKYGKVPSGDTKPNSWTLLDFG >Manes.13G098300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29042675:29046389:1 gene:Manes.13G098300.v8.1 transcript:Manes.13G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEEHEEEEGMGFPASYDALGNSSRVKMTSSGGEQAGAGLVQSTKPRYRECLKNHAVGIGGHAVDGCGEFMAAGAEGTLDALKCAACNCHRNFHRKEINDSTTPGGGGGGGELFFHGHPHPHHLHQPMPQFAPYYRTPAGYLHVAPAQQRPLALPSTSGGQSREDQEDMSNPSGGGYGGGSGTGGSSRKRFRTKFTQEQKDRMLALAERLGWRIQKDDEAAVQQFCNETGVKRHVLKVWMHNNKHTLGKKP >Manes.01G240000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40127431:40138938:-1 gene:Manes.01G240000.v8.1 transcript:Manes.01G240000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLTTPKLHTELDLDSFLNLHSDSDDDSTAIPHRTLDEILNDSDSSTSSSPPSPSSVKIPQSRLSDLPLSVSDVVSDDSTGSLKCTQSQLLGDNSDQTRPNSFARAKSGGLSGDSVWRAPSSSRPLPSLFGGVRSNAKPGAALAAAAAASRSVPTPHAAAIKSRRAVSLQKVMDTGESNSVVGDDHEIVSNSSTGDSIGVATERSRSDGKLGEEADNPGDFKSYLEDEISTRERNLETTTEVFPSKDLAGEVEARNKMEQLRATRDEQDQLNATTSFSNSTVYLHLEDGSKNLGSDDGKDEMIATVSSDGDSKFMDVNDSCKMDLISSSRDDDYGKLEWNSTEMPLEEGDYLGKDLNSYEDDVAGSAIGGSGDACSISDISELVEERIEQLESERISKREQKKLRSTMKPLDLAEELEKKQASTGLHWEEGAAAQPMKLEGVRRGSTTLGYFDIDATNAITRTIASQAFRRDHGSPQVLALHLNYIAVGMAKGVIVVAPSRYSSYNTDNMDSKIVILGIQGDRSHAPVTSMCFNQQGDLLLAGYGDGHITVWDVQRASAAKVITGEHMAPVVHAFFLGQDSQVTRQFKAVTGDSKGLVLLHAFSMVPLLNRFTIKTQCLLDGQRTGTVLSASPLLFDESVGGALPSSQGNASLSSSSIGNMMGGVVGGDTGWKLFNEGSSMAEEGVVIFVTHQTALVVRLTPTLEVYAQLSKPDGVREGSMPYTAWKCTTQSRSSSSDNSTADVAERVSLLAVAWDRKVQIAKLVKSELKVFGTWSLDSAAIGVAWLDAHMLVVLTLTGQLYLFAKDGTVIHQTSFAVDGSAGDDLVAYHTYFMNIYGNPEKAYHNCVAVRGASVYILGPMHLIVSRLLPWKERIQVLRRAGDWMGALNMAMTLYDGQAHGVIDLPRSVDAVQEIIMPYLVELLLSYVDEVFSYISVAFCNQIGKAEQDDQKSGCSSVHSDIKEQFTRVGGVAVEFCVHIQRTDILFDEIFSKFVAVKHRDTFLELLEPYILRDMLGSLPPEIMQALVEHYSSKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYGALVYLFNKGLDDFRAPLEELLIVSRSSDREKAAALGYRMLVYLKYCFSGLAFPPGHGAIPPTRLPSLRTELVQFLLEHSSAPNSQVALGLSSRGTYLNLYHLLELDTEATLDVLRLAFMDDENPKPQFTSCDSANISINTEQQNITAIGNQNILVQNTLNALVQIIEKDASQTAEQASTDDTGPVEAWPSMRDIGNLFEFIAYHVACGKACVSSSVLSQILEYLTSESTSSASIPAQVIKTSKRREKQVLALLEVVPVTDWNSSYVLQLCEKACFHQVCGFIHTMRNQYLAALDSYMKDVDEPIHTFSYINNILSQLSGNEWNAFQSAVMSRIPELVVLSREGTFLLVIDHFNSNNSHIFSLLQSHPKSLFLYLKTVIEVHLYGTLNFSDLKKDDVLDAFSGRRVKDQLKGLETYLERISEFPKFIRNNPVHVTDEMIELYMELLCQYERDSVLKFLETFDSYRVEHCLRLCQEYGITDAAAFLLERVGDVGSALFLTLSGLNDKFAELDTAVESLISATLRGSAGIDCYSSVLKMKEVDEVHSILNSCIGLCQRNTPRLQPEESEMLWFRLLDSFCEPLMDSYANKNALKESHGGMLAETLGEQEDDEPIIKWKISRSHKGAHILRKLLSQFIKEIVEGMIGYVRLPTIMSKLLSDNGSQEFGDFKITILGMLGTYGFERRILDTAKSLIEDDTFYTMSLLKKGASHGYAPRSLICCICNCLLTKGSPSYQIQVFSCGHATHLQCELLESDSLNKGSLSGCPVCMPKKNTQRPRDKSILPEKGSVNKGSSRSRRSQGTSFLHLHEDSFESSQQISRFEILSNLQKDQRLFQIENIPQLRLAPPAVYHEKVKEGPELLTGESSSGTSKVEKPSKRRQLRELKVTGSSLRFPLKSSIFGKEKLSRR >Manes.01G240000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40126728:40138937:-1 gene:Manes.01G240000.v8.1 transcript:Manes.01G240000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLTTPKLHTELDLDSFLNLHSDSDDDSTAIPHRTLDEILNDSDSSTSSSPPSPSSVKIPQSRLSDLPLSVSDVVSDDSTGSLKCTQSQLLGDNSDQTRPNSFARAKSGGLSGDSVWRAPSSSRPLPSLFGGVRSNAKPGAALAAAAAASRSVPTPHAAAIKSRRAVSLQKVMDTGESNSVVGDDHEIVSNSSTGDSIGVATERSRSDGKLGEEADNPGDFKSYLEDEISTRERNLETTTEVFPSKDLAGEVEARNKMEQLRATRDEQDQLNATTSFSNSTVYLHLEDGSKNLGSDDGKDEMIATVSSDGDSKFMDVNDSCKMDLISSSRDDDYGKLEWNSTEMPLEEGDYLGKDLNSYEDDVAGSAIGGSGDACSISDISELVEERIEQLESERISKREQKKLRSTMKPLDLAEELEKKQASTGLHWEEGAAAQPMKLEGVRRGSTTLGYFDIDATNAITRTIASQAFRRDHGSPQVLALHLNYIAVGMAKGVIVVAPSRYSSYNTDNMDSKIVILGIQGDRSHAPVTSMCFNQQGDLLLAGYGDGHITVWDVQRASAAKVITGEHMAPVVHAFFLGQDSQVTRQFKAVTGDSKGLVLLHAFSMVPLLNRFTIKTQCLLDGQRTGTVLSASPLLFDESVGGALPSSQGNASLSSSSIGNMMGGVVGGDTGWKLFNEGSSMAEEGVVIFVTHQTALVVRLTPTLEVYAQLSKPDGVREGSMPYTAWKCTTQSRSSSSDNSTADVAERVSLLAVAWDRKVQIAKLVKSELKVFGTWSLDSAAIGVAWLDAHMLVVLTLTGQLYLFAKDGTVIHQTSFAVDGSAGDDLVAYHTYFMNIYGNPEKAYHNCVAVRGASVYILGPMHLIVSRLLPWKERIQVLRRAGDWMGALNMAMTLYDGQAHGVIDLPRSVDAVQEIIMPYLVELLLSYVDEVFSYISVAFCNQIGKAEQDDQKSGCSSVHSDIKEQFTRVGGVAVEFCVHIQRTDILFDEIFSKFVAVKHRDTFLELLEPYILRDMLGSLPPEIMQALVEHYSSKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYGALVYLFNKGLDDFRAPLEELLIVSRSSDREKAAALGYRMLVYLKYCFSGLAFPPGHGAIPPTRLPSLRTELVQFLLEHSSAPNSQVALGLSSRGTYLNLYHLLELDTEATLDVLRLAFMDDENPKPQFTSCDSANISINTEQQNITAIGNQNILVQNTLNALVQIIEKDASQTAEQASTDDTGPVEAWPSMRDIGNLFEFIAYHVACGKACVSSSVLSQILEYLTSESTSSASIPAQVIKTSKRREKQVLALLEVVPVTDWNSSYVLQLCEKACFHQVCGFIHTMRNQYLAALDSYMKDVDEPIHTFSYINNILSQLSGNEWNAFQSAVMSRIPELVVLSREGTFLLVIDHFNSNNSHIFSLLQSHPKSLFLYLKTVIEVHLYGTLNFSDLKKDDVLDAFSGRRVKDQLKGLETYLERISEFPKFIRNNPVHVTDEMIELYMELLCQYERDSVLKFLETFDSYRVEHCLRLCQEYGITDAAAFLLERVGDVGSALFLTLSGLNDKFAELDTAVESLISATLRGSAGIDCYSSVLKMKEVDEVHSILNSCIGLCQRNTPRLQPEESEMLWFRLLDSFCEPLMDSYANKNALKESHGGMLAETLGEQEDDEPIIKWKISRSHKGAHILRKLLSQFIKEIVEGMIGYVRLPTIMSKLLSDNGSQEFGDFKITILGMLGTYGFERRILDTAKSLIEDDTFYTMSLLKKGASHGYAPRSLICCICNCLLTKGSPSYQIQVFSCGHATHLQCELLESDSLNKGSLSGCPVCMPKKNTQRPRDKSILPEKGSVNKGSSRSRRSQGTSFLHLHEDSFESSQQISRFEILSNLQKDQRLFQIENIPQLRLAPPAVYHEKVKEGPELLTGESSSGTSKVEKPSKRRQLRELKVTGSSLRFPLKSSIFGKEKLSRR >Manes.02G012600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1242135:1248574:-1 gene:Manes.02G012600.v8.1 transcript:Manes.02G012600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGRWRESIKSFFGRHIDPEKDEQLKGTKTEIENKVKMIFKLLKEEDIEEKDGLSVENSKKEPLVELIEDFHKHYQLLYEQYDHLTGELQKKFRGKQGTESSSSSSSDSESEYSSKDKGSKNGKLENEYQKIADVIKQELEMTNLEVAELKSKLTATNEEKDALNLEHQAALSKIQEAEEIHKKLKFEVERLDVEKENLLVENGELKQNLDKAGNIEAELNKRLEEMKKEKDNLIVEKETAIKRFEEGEQTTEELKVVMSQLQEEKAVLGQELESYRAEVASMKQQLESAEQQVSDFSQKLTQYEEENRSITSSILVQNSRLEDMTREKDNLLREKETAVRRIEEIEKTTEDLRTLADRLQDEKTMLGQEIETLREELTSRKQQLESTEQMVSELNHTLEVAEKEKEVLGQELEGLRAEIASMKQQLESAELQVSDISQKLTHSEEENKSLTSSVLVQNSRLEDMAREKNNLLMEKETAVRRIEEIERTIEDLRTLADQLQDEKTMLRQEIETLREELTSRQQQLGSTEKMVSELNHTLEVADKEKVVLGQELESFRAEVTSLKQQLECAELQVSDLSQKLTHYEEENKSLTSSVSVQNSRLEDMTREKANLLMEKETAVRRIEEIEKTTEDLRSLADRLQDEKTMLGQEIGALGEELTSRKQQLEFTEQMVSELNHSLEVAEKEKEILRQELENFSAEVASIKQQLESAVLQVSDLSQKLTHSEEENKSLASSILVQNSRLEDMTTERDNLLMEKETAVRRIEEIEKTTEDLRTLADRLQDEKTMLGQEIETLREELTSRRHQLESTEHIVSKLNHTLEVADKEKAVLGQELESFREEVNSMKQQLEYAELQVSDLSQKLTLSEEENTSLASSTLVQNSRLEDMTREKDNLLMEKETAVRRIEEIEKTAEDLRTLADRLQDEKAMLGQEVETLGEELTSRKQQLQSVEQMAADLNHSLEVAEKEKVILGQQLENFRAEVASIKQQLESAEQQVSNLSQKLTHSEEENKSLTSSILVQSSRLEDMTREKDNLFMEKETAVRRIEEMEDTAEDLRTLADRLQDEKAMLGQEVETLREELTSRKQQLESVEQMASDLNHSLEVADKENVSLTLKVTEISNEIQLAQNTITELMSESRQLKEKLIEKEKELSSLAEMLKAHSNESSTQIKELEALVTGLKLELESLRAQNRDLEVQVDSKTSEVKVVEADNLQLKAQILELEMISKERGDELFALTKKLDDNEKESLSRVEILTAQVNTVLADLESLRTQKAELEEHMISKGDEASIQVKGLMDQVNGLQQQLESLHNEKAELEVQLEKKTHEISDFLVQKENLKEEIAHRTEDYQRMFGEKEILTLQMKNLELEVETLRNQKTDLEDQVRTQIKESGRLGEEIVGLQNIIFELEKTLTERELEFSALQERQEKGENEASVQIMALTTQTDNLRMELNSLLAEKNQLQLQLDKEKQKFSESVTEMENQKSEFMSKIADQQKMLAEQEGAYKKLTEEYKQVESWFQESKENLKATERKVEEMAKEFQKNTESKDQIVAELEEIIEDLKRDLEVKGDDLNTLVENVRNIEVKLRLSNQKLRVTEQLLTEKEESFRKAETSYQKELGVLGERIATLSGIIAATNEACQRMVTDMSEKVNSTLTGAEAMTRKFEEDCDSIVQCILGMSNEIEIAKNRVIVMKNEKERLRKEVGDLVLQLQVTKERESALREKVEQLEFNVRKDEGEKENLTKAVNQLEKKVAALEKMMKEKDEGILDLGETKREAIRQLCLWIDYHRSRCDHLREILSKMPVRGQRAA >Manes.11G130800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29543081:29546292:1 gene:Manes.11G130800.v8.1 transcript:Manes.11G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPMKKFSIFNSHFTPITFTYLLLLSFSSSTTPILAINITTLLSSYPDYSSFTALLSSSSFLADDLTHRSSLTLLAVPNSYLSATVDFTRHLSPFSLADLLRYHVLLQYFSWSDLHQIPTSGLLVTTLFQTTGRASSNSGTVNITRNPITNTVTINSPSPYSGSNATVLSLVKTLPYNISILSVNSLLVPDGFNLMASETRPPLGLNITKALIDGHNFFVAASMLLASGVVDEFEADERGAGITLFVPTDSAFADLPATVSLQSLPAERKAVVLKFHVLHSYYPLGSLESIVNPVQPTLATEATGAGRYTLNISRVNGSVAIDTGIVQASVTQTVFDQNPVAIFGVSKVLLPKEIFGKNPMMTSKPGNTVMGTAQPPDISLSPESSPGSNGQPSHLSSPPGFREEVNSGGRAEAAIDGLQSLIFAVFCTGLYLAV >Manes.01G102700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30240122:30243196:1 gene:Manes.01G102700.v8.1 transcript:Manes.01G102700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYAGISIFDWTPNHFSLIFWHFTLAYSLSLSFFSLENPSFSTSHIDPLSSIFSLMGLSANLLLITVSVLTGSLASAKASACTHGQCELLEKCSSDQDCESGQYCFYCVEGFSSYKCVRSTATDQFKLLNNSLPFNKYAFLTTHNAYAIDGYPSHTGVPRLTLTNQEDSVTQQLIGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAPKGLTNVFTDAGLMRYWFPVSNMPKNGQDWPLVKDMVKNNQRLLVFTSIESKEISEGIAYQWNYMVENQYGDGGMFAGRCPNRKESPSLSNKSKSLVLVNYFRTIPMKELTCIDNSEELMDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDLHECVPGTSSSAACSAQDELQ >Manes.01G102700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30240122:30243700:1 gene:Manes.01G102700.v8.1 transcript:Manes.01G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYAGISIFDWTPNHFSLIFWHFTLAYSLSLSFFSLENPSFSTSHIDPLSSIFSLMGLSANLLLITVSVLTGSLASAKASACTHGQCELLEKCSSDQDCESGQYCFYCVEGFSSYKCVRSTATDQFKLLNNSLPFNKYAFLTTHNAYAIDGYPSHTGVPRLTLTNQEDSVTQQLINGVRALMLDTYDFRGDVWLCHSFKGHCHDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAPKGLTNVFTDAGLMRYWFPVSNMPKNGQDWPLVKDMVKNNQRLLVFTSIESKEISEGIAYQWNYMVENQYGDGGMFAGRCPNRKESPSLSNKSKSLVLVNYFRTIPMKELTCIDNSEELMDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDLHECVPGTSSSAACSAQDELQ >Manes.01G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29720337:29727418:1 gene:Manes.01G095900.v8.1 transcript:Manes.01G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERWIIVNPMVVVFVWLLAVFSSAICHQVKAAAAEEEEADRISSLPGQPKVSFQQFSGYVTVEEVAGRALFYWLTEAALDPLSKPLVIWLNGGPGCSSIAYGASEEIGPFRINKTASGLYLNKFSWNTVANLLFLETPAGVGFSYSNRSSDLQDTGDIRTAKDSLEFLVRWMNRFPRYKLRQVYITGESYAGHYVPQLAREIMMYNKKSKHPINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYKQLINTCDFRRQKESDECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGSTSTLQSIRLPHRPHKIFRQLSGYDPCTEKYAEIYYNRPDVQAALHANITKIPYKWTACSEFLNRNWNDTEASILPIYREMIAEGLRVWVFSGDVDSVVPVTATRYSLAQLKLATKIPWYPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLFKSFLKGDPLPKS >Manes.12G062303.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6145558:6147346:1 gene:Manes.12G062303.v8.1 transcript:Manes.12G062303.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASKLTLLVGILLALVLLTHAQSCSNFTFPNNQVFDSCIDLPSLQAQLHWNYFASTRSIHIAYKTNQAPTGWIAWAINPTGTGMVGSQALVAFQNSDGSMTAYPTTVTSMNPSMQPDTLSFKVSNISATYYNNEMTIFAIVGPLENGTTVNHVWQAGDSVSNGIPQAHALSGPNLQSMGRISFLS >Manes.14G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11101279:11103275:-1 gene:Manes.14G116900.v8.1 transcript:Manes.14G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVFFKRKLIRKMDLHQTPCSVVVSPQKNNNDKKKKMMKKRSDVSQNKENKRCVLLSFWELPEYMKDNEFILSYYRVNWSLKDALFSIFRWHNETLNVWTHLLGFLLFCGLTVANVMEVPQVADLLGLFSRSILTSAKANVSHNSFFLRTTELLELKQITTPEMDITSPETSVTRWPFYVFLAGSMFCLLSSSICHLFCCHSHNLNIFLLRIDYVGITTMIITSFFPPIFYIFQCDPHWQYIYLGGITAMGMFTVVTLLSPALSTARFRAFRALLFMSMGFFGIIPAVHAAVVNWSNPKRNTILAYESSMALFYLTGTGFYISRIPERLKPGWFDLACHSHQIFHVFVVLGALAHYGATLVFLEYRDRIGC >Manes.13G120500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32704827:32714136:-1 gene:Manes.13G120500.v8.1 transcript:Manes.13G120500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSILHLNLSVARLPDAVPSTTTATVASAHQLPCLLLSRAGQRFVPLSVISCPRRSSSIAANGTLMANSVPPKSGVYTVGEFMTRKEDLHVVKPTTTVDEALETLVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGGGRTANSMFPEVDSTWKTFNEVQNLLSKTNGKVVGDLMTPAPVVVRETTNLEDAARLLLETKYRRLPVVDSEGKLVGIITRGNVVRAALQIKHDIEGTA >Manes.13G120500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32704376:32714142:-1 gene:Manes.13G120500.v8.1 transcript:Manes.13G120500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSILHLNLSVARLPDAVPSTTTATVASAHQLPCLLLSRAGQRFVPLSVISCPRRSSSIAANGTLMANSPKSGVYTVGEFMTRKEDLHVVKPTTTVDEALETLVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGGGRTANSMFPEVDSTWKTFNEVQNLLSKTNGKVVGDLMTPAPVVVRETTNLEDAARLLLETKYRRLPVVDSEGKLVGIITRGNVVRAALQIKHDIEGTA >Manes.09G126500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32928886:32965000:-1 gene:Manes.09G126500.v8.1 transcript:Manes.09G126500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGSPYRRRYDVETAEESVSSDEEGNPFDIRTVKNVPIDRLRRWRQAALVLNASRRFRYTLDLKKEEERLMTLRNIRAHAQAIRAAHVFRAAGERTDGVAFIPKPSGGFGIGQDELSTMTRDNSVVTLEQIGGVHGVSDLLNTNLEKGILGDDADLLKRRNEFGSNTYPEKKGKSFWRLLWEACQDYTLIILMVATVISLALGIRREGIKRGWYDGAGIAFAVIIVIVVTAISDYKQSLRFQKIIAEKRNIRLQVIRGGRRVEVSIFDVVVGDVVPLHIGDQVPADGILISGHSLAIDESSMTGESKIVHKNSRDPFLLSGCKVADGWGKMLVTSVGINTEWGLLMASVSEDTGEETSIQVRLNGVATFIGIVGVAGAFGVLVVLLTRFFTGHTKNPDGSIQFKPGKTSANDAANGAIKILSNSVGIVADAVDAVIKILSIVVTIVVVAVPEGLPLAVTLILAYSMRKMMMDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVSTYIGGQKIDPPDSKSELPPMLFTLLVEGIAQNTTGSVFVPEGGDVEVSGSPTEKAILIWGVKLGMNFDAVRSESTVIHVFPFNSQKKRGGVALCLPESQVHIHWKGAAEIILASCTGYIDANDHIVSMDEDKKLFFKQAVDNMAASSLRCIALAYRAYETYKVPVDVDELTQWALPEDDLILLAIIGIRDPCRPGVNKVVQLCQNAGVKVRMVTGDNLQTAKSIALECGILSSEGHAKEPYLNEGRVFRGYSEEERIAIAENILVMGRSSPNDKLLLVQALKKRGHVVAVTGDGTNDAPALHQADIGLSMGVQGTEVAKESSDIVIIDDNFASIVKIVRWGRSVYANIQKFIQFQLSVNVATIIINIVAAVFSGEVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHKPPVGHRAPLITNTMWRNLLIQTIYQASVVLILAFRGRSLLGLQCDSAAHASKVNHTMMFNAFVFCQIFNEFNARKPDEKNIFKGITKNHLFMGIVAVEVILQVIIVEFNGKFTSTVRLNWKQWLVSVAIGFMSWPLAFAGKLIPVPENPSLSKFLSRRFRRLRKFLAIC >Manes.13G020900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3008566:3015906:1 gene:Manes.13G020900.v8.1 transcript:Manes.13G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFKLEIPCPTKVLEIAADPEPDWSFNALLSELKSLEKKLDVSYKTQSRGLPKWRSVERRPVAFTMRISDDEMEDSESEAEEDHGPSSVVVTRFNCDNIYVSDSDDSVSELALDGQSYLMDEVGLVEGALSELSPQLQIKENIRNQISELEVELMRESGKSHSAFTRIQKYMQARKESDRKFDTQYQRKIAEALDNHLTAIQRDHELKSQIEERRIRSDAAHEEAKRKEKALQEERMRQERAKAEAEAKRKAEEAKMAVLEAERKTAQEAAEKEAAEASKRVASVVPQGDASGQVNVSSVNKNLLSQGPGSNRTDKSESAADIVRAAESALNLEQGRLQKLKKLEEENQALKLSSNVDFSNSERHIARLIRQIRGTQENVRTKSSELIKIFKNPSCPQAISVATFARKVASHCEIPDNAAFACGHVIVLVTSQVPHAMDLLLAEFHRACIYTVPKHVVYLKSAFGSKEAYFKTIGYQEHDGKIESTGDYLKRLESYMKLYGALVQTEIQGIQNTHGPKEGWAWLARFLNTLPANIYTAVALKAFLQTAGFVLFRKYKSQFRKMLNIISNDFLKALKEREDPKLKAVIIEIESYIEDTKFLQEPEGWSLQSSLLSSVMVPDSEPSRGYYYY >Manes.14G043100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3774678:3778909:1 gene:Manes.14G043100.v8.1 transcript:Manes.14G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFCPPSAVGLTRFSLRIFFVSLSSLYMAGSALRFHSQQDIFDSPISRPSEPRNITMMRPFKKLWESFRERIVFQSCKLFVEDSKSYGKCLEEATQLRCTFKMVDAHFFNGTKVVEVAKDAVEFNLPIIRSNRRLVASENGGLHNPSCLVFNPEWTHKHVDNTSKGFQYPAFPGIRRPKSQEDIAFMSILELGELIRTNQITSHELVQIFLQRLKRYNPVLEAVITFTDEVAYKQAKEADELIAKGVYLGPLHGIPYGLKDIIAVPGYKTTWGSRSFKDQVLDIEAWVYKRLRSAGAVLVAKLVSGSLGCDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGLVPFAIGSETAGSITFPASRCGVTALRPTFGTVGRTGDKLGPFCRSAADCSVVLDAIRGKDPDDLSSRDIPFRDPFSIDIRKLTVGYMDDAEMEVVHVLKAKGVNMVPFKLNYTVDSVQGILNFTMDVEMLAHFDEWQRTGQDDIYEAQDQWPTELRRSRVISAVDYVQAQRARRKLIEEVKKSFTVDAFIGNATDGEKVCLGNLVGLPVIVIPTGLKNISNPPSKGTRRRTTINTGIYARPDHDHIALALAIAYQSVTDHHKQRPPIDDLGPNDKIPDPPTVVIPPRRLHP >Manes.05G086392.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7340355:7340697:1 gene:Manes.05G086392.v8.1 transcript:Manes.05G086392.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVTKPSIIYKNARGKKNAWLELVGIDGNIAATIIDKQNKHVKVIVLKDGTPVTKDINCVRVWFWVDENNVVIRTPTFKINI >Manes.01G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8293165:8295562:1 gene:Manes.01G041800.v8.1 transcript:Manes.01G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGFSFVDKVERNSNSSSDCARTVMVDQYDHYHPFPSCGLGGGGGDGPTRYINRCISSDIYDVVVAGGGGGAPGGAADGLRTLQPFDISPSQTAITAFKSPGIMASSMGFPFTIAQWKELERQALIYKYMMASVPVPSHLLFPNPRNLDSDQAACRSSLGGGLNLKFTNGADLQPGRCRRTDGKKWRCSRDVAPDQKYCERHMHRGRPRSRKPVELQANTDINNSKKKTRYTPAISAESPVPAAVGSQFLGTISHPYLQASDKVANFDASLSFASAFKEPRSLEWLIKGEPADQQWHHGGEALNLNSYGNFSAGDDQQSNHSTLFLNGTPRGFIDAWSNGASDDQSETSRNTGSGVSSNGKLSQSSLSLSMGGFNSMDDEKGQIQMGLGLIESDQNRECSTKSLGLAPASWTGATPGGPLAEVIQLSSANTGSQSSPAAENGESGSPPATAVSSPSGVLQKTLASFSDSSSNSSPVMASSQKAKSEIGLLWLN >Manes.17G059800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25849714:25853847:-1 gene:Manes.17G059800.v8.1 transcript:Manes.17G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRFLGDMTHLISILILLLKIYATKSCSGISLKTQELYALVFLARYLDLFTDFISVYNSVMKVVFIASSLAIVWCMRRHRVVRRSYDKELDTFRHYFLVAACLALALLVQEKFTFLEVLWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYFTETHFSRWISCVSGLVQTALYADFFYYYFISWKNNSKLQLPA >Manes.17G059800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25849714:25853847:-1 gene:Manes.17G059800.v8.1 transcript:Manes.17G059800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVFIASSLAIVWCMRRHRVVRRSYDKELDTFRHYFLVAACLALALLVQEKFTFLEVLWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYFTETHFSRWISCVSGLVQTALYADFFYYYFISWKNNSKLQLPA >Manes.01G057400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24444441:24449856:1 gene:Manes.01G057400.v8.1 transcript:Manes.01G057400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISNSLSLKHGLSLCSPYSSSLNRSFHTPTVGYRTVYRNYVVASSAFSNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVTKEPHAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKEKGIEMLYEDPVTSVDIEKQTLATNSGRVLKYGTLIIATGCTASRFPEKIGGNLPGVHYIRDVADADALISSLEKARKVVVVGGGYIGMEVAAAAVGWKLDTTIIFPEKHLLQRLFTPSLAYRYEELYKENGVKFLKGTSIKNLEAGSDGRVASVKLEDGSIIEADTVIIGIGAKPAVGPFEKVGLNLNATVGGIQVDGLFRTNIPGIFAIGDVAAFPLKMYNRTARVEHVDHARRSAQHCVKALLTAQTTVYDYLPYFYSRVFEYEGSPRKIWWQFFGDNVGETIEIGNFDPKIATFWMDSGKLKGVLLESGSPEEFQLLPKLARSQPSVDKSKLQKASSVEEALEIAQESLQAAV >Manes.01G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24444441:24449825:1 gene:Manes.01G057400.v8.1 transcript:Manes.01G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVWKLMATISNSLSLKHGLSLCSPYSSSLNRSFHTPTVGYRTVYRNYVVASSAFSNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVTKEPHAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKEKGIEMLYEDPVTSVDIEKQTLATNSGRVLKYGTLIIATGCTASRFPEKIGGNLPGVHYIRDVADADALISSLEKARKVVVVGGGYIGMEVAAAAVGWKLDTTIIFPEKHLLQRLFTPSLAYRYEELYKENGVKFLKGTSIKNLEAGSDGRVASVKLEDGSIIEADTVIIGIGAKPAVGPFEKVGLNLNATVGGIQVDGLFRTNIPGIFAIGDVAAFPLKMYNRTARVEHVDHARRSAQHCVKALLTAQTTVYDYLPYFYSRVFEYEGSPRKIWWQFFGDNVGETIEIGNFDPKIATFWMDSGKLKGVLLESGSPEEFQLLPKLARSQPSVDKSKLQKASSVEEALEIAQESLQAAV >Manes.16G116501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32121623:32121977:1 gene:Manes.16G116501.v8.1 transcript:Manes.16G116501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTPVMFRSVVRLLTSLISEPAASISTILFYSELLPQNIILERLVRHELLDNENHLFHFVVNFLRCFW >Manes.16G022200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2443633:2447450:1 gene:Manes.16G022200.v8.1 transcript:Manes.16G022200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVGRLLRWSSFAFVMLAGMAAILVPGALGWSKEGHIMTCRIAQNLLGPEAAHAVEHLLPHNLNGDLSALCTWPDQVRHWYKYRWTSSLHFIDTPDEACNFDYSNNLTEALLFLSHFMGDIHQPLHVGFTSDEGGNTIELRWFRHKSNLHHVWDREIILTALKDFYENDMDLLQESIEGNLTDGIWLDDVSSWKDCNDLLSCPNKYAVESINLACKWGYKGVKEGATLADDYFNSRMPIVMKRIAQGGIRLAMFLNQIFGDSEEGIASAT >Manes.16G022200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2443633:2447450:1 gene:Manes.16G022200.v8.1 transcript:Manes.16G022200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVGRLLRWSSFAFVMLAGMAAILVPGALGWSKEGHIMTCRIAQNLLGPEAAHAVEHLLPHNLNGDLSALCTWPDQVRHWYKYRWTSSLHFIDTPDEACNFDYSRDCVKDLCVAGAIQNFTSQLLHYREGTTDRRYNLTEALLFLSHFMGDIHQPLHVGFTSDEGGNTIELRWFRHKSNLHHVWDREIILTALKDFYENDMDLLQESIEGNLTDGIWLDDVSSWKDCNDLLSCPNKYAVESINLACKWGYKGVKEGATLADDYFNSRMPIVMKRIAQGGIRLAMFLNQIFGDSEEGIASAT >Manes.16G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2443633:2447450:1 gene:Manes.16G022200.v8.1 transcript:Manes.16G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVGRLLRWSSFAFVMLAGMAAILVPGALGWSKEGHIMTCRIAQNLLGPEAAHAVEHLLPHNLNGDLSALCTWPDQVRHWYKYRWTSSLHFIDTPDEACNFDYSRDCVKDLCVAGAIQNFTSQLLHYREGTTDRRYNLTEALLFLSHFMGDIHQPLHVGFTSDEGGNTIELRWFRHKSNLHHVWDREIILTALKDFYENDMDLLQESIEGNLTDGIWLDDVSSWKDCNDLLSCPNKYAVESINLACKWGYKGVKEGATLAGKLNYNRIDSKYKRMDSSK >Manes.01G200800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37416152:37419325:-1 gene:Manes.01G200800.v8.1 transcript:Manes.01G200800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANRTAVESCHRVLGLLSQPQDQVQYRNLMVETGEAVFRFKRVISLLNSNLGHARVRKLKKLPTPLCQSLLLDNPHHRTDLQSKNFQFMQSGSYLDSRPIQELGSNAKNSLCFGTPSLELSSNGKNPLHHSQQTPSTHYNFLQQQQRLQLQQQQQMKQQAEMMFRRSNSGINLNFDNSSCTPTMSSTRSFISSLSIDGSVANLEGSAFHLIGAPRSSDQNSQQLKRKCSGRVEDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHPRIPSQSANT >Manes.01G200800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37415216:37419340:-1 gene:Manes.01G200800.v8.1 transcript:Manes.01G200800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANRTAVESCHRVLGLLSQPQDQVQYRNLMVETGEAVFRFKRVISLLNSNLGHARVRKLKKLPTPLCQSLLLDNPHHRTDLQSKNFQFMQSGSYLDSRPIQELGSNAKNSLCFGTPSLELSSNGKNPLHHSQQTPSTHYNFLQQQQRLQLQQQQQMKQQAEMMFRRSNSGINLNFDNSSCTPTMSSTRSFISSLSIDGSVANLEGSAFHLIGAPRSSDQNSQQLKRKCSGRVEDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRCVYCLHFNADYIASSGLCLKKSFASKKFH >Manes.01G200800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37414988:37419340:-1 gene:Manes.01G200800.v8.1 transcript:Manes.01G200800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEEANRTAVESCHRVLGLLSQPQDQVQYRNLMVETGEAVFRFKRVISLLNSNLGHARVRKLKKLPTPLCQSLLLDNPHHRTDLQSKNFQFMQSGSYLDSRPIQELGSNAKNSLCFGTPSLELSSNGKNPLHHSQQTPSTHYNFLQQQQRLQLQQQQQMKQQAEMMFRRSNSGINLNFDNSSCTPTMSSTRSFISSLSIDGSVANLEGSAFHLIGAPRSSDQNSQQLKRKCSGRVEDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHPRIPSQSANT >Manes.03G066380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7432272:7434992:1 gene:Manes.03G066380.v8.1 transcript:Manes.03G066380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLPDILVFLAIALVTCHAASPPEEYWNSVLPNTPMPKAVKDLLQPDFMDQKGTSVIVGKGGVNVDAGKGKPGGTTVNVGKGGVNVNSGKGKPSGTSVNVGKGGVNVNTGKGKPGTTVNVGGKGVGVNTGKPGKRTNVSVGKGGVTVNTGHKGKPVYVGVKPGPDPFAYNYAATENQLHDDPNVALFFMEKDMYPGKTMNLHFTKNTNPTTFLPRQIANSLPFSSNKLPEIFNQFSVKEGSMEAEIMKNTIRECEEPGIKGEEKHCATSLESMIDFSTHMLGKNVQAISTEVENQTQLQKYIITAGAKKMAGDKSVVCHRQNYAYAVFYCHSTQTTKAYMVSLEGADGTKAQAVAICHTDTSAWNPKHLAFQVLKVKPGTVPVCHFLPQDHVVWVPN >Manes.03G066380.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:7432272:7434992:1 gene:Manes.03G066380.v8.1 transcript:Manes.03G066380.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGTSVIVGKGGVNVDAGKGKPGGTTVNVGKGGVNVNSGKGKPSGTSVNVGKGGVNVNTGKGKPGTTVNVGGKGVGVNTGKPGKRTNVSVGKGGVTVNTGHKGKPVYVGVKPGPDPFAYNYAATENQLHDDPNVALFFMEKDMYPGKTMNLHFTKNTNPTTFLPRQIANSLPFSSNKLPEIFNQFSVKEGSMEAEIMKNTIRECEEPGIKGEEKHCATSLESMIDFSTHMLGKNVQAISTEVENQTQLQKYIITAGAKKMAGDKSVVCHRQNYAYAVFYCHSTQTTKAYMVSLEGADGTKAQAVAICHTDTSAWNPKHLAFQVLKVKPGTVPVCHFLPQDHVVWVPN >Manes.03G066380.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7432272:7434992:1 gene:Manes.03G066380.v8.1 transcript:Manes.03G066380.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLPDILVFLAIALVTCHAASPPEEYWNSVLPNTPMPKAVKDLLQPDFMDQKGTSVIVGKGGVNVDAGKGKPGGTTVNVGKGGVNVNSGKGKPSGTSVNVGKGGVTVNTGHKGKPVYVGVKPGPDPFAYNYAATENQLHDDPNVALFFMEKDMYPGKTMNLHFTKNTNPTTFLPRQIANSLPFSSNKLPEIFNQFSVKEGSMEAEIMKNTIRECEEPGIKGEEKHCATSLESMIDFSTHMLGKNVQAISTEVENQTQLQKYIITAGAKKMAGDKSVVCHRQNYAYAVFYCHSTQTTKAYMVSLEGADGTKAQAVAICHTDTSAWNPKHLAFQVLKVKPGTVPVCHFLPQDHVVWVPN >Manes.12G040600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3573767:3575849:1 gene:Manes.12G040600.v8.1 transcript:Manes.12G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLVHMAVALLTAVVIVSSQAAEAASQRAFFVFGDSLVDSGNNNYLATTARADYPPFGIDFPFTHQPTGRFSNGLNFPDIISESMRLEPTLPYLNPELNGQKLLNGANFASAGIGILNDTGIQFVNILKISRQLELFHEYQQRVGEMIGAAQAQQLVKNALVLITLGGNDFINNYFLPTISLRRQQYSLPDYCRYLVSEYQKILMKLHDLGARRVLVTGIGPLGCVPAELAYSLSKNGECVAEPQRATAMFNNELFQMLLGLNKEIGSDTFIAANAFDMNMDIIIKPQEFGFVTSKVACCGQGLYNGIGTCTVFSSLCSNRNEYVFWDSFHPTERANRIIVQQLMTASTKYMNPMNLSTIMALDANL >Manes.14G001136.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1338180:1338977:-1 gene:Manes.14G001136.v8.1 transcript:Manes.14G001136.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSSLFRLRSHLLRTTTTTTTSTSRMFLSNSPILCTIKSERLLLSPHSDLREISMEFPSLTGARARQRQAGRLWTYALTFSCIAGFIVIVLNNFQDQLVFYVTPTDAKEKYKVNPQKNKFRLGGLVLEGSVVQSISSPEMEFVITDLVTDILVRYQGSLPDLFREGHSVVVEGFVKPLTEELRKEVGARSVSGKARSGEYYFSATEVLAKHDEKYMPKEAAAAIEKNKQRIEAGERREEENLT >Manes.03G096100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16413646:16424752:-1 gene:Manes.03G096100.v8.1 transcript:Manes.03G096100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENLKFNASTSSANDQSINKIERKPIEVFDPPENSSSRSYGIGTQARAEEGGSSQAFSSSSRKEPVNKWMAFESEGANKSNFKSSADANLAAKDDRSFYNFNGQSSSNKILTEASIAERTAEWGLVVKSDGGEGSFKAIKLSSGDGGDGRKYSSERFTAMSTRTSEESEAGAFPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFSMTGYSSKEVIGRNCRFLQGPETDQAEVAKIRDAVKKGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGINDKALRPNGLPKSLIRYDARQMDKALGSITEVVQTVKHPKSHIQAMSQDTSENLDYVLPKSADFDAISSGTPSNQTPQLNSTNDVSQEADNKTRKSSRISLMGLKPKSVSFAGTREAPPPTVEPEVLMTREVRLSDSWDGAGRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEKTELQSAKLVKATAENVDEAVRELPDANLTPEDLWALHSQPVFPRPHKRESASWIAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELKESGQLYAMKAMEKSMMLNRNKVHRACIEREIISMLDHPFLPTLYTSFQTSTHVCLITDFCPGGELFALLDRQPMKLFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILIQEDGHVVLTDFDLSFMASCKPQILKHPPPSKRRTSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLAARQLINALLSRDPDIRIGSKTGANEIKQHPFFRGINWPLIRCTSPPHLDVPLQLIKKDPKAKDVSWEDDGVLESSVDMDIF >Manes.03G096100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16413646:16424752:-1 gene:Manes.03G096100.v8.1 transcript:Manes.03G096100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENLKFNASTSSANDQSINKIERKPIEVFDPPENSSSRSYGIGTQARAEEGGSSQAFSSSSRKEPVNKWMAFESEGANKSNFKSSADANLAAKDDRSFYNFNGQSSSNKILTEASIAERTAEWGLVVKSDGGEGSFKAIKLSSGDGGDGRKYSSERFTAMSTRTSEESEAGAFPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFSMTGYSSKEVIGRNCRFLQGPETDQAEVAKIRDAVKKGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEARQMDKALGSITEVVQTVKHPKSHIQAMSQDTSENLDYVLPKSADFDAISSGTPSNQTPQLNSTNDVSQEADNKTRKSSRISLMGLKPKSVSFAGTREAPPPTVEPEVLMTREVRLSDSWDGAGRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEKTELQSAKLVKATAENVDEAVRELPDANLTPEDLWALHSQPVFPRPHKRESASWIAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELKESGQLYAMKAMEKSMMLNRNKVHRACIEREIISMLDHPFLPTLYTSFQTSTHVCLITDFCPGGELFALLDRQPMKLFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILIQEDGHVVLTDFDLSFMASCKPQILKHPPPSKRRTSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLAARQLINALLSRDPDIRIGSKTGANEIKQHPFFRGINWPLIRCTSPPHLDVPLQLIKKDPKAKDVSWEDDGVLESSVDMDIF >Manes.03G096100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16413646:16424326:-1 gene:Manes.03G096100.v8.1 transcript:Manes.03G096100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENLKFNASTSSANDQSINKIERKPIEVFDPPENSSSRSYGIGTQARAEEGGSSQAFSSSSRKEPVNKWMAFESEGANKSNFKSSADANLAAKDDRSFYNFNGQSSSNKILTEASIAERTAEWGLVVKSDGGEGSFKAIKLSSGDGGDGRKYSSERFTAMSTRTSEESEAGAFPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFSMTGYSSKEVIGRNCRFLQGPETDQAEVAKIRDAVKKGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGINDKALRPNGLPKSLIRYDARQMDKALGSITEVVQTVKHPKSHIQAMSQDTSENLDYVLPKSADFDAISSGTPSNQTPQLNSTNDVSQEADNKTRKSSRISLMGLKPKSVSFAGTREAPPPTVEPEVLMTREVRLSDSWDGAGRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEKTELQSAKLVKATAENVDEAVRELPDANLTPEDLWALHSQPVFPRPHKRESASWIAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELKESGQLYAMKAMEKSMMLNRNKVHRACIEREIISMLDHPFLPTLYTSFQTSTHVCLITDFCPGGELFALLDRQPMKLFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILIQEDGHVVLTDFDLSFMASCKPQILKHPPPSKRRTSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLAARQLINALLSRDPDIRIGSKTGANEIKQHPFFRGINWPLIRCTSPPHLDVPLQLIKKDPKAKDVSWEDDGVLESSVDMDIF >Manes.03G096100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16413646:16424752:-1 gene:Manes.03G096100.v8.1 transcript:Manes.03G096100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENLKFNASTSSANDQSINKIERKPIEVFDPPENSSSRSYGIGTQARAEEGGSSQAFSSSSRKEPVNKWMAFESEGANKSNFKSSADANLAAKDDRSFYNFNGQSSSNKILTEASIAERTAEWGLVVKSDGGEGSFKAIKLSSGDGGDGRKYSSERFTAMSTRTSEESEAGAFPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFSMTGYSSKEVIGRNCRFLQGPETDQAEVAKIRDAVKKGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGINDKALRPNGLPKSLIRYDARQMDKALGSITEVVQTVKHPKSHIQAMSQDTSENLDYVLPKSADFDAISSGTPSNQTPQLNSTNDVSQEADNKTRKSSRISLMGLKPKSVSFAGTREAPPPTVEPEVLMTREVRLSDSWDGAGRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEKTELQSAKLVKATAENVDEAVRELPDANLTPEDLWALHSQPVFPRPHKRESASWIAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELKESGQLYAMKAMEKSMMLNRNKVHRACIEREIISMLDHPFLPTLYTSFQTSTHVCLITDFCPGGELFALLDRQPMKLFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILIQEDGHVVLTDFDLSFMASCKPQILKHPPPSKRRTSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLAARQLINALLSRDPDIRIGSKTGANEIKQHPFFRGINWPLIRCTSPPHLDVPLQLIKKDPKAKDVSWEDDGVLESSVDMDIF >Manes.03G096100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16413646:16424752:-1 gene:Manes.03G096100.v8.1 transcript:Manes.03G096100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQENLKFNASTSSANDQSINKIERKPIEVFDPPENSSSRSYGIGTQARAEEGGSSQAFSSSSRKEPVNKWMAFESEGANKSNFKSSADANLAAKDDRSFYNFNGQSSSNKILTEASIAERTAEWGLVVKSDGGEGSFKAIKLSSGDGGDGRKYSSERFTAMSTRTSEESEAGAFPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFSMTGYSSKEVIGRNCRFLQGPETDQAEVAKIRDAVKKGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEARQMDKALGSITEVVQTVKHPKSHIQAMSQDTSENLDYVLPKSADFDAISSGTPSNQTPQLNSTNDVSQEADNKTRKSSRISLMGLKPKSVSFAGTREAPPPTVEPEVLMTREVRLSDSWDGAGRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEKTELQSAKLVKATAENVDEAVRELPDANLTPEDLWALHSQPVFPRPHKRESASWIAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELKESGQLYAMKAMEKSMMLNRNKVHRACIEREIISMLDHPFLPTLYTSFQTSTHVCLITDFCPGGELFALLDRQPMKLFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILIQEDGHVVLTDFDLSFMASCKPQILKHPPPSKRRTSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLAARQLINALLSRDPDIRIGSKTGANEIKQHPFFRGINWPLIRCTSPPHLDVPLQLIKKDPKAKDVSWEDDGVLESSVDMDIF >Manes.15G141800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11497918:11501299:1 gene:Manes.15G141800.v8.1 transcript:Manes.15G141800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNTNNNNNGNSSNNSNSNLPKSLGQSSSPFGNTGMLNPALPVNPAFSQSQSQVQIGTGFQGQFQLSQAQATAQAQLRAQQAHAQAQAQAAHAAQVQAVRAQLQAQLQAQGMSPSQAQTAALGNLGSSSPSFSTPGSMNAKRLPQKPPVRPPGVPMSSMVSPLKTMDLVSAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALSRKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPKKPNAEPPTWMLKIVGRILEDGIDPDQPGVVQKSNPLYPKFSYFFKRVTIMLDQRLYPDNHMIVWEHSRTPATHEGFEVKRTGDKEFTVVIRLEMNYLPEKFKLSPALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPEDPSFFNCDPPLQKVFGEAKMKFTMVSQKISQHLSPPQPIVLEHKIKLSGNSPAGTICYDVVVDVPFPIQRELNALLANAEKNKEIETCDEGICAAIRKVHEHRRRRAFFLGFSQSPVEFINTLIESQSRDLKLVAGEAGRSAEKERRADFFNQTWVEDAVIRYLNRKPAAGSDVPGSM >Manes.15G141800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11497918:11501299:1 gene:Manes.15G141800.v8.1 transcript:Manes.15G141800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNTNNNNNGNSSNNSNSNLPKSLGQSSSPFGNTGMLNPALPVNPAFSQSQSQVQIGTGFQGQFQLSQAQATAQAQLRAQQAHAQAQAQAAHAAQVQAVRAQLQAQLQAQGMSPSQAQTAALGNLGSSSPSFSTPGSMNAKRLPQKPPVRPPGVPMSSMVSPLKTMDLVSAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALSRKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPKKPNAEPPTWMLKIVGRILEDGIDPDQPGVVQKSNPLYPKFSYFFKRVTIMLDQRLYPDNHMIVWEHSRTPATHEGFEVKRTGDKEFTVVIRLEMNYLPEKFKLSPALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPEDPSFFNCDPPLQKVFGEAKMKFTMVSQKISQHLSPPQPIVLEHKIKLSGNSPAGTICYDVVVDVPFPIQRELNALLANAEKNKEIETCDEGICAAIRKVHEHRRRRAFFLGFSQSPVEFINTLIESQSRDLKLVAGEAGRSAEKERRADFFNQTWVEDAVIRYLNRKPAAGSDVPGSM >Manes.17G026624.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20373678:20377645:-1 gene:Manes.17G026624.v8.1 transcript:Manes.17G026624.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKTLSVLYSVISIFRVVRSGNRQMKRLFMMISLNVAYSTVELGIGLFTGRVGFVSDAFHLTFGCGLLTFSLFAMAASRGKPDRVYTYGFDIGILVSVPRVYCFILHFQLQVENAEVLCLGLVSVAVFVLVMPLFKSTAGVLLQMAPPSIHSSALNKCLRQLRCNL >Manes.04G127000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32933716:32939849:-1 gene:Manes.04G127000.v8.1 transcript:Manes.04G127000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCIQSRRREDLHFDTRGSGTPPPVLPSHNSPNRRISSPNRGQSQGYGLRQFTYMELAIATEHFSNNVLLGEGGFGQVYKGFIDGKLYAVKKLKNQPDEQTQATMEEEIRVISRVRHRNLVELIGYCIQGNNRLLVLEFLSNKSLKYHLHGKEVLEWSNRMKIAIGSAKGLKYLHEDCNPRIIHRDIKADNIVLDENFEPKVTDFGLSLFFPENVTHISKSIHGTEVYVDPIYSGRVSYESDVYSFGVVLLELITGRKTMIGDTTIVNWIIQILEAILPLKDIWDEKDDKYLNGN >Manes.05G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3415163:3417303:-1 gene:Manes.05G042300.v8.1 transcript:Manes.05G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLCTSNMAMHLPPYPTTQLQQDPFVFDASILQHQSNIPSQFIWPDDEKPCLESPELVIPPIDLRGFLSGDLSAVSKASQLINEACKKHGFFLVVNHGVDSELIARAHEYMDMFFGMPLAEKQRAQRKIGDHCGYASSFTGRFSSKLPWKETLSFRYSDDNKFSNIVQEYFLKVMGEEFRQFGKVYQEYCQAMNTLSLGIMELLGFSLGVGREFFRQFFEGNDSIMRLNHYPPCQKPDLTLGTGPHCDPTSLTILHQDEVGGLQVFVDEKWYFVRPDPKAFVVNIGDTFMALSNGIFKSCLHRAVVNNRTVRKSLAFFLCPNMDKVVKPPNTLVNSNNPRMYPDFTWSNLLEFTQKHYRADMKTLDVFTSWLQQNS >Manes.15G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10115781:10125358:-1 gene:Manes.15G127100.v8.1 transcript:Manes.15G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPDTSLTDLLHKVKSWISWGASDLSSSSLSGKFQMHNNNSLNMCCECNTNFTGLYNGYQCQSCGRWLCVKCAGGYGDYKDSIKSCKLCVGFRVKPQGGRKKSEKVHPSEFSQGSPEQSSPSSTGESIRRDHLAHYLESRDCGYSPLAVTSRGMISFSVQSSLMSDRHSPSRSDEEEAEDSGKDFYIPSSEYYHYVSDNDSSSVSARLEFYNCKSVGSSPLDSPSRIDFTSCRVGQPVQREQEGSSLSQGDGPFDQESVAILSRPDKGTGDPENNDDCSDDVSVLQKLDKSQKPLDFESNGGCIWFPPPPENEDEEADSNFFTYDDDDDDIGDSGALFSSTGSLSSMFPSKEKQNEGNKEPLRAVIQGHFRALVSQLLHGGGIKVSKEDGSKDWLDIVTTIAWQAASFVKPDTSRGGSMDPVNYVKIKCIASGSPSDSTLVKGVVCTKNIKHKRMTTQYKNPRLLLLGGALEYQSVVNQLASFNTLVQQENDHLKMIMSKIEALRPNVLLVEKSVSPYAQEYLLAKEISLVLNVKRPLLERIARCTGAFINLSIDNISTARLGHCELFRLERVSEEHETANQFNKKPSKTLMFFEGCPRRLGCTVLLRGACREELKKVKDVVQFAVFAAYHLSLETSFLADEGASLPKMTLKHSVAIPERTAADNAISLIPLTDCHASAGTSAQDEGPLGLMPERVESESLIHLDSSNIPSLFLGSEDCRFENALSGACNDNPESNVGLDSFAISEFEDQKTLMVPSSGIKNLSQPELKDIIAQEEVQPMETHESAKPEKIDEGGVSSEYFSATDTNQSILVSFSSRCVLKGTVCERSRLLRIKFYGSFDKPLGRYLRDDLFDQTSYCRSCKELAEAHVLCYTHQQGNLTINVRSLSSVKLPGERDGKIWMWHRCLKCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVAFFRYSPIDILNVHLPPSVLEFSGHVQQEWIRKEAAELLGVVEAFYAEISDALDTMENKSKNFGSKLSDTNELRNHIMELKDQLRKERNDYTAMLQVIVMEDSQLGQTSLDILELNRLRRALLIGSHVWDRQLYSLDSLLKTNSVVKAIHGEKSNTQLKESRDDISCEDCNDGHLENVSSYSKPLDSVGNDLLSEQNKNTLSFQSSLDEDSMLPLYHHNNREEEVHSDGEINTFFHDDPSDASNLSDRIDSAWTGTDQLLTKVQAPHVPQTDGFQVGSVKQITICDKPPFRRMLAPVRFNSFDSALRVQERIRKGLPPSSLYLSTLKSFHASGDYRSMVRDPVSNAMRTCSQTLPLEAQKLNLMPSSAPTFISTASHMAAGARLLLPQRSHNDIVIGVYDDDPASIVSHALISKEYEDWVADKSNENERSWSMNDHCKEDSATSTFSAWQSFGSVDLDYMRRGSYGSEDPSSSIGTLFADSKKSPHLTISYGDDSSTAGGKVKFSVTCYFAKQFDSLRKKCCPSEVDFVRSLSRCQRWSAQGGKSNVYFAKSLDERFIIKQVKKTELDSFEEFASEYFKYLTDSLTSGSPTCLAKILGIYQVTVRHLKGGKEMKMDLMVMENLFFRRSISRVYDLKGSARSRYIPDTSGKNKVLLDMNLVEKLHTEPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDNERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTSYFLTVPDQWSS >Manes.08G120100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35981232:35987717:1 gene:Manes.08G120100.v8.1 transcript:Manes.08G120100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGAATAHDNAGVVPMRFVWPYGGRSVFLSFSFTGWTEHIPMSPMEGCPTVFQVICSLTPGHHQYKFFVDGQWRHDEHQRSVSGNYGVVNTVFVPRELYMDPPVFDLETSGSNMELDDVFSRTEAIARVSEADFQVSHHRISAFLATHTAYELLPESGKVVALDVNVPVKQAFHILHEQRVPMAPLWDFLKGQFVGVLSALDFILILRELGNNGSNLTEEELETHSISAWKEGKLHLSRQMDGNGRAHPKHLVHAGPYDSLKDVALKILQNKVSTIPIIHSSSRDGSFPQLLHLASLSGILKCICRHFRHSASSLPVLQLPICRIPLGTWVPKIGESNAQPFAMLRPNASLGDALSLLVQAEVSSIPIVDDNDSLLDIYSRSDITALAKDKAYAQIHLDEISIHQALQLGQDANSPYGFYNGQRCQMCLGSDPLHKVMEQLANPGVRRLLIVEAGSKRVEGIISLSDVFNFLLG >Manes.11G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28340859:28343165:1 gene:Manes.11G122100.v8.1 transcript:Manes.11G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIRDDVPSSSPLEQHIPSSSSPPAARNLGGWKAIRYILGNESFEKLASMSLIANMTVYLQNKYHMGGASNVNVTTIWSGSSNVTSLLGAFLADTYLGRFRTLLFGTISSLLGMVLMTLTAAVPQLTPPKCEGESHCLQPEKWQLGFLFVSLGFLSIGAGGIRPCNIAFGADQFDTTTAKGRANLESFFNWWYFFFTIALLVALTGVIYIQTDVSWVIGLVIPTACLCISISIFLIGRHTFICKKPQGSIFADMLKVITAAYRKRQLNIALGSQLSFYDPPINDSDFHLKLSPTDRFKFLDKAAIITDPSELDAQGRPKNTWRLCSLQQVEQLKCLAALLPVWVSGIACFIPMDQHNAFGILQAIQMNKSLGSHFKIPPGWMGLTSMIALSIWIFIYEFVFIRQAKKLTGKDKGFTVAQRIKIGIVMSILCMLVAAIVEKKRRDSALKHGSFASPITVAVLLPQFILSGLIEAFAAVAMMEFYTTQMPESMRTVAGAVFFLSLSISSYVGSLLIYIIHHLTGKNEKSPPWLGGHDLNKNRLDYYYYVIAGLGAVNFLYFNFFASRYAVNSSVGEVELEKSNCHSKLHGDEEKGLEIHLR >Manes.06G037600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:11334863:11338386:1 gene:Manes.06G037600.v8.1 transcript:Manes.06G037600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFPKSDSVIIREVWNDNLEKEFELIRDVVDDFPYIAMDTEFPGIVLRPIGTFKNVFDYNYQTLKANVDLLKLIQMGLTFSDENGNQPTCGTDKHCVWQFNFCEFNPNEDVYANDSIELLSQSGIDFIKNFEMGVDANRFSELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCKNLPDTQVEFFKLIKLYFPVLYDIKHLMKFCNSLHGGLNKLAELLEVERIGICHQAGSDSLLTSCTFMKLKENFFNGSPEKYAGVLYGLGVENGQNAY >Manes.06G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11334863:11338386:1 gene:Manes.06G037600.v8.1 transcript:Manes.06G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLPVPVSHSHSFYNVADSVFTHYCSFSNSLSNSLFICKTLSKETSRKFLIFHRNSPLLVLVPDSHSIIFASPGPQGTFKNVFDYNYQTLKANVDLLKLIQMGLTFSDENGNQPTCGTDKHCVWQFNFCEFNPNEDVYANDSIELLSQSGIDFIKNFEMGVDANRFSELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCKNLPDTQVEFFKLIKLYFPVLYDIKHLMKFCNSLHGGLNKLAELLEVERIGICHQAGSDSLLTSCTFMKLKENFFNGSPEKYAGVLYGLGVENGQNAY >Manes.04G129000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33184149:33184547:-1 gene:Manes.04G129000.v8.1 transcript:Manes.04G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTSSLSKKITLRSSDDEIFEVDEEVLLECQTIKHMIDDGCANSIIPLPNVTGRIMVKVIEYCKRHLEVAEDKDEIFPIDGHQALKDWDTEFVKEVKKDHTVLFGLIMAANYLDMKNLMDLLCKSVANFN >Manes.14G132542.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10560626:10562216:-1 gene:Manes.14G132542.v8.1 transcript:Manes.14G132542.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRIWLGTFETAEEAARAYDQAAILMSGRNAKTNFPVSQTPNGDPKSTEHSSSTANTAAAAPPNGLSEILHAKLRKCGKAPSPSMTCLRLDTENSHIGVWQKRAGQRSDSHWVMTVQLGKRNQQVSDGTLPLAESSGTPPGPQLRTEMDEEERIALQMIEELLNRNCPSPSFGDQDGDDGSFCL >Manes.14G132542.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10560726:10561890:-1 gene:Manes.14G132542.v8.1 transcript:Manes.14G132542.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRIWLGTFETAEEAARAYDQAAILMSGRNAKTNFPVSQTPNGDPKSTEHSSSTANTAAAAPPNGLSEILHAKLRKCGKAPSPSMTCLRLDTENSHIGVWQKRAGQRSDSHWVMTVQLGKRNQQVSDGTLPLAESSGTPPGPQLRTEMDEEERIALQMIEELLNRNCPSPSFGDQDGDDGCMRLPSCC >Manes.18G146301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:30615833:30616400:1 gene:Manes.18G146301.v8.1 transcript:Manes.18G146301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSSPLFTSLWLPTLAEQCGIEAGGAICPGCLCCSKYGRCGTTIYHCCKGCQSNCGHPKCSGDHPIAGIPRGGGGDMGEISSEKTFDKILSQKPFTYGL >Manes.17G124100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32974642:32979789:-1 gene:Manes.17G124100.v8.1 transcript:Manes.17G124100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPRKRGRKPKKTQAPETMDFQFTTNTTQNDNVSLPSTATATTVVAETPSTSVSPTPPGRGRGRPRKIGKHAEISEPKSPERRLTRHGEQNGEFYAPGGEIRHNNMVGVVAAAEWESVVKVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGERRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVVPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIVHFICDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMEPDMKGVRIRRIEPTAPESHVLQPSDVILSFDGVKIANDGTIPFRHGERISFSYLVSQKYTGDKAVVKVLRNSEILEFNIKLATHKRLIPAHIKGKPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFDAPVKLLDKHLHAMAQSVDEQVVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKSLADMVESCADEFLKFDLEYQQIVVLHTKNARAATLDILATHCIPSAMSEDLRT >Manes.17G124100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32973086:32979789:-1 gene:Manes.17G124100.v8.1 transcript:Manes.17G124100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPRKRGRKPKKTQAPETMDFQFTTNTTQNDNVSLPSTATATTVVAETPSTSVSPTPPGRGRGRPRKIGKHAEISEPKSPERRLTRHGEQNGEFYAPGGEIRHNNMVGVVAAAEWESVVKVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGERRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVVPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIVHFICDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMEPDMKGVRIRRIEPTAPESHVLQPSDVILSFDGVKIANDGTIPFRHGERISFSYLVSQKYTGDKAVVKVLRNSEILEFNIKLATHKRLIPAHIKGKPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFDAPVKLLDKHLHAMAQSVDEQVVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKSLADMVESCADEFLKFDLEYQQIVVLHTKNARAATLDILATHCIPSAMSEDLRT >Manes.17G124100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32973838:32979789:-1 gene:Manes.17G124100.v8.1 transcript:Manes.17G124100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPRKRGRKPKKTQAPETMDFQFTTNTTQNDNVSLPSTATATTVVAETPSTSVSPTPPGRGRGRPRKIGKHAEISEPKSPERRLTRHGEQNGEFYAPGGEIRHNNMVGVVAAAEWESVVKVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGERRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVVPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVIVHFICDYEKNGAYTGFPILGVEWQKMENPDLRMSMGMEPDMKGVRIRRIEPTAPESHVLQPSDVILSFDGVKIANDGTIPFRHGERISFSYLVSQKYTGDKAVVKVLRNSEILEFNIKLATHKRLIPAHIKGKPPSYYIIAGFVFTAVSVPYLRSEYGKDYEFDAPVKLLDKHLHAMAQSVDEQVVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKSLADMVESCADEFLKFDLEYQQIVVLHTKNARAATLDILATHCIPSAMSEDLRT >Manes.09G173400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37553775:37554399:1 gene:Manes.09G173400.v8.1 transcript:Manes.09G173400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAEMEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >Manes.01G174400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35573901:35574916:-1 gene:Manes.01G174400.v8.1 transcript:Manes.01G174400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPIVEEINCQVISLFQIPKKMSCCGGNCGCGSGCKCGSGCNGCGMYPDISENTRTETLIAGVAPPKMSYEGTEMNFGAESGNGCKCGSSCSCDPCNCK >Manes.18G016800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1743634:1755845:1 gene:Manes.18G016800.v8.1 transcript:Manes.18G016800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKKSGARGRSKAIEIGLDSDDDEPIGSLFKLKRHRNPKKVKAVPDKVEAREEKLVAEDDDSGGMDDTLASFRKRLKGPKKDIRSVSVRPLEDNADKGCSGDDLLGLVVNKGVTEPKNKEKMKKTKIDSKRERTGSDAEAYGTETFGSRVEDQKDEGYLPSEGSSHSLDEKLEDSLSTIFHKMQSGSIQKSYMNSCSKQKSKVQNVEGALNRSSECISEFSKPMAATIPRTASTSNLLCIDLKAEDGCIVVTHLSPSDFVSEQSKTMKQNRLDNGFCQSSNCIKGSSDENTESSCKRHARSVEINAPPSVFHCSGDQDNIMPDPGLSNVKAELMVDPCTLNRLHDENCSIYGQVVSLDTQSLKNGLKLCSIGKANTLVYDVVKVPTRAVSVQFEAIDRFSEHKSNKDLRDSWNRQSKDVSPVCISNGENHILFQTEISPPSVVDEISHKSCRSSSNRIHEPDYEGIFYGSLRIVSNSSPNHVGMDIADKTDTGLDFDQRPKASLHAKPHLIDSIISSSKIEETFSECDGPNGYGEKSYSDLVASKRANVATSDGKLPSITAVSGEVQKTACSFQMNDQANSECLAHPNQSSISIQKHSPILHLIIPSEDATKGNCIPNHDYLSINEETSGASSLSITPEENESYPEDAASILDSEVKDRKLSSVQRGARKAKMHRLGDMAYEGDPDWEILINDRRYQETDQFVDGDRSFRMREKSDSSSVSVTEAESGAAAAVLVGLKAHAAGPVEKIKFKEVLKHKGGLQEYLECRNQILGIWSKDVSCILPLDDCGVPDTPSEEESSRDSLIREIYAFLDQSGYINIGIASKKEKKAEPRVKHNYRFVEKNFEVNPGTSVADLEDGVSYILGQFKNSETSLEANNRCTNDNENPMSKAEKSWELVPQANVEVSNLTEFREHPVGDIQQNGTTNTKLANGFANLDDVSCDPFCAMLDSRIGITNPELRNDLQSVHSSSCHDTGRSHNFQGDSEDRKKIIVVGAGPAGLTAARHLQRQGFSVTLLEARNRIGGRVYTDHSSLSVPVDLGASIITGVEADVATERRPDPSSLICAQLGLKLTVLNSDCPLYDIVTREKVPTDLDEALEAEYNSLLDDMVLLIAQKGEHAMKMSLEDGLEYALRSRRMAQPGTITDETELQKAMDNLYVSKTCSFDGGVPEKSCSREDILSPLERRVMDWHFAHLEYGCAALLKEVSLPYWNQDDVYGGFGGAHCMIKEGYSNVVESLSKGLCIQLNHVVTDISYSTKEIRLNENHHHKVKVSASNGSEFFGDAVLITVPLGCLKAETINFNPPLPQCKLSSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDQRGHCFMFWNVRKTVGAPVLIALVVGKAAVDGQNMSSSDHVSHALMVLRKLFGEIGVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPVENCLFFAGEATCKEHPDTVGGAMMSGLRKAVRIIDILNTGNDFTAEVEAMEAAQRHSECERDEVRDITKRLEAVELSNVLYKNSLDGAQILTREALLRDMFFSAKTTAGRLHLAKKLLNLPVETLKSFAGTRKGLTTLNSWILDSMGKDGTQLLRHCARLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKATNGGLKLLRQATAVDSLKRKSVNNLASGKPPLRTHHGGLETGGSLEVPQSSGSHLPSNANMKKVNGKLVKVETLKESSSRASLGRQDADVQEESNYAMSEEELASLAAAEAAHAAACAAAEAYASTEAKCNTVLQLPKIPSFHKFARREQYAQMEDVDLRKRWAAGIQGRQDCISEIDSRNCRVRDWSVDFSATCVNLSSSRISVDNLSQRSHSNEIACHMNFRELSGESAAADSSLFTRAWVDTAGNEGIKDYHAIERWQSQAAAADSELFCPDMHIKDKEDSNTSSKPLTWKNDGRANESSISQVTSNKEPRKGNLGGADRLKQAVVDFVASLLMPVYKARKIDREGYKSIMKKTATKVMEQATDREKQMAVSEFLDFKRKNKIRAFVDKLIERHMAMKPAVKS >Manes.18G016800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1743602:1755845:1 gene:Manes.18G016800.v8.1 transcript:Manes.18G016800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKKSGARGRSKAIEIGLDSDDDEPIGSLFKLKRHRNPKKVKAVPDKVEAREEKLVAEDDDSGGMDDTLASFRKRLKGPKKDIRSVSVRPLEDNADKGCSGDDLLGLVVNKGVTEPKNKEKMKKTKIDSKRERTGSDAEAYGTETFGSRVEDQKDEGYLPSEGSSHSLDEKLEDSLSTIFHKMQSGSIQKSYMNSCSKQKSKVQNVEGALNRSSECISEFSKPMAATIPRTASTSNLLCIDLKAEDGCIVVTHLSPSDFVSEQSKTMKQNRLDNGFCQSSNCIKGSSDENTESSCKRHARSVEINAPPSVFHCSGDQDNIMPDPGLSNVKAELMVDPCTLNRLHDENCSIYGQVVSLDTQSLKNGLKLCSIGKANTLVYDVVKVPTRAVSVQFEAIDRFSEHKSNKDLRDSWNRQSKDVSPVCISNGENHILFQTEISPPSVVDEISHKSCRSSSNRIHEPDYEGIFYGSLRIVSNSSPNHVGMDIADKTDTGLDFDQRPKASLHAKPHLIDSIISSSKIEETFSECDGPNGYGEKSYSDLVASKRANVATSDGKLPSITAVSGEVQKTACSFQMNDQANSECLAHPNQSSISIQKHSPILHLIIPSEDATKGNCIPNHDYLSINEETSGASSLSITPEENESYPEDAASILDSEVKDRKLSSVQRGARKAKMHRLGDMAYEGDPDWEILINDRRYQETDQFVDGDRSFRMREKSDSSSVSVTEAESGAAAAVLVGLKAHAAGPVEKIKFKEVLKHKGGLQEYLECRNQILGIWSKDVSCILPLDDCGVPDTPSEEESSRDSLIREIYAFLDQSGYINIGIASKKEKKAEPRVKHNYRFVEKNFEVNPGTSVADLEDGVSYILGQFKNSETSLEANNRCTNDNENPMSKAEKSWELVPQANVEVSNLTEFREHPVGDIQQNGTTNTKLANGFANLDDVSCDPFCAMLDSRIGITNPELRNDLQSVHSSSCHDTGRSHNFQGDSEDRKKIIVVGAGPAGLTAARHLQRQGFSVTLLEARNRIGGRVYTDHSSLSVPVDLGASIITGVEADVATERRPDPSSLICAQLGLKLTVLNSDCPLYDIVTREKVPTDLDEALEAEYNSLLDDMVLLIAQKGEHAMKMSLEDGLEYALRSRRMAQPGTITDETELQKAMDNLYVSKTCSFDGGVPEKSCSREDILSPLERRVMDWHFAHLEYGCAALLKEVSLPYWNQDDVYGGFGGAHCMIKEGYSNVVESLSKGLCIQLNHVVTDISYSTKEIRLNENHHHKVKVSASNGSEFFGDAVLITVPLGCLKAETINFNPPLPQCKLSSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDQRGHCFMFWNVRKTVGAPVLIALVVGKAAVDGQNMSSSDHVSHALMVLRKLFGEIGVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPVENCLFFAGEATCKEHPDTVGGAMMSGLRKAVRIIDILNTGNDFTAEVEAMEAAQRHSECERDEVRDITKRLEAVELSNVLYKNSLDGAQILTREALLRDMFFSAKTTAGRLHLAKKLLNLPVETLKSFAGTRKGLTTLNSWILDSMGKDGTQLLRHCARLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKATNGGLKLLRQATAVDSLKRKSVNNLASGKPPLRTHHGGLETGGSLEVPQSSGSHLPSNANMKKVNGKLVKVETLKESSSRASLGRQDADVQEESNYAMSEEELASLAAAEAAHAAACAAAEAYASTEAKCNTVLQLPKIPSFHKFARREQYAQMEDVDLRKRWAAGIQGRQDCISEIDSRNCRVRDWSVDFSATCVNLSSSRISVDNLSQRSHSNEIACHMNFRELSGESAAADSSLFTRAWVDTAGNEGIKDYHAIERWQSQAAAADSELFCPDMHIKDKEDSNTSSKPLTWKNDGRANESSISQVTSNKEPRKGNLGGADRLKQAVVDFVASLLMPVYKARKIDREGYKSIMKKTATKVMEQATDREKQMAVSEFLDFKRKNKIRAFVDKLIERHMAMKPAVKS >Manes.18G016800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1743715:1755751:1 gene:Manes.18G016800.v8.1 transcript:Manes.18G016800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKKSGARGRSKAIEIGLDSDDDEPIGSLFKLKRHRNPKKVKAVPDKVEAREEKLVAEDDDSGGMDDTLASFRKRLKGPKKDIRSVSVRPLEDNADKGCSGDDLLGLVVNKGVTEPKNKEKMKKTKIDSKRERTGSDAEAYGTETFGSRVEDQKDEGYLPSEGSSHSLDEKLEDSLSTIFHKMQSGSIQKSYMNSCSKQKSKVQNVEGALNRSSECISEFSKPMAATIPRTASTSNLLCIDLKAEDGCIVVTHLSPSDFVSEQSKTMKQNRLDNGFCQSSNCIKGSSDENTESSCKRHARSVEINAPPSVFHCSGDQDNIMPDPGLSNVKAELMVDPCTLNRLHDENCSIYGQVVSLDTQSLKNGLKLCSIGKANTLVYDVVKVPTRAVSVQFEAIDRFSEHKSNKDLRDSWNRQSKDVSPVCISNGENHILFQTEISPPSVVDEISHKSCRSSSNRIHEPDYEGIFYGSLRIVSNSSPNHVGMDIADKTDTGLDFDQRPKASLHAKPHLIDSIISSSKIEETFSECDGPNGYGEKSYSDLVASKRANVATSDGKLPSITAVSGEVQKTACSFQMNDQANSECLAHPNQSSISIQKHSPILHLIIPSEDATKGNCIPNHDYLSINEETSGASSLSITPEENESYPEDAASILDSEVKDRKLSSVQRGARKAKMHRLGDMAYEGDPDWEILINDRRYQETDQFVDGDRSFRMREKSDSSSVSVTEAESGAAAAVLVGLKAHAAGPVEKIKFKEVLKHKGGLQEYLECRNQILGIWSKDVSCILPLDDCGVPDTPSEEESSRDSLIREIYAFLDQSGYINIGIASKKEKKAEPRVKHNYRFVEKNFEVNPGTSVADLEDGVSYILGQFKNSETSLEANNRCTNDNENPMSKAEKSWELVPQANVEVSNLTEFREHPVGDIQQNGTTNTKLANGFANLDDVSCDPFCAMLDSRIGITNPELRNDLQSVHSSSCHDTGRSHNFQGDSEDRKKIIVVGAGPAGLTAARHLQRQGFSVTLLEARNRIGGRVYTDHSSLSVPVDLGASIITGVEADVATERRPDPSSLICAQLGLKLTVLNSDCPLYDIVTREKVPTDLDEALEAEYNSLLDDMVLLIAQKGEHAMKMSLEDGLEYALRSRRMAQPGTITDETELQKAMDNLYVSKTCSFDGGVPEKSCSREDILSPLERRVMDWHFAHLEYGCAALLKEVSLPYWNQDDVYGGFGGAHCMIKEGYSNVVESLSKGLCIQLNHVVTDISYSTKEIRLNENHHHKVKVSASNGSEFFGDAVLITVPLGCLKAETINFNPPLPQCKLSSIQRLGFGVLNKVVLEFPEVFWDDSVDYFGATAEETDQRGHCFMFWNVRKTVGAPVLIALVVGKAAVDGQNMSSSDHVSHALMVLRKLFGEIGVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPVENCLFFAGEATCKEHPDTVGGAMMSGLRKAVRIIDILNTGNDFTAEVEAMEAAQRHSECERDEVRDITKRLEAVELSNVLYKNSLDGAQILTREALLRDMFFSAKTTAGRLHLAKKLLNLPVETLKSFAGTRKGLTTLNSWILDSMGKDGTQLLRHCARLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKATNGGLKLLRQATAVDSLKRKSVNNLASGKPPLRTHHGGLETGGSLEVPQSSGSHLPSNANMKKVNGKLVKVETLKESSSRASLGRQDADVQEESNYAMSEEELASLAAAEAAHAAACAAAEAYASTEAKCNTVLQLPKIPSFHKFARREQYAQMEDVDLRKRWAAGIQGRQDCISEIDSRNCRVRDWSVDFSATCVNLSSSRISVDNLSQRSHSNEIACHMNFRELSGESAAADSSLFTRAWVDTAGNEGIKDYHAIERWQSQAAAADSELFCPDMHIKDKEDSNTSSKPLTWKNDGRANESSISQVTSNKEPRKGNLGGADRLKQAVVDFVASLLMPVYKARKIDREGYKSIMKKTATKVMEQATDREKQMAVSEFLDFKRKNKIRAFVDKLIERHMAMKPAVKS >Manes.09G073009.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17159193:17209323:1 gene:Manes.09G073009.v8.1 transcript:Manes.09G073009.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTESHSNASASSPSSLNSSLPDTEDDQTIASILAEEENSNVAGKLGKRLSHLDSIPLTPRINGEIPDVNEATLDHERLSERLTTYGLAELQMEGDGNCQFRALADQLFRNPDYHKHVRKQVVKQLKHFKKLYEGYVPMKYRSYLKKMKKPGEWGDHVTLQAAADRFEAKICLVTSFRDTCYIEITPKDKNPTREVWLSFWSEVHYNSLYASGDVPTRVSRRKHWLF >Manes.14G114100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:14214149:14215843:-1 gene:Manes.14G114100.v8.1 transcript:Manes.14G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDSPPPPSPIGFEGFEKRLEITFTEPPIFKDPSGKGLRALTRSQLNSILEPACCTIVAHLSNTEFDSYVLSESSLFIFPLKIVIKTCGTTKLLLSIEPILKLAGSLSLVVSDVKYSRGSFIFPNYQPAPHRSFSEEVTALNELFGCLNSEAYVLLGDPVALNRNWHIYSASSRKSLENQTDMITVEMCMTGLERKKAAVFFKKSADYSAKEMTRNSGISEIIPSHVICDFDFDPCGYSMNGIEGSGFSTVHVTPEDGFSYASYEAMGFDCSEVSLNSMVNRVLKCFGPNEFSVAVTCCGGGVKWWAMECSDVDGYWCRSMVEQELGGGGWWVVYRSYDKKENGHGRACPAKVWMQSWKEVGVEEE >Manes.01G225100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39099856:39101016:1 gene:Manes.01G225100.v8.1 transcript:Manes.01G225100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKANVQVLDTDNESNQQNITEPSANSKGFAKALAHRAVYGSSSRGAGSRKVRNNDVKTLPSRLNRVSLADEAEK >Manes.15G088400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6770260:6775305:1 gene:Manes.15G088400.v8.1 transcript:Manes.15G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLDIALVTFLKWVVFACFLCSSLGLKSSSQSCDPRDYLALKEFSGSLTNGSIVAAWSNEANCCQWDGVVCRNNGNGSAGNRVIKLILPRKDLEGIISQSLGRLDKLKVLDLSCNHLQGELPMEFSSLKQLEVVDLSHNMLSGRVFGMLSGLLSIQSLNMSSNLFKEDLSELGGFPNLVVFNMSNNSFTGRIPPQICSSSSGIRILDLSMNHLVGNLEGLDNCSTSLQQLHLDSNSLSGSLPDSLFSLSALQQFSISNNNFSGQLSNELSKLSSLKTLVIYGNRFSGYIPNVFGNLTQLEQLVAHSNLFSGPLPSTLASCSELCILNLRNNSLTGPIDLNFTGMPNLGALDLATNHLFGPLPNSLSDCQKLKVLSLAKNELTGPIPKSFAKLSSLLVLTLSNNSFMGLSGALSALQQCKNLTTLILSKNFIGEEIPRNVSGFENLMVLSLGNCALKGQIPAWLLSCRKLQVLDLSWNQLDGSIPLWIGLMENLFYLDFSNNSLSGEIPKSLTELKSLITLHCSISNASAGVPLFVKRNRSASGLQYNQPTSFPPSLYLSNNKINGTILPEIGKLKQLHVLDLSWNSITGTIPSSISEMENLETLDLSSNDLYGSIPPSFEKLTFLSKFSVANNHLKGQIPTGGQFSSFPSSSFEGNSGLCGGIVSPCNVISNMLQPGIPSGSNSKFGKGNIGNILGITITIGVGLALILAIVLLKMSKRDVGDPIEDLDEEVSWPHRLSEGLGSSKLVLFQNSECKDLTVADLLKSTNNFNQANIIGCGGFGLVYKANLPNGTKAAIKRLSGDCGQMEREFRAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHECVDGASFLKWDVRLKVAQGAASGLAYLHKVCEPHIVHRDVKSSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELVTRRRPVEVCKGKNCRDLVSWVFQMKSEKREAEIVDSSMWDKDIEKQLFEMLEIACRCLDQDPRRRPLIDEVVSWLDSIGIQGA >Manes.15G088400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6770260:6775305:1 gene:Manes.15G088400.v8.1 transcript:Manes.15G088400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSLKQLEVVDLSHNMLSGRVFGMLSGLLSIQSLNMSSNLFKEDLSELGGFPNLVVFNMSNNSFTGRIPPQICSSSSGIRILDLSMNHLVGNLEGLDNCSTSLQQLHLDSNSLSGSLPDSLFSLSALQQFSISNNNFSGQLSNELSKLSSLKTLVIYGNRFSGYIPNVFGNLTQLEQLVAHSNLFSGPLPSTLASCSELCILNLRNNSLTGPIDLNFTGMPNLGALDLATNHLFGPLPNSLSDCQKLKVLSLAKNELTGPIPKSFAKLSSLLVLTLSNNSFMGLSGALSALQQCKNLTTLILSKNFIGEEIPRNVSGFENLMVLSLGNCALKGQIPAWLLSCRKLQVLDLSWNQLDGSIPLWIGLMENLFYLDFSNNSLSGEIPKSLTELKSLITLHCSISNASAGVPLFVKRNRSASGLQYNQPTSFPPSLYLSNNKINGTILPEIGKLKQLHVLDLSWNSITGTIPSSISEMENLETLDLSSNDLYGSIPPSFEKLTFLSKFSVANNHLKGQIPTGGQFSSFPSSSFEGNSGLCGGIVSPCNVISNMLQPGIPSGSNSKFGKGNIGNILGITITIGVGLALILAIVLLKMSKRDVGDPIEDLDEEVSWPHRLSEGLGSSKLVLFQNSECKDLTVADLLKSTNNFNQANIIGCGGFGLVYKANLPNGTKAAIKRLSGDCGQMEREFRAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHECVDGASFLKWDVRLKVAQGAASGLAYLHKVCEPHIVHRDVKSSNILLDEKFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATCRGDVYSFGVVLLELVTRRRPVEVCKGKNCRDLVSWVFQMKSEKREAEIVDSSMWDKDIEKQLFEMLEIACRCLDQDPRRRPLIDEVVSWLDSIGIQGA >Manes.06G005700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1013284:1015289:1 gene:Manes.06G005700.v8.1 transcript:Manes.06G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKDLGQRLFLAWTGCYLLAQSLLSHYARGGLLVIFKYFLHFSWFLEFTCGGQPCCCLMEVLCACSIINRFPHVAGELFGLLIAMLFMQ >Manes.14G107900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9044461:9045647:1 gene:Manes.14G107900.v8.1 transcript:Manes.14G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNSLSLSQTIKHMESISSACYDSIKRYWRRRRYQRLGGGNNNRRKLKTIRLGGASTRRLWKIKTTPKLKWKLVSPYRLLINFHEAYAEMMIRVANGIGKLNNKGWLGGNKKVAKEKDSMVWCGEEVIDTRLVVGIYKRLEASGKLRGY >Manes.17G105900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31286957:31292690:1 gene:Manes.17G105900.v8.1 transcript:Manes.17G105900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAAASFNGNMKKALAGLRRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPIYAPYRDDGDMCIVLNAKDICVTGRKMTDKFYRWHTGYVGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFAGSEHPFGDRPLEPYVMPPRTVREMRPRARRAMVRAQKKAQQQLHAANDVRKSRKKEAEAEVTE >Manes.17G105900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31286957:31292690:1 gene:Manes.17G105900.v8.1 transcript:Manes.17G105900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAAASFNGNMKKALAGLRRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPIYAPYRDDGDMCIVLNAKDICVTGRKMTDKFYRWHTGYVGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFAGSEHPFGDRPLEPYVMPPRTVREMRPRARRAMVRAQKKAQQQLHAANDVRKSRKKEAEAEVTE >Manes.07G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3670128:3671788:-1 gene:Manes.07G033600.v8.1 transcript:Manes.07G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAIARDERPHAVCVPIPAQGHINPMLKLAKILHSNGFHITFVNTEYNHRRLLRSGGPSSLDGLPDFHFETITDGLPFSDADATQDVVSLAESIPNHCLFPFRHLLSRLNSSNTVPPVTCIISDGCMSFTLHAAQEFGIPNVLFWTPSSCGVLGYAHYRYLIERGLIPLKDESHLINGYLETTIDWIPGMKNIRLRDLPSFIRTTDRNDIMLNFLVREIERTSRASAVILNTFEAFEKHVLDVLSTMLPPIYTIGPLQLLVDQIPDSNLRNIGSNLWKEEPECVDWLDSKEPNSVVYVNFGSITVITPQQMVEFAWGLANSKKPFLWIIRPDLVVGEAAMVPPEFVSETKDRGMLASWCAQEQILKHPAMGGFLSHMGWNSTLESICGGVPMVCWPFFAEQQTNCWFACNEWGIGMEIDNNVRREEVEKLVRELMDGKKGKEMKRQAMEWKTKAEEATSPGGSSHRNLVELLGFLQRK >Manes.09G181500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36997349:36998068:-1 gene:Manes.09G181500.v8.1 transcript:Manes.09G181500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAYISNDSARKGTFKKRKKGLLKKVSELSTLCGVDACAIIYSSYDPQPEIWPSPSGAQRVLTKFKNMPEMEQSKKMVNQDSFLRQRISKANEQLKKQQRDNRKKEMTQVMFQALVGNSLNNLNMLDLNDLEWLINQNLNEVQNKIDSLKKEANSSASVAVAGPSGEVKDIAPQQEAEKQASEMNMEAMQKQPWITDWINQQQENMGFLGDDVNVPFWDNNNYNGLWSGPFFP >Manes.12G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32371083:32373541:-1 gene:Manes.12G119700.v8.1 transcript:Manes.12G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASARWFTEQDLDDYNLIHEYHIKSLADQLTTQNMATAPEENLRRSFPSESCSSYPPLSSSSIETCQTGSERPSKLHKTSSFNSSMITTEHPSPKPSTTQVLCFESSLISAPANSQQCFMNLNSATTFKPKEEAASPRNLRFQPLISKVAPFESQNYEMKASQGASNNKKPYSISRTPSHAQEHILAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAISYVKQLQERVKVLEEQTKTRTVESVVLVKKSQLSADDDSSSSEENSDGSSDSALPAIEARVSDKDVLIRIHCDKQRGVVPKILNDVENLNLSIINSSVLPFGDSTLDITIVAQMEAEFSKAAKDLVKNLRVAFLKLT >Manes.02G088200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6944546:6947207:1 gene:Manes.02G088200.v8.1 transcript:Manes.02G088200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAHPYAFHVSGPRNVASLNWRDLINSNWKNENYKRTVIACFVQAVYLLELDRQENRTQENALAPMWWLRFKYKLTQKLIDERDGSIFGAVLEWDRSAAFADMILIRPSGAPKAVLALRGTLLKSSTIRRDIEDDLRFLACESLKGSVRFKVALEALKSVAESHGRCNVCIAGHSLGAGFALQVGKSLAKEGIYVEAHLFNPPSISIAISLRNIGEKAEFAWKKFKVMLPSNSESQATDDLGDKTSVALEKWVPHLYVNDSDYISCCYTDPDGSKDKNANMENIGHTNITNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDMQLQMALHDSKLISRQLKSLYNLPASQPTQVPE >Manes.04G029850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3621957:3622459:1 gene:Manes.04G029850.v8.1 transcript:Manes.04G029850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKELYRLLKGKGVDNSGSDFFRFIGPMD >Manes.02G134600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10260406:10262789:1 gene:Manes.02G134600.v8.1 transcript:Manes.02G134600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNRRQEERTGKYGTPRLQYLQELVSQFQSATDEETKERIVANLANFAYDPYNYAFLRQQLNVLELFIDCITEPNEKLVEFGVGGLCNSCADPANGAIVAQCGGIPLVIQCLSSPVRNTVNYAIAALYYLCNSSNKEEILKPEVIDCIKRFAAAEAVSINFSNLAKAFLDKHVSDKE >Manes.02G134600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10260406:10262789:1 gene:Manes.02G134600.v8.1 transcript:Manes.02G134600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNRRQEERTGKYGTPRLQYLQELVSQFQSATDEETKERIVANLANFAYDPYNYAFLRQLNVLELFIDCITEPNEKLVEFGVGGLCNSCADPANGAIVAQCGGIPLVIQCLSSPVRNTVNYAIAALYYLCNSSNKEEILKPEVIDCIKRFAAAEAVSINFSNLAKAFLDKHVSDKE >Manes.02G134600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10260522:10262789:1 gene:Manes.02G134600.v8.1 transcript:Manes.02G134600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTWHLLCALVTFSYGISNHLFSFSLCSLQELVSQFQSATDEETKERIVANLANFAYDPYNYAFLRQLNVLELFIDCITEPNEKLVEFGVGGLCNSCADPANGAIVAQCGGIPLVIQCLSSPVRNTVNYAIAALYYLCNSSNKEEILKPEVIDCIKRFAAAEAVSINFSNLAKAFLDKHVSDKE >Manes.02G134600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10260406:10261723:1 gene:Manes.02G134600.v8.1 transcript:Manes.02G134600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNRRQEERTGKYGTPRLQYLQELVSQFQSATDEETKERIVANLANFAYDPYNYAFLRQLNVLELFIDCITEPNEKLVEFGVGGLCNSCADPANGAIVAQCGGIPLVIQCLSSPVRNTVCDWFHLLASKLPLKHGNLPWDLNRRIHI >Manes.12G064900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6423902:6426229:-1 gene:Manes.12G064900.v8.1 transcript:Manes.12G064900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVQNLAHLKALHLSKVDISSRVPDLLANFVALESLQLDQCELQGEFSMGIFKLPKLKMLDISGNSDLKVSLPDFQKGSPLKSLMLSEVKFVGEIPSSVGNLGNLEELDISRCNLTGQIPSSLGNLTKLTLLSLSYNDFRGQNSSLSWIGKLNKLTFLDLHGINLNDNLPSQLMNLTQLSYLDLGYCQLTGPIPSWLGNLTELSAIFLDHNQLIGRIPSSLIYRSNIEYLFLSSNQFSGPIPSVNNTLSQLSHLDISSNILQGSIPSSLSKLQNLVILELHSNSLTGEVDINMFLQLSSLVVLSLSFNNLMLLTKISSNANVQKFKFLGLASCNLSEFPGFLHNQDHLQVLDLSSNNIHGQIPSWVWNISTTSMYFMNLSHNFLTGFQQDPYPVVLQWTHLKVLDLRSNMLRGSLSIPPPSSNIWRKECNLEMINLSQNLIKGKVPRSIASCKKLELLDLSNNRFTDSFPSWVGNLPKLRILNLRSNGFHGVIKNQKTLNFSKLQIIDLSHNNLTGELPSKYFESWNAMKVADANHTGYIGKNQEFTVSAYYSTYDYSMIINIKGSQMEYLKIPGTLAVIDFSYNRFLGDIPEAIANLKALHLLNFSNNILRGHIPSSLANLKELECLDLSANKFSGEIPPQLTQLTFLSSFNVAYNQLEGPIPGGNQFNTFGEEAYAGNIGLCGAPLKKKCGDVEASAPPPSSLEKDDDGSGSPFEFDWKIVLLGYGSGLVVGGIVGHIFTRRKRGWILRTFRFGKKQPRRRQRQTRNRNRH >Manes.07G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5633911:5637590:-1 gene:Manes.07G048500.v8.1 transcript:Manes.07G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSNLELRPLGNTGLKVSSVGFGASPLGSVFGPVSEDEAIASVREAFRRGINFFDTSPYYGGTLSEKMLGKGLKALGVPRNEYIVSTKCGRYIEGFDFSAERVTKSIDESLERLQLDYVDILQCHDIEFGSLDQIVNETIPALQKLKEAGKIRFIGITGLPLEIFTYVLDRVPRGAVDVVLSYCHYSINDSTLEDLLPYLKSKGVAVISASPLAMGLLTENGPPEWHPASPELKSACRAAATYCKEKGKSITKLALQYSLSNKDISTVLVGMNSVAQVEENVGAAIELSTFGKDQQTLAKIEAILSPVKNQTWPSGTQKS >Manes.15G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3778682:3783329:-1 gene:Manes.15G048700.v8.1 transcript:Manes.15G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALPSKWVCVCATVLVVMFVGVAATVRNDEISGLTRNVETEKSQSSNNSSTAASAAEVTEGWKNERAVDDPEEVVAMVEMSIRNSTERRKLGYFSCGTGNPIDDCWRCDPNWQKNRKRLAECGIGFGRNAIGGRDGRFYVVTDPSDDDAVNPKPGTLRHAVIQDEPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGGCITIQFITNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWIDHNSLSHCADGLVDAVMGSTAITISNNHLTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYNAPVNPFAKEVTKRVETAASQWKSWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSTMVGSMTANAGALPCRRGSRC >Manes.15G048700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3778682:3781342:-1 gene:Manes.15G048700.v8.1 transcript:Manes.15G048700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINVNTNDMHKLSNCRSIRNSTERRKLGYFSCGTGNPIDDCWRCDPNWQKNRKRLAECGIGFGRNAIGGRDGRFYVVTDPSDDDAVNPKPGTLRHAVIQDEPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGGCITIQFITNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAISIFGSSHIWIDHNSLSHCADGLVDAVMGSTAITISNNHLTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYNAPVNPFAKEVTKRVETAASQWKSWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSTMVGSMTANAGALPCRRGSRC >Manes.01G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32052557:32056171:-1 gene:Manes.01G125100.v8.1 transcript:Manes.01G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNPKLFLLFSIIVAFLSFSASARPCKTLFISSYSFSIKPLYPNPNSHHPSSSGFVTIVTEITQQRSPSETLLHRRVFPAVLVDDEDSQHRAIQRRQEAPVLPFGLSSYDMSSLRDRTKDILSVVVALLFGVGCGALTAATMYLVWSLFSTRYDYRYEEFDSEDGDEDDDISPKKVGYCKIPEAGCMQKPVKEAGMWNQLSAHFYVGAFKK >Manes.02G022500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2000757:2008090:1 gene:Manes.02G022500.v8.1 transcript:Manes.02G022500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMAFASGSAPYYIHRGGGVGATGSGSQTGALHTQPGFRPLSSPNLAVQSNFRPGSSGPAFSAEPSNANFGHGIDVPVSSGVSMSEPMKKKRGRPRKYAPDGQVSLGLSPMPLKPKPSSGQDPLSPRRRKGRPPGSGRKQQLALLGDWMNNSAGLAFSPHVISVGAGEDVVAKLLSFAQQRARAVCILSGTGTVSSVTLRQPASTEPTVTFEGHFEILCLSGSYLIAEDGSPRDRTGGISASLSSPDGHVIGGGIGILIAAGPIQVVACSFVQGGSKSKDRHVGRPKLNKDSASQPDDKSATPKSAIPMNLQQNFTPSPMHGWPVSRSVDLRNPHTDIDLTQG >Manes.02G022500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2000757:2008090:1 gene:Manes.02G022500.v8.1 transcript:Manes.02G022500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMAFASGSAPYYIHRGGGVGATGSGSQTGALHTQPGFRPLSSPNLAVQSNFRPGSSGPAFSAEPSNANFGHGIDVPVSSGVSMSEPMKKKRGRPRKYAPDGQVSLGLSPMPLKPKPSSGQDPLSPRRRKGRPPGSGRKQQLALLGDWMNNSAGLAFSPHVISVGAGEDVVAKLLSFAQQRARAVCILSGTGTVSSVTLRQPASTEPTVTFEGHFEILCLSGSYLIAEDGSPRDRTGGISASLSSPDGHVIGGGIGILIAAGPIQVVACSFVQGGSKSKDRHVGRPKLNKDSASQPDDKSATPKSAIPMNLQQNFTPSPMHGWPVSRSVDLRNPHTDIDLTQG >Manes.05G020700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1858296:1863783:1 gene:Manes.05G020700.v8.1 transcript:Manes.05G020700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSEEEDQFFEAREDIRSVSDSSSDCPENLDSDCGVVDSLPISTNYEVWIKSLGSIHERRNKFLRWMGLNFDETTRDDPGNPSSQETEVQTDRMTEHGGAVLRSSNFDDRFSSSHSSMSCCSSDTLDLLDGVLEENFMYRIRNLDDGTEFIVDEDGLSGRIRQVGSNRFLTVAEFERSLGLSPLVQTVMRREAEALSKFRAARKRVKRGWLRRLGVVACVVDRQVETGGMGEDEPYSVASARAQIVRVRSYKKRVKEFSALYMRQDFPAHEGSILTMKFSPDGQYLASAGEDGIVRVWQVMELERSNEFGTLDIDPSHVYFEINNLSELVPLRADKEKKGKLKNLLTSDLACVIFPQKVFQISEKPIHEFYGHCGEILDLSWSKNKHLLSSSTDKTVRLWKLGCNQCVEVFSHNNYVTCIQFNPTDDDSFISGSIDGKVRIWAIPGCQVIDWTDVTEIVTAVCYQPDGKGIVVGSMTGNCRFYDASDNRLQLCTKVCLQGKKKSPFKRITGFQFSPSDPTRLMVTSADSKVRILSGVDVICKYRGIHNAGSQISASFTPDGTHIISASEDSNVYVWNYSSQDLPVPHSKNNWACERFFSNNASVAIPWSGITCGNSFSSNIFGTMLSFMNLGQCNDERILVHSELGESSELSLPFSSPDHFSRSQGFFSESLSKGSATWPEEKLPLSSPRISSKLCKSHYKFLKTSFQTMYGSHAWGSVIVTAGWDGRIRWFQNYGLPICI >Manes.05G020700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1858296:1863783:1 gene:Manes.05G020700.v8.1 transcript:Manes.05G020700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSEEEDQFFEAREDIRSVSDSSSDCPENLDSDCGVVDSLPISTNYEVWIKSLGSIHERRNKFLRWMGLNFDETTRDDPGNPSSQETEVQTDRMTEHGGAVLRSSNFDDRFSSSHSSMSCCSSDTLDLLDGVLEENFMYRIRNLDDGTEFIVDEDGLSGRIRQVGSNRFLTVAEFERSLGLSPLVQTVMRREAEALSKFRAARKRVKRGWLRRLGVVACVVDRQVETGGMGEDEPYSVASARAQIVRVRSYKKRVKEFSALYMRQDFPAHEGSILTMKFSPDGQYLASAGEDGIVRVWQVMELERSNEFGTLDIDPSHVYFEINNLSELVPLRADKEKKGKLKNLLTSDLACVIFPQKVFQISEKPIHEFYGHCGEILDLSWSKNKHLLSSSTDKTVRLWKLGCNQCVEVFSHNNYVTCIQFNPTDDDSFISGSIDGKVRIWAIPGCQVIDWTDVTEIVTAVCYQPDGKGIVVGSMTGNCRFYDASDNRLQLCTKVCLQGKKKSPFKRITGFQFSPSDPTRLMVTSADSKVRILSGVDVICKYRGIHNAGSQISASFTPDGTHIISASEDSNVYVWNYSSQDLPVPHSKNNWACERFFSNNASVAIPWSGITCGNSFSSNIFGTMLSFMNLGQCNDERILVHSELGESSELSLPFSSPDHFSRSQGFFSESLSKGSATWPEEKLPLSSPRISSKLCKSHYKFLKTSFQTMYGSHAWGSVIVTAGWDGRIRWFQNYGLPICI >Manes.05G020700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1858296:1863806:1 gene:Manes.05G020700.v8.1 transcript:Manes.05G020700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSEEEDQFFEAREDIRSVSDSSSDCPENLDSDCGVVDSLPISTNYEVWIKSLGSIHERRNKFLRWMGLNFDETTRDDPGNPSSQETEVQTDRMTEHGGAVLRSSNFDDRFSSSHSSMSCCSSDTLDLLDGVLEENFMYRIRNLDDGTEFIVDEDGLSGRIRQVGSNRFLTVAEFERSLGLSPLVQTVMRREAEALSKFRAARKRVKRGWLRRLGVVACVVDRQVETGGMGEDEPYSVASARAQIVRVRSYKKRVKEFSALYMRQDFPAHEGSILTMKFSPDGQYLASAGEDGIVRVWQVMELERSNEFGTLDIDPSHVYFEINNLSELVPLRADKEKKGKLKNLLTSDLACVIFPQKVFQISEKPIHEFYGHCGEILDLSWSKNKHLLSSSTDKTVRLWKLGCNQCVEVFSHNNYVTCIQFNPTDDDSFISGSIDGKVRIWAIPGCQVIDWTDVTEIVTAVCYQPDGKGIVVGSMTGNCRFYDASDNRLQLCTKVCLQGKKKSPFKRITGFQFSPSDPTRLMVTSADSKVRILSGVDVICKYRGIHNAGSQISASFTPDGTHIISASEDSNVYVWNYSSQDLPVPHSKNNWACERFFSNNASVAIPWSGITCGNSFSSNIFGTMLSFMNLGQCNDERILVHSELGESSELSLPFSSPDHFSRSQGFFSESLSKGSATWPEEKLPLSSPRISSKLCKSHYKFLKTSFQTMYGSHAWGSVIVTAGWDGRIRWFQNYGLPICI >Manes.17G004600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2328923:2336248:1 gene:Manes.17G004600.v8.1 transcript:Manes.17G004600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNEENTVNSDAKSGKMFFEPILEDGVFRFDCSSIDRAAAYPSLSFINTKLRDTLFNVPSYIPTFECNSGNQIVKLELPKGTSFYGTGEVSGPLERTGKKIETWNTDSYAYGPETMPLYQSHPWVLAILPNGEAIGVLADTTKRCEQIDLTTVSIIQFTASASYPVITFGPFASPTAVLQSLSHAIGTVFMPPKWALGYQQCRWSYDSAQKVMEIAKTFREKGIPCDVIWMDIDYMDGYRCFTFHQGRFPNPQSLANDLHNLGFKATWMLDPGIKCDEGYFVYDSGSESDVWVQSADGTPFTGEVWPGLCVFPDFTQSKARSWWAGLVKDFISNGVDGIWNDMNEPAVQNVQSKTMPESNIHRGDDELGGHQEHSHYHNVYGMLMARSTFEGMKLANENKRTFVLTRAGFIGSQRYAATWTGDNVSNWLHLHMSISMVLQLGISGQPFSGPDIGGFAEDATPRLFARWMGIGAMFPFSRGHSSKETKDHEPWSFGKECEEVCRLALKRRYRLIPHLYTLFYTAHTMGTPVATPTFFADTKDTSLRTLENAFLLGPLLVYASTIPDQGTDKLQYHLPKGIWLRFDFDDSHPDLPTLYLQGGFIIPLGPPHQHVDEAKFSDDLTLIVALDENGKAKGTLFEDAGDGYEFTKGGYLLTHYVAERHSIVIKVCERELQFSIVTVRVSETKGYWKRPKRRLHVQLLLGGGAKIDSWGIDGEDVIIAVPSDFEISELVSVSEKLYKTRLESYKQIPDVKEVQGPDGA >Manes.17G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2328923:2336248:1 gene:Manes.17G004600.v8.1 transcript:Manes.17G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNEENTVNSDAKSGKMFFEPILEDGVFRFDCSSIDRAAAYPSLSFINTKLRDTLFNVPSYIPTFECNSGNQIVKLELPKGTSFYGTGEVSGPLERTGKKIETWNTDSYAYGPETMPLYQSHPWVLAILPNGEAIGVLADTTKRCEIDLTTVSIIQFTASASYPVITFGPFASPTAVLQSLSHAIGTVFMPPKWALGYQQCRWSYDSAQKVMEIAKTFREKGIPCDVIWMDIDYMDGYRCFTFHQGRFPNPQSLANDLHNLGFKATWMLDPGIKCDEGYFVYDSGSESDVWVQSADGTPFTGEVWPGLCVFPDFTQSKARSWWAGLVKDFISNGVDGIWNDMNEPAVQNVQSKTMPESNIHRGDDELGGHQEHSHYHNVYGMLMARSTFEGMKLANENKRTFVLTRAGFIGSQRYAATWTGDNVSNWLHLHMSISMVLQLGISGQPFSGPDIGGFAEDATPRLFARWMGIGAMFPFSRGHSSKETKDHEPWSFGKECEEVCRLALKRRYRLIPHLYTLFYTAHTMGTPVATPTFFADTKDTSLRTLENAFLLGPLLVYASTIPDQGTDKLQYHLPKGIWLRFDFDDSHPDLPTLYLQGGFIIPLGPPHQHVDEAKFSDDLTLIVALDENGKAKGTLFEDAGDGYEFTKGGYLLTHYVAERHSIVIKVCERELQFSIVTVRVSETKGYWKRPKRRLHVQLLLGGGAKIDSWGIDGEDVIIAVPSDFEISELVSVSEKLYKTRLESYKQIPDVKEVQGPDGA >Manes.15G114500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9096989:9100712:1 gene:Manes.15G114500.v8.1 transcript:Manes.15G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT3 MREVRGRSFVEEFSPGYYGICSLGGMLSAGATHLAITPLDVLKVNMQVNPIKYNSILTGFSTLWKEQGPSSLWRGWSGKLFGYGVQGGFKFGLYEYFKRLYSHALVDQNRTFIFFLSSASAQVFADLALCPFEAIKVQVQTQPKYAKGLMDGFPKLYKAEGFAGFYRGILPLWGRNLPFSMVMFSTFEHSVDLIYRDIIQRKKEDCSRVQQLGVTCLAGYVAGAVGTVISNPADNIVSSLYNKKAENMLQAMKNIGLVNLFTRSLSIRITLVGPVVTLQWFFYDTIKLLNGLPTSGGLSMHQEEAYSSA >Manes.01G172500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35410498:35414770:1 gene:Manes.01G172500.v8.1 transcript:Manes.01G172500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRELAMNGVEVKVHSQRGEYAVQPVASSSKLSPKRSTPSSALPYNNPQHQQYVLSDDSRPFRRWMSWSVPLFVIANILLFFISMYVNDCPKNSESCTGKFLGRFSFQPVKENPLLGPSSSTLEKMGALEVDKVVHRHQAWRLISCIWLHAGVFHLLANMLSLVFIGIRLEQEFGFIRIGLVYVISGFGGSLLSALFIQLGISVGASGALFGLLGGMLSELITNWTIYANKLAALMTLVLIIVINLAVGILPHVDNFAHIGGFLSGLLLGFVLLIRPHFGWVKRKACPPGYIAPPAKSRHRTYQYVLWVVSLILLIFGFTSGMVALLRGVDLNNHCSWCHYLSCVPTSLWSCNSQKAYCQSSQIGNQFNLTCLSNGKSHTYQLSDISSSHVQQLCSQLCS >Manes.18G084800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7855358:7859214:1 gene:Manes.18G084800.v8.1 transcript:Manes.18G084800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLQSMARLLQSLNTHRSIHIGKQIHLLFLKRGLLNSTLSLANRLLLMYSRCGCMSDAHNLFYEMPYRNCFSWNTMIEGYLKCGNREKSLELFDVMPQKDDYSWNVVISGFVKACEFDIARRLFNEMPRRNGVAWNSLIHGYARNGCPRDAIRLFKELNLRPLEKSYGDSFVLATVIGACTDLEAIECGKQIHARILIDDMEFDSVLASSLINFYGKCGELDSANYVLNMMKEVDDFSLSALITGYANYGRMNDARRIFDRKSNPCIVVCNSLISGYVNNNEKMKAHALFTEMQKNGIQVDSSTIAIILSSCHSSGNSQHGKQIHAYACKVGLVDDIIVACAFIDAYSKCGSPNAACKLFSELKAHDTVLLNSMITVYSNCSRIEDAKQIFKTMPSKTLISWNSMVVGLVQNGCPIEALDLFRKMNKLDLRIDKFSLASVISACAGISSLEMGEQVFARAIIIGLDSDQVVSTSLVDYYCKCGLVENGRKLFDMMIKSDEVSWNSMLMGYATNGYGLEALTLFNEMKHAGVRLTDITFTGVLSACNHCGLVEEGHKWFNIMKYDYHIDPGIEHYSCMIDLFARAGYLQEANNLIENMPFVADASMWSSVLRGCVAHGDKDLGEKVARRIIELDPESSNAYVQLSGIFATSGDWESSALVRKVMKEKKVKKHPGFSWAD >Manes.18G084800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7855412:7859079:1 gene:Manes.18G084800.v8.1 transcript:Manes.18G084800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLQSMARLLQSLNTHRSIHIGKQIHLLFLKRGLLNSTLSLANRLLLMYSRCGCMSDAHNLFYEMPYRNCFSWNTMIEGYLKCGNREKSLELFDVMPQKDDYSWNVVISGFVKACEFDIARRLFNEMPRRNGVAWNSLIHGYARNGCPRDAIRLFKELNLRPLEKSYGDSFVLATVIGACTDLEAIECGKQIHARILIDDMEFDSVLASSLINFYGKCGELDSANYVLNMMKEVDDFSLSALITGYANYGRMNDARRIFDRKSNPCIVVCNSLISGYVNNNEKMKAHALFTEMQKNGIQVDSSTIAIILSSCHSSGNSQHGKQIHAYACKVGLVDDIIVACAFIDAYSKCGSPNAACKLFSELKAHDTVLLNSMITVYSNCSRIEDAKQIFKTMPSKTLISWNSMVVGLVQNGCPIEALDLFRKMNKLDLRIDKFSLASVISACAGISSLEMGEQVFARAIIIGLDSDQVVSTSLVDYYCKCGLVENGRKLFDMMIKSDEVSWNSMLMGYATNGYGLEALTLFNEMKHAGVRLTDITFTGVLSACNHCGLVEEGHKWFNIMKYDYHIDPGIEHYSCMIDLFARAGYLQEANNLIENMPFVADASMWSSVLRGCVAHGDKDLGEKVARRIIELDPESSNAYVQLSGIFATSGDWESSALVRKVMKEKKVKKHPGFSWAD >Manes.04G076886.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29106929:29108164:-1 gene:Manes.04G076886.v8.1 transcript:Manes.04G076886.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPCPHDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPSESFSLEIVEFGFDSTTCDCKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSWELPRYKFENDISDYSYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSRDFWRTILCLNEHVALSLSTNHHVELWVLLEYGVEESWTKLFTVAYPECLEMWLPLGFSRKGELFFSSWSQHLIVWNPPEETISPVPLEGAVHTSNCLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.11G141400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30689545:30693231:1 gene:Manes.11G141400.v8.1 transcript:Manes.11G141400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGRGSNFYLKMLASEIGNTINKAASNRAKGWYTPFMAAASRAIAERIPLVDFVLEVRDARIPLSSECELLTNHLASSRRIIVMNKMDLANRSQLKDWIKYFEHRNCISYGLNSHNKENVKKFLNFLQAQIKGLKNIDHSCSTITMMMVGIPNVGKSALANSLHQVGRISAAEKGKLKHAKVSPHPGETKDIISMKIASHPNIYVMDTPSILPPQILDTEVCCKLALTGAISDCLISEKELAQYFLAILNFSDEYKKWEKLSTLENDRSCIDHKEECSSSRQPDMKRERQNFTDHTQV >Manes.11G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30689545:30693231:1 gene:Manes.11G141400.v8.1 transcript:Manes.11G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNGRGSNFYLKMLASEIGNTINKAASNRAKGWYTPFMAAASRAIAERIPLVDFVLEVRDARIPLSSECELLTNHLASSRRIIVMNKMDLANRSQLKDWIKYFEHRNCISYGLNSHNKENVKKFLNFLQAQIKGLKNIDHSCSTITMMMVGIPNVGKSALANSLHQVGRISAAEKGKLKHAKVSPHPGETKDIISMKIASHPNIYVMDTPSILPPQILDTEVCCKLALTGAISDCLISEKELAQYFLAILNFSDEYKKWEKLSTLENDRSCIDHKEECSSSRQPDMKRERQNFTDHTQDFIVHNVRRMLFEKISYFDGDVQNEVDLQKLIELQLTALREAFHLPLELGDDARSKVATKLLNLYRTGRLGHYTLDPLAMELTDTEITQKERAG >Manes.11G141400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30689545:30693231:1 gene:Manes.11G141400.v8.1 transcript:Manes.11G141400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMDLANRSQLKDWIKYFEHRNCISYGLNSHNKENVKKFLNFLQAQIKGLKNIDHSCSTITMMMVGIPNVGKSALANSLHQVGRISAAEKGKLKHAKVSPHPGETKDIISMKIASHPNIYVMDTPSILPPQILDTEVCCKLALTGAISDCLISEKELAQYFLAILNFSDEYKKWEKLSTLENDRSCIDHKEECSSSRQPDMKRERQNFTDHTQDFIVHNVRRMLFEKISYFDGDVQNEVDLQKLIELQLTALREAFHLPLELGDDARSKVATKLLNLYRTGRLGHYTLDPLAMELTDTEITQKERAG >Manes.12G004500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:603083:608625:1 gene:Manes.12G004500.v8.1 transcript:Manes.12G004500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMPWRRSSGFHLERKRAIATIQSPFLFLFTNSFHFSTSTLQDARSFANNFKSASFTHLDDALASFNHVIHMHPLPSRAQFNRFLSALVKMKQHHTVISLSKTIELLGISHDIYSRNILINCFCHLHLPDFGFSLLGKMIKVGLEPTIVTLTTLINGLCIDGKIDKAVEFFDDMVAGGYQPDVYTYSVIVKGLCKFGKTNVAIGLLEGMVDRGCEPNVVTYNAIIDALCKDELVDEALELFSQMRNKGISPNIITYTSLIHIFCKLGKKNQSLALMNEMVEQNVSPDVYTFSLLINALCKDGMVSEAQNTFKIMMQRGVAPDVVAYNSLIDGLCISDQLKEALALLKEMVGRNISPNIFTFNILIDTLCKKGLVSNAQSIIKIMAQRGVDPSFITCNSMMDGYCLCNQIDKARKLFDWMVTNGIANIVSYNILINGYCKCKRIDEAKKLFGEMSHKGLVPNVVTYSTLIKGLFQAGRPRTAQELFQNMCSHNQQPNIVTFSIMIDGLCKKGNLSEALALLKEMEKSQLKPDLVIYNILINDMCKVGKIDDARKLFSNLFENGLQPDVCTYTTIIKGLSQAGLLDEAYEVFRDMEKGGCLPNKCCYNVIIQGFLRHDDLPKASELINEMVDKGFSADDTTTELVVHLSRNDDLILSKLRDRSKASKSVNVK >Manes.12G004500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:603098:608625:1 gene:Manes.12G004500.v8.1 transcript:Manes.12G004500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMPWRRSSGFHLERKRAIATIQSPFLFLFTNSFHFSTSTLQDARSFANNFKSASFTHLDDALASFNHVIHMHPLPSRAQFNRFLSALVKMKQHHTVISLSKTIELLGISHDIYSRNILINCFCHLHLPDFGFSLLGKMIKVGLEPTIVTLTTLINGLCIDGKIDKAVEFFDDMVAGGYQPDVYTYSVIVKGLCKFGKTNVAIGLLEGMVDRGCEPNVVTYNAIIDALCKDELVDEALELFSQMRNKGISPNIITYTSLIHIFCKLGKKNQSLALMNEMVEQNVSPDVYTFSLLINALCKDGMVSEAQNTFKIMMQRGVAPDVVAYNSLIDGLCISDQLKEALALLKEMVGRNISPNIFTFNILIDTLCKKGLVSNAQSIIKIMAQRGVDPSFITCNSMMDGYCLCNQIDKARKLFDWMVTNGIANIVSYNILINGYCKCKRIDEAKKLFGEMSHKGLVPNVVTYSTLIKGLFQAGRPRTAQELFQNMCSHNQQPNIVTFSIMIDGLCKKGNLSEALALLKEMEKSQLKPDLVIYNILINDMCKVGKIDDARKLFSNLFENGLQPDVCTYTTIIKGLSQAGLLDEAYEVFRDMEKGGCLPNKCCYNVIIQGFLRHDDLPKASELINEMVDKGFSADDTTTELVVHLSRNDDLILSKLRDRSKASKSVNVK >Manes.18G057800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4766417:4766770:-1 gene:Manes.18G057800.v8.1 transcript:Manes.18G057800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSSSPRKREDEGLLSCWGRLKLKLPWTKRRISSSSNSNSTSTSQRSRGGWSIASIFTRANRQPRPAGGFGYDPLSYAQNFDEGCWDDDNEDGIYRGFSSRFVPPPSRSIHEDKK >Manes.01G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32150856:32160616:1 gene:Manes.01G126900.v8.1 transcript:Manes.01G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTREDNNMESGKGATKRQRMVEHNSPSLVMDNPLVPYNDVDDEDDDERREYSNLSGAGKHGQGIVGNVFQDPGEEDDDDDDDNGDGQGSNQENRRSQIEPREDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYFQGRGKKSHAYTHSLEAGHHVYINLQTEKVYCLPDGYEIIDPSLDDIRHVLNPRFTRDQVEQLDKNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCRSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGQQSDPVEFMAWLLNTLHADLRTSKKNNSIIYECFQGELEVVKEIPSKAIADKKENNDDQNGIQQSADGGNERDGVVTETSRMSFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGEMVTEVVRPHVARMKYRVTRLPQYLILHMQRFKKNNFFIEKNPTLVNFPVKNLELKDYIPLPMPEENERLRSKYDLIANIVHDGKPKEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYVQIYEQQQ >Manes.04G050000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:8245852:8255934:-1 gene:Manes.04G050000.v8.1 transcript:Manes.04G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLKKLEYLSLLSHVSSELQTHLGFADKVLAEFVIELGRTCDSFPEFDSKLKENGAELPDYLVQNLIKIIHAFLPLPKLDKKFREKGFIGGGMGEPERRVYRGRVSRVMDRGCFVVLDEFNGKEGLIHESDFDGSNKDLRIGEEVYVKVVSESTGKKRLRLSMKDADQDTGKDLDLLKKAKGNNADFISWLTERPMTGTGVSGIKLSSEEKDRADAFLSQRTVKRMRMSSPDKYELNQLIASGVSSGREFPRCDDQEDDSEAEEGIEIELNEDEPAFLRGRTRHSNGVDTSPVKIFKNLEGSMSRAAVLQSALIKEQREVREENMRRMLDWIPKDLNRAWEDPMPETGDRHFAQELRSVGSSAYNIPEWKKDTFGKSFTFDQRTKLSIQEQRQCLPIYRLKEELVKAVIDNQVLIVIGETGSGKTTQITQYLAEAGYTASGNIICTQPRRVAAISVAKRVAEEFGCRLGEEIGYAIRFEDCTGPTTVIKYLTDGLFLREFLIDNNLSRYSVIMLDEAHERTIYTDVLLGLLKQLLQRRSDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRSFPVEILYTKQPESDYLDTALTTVLQIHLTEPEGDILLFLTGQEEIDCACESLDTRMKGLGKNVPELIILPVYSALPGEVQSRIFEPAPTGKRKVVVATNIAEASLTIDGIFYVIDPGFMKQNIYNSKLGVESLIITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHTEMTPTTTPEIQRVNLAETMLTLIAMGIRDPFSFDFMDRPSSPALISAMEQLYSLGCLDEEGLLTKPGRLMSEFPLDPPLSKMLLASVDLGCSDEILTIIAMVQTGNIFYRPREKQAQADQKRANLFYPEGDHLTLLGVYDAWKAKGFSGPWCSENFVQFRSLRRAQDVRKQLLTIMDKYKLDVVSTGKDFKKIRKAITAGFFFHTARKDPQGGYRSLVNNQIVYIHPSSALFHRQPDWVIYHEAVMTTKEYMREITVIDPKWLVELAPRFFKASDPMKMRKRKCLEHIEPLYDRHETNSWHFSRRRA >Manes.03G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24132125:24132989:1 gene:Manes.03G115300.v8.1 transcript:Manes.03G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEVKTQAKIGVGLDLLWKALVKDLKFTIPDMIPNLVKDADVIEGDGGLGTVYLFNFGPEIKTMTYQKEKISELDESLHRIALEVIEGGHLNLGFSYYNTIFQLTATGEVETLIDVTVAYESDTEDDALPSKTTSSTLAFIKNLENYLLKAAA >Manes.03G055700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5433574:5436703:1 gene:Manes.03G055700.v8.1 transcript:Manes.03G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSLSKQETREGKLKEEPHLSGAYIRCLVKQLTSSRTKDPMNPKDRGCVGDTGFSCQNMTKSGEETQQPQQHKKQVRRRLHTTKPYQQRLLNMAEARREIVAALKFHRASMKQAKEQQQQQDHRQQPSPPVQLSAQPCLEQEGKIKSRRNPRIYPSNTANFSNYLDTLSCTSNFSHAPPPSYPFCWSTSPNLPSTFIDEHLNFPLPNQTLGLNLNFQDFNDLDTTLYQSSNNPSLVYSSSSPSSFSSPSPSLSIITQEIPSVGKSQEGLPPAVSDSTETYGGGGLHQVMDDEEMAEIRSIGEQHQMEWNDTMNWVTSAWWFKFLKTMEPNQEVKTEDDNYHPFDQVIEFPAWLDSNDECLQQQFDDYCIQDY >Manes.01G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30756794:30760369:-1 gene:Manes.01G108700.v8.1 transcript:Manes.01G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTESSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSIIKEYRGKIEAELSKICDGILSLLESHLIPSASSAESKVFYLKMKGDYHRYLAEFKTAAERKEAAESTLLAYKSAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKDASKRESGEGQPQQ >Manes.07G091301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28397023:28401199:1 gene:Manes.07G091301.v8.1 transcript:Manes.07G091301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVLGRRWFSQAIHRTLSISCPPLYQIPCNFDFLCTYTSNCSPEKKILEPGEEQEAPKSLSLRIEKLARGESVGLAFQSWMREGFPIHRGDIFHAINRLRKLKLNKRALEVMEWVIRERPYRPKELDYSYLLEFTTKLHGISHGEKLFTRIPPEFQNELLYNNLVIACLEKGVIRLSLDYMKKMRELGHPISHLVFNRLIILHSSHGRRKMIPKFLTLMKAYKTAPHVSTYNILMKIEANEHNIEGLVKVFDEMKISKVEPNEISFCILATAHAVARLYTVAEAYVEDVEKSRTGDNWSTLDVLIILYGYLGKGKELERTWAMVQELSHVRSKSYMLAIEAFGRIGQLSRAEELWLEMKSIKGSKSTEPFNSMLSVYCKYGLIKKATAVFREMEINGCKPNAITFRHLALGCLKAELVEEALKTLEMGMHLKTSNKVKNSTPWLETTLSIIEIFAEKGDIANAEKFFEEIAKAKYTRYTFVYNTLIKAYVKAKVYVPSLLRRMILGGAKPDAETYSLIKLTEQFQI >Manes.07G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3642487:3644366:1 gene:Manes.07G033100.v8.1 transcript:Manes.07G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKRHAVCVPFPAQGHINPMLKLAKLLHFRGFHITFVHTEFNYQRILSSGGPDTLKGLHDFRFETISDGLPEGSLRGIEDLQNLCITLPDQGRRSFRDLIVKLNGSSDLPDVSCIVSDGVMSFTLEVAGEFGIPEMIFFTPSAVGMLGYLHFEELVTRGYVPLKDSSCLTNGYLETVIDWIPAMEGIRLKNIPTFIRITDLNDVFFNHNLTSLNNSLKAKGLILNTFDELDKQVLDEINATFPVLYTIGPLSMLQQNLPSPNVESIESNLWKEDTECLSWLDKKEPKSVVYVNFGSIITVSQDQLEEFAWGLANSKYPFLWVIRPDLIAGGEKIMAKNFMDEIKGRGLLVGWCPQERVLSHASVGGFLTHCGWNSTLESICHGVALICWPFFADQQTNCLFSCEKWGIGMEIDGDVKREKVEEVVRELMEGKKGREMRVKAMDLKMKAQVATDFGGSSYCNFEKLVNDLMI >Manes.13G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5232447:5235675:-1 gene:Manes.13G044200.v8.1 transcript:Manes.13G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM5 MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >Manes.15G107550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8597615:8598147:1 gene:Manes.15G107550.v8.1 transcript:Manes.15G107550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESVHVIIEGPDKDKEKEKVRFSFAGKVIRFFKNNLLVVKILLNRYEIRRVLMDTVSSVNILILNIFNKLDLDKSNLVRVSYPLVGLGDKIVAVMGTISLPLVLGDEKYRRELYAEFMMIDIPLTYNMILGCSILNYHGIVINMGAMYIKLPTPEE >Manes.14G083200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6913037:6916212:-1 gene:Manes.14G083200.v8.1 transcript:Manes.14G083200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSRSNYYEVAELTWENGQLAMHGLGGLLHSDQGTKATTTWGRTSETLESIVHQATRHSSPQKINSKQQGQAKIASAVESSDGKWAETSSGHQAQMAPLLLKKRARSESNQCGSSRDHEQVDRSACASASPTFCRESDTTMMTYASFESTPSFKAKTTDNEDSASHGGSENPDEDRETKTERVRSHSSRRSRAAAIHNQSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSVRNMPQMMMSPLGMHQHFHQMSLLARMGMGVTHLGMGMGMLHDINTIGHATATPPHSLPPLLHPPPPSTTPAASFVPPPHPHPFVVLPAANPDTANSSSVPLPDPYCGFLAQSMNMDLYNKMAALYQQQMMNQQMTQAAPQPNHVQGD >Manes.14G083200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6911306:6916172:-1 gene:Manes.14G083200.v8.1 transcript:Manes.14G083200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQCTVPKWNLKHQRQAQVEGAESNRSTHVHTHQLQNPTTHLGVPISLFHQQMGFSSRSNYYEVAELTWENGQLAMHGLGGLLHSDQGTKATTTWGRTSETLESIVHQATRHSSPQKINSKQQGQAKIASAVESSDGKWAETSSGHQAQMAPLLLKKRARSESNQCGSSRDHEQVDRSACASASPTFCRESDTTMMTYASFESTPSFKAKTTDNEDSASHGGSENPDEDRETKTERVRSHSSRRSRAAAIHNQSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSVRNMPQMMMSPLGMHQHFHQMSLLARMGMGVTHLGMGMGMLHDINTIGHATATPPHSLPPLLHPPPPSTTPAASFVPPPHPHPFVVLPAANPDTANSSSVPLPDPYCGFLAQSMNMDLYNKMAALYQQQMMNQQMTQAAPQPNHVQGSCLPWQEPLEAAR >Manes.14G083200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6913037:6916212:-1 gene:Manes.14G083200.v8.1 transcript:Manes.14G083200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQCTVPKWNLKHQRQAQVEGAESNRSTHVHTHQLQNPTTHLGVPMSNYYEVAELTWENGQLAMHGLGGLLHSDQGTKATTTWGRTSETLESIVHQATRHSSPQKINSKQQGQAKIASAVESSDGKWAETSSGHQAQMAPLLLKKRARSESNQCGSSRDHEQVDRSACASASPTFCRESDTTMMTYASFESTPSFKAKTTDNEDSASHGGSENPDEDRETKTERVRSHSSRRSRAAAIHNQSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSVRNMPQMMMSPLGMHQHFHQMSLLARMGMGVTHLGMGMGMLHDINTIGHATATPPHSLPPLLHPPPPSTTPAASFVPPPHPHPFVVLPAANPDTANSSSVPLPDPYCGFLAQSMNMDLYNKMAALYQQQMMNQQMTQAAPQPNHVQGD >Manes.14G083200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6911306:6916172:-1 gene:Manes.14G083200.v8.1 transcript:Manes.14G083200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSRSNYYEVAELTWENGQLAMHGLGGLLHSDQGTKATTTWGRTSETLESIVHQATRHSSPQKINSKQQGQAKIASAVESSDGKWAETSSGHQAQMAPLLLKKRARSESNQCGSSRDHEQVDRSACASASPTFCRESDTTMMTYASFESTPSFKAKTTDNEDSASHGGSENPDEDRETKTERVRSHSSRRSRAAAIHNQSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSVRNMPQMMMSPLGMHQHFHQMSLLARMGMGVTHLGMGMGMLHDINTIGHATATPPHSLPPLLHPPPPSTTPAASFVPPPHPHPFVVLPAANPDTANSSSVPLPDPYCGFLAQSMNMDLYNKMAALYQQQMMNQQMTQAAPQPNHVQGSCLPWQEPLEAAR >Manes.14G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6911306:6916172:-1 gene:Manes.14G083200.v8.1 transcript:Manes.14G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQCTVPKWNLKHQRQAQVEGAESNRSTHVHTHQLQNPTTHLGVPMSNYYEVAELTWENGQLAMHGLGGLLHSDQGTKATTTWGRTSETLESIVHQATRHSSPQKINSKQQGQAKIASAVESSDGKWAETSSGHQAQMAPLLLKKRARSESNQCGSSRDHEQVDRSACASASPTFCRESDTTMMTYASFESTPSFKAKTTDNEDSASHGGSENPDEDRETKTERVRSHSSRRSRAAAIHNQSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSVRNMPQMMMSPLGMHQHFHQMSLLARMGMGVTHLGMGMGMLHDINTIGHATATPPHSLPPLLHPPPPSTTPAASFVPPPHPHPFVVLPAANPDTANSSSVPLPDPYCGFLAQSMNMDLYNKMAALYQQQMMNQQMTQAAPQPNHVQGSCLPWQEPLEAAR >Manes.14G083200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6913037:6916172:-1 gene:Manes.14G083200.v8.1 transcript:Manes.14G083200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQCTVPKWNLKHQRQAQVEGAESNRSTHVHTHQLQNPTTHLGVPISLFHQQMGFSSRSNYYEVAELTWENGQLAMHGLGGLLHSDQGTKATTTWGRTSETLESIVHQATRHSSPQKINSKQQGQAKIASAVESSDGKWAETSSGHQAQMAPLLLKKRARSESNQCGSSRDHEQVDRSACASASPTFCRESDTTMMTYASFESTPSFKAKTTDNEDSASHGGSENPDEDRETKTERVRSHSSRRSRAAAIHNQSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSVRNMPQMMMSPLGMHQHFHQMSLLARMGMGVTHLGMGMGMLHDINTIGHATATPPHSLPPLLHPPPPSTTPAASFVPPPHPHPFVVLPAANPDTANSSSVPLPDPYCGFLAQSMNMDLYNKMAALYQQQMMNQQMTQAAPQPNHVQGD >Manes.14G083200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6911306:6916172:-1 gene:Manes.14G083200.v8.1 transcript:Manes.14G083200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSRSNYYEVAELTWENGQLAMHGLGGLLHSDQGTKATTTWGRTSETLESIVHQATRHSSPQKINSKQQGQAKIASAVESSDGKWAETSSGHQAQMAPLLLKKRARSESNQCGSSRDHEQVDRSACASASPTFCRESDTTMMTYASFESTPSFKAKTTDNEDSASHGGSENPDEDRETKTERVRSHSSRRSRAAAIHNQSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSVRNMPQMMMSPLGMHQHFHQMSLLARMGMGVTHLGMGMGMLHDINTIGHATATPPHSLPPLLHPPPPSTTPAASFVPPPHPHPFVVLPAANPDTANSSSVPLPDPYCGFLAQSMNMDLYNKMAALYQQQMMNQQMTQAAPQPNHVQGSCLPWQEPLEAAR >Manes.14G083200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6913037:6916172:-1 gene:Manes.14G083200.v8.1 transcript:Manes.14G083200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSRSNYYEVAELTWENGQLAMHGLGGLLHSDQGTKATTTWGRTSETLESIVHQATRHSSPQKINSKQQGQAKIASAVESSDGKWAETSSGHQAQMAPLLLKKRARSESNQCGSSRDHEQVDRSACASASPTFCRESDTTMMTYASFESTPSFKAKTTDNEDSASHGGSENPDEDRETKTERVRSHSSRRSRAAAIHNQSERKRRDRINQKMKALQKLVPNASKTDKASMLDEVIEYLKQLQAQVQVMSVRNMPQMMMSPLGMHQHFHQMSLLARMGMGVTHLGMGMGMLHDINTIGHATATPPHSLPPLLHPPPPSTTPAASFVPPPHPHPFVVLPAANPDTANSSSVPLPDPYCGFLAQSMNMDLYNKMAALYQQQMMNQQMTQAAPQPNHVQGD >Manes.17G061200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26030408:26040147:1 gene:Manes.17G061200.v8.1 transcript:Manes.17G061200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKLFVFLIIALSCFMVLRIVGAKLVQEEVNALEEIARTMGSTYWKFNADTCEVVVVGITPTPPKNAEHSIVCKCNNENNTDCHVIKIVLKRYNLPGVLPPQLVKLPYLQEIDFAYNYLNGSIPREWASLQLTSISVLVNRLSGEIPKELGNVTTLTYLCLEANQFSGAIPSELGNLVNLKTLMLSSNQLTGNLPMTFAGLFNLTDLRINDNNFNGTIPNFLQNWKGLTRLEMHASGLDGPIPSNISLLNNLVELRISDLRGPSQGFPNLSNMTGIIRLILRNCNISGEVPAYIWRMKSLEVLDVSFNKLVGRIPDSIMAKRLRFVFLTGNLLSGDVPDSILKEGSSIDLSYNNFTLQRPDQPACRENMNLFLNLYRSSMVVNSIAHLPCRKTFKCPHYSSCLHINSGGKDTIIKENKTSTLYEGDVAVEGGAAKYFLNDQSYWGFSSTGDFMDDNDFQNTRYTMALHSSNISTLYSTARRSPLSLTYFHYCLQNGKYMVKFHFEEIQFTNDKTYNSLGRRMFDIYVQEKLVRKDFNIEDEIGGALKPLVISVPDVNVTNNILEIRFYFAGKGTTRIPDRGVYGTIISAISVASDLKLCSIGQKKGTVHIVVGVVGGASGLIFIVLGILWWRGYLPGKWGKTKGTEGPDLPTGTFSLKQIRAATDDFDYANKIGEGGFGSVYKGLLPDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFDENNQLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDKDLTPKISDFGLARLDEEEKSHISTRIAGTIGYMAPEYALWGYLTDKADVYSFGVVSLEIVSGKNNNNFMPSNHCVCLLDWACHLQQSGDLMKLVDETLKSEVNQEEAETTVKIALLCTNASPTNRPTMSEVVNMLEGRMAIPDTVPEPSSYTEDLRFKAMRDLRQHSQSLSGSQPLNSTTAHTLGSSSTSDHELHEMKP >Manes.17G061200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26030408:26040147:1 gene:Manes.17G061200.v8.1 transcript:Manes.17G061200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKLFVFLIIALSCFMVLRIVGAKLVQEEVNALEEIARTMGSTYWKFNADTCEVVVVGITPTPPKNAEHSIVCKCNNENNTDCHVIKIVLKRYNLPGVLPPQLVKLPYLQEIDFAYNYLNGSIPREWASLQLTSISVLVNRLSGEIPKELGNVTTLTYLCLEANQFSGAIPSELGNLVNLKTLMLSSNQLTGNLPMTFAGLFNLTDLRINDNNFNGTIPNFLQNWKGLTRLEMHASGLDGPIPSNISLLNNLVELRISDLRGPSQGFPNLSNMTGIIRLILRNCNISGEVPAYIWRMKSLEVLDVSFNKLVGRIPDSIMAKRLRFVFLTGNLLSGDVPDSILKEGSSIDLSYNNFTLQRPDQPACRENMNLFLNLYRSSMVVNSIAHLPCRKTFKCPHYSSCLHINSGGKDTIIKENKTSTLYEGDVAVEGGAAKYFLNDQSYWGFSSTGDFMDDNDFQNTRYTMALHSSNISTLYSTARRSPLSLTYFHYCLQNGKYMVKFHFEEIQFTNDKTYNSLGRRMFDIYVQEKLVRKDFNIEDEIGGALKPLVISVPDVNVTNNILEIRFYFAGKGTTRIPDRGVYGTIISAISVASDLKLCSIGQKKGTVHIVVGVVGGASGLIFIVLGILWWRGYLPGKWGKTKGTEGPDLPTGTFSLKQIRAATDDFDYANKIGEGGFGSVYKGLLPDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFDENNQLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDKDLTPKISDFGLARLDEEEKSHISTRIAGTMHAICNRVEI >Manes.17G061200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26030436:26040153:1 gene:Manes.17G061200.v8.1 transcript:Manes.17G061200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIREYWCLGIACFGILLGKAVAFEMTLLLGVVWAKDLKQSPRHLFFNALEEIARTMGSTYWKFNADTCEVVVVGITPTPPKNAEHSIVCKCNNENNTDCHVIKIVLKRYNLPGVLPPQLVKLPYLQEIDFAYNYLNGSIPREWASLQLTSISVLVNRLSGEIPKELGNVTTLTYLCLEANQFSGAIPSELGNLVNLKTLMLSSNQLTGNLPMTFAGLFNLTDLRINDNNFNGTIPNFLQNWKGLTRLEMHASGLDGPIPSNISLLNNLVELRISDLRGPSQGFPNLSNMTGIIRLILRNCNISGEVPAYIWRMKSLEVLDVSFNKLVGRIPDSIMAKRLRFVFLTGNLLSGDVPDSILKEGSSIDLSYNNFTLQRPDQPACRENMNLFLNLYRSSMVVNSIAHLPCRKTFKCPHYSSCLHINSGGKDTIIKENKTSTLYEGDVAVEGGAAKYFLNDQSYWGFSSTGDFMDDNDFQNTRYTMALHSSNISTLYSTARRSPLSLTYFHYCLQNGKYMVKFHFEEIQFTNDKTYNSLGRRMFDIYVQEKLVRKDFNIEDEIGGALKPLVISVPDVNVTNNILEIRFYFAGKGTTRIPDRGVYGTIISAISVASDLKLCSIGQKKGTVHIVVGVVGGASGLIFIVLGILWWRGYLPGKWGKTKGTEGPDLPTGTFSLKQIRAATDDFDYANKIGEGGFGSVYKGLLPDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFDENNQLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDKDLTPKISDFGLARLDEEEKSHISTRIAGTIGYMAPEYALWGYLTDKADVYSFGVVSLEIVSGKNNNNFMPSNHCVCLLDWACHLQQSGDLMKLVDETLKSEVNQEEAETTVKIALLCTNASPTNRPTMSEVVNMLEGRMAIPDTVPEPSSYTEDLRFKAMRDLRQHSQSLSGSQPLNSTTAHTLGSSSTSDHELHEMKP >Manes.17G061200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26030436:26039946:1 gene:Manes.17G061200.v8.1 transcript:Manes.17G061200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIREYWCLGIACFGILLGKAVAFEMTLLLGVVWAKDLKQSPRHLFFNALEEIARTMGSTYWKFNADTCEVVVVGITPTPPKNAEHSIVCKCNNENNTDCHVIKIVLKRYNLPGVLPPQLVKLPYLQEIDFAYNYLNGSIPREWASLQLTSISVLVNRLSGEIPKELGNVTTLTYLCLEANQFSGAIPSELGNLVNLKTLMLSSNQLTGNLPMTFAGLFNLTDLRINDNNFNGTIPNFLQNWKGLTRLEMHASGLDGPIPSNISLLNNLVELRISDLRGPSQGFPNLSNMTGIIRLILRNCNISGEVPAYIWRMKSLEVLDVSFNKLVGRIPDSIMAKRLRFVFLTGNLLSGDVPDSILKEGSSIDLSYNNFTLQRPDQPACRENMNLFLNLYRSSMVVNSIAHLPCRKTFKCPHYSSCLHINSGGKDTIIKENKTSTLYEGDVAVEGGAAKYFLNDQSYWGFSSTGDFMDDNDFQNTRYTMALHSSNISTLYSTARRSPLSLTYFHYCLQNGKYMVKFHFEEIQFTNDKTYNSLGRRMFDIYVQEKLVRKDFNIEDEIGGALKPLVISVPDVNVTNNILEIRFYFAGKGTTRIPDRGVYGTIISAISVASDLKLCSIGQKKGTVHIVVGVVGGASGLIFIVLGILWWRGYLPGKWGKTKGTEGPDLPTGTFSLKQIRAATDDFDYANKIGEGGFGSVYKGLLPDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFDENNQLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDKDLTPKISDFGLARLDEEEKSHISTRIAGTMHAICNRVEI >Manes.17G061200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26030436:26039946:1 gene:Manes.17G061200.v8.1 transcript:Manes.17G061200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKLFVFLIIALSCFMVLRIVGAKLVQEEVNALEEIARTMGSTYWKFNADTCEVVVVGITPTPPKNAEHSIVCKCNNENNTDCHVIKIVLKRYNLPGVLPPQLVKLPYLQEIDFAYNYLNGSIPREWASLQLTSISVLVNRLSGEIPKELGNVTTLTYLCLEANQFSGAIPSELGNLVNLKTLMLSSNQLTGNLPMTFAGLFNLTDLRINDNNFNGTIPNFLQNWKGLTRLEMHASGLDGPIPSNISLLNNLVELRISDLRGPSQGFPNLSNMTGIIRLILRNCNISGEVPAYIWRMKSLEVLDVSFNKLVGRIPDSIMAKRLRFVFLTGNLLSGDVPDSILKEGSSIDLSYNNFTLQRPDQPACRENMNLFLNLYRSSMVVNSIAHLPCRKTFKCPHYSSCLHINSGGKDTIIKENKTSTLYEGDVAVEGGAAKYFLNDQSYWGFSSTGDFMDDNDFQNTRYTMALHSSNISTLYSTARRSPLSLTYFHYCLQNGKYMVKFHFEEIQFTNDKTYNSLGRRMFDIYVQEKLVRKDFNIEDEIGGALKPLVISVPDVNVTNNILEIRFYFAGKGTTRIPDRGVYGTIISAISVASDLKLCSIGQKKGTVHIVVGVVGGASGLIFIVLGILWWRGYLPGKWGKTKGTEGPDLPTGTFSLKQIRAATDDFDYANKIGEGGFGSVYKGLLPDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFDENNQLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDKDLTPKISDFGLARLDEEEKSHISTRIAGTIGYMAPEYALWGYLTDKADVYSFGVVSLEIVSGKNNNNFMPSNHCVCLLDWACHLQQSGDLMKLVDETLKSEVNQEEAETTVKIALLCTNASPTNRPTMSEVVNMLEGRMAIPDTVPEPSSYTEDLRFKAMRDLRQHSQSLSGSQPLNSTTAHTLGSSSTSDHELHEMKP >Manes.17G061200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26030436:26039946:1 gene:Manes.17G061200.v8.1 transcript:Manes.17G061200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRKLFVFLIIALSCFMVLRIVGAKLVQEEVNALEEIARTMGSTYWKFNADTCEVVVVGITPTPPKNAEHSIVCKCNNENNTDCHVIKIVLKRYNLPGVLPPQLVKLPYLQEIDFAYNYLNGSIPREWASLQLTSISVLVNRLSGEIPKELGNVTTLTYLCLEANQFSGAIPSELGNLVNLKTLMLSSNQLTGNLPMTFAGLFNLTDLRINDNNFNGTIPNFLQNWKGLTRLEMHASGLDGPIPSNISLLNNLVELRISDLRGPSQGFPNLSNMTGIIRLILRNCNISGEVPAYIWRMKSLEVLDVSFNKLVGRIPDSIMAKRLRFVFLTGNLLSGDVPDSILKEGSSIDLSYNNFTLQRPDQPACRENMNLFLNLYRSSMVVNSIAHLPCRKTFKCPHYSSCLHINSGGKDTIIKENKTSTLYEGDVAVEGGAAKYFLNDQSYWGFSSTGDFMDDNDFQNTRYTMALHSSNISTLYSTARRSPLSLTYFHYCLQNGKYMVKFHFEEIQFTNDKTYNSLGRRMFDIYVQEKLVRKDFNIEDEIGGALKPLVISVPDVNVTNNILEIRFYFAGKGTTRIPDRGVYGTIISAISVASDLKLCSIGQKKGTVHIVVGVVGGASGLIFIVLGILWWRGYLPGKWGKTKGTEGPDLPTGTFSLKQIRAATDDFDYANKIGEGGFGSVYKGLLPDGTVIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFDENNQLKLDWPTRLKICIGIAKGLAFLHEESRLKIVHRDIKATNVLLDKDLTPKISDFGLARLDEEEKSHISTRIAGTMHAICNRVEI >Manes.06G055000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19065617:19074442:1 gene:Manes.06G055000.v8.1 transcript:Manes.06G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSMHNKEGNNKQMDTSKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYDNGYMRQQLQNASATTTDNSCESVVMSGQQQQQHNPTPQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIIAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDILSIIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTTLEDGSLVICERSLTAITGGPTGPPALSFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLDAWSVPEVLRPLYESSKILAQKMTMAALRYIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLLGGDGMEDVTIAINSSPNKFLGTQYNASIFPTFGGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADYGVDTYSAHCLKASPYAIPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFSPEDVALAQDMYLLQLCSGVDETAIGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKADGPAATRTLDLASTLEVGAGGARPSSEADTSSYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAISPSRLSSHIAPKTLPGSPEALTLARWVYRSYRIHTGGDLFRVDSQVGDALLKQIWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLEKILEEAGRKLLYSEFSKIMQQGFAYLPAGICVSSMGRPVSYEQAIAWKVLNDDDSNHCLAFMFVNWSFV >Manes.06G055000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19065617:19073233:1 gene:Manes.06G055000.v8.1 transcript:Manes.06G055000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSMHNKEGNNKQMDTSKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVYDNGYMRQQLQNASATTTDNSCESVVMSGQQQQQHNPTPQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIIAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDILSIIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTTLEDGSLVICERSLTAITGGPTGPPALSFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLDAWSVPEVLRPLYESSKILAQKMTMAALRYIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLLGGDGMEDVTIAINSSPNKFLGTQYNASIFPTFGGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADYGVDTYSAHCLKASPYAIPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFSPEDVALAQDMYLLQLCSGVDETAIGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKADGPAATRTLDLASTLEVGAGGARPSSEADTSSYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAISPSRLSSHIAPKTLPGSPEALTLARWVYRSYRIHTGGDLFRVDSQVGDALLKQIWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLEKILEEAGRKLLYSEFSKIMQQVKF >Manes.06G055000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19068074:19074442:1 gene:Manes.06G055000.v8.1 transcript:Manes.06G055000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLMEENDRLQKQVSQLVYDNGYMRQQLQNASATTTDNSCESVVMSGQQQQQHNPTPQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIIAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDILSIIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTTLEDGSLVICERSLTAITGGPTGPPALSFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLDAWSVPEVLRPLYESSKILAQKMTMAALRYIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLLGGDGMEDVTIAINSSPNKFLGTQYNASIFPTFGGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADYGVDTYSAHCLKASPYAIPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFSPEDVALAQDMYLLQLCSGVDETAIGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKADGPAATRTLDLASTLEVGAGGARPSSEADTSSYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAISPSRLSSHIAPKTLPGSPEALTLARWVYRSYRIHTGGDLFRVDSQVGDALLKQIWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLEKILEEAGRKLLYSEFSKIMQQGFAYLPAGICVSSMGRPVSYEQAIAWKVLNDDDSNHCLAFMFVNWSFV >Manes.06G055000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19067493:19074442:1 gene:Manes.06G055000.v8.1 transcript:Manes.06G055000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLMEENDRLQKQVSQLVYDNGYMRQQLQNASATTTDNSCESVVMSGQQQQQHNPTPQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIIAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDILSIIPTGNGGTIELIYMQTYAPTTLAAARDFWTLRYTTTLEDGSLVICERSLTAITGGPTGPPALSFVRAEMLPSGYLIRPCEGGGSIIHIVDHVDLDAWSVPEVLRPLYESSKILAQKMTMAALRYIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLLGGDGMEDVTIAINSSPNKFLGTQYNASIFPTFGGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADYGVDTYSAHCLKASPYAIPCARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFSPEDVALAQDMYLLQLCSGVDETAIGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKADGPAATRTLDLASTLEVGAGGARPSSEADTSSYNLRSVLTIAFQFTFENHLRDNVAAMARQYVRSVVGSVQRVAMAISPSRLSSHIAPKTLPGSPEALTLARWVYRSYRIHTGGDLFRVDSQVGDALLKQIWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLEKILEEAGRKLLYSEFSKIMQQGFAYLPAGICVSSMGRPVSYEQAIAWKVLNDDDSNHCLAFMFVNWSFV >Manes.18G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7216123:7222260:-1 gene:Manes.18G078800.v8.1 transcript:Manes.18G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCLSHLTLSPSQKTMASLTGAASSRLLPAASRARIHLSSPSSASSSRSPLSLSSSSSYSISPLKCLRSSPFVPHFFLNQKRSSMSTVAAASDPVQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPKRGGADGSIRFEVELKHGANAGLVNALNLLQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSAPEDCPEEGRLPSAGPPKPADHLREVFYRMGLNDQEIVALSGAHTLGRSRPDRSGWGKPETKYTKSGPGAPGGQSWTAEWLKFDNSYFTDIKERRDEDLLVLPTDAVIFEDSSFKVYAEKYAADQEAFFKDYAEAHAKLSNLGAKFDPPQGIVLDGVAGEKFVAAN >Manes.08G158100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39432801:39435428:-1 gene:Manes.08G158100.v8.1 transcript:Manes.08G158100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNMSSGLSKSAYPSELQFYGHSLAFSLDRELLAARSAKVASLLNKNPQANLSSLLQDFPADPEIFELVARFCHGFELTLSSENVIPLICLANHLDMTESHSNNNLLKQAVNFFEQRVLSSWNETIKAFRSAGNSLQQAARLGLFEAGLESLVEKALANPRYLGDPIKNSTFDDDIEDEEGGYRPNARRRLFVLDWKSEDLTTLSLQLYHPIIRTMNQRGVPPEYVAASLCQYAEKWVFSSGIGGDNVSVYKRNSQRDVIEVVERLLPDEKGLIPCSVLFKMLKFAISLESSNDCRNGLENRIGKQLDQATVKDLLIPSQGNTKEMQYDIECLKRVLKHFYGNYTSSHSSGLIAVAELIEEFLAEVASDIDLKMDTFTTLAEMSKAASLGTLKNSDGIYRAIDIYLDKHRHLTELEREEVCKILDCYKMSPEAWEHAAKNERLPLRFVVQVLFVGQLQLREQRDTIAKEVEVFDEKLRREEVDEEDEKNEVKMGLDEEEIRSEMEKMSIKVMELQKECNIMRKEIENGCNHRVKKGKISMWKEMKRKLGCMSSINDCNCQVNKKKKVHPKY >Manes.10G011900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1244237:1250924:1 gene:Manes.10G011900.v8.1 transcript:Manes.10G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIAEAEAAEPPIQVFSDVQTVLDFLRKNGLNEAEYALKEDIIEKNELGSFDFEKFLFVLPPVRIPASFRRPDEGSGFGGGEGSRSSSGSVSGSDEEFVSLVSSTSDLCSSEFTNPYGLRSASQANSETSSDRLSQFGTARDYPHLDLQNDLYWYDERDEGNFMTPSFNGPDYFGGPSEDKFVTTSEAVNHFENPLSLYNKAKGFEAEAANDFLDKPCLFNMTSVKDKNEAQAMDSYHFDNGNHPEGDIERSDGSVPVCKSCAREEGNYALGHGKNKNGYYSSKAHFKSNCFESYKSSTEIVSNGFDDYEVINDREANGVADELQTAADEESEVNVDELQMFNNQEDEYEVFNLRIIHRKNRTGFEENKDLPIVLNSVIAGRYYVTEYLGSAAFSKVVQANDLHTGVDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPADEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNLESGGEPYFTLSRMQVITRQCLEALDFLHHLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFQSDSLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVLFPNDAVVMILARMIGMLGPIDLEMLARGQETNKYFTKEYDLYYLNEETNQVEYIIPEESSLEHHMQTYDKGFSDFLRTLLEVNPLRRPTAREALDHPWLSYSYESNSP >Manes.09G036000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6914646:6916851:1 gene:Manes.09G036000.v8.1 transcript:Manes.09G036000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAENHAFNHFSHRHPLENSTTSASTIDNTTCYGCNLRILSGKDQYNCKACSFSLHRICSNMPRKTRHPAHPDHYLTLLISPPSSNTTFKCKACGHLISGFYYNCAECGIYYHILCSALPLSIAISSHPHTLKIKFSPPYVFYCDLCDKPSHVGWLYRCRFCEFDAHISCAISQRRSQPVPLPNTLTRQIIYSSASIMETSRLIDYGIESDELMQLVVQGVARGNDVAVAGWDERLRSPQEKLEIGRFQLNQTETQMSRTGTSNKDPSGSVSEDLTIPSYQFSDQCFSIDLQKSCSSVDLRSQTRKEASHQDAKVVPEVETRISSDKMTMLNSKNSGSNRYDGMKLGQKEENGKTNGYGGSRPPAGIKEDGVKSGSWSCLRWWTRHEKCSKSFKNGGL >Manes.09G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6914646:6916851:1 gene:Manes.09G036000.v8.1 transcript:Manes.09G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAENHAFNHFSHRHPLENSTTSASTIDNTTCYGCNLRILSGKDQYNCKACSFSLHRICSNMPRKTRHPAHPDHYLTLLISPPSSNTTFKCKACGHLISGFYYNCAECGIYYHILCSALPLSIAISSHPHTLKIKFSPPYVFYCDLCDKPSHVGWLYRCRFCEFDAHISCAISQRRSQPVPLPNTLTRQIIYSSASIMETSRLIDYGIESDELMQLVVQGVARGNDVAVAGWDERLRSPQEKLEIGRFQLNQTETQMSRTGTSNKDPSGSVSEDLTIPSYQFSDQCFSIDLQKSCSSVDLRSQTRKEASHQDAKVVPEVETRISSDKMTMLNSKNSGNKPVFNPLYKAPEDWLNEASLLEGSNRYDGMKLGQKEENGKTNGYGGSRPPAGIKEDGVKSGSWSCLRWWTRHEKCSKSFKNGGL >Manes.11G103201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23356785:23387736:-1 gene:Manes.11G103201.v8.1 transcript:Manes.11G103201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTLQSRASTAYFPPHRTQLHPRMHLNSFSSPYQPSQNPNNKSQIHKFLILFIFLLTVPFLFYLFSTAQKIHHSSKFAEPKSKFFSVLIDSGLYGSRVRVYELLAEGTMPFTDGSMPLIAGSMKVRSGLAGFAENPENAGDLVDRLVKFAKGRVPKKEWGNTKVQLMAGGEKVDRLELKVREMILESCRRVLRESGFAFKEEWARFIADRERGVFAWVAVNYALGTLVGDPQRTTGVVELGGTSLQATFASREVVQGQSLQMIKLAGITYNLQTQSFPNFGQDAAWESLHELHHSGELISLPNDREGPVGNPCIPKGYDLASNASDGKFLSPHPAGNFTACRLEVLALLKNKGKCLQSPCEIVPSLFSELQGKHISQETLFYTSEFFGLAPRTTLFELETVGQQYCEGDWDKLRSQHHGIDEMDLLRYCFSSAYMLALLHDSLGIPMNDKRIGFANYTGSFHLDWSLGAFILQSMLEPLDMETDNLDQIVGNESVKYFSLFAFLLIVVLGVLFVLQWRKPQLKTVYDLEKGHYIVTRVPW >Manes.11G103201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23356902:23387736:-1 gene:Manes.11G103201.v8.1 transcript:Manes.11G103201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTLQSRASTAYFPPHRTQLHPRMHLNSFSSPYQPSQNPNNKSQIHKFLILFIFLLTVPFLFYLFSTAQKIHHSSKFAEPKSKFFSVLIDSGLYGSRVRVYELLAEGTMPFTDGSMPLIAGSMKVRSGLAGFAENPENAGDLVDRLVKFAKGRVPKKEWGNTKVQLMAGGEKVDRLELKVREMILESCRRVLRESGFAFKEEWARFIADRERGVFAWVAVNYALGTLATFASREVVQGQSLQMIKLAGITYNLQTQSFPNFGQDAAWESLHELHHSGELISLPNDREGPVGNPCIPKGYDLASNASDGKFLSPHPAGNFTACRLEVLALLKNKGKCLQSPCEIVPSLFSELQGKHISQETLFYTSEFFGLAPRTTLFELETVGQQYCEGDWDKLRSQHHGIDEMDLLRYCFSSAYMLALLHDSLGIPMNDKRIGFANYTGSFHLDWSLGAFILQSMLEPLDMETDNLDQIVGNESVKYFSLFAFLLIVVLGVLFVLQWRKPQLKTVYDLEKGHYIVTRVPW >Manes.11G103201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23356904:23387737:-1 gene:Manes.11G103201.v8.1 transcript:Manes.11G103201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTLQSRASTAYFPPHRTQLHPRMHLNSFSSPYQPSQNPNNKSQIHKFLILFIFLLTVPFLFYLFSTAQKIHHSSKFAEPKSKFFSVLIDSGLYGSRVRVYELLAEGTMPFTDGSMPLIAGSMKVRSGLAGFAENPENAGDLVDRLVKFAKGRVPKKEWGNTKVQLMAGGEKVDRLELKVREMILESCRRVLRESGFAFKEEWARFIADRERGVFAWVAVNYALGTLVGDPQRTTGVVELGGTSLQATFASREVVQGQSLQMIKLAGITYNLQTQSFPNFGQDAAWESLHELHHSGELISLPNDREGPVGNPCIPKGYDLASNASDGKFLSPHPAGNFTACRLEVLALLKNKGKCLQSPCEIVPSLFSELQGKHISQETLFYTSEFFGLAPRTTLFELETVGQQYCEGDWDKLRSQHHGIDEMDLLRYCFSSAYMLALLHDSLGIPMNDKRKKRGLSTLLIK >Manes.11G103201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23356904:23387736:-1 gene:Manes.11G103201.v8.1 transcript:Manes.11G103201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTLQSRASTAYFPPHRTQLHPRMHLNSFSSPYQPSQNPNNKSQIHKFLILFIFLLTVPFLFYLFSTAQKIHHSSKFAEPKSKFFSVLIDSGLYGSRVRVYELLAEGTMPFTDGSMPLIAGSMKVRSGLAGFAENPENAGDLVDRLVKFAKGRVPKKEWGNTKVQLMAGGEKVDRLELKVREMILESCRRVLRESGFAFKEEWARFIADRERGVFAWVAVNYALGTLVGDPQRTTGVVELGGTSLQATFASREVVQGQSLQMIKLAGITYNLQTQSFPNFGQDAAWESLHELHHSGELISLPNDREGPVGNPCIPKGYDLASNASDGKFLSPHPAGNFTACRLEVLALLKNKGKCLQSPCEIVPSLFSELQGKHISQETLFYTSEFFGLAPRTTLFELETVGQQYCEGDWDKLRSQHHGIDEMDLLRYCFSSAYMLALLHDSLGIPMNDKRKKRGLSTLLIKCTESISSSSFFSFLFLSSFFFFSFFSRIGFANYTGSFHLDWSLGAFILQSMLEPLDMETDNLDQIVGNESVKYFSLFAFLLIVVLGVLFVLQWRKPQLKTVYDLEKGHYIVTRVPW >Manes.07G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22261089:22262860:1 gene:Manes.07G075000.v8.1 transcript:Manes.07G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVGFLAFTLFSIFLVSSSPAIAGSAQYSVQSYGAKPDGTTDSTKAFLAAWAQVCGSSEPASLYVPSGRFSLGKVTFQGPCKNSAILVTIDGTLVAPSDYSAIGHENNWLMFEHVDGVTVSGGILDGQGTALWSCKASGKSCPSGATSIEFSNSNNIQINGLASQDSQKFHVVINGCNNVRAQDVKVSAAGDSPNTDGIHVEQSNGVTILNSKIGTGDDCVSIGPGTTNLWIENVACGPGHGISIGSLGKELEEDGVQNVTVKTCTFSGTENGVRIKSWGRPSTGFAKNILFQHAVMTNVQNPIVIDQNYCPGDKNCPNQQSGIKIIDVTYQDIHGSSATEVAVKLDCSKKNPCTGIELEDVKLTYNNRPAEASCTNAAGTTSGFVQPSSCL >Manes.09G186400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37346781:37350739:-1 gene:Manes.09G186400.v8.1 transcript:Manes.09G186400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQPPESPSITISTKPATATTTAVSPLETENAGPAPQSPPAPKRQRRPSVRLGEIGEQPTSFSYDTHVRRTKHTWRLPKDSFKSVKARSLTNLVNGSESYEIQEPEGDAQNGELNLEFGHRKKAKRATTRRMRSNWTSKVEEGGAEGDSREDGDEGFREFDQDLDSPLKEQSPVDSMDNFALDMWHGHRRTGTGRHRVSEGRENDAMEMENLPESDSRDRNCSTSEGVRTWLIELGLSRYASVFEVHEVDDEVLPLLTLEDLKDMGINAVGSRRKLYSAIQKLRKGFSQHFHRR >Manes.09G073800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16807027:16811091:-1 gene:Manes.09G073800.v8.1 transcript:Manes.09G073800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMALVKPISAFGSRRRTFSFSKFTTIRISAAANPTSIPKPKPSKKANKTAIKETLLTPRFYTTDFDEMETLFNTEINKKLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMEGPLRTIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINEKLVAVGETQDNSLVKNLKRIPLVAALVSEILAAYLMPPIESGSVDFSEFEPQLLY >Manes.09G073800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16808593:16811091:-1 gene:Manes.09G073800.v8.1 transcript:Manes.09G073800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMALVKPISAFGSRRRTFSFSKFTTIRISAAANPTSIPKPKPSKKANKTAIKETLLTPRFYTTDFDEMETLFNTEINKKLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMEGPLRTIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINEKLVAVGETQDNSLVKNLKRIPLVAALVSEILAAYLMPPIESGSVDFSEFEPQLLY >Manes.09G073800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16790830:16811091:-1 gene:Manes.09G073800.v8.1 transcript:Manes.09G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMALVKPISAFGSRRRTFSFSKFTTIRISAAANPTSIPKPKPSKKANKTAIKETLLTPRFYTTDFDEMETLFNTEINKKLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMEGPLRTIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKANPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINEKLVAVGETQDNSLVKNLKRIPLVAALVSEILAAYLMPPIESGSVDFSEFEPQLLY >Manes.08G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:960384:965223:1 gene:Manes.08G005800.v8.1 transcript:Manes.08G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVRLRWAVDGIAWRGMAFTVFILHFVFVCQLLLLQPLVSASDGKSGNVADLLEKVERSVKVKRYSEALDDLNAAIEADPTLSEAYFRRASILRQLCRYEESERSYKKYLELKPRHSTAEKELSQLHQAQSALDTAFTLFDSGDYAKSLEYIDKVVLVFSPACSKAKLLKVRLLLAIKDYSAAIAESGYILKEDESNLEALLLRGRAYYYLADHDVASKHFQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNENKGKLRVAVEDYKAALALDPDHLAYNVHLHLGLCKVLVKLGRGKDALNSCTEALNIDGELLEALVQRGEAKLLVEDWEGAVEDLKSAAEKSPQDRDIREKLMQAEKALKMSKRQDWYKILEVSKTASMSEIKRAYKKLALQWHPDKNVDNREEAEAKFRDIAAAYEVLSDEDKRARYDRGEDLEEMGMGGGGGGGFNPFGGGGQQFTFQFEGGFPGGFQFHF >Manes.07G124800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32797300:32800244:1 gene:Manes.07G124800.v8.1 transcript:Manes.07G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGIACCARGALLPGISSQHSKVLASPSSFSSRSLKSSSLFGESLRLVPRSSLKVSNTKNASLVTRCEIGDSLEEFLTKATPDKGLARLLMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALAYSHFCKYACSEEVPELQDMGGPTEGGFSVAFDPLDGSSIVDTNFSVGTIFGVWPGDKLTGVTGRDQVAAAMGVYGPRTTYVLALKDYPGTHEFLLLDEGKWQHVKETTEVGEGKLFSPGNLRATFDNPDYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVISPSSKAKLRLLFEVAPLGFLIEKAGGYSSDGHQSVLDKEIKNLDDRTQVAYGSKNEIIRFEETLYGKSRLKAGGVPVGAAA >Manes.11G060263.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7961130:7961873:1 gene:Manes.11G060263.v8.1 transcript:Manes.11G060263.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLAFSPEANISPLSKSPQPNQQHRPLQELLSLYQQVSQLGIPTSPPINHYECK >Manes.05G190500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31322467:31328141:1 gene:Manes.05G190500.v8.1 transcript:Manes.05G190500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSKRNYYYDHQNYDNETTMGRTKPRYNNHQYNPNNHRHRGSSATVNNNRQAKQQQDPSLMVTTTYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPEGRMPAFSPAQEALFLIHERILESDAQFGVNGGGYGNEEEDHGGRGNRVATRLVVSRMHVGCLLGKGGKIIEQMRIETKTQIRILPRDHTLPRCVSMSEEIVQVVGDANGVKNAIGIISSRLRESQHRDRSQGNFHGRLHSPDRFFPDDDYVPHTNNTARRSSVDGPSFGSRASATNYRSSNYSSRQSGYTVDGGATMADSAQTFYGEDLVFRMLCPTDKVDKVVGETDGIVDLLQNEIGVDIKVSDPVSGSDEQIIIISSEEGPDDELFPAQEALLHIQTRIVDLVPDEDNIIKTKLLVSASEIECFEGRDGALSEMERLTGARIQILPRDKHPACVSGADELVQIEGEIKAARDALVEVTSRLRSYLYKEFFQKDTPPPSALRMESASPNNATPARDGHSGGEPPAAAQQSKDAGGSGTEKVKQNDTERHEEVPTTINRIPKPLVTKSTLEIVIPDHAVPKLVTKSKNKLSQISELSGANVTLVEDRPEVTEKIIQISGTPEQAERAQSLLQGFILSTQEDGP >Manes.12G063602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6768245:6774684:-1 gene:Manes.12G063602.v8.1 transcript:Manes.12G063602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVSTRVSLRTTLCHRPKFSIRRPMDFPKLNRLSVASIRAQQPETKEGAVTVSAVREEQANTLQVKEWEVDMLQNEVAASQGIRIRRRPPTGPPLHYVGPFEFRIQNEGNTPRNILEEIIWHKDTEVSQLKERRPLFQLKKSLENAPPPRDFIGALKEANLRTGLPGLIAEVKKASPSRGILREDFDPVEIAQAYERGGAACLSVLTDEKFFKGSFENLELIRKSGVKCPLLCKEFVIDAWQIYNARAKGADAILLIAAVLPDLDIRYMVKICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLETFEVDISNTRKLLEGERGQLIQQKGIIVVGESGLFTPDDIAYVQEAGVKAVLVGESIVKQNDPAKGITGLFGKEISS >Manes.09G181900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37016742:37020417:-1 gene:Manes.09G181900.v8.1 transcript:Manes.09G181900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESATTSLHNPTTTTSHDELVETKVNEEVKPVDQGTVSLPEGGKVENPKIEELPSETEPFSKLEAKAENKQTEPSAVEAKMSDSAGVDSLVEDKTEMEQEIPCSQAHKDAEPAVDVVNNQPVGEPATECVPEPAVDAVNSQPDAASVTEHAEAQEQPKIVDVQEQPMVVDVQELSAEAVDKPKELSAIIPTKESEADVKVPEDSKVVHNEVDKQESLVPVVDVKLEAQSEVTEQGGKPQSVEETGKQQEPPEVPAIKESEAVVKDIEASKAESKEIDEPVPEVMPKEQSEVAKQDEKVAPIEATEKQQQSSDAFPVKESEAVLAKDIEYSAVSEDVDKPKSVVPEVELEMRSEEQYAVTKDVEKQEILEAEADLKAKVEYEVPEKNDNKSTEEGTEPVVPDAETKTEGEIGVEGETATDEGTLADKVEDTTSLKEERPSKEEELSAASEKQDAQADVQEGKGEASLPDVREITNVENGKKETDGTVAVEETLKEEAEEMENGGEDGVEKTSKTKDKNVEREVLNEEQVQPIKVDDIKEIVSNSEVTERSFKVEKTGEGVESVGENKKEDNIKEETPALVETSKDGSIEEKLDEATTAVMEPVKESQDSGLEVKDEESAKISEDKAGKENAEEIAKSDAQNLEPSPKNGNDAKASQDLPREVPAKLTQKQSNNILTKMKQTLLKAKRAIIGKSPGSKTLSSDTKGDIKVK >Manes.06G053000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16327661:16329731:-1 gene:Manes.06G053000.v8.1 transcript:Manes.06G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKANLIAVLTVIGLIIVIIIARLSLKLSNAFYLIAGADVAVILAVFVCLMIRRRYHRRSKLLVTQLVSEGRELRIEYSFLRKVAGVPIKFRFKELEEATDNFRSLLGQGASASVFKGILSDGTAIAVKRIEKEESGEKEFRSEVAAIASVQHVNLVRLLGYCIMARGPRFLVYEFIPNGSLDCWIFPKRGTRDLPGGCLSWELRYRVAIDVAKALSYLHHDCRSRVLHLDVKPENILLDENYRAIVGDFGLSKLMGKDESRVITNIRGTKGYLAPEWLLENGVSEKSDVYSYGLVLLEMIGGQRNVSLLQNGNERSQRTWKYFPKIVNQKMREGKLMEVVDHRLVESENIDEREVKRLVHIAFWCIQEKARLRPTMAHVVEMLEDRVTVEEPPDTQMIVIDLLSIDGDASDGHKRAAIAAFAADQLDGINPLTSCSYTMSVISGR >Manes.06G053000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16327082:16329735:-1 gene:Manes.06G053000.v8.1 transcript:Manes.06G053000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKANLIAVLTVIGLIIVIIIARLSLKLSNAFYLIAGADVAVILAVFVCLMIRRRYHRRSKLLVTQLVSEGRELRIEYSFLRKVAGVPIKFRFKELEEATDNFRSLLGQGASASVFKGILSDGTAIAVKRIEKEESGEKEFRSEVAAIASVQHVNLVRLLGYCIMARGPRFLVYEFIPNGSLDCWIFPKRGTRDLPGGCLSWELRYRVAIDVAKALSYLHHDCRSRVLHLDVKPENILLDENYRAIVGDFGLSKLMGKDESRVITNIRGTKGYLAPEWLLENGVSEKSDVYSYGLVLLEMIGGQRNVSLLQNGNERSQRTWKYFPKIVNQKMREGKLMEVVDHRLVESENIDEREVKRLVHIAFWCIQEKARLRPTMAHVVEMLEDRVTVEEPPDTQMIVIDLLSIDGDASDGHKRAAIAAFAADQLDGINPLTSCSYTMSVISGR >Manes.S023552.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2052133:2052291:1 gene:Manes.S023552.v8.1 transcript:Manes.S023552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.08G067001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10153124:10154246:-1 gene:Manes.08G067001.v8.1 transcript:Manes.08G067001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPKVRIEKFDGTDFGFWKMHIEDYLYQNNLHEPLSGEKAKTMKQEIQDLKDRKTLGLIRLTLVRNVTFNIVKETMTVGLLEALANMYEKSLAINKLDSMSYKIVFKESS >Manes.02G202200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20053994:20062826:-1 gene:Manes.02G202200.v8.1 transcript:Manes.02G202200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFEQLALLIKSTATINTAKALVDRLESRLRLLWAVRGCQPSLANIDHLLRIAVAHNYTGNSSNNTQRGAKTTKSVKEEAQMPVTLSRYPVRVVLCAYMIMGHPDAVLNGQGECEVTLVESAVRFIREFELLLKIIIDGPIKTSQEEIASEIPSQKTFRFQLEACDKAWCFYLHHFVSWMLKDAKLLEEDLVRAACQLEFSMMQSKLTLGNDGTLANDMEAIKKQVLDEQKLLREKVQHLSGNTGLKRMECALTEIRSKFIGDEESESPLKSSSGQISSSRPPHSLEGSPVINSSAKRIIDDHQSVDTLLVSENELLVNEIVHEYHRGLADTFNAADKDQNYVQEKVRETMERAFWDCVMESVKQDEPDFSWILKLIKEVRDELCEMSPQSWRQEIVENIDVDILSQVLRSGTLDMDYLGKILEFALVTFEKLSAPANDEELKSSHCKLLEELREIFKVGDKSNASISFVVIKGLRYVLQEIQALKREISKARIRLIEPLIKGHAGLEYLKNAFANRYGPPTDALSSLTIARQWLSSVHPIAEQEWDEHIDSLTALESNIESSQVLLPTTLRTGGSISMVSNIGSSATGSNQPECKGERVDKLVRLELLKLVSGIGGLTPEALPETLELNISRLRAVQSQFQKIIVISTRLLVDPPSNSSVLEVSVQCSGHGKHSI >Manes.03G083900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20916714:20929520:1 gene:Manes.03G083900.v8.1 transcript:Manes.03G083900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMATALNVHIHEPTSNGVGDTLGAELRTRQQCIAEITEMIHVASLLHDDVLDDAETRRGIGSLNFVMGNKVAVLAGDFLLSRACVALASLKNAEVVSLLATVVEHLVTGETMQMTSTSEQRCSMDYYMQKTYYKTASLISNSCKAIALLAGQTTEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPILFAIEEFPQLLAVVQQGFDEPENVEIALAYLGKSRGIQRTRELAAKHASIAAATIDSLPETGDEEVRKSRRALIDLTHRVITRNK >Manes.03G083900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20917933:20929520:1 gene:Manes.03G083900.v8.1 transcript:Manes.03G083900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMATALNVHIHEPTSNGVGDTLGAELRTRQQCIAEITEMIHVASLLHDDVLDDAETRRGIGSLNFVMGNKVAVLAGDFLLSRACVALASLKNAEVVSLLATVVEHLVTGETMQMTSTSEQRCSMDYYMQKTYYKTASLISNSCKAIALLAGQTTEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPILFAIEEFPQLLAVVQQGFDEPENVEIALAYLGKSRGIQRTRELAAKHASIAAATIDSLPETGDEEVRKSRRALIDLTHRVITRNK >Manes.03G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20916714:20929520:1 gene:Manes.03G083900.v8.1 transcript:Manes.03G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMRGLSLISRSGRRLFLSRQLDLFLLTNSSHLLGDSIHKVFNSRETYSWSLAALHSFKQQIRHQSSSLIEEPLDPFSLVADELSILANRLRAMLVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMATALNVHIHEPTSNGVGDTLGAELRTRQQCIAEITEMIHVASLLHDDVLDDAETRRGIGSLNFVMGNKVAVLAGDFLLSRACVALASLKNAEVVSLLATVVEHLVTGETMQMTSTSEQRCSMDYYMQKTYYKTASLISNSCKAIALLAGQTTEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPILFAIEEFPQLLAVVQQGFDEPENVEIALAYLGKSRGIQRTRELAAKHASIAAATIDSLPETGDEEVRKSRRALIDLTHRVITRNK >Manes.11G064900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8992439:8995470:1 gene:Manes.11G064900.v8.1 transcript:Manes.11G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGETKALSYIPEVILKKRKHKEESLALTRKTQLEFGKYGGKKKKVEDIKRPEHFIKEFRDKELDLIRMKQRAKRPKSTSLTPKSNLLFVIRIQGKNDMHPRTRKTLYKLKLRRIFHGVFMKATAGVLEMLQTVEPYVTFGYPNPKNVTELIYKKGYGKLNKQRVPLIDNTIIEQALGKYGILCLEDIVHEITKVGPHFKEITTFLGPFALSKPKGGLQGKKAPYKDGGDTGNREDEINDLIEKMN >Manes.17G022900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14244812:14252198:-1 gene:Manes.17G022900.v8.1 transcript:Manes.17G022900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYIFKDKSKKKKGDSRSAPELGNRSTPDTLPLSRTTRSLPSPRSITELYKEKEHNLRVFSFEELREATNGFNRLLKIGEGGFGSVYKGTIRPADGQVNSIPVAIKKLNKYGWQGHKQWLAEVQFLGVVSHPNLVKLLGYCSVDNERGIQRLLVYEYMPNKSLEDHLFNKGLPTLPWKMRLEIMLGAAEGLAYLHWGMEVQVVGTYGYAAPEYVETGHLTSHSDIWTFGVVLYEILTGRRTLERNRPTVEQKLLDWVKQFPADSKRFSMILDPRLRNEYSIVSAKKIAKLADSCLNKNPKERPSMTEVVESLKQAIQDSQGTSTSTASTSTGTSTSRNVKSSQSRMVDRKPIRV >Manes.17G022900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14244812:14252198:-1 gene:Manes.17G022900.v8.1 transcript:Manes.17G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFYIFKDKSKKKKGDSRSAPELGNRSTPDTLPLSRTTRSLPSPRSITELYKEKEHNLRVFSFEELREATNGFNRLLKIGEGGFGSVYKGTIRPADGQVNSIPVAIKKLNKYGWQGHKQWLAEVQFLGVVSHPNLVKLLGYCSVDNERGIQRLLVYEYMPNKSLEDHLFNKGLPTLPWKMRLEIMLGAAEGLAYLHWGMEVQVIYRDFKSSNVLLDANFKPKLSDFGLAREGPTGDRTHVSTGVVGTYGYAAPEYVETGHLTSHSDIWTFGVVLYEILTGRRTLERNRPTVEQKLLDWVKQFPADSKRFSMILDPRLRNEYSIVSAKKIAKLADSCLNKNPKERPSMTEVVESLKQAIQDSQGTSTSTASTSTGTSTSRNVKSSQSRMVDRKPIRV >Manes.16G035900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4499137:4500094:1 gene:Manes.16G035900.v8.1 transcript:Manes.16G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRLVVCLIILVLVFFARSESRPLSSMVQKRNARELYEALNEFANSEANSRHDYPDRVSPGGPDPQHHARNN >Manes.10G077055.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:16581193:16594102:1 gene:Manes.10G077055.v8.1 transcript:Manes.10G077055.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSIAHVLLVAFPAQGNVNPLLRLGRRLASKGMLVTFSATEDAGKRMRKGNNITDQPIPVGDGFIRFEFFELGWKEDDPRRQDLDQFLSQIELVGKQAIPQMVKKHSEQGHPISCLINDPFFLCVSDVAASLGIPSAMRWIQSCACFSAYYHYFHRLVPFPSEENAEIDVQLPCVPLLRYDEVPSFLHPSTPYPFLRSVVLDQFKNLDKPFCILMETFQELENDLIEYMSKYCSIMPVGPLFKDPKAPTTTVLGDFLKADDCMEWLESKPPSSVVYVSLGSIVSLKQVQLNELAYGLLNSSVFFLWVFRSPPENSAFKPVDLPDGFLEKVGDKGKIVKWSPQERVLAHSSVACFLTHCGWNSSMEALASGVPVVAFPQWGDQVTDAKYLVDVFKVGVRMSRGEAENKLITRDKVQKCLLEATVGPKAAELKQNALKWKAAAVAAVAEGSSSNRNIEAFVEEVRRRSVKITGKSSS >Manes.15G047100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3622104:3626617:-1 gene:Manes.15G047100.v8.1 transcript:Manes.15G047100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSPATQHPMSTIIPKTPNLCLYPPKLSHVHLKPIKCSFQNQNPNTINRLAKPRTHLFGVITPRPVFPQKGNASRGHEEKRSFAQVGSQQMAVLCGFGYWMQGFRCFPWLALNFHMTYNLNLHPSILQLVQHSANLPMVAKPLYGILSDAIYIGGAHRIPYILIGVLLQALSWGPLGFVPVAREVLPTLLACILLGNLGASLTEVAKDALVAEYGQKHKKRGLQSYAFMASALGGILGNLLGGCFLLKMPPQNMFIIFVFLLFIELAISQTVREESLGLAQLSHHNLAKKSIWENIRKQLNGLKMALGEESISWPLIWVVASIATVPALSGSIFCYQMQCLHLDPSVIGMSRVIGQLILLSMTILYDRYLKEVPMRKLIGAVQFLYAASLLLDFVLVRQINLRFGIPNEIFVCCFSGIADTLAQFKLLPFSVLLANLCPRGCEGSLTSFLASTLCLSSIFGGFLGVGLASLMGVTAGNYLNLPVQILIQFVAALLPLVWIQLVPSQPIVEKHRKKGLSKRTRKNRRIGRMVLGSICVHRRERESETRR >Manes.15G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3622104:3626617:-1 gene:Manes.15G047100.v8.1 transcript:Manes.15G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSPATQHPMSTIIPKTPNLCLYPPKLSHVHLKPIKCSFQNQNPNTINRLAKPRTHLFGVITPRPVFPQKGNASRGHEEKRSFAQVGSQQMAVLCGFGYWMQGFRCFPWLALNFHMTYNLNLHPSILQLVQHSANLPMVAKPLYGILSDAIYIGGAHRIPYILIGVLLQALSWGPLGFVPVAREVLPTLLACILLGNLGASLTEVAKDALVAEYGQKHKKRGLQSYAFMASALGGILGNLLGGCFLLKMPPQNMFIIFVFLLFIELAISQTVREESLGLAQLSHHNLAKKSIWENIRKQLNGLKMALGEESISWPLIWVVASIATVPALSGSIFCYQMQCLHLDPSVIGMSRVIGQLILLSMTILYDRYLKEVPMRKLIGAVQFLYAASLLLDFVLVRQINLRFGIPNEIFVCCFSGIADTLAQFKLLPFSVLLANLCPRGCEGSLTSFLASTLCLSSIFGGFLGVGLASLMGVTAGNYLNLPVQILIQFVAALLPLVWIQLVPSQPIVEKHRKKGLSKRTRKNRRIGRMVLGSICVHRRERESETRR >Manes.03G125450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25345418:25347191:-1 gene:Manes.03G125450.v8.1 transcript:Manes.03G125450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCVNPSNPMLFDFCWVWFIGEDSGCPEQCCLTFREFGLDWFWKGFIFFLGSGRMFLEHIIQRQSKRVKHETKHVFIHTAFQTFLFS >Manes.03G125450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25346048:25346660:-1 gene:Manes.03G125450.v8.1 transcript:Manes.03G125450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCVNPSNPMLFDFCWVWFIGEDSGCPEQCCLTFREFGLDWFWKGFIFFLGSGRMFLEHIIQRQSKRVKHETKHVFIHTAFQTFLFS >Manes.05G100116.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9310649:9311164:1 gene:Manes.05G100116.v8.1 transcript:Manes.05G100116.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQIMKLEGVKPNSSTLAGLLAACAAAGSCLNDYVEEEGLELDVVLGTALVDMFAKCGFLDKAIQIFENMEIKDVKSWTSMILGYGVHGQARNVVDLFYRMEEEGFRPNAVTFLGVLSACSHGGLVMEAMKCFEGMVQVYGFLPKIKHYGCMFDLLGRAGLLEEAHDFI >Manes.17G014700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7173512:7180305:1 gene:Manes.17G014700.v8.1 transcript:Manes.17G014700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESLRNAHPEHAEWYNSLADLYQKKLWHQLTLKLEQFVAFAVVQAGDTLIQLYHNFISDFETKINLLKLAHFAVIVSKQYKEKDAAISYLEGVIEKLRETREQRNEEPILYIKMQLAIFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYANYYWVSSQYHKFRQEFAEFYKNALLYLAYTSVESLSEPFKLDLAFDLSLSALLGDNIYNFGELLAHPIINSLLGTQVEWLYHILQAFNTGDLVRFQELCRVYNASLRAQPALVENEQKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDNWLEKVHTALLSIEAETPDLVAL >Manes.06G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25539587:25543575:1 gene:Manes.06G125600.v8.1 transcript:Manes.06G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQAWRAIAMASLVVQLCISFGVESSSSHSDKIIRLPAQPRVGFQQFSGYVTVDDKKHRMLFYYFVEAETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGKVLVRNEYSWNREANMLYLETPVGVGFSYATDSSSYVAMDDEATARDNAAFLQRWFKKFPQYRHRDLFITGESYAGHYIPQLAKLMIEINRKEKLFHLKGIALGNPVLEFATDLNSRAEYLWSHGLISDWTYKMFTTSCNYSRYVSEYYRDSVSSICSRVMNLVNTETSRFVDKYDVTLDVCIPSILSQSKVLRPQQVSERIDVCVDDETMNYLNRKDVRKALHARLVGVGKWEACSNILDYDLLNLEIPTISIVGSLIKAGIPVLVYSGDQDSVIPLIGSRTLVHRLAKDLGLNTTVPYRAWFAGKQVGGWTQVYGNMLSFATIRGASHEVPYSQPERSLVLFKSFLEGQHLPEAF >Manes.02G155201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12073471:12074246:1 gene:Manes.02G155201.v8.1 transcript:Manes.02G155201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIETPAPSMDDECNTVLYDMVDCVPYLSVGGKNTLDPSCCGGFRSVVAVNPMCICEGLENSAKLGIELNMTRAALLPSACGIVVPPISNCHISLPPSSQGAVGSPNSSPPQHPPSAKTPISPIHKMVPAPGPSKSGGYSISMSFLVLMSSLPVSISVILA >Manes.03G212600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32886212:32893224:1 gene:Manes.03G212600.v8.1 transcript:Manes.03G212600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPTTTPSRFRLNSKAKESPKPELANGSLSPASHARAKSVPPDVKTHSKVRRSLLMNKPKSVEYVIGSQKARDNEDVRVVGRSGNRPVVEQFARPRPHRPVDSAAARNEQEATKELQEKLVLKEGLIKDLQSEVSALKAELDKAHSLNKELESQNKKLALDLSAAAVKIGALNTHDQESSEEHKSPTFKYIQKLIANKLENSTVKKEAYNGPTTVKTPLLPPPPSPPADNMLSKAVDAERKAPSFPSLPPPPPPPPPPMRPLARAASSPKTPAVVEFYHSLRKQEEKRDIPGPGNQYKSAVSSAHSSIVGEIQNRSAHLLAIKADVKTKGDFINGLIQEVLAVAYTDIEDVLKFVDWLDGELSTLADERAVLKHFNWPERKADAIREAAIEYRALKLLESEICSFKDETDIPCGAALKKMAVLLDKSERGIGRLTKLRSSVLPSYQDWKIPTNWMLDSGIVSKIKHASMKLAKMYMRRVIMELELARNSDRESNQEALVLQGVHFAYRTHQFAGGLDSETLCAFEEIRRRVPGHTGRSRELLAAVASS >Manes.10G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2748072:2757177:-1 gene:Manes.10G027800.v8.1 transcript:Manes.10G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDTYSKILPDISMRSMLKNEDLGKLIREQRLHQEVASDREELNIYRSGSAPPTVEGSLSSIGGLFDATGLPAIKKNNKGGFLSEEDIRSDPAYVNYYYSNVNLNPRLPPPLLSKEDWRFSQRLHGGGVNPVVGDGRKGGRGGDNEGNRSLFAVQPGFGGGKEENGNGAGGGVEWGGDGLIGLPGLGLGRRQKSIAEIIQDDMDHANSILRHPSRPASRNAFDDTVETSEAQFAQLHDLASADALHSVSNKQGVTMVPNVGATASHTYASALGASLSRSTTPDPQLVARAPSPRIPTIGGGRTNSMDKREVSGPNSFKGVSSSLNESPELVAALSGLNLSSVDVENHARSQSLHNIDDHCDLFNLHGDQNHVKQQSFLNKSNLSANSYLKGPSTPALNGRRGSPSDLQNADNTNSPFTNYSLSGYPVNPSSPSMMGSQFGSGVLPPLFENVAAASAMGGAGLDSRALSALGPNLMAAAAELQNRAGNQTAGTALQMMPLMDPLYLQYLRSNEYTAAQLGALNDPTVDREYLGNSYVDMLQKAYLGALLSPQKSQYGIPYLGKSGSLNHNYYGNPAFGLGMSYSGSPIGGPLLPNSPIGSGSPVRHGERNMRFNSGLRNLSGGVIGSWHSETGGNLDENFPPSLLDEFKSNKTKCFELLEIAGHVVEFSADQYGSRFIQQKLETATADEKNMVFNEIMPQALSLMTDVFGNYVIQKFFEHGSASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEMVELDQQTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDAIHFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHDTNTQRIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSAIIKKLTGQIVQMSQQKFASNVIEKCLTFGTPAERQALVNEMLGTTDENEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKIHLNALKKYTYGKHIVARVEKLVAAGERRISFLTLHPAA >Manes.10G027800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2748072:2757177:-1 gene:Manes.10G027800.v8.1 transcript:Manes.10G027800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDTYSKILPDISMRSMLKNEDLGKLIREQRLHQEVASDREELNIYRSGSAPPTVEGSLSSIGGLFDATGLPAIKKNNKGGFLSEEDIRSDPAYVNYYYSNVNLNPRLPPPLLSKEDWRFSQRLHGGGVNPVVGDGRKGGRGGDNEGNRSLFAVQPGFGGGKEENGNGAGGGVEWGGDGLIGLPGLGLGRRQKSIAEIIQDDMDHANSILRHPSRPASRNAFDDTVETSEAQFAQLHDLASADALHSVSNKQGVTMVPNVGATASHTYASALGASLSRSTTPDPQLVARAPSPRIPTIGGGRTNSMDKREVSGPNSFKGVSSSLNESPELVAALSGLNLSSVDVENHARSQSLHNIDDHCDLFNLHGDQNHVKQQSFLNKSNLSANSYLKGPSTPALNGRRGSPSDLQNADNTNSPFTNYSLSGYPVNPSSPSMMGSQFGSGVLPPLFENVAAASAMGGAGLDSRALSALGPNLMAAAAELQNRAGNQTAGTALQMMPLMDPLYLQYLRSNEYTAAQLGALNDPTVDREYLGNSYVDMLQKAYLGALLSPQKSQYGIPYLGKSGSLNHNYYGNPAFGLGMSYSGSPIGGPLLPNSPIGSGSPVRHGERNMRFNSGLRNLSGGVIGSWHSETGGHVVEFSADQYGSRFIQQKLETATADEKNMVFNEIMPQALSLMTDVFGNYVIQKFFEHGSASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEMVELDQQTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDAIHFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHDTNTQRIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSAIIKKLTGQIVQMSQQKFASNVIEKCLTFGTPAERQALVNEMLGTTDENEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKIHLNALKKYTYGKHIVARVEKLVAAGERRISFLTLHPAA >Manes.18G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27386040:27392323:-1 gene:Manes.18G144200.v8.1 transcript:Manes.18G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASNGNLINITVKFSGRSIPVSVSLDSTIKDFKSLLQPLTNVLPRGQKLIFKGKLLMDSMTLRQSEVTNGAKVMLMASQGLHQGDGPILKDAKTRPISRDNLANKMVSQKTEFSIDKNCLERWKVTGVIALAECKLKVLPDEVWACGPSARVLDISNNFVQDLPTKIGCMSSLQKLFLTGNCMSDESTHWEGLKFLGHLTVLSLSQNCLKVLPSELGALSSLKHLHVSNNMLNCLPVEIGLLTQLEVLKANNNRICTIPTSIGDCKSLVEVNLSSNLLTELPESFSNLHNLKALYLGNNGLKSLPSGLFKMCLQLSTLDLHNTEITMDILRQLEGWDSFEERRRSKHQKQLDFRVVGSAEFDEGADKN >Manes.06G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10753395:10759962:-1 gene:Manes.06G036500.v8.1 transcript:Manes.06G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLTHTATELTPLDIWNKRLLWLLKFYPQPQFPPSLFSSAFYHLSIVYNNTAPLFNFNFLLLLRKQQTKSLSPLSISLSLSLSVFLRLHFCSMGCRLADAVFLFMNPQPRPIRSQKITTHYPPGINHLTPDKLLLKGKKQKSVLFHLKRAKPVQAVAVPVAPSSADSAQYRKQLAESYGFRQIGEPLPSNVTLKEIIDTLPKKVFAIDDVKAWKSVLISATSYALGLFMISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLDEDTAWHPVWKQEFDSSPMLRKAIIYGYGPFRPWMSIAHWLIWHFDVKKFRPNEVKRVKISLACVFAFMAIGWPLIIYKTGIVGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKSSEDWNAAQAQLNGTVHCDYPRWIEVLCHDINVHIPHHISSRIPSYNLRTAHKSIQENWGKYLNEATWNWRLMKTIMTICHVYDKEKNYVAFDELAPEDSQPVTFLKSVMPEYA >Manes.13G090100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22808094:22816075:-1 gene:Manes.13G090100.v8.1 transcript:Manes.13G090100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYPTIDNRPIEQWKVTELKEELKRRKLTTKGLKDDLIKRLGEALLIEEENVTKEVDADIDLGKHPIDEIKNSDAVPVVSDDDTVDLNVIDAKTENVGDVKVQVDVNDGAEATDQQLSEGSVQVDVCGGDNSSRSEGELTVPGATLESSTTVSESVAFDVTLGGQDAQNSETRKVNGDSSPKQDNEDSKTQLDLQDSKSTLDNENSKTQLENEGLKSAHEDVTFDSSAPNKQVSEVNPNLGFQVKSDSISTDCVSINEKIELKDNIITDNVKLEIDVVKPEIVEPSSNIIVPVGGESHPLDVEEPQEKKTSVEKEDDNNATNADMCKKNDMVDIGYSEKLNLDRSSGDDSMEEDVVESKQIDSKYNSDDVGDKSEKRETDVVIKENLVDVVGNRSIGQKEMHVENKTELAVPADKRKLNDQDAIGRAEPLKRQRRWKTDSLKMPEPQRSSVTPTATPKDAFQPTSSRRNFSRSDSSVSIDDAPKERVVPPSQRPTTNSLRIENFVRPFTLKAVQELLGKTGKVISFWMDQIKTHCYVSYSSVEEAVETRNAVYNLQWPPNGGRLLAAEFVDPKEVKIKVEAPQSPAAPITPGATAAPPLAQPSPRQQVSRQQLPPPPPLPPPPPLSNPPLAKEQLIHQPPLPLPHPEKHDPPIVTLDDLFRKTKATPRIYYLPLSEEQVAAKLAERGKNARQ >Manes.13G090100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22807940:22816075:-1 gene:Manes.13G090100.v8.1 transcript:Manes.13G090100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYPTIDNRPIEQWKVTELKEELKRRKLTTKGLKDDLIKRLGEALLIEEENVTKEVDADIDLGKHPIDEIKNSDAVPVVSDDDTVDLNVIDAKTENVGDVKVQVDVNDGAEATDQQLSEGSVQVDVCGGDNSSRSEGELTVPGATLESSTTVSESVAFDVTLGGQDAQNSETRKVNGDSSPKQDNEDSKTQLDLQDSKSTLDNENSKTQLENEGLKSAHEDVTFDSSAPNKQVSEVNPNLGFQVKSDSISTDCVSINEKIELKDNIITDNVKLEIDVVKPEIVEPSSNIIVPVGGESHPLDVEEPQEKKTSVEKEDDNNATNADMCKKNDMVDIGYSEKLNLDRSSGDDSMEEDVVESKQIDSKYNSDDVGDKSEKRETDVVIKENLVDVVGNRSIGQKEMHVENKTELAVPADKRKLNDQDAIGRAEPLKRQRRWKTDSLKMPEPQRSSVTPTATPKDAFQPTSSRRNFSRSDSSVSIDDAPKERVVPPSQRPTTNSLRIENFVRPFTLKAVQELLGKTGKVISFWMDQIKTHCYVSYSSVEEAVETRNAVYNLQWPPNGGRLLAAEFVDPKEVKIKVEAPQSPAAPITPGATAAPPLAQPSPRQQVSRQQLPPPPPLPPPPPLSNPPLAKEQLIHQPPLPLPHPEKHDPPIVTLDDLFRKTKATPRIYYLPLSEEQVAAKLAERGKNARQ >Manes.13G090100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22808075:22816075:-1 gene:Manes.13G090100.v8.1 transcript:Manes.13G090100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYPTIDNRPIEQWKVTELKEELKRRKLTTKGLKDDLIKRLGEALLIEEENVTKEVDADIDLGKHPIDEIKNSDAVPVVSDDDTVDLNVIDAKTENVGDVKVQVDVNDGAEATDQQLSEGSVQVDVCGGDNSSRSEGELTVPGATLESSTTVSESVAFDVTLGGQDAQNSETRKVNGDSSPKQDNEDSKTQLDLQDSKSTLDNENSKTQLENEGLKSAHEDVTFDSSAPNKQVSEVNPNLGFQVKSDSISTDCVSINEKIELKDNIITDNVKLEIDVVKPEIVEPSSNIIVPVGGESHPLDVEEPQEKKTSVEKEDDNNATNADMCKKNDMVDIGYSEKLNLDRSSGDDSMEEDVVESKQIDSKYNSDDVGDKSEKRETDVVIKENLVDVVGNRSIGQKEMHVENKTELAVPADKRKLNDQDAIGRAEPLKRQRRWKTDSLKMPEPQRSSVTPTATPKDAFQPTSSRRNFSRSDSSVSIDDAPKERVVPPSQRPTTNSLRIENFVRPFTLKAVQELLGKTGKVISFWMDQIKTHCYVSYSSVEEAVETRNAVYNLQWPPNGGRLLAAEFVDPKEVKIKVEAPQSPAAPITPGATAAPPLAQPSPRQQVSRQQLPPPPPLPPPPPLSNPPLAKEQLIHQPPLPLPHPEKHDPPIVTLDDLFRKTKATPRIYYLPLSEEQVAAKLAERGKNARQ >Manes.10G000157.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:627860:628241:-1 gene:Manes.10G000157.v8.1 transcript:Manes.10G000157.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWILAPVAVRFPDRYTSRLLHEHDSLPQNLASSEPTLNFLLLNNQVIDSSNSNHILPCEIDSSNMSSTMTDRERVAEKYGLKILHVLAKGPVPYSGPSKGTNDINN >Manes.18G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1482124:1484654:-1 gene:Manes.18G013300.v8.1 transcript:Manes.18G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSSPHYQPKYTSNDEIEVAEVLLKLHTLIAESEYGLQLPLSWGSKRRRSADDSHRRVLLPSTPFPSLHGIGGLLVSVFDPDKTKTAVKVEASSPATPLSFSPSESDEKPKRLKRKASAKKTKEQLLEIVEQYTQSNESLTKEIEKSRQKYEELKASNLWLQAKKQELNLGIIRREEPQLEPKTSKNSLNYEVKIGQALVKASSTVVGYKDNHQLRLVIDQRPLIIDRTANKTGIGESYQYPFGQTLSLFPSRTGLGMSSISEDVGPSGIPDLNVSLVDSLWMDFAHPVDENRTLTKALAAQARHRRMQICREKNSYSKLRLSHR >Manes.12G099100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:24072740:24074848:-1 gene:Manes.12G099100.v8.1 transcript:Manes.12G099100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGESTSMPPESSACSGNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSHECPVCKALIQEEKLVPLYGRGKHQTDPRAKSYPGIDIPSRPAGQRPETAHSPSPSPPHGANNFPNDGFGLMGGFVPMATARIGNFTLSTAFGGLALFPSLFNVQFHGFPDATVYGTTSSFPYGYHSFHGGHAHGFPQPMRRGQHADNVLKNLLLLIGFLVVLALLWW >Manes.12G099100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:24073016:24073717:-1 gene:Manes.12G099100.v8.1 transcript:Manes.12G099100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFGESTSMPPESSACSGNNANDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSHECPVCKALIQEEKLVPLYGRGKHQTDPRAKSYPGIDIPSRPAGQRPETAHSPSPSPPHGANNFPNDGFGLMGGFVPMATARIGNFTLSTAFGGLALFPSLFNVQFHGFPDATVYGTTSSFPYGYHSFHGGHAHGFPQPMRRGQHADNVLKNLLLLIGFLVVLALLWW >Manes.01G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27157782:27159767:1 gene:Manes.01G070200.v8.1 transcript:Manes.01G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVESLSSSGILSIPKEYIRPQEELTSIGNVFAEEKKVEGPQVPTIDLKEVDCEDEVVREKCREKLVSAAKEWGVMHLVNHGISDDLINRVKKAGKDFFDLPVEEKEKYANDQAEGKIQGYGSKLANNASGQLEWEDYFFHLIFPEEKRDLSIWPKTPTEYTEATSEYARQLRGLATKMLSVLSIGLGLEEGRLEKEVGGLEELLLQMKINYYPKCPQPELALGVEAHTDISALTFILHNMVPGLQLFYQGKWVTAKCVPNSIIMHIGDTIEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKEKIILKPLSELVTETEPAQYPPRTFAQHIEHKLFRKNQEALLSK >Manes.02G215700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36195517:36208427:-1 gene:Manes.02G215700.v8.1 transcript:Manes.02G215700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVVELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEPFESRTSVVDEILNALKDAGVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTLKADLKSVQQEIADWLDFKLDAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIANRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNRGSSLKIKENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLRTLCLDFCVLEDTAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGRNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIERKKMNPITAFPKLESLFLHNLNNLEKIYRGPYTVESFSDLRKLKVENCNALRSLFSFSIFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKMLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGEQLLEIFPSKLLEKFLVNLESLTVTDCDSVKEVFDLQAIVKERETHVVRHSQLRILWISNLPNLIQIWNRDPHGILSFYNLREVRAWDCPNLKKLFPFSVAQCLPHLELLSIGDCGMEEIVTKEERPEPLAIIPNFAFRGLKTIFLWRLDELKYFYSGKHTLECSQLKHLDVNLCAKLQTFNFESQEIQEMLMDKQEDELKLQIPQPLFSFREIIGNLEKLAINDQDAAMIQQSQFPMDLFLKLKFLELQSFDYSFLNLPLNLLQKFPNLEELVLTDCYFKELLQHGHGHDPVLSQIRCLRLIRLPNIRHVWNQDSSFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLLVWKCNGLSSLVSSSTAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKMSSYTENLTILTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALTEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCRKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKIVSNLEKLTLTRKDAAMILEGQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMKNAREY >Manes.02G215700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36195517:36208426:-1 gene:Manes.02G215700.v8.1 transcript:Manes.02G215700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVVELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEPFESRTSVVDEILNALKDAGVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTLKADLKSVQQEIADWLDFKLDAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIANRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNRGSSLKIKENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLRTLCLDFCVLEDTAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGRNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIERKKMNPITAFPKLESLFLHNLNNLEKIYRGPYTVESFSDLRKLKVENCNALRSLFSFSIFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKMLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGEQLLEIFPSKLLEKFLVNLESLTVTDCDSVKEVFDLQAIVKERETHVVRHSQLRILWISNLPNLIQIWNRDPHGILSFYNLREVRAWDCPNLKKLFPFSVAQCLPHLELLSIGDCGMEEIVTKEERPEPLAIIPNFAFRGLKTIFLWRLDELKYFYSGKHTLECSQLKHLDVNLCAKLQTFNFESQEIQEMLMDKQEDELKLQIPQPLFSFREVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKMSSYTENLTILTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALTEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCRKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKIVSNLEKLTLTRKDAAMILEGQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMV >Manes.02G215700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36195517:36208426:-1 gene:Manes.02G215700.v8.1 transcript:Manes.02G215700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVVELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEPFESRTSVVDEILNALKDAGVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTLKADLKSVQQEIADWLDFKLDAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIANRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNRGSSLKIKENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLRTLCLDFCVLEDTAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGRNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIERKKMNPITAFPKLESLFLHNLNNLEKIYRGPYTVESFSDLRKLKVENCNALRSLFSFSIFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKMLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGEQLLEIFPSKLLEKFLVNLESLTVTDCDSVKEVFDLQAIVKERETHVVRHSQLRILWISNLPNLIQIWNRDPHGILSFYNLREVRAWDCPNLKKLFPFSVAQCLPHLELLSIGDCGMEEIVTKEERPEPLAIIPNFAFRGLKTIFLWRLDELKYFYSGKHTLECSQLKHLDVNLCAKLQTFNFESQEIQEMLMDKQEDELKLQIPQPLFSFREIIGNLEKLAINDQDAAMIQQSQFPMDLFLKLKFLELQSFDYSFLNLPLNLLQKFPNLEELVLTDCYFKELLQHGHGHDPVLSQIRCLRLIRLPNIRHVWNQDSSFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLLVWKCNGLSSLVSSSTAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKMSSYTENLTILTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALTEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCRKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKIVSNLEKLTLTRKDAAMILEGQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMV >Manes.02G215700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36195517:36208426:-1 gene:Manes.02G215700.v8.1 transcript:Manes.02G215700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVVELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEPFESRTSVVDEILNALKDAGVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTLKADLKSVQQEIADWLDFKLDAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIANRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNRGSSLKIKENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLRTLCLDFCVLEDTAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGRNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIERKKMNPITAFPKLESLFLHNLNNLEKIYRGPYTVESFSDLRKLKVENCNALRSLFSFSIFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKMLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKIIGNLEKLAINDQDAAMIQQSQFPMDLFLKLKFLELQSFDYSFLNLPLNLLQKFPNLEELVLTDCYFKELLQHGHGHDPVLSQIRCLRLIRLPNIRHVWNQDSSFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLLVWKCNGLSSLVSSSTAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKMSSYTENLTILTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALTEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCRKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKIVSNLEKLTLTRKDAAMILEGQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMV >Manes.02G215700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36195517:36209235:-1 gene:Manes.02G215700.v8.1 transcript:Manes.02G215700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVVELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEPFESRTSVVDEILNALKDAGVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTLKADLKSVQQEIADWLDFKLDAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIANRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNRGSSLKIKENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLRTLCLDFCVLEDTAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGRNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIERKKMNPITAFPKLESLFLHNLNNLEKIYRGPYTVESFSDLRKLKVENCNALRSLFSFSIFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKMLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGEQLLEIFPSKLLEKFLVNLESLTVTDCDSVKEVFDLQAIVKERETHVVRHSQLRILWISNLPNLIQIWNRDPHGILSFYNLREVRAWDCPNLKKLFPFSVAQCLPHLELLSIGDCGMEEIVTKEERPEPLAIIPNFAFRGLKTIFLWRLDELKYFYSGKHTLECSQLKHLDVNLCAKLQTFNFESQEIQEMLMDKQEDELKLQIPQPLFSFREIIGNLEKLAINDQDAAMIQQSQFPMDLFLKLKFLELQSFDYSFLNLPLNLLQKFPNLEELVLTDCYFKELLQHGHGHDPVLSQIRCLRLIRLPNIRHVWNQDSSFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLLVWKCNGLSSLVSSSTAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKMSSYTENLTILTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALTEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCRKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKIVSNLEKLTLTRKDAAMILEGQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMKNAREY >Manes.02G215700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36195517:36207865:-1 gene:Manes.02G215700.v8.1 transcript:Manes.02G215700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVVELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEPFESRTSVVDEILNALKDAGVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTLKADLKSVQQEIADWLDFKLDAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIANRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNRGSSLKIKENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLRTLCLDFCVLEDTAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGRNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIERKKMNPITAFPKLESLFLHNLNNLEKIYRGPYTVESFSDLRKLKVENCNALRSLFSFSIFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKMLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGEQLLEIFPSKLLEKFLVNLESLTVTDCDSVKEVFDLQAIVKERETHVVRHSQLRILWISNLPNLIQIWNRDPHGILSFYNLREVRAWDCPNLKKLFPFSVAQCLPHLELLSIGDCGMEEIVTKEERPEPLAIIPNFAFRGLKTIFLWRLDELKYFYSGKHTLECSQLKHLDVNLCAKLQTFNFESQEIQEMLMDKQEDELKLQIPQPLFSFREIIGNLEKLAINDQDAAMIQQSQFPMDLFLKLKFLELQSFDYSFLNLPLNLLQKFPNLEELVLTDCYFKELLQHGHGHDPVLSQIRCLRLIRLPNIRHVWNQDSSFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLLVWKCNGLSSLVSSSTAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKMSSYTENLTILTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALTEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCRKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKIVSNLEKLTLTRKDAAMILEGQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMKNAREY >Manes.02G215700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36195517:36208426:-1 gene:Manes.02G215700.v8.1 transcript:Manes.02G215700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVVELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEPFESRTSVVDEILNALKDAGVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTLKADLKSVQQEIADWLDFKLDAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIANRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNRGSSLKIKENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLRTLCLDFCVLEDTAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGRNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIERKKMNPITAFPKLESLFLHNLNNLEKIYRGPYTVESFSDLRKLKVENCNALRSLFSFSIFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKMLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGEQLLEIFPSKLLEKFLVNLESLTVTDCDSVKEVFDLQAIVKERETHVVRHSQLRILWISNLPNLIQIWNRDPHGILSFYNLREVRAWDCPNLKKLFPFSVAQCLPHLELLSIGDCGMEEIVTKEERPEPLAIIPNFAFRGLKTIFLWRLDELKYFYSGKHTLECSQLKHLDVNLCAKLQTFNFESQEIQEMLMDKQEDELKLQIPQPLFSFREIIGNLEKLAINDQDAAMIQQSQFPMDLFLKLKFLELQSFDYSFLNLPLNLLQKFPNLEELVLTDCYFKELLQHGHGHDPVLSQIRCLRLIRLPNIRHVWNQDSSFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLLVWKCNGLSSLVSSSTAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKMSSYTENLTILTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALTEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCRKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKIVSNLEKLTLTRKDAAMILEGQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMKNAREY >Manes.02G215700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36195517:36208426:-1 gene:Manes.02G215700.v8.1 transcript:Manes.02G215700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLVVDPIVSKVVELLVNPVVRQIKYVFNYSANIHNLEEEVEKLSHAKLRVEHTVEVARRNPLEQIEADVQQWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEPFESRTSVVDEILNALKDAGVNLIGVYGMGGVGKTTLVKHIATLVRELGIFKLVVIATVTLKADLKSVQQEIADWLDFKLDAESIAVRAARLSERIKKEEKILIILDDIWAAIKLDEIGIPYGTDHNGSKILMTSRNRSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIANRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNRGSSLKIKENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLRTLCLDFCVLEDTAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSRCQRLEVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGRNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIERKKMNPITAFPKLESLFLHNLNNLEKIYRGPYTVESFSDLRKLKVENCNALRSLFSFSIFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNNKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKMLEICDCKSMEEVILAAGEGKAMNKILLPKLDSLKLKGLPKLVRFCTAKLIECPSLKVLKLGNCPRLQAFVSTQVNTALFDEKVWFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGEQLLEIFPSKLLEKFLVNLESLTVTDCDSVKEVFDLQAIVKERETHVVRHSQLRILWISNLPNLIQIWNRDPHGILSFYNLREVRAWDCPNLKKLFPFSVAQCLPHLELLSIGDCGMEEIVTKEERPEPLAIIPNFAFRGLKTIFLWRLDELKYFYSGKHTLECSQLKHLDVNLCAKLQTFNFESQEIQEMLMDKQEDELKLQIPQPLFSFREIIGNLEKLAINDQDAAMIQQSQFPMDLFLKLKFLELQSFDYSFLNLPLNLLQKFPNLEELVLTDCYFKELLQHGHGHDPVLSQIRCLRLIRLPNIRHVWNQDSSFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLLVWKCNGLSSLVSSSTAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWHAQHLKMSSYTENLTILTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALTEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCRKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKCLIVYHCEKLNIFCPESENLLETDTESQTMIQDPQPLFSFRKIVSNLEKLTLTRKDAAMILEGQFPSDLFHKLTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDGSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANDGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMKNAREY >Manes.08G154700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38861964:38866954:-1 gene:Manes.08G154700.v8.1 transcript:Manes.08G154700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDAKSSAQDLEKVAADLRTSIWKQMAGAGIKYIPSNTFSYYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKTFSLLSLLGKILPVYKEVISELKAAGASWIQFDEPTIVMDLDSHKLQAFTDAYSELESTLSGLNVLIETYFADIPAEAFKTLTSLKGVTAYGFDLVRGTKTLELIKSEFPKGKYLFAGVVDGRNIWANNLAASLSTLHELEGIAGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKAVAGEKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTIELRRVRREYKAKKISEEDYVTAMKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSSAAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLEKNILWVNPDCGLKTRKYSEVKPALNNMVAAAKLLRTQLASAK >Manes.12G121900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32737438:32738367:1 gene:Manes.12G121900.v8.1 transcript:Manes.12G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDLSLPLPHRRSSCLSGCMMSPSCFPVHEEMEYSRIHYSSSSSSKRGRRWRNLIRRLVRDGKSSLYGSKPLSFNYDAVSYSQNFDEGSHYQESCPSRPKFFRDVRWDLQE >Manes.14G053900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4567092:4572026:1 gene:Manes.14G053900.v8.1 transcript:Manes.14G053900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESIPRGDEKLQTEVPLLDSGERDGAQLSKSIRCLESFLRFFGYCQYSFLSFLISWLSFLILGVVLPVFMIKCSASAYVEKYQINSFEFQILVFQSLASVISLVCISHNLRKYGVRNFLFVDRSHGQSSEFRDEYVKKIHDFFFLLAVWVLPCLLLKIVLEVVRVIHVHRDPWWQSVLISIALIISWTYSTIIFLSGCALFNLLCNVQVIHFENYGKLLEKDLDVLVYIQEHIRLTHYLSKISHRFRIFFIFEILVVTACQIVALFQTTGNKDIITLANGGDFAISCIAELVGIVICLHAAAKTTHRAQGVASVAAKWHSLVTCNSNDAVINGNNGNLETPNLASLFRVDYSESDLESVDYVPMPTDMQLTSSYNKRQAFVTYLQSNPGGFSVFGWRIERTLINTIFFLEISLVLFVLGKTVTFTSK >Manes.13G133100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34188073:34188889:1 gene:Manes.13G133100.v8.1 transcript:Manes.13G133100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCASSQSRNSIKHHSPSQSTIKVVRCDGKLQELKQPIKASYIKTQNPNFFLCSSESMIVGMCVPEISDDEELQLGQIYFLLPLSQAHKPLFLPDLCALAAIASSSIGNAGYPFVVF >Manes.13G088609.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:21478024:21478662:1 gene:Manes.13G088609.v8.1 transcript:Manes.13G088609.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGKGFLRIRALNKIPFLLCLSPFSPLQSPFKRYINNINIQNLKEMKGEIKRFTTGRSLHTIVHGNLGVDWTKGCLPPGVIGKWIMTLRYSVH >Manes.15G162800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13550540:13552195:-1 gene:Manes.15G162800.v8.1 transcript:Manes.15G162800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFLVEDEFVRDSVKFSNSSTTFGQAFRDFSACSKKGGGILNFIHLHPSERACDAGGPSCDQLEQP >Manes.15G162800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13550540:13553511:-1 gene:Manes.15G162800.v8.1 transcript:Manes.15G162800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFLVEDEFVRDSVKFSNSSTTFGQAFRDFSACSKKGGGILNFIHLHPSERACDAGGPSCDQLEQP >Manes.15G162800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13551231:13551986:-1 gene:Manes.15G162800.v8.1 transcript:Manes.15G162800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFLVEDEFVRDSVKFSNSSTTFGQAFRDFSACSKKGSSYNIYIFSFFPSFT >Manes.15G162800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13550540:13552195:-1 gene:Manes.15G162800.v8.1 transcript:Manes.15G162800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFLVEDEFVRDSVKFSNSSTTFGQAFRDFSACSKKVVDIEAEIKDAD >Manes.15G162800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13551139:13551986:-1 gene:Manes.15G162800.v8.1 transcript:Manes.15G162800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFLVEDEFVRDSVKFSNSSTTFGQAFRDFSACSKKVVDIEAEIKDAD >Manes.15G162800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13550540:13553511:-1 gene:Manes.15G162800.v8.1 transcript:Manes.15G162800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFLVEDEFVRDSVKFSNSSTTFGQAFRDFSACSKKGGGILNFIHLHPSERACDAGGPSCDQLEQP >Manes.15G162800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13550540:13553511:-1 gene:Manes.15G162800.v8.1 transcript:Manes.15G162800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGTCPNCGNDFQIFKSTLNDELQLCPFCSQPFLVEDEFVRDSVKFSNSSTTFGQAFRDFSACSKKVVDIEAEIKDAD >Manes.08G170900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40500335:40504497:-1 gene:Manes.08G170900.v8.1 transcript:Manes.08G170900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVELLAIRNFNCSQLRSVSSSSSKSLCPSSSLKLQPLLKSRGYTVSNDASAVFAPKGLGIGIGIGFPYLNGGNPLNRSIVPFAASHEHSHLEIEVEKENSGDELGAEESQEAWKETLASFKEQALKMQTVSQEAYDIYSKKAMVILKETSEQLKVKADKAKGDLAVLAKEMSEESKEFLSVAAQNSPETVKEVVETFASSTDNLNDFSQIRDFHLGIPYGLLLSVGGFLSFMLTGSVSALRFGIILGGALLALSISSLKSYEKGQPCTSVVKGQAGIAAIIFLREIRLLSQRASILTFFSTVISGAMVAFFLYKITVNGKQQEGSDMEQEAEN >Manes.08G170900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40500336:40504497:-1 gene:Manes.08G170900.v8.1 transcript:Manes.08G170900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVELLAIRNFNCSQLRSVSSSSSKSLCPSSSLKLQPLLKSRGYTVSNDASAVFAPKGLGIGIGIGFPYLNGGNPLNRSIVPFAASHEHSKHLEIEVEKENSGDELGAEESQEAWKETLASFKEQALKMQTVSQEAYDIYSKKAMVILKETSEQLKVKADKAKGDLAVLAKEMSEESKEFLSVAAQNSPETVKEVVETFASSTDNLNDFSQIRDFHLGIPYGLLLSVGGFLSFMLTGSVSALRFGIILGGALLALSISSLKSYEKGQPCTSVVKGQAGIAAIIFLREIRLLSQRASILTFFSTVISGAMVAFFLYKITVNGKQQEGSDMEQEAEN >Manes.04G005501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:787305:787949:1 gene:Manes.04G005501.v8.1 transcript:Manes.04G005501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSILQFLENKTILLTGVTGFLAKTFVEKILRVQPNVKKLYLLLRAADATSASYRFHNEVIYIRSSKCLILYLLDSLVPSYKICEFKTIYIYIYIYIYDRNEVILMDDNLS >Manes.10G133707.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30080047:30081468:1 gene:Manes.10G133707.v8.1 transcript:Manes.10G133707.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAMVDAVVMFPSPAIGHFISMVELGKLILTFQPSLSIHILIVSAPYSAGSTASYIADVAATTPSISFHRLPTITLPSSTNTHYETLIFEVLRLSNPHVHQALLSISKTHKIKAFIMDFFCFFSLSIASQLNIPGYFFFTSGAGCLAASMYFPTLHQTTTKSFKDMNTFLNLPGLPPISSSNFSSAASDRNNKAYEYFLDMAYCFPKSAGVIVNTFAVLEARALKAISDGLCIPDSTTPPVYCTGPLIATNNQTDGDTECLNWLESQLSQSVIFLCFGSLGLFSMEQLREIASGLERSGQRFLWVVRNPPSDSQSLDISALPEPDLNSLLPDGFLDRTKEKGLVVKSWAPQVAVLNHKSVGGFVTHCGWNSVLEAVSAGVPMVAWPLYAEQKFNRLMLVEEMKIALPMKEDEKGFVTGLEVEKRVNELMQSDSGKSVRERTIAMKNAAKAALSEGGSSRAAMSRLVESWKH >Manes.14G116221.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11040994:11042492:-1 gene:Manes.14G116221.v8.1 transcript:Manes.14G116221.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRISPIALDFSSIGLSSFVIVERRNWGKPRSRCGQNTRRGET >Manes.08G031700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3051874:3054908:-1 gene:Manes.08G031700.v8.1 transcript:Manes.08G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKVQFFSNHKSSFPYPFKLHNFPSSHHDFPSLSCSRTLHFPSLSSKTNTISTPPKPPKTTELPSVSEPVNNPPTPPPPKADFQEKMLYLDSIGIDIFSLVDHHRPVILSASLADIKSIVDLFTSMNFNSLEFRRIVSMCPEILASNASTIVPVFTFLLREARVNGSDIKRVINRRPRLLVSSVKQRLRPTLYFLQSIGIEEVNKHTYLLSCSVEDKLLPRIQYFENMGFLYKDAISMFRRFPPLFNYSIKDNIEPKLNYFVVEMGRDLKELKEFPQYFSFSLENRIKPRHQSCVEKSMYFPLRALLKTNEEQFRGRLDVCCNSSMPLSSSPLSCISCHIDSNTE >Manes.17G111400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31785545:31788549:-1 gene:Manes.17G111400.v8.1 transcript:Manes.17G111400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGRAWLIDSRTIARKVRNPTRASAYQIKDYGANRECPNCHHCIDNSDVCHDWPGLPAGVKFDPSDAELLEHLSAKCGVGDTNPHAFIDEFIPTLHDDKGICYTHPENLPGAKKNGSNIHFFHRNINAYATGRRKRRKVHNQQGSSEEHVRWHKTGKTKSVMENGVQKGCKKIMVLYKSSKKGTKPDKSNWVMHQYHLGTDEDEKEGEYVVSKIFYQQQKQHDLNDDGLGFEDSDTLALHTSPRTPITNPPNPPRPKKSDILDDVADEKEQDIIKGASDVQVPAVHPEDDVGYSAWLAGESQAVENYDFSSIDDSLLCKEIFDSSSLFLSNSGNVSYNGSAHTRNEVTGDTTNNNNNNNAPCGIADLENLELDTPPDFQLADLQFSSQDSILGWIDRL >Manes.17G111400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31785545:31788549:-1 gene:Manes.17G111400.v8.1 transcript:Manes.17G111400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAWLIDSRTIARKVRNPTRASAYQIKDYGANRECPNCHHCIDNSDVCHDWPGLPAGVKFDPSDAELLEHLSAKCGVGDTNPHAFIDEFIPTLHDDKGICYTHPENLPGAKKNGSNIHFFHRNINAYATGRRKRRKVHNQQGSSEEHVRWHKTGKTKSVMENGVQKGCKKIMVLYKSSKKGTKPDKSNWVMHQYHLGTDEDEKEGEYVVSKIFYQQQKQHDLNDDGLGFEDSDTLALHTSPRTPITNPPNPPRPKKSDILDDVADEKEQDIIKGASDVQVPAVHPEDDVGYSAWLAGESQAVENYDFSSIDDSLLCKEIFDSSSLFLSNSGNVSYNGSAHTRNEVTGDTTNNNNNNNAPCGIADLENLELDTPPDFQLADLQFSSQDSILGWIDRL >Manes.13G075157.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11297743:11301896:1 gene:Manes.13G075157.v8.1 transcript:Manes.13G075157.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCDLEVDVNGEETFMVDKKLISSYSGRLSKLFGKSTGSTRHIKVIFNDFPGGAESFELISRFCYNNGRIDITPYNISLLHYAAQYMEMNNSVSGSQNLMEQIEKSLQEINDWTWLEVLVAVKQCQGLFPPMDCSVILEKCMDSIIGRIFSSSESSPSLSNFSHDSSGIRFSCETRSTESLKSSFSRATWWFEDLLVLSPELVEMVIKSMVLQKFDHAIISRFLIYYQKSKFYTATSDEKRKIVETVIDMLHILDWNSVLCKNLFGILRVASIFNISKRSKNKLESMIGSQMDQAALDNLLIPSPHGMAHLYDINLVLRFVKAFLHNGNSQVTSMRLRKVARLMDMYIAEVAPDPCLKPSKFLALAMALPDSARDSYDEIYHAIDIYLEVHSGLSEEEKAKLYCALNCEKLSAEARIHLSQNKTFSSRTAVQALKSQQLKLMSLLHGTNNLKCYDGSSSSLGEMGSKGKANEASERLMLYTGKFDLSSDSEKLRAHLQGMQCRVMELEKVCRKMQTQMTKIMKSRVSSHSNARALPKLCS >Manes.17G109800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31613342:31614767:-1 gene:Manes.17G109800.v8.1 transcript:Manes.17G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTVLIIGAGPSGLATSACLNLLSIPNIVLEREDCYASLWRNRAYDRLKLHLAKRYCELPHMPYPSEFPTFIPRSDFISYLDNYVSRFNIEPRCRRNVESAYFDDKEGGNWCVTVKNLELDVHEVYVAKFLVVATGENSQGSIPNVPGLDSFSGEFIHSSQFVNGKKFKDKEVLVVGSGNSGMEIAFDLSNHDAHTSIVSRSPVHVLTKEMVFLGMFMLEYLPCKLVDTIAVTLSKFRYGNLSNYGLERPTEGPFQIKARLGQSPTIDVGTMDKIKRGEIKVLPSITSIKANKIMFENGTIDQFDTIVFATGYKSTVRNWLKGGEDLFNEEGMPRGEFPNHWRGENGLYAAGFARRGLHGISMDAKNIARDINLSLQNHHQKYINID >Manes.09G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34497508:34501604:-1 gene:Manes.09G147500.v8.1 transcript:Manes.09G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIIPVITGENGRKKLKCEISHSSKIQGTAILQTKKNVLNSLHELFDKLTGKKVFLQLISAFNGHPEDGLRGKTGRPAYLEKCNTTLPAAPLQEGEVQFQISFDWEEEIGVPGAFTIRNDHHGEFFLKSLTLEHVPGHGSIHFDCHSWVYPAKFYQKDRVFFSNKTYLPYNTPVPLRKYREEELENLRGDGKGELHEADRIYDYAYYNDLGDHKHVRPVLGGCLDRPYPRRVRTGRGPVDSDPRNESRLPLLKSLSIYVPRDERFSLSKNEDFLLNSFKALTQLSGPELKSLFDKEFYSFKDVLTLYTEGIKLPDGPLHASLEMINMIFGSGRKFPSPQVIQADESAWSSDEEFARELLAGVNPVSICRLEEFPPKSKLNHERFGDQNSSITKEHIENKLHGMIIEEAIEKNKLFILDYHDVLMPFLRLINETSTKVYATRTLLFLKEDGTLKPLAIELSLPHPGGDQFGAVSNVYTPAEHGIEGSIWKLAKAYVAINDSGFHQLISHWLRTHAVIEPFVIATNRQLSVLHPVYKLLHPHFRDTLYINALARQVLINAEGVLEATVFPGKYSMEMSSAVYKDWIFTDQALPEDLKKRGMAVEDENSPNGLRLMIEDYPFAVDGLEIWSAIKKWVKQYSSFYYKTNDMVRQDSELQSWWKEIQEKGHGDKKDELWWPRMQTCEELIEICTIIIWIASALHAAINFGQYPYGGYLPNRPTISRRLMPEKGSADFKELESNFEEAFFKTVTAKPLALLGISLVEILSMHSADEEYLGQRTPGWTSDAEPLQAFKKFQETLEGIGDRILDRNRDTRLKNRSGPVNVPYTLLFPASEEGLTGKGIPNSISI >Manes.09G147500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34497508:34501604:-1 gene:Manes.09G147500.v8.1 transcript:Manes.09G147500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENIIPVITGENGRKKLKCEISHSSKIQGTAILQTKKNVLNSLHELFDKLTEDGLRGKTGRPAYLEKCNTTLPAAPLQEGEVQFQISFDWEEEIGVPGAFTIRNDHHGEFFLKSLTLEHVPGHGSIHFDCHSWVYPAKFYQKDRVFFSNKTYLPYNTPVPLRKYREEELENLRGDGKGELHEADRIYDYAYYNDLGDHKHVRPVLGGCLDRPYPRRVRTGRGPVDSDPRNESRLPLLKSLSIYVPRDERFSLSKNEDFLLNSFKALTQLSGPELKSLFDKEFYSFKDVLTLYTEGIKLPDGPLHASLEMINMIFGSGRKFPSPQVIQADESAWSSDEEFARELLAGVNPVSICRLEEFPPKSKLNHERFGDQNSSITKEHIENKLHGMIIEEAIEKNKLFILDYHDVLMPFLRLINETSTKVYATRTLLFLKEDGTLKPLAIELSLPHPGGDQFGAVSNVYTPAEHGIEGSIWKLAKAYVAINDSGFHQLISHWLRTHAVIEPFVIATNRQLSVLHPVYKLLHPHFRDTLYINALARQVLINAEGVLEATVFPGKYSMEMSSAVYKDWIFTDQALPEDLKKRGMAVEDENSPNGLRLMIEDYPFAVDGLEIWSAIKKWVKQYSSFYYKTNDMVRQDSELQSWWKEIQEKGHGDKKDELWWPRMQTCEELIEICTIIIWIASALHAAINFGQYPYGGYLPNRPTISRRLMPEKGSADFKELESNFEEAFFKTVTAKPLALLGISLVEILSMHSADEEYLGQRTPGWTSDAEPLQAFKKFQETLEGIGDRILDRNRDTRLKNRSGPVNVPYTLLFPASEEGLTGKGIPNSISI >Manes.15G136000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11002126:11007602:-1 gene:Manes.15G136000.v8.1 transcript:Manes.15G136000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGIAEMALSSLGKGFDLTSDFRLKYCKGKDRLVLLNEAETRDLLVPCFGAVKDVSIDIKCDKGDRVRYQSDILEFQQMSEVFNQKSSVPGKIPSGLFNSMFGFEGGSWAADATATKYLGLDGYFIVLFDVHIDRYPLILSDEVLNAVPSSWDPCAIARFIEKYGTHIVVGLGIGGQDVVLVRQDRSSNLGPSELKKHLYDLGDQLFTGTCNFSPKSREQQNKAPQAFNVFDPQPETFNSFSSMSRKDGITVICAKRGGDLSANSHCEWLLTVPSKPDAIHFNFIPITSLLKSVPGKGFLSHAINLYLRYKPPISDLHYFLDFQSHKIWAPIHNDLPLGPTTNMAGPSPALHFNMMGPKLYVNTAQVTVEKKPVTGMRFYLEGRKGNRLAIHLQHLSITPKILQNKIDDAQWWRGSEENNDDGFIEAVSRKMFSHICTAPVKYNPRWSTRTEEAYIVTGAQLHVKKHESKNVLHLRLLYSKVSDSIVAQSRWVQVSSEYSQKSSGLLSALSTSLSGSLAKEKPQAVVVDSSVFPSGPPLPVQTQKLLKFVDLSQLCKGPQDSPGHWLVTGAKLELERGKICLQSIGPTVIPSGGHARAHVPITWNSCFAI >Manes.15G136000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11002126:11007622:-1 gene:Manes.15G136000.v8.1 transcript:Manes.15G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGIAEMALSSLGKGFDLTSDFRLKYCKGKDRLVLLNEAETRDLLVPCFGAVKDVSIDIKCDKGDRVRYQSDILEFQQMSEVFNQKSSVPGKIPSGLFNSMFGFEGGSWAADATATKYLGLDGYFIVLFDVHIDRYPLILSDEVLNAVPSSWDPCAIARFIEKYGTHIVVGLGIGGQDVVLVRQDRSSNLGPSELKKHLYDLGDQLFTGTCNFSPKSREQQNKAPQAFNVFDPQPETFNSFSSMSRKDGITVICAKRGGDLSANSHCEWLLTVPSKPDAIHFNFIPITSLLKSVPGKGFLSHAINLYLRYKPPISDLHYFLDFQSHKIWAPIHNDLPLGPTTNMAGPSPALHFNMMGPKLYVNTAQVTVEKKPVTGMRFYLEGRKGNRLAIHLQHLSITPKILQNKIDDAQWWRGSEENNDDGFIEAVSRKMFSHICTAPVKYNPRWSTRTEEAYIVTGAQLHVKKHESKNVLHLRLLYSKVSDSIVAQSRWVQVSSEYSQKSSGLLSALSTSLSGSLAKEKPQAVVVDSSVFPSGPPLPVQTQKLLKFVDLSQLCKGPQDSPGHWLVTGAKLELERGKICLQVKFSLLNICS >Manes.13G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4876603:4880250:-1 gene:Manes.13G038500.v8.1 transcript:Manes.13G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAWEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGIMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPKAPLEKVCLLGCGVPTGLGAVWNTAKVEAGSIVAIFGLGTVGLAVAEGAKTAGASRIIGIDIDSKKFDTAKNFGVTEFVNPKDHDKPIQQVIVDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKVDEYVTHNLTLGEINKAFDLMHEGGCLRCVLSVHV >Manes.15G176072.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:21254061:21255165:-1 gene:Manes.15G176072.v8.1 transcript:Manes.15G176072.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFLILSFTLIVFISLLPFAALANFNDKKSLPFDFLKHLQGCHKGDKLKGIHKLKTYLEHFGYLHYKNQSHANDDDFDELLEFALKTYQLNYHLKVTGSLDSQTVSKMIMPRCGVPDIVNDTTRMDSGKKNHHHSSTILHTVSHYTFFRGNPKWPASKYSLTYGFLPQTPTRAMNPVAKAFQTWAANTHFRFSRVQDYTTADIKIGFHRGNHGDRNSFDGRGGILAHAFAPQNGRFHFDADERWAVGAIQGAYDVETVALHEIGHLLGLEHSSVEGAIMYPTISAGTTKGLHRDDIQGIRALYNV >Manes.11G101600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22593833:22598844:-1 gene:Manes.11G101600.v8.1 transcript:Manes.11G101600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALIHSVILKARKELGHNSPTNTSTPSSPSPLFSNNPLTISRQSTTSSRLGFSIPPSLTIPNPPSNSSSSWSAVSDLSNHPDDLISPSGSSLNPASAPFYANGGGVGSDLIDEFQLQDQLAFLGDNSANLGPKSPDLFHPQLDSLSSPTPAGVAAADSMLFPSYCGGPIHRRSCSVSDILGSEDPSAGFGWRPCLYFARGYCKNGSNCRFVHSGMGESDGSTVVGSPNKIEVMDQCHEMLRSKSAQQHRLAGASQLMSSASFPYSPKCMNLLLQQQQSDNQRAAAAAAALMVGEDMHKICRSRLDRSELVNPASRQIYLTFPADSTFREEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNPDDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSTGSQTPSTTYTGNLFNQSVPLPSLLSSREVPNENSCSYGPSISVAAPPEKQTSGIASAKEFNSGEENNSGKRNFHGETTGLQESLDHNLPDSPFASPTKGSGEYFSAFIINGGSETEKDTATVPPSSSANNNLVTSTSVAASSSLEMAPFKSFNCQLPRFSSGHGAIGMYAGTGGPTCPVGI >Manes.11G101600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22593833:22598395:-1 gene:Manes.11G101600.v8.1 transcript:Manes.11G101600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALIHSVILKARKELGHNSPTNTSTPSSPSPLFSNNPLTISRQSTTSSRLGFSIPPSLTIPNPPSNSSSSWSAVSDLSNHPDDLISPSGSSLNPASAPFYANGGGVGSDLIDEFQLQDQLAFLGDNSANLGPKSPDLFHPQLDSLSSPTPAGVAAADSMLFPSYCGGPIHRRSCSVSDILGSEDPSAGFGWRPCLYFARGYCKNGSNCRFVHSGMGESDGSTVVGSPNKIEVMDQCHEMLRSKSAQQHRLAGASQLMSSASFPYSPKCMNLLLQQQQSDNQRAAAAAAALMVGEDMHKICRSRLDRSELVNPASRQIYLTFPADSTFREEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNPDDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSTGSQTPSTTYTGNLFNQSVPLPSLLSSREVPNENSCSYGPSISVAAPPEKQTSGIASAKEFNSGEENNSGKRNFHGETTGLQESLDHNLPDSPFASPTKGSGEYFSAFIINGGSETEKDTATVPPSSSANNNLVTSTSVAASSSLEMAPFKSFNCQLPRFSSGHGAIGMYAGTGGPTCPVGI >Manes.11G101600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22593833:22598844:-1 gene:Manes.11G101600.v8.1 transcript:Manes.11G101600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALIHSVILKARKELGHNSPTNTSTPSSPSPLFSNNPLTISRQSTTSSRLGFSIPPSLTIPNPPSNSSSSWSAVSDLSNHPDDLISPSGSSLNPASAPFYANGGGVGSDLIDEFQLQDQLAFLGDNSANLGPKSPDLFHPQLDSLSSPTPAGVAAADSMLFPSYCGGPIHRRSCSVSDILGSEDPSAGFGWRPCLYFARGYCKNGSNCRFVHSGMGESDGSTVVGSPNKIEVMDQCHEMLRSKSAQQHRLAGASQLMSSASFPYSPKCMNLLLQQQQSDNQRAAAAAAALMVGEDMHKICRSRLDRSELVNPASRQIYLTFPADSTFREEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNPDDMLWRRKLEEQADLQQALELQNRRLMGLQLLDVKKHHHHRALSTGSQTPSTTYTGNLFNQSVPLPSLLSSREVPNENSCSYGPSISVAAPPEKQTSGIASAKEFNSGEENNSGKRNFHGETTGLQESLDHNLPDSPFASPTKGSGEYFSAFIINGGSETEKDTATVPPSSSANNNLVTSTSVAASSSLEMAPFKSFNCQLPRFVLTKKK >Manes.18G145569.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28860548:28870020:-1 gene:Manes.18G145569.v8.1 transcript:Manes.18G145569.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDNQGFEEAHMLASREEMENLVLDEPSNNSNGTKSFSDYRSAMSSLADTQHPLSPPMVVAPGDSDPLLTPSSINDLRNPHVSTDNSSYIEPPSYADVIFSPFDENAGNEINGVDSPDRASDSSVSLSRSPSSTSDYIKITVSNPQKEQETSNSLVPGSNTYVTYLIATRTNIPGFSGSEFSVRRRFRDVVTLSDRLAESYRGFFIPPRPDKNLVESQVMQKQEFVEQRRVALEKYLRRLAAHPVIRKSDELKAFLQVQGKLPLPTSTDVASRMLDGAVKLPKQLFGESAVAAPHEVVQPAKGGRDLLRLFKELRQSVSNDWVGSKPPVVEEDKEFLEKKDRMHDLEQQLSNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAIFTSQRVTAADMKNVATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLSVHGAFSDRSSALLTVQTLISELSSLQSRAEKLEAASSKIFGGDKSRIRKIEELKDTIRVTEDAKHVAIREYERIKDNNRSELERLDRERHTDFLNMLKGFVLNQIAPKSTLHVKLK >Manes.18G145569.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28860548:28870020:-1 gene:Manes.18G145569.v8.1 transcript:Manes.18G145569.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASREEMENLVLDEPSNNSNGTKSFSDYRSAMSSLADTQHPLSPPMVVAPGDSDPLLTPSSINDLRNPHVSTDNSSYIEPPSYADVIFSPFDENAGNEINGVDSPDRASDSSVSLSRSPSSTSDYIKITVSNPQKEQETSNSLVPGSNTYVTYLIATRTNIPGFSGSEFSVRRRFRDVVTLSDRLAESYRGFFIPPRPDKNLVESQVMQKQEFVEQRRVALEKYLRRLAAHPVIRKSDELKAFLQVQGKLPLPTSTDVASRMLDGAVKLPKQLFGESAVAAPHEVVQPAKGGRDLLRLFKELRQSVSNDWVGSKPPVVEEDKEFLEKKDRMHDLEQQLSNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAIFTSQRVTAADMKNVATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLSVHGAFSDRSSALLTVQTLISELSSLQSRAEKLEAASSKIFGGDKSRIRKIEELKDTIRVTEDAKHVAIREYERIKDNNRSELERLDRERHTDFLNMLKGFVLNQVGYAEKIANVWTKVAEETSAYSREST >Manes.18G145569.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28860548:28870021:-1 gene:Manes.18G145569.v8.1 transcript:Manes.18G145569.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDNQGFEEAHMLASREEMENLVLDEPSNNSNGTKSFSDYRSAMSSLADTQHPLSPPMVVAPGDSDPLLTPSSINDLRNPHVSTDNSSYIEPPSYADVIFSPFDENAGNEINGVDSPDRASDSSVSLSRSPSSTSDYIKITVSNPQKEQETSNSLVPGSNTYVTYLIATRTNIPGFSGSEFSVRRRFRDVVTLSDRLAESYRGFFIPPRPDKNLVESQVMQKQEFVEQRRVALEKYLRRLAAHPVIRKSDELKAFLQVQGKLPLPTSTDVASRMLDGAVKLPKQLFGESAVAAPHEVVQPAKGGRDLLRLFKELRQSVSNDWVGSKPPVVEEDKEFLEKKDRMHDLEQQLSNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAIFTSQRVTAADMKNVATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLSVHGAFSDRSSALLTVQTLISELSSLQSRAEKLEAASSKIFGGDKSRIRKIEELKDTIRVTEDAKHVAIREYERIKDNNRSELERLDRERHTDFLNMLKGFVLNQIAPKSTLHVKLK >Manes.18G145569.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28860548:28870020:-1 gene:Manes.18G145569.v8.1 transcript:Manes.18G145569.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDNQGFEEAHMLASREEMENLVLDEPSNNSNGTKSFSDYRSAMSSLADTQHPLSPPMVVAPGDSDPLLTPSSINDLRNPHVSTDNSSYIEPPSYADVIFSPFDENAGNEINGVDSPDRASDSSVSLSRSPSSTSDYIKITVSNPQKEQETSNSLVPGSNTYVTYLIATRTNIPGFSGSEFSVRRRFRDVVTLSDRLAESYRGFFIPPRPDKNLVESQVMQKQEFVEQRRVALEKYLRRLAAHPVIRKSDELKAFLQVQGKLPLPTSTDVASRMLDGAVKLPKQLFGESAVAAPHEVVQPAKGGRDLLRLFKELRQSVSNDWVGSKPPVVEEDKEFLEKKDRMHDLEQQLSNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAIFTSQRVTAADMKNVATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLSVHGAFSDRSSALLTVQTLISELSSLQSRAEKLEAASSKIFGGDKSRIRKIEELKDTIRVTEDAKHVAIREYERIKDNNRSELERLDRERHTDFLNMLKGFVLNQVGYAEKIANVWTKVAEETSAYSREST >Manes.18G145569.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28867056:28869044:-1 gene:Manes.18G145569.v8.1 transcript:Manes.18G145569.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDNQGFEEAHMLASREEMENLVLDEPSNNSNGTKSFSDYRSAMSSLADTQHPLSPPMVVAPGDSDPLLTPSSINDLRNPHVSTDNSSYIEPPSYADVIFSPFDENAGNEINGVDSPDRASDSSVSLSRSPSSTSDYIKITVSNPQKEQETSNSLVPGSNTYVTYLIATRTNIPGFSGSEFSVRRRFRDVVTLSDRLAESYRGFFIPPRPDKNLVESQVMQKQEFVEQRRVALEKYLRRLAAHPVIRKSDELKAFLQVQGKLPLPTSTDVASRMLDGAVKLPKQLFGESAVAAPHEVVQPAKGGRDLLRLFKELRQSVSNDWVGSKPPVVEEDKEFLEKKDRMHDLEQQLSNASQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAIFTSQRVTAADMKNVATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLSVHGAFSDRSSALLTVQTLISELSSLQSRAEKLEAASSKIFGGDKSRIRKIEELKDTIRVTEDAKHVAIREYERIKVLSTFLVHIKIGLCLWSSNYFNNFSIFVIAKDLELL >Manes.14G126300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10018641:10022402:-1 gene:Manes.14G126300.v8.1 transcript:Manes.14G126300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFFDSEMDNYHGDLTDIVRGSAGGLCQSDAPVSNWEFPSDPLNLSFSSSSAAMEDTRDNVFGDPFCNMRDPLLHELNVAASSGYFSSPNSTGRVLSSSPDDTSNDFSRANASNILAHKVFEEEMNKNPCNIFSRIQISQKNPTKLPVSPCNSPVIAAAAAASSPRGFKASSMVSIDMINTNSSKTCLIDNAGPVQISSPRNLGIKRRKSQAKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPPKNNAGGSKSSLSSQPQNSANAKEEQKENSNDTLSPTMLGGSSTSSAPVKEETEDVEKQMEVDDTDRFSEGFPQSYRPAMPAECNQSEDFFSDLGEIEADPLDLLFSQGFNGDEQKEGKALDPFGFFDWSEDINTNRGL >Manes.06G125900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25563906:25568291:1 gene:Manes.06G125900.v8.1 transcript:Manes.06G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLNGTVWMEDKEAQHSTTDNNIHNSCDVIESKEDMGSLSTFKSMLEVEEEDWYIANSSIHHHQDTIRDITFSPNLAETDNLLLHPADSSSSCSPASSVFNNIDPSQVPYFMHSKPTLSSLLNAVSNNPLEHDIELGEIGFLDNQSTTTTTTNASPLLNRGGGVLSNYTDLSSNNLFSSSNLSPDPPFSTTRALQLPENGPGFTGFNGFVESSGNRLFCNRSKLLRPLETFPSMGAQPTLFQKRAALKKNLTDNGSNLGVLSGIEIDKGKREITQLIEENEKKSKLGKLDDTVEDVSIDGSGLNYDSDEVTENIKMEEIGKNGGNSSNANSSVTGGGVGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTPGSSLTPTSFHPLTPTPSTLPTRIKDKLCPTSLPSPNAQPARVEVRVREGRAVNIHMFCGRRPGLLLSTMRALDNLGLDIQQAVISCFNGFAMDIFRAEQSKEGQDVQPEQIKAVLLDSAGFHGMI >Manes.10G016900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1758871:1760982:-1 gene:Manes.10G016900.v8.1 transcript:Manes.10G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVHEPNPMTLPYKYPYPLLLPCILSSYLPISHTVSQASKPKPDIQRRKMPPSPSSLTLVSKCTVFPDQKSAMEDLKLSVSDLPMLSCHYIQKGGLFTRPPIPIDSLVSLLKNSLSQTLSCFPPLAGRLRTDSNGYVYITCNDAGVDFLHASATHLSIRDILSPVHVPECVKSFFAFDRTVSYDGHYKPILAVQVTELADGVFIGCALNHSVTDGTSFWNFFNTFAELSRGIKKISRRLQPDFSRNSVLISPEVLQVPEGGPKVTFNENEPLSERIFSFSRESILKLKARANNRKWIENSGIDAVELMGKQSNDPYHHQINEKSTMTAILENWFKNAVSKPQEMESSNSSSASVEISSFQSLCALLWKAVTRARKLSPTKTTTFRMAVNCRHRLNPKLDPLYFGNAIQSIPTYATAGDVLSQDLRWCAEQLNKNVMAHKDTMVRRFVENWEENPKCFPLGNFDGASMTMGSSPRFPMYDNDFGWGRPLAVRSGAANKFDGKISAFPGREGGGSVDLEVVLAPETMAEIESDYEFMQYVSN >Manes.18G017800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1816812:1820536:1 gene:Manes.18G017800.v8.1 transcript:Manes.18G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRGSRSEKVKRIFQKFDSNRDGGLNREEMAALVVSVNPRVRFSDEQINAILDEVFRTYGEFIDGEKGLTYEGLLRTYDDGAGDVDRDFDALELELNLDDNKGISIASEASSSSILDERAMESHKKQRTAAWAVSPNHGIVFDDTWKMVDDLEILIKRLKAKQAKDGKLKGDNFDAYSDAGWSRELGPSSEISDKRIFWEESGHDYAVFVKELGVLRNRADGARSREEAFDGHMAIGRVLYEHQLFKEALVSFKRACELQPVDVRPHFRAGNCLYVLGRYKESKEEFLLALEAAEAGGNQWAYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYMAAVKALEEAIFMKPDYADAHCDLASALHAMGEDEKAIEVFQKAIDLKPSHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKKALKEALKMTNRVELHDAISHLKQLQKKKVKPNGGANGEGAFIIVEPSKFKTIGEKTTLRLDLANALQIRAFQRITRLGRCDVELLKKEMTENDVPLSYSGSGVPEKSIRKPNLEEILQRLLNFLKPETFQGAVKAINERILSLLDETGSGRVDLGMFYAVLAPICSGSPNKRKRIAFDALLWRPVSEGGSQIRKVDAVGYIKLLRAIYIPSHGVSEMLEVHGETDSSMVSFNDFLVMFDDPDWGFGIMSTLVKLETGDRNRHGKYVCSVCRYPIIGSRFKESKSLFSLCNQCYSEGKVPPAFKQEEYKFKEYGSEAEAMKDKCMCFTLHGHSD >Manes.15G116000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268421:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLLWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGRRSVEVRAVGVTKGAAIDRILGEIVHNQGMKAPIDYVLCVGHFLVKDEDIYTFFEPELPIETPPIVRCRLPEPVGLPVPKIPCGRSRSKTHLKKQRSLSTLEGNYLGSGGWRPMVDDRISVHEGSSVLDLKGENYFSCAVSRKRSNARYLLGTTDDVVTLLKQLADCLH >Manes.15G116000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268421:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKKEHLDLTLSSVKPLSYGITSMQMLSSEDFKQGICCSISGQGLFQMQQSMLFKVAAQLRFEQSVLQRVQQ >Manes.15G116000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9257164:9268312:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKKEHLDLTLSSVKPLSYGITSMQMLSSEDFKQGICCSISGQGLFQMQQSMLFKVAAQLRFEQSVLQRVQQ >Manes.15G116000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268312:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVRCSLELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLLWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGRRSVEVRAVGVTKGAAIDRILGEIVHNQGMKAPIDYVLCVGHFLVKDEDIYTFFEPELPIETPPIVRCRLPEPVGLPVPKIPCGRSRSKTHLKKQRSLSTLEGNYLGSGGWRPMVDDRISVHEGSSVLDLKGENYFSCAVSRKRSNARYLLGTTDDVVTLLKQLADCLH >Manes.15G116000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268422:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVRCSLELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLLWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGRRSVEVRAVGVTKDEDIYTFFEPELPIETPPIVRCRLPEPVGLPVPKIPCGRSRSKTHLKKQRSLSTLEGNYLGSGGWRPMVDDRISVHEGSSVLDLKGENYFSCAVSRKRSNARYLLGTTDDVVTLLKQLADCLH >Manes.15G116000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268312:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVRCSLELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLLWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGRRSVEVRAVGVTKVCAYQG >Manes.15G116000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268422:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVRCSLELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKKEHLDLTLSSVKPLSYGITSMQMLSSEDFKQGICCSISGQGLFQMQQSMLFKVAAQLRFEQSVLQRMKISIRFLSQSFLLKHLL >Manes.15G116000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268312:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVRCSLELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKKEHLDLTLSSVKPLSYGITSMQMLSSEDFKQGICCSISGQGLFQMQQSMLFKVAAQLRFEQSVLQRYVHIRVSYQSHMPAE >Manes.15G116000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268312:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVRCSLELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLLWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGRRSVEVRAVGVTKGAAIDRILGEIVHNQGMKAPIDYVLCVGHFLVKDEDIYTFFEPELPIETPPIVRCRLPEPVGLPVPKIPCGRSRSKTHLKKQRSLSTLEGNYLGSGGWRPMVDDRISVHEGSSVLDLKGENYFSCAVSRKRSNARYLLGTTDDVVTLLKQLADCLH >Manes.15G116000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9255690:9268422:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLLWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGRRSVEVRAVGVTKGAAIDRILGEIVHNQGMKAPIDYVLCVGHFLVKDEDIYTFFEPELPIETPPIVRCRLPEPVGLPVPKIPCGRSRSKTHLKKQRSLSTLEGNYLGSGGWRPMVDDRISVHEGSSVLDLKGENYFSCAVSRKRSNARYLLGTTDDVVTLLKQLADCLH >Manes.15G116000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9257164:9268312:1 gene:Manes.15G116000.v8.1 transcript:Manes.15G116000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKYNGTPVTPRTRLERLLLNRELRKFNRFFNPSDELDDGLKEAELFANDSVLNKDENCGPFNEETFSEGVDGSERLHKQRLLVVANRLPVSAVRKGEDSWQLEMSVGGLVSALLGVKEFDARWIGWAGVNVPDEIGQKALTTALAEKRCIPVFLDEDIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVNKHYKEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNISEVASSIGYALNMPADEREKRHNHNFRHVTTHTSQEWAATFVSELNDTIVEAQLRTRQVLPLLPVNDAVERYTQSSNRLLILGFNATLTEPVHTLGRRGSQIREMEPRLHPQLKEPLKKLCKDQMTTVVVLSGSDRTILDENFGEYNMWLAAENGMFLRRTTGKWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLLWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGRRSVEVRAVGVTKGAAIDRILGEIVHNQGMKAPIDYVLCVGHFLVKDEDIYTFFEPELPIETPPIVRCRLPEPVGLPVPKIPCGRSRSKTHLKKQRSLSTLEGNYLGSGGWRPMVDDRISVHEGSSVLDLKGENYFSCAVSRKRSNARYLLGTTDDVVTLLKQLADCLH >Manes.16G126300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32763477:32768680:-1 gene:Manes.16G126300.v8.1 transcript:Manes.16G126300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEWIRAAMTDDRVVVELLVRLKQEAAQVKPQAVIPLRWGLRLPRSKPATAASMRYEVVCRRKEGDSSARCSPTTPLSWSGGGGASPSATADGFEETSPHVICSTPGARSKVFFSVTATGETASTTTKKSRRKKTFAELKEEESVLLKERMHLKKELATINATFKEQIERNENLKRLKIDLSLQFAKTSSSLSDLLDKAICNQPCKREPSSPNHISSMSNMHAQDDDNTESDSCERQETVSNHDRSFLLPDLNMMPSEDPHSETLPVLR >Manes.16G126300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32763477:32768680:-1 gene:Manes.16G126300.v8.1 transcript:Manes.16G126300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEWIRAAMTDDRVVVELLVRLKQEAAQVKPQAVIPLRWGLRLPRSKPATAASMRYEVVCRRKEGDSSARCSPTTPLSWSGGGGASPSATADGFEETSPHVICSTPGARSKVFFSVTATGETASTTTKKSRRKKTFAELKEEESVLLKERMHLKKELATINATFKEQIERNENLKRLKIDLSLQFAKTSSSLSDLLDKAICNQPCKREPSSPNHISSMSNMHAQDDDNTESDSCERQETVSNHDRSFLLPDLNMMPSEDPHSETLPVLR >Manes.16G126300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32763477:32768693:-1 gene:Manes.16G126300.v8.1 transcript:Manes.16G126300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDEWIRAAMTDDRVVVELLVRLKQEAAQVKPQAVIPLRWGLRLPRSKPATAASMRYEVVCRRKEGDSSARCSPTTPLSWSGGGGASPSATADGFEETSPHVICSTPGARSKVTATGETASTTTKKSRRKKTFAELKEEESVLLKERMHLKKELATINATFKEQIERNENLKRLKIDLSLQFAKTSSSLSDLLDKAICNQPCKREPSSPNHISSMSNMHAQDDDNTESDSCERQETVSNHDRSFLLPDLNMMPSEDPHSETLPVLR >Manes.07G075259.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:22389129:22389806:1 gene:Manes.07G075259.v8.1 transcript:Manes.07G075259.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQIKDYTLNNFQIPNLVRKHKIKWWPKTDLANCGSKAVEHFLDSQPQYVKTLSPIQITKQETFLAKKQQIMAQMAKCVSEEEYDKLLEEIKETRSSVSSPVDLSTDNDDFFTQAEM >Manes.05G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6388858:6390365:-1 gene:Manes.05G078100.v8.1 transcript:Manes.05G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEQPRRPQYDQDPVKYGDVFDVRGNLASEPVAPVDAASMQSAESQVLGQTQKGGPAAVMQSAASANVRGGLVDPDESSEAVREEGVTVTEENIDGTRLVTESVGGQVVGQYVDPAVRAADRGSPTDITIGEALEATAYTAAGEKPVDQSDAAAINVAEVRALGSNDTPSSGIGAYAQSAADHNARLMRGGNKTTLSDVLADATTKLPRDKPVTPDDAARVTGAEIRNKPDMKTTPGGVAASMASAARLNQNT >Manes.01G243800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40467179:40470014:-1 gene:Manes.01G243800.v8.1 transcript:Manes.01G243800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGSNKSEWGINIPDDTSEILVPESRPLGQRVWLGVRGLLGGIILKIWKFLEKAWNIGVAEPKKVIHGVKVGAALSLVSLFYYIRPLYEGAGGNAMWAVMTVVVVLEYTVGATLYKCINRATATFLAGSLGLGVHWVASQTGEKLEPVILGISLFIFASAATFSRFIPSVKARFDYGALIFILTFTLVSVSGYRVDELFDFAHQRLSTIVIGASLCILISLLFCPVWAGTELHNLTHSNLEKLSDSLDGISGCFGRYFTSNGDEDFSFKVEEYKCVLNSKATEESMANFARWEPSHGRFNFRHPWKQYLEVGASLRSCANCIQTLNGFMNSEIQAPEYLKKHLSSPCTKLSFYASKVLKELTNTVKTMTKPSNTDLSTGFGDMRHAAQELQNALKSLANYVPATAPAPTSGAVAKAEPTTKTSPPPVMEVLPLVTLISLLIETARKVEDIVDSINELARLAEFKPVTSKRVNQNEPNNKLTSSIPDRQTTKNPTEA >Manes.08G087000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29210079:29211591:-1 gene:Manes.08G087000.v8.1 transcript:Manes.08G087000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDRLFNRQRTVHEIFGGGFVADVILWRQKNVTVGILLVALASWVVFERSGYTLLSLVSSVLLLLLTTLFFWAKSAAILNRPAPPLPELHLSEEMVNEFAAFIRTRLNAILLVSQDIALGKDTNLFFEVAGYLLLISIVGGLADFITLGYTSKFGLSFIVNLEIDWKLRAKRRLNLFIFHG >Manes.08G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29209889:29211591:-1 gene:Manes.08G087000.v8.1 transcript:Manes.08G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDRLFNRQRTVHEIFGGGFVADVILWRQKNVTVGILLVALASWVVFERSGYTLLSLVSSVLLLLLTTLFFWAKSAAILNRPAPPLPELHLSEEMVNEFAAFIRTRLNAILLVSQDIALGKDTNLFFEVAGYLLLISIVGGLADFITLGYTSLVIILTIPALYERYEDIIDKYVKMVCKKSQQLYVKFNVECIRKWILEKQKLG >Manes.08G087000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29209889:29211591:-1 gene:Manes.08G087000.v8.1 transcript:Manes.08G087000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDRLFNRQRTVHEIFGGGFDVILWRQKNVTVGILLVALASWVVFERSGYTLLSLVSSVLLLLLTTLFFWAKSAAILNRPAPPLPELHLSEEMVNEFAAFIRTRLNAILLVSQDIALGKDTNLFFEVAGYLLLISIVGGLADFITLGYTSLVIILTIPALYERYEDIIDKYVKMVCKKSQQLYVKFNVECIRKWILEKQKLG >Manes.03G034700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2926520:2930043:1 gene:Manes.03G034700.v8.1 transcript:Manes.03G034700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPLHMDTVFSLPNEADRATYLRSLVQSFGCNYICLWFYLPQPNHRLYFLDGYYDEETNAIGSSTGSLARRLFDEYRQEVFFIVNDRVPGMAFVNEQLYRELNESELQRMASAAVQQQFYKEARIKTAVFIGCRSGEIEMGWSNGMTQINMENPYWPSSSSLSMRSLSMDSADSSPLLFNIPSTSSAVQQVSQSLRPVPTTTSPLQLAMQSLQPAPSTTSPLQPAMQSTSNHQVAMREFALTRNVHLPSQESEDAAMISAILAVLTSPSSSTNSSITSNLPYNYCERQRASAFKNYQAPSRQTRQNLRRQSMLKRAITYYRSLNIVRRQHMLASRPSNSQLLHVISERRRREKINETFEALRKLLPPVAKKDKASVLNRTREYLTSLNAEIEKLSKKNKQLEAQLQQLPAEEVAEEEGSESSNERLELRVTQVSETTSEEQRIIDLQVVIRGESPVQEMLIRILEFLNQVNNVNVIFIVASTRTTESRSANRVRLRLKIEGEEWEESAFQEAIRRVVADLAQ >Manes.03G034700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2926520:2930043:1 gene:Manes.03G034700.v8.1 transcript:Manes.03G034700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPLHMDTVFSLPNEADRATYLRSLVQSFGCNYICLWFYLPQPNQSRLYFLDGYYDEETNAIGSSTGSLARRLFDEYRQEVFFIVNDRVPGMAFVNEQLYRELNESELQRMASAAVQQQFYKEARIKTAVFIGCRSGEIEMGWSNGMTQINMENPYWPSSSSLSMRSLSMDSADSSPLLFNIPSTSSAVQQVSQSLRPVPTTTSPLQLAMQSLQPAPSTTSPLQPAMQSTSNHQVAMREFALTRNVHLPSQESEDAAMISAILAVLTSPSSSTNSSITSNLPYNYCERQRASAFKNYQAPSRQTRQNLRRQSMLKRAITYYRSLNIVRRQHMLASRPSNSQLLHVISERRRREKINETFEALRKLLPPVAKKDKASVLNRTREYLTSLNAEIEKLSKKNKQLEAQLQQLPAEEVAEEEGSESSNERLELRVTQVSETTSEEQRIIDLQVVIRGESPVQEMLIRILEFLNQVNNVNVIFIVASTRTTESRSANRVRLRLKIEGEEWEESAFQEAIRRVVADLAQ >Manes.13G064009.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8057191:8058020:1 gene:Manes.13G064009.v8.1 transcript:Manes.13G064009.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVLDGATIVNFVEDEEAFTVSVRDRFDNLDTDQDGLLSYAEMLKELQSLRVFETHFGIDVKTDPEELARIYSSLFDQFDHDLSGRVDLEEFKEETKQMMLAMANGLGFLPVQMVLEEDSLLKKAVERESASAFAAA >Manes.13G010400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1415360:1418170:-1 gene:Manes.13G010400.v8.1 transcript:Manes.13G010400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYTTFDANRAGLANLYQEGSMLTFEGQKIQGAQNIVAKLTSLPFQQCQHSITTVDCQPSGPAGGMLVFVSGNLQLTGEQHALKFSQMFHLMPTPQGSFYVFNDIFRLNYA >Manes.13G010400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1415360:1417850:-1 gene:Manes.13G010400.v8.1 transcript:Manes.13G010400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYTTFDANRAGLANLYQEGSMLTFEGQKIQGAQNIVAKLTSLPFQQCQHSITTVDCQPSGPAGGMLVFVSGNLQLTGEQHALKFSQMFHLMPTPQGSFYVFNDIFRLNYA >Manes.13G010400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1415360:1417848:-1 gene:Manes.13G010400.v8.1 transcript:Manes.13G010400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYTTFDANRAGLANLYQEGSMLTFEGQKIQGAQNIVAKLTSLPFQQCQHSITTVDCQPSGPAGGMLVFVSGNLQLTGEQHALKFSQMFHLMPTPQGSFYVFNDIFRLNYA >Manes.09G092822.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28602833:28605039:1 gene:Manes.09G092822.v8.1 transcript:Manes.09G092822.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSYTLRASHCNPCLRFFTCLVSYSFLMLLFIESPLSFSLSQTFVLPLKTQLISPGSLPRSPSKLPFHHNISLTVSLTVGTPPQNVSMVIDTGSELSWLRCNKTSTYPSAFDPTRSTSYGPISCSSPTCTNRTQDFTIPASCDSNNHCHATLSYADASSSEGNLASDTFHMGSSDGSDMVFGCMDSVFSSNSDEDSKNTGLMGMNRGSLSFVSQMGFPKFSYCISATDFSGLLLLGESNLTWMVPLNYTPLIQISTPLPYFDRVAYTVQLEGIKVSDKLLPIPKSVFEPDHTGAGQTMVDSGTQFTFLLGPAYIALRTEFLNQTTGVLRVLEDSNFVFQGAMDLCYRVPINQTVLPRLPTVSLMFQGAEMTVSHERVLYRVPGELRGHDSVHCLSFGNSDLLGVEAYVIGHHHQQNMWMEFDLQRSRMGLAQVQCDVAVKRFGVGQ >Manes.06G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25179147:25181872:1 gene:Manes.06G120700.v8.1 transcript:Manes.06G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALARFSRKALVSSHRNILNRTFSASAEASTKSITPSPDRVKWDYRGQRQIIPLGQWLPKIAVDAYVAPNVVLAGQVTVWDGASVWSGSVLRGDLNKITVGFCSNVQERCVIHAAWNSPTGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRALTHEETLEIPKLAVAINDLSKNHFSEFLPYSTVYLEVEKLKKKLGISI >Manes.18G095900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8876148:8877839:-1 gene:Manes.18G095900.v8.1 transcript:Manes.18G095900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSHIERVLWTKFQISKRVTELASEITNDFVSISPPPVIVGVATGAFLFLADLVRQIQLPISVDFIRAESYGSGTESTGAPTISMDLKLNVEGKHVILVEDIVDTGSTLFNLIALLKSKGVSSVSVCTFLDKPARRKVHFEPVGDGKYYLGFEVCSLSLHMYVHSIERISCSNLPL >Manes.18G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8875462:8877179:-1 gene:Manes.18G095900.v8.1 transcript:Manes.18G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSHIERVLWTKFQISKRVTELASEITNDFVSISPPPVIVGVATGAFLFLADLVRQIQLPISVDFIRAESYGSGTESTGAPTISMDLKLNVEGKHVILVEDIVDTGSTLFNLIALLKSKGVSSVSVCTFLDKPARRKVHFEPVGDGKYYLGFECPDHFVVGYGMDFAELYRNLPYIGVLKPEYYK >Manes.18G095900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8876148:8880239:-1 gene:Manes.18G095900.v8.1 transcript:Manes.18G095900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSHIERVLWTKFQISKRVTELASEITNDFVSISPPPVIVGVATGAFLFLADLVRQIQLPISVDFIRAESYGSGTESTGAPTISMDLKLNVEGKHVILVEDIVDTGSTLFNLIALLKSKGVSSVSVCTFLDKPARRKVHFEPVGDGKYYLGFEVCSLSLHMYVHSIERISCSNLPL >Manes.01G050300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9199554:9202726:1 gene:Manes.01G050300.v8.1 transcript:Manes.01G050300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPKSMIAGPANVIYLSTILGRDGSTPVHKCDWKCENEHVCGNMYCCKLTGLTHICDKNCNQRILYDNHSSLCRASGQIFPLSPAEEQAVRGVRRKIDADSSPPDTCAFKRRRDAQFHPSPLESGELITRMMKYLIVLMN >Manes.02G211400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29712719:29716001:-1 gene:Manes.02G211400.v8.1 transcript:Manes.02G211400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLASVFHFFFVLVTLFHLGNADLNSDKQALLNFSSAVPHYRSLNWNPASSVCKSWVGVTCNSNGTRVVQLRLPAVGLVGHIPPNTLGKLGALRVLSLRSNLLNGNLPSDVTSLPSLKSLYLQHNNFSGTIPTTFSSQLNVLDLSFNIFSGSIPRPLANLTQLSVLSLQNNSLSGPIPDLNHTRLSHLNLSYNHLNGSIPLSLQKFPNSSFIGNSLLCGLPLNPCSPILPPPSPSPALSPPPATKQGSKTKLTMGAIIAIAVGGFAVLCLVALIILCCCLKKKNGGSSVLKGKAVGGGRGEKPREEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKRDFEQQMETVGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPGGSLSSLLHGNRQAGRTPLDWDSRLKIVLGTARGIAHLHSAGGPKFTHGNIKSSNVLLNQDQDGCISDFGLTPLMNIPATPSRSAGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMACVVKVPDMRPNMDEVVRMIEEIRQSDSENRPSSEENKSKDSNVRTP >Manes.02G211400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29712703:29717291:-1 gene:Manes.02G211400.v8.1 transcript:Manes.02G211400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLASVFHFFFVLVTLFHLGNADLNSDKQALLNFSSAVPHYRSLNWNPASSVCKSWVGVTCNSNGTRVVQLRLPAVGLVGHIPPNTLGKLGALRVLSLRSNLLNGNLPSDVTSLPSLKSLYLQHNNFSGTIPTTFSSQLNVLDLSFNIFSGSIPRPLANLTQLSVLSLQNNSLSGPIPDLNHTRLSHLNLSYNHLNGSIPLSLQKFPNSSFIGNSLLCGLPLNPCSPILPPPSPSPALSPPPATKQGSKTKLTMGAIIAIAVGGFAVLCLVALIILCCCLKKKNGGSSVLKGKAVGGGRGEKPREEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKRDFEQQMETVGRVGQHPNVVPLRAYYYSKDEKLLVYDYIPGGSLSSLLHGNRQAGRTPLDWDSRLKIVLGTARGIAHLHSAGGPKFTHGNIKSSNVLLNQDQDGCISDFGLTPLMNIPATPSRSAGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMACVVKVPDMRPNMDEVVRMIEEIRQSDSENRPSSEENKSKDSNVRTP >Manes.12G086200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13477065:13479960:1 gene:Manes.12G086200.v8.1 transcript:Manes.12G086200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKMAEEAILSNGFVENPVGGSNPPAVKKKRNLPGTPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTTKEVRKRVYVCPEKTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARLNAAANINSFAAAATSFNYHQLMGSPIGPNMAQHFSSIFKPISTNDQTMDQTRSGLSLWVSQGSQGHHEVIGNNSIQEIHQLGSMGSSSGAIYCNPLVSSSNPSPSDHYQLNWPVFGNKLSSSNAHEELRSTSSLPLNNVKEATEVQLVSVPSLYSNQQQSHQITSVANMSATALLLKAAQIGATSTDPSFLGSFGLKCSSNNQVHQEAGNKFCGLYGSNPISTGAINLACDMENSAGNDVSSLNELQIYPTAKRQKLQISDHQDGAAGGQTRDFLGVGAQQAICHPSSINGWI >Manes.12G031600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2727727:2729694:1 gene:Manes.12G031600.v8.1 transcript:Manes.12G031600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGQFYKFFFSFFFLYWYKEPQLFSQPHHWTIFFLESHQKAMEKLVSSWYNVQSLPENYIFPPETRPGKEIVPICNKIPVVDLEGTDATWKILKAIQEFGFFQVINHGVSERLMNETMSVLKEFFEIDAKDKAKLYSDEPTRICRLYTSSVNYHNEQVHFWRDNLRHPCHPLQDFIEQWPEKPTRYREIVGACSVQVRQLGLRILGMISEGLGLDNEYLRGDLSKEMLLSVNHYPPCPEPSLSLGLPKHSDPNLITILNQGDVYGLQVFKDGQWIGVEPIPNAFVINIGLQLQIISNGKLKSSEHRVVTNSTDARTTAAFFFNPCGDSMIEPAKALTDNESPPLYRAYQYKEFFINYAAKIGNAEAVLEPFKLQA >Manes.11G132650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29715203:29716494:-1 gene:Manes.11G132650.v8.1 transcript:Manes.11G132650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFFGFHDFWVKWIMACVMKASYSIKVTGHKSGFVQPTRGMRREILYFLIYDSVIYAKPTSDEAKKIKRVLHSYEKASGQSINLSKSSIFFNLNTPLEVKRNVSHILHIHHLNTLDKYLGLPSDFPRLKRQIFATFKDPIASKTASWKEKLLSRGGKEVVIKLVLAIPVYVMSCFKLPASLFQSFNMALLAKQGWRVLKNPDSLLTRALKGKYFHSSTFLQAHILWRRNVLFDGMRWQIGTDNSILCKEDKWIPEDYPSRPITKQNHNHSIILVSQLIDQSSCSWNRGILEENFSQEDVQSMLAIPIPLFAREDSLFWFFNSQKIQHIQEPEASSNANREAI >Manes.09G011763.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2629525:2633092:1 gene:Manes.09G011763.v8.1 transcript:Manes.09G011763.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSISTLEDARFLTNNFKSAAFTRLDDAIASFNNVIHMNPLPSRVHFNRFLSALVKMKQYHTVLSMSKTIELVGISYDVCSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPNVYTYNVMVNGMCKFGKTNVAIGLLKGMADRGCEADVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEKNILPNVYTFSVLINALCKDGMVSEAQNTFNVMIQRGVEPNVVTYTSLIDGLCISGQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIISYSILINGYCKCKMIDDAKDIFVEMSHKGLVPNAVTYSTLIKGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLNEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCQQGLMDEAYKVFKDMEKGGCLPNNFSYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVAHLSQNNDLILSKLRNRSEASKAVQ >Manes.10G041100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4255103:4258582:1 gene:Manes.10G041100.v8.1 transcript:Manes.10G041100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDIISNESSCDGHHNNKNKDMVIISREMEMFSAEETAAAASRTTQMEDSGTSNSSIIINTEEAENSFNSALIFDILKKDEINPLGDFTTRQFFPDKIGNIELDLQSGLSKTRPQWLKLSQMEPSSEAELRIVQQKQQQMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTALAAARAYDRAAIKFRGVDADINFNLSDYDEDMKQMKNLSKEEFVLILRRRSTGFARGSSKYRGVTLHKCGRWEARMGQFHGKKAYDMAAIKCNGRDAVTNFEPSVYEGEVVFDPSSREMSDHNLDLSLRISAPPSNDTGSCCFRYKDCELPKKETTVVENARSLPKASKNLPTLSGIYPGFLASNQEMVEEKKVEADSLLKSSSWPWNVNGNNNKKKNFATISEITSVAASSGFDSSATITAFHINQQQQQQQSHPVNNLCLTTPNKNSFHYYS >Manes.10G041100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4255103:4258582:1 gene:Manes.10G041100.v8.1 transcript:Manes.10G041100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDIISNESSCDGHHNNKNKDMVIISREMEMFSAEETAAAASRTTQMEDSGTSNSSIIINTEEAENSFNSALIFDILKKDEINPLGDFTTRQFFPDKIGNIELDLQSGLSKTRPQWLKLSQMEPSSEAELRIVQQKQQQMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTALAAARAYDRAAIKFRGVDADINFNLSDYDEDMKQMKNLSKEEFVLILRRRSTGFARGSSKYRGVTLHKCGRWEARMGQFHGKKCVYLGLFDNEVEAARAYDMAAIKCNGRDAVTNFEPSVYEGEVVFDPSSREMSDHNLDLSLRISAPPSNDTGSCCFRYKDCELPKKETTVVENARSLPKASKNLPTLSGIYPGFLASNQEMVEEKKVEADSLLKSSSWPWNVNGNNNKKKNFATISEITSVAASSGFDSSATITAFHINQQQQQQQSHPVNNLCLTTPNKNSFHYYS >Manes.10G041100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4255119:4258482:1 gene:Manes.10G041100.v8.1 transcript:Manes.10G041100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDIISNESSCDGHHNNKNKDMVIISREMEMFSAEETAAAASRTTQMEDSGTSNSSIIINTEEAENSFNSALIFDILKKDEINPLGDFTTRQFFPDKIGNIELDLQSGLSKTRPQWLKLSQMEPSSEAELRIVQQKQQQMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTALAAARAYDRAAIKFRGVDADINFNLSDYDEDMKQMKNLSKEEFVLILRRRSTGFARGSSKYRGVTLHKCGRWEARMGQFHGKKAYDMAAIKCNGRDAVTNFEPSVYEGEVVFDPSSREMSDHNLDLSLRISAPPSNDTGSCCFRYKDCELPKKETTVVENARSLPKASKNLPTLSGIYPGFLASNQEMVEEKKVEADSLLKSSSWPWNVNGNNNKKKNFATISEITSVAASSGFDSSATITAFHINQQQQQQQSHPVNNLCLTTPNKNSFHYYS >Manes.10G041100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4255119:4258482:1 gene:Manes.10G041100.v8.1 transcript:Manes.10G041100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDIISNESSCDGHHNNKNKDMVIISREMEMFSAEETAAAASRTTQMEDSGTSNSSIIINTEEAENSFNSALIFDILKKDEINPLGDFTTRQFFPDKIGNIELDLQSGLSKTRPQWLKLSQMEPSSEAELRIVQQKQQQMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTALAAARAYDRAAIKFRGVDADINFNLSDYDEDMKQMKNLSKEEFVLILRRRSTGFARGSSKYRGVTLHKCGRWEARMGQFHGKKCVYLGLFDNEVEAARAYDMAAIKCNGRDAVTNFEPSVYEGEVVFDPSSREMSDHNLDLSLRISAPPSNDTGSCCFRYKDCELPKKETTVVENARSLPKASKNLPTLSGIYPGFLASNQEMVEEKKVEADSLLKSSSWPWNVNGNNNKKKNFATISEITSVAASSGFDSSATITAFHINQQQQQQQSHPVNNLCLTTPNKNSFHYYS >Manes.10G026800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2662422:2663329:-1 gene:Manes.10G026800.v8.1 transcript:Manes.10G026800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAESSFKEPRWSLQGMTALVTDGTRGIGNATVEELAGLGARVHTCSRNEAELNKCLKEWEAKGFVVTGSVCDATSRAQREKLIEQVGSVFNGRLNILVSNPVTA >Manes.10G026800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2661012:2663383:-1 gene:Manes.10G026800.v8.1 transcript:Manes.10G026800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAESSFKEPRWSLQGMTALVTDGTRGIGNATVEELAGLGARVHTCSRNEAELNKCLKEWEAKGFVVTGSVCDATSRAQREKLIEQVGSVFNGRLNILERLASVHLLESLFCTLS >Manes.10G026800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2661302:2663383:-1 gene:Manes.10G026800.v8.1 transcript:Manes.10G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAESSFKEPRWSLQGMTALVTDGTRGIGNATVEELAGLGARVHTCSRNEAELNKCLKEWEAKGFVVTGSVCDATSRAQREKLIEQVGSVFNGRLNILERLASVHLLESLFCTLS >Manes.10G026800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2661012:2663383:-1 gene:Manes.10G026800.v8.1 transcript:Manes.10G026800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAESSFKEPRWSLQGMTALVTDGTRGIGNATVEELAGLGARVHTCSRNEAELNKCLKEWEAKGFVVTGSVCDATSRAQREKLIEQVGSVFNGRLNILERLASVHLLESLFCTLS >Manes.17G104500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31171585:31173392:-1 gene:Manes.17G104500.v8.1 transcript:Manes.17G104500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLAINIEELAASLENVISDDLYMSPNCCIFETPKILSRHNEKAYIPNAFAIGPFHHGQENLKRTEKIKYKYLRGLISRAQNPKQKMREFIREITKMEEKVRLCYAGLVNYKREEFVKMLIVDGCFLIELFRRDGILVPRDEDDPVFTMSCLLQFLYHDLILLENQIPWIVLVCLFNLTRGENDRKPLVQLAIEFFANMFSSTPSPVDPNQLAESKHILDLLRNWLVAPIIQQKHRLETSEWQPFPSATEIKDSGIKFKKLEQATSILDIRFRNGVLQIPNLLIQETTETIFRNLISYEQCSPDCPPIVTCYAIVLDNLINTAKDVQILCKKEIIDSWLNPEDATQFFSKLYLDAYVKKFYYLGLCQQVNGYRKRRWPKWRAAYLHNYFGTPWAIASQIFAAIIFTFTFLQTLFTIIK >Manes.06G173264.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29737039:29739055:-1 gene:Manes.06G173264.v8.1 transcript:Manes.06G173264.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSDSDSMFNFVVREGNGVKGMADLGLSKVPQKYVQPPEERIDKLNATLNNNPPIDLSKLGGPCHDQVVDEISAAAETFGFFQVVNHGVPVELLEALKVAAHSFFGQPAKKKAAYRKGVSPSPLVQYGTSFAPEKEKALEWKDYLSMVYSNDGEALRFWPEECREVALEFLRTSTKMVRKLLEILMGKLGVTLDEEKIDALTGLKMVNMNFYPACPNPELTVGVGRHSDLGTLTVLLQDGIGGLYVKVEENMEGKKKGEWMEIPPIPGALVINIGDTLQIVSNGRYKSAEHRVRTTSTQSRVSIPVFAIPKGTEKIGPLAQVVKKDGVARYREVMFEDYMNNFFGNAHGGKKSLDFARIY >Manes.10G094100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24095056:24099513:1 gene:Manes.10G094100.v8.1 transcript:Manes.10G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALIRSLRRRDVALGPVYAYKCLSSNLKPSWSPSNLGYNWASLSRAFSAKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGSRTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTVFGTKRLIGRKFDDPQTQKEMKMVPYKIIRAPNGDAWVEANGQQYSPSQIGAFILTKMKETAESYLGKTVTKAVITVPAYFNDAQRQATKDAGRISGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLEFLVSEFKRTEGIDLTKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTRDPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEIVAEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEDEIEKMVKEAEQFAQKDQERKALIDIKNSADTTIYSIEKSLAEYRDKIPAEVAKEIEDAVADLRKAMAGDNIDEIKSKLDAANKAVSKIGEHMSKGSGGDGASGGSQGGDQTPEAEYEEVKK >Manes.14G165632.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26415152:26419772:-1 gene:Manes.14G165632.v8.1 transcript:Manes.14G165632.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFMDCSPFIRLNVSMSRDTIAINQTIEDGSLLVSRGKNFALGFFSPGSSKHRYLGIWYHKIREHSVVWVANRNNPINGSSGVLTINQYGNLILYSNHSQTVSVWSTNFSMEAADTCVAQLLDSGNLILVQDRSKRIVWQSFDYPTDTLLPEMKVGLKQKTGHYMSLTSWKSADDPGTGDYLLKLNPAGSPQVFLYKGIKSYWRSYPWPLRSYVGAWNFSFINNEEEIYVAYFLADASVILRIVLDVAGFLKHLTWHESAGKWKECLSEPINQCDIYGHCGAYGHCDSNHIIQKFECSCLPGYEPKSTRDWDILRDGSGGCVRKRLDSSSVCGHGEGFVKVANVKIPDTSGAVWLDLNMTPIDCEQECRRNCSCSAYASIDIAGKGTGCLAWYGELTDVVDNKDEGYDIYVRVDAIELAEIAQKSKGYLERKDMLAILVVSVVSAWFIIILFAYLWLKRKKRRNVRNKWTERLHNTIGDSYHKVNFVANEIGDSMNHPDIAFFDINTMLAATNNFSPANKLGQGGFGLVFKGQLSNGQEVAVKRLSKNSGQGIEEFKNEVMLIAKLQHKNLVKLLGCCIHAEELMLIYEYLPNKSLDSWLFDQTSSVLDWRRRFNIIMGIARGILYIHQDSRLQIIHRDLKTSNILLDAEMNPKISDFGLARIFKGDKNQEKTNRIVGTLGYMSPEYVVFGKFSTKSDVFSFGVILFEIITGKKSNGFCQGDSSLSLIGHIWQSALGVSASIPVPEPSIVAPTSTKPIPTNVGVNSLWPPSIQRLVLTRMPSLLKDLSLAILLTENALRPGVYRRLNESRLINFLATLCILTYRVLSMLIWPKSVIRS >Manes.09G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:559299:562340:1 gene:Manes.09G001600.v8.1 transcript:Manes.09G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRISYSNSSENPIQFQNFSRLSSIKHFLKKPHAFPFLLSIFLLLTWVSLRLQRASHLSSANLRGTQEAKWSKDDDKKANLIRFKSGLFPSPFIKDKRGWIFDPVSLALGSGLKGGALSCASLHVGEIRPGTLRGNHRHYTCNETFVIWGAKTLFRLENNQIVDKGYAEVIVGADEVAIAASPSSTAHLLVNVDPILTTYFIGCQDSVINYNSSSTDFHIWKDF >Manes.09G001600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:559299:562340:1 gene:Manes.09G001600.v8.1 transcript:Manes.09G001600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRISYSNSSENPIQFQNFSRLSSIKHFLKKPHAFPFLLSIFLLLTWVSLRLQRASHLSSANLRGTQEAKWSKDDDKKANLIRFKSGLFPSPFIKDKRGWIFDPVSLALGSGLKGGALSCASLHVGEIRPGTLRGNHRHYTCNETFVIWGAKTLFRLENNQIVDKGYAEVIVGADEVAIAASPSSTAHLLHAFWNEDGYM >Manes.01G269300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42296328:42299272:-1 gene:Manes.01G269300.v8.1 transcript:Manes.01G269300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRCKLCFKSFSNGRALGGHMRSHMLNLPITTKPEEEEEEEEEEEEEEEEEDTPIQLGEDTESASSSSSSSSSEEEAEAEGEDKGLSYGLRENPKRSIRLVDPEFSFAVDAASVVLQDRESETESSKNPTRRRSKRNRKLLEHQYHHHQQRQEQENNIKKLNFNKFSKADSWAEAEPVSSISDTTTEEDVAFCLMMLSRDKWKRVVQQNQREQDEEAETEKSIEETDESEEFKSCKARTKGKYRCETCKKVFKSYQALGGHRASHKKLKLYTPAKELNLGPQNAGTSTSAAEKKVHECPYCFRVFSSGQALGGHKRTHVTGVAATPARSSSKIEDNLNLIDLNLPAPVDDDDLSQIELSAVSDAEFVNHIKR >Manes.08G050800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5224287:5225122:1 gene:Manes.08G050800.v8.1 transcript:Manes.08G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYVELLDAGVRIAGRFYSHCPHTARMYYHPPSNSDDHHHHHHDHHDGGSVSHVAIQDSTRISSCGSKAAKVLDATDLIVYSVM >Manes.06G057701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15749916:15751605:-1 gene:Manes.06G057701.v8.1 transcript:Manes.06G057701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWISNYMKVQQVQEAKDQGILDTFTHSYPGPFISILLKSSYALS >Manes.01G186600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36355310:36360516:-1 gene:Manes.01G186600.v8.1 transcript:Manes.01G186600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELEELVGFLSAPSLPVQKAAVDIVRDLTGSEDGLQSLSKYANIAIPSLSRLLSQRKEVSEPAAQALVNLSQNSNLAAKMVEKGMVQMAMDLLYKPDSSITQLLVMLLVNLTQLDAGIKSLLQTEDEKVQGLFVMKLVRSFCRSSSENRKDPFDHVGSILVNISQKEAGRKMLLDPKRGLLKQILRQFDSTNPLRKKGVSGTIRNCCFEAENHLQDLLLISEFLWPALLLPVAGNKVYSEQDTSKMPLELGSALSIEREPCDDPEIRVQALESIYLITLQEAGLRAFWSVNGPRILQVGYEDEQDPKVMEAYEQVGSLLVHESGTAVSSGES >Manes.04G052501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10863591:10878905:-1 gene:Manes.04G052501.v8.1 transcript:Manes.04G052501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVINMPVDSLDRRSRERKDKSSADDPQSSSPPPPPPPQNISRRRDRDSRDRELDRPPNRRGVDYYDRNRSPPPRERERDYKRRNSLSPPPVPYRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYAGGYEREMGGRPGFPEERPHGRYMGRAGGYQSGPSDWDSGRGGYVDSSNTVSTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRVFFEAHKDEEWLRDKYHPTNLVSVVERRNELARKVAKDFLLDLQSGTLDLGPGINVPSANKSGQTSDPNSDDEVDSGGKRRRHGRTQAKETDILSAAPKAHPVSSEPRRIQIDVEQAQALVRKLDSEKGIEENILGGSDNDKINREKSHSSSTGPVIIIRGLTSVKGLEGVELLDTLITYLWRVHSLDYYGLVETNEAKGLRHVRAEGKNADATDSGNEWEKKLDSHWQERLRSQDPFELMTAKEKIDAAAVESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYFQNYMSDPDAPGGTPVMQQPLQKEKPQRRKLGPENRLKDERGGRRDRDSRANGSERFDRSENQQSGDFQSNNDVPEGGNHDDPMYDNFGAQGMRVPPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMRMFREQGGPPPFEGGGRSGRPGPQLSGPAPILLSPAFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >Manes.04G052501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10863591:10878905:-1 gene:Manes.04G052501.v8.1 transcript:Manes.04G052501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRVFFEAHKDEEWLRDKYHPTNLVSVVERRNELARKVAKDFLLDLQSGTLDLGPGINVPSANKSGQTSDPNSDDEVDSGGKRRRHGRTQAKETDILSAAPKAHPVSSEPRRIQIDVEQAQALVRKLDSEKGIEENILGGSDNDKINREKSHSSSTGPVIIIRGLTSVKGLEGVELLDTLITYLWRVHSLDYYGLVETNEAKGLRHVRAEGKNADATDSGNEWEKKLDSHWQERLRSQDPFELMTAKEKIDAAAVESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYFQNYMSDPDAPGGTPVMQQPLQKEKPQRRKLGPENRLKDERGGRRDRDSRANGSERFDRSENQQSGDFQSNNDVPEGGNHDDPMYDNFGAQGMRVPPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMRMFREQGGPPPFEGGGRSGRPGPQLSGPAPILLSPAFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >Manes.04G052501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10863591:10878905:-1 gene:Manes.04G052501.v8.1 transcript:Manes.04G052501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVINMPVDSLDRRSRERKDKSSADDPQSSSPPPPPPPQNISRRRDRDSRDRELDRPPNRRGVDYYDRNRSPPPRERERDYKRRNSLSPPPVPYRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYAGGYEREMGGRPGFPEERPHGRYMGRADWDSGRGGYVDSSNTVSTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRVFFEAHKDEEWLRDKYHPTNLVSVVERRNELARKVAKDFLLDLQSGTLDLGPGINVPSANKSGQTSDPNSDDEVDSGGKRRRHGRTQAKETDILSAAPKAHPVSSEPRRIQIDVEQAQALVRKLDSEKGIEENILGGSDNDKINREKSHSSSTGPVIIIRGLTSVKGLEGVELLDTLITYLWRVHSLDYYGLVETNEAKGLRHVRAEGKNADATDSGNEWEKKLDSHWQERLRSQDPFELMTAKEKIDAAAVESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVMELTSKVREELYFQNYMSDPDAPGGTPVMQQPLQKEKPQRRKLGPENRLKDERGGRRDRDSRANGSERFDRSENQQSGDFQSNNDVPEGGNHDDPMYDNFGAQGMRVPPFPSDIPPPPVLMPVPGAGPLGPFVPAPPEVAMRMFREQGGPPPFEGGGRSGRPGPQLSGPAPILLSPAFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >Manes.12G115700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31713162:31715651:-1 gene:Manes.12G115700.v8.1 transcript:Manes.12G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGKKIERIYWSVSAHEIMSSNPGHYVALVVTSPTVKTENGLPLKQLKLLRPDDTLLIGQVYRLISFEDVLKEFASKNCVKLGKLLKDRRGLVDAAEMKKKESSGGLSLNPNPNPKPSSITKSETCSSVKVEQEFPRLGSNGASTSSSRGGGVGRHHGGGGGVQWKPALQSISEIGT >Manes.12G115700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31713162:31715651:-1 gene:Manes.12G115700.v8.1 transcript:Manes.12G115700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPGHYVALVVTSPTVKTENGLPLKQLKLLRPDDTLLIGQVYRLISFEDVLKEFASKNCVKLGKLLKDRRGLVDAAEMKKKESSGGLSLNPNPNPKPSSITKSETCSSVKVEQEFPRLGSNGASTSSSRGGGVGRHHGGGGGVQWKPALQSISEIGT >Manes.12G081416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11669322:11670665:1 gene:Manes.12G081416.v8.1 transcript:Manes.12G081416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSWILEDPSVPSQLQDPGMGRKRLCGAFNELKFQSFAVHTRDREAQEIGSCKEFLFWPWLMKITSA >Manes.16G082600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28889783:28897604:-1 gene:Manes.16G082600.v8.1 transcript:Manes.16G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAENADDTSTVASLLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFIAAADALLAIREEVTSIDKHLDTLIAEIPKLTSGCTEFIGSAEQILEERKMNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPKLPVIQALAAEVGHTTQSLLSQLLHKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLKCREAWLTGILEDLDQRNAYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITAHLKTLKVMLPKITEGGSLSNILDQCMYCAMGLCWVGLDFRGLLPPLFEEAVLNLFSKNMSAAVENFQLVLDSHRWVPLPVVGFPTNSIGEENQEDVTPPSYLMEHPPLAVFVNGVSAAMNELRPCAPISLKYLLAQELIQGLKAVSDSLLRYNATRMLRDNESGLFLSLCRSFIEVAYPHCATCFGRCYPGGTALIMDAKNVYDGIGRLLATSSSRELPKSVSNPEEKSISENGDLPVVENGVAPDVEQTQGTNTDEKEQKSPTLQIDGNQTDT >Manes.18G139400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:16380073:16381248:-1 gene:Manes.18G139400.v8.1 transcript:Manes.18G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRFSDMIPNAWFYKLKDMSRGRKQCNSQRSLKKKSCSATTSSQKSSSSQPRYTYYFNTDPYRADKFYSSPINTKASDTHFPDSPRKSSSSNRRSKRKTIYKPSPKLVSSSLTSNGSSHAKANSVYSPVDYSSPLPETSPEPDFHESLLSESGDHDDDDDDDDFAPHYSFDKQVASCSGSCNCKLSSSTTDIIIDVNGESFKSKTKKIDGFDSISELELPPIFTKPEKFNGEKKTLVTKFKRTSSSKLNDRKANRSLSFKTKEEPEKNSKPISQRSSPSPTSMGIRLRVNSPRIASRKIQACARKSLSASSRNKTLSQSFAVVMSSVDPQKDFRDSMMEMIIENNIHTSKDLEELLACYLSLNSKEYHHLIVKAFEEIWFHMTDPRL >Manes.02G121200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9250217:9251752:-1 gene:Manes.02G121200.v8.1 transcript:Manes.02G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPFFFTLAAATSAYLFWFYLLARKLTGPKVWPLVGSLPVLFKNRRLIHDWIASNLRAIGDASTYQTCTVALPFLARRQGFYTVTCHPKNMEHILRTRFDNYPKGPHWQTAFHDLLGQGIFNSDGDTWLIQRKTAALEFTTRTLRQAMARWVNRTIKNRLWSILDKAASEKLSVDLQDLLLRLTFDNICGLTFGKDPQTLSPELPENPFAIAFDTATEATLQRLLYPGFLWRVEKILGIGAEKRLKKSLKVVENYMDDAIAARKGNPSDDLLSRFMKKKDADGNLFPIAVLQRIALNFVLAGRDTSSVALSWFFWLVMNHPEVEAKIVKEISTTLEKTRGNDHQKWLDEPLDFDEADKLVYLKAALAETLRLYPSVPQDFKYVVADDVLPDGTFVPAGSTVTYSIYSVGRMESIWGEDCMEFKPERWLSLEGNRFEPPKDSYKFVSFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSLVPGHSVQQKMSLTLFMKNGLRVFLHPRTLT >Manes.06G096400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23068569:23069782:1 gene:Manes.06G096400.v8.1 transcript:Manes.06G096400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLPSPSAAYLRGIQRHFFSSNPLFSPPFPAKQVLTVVAMASQKKVNKYDDGWQKKWYGAGIFYESSEEMEFDVFKKLEKRKVLSNVEKAGLLSKAEDLGFTLSSIEKLGVFSKAEELGLLSLLEKTVSVSPSTLASAALPILVLALAAVVVIPDDSAGLVAVQAVLAGGLVVGAAGLFVGSVVLGGLQEAD >Manes.08G146400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38784642:38785636:-1 gene:Manes.08G146400.v8.1 transcript:Manes.08G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRFVGFVIAAIVLPSVAMASEYIVGDDKGWNVNLNYTDWAKDKVFYVGDMLVFQYKPPHNVFKVDGNGFRECKPSGEAFSSGNDSFTLSKPGKKWYICGV >Manes.11G122900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478855:28482578:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.11G122900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478839:28482434:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.11G122900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478838:28482438:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.11G122900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478839:28482429:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.11G122900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478839:28482577:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.11G122900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478839:28482577:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.11G122900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478838:28482435:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.11G122900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478839:28482440:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.11G122900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28478855:28482429:-1 gene:Manes.11G122900.v8.1 transcript:Manes.11G122900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLSMQIVLILLGNRRKYIARDWLAIVVWLVYLSADWIVNVSLGVLSNTESTDKNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPFNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMVPRPDPGHSYAKFMDYYTSIRAEGHNVSLEPVIDEASIVLRQSWKAVANSIVPDAAILQKAACFFGTFKRLFANLILSYQDLESSRSYLHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSCLRSISLSCTIFALVAFFIIDKSSYSRVDKSITWLLLFAAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPAANKRWSNSMAKFNLIRICLNDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDILPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLIRS >Manes.10G153600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:32017221:32019700:1 gene:Manes.10G153600.v8.1 transcript:Manes.10G153600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVILVSYMLTWGLFSSFVPTSASAGINLWPKPTSLSWPHPQATFLSPTFSISSPNHPHLYPAVNRYLLQILTEHHQPLIDPAVNLSNSSPPLQILAIAVADLSAPLHHGVNETYSLFIPSAGHTANLTAQTVWGAMRGLETFFQLVWGDPSRVPVGLYLWDSPLFAHRGVMLDTSRNYYAVEDLLRTIGAMSANKLNVFHWHITDSHSFPLVLPSVPELAAKGSYGPNMQYSPVDVATIVQFGLEHGVRVIPEIDTPGHTGSWAEAYPDIVTCAGMFWWPAGSEWADRLASEPGTGHLNPLNPKTYEVLKNVIADTVTLFPEPFYHAGADEIIPGCWKADPTIKSFLSDNGTLSQLLENFVKSTLPYIVSLNRTVVYWEDILLDDNVKVDASVLLPEHTILQTWNNGPNNTKLIVSAGYRAIVSSSEFYYLDCGHGDFLGNDSQYNQPPTGGDTGNGGSWCGPFKTWQTIYNYDITYGLSQEEAKLVLGGEVALWSEQADPTVLDARIWPRASAMAETLWSGNRDETGRKRYAEAMDRLNEWRYRMVSRGIGAEPLQPLWCTRNPGMCNTVHPFV >Manes.10G153600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:32017223:32020930:1 gene:Manes.10G153600.v8.1 transcript:Manes.10G153600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVILVSYMLTWGLFSSFVPTSASAGINLWPKPTSLSWPHPQATFLSPTFSISSPNHPHLYPAVNRYLLQILTEHHQPLIDPAVNLSNSSPPLQILAIAVADLSAPLHHGVNETYSLFIPSAGHTANLTAQTVWGAMRGLETFFQLVWGDPSRVPVGLYLWDSPLFAHRGVMLDTSRNYYAVEDLLRTIGAMSANKLNVFHWHITDSHSFPLVLPSVPELAAKGSYGPNMQYSPVDVATIVQFGLEHGVRVIPEIDTPGHTGSWAEAYPDIVTCAGMFWWPAGSEWADRLASEPGTGHLNPLNPKTYEVLKNVIADTVTLFPEPFYHAGADEIIPGCWKADPTIKSFLSDNGTLSQLLENFVKSTLPYIVSLNRTVVYWEDILLDDNVKVDASVLLPEHTILQTWNNGPNNTKLIVSAGYRAIVSSSEFYYLDCGHGDFLGNDSQYNQPPTGGDTGNGGSWCGPFKTWQTIYNYDITYGLSQEEAKLVLGGEVALWSEQADPTVLDARIWPRASAMAETLWSGNRDETGRKRYAEAMDRLNEWRYRMVSRGIGAEPLQPLWCTRNPEFAEFLVLRSFLLCSLFPISNQESRRCLSKKAYHNPCEPNNSTK >Manes.05G150107.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25528417:25529443:1 gene:Manes.05G150107.v8.1 transcript:Manes.05G150107.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFVGGTDTGAATVVWAMTLLMKNPITMKNAQEEIRKLVGKKGFVEEDDVQQLPYLKAVIKETMRLQPTVPLLVPRESTEDCVLDGYDIPAKTVVYVNAWAIGRDPEIWKNPEELNPERLINSSIDLKGQDFELTPFGAGRRICPGIFMGLATMEVSLANLLYKFDWEMPVGMKKEDLDMDVQPGITMHKKNALCLMARKYL >Manes.05G024800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2187532:2192329:1 gene:Manes.05G024800.v8.1 transcript:Manes.05G024800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKHTAPPRGRRRKKSTETATSPTSPATPSTSRSPGSRTRTTQGNAPSTSTPQTQRKRHRFRPGTVALKEIRRFQKTWNLLIPAASFIRVVKSITGEYSQEVSRWTAEALVALQELLVCFFLVGSRGFFGPFV >Manes.05G024800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2187532:2192329:1 gene:Manes.05G024800.v8.1 transcript:Manes.05G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKHTAPPRGRRRKKSTETATSPTSPATPSTSRSPGSRTRTTQGNAPSTSTPQTQRKRHRFRPGTVALKEIRRFQKTWNLLIPAASFIRVVKSITGEYSQEVSRWTAEALVALQEAAEDFLVHLFEDGMLCAIHAKRVTLMKKDFELARRLGGKGRPW >Manes.01G049800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17727993:17729377:1 gene:Manes.01G049800.v8.1 transcript:Manes.01G049800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEREDFSLSGPLHLSAVDWTNVHHQRSVAASLVQGVYILERDRQLKREGPEALASPWWEFFNFKLFRKLVDDVDSSIFGAVYEFKPSTSYSTHSLDDSPRYVIAFRGTVTKPDSVSRDIELDLHIIRNGLHETSRFEIGMQAVRNVVATVGESNVWLVGHSLGAAMALLAGKTMAKTGIFIQAFLFNSPFFSAPIERIKDKRVKHGLRIAGSVITAGLAFAAAAKKNHQNRRSVDPFTALSAWIPSLFVNPDDHLCSEYIGYFEHRKKMDEIGIGAIERIATQNSIGGLIMSAMGKESEPLHLIPSANLTVNLIPSQDFKEAHGIHQWWRPDLEVTSKLYKYQ >Manes.02G085800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6753056:6759991:-1 gene:Manes.02G085800.v8.1 transcript:Manes.02G085800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLDAGFSIREYTAKVRAVDVRKCWPFRDTGDGDLDENKINQQRVEALLPPITVTKFRWWSHELNRIQHQQEQGILGIPSNEASKEKEKLEFVCPVCGNFAAPTMKAVNAHVDNCLAHAHRYKKRKMRMPMKAKSKSPKKRSIVEIFAVSPQIEKVDDDDDDDMEDDLDLPYAKDVDLDVVKTKHDEQKKKSINVQKKKIKKVRISNKLTVDQKKNRLKKKKKMKMKNKPIANKESAQLSIPVDYSRKVYNSFCSKGVEKDILDHISIPRNKLGLKASMQKKHGIRASTFIAKHRKAVIPVRGILKKNTKLIPQQNSEICNLPSGSQINSCELQHSERHVRFSGKDDILSPKKNLSSNVQNVSNTYPDFASQLQQDHSTENDKQSASVRVNGIGDVSVSTGNGAVFHTTINKKQLPASNLRGKSVDYFKDRTHGYAAGDLLGGTRAFSQPSSSDFASIDCPNMSVSFMPQSSIKSINAQTSQYRPYFHLSPTECMGSDYKERVGALSERCIDEEFYGLPLNSHGELMQMSSSGKVGFEWLKKSTLISSTRSYPHNSVPPRSLGDSVIEKRPTEQAVARVPLNLLHTQKIHDVQIPARFGVNELPNTGRPDVHFSNSWTQYGQDHKGTQTIHLKENSDNIALKTVRPTMRLMGKNVAIGRSDTEMQGFEDGKIWMDKDIIQECHPTNILGNSLHKRHIQQDRVLCPSFGKSEETLHYPVETENNQASQRSFWVKVPESRSHPYVNWKSNAAFRNGDLSVNRIAASSQMHPSPCPYSPLDMLYKEANLQESIISGAETVSISSHLPVLSSSLETRPCMSWIPTKINCQQNLPHARKSVFGFPFLHPDCNEHVQSSSFASSSRNPPPGPLHAPIQVKPATMLSQATTDVGDKHYHCTTAGTNFFTAPHHPSVVSHPHSSMVSNPHMKSSPGSAVFVQPPFFPFPMQILP >Manes.02G085800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6753057:6759991:-1 gene:Manes.02G085800.v8.1 transcript:Manes.02G085800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLDAGFSIREYTAKVRAVDVRKCWPFRDTGDGDLDENKINQQRVEALLPPITVTKFRWWSHELNRIQHQQEQGILGIPSNEASKEKEKLEFVCPVCGNFAAPTMKAVNAHVDNCLAHAHRYKKRKMRMPMKAKSKSPKKRSIVEIFAVSPQIEKVDDDDDDDMEDDLDLPYAKDVDLDVVKTKHDEQKKKSINVQKKKIKKVRISNKLTVDQKKNRLKKKKKMKMKNKPIANKESAQLSIPVDYSRKVYNSFCSKGVEKDILDHISIPRNKLGLKASMQKKHGIRASTFIAKHRKAVIPVRGILKKNTKLIPQQNSEICNLPSGSQINSCELQHSERHVRFSGKDDILSPKKNLSSNVQNVSNTYPDFASQLQQDHSTENDKQSASVRVNGIGDVSVSTGNGAVFHTTINKKQLPGIHDSVTMPDFLSPYQGKEQHISDRSPSSQAIIHDNNLHMFSQGYQNVPPHNPTYAGILRLLPTVDEVQDYHVNSQLCGNVSTASNLRGKSVDYFKDRTHGYAAGDLLGGTRAFSQPSSSDFASIDCPNMSVSFMPQSSIKSINAQTSQYRPYFHLSPTECMGSDYKERVGALSERCIDEEFYGLPLNSHGELMQMSSSGKVGFEWLKKSTLISSTRSYPHNSVPPRSLGDSVIEKRPTEQAVARVPLNLLHTQKIHDVQIPARFGVNELPNTGRPDVHFSNSWTQYGQDHKGTQTIHLKENSDNIALKTVRPTMRLMGKNVAIGRSDTEMQGFEDGKIWMDKDIIQECHPTNILGNSLHKRHIQQDRVLCPSFGKSEETLHYPVETENNQASQRSFWVKVPESRSHPYVNWKSNAAFRNGDLSVNRIAASSQMHPSPCPYSPLDMLYKEANLQESIISGAETVSISSHLPVLSSSLETRPCMSWIPTKINCQQNLPHARKSVFGFPFLHPDCNEHVQSSSFASSSRNPPPGPLHAPIQVKPATMLSQATTDVGDKHYHCTTAGTNFFTAPHHPSVVSHPHSSMVSNPHMKSSPGSAVFVQPPFFPFPMQILP >Manes.05G005200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1346551:1349183:1 gene:Manes.05G005200.v8.1 transcript:Manes.05G005200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDFAAVASATHLPLFRILSQDNNPSFSQINQSLCSSILGRGMKHNLSSDMTIAPVVGYRFHPTDYELVNHFLKRKIFGCDDNDSTITEIKVCDFEPWDLPDMVDTGSEDQVWYFFCPRDYKYSRSRRSNRTTRAGFWKPTGKPRKVKDKRSKEEIGTKRSLVFHVKDHPKPKRTKWIMHEYEYIVSNSTMAIQGNFLLCKLKAKPDEKINNGDCDLEIQNLNETKTNSSCDECEPSIHVGSDFGNLNESTTMSTYDKVEQNELIAFDFETGYVTTDSAGDEGESHYYLGFDQEDQNPNEMAAMSTYVNGKLICPITWDISACKEGERSIPSDILISSTAADVDNNAAEATQSEADLQAYFNMLEEEVLELKNVENFTSAFFSPMSPDGSSSKSTYSGFGSSEQTGVASEIGCPCP >Manes.17G089100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29764112:29766493:-1 gene:Manes.17G089100.v8.1 transcript:Manes.17G089100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMALSFIFSALLGFNIIYLSVAELQRFQHEAKADGSLSLLVIGDWGRRGAYNQSHVARQMGIIGEELDIDFIISTGDNFYDNGLKGVDDPLFYESFTNIYTATSLQKQWYSVLGNHDYRGDVEAQLSPVLREMDSKWLCLRSFIVDTEIADFFSVDTTPFVEKYFTEKEHNYDWSGILPRQNYLSNLLKDLDKSLKESTAKWKIVVGHHTIKSAGHHGNTQELSSQLLPILLENNVDLYINGHDHCLQHISSSERYDSNINH >Manes.17G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29764112:29766493:-1 gene:Manes.17G089100.v8.1 transcript:Manes.17G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMALSFIFSALLGFNIIYLSVAELQRFQHEAKADGSLSLLVIGDWGRRGAYNQSHVARQMGIIGEELDIDFIISTGDNFYDNGLKGVDDPLFYESFTNIYTATSLQKQWYSVLGNHDYRGDVEAQLSPVLREMDSKWLCLRSFIVDTEIADFFSVDTTPFVEKYFTEKEHNYDWSGILPRQNYLSNLLKDLDKSLKESTAKWKIVVGHHTIKSAGHHGNTQELSSQLLPILLENNVDLYINGHDHCLQHISSSESPLQFLTSGGGSKAWRGDVDWWNPKEMKFYHDGQGFMSMEITQTQMDIVFYDVSGYILHKWSKNKELHSTI >Manes.10G143300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31151538:31156339:-1 gene:Manes.10G143300.v8.1 transcript:Manes.10G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFLCKTILHGRNGYSITAQNLSIPPLSTFLRYLTSDASQHSFIVSYLTNTCGFSPESALSASKFYRLQTLDNAVLVLSIFKNLGCSKFHISYIILKLPRILASNPERTIIPKLEFFRSKGASNSDLVRIFSCCPWILERGLEKQLVPSFNFFRDLLQSDQKTIAAVKCCPSILLCRLEARVIPVINILRENGVPEANILHLIRYHPMKLHTNPDNFKKVLEEVRLMGFSPLKVHFVLAIVVLMGVRKSVWENKVDVYKRWGWSNEDVITAFGKHPFCMRISDDKIMAVMDFYVNKLGLDSSVIVNCPVLLALSLKKRIVPRGSLIQFMSSKGLVKIDSHIATLLKHSEKNFIEKFVNRYEESPQLLKLYSELKQQGEQQQDS >Manes.13G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2896518:2902426:-1 gene:Manes.13G019600.v8.1 transcript:Manes.13G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILKEQEQKTRLRGLDAQKANISAGRTVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVVAGALLEQAEKLLERGIHPIRIAEGYEMASRIAVEHLEHIAQKFDFGVTNLEPLIQTCMTTLSSKIVNRCKRSLAEISVKAVIAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKSFGTTKDRMLYIEHCANSRAVTIFIRGGNKMIIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADRYPGVEQYAIRAFADALDSIPMALAENSGLQPIETLSAVKSQQIKENNPHCGIDCNDIGTNDMSEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >Manes.13G074700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:11132425:11134864:-1 gene:Manes.13G074700.v8.1 transcript:Manes.13G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTELRANTTTSIPLQNSSLFTNPQSSLSGALQGCLGSLDGACIEKLLLHCASALENNDVTLAQQVMWVLNNVASLVGDPNQRLTSWFLRALISRSSKVCPAATNFDGSSTIQRRQMSVTELAGYVDLIPWHRFGFCASNSAILKAIEGCPKVHILDFSITHCMQWPTLIDALAKRPEGPPSLRITVPSCRPPVPPLLNVSTEEVGLRLGNFAKFRDVPFEFNVIDDPCSSSISTEIMSEESSGFYFESLLNHLTPLLDLRDDEALVINCQNWLRYLSDDQNGRVQDSSLRDDFLCLIKSLNPCIILVVDEDCDLSAPSLTSRITTCFNYLWIPLDALETFLPKDSSQRIEYESDIGHKIENIISFDGFQRIERLESGIKLSQRMKNAGFFSVQFCEETVREVKSLLDEHASGWGMKREEDMLVLTWKGHNSVFATAWVPDV >Manes.04G059588.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9872922:9874319:-1 gene:Manes.04G059588.v8.1 transcript:Manes.04G059588.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVTRSITNDIKMRYTALWKTWSEIPLKTKDELFGLFRSRYVWDESKKRLRDIVNRVRSELLRKHKKTDSTPEWRKKSEAGKANRNVEKDGTITKHSGGSIKLEVHENRLAKKLGRQPTQLELFRATHTKKGVKGAYLSAIAENVNSNCKSQYAFDLNKWIEISGSSKWRVYGFGSSDIAKSETPTTSFSCTSAHSGGPSQTMFSLEEVEQIFRAKPDQNERRHGANAKANASADRKANKRSDEIIEKQKKRSSPHNTIANSTSLSDGSTN >Manes.11G030101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2856814:2858936:1 gene:Manes.11G030101.v8.1 transcript:Manes.11G030101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTGENQERSKYDSAIDLFSLLHEDLVLDILSYLPPRDVARSSVLSKSWHHVWNSIPTENFHFFYDGRRDSNAINNFINSVDDSLQEFRGRQITKIKSFSLCLPIPYAEEFPCLDDWIGLVTHHCIEELQICIDFAMIKFPRAIFQAKSLSVLRLQGFTMRGTVLGGDITLPCLKILSLSGVYNSDLMTEKFLSSCPSLEQFSILASDLKNLHISNLPKLKKVEAEVSHKIHIEGLNIQILRCTIFRSSGLELEELHCNNLKELSVHADITNYWIQQLFEKFHLLEILHLKSFQLCQRVFISSHKLKRLSLDLNTRPEVLDINAPNLKSLTYRGETLPCLCRMNTSSPQIAKMGIDATKEFIGRAWFLRLKNYLGVFHNHELLALSVYSNEISFNPTEWTDKYRPQPCRLERMEIIHVKSAIISNYSALLDGLLCCAPPRILQFKYLPKHNSELIKFFYETLTTNMEEHQQCPFNCKLWCWRHILKDVKIKIQKHNGVEQKGEFVSLQNLECGDLVIFEFTW >Manes.02G222201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:38528818:38558837:-1 gene:Manes.02G222201.v8.1 transcript:Manes.02G222201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLDLSWTLMGWTQPFPPDAKRHHFETLYKLYKTGHFGAVKIGLGRARSLSLRFPWLSILHHLKHALPNSPSVRRLILIWPVIPDATKVPGATNGASLEKNGQILRAAYAKSFLRPL >Manes.01G241400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40279632:40283965:1 gene:Manes.01G241400.v8.1 transcript:Manes.01G241400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPQMIPVMPSYPPANITTEQIQKYLDENKKLILAILDNQNLGKLTECAQYQAQLQKNLMYLAAIADAQPQAPPMPPQMAPQPAMQQGAYYMQHPQAAAMAQQSGIFPPKMPLQFNNPHQMQDPQQLLQAVIQGQMGMRPMGVNNGMHPMHTEATLGSSGPSATVSSNDVRGGSKQDVPDARASGADGQGNSAAGHSSGDGAEDAK >Manes.11G042166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4641465:4647501:1 gene:Manes.11G042166.v8.1 transcript:Manes.11G042166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWGDRRNETRDPNESDSSPEKSPSNAYASDNSKVIGPKSYSYSDLAKATRHFSLNYQIGQGGFGQVFKTSLNGETYAIKKLNNFVDEQAEGKLENEIEVVNRVSHKNLVKLVGYCIDGANRLLILEYFSNGSLRSKLHGKENVLDWKKRMKIAIGSAKGLQYLHEDCEPKIIHLDVKADNILLDDNFESKVSDFGLSLFFSDAASHVSRSSIRGTQIYADPLTTQLGKHSDKSDVYSFGVTLLELISGRKPINQNGVNIITWANSLIEKVLKGEYADFVDSRLQSFDHEEMHRIIFCVNACINLPPKFRPSMKRVVLALEGILPLKNDNKLYSRASYEDEPKPSPKPPIIPETNRSSNEVYKPRRFTYKQLSSATKDFSESNLLYRGIMGEVYMASLNDVGQVIIKKFHLEKEDEFKKIKGISSSVHHENLVNLVGYCNERDNNLLVYECFRFRSSLRFRLLGTKIMSTSIMEWPTIKGIALSIAKGLVHLHELYKPLNTYEHYLDDCVFLDDNLEPKVFAEYGRTNFFLKDSEMLLSSSSTTSTYLKFDVYFLGIILLKLITGKQQHNDISSINDYNLIELMAIHLKNNWPKSDYSFVDPRIESYDKHEMDQMIECAIACVNPCPQYRPQMSQVVEVLAGNIPARNLEKNFFFFHEKKE >Manes.18G127800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24289037:24296620:1 gene:Manes.18G127800.v8.1 transcript:Manes.18G127800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYLCLNSLSSSSSSSSPSQLPRKLTETYSSSYTSSTNARSTRGSLKPIVISGDPPTFVSAPGRRIVAVGDLHGDLDQARCALEMAGVLSSEGDDLWIGGETVLIQLGDILDRGEDEIAILSLLRSLDIQAKAQGGAVFQINGNHETMNVEGDFRYVDSGAFDECTDFLAHLDGFNYDWEKAFSGWIRLSKTRKEDRKLSQNYWGPWNLVKRQKGVIARSILLTPGGPLACELARHSVILKVNDWIFCHGGLLPQHVAYGIERMNSKVSQWMKGLSGDDASSNIPFMATKGYDSVVWNRLYSRDVSNLEDHQTKQILSILEETLQAIGAKAMVVGHTPQTAGVNCKYNCSVWCVDVGMSSGVLNSRPEVLEIRDDKVRVIRSRRDTFRELEIAEYT >Manes.05G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18191355:18194928:1 gene:Manes.05G131400.v8.1 transcript:Manes.05G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKEYDPFLPCPLSSARWWTKDTVAVVTGGNKGIGFSLVRQLAEKGVTVILTARDVERGYNAIKELRNSPGDVRVHFYRLDVSDPASIKSFASQFEKDFGVLDILVNNAAVSFNEINENSVEHAETVIKTNYYGPKLLIQALLPMFRRSNSASRILNVSSRLGSINKMKNPRIKKMLLSENLSEEHIEEMVNMFLESVKKGTWKSQGWPEIWTDYAVSKLAINAYSRVLARRYKDRGLCVNCFCPGFTQTSMTRGKGTHTAAEAAEVGARLALLPPHELPTGKFYMGFRPGIVSKL >Manes.11G060349.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8416863:8428876:1 gene:Manes.11G060349.v8.1 transcript:Manes.11G060349.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDADHLLPSWIDDPTSNCCQWERVMCNSTTGHVTELSLNNTRQYDIDSRSFYYDENIWYVNLSMFQQLKELKTLNLSYNHFDCSIDDQGCERLSKLKKVEVLDLTWNRFNNIILPSLGTLTSLKTLILGSNRMECSFPIQGFQRLEELDLSRNNFNNSILSSLAALPSLNTLILRFNYMEGSFPNQGFQRLEELDLTMNSFNNSILSLLAALPSLNSLILRGNHMEGSFPNQGFERLEKLDISWNIFNGSILLSLGALTSLNTLIFSYNDMGGSFPIQELKNLKSLEFLDISGNGFNNTLSFLEFSTFKRLETLNLGGNAFTGSISEGMWAPTSLKALYLYSNKLNDTLLKQSLCGLKDLQHLDLNYNKFGGTLPQCLGNLTSLTFLDLYGNQLIGYLPSFWPPKLQSLDLRYNHLEGVFSFNYSSLEVIRLSGNKITFENGWIPSFQLRALIMQDCGLERRFPYWLLQNNGGLEILNLMNNSFNGQLEIGAKMLPSMTYLNLARNHFEGDILFSAGDDCKLKTLDLSHNNFSGEVPERLLSNCTSLSLLRLSHNNFHGQIALFNLTQIDDLQLNDNQFEGTLSSLHTKFSHQSYGPIVLHLSNNRLHGEIPHWMGNFTGLIYLNLRDNLFQGQISCQLLSTEIEYLDLSYNSFSGLLPSCFNGNSLRQINLQGNRFSGSIPEALLNISTLNSLDLSDNELSGTILNKSGNHFSGFIPNWFCQLNNVSLLDLSRNSFSGSIPHCLYNLSFAREGGHLYAPPFSDALFTWGIEYRGSSKTPLANTYIFQAEVDEESEFVTKYRADTYKNKALNYMSGLDLSDNNLTGEIPYELGALSHIHALNLSHNQLTGSIPTSFSNLSEIESLDLSYNILSGQIPVELIDLNFLEAFSVAHNNLSGRIPDMKRQFSTFESKSYEGNPFLCGTQVRRKCHNDNDEPSPSQMESRQEASGKWYEIDREIFFASFSVTFIIFFLSVITILYVNSYWQQRLIYHTRRYLFSCYYFLYDNLVKLFI >Manes.16G071800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27342364:27345872:1 gene:Manes.16G071800.v8.1 transcript:Manes.16G071800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIDKATAALLGEARYEGRRMLVSRLARLTVLAQLFLPGQAQSLSHTCPPKFPSCSIANPPKFVSYKYGIPAINKGASQPATNTFLQMESHSLDVQKLRSDFLQVLRSRRSAEVPLSVENGKPVVSPFFLDNTLPTDKSQAMESCPKVVIKNFKELLKEENLYLTTEAGQQGRLPVLILSMKESKERKPAVVFLHSTNKCKEWLRPLLEAYASRGYLAIAIDSRYHGERAGSKTGYRDALISSWKKGDTMPFIFDTVWDLIKLADYLTKREDIDPARIGITGESLGGMHAWYAAFVDTRYSVVVPIIGVQGFRWAVDNDKWQARVNSIRPVFEEAQKDLGKSAIDKEVVEKVWDRIAPGLASSFDAPYTIPAIVPRPLLIVNGAKDPRCPVEGLQIPQSRATKAYGEAHCPDKFKVWTRLFTRRTSHTVYMNSKLY >Manes.16G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27342364:27346373:1 gene:Manes.16G071800.v8.1 transcript:Manes.16G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIDKATAALLGEARYEGRRMLVSRLARLTVLAQLFLPGQAQSLSHTCPPKFPSCSIANPPKFVSYKYGIPAINKGASQPATNTFLQMESHSLDVQKLRSDFLQVLRSRRSAEVPLSVENGKPVVSPFFLDNTLPTDKSQAMESCPKVVIKNFKELLKEENLYLTTEAGQQGRLPVLILSMKESKERKPAVVFLHSTNKCKEWLRPLLEAYASRGYLAIAIDSRYHGERAGSKTGYRDALISSWKKGDTMPFIFDTVWDLIKLADYLTKREDIDPARIGITGESLGGMHAWYAAFVDTRYSVVVPIIGVQGFRWAVDNDKWQARVNSIRPVFEEAQKDLGKSAIDKEVVEKVWDRIAPGLASSFDAPYTIPAIVPRPLLIVNGAKDPRCPVEGLQIPQSRATKAYGEAHCPDKFKLIVQPGIEHQLTPLMVKEASDWFEIFLKK >Manes.01G210000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38124086:38127864:-1 gene:Manes.01G210000.v8.1 transcript:Manes.01G210000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVFRLTCLASLPSPFSPALVPASRFYSKRPLGAVLTRCISKEEPLLEGMPKEYYDDEWQAQQREKSKELERLRQQEDEEEERKIAEYREIGLRLKGYPEEDVRKARRLVSSFIMAAEEVEEKIEEAAEKGELDELVLMVIWNRLDLARRDDEKEAIRSLDLLYRRVEMEILRREATPAMRLLNDLLNMHDGFDDEGWLKECKKCMINTFPREDPFSILVPAGFDIDKHQGSLQPPLEADDVLLRVDFLREVDSLLQEVRQEQSEAHNVEGFDPESVASMLKQHEKQRTIRQVESLLDLAINLKW >Manes.01G210000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38124086:38127864:-1 gene:Manes.01G210000.v8.1 transcript:Manes.01G210000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVFRLTCLASLPSPFSPALVPASRFYSKRPLGAAVLTRCISKEEPLLEGMPKEYYDDEWQAQQREKSKELERLRQQEDEEEERKIAEYREIGLRLKGYPEEDVRKARRLVSSFIMAAEEVEEKIEEAAEKGELDELVLMVIWNRLDLARRDDEKEAIRSLDLLYRRVEMEILRREATPAMRLLNDLLNMHDGFDDEGWLKECKKCMINTFPREDPFSILVPAGFDIDKHQGSLQPPLEADDVLLRVDFLREVDSLLQEVRQEQSEAHNVEGFDPESVASMLKQHEKQRTIRQVESLLDLAINLKW >Manes.01G210000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38124086:38127933:-1 gene:Manes.01G210000.v8.1 transcript:Manes.01G210000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVFRLTCLASLPSPFSPALVPASRFYSKRPLGAAVLTRCISKEEPLLEGMPKEYYDDEWQAQQREKSKELERLRQQEDEEEERKIAEYREIGLRLKGYPEEDVRKARRLVSSFIMAAEEVEEKIEEAAEKGELDELVLMVIWNRLDLARRDDEKEAIRSLDLLYRRVEMEILRREATPAMRLLNDLLNMHDGFDDEGWLKECKKCMINTFPREDPFSILVPAGFDIDKHQGSLQPPLEADDVLLRVDFLREVDSLLQEVRQEQSEAHNVEGFDPESVASMLKQHEKQRTIRQVESLLDLAINLKW >Manes.01G210000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38123744:38128122:-1 gene:Manes.01G210000.v8.1 transcript:Manes.01G210000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVFRLTCLASLPSPFSPALVPASRFYSKRPLGAVLTRCISKEEPLLEGMPKEYYDDEWQAQQREKSKELERLRQQEDEEEERKIAEYREIGLRLKGYPEEDVRKARRLVSSFIMAAEEVEEKIEEAAEKGELDELVLMVIWNRLDLARRDDEKEAIRSLDLLYRRVEMEILRREATPAMRLLNDLLNMHDGFDDEGWLKECKKCMINTFPREDPFSILVPAGFDIDKHQGSLQPPLEADDVLLRVDFLREVDSLLQEVRQEQSEAHNVEGFDPESVASMLKQHEKQRTIRQVESLLDLAINLKW >Manes.02G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10138184:10144224:-1 gene:Manes.02G133300.v8.1 transcript:Manes.02G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGVVMAVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGISYKYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESNRQHQKVPDELLEEAKSAARTALEEMDAD >Manes.02G133300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10138184:10144224:-1 gene:Manes.02G133300.v8.1 transcript:Manes.02G133300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGVVMAVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGISYKYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKMFPMNS >Manes.05G008035.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:497307:502465:-1 gene:Manes.05G008035.v8.1 transcript:Manes.05G008035.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKALHDGKDLFFLLQVDGDYAYAKGNNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWHTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGNPWHGSGHFSINCDWIPVDISPGSSMLSPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.14G065700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5490930:5493231:1 gene:Manes.14G065700.v8.1 transcript:Manes.14G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSIQLGNTNGIAHTLSMELPSAKLPKHSLDNADEQTDSLSSGVESVHDQELILSDSESGSSGANSGQFPGFCDELVRLFEGDRIHDIIKQKFLSALGSLGNETTVVTVHKNRYSSIIEQARMQSFLIFTKATEKCRGSANVKYAWFGATVDETCNIMKNGFGRQINDNNGLYGCGIYLSPDDSPLETVKNLRVDEDGLRRLLLCRVILGATEVVAPGSEQCHPSSEEFDSGIDNLSSPKKYIVWSNRMNTHILPEYVVSFKAPCCLKGFFRNRESGRVPTSPWMPFPDLITALSKFLPPTTVGVVANYHKDHREKKISRQELIQRVRLIVGDKLLIAVIKSFRSKGGIGGERPSNWKQRKVRIAGEMI >Manes.08G165450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40001055:40003998:1 gene:Manes.08G165450.v8.1 transcript:Manes.08G165450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPGGRVLSQGRVSFKTQQQLLQTDKNVKLFEQRCCSRANANETIATKSKHYHYESLNIPMHAMEFLCRSWSPSASDFLHTFSSSNNQILDFEDHKQSHIEEYEEKAGHKSDVLGEQEGIIVQVNNGKRHTAKSKKKQIWSINGWLKENSLTGLLRWRREKKKEEVRLLTAKIHAALSVAQLAAAIAGFAATNSMEKENNTTNEAVKMSNGVAYASALLASACAEAAESVGARRSHVASAVNSGLATQAPSDIITLTAAAATRQRVLGSVSIHLKHRQLMLSLKKKYLGVLTTSEECKI >Manes.08G165450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40001055:40003998:1 gene:Manes.08G165450.v8.1 transcript:Manes.08G165450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMEFLCRSWSPSASDFLHTFSSSNNQILDFEDHKQSHIEEYEEKAGHKSDVLGEQEGIIVQVNNGKRHTAKSKKKQIWSINGWLKENSLTGLLRWRREKKKEEVRLLTAKIHAALSVAQLAAAIAGFAATNSMEKENNTTNEAVKMSNGVAYASALLASACAEAAESVGARRSHVASAVNSGLATQAPSDIITLTAAAATRQRVLGSVSIHLKHRQLMLSLKKKYLGVLTTSEECKI >Manes.08G165450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40000965:40003998:1 gene:Manes.08G165450.v8.1 transcript:Manes.08G165450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPGGRVLSQGRVSFKTQQQLLQTDKNVKLFEQRCCSRANANETIATKSKHYHYESLNIPMHAMEFLCRSWSPSASDFLHTFSSSNNQILDFEDHKQSHIEEYEEKAGHKSDVLGEQEGIIVQVNNGKRHTAKSKKKQIWSINGWLKENSLTGLLRWRREKKKEEVRLLTAKIHAALSVAQLAAAIAGFAATNSMEKENNTTNEAVKMSNGVAYASALLASACAEAAESVGARRSHVASAVNSGLATQAPSDIITLTAAAATRQRVLGSVSIHLKHRQLMLSLKKKYLGVLTTSEECKI >Manes.13G106351.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30915759:30919561:1 gene:Manes.13G106351.v8.1 transcript:Manes.13G106351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVARPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDGEDEEY >Manes.S028816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:566185:566520:-1 gene:Manes.S028816.v8.1 transcript:Manes.S028816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.08G083300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28877019:28880316:1 gene:Manes.08G083300.v8.1 transcript:Manes.08G083300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLFLLLCASALLASSVASAAIVEHSFHVKNLTLRRLCGEQVITAVNGSLPGPTIRVREGDTLVVHVFNKSPYNLTIHWHGIFQKLSGWADGPNMVTQCPILPGSSYTYKFKVIKQEGTLWWHAHVSTLRATVYGALIIRPRSGHSYPFPKPDKEVPILLGEWWNANIIDVENQALATGAGPNNSDAYTINGRPGDLYPCSQNQIYKLKVERGKTYMLRIINAALNNQLFFKIANHKMKVVAVDASYTKPYITDVVVTGPGMTTDVLLIADQPVGSYYMAASPYASAAGVPFDNTTTRGILIYEGSTSATPIMPLMPATNDTPTAHKFYSNLTGLAGGPHWIPVPRHVDEHMFITVGLGLEPCGGNATCQGPFGQRLSASMNNKSFQFPTSLSMLQAFFFNVGGIYTPNFPDKPPVKFDYTNSNISNDQSLLFAPKSTSVKVLKYNSTVQIVLQNTALIVVENHPIHLHGFNFHVLAQGFGNYDPVNDPKKFNLVNPQMRNTIGVPVGGWAVIRFKANNPGVWIMHCHLDAHLPWGLATAFVVQNGPTPRSTLPPPPADLPKC >Manes.18G112700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11296879:11302952:1 gene:Manes.18G112700.v8.1 transcript:Manes.18G112700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNTSSTVYIGNLDEKVSDRVLYDILIQAGRVVDLYIPRDKETDRPKGYAFAEYETEEIAEYAVKLFSGLVILCNRTLKFAISGQDKLSQNPPNGHMSTTNSSNKPRPYPALMNNIDISHQSVRLSATCRTSAYPSNYNQEDD >Manes.18G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11297029:11298124:1 gene:Manes.18G112700.v8.1 transcript:Manes.18G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNTSSTVYIGNLDEKVSDRVLYDILIQAGRVVDLYIPRDKETDRPKGYAFAEYETEEIAEYAVKLFSGLVILCNRTLKFAISGQDKLSQNPPNGHMSTTNSSNKPRPYPALMNNIDISHQSVRLSATCRTSAYPSNYNQVPVPPGVTHHHNGYGSQFNGINYDSGRRIFGSAIDNISRSRTRRYDLNKYTSYPSF >Manes.05G003200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:307312:316496:-1 gene:Manes.05G003200.v8.1 transcript:Manes.05G003200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMKNNIVGMEANMEQLLQKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKLQFIYDLPARLGKCIKSDAYADAVRFYTGAMPIFKAYGDSSFQDCRRASEEAMTTIIKNLQGKLFSDTESIQARAEAAMLLKQLDFPVDSLKAKLFEKLEQSLQDIHLNTEDIINVLEDSNDTSIPTTANDASVHEFVNAVQAYQVIFPDSEKQLVKLSQDLITKHFEITEKSIKEQISVAKFLGILRVIWRDVLLMNEVLNESFLPDYSLEAAQQVVKQFVSITFSHLVRDISDALIVSIRTKQKEGGEENALQVALETSKNAVLKGSMDVLVDLHHLLDDNLGLLQKLRDSIVDWVQEGFQEFFRALYNRFLLLSGRNKSVGQTQGLTEGLVVDKVLAGLVLVLVQLSAFIEQTAIPKITEEIATSFSGSGVRGYESGPAFVPGEICRIFRSAGEEFLHHYITMRTQRVSLLLKKRFKAPNWVKHKEPREVHMFVDLFLQELEAVGSEVKQLLPQGVLRKHRRSESNGSTTSSRSNPLRDDKMSRTNINTQRARSQLLETHLAKLFKQKVEIFTKTEFTQESVVTTIVKLCLKSLLEFVRLKTFNRSGFQQIQLDIQFLRAPVRETVEDEAVIDFLLDEVTVGASERCLDPTPLEPPILDKLIQAKLAKKREQNAIAP >Manes.05G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:307312:316496:-1 gene:Manes.05G003200.v8.1 transcript:Manes.05G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDVPLDDKAKRMRDLLSSFYSPDHAMSSSNSSKFASLDAINTISFDADQYMNLLVRKSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATETIKRMKNNIVGMEANMEQLLQKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKLQFIYDLPARLGKCIKSDAYADAVRFYTGAMPIFKAYGDSSFQDCRRASEEAMTTIIKNLQGKLFSDTESIQARAEAAMLLKQLDFPVDSLKAKLFEKLEQSLQDIHLNTEDIINVLEDSNDTSIPTTANDASVHEFVNAVQAYQVIFPDSEKQLVKLSQDLITKHFEITEKSIKEQISVAKFLGILRVIWRDVLLMNEVLNESFLPDYSLEAAQQVVKQFVSITFSHLVRDISDALIVSIRTKQKEGGEENALQVALETSKNAVLKGSMDVLVDLHHLLDDNLGLLQKLRDSIVDWVQEGFQEFFRALYNRFLLLSGRNKSVGQTQGLTEGLVVDKVLAGLVLVLVQLSAFIEQTAIPKITEEIATSFSGSGVRGYESGPAFVPGEICRIFRSAGEEFLHHYITMRTQRVSLLLKKRFKAPNWVKHKEPREVHMFVDLFLQELEAVGSEVKQLLPQGVLRKHRRSESNGSTTSSRSNPLRDDKMSRTNINTQRARSQLLETHLAKLFKQKVEIFTKTEFTQESVVTTIVKLCLKSLLEFVRLKTFNRSGFQQIQLDIQFLRAPVRETVEDEAVIDFLLDEVTVGASERCLDPTPLEPPILDKLIQAKLAKKREQNAIAP >Manes.10G133677.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29977231:29978233:1 gene:Manes.10G133677.v8.1 transcript:Manes.10G133677.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLLSAYSTISIQDTAHFLGMNEDDATNYVMQQSWIVDPASRMLTVKKQQTVTEQKLDSSKLQRLTEYVFHLEH >Manes.04G085300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28680248:28682215:-1 gene:Manes.04G085300.v8.1 transcript:Manes.04G085300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFSLTSSKKNYYNNHSATCTAKDTIREKRMKIRCDVCDMDEASVFCSADEAALCDACDRHVHHANKLASKHLRFSLLHPSSKQSPLCDVCQERRAFLFCQEDRAILCRECDIPIHEVNDHMKKHNRFLLTGVKLSASSSLHPRSSSSNSCNTNMNTNGKKIPQQLHLHNPCSFSDEIISSPSVERPSPSLANQSPNSDNISLSTSSISEYLETLPGWRVDDFLEPSMAAHDGFCKGIIVETHEHYPFC >Manes.04G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28680248:28682215:-1 gene:Manes.04G085300.v8.1 transcript:Manes.04G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFSLTSSKKNYYNNHSATCTAKDTIREKRMKIRCDVCDMDEASVFCSADEAALCDACDRHVHHANKLASKHLRFSLLHPSSKQSPLCDVCQERRAFLFCQEDRAILCRECDIPIHEVNDHMKKHNRFLLTGVKLSASSSLHPRSSSSNSCNTNMNTNGKKIPQQLHLHNPCSFSDEIISSPSVERPSPSLANQSPNSDNISLSTSSISEYLETLPGWRVDDFLEPSMAAHDGFCKNFSNFSPLMVDQDLESDSISFSPEDLAFWVPQDSAQLPQQDHVHHPQFEGELLDVLKESKEATKGKINRKWRSEDAFRVPEMSHRALKKSRHFS >Manes.13G093800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:26503483:26504001:1 gene:Manes.13G093800.v8.1 transcript:Manes.13G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSIEALAMAGMDYLEWGMDVQEWEREEPPPAYLLAEEEEGEGEGDEEPPPAYLLEEEEGEEREEEVTWNLSSACKPLQNQNGDICEMGTDLHVEAKYLKLWRIIYCLGGENYYSFLVHYKKLS >Manes.17G075200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27595144:27596196:-1 gene:Manes.17G075200.v8.1 transcript:Manes.17G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLLIFLTLLSFLSVSSPLPADNIHDAASNLSISGYTSMALTLEFGSQSIIPPSSSLTIFSPPDIEFSKVGQPSLSLLQFHFSPLFFSPQSLKILPPGTKIPTLNANHSLIITSSPSDVDVSLNGVKINGSAIYNDGSLVVFGIEKFLDPDFEVSGSISGRPAQGFRCSGNGDDFHMFEKASGALRSRGYSIMASFLDLQLTEFKNQTFITIFAPEDEIVKSLIGNFTEYRSIFLRHFVPCKIASNDLANLENGVLLPTYLDEFLINVTRSGDTVLLSGVQVVAPDLYNNSWLAVHGLLRGSFVGQENSHQAPRSSSGGRRNRENIAADFMLWTSLSLLFLCIFYQNI >Manes.12G016700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1547685:1566480:1 gene:Manes.12G016700.v8.1 transcript:Manes.12G016700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQILSKLPRKSSKSTENRDHGGNLANSNNSNGSRSSDIGAKSAKLNITSVTANNSASDTGQNHGKNIQQGLTSKLNGVLGFSSYEGLPELRDVPNSEKQNLFIKKLNLCCIVFDFSDPTKNLKEKDIKRQTLLELVDYVTSVNGKFTETVMQEVIKMVSANLFRTITPQPHENKVVDGVDLEEEEPSMDLAWPHLQLVYELFLRFVASPETDVKPAKRYIDQSFVLKFLDLFDSEDPREREYLKTILHRIYGKFMVHRPYIRKAINNIFYQFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLIHALIPLHKPRCLAMYHQPLSYCVTQFVEKDNKLADAVIRGLLKYWPQTNCSKEVMFLNELEEILEATQPAEFQRYMVPLFQKIARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNTRNHWNQAVRSLTLNVRKIFDDLDPELTNECLHEFEEAERKQEETKARREAKWKHLEELATQKASSNKAS >Manes.12G016700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1547567:1552876:1 gene:Manes.12G016700.v8.1 transcript:Manes.12G016700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQILSKLPRKSSKSTENRDHGGNLANSNNSNGSRSSDIGAKSAKLNITSVTANNSASDTGQNHGKNIQQGLTSKLNGVLGFSSYEGLPELRDVPNSEKQNLFIKKLNLCCIVFDFSDPTKNLKEKDIKRQTLLELVDYVTSVNGKFTETVMQEVIKMVSANLFRTITPQPHENKVVDGVDLEEEEPSMDLAWPHLQLVYELFLRFVASPETDVKPAKRYIDQSFVLKFLDLFDSEDPREREYLKTILHRIYGKFMVHRPYIRKAINNIFYQFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLIHALIPLHKPRCLAMYHQPLSYCVTQFVEKDNKLADAVIRGLLKYWPQTNCSKEVMFLNELEEILEATQPAEFQRYMVPLFQKIARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNTRNHWNQAVRSLTLNVRKIFDDLDPELTNECLHEFEEAERKQEETKARREAKWKHLEELATQKASSNKAS >Manes.12G016700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1547685:1566480:1 gene:Manes.12G016700.v8.1 transcript:Manes.12G016700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQILSKLPRKSSKSTENRDHGGNLANSNNSNGSRSSDIGAKSAKLNITSVTANNSASDTGQNHGKNIQQGLTSKLNGVLGFSSYEGLPELRDVPNSEKQNLFIKKLNLCCIVFDFSDPTKNLKEKDIKRQTLLELVDYVTSVNGKFTETVMQEVIKMVSANLFRTITPQPHENKVVDGVDLEEEEPSMDLAWPHLQLVYELFLRFVASPETDVKPAKRYIDQSFVLKFLDLFDSEDPREREYLKTILHRIYGKFMVHRPYIRKAINNIFYQFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLIHALIPLHKPRCLAMYHQPLSYCVTQFVEKDNKLADAVIRGLLKYWPQTNCSKEVMFLNELEEILEATQPAEFQRYMVPLFQKIARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNTRNHWNQAVRSLTLNVRKIFDDLDPELTNECLHEFEEAERKQEETKARREAKWKHLEELATQKASSNKAS >Manes.08G040700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3976599:3984057:1 gene:Manes.08G040700.v8.1 transcript:Manes.08G040700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADSVANSASENAAPASSGNNGSLRSSRPAYVPPHLRNRTPSADLPASSPAVPSQANDRVGYAGPAGGSRWAGGSRPDYGRPGYGSGGRPAAAAAAGGWNNRSGGWERGREREVNPFGNDDGEVDSTFGEQENTGINFDAYEDIPVETSGENVPPSVNTFAEIDLAEALNQNIRRCKYVKPTPVQRNAIPIILAGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRGPRTVYPLALILSPTRELSCQIHDEARKFAYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGRRQTMLFSATFPKEIQQSLTLVFVETKKGADSLENWLCVNGFPATTIHGDRTQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNMSMARSLADLMQEANQEVPAWLTRYASRASYSGGKNRRTGGGRFGGRDFRREGSFTRVTDYYGGGNSSGGGYGVPASYGGGYGPGVASAWD >Manes.08G040700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3976599:3984010:1 gene:Manes.08G040700.v8.1 transcript:Manes.08G040700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADSVANSASENAAPASSGNNGSLRSSRPAYVPPHLRNRTPSADLPASSPAVPSQANDRVGYAGPAGGSRWAGGSRPDYGRPGYGSGGRPAAAAAAGGWNNRSGGWERGREREVNPFGNDDGEVDSTFGEQENTGINFDAYEDIPVETSGENVPPSVNTFAEIDLAEALNQNIRRCKYVKPTPVQRNAIPIILAGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRGPRTVYPLALILSPTRELSCQIHDEARKFAYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGRRQTMLFSATFPKEIQRLASDFLANYIFLAVGRVGSSTDLIIQRVEYVHETDKRSHLMDLLHAQRETETNGKQSLTLVFVETKKGADSLENWLCVNGFPATTIHGDRTQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNMSMARSLADLMQEANQEVPAWLTRYASRASYSGGKNRRTGGGRFGGRDFRREGSFTRVTDYYGGGNSSGGGYGVPASYGGGYGPGVASAWD >Manes.08G040700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3976599:3984010:1 gene:Manes.08G040700.v8.1 transcript:Manes.08G040700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADSVANSASENAAPASSGNNGSLRSSRPAYVPPHLRNRTPSADLPASSPAVPSQANDRVGYAGPAGGSRWAGGSRPDYGRPGYGSGGRPAAAAAAGGWNNRSGGWERGREREVNPFGNDDGEVDSTFGEQENTGINFDAYEDIPVETSGENVPPSVNTFAEIDLAEALNQNIRRCKYVKPTPVQRNAIPIILAGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRGPRTVYPLALILSPTRELSCQIHDEARKFAYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGRRQTMLFSATFPKEIQRLASDFLANYIFLAVGRVGSSTDLIIQRVEYVHETDKRSHLMDLLHAQRETETNGKQSLTLVFVETKKGADSLENWLCVNGFPATTIHGDRTQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNMSMARSLADLMQEANQEVPAWLTRYASRASYSGGKNRRTGGGRFGGRDFRREGSFTRVTDYYGGGNSSGGGYGVPASYGGGYGPGVASAWD >Manes.01G140700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33209114:33221302:1 gene:Manes.01G140700.v8.1 transcript:Manes.01G140700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMMEKCRASNRIFSHSFKSLLNYRSISDNSTLRSSSGFAHHFTGNGQRCIPFFPFHRPDLLTCANFYRNYKQTLWPSLERGLGGMMYSLQCRCFGSAGTKIQRNPSFATLNSDDITYFKGVLGEKNVVQDEDRLEFVNADWMHKYKGSSRLLLLPTTTDEVSQILKYCNSRHLAVVPQGGNTGLVGGSVPVFDEVIVSMSSMNNVIDFDEVSGILVCEAGCILENLISFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLIRYGSLHGTVLGLEAVLANGNVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACKDYLSCQRLLLEAKRKLGEILSAFEFLDSEAMDLQVLNHLEGIRNPLPPSMHNFYVLIETTGSDEAYDKEKLEAFLLRSMESGLISDGVLAQDINQASSFWRIREGIPEALMRAGPVYKYDLSLPVENMYNLVEDMRVRLAEKAKVVGYGHLGDGNLHLNISAPQYDDMILAKIEPYVYEWTSKHRGSISAEHGLGLMKANKIFYSKSHETVQVMASIKKLLDPNGILNPYKVLPHSLTSY >Manes.01G140700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33209114:33221302:1 gene:Manes.01G140700.v8.1 transcript:Manes.01G140700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNNVIDFDEVSGILVCEAGCILENLISFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLIRYGSLHGTVLGLEAVLANGNVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACKDYLSCQRLLLEAKRKLGEILSAFEFLDSEAMDLVLNHLEGIRNPLPPSMHNFYVLIETTGSDEAYDKEKLEAFLLRSMESGLISDGVLAQDINQASSFWRIREGIPEALMRAGPVYKYDLSLPVENMYNLVEDMRVRLAEKAKVVGYGHLGDGNLHLNISAPQYDDMILAKIEPYVYEWTSKHRGSISAEHGLGLMKANKIFYSKSHETVQVMASIKKLLDPNGILNPYKVLPHSLTSY >Manes.01G140700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33209114:33221302:1 gene:Manes.01G140700.v8.1 transcript:Manes.01G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSLQCRCFGSAGTKIQRNPSFATLNSDDITYFKGVLGEKNVVQDEDRLEFVNADWMHKYKGSSRLLLLPTTTDEVSQILKYCNSRHLAVVPQGGNTGLVGGSVPVFDEVIVSMSSMNNVIDFDEVSGILVCEAGCILENLISFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLIRYGSLHGTVLGLEAVLANGNVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACKDYLSCQRLLLEAKRKLGEILSAFEFLDSEAMDLVLNHLEGIRNPLPPSMHNFYVLIETTGSDEAYDKEKLEAFLLRSMESGLISDGVLAQDINQASSFWRIREGIPEALMRAGPVYKYDLSLPVENMYNLVEDMRVRLAEKAKVVGYGHLGDGNLHLNISAPQYDDMILAKIEPYVYEWTSKHRGSISAEHGLGLMKANKIFYSKSHETVQVMASIKKLLDPNGILNPYKVLPHSLTSY >Manes.01G140700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33209114:33221302:1 gene:Manes.01G140700.v8.1 transcript:Manes.01G140700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMMEKCRASNRIFSHSFKSLLNYRSISDNSTLRSSSGFAHHFTGNGQRCIPFFPFHRPDLLTCANFYRNYKQTLWPSLERGLGGMMYSLQCRCFGSAGTKIQRNPSFATLNSDDITYFKGVLGEKNVVQDEDRLEFVNADWMHKYKGSSRLLLLPTTTDEVSQILKYCNSRHLAVVPQGGNTGLVGGSVPVFDEVIVSMSSMNNVIDFDEVSGILVCEAGCILENLISFLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRLIRYGSLHGTVLGLEAVLANGNVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACKDYLSCQRLLLEAKRKLGEILSAFEFLDSEAMDLVLNHLEGIRNPLPPSMHNFYVLIETTGSDEAYDKEKLEAFLLRSMESGLISDGVLAQDINQASSFWRIREGIPEALMRAGPVYKYDLSLPVENMYNLVEDMRVRLAEKAKVVGYGHLGDGNLHLNISAPQYDDMILAKIEPYVYEWTSKHRGSISAEHGLGLMKANKIFYSKSHETVQVMASIKKLLDPNGILNPYKVLPHSLTSY >Manes.10G028501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2884201:2892029:-1 gene:Manes.10G028501.v8.1 transcript:Manes.10G028501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTILAIVPTIIEFTVVPIKRHLCYAFNYKSKVEKLKNQLQKLTRQRDDLRQSVDQATRQGDRINNSVQEWLTCVNKAIEEAEEILIGEQQAKGKCLFGVIPDLKKRYQLSKKADKEALAVVELQDEGRFDRISYRPLLEPIVVPSIYDNEALHSRVSILKKVMDALMDPAVDMIGVYGMGGIGKTTLANEVHRKAIEDKLFDVVVMATVSETPELRKIQGTIADMLGLKFEEETEEGRACRLHQRLVNEKKILIILDDIWEKLEPKKVGIPFGSDHKGCKLLLTSRREDILSREMGTQESFELRVLSEAEAWSLFQTMVGDITNQALHSVATEVAKKCAGLPVLIVTVARALKNKDLHEWKLALKELSRVDNEGIQAKVYSALELSYNHLASHEAKSLFLLCAQIAQGDIQIRDLLIYSMGLDLLRSKYTVEDARNRVDKLVSNLKASCLLLDCNKNGYVKMHDVVRDAALSIASKSQHLLTFRDIESKIWPNRDLKNCSRIYLPYCEIDELPERLECPELELLVLGRGNIHSKFFEGITKLKVLRFTGMCLWSLSPSLGYLTNLLTLCLDRCVLRDASVIGELKRLEILSFRESKIEQLPREIAQLTGLKLLDLSNCYKLQVIPANVISRLSLLEELYMQNSFCQWELQSLSNSSKASLAELKHLSHLTTLEIDIPDSKMLPKDLFSSKLERYRIVIGKRWYWADKYESSRMLELNTSVYLDHGVGILLKETEDLSLNEVKGIKSILYDLNWEGFPHLKHLQIRKGYDIQYVINSTARVLNSDAFPILESLYLENLVSLEMLFHGQLTAGSFTRLSILKVNECNRLKNLLSIAMIRSLSQLREMEVSNCKSMEEIVLDDSRVGDDKIEVAEFSQLRSLTLCGLPILKSFWFKVKAMPALQMQTTNEQGFEGVALQDEFHTPLPLFDKMVSFPKLEVLKVNSVGCENKIDELFSGDSSNSMSSSSVLACQDLKYLFTTSFVKTLLQLKKLEIEDCEFIEGIILTEEFVEEMMNKILFPNLNELKLKNLPNLIRFCDGHLIDFCCLTKISIEKCPAFKTFVSNPLCADIMVSKKPKEVDLDRTQDITSPPLFDEKVAFPSLEEMYIVSMSNLERTWHTQLPEGSFCKLNILRIQDCKILKTLFPSNNLARFQRLERLDLFDCHSLQEIYQLQGFNAEEASSVLSFDLKQLYISGFRGLKHIWSKDPQGILTFQNLESIHLLNCKILKNLFPSSIAKELLKLGSLELDSCGIEEIVTKADGVEAAPCFVFPRLVSMRLKGLPKIRNFYPGTCHLEFPKLKYLTLLRCRKGIQFASDFFNLQEKYGEDQCNNSIQQPMSLAEKIFISLEQLSLDGRVIEAIIQYQFQKKFFNVKSISLHHIQDKSSIALFGFLQRLSNLESLCVRDSSLEELFRNEGLDDGTTVPLIRKLNLYHLRDLKHMWKPHPKLDLVLAYVEAMTVWACSNLINLAPSSASFQDLTTLEVSFCKALKYLVTSSAAKSMVQLLTMKIRTCKMLTEIVTDEGDGTEEIVFCKLKTLELVHLKSLTGFCLGGLTFKFPCLEVITISGCPNMRIFCGGSLSTPKLQCVDLHAIHFQSWRWEGNLNATVQQSYLEMEGFYHIWNMKLSKFPHLRDKWQSQLPLNFLVNISKLAVDKCEFLSKALSSNQMQFLKRLKVLIVEQCDSLEKIFDLEGMNADEGHAGLMPWLQELHLIDLPKLRHIWSKDPQGILTFKNLKLLKLYNCSSLRNIFTLPMALELVRLGSMEVKRCTMLEQIINKEGEREDEEVWDKTIFPSLQSISLESLPSLASFYSGSDVLRCLSLKQVDIVDCPKMMNPFPQFQ >Manes.10G028501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2884201:2891988:-1 gene:Manes.10G028501.v8.1 transcript:Manes.10G028501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTILAIVPTIIEFTVVPIKRHLCYAFNYKSKVEKLKNQLQKLTRQRDDLRQSVDQATRQGDRINNSVQEWLTCVNKAIEEAEEILIGEQQAKGKCLFGVIPDLKKRYQLSKKADKEALAVVELQDEGRFDRISYRPLLEPIVVPSIYDNEALHSRVSILKKVMDALMDPAVDMIGVYGMGGIGKTTLANEVHRKAIEDKLFDVVVMATVSETPELRKIQGTIADMLGLKFEEETEEGRACRLHQRLVNEKKILIILDDIWEKLEPKKVGIPFGSDHKGCKLLLTSRREDILSREMGTQESFELRVLSEAEAWSLFQTMVGDITNQALHSVATEVAKKCAGLPVLIVTVARALKNKDLHEWKLALKELSRVDNEGIQAKVYSALELSYNHLASHEAKSLFLLCAQIAQGDIQIRDLLIYSMGLDLLRSKYTVEDARNRVDKLVSNLKASCLLLDCNKNGYVKMHDVVRDAALSIASKSQHLLTFRDIESKIWPNRDLKNCSRIYLPYCEIDELPERLECPELELLVLGRGNIHSKFFEGITKLKVLRFTGMCLWSLSPSLGYLTNLLTLCLDRCVLRDASVIGELKRLEILSFRESKIEQLPREIAQLTGLKLLDLSNCYKLQVIPANVISRLSLLEELYMQNSFCQWELQSLSNSSKASLAELKHLSHLTTLEIDIPDSKMLPKDLFSSKLERYRIVIGKRWYWADKYESSRMLELNTSVYLDHGVGILLKETEDLSLNEVKGIKSILYDLNWEGFPHLKHLQIRKGYDIQYVINSTARVLNSDAFPILESLYLENLVSLEMLFHGQLTAGSFTRLSILKVNECNRLKNLLSIAMIRSLSQLREMEVSNCKSMEEIVLDDSRVGDDKIEVAEFSQLRSLTLCGLPILKSFWFKVKAMPALQMQTTNEQGFEGVALQDEFHTPLPLFDKMDLKYLFTTSFVKTLLQLKKLEIEDCEFIEGIILTEEFVEEMMNKILFPNLNELKLKNLPNLIRFCDGHLIDFCCLTKISIEKCPAFKTFVSNPLCADIMVSKKPKEVDLDRTQDITSPPLFDEKVAFPSLEEMYIVSMSNLERTWHTQLPEGSFCKLNILRIQDCKILKTLFPSNNLARFQRLERLDLFDCHSLQEIYQLQGFNAEEASSVLSFDLKQLYISGFRGLKHIWSKDPQGILTFQNLESIHLLNCKILKNLFPSSIAKELLKLGSLELDSCGIEEIVTKADGVEAAPCFVFPRLVSMRLKGLPKIRNFYPGTCHLEFPKLKYLTLLRCRKGIQFASDFFNLQEKYGEDQCNNSIQQPMSLAEKIFISLEQLSLDGRVIEAIIQYQFQKKFFNVKSISLHHIQDKSSIALFGFLQRLSNLESLCVRDSSLEELFRNEGLDDGTTVPLIRKLNLYHLRDLKHMWKPHPKLDLVLAYVEAMTVWACSNLINLAPSSASFQDLTTLEVSFCKALKYLVTSSAAKSMVQLLTMKIRTCKMLTEIVTDEGDGTEEIVFCKLKTLELVHLKSLTGFCLGGLTFKFPCLEVITISGCPNMRIFCGGSLSTPKLQCVDLHAIHFQSWRWEGNLNATVQQSYLEMEGFYHIWNMKLSKFPHLRDKWQSQLPLNFLVNISKLAVDKCEFLSKALSSNQMQFLKRLKVLIVEQCDSLEKIFDLEGMNADEGHAGLMPWLQELHLIDLPKLRHIWSKDPQGILTFKNLKLLKLYNCSSLRNIFTLPMALELVRLGSMEVKRCTMLEQIINKEGEREDEEVWDKTIFPSLQSISLESLPSLASFYSGSDVLRCLSLKQVDIVDCPKMMNPFPQFQ >Manes.16G002600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:430466:437762:1 gene:Manes.16G002600.v8.1 transcript:Manes.16G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPSFVINGGVPTVKSSSATGRVSTFYSDVQTSRIDHALPLPSVLKNPFKVVEGPPSSAAGNPDEIAKLFPNLFGQPSSMLVPNGADAVLSDQKLKIGVVLSGGQAPGGHNVICGIFDYLQDRAKESILYGFRGGPAGIMKCKYVELTADFIYPYRNQGGFDMICSGRDKIETPEQFKQAEETALKLDLDGLVVIGGDDSNTNACLLAENFRSKNMKTTVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTEYVVDIICKRSDVGYNYGVILIPEGLIDFIPEVQHLIAELNEILAHDVVDEGGLWKKKLTSQSLQLFEFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQQGSYKGQFKGQSHFFGYEGRCGLPTNFDATYCYALGFGAGALLHSGKTGLISSVGNLAAPVAEWTVGGTALTSMMDVERRHGKFKPVIKKAMVELEGAPFKNFASMRDEWALKNRYISPGPIQFMGPGSDAVSHTLLLELGVHA >Manes.15G129650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10370857:10371732:1 gene:Manes.15G129650.v8.1 transcript:Manes.15G129650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGNLETVVELKSSPEKYLSFWKGQAHQVPNHSPTNIQGVHVHEGDWETSGSIKVWKYTIEGRSEVFKEKVIIDDEKKTLTFIGLEGDLLKIYKVFNIIWQFTTKGQGSLSKVIIEYEKLNENVPPPNNYLDLVVTITKEIDEGISKE >Manes.08G128100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36896748:36905029:-1 gene:Manes.08G128100.v8.1 transcript:Manes.08G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILFPANSVTGTRSKTPGFVLSGGGRSVAQMVRTPRLSFVRSSLDSLETNVSDMSVNAPKGLFPPEPERYRGPKLKVAIIGSGLAGMSTAVELLDQGHEVDIYESRTFIGGKVGSFVDRGGNHIEMGLHVFFGCYNNLFRLMKKVGADKNLLVKDHTHTFVNKGGEIGELDFRFPIGAPLHGIRAFLSTNQLETYDKARNALALALSPVVKALVDPDGAMKDIRNLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRKYIEDKGGRFHLRWGCRQIMYDKSTEGETYVTGLAMTRATNKKVVKADAYVAACDVPGIKKLLPSQWRESKFFDNIYELVGVPVVTVQLRYNGWVTELQDLERSRQLRQAVGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLPNDEIIKRVSKQVLTLFPSSQGLEVIWSSVVKFGQSLYREGPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICEAGEELLALRKKLTATESPETTESAPVTDELSLV >Manes.10G008500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:964689:971757:1 gene:Manes.10G008500.v8.1 transcript:Manes.10G008500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEVSLDAAQIPNLKEFVSHLTQIMHSSVPGSLVIWYDSVTITGELLYQNQLNEKNKPFFDICDGIFANYGWEEDYPKLSAAVAGDRKFDVYMGIDVFGRGTYGGGQWNTNTALDVCKKDDVSAVLFAPGWVYETKQPPDFQTAQNKWWSLVKKSWGVVKFYPNSLPFYSNFDQGRGYHISVEGVQVSNSPWNNISSQGFQPFLEYKDNPTPDSIQVLVDFKEESYSGGVNITFKGTLKDNNDFTARLFQGNLLLGELPLHLTYSVKSDVGSMLGLSLQFSSNLDERTLVLIAPWEANQLSNKFSKVIVPHMVNTQEMAPGWVIQESSIQMNGYTLTEIHAVCYRPKPEIRKLRLEHKSDESEVFYKSSIDLTTEEVINNKPPGVLLFCGSIPDDQTCGGSQHNINNDTSAQVSSEYFAVLGHITIKTSKENPVFPPSSSWLVEGQYIKLSSGSQGSKKLSVKITWKLKDGSKFQSSKYNIYVEKLAKTATGNSGGRVEDIQEYIGVAYVEAFYVYDLAIPSNITSLKFIVQVCGVDGACQKLDDSPYYQLDVEASHQVTIPICNYEEMASSRTGANPGRRLYINSKHYGTPRMWGDFMYDRPLRERTRYVIVDMLRRFGKIDAQIYTKERGLSWLLLMSLVVLGMILWVLNYSSVKM >Manes.10G008500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:965213:971757:1 gene:Manes.10G008500.v8.1 transcript:Manes.10G008500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAMDPPPFDPLQPSIPISYPLKTLEELESRAYFKSFHYPFNKSSVPLKSSVLDNRPRILVCHDMQGGYVDDKWVQGGNNESAYAIWHWYLIDIFVYFSHNLVTLPPPCWTNTAHRHGVKVLGTFITEGSDGIETCNKLLATKESAQLYAERLAELAAVLGFDGWLMNIEVSLDAAQIPNLKEFVSHLTQIMHSSVPGSLVIWYDSVTITGELLYQNQLNEKNKPFFDICDGIFANYGWEEDYPKLSAAVAGDRKFDVYMGIDVFGRGTYGGGQWNTNTALDVCKKDDVSAVLFAPGWVYETKQPPDFQTAQNKWWSLVKKSWGVVKFYPNSLPFYSNFDQGRGYHISVEGVQVSNSPWNNISSQGFQNLCLWQPFLEYKDNPTPDSIQVLVDFKEESYSGGVNITFKGTLKDNNDFTARLFQGNLLLGELPLHLTYSVKSDVGSMLGLSLQFSSNLDERTLVLIAPWEANQLSNKFSKVIVPHMVNTQEMAPGWVIQESSIQMNGYTLTEIHAVCYRPKPEIRKLRLEHKSDESEVFYKSSIDLTTEEVINNKPPGVLLFCGSIPDDQTCGGSQHNINNDTSAQVSSEYFAVLGHITIKTSKENPVFPPSSSWLVEGQYIKLSSGSQGSKKLSVKITWKLKDGSKFQSSKYNIYVEKLAKTATGNSGGRVEDIQEYIGVAYVEAFYVYDLAIPSNITSLKFIVQVCGVDGACQKLDDSPYYQLDVEASHQVTIPICNYEEMASSRTGANPGRRLYINSKHYGTPRMWGDFMYDRPLRERTRYVIVDMLRRFGKIDAQIYTKERGLSWLLLMSLVVLGMILWVLNYSSVKM >Manes.10G008500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:965213:971757:1 gene:Manes.10G008500.v8.1 transcript:Manes.10G008500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAMDPPPFDPLQPSIPISYPLKTLEELESRAYFKSFHYPFNKSSVPLKSSVLDNRPRILVCHDMQGGYVDDKWVQGGNNESAYAIWHWYLIDIFVYFSHNLVTLPPPCWTNTAHRHGVKVLGTFITEGSDGIETCNKLLATKESAQLYAERLAELAAVLGFDGWLMNIEVSLDAAQIPNLKEFVSHLTQIMHSSVPGSLVIWYDSVTITGELLYQNQLNEKNKPFFDICDGIFANYGWEEDYPKLSAAVAGDRKFDVYMGIDVFGRGTYGGGQWNTNTALDVCKKDDVSAVLFAPGWVYETKQPPDFQTAQNKWWSLVKKSWGVVKFYPNSLPFYSNFDQGRGYHISVEGVQVSNSPWNNISSQGFQPFLEYKDNPTPDSIQVLVDFKEESYSGGVNITFKGTLKDNNDFTARLFQGNLLLGELPLHLTYSVKSDVGSMLGLSLQFSSNLDERTLVLIAPWEANQLSNKFSKVIVPHMVNTQEMAPGWVIQESSIQMNGYTLTEIHAVCYRPKPEIRKLRLEHKSDESEVFYKSSIDLTTEEVINNKPPGVLLFCGSIPDDQTCGGSQHNINNDTSAQVSSEYFAVLGHITIKTSKENPVFPPSSSWLVEGQYIKLSSGSQGSKKLSVKITWKLKDGSKFQSSKYNIYVEKLAKTATGNSGGRVEDIQEYIGVAYVEAFYVYDLAIPSNITSLKFIVQVCGVDGACQKLDDSPYYQLDVEASHQVTIPICNYEEMASSRTGANPGRRLYINNT >Manes.10G008500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:965213:971757:1 gene:Manes.10G008500.v8.1 transcript:Manes.10G008500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAMDPPPFDPLQPSIPISYPLKTLEELESRAYFKSFHYPFNKSSVPLKSSVLDNRPRILVCHDMQGGYVDDKWVQGGNNESAYAIWHWYLIDIFVYFSHNLVTLPPPCWTNTAHRHGVKVLGTFITEGSDGIETCNKLLATKESAQLYAERLAELAAVLGFDGWLMNIEVSLDAAQIPNLKEFVSHLTQIMHSSVPGSLVIWYDSVTITGELLYQNQLNEKNKPFFDICDGIFANYGWEEDYPKLSAAVAGDRKFDVYMGIDVFGRGTYGGGQWNTNTALDVCKKDDVSAVLFAPGWVYETKQPPDFQTAQNKWWSLVKKSWGVVKFYPNSLPFYSNFDQGRGYHISVEGVQVSNSPWNNISSQGFQPFLEYKDNPTPDSIQVLVDFKEESYSGGVNITFKGTLKDNNDFTARLFQGNLLLGELPLHLTYSVKSDVGSMLGLSLQFSSNLDERTLVLIAPWEANQLSNKFSKVIVPHMVNTQEMAPGWVIQESSIQMNGYTLTEIHAVCYRPKPEIRKLRLEHKSDESEVFYKSSIDLTTEEVINNKPPGVLLFCGSIPDDQTCGGSQHNINNDTSAQVSSEYFAVLGHITIKTSKENPVFPPSSSWLVEGQYIKLSSGSQGSKKLSVKITWKLKDGSKFQSSKYNIYVEKLAKTATGNSGGRVEDIQEYIGVAYVEAFYVYDLAIPSNITSLKFIVQVCGVDGACQKLDDSPYYQLDVEASHQVTIPICNYEEMASSRTGANPGRRLYINSKHYGTPRMWGDFMYDRPLRERTRYVIVDMLRRFGKIDAQIYTKERGLSWLLLMSLVVLGMILWVLNYSSVKM >Manes.01G231700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39576404:39579341:-1 gene:Manes.01G231700.v8.1 transcript:Manes.01G231700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Manes.01G231700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39576404:39579341:-1 gene:Manes.01G231700.v8.1 transcript:Manes.01G231700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLNSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Manes.10G128103.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30148878:30151072:-1 gene:Manes.10G128103.v8.1 transcript:Manes.10G128103.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWVKILCQNFLSCINSKYEIVPSWLGNCPPSFLHWKNFSSLIARFWLNYQKFSHHLQHSIRRCQEAILRSVTNATCLTSLNCLEIALCDELVSLVDGEPGLLPCNLEVLKIYECPNLKELPSGLKDLKSLKYLTIRRCRSLVSFPTGGLPHNMIRLRITSCESLESMPEGIVCPSNYSGETSHLEKLYISGCESLRCSSNGKFPYSLKTLRIHNWTPQFLNSLYCGLSHLTELHIEKCPQLESFPGKELPLPSLISLTIAHCEGLRSLSNHMQDFQSLQQLEIGGCHQLEFFPEMGLPNPKLVSFQISWCKNLRSLPNRMQNLTSLQSIDISVCEGMESLEGGCLPPNLTSLHIRECLNMKQPMLEWGLHRLVSLRSLVLNVESTGDFISFPDDDGFLLPTSLTHLFIIGFKNLKSISRGIQKLTSLEKLSIWWCPKLQSFPAEGLPATLECIDIHSWPIISDIPFVGIDDFAVLPS >Manes.18G020600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032747:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQTLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032747:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032747:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQTLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032747:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2031679:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2031679:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQTLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028651:2032902:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032903:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKVRKEKLGDRIAALQQLVAPFGKTLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032903:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032903:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKVRKEKLGDRIAALQQLVAPFGKTLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2031679:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQTLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032747:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032903:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032903:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032747:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2032903:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028652:2031679:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.18G020600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2028651:2032902:-1 gene:Manes.18G020600.v8.1 transcript:Manes.18G020600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANLHHQHHQLQAQLVGSSSLTSPSSYGAGSTHAWTQNITLSSGNFNPNCNGVAVNPRHKNETLIPPLNGSMIQDLGFHWNGNNAGGSFTNQSAHDLQLAKIKHYLSSDSFPKFTETINSPSSIEDSHLSSTTHFKDKQKDISADLSDKLLLKTISSGFAINGGHQFSPRQMYSTTSHDCSTLGSSIPGRGNFSQIYPSINISNLSPSQPPISGSFDMNLQALDLLTSKRFSGSFGQPSHDNIGIYNDNISYSLDRMHMPSCSPSKTSSFTANQIPEAKRPNDSLMESKANQQAAPKKSRLETRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQSQVETLSVPYMKSSCNKTSTRTTQTFQNSVMEDENDDEAKKDLRSRGLCLVPLSCMSYVTSDGGGGGGGGGIWPLPNFGGGT >Manes.14G023500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2234135:2236042:-1 gene:Manes.14G023500.v8.1 transcript:Manes.14G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGFFGGGADFYTGIASRSIVTMNSNDLSQPSHRTQLSQMFLDPTSQIVKHRTPTATVTTQGVTGKRTLADFQAHQQQQQLQHPNLNPALNGLLMRSVKPRMSLHTSSNSTLSPIDFTANMSPELSSLSHRYGVPLIQELGAQTINLAHGPTMLSMNRASSAVSDMNTLQNQNRGEAIMGGQESKKRMMNRLQELEKQLLDDNEDDEGDAVSVITNANSDWSETIQNLITSSTPNPISPSPTSSSPSCSSSSTSVTTPVHNCSKQTLLDAASAINEGKTGIASEILERVSQFSNPKGNSEQRLMEYMLMALKSRVNPAENPPPVAELYSKEHVLSTRLLYDISPCFKLGFMAANLAILEATIDQPSTSRGFHVIDFDIGQGCQYMNLLHALSERLNRKPFTVKITAVADNEGEEKERLKSVGGKLSQLAGRFGLSLHFNVVSCKLAELSRDSLGCDPEEPLAVNFAFNLHRMPDESVSTENPRDELLRRVKGLAPRVVTLVEQEINSNTAPFMARANEACSHYGALLESIESTVQREHPNRQKVEEGLGRKLANSVACEGRDRVERCEVFGKWRARMGMAGFALKPLSHNVGESMKARLSSGNQVNPGFTVKEENGGVSFGWMGKTLTVASAWR >Manes.07G071700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13179592:13182124:1 gene:Manes.07G071700.v8.1 transcript:Manes.07G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSIFFLSSVLALATLHGIHAVEYIVTNRAPTTPGGIKFNNQLGVEYTKQTMASASDFIWRLFQQNTEADRKNVPLVSLFLDDLGDNAVAGTSNNEISVGDNFIQNIQGDVKPDFNGVVYHEMTHVWQWDGSAGNKAPGHVIEGIADFVRLKANYVPQGWAQPGDGSNWNDSYSVTARFFDYCNDLRNGFVADLNKQMRETYSDEFFVQLLGKPVDQLWNEYKARYGKN >Manes.02G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1378550:1380013:-1 gene:Manes.02G014100.v8.1 transcript:Manes.02G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFRWLLQLHKDVPRAARFYSEGLDFTVNVCTLRWAELQSASLKLALMQSPNDHIVQKGYSSMLSFTVTDINSTVAKLMALGAELDGPIKYEIHGKVAAMRCLDGHVLGLYEPV >Manes.14G122500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9670163:9684006:1 gene:Manes.14G122500.v8.1 transcript:Manes.14G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTDGGLSFDFEGGLELGSTNPTASIPAIPSDNPAAAAAAAAAGNNNSAVPPASSVDPSAPGANQAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVLQKIQQLNSYNYGSSNKFFQQRGNGFQQHTDKSQFLQGPNSIGQGVTGKPSATESANVQQQQQQQQQQQQQQQQQQQHQLQQQAPQAQTQSIPNGQPVQANRTATPLPQGLSRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSAENVILIFSVNRTRHFQGCAKMTSKIGASAVGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMAISVAAEAKREEEKAKGVNPDNGGENPDIVPFEDNEEEEEEESEEEEESFGQALGAAGQGQGRGRGRGIMWPHMPLARGARPIPGMRGFPPMMMGADGFSYGPVAPDGFGMPDLFGVAPRGFTPFGPRFSGDFTGPASGMMFPGRPSQPGAVFPSGGFGMMMGPGRAPFVGAMGPTAANQLRGSRPGGMPFPPLHAPSTQNSNRPVKRDQRIAGNDRNDRYSAGSEQGRGTAGGPDDDGQYQQEGIKGAHEDQFGAGNRFRNDESESEDEAPRRSRHGEGKKKQRGSEGDVTPGSDH >Manes.10G019700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1928433:1934396:1 gene:Manes.10G019700.v8.1 transcript:Manes.10G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPTDDDVMITEDEAKTPFADSPTAGDSEVGGAAESVELVGPAGGGSGGVGASLNSRVKGPWSPEEDAVLTQLVSKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPCLKRKPFTDEEDHIIISAHAIHGNKWAAIARLLPGRTDNAIKNHWNSTLRRRCMDQGRFKPGRSDAMEDGSHDKTKASSEETLSVGDVNSFKLPEGRDVTIDDQPDQEEDKAQADKVPQTNGFDFAAETQVHPTLPRPKARISAFSVYTPPSGPKTGYSRTVPTHGPLVQSSKTDFTACKFLEDIHVDPIVPLQCGYGCCTTPSTGHPQSSLLGPEFVEYEELPAFSSQELISIATDLNNIAWIKSGLENSSNGITGNTANYKISQGAVVGSQMGVPEQNLRNGHMPYEEGRNKLMGMMTDNLSTSACTAFCNAS >Manes.08G172500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41012236:41026171:-1 gene:Manes.08G172500.v8.1 transcript:Manes.08G172500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHQLTTTSRCFSTSIRALRWWDHVRPAPKDPITSVTEAFLADSSPIKINLGVGAYRDDEGKPVILQCLRDAEAKIAGCDFLGIGRESNSSAASSKLVEESVKLVYGKDSNIIKEGRYAGVQTLSGTGACRLFAEFQKRFHPESPIYLPNPTWSNHHNIWRDAQVPQNTFGYYHPDSKSLDFTALMNDVKNAPDGSFFLLHPCAHNPTGVDPTEEQWREISYQFKVKNHFPFFDMAYQGFASGDLDRDALSIRIFLEDGHLLGCAQSFAKNMGLYGHRVGCLSVLCNDMKQAVAIKSQLHKIARAMYSSPPAHGISLVSTVLSDPDIKPLWAKEVKGMVSRIQTVRASLHESLRKLGSTVNWEHITNQVGMFCFSGLTPKQVDRLVKEFHIYMTLDGRMSMAGVTTGNVNYLANAVHEVTKDCENA >Manes.05G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6371348:6373776:1 gene:Manes.05G077900.v8.1 transcript:Manes.05G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAEELDKLMVIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Manes.03G194900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31243143:31245826:-1 gene:Manes.03G194900.v8.1 transcript:Manes.03G194900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHNAEDPKQSTADMTAFVQNLLQQMQSRFQTMSDSIITKIDEMGRRIDELEQSINDLRSEMGVEGSPSPSAPTEGKEPK >Manes.15G188000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30074317:30075150:-1 gene:Manes.15G188000.v8.1 transcript:Manes.15G188000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLYVHVITIRGIQRIMAKILNIILIFLHSFVFLEGVSFPHVNSPCSIQVSGPPSFLSSGKWNALVLAIRRRLIYRPLFRRREA >Manes.15G188000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30074317:30075150:-1 gene:Manes.15G188000.v8.1 transcript:Manes.15G188000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLYVHVITIRGIQRIMAKILNIILIFLHSFVFLEGVSFPHVNSPCSIQVSGPPSFLSSGKWNALVLAIRRLIYRPLFRRREA >Manes.08G106200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34575869:34577101:1 gene:Manes.08G106200.v8.1 transcript:Manes.08G106200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASHSDRQISKVGGRVDHDDDDEDKHGGGSSGRDSVHVKKKNTRKKKEKKQRSGYGCDGDFAKSKKVALLQFTMAKKYLKHRRENSNKWPSSSSSANATTTTGKRIVGSCFCLKQPFTLESPAESHTSDPNDPKFTFEMLKTLIEKNDFYSIECDPHLDATPLSSSNKNYG >Manes.08G030300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2947192:2948672:1 gene:Manes.08G030300.v8.1 transcript:Manes.08G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPATSTPRRLEGKVALITGGASGIGECTARIFVQHGAKVVIADVQDELGHNLCQEFGSDEIISYVHCDVTSDSDVKNAVDTAVSKYGKLDIMFNNAGVSGSPGANILGSDNEEFKKVFDVNVYGPFLGAKHAARVMIPAKKGCILFTSSAVSVCCGESSHAYAASKHAVVGLAKNLCVELGQYGIRVNSISPFTVVTPMMKNLLGIQNKREAEEFISSIGNLKTVILEPEDIANAALYLASDESKYVSGMNLVVDGGYHVTNPSFAIAMRNLYS >Manes.01G014300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3871370:3872973:1 gene:Manes.01G014300.v8.1 transcript:Manes.01G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNKKLRSRILSTLINGKIHLPHFISHFIVFLCGLALGIAIPSWHSKSISLNFQLNPSLNQTQTLPAPHKLLPLEMLLLNRTSNSTGWKNLFKPPTSVYHDMEEEELLWRASMVPNVGNSTLPRAKKVAFLFLTHGPLPLAPFWDLFFKGYEDLYSVYVHSNPLFKGTVPSNSVFYGRMIPRSKVVQWGTFSVVEAERLLLANALLDVSNQRFVLLSDSCIPLFNFSTIYNYLMSSSKSFLEVLDVPGLVGRDRYNPRMSPTIQFHQWRKGAQWFQIDRDLAHELISDLNYFSTFQRFCNGLCFGDEHYLPSFVTVRLWRKFSNRTLTWINWSMGGAHPFGFGEMETTRENLEWLRSYGNEGCEFNGGTNICFLFARKFLPSSLDSLMRIAPHVMQF >Manes.01G139700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33143083:33150431:1 gene:Manes.01G139700.v8.1 transcript:Manes.01G139700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAPKMEPLGDEKQARIESLRKKAINASNKFRNSMTRKGRRSSKVMSIEIEDVHIAEELEVVDAFRQILVSEDLLPASHDDYHTMLRFLKARKFDIDKTKQMWSDMLQWRKEFGADTVMQDFEFNEINEVVQYYPQGHHGVDKDGRPVYIERIGQVDSTKLLQVTTMDRYLKYHVQEFERTFAVKLPACSIGAKKHIDQSTTILDVQGVGLKSFNKAARDLITCLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKDPEILKMVQNGDHKCKKTSRSQIPEGKTNSEEKTISEDQTVCSKGNDTLVVQSAPIVPIDQLDYAQVAPLIAQVSSKFDTYGPIIEKTMDMTWKNLPQDDKFAISKAAAECYTKYDGCKVPEGMGSPIFTGVMAFVMGIVTMIKVSRNMPKKMTNATFYSGPVCSGETKVKDRSSNEYSISRADYMTVIKRMAELEERVKVLSMKPVMTPEKEEMLNAALSRVDTLEQELMGTKKALEDSLARQDELVAYIEKKKKKKKLFTW >Manes.01G139700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33143083:33150431:1 gene:Manes.01G139700.v8.1 transcript:Manes.01G139700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAPKMEPLGDEKQARIESLRKKAINASNKFRNSMTRKGRRSSKVMSIEIEDVHIAEELEVVDAFRQILVSEDLLPASHDDYHTMLRFLKARKFDIDKTKQMWSDMLQWRKEFGADTVMQDFEFNEINEVVQYYPQGHHGVDKDGRPVYIERIGQVDSTKLLQVTTMDRYLKYHVQEFERTFAVKLPACSIGAKKHIDQSTTILDVQGVGLKSFNKAARDLITCLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKDPEILKMVQNGDHKCKKTSRSQIPEGKTNSEEKTISEDQTVCSKGNDTLVVQSAPIVPIDQLDYAQVAPLIAQVSSKFDTYGPIIEKTMDMTWKNLPQDDKFAISKAAECYTKYDGCKVPEGMGSPIFTGVMAFVMGIVTMIKVSRNMPKKMTNATFYSGPVCSGETKVKDRSSNEYSISRADYMTVIKRMAELEERVKVLSMKPVMTPEKEEMLNAALSRVDTLEQELMGTKKALEDSLARQDELVAYIEKKKKKKKLFTW >Manes.01G139700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33143083:33150431:1 gene:Manes.01G139700.v8.1 transcript:Manes.01G139700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSGPVSPSSAPKMEPLGDEKQARIESLRKKAINASNKFRNSMTRKGRRSSKVMSIEIEDVHIAEELEVVDAFRQILVSEDLLPASHDDYHTMLRFLKARKFDIDKTKQMWSDMLQWRKEFGADTVMQDFEFNEINEVVQYYPQGHHGVDKDGRPVYIERIGQVDSTKLLQVTTMDRYLKYHVQEFERTFAVKLPACSIGAKKHIDQSTTILDVQGVGLKSFNKAARDLITCLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKDPEILKMVQNGDHKCKKTSRSQIPEGKTNSEEKTISEDQTVCSKGNDTLVVQSAPIVPIDQLDYAQVAPLIAQVSSKFDTYGPIIEKTMDMTWKNLPQDDKFAISKAAECYTKYDGCKVPEGMGSPIFTGVMAFVMGIVTMIKVSRNMPKKMTNATFYSGPVCSGETKVKDRSSNEYSISRADYMTVIKRMAELEERVKVLSMKPVMTPEKEEMLNAALSRVDTLEQELMGTKKALEDSLARQDELVAYIEKKKKKKKLFTW >Manes.01G139700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33143083:33150431:1 gene:Manes.01G139700.v8.1 transcript:Manes.01G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSGPVSPSSAPKMEPLGDEKQARIESLRKKAINASNKFRNSMTRKGRRSSKVMSIEIEDVHIAEELEVVDAFRQILVSEDLLPASHDDYHTMLRFLKARKFDIDKTKQMWSDMLQWRKEFGADTVMQDFEFNEINEVVQYYPQGHHGVDKDGRPVYIERIGQVDSTKLLQVTTMDRYLKYHVQEFERTFAVKLPACSIGAKKHIDQSTTILDVQGVGLKSFNKAARDLITCLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKDPEILKMVQNGDHKCKKTSRSQIPEGKTNSEEKTISEDQTVCSKGNDTLVVQSAPIVPIDQLDYAQVAPLIAQVSSKFDTYGPIIEKTMDMTWKNLPQDDKFAISKAAAECYTKYDGCKVPEGMGSPIFTGVMAFVMGIVTMIKVSRNMPKKMTNATFYSGPVCSGETKVKDRSSNEYSISRADYMTVIKRMAELEERVKVLSMKPVMTPEKEEMLNAALSRVDTLEQELMGTKKALEDSLARQDELVAYIEKKKKKKKLFTW >Manes.01G186400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36346014:36349518:-1 gene:Manes.01G186400.v8.1 transcript:Manes.01G186400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFHMGFQISDELLGTFVPIIVYWVYSGLYVGLGYFENYRLHTKQDEDEKNLVSKTTVVKGVLLQQSIQAVVAILLFTVTANDSQAAIDQQPSLIILAREFVTAMLVLDTWQYFMHRYMHHNKFLYKHIHSQHHRLVVPYSFGALYNHPLEGLLLDTIGGALSFLFSGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHVFFKNNTAYHDVHHQLYGSKYNFSQPFFVMWDRLLGTYMPYSLEKREGGGFEARPAKEYKDD >Manes.08G046700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4632509:4639509:1 gene:Manes.08G046700.v8.1 transcript:Manes.08G046700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRVLGGGVFEEEEEEEEQLEAKLLQSSFMATNKVINYSTQIEQHQQNHHQHQQQQQQQQQHHHHQIQEHHHHHQIPYGMMQSSSSSSVPGSFISKDAGAYDLGEFDQALFLYLDGQDPSTVQDQRQTSGMRPPTLNIFPSQPMHVEPSSAKANTPVTSGSKRPSNQPSMELANARNDAASGPEPAKAIKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARGQGIFFGGGGGLLGGDQGLPAGISTISSDAAVFDVEYTRWLEEHHRLTCELRAAVQEHLPENELRLFVDNCLAQYDEVMSLKAMVAKSDVFHLVSGMWKTPAERCFIWIGDFRPSDLIKIILNQVEPLTEQQILGICGLQQSTQEAEEALSQGLEALNQSLSDTIASDSLSCPPNMGNYMGQMAVAMNKLSTLEGFVRQADNLRHQTLHRLHQLLTARQAARCFLSIAEYFHRLRALSTLWLARPRQE >Manes.08G046700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4632509:4639509:1 gene:Manes.08G046700.v8.1 transcript:Manes.08G046700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPTLNIFPSQPMHVEPSSAKANTPVTSGSKRPSNQPSMELANARNDAASGPEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARGQGIFFGGGGGLLGGDQGLPAGISTISSDAAVFDVEYTRWLEEHHRLTCELRAAVQEHLPENELRLFVDNCLAQYDEVMSLKAMVAKSDVFHLVSGMWKTPAERCFIWIGDFRPSDLIKIILNQVEPLTEQQILGICGLQQSTQEAEEALSQGLEALNQSLSDTIASDSLSCPPNMGNYMGQMAVAMNKLSTLEGFVRQADNLRHQTLHRLHQLLTARQAARCFLSIAEYFHRLRALSTLWLARPRQE >Manes.08G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4632488:4639532:1 gene:Manes.08G046700.v8.1 transcript:Manes.08G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRVLGGGVFEEEEEEEEQLEAKLLQSSFMATNKVINYSTQIEQHQQNHHQHQQQQQQQQQHHHHQIQEHHHHHQIPYGMMQSSSSSSVPGSFISKDAGAYDLGEFDQALFLYLDGQDPSTVQDQRQTSGMRPPTLNIFPSQPMHVEPSSAKANTPVTSGSKRPSNQPSMELANARNDAASGPEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARGQGIFFGGGGGLLGGDQGLPAGISTISSDAAVFDVEYTRWLEEHHRLTCELRAAVQEHLPENELRLFVDNCLAQYDEVMSLKAMVAKSDVFHLVSGMWKTPAERCFIWIGDFRPSDLIKIILNQVEPLTEQQILGICGLQQSTQEAEEALSQGLEALNQSLSDTIASDSLSCPPNMGNYMGQMAVAMNKLSTLEGFVRQADNLRHQTLHRLHQLLTARQAARCFLSIAEYFHRLRALSTLWLARPRQE >Manes.08G046700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4632509:4639509:1 gene:Manes.08G046700.v8.1 transcript:Manes.08G046700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRVLGGGVFEEEEEEEEQLEAKLLQSSFMATNKVINYSTQIEQHQQNHHQHQQQQQQQQQHHHHQIQEHHHHHQIPYGMMQSSSSSSVPGSFIRSKDAGAYDLGEFDQALFLYLDGQDPSTVQDQRQTSGMRPPTLNIFPSQPMHVEPSSAKANTPVTSGSKRPSNQPSMELANARNDAASGPEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARGQGIFFGGGGGLLGGDQGLPAGISTISSDAAVFDVEYTRWLEEHHRLTCELRAAVQEHLPENELRLFVDNCLAQYDEVMSLKAMVAKSDVFHLVSGMWKTPAERCFIWIGDFRPSDLIKIILNQVEPLTEQQILGICGLQQSTQEAEEALSQGLEALNQSLSDTIASDSLSCPPNMGNYMGQMAVAMNKLSTLEGFVRQADNLRHQTLHRLHQLLTARQAARCFLSIAEYFHRLRALSTLWLARPRQE >Manes.02G074500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5669563:5671519:1 gene:Manes.02G074500.v8.1 transcript:Manes.02G074500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLEISNKKEFSYSHHTKLKFNYTVVTLFSASLPFYTGHLKSHTHIYIYKCLFCHDIFLLELLIFERSNIEMGNSIGRGRKAKVMKIDGETFKLKTPARACDVVKDYPGHVLLDSEAVKHFGVRAKPLDSEQELKPKKIYFLVELPKFPEEKNTSRNTRRVRSGIYMSAKDRLECLMLSRRSVSDLSIARSLSTQVPDGPSPVRLKMRLPKAQVEKLVEESKDESEVAEKIVDLFLGNSGEINGRDADRREGQWRPELGSIGENLKPREKRVSFSPEGGEIRLGVASH >Manes.08G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1948480:1950621:-1 gene:Manes.08G019200.v8.1 transcript:Manes.08G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMYDSLVWCSLFLSLALILLLNGKKWRNINKNLPPGPPGWPIFGNMFDLGTAPHQALYELKFKYGPVLLLRLGSIDTMVIQSAKAAAELFKNHDASFCDRKSPDVLSAHNYEEASLAVGHYGPYWRMLRRLCSVEFMTNKRLNETASIRLKCISQMLRSIEDDVAAAKARGESGTVNLPHYLFLMSFNLIGNLMVSRDLLDSQCKEGHEFFEAMDKVATWVGTPNIADFLPFLKRLDPQGLKRSMLRDMGKAKEIVASFVEQRIKDHKIEKEKTKDFLDTLLEYEGDGKEWHEKIPYEKVILIVLEMFFGGSETTSTTMEWFMAELLRNPKAMRKVKEELNAVVGVNRKVVEESDIEKLPYFQAALKETLRLHPAIPLLLPRNTLQDTNFMGYHISKDTQVFINAWAIARDPESWEDPLAFKPERFLGSNIDYKGQNFEFIPFGSGRRICVGITLAQRIIPLGLASLIHNFDWEFDKNASPDILNMDERIGVTVRKLVPLNLIPKKRKEMHV >Manes.10G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31225850:31229053:1 gene:Manes.10G144200.v8.1 transcript:Manes.10G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFKHSLLLGMLVFLIALLALTEPTMADDVDDVPDNFNRTYFPDDFIFGTATSSYQIEGSTNISGRGPSVWDIFTHEYPERIKDHSNGDVAVDFYNRFPEDIRNVKDMGFDAFRLSISWSRVIPSGRRSEGVNEEGIKFYDDVINEVLRQDLIPFVTIFHWDTPQGLEDKYGGFLSRNIVDDYRDYADLLFERFGNRVKFWMTFNEPWALSGFAYDDGFFAPGRCSSWVNRQCRAGNSATEPYIVAHHLLLAHSAAVNLYREKYQKIRPGATIGKIGITLFTFWFEPLSNRSIDIEASRTALDFMFGLWMDPLTYGRYPRRVQDLVGDRLLNFTEKETEMLRKSYDFLGLQYYTSYYAKPNAPIDPDHIRYKTDSQTTTTAYDYDGNPIGPHAYSPWFYIFPKGIRHLLNYTKDTYEDPVIYITENGVDRYNNESRTPEQVRNDTFRINYYKEHMWHALGSLKKYNVNLKGYFAWSYLDNFEWNIGYTSRFGLYYVDYHDDLKRMPKDSANWFKDKFLMSPWKVTSKDSRKVGKYYIM >Manes.10G144200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31225850:31229012:1 gene:Manes.10G144200.v8.1 transcript:Manes.10G144200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFKHSLLLGMLVFLIALLALTEPTMADDVDDVPDNFNRTYFPDDFIFGTATSSYQIEGSTNISGRGPSVWDIFTHEYPERIKDHSNGDVAVDFYNRFPEDIRNVKDMGFDAFRLSISWSRVIPSGRRSEGVNEEGIKFYDDVINEVLRQDLIPFVTIFHWDTPQGLEDKYGGFLSRNIVDDYRDYADLLFERFGNRVKFWMTFNEPWALSGFAYDDGFFAPGRCSSWVNRQCRAGNSATEPYIVAHHLLLAHSAAVNLYREKYQKIRPGATIGKIGITLFTFWFEPLSNRSIDIEASRTALDFMFGLWMDPLTYGRYPRRVQDLVGDRLLNFTEKETEMLRKSYDFLGLQYYTSYYAKPNAPIDPDHIRYKTDSQTTTTAYDYDGNPIGPHAYSPWFYIFPKGIRHLLNYTKDTYEDPVIYITENGVDRYNNESRTPEQVRNDTFRINYYKEHMWHALGSLKKYNVNLKDSANWFKDKFLMSPWKVTSKDSRKVGKYYIM >Manes.10G144200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31225850:31243154:1 gene:Manes.10G144200.v8.1 transcript:Manes.10G144200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFKHSLLLGMLVFLIALLALTEPTMADDVDDVPDNFNRTYFPDDFIFGTATSSYQIEGSTNISGRGPSVWDIFTHEYPERIKDHSNGDVAVDFYNRFPEDIRNVKDMGFDAFRLSISWSRVIPSGRRSEGVNEEGIKFYDDVINEVLRQDLIPFVTIFHWDTPQGLEDKYGGFLSRNIVDDYRDYADLLFERFGNRVKFWMTFNEPWALSGFAYDDGFFAPGRCSSWVNRQCRAGNSATEPYIVAHHLLLAHSAAVNLYREKYQKIRPGATIGKIGITLFTFWFEPLSNRSIDIEASRTALDFMFGLWMDPLTYGRYPRRVQDLVGDRLLNFTEKETEMLRKSYDFLGLQYYTSYYAKPNAPIDPDHIRYKTDSQTTTTAYDYDGNPIGPHAYSPWFYIFPKGIRHLLNYTKDTYEDPVIYITENGVDRYNNESRTPEQVRNDTFRINYYKEHMWHALGSLKCQN >Manes.17G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23294450:23297431:-1 gene:Manes.17G041300.v8.1 transcript:Manes.17G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQHGGCNVWPPVEAPLLNVPKDDHWRQFDNSVNAVSFGFVATAILISMFLVMAIFERFFRPTSARTHGDLESQMGFNAKLSHPSPKMTVYANGVSVLMPGDNIPTFIAHPAPVPCLPDRKLLMNSHSNPISNATSSSISGREN >Manes.08G141200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37735382:37749155:1 gene:Manes.08G141200.v8.1 transcript:Manes.08G141200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTPFSPLFYPPFRSPSSPTRHKIRITGLTSQSHKHSVRNLTFVHNYRVFSFLEASKCRNHNQEQSIEHPSSPEQQKQSPFSIGCLTRPENHIVRSITRSVVYALFCIAVGFVPVGALPARAAVASEVTFKKEEREVNEESYAKGHEYSDCTKSLIEEVSWLLKCIEETRKGNGGLEEVRLALKAVKAKKEGLLGQIMEGLYSEAIELGKEKFSLEDRAVELRVEAVKVRREYENLEGSAEKERMEELKERMRAMEENYGRVWDRIGEIEDVIFRRKTIAMSFGVRELCFIERECEELVKRFNQETRRKGTESVQKSSNLSRSEIQKELETAQTKFLEQIILPNVVEVEGLGPLFDQDLVDFALSLKQGLKDSRKLQNDLENSVRKRMKRFGDEKRLVVMTPADEIVKGFPEVELKWMFGDKELVVPKAIRLHLYHGWKKWREEAKANLKRNLLEDVEFGKQYVAQIQERILLDRDRVISKTWYNEEKNRWEIDPIAVPYAVSRKLVEHARIRHDWGAMYIALKGDGKEYYVDIKEFDMLYEYFGGFDGLYMKMLAQGIPTVVQWMWIPLTELNMHQQFLLTMRLACRCVNGIWKARIVSSGRDWLLETISDINDDIMMMIVFPTLEFVIPFPVRLRLGMAWPEETGQSVASTWYLKWQSEVEIRFKSRETDEIDWYFFLFIVRTAIYGYILFHVFCFMKRKAPKLLGFGPLRRNPNLRKLQRVRAYYNYKIRRTKRKRKAGIDPITSAFERMKRVKNPPIPLKDFASIDSMREEINEVVAFLQNPSAFQEIGARAPRGVLIVGERGTGKTSLALAIAAEAKVPVVKVAAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKYIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNLKQIDEALQRPGRMDRVFYLQQPTQLEREKILLSSAKETMDEGLIDFVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFVDTDELMSYCSWFATFSFMFPKWICKIKVVKKVSKMLVNHLGLELTKEDLQNVVDLMEPYGQISNGIDLLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWQGIGCTKISKARNEGSLNGNVESRSYHEKKLVFCFGSYVAAQLLLPFSEENFLSSSELRQAQEIATRMVIQYGWGPDDSPAIYYSSNAVTSLSMGNNHEYDMAAKVEKMYDLAYLKAKELLQKNHRVLEKIVEELLEFEILTGKDLERIFENSGGIREKEPFFLSKANHGEASSNPLSLSLSLVEGWGRSSIVQVRTR >Manes.08G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37735382:37749615:1 gene:Manes.08G141200.v8.1 transcript:Manes.08G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTPFSPLFYPPFRSPSSPTRHKIRITGLTSQSHKHSVRNLTFVHNYRVFSFLEASKCRNHNQEQSIEHPSSPEQQKQSPFSIGCLTRPENHIVRSITRSVVYALFCIAVGFVPVGALPARAAVASEVTFKKEEREVNEESYAKGHEYSDCTKSLIEEVSWLLKCIEETRKGNGGLEEVRLALKAVKAKKEGLLGQIMEGLYSEAIELGKEKFSLEDRAVELRVEAVKVRREYENLEGSAEKERMEELKERMRAMEENYGRVWDRIGEIEDVIFRRKTIAMSFGVRELCFIERECEELVKRFNQETRRKGTESVQKSSNLSRSEIQKELETAQTKFLEQIILPNVVEVEGLGPLFDQDLVDFALSLKQGLKDSRKLQNDLENSVRKRMKRFGDEKRLVVMTPADEIVKGFPEVELKWMFGDKELVVPKAIRLHLYHGWKKWREEAKANLKRNLLEDVEFGKQYVAQIQERILLDRDRVISKTWYNEEKNRWEIDPIAVPYAVSRKLVEHARIRHDWGAMYIALKGDGKEYYVDIKEFDMLYEYFGGFDGLYMKMLAQGIPTVVQWMWIPLTELNMHQQFLLTMRLACRCVNGIWKARIVSSGRDWLLETISDINDDIMMMIVFPTLEFVIPFPVRLRLGMAWPEETGQSVASTWYLKWQSEVEIRFKSRETDEIDWYFFLFIVRTAIYGYILFHVFCFMKRKAPKLLGFGPLRRNPNLRKLQRVRAYYNYKIRRTKRKRKAGIDPITSAFERMKRVKNPPIPLKDFASIDSMREEINEVVAFLQNPSAFQEIGARAPRGVLIVGERGTGKTSLALAIAAEAKVPVVKVAAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKYIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNLKQIDEALQRPGRMDRVFYLQQPTQLEREKILLSSAKETMDEGLIDFVDWKKVAEKTALLRPIELKLVPVALEGSAFRSKFVDTDELMSYCSWFATFSFMFPKWICKIKVVKKVSKMLVNHLGLELTKEDLQNVVDLMEPYGQISNGIDLLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWQGIGCTKISKARNEGSLNGNVESRSYHEKKLVFCFGSYVAAQLLLPFSEENFLSSSELRQAQEIATRMVIQYGWGPDDSPAIYYSSNAVTSLSMGNNHEYDMAAKVEKMYDLAYLKAKELLQKNHRVLEKIVEELLEFEILTGKDLERIFENSGGIREKEPFFLSKANHGEPGSSSFLDMGNGSEPALLGASN >Manes.01G193700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36831294:36835823:1 gene:Manes.01G193700.v8.1 transcript:Manes.01G193700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDLDRQIEHLMECKPLPETEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Manes.02G207050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20092843:20093706:1 gene:Manes.02G207050.v8.1 transcript:Manes.02G207050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDPFCLKLSDHPCMIVVSTSLIGSRNFRSWNRSMRIALRAMHKLGFIDGTIPMPNKDNEFFEQWKRCDYMVTSWILNSILKDLVDSFIYTVSSRDLQISLISQENFPVLVYFIHLKRLWDELGSIEVLPPCSCGASKAIDDMNNRNRLMQFLMELNEIFDPVRDQILVLNPLPSMNRAYSMALKHESQKEILIKRKLESIENLKGKQKKYDLKKGHCSHCNMDGHVRDTYFKLIGYPNWFKNKTKIEEKPTR >Manes.01G221200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38880516:38882791:1 gene:Manes.01G221200.v8.1 transcript:Manes.01G221200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKRKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKINKPPLSLSRLIRFMKGKEDKIAVVVGTVTDDIRVYEVPSLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRAKGRKFERARGRRNSRGFRV >Manes.16G130700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33138715:33142781:1 gene:Manes.16G130700.v8.1 transcript:Manes.16G130700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLAALTTNSREAGDVERYRPSGKSHFVKVSVFSHLASSKRSSSLQSRWRVQKRKSKTQMASLPFSSPLQTLTLFKTAANSYSSWPSMAFFVPSVKSSLGSSTSTFLQHGFSLQSPNFPGFLSKTRPFGVFARAATEKTLHDYTVKDIDGKEVSLSKFKGKVLLIVNVASKCGLTSSNYTELSHLYEKYKSQGFEILAFPCNQFGGQEPGSNPEIKQFACTRFKAEFPIFDKVDVNGPNTAPVYKFLKSSAGGFLGDVIKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAA >Manes.16G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33147237:33150940:1 gene:Manes.16G130900.v8.1 transcript:Manes.16G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHTIILMQTSQNRATRTFMDYDSISQAMDGICGLYERKLKELNPAVRNITYDIADLYNFIDGLADMCALVYDHSVKAYLPYDRQWIKQRTFQQLKKLAH >Manes.12G064600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6864772:6867496:-1 gene:Manes.12G064600.v8.1 transcript:Manes.12G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPSPSEGMLCVLLVNTALSISIFKGIVRSILHIVGIHLSSFSSSSSSSTHSPDYTEDLTESSEFPLPPSDAYIREFRSKNPATRFETMCSYEQSQQHDCSVCLTQFEPDSEINCLSCGHFFHKACLEKWLDYWNVTCPLCRSHVMPGEDYASCFW >Manes.12G064600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6864779:6867478:-1 gene:Manes.12G064600.v8.1 transcript:Manes.12G064600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLPSPSEGMLCVLLVNTALSISIFKGIVRSILHIVGIHLSSFSSSSSSSTHSPDYTEDLTESSEFPLPPSDAYIREFRSKNPATRFETMCSYEQSQQHDCSVCLTQFEPDSEINCLSCGHFFHKACLEKWLDYWNVTCPLCRSHVMPGEDYASCFW >Manes.10G015729.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1575719:1639988:1 gene:Manes.10G015729.v8.1 transcript:Manes.10G015729.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTSLAPSLPSRILHFHLFFLIFATILCFQPAHCLSKLGNETDKLALLQFKAKISNDPNGILSSWNDSVSFCKWQGVTCGSKHQRVTSLNLQGLSLSGTISPHAGNLTFLRFLSLGDNRFHGEIPQEVGYLFRLGHFNLSNNQLGGEIPGNISSCSELRLLDLINNNLVGKVPAELGSLKKLVILFIGENSLTGKIPNSLGNLSSLQMIYLAENHLQGKIPNEFGQLTSLTLLELGVNNLSGMIPPPLYNISSITSIGTTFNQLSGSLPANIGLTLPNLEQLFLAQNEYFGSIPESLANASRLRLIDISNNSFTGQFPTDLGYLKGLESLHLEFNFFGSNTSQDLSFLPSLANCSNLQQLYFDGNNFGGALPSSIGNLSNLVQLGFGRNPISGTIPEEVGNLVNLYRLDMDRNLFSGSIPISFGKLQKLERLTLNQNLLSGEIPAFLGNITKLYWLQLEGNRFQGNITPSLGNCENLRFLDVSRNKLMGSIPKQIFGLSSLSGTLNLSQNSLSGSLPSEVGNLKSVNALDVSENKIYGEIPKTIGDCSRLEILYMQGNFLQGAIPSSFDSLRGLQRIDLSRNNLSGNIPNELEKLLFLQYLNLSFNNFEGEVPKTGVFSNASAFSLVGNKNLCGGIPELQLSACPGKEQKRRRPSIVIVLTTTISSFILVVIATSFYLFYRRKSKRNPISSPFMVDKLPQISYGELLKATDGFSSENLIGQGSFGSVYKGRLDQQWKCFVAIKVLNLQQHGASKSFIAECNALKNIRHRNLVKILTYCSSIDSKGNDFKALVFNFMENGSLEIWLHPEENGNNQTRKLDFLQRLYIAIDVASALHYLHDHCETPIVHCNLKPSNILLDSDLTAHVGDFGLAKLLAESTNSPSQSQTFSTGIKGTIGYMPPEYGVGSSVTTYGDVYSFGILLLEMFTGKRPTHEIFTDGLDLHNFVKAKLPGQVRQVVDPTLFTPGEVEAATTAAAENMDDCECIEDSVEECVVSVLQIGLACSAEVPQDRMNMRDVTSKLNSIRVSFTGTRN >Manes.02G101700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7944010:7956720:-1 gene:Manes.02G101700.v8.1 transcript:Manes.02G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVFTVFSLSSFLILSTFSYGFPAPRSLLRHLSESNEYLTTNELWFNQILDHYSPYDHRQFKQRYYEFLDNFRIPDGPIFLRICGESSCNGIANDYLSVLAKKFGAAVVSLEHRYYGKSTPFKSTKTENLRYLSSKQALFDLAVFRQHYQKSLNLKLNRTNVQNPWFVFGISYPGALSAWFRLKFPHLTCGSLASSAVVLAVYNFTAFDRQIGESAGADCKAALQETTRLVEERLASNRNAVKTLFDAAELEIDGDFLYFLADAAVTAFQYGNPHKLCSPLVEAKKAGEDLVEAYAKYVKEYYIGSFGASVQTYNQKNLKDITVTESSSDRLWWFQVCTEVAFFQVAPSNDSIRSSKVDTRYHLDLCKNLFGEGIYPKVDETNTYYGGTKIAASKIVFTNGSQDPWRHASKQISSPEMPSYIITCHNCGHGTDLRGCPQAPLNLEGDAQKCSSPEAVHKVRQQTIEHIDLWLSECHASGRNYI >Manes.13G123400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33115432:33117515:-1 gene:Manes.13G123400.v8.1 transcript:Manes.13G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGDSIGCGSFSTVNLAIPTKTSSPLMAVKSCDLFDSSLLENEKDVLNQLGNCEHIIKCFGGDQSVENGQRLYNLLLEYAPGGSLSRLVKNSGGCLPESDARRYTKSILKGLRYIHAKGFAHCDIKLQNILLFEDGDVKIADFGLAKKTGEEQSDEQGRVEIRGTPLYMSPESVNENEYDSPCDIWALGCGLVEMVTGKPAWNCKPESNIASLLIRIGVGDELPEIPQELSQEAKDFLSKCFVKDPRKRWTADLLLNHPFIANETTVTLKEKEQLSPSSSPRCPFEFPEWVSVPSSSPKSELWSNKEVESRFDWSSLSYSPSPAERLRQLGSDEGCNWSFSESWVTVR >Manes.06G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26249661:26250463:-1 gene:Manes.06G134400.v8.1 transcript:Manes.06G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPETEHGRSRRTRLARSRRMMQMQMQCPPMRMLPVPPMVRATTNQAKLAALTVHLNIRLRSADMSGAMQERAFQRTRAVLDANLEKKPNPTRIAMCLKKEFDTVYGPAWHCVIGQSFGSFVTHASGGFLYFSVDKLCFLLFKTEVRPVGKSLPPLSSLQNLNITA >Manes.14G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4007113:4009885:1 gene:Manes.14G046100.v8.1 transcript:Manes.14G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTIMAVADNSSRQSLIPSFLYSPSISSKRLFDFDVPVNTNHGLYHSMFNISKPSSSNNQSRTFMIPAPNEKIEMFSPAYYAACTAGGIFSCGLTHMTVTPLDLVKCNMQIDPSKYKSITSGFGILLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLADGLPKFIRSEGYVGLYKGLVPLWGRQIPYTMMKFASFETIVVLLYKHAIPTPKEQCSKSLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAQGATAGDAIKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVSPPPAAKA >Manes.07G058173.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6864494:6865603:1 gene:Manes.07G058173.v8.1 transcript:Manes.07G058173.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIISISGCGTCCKRFHMVCLFRKQLEGILENLKEKPDVGTLLLALQRTLEFEDELAEKFGGRSTSREIGNEIEEIGRDSNSQTVSDIRKKYEKKLAANQGEPEVCILVFLTE >Manes.03G178900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30103639:30112899:-1 gene:Manes.03G178900.v8.1 transcript:Manes.03G178900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRSGDPSGAMVKNRSSSGCLIVRKKGNDGMGGVGSSGSRKVLASKKEKKRARMDMSDSGSSDELLMPSRRRVAAETLRVCNGLSLYDKGIVEENDIGRKRSRGEKIRINDVDMIDRNGDDFSERKRNKLDVFEFDEYDGNDGEMIRRNRFDEDGMEGRRIFGSMMAGRSGNEREYETGSSRHPVVDRRKSSYFERTSGLNRADHGGREGAPSSMSFYRDKYDKDEPIRVQGKNGVLKVMVNKKKVDGSLKSYDRLEAEEKRKGAKMKNTVRRNDLIRSSLYPESKSADKGSSFAGTLKKPMNMLRSSSARNGKVRDRDSEDSDSSLKLGLKDMEARKSLRTPLPTKNHKGPEVDSEDSDTSTKLGLKNAEAHKTMKRASNDGEISPCNQLQSAKIGKVKRGTGTEKQKLREHIRGMLLNAGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLNDEEEEAKSKADGCPFTPLSDEVLSQLTRKTRKKMEKEMKRKQRNESDNARETAARRSSSSRHDEESMDSGSHEEKLSSFIKQSGKSLKSRTNGNSFLNVNTKGQSSTRHLHDDMEKIPSGSSSHQGRKSRKLGRCTLLVRNSNEGLNSESGGFVPYAGKRTLLSWLIDCGTVQLSQKVRYMNRRRTKVMLEGWVNRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSVLECQIDAWNRQESIEHIGFHSVDIDGDDPNDDTCGLCGDGGDLICCDGCPSTFHQSCLDIQMLPPGDWHCPNCTCKFCGVASENIYQEDEATVCELQACSMCAKKYHKTCVLGMDALSVDSNSSFTCFCGNTCRELFEQLQKNLGVKHELEAGYSWSLIHRTDIDLDISPQGLPQRVESNSKLAIALSVMDECFLPIVDRRSGINLINNVLYNCGSNFNRLNYSGFYAAILERGDEIISAASIRFHGTQLAEMPFIGTRHTYRRQGMCRRLFCAIESALRSLKVQKLIIPAISELTNTWTGVFGFTTLDEPLKQEVKSINMLVFPGIDMLQKQLFDQENIDGNKSTSSGDEGMECKDGQHIQPEVAVKSDIDSSAMHDDLNECDNGGLECASGTNDEVAASNSGSECMGIPLNDVSVISSSLDASLEPKNPVLLKETVNADSDSGDQLDETALEKKSLCVSDTSHYDLDKMENKADSNFPAGDNTQSCSEGDASPMNSDSRCLGVSLDDTSVMSSSLVASNDLKNSVSIERNTCADFESGDKLAELTSDRKRLIISDVSGDRQEENKPELASLVKENIQYCEEGNVGDAHGLNLNETTSGEHKISISIEEAKSVVYQLENEFSELASQGEHHFDLGANHSAVDMETKPLVDSLIKTRSQSSTEDVHTVNTDVACIESVPPTTDSTVDNSNGKVDEGSVVSVSASNGANGNSMQVNFEPNDEIACEGGSKLDVASEAVSETKQCEVRSPHAPADDFRTDMREGKTESCSV >Manes.11G058201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7059474:7060531:1 gene:Manes.11G058201.v8.1 transcript:Manes.11G058201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLYHLTSSLSQVPIPLPHTGSHLPTSLSLKPQSQLKHADGSESLLTFLVPSPFSSFSPAATATHPPSRQSRSHPPTDSPTRESQPESFSPSFASSVPQPRPSTYPVGYSLSHCRFSEDEQALYIVIDCRFIFGSVIWRGENY >Manes.08G157100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39374412:39378773:1 gene:Manes.08G157100.v8.1 transcript:Manes.08G157100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATTWIQAFTGTNFDFSSYSSTLKSVLGISQVQLNYLAMASDLGKAFGWCSGLSLMHFPLWVVLLIAAFLGFFGYGLQWLVISKIITLPYLLVFFLCLMAGCSICWFNTVCYVLCINNFATNKALALSLTISYNGVSAAIYTLIASAINPQNDTLYLLLNASVPLFVSVLALLSILPQPPQRHLSPDATHRDSFIFIVLNVLAIITGLYLLLLDSLSSSSTACFLLGGAILLLVLPLGLPGIVCARNWARHTIHRSFHYDRSSFNLVDTDDLDLDLVKELMGSENSNSSNGNSHGVMEKGGCFACSGRVMEKNRFPMLGEEHSAPALVCRWDFWIYYVVYFCGGTIGLVYSNNLGQIAQSLGYSDLSSLVTLYSSCSFFGRLLSAAPDFLPRCTLRGPGG >Manes.08G157100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39374648:39378117:1 gene:Manes.08G157100.v8.1 transcript:Manes.08G157100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATTWIQAFTGTNFDFSSYSSTLKSVLGISQVQLNYLAMASDLGKAFGWCSGLSLMHFPLWVVLLIAAFLGFFGYGLQWLVISKIITLPYLLVFFLCLMAGCSICWFNTVCYVLCINNFATNKALALSLTISYNGVSAAIYTLIASAINPQNDTLYLLLNASVPLFVSVLALLSILPQPPQRHLSPDATHRDSFIFIVLNVLAIITGLYLLLLDSLSSSSTACFLLGGAILLLVLPLGLPGIVCARNWARHTIHRSFHYDRSSFNLVDTDDLDLDLVKELMGSENSNSSNGNSHGVMEKGGCFACSGRVMEKNRFPMLGEEHSAPALVCRWDFWIYYVVYFCGGTIGLVYSNNLGQIAQSLGYSDLSSLVTLYSSCSFFGRLLSAAPDFLRDKVYFARTGWLALAMVPTPIAFFLLVASGSERALRACTALIGLSSGFVFSAAVSITSELFGPNSAGVNHNILITNIPIGSLLYGLLAAVVYDSNAGSTSLLDTLLGKEMVCMGRECYLKTFIAWGCISLVGLVSSTVLFLRTRPVYACFESSRIGHSPLNQEIPLL >Manes.12G065601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6567987:6568859:1 gene:Manes.12G065601.v8.1 transcript:Manes.12G065601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIMAFSPFLTTQTPIFPRLSPLSPFTHSLSSPKKRVHHFKIHAIGGGDGELKPKGKKKFITKEEEPEQYWQTAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >Manes.08G077385.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18077597:18078610:1 gene:Manes.08G077385.v8.1 transcript:Manes.08G077385.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRSTSNPLEYSSSAFFLHHSENHSSVIVTPKLMSLNFSSWRRSLLDLLFLPWIRCKNLLVAWLLRSFSPLIASTIFYLENAKQICDKLHQRFLELDDSRNMEELRIFKPLPSCNCGKCNSDCFYKFIEIQQKDYVFKFLNGLNDTYQGSRSQVINMKPFTTLAKADIMCFHCGKNRHVKAQCYKIVSFPADFKFIRSKENSNDGGGNSGNFYKSAFVQQISKAPTIMPTSDNVNLFNLSKDQMQRLMALLGD >Manes.09G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33755677:33761121:-1 gene:Manes.09G137600.v8.1 transcript:Manes.09G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEAVVDPRRDPVGQHVHKVGYANRVIKKRCKRRINKRSEAKVPMALQELYVSCREVFKGPGTVPLPHDVERLCHILDNMKPEDVGLSSKLQFFKTENAVKGTPRVTTTTIYKCDKFSLCIFFLPETAVIPLHNHPGMTVFSKLLLGTMHIKSYDWVSTSSAEESGQPSHLRLARLVTDSVFKAPCNTSVLYPTTGGNIHQFTAISPCAVLDVLGPPYSAEDGRDCSYYKDFPYDAFSDGKMELTTEGDCCGWLEEIETPENSEMDGIEYLGPQVVESTD >Manes.09G137600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33757202:33761175:-1 gene:Manes.09G137600.v8.1 transcript:Manes.09G137600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEAVVDPRRDPVGQHVHKVGYANRVIKKRCKRRINKRSEAKVPMALQELYVSCREVFKGPGTVPLPHDVERLCHILDNMKPEDVGLSSKLQFFKTENAVKGTPRVTTTTIYKCDKFSLCIFFLPETAVIPLHNHPGMTVFSKLLLGTMHIKSYDWVSTSSAEESGQPSHLRLARLVTDSVFKAPCNTSVLYPTTGGNIHQFTAISPCAVLDVLGPPYSAEDGRDCSYYKDFPYDAFSDKDMGQPVLFMWPTETHKES >Manes.10G129300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29571381:29575313:1 gene:Manes.10G129300.v8.1 transcript:Manes.10G129300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGANVRREVLLQFLLTLWIWGTVRVSEGIWLNLPATGTKCVSEELHSNVVVLADYVVVSEENYHLPTISIKVTSPYGNNLHHKENVTHGQFAFTTEEAGNHLVCFWVEGHNEGDADGVELELRKLEGAVDAIHENLLHLKIREAELRNASETTNTRVAWFSIMSLGLCILVSVLQLWHLKSFFQKKKLI >Manes.10G129300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29571381:29575313:1 gene:Manes.10G129300.v8.1 transcript:Manes.10G129300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGANVRREVLLQFLLTLWIWGTVRVSEGIWLNLPATGTKCVSEELHSNVVVLADYVVVSEENYHLPTISIKVTSPYGNNLHHKENVTHGQFAFTTEEAGNHLVCFWVEGHNEGDADVSLNLDWKTGIAAKDWESVASIERIEGVELELRKLEGAVDAIHENLLHLKIREAELRNASETTNTRVAWFSIMSLGLCILVSVLQLWHLKSFFQKKKLI >Manes.06G021367.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3945294:3947018:-1 gene:Manes.06G021367.v8.1 transcript:Manes.06G021367.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSIFTHAGRPFGQLEHGRMLSNEEYCAAHLYVLLNCPEIDPFIEIFDSHFRETIPNISDQQIEQMRERELANWLKDYVGRNEVDNCIYQIAQGPSRKVQSYKGYFVNGFKFHRHDYGRERKTLNSGVWVKGSCYNEYESDYYGLLNDVLELEYFGEKNKIILFKCEWFDTNRGVRVHPQHGLVEINVKLRLASSDPFILAQQAHQVCYIKYPKINKVRVDWCAVFKTKARSTYNIGPSMVNNNSNEQNSNDVAYQEDDVSRPQEIVPTTELDDPTMLLDSSSMVEVDVNELQQVQQPLEVVEDEDEDVEEEEEGEDEEEEEDTEESDDDLEVDGIDSDDDVNLEDDSE >Manes.06G133400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26165495:26168207:-1 gene:Manes.06G133400.v8.1 transcript:Manes.06G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPLTRRHPRTPTPQSPCLVRSRSGAENSSTSMNTSQRFSSRSKSTTRSRNIPIEENINLSSTMHKKYANQDNCSRDGFVRFLQRGSPRDSPATKRPNSASRSSSAWALSPGRSLFNMFPPELPAVTSGEREKTKGGAGAVSGVLKYFRQKKVSPVQEEEYHRFRVLHNRLLQWRFANARAEAAMASTRIVAEDRLFHVWLRIFRVRNITMEKRIQIQKMKHQMKLYQIIHPQMSLLNEWAKMERKNCEAVSRLTRKLSALSVKLPLAEEVKGDVESINTVMSEAAEVMDSIEATITKFTSQVEKILYLITELTSTFEHQNESLRDMERTVVLVAELLAWESSMRVEGMQMVKESRTQHGL >Manes.15G158000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13036399:13043251:-1 gene:Manes.15G158000.v8.1 transcript:Manes.15G158000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEAPVQGVAPMLAAVQKLQSSSARLTALHPEFLQLCLLSKCYKTGLSVLEDDIFEVDQPRDLFLYCYYGGMICIGQKRFQKALELLHNVVTAPMSSINAIAVEAYKKYILVSLIHQGQFSTSLPKYASSAAQRNLKNFSQPYIELANSYSTGKIAELESYVQTNREKFESDNNLGLVKQVVLSMYKRNIQRLTQTYLTLSLQDIANIVRLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCGMIEHIDSSMQRIIELSKKLTAMDELMSCDPLYLAKAGRERQRFDFDDFDGVPQKFNV >Manes.15G158000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13036399:13043251:-1 gene:Manes.15G158000.v8.1 transcript:Manes.15G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIESVVTQIQGLSGSVTDIGALHTCLKQADDLLHTESTRLLPFLDQLDPSIHSLGYLYILDACTSGPISKEQAKTVVLIFARFINSCVAEQIRLASDKFVSVCKRFKDQVMLLEAPVQGVAPMLAAVQKLQSSSARLTALHPEFLQLCLLSKCYKTGLSVLEDDIFEVDQPRDLFLYCYYGGMICIGQKRFQKALELLHNVVTAPMSSINAIAVEAYKKYILVSLIHQGQFSTSLPKYASSAAQRNLKNFSQPYIELANSYSTGKIAELESYVQTNREKFESDNNLGLVKQVVLSMYKRNIQRLTQTYLTLSLQDIANIVRLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCGMIEHIDSSMQRIIELSKKLTAMDELMSCDPLYLAKAGRERQRFDFDDFDGVPQKFNV >Manes.05G013700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:724839:726698:-1 gene:Manes.05G013700.v8.1 transcript:Manes.05G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSSSLLACMLLLLASVCKANEVANTIFVDKSGKGNFTAIQKAIDSIPQNNTEWIRIQISADKYSEKVVIPANKPFIFLEGAGRNNTSIEYGDDQDLPTSATFISYADNIKAEGITIKNTYNLQNGGQNITMKRATAIRIQGDKSAFYDCAFVGIQDTFFDDKGSHYFKNCYIEGAIDFIYGSAQSIYEECVISLNVGKYDPGLPSSITAQKKAEPEDPSGFVFKNCEITGTGKAHLGRAWGPYSTVIIYNSTISDIILPQGWNAWHFVGHEENFTYVEANNTGAGADTSRRVPWLKKFDASELSKFVDLSYIDRDGWIEKLPTTSLIT >Manes.13G040000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4699618:4708612:1 gene:Manes.13G040000.v8.1 transcript:Manes.13G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYPGPVSAVQVGSYFVGQYYQVLQQHPDLVHQFYADASTMIRVDGDSSETASTMLQIHTLVMSLNFTAIEIKTINSLESWNGGVLVLVSGSVKKKEFSGRRKFVQTFFLAPQEKGYFVLNDMFQFVDEEIIYQQHPPSISSEHLYQQYPTPVSSEDAHDAQLNSSSPPEPPVSDYVLEEEAREYVNSVHLEDDPVDNYSLPEQQQQQDFETEVVVEEASVEETPASFQSAVNVAQEPPASSLEEPVEEPPKKTYASILRVAKGQSSVATQSSANKSVPPMSDWNHIPPPLAQQSDSGLSHVPESGFEATEEGLGQDEGEPKSVYVRNLPSDVTAEEVEQEFKNFGRIKPDGVFIRNRKDVVGVCYAFVEFEDLASVQNAIKASPILLAGRQVYIEERRPNSGIGSRGGRRGRGRGGYQTEAPRGRFGARSLGRGSNQESGDYARTRGNGFYQRGTR >Manes.09G075056.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:16020255:16021515:1 gene:Manes.09G075056.v8.1 transcript:Manes.09G075056.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKIRNLTRKSQQELDKSYDQLIKENTIFEEDDPLNSWLEKRESPLLDGQPNPWLDDEEAGNAPSQSESTTQTQGQQGVAGDDDSFILSSFSSDDDDGGGDQGGVGTTVEGGGSRSSQDPPSQRQSGIRIASEPSPMRTYQRSRGSHNSGTGGAETSQTRGKDVAYEEESIDSLNYGYDTGTYNPNFMYSGGPGGCSSSEDTSSNYGFHGQFRGVPLPYPTNYPPAYSYYPLSGAESALSYPQPTYGDPNDIMGGGSLFSFDPQQYYQHQQHHLNDQSSASQSQDQQEPARRSFWWL >Manes.13G000060.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:218447:219522:1 gene:Manes.13G000060.v8.1 transcript:Manes.13G000060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRMNHSRAFKLTQMGHSTFTIFTPIPALACGAHFTFAWTNACTWTTQPTHAMDQAQALHSHAHMGRDTTLHVAHQALNAHGPFSPFSATWAAFTKAFHEDQAHAMGPHPNSPLMHTIYRGPNSSPSAHAPWPTIPLHQFTQDQRPGQHRAHGPAEAPAHGPHSHFVNLHTGPRAWMATRAPLHSI >Manes.10G029600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3033989:3038517:-1 gene:Manes.10G029600.v8.1 transcript:Manes.10G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYYSPPRRGYGGRARSPPRRGYGGGGGYGRRKEQNHGSLLVRNIPLDCRPEELRVPFERFGVVRDVYIPKDYYTGEPRGFAFVQFVDSYDAMEAQHRMNGQIFAGREISVVVAAETRKRPEEMRQKSRVRGPSGYGGRSSYYGRSRSRSLSRSRSPRHHLSSRSRYRSRSYSPASRRRDYSASPGRRHVDHLRSPRGPPPGQDGDRIRRSYSPGYGVDENGHGYTEKPQYESEEARAWRPSPGRASRSPSGSRSRSADISPRRSR >Manes.10G029600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3033989:3038517:-1 gene:Manes.10G029600.v8.1 transcript:Manes.10G029600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYYSPPRRGYGGRARSPPRRGYGGGGGYGRRKEQNHGSLLVRNIPLDCREPRGFAFVQFVDSYDAMEAQHRMNGQIFAGREISVVVAAETRKRPEEMRQKSRVRGPSGYGGRSSYYGRSRSRSLSRSRSPRHHLSSRSRYRSRSYSPASRRRDYSASPGRRHVDHLRSPRGPPPGQDGDRIRRSYSPGYGVDENGHGYTEKPQYESEEARAWRPSPGRASRSPSGSRSRSADISPRRSR >Manes.17G029505.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22267913:22268623:1 gene:Manes.17G029505.v8.1 transcript:Manes.17G029505.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRRFGWPPIKAPQTENGRDFSPFSSSEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGKCGSMLFFTFHEVLSEF >Manes.14G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9216390:9221538:-1 gene:Manes.14G109600.v8.1 transcript:Manes.14G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADKERLNQTLNSHLNTIHETFQLLDKAPAASLDKVSWVDVVKMGDQVSKQATIVGMLWTGEKPELKAVEENMATYFNTLQGFLLLSHGSRVGAGPTLSSCIHASVKQVVDCSFKLMMETVASYGSRNKDLKLEVTQLVGPVWEACSSLKKTPATNITAIGRAMTQVAVSVKDVLRELKELKPASTSLTDEASDDGVPTAASGVQDDDNLSLDELGNDLSPEEMKVAQSAIRVVFETTVVIKELIRTITGMLKQEKPDDGGSFVDSLEKLLNLCRGISVHIDELGACLYPPQEVIAIKAALEGLSHIISEMLAVVESFKTSSATFSQACSGLRSSITQMESELENSCTTDIEAKMHNVTVSDYREGEVMGADP >Manes.03G208800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32431716:32433523:1 gene:Manes.03G208800.v8.1 transcript:Manes.03G208800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GolS1 MSPNATMEESPMDSKSRAYVTFLAGNGDYVKGVVGLAKGLRKAESAYPLVVAVLPDVPEEHRQILESHGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYEKMIYLDGDIQVFENIDHLFDSANGYLYGVMDCFCERNWRFSPQYKIGYCQQCPERIQWPKEMGSPPPPYFNAGMFVFEPNLKTYSDLLETLKVTPTTSFAEQDFLNMFFKDVYKPIPPEYNLILAMLWRHPENVELDKVKVVHYCADGGKPWRYTGKEVNMEREDIKLLVKKWWDIYEDEALPCKNAVAATHHGKLGSMIASLTEEEMVDQQRTAPSAA >Manes.07G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5058170:5066585:-1 gene:Manes.07G043200.v8.1 transcript:Manes.07G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFSIQRVRNLRAFCPQIHALRSSHFSTAAQPSSSQRKPLRVPNFIGGTFVDSQSSSTIDVINPATQEVVSQVPLTTDEEFRDAVSAAKQAFPSWRNTPVTTRQRIMFKLQELIRRDIDKLAMNITAEQGKTLKDAHGDVFRGLEVVEHACGMATLQMGEYVPNVSNGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPLAVTCGNTFLLKPSEKDPGASIMLAELAVEAGLPNGVLNIVHGTNDIVNAICDDDDIKAVSFVGSNTAGMHIYARASAKGKRVQSNMGAKNHAIVLPDANVDAALNALVAAGFGAAGQRCMALSTVVFVGDSQSWEKKLVERAKALKVNAGTVPDADLGPVISKQAKERIHRLIQSGIESGAKLLLDGRNIVVPGYEQGNFIAPTILSEVTADMECYKEEIFGPVLLCMKAESFEEAIDIVNRNKYGNGAAIFTTSGAASRKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFTGDLNFYGKAGVNFFTQIKTITQQWKDLPAGSGVSLAMPTSQKI >Manes.09G096383.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29205237:29207190:1 gene:Manes.09G096383.v8.1 transcript:Manes.09G096383.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGLMSYFLSLEVRQRKNEIFINQSKYIKEILKKFHMEGCKPVDTVRQPRVKFCKDDHAAKVNENHYRSLLGYLMYLTASRFDIMHIVSLISRFMHCASEEHLQIAKCIVRFIKGIIDFGVKYACSQNFKLVGFSHSDLVGSIDDMKSTTGFLL >Manes.01G274300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42757752:42761696:-1 gene:Manes.01G274300.v8.1 transcript:Manes.01G274300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANRVVSYETTKAFADEIGIPFMETSAKDSTNVEQAFMAMAAAIKDRVAAALLRSKQVLVTSARSCLPAYPWIVRLPMYLDSLAITKVF >Manes.01G274300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42757752:42761696:-1 gene:Manes.01G274300.v8.1 transcript:Manes.01G274300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANRVVSYETTKAFADEIGIPFMETSAKDSTNVEQAFMAMAAAIKDRMASQPAMNDTRPPTVQIRGQPVVQKSGCCSS >Manes.02G040800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3353491:3354786:1 gene:Manes.02G040800.v8.1 transcript:Manes.02G040800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAFGSFGDSFSVGSIKAYLSEFIATLLFVFAGVGSAIAYSKLTTDAALDPAGLVAVAVAHAFALFVGVAIAANISGGHLNPAVTFGLAVGGNITILTGIFYWIAQCLGSIVACLLLHLVTNGKSVPTHGVASGMNAFEGVVMEIVITFGLVYTVYATAADPKKGNLGIIAPIAIGFVVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQNWIYWLGPLIGGGLAGLVYGQIFIGPYSAAPSSDDFA >Manes.10G091700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23612961:23614223:-1 gene:Manes.10G091700.v8.1 transcript:Manes.10G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFLSSVLQMIFDRVASPVLQRLGSIWVLEDNLKKLQQSLFKVQAVLEDAGDQQVTRNFDVIIWVFVSENLHVKMITKDSCKFTEMDLLQAKLWDLLHKKRFLVLFDDVWRADDLDDWDKLRPLFKWDLGGDDCWALFRQRAFQRGQIVRICRGLPLAAKALVPRNFEIFSSFKHLVVLDLNSCGLTELHESVGELFCLKHLDLSYTFIRDLPGTIQCLYSVEALYLHGCSNLEQLPNQLPSANLRHLITTGCEGDAMSEDEENFI >Manes.01G267800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42192486:42194832:-1 gene:Manes.01G267800.v8.1 transcript:Manes.01G267800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFLLQKTPGETRIQESEKHYHILIFPPRNQTTKHIKNKAKPRLGKKQMLMRSLHFHYTHKIILLLSRLTSFFLIFFISDVNHGNLVQAHIFNYAGCSQEKYQPNSPFEGNLNSFLASVVSSSSQASYNSFAIGNGTSSPPEATIYGLYQCRGDLKIIDCSSCMQSAVNQIGLVCPYSYGAALQLEGCYVRYEHNDFLGKLDTSLRYKKCSKSINNDAEFFRRRDDVLADLQTAIGFKVSSSGLVEGYAQCLGDLNSADCSSCLADAVGKLKTLCGSAAAADVFLGQCYARYWASGYYDFSSDSSNQDEVGKTVAIIVGVLAGLAVLIVLLSICRKSMG >Manes.09G174400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36612308:36617582:-1 gene:Manes.09G174400.v8.1 transcript:Manes.09G174400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAEVSSMKSPPVPLGTLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLIKSDCQRIPGDLSTSFSVFAIFDGHNGISAAIFAKENLLDNVLSAIPQEISQEEWLQALPRALVAGFVKTDIEFQQKRETSGTTVTFVVIDGWTITVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVYGGNQVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDMAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIVPFDVPVLPTIQTKKQNMLSSLLFGKKSINSGWKATNKLSAVGVVEELFEEGSAVLAERLGKDFPSNANSGLYRCAVCQVDQSPTDGLSVNSGSFFSPGSKPWEGPFLCPNCRKKKDAMEGKRPSRPAVTA >Manes.09G174400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36612308:36617582:-1 gene:Manes.09G174400.v8.1 transcript:Manes.09G174400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLRPLHVPTAEVSSMKSPPVPLGTLIGRELRNEKVEKPFVKYGQAALAKKGEDYFLIKSDCQRIPGDLSTSFSVFAIFDGHNGISAAIFAKENLLDNVLSAIPQEISQEEWLQALPRALVAGFVKTDIEFQQKRETSGTTVTFVVIDGWTITVASVGDSRCILDTQGGVVSLLTVDHRLEENAEERERVTASGGEVGRLNVYGGNQVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDMAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIVPFDVPVLPTIQTKKQNMLSSLLFGKKSINSGWKATNKLSAVGVVEELFEEGSAVLAERLGKDFPSNANSGLYRCAVCQVDQSPTDGLSVNSGSFFSPGSKPWEGPFLCPNCRKKKDAMEGKRPSRPAVTA >Manes.S038916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1082949:1083761:-1 gene:Manes.S038916.v8.1 transcript:Manes.S038916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.14G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7936626:7937238:-1 gene:Manes.14G095600.v8.1 transcript:Manes.14G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAEKPVGTQLFGQGKKEPAPKAADGATKSAGSKSSASKKAPPKESKKKGKGGKSGKN >Manes.01G004400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2148557:2149483:1 gene:Manes.01G004400.v8.1 transcript:Manes.01G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHLSGAEPFPVPRPSPLSSEKETEDQSTNKAPQSCVILTYDANVAESSRLVTVTWNKNLMNYSLTISVENFSNENPYICKIDLKGWQFWGRKGIKSFQVDGKRVEIYWDFRHAKFTNNPEPSSDYYVALVYDEEVVLMLGDLKKDAYKRTKKRPSLIEPILLCKKENVYGKKIFCSKAMLHNEKKEHDIVIEMSSGIGDPELWISIDSFEVIHVMNLNWRFRGNEKVTMVDDVVVEIFWDVHDWLFNSSASAAMQGNGLFIFKPGLAGSDSKINPKGDDGIGVRYDSPAERSASEFFHVIYAWRID >Manes.03G188400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30791146:30793341:1 gene:Manes.03G188400.v8.1 transcript:Manes.03G188400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIESYVVVHNIAKRHNVGTLARSATAFGVTELILVGRRDFNAFGSHGSTSHLRFRHFHSLLDARNFLKEKDCDICGVEIADGALPVNEHPFKKSTAFLLGNEGTGLSAKECEICDFFVYIPHYGCGTASLNVTVAASIVLHHFGVWAGFSERIREGNKFIVAERPVKQGRYCTETEESIIEERKSRKEHACNGFFDETKNGDSTSNLLDALFSE >Manes.11G067500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9615846:9618475:-1 gene:Manes.11G067500.v8.1 transcript:Manes.11G067500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVIPGPGDKPMIVVQYKGEEKQFAPEEISSMVLTKMKEIAEAYLGQTVKNAVITVPAYFNDSQRQATKDAGAIAGLNVMRIINEPTAAAIAYGLDKKGSGAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVSHFAAEFKRKHKKDISTNARALRRLRTACERAKRTLSSTSQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDSKIDKSHVHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDEKVQDLLLLDVTPLSLGIETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEDIERMVQDAEKYKAEDEEVKKKVDAKNSLENYAYNMRNTVKDDKFAGKLASADKEKIEKAIDETIEWLDRNQLAEVEEFEDKLKELEALCNPIIAKMYQGGAGGDVPMGGAEMPNGDYGKTRSGGSGAGPKIEEVD >Manes.06G154100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28114682:28115905:1 gene:Manes.06G154100.v8.1 transcript:Manes.06G154100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEQPTHPMVANEESGSGIEKPKELSKKKRMKCIAFAVAFTIFQTGVILAFVLIVLRFKSPKFRVLSGSFHNDPFQIGTEAAPSFNLTMNTQFGVKNTNFGHFKYEKSTVTFEYRGTAVGTVIIDRARTRARSTRKFDAMVVLKTDSVAENIEQLGRDISSGKLPLTSSSKLEGKIHLMKLIKKKKSAQMNCTMNVDIQTRTLQDIVCK >Manes.06G056600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15359194:15360792:-1 gene:Manes.06G056600.v8.1 transcript:Manes.06G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSIASLAAILIAAAIQSSVAQTTHDVGNNSGWTIPNGGAAFYSTWAAGQVFSVNDILVFNFAANQHDVSKVTKADYDACTTANPILVETTSPARITINETGVHYFICNFTGHCSAGQKLMINVSAAASAPAPQPSSSSPTPVSAPSPSPVSTPPPSPAPSATGVNYTVGDSQGWALLSNAAAFYQNWASGKNFMAGDILVFNYNNGAHDVAEVTKENYESCNTANPISLSSTPPTTITLTAGEHFYVCTVPGHCNAGQKLAVNVSSSGTGTPPSSTTPTNPSSPSPTTAPPPPDSSARSLSVAGLSAAFLSIVVAFLY >Manes.03G163500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29012935:29019394:-1 gene:Manes.03G163500.v8.1 transcript:Manes.03G163500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDVNQKKRLITSVCVVAIFLGFIYFYYGSFFGSQSHGSAALEYGSKSLRKLGLGGDDDSDGKQDDSTKFGQEEGEEYTVPKSFPVCDNRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPLPERRYNCLIPPPPGYKIPIKWPKSRDEVWKANIPHTHLAHEKSDQNWMVVKGDKINFPGGGTHFHYGADKYIASIANMLNFSKNNLNNEGRVRTVLDVGCGVASFGAYLLSSDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDDEDLRIWREMSALVGRMCWKIAAKRNQTVIWQKPLTNDCFMEREPGTQPPLCRSDDDPDAVWSVKMEACITPYSDHDHRVKGSGLAPWPARLTTPPPRLADFGYSSEMFEKDTELWRHRVENYWNLLSPKIQSDTLRNLMDMKAHLGSFAAALKEKDVWVMNVVPEDGPNTMKLIYDRGLIGTTHNWCESFSTYPRTYDLLHAWTVFSDLEKKGCGAEDLLLEMDRILRPSGFIIIRDKHSMVDYVKKYLVALHWEAVATTDSSSESEQDGDDVVFVIQKKLWLTSESVRDTE >Manes.08G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31475459:31486766:-1 gene:Manes.08G092800.v8.1 transcript:Manes.08G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSNFSGHDHAKKEAGAAKKAPITVLTDVAKENIEEKYLVDRELGRGEFGVTYLCIDRDSRELLACKSISKRKLRTAVDIDDVRREVAIMKHLPKNSSIVSLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKRDPWPNISESAKSLVKQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSIEEVEDIKEMFRKMDTDNDGIVSIEGLKAGLRNFNSQLAESEVQMLIEAVDTNGKGTLDYGEFVAVSLHLQRMANDEHLRKAFSYFDKDGNGYIEPDELRDALMEDGADDCSNVANDIFQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLMKDGSLNLGNE >Manes.05G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10000639:10001157:1 gene:Manes.05G105700.v8.1 transcript:Manes.05G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELKKLHDTVISIQEKIYYLREREEEMQELNRATNSRMGWFSFLSLLICPSVAGLQVWQWHLKTFFEKKKLI >Manes.13G068176.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9318969:9319499:1 gene:Manes.13G068176.v8.1 transcript:Manes.13G068176.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSYHFLFSFFNRNIFFLFSLIFIFLLFLFFLNFFYFFILIFWLIIFLFFFLFFIFLSFSFFILFKIIFVYSFPPYFSFNFYFLFLFFLIFPLIFYLFFFHFIFYFHIFLILFFFIIFSTLFSNTF >Manes.10G116400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGVDSSQGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTLCGGMLQQNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTQNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTLCGGMLQQNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGVDSSQGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTLCGGMLQQNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTQNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGVDSSQGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTQNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGVDSSQGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTLCGGMLQQNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGVDSSQGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTQNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGVDSSQGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.10G116400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28182214:28192093:1 gene:Manes.10G116400.v8.1 transcript:Manes.10G116400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVMLMEQIDFAFAGRYNWVSFRPGKNSQKHQSYSRAYIDFKRPEAVMEFAEFFNGHLFVNEKGTQFRAVVEYAPSQRVPKQWSKKDGREGTILKDPAYLEFLKLISKPAENLPSAEVQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGTRRILTNGKRSRRAGASGSPSSSSSKRSSDKKRGSTTMYVLRDSVKSTSGKDKSTYILIPKRDDQHLSDKAVNLASASGTEVLEDESGVSGITDTGKRKILLLKGKEKEISTNTTSFDKNQTSSVLKQSQRREATGRIIRSILLHKDSRQNHSSGVQSEQQTQTSNLEKDKRPPRPQHVQLILKDANGASDDKVVGNDLHSFSGEKQEKRTRNKDRPDRGVWAPLRRSDGSCPSDESSLFSASHSGNLGDIKVEPLNSRGGEIKTLGGGRGGHSSLDNGSHKHFGRRGPHTVRDVDGSSGEGKLSKRVGVSGYGSHEKQVWVQKSSSGS >Manes.18G068700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6196990:6198268:1 gene:Manes.18G068700.v8.1 transcript:Manes.18G068700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNPGYDTCHFKSFPCKMTSSIVHPLLITILPLLTAPHFSMAERLPNSVDFHDPVTSQSQPTFRSATYIVQVPKNQVYRVPPPENAKLLHQNHEPEKPSRYSAQLLCSIIIAFVFIASALAIGLAFSFPKAKNPEIRVQSFVVSNSSQSHPDYDVTLEVHYPNWKSGILYMQGGVSSLFFHEQKMGAGKFPTFNQDGKNSTKIRIVLKGSKIPLPNNIKQSIKSTEPKVKVPFSLKIKVMARVKTSSFEIGNVKLTIRCDFRVDNLAKGTQILSQQCETDH >Manes.04G059800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:20492901:20495779:1 gene:Manes.04G059800.v8.1 transcript:Manes.04G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDAENGCWNKFRALPHNIWNKVVEKANKAKKMGKDDPKKIIHSLKVGLAITLVSLFFYFKPTYDGFGVSAMWAVLTVIVVFEYSVGATLGRGLNRMLATLVGSALGFGAHWLATHSGKTGEPILIAIFVFIFAGIVTFIRFLPEMKARYDYGLLILMLTFCLISVSGYRDDEVIHMAHKRVTTIIIGSITSVVICILICPVWIGEDLHKLVAGNLEKLGNFLEGFGSECFRTSEGGQANNANKSFLQGYKSILSSKSSEETMANLARWEPCHGRFRFRHPWKQYLKIGTLARICAYKVEALNCHLHSETQTPMEMRIKIQDMCTKISSESGKALKELATTVKTMTKSSLVETHIANSKQAAEALKSLLKTGLWEDALILEIIPAATVASLLIDIITCTEKIAESVQELALLAHFKSPNTHQPQHLLHRGTIQPVSDGSNHVVVIDMQGSE >Manes.04G059800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:20492901:20495779:1 gene:Manes.04G059800.v8.1 transcript:Manes.04G059800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDAENGCWNKFRALPHNIWNKVVEKANKAKKMGKDDPKKIIHSLKVGLAITLVSLFFYFKPTYDGFGVSAMWAVLTVIVVFEYSVGATLGRGLNRMLATLVGSALGFGAHWLATHSGKTGEPILIAIFVFIFAGIVTFIRFLPEMKARYDYGLLILMLTFCLISVSGYRDDEVIHMAHKRVTTIIIGSITSVVICILICPVWIGEDLHKLVAGNLEKLGNFLEGFGSECFRTSEGGQANNANKSFLQGYKSILSSKSSEETMANLARWEPCHGRFRFRHPWKQYLKIGTLARICAYKVEALNCHLHSETQQTPMEMRIKIQDMCTKISSESGKALKELATTVKTMTKSSLVETHIANSKQAAEALKSLLKTGLWEDALILEIIPAATVASLLIDIITCTEKIAESVQELALLAHFKSPNTHQPQHLLHRGTIQPVSDGSNHVVVIDMQGSE >Manes.13G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3002194:3004854:-1 gene:Manes.13G020800.v8.1 transcript:Manes.13G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSFFSILSILSISITLSTVPPPTAALPLSTSSRWIVDENGQRVKLACVNWVSHLEAVVAEGLSKQPMDEIAKKILSMGFNCVRLTWPLFLVTNDSYASVTVRQSFQGLGLVESISGILANNPSIVDLPLIKAYQAVVSSLGDNNVMVILDNHVSKPGWCCSNFDGNGFFGDTYFNPDQWIKGLTQMATIFNGVPNVVGMSLRNELRGQKQNVNDWYRYMEKGAEAVHSANPDVLVILSGLSYDTDLSFLRSRPVNLTFTGKVVFEVHWYGFSDGQAWKNGNPNQVCGRVVNNLMRKSGFLLDQGWPLFLSEFGVDQRGTNVNDNRYLGCFLGVAAELDLDWALWTLVGSYYLREGVVGLNEFYGLLNWNWCDIRNSSFLQQISALQSPFQGPGLSDANSHKVIFHSATGLCVIRKSLMEPLRLGSCTDSEAWRYTPQKTLSIKGTYFCLQADDLGKPAKLGVICTDSSSKWDIISDSNMHLSSKIANGTTACLDVDFNNNIVVNTCKCLSRDNTCDPGSQWFKLVNSTRSSTMGAKPSSLMSSIFDLESPVKDVLGLA >Manes.12G035700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3149841:3151086:-1 gene:Manes.12G035700.v8.1 transcript:Manes.12G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLVLALALTSLCIGLVTADWNILKQNRKHYGLKSSSLKNYCESWRINVEINNIREFEVVPQECIDYIKHYMTSAQYEADSQRAIEEVKLYLSTCCTMEGDGKDAWIFDVDDTLLSTIPFFKKHNFGGEKLNTTLLEEWMKESKAPALDHTLNLFNEIKNKGVKIFLISSRSEILRSVTVDNLINVGYHGWSSLILRGLDDEFMKVQEYKCWARKQLADEGYRIWGIIGDQWSSFGGLPSAKRTFKLPNSMYYLP >Manes.09G011952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2909323:2910669:1 gene:Manes.09G011952.v8.1 transcript:Manes.09G011952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFYLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRFPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPNVVTFTTLINGLCTESKIDKAVEFFDDMVACGCQPDVYTYNTIINGLCKFGKTNVAIGLLKGMADRGCEPDVVTYNAIIDTLCKDELVGEALELFSQMRNKGISPSIITYTSLIHGVCKLGQKNQALALMNEMVEQNISPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYNSLIDGLCISDQLKEALALLKGMMGRNISPDVFTFNILIDTLCKKGLVSIAQNVIKIMIQRGVEPNVVTYNSLMDGYCLCKQIDKARK >Manes.17G003600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2449340:2465129:1 gene:Manes.17G003600.v8.1 transcript:Manes.17G003600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEEREVEMEIEETLDDRKKGYDYVVLRLILAIIFPIAAFFSLSLFIGFLAVLAAHFSISTPISVPSQCRIVSSSVDLRSTKVCELGFLNYKAKHVFYPFERSKFRCRYDYYWASVFEVEYKDHSLGLTQAFAEAPDEALPPNCRPSFGAVWLTKDKFKVNKTYECWYTTGISKVSLYSDGFFPCQAKDPSVFEMIRRYIILFVKVLHSWFVRKRGKASYWRWETIAGIVTGFSTSLISLSFISILHQMKSRLPQTYVARMVARTPKMVFFKRACFLVAYFSVVGWLVIQYGKRLGLTEIYRIYNL >Manes.17G003600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2449340:2465129:1 gene:Manes.17G003600.v8.1 transcript:Manes.17G003600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKCELISCNKVLRIGNLVDVGLLKILLRERATLKVLAAHFSISTPISVPSQCRIVSSSVDLRSTKVCELGFLNYKAKHVFYPFERSKFRCRYDYYWASVFEVEYKDHSLGLTQAFAEAPDEALPPNCRPSFGAVWLTKDKFKVNKTYECWYTTGISKVSLYSDGFFPCQAKDPSVFEMIRRYIILFVKVLHSWFVRKRGKASYWRWETIAGIVTGFSTSLISLSFISILHQMKSRLPQTYVARMVARTPKMVFFKRACFLVAYFSVVGWLVIQYGKRLGLTEIYRIYNL >Manes.17G003600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2449340:2465129:1 gene:Manes.17G003600.v8.1 transcript:Manes.17G003600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEEREVEMEIEETLDDRKKGYDYVVLRLILAIIFPIAAFFSLSLFIGFLAVLAAHFSISTPISVPSQCRIVSSSVDLRSTKVCELGFLNYKAKHVFYPFERSKFRCRYDYYWASVFEVEYKDHSLGLTQAFAEAPDEALPPNCRPSFGAVWLTKDKFKVNKTYECWYTTGISKVSLYSDGFFPCQAKDPSVFEMIRRYIILFVKVLHSWFVRKRGKASYWRWETIAGIVTGFSTSLISLSFISILHQMKSRLPQTYVARMVARTPKMVFFKRACFLVAYFSVVGWLVIQYGKRLGLTEIYRIYNL >Manes.01G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31845010:31851082:-1 gene:Manes.01G122800.v8.1 transcript:Manes.01G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVTNPIVEMDGDEMTRVFWKSIKDKLIFPFVELDIKYFDLGLPYRDETDDKVTVESAEAALKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWSKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQDEKTELEVFNFTGAGGVALSMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNERLLDFTQKLEAACIETVESGKMTKDLALIIHGSKLTRDQYLNTEEFIDAVAAELKAKLGISP >Manes.06G127050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25706923:25707938:1 gene:Manes.06G127050.v8.1 transcript:Manes.06G127050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLKLIWKKVIFICRIFFRYTANWKTLCGTLCNYSGFDLFFFLKKNFWIRLASSVGIRERDSKAQIHVDVFLWEAVASDGQSTWIDMLYKYCTSAPQSEALYEF >Manes.05G028200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2426688:2427413:1 gene:Manes.05G028200.v8.1 transcript:Manes.05G028200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQSLAIESFSDSWLTSISSSLESLQEPLRESLDSSHEASAEELKDRISKLKISLEEVQNFHFDVPSSPCPEAVVDADQLFSKGLIKPVFISQSKTEAYSSLDLVSKMHSSFSTSAVVPTVHIHCHIFRRWRKAPLRILQKCFRYLRPLCHKISGSIQSTSTDDIDGRARQIKGQNNSPQASPGTTDWSHIESSIYEAVLHCKRSIAK >Manes.05G067900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5626758:5631747:-1 gene:Manes.05G067900.v8.1 transcript:Manes.05G067900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSILQDMRGDFGSMSRKGFDVKFGSGMRSRSHRVVQDTSFVPVDAFEQSCWANMPPELLRDVLTRIEASETTWPSRKNVVACAGVCRNWREIMKEIVKTLEDSGKLTFPISLKQPGPRDSLLQCYIKRNRNHQTYYLYLSLNQASNDDGKFLLAARRCRRPTCTDYIISLNCDDVSRGSSTYIGKLRSNFLGTKFTIYDAQPPNSGAKVVKCRSTRIVNMKQVSPRVPAGNYPVAHVSYELNVLGSRGPRRMQCVMDAIPASSVEPGGVAPTQTEFLHSNLDSFPSLPFFRSKSTRTENFQSGPLAGCDDGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENGVGRQDHENVILQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >Manes.05G067900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5626768:5631739:-1 gene:Manes.05G067900.v8.1 transcript:Manes.05G067900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSILQDMRGDFGSMSRKGFDVKFGSGMRSRSHRVVQDTSFVPVDAFEQSCWANMPPELLRDVLTRIEASETTWPSRKNVVACAGVCRNWREIMKEIVKTLEDSGKLTFPISLKQPGPRDSLLQCYIKRNRNHQTYYLYLSLNQASNDDGKFLLAARRCRRPTCTDYIISLNCDDVSRGSSTYIGKLRSNFLGTKFTIYDAQPPNSGAKVVKCRSTRIVNMKQVSPRVPAGNYPVAHVSYELNVLGSRGPRRMQCVMDAIPASSVEPGGVAPTQTEFLHSNLDSFPSLPFFRSKSTRTENFQSGPLAGCDDGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENGVGRQDHENVILQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >Manes.16G092000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29824203:29825074:1 gene:Manes.16G092000.v8.1 transcript:Manes.16G092000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAQKKFPHIFKDLKAGTSATESPYNFSGKGGVTTALSSSDQSRVLMTRPPRQMVSLYTCSKLCTVFFVAGVVVGYTLKKRVRSWASKLLKRLKDD >Manes.08G073802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14511611:14513564:1 gene:Manes.08G073802.v8.1 transcript:Manes.08G073802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCMQEEPLRGLHTIVSVRRLSPRNRRSSLSSPSALRAVNNLVVLNPLSSSALSLPQSSSATVIFDFRFLALLAIGGSLAGSLLCFLNGCVYIFYAYKVYWSSCVKGIHTGQMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNVPPDVPSHVDRALKGSSLFGIFAFKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGIDLLSYSVCIFLSSASLYMLHNLHKSD >Manes.07G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1667983:1669716:1 gene:Manes.07G013600.v8.1 transcript:Manes.07G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKVAVSAISLILVVGVIIGVVASVHRNSQKTVNTPQMKAATQICQPTNYKDTCTKVLGSANTTDPKELIKAGILAISDSLSRSMNLSEGLVREATHSPRTKLALHDCKTLLKNASDGLDDILVKMADSDLRNIAEHSDDFRIWLSSMISYQELCIDGFQQHGDVKSKVQDSTNYGSELIDNVLTILGAISNILQDFGLQFNIPHARRLLGADGYPTWLSAADRKLMAAAGPTPNAVVAQDGSGQFKSITDAINSYPAGSTTRFVIYVKAGVYTEQVLVPKTHANILMYGDGQDKTVVTGKKSFAGGVNTFNTAPFVVEGPGFICKDMGFANTAGPSGHQACAIRVNSDMSVFHNCRFDGYQDTLLYQAGRQYYGNCVITGTVDFLFGYGAAVIQNSEIIVRKPGEGQKNIVSADGRKEKGQNTGLVIHNCKIIPEEALIPEKLTVKTYLGRPWKQFSTTVIMGTDIGDLIQPEGWMPWDGTLFLDTLYYAEYANTGAGANTANRVKWKTLHFLTPEEAQQFTVGTFLPGSGDWIKTAGVPFLPGLK >Manes.18G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13003975:13008955:-1 gene:Manes.18G121700.v8.1 transcript:Manes.18G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLNNFQVGHLPTVIYKPDFITESEEMLLLDKVYGAPISKWKSLKNRRLQNWGGIVHEKGLLPQDLPSWLTMITQRIYEESGLFPSAINHVLINEYLPDQGIMPHQDGPAYFPVVAILSLGSPVVMDFIPHSRLRTCTDTWDNNDVKSEGSGEEPSEIETDKRMDNHHPFSVLLMPRSLLIFKDNAYSDYLHGIKDSEVHQYDEAINVAEPLVSHKFDQQLPEPGKAIQIVGNKDHKVIQRTAKRVSLTCRLVLKVQKKLFKF >Manes.18G121700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13004538:13006827:-1 gene:Manes.18G121700.v8.1 transcript:Manes.18G121700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQRIYEESGLFPSAINHVLINEYLPDQGIMPHQDGPAYFPVVAILSLGSPVVMDFIPHSRLRTCTDTWDNNDVKSEGSGEEPSEIETDKRMDNHHPFSVLLMPRSLLIFKDNAYSDYLHGIKDSEVHQYDEAINVAEPLVSHKFDQQLPEPGKAIQIVGNKDHKVIQRTAKRVSLTCRLVLKVQKKLFKF >Manes.18G121700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13003974:13008955:-1 gene:Manes.18G121700.v8.1 transcript:Manes.18G121700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQRIYEESGLFPSAINHVLINEYLPDQGIMPHQDGPAYFPVVAILSLGSPVVMDFIPHSRLRTCTDTWDNNDVKSEGSGEEPSEIETDKRMDNHHPFSVLLMPRSLLIFKDNAYSDYLHGIKDSEVHQYDEAINVAEPLVSHKFDQQLPEPGKAIQIVGNKDHKVIQRTAKRVSLTCRLVLKVQKKLFKF >Manes.14G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10519361:10521006:-1 gene:Manes.14G132300.v8.1 transcript:Manes.14G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLSFPLISVFFLIIFPLANSFDSHSQPHVLKSFNPQIIQAAKSCPYTLVIKTSCSSSSYTRDKISLAFGDSYGNEVYVKRLDDPSSGTFERCSTDTFQINGPCTYDICYLYLLRSGSDGWKPESVKISGRYTKTVNFYYSIFLPNGVWYGFNLCRGVSLSAIM >Manes.10G140300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30790876:30797805:-1 gene:Manes.10G140300.v8.1 transcript:Manes.10G140300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLDSYNEKSSAKDSNPSRSSRSFYYKSDNVRKGLMSTSSSSSRYDRDRSMDDDSRESSRMVRKRSDHDVDSFDRRKGAGVGFDRYSGRDGYSGSGGGVAGGSDRSIHRSESFCGSRREFPKGFRSERDRSRREGSVSSWRRFGSGSKEFDDVRGSRSANEERISAATRSSPKGLRDVRSPTWSRDSGSEQTRLVRGGGGRDEGKGKSSTSKSRSSPTWSKDSGSEQSKSVEVGKKSELEAKSTEMEVKSVASGSKSIEMEAKSVSSGSSSEMEEGELEPEPEPEPVHQVVKEDENDNEKGGREDVPSVDHGEVEPEREANDQVNKVEKEPDKAGVSEGKGGKEVDEMQKCEKNSNDNSVTEDKVGNVDGDEGGEGDHCFKEPIESKEDDSREVVIEKPAHLEEKSSQEKGIDLEAKMEDVEVAESNKEVNEDNGGAEVDTGLIAEDSGQNLKDKGKSVAFFPTLVADSVEDGKWSERESRKVPTCKDEDDMEGPSIRGFELFTSSPVKRAEKAEQSGVSKPKEEKLVLESLDLSLSLPNVLLPIGAAIDAPQAPGSPSNGRSVQSYSTFCTNSDGFTASMSFSGSHSFFHNPSCSLTQNSLDMDNYEQSVHSRPIFQGVDQANWQCQAQNDTKLKGVPLYQRVLMNGNGSFHQSQALQGMSNGQTLQGSSKMANGLERQLSFHKQLSGGQSRNPEETGSPSHSVGSHEIGTNYSLEKKRAMREKHGGSLYRSNSQKEQEQLLIGGADFVESIISRIVSDPIHATARKFHEMTGQSASLVKESIREIMLNVDKQGQLYAFQSALENRSDLTLGVLLKSHRFHLEILVALKTGLREYLQVDNNISSSDLAEVFLNLRCRNLACRSPLPVDECDCKVCGKKNGFCSACMCLVCSKFDMAYQTCSWVGCDVCLHWCHADCALRESNIRNGRSANGAQGTSEVQFHCVACDHPSEMFGFVKEVFQNFAKTWTAETFCKELEYVKRIFSASKDFRGRRLHEIANRMLEKLANKANISEVYSNIMGFLTESESSKFGNPSGISEKERGNGSNGGIAGPSQESSWLKSVYSEKAPKLERSSSFLPSFHTELNDKRPVESELERSAQKEPIFDELESIVRIKQAEAKMFQARADDARREAEGLKRIALAKNEKVEEEYRSRITKLRLVETEEMRKQKYEEFKTLERAHQEYFSMKMRMEADIKDLLLKMEATKRNLAM >Manes.10G140300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30790876:30797805:-1 gene:Manes.10G140300.v8.1 transcript:Manes.10G140300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLDSYNEKSSAKDSNPSRSSRSFYYKSDNVRKGLMSTSSSSSRYDRDRSMDDDSRESSRMVRKRSDHDVDSFDRRKGAGVGFDRYSGRDGYSGSGGGVAGGSDRSIHRSESFCGSRREFPKGFRSERDRSRREGSVSSWRRFGSGSKEFDDVRGSRSANEERISAATRSSPKGLRDVRSPTWSRDSGSEQTRLVRGGGGRDEGKGKSSTSKSRSSPTWSKDSGSEQSKSVEVGKKSELEAKSTEMEVKSVASGSKSIEMEAKSVSSGSSSEMEEGELEPEPEPEPVHQVVKEDENDNEKGGREDVPSVDHGEVEPEREANDQVNKVEKEPDKAGVSEGKGGKEVDEMQKCEKNSNDNSVTEDKVGNVDGDEGGEGDHCFKEPIESKEDDSREVVIEKPAHLEEKSSQEKGIDLEAKMEDVEVAESNKEVNEDNGGAEVDTGLIAEDSGQNLKDKGKSVAFFPTLVADSVEDGKWSERESRKVPTCKDEDDMEGPSIRGFELFTSSPVKRAEKAEQSGVSKPKEEKLVLESLDLSLSLPNVLLPIGAAIDAPQAPGSPSNGRSVQSYSTFCTNSDGFTASMSFSGSHSFFHNPSCSLTQNSLDMDNYEQSVHSRPIFQGVDQANWQCQAQNDTKLKGVPLYQRVLMNGNGSFHQSQALQGMSNGQTLQGSSKMANGLERQLSFHKQLSGGQSRNPEETGSPSHSVGSHEIGTNYSLEKKRAMREKHGGSLYRSNSQKEQEQLLIGGADFVESIISRIVSDPIHATARKFHEMTGQSASLVKESIREIMLNVDKQGQLYAFQSALENRSDLTLGVLLKSHRFHLEILVALKTGLREYLQVDNNISSSDLAEVFLNLRCRNLACRSPLPVDECDCKVCGKKNGFCSACMCLVCSKFDMAYQTCSWVGCDVCLHWCHADCALRESNIRNGRSANGAQGTSEVQFHCVACDHPSEMFGFVKEVFQNFAKTWTAETFCKELEYVKRIFSASKDFRGRRLHEIANRMLEKLANKANISEVYSNIMGFLTESESSKFGNPSGISEKERGNGSNGGIAGPSQESSWLKSVYSEKAPKLERSSSFLPSFHTELNDKRPVESELERSAQKEPIFDELESIVRIKQAEAKMFQARADDARREAEGLKRIALAKNEKVEEEYRSRITKLRLVETEEMRKQKYEEFKTLERAHQEYFSMKMRMEADIKDLLLKMEATKRNLAM >Manes.10G140300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30790876:30797805:-1 gene:Manes.10G140300.v8.1 transcript:Manes.10G140300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLDSYNEKSSAKDSNPSRSSRSFYYKSDNVRKGLMSTSSSSSRYDRDRSMDDDSRESSRMVRKRSDHDVDSFDRRKGAGVGFDRYSGRDGYSGSGGGVAGGSDRSIHRSESFCGSRREFPKGFRSERDRSRREGSVSSWRRFGSGSKEFDDVRGSRSANEERISAATRSSPKGLRDVRSPTWSRDSGSEQTRLVRGGGGRDEGKGKSSTSKSRSSPTWSKDSGSEQSKSVEVGKKSELEAKSTEMEVKSVASGSKSIEMEAKSVSSGSSSEMEEGELEPEPEPEPVHQVVKEDENDNEKGGREDVPSVDHGEVEPEREANDQVNKVEKEPDKAGVSEGKGGKEVDEMQKCEKNSNDNSVTEDKVGNVDGDEGGEGDHCFKEPIESKEDDSREVVIEKPAHLEEKSSQEKGIDLEAKMEDVEVAESNKEVNEDNGGAEVDTGLIAEDSGQNLKDKGKSVAFFPTLVADSVEDGKWSERESRKVPTCKDEDDMEGPSIRGFELFTSSPVKRAEKAEQSGVSKPKEEKLVLESLDLSLSLPNVLLPIGAAIDAPQAPGSPSNGRSVQSYSTFCTNSDGFTASMSFSGSHSFFHNPSCSLTQNSLDMDNYEQSVHSRPIFQGVDQANWQCQAQNDTKLKGVPLYQRVLMNGNGSFHQSQALQGMSNGQTLQGSSKMANGLERQLSFHKQLSGGQSRNPEETGSPSHSVGSHEIGTNYSLEKKRAMREKHGGSLYRSNSQKEQEQLLIGGADFVESIISRIVSDPIHATARKFHEMTGQSASLVKESIREIMLNVDKQGQLYAFQSALENRSDLTLGVLLKSHRFHLEILVALKTGLREYLQVDNNISSSDLAEVFLNLRCRNLACRSPLPVDECDCKVCGKKNGFCSACMCLVCSKFDMAYQTCSWVGCDVCLHWCHADCALRESNIRNGRSANGAQGTSEVQFHCVACDHPSEMFGFVKEVFQNFAKTWTAETFCKELEYVKRIFSASKDFRGRRLHEIANRMLEKLANKANISEVYSNIMGFLTESESSKFGNPSGISEKERGNGSNGGIAGPSQESSWLKSVYSEKAPKLERSSSFLPSFHTELNDKRPVESELERSAQKEPIFDELESIVRIKQAEAKMFQARADDARREAEGLKRIALAKNEKVEEEYRSRITKLRLVETEEMRKQKYEEFKTLERAHQEYFSMKMRMEADIKDLLLKMEATKRNLAM >Manes.16G054295.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18234800:18302332:-1 gene:Manes.16G054295.v8.1 transcript:Manes.16G054295.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEECCATQLIDGNGVFNVVGLDNFVRTSKLGDCGLSYAVVAIMGPQSSGKSTLLNHLFHTNFREMDAYRGRSQTTKGIWIARCVGIEPFTIAMDLEGTDGRERGEDDTAFEKQSALFALAIADIVLINMWCHDIGREQAANKPLLKTVFQVMTRLFSPRKTTLLFVIRDKTKTPLEYLEPILREDVQKIWDAVAKSEAHKSTPLSDFFNVKVIALSSYEEKEEQFKEEVAQLRQQFFHSISPGGLAGDRRGVVPASGFSFSAQQIWKIIKENKDLDLPAHKVMVATVRCEEIAAEKLRKLSSDKDWLELLEAVQAGPASGFGKKLSSILETYLSEYDMEAIYFDEGVRNAKRQQLETKALDLVHPTYLTMLEHLHSQTLENFKTRLEQALNCGEGFAASVRNCERSCMLEFDKGCADASIRQVEWDASKVREKLRHDIEAHASSVRNLKLSVMINKYEKQLTDALTEPVESLFEAGGKDTWASIRKLLEHEMELAVSGLTADFVSFELDKATIDKVVQNMREYARNIVEKKAREEAGKVLIRMKDRFSAVFNHDNDSMPRVWTGKEDIRAITKEARAASLKLLSVMAVIRLDEKPDKIESALFSSLVDGTVAVSSCQDRSVGPTSDLLASSTWQEVSPKDTLITPVQCKSLWRQFKTETEYAVTQAISIQEAHHKRNNNWLPPTWAIVAMIVLGFNEFMLLLKNPLYLVILFVVYLLSKALWVQMDITREFRNGTLAGILSISSRFLPTIMNLLRRLAEEAQGHPASEAPRTHSLDSQSFKNENWPNPISSMIPESSVSSNVSASDDGIEYSSPHLTRRRSEKVPEGKFSG >Manes.18G001501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:638889:642171:1 gene:Manes.18G001501.v8.1 transcript:Manes.18G001501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKNNENFIKKKINQCGFVDMLVVNPQGLAGGLVLAWTDYMSVSIVKYSSFFVHVSIMDQNSNVMFSAIFFYASCVDAECNDQFNFILEYSRVLNDTFILIGDFNCILHSWKRRVEGELIFRRLLFFPSFVNTLRVLDLSFKGPIFTWNNNRGDCFNIQERLDGSLASFLWCQFYPNAYVEHLEDIGSDHRPQLVCSSPPMAKAKKYYFDSRWCSNPTILVLVRNAWFQSFSGSVMFNSYVKIKACRKFIVDWHMNDTTNSKVINFQKSDIYFSSNVSLGQRNISRQNYQSLPLDHSKYFGLSYLIDRNVDGILMLDFMGIFQCIYLPIIAETLALQEALTGLLLHVSKNVTIEVNYLVVVNAVNFSIPEFSVASSIIFDYKTLISHGSNLCIR >Manes.11G129000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDTALDFLLPSWWEIKVTVAAAIFVISSYWFFAWSGGGGDSDSATGDRSQILDNSCNSGDGIDDRDKMQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLVFETVQHAHDVPFGSYFEIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLVFETVQHAHDVPFGSYFEIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDTALDFLLPSWWEIKVTVAAAIFVISSYWFFAWSGGGGDSDSATGDRSQILDNSCNSGDGIDDRDKMQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLVFETVQHAHDVPFGSYFEIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLVFETVQHAHDVPFGSYFEIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFCDLTREKEMNWHRCLSIFFWIAVVLPIVSSKEQDLGFTGLALCSDCNTFAEYVKNQDRRRSMAMMDTALDFLLPSWWEIKVTVAAAIFVISSYWFFAWSGGGGDSDSATGDRSQILDNSCNSGDGIDDRDKMQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLVFETVQHAHDVPFGSYFEIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAFCDLTREKEMNWHRCLSIFFWIAVVLPIVSSKEQDLGFTGLALCSDCNTFAEYVKNQDRRRSMAMMDTALDFLLPSWWEIKVTVAAAIFVISSYWFFAWSGGGGDSDSATGDRSQILDNSCNSGDGIDDRDKMQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.11G129000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29435655:29460121:-1 gene:Manes.11G129000.v8.1 transcript:Manes.11G129000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMDTALDFLLPSWWEIKVTVAAAIFVISSYWFFAWSGGGGDSDSATGDRSQILDNSCNSGDGIDDRDKMQLKGDHQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSTVLGSVTVPVEREGQTGAVWYTLDSPSGQVCLHIKTIKLPVNSSRVMNGYNRRRMSSDKQGPTLVHQKPGPLQTIFSLPADEIVEHSYSCALERSFLYHGRMYVSAWHICFHSNIFSKQMKVIVPLGDIDEIRRSQHAFINPAITIVLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHDMLEAEKKERAESALRAHSSSVRGSMRIPEDIVPKVEKHQAFIKEEVLVGIYNDVFPCTAEQFFNILLNDDTKFTYEYRAARKDTNLIMGQWHAAEEYDGQVREITFRTLCNSPMCPPDTAMTEYQHSVLTPDKKMLVFETVQHAHDVPFGSYFEIHCRWRVETIGENSSAIDIRVGAHFKKWCVMQSKIRAGAINEYKKEVEMMLEMAQSCIRSHFSSSETDKASSSPIVTPDSS >Manes.04G012300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1660872:1662265:1 gene:Manes.04G012300.v8.1 transcript:Manes.04G012300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLTEWLWYLFMQNEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQNLLAK >Manes.04G012300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1660957:1661941:1 gene:Manes.04G012300.v8.1 transcript:Manes.04G012300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLTENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQNLLAK >Manes.04G012300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1657973:1662372:1 gene:Manes.04G012300.v8.1 transcript:Manes.04G012300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLTENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQNLLAK >Manes.04G014301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1882224:1884390:1 gene:Manes.04G014301.v8.1 transcript:Manes.04G014301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTIKIMDKKSIVFQLIFLQFLSGLPSFNSMSSQTHCLQIERKALLDFKQSLTDPSGRFSSWVGEECCQWNGLTCNNLTGNVIKLDLQNPFQIDEAGHQESALRGKISSSLIYLEHLEYLDLSLNDFDGSQIPDFIGSFRSLNYLNLSHASFSGIIPPHLGNISSLHFLDLHAFSDSDHLLNAKDLHWLTGLSSLEYLDLGGIDLSSVVNWLEVVNMLPSLLELRLQDCNLQNFPHSLPFVNFTSLSVIDLSRNCFNSPMPAWLFNLTSLEEILFSSSNLIGPLPNTFTNLISLQHLDLSNQFLEGLLPSSLGNLWKLKSLRLSANNLTGNVIEFVDSLSGNNSLEVLDLTQNKFHGELPVSLGNLTSLRSFVLRQNMFWGSLPESIGRLSSLEELSMFGNPTNGSIPESIGLLSKLTVMNFGQTLWKGTISERHFLNLSRLENLEISSTFLKKSLNFNVDSKWNPPFKLKSIILAHIQAGPLFPEWVQTQNDLTRLFLNDIGISSTLPDGFWSWCSQNIDDLDLAHNQIRGTLPRSLHFQYAANVYLISNQFKGPLPMWTNLRRLYLWGNSFSGQIPENISEIMPKLRDLDLSENCLTGGIPPSIVNMRFSSFSSTAKLQQ >Manes.08G031400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3033013:3036137:1 gene:Manes.08G031400.v8.1 transcript:Manes.08G031400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRCLMMLFGYAYPAFECFKSMERNRVEIEELRFWCQYWVIIAIITVCERIGDTFISWLPMYGEVKLAFFIYLWYPKTKGTGYIYETLLRPFVVKHETDIDRKLLELRARAWDLAIYYWQNCTSLGQSAFFQVLDYLAAQSGKFSGTGAKKASKYEPSAPPVPGLSESPSMSKHRNGKWPPRPPQQPGSAIHRAVSESPNSNLVQLHLHEQRGFIQSVDPSTAPEYNASDKLQQDRMRLRRSKPI >Manes.08G031400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3033013:3036137:1 gene:Manes.08G031400.v8.1 transcript:Manes.08G031400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRCLMMLFGYAYPAFECFKSMERNRVEIEELRFWCQYWVIIAIITVCERIGDTFISWLPMYGEVKLAFFIYLWYPKTKGTGYIYETLLRPFVVKHETDIDRKLLELRARAWDLAIYYWQNCTSLGQSAFFQVLDYLAAQSGKFSGTGAKKASKYEPSAPPVPGLSESPSMSKHRNGKWPPRPPQQPGSAIHRAVSESPNSNLVQLHLHEQRGFIQSVDPSTAPEYNASDKLQQDRMRLRRSKPI >Manes.08G031400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3033013:3036137:1 gene:Manes.08G031400.v8.1 transcript:Manes.08G031400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRCLMMLFGYAYPAFECFKSMERNRVEIEELRFWCQYWVIIAIITVCERIGDTFISWLPMYGEVKLAFFIYLWYPKTKGTGYIYETLLRPFVVKHETDIDRKLLELRARAWDLAIYYWQNCTSLGQSAFFQVLDYLAAQSGKFSGTGAKKASKYEPSAPPVPGLSESPSMSKHRNGKWPPRPPQQPGSAIHRAVSESPNSNLVQLHLHEQRGFIQSVDPSTAPEYNASDKLQQDRMRLRRSKPI >Manes.08G031400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3033013:3036137:1 gene:Manes.08G031400.v8.1 transcript:Manes.08G031400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRCLMMLFGYAYPAFECFKSMERNRVEIEELRFWCQYWVIIAIITVCERIGDTFISWLPMYGEVKLAFFIYLWYPKTKGTGYIYETLLRPFVVKHETDIDRKLLELRARAWDLAIYYWQNCTSLGQSAFFQVLDYLAAQSGKFSGTGAKKASKYEPSAPPVPGLSESPSMSKHRNGKWPPRPPQQPGSAIHRAVSESPNSNLVQLHLHEQRGFIQSVDPSTAPEYNASDKLQQDRMRLRRSKPI >Manes.06G101600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23506094:23508913:1 gene:Manes.06G101600.v8.1 transcript:Manes.06G101600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNNSAISSYFGSHTQKNQESLSRRAFHVEPQPREKALLAEDPALKRFKSHKKSVWRLKRVGDVLTIVVVAGCCYEIYVRAVMREEARKKAGESA >Manes.06G101600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23506094:23508913:1 gene:Manes.06G101600.v8.1 transcript:Manes.06G101600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFNNSAISSYFGSHTQNQESLSRRAFHVEPQPREKALLAEDPALKRFKSHKKSVWRLKRVGDVLTIVVVAGCCYEIYVRAVMREEARKKAGESA >Manes.S022916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:241085:241897:-1 gene:Manes.S022916.v8.1 transcript:Manes.S022916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G069700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15973651:15985385:1 gene:Manes.07G069700.v8.1 transcript:Manes.07G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFGLYHLQPTNQLKKQSVHFPSPLNLSSLHSQFCLASSPLRLGSRLLGSGLLARAEDKARGYSSSSTQGQAQQPSSAKQLQELTTEAGSCDPLCSLDETSSQDFAATYQPKTDLLKALAVFAAAATGAAAINHSWVAANQDLAMALLFGIGYAGIIFEESLAFNKSGVGLLMAVSLWTVRSIGAPSTDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRKPKTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTMKGLFVPSAVSLAIPLALMSLTSEVNGKEQETPNVLASERMAPRGQLVFSVGIGALIFVPVFKALTGLPPYMGMLLGLGVLWIVTDAIHYGESEGQRLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILRELANYLDAHIPNVELVATAIGAVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLVAYCAGTGGSMLIIGSAAGVAYMGMEKVDFFWYFRKVSGFAFAGYAAGIAAYLAVHKLNISLPTTLAEVPFLSGS >Manes.07G069700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:15974505:15985385:1 gene:Manes.07G069700.v8.1 transcript:Manes.07G069700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFGIGYAGIIFEESLAFNKSGVGLLMAVSLWTVRSIGAPSTDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDSHQGFKLVTDNITTRKPKTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTMKGLFVPSAVSLAIPLALMSLTSEVNGKEQETPNVLASERMAPRGQLVFSVGIGALIFVPVFKALTGLPPYMGMLLGLGVLWIVTDAIHYGESEGQRLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILRELANYLDAHIPNVELVATAIGAVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLVAYCAGTGGSMLIIGSAAGVAYMGMEKVDFFWYFRKVSGFAFAGYAAGIAAYLAVHKLNISLPTTLAEVPFLSGS >Manes.09G036100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6923105:6925019:1 gene:Manes.09G036100.v8.1 transcript:Manes.09G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRRASNPLKVFSNYLEANSGSICSEFSLVGRSISSQASAKSGESENAYFSLPGSSKIGLPESRVLYTVLRSPHIDKKSREQFFMEIKKTFMVMKTESNELRKKLFWLKRQRLFGAQYEIILSCKTTLDKGKLQKLLQ >Manes.16G076400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28057985:28061839:-1 gene:Manes.16G076400.v8.1 transcript:Manes.16G076400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAETQPQEKATPEAAAVEKKRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPPKPAVSSAAKDLISQMLVKDSAQRLPLHKLLEHSWIVQNADPSGTYRA >Manes.14G157201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20220718:20222212:-1 gene:Manes.14G157201.v8.1 transcript:Manes.14G157201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCKLLFALLLIFFFVLPPSSNAHLLKACQFQAIYNLGDSISDTGNLIHEDPASVFGRLPYGQNFYRNATGRCSNGLLIIDFIAKSAGIPLLDAYLNASSSKTHGVNFAVAGSNALPVEFLAENRVIAPVTNSSLSTQLGWMDTHFNSTCQNSKACTEKHKKSLFMVGEIGGNDYNYAFFQGKTIDELNSMVPNVVKAIKEAVMRVIGFGAARVVVPGNFPIGCMPIYLTGFHTNDSGAYDEFHCLKGLNNFSMFHNEQLQQAIEELQQEHPHVIIVYGDYYNAFKWVLQKAAILGFDTKSLQKACCGSGGDYDFSLERLCGAPNVQVCDKPQERMSWDGIHLTQKAYFFTARWLIRDIFRKLQCVS >Manes.15G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3138551:3145392:-1 gene:Manes.15G040500.v8.1 transcript:Manes.15G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKTIVWFRRDLRIEDNPALATAARDGCVFPVFIWCPQEEGQFYPGRVSRWWLKQSLAHFGQSLKSLGAELILIKTHSTLSALLDCINAVGATRVVFNHLYDPVSLVRDHNIKEKLLELGITVQSYNGDLLCEPWEIYDESGNAFVRFDSFWDKSLHMQMEPVSHLPPWRLLPAPGKFEKCSIEELGLEDETEKSSNSLLGRGWSPGWSNADKALTEFVEQHLIDYSKNRLKVGANSSSLLSPYLHFGELSIRKVFQCVRIKQLLWVKEENSIGKESVTLFLRSIGLREYSRYLCFNFPFTHERSLLSNLKYFPWDVNQGNFKAWRQGRTGYPLVDAGMRELWATGWIHNRIRVIVSSFAVKVLLLPWRWGMKYFWDTLLDADLESDILGWQYISGSLPDGHELQRLDSPEIQGSKFDPEGEYVRQWLPELARMPTEWIHHPWDAPLLVLKAAGVELGQNYPKPIIELDLARERLTGAIFKMWEMEASARASDSGGTNEVVVDNTVGTGNLAIPKVMLKEKAPCSTISSNDQKVPTIQNPKCNPAHKKRSKYIEEERPNRDKMHKGNGIKGTSRTDEDLCSTAESSATEKQATSRFSFSVPQYCSSSESQPLHEVESSDVRQPLQVQIDREHVSSKDVAAGT >Manes.02G118200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9056229:9063371:1 gene:Manes.02G118200.v8.1 transcript:Manes.02G118200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAGFIAEPVDRVAKFDGSASKVRSFLKKLARKASKTSIENRGSFSLGHEHEEKKTIDQSHLFRDPTLNSNVVKTAPTTKALLSSEENIGVLSSSTSSCSTSQHPISVVSDVDYDDKLNADEGTLCWNLLLSRFFFDAKSSPRIISSLQARIQRTLSNMRTPTYIGQVVCTDLGLGSLPPYIHCIRVLPTDMNEVWAWEVDFEYRAGLVLDIETRLEVKNLQKDMVNTNSESSSVGDVSTDLLEGFEYFGKQLNLSEGTADAQEWENERNHKLDGLKNSTSYSSTSTNVSRWKCILNSIAKQVSQVPLSLSIRVASLRGTLRLHIKPPPSDQLWYGFTSMPDIEFDLESSIGEHKITNGHVALFLINRFKASMRETMVLPNCESLYIPWMLAEKDDWIPRKVAPFMWLSREATSDHATAREVDGSQPDKAKSEAAPHRRASKNDPENKHLKAECSQQSFSDYSDAVESSLSSTKPSTQSSNFSQELTDPLLASYEPQAISQQSKGMSERQSPSRSLVDAEKQNHSAVEEDDSKLKRMGRRARMLDLGKKMGDKLEEKRRHIEEKGRSIVEKMRGP >Manes.02G118200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9056229:9063371:1 gene:Manes.02G118200.v8.1 transcript:Manes.02G118200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLLVFLAGFSVGAFAVVALEVLGVYVFFKRLNRKIPQEQLKSSPHSSHKDLDPQQSLDHFYNKKGVVWVLESDKVPRNWVVENVLNEQKKKKDLFEVNPVKKFAKIKDRVLILTDSDGSHASFSLKGCIVEAVSASDLSTRKWAKRFPIKVESKTSIIYDASKTVYIYLDTSWEKESWCKALRLASCDDKEKLNWFTKLSKEFHRYLASLNTGYPSFMKPSAGFIAEPVDRVAKFDGSASKVRSFLKKLARKASKTSIENRGSFSLGHEHEEKKTIDQSHLFRDPTLNSNVVKTAPTTKALLSSEENIGVLSSSTSSCSTSQHPISVVSDVDYDDKLNADEGTLCWNLLLSRFFFDAKSSPRIISSLQARIQRTLSNMRTPTYIGQVVCTDLGLGSLPPYIHCIRVLPTDMNEVWAWEVDFEYRAGLVLDIETRLEVKNLQKDMVNTNSESSSVGDVSTDLLEGFEYFGKQLNLSEGTADAQEWENERNHKLDGLKNSTSYSSTSTNVSRWKCILNSIAKQVSQVPLSLSIRVASLRGTLRLHIKPPPSDQLWYGFTSMPDIEFDLESSIGEHKITNGHVALFLINRFKASMRETMVLPNCESLYIPWMLAEKDDWIPRKVAPFMWLSREATSDHATAREVDGSQPDKAKSEAAPHRRASKNDPENKHLKAECSQQSFSDYSDAVESSLSSTKPSTQSSNFSQELTDPLLASYEPQAISQQSKGMSERQSPSRSLVDAEKQNHSAVEEDDSKLKRMGRRARMLDLGKKMGDKLEEKRRHIEEKGRSIVEKMRGP >Manes.02G118200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9056229:9063371:1 gene:Manes.02G118200.v8.1 transcript:Manes.02G118200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWLLVFLAGFSVGAFAVVALEVLGVYVFFKRLNRKIPQEQLKSSPHSSHKDLDPQQSLDHFYNKKGVVWVLESDKVPRNWVVENVLNEQKKKKDLFEVNPVKKFAKIKDRVLILTDSDGSHASFSLKGCIVEAVSASDLSTRKWAKRFPIKVESKTSIIYDASKTVYIYLDTSWEKESWCKALRLASCDDKEKLNWFTKLSKEFHRYLASLNTGYPSFMKPSAGFIAEPVDRVAKFDGSASKVRSFLKKLARKASKTSIENRGSFSLGHEHEEKKTIDQSHLFRDPTLNSNVVKTAPTTKALLSSEENIGVLSSSTSSCSTSQHPISVVSDVDYDDKLNADEGTLCWNLLLSRFFFDAKSSPRIISSLQARIQRTLSNMRTPTYIGQVVCTDLGLGSLPPYIHCIRVLPTDMNEVWAWEVDFEYRAGLVLDIETRLEVKNLQKDMVNTNSESSSVGDVSTDLLEGFEYFGKQLNLSEGTADAQEWENERNHKLDGLKNSTSYSSTSTNVSRWKCILNSIAKQVSQVPLSLSIRVASLRGTLRLHIKPPPSDQLWYGFTSMPDIEFDLESSIGEHKITNGHVALFLINRFKASMRETMVLPNCESLYIPWMLAEKDDWIPRKVAPFMWLSREATSDHATAREVDGSQPDKAKSEAAPHRRASKNDPENKHLKAECSQQSFSDYSDAVESSLSSTKPSTQSSNFSQELTDPLLASYEPQAISQQSKGMSERQSPSRSLVDAEKQNHSAVEEDDSKLKRMGRRARMLDLGKKMGDKLEEKRRHIEEKGRSIVEKMRGP >Manes.04G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2758389:2761220:-1 gene:Manes.04G023700.v8.1 transcript:Manes.04G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEDGYSVPSSIRGFVHQEPTPNPNPNPDLTMKKKRNLPGTPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRSSKEIRKKVYICPEKSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVSAAINANFRNDLINGAPTNSINPHQSTGISQFSSVFRPEFANGSELVADLSTVDGQKSRLPIWLDHANPQMNLINSNVFLATNSACLPELAQTPQMDMFGPATSQAQWFNKYQEAPFTGGSNLSVPALPLGLKEEEGNEGDLSESAASLYSSNQHQQQRNSAHMSATALLQKAAQMGSTRSNGIPLMSPPSLPNVSNLNSYNQSKSIETFKFLSRQPNQAAENFNELANSLSPASTPATLLGDSNSSSILGSDNATKNLNHLMMQSNRKQNHGSSSEIEGSLTRDFLGVGGETRRPLLQQEIAKFASISSGMDLSQYCSGNR >Manes.05G187400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30824044:30830326:-1 gene:Manes.05G187400.v8.1 transcript:Manes.05G187400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDETQLNLAEDRLCQSGLNSQVGLVIGKLSSTLDRGFVYDLVPTPPNDAGEPACSLIDATTIANTTFKDEKRKGSKPVSQAADSSTLAIDKDWVAEHARQVSRMLLGGVKVVGVYVWISDTSFKNSTITLCQLLQTVKEVAEAAPVLDTDYDKRLLIHISHSPRRWTCRNCVLSSNITSSSIRPCDFKMGRVLSSLQKFRCTYNFKLSLPVFHENASNASTLSEILHHGISVHAKELRSANALIDGNLVVNGEPCTTGDLHEIELLVPFMKSTEAEACSQKDVVGVLMFNGSVCSFAYLNSKEPVSQAVADIKEDIIGSLQSRLDIICDEADEDLGPLDVDDRETSREKLPEKPVSKLVLCLLRKTCNLAFPRRIFIPWLAGTFICDYLHPSETLEVLKDHCIELMSMEAPIDALTILQPEVEAPSVTAKSFWDVAVPGHSASSFSVQKIDTSAVVSSSRENIKSINFNILAAVFILLLSIFVGFLLLKRS >Manes.05G187400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30824044:30830326:-1 gene:Manes.05G187400.v8.1 transcript:Manes.05G187400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGVKVVGVYVWISDTSFKNSTITLCQLLQTVKEVAEAAPVLDTDYDKRLLIHISHSPRRWTCRNCVLSSNITSSSIRPCDFKMGRVLSSLQKFRCTYNFKLSLPVFHENASNASTLSEILHHGISVHAKELRSANALIDGNLVVNGEPCTTGDLHEIELLVPFMKSTEAEACSQKDVVGVLMFNGSVCSFAYLNSKEPVSQAVADIKEDIIGSLQSRLDIICDEADEDLGPLDVDDRETSREKLPEKPVSKLVLCLLRKTCNLAFPRRIFIPWLAGTFICDYLHPSETLEVLKDHCIELMSMEAPIDALTILQPEVEAPSVTAKSFWDVAVPGHSASSFSVQKIDTSAVVSSSRENIKSINFNILAAVFILLLSIFVGFLLLKRS >Manes.05G187400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30824044:30830326:-1 gene:Manes.05G187400.v8.1 transcript:Manes.05G187400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDETQLNLAEDRLCQSGLNSQVGLVIGKLSSTLDRGFVYDLVPTPPNDAGEPACSLIDATTIANTTFKDEKRKGSKPVSQAADSSTLAIDKDWVAEHARQVSRMLLGGVKVVGVYVWISDTSFKNSTITLCQTVKEVAEAAPVLDTDYDKRLLIHISHSPRRWTCRNCVLSSNITSSSIRPCDFKMGRVLSSLQKFRCTYNFKLSLPVFHENASNASTLSEILHHGISVHAKELRSANALIDGNLVVNGEPCTTGDLHEIELLVPFMKSTEAEACSQKDVVGVLMFNGSVCSFAYLNSKEPVSQAVADIKEDIIGSLQSRLDIICDEADEDLGPLDVDDRETSREKLPEKPVSKLVLCLLRKTCNLAFPRRIFIPWLAGTFICDYLHPSETLEVLKDHCIELMSMEAPIDALTILQPEVEAPSVTAKSFWDVAVPGHSASSFSVQKIDTSAVVSSSRENIKSINFNILAAVFILLLSIFVGFLLLKRS >Manes.05G187400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30824044:30830326:-1 gene:Manes.05G187400.v8.1 transcript:Manes.05G187400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGVKVVGVYVWISDTSFKNSTITLCQTVKEVAEAAPVLDTDYDKRLLIHISHSPRRWTCRNCVLSSNITSSSIRPCDFKMGRVLSSLQKFRCTYNFKLSLPVFHENASNASTLSEILHHGISVHAKELRSANALIDGNLVVNGEPCTTGDLHEIELLVPFMKSTEAEACSQKDVVGVLMFNGSVCSFAYLNSKEPVSQAVADIKEDIIGSLQSRLDIICDEADEDLGPLDVDDRETSREKLPEKPVSKLVLCLLRKTCNLAFPRRIFIPWLAGTFICDYLHPSETLEVLKDHCIELMSMEAPIDALTILQPEVEAPSVTAKSFWDVAVPGHSASSFSVQKIDTSAVVSSSRENIKSINFNILAAVFILLLSIFVGFLLLKRS >Manes.04G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33982217:33986032:1 gene:Manes.04G141100.v8.1 transcript:Manes.04G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGSRDACPMVKNILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKSNARTEAEITMFDNYIVVYKFVQDLHFFVTGGDDENELILASVLQGFFDSVSLLLRSTVDKREALENLDLIFLCLDEIVEKGMILETDANVIAGKVAVNSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.04G141100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33982217:33984839:1 gene:Manes.04G141100.v8.1 transcript:Manes.04G141100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGSRDACPMVKNILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKSNARTEAEITMFDNYIVVYKFVQDLHFFVTGGDDENELILASVLQGFFDSVSLLLRSTVDKREALENLDLIFLCLDEIVEKGYGVLFLNLYIAS >Manes.09G095432.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28814764:28816395:1 gene:Manes.09G095432.v8.1 transcript:Manes.09G095432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQDKRWSLKGMTALVTGGTRGIGHAIVEEFAGFGVTVHTCSRNQKELDQCLQEWKNKGLKVTGSMCDLSQRDQRDKLMETVSSIFHGKLNILVNNAAVGLLKGAVDFTAEDISILMSTNFESVFHLCQLSYPMFKASGYGSVVNISSNSSFVAIPSLSVYEASKGAVNQITKNLACEWAKDNIRVNAIAPGLIRTSLYEFGKQAYPETAKFLNRYITQTPICRPGEPYEISSMVAFLCFPTASFITGQVIVVDGGFTINGFCESNNS >Manes.09G095432.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28814764:28816395:1 gene:Manes.09G095432.v8.1 transcript:Manes.09G095432.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQDKRWSLKGMTALVTGGTRGIGHAIVEEFAGFGVTVHTCSRNQKELDQCLQEWKNKGLKVTGSMCDLSQRDQRDKLMETVSSIFHGKLNILVNNAAVGLLKGAVDFTAEDISILMSTNFESVFHLCQLSYPMFKASGYGSVVNISSNSSFVAIPSLSVYEASKVNQITKNLACEWAKDNIRVNAIAPGLIRTSLYEFGKQAYPETAKFLNRYITQTPICRPGEPYEISSMVAFLCFPTASFITGQVIVVDGGFTINGFCESNNS >Manes.04G058300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19081459:19104518:1 gene:Manes.04G058300.v8.1 transcript:Manes.04G058300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVLATSSTTNPDMPRQPSLCSSLSTLLADLQNQNQFSSNSQSPLLSATMDDLLKNIYSYPTPPTPDDPHAPPFSGGASISRDGSFPMPKEAASKSVDDVWKEIVAGGDHRRDENGGGGGIEGMTLEDFLTKAGAVREEDVRGVGIPVQGLGNGMMVVAEGGKGRQKRRAVEEPPMDKATQQKQRRMIKNRESAARSRERKQAYTIELESLVTQLEEENSRLLREEVEQKKKRFKELMENLIPVVEKRRPPRVLRRVNSVQW >Manes.04G058300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19081731:19083652:1 gene:Manes.04G058300.v8.1 transcript:Manes.04G058300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVLATSSTTNPDMPRQPSLCSSLSTLLADLQNQNQFSSNSQSPLLSATMDDLLKNIYSYPTPPTPDDPHAPPFSGGASISRDGSFPMPKEAASKSVDDVWKEIVAGGDHRRDENGGGGGIEGMTLEDFLTKAGAVREEDVRGVGIPVQVGAAVGAYGVDSNSKITNENNSNYDTGEFQGLGNGMMVVAEGGKGRQKRRAVEEPPMDKATQQKQRRMIKNRESAARSRERKQAYTIELESLVTQLEEENSRLLREEVNFLRTFVL >Manes.04G058300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19081459:19104518:1 gene:Manes.04G058300.v8.1 transcript:Manes.04G058300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVLATSSTTNPDMPRQPSLCSSLSTLLADLQNQNQFSSNSQSPLLSATMDDLLKNIYSYPTPPTPDDPHAPPFSGGASISRDGSFPMPKEAASKSVDDVWKEIVAGGDHRRDENGGGGGIEGMTLEDFLTKAGAVREEDVRGVGIPVQVGAAVGAYGVDSNSKITNENNSNYDTGEFQGLGNGMMVVAEGGKGRQKRRAVEEPPMDKATQQKQRRMIKNRESAARSRERKQAYTIELESLVTQLEEENSRLLREEVEQKKKRFKELMENLIPVVEKRRPPRVLRRVNSVQW >Manes.09G077799.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21500979:21502882:-1 gene:Manes.09G077799.v8.1 transcript:Manes.09G077799.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKVTVMVIKVDLGCEKCQKKIKKVLCKIPQIQNQIYDEKANTVTITVVCCSPEKIKKKICCKGGDSVKGIEIKVPEKPKPQEKPKEPEKPKQPEKPKEPEKPKEKPKEPEKPKQPEKPKEPEKPKQAEKPKAPAPAPAPAPAPAPAPAPPPKAPELIPDYPPPVRACCMECYQGHGGGPCYHGYGRPLPCYESYGTPVPCYEAYGRPVYDSWGGGGGFNGCRRGCYVGRCDCLSEENPSACSVM >Manes.16G040300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5503586:5505131:-1 gene:Manes.16G040300.v8.1 transcript:Manes.16G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTIRCCISCILPCGALDVIRIVHSNGRVEEISGTIRASEIMKAHPKHVLKKPCSPSDDGMVPKIVTVPPDAELQRGKIYFLMPVPSTPEKKPPRSRNSNNRRKKRENHNNKDLSIIETNSLNNNVVSNSISMTTNLLISDRYLSEILSEKLSTQRDRRRGRVGVWRPHLESIYEMPNDA >Manes.09G097700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498988:29522111:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAKLRDFIVGCTKSVSSNHILHSYGCRRPANLLTLILSVPQSSIFTRPFSGSAAEQFSDDEYECDFETHKASSVANVDEWKWKLSLLLRNETDQEIVSRDRKDRRDYEQISNLATRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVESLLQEHLDRTRLLSGEAGDCADDSKPIDQVDDFSPDENPDSFLDGSVMEKVLQRRSLRMRNMQRAWEESPEGKKMMGFRKSLPAFKEKEKLLQAIARNQVIVVSGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVADRVSAERGEPLGETVGYKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.09G097700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498992:29522111:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAKLRDFIVGCTKSVSSNHILHSYGCRRPANLLTLILSVPQSSIFTRPFSGSAAEQFSDDEYECDFETHKASSVANVDEWKWKLSLLLRNETDQEIVSRDRKDRRDYEQISNLATRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVESLLQEHLDRTRLLSGEAGDCADDSKPIDQVDDFSPDENPDSFLDGSVMEKVLQRRSLRMRNMQRAWEESPEGKKMMGFRKSLPAFKEKEKLLQAIARNQVIVVSGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVADRVSAERGEPLGETVGYKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.09G097700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498971:29522111:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAKLRDFIVGCTKSVSSNHILHSYGCRRPANLLTLILSVPQSSIFTRPFSGSAAEQFSDDEYECDFETHKASSVANVDEWKWKLSLLLRNETDQEIVSRDRKDRRDYEQISNLATRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVESLLQEHLDRTRLLSGEAGDCADDSKPIDQVDDFSPDENPDSFLDGSVMEKVLQRRSLRMRNMQRAWEESPEGKKMMGFRKSLPAFKEKEKLLQAIARNQVIVVSGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVADRVSAERGEPLGETVGYKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.09G097700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498858:29522115:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.09G097700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498988:29522111:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAKLRDFIVGCTKSVSSNHILHSYGCRRPANLLTLILSVPQSSIFTRPFSGSAAEQFSDDEYECDFETHKASSVANVDEWKWKLSLLLRNETDQEIVSRDRKDRRDYEQISNLATRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVESLLQEHLDRTRLLSGEAGDCADDSKPIDQVDDFSPDENPDSFLDGSVMEKVLQRRSLRMRNMQRAWEESPEGKKMMGFRKSLPAFKEKEKLLQAIARNQVIVVSGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVADRVSAERGEPLGETVGYKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.09G097700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498858:29522115:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADRVSAERGEPLGETVGYKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.09G097700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498992:29522111:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADRVSAERGEPLGETVGYKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.09G097700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498992:29522111:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADRVSAERGEPLGETVGYKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.09G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29498992:29522111:-1 gene:Manes.09G097700.v8.1 transcript:Manes.09G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAKLRDFIVGCTKSVSSNHILHSYGCRRPANLLTLILSVPQSSIFTRPFSGSAAEQFSDDEYECDFETHKASSVANVDEWKWKLSLLLRNETDQEIVSRDRKDRRDYEQISNLATRMGLYSEMYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVESLLQEHLDRTRLLSGEAGDCADDSKPIDQVDDFSPDENPDSFLDGSVMEKVLQRRSLRMRNMQRAWEESPEGKKMMGFRKSLPAFKEKEKLLQAIARNQVIVVSGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVADRVSAERGEPLGETVGYKVRLEGMRGKNTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPKIHIPGFTYPVNAHFLEDVLEMTGYKLTSFNQIDDYGQEKVWKTQKQLAPRKRKNQIASLVEDTLNKSSFENYSSRVRDSLAYWTSDGIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQKLIFERPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPKCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAEFLSAALQPPEPLAVQNAIDFLKMIGALNEKENLTNLGKYLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILRDAGLIDVDAGANNRLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPFPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALSCGVQAGHLKMLHGYVDFFMDPSLAECYLKLKEELVNLIQEKLQDPTLDIYKEGKYLLLAVQELVSGDQCEGRFVFGRESKKPKESSENSRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPRKNKQLAERDAAIEALAWLTHTSENNQDEHNDSPPDVTDNMLKLLGKRRRSKRNSG >Manes.02G201000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19649991:19652250:1 gene:Manes.02G201000.v8.1 transcript:Manes.02G201000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSPQFAMKAYLHSLQLSRREDNTSSYGSTKLVEPKCMEFISALAAGKQAKLMVEITTQGITPLTISLAVAAKQAGGKLICILPHHHQQQNFINKCRNHDLHLPDLEDLEDVIEFVPGGNPFQVAMQYKKIDFLVVDGKLEGHLKLLEMVDLNPSGCLIVGHNLQYREYEVSFGQVLNRKKGIDCVSLPIGEGMELTRIESFTMRKCRRFKRFHVIFEN >Manes.14G013500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1215073:1220050:1 gene:Manes.14G013500.v8.1 transcript:Manes.14G013500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVIIVAVDASKEITDYALEWTFRNVTKAMDSLTILALLPCPRPPSSANHLLSCLLRKWGFGDRDKVKNASDYNGFNKTVQQDSFRRINNVCMQMMQQLCLSHDKQVHTQVKIVADAQLGAVATEARELEANWVILDRCLKKEGDFCLKQLTCNIVIIDHAIPKLLSAVNPLAGKRLSVSAMTRSSLGIESTVFNSESLSSKGKEQFYKAGSPSTIKSRSHAPILHLNSDYFHQEVEVQTTFSPSTSYAQSDLIHNESAFKIGDPLDGKIKRSSGLLKTKFDMETPNVIKATQTVSVPTRRSIDSPRLLRKPESPNQLNNRNYCMIIPGEEKPAFPSSPTFQRISSIRKAMPLSIKHPPTPPPLCSICKHKAPIFGKATRKFSYREIESATDGFSRDNLLADGGYGSVYKGILSDGQVVAVKQYKAFSAQGASEFCSEVEILSCAQHRNLVMLVGYCIEREWLLIYEFACNGSLDKHLYGTETNEVMAWHNRMKVAIGAARGLRYLHEDCRVGCIVHRDFRPNNILLTHDFEPMVGDFGLARWQADGQSAVETRVIGSFGYLAPEYTQTGLITEKTDVYAFGVVLLELISGFKATEFSRITGQPFVQEWGCLLLERKMIDAIIDPQLKQNYEEKEVERMMYAASLCISPKPEKRSRMSKVLKILEGDISTDLACNYGQHSTSYLKQYAHNLCVTESPVIPSLDHSPSSSILMQTMHYMNLSPSRKCTDRNNGTFKTLVPFKEEETVRGVQELKQPESNISGEYRAYLQGSLAKFIQNLNGH >Manes.14G013500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1215093:1220050:1 gene:Manes.14G013500.v8.1 transcript:Manes.14G013500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEVIIVAVDASKEITDYALEWTFRNVTKAMDSLTILALLPCPRPPSSANHLLSCLLRKWGFGDRDKVKNASDYNGFNKTVQQDSFRRINNVCMQMMQQLCLSHDKQVHTQVKIVADAQLGAVATEARELEANWVILDRCLKKEGDFCLKQLTCNIVIIDHAIPKLLSAVNPLAGKRLSVSAMTRSSLGIESTVFNSESLSSKGKEQFYKAGSPSTIKSRSHAPILHLNSDYFHQEVEVQTTFSPSTSYAQSDLIHNESAFKIGDPLDGKIKRSSGLLKTKFDMETPNVIKATQTVSVPTRRSIDSPRLLRKPESPNQLNNRNYCMIIPGEEKPAFPSSPTFQRISSIRKAMPLSIKHPPTPPPLCSICKHKAPIFGKATRKFSYREIESATDGFSRDNLLADGGYGSVYKGILSDGQVVAVKQYKAFSAQGASEFCSEVEILSCAQHRNLVMLVGYCIEREWLLIYEFACNGSLDKHLYGTETNEVMAWHNRMKVAIGAARGLRYLHEDCRVGCIVHRDFRPNNILLTHDFEPMVGDFGLARWQADGQSAVETRVIGSFGYLAPEYTQTGLITEKTDVYAFGVVLLELISGFKATEFSRITGQPFVQEWGCLLLERKMIDAIIDPQLKQNYEEKEVERMMYAASLCISPKPEKRSRMSKVLKILEGDISTDLACNYGQHSTSYLKQYAHNLCVTESPVIPSLDHSPSSSILMQTMHYMNLSPSRKCTDRNNGTFKTLVPFKEEETVRGVQELKQPESNISGEYRAYLQGSLAKFIQNLNGH >Manes.14G013500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1215092:1220050:1 gene:Manes.14G013500.v8.1 transcript:Manes.14G013500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMQQLCLSHDKQVRNSCVVFFFFFWPHCIVRTVINFSQFLMSNIQVHTQVKIVADAQLGAVATEARELEANWVILDRCLKKEGDFCLKQLTCNIVIIDHAIPKLLSAVNPLAGKRLSVSAMTRSSLGIESTVFNSESLSSKGKEQFYKAGSPSTIKSRSHAPILHLNSDYFHQEVEVQTTFSPSTSYAQSDLIHNESAFKIGDPLDGKIKRSSGLLKTKFDMETPNVIKATQTVSVPTRRSIDSPRLLRKPESPNQLNNRNYCMIIPGEEKPAFPSSPTFQRISSIRKAMPLSIKHPPTPPPLCSICKHKAPIFGKATRKFSYREIESATDGFSRDNLLADGGYGSVYKGILSDGQVVAVKQYKAFSAQGASEFCSEVEILSCAQHRNLVMLVGYCIEREWLLIYEFACNGSLDKHLYGTETNEVMAWHNRMKVAIGAARGLRYLHEDCRVGCIVHRDFRPNNILLTHDFEPMVGDFGLARWQADGQSAVETRVIGSFGYLAPEYTQTGLITEKTDVYAFGVVLLELISGFKATEFSRITGQPFVQEWGCLLLERKMIDAIIDPQLKQNYEEKEVERMMYAASLCISPKPEKRSRMSKVLKILEGDISTDLACNYGQHSTSYLKQYAHNLCVTESPVIPSLDHSPSSSILMQTMHYMNLSPSRKCTDRNNGTFKTLVPFKEEETVRGVQELKQPESNISGEYRAYLQGSLAKFIQNLNGH >Manes.14G013500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1215072:1220050:1 gene:Manes.14G013500.v8.1 transcript:Manes.14G013500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMQQLCLSHDKQVRNSCVVFFFFFWPHCIVRTVINFSQFLMSNIQVHTQVKIVADAQLGAVATEARELEANWVILDRCLKKEGDFCLKQLTCNIVIIDHAIPKLLSAVNPLAGKRLSVSAMTRSSLGIESTVFNSESLSSKGKEQFYKAGSPSTIKSRSHAPILHLNSDYFHQEVEVQTTFSPSTSYAQSDLIHNESAFKIGDPLDGKIKRSSGLLKTKFDMETPNVIKATQTVSVPTRRSIDSPRLLRKPESPNQLNNRNYCMIIPGEEKPAFPSSPTFQRISSIRKAMPLSIKHPPTPPPLCSICKHKAPIFGKATRKFSYREIESATDGFSRDNLLADGGYGSVYKGILSDGQVVAVKQYKAFSAQGASEFCSEVEILSCAQHRNLVMLVGYCIEREWLLIYEFACNGSLDKHLYGTETNEVMAWHNRMKVAIGAARGLRYLHEDCRVGCIVHRDFRPNNILLTHDFEPMVGDFGLARWQADGQSAVETRVIGSFGYLAPEYTQTGLITEKTDVYAFGVVLLELISGFKATEFSRITGQPFVQEWGCLLLERKMIDAIIDPQLKQNYEEKEVERMMYAASLCISPKPEKRSRMSKVLKILEGDISTDLACNYGQHSTSYLKQYAHNLCVTESPVIPSLDHSPSSSILMQTMHYMNLSPSRKCTDRNNGTFKTLVPFKEEETVRGVQELKQPESNISGEYRAYLQGSLAKFIQNLNGH >Manes.03G090300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19909978:19918546:-1 gene:Manes.03G090300.v8.1 transcript:Manes.03G090300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEDLLAEEGFRGRRSGMTSRASFRAEAVIKSLHPKRDKSKADSPSSLLSHRIKTERTRSDVSRYILRGELTSDSSSRRRPTDNLVSREKIDGKSRTETWERLGHRVSTDIQNNKTRNSEDIEDSEITEIGIEEDERVKDIYSDKAYNSERSEKSSERNREKQTYIERKGSSIKIDQTVSSNSNENVLKCTSLRDSNKKSMRQPDPSHDSSIRSSKNAKNFEVDHGSKREDLSLQFSKLAFDEVAVRATVSILNGYIKRFLKDEEFRCMLRHNCFSSLSFTENGEGHKIESKVINNLEQAIEIVEKAAEEAASTKDLKKASLQLCMITSLNSNAMKDGYTSGTPYSRLSACAHLYLSVIYKLQKKDRVSAKYLLQVFCDSPFPARTLLLTELWDYLFSPHLSHLKGWYNQEADSLLNTPGKMTKLKLLDKVYNEILDSSTYQFAVYYKDWLTEGVEAPSLPSIHIPSMSVQEDQQTDSQDHSSGPSTSSDFFSPQPMVSKKLYESVFGHSSKPEIYEVEDDGETNSINNIATSSNGSAVEVKETLTYPSEIVKYLDQDIDNYACKNENNTILSDHGLLSASNEDWKLIKVNASPETDINSDRSNANGQQEPAGDGHMPNTFSHTEANELILKNLAKSVFELPQTEDSGDLTVSAFSHTSEPINVSASYEELYGTYEYFSKGSFFASIPQDFICPLSGKLFEEPVTLETGQTFEKEVIREWFNQGNRTCPGTKKALECLTVPCTNFILKRIIDSWKLEYCSHLLALASQIIRNSEKHESRQWNETAFLILEKLLTTFGREERLANAKHLISLGDGSCRNQIARKIDRQSLFDLLHSKQTNSRRNTVFLMTELCLSRRKDVKLFLSGWQNEEIMHTMHILLMYLQNSPPEQRPWVAVLLLHLDLLVEPQKYSIYRDEAVDAIAGSLEDSLTDGKILKKSCTALLALGGRFSSSGKSLTESWMLKQAGFNDNYETNSKEDNLSLNEPFLLEDEETVDEWLRNLSTCLLGSGKKSFLEAISKCLASGNLELVKACLTTIACLSCSLPALSDAELHLSAFSALLSSLKESLENGRRIEHKVLASISLLNFSKIPECRVLLMTIAEEIAVPLGSLVEVTWTAKQLYAIISGECL >Manes.03G090300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19909978:19918546:-1 gene:Manes.03G090300.v8.1 transcript:Manes.03G090300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEDLLAEEGFRGRRSGMTSRASFRAEAVIKSLHPKRDKSKADSPSSLLSHRIKTERTRSDVSRYILRGELTSDSSSRRRPTDNLVSREKIDGKSRTETWERLGHRVSTDIQNNKTRNSEDIEDSEITEIGIEEDERVKDIYSDKAYNSERSEKSSERNREKQTYIERKGSSIKIDQTVSSNSNENVLKCTSLRDSNKKSMRQPDPSHDSSIRSSKNAKNFEVDHGSKREDLSLQFSKLAFDEVAVRATVSILNGYIKRFLKDEEFRCMLRHNCFSSLSFTENGEGHKIESKVINNLEQAIEIVEKAAEEAASTKDLKKASLQLCMITSLNSNAMKDGYTSGTPYSRLSACAHLYLSVIYKLQKKDRVSAKYLLQVFCDSPFPARTLLLTELWDYLFSPHLSHLKGWYNQEADSLLNTPGKMTKLKLLDKVYNEILDSSTYQFAVYYKDWLTEGVEAPSLPSIHIPSMSVQEDQQTDSQDHSSGPSTSSDFFSPQPMVSKKLYESVFGHSSKPEIYEVEDDGETNSINNIATSSNGSAVEVKETLTYPSEIVKYLDQDIDNYACKNENNTILSDHGLLSASNEDWKLIKVNASPETDINSDRSNANGQQEPAGDGHMPNTFSHTEANELILKNLAKSVFELPQTEDSGDLTVSAFSHTSEPINVSASYEELYGTYEYFSKGSFFASIPQDFICPLSGKLFEEPVTLETGQTFEKEVIREWFNQGNRTCPGTKKALECLTVPCTNFILKRIIDSWKLEYCSHLLALASQIIRNSEKHESRQWNETAFLILEKLLTTFGREERLANAKHLISLGDGSCRNQIARKIDRQSLFDLLHSKQTNSRRNTVFLMTELCLSRRKDVKLFLSGWQNEEIMHTMHILLMYLQNSPPEQRPWVAVLLLHLDLLVEPQKYSIYRDEAVDAIAGSLEDSLTDGKILKKSCTALLALGGRFSSSGKSLTESWMLKQAGFNDNYETNSKEDNLSLNEPFLLPSLPSYLV >Manes.08G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2923799:2925085:1 gene:Manes.08G030100.v8.1 transcript:Manes.08G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDSTTSITRRLEGKVALITGGASGIGEATARLFVHHGAKVLIADIQDELGLSLCQEFSSQETISYVHCDVTSDTDVKNAVDTAISKYGKLDIMFNNAGIGGIAESGISTSSNENFKKVFDVNVFGAFLGAKHAARVMIPAKKGCIIFTSSGASVCAMEVASNAYAASKHAVVGLAKNLCVELGQYGIRVNCISPFGVATPLFLRNMTLGKKEAEELISSAGNLKGVVLEVEDIAEAALYFASEESKYVSGINLVVDGGYSLTNPTFANALKSLFS >Manes.15G170000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14824520:14829219:1 gene:Manes.15G170000.v8.1 transcript:Manes.15G170000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEMSKEGKFKENQEECTLDGTVDWHGHPAIKKKSGQWVAGIIILLNQGLATLAFFGVGVNLVLFLTRVLQQDNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLVLLSLSSYLFLIKPKGCGDESTPCGSHSSLEVAFFYLSIYLVALGNGGYQPNIATFGADQFDEEDPKEGHSKVAFFSYFYLALNLGSLFSNTILGYFEDGGKWALGFWVSTGSALAALVLFLGGTARYRHFKPSGNPLSRFCQVIVAATKKCSVNMPENAEDLYDVDGKGCSANGNRRILYTHGFKFLDRAAFISSRDMDDKRQGGHNPWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTISNFRIPPASMSSFDILSVALFIFLYRRVLDPLVSRIRNSKSKGLTELQRMGIGLVIAITAMVSAGIVECYRLKYARRDCTHCQGSSTLSIFWQVPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTVDHMPGWIPGNLNKGHLDRFYFLLAGLTTIDLAVYMACARWYKCIKLEGKSMENDDEDEDNFKV >Manes.05G049400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075833:4085803:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075833:4085803:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNLDTSSHKPPPLNALTNSFMTQNQLVDSLTAHISLYHSRSLPPNLNSNPNPRSSILKWFSSLSVHQRQAHLTTVDYKFTQLLIQMLGKVRTHGHGRFIILPDLPSTDLPSLCYKKSRGLLSRTAQSNESERLIFDSTRLFGSKEGEKIEECSCSIKCLDSVTVSEALVENVDKFVEAMDEVSNGGFLRGEESELGSDWVELEWLKAKGYYSIEAFVANRLEVALRLAWMNCSNCKKRGVKLKEKVTASGASANVFWRKKGCVDWWLNLDAETRRKFLTLTLGKAAKSLTLEIVKEASSALEDEMWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075833:4085803:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNLDTSSHKPPPLNALTNSFMTQNQLVDSLTAHISLYHSRSLPPNLNSNPNPRSSILKWFSSLSVHQRQAHLTTVDYKFTQLLIQMLGKVRTHGHGRFIILPDLPSTDLPSLCYKKSRGLLSRTAQSNESERLIFDSTRLFGSKEGEKIEECSCSIKCLDSVTVSEALVENVDKFVEAMDEVSNGGFLRGEESELGSDWVELEWLKAKGYYSIEAFVANRLEVALRLAWMNCSNCKKRGVKLKEKVTASGASANVFWRKKGCVDWWLNLDAETRRKFLTLTLGKAAKSLTLEIVKEASSALEDEMWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075833:4085803:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNLDTSSHKPPPLNALTNSFMTQNQLVDSLTAHISLYHSRSLPPNLNSNPNPRSSILKWFSSLSVHQRQAHLTTVDYKFTQLLIQMLGKVRTHGHGRFIILPDLPSTDLPSLCYKKSRGLLSRTAQSNESERLIFDSTRLFGSKEGEKIEECSCSIKCLDSVTVSEALVENVDKFVEAMDEVSNGGFLRGEESELGSDWVELEWLKAKGYYSIEAFVANRLEVALRLAWMNCSNCKKRGVKLKEKVTASGASANVFWRKKGCVDWWLNLDAETRRKFLTLTLGKAAKSLTLEIVKEASSALEDEMWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYRHFQKRILYWRMSWHPFLMMLMHV >Manes.05G049400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYRHFQKRILYWRMSWHPFLMMLMHV >Manes.05G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075833:4085776:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNLDTSSHKPPPLNALTNSFMTQNQLVDSLTAHISLYHSRSLPPNLNSNPNPRSSILKWFSSLSVHQRQAHLTTVDYKFTQLLIQMLGKVRTHGHGRFIILPDLPSTDLPSLCYKKSRGLLSRTAQSNESERLIFDSTRLFGSKEGEKIEECSCSIKCLDSVTVSEALVENVDKFVEAMDEVSNGGFLRGEESELGSDWVELEWLKAKGYYSIEAFVANRLEVALRLAWMNCSNCKKRGVKLKEKVTASGASANVFWRKKGCVDWWLNLDAETRRKFLTLTLGKAAKSLTLEIVKEASSALEDEMWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.05G049400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYRHFQKRILYWRMSWHPFLMMLMHV >Manes.05G049400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYRHFQKRILYWRMSWHPFLMMLMHV >Manes.05G049400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAVEMEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYRHFQKRILYWRMSWHPFLMMLMHV >Manes.05G049400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYRHFQKRILYWRMSWHPFLMMLMHV >Manes.05G049400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4075403:4084693:-1 gene:Manes.05G049400.v8.1 transcript:Manes.05G049400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMFKTGAEQPLTYIYAESMPQAVQKLSDDAEFGSPITSALPSGKAASLANLFNSLFVVRDLVTLILPGQHSEFDISKVFFSTLGSVSSISDCILRKVRALVMVISLDCTKLELLGEGNFKCLTSKPKEKLSAGSRKKKGKTHNMKKLNPAPGTVAKESSSGKSLKDTESTLAYSEKLDSSEFNESPNVPHGKEIHRDILSSAEHSQGLVLGKGRTAIRKNKKGKNKNKNASLNNLVEVRNSEGSAAKAPCLSVLSSDEHAKHGRLSDSSFIQNASNDNLFGDVTFALNMRLSSSDNLSSEEGIDTQSVQEDYFVGCNGGICHTGSEHQQSSNSLIEDETIPSRVEIVNVNMENNLTSHLVPVQELDTVSSNDDVNFKNQKAKAKSNLAEKSVETLSVKEESTLIQGQNKNFRDTRLTDPAECISYEWPSLTPVYFPSINSHLLPATDRLHLDVGHNWHNHIRQPFVPTVHQARNSPIESGYSRTLSRPLPMSLDWPPVVRSTYGLAPSMTCNYDSGFISRGQSVFQQSFTHNMPINAETGDDERKYSGDLIDASESTNAQEVMDEYESHWISEEELEVHAVSGIDYNQYFGGGVMYWNPSDYPGTGFSRPLSLSSDDSTWAWHEADINRAVDDMVAFSSSYSTNGLTSPTAASFCSPFDPLGPGHQALGYVVSGNEVPGKVLHSSSTATDTATEEDVTGSLANLSGDVEGKTGDSLPYPILPPIIIPTMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPRPPPPSPVGDSRKHRGFPTVRSGSSSPRHWSMRGWYHEGSNLEEACVRMDGAEVVWPSWRNKNLSSRSMVQPLPGGLLQDHLIAMSQLARDQEHPDISFPLQTPESQNCPARKASLSLMHSLLHDEIDSFCKQVAAENMEKKPFINWAVKRVTRSLQVLWPRSRTNIFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPIIMLVVEVPNDLINSASSNVQSPKEEQTRMTGEHENHVHSDIVGSEDSISPKCSQINDDSTKEVKSIRLDISFKSPSHTGLQTTELVKELTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRAINQNWGSLLIDFLYFFGNVFDPRRMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCTKAFSEAYSILENELASLPDDADACLKPPYRLLPKIIPSINSSQGI >Manes.07G063500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11656938:11659938:-1 gene:Manes.07G063500.v8.1 transcript:Manes.07G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRYYGSSRFSHFPTTFSLPPSIPLWDEVLDPRSHFVNKWNHIFLVTCMIALFLDPLYFFLPVIGGDACMAIDYGLGIWVTLARTVTDMFFFMHVIIKFRTAFVAPSSRVFGRGDLVMDPREIAMRYLRTEFIVDLAAALPLPQIIIWFTISRAKNRSTDHANHSVSLAVLIQYVPRIYVMFPLNRRIVKSTGVVAKTAWSGAAYNLLLYVLASHVLGASWYGASLQRQRECWERQCARERNRTHSPSCNRSFLDCATRNTPARNAWLKMTLLLTECDAKHDQNFQFGLFAEAFTNNVADVNFIDKYFYCLWWGLRNLSSYGQNLMASTYEGELLFSIGICIMGLVLFAHLIGNMQTYMQSTSARLEEWRIRRKDTEEWMSHRQLPPELQERVRRFVQYKWRATRGVDEESILKSLPLDLRRQIQRHLCLALVRRVPFFAQMDDQLLDAICERLVSSLNTKDTYIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNLIILRAGDFCGEELLTWALMPTSCLNLPSSTRTVKALTEVEAFALRAEDLTFVAKQFKHLHSKKLQHAFRYYSHQWRTWGACYIQAGWRRCRRRKLQMELARQESLYYAQVMEGEGEGDYDGEGSSPESANNAQHLGATILASKFAANTRRGMVNKGVIDDDTTLKMPKLFKPRELDFY >Manes.07G063157.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11091555:11093057:-1 gene:Manes.07G063157.v8.1 transcript:Manes.07G063157.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKGNSININFENETENNVNQNFQETQELHQNQASNFQGNTSQKTMRYHYEGHFIFSPNRIYENGRFMEKPNFDVDFISFFDILDDLKKDCGFDVIKGDKFYYLKADKALSDLDALIEVKDDTDVKNMMDSYKKFPSKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATAATGSNTIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQTIRSVSCCPLQVKQWNKITDDKLDHMWSTILVL >Manes.12G076500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9188046:9189537:1 gene:Manes.12G076500.v8.1 transcript:Manes.12G076500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRLSENPPPAASSSEEEEEEENDSVEKNDSEDEQKDVGDGDDDEEEQQESPVLNKSTVHGSPLKYNSASESDSESTQPSPSPSAFTIKLHKPSSKPNKSVSKRPAESDCIAGETPRKKKNKAIGGGDDEEVKKGSAIQRLWSEDDEIAILNGLSQYKSEKGSNPYADMGDFHEFIKKSLHVDVSKNQLMDKIRRLKKKYRDNIEKAENGRDPVFSKPHDLKSFELSKKFWDGDKNYEKNGTVNRSGKVNSSNDGGGSGGGDGGVRITLALACQKKGNELKARKQMQTSNKQVKIEENHQVKAEEMMQEKGEDVEEELWEKYPYLSESLEMGGLSEGAKVILRQQLGIIEKGKLKELDEKRKRLKQAELELFVQRMELAHEQVKLTLDSIKSEES >Manes.02G209900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24771023:24779170:-1 gene:Manes.02G209900.v8.1 transcript:Manes.02G209900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFEPDKNGSHQDLPPPPPLVPPDVVPLRVEPEPVKKKAVRVPIARRGLGSKGQKIPLVTNHFKVNVTNVEGYFFHYSVALFYEDGRPVDGKGIGRKVIDRVQETYDSELDGKQFAYDGEKSLFTVGSLPRNKLEFTVVLEDISSNRSNGNASPEDHGSPNQSDRKRLRRPYQSKTFKVEISFAAKIPMQAIANALRGQESENSQEAIRVLDIILRQHAAKQGCLLVRQNFFHNDPRNFADVGGGVLGCRGFHSSFRTTQGGLSLNIDVSTTMIIQPGPVVDFLIANQNARDPFQLDWAKAKRTLKNLRIKARPSNQEYRITGLSEKPCSEQTFQLKQKSGDGEPLELTVYEYFVNHRRIELRYSADLPCINVGKPKRPTYIPIELCDLVSLQRYTKALNTHQRASLVERSRQKPQERMSTLSNALKNSKYDAEPLLRSCGISISNSFAQVDGRVLPAPRLKVGNGEDFFPRNGRWNLNNKRLVEPCKIERWAVVNFSARCDVRNLVRDLTRCAEMKGISVEPPFDVFEESPQLRRAPPTVRVEKMFEEIQSKLPGAPKFLLCLLPERKNSDIYGPWKKKNLSEFGIFNQCLAPQRVNDQYLTNLLLKINAKLGGLNTLLAVEQTPSIPLVSKVPTIILGMDVSHGSPGHSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLFKRVSETDDEGMIRELLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWNPKFVVIVAQKNHHTKFFQPGAPENVPPGTVIDNKVCHPRNYDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGTFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Manes.10G036656.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3532589:3533733:1 gene:Manes.10G036656.v8.1 transcript:Manes.10G036656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHTYFYPYFINLQFSLLLAQTTCIAFRFHQSKSKSYKCICMPIYLLKPIEVTIRSGNEISIIAKNIVVEEIVSALAFAIYKKLSFILIRSMHLITDLWDSICTVVHADLHFH >Manes.05G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:344890:348675:1 gene:Manes.05G003900.v8.1 transcript:Manes.05G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRSFFFKSTKFLVFSFTLSSSIIFLAFFTVCLFKSSTLILQDASFRFNTTSLVGVTPISVQTLSGLPNNFSASGLTNTIFTHAHFSVSDNTSGFAIISVAADNDESKELKAEVDGDKDRVNGNFSTVQETVLAGQSSSEKLLVAESSEKVYDEKIKGISRGKTEVSSSGEIAEENEVTSIGKIEAPRAEGTGGKRAIATSVENIEAPRKGRIEEKKIRLTSVDKIEASSKGRIKERNTRGCDVTKGRWVYDESYPLYTNNSCPFIDEGFDCLGNGRLDKDYMKWRWQPQDCDIPRFNATKMLELIRGKRLVFVGDSINRNQWESMLCMLMGAVRDPKMVYETHGRRITKEKGNYCFKFVDYKCTVEYYVSHFLVHESKARVGQKRVQTLRIDAIDHGSSRWRGADILIFNTAHWWSHFKTKAGINYYQEGNQVYPKLDVSTAFRRALTTWASWVDKHINSRKTRVFFRSSAPSHFRGGQWNSGGHCEEATRPLNETFCVHAFEKNNITEDIIKHMRTPVTFLNITGLSEFRIDGHPSKYGKKPVKRYASGGQDCSHWCLPGIPDTWNQLLYFHLLYKQGDSFQ >Manes.08G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33204012:33205082:-1 gene:Manes.08G099600.v8.1 transcript:Manes.08G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDFTVKVLNVPPKVTVAELNTFFSYCGTVEKIQLHKNKDQLQWALVTFRQPYAYQTALLLNEASFAGHQIHITVPDLINETEKHEESTFIPATKAEAATERVASKGEVGMMKKTEDGVENYKLSGKGKMVMDRTRSAVNYAGQRMERIGTAIKSKDFVANGAQWISDLLDKASKRVSTLANGNASNPNSRKQK >Manes.09G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30571524:30575412:-1 gene:Manes.09G104600.v8.1 transcript:Manes.09G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIALFFPSSLFADDDDASSVEETNESQQSYVERKHSFPGMELLIREFSFHQLNANLLWPGTFAFAEWLVQHRSHTEGRRCIELGSGTGALAIFLSKSLNLDITTSDYNDQEIEENIAYNCAANGITPVLPHIKHSWGDTFPTADPDWDLVIASDILLYVKQYSNLIETLSFLLKSYKPRNDQVVTANEQNGGTFMGLPRPAFLMSWRRRIGKEDESLFFTGCEVAGLEVQHLGSRVYCIKPRNLQSKNQIELEKATA >Manes.07G028000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3094107:3096803:-1 gene:Manes.07G028000.v8.1 transcript:Manes.07G028000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHRHPMCAEIIEQFQQCHLDHPYGKFFGKCTDLKIKLDRCFREEKAVKRKANFEQSKKLKERLQTIRKEAAERMP >Manes.07G028000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3094155:3096732:-1 gene:Manes.07G028000.v8.1 transcript:Manes.07G028000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTLHRHPMCAEIIEQFQQCHLDHPYGKFFGKCTDLKIKLDRCFREEKAVKRKANFEQSKKLKERLQTIRKEAAERMP >Manes.03G034800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2939278:2941072:1 gene:Manes.03G034800.v8.1 transcript:Manes.03G034800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTVLKGKIEEIELPVAKVDIIISEWMGYFLLYENMLNTVLYARDKWLVSDGILLPDKASLYLTAIDDANYKEDKIEFWNNVYGFNMSCIKKQAIMEPLVDTVDQKQIVTDCQLLKIMNISQMVSGDASFTVPFKLEAERDDYIHALVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTILYLEDVLTICEGEVLSGNMTVAPDQKNPRNIDIMIKYALNGQRCVVSRTQYYKMR >Manes.10G058310.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13028870:13033216:-1 gene:Manes.10G058310.v8.1 transcript:Manes.10G058310.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCLDLGCVSVLDKHSTEIAVDSQTVERDIRETAASASKTGKNRSPKGVGQSTLNALNKFTSQIKKPTHRKSSPINWFPRKKVDSYLKRKIKMLQEIDGMNSTLDETLGDSNPHYSRVLREKIAAREAAHRAMEARKAALVEASWCRILRAARIQSKEAEELVLKAEKNATEAFEAATAMGVIMYDMPNCPRKPSQIETSTINGGRSTTHTVTASFETAFDVDKEVAAAVKIAFTRLATCPSFNKDEVKELLCKISQNPDMEDNHQELSSEHESESGSEPETVSQNVGFSAPEDDFSAIETRQRKCKKRRQSLEKLNMTKLVDIMLERLRCLKEDELSSLATIVATCGLNAALAEVESSKLHDPGTATDCTSNFNIPHRMSSAGPGTMRFSNLEHTRRKQAETELPSLDKFLVKHMSKLEREVQEAKNSKRNGSVQGDLENKDKNDNGKVNSEIEHDPLPDLGSILVKHSSKLEKEIEETKKNKGQNFEVAQKKPVNEVIPDLGSILMKHSSKLEKEIQQIRENSENLDGKEHERAPDKVVSRRKEDVPEVPSLDKFLIKHVSRLEKEVQEAKNKRKNDLFEEDRMINSNKKVNSSTSSSEAEKNTWSSDGERADKENVDLNKDPDVSVTCSEQDTKAGNNESEDSLGSILVKPVHRLEREKMQALTLKTSNVNRRHQNKHGGNSVKDYESLDKVLVKHVSKLEKEKMEFSLKGEELKMKTNGRNVQMQMSEEGGLDQILVKHKSRLEREKIAFSQQPGDQIRFSVSRRETRERELQEAWGGLSLGNSIRPHLSKLERDKAAWIKAEEEERRQATKEV >Manes.11G058300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7070599:7074865:-1 gene:Manes.11G058300.v8.1 transcript:Manes.11G058300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFITENGVSLLERRFLPALEKMGKVCHLFLTRDHTFFLHNLLNGDGVQSIAQFRKEALFDDYRISSQNEDRIAFAIDISLLYRAVRSSVSICSEYGGGGATENRLQIKLVKKLPPNCTQPTPYLTFETKGYKSAVIQDVPISKPLSRAQVLELQTALDMAQDLPQTLVQVPDLNQLQSYVDRMKHVGDLLNVSISKYGDLHVQISTTLITLGAEFRKLLVIGDKAQAPPEDQNLSAQSRSQRAILRGDAQSVQVSVRHFSKSLQCHLAKPDCAFYGIVPPGACLTVIFQFFIPGTRQTDKSISLHCRLPVLDPGSN >Manes.11G058300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7070599:7074865:-1 gene:Manes.11G058300.v8.1 transcript:Manes.11G058300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFITENGVSLLERRFLPALEKMGKVCHLFLTRDHTFFLHNLLNGDGVQSIAQFRKEALFDDYRISSQNEDRIAFAIDISLLYRAVRSSVSICSEYGGGGATENRLQIKLVKKLPPNCTQPTPYLTFETKGYKSAVIQDVPISKPLSRAQVLELQTALDMAQDLPQTLVQVPDLNQLQSYVDRMKHVGDLLNVSISKYGDLHVQISTTLITLGAEFRKLLVIGDKAQAPPEDQNLSAQSRSQRAILRGDAQSVQVLA >Manes.11G058300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7070599:7074865:-1 gene:Manes.11G058300.v8.1 transcript:Manes.11G058300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFITENGVSLLERRFLPALEKMGKVCHLFLTRDHTFFLHNLLNGDGVQSIAQFRKEALFDDYRISSQNEDRIAFAIDISLLYRAVRSSVSICSEYGGGGATENRLQIKLVKKLPPNCTQPTPYLTFETKGYKSAVIQDVPISKPLSRAQVLELQTALDMAQDLPQTLVQVPDLNQLQSYVDRMKHVGDLLNVSISKYGDLHVQISTTLITLGAEFRKLLVIGDKAQAPPEDQNLSAQSRSQRAILRGDAQSVQVSVRHFSKSLQCHLAKPDCAFYGIVPPGACLTVIFQFFIPGTRQTDKSISLHCRLPVLDPGSN >Manes.11G058300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7070599:7074865:-1 gene:Manes.11G058300.v8.1 transcript:Manes.11G058300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFITENGVSLLERRFLPALEKMGKVCHLFLTRDHTFFLHNLLNGDGVQSIAQFRKEALFDDYRISSQNEDRIAFAIDISLLYRAVRSSVSICSEYGGGGATENRLQIKLVKKLPPNCTQPTPYLTFETKGYKSAVIQDVPISKPLSRAQVLELQTALDMAQDLPQTLVQVPDLNQLQSYVDRMKHVGDLLNVSISKYGDLHVQISTTLITLGAEFRKLLVIGDKAQAPPEDQNLSAQSRSQRAILRGDAQSVQVLA >Manes.10G110880.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27152997:27153326:1 gene:Manes.10G110880.v8.1 transcript:Manes.10G110880.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFHFLLFSFIFYSTFYHFPFLFLSLFIHLLSFLSYIYTLTFFFTFFCISSFSLYFSSFFLHLSLIFFLFSIFSSFFLISFNFPFFSYLLFIFIIIFFYFLSFVIFSFI >Manes.07G000600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:312352:315919:1 gene:Manes.07G000600.v8.1 transcript:Manes.07G000600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGDASKPFGCGEKSRDVSNRGSLGFCMALSIGPNQRQEQEHSHDNSTDTESTKINTPTHHRFSPVGPPLVQLHLLPNTPVQRSNHHHAFPWTSSADNNNNNGSCEAAASGNLARGLDVNRLPAVEQAEDGAALSSSPPNSAASSFQMDFCIYSKKSGNYEAEIERASSRASDEDENGCARKKLRLSKEQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCEALTEENRRLNKELQELRALKTSNPFYMQLPATTLTMCPSCERVATTSTATATTTTNATPSTTMKHASITNDNKDNDNNSDPTSKTIAAGLSLARPLFYPFSHQTQAIGHQPTSS >Manes.01G253000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41112497:41117887:1 gene:Manes.01G253000.v8.1 transcript:Manes.01G253000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPNPAQEFYFLDPMNMGLPGLNSLPPQMTSNTLSTNTTSTATNTTSASTTSAGANTVAFADDPSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKAAHPYPQKAPKNAAPVVTQVPGSFQSSSASLEPGYAYRSDALSVPGNPVAGGTVTTWSFNSVPAVNVSQVTKDDMRLAQQKIANNCYSSSNESTPRAWQTSEIIDRGDQGKPKRVVPDFAQVYSFIGSVFDPSATGHLQRLKQMDPINLETVLLLMRNLSVNLVSPEFEDHRRLLVSYDTESERVKSVSPYSNVGVDRTRDILSAPKAVRG >Manes.01G253000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41112497:41117887:1 gene:Manes.01G253000.v8.1 transcript:Manes.01G253000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNPNPAQEFYFLDPMNMGLPGLNSLPPQMTSNTLSTNTTSTATNTTSASTTSAGANTVAFADDPSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKAAHPYPQKAPKNVVTQVPGSFQSSSASLEPGYAYRSDALSVPGNPVAGGTVTTWSFNSVPAVNVSQVTKDDMRLAQQKIANNCYSSSNESTPRAWQTSEIIDRGDQGKPKRVVPDFAQVYSFIGSVFDPSATGHLQRLKQMDPINLETVLLLMRNLSVNLVSPEFEDHRRLLVSYDTESERVKSVSPYSNVGVDRTRDILSAPKAVRG >Manes.11G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28685714:28689243:-1 gene:Manes.11G125700.v8.1 transcript:Manes.11G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >Manes.15G096600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7547530:7551468:1 gene:Manes.15G096600.v8.1 transcript:Manes.15G096600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSSQAKGDGAVKKIRKPKPWKHPQPITKSQLIQMRDEFWDTAPHYGGRKEIWDALRAAAEADLALAQAIVDSAGVIVQNVDLTICYDERGAKYELPKYVLSEPTNLIRDN >Manes.15G096600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7542089:7551657:1 gene:Manes.15G096600.v8.1 transcript:Manes.15G096600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSHFCFVSVLSLLVAYTFQFQVQAAPAGLLFKQLSSVLKWTARSSSKTPQSDGNVLQFEDGYIVETVLEGNEIGVVPYKIRVSDDGELYAVDEVNSNIVKITPPLSQYSRARLVAGSFQGYTGHVDGKPNEARFNHPRGVTMDDKGNVYVADTLNLAIRKIGDSGVTTIAGGKSNIAGYRDGPSEDAKFSTDFDVVYVHSTCSLLVVDRGNAALRQISLNQEDCDYQSSSITATDLLMVVGVVLAGYITCMLQQGFGSSFFSRTQQYPENEFKEYPSMEKPSPITETMKEDPKWPSFGQLIIDLSKLALEALANMFLYLIPSWFKSSGSAKGLTPLKDSLRMPEDEVEPPTVQRHSIPVSLSETRQVHTPNTIDKYSEMKPPKIKSASFKDPSLSSKHRSLKRQEYAEFYGSGEIPPPGRSKSHKEKTRHRQRDKSGEVVSGAVGAEPKPAEMKPMNYDTPKFDHYNMRSNGCYFFFLKEEKEKKRGNGLCWIVTG >Manes.15G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7542043:7546176:1 gene:Manes.15G096600.v8.1 transcript:Manes.15G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSHFCFVSVLSLLVAYTFQFQVQAAPAGLLFKQLSSVLKWTARSSSKTPQSDGNVLQFEDGYIVETVLEGNEIGVVPYKIRVSDDGELYAVDEVNSNIVKITPPLSQYSRARLVAGSFQGYTGHVDGKPNEARFNHPRGVTMDDKGNVYVADTLNLAIRKIGDSGVTTIAGGKSNIAGYRDGPSEDAKFSTDFDVVYVHSTCSLLVVDRGNAALRQISLNQEDCDYQSSSITATDLLMVVGVVLAGYITCMLQQGFGSSFFSRTQQYPENEFKEYPSMEKPSPITETMKEDPKWPSFGQLIIDLSKLALEALANMFLYLIPSWFKSSGSAKGLTPLKDSLRMPEDEVEPPTVQRHSIPVSLSETRQVHTPNTIDKYSEMKPPKIKSASFKDPSLSSKHRSLKRQEYAEFYGSGEIPPPGRSKSHKEKTRHRQRDKSGEVVSGAVGAEPKPAEMKPMNYDTPKFDHYNMRSKYGSDNSYQF >Manes.17G102466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31025681:31029849:1 gene:Manes.17G102466.v8.1 transcript:Manes.17G102466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADWGPVVVAVVLFILLSPGLLFQLPARTRVVEFGNMNTSGIAILIHAVIYFCIITILIIAIGIHIHGRRIMKDWAAPLIAAALFAFLSPGLVFQIPGKEGPFEFMKMKTSLASIFVHMVIYGLLLILFFVVLHIHLYV >Manes.08G163511.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39858450:39863371:-1 gene:Manes.08G163511.v8.1 transcript:Manes.08G163511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIVALSVAKSMVQLVKLSLKSCRMLMEIVGSEEDGTADEIVFSKMKTLELTDLQSLTSFCLGSCTFKFPSLQQVTVAYCPNLRIFCSGVLCTPKLQSVEVTSYNNLANKEWRWERNLNATIEQLYNEAVGFQRIWDLTLSNFPLLKEKWHAQVPFEYLRSLKKLVVECSFFSNAIPSNLLQHLCWLRVLVVQKCDSVEEVFDLEGLNADEVHFRLKESLSELHLIDLPRLRHVWNVDHIGILSFENLKLLKVHNCSNLTNIFTVSMALGVVNLQYLEVKRCSLMEQIITKVSDVEMMSDNTIFPSLQSLSLECLPNLSSFYSGCNVLKCPSLKKVEVVDCPKMELLPSRFYKEQDSNMIVEGNEEKVYDGDLDISVVAAFFGAKVAIQSLEELRVEWNNIKDKLGENSQAEYLSRLKVIELTCFAGESALLPSDFLRSLPSLKRLVLCNASVEEIILHHMNIGEEKYPQSLTWLKELKLSKLPELKHLVDEDSQPVPILQNLETLEVLECSRLEILVPSLVSFQNLVSLEVSKCHGLRNLITASAATNLVQLRRMNIKECESLQEIVRSEADGAEDDIGFNQLEYLGLNDLPCLTSFCSGNYAFNFPSLEKVMIRECYNMKIFAEQALSTPKLMRVQTGEKPYEWEWEGSLNSTIQAYYSWKWAVDVVSVPPSRNSEHKLTISPSSSFLFSCGRESTPFLGTVEAARAHSSVCKIISLSNLARNLVY >Manes.02G120500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9211691:9213520:-1 gene:Manes.02G120500.v8.1 transcript:Manes.02G120500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTSFSTKIFASNGNRSPPLSALTQQGRSVLAFSPSPAFKKLHFDRLVSFRSIAKSHVASDAAQVSTGLENAVAGNTQMERSKTAHVKFQLQKECMFGDEFLLVGDDPILGLWNPTDAVPMNWSEGHIWSVELDVPIESTIQFKFILKQGTGDMIWQPGSDRIFKSWESKGTVVIAEDWENPEAQKITEEQITDQIEEVHQREMLIVPMSNRLSFSGHFSEAS >Manes.18G138300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:17606951:17607112:1 gene:Manes.18G138300.v8.1 transcript:Manes.18G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKKRTSISKKRIRKNIWKRKGYWTVLKAFSLAKSLSPGNSKSFFVRQIKD >Manes.18G077500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7076811:7082170:-1 gene:Manes.18G077500.v8.1 transcript:Manes.18G077500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRTAHKRSDNRAAPFFLATLILWFVSVWFEILFNKRRELFWIIAGTFFFQIANWLIRFFVSRVPLFVNTSVSLLHSTITSVSVVFILVHQCLKTGSDGLFEHSQLVGGTWEWAYPTLCLSCGYFAYDQLDMLLYRLYSGLIPSILMHHLILLICFTLALYRNVTINYLILTLICELHSIFLHVRKVRRMAGVRDAKSKIVKLEWILNWVTFIFARCVSHILITIKLIRDAPKFEKGVELPLALFGMAGMNLLNVGLGIDLFNAFKRERNLLKDSNNHGE >Manes.03G137600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26426704:26431566:1 gene:Manes.03G137600.v8.1 transcript:Manes.03G137600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGFLGSNTYSMKVPSNALEERKYSCFEFRPHARSNLVPADMNHQRSECVQGQCQSQSHPPSPAVKNEMAVPSNEFSLTAPLPTVTSGVSAPTEIDSDELNQMGISNSGLQASQSDHKGGNGISMPSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYAAGAVLSMQEDRSDKISSLPGGDDKSSSAFGQVPNTIEPNNAPELSPVMANDDSIEGAEDEDDSFSKRRKMDTGGFDVTPVIKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARSSSHDTVGPTLVNGSSRIRSDENETISLDLGVGISSTAENRSTDQQHGPHSELTKSQTQTSGSGFRIVPRIPIAPSYSVLNGGVNQYGSRQNPNEGRSVEIPALSHSSYPYPQNMGRLLTGP >Manes.03G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26425327:26431566:1 gene:Manes.03G137600.v8.1 transcript:Manes.03G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSSESELQLCGPSHGVSDSGDPMRHDSDAAGVAGSGGARYKLMSPAKLPISRSPCITIPPGLSPTSFLESPVLLSNVKVEPSPTTGSLTKPQMEHGFLGSNTYSMKVPSNALEERKYSCFEFRPHARSNLVPADMNHQRSECVQGQCQSQSHPPSPAVKNEMAVPSNEFSLTAPLPTVTSGVSAPTEIDSDELNQMGISNSGLQASQSDHKGGNGISMPSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYAAGAVLSMQEDRSDKISSLPGGDDKSSSAFGQVPNTIEPNNAPELSPVMANDDSIEGAEDEDDSFSKRRKMDTGGFDVTPVIKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARSSSHDTVGPTLVNGSSRIRSDENETISLDLGVGISSTAENRSTDQQHGPHSELTKSQTQTSGSGFRIVPRIPIAPSYSVLNGGVNQYGSRQNPNEGRSVEIPALSHSSYPYPQNMGRLLTGP >Manes.03G137600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26426704:26431566:1 gene:Manes.03G137600.v8.1 transcript:Manes.03G137600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGFLGSNTYSMKVPSNALEERKYSCFEFRPHARSNLVPADMNHQRSECVQGQCQSQSHPPSPAVKNEMAVPSNEFSLTAPLPTVTSGVSAPTEIDSDELNQMGISNSGLQASQSDHKGGNGISMPSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYAAGAVLSMQEDRSDKISSLPGGDDKSSSAFGQVPNTIEPNNAPELSPVMANDDSIEGAEDEDDSFSKRRKMDTGGFDVTPVIKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARSSSHDTVGPTLVNGSSRIRSDENETISLDLGVGISSTAENRSTDQQHGPHSELTKSQTQTSGSGFRIVPRIPIAPSYSVLNGGVNQYGSRQNPNEGRSVEIPALSHSSYPYPQNMGRLLTGP >Manes.11G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1093397:1100347:1 gene:Manes.11G010600.v8.1 transcript:Manes.11G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHHKLTLALCGIWAATILYGEMLAFWVPSLWSCSWPHLHHLPRSSANTMINGVGSGSDYVKVAVIADPQLMDRTSLRLPPKSFALETAQFYTDLYMRRAFFSSIRPFKPDVILFLGDYFDGGPFLSDQEWQESLHRFRHIFGMNTDGRYTDIQVYFIPGNHDIGYASINSHHPEVVRRYVERFGIRNFQFTVGKVEFIAVDAQTLDGRPRENLASVTWEFVKNVSTDIQLVPRVLLSHIPLYRRHDTYCGSYRSSPIINQAISYSALSGEIKYQNYVTEESSYKLLELVKPALILSGHDHDQCTVVHESNFGSITEHTLGTISWQQGNLYPSFMLLSVSNSATANVSIPEDLLISQLCFLPWQTHIYIWYISLFVLTLLTLLFWPTSGVSFGHHLSGLMECLRHYGSMFRGEKDKNEDENCEYEMMWDAEGAMHLVKKVVDTSISRTSDKASVERGNAVIRPTARKNISQEGEISMNLDINADVGNDPSIKLPSRISKSKTRIIIQRLMRTFRMVTVIAAVNVPIYMMLLFKDWIDQ >Manes.14G133800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10718851:10720629:-1 gene:Manes.14G133800.v8.1 transcript:Manes.14G133800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTFLSSANSFLSSSSSSSSSSSLFFRQKNNAMRQVSICRAFSESPSPSPSSPILTKRSLSISFITSFVFSLASRSNSSANAAILEADDDEELLERVKRDRKKRIERQGFISSSNKETGYLQDLVYKLSKVGQAIENNDLSTAGSVLGGSTNTDWVQKANIAFSKY >Manes.14G133800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10718851:10720629:-1 gene:Manes.14G133800.v8.1 transcript:Manes.14G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTFLSSANSFLSSSSSSSSSSSLFFRQKNNAMRQVSICRAFSESPSPSPSSPILTKRSLSISFITSFVFSLASRSNSSANAAILEADDDEELLERVKRDRKKRIERQGFISSSNKETGYLQDLVYKLSKVGQAIENNDLSTAGSVLGGSTNTDWVQKANIAFSKLSSSTEEKKQVDTFNSSLSSLISSVTGKDIESSKLAFVSSATAFEKWTTLTGLFGQLKGL >Manes.01G273300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42651669:42654469:1 gene:Manes.01G273300.v8.1 transcript:Manes.01G273300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDSLRNSLPNLALLSILFAALLYLFKWSSCSSDNPLLAHSHKYSCHGSSQPHVIKFPVDELELALHKASTPNKTVIIVVLNKAYVEPNVKFETTVLDLFLDSFWLGEGTRPLLDHLLLVAVDQTAYDRCIFKRLNCYKMETGGVDFAGEKLFMSEDFIKMMWRRTLLLLDVLKHGYSFIFTDADVMWLRNPFPRLSKNESVDLQISTDWFNGDALSEKNAINTGFYYVRSNNKTISLFEAWYSRKDNSTGKKEQDVLLDLMLEGGFRRLELNPRFLDTEQFSGFCTDSKDIKEVTTVHANCCRSISAKLLDLRAVLRDWMRFKAANGRAHPNGTTVPFRFRWSGHFGCRNSWNNTSA >Manes.01G273300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42651669:42654469:1 gene:Manes.01G273300.v8.1 transcript:Manes.01G273300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDSLRNSLPNLALLSILFAALLYLFKWSSCSSDNPLLAHSHKYSCHGSSQPHVIKFPVDELELALHKASTPNKTVIIVVLNKAYVEPNVKFETTVLDLFLDSFWLGEGTRPLLDHLLLVAVDQTAYDRCIFKRLNCYKMETGGVDFAGEKLFMSEDFIKMMWRRTLLLLDVLKHGYSFIFTDADVMWLRNPFPRLSKNESVDLQISTDWFNGDALSEKNAINTGFYYVRSNNKTISLFEAWYSRKDNSTGKKEQDVLLDLMLEGGFRRSISAKLLDLRAVLRDWMRFKAANGRAHPNGTTVPFRFRWSGHFGCRNSWNNTSA >Manes.16G044900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7593360:7598040:-1 gene:Manes.16G044900.v8.1 transcript:Manes.16G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTLTMFVMLGNMIKREHFDYVEEKFPGAVDAEFDSGKVTERGLVTFKNMGDGPWMEDGQQLRPCWTESTFDQPARSKGFVTFSLTNGPEYHILQISDAVVVARYVGATLVIPDIRGNKPGDERKFEEVYDVEKFVKSLDGVIKVVKALPNDISIRDFAVVKVPNRVTEDHIAQSVEPIFKTKGNIRLATYFSSVNMRKTTQKSNTDSFACLAMFGTLELHSDVNEVVNSMIERLRSLSRKFDGRFIAVDLRVDLLKKNSCHGSGSSGTKSCYDAKEIALFLRKIGFDKDTTIYLTQSRWDGSLDILKDLFPNTYTKERVMPEDKKTKFLESEDSEFEKVIDFYICSRSDVFVPAISGLFYANVAGKRIVSGKNQILVPADIQGSSASVTDHFSPYVSKKNHLAYSCFC >Manes.05G127200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22604063:22604753:1 gene:Manes.05G127200.v8.1 transcript:Manes.05G127200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVENNGVTRGGNSGFQIWVVKLRRNYNRLSLYAHKLQSRMGAVEEETTEQEMAVEEDGDEYGLRRGFRSKYLLKERSLVDSRLDDIISNGCVSDPSSVNRIRSIMYSISKKGITALLGKRCFSFNVRCLY >Manes.18G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4064802:4068192:1 gene:Manes.18G046300.v8.1 transcript:Manes.18G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGPALFYDFGKKARDLLAKDFTTDQKITISSSTCTGLAITSSLATKGGLSAGDVATQHKFKNGSLDVKLDTESNILTTISITDFPSSTKVIASLKLPDYSSGKLEIQYLHQHAGITAAVGLNKSSAVDLSATIGTPTIAFGAETTYAAASGEFAKYNAGVSYTKPDSNASVILADKGDSIKASYMHYLDLQNQATVVGEISRRFSTNENTLTVGCSYMADPQTLFKAKLNNHGNLGVLIQHELKPKSFLTISGAFDTKDLQKNPKLGFALSLKP >Manes.14G158293.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20792334:20800755:-1 gene:Manes.14G158293.v8.1 transcript:Manes.14G158293.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEKGLAGNISKKKFQKSYFDVLGICCSSEVPLIENILKSLDGVKEFSVIVPTRTVVVVHDNLIISQIQIVKALNQARLEANVRVNGDTSYQKKWPSPFAVAGGVLLLLSLLKFVYHPLHWLALGSVAIGIPPIILKAIASIRNFRLDTNILVLIAVVGTIALKDYLEAGTIVFLFTIAEWLESRASHKANAVMSSLMNIAPQKAIIAETGEEVDADEVKLNTILAVKAGEIIPIDGIVVDGNCEVDEKTLTGESFPVAKQKDSTVWASTINLNGYISVKTTALAEDCVVAKMVKLVEEAQNNKSRTQRLIDKIAQYYTPVVIIISVSLVVVPLTLRVHNRNHWFHLALVVLVSACPCALILSTPVATFCTLTKAATSGVLIKGGDYLETLAKIKVMAFDKTGTITRGEFVVVDFQPLCEDVSLDALVYWVSCIESKSSHPMAAALVDYGRSHSVEPNPENVVDFQNFPGEGIHGKIDGKEIYIGNRKIALRAGCGTVPMPEEGDMKGGKTIGYVFSGGNPIGIFSLSDACRTGVAEAISELKSFGIRTAMLTGDSQAAAMHAQEQLGNALEVVHAELLPEDKARIIETFKKEGSTAMIGDGINDAPALAMADIGISMGISGSALATETGHVILMSNDIRKVPKTIQLARKAHRKVIENIILSISTKSAILALGFAGHPLVWAAVLADVGTCLLVIFNSMLLLRGTHEKGRKCCKSSSAAEHTKKCDSTYSSHHHKPCCSNKKVTKSCEPQELQTCTSRCESDDVNKNRSCGKKCTNPANKEQNEVKHCSHGSCNTVDLEANNPHKHACSKSSAEENTKKCKNKSSSHHHKPCCSSKQKVAKVCEHQELQTCASGFESDDLDKSTSCGKTCQNSPNKEKNEVKHCGHNHSDQMNHHCISNHSNHSSDIDHCDDSSKSTWESGFNHRPLHLEHAVKNCCSSHNNLHCSTMDIHHACMNLEKRESGSCCKSYMKECCGKHGRFSNGFGGGLSEITIE >Manes.05G034900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2945408:2952745:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEAVLDLQV >Manes.05G034900.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944777:2952765:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEAVLDLQV >Manes.05G034900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944795:2952752:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEAVLDLQV >Manes.05G034900.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2945019:2952752:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEALENYSLLFILQFF >Manes.05G034900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944874:2952752:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2945029:2952745:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEAVLDLQV >Manes.05G034900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2945019:2952752:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEALENYSLLFILQFF >Manes.05G034900.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944818:2952752:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2945408:2952745:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEAVLDLQV >Manes.05G034900.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944761:2952866:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEAVLDLQV >Manes.05G034900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944761:2952866:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEAVLDLQV >Manes.05G034900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944760:2952867:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKVFTGGTRRPVMLLN >Manes.05G034900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2944761:2952866:1 gene:Manes.05G034900.v8.1 transcript:Manes.05G034900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQKLIGTSEEDDEEEMDMDVKEEDDEDENREKHIARQVMVGIDGGMASNSSNNQFLHHQHIQDQVSTLGGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRTQNPRPLGGTSAGAISSSTHLVSQQTPSASLRGVSPGYRPSVEYNSSRLKGVFVPAPSPYDLPTSTQSQNSAMMGDGGEQTESHPLISGSLDNVNDKQIVDMSPKLLERDFTGSSYVPVYVMLPLGVINMKCELADPDGLLKQLRVLKSASVDGVMVDCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNFGDDVCIPLPHWIAEIGRSNPDIFFTDREGRRNPECLSWGIDRERVLRGRTALEVYFDYMRSFRVEFDEFFHDDLISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPCWARGPENAGTYNTQPHETGFFCDGGDYDVYYGRFFLNWYSRILVEHGDRVLSLAKLAFEGTQISAKLSGIHWWYKTASHAAELTAGYYNPCNRDGYAAIAVMLKKHGATLNISYSELRMLDQQVDFSDALSDPDGLLWQVLNAAWDVDIPIASENALPCHDRITYNKILDNAKPLNNPDGRHFWSFTYLRLSPLLMDRQNFMEFERFVKKMHGEAVLDLQV >Manes.14G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10613290:10614948:1 gene:Manes.14G132900.v8.1 transcript:Manes.14G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILCSQGVVLATAMAVSGTVILLALRLQKSHPASLLSSQQVLRSCISSDGSKKKKKKRVHFAEDVVDPKGNGEDFRRQRGAIVLSKNSSSPPKLKKIGGAGGGGSGAAKGKGMPANRLALYNGILRDRVVHRLAYSC >Manes.05G173300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28803534:28804348:-1 gene:Manes.05G173300.v8.1 transcript:Manes.05G173300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKTTLKNVDRRCPKPMPICMSDGPSEAQIKDIFRRFDINGDGYLSVGELINAYNLLGMSFAIFRAWKALCIADENRDGYISEKEFHRLLKTSYK >Manes.15G145300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11938421:11940925:1 gene:Manes.15G145300.v8.1 transcript:Manes.15G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPSMGSCERPCCRQATTGVERSWRSFFIKVRTTTIEDKKLNLPLKFARKFGDELSDVAKLIVPNGLIWEVGLTKGETIWLDNGWQELVEYYSVCNGWFLQFNYLGMSNFNIFIFDETVFEIGYPCIQPRRKQEPSNMRNQTPFNEDEIEEDDDDFVDMFGSTPRPSSSKGTNSKKRDYLGFNKRFKNPASAEKRKLKQDYQGKGKNCKIEDLEENDSDQDGIDLSPECEAKIFISNKFYVTLEKIYPGSKKAVDAAMECKPQNPAFLVILGKSYIRTNLLHVPSAFAVKYLRNISETIKVEDCYGGEWIIHTFCSCRGWSLVLRGGGEEFFSDNDMQNGDVCLFELIQERDVVLKVLVFHAYLE >Manes.05G119167.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12600969:12611815:1 gene:Manes.05G119167.v8.1 transcript:Manes.05G119167.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLSLQASDHPGMSLVSAPLLGPNFRSWSRAVRIALGAKMKLGFIEGIFSAPSKDSEGYEQWKKCDFMVTSWILNSISKELVDGFTYTAFARDLWQKISERFEECNGPMIYELLDELRSVETLPTCTCGASRAIAEITNRNRLIPDITLMQFLMGLNEAFRTVRDQVLGMDPLPTVNKAYSMNLSRPRRSEIKKGHCTFCNMDGHTREGCFKLIGYPD >Manes.15G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3606848:3608638:1 gene:Manes.15G046800.v8.1 transcript:Manes.15G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGRNLAAPLLFLNLIMYVIALGFASWCLNRYINGQTYHPSFGGNGATGFFLTFAILACVVGIVSKFAGGTHIRAWRNDSLAAAGASSLVAWAITVLAFGLACKQINLGGYRGWRLKVVEAFIIILTFTQLLYLLLLHAGMFSSRYGPGYRDTEYGVATGGEPMHKGGVTVAGTRV >Manes.07G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9011185:9013452:1 gene:Manes.07G062000.v8.1 transcript:Manes.07G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIGVALEGEWSSLSGMYTSEEADFLEQLLGNNDSSSSLGVLSSFWSSQKSEMNMAGGNGGLVDTNFYNFSQGSSSYSGGSSSQSYYFSDSHPVSIAMDFCVGDVTNTASYLVEGDDCSNREMRNGNVEESGGNQQPAAADLPVNCLLPKKEHEMLAPETSTEDKSNSPSEISKKRSRRAGDVQKNKRNVRSKKSKNFVSSNSNDEETNAGFNGPASSSYCSEDDSNASHEQNGGASSSLSSKGTSILNSNGRTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLT >Manes.02G112500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8669874:8677775:-1 gene:Manes.02G112500.v8.1 transcript:Manes.02G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSIPVLKKLLSTSQHPSPCSRFTSSRSVTYMPRPGDGAPRPVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFERYEVHGDMKKVPEEVIESIKKNKVCLKGGLATPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHQNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYSEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGNDKILEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKYRTKDLGGDSTTEEVVNAVVSALD >Manes.01G002300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1786200:1786617:1 gene:Manes.01G002300.v8.1 transcript:Manes.01G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKVEQQQLEKRNKELERALNESKEREEQMREELRKAWERLRVAEEAEERLCSQLGELEAEAVNQARADNERILSLVDQLSQALNLLQKQ >Manes.10G038100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3592638:3593385:-1 gene:Manes.10G038100.v8.1 transcript:Manes.10G038100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKKVSLKLLIDKKANKVLFAEAGKDFVDFLFTLLSLPVGTVINLLKKTAMVGCIGNLYESLENLNEAYLQPNQSKDSLLKPKVSLTQMANVPLLLPDIGNLKPEPGIKLYGCSNHSNHRCVTDQKGARCTSCQYSMNYELPFVGTNNKFTSASDTTNSSDGGFVKGLVTYMITDDLSVSPMSMISGVALLNKFSVNGFAALEERMVEFGIDEED >Manes.10G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3588081:3594059:-1 gene:Manes.10G038100.v8.1 transcript:Manes.10G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKKVSLKLLIDKKANKVLFAEAGKDFVDFLFTLLSLPVGTVINLLKKTAMVGCIGNLYESLENLNEAYLQPNQSKDSLLKPKVSLTQMANVPLLLPDIGNLKPEPGIKLYGCSNHSNHRCVTDQKGARCTSCQYSMNYELPFVGTNNKFTSASDTTNSSDGGFVKGLVTYMITDDLSVSPMSMISGVALLNKFSVNGFAALEERMVEFGIDEGLELLKASLQSKVALTSVFLPKHDIKLSY >Manes.10G038100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3592053:3594059:-1 gene:Manes.10G038100.v8.1 transcript:Manes.10G038100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKKVSLKLLIDKKANKVLFAEAGKDFVDFLFTLLSLPVGTVINLLKKTAMVGCIGNLYESLENLNEAYLQPNQSKDSLLKPKVSLTQMANVPLLLPDIGNLKPEPGIKLYGCSNHSNHRCVTDQKGARCTSCQYSMNYELPFVGTNNKFTSASDTTNSSDGGFVKGLVTYMITDDLSVSPMSMISGVALLNKFSVNGFAALEERMVEFGIDEED >Manes.02G012700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1278728:1284006:-1 gene:Manes.02G012700.v8.1 transcript:Manes.02G012700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNISVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMGWMPDQQGWLSYIKFELRYNEIERARGIFERFVQCHPKVSAWIRYAKFEMKNGEVARARNVYERAVEKLADDEEAEQLFVAFAEFEERCKESERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAEDIERTRDVYRECMNLIPHKKFSFAKIWLLAAQFEIRQLNLKSARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLDWSPENCYAWSKYAELERSLSETERARSIFELAIAQPALDMPELLWKAYIDFEISEGEYERTRQLYERLLDRTKHLKVWISYAKFEAAAMEEIVEGADSLEEQKKKCIQNARRVFEKAINYFRTSAPELKEERAMLLEEWLNMESSFGELGDVGLVQPKLPKKLKKRRPIASEDGLAGLEEYIEYIFPEETQAPNLKILEAAYRWKKQKLSTED >Manes.02G012700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1278727:1284007:-1 gene:Manes.02G012700.v8.1 transcript:Manes.02G012700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNISVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMGWMPDQQGWLSYIKFELRYNEIERARGIFERFVQCHPKVSAWIRYAKFEMKNGEVARARNVYERAVEKLADDEEAEQLFVAFAEFEERCKESERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAEDIERTRDVYRECMNLIPHKKFSFAKIWLLAAQFEIRQLNLKSARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLDWSPENCYAWSKYAELERSLSETERARSIFELAIAQPALDMPELLWKAYIDFEISEGEYERTRQLYERLLDRTKHLKVWISYAKFEAAAMEEIVEGADSLEEQKKKCIQNARRVFEKAINYFRTSAPELKEERAMLLEEWLNMESSFGELGDVGLVQPKLPKKLKKRRPIASEDGLAGLEEYIEYIFPEETQAPNLKILEAAYRWKKQKLSTED >Manes.09G110201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31277363:31278923:-1 gene:Manes.09G110201.v8.1 transcript:Manes.09G110201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEVLYCFFILISISMFIFPTQSTSSNDIAKFPPHVSYIEKVNVSIYYETLCPGSAYIANDLLNALFKEHLHYIVNLRMVPWGNARVVGPHELFLCQDYILQHGPDECYLNTIHACALKAYTDQEANLVASICKAYKGIAKPVVCANIPPKKNPAPPVCYPHDINGALKISPATKAPPG >Manes.18G025338.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5726938:5727632:1 gene:Manes.18G025338.v8.1 transcript:Manes.18G025338.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKHPRLILHEFLSLDECKELQFIHKSSSTVGYRPNVFSTTLSHLIATNCPHFIIPFLPIRERLKEKVEEFFGCEYELFVEFTGLIRWVLCFFFSLV >Manes.17G015163.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7946613:7967444:1 gene:Manes.17G015163.v8.1 transcript:Manes.17G015163.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRKSCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALRGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.09G036350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6983996:6984154:1 gene:Manes.09G036350.v8.1 transcript:Manes.09G036350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYGFVLILHFRNVEHSLLLLVCCLDGESVNDGWFLIIMFRMFRLMDNLSY >Manes.15G178000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20446590:20460984:1 gene:Manes.15G178000.v8.1 transcript:Manes.15G178000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISASPISSTLRVNTNSCLASSSSILPLFNVSCNSAIRLSTIVVCSQKSGSGLIRGDKKALFERYGLDPNEFLSEPSSKSIRKKEMQKRGRGKQIPEEEAKAPRTTHTLLQVLGGKAKRKKLLSPAGMDVRPMMEVVKGSAFDILQAAGGCPASLRPGCWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSNVLQPNLESTGFLDVSVIHTVRVENFLERAEQFLGRHRKFDFISVTPPYMEVDYGILMDQISKSTLVGEDTFIVVEYPLRTEMMDSCGCLAKITDRRFGRTHLAIYGPKWAQKKKVRKANLNGWRSLSLQAGRE >Manes.05G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10678636:10715524:-1 gene:Manes.05G108700.v8.1 transcript:Manes.05G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINLSSSGTQERTSKKDVRTSIATIFLSINHIKICRKDKSIMEANQKKKLFICKFCDKSYPCGKSLGGHIRIHLNGNGNQTQVKDEDMKLNTIKSFAASVNGSNIKRDSEPEAGAQSGYVLRENPKKSRRFSVDSSNSNFLQEKVCKECGKGFQSLKALCGHMACHSKNSFEDFSEPTEKLKDQVMDSQSDTETSTPSKRRRSKRTRYKTIGVYSSSLILANGSMSSASEIEQEQEQEEVAMCLMMLSKDSSFKGCFSSIADSSDNNSVVLETKSSSPKMKISIKNGANCVYADNRTLEMKKPKQQEMLSIENDDSENSDSGYFRNGPKRVESDISVHGFTGNSDFKKHKVEFESRFEDDFDPELGKRLSRFRRIKMESRDSYDEADGAPLKYDSRKRGNNDSYNTEFLSSNASKTTGGHRTSHKKTNFCNDRVYESGENSIGTNHLPSSLPTRISTKKIKSCNGKNPIEHNLSANSDKKLGFRKAKVHECPFCLKVFRSGQALGGHKRSHFVGGAEDRTVVINQEVSEISMPGLIDLNLPAPAEEDPNGYYNIPTW >Manes.01G093766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29552089:29553222:-1 gene:Manes.01G093766.v8.1 transcript:Manes.01G093766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAQRVGPHPVNKSISRITKSLPFGSGRLILQDKEYRRALSASFFQPKHFCGHGG >Manes.02G182301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14499115:14499639:-1 gene:Manes.02G182301.v8.1 transcript:Manes.02G182301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIRVFFTIFLFLLLQSEAETPESLLKELCVNSKNPNFCMETFNADPRTSSANDILSLAKIGLDLATKDSLKTRDHIQELSASKMTEPQFKPALNLCVESYNGIAASFRSAYGEVEEGEYETANYDTLIAADDAGNCNKSLANAKARIQSVADKIQVTRYFSDIGDRVTTLL >Manes.06G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23022814:23026748:-1 gene:Manes.06G095600.v8.1 transcript:Manes.06G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVFRRSGHGALPKENKPDDYHSSAGYHHQHEAACKEEILAKVGSKRAYHESSEDLKSLAEHDKDLSLTNQVDVANDKKERSTLESDSKASSSSQKKQDNWLESTRAEMGEVREENQRLRMYLGQLMKDYQALQIKFYNTIQQEKTKKSTSAVDTSHQVVEEDDLVSLSLGRFSSKSIKDQKSKIYSNGKDDEPATNEGHLSLGLDCKFKVSISHNADGELLANPTSPVNSSEDQQKEEAGKMIKAMPSGGDDEMVQQNPLKKARVSVRTRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTITPSCPVRKQVQRCAEDVSILITTYEGTHNHPLPLSATAMASATSAAASMLLSGSASSSSRTGPNPSAISSTTAADLHGLNFCLSDNSMSKQFYLHNSSLSASPSHPTITLDLTSNPSPSPSQFNRFSSSYAPILKSAPAGLYFSSDIPNAAPWGNGLLSYGSASQPYNRTLQGTLNVSGRPPIEGSHFQPYMQKKTESSLQQPLPDTIAAATEVITSDPSFQSALAGALISIIGSGSTNVGGVDNFAQKLKLGEHFPVASGCSSSPTTKASIACATSYLNKTTSANSQPANLMFLPPSLSFPSTKSASASPADNRDTPK >Manes.06G102200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23545249:23550137:-1 gene:Manes.06G102200.v8.1 transcript:Manes.06G102200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAQGVPVVLVGTKLDLREDKHYLADHPGLVPVTTAQGEELSKQIGAAYYIECSSKTQQNVKAVFDSVIRVVIKPAQKQKEKKKKPHQGCLLDIFCGRRLVCLR >Manes.06G102200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23545419:23549946:-1 gene:Manes.06G102200.v8.1 transcript:Manes.06G102200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAQGVPVVLVGTKLDLREDKHYLADHPGLVPVTTAQGEELSKQIGAAYYIECSSKTQQNVKAVFDSVIRVVIKPAQKQKEKKKKPHQGCLLDIFCGRRLVCLR >Manes.14G153500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17927619:17929023:1 gene:Manes.14G153500.v8.1 transcript:Manes.14G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYTDLHHHHQEEEEEETEVEVEEEALSLCDLPLEDDTKKMMSTNCRRSNSEPHEFFEFFSDLTSSMCSAEDIIFCGKLVPLNKEVSSPIQTLIPHSEQDKRRSSFCRRSESVPGLRSSVSRSNSISTTKLMMRSSRSLNYRKLERFSTARTSPEFDKTIDRSSSVRSIGKVEGMVKKTAKPRWYVLIFGVVKPPTEMELRDIKSRQVRRNSSTMMFPPPFSNSVKKPSIGKGSCKLLKILSCRDYTSVAVTTSFYIPPP >Manes.09G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2179257:2183669:1 gene:Manes.09G010900.v8.1 transcript:Manes.09G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPFAFKLSTHHLPFHNLFSTSPFKNPSEKNIIHDPPFPSLPFLSLSLSPTLCVRALLLRQKMGSPPSAFCKQKAIVFLRFFMILFLCSIPEKPDLCSTSSFFNSTALSNKSLPNILSLEKLNLDGYFSFDNIEHATKDFGNRYHTHPAAVLYPKSVSDIASTINYIFNMGSTSAITVAARGHGHSLQGQAQAHQGIVINMESLQVPEMQIQVGEKPYVDVSGGQLWINVLHETLKYGLAPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQINNVYQLEVVTGKGEVITCSEQQNADLFYGVLGGLGQFGIITRARISLERAPQKVKWMRILYSEFSRFSNDQEHLMSKNSFDYIEGFVIINRTGLLNNWRSAFSPKDPLQASQFISDGKTLYCMEIAKYFNSEESDLVNQKIENLLSELSYIPSTLFMSEVSYVEFLDRVHVSEIKLRAKGLWEVPHPWLNLLIPKSKISEFALEVFGNILTDSSNGPILMYPVNKAKWNNQTSLITPGEDIFYLVAFLSSAVPSSTGKDGLQHILIHNQKVLDFCSKSNLGVKQYLPHYGTQEEWRAHFGPQWEVFVQRKSTYDPFAILAPGQRIFKRR >Manes.09G010900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2179257:2183669:1 gene:Manes.09G010900.v8.1 transcript:Manes.09G010900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPFAFKLSTHHLPFHNLFSTSPFKNPSEKNIIHDPPFPSLPFLSLSLSPTLCVRALLLRQKMGSPPSAFCKQKAIVFLRFFMILFLCSIPEKPDLCSTSSFFNSTALSNKSLPNILSLEKLNLDGYFSFDNIEHATKDFGNRYHTHPAAVLYPKSVSDIASTINYIFNMGSTSAITVAARGHGHSLQGQAQAHQGIVINMESLQVPEMQIQVGEKPYVDVSGGQLWINVLHETLKYGLAPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQINNVYQLEVVTGKGEVITCSEQQNADLFYGVLGGLGQFGIITRARISLERAPQKVKWMRILYSEFSRFSNDQEHLMSKNSFDYIEGFVIINRTGLLNNWRSAFSPKDPLQASQFISDGKTLYCMEIAKYFNSEESDLVNQKIENLLSELSYIPSTLFMSEVSYVEFLDRVHVSEIKLRAKGLWEVPHPWLNLLIPKSKISEFALEVFGNILTDSSNGPILMYPVNKAK >Manes.02G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5092893:5098487:-1 gene:Manes.02G066800.v8.1 transcript:Manes.02G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSSGPDLNGEVATQEEKGLSNCNGVRLIGSRIYDSQNGKTCHQCRQKTRDFAAGCKNQKGNKQCPIKYCHKCLLNRYGEKAEEVALIDEWKCPKCRGICNCSLCMKKRGHKPTGILVHTAKENGFSSVSELLQVKGPENFGIDRTAKDASVSSKKPASTKESRIASPRKPGKENSFGVSSDLNEHSPNLILVSNRKSKKMRREELLEVNHSTRDDDGGVDASSGKSGQKSTLTKEVSKNKGKIIEEGKSVLIEKNKSKTQHKETPKKEVKKNGKHEGAIVEEKKSKTQFQDISKKEATVNKKAAGNFFEKKKLKTQMLKDVPVSHTANEERDAENFKNGVVLSDVQIDKLESKNMAAVEVCKINKCTVEHQSKQNDDSIPLPLSTCLTTVAGIELPRGDAGDALQFFEFCAAFSEVLDLKKGQAEAVIREIIFGRRARRSQGSLLTHFHIKLLLLIQEDIGEESPALSPANGKNSWLQALAKCVSKCKFISTGLPSDCFDRGNEGYDMLSTSQKFKLLNFLCDEALNTKALRSWIDDQNSKFTEREKEAKEKVLAAKVKEKQLKEKVQDEVAKAIIAKAKNDAPFSVSEYEAIVSQIKKEAAEARAEIMEAMGMVPKKRQRSDAVRTDPILLDVNGHAFWRLKGYNNEPDILLQDLGSWASAASEEKWFVYDAEQKQGIEKYISSLRTKRLRIQKDTETPLFGDDQNKLAV >Manes.12G155200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36302856:36305743:-1 gene:Manes.12G155200.v8.1 transcript:Manes.12G155200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVMYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIIETVYRGARKGRGLVIAPKDYSTKYRY >Manes.08G004601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:890074:892421:-1 gene:Manes.08G004601.v8.1 transcript:Manes.08G004601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFEFADHSPPSFQNMRNVVDDVEAKGFNPGLIVLLVVGGLVLTFLIGNYVLYLYAQKTLPPKKKKPVSKKKMKRERLKQGISAPGE >Manes.18G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10241425:10244789:1 gene:Manes.18G105100.v8.1 transcript:Manes.18G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGVSGSESPESIQTPLLDGVVQGSVDYRGLPTYRSNSGGWRSASFILGVELAERFSYYGIASNIITYLTGPISMSMATAAENVNAWTGFSFLFPLVAAFVADAFLGRYRTIVVGCVICILGLGFLTISSFSASNCPSINTSTSSCSPSQFQVVFFFFSLYLVAFGQGGYKPCVQAFGADQFDERDPMESIAKSSFFNWWFFSMCSGILVARLILIYIQDNLNWTFGFGIPCIVMVIALFIFLLGSKTYRYSIKVEEKSAFQRIGQVFVATIRNWCSSSSIISLEEEVCQSLPHHSSNQFKFLDKALFECSLNEVEEAKALFRLIPIWASCLLFGVVDAQFSTLVTKQGATMERSISPGFDIPPASLQSLPTLTIILFIPIYDRIIIPRARNLTKNPSGITMLQRIGTGMFFSALCMAIAAVVEMKRLKVAREFGLVDKPNVTVPMSIWWLIPQYVVDGVADVLTSVGMQEFFYDQVPRELRSVGLSLYISTFGVGSFISSFLISIIERLTGGEGRDSWFTNNLNRAHLDYFYWLLAGLSTVQFVVYLYFAKKYVYKR >Manes.06G057901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15807768:15811277:-1 gene:Manes.06G057901.v8.1 transcript:Manes.06G057901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFNTSITAWIRHLIACMGGCFGCCAKPTPIIAVDEPSKGLKIQGRAVKRPSISDDFWSTSTCDLEYSAMQSQRSVSSISISNVNLTGGTSGTVSNSDFINHGLILWQQNRNQWIGNNTSTNRIQERWERRLSWNATYEGLLGTRNPFPRPIPLPELVDFLVDVWEQEGLYD >Manes.04G138100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33766644:33768918:1 gene:Manes.04G138100.v8.1 transcript:Manes.04G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVLDELGFTDLFNQLLPTMPWNSLMDRMMKELHSQGITIEHIVEVLKRIPIHPRIVPAIKSAHALGCELRIVSDANMFFIETILNHLGLRDCFSEINTNPGFVDEEGRLRIFPYHDFTQSSHGCSRCPPNMCKGLIIERIQASIAKEGNKKIIYLGDGIGDYCPSLKLTEADYLMPRKNFPVWDLICKNPMLIKAEIHEWTDGEELERVLLEIIGASSSMEDKSAAQTLSSADCKLQTISIGAHEALPKALSVPQ >Manes.02G217924.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30527726:30529136:-1 gene:Manes.02G217924.v8.1 transcript:Manes.02G217924.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHCVDATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFQTNVKPWKSRKGTLDPALEAAEAKSKIITVSKDGKGKFKTVTDAIKSIPSQNKERAIIKIGPGVYTEKIEIEKTKPFISFLGDPKAMPTLAFGGTARKYGTHYSGTVTVDSDYFMGVNIIFQNTAPKPQSNKPGGQAVALRINGDKAAFYNCKFLGFQDTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESQLNVVDNKDLTFITAQGKEEKSENFGYSFVQCKITGSGSGSFLGRAWRKMPQVIFSYTEMGAVVNPLGWSDNRQPDRDSTVFFAEYKNSGPGSNPKGRVKFTKQLKDAQAKNFLSLGYIQGSKWLLPPPK >Manes.11G055424.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7601995:7603014:-1 gene:Manes.11G055424.v8.1 transcript:Manes.11G055424.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQKIVIKVTTCCDKGRSKALKTAAIADGVNSVALEGDDKDKLVVIGERVDAACLTRALRKKINYAAIESVEEVKPEEKKQEGDNKKKDEDNTPTPHCCQQPPRCELVSVVYDTNPGTCTIM >Manes.11G055424.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7601995:7603014:-1 gene:Manes.11G055424.v8.1 transcript:Manes.11G055424.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVIKVTTCCDKGRSKALKTAAIADGVNSVALEGDDKDKLVVIGERVDAACLTRALRKKINYAAIESVEEVKPEEKKQEGDNKKKDEDNTPTPHCCQQPPRCELVSVVYDTNPGTCTIM >Manes.05G010900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:917067:920726:1 gene:Manes.05G010900.v8.1 transcript:Manes.05G010900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRCKFWLPRKNRSCANAPLNGSPFCGNHKPRSDSQWIPCPIDPSHSVLQENLEGHVKRCPLLKQTQSLSLQPYYQKGINAGKEEDNAITSEMKRIAVYSMTVSEFYELIKKIESIHATICDDISESYKIPEACNMWIKREVDSKLPFQEKHVKQQASIIGNLEYFGVINSSLVKEQCDVEGISLDKIDSRPAVVEFGAGRGYLTQMLADCYGIDRVFLVERKSYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLRGVPFLAIGKHLCGPATDLTLRCCLSEQDSERSMEQCHDNDSLKGIAIATCCHHLCQWKHYANKKFMVDLGITKEEFHAITWFTSWAVDADHGSDLSVDSSLLLQSLEEEQHGGDVNGIEDVVRNMKAVERAVLGFMCKQIIDMGRMMWARERGLETQLVKSPVADQPPVSSCLIANKPPVSPYLIANQFS >Manes.01G014900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3949360:3955619:-1 gene:Manes.01G014900.v8.1 transcript:Manes.01G014900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGSFHFPMQTHLKYFKTPKNSKLTRPICKLYTTKSMASATSNTATSFGFRDLMETLTVHVERAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSNGCVGWGEAPILPFVTAEDQPTAMAKAGEACELLRSCPPMTLGSLLEKIGGILPGHQFASVRAGVEMALIDAAANSIGIPLWRLFGGVSDSITTDITIPIVSPAEAAELASKYRKQGFKTLKLKVGKNLNVDIEVLQAIRVAHPECCFILDANEGYKPKEAVEVLERLHEMQVTPILFEQPVHRDDWEGLGHVTNIAKSKYKVSVAADESCRSLADVRRIAEGNLADVINIKLAKVGVVGALEIIEVARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLGGYEVLGAVYKFTNARGHAGFLHWDNIV >Manes.01G014900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3949360:3955619:-1 gene:Manes.01G014900.v8.1 transcript:Manes.01G014900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGSFHFPMQTHLKYFKTPKNSKLTRPICKLYTTKSMASATSNTATSFGFRDLMETLTVHVERAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSNGCVGWGEAPILPFVTAEDQPTAMAKAGEACELLRSCPPMTLGSLLEKIGGILPGHQFASVRAGVEMALIDAAANSIGIPLWRLFGGVSDSITTDITIPIVSPAEAAELASKYRKQGFKTLKLKVGKNLNVDIEVLQAIRVAHPECCFILDANEGYKPKEAVEVLERLHEMQVTPILFEQPVHRDDWEGLGHVTNIAKSKYKVSVAADESCRSLADVRRIAEGNLADVINIKLAKVGVVGALEIIEVARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLGGYEVLGAVYKFTNARGHAGFLHWDNIV >Manes.01G014900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3949343:3955619:-1 gene:Manes.01G014900.v8.1 transcript:Manes.01G014900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGSFHFPMQTHLKYFKTPKNSKLTRPICKLYTTKSMASATSNTATSFGFRDLMETLTVHVERAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSNGCVGWGEAPILPFVTAEDQPTAMAKAGEACELLRSCPPMTLGSLLEKIGGILPGHQFASIPIVSPAEAAELASKYRKQGFKTLKLKVGKNLNVDIEVLQAIRVAHPECCFILDANEGYKPKEAVEVLERLHEMQVTPILFEQPVHRDDWEGLGHVTNIAKSKYKVSVAADESCRSLADVRRIAEGNLADVINIKLAKVGVVGALEIIEVARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLGGYEGIKPNSI >Manes.01G014900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3949343:3955619:-1 gene:Manes.01G014900.v8.1 transcript:Manes.01G014900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGSFHFPMQTHLKYFKTPKNSKLTRPICKLYTTKSMASATSNTATSFGFRDLMETLTVHVERAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSNGCVGWGEAPILPFVTAEDQPTAMAKAGEACELLRSCPPMTLGSLLEKIGGILPGHQFASVRAGVEMALIDAAANSIGIPLWRLFGGVSDSITTDITIPIVSPAEAAELASKYRKQGFKTLKLKVGKNLNVDIEVLQAIRVAHPECCFILDANEGYKPKEAVEVLERLHEMQVTPILFEQPVHRDDWEGLGHVTNIAKSKYKVSVAADESCRSLADVRRIAEGNLADVINIKLAKVGVVGALEIIEVARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLGGYEGIKPNSI >Manes.01G014900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3949344:3955619:-1 gene:Manes.01G014900.v8.1 transcript:Manes.01G014900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGSFHFPMQTHLKYFKTPKNSKLTRPICKLYTTKSMASATSNTATSFGFRDLMETLTVHVERAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSNGCVGWGEAPILPFVTAEDQPTAMAKAGEACELLRSCPPMTLGSLLEKIGGILPGHQFASIPIVSPAEAAELASKYRKQGFKTLKLKVGKNLNVDIEVLQAIRVAHPECCFILDANEGYKPKEAVEVLERLHEMQVTPILFEQPVHRDDWEGLGHVTNIAKSKYKVSVAADESCRSLADVRRIAEGNLADVINIKLAKVGVVGALEIIEVARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLGGYEVLGAVYKFTNARGHAGFLHWDNIV >Manes.01G014900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3949343:3955619:-1 gene:Manes.01G014900.v8.1 transcript:Manes.01G014900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTGSFHFPMQTHLKYFKTPKNSKLTRPICKLYTTKSMASATSNTATSFGFRDLMETLTVHVERAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSNGCVGWGEAPILPFVTAEDQPTAMAKAGEACELLRSCPPMTLGSLLEKIGGILPGHQFASIPIVSPAEAAELASKYRKQGFKTLKLKVGKNLNVDIEVLQAIRVAHPECCFILDANEGYKPKEAVEVLERLHEMQVTPILFEQPVHRDDWEGLGHVTNIAKSKYKVSVAADESCRSLADVRRIAEGNLADVINIKLAKVGVVGALEIIEVARASGLDLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLGGYEVLGAVYKFTNARGHAGFLHWDNIV >Manes.11G053300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6609270:6610981:-1 gene:Manes.11G053300.v8.1 transcript:Manes.11G053300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRWAATVSFFASWFFFFIIIFQVSLFRVPCRIGMCTSPVEVTSSQLIATELCPAIFVQALLYPGAIAKAFNKNKTIPSFGNLLKLYNLTNMKKHSAAIDLHHLEIIAGCYLTVGGALLGLINTGRMSLFGILLIIWGLVREGILRKSANMNPMKTFQFYPAMSIAVVFAALSIRKDVRKLIRSSRARQVGKCMRSKAKYM >Manes.12G020000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1762413:1764253:1 gene:Manes.12G020000.v8.1 transcript:Manes.12G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPSWLATCSTTTSTTVILFSDKQPKSSKHLVSDSSSSSDTSGSASSSSNTSDASSVTLQSNLSLQTLPSVPSLQKLTPEVLNVSISFVCSNSLQPRPKLPITCLAIHGNFLYSASSHEINVYDRTTCTHLHAFNDEDSSSGAVKSVSFCEGKIFTAHQDCRIRVWKLTPNKDHKLVTVLPTVNDRLRRFIFPKNYIKVRRHKKLLWIEHADAVTGLAVNNGLVYSVSWDRYLKIWRASDLRCLESIKAHEDAINAVVVSADGTVYTGSADCKIRVWGRPLNEKRHVLIATLEKHKSAVNALALSDDGSVLFSGACDRSILVWEREDSANHMAVTGALRGHGKAILSLINVSDLLLSGSADRTVRIWQQGPDGKYCCLAVLEGHNKPVKSLAATCEEGSNGVISVYSGSLDGEIKAWQVSASRPCSPISPYRAIKT >Manes.05G107751.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10385450:10388233:-1 gene:Manes.05G107751.v8.1 transcript:Manes.05G107751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALVSSDHIEVVPTVVEGASTLGSSSNVAIVRAVVSLNRSSPVEEAGERLKRKNYPLSQGTTTTTVGTARKKRRLVKESELISSQKETSSSLPPRKPSSNKVSKGKGKESSSQGVIGDLPTSLISNESRVHFQLSLDSSPTLIAELLNNNVFEMSLNLVDPR >Manes.08G097500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32904884:32907323:-1 gene:Manes.08G097500.v8.1 transcript:Manes.08G097500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFVGNKDMTFCGVFDGHGPYGHKVARHVRDTLPSRLSSAIKLSQSNSFRYGDGNDSNNTHKSGGCIEDHIDYSEGNPLLSTWEAGLVKCFKDMDEELSVDASIDSYCSGSTAVTVIKQGNHLIISHLGDSRAILCTRSSKNQLDAVQLTVDLKPNIASEAERIKKSKGRVFAMDEEPEVFRIWMPDQDCPGLAMARAFGDFCMKDYGLISVPEVSYRRLTKNDEFVVLATDGIWDVLSNYDVIKIVASAKKRSLAAKMVVKYAVRAWKNKSPGSRIDDCAVVCLFLKSKTLLARSFSEVSRVSANHSELAENYSEVSSASLHHSEIAAVPERPRK >Manes.08G097500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32904884:32908405:-1 gene:Manes.08G097500.v8.1 transcript:Manes.08G097500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACCSKGANGSGDEGVVVENSLEDRDYDVVEEDDNVTIGDFGARMRLQGASKFISMYTQQGKKGVNQDAMTVWEDFVGNKDMTFCGVFDGHGPYGHKVARHVRDTLPSRLSSAIKLSQSNSFRYGDGNDSNNTHKSGGCIEDHIDYSEGNPLLSTWEAGLVKCFKDMDEELSVDASIDSYCSGSTAVTVIKQGNHLIISHLGDSRAILCTRSSKNQLDAVQLTVDLKPNIASEAERIKKSKGRVFAMDEEPEVFRIWMPDQDCPGLAMARAFGDFCMKDYGLISVPEVSYRRLTKNDEFVVLATDGIWDVLSNYDVIKIVASAKKRSLAAKMVVKYAVRAWKNKSPGSRIDDCAVVCLFLKSKTLLARSFSEVSRVSANHSELAENYSEVSSASLHHSEIAAVPERPRK >Manes.16G137400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33941539:33943469:1 gene:Manes.16G137400.v8.1 transcript:Manes.16G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKSNQIREIVRLQQILKKWRKLATSSNSAAATTTNGSSKSIKFLKRTLSLSETTSSNAVPKGYLAVCVGEELKRFIIPTEYLGHHAFHSLLREAEEEFGFQQTGVLRIPCEVAVFESILKLMEAKKDVFFMQECAGLGYCSSRSQQTPSHNPQSPMCR >Manes.08G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37490878:37496145:1 gene:Manes.08G138800.v8.1 transcript:Manes.08G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAISNVFNCPKPQIAQRNFNAKRSLSILAPPFVRFSRRFSENKRLICSAASAAGSSSPDNDLNPYEVLGVSPIEGFDMVKTAYTKKRKEAERIGDEATAAQLEKAYDKLMMSQLTNRKKGMAYGSFKVSKDIKYADKQPIVPWGPRFAKSSVQDMRINLAIAGAFIAWILIKRNADYKPLQFMAFAFVYRIFEKLKAFEPTVSPTYTEDGEDDGRALRMGKRLLRSLALVFGCIAFASLAYTGILNLIEFLGSYIPAFLYDNQEMLVTVSSAVMLCILGSYYR >Manes.14G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4154256:4155733:1 gene:Manes.14G047400.v8.1 transcript:Manes.14G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSALSSAEMQRFLNQEKEKAMVNEMVAKLTSECWDKCISGAPGSKFSSSESACLSNCAQRFMDMSLIIMKRFRSMH >Manes.14G019307.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1953142:1957419:-1 gene:Manes.14G019307.v8.1 transcript:Manes.14G019307.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNLLFIFSAILFFGSVSLPAIAEPVEDKQALLDFLHNIHHSHSLNWKQSSSVCSKWTGVTCNGDQSRVVALRLPGEGIQGPIPPNTLSRLSAIQILSLRSNGISGSFPSDFSKLENLTSLYLQFNNFSGPLPTDFSMWKNLSILDLSNNRFNGSIPTSISNLTHLTSLNLANNSLSGVIPDINVPSLQSLNLANNNLTGSVPLSLLRFPSWAFSGNNLSSESAIPPALPLQPPTPQPPRKANKLSEPAILGIVLGGCVLAFVIIAMLMVCCYSKKDKEGGLPTKSQKKEVSLEKNASESQDKNNRLVFFEGCNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVPVAKKEFEQQMEVIGSIRHPNVSALRAYYYSKDEKLTVSDYYEQGSVSAMLHGKRGEGRIPLDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSEGYGCISDIGLAALMSPMPPPAMRAAGYRAPEVTDSRKATNSSDVYSFGVLLLELLTGKSPIHSTGGDEAVHLVRWVHSVVREEWTAEVFDIELLRYPNIEEEMVEMLQIGMNCVVRMPEQRPKMPDIVKMVEDIRRGSIENRPSTETNLETAVSTPTPQAADEASTSAPPPQ >Manes.14G019307.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1953132:1957566:-1 gene:Manes.14G019307.v8.1 transcript:Manes.14G019307.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNLLFIFSAILFFGSVSLPAIAEPVEDKQALLDFLHNIHHSHSLNWKQSSSVCSKWTGVTCNGDQSRVVALRLPGEGIQGPIPPNTLSRLSAIQILSLRSNGISGSFPSDFSKLENLTSLYLQFNNFSGPLPTDFSMWKNLSILDLSNNRFNGSIPTSISNLTHLTSLNLANNSLSGVIPDINVPSLQSLNLANNNLTGSVPLSLLRFPSWAFSGNNLSSESAIPPALPLQPPTPQPPRKANKLSEPAILGIVLGGCVLAFVIIAMLMVCCYSKKDKEGGLPTKSQKKEVSLEKNASESQDKNNRLVFFEGCNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVPVAKKEFEQQMEVIGSIRHPNVSALRAYYYSKDEKLTVSDYYEQGSVSAMLHGKRGEGRIPLDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSEGYGCISDIGLAALMSPMPPPAMRAAGYRAPEVTDSRKATNSSDVYSFGVLLLELLTGKSPIHSTGGDEAVHLVRWVHSVVREEWTAEVFDIELLRYPNIEEEMVEMLQIGMNCVVRMPEQRPKMPDIVKMVEDIRRGSIENRPSTETNLETAVSTPTPQAADEASTSAPPPQ >Manes.14G019307.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1953132:1957566:-1 gene:Manes.14G019307.v8.1 transcript:Manes.14G019307.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNLLFIFSAILFFGSVSLPAIAEPVEDKQALLDFLHNIHHSHSLNWKQSSSVCSKWTGVTCNGDQSRVVALRLPGEGIQGPIPPNTLSRLSAIQILSLRSNGISGSFPSDFSKLENLTSLYLQFNNFSGPLPTDFSMWKNLSILDLSNNRFNGSIPTSISNLTHLTSLNLANNSLSGVIPDINVPSLQSLNLANNNLTGSVPLSLLRFPSWAFSGNNLSSESAIPPALPLQPPTPQPPRKANKLSEPAILGIVLGGCVLAFVIIAMLMVCCYSKKDKEGGLPTKSQKKEVSLEKNASESQDKNNRLVFFEGCNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVPVAKKEFEQQMEVIGSIRHPNVSALRAYYYSKDEKLTVSDYYEQGSVSAMLHGKRGEGRIPLDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSEGYGCISDIGLAALMSPMPPPAMRAAGYRAPEVTDSRKATNSSDVYSFGVLLLELLTGKSPIHSTGGDEAVHLVRWVHSVVREEWTAEVFDIELLRYPNIEEEMVEMLQIGMNCVVRMPEQRPKMPDIVKMVEDIRRGSIENRPSTETNLETAVSTPTPQAADEASTSAPPPQ >Manes.14G019307.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1953142:1957419:-1 gene:Manes.14G019307.v8.1 transcript:Manes.14G019307.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNLLFIFSAILFFGSVSLPAIAEPVEDKQALLDFLHNIHHSHSLNWKQSSSVCSKWTGVTCNGDQSRVVALRLPGEGIQGPIPPNTLSRLSAIQILSLRSNGISGSFPSDFSKLENLTSLYLQFNNFSGPLPTDFSMWKNLSILDLSNNRFNGSIPTSISNLTHLTSLNLANNSLSGVIPDINVPSLQSLNLANNNLTGSVPLSLLRFPSWAFSGNNLSSESAIPPALPLQPPTPQPPRKANKLSEPAILGIVLGGCVLAFVIIAMLMVCCYSKKDKEGGLPTKSQKKEVSLEKNASESQDKNNRLVFFEGCNLAFDLEDLLRASAEVLGKGTFGTTYKAALEDATTVVVKRLKEVPVAKKEFEQQMEVIGSIRHPNVSALRAYYYSKDEKLTVSDYYEQGSVSAMLHGKRGEGRIPLDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSEGYGCISDIGLAALMSPMPPPAMRAAGYRAPEVTDSRKATNSSDVYSFGVLLLELLTGKSPIHSTGGDEAVHLVRWVHSVVREEWTAEVFDIELLRYPNIEEEMVEMLQIGMNCVVRMPEQRPKMPDIVKMVEDIRRGSIENRPSTETNLETAVSTPTPQAADEASTSAPPPQ >Manes.15G043500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3363328:3370452:-1 gene:Manes.15G043500.v8.1 transcript:Manes.15G043500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYWQLKKHIKKIKLSKKPQQPNKHDFGLSIFDFIPSFPIKFSLNFFRSDTKTEIIQVRSKTMDDDDGEIYHTELLQLFFQEDEIRVFFERLDEELNKVNQFYKATESEFLQRGELLNKQLQILFDLKQVLNNRRRKPVDTGVFNPSSWSSSHRNPGTIKESNDNSGESSETDEIIAVLEKNGVNLINSATRSKTKKGNHKKMALRIDIPASTPRRTISAFASMLCWEDLFNNPQNAAAPQHLINKKKIQYAEKMIRGGFVELYRGLQLLKTYSSLNMVAFTKILKKFDKVSNQQTSASYLKAVKRSHFISSDKVVRLMDEVESLFTKHFSNNDRKKAMKFLRPQQRKESHMVTFLIGLSTGCFLSLFSVYAFLAYMAGIFSPNVRRSYVETIYPVFSVFALLSLHLFMYGCNLFMWKSTRINYNFIFEFQPSTALKYRDAFLISTTLMTSVVAALIIHLLLRASGFSPSHVDAIPGVLFLIFMALLICPFDFFYRPTRYCFIRVIRNIICSPLYKVLMVDFFMADQLTSQIPLMRHLESMACYLLAGTFRTHRYATCNSGRLYMELAYVISFLPYYWRAMQCARRWFDESDVNHLKNMGKYVSAMVAAGARLTYSRQENYLWFCIVLVSSAVATVYQLYWDFVKDWGLLNPKSKNPWLRDDLILKNKSIYYISIFRFNIVESRMLDFFLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRETDSDG >Manes.15G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3363143:3370569:-1 gene:Manes.15G043500.v8.1 transcript:Manes.15G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYWQLKKHIKKIKLSKKPQQPNKHDFGLSIFDFIPSFPIKFSLNFFRSDTKTEIIQVRSKTMDDDDGEIYHTELLQLFFQEDEIRVFFERLDEELNKVNQFYKATESEFLQRGELLNKQLQILFDLKQVLNNRRRKPVDTGVFNPSSWSSSHRNPGTIKESNDNSGESSETDEIIAVLEKNGVNLINSATRSKTKKGNHKKMALRIDIPASTPRRTISAFASMLCWEDLFNNPQNAAAPQHLINKKKIQYAEKMIRGGFVELYRGLQLLKTYSSLNMVAFTKILKKFDKVSNQQTSASYLKAVKRSHFISSDKVVRLMDEVESLFTKHFSNNDRKKAMKFLRPQQRKESHMVTFLIGLSTGCFLSLFSVYAFLAYMAGIFSPNVRRSYVETIYPVFSVFALLSLHLFMYGCNLFMWKSTRINYNFIFEFQPSTALKYRDAFLISTTLMTSVVAALIIHLLLRASGFSPSHVDAIPGVLFLIFMALLICPFDFFYRPTRYCFIRVIRNIICSPLYKVLMVDFFMADQLTSQIPLMRHLESMACYLLAGTFRTHRYATCNSGRLYMELAYVISFLPYYWRAMQCARRWFDESDVNHLKNMGKYVSAMVAAGARLTYSRQENYLWFCIVLVSSAVATVYQLYWDFVKDWGLLNPKSKNPWLRDDLILKNKSIYYISIALNIVLRVVWVETMMQFRFNIVESRMLDFFLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRETDSDG >Manes.15G043500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3363382:3370402:-1 gene:Manes.15G043500.v8.1 transcript:Manes.15G043500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYWQLKKHIKKIKLSKKPQQPNKHDFGLSIFDFIPSFPIKFSLNFFRSDTKTEIIQVRSKTMDDDDGEIYHTELLQLFFQEDEIRVFFERLDEELNKVNQFYKATESEFLQRGELLNKQLQILFDLKQVLNNRRRKPVDTGVFNPSSWSSSHRNPGTIKESNDNSGESSETDEIIAVLEKNGVNLINSATRSKTKKGNHKKMALRIDIPASTPRRTISAFASMLCWEDLFNNPQNAAAPQHLINKKKIQYAEKMIRGGFVELYRGLQLLKTYSSLNMVAFTKILKKFDKVINCMVSNQQTSASYLKAVKRSHFISSDKVVRLMDEVESLFTKHFSNNDRKKAMKFLRPQQRKESHMVTFLIGLSTGCFLSLFSVYAFLAYMAGIFSPNVRRSYVETIYPVFSVFALLSLHLFMYGCNLFMWKSTRINYNFIFEFQPSTALKYRDAFLISTTLMTSVVAALIIHLLLRASGFSPSHVDAIPGVLFLIFMALLICPFDFFYRPTRYCFIRVIRNIICSPLYKVLMVDFFMADQLTSQIPLMRHLESMACYLLAGTFRTHRYATCNSGRLYMELAYVISFLPYYWRAMQCARRWFDESDVNHLKNMGKYVSAMVAAGARLTYSRQENYLWFCIVLVSSAVATVYQLYWDFVKDWGLLNPKSKNPWLRDDLILKNKSIYYISIALNIVLRVVWVETMMQFRFNIVESRMLDFFLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRETDSDG >Manes.02G075300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5736603:5739833:-1 gene:Manes.02G075300.v8.1 transcript:Manes.02G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSSAFCFAGVALHSRHSVNFRRKAFSDNGLNETKDSFLVVLFGEMLIHFVPTISGLSLAKAPAFKKAPGGAPANVAVGVARLGGCSAFIGKVGEDEFGYMLANILKENNVNNEGMLFDPGARTAFAFVTLRSDGECEFMFYCNPSADVLLREDELDFNMIRKAKIFHYGSVSLITEPCKLAHIAAAKAAKDAGVLLSYDPNLRLPLWSSAESAREGILSIWELADVIKISFLTKGEDPYDDAVVRKLFHPNLKMLLVTEGADGCRYYTKVMEFSGRVKGLKVDAVDTTGAGDAFVVLLLI >Manes.17G006637.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:3487145:3490955:-1 gene:Manes.17G006637.v8.1 transcript:Manes.17G006637.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYSNRSHIIPEASTGTSVPHFAWFYSGNFYSPPKTSSVHSQGTQIDSEPGLPVDPIRSVAPTTEPAKKNNSGTKPAKARKQKPSVKGSNQISSKISKPKQPKKASSKKNGQNMPEAKREKRNLNVDVDRMNFDLSGVPSPFCSCTGMPRVCYKWGAGGWQSSCCTITISEYPLPMSSARPGARMAGRKMSSGAYVKLLLKLAAEGHDLSHPVDLKDHWARHGTNKFVIIK >Manes.17G006637.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:3488564:3490955:-1 gene:Manes.17G006637.v8.1 transcript:Manes.17G006637.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYSNRSHIIPEASTGTSVPHFAWFYSGNFYSPPKTSSVHSQGTQIDSEPGLPVDPIRSVAPTTEPAKKNNSGTKPAKARKQKPSVKGSNQISSKISKPKQPKKASSKKNGQNMPEAKREKRNLNVDVDRMNFDLSGVPSPFCSCTGMPRVCYKWGAGGWQSSCCTITISEYPLPMSSARPGARMAGRKMSSGAYVKLLLKLAAEGHDLSHPVDLKDHWARHGTNKFVIIK >Manes.17G006637.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:3488242:3490968:-1 gene:Manes.17G006637.v8.1 transcript:Manes.17G006637.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYSNRSHIIPEASTGTSVPHFAWFYSGNFYSPPKTSSVHSQGTQIDSEPGLPVDPIRSVAPTTEPAKKNNSGTKPAKARKQKPSVKGSNQISSKISKPKQPKKASSKKNGQNMPEAKREKRNLNVDVDRMNFDLSGVPSPFCSCTGMPRVCYKWGAGGWQSSCCTITISEYPLPMSSARPGARMAGRKMSSGAYVKLLLKLAAEGHDLSHPVDLKDHWARHGTNKFVIIK >Manes.17G006637.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:3487631:3490955:-1 gene:Manes.17G006637.v8.1 transcript:Manes.17G006637.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYSNRSHIIPEASTGTSVPHFAWFYSGNFYSPPKTSSVHSQGTQIDSEPGLPVDPIRSVAPTTEPAKKNNSGTKPAKARKQKPSVKGSNQISSKISKPKQPKKASSKKNGQNMPEAKREKRNLNVDVDRMNFDLSGVPSPFCSCTGMPRVCYKWGAGGWQSSCCTITISEYPLPMSSARPGARMAGRKMSSGAYVKLLLKLAAEGHDLSHPVDLKDHWARHGTNKFVIIK >Manes.04G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32393625:32394861:1 gene:Manes.04G122400.v8.1 transcript:Manes.04G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMEERRARSLMVVFMVLGMAVGQSNASSFGDCYKGCFLLCVLTTSNSLVSCGAECLKDCIIPSSITSLTGKEQTHYFCKFGCASSLCTNFSTKQDPGEEKVAKCVDSCSSRCSKNFSP >Manes.16G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5823734:5827830:1 gene:Manes.16G041600.v8.1 transcript:Manes.16G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFCFLLIWVDVTLASDGLLLSPKGVNYEVTALMAVKRDIVDEFRVMEGWDINSVDPCTWNMIGCSTEGFVISLEMASKGLSGTLSPSIGNLSHLRTMQLQNNQLTGSIPVEIGKLSELETLDLSGNQFAGDIPSSLGFLTRLSYLRLSRNKLSGQIPKLVADLNGLLFLDLSFNNLSGPIPKLLVKGYSIVGNRFLCSSLPTQICVEGPKPGNETVSSQKASNHHWRVLSVAIGVSFTFVISVIVLACWVHWYRSHVLLTSYVQQGYEFHVGHLKRFSFRELQLATGNFNSTNILGQGGFGVVYKGCLPNKTMIAVKRLKDPNYTGEMQFQTEVEMIGLALHRNLLRLYGFCMTSEERLLVYPYMPNGSVADRLRETCREKPSLDWSRRIHIALGTARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDARNGQIQKGMILDWVRTLHEEKRLEVLVDRDLKGCFDAVELEKAAELAMQCTQSHPNLRPKMSEVLKVLEGLVGQSGIEESQGVSYTHEARVCSFSRDYSDIHEESSFIIEAMELSGPR >Manes.16G041600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5823733:5827830:1 gene:Manes.16G041600.v8.1 transcript:Manes.16G041600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFCFLLIWVDVTLASDGLLLSPKGVNYEVTALMAVKRDIVDEFRVMEGWDINSVDPCTWNMIGCSTEGFVISLEMASKGLSGTLSPSIGNLSHLRTMQLQNNQLTGSIPVEIGKLSELETLDLSGNQFAGDIPSSLGFLTRLSYLRLSRNKLSGQIPKLVADLNGLLFLDLSFNNLSGPIPKLLVKGYSIVGNRFLCSSLPTQICVEGPKPGNETVSSQKASNHHWRVLSVAIGVSFTFVISVIVLACWVHWYRSHVLLTSYVQQGYEFHVGHLKRFSFRELQLATGNFNSTNILGQGGFGVVYKGCLPNKTMIAVKRLKDPNYTGEMQFQTEVEMIGLALHRNLLRLYGFCMTSEERLLVYPYMPNGSVADRLRETCREKPSLDWSRRIHIALGTARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDQRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDARNGQIQKGMILDWIRVCRLGPCMRRRGWKF >Manes.06G165100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29150164:29156072:1 gene:Manes.06G165100.v8.1 transcript:Manes.06G165100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVALCSCPEVILSQTPKTIFSVCFHKLINCQSFHSPAALKRTLNSTMSSCNLFSSSLLSSTLPKISFSFLSPTSFSPPLFCPSNPFFSLQIPRSSPSLFKLTSHKSFADTHIDEFQKDEAEIEFDESDSEDYAIDIEELEEEAEDAVREFSTSLSRQLRIEDETGDRKETRKQKRQKTNALEIPDHLLPRVAIVGRPNVGKSALFNRFVGGNRAIVVDEPGVTRDRLYGRAFWGEYEFMVVDTGGVLTLSNSQANVMEELAITTTIGMDGIPLASREAAVARMPSMIERQATAAVEESSVIIFLVDGQAGLTAADVEIAEWLRKNYSNKFVILAVNKCESPRKGIMQASEFWSLGLSPLPISALSGTGTGELLDLVCSQLAKIEGSQNVDEEQNYVPAIAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFSGPDGQKFQLIDTAGIRRRAAVASSGSLTEALSVNRAFRAIRRSDVVALVIEALACITEQDCKIAERIEKEGKGCLIVVNKWDTIPNKNQQTATYYEQDVREKLRILHWAPIVYSTAIAGHNVDKIIVAASAVEKERSRRLNTSILNQVVREALAFKSPPRTRGGKRGRIYYCTQAAIRPPTFVFFVNDASLFPETYRRYMEKQLRSDAGFSGTPIRLLWRSRRKMEKSEVKGATRTQLNLTPRG >Manes.12G106100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28793403:28794220:1 gene:Manes.12G106100.v8.1 transcript:Manes.12G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQSVCPLDVILLTVSIEEQLYMLKTSQSSSIDHKSAVLKELYDCVNDFLQLQFTQQIFCHEGQNQSSLESSIRRKRVGESGFEEVDIFMASRKRSSKVICKCLGNLKRQEKNCITATLDENFDLGNMINLLKGAEEISIAVFESILSSISQTKGRTMISGWSVVSKLLQPKNGEFEANEVEKIVGALLALKSSKDIEQVESVLKGLEALESSLEEAGEELECVYRRLVKTRVTLLNILNH >Manes.04G019400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2388717:2394270:1 gene:Manes.04G019400.v8.1 transcript:Manes.04G019400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRNSTLRSGDYLEGVLSEYVGGRTKLKVNKSGSAKLVTALTCLQFAFAVYATLLLYYMSPALDLRTKPDFTWATRIAQHWKQFVVPPRVLGRYQEAASIVGTEIQPITPSEVCEHEKIDFQQKKSNDVQMIKLKRELYDEILGFQSKSIGTETLSELMAMKSKWDLRGSNRPKVTVILNHFKRKTLCSQLDSLLQQTLPFHHVWVLAFGSPNELSLKRIVDSYNDSRISFMGSSYDFKYYGRFQMALQTEADLVYIIDDDMIPGKKMLQILSHVAGTEKYQNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKTLFIEGPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKTLSTGYVTQWAAMYPQKIDALFYAHSVDEVKALAPLLEKFRTTVGKKAYIAVSGGKFCPCEDAATALKWPKLVCKERRFKIFDLDVGALSGLSNSEVPVMQAVYSSMKGLIKIHNPSVVITVADIDPNLKKALKMATETSTNGTTMILLPRPSISKVLWMADLRSTALPNWNKMRVSVNIITQNRAHSLTRLLNSLKNAYYLGDEIPISFNMDSKVDEATIRLVSSFDWPHGPKTFRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSFPELSSISLYTPRLVEVVKERPKWNPTEFFKRIHPNTPYVHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKSNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKSDFEVPLLKEDFRNFLPNGKLPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCDNVTEIVSVDHETGLPIQCMKF >Manes.04G019400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2388841:2394270:1 gene:Manes.04G019400.v8.1 transcript:Manes.04G019400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRNSTLRSGDYLEGVLSEYVGGRTKLKVNKSGSAKLVTALTCLQFAFAVYATLLLYYMSPALDLRTKPDFTWATRIAQHWKQFVVPPRVLGRYQEAASIVGTEIQPITPSEVCEHEKIDFQQKKSNDVQMIKLKRELYDEILGFQSKSIGTETLSELMAMKSKWDLRGSNRPKVTVILNHFKRKTLCSQLDSLLQQTLPFHHVWVLAFGSPNELSLKRIVDSYNDSRISFMGSSYDFKYYGRFQMALQTEADLVYIIDDDMIPGKKMLQILSHVAGTEKYQNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKTLFIEGPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKTLSTGYVTQWAAMYPQKIDALFYAHSVDEVKALAPLLEKFRTTVGKKAYIAVSGGKFCPCEDAATALKWPKLVCKERRFKIFDLDVGALSGLSNSEVPVMQAVYSSMKGLIKIHNPSVVITVADIDPNLKKALKMATETSTNGTTMILLPRPSISKVLWMADLRSTALPNWNKMRVSVNIITQNRAHSLTRLLNSLKNAYYLGDEIPISFNMDSKVDEATIRLVSSFDWPHGPKTFRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPQVSFPELSSISLYTPRLVEVVKERPKWNPTEFFKRIHPNTPYVHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKSNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKSDFEVPLLKEDFRNFLPNGKLPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCDNVTEIVSVDHETGLPIQCMKF >Manes.01G073300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27560495:27565648:-1 gene:Manes.01G073300.v8.1 transcript:Manes.01G073300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKAQSSVLAFSDYTVLPVSMVINAVGELANEGAERCDPQVITQASSLSNLPVDSSSMDVVISISRSLQFPSDLLFGEISRVLKPGGTVLICKSLQSVAEETDKEILTLERKLLLAGFLETQGLQLKAVGLSGVVQSFGVKAKKPSWKIGSSFAIKKSTKGSVKVQIDDDLIDEDSLLTEDDLKKPQLPAVGDCEVGSTRKACKNCTCGRAEAEEKVKLGLTMDQLNNPQSACGNCGLGDAFRCSTCPYKGLPPFKLGEKVSLSGNFLAADI >Manes.01G073300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27560495:27565648:-1 gene:Manes.01G073300.v8.1 transcript:Manes.01G073300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKAQSSVLAFSDYTVLPVSMVINAVGELANEGAERCDPQVITQASSLSNLPVDSSSMDVVISISRSLQFPSDLLFGEISRVLKPGGTVLICKSLQSVAEETDKEILTLERKLLLAGFLETQGLQLKAVGLSGVVQSFGVKAKKPSWKIGSSFAIKKSTKGSVKVQIDDDLIDEDSLLTEDDLKKPQLPAVGDCEVGSTRKACKNCTCGRAEAEEKVKLGLTMDQLNNPQSACGNCGLGDAFRCSTCPYKGLPPFKLGEKVSLSGNFLAADI >Manes.01G073300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27560495:27565648:-1 gene:Manes.01G073300.v8.1 transcript:Manes.01G073300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKAQSSVLAFSDYTVLPVSMVINAVGELANEGAERCDPQVITQASSLSNLPVDSSSMDVVISISRSLQFPSDLLFGEISRVLKPGGTVLICKSLQSVAEETDKEILTLERKLLLAGFLETQGLQLKAVGLSGVVQSFGVKAKKPSWKIGSSFAIKKSTKGSVKVQIDDDLIDEDSLLTEDDLKKPQLPAGSTRKACKNCTCGRAEAEEKVKLGLTMDQLNNPQSACGNCGLGDAFRCSTCPYKGLPPFKLGEKVSLSGNFLAADI >Manes.14G121905.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11836109:11836975:1 gene:Manes.14G121905.v8.1 transcript:Manes.14G121905.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMKVCFMVLAMIMVLLLQGIWCSADCWETDRIALLQLQSHLNYSLQHDPNIPFYYDYSTSFSKIDVIKCCDWERVRCSATTGRIIQLNLHSIRDFSAGMWYLNASLFLPFQHLNHLDLNYNQITGCLKNEGLYIYIFHYHNYNCISLHMVIQIK >Manes.11G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1085900:1089479:-1 gene:Manes.11G010500.v8.1 transcript:Manes.11G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEKSSEGLRRGDIVWARVVYPKKWWPGLILSIDVFGIAVSFFDGGENPRYFLETEVCSFQENFESLIGTVKNCSKGEELVDAALKLMSKRVTSSLKCLCHYRPPLQSDAISRRSKRSRRGDFFRPDAVLAFVLGLAVSPFVSISDFIYAVNASAQLNAFRNYVVSKQKLFRQPEATRDHHERDDFNCLSPPLALGACSGVQKSDAFELEFEPLSDDQPNSGSWIQTEETQLQSLHELLLKLHSLALDTNYMRGEFLNAVKQKILTIRYCAYQTILNTGTEKCSCRSNAVQFSRTCYKKSRPSVKVVENDCRDISTPHKSNFPCPISFLGSKRNYNYPVDSDFCFKFCKTTTLFSINGADADIQKRKAGPNVCMFGTLISQPALANLYLKCCNTMPFSFSNGTDAHLQINRSRCEASTSGAFFSGSQVGVLTVDARSRTTNYLASPCAANQTQMEPCNSGDATSVETNRQESTDASFRDSSMDGDNETVVEINKQVRTDAAFRDRSIYGDVGSSVETNKQVSNVVFKDSYMDVDDDTLTETNRQVCADATFRDSSVDNKKLHLLFTCHVPLKPQAAQQSPYDTIAHSRSLCMKFPKNFILPLKKDLIRKFSIFGEVDSFRTKIYTRTGAALVVFLHQLDAVAAYQYAKRKRNIFGEPNILFWLDYPREKKRRKTKFIVPLPNLKSCLKKSDLHGREDKKCTKRVRFLMET >Manes.15G041400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3196982:3202552:1 gene:Manes.15G041400.v8.1 transcript:Manes.15G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHQSKDELLYQQVNYGNIDAIKALRREGAGLEWIDRDGKTPLILACLNSELHHVAKTLIELGANVNAYRRGHNGGTPLHHAAKSGLDSTVKLLLSHGGNALVLNDDCQTPLEVARAKGHNNVVRTIENHICLFSGWMREFYGPGFLEVIAPQFVSRKVWAVILPTGSRNPMKPLKLELAIYSSSQDAQPRTLIALWKANLEEPKFHLSDPSVIIVDHATIPRGRRRRRVRHRPQKVRQTRIKLAPANEDDRQQLQWFCDACKGIPQVMHLPAFLHNSEPSTFQATAPPSAEDLELTMAINASIHSASAVDAYSSEASASTSWNNPVNAGSQSAPSKATSSLWAAHEAGPSGNSTRQDIQSSNFTTVQTATQALDPVTSAPPVIDDIVEDGPVHYPSIDSSPIERSSPHMENLTASTQEKGEDGGSSSCVICLDAPIEGACIPCGHMAGCMSCLKEVKAKKWGCPVCRAKIDQVIRLYAV >Manes.11G094500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19387111:19392546:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKDEDAFFRDYAVSHKKLSELGFAGSSSGSKAIVKNSTVLAQSAVGVVVAAAVVIVSYLYEVRKKLN >Manes.11G094500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19387111:19395991:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKLKIKSCLE >Manes.11G094500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19387101:19395991:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKLKIKSCLE >Manes.11G094500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19387085:19395991:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKDEDAFFRDYAVSHKKLSELGFAGSSSGSKAIVKNSTVLAQSAVGVVVAAAVVIVSYLYEVRKKLN >Manes.11G094500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19387055:19400558:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKDEDAFFRDYAVSHKKLSELGFAGSSSGSKAIVKNSTVLAQSAVGVVVAAAVVIVSYLYEVRKKLN >Manes.11G094500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19387111:19395991:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKDEDAFFRDYAVSHKKLSELGFAGSSSGSKAIVKNSTVLAQSAVGVVVAAAVVIVSYLYEVRKKLN >Manes.11G094500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19386923:19400574:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKDEDAFFRDYAVSHKKLSELGFAGSSSGSKAIVKNSTVLAQSAVGVVVAAAVVIVSYLYEVRKKLN >Manes.11G094500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19387099:19400548:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKLKIKSCLE >Manes.11G094500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19387111:19392546:-1 gene:Manes.11G094500.v8.1 transcript:Manes.11G094500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:APX1 MALPVVDTEYLKDIDKARRNLRALIAYKNCAPIMLRLAWHDAGTYDKNTKTGGPNGSIRNEEEYTHGANSGLKIALDFCEEVKAKHPNITYADLYQLAGVVAVEVTGGPSIDFVPGRKDSKVSPKEGRLPDAKKGPPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTTEPLKFDNSYFVELLRGETEGLLKLPTDIALVEDPQFRPYVELYAKLKIKSCLE >Manes.08G146600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38207333:38221010:-1 gene:Manes.08G146600.v8.1 transcript:Manes.08G146600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHIYPIDPILALDISSKYAAHCSIIASRFGLPPFNHPPPIPVKRDRSERLRIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRQRIQSEAEHFVDVSAMSSDMIAKLINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPIRYSHIYSEKLVHMPHCYFVNDYKQKNLDVLDPTCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRSYAVAQGVHPEQIIFTDVAMKHEHIRRSALADLFLDSPLCNAHTTGTDILWTGLPMVTLPLEKMATRVAGSLCLATGLGEEMIVSSMKEYEERAVSLALNKPKLQALTNKLKAVRMTCPLFDTARWVRNLERAYFKMWNIHCSGQQPQHFKVTERDSEFPYDR >Manes.03G189500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30918840:30920794:1 gene:Manes.03G189500.v8.1 transcript:Manes.03G189500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYRSRSRSYSPRRGSRTPPRGRKHYYEDEGPRDRYRDSRSHRDRRSPAPSGLLVRNLPLDARPEDLRVPFEKYGPVKDVYLPKNYYTGEPRGFGFVKYRYAEDAAEAKQRMNHKIIGGREIRIVFAEENRKTPQEMRTTARVSGRYGGSHRGRTPPRSPRRQHRSYSHSPSPARHDSRFDFIC >Manes.03G189500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30918736:30923070:1 gene:Manes.03G189500.v8.1 transcript:Manes.03G189500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYRSRSRSYSPRRGSRTPPRGRKHYYEDEGPRDRYRDSRSHRDRRSPAPSGLLVRNLPLDASSLGLKDLVMVWCRPEDLRVPFEKYGPVKDVYLPKNYYTGEPRGFGFVKYRYAEDAAEAKQRMNHKIIGGREIRIVFAEENRKTPQEMRTTARVSGRYGGSHRGRTPPRSPRRQHRSYSHSPSPARHDSS >Manes.03G189500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30918725:30923095:1 gene:Manes.03G189500.v8.1 transcript:Manes.03G189500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYRSRSRSYSPRRGSRTPPRGRKHYYEDEGPRDRYRDSRSHRDRRSPAPSGLLVRNLPLDARPEDLRVPFEKYGPVKDVYLPKNYYTGEPRGFGFVKYRYAEDAAEAKQRMNHKIIGGREIRIVFAEENRKTPQEMRTTARVSGRYGGSHRGRTPPRSPRRQHRSYSHSPSPARHDSS >Manes.03G189500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30918725:30923454:1 gene:Manes.03G189500.v8.1 transcript:Manes.03G189500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYRSRSRSYSPRRGSRTPPRGRKHYYEDEGPRDRYRDSRSHRDRRSPAPSGLLVRNLPLDARPEDLRVPFEKYGPVKDVYLPKNYYTGEPRGFGFVKYRYAEDAAEAKQRMNHKIIGGREIRIVFAEENRKTPQEMRTTARVSGRYGGSHRGRTPPRSPRRQHRSYSHSPSPARHDSRDHGARDDYNSPGRARSISRSRSPRDERDYRLNERFPSPRQNGRSPRDEGDYASARSRSPRGNGRSQSRSPSRSYGSR >Manes.03G189500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30918736:30923070:1 gene:Manes.03G189500.v8.1 transcript:Manes.03G189500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYRSRSRSYSPRRGSRTPPRGRKHYYEDEGPRDRYRDSRSHRDRRSPAPSGLLVRNLPLDASSLGLKDLVMVWCRPEDLRVPFEKYGPVKDVYLPKNYYTGEPRGFGFVKYRYAEDAAEAKQRMNHKIIGGREIRIVFAEENRKTPQEMRTTARVSGRYGGSHRGRTPPRSPRRQHRSYSHSPSPARHDSRDHGARDDYNSPGRARSISRSRSPRDERDYRLNERFPSPRQNGRSPRDEGDYASARSRSPRGNGRSQSRSPSRSYGSR >Manes.03G189500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30918725:30923176:1 gene:Manes.03G189500.v8.1 transcript:Manes.03G189500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYRSRSRSYSPRRGSRTPPRGRKHYYEDEGPRDRYRDSRSHRDRRSPAPSGLLVRNLPLDARPEDLRVPFEKYGPVKDVYLPKNYYTGEPRGFGFVKYRYAEDAAEAKQRMNHKIIGGREIRIVFAEENRKTPQEMRTTARVSGRYGGSHRGRTPPRSPRRQHRSYSHSPSPARHDSRFDFIC >Manes.12G029600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2571175:2585463:-1 gene:Manes.12G029600.v8.1 transcript:Manes.12G029600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDSNGKSGESRSLPFDIDLNETPLSSPREAVVSVTGDDFVPTPVAGEGSRGAKGKLVFLDINALPSEAEGEGNGELVNSGMHSVPGTSSIGNSNNAPVTCPTLLFVGNQCDRTKEPGNVTNVIKSGPVDIVQQRLNFVRTMREVDPHSVFIGRHWTSDYSANRVLFQNQSEKFLQNLREFIFDNHGILEDGWRVEFFYCQNRCKTFAVYCSPDGNKFESMFDVACHLGLVSNCHSLIPQNRNDGFALARNRLHLHRRRKEPLLFSRTKNLKEYQENSKSSFVGKFSSGIETMDAGNCRLRSDIRAAQANSEPAGIYGSSHCKEGFPVQFEDFSILSMGEVDPRPSYHCSSQIWPVGYRSSWHDRITGSLFVCDISDGGDCGPVFKVQRYPCCTQPIPIGSTILSRTDLGSNNNKTYSASDVDDHEDIDVQTILSDPSPPHLDFNVLTGVATSLDEASNFHGADGLQSNSNHIPKAIGKPALSNKILGDDIGEFIVQGRSSSSVWKMMSEKLVQSCHEVYKQTGVCKLYCRHVYEWWSSCMVHKTVEVTESTDALAKFCHMSCPLHIPLHVESNDELTSSCDTLMKWIGQDRFGLDIDFVQELIEQLPGVHSCSDYTFLNNRSEKSKLQTVGNGLLLAKRKGDIESEKETYRTSKECKNRKEQFLKDFCPPGKPLSSKLPTVLVGDVLQSWELLWRFSEVLGLDKPLSFKELEEELVDCYSSTYRSISASTVSAKSQHAFITAKKETSGEGARRKQSSDNHISCVGKALSKAHCALLKVLLAELECKLAVIVDPTIECGESKLRKRRKKETDNLNFARKSMLDLLPINELTWPELARRYLLTVLSMEGNLDSLEVLRSESCKVFHCLQGDSGGLHGSLPAVAVIEADALLLAEATKHIFGTSSNISDNLNMDSIESVAPSPRNEVRVNNGEVPEWAKVLEPVRKLPTNVGARIRRCIYDALALNPPEWATKILEHSISKEVYKGNASGPTKKAVLSVLANVCGEDLQQKPTRKRKGKYICTLSEVIMKQCRKVLRCAVAADEEKVFCNLLGRTLLNTSDNDDEGLIGFPTMVPRPLDFRTVDLRLASGAYGGSHEAFLEDVREVWHHIRTAYADQSDLVHLADTLSQNFEALYEKEVLTLVQKLTGYVAVECLSSEAKKEMEDILEHASDIPKAPWDEGVCKVCGVDKDDDNVLLCDKCDSGYHTYCLNPPLARIPEGNWYCPSCIPGHRIAQSASQIPPFVCQYPKRKRQGELTRGVLETLAHLGTTMEVKDYWEYSVEERIFLLKFLSAEVLNSANIREHLDQCASSFADLQQKLRSLSTEWRNLKSREEVLVEKVAKGDTSVLNGVGKSGMEGLAAMLPNYDKLLGQPLNRYSSCSNPSIDLTSLEGGPKFHRTSDFTKQPCCFHPKGDPQQHSTNCASQFIKIPDSEHQGNKPDLNELQACNLEAISIKNRISVLQDSIASLDLQLQKVSLRKDFLGRDSAGRLYWAFFRPDSSSWVVVDGTVAMQQEKIVGEHGKLLYTNLTPNSLPIGTDNVSKFKGSNFIGPYYNDLTNGTPVAFQLFSYKSDAEIEELIQWLKHSDPMQRELIDSLVQRLKVGYDNSNETEDHVHEIYQPTPMPVNVEKAVQSNALQTNALIALEKRYDPCLETDIDNISVKWSRTEEVTYAEKVSRCECLEPMWPSRHHCHSCHWSFVSKCEFKEHNDGKCSSAVCASQSSKVIDVSKGKACTRIEQGERSEKLRNFKSSSMGCEIEFGSVRYPKDFLSPYKLEEISAKFVTRSSIKELVQEIGLIGSNGIPSFVQCGSPYLGDPTLKLVLPWKKEVSQSDGSSCVEIQSHQAMKENMPTNKKHLNSINSIRSCTTGDLYEELQEIRKSNLINDKRDQSALRISCSKWRKASSEIHDSSLRPLVGKGAQILRQLKVNLLDMDAALPEGALKSSKAYLEKRCAWRAFVKSTKSIFEMVQATIVFENMIKTDYLRNEWWYWSSIYTAAKIATISSLALRIYCLDAAIIYEKPLPLSPCKENAGVGNKLDNDSSPQTDLASNSKPSSKPVLRTPNSDPTDNGKPQSRSGKKRKDSGG >Manes.13G104100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30436588:30443563:1 gene:Manes.13G104100.v8.1 transcript:Manes.13G104100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQELEWLEAQKTAISVDLLAAAKQQLQFLAAVDKNRWLYEGPTLEYAIYRYNACWLPFLAKHSESPVSEGPLVLPLDCEWVWHCHRLNPVRYKTDCEDLFGRILDNSNVVSSVKGICGKQTQEIWNKLYPDEPYEFDLTRALSATTNEKLLANEKCTKYDLVSAVKRQSPFYYQVCRTHMNYDIFLEGAVARYKGFLHLIKRNKERSIKRFCVPTYDIDLIWHTHQLHPISYCKDLNEALGKILEHDDMDSDRTKGKKLDAGFSGTTKQWEETFGTRYWKAGAMYRGIAPSPLTRTPFLPTLLRKDVLTSNEYQKIIQLPEVKIVEVLLEIVGVKNLPEGHKGCLFVRFSKKQPDVFFNTRQKLTILAESEEKQVASFQCEPKGELIFELISHSPSNLLGHKAFRTMGTASLSLQDYLNPVSTLSVEKWVELLPSSGNLSSKPICLRIAVSFTVPIQAPYVLHMVHPKSLSISSCFFPLPGKVQHDKIWTHITDENDTEVISLQMRDSTKAKTTDKSIPKKQVVGAVKSVETRVLAEFMRTHWSLMDSQWCLHFKTKSDEDGYYCDLIGSRMIKIFHGRKLDFEPKHCEKQRNEQDFVTAVEFSAEDPYGKAVALLDLKYGSLKVKEEWLVLPAIVAAFILADILKNKGNGGFIVNSEKLELNGNVEEFSGFHEEANQKSNSTESKVELNVDEIKSAGCGGGCGGGGCGNVVKSGSCGSVCGGGGCGNVVKSGSCGGGCGGGCGNTVKSGSCSGSCGGGCGNTAKSGGRGGGCGNTANSGGCGGGCGAGCGDMVNSRGSGGGCDNMVKSGGCGSGCGGGCGGMFKSDGNGLGDEVESGGCGNKTSYETSISNSHIDSSPKESSVHVTEAIVA >Manes.13G104100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30436597:30443563:1 gene:Manes.13G104100.v8.1 transcript:Manes.13G104100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQELEWLEAQKTAISVDLLAAAKQQLQFLAAVDKNRWLYEGPTLEYAIYRYNACWLPFLAKHSESPVSEGPLVLPLDCEWVWHCHRLNPVRYKTDCEDLFGRILDNSNVVSSVKGICGKQTQEIWNKLYPDEPYEFDLTRALSATTNEKLLANEKCTKYDLVSAVKRQSPFYYQVCRTHMNYDIFLEGAVARYKGFLHLIKRNKERSIKRFCVPTYDIDLIWHTHQLHPISYCKDLNEALGKILEHDDMDSDRTKGKKLDAGFSGTTKQWEETFGTRYWKAGAMYRGIAPSPLTRTPFLPTLLRKDVLTSNEYQKIIQLPEVKIVEVLLEIVGVKNLPEGHKGCLFVRFSKKQPDVFFNTRQKLTILAESEEKQVASFQCEPKGELIFELISHSPSNLLGHKAFRTMGTASLSLQDYLNPVSTLSVEKWVELLPSSGNLSSKPICLRIAVSFTVPIQAPYVLHMVHPKSLSISSCFFPLPGKVQHDKIWTHITDENDTEVISLQMRDSTKAKTTDKSIPKKQVVGAVKSVETRVLAEFMRTHWSLMDSQWCLHFKTKSDEDGYYCDLIGSRMIKIFHGRKLDFEPKHCEKQRNEQDFVTAVEFSAEDPYGKAVALLDLKYGSLKVKEEWLVLPAIVAAFILADILKNKGNGGFIVNSEKLELNGNVEEFSGFHEEANQKSNSTESKVELNVDEIKSAGCGGGCGGGGCGNVVKSGSCGSVCGGGGCGNVVKSGSCGGGCGGGCGNTVKSGSCSGSCGGGCGNTAKSGGRGGGCGNTANSGGCGGGCGAGCGDMVNSRGSGGGCDNMVKSGGCGSGCGGGCGGMFKSDGNGLGDEVESGGCGNKTSYETSISNSHIDSSPKESSVHVTEAIVA >Manes.04G160500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35501944:35509270:1 gene:Manes.04G160500.v8.1 transcript:Manes.04G160500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKSRNFRRRGEEYDDNNDASTNVHSSAASRKPSSSSSSSKPKKLLSFADDEDDDTPSSRISSSKSSSSQKPSSRLTKPSSSHKLTVSKDRLPPTPSSTTSNVLPQAGIYTKEALLELQKNTRTLAKPTSSALKTPSSSTSEPKIILKGLLKPTQEDPVAQTLKQSQQLDSEEDDQQPEGSDRNDAENRFASLGLGKSNDYSLIPDEETIKKIRARRERMRQSRAAAPDYISLDGGPSHGAAEGLSDEEPEFRTRIAMIGKKETSSSGHGVFEDFDNDGDDNGRIKGMTGITAARYHNNQVTMEEGTGGVDDEDEEDKIWEEEQFRKGLGKRMDDVSGTTRVFTSSTTALLQNQQPQQQQRPVMYGSVPSIGGSSVASQGSDALSIPQQAEIARKALQDNVRRLKESHSRTVLSLAKTDENLSASLMNITALERSLSAAGEKFIFMQKLRGFVSVICEFLQHKAPYIEELEEQMQKLHEQRASAIVERRTADNDDEMMEVEAAVRAAMLVFSEHGSSASVVAAATSAAQAALATAKEQANLPVKLDEFGRDINQQKRMDMKRRAEARHRRKAPFESKRLSAMEVDGSDQKIEGESSTDESDSESAAYQSNRDLLLQTADQVLSDASEEYSQLSVVKERFESWKKEYSTSYRDAYMSLSAPAIFSPYVRLELLKWDPLHEDADFFDMKWHSLLFNYGLPEDGSDLSPDADSNLVPTLVEKVAIPILHHEIAHCWDMLSTQETKNAVSATSLVTNYVPASSEALAELLVAIHMRLADAVANITVPTWSPLVLKAVPNAARVAAYQFGMSVRLMKNICLWKDILALPVLEKLVLDELLCGKVLPHVRSIASNVHDAVTRTERIIASLSGVWAGPSVTGDHSHKLRPLVDHVRSLGRTLEKKYASGVTEIETNGLARRLKKMLVELNDYDNARDIARTFSLREAL >Manes.04G047109.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5915424:5917791:1 gene:Manes.04G047109.v8.1 transcript:Manes.04G047109.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAIHDTIEKYSQQVKARQEQLVGPAKFLTGVQLVYIYSELTSSAKEDNPQPAVEQFLTLHASLNNARTIADSLSKTITVDSSPDPDDNPSEEVLKITSERRKCAASWVQAALATNLSSFSVFTKGTTSAPTQGQKTTASNQPVLVLENSSKNPSTKTQGKTRPSVGSKLVATGAFRKSGDNSAVSQKMPHQPPPEWTRGSGLDEAVDLAEMLQMESQDWFLGFVDRFLDADVDSSTLSDNSQIAGMLTQLKSVNDWLDGMGSNKDEGETPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQSSSRLDNTDAKTKR >Manes.05G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2751855:2754101:1 gene:Manes.05G032700.v8.1 transcript:Manes.05G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDLMKLMMSDYKVEMINDGMHEFYVHFHGPNDSPYHGGVWRIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEQRVKEYCEKYAKPEDIGAAPEDETSDEEPSEDEYEFHDEEMAGQADP >Manes.05G032700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2751855:2754101:1 gene:Manes.05G032700.v8.1 transcript:Manes.05G032700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDYKVEMINDGMHEFYVHFHGPNDSPYHGGVWRIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEQRVKEYCEKYAKPEDIGAAPEDETSDEEPSEDEYEFHDEEMAGQADP >Manes.03G109800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234097:23254494:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQAILFLHLVDVSFETKRSKYWYNQNKG >Manes.03G109800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234099:23254936:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQAILFLHLVDVSFETKRSKYWYNQNKG >Manes.03G109800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234099:23254494:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQGVSIGTIRTKANVCSVQFPLESSRFLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFVDPTNLVSASTDNTLKLWDLSMCTSRVIDTPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFNNTDPLSGQEMDDPAQFISSVCWRAQSSTLVAANSTGNIKILEMV >Manes.03G109800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234099:23254936:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQAILFLHLVDVSFETKRSKYWYNQNKG >Manes.03G109800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234099:23254494:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQAILFLHLVDVSFETKRSKYWYNQNKG >Manes.03G109800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234097:23254936:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQAILFLHLVDVSFETKRSKYWYNQNKG >Manes.03G109800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234099:23255055:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQGVSIGTIRTKANVCSVQFPLESSRFLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFVDPTNLVSASTDNTLKLWDLSMCTSRVIDTPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFNNTDPLSGQEMDDPAQFISSVCWRAQSSTLVAANSTGNIKILEMV >Manes.03G109800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234097:23254936:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQGVSIGTIRTKANVCSVQFPLESSRFLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFVDPTNLVSASTDNTLKLWDLSMCTSRVIDTPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFNNTDPLSGQEMDDPAQFISSVCWRAQSSTLVAANSTGNIKILEMV >Manes.03G109800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234097:23254494:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQGVSIGTIRTKANVCSVQFPLESSRFLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFVDPTNLVSASTDNTLKLWDLSMCTSRVIDTPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFNNTDPLSGQEMDDPAQFISSVCWRAQSSTLVAANSTGNIKILEMV >Manes.03G109800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234099:23254936:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQGVSIGTIRTKANVCSVQFPLESSRFLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFVDPTNLVSASTDNTLKLWDLSMCTSRVIDTPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFNNTDPLSGQEMDDPAQFISSVCWRAQSSTLVAANSTGNIKILEMV >Manes.03G109800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23234099:23254926:-1 gene:Manes.03G109800.v8.1 transcript:Manes.03G109800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFWLSCSSRGLTMEDFSGSSLQKSDNTRGLSTSRVSDWNPRPASRYSRFRKETDRVVLARHNLKNQVSSFGGYKDEAAVDPFARTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFIMTSFNHVSFIESASCSDSGSDSLEDGPNSQTLEIKSSSSPLPNDTFQQSSRLGSEDFRPASTPKNASSEASCIQSSSVHATNVPFGEDTEDKISDRRKAEQEEEERKQPFPMKQILLMETSWYTSPEEIAGSPSTCASDIYRLGVLLFELFCPFSSREDKRRTMSSLRHRILPPQLLLKWPKEASFCLWLLHPEPASRPKMGELLQSEFLNEPRDNLEEREAAIQLRERIEEQESLLEFLLLIQQRKQEAADKLQDTVSLLCSDIEEVMVHQTFLMKKGGSCSERTKDDNLASNLPQLSIVDNDESSSLVSRKRFRPGIQILDVEECDDNLDDGQNSDMITETQGSLLFKSSRLMNNFKQLESAYFLTRCRPVRPSGKPFTRHSPMSSDGRGSIVVTERSSIDNLAPKELYIESRRGWISPFLEGFCKYLSFSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDAAINENRDIHYPVVEMASRSKLSSVCWNSYIKSQIASSNFEGVVQVWDVTRSQVLTEMREHERRVWSIDFSSADPTMLASGSDDCSVKLWNIDQGVSIGTIRTKANVCSVQFPLESSRFLAFGSADHRIYYYDLRNSKVPLCTLVGHNKTVSYVRFVDPTNLVSASTDNTLKLWDLSMCTSRVIDTPLQSFTGHMNIKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALSFKFNNTDPLSGQEMDDPAQFISSVCWRAQSSTLVAANSTGNIKILEMV >Manes.16G110800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31629369:31635612:-1 gene:Manes.16G110800.v8.1 transcript:Manes.16G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIFRDKALGNSKRHTQITPPAVVMPSYPIEDLQSPFSDAAPSLSDSELRESAYEILIGACRSSGSRPLTYIPQSERNADRASAPALTPSPSLQRSLTSTAASKVKKALGMKSGSTKRRSDGGESVMEGKTKKTVTVGELVRVQMRVSEQTDSRIRRALLRIAAAQLGRRIESMVLPLELLQQLKSLDFPSQQEYEAWQRRNLKLLEAGLLLHPHLPLHKTDSAPRQFQQIIRGALEKPIETGKNSESMQVLRSLVMSLACRSFDGSTEKCHWVDGFPLNLRIYQILLEACFDVNDESIVIEEIDEVLELIKKTWAVLGMNQMLHSLCFLWVLFHHYVATGQVEDDLLFAANNLLMEVEKDAKTTKDSDYSKILSSILSSILGWAEKRLLTYHDSFHSDNIESMQSVASLAVVAAKIMVEDSFHEYRSKRKEVDVAHERIENYIRSSMRTTFGQKLKKLNSSKHFRHQQNPLPVLSLLAQDITELAFNEKAMFSPIFKRWHPLAAGVAVATLHSCYGNELKQFVSNISELTPDSIQVLSAADKLEKDLVQIAVGDSVDSEDGGKSIIQEMPPYEAEALIADLVKSWIKTRIDRLKEWVDRNLQQEVWNPRANKERFAPSAVEVLRIVDETLEAFFLLPITMHPTLLPDLVTGLDRSLQNYILKTKSGCGTRSTFLPTLPALTRCTAGSKFHVFRKKEKSHVAQRRKSQVGSTNGDTSFGVPQLCVRVNTLQHVRMQLEVLEKRTVVQLRNCRVSHADDFANGLGKKFELSAAACVEGIQQLCEATAYKAVFHDLSHVLWDGLYVGEVSSSRIEPFLQELEQYLEIISSTVHDRVRTRAITDVMKASFEGFLLVLLAGGPSRAFTLHDSEMIEDDFKFLTDLFWSNGDGLPIELIDKFSTTVKGVLPLFRTDTESLIERFRSLTQESYGTSDKSRFPLPPTSGQWNPIEPNTLLRVLCCRSDETAAKFLKKTYNLPKKL >Manes.08G076860.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:18425123:18425542:-1 gene:Manes.08G076860.v8.1 transcript:Manes.08G076860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPVAEKAPASAEKRPKAEKKISKEGGEKKKKKIKKSSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Manes.01G246500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40654473:40659132:1 gene:Manes.01G246500.v8.1 transcript:Manes.01G246500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLRFRAFRPVIVFSFCFLVIFLRPNVSSATRDKYRGPGPHDDYVHVTALDGESGGATLGVDGRSELCNIDMSSFLPPPYNNISGMVCSPVWNNFILRYHKREENLVTFILSAVYTTGWVGMGFSKDGMMTGSSAMVGWFNKEGHARIKQYYLQGSRPSQVIADAGELDLTKVPPAVVLYGPMIYLAFQAKFEKPLTRQPIILAFGTKYPRHHHLSIHDDKTTVLFDFSAGSASSGYANPGQMKKNHGILGIFAWGLLLPVGAIVARYMKHKDPLWYYIHAGIQFVGFIFGLATVVLGQQLYSKINASIPAHRSIGIFVLTLSILQILAFFLRPKKDAKIRKYWNWYHGWFGRIALFFGALNVVLGIHAGSAGMAWKICYGFLISTILVTVVILETLSWMWKSETTPPASFQMNPIS >Manes.01G246500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40654473:40659132:1 gene:Manes.01G246500.v8.1 transcript:Manes.01G246500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLRFRAFRPVIVFSFCFLVIFLRPNVSSATRDKYRGPGPHDDYVHVTALDGESGGATLGVDGRSELCNIDMSSFLPPPYNNISGMVCSPVWNNFILRYHKREENLVTFILSAVYTTGWVGMGFSKDGMMTGSSAMVGWFNKEGHARIKQYYLQGSRPSQVIADAGELDLTKVPPAVVLYGPMIYLAFQAKFEKPLTRQPIILAFGTKYPRHHHLSIHDDKTTVLFDFSAGSASSGYANPGQMKKNHGILGIFAWGLLLPVGAIVARYMKHKDPLWYYIHAGIQFVGFIFGLATVVLGQQLYSKINASIPAHRSIGIFVLTLSILQILAFFLRPKKDAKIRKYWNWYHGWFGRIALFFGALNVVLGIHAGSAGMAWKICYGFLISTILVTVVILETLSWMWKSETTPPASFQMNPIS >Manes.01G246500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40654327:40659132:1 gene:Manes.01G246500.v8.1 transcript:Manes.01G246500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLRFRAFRPVIVFSFCFLVIFLRPNVSSATRDKYRGPGPHDDYVHVTALDGESGGATLGVDGRSELCNIDMSSFLPPPYNNISGMVCSPVWNNFILRYHKREENLVTFILSAVYTTGWVGMGFSKDGMMTGSSAMVGWFNKEGHARIKQYYLQGSRPSQVIADAGELDLTKVPPAVVLYGPMIYLAFQAKFEKPLTRQPIILAFGTKYPRHHHLSIHDDKTTVLFDFSAGSASSGYANPGQMKKNHGILGIFAWGLLLPVGAIVARYMKHKDPLWYYIHAGIQFVGFIFGLATVVLGQQLYSKINASIPAHRSIGIFVLTLSILQILAFFLRPKKDAKIRKYWNWYHGWFGRIALFFGALNVVLGIHAGSAGMAWKICYGFLISTILVTVVILETLSWMWKSETTPPASFQMNPIS >Manes.18G034000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2997892:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLSTEASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKASNEIEEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGTR >Manes.18G034000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2997892:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLSKASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKASNEIEEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGTR >Manes.18G034000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2998663:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLSTEASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKAKEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGWVGSILEALSAHDNS >Manes.18G034000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2998663:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLSKASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKASNEIEEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGWVGSILEALSAHDNS >Manes.18G034000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2998663:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLSKASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKAKEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGWVGSILEALSAHDNS >Manes.18G034000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2998663:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLKASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKASNEIEEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGWVGSILEALSAHDNS >Manes.18G034000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2997892:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLSKASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKAKEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGTR >Manes.18G034000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2997892:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLSTEASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKAKEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGTR >Manes.18G034000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2998663:3019427:-1 gene:Manes.18G034000.v8.1 transcript:Manes.18G034000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSHFCFALLLPPSASSAPFRLQLHRRISSPSFQIRPVSASSSSTSISGSKPKVVVTRERGKNGKLIKALAKLGINCLELPLIQHTQGPDFDRLPSVLSTEASFDWIVITSPEAGSVFLEAWKAAGTPKVKVGVVGAGTASIFNEVMQSSGRSLDISFAPSKATGKALASELPKSEDERCTVLYPASAKASNEIEEGLFNRGFEVMRLNTYTTVPVDHVNQMELKKALLCPVVAVASPSSVRAWVNLISESEKWNNSVACIGETTASAAKSLGLKNVYFPTHPGLEGWVGSILEALSAHDNS >Manes.13G053400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6175808:6179923:-1 gene:Manes.13G053400.v8.1 transcript:Manes.13G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEPALTSQKSKKSSEEPETTPQEPVPTTPLIPSAKYSNRVVLKTILERSDGGVGLAGARLVIGGWVKSSNELRNDPPSMQPEDNDGVAESPGHKEFSCMEILQTRVPLFRSIAKIFGASGNFPVRARLQQASSKPPAASTPSPPPIVKLLVNDGSCVASLHVTIEFSDDFPIRPLPIGTCVLAEGVLNQLPAQAKHSIEFKVEKFLHIGTVEDDKYLLSKKRLPLESLRDYSHFRPRTTTMASVMRIRSALAFATHSFFQDNGFLSMEVPIMTTTDGEGCSAKFRVTTLSGKEVEKGKPKITDDTEGVRLEVVKGAIKEKNNLIQQLQRSDSNKEALFIAEQDLLKTNQLLAQLEEKEKLRLETLKKASKANVPEDFFSQHTYLTVSGVLHLESYACSLGNVYSFGPRFRADRKGTAKQVAEMWAVEAEMAFSELEDAMNCAEDYFKFLCKWVLENCSADMKFVSKRIDKTRINLLEAMKSSSYERITYGEAVNILKKVADRKFETQPEWDNGLTSQHLSYLVDEIYKKPVIIYNFPKEFKPFYVRLNEDGKTVAAFDMIIPRGGTLITGSQKEERFNLLNERINGLGLARDQYEWYLDLRRHGTVKHSGFTLGFDLMVLFATGIPDVREAIPFPRSSGKVNN >Manes.03G196800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31444187:31451364:1 gene:Manes.03G196800.v8.1 transcript:Manes.03G196800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFSCSPLSHDYEKPDLESIEALVLAINGYFLEFLADARLWNALKSQCISKLNIRKQEFFEFSEHSVLSNLYWGIQNVEAAIQANCTEEKTARLMNSERMLQAPALLDEHGVTAGIQNHYLVCCSYFYLSAVRKLQNDEWQVALHFLQAILVSPRLVRTELAPELCSTLFPSSIDLEMEIMGGKNDKESVTNFLNEVNINDAIRKITRRYKHWLMYYQIMLHGATQWHCSSRSTSSYNGELQRFRQVTKNCNSSNSIEEGHFLQKYKDEKVHPLDPQEYTADNNDQPKTCMKIEEPNDDNKSIHLEHVAKLKIDTQKSTSIKYLQEVLLESQSDTPTSANSSCSYYLEEDDVEVNFDGSTSSIRTTGEDDLQAEVCEQTPQASCFTLDQTCTMMVFPHASQQKVQEVDPVNISRFSSGRFPSSTSDFDSSILELRNRKSGTHRDSNVENNSARRLSWIHKNQVTDQVATATLQNIRLVQSDDHSQINLNGFCQLSGKDSNNELLAIFEKAVSRLCFPEGLAKCEEEYAIEVTAIYEMLDSKKGMKYTILKDVILDQLLTAISTSKEENVIRASISILTTIASLNKSAIEDIKKKGLRLCDLATALKQNVHEAAILIYLINPPPLEIKTLELLPELMEIVRISNSYKVKPASELLTPPAASLMIIEILVTAFDCATNNMHLAAINSPRILSRILDVARENNLEECISMANILIKCMQFDGQCRKHISKLTPVAPFKRLLQSNAKRAKFTALQFFHEILCMPRSSAISLLQLIRKEGSDEIMNLLLQCVQQLQPNYQLLAANLLLQLDVLEHSSGRNMFMEEAMQIILKSVESEENSTLQQLSTFILANVGGTYTWAGEPYTVALLVKKAGVTSLHHRNMMKNFDWSDQSLQDAGIDSWCSKIAKGIFGIGKPVFLALDKGIRSKIKRVSRNSLTAITWIGCEISKYPNSLRNSACEILLNGIEQFLHPGAALEERLLACLCIYNYTSGKGMQRLIHFSEGVRESLRRFSSVTWMAEELHRVADFYLPNKSRISCVHTQILEAKHNTSGSVTALIYYRGLLYSGYSDGSIKVWDIKQQSATLVWDLKEHKKAVTCFSLFEPGESLLSGSSDKTIRVWQMVNGKLECIEVIGVKESIQKMETYGQMIFVITQSHGMKVFDSSRIVREIGKKKKVKCMSAIQGKLYVGCMDSSIQELTIANNREREIKPPIQSWMMQNKPINSIALHKDWLYSASSIVEGSKVKEWRTHCKPQMSIVTGKGRNILAMEVVEDFLYLNCSSSTSTLQIWLRGTQQNVGRISAGSRITSLLTANDIVICGTEKGLIKGWIPL >Manes.03G196800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31444187:31451364:1 gene:Manes.03G196800.v8.1 transcript:Manes.03G196800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRITITGHFYVLHRNNYREFKIETMVLFLYRTPQASCFTLDQTCTMMVFPHASQQKVQEVDPVNISRFSSGRFPSSTSDFDSSILELRNRKSGTHRDSNVENNSARRLSWIHKNQVTDQVATATLQNIRLVQSDDHSQINLNGFCQLSGKDSNNELLAIFEKAVSRLCFPEGLAKCEEEYAIEVTAIYEMLDSKKGMKYTILKDVILDQLLTAISTSKEENVIRASISILTTIASLNKSAIEDIKKKGLRLCDLATALKQNVHEAAILIYLINPPPLEIKTLELLPELMEIVRISNSYKVKPASELLTPPAASLMIIEILVTAFDCATNNMHLAAINSPRILSRILDVARENNLEECISMANILIKCMQFDGQCRKHISKLTPVAPFKRLLQSNAKRAKFTALQFFHEILCMPRSSAISLLQLIRKEGSDEIMNLLLQCVQQLQPNYQLLAANLLLQLDVLEHSSGRNMFMEEAMQIILKSVESEENSTLQQLSTFILANVGGTYTWAGEPYTVALLVKKAGVTSLHHRNMMKNFDWSDQSLQDAGIDSWCSKIAKGIFGIGKPVFLALDKGIRSKIKRVSRNSLTAITWIGCEISKYPNSLRNSACEILLNGIEQFLHPGAALEERLLACLCIYNYTSGKGMQRLIHFSEGVRESLRRFSSVTWMAEELHRVADFYLPNKSRISCVHTQILEAKHNTSGSVTALIYYRGLLYSGYSDGSIKVWDIKQQSATLVWDLKEHKKAVTCFSLFEPGESLLSGSSDKTIRVWQMVNGKLECIEVIGVKESIQKMETYGQMIFVITQSHGMKVFDSSRIVREIGKKKKVKCMSAIQGKLYVGCMDSSIQELTIANNREREIKPPIQSWMMQNKPINSIALHKDWLYSASSIVEGSKVKEWRTHCKPQMSIVTGKGRNILAMEVVEDFLYLNCSSSTSTLQIWLRGTQQNVGRISAGSRITSLLTANDIVICGTEKGLIKGWIPL >Manes.11G144600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30927505:30933115:1 gene:Manes.11G144600.v8.1 transcript:Manes.11G144600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLDKCDVDVDNKLGVVRPATELYSKEAVEALKAGKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRKHTSPLAICVGDVSDIKHFAVTDHLPHGLLDSLLPGPVTVVLSRGELSALEKSLNPGLDSVGVRVPDCNFIRVIARGLGRAVALTSANLSGQPSSVCIKDFEKLWEHCAYVYDGGVLPSGRAGSTVVDLSRLGKYKILRPGSAKEETVTILDKHSLVEEAAAV >Manes.11G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30927505:30933115:1 gene:Manes.11G144600.v8.1 transcript:Manes.11G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPARVAEKFPLRSARPPLQGVSGIGFVPFFTQKRKFGVGFPKKMAWSLDKCDVDVDNKLGVVRPATELYSKEAVEALKAGKVIAVPTDTLYGFACDACSLEAVNRIYEIKGRKHTSPLAICVGDVSDIKHFAVTDHLPHGLLDSLLPGPVTVVLSRGELSALEKSLNPGLDSVGVRVPDCNFIRVIARGLGRAVALTSANLSGQPSSVCIKDFEKLWEHCAYVYDGGVLPSGRAGSTVVDLSRLGKYKILRPGSAKEETVTILDKHSLVEEAAAV >Manes.04G002300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:536524:537567:-1 gene:Manes.04G002300.v8.1 transcript:Manes.04G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKFFSLLIASFAIIQMAMAGDPDIVSDFVIPSNMSFVDGAFFTFTAMRSLVGAEPPTAFKVLKASMAEFPALNGQSVSYAVLQYPVATPNPPHTHPRSAELLFLVDGSLEVGFVDTTNKLFTQTLQTGDLFVFPKGLVHFQYNADAQKPALAFSAFGSANAGTVSLPNTLFTTGIDDNILAQSFKTDIATIQALKAGLAPKP >Manes.03G030500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2425333:2427207:-1 gene:Manes.03G030500.v8.1 transcript:Manes.03G030500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNEEIIKPHAVLLQSKELYKYILQTSVYPREAAPLKELREATATHHMSFYATAPDAGQLVALLLKMVNAKQTIEIGVYTGYSLLLAALTIPDDGKITAIDINRTTYEMGLPIMKKAGVEHKINFIESPALPVLDKLLEDKEKEGSIDFAFVDADKGNYKNYHERLMKLIKIGGIVVYDNTLWGGTVVLPEDDVPEFEREGRKSALEFNQKISADPRVEICLAPLGDGITICRRIS >Manes.03G030500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2425334:2427207:-1 gene:Manes.03G030500.v8.1 transcript:Manes.03G030500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNEEIIKPHAVLLQSKELYKYILQTSVYPREAAPLKELREATATHHMSFYATAPDAGQLVALLLKMVNAKQTIEIGVYTGYSLLLAALTIPDDGKITAIDINRTTYEMGLPIMKKAGVEHKINFIESPALPVLDKLLEDKEKEGSIDFAFVDADKGNYKNYHERLMKLIKIGGIVVYDNTLWGGTVVLPEDDVPEFEREGRKSALEFNQKISADPRVEICLAPLGDGITICRRIS >Manes.03G030500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2425484:2427745:-1 gene:Manes.03G030500.v8.1 transcript:Manes.03G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLVYYLVTAAMGSTNEEIIKPHAVLLQSKELYKYILQTSVYPREAAPLKELREATATHHMSFYATAPDAGQLVALLLKMVNAKQTIEIGVYTGYSLLLAALTIPDDGKITAIDINRTTYEMGLPIMKKAGVEHKINFIESPALPVLDKLLEDKEKEGSIDFAFVDADKGNYKNYHERLMKLIKIGGIVVYDNTLWGGTVVLPEDDVPEFEREGRKSALEFNQKISADPRVEICLAPLGDGITICRRIS >Manes.14G094300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7870874:7875034:-1 gene:Manes.14G094300.v8.1 transcript:Manes.14G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKVHQWHCQPQFFQISRITPLHSIFPGLKFPLKRLTPRFSFNLLLLSPFRPSFKISSLSSAETSFFDNKQQDDNAKPVAEFEDLAQNGVVYQNTLRLVECSMFAAVTGLVYFLSNSLSIENYFGCFFSLPIVISSMRWGVAAGRKTVVATAMLLFVLSGPLKALTYLLTHGIVGFTMGTLWRLGADWGLSIFLCTIARSIGAMGYVITTSFLIRENILALITINIHASLTSIFAAIGINTIPSMNVIYAIFTTVLLLNSGFFAFLLHILYAVFLTRLGMKHSLRLPRWLEKAL >Manes.11G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27194977:27196818:1 gene:Manes.11G115500.v8.1 transcript:Manes.11G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKSKILIFGATGYLGQYMVKASAAMGHPTFAYVRPLNPNDVLSSKLLLHKQFLSMGVTVFQGELEEHEKLVSVLKQVDVVISTLAVPQHLDQFKIISAMKEAGNIKRFVPSEFGNEVDRVSGLPPFEALLANKRRIRRATEEAGVPYTYVSANSFAAYFIDYLLHPHEKLDQLVIYGRGDAKAVLNYEEDVAAYTVRAATDPRVANRVIIYRPPGNIISQLDLMSAWEKKTGRTFKRIHVPEEEIVKLSETLKYPENIPVAILHNIFIKGDQTSFELTAEDLEASKLYPDHKYTSVDRLLDLCLVNPPKPKLATFA >Manes.14G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1549115:1553671:1 gene:Manes.14G004000.v8.1 transcript:Manes.14G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQAAYKEEEDDEEEPTNHLDPPAIELAEQPNDSEQPLNDTETSQNGDSTKQQEPFVSGLSESDPTTSNDTEKPTLKSPKREDIEEDEDEDDDEDEDPPPKKQKQLSSLTKQQREEEPAAVDDNSNGNNVSNGTKTPTATQATAKKKSKKKSNNNVWVTRSTRKGKKKTKPNPQNTPAEDTVLITPVPRFPDKSDDTPDMKICLSKVYKAEKVELSEDRMSAGSTKGYRMVRATRGVCEGAWYFEIKVASLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPEGSSYAPKPPHLVWYKGQRYVCAPDAKEDPPKIIPGSEISFFKNGVCQGVAFKDLYGGRYYPAASMYTLPNQPNCVVKFNFGPDFELFPEDFGGRPIPRPMSEVPYHGFDNRVENTALNENKH >Manes.14G004000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1549116:1552922:1 gene:Manes.14G004000.v8.1 transcript:Manes.14G004000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQAAYKEEEDDEEEPTNHLDPPAIELAEQPNDSEQPLNDTETSQNGDSTKQQEPFVSGLSESDPTTSNDTEKPTLKSPKREDIEEDEDEDDDEDEDPPPKKQKQLSSLTKQQREEEPAAVDDNSNGNNVSNGTKTPTATQATAKKKSKKKSNNNVWVTRSTRKGKKKTKPNPQNTPAEDTVLITPVPRFPDKSDDTPDMKICLSKVYKAEKVELSEDRMSAGSTKGYRMVRATRGVCEGAWYFEIKVASLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPEGSSYAPKPPHLVWYKGQRYVCAPDAKEDPPKIIPGSEISFFKNGVCQGVAFKDLYGGRYYPAASMYTLPNQPNCVVKFNFGPDFELFPEDFGGRPIPRPMSEVPYHGFDNRVENTALNENKH >Manes.12G111900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30937462:30943899:1 gene:Manes.12G111900.v8.1 transcript:Manes.12G111900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIETSIWEPNPSLYLFIFISCFFSIVLFPHASRTNTSINKSQFQSPFDHGISSSSFQRFQRKFLLFFSLASVMEGLWSVFGELELANYGVNKEQMVTSLCVGYGASLLVGTFLGMISDLIGHKKACLIFCILHLFVGVWKRIMPHPSIWLASICLSLATSIFSFSFEAWVVVENEKQGHGQDTLSDTVWLMTFFESASLIGSQVLANWLLGSNPEKGIASSYSAATFMAMIGIMFVSKGWKETTKNAAIKDYRVSYTHIFNDKRMWLLGFAHACLQFSIAVFWILWAPTLVADGREVSLGLILPCLLGSRMLGSTVFPWLFTGPSSLRTEDCLVYAFIVLGFTLSIVAYDYQEIGVLVSLFCLFHAGVGLIIPSLARLRTMHVPNELRGGMISLSLAPANAAILFLLMQRGYYQKLENSTVIALAALGLFIAAGCVHLLKRWGKQPYQNWHKL >Manes.12G111900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30937462:30943899:1 gene:Manes.12G111900.v8.1 transcript:Manes.12G111900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIETSIWEPNPSLYLFIFISCFFSIVLFPHASRTNTSINKSQFQSPFDHGISSSSFQRFQRKFLLFFSLASVMEGLWSVFGELELANYGVNKEQMVTSLCVGYGASLLVGTFLGMISDLIGHKKACLIFCILHLFVGVWKRIMPHPSIWLASICLSLATSIFSFSFEAWVVVENEKQGHGQDTLSDTVWLMTFFESASLIGSQVLANWLLGSNPEKGIASSYSAATFMAMIGIMFVSKGWKETTKNAAIKDYRVSYTHIFNDKRMWLLGFAHACLQFSIAVFWILWAPTLVADGREVSLGLILPCLLGSRMLGSTVFPWLFTGPSSLRTEDCLVYAFIVLGFTLSIVAYDYQEIGVLVSLFCLFHAGVGLIIPSLARLRTMHVPNELRGGMISLSLAPANAAILFLLMQRGYYQKLENSTVIALAALGLFIAAGCVHLLKRWGKQPYQNWHKL >Manes.12G111900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30937462:30943899:1 gene:Manes.12G111900.v8.1 transcript:Manes.12G111900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIETSIWEPNPSLYLFIFISCFFSIVLFPHASRTNTSINKSQFQSPFDHGISSSSFQRFQRKFLLFFSLASVMEGLWSVFGELELANYGVNKEQMVTSLCVGYGASLLVGTFLGMISDLIGHKKACLIFCILHLFVGVWKRIMPHPSIWLASICLSLATSIFSFSFEAWVVVENEKQGHGQDTLSDTVWLMTFFESASLIGSQVLANWLLGSNPEKGIASSYSAATFMAMIGIMFVSKGWKETTKNAAIKDYRVSYTHIFNDKRMWLLGFAHACLQFSIAVFWILWAPTLVADGREVSLGLILPCLLGSRMLGSTVFPWLFTGPSSLRTEDCLVYAFIVLGFTLSIVAYDYQEIGVLVSLFCLFHAGVGLIIPSLARLRTMHVPNELRGGMISLSLAPANAAILFLLMQRGYYQKLENSTVIALAALGLFIAAGCVHLLKRWGKQPYQNWHKL >Manes.06G153311.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28065292:28070608:-1 gene:Manes.06G153311.v8.1 transcript:Manes.06G153311.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSALFPIFILTIMALPLVPYTIMKLCRAASKKSKSINCRCSECFLSGKYRKSIFKRISNFSTCSNLTLILLWIIMIFLVYYIKNMSREIQVFDPYAILELEPGASETEIKKRYRRLSILYHPDKNPDPEAHKFFVESITKAYQALTDPISRENYEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVVAVIYLSRSSKYTGNYVMHQTLSAYYYLMKPSLAPSKVMEVFTKAAEYMEIPVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAVVKTELLIQAQLTRESAALSPTLHGDFRRVLELAPRLLEELMKMAVIPRTAQGHGWLRPAIGVVELSQCIIQAVPLSARKTTGGSTEGIAPFMQLPHFSESIIKKIARKKVRTFQDFCDMALEDRADLLEQVAGFSSSEVQDIEMVLEMMPSVTIDVRCETEGEEGIQEGDIVTVQAWITLKRANGLIGALPHAPYYPFHKEENFWFLLADPISNNVWFSQKVNFMDEAAAITAASKAIEEAMEGSGASVKETSMAVRKAVEKVRDGSRLVMGKFPAPAEGNYNLTCYCLCDSWIGCDKKTNLKVKILKRTRAGTRGGSVSEEGPIAEDGLEEEEENEEEEYDDYESEYSEDEEDEKDAKKKGPAANGTVHKRGSSSEEGSGSDEE >Manes.07G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26690992:26703107:-1 gene:Manes.07G085100.v8.1 transcript:Manes.07G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRSVLYYSPSASLRLVSKSTSPFFINPHKLSRLTKLLFLYQNPRRHSHSAIISRTLCLSSSSSSSSSMESAPEGYRRNVGICLINPSKKIFAASRLDIPNAWQMPQGGIDESEDPKFAAIRELKEETGISSAEVLTEAPHWLTYDFPPEVREKLKLQWGSDWKGQAQKWFLLKFTGSEEEINLLGDGTEKPEFGEWTWMSPEQILEHAVDFKKPVYKEVLTVFAPYLE >Manes.07G085100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26694140:26703081:-1 gene:Manes.07G085100.v8.1 transcript:Manes.07G085100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRSVLYYSPSASLRLVSKSTSPFFINPHKLSRLTKLLFLYQNPRRHSHSAIISRTLCLSSSSSSSSSMESAPEGYRRNVGICLINPSKKIFAASRLDIPNAWQMPQGGIDESEDPKFAAIRELKEETGISSAEVLTEAPHWLTYDFPPEVREKLKLQWGSDWKGQAQKWFLLKFTGSEEEINLLGDGTEKPEFGEWTWMSPEQILEHEFVEIAVFLQETAFLM >Manes.07G085100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26690994:26703107:-1 gene:Manes.07G085100.v8.1 transcript:Manes.07G085100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRSVLYYSPSASLRLVSKSTSPFFINPHKLSRLTKLLFLYQNPRRHSHSAIISRTLCLSSSSSSSSSMESAPEGYRRNVGICLINPSKKIFAASRLDIPNAWQMPQGGIDESEDPKFAAIRELKEETGISSAEVLTEAPHWLTYDFPPEVREKLKLQWGSDWKGQAQKWFLLKFTGSEEEINLLGDGTEKPEFGEWTWMSPEQILEHAVDFKKPVYKEVLTVFAPYLE >Manes.03G120700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24678280:24678715:-1 gene:Manes.03G120700.v8.1 transcript:Manes.03G120700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDEVLASVAEKIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Manes.03G120700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24678000:24680085:-1 gene:Manes.03G120700.v8.1 transcript:Manes.03G120700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTIRACRSQTRRAVVLRPLLKMDEVLASVAEKIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Manes.03G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24678000:24680085:-1 gene:Manes.03G120700.v8.1 transcript:Manes.03G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHTGWAVDQAILAEEERVVIIRFGHDWDDTCMQMDEVLASVAEKIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Manes.12G034000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2988053:2990049:1 gene:Manes.12G034000.v8.1 transcript:Manes.12G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYEVVHGSLDMMPPHEPWDYSSVGFPSAPLISSSFPNKPVMNLERNELSEWVENVTKQLIDDMPDTASCENLHTDATMVYSDDNNNIFPSSLLMGGGDFRPRKSMRRSYFDGVYNGEDCHWSNEQNLAASSSNSSSSSAAAARGLSRIDEQGLSLITLLLECAVAISVDNLGEAHRMLLELTQMASPYGPSCAERVVAYFAKAMASRVINSWLGICSPLINHKSVHTAFQVFNNVSPFIKFAHFTSNQAILEAFHRRDRVHIIDLDIMQGLQWPALFHILATRIEGPPHVRMTGMGTSMELLVETGKQLSNFAKRLGMSFEFHPVAKKFGEIDASMVPLRRGETLAVHWLQHSLYDATGPDWKTMRLLEELAPRVITLVEQDISHGGSFLDRFVGSLHYYSTLFDSLGAFLPCEDSSRHRVEHCLLHREINNILAIGGPARSGEDKFRHWRSELATRSSFMQVPMSANSMAQAQLILNMFPPAHGYSLVQGDGTLKLGWKETSLFTASAWTSHSSR >Manes.02G172900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13666131:13667666:1 gene:Manes.02G172900.v8.1 transcript:Manes.02G172900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTVLIIGAGPSGLATSACLNLLSIPNIVLEREDCYASLWRNRAYDRLKLHLAKRYCELPHMPYPSEYPTFIPRSDFISYLNDYVSHFKIEPRFRRNVESAYFDDKEGGNWCVPAKNLELDVHEVFLAKFLVVATGENSQGFIPNFPGLDSFSGEFIHSSQFVNGKRFKGKEVLVVGSGNSGMEIAFDLSNYDAHTFIVSRSPVHILTKEMVFLGMFMLEYLPCKLVDTIVVTLSKFRYGNLSNYGLERPTEGPFQIKARLGQSPTIDVGTMDKIKRGEINVLPAITSIKANKIKFENGTIDQFDAIVFATGYKSTVRNWLKGGEDLFNEDGMPRGEFPNHWRGENGLYAAGFARRGLHGISTDAKNIARDVSLLLLNHHQKFINID >Manes.09G025732.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5194041:5198104:1 gene:Manes.09G025732.v8.1 transcript:Manes.09G025732.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDFEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLEKLKQVLYDAEDVVDEFKCEALRRKVVMSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIEMLQHVDEKEEIGKIEKKCRK >Manes.09G025732.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5194041:5196960:1 gene:Manes.09G025732.v8.1 transcript:Manes.09G025732.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDFEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLEKLKQVLYDAEDVVDEFKCEALRRKVVMSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIEMLQHVDEKEEIGKIEKKCRK >Manes.09G025732.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5194289:5194993:1 gene:Manes.09G025732.v8.1 transcript:Manes.09G025732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDFEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLEKLKQVLYDAEDVVDEFKCEALRRKVVMSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDNIIEMLQHVDEKEEIGKIEKKCRK >Manes.17G098200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30462361:30464127:-1 gene:Manes.17G098200.v8.1 transcript:Manes.17G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMEGNCKVFTEEQEALVVKSWNVMKKNSAELGLKFFLKIFEIAPSAKKLFPFLKDSNVPLHQNSKLKSHAMSVFIMTCESAVQLRKAGKVTVRESSLKKLGAVHFKYGVVDEHFEVTKFALLETIKEAVPELWTPEMKIAWGEAYDQLVAAIKTEMKPPS >Manes.12G128900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33598441:33599938:1 gene:Manes.12G128900.v8.1 transcript:Manes.12G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGSCTLKVFLMLGLLAASCMAQAPGASPTPAPKAAPTPAPKAAPTPSPTSTPTPSPTPAATPSPSPSSPAPTPSSPQAPSPSTVSPPSEGPTSPTTSPPSPSTLSPTSPQTPAPQPPPSDNFAAAKVDRIILAGTVLAGTFLAFTLA >Manes.12G055500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5319976:5327372:1 gene:Manes.12G055500.v8.1 transcript:Manes.12G055500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFLKLPILYRYRSNGNATSSSFFLLSRSYPSSFTVRWVKPEMNCSFRSFAVAGAGKFQVPKKKRRLDEICLERFQQYSRTLIQSWILQGKVFVDGKMVNKAGTPVSNKAVVEISAEIPKYVCRAGYKLEAAIEQLGVDVTGKVALDSGLSTGGFTDCLLQHGASFVYGVDVGYGQVADKIRRDERVCVVERTNLRYLSELPQKVDLVTLDLSFISILLVMPAVVNVMKEDATLITLVKPQFEARRSQVGRGGIVRDPHVHQE >Manes.12G055500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5319976:5327372:1 gene:Manes.12G055500.v8.1 transcript:Manes.12G055500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFLKLPILYRYRSNGNATSSSFFLLSRSYPSSFTVRWVKPEMNCSFRSFAVAGAGKFQVPKKKRRLDEICLERFQQYSRTLIQSWILQGKVFVDGKMVNKAGTPVSNKAVVEISAEIPKYVCRAGYKLEAAIEQLGVDVTGKVALDSGLSTGGFTDCLLQHGASFVYGVDVGYGQVADKIRRDERVCVVERTNLRYLSELPQKVDLVTLDLSFISILLVMPAVVNVMKEDATLITLVKPQFEARRSQVGRGGIVRDPHVHQEVLEKILKGVENFGFCSKGWIESPLKGAEGNTEFLVYFSRIPEKSTE >Manes.01G248400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40791044:40795193:-1 gene:Manes.01G248400.v8.1 transcript:Manes.01G248400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDSQIPFCFWCSLRSLVKMLEKMEKINVDKVIEEFEAITKDAERVQIETLKKILEENGSAEYLQNLGLNGRTDPEAFRDHVPIVTHKDLEPYIQRIADGDRSPVLTRKPITTISLSSGTTQGKPKYLPFNDELTENTLQIYRTSFAFRNREFPTGDGKALLFNFSSKQYKTKGGLAAGTATTNLFRSPHYKNALQTMEFNCCSPDEVIFGSDFHQSLYCHLLCGLIHCEEIQFVFSIFAHSIVLAFRTFEQVWEELCDDIRNGVLSSRVTDFSIRNAMSQVLKPNVELAELIHRKCSGLSNWYGLIPELFPNVKYVFGIMTGSMEPYVKKLRHYAGEMPLVSGDYGATEGWIGANVNPKLPPELATFAVLPNIGYFEFIPLGDNAENIFTEPKPVGLTEVNIGEEYEIIVTNFAGLYRYRLGDVVKIMGFHNSTPELKFVCRRSLLLTINIDKNTEKDLQLSVEEAARLLAQDKLELVDFSSLVDRSTDPGHYVIFWEINGEPTEEVLQECCNCLDRSFLDAGYITSRKINAIGPLELRVVRRGTFQKILDHYLGLGAAVSQFKTPRYICPTNNVVLQIFSNNIAKTYSSIAF >Manes.12G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8534846:8536653:1 gene:Manes.12G074800.v8.1 transcript:Manes.12G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKLIQMATSTIINEEEEEYYCQYAMQLASASVLPMALKAATDLGVLEIIEKAGPSAQLSSSQIASQLASPAAQTAPHVLDRILLVLASNGILTCSATATIGTEDGQLSRFFGLAPVAKYFIKNHDRGCLAPFLAVIHDKVIMDTWHHLKDAVVEGGNTFEKAHGMSFMEFKGKDSSFVEMFKDSMRDFNTLLMRRILDKYDGFQGLMSLVDVGGGDGSILNMIISKYPSIKGINYDLAPVIEKSPSYAGIQHVAGDMFMNVPKGEAIFVKWVLHMWDDEHCLKLLKNCYEALPSDGKLIAVDMVVPEAIGDNVAAKGLLQTHLLFTNMNPKRNDRTEREFQTLGKKAGFSHVGVAACAFNFSVVEFLKLI >Manes.06G008900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1427711:1429533:1 gene:Manes.06G008900.v8.1 transcript:Manes.06G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGKERHDMGESFPMTGGNGYYSYFKNSDVQRTAVEMAKSMILRWIIEHLDLEEASFSLFTIADLGCASGPNTFIAVDNIVQGVINKYKSKSYSNLPEFQVYFNDHTANDFNTLFANLPSDLGYFAAGVPGSFHGRLFPKSSLNFVYSSSALQWLSRTPKELSELNSSTCNKGRIHYANASNEVGKAYSAQYAKDMECFLAARAEEIAPGGLMAMIIPSRPDGTLPSQCALSHVFSLVESSIIDMVNEGIVSKDQLDLFNLPLYSPSEEELRALVEKNGYFSFAEFETHEFSMPLPSAEFIRAALENLIRNSFGSEITEQIFDRYSAKFAEISRDTAAHCSSIGFIFLLKRRRI >Manes.06G095400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23008505:23012056:1 gene:Manes.06G095400.v8.1 transcript:Manes.06G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKEQQASVVVPGKSKLRYPLRSATKAKEEKPPVSELSNSAASKRGKPASSVSKSVGVLDLSGKDKSAKPPRRLSVPAKSLVTSAAKPAANITPISEARTKRSTNNQGKIETPLSDVSRTSNRKKFNMLSSASYWLSQIKLSESAVKHSISLGFFKLALEAGCEPLQRMTDELKSYVKRHDDELAEIRECVNELFESYRIADNQEQLQVSESCSQVPEEGTRSSDDEVLSSSSAGNWKLRPRSLNADAAPVSRVTESAKKEITQKNTTTPRTRASQNKSTMNSRSVSGTAVQKLQKKPQRSSKQEATKEKDKIKKQGKKSAAEEAGPISPSATAVAPEENKENMDAPPMEISQTD >Manes.06G095400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23008505:23012056:1 gene:Manes.06G095400.v8.1 transcript:Manes.06G095400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKEQQASVVVPGKSKLRYPLRSATKAKEEKPPVSELSNSAASKRGKPASSVSKSVGVLDLSGKDKSAKPPRRLSVPAKSLVTSAAKPAANITPISEARTKRSTNNQGKIETPLSDVSRTSNRKKFNMLSSASYWLSQIKLSESAVKHSISLGFFKLALEAGCEPLQRMTDELKSYVKRHDDELAEIRECVNELFESYRIADNQEQLQVSESCSQVPEEGTRSSDDEVLSSSSAGNWKLRPRSLNADAAPVSRVTESAKKEITQKNTTTPRTRASQNKSTMNSRSVSGTAVQKLQKKPQRSSKQEATKEKDKIKKQGKKSAAEEGPISPSATAVAPEENKENMDAPPMEISQTD >Manes.18G141900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24969652:24972569:1 gene:Manes.18G141900.v8.1 transcript:Manes.18G141900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGEVVCVTGGSGCIGSWLVRLLLERGYAVRATVKNLKDEKETKHLQAMEGADSRLRLYQIELLDYDSILAAVDGCAGVFHLASPCIVDKVQDPQRELLDPAIKGTRNVLTAAKEKGVRRVVVTSSISAITPSPKWPADVVKAEDCWTDMDYCEQNGLWYPLSKTLAEKAAWGFAKEKGLDVVVVNPGTVMGPVIPPTLNASMLMLLRLLQVVKA >Manes.18G141900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24969652:24972421:1 gene:Manes.18G141900.v8.1 transcript:Manes.18G141900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGEVVCVTGGSGCIGSWLVRLLLERGYAVRATVKNLKDEKETKHLQAMEGADSRLRLYQIELLDYDSILAAVDGCAGVFHLASPCIVDKVQDPQRELLDPAIKGTRNVLTAAKEKGVRRVVVTSSISAITPSPKWPADVVKAEDCWTDMDYCEQNGLWYPLSKTLAEKAAWGFAKEKGLDVVVVNPGTVMGPVIPPTLNASMLMLLRLLQGPFTLPL >Manes.18G141900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24969652:24981043:1 gene:Manes.18G141900.v8.1 transcript:Manes.18G141900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADSRLRLYQIELLDYDSILAAVDGCAGVFHLASPCIVDKVQDPQRELLDPAIKGTRNVLTAAKEKGVRRVVVTSSISAITPSPKWPADVVKAEDCWTDMDYCEQNGLWYPLSKTLAEKAAWGFAKEKGLDVVVVNPGTVMGPVIPPTLNASMLMLLRLLQGCTETYQNFFMGSVHFKDVALAHIMVYENPSASGRYLCVETISHYGDFVAKVAELYPEYNIPSLPKDTQPGLLRAKDGAKKLMDMGLEFIPMEQIIKDAVESLKSKGFIP >Manes.18G141900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24969652:24972421:1 gene:Manes.18G141900.v8.1 transcript:Manes.18G141900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGEVVCVTGGSGCIGSWLVRLLLERGYAVRATVKNLKDEKETKHLQAMEGADSRLRLYQIELLDYDSILAAVDGCAGVFHLASPCIVDKVQDPQRELLDPAIKGTRNVLTAAKEKGVRRVVVTSSISAITPSPKWPADVVKAEDCWTDMDYCEQNGLWYPLSKTLAEKAAWGFAKEKGLDVVVVNPGTVMGPVIPPTLNASMLMLLRLLQGNVIL >Manes.18G141900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24969652:24981043:1 gene:Manes.18G141900.v8.1 transcript:Manes.18G141900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEGEVVCVTGGSGCIGSWLVRLLLERGYAVRATVKNLKDEKETKHLQAMEGADSRLRLYQIELLDYDSILAAVDGCAGVFHLASPCIVDKVQDPQRELLDPAIKGTRNVLTAAKEKGVRRVVVTSSISAITPSPKWPADVVKAEDCWTDMDYCEQNGLWYPLSKTLAEKAAWGFAKEKGLDVVVVNPGTVMGPVIPPTLNASMLMLLRLLQGCTETYQNFFMGSVHFKDVALAHIMVYENPSASGRYLCVETISHYGDFVAKVAELYPEYNIPSLPKDTQPGLLRAKDGAKKLMDMGLEFIPMEQIIKDAVESLKSKGFIP >Manes.03G015100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1323941:1328244:-1 gene:Manes.03G015100.v8.1 transcript:Manes.03G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFGFAGTDDFCPGGSIFRNPKEPSLFLSLGRHVDVYYPSCKRTRVSAPFVFSEERFEQKRRASIEVLPDECLFEIFRRLPGGEERSACACVSKRWLSLMSNMSRDELCSKKTTQLLNESVEKNGEVKSEAEDQEIEGDGCLSRSLEGKKATDIRLAAIAVGTASRGGLGKLSIRGNNSGHGVTNVGLKAIARGCPSLRALSLWNLPLVGDEGLYEIANGCHMLEKLDLCGCPAISDKGLIAIARNCPNLTELTIESCSNIGNDGLQSVGRCCTNLKSISINDCPIVGDQGIAGLVSSATYVLSKIKLQALNITDVSLAVVGHYGKSVTDLVLTSLPNVSERGFWVMGNGHGLQKLKSFTVTSCQGVTDNGLQAVGKGCPNLRQICLRKCAFLSDNGLVSFVKAAESLESLQLEKCHRITQLGFFGSLFNCGAKLKALAVMNCLGIRDLNLELPQLSPCKSLRSLCIRNCPGFGDGSLALLGKLCPLLQHVELSGLQGVTDAGFAPLLENCEAGLVNVNCSGCVNLSDKVVSAMTEKHGWTLELLNLEGCVKITDASLATIAENCLLLSELDVSKCAISDSGLAVMARSNSLNLQILSVSGCSMISDKSLPALVKMGQTLVGLNLQHCNAISSRTVDLLVERLWRCDILS >Manes.07G017100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1976040:1978581:-1 gene:Manes.07G017100.v8.1 transcript:Manes.07G017100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKLRAGRGFSLEELRAAGIPKKLAPTIGIAVDHRRKNRSLESLQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGQFMPIVLEKPSVELVKVTDEMKSFKAYDKLRLERMNKRHAGARMKKAAEAEKEEKK >Manes.13G051480.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5985933:5991436:-1 gene:Manes.13G051480.v8.1 transcript:Manes.13G051480.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMRAVQYNKYGGGAAGLKHVEVPVPTPRKDEILLKLEATSINPIDWKVQKGLLRPFLPRKIPHIPGTDVAGEVLEVGPGVRNFKIGDKVVAKISVAVGGGLAEFAVAKESLTVARPPEVSAVEGAALPVAGLTAYQALTQPAGIKLDGSDMQANILITAASGGVGHLAVQLAKLGNTHVTATCGARNIEFVKSLGADEVLDYRTPEGAALKSPSGRKYDAVIHCATGILWSTFHPNLSENGKVIDITPGANTMLKFAVKKVTCSKKQLIPLLLSPKTENLEYLVKLVKEGKLKPVIDSQHPLSNAEDAWAKSISGRATGKIIVEP >Manes.13G051480.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5987331:5991456:-1 gene:Manes.13G051480.v8.1 transcript:Manes.13G051480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMRAVQYNKYGGGAAGLKHVEVPVPTPRKDEILLKLEATSINPIDWKVQKGLLRPFLPRKIPHIPGTDVAGEVLEVGPGVRNFKIGDKVVAKISVAVGGGLAEFAVAKESLTVARPPEVSAVEGAALPVAGLTAYQALTQPAGIKLDGSDMQANILITAASGGVGHLAVQLAKLGNTHVTATCGARNIEFVKSLGADEVLDYRTPEGAALKSPSGRKYDAVIHCATGILWSTFHPNLSENGKVIDITPGANTMLKFAVKKVTCSKKQLIPLLLSPKTENLEYLVKLVKEGKLKPVIDSQHPLSNAEDAWAKSISGRATGKIIVEP >Manes.04G065401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25105695:25108225:-1 gene:Manes.04G065401.v8.1 transcript:Manes.04G065401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSKNKVRFIDGTFLKPTRDVYRIFDLQEEIYAFKQGEFSVTDYFMHLKILWDELDNFKHIPQRSCIVKCDCSAITTIQTYRRNDDVIKVLEGIMLIEPLPLINKVFSLVVQQERQISIGIISEPKVLRSKTDSHGYQRPIHGRNIDNNNRKFGNSGCVLSPKQYQRLMALLQQTNNNKDIHVNNNVSTSHVQADSCVETHSSQGAIDYIYYQLSSFTSYKKIKPISVKLPDGSQLNACLSGIVHFQFNMILVSKLTLSLQCSLLFHQDQSLIQDLNSLKRISLAKIQRGLYAMIDPIVFWLPTSLLNNKIPYEVIHNNVLNYSNLKDFRSLCFANTLTTPRIKLDARARKVIFLNFKPDTKIYVLFDITTRKIFFSRNVIFYEVISLYNSNFDISSSPPISLPQDTIIPNFNSSLLPIPPSVSSSIPISRISHRTRKTPTYLQDYHCNISSSKPTPNSYNTLYPLSNVLSYDNLSQPHKIFG >Manes.06G014200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2331406:2334658:-1 gene:Manes.06G014200.v8.1 transcript:Manes.06G014200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Manes.02G217936.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30495875:30507214:-1 gene:Manes.02G217936.v8.1 transcript:Manes.02G217936.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHCIDATHCVLIAILVVATTVSSYDTIPIPADDSKVSNWFQTNVNFKTVTDAIKSIPSQNKERAIIKIDPGVYTEKIEIEKTKPFITFLGDPKAMPTLAFGGTARKYGTHYSGTVTVDSDYFMGVNIIFQNTAPKPQSNKPGGQAVALRINGDKAAFYNCKFLGFQGTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESHLNVVDNKDLTFITAQGKEEKSENFGYSFVQCKITGSGSGSFLGRAWRKIPQVIFSYTEMGAVVNPLGWSDNRQPDRDSTVFFAEYKNSGPGLNHKGRVKFTKQLKDAQAKNFLSLGYIQDSKWLLPPPK >Manes.01G245800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40622898:40628128:1 gene:Manes.01G245800.v8.1 transcript:Manes.01G245800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKARPVLLFFSFTIFLSLSTTSSSSLRYHTLVLNPLPSQPTLSWPASDSESETLTASNATEIDPEESTLSVQLHHLDALSLNKTPQQLFCLRLHRDASRVVALSSLAASAAAAPGGRVTGGFSSSVISGLAQGSGEYFTRIGVGTPPRYVYMVLDTGSDIVWIQCAPCKKCYSQSDPVFDPRKSRSFAGIPCGSPLCHRLDSPGCNTQKQTCMYQVSYGDGSFTFGDFATETLTFRRTRVGRVALGCGHDNEGLFVGAAGLLGLGRGRLSFPSQTGRRFNRKFSYCLVDRSASSKPSSVVFGDSAISRTARFTPLISNPKLDTFYYVELLGISVGGTRVPGITASLFKLDQTGNGGVIIDSGTSVTRLTRPAYIALRDAFRVGATSLKKAPEFSLFDTCFDLSGQTEVKVPTVVLHFRGADVSLPASNYLIPVDSNGSFCFAFAGTMSGLSIIGNIQQQGFRVVYDLAGSRVGFAPRGCA >Manes.01G245800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40622898:40628128:1 gene:Manes.01G245800.v8.1 transcript:Manes.01G245800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKARPVLLFFSFTIFLSLSTTSSSSLRYHTLVLNPLPSQPTLSWPASDSESETLTASNATEIDPEESTLSVQLHHLDALSLNKTPQQLFCLRLHRDASRVVALSSLAASAAAAPGGRVTGGFSSSVISGLAQGSGEYFTRIGVGTPPRYVYMVLDTGSDIVWIQCAPCKKCYSQSDPVFDPRKSRSFAGIPCGSPLCHRLDSPGCNTQKQTCMYQVSYGDGSFTFGDFATETLTFRRTRVGRVALGCGHDNEGLFVGAAGLLGLGRGRLSFPSQTGRRFNRKFSYCLVDRSASSKPSSVVFGDSAISRTARFTPLISNPKLDTFYYVELLGISVGGTRVPGITASLFKLDQTGNGGVIIDSGTSVTRLTRPAYIALRDAFRVGATSLKKAPEFSLFDTCFDLSGQTEVKVPTVVLHFRGADVSLPASNYLIPVDSNGSFCFAFAGTMSGLSIIGNIQQQGFRVVYDLAGSRVGFAPRGCA >Manes.02G194150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15851497:15852422:1 gene:Manes.02G194150.v8.1 transcript:Manes.02G194150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYAVLFLKGQPPPVIRDEDCICHFIAAALSFFLCQLRDCIYHFNAGMARPSPSSKYFCF >Manes.13G093516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26049517:26052672:1 gene:Manes.13G093516.v8.1 transcript:Manes.13G093516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSTATVLPNSLSTFPKSSFSGIQIRHSCPVPIRVPVKMTRSLSGNSLPSVVMMAKREEELKEIRGMSTEQINEEVVDLKGELFMLRLQKSVRNEFKSSEFRRMRKRIARMLTVKREREIEEGINKRLSRKFDRQWKKSIVVRPPPSLKKLQEEEAAAEAEKSA >Manes.06G031100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7961911:7968255:-1 gene:Manes.06G031100.v8.1 transcript:Manes.06G031100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESRKDKAVEKPRHPLVPAEGNNNAAATSHHPQTMEISSKYKSPTPFVSRRCTSPSLSRTLPTTSEVVAKRANSVERMRPPTPSSPASPFTPVHDSSVDMLQLPRRLSTGSRLPESLWPSTMRSVGVSCRSDSISIPVSKKENPVSNISSDRTLQPSSNVAQKQAEPPVGSCKPTSERKRSPFKGKNVQDQSENIKPIDGLRTKLIDQHRWPSRSGGKVSSNALNKSVDLNDKTPKALSIPVGNGFTSLRGMSLPSGSIQPIPKSINDAAKLSSLEDIGRIQPGENCADDSSLQASRAQKLMETNLSDKLSLIIPTVRLHPLPTHGSCPPSPSWTSVSRGVSPSRAKPSTPRPSTPPSRGLEDIDRIQSGENYVDDSSLQVSEAHKVTTTNLSDGLSLMIPAVRSHPLSIHGSCPPSPSWTSISKGVRPSTPFRGLEDIGRIQSRANSVDDSLMQVSEEHKATTTNLPNRLSLTIPAVRSHPLSTHGSCPPSPSWTVVSRGVSPSRARQSTPRLSTPPSGGLEDIGRIQSGANSADDNSLQVSGAHKVAITNLSNRLSVIIPAIKSHPLSTLGSCPPSPSRNPISRSVSPFRAKPSTPRPSAPPSKGVNPTLTWPSSISSPPNSSPVLSFIVDFKKEGKGSSHIEDAHQIRLLYNRYLQWQFANARAEAVLHVQKVNSKKMLFNVWTSTLDLWDSVIRKRINLQQLKLELKLNTILNDQANINSLKPAICSAVDVLQAMESSICSLLSRVEEMNELVSELVVLVAEEKALLDECEALLFSTAALQVEEYSIKAYLMQVKYALENPLIFATIDSPWPDQLQS >Manes.06G031100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7961910:7969255:-1 gene:Manes.06G031100.v8.1 transcript:Manes.06G031100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESRKDKAVEKPRHPLVPAEGNNNAAATSHHPQTMEISSKYKSPTPFVSRRCTSPSLSRTLPTTSEVVAKRANSVERMRPPTPSSPASPFTPVHDSSVDMLQLPRRLSTGSRLPESLWPSTMRSVGVSCRSDSISIPVSKKENPVSNISSDRTLQPSSNVAQKQAEPPVGSCKPTSERKRSPFKGKNVQDQSENIKPIDGLRTKLIDQHRWPSRSGGKVSSNALNKSVDLNDKTPKALSIPVGNGFTSLRGMSLPSGSIQPIPKSINDAAKLSSLEDIGRIQPGENCADDSSLQASRAQKLMETNLSDKLSLIIPTVRLHPLPTHGSCPPSPSWTSVSRGVSPSRAKPSTPRPSTPPSRGLEDIDRIQSGENYVDDSSLQVSEAHKVTTTNLSDGLSLMIPAVRSHPLSIHGSCPPSPSWTSISKGVRPSTPFRGLEDIGRIQSRANSVDDSLMQVSEEHKATTTNLPNRLSLTIPAVRSHPLSTHGSCPPSPSWTVVSRGVSPSRARQSTPRLSTPPSGGLEDIGRIQSGANSADDNSLQVSGAHKVAITNLSNRLSVIIPAIKSHPLSTLGSCPPSPSRNPISRSVSPFRAKPSTPRPSAPPSKGVNPTLTWPSSISSPPNSSPVLSFIVDFKKEGKGSSHIEDAHQIRLLYNRYLQWQFANARAEAVLHVQKVNSKKMLFNVWTSTLDLWDSVIRKRINLQQLKLELKLNTILNDQIACLDDWASLERDHLNSLSGALKDLLATALRLPMIRRAKANINSLKPAICSAVDVLQAMESSICSLLSRVEEMNELVSELVVLVAEEKALLDECEALLFSTAALQVEEYSIKAYLMQVKYALENPLIFATIDSPWPDQLQS >Manes.06G031100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7961910:7969255:-1 gene:Manes.06G031100.v8.1 transcript:Manes.06G031100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESRKDKAVEKPRHPLVPAEGNNNAAATSHHPQTMEISSKYKSPTPFVSRRCTSPSLSRTLPTTSEVVAKRANSVERMRPPTPSSPASPFTPVHDSSVDMLQLPRRLSTGSRLPESLWPSTMRSVGVSCRSDSISIPVSKKENPVSNISSDRTLQPSSNVAQKQAEPPVGSCKPTSERKRSPFKGKNVQDQSENIKPIDGLRTKLIDQHRWPSRSGGKVSSNALNKSVDLNDKTPKALSIPVGNGFTSLRGMSLPSGSIQPIPKSINDAAKLSSLEDIGRIQPGENCADDSSLQASRAQKLMETNLSDKLSLIIPTVRLHPLPTHGSCPPSPSWTSVSRGVSPSRAKPSTPRPSTPPSRGLEDIDRIQSGENYVDDSSLQVSEAHKVTTTNLSDGLSLMIPAVRSHPLSIHGSCPPSPSWTSISKGVRPSTPFRGLEDIGRIQSRANSVDDSLMQVSEEHKATTTNLPNRLSLTIPAVRSHPLSTHGSCPPSPSWTVVSRGVSPSRARQSTPRLSTPPSGGLEDIGRIQSGANSADDNSLQVSGAHKVAITNLSNRLSVIIPAIKSHPLSTLGSCPPSPSRNPISRSVSPFRAKPSTPRPSAPPSKGVNPTLTWPSSISSPPNSSPVLSFIVDFKKEGKGSSHIEDAHQIRLLYNRYLQWQFANARAEAVLHVQKVNSKKMLFNVWTSTLDLWDSVIRKRINLQQLKLELKLNTILNDQIACLDDWASLERDHLNSLSGALKDLLATALRLPMIRRAKANINSLKPAICSAVDVLQAMESSICSLLSRSLYKLQIGVADNYLHFSCSFLEAQLFFLLLWPRWRR >Manes.06G031100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7961911:7968255:-1 gene:Manes.06G031100.v8.1 transcript:Manes.06G031100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESRKDKAVEKPRHPLVPAEGNNNAAATSHHPQTMEISSKYKSPTPFVSRRCTSPSLSRTLPTTSEVVAKRANSVERMRPPTPSSPASPFTPVHDSSVDMLQLPRRLSTGSRLPESLWPSTMRSVGVSCRSDSISIPVSKKENPVSNISSDRTLQPSSNVAQKQAEPPVGSCKPTSERKRSPFKGKNVQDQSENIKPIDGLRTKLIDQHRWPSRSGGKVSSNALNKSVDLNDKTPKALSIPVGNGFTSLRGMSLPSGSIQPIPKSINDAAKLSSLEDIGRIQPGENCADDSSLQASRAQKLMETNLSDKLSLIIPTVRLHPLPTHGSCPPSPSWTSVSRGVSPSRAKPSTPRPSTPPSRGLEDIDRIQSGENYVDDSSLQVSEAHKVTTTNLSDGLSLMIPAVRSHPLSIHGSCPPSPSWTSISKGVRPSTPFRGLEDIGRIQSRANSVDDSLMQVSEEHKATTTNLPNRLSLTIPAVRSHPLSTHGSCPPSPSWTVVSRGVSPSRARQSTPRLSTPPSGGLEDIGRIQSGANSADDNSLQVSGAHKVAITNLSNRLSVIIPAIKSHPLSTLGSCPPSPSRNPISRSVSPFRAKPSTPRPSAPPSKGVNPTLTWPSSISSPPNSSPVLSFIVDFKKEGKGSSHIEDAHQIRLLYNRYLQWQFANARAEAVLHVQKVNSKKMLFNVWTSTLDLWDSVIRKRINLQQLKLELKLNTILNDQIACLDDWASLERDHLNSLSGALKDLLATALRLPMIRRAKANINSLKPAICSAVDVLQAMESSICSLLSRSLYKLQIGVADNYLHFSCSFLEAQLFFLLLWPRWRR >Manes.06G031100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7961911:7968255:-1 gene:Manes.06G031100.v8.1 transcript:Manes.06G031100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESRKDKAVEKPRHPLVPAEGNNNAAATSHHPQTMEISSKYKSPTPFVSRRCTSPSLSRTLPTTSEVVAKRANSVERMRPPTPSSPASPFTPVHDSSVDMLQLPRRLSTGSRLPESLWPSTMRSVGVSCRSDSISIPVSKKENPVSNISSDRTLQPSSNVAQKQAEPPVGSCKPTSERKRSPFKGKNVQDQSENIKPIDGLRTKLIDQHRWPSRSGGKVSSNALNKSVDLNDKTPKALSIPVGNGFTSLRGMSLPSGSIQPIPKSINDAAKLSSLEDIGRIQPGENCADDSSLQASRAQKLMETNLSDKLSLIIPTVRLHPLPTHGSCPPSPSWTSVSRGVSPSRAKPSTPRPSTPPSRGLEDIDRIQSGENYVDDSSLQVSEAHKVTTTNLSDGLSLMIPAVRSHPLSIHGSCPPSPSWTSISKGVRPSTPFRGLEDIGRIQSRANSVDDSLMQVSEEHKATTTNLPNRLSLTIPAVRSHPLSTHGSCPPSPSWTVVSRGVSPSRARQSTPRLSTPPSGGLEDIGRIQSGANSADDNSLQVSGAHKVAITNLSNRLSVIIPAIKSHPLSTLGSCPPSPSRNPISRSVSPFRAKPSTPRPSAPPSKGVNPTLTWPSSISSPPNSSPVLSFIVDFKKEGKGSSHIEDAHQIRLLYNRYLQWQFANARAEAVLHVQKVNSKKMLFNVWTSTLDLWDSVIRKRINLQQLKLELKLNTILNDQIACLDDWASLERDHLNSLSGALKDLLATALRLPMIRRAKANINSLKPAICSAVDVLQAMESSICSLLSRVEEMNELVSELVVLVAEEKALLDECEALLFSTAALQVEEYSIKAYLMQVKYALENPLIFATIDSPWPDQLQS >Manes.06G031100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7965001:7968255:-1 gene:Manes.06G031100.v8.1 transcript:Manes.06G031100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESRKDKAVEKPRHPLVPAEGNNNAAATSHHPQTMEISSKYKSPTPFVSRRCTSPSLSRTLPTTSEVVAKRANSVERMRPPTPSSPASPFTPVHDSSVDMLQLPRRLSTGSRLPESLWPSTMRSVGVSCRSDSISIPVSKKENPVSNISSDRTLQPSSNVAQKQAEPPVGSCKPTSERKRSPFKGKNVQDQSENIKPIDGLRTKLIDQHRWPSRSGGKVSSNALNKSVDLNDKTPKALSIPVGNGFTSLRGMSLPSGSIQPIPKSINDAAKLSSLEDIGRIQPGENCADDSSLQASRAQKLMETNLSDKLSLIIPTVRLHPLPTHGSCPPSPSWTSVSRGVSPSRAKPSTPRPSTPPSRGLEDIDRIQSGENYVDDSSLQVSEAHKVTTTNLSDGLSLMIPAVRSHPLSIHGSCPPSPSWTSISKGVRPSTPFRGLEDIGRIQSRANSVDDSLMQVSEEHKATTTNLPNRLSLTIPAVRSHPLSTHGSCPPSPSWTVVSRGVSPSRARQSTPRLSTPPSGGLEDIGRIQSGANSADDNSLQVSGAHKVAITNLSNRLSVIIPAIKSHPLSTLGSCPPSPSRNPISRSVSPFRAKPSTPRPSAPPSKGVNPTLTWPSSISSPPNSSPVLSFIVDFKKEGKGSSHIEDAHQIRLLYNRYLQWQFANARAEAVLHVQKVNSKKMLFNVWTSTLDLWDSVIRKRINLQQLKLELKLNTILNDQVIFLFHGIVILQQYLIFLFTSITYVDFYLNV >Manes.14G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5032064:5037738:-1 gene:Manes.14G060400.v8.1 transcript:Manes.14G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWPSRVHSKHLSAAQAARYNSADHLAMDDSDGDEDTRAYFPCPFCYVDIEVHVLCSHLQNEHCFDLKNAVCPLCGANLGKDVIGHFIVQHASSLKRRRKALKFGLWTGSSSVISKELSSFLGSSANGRANTNESAPDTLLSPFLGSGSHSHLQGSQQGESSNRTAHSESTAIYSRDGGEAVDSEERRLRAAFVQQLISSTIF >Manes.14G060400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5032064:5037738:-1 gene:Manes.14G060400.v8.1 transcript:Manes.14G060400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWPSRVHSKHLSAAQAARYNSDHLAMDDSDGDEDTRAYFPCPFCYVDIEVHVLCSHLQNEHCFDLKNARRRKALKFGLWTGSSSVISKELSSFLGSSANGRANTNESAPDTLLSPFLGSGSHSHLQGSQQGESSNRTAHSESTAIYSRDGGEAVDSEERRLRAAFVQQLISSTIF >Manes.14G060400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5032064:5037738:-1 gene:Manes.14G060400.v8.1 transcript:Manes.14G060400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWPSRVHSKHLSAAQAARYNSDHLAMDDSDGDEDTRAYFPCPFCYVDIEVHVLCSHLQNEHCFDLKNAVCPLCGANLGKDVIGHFIVQHASSLKRRRKALKFGLWTGSSSVISKELSSFLGSSANGRANTNESAPDTLLSPFLGSGSHSHLQGSQQGESSNRTAHSESTAIYSRDGGEAVDSEERRLRAAFVQQLISSTIF >Manes.03G114700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24040524:24070204:1 gene:Manes.03G114700.v8.1 transcript:Manes.03G114700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMAYLHEDALREYDELELCYLETGTKVNTGGKQREFGGVDSGDDQAALLNPGNKPLTHVVHDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYQFVITFSKALILHESTLPFCLREVWVISACLALINATSSHYNDGVVAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGADIERSPVNSASLSMLPWPKPAVWPSLPADASPQVLAKEKVILQATPRIKHFGIQRKSLPLEPSILLREANRRRASLSAGNMFEVFDGRSSLIDGSTSDASRTSPVQKAKTISMSRTNSSPGNFDGAMDRPMRLAEIYVAAEHALEHTISNADLWKSLSSLEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIAAVCFRHGNFDLAAKSYEKVCALYNGEGWQELLADVLPNLAECQKMLNDQAGYLSSCVRLLSLDKVLFSTKERQAFQAELVRLAHSEMKDPVPLDVSSLITFSGNLGPPLELCDGDPGTLSVTVWSGFPDDITLDSLSLTLMATFNADEGAKALWSSTAIVLKPGRNTITLALPPQKPGSYVLGVLTGRIGQLRFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLVDLTAAVSSALLINETQWVGIIVRPIDYSLKGAVLHIDTGPGLKIEESHAIEMESCANISQSAPGISNLNGSQKNCPLASSTEPEQLTLHDGRIEFSDWASDINSVIWIPVLAISDTLPKGSSSVNPQRQSIVDGMRTVALKLEFGASHNQIFERTIAVHFTDPFHVSTRVVDKCNDGTLLLQVILHSQVKASLTIYDAWLELQDGFVHTGQGNGRPTSSFFPLVISPTSKAGILFSICLGSTTGEDELEARKPETESILNIRYGISGERTIGAHPPVAVGSIRAEVARQDLIFKSALVLQRPVLDPCLAVGFLPLPSAGLRVGQLVTMKWRIERLKGFGGDETSENSGEVLYEVSANSENWMIAGRKRGHVSLSTEQGSRIVISILCVPLVAGYVRPPRLGLPNVNESNISSNPPGPHLVCVMPPVLSSSFCIPA >Manes.03G114700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24040524:24070204:1 gene:Manes.03G114700.v8.1 transcript:Manes.03G114700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMAYLHEDALREYDELELCYLETVNTGGKQREFGGVDSGDDQAALLNPGNKPLTHVVHDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYQFVITFSKALILHESTLPFCLREVWVISACLALINATSSHYNDGVVAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGADIERSPVNSASLSMLPWPKPAVWPSLPADASPQVLAKEKVILQATPRIKHFGIQRKSLPLEPSILLREANRRRASLSAGNMFEVFDGRSSLIDGSTSDASRTSPVQKAKTISMSRTNSSPGNFDGAMDRPMRLAEIYVAAEHALEHTISNADLWKSLSSLEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIAAVCFRHGNFDLAAKSYEKVCALYNGEGWQELLADVLPNLAECQKMLNDQAGYLSSCVRLLSLDKVLFSTKERQAFQAELVRLAHSEMKDPVPLDVSSLITFSGNLGPPLELCDGDPGTLSVTVWSGFPDDITLDSLSLTLMATFNADEGAKALWSSTAIVLKPGRNTITLALPPQKPGSYVLGVLTGRIGQLRFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLVDLTAAVSSALLINETQWVGIIVRPIDYSLKGAVLHIDTGPGLKIEESHAIEMESCANISQSAPGISNLNGSQKNCPLASSTEPEQLTLHDGRIEFSDWASDINSVIWIPVLAISDTLPKGSSSVNPQRQSIVDGMRTVALKLEFGASHNQIFERTIAVHFTDPFHVSTRVVDKCNDGTLLLQVILHSQVKASLTIYDAWLELQDGFVHTGQGNGRPTSSFFPLVISPTSKAGILFSICLGSTTGEDELEARKPETESILNIRYGISGERTIGAHPPVAVGSIRAEVARQDLIFKSALVLQRPVLDPCLAVGFLPLPSAGLRVGQLVTMKWRIERLKGFGGDETSENSGEVLYEVSANSENWMIAGRKRGHVSLSTEQGSRIVISILCVPLVAGYVRPPRLGLPNVNESNISSNPPGPHLVCVMPPVLSSSFCIPA >Manes.03G114700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24046700:24070203:1 gene:Manes.03G114700.v8.1 transcript:Manes.03G114700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWNFCNFFILKESLAFMFEMAYLHEDALREYDELELCYLETGTKVNTGGKQREFGGVDSGDDQAALLNPGNKPLTHVVHDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYQFVITFSKALILHESTLPFCLREVWVISACLALINATSSHYNDGVVAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGADIERSPVNSASLSMLPWPKPAVWPSLPADASPQVLAKEKVILQATPRIKHFGIQRKSLPLEPSILLREANRRRASLSAGNMFEVFDGRSSLIDGSTSDASRTSPVQKAKTISMSRTNSSPGNFDGAMDRPMRLAEIYVAAEHALEHTISNADLWKSLSSLEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIAAVCFRHGNFDLAAKSYEKVCALYNGEGWQELLADVLPNLAECQKMLNDQAGYLSSCVRLLSLDKVLFSTKERQAFQAELVRLAHSEMKDPVPLDVSSLITFSGNLGPPLELCDGDPGTLSVTVWSGFPDDITLDSLSLTLMATFNADEGAKALWSSTAIVLKPGRNTITLALPPQKPGSYVLGVLTGRIGQLRFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLVDLTAAVSSALLINETQWVGIIVRPIDYSLKGAVLHIDTGPGLKIEESHAIEMESCANISQSAPGISNLNGSQKNCPLASSTEPEQLTLHDGRIEFSDWASDINSVIWIPVLAISDTLPKGSSSVNPQRQSIVDGMRTVALKLEFGASHNQIFERTIAVHFTDPFHVSTRVVDKCNDGTLLLQVILHSQVKASLTIYDAWLELQDGFVHTGQGNGRPTSSFFPLVISPTSKAGILFSICLGSTTGEDELEARKPETESILNIRYGISGERTIGAHPPVAVGSIRAEVARQDLIFKSALVLQRPVLDPCLAVGFLPLPSAGLRVGQLVTMKWRIERLKGFGGDETSENSGEVLYEVSANSENWMIAGRKRGHVSLSTEQGSRIVISILCVPLVAGYVRPPRLGLPNVNESNISSNPPGPHLVCVMPPVLSSSFCIPA >Manes.03G114700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24046700:24070203:1 gene:Manes.03G114700.v8.1 transcript:Manes.03G114700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWNFCNFFILKESLAFMFEMAYLHEDALREYDELELCYLETVNTGGKQREFGGVDSGDDQAALLNPGNKPLTHVVHDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYQFVITFSKALILHESTLPFCLREVWVISACLALINATSSHYNDGVVAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGADIERSPVNSASLSMLPWPKPAVWPSLPADASPQVLAKEKVILQATPRIKHFGIQRKSLPLEPSILLREANRRRASLSAGNMFEVFDGRSSLIDGSTSDASRTSPVQKAKTISMSRTNSSPGNFDGAMDRPMRLAEIYVAAEHALEHTISNADLWKSLSSLEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIAAVCFRHGNFDLAAKSYEKVCALYNGEGWQELLADVLPNLAECQKMLNDQAGYLSSCVRLLSLDKVLFSTKERQAFQAELVRLAHSEMKDPVPLDVSSLITFSGNLGPPLELCDGDPGTLSVTVWSGFPDDITLDSLSLTLMATFNADEGAKALWSSTAIVLKPGRNTITLALPPQKPGSYVLGVLTGRIGQLRFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLVDLTAAVSSALLINETQWVGIIVRPIDYSLKGAVLHIDTGPGLKIEESHAIEMESCANISQSAPGISNLNGSQKNCPLASSTEPEQLTLHDGRIEFSDWASDINSVIWIPVLAISDTLPKGSSSVNPQRQSIVDGMRTVALKLEFGASHNQIFERTIAVHFTDPFHVSTRVVDKCNDGTLLLQVILHSQVKASLTIYDAWLELQDGFVHTGQGNGRPTSSFFPLVISPTSKAGILFSICLGSTTGEDELEARKPETESILNIRYGISGERTIGAHPPVAVGSIRAEVARQDLIFKSALVLQRPVLDPCLAVGFLPLPSAGLRVGQLVTMKWRIERLKGFGGDETSENSGEVLYEVSANSENWMIAGRKRGHVSLSTEQGSRIVISILCVPLVAGYVRPPRLGLPNVNESNISSNPPGPHLVCVMPPVLSSSFCIPA >Manes.03G114700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24040494:24070203:1 gene:Manes.03G114700.v8.1 transcript:Manes.03G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKNSCDHIVIAVEDVSDLWPNIKDRFEEHLPFKRACLNNKTRNLVFVENLPAEFILTTDARLRSRFPQEQSLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVCRAHPSNDNATKMAKKVYAKLEVDFNTKKRERCCKFDIHGANFWEDLESKIIECIKNTLDRRVQFYEDEIRKLSEQRFMPVWNFCNFFILKESLAFMFEMAYLHEDALREYDELELCYLETVNTGGKQREFGGVDSGDDQAALLNPGNKPLTHVVHDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYQFVITFSKALILHESTLPFCLREVWVISACLALINATSSHYNDGVVAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGADIERSPVNSASLSMLPWPKPAVWPSLPADASPQVLAKEKVILQATPRIKHFGIQRKSLPLEPSILLREANRRRASLSAGNMFEVFDGRSSLIDGSTSDASRTSPVQKAKTISMSRTNSSPGNFDGAMDRPMRLAEIYVAAEHALEHTISNADLWKSLSSLEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIAAVCFRHGNFDLAAKSYEKVCALYNGEGWQELLADVLPNLAECQKMLNDQAGYLSSCVRLLSLDKVLFSTKERQAFQAELVRLAHSEMKDPVPLDVSSLITFSGNLGPPLELCDGDPGTLSVTVWSGFPDDITLDSLSLTLMATFNADEGAKALWSSTAIVLKPGRNTITLALPPQKPGSYVLGVLTGRIGQLRFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLVDLTAAVSSALLINETQWVGIIVRPIDYSLKGAVLHIDTGPGLKIEESHAIEMESCANISQSAPGISNLNGSQKNCPLASSTEPEQLTLHDGRIEFSDWASDINSVIWIPVLAISDTLPKGSSSVNPQRQSIVDGMRTVALKLEFGASHNQIFERTIAVHFTDPFHVSTRVVDKCNDGTLLLQVILHSQVKASLTIYDAWLELQDGFVHTGQGNGRPTSSFFPLVISPTSKAGILFSICLGSTTGEDELEARKPETESILNIRYGISGERTIGAHPPVAVGSIRAEVARQDLIFKSALVLQRPVLDPCLAVGFLPLPSAGLRVGQLVTMKWRIERLKGFGGDETSENSGEVLYEVSANSENWMIAGRKRGHVSLSTEQGSRIVISILCVPLVAGYVRPPRLGLPNVNESNISSNPPGPHLVCVMPPVLSSSFCIPA >Manes.03G114700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24040524:24070203:1 gene:Manes.03G114700.v8.1 transcript:Manes.03G114700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKNSCDHIVIAVEDVSDLWPNIKDRFEEHLPFKRACLNNKTRNLVFVENLPAEFILTTDARLRSRFPQEQSLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVCRAHPSNDNATKMAKKVYAKLEVDFNTKKRERCCKFDIHGANFWEDLESKIIECIKNTLDRRVQFYEDEIRKLSEQRFMPVWNFCNFFILKESLAFMFEMAYLHEDALREYDELELCYLETGTKVNTGGKQREFGGVDSGDDQAALLNPGNKPLTHVVHDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYQFVITFSKALILHESTLPFCLREVWVISACLALINATSSHYNDGVVAPDIEKEFYRLQGDLYSLCRVKFMRLAYLIGYGADIERSPVNSASLSMLPWPKPAVWPSLPADASPQVLAKEKVILQATPRIKHFGIQRKSLPLEPSILLREANRRRASLSAGNMFEVFDGRSSLIDGSTSDASRTSPVQKAKTISMSRTNSSPGNFDGAMDRPMRLAEIYVAAEHALEHTISNADLWKSLSSLEEFEQKYLELTKGAADNYHRSWWKRHGVVLDGEIAAVCFRHGNFDLAAKSYEKVCALYNGEGWQELLADVLPNLAECQKMLNDQAGYLSSCVRLLSLDKVLFSTKERQAFQAELVRLAHSEMKDPVPLDVSSLITFSGNLGPPLELCDGDPGTLSVTVWSGFPDDITLDSLSLTLMATFNADEGAKALWSSTAIVLKPGRNTITLALPPQKPGSYVLGVLTGRIGQLRFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLVDLTAAVSSALLINETQWVGIIVRPIDYSLKGAVLHIDTGPGLKIEESHAIEMESCANISQSAPGISNLNGSQKNCPLASSTEPEQLTLHDGRIEFSDWASDINSVIWIPVLAISDTLPKGSSSVNPQRQSIVDGMRTVALKLEFGASHNQIFERTIAVHFTDPFHVSTRVVDKCNDGTLLLQVILHSQVKASLTIYDAWLELQDGFVHTGQGNGRPTSSFFPLVISPTSKAGILFSICLGSTTGEDELEARKPETESILNIRYGISGERTIGAHPPVAVGSIRAEVARQDLIFKSALVLQRPVLDPCLAVGFLPLPSAGLRVGQLVTMKWRIERLKGFGGDETSENSGEVLYEVSANSENWMIAGRKRGHVSLSTEQGSRIVISILCVPLVAGYVRPPRLGLPNVNESNISSNPPGPHLVCVMPPVLSSSFCIPA >Manes.03G088800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19390078:19391571:-1 gene:Manes.03G088800.v8.1 transcript:Manes.03G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSYFIFLSLLSSFAAVLVAGEDHKFGRTINRKLYGLKKEKLSHFRLYWHDAVTGSNASSVLVVPPENTSTTAFGMVRMIDNALTLGPQMSSKLVGRAQGFYAQAAQQEIGLLMAMNFAFIEGKYNGSTITVLGRNTVFSKVREMPVVGGSGLFRFARGYVQARTHWLNMTSGDAIVEYNIYVLHY >Manes.17G092900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30129561:30131086:-1 gene:Manes.17G092900.v8.1 transcript:Manes.17G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLNISISPAKAAVFAFVVLLFNTACQAQLTSTFYDNSCPNALKTIRTSIRNSIAAERRMAASLIRLHFHDCFVQGCDASILLEETPTIESEQTALPNKDSARGFRVIEKAKSEVEKICPGVVSCADIVAVAARDASAYVGGPSYTVMLGRRDSTTASRTLANNELPSFKDGLDILISSFQRKGLSARDLVALSGAHTLGHAQCFTFRDRIYNNVSIDAGFASTRKRTCPAIGGDGNLAPFDLVTPNSFDNNYFKNLIQKKGLLESDQILFSGGSTDSIVREYSRSPAAFNSDFAAAMIKMGNIDILTGSAGEIRKICSAVN >Manes.12G009300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:965176:965559:1 gene:Manes.12G009300.v8.1 transcript:Manes.12G009300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQQQEQQSRIFYELSALVLNLLRSPIPFSDQSGAIPSDSSRRRSSAPPQISPAGFASLMLGISLSLMLCGSVTFFIGFMLMPWVLGFVMLLYVAGIVSTISMLGRSLFGCALAPPSPRKEIPGM >Manes.12G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:964529:967384:1 gene:Manes.12G009300.v8.1 transcript:Manes.12G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSQQQEQQSRIFYELSALVLNLLRSPIPFSDQSGAIPSDSSRRRSSAPPQISPAGFASLMLGISLSLMLCGSVTFFIGFMLMPWVLGFVMLLYVAGIVSTISMLGRSLFGCALAPPSPRKEIPAWKLL >Manes.03G170800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29608711:29611694:1 gene:Manes.03G170800.v8.1 transcript:Manes.03G170800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRELTILLHPHKSLKHLKQIHSFLITSGLSRDTFFLTKLFHSLTLDHGCHLAYASLLFQHMETTSIYLWNTVIGDFSVSAQPLMSIVFYTRMRRNDVHPDNRTFPLLLKSFSQLKNENPFPIYAHIVKFGLEFNNFVRNSLITAFVNCGNTVFARQVFDESAHKDVVTWTAMIDGYARNGFPIEGLKCFKQMISSGVKVDEVTVVSALSAAGMTGNILFGRCVHGFYIQPGRVKWDVYVGCVLVDMYSKCGYSDDARRVFDEMPIKNVVSWSALIAGYVQGNRFKDALLLFQDMLIADVRPNQTTLTSVLTASAQLGALDQGRWVHEYINRNALDMNSITVTALIDMYAKCGCVCEAMLVFENFPKKNVYSWTAMINGLAMHGDALGALKLFLQMLSSGVQPNGVTFISVLSACAHGCLVNEGCNLFELMKHKYHMEPNIDHYGCMIDILGRAGYLEEARKLIKEMPMEPTPGVWGTLFGACMIHKAFDLGEYIGSLLIRLQPNHSGRYALLANMYSANDRWDDAAFVRKIMKGKGVEKRPGFSWIEVNGSIHEFIAFDGSHSEITSLYEMLDNILLQLKLADYLPETILFDGD >Manes.15G035050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2758431:2760143:1 gene:Manes.15G035050.v8.1 transcript:Manes.15G035050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKTTVTDVIPVMTKITEHKLNGSNFLDWSKTIRIYLRSIAMDDHLTKDPPTDETRRDWMRDDARLFLQIQNSIHSEVISLINYCEFVKKLMEYLKFLYSDKENISCIYDVCKAFYRVQKNDKTLTSYFMDFKRVYKELNVLMPFSTDVKTQQAQREQMTVMSFLTGLPLEFESAKSHILFDSEISSLHDVFIRVLRTKSLIPSHPTSALVSRNDSGRQNNRGGQRGGFNAGKRSQHSGKTGSTSDSGGIICYYCREPGHTKKTCQKLQNKNQRTQMAHMAVEAPSDQGILISEDEYAQFTQYQASLKSSNSSSITAIAKSGNSTACLVSSSSKWVIDSGATEHMSGNSTLLSNLESHASTSYVTLADGTKSFVISSGHVNLTPSLSVSSVLCLPKFAFNLLSVSKLTRALNCCASFFPDHCIFQDLLTKQIIGRGRESEGLYVLDQQLPRSLACSTRLTPFVHCRLEHPSLSALKKLYPQFHSLSVLDCESCQFAKHHHLPSVSRVNKRASSPFELVHSDVWGPCPIVSKSGFKYFVTFVDYFSRTTWLFLMKSRSELFSYLLCVLC >Manes.12G000600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:196384:201014:1 gene:Manes.12G000600.v8.1 transcript:Manes.12G000600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGNNWGGSFEIMNGAESTTDDDRSRNMEWDRGALHSQQHYRNLDETQQSWLLYPQETKKKKYVDLGCIVCSTKAFKWTLYSLVVVFMVIALPTIIVKTLPDHKSPPPPPDNYTLALHKALLFFNAQKSGKLPKNNGIPWRGDSGLQDGNDTDFKGGLVGGYYDAGDNVKFHFPMAFTMTMLSWSLIEYNHKYKAIGEYNHMRDLIKWGTDYLLLTFNSSATKIDKIYCQVGGSLNGSTTPDDHYCWERPEDMDYRRPSIFVNAGPDLAGEIAAALAAASIVFRDNNAYSKMLVKGAVTVFDFAREGGKRRPYSRGQPYVEPFYNSTGYYDEYMWGAAWLYYATGNISYISLATNPGIPKNSRAFYMTPNLSVLSWDNKLPAAMLLLTRFRIFLSPGYPYEDMLRMYHNVTGLNMCSYLQQFHVFNWTKGGMIQLNQGKPQPLQYVANAAFLASLFVDYLNASSVPGINCGPKFVSLNDLRSFATSQIDYILGKNPMNMSYVVGYGSKFPRHVHHRGASIPHDNKRYSCTGGWKWRDSRNRNPNNITGAMVGGPDRFDNFHDVRTNFNQTEPTLAGNAGLVAALVSLTSGGGFGIDKNAIFSAVPPLYPQSPPPPPPWKP >Manes.16G123500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32562525:32572306:1 gene:Manes.16G123500.v8.1 transcript:Manes.16G123500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTSPEAVPSSPSPASFSASSAVSAAAVASAVTDQSPPATSSSSSSIPTEDLAVGSTRDGSGGAQETVTIDRRGEYSAVCRWTVHNFPRIKARAIWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTASSKWDCFASYRLAIVNLTDDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDSKLGYLFNNDSVLITADILILNESVGFMRDNNDLQSASSSIISSSVVSGPVSDVLSGKFTWKVHNFSLFREMIKTQKIMSPVFPAGECNLRISVYQSSVNGQDYLSMCLESKDTEKTIVSDRSCWCLFRMSALNQKPGSNHMHRDSYGRFAADNKTGDNTSLGWNDYMKMSDFVGPDSGFLVEDTAVFSTSFHVIKEFSSFSKNGGLIGGRSGSGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVLNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFTDQDIEANGPGSQVEKVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVVSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGVSGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSSNDGKKAAKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDLSDVNSKPSVDGSGADSPLESDRENGATESAQFPVYERLDSGVDDTTSASAVQSSDANGVDMPGKALPGLPIYPPVTTAGASLENASLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQLDLVALVPKLVEHSEHPLAAYALLERLQKPDAEPALRIPVFNALSQLECGSDVWERVLFQSFELLADTNDEPLAATMDFIFKAASQCQHLPEAVRSVRVRLKNLGPEISPCVLDFLSKTVNSWGDVAETILRDIECDDDFGYDSSALPCGLFLFGENGPTPERLHVMDGQAFRAGCHFSDIYILIEMLSIPCLAVEASQTFERAVARGAIVAQSVAMVLERRLTQRLNFNARFVAENFQHTDGVIEGEAGEQLRIQRDDFNVVLSLAETMALSRDPCVKGFVKMLYTLLFKWYADESYRGRMLKRLVDHAISTTDNSRDVDLDLDILVILVCEEQEIVKPVLTMMREVAELANVDRAALWHQLCASEDEIIRMREEMKTEISNMVRGKAILSQKLSESEATNNRLKVPDIFQLMIWSREMILHLMSFWCLLELTPLMMAV >Manes.16G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32562525:32572306:1 gene:Manes.16G123500.v8.1 transcript:Manes.16G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTSPEAVPSSPSPASFSASSAVSAAAVASAVTDQSPPATSSSSSSIPTEDLAVGSTRDGSGGAQETVTIDRRGEYSAVCRWTVHNFPRIKARAIWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTASSKWDCFASYRLAIVNLTDDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDSKLGYLFNNDSVLITADILILNESVGFMRDNNDLQSASSSIISSSVVSGPVSDVLSGKFTWKVHNFSLFREMIKTQKIMSPVFPAGECNLRISVYQSSVNGQDYLSMCLESKDTEKTIVSDRSCWCLFRMSALNQKPGSNHMHRDSYGRFAADNKTGDNTSLGWNDYMKMSDFVGPDSGFLVEDTAVFSTSFHVIKEFSSFSKNGGLIGGRSGSGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVLNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFTDQDIEANGPGSQVEKVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVVSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGVSGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSSNDGKKAAKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDLSDVNSKPSVDGSGADSPLESDRENGATESAQFPVYERLDSGVDDTTSASAVQSSDANGVDMPGKALPGLPIYPPVTTAGASLENASLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQLDLVALVPKLVEHSEHPLAAYALLERLQKPDAEPALRIPVFNALSQLECGSDVWERVLFQSFELLADTNDEPLAATMDFIFKAASQCQHLPEAVRSVRVRLKNLGPEISPCVLDFLSKTVNSWGDVAETILRDIECDDDFGYDSSALPCGLFLFGENGPTPERLHVMDGQAFRAGCHFSDIYILIEMLSIPCLAVEASQTFERAVARGAIVAQSVAMVLERRLTQRLNFNARFVAENFQHTDGVIEGEAGEQLRIQRDDFNVVLSLAETMALSRDPCVKGFVKMLYTLLFKWYADESYRGRMLKRLVDHAISTTDNSRDVDLDLDILVILVCEEQEIVKPVLTMMREVAELANVDRAALWHQLCASEDEIIRMREEMKTEISNMVRGKAILSQKLSESEATNNRLKSEMRAEMDRFTREKKELSEQIQEVEGQLEWIRSERDEEIAKLKAEKKVLQDRLHDAETQLSQLKSRKRDELKRVVKEKNALAERLKSAESARKRFDEELKRYATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETISRIHEEGLRQIHALQQRKGSPAASPLVSPHTLPHNHGLYPAAPPPMAVGLPSSLIPNGVGIHSNGHVNGAVGPWFSHT >Manes.02G146050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11231986:11232839:-1 gene:Manes.02G146050.v8.1 transcript:Manes.02G146050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLREPTPDDTQISDHFFAHTSIFISAICVRWIVALGKWREQYIKGHQVRVEFPFFSSKYWRIEGDGESQYPFAACNYKHNGHETRPISWSFLSYQTGNTAIMRVQRSKPTQRLNGQIPETQTWKHNHVPVTSSRAHTHNTRDYKSRCREVNSSPRSPQGGPVLAATGNDPELTVTWTEVKRVE >Manes.01G041400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8268171:8270573:-1 gene:Manes.01G041400.v8.1 transcript:Manes.01G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTPQPQMLNPSLNITTEQIQKYLDENKQLIMAILENQNMGKFAECASYQAQLQQNLMYLARIADAQPQGTTMPSQTSPQSTMQQEHYIQPSQVAMSQQPIYFTPKLPFQINDQQQLPLHLQQQHHLHQQHLAQRQMRDAQHE >Manes.03G177700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30061923:30063334:1 gene:Manes.03G177700.v8.1 transcript:Manes.03G177700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQEACLNHISRESSDIRRLANFYKEIFGFEEIESPDFGDFKVIWLNLPQAFSLHLIERSPTTKLPEGPYSAISPVLDPSHLPRGHHICFSVSNFDSFVQTLKDKGIETFQRSVPGRPIRQVFFFDPDGNGLEVASRDE >Manes.07G129000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33118884:33122446:1 gene:Manes.07G129000.v8.1 transcript:Manes.07G129000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHSSGLGPHVPLPEYKDNMKKIAVHLKSLSDTTRTIFLSCPPVDEERVRSSTSPIFSELVRTNELCRKYSEACIELCQEIGVKVVDLFSALQKRSDWTTACFTDGIHLAAEGSKIVVEEILKVLNEAEWSPSLHWKSMPTEFSEDSQYYLVAANGKTTLNPSDWTFHRETQWK >Manes.07G129000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33118884:33122446:1 gene:Manes.07G129000.v8.1 transcript:Manes.07G129000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTRPQFVLFGSSIVQLSFSHGGWGSILTDIYARKADIVLRGYYGWNSRLAVEVLDKIFPKDAAVQPALVIVYFGGNDSMGPHSSGLGPHVPLPEYKDNMKKIAVHLKSLSDTTRTIFLSCPPVDEERVRSSTSPIFSELVRTNELCRKYSEACIELCQEIGVKVVDLFSALQKRSDWTTACFTDGIHLAAEGSKIVVEEILKPMEKQH >Manes.07G129000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33118884:33122446:1 gene:Manes.07G129000.v8.1 transcript:Manes.07G129000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHSSGLGPHVPLPEYKDNMKKIAVHLKSLSDTTRTIFLSCPPVDEERVRSSTSPIFSELVRTNELCRKYSEACIELCQEIGVKVVDLFSALQKRSDWTTACFTDGIHLAAEGSKIVVEEILKVLNEAEWSPSLHWKSMPTEFSEDSQYYLVAANGKTTLNPSDWTFHRETQWK >Manes.07G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33118884:33122446:1 gene:Manes.07G129000.v8.1 transcript:Manes.07G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTRPQFVLFGSSIVQLSFSHGGWGSILTDIYARKADIVLRGYYGWNSRLAVEVLDKIFPKDAAVQPALVIVYFGGNDSMGPHSSGLGPHVPLPEYKDNMKKIAVHLKSLSDTTRTIFLSCPPVDEERVRSSTSPIFSELVRTNELCRKYSEACIELCQEIGVKVVDLFSALQKRSDWTTACFTDGIHLAAEGSKIVVEEILKVLNEAEWSPSLHWKSMPTEFSEDSQYYLVAANGKTTLNPSDWTFHRETQWK >Manes.02G192000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15431443:15436405:1 gene:Manes.02G192000.v8.1 transcript:Manes.02G192000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNLIGDAGSIRPTMRDEEKAKENDSPSSKKPKLERSPSTRWEFAAAFGVFAVFSTGLFCIYLTMPTSVYVNLKLPRTISDLRFLKDHLATYAKDYPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGLFLVVLNATAGASSCFFLSKLIGRPIVNWLWPEKLRVFQAEIAKRREKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHVFFLATLLGLIPASYITVRAGLALGDLKSVKDLYDFKTLSMLFLIGSIIIFPTLLKRKRIYE >Manes.03G066645.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8645739:8649754:-1 gene:Manes.03G066645.v8.1 transcript:Manes.03G066645.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLAGSNWPAIGLCFFFFFLIASSAKIPTSKIPSTTKKSSALGVQLQVTDKQVVIDNGIVQVNFSSPGGDVIGIKYKEMDNVLETKNYENNRGYWDVVWSRPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVLLTNPVNPQQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKA >Manes.08G022800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2291739:2292973:-1 gene:Manes.08G022800.v8.1 transcript:Manes.08G022800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRKMPKVKTNRVKYPEGWELIEPTLRELQAKMREAENDTHDGKRKCEALWPIFKIAHQKSRYIFDLYHRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKNLREEKVIECVHCGCRGCASGD >Manes.09G139100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33838764:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSAATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33838300:33861975:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSAATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKEEKIVSEGSVGNQASKELAFFVVNKETSDSPKSKSPSPSVSKKKNRKGGLSMFLSGALDDTPKETAPPPQTPRSEGPAWGGAKVSKGFASLREIQDEQSKTKVNQSTKNIDQVEDHSDGKSDGKVKLISFLPSKPIPVVSLHTSPVSDAERSTPPWASGTPPFLSRPSLRDIQMQQQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33838764:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSAATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33839581:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33838709:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSAATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKEEKIVSEGSVGNQASKELAFFVVNKETSDSPKSKSPSPSVSKKKNRKGGLSMFLSGALDDTPKETAPPPQTPRSEGPAWGGAKVSKGFASLREIQDEQSKTKVNQSTKNIDQVEDHSDGKSDGKVKLISFLPSKPIPVVSLHTSPVSDAERSTPPWASGTPPFLSRPSLRDIQMQQQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33839581:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSAATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33838709:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKEEKIVSEGSVGNQASKELAFFVVNKETSDSPKSKSPSPSVSKKKNRKGGLSMFLSGALDDTPKETAPPPQTPRSEGPAWGGAKVSKGFASLREIQDEQSKTKVNQSTKNIDQVEDHSDGKSDGKVKLISFLPSKPIPVVSLHTSPVSDAERSTPPWASGTPPFLSRPSLRDIQMQQQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33838298:33861977:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKEEKIVSEGSVGNQASKELAFFVVNKETSDSPKSKSPSPSVSKKKNRKGGLSMFLSGALDDTPKETAPPPQTPRSEGPAWGGAKVSKGFASLREIQDEQSKTKVNQSTKNIDQVEDHSDGKSDGKVKLISFLPSKPIPVVSLHTSPVSDAERSTPPWASGTPPFLSRPSLRDIQMQQQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33839581:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKEEKIVSEGSVGNQASKELAFFVVNKETSDSPKSKSPSPSVSKKKNRKGGLSMFLSGALDDTPKETAPPPQTPRSEGPAWGGAKVSKGFASLREIQDEQSKTKVNQSTKNIDQVEDHSDGKSDGKVKLISFLPSKPIPVVSLHTSPVSDAERSTPPWASGTPPFLSRPSLRDIQMQQQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33839581:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSAATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKEEKIVSEGSVGNQASKELAFFVVNKETSDSPKSKSPSPSVSKKKNRKGGLSMFLSGALDDTPKETAPPPQTPRSEGPAWGGAKVSKGFASLREIQDEQSKTKVNQSTKNIDQVEDHSDGKSDGKVKLISFLPSKPIPVVSLHTSPVSDAERSTPPWASGTPPFLSRPSLRDIQMQQQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.09G139100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33838764:33861533:1 gene:Manes.09G139100.v8.1 transcript:Manes.09G139100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSRKVSPSGSYKDLWLVVREGSLADVDLALALLKKNGGNINSRNTFGLTPLHIATWRNHIPIIRRLLIAGADPNARDGESGWTCLHRALHFGHLAVASILLQSGASLTLEDSKSRMPIDLLSGPVLQAIGDRHDSATEVFSWGSGANYQLGTGNAHLQKLPCKVDALHGSLIKLISAAKFHSVAVSARGEVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVISGLGSRRVKAIAAAKHHSVLATESGEVFTWGSNREGQLGYTVDTQPTPRRVSSLKSRIVAVAAANKHTAVVSDSGEVFTWGCNKEGQLGYGTSNSASNYNPRMVEYLKGKFFTGVAVAKYHTIVLGSDGEVYTWGHRLVTPRRVVIARNLKKFGSCPLKFHRMERLHVASIAAGMVHSLALTDDGALFYWVSADPDLRCQQLYSLCGKQVVSISVGKYWSAVATVTGDVYMWDGKKGEDKLPAVTRLHGVKRATSVSVGETHLLIVGSLYHPNYPPSVIDSLQKQKLQVRDEVEELDEDFVYNDTESNHILSAVEKDDSAQRPIPSLKSLCEKVAAESLVEPRNAIQMLEIADSLGAEDLRKHCQDIVIHNLDYILTVSPHAFASASPEILANLENLLDQRSSEPWSYRRLPTPTATFPLIINSEEEDSECEVPRTRDNHNIKSTLKSEDERSEFFLQKDDPNQAISKKVRALRKKLQQIEMLETKQSKGHILDDQQLAKLHTRLGIESSLTELGLPVETVQANASVGASSDVKCNKKAEVSRKQRRKSKQKVAQVETVPGFSGTDVESNFVKRPLDVGISQVSANKQGKQKQNNSHSPKTRTAGFSIASGQGSPSDSPGVSRWFKPEVNTPSSIRSIQIEEKAMKDLKRFYSTVKIVKNPT >Manes.15G072100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5432611:5432961:1 gene:Manes.15G072100.v8.1 transcript:Manes.15G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRHESSTQWGGDDWGSPVHDRFYSTNGSSWLDNKVINIEEEEFDEDEKRLLPSSSTEVKIKISKKQLQELVGMVEMKELSVTQVLSQLMDNSSNQFESHQRSWKPNLQSIPE >Manes.15G146900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12123004:12123742:1 gene:Manes.15G146900.v8.1 transcript:Manes.15G146900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSHELTTVPTVKLIHKDGELEEFSDPVRVSEILKRNPSCFICNADAMNYGACIPTIDGDEELQLGQLYFALPFDWLNRRLSPREMVALAVKASLALKSSGGQKILPWSRRVVPMVYASNKAVECSRVVGGGSHGGKGSEVKRKGRDVGRRSKIFSPNLSVITE >Manes.10G003600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:355474:358526:-1 gene:Manes.10G003600.v8.1 transcript:Manes.10G003600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVIEMRVEETALSRKPHFVLVHGIGGGSWCWYKIRCLMENAGYKVSCVDLKGSGIDQGDANSILSFDDYNKPLMDLLSSLPDNEQVILVGHSAGGLSITQATHKLAKKIRLAVYLAATMLKLGFWTDQDAQDGVPELSSFGEVYELGFGLGSNEAPTSAIVKKEFQRQIIYQMSPREDSILASMLLRPGPILALKRAQFKEEENGDGIEKVKRVYIKTKHDHVIKPQQQEAMIKRWPPSQVFLLDCDHSPFFSSPFLLFGLLVKAAASVG >Manes.03G073300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999524:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999524:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999515:12012529:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGKSDDEESGYRSKIWDLDQKLDQPMDAEAGSLKNKYEEKKISTFLLLCLAFQSLGVVYGDLGTSPLYVFYNTFPDGIEDPEDVVGALSLIIYSLTLIPLLKYILIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQDRTDEQLTTYSRSRIYERSFAAKTKRWLEECSFRKNALLLLVLVGSSMVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999524:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999498:12012529:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGKSDDEESGYRSKIWDLDQKLDQPMDAEAGSLKNKYEEKKISTFLLLCLAFQSLGVVYGDLGTSPLYVFYNTFPDGIEDPEDVVGALSLIIYSLTLIPLLKYILIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQDRTDEQLTTYSRSRIYERSFAAKTKRWLEECSFRKNALLLLVLVGSSMVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999524:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGKSDDEESGYRSKIWDLDQKLDQPMDAEAGSLKNKYEEKKISTFLLLCLAFQSLGVVYGDLGTSPLYVFYNTFPDGIEDPEDVVGALSLIIYSLTLIPLLKYILIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQDRTDEQLTTYSRSRIYERSFAAKTKRWLEECSFRKNALLLLVLVGSSMVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999498:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGKSDDEESGYRSKIWDLDQKLDQPMDAEAGSLKNKYEEKKISTFLLLCLAFQSLGVVYGDLGTSPLYVFYNTFPDGIEDPEDVVGALSLIIYSLTLIPLLKYILIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQDRTDEQLTTYSRSRIYERSFAAKTKRWLEECSFRKNALLLLVLVGSSMVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999497:12012529:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGKSDDEESGYRSKIWDLDQKLDQPMDAEAGSLKNKYEEKKISTFLLLCLAFQSLGVVYGDLGTSPLYVFYNTFPDGIEDPEDVVGALSLIIYSLTLIPLLKYILIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQDRTDEQLTTYSRSRIYERSFAAKTKRWLEECSFRKNALLLLVLVGSSMVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999504:12012529:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGKSDDEESGYRSKIWDLDQKLDQPMDAEAGSLKNKYEEKKISTFLLLCLAFQSLGVVYGDLGTSPLYVFYNTFPDGIEDPEDVVGALSLIIYSLTLIPLLKYILIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQDRTDEQLTTYSRSRIYERSFAAKTKRWLEECSFRKNALLLLVLVGSSMVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999524:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12000252:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12008210:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITGTEALFADLSHFPVLSIQIAFTAIVFPCLIFAYSGQAAYLLKNPNHVADAFYRSIPDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.03G073300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11999524:12011866:1 gene:Manes.03G073300.v8.1 transcript:Manes.03G073300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGKSDDEESGYRSKIWDLDQKLDQPMDAEAGSLKNKYEEKKISTFLLLCLAFQSLGVVYGDLGTSPLYVFYNTFPDGIEDPEDVVGALSLIIYSLTLIPLLKYILIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQDRTDEQLTTYSRSRIYERSFAAKTKRWLEECSFRKNALLLLVLVGSSMVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVFVAVVILVGLFCMQHHGIDRVGWLFAPVVFLWFLLIGGIGIFNIWKYDKGVLKAFSPVYICRYFRSGGRDNWLSLGGIMLSITDSIYWPVLIVATAAAVVASQATISATFSLIKQALALDCFPRVKIVHTSEKFLSQIYIPDINWILMVLCVAVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILIMLLVWRCHWIVVAIFTGLSLIVEGTYFSSVLCKVNQGGWVPLVIAAAFFVIMYAWHYGTMKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKHLPVYTVPEEERFLIKRIGPRNFRMFRCVARYGYKDLHKKDEQFEKRLFDSLFMFVRLESMMEDGCSDSDDYSLNGQQTEQSRDRNYNGNRASSNTNATISSGDSIVPLDANTIRSAIQVSSPSEVDELEFLGNCRDTGVVHILGNTVVTTSRESKWYKKIAIDYIYAFLRKICRGNSAVFNVPHENLLNVGQIIRV >Manes.10G034400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3416271:3422385:1 gene:Manes.10G034400.v8.1 transcript:Manes.10G034400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAGTSVARLAIRRTLSQSGSYTTRTRLIPSQSRYFHTTVFKSKAQSAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHIADRDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQFYSNDEQRTKNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSSLEIYRKRLLESGQVGEEDIQKIQEKVNSILNEEFLASKDYVPKRRDWLSSHWAGFKSPEQLSRIRNTGVKPEILKNVGKAITTFPDNFKPHRGVKKVYEQRAQMIETGEGIDWAVAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHLVINQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPDMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEERKKNGAKDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYILLRLCTAMKALGRGSLEDIKYVGRAPSAATATGFYQVHVKEQTELIQKAMQPEPIKYPS >Manes.10G034400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3416123:3422385:1 gene:Manes.10G034400.v8.1 transcript:Manes.10G034400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAGTSVARLAIRRTLSQSGSYTTRTRLIPSQSRYFHTTVFKSKAQSAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVQTLRSILTRLEQAYCGSIGFEYMHIADRDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQFYSNDEQRTKNMGVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSSLEIYRKRLLESGQVGEEDIQKIQEKVNSILNEEFLASKDYVPKRRDWLSSHWAGFKSPEQLSRIRNTGVKPEILKNVGKAITTFPDNFKPHRGVKKVYEQRAQMIETGEGIDWAVAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHLVINQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPDMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEERKKNGAKDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYILLRLCTAMKALGRGSLEDIKYVGRAPSAATATGFYQVHVKEQTELIQKAMQPEPIKYPS >Manes.13G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35757714:35762129:-1 gene:Manes.13G143900.v8.1 transcript:Manes.13G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKEILHKMKVKAGFGSSSETGKGKSKLSKHITHGYHLMKGKSNHAMEDYVVSDFKQVNNNELGLFAIFDGHLGHDVANYLQNHLFENILREHDFWTDTESAIRRAYHTTDAEILEKTLVLGKGGSTAVTAILINGQKLVVANVGDSRAIISKNGVAKQLSVDHEPSKERNMIESRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKIHLSSEPDVVEETIDDDTEFIILASDGIWKVISNQEAVDTIKDIKDAHSAAKHLIDEAVSRKSKDDISCIVVRFQ >Manes.16G058241.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17718739:17758414:1 gene:Manes.16G058241.v8.1 transcript:Manes.16G058241.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWHHGSTIQPCKCPLCRRQIKLLVPSETSQRERHNPEVAEILQKVQAYNRLFGGQTNGLIQRMQDLPFLLKRLLREIMDPQRSLPLVIRARVYIAIKISSLRGN >Manes.03G188100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30772545:30773140:-1 gene:Manes.03G188100.v8.1 transcript:Manes.03G188100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSVPFPSPPLQPVLHQDDADDDDENVKQLRECSSLYLSLQDCLIDNDRNWKACQKEVQALKACNERRKKDKVK >Manes.09G086500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26127510:26132050:1 gene:Manes.09G086500.v8.1 transcript:Manes.09G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGGESINDGEISYDPSRVEMKRSHQWFMDGSEAEVFSNKKQAVGVPTNNLFTGMINSNVSQWGNASTFQSISGHLSERLFDSDTTGTANFNDRNISLVSSEKFNMGRKVNEDPYGNHSSFNLSMSHTLEDPSSNLNFGGIRKVKVSQVKESENVMHLSMEHNYNRVDSNNLSTSHAYDKGENTTSMGLAYNNGDGHIMSVGEAYDSESNIFISMGQPYSKENDNITMNQTYKDNNGIIAMGHTFSKDENGIISTGQTFKPDENTISMGHLFSKGTGSTVLMGHTYDKGDNNDLSVGQSFNERESTIISFGGYDDDDTNPSGRLISSYDLLMAQPSLQNSEVINEKEVVNSNVDAHASAVHTTASGTENASKKKEDLKASKKAPSNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELCGVIKGSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFDVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDEGKPLK >Manes.10G122100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29026217:29028841:-1 gene:Manes.10G122100.v8.1 transcript:Manes.10G122100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCTTTSVNGFYNFLTRGLDDLDRCFLSNNFLSVQFLQKVLSSLQSFHSQLTLLVQRLHLPIGEKWLDEYMDESSRLWEACLILKSGVSAMENYYTSGSNIASSLDGFHYLNPQDSRQVHRAIMGSQREILVLEEDNKSLMETRVRALSLQFDENVSIESKLNAYNGFRGVLYALRNVTSLLLMILFEGLVYYCPETSFCQRGYEGQVIFGSSFMVSMARLQQKVANEMDQIGGQPGIMLYEFRQAKFAMEELKVDLESVMEYESEVEIRDKVDNLKSCFGLLRCGVESVIGQLDDFFDEIVEGRKKLLDMCSHRNIGFSEETQKGSIFEEQRECDSFFL >Manes.10G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29026215:29028902:-1 gene:Manes.10G122100.v8.1 transcript:Manes.10G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSCTTTSVNGFYNFLTRGLDDLDRCFLSNNFLSVQFLQKVLSSLQSFHSQLTLLVQRLHLPIGEKWLDEYMDESSRLWEACLILKSGVSAMENYYTSGSNIASSLDGFHYLNPQDSRQVHRAIMGSQREILVLEEDNKSLMETRVRALSLQFDENVSIESKLNAYNGFRGVLYALRNVTSLLLMILFEGLVYYCPETSFCQRGYEGQVIFGSSFMVSMARLQQKVANEMDQIGGQPGIMLYEFRQAKFAMEELKVDLESVMEYESEVEIRDKVDNLKSCFGLLRCGVESVIGQLDDFFDEIVEGRKKLLDMCSHR >Manes.02G158600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12392361:12393972:1 gene:Manes.02G158600.v8.1 transcript:Manes.02G158600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGYNHHQNMHLHYKSTFLPMLCSRPSIKDVALPKLEDRSMSFSTDPLSPKIGCMGQVKRHNNKIVGIPSSNKITITTTRNDTPSSVVKYSKLKRIFSAKNSFTASIPNSTSTSCRRRGVNGARGSKIIADSKENSVSINIENMDPPLPVIKKLHKPADGEVDTLWKRRSGGVALKNLQLQKIQLNSHNIAPTTV >Manes.15G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7122574:7132312:1 gene:Manes.15G091200.v8.1 transcript:Manes.15G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHFTEGTSSALFSRQTQMLRFFSLLQIPQIPLLTQFPHQASLSKFPFRAIHFSPNSFDQRVVPKKLCGYFSFSNSAVSSSPHDDFDVELGRLLALLPEEMRRRVSEHPELHDLVEVVMDVGRRPLARFPSGDFVLSDCPITLQDLEHATSQVGDFAIDNRAGISRTLHRISAIRNRKHQIIGLTCRVGRAIPGSASLLRDLVQVGASILLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHEGIGNARRMQVPNSDMQHKVLIEAVENHMPQVIIIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIVNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFSCAVEIISKNELRVHRSLESTVDAILSGRPPTFEVRKMNSQGLKETVQVEPSIDSSVENRDAISFEDLRMKDERIASNGFISASPLSEGENSLKDETTIRLYVYGILEASVIQVINQLKMDTAVQLTDNISEADALLALQSKLKKNSGIQAAAKSHDIPIYVTKTSSLVQITKVIKELASDCANGLKDSGSEESAKSSEKTDALEEARIAVEQVVIARGESIELLPRSSHIISLQMDFIQKYKLQSEKIGREPDVRLRILPYQARLDEGRKSSETVGTGSSFDDFLGTSGELNGSLNIVGRLPLLPD >Manes.15G091200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7122635:7130305:1 gene:Manes.15G091200.v8.1 transcript:Manes.15G091200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHFTEGTSSALFSRQTQMLRFFSLLQIPQIPLLTQFPHQASLSKFPFRAIHFSPNSFDQRVVPKKLCGYFSFSNSAVSSSPHDDFDVELGRLLALLPEEMRRRVSEHPELHDLVEVVMDVGRRPLARFPSGDFVLSDCPITLQDLEHATSQVGDFAIDNRAGISRTLHRISAIRNRKHQIIGLTCRVGRAIPGSASLLRDLVQVGASILLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHEGIGNARRMQVPNSDMQHKVLIEAVENHMPQVIIIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIVNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFSCAVEIISKNELRVHRSLESTVDAILSGRPPTFEVRKMNSQGLKETVQVEPSIDSSVENRDAISFEDLRMKDERIASNGFISASPLSEGENSLKDETTIRLYVYGILEASVIQVINQLKMDTAVQLTDNISEADALLALQSKLKKNSGIQAAAKSHDIPIYVTKTSSLVQITKVIKELASDCANGLKDSGSEESAKSSEKTDALEEARIAVEQVVIARGESIELLPRSSHIISLQMDFIQKYKLQSEKIGREPDVRLRILPYQARLDEGRKSSETVGTGSSFDDFLGTSGELNGSLNIVGRGILSY >Manes.15G091200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7122631:7130305:1 gene:Manes.15G091200.v8.1 transcript:Manes.15G091200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHFTEGTSSALFSRQTQMLRFFSLLQIPQIPLLTQFPHQASLSKFPFRAIHFSPNSFDQRVVPKKLCGYFSFSNSAVSSSPHDDFDVELGRLLALLPEEMRRRVSEHPELHDLVEVVMDVGRRPLARFPSGDFVLSDCPITLQDLEHATSQVGDFAIDNRAGISRTLHRISAIRNRKHQIIGLTCRVGRAIPGSASLLRDLVQVGASILLIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHEGIGNARRMQVPNSDMQHKVLIEAVENHMPQVIIIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIVNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFSCAVEIISKNELRVHRSLESTVDAILSGRPPTFEVRKMNSQGLKETVQVEPSIDSSVENRDAISFEDLRMKDERIASNGFISASPLSEGENSLKDETTIRLYVYGLF >Manes.18G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2194899:2196936:-1 gene:Manes.18G022300.v8.1 transcript:Manes.18G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESQTVCVTGASGFIGSWLIMRLLERGYTVRATVRDPDNVKKVKHLLELPKAETHLTLWKADLSIEGSFDDAIQGCSGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACAKAKTVRRIVFTSSAGTVDVEEHKKPVYDENCWSDLEFVQAVKMTGWMYFVSKTLAEKAAWKFAEENKLDFISIIPTLVVGPFLMPSMPPSLITALSLITGNEAHYSIIKQGHYVHLDDLCNSHIFLFEHPQAKGRYICSSHNANVHELAKLLREKYPEYNIPVKLKGMEEDIKPTAFSSKKLTDMGFEFQYSLEDMFEEAVETCRAKGLLPPAASNGEVADKKVEGRGVITCNEVPVSN >Manes.13G014700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1935022:1937388:1 gene:Manes.13G014700.v8.1 transcript:Manes.13G014700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKACLSRFYSFYHEVARSLSSRRSHGGRSFRNLSTCFAPLITPTDSLIVCPSSSLSLTACITHPGVLQRSEEWFALRRDKLTASTFSTALGFWKGNRRLELWNEKVFAPELNIIEASKRAVEWGVLNEAAAVDSYKTITSHEVNHLGFAIHPAQEFDWLGASPDGLLEGGILEVKCPYNKGKPESALPWSIMPFYYMPQVQGQLEIMNREWADLYCWTPNGSTIIRVHRDRSYWELIHPILREFWLENVMPAKEALLLGREEEAKSYKPTSTHKQTGLVIVKSLKVANESKLLCKEIAGHVEFYS >Manes.13G014700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1935022:1937388:1 gene:Manes.13G014700.v8.1 transcript:Manes.13G014700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKACLSRFYSFYHEVARSLSSRRSHGEEWFALRRDKLTASTFSTALGFWKGNRRLELWNEKVFAPELNIIEASKRAVEWGVLNEAAAVDSYKTITSHEVNHLGFAIHPAQEFDWLGASPDGLLEGGILEVKCPYNKGKPESALPWSIMPFYYMPQVQGQLEIMNREWADLYCWTPNGSTIIRVHRDRSYWELIHPILREFWLENVMPAKEALLLGREEEAKSYKPTSTHKQTGLVIVKSLKVANESKLLCKEIAGHVEFYS >Manes.13G014700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1935022:1937388:1 gene:Manes.13G014700.v8.1 transcript:Manes.13G014700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKACLSRFYSFYHEVARSLSSRRSHGGRSFRNLSTCFAPLITPTDSLIVCPSSSLSLTACITHPGVLQRSEEWFALRRDKLTASTFSTALGFWKGNRRLELWNEKVFAPELNIIEASKRAVEWGVLNEAAAVDSYKTITSHEVNHLGFAIHPAQEFDWLGASPDGLLEGGILEVKCPYNKGKPESALPWSIMPFYYMPQVQGQLEIMNREWADLYCWTPNGSTIIRVHRDRSYWELIHPILREFWLENVMPAKEALLLGREEEAKSYKPTSTHKQTGLVIVKSLKVANESKLLCKEIAGHVEFYS >Manes.13G014700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1935022:1937388:1 gene:Manes.13G014700.v8.1 transcript:Manes.13G014700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKACLSRFYSFYHEVARSLSSRRSHGEEWFALRRDKLTASTFSTALGFWKGNRRLELWNEKVFAPELNIIEASKRAVEWGVLNEAAAVDSYKTITSHEVNHLGFAIHPAQEFDWLGASPDGLLEGGILEVKCPYNKGKPESALPWSIMPFYYMPQVQGQLEIMNREWADLYCWTPNGSTIIRVHRDRSYWELIHPILREFWLENVMPAKEALLLGREEEAKSYKPTSTHKQTGLVIVKSLKVANESKLLCKEIAGHVEFYS >Manes.13G014700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1935022:1937388:1 gene:Manes.13G014700.v8.1 transcript:Manes.13G014700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKACLSRFYSFYHEVARSLSSRRSHGEEWFALRRDKLTASTFSTALGFWKGNRRLELWNEKVFAPELNIIEASKRAVEWGVLNEAAAVDSYKTITSHEVNHLGFAIHPAQEFDWLGASPDGLLEGGILEVKCPYNKGKPESALPWSIMPFYYMPQVQGQLEIMNREWADLYCWTPNGSTIIRVHRDRSYWELIHPILREFWLENVMPAKEALLLGREEEAKSYKPTSTHKQTGLVIVKSLKVANESKLLCKEIAGHVEFYS >Manes.11G097202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20524795:20526965:-1 gene:Manes.11G097202.v8.1 transcript:Manes.11G097202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQNVVIVGAGIAGLTTSLGLHRLGIQSLVLESSSNLRTTGFAFSTWTNAWKALDAIGLGDYLRNLHQRIDEVVAISSVTGQPDAKIFLKSKGKHGEHEVRCVRRKLLLEALAQELPHGTIRFSSKVVSIDQSDYFKLVYLDDGTIIKTKVLIGCDGVNSVVTKWLGFEKPIFTGRSAMRAYTNFNAKHGYAPKFLQFFGNGFRAGLLPCDETTIYWFFTHSDNKLEEDPAKWKQFVLSKLENASYEQLRKVVEATEIDSIMPSHLTYRNPWELLWRNASKGNVCVAGDAFHPMTPDLGQGGCSALEDGVVLARCLAEALKEDQVIGDKEEYERIEVGLKKYAAERKWRGFQLVTTSYLVGIIQQSDGKLLSFLRDNVLAKFLAGLFLKIPDFDCGNLKNKFSS >Manes.16G083850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29003826:29004858:1 gene:Manes.16G083850.v8.1 transcript:Manes.16G083850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGKLWAHKRKSICQVKFLWTILLLAMPNSVDHESLSAETMGNTACQPPHVLRCGSCCCFSLLNHQTQRG >Manes.13G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3507051:3510068:1 gene:Manes.13G026500.v8.1 transcript:Manes.13G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPQLFGRTKNVSKRSKKYLEEALYVWLFKEGGTEVSVRQQLNQFLKSSKRVYKWEVGETLKKLRDRKLYYPALKLSEAMSKRGMNKTVSDQAIHLDLIAKTSGITAAENYFIDLPETSKNLLTYGALLNCYCKGLMTEEAEALVEKMKELNLGISSMSYNSLMTLYSKVGKPERIPAVIQEMKANNIMPDSYTYNVWMRALAAVNDISGAERVIEEMKRDGRVAANWTTYSNLASIYVDAGLHEKAEKALKELEKRSAHRDHSAFQFLITLYGRLGNLLEVYRIWRSLRLAFPKTSNISYLNMIQVLVNLKDLPGAEKCFREWESSCSSYDIRIANVLIRAYAKEGLLEKAEELKERAHSRGAKPNAKTWEIFLDYYLENGDIKLAVDCLSNAISTGRGDGQKWVPSSEIVESFMAHFEQQKDVDGAEDFIVILKKAVDNVGANVFESLIRTYAAAGRTSNVIGRQVKMENVEVSEASQKLLEVISVE >Manes.09G049500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8415527:8415847:-1 gene:Manes.09G049500.v8.1 transcript:Manes.09G049500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNGNAPKLDLKLNLSPPRANPIVESPSRSATVSPTSPPSSCVSSETNPEPESNSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFLHNNTVQSKNS >Manes.15G168600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14487316:14508913:-1 gene:Manes.15G168600.v8.1 transcript:Manes.15G168600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACALKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQMKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNAAIDCLVWKIRKFPGQTEPTLSAEIELISTMTEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >Manes.17G002400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1779239:1784855:-1 gene:Manes.17G002400.v8.1 transcript:Manes.17G002400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILMIFVSRPFSLCKWVFTFALKTLSIVINTWVELIVSSITFHLNMFHKAMIWMIALISLPMQVLTALQRERLLQEHLHEMRIELESLVWDRKVLQDQLHTAIKECRILEAMLAEVEEENDTVISRIDLLEREDLKAENLQLKEILDKDHRSLRGHKQNMGIDNTEDHGISDGSQSSDKGSGIIFEDLMMHKDGWETEGKSEAELFNFWKTACKARGSTHSPSHCIITRNLDMNEGVGQRREVAISQSLFSAVLSLLVGIIIWEAEDPCMPLVVALFAVVGMSLKSVVQFFSTIRNKPASDAIALLSFNWFILGTLTYPTLPRVARVFAPLTVSFLEHGGS >Manes.17G002400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1779239:1784855:-1 gene:Manes.17G002400.v8.1 transcript:Manes.17G002400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILMIFVSRPFSLCKWVFTFALKTLSIVINTWVELIVSSITFHLNMFHKAMIWMIALISLPMQVLTALQRERLLQDLKAENLQLKEILDKDHRSLRGHKQNMGIDNTEDHGISDGSQSSDKGSGIIFEDLMMHKDGWETEGKSEAELFNFWKTACKARGSTHSPSHCIITRNLDMNEGVGQRREVAISQSLFSAVLSLLVGIIIWEAEDPCMPLVVALFAVVGMSLKSVVQFFSTIRNKPASDAIALLSFNWFILGTLTYPTLPRVARVFAPLTVSFLEHGGS >Manes.17G002400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1779239:1784855:-1 gene:Manes.17G002400.v8.1 transcript:Manes.17G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILMIFVSRPFSLCKWVFTFALKTLSIVINTWVELIVSSITFHLNMFHKAMIWMIALISLPMQVLTALQRERLLQEHLHEMRIELESLVWDRKVLQDQLHTAIKECRILEAMLAEVEEENDTVISRIDLLERELQDLKAENLQLKEILDKDHRSLRGHKQNMGIDNTEDHGISDGSQSSDKGSGIIFEDLMMHKDGWETEGKSEAELFNFWKTACKARGSTHSPSHCIITRNLDMNEGVGQRREVAISQSLFSAVLSLLVGIIIWEAEDPCMPLVVALFAVVGMSLKSVVQFFSTIRNKPASDAIALLSFNWFILGTLTYPTLPRVARVFAPLTVSFLEHGGS >Manes.01G011300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3642823:3646464:-1 gene:Manes.01G011300.v8.1 transcript:Manes.01G011300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKETLFWVFYNVSSSSLLLLLFLYFSSTLLLKLLNFIGSYPIIQRNQNEYEYNFFSDEEEDVDTETYYYTESREKDHLVADVIHGGESLVFLSNRSVTQRTQNHVQEFVNSRQSLEDEDDDNDDDEDDSDSDEQFSILASAGSEAKHNDSDPMVEEAEDMTARDADSVQDSGPEHGGPTSPFTTYRQKNSLVDSDENYGEDYINQGIQNKKKMDQNLHGDEKAFIFAATQMESKKLQVREKDDEEIFGDSCTVGSTSKSSSEWRSSIKDSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRVSAQKLHETESLKSIQVNPRSISDRIVHKIATMNKKKSSDFRQNPYHELEAAYVAQICLTWEALNWNYKNFNSKRASKKDFDPGCPGHIAQQFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYREDDPNEDGFGSRISSAAFLMIMEDGIRSFMDFLKLDKQKPGQILTSFFKRNRRGTVDPALLQLMKKVNKKKKMKLKDLRRARKCIRKKKLKVEEEMEILMGLIDLKVVSRVLRMSDISEEQLHWCEEKMSKVRVLDGKLQRDSLPLFFPAH >Manes.01G011300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3642823:3646464:-1 gene:Manes.01G011300.v8.1 transcript:Manes.01G011300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKETLFWVFYNVSSSSLLLLLFLYFSSTLLLKLLNFIGSYPIIQRNQNEYEYNFFSDEEEDVDTETYYYTESREKDHLVADVIHGGESLVFLSNRSVTQRTQNHVQEFVNSRQSLEDEDDDNDDDEDDSDSDEQFSILASAGSEAKHNDSDPMVEEAEDMTARDADSVQDSGPEHGGPTSPFTTYRQKNSLVDSDENYEDYINQGIQNKKKMDQNLHGDEKAFIFAATQMESKKLQVREKDDEEIFGDSCTVGSTSKSSSEWRSSIKDSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRVSAQKLHETESLKSIQVNPRSISDRIVHKIATMNKKKSSDFRQNPYHELEAAYVAQICLTWEALNWNYKNFNSKRASKKDFDPGCPGHIAQQFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYREDDPNEDGFGSRISSAAFLMIMEDGIRSFMDFLKLDKQKPGQILTSFFKRNRRGTVDPALLQLMKKVNKKKKMKLKDLRRARKCIRKKKLKVEEEMEILMGLIDLKVVSRVLRMSDISEEQLHWCEEKMSKVRVLDGKLQRDSLPLFFPAH >Manes.01G011300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3642823:3646464:-1 gene:Manes.01G011300.v8.1 transcript:Manes.01G011300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKETLFWVFYNVSSSSLLLLLFLYFSSTLLLKLLNFIGSYPIIQRNQNEYEYNFFSDEEEDVDTETYYYTESREKDHLVADVIHGGESLVFLSNRSVTQRTQNHVQEFVNSRQSLEDEDDDNDDDEDDSDSDEQFSILASAGSEAKHNDSDPMVEEAEDMTARDADSVQDSGPEHGGPTSPFTTYRQKNSLVDSDENYEDYINQGIQNKKKMDQNLHGDEKAFIFAATQMESKKLQVREKDDEEIFGDSCTVGSTSKSSSEWRSSIKDSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRVSAQKLHETESLKSIQVNPRSISDRIVHKIATMNKKKSSDFRQNPYHELEAAYVAQICLTWEALNWNYKNFNSKRASKKDFDPGCPGHIAQQFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDSEDDPNEDGFGSRISSAAFLMIMEDGIRSFMDFLKLDKQKPGQILTSFFKRNRRGTVDPALLQLMKKVNKKKKMKLKDLRRARKCIRKKKLKVEEEMEILMGLIDLKVVSRVLRMSDISEEQLHWCEEKMSKVRVLDGKLQRDSLPLFFPAH >Manes.01G011300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3642823:3646464:-1 gene:Manes.01G011300.v8.1 transcript:Manes.01G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKETLFWVFYNVSSSSLLLLLFLYFSSTLLLKLLNFIGSYPIIQRNQNEYEYNFFSDEEEDVDTETYYYTESREKDHLVADVIHGGESLVFLSNRSVTQRTQNHVQEFVNSRQSLEDEDDDNDDDEDDSDSDEQFSILASAGSEAKHNDSDPMVEEAEDMTARDADSVQDSGPEHGGPTSPFTTYRQKNSLVDSDENYGEDYINQGIQNKKKMDQNLHGDEKAFIFAATQMESKKLQVREKDDEEIFGDSCTVGSTSKSSSEWRSSIKDSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRVSAQKLHETESLKSIQVNPRSISDRIVHKIATMNKKKSSDFRQNPYHELEAAYVAQICLTWEALNWNYKNFNSKRASKKDFDPGCPGHIAQQFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDSEDDPNEDGFGSRISSAAFLMIMEDGIRSFMDFLKLDKQKPGQILTSFFKRNRRGTVDPALLQLMKKVNKKKKMKLKDLRRARKCIRKKKLKVEEEMEILMGLIDLKVVSRVLRMSDISEEQLHWCEEKMSKVRVLDGKLQRDSLPLFFPAH >Manes.01G011300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3642823:3646464:-1 gene:Manes.01G011300.v8.1 transcript:Manes.01G011300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKETLFWVFYNVSSSSLLLLLFLYFSSTLLLKLLNFIGSYPIIQRNQNEYEYNFFSDEEEDVDTETYYYTESREKDHLVADVIHGGESLVFLSNRSVTQRTQNHVQEFVNSRQSLEDEDDDNDDDEDDSDSDEQFSILASAGSEAKHNDSDPMVEEAEDMTARDADSVQDSGPEHGGPTSPFTTYRQKNSLVDSDENYGEDYINQGIQNKKKMDQNLHGDEKAFIFAATQMESKKLQVREKDDEEIFGDSCTVGSTSKSSSEWRSSIKDSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRVSAQKLHETESLKSIQVNPRSISDRIVHKIATMNKKKSSDFRQNPYHELEAAYVAQICLTWEALNWNYKNFNSKRASKKDFDPGCPGHIAQQFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDSEDDPNEDGFGSRISSAAFLMIMEDGIRSFMDFLKLDKQKPGQILTSFFKRNRRGTVDPALLQLMKKVNKKKMKLKDLRRARKCIRKKKLKVEEEMEILMGLIDLKVVSRVLRMSDISEEQLHWCEEKMSKVRVLDGKLQRDSLPLFFPAH >Manes.01G011300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3642823:3646464:-1 gene:Manes.01G011300.v8.1 transcript:Manes.01G011300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKETLFWVFYNVSSSSLLLLLFLYFSSTLLLKLLNFIGSYPIIQRNQNEYEYNFFSDEEEDVDTETYYYTESREKDHLVADVIHGGESLVFLSNRSVTQRTQNHVQEFVNSRQSLEDEDDDNDDDEDDSDSDEQFSILASAGSEAKHNDSDPMVEEAEDMTARDADSVQDSGPEHGGPTSPFTTYRQKNSLVDSDENYEDYINQGIQNKKKMDQNLHGDEKAFIFAATQMESKKLQVREKDDEEIFGDSCTVGSTSKSSSEWRSSIKDSGTEDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRVSAQKLHETESLKSIQVNPRSISDRIVHKIATMNKKKSSDFRQNPYHELEAAYVAQICLTWEALNWNYKNFNSKRASKKDFDPGCPGHIAQQFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDSEDDPNEDGFGSRISSAAFLMIMEDGIRSFMDFLKLDKQKPGQILTSFFKRNRRGTVDPALLQLMKKVNKKKMKLKDLRRARKCIRKKKLKVEEEMEILMGLIDLKVVSRVLRMSDISEEQLHWCEEKMSKVRVLDGKLQRDSLPLFFPAH >Manes.07G137502.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34035532:34037875:-1 gene:Manes.07G137502.v8.1 transcript:Manes.07G137502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSSHADSFTMLDNLEQFRLSNCKWCEELPKLGHLPRLQELFIHGMDKIKSIRNEFYGIDDGSTSNEVRLFPALKTLYLSSMKSLAEWKKVQVNEGSETTVFSCLEELTIEECPLLKKSSLSDSSSLVLLEINDGSIARVVG >Manes.16G057263.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17299529:17310956:1 gene:Manes.16G057263.v8.1 transcript:Manes.16G057263.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKSLKQNNTWILTKKPENKKVIGCRWVLKKRGIPGVEQSRFKARLVAKGFLQVEGINYNLIFSPMVKHVSIWILLSLVVYQNLELEQMDVKTTFLHGTLKDRVLHRLTLFQEDYMQKVLTTFGMDQAKAMNTPLGSYFKLSSSKDSKEFDESFKEMVPYSRTIGSIMYAMIRTRPDLAYPVRVISRFMSKPKRDHWNVVKWVLRYIRGTKKLKLNYVRESSLKVRGFYDSDYAATLDKRRSATGYVFTVGENVAKYMALTKAIKEGIWLKGVMEELGCKQETIEVFCDSQSAINLSKNNMHHERAKHIDIRLNFVRDLLSKGIVKILKIHIEENLADVLTKTLSVNKFKRMLSLLRLTEE >Manes.02G195300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16021786:16032756:1 gene:Manes.02G195300.v8.1 transcript:Manes.02G195300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCSRLGNGRSVTATTTPSPPSSPRLRHSRGKSGGNDGGATGGGGGGGGGRGSKQNWVEKLMFLLVSLVFKRRGVLLFAPVLYISGMMLYMGSLSFDVDLNLKNGGVVVRKRPPPGSVYRSPQVFNKLWPHMEAESNGSFNALKRAWDPKLHEGWKPCGKKNISEAELPKSNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPIFHLNSVWQDSSKFRDIFDEDFFIHALKNDVDVVPELPRDVLEQFDNNISSIVNLRVKAWSSPTYYLQKVLPKLRQMRAVRIAPFSNRLAQAVPPNIQRLRCLSNFQALRFSEPIRTLAEKMVDRMVNNSSQSGGKYVSVHLRFEMDMVAFSCCEYDGGEEEKHEMDIARERGWRGKFRRRGRVIRPGANRVDGRCPLTPLEVGMMLRGMGFDNTTSVYVAAGNIYKADKFMAPLKQMFPRLETKDTLATAEELAPFKGYSSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHAKTIKPDKRKLALLFDKPNIRWEVFKRQMQDMHRHSDVKGSEMRKSGGSLYTFPMPDCMCKIPKARHGDNNNNTMKLKF >Manes.01G063400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26196512:26197565:1 gene:Manes.01G063400.v8.1 transcript:Manes.01G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFPHRPIFSPFITLKRETFTVWMKSLVCHTNGCTVFDSNGEIIYRVENYNTKCSNEVHLMDLRGRVLVTIRRKRLLVFGRWYGYRWNPANIDKEKPWFQIKKYCRICMGNSACEVTVGLKKYWVVKMVHKAAFRIVDLDGDVVAEVKEKQSSSGMALGDDVLSLIVEPHIDHSLIMAIVTVYGLINYKM >Manes.04G000300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:169343:172347:-1 gene:Manes.04G000300.v8.1 transcript:Manes.04G000300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRPMLLVFLLLVLIITSQFEWRQQLVNDIDSTPSVNPKQQQTSKREEAVKEKIILSQEKNIFRLNELVRSLQQQLLQCRGNNETTNSIFAPLTENVIEIERQQILED >Manes.04G000300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:169343:172347:-1 gene:Manes.04G000300.v8.1 transcript:Manes.04G000300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGFCHPIRSFEWRQQLVNDIDSTPSVNPKQQQTSKREEAVKEKIILSQEKNIFRLNELVRSLQQQLLQCRGNNETTNSIFAPLTENVIEIERQQILED >Manes.04G084000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28521089:28542650:1 gene:Manes.04G084000.v8.1 transcript:Manes.04G084000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEDDNSSHSIITSTTATATEPETGGHLLCTRPQCMPHPVTELPDPQFSGGCRDGDSRSPPETETNVPNDSGYRDVVVGENMSVIEFSELDGGGGESQSHPSRSLQLAVAEGGEEESVKAEEESAQVKDEGQGIETPEILHETPRKEGEHNELVPGEGWHQHLNVSYSSNEDKDKYGIVDSAVDIRGENKETETKMEVVVNLVVDSGETRKADVIGTTEELAMVDKCTEKRDVVEEPKEDASDTPKEADMAYIPEETKEDKENCGVTDAGVDVPGVNKELETKIEVVEDSGKAVETDITETMEGPAMGYKDLEKRDVVEETKEEAPDTVEAAETAYMSDQTKEAAFGTEEEAEMADMVENKVEVEEEEVAEEVEEEKEVMAEEVTSTEEMEAADQTEMSENAEETKMPEETEEDVNEAGDMDEVGITDRTEENEKEEDMDATNAKEESEMAEEAENGEEMELADGTEVEGVGDEVEEVSRNAGGKRKRGKNAKASARVSTRKKLEEDVCFICFDGGNLFLCDRRGCPKAYHPSCVNRDEAFFRARGRWNCGWHLCSICEKNAYYMCYTCTFSLCKGCVKDAVILCVRGNKGFCQTCMKTVMLIEKSEQGSNEGAQVDFDDKSSWEFLFKDYWIDLKERLSITSDELSQAKNPWKGSELYGGKRESMDEPYDAHNDGGTGSDSSGNPEVTTSKRRKAKKRLKAHAKEKDSLITTVNNAEEASPVGSVEWASKELLEFVMHMKNGDKSVCSQFDVQALLLEYIKRNKLRDPRRKSQIICDSRLEKLFGKPRVGHFEMLKLLESHFLLKEDSQADDLQGSVVDTETNQLEADGNSDGLMKASKDRRRRSRKKGDGRGLQSNLDDYAAIDIHNINLIYLRRSLLENLIDDTETFHDKVVGSFVRIRISGSAQKQDLYRLVQVVGTNKAAEPYRVGKKTTDYLLEILNLNKTEIVSIDIISNQEFSEDECKRLRQSIKCGLINRLTVGDIQEKAMALQAVRVEDLLESEVTRLSHLRDRASDLGRRKELRECVEKLQLLKSPEERQRRLEEIPEIHADPNMDPSYESEEDEAQTDEKRQDYLRPGGSTFNRRGREPLSPGRGSFASNDSWGTRNYSSSNRELSRNLSNKGFLTKGDDNTGAGEILNESLWSHGRDREPLQSQSWEKPKSASNLEAKNVHSVLSSESVPSVKQDIAMAPSSAVVAQSSIKVNETDKIWHYQDPSGKIQGPFSMVQLRKWSNTGYFPADLRIWRTNEKQDDSMLLTDALAGNFQREPQLVDSSFVKNQSPHHSSSYATHAGEGWKPQPEISNSTARAASAPLDIPKYSTEKWVSETNLPSPTPAQAATSGIMGKPYESRWSPTRAQPGGSLLGANSVPGGNGELQRATVVIPEITQLPHATPSPSAKPLSSINAPQMHSQSTLPGESPRVQVISHPLLAPDSGGASVNTVIDMKSIQNLVQPVSNNNPIIGTQGWGAVSVSKPEMVASQAWGSAPSHKPEQNNTVSTQPNTYGNWGDASSAAHNSTSSFIAGNQTGVSPVPGTSGLAPSDLWRGQMPGQPNIQPSAAPSNVPWSMSTDNQSVTPRQGPENQNIGWGPVPGNPNMGWGGPVSANSNQGWAVSGQVPAPANTNPGWVAHGQVQAPGNANLGWAAPVQGQAPGNAFQGWMPPGQGQAPVNTNPAWIASGQGQPPGNANPNWAASSGNMGSWGSEKNNNGDRFSSQRDGGSQGGDTGYGGGKPWNRQSSFGSQRDGGSRPPFKGQRVCKFHENGHCKKGAACDYLHT >Manes.06G075800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21155032:21156026:-1 gene:Manes.06G075800.v8.1 transcript:Manes.06G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATIQSDQVKQLKDIFMRFDMDSDGSLTQLELAALLRSLGLKPSGDQIHVLLSNMDSNGNGYVEFDELVSAILPDMNEQVLINQDQLMQVFQSFDRDGNGYITASELAGSMAKMGQPLSYQELAEMMKEADTNGDGVISFNEFANIMAKSAAEFLGLAMQQ >Manes.17G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24793090:24802418:1 gene:Manes.17G050700.v8.1 transcript:Manes.17G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLAVKTLSKPLASKLKQQAAFHPKFRQLIINFAQANHRLTTRMQRRIYSHSTDVEIRPLNEEKAVQAAVDLIGELFVFTVAGGVVIFEVQRSAKSEARKEEVRRQEFEAMRQRDEELSKEVEILKHKLEEIEQLAKGRGLAGIFHFKHSNTAGEVGKPAS >Manes.17G050700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24793090:24802419:1 gene:Manes.17G050700.v8.1 transcript:Manes.17G050700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLAVKTLSKPLASKLKQQAAFHPKFRQLIINFAQANHRLTTRMQRRIYSHSTDVEIRPLNEEKAVQAAVDLIGELFVFTAMRQRDEELSKEVEILKHKLEEIEQLAKGRGLAGIFHFKHSNTAGEVGKPAS >Manes.10G077960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18136842:18137183:1 gene:Manes.10G077960.v8.1 transcript:Manes.10G077960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIWEQKFKMLLEKNNNIASPMEDSQDDEIGG >Manes.13G035700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4372835:4375929:1 gene:Manes.13G035700.v8.1 transcript:Manes.13G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEEDEESMEHTLLVVREVSVYKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGELFAACFVHPGHRETSVETVLDSSRYFVLKIEDGRGKHAFIGLGFAERNEAFDFNVALSDHEKYVRREHDKEVGETSESDTHIDIHPAVNHRLKEGETIRINVKHKPSGGTGMLSAAGLSGAHSGNGKPKPLGLSPPPDGAGKIRSPLPPPPNDPAAARMTAANNGVAIKAPNENAKHSTDPLSDLSPLEMNLPSATSGSTTTSASGWAAF >Manes.05G091200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8322568:8323060:-1 gene:Manes.05G091200.v8.1 transcript:Manes.05G091200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLLLVAIFLFCIAQVSSDMEIEEQSTQLVKGPNRRLLPFLDCAGLCQVRCSLHSRPNVCTRACGTCCFRCKCVPPGTSGSRELCGKCYTDMTTHANRTKCP >Manes.05G188400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31019491:31022100:-1 gene:Manes.05G188400.v8.1 transcript:Manes.05G188400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWNFALALSIVIFNFISPTFCVAQKMNPIDSCWRQNRNWRRSRQQLATCSVGFSGKMTNNIGKDTIRYKVSDPSDDPLNPKQGTLRYGATMITGKVWITFQKNMNIQLVKPLLISSFTTLDGRGVDIHITGNACLLVYKATDVIIHGLRIHHCKAVGPASVRGPNGEMVPLGQMDGDAIRLVTASKVWIDHNTLYSCQDGLLDVTRGSTDVTISNNWFKDQDKVMLLGHDDGYLRDKNMRVTVVFNHFGPNCNQRMPRVRHGYAHVANNLYQGWEQYAIGGSMNPSIKSEANYFIAPKSGNKEITWRNGINEKSKPWNFYSVGDVFENGASFIQTGLSGANPNYNNQQRFTVANAKSVPFITK >Manes.04G055600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11669146:11672162:1 gene:Manes.04G055600.v8.1 transcript:Manes.04G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFLFAFLMNITYSLSHVQIIPEKSSLMGNGSSHVSALYVMGDSSVDCGNSTPLYPYIHRNLSLLPCNSSDTTLLPYLLAEKIGVPNISPFYGQNGSIDGLRRGLNYGSAHASIMKPGSLSHQSLNQQLRQVFETFQLLQLQLSEEIAQHFIRSSMFYLSFGRDDYVDLFLRNSSGIMLKYSGPEYAQILVNQMVLAVKSLYDANVRKIICMGILPLGCTPRMVWEWRNVTTIDDGSGCVDEINELVLQYNTILNENIIELKSELPDSQIIFCDVYQGIMEIMTNPQHYGFEVLNNACCGLGLHGAIIGCLSAEMACNQPSSYVWWDLYNPSQAVNSFLADAIWSGHPFSGICRPTLVQDLVYSVLHLT >Manes.04G055600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11669146:11672162:1 gene:Manes.04G055600.v8.1 transcript:Manes.04G055600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLEITNSSIKNNAEKIGVPNISPFYGQNGSIDGLRRGLNYGSAHASIMKPGSLSHQSLNQQLRQVFETFQLLQLQLSEEIAQHFIRSSMFYLSFGRDDYVDLFLRNSSGIMLKYSGPEYAQILVNQMVLAVKSLYDANVRKIICMGILPLGCTPRMVWEWRNVTTIDDGSGCVDEINELVLQYNTILNENIIELKSELPDSQIIFCDVYQGIMEIMTNPQHYGFEVLNNACCGLGLHGAIIGCLSAEMACNQPSSYVWWDLYNPSQAVNSFLADAIWSGHPFSGICRPTLVQDLVYSVLHLT >Manes.05G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11675761:11681143:1 gene:Manes.05G115200.v8.1 transcript:Manes.05G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLVATNSDPASVNPASALLAMPGWQPATSLQEIKSFSNQQVRLLLHDKSIVVEDDLDQRWEAATGEVVDEVIFFSKHTAVSNRPALTIHPIGVPHLREGDVPPQGGKPGWAAPPDPRMGPWLRLLKKIGQSHNLVPEFEITLEATHHGPLTSKPTMFIEIGSTEEYWKRQDAAQVVALLVWEGLGLGGGGSVGNWSSANDKRRVLLGIGGGHYAPRHMDVVLKDGVWVSHLLSGYSLPMEDPSQTKTDKNTKDIGGTWKQSIKASYEATKSAFPGGEIVAHLDHKSFKSWQKNAITGYLGELNIKIGKPNDFY >Manes.04G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34411810:34418194:1 gene:Manes.04G146600.v8.1 transcript:Manes.04G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLETCVDYGLKLSKRIYYGKELPPAPEPGMSKSSESLLPKAVMVYAVVPEPDVVDNPDVPSYQPYVHGRCDPPALIPLHMHGAAMEVDCCLDHASVSFSGKWRVHCIKTSRKCDCRIVIPMGDQGSILGLEVDIIGRSYHSQLIAEETEDKEKVNKGGDGRYLKGNIYTFKIPQVGGGIIISVKVTWSQKLAYSEGQFCLNVPFSFPAFVNPVDKKISKREKIMLNVNSGFGKEILCKSTSHALKELRREVGKMGFLYEGEVPTWSSTDFNFSYLVSKDLFGSVFLQSPLLRDFDERQMFCLYLFPGNDQRMKAFKKDVIFIIDISGSMKGAPFENAKSALISSLSKLNSEDSFNIIAFNGETYLFSSILEPVTQGAISKASQWLSDNLTTGGGTNILLPLKQAMNLLAETTDSIPLIFLITDGSVEDERDICNFVKESLRNRGSISPRLCTFGIGIYCNHYFLQMLAQIGRGYFDSAYDADSIDFQMQRLFTTASSIILTNITIGALDHLDSLELLPFRIPDLSCGSPLVVSGRYTGNFPDSVKINGSLADMRNFTIELKTQRAKDVQLDKVLARRQIDVLTTNAWMSGSKDLQQKVAKVSLQTGVLSEYNHMILHQTDKGEKEPETMLMQEVFNKINSLRQVNSERQSTIFLGSLGVGFGNLSATAANIPPGTEQIKSPEGAEMLVQAASTCCSRLLDRCCCGCFIQACSHVNGQCYIVLSQLCAALACFECLNCCYELCECM >Manes.01G188100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36479957:36484557:-1 gene:Manes.01G188100.v8.1 transcript:Manes.01G188100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGAGLRRLLVLAFCVVGIWSAYIYQGVLQEILSTKRFGADGKRFEHLAFLNLAQNVVCLIWSYIMIKIWSRSIAGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYVCTFLVAGGVSTFALLKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSLTARYPKTTAWDIMLGMNLWGTIYNILYMFGWPQGIGFEAIRFCKQHPEAAWDIFLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSTKQWGCVVMVFSGLSCQIYIKWKKLQKLQKKRKAA >Manes.10G047300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5176364:5183760:-1 gene:Manes.10G047300.v8.1 transcript:Manes.10G047300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKLALGLNLCSYVPRTLEDSPPASSERLSDAALLSPTNWDSRPMTPTPSSHGLRLSKSGSKSSKQTCSICLTKMKQGGGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPLQAPSLDPVPGRAPINAVGWPHNDALMTVVRRLPPPRRDMNRRHIVPLLQAPEPSVYDDDESLDLQPVFADRNSTDNKNAADHNFARTIEIKTYPEVSAASKSKSYDNFTVLVNLKAAATIVRQDPIRNPANLPQLSQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGSNDRLSVVAFSSTARRLFPLRKMSDTGRQQALQAVNSLVANGGTNIAEGLRKGAKVMEDRKEKNPVASIILLSDGQDTYTVSGAGGNQHQRNYQLLLPLSIHGGDTAGFQIPVHAFGFGADHDASSMHSISEISGGTFSFIETEAVIQDAFAQCIGGLLSVVVQELQVGVECVHPSIHLGSLKAGSYPSRVMADARTGFIDVGDLYADEERDFLVSVNVPAVSPGNQTSLIKVRCVFKDPLTKEMTTLETEEVMLERPEMCEEAAVSIEVDRQRNRLQAAEAMSQARTAAEQGDLPGAVSILENCRRVLSETISAKSHDRLCIALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLVQAYQTPSMTEMLTRSQAMLLGSPSAQRLVQPLWSFGSQPNPRSSTFSHSTILIHNDQFK >Manes.15G007500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:755966:759701:1 gene:Manes.15G007500.v8.1 transcript:Manes.15G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSWSSSLSTSSRRYHSRSEMYEETDLDEDLKAEFLCPFCAEDFDVVGLCCHIDEEHPVEAKNGVCPVCAKRVGVDIVGHITLQHGNFFKVQRRRRLRKGVNSAFSLLRKELREGSLQSLLGGSSCFLSSSNTEPDPLLSSFISNSPSLDESLNIQPLSSVEAGLQMKGSTTEEFQEREAQQSLVSDRDQQEKSQRCKFVQGLLLSTILDDEL >Manes.02G080500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6265973:6271651:-1 gene:Manes.02G080500.v8.1 transcript:Manes.02G080500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVFWKSIKDKLIFPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWSKPICIGRHAFGDQYRATDTVIKGAGKLKLVFVPEGQDEKTELEVFNFTGDGGVALSMYNTDESICAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVYQKGGETSTNSIASIFAWSRGLAHRAKLDDNERLLDFTQKLEAACIGAVESGKMTKDLALLIHGPKVTRDQYLNTEEFIDAVAAELKAKLGITE >Manes.02G080500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6265973:6271651:-1 gene:Manes.02G080500.v8.1 transcript:Manes.02G080500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVFWKSIKDKLIFPFLELDIKYFDLGLPHRDATDDKVTVESAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWSKPICIGRHAFGDQYRATDTVIKGAGKLKLVFVPEGQDEKTELEVFNFTGDGGVALSMYNTDESICAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVYQKGGETSTNSIASIFAWSRGLAHRAKLDDNERLLDFTQKLEAACIGAVESGKMTKDLALLIHGPKVTRDQYLNTEEFIDAVAAELKAKLGITE >Manes.14G114500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14253915:14255134:1 gene:Manes.14G114500.v8.1 transcript:Manes.14G114500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFSNHLLFLSLVISYLMFAFSGNFNEEFDITWGDGRAKILNNGELLTLNLDKASGSGFRSKNEYLFGKFDMQLKLIPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSNDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQLIIFSVDGTPIREFKNLESIGVPFPKNQPMRIYSSLWNADDWATRHGLVKTDWTQAPFTASFRNFKANACVWSNGASSCGANSSWISEELDSTSQERLKWVQKNYMIYNYCTDTKRFPQGLPPECIMSWLHHELGINYV >Manes.08G131700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38096244:38096825:1 gene:Manes.08G131700.v8.1 transcript:Manes.08G131700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDANFLYINAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNYTVEKLQKLFANA >Manes.01G231300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39548062:39549830:-1 gene:Manes.01G231300.v8.1 transcript:Manes.01G231300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVRNHDCATYQNEENIDIKKGPWTAEEDVILAEYVAIHGEGRWNTAARCAGLKRTGKSCRLRWLNYLRPDIRRGNITLQEQLLILELHSRWGNRWSKIAQQLPGRTDNEIKNYWRTRVQKQAKQLKCDVNSKQFRDSMRHIWIPRLIERIQAASRSPTDQSTTYSSYKDNHNDIPPSSEAVQMNDPMIEWMMPEPSRTSSESLETQVSLVSSVTKYQNQPNKQNLYGLYSEEESNRWVEMETLAEESLDSLWNQENIWFLQQQLI >Manes.10G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1004123:1009962:1 gene:Manes.10G009000.v8.1 transcript:Manes.10G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSMAVLSLVLFWFSILSHSIADQAFDVRQHLSTVTRYGAAKDVGYGSFEQSSIYEGCTPIHLNLVARHGTRSPTKKRMRELDRLASHLGELIRDAKEQNLSLQKVPIWLQGWKSPWKGKLKGGELISKGEDELYDLGIRIRERFPDLFKEEYHPDVYPIKATQVPRASASAVAFGMGLLSEKGSLGPGHHRAFAVSSESRASDIMLRFYDSCENYKAFRGSQEPAVNKLKEPILDEITAALVSRFELNFTRQDTASLWFLCKQEASLLDITDQACGLFSPSEVALLEWTDDLEVFILKGYGKSINYRMGVPLLEDVVQSMEQAIKAQEEQLAPGSYEKARLRFAHAETVVPFTCLLGLFLEQSEFQQIQREQPLELPPRPPHSRNWWGSSVAPFAGNNILVLHSCPSNPSSKYFIQVLHNEHPIPMPGCNNSDFCPFEEFKKMIVAPHLKHDYNALCAKKLEQPELKPETSKLSQLFRWLFSMGRDETQSTKAEL >Manes.08G089240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19343204:19347976:-1 gene:Manes.08G089240.v8.1 transcript:Manes.08G089240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSDFMVLNEKDLSFEKKRPRRFLPGSAAESEVQPPKVPDFRLWRRTFGRRKCPSFASGERVRPPKVPPKVPCPAFS >Manes.08G043400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4298126:4299094:1 gene:Manes.08G043400.v8.1 transcript:Manes.08G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLDIDPTLQHFSHPHVLKLQNFNSQIANGSATCAACKLLPSGWMYVCSSCNYYLHKACSQMPQNKKHQVDPQHNLTLLSSPVYDGGAFKCNACGDHGKGFCYHCKECELDLHTLCAHMPPSVNINSHHHTLSLCFSPPYQKKAFQCDICKGSGSNHWLYRCELCNFDAHLNCAICNIQTKAASGIQQMPPEQQDHQLVRSRSVPPQLSAASTSLVHRVPSLTMPATHQQIWSPSISASGNLIPVVGSSSRSQPVHQMGGYYTAGVPVLPGSGQYFHQPPRTNNTMDNNLMGIFMKGVVDGVAQQAGQILLGTILGGFSFN >Manes.S044016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1311313:1311435:-1 gene:Manes.S044016.v8.1 transcript:Manes.S044016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.07G066600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:12570353:12571885:-1 gene:Manes.07G066600.v8.1 transcript:Manes.07G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRDEAIETATNKHQDELRISSNSAERIEACNPGGEWLNLSLASNSVSTAGDYDSQSRPTTSSKVFSCNFCRRKFYSSQALGGHQNAHKRERGAARRFHSQRMMTMMGLPLNTPMSRSLGVRPHGLVHKPNREGATLAARFNEANTGIGMAWVPFMLEDAMDLMWPGSFRLDSQPPEPPPSESLKLDLNLRL >Manes.03G045300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3951496:3961118:-1 gene:Manes.03G045300.v8.1 transcript:Manes.03G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHAQGSSRNHCSLLAVFCSKTPDCKKKQPPSGEKPRYPFPELASTGRLEVQLLTNPGTDEFRRVLQSSEPNIVYLQGEIIEDGEEISSLRWRDVDLSTPEALFELFGPTLPATVYLEIPNSEELAEALHSKGVPYVIYWKSTLSCYAACHFRQALFSVIQSSSSHTCDAFQLAHSSFRLFCVRNNNVITSNGHKVSGKSGPCLRGDPPKIDITLPEADAQDEESSPGTLPAIKIYDDDVTMKFLVCGLPCTLDACLLGSFEDGLNGLLNIEIRGSKLHNRTSAPPPPLQAGTFSRGVVTMRCDLSTSSSAHISLLVSGSAQTCFSDQLLENHIKSELIENSRLVQALPSSEDSKSPTSEPRRSASIACGASVFEVSLKVPTWASQILRQLAPDVSYRNLVMLGIASVQGLSVASFEKDDAERLLFFCSKQGTDLYANNFILTKPPSWLIAPAPSRKRSEPCRETKPFSYTGHERENGANAKQKLNIAAMRPIPHTRHHKMLPLSGFSEGERYDGDQGKPNLPVAPAKHGVIGPAPVSHRKSLSSSYQAQQIISLNPLPLKKHGCGRAPIQACSEEEFLRDVMQFLILRGHTRLVPQGGLSEFPDAVLNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSIANFKKKSQKTTNGY >Manes.04G126425.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32714083:32742332:-1 gene:Manes.04G126425.v8.1 transcript:Manes.04G126425.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLMGCCKTTSESDGCQNDTDDISKLPALPPNQLKEYGYIELANATGCFSRHYLLGEGGFGQVFKATLDGEEVAIKKLKIIKLENKLEESEFLTCVNHPNIVKMIGLCREGSDRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDRKIIHRDMKADNILLDNDFNAKVADFSLSNFLPDSGNVGHITSIFRGTNVYADTEFGDKQKVSYALDVYAFGVILLELISGRKPTQNNTTIIEWAKSRIGRVLNDNDCRSIVDPNLKEYNKEEMMRMVYCAAASVYKLSSFRPKIKQIIEVLEGSKLAKEIMDRQDIFALLGQTHRNVEIKEYDFIQLAMATNNFSVANLLGEDAFGQTYRATLDGEYVVIKLLRITSSENTLQEMNYLGFVRHPNLVKVIGHCSDRFNRLLVSEFVPNKNLTYHLYETPKSLDWSERMKIAIHSAKGLEYLHDQCTPQIIHGNLTPNTILIDNNFELKMADFGLSIFSTDDNITHITSDRGAQMHGNGRRGGSEKADVYSFGVILLELITGRGRSVDQRRTILRWAKDRIGQALDNSEFTDLVDSRLQEYDEDEMLRMISCAAASVYKSSRFRPKMRQIVQVLEGSMPWSIVWRENDKTFLTE >Manes.09G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30263293:30273368:-1 gene:Manes.09G103200.v8.1 transcript:Manes.09G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKLSQDSRDDELPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLREGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPKDLHRLLEKVGSPSGMDDIDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKVAEGTYQSLDPVQPPIAPPYKRALEMKKASNHGIKELEKLSLDS >Manes.09G048990.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10320124:10321162:-1 gene:Manes.09G048990.v8.1 transcript:Manes.09G048990.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMAMKLMAAAAAAALVLVGCTNLALGWNGAGVLHVAGKVMCQDCTKGYNEWVNGDRPIKGSKVSLTCMDERRRVMYYNSDETDELGQFEMTVNKYINGKELKENMCSVRIVSSPDPTCNLLTDFGAGKSGLKLTQPSFIYRDLVKYVVGPFYFTTPLCDQPDTTESSDDYRGKNY >Manes.13G130200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33892932:33894081:-1 gene:Manes.13G130200.v8.1 transcript:Manes.13G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLFHGVLTYLFLSQFQNLAGAFPPVSIGVTYSRLANNLPPAQETIDLCRQKGLRKIRLYKPHKDALNALQHSALEVILGVGNEDIVKLAFTKGFAENWVNTYVRPYKGAVGFTMIAVGHGINPGDRLAQALVPAMQALKDILHTDEELLTIYVTTPVNINWLSEIHPPSAATFDARYMITIKPIVEFLQQGSPVMLCDLYPYYAYKQNPAYKDIALDYALHSSSTVMVQDGNIGYTNMLDGLIDAFMFAMEKVGVLDVKVYVSETGWPISPGVDLATPQNAETYILNVFKRLSAAAKSPKSRWPNQIFIYNLYLQNQAIGETRNFGLFRPNKQQIY >Manes.06G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23080909:23083421:1 gene:Manes.06G096600.v8.1 transcript:Manes.06G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSMATGGRVAGCSGSNFSALLQNQRGPCATSQPLDPFFLTGSSSSFLGSRSMVSFEDVHQVNGSTRPFFRTFDQDENGDDELDEYFHQPEKKRRLSVDQVHFLEKSFEVENKLEPERKIQLAKELGLQPRQVAIWFQNRRARWKTKQLEKDYEALHTSYNSLKADYDALLKEKDILKAEVSLLTDKMLLREKGNLELSDKDTLSQEPPKNPIGDSTSEGEVSKVSIVACKQEDISSAKSDIFDSDSPHYTDGVHSSLLEAGDSSYVFEPDQSDLSQDEEDNLSKNLLPSYVFPKLEDVNYSEAAASFEDHAFWCWSY >Manes.18G105002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10188908:10221089:1 gene:Manes.18G105002.v8.1 transcript:Manes.18G105002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGVSGSESPESIQTSLLNVVVEGFVDYRGLPTYRSNSWGWTSASFILVSPFIADSCLGRYRTIVVGCVICILGLGLLTLSTTISSFSASSGPFQVVFFFFSLYLVAFGQGGYKPCVQAFGADQFDERDPKESIDKNSFFNWWYFSMCSGILVARLILIYIQDNLNWTFGFGIPCIVMVIALFIFLLGSKTYRYSIKVEEKSAFQRIRQVFVATIRNWRSSSAIALEEEACQSLPHHSSDQFMFLNKALFECSLNEVEEAKAVLRLIPIWALCLIFGVADAQFSTLCTKQGATMDRSISPGFDIPPASLQSLISITIILFIPTYDRIIVPIARNLTKNPSGITMLQRIGTGMVFSALSVAIAAIVEMKRLKVAREYGLVNKPNVTVPMSIWWLIPQYVLDGVADGLTSVGMQEFFYDQVPGELRSVGVSLYISTSGMGRFISSFLISIIERLTGGEGCDSWFANNLNGAHLDYFYWLLAGLSTIQLVVYLYFAKNYVYKREGTN >Manes.18G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2108810:2110438:1 gene:Manes.18G021500.v8.1 transcript:Manes.18G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHDSPQNLASSMVQQFFISGFVTSQNQFENQNFNGYGSDLRDSNTFPQSLGLMPSTHSHGERMSRSIDLVQAPSMEQDSEVSHTRHLMDLLGAANATNHQPQGLSLSLGPHMLASQVQYRQRSTNSDFVSPSYLFPEEYARKDCNPGFEQVNNHYSYTCNAFASSSTVQSRSSSTSYGTESFSVAIKKSRYLKPAQVLLEETVSVNAKAAGINNEKLVGKLFSSRRRGALGLCSELKAELCCNGFIPADRHELQVKFTKLVALLDEIEGRYEKYYHQMEQVISSFEEIAGFGTAQSYTAQALQAMSRHFCNLRDAILSQIKATRRTLSQDLPKISKGLSRLSLFDKETGHNRMSLQQLGMIQSQRQAWRPIRGLPETSVTILRSWLFEHFLHPYPNDSEKLMLASQTSLTKNQVSNWFINARVRLWKPMIEEMYKEEFADSAEESNPFLDSSSMARDDVADDSED >Manes.13G050200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5717628:5719763:-1 gene:Manes.13G050200.v8.1 transcript:Manes.13G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSNLVAIIGAVALVLLCNLWRRKNSKKVMGKLVPEAPGGLPIIGHLHQLGGKKSLARTLGEMADKYGSIYALRLGVHKTVIITDHKAMKDCFGTNDKLFANRPHSSQAMIVGYDYASFGFAPYGTYWRNMRKLATVELLSNHRARLLNYVQISEVNHLVKDLYLHSKRKENAKINMSERIEHLILNMITRMVAGKRFFDGDKEARNEKGRPIGEIIREFMFITGALVPGDLIPFLGWFDIKGILKTMKRVTGEVDEIVESWIEEHKMKKTDNDAKKDFIDIMLSVIEDDESMGLDRETIIKATTTVIILAGSDTTSITTIWALSSLVNNRRVLQRAQEEIDEKVGRDRCVQVSDIEKLEYLTAIIKETLRLYPPGPLGVAREAAEDCYISGYFIPKGTRLFTHLWKLHRDPNVWSDPEEFIPERFLTTNANLDITGKHFEYLPFSAGRRSCPGMNLAMQVMHLTLARLIQAFDLRTPTNEPVDMTEAQGIVMPRATPLEIVVVPRLAAPELYEQE >Manes.05G177801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29417680:29419170:-1 gene:Manes.05G177801.v8.1 transcript:Manes.05G177801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDFHQVEEIDIGHSWSARWLEAMKVLSWNYRGLDNSRIVEALCDFVSSYHPIILFLCETLVNKTKLVELCSLSGGLGFLWKNSVDVAISDYSNNYIDAMVSYCGVPWWFTGFYGLCVEGFNNLMADSEKVGENKYPRFLLNGFRETIAKCELCDFPSMGYKFTWFLKKFGRIITREKLDRAMVNGSWSQLFQDASATTLVSPTSDHDPLLISTDAQAVCKKKRMFRFDNAWLHDKELFLVVRNSWQASVGVDLMARKQSCIDELHWWGAERNSRLNNILMQEDARRRQVAKQFWLHHGDRNSKYFHAKIKAGKCRKSIEKLKKDDGHHLFAHNATDFAFILRLARCKIGNKENAFLTTMITVEEAKVVVFQMGLDKAPGPNGLNSTFYKKFWRLIGVDLAAGCNSWL >Manes.03G198300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31535775:31536409:-1 gene:Manes.03G198300.v8.1 transcript:Manes.03G198300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSPVFPMPESHHFSDYGFDPQIDYFQFLEEARNHQRETTIDSLHFKLQKPITKDDSSRKTHNTKKKHWWRKAFLFFKWRWIHSHHNNDLADEEDVHEARARAFRASVSGPVYITESRSGSSTPYRTVNRPSPGTLAGNLTAARKGDLEIPYLSLRELNMEQQQQRMSTSALPVYLVT >Manes.13G079600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13440748:13444541:-1 gene:Manes.13G079600.v8.1 transcript:Manes.13G079600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKNPGETDSTGSISSHSERFSDDQFMIHRYIQLEKFLFVYILSPKVYPTHSQLLEVTSKAVDPDEEVNDNVTTLTHKLSAAHLNISTMEELVKQHAKVAEEAVSGWEKAEQELLALKQQHEIAIKKNVGLEDRVSHLDAALKECMRQLRQAREEQEQRISEALVQKTHEWESIKSDLETKLQTAKSEAAATVDSDLQQKLEAAEKVNSSLKLELVSQAEELEIRTIERELSTQAAETASKQHLESIKRVSKLEAECRRLKNLVRKASSPKDHKSLTASSIYVESFTDSQSDSGERLLTAESDTCKINGPEINECEPSCSDSWASALLTELPQFKNQKPLGRNLMVPSVEIGLMDDFLEMERLVALPDTESGIAYPEAGPLSDQANGGENLWKAELQAMVHRTAELEERLGKLEGEKVKSEKALTECQRQLEMSQSWLKEAEEKMVELKVQLALSNETKLVREREIEHIKAKQEVAESQLVVAEAEIKTLLSKVGLLGEEVEKECAFSAETLAKCQKLENELSKKECEANVLHEIESRRIASFNEQLKMKQEKELTFAASKFAECQKTISSLGRQLKSLKKIEDFLLDYDKNSLDLTGIHIGEHWRSHSCDKFVGVLGVE >Manes.13G079600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13440747:13444541:-1 gene:Manes.13G079600.v8.1 transcript:Manes.13G079600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKNPGETDSTGSISSHSERFSDDQVYPTHSQLLEVTSKAVDPDEEVNDNVTTLTHKLSAAHLNISTMEELVKQHAKVAEEAVSGWEKAEQELLALKQQHEIAIKKNVGLEDRVSHLDAALKECMRQLRQAREEQEQRISEALVQKTHEWESIKSDLETKLQTAKSEAAATVDSDLQQKLEAAEKVNSSLKLELVSQAEELEIRTIERELSTQAAETASKQHLESIKRVSKLEAECRRLKNLVRKASSPKDHKSLTASSIYVESFTDSQSDSGERLLTAESDTCKINGPEINECEPSCSDSWASALLTELPQFKNQKPLGRNLMVPSVEIGLMDDFLEMERLVALPDTESGIAYPEAGPLSDQANGGENLWKAELQAMVHRTAELEERLGKLEGEKVKSEKALTECQRQLEMSQSWLKEAEEKMVELKVQLALSNETKLVREREIEHIKAKQEVAESQLVVAEAEIKTLLSKVGLLGEEVEKECAFSAETLAKCQKLENELSKKECEANVLHEIESRRIASFNEQLKMKQEKELTFAASKFAECQKTISSLGRQLKSLKKIEDFLLDYDKNSLDLTGIHIGEHWRSHSCDKFVGVDSESL >Manes.13G079600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13440748:13444541:-1 gene:Manes.13G079600.v8.1 transcript:Manes.13G079600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKNPGETDSTGSISSHSERFSDDQVYPTHSQLLEVTSKAVDPDEEVNDNVTTLTHKLSAAHLNISTMEELVKQHAKVAEEAVSGWEKAEQELLALKQQHEIAIKKNVGLEDRVSHLDAALKECMRQLRQAREEQEQRISEALVQKTHEWESIKSDLETKLQTAKSEAAATVDSDLQQKLEAAEKVNSSLKLELVSQAEELEIRTIERELSTQAAETASKQHLESIKRVSKLEAECRRLKNLVRKASSPKDHKSLTASSIYVESFTDSQSDSGERLLTAESDTCKINGPEINECEPSCSDSWASALLTELPQFKNQKPLGRNLMVPSVEIGLMDDFLEMERLVALPDTESGIAYPEAGPLSDQANGGENLWKAELQAMVHRTAELEERLGKLEGEKVKSEKALTECQRQLEMSQSWLKEAEEKMVELKVQLALSNETKLVREREIEHIKAKQEVAESQLVVAEAEIKTLLSKVGLLGEEVEKECAFSAETLAKCQKLENELSKKECEANVLHEIESRRIASFNEQLKMKQEKELTFAASKFAECQKTISSLGRQLKSLKKIEDFLLDYDKNSLDLTGIHIGEHWRSHSCDKFVGVLGVE >Manes.13G079600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13440748:13444541:-1 gene:Manes.13G079600.v8.1 transcript:Manes.13G079600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSEKNPGETDSTGSISSHSERFSDDQFMIHRYIQLEKFLFVYILSPKVYPTHSQLLEVTSKAVDPDEEVNDNVTTLTHKLSAAHLNISTMEELVKQHAKVAEEAVSGWEKAEQELLALKQQHEIAIKKNVGLEDRVSHLDAALKECMRQLRQAREEQEQRISEALVQKTHEWESIKSDLETKLQTAKSEAAATVDSDLQQKLEAAEKVNSSLKLELVSQAEELEIRTIERELSTQAAETASKQHLESIKRVSKLEAECRRLKNLVRKASSPKDHKSLTASSIYVESFTDSQSDSGERLLTAESDTCKINGPEINECEPSCSDSWASALLTELPQFKNQKPLGRNLMVPSVEIGLMDDFLEMERLVALPDTESGIAYPEAGPLSDQANGGENLWKAELQAMVHRTAELEERLGKLEGEKVKSEKALTECQRQLEMSQSWLKEAEEKMVELKVQLALSNETKLVREREIEHIKAKQEVAESQLVVAEAEIKTLLSKVGLLGEEVEKECAFSAETLAKCQKLENELSKKECEANVLHEIESRRIASFNEQLKMKQEKELTFAASKFAECQKTISSLGRQLKSLKKIEDFLLDYDKNSLDLTGIHIGEHWRSHSCDKFVGVDSESL >Manes.10G109626.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27014103:27014687:1 gene:Manes.10G109626.v8.1 transcript:Manes.10G109626.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPISLPQSNGRNLISFNSNQFPLKLSTQNYPTWRAQVLHVLRGHNLMGYVDKSLPPPPAFTQVGEENTTKEIANPDYEFWVCQDQLILAAIIASTNFSAMHVLSSATTLAHAWKKLKNIAEDLALSDNPVSSVDLIVYVLNDIGLEFRDIAAAI >Manes.14G025701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2391232:2392709:1 gene:Manes.14G025701.v8.1 transcript:Manes.14G025701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVHDDCKLKFQELKAKRNYRFITFKIEAQQVVVDKLGNPQSTYEDFTASLPADECRYAVFDFDFTTNENCQKSKIFFIAWSPDTSIVRMKMVYASSKDRFKRELDGIQLELQATDPSEMSFDIIKARAL >Manes.04G103050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30770872:30771720:-1 gene:Manes.04G103050.v8.1 transcript:Manes.04G103050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSLLHYLASLWIKGNCKSFPHSGLQLSGESNAIRFFSNNRKIRPPLAWSPLHQIVTYIDGSSLGNPGQNGIGSVRCSCCHVFAFHFCPLFWFFSLARNLFWFCQLEQLVDCNSLIGCR >Manes.01G215000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38466743:38467618:1 gene:Manes.01G215000.v8.1 transcript:Manes.01G215000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVTRMVAERPLVIFSRSTCDMCHSIKTLILGFGANPTIYELDQIPNGQQIERALQQLGCQNLPAVFIGGECVGGDRQVMSLLLKNQLGPLLKRAGAIWVWND >Manes.02G153400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11945492:11947775:-1 gene:Manes.02G153400.v8.1 transcript:Manes.02G153400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSSGSMQSSSGADEEYDSRPDSLPAFLNPSVQFGPLSSNPHHQPSLFSHRQQPTLYDPSPNLFHAFAPQSHTNPNPNTSLLNMDVVRPQGSRSDLYGTDLNSNVLASQASSSQLGAQGSKQGPLPSMQLRSVQDDGARSSSPSDQIHVAARNPKKRTRASRRAPTTVLNTDTSNFRAMVQEFTGIPAPPFPGSPYSRRLDLFGTIGSSMRSGHLETMGSPYPLRPSAHKVHQQSPFLFSSSSSSSSSLLNNTMVDATTTATTSSNNNSMTLSIPTTTTTFNPASVNYHLPSDLSLPKQPQNLLNMQNQMISFQSLLQPTAIHPSLNAGSLGTKSQANMSLSSLDELGMSHGQINVNLDGLPSQGTTEGMLLRNDAVGSNNNGNQDHLRSLDSCKLNYSAPSSDFHQDKSLENVPLRGEGTFDSWICPSE >Manes.18G039900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3523544:3530472:-1 gene:Manes.18G039900.v8.1 transcript:Manes.18G039900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNIPNPAENRLADFMLSPSHMTSAYVNSVDQERQHTGGWSLGEPSSSGAQSDASHEEQKLERGWSASSSSCAGAGRQLGERQYEPSNILSRESVNGNPQFVQSSNSNAIPQNLNLNAGFVCHSDNVQVMDASNVYKSGVAENERVPPAGGSNTFLHPSGSSGYTLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGGSSFFPCAESSAWPGVASHYGAGSSLSISAPEQVNPRLGLGLRGLASDDVSERPETSVAGRTEASQRNFRLRINPSTHESLPPALFSTGSAVRRSSVPSAQRSLRLLPIDHPLDFRSLPALEGATAQSQPPVVPVPPLPQNVQSFRWNEGSSSRTGSSSSSISLNDREEGSSRSISRNIWAHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSSVHPLSAPTWVSHPNSTSRNSRRLAEYVRRSLFSSSGADSGGQSTNSPVHSGSSGTPEEAMVSSGVGTQVHHRSHPRSALWMDRQGDGVLGIPYPLRNLAAASEGRSRLLVSEIRNVLDLMRRGESLRFEDVMILDQSVLFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRHRKYSVAARAEVEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLVLDKMI >Manes.18G039900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3523544:3530472:-1 gene:Manes.18G039900.v8.1 transcript:Manes.18G039900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNIPNPAENRLADFMLSPSHMTSAYVNSVDQERQHTGGWSLGEPSSSGAQSDASHEEQKLERGWSASSSSCAGAGRQLGERQYEPSNILSRESVNGNPQFVQSSNSNAIPQNLNLNAGFVCHSDNVQVMDASNVYKSGVAENERVPPAGGSNTFLHPSGSSGYTLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGGSSFFPCAESSAWPGVASHYGAGSSLSISAPEQVNPRLGLGLRGLASDDVSERPETSVAGRTEASQRNFRLRINPSTHESLPPALFSTGSAVRRSSVPSAQRSLRLLPIDHPLDFRSLPALEGATAQSQPPVVPVPPLPQNVQSFRWNEGSSSRTGSSSSSISLNDREEGSSRSISRNIWAHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSSVHPLSAPTWVSHPNSTSRNSRRLAEYVRRSLFSSSGADSGGQSTNSPVHSGSSGTPEEAMVSSGVGTQVHHRSHPRSALWMDRQGDGVLGIPYPLRNLAAASEGRSRLLVSEIRNVLDLMRRGESLRFEDVMILDQSVLFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRHRKYSVAARAEVEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLVLDKMI >Manes.18G039900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3523544:3530472:-1 gene:Manes.18G039900.v8.1 transcript:Manes.18G039900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNIPNPAENRLADFMLSPSHMTSAYVNSVDQERQHTGGWSLGEPSSSGAQSDASHEEQKLERGWSASSSSCAGAGRQLGERQYEPSNILSRESVNGNPQFVQSSNSNAIPQNLNLNAGFVCHSDNVQVMDASNVYKSGVAENERVPPAGGSNTFLHPSGSSGYTLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGGSSFFPCAESSAWPGVASHYGAGSSLSISAPEQVNPRLGLGLRGLASDDVSERPETSVAGRTEASQRNFRLRINPSTHESLPPALFSTGSAVRRSSVPSAQRSLRLLPIDHPLDFRSLPALEGATAQSQPPVVPVPPLPQNVQSFRWNEGSSSRTGSSSSSISLNDREEGSSRSISRNIWAHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSSVHPLSAPTWVSHPNSTSRNSRRLAEYVRRSLFSSSGADSGGQSTNSPVHSGSSGTPEEAMVSSGVGTQVHHRSHPRSALWMDRQGDGVLGIPYPLRNLAAASEGRSRLLVSEQIRNVLDLMRRGESLRFEDVMILDQSVLFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRHRKYSVAARAEVEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLVLDKMI >Manes.18G039900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3523544:3530472:-1 gene:Manes.18G039900.v8.1 transcript:Manes.18G039900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNIPNPAENRLADFMLSPSHMTSAYVNSVDQERQHTGGWSLGEPSSSGAQSDASHEEQKLERGWSASSSSCAGAGRQLGERQYEPSNILSRESVNGNPQFVQSSNSNAIPQNLNLNAGFVCHSDNVQVMDASNVYKSGVAENERVPPAGGSNTFLHPSGSSGYTLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGGSSFFPCAESSAWPGVASHYGAGSSLSISAPEQVNPRLGLGLRGLASDDVSERPETSVAGRTEASQRNFRLRINPSTHESLPPALFSTGSAVRRSSVPSAQRSLRLLPIDHPLDFRSLPALEGATAQSQPPVVPVPPLPQNVQSFRWNEGSSSRTGSSSSSISLNDREEGSSRSISRNIWAHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSSVHPLSAPTWVSHPNSTSRNSRRLAEYVRRSLFSSSGADSGGQSTNSPVHSGSSGTPEEAMVSSGVGTQVHHRSHPRSALWMDRQGDGVLGIPYPLRNLAAASEGRSRLLVSEQIRNVLDLMRRGESLRFEDVMILDQSVLFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRHRKYSVAARAEVEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLVLDKMI >Manes.01G253700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41153875:41158708:1 gene:Manes.01G253700.v8.1 transcript:Manes.01G253700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSETQEEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENIVALRDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCRYFLYQLLRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYTRQNFAARFPNKSAGAVDLLEKMLVFDPNRRITVDGALCHPYLAPLHDINEEPVCPKPFNFDFEQPTFTEENIKELIWRESVKFNPDF >Manes.01G253700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41153875:41158708:1 gene:Manes.01G253700.v8.1 transcript:Manes.01G253700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMESSSGSSEHNVRRIPTHGGRYVQYNVYGNLFEVSSKYVPPIRPVGRGAYGIVCAAMNSETQEEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENIVALRDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCRYFLYQLLRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYTRQNFAARFPNKSAGAVDLLEKMLVFDPNRRITVDGALCHPYLAPLHDINEEPVCPKPFNFDFEQPTFTEENIKELIWRESVKFNPDF >Manes.01G253700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41153875:41158708:1 gene:Manes.01G253700.v8.1 transcript:Manes.01G253700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMESSSGSSEHNVRRIPTHGGRYVQYNVYGNLFEVSSKYVPPIRPVGRGAYGIVCAAMNSETQEEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENIVALRDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCRYFLYQLLRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYTRQNFAARFPNKSAGAVDLLEKMLVFDPNRRITDCPFFLFPGGLQLMGHYAILTWHLFMISTRSLFAQSLSTLILSSQHLLKKISRSSSGGNL >Manes.03G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1071695:1075076:1 gene:Manes.03G012600.v8.1 transcript:Manes.03G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQTDIKTSTKQTTLFYLMGCSFFSVLFLFFCVGIYLVSKSSVFVASQSTEGTVFINGTASIGSTDHDFICATLDWWPPDKCDYGTCSWGRASFLNLDLTNPILLNAIKAFSPLKIRMGGTLQDKVIYERQGEPCTTFVKNSSEIFSFSQGCLSMSRWDELNNFFRQAVATVIFGLNALKGRTIAPDGSAVGAWDTSDAESLIRYTVNRGYRIHGWELGNELSGNGVGARVSADQYASDVNILQNLVDNIHTGFKDKPLVLAPGGFFDANWFKEFIDKTPKSLQVVTQHIYNLGPGVDDHLMDKILDPAYLDHVSQTFSSLREILKSSGTQAVAWVGEAGGAYNSGHNQVTNAFVSSFWYLDQLGLSSSYDTKTHCRQTLIGGNYGLLNTTTFVPNPDYYSALLWHRLMGRNALSTSFSGTKKLRAYAHCSKATQGITLLLINLDGNTTVQVSISTENVARNGTWTLQEYQNQRENFARITRGSKVDENIREEYHLTAKDGDLHSQIVLLNGKILAVNSSGAIPPLDPIRVGLSNPITIAPFSIVFAQISNITVPACI >Manes.13G023100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3256278:3256858:1 gene:Manes.13G023100.v8.1 transcript:Manes.13G023100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLSSMGLSSFPIAAEGVLPLLVMNTVISVALFKNMLRSVLQVMGANWNSQDYEQDDQDGCVPEENVRKRRISITQFKSLTTHNSGSSSGGRTGSGKVECCVCLSRFEAEEEVSELSCKHFFHKGCLDKWFDNKHSTCPLCRSVL >Manes.12G015500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1463615:1468400:-1 gene:Manes.12G015500.v8.1 transcript:Manes.12G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKKIKIGINGFGRIGRLVARVALQREDIELVAVNDPFITTDYMTYMFKYDTVHGHWKHTDVAVKDEKTLLFGDKPVTVFGIRNPEEIPWGQTGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYEEIKNAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSTRVLDLIRHIASTLA >Manes.12G015500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1463615:1468400:-1 gene:Manes.12G015500.v8.1 transcript:Manes.12G015500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMFKYDTVHGHWKHTDVAVKDEKTLLFGDKPVTVFGIRNPEEIPWGQTGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYEEIKNAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSTRVLDLIRHIASTLA >Manes.04G109800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31348189:31349304:-1 gene:Manes.04G109800.v8.1 transcript:Manes.04G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSSTKPSTSFFHFPPFLPSFFHSVSLPLLLNPPKSSHLRFLPLPLRIRSIDAAQPYDYESQLLSQHLKSQSLKIAIIGFGNFAQFLAKTLSRQGHTLLAYSRTNHGGAAKELGVTFYTNPHDLCESHPEVLILCTSILSSEKVLKSFPFQRLKRSTLFVDVLSVKEFAKNILLKYLPLEFDILCTHPMFGPESGKNSWVGLPFVYDKVRIGNEEERINRCDKFLDIFAKEGCRMVEMSCAEHDRYAAGSQFVTHTMGRVLEKFGLESSPINTKGYETLLDLVENTAGDSFELYYGLFMYNQNAMEQLERLDMAFEAIKKELFGKLHQVYRRQLFGTVEGSEERPKIQKLLHNGAPLEPSKDTMEQERS >Manes.11G070500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10159115:10161915:1 gene:Manes.11G070500.v8.1 transcript:Manes.11G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTVVFVLQIMLESTKVAATTAIRGQGCGGRCGDIEIQYPFGIRADCAMDKWFVIDCIQTANSTTPFMSSTKLELLNIDYAHSRLLVKGPIFSYNCSHSKTGQVMNLSRTSFTFSGYNKFAVVGCNNRAVLSSSEPDGNGCQPTCKTNVKSKGCRGNRCCQTSIPYFQQLFAPSFEDVDDDQCRMAFMVRTKWFKANVTDPYKVQELDYVPVLLDWKINATALGSVVIDEKSTYNDPFVYYDKFDFPYPNSTVLMCRNGFIGNPYLPVGCQDVNECEDPMVTSQCQGLCRNTQGSYKCIPDRSRNTILGISVAFGALILLISTWWLYKFIKKRKQTKRRRKFFKKNGGLLLRQQLGSSQGNIERTKIFSCKELDHATDHFNVNRIIGQGGQGAVYKGTLADGRIVAIKKSMKIDEAKVEEFINECGILSQINHRNVVKLLGCCLETHVPLLVYEFIPNGTLYQYLHHQNEEFQLTWKMRLQIAIQVSGAISYLHSEVCMPIYHRDIKSTNILLDEKFTAKVSDFGVSRSIQIDKSHLTTHVKGTFGYVDPEYFQSSLLTEKSDVYSFGVVLVELITGQKPISSERVEEGVGLAACFILSMENDKLFDMLDPQIVGQCNIEEVTAVANLAKRCLYLNGKLRPTMKEVLTELEGIQLSLKYASIQQNTTNEVEPNPSDASSTSTSSCCDSPQVSINIFKSNPDFEPAKEEGAEH >Manes.11G113600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26643487:26646352:-1 gene:Manes.11G113600.v8.1 transcript:Manes.11G113600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNQSPGFNRPRLVTKKVLATPQYEGDGAVVRRGIGRSELRFLDPFLMLDDFSVTPPAGFPDHPHRGFETVTYMLQGGITHQDFAGHKGTIYTGDVQWMTAGRGIIHSEMPAGEGTHKGLQLWINLSSEEKMIKPRYQELLSDDIPRAEKDGAEVRVIAGESMGVRSPVYTRTPTMYLDFILKPKSQVHQSIPESWNAFVYVIEGEGNFGLRNSSQATAHHVLVLGTGDGLSVWNRSSKPLRFVLIAGQPINETVVQHGPFVMNTQAEIEQTIEDYHYCKNGFEMAKYWSSQ >Manes.15G030400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2391317:2393354:1 gene:Manes.15G030400.v8.1 transcript:Manes.15G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTALREFTIRGEVDKIVRVNDEFRFGNDYSFPCAIKTAYRSKQGNLYTLETLVYYIQNANLKFTDYLQNARALGLPAITFIDRKPLLDYLTGKLSSTDSIVFPLPQQQQQLQQPQQNPNADLAHEYRPDDPAILDSNDADAAGADNGKEEDPVTMIYAMERPLKDRESLLECKNRDFYSVLVASTRREEERQRIESQQRKDGLVAKSRLMGADDRGIGYGDELSYDATPKPKMHLKGGKFGEGVPIILVPSAFQTLITIYNVKEFLEDGIYIPTDVKVKQMKGAKPDCVTVQKKFSTDRNRVMTAYEVRDKPSALKAEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKTVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >Manes.07G091700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28521005:28527933:1 gene:Manes.07G091700.v8.1 transcript:Manes.07G091700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIFQVFDGVKPAILMVVVHIAYTGVNVLYKLAANEGLNLRILIAYRWIFSSAFLVPLALIVERKSRPKLTRVVLFQAFLCGLFGGLASQNLYLESLALTSATYVTAISNLLPAITLILALSFRLENLEIKTTIGKAKVMGTIVGIGGAMLLSLYKGPETNVWSLKLHLLRRSQHQHSHISSTHVLGSSLAFGSCTSYALWLIVQTKMSKRYPCHYSSSALMSIMASIQCVILSLCMERNWSQWKLGWNLMLLTVLYSGIVIAGLVVVLIAWCVHVRGPVFVANFNPLSLLLTAIMGSLILEEKLHLGSILGAGLIVCGLYMVLWGKDKEMKEKLLPRETSIKSDNIEIVNEGDQPALNAFVDEDPLPKEQNGEKKLGFCFWLNFFSSLFKQKKTDGPN >Manes.07G091700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28521005:28527933:1 gene:Manes.07G091700.v8.1 transcript:Manes.07G091700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIFQVFDGVKPAILMVVVHIAYTGVNVLYKLAANEGLNLRILIAYRWIFSSAFLVPLALIVERKSRPKLTRVVLFQAFLCGLFGGLASQNLYLESLALTSATYVTAISNLLPAITLILALSFRLENLEIKTTIGKAKVMGTIVGIGGAMLLSLYKGPETNVWSLKLHLLRRSQHQHSHISSTHVLGSSLAFGSCTSYALWLIVQCVILSLCMERNWSQWKLGWNLMLLTVLYSGIVIAGLVVVLIAWCVHVRGPVFVANFNPLSLLLTAIMGSLILEEKLHLGSILGAGLIVCGLYMVLWGKDKEMKEKLLPRETSIKSDNIEIVNEGDQPALNAFVDEDPLPKEQNGEKKLGFCFWLNFFSSLFKQKKTDGPN >Manes.07G091700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28521005:28527933:1 gene:Manes.07G091700.v8.1 transcript:Manes.07G091700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIFQVFDGVKPAILMVVVHIAYTGVNVLYKLAANEGLNLRILIAYRWIFSSAFLVPLALIVERKSRPKLTRVVLFQAFLCGLFGGLASQNLYLESLALTSATYVTAISNLLPAITLILALSFRLENLEIKTTIGKAKVMGTIVGIGGAMLLSLYKGPETNVWSLKLHLLRRSQHQHSHISSTHVLGSSLAFGSCTSYALWLIVQTKMSKRYPCHYSSSALMSIMASIQCVILSLCMERNWSQWKLGWNLMLLTVLYSPSWDL >Manes.02G037200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070826:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQPCLIPGI >Manes.02G037200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070826:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQPCLIPGI >Manes.02G037200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070827:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQPCLIPGI >Manes.02G037200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070826:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQEHGWKPRWFQREGENGSFRYVGGYWEAREQGNWEGCPNIFGEFNEDIGESSEGF >Manes.02G037200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070826:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQEHGWKPRWFQREGENGSFRYVGGYWEAREQGNWEGCPNIFGEFNEDIGESSEGF >Manes.02G037200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070826:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQEHGWKPRWFQREGENGSFRYVGGYWEAREQGNWEGCPNIFGEFNEDIGESSEGF >Manes.02G037200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070826:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQPCLIPGI >Manes.02G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070827:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEASLTRARSLPAGFSGGSDGNVGRITAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPENLNLLTPSDDVRLIGEISTNRLSRMDSGSYRRKQQKSVGIVQLKISSFRESKSDDRRFYIFTATKTLHLRTDSRRDRVDWIQALVSTRSLFPSRSLNDSLSLVPKDLSISAERLKKRLLEEGISENLVKECEQIMLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQEHGWKPRWFQREGENGSFRYVGGYWEAREQGNWEGCPNIFGEFNEDIGESSEGF >Manes.02G037200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3072781:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQPCLIPGI >Manes.02G037200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3072781:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQEHGWKPRWFQREGENGSFRYVGGYWEAREQGNWEGCPNIFGEFNEDIGESSEGF >Manes.02G037200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070827:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQEHGWKPRWFQREGENGSFRYVGGYWEAREQGNWEGCPNIFGEFNEDIGESSEGF >Manes.02G037200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3070827:3079397:1 gene:Manes.02G037200.v8.1 transcript:Manes.02G037200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEASLTRARSLPAGFSGGSDGNVGRITAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPENLNLLTPSDDVRLIGEISTNRLSRMDSGSYRRKQQKSVGIVQLKISSFRESKSDDRRFYIFTATKTLHLRTDSRRDRVDWIQALVSTRSLFPSRSLNDSLSLVPKDLSISAERLKKRLLEEGISENLVKECEQIMLSEFSEVQGQLQVLCEERSNLLDTLRQLEAANIEAETSGIPFVEFQLRRHEFSSLGRGKFSECSTTESSDDIEKQELEEVSEEDEASFYDTKEYFTEPTIIRESIKGAASQCKKYREHNQIDDEEKMLVKEQLCQSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKGGNSLLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLSLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGTMHIHGNRQYSCKLKFKEQSILDRNPHQVNGFVEDVTGKKFATVFGKWDDSMYYTECDGTSKTRDCNSSSTATLLWKSTKPPPNVTRYNLTSFAITLNELTTGLQPCLIPGI >Manes.S051216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1740770:1740892:-1 gene:Manes.S051216.v8.1 transcript:Manes.S051216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.05G143200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24413066:24419329:1 gene:Manes.05G143200.v8.1 transcript:Manes.05G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEKSFDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSEDLLGDDEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDSTN >Manes.11G082657.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18489573:18501305:-1 gene:Manes.11G082657.v8.1 transcript:Manes.11G082657.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKTNKRKRAERPTIHPKNKYANNPPDFSLLASLYPSFKPFVFYSPDGRPRIDWTDFNSTRELTRVLLLHDHGLNWWIPDGQLCPTVPNRLNYIHWIEDLLSDTISKNNKNGDIVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAERNVKNNPHISELVEVRKVTDCQETLSIEDPNNAESVNGKNRTDMNGSLVDSGSFTLPVDAYKNYHGPPILVGVVRDNEKFDFCMCNPPFFETMEEAGLNPKTSCGGTPMEMVCPGGEKAFISTIIEDSVVLKESFRWFTSMVGRKANLKFLISKLRDVGVTVVKTTEFVQGQTCRWGLAWSFVPPSRKIISPYVAGKSILSFMLEGIQRQFSAIDVLQSVESFFHTSGASSKLNASSFAVDVTASNEQCDVILKKGGKLHDETANCNPIDAESASSSLHTPLNNLCFRISVFQQIPGTLLVKGSLQQRDSPVSGVFSSIIQRLEEVLRNKFCRAKPIVN >Manes.06G096800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23095899:23096663:-1 gene:Manes.06G096800.v8.1 transcript:Manes.06G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLHDKVAIITGGASGIGEATALLFAEHGARAVVIADVQDEKGQKLAETIGTFRSTYIHCDVTDENQVKSLVESTVKLYGHLDVMFCNAGIASPCTQTVLDFDIAAYEKLFAVNVGGVAASLKHAAQAMVEGGVKGSIICTASIAARTGGDRCTDYVMSKCAVLGLARSASMQLGKHGIRVNCVSPGPVATPLLSNMVGKGIVEAEKTFESSYWLKGVMKVKHVADAVLFLACEDSEFITGHNLVVDGGFKSY >Manes.02G193400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15623032:15623274:1 gene:Manes.02G193400.v8.1 transcript:Manes.02G193400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKEEAEGRRRRAPKDHFVVYVGNERKRFVVPISYLKNPSFRQLLDNAAEEYGYDNPNGIILPCDESSFNSLISLLGSH >Manes.10G057200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7593284:7598534:1 gene:Manes.10G057200.v8.1 transcript:Manes.10G057200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRHDDDYVTHSPSTKPRNQHRYEVGHDSYSISRREALDRSPHLRRSLSPRSKIDGSRRILQREGRSGSNERRDYSWHLGSGRTENICSGSSSFVQDHRKPHFDEGVVHRKYDYDDDINYEDGKSNRLKHVYGYHQHAAASRSSKEKDYSESRFIVNDRHATMGQKSVPMEDGIIRGLHQEPSDFIPAPSYGKTGEHLQLSSRRMHMNQFENEKLRYQEPISPDNIPVMELYKEGEGPILSSREDSYTMNPSSHLKGFGSSHSKDFAGTSSGVSRGEFQSSFRECVPLSASDEYPRQSMKLTEPMDLNKYGRRSAVDLRNLETGKKIMTSYPHGAYSPNRTERDDYLYPKSQGLINEDMVYPSDELHTLIPSRAKFDHELARADFEYGELSRMSIMHPIEESIDATQGSFRNRRNNTSWDHTIRRQAAVEGLNSSRILYAPKQGEEYLGSEYSRFEFGRRVTRDNETSHLGVIRDQETSHLRSNYGFGRDAGPQFEKERLQDPVMSMYDLEMQKFSVKRQRMEDFSVHEQSDKLLKRNYHVEEDINSCDPRAIVSSRRYAPLEYKDAYDSDEEWMEENLSALHPSGTRRFDHNVCRKAKRIYDGQDHNRDFASEDWLSSHDSLVHSRKHSIRYYKPSVKYMKGHPRSGSLSWYNSQQTDRRSGIQRKHKSWKRNEDHDEDEQVNDDDPSEDWVNMEDSELCEDSKEFKQLVHEAFLDYSKKLNLSMAVRRRYKEQGKAGSLFCIVCGRRSVFFPVYTF >Manes.10G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7593284:7598534:1 gene:Manes.10G057200.v8.1 transcript:Manes.10G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRRHDDDYVTHSPSTKPRNQHRYEVGHDSYSISRREALDRSPHLRRSLSPRSKIDGSRRILQREGRSGSNERRDYSWHLGSGRTENICSGSSSFVQDHRKPHFDEGVVHRKYDYDDDINYEDGKSNRLKHVYGYHQHAAASRSSKEKDYSESRFIVNDRHATMGQKSVPMEDGIIRGLHQEPSDFIPAPSYGKTGEHLQLSSRRMHMNQFENEKLRYQEPISPDNIPVMELYKEGEGPILSSREDSYTMNPSSHLKGFGSSHSKDFAGTSSGVSRGEFQSSFRECVPLSASDEYPRQSMKLTEPMDLNKYGRRSAVDLRNLETGKKIMTSYPHGAYSPNRTERDDYLYPKSQGLINEDMVYPSDELHTLIPSRAKFDHELARADFEYGELSRMSIMHPIEESIDATQGSFRNRRNNTSWDHTIRRQAAVEGLNSSRILYAPKQGEEYLGSEYSRFEFGRRVTRDNETSHLGVIRDQETSHLRSNYGFGRDAGPQFEKERLQDPVMSMYDLEMQKFSVKRQRMEDFSVHEQSDKLLKRNYHVEEDINSCDPRAIVSSRRYAPLEYKDAYDSDEEWMEENLSALHPSGTRRFDHNVCRKAKRIYDGQDHNRDFASEDWLSSHDSLVHSRKHSIRYYKPSVKYMKGHPRSGSLSWYNSQQTDRRSGIQRKHKSWKRNEDHDEDEQVNDDDPSEDWVNMEDSELCEDSKEFKQLVHEAFLDYSKKLNLSMAVRRRYKEQGKAGSLFCIVCGRSSSKDFMDTQRLVTHAFMSHKVGLRALHLGLHKAICILMGWNTFVPCDMKTWVPDVLPGAEAWAQKEDLMIWPPLVIIHNISMSNADPEQQKVIPIEGVESFLRGKGFVGGKIKVCLGKPADQSVMLVKFLGTFTGLGNAERLHKYFAENKHGREEYEQKTSNTSKSSNDFEAGILGDKLEERLLYGYIGIADDLDKLDFNTKKRVMVKSKKDIEDLENAPVKTDDR >Manes.11G032600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3150063:3153022:-1 gene:Manes.11G032600.v8.1 transcript:Manes.11G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDKDVSSSSAPIKPPSISRGTDENEESYGEMGLSVQSSKKPTTKHYMSPTISGASKINPPRKKILAERNETLDNSFQKISTNLDSKATQMPTSLDSKTTSSTEFDEKEQKALVDDLSSRPYDPLTNCLSPRPKFLRYKPNRRQELFLKRENQAKQGNGDGLTTVENDCLETEKGINGEGILASSCGSLATGSNDANVKQENEEMDESEDEFEEEYEERGWGFRGILKVLVLLTVLVFSTSYISSMNSPTPPPVVQAVGSFKDGYHMIQDHVYEFVKSLEIGKFLVDREGTQMSSVDSDRIEEEEMIEDVNFGETEDSDGLNEVVEMVEYEQEGAVDEFSEGGESEAMEIVEYGEKEVVDKIFKVQAGEIADASDLEVAISDQLAANSGLQGLEALEVIQMPLTNESSVPNTSEKENEMVGEPIKEETFNGEMGGIGNVTDDAHEILITKEGLIKHMEMESILKAVVGFSIFSSIAASLALALHFRKNRNARKDAHPVVEPCLDSVIAEKCCSLLANEEDKDEHTQHPVSFANSRSVTNSLEEDSKQRYEIRSPTIELLGELVVGEMSSSLRSCGMKSRMIESEVSSYSVSMEKKETGSRANSVPVRMHPALSHISNINSPSYTDEKKIIKKEEAITPLRRSSRIRNRAIASP >Manes.18G104600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10152240:10153994:1 gene:Manes.18G104600.v8.1 transcript:Manes.18G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTIVLCPAPTMGHVVSMVELGKLILHRYRHRFSITILLITVEFFETPGLVSYINAISQTYPSISFRRYPPVSYDTTINRSKPAVLFECILLNKPNVLDSLQEISKKDKISAFVIDLFCTSALSLGKDLKIPTYYFFTSGAGCLSAFLYFPKIHEQYDENFKDLANTVLYFPNLPPLKAVHMPEPMLSRDDPSYYDLLYFCSNLPKADGIIVNSFNDLEPKAVKTIADGVCVPDAPTPPIYYIGPLIDQGSSAAQHDCLSWLDKQPSKSVVFLCFGSRGSFSVEQVKEMANGLERSGQRFLWVVKNLPYDEKSKQTEDMGDFELEAMLPEGFLNRVKDRAMVVKSWAPQVAVLNHDSVGGFVTHCGWNSVLEAVVAGVPMVGWPLYAEQHLNRNILVEDMKMAIAVEQREEDGFVRGDELERRLRELMESNKGKKLREKTWEMKQKSLEAWAESGSSIRALDKLVGQWK >Manes.09G096550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29359413:29359586:1 gene:Manes.09G096550.v8.1 transcript:Manes.09G096550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGITRAPWGESFKFDRFDVRYLKAKDSAGKFAIVQFGICLFRYDHHRHSFTGVPLE >Manes.14G163400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25565125:25583069:1 gene:Manes.14G163400.v8.1 transcript:Manes.14G163400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCMAVKGEESNECERFAKYYRSLCPGEWIERWNEQRENGTFPGPL >Manes.04G079100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28138472:28138771:-1 gene:Manes.04G079100.v8.1 transcript:Manes.04G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHLASKIFSAKQILKKQQPLLSRNQGDVPKGHIAVYVGEYQKTRFVVPISYINHPLFVALLNRAEEEFGFNHPMGGLTIPCQEHVFIELTSRLQTAS >Manes.17G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24816042:24819370:1 gene:Manes.17G051000.v8.1 transcript:Manes.17G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPQALSFLSPLLLISPPSHSLSSRTAIPIFSLPILPVPSSRNPFPALFCTSPKSTQQQQEEEALLQFVAESKLKTLPCVRTFENDLARLSLVGAVGFEQALTAAAADGGRAAAEHIDSGQPTMVVETIFPGPEDEHATISTRLFLPAEKVKEKAGKLRRSYTEDIFSGATSQNILAMTFRQVVLQELWNFELVVLRPGTERNMEDLQNPREQVPASLFLRSSNKEVISVLAEAVCIAALQNTERHYLDDFLGKASSGIFRWFQKPERIVSKDSAVVIYKLFEDEIVENAKSLLENFKSTKKNFRRIKMRNKYSWWTLVAHSKLEKIGGPNFSAWTSEYVPAYRLQIDADKVRDVKLEGWRSSAENRWEVLLTHSQMTGLAEVLDMYYEDIYTLPDKELSCHAITSFTNFSNKKRRSSLLNILSVSLASGIFLIAISALRQFCFPHMRKGEMYAQEHRSLPSSEIKFAVNESLDPEKLQEICILIIKKIKDGFGWPDNITTETDSGAWIGDVPKYLKVMGRSESNREDSSTCAPELKIDEDMKSSAQDVASYQVVCSTDGKIVGFQPTSRVGVNHWAANPLARELYGGRRLSPGFVERGHKIHLPNEIVVIELLMSVNSDAYFALARPAR >Manes.17G067700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26791750:26801913:-1 gene:Manes.17G067700.v8.1 transcript:Manes.17G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVREGSCCFPREVLGVNVGLACVDGIIAILAFYQLIRIHSRNSQLGWTRQKVFHLLIGSSNTGYFIFFLATIIAVCKRWQCWSHSCGFIFMALPKILSFAAFLLLLSFWVDLRHQADDEDCEEEEFSFHEALLEMTIDDPSSAHADSLRICLPFRSVRVGSRQKIVIWVTALVFVLMMTFAVLMWIGMGNDFIDSPSLATVYVDAFAIALLLLGAALACYGLLICSKMRRVRSERAASEMWKVAGLAVVSVTCFSPSAFVALFTQIPVLYHCQKLDYNGPATSLLLILYYFIGSSVPSAFILWIMRELPPAVVPNAHEESRTIAYITDSSVVVHNPQRWTTATSLQNQPAKSEDAGIVEQQ >Manes.17G067700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26791750:26801914:-1 gene:Manes.17G067700.v8.1 transcript:Manes.17G067700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVREGSCCFPREVLGVNVGLACVDGIIAILAFYQLIRIHSRNSQLGWTRQKVFHLLIGSSNTGYFIFFLATIIAVCKRWQCWSHSCGFIFMALPKILSFAAFLLLLSFWVDLRHQADDEDCEEEEFSFHEALLEMTIDDPSSAHADSLRICLPFRSVRVGSRQKIVIWVTALVFVLMMTFAVLMWIGMGNDFIDSPSLATVYVDAFAIALLLLGAALACYGLLICSKMRRVRSERAASEMWKVAGLAVVSVTCFSPSAFVALFTQIPVLYHCQKLDYNGPATSLLLILYYFIGSSVPSAFILWIMRELPPAVVPNAHEESRTIAYITDSSVVVHNPQRWTTATSLQNQMTV >Manes.17G067700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26791750:26801922:-1 gene:Manes.17G067700.v8.1 transcript:Manes.17G067700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVREGSCCFPREVLGVNVGLACVDGIIAILAFYQLIRIHSRNSQLGWTRQKVFHLLIGSSNTGYFIFFLATIIAVCKRWQCWSHSCGFIFMALPKILSFAAFLLLLSFWVDLRHQADDEDCEEEEFSFHEALLEMTIDDPSSAHADSLRICLPFRSVRVGSRQKIVIWVYVDAFAIALLLLGAALACYGLLICSKMRRVRSERAASEMWKVAGLAVVSVTCFSPSAFVALFTQIPVLYHCQKLDYNGPATSLLLILYYFIGSSVPSAFILWIMRELPPAVVPNAHEESRTIAYITDSSVVVHNPQRWTTATSLQNQPAKSEDAGIVEQQ >Manes.17G067700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26791750:26801922:-1 gene:Manes.17G067700.v8.1 transcript:Manes.17G067700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVREGSCCFPREVLGVNVGLACVDGIIAILAFYQLIRIHSRNSQLGWTRQKVFHLLIGSSNTGYFIFFLATIIAVCKRWQCWSHSCGFIFMALPKILSFAAFLLLLSFWVDLRHQADDEDCEEEEFSFHEALLEMTIDDPSSAHADSLRICLPFRSVRVGSRQKIVIWVYVDAFAIALLLLGAALACYGLLICSKMRRVRSERAASEMWKVAGLAVVSVTCFSPSAFVALFTQIPVLYHCQKLDYNGPATSLLLILYYFIGSSVPSAFILWIMRELPPAVVPNAHEESRTIAYITDSSVVVHNPQRWTTATSLQNQISRGSPI >Manes.17G067700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26791699:26801913:-1 gene:Manes.17G067700.v8.1 transcript:Manes.17G067700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVREGSCCFPREVLGVNVGLACVDGIIAILAFYQLIRIHSRNSQLGWTRQKVFHLLIGSSNTGYFIFFLATIIAVCKRWQCWSHSCGFIFMALPKILSFAAFLLLLSFWVDLRHQADDEDCEEEEFSFHEALLEMTIDDPSSAHADSLRICLPFRSVRVGSRQKIVIWVTALVFVLMMTFAVLMWIGMGNDFIDSPSLATVYVDAFAIALLLLGAALACYGLLICSKMRRVRSERAASEMWKVAGLAVVSVTCFSPSAFVALFTQIPVLYHCQKLDYNGPATSLLLILYYFIGSSVPSAFILWIMRELPPAVVPNAHEESRTIAYITDSSVVVHNPQRWTTATSLQNQISRGSPI >Manes.04G039400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6057323:6058210:1 gene:Manes.04G039400.v8.1 transcript:Manes.04G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIRVIQITILPSLLLLLSLLSFYLQLKSLLLSPSVYSSSTLNQTHSVQTKFSILIGILTRPEKSDRRHFLRLVYGIQSSPIADIDVKFVFCNLTKHEQRVFIALEILRFNDIIILNCTENMNNGKTYTYFSSLPQILPKPYTYVMKADDDVFIRLTPLSLSLNPLPRLDLYYGFVIPCSSKNPFVDYMSGMGFLLSWDLVEWIGRSGIPAKETQGPEDKLVGKWLKIGNKAKNRFSDKPAMYDYPGTNGRCSHELIPETVAVHRLKRWDQWLHVLEFFNVTKQLNNSEFFHL >Manes.16G057118.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17004967:17009572:1 gene:Manes.16G057118.v8.1 transcript:Manes.16G057118.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.16G057118.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17004962:17009981:1 gene:Manes.16G057118.v8.1 transcript:Manes.16G057118.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.16G057118.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17004974:17009572:1 gene:Manes.16G057118.v8.1 transcript:Manes.16G057118.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.16G057118.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17004962:17009981:1 gene:Manes.16G057118.v8.1 transcript:Manes.16G057118.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.16G057118.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17004962:17009981:1 gene:Manes.16G057118.v8.1 transcript:Manes.16G057118.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.16G057118.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17004961:17009588:1 gene:Manes.16G057118.v8.1 transcript:Manes.16G057118.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.16G057118.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17007145:17009982:1 gene:Manes.16G057118.v8.1 transcript:Manes.16G057118.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.09G054600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8883439:8887456:-1 gene:Manes.09G054600.v8.1 transcript:Manes.09G054600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKRRKLNSLAVAAMDSKRVTTKNMKEKMESQTWSDLPTELLELIFCHVTLEDNICASVVCKSWHAAAISVRVVNQSPWLMYFPKNGNLYEFYDPAQRKTHSLELPELHGSRVCYTKDGWLLLYRPRTHDVFFFNPFTKEVIELPSFELTYQVVAFSSPPTSTSCSVLTVKHISPTVVAISTCHPGATEWVTANYQNRLPFVSSIWNKVVFCSGLFYCLSLTGWLGVFNPVELTWNVLIVPPPRCPDNFFAKNWWKGKFMSEHNGDILVIYTCFSENPIIFKLNQSNMMWEEMKTLDGVTLFASFLSSHSGTNLPGLMRNSIYFSKVRLFGKRCISYSLDDSRYYPGKQCHDWGEQAPFESIWIEPPQGLTTFI >Manes.10G126700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29173554:29175374:1 gene:Manes.10G126700.v8.1 transcript:Manes.10G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHTVESSTVETNDGLKLQTRVFKPKEDEIKDKLVIVLVHPYSVLGGCQALLKGIAIGLAQKGYTAVTFDMRGAGRSTGKPSLTGFSEVKDVIAVCKWVCENLSTDKILLVGSSAGICFS >Manes.15G147400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12150271:12155734:-1 gene:Manes.15G147400.v8.1 transcript:Manes.15G147400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGGSEDEYYYSDDRESLDGFENEESDFHLVPPRGPSTKIITKESLLAAQKEDLRRVMELLSLREHHARTLLIHYRWDVERLLAVFVEKGKSYLFTEAGVTVVEQLDIDSPLTSSSTITCDICIEDVSGNKVTRMDCGHCFCNDCWTEHFIVKINEGQSRRIRCMAHKCNAICDEAVVRNLVSKKHPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRIEEDECCEIECSCGLQFCFSCLSEVHSPCSCLMWELWSKKCQDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQSFCWLCGGATGRDHTWSRISGHSCGRYKEDGENNLERAKRDLYRYMHYHIRYKAHTDSFKLESKLKETILEKVSISEERESRIRDFSWVNNGLYRLFRSRRVLSYSYPFAFYMFGDELFKDEMTIEERELKQNLFEDQQQQLESNVEKLSKFLEEPFDQYTDEKVMEIRMQVINLSTITDNLCKKM >Manes.15G147400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12150271:12155734:-1 gene:Manes.15G147400.v8.1 transcript:Manes.15G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGGSEDEYYYSDDRESLDGFENEESDFHLVPPRGPSTKIITKESLLAAQKEDLRRVMELLSLREHHARTLLIHYRWDVERLLAVFVEKGKSYLFTEAGVTVVEQLDIDSPLTSSSTITCDICIEDVSGNKVTRMDCGHCFCNDCWTEHFIVKINEGQSRRIRCMAHKCNAICDEAVVRNLVSKKHPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRIEEDECCEIECSCGLQFCFSCLSEVHSPCSCLMWELWSKKCQDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQSFCWLCGGATGRDHTWSRISGHSCGRYKEDGENNLERAKRDLYRYMHYHIRYKAHTDSFKLESKLKETILEKVSISEERESRIRDFSWVNNGLYRLFRSRRVLSYSYPFAFYMFGDELFKDEMTIEERELKQNLFEDQQQQLESNVEKLSKFLEEPFDQYTDEKVMEIRMQVINLSTITDNLCKKMYECIESDLLGSLQLGTHNIAPYKSKGIEKASELSSCWSNKVDAADKCLPSDGNTSGGTSQHDRPSGSRSSADSECSSWKRARKEGGGFLDLNLPAEVMDRN >Manes.15G147400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12150271:12155734:-1 gene:Manes.15G147400.v8.1 transcript:Manes.15G147400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSLREHHARTLLIHYRWDVERLLAVFVEKGKSYLFTEAGVTVVEQLDIDSPLTSSSTITCDICIEDVSGNKVTRMDCGHCFCNDCWTEHFIVKINEGQSRRIRCMAHKCNAICDEAVVRNLVSKKHPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRIEEDECCEIECSCGLQFCFSCLSEVHSPCSCLMWELWSKKCQDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQSFCWLCGGATGRDHTWSRISGHSCGRYKEDGENNLERAKRDLYRYMHYHIRYKAHTDSFKLESKLKETILEKVSISEERESRIRDFSWVNNGLYRLFRSRRVLSYSYPFAFYMFGDELFKDEMTIEERELKQNLFEDQQQQLESNVEKLSKFLEEPFDQYTDEKVMEIRMQVINLSTITDNLCKKMYECIESDLLGSLQLGTHNIAPYKSKGIEKASELSSCWSNKVDAADKCLPSDGNTSGGTSQHDRPSGSRSSADSECSSWKRARKEGGGFLDLNLPAEVMDRN >Manes.17G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31682917:31687298:1 gene:Manes.17G110100.v8.1 transcript:Manes.17G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLVGNKCDLAHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIRTAGKILQNIQEGVFDVSNESSGIKVGYGRPQGPSGARDGTVAQRGGCCG >Manes.07G048750.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5714323:5715476:1 gene:Manes.07G048750.v8.1 transcript:Manes.07G048750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSYKKGFKFDHVWSIMKDAEKFKDCSSKKKIVQNQSSSYVSSESDNPTPDSPMVPSSNLSSFSIHLNEDIAGDYTSSDRPLGVKKAKLKKKLDESFSSALKCLHADNEKLVESLANATAEREKGRLMKSRALDLKEFKEENKILLLDLNSISDPIARETFRQEKVRISEKRAQRQQPPPSSTSNVYGQYLNDIAGSGSDLPEY >Manes.01G091300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29287426:29290323:1 gene:Manes.01G091300.v8.1 transcript:Manes.01G091300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILCQTHITRGTTSTFKTIVNGLNALSGVGILSTPYAVAAGGWLSLILLVIIAIAAFYSGLLIKKCMEVDSNIKTYPDIGERAFGSKGRLVISIFMYTELYLVATGFLILEGDNLENLFPNVELEMAGFHIGARQKFVIIVALIILPTIWLDNLSVLSYVSASGVIASAIILCSIFWAGAFDGIGFHEKGKLLNWDGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVLLVCFVACTISYASMAVIGYSMFGSAVESHVTLNLPTTKLSSRLAIYTALVNPISKYALMITPIVNVTRNWFPWYCDKKPFNIFISTALLMSTVIVALAFPFFGFLMSLVGAFLSVTASILLPCLCYLKISGTYRTLGFEVAVIGGILVLGVVVVIFGTHTALLRILGHSDH >Manes.13G061500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7157320:7158926:1 gene:Manes.13G061500.v8.1 transcript:Manes.13G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAEWLKLDQNGAGPGARSSHAITLVGDKAYAFGGEFTPRVPVDNNLYVFDLQTQTWSIPVVTGDIPPPRVGVTMAAVGKTIYVFGGRDATHKELNELYSFDTFSNKWTLLSASDIGPPHRSYHSTTSDDRHVYIFGGCGVSGRLNDLWAYDVVEEKWIKHPTAGENCKGRGGPGLVVAQGKIWVVYGFAGRETDDIHCFDPAEGKWEQVEISREKPTARSVFSTVGIGKYIIIYGGEVDPSDLGHLGAGKFTGEVWALDTEALRWQRIDDAVGEANHPGARGWCAFGSGRLNGKEGLLVLGGNSPSNDRLDDIFFLSCRLDAN >Manes.06G155500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28216784:28217972:-1 gene:Manes.06G155500.v8.1 transcript:Manes.06G155500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKMAYRFSLLLSLLVLTLCKPSTGAGIAIYWGQNGNEGTLAETCASGNYQYVNIAFLSTFGNGQTPVLNLAGHCNPSSNGCTGLSSDIAACQGQGIKVLLSLGGGAGSYSLSSADDANQVANYLWNNFLGGTSDSRPLGNAVLDGIDFDIEGGSDQFWDDLAKALNGFSQQRKVYLSAAPQCPFPDAHLSNAINTGHFDYVWVQFYNNPQCQYSGSADNLLSAWNQWSTVQASQVFLGLPAAEEAAPSGGFIPADVLTSQVLPSIKSSSKYGGVMLWSKFYDNGYSSSIKSAV >Manes.08G080900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25095923:25104315:-1 gene:Manes.08G080900.v8.1 transcript:Manes.08G080900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASGFSLPVTIVILAIIYIYFSTLFVFIDGWFGLMSSPGIMNAIVFTALAIMCVMNYAFAIFTDPGSVPSTYAPNIEDANNTIHEIKRKGGDLRYCRKCSRFKPPRAHHCRICKRCVLRMDHHCVWINNCVGHANYKVFFIFVVYAVISCIYSLVLLIGSLTVDPEKDEQQNGGFSRSIYVISGLLLIPLNVALGVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNIYKHPYDIGAYENLTTVLGPSIFGWLCPVSEYIGSGLHFRTIYDEPPITSTSK >Manes.08G080900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25095923:25104466:-1 gene:Manes.08G080900.v8.1 transcript:Manes.08G080900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASGFSLPVTIVILAIIYIYFSTLFVFIDGWFGLMSSPGIMNAIVFTALAIMCVMNYAFAIFTDPGSVPSTYAPNIEDANNTIHEIKRKGGDLRYCRKCSRFKPPRAHHCRICKRCVLRMDHHCVWINNCVGHANYKVFFIFVVYAVISCIYSLVLLIGSLTVDPEKDEQQNGGFSRSIYVISGLLLIPLNVALGVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNIYKHPYDIGAYENLTTVLGPSIFGWLCPVSEYIGSGLHFRTIYDEPPITSTSK >Manes.08G080900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25095923:25104467:-1 gene:Manes.08G080900.v8.1 transcript:Manes.08G080900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASGFSLPVTIVILAIIYIYFSTLFVFIDGWFGLMSSPGIMNAIVFTALAIMCVMNYAFAIFTDPGSVPSTYAPNIEDANNTIHEIKRKGGDLRYCRKCSRFKPPRAHHCRICKRCVLRMDHHCVWINNCVGHANYKVFFIFVVYAVISCIYSLVLLIGSLTVDPEKDEQQNGGFSRSIYYHEGVRAMWLAEKGGNIYKHPYDIGAYENLTTVLGPSIFGWLCPVSEYIGSGLHFRTIYDEPPITSTSK >Manes.08G080900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25095923:25104467:-1 gene:Manes.08G080900.v8.1 transcript:Manes.08G080900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASGFSLPVTIVILAIIYIYFSTLFVFIDGWFGLMSSPGIMNAIVFTALAIMCVMNYAFAIFTDPGSVPSTYAPNIEDANNTIHEIKRKGGDLRYCRKCSRFKPPRAHHCRICKRCVLRMDHHCVWINNCVGHANYKVFFIFVVYAVISCIYSLVLLIGSLTVDPEKDEQQNGGFSRSIYYHEGVRAMWLAEKGGNIYKHPYDIGAYENLTTVLGPSIFGWLCPVSEYIGSGLHFRTIYDEPPITSTSK >Manes.08G080900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25095923:25104466:-1 gene:Manes.08G080900.v8.1 transcript:Manes.08G080900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASGFSLPVTIVILAIIYIYFSTLFVFIDGWFGLMSSPGIMNAIVFTALAIMCVMNYAFAIFTDPGSVPSTYAPNIEDANNTIHEIKRKGGDLRYCRKCSRFKPPRAHHCRICKRCVLRMDHHCVWINNCVGHANYKVFFIFVVYAVISCIYSLVLLIGSLTVDPEKDEQQNGGFSRSIYVISGLLLIPLNVALGVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNIYKHPYDIGAYENLTTVLGPSIFGWLCPVSEYIGSGLHFRTIYDEPPITSTSK >Manes.14G033700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3015042:3017825:-1 gene:Manes.14G033700.v8.1 transcript:Manes.14G033700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAMKPAKAGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPEVVKQITSITIEPGVEVEVTIADS >Manes.14G033700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3015501:3017481:-1 gene:Manes.14G033700.v8.1 transcript:Manes.14G033700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAMKPAKAGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPEVVKQITSITIEPGVEVEVTIADS >Manes.02G200348.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184684:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRQVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSAASSQA >Manes.02G200348.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184684:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRQVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSASSQA >Manes.02G200348.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17185846:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSGNLQFFMH >Manes.02G200348.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184684:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSAASSQA >Manes.02G200348.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184684:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRQVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSASSQA >Manes.02G200348.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184684:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSAASSQA >Manes.02G200348.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184684:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSASSQA >Manes.02G200348.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184665:17189438:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSGTYEILFLEKGETSYNHLLDDESLNISSMV >Manes.02G200348.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17185846:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRQVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSGNLQFFMH >Manes.02G200348.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184665:17189438:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSASSQA >Manes.02G200348.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184684:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRQVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSGTYEILFLEKGETSYNHLLDDESLNISSMV >Manes.02G200348.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184684:17189434:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSAASSQA >Manes.02G200348.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184665:17189439:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSASSQA >Manes.02G200348.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17184665:17189439:-1 gene:Manes.02G200348.v8.1 transcript:Manes.02G200348.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKSESPTCCSTASPPSASSLTSLAPTELKLYQAFIFSVPVFFTFIVLFFFYLFYLHRSRVDWATLRMRAALPERNDIVRVELGLKKEVREMLPIIVYKESFSVRETQCPVCLGDYEAEEKLQQIPACGHTFHMECIDHWLAKHTTCPLCRLSLVASAKVPCELPNNQMETGQASSVARNDNETSVQSGPTDSSGESQSTQLSEPRNEDSRTLYNSVEEEERRTSCADEGREPLDARNGTVENGNIRRSSGTYEILFLEKGETSYNHLLDDESLNISSMV >Manes.18G120900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12867038:12869370:-1 gene:Manes.18G120900.v8.1 transcript:Manes.18G120900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNMGELIWSGVLVLVWLTPRVFTQLQESQVPCFFIFGDSLVDNGNNNRLLTLARANYRPYGIDFPLGATGRFTNGRTYVDALAQLLGFRNYIPPYERTRGRAILRGVNYASGAAGIRDETGNNLGDHTSMNQQVKNFGNTVEEMRRFFRGDANALNSYLSRCILYSGMGSNDYLNNYFMPDFYSTSSDYTTKAFAAVLLQDYSRQLMQLYELGARKVIVTGVGQIGCIPYQLARYNGNSSRCNEKINQAISLFNSGLLKLTQSFNNGQLPGAKFVYLDSYKSTNDFYLNASSYGFEVVDKGCCGVGRNNGQITCLPLQQPCEDRRKYLFWDAFHPTELANILLAKSTFTSNSYIYPINIQQLAMS >Manes.10G102500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25054017:25056824:-1 gene:Manes.10G102500.v8.1 transcript:Manes.10G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSLNLLLKKLTQEDDNLLSRVPADTEYICYELESMKSLFRVADAMEETSDTSLNSWLKAVIDIVYDMEDALDRFKLHLARDHGNGFYASLRKATRCINSLEARRRIASEMQKIRSRVADIVGSHRSYSMKNDIMAKDLNYTNSSSHLPKPRQTPSSIEEDNLVGIDGAKNRLINWLVRGESDREVVSVVGMGGLGKSTLARIVYDDAEVKKHFKLRAWITVSQSFKIEDLLKDLIQQLFRVPNKSAGHFEMVSEGEDKVKLITAINEFLRQRRYLIVLDDVWDTYAFDAFVRALPRNNFGSRILLTTRIVTVAAMKSPEKVYALNPLSPEDSWTLFCKKTFKNNSCPPHLEDVSEKILHKCEGLPLAIVTVSGVLAAKDQNRIDQWDMIHRTLGCNDSLMNLRSVLSLSYIDLPHYLKPCLLYFSVFPQDSLIKTSRLIRLWIAEGFVNEREGMTLEEVAEAYLTELIKRNLVQLVKATIDGRVKTCRIHELLHEIVISKARDQDFITIVNTQSKIWHGKFRRISLHDTIPNMQHIASQRLRSLLMFWAGDSLSPVFHSAFNQSRLLNVLDLEGAPLKEFPKEIVSLSLLKYLSLRNTKVNSMPRSIGKLQNLETLDLKHANVTELPDEILKLGKLRHLLVYRYEISSAYEIYTKNGFKPPALIGSQLKSLQKLCFVEVDQGGSLMQELAKLHNLRRLGIVKLKEKDGMALCSSIEKLMNLRALSITSMDESEIIDLESLSTPPRFLQRLYLAGRLQKLPDWIPSLESLVKVVLKWSKLSDDPIVSLQCLPGLVHLDLVQAYNAKELRFQHKGFQKLKSLGLNKLEKLEEIMVEKGAMPCLEKLIVQSCHSLQKVPVGIQHLVELKLVEFINMPIELIMKMDPNGEHGDYWKVEHVPEVHFSYWNNGNWDSFSLNSFRDDKHYGQSSHKNHY >Manes.18G146401.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:30786813:30791588:-1 gene:Manes.18G146401.v8.1 transcript:Manes.18G146401.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMHRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVTDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMR >Manes.18G146401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:30786301:30791545:-1 gene:Manes.18G146401.v8.1 transcript:Manes.18G146401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMHRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVTDSRLRIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMRFGPEEPRTSAVR >Manes.18G146401.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:30787572:30791588:-1 gene:Manes.18G146401.v8.1 transcript:Manes.18G146401.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMHRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVTDSRLRIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMR >Manes.18G146401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:30786301:30791534:-1 gene:Manes.18G146401.v8.1 transcript:Manes.18G146401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMHRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVTDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMRFGPEEPRTSAVR >Manes.07G106300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31263412:31282898:-1 gene:Manes.07G106300.v8.1 transcript:Manes.07G106300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNGAIAQTLSPMDPNSIEGQYAVDKGQPQSASYVPSTTGSEATSWIMHRVDNSSSESTYHHDHQTEPPSRNVPHGLNGTSLASSSTLGTASASQDYGGYASYTNPTDPYGYANTGYPGYYNGYQQQPNHSYSQQQPNHVYSQHQPNHAYSQQQPNHVYSQQQPNHAYSQPLGSYQNTGAPYQPLSSFQNTGSYAGIPSYPATYYNPGDYQTAGAYPSSGYSNHTTTWSDGNYANYTTHQYSSYTADTTGAYNSGTTAATSVHYQQQYKQWADYYNQTEVTCAPGTEHLSVASTSNQVNSVPGVTAGYPNSSSQHPSSFTPSWRPESTSSDLPPLQGAPSTSGAYDSCWKQGVESSQNYHASPMQPHFQTSLDSKTSYDNFQEQQKTVEEGPDSQYAPSHQVPQNYQTPAQTVSTLDTQRVSKLQIPTNPRIATNLASSSSKTNKDGSTTGAAAKPAYIAVSMPKANDKVFSNVAADSILKPGVFPSSLRFYVERALKRCQDHCKNDPQLAACQAVLKEVITKATADGTLHTRNWDTEPLFPLPNPDFANKENSQSSTPVASLPKYRSPSKRSKSRWEPLPEEKLVETPVSVSNNSVKFGGWDRKPLIGNSESKVDALSDLKFSLSEQKTLSKSAQRPLKKQRIADAFNSAENGDASSDSDKEQSLTAYYSGAIALANSPEEKKKRENRSKRFEKVQGHRSEINYFKPKNAAAGNLYTKRASALMLSKNFDDDGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLAMEVGDLSEYNQCQSQLKILYDEGIEGCYMEFAAYNLLCVILHSNNNRDLVSSMSRLPKEAKKDKAVKHALAVRAAVTSGNYVMFFRLYKTAPNMNTCLMDLYVEKMRYKAVSCISRSYRPTVPVSYIAQVVGFSSTSEGSDQDPVGVEECVEWLKAHGACLTADSNGEMQLDTKASSSSLYIPEPEDAVSHGDASLAVNDFLTRTSL >Manes.18G142900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24536657:24540011:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQLLLFLQITSSS >Manes.18G142900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24534696:24540227:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQLLLFLQITSSS >Manes.18G142900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24529133:24540227:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGNIWISLPLRKDAGVPAKLP >Manes.18G142900.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:24539184:24540011:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQVPYLACLFSLQRHGLCSSLWL >Manes.18G142900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24529183:24540231:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGFIHLGYPYRVTFGSHCPSGRTLECRRSFPEEPTGVRPASGPHAPPQRPTRVFVGVTDLKAVQLVM >Manes.18G142900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24529232:24540251:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQEGRWSAGEASLKSRRAFGLRVDLTPRHNDLLGCLWV >Manes.18G142900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24534696:24540227:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQITSSS >Manes.18G142900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24528167:24540227:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFKSGSIGDVIRIIVKKDGYRGLMRGWIPRMLFHAPAAAICWSTYEAAKGFFQELNGNSNSGTVT >Manes.18G142900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24528242:24540227:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGNIWISLPLRKDAGVPAKLP >Manes.18G142900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24528929:24540257:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFKSGSIGDVIRIIVKKDGYRGLMRGWIPRMLFHAPAAAICWSTYEAAKGFFQELNGNSNSGTVT >Manes.18G142900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24536657:24540011:-1 gene:Manes.18G142900.v8.1 transcript:Manes.18G142900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATTTKFQNPDFHPDFHPDISVTAHDGLHFWQFMIAGSIAGSVEHMTMFPVDTVKTHMQALGSCPIKSVSVTHALRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSMAHAVSGVCATVSSDAVFTPMDMVKQRLQLGNNIYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLMEISPESANGERLVVHATAGAAAGALAAAVTTPLDVVKTQLQCQITSSS >Manes.01G105100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30493525:30499986:1 gene:Manes.01G105100.v8.1 transcript:Manes.01G105100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAVTEVGVSLDDANSVDPGAESSKIDLVVEMSSNGETSSELVIADEIITRMELDLACASEKLVNLSVLMMYLESKESEFETTAALEGDISGDAAEVLEFDFLSRILDSEVTELDKFMATLQENIVEAHEMISSYKHLGQTFMAMKEKLLDSEKSLRQSHDQISEIRTQFAKFQRTLSCLNGQENWSGNEVSSFSEDNQFVDMNAKLKMQTAEQQRHILRMLEKSLAREMDLEKKLIESRQIEEELKHRMLSTEQAVFFLEEEAIDVCERWFTAENAAEVLMGISQELLSRLKIFQFNLNGSVKREAELRSNLDKSMEQLEAKENALQEFSSSSVKLSDRLKASLTEAEEKLILANREGSTLRQKVNLLEKQLEESEYQLSNENVNVSMDGREKQHSALCSEITTMENTIKDLKEELAKEVCRADSAEIKCKSLAETNLEINEEMSRLKDASEKVDSLEKQLIESDIRLQHAVASADASQEKENMLYATIRDMENLIEDLKLKMQKADSRADNAEDKCILLSDSNAELNEELSFLRGRLACLEISMSRAEERKMIAAKDISFRTKVMTELLMQLAIERERLHKQMTSLALENKTLVLKLRQTGKDPFVVSNHHNRGSGGKSEFPEHDEVTQISAFGSKLDKKQKNVCVVETEVADADSVLGAESVRRIDAGMLNYKHIIMAVFILLISAAVYLFLP >Manes.01G105100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30493517:30499986:1 gene:Manes.01G105100.v8.1 transcript:Manes.01G105100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAVTEVGVSLDDANSVDPGAESSKIDLVVEMSSNGETSSELVIADEIITRMELDLACASEKLVNLSVLMMYLESKESEFETTAALEGDISGDAAEVLEFDFLSRILDSEVTELDKFMATLQENIVEAHEMISSYKHLGQTFMAMKEKLLDSEKSLRQSHDQISEIRTQFAKFQRTLSCLNGQENWSGNEVSSFSEDNQFVDMNAKLKMQTAEQQRHILRMLEKSLAREMDLEKKLIESRQIEEELKHRMLSTEQAVFFLEEEAIDVCERWFTAENAAEVLMGISQELLSRLKIFQFNLNGSVKREAELRSNLDKSMEQLEAKENALQEFSSSSVKLSDRLKASLTEAEEKLILANREGSTLRQKVNLLEKQLEESEYQLSNENVNVSMDGREKQHSALCSEITTMENTIKDLKEELAKEVCRADSAEIKCKSLAETNLEINEEMSRLKDASEKVDSLEKQLIESDIRLQHAVASADASQEKENMLYATIRDMENLIEDLKLKMQKADSRADNAEDKCILLSDSNAELNEELSFLRGRLACLEISMSRAEERKMIAAKDISFRTKVMTELLMQLAIERERLHKQMTSLALENKTLVLKLRQTGKDPFVVSNHHNRGSGGKSEFPEHDEVTQISAFGSKLDKKQKNVCVVETEVADADSVLGAESVRRIDAGMLNYKHIIMAVFILLISAAVYLFLP >Manes.01G105100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30493528:30499986:1 gene:Manes.01G105100.v8.1 transcript:Manes.01G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYHLGLQSINIQASRLGELVEITMGTDAVTEVGVSLDDANSVDPGAESSKIDLVVEMSSNGETSSELVIADEIITRMELDLACASEKLVNLSVLMMYLESKESEFETTAALEGDISGDAAEVLEFDFLSRILDSEVTELDKFMATLQENIVEAHEMISSYKHLGQTFMAMKEKLLDSEKSLRQSHDQISEIRTQFAKFQRTLSCLNGQENWSGNEVSSFSEDNQFVDMNAKLKMQTAEQQRHILRMLEKSLAREMDLEKKLIESRQIEEELKHRMLSTEQAVFFLEEEAIDVCERWFTAENAAEVLMGISQELLSRLKIFQFNLNGSVKREAELRSNLDKSMEQLEAKENALQEFSSSSVKLSDRLKASLTEAEEKLILANREGSTLRQKVNLLEKQLEESEYQLSNENVNVSMDGREKQHSALCSEITTMENTIKDLKEELAKEVCRADSAEIKCKSLAETNLEINEEMSRLKDASEKVDSLEKQLIESDIRLQHAVASADASQEKENMLYATIRDMENLIEDLKLKMQKADSRADNAEDKCILLSDSNAELNEELSFLRGRLACLEISMSRAEERKMIAAKDISFRTKVMTELLMQLAIERERLHKQMTSLALENKTLVLKLRQTGKDPFVVSNHHNRGSGGKSEFPEHDEVTQISAFGSKLDKKQKNVCVVETEVADADSVLGAESVRRIDAGMLNYKHIIMAVFILLISAAVYLFLP >Manes.01G105100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30493528:30499986:1 gene:Manes.01G105100.v8.1 transcript:Manes.01G105100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAVTEVGVSLDDANSVDPGAESSKIDLVVEMSSNGETSSELVIADEIITRMELDLACASEKLVNLSVLMMYLESKESEFETTAALEGDISGDAAEVLEFDFLSRILDSEVTELDKFMATLQENIVEAHEMISSYKHLGQTFMAMKEKLLDSEKSLRQSHDQISEIRTQFAKFQRTLSCLNGQENWSGNEVSSFSEDNQFVDMNAKLKMQTAEQQRHILRMLEKSLAREMDLEKKLIESRQIEEELKHRMLSTEQAVFFLEEEAIDVCERWFTAENAAEVLMGISQELLSRLKIFQFNLNGSVKREAELRSNLDKSMEQLEAKENALQEFSSSSVKLSDRLKASLTEAEEKLILANREGSTLRQKVNLLEKQLEESEYQLSNENVNVSMDGREKQHSALCSEITTMENTIKDLKEELAKEVCRADSAEIKCKSLAETNLEINEEMSRLKDASEKVDSLEKQLIESDIRLQHAVASADASQEKENMLYATIRDMENLIEDLKLKMQKADSRADNAEDKCILLSDSNAELNEELSFLRGRLACLEISMSRAEERKMIAAKDISFRTKVMTELLMQLAIERERLHKQMTSLALENKTLVLKLRQTGKDPFVVSNHHNRGSGGKSEFPEHDEVTQISAFGSKLDKKQKNVCVVETEVADADSVLGAESVRRIDAGMLNYKHIIMAVFILLISAAVYLFLP >Manes.01G105100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30493528:30499986:1 gene:Manes.01G105100.v8.1 transcript:Manes.01G105100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGELVEITMGTDAVTEVGVSLDDANSVDPGAESSKIDLVVEMSSNGETSSELVIADEIITRMELDLACASEKLVNLSVLMMYLESKESEFETTAALEGDISGDAAEVLEFDFLSRILDSEVTELDKFMATLQENIVEAHEMISSYKHLGQTFMAMKEKLLDSEKSLRQSHDQISEIRTQFAKFQRTLSCLNGQENWSGNEVSSFSEDNQFVDMNAKLKMQTAEQQRHILRMLEKSLAREMDLEKKLIESRQIEEELKHRMLSTEQAVFFLEEEAIDVCERWFTAENAAEVLMGISQELLSRLKIFQFNLNGSVKREAELRSNLDKSMEQLEAKENALQEFSSSSVKLSDRLKASLTEAEEKLILANREGSTLRQKVNLLEKQLEESEYQLSNENVNVSMDGREKQHSALCSEITTMENTIKDLKEELAKEVCRADSAEIKCKSLAETNLEINEEMSRLKDASEKVDSLEKQLIESDIRLQHAVASADASQEKENMLYATIRDMENLIEDLKLKMQKADSRADNAEDKCILLSDSNAELNEELSFLRGRLACLEISMSRAEERKMIAAKDISFRTKVMTELLMQLAIERERLHKQMTSLALENKTLVLKLRQTGKDPFVVSNHHNRGSGGKSEFPEHDEVTQISAFGSKLDKKQKNVCVVETEVADADSVLGAESVRRIDAGMLNYKHIIMAVFILLISAAVYLFLP >Manes.12G089294.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14790015:14815483:-1 gene:Manes.12G089294.v8.1 transcript:Manes.12G089294.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIERPQNELGACNIHTLASVLCSDEAAREALVCSYKTAARDFSAKLTLEQGFRSCFCYIASMEFLHLLDDGKAGSDPRNRGPQQ >Manes.02G040000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3292174:3295324:-1 gene:Manes.02G040000.v8.1 transcript:Manes.02G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYMKKEMIEDLAIVGGLIVVQFMYAGNSVFLSYLMSLGFTPSTIVIFSTSATFLITSPFAIYFERRKWPKELTFRLTIQLVLISFAGVTLFQSLFLKGIKLTSPVLATAMPNLAPGLIFLIAWTARLEKVSLSCVYSKVKIVGTLLCVAGALLMSLMHTSNETKDAHFLVPSVDFIFDKQKMIGCMYLMGAVFVLSSNVVLQAFTLGGFPAPMSLCAITSLIGVVITAMVQWVQDPNFGITWPLVKLEELIGYSLLAGGVGGACVSFNGWAMKKRGPVLVSMFSPIGTVISVVLSIVTLGESIKLGSLAGMLLMFTGLYFVLWAKGKEGYLDGVGMESDFDPQKPLLS >Manes.10G109800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27036298:27039692:1 gene:Manes.10G109800.v8.1 transcript:Manes.10G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPVKLFVKMMNSEDPTIEIHADSNDSIKSIHEKILVAARIPVTEQKLFHNGKQLDQCLHTLEDCSIENEASLELKVELRWNDSDESSALLQKIHKMSSNFCRMCQGESVSEDQDVSDHDYKTYLEAFMLSKEEDLEILSLYSVPATMIMLYNSPTEGNKYYADFLIRFSMDLILMSADDFLGDEKASLVLEFCNLLREVSAEDPVYQSCRTTLRKLLEIGNYEIHFNYGLRTMLEIVEFFNESFVALLNGLSQTFYPGAHTFSRVLCKAIVDLAEADEDDDVDIEDDETDEDDEDDEHKVDNYENDETDEDDDDVDNEDDEHKVDNEDYKHTKIFIVLVSTAAIKVGFKDLLKKMEDHISRLPVVLQVLKYTDALKFNDAMRSISFLYLAILNELNSMSQLEEVTKDVVRQLVKGGKDKFRQVLEDHKNSLQLMIKNITRKDDYDWLFEHSDVLDSESMIHLLMMKMIPDEKLHDEELYNPLIRWSKNLDVKLYKKFKKKDLTDPQVLQDWLCKLCQILLKPQNLLFLACPNDPTKLYPNPELEPHPLHWDCFENCGKAIALALVHEVHVGVALHRVFLLQLAGKYISVEDVRDADPSFYNNKANKEPFPDDDQIQNEFIKSISEQIRFFKNGFDSVFGKSIFQQLSDNGIEPDDLNLVLKGSIELEFNSDENLDDKQNDPLMPQDDESDPLTYRYFVNLQNLNIPEWKKGKRLGEGKFGKVFEGYAPGGFFFAIKEIKIEPEANIEQIYDEIRLLCQLRHPNIVKYYSMEKRERNLYIFLELVTTGSLQRVHENFKLEDSQVSHYTKQILQGLKYLHERNVAHRDIKCANILVNEKGRIKIADFGLAKVMELNTLMKSSYCGTRGWMAPEVAKSGNQYGFKADIWSLGCTVLEMLTRKPPHVMESGKLLDLPDLPSEHSRDFIMKCLKVNPDDRPSAAELLQHPFVKGFGL >Manes.18G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4300288:4305034:1 gene:Manes.18G050000.v8.1 transcript:Manes.18G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNDNNNGSNNNWLGFSLSPHMKMEVASDPQHHHHHQYHHESPAPSVASVAVSSAVPTSFYLSSSQFDSPGICYGVGENVGFQSSLSVMPLKSDGSLCIMEALTRSQPEGIVPSSSPKLEDFLGGATMETQQYGSHERETMALSLDSMYYHQNTEPENNRQNAVDLHETYRQQDQEFSVQTHPYYSGIACHGMYQASLEEATKDSQLSDCESQMPQIGDDGMPCLKNWVARQYSTHNALEQQLTSSMVDDGGASGSVSSMGCGDIQSLSLSMIPGSQSSCVTAPRQISPTATECMTMETKKRGPAKVGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVGRIMASNTLLAGELARRNKETETSNGAIDYNPPAQNSGETIQIPNSSSSDWKVVMYESPQQPQPSACVDSHDHKLGNYRSSPYSMAMQDLIGVDSVNCSQPAVVSESATKLGTHSSNTSSLVTSLSSSREASPDKTGNPMLFARPPLASKFITSTNGASPWFQTAATQLRPAAISMSHLPVFAAWNDT >Manes.18G050000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4300758:4304893:1 gene:Manes.18G050000.v8.1 transcript:Manes.18G050000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNDNNNGSNNNWLGFSLSPHMKMEVASDPQHHHHHQYHHESPAPSVASVAVSSAVPTSFYLSSSQFDSPGICYGVGENVGFQSSLSVMPLKSDGSLCIMEALTRSQPEGIVPSSSPKLEDFLGGATMETQQYGSHERETMALSLDSMYYHQNTEPENNRQNAVDLHETYRQQDQEFSVQTHPYYSGIACHGMYQASLEEATKDSQLSDCESQMPQIGDDGMPCLKNWVARQYSTHNALEQQLTSSMVDDGGASGSVSSMGCGDIQSLSLSMIPGSQSSCVTAPRQISPTATECMTMETKKRGPAKVGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGWSRGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVGRIMASNTLLAGELARRNKETETSNGAIDYNPPAQNSGETIQIPNSSSSDWKVVMYESPQQPQPSACVDSHDHKLGNYRSSPYSMAMQDLIGVDSVNCSQPAVVSESATKLGTHSSNTSSLVTSLSSSREASPDKTGNPMLFARPPLASKFITSTNGASPWFQTAATQLRPAAISMSHLPVFAAWNDT >Manes.01G016800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4155154:4156335:-1 gene:Manes.01G016800.v8.1 transcript:Manes.01G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFIRHNQSSPVVQSHHRMHKFFLICNYILLSAASSCIFLTLSLRLFPSLCGFFLILLHILTIIGAVSGSAVATSGSSKWYAAHMVTISLTAIFQGSVSVLIFTQTGDFLGYLKSYVREEDGAVILKLAGGLCVLIFCLEWVVLTLAFLLRYYAFVEGSGGAGGGSLAAGGKYQEEEMKNWPWPFQV >Manes.10G044900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4799576:4800714:1 gene:Manes.10G044900.v8.1 transcript:Manes.10G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTLMSCGIATAFPSLLSSSKSKFATAIPLPSVNVSSRITMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERFKESELIHCRWAMLAVPGILVPEALGLGNWVQAQEWAAVPGGQATYLGQPVPWGTLPTILVIEFLAIAFVEHQRSMEKDPEKRKYPGGAFDPLGYSKDPAKFHEYKVKEIKNGRLALLAFVGICVQQSAYPGTGPLENLATHLADPWHNNIGDIVIPRSLLP >Manes.03G156501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28491322:28493151:1 gene:Manes.03G156501.v8.1 transcript:Manes.03G156501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNSPITSQQLPYHAHHSSILAIPGKEPSPAEYGQSTFNFVWNLYRFFRKVQGRFGDDECRRLKQKILPELISCIVLRSGVPVSSEKLMRYEIILDSEHQGLDRLKNFRS >Manes.06G114100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24476937:24486509:1 gene:Manes.06G114100.v8.1 transcript:Manes.06G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGLVSEPTSQQEVFQWLKNLPLAPEYHPTPAEFQDPIAYIFKIEKEAAKYGICKIVPPVLAAPKKAAIANLNRSLAARAGSSASKSPPTFTTRQQQIGFCPRKPRPVQKPVWQSGENYTFQEFETKAKSFERSYLKKCSKKGALSALEIETLYWKATVDKPFSVEYANDMPGSAFSPIKTGGKEMGEGVTVGETEWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYVAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPREAAVAFEEVVRVHGYGEEINPLVTFAILGEKTTVMSPEVFVSAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEAANIATPEWLRVAKDAAIRRASINYPPMVSHFQLLYDLALELCTRVPVSITAKPRSSRLKDKQKVEGETLVKELFIKNVILNNGLLHILGKGSSIVLLPRSSSDISVCSNLRVGSQLRVSPSLGLCSDSVILKSSEDSIADEIMPERNNRINQVKGLLSVKEKFASLCERNRLSSLNRNDSMHTMVTGNEKGATVHGDKLSDQRLFSCVTCGILSFDCIAVVQPTEAAARYLMSADCSFFNDWIVGSGITNDGFPIAGGETNASEQNSSIKWIEKNTVDGLYDVPVQSANYQIQVIDQNKVASNTETQRGTSSLSLLALNYGNSSDSEEDQVEPDVLHHDDEINLANRSSENKYQHQISALPSFKQECHHDETDDHNLSSSRPDCGDEVTVQTNGWHAKHGHGNRPANFKDENDRALNCSVEFETDNLASVEPKGLEHTFRGPMSTSHMTGKAKFNRVGVPREHLGASFAQRSDEDSSRMHVFCLEHAVEVEQQLRPIGGVHILLLCHPEYPKIEAEARSVTEELGIEYLWNDITFRDATKEDEENIQSALDSEEAIPGNGDWAVKLGINLFYSANLSRSSLYSKQMPYNSVIYNAYGRISPASSPTKYNVYERKPSKQKKVVAGRWCGKVWMSNQVHPFLTKRDSEEQDQEQEQDRNLCAWTRPDEKLERKSESTCRTETTSATRKSGRKRKITVASGPGKKVKCLDTEDAASEDSQEDVSHKQHTRVYSRKQIKRVEREISYDLLEDDSHQRCGRTHRSKQAKSVEKEDATSDDSLRWNTHQQHRRILRSKQDKSFESENDVSYALVDNTSQKKHGRIPKTGRKQAKYVERKEFSDDSLEGDIRDWHGRVSRGTQDKFRREDAFSDDSLEESSHRPLRRVHIRKRGTYFEKEEAISDDSLDNSSLQQKRISGGSQAKFRDDEVSDDMLEGSTYQQQTGSYRSRESKFLDGEGAVSDDLLEDNTCQQHRRIFRTKQAKFVERENATSDDSLEDTIRQQRRVIPRSKRSKFIEREDAISDDLLEDDTNLKHRTIPRSRKAKFAEREDFSVDLQEDDGQWQQRKAPRGKQTKFIESEDVSDDLQEEDAHWQLRKTSRCKQAASTESGDVSDDLDDEGNTRWQPKKTPRMKQAKFIEREDVSDDLHEDDSGWQPRKIPRGKQAKLIEREDAVSDDLLEDNSNKQHRRNLRSKQRKPVTLRKMKRGAVQRVKQGTARLKKNESLQSIKQGKQTKQETPRFSNAKFEHNARQQLESGAEEELEGGPSTRLRKRPSKPSKESETKLKEKLQNNRKKVKSGSAGKPPNGQKNVKHKDEEAEYQCDIEGCTMSFGSKQELAVHKRNICPVKGCGKTFFSHKYLVQHRRVHLDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCGEEGCGQTFRFVSDFSRHKRKTGHSVKKSSKRLI >Manes.03G122800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25020807:25022000:1 gene:Manes.03G122800.v8.1 transcript:Manes.03G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLPSLSQPNPLFTNQNLSQFSINPSIIIIQNPQKTHFFSIKCTSTSSPEPTINEEDPQNPTPYSTTLNNSETLPIEKRRRSEILRERKLKQELTKAEPPNFEIGWKRTKQIEVEKPKGYVIMDFLGKLEELMGKEFGSTALLAKAGEIVAERAREEAEVLRDEGEVEERMVTELFRVLKLMEMDLAMVKAAVKEETLGERLEQAKARCRQAILVANSF >Manes.09G004366.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1136557:1139580:1 gene:Manes.09G004366.v8.1 transcript:Manes.09G004366.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLSPFTLLLDDILQLGCTVRLNDCHSSSSELLLLLLMHIRLRALSLHSLYKDQISMAKFLYHLLLIKFLYLLLLSSSAYTSATNYSINCGSESTVSLLDDRTFVGDENSDSFSAGNGVSISSASVLPADKFRIYFKPDTETSFAFVNALEVLILPNDFIKDEYAVAVPPLGTVNGTFLLSNAFQTLYRIDVGQDARISNDPFWRDWVGDDPYLIPGSSAKNCTFYSGKLNQAPGAVEDIAPDLVYKTCKEVSMDNGGASNFSNITWSFNVRKKAKLLVRLHFCDTFSVSPGTLKFDLYIYTKFNWVIDPSNVTAGTLAAPIFWDCVLESDDSGYVNFSIGPNVDSEKKDAFLHGLEIMEFLMTNTSMDLDHLRFGHRSKRLNLVIGLSVGGVGLISILIILFLFGLRRRRAKPVKALFLKDVVPPGRGRPHSWITSATVISSPVPNLNLKLKMPFSDIIAATRDFDNELLIGEGGFGKVYKGTLPNGIKVAVKRSDSSHGQGLPEFQTEVTVLSKIRHRHLVSLIGYCYEGSEMTLVYEFMEKGTLREHLYTWKNNSKSSSVLPKLTWKQRLEICIGAAKGLHYLHTGSDGGIIHRDVKSTNILLDEHYVAKVADFGLSQAGPPDPDHFRTGLIGSFGYLDPEYVRTLELTDKSDVYSFGVVLLEVVCARPPIVNSSRREEINLAEWAMLWQKKGQLEKIMDPSLAGEINPKSLRKFGEIAEKCLEVEGAKRPTMLDVYWDLEYALQLQHSAVPREPHEDSTTDVSSAFVMTLSYNSFSNEEGCLQIGDDDESDTKAMFSQLMIDGPR >Manes.09G004366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1136482:1139582:1 gene:Manes.09G004366.v8.1 transcript:Manes.09G004366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLSPFTLLLDDILQLGCTVRLNDCHSSSSELLLLLLMHIRLRALSLHSLYKDQISMAKFLYHLLLIKFLYLLLLSSSAYTSATNYSINCGSESTVSLLDDRTFVGDENSDSFSAGNGVSISSASVLPAGTSPLYQTARIYRQLSYYELTIADNGTYLLRFHFLAFSSEGTNLSDALFSVSTSKFLLLSNFSVKNTTHLPVIKEFFLTINADKFRIYFKPDTETSFAFVNALEVLILPNDFIKDEYAVAVPPLGTVNGTFLLSNAFQTLYRIDVGQDARISNDPFWRDWVGDDPYLIPGSSAKNCTFYSGKLNQAPGAVEDIAPDLVYKTCKEVSMDNGGASNFSNITWSFNVRKKAKLLVRLHFCDTFSVSPGTLKFDLYIYTKFNWVIDPSNVTAGTLAAPIFWDCVLESDDSGYVNFSIGPNVDSEKKDAFLHGLEIMEFLMTNTSMDLDHLRFGHRSKRLNLVIGLSVGGVGLISILIILFLFGLRRRRAKPVKALFLKDVVPPGRGRPHSWITSATVISSPVPNLNLKLKMPFSDIIAATRDFDNELLIGEGGFGKVYKGTLPNGIKVAVKRSDSSHGQGLPEFQTEVTVLSKIRHRHLVSLIGYCYEGSEMTLVYEFMEKGTLREHLYTWKNNSKSSSVLPKLTWKQRLEICIGAAKGLHYLHTGSDGGIIHRDVKSTNILLDEHYVAKVADFGLSQAGPPDPDHFRTGLIGSFGYLDPEYVRTLELTDKSDVYSFGVVLLEVVCARPPIVNSSRREEINLAEWAMLWQKKGQLEKIMDPSLAGEINPKSLRKFGEIAEKCLEVEGAKRPTMLDVYWDLEYALQLQHSAVPREPHEDSTTDVSSAFVMTLSYNSFSNEEGCLQIGDDDESDTKAMFSQLMIDGPR >Manes.08G034700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3354798:3360561:-1 gene:Manes.08G034700.v8.1 transcript:Manes.08G034700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTLYFKEHEGIIHNPIGQLSSVPSVPWWSTFGSQSACGESFGILKPSSMEQQPSTGGLTAMKQASRGTEQGLDKGNTTQFPIFPGDCKTSGYGQKSPQRAISLQTALPEYRAHIDLGFGQPMIYAKYPHADQCYGVFSTYGPQISGRIMLPMNMTTDDGPIFVNPKQYHGIIRRRKTRAKAVLLESKTTKKRKPYMHLSRHLHAMRRPRGIGGRFLNTKTSNDAINETEANKAGGGQIYQRTGSQSSEVLQSDSGTLNSSKEASGGGSNLSGSEVTSMYTRRNLDHFAINHLASQVQAFSVMMDGGHGIIMSNKWVAAADNCCNLKV >Manes.08G034700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3354809:3360561:-1 gene:Manes.08G034700.v8.1 transcript:Manes.08G034700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTLYFKEHEGIIHNPIGQLSSVPSVPWWSTFGSQSACGESFGILKPSSMEQQPSTGGLTAMKQASRGTEQGLDKGNTTQFPIFPGDCKTSGYGQKSPQRAISLQTALPEYRAHIDLGFGQPMIYAKYPHADQCYGVFSTYGPQISGRIMLPMNMTTDDGPIFVNPKQYHGIIRRRKTRAKAVLLESKTTKKRKPYMHLSRHLHAMRRPRGIGGRFLNTKTSNDAINETEANKAGGGQIYQRTGSQSSEVLQSDSGTLNSSKEASGGGSNLSGSEVTSMYTRRNLDHFAINHLASQVQAFSVMMDGGHGIIMSNKWVAAADNCCNLKV >Manes.08G034700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3354797:3360636:-1 gene:Manes.08G034700.v8.1 transcript:Manes.08G034700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTLYFKEHEGIIHNPIGQLSSVPSVPWWSTFGSQSACGESFGILKPSSMEQQPSTGGLTAMKQASRGTEQGLDKGNTTQFPIFPGDCKTSGYGQKSPQRAISLQTALPEYRAHIDLGFGQPMIYAKYPHADQCYGVFSTYGPQISGRIMLPMNMTTDDGPIFVNPKQYHGIIRRRKTRAKAVLLESKTTKKRKPYMHLSRHLHAMRRPRGIGGRFLNTKTSNDAINETEANKAGGGQIYQRTGSQSSEVLQSDSGTLNSSKEASGGGSNLSGSEVTSMYTRRNLDHFAINHLASQVQAFSVMMDGGHGIIMSNKWVAAADNCCNLKV >Manes.08G034700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3355247:3356793:-1 gene:Manes.08G034700.v8.1 transcript:Manes.08G034700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSNHLLTDRGFNFMITFYVYGFLSGDCKTSGYGQKSPQRAISLQTALPEYRAHIDLGFGQPMIYAKYPHADQCYGVFSTYGPQISGRIMLPMNMTTDDGPIFVNPKQYHGIIRRRKTRAKAVLLESKTTKKRKPYMHLSRHLHAMRRPRGIGGRFLNTKTSNDAINETEANKAGGGQIYQRTGSQSSEVLQSDSGTLNSSKEASGGGSNLSGSEVTSMYTRRNLDHFAINHLASQVQAFSVMMDGGHGIIMSNKWVAAADNCCNLKV >Manes.10G006300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:789962:794052:1 gene:Manes.10G006300.v8.1 transcript:Manes.10G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLSAVPSTSWNPSPKGLCLGIMEFKSLQMSALDSEKLRLKLQFGLQKLQKGIPTISRTILYARQKPQKDPTGKKQQSYPQNVDLPPILPKKKKKPYPIPFMLIKKAARRDKKLAEMGIEKPLEPPKNGLLVPDLIPVAHEVLDAWKVLIKGVAQLLHVIPVYGCSACSEVHVAHAGHHIQDCLGPTSDKRQSFHAWIKGSINDVLVPIESYHLYDPFGRRIKHETRFDYDRIPAVVELCIQAGVDIPEYPSRRRTKPVRMLGKKVIDRGGFVEEPTPWRSANPSTLIDFDTYRACERFSPPLLEDVPKIAQETVDAYEIVRWGVRKLMRKYTVKACGYCSEVHVGPWGHNVKLCGEYKHQWRDGKHGWQDATVEEVIPPNYAWHVRDPKGPPLKSALKKFYGKAPAVVEMCMQAGARIPEKYKPMMRLDIIIPETDEAKLIA >Manes.03G153400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28138874:28139281:1 gene:Manes.03G153400.v8.1 transcript:Manes.03G153400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVITLLMLVMSSAVGTTGTTGLPFQKIRTVNITNNLGANIELNVHCKSKKNDLGQHQLPYKGFWSFQFLSNLWETTLFYCSMNWEQISHSFDIYVEARDHVKCVVCQWSIQAKGPCMLNRTSQKYDICYPWNP >Manes.06G033824.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:9233276:9233737:-1 gene:Manes.06G033824.v8.1 transcript:Manes.06G033824.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRPGQGTFGGRFGGRKSLQSRKSGRFGGTFGGRNSQTETKLMHVRRHFRRPKLPDRDESLLSGAGFGSRKACLPSHVRRPKVLRLPNLVSAKGQKLGSFCTFRLQTFQTCIKPILQHTNTSIHVPRGLKTT >Manes.05G076800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6242936:6249780:-1 gene:Manes.05G076800.v8.1 transcript:Manes.05G076800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSIAARKSLKRKLEHDSLEGRDDRKFRAAEAEAEPDTTGQDLALEIQAHVDILNSTFSSLEADRAAAKRASHFLSQLAKNEEIVNLIVDCGAVPALVMHLMAPPPLRGESTPKQYEHEVEKESAFALGLIAVKPEHQQLIVDAGALSHLVDLLRRHKSSSNSRAINGVIRRAADAITNLAHENNVIKTCVRIEGGIPPLVELLEFVDVKVQRAAAGALRTLAFKNDENKNQIVQCNALPTLILMLQSEDPTVHCEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSSCSESQREAALLLGQFAAADSDCKVHIVQRGAVRPLIDMLESPDAQIKEMSAFALGRLAQEMHNQAGIAHSGGIVPLLKLLESKNGSLQHNAAFALYGLADNEDNVAELVKVGGFQKLQDGEFIVQATKDCVDKTLKRLEEKVHGQVLNHLLYLMRVAEKSVQGQIALALAHLCAPDDRKAIFLDNNGLELLLGLLESGNQMQQHAGAAALYKLAAKTTSVSLLDAAPLSPTPQVYLGEQFVNNPTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDVEIPNIRWDVFELMMRFIYTGSVDVDIEVAQDLLRAADQYLLEGLKLLCEYTIAQDISVENVSLMYELSEGFNARSLMEACILFIFEQFDKLSSKPWCSHLIQHILPEKFWS >Manes.05G076800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6242936:6249780:-1 gene:Manes.05G076800.v8.1 transcript:Manes.05G076800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSIAARKSLKRKLEHDSLEGRDDRKFRAAEAEAEPDTTGQDLALEIQAHVDILNSTFSSLEADRAAAKRASHFLSQLAKNEEIVNLIVDCGAVPALVMHLMAPPPLRGESTPKQYEHEVEKESAFALGLIAVKPEHQQLIVDAGALSHLVDLLRRHKSSSNSRAINGVIRRAADAITNLAHENNVIKTCVRIEGGIPPLVELLEFVDVKVQRAAAGALRTLAFKNDENKNQIVQCNALPTLILMLQSEDPTVHCEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSCSESQREAALLLGQFAAADSDCKVHIVQRGAVRPLIDMLESPDAQIKEMSAFALGRLAQEMHNQAGIAHSGGIVPLLKLLESKNGSLQHNAAFALYGLADNEDNVAELVKVGGFQKLQDGEFIVQATKDCVDKTLKRLEEKVHGQVLNHLLYLMRVAEKSVQGQIALALAHLCAPDDRKAIFLDNNGLELLLGLLESGNQMQQHAGAAALYKLAAKTTSVSLLDAAPLSPTPQVYLGEQFVNNPTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDVEIPNIRWDVFELMMRFIYTGSVDVDIEVAQDLLRAADQYLLEGLKLLCEYTIAQDISVENVSLMYELSEGFNARSLMEACILFIFEQFDKLSSKPWCSHLIQHILPEVRDYFTKALTKPIQANLKQV >Manes.05G076800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6242936:6249780:-1 gene:Manes.05G076800.v8.1 transcript:Manes.05G076800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSIAARKSLKRKLEHDSLEGRDDRKFRAAEAEAEPDTTGQDLALEIQAHVDILNSTFSSLEADRAAAKRASHFLSQLAKNEEIVNLIVDCGAVPALVMHLMAPPPLRGESTPKQYEHEVEKESAFALGLIAVKPEHQQLIVDAGALSHLVDLLRRHKSSSNSRAINGVIRRAADAITNLAHENNVIKTCVRIEGGIPPLVELLEFVDVKVQRAAAGALRTLAFKNDENKNQIVQCNALPTLILMLQSEDPTVHCEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSSCSESQREAALLLGQFAAADSDCKVHIVQRGAVRPLIDMLESPDAQIKEMSAFALGRLAQEMHNQAGIAHSGGIVPLLKLLESKNGSLQHNAAFALYGLADNEDNVAELVKVGGFQKLQDGEFIVQATKDCVDKTLKRLEEKVHGQVLNHLLYLMRVAEKSVQGQIALALAHLCAPDDRKAIFLDNNGLELLLGLLESGNQMQQHAGAAALYKLAAKTTSVSLLDAAPLSPTPQVYLGEQFVNNPTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDVEIPNIRWDVFELMMRFIYTGSVDVDIEVAQDLLRAADQYLLEGLKLLCEYTIAQDISVENVSLMYELSEGFNARSLMEACILFIFEQFDKLSSKPWCSHLIQHILPEVRDYFTKALTKPIQANLKQV >Manes.09G046100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8086940:8088513:-1 gene:Manes.09G046100.v8.1 transcript:Manes.09G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGWKANVEIPPSCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRVKSLRLSTSDVAHSRSFGAFSSKGVTSVESRSSSMTSDGSHIDLALVYANFMNPHQPPPSKSTATTTTTATSSTGFEMQELGTGEFDQPLDFPTIPNSNLESSSVQLHGSLDGCLTTISGSYMEAPSDDNNNHQLMCYYGADSSTHNHQAHDNNVQQCLGTHHESNHNGLPPLPGEEVSSHEEILWSNSHLMCNDHTMQVTQDPVVGPETQDSNLLFGSWNPFDLSSVNISSQGLDN >Manes.01G231800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39581949:39586194:-1 gene:Manes.01G231800.v8.1 transcript:Manes.01G231800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATPGFLIPHHYHLSKQLPPFDSTFFRPKIRDSRLSHFIPTIKQVRTVPVVPVVRAQSFPDYIPDAKFYKVEAILRPWRVSQVSSALLKIGIRGVTVSDVRGFGAQGGLAERQGGSEFSEDKFVAKVKMEIVVSKEQVEAVIQKIIEEARTGEIGDGKVFVVPVSDVIRVRTGERGEQAERMTGGRSDMTSA >Manes.15G174500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14938398:14944138:-1 gene:Manes.15G174500.v8.1 transcript:Manes.15G174500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMATSTTSGGSGSTKGTSRSSSTREDIAKAMVAEQISQAVQSTSNLLHLMQQSSASQAQLMKLPKNLLAKASVVKNTGQVLEQMPRVISSLDAHMENGLESVPHLRTVVQLLANMESCQLNFASQAPLPQEETELPNQPSEVG >Manes.15G174500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14938463:14944718:-1 gene:Manes.15G174500.v8.1 transcript:Manes.15G174500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMATSTTSGGSGSTKGTSRSSSTREDIAKAMVAEQISQAVQSTSNLLHLMQQSSASQAQLMKLPKNLLAKASVVKNTGQVLEQMPRVISSLDAHMENGLESVPHLRTVVQLLANMESCQLNFASQAPLPQEETELPNQPSEVG >Manes.15G174500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14938463:14944718:-1 gene:Manes.15G174500.v8.1 transcript:Manes.15G174500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMATSTTSGGSGSTKGTSRSSSTREDIAKAMVAEQISQAVQSTSNLLHLMQQSSASQAQLMKLPKNLLAKASVVKNTGQVLEQMPRVISSLDAHMENGLERKLSSQINHQRWAN >Manes.15G174500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14938432:14944718:-1 gene:Manes.15G174500.v8.1 transcript:Manes.15G174500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMATSTTSGGSGSTKGTSRSSSTREDIAKAMVAEQISQAVQSTSNLLHLMQQSSASQAQLMKLPKNLLAKASVVKNTGQVLEQMPRVISSLDAHMENGLESVPHLRTVVQLLANMESCQLNFASQAPLPQEETELPNQPSEVG >Manes.04G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1443681:1446303:1 gene:Manes.04G010800.v8.1 transcript:Manes.04G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLAALKLFCVQLKDARETPSQNALTLGGILFQRAWLQGILVSNDGDGRLLLDDGTGVIQISLSGDFRVRRWDTGMYVMVVGGYFVGTGESPMIKVHKIVDLSPFPDREAMWYLEVIEAYKLFYQPLIEEFM >Manes.04G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2092362:2099121:-1 gene:Manes.04G016300.v8.1 transcript:Manes.04G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAKLLYIVVVDEEEKNEKGKESFRYTRPVLQSTLQLMGCKARHAFKTSQRVFELMRNEPSSDVLLPKEAAVSGVDASKGSGWKDYGTRSLSFELYKRRTTVIVRRETFINVVCDSLNEYKYVGPNQRADLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEYLDPKAVAEAKAKKKAKKLAGIANSRPKDEVCDSSSMMKSDSQALDMGSCASEYISPKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATLFSCLRRREAGEPLYDPATNTVAVIDEEYRNQRAANSMSSKGMFQLIQRKGSSRHLMALVNTDGSVAKAWPVGSVDSSGKPVLGCGTYNGIGIPMYGPLQIGKAEPVNLQFGHFGISAWPSDGGTSHAGSFDESRADWTDTGSKHHSSCCSSPRMSDGPAKERKEEHSVHGSDEEVDDPPEMDSDEDYSDDDQRVHEEIGSVDEESTKSDEEYDDLAMQDVLENGYWSDDEESKDKHAQNLDRFVRTKSEPMREPFCSYPSLLVEKAGRRLSNSGSFKMRKRSLSIPAIGKHGSVASGPILSGTPHR >Manes.03G203000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31874886:31876974:-1 gene:Manes.03G203000.v8.1 transcript:Manes.03G203000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTKEKGEKSGIIEMWAKKHSLLFTGATRHPFILSIRDGSVDLSSFKRWLGQDYVFVREFVPFVASVLIKASKESDDSNDMEVILGGLASLNDEIAWFKSEASKWDVPLSNTVVHKANQNYCRFLENLMSPDVDYAVAITTFWAIETVYQESFAHCLEDGSKTPPELQDTCQRWGNDDFGEYCRSLQEIANRYLEKASSDVLTEAEVTFLRVLEHEIEFWNMSRGGV >Manes.12G071900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7766155:7774120:1 gene:Manes.12G071900.v8.1 transcript:Manes.12G071900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVAATINILTAFAFFLAFAILRIQPVNDRVYFPKWYIKGLRSSPLGTGAFVGKLVNLDFRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLIGFWTHLVMAYAFTFWTCYVLNKEYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESISELVEHFFLVNHPDHFLTHQVVYNANKLSELVNKKKKMRNWLDFYQLKYSRNQSKKPSLKTGFLGLWGTRVDAIDYYTSEIEKLSKEISLERDKVMNNPKAIMPAAFVSFRTRWGAAVCAQTQQSRNPTVWLTEWAPEPRDIYWDNLALPFVSLAVRRLIVAAAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKSLIEMKVVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISISGLERRSATRYYIFQFINVFLGSIITGTAFQQLNSFIHQSANDIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIVALVVSQLLLMGLLSTKHAAQSTPLLVTLPVLTIWFHRFCKGRYEPAFVKYPLQEAMMKDTLERAREPNLNLKSFLQNAYIHPVFKDGDDSDNDETTEAGEKEPVLVPTKRQSRRNTPLASKRSGSFSSLLPEDQELS >Manes.12G071900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7766155:7774120:1 gene:Manes.12G071900.v8.1 transcript:Manes.12G071900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVAATINILTAFAFFLAFAILRIQPVNDRVYFPKWYIKGLRSSPLGTGAFVGKLVNLDFRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLIGFWTHLVMAYAFTFWTCYVLNKEYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESISELVEHFFLVNHPDHFLTHQVVYNANKLSELVNKKKKMRNWLDFYQLKYSRNQSKKPSLKTGFLGLWGTRVDAIDYYTSEIEKLSKEISLERDKVMNNPKAIMPAAFVSFRTRWGAAVCAQTQQSRNPTVWLTEWAPEPRDIYWDNLALPFVSLAVRRLIVAAAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKSLIEMKVVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISISGLERRSATRYYIFQFINVFLGSIITGTAFQQLNSFIHQSANDIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIVALVVSQLLLMGLLSTKHAAQSTPLLVTLPVLTIWFHRFCKGRYEPAFVKYPLQEAMMKDTLERAREPNLNLKSFLQNAYIHPVFKDGDDSDNDETTEAGEKEPVLVPTKRQSRRNTPLASKRSGSFSSLLPEDQELS >Manes.12G071900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7766148:7774120:1 gene:Manes.12G071900.v8.1 transcript:Manes.12G071900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVAATINILTAFAFFLAFAILRIQPVNDRVYFPKWYIKGLRSSPLGTGAFVGKLVNLDFRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIAFLAFTILVPVNWTNSTLKHSNLTYSDLDKLSISNIPMGSTRFWTHLVMAYAFTFWTCYVLNKEYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESISELVEHFFLVNHPDHFLTHQVVYNANKLSELVNKKKKMRNWLDFYQLKYSRNQSKKPSLKTGFLGLWGTRVDAIDYYTSEIEKLSKEISLERDKVMNNPKAIMPAAFVSFRTRWGAAVCAQTQQSRNPTVWLTEWAPEPRDIYWDNLALPFVSLAVRRLIVAAAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKSLIEMKVVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISISGLERRSATRYYIFQFINVFLGSIITGTAFQQLNSFIHQSANDIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIVALVVSQLLLMGLLSTKHAAQSTPLLVTLPVLTIWFHRFCKGRYEPAFVKYPLQEAMMKDTLERAREPNLNLKSFLQNAYIHPVFKDGDDSDNDETTEAGEKEPVLVPTKRQSRRNTPLASKRSGSFSSLLPEDQELS >Manes.12G071900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7766155:7774120:1 gene:Manes.12G071900.v8.1 transcript:Manes.12G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVAATINILTAFAFFLAFAILRIQPVNDRVYFPKWYIKGLRSSPLGTGAFVGKLVNLDFRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIAFLAFTILVPVNWTNSTLKHSNLTYSDLDKLSISNIPMGSTRFWTHLVMAYAFTFWTCYVLNKEYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESISELVEHFFLVNHPDHFLTHQVVYNANKLSELVNKKKKMRNWLDFYQLKYSRNQSKKPSLKTGFLGLWGTRVDAIDYYTSEIEKLSKEISLERDKVMNNPKAIMPAAFVSFRTRWGAAVCAQTQQSRNPTVWLTEWAPEPRDIYWDNLALPFVSLAVRRLIVAAAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKSLIEMKVVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISISGLERRSATRYYIFQFINVFLGSIITGTAFQQLNSFIHQSANDIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIVALVVSQLLLMGLLSTKHAAQSTPLLVTLPVLTIWFHRFCKGRYEPAFVKYPLQEAMMKDTLERAREPNLNLKSFLQNAYIHPVFKDGDDSDNDETTEAGEKEPVLVPTKRQSRRNTPLASKRSGSFSSLLPEDQELS >Manes.12G071900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7766134:7774120:1 gene:Manes.12G071900.v8.1 transcript:Manes.12G071900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVAATINILTAFAFFLAFAILRIQPVNDRVYFPKWYIKGLRSSPLGTGAFVGKLVNLDFRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIAFLAFTILVPVNWTNSTLKHSNLTYSDLDKLSISNIPMGSTRFWTHLVMAYAFTFWTCYVLNKEYEIVASMRLHFLASEHRRPDQFTVLVRNVPPDPDESISELVEHFFLVNHPDHFLTHQVVYNANKLSELVNKKKKMRNWLDFYQLKYSRNQSKKPSLKTGFLGLWGTRVDAIDYYTSEIEKLSKEISLERDKVMNNPKAIMPAAFVSFRTRWGAAVCAQTQQSRNPTVWLTEWAPEPRDIYWDNLALPFVSLAVRRLIVAAAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKSLIEMKVVKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISISGLERRSATRYYIFQFINVFLGSIITGTAFQQLNSFIHQSANDIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIVALVVSQLLLMGLLSTKHAAQSTPLLVTLPVLTIWFHRFCKGRYEPAFVKYPLQEAMMKDTLERAREPNLNLKSFLQNAYIHPVFKDGDDSDNDETTEAGEKEPVLVPTKRQSRRNTPLASKRSGSFSSLLPEDQELS >Manes.06G121000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25222422:25226681:1 gene:Manes.06G121000.v8.1 transcript:Manes.06G121000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELTEQETALYDRQIRVWGADAQRRLSKSHILVYGMKGTVAEFCKNIVLAGVGSLTLVDDRAVTEDALSSNFLIPPNENMYDGKTLAEVCCNSLKEFNSMVRVSVEKGDLSRFGGDFFDKFDIVIVSCCTLTTKKLINEKCRKLSKRVAFYAVDCRDSCGEMFVDLQKYIYTKKKLDENNQPTAIERELQYPSFQESISVPWRKLPRKVSKLYFAMRVIERYEEVEGRNPGQISIDDLPAVLKLKKELCEAQSMNESHIPNALMEKLVMGRREFPPVCAIIGGILGQEVIKAISGKGDPLQNFFFFDAMDGKGIIENISPPNT >Manes.01G242800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40420165:40422024:1 gene:Manes.01G242800.v8.1 transcript:Manes.01G242800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILCNPFFLLFVIGGLLFLLRVLLISTGLIYTTKKWWKSIEDRFHVYQLYKVPEFNESMQENQLYSKVSVYLNSLASMEDSDFTNLFTGKKSDEIILLLNSGQVIDDDFLGASVSWINEVKTDASRSRAFVLKIRKADKRRILQPYLQHIHTVFYEFEQKKQRELKLYMNTDRDQNQNPRWRFVPFNHPSTFETIAMPSDLKNKLKSDLESFLKAKQYYHRLGRVWKRSYLLYGSSGTGKSSFIAAMANFLGYDVYDIDLSRVLDDSDLKLLLLQTIRKSVIVVENLDRFLLDKSTAVSFSGVLNFMDGILNSCCADERIMVFTVNSKDHIDPAILRPGRIDVHIHFPLCDFSAFKTLSNSYLGVKDHKLFPQVEEIFQTGASLSPAEISELMIANRNSPSRALKSVITALQTEGELRGSLNVGRRLLDTGSRNSTDDSGEQLGIFSKENFNAIKYITKLYKLLRVRSKGKAQSHDSTPGQKDGL >Manes.15G089000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6805569:6809149:-1 gene:Manes.15G089000.v8.1 transcript:Manes.15G089000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLKMRHTFESLGYQSGFYLSQLFSSTRNTSSSSSIPSKQSPIPTRPPPPLLNWGQRPLQAAASMVSSPNFQSPAARLRFPQSKDEPHMFILPHSAETRMEEMMGEHENDIKWPNGLSFFNALTGRTDDAKLLFNPESLGNKADQNHHPLILEGKNTTSNPDGSNMHSAGSTNPNEFLSLDSHPDSARKIENKFKRSFTLPARMAPSSSSTSVDHHQQQAVEYRNSEAGMYPDVMETFLE >Manes.15G089000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6805569:6809149:-1 gene:Manes.15G089000.v8.1 transcript:Manes.15G089000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFIFSSYLHFLFLFCRRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVGDCLEEIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLKMRHTFESLGYQSGFYLSQLFSSTRNTSSSSSIPSKQSPIPTRPPPPLLNWGQRPLQAAASMVSSPNFQSPAARLRFPQSKDEPHMFILPHSAETRMEEMMGEHENDIKWPNGLSFFNALTGRTDDAKLLFNPESLGNKADQNHHPLILEGKNTTSNPDGSNMHSAGSTNPNEFLSLDSHPDSARKIENKFKRSFTLPARMAPSSSSTSVDHHQQQAVEYRNSEAGMYPDVMETFLE >Manes.15G089000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6805569:6809149:-1 gene:Manes.15G089000.v8.1 transcript:Manes.15G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGADRSKEAVGMMALHEALRSVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVGDCLEEIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLKMRHTFESLGYQSGFYLSQLFSSTRNTSSSSSIPSKQSPIPTRPPPPLLNWGQRPLQAAASMVSSPNFQSPAARLRFPQSKDEPHMFILPHSAETRMEEMMGEHENDIKWPNGLSFFNALTGRTDDAKLLFNPESLGNKADQNHHPLILEGKNTTSNPDGSNMHSAGSTNPNEFLSLDSHPDSARKIENKFKRSFTLPARMAPSSSSTSVDHHQQQAVEYRNSEAGMYPDVMETFLE >Manes.13G104500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30471627:30475248:-1 gene:Manes.13G104500.v8.1 transcript:Manes.13G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERTSRKSTTSTTAQLQHKLTTPLRRSNRLIHQQRYLNAENPKLSNIHADNKQKAYKELRKYDGKPSIGTNPVLGLRRSPRFSKRVEGVSNIRRSLRLSLLGNSAACNEKSEHDKSNMSSESESSKLRHSASHKSTSSKSNKKPSNRVLDKSTDYKAVLLNKEKEKVGSDSCDEIVQRIDRRTQGCDFEVVMGLKAAQGKFAFERSQGSRVIRKRKREEDSYGSVKGWTREQEVALQKAYFAAKPTPNFWKKVSKLVPGKTAQDCFEKIHSDHITPPQPLPQSRTKRMSSSPLGCFSLSAGKLLSPSDLMVKRSTCYKQKSRIAQRTVRQLLQKHNRKDQNYEADLFSILEPNVNPSGHGSQQNDVSTPQHLQEKQGFLQKCHERCSGQKKPLSRFRSSCWTDLVSPPVLKQVKNRALHEKYIDQLHCREAKRKAACARAGKENRGQANVQKIDVVRAAKNALVSDARDAINKLQDLQTDVKGDSTDLDEDRVINSDDEIDGF >Manes.01G251200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40987405:40992611:-1 gene:Manes.01G251200.v8.1 transcript:Manes.01G251200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELIRPENPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHTEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLDVSTMELKVSCLNQQLFTCQTYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQTDTRQNPFQARSRFQPSGSPASKTLSWHLASETKSTLKGASQTLMNAENAKTSGKSSAVFQLLADKEENSRTKPSGALSQLSTGSPASGVVMQRREALEGSKPLTAFRSFDNPRREVVRAPARSKSVLSAFFVKQKIRN >Manes.01G251200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40987405:40992611:-1 gene:Manes.01G251200.v8.1 transcript:Manes.01G251200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPWSAVKVSSKLCRNMIVMVLILQELKNLRPQLYSAAEYCEKSYLHTEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLDVSTMELKVSCLNQQLFTCQTYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQTDTRQNPFQARSRFQPSGSPASKTLSWHLASETKSTLKGASQTLMNAENAKTSGKSSAVFQLLADKEENSRTKPSGALSQLSTGSPASGVVMQRREALEGSKPLTAFRSFDNPRREVVRAPARSKSVLSAFFVKQKIRN >Manes.01G251200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40987405:40992611:-1 gene:Manes.01G251200.v8.1 transcript:Manes.01G251200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELIRPENPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHTEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLDVSTMELKVSCLNQQLFTCQTYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQTDTRQNPFQARSRFQPSGSPASKTLSWHLASETKSTLKGASQTLMNAENAKTSGKSSAVFQLLDKEENSRTKPSGALSQLSTGSPASGVVMQRREALEGSKPLTAFRSFDNPRREVVRAPARSKSVLSAFFVKQKIRN >Manes.01G251200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40987405:40992611:-1 gene:Manes.01G251200.v8.1 transcript:Manes.01G251200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPWSAVKVSSKLCRNMIVMVLILQELKNLRPQLYSAAEYCEKSYLHTEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLDVSTMELKVSCLNQQLFTCQTYTDKEGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPHIQTDTRQNPFQARSRFQPSGSPASKTLSWHLASETKSTLKGASQTLMNAENAKTSGKSSAVFQLLDKEENSRTKPSGALSQLSTGSPASGVVMQRREALEGSKPLTAFRSFDNPRREVVRAPARSKSVLSAFFVKQKIRN >Manes.18G067800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6097502:6099549:-1 gene:Manes.18G067800.v8.1 transcript:Manes.18G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQTPTSPNGRKIAIPELDVSSKKRKWDDEPAQITEGIFEKISKPPEITKSFFETELQFETPLPSEWQRCLNIQSGQIHFYNTRTQKRTTRDPRRSPEPPSPGHMSLDLELNLQPCESQRKNNENNHNKKHNFGSSIQGLGDLFMDSSKGNNTSKGLKRSPSWLAFEGDDGEEMIATVCTRCHMLVMLCKSSPSCPNCKFMHPPDQNSPKLFKQRLSLLC >Manes.18G029733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2512924:2514078:-1 gene:Manes.18G029733.v8.1 transcript:Manes.18G029733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTMIKLFKVKEKQDEIAEIQMGTWEESSQEAKRWGTESLALAFSESPRLHTFSVFCTNCFNCFF >Manes.18G139666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16741432:16743468:-1 gene:Manes.18G139666.v8.1 transcript:Manes.18G139666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFDEFESWLEYSITKDIAYCLHCYLFSSSAVKGKSKIEYRCRLNASIVCLHYLLMQGLAFRGNNESEESLNQGNFIELLKVLVSCNEEINNVVLKNAPENLKLIVPTIKKDIINACAVEITNVIIRDLEDDLFSILVDECRDVSVKEQMGVVIRYFNEFGCVVERFFSIVHSLFSTHGLSVSSLRGQGYDGVSNMRGEFNGLKSLILREISSVYYVHTFFNTVAHLYNVVRGSCKRRDMLREKQREKDQDIVNAMQLVKVSKYHLQIVRDDGWEFSLLEVVQFCGKYNIVVSEIDDLYTMRGRSRRRTEKIINLHFYLNINLLLCMAFLDPKNSFSTFDVSKLIELAKFYPCEFSPVALLKLESQLENFVFDMRMDKKFSDVKETGALVENIIVTRKHNIFFLVYMLVKLSLLLPVTTATIERIFSTMHIIKNMLRNKMKNDLLNDCLITYIKRHVFVNIDNQDIMNRFQAMKIR >Manes.01G196700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37071921:37081376:1 gene:Manes.01G196700.v8.1 transcript:Manes.01G196700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATIETRLSKKITHVFAMNSNALLQQMDREQLQRFKGRVLLFQWLEDSLRLGEKVLEDSYYVGVDSEGKGDPVKSPVKESADGNTSGSDEPSPQKKMRSSLEGLVDGADKQNNAVKNSPDSPSSSDSLPFALSSTIMSPRTPRTPSQNSSLPYCPPDLNRNITEIFGKLINIYRAMGDDRRSFSYYKAITVIEKLPYKIESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRAISLFGEVWGIGPATALKLYEKGYRTLDDLKNDDSLTYAQKLGLKYFHDIRTRIPRNEVQEMEQLMQKAGEEILPGVVIICGGSYRRGKASCGDLDVVITHPDGKSHKGFLPRFVNHLKNMKFLREDLVFSIHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIHAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLYLATQASGGKRGAKASASLKFDTEKEVFDFLGFPWLEPHERNL >Manes.01G196700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37072055:37081376:1 gene:Manes.01G196700.v8.1 transcript:Manes.01G196700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRTKTNKNPSPEPNGMFSGMVVFLVENGVQSLRLQIWKQKLIQMGATIETRLSKKITHVFAMNSNALLQQMDREQLQRFKGRVLLFQWLEDSLRLGEKVLEDSYYVGVDSEGKGDPVKSPVKESADGNTSGSDEPSPQKKMRSSLEGLVDGADKQNNAVKNSPDSPSSSDSLPFALSSTIMSPRTPRTPSQNSSLPYCPPDLNRNITEIFGKLINIYRAMGDDRRSFSYYKAITVIEKLPYKIESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRAISLFGEVWGIGPATALKLYEKGYRTLDDLKNDDSLTYAQKLGLKYFHDIRTRIPRNEVQEMEQLMQKAGEEILPGVVIICGGSYRRGKASCGDLDVVITHPDGKSHKGFLPRFVNHLKNMKFLREDLVFSIHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIHAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLYLATQASGGKRGAKASASLKFDTEKEVFDFLGFPWLEPHERNL >Manes.01G196700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37071922:37081569:1 gene:Manes.01G196700.v8.1 transcript:Manes.01G196700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRTKTNKNPSPEPNGMFSGMVVFLVENGVQSLRLQIWKQKLIQMGATIETRLSKKITHVFAMNSNALLQQMDREQLQRFKGRVLLFQWLEDSLRLGEKVLEDSYYVGVDSEGKGDPVKSPVKESADGNTSGSDEPSPQKKMRSSLEGLVDGADKQNNAVKNSPDSPSSSDSLPFALSSTIMSPRTPRTPSQNSSLPYCPPDLNRNITEIFGKLINIYRAMGDDRRSFSYYKAITVIEKLPYKIESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRAISLFGEVWGIGPATALKLYEKGYRTLDDLKNDDSLTYAQKLGLKYFHDIRTRIPRNEVQEMEQLMQKAGEEILPGVVIICGGSYRRGKASCGDLDVVITHPDGKSHKGFLPRFVNHLKNMKFLREDLVFSIHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIHAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLYLATQASGGKRGAKASASLKFDTEKEVFDFLGFPWLEPHERNL >Manes.16G044801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7565043:7573959:1 gene:Manes.16G044801.v8.1 transcript:Manes.16G044801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIISSQHCNPPLGVQDHLFRARFNKLPRSGGFIFSNSQSAVTRYRLTTRKHRPIFSIPVDDTQDPDESEDEDSDKDFPKDEAGGVDSEMLRKNLERIVGTDDSAFSGIDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWRYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLLKLKERPRIGKAVSIFIDMDESGGRAREWIYK >Manes.16G044801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7565282:7568723:1 gene:Manes.16G044801.v8.1 transcript:Manes.16G044801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIISSQHCNPPLGVQDHLFRARFNKLPRSGGFIFSNSQSAVTRYRLTTRKHRPIFSIPVDDTQDPDESEDEDSDKDFPKDEAGGVDSEMLRKNLERIVGTDDSAFSGIDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWRYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLLKLKERPRIGKVN >Manes.16G044801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7565043:7573959:1 gene:Manes.16G044801.v8.1 transcript:Manes.16G044801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIISSQHCNPPLGVQDHLFRARFNKLPRSGGFIFSNSQSAVTRYRLTTRKHRPIFSIPVDDTQDPDESEDEDSDKDFPKDEAGGILFFQVDSEMLRKNLERIVGTDDSAFSGIDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWRYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLLKLKERPRIGKAVSIFIDMDESGGRAREWIYK >Manes.03G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2427746:2432410:-1 gene:Manes.03G030600.v8.1 transcript:Manes.03G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGSVFPVRIRAAAVAVFAFVFIFLSVFVSSANNGSQAKCSRKCVAENCNSVGIRYGKYCGVGWTGCPGEKPCDDLDACCKIHDECVEKKGLMSVKCHEKFKSCIKKVKKSGKVGFSRDCPYETAVPTMVQGMDMAIMLSQLGSPKAQKIPIKIQL >Manes.15G017750.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1455888:1464378:1 gene:Manes.15G017750.v8.1 transcript:Manes.15G017750.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFHIYEAIGRGKYSAVYKGRKKKTIEYFAIKSVDKSQKSKLLQEVRILHSLDHPNVLKFYSWYETSAHLWLVLEYCVGGDLMTLLRQDCQLPEDSIHDLARDLVRALQYLHSKGIIYCDLKPSNILLDENGRTKLCDFGLARKLSEISKTPSSKLPQAKRGTPCYMAPELFEDGGVHSYASDFWALGCVLYECYTGRPPFVGREFTQLVKSILSDPTPALPGNPTRPFANLVNSLLVKDPAERIHWTELCGHAFWRTKFAPVSLPPQPAFDNMIELYTKPCLSERNGDRTLQNKTPPKYREKDAKGTPKPDENSILGSRGHETPVKSTFSGRKIQTKASGRVVEEKLRDPSIANRGVNLLRLSRIAKSNLQRENEKENYRRPMPNSSENDAEVKIEDTDMELDFNENTEDDTHEEPDGTEHPGSIPEEVESNTHQLETSPVVNMPASDESSTNDHESSSEHVDMAASPLSTSHQVKTQRTKEALGAAEFDFSKSSNNLSEVLWHPSDLSVRPVMPSRKTDKVSEGTPSLPFEALQPSDFVKMSKEQLDAFNNRIISIFSGNTSIGEKQNLIRYLEILSSNVDAANILTNGPIMLMVAKMLRLAKASTLRVQLASLIGLLIRHSTFIEDDLANSGILGSLTDGLRDRQEKVRRFSMAALGELLFYISTQTEQSRDNNTPESPSKDNRSTCGWQVPNSLISLVSSVLRKGEDDITQLYALRTIENICSQGGHWAARFTSSDVISNLCYIYKATGKQESMRLTAGSCLVRLARFNPPTIQSVLDKLSFKDTAAALVKGSPREQQICLNLLSMAMLGSHLFTNIGRHILSLAEDKNLVPSLLSLTEQGSEILRGKTLLFMALLCKNGRRWLPQFFCNPRLLSAVDRLAKEKDSYLQQCLDAFVRIVASTVPSLLDVVTADIQQMMGGRRHGQISAMSSRVAPKTNIHLFSVLHLLGSSSFKRRVVNNQILQQLANLVKVLETPFQGRDDFQITLLRVLESVAEESPLILESSDVFIGEILPSLAILYKGNKDGDARFLCLKILFDVMVIFLNEPFDDEQRSQALKAISNIHFLPLYPTLIEDEDPIPVYAQKLLVMLIEFDYIKISDILHLKTVSQCFEFLLGDLSSANVNNVQLCLALASAPEMDSKLLSQLKVVRKVGNLLEFVYAKDMEDFLEPTLGLCRAFLLCSVGRKRGLAYREEPALLNDVSCEAGGLVDQQQCIRDITEFGGNLSILLELSGSSAATVADIASECVVLLLKAAPREATTGFLTNLPKVSAILESRRKGVPHLLLRRMLYALGYSCRQYLLHAMILSISIPEVSRIEAIVSELKGSSIADLSNAALFVASELQRMPRCI >Manes.15G017750.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1455888:1464378:1 gene:Manes.15G017750.v8.1 transcript:Manes.15G017750.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFHIYEAIGRGKYSAVYKGRKKKTIEYFAIKSVDKSQKSKLLQEVRILHSLDHPNVLKFYSWYETSAHLWLVLEYCVGGDLMTLLRQDCQLPEDSIHDLARDLVRALQYLHSKGIIYCDLKPSNILLDENGRTKLCDFGLARKLSEISKTPSSKLPQAKRGTPCYMAPELFEDGGVHSYASDFWALGCVLYECYTGRPPFVGREFTQLVKSILSDPTPALPGNPTRPFANLVNSLLVKDPAERIHWTELCGHAFWRTKFAPVSLPPQPAFDNMIELYTKPCLSERNGDRTLQNKTPPKYREKDAKGTPKPDENSILGSRGHETPVKSTFSGRKIQTKASGRVVEEKLRDPSIANRGVNLLRLSRIAKSNLQRENEKENYRRPMPNSSENDAEVKIEDTDMELDFNENTEDDTHEEPDGTEHPGSIPEEVESNTHQLETSPVVNMPASDESSTNDHESSSEHVDMAASPLSTSHQVKTQRTKEALGAAEFDFSKSSNNLSEVLWHPSDLSVRPVMPSRKTDKVSEGTPSLPFEALQPSDFVKMSKEQLDAFNNRIISIFSGNTSIGEKQNLIRYLEILSSNVDAANILTNGPIMLMVAKMLRLAKASTLRVQLASLIGLLIRHSTFIEDDLANSGILGSLTDGLRDRQEKVRRFSMAALGELLFYISTQTEQSRDNNTPESPSKDNRSTCGWQVPNSLISLVSSVLRKGEDDITQLYALRTIENICSQGGHWAARFTSSDVISNLCYIYKATGKQESMRLTAGSCLVRLARFNPPTIQSVLDKLSFKDTAAALVKGSPREQQICLNLLSMAMLGSHLFTNIGRHILSLAEDKNLVPSLLSLTEQGSEILRGKTLLFMALLCKNGRRWLPQFFCNPRLLSAVDRLAKEKDSYLQQCLDAFVRIVASTVPSLLDVVTADIQQMMGGRRHGQISAMSSRVAPKTNIHLFSVLHLLGSSSFKRRVVNNQILQQLANLVKVLETPFQGRDDFQITLLRVLESVAEESPLILESSDVFIGEILPSLAILYKGNKDGDARFLCLKILFDVMVIFLNEPFDDEQRSQALKAISNIHFLPLYPTLIEDEDPIPVYAQKLLVMLIEFDYIKISDILHLKTVSQCFEFLLGDLSSANVNNVQLCLALASAPEMDSKLLSQLKVVRKVGNLLEFVYAKDMEDFLEPTLGLCRAFLLCSVGRKRGLAYREEPALLNDVSCEAGGLVDQQQCIRDITEFGGNLSILLELSGSSAATVADIASECVVLLLKAAPREATTGFLTNLPKVSAILESRRKGVPHLLLRRMLYALGYSCRQYLLHAMILSISIPEVSRIEAIVSELKGSSIADLSNAALFVASELQRMPRCI >Manes.15G155400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12857399:12858541:1 gene:Manes.15G155400.v8.1 transcript:Manes.15G155400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGMVRTYRILPSPWNPKPNSRFINTFDSPPTAGIFSKVHPRPTNHSKFTGKCTKPRCNGCHIQPCCKSKDKTKGTEKLKSFDVASNYKLITWRVGDVRHGLKFSGFSATGILDHLDNEDYYLDDDIDEDYDNYSDHENYEENLISSSREIVGVEEIAAAASAAAAAADDDDDVEDNREDGDGDGDDGLSYCDVGFLVDQIEGDDDWCLVAEM >Manes.18G115500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11803467:11813691:1 gene:Manes.18G115500.v8.1 transcript:Manes.18G115500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIARKRKYDVFLSFRGEDTRDNFTSHLYDALSRKKIKTFIDNDLERGQEIAPVILRTIEESMFSVIIFSKNYASSPWCLDEMVKILECREVHGQAVLPIFYYVDPSDVEGQSGSFGDAFIELEKNFKDKMERMPKWRADLMKVSRISGWDSRVIRPEAKLVKEVVEHILRKLDHAFSGDLKGLIGIDSHIRQIKNLLCIGLPNVRIVGIWGMAGIGKTTIARAIFNTISNQFEACTFLENVNEESKQFEGLRRLREKILSELLEEENLHIVTSNIESVSVKERIRHKRVLLVLDDLNDVDQLEVLIGGCDFALGSRVIVTSRDRQVLKNGVDEIYEVEGLNDDEALQLFSSNAFKKSYPTFDRLELSNRVVNYAQGNPLALKVLGSFLFDRRREDWENTLDKLGRIPQPKVFHVLRTCFDTLDDDEKNIFLDIACFFKGQQIDFVRRVLDGCGFSAGIGITVLLDKSLITILDNNLGMHKLLQEMAHEIVRQESVKELGKRSRLWRACDVYQVLTKNLGTENVEGIWLDTSKIREVDVSSRAFVRMNNLRLLKIYNSRVRNNCKLHLPHGLEFLSDELRYIHWDGYPLSSMPSNFQVENLVELNLAYSKVKQLWTGVQNLVSLKDINLSNSEHLTTFPDLSLAKNLERVNFEFCTSLVEVPSSIQFLEKLSDLNMRCCTCLESFPTGINLRSLKTLYLSGCSNLRKCPEIGKNIVYLNLNETAIEELPESIGHLSDLIALNLKDCKRIRYLPRSMRLLKSLVIIDLSGCSNIIRFPDVATRIEYLYLGETAIEELPSSICCLSSLSRLDLTNCKRLKNLPSTIFKLASLENLIISGCSSITEIPEMSSNIRKLFLDGTSIEEIPSSIEFCFDLVELNLQNCTRFRILPSGICRLKSLQKLNLSGCSMFENFPEVLEVMGSLRYLYLDGTAIQELPSPIENLKGLTCLELRNCRNLQGLLEGISGVKNFSRLPERWVDIQYLRKLNVNNCSLSHVPYCIGCLSSLEALDLSGNPFTCMPESISKLIELQYLGLRNCQQLISIPDLPPQLTKLDAHFCVSLRSVSLHSKGAEGNIFDFHFTNCDELTSVARHNIMAYALRKIELYSKKLHCQMPSVLAGGSSFCIPGRKIPKWICHQSEGFSTTVRLPSQWSNGEFLGFILCAVIAFNDSNVDNGFQVKCEYHFKDEHGNCSNLHHHIGGWYGRRYLWNYREIQNRGLYPMFFGYDPCVDVKKNTQFPKYTQLLVEFHPEDMDGHPLHCCKVVNCGVRILYAEEDRLCRCSSLHQQIKEALSKTRDENCSYEDQIVWENFLEDKKTKVVGTSCKMYQCLLCESRDFGCFDVDDEQDIDTLASEQAASLMRNFRFRRQLFYDIKKIIIIKKWR >Manes.10G020000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1944793:1945891:1 gene:Manes.10G020000.v8.1 transcript:Manes.10G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITAFFGNRRPNAFDPFSLDNWDPFDGFPFPSITSNDRPSTRIDWKETPTAHVFKADVPGLRKEELKVEVEDGKVLQISGERSKEEKSAGDTWHRIERSSGKFSRRFRLPDDAIVQDVKASMESGVLTVTLPKEAEKKNADVRSIQISD >Manes.04G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31544985:31557588:-1 gene:Manes.04G110600.v8.1 transcript:Manes.04G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSGRGKSNKAKAEKKKKEEKVVAPSLLDIIVITPYDTQVVLKGISTDRILDVKKLLAMNVETCHLTNYSLSHEVKGHRLNDRVEIATLKPCLLRMVEEDYTEEAQAVAHVRRLLDIVACTTRFTRSKRSSQLTPTSESKSKKVNTSHTGNGFHSSTSPTGVASVALAGQENMDMAEIQPTPKLSDFYEFFSFSHLSPPILNLRRCHRKDQEQSRQGDYFEIQIKICNGKLINVVASAKGFYTVGKQFFQSLSLVDLLQNLSRAFANAYDSLMKAFVEHNKFGNLPYGFRANTWLVPPPLAESPSDFPSLPAEDESWGGNGGGQGRNGQYDLRPWATDFALLASLPCKTEEERVTRDRKAFLLHSQFVDVAIFKAAGSIRRLIDSNRHAKATVNCTSGAILFENRVGDLSISVKRDVADASLKSREKVDGHLLSGISAKEVVQRNLLKGVTADESVVVHDTSSLGIVIVRHCGYTATVRVVGDVKKRNCRAHPQDIEINDQPDGGSNALNINSLRVLLHKPCIEESSGGQSSHSSLDNSEASMRLVRQVIKESLTKLEEMPIASERSIRWELGACWLQHLQKQESPTDTDSKHSEEDTETEHAVKGLGKEFKFLKKRDKKESVNSTSEKGENKTGPCRLNVGTNEGQHSNGDSYSENELKELISEEAFLRLKETGTGLHLKLVDELIQMAYRYYDEIALPKLVTDFGSLELSPVDGRTLTDFMHLRGLQMHSLGRVVELADKLPHIQSLCIHEMVTRAFKHIVKVVIASVENLADLSTAVASSLNFLFGSYGIEDNNQNMKDDYVLKLRWLRTFLSRRFGWSLKDEFLHLRKLTILRGLCHKVGLELVPRDYDMECPNPFRKFDIISIVPVCKHVGCSSVDGRNLLESSKIALDKGKLEDAVNYGTKALAKMIAVSGPYHRTTSSAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGTGNVHVALRFLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYISPDQDSKGSDAQKKQRRVKVLQVSDKAPQGHQDEIVEDAMLHERLENAVSLASGNTEDANVDMVQCEESEGKDDVAMCRPTVAVEVAEETVSDEGWQEANPKGRLGNSGGKKSGRRRPTLSKLYINRSEYANFRESSYRREIISSDKKAIPRTITITADLQALKQSKTHGMSVMEESLKLQAKSCVPKMSFSPANLSAMASKSVSYKEVAVAPPGTVLKPSLEPVDESNEKNPQTQTCSVPHETSMGEENNNASVVSAPDDRETDEIHDSGVESEKSGSELVEAPNQEKSDETNGSKLSAAAEPFNPGALSIVHQLNSFAMTSIYDVTASQTMLAEPVAPPLAARVPCGPRSPLYYRNTRSYHMKQGLLRQQTPMTMPARSMNPHAPEFIPRKSWTTNPINKESSDPNELNSSLEKSKEEEEILDKESSNAVRDGSPRNTSSESEKAELARQILLSFIVNSVQHNVDAGSEPIAIEKKLESSESSSDAIANDSAIIKILHGNEGKTEQVSQSSENEQSKTTDVNKKKNDDGEGFVVVTKRRRNKKFTNGVTELYNQQSICASVR >Manes.12G075900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8725458:8730889:1 gene:Manes.12G075900.v8.1 transcript:Manes.12G075900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQLPRSNSDMGMEAFNKQIQDVEKQVDKVSGLLKNLKEANEESKSVTKASSMKAIKKRMEKDVDEVGKVARAVKAKLQAINKDNLANRQKPGCEKGTGVDRARMNVTNALSKKFRDLMTEFQTLRQKIQDEYREVVERRVITVTGTRPDEETIDHLIETGNSEQIFQKAIQEMGRGQVLNTLEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVEAQGEILDNIESQVANAVNHVQSGTTALQNAKKLQKNSRKWMCIAIIILLIIIAVIVVGVVKPWKSSKGA >Manes.12G075900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8725458:8733034:1 gene:Manes.12G075900.v8.1 transcript:Manes.12G075900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTDSFVGDAKRPPSNNDIEMGMQLPRSNSDMGMEAFNKQIQDVEKQVDKVSGLLKNLKEANEESKSVTKASSMKAIKKRMEKDVDEVGKVARAVKAKLQAINKDNLANRQKPGCEKGTGVDRARMNVTNALSKKFRDLMTEFQTLRQKIQDEYREVVERRVITVTGTRPDEETIDHLIETGNSEQIFQKAIQEMGRGQVLNTLEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVEAQGEILDNIESQVTNAVDHVQSGTDALKTAKSLQRNSRKCMMIGIILLLIIAIIIVLFVVKIWKK >Manes.12G075900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8725458:8732659:1 gene:Manes.12G075900.v8.1 transcript:Manes.12G075900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQLPRSNSDMGMEAFNKQIQDVEKQVDKVSGLLKNLKEANEESKSVTKASSMKAIKKRMEKDVDEVGKVARAVKAKLQAINKDNLANRQKPGCEKGTGVDRARMNVTNALSKKFRDLMTEFQTLRQKIQDEYREVVERRVITVTGTRPDEETIDHLIETGNSEQIFQKAIQEMGRGQVLNTLEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVEAQGEILDNIESQVTNAVDHVQSGTDALKTAKSLQRNSRKCMMIGIILLLIIAIIIVLFVVKIWKK >Manes.12G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8725458:8731704:1 gene:Manes.12G075900.v8.1 transcript:Manes.12G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLTDSFVGDAKRPPSNNDIEMGMQLPRSNSDMGMEAFNKQIQDVEKQVDKVSGLLKNLKEANEESKSVTKASSMKAIKKRMEKDVDEVGKVARAVKAKLQAINKDNLANRQKPGCEKGTGVDRARMNVTNALSKKFRDLMTEFQTLRQKIQDEYREVVERRVITVTGTRPDEETIDHLIETGNSEQIFQKAIQEMGRGQVLNTLEEIQERHDAVKEIEKKLLDLHQIYLDMAVLVEAQGEILDNIESQVANAVNHVQSGTTALQNAKKLQKNSRKWMCIAIIILLIIIAVIVVGVVKPWKSSKGA >Manes.04G025100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2860455:2867716:-1 gene:Manes.04G025100.v8.1 transcript:Manes.04G025100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADQDGYANFAESRETRYESATSGNGVGKQFVGEPEMGFQDQIREFLRGAAELSVQFAKGCRDIVVQSLGREDSFIVRNFGRNSYIGKKVGYGCERIHKKLKVFNEYLPEDKDPVHAWSVICIVSVLTFAVLSLSGEHDAPSTSIKKVFIHPPSAHRILLPDGRYMAYREQGVPTDRARFAMIAPHAFLSSRLAGIPGLKASLLEEFGVYLLTCDLPGFGESDPHPNRNLESSALDMLYLVNSRGVKDKFWVVGYSTGSLHAWAALKYIPDKLAGAVMFAPMVNPYDPLMTKDERRGIWEKWTRKRKFMYFLARRFPRLLSYFYHRSFLSGKHDKIDAWLSLSLGKRDKALIEDPIYEEFWQRDVEESIRQGNAKPFIEEAVLQVSNWGFSLADIKLEKKKPGKGFLNWLKFVLTGSEDEYTGFLGPIHIWQGMDDKVVPPLMTDFVHRVLPGAAVHKLPYEGHFTYFYFCDECHRQIFTTLFGTPQGPLNKNIEVDQTPYEDSVEVDQIEVDHPHEDNVTVQEADQKEEQIDQTPLAEDMQEQEETHTEEEDES >Manes.04G025100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2860455:2867716:-1 gene:Manes.04G025100.v8.1 transcript:Manes.04G025100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFHVGSSFLSAVGMDADQDGYANFAESRETRYESATSGNGVGKQFVGEPEMGFQDQIREFLRGAAELSVQFAKGCRDIVVQSLGREDSFIVRNFGRNSYIGKKVGYGCERIHKKLKVFNEYLPEDKDPVHAWSVICIVSVLTFAVLSLSGEHDAPSTSIKKVFIHPPSAHRILLPDGRYMAYREQGVPTDRARFAMIAPHAFLSSRLAGIPGLKASLLEEFGVYLLTCDLPGFGESDPHPNRNLESSALDMLYLVNSRGVKDKFWVVGYSTGSLHAWAALKYIPDKLAGAVMFAPMVNPYDPLMTKDERRGIWEKWTRKRKFMYFLARRFPRLLSYFYHRSFLSGKHDKIDAWLSLSLGKRDKALIEDPIYEEFWQRDVEESIRQGNAKPFIEEAVLQVSNWGFSLADIKLEKKKPGKGFLNWLKFVLTGSEDEYTGFLGPIHIWQGMDDKVVPPLMTDFVHRVLPGAAVHKLPYEGHFTYFYFCDECHRQIFTTLFGTPQGPLNKNIEVDQTPYEDSVEVDQIEVDHPHEDNVTVQEADQKEEQIDQTPLAEDMQEQEETHTEEEDES >Manes.04G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2860455:2867716:-1 gene:Manes.04G025100.v8.1 transcript:Manes.04G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADQDGYANFAESRETRYESATSGNGVGKQFVGEPEMGFQDQIREFLRGAAELSVQFAKGCRDIVVQSLGREDSFIVRNFGRNSYIGKKVGYGCERIHKKLKVFNEYLPEDKDPVHAWSVICIVSVLTFAVLSLSGEHDAPSTSIKKVFIHPPSAHRILLPDGRYMAYREQGVPTDRARFAMIAPHAFLSSRLAGIPGLKASLLEEFGVYLLTCDLPGFGESDPHPNRNLESSALDMLYLVNSRGVKDKFWVVGYSTGSLHAWAALKYIPDKLAGAVMFAPMVNPYDPLMTKDERRGIWEKWTRKRKFMYFLARRFPRLLSYFYHRSFLSGKHDKIDAWLSLSLGKRDKALIEDPIYEEFWQRDVEESIRQGNAKPFIEEAVLQVSNWGFSLADIKLEKKKPGKGFLNWLKFVLTGSEDEYTGFLGPIHIWQGMDDKVVPPLMTDFVHRVLPGAAVHKLPYEGHFTYFYFCDECHRQIFTTLFGTPQGPLNKNIEVDQTPYEDSVEVDQIEVDHPHEDNVTVQEADQKEEQIDQTPLAEDMQEQEETHTEEEDES >Manes.04G047309.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5809598:5810928:-1 gene:Manes.04G047309.v8.1 transcript:Manes.04G047309.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGAKQKLEFIEGTVTIPDKGSDFYEQWKRCDFMVTSWILNSISRELVDGFIYTASARDLWLEITERFGECNGTMIYELRRKISLISQDNASASVYFTKLKGFWDELGSMETLPPCTCGASKAIDEINNRNRLMQFLMGLSDAYGTVRDQILGMDPLPSVNKAYSMVLKFESQKDILGNINGNTEPLALMNRTYKQYQGKQRGPGQKRGHCSYCDMDGHVREGCFKLIGYPEWFKTKIKNNGQPSKANRTIGHERKVVAAVEGTPHKRDTPLDMPNTSTQINDLNIMMNSLQQEVSKLMKEKDVLTVNTHQFYYSNEYHSDSDPAIFAGPND >Manes.01G175200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35638505:35643185:-1 gene:Manes.01G175200.v8.1 transcript:Manes.01G175200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQGLDLDDFAYHVLLNSLVEESYFDAADCIAKQISLRGLENHITHCIVVKSLCKQKLLDEAESYLRRVILHCDGCSHGDAVGVIVDAHCQNGQFDKAGQLVEEIRELELVPMEPAYSLWLKNLVQAGKIDAALEFLQRKKALECYIPGAFRYNALLWRVLKENRLAEACDLLMEMMESGVSADEVTFNAALCFFCKAGMVGVALELYNCKSEFGLSPSSMARNYLINSLCEEGNVDEAYSVLKNCTEPGYFPGSRTLSILADGLCREGKLNMMKELVSFSLERNFKPSDSLYNKFISALCRAGKVEDGYLMQGEFNRSNRVATKTIYSNLIHGFNKLNKGDIAARLLIEMQDKGHMGTRTLFRAVIRSFCNMQNPETQFFKLLDVQLSSHEPNRQIFNFFIDGAGHAKKPELAREVFDIMQRSGIEPNLSSDILMLQSYIKSERIYDALNFFDDLRHRRKVGRKLYNTMVGGLCKVNRVDHALEFLREMRSNGVVPSVECYEELIKLLCSNKQYEMAVNVIIDLEKAGRHVTSFIGNILLLHSLGSEELYDAWVQVSKMQNEISPNIYALGQLIGLFSGRVRLTQQIDNLEEVIEQCFPLDLYTYNILLRRLSMSKMDDALELFDRLCQKGYAPNRWTYDILVHGLLKHGRTDEAQRWLDEMFRKGFDLIHGSNQFL >Manes.01G175200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35638505:35643183:-1 gene:Manes.01G175200.v8.1 transcript:Manes.01G175200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKSRKALLASQLLFENTTIFSVSSSSSASAAAAAAPLFSRVNLNNQQFFYFFHYSYSTAHAIPIQHLSFNYKDVVQSFKEWFQRKNPDYLDRVFEILSSQDEVDEIALSKLGLRLTESLVLDVLYHGNANNDVLSCLKFFDWAGRQRGFYHTRATFHAIFKILSKGKLMPLMLDFLDNYKGSRFVHNKCGYGFYGTLVIGYSVAGRPQVALQLFSKMRFQGLDLDDFAYHVLLNSLVEESYFDAADCIAKQISLRGLENHITHCIVVKSLCKQKLLDEAESYLRRVILHCDGCSHGDAVGVIVDAHCQNGQFDKAGQLVEEIRELELVPMEPAYSLWLKNLVQAGKIDAALEFLQRKKALECYIPGAFRYNALLWRVLKENRLAEACDLLMEMMESGVSADEVTFNAALCFFCKAGMVGVALELYNCKSEFGLSPSSMARNYLINSLCEEGNVDEAYSVLKNCTEPGYFPGSRTLSILADGLCREGKLNMMKELVSFSLERNFKPSDSLYNKFISALCRAGKVEDGYLMQGEFNRSNRVATKTIYSNLIHGFNKLNKGDIAARLLIEMQDKGHMGTRTLFRAVIRSFCNMQNPETQFFKLLDVQLSSHEPNRQIFNFFIDGAGHAKKPELAREVFDIMQRSGIEPNLSSDILMLQSYIKSERIYDALNFFDDLRHRRKVGRKLYNTMVGGLCKVNRVDHALEFLREMRSNGVVPSVECYEELIKLLCSNKQYEMAVNVIIDLEKAGRHVTSFIGNILLLHSLGSEELYDAWVQVSKMQNEISPNIYALGQLIGLFSGRVRLTQQIDNLEEVIEQCFPLDLYTYNILLRRLSMSKMDDALELFDRLCQKGYAPNRWTYDILVHGLLKHGRTDEAQRWLDEMFRKGFDLIHGSNQFL >Manes.01G175200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35638505:35643183:-1 gene:Manes.01G175200.v8.1 transcript:Manes.01G175200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKSRKALLASQLLFENTTIFSVSSSSSASAAAAAAPLFSRVNLNNQQFFYFFHYSYSTAHAIPIQHLSFNYKDVVQSFKEWFQRKNPDYLDRVFEILSSQDEVDEIALSKLGLRLTESLVLDVLYHGNANNDVLSCLKFFDWAGRQRGFYHTRATFHAIFKILSKGKLMPLMLDFLDNYKGSRFVHNKCGYGFYGTLVIGYSVAGRPQVALQLFSKMRFQGLDLDDFAYHVLLNSLVEESYFDAADCIAKQISLRGLENHITHCIVVKSLCKQKLLDEAESYLRRVILHCDGCSHGDAVGVIVDAHCQNGQFDKAGQLVEEIRELELVPMEPAYSLWLKNLVQAGKIDAALEFLQRKKALECYIPGAFRYNALLWRVLKENRLAEACDLLMEMMESGVSADEVTFNAALCFFCKAGMVGVALELYNCKSEFGLSPSSMARNYLINSLCEEGNVDEAYSVLKNCTEPGYFPGSRTLSILADGLCREGKLNMMKELVSFSLERNFKPSDSLYNKFISALCRAGKVEDGYLMQGEFNRSNRVATKTIYSNLIHGFNKLNKGDIAARLLIEMQDKGHMGTRTLFRAVIRSFCNMQNPETQFFKLLDVQLSSHEPNRQIFNFFIDGAGHAKKPELAREVFDIMQRSGIEPNLSSDILMLQSYIKSERIYDALNFFDDLRHRRKVGRKLYNTMVGGLCKVNRVDHALEFLREMRSNGVVPSVECYEELIKLLCSNKQYEMAVNVIIDLEKAGRHVTSFIGNILLLHSLGSEELYDAWVQVSKMQNEISPNIYALGQLIGLFSGRVRLTQQIDNLEEVIEQCFPLDLYTYNILLRRLSMSKMDDALELFDRLCQKGYAPNRWTYDILVHGLLKHGRTDEAQRWLDEMFRKGFDLIHGSNQFL >Manes.01G175200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35638505:35643183:-1 gene:Manes.01G175200.v8.1 transcript:Manes.01G175200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKSRKALLASQLLFENTTIFSVSSSSSASAAAAAAPLFSRVNLNNQQFFYFFHYSYSTAHAIPIQHLSFNYKDVVQSFKEWFQRKNPDYLDRVFEILSSQDEVDEIALSKLGLRLTESLVLDVLYHGNANNDVLSCLKFFDWAGRQRGFYHTRATFHAIFKILSKGKLMPLMLDFLDNYKGSRFVHNKCGYGFYGTLVIGYSVAGRPQVALQLFSKMRFQGLDLDDFAYHVLLNSLVEESYFDAADCIAKQISLRGLENHITHCIVVKSLCKQKLLDEAESYLRRVILHCDGCSHGDAVGVIVDAHCQNGQFDKAGQLVEEIRELELVPMEPAYSLWLKNLVQAGKIDAALEFLQRKKALECYIPGAFRYNALLWRVLKENRLAEACDLLMEMMESGVSADEVTFNAALCFFCKAGMVGVALELYNCKSEFGLSPSSMARNYLINSLCEEGNVDEAYSVLKNCTEPGYFPGSRTLSILADGLCREGKLNMMKELVSFSLERNFKPSDSLYNKFISALCRAGKVEDGYLMQGEFNRSNRVATKTIYSNLIHGFNKLNKGDIAARLLIEMQDKGHMGTRTLFRAVIRSFCNMQNPETQFFKLLDVQLSSHEPNRQIFNFFIDGAGHAKKPELAREVFDIMQRSGIEPNLSSDILMLQSYIKSERIYDALNFFDDLRHRRKVGRKLYNTMVGGLCKVNRVDHALEFLREMRSNGVVPSVECYEELIKLLCSNKQYEMAVNVIIDLEKAGRHVTSFIGNILLLHSLGSEELYDAWVQVSKMQNEISPNIYALGQLIGLFSGRVRLTQQIDNLEEVIEQCFPLDLYTYNILLRRLSMSKMDDALELFDRLCQKGYAPNRWTYDILVHGLLKHGRTDEAQRWLDEMFRKGFDLIHGSNQFL >Manes.01G175200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35638505:35643183:-1 gene:Manes.01G175200.v8.1 transcript:Manes.01G175200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKSRKALLASQLLFENTTIFSVSSSSSASAAAAAAPLFSRVNLNNQQFFYFFHYSYSTAHAIPIQHLSFNYKDVVQSFKEWFQRKNPDYLDRVFEILSSQDEVDEIALSKLGLRLTESLVLDVLYHGNANNDVLSCLKFFDWAGRQRGFYHTRATFHAIFKILSKGKLMPLMLDFLDNYKGSRFVHNKCGYGFYGTLVIGYSVAGRPQVALQLFSKMRFQGLDLDDFAYHVLLNSLVEESYFDAADCIAKQISLRGLENHITHCIVVKSLCKQKLLDEAESYLRRVILHCDGCSHGDAVGVIVDAHCQNGQFDKAGQLVEEIRELELVPMEPAYSLWLKNLVQAGKIDAALEFLQRKKALECYIPGAFRYNALLWRVLKENRLAEACDLLMEMMESGVSADEVTFNAALCFFCKAGMVGVALELYNCKSEFGLSPSSMARNYLINSLCEEGNVDEAYSVLKNCTEPGYFPGSRTLSILADGLCREGKLNMMKELVSFSLERNFKPSDSLYNKFISALCRAGKVEDGYLMQGEFNRSNRVATKTIYSNLIHGFNKLNKGDIAARLLIEMQDKGHMGTRTLFRAVIRSFCNMQNPETQFFKLLDVQLSSHEPNRQIFNFFIDGAGHAKKPELAREVFDIMQRSGIEPNLSSDILMLQSYIKSERIYDALNFFDDLRHRRKVGRKLYNTMVGGLCKVNRVDHALEFLREMRSNGVVPSVECYEELIKLLCSNKQYEMAVNVIIDLEKAGRHVTSFIGNILLLHSLGSEELYDAWVQVSKMQNEISPNIYALGQLIGLFSGRVRLTQQIDNLEEVIEQCFPLDLYTYNILLRRLSMSKMDDALELFDRLCQKGYAPNRWTYDILVHGLLKHGRTDEAQRWLDEMFRKGFDLIHGSNQFL >Manes.02G191500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15358985:15362531:1 gene:Manes.02G191500.v8.1 transcript:Manes.02G191500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRQLASPLLTFDSPTRLSFSTPDRAIASPNIACLNVNSSQALPSNLIQGAWTSHFPRKKWTIHSSAQIDNLTLNDEDKKTWETCREALSAFEFSIEEKDKILGKAFGHVHSPYWGEERKREVPKFEIVNEILDHLRSLGLSDDDLYKLVKKFPEVLGCSLDKELKTNVQILEKDWGIKGKSLRNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >Manes.02G191500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15358985:15362531:1 gene:Manes.02G191500.v8.1 transcript:Manes.02G191500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRQLASPLLTFDSPTRLSFSTPDRAIASPNIACLNVNSSQALPSNLIQGAWTSHFPRKKWTIHSSAQIDNLTLNDEDKKTWETCREALSAFEFSIEEKDKILGKAFGHVHSPYWGEERKREVPKFEIVNEILDHLRSLGLSDDDLYKLVKKFPEVLGCSLDKELKTNVQILEKDWGIKGKSLRNLLLRNPKVLGYNVDCKGDCMAQCTRCWVRF >Manes.08G075400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15767650:15772509:1 gene:Manes.08G075400.v8.1 transcript:Manes.08G075400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQRITELPLRNMDKRPRKRPRLTWDMPPPPPPPPPSKVLSAMYCGQDFGNGVIPNYAYTNLFYRDFPVPRNGSPPWRPDDKDGHYVFSIGENLTPRWTFGQVLECFDNEKKEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDIGGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHDLRLIHTDLKPENILLVSSDYIKVPDYKFLPRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVVKADRRAEKYFRRGMRLDWPEGATSRESMRAVWKLPRLPNLIMQHVDHSAGDLIDLLQGLLRYDPAERFKAREALGHPFFTREPRRCGYPL >Manes.08G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15767650:15772509:1 gene:Manes.08G075400.v8.1 transcript:Manes.08G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQRITELPLRNMDKRPRKRPRLTWDMPPPPPPPPPSKVLSAMYCGQDFGNGVIPNYAYTNLFYRDFPVPRNGSPPWRPDDKDGHYVFSIGENLTPRYRILSKMGEGTFGQVLECFDNEKKEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDIGGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHDLRLIHTDLKPENILLVSSDYIKVPDYKFLPRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVVKADRRAEKYFRRGMRLDWPEGATSRESMRAVWKLPRLPNLIMQHVDHSAGDLIDLLQGLLRYDPAERFKAREALGHPFFTREPRRCGYPL >Manes.07G033700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3681200:3682971:-1 gene:Manes.07G033700.v8.1 transcript:Manes.07G033700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAIARDERPHAVCVPAPLQGHINPMLKLAKILHSNGFHITFVNTEYNHRRLLRSRGPNSLDGLPDFHFEIITDGLPFSDADATQDIASLAESTSKHCLHPFRHLLSRLNSSNAVPPVTCIISDGCMSFTLDAAQEFGIPDVLFWTPSSCGVLGHAHYRHLIERGFIPLKDVSYLTNGYLETTIDWIPGMKNIRLRDLPSFIRTTERNDIMLNFIAREVERTSRASAIILNTFDAFEKNVLDVLSTLLPPIYTIGPLQLLVDQIPDSNLKSIGSNLWKEQPECIDWLDSKEPGSVVYVNFGSITVITPQQMVEFAWGLANSKKPFLWILRPDLVVGEAAMLPPEFVSETEDRGMFASWCPQEQILKHAAIGGFLSHMGWNSTLESICGGVPMVCWPFFAEQQTNCWFACNEWGIGIEIDNNVKREEVEKLVRELMDGKKGKEMKRQAMEWKTKAEEATSPGGSSHRNLVELVEFLQRK >Manes.07G033700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3680900:3682971:-1 gene:Manes.07G033700.v8.1 transcript:Manes.07G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAIARDERPHAVCVPAPLQGHINPMLKLAKILHSNGFHITFVNTEYNHRRLLRSRGPNSLDGLPDFHFEIITDGLPFSDADATQDIASLAESTSKHCLHPFRHLLSRLNSSNAVPPVTCIISDGCMSFTLDAAQEFGIPDVLFWTPSSCGVLGHAHYRHLIERGFIPLKDVSYLTNGYLETTIDWIPGMKNIRLRDLPSFIRTTERNDIMLNFIAREVERTSRASAIILNTFDAFEKNVLDVLSTLLPPIYTIGPLQLLVDQIPDSNLKSIGSNLWKEQPECIDWLDSKEPGSVVYVNFGSITVITPQQMVEFAWGLANSKKPFLWILRPDLVVGEAAMLPPEFVSETEDRGMFASWCPQEQILKHAAIGGFLSHMGWNSTLESICGGVPMVCWPFFAEQQTNCWFACNEWGIGIEIDNNVKREEVEKLVRELMDGKKGKEMKRQAMEWKTKAEEATSPGGSSHRNLVELVEFLQRNVKAVPLKILFNKTYLSISFFFSIN >Manes.13G084000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14328545:14331523:-1 gene:Manes.13G084000.v8.1 transcript:Manes.13G084000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAILPSSYSPSASILPSSYSPSASILPNKFTSKPFTSFPANSKKLRRSSATCRRPPAAVSSSITDFDLYDLLGIDSSSDQSHIKTAYRTLQKRCHPDIAGPTGHDMAIILNEVYSVLSDPNSRAAYDKEQAKIAELRGYTGKPIYSVWFGSKSEERAVFVDEVKCVGCLKCALFAENTFAIESVYGRARVVAQWADPEHKIQAAIDTCPVDCISMVERSELAALEFLMSKQPRGNVRVGAGNSVGARVSNIFVDVKKFQTRVVDARNRADAESSKETDLQREARISAIQTIRSISNWLYWQSPKSGPPSKHHQNFPQIAQNVIEPNINKLRAAAAARKHATQSTISACRAPSNYLYHDEYWIPSAAALPASASKTGSSAISEASTHIEEPKDLDEKDYRTGDNQRTPLRWGVPMVTATIAAIIVRDQAVVSLNEHIGGALALEIVNSSWLHSMLAGMTWYIIGLGMIELVEAIRKKS >Manes.05G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1113332:1116346:1 gene:Manes.05G007800.v8.1 transcript:Manes.05G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYAAVALVALLIVLIVRKLWEVLKIVVLRPYAITKTFEKQGIRGPPYKLLYGCLDEVKKMKKLANEKVLDTNCNDITSRVLPHYHKWFSEFGDTLLYWYGTQPRITITNPELAKQILSNKFGFYVKPKTRPILRNLIGDGLVFAEGLDWVRHRRVLNPAFSIDKLKIMTKKMAECTISMLDEWKILAGLTEEQRIKIEMNANFQKLTADIIAHTAFGSSYVEGKEVFKALKELQTCCVASDTDIFIPGSQYLPTPSNLQTWKLSRKLKNSLKVIIESRLSAKAATDGHYGDDLLGIMIESSVAEADGDSKVTPKLNMKEIMENCKSFFFAGHETTSSLLTWSVFLLSTHPEWHEKLREEVLKECGMGIPDADMLSKLKFVNMFLLEVLRLYCPVIMLIRKAPEDMKLGNLMIPKETCLTIPFVKIHRSKEYWGEDANEFKPLRFANGVSKAGKHPNALLAFSIGPRVCIGQNFAMLEAKTVVALILQRFSLSLSPEYKHAPIDHVTLHPQYGLPIIVKSLLR >Manes.18G143501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:33470367:33470489:-1 gene:Manes.18G143501.v8.1 transcript:Manes.18G143501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.08G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33431169:33433345:1 gene:Manes.08G100300.v8.1 transcript:Manes.08G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEKSYKPEAGFEEDIREPLVDLSLTDSTELWLIQWPHNELPDFQGKEISISLHRDGCLGSFEGSSGKVYDVVSSFMEEPDATVFVSSESETKIVGNISRRVSLVHYHDPKELEKQEAEKKSKRLYQMSAGSSLINSSNHSGTPSQSSRLRNSHSSRGHPASTHSSRHRSSLSEVGEQSSAKKRKHKHGLLMSTDQSTLDSGRGHSGYTFSGSSEHSDRGRLKEEIKTE >Manes.08G100300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33431169:33433345:1 gene:Manes.08G100300.v8.1 transcript:Manes.08G100300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEKSYKPEAGFEEDIREPLVDLSLTDSTELWLIQWPHNELPDFQGKEISISLHRDGCLGSFEGSSVGNISRRVSLVHYHDPKELEKQEAEKKSKRLYQMSAGSSLINSSNHSGTPSQSSRLRNSHSSRGHPASTHSSRHRSSLSEVGEQSSAKKRKHKHGLLMSTDQSTLDSGRGHSGYTFSGSSEHSDRGRLKEEIKTE >Manes.07G037000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4034790:4037176:-1 gene:Manes.07G037000.v8.1 transcript:Manes.07G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGNLLPPDYTSQLPIFNCMSTSVEPVQPGCLPAFVNSGLYTFSANTMTSGMGVPYIPGLNTQQSNGAQGFSQRVRPVFQNLLPAVNPYLKEKLSAFGGEVAPNGIPGCQRRFFIFDQSGNETRLRHSSYFPIDGKPTIAARKRIGDSYLHYEDHAAKVNQINETVLKEVSDENHLSGEESEMHEDTEEINALLYSDDDDDYDDNDSGGDDEVISTGHSPIKIRSCETRGQVDEITEEVPSSDAQSKRRKLLDGGYKCTLLADTAGTTKVTGVHVCDDDDESSYAIGQNREEERHAIRGSKQLKKDKVHATLKILESIIPGAKYKDPLVVLDLAINYLESLKFKAFSRS >Manes.15G017450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1398874:1402463:1 gene:Manes.15G017450.v8.1 transcript:Manes.15G017450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKRFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDSEEEEVGDEIANMCFMALEEGSDEVTTLDDFTLNDDDVEFSYDELVGALKLMNDELEKSHRKNKILKCELASFKKESENSPKEPLPSNDSLQKSLDELSLENKNLKNEILELKNSLSKFLKGKDKLDEILDSQRSPSIKYGLGYDKSTQANFSKTVFVKATNSHEPKVSSSNRNMPKDSSSDLSMRNAPTRNAHVHQSTSYNTHIRHTPRQFAYKRNDHYRTHTSSSQNHHSNHISCSHAFNKQRRNGHMRTQTHSLTYGPRVRRFNGHCHYCGKFGHTNYKCSIRKLHLGYGSIWKLDSGMTNPQGPKYIWVPKSV >Manes.07G080649.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24650376:24651886:1 gene:Manes.07G080649.v8.1 transcript:Manes.07G080649.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.15G007150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:722940:723398:1 gene:Manes.15G007150.v8.1 transcript:Manes.15G007150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIISLELAFLKIIDITISHMQKFLLNSKLWVKLVEHIGCFLTPPPFPLNISALQLILPVFCLLHKIGEMESSFSTTLSRGKPNNLQTRKTHTQKKEGNVRNQPIFMIGLDWVLTHSPRKSFVHFSGSTSPINHSQFRQHHSRTPNGADFL >Manes.02G022520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2007251:2010890:-1 gene:Manes.02G022520.v8.1 transcript:Manes.02G022520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAPKYPESVYDFIVKDSKGNDVDLSIFKGKVLLIVNVASKCGMTNSNYTELNQLYEKYKDQGLEILAFPCNQFGEEEPGSNYEIQEFACTRFKSEFPIFGKLEVNGENASLLYKFLKSGKWGIFGDDIQWNFAKFLVNRDGQVVDRYYPTTSPLSLEHDVEKLLGVS >Manes.07G142301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:34498624:34499803:1 gene:Manes.07G142301.v8.1 transcript:Manes.07G142301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVEDTKNPDFRRKILVGQAKAEGIVHLSSEEMASDEMQQKNEQIKQKALFHSELGGAPKATMDQFKCGRCGNARPPIIKCKLGVLMSL >Manes.02G071350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5442406:5452444:-1 gene:Manes.02G071350.v8.1 transcript:Manes.02G071350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERSSLCNCVVNFLLQENYLLTAFELLHELLDDGRDFHAIRLKEFFSDPSHFPPDQISRFNSLRVADPQNLLEEKEAIEEKLALSEYELRLAQEDISKLKTELEKKKHLPLVELSESKSDVSENTRPDILRNKKDASFYDLGPLKDSERRDLNCAVKEYLLLAGYRLTAMTFYEEVTDQNLDVWQNTYACVPDALRHYYYQYLSSTPEAAEEKIAMHRENESLIKENEKLNHEKEKLLKNKDLADTQISGLTKSLETLQKDLKERENQVQELKQSWELQRKELNDCRAEITSLKMHIEGYHSGRSMMANDVDPIQSQSVENYKEEIISLQMEIERLKSKCTKAPKSVDSNHNEDSLQIEEKVVEIDEDKTIISLPQDVAGVSVSEDVQSLIIDNTGKPEEASPDLSINHSNENITIENNQNDVKQNGELPLEDDGRRIELDNLNVEAASANVGLETIQILADALPKIVPYVLINHREELLPLMMCAIERHPDSTTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLVEDAATVVREAAAHNLTLLLPLFPSVDKYFKVEDIMFQLVCDPSGVVVKTALEELVPAVIKWGNKLDHILSVLLSHALSSAQCCPPLSGVEGSVESHLRVLGERERWSIDVFLRMLLELLPFVYQKAVESCPFSSLTESTDTVFSTSSLELYSKGDVDWAAFQWMHIDCFPDLIQLACMLPPKEDHLRNKSTKFLLAVSERFGDSYLVHIMMPVFLVAVGDNADLTYFPTTIRSKIQGLRPKTVVAERLATMCVLPLLLAGVLGAPSKHEGLAGYLRKLLVDSSLKENRSTKYNVDIIDAVRFLCTIEQHHGMIFNILWEMVVSSNIDMKVNAVHLLKVMVPHIDAKVVSTHVLPALVTLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSSEATVAVVRGLLVAVPHTTERLRDYLLSKIFQFTATPAPTSDVMRCRERANAFCESIRALDATDLSATSVREFLLPAITNLLKDPDALDPAHKEALEIIMKERSGGTFETISKVMGAHLGIASSVTSFFGEGGLLGKKEAADNQPPPPESPKAAQPPPTEDTRFRRIMRGGFTDMLRGKTWSQEETQQNQ >Manes.06G029050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5808217:5810782:1 gene:Manes.06G029050.v8.1 transcript:Manes.06G029050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFILIVFFLIRSPSSASSSSSTFPTDSIILNQRSSLSVERPGHVLTSPNAIFTAGFYPVGDNAYSFAIWFTEPSCSNSCTVVWMANRDLPVNGRNSKLSLLKNGNLILTDAGKSVVWESNTFSLSSSYLQLYDTGNLVLITSRERVILWQSFDSPTDTLLPLQPLTRDSLLVSSRSLTNFSSGFYKLSFHYDNVLRLVYDGPEFSSAFWPDHWLLSWETGRSSYNSTRIALLDSFGKFTSSDNFSFFSADYGQQLQRRLTLDFDGNLRLYSRENGNGSWVISVQVVSQPCKIHGACGPNSVCKYVPSFGRKCSCLPGYKIKNPADLSFGCEPEIIVSSVETAATFTRLPHVVMYGYEFRRFENYSLKNCEKVCLGRYDCKGFEFKFFYHNHPDNIPYCFIKLQLLNGQTTPNLKGDFYLKVPKISPSKHWSAKELTLICPAGAAKQLDRRYAKSDRKRSLKFLLGFVIATGIVEILSMVLVWLYFIKSRQMIAATSEEYFLAATGFRRFSYSELKEATRNFIEEIGRGATGIVYKGVLADQRVAAIKRLNNASQGEAEFLAEVSTVGKLNHMNLIEMWGYCADKKHRLLVYENMEKGSLAKNLSSMELDWEKRFKIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDANYEPKVSDFGLSRLLSRGHELHNSSFSKIRGTRGYMAPEWIFNLPITSKVDVYSYGVVVLEIVTGRSPSMDGHDAESGCGVAENKRVVEWVREKKLEASTSSCWVEEIIDAAIGVDYDRRKLEVLVGVALKCVEECKDDRPTMSQVVEMLEKLENDH >Manes.02G179100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14176871:14180852:1 gene:Manes.02G179100.v8.1 transcript:Manes.02G179100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDSSSVLATTTTAAAGGSSSDPPAAAPPHTLSTYQLPGGGTHESISEVGHVGSNNSGADDKGRGDEGDRSFGGNRWPRQETLALLKIRSDMDVAFRDASVKGPLWEEVSRKLAELGYNRSAKKCKEKFENVYKYHKRTKEGRTGKQEGKTYRFFDQLEAFENQPSSFSSPPPPQTQQPPQQQQLKPQTPAVTTIGMQVAHPSPPPPPVGAAPISTVPSNTAPSATMVSQGIVTTGINLTIPSFPTGNPTILPSAQATNPTMNPSSFSNFSPDLLSNSTSSSTSSDVELHGRHRKKRKWKEFFDRIMKEVIHKQEDMQRKFLEAIEKREHDRMAREESWRMQEMARINREREILAQERSIAAAKDAAIMAFLQKLSDQQNPGQPQNTPPPPPAATPTPIPVPVSVPVPAPVPVPAPQPITMVPVAQAAPPQPATNLETKSDSGDQSLTPASSSRWPKVEVQALINLRTTLDSKYQENGPKGPLWEEISAGMRKLGYNRNAKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLDALYKEKNKNDSSSNIQLKPENSVPLMVRPEQQWPPPQQEHGPDSVMEDLESEDHQNHQDEDDKDNDDDEEDEAGGYEIVANRQATISSAG >Manes.17G090600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29889449:29891103:1 gene:Manes.17G090600.v8.1 transcript:Manes.17G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPEITLSSGEKTMPVIGMGTSPYAPTDKETTKIALIEAIKAGYRHFDTAFAYRSEEPLGEAISEALRLGLIKSRDELFITSKLWSSFADKDMVVPAIRMSLRNLQLEYLDLYLIHWPFKLSQNVRSLPTTRENIFPLDIKSVWEGMEECKTLGLTKAIGVSNFSCKKLEELLSTAKIPPAVNQVEMNPLWQQKQLREFCKGKGIHITAYSPLGANGTKWGDNRILECDVLQQIAKAKGKTTAQVSLRWVHEQGVSLVAKSFNKERMKENLQIFDWSLTEEESNKISNLPQRKGVLSANILGPHDFSLELDAEI >Manes.08G090300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30259086:30262682:1 gene:Manes.08G090300.v8.1 transcript:Manes.08G090300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSNSRWVFINLLHVFLVFCMNSGLESATAAAATRGNETDRLALLDFKNLITQDPLQVMNTWNDSIHYCNWIGVSCSSSNDRVVTLNLNSQKLAGSIPPSIGNLTHLTGINLFNNSFFGELPQEMGRLWRLQLLNLTYNSFVGKIPSNLTHCKELTVIEASGNNLAGEIPEQLSSLSKLVVFGFGGNNLTGNIPTWIGNFSSLFALTLAVNNFVGNIPNELGRLSSLGFFQLYGNYLSGVFPVSLSNVSGLQVLDFAQNSLTGNIPSNLKNLQSLYRLNFDENNLGHWEIDDLNFLSSLANCTSLEVLGLAQNHYAGELPSSIGNLSTNLQIFTIGRNLIHGSIPVGIENLVNLYSLGLEGNHLSGIVPSAIGKLQNLGELNLNTNRFSGPIPPFIGNLTRLTRLFMEENRFEGSIPESLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISLVISNNSLTGSIPSEVGNLHNLVELDLSHNKLFGEIPSSLGSCASLERLHLEGNELGGTIPESLKDLRGIEELDISSNNMSGEIPEFLSTLLDLKYLNLSFNDFEGEVSGEGIFSNASAVSIIGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVVFAIAILCSVVIFCMTTSKAPPSEDRHVGMSYSEIVKSTNGFSAENLIGSGSFGSVYKGILSDDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLGIITACSTVDRQGNDFKCLAFEFMANGSLDKWLHPRADEQDQTKRLSFIQRLNIAIDIASALDYLHHNCETPIVHCDLKPSNVLLDEDMTAHVGDFGLATFLLESSNNPSKVKQFQLY >Manes.08G090300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:30259086:30262682:1 gene:Manes.08G090300.v8.1 transcript:Manes.08G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSNSRWVFINLLHVFLVFCMNSGLESATAAAATRGNETDRLALLDFKNLITQDPLQVMNTWNDSIHYCNWIGVSCSSSNDRVVTLNLNSQKLAGSIPPSIGNLTHLTGINLFNNSFFGELPQEMGRLWRLQLLNLTYNSFVGKIPSNLTHCKELTVIEASGNNLAGEIPEQLSSLSKLVVFGFGGNNLTGNIPTWIGNFSSLFALTLAVNNFVGNIPNELGRLSSLGFFQLYGNYLSGTIPSSIYNLSSIYYFSVAQNQLHGQLPQDIGLTLPKLRVFAGGVNNFTGVFPVSLSNVSGLQVLDFAQNSLTGNIPSNLKNLQSLYRLNFDENNLGHWEIDDLNFLSSLANCTSLEVLGLAQNHYAGELPSSIGNLSTNLQIFTIGRNLIHGSIPVGIENLVNLYSLGLEGNHLSGIVPSAIGKLQNLGELNLNTNRFSGPIPPFIGNLTRLTRLFMEENRFEGSIPESLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISLVISNNSLTGSIPSEVGNLHNLVELDLSHNKLFGEIPSSLGSCASLERLHLEGNELGGTIPESLKDLRGIEELDISSNNMSGEIPEFLSTLLDLKYLNLSFNDFEGEVSGEGIFSNASAVSIIGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVVFAIAILCSVVIFCMTTSKAPPSEDRHVGMSYSEIVKSTNGFSAENLIGSGSFGSVYKGILSDDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLGIITACSTVDRQGNDFKCLAFEFMANGSLDKWLHPRADEQDQTKRLSFIQRLNIAIDIASALDYLHHNCETPIVHCDLKPSNVLLDEDMTAHVGDFGLATFLLESSNNPSKVKQFQLY >Manes.18G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13351371:13353794:-1 gene:Manes.18G122800.v8.1 transcript:Manes.18G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLDKTIVFPIFVIVFLGVVVRLYNALVVKPKRLRSMLKKQGIDGPPPTLLLGNIIEIGKSLSSIVKAKDPPLTHNCADLTFPIFEKWLKEYGKVFVYSLGNVQIVNLHQPELVKEFTTCVSLDLGKTSVQLNDLGPLLGQGIVTSEGTFWSHQRKIIAPELYMEKIKGMVNLITESAITLVNSWKSMIERDGGIMADIKVDEGLRSFSADVISRACFGSNYSKGEKIFQNLRDLLKASSKKDLVVGIPGMRYLPTKSNREAWALEKEIRNLILKVVKERQEAAKEKDLLQMILEGAKNSTLSREETDRFIVDNCKNIYMAGWETTAVSASWCLMLLGVNQEWQDRVRAEVLEICGGRLPDYDMIRKMKLLHMVIHETLRLYTPVPLIAREALKDMKLGNINVPKGVNIWTMVSLLHTDPEIWGSDSYKFNPERFANGIAGACKYPFSYMPFGAGPRVCLGQHLATIELKILLALILSNFSFTISPRYVHSPIFNLVVKPEHGVNLLVKKL >Manes.03G173500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29784589:29790071:1 gene:Manes.03G173500.v8.1 transcript:Manes.03G173500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTSFRSILEKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPSEDSGAGALRKILVSKPPATSNSVHSIKEPSDTNNNAISGSADETAPSRQNDSPKATPPGPLDSQPGETDNKDSATRCNDAADGLVGQMTIFYCGKVNVYDGIPPDKIPSDKHGFIPPNAAVFQSTQTEKMKEYSHPCKDKANISLEPDVEGQANRRVSLQRYLEKKKDRGRFKGRKNTGPTSSSLEVYLNHHVRMHTSSEQTTRSSTSSPSQPGVPPTLCSSAEDQSKISCFSVDLNEDVREC >Manes.03G173500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29784589:29790071:1 gene:Manes.03G173500.v8.1 transcript:Manes.03G173500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTSFRSILEKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPSEDSGAGALRKILVSKPPATSNSVHSIKEPSDTNNNAISGSADETAPSRQNDSPKATPPGPLDSQPGETDNKDSATRCNDAADGLVGQMTIFYCGKVNVYDGIPPDKAQTIMHLAASRIQLPLDDPTRRPAFSFPCHFQIPSDKHGFIPPNAAVFQSTQTEKMKEYSHPCKDKANISLEPGEDLRAGKIQGPLLLAWRCI >Manes.03G173500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29784589:29790071:1 gene:Manes.03G173500.v8.1 transcript:Manes.03G173500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTSFRSILEKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPSEDSGAGALRKILVSKPPATSNSVHSIKEPSDTNNNAISGSADETAPSRQNDSPKATPPGPLDSQPGETDNKDSATRCNDAADGLVGQMTIFYCGKVNVYDGIPPDKAQTIMHLAASRIQLPLDDPTRRPAFSFPCHFQIPSDKHGFIPPNAAVFQSTQTDVEGQANRRVSLQRYLEKKKDRGRFKGRKNTGPTSSSLEVYLNHHVRMHTSSEQTTRSSTSSPSQPGVPPTLCSSAEDQSKISCFSVDLNEDVREC >Manes.03G173500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29784589:29790071:1 gene:Manes.03G173500.v8.1 transcript:Manes.03G173500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTSFRSILEKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPSEDSGAGALRKILVSKPPATSNSVHSIKEPSDTNNNAISGSADETAPSRQNDSPKATPPGPLDSQPGETDNKDSATRCNDAADGLVGQMTIFYCGKVNVYDGIPPDKAQTIMHLAASRIQLPLDDPTRRPAFSFPCHFQIPSDKHGFIPPNAAVFQSTQTDVEGQANRRVSLQRYLEKKKDRYSQCQRLSTGDLNLVFHVL >Manes.03G173500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29784589:29790071:1 gene:Manes.03G173500.v8.1 transcript:Manes.03G173500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTSFRSILEKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPSEDSGAGALRKILVSKPPATSNSVHSIKEPSDTNNNAISGSADETAPSRQNDSPKATPPGPLDSQPGETDNKDSATRCNDAADGLVGQMTIFYCGKVNVYDGIPPDKAQTIMHLAASRIQLPLDDPTRRPAFSFPCHFQIPSDKHGFIPPNAAVFQSTQTEKMKEYSHPCKDKANISLEPDVEGQANRRVSLQRYLEKKKDRYSQCQRLSTGDLNLVFHVL >Manes.03G173500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29784589:29790071:1 gene:Manes.03G173500.v8.1 transcript:Manes.03G173500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTSFRSILEKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPSEDSGAGALRKILVSKPPATSNSVHSIKEPSDTNNNAISGSADETAPSRQNDSPKATPPGPLDSQPGETDNKDSATRCNDAADGLVGQMTIFYCGKVNVYDGIPPDKIPSDKHGFIPPNAAVFQSTQTDVEGQANRRVSLQRYLEKKKDRGRFKGRKNTGPTSSSLEVYLNHHVRMHTSSEQTTRSSTSSPSQPGVPPTLCSSAEDQSKISCFSVDLNEDVREC >Manes.03G173500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29784589:29790071:1 gene:Manes.03G173500.v8.1 transcript:Manes.03G173500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTSFRSILEKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPSEDSGAGALRKILVSKPPATSNSVHSIKEPSDTNNNAISGSADETAPSRQNDSPKATPPGPLDSQPGETDNKDSATRCNDAADGLVGQMTIFYCGKVNVYDGIPPDKAQTIMHLAASRIQLPLDDPTRRPAFSFPCHFQIPSDKHGFIPPNAAVFQSTQTEKMKEYSHPCKDKANISLEPDVEGQANRRVSLQRYLEKKKDRGRFKGRKNTGPTSSSLEVYLNHHVRMHTSSEQTTRSSTSSPSQPGVPPTLCSSAEDQSKISCFSVDLNEDVREC >Manes.03G173500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29784589:29790071:1 gene:Manes.03G173500.v8.1 transcript:Manes.03G173500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTSFRSILEKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLEPSEDSGAGALRKILVSKPPATSNSVHSIKEPSDTNNNAISGSADETAPSRQNDSPKATPPGPLDSQPGETDNKDSATRCNDAADGLVGQMTIFYCGKVNVYDGIPPDKIPSDKHGFIPPNAAVFQSTQTEKMKEYSHPCKDKANISLEPDVEGQANRRVSLQRYLEKKKDRYSQCQRLSTGDLNLVFHVL >Manes.18G091250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8386212:8386659:-1 gene:Manes.18G091250.v8.1 transcript:Manes.18G091250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVQYKVGTRLSGTKGVSLDTWREGKDDVRCVAVAADNDFRRRRRLSFSLSFDGYEIFVSVRHGDDKVVVGLRV >Manes.11G111701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25930294:25932285:1 gene:Manes.11G111701.v8.1 transcript:Manes.11G111701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKQVSYGDHKTARKIYHEAQSESTAYFSASESEDVSDSSEVEVAHVQKHNGKGLVADLPFEIEELYIAQKWKMFSDIYRNIRVVDEQESFITTIQGKMVTITIDDLAQAFGLPNEGKSSWHEKKCLLYNEKAFKKSIFPEGTSKNEIGSSLLPQQYKILQKIVIYFLYPRTGSKGYMNEIDLCIMGHMVNKVPYNLSYLMFKIIVKDSKCRELSYSMQLTLFSQKLKIKLVKEIGYCNGIPIKEIFSSTAMIKRRCNVMIDKKVDGIEIDGEGKSEADGDNENRKRTKRDGEIGVSSDNVIIALAKENLEKMKEIKEAASFLKNSQDLQQTIINSQSANIAMLKIFLKLFRDTFVIFGDFGDYQCGTIPAAGGSGYSTFTKRTKSQARKSGFNGLPIFHFTVPENAITTKKKLISKVDLTKVRSGKVPVATKVTKSGEVGCKDKPEDI >Manes.11G012300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1198038:1204377:-1 gene:Manes.11G012300.v8.1 transcript:Manes.11G012300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFGTQKKICLSMSDFHPESWNPMWSASSILTGLLSFMMDNSPTTGSVNTTDAEKARLAKASLAFNCKNSTFRKLFPEYVEKYNQQQHSEQIISEQVPQEENSRPAIEKLDNSLGNVERVDTMKDTRRNAKQTIPTWVMLLLVSIFGIVMALPLLQL >Manes.11G012300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1198038:1204383:-1 gene:Manes.11G012300.v8.1 transcript:Manes.11G012300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFGTQKKICLSMSDFHPESWNPMWSASSILTGLLSFMMDNSPTTGSVNTTDAEKARLAKASLAFNCKNSTFRKLFPEYVEKYNQQQHSEQIISEQVPQEENSRPAIEKLDNSLGNVERVDTMKDTRRNAKQTIPTWVMLLLVSIFGIVMALPLLQL >Manes.11G012300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1198038:1204395:-1 gene:Manes.11G012300.v8.1 transcript:Manes.11G012300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFGTQKKICLSMSDFHPESWNPMWSASSILTGLLSFMMDNSPTTGSVNTTDAEKARLAKASLAFNCKNSTFRKLFPEYVEKYNQQQHSEQIISEQVPQEENSRPAIEKLDNSLGNVERVDTMKDTRRNAKQTIPTWVMLLLVSIFGIVMALPLLQL >Manes.11G012300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1198038:1204377:-1 gene:Manes.11G012300.v8.1 transcript:Manes.11G012300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMITPNGRFGTQKKICLSMSDFHPESWNPMWSASSILTGLLSFMMDNSPTTGSVNTTDAEKARLAKASLAFNCKNSTFRKLFPEYVEKYNQQQHSEQIISEQVPQEENSRPAIEKLDNSLGNVERVDTMKDTRRNAKQTIPTWVMLLLVSIFGIVMALPLLQL >Manes.14G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13924244:13955256:1 gene:Manes.14G112700.v8.1 transcript:Manes.14G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASMTHRAQFQSPTWPSKPSKIIRIHKPYKNLHFLSVPINHSLSTPLVSSFSFPLIPKFPRNLLPFHPVQDATEEHILEDIENSMRNHPNLENLIKLELPSSHNRQIICPESSKRVFIEDPPWISALFFKGLYKIANRELKVEFKDIEKRKYNLLRRRQIRQETEAWERMAEEYRSLVREMCERKLAPNLPYVKGLFLGWFEPLKEAIKKEQNLQRSKKQKAAFAPHIELLPADKMAVIVMHKMMGLLMVGHEDGCIPVVQAAVKIGMAVEQEVRIHNFLEKTKNFQRNKKTTDAQQNLGREKEILRKRVNNLIRRKRLTEVQKLVKNEEMKPWGRDTQAKLGSCLVELLTETAFVQPPVNQSADSPPDVRPAFRHRFKTITNNPGQKIGKKYGVIECDPLILSGLDGSVNHMLIPYFPMLVPPKKWKGYDKGGHFFLPSYIMRTHGSRKQQDALRRVPAKNMQKVYEALDTLGNTKWRVNRRVLNVVESIWARGGNVAGLVDRADIPVPEKPLSEDLTEIQQWRWSMRKAKKINRERHSQRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLTHLSSDLCRGILEFAEGRPLGKSGLHWLKIHLANLYSGGVEKLSHEGRLAFVENHLDDIFDSAENPVHGQCWWLTAEDPFQCLAACINLSEALKSSSPHTVISHLPIHQDGSCNGLQHYAALGRNSLEAAAVNLVAGEKPADVYTEIALRVHELIKRDSSKDPATNPNAILAKLLIDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGHITDDRLLFSAACYTAKVTMTALGELFQAARDIMNWLGDCAKVIASEDQPVRWTTPLGLPVLQPYYKSERHLIRTSLQVLALQREGSSVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDADLRFAGVHDSFWTHASDVDLMNRILREKFVELYSTPILENLLENFQTSYPTLKFPPLPERGNFDLQEVLRSPYFFN >Manes.17G044200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23812499:23821357:1 gene:Manes.17G044200.v8.1 transcript:Manes.17G044200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMLNTQYDGKDGKALKALFEAFGPLFSPQDIASAYYKADQNADLAGLILYDKQESTFTSSVTNGGARTVESSKSSYDTLSKRSCYANGKFRASKQKWRPVSGGTISSILGKEYTKSMPLANSYCMGKKPMKLDAKELPMSEIWGEETKVNPSKNDHMYKDIENFLFRMLGDGFQLERDDIRGVLDKCGYDMQKSMEKLLDVSAVTSDEESKCRGKSTGKIFDLHSNSEEHSYQNKVPLVNSLRGDANGISNINYGGLPEQERRNDLEKEVLVALFNGDDRPQQSSRKASQAARRAKVLGELAVELPGDFTFEHKTVSVGSKQDKCNDEDEDEEDNYQQLRRAVKEYRVTMKEYYKAAADAFAKGDHDRFNKLMDEGQLFNEKARKADEESSKKIFETKNVDTKDELPLDLHDHDAKEAMRLLKRHLSTLSGIPSIKYLKVIIETDEEDTSKGARRRLVMKLLEKELIKWEEGENAGTILIRLDEINRKSLSFAK >Manes.17G044200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23812499:23821357:1 gene:Manes.17G044200.v8.1 transcript:Manes.17G044200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMLNTQYDGKDGKALKALFEAFGPLFSPQDIASAYYKADQNADLAGLILYDKQESTFTSSVTNGGARTVESSKSSYDTLSKRSCYANGKFRASKQKWRPVSGGTISSILGKEYTKSMPLANSYCMGKKPMKLDAKELPMSEIWGEETKVNPSKNDHMYKDIENFLFRMLGDGFQLERDDIRGVLDKCGYDMQKSMEKLLDVSAVTSDEESKCRGKSTGKIFDLHSNSEEHSYQNKVPLVNSLRGDANGISNINYGGLPEQERRNDLEKEVLVALFNGDDRPQQSSRKASQAARRAKVLGELAVELPGDFTFEHKTVSVGSKQDKCNDEDEDEEDNYQQLRRAVKEYRVTMKEYYKAAADAFAKGDHDRFNKLMDEGQLFNEKARKADEESSKKIFETKNVDTKDELPLDLHDHDAKEAMRLLKRHLSTLSGIPSIKYLKVIIETDEEDTSKGARRRLVMKLLEKELIKWEEGENAGTILIRLDEINRKSLSFAK >Manes.17G044200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23812465:23821357:1 gene:Manes.17G044200.v8.1 transcript:Manes.17G044200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMLNTQYDGKDGKALKALFEAFGPLFSPQDIASAYYKADQNADLAGLILYDKQESTFTSSVTNGGARTVESSKSSYDTLSKRSCYANGKFRASKQKWRPVSGGTISSILGKEYTKSMPLANSYCMGKKPMKLDAKELPMSEIWGEETKVNPSKNDHMYKDIENFLFRMLGDGFQLERDDIRGVLDKCGYDMQKSMEKLLDVSAVTSDEESKCRGKSTGKIFDLHSNSEEHSYQNKVPLVNSLRGDANGISNINYGGLPEQERRNDLEKEVLVALFNGDDRPQQSSRKASQAARRAKVLGELAVELPGDFTFEHKTVSVGSKQDKCNDEDEDEEDNYQQLRRAVKEYRVTMKEYYKAAADAFAKGDHDRFNKLMDEGQLFNEKARKADEESSKKIFETKNVDTKDELPLDLHDHDAKEAMRLLKRHLSTLSGIPSIKYLKVIIETDEEDTSKGARRRLVMKLLEKELIKWEEGENAGTILIRLDEINRKSLSFAK >Manes.17G044200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23812499:23821357:1 gene:Manes.17G044200.v8.1 transcript:Manes.17G044200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMLNTQYDGKDGKALKALFEAFGPLFSPQDIASAYYKADQNADLAGLILYDKQESTFTSSVTNGGARTVESSKSSYDTLSKRSCYANGKFRASKQKWRPVSGGTISSILGKEYTKSMPLANSYCMGKKPMKLDAKELPMSEIWGEETKVNPSKNDHMYKDIENFLFRMLGDGFQLERDDIRGVLDKCGYDMQKSMEKLLDVSAVTSDEESKCRGKSTGKIFDLHSNSEEHSYQNKVPLVNSLRGDANGISNINYGGLPEQERRNDLEKEVLVALFNGDDRPQQSSRKASQAARRAKVLGELAVELPGDFTFEHKTVSVGSKQDKCNDEDEDEEDNYQQLRRAVKEYRVTMKEYYKAAADAFAKGDHDRFNKLMDEGQLFNEKARKADEESSKKIFETKNVDTKDELPLDLHDHDAKEAMRLLKRHLSTLSGIPSIKYLKVIIETDEEDTSKGARRRLVMKLLEKELIKWEEGENAGTILIRLDEINRKSLSFAK >Manes.03G161600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28844678:28848003:-1 gene:Manes.03G161600.v8.1 transcript:Manes.03G161600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQGVDDYTKDGTVDLRGNPVLRSKRGGWRACSFVVVYEVFERMAYYGISSNLVVYLTKKLHEGTVKSSNNVTNWVGTVWMTPVLGAYVADARLGRYWTFVIASLIYLSGMCLLTLSVSLGALRPPPCKDTNVDNCQKASALQVAVFFGALYTLALGTGGTKPNISTIGADQFDDFDPKEKGQKLSFFNWWMFSIFFGTLFANTVLVYVQDNVGWTLGYALPTLGLLISVAIFLAGTPYYRHRLPTGSPFTRMARVIVAALRKWNVAIPNDPKELYELDLEEYANKGKFRIDSTPTLRYLNKAAVRTGSSDPWMLCSVTQVEETKQMLRMIPILIATFVPSTMVAQINTLFVKQGTTLDRHIGSFKIPPASLSAFVTLTMLISVVLYDQYFVRIMRRWTNNPRGITLLQRMGIGMVFHIIIMITASLIERHRLSVAKKHGLVESGGQIPLTIFILLPQFVLMGMADAFLEVAKLEFFYDQAPESMKSLGTSYSTTSLGVGNFLSSFLLSTVARITKNHGHHNGWILNNLNASHLDYYYAFFAILNFVNLIFFFVVIKFYVYKAEVSDSMEVLAEELKGIRLRASSQEVSNTS >Manes.09G027975.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6109198:6109545:1 gene:Manes.09G027975.v8.1 transcript:Manes.09G027975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPILLLVLLCSVDFLPQGLARPWLEAFDEEGIQNFALPPITHEPNVSKCLKIFHEEKIFIVDPRCCSLVDKISEDCSETCFAGLTESFFSIVLKNYCAYK >Manes.05G197600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32184830:32188123:1 gene:Manes.05G197600.v8.1 transcript:Manes.05G197600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLGSLQISFNHPRVSLSPKLDTASSSIYALSSKKLRFPSLLSQESSFLNPLALVSNVKYVKDSRRRGSSVRMSWDGPLSSVKLIIQGKNLELTDTVKNHVEEKVGKAVQKHSHLVREVDVRLSVRGGEFGKGPRIRRCEVTLFTKKHGVIRAEEDAETIYASIDLVSSIIQRKLRKIKEKVSDHGRHMKGFNRLKVREPVAEPVKDDVDGVPQDEDEDYIDEIVRTKYFDMPPLTVSEAIEQLENVDHDFYGFRNEETGEINIVYKRKAGGYGVIIPKGHGKAEKLEPLVVEPAKEPSLAE >Manes.13G063400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7665993:7670499:1 gene:Manes.13G063400.v8.1 transcript:Manes.13G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPGHSPRHLSSPSPSASTSPQHALQISNTSSIRTPVNPRKRPTVLDEDTYVAAIEKIIERDFFPDISKLRDRLDWLEAVKTGDPIQIRDAQLKIIERRGKRAKNSNPDGSTQTRNRSQTPGSTFMHNFTPFDEFDNKTPSAANRELPANGESSEHESSVDDSLSLDDFFRRYTSEDNDSFSKILEKVNRKRKERYGHLLEGEIQDVKLIEDAKRDRITDGYGTSDQPPSTLEGWNYTAKNLLMYNPSDRGEAPLTEEERSVRLKGLTKEINRPNTRFHGKMLDSRPKDDGTVEVLYTPVVGATPLPVSDRDVDKAKKYDLEDLRKTPSGFYEESGKKAENGYSFVRTPSPAPGVDESPFITWGEIEGTPLRLEPEDTPIDIGGSGDGSHFKIPNPPARDVKAHSLSREAARKLRERSKMFQKPPLPSPARGGSASPSVRTLSPAAQKFMRNAISKSSSSVDESLRASYRGASPGVGTPKSRSVSRFGRDGSLSSRSPSVREDSNPPW >Manes.01G175600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35659003:35660671:1 gene:Manes.01G175600.v8.1 transcript:Manes.01G175600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIQHSAFAGQTALKQSNELVRKVGSFDGGRFSMRRTVKSAPPSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >Manes.08G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:654366:656568:-1 gene:Manes.08G003900.v8.1 transcript:Manes.08G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLERSKKKVQLWKKAVVHFALCFVMGFFTGFAPTGKASIFSSQIISSNKSYFSPQPIEVLHSAVTPPAQDPKNDSLAAETPMQIPAESERAKFLEEVEEREAKLNPRRLIIIITPMSSKDAYEGVFLRRLANTIRLVPPPLLWIVVEGQTESDEVSEILRKTGIMYRHFVSKENFTDPQAELDHQRNVALRHIEQHRLSGIVHFAGLSNTYDLAFFDELREIEVFGTWPMALLTANKNKVIIEGPVCDSSQVIGWHLKKINNQTDSTPPIHISSFGFNSSILWDPERWGRPSSVPQTSQNSIKFVKQVALEDETKLKGIPPEECSKIMLWQLKFPIENSSSDSLSNTAVDSNQR >Manes.02G202577.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:19346027:19346641:-1 gene:Manes.02G202577.v8.1 transcript:Manes.02G202577.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDPLPCINKTFSLVVQQKRQLNLGLINEPKALVAKSPQDFSQTFSYGRGTLFSFNRDHKRFASQSNIKLCTFCSRMRYTEEICYHKHGFPPSFKFRNNIIASINNNCT >Manes.06G090500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22632392:22634630:-1 gene:Manes.06G090500.v8.1 transcript:Manes.06G090500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSGLVKEDDQMDLPPGFRFHPTDEELISHYLYKKVLDIDFSSRAIGDVDLNKSEPWELPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKFSVHNLPKTAKNEWVICRVFQKSSGGKKTHISGLVRLGSFGNELGPSGLPPLMDSSPFSGKTKPFAESAYVPCFSNPIDVQRNQQQSIDCLNNNLFAVSSNPADIYPRIPLPNSFYSSQTVPFSANLQYPGSVLMQDHSILRALIENQGSNLKQSFKTEREMVSASQETGLTTDMNTEISSVISNLEMGKRGFDDQEAPSTSAGPVDLDCLWNY >Manes.06G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22632392:22634943:-1 gene:Manes.06G090500.v8.1 transcript:Manes.06G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSGLVKEDDQMDLPPGFRFHPTDEELISHYLYKKVLDIDFSSRAIGDVDLNKSEPWELPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKFSVHNLPKTAKNEWVICRVFQKSSGGKKTHISGLVRLGSFGNELGPSGLPPLMDSSPFSGKTKPFAESAYVPCFSNPIDVQRNQQQSIDCLNNNLFAVSSNPADIYPRIPLPNSFYSSQTVPFSANLQYPGSVLMQDHSILRALIENQGSNLKQSFKTEREMVSASQETGLTTDMNTEISSVISNLEMGKRGFDDQEAPSTSAGPVDLDCLWNY >Manes.17G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24609594:24613903:-1 gene:Manes.17G048600.v8.1 transcript:Manes.17G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFWTSRLAAAKRQYTLQHHHQSSHLDRLSIDDFEVEEEVRPDFPCPYCYEDFDIASLCSHLEDEHSCESKVTVCPICSVKVARDMLSHITLQHGHLFKLQRRRRLRRVAIPNSQALSLLGRDLREAHLQVLLGGGGYRSSSANVSNAATDPFLSSLILNFPASEAEEISKSAATSVEDTPAKNAAPAYMWRSSLDPSLSYEEREKRMRQATGRAGFVQDLLLSTLLSD >Manes.08G120000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35895183:35899951:1 gene:Manes.08G120000.v8.1 transcript:Manes.08G120000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQSFFKSILKAETLSRRPSQFMWLPDTSEEVKNMVEAESRAQDNIRKMILEEAIRKAKKIMEGHPEKMFTIEEYQRFHQCAYELSAFPGSDDNSIWIYRRFKTTLEESVLSIVLPSLKDKSDAALLRELILMWSNYSLMARWLCRFFEYLDRYFIPESMELCSLRDISVNCFKDLVFRELYSKFRAAAISLINQERMGLHIDRDLLKNVLLFFMEINKHKGVSYYEDFERTMLEETATYYSQLAQQMLVCDSSEDYMQKVDQCFNEEKARASYYLPCNSQLKLIEVVRCQLLSQPVDKLIENRTAENSGLVTDYQEMLSKYAGMTL >Manes.09G052800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8753885:8755366:-1 gene:Manes.09G052800.v8.1 transcript:Manes.09G052800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELYSQPNKKMEDLPPGIRFYPTEEELVSFYLQNKLEGKRLDLILAMDRIIPVLDIYEFNPWQLPQYAGELSSRDPEQWFFFIPRQESEARGGRPKRLTSEGYWKATGSPGHVYSNNRSIGMKRTMVFYRGRAPNGRKTDWKMNEYKAVQPDSSSSSSTAAASPTLRHEFSLCRVYKKSKNMRAFDRRPVGVDEEIMRSHHQAAAPASAIIQADHHESTTSSHDLQNPPMALERSNSAAGSSSSEDHAPDINPSQTDETSSSLMSLDTQPSWDWDQLDWYYGGSS >Manes.03G057100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:5631471:5633996:-1 gene:Manes.03G057100.v8.1 transcript:Manes.03G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGESLHSNPTVQIPHWDPFFDEPTSPLPSPFSNCGNASSPFLDSLTALHRYLPSNEPDSLSDDLDLPVDAFSCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRRYHYSGTACPDFRKGGCKKGDSCEFAHGVFECWLHPARYRTQPCKDGPACRRRVCFFAHTPDQLRVLPQQSPRGNGSGSGDMEVGSPLRHHFDSYFTKAGSFVSSPTSILTSPPMSPPSDSPPISPSSPQVIGGSFNSMSELLTSMRGLNLGKMKVGPASWGMQNGSGFGSPRGSTLRPGFCSLPSTPTRTTSRPGIGQLDLWDQSLEEEPAMERVESGRDLRAKIYAKLSKENSLDRAETGASAPDLGWVSELVK >Manes.14G063400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5284330:5286084:1 gene:Manes.14G063400.v8.1 transcript:Manes.14G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRLKVAALSFLYFFAQVIAIEKPQYQIVHAESDFQVRLYAQATWMAAPVSEISFEKATLDGFHRLFQFIQGANLNWTRIPMTAPVVTSIVPGAGPFQSSAYSVLFYLPLKFQADPPIPIPELHLKPYVWDSHCVAVRKFSGYATDDNIIKEAKRLSISLSRSPWINNTSAETNFAYSIAQYDSPFHFIGRLNEVWADIDATDGCEYSKIATY >Manes.14G036100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3162012:3166796:-1 gene:Manes.14G036100.v8.1 transcript:Manes.14G036100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQRAMLDELMGADRNLTEEEKKEYKEITWDSKEVCPYYMARFCPHDLFVNTKSDLGPCDRIHDPKLKESFENSPRHDRYLPKFEAELAQRCEKLVMDLDRRVRRGRERLAQGEEPMPPPPLSAGKSEQLSVVEEKIKNLLVQVESLGEAGKVDEAQALMKKVDELNVEKALIQSQSDKLLMVPQEKKMALCEICGSFLVANDAVERTQSHVLGKQHIGYGLVRDFITEYKEAKEKAKEEERLAREKEAEERRKQREKEHERRRSNSDDRDRYHDRDRDRERDRNRERERDRERTREWNGRGSGDGGKGTDWRSRNGRESGKDRYRDRSRSRSPVRHGHRRSPKSPVRQY >Manes.14G036100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3162012:3166796:-1 gene:Manes.14G036100.v8.1 transcript:Manes.14G036100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQRAMLDELMGADRNLTEEEKKEYKEITWDSKEVCPYYMARFCPHDLFVNTKSDLGPCDRIHDPKLKESFENSPRHDRYLPKFEAELAQRCEKLVMDLDRRVRRGRERLAQGEEPMPPPPLSAGKSEQLSVVEEKIKNLLVQVESLGEAGKVDEAQALMKKVDELNVEKALIQSQSDKLLMVPQEKKMALCEICGSFLVANDAVERTQSHVLGKQHIGYGLVRDFITEYKVSYISKSEFQFGICFSHLTKNEEYILQEAKEKAKEEERLAREKEAEERRKQREKEHERRRSNSDDRDRYHDRDRDRERDRNRERERDRERTREWNGRGSGDGGKGTDWRSRNGRESGKDRYRDRSRSRSPVRHGHRRSPKSPVRQY >Manes.14G036100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3162012:3166796:-1 gene:Manes.14G036100.v8.1 transcript:Manes.14G036100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQRAMLDELMGADRNLTEEEKKEYKEITWDSKEVCPYYMARFCPHDLFVNTKSDLGPCDRIHDPKLKESFENSPRHDRYLPKFEAELAQRCEKLVMDLDRRVRRGRERLAQGEEPMPPPPLSAGKSEQLSVVEEKIKNLLVQVESLGEAGKVDEAQALMKKVDELNVEKALIQSQSDKLLMVPQEKKMALCEICGSFLVANDAVERTQSHVLGKQHIGYGLVRDFITEYKEAKEKAKEEERLAREKEAEERRKQREKEHERRRSNSDDRDRYHDRDRDRERDRNRERERDRERTREWNGRGSGDGGKGTDWRSRNGRESGKDRYRDRSRSRSPVRHGHRRSPKSPVRQY >Manes.14G036100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3162012:3166796:-1 gene:Manes.14G036100.v8.1 transcript:Manes.14G036100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQRAMLDELMGADRNLTEEEKKEYKEITWDSKEVCPYYMARFCPHDLFVNTKSDLGPCDRIHDPKLKESFENSPRHDRYLPKFEAELAQRCEKLVMDLDRRVRRGRERLAQGEEPMPPPPLSAGKSEQLSVVEEKIKNLLVQVESLGEAGKVDEAQALMKKVDELNVEKALIQSQSDKLLMVPQEKKMALCEICGSFLVANDAVERTQSHVLGKQHIGYGLVRDFITEYKEAKEKAKEEERLAREKEAEERRKQREKEHERRRSNSDDRDRYHDRDRDRERDRNRERERDRERTREWNGRGSGDGGKGTDWRSRNGRESGKDRYRDRSRSRSPVRHGHRRSPKSPVRQY >Manes.08G099200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33187062:33188039:1 gene:Manes.08G099200.v8.1 transcript:Manes.08G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWLSGSSKPSNAMSIVELSVHMDCEGCEKRIRRAISKIHGVDSLEIDMDKQRVTVTGYVDQRKVLKIVRRTGRRAEFWPFPYDSEYYPYASQYLDESTYTTSYNYYRHGFNESVHGYFPDQAYCTVDDNAVHLFSEDNVHAYCSIM >Manes.08G099200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33187062:33188039:1 gene:Manes.08G099200.v8.1 transcript:Manes.08G099200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFSCTWHSHHVWMAVWEFKTVKCHVCVDSLEIDMDKQRVTVTGYVDQRKVLKIVRRTGRRAEFWPFPYDSEYYPYASQYLDESTYTTSYNYYRHGFNESVHGYFPDQAYCTVDDNAVHLFSEDNVHAYCSIM >Manes.06G092300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22755172:22755456:1 gene:Manes.06G092300.v8.1 transcript:Manes.06G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVHRGILLLLFIGYLALQPQKVSSLSSLDLALRWKQGLLPFARNSRMLRSVALRDFQTLASSAPAPSMVFDPNQSNKRTVRKGSDPIHNRC >Manes.09G132700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33373712:33375031:-1 gene:Manes.09G132700.v8.1 transcript:Manes.09G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAALAIFAICLSCLVSIDAASKPRHGFSVELINRDSPKSPFYKPDETHSQRLANALRRSISRSHHFNRKINAESPTTVESEIFNVQGEFLMKLSVGTPPFEILAIADTGSDLIWTQCQPCDQCYQQDAPIFDPKSSSTYRDLSCTSSQCERVNDQSKCDVNQHCYYTYAYGDSSSTSGNFAAETITLDSTSGRPVNFPKSLFGCGHDNSGTFGAQGSGLVGLGGGSISLISQLGSSIDGKFSYCLVPVSSTATSNSSSKLNFGSNAVVSGEGAVSTPLTKKEPDTFYFLTLEAISVGEKRIEFPGSSFGASDGNIIIDSGTTLTLVPQDFYSELESAVESASNGRRVQDPTSTLSLCYAADNNLEVPVLTAHFSGADVELKTINTFIQVSEGVVCLAFIANDSIAIFGYIAQLNHLVGYDIQENTVSFKPTDCTKE >Manes.01G234400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39740980:39748132:1 gene:Manes.01G234400.v8.1 transcript:Manes.01G234400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTVLIQDAMGEKVGKFLQLVSTFIGGFVVAFIKGWLLTIVLLSSIPLLVLAGAAMSISIARMASRGQNAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKNLVTAYNSGVNEGLATGLGLGVLMLIIFCSYALAIWFGGKMILEKGYTGGSVLNVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFDTISRKPEIDAYDTRGKILDDIHGDIELRDIHFSYPARPDEQIFSGFSLFIASGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTASIRDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKMVEKGSHSELLSDPEGAYSQLIRLQEVNKDSEQATEDHKRSNLSSESFRQSSQRISLQRSISRESSGVGNSSRHSFSVSFGLPTGINVTENSQEKNEVSPPQKEIPEVSIRRLAYLNKPEIPVLTIGTIAACINGIIFPIFGILISRVIKSFYEPPHELRKDTKFWAFIFMIIGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPEHSSGAIGARLSADAAVVRALVGDALAQLVQNIASAVAGLVIAFTASWQLAFIILVLLPLIGINGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPLKTGVRQGLISGIGFGVSFFFLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMAAIGISQSSSFAPDSAKAKNAAASIFSIIDRKSKIDPSDDSGMTVENVRGEIELRHVSFKYPSRPDVQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPESGHITLDGVEIQRLQLKWLRQQMGLVSQEPVLFNYTIRANIAYGKDGDATEAEIIAASEKANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHENLINMRDGFYASLVALHMSASTA >Manes.01G234400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39741001:39747675:1 gene:Manes.01G234400.v8.1 transcript:Manes.01G234400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGLNGVAGTQEAGTSKTYEEEEEKNPGINGNLQEAKKSKEDEKTNSVPFHKLFSFADSIDILLMIVGTIGAVGNGISLPLMTIFLGDTINAFGQNQNKDVVHVVSKVSLKFVYLAVASAVASFLQVACWIVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFIGGFVVAFIKGWLLTIVLLSSIPLLVLAGAAMSISIARMASRGQNAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKNLVTAYNSGVNEGLATGLGLGVLMLIIFCSYALAIWFGGKMILEKGYTGGSVLNVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFDTISRKPEIDAYDTRGKILDDIHGDIELRDIHFSYPARPDEQIFSGFSLFIASGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTASIRDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKMVEKGSHSELLSDPEGAYSQLIRLQEVNKDSEQATEDHKRSNLSSESFRQSSQRISLQRSISRESSGVGNSSRHSFSVSFGLPTGINVTENSQEKNEVSPPQKEIPEVSIRRLAYLNKPEIPVLTIGTIAACINGIIFPIFGILISRVIKSFYEPPHELRKDTKFWAFIFMIIGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPEHSSGAIGARLSADAAVVRALVGDALAQLVQNIASAVAGLVIAFTASWQLAFIILVLLPLIGINGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPLKTGVRQGLISGIGFGVSFFFLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMAAIGISQSSSFAPDSAKAKNAAASIFSIIDRKSKIDPSDDSGMTVENVRGEIELRHVSFKYPSRPDVQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPESGHITLDGVEIQRLQLKWLRQQMGLVSQEPVLFNYTIRANIAYGKDGDATEAEIIAASEKANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHENLINMRDGFYASLVALHMSASTA >Manes.01G234400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39740896:39748131:1 gene:Manes.01G234400.v8.1 transcript:Manes.01G234400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGLNGVAGTQEAGTSKTYEEEEEKNPGINGNLQEAKKSKEDEKTNSVPFHKLFSFADSIDILLMIVGTIGAVGNGISLPLMTIFLGDTINAFGQNQNKDVVHVVSKVSLKFVYLAVASAVASFLQVACWIVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFIGGFVVAFIKGWLLTIVLLSSIPLLVLAGAAMSISIARMASRGQNAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKNLVTAYNSGVNEGLATGLGLGVLMLIIFCSYALAIWFGGKMILEKGYTGGSVLNVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFDTISRKPEIDAYDTRGKILDDIHGDIELRDIHFSYPARPDEQIFSGFSLFIASGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTASIRDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKMVEKGSHSELLSDPEGAYSQLIRLQEVNKDSEQATEDHKRSNLSSESFRQSSQRISLQRSISRESSGVGNSSRHSFSVSFGLPTGINVTENSQEKNEVSPPQKEIPEVSIRRLAYLNKPEIPVLTIGTIAACINGIIFPIFGILISRVIKSFYEPPHELRKDTKFWAFIFMIIGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPEHSSGAIGARLSADAAVVRALVGDALAQLVQNIASAVAGLVIAFTASWQLAFIILVLLPLIGINGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPLKTGVRQGLISGIGFGVSFFFLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMAAIGISQSSSFAPDSAKAKNAAASIFSIIDRKSKIDPSDDSGMTVENVRGEIELRHVSFKYPSRPDVQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPESGHITLDGVEIQRLQLKWLRQQMGLVSQEPVLFNYTIRANIAYGKDGDATEAEIIAASEKANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHENLINMRDGFYASLVALHMSASTA >Manes.01G234400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39741001:39747675:1 gene:Manes.01G234400.v8.1 transcript:Manes.01G234400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGLNGVAGTQEAGTSKTYEEEEEKNPGINGNLQEAKKSKEDEKTNSVPFHKLFSFADSIDILLMIVGTIGAVGNGISLPLMTIFLGDTINAFGQNQNKDVVHVVSKVSLKFVYLAVASAVASFLQVACWIVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFIGGFVVAFIKGWLLTIVLLSSIPLLVLAGAAMSISIARMASRGQNAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKNLVTAYNSGVNEGLATGLGLGVLMLIIFCSYALAIWFGGKMILEKGYTGGSVLNVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFDTISRKPEIDAYDTRGKILDDIHGDIELRDIHFSYPARPDEQIFSGFSLFIASGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTASIRDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKMVEKGSHSELLSDPEGAYSQLIRLQEVNKDSEQATEDHKRSNLSSESFRQSSQRISLQRSISRESSGVGNSSRHSFSVSFGLPTGINVTENSQEKNEVSPPQKEIPEVSIRRLAYLNKPEIPVLTIGTIAACINGIIFPIFGILISRVIKSFYEPPHELRKDTKFWAFIFMIIGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPEHSSGAIGARLSADAAVVRALVGDALAQLVQNIASAVAGLVIAFTASWQLAFIILVLLPLIGINGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPLKTGVRQGLISGIGFGVSFFFLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMAAIGISQSSSFAPDSAKAKNAAASIFSIIDRKSKIDPSDDSGMTVENVRGEIELRHVSFKYPSRPDVQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPESGHITLDGVEIQRLQLKWLRQQMGLVSQEPVLFNYTIRANIAYGKDGDATEAEIIAASEKANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHENLINMRDGFYASLVALHMSASTA >Manes.01G234400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39741001:39748132:1 gene:Manes.01G234400.v8.1 transcript:Manes.01G234400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDTVLIQDAMGEKVGKFLQLVSTFIGGFVVAFIKGWLLTIVLLSSIPLLVLAGAAMSISIARMASRGQNAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKNLVTAYNSGVNEGLATGLGLGVLMLIIFCSYALAIWFGGKMILEKGYTGGSVLNVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFDTISRKPEIDAYDTRGKILDDIHGDIELRDIHFSYPARPDEQIFSGFSLFIASGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTASIRDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKMVEKGSHSELLSDPEGAYSQLIRLQEVNKDSEQATEDHKRSNLSSESFRQSSQRISLQRSISRESSGVGNSSRHSFSVSFGLPTGINVTENSQEKNEVSPPQKEIPEVSIRRLAYLNKPEIPVLTIGTIAACINGIIFPIFGILISRVIKSFYEPPHELRKDTKFWAFIFMIIGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPEHSSGAIGARLSADAAVVRALVGDALAQLVQNIASAVAGLVIAFTASWQLAFIILVLLPLIGINGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPLKTGVRQGLISGIGFGVSFFFLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMAAIGISQSSSFAPDSAKAKNAAASIFSIIDRKSKIDPSDDSGMTVENVRGEIELRHVSFKYPSRPDVQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPESGHITLDGVEIQRLQLKWLRQQMGLVSQEPVLFNYTIRANIAYGKDGDATEAEIIAASEKANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHENLINMRDGFYASLVALHMSASTA >Manes.01G234400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39740980:39748132:1 gene:Manes.01G234400.v8.1 transcript:Manes.01G234400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGTIGAVGNGISLPLMTIFLGDTINAFGQNQNKDVVHVVSKVSLKFVYLAVASAVASFLQVACWIVTGERQAARIRGLYLKTILRQDVAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFIGGFVVAFIKGWLLTIVLLSSIPLLVLAGAAMSISIARMASRGQNAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKNLVTAYNSGVNEGLATGLGLGVLMLIIFCSYALAIWFGGKMILEKGYTGGSVLNVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFDTISRKPEIDAYDTRGKILDDIHGDIELRDIHFSYPARPDEQIFSGFSLFIASGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTASIRDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKMVEKGSHSELLSDPEGAYSQLIRLQEVNKDSEQATEDHKRSNLSSESFRQSSQRISLQRSISRESSGVGNSSRHSFSVSFGLPTGINVTENSQEKNEVSPPQKEIPEVSIRRLAYLNKPEIPVLTIGTIAACINGIIFPIFGILISRVIKSFYEPPHELRKDTKFWAFIFMIIGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPEHSSGAIGARLSADAAVVRALVGDALAQLVQNIASAVAGLVIAFTASWQLAFIILVLLPLIGINGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPLKTGVRQGLISGIGFGVSFFFLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMAAIGISQSSSFAPDSAKAKNAAASIFSIIDRKSKIDPSDDSGMTVENVRGEIELRHVSFKYPSRPDVQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPESGHITLDGVEIQRLQLKWLRQQMGLVSQEPVLFNYTIRANIAYGKDGDATEAEIIAASEKANAHKFISSLQQGYDTVVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHENLINMRDGFYASLVALHMSASTA >Manes.06G000400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:217193:230218:-1 gene:Manes.06G000400.v8.1 transcript:Manes.06G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSSLKNRYTLTLVLFLVLFLLTIFLFTKRALEPSLSLYRDFFPQTHFHLSAPDSVPSDALYQLVSPISENVSDAVSGELPVGKDKEDAISDSEVVGAPAQQPTSPSSTNRPMESKAYDESINGQISTANKEAQVPYSEPVAVGDNGSHKLIQNGKHCDLYMGTWVKDEEYPIYRPGSCPYVDEAYDCQINGRKDSDYLKWRWKPETCDLPRFNATDFLTRLRGKRLMLIGDSMNRNQFESMLCLLREGLPDKSRMREIHGHKITKGRGYYVFKFMDYNCSVEFVRSHFLVREGVRINAQGNSNPTLSIDRIDKTSGRWKRADILVFNTGHWWTHGKTARGKNYYKEGDHLYPQFDAVEAYRRALRTWAKWVDQNVDPAKQLVFYRGYSSAHFRGGDWDSGGTCNGETEPILRGAMLGNYPMKMKIVEEVIREMHIPVKLLNVTKLTNFRKDGHPSVYGKNLTAGRRVSTRRQDCSHWCLPGVPDAWNELIYATLVFGQSFSTK >Manes.07G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32685359:32687447:1 gene:Manes.07G123300.v8.1 transcript:Manes.07G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMRQSHIQQRKGSRLILFTLPLQGHINPMLQLANILYSKGFSISIIQTNFNSINPSNYPHFTFHSILDGLLESEASTEDIILFLSILNVKCVEPFRNCLAKLLSDVLEEPVACLITDLVWCFAQPVAEGFKLPTIVVRTTSLCSFLGFAAFPLLLDKGYLPIQACRLAEPVQELQPLKVKDIPVIKTSKPEALYEIVRGMVERAKNSSGIIWNSFEELEKDSLTTLTKDVPVPMFLIGPFHKFCPTSSSSLLTQDRSCISWLDTQVPNSVLYVSFGSIAAIDEVEFQEIAWGLANSKQPFLWVVRPGLVLGSEWVESLPDGFLEMIGERGRILKWAPQQEVLAHAAIGGYWTHNGWNSTLESLCEGVPLICLPSFGDQRVNARFVSDVWRVGILLEKKLERGNVESAIRRLMVEAEGKELRMRALDLKGKAEFCVREKGSSCRNLENLINYILLFACKDATLCQ >Manes.17G018812.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:8892352:8893318:1 gene:Manes.17G018812.v8.1 transcript:Manes.17G018812.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIAPLTMESEEEEKSDTDHELIQLEKMLKETEPAEVNRIKYPKARATMELKPYYPRPSPINLQFEDTSYNYMQYDGTSIVEWNIDGLSEYQIKNVLQYMTMYATASRAKGNDDPSTAKALIAGFGGQLKGWWDFAVSNEGKEIIFKMVKQEGTQQVPDVVNTLLYTIGLHFIGSVNMLIDRAQEQLINLRCPDLSHFKWYKDTFFSLVFIREDSNNSVWKEKFLAGLPALFAERVKDQIRSKHNGNIPYHDYTYGELASEVVTTGISLCNELKIHKQMQKERFHGKQILGSFCEQYGIQPFKFPSTKFRGGREE >Manes.06G084100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21953596:21958395:1 gene:Manes.06G084100.v8.1 transcript:Manes.06G084100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCSVLIIFLSLTLVSTATARPIYPLPSKISNGIRKPLQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDNTTDIAKHNEFTNRKRTYDVQGVNTTGFFTVDFTLEELKTLRVKQRHPFRDQQYNGKFSIITFEEFIAIALDASRVVGIYPEMKNPVFINQHVKWPGGKRFEDKFVETLKKYGYKGSYMSKDWLKQPVFIQSFAPTSLVYISNMTDSPKIFLIDDIDIPTQDTNQSYWEITSDAYLDYIKNYVVGIGPWKDTVVPVVNNYLQTSTDLVARAHSHNLQVHPYTYRNEEMFLHYNFHQDPYEEYDYWINTVGVDGLFTDFTGSLHNFQEWTSPLSKNDSGDDRASKLLNKIALLITSYKN >Manes.06G084100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21953596:21958395:1 gene:Manes.06G084100.v8.1 transcript:Manes.06G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSNAGSVLIIFLSLTLVSTATARPIYPLPSKISNGIRKPLQTSRPYNIAHRGSNGEIPEETAAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDNTTDIAKHNEFTNRKRTYDVQGVNTTGFFTVDFTLEELKTLRVKQRHPFRDQQYNGKFSIITFEEFIAIALDASRVVGIYPEMKNPVFINQHVKWPGGKRFEDKFVETLKKYGYKGSYMSKDWLKQPVFIQSFAPTSLVYISNMTDSPKIFLIDDIDIPTQDTNQSYWEITSDAYLDYIKNYVVGIGPWKDTVVPVVNNYLQTSTDLVARAHSHNLQVHPYTYRNEEMFLHYNFHQDPYEEYDYWINTVGVDGLFTDFTGSLHNFQEWTSPLSKNDSGDDRASKLLNKIALLITSYKN >Manes.04G082300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28358989:28360066:-1 gene:Manes.04G082300.v8.1 transcript:Manes.04G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPGPYSGTSTVALVARVSAFSVGLVYGNLKLKYLKAKAKSHKKAEAKAHH >Manes.10G112200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26454299:26460023:1 gene:Manes.10G112200.v8.1 transcript:Manes.10G112200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPFGGAEPIQLFVKMMNSQAPPMEINVDANDIIKIIHEKILVTWKIPVTEQKLFYETKQLKHWDTLKECSIQNKACLELMVRWDDKDDPSALPQMIHQMSSNICRMCQGECDSKYGDCCSIIRQVLDRMSEENSDILSLYSVPATLVMLYSSPIEGNKFYGNNLIRLSMELILMTENQPSHDEIASLGLEFCNLLREVSSEDPLYNSCRTMLAEYLVYNYEIYYDSYPWTMIQILLFTVKLSQDLSNGLANLFYRSEHIEPLRIQVRDLGDFLILSHEAINILKYEDEDDEQNRMVKFIVDVIKDLFHLHLEDMEQNLTRLAHMKPIFENFDTGRPVSLLYLAILNELNGMSTLVEGAVDEFKRLLEGQKNSLQIMVKNIMRSDDYDWLLEHIDVLDSESRMHLIMMTMFPVKKLHNAGLLNTLFCWSEKVDKKLFNAFKGKDLTDPKVLHHWLCKVCQVLFEPKNLLFRACRDNPPEFYPNPELEPEPFHLDCISFAGKVIALALMHEMQVGVALDRVFLMQLAGKNISLEDVKDADPCFYNRCKELINKDDLSALESPKVSESLLWHCFVRSISKQVSFFSEGFEMIFKTSISQLLEDFEGLELEDINNVLKGKVNAGSNFDKKRKYLKHECNESDPLMSQLQKIRKRRINITDWQWGAFLGSGSFGRVYEGYAADGFFFAVKVAPLLEGKKVDQIEQEIALLRQFSHPNVVKYFGTHKDEMNLYIFLELVRAGSLEKLYHKFQLQNSQVSLYTKQILKGLKYLHDRNVVHRDIKCANILIDEKGCVKIADFGLSKVTNLKTLMKSCWWNPRWMPPEVVNGKGGGYGFKADIWSVGCTVLEMSTRQIPYSHLEPGAVDYSIGEGNLPPLPDSLTEHSRDFILQCLQVNPDDRPTAAKLLEHPFVKGRRS >Manes.18G066101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5955194:5958995:-1 gene:Manes.18G066101.v8.1 transcript:Manes.18G066101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTDEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESAGKACADPNPVIDGRKANCNIASLRRPRPSTPAFNNAGKPQGDAPYQGSPSYSGAAKPLPPPPPPPPPPVIYPHLGYPPYPPEYGCHQAIYNAQVQHPQYYHQVYGTSSSSSSAVATPYYYHGYSLPRYAPNTHRLAGPATYFYCSNLDLPPPTTIQPTPHDPFPSSSDSQHPSTETQVGVVTSEISNLSLNSEKLNL >Manes.18G066101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5955194:5958995:-1 gene:Manes.18G066101.v8.1 transcript:Manes.18G066101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTDEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESAGKACADPNPVIDGRKANCNIASLRRPRPSTPGKPQGDAPYQGSPSYSGAAKPLPPPPPPPPPPVIYPHLGYPPYPPEYGCHQAIYNAQVQHPQYYHQVYGTSSSSSSAVATPYYYHGYSLPRYAPNTHRLAGPATYFYCSNLDLPPPTTIQPTPHDPFPSSSDSQHPSTETQVGVVTSEISNLSLNSEKLNL >Manes.18G066101.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5955194:5958995:-1 gene:Manes.18G066101.v8.1 transcript:Manes.18G066101.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTDEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESAGKACADPNPVIDGRKANCNIASLRRPRPSTPGKPQGDAPYQGSPSYSGAAKPLPPPPPPPPPPVIYPHLGYPPYPPEYGCHQAIYNAQVQHPQYYHQVYGTSSSSSSAVATPYYYHGYSLPRYAPNTHRLAGPATYFYCSNLDLPPPTTIQPTPHDPFPSSSGMHLFFLPLQVSS >Manes.18G066101.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5955194:5958995:-1 gene:Manes.18G066101.v8.1 transcript:Manes.18G066101.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPHYRSQFGDTTFTKVFVGGLAWETPTDEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESAGKACADPNPVIDGRKANCNIASLRRPRPSTPAFNNAGKPQGDAPYQGSPSYSGAAKPLPPPPPPPPPPVIYPHLGYPPYPPEYGCHQAIYNAQVQHPQYYHQVYGTSSSSSSAVATPYYYHGYSLPRYAPNTHRLAGPATYFYCSNLDLPPPTTIQPTPHDPFPSSSGMHLFFLPLQVSS >Manes.15G093500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7290280:7291524:1 gene:Manes.15G093500.v8.1 transcript:Manes.15G093500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKAVVSIVASFAASAMLIPTIRSRIHSYLCSNLHSVSSYLSSQITFVVEEFYYQELFMAVDAYLGTKLAASVGSVKASKQEGEKKIAITMHKDEETCDVFEDVEVKWKLIFKELRSYELTFNKKHKEKVLNNYLPHILQQAEAIKEDNKIRKINMLQRMTMVSSAEFHHPMTFSTIAMDEELKQEVMNDLNTFMNSKEYYRRIGKAWKRTYLIHGPPGAGKSSLIAAIANHLNYNIYQVDLSYFRQDVDDMRDLLLHYITRSVLVIKDIDSLIRPRYQEAEAGPGLGAQKQAHLLKLLRLIDGLWLPRGNELIIIFTTNHVEKVDPELLMPGRIDLQIHMSYCTISVLKQLVYRYSGVIICKPPEEIEELLEKAEVTPAEVAGELTRSTWNSDFLDRLTEFLQEKQLQKIA >Manes.17G073700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27391081:27392170:1 gene:Manes.17G073700.v8.1 transcript:Manes.17G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLAKFILEVAPPQYITVMRHRASKMMDTINEEERDVSPGKSLASSSANSSAAATAAAAAAASNSKYFPKGVQRSFSIFEN >Manes.03G148200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27557633:27559006:-1 gene:Manes.03G148200.v8.1 transcript:Manes.03G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQWVSCLWLTLLMGSSHVESANGKLHSSVHWHRNFRSLKYVAILVDQSGNGNFTSVQSAIDSIPSNNKRWFCIYIKGGIYREKVKIPYDKPYIILKGEGKRKTQIVWGDYFSTAQSPTFTSLADNTVAKSISFVNSHNFLNKNNPVVPAVAAMISGDRSAFYRCNFAGVQDTLWDDNGRHYFKECTIQGAVDFIFGSGQSLYEGCIIKVVGGGFITAQGRNNPKDTNGFVFKDCKIVGKAPATSPVYLGRPWREYSRVIFYKCYFSKIIDPKGWNPWHFVGEEDRTTYAEYGNYGPGAVSKQRVSWVKKLGSKDIDGLTSLSFINSGNWIQRQPV >Manes.07G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1619025:1620921:-1 gene:Manes.07G013000.v8.1 transcript:Manes.07G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEETGCFDPNSMVEGPDDGLSQVLQPPPPPPLMAGSTTNSHNSFEENLKLSTEELSYHHSNQEDATAAMELQLQNQQMAFNTHLMQDSSNQVLAFTSSSLADASYTPTADLLNIFHLPRCSPSSLLPNSSISFANPTHTAPLGFVGDLPMTDTASASSILYDPLFHLNLPPQPPLFRELFQSLPSHGYSLSGSRGSSLFGGGGDDHVEGSGGGGLYQDGDGEQQFDNGVLEFTWDMACMGKGRKSGKITKHFATERQRRQHLTDKYQALKDLVPNPTKNDRASVVGDAINYIKELLRNVNELKILVERKRCARERNKRLKTEEDSIGNNGHETSSIIKPLGDPDQSFNNISLRSSWLQRKSKDTEVDVRIVDDEVTIKLVQRKKINCLLSVSKVLDELQLDLHHVAGGHIGDYYSFLFNTKIYEGSSVYASAIANKLIEVVDRQYASTPSSSCY >Manes.12G118100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31934499:31937950:1 gene:Manes.12G118100.v8.1 transcript:Manes.12G118100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYILSKWLLGFIVGFPLVIGLSKRLTASFLTFLLRFLPRKWKMRRCAVGVEETSLARRREMRRLSLKISSFLNKHCCHQSLPSNSTSSSLNLIHRQLYQKAHEPIKENSASNNPRNGFGIVCLKTQEGSFKDGDDSSSNNVDEFGRDVEKVYRILRNFHSRVPKLELALHNSGVVMRAGLTERVLNRCGDAGNLGYRFFVWASKQPGYRHSYENYKAMVKIFSKMRQFGAVWALLEEMRKDNSVLITSELFVVLMRRFASARLVQKAIEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKQAASLFEDMRVRFRPSLNHFTSLLYGWCREGKLMEAKHVLVQMREAGFEPDIVVYNNLLIGYTMAGKMADAFDLLKEMRRKGCEPNANSYTILIQALSGQEKMDEAMRVFVEMERSGCEADIVAYAALISGFCKWGKINRAYQIIDTMIEKGHMPNQLIYLHIMLAHEKKEELDECMELKGKMQKVGCVPDLNIYNVVIRLACKLGEVDEGARVWNEMEANGFSPGLDSFVILIHGFIGQDCLVEACEYFKEMVGRGLLYAPQYGILKELLNALLRGKKLEMAKDVWSCIVTKGCELNTDAWTIWIHALFSNGHVKEACSYCLDMMDADVMPKPETFAKLMRGLRKLYNRQFAVEITEKVRKMAADRQTSFKMYKRRGERDLKEKVKAKSDGRKRRARRRQWGKPRGKASI >Manes.04G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17978339:17984979:-1 gene:Manes.04G053300.v8.1 transcript:Manes.04G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGKKSTPKVDAAPSVTTDRKSTKKGKREAEEAVEKLVSAKKHKIDEGAKQAVKKEKIEVKSQKKKKEESSSSDDSSSEEEQKAKVVTKKMQKATKTPIKESSSEESSSDEEAPPKAALPLKKQLTADKNGSVGAAAPKSKEDSSSDSSDDESDEEEVKVAVKKGVKATKPPVEESSSDSEDDSSSDEEPHTKAALPLKKQQLDAKNGSVGAPKNGKADSGSSESSDDESDEEEVKVAIKKVVKATKPPVEDSSSDSEDDSSSDEELPAKASAPKIVPLGAAKKRAESSSSSDERDSSEESDSDEEKAPASKKVALPAANNKAQSTDDSDDSDSDESSDDDKGTNTKATKAALKVQASKKEDGNGSSESDSDSDAPAAKAAVGSKRPSSVVQTKESKKVKVTKKEGSSSDESSSDSSDDEEESEDEKPMKTPRKNSTDVEMVDAATPPTTTKKADLQSAKKAPKTPVTPEVQSTGSKTLFVGNLPFEVERADVEGFFKGVGEVVDVRFAMDKDQRFKGFGHVEFATAEAAQEALKLNGQSLNGRQLRLDLARERGERSSYTPYSGGREDSSFQKGGRGHAQKIFVRGFDTSLGEDDIRSALGEHFGNCGEINRISIPTNYETGAIKGMAYLEFKDADGFNKALELSGSHLGDQYLTVEEAKPLRSDNRDGWGSGRGGGRSSGGRRGGRDGGGGGRFGGRGGGRGRGRGTPYKPSVTTAATGKKTTFNDYD >Manes.18G139211.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19040514:19045612:1 gene:Manes.18G139211.v8.1 transcript:Manes.18G139211.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRNVRLTTGLGGLKPIWILVPNSLEPLRKGTGFRAVTVRRIFPTSLLLLFVFAGRVQSAIFDEKNYGGKADGKPDIRKALLGGWKEACSAKGSNRVVVPKGTYSIIQRTLLASINPSKYAKDNWITFAYIDRFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPISLRFDFITNSVVQDVTSLDSKNFHVCGKNLTFDCFTISAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGKTTDEFVSGIFIRNCTFYDTNNGVRIKTWPALHRGIASNMHFEDIMMKNVRNPIVIDQVYCPRNQCNPKLPSKVKIANVTFENIRGSLATAVAVRLNCNSSFPCQKVELADINLMYRGKEGPAKSLCANVKPTLKEKLTPTIC >Manes.08G019000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1914657:1917100:1 gene:Manes.08G019000.v8.1 transcript:Manes.08G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDYEILGLILAILTWVAWAMVTERRHRRMEEHGVLPPGPRWWPLVGNIFQLGWSPHESFTKLTRTHGPIMTLWLGSMCTVVISSDEVARHMFKNHDVVLAGRKIYEAMKGDYGNEGSIITSQYGAHWRMLRRICTTEFFVTSRLDAMRGVRNRCIDCMVRFIEEAGGNGTNAIDVGRYFFLMSFNLIGNLMFSKDLLDPKSERGARFFYHAGKVMELAGKPNMADFLPFLRWMDPQGLRRKTQFHVERAFEIAGDFIRERMENMEIGGTEEKRKDFLDVLLEFRGEGPEEPPMFSPRTINVIVFEMFTAGTDTTTSTLEWAMAELLHNPKQLHKVQAEIRSKIGPNNKLQEKDIENLPYLKAVIKETLRLHPPLPFLVPHKAMDSCKMLGYYIPKEAQILVNVWAIGRDPKTWKDPLAFRPERFLEPNMVDYKGHHFEFIPFGSGRRMCPAVPLASRVLPLALGSLLYSFDWVLADGLKAEDMDMSERMGITLRKSVPLKALPVPYKGY >Manes.12G101200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26835866:26851811:-1 gene:Manes.12G101200.v8.1 transcript:Manes.12G101200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGVGNKFVSVNLNKSYGQQQYHQHHHNNQHHSSSYGSNRNRPGGVGGGMVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGPAGGGIGSGPRPGSSGTGWTKPATIAILDKEVFGIGGDHTVDGTGSSHTVDQGMPVNGVSRGSGNSVYTLPSARSVVPAVSVPSRGSQVTEKVTVLRGEDFPSLHAALPAASGPEKKQKDGLNQKQKDGLNRKQKQVVGEELANERNSYQLTTLVDMRPQLQLRSNIDNRLQENGEILGWVGRVMPEKDQMRENSFSGPLPLVRLNPRSDWADDERDTGLGLADRGRDHGFSRSEAYCDMDFDFPRPSILPQKPGNNLFYRRGQRDNETGRISSSEVTKVDTYGHDVRMSSLEGREGISRRASSPLSIDGFGVQEAGNEKSGVGIKPSTLNREVTKENKYIPSTFRDNARDDAGRRDSGYGQGGRQPWNNKMDSFGNRLPESNAQERHGSEQYNRYRRDTYQSNSISKSPFSSGDKKLPINDPILNFGRDKRSFARSDKPYLEDPFIKEFGTAGFDGRDPFSGGLVGLVKKKKGVLKQTDFHDPVRESFEAELERVQKMQEQERQRIMEEHERAMELARREEEERMRVAREQEERQRRLEEERQEAMRRAEEERLEAVRRAEEQRIAREEEKQRILLEEERRKQAAKQKLLELEERIAKRHADGATGFSTNSSGVADDNLSGMVSEKDISKVVDMGDWEDSERMVDRITTSASSDSSGMNRPFEVVSRPHFPRDGASVYLDRGKSVNSWKRDLLENGNSSTFHSQDQGNGHRSPRRDTSIGGRTFLRKEFYGGPGFIPSRVYHRGGVSDTHMEDFSQIKGQRWNTPGEGDHYGRNAEFECEFHDNLSERFDDAGWGHGRSRGSLYPPYHERMYQNPEADGLYSFPRSRYSLRQPRVLPPPTNSMLRNSYRADNDCPGPSSFSEGEMQYAHGARNESSVQTRYDTSHQENVRRTVRIDKQQENADNEVRELDRNTARCDSQSSLSVSSPPDSPVHLSHDDLDESRDSPTLSGSEGKKISLLEQGNEYTTLPFGAEKDNMMSGSTHVSTSDEEEWTIQNDQQLQEQEEYDEDEDGYDEEDEVHDGEAEDIDLAQDFDVLHVEEKDSTEMMDNLVLGFNEGVEVGMPNDEFEKCSRTEETKFVIQQISAEEQGFFDGMHMDGQSHQPVDGSAQVGVDNTSRIFQEIEKGMQDLEIEPKNAPQTSSELMDHVDGSTSSCMSTQPHIPSSSGQTVLSSGPSVLGQPEVPVKLPFGLFSGPSLIPSPVPAIQIGSIQMPLHLHASVGPSIAQVRPSQPPLFQFGQLRYTSPISQGILPLGPQSMCFVQPSVPTNFPLNQNVGAHLPIQPGQETSAHNLMKCDILSVSMDNKPGLLPRNLDVSHGVASKEGNSVSTRESSDSNIKLLLGRGELSQLNDSNSRPESGCQLEDAFVKNVEALSTEELESQPQTVATSLQSVSKEKAIGISRVRGLTSGGRGKRYVFAVKNQGSKTSLQDSKNSRQESSGFHRPRRQRTEFRVRESADRRQSTGFVPSLPYGLDDKSNNSGRGSGAKNVSRRVVVPNRPPKQTFESEGLNLQSASSQEVDSGSKPEKGAGKETLRKNQNISHHGEDVDAPLQSGIVRVFEQPGIEAPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMPRKLRSTSQSSVASVTSNKVSVSVCAEANSICTDYVGTDEHGLANGEDSAGNSAPVVSQPLLPIGFPAVKTDAQADFRYQTIKSFQTSSIPGNVKNLATGLMFENKNNVLDNAQTSLGSWGNSQINQQVVALTQNQLDEALKPAQFDTHSSVGDPSKSVGESSLPSSSILAKDKSFASAASPINSLLAGEKIQFGAVTSPTILPPSSRAVSHGMGPPGPCRSDIQISLNLSAAEDDCSLFFEKEKRSDGCESSHLVDCEAEAAASAVAVAAIGSDEIVGNRLGSGPISASDSKGLGGGDINGITAGLSSDRHLVNQSRGDESLSAALPADLSVETPPISLWPPLPSPQNSSGQMISHVPGGPASHFPFYEMNPMLGGPIFAFGPQDEPASSQSQPQKSSAPVSGPAGSWQHHSGVDSFYGPPAGFTGPFISPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHNPAASPMGVSEGDVTTLNMVSAQRNATNLPTPMQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSRWSHVPASPLQSVSVSTPSQQLAEGALPSQFSHGKAVDQQLINRFPESQRTKPTDNTQNFPTATDARVTRLPDALRLVESSRSSNAGTSTQSTVAKSLSAGNISDASKTDAVQNGSGSTSSSSQSTSSAFKMQPSHQRSTSAQNYSNSSGYNYQRGGISQKNSSGGEWSHRRVGYQGRNQSLGSVPTQGRNQSLGSEKSFPSKMKQIYVAKQTASGTPMAS >Manes.12G101200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26835866:26851811:-1 gene:Manes.12G101200.v8.1 transcript:Manes.12G101200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGVGNKFVSVNLNKSYGQQQYHQHHHNNQHHSSSYGSNRNRPGGVGGGMVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGPAGGGIGSGPRPGSSGTGWTKPATIAILDKEVFGIGGDHTVDGTGSSHTVDQGMPVNGVSRGSGNSVYTLPSARSVVPAVSVPSRGSQVTEKVTVLRGEDFPSLHAALPAASGPEKKQKDGLNQKQKDGLNRKQKQVVGEELANERNSYQLTTLVDMRPQLQLRSNIDNRLQENGEILGWVGRVMPEKDQMRENSFSGPLPLVRLNPRSDWADDERDTGLGLADRGRDHGFSRSEAYCDMDFDFPRPSILPQKPGNNLFYRRGQRDNETGRISSSEVTKVDTYGHDVRMSSLEGREGISRRASSPLSIDGFGVQEAGNEKSGVGIKPSTLNREVTKENKYIPSTFRDNARDDAGRRDSGYGQGGRQPWNNKMDSFGNRLPESNAQERHGSEQYNRYRRDTYQSNSISKSPFSSGDKKLPINDPILNFGRDKRSFARSDKPYLEDPFIKEFGTAGFDGRDPFSGGLVGLVKKKKGVLKQTDFHDPVRESFEAELERVQKMQEQERQRIMEEHERAMELARREEEERMRVAREQEERQRRLEEERQEAMRRAEEERLEAVRRAEEQRIAREEEKQRILLEEERRKQAAKQKLLELEERIAKRHADGATGFSTNSSGVADDNLSGMVSEKDISKVVDMGDWEDSERMVDRITTSASSDSSGMNRPFEVVSRPHFPRDGASVYLDRGKSVNSWKRDLLENGNSSTFHSQDQGNGHRSPRRDTSIGGRTFLRKEFYGGPGFIPSRVYHRGGVSDTHMEDFSQIKGQRWNTPGEGDHYGRNAEFECEFHDNLSERFDDAGWGHGRSRGSLYPPYHERMYQNPEADGLYSFPRSRYSLRQPRVLPPPTNSMLRNSYRADNDCPGPSSFSEGEMQYAHGARNESSVQTRYDTSHQENVRRTVRIDKQQENADNEVRELDRNTARCDSQSSLSVSSPPDSPVHLSHDDLDESRDSPTLSGSEGKKISLLEQGNEYTTLPFGAEKDNMMSGSTHVSTSDEEEWTIQNDQQLQEQEEYDEDEDGYDEEDEVHDGEAEDIDLAQDFDVLHVEEKDSTEMMDNLVLGFNEGVEVGMPNDEFEKCSRTEETKFVIQQISAEEQGFFDGMHMDGQSHQPVDGSAQVGVDNTSRIFQEIEKGMQDLEIEPKNAPQTSSELMDHVDGSTSSCMSTQPHIPSSSGQTVLSSGPSVLGQPEVPVKLPFGLFSGPSLIPSPVPAIQIGSIQMPLHLHASVGPSIAQVRPSQPPLFQFGQLRYTSPISQGILPLGPQSMCFVQPSVPTNFPLNQNVGAHLPIQPGQETSAHNLMKCDILSVSMDNKPGLLPRNLDVSHGVASKEGNSVSTRESSDSNIKLLLGRGELSQLNDSNSRPESGCQLEDAFVKNVEALSTEELESQPQTVATSLQSVSKEKAIGISRVRGLTSGGRGKRYVFAVKNQGSKTSLQDSKNSRQESSGFHRPRRQRTEFRVRESADRRQSTGFVPSLPYGLDDKSNNSGRGSGAKNVSRRVVVPNRPPKQTFESEGLNLQSASSQEVDSGSKPEKGAGKETLRKNQNISHHGEDVDAPLQSGIVRVFEQPGIEAPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMPRKLRSTSQSSVASVTSNKVSVSVCAEANSICTDYVGTDEHGLANGEDSAGNSAPVVSQPLLPIGFPAVKTDAQADFRYQTIKSFQTSSIPGNVKNLATGLMFENKNNVLDNAQTSLGSWGNSQINQQVVALTQNQLDEALKPAQFDTHSSVGDPSKSVGESSLPSSSILAKDKSFASAASPINSLLAGEKIQFGAVTSPTILPPSSRAVSHGMGPPGPCRSDIQISLNLSAAEDDCSLFFEKEKRSDGCESSHLVDCEAEAAASAVAVAAIGSDEIVGNRLGSGPISASDSKGLGGGDINGITAGLSSDRHLVNQSRGDESLSAALPADLSVETPPISLWPPLPSPQNSSGQMISHVPGGPASHFPFYEMNPMLGGPIFAFGPQDEPASSQSQPQKSSAPVSGPAGSWQHHSGVDSFYGPPAGFTGPFISPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHNPAASPMGVSEGDVTTLNMVSAQRNATNLPTPMQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSRWSHVPASPLQSVSVSTPSQQLAEGALPSQFSHGKAVDQQLINRFPESQRTKPTDNTQNFPTATDARVTRLPDALRLVESSRSSNAGTSTQSTVAKSLSAGNISDASKTDAVQNGSGSTSSSSQSTSSAFKMQPSHQRSTSAQNYSNSSGYNYQRGGISQKNSSGGEWSHRRVGYQGRNQSLGSVPTQGRNQSLGSEKSFPSKMKQIYVAKQTASGTPMAS >Manes.15G033700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2663459:2666503:-1 gene:Manes.15G033700.v8.1 transcript:Manes.15G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLRSSIEYLLDVPSTISQPKKRWHLAFATIYCSRTIYSLASVSKPIKSPSKIPPSRSFVVLDVNPENSSFKIKQANLTVLVKEKNHRQLRQLGGVAGIASAIKTNLEGGIYGGVQDIACRQEAFGSNTYKKPPTKSFFHFVVEAFKDLTIAILLACAALSLGFGIKEHGLKEGWYDGGSIFVAVFLVIAVSAVSNYRQNRQFDKLSKVSNNIQIDVVRHGRRQQVSIFELVVGDVICLKIGDQVPADGLFIDGHSLQIDESSMTGESDHVEVNHSQNPFLFSGTKVADGYGRMLVTSVGMNTTWGEMMSHISRDTDEQTPLQARLNKLTSSIGKVGLAVAFLVLVVLLVRYFTGNTQDENGNTEFNGSKTKADDIVNAVVGIVAAAITIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATTICTDKTGTLTMNLMKVTEFWLGQESMEQITSSISPYVLELIKQGVALNTTGSAYRANPEAEYEFSGSPTEKAILSWAILDLELDVDEQKQSCITLHVEAFNSQKKRSGVLVRKKLDNTIHVHWKGAAEMILAMCSSYYDASGIMKILDNQQKGAFKQIIQGMAANSLRCIAFAHAQISEQQYEEGMEEKKLKESSLTLLGVVGIKDPCRPGVKKAVEDCQHAGVNIKMITGDNVFTARAIAIECGILKPGQDMFSGAVVEGEEFRNYTHEERMEKADKICVMARSSPFDKLLMVQCLKQKGQVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEQPTKELMDKAPVGRTEPLITNIMWRNLLAQALYQITILLTLQFKGESIFGVAEKVNDTLIFNTFVLCQVFNEFNARKLEKKNVFEGIHKNKLFLGIIGITIVLQVLMVEFLKKFADTERLNWGQWGACIGMAALTWPIGWVVKCIPVPEKPFFSYLNWRR >Manes.03G097600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15924475:15933524:1 gene:Manes.03G097600.v8.1 transcript:Manes.03G097600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVWLVFFFLGCIGPFSLVAVSPGTVNVGAIFTFGSINGRVARIAMKAAEDDINSDPSILGGRKLSITMHDSNFSGFLGIIGALQFMETDTVAIIGPQSAVMAHVLSHLANELHVPLLSFTALDPTLSPLQYPYFVQTAPNDLFQMTAIAEMVSYYGWADIIAVYSDDDQSRNGITALGDKLSERRCKISYKAALPPDPLANRSDVQDELVKILRMESRIIVLHTFSKTGLLVFDVARSLGMMENGFVWIASTWLSTVLDSNSTFPSNTASIQGVLTLRPHTPDSKRKRDFISRWNKLSNGSIGLNPYGLYAYDTVWMIANAMKVFLEQGNTITFSNDSKLSDLGGGTLNLAALSIFDGGKQFLKILLQTNMTGLTGPMQFDHDRSLLYPSYDIINVIEIGYRQIGYWSNYSGLSVVAPEALYGKPPNRSSSNQHLFSVLWPGGVTAKPRGWVFPENGRQLRVGIPNRVSYRDFVSTVNGTNLVQGYCIDVFLAAIKLLPYAVPYRFIPFGDGHENPSYSDLANQITRGVFDAVIGDMAIVTNRTRVVDFTQPYIESGLVVVAPVKKSNSNAWAFLRPFSPLMWGVTAIFFLVVGAVVWVLEHRINDEFRGPPKKQVVTVLWFSFSTMFFAHRENTMSTLGRLVLIIWLFVVMIVNSSYTASLTSILTVQQLSSPIKGIDTLIASNDHIGFQVGSFAQNYLNEELSIAKSRLIALGSPEEYARALANGTVAAIVDEGPYIDLFLSEHCEFSIRGQEFTKSGWGFAFPRDSPLAIDMSTAILSLSENGDLQKIHNKWLKRKVCSSQSSDSGSEQLQLQSFWGLFLICGIACFLALLIYFCMTLRQFNRYLPEDTDPSIGGSSRSRRIQTFLSFADDKVDEWKSKSKRKRELTDGYAREDESVDGSGRIQRDISQERHKSDGWLH >Manes.03G097600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15924475:15933261:1 gene:Manes.03G097600.v8.1 transcript:Manes.03G097600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAEDDINSDPSILGGRKLSITMHDSNFSGFLGIIGALQFMETDTVAIIGPQSAVMAHVLSHLANELHVPLLSFTALDPTLSPLQYPYFVQTAPNDLFQMTAIAEMVSYYGWADIIAVYSDDDQSRNGITALGDKLSERRCKISYKAALPPDPLANRSDVQDELVKILRMESRIIVLHTFSKTGLLVFDVARSLGMMENGFVWIASTWLSTVLDSNSTFPSNTASIQGVLTLRPHTPDSKRKRDFISRWNKLSNGSIGLNPYGLYAYDTVWMIANAMKVFLEQGNTITFSNDSKLSDLGGGTLNLAALSIFDGGKQFLKILLQTNMTGLTGPMQFDHDRSLLYPSYDIINVIEIGYRQIGYWSNYSGLSVVAPEALYGKPPNRSSSNQHLFSVLWPGGVTAKPRGWVFPENGRQLRVGIPNRVSYRDFVSTVNGTNLVQGYCIDVFLAAIKLLPYAVPYRFIPFGDGHENPSYSDLANQITRGVFDAVIGDMAIVTNRTRVVDFTQPYIESGLVVVAPVKKSNSNAWAFLRPFSPLMWGVTAIFFLVVGAVVWVLEHRINDEFRGPPKKQVVTVLWFSFSTMFFAHRENTMSTLGRLVLIIWLFVVMIVNSSYTASLTSILTVQQLSSPIKGIDTLIASNDHIGFQVGSFAQNYLNEELSIAKSRLIALGSPEEYARALANGTVAAIVDEGPYIDLFLSEHCEFSIRGQEFTKSGWGFAFPRDSPLAIDMSTAILSLSENGDLQKIHNKWLKRKVCSSQSSDSGSEQLQLQSFWGLFLICGIACFLALLIYFCMTLRQFNRYLPEDTDPSIGGSSRSRRIQTFLSFADDKVDEWKSKSKRKRELTDGYAREDESVDGSGRIQRDISQERHKSDGWLH >Manes.03G097600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15924475:15933261:1 gene:Manes.03G097600.v8.1 transcript:Manes.03G097600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVWLVFFFLGCIGPFSLVAVSPGTVNVGAIFTFGSINGRVARIAMKAAEDDINSDPSILGGRKLSITMHDSNFSGFLGIIGALQFMETDTVAIIGPQSAVMAHVLSHLANELHVPLLSFTALDPTLSPLQYPYFVQTAPNDLFQMTAIAEMVSYYGWADIIAVYSDDDQSRNGITALGDKLSERRCKISYKAALPPDPLANRSDVQDELVKILRMESRIIVLHTFSKTGLLVFDVARSLGMMENGFVWIASTWLSTVLDSNSTFPSNTASIQGVLTLRPHTPDSKRKRDFISRWNKLSNGSIGLNPYGLYAYDTVWMIANAMKVFLEQGNTITFSNDSKLSDLGGGTLNLAALSIFDGGKQFLKILLQTNMTGLTGPMQFDHDRSLLYPSYDIINVIEIGYRQIGYWSNYSGLSVVAPEALYGKPPNRSSSNQHLFSVLWPGGVTAKPRGWVFPENGRQLRVGIPNRVSYRDFVSTVNGTNLVQGYCIDVFLAAIKLLPYAVPYRFIPFGDGHENPSYSDLANQITRGVFDAVIGDMAIVTNRTRVVDFTQPYIESGLVVVAPVKKSNSNAWAFLRPFSPLMWGVTAIFFLVVGAVVWVLEHRINDEFRGPPKKQVVTVLWFSFSTMFFAHRENTMSTLGRLVLIIWLFVVMIVNSSYTASLTSILTVQQLSSPIKGIDTLIASNDHIGFQVGSFAQNYLNEELSIAKSRLIALGSPEEYARALANGTVAAIVDEGPYIDLFLSEHCEFSIRGQEFTKSGWGFAFPRDSPLAIDMSTAILSLSENGDLQKIHNKWLKRKVCSSQSSDSGSEQLQLQSFWGLFLICGIACFLALLIYFCMTLRQFNRYLPEDTDPSIGGSSRSRRIQTFLSFADDKVDEWKSKSKRKRELTDGYAREDESVDGSGRIQRDISQERHKSDGWLH >Manes.01G271400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42499404:42500347:1 gene:Manes.01G271400.v8.1 transcript:Manes.01G271400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSETLPTIHKHARSVCCRLMSIGKVIEECMKVIAFWMWLDSQGFQNIITNLSSHDDQFLSFVYDEAVSVLSSLQANSTPSPNAMLNTLTLAQRFLSPSVILSDKEEVLKSITDIYTKICCVLFEDFLKEKGTQLGMRGEEETTQKITEWHGTGHNWKTSYAVSSSTAHAHAHSNLNPSAKEWNPINERLPEEDRCLFLTFSNGYPLTENQIINFFSSKHGPCVERVYVHRPYDPRNESNEPPLFGKIVFKTYSVAAMILNGRNEAKFWVDGKPLWCKRFNPEKKMAGN >Manes.02G074200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5659234:5660948:1 gene:Manes.02G074200.v8.1 transcript:Manes.02G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDYLSNFCTVTTTRSKRKPMQTVEIKVKMDCDGCERRVKNAVSTMKGVKTVEVNRKQSRVVVSGYVDPNKVLKRVKSTGKRAEFWPYIPQHLVYYPYAAGAYDKKAPAGYVRNVVQAFPASNAAEDNFVSVFSDDNVHACSVM >Manes.12G014700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1424016:1430341:-1 gene:Manes.12G014700.v8.1 transcript:Manes.12G014700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRYSPGREPRAENHKRGRSLEGGLFFKGKDDDLALFNEMQSRERENFLFQSSDDLEDTFSTKLRHFSDFKLGISIPIRGESSELLNADREKNDYDWLLTPPDTPLFPSLDDEPPPVNVASRGRLRSQPITISRSSTMEKSYRSSRGSASPNRLSPSPRSGNSSVQSRGRPSPVPHSSPTHSQRPATPSRRPSPPPNKASTPAPRSSTPTPRRTSTGSGARGTSPIRTSRGNSASPKIRAWQSNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNGRDSGSRFSRQSMSPTASRSVSSSHSQDRISSRSRGSVASSGDDDVDSVQSIHVGSLDRLASKRTETFPNNKATAFSKKSMRITSPSSVPKRSFDSALRQMDHRKAPQNMFRPLLSSVPSSTYYVGKTNSAHRPLISRNSSVTTSSNASSDQCTSGAPDTEGSDHHQEDMTIQIGEKTNSDVQEEVFAFDKVDMLNKNVKHDVGNESDFRVGDSHRNPATERQPNDSEEFSHQEIDIETSSASDTLCVKADFSEVDSTENIKVCSRCGCKYHTIEMIEKDINLCPDCSRQDSSVAASSLETITVAPETSSGFSLNFSEEDKRHDELETQVSILKLQSQVIDEVESRIIQQGDGVKCGQAPSNERSNMYAQESSLLRSLMEGDEQRIASQHGAEQPTGSHRLPDSDSGGQQLLRSGDSRSLKVDVSEGAGISVLLKRSSSIKGPVVQARTFVASSITYDDFSYTRDSANSLRSSFGHGSTSASSSVDFSSGRHVETRVQRQLSGRKSDMENNRHDTNTRPQSTGSSLSGTSNHTNHALGLATSTYEENIEAVIGDMKNEVMEGANQTSHGKVVASENKEMRVSSASFTGAIATEEDLYDHNGSNRLTDASTSSLSCHTVGVLLEENSVALLPTYEDSHLHENEDNFPNNASSVAGVEASVISPDPPVEMEQTMLNSSVDVLNDVGVPTHSSLASISEIETENLRQVSPGSENDDVSTNSKSTINEFQDHSIPTHSDKEMSTSVPEHGNSDHLHVIFEDSTVMVQEGSKARSLTLEEATDTILFCSSIVHDLAYRAAAIAIEKEDSVPLEGSRPAVTVLGKSTADRKDSRSRNTGKRTSKSMKVRQKKVESDTKSPSSKTENDENANESMVRNVGLPNKMDSAKPPKLESKCNCTIM >Manes.16G086100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29294614:29320703:1 gene:Manes.16G086100.v8.1 transcript:Manes.16G086100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKGSKVWAPDKNLAWVAAEVTDFTGKNVQVLTASGKKVLTSPEKLFLRDDDEEEHGGVEDMTKLTYLHEPGVLYNLERRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMSEGRSQSILVSGESGAGKTETTKLIMQYLTYVGGRASGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGMDAEKYNLDHPSHFHYLSQSKTYELDGVSNAEEYIKTRRAMDIVGISHEDQEAIFRTLAAILHLGNIEFSPGKEHDSSIVKDQKSSFHMQMAASLFMCDVNLLLATLCTRTIQTREGIIVKALDCNAAVASRDALAKTVYARLFDWLVDKINRSVGQDLTSQIQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEEINWSYIEFIDNQDVLELIEKKPMGIIALLDEACMFPKSTHETFATKLFQNFCAHPRLEKAKFSETDFTVSHYAGKVTYQTETFLDKNRDYVVVEHCNLLSSSRCPFVAGLFPSPPEESSRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSLNRPQKFENTSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLSPEYLNGSYSYDEKVWTEKILRELKLKNFQLGRTKVFLRAGQIGVLDARRTEVLDSAAKCIQRRLRTYMAHLNFISIRAAAIAVQAYCRGCLARKMYVEKRESAAAISIQKYVRKWLLRRAYSKLFSAAIILQSNIRGFLARQRFLHGKEHRAAVKIQAWWRMCMFRSAIRHHQSSIIAIQCRWRQKLAKREFRRLKQEANEAGALRLAKNKLEKQLDDLTWRLNLEKRLRISNEEAKSNEISKLQKMLESLTLELDAAKLATINECNKNAVLLNQLELSMKEKSALDRELVAIAELRKENASLKGSLDLLEKKNSALEHELIEAQKESTDTVKKLTETEAKCSQLQLNIQSLDEKLSRLEDENHVLRQKTLTVTPKSNRSSLVKAFSEKYSSVLALSQTDRKPKFESPTPSKLIPFAYGLSESRQPKFTAERHQENYEFLSRCTKEDIGFTDGKPLAACLMYRCLLHWHAFESERTVIFDYIIDGINEVLKVGDENHILPYWLSNASALLCLLQRNLRSNGFLSAASQFSTPSGLPGRIVHGMKSPFKYIGFEDGLSHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLGLCIQAPKSARHAGKASRSPGGIPQQAHNSHWESIIKFLDSLMGRLRENYVPSFFIRKLITQVFSFINISLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVGATEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIMQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREMLNKDNQNLTSNSFLLDDDLSIPFSTEDIDMAIPAIDPSDVELPKFLSEYPCAQFLTLHQKNQVL >Manes.16G086100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29294602:29320778:1 gene:Manes.16G086100.v8.1 transcript:Manes.16G086100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKGSKVWAPDKNLAWVAAEVTDFTGKNVQVLTASGKKVLTSPEKLFLRDDDEEEHGGVEDMTKLTYLHEPGVLYNLERRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMSEGRSQSILVSGESGAGKTETTKLIMQYLTYVGGRASGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGMDAEKYNLDHPSHFHYLSQSKTYELDGVSNAEEYIKTRRAMDIVGISHEDQEAIFRTLAAILHLGNIEFSPGKEHDSSIVKDQKSSFHMQMAASLFMCDVNLLLATLCTRTIQTREGIIVKALDCNAAVASRDALAKTVYARLFDWLVDKINRSVGQDLTSQIQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEEINWSYIEFIDNQDVLELIEKKPMGIIALLDEACMFPKSTHETFATKLFQNFCAHPRLEKAKFSETDFTVSHYAGKVTYQTETFLDKNRDYVVVEHCNLLSSSRCPFVAGLFPSPPEESSRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSLNRPQKFENTSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLSPEYLNGSYSYDEKVWTEKILRELKLKNFQLGRTKVFLRAGQIGVLDARRTEVLDSAAKCIQRRLRTYMAHLNFISIRAAAIAVQAYCRGCLARKMYVEKRESAAAISIQKYVRKWLLRRAYSKLFSAAIILQSNIRGFLARQRFLHGKEHRAAVKIQAWWRMCMFRSAIRHHQSSIIAIQCRWRQKLAKREFRRLKQEANEAGALRLAKNKLEKQLDDLTWRLNLEKRLRISNEEAKSNEISKLQKMLESLTLELDAAKLATINECNKNAVLLNQLELSMKEKSALDRELVAIAELRKENASLKGSLDLLEKKNSALEHELIEAQKESTDTVKKLTETEAKCSQLQLNIQSLDEKLSRLEDENHVLRQKTLTVTPKSNRSSLVKAFSEKYSSVLALSQTDRKPKFESPTPSKLIPFAYGLSESRQPKFTAERHQENYEFLSRCTKEDIGFTDGKPLAACLMYRCLLHWHAFESERTVIFDYIIDGINEVLKVGDENHILPYWLSNASALLCLLQRNLRSNGFLSAASQFSTPSGLPGRIVHGMKSPFKYIGFEDGLSHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLGLCIQAPKSARHAGKASRSPGGIPQQAHNSHWESIIKFLDSLMGRLRENYVPSFFIRKLITQVFSFINISLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVGATEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIMQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREMLNKDNQNLTSNSFLLDDDLSIPFSTEDIDMAIPAIDPSDVELPKFLSEYPCAQFLTLHQK >Manes.16G086100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29294602:29320703:1 gene:Manes.16G086100.v8.1 transcript:Manes.16G086100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKGSKVWAPDKNLAWVAAEVTDFTGKNVQVLTASGKKVLTSPEKLFLRDDDEEEHGGVEDMTKLTYLHEPGVLYNLERRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMSEGRSQSILVSGESGAGKTETTKLIMQYLTYVGGRASGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGMDAEKYNLDHPSHFHYLSQSKTYELDGVSNAEEYIKTRRAMDIVGISHEDQEAIFRTLAAILHLGNIEFSPGKEHDSSIVKDQKSSFHMQMAASLFMCDVNLLLATLCTRTIQTREGIIVKALDCNAAVASRDALAKTVYARLFDWLVDKINRSVGQDLTSQIQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEEINWSYIEFIDNQDVLELIEKKPMGIIALLDEACMFPKSTHETFATKLFQNFCAHPRLEKAKFSETDFTVSHYAGKVTYQTETFLDKNRDYVVVEHCNLLSSSRCPFVAGLFPSPPEESSRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSLNRPQKFENTSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLSPEYLNGSYSYDEKVWTEKILRELKLKNFQLGRTKVFLRAGQIGVLDARRTEVLDSAAKCIQRRLRTYMAHLNFISIRAAAIAVQAYCRGCLARKMYVEKRESAAAISIQKYVRKWLLRRAYSKLFSAAIILQSNIRGFLARQRFLHGKEHRAAVKIQAWWRMCMFRSAIRHHQSSIIAIQCRWRQKLAKREFRRLKQEANEAGALRLAKNKLEKQLDDLTWRLNLEKRLRISNEEAKSNEISKLQKMLESLTLELDAAKLATINECNKNAVLLNQLELSMKEKSALDRELVAIAELRKENASLKGSLDLLEKKNSALEHELIEAQKESTDTVKKLTETEAKCSQLQLNIQSLDEKLSRLEDENHVLRQKTLTVTPKSNRSSLVKAFSEKYSSVLALSQTDRKPKFESPTPSKLIPFAYGLSESRQPKFTAERHQENYEFLSRCTKEDIGFTDGKPLAACLMYRCLLHWHAFESERTVIFDYIIDGINEVLKVGDENHILPYWLSNASALLCLLQRNLRSNGFLSAASQFSTPSGLPGRIVHGMKSPFKYIGFEDGLSHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLGLCIQAPKSARHAGKASRSPGGIPQQAHNSHWESIIKFLDSLMGRLRENYVPSFFIRKLITQVFSFINISLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVGATEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIMQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREMLNKDNQNLTSNSFLLDDDLSIPFSTEDIDMAIPAIDPSDVELPKFLSEYPCAQFLTLHQK >Manes.10G088801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23064425:23065474:-1 gene:Manes.10G088801.v8.1 transcript:Manes.10G088801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASRTENQDAIDYAIVGMLADPKEARAGIQEVHFLPFNPTDKRTASTYIDSEGKMHRVSKGAPEQILNLARNKSDIERRVHAVIDKFADDALRLGLGINMFSLFLYST >Manes.15G057700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4435071:4438201:1 gene:Manes.15G057700.v8.1 transcript:Manes.15G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVESLLENEARKFIKRKDSDAGRALEELRSSLYNDFRTSEGAKRQQQRFCGPVVAMTFNFMVAVGVIMTNKLVMGKIGFNFPIFLTLIHYTTAWILLAIFKTLSLLPVSPPSKTTPFTSLFSLGVVMSFASGLANASLKHNSIGFYQMAKIAVTPTIVLAEFVLFRKAISHKKVLSLVIVSAGVAIATVTDLQFNFFGACIAIVWIIPSAINKILWSNLQQQANWTALSLMWKTTPVTILFLLALMPWLDPPGALLFKWNLHSSSAILISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYLIFNSDPGIMSIGGAIIALCGMSAYASLNLKESGENSMNQLQKQTLPVSKSKTESKATSEDTTSLDSITTTSANVV >Manes.15G057700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4435071:4438201:1 gene:Manes.15G057700.v8.1 transcript:Manes.15G057700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVESLLENEARKFIKRKDSDAGRALEELRSSLYNDFRTSEGAKRQQQRFCGPVVAMTFNFMVAVGVIMTNKLVMGKIGFNFPIFLTLIHYTTAWILLAIFKTLSLLPVSPPSKTTPFTSLFSLGVVMSFASGLANASLKHNSIGFYQMAKIAVTPTIVLAEFVLFRKAISHKKVLSLVIVSAGVAIATVTDLQFNFFGACIAIVWIIPSAINKILWSNLQQQANWTALSIDALARSTRGFIVQVESTQLISNSHISSLGISPAMVWCFGSRGNICNLSCGFRTI >Manes.08G035300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3433861:3435039:1 gene:Manes.08G035300.v8.1 transcript:Manes.08G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTDDSAAAAAAGGGFLGSNNISGFGYGIGVSIGILLLITTITLASYFCTRTTQQTSVPNQRRNRSEDSLELPDIVVHVGLDEATLKSYPKLLYSEAKLHKTDSTAACCSICLADYKNTDMLRLLPECGHLFHLKCVDPWLRLHPTCPVCRTSPLPTPLSTPLAEVVPLASRS >Manes.14G143900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13304369:13310778:1 gene:Manes.14G143900.v8.1 transcript:Manes.14G143900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASHPIHNPLHLSYRRSSLCPSSSLRFYECRGLFVGHSPISRAILRNSDNYNLSASLCIKRKHVGKLWTYHLQQELNWPCFDYELNKRNKLQRHTVVRSELVGNGIPNSSYSLSESKLGSKVRGVCFYVVTAIAAIFLFVLMLVQHPFVLLFDRYQRKAQFFIAKIWALLTVSPFFRIKYEGLENLPPPNAPAVYVSNHQSYLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFMLGVIPLKRMDSRSQLECLKQCIELVKKGASVLFFPEGTRSKDGKLGTFKKGAFSVAAKTKVPVVPITLVGTGEIMPSGMEGILNFGSVRVVVHKPVEGSDPEVLCKEVRNKIASVLEQQS >Manes.14G143900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13304727:13310376:1 gene:Manes.14G143900.v8.1 transcript:Manes.14G143900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASHPIHNPLHLSYRRSSLCPSSSLRFYECRGLFVGHSPISRAILRNSDNSDNLSASLCIKRKHVGKLWTYHLQQELNWPCFDYELNKRNKLQRHTVVRSELVGNGIPNSSYSLSESKLGSKVRGVCFYVVTAIAAIFLFVLMLVQHPFVLLFDRYQRKAQFFIAKIWALLTVSPFFRIKYEGLENLPPPNAPAVYVSNHQSYLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFMLGVIPLKRMDSRSQLECLKQCIELVKKGASVLFFPEGTRSKDGKLGTFKKGAFSVAAKTKVPVVPITLVGTGEIMPSGMEGILNFGSVRVVVHKPVEGSDPEVLCKEVRNKIASVLEQQS >Manes.14G143900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13304727:13310376:1 gene:Manes.14G143900.v8.1 transcript:Manes.14G143900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASHPIHNPLHLSYRRSSLCPSSSLRFYECRGLFVGHSPISRAILRNSDNYNLSASLCIKRKHVGKLWTYHLQQELNWPCFDYELNKRNKLQRHTVVRSELVGNGIPNSSYSLSESKLGSKVRGVCFYVVTAIAAIFLFVLMLVQHPFVLLFDRYQRKAQFFIAKIWALLTVSPFFRIKYEGLENLPPPNAPAVYVSNHQSYLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFMLGVIPLKRMDSRSQLECLKQCIELVKKGASVLFFPEGTRSKDGKLGTFKKGAFSVAAKTKVPVVPITLVGTGEIMPSGMEGILNFGSVRVVVHKPVEGSDPEVLCKEVRNKIASVLEQQS >Manes.14G143900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13304369:13310778:1 gene:Manes.14G143900.v8.1 transcript:Manes.14G143900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASHPIHNPLHLSYRRSSLCPSSSLRFYECRGLFVGHSPISRAILRNSDNSDNLSASLCIKRKHVGKLWTYHLQQELNWPCFDYELNKRNKLQRHTVVRSELVGNGIPNSSYSLSESKLGSKVRGVCFYVVTAIAAIFLFVLMLVQHPFVLLFDRYQRKAQFFIAKIWALLTVSPFFRIKYEGLENLPPPNAPAVYVSNHQSYLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMFMLGVIPLKRMDSRSQLECLKQCIELVKKGASVLFFPEGTRSKDGKLGTFKKGAFSVAAKTKVPVVPITLVGTGEIMPSGMEGILNFGSVRVVVHKPVEGSDPEVLCKEVRNKIASVLEQQS >Manes.06G055200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19085651:19089595:1 gene:Manes.06G055200.v8.1 transcript:Manes.06G055200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRITRARPLSPLAHQFLQRCSVSGTAKGKGKIKDGQPKKRNKISAKKGSKGQDPSKSPSFSRQDAEKYRLYEECINAPTPVRFLKPKERAREAEREKLGLESHERRREKEILKKGGRQAMGIPDEPMMMGTPGFDLIALGLVDVDKIPKYELTEEDGKRLAKEYSRVLMRKHRARQAAESTLLRLKKEAIEALPENLKQAALIPDLTPFPVNRFMATLTPPIEGYIEKVKEAARRSSGKEKIR >Manes.06G055200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19085650:19089698:1 gene:Manes.06G055200.v8.1 transcript:Manes.06G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRITRARPLSPLAHQFLQRCSVSGTAKGKGKIKDGQPKKRNKISAKKGSKGQDPSKSPSFSRQDAEKYRLYEECINAPTPVRFLKPKERAREAEREKLGLESHERRREKEILKKGGRQAMGIPDEPMMMGTPGFDLIALGLVDVDKIPKYELTEEDGKRLAKEYSRVLMRKHRARQAAESTLLRLKKEAIEALPENLKQAALIPDLTPFPVNRFMATLTPPIEGYIEKVKEAARRSSGKEKIR >Manes.09G086366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25694429:25695526:-1 gene:Manes.09G086366.v8.1 transcript:Manes.09G086366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAEVILLDFWPSPFGMRVRIALAEKGIKYEYREEDLKNKSDLLQQMNPVHKKIPVLIHNGKPVAESLIAVQYIDEVWKDKAPLLPSDPYQRGQANFWADFVDKKIFELGRKIWATKGEEQEAAKQGFIESLKLLEGELGEKPFFGGENLGYVDVALVPFYSWFYAYEVCGNFSIEAECPKLIEWAKRCLAKESVFNSLPDHKKVYGFMLELKKRFGIE >Manes.06G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23847690:23851626:1 gene:Manes.06G105700.v8.1 transcript:Manes.06G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQIVLFGDSITEQSFRSGGWGASLADTYSRKADVLVRGYGGYNTRWALFLLHHLFPVDSSKPPVAVTIFFGANDAALKGRTSERQHVPVEEYKENLRKIVQHLKECSPTMLIVLITPPPVDEEGRKQYAKSLYGEKAMELPERTNEMAGVYARQCVELAKDLEIRYVDLWSTMQKAEGWQKIFLSDGLHLTPEGNAVVHQEVTRVFSEAWLSAAEMPYDFPHHSEIHGNDPEKAFQQLCI >Manes.10G099401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24819409:24822798:-1 gene:Manes.10G099401.v8.1 transcript:Manes.10G099401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMKMFFIVLILHSSIVHSPVKNGSNPEGKKKTYYKGEDARNNKVFEALDTAIRQELGSLFRQHSFQIKSSTTQAIDISLDGKGHRSMECSFLNRTDKNKNDASSKEVEVGPATMENYSEWMVVVRKARKVQIRKDADLEKPNKSGSQFDALREEISVPVKKESN >Manes.16G116800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32129696:32129935:-1 gene:Manes.16G116800.v8.1 transcript:Manes.16G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPAVRFISHRAFVEERRWLVFQAVDTVTEQPRTETAAVGGATGGSIGRCICSPTRHPGSFRCRHHRADYAWGRRITK >Manes.18G116901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12058138:12069592:1 gene:Manes.18G116901.v8.1 transcript:Manes.18G116901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVVDHVIGTIMTALQEEATLLVGIKDELEEISKELVSMRSFLQDAERNKVMSKGEETWVAEVRDTAHQIEDLVSDTADLIDEYMYYMYRKQYSTGTHRIFLTPKSLLEERRIASKLRQINKNIIGMDERRKRFGNDHVEGSNDHCDLTLYPRDSAVFMKEDDVVGFVDESRLLKTWLIDGEKHLTLISVVGMGGSGKTTLVAKPCNNESAKSYFDCYAWVTVSQTYARDDLLRKLIKECHESRKARVPNDLGTKDFKDLVEYLIGYLKHKKYLVILDDFGSRIIFTTRNEDMGSFSSNVRSLMLIIKPLKNEEPWDLFCKKAFFSNPDKSCPEELKPLALELVGKCDGLPLAIVALGGVMSSKKSTREWSSVWDNLNWQLNNNPRLEIVKSILLLSFNYLPSPLKYCFLYCCLFPEDYKIRRERLIRLWIAEGFIQNVDRTTPNEVAERYFMELTLRSMLQVGSRNACGRPRACQIHDLLREIGISMLEREKFGVVYDGKIKIKECQLHQGRRLSIQTTNGDLQSYGNMRRLRSLLVFVDSSVYFSNTSLPNLKLMRSLDLENVAINTLPEGLGTLFNLRYLNLRGTQVEKLPKSIGKLRNLESLDITNTNVKELPSEVAELQNLYHLIMWNKRIANDLGDFLYFNGVQVPFKISKLKKLQVLYYIEAKGDIIRQLGSMTQLRRMGISNLREADEHDLCSSILNLKLIRTLRLCVNNEAEFLRVDALETPPPQLQKLGLHGKLERVPHWFCSLQNLTSLGLLGSRLEEDPLPHVAALPNLGRVTLINSFVGENLHFYSGFAKLKELYLFKFRQLKGIIIEKGAMPDIQKLWIDSCFGLDAVPRGIEFLTNLQIMWLTNFSSSLIDLKSIDRSKL >Manes.01G200000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37342701:37349331:-1 gene:Manes.01G200000.v8.1 transcript:Manes.01G200000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILELQLLFSFLLLILSVFFFFFFITGSSKSQKPISTRLPKSYPLIGSSLAIKANFDRRIQWTSDILQNIPSATFVLHRPMGHRQIFTANPANVQHMLKTKFDLFQKGPYSRYTLFDFLGNGIFNTDGDTWKFQRQVASHEFSNKSLRKFVETVVDTEIFERLIPILSAGANSGVVLDLQDILQRFAFDNICNIAFGYDPGYLLPSLPPAPFAEAFEESVRIISERLNGAFPTVWKIKKLFCVGSEKRLKKSMSQVRNFAINIVKEKKEELEQNSSLESVDLLSRFLSSGISDETFVTDIVISFILAGRDTTSAALTWFFWLLSKNPHVETEILKEIREKSSVAIFEEVKDMVYTHASLCEAMRLYPPVPVDTRTAVSDDVLPDGTWVKKGNRVTYHPYAMGRLESLWGPDWADFKPERWLQEGDGDSNKRSFVGRDPYTYPVFHAGPRVCLGKEMAFLQMKRIVSEVLSKFKVVPAAKDGEEPVFISYLTNKMKGGFPMRIEERNPGKISWPELVGVKGKVAAATVERENPKVHAVIVKEGSMVTLDLRCDRVRVWVDKHGIVKQVPHIG >Manes.01G200000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37342698:37349529:-1 gene:Manes.01G200000.v8.1 transcript:Manes.01G200000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILELQLLFSFLLLILSVFFFFFFITGSSKSQKPISTRLPKSYPLIGSSLAIKANFDRRIQWTSDILQNIPSATFVLHRPMGHRQIFTANPANVQHMLKTKFDLFQKGPYSRYTLFDFLGNGIFNTDGDTWKFQRQVASHEFSNKSLRKFVETVVDTEIFERLIPILSAGANSGVVLDLQDILQRFAFDNICNIAFGYDPGYLLPSLPPAPFAEAFEESVRIISERLNGAFPTVWKIKKLFCVGSEKRLKKSMSQVRNFAINIVKEKKEELEQNSSLESVDLLSRFLSSGISDETFVTDIVISFILAGRDTTSAALTWFFWLLSKNPHVETEILKEIREKSSVAIFEEVKDMVYTHASLCEAMRLYPPVPVDTRTAVSDDVLPDGTWVKKGNRVTYHPYAMGRLESLWGPDWADFKPERWLQEGDGDSNKRSFVGRDPYTYPVFHAGPRVCLGKEMAFLQMKRIVSEVLSKFKVVPAAKDGEEPVFISYLTNKMKGGFPMRIEERNPGKISWPELVGVKGKVAAATVERENPKVHAVIVKEGSMVTLDLRCDRVRVWVDKHGIVKQVPHIG >Manes.01G200000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37342698:37349331:-1 gene:Manes.01G200000.v8.1 transcript:Manes.01G200000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILELQLLFSFLLLILSVFFFFFFITGSSKSQKPISTRLPKSYPLIGSSLAIKANFDRRIQWTSDILQNIPSATFVLHRPMGHRQIFTANPANVQHMLKTKFDLFQKGPYSRYTLFDFLGNGIFNTDGDTWKFQRQVASHEFSNKSLRKFVETVVDTEIFERLIPILSAGANSGVVLDLQDILQRFAFDNICNIAFGYDPGYLLPSLPPAPFAEAFEESVRIISERLNGAFPTVWKIKKLFCVGSEKRLKKSMSQVRNFAINIVKEKKEELEQNSSLESVDLLSRFLSSGISDETFVTDIVISFILAGRDTTSAALTWFFWLLSKNPHVETEILKEIREKSSVAIFEEVKDMVYTHASLCEAMRLYPPVPVDTRTAVSDDVLPDGTWVKKGNRVTYHPYAMGRLESLWGPDWADFKPERWLQEGDGDSNKRSFVGRDPYTYPVFHAGPRVCLGKEMAFLQMKRIVSEVLSKFKVVPAAKDGEEPVFISYLTNKMKGGFPMRIEERNPGKISWPELVGVKGKVAAATVERENPKVHAVIVKEGSMVTLDLRCDRVRVWVDKHGIVKQVPHIG >Manes.14G136664.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11929868:11952078:1 gene:Manes.14G136664.v8.1 transcript:Manes.14G136664.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLAMIMVLLLQGIWCSAHCWETERIALLHLQSHLNYSLQHDSYLPFYYDFTLSSFYDYSTSFPEMNVIQCCDWGRVRCSATTGRITQLNLLGIRDFSEEMWYLNASLFLPFQHLNYLNLEHNEITDCLKNEGFERLSSLENLEFLNLGFNNFSTDILSSLTHLSSLKYLYLDYNHMKGRINIEGEHT >Manes.02G169400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13335397:13336208:1 gene:Manes.02G169400.v8.1 transcript:Manes.02G169400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTKDLHRIFQKLDKNGDGLLSLEELNWLLEGIGVNFSLKELEYSVGKTSLNFDEFLLFYDSITKQNDDKNEILEEKDEEQDLAKAFNVFDLNGDGFISCEELQSVLARLGLWDETSGKDCRSMIYVYDTNLDGVLDFQEFKNMMLHAN >Manes.04G128150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33123346:33123890:-1 gene:Manes.04G128150.v8.1 transcript:Manes.04G128150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHLVDIQARDPIRQALAGNHTALVDLKLTEYNREEMNRMIGCAAACLYKPFNSRPSMNQIIQTLEGIIPVINIWNENDSNNIPRET >Manes.10G052800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6109983:6114110:1 gene:Manes.10G052800.v8.1 transcript:Manes.10G052800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITEAPRRLIVNHYKSRLWFLLHFILGESRKSVLGFFHCSDEGLDFFKIANNYCLLLISWFLQVFLGLIDKPLMQLGHAVEYFLNFLSQNGGFFDILMNFLLGKLKKPKRGTEDFLSIIAHLDPRIDLDKTGLVSNEKYEKAIAEANTEWESKKLMDLCVMAAKIAFENAKVIEKIVNSYWKMNFVGFYDCWNGRRTWNEKHKENNTQVFIFTDKPQDAHLIVISFRGTELFNAQDWITNLDFSWFEVPKVGKLHIGYLEGMGLGTRTDASSFESHFQRKDTNFFHLDAETEKQMLENSNNSAYYTVAITLSHLLKENRNAKFMVTGHGLGGALAIMFVTLLAIQDEGEIMQRLLNVYTFGQPRIGDEKLKEFMESHLNYPKYFRVVYSNDIMPRVPFDDKFFHYKHFGDCLYVDVGYFVQEKEEEPNPNFFDVRYIIPMHLTAIYEVIRSFIRQFINGPEYKETGVSKSFRLIGLLLPGIASHSFVNYVNCVRLGKDKSS >Manes.03G017700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1483765:1495669:1 gene:Manes.03G017700.v8.1 transcript:Manes.03G017700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRYVTSLYWSITTLTTTGYGDLHAVNEREMIFVMCYMMFDLGLTAYLIGNMTNLVVHATSRTRQFRDTIQAASNFAQRNQLPVRLQDQMVAHLSLKYRTNSEGLHQQETIDSLPKAIRSSIANYLFYNLVNEVYLFRGVSNDLLFQLVAEMKPEYFPPKEDVILQNEAPTDMYILITGAVELVVKTGGVEKTVGEARAGDVVGEIGLLCYKPQMFTARTKRLSQLLRMNRTAFLNIVQSSVGDGTIIMNNLLQHLKELDNPVMQAILAETERMMARGSLDLPLTLCFAAMRGDDLLLHQLLKRGADPNELDENGRTAMHIAASNGSEHCVILLLEYGADPNKKDSEGNVALWDALLGKHESVIKLLVENGATMSSGDVGQFALTAIEQNNLDLLKDIVNYGGNVTLPTSNGTIALHTAISEGNTEIVKFLLDQGSDVDMPDVHGWTARGLADHQGHEEIQALVQTMQKKEKKTVHTVRIKQQGKLCLEKPIARYSSEPAIPPCSKQDVLPQTPTMLLPETRQRRRADTYQNSLLGIMSAANTGESEIITSPTGTAGITSFSNQARVTISCPEKGEVNGKLILLPKSLEELLDIGAKKFGIIPTRILTKEGAEIEELELIRDGDHLVLVSNADTRT >Manes.03G017700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1483766:1495668:1 gene:Manes.03G017700.v8.1 transcript:Manes.03G017700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSKRLLSWGQVWPDPEERDMEDRGDEEIEKFSKDDRSYFSLTGEILPSLGKNAVSNRRIELRRFIISPFDPRYRTWDTFLVFLVFYTAWASPFEFGFLERPTRALAVTDNVVNAFFTVDIVLTFFVAYVDKSTYNLVVNRKKIALKYAKTWLAFDVISTIPSELVRSILPSKLQSYGYFSMLRLWRLRRVSLFFARLEKDKNYSYFWVRCLKLICVTLFVVNIAGCFYYRLAFTYHDQANTWIGSVWEHYQTQSMWVRYVTSLYWSITTLTTTGYGDLHAVNEREMIFVMCYMMFDLGLTAYLIGNMTNLVVHATSRTRQFRDTIQAASNFAQRNQLPVRLQDQMVAHLSLKYRTNSEGLHQQETIDSLPKAIRSSIANYLFYNLVNEVYLFRGVSNDLLFQLVAEMKPEYFPPKEDVILQNEAPTDMYILITGAVELVVKTGGVEKTVGEARAGDVVGEIGLLCYKPQMFTARTKRLSQLLRMNRTAFLNIVQSSVGDGTIIMNNLLQHLKELDNPVMQAILAETERMMARGSLDLPLTLCFAAMRGDDLLLHQLLKRGADPNELDENGRTAMHIAASNGSEHCVILLLEYGADPNKKDSEGNVALWDALLGKHESVIKLLVENGATMSSGDVGQFALTAIEQNNLDLLKDIVNYGGNVTLPTSNGTIALHTAISEGNTEIVKFLLDQGSDVDMPDVHGWTARGLADHQGHEEIQALVQTMQKKEKKTVHTVRIKQQGKLCLEKPIARYSSEPAIPPCSKQDVLPQTPTMLLPETRQRRRADTYQNSLLGIMSAANTGESEIITSPTGTAGITSFSNQARVTISCPEKGEVNGKLILLPKSLEELLDIGAKKFGIIPTRILTKEGAEIEELELIRDGDHLVLVSNADTRT >Manes.S027952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2334461:2334886:-1 gene:Manes.S027952.v8.1 transcript:Manes.S027952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.15G123400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9823214:9824955:-1 gene:Manes.15G123400.v8.1 transcript:Manes.15G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLGNKLIFVAVSVVGLWASLGWSRSFFEASMEERHEMWMAQYDRAYADNTEKEKRFKVFKENVELIESFNKAGNKPYKLGISEFTDLTNEEFRASRNGYKRPSPHRSSSKSFRYENVTGVASSLDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGITKISTGKLISLSEQELVDCDTNGMDQGCEGGLMDDAFEFIIQNNGLTTEANYPYKGVDGTCNSQKEADHAAKITGYEDVPANNEDALLKAVANQPVSVAIDAGESAFQHYSSGVFTGDCGTELDHGVTVVGYGTSNDGTKYWLVKNSWGTSWGENGYIRMERDVNAKEGLCGIAMEPSYPTA >Manes.16G060400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18557380:18564772:1 gene:Manes.16G060400.v8.1 transcript:Manes.16G060400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGISDLQLSQVPGVRKSRPLIVAEDEAGDLENVRLLDSYESGDNSHTIVIDGEGEGEGEEGMKRIQVRVTGMTCAACSNSVESALKSVNGILRASVALLQNKADVVFDPALVKDDDIKNAIEDAGFEAEILAEPSTFKTKTSGTLLGHFTIGGMTCAACVNSVEGILRDLPGVKRAVVALATSLGEVEYDPTVINKDDIVNAIEDAGFEAAFVQSNQQDKIILGVSGVFNEMDVLLLEGVLSTLKGVRQFRYNRISSELEVHFDPEVVGSRSLVDGIEGGSGMKFKLHVMNPYARMTSKDVEETSTMFRLFISSLFLSIPIFFIRVICPHIPLLYSLLLWRCGPFVMGDWLKWALVSVVQFVIGKRFYIAAGRALRNGSTNMDVLVALGTSASYFYSVCALLYGAATGFWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDKGGKCTGEREIDALLIQPGDTLKVLPGTKVPADGVVVWGSSYVNESMVTGESAPVLKEANSLVIGGTINLHGAFQIQATKVGSDAVLNQIISLVETAQMSKAPIQKFADFVASIFVPAVVAMSLLTFLGWYAGGTLGAYPDDWLPENGNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKIKYIIFDKTGTLTQGKATVTTAKVFTGMDRGEFLRWVASAEASSEHPLAKAIVEYARHFHFFDEPSATEDGQNNSKESITSGWLLDASAFTALPGRGIKCFIDGKPVLVSLLGDDIYLKCSPFT >Manes.16G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18557380:18564772:1 gene:Manes.16G060400.v8.1 transcript:Manes.16G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGISDLQLSQVPGVRKSRPLIVAEDEAGDLENVRLLDSYESGDNSHTIVIDGEGEGEGEEGMKRIQVRVTGMTCAACSNSVESALKSVNGILRASVALLQNKADVVFDPALVKDDDIKNAIEDAGFEAEILAEPSTFKTKTSGTLLGHFTIGGMTCAACVNSVEGILRDLPGVKRAVVALATSLGEVEYDPTVINKDDIVNAIEDAGFEAAFVQSNQQDKIILGVSGVFNEMDVLLLEGVLSTLKGVRQFRYNRISSELEVHFDPEVVGSRSLVDGIEGGSGMKFKLHVMNPYARMTSKDVEETSTMFRLFISSLFLSIPIFFIRVICPHIPLLYSLLLWRCGPFVMGDWLKWALVSVVQFVIGKRFYIAAGRALRNGSTNMDVLVALGTSASYFYSVCALLYGAATGFWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDKGGKCTGEREIDALLIQPGDTLKVLPGTKVPADGVVVWGSSYVNESMVTGESAPVLKEANSLVIGGTINLHGAFQIQATKVGSDAVLNQIISLVETAQMSKAPIQKFADFVASIFVPAVVAMSLLTFLGWYAGGTLGAYPDDWLPENGNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKIKYIIFDKTGTLTQGKATVTTAKVFTGMDRGEFLRWVASAEASSEHPLAKAIVEYARHFHFFDEPSATEDGQNNSKESITSGWLLDASAFTALPGRGIKCFIDGKPVLVGNRKLMTENGITIPTHVETFVVELEESANTGILVAFDDNLIGVLGVADPLKRETAIVIEGLHKMGVKPVMVTGDNGRTARAVAQEVGIQDVRAEVLPAGKAEVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTLSRIRWNYIFAMAYNVIAIPVAAGAFFPFLGIQLPPWAAGACMALSSVSVVCSSLLLRRYKAPRLTTILEITVE >Manes.16G060400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18557373:18564772:1 gene:Manes.16G060400.v8.1 transcript:Manes.16G060400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGISDLQLSQVPGVRKSRPLIVAEDEAGDLENVRLLDSYESGDNSHTIVIDGEGEGEGEEGMKRIQVRVTGMTCAACSNSVESALKSVNGILRASVALLQNKADVVFDPALVKDDDIKNAIEDAGFEAEILAEPSTFKTKTSGTLLGHFTIGGMTCAACVNSVEGILRDLPGVKRAVVALATSLGEVEYDPTVINKDDIVNAIEDAGFEAAFVQSNQQDKIILGVSGVFNEMDVLLLEGVLSTLKGVRQFRYNRISSELEVHFDPEVVGSRSLVDGIEGGSGMKFKLHVMNPYARMTSKDVEETSTMFRLFISSLFLSIPIFFIRVICPHIPLLYSLLLWRCGPFVMGDWLKWALVSVVQFVIGKRFYIAAGRALRNGSTNMDVLVALGTSASYFYSVCALLYGAATGFWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDKGGKCTGEREIDALLIQPGDTLKVLPGTKVPADGVVVWGSSYVNESMVTGESAPVLKEANSLVIGGTINLHGAFQIQATKVGSDAVLNQIISLVETAQMSKAPIQKFADFVSVNYVGFMLCLYYNSGTMFNVFHSSLLFSVGAWEMQFLLHKNHTNLNAYFLDQVN >Manes.14G090100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7459691:7463075:1 gene:Manes.14G090100.v8.1 transcript:Manes.14G090100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISHLHRYLHHDPVDGESHHRRHHYRLPQHHHHLTADPYFPLFSSSSSGTHPPHAAIRDPSPRPGHTNFNIRTLEDDDVSDSESVILGGPDLLDRENQVSLVMDMFQHRVLQSQVTGHSSHLASDSLNELDFGDIEENYVLSMDNLELDLGLRIGLDGHESGEFQNTGRNHSHSDTNNNNDDDYSRNVVIDDDYDDNFFMERSLSGTQSCGAESTVSFCTSSVRVVGFGSDPDSEDNENSLTIDFYSGHDDVLDRVNIENDNYDNVDRDDEEDASVNIPLCWDSLQLEDDRENNEDFEWEEVDSRVDEREVLSMFVDDEQASVSISISPIIALEDMESLERGGGLGNLEWEVLLNANNLDTNLEHDHDHAAELYFGDHDDYIYTTEYETLFGHFAENENAMLGRPPAAKSVVEKLPSVFLTKEDVDNSNALCAVCNDEINVGGRARKLPCTHWYHGECILPWLAIRNTCPICRYELPTDDVDYERRKAAAQRTVTAGLHL >Manes.17G020550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11230148:11278601:-1 gene:Manes.17G020550.v8.1 transcript:Manes.17G020550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFLVQGYLCWCVLLGHLCISTLCLNSGQYRGGGWSGNWLHNSGSILSDDSRSGMSYNAKAKEFSSPFNNSVSCEDLEGVGSFNTTCLLNSNLQLNSDHYIYGTGNLEILPHVSIVCPIEGCMITFNMTGSVNIGRYAAIVAGSVVFAAANLTMERDSTINTTALGGLPPPQTSGTPVGYDGAGGGHGGRGASCLTKNKTNNWGGDVYAWSTLAEPWSYGSKGGGSSLDNKFGGNGGGRVKLQVRELLYLNGSVTAEGGDGGLNRGGGSGGSIFIHAVKLKGYGIISTAGGKGRGGGGGGRISLDCYSIQEDVKVTVHGGLSLGCPTNSGAAGTFFNADLLSLRVGNDNVTTETETPLLDFPTTPLWSNVFVENNAKVLVPLLWTRVQVRGQLGVYRGGSIVFGLSAYPVSEFELVAEELLMSDSIIKVFGAFRVAVKMLLMWNSKIEIDGGGNTFVIASILEVRNLIVLRANSVISSNANLGVYGQGLLRLTGHGDAIKGQRLSLSLFYNITVGLGSILQAPLGDDASRDVVTQSLCHSQTCPIDLITPPDDCHVNYTLSFSLQICRVEDLLVSGIVKGSIIHIHRARTIIVDTSGLITSSGLGCSEGVGKGNYSNGAGSGAGHGGRGGSGYFNGIVSDGGNKYGDADLPCELGSGTEGPDQSYGNVVGGGMIVMGSIQWPLLRLDLHGSMKADGQSFDKASINSNRSLVGGLGGGSGGTILLFLQELVLADNSCLSVLGGSGGPLGGGGGGGGRVHFHWSKIESGDEYFPVASIGGSINSSGGAGNNGGLFGEGGTVTGKTCPKGLYGTFCKECPVGTYKEVEGSDESLCIPCSFERLPNRANFIYVRGGVSQPPCPYRCISDKYRMPNCYTPLEELIYTFGGPWPFSLFLSCLLVLLALLLSTLRIKLVGSGSSYGAHSIEHQSHHHFPHLLSLSEVRGARAEETQSHVYRMYFMGPNAFREPWHLPYSPPNAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVLAYPCAWSWKQWRRRNKIHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMSPYSLHSDTLLTNLLGQHVPASVWNRLVAGLNAQLRTVRHGSIRSALLPVIDWISSHANPQLDFHGVKIDLGWFQATASGYYQLGILVMVGEHSFYSMNQSDLLDRSNDECIRKNALCTSKSLKQLQQDRPYMSQVLSRKKMTGGINGGLINDATLKSLEFRRDFLFPFSLLLHNTRPVGRQDTLQLFITIILLADLSVTLLALLQFYWISLGAFLAVLLILPLSLLSPFPAGLNALFSREPRRASLSRIYALWNATSLSNIAVAFICGIVHSGFSSFQPPDKENKWNIRREDDKWWLLPTILLLLKSIQARFVDWHIANLEMQDFSLFCPDPDAFWAHEST >Manes.10G024700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2509915:2514506:1 gene:Manes.10G024700.v8.1 transcript:Manes.10G024700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHSNLPTSHLLGSVPAVVIEEKSTTTYEVPEANMQTFPPNNNRGGGSSRGYQTLGNPPEGFEQQSPNNWKGVFSVSSYTQYFNVDTDIVINRMMSSFYPVGGDFFSKIDANPDLYGHIWISTTLVFALASLGNCATYLMQKRTDSNASWSFDVGYVNVAAFAIYGYAVVVPLAFYFLLQYLGTTASLIRFWCMWGYSLFIFILSSFLLVIPVEILRWIIILAAGIDSACFVAVNLKSCVEGSDLTMVVFAAFFLQLALAIFIKAWFFP >Manes.02G086800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6870422:6872992:1 gene:Manes.02G086800.v8.1 transcript:Manes.02G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRFKSLPTHHINHISLPLSVSSHGWVSLAHQMKLLTSTASPPFLSIMFSSFFSLPILLLFSLPSFSSVPVTNASKQPPPTANQSLLSTDDMLPSEAQTLFKIMDSLSSDHSWSTSYPNPCKPGSTWPGIECRLGPDDLLHVSRLDFGTSPNPTCKSTATFPNQIFALPYLLSVFFLNCFTQTKTLLSIPPNILTNSSLQQLSLRSNLALVGPIPSQISSLMSLQILTLSQNRLSGSIPAEIFRLSSLVHLDLSYNILTGAIPIELGNLTNLVGLDLSYNSLTGTIPDTIGQLGLLQKLDLSSNSLNGSIPDSIEQLSSLAFMALSDNRFSGNFPAGLPNLQSLQYFIMDDNPINIPLPVEFGKLVKLQELRLANSGYSGTIPPSFSLLMNLSTLSLQNNRLIGGIPEGFGSLSHIYHLNLSRNLLGGVVPFNGSFFKRLGRNLDLSGNPGLCLSPTQAYNVKIATEVGVCGTSKNGSLMMKSQASHGFSKSFFLFGGLLVLGLNPVLILVRY >Manes.06G014100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313567:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAFSCISPSTKAFQSSTSLPFFPLNPRGFPSRVLLRIFTVHSSSNGSLNSCENQSQRINFAGMRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGVPLLGDEVYGGTRSMALSLLRPRIPPSCHNQLSLMLSGLERPCLHALALGLGH >Manes.06G014100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313567:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGVPLLGDEVYGGTRSMALSLLRPRIPPSCHNQLSLMLSGLERPCLHALALGFTHPHTGEEIHFSCPPPPDFAGILSQLRKMGMEKV >Manes.06G014100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313567:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAFSCISPSTKAFQSSTSLPFFPLNPRGFPSRVLLRIFTVHSSSNGSLNSCENQSQRINFAGMRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASIK >Manes.06G014100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313567:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAFSCISPSTKAFQSSTSLPFFPLNPRGFPSRVLLRIFTVHSSSNGSLNSCENQSQRINFAGMRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGVPLLGDEVYGGTRSMALSLLRPRIPPSCHNQLSLMLSGLERPCLHALALGFTHPHTGEEIHFSCPPPPDFAGILSQLRKMGMEKV >Manes.06G014100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313617:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAFSCISPSTKAFQSSTSLPFFPLNPRGFPSRVLLRIFTVHSSSNGSLNSCENQSQRINFAGMRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGVPLLGDEVYGGTRSMALSLLRPRIPPSCHNQLSLMLSGLERPCLHALALGFTHPHTGEEIHFSCPPPPDFAGILSQLRKMGMEKV >Manes.06G014100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313567:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAFSCISPSTKAFQSSTSLPFFPLNPRGFPSRVLLRIFTVHSSSNGSLNSCENQSQRINFAGMRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASIK >Manes.06G014100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313567:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAFSCISPSTKAFQSSTSLPFFPLNPRGFPSRVLLRIFTVHSSSNGSLNSCENQSQRINFAGMRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGVPLLGDEVYGGTRSMALSLLRPRIPPSCHNQLSLMLSGLERPCLHALALGFTHPHTGEEIHFSCPPPPDFAGILSQLRKMGMEKV >Manes.06G014100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313567:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAFSCISPSTKAFQSSTSLPFFPLNPRGFPSRVLLRIFTVHSSSNGSLNSCENQSQRINFAGMRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGVPLLGDEVYGGTRSMALSLLRPRIPPSCHNQLSLMLSGLERPCLHALALGFTHPHTGEEIHFSCPPPPDFAGILSQLRKMGMEKV >Manes.06G014100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2305130:2313567:-1 gene:Manes.06G014100.v8.1 transcript:Manes.06G014100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLYAFSCISPSTKAFQSSTSLPFFPLNPRGFPSRVLLRIFTVHSSSNGSLNSCENQSQRINFAGMRLEETVEAKCGKLRLDSWISSRISGISRARIQSSIKSGLVAVNGRVVNKVSHNVKGGDKVSCTISELQPLRAEPEDIPLEIVYEDEHLLVVNKPPHMVVHPAPGNPTGTLVNGILHHCSLPTVATSSQEVLSDVEDISDDEGLCSTLCGESVRPGIVHRLDKGTSGLLVVAKDEHAHAHLSEQFKQHSIQRVYASLTSGVPSALRGRIEIPIGRDVNNRIRMSAIPGPIKQGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGVPLLGDEVYGGTRSMALSLLRPRIPPSCHNQLSLMLSGLERPCLHALALGLGH >Manes.07G094700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29462350:29467765:1 gene:Manes.07G094700.v8.1 transcript:Manes.07G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNFYILLICLSTFSLLFNGATSFYLSLPHQHPDPEAVAYDVQRRVDDSISVRQLLSTQEKDKCKTGNPIDDCWRCDSNWSNNRQRLADCVIGFGQGALGGKGGQIYIVTDSSDRDPANPTPGTLRYGVIQDEPLWIIFSTSMTIKLKHELIVNSYKTIDGRGATVHITGNGCITLQYVSHIIIHNIHVYNCKPSGNTNIASSPTHVGYRGRSDGDGISIYGSQKIWIDHCTLASCTDGLIDAIMGSTGITISNNYFSHHDEVMLLGHDDGYILDSGMQVTIAFNIFGEALVQRMPRCRRGYIHVVNNDFTYWEMYAIGGSGNPTINSQGNRYIAPADPNAKEVTKRVDTNEGWADWNWRTDGDIMVNGAFFVPSGAGLSAQYAKASSVEPKSAGIINQLTMNAGVFGGPRHESFSGPGFSGYGSSTGTTNTGSVGSSGGDSDYFGMIFGSGAPQPTCASSIFLCILILCTCMVGNILS >Manes.08G139200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37504204:37509807:1 gene:Manes.08G139200.v8.1 transcript:Manes.08G139200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRMVDLRSDTVTKPTEAMRAAMANAEVDDDVLGYDPTASRLETEMAKIMGKEAALYVPSGTMGNLISVLVHCDVRGSEVILGHNSHIHIYENGGISTLGGVHPRTVQNNKDGTMDIDLIEASIRDPRGELVYPTTRLICLENSQANCGGRCLPVEYTDRVGELAKKHGLKLHIDGARIFNAAVALGVPVHRLVEAADSVSVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQIGILCAAALVAIQENAGKLEDDHQKAKTLAEGLNQIKGLRVDVAAVETNIIYLDIVEGSKFTAENLCKNLEEHGVLVMQEGLLSVRIVLHHQISASDVQYTLSCFQQALTRAQDENGK >Manes.08G139200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37504224:37509806:1 gene:Manes.08G139200.v8.1 transcript:Manes.08G139200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRMVDLRSDTVTKPTEAMRAAMANAEVDDDVLGYDPTASRLETEMAKIMGKEAALYVPSGTMGNLISVLVHCDVRGSEVILGHNSHIHIYENGGISTLGGVHPRTVQNNKDGTMDIDLIEASIRDPRGELVYPTTRLICLENSQANCGGRCLPVEYTDRVGELAKKHGLKLHIDGARIFNAAVALGVPVHRLVEAADSVSVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQIGILCAAALVAIQENAGKLEDDHQKAKTLAEGLNQIKGLRVDVAAVETNIIYLDIVEGSKFTAENLCKNLEEHGVLVMQEGLLSVRIVLHHQISASDVQYTLSCFQQALTRAQDENGK >Manes.08G139200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37504061:37509806:1 gene:Manes.08G139200.v8.1 transcript:Manes.08G139200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRMVDLRSDTVTKPTEAMRAAMANAEVDDDVLGYDPTASRLETEMAKIMGKEAALYVPSGTMGNLISVLVHCDVRGSEVILGHNSHIHIYENGGISTLGGVHPRTVQNNKDGTMDIDLIEASIRDPRGELVYPTTRLICLENSQANCGGRCLPVEYTDRVGELAKKHGLKLHIDGARIFNAAVALGVPVHRLVEAADSVSVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQIGILCAAALVAIQENAGKLEDDHQKAKTLAEGLNQIKGLRVDVAAVETNIIYLDIVEGSKFTAENLCKNLEEHGVLVMQEGLLSVRIVLHHQISASDVQYTLSCFQQALTRAQDENGK >Manes.08G139200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37504061:37509873:1 gene:Manes.08G139200.v8.1 transcript:Manes.08G139200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRMVDLRSDTVTKPTEAMRAAMANAEVDDDVLGYDPTASRLETEMAKIMGKEAALYVPSGTMGNLISVLVHCDVRGSEVILGHNSHIHIYENGGISTLGGVHPRTVQNNKDGTMDIDLIEASIRDPRGELVYPTTRLICLENSQANCGGRCLPVEYTDRVGELAKKHGLKLHIDGARIFNAAVALGVPVHRLVEAADSVSVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQIGILCAAALVAIQENAGKLEDDHQKAKTLAEGLNQIKGLRVDVAAVETNIIYLDIVEGSKFTAENLCKNLEEHGVLVMQEGLLSVRIVLHHQISASDVQYTLSCFQQALTRAQDENGK >Manes.08G139200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37504339:37509806:1 gene:Manes.08G139200.v8.1 transcript:Manes.08G139200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRMVDLRSDTVTKPTEAMRAAMANAEVDDDVLGYDPTASRLETEMAKIMGKEAALYVPSGTMGNLISVLVHCDVRGSEVILGHNSHIHIYENGGISTLGGVHPRTVQNNKDGTMDIDLIEASIRDPRGELVYPTTRLICLENSQANCGGRCLPVEYTDRVGELAKKHGLKLHIDGARIFNAAVALGVPVHRLVEAADSVSVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQIGILCAAALVAIQENAGKLEDDHQKAKTLAEGLNQIKGLRVDVAAVETNIIYLDIVEGSKFTAENLCKNLEEHGVLVMQEGLLSVRIVLHHQISASDVQYTLSCFQQALTRAQDENGK >Manes.08G139200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37504059:37509873:1 gene:Manes.08G139200.v8.1 transcript:Manes.08G139200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRMVDLRSDTVTKPTEAMRAAMANAEVDDDVLGYDPTASRLETEMAKIMGKEAALYVPSGTMGNLISVLVHCDVRGSEVILGHNSHIHIYENGGISTLGGVHPRTVQNNKDGTMDIDLIEASIRDPRGELVYPTTRLICLENSQANCGGRCLPVEYTDRVGELAKKHGLKLHIDGARIFNAAVALGVPVHRLVEAADSVSVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQIGILCAAALVAIQENAGKLEDDHQKAKTLAEGLNQIKGLRVDVAAVETNIIYLDIVEGSKFTAENLCKNLEEHGVLVMQEGLLSVRIVLHHQISASDVQYTLSCFQQALTRAQDENGK >Manes.11G093600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15503633:15506348:1 gene:Manes.11G093600.v8.1 transcript:Manes.11G093600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIFSSHVSQTFDSVAQFLDHSYQTKNVIAIKKLHARLLRTGLLFFSLNIHSRLIFACTTCINKNNLQTLTNCCKFLNPINPLPFNLLLSNFCRNGFPLLALKTCSLMHITSVSLDTYAWCSSLKASSSMEDVNFGKQIHAHVTKSGWLSSVFVGSALIDLYAKSSFVGHAAMVFDEIPVKNTVCANALLSGYVEGKLWDQGIKLLRNMPCLSLDYDHFTLAAMLRTCAGLSAIELGRQVHAYLTRKINDLGNDVFLQSSLIEMYGKCGFVEKALQVFNLEGYKLGGKVNRDIVLWTSMLGAYGRNGHFNKVIELYKEMLKEGITPDEVAYVTVISACGHTGQLQLGIEYFKSMSLDFNLNPGMEHYSSVIDLLCRAGELDKAWKLMNEMLTKGQVNPCISMWGALLSACEEHGNIEIGKLAAQEALKLEPQNAGIYVMLSNLYARFDMWDEIGQLREIIKDRGLKKDVGCSWIEVTR >Manes.02G021100.25.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880339:1886516:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880331:1886946:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880339:1886946:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.21.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880331:1886516:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.20.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880333:1886946:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880333:1886946:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.22.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880332:1886516:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.23.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880332:1886516:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880333:1886516:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.02G021100.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1880333:1886946:1 gene:Manes.02G021100.v8.1 transcript:Manes.02G021100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREDSSRFGGLPVTTSRNMSSSSSAFFSANQSPFFSPRSPTCQISESARSDAQCDSAHLSGNPLNFGSGNLVPASLANVRDVLSDMSRDPVSEIGTDFQNLDRLLSSTGISNSSPYSISYAHDNGYSGFREKRRKHGRGHETSGTPVSIPLSCNRLSCDIFIGVHGRKPSLLRFANWLRAELEVQGMSCFLSDRARCRNSRKHEIIERAMDVSSFGIIVLTKKSFRNPYTIEELRFFASKKNLVPIFFDLTPDDCLVRDIVEKRGELWEKHGGELWLLYGGLEKEWKEAINGLSQVDECKLEAHEGNWRDCILRAVTLLAMKLGRRSVVDRLAKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDVSGDSERDYFELKARPRRKNLMAGWSKSGLIEEKRREQKLGNGAKKGKEPVVWKESEKEIEMQSTEFSHRQRQVRPKGGGRYARRKRSTKIVYGKGIACVSGEPGIGKTELLLEFAYRYQQRYKMVLWIGGESKYIRHNYLNLCSFLEVDVGVENFSEKGRIKSFEEQEEEAICRVRKELMRNIPFLVVIDNLESEKDWWDHKLIMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSGVEAMCLMQGSGKDYSIAEIDALRVIEEKVGRSTLGLAIVGAILSELPINPSRLLDTINRMPSREMSWSGRDAQALRSNIFILQLFELCFSIFDHADGPRSLANRMVQASGWFAPAAIPISLLALAAKKIPQKHRGARLWRKLLHSFCGLTSSYIKRSEAEASSMLLRFNIARSSTKQGYLYVNELVKLYVCKRGAATGAQAMVQAVISRGSIFHHSEHMWAACFLLFGFGNEPKATELKVSELLYLVREVVLPLAIWTFITFSRCNAALELLRLCTDALEAEDQAFVTPVEKWLDKSLCWRPIQTNAQLYPYLWQELALLRATVLETRAKLMLRGGQFSVGDDLIRKAIFIRTSVCGDDHPDTVSARETLSRLTRHLANVQIYTSP >Manes.10G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2079323:2082419:1 gene:Manes.10G021000.v8.1 transcript:Manes.10G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVNKNSAAGGFDKSTNGSESVTESRDSSSLSSVSSSAEEVKSVGCASPSPLGWPIRKAGECKNLVASGGNEDQKKTHSEDSKFKKLGSKISEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCVTIFGQLWRLEPLPPEKKSMWRREMEWLLCVGDHIVELIPSWQTFPDGSKLEVMTCRPRSDLFINLPALRKLDNMLLEILDTFADAEFWYVDQGIVAQDADGSTSFRKAIQRQEEKWWLPVPRVPAGGLSDNSRKQLNHTRECTHQILKAAMAINNIALAEMDVPDSYLESLPKNGRACLGDLIYRYITTDQFSAECLLDCLDLSTEHVALEIANRVESAIYVWRRRAHSKPQNNPNRSTTRSSWEMVKDLMVDVDKRELLAERAESLLLSLKQRFPSLSQTTLDTSKIQFNKDVGKSILESYSRVLESLAFNVVARIDDLLYVDDLTKHSDKLSVPTVSVIAHKKVSIPYSVPVSGTPYKTFSTPTFSPASSLISPARGERTPFLHNITTSNNSNKPPRRGFGVKRVLTSYLGVDTKAKLCGNSTEATCPNTNTNGAEGRGHENGTAKSYQDAPKYTVD >Manes.18G096900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8956655:8959753:-1 gene:Manes.18G096900.v8.1 transcript:Manes.18G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIIKIFCSYVLGFLLFASVLHGRAFVRYKFILEEAPYTRLCSTKNILTVNGQFPGPTLYVTKGDTIIVDVFNKGRYNVTIHWHGVKMPRNPWADGPEYVTQCPIQPGAKFRQKVIFSTEEGTLWWHAHSDWTRATVHGAIIVYPKPPTGYPFPKPHAEVPVIIGEWWKEGITELYDAFLQSGGDPSVSDAYTINGQPGDLYPCSKPETFKLMVDYGRTYLLRIINAAVQDMVFFSIAGHSFTVVGTDASYTKPLTTHYITISPGQTFDVLLKANQPPNHYYMAARVYSAVSDLLIDNTTTTAVIQYNGNYTPSSPPLLPSLPYYNDTSASVNFTASLRSLADEEHQIHVPLNITTRMFITISINTFPCPNDSCAGPNRTRLAASLNNISFEQQSVDVLEAYYYRRNGVFGSKFPNFPPLLFNFTGEYLPLFLEATRRRTEVKILEYNSTVEIVFQGTNVAAGASDHPMHLHGFSFYVIGTGLGNFDKDKDPLGYNLIDPPLQNTIAVPINGWSTIRFTADNPGVWFMHCHLDRHMSWGMDTVFIVKDGEAPKAQLLPPPLDMPPC >Manes.09G073000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:17284933:17285992:1 gene:Manes.09G073000.v8.1 transcript:Manes.09G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIILQGRYAGRKAVIIKSFDEGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKCFVKLVNYQHLMPTRYTLDVDLKDVVSVDALQSKEKKVAACKDTKARFEERFKTGKNRWFFTKLRF >Manes.12G098300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24566225:24615568:-1 gene:Manes.12G098300.v8.1 transcript:Manes.12G098300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFSISILITISLLPFLCSSFSPESPTDRRILVLLDDFSLKSSHSIFFNSLKSRGFDLDFKLADDPKLALQRYGQYLYDGLVLFSPAAERFGGALDLTAVLDFVDSGHDLVVAADASASDLIKSIATECGVDFDEDPSAMVIDHKNYAVSEIEGDHTLIAADVFIQSDAILGKTEIEAPVLFKGVAHSINAANRLALKVLSASSSAYSANPSSKLSSPPSLTGATISLVSVVQARNNARIMITGSIDMFSNRFFRSGVQNAESTIKYEKSGNEQFVTELTKWIFHERGHLKAVNVRHHKVGETDEPAMYRIKDDLEFYIEIYEWSGKSWEPYVANDVQVQFYMMSPYVLKTLSTDDKGLYHTTFKVPDVYGVFQFKVEYQRLGYASLSLSKQIPVRPFRHNEYERFITTAFPYYGASFATMAGFFVFSFVYLYSK >Manes.05G061000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5120847:5127136:-1 gene:Manes.05G061000.v8.1 transcript:Manes.05G061000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNTPSFAPKLLSNLKYYHHGSRSPSIFNANGIVFVPQFLSRCSSSSAAIPPHSDSLKSRFLNRKSDGIRAFSPTSSAAAVDLSPLTSAMQLARHYSRCYWELSKARLSMLVVATSGTGYILGSGNAVDFAGMCWTCAGTMMVAASANSLNQVFEISNDAKMKRTRRRPLPSGRLTISHAATWASSVGLAGTALLACKANMLAAGLAASNLFLYAFVYTPLKQIHPVNTWVGAIVGAIPPLLGWAAASGQVSLNAMILPAALYFWQIPHFMALAYLCRKDYADGGFKMFSLADASGQRTALVALRNCLYLVPLGYLAYDWGVTSGWFCLESSLLTLAISASAFSFYRDRTVHKARRMFHASLLYLPVFMSGLLFHRITRAPVAYASVAPFPFLPAPSYSVP >Manes.05G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5120847:5127136:-1 gene:Manes.05G061000.v8.1 transcript:Manes.05G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNTPSFAPKLLSNLKYYHHGSRSPSIFNANGIVFVPQFLSRCSSSSAAIPPHSDSLKSRFLNRKSDGIRAFSPTSSAAAVDLSPLTSAMQLARHYSRCYWELSKARLSMLVVATSGTGYILGSGNAVDFAGMCWTCAGTMMVAASANSLNQVFEISNDAKMKRTRRRPLPSGRLTISHAATWASSVGLAGTALLACKANMLAAGLAASNLFLYAFVYTPLKQIHPVNTWVGAIVGAIPPLLGWAAASGQVSLNAMILPAALYFWQIPHFMALAYLCRKDYADGGFKMFSLADASGQRTALVALRNCLYLVPLGYLAYDWGVTSGWFCLESSLLTLAISASAFSFYRDRTVHKARRMFHASLLYLPVFMSGLLFHRISGESELSSSLEAQELDSGTDNQKSKGRHTIAGTQARAPVAYASVAPFPFLPAPSYSVP >Manes.05G171920.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28544565:28550761:-1 gene:Manes.05G171920.v8.1 transcript:Manes.05G171920.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKWGCSYKRTTLIVCSINIVVALYVLRSLYGSLYIYSNNDLKNVVNYTPDQINRMEESIQIRRANEPLELVKLVKQLNEELKTDEKAVELPMEVRRKITDEILQRLRSLNANANITEQREAVERWRKEKLLEVKQLIRGIGLLNSTILQEQTRMLIKALDSDWAVLSENIGLWMPTEIINQEHDDKPEGEEDDEEILPGRPVPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMKCNIWVYCPSETGCYSPDIYQHKNQECWLKYVRVDSLSLSLSLSLSP >Manes.05G171920.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28544565:28550761:-1 gene:Manes.05G171920.v8.1 transcript:Manes.05G171920.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKWGCSYKRTTLIVCSINIVVALYVLRSLYGSLYIYSNNDLKNVVNYTPDQINRMEESIQIRRANEPLELVKLVKQLNEELKTDEKAVELPMEVRRKITDEILQRLRSLNANANITEQREAVERWRKEKLLEVKQLIRGIGLLNSTILQEQTRMLIKALDSDWAVLSENIGLWMPTEIINQEHDDKPEGEEDDEEILPGRPVPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMKCNIWVYCPSETGCYSPDIYQHKNQECWLKYAEKPKLNFKDRYSESYRNSHPNAPLIVPWVSGVVST >Manes.05G171920.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28544565:28550761:-1 gene:Manes.05G171920.v8.1 transcript:Manes.05G171920.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKWGCSYKRTTLIVCSINIVVALYVLRSLYGSLYIYSNNDLKNVVNYTPDQINRMEESIQIRRANEPLELVKLVKQLNEELKTDEKAVELPMEVRRKITDEILQRLRSLNANANITEQREAVERWRKEKLLEVKQLIRGIGLLNSTILQEQTRMLIKALDSDWAVLSENIGLWMPTEIINQEHDDKPEGEEDDEEILPGRPVPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMKCNIWVYCPSETGCYSPDIYQHKNQECWLKYAEKPKLNFKDRYSESYRNSHPNAPLIVPWVSGVVST >Manes.03G056817.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5578012:5579127:-1 gene:Manes.03G056817.v8.1 transcript:Manes.03G056817.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSRKPIIIEINLISAQDLRSGRRSSATQMYVVAYLNPNQKLTSRIVKHSHCHTWNDKFIFALELDDHLTNNSCIVFEIFRVRRFMKDKRIGVVRVMLDSLISKDRGRSEAEGPKFAAFHVRTPAGEPMGILNIGVATLNVMFHQQLPKFLSSNYLLDYF >Manes.08G107200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34680511:34683696:-1 gene:Manes.08G107200.v8.1 transcript:Manes.08G107200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Manes.08G107200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34680748:34682313:-1 gene:Manes.08G107200.v8.1 transcript:Manes.08G107200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDGCCPDCKLPGDDCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKG >Manes.01G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30603246:30606116:-1 gene:Manes.01G106900.v8.1 transcript:Manes.01G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPPRKTHASLSYAPCIFKPNISHSAPPPPDAIKLLKLSANTKSLKFGKLIHAHLIISNRTTKNNALETNSLINFYAKCNELFIARKLFDSMRQRNVVSWSALMTGYLHMGFSLEVIRLLKDMVSVDAISPNEYIFAIALASCSDSGRTKEGQQCHCYVLKSGLVFHQYVRNALLHMYSKYFSFQEVMWIWNLVPGTDIFSYNSVLSGLLENGYFKEGLEVLRRMVSDHVKWDSVTYVNIFGLCACLKYLRMGLELHGKMLISDVESDAYVSSAMINMYGKCGDVLSARRVFDRLQSQNVVLWTAIIAAYFQNGCFEDALKLFSKMKLDGARPNEFTFAVTLNASAGLSAISCGLLLHACTEKSGLKDYLTVGNALINMYAKSGNIPAAKNVFSDMTSRDTISWNAMICGYSHHGLGVLSACSHLGLVQEGLYYLNLMKESSIVPGLAHYTCIVGLLSKAGRLDDAWNFMRSMPVKWDVVAWRTLLNACHIHRNYGFGKQIAEWIIEMDPNDVGTYTLLSNMYAKAKRWDGVVKIRKLMRDKNIKKEPGASWIEIRNVTHIFVAEETKHPEHSEIYEKVKELLTMIKPLGYVPNIGAVLHDVEDEQKDHYLSYHSEKLAIAYGLMKTPPEAPIIVMKNLRMCDDCHLAVKLISKVANRMIIVRDANRFHHFQEGHCSCADYW >Manes.06G145650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27233671:27234339:1 gene:Manes.06G145650.v8.1 transcript:Manes.06G145650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQKLNLELPTHVDSMFVEIKVTKLFGDVMVSKAEIPVSDLINDNKQENKVNLLSFLLTNSNGKRNGVINFAVRVGDSRRRSHGNGQPTL >Manes.07G088650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27945059:27951028:1 gene:Manes.07G088650.v8.1 transcript:Manes.07G088650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSIVPENLATHNYENWRIWMKNYLLAHDLWDVVEATAETPNPEQAEFKDWQKKNAAALYAIHVSCSFDVFLKIKEIDSAGLCWNALADIKVECIPEPGPMLQSETEGGISGVEKENVYLQFRPLCLAIENGDCKAVKKFLEECPEAVREKLTRFGNTALHLAASKGDVKLVEELVALMKEEDLEILNDNNETALNIAAGSGILRLVECMINKNKKLASVTGTTHIPVIVACSSGHRDMTYYLYSVTPLDFLHPDAGAFGSLLLHEAIGNQFFDIALDLVQNCPFMAIRRNHLGTNPLIEFSNLTHLFPESSRLSFWQRWIYSCINVQQRASSKDVRIYIPQNGPNEEGNFLMRVSNQLRSLGSKFLELSGIKQIYDLKLIHTQALQLLDCICDGAISTLDDTKVEEYGIYEAYFIAIENGIVEIVTKIIKAHPPLLTVKELASDNNILLTAVKFRQEKVFSLVYGLDTRKNVLLVGCDKDNNNMLHLAAMLAPPHRLARISGAALQMQRELQWYKEVESILKPPYKEYVNKFGVKPTQMFSDTHKFLMEEGEKWMKDTATSCTVVGALIITIMFTAAFTVPGGNIDTGYPMFLHEKAFMVFIIADAISLFSSSTSVLMFLGILTSRYAEDDFLKSLPTKLIIGLSTLFISIATMMVSFCATLILMLEGELNLIIPLVLLASIPVTLFIFLQFPLLVEIFISTYGPGIFDRKSKYLHK >Manes.17G080800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28581345:28585651:1 gene:Manes.17G080800.v8.1 transcript:Manes.17G080800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAKLLARVQHRNVVSLLGYCAHGTEKLLVYEYIANESLDKFLFKPNRRELLDWKKRHDIITGVARGLLYLHEDSHNCIIHRDIKASNILLDHKWVPKIADFGMARLFPEDQTHVNTRLVGTNSYMAPEYVMRGHLSVKADVFSFGVLLLELISGQRNSTFNQSVEAQNLLDWAYKLHKKGKSLEVMDPTLTSSADNEQVKMCIHIGLLCTQGDPQLRPNMGRVVILLAKKPGNLEEPTRPGVISSRYGGSRRPSSSARTSSDSYSRTFDSSLNTNTATASTSTQTSLRLDPRGKRPISE >Manes.17G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28581345:28585651:1 gene:Manes.17G080800.v8.1 transcript:Manes.17G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKNFVQKLIKVFKLSSRRKGNGEEDLGQIAAQEQKQFAFDTLVSATKDFHPTHKLGEGGFGPVYRGKLADGREIAVKKLSHCSKQGKKEFMNEAKLLARVQHRNVVSLLGYCAHGTEKLLVYEYIANESLDKFLFKPNRRELLDWKKRHDIITGVARGLLYLHEDSHNCIIHRDIKASNILLDHKWVPKIADFGMARLFPEDQTHVNTRLVGTNSYMAPEYVMRGHLSVKADVFSFGVLLLELISGQRNSTFNQSVEAQNLLDWAYKLHKKGKSLEVMDPTLTSSADNEQVKMCIHIGLLCTQGDPQLRPNMGRVVILLAKKPGNLEEPTRPGVISSRYGGSRRPSSSARTSSDSYSRTFDSSLNTNTATASTSTQTSLRLDPRGKRPISE >Manes.07G062348.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9707147:9720673:-1 gene:Manes.07G062348.v8.1 transcript:Manes.07G062348.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHHDSGKLKNTTVKLQQAVEEATRKGEEIYESVNKWLIDAAKAIEEAEECIKGEEQAKKRCFVGLCPDLKTGYQLSKKAEKKALAIHQLASEDDHNPISFRPHPQQIVAPLVYAPEGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEEKLFDVVAMVAVGQTPEVRRIQSEVADVLGLKFDGEEIPGRANRLYERLKKELEKEKKVLIILDDVWKKLDLNAVGIPFGDDFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARTLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRESYAWDDKLNQLSQFDNEEIYLKVHASLESSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYVMGLSLFKNISTVQGAKNKVYGLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELMKFPNKDCTRISLPYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLQTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKQIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDNILPKHLFSNGRLQRFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIEVLLRETEDLRLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLASGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMEVVDCPKMEAIVIDESENSNEVLEFNRLSSLNLQNLPNLRTFHSKMKAPLKIEEFLSQQQSDTHLSLFSRTVSFPNLKDLRLHSVSCEKIWHDQLSATSSKLERLFVKDCNELKHLFTASIVKRLLQLNTLNISYCSSMEEIILTEEFIEEEDERMNQILFPKLDELQLWNLPKLIRFCIGYQIEFQSLRYLNISNCNALMCLVPSVPHTGMMEKQDDTEMNQNQNQNQNAEIQSLFNGMVDFPNLERLILFDINELKRIWHSPLAANSFFKLKLLNVSNCQKLMVVFPSNVLERFRRMQELDVSDCASLQEIYQLEEFNVDEAFELRKLNIHRLRSLKHVWRKDPQGVFSFQNLKSVRVLGCDVLNYLFPASIAESLLQLEHLTIIKCGVEEIIAKVEDVEQTPYYCFKFPQLTSLELTDLSKLRSWYPGIHISELQKLTCLNVCSCHKLIKFSFQEIDEKSRQLLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLYSVETILVQGHNTSEELFSYEGLAGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVSRCYSLINIAPSSSSFQNLATLQVSSCAGLANLITASTAKSMVQLSKMTVQYCHMMTEIVTSYGDDHTEDEIINFDKLKCLELDGLPGLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGDLNTPKLRGILLRDQQHWEGNLNATLAEMLWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLKELEVKDCDSADVVFDLEGVSADDGLLPRLKELQLTSLPMLRHLWNKDPIGILEFKNLKWLHVVNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIENEEAADKMILLASLKSVVLKCLPRFSRFCSGWSNVECPLLEEMSIHECPSLKNIFATQTPVRLQDKPNTIDEGSQQRLHSEELHAPVLHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFPNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLVSDQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSATAKSMVQLETLIVRFCNMLTEIIGGVQEDGSTDEIVFSKMKTLELEDLQNLTCFCLGSYTFNFPSLEQMDVFGCPKLRIFTVRELSAPRIHGVFTGDRFNRTFHWEGDLNATIEQIYMKYIGFKEIYDIQLSNFPMLKEKWHGQFPFENLEYLERLLVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGDVGLLKSLVELRLIDLPRLVHVWNKDPQGILSFRNLALLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVQHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIASSSGRKVVIPSLEELRVECNTIKNLCSQTSQADFFCKLKGIELTCISSDSTLLPSQFFESLPILKKLVLSHASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSPIARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFSQLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGPHIYKWGWQDIICDWEWEGSLNNTIEALFKEKLKRRDRPVFIWVSLNKANVHILLFIFYFTNLLDCYISAHSR >Manes.07G062348.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9706777:9720673:-1 gene:Manes.07G062348.v8.1 transcript:Manes.07G062348.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHHDSGKLKNTTVKLQQAVEEATRKGEEIYESVNKWLIDAAKAIEEAEECIKGEEQAKKRCFVGLCPDLKTGYQLSKKAEKKALAIHQLASEDDHNPISFRPHPQQIVAPLVYAPEGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEEKLFDVVAMVAVGQTPEVRRIQSEVADVLGLKFDGEEIPGRANRLYERLKKELEKEKKVLIILDDVWKKLDLNAVGIPFGDDFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARTLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRESYAWDDKLNQLSQFDNEEIYLKVHASLESSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYVMGLSLFKNISTVQGAKNKVYGLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELMKFPNKDCTRISLPYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLQTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKQIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDNILPKHLFSNGRLQRFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIEVLLRETEDLRLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLASGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMEVVDCPKMEAIVIDESENSNEVLEFNRLSSLNLQNLPNLRTFHSKMKAPLKIEEFLSQQQSDTHLSLFSRTVSFPNLKDLRLHSVSCEKIWHDQLSATSSKLERLFVKDCNELKHLFTASIVKRLLQLNTLNISYCSSMEEIILTEEFIEEEDERMNQILFPKLDELQLWNLPKLIRFCIGYQIEFQSLRYLNISNCNALMCLVPSVPHTGMMEKQDDTEMNQNQNQNQNAEIQSLFNGMVDFPNLERLILFDINELKRIWHSPLAANSFFKLKLLNVSNCQKLMVVFPSNVLERFRRMQELDVSDCASLQEIYQLEEFNVDEAFELRKLNIHRLRSLKHVWRKDPQGVFSFQNLKSVRVLGCDVLNYLFPASIAESLLQLEHLTIIKCGVEEIIAKVEDVEQTPYYCFKFPQLTSLELTDLSKLRSWYPGIHISELQKLTCLNVCSCHKLIKFSFQEIDEKSRQLLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLYSVETILVQGHNTSEELFSYEGLAGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVSRCYSLINIAPSSSSFQNLATLQVSSCAGLANLITASTAKSMVQLSKMTVQYCHMMTEIVTSYGDDHTEDEIINFDKLKCLELDGLPGLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGDLNTPKLRGILLRDQQHWEGNLNATLAEMLWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLKELEVKDCDSADVVFDLEGVSADDGLLPRLKELQLTSLPMLRHLWNKDPIGILEFKNLKWLHVVNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIENEEAADKMILLASLKSVVLKCLPRFSRFCSGWSNVECPLLEEMSIHECPSLKNIFATQTPVRLQDKPNTIDEGSQQRLHSEELHAPVLHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFPNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLVSDQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSATAKSMVQLETLIVRFCNMLTEIIGGVQEDGSTDEIVFSKMKTLELEDLQNLTCFCLGSYTFNFPSLEQMDVFGCPKLRIFTVRELSAPRIHGVFTGDRFNRTFHWEGDLNATIEQIYMKYIGFKEIYDIQLSNFPMLKEKWHGQFPFENLEYLERLLVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGDVGLLKSLVELRLIDLPRLVHVWNKDPQGILSFRNLALLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVQHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIASSSGRKVVIPSLEELRVECNTIKNLCSQTSQADFFCKLKGIELTCISSDSTLLPSQFFESLPILKKLVLSHASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSPIARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFSQLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGPHIYKWGWQDIICDWEWEGSLNNTIEALFKEKKAEETG >Manes.07G062348.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9707147:9720673:-1 gene:Manes.07G062348.v8.1 transcript:Manes.07G062348.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHHDSGKLKNTTVKLQQAVEEATRKGEEIYESVNKWLIDAAKAIEEAEECIKGEEQAKKRCFVGLCPDLKTGYQLSKKAEKKALAIHQLASEDDHNPISFRPHPQQIVAPLVYAPEGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEEKLFDVVAMVAVGQTPEVRRIQSEVADVLGLKFDGEEIPGRANRLYERLKKELEKEKKVLIILDDVWKKLDLNAVGIPFGDDFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARTLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRESYAWDDKLNQLSQFDNEEIYLKVHASLESSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYVMGLSLFKNISTVQGAKNKVYGLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELMKFPNKDCTRISLPYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLQTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKQIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDNILPKHLFSNGRLQRFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIEVLLRETEDLRLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLASGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMEVVDCPKMEAIVIDESENSNEVLEFNRLSSLNLQNLPNLRTFHSKMKAPLKIEEFLSQQQSDTHLSLFSRTVSFPNLKDLRLHSVSCEKIWHDQLSATSSKLERLFVKDCNELKHLFTASIVKRLLQLNTLNISYCSSMEEIILTEEFIEEEDERMNQILFPKLDELQLWNLPKLIRFCIGYQIEFQSLRYLNISNCNALMCLVPSVPHTGMMEKQDDTEMNQNQNQNQNAEIQSLFNGMVDFPNLERLILFDINELKRIWHSPLAANSFFKLKLLNVSNCQKLMVVFPSNVLERFRRMQELDVSDCASLQEIYQLEEFNVDEAFELRKLNIHRLRSLKHVWRKDPQGVFSFQNLKSVRVLGCDVLNYLFPASIAESLLQLEHLTIIKCGVEEIIAKVEDVEQTPYYCFKFPQLTSLELTDLSKLRSWYPGIHISELQKLTCLNVCSCHKLIKFSFQEIDEKSRQLLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLYSVETILVQGHNTSEELFSYEGLAGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVSRCYSLINIAPSSSSFQNLATLQVSSCAGLANLITASTAKSMVQLSKMTVQYCHMMTEIVTSYGDDHTEDEIINFDKLKCLELDGLPGLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGDLNTPKLRGILLRDQQHWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLKELEVKDCDSADVVFDLEGVSADDGLLPRLKELQLTSLPMLRHLWNKDPIGILEFKNLKWLHVVNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIENEEAADKMILLASLKSVVLKCLPRFSRFCSGWSNVECPLLEEMSIHECPSLKNIFATQTPVRLQDKPNTIDEGSQQRLHSEELHAPVLHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFPNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLVSDQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSATAKSMVQLETLIVRFCNMLTEIIGGVQEDGSTDEIVFSKMKTLELEDLQNLTCFCLGSYTFNFPSLEQMDVFGCPKLRIFTVRELSAPRIHGVFTGDRFNRTFHWEGDLNATIEQIYMKYIGFKEIYDIQLSNFPMLKEKWHGQFPFENLEYLERLLVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGDVGLLKSLVELRLIDLPRLVHVWNKDPQGILSFRNLALLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVQHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIASSSGRKVVIPSLEELRVECNTIKNLCSQTSQADFFCKLKGIELTCISSDSTLLPSQFFESLPILKKLVLSHASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSPIARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFSQLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGPHIYKWGWQDIICDWEWEGSLNNTIEALFKEKLKRRDRPVFIWVSLNKANVHILLFIFYFTNLLDCYISAHSR >Manes.07G062348.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9705802:9720797:-1 gene:Manes.07G062348.v8.1 transcript:Manes.07G062348.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHHDSGKLKNTTVKLQQAVEEATRKGEEIYESVNKWLIDAAKAIEEAEECIKGEEQAKKRCFVGLCPDLKTGYQLSKKAEKKALAIHQLASEDDHNPISFRPHPQQIVAPLVYAPEGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEEKLFDVVAMVAVGQTPEVRRIQSEVADVLGLKFDGEEIPGRANRLYERLKKELEKEKKVLIILDDVWKKLDLNAVGIPFGDDFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARTLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRESYAWDDKLNQLSQFDNEEIYLKVHASLESSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYVMGLSLFKNISTVQGAKNKVYGLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELMKFPNKDCTRISLPYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLQTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKQIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDNILPKHLFSNGRLQRFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIEVLLRETEDLRLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLASGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMEVVDCPKMEAIVIDESENSNEVLEFNRLSSLNLQNLPNLRTFHSKMKAPLKIEEFLSQQQSDTHLSLFSRTVSFPNLKDLRLHSVSCEKIWHDQLSATSSKLERLFVKDCNELKHLFTASIVKRLLQLNTLNISYCSSMEEIILTEEFIEEEDERMNQILFPKLDELQLWNLPKLIRFCIGYQIEFQSLRYLNISNCNALMCLVPSVPHTGMMEKQDDTEMNQNQNQNQNAEIQSLFNGMVDFPNLERLILFDINELKRIWHSPLAANSFFKLKLLNVSNCQKLMVVFPSNVLERFRRMQELDVSDCASLQEIYQLEEFNVDEAFELRKLNIHRLRSLKHVWRKDPQGVFSFQNLKSVRVLGCDVLNYLFPASIAESLLQLEHLTIIKCGVEEIIAKVEDVEQTPYYCFKFPQLTSLELTDLSKLRSWYPGIHISELQKLTCLNVCSCHKLIKFSFQEIDEKSRQLLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLYSVETILVQGHNTSEELFSYEGLAGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVSRCYSLINIAPSSSSFQNLATLQVSSCAGLANLITASTAKSMVQLSKMTVQYCHMMTEIVTSYGDDHTEDEIINFDKLKCLELDGLPGLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGDLNTPKLRGILLRDQQHWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLKELEVKDCDSADVVFDLEGVSADDGLLPRLKELQLTSLPMLRHLWNKDPIGILEFKNLKWLHVVNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIENEEAADKMILLASLKSVVLKCLPRFSRFCSGWSNVECPLLEEMSIHECPSLKNIFATQTPVRLQDKPNTIDEGSQQRLHSEELHAPVLHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFPNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLVSDQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSATAKSMVQLETLIVRFCNMLTEIIGGVQEDGSTDEIVFSKMKTLELEDLQNLTCFCLGSYTFNFPSLEQMDVFGCPKLRIFTVRELSAPRIHGVFTGDRFNRTFHWEGDLNATIEQIYMKYIGFKEIYDIQLSNFPMLKEKWHGQFPFENLEYLERLLVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGDVGLLKSLVELRLIDLPRLVHVWNKDPQGILSFRNLALLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVQHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIASSSGRKVVIPSLEELRVECNTIKNLCSQTSQADFFCKLKGIELTCISSDSTLLPSQFFESLPILKKLVLSHASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSPIARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFSQLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGPHIYKWGWQDIICDWEWEGSLNNTIEALFKEKKAEETG >Manes.07G062348.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9706777:9720798:-1 gene:Manes.07G062348.v8.1 transcript:Manes.07G062348.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKEPIMEFVVVPIKRHISYPFTYKSNVKKLHHDSGKLKNTTVKLQQAVEEATRKGEEIYESVNKWLIDAAKAIEEAEECIKGEEQAKKRCFVGLCPDLKTGYQLSKKAEKKALAIHQLASEDDHNPISFRPHPQQIVAPLVYAPEGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKALEEKLFDVVAMVAVGQTPEVRRIQSEVADVLGLKFDGEEIPGRANRLYERLKKELEKEKKVLIILDDVWKKLDLNAVGIPFGDDFKGCKILLTSRRQDVLSREMGTQQEFKLDVLQDEEARTLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRESYAWDDKLNQLSQFDNEEIYLKVHASLESSYNNLCSNEVKSFFLLCGLIGQSNIEIQSLLKYVMGLSLFKNISTVQGAKNKVYGLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALNIASREQHAFIVTSGMELMKFPNKDCTRISLPYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLQTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPKQIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQILDDNILPKHLFSNGRLQRFRILIGDNWDWDGNYKTSRTLKLKLKASIHSGYGIEVLLRETEDLRLDEVRGAENLLYDIEGDGFPKLKYLRVQNNHVIQHIINSTKWAACDVFPILVSLILENLMKLEKICHGRLASGSFNKLEILQVRNCGRLTHLFSLSTAKCLLQLQEMEVVDCPKMEAIVIDESENSNEVLEFNRLSSLNLQNLPNLRTFHSKMKAPLKIEEFLSQQQSDTHLSLFSRTVSFPNLKDLRLHSVSCEKIWHDQLSATSSKLERLFVKDCNELKHLFTASIVKRLLQLNTLNISYCSSMEEIILTEEFIEEEDERMNQILFPKLDELQLWNLPKLIRFCIGYQIEFQSLRYLNISNCNALMCLVPSVPHTGMMEKQDDTEMNQNQNQNQNAEIQSLFNGMVDFPNLERLILFDINELKRIWHSPLAANSFFKLKLLNVSNCQKLMVVFPSNVLERFRRMQELDVSDCASLQEIYQLEEFNVDEAFELRKLNIHRLRSLKHVWRKDPQGVFSFQNLKSVRVLGCDVLNYLFPASIAESLLQLEHLTIIKCGVEEIIAKVEDVEQTPYYCFKFPQLTSLELTDLSKLRSWYPGIHISELQKLTCLNVCSCHKLIKFSFQEIDEKSRQLLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLYSVETILVQGHNTSEELFSYEGLAGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVSRCYSLINIAPSSSSFQNLATLQVSSCAGLANLITASTAKSMVQLSKMTVQYCHMMTEIVTSYGDDHTEDEIINFDKLKCLELDGLPGLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGDLNTPKLRGILLRDQQHWEGNLNATLAEMRVDRYFKASEFPELWHDGMQGRLLRNVERLEVDKCAMYNKAIPSNVLVFLNKLKELEVKDCDSADVVFDLEGVSADDGLLPRLKELQLTSLPMLRHLWNKDPIGILEFKNLKWLHVVNCSSLKYIFTWSMALCLLQLEKIELNNCKMIEGIIENEEAADKMILLASLKSVVLKCLPRFSRFCSGWSNVECPLLEEMSIHECPSLKNIFATQTPVRLQDKPNTIDEGSQQRLHSEELHAPVLHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFPNKYHVPLFSLLPIFPNLERFEVLDSSLNELLPFEGLVSDQEDITTIPQIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIEFCGNLIVLAPSSVSLGNLKTLKVYGCNTLANIFTSATAKSMVQLETLIVRFCNMLTEIIGGVQEDGSTDEIVFSKMKTLELEDLQNLTCFCLGSYTFNFPSLEQMDVFGCPKLRIFTVRELSAPRIHGVFTGDRFNRTFHWEGDLNATIEQIYMKYIGFKEIYDIQLSNFPMLKEKWHGQFPFENLEYLERLLVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGDVGLLKSLVELRLIDLPRLVHVWNKDPQGILSFRNLALLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVQHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIASSSGRKVVIPSLEELRVECNTIKNLCSQTSQADFFCKLKGIELTCISSDSTLLPSQFFESLPILKKLVLSHASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSPIARSLERLEKMKIEECELIQEVIVAEVDKEEEENEICFSQLKCLELQRLPSLSSFCSGNLTFSFPSMEEVIIVECPNMKIFAQEVSTPQLWRVQTGPHIYKWGWQDIICDWEWEGSLNNTIEALFKEKKAEETG >Manes.08G047900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4836626:4836871:-1 gene:Manes.08G047900.v8.1 transcript:Manes.08G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKKISFAVLLVAISMTAALTAHAESPTPTPAEGPTATAAEGPSGSASAPSPASGAADIYPVIGSLIGASLLSFFAFYMQ >Manes.17G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2750482:2754512:-1 gene:Manes.17G007000.v8.1 transcript:Manes.17G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCPPFQFSAKYYHYQVSENGDGCFRQPSFFQGKSVLNQGVGYSVILGFGVFFAVFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASAIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPHAHTICEIVKARWGTAAHVVFLTFCLLTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSAIVHVVLVIFVYLVYTASSELGSPSVVYNRLVEVGSKRRVCQEPISHDGQSCGPVSGNYKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPISESEASHGLVPPATAIALMGKGGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNASGNKILKVSRAVVLGFGCFMGLLAVILNKAGVSLGWMYLAMGVLVGSAVLPIAFMLLWSKANSIGGILGTISGCVLGIITWLLVTFIEYGHVNLDTTGRNAPMLAGNLVSILTGGAVHAVCSLLWPQNYNWDTTRQITVVEKEKDELPEDEFKEEKLTKAKAWIIKWGVGFTIVIVILWPLLSLPAGEFSLGYFTFWAVIAIAWGTIGSAVIIALPVLESWETIQCVFLGMFTNEKLLQKMEEINLKLSTIILAMPEAQKIYLLEKEKLKKHETAEHLA >Manes.17G007000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2750482:2753483:-1 gene:Manes.17G007000.v8.1 transcript:Manes.17G007000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSAIVHVVLVIFVYLVYTASSELGSPSVVYNRLVEVGSKRRVCQEPISHDGQSCGPVSGNYKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPISESEASHGLVPPATAIALMGKGGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPNASGNKILKVSRAVVLGFGCFMGLLAVILNKAGVSLGWMYLAMGVLVGSAVLPIAFMLLWSKANSIGGILGTISGCVLGIITWLLVTFIEYGHVNLDTTGRNAPMLAGNLVSILTGGAVHAVCSLLWPQNYNWDTTRQITVVEKEKDELPEDEFKEEKLTKAKAWIIKWGVGFTIVIVILWPLLSLPAGEFSLGYFTFWAVIAIAWGTIGSAVIIALPVLESWETIQCVFLGMFTNEKLLQKMEEINLKLSTIILAMPEAQKIYLLEKEKLKKHETAEHLA >Manes.15G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1760311:1765006:-1 gene:Manes.15G022200.v8.1 transcript:Manes.15G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLLFTMPSPSSSSKPHKFSSSSSSNPNPNSISSSSSIPCKHSPSATLDILILILVLFSGIFLLTSYFSYIFRSLSILLSHSSFQLSIHVPPVPYICGFLALFLLSIILVDFCCGPRSRKCDKPGCKGLKKAMEFDLQLQTEDCVKSSAAKEFDKLPWKGGTEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIAKLEGWGPKKGRRHKKALANVAVNGGDHR >Manes.03G074100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12664483:12668123:-1 gene:Manes.03G074100.v8.1 transcript:Manes.03G074100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKITVEKVNGKSTVTRCFSKYPLKFIIPMKVVPSKTDAVWIYTLTYGGGIVSVYKSLGSKCSEQFLEARIGSDSLLAVIPDPVTCFSTARYSQKQVFRVLSDSSLVIVDWITSGRHESGEKWDFEFYKSTNNIFLDHDQPLFLDTVFLEQGKIATITERMHGYQVVAMVIILGPKLKHIQTQVQENVKRIMSEQLHMPFTGLGGHTKSNSSICFTKPPFIASCSLFGPKGVGVVVRIGALTTESVYKFLQQQLAGLEPLIGVLPYR >Manes.03G074100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12664490:12668120:-1 gene:Manes.03G074100.v8.1 transcript:Manes.03G074100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKITVEKVNGKSTVTRCFSKYPLKFIIPMKVVPSKTDAVWIYTLTYGGGIVSGDSISCEFNIGDGCTTVLTTQASTKVYKSLGSKCSEQFLEVFLEQGKIATITERMHGYQVVAMVIILGPKLKHIQTQVQENVKRIMSEQLHMPFTGLGGHTKSNSSICFTKPPFIASCSLFGPKGVGVVVRIGALTTESVYKFLQQQLAGLEPLIGVLPYR >Manes.03G074100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12664482:12668148:-1 gene:Manes.03G074100.v8.1 transcript:Manes.03G074100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKITVEKVNGKSTVTRCFSKYPLKFIIPMKVVPSKTDAVWIYTLTYGGGIVSGDSISCEFNIGDGCTTVLTTQASTKVYKSLGSKCSEQFLEARIGSDSLLAVIPDPVTCFSTARYSQKQVFRVLSDSSLVIVDWITSGRHESGEKWDFEFYKSTNNIFLDHDQPLFLDTVFLEQGKIATITERMHGYQVVAMVIILGPKLKHIQTQVQENVKRIMSEQLHMPFTGLGGHTKSNSSICFTKPPFIASCSLFGPKGVGVVVRIGALTTESVYKFLQQQLAGLEPLIGVLPYR >Manes.03G074100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12663920:12668120:-1 gene:Manes.03G074100.v8.1 transcript:Manes.03G074100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKITVEKVNGKSTVTRCFSKYPLKFIIPMKVVPSKTDAVWIYTLTYGGGIVSGDSISCEFNIGDGCTTVLTTQASTKVYKSLGSKCSEQFLEARIGSDSLLAVIPDPVTCFSTARYSQKQVFRVLSDSSLVIVDWITSGRHESGEKWDFEFYKSTNNIFLDHDQPLFLDTVFLEQGKIATITERMHGYQVVAMVIILGPKLKHIQTQVQENVKRIMSEQLHMPFTGLGGHTKSNSSICFTKPPFIASCSLFGPKGVGVVVRIGALTTESVYKFLQQQLAGLEPLIGVLPYR >Manes.06G084800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22005128:22008859:-1 gene:Manes.06G084800.v8.1 transcript:Manes.06G084800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQSFSSVTASVQPLKAVSLDHFHNTVPSKEVLELWRSADAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTAKAMGGSVPFEEALAARLSLFSPSLSQVQDFLAKRPPKISPGIDELVKKLKAKNTDVYLISGGFQQMINPVASILGMPLENMFANQLLFGSSGEFLGFDAKEPTSRSGGKATAVQHIRKVHGYKTLVMVGDGATDLEARKPDCANLFICYAGVQLRETVAAKADWLVLNFADLINSL >Manes.06G084800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22005566:22009480:-1 gene:Manes.06G084800.v8.1 transcript:Manes.06G084800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVHSQVNPIHVTCKHSGSRFIPAFSLQLKKYLTRGGILFMKPPQSFSSVTASVQPLKAVSLDHFHNTVPSKEVLELWRSADAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTAKAMGGSVPFEEALAARLSLFSPSLSQVQDFLAKRPPKISPGIDELVKKLKAKNTDVYLISGGFQQMINPVASILGMPLENMFANQLLFGSSGEFLGFDAKEPTSRSGGKATAVQHIRKVHGYKTLVMVGDGATDLEVNNLV >Manes.06G084800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22005128:22009480:-1 gene:Manes.06G084800.v8.1 transcript:Manes.06G084800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQSFSSVTASVQPLKAVSLDHFHNTVPSKEVLELWRSADAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTAKAMGGSVPFEEALAARLSLFSPSLSQVQDFLAKRPPKISPGIDELVKKLKAKNTDVYLISGGFQQMINPVASILGMPLENMFANQLLFGSSGEFLGFDAKEPTSRSGGKATAVQHIRKVHGYKTLVMVGDGATDLEARKPDCANLFICYAGVQLRETVAAKADWLVLNFADLINSL >Manes.06G084800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22005128:22009480:-1 gene:Manes.06G084800.v8.1 transcript:Manes.06G084800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVHSQVNPIHVTCKHSGSRFIPAFSLQLKKYLTRGGILFMKPPQSFSSVTASVQPLKAVSLDHFHNTVPSKEVLELWRSADAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTAKAMGGSVPFEEALAARLSLFSPSLSQVQDFLAKRPPKISPGIDELVKKLKAKNTDVYLISGGFQQMINPVASILGMPLENMFANQLLFGSSGEFLGFDAKEPTSRSGGKATAVQHIRKVHGYKTLVMVGDGATDLEARKPDCANLFICYAGVQLRETVAAKADWLVLNFADLINSL >Manes.06G084800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22005128:22009480:-1 gene:Manes.06G084800.v8.1 transcript:Manes.06G084800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVHSQVNPIHVTCKHSGSRFIPAFSLQLKKYLTRGGILFMKPPQSFSSVTASVQPLKAVSLDHFHNTVPSKEVLELWRSADAVCFDVDSTVCLDEGIDELAEFCGAGKAVAEWTAKAMGGSVPFEEALAARLSLFSPSLSQVQDFLAKRPPKISPGIDELVKKLKAKNTDVYLISGGFQQMINPVASILGMPLENMFANQLLFGSSGEFLGFDAKEPTSRSGGKATAVQHIRKVHGYKTLVMVGDGATDLEARKPDCANLFICYAGVQLRETVAAKADWLVLNFADLINSL >Manes.13G152000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36657722:36660849:1 gene:Manes.13G152000.v8.1 transcript:Manes.13G152000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEHSLRPFLLLNNGLGRESPPGLMEQRYSQGSSSTQADSRLTQDEQMKALKKLKKEIYNPVPKRISSRLCLYYRDEAAKILNEREREKEEDGKRCAVCLEDFEPKEMVMVTPCNHMFHEECIVPWVKSHGQCPVCRFTLCDPIRGSTAGPSNNNNNIPILPPNNLSPVDLISILRSMGIM >Manes.13G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36657722:36660849:1 gene:Manes.13G152000.v8.1 transcript:Manes.13G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYNNFNPPPTGDRRTGREYGFHAPNNPDWSYPSSFPMLPRLPPPQVSPDGSRGVPYDPWPPAEDTLIPFRLLGFPMQEHSLRPFLLLNNGLGRESPPGLMEQRYSQGSSSTQADSRLTQDEQMKALKKLKKEIYNPVPKRISSRLCLYYRDEAAKILNEREREKEEDGKRCAVCLEDFEPKEMVMVTPCNHMFHEECIVPWVKSHGQCPVCRFTLCDPIRGSTAGPSNNNNNIPILPPNNLSPVDLISILRSMGIM >Manes.13G152000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36659026:36660849:1 gene:Manes.13G152000.v8.1 transcript:Manes.13G152000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYNNFNPPPTGDRRTGREYGFHAPNNPDWSYPSSFPMLPRLPPPQVSPDGSRGVPYDPWPPAEDTLIPFRLLGFPMQEHSLRPFLLLNNGLGRESPPGLMEQRYSQGSSSTQADSRLTQDEQMKALKKLKKEIYNPVPKRISSRLCLYYRDEAAKILNEREREKEEDGKRCAVCLEDFEPKEMVMVTPCNHMFHEECIVPWVKSHGQCPVCRFTLCDPIRGSTAGPSNNNNNIPILPPNNLSPVDLISILRSMGIM >Manes.08G072600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:13749657:13751833:1 gene:Manes.08G072600.v8.1 transcript:Manes.08G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGMRSICFHPKTLSFSTSPRSSPSRTSLSTPGRSFSESTMEQNIEVASSLIMKWNPETSTFAKVTSLFYENKREAMQFLKCVTDLQKAMHLLVSEGSADGRIVRAQNLMQIAMKRLQKEFYQILSTNRAYLDPESVSARSSRASTRSSTSDYDDDGFADDEIRAAGDSISEVEQVSSIAMADLRSIAECMISSGYAKECVSVYKIIRKSIIDEGIYRLGVERMSSSQVNKMDWAALDLRIKNWLEAVKISMRTLFTGERILCDHVFAISDSIRESCFSEISREGAILLFGFPELVAKTKKSPTEKMFRVLDMYTAISENWAEIESIFSFESTSAVRSQALNSLVQLSDSVHTVLSDFESSIQKDSSRTPIPGADVHPLTFHSINYLTILGDYSSILSDIISDWPPPAKTSLPKSYFDSPDSDDTPAPPLSVRFVWLILVLLCKLDGKARHYKDVSLSYLFLANNLQYVISKVQTSNLQYLLGEEWVTKHEAKVRQFAENYERLAWGQVFASLPENPSASISPEEAKEILKRFNSSFEAAYGKQNSCIISDPKLREEVQVSISRKLTPVYQEFHNKVMIIVQRQRNIRIHIRYSPEDIENYLSDLFFGGIDMGSSPSYSTTPSSSSSHRRRSRLSS >Manes.06G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7914743:7922082:1 gene:Manes.06G030900.v8.1 transcript:Manes.06G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQFCEICGDQIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQVCPQCKTRYKRLKGCPRVAGDEDEEDLDDIEHEFNIEEQDRNKHLTEAMLYGKMTYGRGHDDEENSQFPPVIAGGFRSRPVSGEFPVGSNGEQMLASSLHKRVHPYPVSEPGSARWDEKKERGWKERMDDWKMQQGNLGPEHDDDPDEVMVDETRQPLSRKVPIASSKINPYRMIIVARLVILALFLRYRIMNPVHDAIGLWLTSIICEIWFAFSWILDQFPKWLPIDRETYLDRLSLRYEREGEPNMLAPVDFFVSTVDPMKEPPLVTANTMLSILAVDYPVEKISCYLSDDGASMCTFEAMSETAEFARKWVPFCKKFSIEPRAPEMYFALKVDYLKDKVQPTFVKERRAMKREYEEFKVRINAIVAKAQKVPPEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGHDVEGNELPRLVYVSREKRPGFAHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQTGKKICYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYEPPKGPKRPKMVSCDCCPCFGRRKKKQPMISANGETVNLEGMDDDKQLLMSQMNFEKRFGQSAIFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGTELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPVWYGYKEGKLKWLERFAYVNTTVYPFTSLPLLAYCTLPAICLLTDKFIMPEISTFASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKILAGIDTNFTVTSKATDDEDFGELYAFKWTTLLIPPTTILIINLVGVVAGVSDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTKGPDTKQCGVNC >Manes.07G009948.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1214920:1217910:1 gene:Manes.07G009948.v8.1 transcript:Manes.07G009948.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKLHLIGMLIFFFISLLALAKPTMANDADDIPVDFDRSYFPDDFIFGTATSAYQIEGAANISGKGPSVWDTFTHEYPERIRDHSNGDVAVDFYHRYQEDIQNVKKMGFNAFRFSISWSRVIPSGRRREGVNEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSRNIVEDFREYADLLFERFGDRVKYWMTFNEPWALSGFAYDDGLFAPGRCSSWVNNQCRAGNSATEPYIVAHHLLLSHSEAVRVYRKNYQTIQKGKIGITLFTFWFEPLSNRKADIEASRTALDFMFGLWMDPLTYGKYPRTVQNLVGDKLLNFTKEETQLLRGSYDFIGLQYYTSYYAKPNVSVDSELIRYKTDSNITETPYDYDGNLIGPQAYSPWFYIYPKGIRHLLNYTKDKYNNPVIYITENGVDNLNDENQPIEEALKDEFRIDYYRKHMWNTLESLREYNVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKESAIWFTKFLNPSN >Manes.05G204700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33135261:33140445:1 gene:Manes.05G204700.v8.1 transcript:Manes.05G204700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGENSALESVEDNDLTTNLDSVSNLDQNKGKLKANGSCSNEIHNMNTNSIVDASTEHHDGQVKEGERSEQLECENSVNSQPSTAKSPVAGSPTATKGYGLRKWRRLKREVVKDANASADNSKILKRGLSTSGTRPANLLSAEIKQSNEVSTGSANVLKNMGVIDGLAIRGPSLESRFAVGSAFSLGIDSENSDDRSSKSSTAASAPRLRHDLPAVLGYMRERNRIKNLSGKSVSSSTQRIPQGKGQAESSKKHRGEKVKIEKENSHSSVESDSRSSNFVFLQGVCSVTSNGIQSENYDGENSDDGHAGEQQFSEEVQTGYGQGNVGEVENASHDDLAADASWEDKEEKSKSHQPSADQDPLVESLITLQTVQEVLESEVRKFEEIGKITSIPLDSTFTDRGIHESSSSDQFDSENVRQSSSLESQVLSLTENVKYLESKLEDANVTLTVKESRIVELENTLISAKSPTEESGNTAELQHEKSREIETELEGLFKQKVEAEVEYLTLKTMIQKVRAAAGDQPALFEQQEALAGEQAQVLNKLGEAEKKASMLKKQAEEVEKYRGDIIGTEEVLKMQRRVCKATSCFFIQLSLLILVFWLLVLQISPHSGDVVPT >Manes.11G081000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15283454:15290032:-1 gene:Manes.11G081000.v8.1 transcript:Manes.11G081000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTTNLSRTSLYLNSSSQASQKASLPFLPKTLHKPSFFPVTPSSVSNTRKALLTFSSNNPISHVISANENYPDDSSFGFHFDDDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVSVNNNVLQSVTGVGLVSDVFNESKLDKLPGDLAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRKLRADLEDSGSIFNTSSDTEVVLHLIAISKARPFFLRIVVACEKLEGAYSMVFVTEDKLVAVRDPFGFRPLVMGRRSNGAIVFASETCALDLIEATYEREVNPGEVLVVDKDGIQSLCLLPHPEPKQCIFEHIYFALPNSEVFGRSVYESRRLFGEILATETPVDCDVVIAVPDSGVVAALGFAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLIKEAGAKEVHMRISSPPIIASCYYGVDTPSSEELISNRMTVEEIREFIGCDSLAFLPLDSLKKFLGDDSPNYCYACFSGRYPVLPKEVKVKRVGDFVDDGLNGSIESIDGGWVQGPRNQDMEKKRDVQKLSSLS >Manes.02G137800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10494216:10501024:1 gene:Manes.02G137800.v8.1 transcript:Manes.02G137800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYVKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLHDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFAKNPRLIKQFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRVLGTPNEDTWPGVTSLPDFKSAFPKWPPKILATVVPTLEAAGVDLLTKMLCLDPSKRITARSALEHEYFKDIGFVP >Manes.02G137800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10494216:10501024:1 gene:Manes.02G137800.v8.1 transcript:Manes.02G137800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYVKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLHDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFAKNPRLIKQFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRVLGTPNEDTWPGVTSLPDFKSAFPKWPPKILATVVPTLEAAGVDLLTKMLCLDPSKRITARSALEHEYFKDIGFVP >Manes.09G011608.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2258028:2261487:1 gene:Manes.09G011608.v8.1 transcript:Manes.09G011608.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTHLDDAIASFNHVIHKHPLPSRFPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTIVTFTTLINGLCIESKMDKAVEFFDDMVARGYQPNVYTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVFTYTSLIHIVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMNQRGVEPDVVTYNSLIDGLCISEQFNEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAQKIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADILGYNILINGYCKCKMIDDAEELFGEMSHKGLVPNVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQ >Manes.17G011200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3925507:3927609:1 gene:Manes.17G011200.v8.1 transcript:Manes.17G011200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSVDGVETHHRISASVASEDGKDHVQDMATPDVLNTAMKIERAKEIYDSAYNIDHVVAKPTSGEVWIWYLYELCSYFIHNTLIPVLFPLIISQILDLPSEPDRGWGWSLKGLSCNPKETKLYESLTHPSISMNDSKFSPLEWTSFSWGIGLFLAAPIVASISTYLDHGQNQILITGAAIAIGAFFCLPSGFFNVTWIFPPYIAAIVAASIVATASHTRQLGLMVRGFTGPAIQRSQFPIRRGISSWLSLYATAAGGIGSAIISTFIYHMLKHGEKFISLWVVSIFSGLKWLAGLSHVFFIKPGVPNTQSVSPMAHFLSIFKYPHAFGTLILTFLSSFTTMCIFTSAVLYLIGELCFKPLFILICWLTYFIFPLVSLPLMHPIQLALKANAVKMNLLGFYLSIVTSAIGFYFRSKIWHKGHVLVLVGLQSISVGLLHGFGRILLIDCSPIGKEGAFSAWFSWFRAAGTCAGFAVASAIPGNVSRAFGIAFTTCICGMLLLNYGNISDFKGAMAAGLVRDDDALDDDAIVDKHGKLRDETVV >Manes.05G074400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6117291:6120368:1 gene:Manes.05G074400.v8.1 transcript:Manes.05G074400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLEACLLHHLGAHIIDSLNSSSTKRRGHVDANKLAVLKAWRRIDCRTREALRRSFLTDLIEGYEACIRAFIQESGDEDVLALQVQDPFHRLLLHGVCEFYNLVSVTVSETKDTDSLKTTRIKKKKMGVVDVPNITLSHFLKMSKEGVW >Manes.05G074400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6117291:6120368:1 gene:Manes.05G074400.v8.1 transcript:Manes.05G074400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLEACLLHHLGAHIIDSLNSSSTKRRGHVDANKLAVLKAWRRIDCRTREALRRSFLTDLIEGYEACIRAFIQESGDEDVLALQVQDPFHRLLLHGVCEFYNLVSVTVSETKDTDSLKTTRIKKKKMGVVDVPNITLSHFLKMSKEGVW >Manes.05G074400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6117291:6120368:1 gene:Manes.05G074400.v8.1 transcript:Manes.05G074400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPRLNAEEIRGLFAPPPWGDDVPPSPFSMTNVGEWEKFRNIDMDKEAHIIDSLNSSSTKRRGHVDANKLAVLKAWRRIDCRTREALRRSFLTDLIEGYEACIRAFIQESGDEDVLALQVQDPFHRLLLHGVCEFYNLVSVTVSETKDTDSLKTTRIKKKKMGVVDVPNITLSHFLKMSKEGVW >Manes.05G074400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6117291:6120368:1 gene:Manes.05G074400.v8.1 transcript:Manes.05G074400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEVLQLVEEGFLSSHLLASSKAETAKSRGLSIEKKIEFLESLTGKVSNRRSRRWINDRLLMELVPRLNAEEIRGLFAPPPWGDDVPPSPFSMTNVGEWEKFRNIDMDKEAHIIDSLNSSSTKRRGHVDANKLAVLKAWRRIDCRTREALRRSFLTDLIEGYEACIRAFIQESGDEDVLALQVQDPFHRLLLHGVCEFYNLVSVTVSETKDTDSLKTTRIKKKKMGVVDVPNITLSHFLKMSKEGVW >Manes.05G074400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6117291:6120368:1 gene:Manes.05G074400.v8.1 transcript:Manes.05G074400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEVLQLVEEGFLSSHLLASSKAETAKSRGLSIEKKIEFLESLTGKVSNRRSRRWINDRLLMELVPRLNAEEIRGLFAPPPWGDDVPPSPFSMTNVGEWEKFRNIDMDKEAHIIDSLNSSSTKRRGHVDANKLAVLKAWRRIDCRTREALRRSFLTDLIEGYEACIRAFIQESGDEDVLALQVQDPFHRLLLHGVCEVNFVI >Manes.07G094600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29477262:29490158:-1 gene:Manes.07G094600.v8.1 transcript:Manes.07G094600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKEVATGTSSSRGKRKLGGADEDNSGGGRKRRNREVLQFFEDTAEDDDDESNESDFDDDEFDIELKVKKEPVKMQNVPFIPKEEVMYEEEFDRMMEERYKEGSSFVTYAEDAFEIKSMERNPHFSSARDPIIWKVKCMVGRERHSAFCLMQKFADLKSLGTKLQIISAFAVDHVKGFVFIEADKQCDINEACKGLCSIYSTRVAPVPKNEVSHILSVRSKSNVVSEGMWARIKNGKYKGDLAQVVAVNDARKRATVKLIPRIDLQALAQKFGGGVSMKNAATPAPRLLSSSELEEFRPLIQYRRDRDTGKMFEVLDGLMLKDGYLYKRVSVDSLNCWGVMPSEEELLKFQPSENNESDNSEWLKQIYGTPKKKRITRNGKGGEKGESSSGSGAQDGFELYNLVCFGRKDFGLIVGMEKDDCYKILKDGPEAPVVVTVRRHELKTGPSDMKFIALDHRMKTVSINDTVRVLEGPLKDRQGIAKQIYRGVIFMYDQNYETENGGYFCSKAQMCEKIKLSFDACSEKGGEPGPVGFEDFPSSPKSPLSPKKPWQTMDSSREFNRGDKDGVFSIGQTLRIRVGPLKGYICRVLAIRYSDVTVKLDSKQKVLTVKCEHLSEVRGKSSAMPMSDDLGSSSFKPFDLLGTGGGSTGWTDGAGTSADGDGWNTGGANTESSWPSFSSSGLKLQPEANFANSSGSADNNAKGDASWERNITSNQSSSWGAAASDDKGDANNDQVHGWGKTEDCWNKVAANVGSSGGASVGWGKATLPSENSGASRESGDGWGQSKLNAGNSTTDAAAGWDKGKTAIGNPTCSWGDIATGKNQLESWGSGKDVVESGSWKSKSSSAGDDDWNKAAGWNQQKSQNNDTWGSTAEAQKNGTVQEDSWGKRSNAGEDQWNKGAGWNQQNSLNKGDTRGGTEGGCWGQPDSGNADGASGWTKDFSSQTANWGNQKNHAEDATGWNKGGSCGQNQADNWNKSKTFGADGGSSCNKQDGGSAWGKTDGGPSWKKQDESSWGKTEGVSSWKRDGGSSDNQERSREFGSWNKGFDGGRGSGGRRGRGGGRGGRDQFGGGRRSFGDQSSGWNKGGEGSNWTGGASSRNPSCWGNDQVGGWGKNNASEEGGEGGQKKANSAWGDDGSGWSKSLGAGKESGEHSEKLNCAKPSFEKNSSWGNDRGECQGDDKSSDADKSLGGQIESSKWNASKSVDGSWTTDWNKGSTANEGPSQSWGGGSKSNVEKDSARENATGWTSGTCGVGTKQTDGGASKSSNSSWDNKAGDVENCQSSGWGSKSSWCGSKSSGQKSDDLGKESEHKNQDDWNGGKALDGGSTKGWGQSASWRSGSNDTGENHDSDWNRKGGRNSGSGDSSGAGSSWSKKSSWNSGSDDADGNQDSGWGKKNNFNSESNDANQSSGWGTKSSWNSGSNDADGNQDSGWGKKNNFNSESSDANQSSGWSKKSSWNSGSGDASRDSSDWGRKSNWNRGENDVNQDSFVASGDDQTENSGYGAGRGSWRGGFRGRGGSSDRGGFRDRGGRGDRGGYGGRGRSSDRGGFGGRGGSDRGGFGGRGRFDRGGYGGRGGGRRDRSNWNENNDSSENKNFDWKNGTNNDGGGWKASGGGSWSQGGADKSQPQSWNSTNDGSSNQAGGWGKGSNAVGESNYSREKSSAGSWGNKGDGSDGGGWKGSGGSCSQRGDDKSQQQSGTSGNEGSSSQAGGWGKGTTNAGGEGTDSWGKASGSSWGNRGDDGSSKGW >Manes.07G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29477262:29490158:-1 gene:Manes.07G094600.v8.1 transcript:Manes.07G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKEVATGTSSSRGKRKLGGADEDNSGGGRKRRNREVLQFFEDTAEDDDDESNESDFDDDEFDIELKVKKEPVKMQNVPFIPKEEVMYEEEFDRMMEERYKEGSSFVTYAEDAFEIKSMERNPHFSSARDPIIWKVKCMVGRERHSAFCLMQKFADLKSLGTKLQIISAFAVDHVKGFVFIEADKQCDINEACKGLCSIYSTRVAPVPKNEVSHILSVRSKSNVVSEGMWARIKNGKYKGDLAQVVAVNDARKRATVKLIPRIDLQALAQKFGGGVSMKNAATPAPRLLSSSELEEFRPLIQYRRDRDTGKMFEVLDGLMLKDGYLYKRVSVDSLNCWGVMPSEEELLKFQPSENNESDNSEWLKQIYGTPKKKRITRNGKGGEKGESSSGSGAQDGFELYNLVCFGRKDFGLIVGMEKDDCYKILKDGPEAPVVVTVRRHELKTGPSDMKFIALDHRMKTVSINDTVRVLEGPLKDRQGIAKQIYRGVIFMYDQNYETENGGYFCSKAQMCEKIKLSFDACSEKGGEPGPVGFEDFPSSPKSPLSPKKPWQTMDSSREFNRGDKDGVFSIGQTLRIRVGPLKGYICRVLAIRYSDVTVKLDSKQKVLTVKCEHLSEVRGKSSAMPMSDDLGSSSFKPFDLLGTGGGSTGWTDGAGTSADGDGWNTGGANTESSSWPSFSSSGLKLQPEANFANSSGSADNNAKGDASWERNITSNQSSSWGAAASDDKGDANNDQVHGWGKTEDCWNKVAANVGSSGGASVGWGKATLPSENSGASRESGDGWGQSKLNAGNSTTDAAAGWDKGKTAIGNPTCSWGDIATGKNQLESWGSGKDVVESGSWKSKSSSAGDDDWNKAAGWNQQKSQNNDTWGSTAEAQKNGTVQEDSWGKRSNAGEDQWNKGAGWNQQNSLNKGDTRGGTEGGCWGQPDSGNADGASGWTKDFSSQTANWGNQKNHAEDATGWNKGGSCGQNQADNWNKSKTFGADGGSSCNKQDGGSAWGKTDGGPSWKKQDESSWGKTEGVSSWKRDGGSSDNQERSREFGSWNKGFDGGRGSGGRRGRGGGRGGRDQFGGGRRSFGDQSSGWNKGGEGSNWTGGASSRNPSCWGNDQVGGWGKNNASEEGGEGGQKKANSAWGDDGSGWSKSLGAGKESGEHSEKLNCAKPSFEKNSSWGNDRGECQGDDKSSDADKSLGGQIESSKWNASKSVDGSWTTDWNKGSTANEGPSQSWGGGSKSNVEKDSARENATGWTSGTCGVGTKQTDGGASKSSNSSWDNKAGDVENCQSSGWGSKSSWCGSKSSGQKSDDLGKESEHKNQDDWNGGKALDGGSTKGWGQSASWRSGSNDTGENHDSDWNRKGGRNSGSGDSSGAGSSWSKKSSWNSGSDDADGNQDSGWGKKNNFNSESNDANQSSGWGTKSSWNSGSNDADGNQDSGWGKKNNFNSESSDANQSSGWSKKSSWNSGSGDASRDSSDWGRKSNWNRGENDVNQDSFVASGDDQTENSGYGAGRGSWRGGFRGRGGSSDRGGFRDRGGRGDRGGYGGRGRSSDRGGFGGRGGSDRGGFGGRGRFDRGGYGGRGGGRRDRSNWNENNDSSENKNFDWKNGTNNDGGGWKASGGGSWSQGGADKSQPQSWNSTNDGSSNQAGGWGKGSNAVGESNYSREKSSAGSWGNKGDGSDGGGWKGSGGSCSQRGDDKSQQQSGTSGNEGSSSQAGGWGKGTTNAGGEGTDSWGKASGSSWGNRGDDGSSKGW >Manes.07G094600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29477262:29490158:-1 gene:Manes.07G094600.v8.1 transcript:Manes.07G094600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKEVATGTSSSRGKRKLGGADEDNSGGGRKRRNREVLQFFEDTAEDDDDESNESDFDDDEFDIELKVKKEPVKMQNVPFIPKEEVMYEEEFDRMMEERYKEGSSFVTYAEDAFEIKSMERNPHFSSARDPIIWKVKCMVGRERHSAFCLMQKFADLKSLGTKLQIISAFAVDHVKGFVFIEADKQCDINEACKGLCSIYSTRVAPVPKNEVSHILSVRSKSNVVSEGMWARIKNGKYKGDLAQVVAVNDARKRATVKLIPRIDLQALAQKFGGGVSMKNAATPAPRLLSSSELEEFRPLIQYRRDRDTGKMFEVLDGLMLKDGYLYKRVSVDSLNCWGVMPSEEELLKFQPSENNESDNSEWLKQIYGTPKKKRITRNGKGGEKGESSSGSGAQDGFELYNLVCFGRKDFGLIVGMEKDDCYKILKDGPEAPVVVTVRRHELKTGPSDMKFIALDHRMKTVSINDTVRVLEGPLKDRQGIAKQIYRGVIFMYDQNYETENGGYFCSKAQMCEKIKLSFDACSEKGGEPGPVGFEDFPSSPKSPLSPKKPWQTMDSSREFNRGDKDGVFSIGQTLRIRVGPLKGYICRVLAIRYSDVTVKLDSKQKVLTVKCEHLSEVRGKSSAMPMSSDDLGSSSFKPFDLLGTGGGSTGWTDGAGTSADGDGWNTGGANTESSSWPSFSSSGLKLQPEANFANSSGSADNNAKGDASWERNITSNQSSSWGAAASDDKGDANNDQVHGWGKTEDCWNKVAANVGSSGGASVGWGKATLPSENSGASRESGDGWGQSKLNAGNSTTDAAAGWDKGKTAIGNPTCSWGDIATGKNQLESWGSGKDVVESGSWKSKSSSAGDDDWNKAAGWNQQKSQNNDTWGSTAEAQKNGTVQEDSWGKRSNAGEDQWNKGAGWNQQNSLNKGDTRGGTEGGCWGQPDSGNADGASGWTKDFSSQTANWGNQKNHAEDATGWNKGGSCGQNQADNWNKSKTFGADGGSSCNKQDGGSAWGKTDGGPSWKKQDESSWGKTEGVSSWKRDGGSSDNQERSREFGSWNKGFDGGRGSGGRRGRGGGRGGRDQFGGGRRSFGDQSSGWNKGGEGSNWTGGASSRNPSCWGNDQVGGWGKNNASEEGGEGGQKKANSAWGDDGSGWSKSLGAGKESGEHSEKLNCAKPSFEKNSSWGNDRGECQGDDKSSDADKSLGGQIESSKWNASKSVDGSWTTDWNKGSTANEGPSQSWGGGSKSNVEKDSARENATGWTSGTCGVGTKQTDGGASKSSNSSWDNKAGDVENCQSSGWGSKSSWCGSKSSGQKSDDLGKESEHKNQDDWNGGKALDGGSTKGWGQSASWRSGSNDTGENHDSDWNRKGGRNSGSGDSSGAGSSWSKKSSWNSGSDDADGNQDSGWGKKNNFNSESNDANQSSGWGTKSSWNSGSNDADGNQDSGWGKKNNFNSESSDANQSSGWSKKSSWNSGSGDASRDSSDWGRKSNWNRGENDVNQDSFVASGDDQTENSGYGAGRGSWRGGFRGRGGSSDRGGFRDRGGRGDRGGYGGRGRSSDRGGFGGRGGSDRGGFGGRGRFDRGGYGGRGGGRRDRSNWNENNDSSENKNFDWKNGTNNDGGGWKASGGGSWSQGGADKSQPQSWNSTNDGSSNQAGGWGKGSNAVGESNYSREKSSAGSWGNKGDGSDGGGWKGSGGSCSQRGDDKSQQQSGTSGNEGSSSQAGGWGKGTTNAGGEGTDSWGKASGSSWGNRGDDGSSKGW >Manes.07G094600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29477262:29490158:-1 gene:Manes.07G094600.v8.1 transcript:Manes.07G094600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKEVATGTSSSRGKRKLGGADEDNSGGGRKRRNREVLQFFEDTAEDDDDESNESDFDDDEFDIELKVKKEPVKMQNVPFIPKEEVMYEEEFDRMMEERYKEGSSFVTYAEDAFEIKSMERNPHFSSARDPIIWKVKCMVGRERHSAFCLMQKFADLKSLGTKLQIISAFAVDHVKGFVFIEADKQCDINEACKGLCSIYSTRVAPVPKNEVSHILSVRSKSNVVSEGMWARIKNGKYKGDLAQVVAVNDARKRATVKLIPRIDLQALAQKFGGGVSMKNAATPAPRLLSSSELEEFRPLIQYRRDRDTGKMFEVLDGLMLKDGYLYKRVSVDSLNCWGVMPSEEELLKFQPSENNESDNSEWLKQIYGTPKKKRITRNGKGGEKGESSSGSGAQDGFELYNLVCFGRKDFGLIVGMEKDDCYKILKDGPEAPVVVTVRRHELKTGPSDMKFIALDHRMKTVSINDTVRVLEGPLKDRQGIAKQIYRGVIFMYDQNYETENGGYFCSKAQMCEKIKLSFDACSEKGGEPGPVGFEDFPSSPKSPLSPKKPWQTMDSSREFNRGDKDGVFSIGQTLRIRVGPLKGYICRVLAIRYSDVTVKLDSKQKVLTVKCEHLSEVRGKSSAMPMSSDDLGSSSFKPFDLLGTGGGSTGWTDGAGTSADGDGWNTGGANTESSWPSFSSSGLKLQPEANFANSSGSADNNAKGDASWERNITSNQSSSWGAAASDDKGDANNDQVHGWGKTEDCWNKVAANVGSSGGASVGWGKATLPSENSGASRESGDGWGQSKLNAGNSTTDAAAGWDKGKTAIGNPTCSWGDIATGKNQLESWGSGKDVVESGSWKSKSSSAGDDDWNKAAGWNQQKSQNNDTWGSTAEAQKNGTVQEDSWGKRSNAGEDQWNKGAGWNQQNSLNKGDTRGGTEGGCWGQPDSGNADGASGWTKDFSSQTANWGNQKNHAEDATGWNKGGSCGQNQADNWNKSKTFGADGGSSCNKQDGGSAWGKTDGGPSWKKQDESSWGKTEGVSSWKRDGGSSDNQERSREFGSWNKGFDGGRGSGGRRGRGGGRGGRDQFGGGRRSFGDQSSGWNKGGEGSNWTGGASSRNPSCWGNDQVGGWGKNNASEEGGEGGQKKANSAWGDDGSGWSKSLGAGKESGEHSEKLNCAKPSFEKNSSWGNDRGECQGDDKSSDADKSLGGQIESSKWNASKSVDGSWTTDWNKGSTANEGPSQSWGGGSKSNVEKDSARENATGWTSGTCGVGTKQTDGGASKSSNSSWDNKAGDVENCQSSGWGSKSSWCGSKSSGQKSDDLGKESEHKNQDDWNGGKALDGGSTKGWGQSASWRSGSNDTGENHDSDWNRKGGRNSGSGDSSGAGSSWSKKSSWNSGSDDADGNQDSGWGKKNNFNSESNDANQSSGWGTKSSWNSGSNDADGNQDSGWGKKNNFNSESSDANQSSGWSKKSSWNSGSGDASRDSSDWGRKSNWNRGENDVNQDSFVASGDDQTENSGYGAGRGSWRGGFRGRGGSSDRGGFRDRGGRGDRGGYGGRGRSSDRGGFGGRGGSDRGGFGGRGRFDRGGYGGRGGGRRDRSNWNENNDSSENKNFDWKNGTNNDGGGWKASGGGSWSQGGADKSQPQSWNSTNDGSSNQAGGWGKGSNAVGESNYSREKSSAGSWGNKGDGSDGGGWKGSGGSCSQRGDDKSQQQSGTSGNEGSSSQAGGWGKGTTNAGGEGTDSWGKASGSSWGNRGDDGSSKGW >Manes.12G109000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30243214:30253103:1 gene:Manes.12G109000.v8.1 transcript:Manes.12G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITSVELNYLVFRYLQESGFTHSAFALGYEAGINKCTIDGNMVPPGALITFVQKGIQYLEMEANLSNSDADVDEDFSFLQPLDLITKDVYELRQMIKDKKKNLHKDRDKEKEKDKEKDPDKEKDKELEKEHERERARVREKDRHEREKENEKDRERIEKEKEREKQQEDNPDKEMISDQADKNNVKHEENGNSGAPEPMEISTTSTSQVCEIPGSDVMILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIPEGTSRSGLQNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWNTNGELKTTLSKHKGPIFSLKWNKKGDFLLTGSCDKTAIVWDVKTEEWRQQFEFHSGPILDVDWRNNLSFASSSTDNMIYVCKVGDTRPVKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWSMKQDKYVHDLREHSKEIYTIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVELGKLICSLNGHRDPVYSVAFSPNGEYLASGSLDRCVNIWSLKEVKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDLRM >Manes.12G109000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30243214:30253103:1 gene:Manes.12G109000.v8.1 transcript:Manes.12G109000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDKKKNLHKDRDKEKEKDKEKDPDKEKDKELEKEHERERARVREKDRHEREKENEKDRERIEKEKEREKQQEDNPDKEMISDQADKNNVKHEENGNSGAPEPMEISTTSTSQVCEIPGSDVMILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIPEGTSRSGLQNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWNTNGELKTTLSKHKGPIFSLKWNKKGDFLLTGSCDKTAIVWDVKTEEWRQQFEFHSGPILDVDWRNNLSFASSSTDNMIYVCKVGDTRPVKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWSMKQDKYVHDLREHSKEIYTIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVELGKLICSLNGHRDPVYSVAFSPNGEYLASGSLDRCVNIWSLKEVKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDLRM >Manes.07G089701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28148233:28175535:-1 gene:Manes.07G089701.v8.1 transcript:Manes.07G089701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYWVQASPSDFGGPLPQPRSGHTAVVVGKSKVVVFGGLVNKKFLSDIVVYDMDNKLWFQPECSGSGSDGQEGPSPRAFHVAVSIDCHMFIFGGRSGSKRMGDFWVLDTDIWQWSELTSFGDLPSPRDFAAAASIGNRKIVMYGGWDGKKWLSDVYVLDTISLEWMELSVTGALPPPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGVIEEENETPGWTQLKLPGQAPSPRCGHTITSGGHYLLLFGGHGTGGWLSRYDIYYNDCVVLDRVSAQWKRLPTSGDPPSARAYHTMTCIGSRYLLFGGFDGKLTFGDLWWLVPEGDPIAKRLAASPQKILPENKHLSKDKHGVQSAHKESQREEYAISELQRRLEVSVSLSSSGLQIVDELEDGELLELASGFMGDKVSNNEQKIQALRDHWRKSTPRSIPLKELGPLLCDYQRLITRHYLAKGGADLHSMESGFPGKYAHRFYHIKNASQLRMDDIPNLLAEYKQLSSD >Manes.06G029800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7758866:7777833:-1 gene:Manes.06G029800.v8.1 transcript:Manes.06G029800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVSSLLPTNGNLLLSSHYPSLSLTHHRSATILKWGFSRDKNNSSVTRRTKSQAFRILANPNVSGGRDSKNEIIMVDPLEAKRLAAIQMRELQAKEKLKRQRQIEAINGAWAMIGLTAGLVIEGGTGKSIPDQLAGYWFAIVHFVFG >Manes.18G095650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8850823:8851861:-1 gene:Manes.18G095650.v8.1 transcript:Manes.18G095650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKRHWLLKVCLWNFILRKVHRFSRSGHGLSGFCSPLQLLCRVRSPPHCGGPITSINDCDVPLSSPGLVSVWNWQLDLIYRLNRN >Manes.08G065000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9358021:9359520:1 gene:Manes.08G065000.v8.1 transcript:Manes.08G065000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIPDAGALIRPKDKELVNLLAEIILTDYEDPRIPVININAHEPWELPRLSGVVNSNDREWYFLRPSQKRNRRTTAAGYWKTSGYGSRIKERGEEIGTKTILVYHTGRTPTGVRTRWVIHEYNATCLPDVLRSFILCKVMDKSDGGGNSPNYIEGETGSDSNLMTDNSAYQATVNGTPQQVKEKNKLSFLQRIHAKIINHHELINAYSSGILSTGSRATAVSGLLGRLE >Manes.08G065000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9358048:9359520:1 gene:Manes.08G065000.v8.1 transcript:Manes.08G065000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIPDAGALIRPKDKELVNLLAEIILTDYEDPRIPVININAHEPWELPSKSICLMHFFLISTILMIYYACAGLSGVVNSNDREWYFLRPSQKRNRRTTAAGYWKTSGYGSRIKERGEEIGTKTILVYHTGRTPTGVRTRWVIHEYNATCLPDVLRSFILCKVMDKSDGGGNSPNYIEGETGSDSNLMTDNSAYQATVNGTPQQEVELPQFPDSLDDLNELISQSDWLVFYDIFGDNAY >Manes.08G065000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9358007:9359608:1 gene:Manes.08G065000.v8.1 transcript:Manes.08G065000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIPDAGALIRPKDKELVNLLAEIILTDYEDPRIPVININAHEPWELPRLSGVVNSNDREWYFLRPSQKRNRRTTAAGYWKTSGYGSRIKERGEEIGTKTILVYHTGRTPTGVRTRWVIHEYNATCLPDVLRSFILCKVMDKSDGGGNSPNYIEGETGSDSNLMTDNSAYQATVNGTPQQEVELPQFPDSLDDLNELISQSDWLVFYDIFGDNAY >Manes.09G092300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28501269:28501802:-1 gene:Manes.09G092300.v8.1 transcript:Manes.09G092300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILNQQKIATVLLEILTMFMSVYGEAKFDTEVSKPGLSNEPCSSLLDFGQSNSKDIQSSLNSTMATSTVGAGKDESAFEAGEHGLHHHELHQNLDEPIVELGSHFAYSCSQDGIDSIEPNMDKDLDDILYSNGNNSNIRVFFHLVTDIVNADAQPGTRKPTIDQEFEQYFSMLML >Manes.04G084320.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29249103:29250335:-1 gene:Manes.04G084320.v8.1 transcript:Manes.04G084320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCKSWCALFSDPNFIYKNLLSCSDSTTNSQILVKYDDYRFEYMAFCFLCNDTFDMSPPQEIPYPRDIMEKCSFVDIVGSCCNGVICLRDGYFFEDLLRLWDDVYKYESNIVLWNPTTSETKILPQSNLSHPPPERFSLEIVEFGFDSTTCDYKVLRIFEYFTRDKQYDYLAEIYSLRDDTWRKLDVSLNSWELPSYKFENGDSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSRYFWRTILCLNEHVALSLSTDHHVELWVLLEYGVEESWTKLFTVAKPECLEMSLPLGFSRKGELFFSSWNEHFLVWNPPEETISPVRLEGAVHTSIYLQAVPCMESHTSLKGCNKLEDEQNSGDAAQC >Manes.03G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4450728:4455137:-1 gene:Manes.03G048900.v8.1 transcript:Manes.03G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNCPSHSLQHHIQKPALQLQRVVVKNKHGEKLVGLLHETGSEQLVVVCHGFQSSKERIPMVNLAAAIEKEGITAFRFDFAGNGESEGSSQYGNYYREADDLHAVVQRFREQNRVIVAVIGHSKGGNVVLLYASKYNDVHTVVNISGRFNLKRGIKGRLGKDFLQRIKQNGFIDVKNRKGKFKYRVTEESLMDRLTTDTHAACLSIQPECRVLTVHGSMDKIVPVEDALEFAKFISNHKLKIIEGADHEYTSHQDELASIVLDFLRENFSQVKSMPTATVEGQVFRSRI >Manes.18G000600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:321406:322147:1 gene:Manes.18G000600.v8.1 transcript:Manes.18G000600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRAASSSVSFSAPKPVRVWALAPSVVRVNSLYTAKPSSVNSDSNSMAAAVPKWAQKTITLPPQRRGCHLITPKILNEIGSDLSEFKCGLAHLFLHHTSASLTINENYDSDVRDDTETFLNKIVPEGSSAPWKHTLEG >Manes.18G000600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:321310:324995:1 gene:Manes.18G000600.v8.1 transcript:Manes.18G000600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRAASSSVSFSAPKPVRVWALAPSVVRVNSLYTAKPSSVNSDSNSMAAAVPKWAQKTITLPPQRRGCHLITPKILNEIGSDLSEFKCGLAHLFLHHTSASLTINENYDSDVRDDTETFLNKIVPEGSSAPWKHTLEGPDDMPAHIKSSIFGCALTIPITNGKLNMGTWQGIWLCEHRDQPTARKVVVTLNGI >Manes.04G137700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33726619:33733587:1 gene:Manes.04G137700.v8.1 transcript:Manes.04G137700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGTADEIVKAPEKGPVFMEDLPEEEQVVSVGHTAGLFNLGNTCYMNSTIQCLHSVPELKSALVNYPSEKRNDLDQTSHMLTAATRELFNELDRSVKSVAPMQFWMVLRKKYPQFGQLHNGTFMQQDAEECWTQLLYTLSQSLRSPSENLDTTKELFGIELVSRIHCQESGEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASPSLGRSAVYLKESRINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDIYDFCSDDLRKKLEAPRQILRDEEGKKLGLKANEKNSGSKDNDVKMTDAEGSSNRRGESSNATSEESGSSDKESQLTGIYDLVAVLTHKGRSADSGHYVAWVKQENGKWIEYDDDNPIPQREEDITKLSGGGDWHMAYICMYKARAIRM >Manes.04G137700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33726620:33733586:1 gene:Manes.04G137700.v8.1 transcript:Manes.04G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSVKWQKEVFQKVEIDTSQPPFLFKCQLYDLTGVPPERQKIMLKGGLLKDDADWATVGVKEGQKLMMMGTADEIVKAPEKGPVFMEDLPEEEQVVSVGHTAGLFNLGNTCYMNSTIQCLHSVPELKSALVNYPSEKRNDLDQTSHMLTAATRELFNELDRSVKSVAPMQFWMVLRKKYPQFGQLHNGTFMQQDAEECWTQLLYTLSQSLRSPSENLDTTKELFGIELVSRIHCQESGEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASPSLGRSAVYLKESRINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDIYDFCSDDLRKKLEAPRQILRDEEGKKLGLKANEKNSGSKDNDVKMTDAEGSSNRRGESSNATSEESGSSDKESQLTGIYDLVAVLTHKGRSADSGHYVAWVKQENGKWIEYDDDNPIPQREEDITKLSGGGDWHMAYICMYKARAIRM >Manes.09G045600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7991486:7995583:1 gene:Manes.09G045600.v8.1 transcript:Manes.09G045600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPSSNNLLFLNSAANNLSSPPHLSHAPPNTQQFVGIPLDPNSHDTSTLHGLIPRIHYNLYNPVDPSSAARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNNGVSGIQGVLLSSKYLKAAQELLDEVVNVNNHGIKNELSKKSNGINSNNSNKVVGESSGGDGSAGGDAGGKRGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQERNGSEDKSSKSEHNENSASKSVLQERGSVNENQTKSFKSFDSSPNQNAPAISISAASTSPVAGNVRNHSGFSLIGSSELEGITQGSPKRPRSTELIQSPTSVPSMDVKPGETNNDQISMKFGNGRQSRDGYSFIGGQTNFIGGFGQYPIGELGRFDTEQFTPRFPGNAVSLTLGLPHCENLSLSGTHQSFLPSQNIQLGRRVEIGEPNEFGGINTSTPHSSTAYESINIQNRKRFAAQLLPDFVA >Manes.09G045600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7991147:7995661:1 gene:Manes.09G045600.v8.1 transcript:Manes.09G045600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPSSNNLLFLNSAANNLSSPPHLSHAPPNTQQFVGIPLDPNSHDTSTLHGLIPRIHYNLYNPVDPSSAARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNNGVSGIQGVLLSSKYLKAAQELLDEVVNVNNHGIKNELSKKSNGINSNNSNKVVGESSGGDGSAGGDAGGKRGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQERNGSEDKSSKSEHNENSASKSVLQERGSVNENQTKSFKSFDSSPNQNAPAISISAASTSPVAGNVRNHSGFSLIGSSELEGITQGSPKRPRSTELIQSPTSVPSMDVKPGETNNDQISMKFGNGRQSRDGYSFIGGQTNFIGGFGQYPIGELGRFDTEQFTPRFPGNAVSLTLGLPHCENLSLSGTHQSFLPSQNIQLGRRVEIGEPNEFGGINTSTPHSSTAYESINIQNRKRFAAQLLPDFVA >Manes.09G045600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7991286:7995457:1 gene:Manes.09G045600.v8.1 transcript:Manes.09G045600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPSSNNLLFLNSAANNLSSPPHLSHAPPNTQQFVGIPLDPNSHDTSTLHGLIPRIHYNLYNPVDPSSAARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNNGVSGIQGVLLSSKYLKAAQELLDEVVNVNNHGIKNELSKKSNGINSNNSNKVVGESSGGDGSAGGDAGGKRGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQERNGSEDKSSKSEHNENSASKSVLQERGSVNENQTKSFKSFDSSPNQNAPAISISAASTSPVAGNVRNHSGFSLIGSSELEGITQGSPKRPRSTELIQSPTSVPSMDVKPGETNNDQISMKFGNGRQSRDGYSFIGGQTNFIGGFGQYPIGELGRFDTEQFTPRFPGNAVSLTLGLPHCENLSLSGTHQSFLPSQNIQLGRRVEIGEPNEFGGINTSTPHSSTAYESINIQNRKRFAAQLLPDFVA >Manes.09G045600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7991664:7995457:1 gene:Manes.09G045600.v8.1 transcript:Manes.09G045600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPSSNNLLFLNSAANNLSSPPHLSHAPPNTQQFVGIPLDPNSHDTSTLHGLIPRIHYNLYNPVDPSSAARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNNGVSGIQGVLLSSKYLKAAQELLDEVVNVNNHGIKNELSKKSNGINSNNSNKVVGESSGGDGSAGGDAGGKRGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQERNGSEDKSSKSEHNENSASKSVLQERGSVNENQTKSFKSFDSSPNQNAPAISISAASTSPVAGNVRNHSGFSLIGSSELEGITQGSPKRPRSTELIQSPTSVPSMDVKPGETNNDQISMKFGNGRQSRDGYSFIGGQTNFIGGFGQYPIGELGRFDTEQFTPRFPGNAVSLTLGLPHCENLSLSGTHQSFLPSQNIQLGRRVEIGEPNEFGGINTSTPHSSTAYESINIQNRKRFAAQLLPDFVA >Manes.09G045600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7991147:7995661:1 gene:Manes.09G045600.v8.1 transcript:Manes.09G045600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPSSNNLLFLNSAANNLSSPPHLSHAPPNTQQFVGIPLDPNSHDTSTLHGLIPRIHYNLYNPVDPSSAARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNNGVSGIQGVLLSSKYLKAAQELLDEVVNVNNHGIKNELSKKSNGINSNNSNKVVGESSGGDGSAGGDAGGKRGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQERNGSEDKSSKSEHNENSASKSVLQERGSVNENQTKSFKSFDSSPNQNAPAISISAASTSPVAGNVRNHSGFSLIGSSELEGITQGSPKRPRSTELIQSPTSVPSMDVKPGETNNDQISMKFGNGRQSRDGYSFIGGQTNFIGGFGQYPIGELGRFDTEQFTPRFPGNAVSLTLGLPHCENLSLSGTHQSFLPSQNIQLGRRVEIGEPNEFGGINTSTPHSSTAYESINIQNRKRFAAQLLPDFVA >Manes.09G045600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7991777:7995457:1 gene:Manes.09G045600.v8.1 transcript:Manes.09G045600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPSSNNLLFLNSAANNLSSPPHLSHAPPNTQQFVGIPLDPNSHDTSTLHGLIPRIHYNLYNPVDPSSAARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNNGVSGIQGVLLSSKYLKAAQELLDEVVNVNNHGIKNELSKKSNGINSNNSNKVVGESSGGDGSAGGDAGGKRGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQERNGSEDKSSKSEHNENSASKSVLQERGSVNENQTKSFKSFDSSPNQNAPAISISAASTSPVAGNVRNHSGFSLIGSSELEGITQGSPKRPRSTELIQSPTSVPSMDVKPGETNNDQISMKFGNGRQSRDGYSFIGGQTNFIGGFGQYPIGELGRFDTEQFTPRFPGNAVSLTLGLPHCENLSLSGTHQSFLPSQNIQLGRRVEIGEPNEFGGINTSTPHSSTAYESINIQNRKRFAAQLLPDFVA >Manes.09G045600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7991577:7995457:1 gene:Manes.09G045600.v8.1 transcript:Manes.09G045600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPSSNNLLFLNSAANNLSSPPHLSHAPPNTQQFVGIPLDPNSHDTSTLHGLIPRIHYNLYNPVDPSSAARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNNGVSGIQGVLLSSKYLKAAQELLDEVVNVNNHGIKNELSKKSNGINSNNSNKVVGESSGGDGSAGGDAGGKRGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQERNGSEDKSSKSEHNENSASKSVLQERGSVNENQTKSFKSFDSSPNQNAPAISISAASTSPVAGNVRNHSGFSLIGSSELEGITQGSPKRPRSTELIQSPTSVPSMDVKPGETNNDQISMKFGNGRQSRDGYSFIGGQTNFIGGFGQYPIGELGRFDTEQFTPRFPGNAVSLTLGLPHCENLSLSGTHQSFLPSQNIQLGRRVEIGEPNEFGGINTSTPHSSTAYESINIQNRKRFAAQLLPDFVA >Manes.09G045600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7991486:7995583:1 gene:Manes.09G045600.v8.1 transcript:Manes.09G045600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFHGNPEIQAAAAASAEGLQTLVLMNPTYVQYSDTPPSSNNLLFLNSAANNLSSPPHLSHAPPNTQQFVGIPLDPNSHDTSTLHGLIPRIHYNLYNPVDPSSAARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNNGVSGIQGVLLSSKYLKAAQELLDEVVNVNNHGIKNELSKKSNGINSNNSNKVVGESSGGDGSAGGDAGGKRGAELTTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALQTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEQERNGSEDKSSKSEHNENSASKSVLQERGSVNENQTKSFKSFDSSPNQNAPAISISAASTSPVAGNVRNHSGFSLIGSSELEGITQGSPKRPRSTELIQSPTSVPSMDVKPGETNNDQISMKFGNGRQSRDGYSFIGGQTNFIGGFGQYPIGELGRFDTEQFTPRFPGNAVSLTLGLPHCENLSLSGTHQSFLPSQNIQLGRRVEIGEPNEFGGINTSTPHSSTAYESINIQNRKRFAAQLLPDFVA >Manes.18G032000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2715212:2716606:1 gene:Manes.18G032000.v8.1 transcript:Manes.18G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDGGDRAKSVDTGRGIPRSKVGNILHSDPNMSSTIHDNDDFSIRNSSASAVAPGSFDSNRLSCEGSPMTMSPWNNTTSSNKSPWNPFDENLSQNGLIGSLVREEGHIYSLAATKDLLYTGSDSKNIRVWKNLKEFSGFKSSSGLVKAIIILGEKIFTGHQDGKIRVWKVSPKNPSVHKRSGTLPTLKDIFKSSIKPSNYIQVRNHRTALWIKHSDAVSCLSFNEDNTLLYSASWDRSFKVWRISDSKCLGSVSAHDDAVNSIVASSEAMVFTGSADGTVKVWKREQHGKTTKHNMLQTLLKQECAVTALAVNPSGSVLYCGSSDGVVNFWEREKQLAHGGVLKGHKLAVLCLAAAGNLLFSGSADKSICVWRREGSIHMCLSVLTGHTGPVKCLAVEEDREQSKDGDQRWVVYSGSLDKSIKVWSVSELAPDVNQMAVMPQQQMPDEEPAPSDGIDGAGGNI >Manes.07G109100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31474256:31479875:-1 gene:Manes.07G109100.v8.1 transcript:Manes.07G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGIVSAINGSFSGGKTQEIVVARGKVLDLLRPDENGKLQTILSVEIFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKERNVFDKIHQETFGKSGCRRIVPGQFLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSTGQAASEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNEGHPDVRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLDHENDKVKELKIKYFDTIPVTSSMCVLKSGFLFAASEFGNHALYQFQAIGEEADVEASSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPIMDMKVANLFDEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFNNATLVLSIGETVEEVSDSGFLDTTPSIAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDVTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQILSVQSVSSPPESLLFLEVQASVGGEDGADHPASLFLNAGLQSGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSIIVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVLQPKKKLLIIIESDQGAYTAEEREAAKKECFEAAGMGENGSANDQMENGGDDEDKDDPLSDEQYGYPKTESEKWVSCIRVLEPRTASTTCLLELQDNEAAFSVCTVNFHDKEHGTLLAVGTAKGLQFWPKRSLVAGFIHIYKFVDDGRTLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKKRLLRKCENKLFPNSIVSIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDCVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVITSLHKASLIPGGGECIIYGTVMGSLGALLPFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPLDMQRKIADELDRTPGEILKKLEEVRNKII >Manes.11G009900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1033627:1038614:-1 gene:Manes.11G009900.v8.1 transcript:Manes.11G009900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTKNESDDGVLSKDQTESLLAEEGSCGGSANGLMLKKGPWTSAEDEILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGSFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQASYESQLSLNISGIYSGDKDHQDLLQTNSYEIPEVIFDSLKANHGISSYVPELPVITASSMLMRGLSSSQYSNFMSPTVHCQKHLRESTTLIPGYSGSGKTEFTLSDQFQHNSCDRVAQSFGLSFPFHLDPTNKNPESFGGNQVSHILANGNFSASKPNYGFVKMELPSFQYPENDLGSWGISPPRLLEIIDTLNQSTPIGTVESSPHNSGLLDALLHESETLSSTKNHSSDKSSNSSIVTHGELAESSALNICNTEWEEYGDTLSSLGHTTTSLFGECTPISTCGSSMDEPSATETLTGSNVKLTAVDQARSSEREKETTTRLDITHPDALLASDWLEDGSGYVEDQDFMLDNIAPLLSDDFSSDFEQMSTGASTSNQGWGLGSCAWNSMPAVCQMSELT >Manes.11G009900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1033627:1038635:-1 gene:Manes.11G009900.v8.1 transcript:Manes.11G009900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTKNESDDGVLSKDQTESLLAEEGSCGGSANGLMLKKGPWTSAEDEILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGSFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQASYESQLSLNISGIYSGDKDHQDLLQTNSYEIPEVIFDSLKANHGISSYVPELPVITASSMLMRGLSSSQYSNFMSPTVHCQKHLRESTTLIPGYSGSGKTEFTLSDQFQHNSCDRVAQSFGLSFPFHLDPTNKNPESFGGNQVSHILANGNFSASKPNYGFVKMELPSFQYPENDLGSWGISPPRLLEIIDTLNQSTPIGTVESSPHNSGLLDALLHESETLSSTKNHSSDKSSNSSIVTHGELAESSALNICNTEWEEYGDTLSSLGHTTTSLFGECTPISTCGSSMDEPSATETLTGSNVKLTAVDQARSSEREKETTTRLDITHPDALLASDWLEDGSGYVEDQDFMLDNIAPLLSDDFSSDFEQMSTGASTSNQGWGLGSCAWNSMPAVCQMSELT >Manes.11G009900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1033627:1038614:-1 gene:Manes.11G009900.v8.1 transcript:Manes.11G009900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTKNESDDGVLSKDQTESLLAEEGSCGGSANGLMLKKGPWTSAEDEILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGSFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQASYESQLSLNISGIYSGDKDHQDLLQTNSYEIPEVIFDSLKANHGISSYVPELPVITASSMLMRGLSSSQYSNFMSPTVHCQKHLRESTTLIPGYSGSGKTEFTLSDQFQHNSCDRVAQSFGLSFPFHLDPTNKNPESFGGNQVSHILANGNFSASKPNYGFVKMELPSFQYPENDLGSWGISPPRLLEIIDTLNQSTPIGTVESSPHNSGLLDALLHESETLSSTKNHSSDKSSNSSIVTHGELAESSALNICNTEWEEYGDTLSSLGHTTTSLFGECTPISTCGSSMDEPSATETLTGSNVKLTAVDQARSSEREKETTTRLDITHPDALLASDWLEDGSGYVEDQDFMLDNIAPLLSDDFSSDFEQMSTGASTSNQGWGLGSCAWNSMPAVCQMSELT >Manes.11G009900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1033627:1038614:-1 gene:Manes.11G009900.v8.1 transcript:Manes.11G009900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTKNESDDGVLSKDQTESLLAEEGSCGGSANGLMLKKGPWTSAEDEILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGSFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQASYESQLSLNISGIYSGDKDHQDLLQTNSYEIPEVIFDSLKANHGISSYVPELPVITASSMLMRGLSSSQYSNFMSPTVHCQKHLRESTTLIPGYSGSGKTEFTLSDQFQHNSCDRVAQSFGLSFPFHLDPTNKNPESFGGNQVSHILANGNFSASKPNYGFVKMELPSFQYPENDLGSWGISPPRLLEIIDTLNQSTPIGTVESSPHNSGLLDALLHESETLSSTKNHSSDKSSNSSIVTHGELAESSALNICNTEWEEYGDTLSSLGHTTTSLFGECTPISTCGSSMDEPSATETLTGSNVKLTAVDQARSSEREKETTTRLDITHPDALLASDWLEDGSGYVEDQDFMLDNIAPLLSDDFSSDFEQMSTGASTSNQGWGLGSCAWNSMPAVCQMSELT >Manes.11G009900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1033627:1038614:-1 gene:Manes.11G009900.v8.1 transcript:Manes.11G009900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTKNESDDGVLSKDQTESLLAEEGSCGGSANGLMLKKGPWTSAEDEILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGSFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQASYESQLSLNISGIYSGDKDHQDLLQTNSYEIPEVIFDSLKANHGISSYVPELPVITASSMLMRGLSSSQYSNFMSPTVHCQKHLRESTTLIPGYSGSGKTEFTLSDQFQHNSCDRVAQSFGLSFPFHLDPTNKNPESFGGNQVSHILANGNFSASKPNYGFVKMELPSFQYPENDLGSWGISPPRLLEIIDTLNQSTPIGTVESSPHNSGLLDALLHESETLSSTKNHSSDKSSNSSIVTHGELAESSALNICNTEWEEYGDTLSSLGHTTTSLFGECTPISTCGSSMDEPSATETLTGSNVKLTAVDQARSSEREKETTTRLDITHPDALLASDWLEDGSGYVEDQDFMLDNIAPLLSDDFSSDFEQMSTGASTSNQGWGLGSCAWNSMPAVCQMSELT >Manes.11G009900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1033627:1038614:-1 gene:Manes.11G009900.v8.1 transcript:Manes.11G009900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTKNESDDGVLSKDQTESLLAEEGSCGGSANGLMLKKGPWTSAEDEILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGSFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQASYESQLSLNISGIYSGDKDHQDLLQTNSYEIPEVIFDSLKANHGISSYVPELPVITASSMLMRGLSSSQYSNFMSPTVHCQKHLRESTTLIPGYSGSGKTEFTLSDQFQHNSCDRVAQSFGLSFPFHLDPTNKNPESFGGNQVSHILANGNFSASKPNYGFVKMELPSFQYPENDLGSWGISPPRLLEIIDTLNQSTPIGTVESSPHNSGLLDALLHESETLSSTKNHSSDKSSNSSIVTHGELAESSALNICNTEWEEYGDTLSSLGHTTTSLFGECTPISTCGSSMDEPSATETLTGSNVKLTAVDQARSSEREKETTTRLDITHPDALLASDWLEDGSGYVEDQDFMLDNIAPLLSDDFSSDFEQMSTGASTSNQGWGLGSCAWNSMPAVCQMSELT >Manes.11G009900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1033627:1038635:-1 gene:Manes.11G009900.v8.1 transcript:Manes.11G009900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTKNESDDGVLSKDQTESLLAEEGSCGGSANGLMLKKGPWTSAEDEILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGSFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQASYESQLSLNISGIYSGDKDHQDLLQTNSYEIPEVIFDSLKANHGISSYVPELPVITASSMLMRGLSSSQYSNFMSPTVHCQKHLRESTTLIPGYSGSGKTEFTLSDQFQHNSCDRVAQSFGLSFPFHLDPTNKNPESFGGNQVSHILANGNFSASKPNYGFVKMELPSFQYPENDLGSWGISPPRLLEIIDTLNQSTPIGTVESSPHNSGLLDALLHESETLSSTKNHSSDKSSNSSIVTHGELAESSALNICNTEWEEYGDTLSSLGHTTTSLFGECTPISTCGSSMDEPSATETLTGSNVKLTAVDQARSSEREKETTTRLDITHPDALLASDWLEDGSGYVEDQDFMLDNIAPLLSDDFSSDFEQMSTGASTSNQGWGLGSCAWNSMPAVCQMSELT >Manes.07G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5827165:5830907:1 gene:Manes.07G049900.v8.1 transcript:Manes.07G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRIAAILLKEAAELRRQAEKEGVHVYLQKPQVRGRPNSRFLTATVLGVQQANRAVEVNEMWRVRQKEIELDDRLKGRSRHENGSSTSKRHARINDNTGASCSSSKRISGNSYSKQDEGLRDDEVEEFLHSRFKRGRGAVGSRMDETGPYLLPSPEAKEKPPTILDSMREHRVVLGPERPSSLKSHESSEEDLDEDRRKKAKKVQSRSSDEKHSKKHRKKERSRDKKKNRKEKRSKH >Manes.15G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4415126:4418477:-1 gene:Manes.15G057200.v8.1 transcript:Manes.15G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPNSASSAVASGGGGGPAPFLLKTYDMVDDSSTDEIVSWSSNENSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEEFVKDQKHLLKNIHRRKPIHSHSHPQGSLGDPERAALEEEIDRLSREKASLEASVLGSKQQRSAEKLQLEDLTHRVDSMEQRQERLLTCLEKAVQNPNFIEHLAQKLDSMDFSAYNKKRRLPQVDHSKSIVENSFVDNQSFSRPEVGNVIRQDFSNKLRLELSPAVSDINLVSKSTQSSNEDGGSPQRKISEGDPKDAPPRTSCLLFPAETFELSDTGTSYPYKVDPPFTRKVTVNETPGLHLLQQNFTSNEEVDGHISCHLNLTLASSPLQVNRNPYPARMTQLHQEICRSPESQFNGNGKESDTMATSKDRNAGDGDTTLSPTKEAPNSSQGPAAVPARVNDVFWEQFLTERPGSFDNEEASSNYRANPYEEQEDRKSSHGVVRNVKNMEHLYL >Manes.12G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6187972:6191689:-1 gene:Manes.12G062300.v8.1 transcript:Manes.12G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARPNTSDRDGEPFVEVDPTGRFGRYDDLLGAGAVKKVYRAFDQEEGIEVAWNQVRLRNFMEDPILINRLQSEVKLLRSLKNKYIIVCYSVWLDEDKGKLNFITEVCTSGNLRNYRKKHRHVSLKALKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFVNGNIGQVKIGDLGFATMVGKSHAAHSVIGTPEYMAPELYEEDYTELADIYSFGMCLLEMVTTEIPYSECDSVVKIYKKVTSGVKPQALNKIANPEVKVFIEKCIAEPKARPSASELLKDPFFFELNNDDESESSDAYA >Manes.16G043080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6327187:6329122:1 gene:Manes.16G043080.v8.1 transcript:Manes.16G043080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKFNTNLLITQKEENDNIESGPDSPPSSLLNDTKMTSTSSPKRSKREIQKRVISVPIKDVEGSRLKAETAPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSLLVVTYSCEHNHPWPPPSKTHHHSNSSSTKLNLPKPEISTSSTKPENPEPEPEPEEKFTDLGDDSLISTDEFSWFVEMETTSSTILESPIFADERSTAEAEASMFFPMGEEDESLFADLEELPECTAVFRHHRGVGPQVQIC >Manes.05G166900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27911636:27913843:1 gene:Manes.05G166900.v8.1 transcript:Manes.05G166900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFLSFPTVMEKFLSFPSNAMANSILIILICSLIFWISRRISRTIGKKKAAPEAGGAWPLIGHLHHLGGPQPPHIVLGNMADKYGPIFTIKMGMYRSLVVSNWEIAKECLTINDKAFANRPKILAMDLLGYDRAMFGFGSYGTYWRQTRKIATLELLSNHRLEKLKHVRESEVRMALKELFKLWEKKKNNSNVVLVEMKRWFADITSNVILRIVFGKSVGYETTNEREENEKLKEALRDFFDLSGRFVAADAIPFLRWLDIGGYERAMKKTAKHLDLVVEGWLKEHKEKKASGFKKEEEDFMDLMLGILDDDAAAALGRDSDTLNKAMTLGLTLAASDTTSVTLTWVLSLLINNPCVLKKAQHELDRNVGKERLVHESDMSNLVYLQAIIKETLRLYPAGPLSLPHESMEDCTVAGYHIPAGTRLLVNLYKIQHDPRIWSNPSEFEPERFLTTHKDYDVRGQHFEFMPFGSGRRMCPGVSFALQVLQLTLATLLQGFDFANPSTEPLDMSESIGLTNLKSTPLECLIHPRLQSHLYQ >Manes.14G108366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9087880:9089335:-1 gene:Manes.14G108366.v8.1 transcript:Manes.14G108366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISWNYRGLGNPQAVQWGLALLWRSVSSITLLSSSQHHIDTEVFIEGVGNWRLTGYYGEADRNFRHLSWQRLRMLASHHEAPWVCLGDFNDILSPSEKRGGRPQPSRLINGFRDALSDSGLIEFPMTGYPFTWEHGRNSDGWVESRLDRVFTNAQWCTRFSNSTAEVLGFSTSDHLPILLAVRCFVDQRHTHRFRFENTWLREAGCRTLISDIWQLSPDMDVEGKLVACRTALKSWGMNLRLLHKAEMDESLAIMARLRGSRLQVHMDEFLRAKSRFFHLLNLREIFWKQRAKQFWLKEGDANTRFFHNAASARKRKNTIVKLLDDSNVWHDKNSGLEEVMSGYFMSLFTSHDCNSEPVLQVSPAEVE >Manes.12G032900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2882940:2889332:1 gene:Manes.12G032900.v8.1 transcript:Manes.12G032900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYIAHKFEYSVSLSWKSYKRGQISDREVGDAIWKNLFQGRLTYLHWNKGQEMTPTIGDQGGTLLVRKLPAADPTRVFVGDVVVLKNPENSDGYLVRRLAAVEGYEMASTDEKDEPFLLEKDQCWVLADNEKLKPKEANDSRIFGPVPMSDIVGRVIYCLRTAVDHGPVQNSNFSMNSDLPVLEVELDVEEMAKNHKA >Manes.12G032900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2882940:2888675:1 gene:Manes.12G032900.v8.1 transcript:Manes.12G032900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYIAHKFEYSVSLSWKSYKRGQISDREVGDAIWKNLFQGRLTYLHWNKGQEMTPTIGDQGGTLLVRKLPAADPTRVFVGDVVVLKNPENSDGYLVRRLAAVEGYEMASTDEKDEPFLLEKDQCWVLADNEKLKPKEANDSRIFGPVPMSDIVGRVIYCLRTAVDHGPVQNSNFSMNSDLPVLEVELDVEEMAKNHKA >Manes.12G032900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2883049:2888635:1 gene:Manes.12G032900.v8.1 transcript:Manes.12G032900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYIAHKFEYSVSLSWKSYKRGQISDREVGDAIWKNLFQGRLTYLHWNKGQEMTPTIGDQGGTLLVRKLPAADPTRVFVGDVVVLKNPENSDGYLVRRLAAVEGYEMASTDEKDEPFLLEKDQCWVLADNEKLKPKEANDSRIFGPVPMSDIVGRVIYCLRTAVDHGPVQNSNFSMNSDLPVLEVELDVEEMAKNHKA >Manes.S026016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:375271:375696:1 gene:Manes.S026016.v8.1 transcript:Manes.S026016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.12G010200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1050415:1056861:1 gene:Manes.12G010200.v8.1 transcript:Manes.12G010200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLMEWNTKPPIQWDWENLIMFNATTEHPKKLRLTEWETDGERGIDSGSLYSSASGGSGSGSGSGSGSDLGLASLSKSSKSASINSSSIGEVKTSKFTLEASEAIPEDSNNKKVLAKAKQTGISSTLEASVGSGEPLLGLKLGKRTYFEDVCAGSNAKTSPFSVTPSPGTSVSPAKRSKSSGQASPAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVTVAGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQPESVQLTPARLSSSLYDERQQMSLVWNRAPLVYSRPDANLTWEGTSSSKFTITKEYVPKAAKGGGIDGQLHLPGNDLTTSIPMHPHHSKCLLPSKSKVATAEVLNQGLEESILSPNVDATQDLHRALSLLSTNSWGSCEQKSISHEQPAHTSQAGMPQSLLHNVSQGMPLASSDYWRAEQQSTDSRVHSLTTHNHNSNYFQELQQLRTPYDSDFFSSQLN >Manes.12G010200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1050415:1056861:1 gene:Manes.12G010200.v8.1 transcript:Manes.12G010200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLMEWNTKPPIQWDWENLIMFNATTEHPKKLRLTEWETDGERGIDSGSLYSSASGGSGSGSGSGSGSDLGLASLSKSSKSASINSSSIGEVKTSKFTLEASEAIPEDSNNKKVLAKAKQTGISSTLEASVGSGEPLLGLKLGKRTYFEDVCAGSNAKTSPFSVTPSPGTSVSPAKRSKSSGQASPAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVTVAGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQPESVQLTPARLSSSLYDERQQMSLVWNRAPLVYSRPDANLTWEGTSSSKFTITKEYVPKAAKGGGIDGQLHLPGNDLTTSIPMHPHHSKCLLPSKSKVATAEVLNQGLEESILSPNVDATQDLHRALSLLSTNSWGSCEQKSISHEQPAHTSQAGMPQSLLHNVSQGMPLASSDYWRAEQQSTDSRVHSLTTHNHNSNYFQELQQLRTPYDSDFFSSQLN >Manes.12G010200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1050415:1056861:1 gene:Manes.12G010200.v8.1 transcript:Manes.12G010200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLMEWNTKPPIQWDWENLIMFNATTEHPKKLRLTEWETDGERGIDSGSLYSSASGGSGSGSGSGSGSDLGLASLSKSSKSASINSSSIGEVKTSKFTLEASEAIPEDSNNKKVLAKAKQTGISSTLEASVGSGEPLLGLKLGKRTYFEDVCAGSNAKTSPFSVTPSPGTSVSPAKRSKSSGQASPAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVTVAGVERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQPESVQLTPARLSSSLYDERQQMSLVWNRAPLVYSRPDANLTWEGTSSSKFTITKEYVPKAAKGGGIDGQLHLPGNDLTTSIPMHPHHSKCLLPSKSKVATAEVLNQGLEESILSPNVDATQDLHRALSLLSTNSWGSCEQKSISHEQPAHTSQAGMPQSLLHNVSQGMPLASSDYWRAEQQSTDSRVHSLTTHNHNSNYFQELQQLRTPYDSDFFSSQLN >Manes.18G044001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3914351:3916051:1 gene:Manes.18G044001.v8.1 transcript:Manes.18G044001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRVFTLSQVAQHKSKKDCWLVIDGRVLDVTKFLEEHPGGEEVLIESAGKDASKEFKDIGHSNAAQNLLLKYQVGVLPGFTFKEGKIVDSSIREPKKKEMSAFVIKDDGLPKYAAFLEFFVPLLVAGSYFSYRYLTRLVN >Manes.05G150701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25951356:25952285:1 gene:Manes.05G150701.v8.1 transcript:Manes.05G150701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICETCLPISYNSGPRPFKDIPFLILFALCVLCTFAFGIFSVSHRNPDYTNLSSFTYEFNSTSCVKDSLSSSSVWFLETHVYYYLLSLWSSGFWEALIWNLVVTFILSAPICFLFLLLLKHYTKQIVYISLPFFIVLPIFFNIYWFVACTVSSTCIDAFPLVYRILVLVFVFLVIGVIMWILVANWHRIDLTVMIIGVASDALSKNLALLVALPLLTFGLVFYYAPIVVFLVFARLNGKIVPKESSGEYTCVWKQDSWVPAYYALAILAMLWSLTAMVETQVYVISGTIAQWYFTKEDSSPKRGIRSSLR >Manes.10G145600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31296320:31304904:-1 gene:Manes.10G145600.v8.1 transcript:Manes.10G145600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDRLEDRTFRVNLDGDGADKLRESVKNKLKEFMGDYTDDTLVEYVIVLLRNGRRKEEARHELNVFLADNSDSFVSWLWDHLASNLDEYVQPHETHTSNVVKTKPTLGDHVGRDESHYVDSESGKGKSDKLSITRHKREWKGLTKDATEPPPLRSAVVDIGHYDEKSHRKASHARRSESPHPPQQKKRSRQDERQHMKREVVSQATIDAPRRLLQFAVRDAVGNLRPSGTVKEPSLKRLRSVVSTSTGESSLVDRPRRIQSIARVPNPMATVIRAVQEAAEDVKVKSSKSVFDRLGREMDISDTEQVRDMRDLAVEDEEFNQIPEKTLPFYHQIQGVDIGIVDSETRLAGDSMFDNEGYDDGDDDDVLGHRVIDVCETGTSGGKMLEDSLMGQYRASNADDEPREVAEMGNWRSVEENEEDAGKSTIQAMRENGKPVVVGNGNAKPVADMQRESQKSVQSVPGSYTSGRPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGEVLKVVIVTDAATGQPKGSAYVEFMRKEAADNALSLDGTSFMSRILKVVKRSPTHQEATPIMTWPRIARGSPYASGRFARVPFPRGIPGAFRSRPPMKLGARSLQWKRDAQGNPGESGAPVPNSLVSPTARSLTYVRTEPKT >Manes.10G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31296320:31304904:-1 gene:Manes.10G145600.v8.1 transcript:Manes.10G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDRLEDRTFRVNLDGDGADKLRESVKNKLKEFMGDYTDDTLVEYVIVLLRNGRRKEEARHELNVFLADNSDSFVSWLWDHLASNLDEYVQPHETHTSNVVKTKPTLGDHVGRDESHYVDSESGKGKSDKLSITRHKREWKGLTKDATEPPPLRSAVVDIGHYDEKSHRKASHARRSESPHPPQQKKRSRQDERQHMKREVVSQATIDAPRRLLQFAVRDAVGNLRPSGTVKEPSLKRLRSVVSTSTGESSLVDRPRRIQSIARVPNPMATVIRAVQEAAEDVKVKSSKSVFDRLGREMDISDTEQVRDMRDLAVEDEEFNQIPEKTLPFYHQIQGVDIGIVDSETRLAGDSMFDNEGYDDGDDDDVLGHRVIDVCETGTSGGKMLEDSLMGQYRASNADDVMRITRIKDHDQPVSSAKTSLNFSTNLNSRKPPHFQEPREVAEMGNWRSVEENEEDAGKSTIQAMRENGKPVVVGNGNAKPVADMQRESQKSVQSVPGSYTSGRPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGEVLKVVIVTDAATGQPKGSAYVEFMRKEAADNALSLDGTSFMSRILKVVKRSPTHQEATPIMTWPRIARGSPYASGRFARVPFPRGIPGAFRSRPPMKLGARSLQWKRDAQGNPGESGAPVPNSLVSPTARSLTYVRTEPKT >Manes.04G058628.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:19060432:19062301:-1 gene:Manes.04G058628.v8.1 transcript:Manes.04G058628.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKMVLHDWQRGRIPFFVPPPRQQEDDSLEEPNADGINEETAGDDNQASAAFRAIVNVISSQQQKNVPVQRDLFSENELRGDGANQCLTAECEKREQPSGTDDEMDDELPNEGDKTNEKVEATES >Manes.01G168000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35079019:35083644:1 gene:Manes.01G168000.v8.1 transcript:Manes.01G168000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQGLPSIDPAVLDDIISRLLDFRQTRAAKHQQVQLTENEIRQLCDVSAEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHESASINRIYGFYDECKRRFNVKLWRTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTDIPESGLLCDLLWSDPSRDVKGWAMNDRGVSYTFGSDKVSEFLINNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADRKSKFQ >Manes.12G056200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5870597:5874956:-1 gene:Manes.12G056200.v8.1 transcript:Manes.12G056200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGLTLGLENQNGTLKLSLINCGCYVKDVSIKLDGGASWLYQGMLDAFEEQIGAAVENAITKKLREGILKLDSFLQSLPKEVPVDDNASLNVTFVKDPLLSNSSIGFDINGLFTARKKFLVPMNKYQSSQPSVFCKDSSKMLGISLDEAVFNSASALYYDAKFMQWVVDKIPDQYLLNTAGWRFIIPQLYKKYPNDDMNLNLSLSSPPVIKILENDIDATVYAELIIDVLEADQVIPVACISLDIHGSGSVKISGNKLGGSLKLNYFSMSLKWSNIGNLRLYLVQPVMWTLIQTVFLPYANAKLGQGFPLPIVHGFTLKNAEIVCSRSKTTVCGDVEYSELQDLNQIMFT >Manes.12G056200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5870597:5874956:-1 gene:Manes.12G056200.v8.1 transcript:Manes.12G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLFLLITSLFIPSYTHDIQSPDQEAFISIVISQQGLDFLKNLLVTKTISSIIPLNLPRIEKTVKVPFLGYVRMRLSNITLHEIDVLSSYVKPGDTGVAIIASGTTCNLSSSWYYQYSSWLLPVEIVDRGGASVQVEGMQVGLTLGLENQNGTLKLSLINCGCYVKDVSIKLDGGASWLYQGMLDAFEEQIGAAVENAITKKLREGILKLDSFLQSLPKEVPVDDNASLNVTFVKDPLLSNSSIGFDINGLFTARKKFLVPMNKYQSSQPSVFCKDSSKMLGISLDEAVFNSASALYYDAKFMQWVVDKIPDQYLLNTAGWRFIIPQLYKKYPNDDMNLNLSLSSPPVIKILENDIDATVYAELIIDVLEADQVIPVACISLDIHGSGSVKISGNKLGGSLKLNYFSMSLKWSNIGNLRLYLVQPVMWTLIQTVFLPYANAKLGQGFPLPIVHGFTLKNAEIVCSRSKTTVCGDVEYSELQDLNQIMFT >Manes.12G056200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5870596:5874956:-1 gene:Manes.12G056200.v8.1 transcript:Manes.12G056200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLFLLITSLFIPSYTHDIQSPDQEAFISIVISQQGLDFLKNLLVTKTISSIIPLNLPRIEKTVKVPFLGYVRMRLSNITLHEIDVLSSYVKPGDTGVAIIASGTTCNLSSSWYYQYSSWLLPVEIVDRGGASVQVEGMQVGLTLGLENQNGTLKLSLINCGCYVKDVSIKLDGGASWLYQGMLDAFEEQIGAAVENAITKKLREGILKLDSFLQSLPKEVPVDDNASLNVTFVKDPLLSNSSIGFDINGLFTARKKFLVPMNKYQSSQPSVFCKDSSKMLGISLDEAVFNSASALYYDDIHGSGSVKISGNKLGGSLKLNYFSMSLKWSNIGNLRLYLVQPVMWTLIQTVFLPYANAKLGQGFPLPIVHGFTLKNAEIVCSRSKTTVCGDVEYSELQDLNQIMFT >Manes.11G055401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6752700:6759675:-1 gene:Manes.11G055401.v8.1 transcript:Manes.11G055401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSCGSIFRNRRETKCSPTINGSKQLDVPCLIVIRVHNWRWRDDYIFQLSQLNKQIFTSLLSPSLLKIKQDIPSNRSFKFGMDIMPRFSWTNLFN >Manes.11G055401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6758524:6758865:-1 gene:Manes.11G055401.v8.1 transcript:Manes.11G055401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISILNASVNDIILPTYRPSCGSIFRNRRETKCSPTINGSKQLDVPCLIVIRVHNWRWRDDYIFQLSQLNKQIFTSLLSPSLLKIKQDIPSNRSFKFGMDIMPRFSWTNLFN >Manes.05G201800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32665081:32668967:-1 gene:Manes.05G201800.v8.1 transcript:Manes.05G201800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSTFKLFSTSGGLGFFDSSSEPPLPPPPPPVEVLSSQVSSTLKCSVEPVNLDGLTLLKGRLSTKDVFGLPNSDLVPGVYEGGLKLWEGSLDLVKALRSEVQNGHLSFAGKRVLELGCGHGLPGIFACLEGAAVVHFQDFNAEVLQSLTIPNVNVNISKEFHCTASDEKNCDSGVELRFFAGDWAQIHRCLPHVHNSEEDLNCCSEQCPVSGYDIILMAETIYSLSAQQSLYGLIKKCVQRPYGVVYMAAKKYYFGVGGGTRQFLSMVEKDGVMDASLVAEVVDGSSNVREVLWLSI >Manes.05G201800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32665542:32668967:-1 gene:Manes.05G201800.v8.1 transcript:Manes.05G201800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSTFKLFSTSGGLGFFDSSSEPPLPPPPPPVEVLSSQVSSTLKCSVEPVNLDGLTLLKGRLSTKDVFGLPNSDLVPGVYEGGLKLWEGSLDLVKALRSEVQNGHLSFAGKRVLELGCGHGLPGIFACLEGAAVVHFQDFNAEVLQSLTIPNVNVNISKEFHCTASDEKNCDSGVELRFFAGDWAQIHRCLPHVHNSEEDLNCCSEQCPVSGYDIILMAETIYSLSAQQSLYGLIKKCVQRPYGVVYMAAKKYYFGVGGGTRQFLSMVEKDGVMDASLVAEVVDGSSNVREVWKLWVK >Manes.12G135800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34266668:34271614:-1 gene:Manes.12G135800.v8.1 transcript:Manes.12G135800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALTWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPSVSSHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPNTCHKVIDKAVPVLVDLAEITTLGDQKKLGDSIVNVLQDCIQSQGTGRTSVSSRTKEPIEELLNSKQRMKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLCSRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHCEGDARDVYGQDSDGSEWETASESDIGNDGRDEMGDDDDDIEWKNEDERKDKYDKATLKDIKQGYSVQIPEMNRNLEGTT >Manes.12G135800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34267098:34271614:-1 gene:Manes.12G135800.v8.1 transcript:Manes.12G135800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALTWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPSVSSHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPNTCHKVIDKAVPVLVDLAEITTLGDQKKLGDSIVNVLQDCIQSQGTGRTSVSSRTKEPIEELLNSKQRMKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHNPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLCSRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHCEGDARDVYGQDSDGSEWETASESDIGNDGRDEMGDDDDDIEWKNEDERKDKYDKATLKDIKQGYSVQIPEMNRNLEGTT >Manes.13G091700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24961933:24963251:-1 gene:Manes.13G091700.v8.1 transcript:Manes.13G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGRSWLRHGYNKVARMLWKQNPSNENFPILEGHFAGQQHAQESIQTYETVKDNIKKLIKEFRIYRWNPDNPNNKPFLQSFYIDLSTCGPMVLDALQKIKAEDDSSLSYRRSCREGICGSCAMNIDGTNTVACLKPIDADTSKPTVITPLPHMFVIKDLVVDLTNFYNQYRSIEPWLKTRKKPEDGREYRQSPEDRKKLDGLYECILCACCSASCPSYWWNPEEFLGPAPLIHAYRWISDSRDEYGDERLQALAEDMKKLYRCRTIKNCTATCPKSLNPADAIHKMKTKHLVSQLVEESEINP >Manes.11G145200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30969171:30969524:-1 gene:Manes.11G145200.v8.1 transcript:Manes.11G145200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKASFFLFSVLALLFAARAQNRAPHGLAFESPEAFSPSAVDFFHPKTQEPNANKLCAESSGCSSLPLAANVEATQMQEREVSSSQKGASQLGATGISIALGLAFAVLLAMGGFYV >Manes.14G059700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4979640:4984414:1 gene:Manes.14G059700.v8.1 transcript:Manes.14G059700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSATISMKASSYYVYRFSVSPTTAPNRSHFSHKTQFPSFSFRSLNCVHSDSKPISSHNPNFSRISCSAVAFSPSLTTDLVPAKLQHLINEFQSLPETIDRVKRVLHYASVLPPFPDSSRVDSNRVMGCTARVWLDAQLDHYGKMRFLTDSDSEITRGFCACLLSVLDGAAPEEVLSVKTEDLAALNVGLPGSERSRVNTWHNVLVSMQKRTKKLVAEREGKKPFDPFPSLVITSEGIQAKGSYAEAQARYLLPDESKVQELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHVSDSLVMADSAVNMAKAGCKFITVLGVDFMSENVRAILDQAGFGEVGVYRMSKERIGCSLADAASSPAYMNYLEAASRSPNSLHVVYINTSLETKAYAHELVPTITCTSSNVVQTILKAFTQIPDLNVWYGPDSYMGANIAKLFQQMTAMTDDEITEVHPAHNRDTIRALLPRLHYYQDGTCIVHHLFGQEVVDRINEMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQRVQEALDRNVNDQLQFVLGTESGMITSIVAAVQHLLGSAKSSGGAKINVEIVFPVSSDSITRTSTNSSPDQESVEAGDIVLPVVPGVSSGEGCSIHGGCASCPYMKMNSLSSLIKVCQHLPDEKNAIASYEAERFKHQTPSGKSIADVGCQPILHMRHFQTTKELPEKLVNDVLHLNTNGKTIS >Manes.18G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3994649:3996306:-1 gene:Manes.18G045200.v8.1 transcript:Manes.18G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCILRSCLHWISSPEAQGNATLFLAKFFGRSDLMSLISAVPESQRPALFQSLLFEACGRTVNPVNGAVGLLWSGNWHVCQAAVETVLSGGTLRPIPGILTGFIAPNYDESSDSFSAEACKLRNMWTQSKPYGPIARENQASDLNLSLTSKLVAAGRTGREKRGRDAMSFYTEESSETTTFESNGSDSKKLLNLFV >Manes.03G211000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32633559:32635522:1 gene:Manes.03G211000.v8.1 transcript:Manes.03G211000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVPAVSDRSSQPDYEISIENLSLIGKEMEKYKSQVFEARGYKWRLVIYPNGNRSRNVTKHISVYLALADPVMLGLEVRAAFHLYLYDQDKKEFLEIKPQDAEVIKGCFHLLKHECGLDKFVPLEKYKDCRNSFSVGVKVEYVCESGTTGRGESLSMIKAGLPITHKWKIAEFSTKRKEECVESQVFTVGKHNWKIKLYPRGKGLKNNSHISLYLALADPTARVPSYKTYAQVSLRILDQCKHKHISATDKFWFSGSSSEHGWSTLISVAEFDGMKNRLVVGDVCFVEAGIYLVGEVKVL >Manes.03G211000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32633929:32635515:1 gene:Manes.03G211000.v8.1 transcript:Manes.03G211000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVPAVSDRSSQPDYEISIENLSLIGKEMEKYKSQVFEARGYKWRLVIYPNGNRSRNVTKHISVYLALADPVMLGLEVRAAFHLYLYDQDKKEFLEIKPQDAEVIKGCFHLLKHECGLDKFVPLEKYKDCRNSFSVGVKVEYVCESGTTGRGESLSMIKAGLPITHKWKIAEFSTKRKEECVESQVFTVGKHNWKIKLYPRGKGLKNNSHISLYLALADPTARVPSYKTYAQVSLRILDQCKHKHISATADKFWFSGSSSEHGWSTLISVAEFDGMKNRLVVGDVCFVEAGIYLVGEVKVL >Manes.03G211000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32634064:32635515:1 gene:Manes.03G211000.v8.1 transcript:Manes.03G211000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKSQVFEARGYKWRLVIYPNGNRSRNVTKHISVYLALADPVMLGLEVRAAFHLYLYDQDKKEFLEIKPQDAEVIKGCFHLLKHECGLDKFVPLEKYKDCRNSFSVGVKVEYVCESGTTGRGESLSMIKAGLPITHKWKIAEFSTKRKEECVESQVFTVGKHNWKIKLYPRGKGLKNNSHISLYLALADPTARVPSYKTYAQVSLRILDQCKHKHISATDKFWFSGSSSEHGWSTLISVAEFDGMKNRLVVGDVCFVEAGIYLVGEVKVL >Manes.03G130300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25678120:25680739:-1 gene:Manes.03G130300.v8.1 transcript:Manes.03G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSSAVHGNPIARIVSGSNPNRPLFFHRPLAVAVSTTHQSYWSSVNADIDAHLNKSIPSKPPLVVFEPMRHLTFSAPQTTAPALCIAACELVGGHRDQAIAAASALHLMHASAFTHENLPLTERPKPTFDHTYGPNIRLLMADGMIAFGFELLARADNNSNRVLRAIIEISRAMGTQGVMAGQYNESQLGESDGTEAFHVGWLHNVCSKKGGVLHACAGACGATLGGGSEEEIEKLRRYGLYVGTIQGILNRVEKNEWSIKEVKELRVLGLRELKDFNQEKIDQWRYNLISATSEYYIFNIFKQ >Manes.04G148800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34561059:34562734:-1 gene:Manes.04G148800.v8.1 transcript:Manes.04G148800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPAPDSGLGKLRKYDSFSASTTRSAPAVVPHQEMAITRSITILRTHSNFKNLSVDPGSAPDSPATPTTPGTPLSPGTPSEEFKRLTRRKSSADALYRAEPRRF >Manes.04G148800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34561075:34562604:-1 gene:Manes.04G148800.v8.1 transcript:Manes.04G148800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDETLAGPAPDSGLGKLRKYDSFSASTTRSAPAVVPHQEMAITRSITILRTHSNFKNLSVDPGSAPDSPATPTTPGTPLSPGTPSEEFKRLTRRKSSADALYRAEPRSTIPCDWILIECFGSLREESDDFG >Manes.08G167000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40171380:40176811:-1 gene:Manes.08G167000.v8.1 transcript:Manes.08G167000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRISANPRPCSGRRILAKKRPRTNGFVNTVKKLQRREISSKRDRAFSMSNAQERFRNMRLMEEYDTHDPKGHCSVVLPFLMKRTKVIEIVAARDIVFALAHSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSVYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFNRASSHVPLKILSIEDGTVLKAFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELMEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAESEDQWMEGNAGSINVSNILTGKCLAKINASNGNPKGDECSGSTSKQSHSPMRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Manes.07G051600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6098755:6100044:-1 gene:Manes.07G051600.v8.1 transcript:Manes.07G051600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGSPSSASASASTAMLEFLGNSFNDKRDWSQLQPELLELILSKLSFVQIIHFKAVCSSWRSIAESYVSSSCYAPFPQTPWLLLPPNQECDTDTRCFFSLEDEKVYQIKNMGNQFGNDAWCVGSSHGWLLLLDDKAKPFLLNPFSQVRIQMPTIENFINELFGSKFIRELRKFFHTKAVLLSDPSRDKNYGVVVIFGYYSRLAFWAKGDSAWTVLDGASEGYSDIICCNDLLYALTLDNSIEVWDFHTSLPIKRREIPRKMVEATEFYFKGSHSKQSYLVESSGELLLVMRYLGNSVDQESQPFYEPDLLNDVDPPPLLCPYQTLMFHVHKLDSNEQKWVEVDNLKNEALFLGGNHSMSLSAQEFSGFEKNCIYFTDDYWELMNEGDLYGGHDLGKFSLEDKTVKPFYDCDLARIDPPPFWIVPNPW >Manes.03G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21155789:21160276:-1 gene:Manes.03G078100.v8.1 transcript:Manes.03G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLSSPRTGINNLSFSPNTVLSSSYVPSKQLHCCPCAKSFCKLQVQSHPPNQSKWIPSLSPVSRLKRPTFSIRCGISSDNFKASNGKSFREWIEVVGEAISTAFPIWVSLGCLLALVKPSSFNWVTPKWTIFGISLTMLGMGMTLTFDDLRVAFAMPKELLSGFVLQYSVMPISGFLVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTLAAVIMTPFLTAKLARQYVAVDAAALLVSTMQVVLLPVLAGAFLNQYFQGLVKFVSPLMPPIAVGTVAVLCGNAIAQSASAILMSGKQVVLAASLLHASGFFFGYVLARLLGVDVASSRTISIEVGMQNSVLGVVLASQHFGNPLAAVPCAVSSVCHSVFGSVLAGIWRQSLPTQNKD >Manes.17G041400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23303179:23303448:1 gene:Manes.17G041400.v8.1 transcript:Manes.17G041400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIGRLEWMNSFSKKQCKSLFWRVKAAVKKAVKNGGKQQVNFQYDPSSYALNFDDGCCHFGRGSYEVKSGKSQDGNETVWLYVVWVES >Manes.01G268401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42228402:42230406:-1 gene:Manes.01G268401.v8.1 transcript:Manes.01G268401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKGLFKESYAYSRCCSTFRKSPFYPCIYASDLTPLTYTLRTLLQLSHLSNPWLPSSSICKLFDKNKKILQTLSVRSGEPTTQLCLSSVSFELFKPHHLLFTATHFPLFFAFLPP >Manes.08G109600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34854155:34854853:-1 gene:Manes.08G109600.v8.1 transcript:Manes.08G109600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHNTAVAQSSVGFREYIDTFDGYSFKYPQNWIQVRGSGADIFFRDPFVLDENLSVEMSSPSSSNYRSVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESNVLSTSSRVADDGKLYYQVEVNIKSFANNNEMAVMPQDRVVRLEWNRRYLSVLGVENNRLYELRLQTPENVFLEEENDLRQVMDSFRVNKVSG >Manes.08G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34852034:34855407:-1 gene:Manes.08G109600.v8.1 transcript:Manes.08G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSLTPPVQLTPPTRSAVLPTWFGCSLPISTHSTRCPSISCKNENHSTKAFVVPRRNAVALILSSCVFSEMGFHNTAVAQSSVGFREYIDTFDGYSFKYPQNWIQVRGSGADIFFRDPFVLDENLSVEMSSPSSSNYRSVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESNVLSTSSRVADDGKLYYQVEVNIKSFANNNEMAVMPQDRVVRLEWNRRYLSVLGVENNRLYELRLQTPENVFLEEENDLRQVMDSFRVNKVSG >Manes.16G125400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32694485:32699812:-1 gene:Manes.16G125400.v8.1 transcript:Manes.16G125400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCYRLLSLPLLLTFLFFSSSTFLPFSHGATHFYSFHALPPAGRENEAKYELQFSWGTRRSFVEAPLSEPVESSTLVLAPRRTYRKDPLDGFKRYTGGWNISSRHYWAADTTVQKLKDVSNFLASAKLTAVDKVFLPSNVQTDIDQIEIRINSSTSILSDRTVENSGDIRDLLDSVRLALIVVAAIMLLLTFLGFLFSIFGIQLLVYILVIVGWILVAGTFILCGTFLLLHNVAGDTCVAMNHWVQNPTAHTALDDILPCVDSATAQDTLLRSKEITLQYVDLINSVITNVSNINFSPNFPSMYFNQSGPLVPILCNPFYHDFTDRPCSPGEVNLNNATQAWQSYVCQVSSTGICITTGRLTPTIYDQMNAAVNLCNGLENYGPFLIDLEDCTFVRETFSEIYRNHCPKLQRYSRWIYVGLVMVSTAVMLSIIFWVIYGRERRHRLYTKQLQAESTQGHEEDKQSQS >Manes.16G125400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32694483:32699838:-1 gene:Manes.16G125400.v8.1 transcript:Manes.16G125400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCYRLLSLPLLLTFLFFSSSTFLPFSHGATHFYSFHALPPAGRENEAKYELQFSWGTRRSFVEAPLSEPVESSTLVLAPRRTYRKDPLDGFKRYTGGWNISSRHYWASVGFTAIPLFAIASVWFLGFGLCLLLLCICYFCCGKKSYGYSRIAYALSLIFLILFSLGAIIGCVILYTGQGSFHRSTTETLEYVMNQADTTVQKLKDVSNFLASAKLTAVDKVFLPSNVQTDIDQIEIRINSSTSILSDRTVENSGDIRDLLDSVRLALIVVAAIMLLLTFLGFLFSIFGIQLLVYILVIVGWILVAGTFILCGTFLLLHNVAGDTCVAMNHWVQNPTAHTALDDILPCVDSATAQDTLLRSKEITLQYVDLINSVITNVSNINFSPNFPSMYFNQSGPLVPILCNPFYHDFTDRPCSPGEVNLNNATQAWQSYVCQVSSTGICITTGRLTPTIYDQMNAAVNLCNGLENYGPFLIDLEDCTFVRETFSEIYRNHCPKLQRYSRWIYVGLVMVSTAVMLSIIFWVIYGRERRHRLYTKQLQAESTQGHEEDKQSQS >Manes.16G125400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32694485:32699812:-1 gene:Manes.16G125400.v8.1 transcript:Manes.16G125400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCYRLLSLPLLLTFLFFSSSTFLPFSHGATHFYSFHALPPAGRENEAKYELQFSWGTRRSFVEAPLSEPVESSTLVLAPRRTYRKDPLDGFKRYTGGWNISSRHYWASVGFTAIPLFAIASVWFLGFGLCLLLLCICYFCCGKKSYGYSRIAYALSLIFLILFSLGAIIGCVILYTGQGSFHRSTTETLEYVMNQADTTVQKLKDVSNFLASAKLTAVDKVFLPSNVQTDIDQIEIRINSSTSILSDRTVENSGDIRDLLDSVRLALIVVAAIMLLLTFLGFLFSIFGIQLLVYILVIVGWILVAGTFILCGTFLLLHNVAGDTCVAMNHWVQNPTAHTALDDILPCVDSATAQDTLLRSKEITLQYVDLINSVITNVSNINFSPNFPSMYFNQSGPLVPILCNPFYHDFTDRPCSPGEVNLNNATQAWQSYVCQVSSTGICITTGRLTPTIYDQMNAAVNLCNGLENYGPFLIDLEDCTFVRETFSEIYRNHCPKLQRYSRWIYVGLVMVSTAVMLSIIFWVIYGRERRHRLYTKQLQAESTQGHEEDKQSQS >Manes.16G125400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32694479:32699812:-1 gene:Manes.16G125400.v8.1 transcript:Manes.16G125400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCYRLLSLPLLLTFLFFSSSTFLPFSHGATHFYSFHALPPAGRENEAKYELQFSWGTRRSFVEAPLSEPVESSTLVLAPRRTYRKDPLDGFKRYTGGWNISSRHYWASVGFTAIPLFAIASVWFLGFGLCLLLLCICYFCCGKKSYGYSRIAYALSLIFLILFSLGAIIGCVILYTGQGSFHRSTTETLEYVMNQADTTVQKLKDVSNFLASAKLTAVDKVFLPSNVQTDIDQIEIRINSSTSILSDRTVENSGDIRDLLDSVRLALIVVAAIMLLLTFLGFLFSIFGIQLLVYILVIVGWILVAGTFILCGTFLLLHNVAGDTCVAMNHWVQNPTAHTALDDILPCVDSATAQDTLLRSKEITLQYVDLINSVITNVSNINFSPNFPSMYFNQSGPLVPILCNPFYHDFTDRPCSPGEVNLNNATQAWQSYVCQVSSTGICITTGRLTPTIYDQMNAAVNLCNGLENYGPFLIDLEDCTFVRETFSEIYRNHCPKLQRYSRWIYVGLVMVSTAVMLSIIFWVIYGRERRHRLYTKQLQAESTQGHEEDKQSQS >Manes.16G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32694485:32699812:-1 gene:Manes.16G125400.v8.1 transcript:Manes.16G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTESQENSRKLWETGKLHWFDFQYEEKRSIQQWFVSSGSALFFSGAYPVVFHFAADFHFSRPHGLRCRENEAKYELQFSWGTRRSFVEAPLSEPVESSTLVLAPRRTYRKDPLDGFKRYTGGWNISSRHYWASVGFTAIPLFAIASVWFLGFGLCLLLLCICYFCCGKKSYGYSRIAYALSLIFLILFSLGAIIGCVILYTGQGSFHRSTTETLEYVMNQADTTVQKLKDVSNFLASAKLTAVDKVFLPSNVQTDIDQIEIRINSSTSILSDRTVENSGDIRDLLDSVRLALIVVAAIMLLLTFLGFLFSIFGIQLLVYILVIVGWILVAGTFILCGTFLLLHNVAGDTCVAMNHWVQNPTAHTALDDILPCVDSATAQDTLLRSKEITLQYVDLINSVITNVSNINFSPNFPSMYFNQSGPLVPILCNPFYHDFTDRPCSPGEVNLNNATQAWQSYVCQVSSTGICITTGRLTPTIYDQMNAAVNLCNGLENYGPFLIDLEDCTFVRETFSEIYRNHCPKLQRYSRWIYVGLVMVSTAVMLSIIFWVIYGRERRHRLYTKQLQAESTQGHEEDKQSQS >Manes.09G181100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36979559:36982254:-1 gene:Manes.09G181100.v8.1 transcript:Manes.09G181100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRFLGFSDGELMRSDAKPCSRLMRQTAGIFTVGGALGFWVLCRLHYGPRITVPRSLRWATCGAISVSSTTALLVRLFSPECEPQNIAAYDKIN >Manes.06G080400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21598092:21602252:-1 gene:Manes.06G080400.v8.1 transcript:Manes.06G080400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIGRSERKSGESGERREREKEMGIVKGVEKVKSISRSKMKMWMIRATTSVLLWTCVVQLTTIGEMWGPRVLKGWPSCFSHEPAAALEEKVLAVPARVLPPKRAYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVEHFITSLRAEVRILKELPPRLKRRMELGMTYTMAPVSWSDISYYHNQILPLIQKYKVLHLNRTDARLANNHQPLEIQKLRCRVNFSALRFTSQIEELGKRVINLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALILRALDIDPNIQIYIAAGEIYGGARRMASLAAAYPKLVRKETLLESSDLRFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRR >Manes.06G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21598092:21602252:-1 gene:Manes.06G080400.v8.1 transcript:Manes.06G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIGRSERKSGESGERREREKEMGIVKGVEKVKSISRSKMKMWMIRATTSVLLWTCVVQLTTIGEMWGPRVLKGWPSCFSHEPAAALEEKVLAVPARVLPPKRAYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVEHFITSLRAEVRILKELPPRLKRRMELGMTYTMAPVSWSDISYYHNQILPLIQKYKVLHLNRTDARLANNHQPLEIQKLRCRVNFSALRFTSQIEELGKRVINLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALILRALDIDPNIQIYIAAGEIYGGARRMASLAAAYPKLVRKETLLESSDLRFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGYKKTILLDRRLLVDLIDRLNNGSLSWDEFSSAVKESHANRMGNPVKRLVIADRPKEEDYFYSNPEECLQPSENPLDPSSSMRQLFR >Manes.11G153401.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32044471:32045172:-1 gene:Manes.11G153401.v8.1 transcript:Manes.11G153401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGKRGKKKVLGTVLRSSKRVIKETVKIAVFEGDTQESTQEDQNGDTEELPENEPLVVRTIPVEERVEEEEEAQTIEVSVKKPKEEKRKQEKIETHEEKQEPAKTTKTKKRTQEEKGQEKKRRRRRRRGVEEGGEGYKRYVFRVLKQVHPELRISSMAMSVINSLMKDMFERIADEAAKLSQHSHKMTLSSREIQGAVKLVLPGELGRHAIAEGSKAVTNYMSYEAKGSKA >Manes.04G135200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33571090:33576541:-1 gene:Manes.04G135200.v8.1 transcript:Manes.04G135200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGRGRGRGRFGGGGGFGYIKQEPFVEFPEIELPDRKAVKEERALVVGNAKLQNFWKSSAYYLEETVSKNSQSVDIERFSDWGKSKSTSKRDKLNNFLQLTSVHFPAELVQGVKTEQRNPKKVRWNPDSDLKKFDLFEKLEQKYQGREEKDEKEKKEGEDEEEDEDEEVDEAEEEFSDDDYLQNVDFDDDEDDYNNDDGNDDEPVY >Manes.04G135200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33571272:33576541:-1 gene:Manes.04G135200.v8.1 transcript:Manes.04G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGRGRGRGRFGGGGGFGYIKQEPFVEFPEIELPDRKAVKEERALVVGNAKLQNFWKSSAYYLEETVSKNSQSVDIERFSDWGKSKSTSKRDKLNNFLQLTSVHFPAELVQGVKTEQRNPKKVRWNPDSDLKKFDLFEKLEQKYQGREEKDEKEKKEGEDEEEDEDEEVDEAEEEFSDDDYLQNVDFDDDEDDYNNDDGNDDEPVY >Manes.06G161800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28917191:28919864:-1 gene:Manes.06G161800.v8.1 transcript:Manes.06G161800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSRSSKSSSGKNKCSDALETIGKDPSELEKLQKEHEEKTRKIQELKSQIEAVKLRLEKRKKEVPQEKMESFNNLTEKYNSMRAQYYALLAEKIEGLE >Manes.06G161800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28917192:28919863:-1 gene:Manes.06G161800.v8.1 transcript:Manes.06G161800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSRSSKSSSGKNKCSDALETIGKDPSELEKLQKEHEEKTRKIQELKSQIEAVKLRLEKRKKEVPQEKMESFNNLTEKYNSMRAQYYALLAEKIEGLE >Manes.06G161800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28917191:28919864:-1 gene:Manes.06G161800.v8.1 transcript:Manes.06G161800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSRSSKSSSGKNKCSAVLETLGKNKCSDALETIGKDPSELEKLQKEHEEKTRKIQELKSQIEAVKLRLEKRKKEVPQEKMESFNNLTEKYNSMRAQYYALLAEKIEGLE >Manes.11G081200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15253004:15263402:1 gene:Manes.11G081200.v8.1 transcript:Manes.11G081200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAELAENTTLVVDQPASQPSNPNVTHRTPEELVAKAIAPVKREFLSPPPSRTNQNDTDVSDANAKASQSSVVAKEKKSKRQLKRERRQKSALHLCPEVAKTEDVSSCAYGDKCRFSHDIEALKAEKPADLEGKCPFSTGDGPCPYGLACRFYGTHEDGVKGNSTNVLGKNSEINGLNKDVQKLLWKNKMKFPKADAKLKSLGLMGPGNSKFKRQDDGEVDQKSANDSHVTNENGCGDVASNLADKLECSLEVPTEENANGIITTDDIRPLKRVKSITEEKQCSGEEANGFSILEKDLENSCPGAKLEITSDGALTETDGSLKTHPREKKLIDFRGKLYLAPLTTVGNLPFRRVCKVLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQVCGAYPDTVARTVELIDQECMVDFIDINMGCPIDIVVNRGAGSSLLTKPMRMKSVINAASGTVDKPITVKVRTGYFEGKNRIDSLIEDIGNWGANAVTIHGRSRQQRYSKLADWDYIYQCARKAPESLQVLGNGDIFSYTDWNKRKSDCPELSSCMIARGALIKPWIFTEIKGQRHWDISSGERLDILKDYVRFGLEHWGSDTKGVETTRHFLLEWLSYTCRYIPVGLLDILPQQINWRPPSYYGRDDLETLMASDSAADWIRISEILLGKVPDGFTFAPKHKSNAYDRAENG >Manes.03G127850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25168487:25169692:-1 gene:Manes.03G127850.v8.1 transcript:Manes.03G127850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLRKPGSSSIERTCFHGGDDHEDDHSCDEESGWTMYFEDFFAQRNNNKSDINGENSCFSYDIETSSLVSDAATLVMKKKNNYFYNNNNNNGEAADAAVGLSINSRLSFKKRKNKGALVADDALEDTASSPVNSPKIYDLIMMNQLNKNTKQREKMGVISSSPSLQEKEGRKAMTEQREERREDLGLIGRESGITELKKRGLCLVPLSMVVNYFG >Manes.07G055500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6976326:6983252:-1 gene:Manes.07G055500.v8.1 transcript:Manes.07G055500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLVATVESIGTFSASSRLGGEIRPRLPKSSRSRQPKSEPDIAAKEKRKNLFIRYVKAKENRSALHISPDTLPPAIGFQGVGTLIDAVFGMGFGCTASVEQTGLTGLTKVGSLRVVFVSAIFMLLLSTTGKVSAILASVPLPIAASLYIILSPYLLSSGLKDLHYLDLDRSKLNFILGFSLFVGISTSKYYSSDIFFNQGLPHSRSSWFKDIIQVIFSSAPTTATIVAFIFNLIMPIKSSKKEPKKKELKPKEEEMELRPLWEDWKIYIASRRSWKSTLGIPSHPSH >Manes.14G118500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11377672:11379885:-1 gene:Manes.14G118500.v8.1 transcript:Manes.14G118500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAEAPVSSTGSPAKYVQEMSRDGDEPPPRYIVKQIPNSSPPSAPVPVIDLSQLSSPEELGKLRSALSSWGCFQAIGHGISSSFLDKIRHVTKQFFALPTDEKLKYARETYDMEGYGNDPVLSDKQVYDWCDRLFLNLIPQDSRKLHLWPANPSEFREVLDEYSVNMKLIVELLLKAMARSLNLEEDCFLKQYEDKELMAARFNYYPACPKPDSVLGAKAHSDGSAITILLQDQEVEGLQMFKDDQWFRVPILPHAFVVNAGDQIQIMSNGIFKSPLHRVSTSSEKDRISLAVFHLPNPEVEIEPVKGLIDEKWPQQYRKLKNYSAINFECFQNGKIALETVRI >Manes.14G118500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11377420:11379885:-1 gene:Manes.14G118500.v8.1 transcript:Manes.14G118500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGDEPPPRYIVKQIPNSSPPSAPVPVIDLSQLSSPEELGKLRSALSSWGCFQAIGHGISSSFLDKIRHVTKQFFALPTDEKLKYARETYDMEGYGNDPVLSDKQVYDWCDRLFLNLIPQDSRKLHLWPANPSEFREVLDEYSVNMKLIVELLLKAMARSLNLEEDCFLKQYEDKELMAARFNYYPACPKPDSVLGAKAHSDGSAITILLQDQEVEGLQMFKDDQWFRVPILPHAFVVNAGDQIQIMSNGIFKSPLHRVSTSSEKDRISLAVFHLPNPEVEIEPVKGLIDEKWPQQYRKLKNYSAINFECFQNGKIALETVRI >Manes.14G118500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11377130:11379887:-1 gene:Manes.14G118500.v8.1 transcript:Manes.14G118500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAAEAPVSSTGSPAKYVQEMSRDGDEPPPRYIVKQIPNSSPPSAPVPVIDLSQLSSPEELGKLRSALSSWGCFQAIGHGISSSFLDKIRHVTKQFFALPTDEKLKYARETYDMEGYGNDPVLSDKQVYDWCDRLFLNLIPQDSRKLHLWPANPSEFREVLDEYSVNMKLIVELLLKAMARSLNLEEDCFLKQYEDKELMAARFNYYPACPKPDSVLGAKAHSDGSAITILLQDQEVEGLQMFKDDQWFRVPILPHAFVVNAGDQIQIMSNGIFKSPLHRVSTSSEKDRISLAVFHLPNPEVEIEPVKGLIDEKWPQQYRKLKNYSAINFECFQNGKIALETVRI >Manes.14G118500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11377708:11379885:-1 gene:Manes.14G118500.v8.1 transcript:Manes.14G118500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGDEPPPRYIVKQIPNSSPPSAPVPVIDLSQLSSPEELGKLRSALSSWGCFQAIGHGISSSFLDKIRHVTKQFFALPTDEKLKYARETYDMEGYGNDPVLSDKQVYDWCDRLFLNLIPQDSRKLHLWPANPSEFREVLDEYSVNMKLIVELLLKAMARSLNLEEDCFLKQYEDKELMAARFNYYPACPKPDSVLGAKAHSDGSAITILLQDQEVEGLQMFKDDQWFRVPILPHAFVVNAGDQIQIMSNGIFKSPLHRVSTSSEKDRISLAVFHLPNPEVEIEPVKGLIDEKWPQQYRKLKNYSAINFECFQNGKIALETVRI >Manes.07G057000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6482686:6486608:1 gene:Manes.07G057000.v8.1 transcript:Manes.07G057000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLMNCFRNTEADRSTYNNERFGLREYSYAKLADATDKFSNANLLGEGGFGQVYKGSLDGKVVAIKKLKQLPDEQSKEGLEQEIKVVSHVSHKNLVKLVGYCIEGANRLLVLEYVSQKSLTFHLHAGNKNLEWKDRMKIAIGSAKGLEYLHELCKPKIIHRDIKADNILIDDNFEPKVADFGLALFFPETSSLTHISISNKGTEVYADPENYFQRVSEKSDVYSYGVVLLELITGRKTKVESTDIVTWTKSRIEHALYNKEYANFIDSKLQANYVETELKIMIACAAACLYKPSYFRPQMRQIVRALEGYMPIKDIWDEKKDYKFINNLDSSKSNGIDKFDEFQQMVPKPIVNSEANNGVEQREFTLGELKMATNGSSNTTKGAKSFKLPHLKDKHLFSPSTSATPLKLDIPGRTHKPSVPFTKSSQLLSLRAYQVTSENSEETLSGESIIQDEHALMRDLQIAIEVENYAQATKLRDSLRILQENNKASVLAANNQFYDAFKNGNLASMQALWAKGDNVCCVHPGAKWVIGYDEVIKSWEFEWMYYDFPLEIELKNVGVHFKGDIGYVTCLEVVRTKGGGWGSHFATNVFERIDGQWFICIHHAS >Manes.07G057000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6482652:6486822:1 gene:Manes.07G057000.v8.1 transcript:Manes.07G057000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLMNCFRNTEADRSTYNNERFGLREYSYAKLADATDKFSNANLLGEGGFGQVYKGSLDGKVVAIKKLKQLPDEQSKEGLEQEIKVVSHVSHKNLVKLVGYCIEGANRLLVLEYVSQKSLTFHLHGNKNLEWKDRMKIAIGSAKGLEYLHELCKPKIIHRDIKADNILIDDNFEPKVADFGLALFFPETSSLTHISISNKGTEVYADPENYFQRVSEKSDVYSYGVVLLELITGRKTKVESTDIVTWTKSRIEHALYNKEYANFIDSKLQANYVETELKIMIACAAACLYKPSYFRPQMRQIVRALEGYMPIKDIWDEKKDYKFINNLDSSKSNGIDKFDEFQQMVPKPIVNSEANNGVEQREFTLGELKMATNGSSNTTKGAKSFKLPHLKDKHLFSPSTSATPLKLDIPGRTHKPSVPFTKSSQLLSLRAYQVTSENSEETLSGESIIQDEHALMRDLQIAIEVENYAQATKLRDSLRILQENNKASVLAANNQFYDAFKNGNLASMQALWAKGDNVCCVHPGAKWVIGYDEVIKSWEFEWMYYDFPLEIELKNVGVHFKGDIGYVTCLEVVRTKGGGWGSHFATNVFERIDGQWFICIHHAS >Manes.03G140700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26873364:26874077:-1 gene:Manes.03G140700.v8.1 transcript:Manes.03G140700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTVESPISSSKCFIKLSLLCVAAFVPSLIFICFLTYGFSPFLISISILLVSTTLILTFSKIKMITLGNPTRDDEVSMCSPKNLLVKEGEQMLNPEVEAVTQCNGAQQNEVTDNHGYQVEPTDFTSASESGDDFSESENFRLNWLSFNNMGKNVAISESSFSSDNNEDEDNLIEISFPDNISVELNEELEEKLQTEFLPESIFRQDGLMELLADINEVNEEDNLIEIDLFMGSIKG >Manes.03G081216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15877766:15880305:1 gene:Manes.03G081216.v8.1 transcript:Manes.03G081216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPILKFHSFELLQFIFHSIAPLFFKSYWISYKQYL >Manes.11G127000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28985523:28992197:1 gene:Manes.11G127000.v8.1 transcript:Manes.11G127000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFSLKEKGKGYWTSPRAQADGMAPSDGGAKNSISEDIFNSFSEFMNFDTYAGWCTSPSATDQMFASYGSSSFQSTPYSTFDALSFAEQSCLTSLVGGNALNAAGTSYSSGDKMAVQQVNVYASDLMDADDLCAKERTGAQRQIEEMANCMISRPVGFSLDEKMLRALSLLRESADGGILAQVWVPMRRGDQYILTTFEQPYLLDQSLAGYREVSRTYTFSAEVTPDLPLGLPGRVFISRVPEWTSSVVYYSIAEYLRGQHARNHKVQGSIALPIFEPPDNVCCAVLELVTVKEKPNFDSEMENVRLALQAVNLRSTAPPQLLPQSLSRNQRAALAEITDVLRAVCHAHSLPLALTWIPCHYMEEAFDEVMKVRVREGNSRSSGKFVLCIERTACYVNDREMQGFVHACSEHYIEEGQGIAGKALQSNHPFFFSDVKAYDITKYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILEFFLPVNMKGSSEQQLLLNNLSGTMQRICKSLRTVSDAELKGGEGSAVDFQKGAISSFPPLSVSITSSQTTSSEAVLNLTDKVPLDASSSKYDAMKSDGPHEQSFNASRRQPEKKRSTAEKNVSLGVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPTTGGFVAAGSIVQEFDSQRIFLSPDKNLPARNSQSATEEAVSVPSVPCIDGSNSAVKVEEDEFCMDTCGEVLMKSSIPVIDCSEDSKSIATDAEICQKGRLGCGPWAAMDNASAFAKGTKGSLNSGSAKVDNSDTHFVSRSSCSLGAAEVLDTKEEGDDVMVEHNQPTCSSMTESSNGSGSMIHGSASSSPSFEEKHLKVKCDDGGSKISVKATYREDTVRFKFEPSAGCFQLYEEVAKRFKLQNGTFQLKYLDDEEEWVMLVTDSDLQECIEILDYVGKRSVKFLVRDSVFTMGSSGSSNCFLGGSS >Manes.11G127000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28985523:28992197:1 gene:Manes.11G127000.v8.1 transcript:Manes.11G127000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFSLKEKGKGYWTSPRAQADGMAPSDGGAKNSISEDIFNSFSEFMNFDTYAGWCTSPSATDQMFASYGSSSFQSTPYSTFDALSFAEQSCLTSLVGGNALNAAGTSYSSGDKMAVQQVNVYASDLMDADDLCAKERTGAQRQIEEMANCMISRPVGFSLDEKMLRALSLLRESADGGILAQVWVPMRRGDQYILTTFEQPYLLDQSLAGYREVSRTYTFSAEVTPDLPLGLPGRVFISRVPEWTSSVVYYSIAEYLRGQHARNHKVQGSIALPIFEPPDNVCCAVLELVTVKEKPNFDSEMENVRLALQAVNLRSTAPPQLLPQSLSRNQRAALAEITDVLRAVCHAHSLPLALTWIPCHYMEEAFDEVMKVRVREGNSRSSGKFVLCIERTACYVNDREMQGFVHACSEHYIEEGQGIAGKALQSNHPFFFSDVKAYDITKYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILEFFLPVNMKGSSEQQLLLNNLSGTMQRICKSLRTVSDAELKGGEGSAVDFQKGAISSFPPLSVSITSSQTTSSEAVLNLTDKVPLDASSSKYDAMKSDGPHEQSFNASRRQPEKKRSTAEKNVSLGVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPTTGGFVAAGSIVQEFDSQRIFLSPDKNLPARNSQSATEEAVSVPSVPCIDGSNSAVKVEEDEFCMDTCGEVLMKSSIPVIDCSEDSKSIATDAEICQKGRLGCGPWAAMDNASAFAKGTKGSLNSGSAKVDNSDTHFVSRSSCSLGAAEVLDTKEEGDDVMVEHNQPTCSSMTESSNGSGSMIHGSASSSPSFEEKHLKVKCDDGGSKISVKATYREDTVRFKFEPSAGCFQLYEEVAKRFKLQNGTFQLKYLDDEEEWVMLVTDSDLQECIEILDYVGKRSVKFLVRDSVFTMGSSGSSNCFLGGSS >Manes.11G127000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28985543:28992045:1 gene:Manes.11G127000.v8.1 transcript:Manes.11G127000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFSLKEKGKGYWTSPRAQADGMAPSDGGAKNSISEDIFNSFSEFMNFDTYAGWCTSPSATDQMFASYGSSSFQSTPYSTFDALSFAEQSCLTSLVGGNALNAAGTSYSSGDKMAVQQVNVYASDLMDADDLCAKERTGAQRQIEEMANCMISRPVGFSLDEKMLRALSLLRESADGGILAQVWVPMRRGDQYILTTFEQPYLLDQSLAGYREVSRTYTFSAEVTPDLPLGLPGRVFISRVPEWTSSVVYYSIAEYLRGQHARNHKVQGSIALPIFEPPDNVCCAVLELVTVKEKPNFDSEMENVRLALQAVNLRSTAPPQLLPQSLSRNQRAALAEITDVLRAVCHAHSLPLALTWIPCHYMEEAFDEVMKVRVREGNSRSSGKFVLCIERTACYVNDREMQGFVHACSEHYIEEGQGIAGKALQSNHPFFFSDVKAYDITKYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILEFFLPVNMKGSSEQQLLLNNLSGTMQRICKSLRTVSDAELKGGEGSAVDFQKGAISSFPPLSVSITSSQTTSSEAVLNLTDKVPLDASSSKYDAMKSDGPHEQSFNASRRQPEKKRSTAEKNVSLGVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPTTGGFVAAGSIVQEFDSQRIFLSPDKNLPARNSQSATEEAVSVPSVPCIDGSNSAVKVEEDEFCMDTCGEVLMKSSIPVIDCSEDSKSIATDAEICQKGRLGCGPWAAMDNASAFAKGTKGSLNSGSAKVDNSDTHFVSRSSCSLGAAEVLDTKEEGDDVMVEHNQPTCSSMTESSNGSGSMIHGSASSSPSFEEKHLKVKCDDGGSKISVKATYREDTVRFKFEPSAGCFQLYEEVAKRFKLQNGTFQLKYLDDEEEWVMLVTDSDLQECIEILDYVGKRSVKFLVRDSVFTMGSSGSSNCFLGGSS >Manes.11G127000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28985523:28992197:1 gene:Manes.11G127000.v8.1 transcript:Manes.11G127000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFSLKEKGKGYWTSPRAQADGMAPSDGGAKNSISEDIFNSFSEFMNFDTYAGWCTSPSATDQMFASYGSSSFQSTPYSTFDALSFAEQSCLTSLVGGNALNAAGTSYSSGDKMAVQQVNVYASDLMDADDLCAKERTGAQRQIEEMANCMISRPVGFSLDEKMLRALSLLRESADGGILAQVWVPMRRGDQYILTTFEQPYLLDQSLAGYREVSRTYTFSAEVTPDLPLGLPGRVFISRVPEWTSSVVYYSIAEYLRGQHARNHKVQGSIALPIFEPPDNVCCAVLELVTVKEKPNFDSEMENVRLALQAVNLRSTAPPQLLPQSLSRNQRAALAEITDVLRAVCHAHSLPLALTWIPCHYMEEAFDEVMKVRVREGNSRSSGKFVLCIERTACYVNDREMQGFVHACSEHYIEEGQGIAGKALQSNHPFFFSDVKAYDITKYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILEFFLPVNMKGSSEQQLLLNNLSGTMQRICKSLRTVSDAELKGGEGSAVDFQKGAISSFPPLSVSITSSQTTSSEAVLNLTDKVPLDASSSKYDAMKSDGPHEQSFNASRRQPEKKRSTAEKNVSLGVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPTTGGFVAAGSIVQEFDSQRIFLSPDKNLPARNSQSATEEAVSVPSVPCIDGSNSAVKVEEDEFCMDTCGEVLMKSSIPVIDCSEDSKSIATDAEICQKGRLGCGPWAAMDNASAFAKGTKGSLNSGSAKVDNSDTHFVSRSSCSLGAAEVLDTKEEGDDVMVEHNQPTCSSMTESSNGSGSMIHGSASSSPSFEEKHLKVKCDDGGSKISVKATYREDTVRFKFEPSAGCFQLYEEVAKRFKLQNGTFQLKYLDDEEEWVMLVTDSDLQECIEILDYVGKRSVKFLVRDSVFTMGSSGSSNCFLGGSS >Manes.11G127000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28985523:28992197:1 gene:Manes.11G127000.v8.1 transcript:Manes.11G127000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFSLKEKGKGYWTSPRAQADGMAPSDGGAKNSISEDIFNSFSEFMNFDTYAGWCTSPSATDQMFASYGSSSFQSTPYSTFDALSFAEQSCLTSLVGGNALNAAGTSYSSGDKMAVQQVNVYASDLMDADDLCAKERTGAQRQIEEMANCMISRPVGFSLDEKMLRALSLLRESADGGILAQVWVPMRRGDQYILTTFEQPYLLDQSLAGYREVSRTYTFSAEVTPDLPLGLPGRVFISRVPEWTSSVVYYSIAEYLRGQHARNHKVQGSIALPIFEPPDNVCCAVLELVTVKEKPNFDSEMENVRLALQAVNLRSTAPPQLLPQSLSRNQRAALAEITDVLRAVCHAHSLPLALTWIPCHYMEEAFDEVMKVRVREGNSRSSGKFVLCIERTACYVNDREMQGFVHACSEHYIEEGQGIAGKALQSNHPFFFSDVKAYDITKYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILEFFLPVNMKGSSEQQLLLNNLSGTMQRICKSLRTVSDAELKGGEGSAVDFQKGAISSFPPLSVSITSSQTTSSEAVLNLTDKVPLDASSSKYDAMKSDGPHEQSFNASRRQPEKKRSTAEKNVSLGVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPTTGGFVAAGSIVQEFDSQRIFLSPDKNLPARNSQSATEEAVSVPSVPCIDGSNSAVKVEEDEFCMDTCGEVLMKSSIPVIDCSEDSKSIATDAEICQKGRLGCGPWAAMDNASAFAKGTKGSLNSGSAKVDNSDTHFVSRSSCSLGAAEVLDTKEEGDDVMVEHNQPTCSSMTESSNGSGSMIHGSASSSPSFEEKHLKVKCDDGGSKISVKATYREDTVRFKFEPSAGCFQLYEEVAKRFKLQNGTFQLKYLDDEEEWVMLVTDSDLQECIEILDYVGKRSVKFLVRDSVFTMGSSGSSNCFLGGSS >Manes.01G251600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41009896:41022556:1 gene:Manes.01G251600.v8.1 transcript:Manes.01G251600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVPFHLAPAAPPPPPWLPMLPPNPTQSSAFWETKNVHDRLRDLQETVILAKAMQEELEVLMTLKDAKGFAEHGERGSIDPVASGLSKYLEDRKIDLEEQEPLSMDAANSLMSKLRAQLEPFRPLIDQASPWEEKSAAIRLSDKMLKCKRNKLWRKRKRKRVAEMRAKEHEQLIQADQEANEWMAREIAKDVAQLKVEKMKQIAKLKVKEEKKRLESELELVLIVEKLQELRSIRIQKLKKQGHFLPEEDDKFLERVRAAVEEEERQAMVAADTDAAKDAIATAEGSRKTTQTHGPKDSSADNVGTKESTGQVTDGKDSAGSGAVTDPSEERGTEGQSYSGAYDSVANLPLEFYHYYYGSNNDMGTLIEVRRTWDAYIRPGGSRIPGHWVQPPPPADDIWASYLVRLNDSGLF >Manes.13G139900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34759337:34762096:1 gene:Manes.13G139900.v8.1 transcript:Manes.13G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPSDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENISKKWIPELRHYAPTVPIVLVGTKLDLRDDKQYLIDHPGATPITTSQGEELKRMIGAAVYIECSSKTQQNVKMVFDAAIKVVLQPPKPKKRRRKQRPCLFL >Manes.16G003000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:462821:469460:1 gene:Manes.16G003000.v8.1 transcript:Manes.16G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSNSTSCMMAFGDNSNGLCPMMMMMPLMTSHHHHHHHHHPPPPPPPHPNADSSSNTLFLPLPPTNNQDQNRNSGSGSSTILDDHNHNNSNIGCYFMDNNDSNIPSVKAKIMAHPHYHRLLAAYINCQKVGAPPDVVARLEDACASAAAMGPTGTSCIGEDPALDQFMEAYCEMLTKYEQELSKPFKEAMLFLQRVECQFKALTVSSPNSACGEGNERNGSSEEEVDVNNFIDPQAEDQELKGQLLRRYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDATHSHYYMDNVLGNPFPMDISPTLL >Manes.15G041000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3179262:3180496:1 gene:Manes.15G041000.v8.1 transcript:Manes.15G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEVNQLELFGFWVSPYVLRVEWALKLKSLDYVYTEQDIFNKSSLLLQLNPVHKKVPVLVHDQKVIAESLVILEYIDETWKHKYPLLPQDPYERAQARFWAKFAEQKILEAAWNALCSLGDEKERAIQLTIEALQHIEGELKGKQFFGGERIGYLDIAAGWISYWLPVWEEVGSMKIVDTQQFPAISSWMHRFINHPVIKDNLPPRDKMTVYFNSRREVLTSQPHGWIRI >Manes.10G149400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31518693:31521955:-1 gene:Manes.10G149400.v8.1 transcript:Manes.10G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMAMATKHSLLFGILLLLISLLALTEPTMAVISRTDFPDDFIFGTSTSAYQIEGEANYKNRGPSVWDIFTHEYPERILDGSTGDTADDFYNTFKADIQRMKLIGLDAYRFSISWSRIIPSGRLWEGVNEQGIEFYDKIINETIANGLEPFVTIFHWDTPQTLEDKYGGFLSPNIVNDFRDYADFLFKKFGDRVKYWITLNGPWTLTEFGYDEGIHAPGHCSSWVNRQCRAGNSSTEPYIVAHNMLLSHAAAVQVYRENYQKIYKGKIGISLFSYWFEPISDQTTDQQAAKTALDFMFGLFMDPITYGQYPRSVQTLVGERLPNFTYNESQLLNGSYDFVGLQYYASYYAKANATVDPDYVRYKTDSQVTVTAYDDNGEPIGPQAYSPWLYVYPIGIRKLLNYIKNTYNDPTIYVTENGVDEFSNGTQTLQEQEDDQFRIHYLRNHTWFVLQSMRKDNVNVKGYFVWSFLDNFEWNMGYTSRFGLHYVDYENNMTRYPKASATWFCVFLKKFPVGPLCPVDPFP >Manes.09G166700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35953838:35956191:1 gene:Manes.09G166700.v8.1 transcript:Manes.09G166700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMASASTLRSFQRLFTFSLFFLCAAIALLALSFNTNSSLYYTTLLQAQANHGGSWFHLLSKMVDTQKLKIGLVNVDDDEIKSVYYDMYDHGSLAETVSVDFEPVSKDKKWEDFFPEWIDEDHKWGSPRCPEIPMPRLEDYLGLDVIVARVPCGGEGKNMKGIRDVWRLQVNLVVANLAVANGWPVQNQASEDMDRTVYVVFMGSCGPMQEIFRCDDLLTHVGDYWIYKPQLRKLKRKVLMPAGSCQIAPPFAETGQEIWRQTLPSPTSRLNATFQRAAYVTILHSSEAYVCGAIALAQSIIQTKSTKDLVLLHDSSITPKSLRGLRASGWKTKLIEPIRNPSAKKGSYNEWNYSKLRVWQLSDYDKVIFIDSDIIVLKNLDSFFLYPQISASANDKMLFNSGIMVIEPSKCRLKDLMSKTSKLASYNGGDQGFLNEAFTWWHRLPAKLNHLKVFVRKGNPNHEIPDDVYAVHFLGWKPWMCYRDYDCNWDIVARHNFASDSAHRRWWRVYDAMPKKLQKFCGLTRQMDERIRKWRRIAKDQGLPDGHWNINATDPRRHRLIS >Manes.16G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32268886:32272904:1 gene:Manes.16G119300.v8.1 transcript:Manes.16G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDLAAQQQHEAELAAAASQPLPDDDDDTFE >Manes.02G183501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14661396:14664533:-1 gene:Manes.02G183501.v8.1 transcript:Manes.02G183501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIESSTKSHHHVVCLVLSLIINLLFIYKWYAGSEGWHPDESNWTKKAATEAEAVAAVSCSGHGKAYLDGLILDHKQQPICECNSCYGGLDCSQLFSDCTVNADGGDPLFLEPFWVKHAASSALVVAGWHRMSYTYGDQSFTSQELERHIRKLHDIVGNAVTQGKYIVFGAGSTQLLSAAVHALSSYNASSLARVVASIPFYPVYKEQTDFFRSVDFRFQGDASLWKNNSETSTDHMIEFVTSPNNPDGQLNKAILQGQNVKAIYDHAYYWPHFTAIPAPADEDVMLFTLSKLTGHAGSRFGWAMLKDKAVYERMERYLKLNTMGGFSGNSIKSFEAPESSPSRPRRRRGYF >Manes.15G183000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25098611:25102857:-1 gene:Manes.15G183000.v8.1 transcript:Manes.15G183000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSETGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKSKKDGEEGEAALQRPLNDTITRQEWEIDPSKLIIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTENAHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDATPDKRPEMEEVVSMLEAIDTSKGGGMIPLDQPQGCLCFRRYRGP >Manes.15G183000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25098611:25102857:-1 gene:Manes.15G183000.v8.1 transcript:Manes.15G183000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSETGGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKSKKDGEEGEAALQRPLNDTITRQEWEIDPSKLIIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGSSELNIQTENAHIGMPSNVCCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPENIGSDV >Manes.12G116400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31813293:31816125:-1 gene:Manes.12G116400.v8.1 transcript:Manes.12G116400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNRLFTLLPPPSFHLPSTKPLFSTLFFNYSTLKSPKPNSIPHVSASKTAKPSTSDAARFARTVLFVPPGVDPDEVTEDMVLPGSNIVIGPYAGHSQIKEVEFVKSSSRARDCPRDDRPEFAILGRSNVGKSSLINSLVRKKEIALTSKKPGKTQFINHFLVNRSWYIVDLPGYGFAKAPDSARTDWSAFTKGYFLNRETLVAVLLLIDASVPPQKIDLDCANWLGRNNIPLTFVFTKCDKMKGGKGTRPDENIRKFQELIRQNYQEHPPWIMTSSVTGLGRDELLLHMSQLRNYWDQ >Manes.12G116400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31813812:31816146:-1 gene:Manes.12G116400.v8.1 transcript:Manes.12G116400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNRLFTLLPPPSFHLPSTKPLFSTLFFNYSTLKSPKPNSIPHVSASKTAKPSTSDAARFARTVLFVPPGVDPDEVTEDMVLPGSNIVIGPYAGHSQIKEVEFVKSSSRARDCPRDDRPEFAILGRSNVGKSSLINSLVRKKEIALTSKKPGKTQFINHFLVNRSWYIVDLPGYGFAKAPDSARTDWSAFTKGYFLNRETLVAVLLLIDASVPPQKIDLDCANWLGRNNIPLTFVFTKCDKMKGGKGTRPDENIRKFQELIRQNYQEHPPWIMTSSVTGLGRDELLLHMSQLRNYWDQ >Manes.12G116400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31813283:31816149:-1 gene:Manes.12G116400.v8.1 transcript:Manes.12G116400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNRLFTLLPPPSFHLPSTKPLFSTLFFNYSTLKSPKPNSIPHVSASKTAKPSTSDAARFARTVLFVPPGVDPDEVTEDMVLPGSNIVIGPYAGHSQIKEVEFVKSSSRARDCPRDDRPEFAILGRSNVGKSSLINSLVRKKEIALTSKKPGKTQFINHFLVNRSWYIVDLPGYGFAKAPDSARTDWSAFTKGYFLNRETLVAVLLLIDASVPPQKIDLDCANWLGRNNIPLTFVFTKCDKMKGGKGTRPDENIRKFQELIRQNYQEHPPWIMTSSVTGLGRDELLLHMSQLRNYWDQ >Manes.04G015400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1972451:1974775:-1 gene:Manes.04G015400.v8.1 transcript:Manes.04G015400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHYFSSLQKKSNSNNQTSEHDHDHNNEGEKKEVVQKKEGDDGGGKEGGKHPPTVILKIEMHCEGCVSKIIKLARGLDGVQSVKADTESSKLTVIGIIDPSQIREILHQKTKKKVELLSPQPKKEDSNAKNNKGDNKKSSDKKPDAENKKPKEAPVTSAVIKVAFHCLGCIEKIHRIVSKTKGVHEMTLDKQKETVTVKGTMDVKALTETLKDRLKRPVEIVPPKKEKDGGGGGGDKDGENTSGKKKNKGGGDGQDKAAAGGGGGGGAAAKVEGNKIEYMMQPGFGYGPGPGFGYVGQPQPQPQQPVHVYGSGYMGQAMQPMPVYGNGYMAQPQPVPMYEYGYGQVPGYPVHMKFNDENPNACSVM >Manes.04G015400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1972435:1974775:-1 gene:Manes.04G015400.v8.1 transcript:Manes.04G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKSNSNNQTSEHDHDHNNEGEKKEVVQKKEGDDGGGKEGGKHPPTVILKIEMHCEGCVSKIIKLARGLDGVQSVKADTESSKLTVIGIIDPSQIREILHQKTKKKVELLSPQPKKEDSNAKNNKGDNKKSSDKKPDAENKKPKEAPVTSAVIKVAFHCLGCIEKIHRIVSKTKGVHEMTLDKQKETVTVKGTMDVKALTETLKDRLKRPVEIVPPKKEKDGGGGGGDKDGENTSGKKKNKGGGDGQDKAAAGGGGGGGAAAKVEGNKIEYMMQPGFGYGPGPGFGYVGQPQPQPQQPVHVYGSGYMGQAMQPMPVYGNGYMAQPQPVPMYEYGYGQVPGYPVHMKFNDENPNACSVM >Manes.03G185800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30642662:30647060:1 gene:Manes.03G185800.v8.1 transcript:Manes.03G185800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQCLENPPTLNPDYGVGTVEELGGLNTYVTGPSDSKLAILLANDAFGYEAPNLRKLADKVAAAGFLVVVPDFLYGDPANPNNPKFDRDIWMKNHDTAKGCEDALKVIAALKNRGISAVGAAGFCWGGMVVVKLASYDCIQAAVILHPGTITADEIRAVKVPTAILGAEFDHIFPSEQLKQLGEILAAKSQFESYVKIFPGVAHGWTMRYNDEDDSAVKIAEEAHLDMLNWLVKHVK >Manes.02G170400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13485808:13488161:1 gene:Manes.02G170400.v8.1 transcript:Manes.02G170400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSCAGRGCCEISIPKGIRDFSVTVRSYNNHTRVMNFNPCSYAFVVEDGVYNFSTLDLVNLQNNRKGFPVVIDWVISNKTCKEAGQNITAYACKENSVCRDSENLHGHYCDCRSGFEGNPYLPNSCKDIDECEDPSLNQCIDAKHCRNEVGSYVCFCPKGYHGDGTKNGTRCTARDKTKAIIGACIAVSLAFVILASVSWGLQRRKINKLKEKNFRNNGGPVLQQLLSKIERSAEKAKIFTEDELKKATYNFNESEIVGQGGFGIVYKGTLDHKSVAIKKSKVMDHDQIEQFVNEVVVLCQIKHPNVVKLIGCCLETSVPLLVYEFINNKTLYYHIHNEVVESSMPWKTRLRIAVETADALAHMHSDAPIHIIHRDVKSENILLDDNFQAKVSDFGVSRLVPLDQTQLPTLVQGTFGYIDPEYFHSGLLNEKSDVYSFGVVLLELLIGQKVISSDRPEKDKNLAAFFIDRMKEDRLFEILHERVRNEGNSEQLKGVAELARRCLRMKGVKRPTMKEVKMELEELMMGNCVHVEVATNDIEETEPLLGLLTNSNGTSVWMGPDSVKFQAALQLESGR >Manes.14G042000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3710155:3711510:-1 gene:Manes.14G042000.v8.1 transcript:Manes.14G042000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCRGMHISKDHSSSSYLAAIFGLLFVGCVYVLIANPDRSNTFVAFRDYISQPSTADNGSSPLHIDALEAALAATSTANKTLIIAMVNKAYVEGDKPMLDMFLDSFWLGEDTRDLVNHLLLVTVDQTSFERCNFLRLHCYKLETDGVAFDGEEVYMSDDFIKMMWRRTLFLSDVLKRGYNFIFTDTDVLWLRNPFPRLSLNESTDLQISTDEFNGDEWSEGNPINTGFYMVRSNNKTISLFESWYARKNSSAGQKEQDVLDRMMHEGVFRKLELRVRFLDTLYFSGFCQDSRDIRAVSTVHANCCRTISAKIADLTVVIQSWKTLKSSPSPSANVTSTFGRLKHVACRESWKNL >Manes.09G065500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10816768:10824020:1 gene:Manes.09G065500.v8.1 transcript:Manes.09G065500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYNPQTQTYSSPRPPVHLPTNPDLSLTSFLFHSTLSSSSSAALIDADSGETLTFRQLRIRVSKLAHALLKLNIVKSDVVLILAPNSIHIPICFLAIVSTGAIASTCNPAYTAAEISKQVKDCNPKLIITVPQLLHKVKQFNLPLILLNSTNSDDLASNSKVWNYSDLVQLSGEVSDLPVNDVKQNNVAALFYSSGTTGTSKGVILTHRNFIATSLMVTADQDRYKEPQNVFLCFLPMFHIFGFSVVTYSQLRRGNTVVSMEKFELEKMLRSIEKYRVTHMFVVPPVMIALAKQNAVKQFDLSSLKVIGSGAAPLGKDVMEECAKNLPRVDFIQGYGMTETCGIISVENPKEGTRLSGSTGVLVPGVESQIVSVDTSKPLPPYEIGEICLRGANMMQGYFNNPQATKLTIDGQGWLHTGDLGYFNEGGELFVVDRIKELIKCNGFQVP >Manes.09G065500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10816767:10824020:1 gene:Manes.09G065500.v8.1 transcript:Manes.09G065500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYNPQTQTYSSPRPPVHLPTNPDLSLTSFLFHSTLSSSSSAALIDADSGETLTFRQLRIRVSKLAHALLKLNIVKSDVVLILAPNSIHIPICFLAIVSTGAIASTCNPAYTAAEISKQVKDCNPKLIITVPQLLHKVKQFNLPLILLNSTNSDDLASNSKVWNYSDLVQLSGEVSDLPVNDVKQNNVAALFYSSGTTGTSKGVILTHRNFIATSLMVTADQDRYKEPQNVFLCFLPMFHIFGFSVVTYSQLRRGNTVVSMEKFELEKMLRSIEKYRVTHMFVVPPVMIALAKQNAVKQFDLSSLKVIGSGAAPLGKDVMEECAKNLPRVDFIQGYGMTETCGIISVENPKEGTRLSGSTGVLVPGVESQIVSVDTSKPLPPYEIGEICLRGANMMQAKIILVLVP >Manes.09G065500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10816768:10824020:1 gene:Manes.09G065500.v8.1 transcript:Manes.09G065500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYNPQTQTYSSPRPPVHLPTNPDLSLTSFLFHSTLSSSSSAALIDADSGETLTFRQLRIRVSKLAHALLKLNIVKSDVVLILAPNSIHIPICFLAIVSTGAIASTCNPAYTAAEISKQVKDCNPKLIITVPQLLHKVKQFNLPLILLNSTNSDDLASNSKVWNYSDLVQLSGEVSDLPVNDVKQNNVAALFYSSGTTGTSKGVILTHRNFIATSLMVTADQDRYKEPQNVFLCFLPMFHIFGFSVVTYSQLRRGNTVVSMEKFELEKMLRSIEKYRVTHMFVVPPVMIALAKQNAVKQFDLSSLKVIGSGAAPLGKDVMEECAKNLPRVDFIQGYGMTETCGIISVENPKEGTRLSGSTGVLVPGVESQIVSVDTSKPLPPYEIGEICLRGANMMQGYFNNPQATKLTIDGQGWLHTGDLGYFNEGGELFVVDRIKELIKCNGFQVAPAELEGLLLSHPEILDAVVIPFPDSKAGEVPIAYVVRAPNSSLTEEDIQKFIADQVAPFKRLRRVTFINSVPKSASGKILRREMIDKVRSKI >Manes.04G150900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34696146:34701645:-1 gene:Manes.04G150900.v8.1 transcript:Manes.04G150900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVSRDTLNPKVLQCEYAVRGEIVTIAQRLQDELKAKPGSLPFDEILYCNIGNPQSLGQRPITFFREVLALCDHPAILDKKEIQGLFSADAIQRARQILDQIPGKATGAYSHSQGIKGLRDTIAAGIEARDGFPANPNDIFLTDGASPAVHMMMQLLISSEKDGLLCPIPQYPLYSASIALHGGSLVPYYLDEATGWGLEISELKKQLEDAKSKGITVRALVVINPGNPTGQVLAEENQRAIVEFCKQEGLVLLADEVYQQNVYVPEKKFHSFKKISRSMGYGEEDICLVSFQSVSKGYYGECGKRGGYMEVTGFSPEVREQIYKVASVNLCSNISGQILASLVMNPPKVGDGSYESYSAEKDGILSSLARRAKTLEQAFNSLEGVTCNKAEGAMYLFPCIRLPQKAIKAAEAAKKAPDAFYCQRLLNATGVVVVPGSGFRQVPGTWHFRCTILPQEDKIPAVVSRLTEFHKSFTNEFRD >Manes.12G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14055191:14055677:-1 gene:Manes.12G089100.v8.1 transcript:Manes.12G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVAFLLVILLVSQPAMAQKPRDFIDCLSVLVYFSSCIGYIDGRFGEPSWGCCMGVEELNRLATQNHETQKICQCIELVARLGDPPFLLANINALPIKCQTHLSFPISVKKDCSKVQ >Manes.14G150800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17088700:17089821:-1 gene:Manes.14G150800.v8.1 transcript:Manes.14G150800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNSNFEAQISTELLIARITEIHCRISKLDSLRPSKQVNTLFSHLVKLCIPPYSIDIRSLSPEVQEMRNNLIVLCGKAEGLLELEFATFLNKIPQPLNNLDLFPYYGNYVKLANLEYMILSENGMVQPKKVAFVGSGPMPLTSLVMATHHLKSTHFDNFDLDEMANDVARRMVASDGELEKRMKFESVI >Manes.10G099000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24747169:24761156:-1 gene:Manes.10G099000.v8.1 transcript:Manes.10G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKIQIKKIDNNTARQVTFSKRRRGLFKKAYELSTLCDAEIALIVFSATGKLFEYASSSMNQVIERHSLHPKNFGKLDRPALELQLEDGTFASLSKEIEERTHELRQMKGEELQGLGIEELQHLEKLLERGLKRVLETKGDAVANEINALKSKGAQLMEENERLKKHMMNVPVGRMQLFEAGQPSDSVVTNSSSSADPALDFKGSYTFLRLGLPFPD >Manes.10G099000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24747231:24761133:-1 gene:Manes.10G099000.v8.1 transcript:Manes.10G099000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKIQIKKIDNNTARQVTFSKRRRGLFKKAYELSTLCDAEIALIVFSATGKLFEYASSSMNQVIERHSLHPKNFGKLDRPALELQLEDGTFASLSKEIEERTHELRQMKGEELQGLGIEELQHLEKLLERGLKRVLETKGDAVANEINALKSKGAQLMEENERLKKHMMNVPVGRMQLFEAGQPSDSVVTNSSSSADPALDFKGSYTFLRLGLPFPD >Manes.10G099000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24747219:24761133:-1 gene:Manes.10G099000.v8.1 transcript:Manes.10G099000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKIQIKKIDNNTARQVTFSKRRRGLFKKAYELSTLCDAEIALIVFSATGKLFEYASSSMNQVIERHSLHPKNFGKLDRPALELQLEDGTFASLSKEIEERTHELRQMKGEELQGLGIEELQHLEKLLERGLKRVLETKGDAVANEINALKSKGAQLMEENERLKKHMMNVPVGRMQLFEAGQPSDSVVTNSSSSADPALDFKGSYTFLRLGLPFPD >Manes.10G099000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24747219:24761133:-1 gene:Manes.10G099000.v8.1 transcript:Manes.10G099000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQKIQIKKIDNNTARQVTFSKRRRGLFKKAYELSTLCDAEIALIVFSATGKLFEYASSSMNQVIERHSLHPKNFGKLDRPALELQLEDGTFASLSKEIEERTHELRQMKGEELQGLGIEELQHLEKLLERGLKRVLETKGDAVANEINALKSKGAQLMEENERLKKHMMNVPVGRMQLFEAGQPSDSVVTNSSSSADPALDFKGSYTFLRLGLPFPD >Manes.09G007700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1795415:1797282:-1 gene:Manes.09G007700.v8.1 transcript:Manes.09G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCAASKPNTLHTNTNTNTNTNRLEATHSLHSPAFTSSSSSSHHYSSPPVTRAFSLQTPLVHHPPSKKGDSHHLVSLTSTTYGSLLLLEPISSKFNGENPPNQLHSPPEFTKNSHKTQPPADPGESFSPDSVINTWELMDGLDDDLGFEMGDSLKPKSSFSDHAIQVTSKSNSFQHLGFDSSAKKMNDSFDSVKSEEIAVDNSFSLSKPLWKHLSEESFLSKMDPNVVSSYRRALSSRQLGYTKESNGARSVGSSPMNSSSTHKNGFLSENTEDKIVLYFTSLRGIRKTYEDCCAVRMIFKGFRVPVDEKDISMDSSYRKELQSELKGKAMNLPQVFIKGKHIGGVEEIRQLNETGELAKVLEGFPVRDPRFVCESCGDARFLPCPNCHGSRKLFDEAEERLRRCLDCNENGLIRCPGCC >Manes.11G161600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32703476:32706378:-1 gene:Manes.11G161600.v8.1 transcript:Manes.11G161600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILSRLGGARDVVIASATCQKWREACRKHLHTLSFNSHDWPVYHDLTTSRLEILITQTIFQTTGLQGLSILMDDVDEFSASAVIAWLMYTRETLRRLFYNVRTTPNVNILDICGRQKLEVLELSHNSITGVEPNFQRFPCLKSLSLSYVSISALDLSLLLSACPKIEILELINPEIAMSDAQVTIELSSPTLKSVYVEAISLDKFILEADSIECLHLKDCALELFELIGKRTLKHFKIDDVSVIHLDIGETIENLEVVDVSNFTIIWPKFYQLISKSSKLRRLRLWDVVFDDEDGIVDLETIALCFPHLSHLSLSYDLRDGVLHYSLQGSSNLVNVVVLELGWTVINDLFSHWVEGLLKRCPHLRKLIIHGVVSEAKSQEECQMLANFTSSIVQLMRKYINMCMKFYEHRRAYLGV >Manes.05G177200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29350461:29352062:-1 gene:Manes.05G177200.v8.1 transcript:Manes.05G177200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSYSTAAVLSRRESNHSHIYKAKSTALISAMQDEESSDCLIIDGEPDYINDLPDECLAFIFQSLSSGDRKRCSLVCHRWLRIEGQSRHRLSLNAQSDLLPMIPALFSRFDAVTKLALKCDRRSASIGDEALQAISFRCRNLTRFKLRSCRDVTDAGVAAFAKNCKGLKKLSCGSCTFGAKGMNAILDNCASLEELSVKRLRGITDGAAAEPIGPGLAASSLKTICLKELYNGQCFGPLIIGSKNLRTLKLFRCSGDWDKLLQVIADRVTCMMEIHLERLQVSDVGLSAISNCLDLEILHLVKTPECTNLGLVSVAERCKLLRKLHIDGWKANRIGDDGLIAVAKNCPNLQELVLIGVNPTKSSLEMLASNCQNLERLALCGSDTVGDAEISCIAAKCIALKKLCIKSCPVSNLGMEALASGCPNLIKVKVKKCRGVTGEIADWLRASRESLAVNLDSGETEQPDASASDGGAQENVVEFPPVPTQISVPAIASSSAGRSTSFKSRLGLLSGRSLVACTLRRWSNGNSSSRN >Manes.06G146100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27252750:27253001:-1 gene:Manes.06G146100.v8.1 transcript:Manes.06G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKKSQLFLIFLILLALVHVTSCRYTYQVPATEQESKTKYSDMFLRSLSAIHKAIKSSNSKTSSIHAVSRRLVPCGPNPLHN >Manes.16G084100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29026428:29029350:1 gene:Manes.16G084100.v8.1 transcript:Manes.16G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWEKAMRDDRYKHEGKNPSFSSSLLDEIYRSTCEGDTNHEDLKFYGETIMPKKHSKVSSIKSGRAIDEDKEVEALRRACLIEKWMDHKVSQKVSRQHSRKNLTEFERKSQLEHDIDQDVLFFSSTSSSSDSSSGLFSSSDTESFYGARSRVSSSAAPRPKPVRTSVSALSEKIEKTEKKGRSLFYEQRELHMFGDYHYSSATEQTPRVEESIIKSKSRALKIYNNLKKVKQPISPGGKLANFINSLFTTGNTKKSKNSSSVSNCDEDWKSKPAQTTSTCSSASSFSRSCLSKNSPSTREKLRNGVKRSVRFYPVSVIVDEDCRPCGHKSLYEEEESSSLMSVSLPTAWKIGKSPSRKVDDELKYQAKEKSKRVEEVAREFLKDYHQNQKKNDDLIMRKAGCKYNDHFEDDEEEDDDDDLSCSSSDLFELDHLSVIGKDRYCQELPVYETTHVDTNRAIANGLIM >Manes.07G046900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5318955:5323083:-1 gene:Manes.07G046900.v8.1 transcript:Manes.07G046900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYNSYPDSGHSSPRSREIEFENPTPWEDQSQQQPQDFKAKFMCSYGGKIHPRPHDNQLSYIGGETKILAVDRNIKFSVMISKLAALCNDTDISFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLFRASAKPARMRLFLFPVNPSPASFGSEGSKSERDRFIEALNSGPSHVPEANKPSPNNVDFLFGLEKGVPQPQPVKVPDLQEAQVGVGHDDRVLGSDQLNVQTQLQRMQIREQEQQTMYRKNSDENLVGGYPGEYYVQKLPDKLPPATLPVTVPQTMTAPAGYWPEKQFAAGGYQPAAVTVTTTPAPPEQQQVYMLPGPAPGTIYHTPVMRQVTGQPGQPYYMQRMGGPEVYREQPVYNMVPQPQQQPPPMSAAQGPMGVVRPNAAGVGVTDTGYAPVAYDSGVGRQMYYTAQGGVMQAPPQYQGVGGVAVSGEMRHVGGGGAPSQDGKGVVSKVSQSSV >Manes.07G046900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5319021:5323081:-1 gene:Manes.07G046900.v8.1 transcript:Manes.07G046900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYNSYPDSGHSSPRSREIEFENPTPWEDQSQQQPQDFKAKFMCSYGGKIHPRPHDNQLSYIGGETKILAVDRNIKFSVMISKLAALCNDTDISFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLFRASAKPARMRLFLFPVNPSPASFGSEGSKSERDRFIEALNSGPSHVPEANKPSPNNVDFLFGLEKGVPQPQPVKVPDLQEAQVGVGHDDRVLGSDQLNVQTQLQRMQIREQEQQTMYRKNSDENLVGGYPGEYYVQKLPDKLPPATLPVTVPQTMTAPAGYWPEKQFAAGGYQPAAVTVTTTPAPPEQQQVYMLPGPAPGTIYHTPVMRQVTGQPGQPYYMQRMGGPEVYREQPVYNMVPQPQQQPPPMSAAQGPMGVVRPNAAGVGVTDTGYAPVAYDSGVGRQMYYTAQGGVMQAPPQYQGVGGVAVSGEMRHVGGGGAPSQDGIRGDGQASLIPPNFF >Manes.07G046900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5319018:5323081:-1 gene:Manes.07G046900.v8.1 transcript:Manes.07G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYNSYPDSGHSSPRSREIEFENPTPWEDQSQQQPQDFKAKFMCSYGGKIHPRPHDNQLSYIGGETKILAVDRNIKFSVMISKLAALCNDTDISFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLFRASAKPARMRLFLFPVNPSPASFGSEGSKSERDRFIEALNSGPSHVPEANKPSPNNVDFLFGLEKGVPQPQPVKVPDLQEAQVGVGHDDRVLGSDQLNVQTQLQRMQIREQEQQTMYRKNSDENLVGGYPGEYYVQKLPDKLPPATLPVTVPQTMTAPAGYWPEKQFAAGGYQPAAVTVTTTPAPPEQQQVYMLPGPAPGTIYHTPVMRQVTGQPGQPYYMQRMGGPEVYREQPVYNMVPQPQQQPPPMSAAQGPMGVVRPNAAGVGVTDTGYAPVAYDSGVGRQMYYTAQGGVMQAPPQYQGVGGVAVSGEMRHVGGGGAPSQDGKGVVSKVSQSSV >Manes.10G062400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8745092:8755774:-1 gene:Manes.10G062400.v8.1 transcript:Manes.10G062400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKGLIYSFVAKGTVVLAEHTPYSGNFSTIAVQCLQKLPSNSSKYTYSCDGHTFNFLIDNEFVFLAVADELSGRSMPFVFLERVKDDFKQRYGASIKNETHPLADDDDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMSHPEEISKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGAILIVFVILWLLVCRGFKCQ >Manes.16G068800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26384701:26391473:1 gene:Manes.16G068800.v8.1 transcript:Manes.16G068800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSMKGHEAKMPRMEDILNLPVQDPPSAEFSATHIKWVKIEGGRQGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGIGDVSNIRPATGKGSRPGRRHMMRGAPCHGILDLDAVGTRAMYAPRISEELRQKVMFMLYVGISLDNIVQHHAEVVQGHGGPHNRDDFLTRNDVRNMERVVRNSSHKLHANDDCSVKMWVQRHQKYVFFHQDDSNADPFILGIQTDWQLQQMLRYGNGGSVASHSTFGLKKLKYPVCTLLVFDSSQNAIPVAWVITSSLVSQDIHKWFGSLAEKIQTKDPRWRPSAFLVDDPSLDISVIREAFHCRVLLCTWRVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNAVDAIEEFMQVYIDQSVFMDYFKRRWLPYIELWVNSVRSLPVAGTEPLAAIESYHIRLKSKLFDEQHANSWMRIDWLIHTLTTEFHSSYWLDQYSEESGYFANIRDKSFLTNAWHQALHISDIDVMLDVQNLLLAKVISQTDRSLAYTVWNPGTEFSLCDCPCSRLGNLCKHVIKVAILCKNRQVARPLLAVQVYRQALLTLLQNPPDDPLVLDHAILHVTHLQHDIKGLEDLSNNGLLQPVPSEVNRQLGENLLFPRFL >Manes.16G068800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26384819:26391422:1 gene:Manes.16G068800.v8.1 transcript:Manes.16G068800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSMKGHEAKMPRMEDILNLPVQDPPSAEFSATHIKWVKIEGGRQGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGIGDVSNIRPATGKGSRPGRRHMMRGAPCHGILDLDAVGTRAMYAPRISEELRQKVMFMLYVGISLDNIVQHHAEVVQGHGGPHNRDDFLTRNDVRNMERVVRNSSHKLHANDDCSVKMWVQRHQKYVFFHQDDSNADPFILGIQTDWQLQQMLRYGNGGSVASHSTFGLKKLKYPVCTLLVFDSSQNAIPVAWVITSSLVSQDIHKWFGSLAEKIQTKDPRWRPSAFLVDDPSLDISVIREAFHCRVLLCTWRVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNAVDAIEEFMQVYIDQSVFMDYFKRRWLPYIELWVNSVRSLPVAGTEPLAAIESYHIRLKSKLFDEQHANSWMRIDWLIHTLTTEFHSSYWLDQYSEESGYFANIRDKSFLTNAWHQALHISDIDVMLDVQNLLLAKVISQTDRSLAYTVWNPGTEFSLCDCPCSRLGNLCKHVIKVAILCKNRQVARPLLAVQVYRQALLTLLQNPPDDPLVLDHAILHVTHLQHDIKGLEDLSNNGLLQPVPSEVNRQLGENLLFPRFL >Manes.16G068800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26384701:26391473:1 gene:Manes.16G068800.v8.1 transcript:Manes.16G068800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSMKGHEAKMPRMEDILNLPVQDPPSAEFSATHIKWVKIEGGRQGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGIGDVSNIRPATGKGSRPGRRHMMRGAPCHGILDLDAVGTRAMYAPRISEELRQKVMFMLYVGISLDNIVQHHAEVVQGHGGPHNRDDFLTRNDVRNMERVVRNSSHKLHANDDCSVKMWVQRHQKYVFFHQDDSNADPFILGIQTDWQLQQMLRYGNGGSVASHSTFGLKKLKYPVCTLLVFDSSQNAIPVAWVITSSLVSQDIHKWFGSLAEKIQTKDPRWRPSAFLVDDPSLDISVIREAFHCRVLLCTWRVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNAVDAIEEFMQVYIDQSVFMDYFKRRWLPYIELWVNSVRSLPVAGTEPLAAIESYHIRLKSKLFDEQHANSWMRIDWLIHTLTTEFHSSYWLDQYSEESGYFANIRDKSFLTNAWHQALHISDIDVMLDVQNLLLAKVISQTDRSLAYTVWNPGTEFSLCDCPCSRLGNLCKHVIKVAILCKNRQVARPLLAVQVYRQALLTLLQNPPDDPLVLDHAILHVTHLQHDIKGLEDLSNNGLLQPVPSEVNRQLGENLLFPRFL >Manes.16G068800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26384819:26391422:1 gene:Manes.16G068800.v8.1 transcript:Manes.16G068800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSMKGHEAKMPRMEDILNLPVQDPPSAEFSATHIKWVKIEGGRQECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGIGDVSNIRPATGKGSRPGRRHMMRGAPCHGILDLDAVGTRAMYAPRISEELRQKVMFMLYVGISLDNIVQHHAEVVQGHGGPHNRDDFLTRNDVRNMERVVRNSSHKLHANDDCSVKMWVQRHQKYVFFHQDDSNADPFILGIQTDWQLQQMLRYGNGGSVASHSTFGLKKLKYPVCTLLVFDSSQNAIPVAWVITSSLVSQDIHKWFGSLAEKIQTKDPRWRPSAFLVDDPSLDISVIREAFHCRVLLCTWRVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNAVDAIEEFMQVYIDQSVFMDYFKRRWLPYIELWVNSVRSLPVAGTEPLAAIESYHIRLKSKLFDEQHANSWMRIDWLIHTLTTEFHSSYWLDQYSEESGYFANIRDKSFLTNAWHQALHISDIDVMLDVQNLLLAKVISQTDRSLAYTVWNPGTEFSLCDCPCSRLGNLCKHVIKVAILCKNRQVARPLLAVQVYRQALLTLLQNPPDDPLVLDHAILHVTHLQHDIKGLEDLSNNGLLQPVPSEVNRQLGENLLFPRFL >Manes.16G068800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26384819:26391422:1 gene:Manes.16G068800.v8.1 transcript:Manes.16G068800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSMKGHEAKMPRMEDILNLPVQDPPSAEFSATHIKWVKIEGGRQECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGIGDVSNIRPATGKGSRPGRRHMMRGAPCHGILDLDAVGTRAMYAPRISEELRQKVMFMLYVGISLDNIVQHHAEVVQGHGGPHNRDDFLTRNDVRNMERVVRNSSHKLHANDDCSVKMWVQRHQKYVFFHQDDSNADPFILGIQTDWQLQQMLRYGNGGSVASHSTFGLKKLKYPVCTLLVFDSSQNAIPVAWVITSSLVSQDIHKWFGSLAEKIQTKDPRWRPSAFLVDDPSLDISVIREAFHCRVLLCTWRVRRAWIRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNAVDAIEEFMQVYIDQSVFMDYFKRRWLPYIELWVNSVRSLPVAGTEPLAAIESYHIRLKSKLFDEQHANSWMRIDWLIHTLTTEFHSSYWLDQYSEESGYFANIRDKSFLTNAWHQALHISDIDVMLDVQNLLLAKVISQTDRSLAYTVWNPGTEFSLCDCPCSRLGNLCKHVIKVAILCKNRQVARPLLAVQVYRQALLTLLQNPPDDPLVLDHAILHVTHLQHDIKGLEDLSNNGLLQPVPSEVNRQLGENLLFPRFL >Manes.17G009400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4472752:4495499:-1 gene:Manes.17G009400.v8.1 transcript:Manes.17G009400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTKRRGGYQHHHRLVEKSTHEALPHQPQDQHQQSTAEFSSFSSTSSPQHAQGSKPHRNPKWVARKYAPTKAFMEISEVNTSKYPVDSVNAEVSSPKFETTSSNVEPISLSSEVGSSKFGEKANEELENEETDYLQSNEKSNEPENVVGDVVSRLEKLRLGVKEPELSEEQLRTNDQRQEDELLAMESIFGENALIVDKQGGLRSFQIHIDIEPPAELTVIAKLNSFGDLVMKRESSDEFSYSFKVQFLPPIVLTCLLPKSYPSHLPPYFTISVQWLDSIRIFNLCSVLDKLWMEQSGQEVIYQWVEWLQNSSLSYLGVDKEIMLGPYGMKHTADQRAISGSVSPDVDIPSMKSYNDEQYQENFRKNLHECIICYNEYTGSDFARLPCQHFFCWKCMKTYSDMHVAEGTVNKLQCPDAKCGGMVPPSLLKRLLGDEEYELWESLMLQKTLESMSDVAYCPRCETPCIEDEDQHAQCSKCFFNFCTLCRERRHVGIACLTPELKLRILEERQNSSQLKGEQRRKELEMINDLLSVKEILRDAKQCPSCKMAISRTEGCNKVVCNNCGQYFCYRCNSAIDGYDHFRDGSCELFPQDTIRQWEERMNARQIVAQVQAELFAKHGDSCPNCGQFNAKVGNNNHIFCWACQKHYCYLCKKIVRRSSQHYGPKGCKQHTVD >Manes.10G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2123967:2128343:-1 gene:Manes.10G021700.v8.1 transcript:Manes.10G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNCPFPRLLFVISLTFSVVIGCHGTPETERKTFIVYMGDRPKGDFSATAFHTSMLQEVVGSGASDLLLHSYHRSFNGFVAKLTEAEKQKLEGMEGVVSVFRSQKKKLHTTRSWDFIGFPLNVTRSTYESDIIVGMLDSGIWPEAESFNDKGFGPPPAKWKGVCQGSSNFTCNNKVVGARFYHSEGEVDPGDIASPRDSGGHGTHTASTAAGDVVSHASLLGIGSGTARGGVPCARIAVYKICWSDGCSDADILAAFDDAIADGVDVISLSVGGWPMDYFQDSIAIGAFHSMKNGILTSNSAGNSGPISESVLNFSPWALSVAASTIDRKFVSKVKLSNGAIYEGLSINTFDLGNTMYPVIYGGEAPNQTAGYDGISSRLCISGSLNKTLVEGKIVLCDAATIGTGAVAAGAVGSIMQNGFFKDVAASFILPSSVLSMSDGAHILEYLNSNSELTATILKSIEIKDELAPAVVSFSSRGPNPLTRDILKPDLTAPGVNILAAWTGASTVTGREGDNRFVKYNIISGTSMSCPHASGAAAYVKSFHPTWSPDAIKSALMTTAYHMNPDTNPDAEFAYGSGHINPVKAKDPGLVYDAGEVDYVKFLCGQGYSTRQLQLVTGDQSTCSAENNGTVWNLNYPSYALSTKYGESITRNFQRTVTNVGTQKSTYKAIVNAPAGLKIQVQPNVLYFQSLGQKQSFVVTVEATMIKPMISGSLVWDDGLHQVRSPIVAHVTYP >Manes.11G026600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2614293:2622551:1 gene:Manes.11G026600.v8.1 transcript:Manes.11G026600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKGKRSVDERYAQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHIAQFNEEARSPFVRKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVESQPNRHAVMGATESHPDLILTGHKDDAEFALAMCPTESFVLSGGKDKLVVLWSIHDHISTLATDPVPSKSPGSGGSNAKHASKVGASNDKPAEKPSIGPRGTYQGHDDTVEDVQFCPSSAQEFCSVGDDSCLILWDARTGSSPVVKVEKAHNADLHCVDWNPHDVNLFLTGSADNTIHMFDRRKLTSGGFGSPIHKFAGHSAAVLCVQWSPDKSSVFGSSAEDGILNIWDYEKVGKKQDSTGLKLPNAPPGLFFRHAGHRDKVVDFHWNASDPWTIVSVSDDCESTSGGGTLQIWRMIDLIYRPEEEVLAELDKFKSHILTCDKS >Manes.04G063400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23206484:23209928:-1 gene:Manes.04G063400.v8.1 transcript:Manes.04G063400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSSSSLHSFSSLFPSSSSSSSSLYSCSFPCTHFLPQTLPSKPEIVTPTRFCCSRRSLLNRPPNLVLHPFFLLTGFERPLDTQTALAIVSVVAAIALSLYLGLKGDPVPCDRCAGNGGTKCVFCNDGKMKQETGLVDCRVCKGAGLILCRKCGGSGYSRRL >Manes.04G063400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23209081:23209846:-1 gene:Manes.04G063400.v8.1 transcript:Manes.04G063400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSSSSLHSFSSLFPSSSSSSSSLYSCSFPCTHFLPQTLPSKPEIVTPTRFCCSRRSLLNRPPNLVLHPFFLLTGFERPLDTQTALAIVSVVAAIALSLYLGLKGDPVPCDRCAGNGNLSSSFGFVVKAELELSTTFFLKLLM >Manes.04G063400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23206484:23209928:-1 gene:Manes.04G063400.v8.1 transcript:Manes.04G063400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSSSSLHSFSSLFPSSSSSSSSLYSCSFPCTHFLPQTLPSKPEIVTPTRFCCSRRSLLNRPPNLVLHPFFLLTGFERPLDTQTALAIVSVVAAIALSLYLGLKGDPVPCDRCAGNGLILCRKCGGSGYSRRL >Manes.04G063400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23206484:23209928:-1 gene:Manes.04G063400.v8.1 transcript:Manes.04G063400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSSSSLHSFSSLFPSSSSSSSSLYSCSFPCTHFLPQTLPSKPEIVTPTRFCCSRRSLLNRPPNLVLHPFFLLTGFERPLDTQTALAIVSVVAAIALSLYLGLKGDPVPCDRCAGNVKLSEESCN >Manes.04G063400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23206484:23209928:-1 gene:Manes.04G063400.v8.1 transcript:Manes.04G063400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFSSSSLHSFSSLFPSSSSSSSSLYSCSFPCTHFLPQTLPSKPEIVTPTRFCCSRRSLLNRPPNLVLHPFFLLTGFERPLDTQTALAIVSVVAAIALSLYLGLKGDPVPCDRCAGNGLTQ >Manes.03G208400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32373567:32378517:-1 gene:Manes.03G208400.v8.1 transcript:Manes.03G208400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSCIVISTVKPCCRILIGYTSSSLFGISPQKFNNRVIHNNLSKSLPKSSHHRRFHCHSVNNRSRIIGNKSVVHSNSRAFNVSDSSWDQSKVLTPSFHVNRGRGRGVLVIPKVSSDFRNHSTSVESHINEKGFENIYIQGGLNVKPLVIKKIETGNNVVEEEDKSSRIEINGTSVNIDYLKGLNETAPKVEREVSDIEKEAWKLLQGAVVNYCGNPVGTVAANDPADKQPLNYDQVFIRDFVPSALAFLLNGEVEIVKNFLLYTLQLQSWEKTVDCYSPGQGLMPASFKVRTAPLDGSDGAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGRITGDYALQERIDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYAALRCAREMLIVNDGTKNLVAAVNSRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAVNKFNIYPDQIPSWLVDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWAIISSLGTVKQNEGILNLIESKWDDLVAHMPLKICYPALEHEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELAQRAVSLAEKRLSLDQWPEYYDTRSGRFIGKQSRLFQTWTIAGFLASKKLLENPDKASLLFWDEDYDLLETCVCALSKTSRKKCSRFASRSQKQA >Manes.03G208400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32373567:32378517:-1 gene:Manes.03G208400.v8.1 transcript:Manes.03G208400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSCIVISTVKPCCRILIGYTSSSLFGISPQKFNNRVIHNNLSKSLPKSSHHRRFHCHSVNNRSRIIGNKSVVHSNSRAFNVSDSSWDQSKVLTPSFHVNRGRGRGVLVIPKVSSDFRNHSTSVESHINEKGFENIYIQGGLNVKPLVIKKIETGNNVVEEEDKSSRIEINGTSVNIDYLKGLNETAPKVEREVSDIEKEAWKLLQGAVVNYCGNPVGTVAANDPADKQPLNYDQVFIRDFVPSALAFLLNGEVEIVKNFLLYTLQLQALFYAALRCAREMLIVNDGTKNLVAAVNSRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAVNKFNIYPDQIPSWLVDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWAIISSLGTVKQNEGILNLIESKWDDLVAHMPLKICYPALEHEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELAQRAVSLAEKRLSLDQWPEYYDTRSGRFIGKQSRLFQTWTIAGFLASKKLLENPDKASLLFWDEDYDLLETCVCALSKTSRKKCSRFASRSQKQA >Manes.18G120350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12727848:12740142:1 gene:Manes.18G120350.v8.1 transcript:Manes.18G120350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNSQEGQSVVRPPFFDGNDFLYWKNRMYYFLKSEGVDLWDIVENGPFFLTRVIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTAKEIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDEIISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLSKVQLDELLGNLIDYEMTLKREQVEEPSKMKKNIALRIASEDTSEEEEEISEEELALFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTEEEDVGDEIANMCFMALEESSNEFSYDELVDALKLMNDELEKSHKKNKILKCELASFKRENNGCSRHMTGNSSHFISIEKKDGSGQVTFGDNGKGKIVGIGKVGKENSHTLDKVLLVDGLKHNLLSVSQLCDKGCRVIFEPKSCFVSRMSDNKILVASLGGMHYSFVIVDDYSRYTWVVFLAHKDDCFDAFKSFTKKVQNEKGFQISSIRSDHGKFDSKTDEGIFLGYSISSKSYRVFNKRTLIVEESMHVIFYESNPFAPRKEILNLMEIKVNPRRIQ >Manes.03G076816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13572084:13582762:1 gene:Manes.03G076816.v8.1 transcript:Manes.03G076816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKLDHYKIDCPKLKKHIKTFKNKASKQHASNDHLQKSLDELSLENKNLKNSCLNLFLQVYLKSLKFESKWYLDNGCSSRMIENSSHFIFIEKKDSSGQVTFVDNGKDKIVEICKVSKENSYIRDKVFLVDGLKHNLSSVSQLCDKGYRVIFEPKSCFIFRMLDNKILFVGERIENIYVIDLQVMSNQDMMCFIFISNNSWVWHKKFSHTSMDLLKNLSKDELVNGLPNIKFDKFDSKTYESIFLENFTSSKSYKVFNKKKLDS >Manes.03G138500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26493338:26495834:-1 gene:Manes.03G138500.v8.1 transcript:Manes.03G138500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKTPSLPPALTLFPFLKPPARTLIFYQIFVLVITFLAYASFHASRKPPSIVKSVLGPTVELNSSIIQPNSSSMDTGWPPFNGPEGTHRLGEIDLAFLLAYSIGMYFAGHVGDRIDLRLFLVFGMVGSGLFTIIFGLGYWFDIHSLGFFVGVQILCGLFQSIGWPCVVAVVGNWFGKAKRGLIMGFWNSHTSVGNIIGSVVASGVLEFGWGWSFAVPGILVILVGILVFLFLVVSPEDIGFELPGMEIEMAVEMEGGETLERAESEQAKLLGMENSESVAAIGFLAAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHTAVAGVHLSDKTAGILSTIFDIGGVFGGISAGYISDVIEARAVTSIVFLLLSIPALILYRVYGSLSMTMNITLMMLSGLLVNGPYALITTAVAADLGTQDLIRGNSRALATVTAIIDGTGSVGAAVGPLLAGYFSTRGWNSVFLMLIVSIFFASLFLIRVAKTEIKRKLNEGKWVANSTTPQ >Manes.02G087400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6905937:6908007:1 gene:Manes.02G087400.v8.1 transcript:Manes.02G087400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSQGSSTSNAPAPFLIKTYEMVDDPSTNSVVSWSSSGCSFVVWNPPEFAQDLLPSYFKHNNFSSFVRQLNTYGFRKIDPDQWEFANEEFKRGQRHLLSNIRRRKPIHSHSMQNQVNSPLTKTEKIEYEEKIKQLTKDKNLLQLQLQRHETEKQAFECQIMPLGERLKRLESRQNQLVSFLAQLAKKPGFASILMRQPEYNNKKRRLLELDHLNGDYYSEEKHSSRSSKDSTMNFELVKKLDSSIKCIEDLFYGAGEAFTQDMHDFALASQPSAIVVRELSTSSIDGEACSSLHSMEDIPSSPELPQCINHVNSPKIHPEFPVFKAVKESELETKDSSTPTGANDNFWEYFLTEAPGSSSTQDFEPGFERRTSEERLRWSFNTMNDLTQHMKHLAPAERV >Manes.07G022300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2460020:2461129:-1 gene:Manes.07G022300.v8.1 transcript:Manes.07G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDYQDLLQATDGFSPSRLIGKGSHGSVYKGILFQENKVLAIKKPSIGIDHVSNDNSKKLDNEIFILSSLRDRSPYIIGFLGTSHDSAAASSEEKKIINNRKLLVMEFMPNGSLHEMLHGAQTPPSWPKRVEIALQIARAIQVLHESKPLVIHRDIKSTNILFDSNWNVKLADYGLAVLSRADSSSHQTIQPAGTIGYIDPCYTTPSKLSTKNDVFSYGVVLLEIISSRKAIDVSKGPASIVEWAVPLIQKQRLPIKEICDPRLGLPPYMESTIRNLLNLAVRCVSSKEETRPSISELIMGMGSHCLVERVNIAPNPRSWTSLVRSLIVMRKKRRLSKKWQGKCEEYSEISKGKILLREILADITLK >Manes.09G011925.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2865906:2870211:1 gene:Manes.09G011925.v8.1 transcript:Manes.09G011925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTHLDDAIASFNHVIHKHPLPSRFPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSINILINCFCLLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCIESKMDKAVEFFDDMVARGYQPDVYTYSTIIKGMCKFGKTNVAIGLLKGMADRGCEPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNISPSVYTFSVLIDALCKDGMVAEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPTIVTYSSLMDGYCLGNQIGKARKLFDLMVTNETADIFSYNILINGYCKCNMIDDAKELFDEMSHKGLVPDAVTYSTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSTMIDGLCRQGNLDEALTLLKAMEKSQLKPNLVIYSSLINGMCKVGKLNDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNFCYNIIIQGFLKHEDLPKALELINEMVNKGFSADATTTELVLHLSQNNDLILSKLRNRSEASKAVQ >Manes.03G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25519901:25524388:-1 gene:Manes.03G124500.v8.1 transcript:Manes.03G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLILMVGGLVGYIKKRSMASLAGGVGTGLLLVLAGFLSLKAFDKRKNSYLALVIETVCAAALTFIMGQRYMQTSKIMPAGIIAGISVLMTGFYVYKIATGGNHIPAKAE >Manes.12G021100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1853814:1860005:-1 gene:Manes.12G021100.v8.1 transcript:Manes.12G021100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLAKEEFEKEAGGYRSEDEEDTPEQAEDAVEVGNAREVQKVSKKRKASKELKSSKRKKTKSTSGKKGAIVEDIGNNVCEEQNGTSGTAMDDERIENIKALPVGKRLSQPQQNGNGTASATPTSSGTKKLPSRSARRKKAKRQWLKEKLKAERKEQHQRQLLEKSDQRSFEKGNLGVSEVSAEKGNQEVSEVVPARDNEKLQEDHQQLDHDSDVEDDVVPIVIRPGHIRFGPLRKVDADQAAEQNQIPLETFQWNGTTSKKKGQKWGKEKVPSYKRNDYKNVNQECSRTQIYGARPISTHIDFEKLEFYASLPKEGDVIAYRLIELSSSSWTPELSSYRAGKISRYDIETNRVWLVPVPEYPMIPVKEIGDDSSAEPSETSSYARDGSLWIEFSSLVEVRLVTLGNLNSGKSIGVSVRGQYTDTDTETGAKSNNGSEAHASDRENGEVNAWEEINQALNAKKEQLSEEDNWNKTPGSGRRPWSYKAMRGSALGPTVALLRAQNEL >Manes.12G021100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1853816:1860005:-1 gene:Manes.12G021100.v8.1 transcript:Manes.12G021100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHVKDLDNVLCLYCFRVEKNGGISSELLMVGDKANSLEVLEIVETRPVAAGMNLLAKEEFEKEAGGYRSEDEEDTPEQAEDAVEVGNAREVQKVSKKRKASKELKSSKRKKTKSTSGKKGAIVEDIGNNVCEEQNGTSGTAMDDERIENIKALPVGKRLSQPQQNGNGTASATPTSSGTKKLPSRSARRKKAKRQWLKEKLKAERKEQHQRQLLEKSDQRSFEKGNLGVSEVSAEKGNQEVSEVVPARDNEKLQEDHQQLDHDSDVEDDVVPIVIRPGHIRFGPLRKVDADQAAEQNQIPLETFQWNGTTSKKKGQKWGKEKVPSYKRNDYKNVNQECSRTQIYGARPISTHIDFEKLEFYASLPKEGDVIAYRLIELSSSSWTPELSSYRAGKISRYDIETNRVWLVPVPEYPMIPVKEIGDDSSAEPSETSSYARDGSLWIEFSSLVEVRLVTLGNLNSGKSIGVSVRGQYTDTDTETGAKSNNGSEAHASDRENGEVNAWEEINQALNAKKEQLSEEDNWNKTPGSGRRPWSYKAMRGSALGPTVALLRAQNEL >Manes.12G021100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1853816:1860005:-1 gene:Manes.12G021100.v8.1 transcript:Manes.12G021100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRLRLVFGHILSKSHETEGLKRCWILLKPQLQTISDLSSYLLHVFDLQNDCPRGLILSMEGFALPPFESISILKDKDIIRVEKNGGISSELLMVGDKANSLEVLEIVETRPVAAGMNLLAKEEFEKEAGGYRSEDEEDTPEQAEDAVEVGNAREVQKVSKKRKASKELKSSKRKKTKSTSGKKGAIVEDIGNNVCEEQNGTSGTAMDDERIENIKALPVGKRLSQPQQNGNGTASATPTSSGTKKLPSRSARRKKAKRQWLKEKLKAERKEETFQWNGTTSKKKGQKWGKEKVPSYKRNDYKNVNQECSRTQIYGARPISTHIDFEKLEFYASLPKEGDVIAYRLIELSSSSWTPELSSYRAGKISRYDIETNRVWLVPVPEYPMIPVKEIGDDSSAEPSETSSYARDGSLWIEFSSLVEVRLVTLGNLNSGKSIGVSVRGQYTDTDTETGAKSNNGSEAHASDRENGEVNAWEEINQALNAKKEQLSEEDNWNKTPGSGRRPWSYKAMRGSALGPTVALLRAQNEL >Manes.12G021100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1853816:1860005:-1 gene:Manes.12G021100.v8.1 transcript:Manes.12G021100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRLRLVFGHILSKSHETEGLKRCWILLKPQLQTISDLSSYLLHVFDLQNDCPRGLILSMEGFALPPFESISILKDKDIIRVEKNGGISSELLMVGDKANSLEVLEIVETRPVAAGMNLLAKEEFEKEAGGYRSEDEEDTPEQAEDAVEVGNAREVQKVSKKRKASKELKSSKRKKTKSTSGKKGAIVEDIGNNVCEEQNGTSGTAMDDERIENIKALPVGKRLSQPQQNGNGTASATPTSSGTKKLPSRSARRKKAKRQWLKEKLKAERKEQHQRQLLEKSDQRSFEKGNLGVSEVSAEKGNQEVSEVVPARDNEKLQEDHQQLDHDSDVEDDVVPIVIRPGHIRFGPLRKVDADQAAEQNQIPLETFQWNGTTSKKKGQKWGKEKVPSYKRNDYKNVNQECSRTQIYGARPISTHIDFEKLEFYASLPKEGDVIAYRLIELSSSSWTPELSSYRAGKISRYDIETNRVWLVPVPEYPMIPVKEIGDDSSAEPSETSSYARDGSLWLDVQIWV >Manes.12G021100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1853816:1860005:-1 gene:Manes.12G021100.v8.1 transcript:Manes.12G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRLRLVFGHILSKSHETEGLKRCWILLKPQLQTISDLSSYLLHVFDLQNDCPRGLILSMEGFALPPFESISILKDKDIIRVEKNGGISSELLMVGDKANSLEVLEIVETRPVAAGMNLLAKEEFEKEAGGYRSEDEEDTPEQAEDAVEVGNAREVQKVSKKRKASKELKSSKRKKTKSTSGKKGAIVEDIGNNVCEEQNGTSGTAMDDERIENIKALPVGKRLSQPQQNGNGTASATPTSSGTKKLPSRSARRKKAKRQWLKEKLKAERKEQHQRQLLEKSDQRSFEKGNLGVSEVSAEKGNQEVSEVVPARDNEKLQEDHQQLDHDSDVEDDVVPIVIRPGHIRFGPLRKVDADQAAEQNQIPLETFQWNGTTSKKKGQKWGKEKVPSYKRNDYKNVNQECSRTQIYGARPISTHIDFEKLEFYASLPKEGDVIAYRLIELSSSSWTPELSSYRAGKISRYDIETNRVWLVPVPEYPMIPVKEIGDDSSAEPSETSSYARDGSLWIEFSSLVEVRLVTLGNLNSGKSIGVSVRGQYTDTDTETGAKSNNGSEAHASDRENGEVNAWEEINQALNAKKEQLSEEDNWNKTPGSGRRPWSYKAMRGSALGPTVALLRAQNEL >Manes.08G051600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5487235:5489460:-1 gene:Manes.08G051600.v8.1 transcript:Manes.08G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALDNNNSNKGFFEYVRKSTPPPFLLKTYMLVEDPATDQVISWNGDGTGFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVTTSRWEFCNDMFRKAERELLCQIRRRKAWSNKQQPTVPIQTTPQDSDEDQRSSSTSSSSEYSILIDENKRLKKENGVLSSELTGMKRKCKELLDLVAKYAHFEKEEEDDDSDKRPKLFGVRLEVGGDREMKRKRAKIRECATVLLSQSCK >Manes.14G091100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7523451:7531057:1 gene:Manes.14G091100.v8.1 transcript:Manes.14G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKWRKPLHSPLNLQILASVSSFILSKPPSVSTAASLADEPTTSSVAPDSGETVRVILSGLRCLGLRRFVNRYYFKNLISMLNCSQVDQILEYLSVENADSAVDFFHLLRNEFGFRHSRFSKFVVLHVLARKRQLKELRLVMDQMLLEEGSGSAPVLCELLLSGFRRWDSSNVVWDVLACAYSRSEMVHDALFVLVKMKDLNLVASIQTYNNLLYKLRHTNIMWDVYNEKKISGTPQSEYTTSIIIDGLCRQSRFQDAVLFLRDIEGKEFGPSVVSFNTIMSRSCKLGFVDVAKSFFCLMFKCGLLPDAYSYNILINGLCEAESMEEALEFTDDMEMHGVEPDIVTYNILAKGFCLLGFMSGAWKLIQKMLIKGLNPNLVTYTILICRYCLVGNIEEALNLRKEMISQGFQLNIISYTVLLSYLCKSGRVDEALQLFCEMGADGLQPDIVSYSVLIHGLCKRGDVQQAIQLYSEMCLNGIFPNSVTHGAILMGFCEKGMIPKARMYFDSLINSSLTLDITLYNIMIDGYVKVGNIGEAVKLYKQIGEKGMSPTIVTFNSLIYGFCKNRKVDEARKLLNIIKLSGLEPSAVTYTILMNAYCDEGNMQNLLELLPEMEAKAIGLTHITYTVVIKGLCKQRKLQESWVLLEEMYAKGLTPDQITYNTVIQAFCKAKDMIKAFQLFDKMLMHNLEPTYATYNILINGLCVYGDLKDADNLLVSLQDKEINLNKIAYTTMIKAHCVKGDANKAVMYFHQMVGKGFEVSIRDYSAVISRLCKRHLATEAKYFFCLMLSYGVSPDEKICKMMLNAFHRCGHLNSVFELLAEMIKFGLVCD >Manes.16G094800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30118016:30122084:1 gene:Manes.16G094800.v8.1 transcript:Manes.16G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYRVVTASKSGCSSSVPSLPPPRPKSPPKYPDLYGKRREMAKVQMLEREIGFLEEELKSVDSLQPASRCCKEVTEFVVANPDPLILTSRKNRRSCRFWKWLCGIPCFNFSWICCCCYSGCSFHIHLPRCCDCNCDCNPCDLCNCCSCFRCPAPKWRCCSFRSCCPCTCSSCCPCSCSDCCPCSCSCPCPCPCPCPCSCSCPCPCPCPCSCSCPKSSCCKNISCCSDCCRCGLPSCPDCSCCSCPKCSNCSCCTSLCCCPKWTCSAPKCPKRPKCPKVRLCCNCTKTSCNPCCLFF >Manes.09G043400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7777758:7783108:1 gene:Manes.09G043400.v8.1 transcript:Manes.09G043400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPLSGPRKYQVRLVVQKMEGWDLVHEGGEDKGRNSEKLTVEIRWKGTKFALSPLRRTVKRNFTKEVEVFGGENGVVGWDEEFQSLCTLSPQKDNVFHPWEIAFTVLNGMNRGLKNKVSAVGTAMLNLAEYASAAEQKELELRLPLLLPAGAAEPQPVLCISLSLLELRTAPEATEPLQRAIVPVSSPPQSGETVSTEKDELSAIKAGLRKVKIFTDYVSTRRAKKACHEEEGSEGRCSARSEEGEYNYPLDSNSSDDFEEGESDDIKEDSTVRKSFSYGTLAYANCAGGSFQSDMSKNGENEDWVYYSNRKSDVGSSHIDDLTQISEPSVLQSSRRSILSWRKRKLSFRSPKTKGEPLLKKAYGEEGGDDIDFDRRQLSSDDNGALVPHKADEDSSANRSSVSDFGDDNFAVGSWESKEIISRDGHMMLQTEVFFASIDQRSEQAAGESACTALVAVIADWFQNNLDIMPIKSQFDSLIREGSLEWRNLCEKETYREQFPDKHFDLETVLQAKIRSLSVVPGKSFIGFFHPDGMDEGRFDFLLGAMSFDNIWDEISGTGSEHPSNDEPQVYIVSWNDHFFILKVEPEAYYIIDTLGERLYEGCNQAYILKFDSNTVIRKLPNVAQPSDEKTLADQPVVAVDVEPKDQQVNKEEASVSGAVVIKPQEPMKSEEEGEVVCQGKNSCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTQHLQALPETHAAAAAAMPMVAPLESNEVAIE >Manes.09G043400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7777758:7783108:1 gene:Manes.09G043400.v8.1 transcript:Manes.09G043400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPLSGPRKYQVRLVVQKMEGWDLVHEGGEDKGRNSEKLTVEIRWKGTKFALSPLRRTVKRNFTKEVEVFGGENGVVGWDEEFQSLCTLSPQKDNVFHPWEIAFTVLNISLSLLELRTAPEATEPLQRAIVPVSSPPQSGETVSTEKDELSAIKAGLRKVKIFTDYVSTRRAKKACHEEEGSEGRCSARSEEGEYNYPLDSNSSDDFEEGESDDIKEDSTVRKSFSYGTLAYANCAGGSFQSDMSKNGENEDWVYYSNRKSDVGSSHIDDLTQISEPSVLQSSRRSILSWRKRKLSFRSPKTKGEPLLKKAYGEEGGDDIDFDRRQLSSDDNGALVPHKADEDSSANRSSVSDFGDDNFAVGSWESKEIISRDGHMMLQTEVFFASIDQRSEQAAGESACTALVAVIADWFQNNLDIMPIKSQFDSLIREGSLEWRNLCEKETYREQFPDKHFDLETVLQAKIRSLSVVPGKSFIGFFHPDGMDEGRFDFLLGAMSFDNIWDEISGTGSEHPSNDEPQVYIVSWNDHFFILKVEPEAYYIIDTLGERLYEGCNQAYILKFDSNTVIRKLPNVAQPSDEKTLADQPVVAVDVEPKDQQVNKEEASVSGAVVIKPQEPMKSEEEGEVVCQGKNSCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTQHLQALPETHAAAAAAMPMVAPLESNEVAIE >Manes.02G132200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10047272:10054884:-1 gene:Manes.02G132200.v8.1 transcript:Manes.02G132200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSEKERGSNDVKLEDYKYKYYKELSRGSIKVKISSSAYRCPYCHGKKDYHFEDLLQHANSLGRVSQRIDLEERAQHLALEKYMNRHFNVKERSVYGTKTESSSAQTRDRDHFIFSPTHMSGDTDWKKSPMNVTKTESSPVHKRDRDHLISSPKYMSRHIDVRKHSGYATKTESSHVHKHNRDYLVVSPPLHEKGKDQLLVWPWMGIVANIQTRFQDGRRVGESGAKLRDELTRKGFETIRVHPLWNHLGHSGFAIVDFKKDWDGFRNALMFEKDYEVNNCGKKEYFKPPLKGRGDKLYGWIAREDDYNSRSIIGDHLRRNGDLKSVSGKEIEDRRKDSKLLTKLTDTLQMNRERLKEMEVKYNETSVSLNKLMEEKDAIIRAYNEEKRKMQQNERDHFEKIYLEHEKAMLRLEDQRKDLKEREKQLQQREFQNEDERRKLHHEKKKNERAILEQKKADESMLRLVEEQKIQKEKLHMEIIELQKKLDTRQALELEIERLKGSLQIMDHMRDEDMEVKKKMDTVRQELMEKEEELDILESLNQTLIVKERMNNDEVQGARKELISALREDPRALIRVKKMGELDGSPFQAAAKRKFSDDADGKAGELCSLWDHYLRDPSWHPFKIITDKEGHSEEIINEDDEKLKDLKSEYGDEVYNAVTKALREINEYNPSGRYIVREIWNFKENRRATLQEGVAHLLKQWKPRKRRKT >Manes.02G132200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10048579:10054884:-1 gene:Manes.02G132200.v8.1 transcript:Manes.02G132200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSEKERGSNDVKLEDYKYKYYKELSRGSIKVKISSSAYRCPYCHGKKDYHFEDLLQHANSLGRVSQRIDLEERAQHLALEKYMNRHFNVKERSVYGTKTESSSAQTRDRDHFIFSPTHMSGDTDWKKSPMNVTKTESSPVHKRDRDHLISSPKYMSRHIDVRKHSGYATKTESSHVHKHNRDYLVVSPPLHEKGKDQLLVWPWMGIVANIQTRFQDGRRVGESGAKLRDELTRKGFETIRVHPLWNHLGHSGFAIVDFKKDWDGFRNALMFEKDYEVNNCGKKEYFKPPLKGRGDKLYGWIAREDDYNSRSIIGDHLRRNGDLKSVSGKEIEDRRKDSKLLTKLTDTLQMNRERLKEMEVKYNETSVSLNKLMEEKDAIIRAYNEEKRKMQQNERDHFEKIYLEHEKAMLRLEDQRKDLKEREKQLQQREFQNEDERRKLHHEKKKNERAILEQKKADESMLRLVEEQKIQKEKLHMEIIELQKKLDTRQALELEIERLKGSLQIMDHMRDEDMEVKKKMDTVRQELMEKEEELDILESLNQTLIVKERMNNDEVQGARKELISALREDPRALIRVKKMGELDGSPFQAAAKRKFSDDADGKAGELCSLWDHYLRDPSWHPFKIITDKEGHSEEIINEDDEKLKDLKSEYGDEVYNAVTKALREINEYNPSGRYIVREIWNFKENRRATLQEGVAHLLKQWKPRKRRKT >Manes.02G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10047272:10053803:-1 gene:Manes.02G132200.v8.1 transcript:Manes.02G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRFAMPPTSEKERGSNDVKLEDYKYKYYKELSRGSIKVKISSSAYRCPYCHGKKDYHFEDLLQHANSLGRVSQRIDLEERAQHLALEKYMNRHFNVKERSVYGTKTESSSAQTRDRDHFIFSPTHMSGDTDWKKSPMNVTKTESSPVHKRDRDHLISSPKYMSRHIDVRKHSGYATKTESSHVHKHNRDYLVVSPPLHEKGKDQLLVWPWMGIVANIQTRFQDGRRVGESGAKLRDELTRKGFETIRVHPLWNHLGHSGFAIVDFKKDWDGFRNALMFEKDYEVNNCGKKEYFKPPLKGRGDKLYGWIAREDDYNSRSIIGDHLRRNGDLKSVSGKEIEDRRKDSKLLTKLTDTLQMNRERLKEMEVKYNETSVSLNKLMEEKDAIIRAYNEEKRKMQQNERDHFEKIYLEHEKAMLRLEDQRKDLKEREKQLQQREFQNEDERRKLHHEKKKNERAILEQKKADESMLRLVEEQKIQKEKLHMEIIELQKKLDTRQALELEIERLKGSLQIMDHMRDEDMEVKKKMDTVRQELMEKEEELDILESLNQTLIVKERMNNDEVQGARKELISALREDPRALIRVKKMGELDGSPFQAAAKRKFSDDADGKAGELCSLWDHYLRDPSWHPFKIITDKEGHSEEIINEDDEKLKDLKSEYGDEVYNAVTKALREINEYNPSGRYIVREIWNFKENRRATLQEGVAHLLKQWKPRKRRKT >Manes.02G132200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10047272:10054884:-1 gene:Manes.02G132200.v8.1 transcript:Manes.02G132200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSEKERGSNDVKLEDYKYKYYKELSRGSIKVKISSSAYRCPYCHGKKDYHFEDLLQHANSLGRVSQRIDLEERAQHLALEKYMNRHFNVKERSVYGTKTESSSAQTRDRDHFIFSPTHMSGDTDWKKSPMNVTKTESSPVHKRDRDHLISSPKYMSRHIDVRKHSGYATKTESSHVHKHNRDYLVVSPPLHEKGKDQLLVWPWMGIVANIQTRFQDGRRVGESGAKLRDELTRKGFETIRVHPLWNHLGHSGFAIVDFKKDWDGFRNALMFEKDYEVNNCGKKEYFKPPLKGRGDKLYGWIAREDDYNSRSIIGDHLRRNGDLKSVSGKEIEDRRKDSKLLTKLTDTLQMNRERLKEMEVKYNETSVSLNKLMEEKDAIIRAYNEEKRKMQQNERDHFEKIYLEHEKAMLRLEDQRKDLKEREKQLQQREFQNEDERRKLHHEKKKNERAILEQKKADESMLRLVEEQKIQKEKLHMEIIELQKKLDTRQALELEIERLKGSLQIMDHMRDEDMEVKKKMDTVRQELMEKEEELDILESLNQTLIVKERMNNDEVQGARKELISALREDPRALIRVKKMGELDGSPFQAAAKRKFSDDADGKAGELCSLWDHYLRDPSWHPFKIITDKEGHSEEIINEDDEKLKDLKSEYGDEVYNAVTKALREINEYNPSGRYIVREIWNFKENRRATLQEGVAHLLKQWKPRKRRKT >Manes.02G132200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10047272:10054884:-1 gene:Manes.02G132200.v8.1 transcript:Manes.02G132200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSEKERGSNDVKLEDYKYKYYKELSRGSIKVKISSSAYRCPYCHGKKDYHFEDLLQHANSLGRVSQRIDLEERAQHLALEKYMNRHFNVKERSVYGTKTESSSAQTRDRDHFIFSPTHMSGDTDWKKSPMNVTKTESSPVHKRDRDHLISSPKYMSRHIDVRKHSGYATKTESSHVHKHNRDYLVVSPPLHEKGKDQLLVWPWMGIVANIQTRFQDGRRVGESGAKLRDELTRKGFETIRVHPLWNHLGHSGFAIVDFKKDWDGFRNALMFEKDYEVNNCGKKEYFKPPLKGRGDKLYGWIAREDDYNSRSIIGDHLRRNGDLKSVSGKEIEDRRKDSKLLTKLTDTLQMNRERLKEMEVKYNETSVSLNKLMEEKDAIIRAYNEEKRKMQQNERDHFEKIYLEHEKAMLRLEDQRKDLKEREKQLQQREFQNEDERRKLHHEKKKNERAILEQKKADESMLRLVEEQKIQKEKLHMEIIELQKKLDTRQALELEIERLKGSLQIMDHMRDEDMEVKKKMDTVRQELMEKEEELDILESLNQTLIVKERMNNDEVQGARKELISALREDPRALIRVKKMGELDGSPFQAAAKRKFSDDADGKAGELCSLWDHYLRDPSWHPFKIITDKEGHSEEIINEDDEKLKDLKSEYGDEVYNAVTKALREINEYNPSGRYIVREIWNFKENRRATLQEGVAHLLKQWKPRKRRKT >Manes.02G132200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10047272:10054884:-1 gene:Manes.02G132200.v8.1 transcript:Manes.02G132200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTSEKERGSNDVKLEDYKYKYYKELSRGSIKVKISSSAYRCPYCHGKKDYHFEDLLQHANSLGRVSQRIDLEERAQHLALEKYMNRHFNVKERSVYGTKTESSSAQTRDRDHFIFSPTHMSGDTDWKKSPMNVTKTESSPVHKRDRDHLISSPKYMSRHIDVRKHSGYATKTESSHVHKHNRDYLVVSPPLHEKGKDQLLVWPWMGIVANIQTRFQDGRRVGESGAKLRDELTRKGFETIRVHPLWNHLGHSGFAIVDFKKDWDGFRNALMFEKDYEVNNCGKKEYFKPPLKGRGDKLYGWIAREDDYNSRSIIGDHLRRNGDLKSVSGKEIEDRRKDSKLLTKLTDTLQMNRERLKEMEVKYNETSVSLNKLMEEKDAIIRAYNEEKRKMQQNERDHFEKIYLEHEKAMLRLEDQRKDLKEREKQLQQREFQNEDERRKLHHEKKKNERAILEQKKADESMLRLVEEQKIQKEKLHMEIIELQKKLDTRQALELEIERLKGSLQIMDHMRDEDMEVKKKMDTVRQELMEKEEELDILESLNQTLIVKERMNNDEVQGARKELISALREDPRALIRVKKMGELDGSPFQAAAKRKFSDDADGKAGELCSLWDHYLRDPSWHPFKIITDKEGHSEEIINEDDEKLKDLKSEYGDEVYNAVTKALREINEYNPSGRYIVREIWNFKENRRATLQEGVAHLLKQWKPRKRRKT >Manes.06G156400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28267917:28270170:1 gene:Manes.06G156400.v8.1 transcript:Manes.06G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQSILCPMKYTEHRKVTKKFIKPSLKPKKVADERSRLEHNNPPRVVRISVTDPDATDSSSDEEGELFRSQRVKRYVNEICIEACSRDTLAVSNGRKRPASVLPASSHRPIKLNTASTNGRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFITPPSREEQQEEAEAEAEAEQVEEKPEINVTSTSGYESGDESHNLSSPKSVLNFRAQYCSDESELKKPVESVEKPKIESKPVEEVMLQECEGETNVSDESAEYLLPLDLPFLEEPFLNDFFNFSSTGPSLFDDTITTTTSTVLQESLSSEDFSDMFLETPQDFGTSLSSSMCQEDDFFQDIGDLFFSEPLAAL >Manes.08G113800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35285803:35291711:1 gene:Manes.08G113800.v8.1 transcript:Manes.08G113800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQALPFSVVSVVEEILQQHETWARSRGINLASRKADEASLRRYEAAGWLRKMIEVLGGKDFPSEPSEEEFLLRLRSGIILCNVINKVQPGAVPKVVEGPCDSIAVPDGAPLSAFQYFENVRNFLVAVEEMGLPNFEASDLGEGGKSARVVNCVLALKSYSEWKQSGAIGTWKYGGSLKTQHSGAGKPFMRKATEPFMNSFSRTTSFPSDDHSLYGDLGHDLNEAGASSSLHMLVRAVLSNKKQEEIPNVVESMLNKVMEEFERRLASQQELMKTTANTASSPGKSLEVTSSDTKMEEETSTAIKAEEASTETTTGECCNQKDDHKEEPKIQCLKQQMMVQQQIHIQELKHTLNSTKAGMQFLQIKYQEEFNKLGKHLHGLAHAASGYQRVLEENHKLYNQVQDLKGNIRVYCRVRPFLRGQNCFSIVDHIEEGNITIITPSKYGKEGRKSFNFNKVFGPMATQEEVFKDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNELTEESQGVNYRALSDLFLLSDQRKETFRYEISVQMLEIYNEQVRDLLASVGINRRLEIRNSSQNGINVPDATQLAVSSTADVINLMNIGHRNRAVSATAMNDRSSRSHSCLTVHVQGKNLTSGAVIHGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISSLAQKSSHVPYRNSKLTQLLQDSLGQAKTLMFVHISPEHEALGETISTLKFAERVATVELGAARVNKDSSEVKELKEQIATLKAALARKEGGSENSQNSQSSSPERLRMKPGGHSGSQHSWQGAGDNSNRQSLDAAASSIEMRSNSSSTSGRRSLDLNDLQTKSPFWPPVGSPAQNGKEDDKESASGDWVDKVMVNRYDNGGGEENLLGQWELDSRQLPEPFYQGYNRDPSKIYPEQPCNNKNPTSNNKDNREFDAQSRRFEVISTDSDELEITSSECSEPDLLWQSNLPRLSGIPNGLGSKPRKINVKAIRRPEIKSLIPSLIPSPSKKANGVSPVKSKPVRHPFTADVKRKTAFGK >Manes.08G113800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35285804:35291711:1 gene:Manes.08G113800.v8.1 transcript:Manes.08G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQALPFSVVSVVEEILQQHETWARSRGINLASRKADEASLRRYEAAGWLRKMIEVLGGKDFPSEPSEEEFLLRLRSGIILCNVINKVQPGAVPKVVEGPCDSIAVPDGAPLSAFQYFENVRNFLVAVEEMGLPNFEASDLGEGGKSARVVNCVLALKSYSEWKQSGAIGTWKYGGSLKTQHSGAGKPFMRKATEPFMNSFSRTTSFPSDDHSLYGDLGHDLNEAGASSSLHMLVRAVLSNKKQEEIPNVVESMLNKVMEEFERRLASQQELMKTTANTASSPGKSLEVTSSDTKMEEETSTAIKAEEASTETTTGECCNQKDDHKEEPKIQCLKQQMMVQQQIHIQELKHTLNSTKAGMQFLQIKYQEEFNKLGKHLHGLAHAASGYQRVLEENHKLYNQVQDLKGNIRVYCRVRPFLRGQNCFSIVDHIEEGNITIITPSKYGKEGRKSFNFNKVFGPMATQEEVFKDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNELTEESQGVNYRALSDLFLLSDQRKETFRYEISVQMLEIYNEQVRDLLASVGINRRLEIRNSSQNGINVPDATQLAVSSTADVINLMNIGHRNRAVSATAMNDRSSRSHSCLTVHVQGKNLTSGAVIHGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISSLAQKSSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEHEALGETISTLKFAERVATVELGAARVNKDSSEVKELKEQIATLKAALARKEGGSENSQNSQSSSPERLRMKPGGHSGSQHSWQGAGDNSNRQSLDAAASSIEMRSNSSSTSGRRSLDLNDLQTKSPFWPPVGSPAQNGKEDDKESASGDWVDKVMVNRYDNGGGEENLLGQWELDSRQLPEPFYQGYNRDPSKIYPEQPCNNKNPTSNNKDNREFDAQSRRFEVISTDSDELEITSSECSEPDLLWQSNLPRLSGIPNGLGSKPRKINVKAIRRPEIKSLIPSLIPSPSKKANGVSPVKSKPVRHPFTADVKRKTAFGK >Manes.08G113800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35285804:35291711:1 gene:Manes.08G113800.v8.1 transcript:Manes.08G113800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQALPFSVVSVVEEILQQHETWARSRGINLASRKADEASLRRYEAAGWLRKMIEVLGGKDFPSEPSEEEFLLRLRSGIILCNVINKVQPGAVPKGASSSLHMLVRAVLSNKKQEEIPNVVESMLNKVMEEFERRLASQQELMKTTANTASSPGKSLEVTSSDTKMEEETSTAIKAEEASTETTTGECCNQKDDHKEEPKIQCLKQQMMVQQQIHIQELKHTLNSTKAGMQFLQIKYQEEFNKLGKHLHGLAHAASGYQRVLEENHKLYNQVQDLKGNIRVYCRVRPFLRGQNCFSIVDHIEEGNITIITPSKYGKEGRKSFNFNKVFGPMATQEEVFKDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNELTEESQGVNYRALSDLFLLSDQRKETFRYEISVQMLEIYNEQVRDLLASVGINRRLEIRNSSQNGINVPDATQLAVSSTADVINLMNIGHRNRAVSATAMNDRSSRSHSCLTVHVQGKNLTSGAVIHGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISSLAQKSSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEHEALGETISTLKFAERVATVELGAARVNKDSSEVKELKEQIATLKAALARKEGGSENSQNSQSSSPERLRMKPGGHSGSQHSWQGAGDNSNRQSLDAAASSIEMRSNSSSTSGRRSLDLNDLQTKSPFWPPVGSPAQNGKEDDKESASGDWVDKVMVNRYDNGGGEENLLGQWELDSRQLPEPFYQGYNRDPSKIYPEQPCNNKNPTSNNKDNREFDAQSRRFEVISTDSDELEITSSECSEPDLLWQSNLPRLSGIPNGLGSKPRKINVKAIRRPEIKSLIPSLIPSPSKKANGVSPVKSKPVRHPFTADVKRKTAFGK >Manes.11G062000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8651384:8655776:-1 gene:Manes.11G062000.v8.1 transcript:Manes.11G062000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYNRDFNPFLFPSTSIAYSPVSYSSSSLSSSPPPSQSISSTFQSSSSLPLLPPQYSSSSVHPPAGATATAVPISCTASAVDGAFGATNIVKSPLDSSPGGEPAMDLRDWRVHLQPDSRRRIVSKIAETLMRHLPFSGEGGLQEIKKIAVRFEEKVYDSATSESDYLRKISLKMLTMENRTQDNVPSSAPSYSSVCSNEVQVQEDDGEPAVNMCDWRAHLQLDSRQRIVNKIAETLKRHLLLSDEEALQEIKKIAARFEEKIYVDATSQSDYLRKISLKMLTMESKASK >Manes.11G062000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8650840:8655776:-1 gene:Manes.11G062000.v8.1 transcript:Manes.11G062000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYNRDFNPFLFPSTSIAYSPVSYSSSSLSSSPPPSQSISSTFQSSSSLPLLPPQYSSSSVHPPAGATATAVPISCTASAVDGAFGATNIVKSPLDSSPGGEPAMDLRDWRVHLQPDSRRRIVSKIAETLMRHLPFSGEGGLQEIKKIAVRFEEKVYDSATSESDYLRKISLKMLTMENRTQDNVPSSAPSYSSVCSNEVQVQEDDGEPAVNMCDWRAHLQLDSRQRIVNKIAETLKRHLLLSDEEALQEIKKIAARFEEKIYVDATSQSDYLRKISLKMLTMESKASK >Manes.18G098100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9052199:9055570:-1 gene:Manes.18G098100.v8.1 transcript:Manes.18G098100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAIANCLTRKLPLPPPRSTIASTSKVTPQPSDTSHEMTGPPRLVRSHAVRRDLVRDWNFEGLGMES >Manes.18G098100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9052214:9055536:-1 gene:Manes.18G098100.v8.1 transcript:Manes.18G098100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAIANCLTRKLPLPPPRSTIASTSKVTPQPSDTSHEMTGPPRLVRSHAVRRDLVRDWNFEGLGMES >Manes.06G006700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1136806:1138893:1 gene:Manes.06G006700.v8.1 transcript:Manes.06G006700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPDSPSPGKKKKSSLMENLLGLLRVRVKRGINLAVRDVRSSDPYVVVKMGKQKLKTRVIKKDVNPEWHEDLTLYITDPNVPVKLTVYDHDTFTKDDKMGDAEFEINPFIEALRLDLPGLPNGTIITREQPSKHNCLAEETFITYTDGKVIQDMCLRLKHVECGEVEIQLQWIDLPGSKGL >Manes.06G006700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1137546:1138893:1 gene:Manes.06G006700.v8.1 transcript:Manes.06G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRSRSNKQRGGTMKVPDSPSPGKKKKSSLMENLLGLLRVRVKRGINLAVRDVRSSDPYVVVKMGKQKLKTRVIKKDVNPEWHEDLTLYITDPNVPVKLTVYDHDTFTKDDKMGDAEFEINPFIEALRLDLPGLPNGTIITREQPSKHNCLAEETFITYTDGKVIQDMCLRLKHVECGEVEIQLQWIDLPGSKGL >Manes.02G215300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35560995:35568233:-1 gene:Manes.02G215300.v8.1 transcript:Manes.02G215300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVHSFAASFVSKHDQVLTAAYKAELEEWPNKDFFKQCTSISLPYCKIPKLPEVFECPKLKSFLLFNQDPSLKISGNLFSKMKELKVLDLTKINLSPLPSSLQSLENLQTLCLDFCDLEDIAAIGELKQLQVLSLVGSTIVRLPNEVRKLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKIENLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIDRLKMNHFTAFPKLESLFLHNLNNLEKIYRGPYSVGSFSDLRKLKVENCNALKSLFSFSMLNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMKFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMENCPRPQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLTDSFGRLKVLKVLNGKQLLKVFPSKLLEKLLVNLESLTVRYCDSVKEVFDLQAIIKEREAHVVRYSQLRTLDIRNLSNLIQIWNRDPHGVLSFYNLRKVFAWDCPNLKKLFPFSVAQCLPHLEFLSIGDCGVEEIVTKEERAEALTIIPKFAFRGLKTMVLWGLDELKYFYSGKHTLECPQLKYLNVFLCAKLQTFNFESQEIQEMIMDKQEDELKLQTPQPLFSFREIIGNLERLTINDQDAAMIQQSQFPMDLFVKLKFLQLQSFGYSFLNLPLNLLQKFPNLEQLVLRDCYFKELLQQSHGHDPVLSQIRYLDLICLPNIRHIWNQDSPFFQNLETLEIWYCNGLTNLAPSSATFQNLTTLRVWKCNGLSSLVSSSTAESMHNLATMIIEESDAIEEIVSSDKNNFQSQNEIILRKLTTLRLCCLKSLETFCSSARCTLKFPALGCISLTVP >Manes.15G095400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7425258:7431126:-1 gene:Manes.15G095400.v8.1 transcript:Manes.15G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRQSAAESPPPKLTPQNADHSKQGGETKSQKSNNFSSSKNIFALCLAFRIANSLLIQTYFNPDEHWQALEVAHRIVFGYGHLTWEWKKGIRSYLHPLVFALLFKVLALLGLDTPWFMTKAPRLLQALFSAVGDLYLYKLSYAFFDFSVAKWALFSQLTNWFIFFCFNRTLSNSLETVLTLVGLYHWPCMRNYPSKISLHSRKWGLIVAALACAIRPTSAIIWVYVGILELFLTRDRLRFVVLEVVPIGGLVLGLSCLLDRLMYGSWVIVPLNFLKFNFLSSGGDYYGTHKWHWYFSQGFPVMLFTFLPFSIAGSMKSKCWKLSGLIAWVLILYSVLGHKEFRFVLPVLPIALVFAGYALSIMARPDSPHAKRKGSSKYHTKWPSKIVFAVIFLLATNIPMAIYMSLVHQRGTEDVMIYLSKEARNEKVKSILFLMPCHATPYYSTLHRNLPMRFLDCTPSEEKGIPDESDRFLLDPVGFTSELAKNWSLPSHVVLFESEEKLLKNFLISHSFREIKRFFHAHFKVDRDLQASVVVYALND >Manes.18G029700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2516739:2520852:1 gene:Manes.18G029700.v8.1 transcript:Manes.18G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVESSVNGGFPHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDDDDLEFDNVQWNGSDMASDDTQKSHRSRHRTHKSTGSSHKTMSRSLSCDSQSKGSVSTPRGTAKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLVDVVQRHFMSQQMDELQVIVGFVQAAKRLKTVCK >Manes.04G094301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29991470:29991963:-1 gene:Manes.04G094301.v8.1 transcript:Manes.04G094301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGNGGGGGSDGSWMRTPVHLPMHPKLLLPHASTHFNRFGKSDIAHLMPHMITFCPQSPKHIPSESLLP >Manes.16G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4758109:4760575:1 gene:Manes.16G037200.v8.1 transcript:Manes.16G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICCPIQNLPLSSRFTAYQSVILHASKIYPSNSPTSFLSFINRSSLQVPRFSRLWKSKITAMETQQSGTAVFSSDSSSAPMKLLFVEMGVGYDQHGQDITAAAMRACRDAISSNSIPAFRRGSIPGVSFEQMKLQIKLGVPHSLHQLLDIERVKSVFPYGKILNVEVVDGGLICSSGVCVEEMGDKNEDCYIVNAAVYVGY >Manes.03G150700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27833808:27835079:1 gene:Manes.03G150700.v8.1 transcript:Manes.03G150700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDCVTIWINEKLTGESPVFSDHCIFKVPKELRSVNEEAYEPQLIAIGPYHHGKDHLLAMEDHKIRYLQSLLQRSAQKDVSRYVQTIRSLEERARKCYAEPLSFDHDEFVEMMLIDGCFIIEFICKLKQNDKEDPLLRSNHMLTRCKLDLLLLENQLPFFILRELLVTSNVIPDQESTFIAVILKTYESFLLGPLCNSSRAYTPENIIQIKNLLGLLHDHWQPSPARLEAHKKMGQAKERCFTRCATELKDAGIKFKSAVERNNLFDIDFVNNTIKIPEIRIEDNTECVLRNLIAYEQLTSSTSPKYFTDYMVFMDRLINSKRDVELLCPQGIIDNWKGDDESIAILFNKLGEQVFYGGNLYTDIVNNINEHCKKRRNLWMAKFRHDYFQSPWSFISVLAAIMLLLLAMTQTVYSVLSYYK >Manes.04G003300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:584464:596661:1 gene:Manes.04G003300.v8.1 transcript:Manes.04G003300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKGNSSAAAEPAEPDNSIESDEKVDLDGEDDLEEAMDEEVEYEEVEEVEEIEEEEVVEVEEEEESTDNGDGSNTQKSITGNITELEDEHERKKHAELLARPPHGSEVYIGGIPHDASDEDLRGFCESVGEVTEVRMMKGKDSNENKGFAFVTYRNVDLASKAIDKLNNTEFKGKRIKCSTSQSKHRLFLGNVPRNWEQEDLRKVVMEVGPGVTAVELVKDMKTSNNRGFAFIDYYNNACADYSRQKMMNPKFRLGNNAPTVSWADPRNADSSASSQVKAIYVKNLPKNTTQAQLKKLFEHHGEITKVVLPPTKSGQEKNRIGFVHFTERSSAMEVLKNTEKYELDGQVLECSLAKPQTDQKSVGASNLQKSRLLPSYPAGVGYGLLGNNFGVLGSGYGSAGFAQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGAQPHTPPPHQRNNSRNGSGGTNRIGGNSGRARKGNDSSNGHRHRPY >Manes.04G003300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:584464:596661:1 gene:Manes.04G003300.v8.1 transcript:Manes.04G003300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKGNSSAAAEPAEPDNSIESDEKVDLDGEDDLEEAMDEEVEYEEVEEVEEIEEEEVVEVEEEEESTDNGDGSNTQKSITGNITELEDEHERKKHAELLARPPHGSEVYIGGIPHDASDEDLRGFCESVGEVTEVRMMKGKDSNENKGFAFVTYRNVDLASKAIDKLNNTEFKGKRIKCSTSQSKHRLFLGNVPRNWEQEDLRKVVMEVGPGVTAVELVKDMKTSNNRGFAFIDYYNNACADYSRQKMMNPKFRLGNNAPTVSWADPRNADSSASSQVKAIYVKNLPKNTTQAQLKKLFEHHGEITKVVLPPTKSGQEKNRIGFVHFTERSSAMEVLKNTEKYELDGQVLECSLAKPQTDQKSVGASNLQKSRLLPSYPAGVGYGLLGNNFGVLGSGYGSAGFAQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGAQPHTPPPHQRNNSRNGSGGTNRIGGNSGRARKGNDSSNGHRHRPY >Manes.04G003300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:584464:596661:1 gene:Manes.04G003300.v8.1 transcript:Manes.04G003300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKGNSSAAAEPAEPDNSIESDEKVDLDGEDDLEEAMDEEVEYEEVEEVEEIEEEEVVEVEEEEESTDNGDGSNTQKSITGNITELEDEHERKKHAELLARPPHGSEVYIGGIPHDASDEDLRGFCESVGEVTEVRMMKGKDSNENKGFAFVTYRNVDLASKAIDKLNNTEFKGKRIKCSTSQSKHRLFLGNVPRNWEQEDLRKVVMEVGPGVTAVELVKDMKTSNNRGFAFIDYYNNACADYSRQKMMNPKFRLGNNAPTVSWADPRNADSSASSQVKAIYVKNLPKNTTQAQLKKLFEHHGEITKVVLPPTKSGQEKNRIGFVHFTERSSAMEVLKNTEKYELDGQVLECSLAKPQTDQKSVGASNLQKSRLLPSYPAGVGYGLLGNNFGVLGSGYGSAGFAQPLIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGAQPHTPPPHQRNNSRNGSGGTNRIGGNSGRARKGNDSSNGHRHRPY >Manes.01G094700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29619499:29623641:1 gene:Manes.01G094700.v8.1 transcript:Manes.01G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPTSEEDPALAVVRFTSELAWADAGPEVAEQQVSRLCLEAQECMVMARWLDLSSLMLTSADLIFSNSKVSEKDLECIFTVICNLVSKSESPDDALEMAKLICGKVIQQPTDKPALRLKILFNLYNLLENTYSRFYVYMKALNLAVSGKVTEHVIPSFKKIDSFLKEWNLEVKDQRDLFLGISNVLRESKSSGKDAFKFLTKYLATFSGEDAYTMAEAKEEAVCTIIEFVRATHMFQCDLLDMPAVGQLEKDAKYALVYQLLKIFLTQRLDAYLEFQAANSALLKSYGLVHEDCIAKMRLMSLVDLASDGSGRIPYALIKDTLRINEDEVELWVVKAITAKLINCKMDQMTQFVLVSNCTERVFGQHQWLTLRVKLATWRGNIANTLNTIQANKVTEDGSQAMQGLMIR >Manes.02G181000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14404775:14408994:1 gene:Manes.02G181000.v8.1 transcript:Manes.02G181000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQQLCHCSQKGEKVDDYKGAEADVGTCVLEKHVDIRKVQMEITAQAAIGIKGFAFQKHHKQKEVKKEDEKKHQHLFFHKESREAIDSKQEKHPKYLEHLGKLGIDITGACALNEKYKEKIGLAHAHIHNVKAQIGEAAVRGAEEFIFQKHLGKKEAKKNKELHEKKHHHLFYPHKKSQEAIDYKEEEKKHHEHLECFVKLGAGITGTSALNEKHKEEKDLEHVQIHKAKVEIAAATAKEVEAFTFQEHHEEKEAKKKDEEVHEKKHCHLLYHEKESEENIDYNEEEKHQKHLEDLSKLDVGNTGACTLHEEKKISENAHSHKVKAEVEAGAAAGATGFAFHEHHDKKEAKKEDEVLLEKNHYYHLYHHKESENVVDYKEEEKYYKHSENLGRLDVAATGAHALHEKREERKDSESAYSHKIKEEIDAAAAIGAEGFAIHEQYEKKGGEDVIDYKEKEHYKYFEQLGEMDASTARAHALHEKREAEKAQEHAYNCEGNEKIVAMSAIEAKGFTFHEHHEKKEAKKKDEEEHEKNHRHLFYHQKESKENIDYKEEEKHHKLLEHLSKLSAGVTGDYALHEKKTEKKDLEHAHNHEIKAETVAVAITEAEGCAFHEHHKKKEAKKEDEVAYEKKHYYHKEGEIVVDYGEDKHHKYSENLSRPDVIAASVYALYEKGKEKKDLEHAHCHKIQEKKTATTVGGVEEFALHKHHEKKEGEDVVDYEKGKKHHKHFEHLSEMGFVSASTYALHDKNEAKKDQEHASSYEGKEETAAMSAVGAERFAFNEYHEKKEAKKEIEEIHGKKPHYLLYHYKESNEAIDYKEEEKRLKHLEHLGKLGVSAGVVVAGAHSLHEENKDLEHAQSHKVKVNIGAASGERFAFLEHHKLKGANQENKEAHGEKHYRQLFYRKEGNDVVDYKEEEKHKYF >Manes.02G181000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14404775:14408994:1 gene:Manes.02G181000.v8.1 transcript:Manes.02G181000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQQLCHCSQKGEKVDDYKGAEADVGTCVLEKHVDIRKVQMEITAQAAIGIKGFAFQKHHKQKEVKKEDEKKHQHLFFHKESREAIDSKQEKHPKYLEHLGKLGIDITGACALNEKYKEKIGLAHAHIHNVKAQIGEAAVRGAEEFIFQKHLGKKEAKKNKELHEKKHHHLFYPHKKSQEAIDYKEEEKKHHEHLECFVKLGAGITGTSALNEKHKEEKDLEHVQIHKAKVEIAAATAKEVEAFTFQEHHEEKEAKKKDEEVHEKKHCHLLYHEKESEENIDYNEEEKHQKHLEDLSKLDVGNTGACTLHEEKKISENAHSHKVKAEVEAGAAAGATGFAFHEHHDKKEAKKEDEVLLEKNHYYHLYHHKESENVVDYKEEEKYYKHSENLGRLDVAATGAHALHEKREERKDSESAYSHKIKEEIDAAAAIGAEGFAIHEQYEKKGGEDVIDYKEKEHYKYFEQLGEMDASTARAHALHEKREAEKAQEHAYNCEGNEKIVAMSAIEAKGFTFHEHHEKKEAKKKDEEEHEKNHRHLFYHQKESKENIDYKEEEKHHKLLEHLSKLSAGVTGDYALHEKKTEKKDLEHAHNHEIKAETVAVAITEAEGCAFHEHHKKKEAKKEDEVAYEKKHYYHKEGEIVVDYGEDKHHKYSENLSRPDVIAASVYALYEKGKEKKDLEHAHCHKIQEKKTATTVGGVEEFALHKHHEKKEGEDVVDYEKGKKHHKHFEHLSEMGFVSASTYALHDKNEAKKDQEHASSYEGKEETAAMSAVGAERFAFNEYHEKKEAKKEIEEIHGKKPHYLLYHYKESNEAIDYKEEEKRLKHLEHLGKLGVSAGVVVAGAHSLHEENKDLEHAQSHKVKVNIGAASGERFAFLEHHKLKGANQENKEAHGEKHYRQLFYRKEGNDVVDYKEEEKHNIRSMRQKETN >Manes.02G181000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14404774:14408994:1 gene:Manes.02G181000.v8.1 transcript:Manes.02G181000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQQLCHCSQKGEKVDDYKGAEADVGTCVLEKHVDIRKVQMEITAQAAIGIKGFAFQKHHKQKEVKKEDEKKHQHLFFHKESREAIDSKQEKHPKYLEHLGKLGIDITGACALNEKYKEKIGLAHAHIHNVKAQIGEAAVRGAEEFIFQKHLGKKEAKKNKELHEKKHHHLFYPHKKSQEAIDYKEEEKKHHEHLECFVKLGAGITGTSALNEKHKEEKDLEHVQIHKAKVEIAAATAKEVEAFTFQEHHEEKEAKKKDEEVHEKKHCHLLYHEKESEENIDYNEEEKHQKHLEDLSKLDVGNTGACTLHEEKKISENAHSHKVKAEVEAGAAAGATGFAFHEHHDKKEAKKEDEVLLEKNHYYHLYHHKESENVVDYKEEEKYYKHSENLGRLDVAATGAHALHEKREERKDSESAYSHKIKEEIDAAAAIGAEGFAIHEQYEKKGGEDVIDYKEKEHYKYFEQLGEMDASTARAHALHEKREAEKAQEHAYNCEGNEKIVAMSAIEAKGFTFHEHHEKKEAKKKDEEEHEKNHRHLFYHQKESKENIDYKEEEKHHKLLEHLSKLSAGVTGDYALHEKKTEKKDLEHAHNHEIKAETVAVAITEAEGCAFHEHHKKKEAKKEDEVAYEKKHYYHKEGEIVVDYGEDKHHKYSENLSRPDVIAASVYALYEKGKEKKDLEHAHCHKIQEKKTATTVGGVEEFALHKHHEKKEGEDVVDYEKGKKHHKHFEHLSEMGFVSASTYALHDKNEAKKDQEHASSYEGKEETAAMSAVGAERFAFNEYHEKKEAKKEIEEIHGKKPHYLLYHYKESNEAIDYKEEEKRLKHLEHLGKLGHEENKDLEHAQSHKVKVNIGAASGERFAFLEHHKLKGANQENKEAHGEKHYRQLFYRKEGNDVVDYKEEEKHKYF >Manes.02G181000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14404775:14408994:1 gene:Manes.02G181000.v8.1 transcript:Manes.02G181000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQQLCHCSQKGEKVDDYKGAEADVGTCVLEKHVDIRKVQMEITAQAAIGIKGFAFQKHHKQKEVKKEDEKKHQHLFFHKESREAIDSKQEKHPKYLEHLGKLGIDITGACALNEKYKEKIGLAHAHIHNVKAQIGEAAVRGAEEFIFQKHLGKKEAKKNKELHEKKHHHLFYPHKKSQEAIDYKEEEKKHHEHLECFVKLGAGITGTSALNEKHKEEKDLEHVQIHKAKVEIAAATAKEVEAFTFQEHHEEKEAKKKDEEVHEKKHCHLLYHEKESEENIDYNEEEKHQKHLEDLSKLDVGNTGACTLHEEKKISENAHSHKVKAEVEAGAAAGATGFAFHEHHDKKEAKKEDEVLLEKNHYYHLYHHKESENVVDYKEEEKYYKHSENLGRLDVAATGAHALHEKREERKDSESAYSHKIKEEIDAAAAIGAEGFAIHEQYEKKGGEDVIDYKEKEHYKYFEQLGEMDASTARAHALHEKREAEKAQEHAYNCEGNEKIVAMSAIEAKGFTFHEHHEKKEAKKKDEEEHEKNHRHLFYHQKESKENIDYKEEEKHHKLLEHLSKLSAGVTGDYALHEKKTEKKDLEHAHNHEIKAETVAVAITEAEGCAFHEHHKKKEAKKEDEVAYEKKHYYHKEGEIVVDYGEDKHHKYSENLSRPDVIAASVYALYEKGKEKKDLEHAHCHKIQEKKTATTVGGVEEFALHKHHEKKEA >Manes.02G181000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14404775:14408997:1 gene:Manes.02G181000.v8.1 transcript:Manes.02G181000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQQLCHCSQKGEKVDDYKGAEADVGTCVLEKHVDIRKVQMEITAQAAIGIKGFAFQKHHKQKEVKKEDEKKHQHLFFHKESREAIDSKQEKHPKYLEHLGKLGIDITGACALNEKYKEKIGLAHAHIHNVKAQIGEAAVRGAEEFIFQKHLGKKEAKKNKELHEKKHHHLFYPHKKSQEAIDYKEEEKKHHEHLECFVKLGAGITGTSALNEKHKEEKDLEHVQIHKAKVEIAAATAKEVEAFTFQEHHEEKEAKKKDEEVHEKKHCHLLYHEKESEENIDYNEEEKHQKHLEDLSKLDVGNTGACTLHEEKKISENAHSHKVKAEVEAGAAAGATGFAFHEHHDKKEAKKEDEVLLEKNHYYHLYHHKESENVVDYKEEEKYYKHSENLGRLDVAATGAHALHEKREERKDSESAYSHKIKEEIDAAAAIGAEGFAIHEQYEKKGGEDVIDYKEKEHYKYFEQLGEMDASTARAHALHEKREAEKAQEHAYNCEGNEKIVAMSAIEAKGFTFHEHHEKKEAKKKDEEEHEKNHRHLFYHQKESKENIDYKEEEKHHKLLEHLSKLSAGVTGDYALHEKKTEKKDLEHAHNHEIKAETVAVAITEAEGCAFHEHHKKKEAKKEDEVAYEKKHYYHKEGEIVVDYGEDKHHKYSENLSRPDVIAASVYALYEKGKEKKDLEHAHCHKIQEKKTATTVGGVEEFALHKHHEKKEA >Manes.02G181000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14404775:14408994:1 gene:Manes.02G181000.v8.1 transcript:Manes.02G181000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQQLCHCSQKGEKVDDYKGAEADVGTCVLEKHVDIRKVQMEITAQAAIGIKGFAFQKHHKQKEVKKEDEKKHQHLFFHKESREAIDSKQEKHPKYLEHLGKLGIDITGACALNEKYKEKIGLAHAHIHNVKAQIGEAAVRGAEEFIFQKHLGKKEAKKNKELHEKKHHHLFYPHKKSQEAIDYKEEEKKHHEHLECFVKLGAGITGTSALNEKHKEEKDLEHVQIHKAKVEIAAATAKEVEAFTFQEHHEEKEAKKKDEEVHEKKHCHLLYHEKESEENIDYNEEEKHQKHLEDLSKLDVGNTGACTLHEEKKISENAHSHKVKAEVEAGAAAGATGFAFHEHHDKKEAKKEDEVLLEKNHYYHLYHHKESENVVDYKEEEKYYKHSENLGRLDVAATGAHALHEKREERKDSESAYSHKIKEEIDAAAAIGAEGFAIHEQYEKKGGEDVIDYKEKEHYKYFEQLGEMDASTARAHALHEKREAEKAQEHAYNCEGNEKIVAMSAIEAKGFTFHEHHEKKEAKKKDEEEHEKNHRHLFYHQKESKENIDYKEEEKHHKLLEHLSKLSAGVTGDYALHEKKTEKKDLEHAHNHEIKAETVAVAITEAEGCAFHEHHKKKEAKKEDEVAYEKKHYYHKEGEIVVDYGEDKHHKYSENLSRPDVIAASVYALYEKGKEKKDLEHAHCHKIQEKKTATTVGGVEEFALHKHHEKKEGEDVVDYEKGKKHHKHFEHLSEMGFVSASTYALHDKNEAKKDQEHASSYEGKEETAAMSAVGAERFAFNEYHEKKEAKKEIEEIHGKKPHYLLYHYKESNEAIDYKEEEKRLKHLEHLGKLGVSAGVVVAGAHSLHEENKDLEHAQSHKVKVNIGAASGERFAFLEHHKLKGANQENKEAHGEKHYRQLFYRKEGNDVVDYKEEEKHKYF >Manes.02G181000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14404775:14408997:1 gene:Manes.02G181000.v8.1 transcript:Manes.02G181000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQQLCHCSQKGEKVDDYKGAEADVGTCVLEKHVDIRKVQMEITAQAAIGIKGFAFQKHHKQKEVKKEDEKKHQHLFFHKESREAIDSKQEKHPKYLEHLGKLGIDITGACALNEKYKEKIGLAHAHIHNVKAQIGEAAVRGAEEFIFQKHLGKKEAKKNKELHEKKHHHLFYPHKKSQEAIDYKEEEKKHHEHLECFVKLGAGITGTSALNEKHKEEKDLEHVQIHKAKVEIAAATAKEVEAFTFQEHHEEKEAKKKDEEVHEKKHCHLLYHEKESEENIDYNEEEKHQKHLEDLSKLDVGNTGACTLHEEKKISENAHSHKVKAEVEAGAAAGATGFAFHEHHDKKEAKKEDEVLLEKNHYYHLYHHKESENVVDYKEEEKYYKHSENLGRLDVAATGAHALHEKREERKDSESAYSHKIKEEIDAAAAIGAEGFAIHEQYEKKGGEDVIDYKEKEHYKYFEQLGEMDASTARAHALHEKREAEKAQEHAYNCEGNEKIVAMSAIEAKGFTFHEHHEKKEAKKKDEEEHEKNHRHLFYHQKESKENIDYKEEEKHHKLLEHLSKLSAGVTGDYALHEKKTEKKDLEHAHNHEIKAETVAVAITEAEGCAFHEHHKKKEAKKEDEVAYEKKHYYHKEGEIVVDYGEDKHHKYSENLSRPDVIAASVYALYEKGKEKKDLEHAHCHKIQEKKTATTVGGVEEFALHKHHEKKEA >Manes.02G181000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14404775:14408994:1 gene:Manes.02G181000.v8.1 transcript:Manes.02G181000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQQLCHCSQKGEKVDDYKGAEADVGTCVLEKHVDIRKVQMEITAQAAIGIKGFAFQKHHKQKEVKKEDEKKHQHLFFHKESREAIDSKQEKHPKYLEHLGKLGIDITGACALNEKYKEKIGLAHAHIHNVKAQIGEAAVRGAEEFIFQKHLGKKEAKKNKELHEKKHHHLFYPHKKSQEAIDYKEEEKKHHEHLECFVKLGAGITGTSALNEKHKEEKDLEHVQIHKAKVEIAAATAKEVEAFTFQEHHEEKEAKKKDEEVHEKKHCHLLYHEKESEENIDYNEEEKHQKHLEDLSKLDVGNTGACTLHEEKKISENAHSHKVKAEVEAGAAAGATGFAFHEHHDKKEAKKEDEVLLEKNHYYHLYHHKESENVVDYKEEEKYYKHSENLGRLDVAATGAHALHEKREERKDSESAYSHKIKEEIDAAAAIGAEGFAIHEQYEKKGGEDVIDYKEKEHYKYFEQLGEMDASTARAHALHEKREAEKAQEHAYNCEGNEKIVAMSAIEAKGFTFHEHHEKKEAKKKDEEEHEKNHRHLFYHQKESKENIDYKEEEKHHKLLEHLSKLSAGVTGDYALHEKKTEKKDLEHAHNHEIKAETVAVAITEAEGCAFHEHHKKKEAKKEDEVAYEKKHYYHKEGEIVVDYGEDKHHKYSENLSRPDVIAASVYALYEKGKEKKDLEHAHCHKIQEKKTATTVGGVEEFALHKHHEKKEGEDVVDYEKGKKHHKHFEHLSEMGFVSASTYALHDKNEAKKDQEHASSYEGKEETAAMSAVGAERFAFNEYHEKKEAKKEIEEIHGKKPHYLLYHYKESNEAIDYKEEEKRLKHLEHLGKLGVSAGVVVAGAHSLHEENKDLEHAQSHKVKVNIGAASGERFAFLEHHKLKGANQENKEAHGEKHYRQLFYRKEGNDVVDYKEEEKHKYF >Manes.S038116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1057603:1057983:1 gene:Manes.S038116.v8.1 transcript:Manes.S038116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.09G084900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22442422:22448008:1 gene:Manes.09G084900.v8.1 transcript:Manes.09G084900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTQPDTFYTEEATRSVISDEPSDLVVQINNVSYLLHKLQFSLLPKCGLLQRLCSDFDESSNETIELHDIPGGEEAFELCAKYCYGITINLSAHNFVPAFCAAKFLRMTEAFEKGNLVLKLESFLHSCILEGWKDSIVTLQTTSKLTEWSENLGIIRKCIDSIVEKILIDPAKVSWSYTYTRTGYKKSQKSIPKDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYACRWLPDTTRIIPSENSVSQAEGVTEKNRRILESIVSMIPADRGSVSVGFLLRLLSIANYIGASPVLKTELIKKCSLQIEDATPSDLVFPSHSSASQHFYDVDLLVLVIESFLILWRRQPPESVENTRLGRAIRKIGRLIDTYLQAVARDKNMPVRKVVSLAEALPDISRKDHDDLYKAINIYLKEHPDLSKEDKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLYFEQEKGSRATDPRMLTHELLSRGKQMQIIRDDLNKLRLGGDEQSVRTVGMGRTPISESSARDYQKKKETR >Manes.09G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22441602:22448164:1 gene:Manes.09G084900.v8.1 transcript:Manes.09G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTQPDTFYTEEATRSVISDEPSDLVVQINNVSYLLHKFSLLPKCGLLQRLCSDFDESSNETIELHDIPGGEEAFELCAKYCYGITINLSAHNFVPAFCAAKFLRMTEAFEKGNLVLKLESFLHSCILEGWKDSIVTLQTTSKLTEWSENLGIIRKCIDSIVEKILIDPAKVSWSYTYTRTGYKKSQKSIPKDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYACRWLPDTTRIIPSENSVSQAEGVTEKNRRILESIVSMIPADRGSVSVGFLLRLLSIANYIGASPVLKTELIKKCSLQIEDATPSDLVFPSHSSASQHFYDVDLLVLVIESFLILWRRQPPESVENTRLGRAIRKIGRLIDTYLQAVARDKNMPVRKVVSLAEALPDISRKDHDDLYKAINIYLKEHPDLSKEDKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLYFEQEKGSRATDPRMLTHELLSRGKQMQIIRDDLNKLRLGGDEQSVRTVGMGRTPISESSARDYQKKKETR >Manes.09G084900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22441602:22448164:1 gene:Manes.09G084900.v8.1 transcript:Manes.09G084900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTQPDTFYTEEATRSVISDEPSDLVVQINNVSYLLHKLQFSLLPKCGLLQRLCSDFDESSNETIELHDIPGGEEAFELCAKYCYGITINLSAHNFVPAFCAAKFLRMTEAFEKGNLVLKLESFLHSCILEGWKDSIVTLQTTSKLTEWSENLGIIRKCIDSIVEKILIDPAKVSWSYTYTRTGYKKSQKSIPKDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYACRWLPDTTRIIPSENSVSQAEGVTEKNRRILESIVSMIPADRGSVSVGFLLRLLSIANYIGASPVLKTELIKKCSLQIEDATPSDLVFPSHSSASQHFYDVDLLVLVIESFLILWRRQPPESVENTRLGRAIRKIGRLIDTYLQAVARDKNMPVRKVVSLAEALPDISRKDHDDLYKAINIYLKEHPDLSKEDKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLYFEQEKGSRATDPRMLTHELLSRGKQMQIIRDDLNKLRLGGDEQSVRTVGMGRTPISESSARDYQKKKETR >Manes.09G084900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22442422:22448008:1 gene:Manes.09G084900.v8.1 transcript:Manes.09G084900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTQPDTFYTEEATRSVISDEPSDLVVQINNVSYLLHKFSLLPKCGLLQRLCSDFDESSNETIELHDIPGGEEAFELCAKYCYGITINLSAHNFVPAFCAAKFLRMTEAFEKGNLVLKLESFLHSCILEGWKDSIVTLQTTSKLTEWSENLGIIRKCIDSIVEKILIDPAKVSWSYTYTRTGYKKSQKSIPKDWWTEDISDLDIDLFRCIITAIRSTYILPPQLIGEALHVYACRWLPDTTRIIPSENSVSQAEGVTEKNRRILESIVSMIPADRGSVSVGFLLRLLSIANYIGASPVLKTELIKKCSLQIEDATPSDLVFPSHSSASQHFYDVDLLVLVIESFLILWRRQPPESVENTRLGRAIRKIGRLIDTYLQAVARDKNMPVRKVVSLAEALPDISRKDHDDLYKAINIYLKEHPDLSKEDKKRLCRSLDCQKLSPEVRAHAVKNERLPLRTVVQVLYFEQEKGSRATDPRMLTHELLSRGKQMQIIRDDLNKLRLGGDEQSVRTVGMGRTPISESSARDYQKKKETR >Manes.03G199400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31603467:31604039:-1 gene:Manes.03G199400.v8.1 transcript:Manes.03G199400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLIADAVTVASQQGLGFANSPLHRQNCVSNGNFALSARFLQISAVILDALAPPPVKSNSCKTTRRTLLRKKRRTRRRSCSGGGYEEFGEDIGFWGGDGDGDGPFGGAGGSWGGGRGWNFDRFGGQNWDESSWSSSSEFAYGFVYEVIYWIALSTCMHFAFEKVVRIMANGIGDTEREKVSMRLTSVC >Manes.13G153400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36746440:36749004:-1 gene:Manes.13G153400.v8.1 transcript:Manes.13G153400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPDSSACCYGSFRHIHDHGVIASIFKSCAVLSSIKWGKALHSSILKIGHLSSHQVSKALLNMYAKCGALSDCNKLFSEVGYCGHDPVFWNILLSGFAGSRNYDAEALSLFNKMHVANEAKPSSVTAAIILPVCARMRDIYAGKSLHCYMIKYGLETHTLVGNALVSMYAKCGLVHDDAYAAFDSTRDKDVVSWNAIIAGFSENKLEDNALSLFSLMLKSQIKPNHATVANILPVCASFDRSIAYCFGKEIHCYSLRHHVLLADVSVCNALVSFYLIVGRVKEAQLLFQRMEFKDLISWNAIISGYALNGEWSKALELFGELLSSELSGPDSVTLISILPACAHLKNLKVGREIHSYVLRHPYFCQDTSIGNAIVSFYAKCHNIEAAYHTFLMISNRDLISWNSMLDAFAEGGHNIRFLELLHWMLKEGIRPDSITILTVLHFCAYLLNVDKVKETHCYSLRHGLLLSNVEPSTGNAMLDTYAKCSNIEYAFKVFQSLSDKKNLVTFNSMISGYVNCGLYDDAYTIFNKMTATDLTTWNLMVQGYAENDCPDQALGLLHQLQARGMKPDAVTIMSLLPACAQIASVHLLKQCHGYVIRACFGDAHLEAALLDVYAKCGSISYASKLFQSNTGRDLVIFTAMVGGYAMHGMGEEALRIFSHMLELGIKPDHIIVTAVLSACRHAGLVDEGLKIFYSIEKRHGMSPTMEHYSCVVDLLARGGRIHDAYSFVTGMPVEANASVWGTLLGACRMYNDVELGRAVAERLFKIEAKNIGNYVLLSNLFAADARWDDVMEIRKLMKMRYIKKPAGCSWIEVERRKNVFVAGDSSHPQRSKIYTILGTLDLQIKESFQLNQ >Manes.15G099200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7739874:7753498:-1 gene:Manes.15G099200.v8.1 transcript:Manes.15G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLSWPCEDELKRMRQIVSAMAGRSPEEVRVVVSPYRICPLGAHIDHQGGIVSAMTINKGVLLGFIPSGDTKIILRSGQFNGEVRISIDEVQYPRPIRKNGENHACDSRKLQEDSNWGNFARGAVYALQSRGISITQGIIGYINGSEGFDSSGLSSSAAVGVAYLLALESANNLTIPPTENIEYDRVIENEYFGLKNGILDQSAILLSRHGCLTCMDCKTKEHKLIRPLKLQKFYKILVAFSGLRDALTNNPGYNCRVAECQQAARFLLKASGNDNLEPYLCNVEPEAYEMYKSKLEPILAKRAEHYFSENNRVIKGLEAWASGDLQEFGKLISASGLSSIQNYECGCEPLKQLYEILLTAPGVFGARFSGAGFRGCCVAFVDADFAEEAASFVREEYLKAQPELATQLNPNSAVTICEGDGCARVI >Manes.12G065001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6407142:6410138:-1 gene:Manes.12G065001.v8.1 transcript:Manes.12G065001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSSSVYLLWILLLCHLIPFTCSLNSSSQPRCHDYERSALLQFKQSFIIHKTSNGCDPKVESWKLVDGERCDCCSWDGVECDEETNHVIGLHLENSCLYGSLNSNSTLFHLVHLQSLNLANNNFNFSQIPYQIGRLSRLTHLDISYSILSDQIPQQIFNLTKLISLKLSNNFNTQKLHKPNFEDLVQHLTNLKVLHLSSVDISSRLPQLLANYSSLQSLHLSGCKLQGDFPVGIFQLPNLKILDLSRNQDLKGFLPPFQLKSLLKSLILSATNFGGELHSSLGNLPYLKKLDIFYCNFTGQIPYSFSNLSKLVHLDLSFNYFSFHSPSISSFSWVGNLTKLKALGLAGLNLNGEIPSWLMNLSQLSLVNLGSNQLTGPIPSSLANLSQLEVIYFWNNQLSGQIPFEIYSLSSLSELVLSSNQLQGSIPSNVSQLKSLQVLELHSNNLVGLVEMREFFQLKKLTILTLSFNSLTLVTEFSINHSNLYFGVLGLASCNLTSFPSFLDNQDLLLFLDLSSNNIQGKIPSWMCSISANSLDYLNLSHNLLTGFENDICLTQWTKIRTLDLRSNRLHGSLPLPPSSTSSYLISYNNLVGELPVELCSLSSLETLDLSFNNLSGRLPHCLGNMSDSLSLLDLRRNNFNGNIPSAWRSGCKLRMISISYNQLQGQVPRSLAKCSSLELIDFGNNHILDRFPSWLGNLKDLRILILRSNGFYDVLEKPKTKGFSNLRIIDLSHNSFTGKLPSMYFEMWDAMKVINSSHMTYMGDSMQPSWYYVFTYYGQYDYSMILYNKGLELEYRKIPDILTAIDFSYNKFEGEIPDMIGNLQGLYLLNLSNNLLNGHIPSSLQNLKAIECLDLSRNMLSGNIPPELTKLTSLSSFNVSYNQLEGPIPRGNQFNTFERNQYEGNWGLCGAPLEKKCEESPPEPPNFVEDDDTGIEFKLEWMIVLMGFGIGFIIGVGVGHKVTSKKQNWFIKSFGKEERTRQRGKNRRNRN >Manes.11G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30697881:30700303:1 gene:Manes.11G141600.v8.1 transcript:Manes.11G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRAVLLLLLLVLCYGVTRAIRFEEEETEEEGTERKERREGEDWFLLHDSKHVVKTDAGNVRVVRSSGWGMIERPMHIGFITMEPKTLFIPQYLDSSLIILLRRGEAKLGLIYRDELGERRLKSGDIYRIPAGSAFYLVNTGEGQRLHVVCCIDRSESLGMGTAFQSFFIGGGTYPTSVLSGFEPETLSIAFNITVDELEEILTTQKDGPIVHLEDSQAKFIKMNEKDRLQHLKKLVEFEEESMEVEKETTWSWRKLLNSVFGLENEKKRREKTGKSPKTCNIYKKSPDFRNNYGSSIALEDTNCDPLKHSGVGVYHVNLTAGSMMAPHVNPTATEYGIVLRGTGIIQIVYPNGTQAMKARVAEGDVFWVPRYFPFCQIASRTGPFEFFGFTTSARKNRPQFLAGASSILRTLKGPELAAAYGMTNESLHHLIESQRESIILPSTPVSPPDMVKKKANSERVQKAIRSFDNDMLMGFD >Manes.01G189200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36533808:36538135:1 gene:Manes.01G189200.v8.1 transcript:Manes.01G189200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Manes.15G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8905824:8914518:1 gene:Manes.15G111500.v8.1 transcript:Manes.15G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGGGAGGAAAAAAAAGAGSVGVGGPGGGGGNDVELMCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDQDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSARSEGWAAFRNILAEINEASRLFIMPNQQSSEPSERLVGLSDDVGAGFISGHSSQPASASELNVDRSVELTAQEEIGNMGVSKVIRADQKKFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMTGANVRTVDPPQR >Manes.15G111500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8905824:8914518:1 gene:Manes.15G111500.v8.1 transcript:Manes.15G111500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSGGGGAGGAAAAAAAAGAGSVGVGGPGGGGGNDVELMCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDQDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSARSEGWAAFRNILAEINEASRLFIMPNQQSSEPSERLVGLSDDVGAGFISGHSSQPASASELNVDRSVELTAQEEIGNMGVSKVIRADQKKFFFDLGSNNRGHFLRISEVNGSLMWTIFLLIY >Manes.10G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13946999:13958031:1 gene:Manes.10G075000.v8.1 transcript:Manes.10G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAKHMGISPSLVSCQTKSYACPKHSRPEEMSDAECECSEISEQKLWTMSETVQTEPGDTSTAVPQLLASKELQQYSEDATTFTLAEKLEPQPEDACKSSLTVKNLGPKLTVIDQKLEFGSEDTCSGPSKEYYLLGSGLIQDDNEPSTFTIDETSQPLSADAAKNSLTEDLKLPCQDASKSSQIGESPCPQQSMSGRTLEFSSDIVFCEPSLERTKDCCDSVKGELVEISMTLSSCTATGHLESPPVLLSKSSPSKYLGPASDNLVDIPAAEKLPPPHDEVDKHWNLEQSETLSKGAVSNSSWVGQRVKTAAKPSRKNYILRSLVGSGRVLRSRSQEKSKAPDLSAKLANISSKIEKTRKNKKKRQGKIIESDEYSRIRKRLRYLLTRMSYEQTLITAYCAEGWKGLSLEKLKPEKELIRATSEVSRCKLKIRDLFQRIDSLCTEGKLPESLFDSEGQISSEDIFCANCGSKDVTSDNDIILCDGRCDRGFHQFCLVPPLSKEDIPPDDEGWLCPGCDCKVDCIELLNETQGTNISISDSWEKVFPEAAAAGQNPDQNVGLPSDDSDDNDYDPDEPEIDEESPGNESSSDESDFISASDELEAPPVDEQHLGLPSDDSEDDDYNPDAPDLDEKLEESSSSHFTSDSEDLAATFDGKELSREDENRVYIGPQGDTAREGSKHGGNKKQPLRSELLSILEASNQDGSVPISGKRNMERLDYQRVYNETYGNISSNSSDDEDFTDTVGPKKRRESTEVSPATMNGDASVTKIGKQDLKETEHNPKRSCQQSNFENTSISPAKAHKGSSPSRSYGKTVRPSEYRRLGEAATQGLHKSFRENQYPNRTAKESLAKELGITFKQVNKWFENARWSFNHSSSMDALVRKSSEKISPVPKTNSKPHAQGPETVDRDATCNRSRSGESPAVDDGSQEGSKQNSKTQKSRKRKHT >Manes.10G075000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13947720:13957930:1 gene:Manes.10G075000.v8.1 transcript:Manes.10G075000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTLEFSSDIVFCEPSLERTKDCCDSVKGELVEISMTLSSCTATGHLESPPVLLSKSSPSKYLGPASDNLVDIPAAEKLPPPHDEVDKHWNLEQSETLSKGAVSNSSWVGQRVKTAAKPSRKNYILRSLVGSGRVLRSRSQEKSKAPDLSAKLANISSKIEKTRKNKKKRQGKIIESDEYSRIRKRLRYLLTRMSYEQTLITAYCAEGWKGLSLEKLKPEKELIRATSEVSRCKLKIRDLFQRIDSLCTEGKLPESLFDSEGQISSEDIFCANCGSKDVTSDNDIILCDGRCDRGFHQFCLVPPLSKEDIPPDDEGWLCPGCDCKVDCIELLNETQGTNISISDSWEKVFPEAAAAGQNPDQNVGLPSDDSDDNDYDPDEPEIDEESPGNESSSDESDFISASDELEAPPVDEQHLGLPSDDSEDDDYNPDAPDLDEKLEESSSSHFTSDSEDLAATFDGKELSREDENRVYIGPQGDTAREGSKHGGNKKQPLRSELLSILEASNQDGSVPISGKRNMERLDYQRVYNETYGNISSNSSDDEDFTDTVGPKKRRESTEVSPATMNGDASVTKIGKQDLKETEHNPKRSCQQSNFENTSISPAKAHKGSSPSRSYGKTVRPSEYRRLGEAATQGLHKSFRENQYPNRTAKESLAKELGITFKQVNKWFENARWSFNHSSSMDALVRKSSEKISPVPKTNSKPHAQGPETVDRDATCNRSRSGESPAVDDGSQEGSKQNSKTQKSRKRKHT >Manes.10G075000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13946999:13957970:1 gene:Manes.10G075000.v8.1 transcript:Manes.10G075000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTLEFSSDIVFCEPSLERTKDCCDSVKGELVEISMTLSSCTATGHLESPPVLLSKSSPSKYLGPASDNLVDIPAAEKLPPPHDEVDKHWNLEQSETLSKGAVSNSSWVGQRVKTAAKPSRKNYILRSLVGSGRVLRSRSQEKSKAPDLSAKLANISSKIEKTRKNKKKRQGKIIESDEYSRIRKRLRYLLTRMSYEQTLITAYCAEGWKGLSLEKLKPEKELIRATSEVSRCKLKIRDLFQRIDSLCTEGKLPESLFDSEGQISSEDIFCANCGSKDVTSDNDIILCDGRCDRGFHQFCLVPPLSKEDIPPDDEGWLCPGCDCKVDCIELLNETQGTNISISDSWEKVFPEAAAAGQNPDQNVGLPSDDSDDNDYDPDEPEIDEESPGNESSSDESDFISASDELEAPPVDEQHLGLPSDDSEDDDYNPDAPDLDEKLEESSSSHFTSDSEDLAATFDGKELSREDENRVYIGPQGDTAREGSKHGGNKKQPLRSELLSILEASNQDGSVPISGKRNMERLDYQRVYNETYGNISSNSSDDEDFTDTVGPKKRRESTEVSPATMNGDASVTKIGKQDLKETEHNPKRSCQQSNFENTSISPAKAHKGSSPSRSYGKTVRPSEYRRLGEAATQGLHKSFRENQYPNRTAKESLAKELGITFKQVNKWFENARWSFNHSSSMDALVRKSSEKISPVPKTNSKPHAQGPETVDRDATCNRSRSGESPAVDDGSQEGSKQNSKTQKSRKRKHT >Manes.10G075000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13947720:13957930:1 gene:Manes.10G075000.v8.1 transcript:Manes.10G075000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTLEFSSDIVFCEPSLERTKDCCDSVKGELVEISMTLSSCTATGHLESPPVLLSKSSPSKYLGPASDNLVDIPAAEKLPPPHDEVDKHWNLEQSETLSKGAVSNSSWVGQRVKTAAKPSRKNYILRSLVGSGRVLRSRSQEKSKAPDLSAKLANISSKIEKTRKNKKKRQGKIIESDEYSRIRKRLRYLLTRMSYEQTLITAYCAEGWKGLSLEKLKPEKELIRATSEVSRCKLKIRDLFQRIDSLCTEGKLPESLFDSEGQISSEDIFCANCGSKDVTSDNDIILCDGRCDRGFHQFCLVPPLSKEDIPPDDEGWLCPGCDCKVDCIELLNETQGTNISISDSWEKVFPEAAAAGQNPDQNVGLPSDDSDDNDYDPDEPEIDEESPGNESSSDESDFISASDELEAPPVDEQHLGLPSDDSEDDDYNPDAPDLDEKLEESSSSHFTSDSEDLAATFDGKELSREDENRVYIGPQGDTAREGSKHGGNKKQPLRSELLSILEASNQDGSVPISGKRNMERLDYQRVYNETYGNISSNSSDDEDFTDTVGPKKRRESTEVSPATMNGDASVTKIGKQDLKETEHNPKRSCQQSNFENTSISPAKAHKGSSPSRSYGKTVRPSEYRRLGEAATQGLHKSFRENQYPNRTAKESLAKELGITFKQVNKWFENARWSFNHSSSMDALVRKSSEKISPVPKTNSKPHAQGPETVDRDATCNRSRSGESPAVDDGSQEGSKQNSKTQKSRKRKHT >Manes.10G075000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13947720:13957930:1 gene:Manes.10G075000.v8.1 transcript:Manes.10G075000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAKHMGISPSLVSCQTKSYACPKHSRPEEMSDAECECSEISEQKLWTMSETVQTEPGDTSTAVPQLLASKELQQYSEDATTFTLAEKLEPQPEDACKSSLTVKNLGPKLTVIDQKLEFGSEDTCSGPSKEYYLLGSGLIQDDNEPSTFTIDETSQPLSADAAKNSLTEDLKLPCQDASKSSQIGESPCPQQSMSGRTLEFSSDIVFCEPSLERTKDCCDSVKGELVEISMTLSSCTATGHLESPPVLLSKSSPSKYLGPASDNLVDIPAAEKLPPPHDEVDKHWNLEQSETLSKGAVSNSSWVGQRVKTAAKPSRKNYILRSLVGSGRVLRSRSQEKSKAPDLSAKLANISSKIEKTRKNKKKRQGKIIESDEYSRIRKRLRYLLTRMSYEQTLITAYCAEGWKGLSLEKLKPEKELIRATSEVSRCKLKIRDLFQRIDSLCTEGKLPESLFDSEGQISSEDIFCANCGSKDVTSDNDIILCDGRCDRGFHQFCLVPPLSKEDIPPDDEGWLCPGCDCKVDCIELLNETQGTNISISDSWEKVFPEAAAAGQNPDQNVGLPSDDSDDNDYDPDEPEIDEESPGNESSSDESDFISASDELEAPPVDEQHLGLPSDDSEDDDYNPDAPDLDEKLEESSSSHFTSDSEDLAATFDGKELSREDENRVYIGPQGDTAREGSKHGGNKKQPLRSELLSILEASNQDGSVPISGKRNMERLDYQRVYNETYGNISSNSSDDEDFTDTVGPKKRRESTEVSPATMNGDASVTKIGKQDLKETEHNPKRSCQQSNFENTSISPAKAHKGSSPSRSYGKTVRPSEYRRLGEAATQGLHKSFRENQYPNRTAKESLAKELGITFKQVNKWFENARWSFNHSSSMDALVRKSSEKISPVPKTNSKPHAQGPETVDRDATCNRSRSGESPAVDDGSQEGSKQNSKTQKSRKRKHT >Manes.10G075000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13947720:13957930:1 gene:Manes.10G075000.v8.1 transcript:Manes.10G075000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAKHMGISPSLVSCQTKSYACPKHSRPEEMSDAECECSEISEQKLWTMSETVQTEPGDTSTAVPQLLASKELQQYSEDATTFTLAEKLEPQPEDACKSSLTVKNLGPKLTVIDQKLEFGSEDTCSGPSKEYYLLGSGLIQDDNEPSTFTIDETSQPLSADAAKNSLTEDLKLPCQDASKSSQIGESPCPQQSMSGRTLEFSSDIVFCEPSLERTKDCCDSVKGELVEISMTLSSCTATGHLESPPVLLSKSSPSKYLGPASDNLVDIPAAEKLPPPHDEVDKHWNLEQSETLSKGAVSNSSWVGQRVKTAAKPSRKNYILRSLVGSGRVLRSRSQEKSKAPDLSAKLANISSKIEKTRKNKKKRQGKIIESDEYSRIRKRLRYLLTRMSYEQTLITAYCAEGWKGLSLEKLKPEKELIRATSEVSRCKLKIRDLFQRIDSLCTEGKLPESLFDSEGQISSEDIFCANCGSKDVTSDNDIILCDGRCDRGFHQFCLVPPLSKEDIPPDDEGWLCPGCDCKVDCIELLNETQGTNISISDSWEKVFPEAAAAGQNPDQNVGLPSDDSDDNDYDPDEPEIDEESPGNESSSDESDFISASDELEAPPVDEQHLGLPSDDSEDDDYNPDAPDLDEKLEESSSSHFTSDSEDLAATFDGKELSREDENRVYIGPQGDTAREGSKHGGNKKQPLRSELLSILEASNQDGSVPISGKRNMERLDYQRVYNETYGNISSNSSDDEDFTDTVGPKKRRESTEVSPATMNGDASVTKIGKQDLKETEHNPKRSCQQSNFENTSISPAKAHKGSSPSRSYGKTVRPSEYRRLGEAATQGLHKSFRENQYPNRTAKESLAKELGITFKQVNKWFENARWSFNHSSSMDALVRKSSEKISPVPKTNSKPHAQGPETVDRDATCNRSRSGESPAVDDGSQEGSKQNSKTQKSRKRKHT >Manes.11G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10140648:10143496:1 gene:Manes.11G070200.v8.1 transcript:Manes.11G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLETLLIVGSLLLPTAAATTAKGRRNCGGRCGDIEIQYPFGIRADCSMDNWFVIDCIQTANSTTPFISSINLELLNIDYAQSHVIVKGPIFSYKCSHPNTGQAVNLTNTSFAFSVFNIFAVVGCNNRAVLSSSQLDCVGCQPTCEENVKPQGCYGNRCCQAPIPHYQQIFAPSFEDLDDEQCRMAFVARRQWFKYNVQDPYKVQELEYVPILLDWKMNAKALGSFIIDEDSSYYDPIAYYDKSDFPYPYNTALRCRDGFTGNPYLPHGCQESNECKDPMVRSRCHGLCINTKGSHKCVLKRSWIIILSISVAIGTLILLLSTWWLYEFIKKRKQIKRRRKFFEQNGGLLLRQQLRPSHGNVERTKIFSCKELDKATDHFNVSRILGQGGQGTVYKGMLVDGRIVAIKKSMKANKAKVEEFINECVILSQINHRNIVKLLGCCLETEVPLLVYEFIPNGTLYQYLHHQNNEFQLTWEMRLQIATQVSRAISYLHSEVCIPIYHRDIKSTNILLDEKYTAKVSDFGASRYIQIDRSHLTTHVKGTFGYVDPEYFQSSMLTEKSDVYSFGVVLVELLTGQKPVSSERVQEGIGLAACFILSMEDDKLFDIIDTQIVNKCDREEIIAVANLAKRCLNLNGKLRPTMKEVSIELEGIRLSHKDRNVQHNVGNEIEPNPSNTSSASSASSSKSQVSNISSLKQRQ >Manes.18G039000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3443076:3445355:-1 gene:Manes.18G039000.v8.1 transcript:Manes.18G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYIFILFCCLSLTTVSSQTDNYIVFMDISARPKAFSSQHTWHLATLSSVFAVSKARSTTVTASSSKLLYTYTHVISGFSAHLSSDELETLKNSPGYISSIKDLPVKHDTTRSPSFLGLSLNSGAWNVSNYGEDVIIGVVDTGVWPESESYSDKGISKIPKRWKGKCENGVQFNSSLCNNKLIGARFFNKALFAKNPNITLSMNSTRDTVGHGTHTSSTAAGNFVEGASYFGYAPGTASGVAPRAHVAMYKALWEEGSYISDIIAAIDQAIIDGVDVLSISLGLNGFALYEDPVALATFAAIEQNIFVSTSAGNDGPFRGSLHNGTPWVLTVAAGTIDREFDGVLTLRNGISVTGVALYPGNFSSIQIPIVFKGECFDLRGLIDAKQNIIVCEEGNTSLEDQFENIGIANATGAIFITNFIDLESFIPTQFPAIFINPKDGETIKSYINSSSKPQANMEFKKTNLDIKIGPSLTSYSSRGPSLSCPSVLKPDIMAPGSLILAAWPENILVERINANGIFSNFNLESGTSMACPHAAGVAALLKKAHPNWSPAAIRSAMMTTADTIDHTPGPIKDIGYANQPATPLDMGAGQINPNKALDPGLIYDVNSTDYVNLLCALNFTKKQIQIITKSPSNDCSSPSLDLNYPSFLAYFNARDSESNLTTNQEFHRMVTNVGEGMSTYTANLTPMKGLNISVTPSKLEFKAKNEKLSYKLVIQGPRQIEEDIIFGYLSWVDSKAKHVVKSPIAVTSLLLDTLGS >Manes.06G149900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27821314:27822036:1 gene:Manes.06G149900.v8.1 transcript:Manes.06G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSDISLPKEQEQGQKMQEDHVSSLKRGQTVAPLKKAGFLSFAQLNALAVITVLAASGMVSPEDFAFVAFSIVYILFISRVAFPCVNPSKDSFVFYPKHKILRLYVSVGVIIGLFLPIAYIFEGIFEGDKEGIKAAAPHVFLLASQVFIEGMSSSFMFAIPVRVFAAVLFNSKRIFTIMEWLSSEIYKVEQDYGGSTRRLHVGRALAVVNMAFWGFNLFGFLLPVYLPKAFKSYYSEH >Manes.11G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15236720:15242086:-1 gene:Manes.11G081300.v8.1 transcript:Manes.11G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNEEANSEMMQRLQSSFGTTQSSSSMSKQPFTSMNQLDIPQLNQTQMRARHFAHFAPNFTSDNSKRVGIPPSHPNQIPPISPYSQIPVSRPTSQQMGSQNFSPGPTHSRSLSQPSLFSLDSLPPLSPAPFRDSSSTSASDPVSADVSMEDRDANSHSLISPSPINSGNTARVGEGLPPRKTHRRSNSDIPFGFTSVMQSSPPLVQLRGSGVLERSISGKENSGVAKPAQLVKTEWERGVDSNAEGMGERKSEGEVDDLFSAYMNLDNIDALNSSGTDDKNGNQNHEDLDSRASGTKTTGGDSSDNDAESSVNESGSSMPRAGLSSNEKREGIKRTAGGDIAPTTRHYRSFSMDSFMGKLNFGDESPKLPPSPGTRPVQLSPSNSSDGNAFSLEFGNGEFSGAELKKIMANEKLAEIAVTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDALNEALSAEVRRLKLATAELSGDSDPTKGMLQQLSINSQMFQLQQPQSSQLNIHQLQQQQQQQQQQQQQQQQSPVQLNIHQLQQQPQPQPQQQQNGKTSSNGESNQ >Manes.11G081300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15236720:15242086:-1 gene:Manes.11G081300.v8.1 transcript:Manes.11G081300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNEEANSEMMQRLQSSFGTTQSSSSMSKQPFTSMNQLDIPQLNQTQMRARHFAHFAPNFTSDNSKRVGIPPSHPNQIPPISPYSQIPVSRPTSQQMGSQNFSPGPTHSRSLSQPSLFSLDSLPPLSPAPFRDSSSTSASDPVSADVSMEDRDANSHSLISPSPINSGNTARVGEGLPPRKTHRRSNSDIPFGFTSVMQSSPPLVQLRGSGVLERSISGKENSGVAKPAQLVKTEWERGVDSNAEGMGERKSEGEVDDLFSAYMNLDNIDALNSSGTDDKNGNQNHEDLDSRASGTKTTGGDSSDNDAESSVNESGSSMPRAGLSSNEKREGIKRTAGGDIAPTTRHYRSFSMDSFMGKLNFGDESPKLPPSPGTRPVQLSPSNSSDGNAFSLEFGNGEFSGAELKKIMANEKLAEIAVTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDGWSQAVIWQFRVEKG >Manes.11G081300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15240018:15242086:-1 gene:Manes.11G081300.v8.1 transcript:Manes.11G081300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNEEANSEMMQRLQSSFGTTQSSSSMSKQPFTSMNQLDIPQLNQTQMRARHFAHFAPNFTSDNSKRVGIPPSHPNQIPPISPYSQIPVSRPTSQQMGSQNFSPGPTHSRSLSQPSLFSLDSLPPLSPAPFRDSSSTSASDPVSADVSMEDRDANSHSLISPSPINSGNTARVGEGLPPRKTHRRSNSDIPFGFTSVMQSSPPLVQLRGSGVLERSISGKENSGVAKPAQLVKTEWERGVDSNAEGMGERKSEGEVDDLFSAYMNLDNIDALNSSGTDDKNGNQNHEDLDSRASGTKTTGGDSSDNDAESSVNESGSSMPRAGLSSNEKREGIKRTAGGDIAPTTRHYRSFSMDSFMGKLNFGDESPKLPPSPGTRPVQLSPSNSSDGNAFSLEFGNGEFSGAELKKIMANEKLAEIAVTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQVAIWNT >Manes.11G081300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15236720:15242086:-1 gene:Manes.11G081300.v8.1 transcript:Manes.11G081300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNEEANSEMMQRLQSSFGTTQSSSSMSKQPFTSMNQLDIPQLNQTQMRARHFAHFAPNFTSDNSKRVGIPPSHPNQIPPISPYSQIPVSRPTSQQMGSQNFSPGPTHSRSLSQPSLFSLDSLPPLSPAPFRDSSSTSASDPVSADVSMEDRDANSHSLISPSPINSGNTARVGEGLPPRKTHRRSNSDIPFGFTSVMQSSPPLVQLRGSGVLERSISGKENSGVAKPAQLVKTEWERGVDSNAEGMGERKSEGEVDDLFSAYMNLDNIDALNSSGTDDKNGNQNHEDLDSRASGTKTTGGDSSDNDAESSVNESGSSMPRAGLSSNEKREGIKRTAGGDIAPTTRHYRSFSMDSFMGKLNFGDESPKLPPSPGTRPVQLSPSNSSDGNAFSLEFGNGEFSGAELKKIMANEKLAEIAVTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDGKDIASSFLTWWHELLCVKNSHLHYMRLTLDLATIPVQAGHRQ >Manes.04G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34145343:34151143:-1 gene:Manes.04G142900.v8.1 transcript:Manes.04G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRRKKATEPSESSASDVKTPSEEEDDDNKKKQQKKPTSGKAQKKPGIRPKWSCVDNCCWFVGCICMAWWILLFLYNAMPSYLPQYVTEAITGPLPDPPGVKLRKEGLKAKHPVVFVPGIVTAGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPLCWVEHMSLNNETGLDPPGIRVRPVSGLVAADYFAPGYFVWAVMIANLARIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRMKTNIELMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEARDIAVARAIAPGFLENDIFSLQTLQHVMKMSRTWDSTMSMIPKGGDTIWGDLDWSPEEGYIPSKGRQRNNDIKNGNQDGIENDVSQRKSVKYGRIISFGKDVAEAHSSDIGRIEFRDAVKGCSVANNTCRDVWTEYHEMGYGGIKAVAEYKVYTAGSILDLLNFVAPKMMERGSAHFSYGIADNLDDPKYEHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLSPAAECYIPFQIDTSADGEDEDSCLRDGVYTVDGDETVPVLSAGFMCAKAWRGKTRFNPSGSRTYIREYYHSPPSNLLEGRGTQSGAHVDIMGNFALIEDLMRVAAGATGEELGGDQVYSDIFKWSDKINLPL >Manes.04G142900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34145343:34151143:-1 gene:Manes.04G142900.v8.1 transcript:Manes.04G142900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIRRKKATEPSESSASDVKTPSEEEDDDNKKKQQKKPTSGKAQKKPGIRPKWSCVDNCCWFVGCICMAWWILLFLYNAMPSYLPQYVTEAITGPLPDPPGVKLRKEGLKAKHPVVFVPGIVTAGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPLCWVEHMSLNNETGLDPPGIRVRPVSGLVAADYFAPGYFVWAVMIANLARIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRMKTNIELMVATNGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEARDIAVARAIAPGFLENDIFSLQTLQHVMKMSRTWDSTMSMIPKGGDTIWGDLDWSPEEGYIPSKGRQRNNDIKNGNQDGIENDVSQRKSVKYGRIISFGKDVAEAHSSDIGRIEFRDAVKGCSVANNTCRDVWTEYHEMGYGGIKAVAEYKVYTAGSILDLLNFVAPKMMERGSAHFSYGIADNLDDPKYEHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLSPAAECYIPFQIDTSADGEDEDSCLRDGVYTVDGDETVPVLSAGFMCAKAWRGKTRFNPSGSRTYIREYYHSPPSNLLEGRGTQSGAHVDIMGNFALIEDLMRVAAGATGEELGGDQVYSDIFKWSDKINLPL >Manes.15G139900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11346661:11376562:1 gene:Manes.15G139900.v8.1 transcript:Manes.15G139900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEGQFPYRILAQNSSSPSSTSNPVDAVIFFGLCLVLGIASRHVLRGTRVPYTVALLVIGIALGSLEYGTSHGLGKIGDSIRIWAHIDPDLLLAVFLPALLFESSFSMEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESSNWGGIVKFLAKVSLGAVGIGLAFGITSVLWLGYIFNDMVIEIALTLAVSYIAYFTAQEGADVSGVLAVMTLGMFYSAAARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAESVLDSDNIFHNHGNSWGYLFLLYVFVQVSRFIVVGVLFPFLKYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSTVLTSETGTLFVFFTGGIVFLTLVVNGSTTQFLLHLLDMDKLSSAKKRILEYTKYEMLNKALAAFRDLGDDEELGPADWPTVKRYIASLNNLEGCVDPRNRTESNTNLDPTNLKDIRVRLLNGVQAAYWGMLDEGRITQMTANILMQSVDEAIDMAATGPLCDWKGLKANVHFPNYYRLLQASICPRKLVTYFIVGRLESACYICAAFLRAHRIARRQLHEFVGDSELASIVINESEAEGEDAREFLEDVRVTFPEVLRVVKTRQVTYSVLNHLIDYVQNLEMVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKITDLISIHPLLGALPPTVREPLEGSTKGTMKSRGVPLYKEGAKPNGVWLISNGVVKWRNNSIRNRHSLHPTFTHGSTLGIYEVLVGKAYICDMVTDSVVLCFFVESEKILSAARSDAKVEDFLWKESAIVLAKILLPQIFEKMEMQDLRALVSDWSMINIHLRGEIIEIPQHCIGFLLEGFVRAHVFQEELIVSPAALLPLHGNQSFGNAHGSQSIQNEEINVNHDE >Manes.15G139900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11346669:11376562:1 gene:Manes.15G139900.v8.1 transcript:Manes.15G139900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEGQFPYRILAQNSSSPSSTSNPVDAVIFFGLCLVLGIASRHVLRGTRVPYTVALLVIGIALGSLEYGTSHGLGKIGDSIRIWAHIDPDLLLAVFLPALLFESSFSMEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGNCGISVILPDGPWRELQLGRNSKISGESLSWSAQEGADVSGVLAVMTLGMFYSAAARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAESVLDSDNIFHNHGNSWGYLFLLYVFVQVSRFIVVGVLFPFLKYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSTVLTSETGTLFVFFTGGIVFLTLVVNGSTTQFLLHLLDMDKLSSAKKRILEYTKYEMLNKALAAFRDLGDDEELGPADWPTVKRYIASLNNLEGCVDPRNRTESNTNLDPTNLKDIRVRLLNGVQAAYWGMLDEGRITQMTANILMQSVDEAIDMAATGPLCDWKGLKANVHFPNYYRLLQASICPRKLVTYFIVGRLESACYICAAFLRAHRIARRQLHEFVGDSELASIVINESEAEGEDAREFLEDVRVTFPEVLRVVKTRQVTYSVLNHLIDYVQNLEMVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKITDLISIHPLLGALPPTVREPLEGSTKGTMKSRGVPLYKEGAKPNGVWLISNGVVKWRNNSIRNRHSLHPTFTHGSTLGIYEVLVGKAYICDMVTDSVVLCFFVESEKILSAARSDAKVEDFLWKESAIVLAKILLPQIFEKMEMQDLRALVSDWSMINIHLRGEIIEIPQHCIGFLLEGFVRAHVFQEELIVSPAALLPLHGNQSFGNAHGSQSIQNEEINGARAASLSHQRSCYQVEARARVIMFDMAAFEADRALVRRSSSLVPHTGDHPHRPLSREHGLMSWPENFYKEKMEKQKLESGQANSLPARAMQLSIFGSMVDVQGKAHRSSSSLFNRSQSMLFSRVASLNGRPLVSVRSEGSTTLRKNLRVEKFSRTVPAPPQQRTDTDESHVLDYSSDDSGAEDDHIIRIDSPSNLSFRQAT >Manes.15G139900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11346669:11376562:1 gene:Manes.15G139900.v8.1 transcript:Manes.15G139900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEGQFPYRILAQNSSSPSSTSNPVDAVIFFGLCLVLGIASRHVLRGTRVPYTVALLVIGIALGSLEYGTSHGLGKIGDSIRIWAHIDPDLLLAVFLPALLFESSFSMEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESSNWGGIVKFLAKVSLGAVGIGLAFGITSVLWLGYIFNDMVIEIALTLAVSYIAYFTAQEGADVSGVLAVMTLGMFYSAAARTAFKGDGQQSLHHFCGVVIAESVLDSDNIFHNHGNSWGYLFLLYVFVQVSRFIVVGVLFPFLKYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSTVLTSETGTLFVFFTGGIVFLTLVVNGSTTQFLLHLLDMDKLSSAKKRILEYTKYEMLNKALAAFRDLGDDEELGPADWPTVKRYIASLNNLEGCVDPRNRTESNTNLDPTNLKDIRVRLLNGVQAAYWGMLDEGRITQMTANILMQSVDEAIDMAATGPLCDWKGLKANVHFPNYYRLLQASICPRKLVTYFIVGRLESACYICAAFLRAHRIARRQLHEFVGDSELASIVINESEAEGEDAREFLEDVRVTFPEVLRVVKTRQVTYSVLNHLIDYVQNLEMVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKITDLISIHPLLGALPPTVREPLEGSTKGTMKSRGVPLYKEGAKPNGVWLISNGVVKWRNNSIRNRHSLHPTFTHGSTLGIYEVLVGKAYICDMVTDSVVLCFFVESEKILSAARSDAKVEDFLWKESAIVLAKILLPQIFEKMEMQDLRALVSDWSMINIHLRGEIIEIPQHCIGFLLEGFVRAHVFQEELIVSPAALLPLHGNQSFGNAHGSQSIQNEEINGARAASLSHQRSCYQVEARARVIMFDMAAFEADRALVRRSSSLVPHTGDHPHRPLSREHGLMSWPENFYKEKMEKQKLESGQANSLPARAMQLSIFGSMVDVQGKAHRSSSSLFNRSQSMLFSRVASLNGRPLVSVRSEGSTTLRKNLRVEKFSRTVPAPPQQRTDTDESHVLDYSSDDSGAEDDHIIRIDSPSNLSFRQAT >Manes.15G139900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11346669:11376562:1 gene:Manes.15G139900.v8.1 transcript:Manes.15G139900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEGQFPYRILAQNSSSPSSTSNPVDAVIFFGLCLVLGIASRHVLRGTRVPYTVALLVIGIALGSLEYGTSHGLGKIGDSIRIWAHIDPDLLLAVFLPALLFESSFSMEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESSNWGGIVKFLAKVSLGAVGIGLAFGITSVLWLGYIFNDMVIEIALTLAAQEGADVSGVLAVMTLGMFYSAAARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAESVLDSDNIFHNHGNHFLNGNSWGYLFLLYVFVQVSRFIVVGVLFPFLKYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSTVLTSETGTLFVFFTGGIVFLTLVVNGSTTQFLLHLLDMDKLSSAKKRILEYTKYEMLNKALAAFRDLGDDEELGPADWPTVKRYIASLNNLEGCVDPRNRTESNTNLDPTNLKDIRVRLLNGVQAAYWGMLDEGRITQMTANILMQSVDEAIDMAATGPLCDWKGLKANVHFPNYYRLLQASICPRKLVTYFIVGRLESACYICAAFLRAHRIARRQLHEFVGDSELASIVINESEAEGEDAREFLEDVRVTFPEVLRVVKTRQVTYSVLNHLIDYVQNLEMVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKITDLISIHPLLGALPPTVREPLEGSTKGTMKSRGVPLYKEGAKPNGVWLISNGVVKWRNNSIRNRHSLHPTFTHGSTLGIYEVLVGKAYICDMVTDSVVLCFFVESEKILSAARSDAKVEDFLWKESAIVLAKILLPQIFEKMEMQDLRALVSDWSMINIHLRGEIIEIPQHCIGFLLEGFVRAHVFQEELIVSPAALLPLHGNQSFGNAHGSQSIQNEEINGARAASLSHQRSCYQVEARARVIMFDMAAFEADRALVRRSSSLVPHTGDHPHRPLSREHGLMSWPENFYKEKMEKQKLESGQANSLPARAMQLSIFGSMVDVQGKAHRSSSSLFNRSQSMLFSRVASLNGRPLVSVRSEGSTTLRKNLRVEKFSRTVPAPPQQRTDTDESHVLDYSSDDSGAEDDHIIRIDSPSNLSFRQAT >Manes.15G139900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11346669:11376562:1 gene:Manes.15G139900.v8.1 transcript:Manes.15G139900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEGQFPYRILAQNSSSPSSTSNPVDAVIFFGLCLVLGIASRHVLRGTRVPYTVALLVIGIALGSLEYGTSHGLGKIGDSIRIWAHIDPDLLLAVFLPALLFESSFSMEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESSNWGGIVKFLAKVSLGAVGIGLAFGITSVLWLGYIFNDMVIEIALTLAAQEGADVSGVLAVMTLGMFYSAAARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAESVLDSDNIFHNHGNSWGYLFLLYVFVQVSRFIVVGVLFPFLKYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSTVLTSETGTLFVFFTGGIVFLTLVVNGSTTQFLLHLLDMDKLSSAKKRILEYTKYEMLNKALAAFRDLGDDEELGPADWPTVKRYIASLNNLEGCVDPRNRTESNTNLDPTNLKDIRVRLLNGVQAAYWGMLDEGRITQMTANILMQSVDEAIDMAATGPLCDWKGLKANVHFPNYYRLLQASICPRKLVTYFIVGRLESACYICAAFLRAHRIARRQLHEFVGDSELASIVINESEAEGEDAREFLEDVRVTFPEVLRVVKTRQVTYSVLNHLIDYVQNLEMVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKITDLISIHPLLGALPPTVREPLEGSTKGTMKSRGVPLYKEGAKPNGVWLISNGVVKWRNNSIRNRHSLHPTFTHGSTLGIYEVLVGKAYICDMVTDSVVLCFFVESEKILSAARSDAKVEDFLWKESAIVLAKILLPQIFEKMEMQDLRALVSDWSMINIHLRGEIIEIPQHCIGFLLEGFVRAHVFQEELIVSPAALLPLHGNQSFGNAHGSQSIQNEEINGARAASLSHQRSCYQVEARARVIMFDMAAFEADRALVRRSSSLVPHTGDHPHRPLSREHGLMSWPENFYKEKMEKQKLESGQANSLPARAMQLSIFGSMVDVQGKAHRSSSSLFNRSQSMLFSRVASLNGRPLVSVRSEGSTTLRKNLRVEKFSRTVPAPPQQRTDTDESHVLDYSSDDSGAEDDHIIRIDSPSNLSFRQAT >Manes.15G139900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11346555:11376562:1 gene:Manes.15G139900.v8.1 transcript:Manes.15G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEGQFPYRILAQNSSSPSSTSNPVDAVIFFGLCLVLGIASRHVLRGTRVPYTVALLVIGIALGSLEYGTSHGLGKIGDSIRIWAHIDPDLLLAVFLPALLFESSFSMEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESSNWGGIVKFLAKVSLGAVGIGLAFGITSVLWLGYIFNDMVIEIALTLAVSYIAYFTAQEGADVSGVLAVMTLGMFYSAAARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAESVLDSDNIFHNHGNSWGYLFLLYVFVQVSRFIVVGVLFPFLKYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSTVLTSETGTLFVFFTGGIVFLTLVVNGSTTQFLLHLLDMDKLSSAKKRILEYTKYEMLNKALAAFRDLGDDEELGPADWPTVKRYIASLNNLEGCVDPRNRTESNTNLDPTNLKDIRVRLLNGVQAAYWGMLDEGRITQMTANILMQSVDEAIDMAATGPLCDWKGLKANVHFPNYYRLLQASICPRKLVTYFIVGRLESACYICAAFLRAHRIARRQLHEFVGDSELASIVINESEAEGEDAREFLEDVRVTFPEVLRVVKTRQVTYSVLNHLIDYVQNLEMVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKITDLISIHPLLGALPPTVREPLEGSTKGTMKSRGVPLYKEGAKPNGVWLISNGVVKWRNNSIRNRHSLHPTFTHGSTLGIYEVLVGKAYICDMVTDSVVLCFFVESEKILSAARSDAKVEDFLWKESAIVLAKILLPQIFEKMEMQDLRALVSDWSMINIHLRGEIIEIPQHCIGFLLEGFVRAHVFQEELIVSPAALLPLHGNQSFGNAHGSQSIQNEEINGARAASLSHQRSCYQVEARARVIMFDMAAFEADRALVRRSSSLVPHTGDHPHRPLSREHGLMSWPENFYKEKMEKQKLESGQANSLPARAMQLSIFGSMVDVQGKAHRSSSSLFNRSQSMLFSRVASLNGRPLVSVRSEGSTTLRKNLRVEKFSRTVPAPPQQRTDTDESHVLDYSSDDSGAEDDHIIRIDSPSNLSFRQAT >Manes.15G139900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11347735:11376562:1 gene:Manes.15G139900.v8.1 transcript:Manes.15G139900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESSNWGGIVKFLAKVSLGAVGIGLAFGITSVLWLGYIFNDMVIEIALTLAVSYIAYFTAQEGADVSGVLAVMTLGMFYSAAARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAESVLDSDNIFHNHGNSWGYLFLLYVFVQVSRFIVVGVLFPFLKYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSTVLTSETGTLFVFFTGGIVFLTLVVNGSTTQFLLHLLDMDKLSSAKKRILEYTKYEMLNKALAAFRDLGDDEELGPADWPTVKRYIASLNNLEGCVDPRNRTESNTNLDPTNLKDIRVRLLNGVQAAYWGMLDEGRITQMTANILMQSVDEAIDMAATGPLCDWKGLKANVHFPNYYRLLQASICPRKLVTYFIVGRLESACYICAAFLRAHRIARRQLHEFVGDSELASIVINESEAEGEDAREFLEDVRVTFPEVLRVVKTRQVTYSVLNHLIDYVQNLEMVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKITDLISIHPLLGALPPTVREPLEGSTKGTMKSRGVPLYKEGAKPNGVWLISNGVVKWRNNSIRNRHSLHPTFTHGSTLGIYEVLVGKAYICDMVTDSVVLCFFVESEKILSAARSDAKVEDFLWKESAIVLAKILLPQIFEKMEMQDLRALVSDWSMINIHLRGEIIEIPQHCIGFLLEGFVRAHVFQEELIVSPAALLPLHGNQSFGNAHGSQSIQNEEINGARAASLSHQRSCYQVEARARVIMFDMAAFEADRALVRRSSSLVPHTGDHPHRPLSREHGLMSWPENFYKEKMEKQKLESGQANSLPARAMQLSIFGSMVDVQGKAHRSSSSLFNRSQSMLFSRVASLNGRPLVSVRSEGSTTLRKNLRVEKFSRTVPAPPQQRTDTDESHVLDYSSDDSGAEDDHIIRIDSPSNLSFRQAT >Manes.15G139900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11346669:11376562:1 gene:Manes.15G139900.v8.1 transcript:Manes.15G139900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEGQFPYRILAQNSSSPSSTSNPVDAVIFFGLCLVLGIASRHVLRGTRVPYTVALLVIGIALGSLEYGTSHGLGKIGDSIRIWAHIDPDLLLAVFLPALLFESSFSMEMHQIKRCMAQMLLLAGPGVLISTFCLGSALKLAFPYNWSWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESSNWGGIVKFLAKVSLGAVGIGLAFGITSVLWLGYIFNDMVIEIALTLAVSYIAYFTAQEGADVSGVLAVMTLGMFYSAAARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAESVLDSDNIFHNHGNHFLNGNSWGYLFLLYVFVQVSRFIVVGVLFPFLKYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSTVLTSETGTLFVFFTGGIVFLTLVVNGSTTQFLLHLLDMDKLSSAKKRILEYTKYEMLNKALAAFRDLGDDEELGPADWPTVKRYIASLNNLEGCVDPRNRTESNTNLDPTNLKDIRVRLLNGVQAAYWGMLDEGRITQMTANILMQSVDEAIDMAATGPLCDWKGLKANVHFPNYYRLLQASICPRKLVTYFIVGRLESACYICAAFLRAHRIARRQLHEFVGDSELASIVINESEAEGEDAREFLEDVRVTFPEVLRVVKTRQVTYSVLNHLIDYVQNLEMVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKIPKITDLISIHPLLGALPPTVREPLEGSTKGTMKSRGVPLYKEGAKPNGVWLISNGVVKWRNNSIRNRHSLHPTFTHGSTLGIYEVLVGKAYICDMVTDSVVLCFFVESEKILSAARSDAKVEDFLWKESAIVLAKILLPQIFEKMEMQDLRALVSDWSMINIHLRGEIIEIPQHCIGFLLEGFVRAHVFQEELIVSPAALLPLHGNQSFGNAHGSQSIQNEEINGARAASLSHQRSCYQVEARARVIMFDMAAFEADRALVRRSSSLVPHTGDHPHRPLSREHGLMSWPENFYKEKMEKQKLESGQANSLPARAMQLSIFGSMVDVQGKAHRSSSSLFNRSQSMLFSRVASLNGRPLVSVRSEGSTTLRKNLRVEKFSRTVPAPPQQRTDTDESHVLDYSSDDSGAEDDHIIRIDSPSNLSFRQAT >Manes.01G240600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40166383:40167856:1 gene:Manes.01G240600.v8.1 transcript:Manes.01G240600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKGFGLHVEDMIKVVTTNGGIMELYAPITAECITSEFPGHAIYRSRDLFSSPLLHNEELHGGHLYYLLPLNTNKNTSTSSNSKNSSINSTSSSSKLTPYRMSFDNQRVVKRSTEAEVFPRYNSTGVWKVKLVINPEQLAEILMHEARTEELIESVRTVAKCGNGVSSSSVASSDQWSISSSLK >Manes.05G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:786963:789820:1 gene:Manes.05G012600.v8.1 transcript:Manes.05G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSTVLSKIPSSSPKILAITQRFPQPIAPQQIRPVSLKGVLSKAAIKATSQSAATGSQSPGLYSAQKFELTAQNVDLVLEDVRPYLIADGGNVDVVSVEDGVISLKLQGACGSCPSSTTTMKMGIERVLKEKFGDAIKDIRQVYDEEPRETTAQAVNSHLDILRPAIKNFGGSVDVLSVDGGECHVKYSGPESIGSGIKAAIKEKFPDINDVVFV >Manes.S002616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:10067:10564:1 gene:Manes.S002616.v8.1 transcript:Manes.S002616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.15G103800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8218451:8224146:1 gene:Manes.15G103800.v8.1 transcript:Manes.15G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSQMLNPLQLMSQAHMMSQSQMMNSVQPQVLNQNSAILAQSQAMSQPPQKLNPVQSQSQTRMMSHSGAMTQPQPAMMMMNQRNYKPWSPQQQSSMDLKRKFQNQQNPLKFNNNISNNFVPSKPRSKKNWKGKTMNKDQRRMEKPMPNIPTTSNSAPALAGPCGFGGYKPPSLNDLQTQNRIKARKYYHPKKKFNNRFAPYAPRNTTSFIIRAKKAGGIASLVSPCPMTPAVLPTPMFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGNEVEVHDEEEEDEGNGSSESDVEEHVEVERRLDHDLSRFEMIYPTYGGGDYSYNNVLENRVDDQDTHIAQLEEENLTLKERLFLMERELGDLRRRLQFLERHQGQGRSLLAVEDVNEEVVENVSENGENENESDGGSDIGATGNENNEEVMEDVSGKGKIGVNDGKESYDVCMQETVSYNGEIGKDVIENKIVGSTFVVRNEVIEKVNEAKGEEVRNEVIIENLIAKEENNKLSKCDAFVNEVQDKVTEDN >Manes.12G062301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6164629:6166454:1 gene:Manes.12G062301.v8.1 transcript:Manes.12G062301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSMDRRCKPAGEIHVIVGPMFAGKTTALLRRIKSESNSGRNVAMIKSSKDTRYANDSLVTHDGLKFPCWALPDLSSFQHKLGDDAYLKILSTDFMSWKMLETHVTGLLLIDVICIDEAQFFDDLYDFCCKAADHDEKTIIVAGLDGDYLRRSFGSVLDIIPPADTITKLTARSELCGKRAFFTLRKTVGTQTELIGGSDVYMPVCRQHYINGQVVMEAARSVLESCKVQPDSHADFAAVANIYVILDFYFIAI >Manes.05G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2123349:2130194:1 gene:Manes.05G024000.v8.1 transcript:Manes.05G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRDIISDGFAESPKRRARGQANSFSRNSRVSQRFDSGRVDGDADTFNSRRKKVENRDLMNGRKGGKGMDSGFRRDGKAKRGKRDFVDDMEDEGDIDDNESGGKELIGHLNDLVNEESDDPDEDVQDDGMLKRNATSSFGSENYRPLSPEKSDSYLSESRFDQCSISPLSIKGIKDAGYEKMTVVQEATLPHILNGKDVLAKAKTGTGKTVAFLLPAIEVVVKSPIGRDKKRPPILVLVICPTRELASQAAGEAKTLLKYHSSTGVQVVIGGTKLALEQKQMQANPCQILVATPGRLRDHIENTSGFAARLMGVKVLVLDEADHLLDMGFRKDLEKIIAAVPEQRQTLLFSATIPEEVRQICHIALKRDHEFINTVQEGTEETHTQVRQMHLVALLDKHFPILYVLLKDHIVDNIDYKVLVFCTTAMVTRMVANLLGELKLNVREIHSRKAQNYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKEGLGILLLAPWEESFLSTIKDLPITKGSVPPVDPDTKAKVERALSHVEMKNKETAYQAWLGYYNSTKLVGRDKYRLVELANEFSRSMGLDNPPAIPKLVLGKMGLRNIPGLRSK >Manes.10G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15343260:15347011:-1 gene:Manes.10G076500.v8.1 transcript:Manes.10G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRPKDSDLQVAVPPEKSKLAIPPRPPSAVTASTAIVEYSAPVFKEEEEDLEVKLRRIIENVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLSRMDADYQKRKELAEFNMRREERLKAAEERTAKKRLKRQKKKLRKKEKKMRSSAGGDENKKEESSDDESDSDNGEEAAN >Manes.15G124575.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9909449:9918210:1 gene:Manes.15G124575.v8.1 transcript:Manes.15G124575.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGSAATGNRTRVGKYELGRTLGEGTFAKVKFATNTETGENVAIKILDKENVLKHKMIVQIKHEISTMQLIRHPNVIRMYEVMACKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVISNKGYDGAKADLWSCGVILFVLMAGYLPFEESSLMALYKKIFKAEFTCPPWFSSSAKKLIKRILDPNPLTRITFAEVIANEWFKKGYKPPIFEQSEVILDNVSSIFNDTGDCHNLVVERQEAPIGPVAPITMNAFELISTSQGLNLGSLFEKKMGLVKRETRFTSKHSANEIVSKIEEAAMPLGFEVKKNNFKMKLQGEKTGRKGQLSVATEIFEVAPSLHMVEVRKSCGDTLEFHKVLLWCQHDTWASINGLCCIEP >Manes.15G124575.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9909449:9918210:1 gene:Manes.15G124575.v8.1 transcript:Manes.15G124575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGSAATGNRTRVGKYELGRTLGEGTFAKVKFATNTETGENVAIKILDKENVLKHKMIVQIKHEISTMQLIRHPNVIRMYEVMACKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVISNKGYDGAKADLWSCGVILFVLMAGYLPFEESSLMALYKKIFKAEFTCPPWFSSSAKKLIKRILDPNPLTRITFAEVIANEWFKKGYKPPIFEQSEVILDNVSSIFNDTGDCHNLVVERQEAPIGPVAPITMNAFELISTSQGLNLGSLFEKKMGLVKRETRFTSKHSANEIVSKIEEAAMPLGFEVKKNNFKMKLQGEKTGRKGQLSVATEIFEVAPSLHMVEVRKSCGDTLEFHKFYKNLSVGLKDVVWKTIDEEKEEEKVNSNGATVVPA >Manes.15G124575.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9910085:9913804:1 gene:Manes.15G124575.v8.1 transcript:Manes.15G124575.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGSAATGNRTRVGKYELGRTLGEGTFAKVKFATNTETGENVAIKILDKENVLKHKMIVQIKHEISTMQLIRHPNVIRMYEVMACKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVISNKGYDGAKADLWSCGVILFVLMAGYLPFEESSLMALYKKIFKAEFTCPPWFSSSAKKLIKRILDPNPLTRITFAEVIANEWFKKGYKPPIFEQSEVILDNVSSIFNDTGDCHNLVVERQEAPIGPVAPITMNAFELISTSQGLNLGSLFEKKMGLVKRETRFTSKHSANEIVSKIEEAAMPLGFEVKKNNFKVSLCSLWNLSNEDLDGFFKA >Manes.15G124575.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9909449:9918211:1 gene:Manes.15G124575.v8.1 transcript:Manes.15G124575.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVISNKGYDGAKADLWSCGVILFVLMAGYLPFEESSLMALYKKIFKAEFTCPPWFSSSAKKLIKRILDPNPLTRITFAEVIANEWFKKGYKPPIFEQSEVILDNVSSIFNDTGDCHNLVVERQEAPIGPVAPITMNAFELISTSQGLNLGSLFEKKMGLVKRETRFTSKHSANEIVSKIEEAAMPLGFEVKKNNFKMKLQGEKTGRKGQLSVATEIFEVAPSLHMVEVRKSCGDTLEFHKFYKNLSVGLKDVVWKTIDEEKEEEKVNSNGATVVPA >Manes.01G170500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35283201:35285592:1 gene:Manes.01G170500.v8.1 transcript:Manes.01G170500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSWLPTLKSTIYISLLVLHFSLIKGHGGGDDEDSSSNDNADLHAKGLILVKIWCLIILLVSTFAGGVSPYFYRWNESFLLLGTQFAGGVFLGTSLMHFLSDSTDTFSSLTTKTYPFSFMLASSGYLLTMLGDCIVSLITKGNQRETSVVQAEVGRVVPEDDKEVGQVDLNPVLLRTTSLGDTILLILALCFHSVFEGIAVGVAATNAEAWRNLWTISLHKIFAAIAMGIALLRMLPTRPFLLTVAYSFAFAISSPIGVGIGIAINATTQGQTADWTYAISMGIACGVFIYVAINHLIAKGFKPQANLFFDTPFFKFLAVLSGVGVIAIVMIWD >Manes.18G121200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12912782:12913675:-1 gene:Manes.18G121200.v8.1 transcript:Manes.18G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTQSSINIKLLLLCFFFFSLFLLIIWSNFTSSHPNSSPIPETNLANSTSFNSDDQEPSSSCPSLPLNPTCNKIPPSLANSLVHYVTTNITPQQTLKEISVTLRVLEKKSPCNFLVFGLGHDSLMWTSLNHGGRTVFLEEDKSWIKQIKEKIPSLESYHVSYDTKVHQADGLMETGMGEECKIVSDPRFSKCQLALKGFPSDVYDIEWDLIMVDAPTGYHDEAPGRMTAIYTAGLMARNRENGETDVFVHDVDRVVEDKFSKAFLCEGYLTEQEGRLRHFTIPSHRTRLGRPFCP >Manes.06G057550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15711269:15712061:-1 gene:Manes.06G057550.v8.1 transcript:Manes.06G057550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEFEMSMMGELKFFLGLQIKQAKDGIFINQAKYTKELIKRFGMENSKPSRTPMSTNTKLDKNEKGKPIDEKFYRGMIESFLYLTASRPDIMFFVCLCARFQSCPKESHLHAVKRILRYLNGSLHLGLWYPRSTSFSLCSYSNADFAGSILDRKSTSGTCQLLGQSLVSWCSKKQNSVALSTAEAEYVAAGLCCSQILWIKQQLRDFEVSLDHIPIKCDNTSAINLTKNPIQYFRTKHICNTRLDSDL >Manes.13G043751.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5175021:5175686:-1 gene:Manes.13G043751.v8.1 transcript:Manes.13G043751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLIGDTRRILFLDHEKMKNKDGCKSSIIFIVSSESAHHKKLMSEPGIRVGSQVIPIIAPDLCVDEQDFLACTNKEEAYSKISDLVASLDINTSDKLSIGYSLIKQARRLSRRNSSSGTKLMIKVEINLETEYWWQGIVPATCSSIAELENGRLADFAGEDSGEKSCPICLEEFQVVSQVKRMPCLHIFHGHCIDQWLNKSHYCPVCRFELPASCVNFMH >Manes.12G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35011933:35013917:-1 gene:Manes.12G143900.v8.1 transcript:Manes.12G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVFLALAVPVLLLLLFQNRKTKTNPDFPPGPRALPFVGNLHQLHNSASYISLWKLSQKYGPLMSLRMCFEPVLVVSSAKMAKEIMKTHDHIFSARPSKLSQQKLSYNGLDLAFAAYGSYWKEMKKICKVHLFNSNRARSFRPIRESEVSHMLGEICGLVSASQPVDINEAIMSLANTIISKITFGQRYKEENSRIQALLREAETLFTSFFVSDYFPLLGFVDKLTGLIHRLEKNFQEFDIFYEKIIQEHLDYGRSELDDHSEDILDGLLKLRNDPSLKFQVTFSHIKAVLMNIVTGATDNNAAAVIWAMTFLMKNPTKMKKAQEEVRGLFGNKGFVNEDEVQKLSYLKAVMKETMRLQPTLPIIPRETTEDCNLDGFKIPAKTTVYVNVYAIGRDPQVWENAQEFCPERFINSSVDLKGQNFELIPFGGGRRMCPGVSIALATVELAFANLLYKFDWEMPFGMSNEDLDMEVVPGLTMHKKNALCLMAKQYI >Manes.08G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37022750:37025974:-1 gene:Manes.08G133200.v8.1 transcript:Manes.08G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEINFQVPRVKLGTQGLEVSKLGFGCMGLTGVYNTPVSDEDGISILKDAFHKGITFFDTADIYGPHTNEILVGKALKQLPREKIQLATKFGVFKMEFPRMIVKGTPEYVRSCCEASLKRLGVDYIDLYYQHRVDTSVPIEETMSELKKLVEEGKVKYIGLSEASADTIRRAHAVHPITAVQMEWSLWTRDIEEEIIPLCRDLGIGIVPYSPLGRGFFGGKAIVESLPANSSLVSHPRFRAENLNKNKTIYSRLENIAKKHQCSPSQLALAWILQQGDDVVPIPGTTKIKNLDENLGSLKVKLTEEEQKEIAAAVPIEDVAGDRTYESMSYLSWKFADTPPKGSAAVSNQTI >Manes.06G100900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23471144:23473282:1 gene:Manes.06G100900.v8.1 transcript:Manes.06G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGKHRWKISFYRRSSSGSNSNSNSKHKPAHPPKEFLCPISGSLMSDPVVVSSGQTFERVSVQVCRDLGFAPPLDDESIPDFTAVIPNLAIKSTILSWCDSSGSERPSPPDYSSVEKAVRTKMEESRTLNPEIRVSEKELLKAVLENPPVLFTHAATEMTPRPNHFYSSSSEESVIVNNAIASPFTPLPLATRPACYTSSSSSSSEITEAETLTLMQNSNSAYSSSNSSLPEEEGIVAKLKSPEVHEQEEAVISLRKLTRAREEMRISLSTPRLLTALRSSIASRYCVVQTNAMASLVNLSLEKANKVKIVRSGFVPLLIDVLKAGSSEPQEHAAGALFSLALEDENKMAIGVLGALQPLMHALRSESERTRHDSALALYHLSLIQSNRVKLVKLGAVPTLLSMVKTGDLASRLLLILCNLAACNEGRSTMLDENAVAILVGMLREGGDKLDSEATRENCVAALYALSHGSMRFKGLAKEARSVEVLREVEEKGSDRAREKAKKILQMMRGRDEDEEETDWEGVLESGGLSRSRYRVGGGARNGNCPNSTNF >Manes.09G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30097529:30100147:-1 gene:Manes.09G102400.v8.1 transcript:Manes.09G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFKAINASFAPTKQALFYTGRPTTLKNSILCLCKSNDPDSEGSPAEGDTRKQELLARIAMLQAQKVRLTDYLDERSAYLTQFAEEANAEFDKIGEDALRGLDEAGSRIMENIESQMQAFEESAELNKREIEENDNKVADFEGQMVNDRNEGMFFKNLGQKKPTDKAKAKVETERIKDLTKAKAGSKTRKSIYLALMAVIVIAIADSFMSSAPDWRKVAVLGAILVGLITQFTYEQKLSSELESIEETEKEKK >Manes.16G132000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33245658:33248003:-1 gene:Manes.16G132000.v8.1 transcript:Manes.16G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHMNVASSPTSPTTFVQADANTFRDLVQKLTGLVDSDSEKLPITLPGRLSSKLSHPVDPMSPRRSPFKLQERRHAMRKLEIKLGLTSLRNSPSHLSPGQTHHRLDSLIPSPVTPLESVFFRSPSTDSSSLSPAVSEEEKAIAEKGFYLHPSPLTTPRGNEPPELLTLFPLNSPRQD >Manes.15G187800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27650181:27652840:1 gene:Manes.15G187800.v8.1 transcript:Manes.15G187800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSRRKMGDSRFGTQCCYLLLLGCLLFFRAEGGSIPITIVESAKARGAVCLDGSPPAYHIDRGFGSGINNWIVHMEGGGWCDDVKSCLERKNTYKGSSLKMEKTMGFSGILGKKQAANPDFYNWNRIKIKYCDGSSFTGDVEAVDPKTKLFFRGERIWQAVIDDLLAKGMKNAQNAILSGCSAGGLAAILHCDKFRSLLPQTARVKCVSDAGYFIHGKDVAGGFEIENFFGRIVRLHGSVKSLPPSCTSVMKPELCFFPQYVAKTMRTPLFVINSGYDFWQLKNIMAPSAVDPKGNWKSCKFDLKKCSAPQLKTVQDFRTQFLNALSTGAGSKPSNGVFINSCYAHCQSGSVATWFADKSPVVGNTKIGKAVGDWFYDRASIQKIDCPYPCNPTCVKVDSDS >Manes.15G187800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27649977:27652840:1 gene:Manes.15G187800.v8.1 transcript:Manes.15G187800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRFGTQCCYLLLLGCLLFFRAEGGSIPITIVESAKARGAVCLDGSPPAYHIDRGFGSGINNWIVHMEGGGWCDDVKSCLERKNTYKGSSLKMEKTMGFSGILGKKQAANPDFYNWNRIKIKYCDGSSFTGDVEAVDPKTKLFFRGERIWQAVIDDLLAKGMKNAQNAILSGCSAGGLAAILHCDKFRSLLPQTARVKCVSDAGYFIHGKDVAGGFEIENFFGRIVRLHGSVKSLPPSCTSVMKPELCFFPQYVAKTMRTPLFVINSGYDFWQLKNIMAPSAVDPKGNWKSCKFDLKKCSAPQLKTVQDFRTQFLNALSTGAGSKPSNGVFINSCYAHCQSGSVATWFADKSPVVGNTKIGKAVGDWFYDRASIQKIDCPYPCNPTCVKVDSDS >Manes.15G187800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27650073:27652840:1 gene:Manes.15G187800.v8.1 transcript:Manes.15G187800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRFGTQCCYLLLLGCLLFFRAEGGSIPITIVESAKARGAVCLDGSPPAYHIDRGFGSGINNWIVHMEGGGWCDDVKSCLERKNTYKGSSLKMEKTMGFSGILGKKQAANPDFYNWNRIKIKYCDGSSFTGDVEAVDPKTKLFFRGERIWQAVIDDLLAKGMKNAQNAILSGCSAGGLAAILHCDKFRSLLPQTARVKCVSDAGYFIHGKDVAGGFEIENFFGRIVRLHGSVKSLPPSCTSVMKPELCFFPQYVAKTMRTPLFVINSGYDFWQLKNIMAPSAVDPKGNWKSCKFDLKKCSAPQLKTVQDFRTQFLNALSTGAGSKPSNGVFINSCYAHCQSGSVATWFADKSPVVGNTKIGKAVGDWFYDRASIQKIDCPYPCNPTCVKVDSDS >Manes.05G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4300395:4304460:-1 gene:Manes.05G051700.v8.1 transcript:Manes.05G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKPSHIIPTKFPSPIELPPLYRIPTPSRTKIQRAISISSTDNSHLALESAIDDSVAAFWDYQFLFVSQRFETVEPVTLRAVDGAIPPDFPSGTYYLTGPGLFTDDHGSTVHPLDGHGYLRAFIIDEVSADLKFMARYVKTEAQVEEHDPETGTWRFTHRGPFSVLKGGQRLGNTKVMKNVANTSVLKWGGRLLCLWEGGIPYEIESGTLDTIGRFDLVDGCDLATDGVNHNGDLLDIAAGLLKPILYGVFKMPPKRLLSHYKLDSKKNRLLTLSCNAEDMLLPRSNFTFYEYDSNFKLLQRREFNIPDHLMIHDWAFTDTHYILFANRIKLDVSGSMAAVCGLSPMISALSVNPSKSTSPIYLLPRSPDKSFGYRDWRVPVEAPSQMWLLHVGNAFEVMDDNGNLEVQVHACCCSYQWFNFKRMFGYDWQSGRLDPSIMNVRERGNELLPHLVQVSINLNADGSCQRCSVEPLNKWKKPADFPIINPTFSGNKNTYIYAATSSGSRQTLPHFPFDMVVKLNVRDESIKTWSAGARKFIGEPIFVPKPGEEDDGYLLVVEYAVAIQRCYLVILNPKRIGEADALVARFEVPGHLNFPLGFHGFWADTTETKF >Manes.09G022500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4560546:4572066:-1 gene:Manes.09G022500.v8.1 transcript:Manes.09G022500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHTYKEICFAWGLKAELKKLEDILLTVKAVLMDAEEKQVNDPPLRLWLAKLKDALYDAEDVLDEYECEDQRRRVLQLYGTTTKKVDHFFSCSNPIAFRFKMSAKVKQIRERLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQPSEVIGRDDDKENIIRILQGSSDSKQISVIPIVAIGGLGKTSLTKFVYNDERVRNHFQLQIWVCVSEEFDIKILTEKIIKSTEDGMRHVEKLKKLEMEQLQRILREIIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPKEYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLILNTDESHWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYDFSNWELIQFWMAHGLLESANKDEEPEDIGLRYFQELGSRSFFQDFETREGIWITCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSALLQGLDRVRTAIFQSDRKSPSSQSDLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRYLNLHGNSRIKKLPNSICKLHNLQTLVLSDSIEELPSDIRYLINLRFLVFSTKQKCLPMNGIGCLTSLRFLAIAGCEKLEHLFEDMQGLKHLRTLIIGDCESLISLPQSMKYLTALEILAIGNCENLNLTLEEKGKDDKHLAQFNLQKLILAKLPKLVDFPEWLLQGSSNTLQFLKLESCEYLKELPVCIQNIMSLQQLEIEDCNELSERCEPGKGEDWFKIAHIPKIVWSKIAHIPKIVINGSDIDSSDD >Manes.09G058764.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9463505:9467667:1 gene:Manes.09G058764.v8.1 transcript:Manes.09G058764.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKPLHQLLQEDQEPFLLKNYIADRRCQLKKPSPKSHLQIKKRKPISDSSNFPHNFCRNACFFSVNNSPDLRKSPLFEFQSPSKSPCKTPNAIFLHIPTKTAALLLEAALRIQKQSSSPKDKNHTGFGLFGSLIKRITQRNRTRKREISGDGVKAASVKDMKRWDSSLPRINLSNERENKRGQAIKANVHEKSACDQMGFACSCNGGPTSAVWSESNEEKSWDLDLEASSSSQSDAEDEDCVGNFEFINKLIVDVDGNTDFASYENHFCESPFHFVLRRSPSSGHRTPVFSSPATSPSRYKTEGKQSNDVESLKKFQAHQEKNEEEEEEDKEQCSPVSVLDPPFEDDDDGHDDQSEDDGFDLECSYAIVQRAKQQLLQKLRRFEKLAELDPVELEKIMLEQEEEEEGDDHNGDVNDIEEEMKGEEMDSLIIEELSKTSFCRVRKIPRDMKRLVSDLIKEEETEQEHHVEDREAMVKRVCKRFESWKEVESNTIDMMVEQDFKRREEANGWKNYKQQVKETTLEIELGIFGLLVDELSEELVGLTGI >Manes.17G089900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29808280:29811399:1 gene:Manes.17G089900.v8.1 transcript:Manes.17G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDALNMRNWGYYEPTFKGHLGLQLMSSMADRDTKHFLSGRDPNNIVVGANGAFHPRDCVVSDAPVPMNYVRDSWISQREKFLNMLPPNPGYAVLPETSGAHSMQVLQPPNTSRDEKVGGRIEEPSVNKESSQLKKRQGGGAPKTPKAKKPRKPKDNSNNAVQRVKPAKKSMDVVINGIDMDISGIPIPVCSCTGTPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRTHWAKHGTNKFVTIR >Manes.06G002300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:605668:613554:1 gene:Manes.06G002300.v8.1 transcript:Manes.06G002300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYAMVCSSNQNRSMEAHSLLKRQGFDVSSYGTGAHVKLPGPSLREPNVYDFGTPYRQMFDDLRRKDPDLYKRNGILPMLKRNSSVKLAPQRWQENAADGSFDVVFTFEEKVFDMVVEDLHNRDQVLMKSVLVINLEVKDNHEEAAIGAQLALDLCQMIEAADSWEDSVDGIIAAFETKHRRKLLYSISFY >Manes.09G185900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37291665:37292938:1 gene:Manes.09G185900.v8.1 transcript:Manes.09G185900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPNMATITASLERSLQNCSLNHHHHRHHQQQRQRQRQSRGVLGERGERSSSSDDVEAEADAEAPQLPEQNHLLPNSDTTLELNSHLSLPYHWEQCLDLKTGEIYYINWRNGMKAKEDPRLTQEYSGDFYSEDDSSYDSEESSSESSPPSSSREHYRVQKEDHVLVVAGCKSCLMYFMVPKQVEDCPKCNGQLLHFDRSENGSP >Manes.03G200400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31672895:31673792:1 gene:Manes.03G200400.v8.1 transcript:Manes.03G200400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVTNDTEIASAIPAAKLFKIFFLDSSNNFPKFLPQVFKSIEILEGDGGPGSITKTTFAEGTELKYIKHKVDAVDKDNLIYNYTAVEGDPWLEGLDKISYETKIVASPDGGSISKCTTKYFPKGDSQLNEDKIKEGQQKALELFKAVEAIILANPDAY >Manes.04G066500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25164616:25176237:1 gene:Manes.04G066500.v8.1 transcript:Manes.04G066500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITRASSFLTLVLPSFTLKGPLLRAPISLVAAASRVSVNQSLASLPASFSSFSLSPIAVMPGVDPKELEWPAKKVRDTFINFFEEKSHVYWKSSPVVPLNDPTLLFANAGMNQFKPIFLGTADPNTALSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKQAIEWAWELLTKVYNLPPDRFYATYFGGDEKAGLAPDVEARDIWLQFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAALLVNNDDPTCIEIWNLVFIQFNRESDGSLKSLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIQQATGARPYSGKVGPDDVDKVDMAYRVVADHIRTLSFAISDGSCPGNEGREYVLRRILRRAVRYGSEVLKAQEGFFNGLVEVVVKLMGDVFPELKQTEQRIKEIIAEEEASFGKTLLKGIEKFKKAAQEVQGKVLSGQDAFVLWDTYGFPLDLTQLMAEERGLHVDVEGFNNAMDEARERSRNAQNKQAGGDIVMDADATSALHKKDISVTEDSYKFIWYQDHESVIKAIYTGSEFLESYTTGNEVGIVLESTSFYAEQGGQIFDTGSLEGTFGSFQVSNVQIFGGFILHIGSLTGGGKFSVGDKVICKVDYERRKLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVDPDLLRKIESIVNDQIKAELEVSAKEATLSEAKRINGLRAVFGEVYPDPVRVVAIGRKVEDLLADPENNEWLSISAELCGGTHISNTREAKAFALLSEEGIAKGIRRITAVTTDSAFKAMELASSLEQEVDETSKTEGSLLEKKVASLKSRADSAPIPAAKKADIRSKISVLQGQVRKAQKKIAEENMQKAVKVATEMAEAAASEGKEFCISRVDVGLDAAAVREAVLKVLEKKGISIMVFSVDETTNKVAVCAGVPEKSDKCKKLEVSEWLTAALKPLNGRCGKGKGGLATGQGTDGVHVDKAMDLAATFAQMKLTG >Manes.12G034200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3028446:3033453:-1 gene:Manes.12G034200.v8.1 transcript:Manes.12G034200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGFPLDSGIEKEIAALQSEEKKLVAEIKRTAKTGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAHSSVAVGLKGATKAMTSMNKQMAPAKQAKVIREFQKQSAQMDMTTEMMSEAIDDALDDDEAEEETDELTNQVLDEIGVDVVSQLSAAPKGKIAGKNKEDVGSSGIDELEKRLEALRNP >Manes.12G034200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3028447:3033453:-1 gene:Manes.12G034200.v8.1 transcript:Manes.12G034200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHATRGIEKEIAALQSEEKKLVAEIKRTAKTGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAHSSVAVGLKGATKAMTSMNKQMAPAKQAKVIREFQKQSAQMDMTTEMMSEAIDDALDDDEAEEETDELTNQVLDEIGVDVVSQLSAAPKGKIAGKNKEDVGSSGIDELEKRLEALRNP >Manes.12G034200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3028447:3033453:-1 gene:Manes.12G034200.v8.1 transcript:Manes.12G034200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSKKPNPREALRQSKREMQHATRGIEKEIAALQSEEKKLVAEIKRTAKTGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAHSSVAVGLKGATKAMTSMNKQMAPAKQAKVIREFQKQSAQMDMTTEMMSEAIDDALDDDEAEEETDELTNQVLDEIGVDVVSQLSAAPKGKIAGKNKEDVGSSGIDELEKRLEALRNP >Manes.07G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:273241:286376:1 gene:Manes.07G000500.v8.1 transcript:Manes.07G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFRSVSLLSRLRSRASNLSNSVRWLQMQSSSDLDLQSQLKELIPEQQERLKKIKTEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPGAKPGGEPLPEGLLWLLLTGKVPSKEQVNALSKELHDRATVPDYVYRAIDALPVTAHPMTQFASGVMALQVQSEFQKAYEKGIPKAKYWEPTYEDSLNLIARVPIVASYVYRRIYKDGKIIPLDDSLDYGGNFSRMLGFDSPQMQELMRLYVTIHSDHEGGNVSAHTGHLVASSLSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENLTTEQLKDYVWKTLNSGKVVPGFGHGVLRRTDPRYTCQREFALKHLPNDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYFTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTTAWLENYCKKASS >Manes.10G105000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25269282:25272614:-1 gene:Manes.10G105000.v8.1 transcript:Manes.10G105000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIENPTTGCQKKLEVDDDQKLRAVFDKRISQEVSGDSLGEGVLTPGRVRLLLHRGLAQSGTPCFRGYGRRNGERRRKSVRGCIVSHDLSVLNLVLVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKSVNTYRRNFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIAEKKKRIVKAKAEAAEYQRLLATRLKEQRERRSESLEKRRSKLSTASKPSVAA >Manes.08G159900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39592406:39594822:-1 gene:Manes.08G159900.v8.1 transcript:Manes.08G159900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWFLILVSISIAAFLRALINLCLPSKNSALNLKLPPGPSNFPIIGHFLWLRKSFAELEHIIRSLHAKFGPVITLPIGPRPSIFVADRNLAHQALIQNGAVFADRPSALAVGKVLSSNQHNINASFYGPTWRLLRRNLTSELLHPSRVKSYSHARKWVLQILVNRFESQSKSGNPVHVLAGIQYAMFCLLVLMCFGDKLNQKQIEEIERVERAMLLNSGSFNLLNFWPRLSKIVFRKRWSQFLQLRKDQEDVLIPLIRARMKVKEEKLSKSNNENDDYILSYVDTLLDLQLPHEKRKLSEDEIVTLCNEFLNAGTDTTSTALQWIMANLVKYPQIQEKLFVEMKGVVGDAAEEIKEEEVQQMAYLKAVVLEGLRRHPPGHFVLPHSVTEDAKLGNYLVPKNGTINFMVAEMGWDPKVWEDPMAFKPERFMGSEEVFDITGSREIKMMPFGVGRRMCPGYGLAMLHLEYFVANLVWKFEWKAVDGDDVDLSEKEEFTVVMKNPLQAILSSRVFTGQNQENSTSMSRESLVA >Manes.02G224400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37420735:37425163:1 gene:Manes.02G224400.v8.1 transcript:Manes.02G224400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSGGSGGGSGDHDPSDPHRRKKRYHRHTANQIQKLEAMFKECPHPDEKQRLQLSRELSLAPRQIKFWFQNRRTQMKAQHERADNCALRAENDKIRCENIAIREALRNVICPSCGGPPVTEDSYFDEHKLRMENAQLKEELDRVSSIAAKYIGRPISHLPPVQPIHVSSLDLSMGSFGGQGNGGPSLDLDLDLIPSTSNLPFHPLGISDMDKSLMTDIAASAMDELLRLLQTDEPLWIKSPTDGRDVLNLDTYQTLFPRVTSRMKNPNIRIEASRDSGFVIMNSLALVDMAMDANKWVELFPTIVSTAKTLEVISSGMMASHSGSLQLMYEELQVLSPLIPTREFYILRHCQQIEQGLWAIVNVSYDIPEFASQCRSHRLPSGCMIQDMPNGYSKVTWVEHVEVEDKTPTHRLYRDLIHSGMAFGAERWLATLQRLCERFACLMVSGNSTRDLGGVIPSPEGKRSMMKLAQRMVQSFCASISTSNSHRWTTVSGMNEVGVRVHKSTDPGQPNGVVLNAATTFRLPVSPQNVFNFFKDERTRSQWDVLSSGNAVQEVAHIANGSHPGNCISVLRAFNSGQNNMLILQESCIDASGSLVVYCPVDLPAVNIAMSGEDPSYIPLLPSGFTISPDGGADHGDGASSSSNTHGSMSRSSGSLITVSFQILQIKAAMNCPSS >Manes.02G224400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37420735:37425163:1 gene:Manes.02G224400.v8.1 transcript:Manes.02G224400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGSGGSGGGSGDHDPSDPHRRKKRYHRHTANQIQKLEAMFKECPHPDEKQRLQLSRELSLAPRQIKFWFQNRRTQMKAQHERADNCALRAENDKIRCENIAIREALRNVICPSCGGPPVTEDSYFDEHKLRMENAQLKEELDRVSSIAAKYIGRPISHLPPVQPIHVSSLDLSMGSFGGQGNGGPSLDLDLDLIPSTSNLPFHPLGISDMDKSLMTDIAASAMDELLRLLQTDEPLWIKSPTDGRDVLNLDTYQTLFPRVTSRMKNPNIRIEASRDSGFVIMNSLALVDMAMDANKWVELFPTIVSTAKTLEVISSGMMASHSGSLQLMYEELQVLSPLIPTREFYILRHCQQIEQGLWAIVNVSYDIPEFASQCRSHRLPSGCMIQDMPNGYSKVTWVEHVEVEDKTPTHRLYRDLIHSGMAFGAERWLATLQRLCERFACLMVSGNSTRDLGGVIPSPEGKRSMMKLAQRMVQSFCASISTSNSHRWTTVSGMNEVGVRVHKSTDPGQPNGVVLNAATTFRLPVSPQNVFNFFKDERTRSQWDVLSSGNAVQEVAHIANGSHPGNCISVLRAFNSGQNNMLILQESCIDASGSLVVYCPVDLPAVNIAMSGEDPSYIPLLPSGFTISPDGGADHGDGASSSSNTHGSMSRSSGSLITVSFQILVSSLPSAKLNLESVTTVNNLISTTVQQIKAAMNCPSS >Manes.03G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19609045:19613790:1 gene:Manes.03G089200.v8.1 transcript:Manes.03G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHISAIPQRFSFSSKTSSRHSFKSNSIGIRVRSSLENENRSSEVPDQFLESIEESQASTSRRRCISCLCSTLVLISNAGTSISIPKAVALDGKERAVCRNCGGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARQLLDKMYNGRLLPGS >Manes.18G139633.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16668119:16669399:-1 gene:Manes.18G139633.v8.1 transcript:Manes.18G139633.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKAIVPAHGTASLSQTVDSKDLSNPLKAVGLPKIQSSQGYNFGVYKWFLKNISEFEIEHGFNDINPWEVVRKNYPENWFFRPKDVSKPQEYYQSILEETGSARIKHNFNKHHKGLIAYSSIQIKRVVYPKDWPISSLYTATQFKTLKKYSTLYNYFDYIDAWTNIFCIQNPTTTHSWLIYFDQQSIKTTTKFSNWFLKWWQYRGITDEILSPEVLQVYQYFKTHYKPHPSEKYIPPLMYFCTQFFIPWVYQWYFDFQYTTELNILARVKKHKVKWWGSFKNSTKTPEEYKIICQQIFSQLGSGETVKSEELKERKSSSKESSKHSSSKKAPKKKSSRRKPKRQSSSKSESTASSTSSSSKNPASSQWDSNEDDCYGI >Manes.08G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5838069:5839773:-1 gene:Manes.08G054400.v8.1 transcript:Manes.08G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQFKLPSINMAIRLSSLGKCPSTLSTLLFLGLLLSALDTTGAQIGVCYGMLGNLPPPAEVVSLYNQNGIRRMRIYDPNPDALRALGGSNIELILGLPNDKLQSIASNQAEADSWVQNNVKNHGNVKFRYIAVGNEVKPSAAEAGSLFPAMRNIRNALNSAGLGGIKVSTAIDTIGLTADSFPPSKGSFKPEYRQLLDPVIQFLVNNQSPLLVNLYPYFSYRDSQGTINLDYALFRPAPPVQDPDVGRTYQNLFDAILDTVYAAVEKAGGGALEIVVSESGWPTAGGFGTSVENARTYNNNLIQKVKNGTPKKPGKPTETYIFAMFDESNKGGEELEKHWGLFSPNKQPKYPVNFN >Manes.16G000200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:17588:19838:1 gene:Manes.16G000200.v8.1 transcript:Manes.16G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTLALPSAGGAASGCSSLNRPIAFISSAFRSIDMSVSTVTLSKKSLVCLSSSSSSSSSSSPSSAILPATRTNINNGSMMIICSVKGRYCYSTIPAGIMITERRKKRLIPCDVALRSNISASSSLSSSSTTVTQAEEKGEEAAKKIGARVRVKVPLKVYHVPRVPEVDLTGKEGHLKQYVALWKGKRISANLPYKVEFMLDIEGRGPVKFFAHLKEDEFDYLE >Manes.10G054000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6549963:6558224:1 gene:Manes.10G054000.v8.1 transcript:Manes.10G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEKDFDSKLRIQSSNNSSSSASSSPTSSNNNNNVNVPRSKSFSFRAPQENFSIQDFELGKIYGVGSYSKVVRAKKKDTGVVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDSFSLYMALESCEGGELFDQITRKGRLTEDEARFYAAEVVDALEYIHSMGLIHRDIKPENLLLTGDGHIKVADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSEEARDIIDRLLDIDPSRRPGAGSDGYSALKMHPFFKGVNWKNIREETPPKIALEQQMAQSGDGEHDNDSSWNPTHIGDGSIKQNDGNVGASSTEASGSVTRIASIDSFDSKWQQFLEPGESVIMISMVKKLQKLTSKKVQLILTNKPKLIYVDPSKLLVKGNIIWSDNSNDLNVQVISPSNFKICTPKKVMTFEDAKQRASQWKKAIESLQNR >Manes.16G132100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33249750:33253644:-1 gene:Manes.16G132100.v8.1 transcript:Manes.16G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSTHHQHHHHQAADGLVNLLTKANHDLTLVQLKLDKEFQQVYPDSANPMKLCNRIKKIQEDLTTLKEQCRELLAAKQFHLQDLIDKARTTLVGNRSLLQRMQASTGISVATVDEDPAFANFNQIVDEWTIQVRSRVGDEKNSESEDINKLLFSAIVESN >Manes.16G132100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33249750:33253644:-1 gene:Manes.16G132100.v8.1 transcript:Manes.16G132100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSTHHQHHHHQAADGLVNLLTKANHDLTLVQLKLDKEFQQVYPDSANPMKLCNRIKKIQEDLTTLKEQCRELLAAKQDLIDKARTTLVGNRSLLQRMQASTGISVATVDEDPAFANFNQIVDEWTIQVRSRVGDEKNSESEDINKLLFSAIVESN >Manes.08G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38085923:38086849:-1 gene:Manes.08G131400.v8.1 transcript:Manes.08G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLAALAVSSVLALVFSMASAYDPSPLQDFCVAMNDPKNAVFVNGKFCKNPNLTVADDFSFSGLNIPGNTENRVGSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHIHPRATEILVVVEGTLYVGFVTSNPNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPSINSDVLVKAFQLDKNVVNYLQKLF >Manes.01G069200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27047731:27051300:1 gene:Manes.01G069200.v8.1 transcript:Manes.01G069200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPYFHDPVAVGSYHAMRNGILTSNSAGNNGPDPYSVSNFAPWTLTVAASSIDRKFVAQVVLGNGKVFTGLSINSFDLNGTVYPLIWGGDAANYSAGFNTDFARYCENGAMNSYKVAGKMVLCEVFTEGAGILLANGAGTIMADSFITDYAFNYPLPATQVSTEDGIEILNYIKSTEKPFATILVGETWKDIMAPSVVSFSSRGPNPISPDILKPDLTAPGVNILAAWSPLAPPSIYEKDSRRVRFNVISGTSMSCPHASGAAAYVKATHPDWSPAAVKSALMTTAFVLDSRKHPDLEFAYGSGHINPLEATNPGLVYDASEADYINFLCKQGYNTTTLRLITGDNSSVCNSTELGRAWDLNYPTFALAVEDGQPIKGVFTRTVTNVGSPNSTYAVSMYMPNSIKVIVEPSVLSFSSTGEKKTFTVTVDGPKIAQQPIMSGAIMWEDGSRGEESTSCV >Manes.10G133695.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30031369:30034293:-1 gene:Manes.10G133695.v8.1 transcript:Manes.10G133695.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGQLHITSLENVEVADIRDAGFVNLKDKPGITELHLEWAEADERFDDLRNPSHEEQVLNSIQPYQSLSSLSITSFGGRKFPSWLGEPSFSGMVQVQLWKCRQMTSLPPLGRLKSLKKLSIGDMSGVKEVGVEFYEDDSCFSCLEELEIGSMGEWELWAWSKGLGEDTLPKFPKLRQLRIENCPKLVGKLPTFLPSLENLFIHDCPLLVELPKVLPSLTALSIRRCQEAILRSVTNATSLTSLKRLEIKECDKLVSLVDGEEGVLPCNLEVLDIDGCPKLKELPSGLKDLKSLKDFFIEGCKRLVSFPAGGLPHNLIRLRITRCESLESLPEGIVCPSNETSYLEKLDIYGCESLRYSSNGKFPYSLKTLRIHNWTPQFLNTLYCGLSHLTELHIEKCPQLESFPGKELPLPSLISLTIARCQGLRSLSNHMQDFQSLQKLKIEGCLQLQLFPEKGLPNPKLVSFEIVACRNLRSLPNQMQNLTSLQSVAISVCEGMESLGEGCLPPNLTSLRIRECLNMKQPILEWGLHRLASLRSLVLDVKSTGGDFISFPDDDGFLLPTSLTYLCIWGFKNLKSISRGIQKLTSLEKLSIWWCPKLQSFPAEGLPATLECLEILSCPLLRDRCLKDKGGDYWPIISDIPCVDIEN >Manes.10G137434.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30526575:30529827:-1 gene:Manes.10G137434.v8.1 transcript:Manes.10G137434.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFPFLWIICLLCGELLCGGLAQSVNCNAADREALLDLKRGLNDSWNRLSSWHGTNCCGWSGIACHNTTGAVLAVALPNSSGLQPLGGEIRPSLAKLKSLKHLDLSGNNFHGKIPHFLSDLQNLQYLNLSFAGFSGAIPPNLGNLSTLQFLDVSSVSLTVDNLEWVSGLLSLKYLSMNYLNLSSLGGAWIEPLNKLPLLSELHLEFCVLSGFIYSLPSVNFTSLKVMKLQCSFFHAKLPTWFTNISSLVSVDIGNSWLTGRIPLGFGELPNLQSLKLNYNMELSASCFQLFARSWKKIRVLDFSIINLHGRLPALLGNLTSLIHLDLHINNIEGGIPSSIGKLSKLKYINLSSNKLIGSLPNSIGQLKNLVELRLNSNLLQGSIPYSIGNLQHLTILKLSSNNINGSLPDSIGLLSELSTLDVSLNKLTGIISEAHFHRLENLEQIILSDNSVILNVSSHWVPPFQVIFLQMSSCHVGPSFPYWLRSQKKIELLDFSRAGVSGSIPNWFWNMTSILSFLNFSFNSLEGHIPNTFKLIPYAIVDLSFNQFTGPVPLPNALSLDLSHNQFYGSMPENISQVMSSLQILSLSSNQLTGGIPASIVKLSLNVLDLSKNNLAGSIPPNIGNCSSLTVLDLQKNNLSGGIPNSIGQLNGLQTLHLSNNKFSGEIPSSLQNLSKLETLDLGSNMLTGKLPFWVGEAFPLLRILSLRANKLSGELPLTLSNSSSLQILDLAENQLNGSIPANLGNLKAMAQQQKVNHYLLYGMDENHNYQENMHVTINGLGLTYTRTLSLLTSLDLSGNNLSGELPEEITRLVGLAVLNLSRNHISGQIPDSISELHQLLSLDLSGNRLSGPIPQSITSLTFLGNLNVSNNNLSGKIPSANQMLTFNASSFAGNPGLCGDPLAVKCGNGSNNGDDNYPDAGRKADQDDNGNGFADNWFYMSIGVGFAVGLLLPYLVFAMKRSWGGVYFAFVDGTAYRLSSEKMKAAMRRRTG >Manes.10G153500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31984707:31987199:-1 gene:Manes.10G153500.v8.1 transcript:Manes.10G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLPSSSTSAGPEVVSPIDTSFKLPSPLPTWPPGEGFGHGTIDLGGLRVCQISSFNKIWAVHEGGPDNLGASFFEPSQIPQGFFMLGCYSQPNNRPLYGWVLAGTDEVGGALKTPLDYTLLWSSESLKIKQDGIGYIWLPMAPDGYTAVGVVVTNSPEKPSLEKISCVRSDLTDQCEIDTWIWGPGKESDPNGFNAFSLRPNERGPQAMGVCVGTFVARNGNATPVSIACLKNVTSNSSCMPNLNQTQAIFEAYSPRIYFHPDEKYLTSSVSWFFNNGALLYKKGQESNPVPIEATGSNLPQGGLNDGEYWLDLPVDEKAKERVKKGDLQETETYLHIKPVFGATFTDIVVWVFFPFNGPSKAKVELIDVPLGRIGEHVGDWEHLTLRISNFNGELWSIYFSQHSGGSWFYASELEFENGNKAVGYSSLRGHAMYSKPGLVLQGSNRVGIRNDTAKSKMVLDTGARFSVVAAEYLDTSVIEPPWLNYLRKWGPKISYDIAAEINKVEKILPGKLKSAFQKSIKSLPNEVLGQEGPTGPKLKRNWTGDEV >Manes.12G020100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1768163:1771288:-1 gene:Manes.12G020100.v8.1 transcript:Manes.12G020100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPHRLSNPRSERHMFSSSDDNAMMKQIQATHAPDGRDFSVRPLLQLVEDIFQRAAPTGLATLVHHQAQLDALDDKALQNGVDEILDVLSYTINRIACEMSCKCSGGGDAHATTLAIFNLLSSYSWDAKVVLALSAFAVNYGEFWLVAQLYLTNPLAKGVALLKQLPDILERADALNPKFVALNNLIMATLDVAKCIVEFKELPSQYITPDTPEMLTATAHIPTAVYWTIRSIVACASQITGLIGTGHEYIASTTEAWELSSLAHKVKSIHEHLMKQLTLCYHHIDEKRHNEAYQTLIRLFETSHIDNMKILRALIYAKDDQPPLHHGATQKRVTLEVLRRKNVLLYISDLELSLEELSMLNQMYTEARQQPTRTESQYEVVWLPVVDRSTPWNEVKQKQFESLQSMMPWYTVYHPSLLDPAVIRYIKEVWRFNKKPLLVVLDPQGRVVNPNAIHMMWIWGSIAFPFTSHREEALWKEETWRIELLADAIDANIPDWIHAGKYICLYGGEDIDWIRKFTVTADAVARAANIQLEMLYVGKSNPREKVRKNNDIIKAENLSHTLQDLTMIWFFWVRLESMWHSKVQYNRTVENDSIMQEIVTMLSFDGSDQGWAVISRGSGGGDEIAKAKGGDILKSFNEFQSWKHIIDEKGFVPALNDYLHGHHDPHHCNRLILPGTTGSIPERVVCAECSRPMEKFIMYRCCTD >Manes.12G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1768163:1771288:-1 gene:Manes.12G020100.v8.1 transcript:Manes.12G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVPHRLSNPRSERHMFSSSDDNAMMKQIQATHAPDGRDFSVRPLLQLVEDIFQRAAPTGLATLVHHQQAQLDALDDKALQNGVDEILDVLSYTINRIACEMSCKCSGGGDAHATTLAIFNLLSSYSWDAKVVLALSAFAVNYGEFWLVAQLYLTNPLAKGVALLKQLPDILERADALNPKFVALNNLIMATLDVAKCIVEFKELPSQYITPDTPEMLTATAHIPTAVYWTIRSIVACASQITGLIGTGHEYIASTTEAWELSSLAHKVKSIHEHLMKQLTLCYHHIDEKRHNEAYQTLIRLFETSHIDNMKILRALIYAKDDQPPLHHGATQKRVTLEVLRRKNVLLYISDLELSLEELSMLNQMYTEARQQPTRTESQYEVVWLPVVDRSTPWNEVKQKQFESLQSMMPWYTVYHPSLLDPAVIRYIKEVWRFNKKPLLVVLDPQGRVVNPNAIHMMWIWGSIAFPFTSHREEALWKEETWRIELLADAIDANIPDWIHAGKYICLYGGEDIDWIRKFTVTADAVARAANIQLEMLYVGKSNPREKVRKNNDIIKAENLSHTLQDLTMIWFFWVRLESMWHSKVQYNRTVENDSIMQEIVTMLSFDGSDQGWAVISRGSGGGDEIAKAKGGDILKSFNEFQSWKHIIDEKGFVPALNDYLHGHHDPHHCNRLILPGTTGSIPERVVCAECSRPMEKFIMYRCCTD >Manes.07G120300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32496958:32500996:1 gene:Manes.07G120300.v8.1 transcript:Manes.07G120300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKEIGVTEVVLAINYQPEVMLNFLKEFEAKLGIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPLKEMIEFHKAHRGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLNRIELRPTSIEKEVFPKIAADKQLYAMILPGFWMDIGQPRDYITGLRLYLDSLRKKSSSRLANGPHVVGNVLVDETAKIGDGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Manes.07G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32496958:32501090:1 gene:Manes.07G120300.v8.1 transcript:Manes.07G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKEIGVTEVVLAINYQPEVMLNFLKEFEAKLGIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPLKEMIEFHKAHRGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLNRIELRPTSIEKEVFPKIAADKQLYAMILPGFWMDIGQPRDYITGLRLYLDSLRKKSSSRLANGPHVVGNVLVDETAKIGDGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Manes.09G185200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37221046:37230282:-1 gene:Manes.09G185200.v8.1 transcript:Manes.09G185200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDAGIPISRTGPPPVTEEPSLSPSLNQDAMWHMDLRSNEVTESGLYPERSGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERMGQPECQYYLKTGTCKFGATCKFHHPKDKAGIAGRVSLNILGYPLRSNEIDCAYYLRTGQCKFGSTCKFHHPQPTNVIVPLRSSPIYPTVQSPTTPGQQSYPGGLTNWSRASFITSPRWQAPSSYTPLILSQGVVSVSGWNSYSGQLGSVSSPEGQQQTGNSQMYGTSRQNEWMNTGSQGALSPFRSGSVPVGFYALQRENDFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSPIGLPLRPGEPLCIFYSRYGVCKFGPSCKFDHPMGIFTYNLSSSSSADAPVQYLGPSSGSAALTLSPEGLVEAGSTKPRRLSLSEPRRMPSGDDNIDTEG >Manes.09G185200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37221046:37230282:-1 gene:Manes.09G185200.v8.1 transcript:Manes.09G185200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDAGIPISRTGPPPVTEEPSLSPSLNQDAMWHMDLRSNEVTESGLYPERSGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERMGQPECQYYLKTGTCKFGATCKFHHPKDKAGIAGRVSLNILGYPLRSNEIDCAYYLRTGQCKFGSTCKFHHPQPTNVIVPLRSSPIYPTVQSPTTPGQQSYPGGLTNWSRASFITSPRWQAPSSYTPLILSQGVVSVSGWNSYSGQLGSVSSPEGQQQTGNSQMYGTSRQNEWMNTGSQGALSPFRSGSVPVGFYALQRENDFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSPIGLPLRPDEEEHYCLLSRWMDYLMVTTSR >Manes.09G185200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37221046:37230282:-1 gene:Manes.09G185200.v8.1 transcript:Manes.09G185200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDAGIPISRTGPPPVTEEPSLSPSLNQDAMWHMDLRSNEVTESGLYPERSGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERMGQPECQYYLKTGTCKFGATCKFHHPKDKAGIAGRVSLNILGYPLRSNEIDCAYYLRTGQCKFGSTCKFHHPQPTNVIVPLRSSPIYPTVQSPTTPGQQSYPGGLTNWSRASFITSPRWQAPSSYTPLILSQGVVSVSGWNSYSGQLGSVSSPEGQQQTGNSQMYGTSRQNEWMNTGSQGALSPFRSGSVPVGFYALQRENDFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSPIGLPLRPGEPLCIFYSRYGVCKFGPSCKFDHPMGIFTYNLSSSSSADAPVQYLGPSSGSAALTLSPEGLVEAGSTKPRRLSLSEPRRMPSEICG >Manes.09G185200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37221046:37230282:-1 gene:Manes.09G185200.v8.1 transcript:Manes.09G185200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDAGIPISRTGPPPVTEEPSLSPSLNQDAMWHMDLRSNEVTESGLYPERSGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERMGQPECQYYLKTGTCKFGATCKFHHPKDKAGIAGRVSLNILGYPLRSNEIDCAYYLRTGQCKFGSTCKFHHPQPTNVIVPLRSSPIYPTVQSPTTPGQQSYPGGLTNWSRASFITSPRWQAPSSYTPLILSQGVVSVSGWNSYSGQLGSVSSPEGQQQTGNSQMYGTSRQNEWMNTGSQGALSPFRSGSVPVGFYALQRENDFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSPIGLPLRPDEEEHYCLLSRWMDYLMVTTSR >Manes.09G185200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37221046:37230282:-1 gene:Manes.09G185200.v8.1 transcript:Manes.09G185200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDAGIPISRTGPPPVTEEPSLSPSLNQDAMWHMDLRSNEVTESGLYPERSGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERMGQPECQYYLKTGTCKFGATCKFHHPKDKAGIAGRVSLNILGYPLRSNEIDCAYYLRTGQCKFGSTCKFHHPQPTNVIVPLRSSPIYPTVQSPTTPGQQSYPGGLTNWSRASFITSPRWQAPSSYTPLILSQGVVSVSGWNSYSGQLGSVSSPEGQQQTGNSQMYGTSRQNEWMNTGSQGALSPFRSGSVPVGFYALQRENDFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSPIGLPLRPDEEEHYCLLSRWMDYLMVTTSR >Manes.10G036100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3485276:3486358:-1 gene:Manes.10G036100.v8.1 transcript:Manes.10G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAQPSTSLNGDHRPLRPPQSSNTHHHHPYYPMSSSSKSASLKGCCCCLFLLFSFLALLVLTIFLIIILTVKPKKPEFDLQQVGVQYMGIPASNLNSLDPTIGTTTMTIGATTASLSLTIHMLFTAVNPNKVGIKYSESKLTVMYHGIPLGKASVPGFYQEAHSELQVEATISVDRYSLIQANAVDLIRDALLNDRVELRILGEVGAKMRVVDFDSPGVQVSH >Manes.04G100700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30524755:30527532:-1 gene:Manes.04G100700.v8.1 transcript:Manes.04G100700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISQQTQRNNSTANTATNRPTTKTKRTRRTLPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTILNFPLSTYQEKLKEMESQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDLAAIEYRGLNAVTNFDLSRYIKPPRPNTSSDSNCTTNSNQESGLSFTETTMPSPRPSGGSTSSALGLLLQSSKFKEMLERTSPNDCPSVLSESELPRRSFPDDIQTYFDCQDSSSYVEGDDIIFGDLNTFASPSFHCELIDC >Manes.12G065950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6580170:6581829:-1 gene:Manes.12G065950.v8.1 transcript:Manes.12G065950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTKRVRKVKKISIFKSFQREAIIKIMDTFNALSKHLNHFYHFHHHHPYMVARQGSVFRYSIRDHRWG >Manes.13G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34786839:34788381:-1 gene:Manes.13G140400.v8.1 transcript:Manes.13G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLADGKQNAELLEAQSHIWNHIFNFINSMSLKSAVQLGIPDAIHSHGRPITISELIAALSLHPAKANCIPRLMRILVHSGFFARVKINQNDQEEGYILTNASQLLLKDHPLSVSPFLLAMLDPSLTRPWHYVRNWFQNDDPTPFATANGRTIWDFAGHEPEFNNLFNEAMASDARLAMNVLMNDCKGVFEGLRSLVDVGGGTGTVAKAIAKEFPQLECFVFDLPHVVAGLHGTHNLKYVGGSMFEAIPPADAILLKWIMHDWSHENCVKILKRCKEAIKGREGGKLIIIDMVMEQKEKEDHESKETELLFDMLMMVLYNSQERNEKEWAQLFSDAGFSNYKIISMLGLRSIIEVYP >Manes.04G110457.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31413705:31425617:1 gene:Manes.04G110457.v8.1 transcript:Manes.04G110457.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLYLKFSLWAFLVIIFLQMNGSRACLEKERIGLLEFKAFMKSNCEVDDNLDSWVEDGTSDCCDWERVTCSSISRRVVDLSLYWVAKHSSLGITCSLNLSMFYPFEELLSLDFSNNWFNGWIDKAGFDKLSSLKKLKVVDLGSNAFNTSSLSSLSCLVSLKILVLRDNNMNGRFSFQELADLKNLETLDLSYNELESLSMEGLCGLKRLTELDLKNNKFSGPLPQCLRNISSLQYLDLSSNQFAGNMESILSELTSLKCLVLSGNNFQGLFSFSALANHSKLEIFELSSGSSKLEVDTQNPTWFPTFQLKLFHLSNCHLNVQTRTVPSFLLYQHDMNFIDLSHNKLTGAFPSWVLQNNSKLQVMNLMNNSFTGSFQLPNFNHRDLVKLEISSNKITGQLPKEFGLVLSNLCYINMSRNSFHGKVPSSVGEIRQLRYMDLSYNNFSGVLPGSILGNGTDLYYLYLSNNNFNGIGGESSSISAELFVLDMSNNKLSDTIPLQLCNMVSLRILDLSENRLHGSLPSCFNSLWLQFLFLQKNSLSGT >Manes.02G127900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9675838:9680064:1 gene:Manes.02G127900.v8.1 transcript:Manes.02G127900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVHASWLLACLGSFFLHESVFFLSGLPFIFIERAGWLSKYKIQTKNNSPAAQEKCITRLLLYHFGVNLPVMLFSYPVFKYMGMRSSLPLPSWKVVLVQIIFYFILEDFVFYWGHRILHTKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFIPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWVFGTDKGYRKLKALKSTEVEDGSKQM >Manes.02G127900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9675838:9680064:1 gene:Manes.02G127900.v8.1 transcript:Manes.02G127900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVHASWLYLITHFSDFQLACLGSFFLHESVFFLSGLPFIFIERAGWLSKYKIQTKNNSPAAQEKCITRLLLYHFGVNLPVMLFSYPVFKYMGMRSSLPLPSWKVVLVQIIFYFILEDFVFYWGHRILHTKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFIPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWVFGTDKGYRKLKALKSTEVEDGSKQM >Manes.03G066581.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8492638:8496606:-1 gene:Manes.03G066581.v8.1 transcript:Manes.03G066581.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLAGSNWPAIGLCFFFFFLIASSAKIPTSKIPSTTKKSSALGVQLQVTDKQVVIDNGIVQVNFSSPGGDVIGIKYKEMDNVLETKNYENNRGYWDVVWSRPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVLLTNPVNPQQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVLMSLFNQIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPF >Manes.14G071500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5935370:5939467:1 gene:Manes.14G071500.v8.1 transcript:Manes.14G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLVESLGIREEVIKKVCSISMKTHKYAGKHLYVSEKIRNSHELVFSFPGSWSASDLLIRSPFGEVEVDLALFPCLQYIGLKQTATVNEAFLNRFKAVLANAHFKKEVGAAVADGKQVVFTGHSLGGPIAVLASIWFLEEYVRREPKKMTPLCVTFGSPLVGDRLMGHALRRENWSRYFINFVMRYDIVPRMSLTPLSSVEQQLQRALNFLNPKSTLQENAVEAPGFFVTVMSNALSVVSHAASKMMMGSTNLLMETLSNFVELSPYRPLGTYVFCTGDGKLVVIRNPDAILQLLFYTSQLNSEEDLPAVAHRSLKDHLSYKDELQECLQMQSVIFLDDHHLEALPLSDDVIGESNMALNDLGLSARARLCLRAAGELEKQKRNNQKTMDEKMENIEKGLEKLRDYKNKCQLKVGYYDAFKISENKEDFDANVKRLELAGIWDEIIEMLKRNELPDEFERREEWVEMGTRYRRIVEPLDVANYYRHLKNEDTGPYMERGRPKRYKCTQRWREHAENMSTESLESCFWAEVEDLCFKSGNPSVRDRVLQLKRRVEEWIKNGVLDDDVFLMGSTFDKLLKEHSLTNS >Manes.02G130800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9918201:9922057:-1 gene:Manes.02G130800.v8.1 transcript:Manes.02G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYRFDHATMSVLPNHHLVNDFQLYHESVHPQQPLFPTNAHASSSDAASHSGSSPEADSLDNSEFSNAILKYISDMLMEEELEGKNCMLQDGLALQAAEKSFYDVLGQKYPPSSDQSSGFDQNIHSLDSGFTWSANSDSSNTFTTSIHLAESSWIFYQAEFELSHVNTLQVPDLCRESISNASSLILPESPNARDSHSVKDWRERKHHQLEENAYLEGRSNKHSAFSNEKPEVTEIFDEVLLGQAGKNDRGSLALQNDGKLKNDGQKKGKKARSKKRGGKKEVVDLWSLLPQCAQAVANNDQRAAKELLRQVRQYSSPFGDGNQRLAHFFVNGLEARLAGTGTPGYTPVVSSTTSAADMLKAYHAYITACPFQTMSYLYASHTIMKLAEKVSRLHIIDFGILYGFQWPSLIERLSTRIGGPPKLCITGIEFPQPGFRPLQRVEETGRRLRKYCERFNVPFEYNPIAQKWETIQYEDLKIDRNEMIVVNCLYRLKNLPDDTMVTNSVRDIVLKLMRRINPDIFIHGVVNGTYNAPFFVTRFREALFHFSALFDMIDATIPREEPERMMFEKEIFGRYAMNVIACEGGERVERPETYKQWQVRNIRAGFRQLPLDQENLKKVIATVKSNYNKNFVVDEDGQWMLQGWKGRIVYALSVWKPVHD >Manes.07G133164.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29749423:29749830:-1 gene:Manes.07G133164.v8.1 transcript:Manes.07G133164.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQENTSFCNRHVGNPCRSFGRKCSRLVKEHRTRFYILRRCIVMLICWHKYSEL >Manes.14G152301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17784586:17787175:-1 gene:Manes.14G152301.v8.1 transcript:Manes.14G152301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATNPTAANVTLDLELESVLTLSNSDNPGIKLVSNLLTGPNYLSWTRSMIIALRAKDNLGFITVDSMIISWILNSISRDLSDGFLQGSMTLAAYYNKLKRCWDELSVLCPLPPCACGAAKALTTFEERERLIQFLMGLNHQYEHVSNQILLLDPLPSASKAYGMVQNVEKQKKIQITFPESSNITTVMAAQRFNNSRRQSSGDSKFNSNNKADSNFECMDKGTWIVDSGATDHMSGDLALFDSISKLKTPRHIRLPDGRTKLITHTGTIQLSPRITLFNTLYITDFHCNLLSVNYLAFTCKIFVTQYPDHCVLQDLQSKEEIAIGLVVGRLYHINKQSFSITKVNNAYTTHEPHLFQHWHSRLGHPSTNKMRHLSIVPVISSDVLPCTVCPMAKQERLVFPSNVEYSLTIFELIKSVTGAYYIFTIVDDYSRFTWTYMLNSKTQVPSVLTHFLAHIENQFKTHVKAVRTDNGIRHQRTCVYTPQRNGVVERKHKHLLQVARSLMFQSKFPSHFWSETLLTTTHLINRLPSEILKWQNPYELLHGHKPKLDYLRVIGSLCFATNLSPGKIKFSVRGLSSVLLGYDARFHENVFPFHLTNPSSVTDFCLPKCIADDMAIHSFSIPIEPSVNPLVNQIPNANMIAVVEAVKNKPIINQQNNL >Manes.18G015300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1619465:1621243:-1 gene:Manes.18G015300.v8.1 transcript:Manes.18G015300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTTSFSNSRHCPVIFFNSKGQFLTSSFIKASLPCCPNPPTKFPLTAHKGTKSFNYTFGVLSTWLFFYLLSLIFHWSIAFSWEKKMSAAMGKCSKIRHIVRLRQMLRRWRNKARMSATRIPSDVPAGHVAVCVGTSCRRFVVRATYLNHPVFKKLLLQAEEEYGFTHQGPLSIPCDETVFEEVIRYISRSESGNSIRFLNLEDFQRYCHVGVRSKLDFWTESRPLLHGFADKTIW >Manes.15G004900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:547329:549579:1 gene:Manes.15G004900.v8.1 transcript:Manes.15G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTGLTTDQLQTRDHISVDFRQPDEELVASIMEEIETISYSHSIGRVKGSLRETNGNIFDPDKVSIGPYHHDDEGLKSMEDQKWRYVYALLNRKLNLEASLNECVTALREVEHRARACYEDRGDIDLSSNKFLKMMLADGCFIIELFLRYSVKSLRRRNDPIFTTPGMLADLMNNLILLENQIPLFILQRLFQVVPIPKQCTYSLPELAFRFFRNIIPGDPKINQEKFNQEAHHLLDIICHCLLPTYPIVRQQQPKSENKYLPSATELQTAGIKIKVARTDNLLDIKFTNGILEIPPIVVHRFTRKLFRNLIALEHCSSDSIQYVTSYAIFMKDLIREDKDVKLLQKRFILTSYPATRKDVNKLFEVLCKGVDVIEFYYEGLCAQVNGYKGRSWHKKINFCPKLFPSKLGGKGCFSY >Manes.01G064500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26290237:26292163:1 gene:Manes.01G064500.v8.1 transcript:Manes.01G064500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGTLQVLLISAKGLENTDCIKGMDPYVVLICRSQEQRSSVASGQGSEPKWNENFIFTITEGVTELILKIMDKDPIAHDFVGEATVPLKPLFLGGNIPPTAYRVVKEEKYKGEIKVALTFTPAQGGRSR >Manes.17G119400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34391114:34396128:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRGIILHFIMKVVSRFSLVNWQAKQ >Manes.17G119400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34389017:34396131:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRVLSDLFGHYVEAWKSWYVEVAVKEKTQKGS >Manes.17G119400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34389095:34396128:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSGASKSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRGIILHFIMKVVSRFSLVNWQAKQ >Manes.17G119400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34388713:34396128:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSGASKSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRGIILHFIMKVVSRFSLVNWQAKQ >Manes.17G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34389095:34396158:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSGASKSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRVLSDLFGHYVEAWKSWYVEVAVKEKTQKGS >Manes.17G119400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34389860:34395991:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSGASKSVFVYTENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRVLSDLFGHYVEAWKSWYVEVAVKEKTQKGS >Manes.17G119400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34388664:34396128:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSGASKSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRGIILHFIMKVVSRFSLVNWQAKQ >Manes.17G119400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34389275:34396083:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSGASKSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRG >Manes.17G119400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34389017:34396144:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSGASKSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRVLSDLFGHYVEAWKSWYVEVAVKEKTQKGS >Manes.17G119400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34388666:34396128:-1 gene:Manes.17G119400.v8.1 transcript:Manes.17G119400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVSRESDPSGRQFYCRKEKSLGVLCTNFLRLYDRSDVDSIGLDDAATRLGVERRRIYDVVNILESIGVVARKQKNRYSWKGFDAIPRALEELKEEGFRENFCASASCNSAKVANENENEGSSSSKTDGQDNSSGASKSENKREKSLWLLTQNFVKLFLCSNVDMITLDNAAVSLLGDGHNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWRGNNESVTSLDQPKKRTFGTEITNYNTKRNKVDSSIDWNSNHQEENVPVEIRCKDMENDCNKNKSDHHTKHSPKCVEFGPFTPVGVQTAGESKNKIESRIEDLERLASTYYPRYNNRGIILHFIMKVVSRFSLVNWQAKQ >Manes.11G062700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8730085:8732056:-1 gene:Manes.11G062700.v8.1 transcript:Manes.11G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTFARRLNPTQILRNFTAHCLSTKPTSHFPSETPKPQQNPQNFDTSTVIETLSCYNNDWKCALDFFNWVETECEFKHTTETYNRMIDILGKFFEFDLSWDLIQRMRKVPNSVPNHLTFRILFKRYISAHLVKEAIDTYDRLEEFNLKDGTSYCNLIDALCEFKHVIEAQELCFRKNFEENDAIDARNKTKIYNMILRGWFKMGWWSKCREFWEQMDRKEVYKDLHSYSIYMDILCKSGKPWKAVKLYKEMKTKGIKLDVVAYNTVIHAVGLSKGVDFAMRLYREMMELGCMPNIVTCNTIIKLLCQNGRTTEAYYMLDEMRKKDIAPDVRTYHCFFGGLMRPKEILWLFDRMIESGVRPRMDTYVMLMRKFGRWGFLRPVFLLWKKMEEHGCSPDEFAYNALIDALTQKGMLEMARKYEEEMLSKGLSPKPRRTIYGE >Manes.03G180100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30253017:30256095:1 gene:Manes.03G180100.v8.1 transcript:Manes.03G180100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNLLLTLLGLCFLLSCNAQNQRNITLGSSLTAGSNSSWLSPSGDFAFGFYSLTNGLFLVGIWFANIPNKTLLWSANRDLPLPTGSIVALSEDGRFLFTHTNGTIFTIHDGDASSGSMQDDGNFVLMNSGSELIWQSFDHPTDTILPGQKLNEGQFLFSNANGTVDFSTGRFRLAMQPDGNLVLSAFRLGGPGYWFTGAFGNSSLVFNESSALMYIANETAIFYQMTKESDLPTSISSSYHRATIDDGGNFQQYIHPKVHGSRWISVWRAITQPCTVNGVCGVYGYCTTDNNQTQTVTCSCLSGYSLLDPDIPSKGCFPDIPPEQCSNNTRSQVTYKIDQFEDADIPNNFEADLAEIRDIDLANCTKAVQDDCYCTAATFNATESVCRKKRIPFFTARKSNPATNGMITLIKVPVGTNNVPEDGENDSRTVIIVCLSVTSVLVLFFAAFALYYHPITKRFRSRKPLTVAYASDFNLRAFTFQELHEATDGFSNRIGKGSFGTVYSGSVGFEDKQIDIAVKQLDNVIEQGEKEFLTEVKVIGQTHHKHLVKLVGFCNEKSHRLLVYELMKNGSLSGFLFREEEKPSWNQRATIVLQIARGLLYLHEECETQIIHCDIKPQNVLLDEHYTPKIADFGLAKLLMKDQTRTSTNVRGTMGYMAPEWLKHAPITAKVDVYSYGVMLLEIICCRRHIELNRVEEETEEDDLVLTDWVLNCVRTGRLNVVINNEAEVMEDFKRFERMAMVGLWCVHPDPILRPTMKKVTQMLEGTAEVGVPPLAHALSISYK >Manes.04G060301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21029456:21031244:1 gene:Manes.04G060301.v8.1 transcript:Manes.04G060301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFSLSLFFFFNFLPFLIIYFPNYFSLLYFLFHFSLLFSHFILIFFHLLPFSLIFSSISIIVSFSSLFLFIFFLFSYNLFLSFSSISFPFFSFFSSLIYYFLFLFLLFSFIFFPFLSYSLPFF >Manes.01G255800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41303745:41310632:-1 gene:Manes.01G255800.v8.1 transcript:Manes.01G255800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAGKVCQTEQSISYGKGERSGIATATFAHRSIFYQKFHSRMAIAFTSRFSCSPSIQQRSVGRCECFSCYSVGNWSQLSRRQCLHIEYVKVNRMRAYYKSEEYDITEAAVDSLKSAEGSAEAVLVEGNLQETSPWWQQFPKRWVIVLLCFMAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLMTQIIGGIWADKLGGKLVLGFGVVWWSAATILTPIAARLGLPFLLMMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYAFGSLGSIWFALWLRKAYSSPKDDPDLCAEEKKLILGGSTAKEPVSVIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVVLYIIGTLVWNIFSTGEKILD >Manes.01G255800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41303746:41311362:-1 gene:Manes.01G255800.v8.1 transcript:Manes.01G255800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLISSRNFGSFIGSAGKVCQTEQSISYGKGERSGIATATFAHRSIFYQKFHSRMAIAFTSRFSCSPSIQQRSVGRCECFSCYSVGNWSQLSRRQCLHIEYVKVNRMRAYYKSEEYDITEAAVDSLKSAEGSAEAVLVEGNLQETSPWWQQFPKRWVIVLLCFMAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLMTQIIGGIWADKLGGKLVLGFGVVWWSAATILTPIAARLGLPFLLMMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYAFGSLGSIWFALWLRKAYSSPKDDPDLCAEEKKLILGGSTAKEPVSVIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVVLYIIGTLVWNIFSTGEKILD >Manes.01G255800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41303438:41311383:-1 gene:Manes.01G255800.v8.1 transcript:Manes.01G255800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLISSRNFGSFIGSGKVCQTEQSISYGKGERSGIATATFAHRSIFYQKFHSRMAIAFTSRFSCSPSIQQRSVGRCECFSCYSVGNWSQLSRRQCLHIEYVKVNRMRAYYKSEEYDITEAAVDSLKSAEGSAEAVLVEGNLQETSPWWQQFPKRWVIVLLCFMAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLMTQIIGGIWADKLGGKLVLGFGVVWWSAATILTPIAARLGLPFLLMMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYAFGSLGSIWFALWLRKAYSSPKDDPDLCAEEKKLILGGSTAKEPVSVIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVVLYIIGTLVWNIFSTGEKILD >Manes.01G255800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41304479:41311383:-1 gene:Manes.01G255800.v8.1 transcript:Manes.01G255800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLISSRNFGSFIGSGKVCQTEQSISYGKGERSGIATATFAHRSIFYQKFHSRMAIAFTSRFSCSPSIQQRSVGRCECFSCYSVGNWSQLSRRQCLHIEYVKVNRMRAYYKSEEYDITEAAVDSLKSAEGSAEAVLVEGNLQETSPWWQQFPKRWVIVLLCFMAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLMTQIIGGIWADKLGGKLVLGFGVVWWSAATILTPIAARLGLPFLLMMRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIHKFGWPSVFYAFGSLGSIWFALWLRKAYSSPKDDPDLCAEEKKLILGGSTAKEPVSVIPWKLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGKNLEAVLFSLNL >Manes.07G098400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30257726:30258706:1 gene:Manes.07G098400.v8.1 transcript:Manes.07G098400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFSDALLPIFFFLLIFSITDARKDLGEYWREVMKDQLLPEPIQELLQASPASSASHEKNDCRISKNFQPRPDLSIYHDDISFKGKKPLDKESFANKFESRPDVTIP >Manes.01G211400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38229024:38232602:1 gene:Manes.01G211400.v8.1 transcript:Manes.01G211400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNIFHSCCVSELFSLERHCLFYIKLGEIYEIFLAHCNYNTNLIWLTLISVFVWFIGFSSKDFDGLDKLLLLVQKADEMSNCGGDNSNLGSSSSPPPVPQQDVGGKNKRKLVDPSPGNPISLPSFPTEFPRCGSYLDKSQNPQSDLGHSVVGSSQPNIAAEADEPTDWSDPLAFHLEELLLFNIRTIFQNAIKQLCLSGFSEHVAQRAITRLGLYQGGRDIVANIVTDALALLTSGKDIDSTKDIVFENLQQMVEYTMLELVNVVRQVKPSLSTGEAMWRLLICDMNISQACAAEGDLLGECGCKAIPGASSSNSSLPKSRSKAQCSEPIPSSNPSSRTSQTSPSEGKSKKARKSRSKKELAARNKTLNPDKVPGNKGKSGKAASSGRLIMENRMKSSSESHGLQTKGSASNTKDKVEAPVREGSSHVPNKSPSAVSASDDPSKVHKEGTTSAANTELTVSGKKPLLKPEANTAVFPKISDYYSRIPYDESLGKYIPQDEKDELILKLVPQVQELQNELQSWTDWANQKVRQATHRLSKDKPELKALRLEKEEKKTLEENTLRRISEMELALVNAAGQVEAANSTICKLEEEQSLLHDEFDTVKLQAVEADVNCEEALEREKKALKDAQSWKGQKSLLQEELQTHKQRVTELQRKIGEAEKIKNHFEARWEQERMLNEKLLAEAASIRNEREQLEAAAKAGEDIIRQKAEEDLKKYMENISKLEKEISKLRLTSDASKIAALRRSVDGNYGGTSIQSMKGSQDSNVLRKADFQETCGSGGLKRDRECVMCLSEEKCVVFLPCAHQVLCTKCNEIHGKQGMKDCPSCRTPIQRRIAARFARP >Manes.01G211400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38228408:38232602:1 gene:Manes.01G211400.v8.1 transcript:Manes.01G211400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCGGDNSNLGSSSSPPPVPQQDVGGKNKRKLVDPSPGNPISLPSFPTEFPRCGSYLDKSQNPQSDLGHSVVGSSQPNIAAEADEPTDWSDPLAFHLEELLLFNIRTIFQNAIKQLCLSGFSEHVAQRAITRLGLYQGGRDIVANIVTDALALLTSGKDIDSTKDIVFENLQQMVEYTMLELVNVVRQVKPSLSTGEAMWRLLICDMNISQACAAEGDLLGECGCKAIPGASSSNSSLPKSRSKAQCSEPIPSSNPSSRTSQTSPSEGKSKKARKSRSKKELAARNKTLNPDKVPGNKGKSGKAASSGRLIMENRMKSSSESHGLQTKGSASNTKDKVEAPVREGSSHVPNKSPSAVSASDDPSKVHKEGTTSAANTELTVSGKKPLLKPEANTAVFPKISDYYSRIPYDESLGKYIPQDEKDELILKLVPQVQELQNELQSWTDWANQKVRQATHRLSKDKPELKALRLEKEEKKTLEENTLRRISEMELALVNAAGQVEAANSTICKLEEEQSLLHDEFDTVKLQAVEADVNCEEALEREKKALKDAQSWKGQKSLLQEELQTHKQRVTELQRKIGEAEKIKNHFEARWEQERMLNEKLLAEAASIRNEREQLEAAAKAGEDIIRQKAEEDLKKYMENISKLEKEISKLRLTSDASKIAALRRSVDGNYGGTSIQSMKGSQDSNVLRKADFQETCGSGGLKRDRECVMCLSEEKCVVFLPCAHQVLCTKCNEIHGKQGMKDCPSCRTPIQRRIAARFARP >Manes.01G211400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38228382:38232602:1 gene:Manes.01G211400.v8.1 transcript:Manes.01G211400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCGGDNSNLGSSSSPPPVPQQDVGGKNKRKLVDPSPGNPISLPSFPTEFPRCGSYLDKSQNPQSDLGHSVVGSSQPNIAAEADEPTDWSDPLAFHLEELLLFNIRTIFQNAIKQLCLSGFSEHVAQRAITRLGLYQGGRDIVANIVTDALALLTSGKDIDSTKDIVFENLQQMVEYTMLELVNVVRQVKPSLSTGEAMWRLLICDMNISQACAAEGDLLGECGCKAIPGASSSNSSLPKSRSKAQCSEPIPSSNPSSRTSQTSPSEGKSKKARKSRSKKELAARNKTLNPDKVPGNKGKSGKAASSGRLIMENRMKSSSESHGLQTKGSASNTKDKVEAPVREGSSHVPNKSPSAVSASDDPSKVHKEGTTSAANTELTVSGKKPLLKPEANTAVFPKISDYYSRIPYDESLGKYIPQDEKDELILKLVPQVQELQNELQSWTDWANQKVRQATHRLSKDKPELKALRLEKEEKKTLEENTLRRISEMELALVNAAGQVEAANSTICKLEEEQSLLHDEFDTVKLQAVEADVNCEEALEREKKALKDAQSWKGQKSLLQEELQTHKQRVTELQRKIGEAEKIKNHFEARWEQERMLNEKLLAEAASIRNEREQLEAAAKAGEDIIRQKAEEDLKKYMENISKLEKEISKLRLTSDASKIAALRRSVDGNYGGTSIQSMKGSQDSNVLRKADFQETCGSGGLKRDRECVMCLSEEKCVVFLPCAHQVLCTKCNEIHGKQGMKDCPSCRTPIQRRIAARFARP >Manes.01G211400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38228412:38232602:1 gene:Manes.01G211400.v8.1 transcript:Manes.01G211400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCGGDNSNLGSSSSPPPVPQQDVGGKNKRKLVDPSPGNPISLPSFPTEFPRCGSYLDKSQNPQSDLGHSVVGSSQPNIAAEADEPTDWSDPLAFHLEELLLFNIRTIFQNAIKQLCLSGFSEHVAQRAITRLGLYQGGRDIVANIVTDALALLTSGKDIDSTKDIVFENLQQMVEYTMLELVNVVRQVKPSLSTGEAMWRLLICDMNISQACAAEGDLLGECGCKAIPGASSSNSSLPKSRSKAQCSEPIPSTSSRTSQTSPSEGKSKKARKSRSKKELAARNKTLNPDKVPGNKGKSGKAASSGRLIMENRMKSSSESHGLQTKGSASNTKDKVEAPVREGSSHVPNKSPSAVSASDDPSKVHKEGTTSAANTELTVSGKKPLLKPEANTAVFPKISDYYSRIPYDESLGKYIPQDEKDELILKLVPQVQELQNELQSWTDWANQKVRQATHRLSKDKPELKALRLEKEEKKTLEENTLRRISEMELALVNAAGQVEAANSTICKLEEEQSLLHDEFDTVKLQAVEADVNCEEALEREKKALKDAQSWKGQKSLLQEELQTHKQRVTELQRKIGEAEKIKNHFEARWEQERMLNEKLLAEAASIRNEREQLEAAAKAGEDIIRQKAEEDLKKYMENISKLEKEISKLRLTSDASKIAALRRSVDGNYGGTSIQSMKGSQDSNVLRKADFQETCGSGGLKRDRECVMCLSEEKCVVFLPCAHQVLCTKCNEIHGKQGMKDCPSCRTPIQRRIAARFARP >Manes.01G211400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38228381:38232613:1 gene:Manes.01G211400.v8.1 transcript:Manes.01G211400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCGGDNSNLGSSSSPPPVPQQDVGGKNKRKLVDPSPGNPISLPSFPTEFPRCGSYLDKSQNPQSDLGHSVVGSSQPNIAAEADEPTDWSDPLAFHLEELLLFNIRTIFQNAIKQLCLSGFSEHVAQRAITRLGLYQGGRDIVANIVTDALALLTSGKDIDSTKDIVFENLQQMVEYTMLELVNVVRQVKPSLSTGEAMWRLLICDMNISQACAAEGDLLGECGCKAIPGASSSNSSLPKSRSKAQCSEPIPSSNPSSRTSQTSPSEGKSKKARKSRSKKELAARNKTLNPDKVPGNKGKSGKAASSGRLIMENRMKSSSESHGLQTKGSASNTKDKVEAPVREGSSHVPNKSPSAVSASDDPSKVHKEGTTSAANTELTVSGKKPLLKPEANTAVFPKISDYYSRIPYDESLGKYIPQDEKDELILKLVPQVQELQNELQSWTDWANQKVRQATHRLSKDKPELKALRLEKEEKKTLEENTLRRISEMELALVNAAGQVEAANSTICKLEEEQSLLHDEFDTVKLQAVEADVNCEEALEREKKALKDAQSWKGQKSLLQEELQTHKQRVTELQRKIGEAEKIKNHFEARWEQERMLNEKLLAEAASIRNEREQLEAAAKAGEDIIRQKAEEDLKKYMENISKLEKEISKLRLTSDASKIAALRRSVDGNYGGTSIQSMKGSQDSNVLRKADFQETCGSGGLKRDRECVMCLSEEKCVVFLPCAHQVLCTKCNEIHGKQGMKDCPSCRTPIQRRIAARFARP >Manes.03G165200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29163600:29164183:1 gene:Manes.03G165200.v8.1 transcript:Manes.03G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDGNRGKKENEEKGREEVRYRGVRRRPWGKFAAEIRDPSRQGARLWLGTFDTAAEAARAYDRAAFNLRGHLAILNFPNDYYPQLVGSTPRPHHSFSSSSSSSASQSFEWGSSSTGQERQVFEFEYLDDKVLEDLLESEEEKKKRHQD >Manes.01G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5359388:5362530:-1 gene:Manes.01G025200.v8.1 transcript:Manes.01G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGIKGPSYRFLHGNTKEITNMRNETMKNPMELSHHVLPRIQPHIYSWIKLYGMNFLLWYGTRAQLTVTEPELVKEILNSKEEAYCKKGFQEYIKKLLGDGLVLSSGKKWLKMRKLANHAFHGESLKSMVPAMVESVEVMLKRWGHHEEKEIDVFQEFKVLTSEIISRTAFGSSYLEGEKIFDMLKKMTTIIARNHYKVRIPGIKRFLKTKDEVEMDKLEEEIRDTIINMVKKREEAAMMGELDSYGNDFLGLLVQAYNEEDKNMKISIDDLIDECKNFYVAGQETTASSLTWLVFLLAIHTDWQDKARKEVLQLFGQQNPTADGVAKLKTMSMIINESLRLYSPVFHITREVQKEVKLGKLTIPANMETHIPTLALHTDHQIWGEDALIFKPERFADGVAKATNNSISSFVPFGLGARTCVGLNFAITEEKIALSMILQHFRFTLSPTYVHSPVQILTVCPQHGVQIILEAL >Manes.17G119600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34378318:34379996:1 gene:Manes.17G119600.v8.1 transcript:Manes.17G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQIPISIVIISAFFFFFPSNAIRSFHSKTDFHERKIDGFDFSLQFFEAPEYKNGNECAAVNCLLLPSNPSLVHVAMTLDPQYLRGTVAAIHSVLKHSSCPENIFFHLIASDSGLISPSKLSKVVESVFPFLMFKVYTFNENLVNNLISTSIRQALDNPLNYARSYLADMLDPSIKRVIYLDSDVIVVDDIKNLWRIPLTGSRTIGAPEYCHANFSNYFNSEFWSDPEISRLFEGKRACYFNSGVMVMDLERWREGDYTRDIEKWMRIQKEKRIYELGSLPPFLLVFGGDVEAIDHRWNQHGLGGDNVVRSCRSLHPGPVSLLHWSGKGKPWRRLDDMKPCPVDYLWAPYDLHKHQSHPHIHHQKRRQR >Manes.09G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25279502:25287744:-1 gene:Manes.09G088600.v8.1 transcript:Manes.09G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGIEEGDGRDLEKGMAKILQYIPKYVPDSTSFSSPVPSPVSTPRSTLVISNSGKALLVSNSSKSLVLSNSGKRFDKKKFVKQVTGRHNDTELHLAAQRGDLAAVKQMIGEIDSQMIGTLSGADFDAEVAEIRSAVVNEVNELGETALFTAAEKGHLEVVKELLPYTTKEALSLKNRSGFDPFHVAASQGHQAIVQVLLKHDPLLSKTVGQSNATPLISAATRGHTAVVHELLSKDSGLLEIARSNGKNALHLAARQGHAEIVKALLEKDPQLARRTDKKGQTALHMAVKGVSCAVVKLLLQADAAIVMLPDRFGNTALHVATRKKRVEIVNELLLLRDTNVNALSRDHKTALDIAEGLPLSEEISEIKDCLSRYGAVKANELNQPKDELRKTVTQIKKDVHSQLEQTRKTNRNVSGIAKELRRLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDHDDGTAVMVRSVSFKIFFIFNAIALFTSLAVVVVQITIVRGETKAERRVVEVINKLMWLASVCTTVAYISSSYIVVGRHHKWAAILVTVTGGVIMAGVLSAMTYYVMKSRRKRKVRKKEKHSKNISWYLSESESEVNTMYAI >Manes.01G171900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35348670:35352785:-1 gene:Manes.01G171900.v8.1 transcript:Manes.01G171900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKYTVIGEQSIFPNSDRHPDDESGFNFDSPSSDLNFVNVLSDSSDFVANDLGLSFAFSPGGESFIPEFSPGGESFEPSPGSSPEGDASSPSTDTDSSDPVLKYISQMLMEENMEDKPHMFYDPFALKATEKSLYDVLGEEYPSINSPQLHINLESPDSNLLGSGSDFGGITSSSPSTGTATSDFVDPQLVGYVGESNSVLLQTYIPYDYHLQSNSHSSSQFSVNSSNGLPDIGHGLMSSSVSEMLQNMFSETESVLQFKRGLEEASKFLPKASQLVVDLESNAFATGQKEEAPVVIVKEEKIERGSSPNGSRGRKNHEREDSDLEQGRSSKQSAVYVEESELSEMFDKVLLWPMLKGKQWCCGPEVKQDEASQIPKQNEKSNGSNGGKSRAKKQSRKKETVDLRSLLILCAQAASANDFRTANELLKQVREHSSPLGDGSQRLAHYFANGLEARLAGSGTGMQDFYTSIASRRMTAADMLKAYKTQLHACPFKKLSILFANKMILHAAENATTLHIVDFGVLYGFQWPILIQLLSMRPGGPPKLRITGIELPQQGFRPAERIEETGRRLAKYCQRFNVPFDYNPIAAQEWEKIPIEDLKIDSNEVLAVNCLCRFKNLLDETVEVNCPRNAVLNLIRKIKPNIFVHAIINGSYNAPFFVTRFREALFHFSSLFDMFDSTIPREDQERLKFEREFCGREAMNVVACEGMERVERPETYKQWQFRTTRAGFKQLPLDQEVMEKCRDKMKAWYHKDFVIDQDSNWMLQGWKGRIIYASSCWVPT >Manes.11G038800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3709346:3712970:-1 gene:Manes.11G038800.v8.1 transcript:Manes.11G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRSGYKSKYCGLRLRGNILVGLVVIASMVIAAESRLKQEASTHNEAQYHNMTSIPHPAQLHHGNKNHSDYIQVWPKMKFGWKIVVGTIVGFLGAAFGSAGGVGGGGIFVPMLTLIIGFDAKSSVAISKCMITGAAAATVYYNLDQRHPTIEMPVIDYELALLFQPMLVLGVGIGVAFNVIFPDWIITILLIILFLFMSTKALLKGIETWKKETLTKKEAARCLESNGENGEVLVPKPPPETLSNSTPTEAKVPKVPKVPKKAKVSVLENVYWKALGLLFAVWLMILALQIAKNYSRICSLPYWLLDFSQIPVAASVTVYQAICLYNGRRKIASKGEAGTNWRVHKLIVYSILGLVAGMVGGLLGLGGGFILGPLFLEMGIPPQVSSATATFAMTFSASLSVVEYYLLKRFPVPYAFYFFVVTTVAGFVGQHLVKKVINMLGRASIIIFILSFTILVSAILLGGVGLADMIKKIERKEYMGFDNICSYS >Manes.01G139400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33107554:33111295:1 gene:Manes.01G139400.v8.1 transcript:Manes.01G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAFANSVLPFSSTPRSSRPTCNAFPLSRISLPNSGSHFLSTKLKEEKNFRFFTTYSSPDAASAKDPKEEETPIELKYAAYPTVMDINQIREILPHRFPFLLVDRVIEYTPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLVMRMTLVKLQKRFGIAKMEGKAYVGQDVVCEGEFLMAMGSE >Manes.14G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1518618:1521268:-1 gene:Manes.14G003600.v8.1 transcript:Manes.14G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGAGERGAFRRGFGGPPRGDRGGRGRRRARREEEEKWVPVTKLGRLVKDGKIRSLEQIYLHSLPIKEHQIIDTLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFTKSPFQEYTDLLGKPTKVLIEDAERVEA >Manes.05G048200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4007582:4009934:1 gene:Manes.05G048200.v8.1 transcript:Manes.05G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSHLLPHWLQVLLTEKFFNACIIHEEAKKNEKNVYCLDCCISICPHCLSPHNSHRLLQIRRYVYHDVIRLGDAQKLFDCAFVQSYTTNSAKVLFLNPRPQTRQFRGSGNVCGTCDRSLQDPFLFCSLSCKVDCIIKMKGVDGLSSFLFDCKFLSLSESVSDDGLMTSDSVLEPAASTRTSSSSDGYGGIGCRTLACTATTEIVRKKRSSLSVCQPMFPRSEMSAGLMNRRKKTPNRAPLY >Manes.08G082411.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28548568:28549161:-1 gene:Manes.08G082411.v8.1 transcript:Manes.08G082411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSFRVEIWYVSCFFFFGSCGGLNHFGDFSYCTSVDRCFAALSFSLRPPELSKVEGALDTNLPNLLSGRVWIVGPRIFSKIAVVVFICFFLDLRVVSWAELSLFFIYVLDP >Manes.02G002100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:234756:237444:-1 gene:Manes.02G002100.v8.1 transcript:Manes.02G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSLQSVHFRPAQQNPSLQTWRPRNFIRCRIAEPTGKPAPLGQKTKYNDGFFEKAFMALFARKMEKFAAPVKDGTESKNKRWFDSDYESFVDVSRRVMQGRNRLQQQQVVREVLLSMLPPGAPEQFRKLFPPTRWAAEFNAALTVPFFQWLVGPSEVVEVEVNGVKQRSGVHIKKCRYLENSGCVGMCVNMCKIPTQDFFNNEFGLPLTMTPNFEDMSCEMVYGQVPPPFEEDPASKQPCFADICSISNPNSNICPKLQA >Manes.11G063500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8860154:8867593:-1 gene:Manes.11G063500.v8.1 transcript:Manes.11G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLYKASSSLRRGSSSVWRNNGLDVFSRSSREEDDEEALKWAALEKLPTYDRLRKGILVSVSKGGANEIDVENLGFQERKALLERLVKVAEEDNEKFLLKLKNRIDRVGIEVPTIEVRYEHLNVEAEALVGSNALPSFLNFSISIVEGLLNYLHILPNRKRPLTILKDVSGVIKPSRMALLLGPPSSGKTTLLLALAGKLDPNLKVSGNVTYNGHALNEFIPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGTQHEMLAELSRREKAANIKPDPDLDVFMKAAATEGQETSVVTDYVLKILGLDICADTMVGNEMIRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFSIVNSLRQSVHILNGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREHVLEFFENMGFKCPERKGVADFLQEVTSKKDQQQYWARKDQPYRFVTVKEFAEAFKSFEVGQTITQVLSTPFDKSKNHPAALTTKPYGVGKMELLKANFSREYLLMKRNSFVYIFKLSQLIIMAIIGMTLFFRTEMSKDDLEGGGIYIGALFFTLITIMFNGMSELSMTIAKLPVFYKQRNILFFPPWAYSIPSWILKIPITFLEVGVWVFLSYYVIGFDPNVGRLFKQYLLLLLVNQMASALFRFIASVGRNMIVANTFGSFALLTLFALGGVILSRENIKKWWIWGYWVSPLMYGQNSILANEFLGNSWSHVPANSSSTESLGVQVLKNGGYFPHAYWYWIGVGASAGYMFLFNFLYTVALTLLDTFEKPQAVISDEPEENDKTRGAIQLSQLESSHRTNTESGTSENNESSHNKKKGMVLPFEPHSITFDNVIYSVDMPQEMKHQGVVEDKLMLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGYPKQQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPSDVDSETRKMFVEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRHSCHLIEYFEGIEGVSKITDGYNPATWMLEVSSSAQELTLGVDFANIYRNSDLYRRNKEMIQELSKPAPGTEDLYFPTQYSQPFLTQCIACLWKQSWSYWRNPPYTAVRFWFTTFIALMFGTIFWDLGTKTERQSDLSNALGSMYAAVLFLGLQNATSVQPVVAVERTVFYREKAAGMYSAMPYAYAQALIEIPYIFVQTVVYSVITYAMIGFEWTAAKFFWYLFFLLFTLLYFTYYGMMTVAVTPNHHIASIVSSAFYSIWSLFSGFMIPRTKMPVWWRWYYWGCPISWTLYGLLGSQFGDVKTMLGNTGQTVEEYVNDYYGIKHDFLGVVAGVVVGITVLFAFTFAISIKAFNFQKR >Manes.05G078600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6420705:6423147:-1 gene:Manes.05G078600.v8.1 transcript:Manes.05G078600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSMPWSEEDEDSLSDESSSSHSGSDGDKKKGTDEVKANKGQKSKETKPKVGKSGRRSGAVDFDALRRHGYKGGLSVLNVPPPKDDTPQDWNWSTGKEHREVKEVEESYEERQKTRAAIMDGEQLTNARTAKDKKNLSFSQKEKRKRDLGQASRGKNYVEEEKRLLRESGIYSSFDT >Manes.05G078600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6420705:6423147:-1 gene:Manes.05G078600.v8.1 transcript:Manes.05G078600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSMPWSEEDEDSLSDESSSSHSGSDGDKKKGTDEVKANKGQKSKETKPKGKSGRRSGAVDFDALRRHGYKGGLSVLNVPPPKDDTPQDWNWSTGKEHREVKEVEESYEERQKTRAAIMDGEQLTNARTAKDKKNLSFSQKEKRKRDLGQASRGKNYVEEEKRLLRESGIYSSFDT >Manes.17G062800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26222236:26231257:-1 gene:Manes.17G062800.v8.1 transcript:Manes.17G062800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIDYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARIRKENLSRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQILKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRIIFKMDKYGQAVEFHYSRLKQNKELSFSGFTHEMLLQMCILSGCDYLQSLPGMGLKRAHALVNKFKSYDKVIKHLRYSTVSVPPLYEESFQKAIWTFQHQRVYDPITEDIVHLSDISDNLGDNLDFLGPLIPQHIARGIAKGDVDPFTQTPFKEENTSSGAVLNRTSESKNFRPESGKKKVDLPVQKNLLTKYFCFASLEAKREFRAPRTSPINSSPVDKSSPGPKENCSVEAASSSIHCSSESPLDSENGGSTPPDDTVESGFAAEVLEFSESPTLGMTDEKGSFDDNLPQQFVHSMHKPYQALLKECDCKNVQMPNAVEDERTENKKVIVRSSYFNQKSTNKSAEDDNQEKLLVKNDVSYDPCDNVMLKSSYKTKTANNKIVIRSSYFQCKPVNKNRQANEQRRVLVKDDAAMGSEMKDDVILESASLENNGIPMKRKTSPNLQENMKSKHMRADASLSENGCCSPNLDETHTGQKTEGKFGSDISHIDDYSAIAEKSMERFVSAISSFRFSKSGSRASGLRAPLKDVQNTCTSRSNAGVDFSHFAYTSTNKKSQTGLALDRC >Manes.17G062800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26223273:26230990:-1 gene:Manes.17G062800.v8.1 transcript:Manes.17G062800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIDYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARIRKENLSRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQILKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRQSFFLVQIIFKMDKYGQAVEFHYSRLKQNKELSFSGFTHEMLLQMCILSGCDYLQSLPGMGLKRAHALVNKFKSYDKVIKHLRYSTVSVPPLYEESFQKAIWTFQHQRVYDPITEDIVHLSDISDNLGDNLDFLGPLIPQHIARGIAKGDVDPFTQTPFKEENTSSGAVLNRTSESKNFRPESGKKKVDLPVQKNLLTKYFCFASLEAKREFRAPRTSPINSSPVDKSSPGPKENCSVEAASSSIHCSSESPLDSENGGSTPPDDTVESGFAAEVLEFSESPTLGMTDEKGSFDDNLPQQFVHSMHKPYQALLKECDCKNVQMPNAVEDERTENKKVIVRSSYFNQKSTNKSAEDDNQEKLLVKNDVSYDPCDNVMLKSSYKTKTANNKIVIRSSYFQCKPVNKNRQANEQRRVLVKDDAAMGSEMKDDVILESASLENNGIPMKRKTSPNVTVQRLQENMKSKHMRADASLSENGCCSPNLDETHTGQKTEGKFGSDISHIDDYSAIAEKSMERFVSAISSFRFSKSGSRASGLRAPLKDVQNTCTSRSNAGVDFSHFAYTSTNKKSQTGLALDRC >Manes.17G062800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26222236:26231021:-1 gene:Manes.17G062800.v8.1 transcript:Manes.17G062800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRIRKENLSRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQILKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRIIFKMDKYGQAVEFHYSRLKQNKELSFSGFTHEMLLQMCILSGCDYLQSLPGMGLKRAHALVNKFKSYDKVIKHLRYSTVSVPPLYEESFQKAIWTFQHQRVYDPITEDIVHLSDISDNLGDNLDFLGPLIPQHIARGIAKGDVDPFTQTPFKEENTSSGAVLNRTSESKNFRPESGKKKVDLPVQKNLLTKYFCFASLEAKREFRAPRTSPINSSPVDKSSPGPKENCSVEAASSSIHCSSESPLDSENGGSTPPDDTVESGFAAEVLEFSESPTLGMTDEKGSFDDNLPQQFVHSMHKPYQALLKECDCKNVQMPNAVEDERTENKKVIVRSSYFNQKSTNKSAEDDNQEKLLVKNDVSYDPCDNVMLKSSYKTKTANNKIVIRSSYFQCKPVNKNRQANEQRRVLVKDDAAMGSEMKDDVILESASLENNGIPMKRKTSPNLQENMKSKHMRADASLSENGCCSPNLDETHTGQKTEGKFGSDISHIDDYSAIAEKSMERFVSAISSFRFSKSGSRASGLRAPLKDVQNTCTSRSNAGVDFSHFAYTSTNKKSQTGLALDRC >Manes.17G062800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26223273:26230990:-1 gene:Manes.17G062800.v8.1 transcript:Manes.17G062800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIDYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARIRKENLSRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQILKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRIIFKMDKYGQAVEFHYSRLKQNKELSFSGFTHEMLLQMCILSGCDYLQSLPGMGLKRAHALVNKFKSYDKVIKHLRYSTVSVPPLYEESFQKAIWTFQHQRVYDPITEDIVHLSDISDNLGDNLDFLGPLIPQHIARGIAKGDVDPFTQTPFKEENTSSGAVLNRTSESKNFRPESGKKKVDLPVQKNLLTKYFCFASLEAKREFRAPRTSPINSSPVDKSSPGPKENCSVEAASSSIHCSSESPLDSENGGSTPPDDTVESGFAAEVLEFSESPTLGMTDEKGSFDDNLPQQFVHSMHKPYQALLKECDCKNVQMPNAVEDERTENKKVIVRSSYFNQKSTNKSAEDDNQEKLLVKNDVSYDPCDNVMLKSSYKTKTANNKIVIRSSYFQCKPVNKNRQANEQRRVLVKDDAAMGSEMKDDVILESASLENNGIPMKRKTSPNVTVQRLQENMKSKHMRADASLSENGCCSPNLDETHTGQKTEGKFGSDISHIDDYSAIAEKSMERFVSAISSFRFSKSGSRASGLRAPLKDVQNTCTNQMLVWILAILLIHQPTRRVRLV >Manes.17G062800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26223273:26230990:-1 gene:Manes.17G062800.v8.1 transcript:Manes.17G062800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIDYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARIRKENLSRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQILKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRIIFKMDKYGQAVEFHYSRLKQNKELSFSGFTHEMLLQMCILSGCDYLQSLPGMGLKRAHALVNKFKSYDKVIKHLRYSTVSVPPLYEESFQKAIWTFQHQRVYDPITEDIVHLSDISDNLGDNLDFLGPLIPQHIARGIAKGDVDPFTQTPFKEENTSSGAVLNRTSESKNFRPESGKKKVDLPVQKNLLTKYFCFASLEAKREFRAPRTSPINSSPVDKSSPGPKENCSVEAASSSIHCSSESPLDSENGGSTPPDDTVESGFAAEVLEFSESPTLGMTDEKGSFDDNLPQQFVHSMHKPYQALLKECDCKNVQMPNAVEDERTENKKVIVRSSYFNQKSTNKSAEDDNQEKLLVKNDVSYDPCDNVMLKSSYKTKTANNKIVIRSSYFQCKPVNKNRQANEQRRVLVKDDAAMGSEMKDDVILESASLENNGIPMKRKTSPNLQENMKSKHMRADASLSENGCCSPNLDETHTGQKTEGKFGSDISHIDDYSAIAEKSMERFVSAISSFRFSKSGSRASGLRAPLKDVQNTCTNQMLVWILAILLIHQPTRRVRLV >Manes.17G062800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26223273:26230990:-1 gene:Manes.17G062800.v8.1 transcript:Manes.17G062800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIDYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARIRKENLSRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQILKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRQSFFLVQIIFKMDKYGQAVEFHYSRLKQNKELSFSGFTHEMLLQMCILSGCDYLQSLPGMGLKRAHALVNKFKSYDKVIKHLRYSTVSVPPLYEESFQKAIWTFQHQRVYDPITEDIVHLSDISDNLGDNLDFLGPLIPQHIARGIAKGDVDPFTQTPFKEENTSSGAVLNRTSESKNFRPESGKKKVDLPVQKNLLTKYFCFASLEAKREFRAPRTSPINSSPVDKSSPGPKENCSVEAASSSIHCSSESPLDSENGGSTPPDDTVESGFAAEVLEFSESPTLGMTDEKGSFDDNLPQQFVHSMHKPYQALLKECDCKNVQMPNAVEDERTENKKVIVRSSYFNQKSTNKSAEDDNQEKLLVKNDVSYDPCDNVMLKSSYKTKTANNKIVIRSSYFQCKPVNKNRQANEQRRVLVKDDAAMGSEMKDDVILESASLENNGIPMKRKTSPNLQENMKSKHMRADASLSENGCCSPNLDETHTGQKTEGKFGSDISHIDDYSAIAEKSMERFVSAISSFRFSKSGSRASGLRAPLKDVQNTCTSRSNAGVDFSHFAYTSTNKKSQTGLALDRC >Manes.17G062800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26222236:26231021:-1 gene:Manes.17G062800.v8.1 transcript:Manes.17G062800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRIRKENLSRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQILKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRIIFKMDKYGQAVEFHYSRLKQNKELSFSGFTHEMLLQMCILSGCDYLQSLPGMGLKRAHALVNKFKSYDKVIKHLRYSTVSVPPLYEESFQKAIWTFQHQRVYDPITEDIVHLSDISDNLGDNLDFLGPLIPQHIARGIAKGDVDPFTQTPFKEENTSSGAVLNRTSESKNFRPESGKKKVDLPVQKNLLTKYFCFASLEAKREFRAPRTSPINSSPVDKSSPGPKENCSVEAASSSIHCSSESPLDSENGGSTPPDDTVESGFAAEVLEFSESPTLGMTDEKGSFDDNLPQQFVHSMHKPYQALLKECDCKNVQMPNAVEDERTENKKVIVRSSYFNQKSTNKSAEDDNQEKLLVKNDVSYDPCDNVMLKSSYKTKTANNKIVIRSSYFQCKPVNKNRQANEQRRVLVKDDAAMGSEMKDDVILESASLENNGIPMKRKTSPNVTVQRLQENMKSKHMRADASLSENGCCSPNLDETHTGQKTEGKFGSDISHIDDYSAIAEKSMERFVSAISSFRFSKSGSRASGLRAPLKDVQNTCTSRSNAGVDFSHFAYTSTNKKSQTGLALDRC >Manes.17G062800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26222236:26231257:-1 gene:Manes.17G062800.v8.1 transcript:Manes.17G062800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMVPIHIKDLEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIDYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARIRKENLSRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQILKQENVCYVVAPYEADAQMTFLAVSKQVDAVITEDSDLIPFGCPRIIFKMDKYGQAVEFHYSRLKQNKELSFSGFTHEMLLQMCILSGCDYLQSLPGMGLKRAHALVNKFKSYDKVIKHLRYSTVSVPPLYEESFQKAIWTFQHQRVYDPITEDIVHLSDISDNLGDNLDFLGPLIPQHIARGIAKGDVDPFTQTPFKEENTSSGAVLNRTSESKNFRPESGKKKVDLPVQKNLLTKYFCFASLEAKREFRAPRTSPINSSPVDKSSPGPKENCSVEAASSSIHCSSESPLDSENGGSTPPDDTVESGFAAEVLEFSESPTLGMTDEKGSFDDNLPQQFVHSMHKPYQALLKECDCKNVQMPNAVEDERTENKKVIVRSSYFNQKSTNKSAEDDNQEKLLVKNDVSYDPCDNVMLKSSYKTKTANNKIVIRSSYFQCKPVNKNRQANEQRRVLVKDDAAMGSEMKDDVILESASLENNGIPMKRKTSPNVTVQRLQENMKSKHMRADASLSENGCCSPNLDETHTGQKTEGKFGSDISHIDDYSAIAEKSMERFVSAISSFRFSKSGSRASGLRAPLKDVQNTCTSRSNAGVDFSHFAYTSTNKKSQTGLALDRC >Manes.16G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30174471:30179484:-1 gene:Manes.16G095800.v8.1 transcript:Manes.16G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRSREVLPTKPASKPLTNRATPEPVTPVQFRELSTRQSPLSLTPNLETHQLDSDSGSASFRRRSLRIASKSVPDNGCIQNEPRSRNSAATMRSVEEKEGIEEGNRCPLLDQINNVGSAEVNGVGMDVDGNFSGILNLRSGKRVVRRRVGYSGYNSVTEAGTERKGKGLISDKNGSSKRTSELVIEGKGKGKLGEEKKFDNGHVVENLNDNAIREMIETGEGSEVAGVDEKLEEKQNPDESDNSRGRKRCAREEIRKRGEDVFVTVKLEKTQNQSSNSRLRRRYSQEEKGKEKLIDDASVSNGKDALDLELELKSKVKEFVDSMGDNVALESERNTRNANTRRNGSRMEQFRDIARKNASRFANFDLQEKEEERLSPQVDVEMAYVEENQKIEDWPGPFSTAMKIIRDRTNKLNLQQGPSILEKATSVPITWIPRNSQGSNRSRAFVVPLLQELCMKVLVDNCEAVTSLEHVPDALRHRLCHLLCSCRRMNSNFLDLLVRGSPTEIRIKDCSWLTEEDFVKCFEACDTNNLTVLQLDQCGRCMPDYILPVTLARSSRSLPVLITLSLAGACRLSDVGLSLLVSSAPTLRSINLGQCSLLSSTSINTIADSLGSFLQELYIDDCQSLDPMLILPALKKLERLEVLSLAGIQTVCDGFVREFVVACGHNMKELVLKDCVKLTDSSIKFIAETCPRLCALDIVNLRKLTDSALGYLANGCQEIQTLKLCRNTFSDEAVAAFVETSGKLLKELSLNNVRKVGHNTALSLARVSRNLLSLDLSWCRNMTNEAVGLIVDSCSSLRMLKIFGCGQITNVFLDGHSNPELEIIGLKMSPVLEHIRQPDSQEFPLRYSSVSSL >Manes.06G099001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23299803:23300745:1 gene:Manes.06G099001.v8.1 transcript:Manes.06G099001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSKEKLFRKYKEESNMSLGAAGPAVVARNIAHAMDANESLLPQIHRSQKPQQLRGRSKPFSFVMQPDEGKSSSSCMIHGEKSVIDGMASAYIRKFHEKNIYDAHLH >Manes.17G009900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4430354:4439794:1 gene:Manes.17G009900.v8.1 transcript:Manes.17G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRVCLSKEARNGLEFLKCKRLKRMKSETVSETLGVTGMMSRSGGDSLRASASCGIRLHNHVEPFVTSNGASSGKDAFSKRKVDKFDTSDLEWTEKIPECPVYHPTKEEFEDPLVYLQKIAPEALRYGICKIVSPLSASVPAGIVLMRERAGFKFTTRVQPLRLAEWDTDDKVTFFMSGRNYTFRDFEKMASKIFSRRYCSASCLPGTYLEKEFWHEIACGKTETVEYACDVEGSAFSSSFSDPLGNSKWNLKNVSRLPKSTLRLLDTAIPGVTDPMLYIGMLFSLFAWHVEDHYLYSINYHHCGASKTWYGVPGHAALDFEKVVREHVYTHDILSTDGEDGAFDVLLGKTTLFPPNILLEHGVPVYKAVQNPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPMGAIASWRYAILNRMPLLPHEELLCKEAMILFTSLECEDTDYSSADLISHHCIKVSFVKLMRFLHHARWSLMKSGACTGLLRNTYGTILCSLCKRDCYVAFLNCNCNMHPVCLRHDFKSLNFSCGRNHTLFLREDVSAMEAAAKRFEKEDGILEDIRQKTRSGDDLYSYPASNKYLSVLDDGYSPYCEINFDFNADIAAIIQDHSQYSNQSTSICGTENFRPEASGTSVACSASTLCPSGELTESSSAANNKVHGWADFDIRNLESRKFSDEESHNMHESSLSSSLCHEECRSTQHGDLHRSEARPSVDQQSDDSDSEIFRVKRRSSLKVEKRVVNDNVSSKNFEHQGLKRLKKLQFERRYDQTLPPECSQTNDESNHNSLSASHRMESPESASKEDRFARGSIIPISIKFKKLVSDEAMRRERENQRLDKFQHELGKTMRESLPIEIGPKRLKIRGPSFLGSESRLD >Manes.03G011101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:943177:943623:1 gene:Manes.03G011101.v8.1 transcript:Manes.03G011101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLSLAPASFSMHEILNVLLVSFCFSIFLFFLRRER >Manes.09G077900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:23691309:23694878:1 gene:Manes.09G077900.v8.1 transcript:Manes.09G077900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNLLCISEVSDVSLFSLLLDGRYVAVGNEPFLTSYSGQFQSYVIPALLNLQQSLAKANLAGYVKLVVPCNADAYESSLPSQGTYRPELTEIITQLLSFLSSNGSPFVVNIYPFLSLYGSTDFPQDYAFFDGSTHPVTDGPNVYGNAFDGNFDTLVAALNKLGYGQMPIVIGEVGWPTDGAISANLTAAKAFNQGLIYHVLSNKGTPLRPGAPPMDVYIFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYPLNLGLGNKELKNAKNVEYLPSRWCVADATKDLSGVANHMRIACGVADCSTLNYGGSCNGIGAKGNISYAFNSYYQLQDQNAQSCDFDGLGMVTFLDPSVGDCRFLVGVTDTSSGFGPCPRRIMIWILMIWGLCVFLI >Manes.09G077900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:23691309:23694878:1 gene:Manes.09G077900.v8.1 transcript:Manes.09G077900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNLLCISEVSDVSLFSLLLDGRYVAVGNEPFLTSYSGQFQSYVIPALLNLQQSLAKANLAGYVKLVVPCNADAYESSLPSQGTYRPELTEIITQLLSFLSSNGSPFVVNIYPFLSLYGSTDFPQDYAFFDGSTHPVTDGPNVYGNAFDGNFDTLVAALNKLGYGQMPIVIGEVGWPTDGAISANLTAAKAFNQGLIYHVLSNKGTPLRPGAPPMDVYIFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYPLNLGLGNKELKNAKNVEYLPSRWCVADATKDLSGVANHMRIACGVADCSTLNYGGSCNGIGAKGNISYAFNSYYQLQDQNAQSCDFDGLGMVTFLDPSVGDCRFLVGVTDTSSGFGPCPRRIMIWILMIWGLCVFLI >Manes.09G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:23691309:23694878:1 gene:Manes.09G077900.v8.1 transcript:Manes.09G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFREAFLYVVILVLGSFLVAESAIGVNWGTVSFRKLKPSTVVDLLKDNNIEKVKLFDADPEVLGALMGSGIQVMVGIPNEMLAAISSSTAVSDLWVRQNVSRYVVKGGVDIRYVAVGNEPFLTSYSGQFQSYVIPALLNLQQSLAKANLAGYVKLVVPCNADAYESSLPSQGTYRPELTEIITQLLSFLSSNGSPFVVNIYPFLSLYGSTDFPQDYAFFDGSTHPVTDGPNVYGNAFDGNFDTLVAALNKLGYGQMPIVIGEVGWPTDGAISANLTAAKAFNQGLIYHVLSNKGTPLRPGAPPMDVYIFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYPLNLGLGNKELKNAKNVEYLPSRWCVADATKDLSGVANHMRIACGVADCSTLNYGGSCNGIGAKGNISYAFNSYYQLQDQNAQSCDFDGLGMVTFLDPSVGDCRFLVGVTDTSSGFGPCPRRIMIWILMIWGLCVFLI >Manes.01G140200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33176466:33180009:1 gene:Manes.01G140200.v8.1 transcript:Manes.01G140200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQYGRNALRHIIKETNLKRSDGAIHPLLYACQGARFRKLEVILTTNIEKLGKAGQTVKVAPGHFRNHLMPKLLAVPNIDKFAHLIREQRKIYQHEEEEEVKVIKETEEDKMKEYETAAKRLTNSKLTIRAIINTEKFSARATKDEPIEIRSPVTEDDIVREVARQLNVQIERDNIHLPLPLRTFGEHEVQLRLPKSIPLPEGKVNWTLTVKIRGK >Manes.01G113200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31117394:31119328:1 gene:Manes.01G113200.v8.1 transcript:Manes.01G113200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHLFCSLDLSIDHCLLQVYSLMEKSVSTPSDPKTVSPPSKSPPLASPPPSELLHTQLFASSFTTLYHSIFPPKPSPLPSSFSFSLTPSTSSPSSSATIDDFDTEHRLHQARLILEYQELSDHYELSLSRLQALTEEIESLRRENDDLRLTNNELVELLSFSSQAAIRSRFSSSEMVEPSPLDRSTGRVSLPKSISVRSSGYLKMNRAGASNGGQSSTSTRAQVPSHLHQIVSGSVQQRVCVPRGVKREDAAVELDVYNQGMRKTELCNKWQETGTCSYGDHCQFAHGITELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTEQERVIMGPR >Manes.01G113200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31116745:31119328:1 gene:Manes.01G113200.v8.1 transcript:Manes.01G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVSTPSDPKTVSPPSKSPPLASPPPSELLHTQLFASSFTTLYHSIFPPKPSPLPSSFSFSLTPSTSSPSSSATIDDFDTEHRLHQARLILEYQELSDHYELSLSRLQALTEEIESLRRENDDLRLTNNELVELLSFSSQAAIRSRFSSSEMVEPSPLDRSTGRVSLPKSISVRSSGYLKMNRAGASNGGQSSTSTRAQVPSHLHQIVSGSVQQRVCVPRGVKREDAAVELDVYNQGMRKTELCNKWQETGTCSYGDHCQFAHGITELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTEQERVIMGPR >Manes.04G110900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31571906:31576907:-1 gene:Manes.04G110900.v8.1 transcript:Manes.04G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWALLSPLPPSLTAYAAKMKNTNYRRRATTIILNTTNSEGGKNKGKDDAPAFNPFGFVTDNPSSRSAIQLPESPAEDGNVGQMLYRIEDKGKEYGSYVKSGKFTWFVRETGSPESQKGTIVFLHGAPTQSYSYRVVMSQMSDYGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEALDALLEVLDIKSPFFLVVQGFLVGSYGLTWALKNKSKISRIAILNSPLTVSSPVPRLFQQLRIPFYGEFTSQNAIMAERFIEAGSPYVLKTEKADVYRLPYLASSGPGFALLEAARKINFRDISSQIADGFATERWDKPILLAWGIVDKYLPQSVAEEFQKRNPDIVKLKLIEGAGHMPQEDWPEKVVDALRLFF >Manes.09G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32837508:32839386:1 gene:Manes.09G125800.v8.1 transcript:Manes.09G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPFRCSLCLFSAFVNIAGFNCGEAVNFAIGDWFPFGELATKRYAHIGMMAILPREEILCKESAQLLKHEDLYRSSAGLASHNSLEISFVRHMRFFNNALWKLKNNVENSKESSILSSNTHGTIICGTCKRDSCLAFLECNKCFHLLCHFHDVKSLDCPCGGKSNLFIRENIRDMEELAQKLEEEGIMRKIQKETKCGNNVGLQPNAINFCKKSERIPDSKNDDLQRQESGATRTGESIKEMTATNARRKDDNGDSDVVKSHKSL >Manes.08G100700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33513155:33516967:-1 gene:Manes.08G100700.v8.1 transcript:Manes.08G100700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSMWFLVLLLVFGFNDRSFAHQRPRTERISGSAGDVLEDDPVGRLKVYVYELPSKYNKKLLQKDPRCLTYMFAAEIFIHRFLLSSAVRTLNPDEADWFYTPIYTTCDLTPTGMPLPFKSPRMMRSAIQLISTNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIKRGILPLLQRATLVQTFGQRNHVCLNEGSITIPPYAPPQKMQARQIPPETPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEQIGVFVAEEDVPNLDMILTSIPTEEILRKQRLLANPSMKRAMLFPQPAQSGDAFHQILNGLARKLPHDEKIFLKPGEKVLNWTAGPVGDLKPW >Manes.05G175601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29221542:29222255:1 gene:Manes.05G175601.v8.1 transcript:Manes.05G175601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILEANFTHDDIQHILTIRILMAPQEDNILFYYNRQGTWDLVPLSIWMLFWKKNMQNSFTKKTFYFPLDGAKKSSTLQ >Manes.13G134800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34262545:34265146:1 gene:Manes.13G134800.v8.1 transcript:Manes.13G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSFPSLQVLFLLLLFVSAACITAQSPEGTNFTCSVDETSPCETYVAYLAQSPNFLNLGNISDLFAVSRLAIASASNLVSEDTSLIPDQLLLVPVNCGCTGNHFFARITYQIKHGDNYYLVSTTSFENLTNWEAVKVLNPKLDPNLLHPGDKVIFPLFCKCPSKTHLKNGIQYLITYVWQPDDDVFNVAAKLNASPHDIAIENNYRDFSVAVHHPLLIPVTQLPVLSQPSPFLPQRRKHHGIIIVVASITGVLLIFLLLYFLVHVHCSGNKKKKNMALCRSGSFLESADLLQRKELKKYESSEPKIIQGKLLPGVSGYLGKPIMYDVKEIMKATMDLHEHYRIGGSVYRANINGQVLAVKKTKEDVTEELKILQKVNHANLVKLIGLSSDTDGSCFLVYEYAENGSLDKWLHLKSASSSISVAFLSWSQRLHIALDVASGLQYMHEHIQPSIVHMDIRTSNVLLDTRFKAKIANFSMAKLAKESLLPKVDVFAFGIVLLELLSGKKAMVTKENGEISLLWKEMKGVMEVAEKREERLRKWMDPNLENFYPIDGALSLVNLARACTMEKSSARPSMAEIVFNLTVLTQSSSDTLERSWTSGLEAEEDIQITSPVTAR >Manes.18G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6917602:6919615:1 gene:Manes.18G075900.v8.1 transcript:Manes.18G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVSEVLYKIRPYLLMVFLQFGSAGMYIISMVTLNHGMNRYVLIVYRNAIAALVLAPFALFLERKTRPKMTFPVFLQIMALACLEPILDQGFTYLGMQYTSASFTSAIMNAVPSVTFVIAVILRLERIRINEIRSQAKVVGTLVTFGGALLMTLYKGPLLHLISSTNATHHGNAALSDKHWLAGTLLILVGCVSWSAFYILQSITIKKYPAELSLSSLICLAGAIQSLAIALVVAHHPSAWAVGWDTRLLAPVYTGIISSGITYYVQGLVMKTRGPVFVTAFNPLCMIIVAALGSIILAEKIYLGSIIGGIIIAIGLYSVVWGKSKDYSSQEPASMAQKELPITAIDGSNKMVTFNASTKSELSG >Manes.03G109100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23144752:23146714:1 gene:Manes.03G109100.v8.1 transcript:Manes.03G109100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLYLQAFCIISFFFSITISILWLYTEKILIILNQDPQISKEAALYIKYLIPGLFAYGFLQNIMRFLQTQSVVVPLVLCSAIPMFIHIGITYGLVHCANFGFKGAPLAASISLWISFFVLAMYVLFAKKIEHTWCGFSFESFHYLHITLKLALPSAAMVCLDDWATEILVFLAGLMPDSQISTSLLSMCVNAETVAFMLADGLSAAASTRISNELGAGNPDRAKNAVAVTLKLSLILALILVLSLVLGHKTWTNLFSESRVITKEFESMLPLLAISITLDSVSGVLSGVARGCGWQHLAVWANLATFYFIGIPLSYLLGFKLQLYAKGLWIGLICGLSCQAFTFFLMTMRTKWSSTELLKGTDEENIVLI >Manes.03G109100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23143384:23146969:1 gene:Manes.03G109100.v8.1 transcript:Manes.03G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKETNLEATPLLEPKDSGRCRRRWWKNVLDVEEAKKQMLVSLPMILTTFFYYSISLVSVMFAGRLGDLELAAATLAFSWANITGYNFTAGLSEALETFCGQGFGAKAYNMLGLYLQAFCIISFFFSITISILWLYTEKILIILNQDPQISKEAALYIKYLIPGLFAYGFLQNIMRFLQTQSVVVPLVLCSAIPMFIHIGITYGLVHCANFGFKGAPLAASISLWISFFVLAMYVLFAKKIEHTWCGFSFESFHYLHITLKLALPSAAMVCLDDWATEILVFLAGLMPDSQISTSLLSMCVNAETVAFMLADGLSAAASTRISNELGAGNPDRAKNAVAVTLKLSLILALILVLSLVLGHKTWTNLFSESRVITKEFESMLPLLAISITLDSVSGVLSGVARGCGWQHLAVWANLATFYFIGIPLSYLLGFKLQLYAKGLWIGLICGLSCQAFTFFLMTMRTKWSSTELLKGTDEENIVLI >Manes.03G109100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23138232:23146969:1 gene:Manes.03G109100.v8.1 transcript:Manes.03G109100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLYLQAFCIISFFFSITISILWLYTEKILIILNQDPQISKEAALYIKYLIPGLFAYGFLQNIMRFLQTQSVVVPLVLCSAIPMFIHIGITYGLVHCANFGFKGAPLAASISLWISFFVLAMYVLFAKKIEHTWCGFSFESFHYLHITLKLALPSAAMVCLDDWATEILVFLAGLMPDSQISTSLLSMCVNAETVAFMLADGLSAAASTRISNELGAGNPDRAKNAVAVTLKLSLILALILVLSLVLGHKTWTNLFSESRVITKEFESMLPLLAISITLDSVSGVLSGVARGCGWQHLAVWANLATFYFIGIPLSYLLGFKLQLYAKGLWIGLICGLSCQAFTFFLMTMRTKWSSTELLKGTDEENIVLI >Manes.03G109100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23143384:23146969:1 gene:Manes.03G109100.v8.1 transcript:Manes.03G109100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKETNLEATPLLEPKDSGRCRRRWWKNVLDVEEAKKQMLVSLPMILTTFFYYSISLVSVMFAGRLGDLELAAATLAFSWANITGYNFTAGLSEALETFCGQGFGAKAYNMLGLYLQAFCIISFFFSITISILWLYTEKILIILNQDPQISKEAALYIKYLIPGLFAYGFLQNIMRFLQTQSVVVPLVLCSAIPMFIHIGITYGLVHCANFGFKGAPLAASISLWISFFVLAMYVLFAKKIEHTWCGFSFESFHYLHITLKLALPSAAMVCLDDWATEILVFLAGLMPDSQISTSLLSMCVNAETVAFMLADGLSAAASTRISNELGAGNPDRAKNAVAVTLKLSLILALILVLSLVLGHKTWTNLFSESRVITKEFESMLPLLAISITLDSVSGVLSG >Manes.03G109100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23138233:23146969:1 gene:Manes.03G109100.v8.1 transcript:Manes.03G109100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLPMILTTFFYYSISLVSVMFAGRLGDLELAAATLAFSWANITGYNFTAGLSEALETFCGQGFGAKAYNMLGLYLQAFCIISFFFSITISILWLYTEKILIILNQDPQISKEAALYIKYLIPGLFAYGFLQNIMRFLQTQSVVVPLVLCSAIPMFIHIGITYGLVHCANFGFKGAPLAASISLWISFFVLAMYVLFAKKIEHTWCGFSFESFHYLHITLKLALPSAAMVCLDDWATEILVFLAGLMPDSQISTSLLSMCVNAETVAFMLADGLSAAASTRISNELGAGNPDRAKNAVAVTLKLSLILALILVLSLVLGHKTWTNLFSESRVITKEFESMLPLLAISITLDSVSGVLSGVARGCGWQHLAVWANLATFYFIGIPLSYLLGFKLQLYAKGLWIGLICGLSCQAFTFFLMTMRTKWSSTELLKGTDEENIVLI >Manes.03G109100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23138232:23146973:1 gene:Manes.03G109100.v8.1 transcript:Manes.03G109100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLPMILTTFFYYSISLVSVMFAGRLGDLELAAATLAFSWANITGYNFTAGLSEALETFCGQGFGAKAYNMLGLYLQAFCIISFFFSITISILWLYTEKILIILNQDPQISKEAALYIKYLIPGLFAYGFLQNIMRFLQTQSVVVPLVLCSAIPMFIHIGITYGLVHCANFGFKGAPLAASISLWISFFVLAMYVLFAKKIEHTWCGFSFESFHYLHITLKLALPSAAMVCLDDWATEILVFLAGLMPDSQISTSLLSMCVNAETVAFMLADGLSAAASTRISNELGAGNPDRAKNAVAVTLKLSLILALILVLSLVLGHKTWTNLFSESRVITKEFESMLPLLAISITLDSVSGVLSGVARGCGWQHLAVWANLATFYFIGIPLSYLLGFKLQLYAKGLWIGLICGLSCQAFTFFLMTMRTKWSSTELLKGTDEENIVLI >Manes.06G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1312371:1320429:1 gene:Manes.06G008300.v8.1 transcript:Manes.06G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSETYACVPTTERGRGILISGNPKSNSILFTNNRSVLILNLDNPLDVSVYGDHGYQATVARYSPNGEWIASADVSGTVRIWGAYNDHVLKKEFKVLSGRIDDLQWSPDGLRIVACGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKLSRRDHSNFVNCIRFSPDGSKFISVSSDKKGILFDGKTGEKIGELSSEDSHKGSIYAVSWSPDGKQVLTVSADKSAKVWEICDNGNGKLKKTLTCSGSGGVDDMLVGCLWQNDYLVTVSLGGTISIFSASDLDKSPRQISGHMKNVTSLAVLKNIPKTILSSSYDGLIVKWIQGFGYSCKLQRKESSQIKCLAAVEEEIVTSGFDNKIWRVHFQGDQCGDANSIDIGCQPKDLSLALLCPELALITIDSGVVMLRGTKVVSTINLGYSVTASAITPDGNEAIIGGQDGKLHVYSITGDTLKEEAVLEKHRGAVSVIRYSPDVSMFASGDANREAIVWDWASREVKLKNMLYHTARINCLAWSPDSSMVATGSLDTCVIIYEVDKPASSRMTIKGAHLGGVYGLAFTDELSLVSSGEDACVRVWRLSPQ >Manes.13G133300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191680:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFEGGDSKMLGLMVTPAHKRSKSFPDKKIFEEDGGDNSFEASHRIKLDMGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELVR >Manes.13G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191398:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFEGGDSKMLGLMVTPAHKRSKSFPDKKIFEEDGGDNSFEASHRIKLDMGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELATLHQVIGSKIPTKICSSKICLCNPIR >Manes.13G133300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191398:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKIIAKWASTRRFPDKKIFEEDGGDNSFEASHRIKLDMGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELATLHQVIGSKIPTKICSSKICLCNPIR >Manes.13G133300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191932:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKIIAKWASTRRFPDKKIFEEDGGDNSFEASHRIKLDMGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELVR >Manes.13G133300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191679:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELVR >Manes.13G133300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191398:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFEGGDSKMLGLMVTPAHKRSKSFPDKKIFEEDGGDNSFEASHRIKLDMGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELVR >Manes.13G133300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191398:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKIIAKWASTRRFPDKKIFEEDGGDNSFEASHRIKLDMGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELATLHQVIGSKIPTKICSSKICLCNPIR >Manes.13G133300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191398:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFEGGDSKMLGLMVTPAHKRSKSFPDKKIFEEDGGDNSFEASHRIKLDMGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELICLCNPIR >Manes.13G133300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34191398:34197402:-1 gene:Manes.13G133300.v8.1 transcript:Manes.13G133300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKIIAKWASTRRFPDKKIFEEDGGDNSFEASHRIKLDMGHLKNSVKTKKESPKTEVQVSLKEEILQLEKRLQDQFEVRRTLEKALGYKTSSHDYAPEMSMPKPATELIKEIAVLELEVAYLEQYLLSLYRKAFDQQISSVSPSGKHEKPKSPVTTPRGRFLDVSRPDTLPKRETSAIQSGCWSHDNTWKESSGIGGGEKLLDSGVHRCHSSLSQRSAFPTITSIPEESFGRAVRACHSQPLSMMEYAQDATNIISLAEHLGTRISDHVPETPNKLAEDMIKCMSAIYCKLSDPPLTHNVLSSPNSSLSSVSAFSPQDQCDMWSPGLRNNSSFDVRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSQKLGDVEHLLQNFRSLICQLEEVDPRKLKHEEKLAFWINIHNALVMHAYLAYGIPQNNVKRLFLLLKAAYNVGGYTISADTIQNSILGCRMSRPGQWLRLLLPSRSKFKTGDERQTYAIENPEPLLHFALCSGSHSDPVVRIYTPKRVFQELEAAKEEYIRATFGVRKDQKILLPKLVESFSKDSGLCQAGVIEMIQQTLPESLRKCTKKIQLAKPRKSIEWIPHNFTFRYLISKELATLHQVIGSKIPTKICSSKICLCNPIR >Manes.06G002100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:584997:590565:-1 gene:Manes.06G002100.v8.1 transcript:Manes.06G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPSNPSNPRSILVGMTSRLEELSSYMDTRSQDVLMIGICGMGGIGKTTIARAYYNSVSYHQFEGKAFLSSVREVSSKGGLVSLQEQLLSEILTEKRVKIWNIYNGMDMIKRKLRFKRVLIVMDDVNELNQLQKLAGKNDWFGPGSRILITTRDEHLLNGHGVDQIYKAKGLDDIEGLQLLSLRAFQNHHPSKEYMGLSCKVVDYANGLPLALEVLGSFLFGKTLDEWRTALDRMKENPEKRILDTLQISFDGLEDTEKQIFLDIACFFKKKEKDLIIKIMDSCHFYPDIGIRVLIDRSLITSVGQRLSMHDLLQEMGWKIVRQESPKEPGRRSRLWLYEDIFHVYTGNTGTEDVEGIVLDLQRPKVASLNSKAFLKLNKLRLLIFRNVNFSAYLEYLSNDLRFLEWHGYPFKEFPETFQSKELVEINIRYSKAKQLWKGVKQFPKLKILEVSHSQNLIKTPDFRGTPNLEKLILEGCIELHEIDQSIGILDGLVLLNFKDCKKLKSVPSSLYDLRALKILNLSGCSRLDYKLEELECMESLEELDLSRTTIKQPSVSLSLFKNLKMLSLSGCQNQLPETGRSLLSFLPVKGSSMMSFCSLIWLDLSYCGLQEDIVPSYLPSLAALDLSGNNFHSLPASINAFPKLEDLSLNDCKMLQTLQKLPSNLNYVTAQACTSLETLDLCSLQTSRLNLSNCFKLGGNQGHNSFVFTMLRKYLQGYLSFTHKEYQSSPMVPRKYDSRPKFDVVVPGNEIPEWFSHQSLWLSKNYYSSETIQLPPGFIDSKWIGFVVFAIFAIKDRDTSSFYDLDLACSIKIMNHKWEHELDSRCLSMVHHLESDHTWLFYLSGNELLDITVSQDTIKTASHIEVMFSAHGTGLHVKKFGVHVVYEEDVLESRQIVDCPEDENTELGGGAFTKRIHENRRGCFDDEPQAKRSKLPQ >Manes.06G002100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:584997:590014:-1 gene:Manes.06G002100.v8.1 transcript:Manes.06G002100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPSNPSNPRSILVGMTSRLEELSSYMDTRSQDVLMIGICGMGGIGKTTIARAYYNSVSYHQFEGKAFLSSVREVSSKGGLVSLQEQLLSEILTEKRVKIWNIYNGMDMIKRKLRFKRVLIVMDDVNELNQLQKLAGKNDWFGPGSRILITTRDEHLLNGHGVDQIYKAKGLDDIEGLQLLSLRAFQNHHPSKEYMGLSCKVVDYANGLPLALEVLGSFLFGKTLDEWRTALDRMKENPEKRILDTLQISFDGLEDTEKQIFLDIACFFKKKEKDLIIKIMDSCHFYPDIGIRVLIDRSLITSVGQRLSMHDLLQEMGWKIVRQESPKEPGRRSRLWLYEDIFHVYTGNTGTEDVEGIVLDLQRPKVASLNSKAFLKLNKLRLLIFRNVNFSAYLEYLSNDLRFLEWHGYPFKEFPETFQSKELVEINIRYSKAKQLWKGVKQFPKLKILEVSHSQNLIKTPDFRGTPNLEKLILEGCIELHEIDQSIGILDGLVLLNFKDCKKLKSVPSSLYDLRALKILNLSGCSRLDYKLEELECMESLEELDLSRTTIKQPSVSLSLFKNLKMLSLSGCQNQLPETGRSLLSFLPVKGSSMMSFCSLIWLDLSYCGLQEDIVPSYLPSLAALDLSGNNFHSLPASINAFPKLEDLSLNDCKMLQTLQKLPSNLNYVTAQACTSLETLDLCSLQTSRLNLSNCFKLGGNQGHNSFVFTMLRKYLQGYLSFTHKEYQSSPMVPRKYDSRPKFDVVVPGNEIPEWFSHQSLWLSKNYYSSETIQLPPGFIDSKWIGFVVFAIFAIKDRDTSSFYDLDLACSIKIMNHKWEHELDSRCLSMVHHLESDHTWLFYLSGNELLDITVSQDTIKTASHIEVMFSAHGTGLHVKKFGVHVVYEEDVLESRQIVDCPEDENTELGGGAFTKRIHENRRGCFDDEPQAKRSKLPQ >Manes.06G002100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:586061:590141:-1 gene:Manes.06G002100.v8.1 transcript:Manes.06G002100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPSNPSNPRSILVGMTSRLEELSSYMDTRSQDVLMIGICGMGGIGKTTIARAYYNSVSYHQFEGKAFLSSVREVSSKGGLVSLQEQLLSEILTEKRVKIWNIYNGMDMIKRKLRFKRVLIVMDDVNELNQLQKLAGKNDWFGPGSRILITTRDEHLLNGHGVDQIYKAKGLDDIEGLQLLSLRAFQNHHPSKEYMGLSCKVVDYANGLPLALEVLGSFLFGKTLDEWRTALDRMKENPEKRILDTLQISFDGLEDTEKQIFLDIACFFKKKEKDLIIKIMDSCHFYPDIGIRVLIDRSLITSVGQRLSMHDLLQEMGWKIVRQESPKEPGRRSRLWLYEDIFHVYTGNTGTEDVEGIVLDLQRPKVASLNSKAFLKLNKLRLLIFRNVNFSAYLEYLSNDLRFLEWHGYPFKEFPETFQSKELVEINIRYSKAKQLWKGVKQFPKLKILEVSHSQNLIKTPDFRGTPNLEKLILEGCIELHEIDQSIGILDGLVLLNFKDCKKLKSVPSSLYDLRALKILNLSGCSRLDYKLEELECMESLEELDLSRTTIKQPSVSLSLFKNLKMLSLSGCQNQLPETGRSLLSFLPVKGSSMMSFCSLIWLDLSYCGLQEDIVPSYLPSLAALDLSGNNFHSLPASINAFPKLEDLSLNDCKMLQTLQKLPSNLNYVTAQACTSLETLDLCSLQTSRLNLSNCFKLGGNQGHNSFVFTMLRKYLQGYLSFTHKEYQSSPMVPRKYDSRPKFDVVVPGNEIPEWFSHQSLWLSKNYYSSETIQLPPGFIDSKWIGFVVFAIFAIKDRDTSSFYDLDLACSIKIMNHKWEHELDSRCLSMVHHLESDHTWLFYLSGNELLDITVSQDTIKTASHIEVMFSAHGTGLHVKKFGVHVVYEEDVLESRQIVDCPEDENTELGGGAFTKRIHENRRGCFDDEPQAKRSKLPQ >Manes.06G002100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:584997:590014:-1 gene:Manes.06G002100.v8.1 transcript:Manes.06G002100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPSNPSNPRSILVGMTSRLEELSSYMDTRSQDVLMIGICGMGGIGKTTIARAYYNSVSYHQFEGKAFLSSVREVSSKGGLVSLQEQLLSEILTEKRVKIWNIYNGMDMIKRKLRFKRVLIVMDDVNELNQLQKLAGKNDWFGPGSRILITTRDEHLLNGHGVDQIYKAKGLDDIEGLQLLSLRAFQNHHPSKEYMGLSCKVVDYANGLPLALEVLGSFLFGKTLDEWRTALDRMKENPEKRILDTLQISFDGLEDTEKQIFLDIACFFKKKEKDLIIKIMDSCHFYPDIGIRVLIDRSLITSVGQRLSMHDLLQEMGWKIVRQESPKEPGRRSRLWLYEDIFHVYTGNTGTEDVEGIVLDLQRPKVASLNSKAFLKLNKLRLLIFRNVNFSAYLEYLSNDLRFLEWHGYPFKEFPETFQSKELVEINIRYSKAKQLWKGVKQFPKLKILEVSHSQNLIKTPDFRGTPNLEKLILEGCIELHEIDQSIGILDGLVLLNFKDCKKLKSVPSSLYDLRALKILNLSGCSRLDYKLEELECMESLEELDLSRTTIKQPSVSLSLFKNLKMLSLSGCQNQLPETGRSLLSFLPVKGSSMMSFCSLIWLDLSYCGLQEDIVPSYLPSLAALDLSGNNFHSLPASINAFPKLEDLSLNDCKMLQTLQKLPSNLNYVTAQACTSLETLDLCSLQTSRLNLSNCFKLGGNQGHNSFVFTMLRKYLQGYLSFTHKEYQSSPMVPRKYDSRPKFDVVVPGNEIPEWFSHQSLWLSKNYYSSETIQLPPGFIDSKWIGFVVFAIFAIKDRDTSSFYDLDLACSIKIMNHKWEHELDSRCLSMVHHLESDHTWLFYLSGNELLDITVSQDTIKTASHIEVMFSAHGTGLHVKKFGVHVVYEEDVLESRQIVDCPEDENTELGGGAFTKRIHENRRGCFDDEPQAKRSKLPQ >Manes.01G232500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39616171:39620946:-1 gene:Manes.01G232500.v8.1 transcript:Manes.01G232500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGIAPTSGLRDPSGNTAAVDKLPDEMNDMKIKDEKEMEATVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMGQRMPLIYVKLYFYQICRALAYIHNTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRTPPEAVDLVSRLLQYSPNLRSTAVEALIHPFFNELRDPNTRLPNGRFLPPLFNFKPHELKGVPVEMLVKLIPEHARKQCAFLGL >Manes.04G112300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31650292:31654006:-1 gene:Manes.04G112300.v8.1 transcript:Manes.04G112300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFGREHRNYANASSLFNNKNGNVRAPIESPSSAPLRLSGDGDNYVYDNDWLVARSYSYHKLPKQLLKLYILKLDGSSFELKRAVEEVFASSPNEGQGEISWSHVWGHFCLSYGGRKLVNDKACIRNFGIKDDDQLQFVRHMSIHYSSSKRHFRNQNATRKPCSTLQARDVEIEQNTTDQNANQGYNSRYHSDDHDDNPMPEFKLAHFLRGWLSYSRIRGASRKGSQGQSRPSRFSLQCLGGGPRMIELQG >Manes.04G112300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31650293:31654006:-1 gene:Manes.04G112300.v8.1 transcript:Manes.04G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFGREHRNYANASSLFNNKNGNVRAPIESPSSAPLRLSGDGDNYVYDNDWLVARSYSYHKLPKQLLKLYILKLDGSSFDVHVGKNATVAELKRAVEEVFASSPNEGQGEISWSHVWGHFCLSYGGRKLVNDKACIRNFGIKDDDQLQFVRHMSIHYSSSKRHFRNQNATRKPCSTLQARDVEIEQNTTDQNANQGYNSRYHSDDHDDNPMPEFKLAHFLRGWLSYSRIRGASRKGSQGQSRPSRFSLQCLGGGPRMIELQG >Manes.14G080500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6681839:6684572:1 gene:Manes.14G080500.v8.1 transcript:Manes.14G080500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCNADGLKKGAWTADEDQKLIAYIKEHGEGGWRTLPQKAGLQRCGKSCRLRWANYLRPDIKRGEFSKEEEQKIIELHASLGNRWSAIARHLPKRTDNEIKNYWNTHLKKRQAEKGNDHVCYNPDDPSSAPSNTSPVCETELAPAQPTPLRPSSASVHLLNKIAAKLAPSTCLETLKTSQSIATEADTDVVDVSIMNTRHSESPPSLAMRCPDMLRPASTSARLLNKMASRLTNRLHCIDTIKSILSGSSDNHDIMHRSTSVSSLSETASDMSRSISTSNGGIHDQMTTHDLSSLMDSFDLDLLNNWNGVSDGGVSSGSMCDTYSGWESPASPLYDFSKNNNNNNNYNDRLTFSGCESMGTCSVGEVKDALTTTTTTFESEMTTGSRDATNQLEKYFADGFSDFFIGDSHVVSAFSADSKDGGESKAEVFEEGTYSWEDPLFENYQPSDSYFF >Manes.14G080500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6681831:6684782:1 gene:Manes.14G080500.v8.1 transcript:Manes.14G080500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCNADGLKKGAWTADEDQKLIAYIKEHGEGGWRTLPQKAGLQRCGKSCRLRWANYLRPDIKRGEFSKEEEQKIIELHASLGNRWSAIARHLPKRTDNEIKNYWNTHLKKRQAEKGNDHVCYNPDDPSSAPSNTSPVCETELAPAQPTPLRPSSASVHLLNKIAAKLAPSTCLETLKTSQSIATEADTDVVDVSIMNTRHSESPPSLAMRCPDMLRPASTSARLLNKMASRLTNRLHCIDTIKSILSGSSDNHDIMHRSTSVSSLSETASDMSRSISTSNGGIHDQMTTHDLSSLMDSFDLDLLNNWNGVSDGGVSSGSMCDTYSGWESPASPLYDFSKNNNNNNNYNDRLTFSGCESMGTCSVGEVKDALTTTTTTFESEMTTGSRDATNQLEKYFADGFSDFFIGDSHVVSAFSADSKDGGESKAEVFEEGTYSWEDPLFENYQPSDSYFF >Manes.02G012100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1196749:1199473:-1 gene:Manes.02G012100.v8.1 transcript:Manes.02G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAIASLINVEPVPTKKPRNNRKALKQKNPSTNEVNIMAQKLSEISPVPPPPSDTDPLKENHESLSQSRSSPKKSKAKAPKAKQTKQTSSFEKDMQEMQEMLQKLRIEKEKTEELLKEKDEMLKAKEEELVTKGREQEKLQMEFKKLQKLKEFKPNMILPLVQSSQDDQDKKKKKKKGGLEKKRPSPPYILWCKDQWNEVKKENPDAEFKEISNILGAKWKSVSAEEKKPYEEKYQAEKEAYLQLIANEKREGEAMKLFEEEHKQKTAMELLEQYIQFKQEAEKENKKTKKEKDPLKPKQPISAFFLFSNERRAALLSEKNVLEVAKIAGEEWKNMTEEERRPYEEMAKKNKEKYLQEMEAYKQKKDEEAMNLKKEEEEMLKLQKQEALQLLKKKEKTENIIKKTKEKRQKKKQQNADPNKPKRPASSFLLFNKEARKCLMQERPGITNSTLNALISVKWKELSEEERQIWNVKAAEAMEVYKKEMEEYNKSAASSDDKP >Manes.14G155900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19944925:19966490:1 gene:Manes.14G155900.v8.1 transcript:Manes.14G155900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFYFPYMPSSPLIFPSSSHESNDSTNQNISASSGSEASSSQNIFTASSHASNVLFTENQSIPLGFGASPSLEVLSLTKLSSNLEQQLIDSSWDYCDAEIVVEGLPVPIHRFILAARSRFFHELFKKEKGPLDKEEKPRYLMSDLLPHGRVGYEALQVVLNYLYAGKLKPSPIEVSTCVDDLCHHDACRPAINFTVELLYASSIFLVPELVSLFQSRLVNFVNKAYVEDVIPILLVAFHCQASQLVAQCVNRIVRSDLDSILIEKDLPYQVSEEIKFLRKELTSDDEQKMEVVDPLREMRIRRIYKALDLDDIEQVKLVLADSDVTLDDANALHYAVAYCSPKIVDEVLSLGLANVNLRNSQGYTVLHIAALQREPSVIMSLLAKGACASDLTLEGRSAVSICRRLTRPKDWHAKTVQGQEASKFRLCIDVLERERQRNPTSTDVSITSLTLFDDLHRKLLYLENRVALARLLFPVEAKVTRDIAHADENLKELDLNETPTAQKKRLVSRLEALAKTVGLARRFFPTCYEVLDKFLDHLPDLFYLERGSPEEQSLRRRRFLELKEDLMKAFNKDRAEF >Manes.15G001400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:358623:360384:-1 gene:Manes.15G001400.v8.1 transcript:Manes.15G001400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLAFCFVMLKIVIAFAETPSTLNETCAGDIGFVLQFNIYQESCPEAEAIIFSWVETAISQDPRMAASLLRLHFHDCFVNGCDASVLLDDSGNFVGEKTAPPNLNSLRGFEVIDGIKAELESVCPQTVSCADILAIAARDSVVLSGGPSWEVHMGRKDSLSASKSAATNNIPAPNSTVATLVTSFQNVGLSLDDMVALSGAHTIGKARCTTFSSRLQGTANSNGHDINLDFIQSLQQLCSEPDSTSILAHLDLATPATFDNQYYLNLLLGEGLLPSDQTLVTDDDQTRLIVESYAEDPFLFFEDFKNSMLKMGSLGPLTEASGEIRTNCRAVN >Manes.04G046000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7533480:7541339:1 gene:Manes.04G046000.v8.1 transcript:Manes.04G046000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHKCILTEVAISIQGKIYPSVCIALWMGFLNEFEAGERATIFILPRDAFGNDVSSTGEELNSYNFTVSVLYANGSLANVPNITHVGWNELGIISIEFIAEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQQDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSIANMPFAYTVFIGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSHTEISPAPSDVTMNISAGHFEVAASVFHVTYTAEKSGIYEIYVFCGNILLSGVQSFRKEVKAGKVDVSLSKVVKFSPKVPKLIENEMWVQLMDSFSNHVLSQQSLLKLEIAVNRSGFSTEMFVDNNDGSYTCQYMAKDVGTYEMCVSFDGLHLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIVEFSKDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIDVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPIWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKLLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYSDCTDNISIPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNSQKESSSADLSEKTTDLTGGCSQYLSIYHRTSSFRQRSSRQFEIAESGQDIHSPSQSTRGYHLQALPDFMPLAIEKGS >Manes.04G046000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7532839:7541339:1 gene:Manes.04G046000.v8.1 transcript:Manes.04G046000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTSGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPGKIYPSVCIALWMGFLNEFEAGERATIFILPRDAFGNDVSSTGEELNSYNFTVSVLYANGSLANVPNITHVGWNELGIISIEFIAEKAGDLLLHVKGGKQTLNGSPLPLKVNPGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSHTEISPAPSDVTMNISAGHFEVAASVFHVTYTAEKSGIYEIYVFCGNILLSGVQSFRKEVKAGYSYIYVLGKVDVSLSKVVKFSPKVPKLIENEMWVQLMDSFSNHVLSQQSLLKLEIAVNRSGFSTEMFVDNNDGSYTCQYMAKDVGTYEMCVSFDGLHLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIVEFSKPNCGSLLQDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIDVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPIWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKLLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYSDCTDNISIPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNSQKESSSADLSEKTTDLTGGCSQYLSIYHRTSSFRQRSSRQFEIAESGQDIHSPSQSTRGYHLQALPDFMPLAIEKGS >Manes.04G046000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7532888:7541339:1 gene:Manes.04G046000.v8.1 transcript:Manes.04G046000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTSGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPGKIYPSVCIALWMGFLNEFEAGERATIFILPRDAFGNDVSSTGEELNSYNFTVSVLYANGSLANVPNITHVGWNELGIISIEFIAEKAGDLLLHVKGGKQTLNGSPLPLKVNPGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSHTEISPAPSDVTMNISAGHFEVAASVFHVTYTAEKSGIYEIYVFCGNILLSGVQSFRKEVKAGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIVEFSKPNCGSLLQDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIDVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPIWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKLLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYSDCTDNISIPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNSQKESSSADLSEKTTDLTGGCSQYLSIYHRTSSFRQRSSRQFEIAESGQDIHSPSQSTRGYHLQALPDFMPLAIEKGS >Manes.04G046000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7533480:7541339:1 gene:Manes.04G046000.v8.1 transcript:Manes.04G046000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHKCILTEVAISIQGKIYPSVCIALWMGFLNEFEAGERATIFILPRDAFGNDVSSTGEELNSYNFTVSVLYANGSLANVPNITHVGWNELGIISIEFIAEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQQDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSIANMPFAYTVFIGYCDGSASIVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSHTEISPAPSDVTMNISAGHFEVAASVFHVTYTAEKSGIYEIYVFCGNILLSGVQSFRKEVKAGKVDVSLSKVVKFSPKVPKLIENEMWVQLMDSFSNHVLSQQSLLKLEIAVNRSGFSTEMFVDNNDGSYTCQYMAKDVGTYEMCVSFDGLHLMPCPFGVNVYGGEYFPKAYDDKISVWEDESIAFDVLANDYFAGHNASIVEFSKPNCGSLLQDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIDVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPIWGKFLVKREDDEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKLLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYSDCTDNISIPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNSQKESSSADLSEKTTDLTGGCSQYLSIYHRTSSFRQRSSRQFEIAESGQDIHSPSQSTRGYHLQALPDFMPLAIEKGS >Manes.12G042650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3854431:3859589:1 gene:Manes.12G042650.v8.1 transcript:Manes.12G042650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKQPLIITDKTQMRNWSRSARSQGKTIALVPTMGYLHQGHLSLVKEAHNHANLIVVSIYVNPGQFSPSEDLSTYPSDFHGDILKLVTIPGGVDVVFHPHNLYDYRTDNENKNYSVDCSNSCKNLEGEGVVSCVEEKGTGHETWVRVERLEKGLCGKSRPVFFRGVATIVTKLFNIVEPDFAVFGKKDYQQWRIIQRMVRDLDFSIRIIGSEVMRETDGLAMSSRNVHLSAEEREKALSINRSLLKAKSCAEKGQRNCRELRNLVIQAIDEAGGKVDYAEIVDQESLEAAEEIKSPVVFCVAAWFGKVRLIDNVEINM >Manes.02G203900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17587499:17590532:1 gene:Manes.02G203900.v8.1 transcript:Manes.02G203900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATQRAQVRRTAQFHPTVWGDYFIKHVSDNEMLSVWTEEAKVLKEEVRRMLVTSVTGYKPSEKLYLVDAIQRLGISYHFEREIEEALKQIFQDFEDEDVNLGIVALRFRLLRQQGYNVSSDVFNKFKDGEGNFKEDLISDVQGLLSLYEASYLSVQGEDILDETLEFTKTHLTKATQFGSPLADQVSYALRWPTRRGLPRKESRDYFSIYQQDDAHVKPLLKLAKLDYNIVQTLHQRDMKIITKWWMDLDFTTKLPFSRDRVIECSFWALGTFAEPQYVFARQVLSKTIAMLSVMDDIYDVHGTIEELELFTKVVERWDISMKDQLPDYMKVYFEAFLDFYAEIEAVTTKEGRSFCIHYAKEAVKKQVRAYITEARWFNSDYVPTLEEYISNGVISCSYPFLITLSFCGMGEVASKETFDWLFTEPKLLYAASGFARLTDDIRSHEFEQERGHVASIVECYMKQHGVSQKQAYDELNKIITNLWKDLNEQLLKENAHLPKPLLMCILNMVRAIDVVYKDIDGYTNSNTSLKDILVTFLVNPVAV >Manes.02G203900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17587499:17590532:1 gene:Manes.02G203900.v8.1 transcript:Manes.02G203900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATQRAQVRRTAQFHPTVWGDYFIKHVSDNEMLSVWTEEAKVLKEEVRRMLVTSVTGYKPSEKLYLVDAIQRLGISYHFEREIEEALKQIFQDFEDEDVNLGIVALRFRLLRQQGYNVSSDVFNKFKDGEGNFKEDLISDVQGLLSLYEASYLSVQGEDILDETLEFTKTHLTKATQFGSPLADQVSYALRWPTRRGLPRKESRDYFSIYQQDDAHVKPLLKLAKLDYNIVQTLHQRDMKIITKWWMDLDFTTKLPFSRDRVIECSFWALGTFAEPQYVFARQVLSKTIAMLSVMDDIYDVHGTIEELELFTKVVERWDISMKDQLPDYMKVYFEAFLDFYAEIEAVTTKEGRSFCIHYAKEAVKKQVRAYITEARWFNSDYVPTLEEYISNGVISCSYPFLITLSFCGMGEVASKETFDWLFTEPKLLYAASGFARLTDDIRSHEFEQERGHVASIVECYMKQHGVSQKQAYDELNKIITNLWKDLNEQLLKENAHLPKPLLMCILNMVRAIDVVYKDIDGYTNSNTSLKDILVTFLVNPVAV >Manes.06G068750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20497359:20498393:1 gene:Manes.06G068750.v8.1 transcript:Manes.06G068750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVWSVNIECPFKEYSSRSPMTLQVDSRFFHVSNKQHYGKILEIR >Manes.02G032200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2632347:2641477:-1 gene:Manes.02G032200.v8.1 transcript:Manes.02G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAHGHSLPPPFHTRDFQLHQNQFPHHQQHNSEDEQSGSSSGAGLNKSQKRERDEISNNNGEGRELIPAGSGGEINRRPRGRPAGSKNKPKPPIIITRDSANALRTHLMEVADGCDIVESVATFARRRQRGISIMSGTGTVTNVTLRQPASPGAVVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLTAAGPVVIMAASFSNAAYERLPLEEEDPQLPMQGGAIGSPGAVGQQQQQQQVLGEANAQLFHGLQPNLLNSIQLPTEPYWATGRPPY >Manes.02G032200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2633234:2641428:-1 gene:Manes.02G032200.v8.1 transcript:Manes.02G032200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTAHGHSLPPPFHTRDFQLHQNQFPHHQQHNSEDEQSGSSSGAGLNKSQKRERDEISNNNGEGRELIPAGSGGEINRRPRGRPAGSKNKPKPPIIITRDSANALRTHLMEVADGCDIVESVATFARRRQRGISIMSGTGTVTNVTLRQPASPGAVVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLTAAGPVVIMAASFSNAAYERLPLEEEDPQLPMQGGAIGSPGAVGQQQQQQQVLGEANAQLFHGLQPNLLNSIQLPTEPYWATGRPPY >Manes.10G086000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22199099:22200110:1 gene:Manes.10G086000.v8.1 transcript:Manes.10G086000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSKANKLVQISRVPIRVLCKARDYYVKRMLNFAGSGRVGYGSIGGATAQLPRSFSVNSSRAVDDEEFKELLRLLSAKGIRDVETFLRCRGNDRRSYSKGCSGMRRSYSVGVGKMGRIDEDKACSFREDDEEDVEAHLILRSRSHAVRRKF >Manes.07G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3813717:3816879:1 gene:Manes.07G035100.v8.1 transcript:Manes.07G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSPREDNVYMAKLAEQAERYEEMVQFMEKVVFSTPESDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNADHVAAIKEYRAKIEGELSEICGGILKLLDEKLVPAAASGDSKVFYLKMKGDYHRYLAEFKTGNERKEAAENTLNAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKDAAKREEEQKQ >Manes.02G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7725747:7727808:-1 gene:Manes.02G099500.v8.1 transcript:Manes.02G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQTHHPFILPATTSFVSSSSSHLQLQTQMDSVEGALKTSVRKEMAFKFSPQPFFDDLWALNAQNCASSDDSIVDELLDFSNEAGFVEEEGGEGKASAVSASPKETAFEDDKISEQSSILSVQEDFGSVPASELGVPQEDLASLEWLSHFVEDSNSEYSTPFPAGISSEKPTKDSASELQKPVLTEPCFKTPVPAKARSKRARNCMRVWPLGSPSLTESSSSSSSSSSVSYPSSTCLVLTTTAVNHEPTEPVCFEGPPAKKQKKRAAGELRSRVSSGSQPPRRCSHCGVQKTPQWRTGPLGAKTLCNACGVRYKSGRLLPEYRPACSPTFSGELHSNHHRKVLEMRKKKELLGQSEPDSAPPIVPSF >Manes.07G035600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3859781:3860599:1 gene:Manes.07G035600.v8.1 transcript:Manes.07G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSSAVVLMLMAMCLTSELAQSSYSSPPSPSPMKSPPSELTPTLEPATAPQSKPPVSAAAPEKSNPPPVPSPSELESPPPSPVATPSSISAAPSEAPSPIENNAVSNRLAISETAAAGLFAAVLLM >Manes.10G151900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31907710:31910360:-1 gene:Manes.10G151900.v8.1 transcript:Manes.10G151900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSAPARSSQLIQRCHRPTTRVEIPSITTALKLSQTISDSRFKNAITLQIRRRLLVAFASNSNPSSGDSIQDKSDGNTSDAARGPPFLTILAGFLVLFTVFWVFGSVIMWLFGLIVKSPPAN >Manes.10G151900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31907710:31910360:-1 gene:Manes.10G151900.v8.1 transcript:Manes.10G151900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSAPARSSQLIQRCHRPTTRVEIPSITTALKLSQTISDSRCLFSASIRFKNAITLQIRRRLLVAFASNSNPSSGDSIQDKSDGNTSDAARGPPFLTILAGFLVLFTVFWVFGSVIMWLFGLIVKSPPAN >Manes.10G151900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31908114:31909597:-1 gene:Manes.10G151900.v8.1 transcript:Manes.10G151900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIIRSIIFLMIYVKSKKAIQYLRLSFGDLARRKRETIQRRLKMASFCSAPARSSQLIQRCHRPTTRVEIPSITTALKLSQTISDSRCLFSASIRFKNAITLQIRRRLLVAFASNSNPSSGDSIQDKSDGNTSDAARGPPFLTILAGFLVLFTVFWVFGSVIMWLFGLIVKSPPAN >Manes.10G151900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31907710:31910360:-1 gene:Manes.10G151900.v8.1 transcript:Manes.10G151900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSAPARSSQLIQRCHRPTTRVEIPSITTALKLSQTISDSRFKNAITLQIRRRLLVAFASNSNPSSGDSIQDKSDGNTSDAARGPPFLTILAGFLVLFTVFWVFGSVIMWLFGLIVKSPPAN >Manes.10G151900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31907710:31910360:-1 gene:Manes.10G151900.v8.1 transcript:Manes.10G151900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSAPARSSQLIQRCHRPTTRVEIPSITTALKLSQTISDSRCLFSASIRFKNAITLQIRRRLLVAFASNSNPSSGDSIQDKSDGNTSDAARGPPFLTILAGFLVLFTVFWVFGSVIMWLFGLIVKSPPAN >Manes.01G184500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36242190:36245029:1 gene:Manes.01G184500.v8.1 transcript:Manes.01G184500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVEGVQQLVLGLYIIRGDNISIVGELDEELDSHLDLLNLRAHPLKPVIH >Manes.01G184500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36242190:36245029:1 gene:Manes.01G184500.v8.1 transcript:Manes.01G184500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISIVGELDEELDSHLDLLNLRAHPLKPVIH >Manes.02G161500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12679923:12682933:1 gene:Manes.02G161500.v8.1 transcript:Manes.02G161500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYISSFWFLLPKSPKSQGASFLRTTINPESRNHRKPTPQVTMSLSPPRTRTDNTTTRTFQPYWCYRCHRMVRIAPSDPSEIICPRCSGQFLCEVEMNRPRLVDDFTAFDPSPEARLLEALSLMLDPPIRRLNFGLHDDLESESRGRSWFRRRNRWDPDGEIRPRRRRHLSLDGRENWEDEPGLQFRPRTWIVLRPYDPFAPTIPGLRPETPMPPRVNPREYFFGPGLNDLIEQLTQDDRPGPPPVPESVIDAIPTVKVTASHLVNDSNCPVCKEEFKIGGEAKELPCKHIYHKDCIVPWLRLHNSCPVCRKELPILPENSSHASDGQRECEHEEDVNGRCLRWRRQLANLWPFRPRYGRISPHGEHDGASQGGQSWFRRLFQHFTH >Manes.02G161500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12679667:12682933:1 gene:Manes.02G161500.v8.1 transcript:Manes.02G161500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYISSFWFLLPKSPKSQGASFLRTTINPESRNHRKPTPQVTMSLSPPRTRTDNTTTRTFQPYWCYRCHRMVRIAPSDPSEIICPRCSGQFLCEVEMNRPRLVDDFTAFDPSPEARLLEALSLMLDPPIRRLNFGLHDDLESESRGRSWFRRRNRWDPDGEIRPRRRRHLSLDGRENWEDEPGLQFRPRTWIVLRPYDPFAPTIPGLRPETPMPPRVNPREYFFGPGLNDLIEQLTQDDRPGPPPVPESVIDAIPTVKVTASHLVNDSNCPVCKEEFKIGGEAKELPCKHIYHKDCIVPWLRLHNSCPVCRKELPILPENSSHASDGQRECEHEEDVNGRCLRWRRQLANLWPFRPRYGRISPHGEHDGASQGGM >Manes.02G161500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12679924:12682855:1 gene:Manes.02G161500.v8.1 transcript:Manes.02G161500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYISSFWFLLPKSPKSQGASFLRTTINPESRNHRKPTPQVTMSLSPPRTRTDNTTTRTFQPYWCYRCHRMVRIAPSDPSEIICPRCSGQFLCEVEMNRPRLVDDFTAFDPSPEARLLEALSLMLDPPIRRLNFGLHDDLESESRGRSWFRRRNRWDPDGEIRPRRRRHLSLDGRENWEDEPGLQFRPRTWIVLRPYDPFAPTIPGLRPETPMPPRVNPREYFFGPGLNDLIEQLTQDDRPGPPPVPESVIDAIPTVKVTASHLVNDSNCPVCKEEFKIGGEAKELPCKHIYHKDCIVPWLRLHNSCPVCRKELPILPENSSHASDGQRECEHEEDVNGRCLRWRRQLANLWPFRPRYGRISPHGEHDGASQGGEMC >Manes.02G161500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12680339:12682855:1 gene:Manes.02G161500.v8.1 transcript:Manes.02G161500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYISSFWFLLPKSPKSQGASFLRTTINPESRNHRKPTPQVTMSLSPPRTRTDNTTTRTFQPYWCYRCHRMVRIAPSDPSEIICPRCSGQFLCEVEMNRPRLVDDFTAFDPSPEARLLEALSLMLDPPIRRLNFGLHDDLESESRGRSWFRRRNRWDPDGEIRPRRRRHLSLDGRENWEDEPGLQFRPRTWIVLRPYDPFAPTIPGPPPVPESVIDAIPTVKVTASHLVNDSNCPVCKEEFKIGGEAKELPCKHIYHKDCIVPWLRLHNSCPVCRKELPILPENSSHASDGQRECEHEEDVNGRCLRWRRQLANLWPFRPRYGRISPHGEHDGASQGGQSWFRRLFQHFTH >Manes.02G161500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12679924:12682855:1 gene:Manes.02G161500.v8.1 transcript:Manes.02G161500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYISSFWFLLPKSPKSQGASFLRTTINPESRNHRKPTPQVTMSLSPPRTRTDNTTTRTFQPYWCYRCHRMVRIAPSDPSEIICPRCSGQFLCEVEMNRPRLVDDFTAFDPSPEARLLEALSLMLDPPIRRLNFGLHDDLESESRGRSWFRRRNRWDPDGEIRPRRRRHLSLDGRENWEDEPGLQFRPRTWIVLRPYDPFAPTIPGPPPVPESVIDAIPTVKVTASHLVNDSNCPVCKEEFKIGGEAKELPCKHIYHKDCIVPWLRLHNSCPVCRKELPILPENSSHASDGQRECEHEEDVNGRCLRWRRQLANLWPFRPRYGRISPHGEHDGASQGGM >Manes.08G124900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36524739:36528131:1 gene:Manes.08G124900.v8.1 transcript:Manes.08G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRYYTGLVSPPRTRFCSFRIVSSSSPVGISRQQTLEQVDKELAKGDERAALSLVKDLQGKPGGLRCFGAARQVPQRLYTLDELKLNGIETASLLSPVDATLGSIERNLQLAAALGGIAAWNAFGFSPQQLFYFSLGLLFLWTFDAVSFNGGVGSLVLDTIGHTFSQKYHNRVIQHEAGHFLIAYLVGILPKGYTLSSLEALQKEGSLNVQAGTAFVDLEFLEEVNAGKVSALTLNKFSCIALAGVATEYLLYGYAEGGLADINKLDMLLKSLGFTQKKADSQVRWSVLNTILILRRHEGARAKLAEAMTMGRSIGSCIAIIEDTVDDADI >Manes.08G124900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36524739:36528131:1 gene:Manes.08G124900.v8.1 transcript:Manes.08G124900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRYYTGLVSPPRTRFCSFRIVSSSSPVGISRQQTLEQVDKELAKGDERAALSLVKDLQGKPGGLRCFGAARQVPQRLYTLDELKLNGIETASLLSPVDATLGSIERNLQLAAALGGIAAWNAFGFSPQQLFYFSLGLLFLWTFDAVSFNGGVGSLVLDTIGHTFSQKYHNRVIQHEAGHFLIAYLVGILPKGYTLSSLEALQKEGSLNVQAGTAFVDLEFLEEVNAGKVSALVCYSTY >Manes.03G088503.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19319057:19320605:-1 gene:Manes.03G088503.v8.1 transcript:Manes.03G088503.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIGHVLPGIAFLALGLWHLFNHIKLYSLHPNSYISSAWFPTSKVRHLELFLIMAGSSISISMELFIGPERHQPFDSDGTIPSNHLKNFEHSSISMLFFVYATFAILLDRFKPKSHLGLTQLLGAVAFGQQLFLFHLHSTDHQGLEGQYHLLLQAIVVVSVVTTLMGIGLPRSFLVSFVRSVSIFFQGVWFIVMGYMLWTPELLPRGCELYNEDEHHVVRCGSQQALHRAKSLVNILFSCFLIGTAIFAVSFYMGMVERFGTREDYYCKLPKEFEEMQEEEEEFCDAESQKEKKFGESKGAFVHMEARIAPFNMER >Manes.16G128450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32951448:32952217:-1 gene:Manes.16G128450.v8.1 transcript:Manes.16G128450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGKRKRREPKRKRGRQGNRRVEGRTIGGPKFPSFIGRELHVGPTLRVTFPATGKGLC >Manes.16G094900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30123541:30127851:-1 gene:Manes.16G094900.v8.1 transcript:Manes.16G094900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMACFFLLSFLLALSFSATAKQTYLVHMKHSAKPQSFATHHDWYSSSLQSITSTSESLLYTYSTAFPGFAASLDPEEVESLRKSEAVLDVYEDTVYSLHTTRTPEFLGLNADLGLFDGHTTLDIDQASHDVIIGVLDTGVWPESRSFDDTGMPEIPTRWKGECESGADFSAKLCNKKLIGARYFSKGYHMASGGSYLRKPKEIESPRDQDGHGTHTASTAAGSQVANASLLGYASGTARGMATHARVAGYKVCWSTGCFGSDILAGMDRAIADGVDVLSLSLGGGSVPYYRDTIAIGAFAAMERGIFVSCSAGNSGPNKATLANVAPWITTVGAGTLDRDFPAYAVLGDNNRITGVSLYSGTGMGNKPVGLIYNKGHSSSNLCLPGSLEPALVRGKVVVCDRGINARVEKGAVVRDAGGIGMILANTEASGEELVADSHLLPAVAVGRKAGDIIREYVKNNANPTALLSFGGTVLNVRPSPVVAAFSSRGPNLVTPQILKPDLIGPGVNILAAWSEGVGPTGLEKDTRKTQFNIISGTSMSCPHISGVAALLKAAHPRWSPSAIKSALMTTAYMVDNTNSPLRDAGSVTGALSNPWAHGSGHVDPHRALSPGLVYDISTDEYVAFLCSLGYSIDHVQAIGKRPNITCSRKFSDPGQLNYPSFSVVFAGKRVVRYTRELTNVGEAGSIYEVAVTAPPAVAVKVNPTKLVFRNVGDKLRYTVTFVAKKGANQAARYEFGSIEWRNVQHQVKSPVSFAWTQL >Manes.16G094900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30123541:30127851:-1 gene:Manes.16G094900.v8.1 transcript:Manes.16G094900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMACFFLLSFLLALSFSATAKQTYLVHMKHSAKPQSFATHHDWYSSSLQSITSTSESLLYTYSTAFPGFAASLDPEEVESLRKSEAVLDVYEDTVYSLHTTRTPEFLGLNADLGLFDGHTTLDIDQASHDVIIGVLDTGVWPESRSFDDTGMPEIPTRWKGECESGADFSAKLCNKKLIGARYFSKGYHMASGGSYLRKPKEIESPRDQDGHGTHTASTAAGSQVANASLLGYASGTARGMATHARVAGYKVCWSTGCFGSDILAGMDRAIADGVDVLSLSLGGGSVPYYRDTIAIGAFAAMERGIFVSCSAGNSGPNKATLANVAPWITTVGAGTLDRDFPAYAVLGDNNRITGVSLYSGTGMGNKPVGLIYNKGHSSSNLCLPGSLEPALVRGKVVVCDRGINARVEKGAVVRDAGGIGMILANTEASGEELVADSHLLPAVAVGRKAGDIIREYVKNNANPTALLSFGGTVLNVRPSPVVAAFSSRGPNLVTPQILKPDLIGPGVNILAAWSEGVGPTGLEKDTRKTQFNIISGTSMSCPHISGVAALLKAAHPRWSPSAIKSALMTTAYMVDNTNSPLRDAGSVTGALSNPWAHGSGHVDPHRALSPGLVYDISTDEYVAFLCSLGYSIDHVQAIGKRPNITCSRKFSDPGQLNYPSFSVVFAGKRVVRYTRELTNVGEAGSIYEVAVTAPPAVAVKVNPTKLVFRNVGDKLRYTVTFVAKKGANQAARYEFGSIEWRNVQHQVKSPVSFAWTQL >Manes.16G094900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30122678:30127851:-1 gene:Manes.16G094900.v8.1 transcript:Manes.16G094900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMACFFLLSFLLALSFSATAKQTYLVHMKHSAKPQSFATHHDWYSSSLQSITSTSESLLYTYSTAFPGFAASLDPEEVESLRKSEAVLDVYEDTVYSLHTTRTPEFLGLNADLGLFDGHTTLDIDQASHDVIIGVLDTGVWPESRSFDDTGMPEIPTRWKGECESGADFSAKLCNKKLIGARYFSKGYHMASGGSYLRKPKEIESPRDQDGHGTHTASTAAGSQVANASLLGYASGTARGMATHARVAGYKVCWSTGCFGSDILAGMDRAIADGVDVLSLSLGGGSVPYYRDTIAIGAFAAMERGIFVSCSAGNSGPNKATLANVAPWITTVGAGTLDRDFPAYAVLGDNNRITGVSLYSGTGMGNKPVGLIYNKGHSSSNLCLPGSLEPALVRGKVVVCDRGINARVEKGAVVRDAGGIGMILANTEASGEELVADSHLLPAVAVGRKAGDIIREYVKNNANPTALLSFGGTVLNVRPSPVVAAFSSRGPNLVTPQILKPDLIGPGVNILAAWSEGVGPTGLEKDTRKTQFNIISGTSMSCPHISGVAALLKAAHPRWSPSAIKSALMTTAYMVDNTNSPLRDAGSVTGALSNPWAHGSGHVDPHRALSPGLVYDISTDEYVAFLCSLGYSIDHVQAIGKRPNITCSRKFSDPGQLNYPSFSVVFAGKRVVRYTRELTNVGEAGSIYEVAVTAPPAVAVKVNPTKLVFRNVGDKLRYTVTFVAKKGANQAARYEFGSIEWRNVQHQVKSPVSFAWTQL >Manes.16G094900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30124615:30127851:-1 gene:Manes.16G094900.v8.1 transcript:Manes.16G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMACFFLLSFLLALSFSATAKQTYLVHMKHSAKPQSFATHHDWYSSSLQSITSTSESLLYTYSTAFPGFAASLDPEEVESLRKSEAVLDVYEDTVYSLHTTRTPEFLGLNADLGLFDGHTTLDIDQASHDVIIGVLDTGVWPESRSFDDTGMPEIPTRWKGECESGADFSAKLCNKKLIGARYFSKGYHMASGGSYLRKPKEIESPRDQDGHGTHTASTAAGSQVANASLLGYASGTARGMATHARVAGYKVCWSTGCFGSDILAGMDRAIADGVDVLSLSLGGGSVPYYRDTIAIGAFAAMERGIFVSCSAGNSGPNKATLANVAPWITTVGAGTLDRDFPAYAVLGDNNRITGVSLYSGTGMGNKPVGLIYNKGHSSSNLCLPGSLEPALVRGKVVVCDRGINARVEKGAVVRDAGGIGMILANTEASGEELVADSHLLPAVAVGRKAGDIIREYVKNNANPTALLSFGGTVLNVRPSPVVAAFSSRGPNLVTPQILKPDLIGPGVNILAAWSEGVGPTGLEKDTRKTQFNIISGTSMSCPHISGVAALLKAAHPRWSPSAIKSALMTTAYMVDNTNSPLRDAGSVTGALSNPWAHGSGHVDPHRALSPGLVYDISTDEYVAFLCSLGYSIDHVQAIGKRPNITCSRKFSDPGQLNYPSFSVVFAGKRVVRYTRELTNVGEAGSIYEVAVTAPPAVAVKVNPTKLVFRNVGDKLRYTVTFVAKKGANQAARYEFGSIEWRNVQHQVKSPVSFAWTQL >Manes.16G094900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30122222:30127851:-1 gene:Manes.16G094900.v8.1 transcript:Manes.16G094900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMACFFLLSFLLALSFSATAKQTYLVHMKHSAKPQSFATHHDWYSSSLQSITSTSESLLYTYSTAFPGFAASLDPEEVESLRKSEAVLDVYEDTVYSLHTTRTPEFLGLNADLGLFDGHTTLDIDQASHDVIIGVLDTGVWPESRSFDDTGMPEIPTRWKGECESGADFSAKLCNKKLIGARYFSKGYHMASGGSYLRKPKEIESPRDQDGHGTHTASTAAGSQVANASLLGYASGTARGMATHARVAGYKVCWSTGCFGSDILAGMDRAIADGVDVLSLSLGGGSVPYYRDTIAIGAFAAMERGIFVSCSAGNSGPNKATLANVAPWITTVGAGTLDRDFPAYAVLGDNNRITGVSLYSGTGMGNKPVGLIYNKGHSSSNLCLPGSLEPALVRGKVVVCDRGINARVEKGAVVRDAGGIGMILANTEASGEELVADSHLLPAVAVGRKAGDIIREYVKNNANPTALLSFGGTVLNVRPSPVVAAFSSRGPNLVTPQILKPDLIGPGVNILAAWSEGVGPTGLEKDTRKTQFNIISGTSMSCPHISGVAALLKAAHPRWSPSAIKSALMTTAYMVDNTNSPLRDAGSVTGALSNPWAHGSGHVDPHRALSPGLVYDISTDEYVAFLCSLGYSIDHVQAIGKRPNITCSRKFSDPGQLNYPSFSVVFAGKRVVRYTRELTNVGEAGSIYEVAVTAPPAVAVKVNPTKLVFRNVGDKLRYTVTFVAKKGANQAARYEFGSIEWRNVQHQVKSPVSFAWTQL >Manes.01G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32127399:32136276:-1 gene:Manes.01G126700.v8.1 transcript:Manes.01G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARNRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHCEKRLYLVFEYLDLDLKKHMDSCPEFAKNPHLIKQFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNVLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDVWSVGCIFSEMVNRQPLFPGDSEIDELFKIFRVLGTPNEDTWPGVTSLPDFKSAFPKWPSKDLATIVPTLEPAGVDLLSKMLCLDPSKRITARSALEHEYFKDIDFLP >Manes.06G172900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29659563:29661810:-1 gene:Manes.06G172900.v8.1 transcript:Manes.06G172900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNNTNTPPALDDDEEEVTLPGFRFHPTDEELVGFYLRRKVDKKPLSIELIKQVDIYKYDPWDLPKPSSVGEKEGYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPVYSHGGEGRDCIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPTNDSNTTDLVNAKISAQEAEVWTLCRIFKRNVSHRKYTPDWREISNKRQPAAIDKSSKTCSVDSNSRETYLSFGAPHVECSDKKPVFNHVNEWKQFHADQLSSITTQPSSSMASPTNISSPYRNEVLGYSDWDELRSVVEFAFGPSLL >Manes.06G172900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29659563:29661810:-1 gene:Manes.06G172900.v8.1 transcript:Manes.06G172900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIYIEPSSVGEKEGYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPVYSHGGEGRDCIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPTNDSNTTDLVNAKISAQEAEVWTLCRIFKRNVSHRKYTPDWREISNKRQPAAIDKSSKTCSVDSNSRETYLSFGAPHVECSDKKPVFNHVNEWKQFHADQLSSITTQPSSSMASPTNISSPYRNEVLGYSDWDELRSVVEFAFGPSLL >Manes.06G016750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2769952:2770227:1 gene:Manes.06G016750.v8.1 transcript:Manes.06G016750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLIWATAEDLGRNRGRVISLYRQILRSLNSPSLPLNLAARLAKKAEVRAIFMLASEERSLHNINDLIDTGEYALSILRKGEIPNNIQW >Manes.10G088600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23125949:23128668:-1 gene:Manes.10G088600.v8.1 transcript:Manes.10G088600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRITAQKKATTSRGVARYEKLESSENGIDQAEKDKKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENCELPYQNHGHVILADPSPILFYRIGSSEIRCLVDIPVSQNLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFLSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.07G139000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34128051:34129300:1 gene:Manes.07G139000.v8.1 transcript:Manes.07G139000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMFQYLIPLPINTNPSLLSISTHSLNFFSSIHYTSATTSSIKKMEAFQSWVSKHKLTSIGALWATAVGGSLAYTSRTTPLKPSLRLIHARMHAQALTLAVLSGAAIYHYYEKQGEDNAAAAASGVTNSMTN >Manes.12G031500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2723564:2724601:-1 gene:Manes.12G031500.v8.1 transcript:Manes.12G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLVSFYSYELKTHQNPISESPSPVPDHASPLLAIAVLTIISTAFLLFGYYMVFVNKCCSNWHQLNLLRWVSIWRARRNEDSFIALSPTMWNRGLDESVIREIPTFQYRREGEGRSVYGCVVCLNEFQEQDMLRILPNCSHSFHLDCIDIWLQSNANCPLCRTSISGNNKYPIDQIIAPSSSPQGSQPYTDSLMGGDEDFVVIELGGEEVEGALLPQRQQERHVSREIQMQLRDQSPLKMEQKRGKLKTRKRHHLSIMGDECIDIREKDDQFSVVQPIRRSFSLDSAVNRQLYVDVQTTIQQNTHQGRIINNEESSNRVQRSIFPFGHNWVSRKTVHPIEFEP >Manes.01G029900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5951074:5953414:1 gene:Manes.01G029900.v8.1 transcript:Manes.01G029900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDLDLNEIERATAIAAAAYAISSLEESSIPEQKKISKGTETSLPGTKSRKKGEDSMRIPEIQDEKTPAKVVRPTPSMKKTPTFPEKPALPMKKIPTFAEEQLSRTDEIQPQSPKPKPDMPAKKPEAAVSKPDQAATTKPAASPAKFDRQTSIRPVIGGTKADTWESAELAKIKKKYEEMEARILSWESKRKAKSRRRLDRTESEVEKTRLKALEKFRSEVVDINEIAEGARSKAMENRRNEELKAKDKANKIRVTGKVPTACFCF >Manes.01G029900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5951074:5953414:1 gene:Manes.01G029900.v8.1 transcript:Manes.01G029900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDLDLNEIERATAIAAAAYAISSLEESSIPEQKKISKGTETSLPGTKSRKKDSMRIPEIQDEKTPAKVVRPTPSMKKTPTFPEKPALPMKKIPTFAEEQLSRTDEIQPQSPKPKPDMPAKKPEAAVSKPDQAATTKPAASPAKFDRQTSIRPVIGGTKADTWESAELAKIKKKYEEMEARILSWESKRKAKSRRRLDRTESEVEKTRLKALEKFRSEVVDINEIAEGARSKAMENRRNEELKAKDKANKIRVTGKVPTACFCF >Manes.01G029900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5950531:5953413:1 gene:Manes.01G029900.v8.1 transcript:Manes.01G029900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDLDLNEIERATAIAAAAYAISSLEESSIPEQKKISKGTETSLPGTKSRKKDSMRIPEIQDEKTPAKVVRPTPSMKKTPTFPEKPALPMKKIPTFAEEQLSRTDEIQPQSPKPKPDMPAKKPEAAVSKPDQAATTKPAASPAKFDRQTSIRPVIGGTKADTWESAELAKIKKKYEEMEARILSWESKRKAKSRRRLDRTESEVEKTRLKALEKFRSEVVDINEIAEGARSKAMENRRNEELKAKDKANKIRVTGKVPTACFCF >Manes.01G029900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5950531:5953413:1 gene:Manes.01G029900.v8.1 transcript:Manes.01G029900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLIRQTNRFSGQQSIAGPGSSSSRDRRIPPQKTPSIKEDKKRPQNWFRRQTAWQMNRDLDLNEIERATAIAAAAYAISSLEESSIPEQKKISKGTETSLPGTKSRKKGEDSMRIPEIQDEKTPAKVVRPTPSMKKTPTFPEKPALPMKKIPTFAEEQLSRTDEIQPQSPKPKPDMPAKKPEAAVSKPDQAATTKPAASPAKFDRQTSIRPVIGGTKADTWESAELAKIKKKYEEMEARILSWESKRKAKSRRRLDRTESEVEKTRLKALEKFRSEVVDINEIAEGARSKAMENRRNEELKAKDKANKIRVTGKVPTACFCF >Manes.01G029900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5950531:5953413:1 gene:Manes.01G029900.v8.1 transcript:Manes.01G029900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDLDLNEIERATAIAAAAYAISSLEESSIPEQKKISKGTETSLPGTKSRKKGEDSMRIPEIQDEKTPAKVVRPTPSMKKTPTFPEKPALPMKKIPTFAEEQLSRTDEIQPQSPKPKPDMPAKKPEAAVSKPDQAATTKPAASPAKFDRQTSIRPVIGGTKADTWESAELAKIKKKYEEMEARILSWESKRKAKSRRRLDRTESEVEKTRLKALEKFRSEVVDINEIAEGARSKAMENRRNEELKAKDKANKIRVTGKVPTACFCF >Manes.01G029900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5950531:5953413:1 gene:Manes.01G029900.v8.1 transcript:Manes.01G029900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLIRQTNRARFSGQQSIAGPGSSSSRDRRIPPQKTPSIKEDKKRPQNWFRRQTAWQMNRDLDLNEIERATAIAAAAYAISSLEESSIPEQKKISKGTETSLPGTKSRKKGEDSMRIPEIQDEKTPAKVVRPTPSMKKTPTFPEKPALPMKKIPTFAEEQLSRTDEIQPQSPKPKPDMPAKKPEAAVSKPDQAATTKPAASPAKFDRQTSIRPVIGGTKADTWESAELAKIKKKYEEMEARILSWESKRKAKSRRRLDRTESEVEKTRLKALEKFRSEVVDINEIAEGARSKAMENRRNEELKAKDKANKIRVTGKVPTACFCF >Manes.01G029900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5950531:5953413:1 gene:Manes.01G029900.v8.1 transcript:Manes.01G029900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLIRQTNRFSGQQSIAGPGSSSSRDRRIPPQKTPSIKEDKKRPQNWFRRQTAWQMNRDLDLNEIERATAIAAAAYAISSLEESSIPEQKKISKGTETSLPGTKSRKKDSMRIPEIQDEKTPAKVVRPTPSMKKTPTFPEKPALPMKKIPTFAEEQLSRTDEIQPQSPKPKPDMPAKKPEAAVSKPDQAATTKPAASPAKFDRQTSIRPVIGGTKADTWESAELAKIKKKYEEMEARILSWESKRKAKSRRRLDRTESEVEKTRLKALEKFRSEVVDINEIAEGARSKAMENRRNEELKAKDKANKIRVTGKVPTACFCF >Manes.01G029900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5950531:5953413:1 gene:Manes.01G029900.v8.1 transcript:Manes.01G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLIRQTNRARFSGQQSIAGPGSSSSRDRRIPPQKTPSIKEDKKRPQNWFRRQTAWQMNRDLDLNEIERATAIAAAAYAISSLEESSIPEQKKISKGTETSLPGTKSRKKDSMRIPEIQDEKTPAKVVRPTPSMKKTPTFPEKPALPMKKIPTFAEEQLSRTDEIQPQSPKPKPDMPAKKPEAAVSKPDQAATTKPAASPAKFDRQTSIRPVIGGTKADTWESAELAKIKKKYEEMEARILSWESKRKAKSRRRLDRTESEVEKTRLKALEKFRSEVVDINEIAEGARSKAMENRRNEELKAKDKANKIRVTGKVPTACFCF >Manes.14G053100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4502935:4508580:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYENSPGKVLDWSERLTVLIGVAKAVHFLHTGVIPGFFNNRLKTNNILLNEHGNAKLSDYGLSIISEEIVNCREGGEGFKSWQMARLEDDVYGFGFILLESLVGPSVSGRKDKLLLDELASCDSPDGRRKLISPIVLATCSQESLSIVLSITNKCICSESWSRPSFEDILWNLQYAAQIQATADGSKI >Manes.14G053100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4502683:4508652:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYENSPGKVLDWSERLTVLIGVAKAVHFLHTGVIPGFFNNRLKTNNILLNEHGNAKLSDYGLSIISEEIVNCREGGEGFKSWQMARLEDDVYGFGFILLESLVGPSVSGRKDKLLLDELASCDSPDGRRKLISPIVLATCSQESLSIVLSITNKCICSESWSRPSFEDILWNLQYAAQIQATADGSKI >Manes.14G053100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4502935:4508580:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYENSPGKVLDWSERLTVLIGVAKAVHFLHTGVIPGFFNNRLKTNNILLNEHGNAKLSDYGLSIISEEIVNCRVEKALNHGKWQD >Manes.14G053100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4502935:4508580:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYENSPGKVLDWSERLTVLIGVAKAVHFLHTGVIPGFFNNRLKTNNILLNEHGNAKLSDYGLSIISEEIVNCREGGEGFKSWQMARLEDDVYGFGFILLESLVGPSVSGRKDKLLLDELASCDSPDGRRKLISPIVLATCSQESLSIVLSITNKCICSESWSRPSFEDILWNLQYAAQIQATADGSKI >Manes.14G053100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4504658:4508580:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYGNF >Manes.14G053100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4504658:4508580:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYGNF >Manes.14G053100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4502935:4508580:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYENSPGKVLDWSERLTVLIGVAKAVHFLHTGVIPGFFNNRLKTNNILLNEHGNAKLSDYGLSIISEEIVNCRVEKALNHGKWQD >Manes.14G053100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4502722:4508580:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYENSPGKVLDWSERLTVLIGVAKAVHFLHTGVIPGFFNNRLKTNNILLNEHGNAKLSDYGLSIISEEIVNCREGGEGFKSWQMARLEDDVYGFGFILLESLVGPSVSGRKDKLLLDELASCDSPDGRRKLISPIVLATCSQESLSIVLSITNKCICSESWSRPSFEDILWNLQYAAQIQATADGSKI >Manes.14G053100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4502683:4508651:-1 gene:Manes.14G053100.v8.1 transcript:Manes.14G053100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCSWFLLSCLLWSSLILGSHQLQSSQRQVLLQLRKHLEFPNLLEIWNDHGIDFCYISSSSQVNMSCQDNFVTELRITGDKPAKFDNSVGRVIPNQTLSENFSMDSFVVTLARLNSLRVLSLISLGLWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISAMVKLQTLILDDNFFNDTVPNWFDSLSNLKILRLRNNQLKGPFPSSIQRINSLTYLVLSSNEISGKLPSLDALSNLHLLDLSGNHLDSKLPSMPKGLVTVVLSNNSFSGEIPHQYSQLGQLQYLDMSFNELSGTPPATIFTLPNISYLNLASNMLSGSLPDQLSCGSKLQFVDISNNSFTGRLPHCLRTESDDRVVKFDGNCLSTGLHHQRAESSCMVMPVKHKNSGGKDVGIVVGVAAGILVIVVLLAFGFLVLCRRYCPRGISEQHLLHKAVQENPAAGFPSDILNSARFISQAAKLGTQDLPACRSFALEELKEATKNFDNSTILGEGSYGKLYKGRLEDGTQVAIRCLPSSKKYSFRNLKLRLDLLAKLRHPHLVCLLGHCIDSGGQDDYRVNRVFLIFEYISNGSFHTRLYENSPGKVLDWSERLTVLIGVAKAVHFLHTGVIPGFFNNRLKTNNILLNEHGNAKLSDYGLSIISEEIVNCREGGEGFKSWQMARLEDDVYGFGFILLESLVGPSVSGRKDKLLLDELASCDSPDGRRKLISPIVLATCSQESLSIVLSITNKCICSESWSRPSFEDILWNLQYAAQIQATADGSKI >Manes.17G038600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20734550:20735551:-1 gene:Manes.17G038600.v8.1 transcript:Manes.17G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRRGSSSAVWAGDDWGSVGQELKRARNHNTIIERQRLLGEEMGAREVTVKITKRELEALMERVEMQGLSMEQVLVKLINSGDNFEILEFEDHQHHHHHRSWKPALQSIPEVN >Manes.09G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8480809:8482078:1 gene:Manes.09G049900.v8.1 transcript:Manes.09G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSFQTSNTKRLEGLVAIITGGASGIGECCAKVFVKQGAKVVIADVQDELGHSLCRQLGTEETISYIHCDVSCDSDVQNAVDLAVSRYGKLDIMFSNAGISGKMESSILSTEYDDIKRVFDVNVYGAFLAAKHAARVMIPAKKGCIIFTASSATVTCTQAPHPYVASKHALVGLAKNLCVELGQCGIRVNSISPHMVITPLVRKTMNMTVKELEDMISATANLKESVFEGEDVAEAAVYLGSDESKYVSGINLVIDGGFSLTNPSFAFAMKGLIS >Manes.02G000900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:135567:139342:1 gene:Manes.02G000900.v8.1 transcript:Manes.02G000900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSILLEIFKRPTLGDALADLVMFMVPLWIAVIAGVLVGWAWKPKWANLRRVMDSSITLDCANTVASRASSSVPAFNPLKIQLPSFISWIADDGIQKETSPAPPNLTDDCSSSQLEKDKSSIVNEDDLEFLCQLVEDKDGGPAWIQMMDRSSPTMSYQAWRRDPETGPTQYRTRTVFEDATPEMVRDFFWDDESRLKWDDMVIHAAILEECPTTGSMVVQWVRKFPFFCSDREYIIGRRIWESGRAYYCITKGVPCFSVPRRSKPRRVDLFYSSWCIRAAVESKRGDGQLTACEVLLFHYEDMGIPSEIAKLGIRHGMWGAVKKIEPGLRAYQKLRAAGGPLSRCAFMAQINTKVNAEYLRSLEISSSSYSSEVQTQDSCSKKPGGNLPRLLVVGGAIALACTLDRGLLTKAVIFGVARRFAKIGRRL >Manes.02G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:135567:139342:1 gene:Manes.02G000900.v8.1 transcript:Manes.02G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSILLEIFKRPTLGDALADLVMFMVPLWIAVIAGVLVGWAWKPKWANLRRVMDSSITLDCANTVASRASSSVPAFNPLKIQLPSFISWIADDGIQKETSPAPPNLTDDCSSSQLEKDKSSIVNEDDLEFLCQLVEDKDGGPAWIQMMDRSSPTMSYQAWRRDPETGPTQYRTRTVFEDATPEMVRDFFWDDESRLKWDDMVIHAAILEECPTTGSMVVQWVRKFPFFCSDREYIIGRRIWESGRAYYCITKGVPCFSVPRRSKPRRVDLFYSSWCIRAVESKRGDGQLTACEVLLFHYEDMGIPSEIAKLGIRHGMWGAVKKIEPGLRAYQKLRAAGGPLSRCAFMAQINTKVNAEYLRSLEISSSSYSSEVQTQDSCSKKPGGNLPRLLVVGGAIALACTLDRGLLTKAVIFGVARRFAKIGRRL >Manes.12G003700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:548646:549410:1 gene:Manes.12G003700.v8.1 transcript:Manes.12G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPMARCYLLLLVFVSLLSSVAEARVITLQNKCKATIWPAFQVGSELDNVHGEVDGGFELKPGELVNVTAEYYAIVWGRNGCLFNQSGHGTCVTGDCGGVLRCGEASQSMIPVLPVTYAMIYLHEFLPDFYAVSVHNGFNLPISILPYGNPAAECEASSCLTNLNQICPSELQVRSNGSIVACKSPCVAFNKPEFCCIGSRPHIPEYPEIDDCKPTNYSVVFQAACPTAVTSANLELSKASVCWKANYLISFC >Manes.01G165400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34938313:34942181:1 gene:Manes.01G165400.v8.1 transcript:Manes.01G165400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSMLLCSMHSNSSRKKKKQQSHQSDLEIEGNDLGLFYSFPFDREPAVVKSPRFNLRSDHIPSRGELKPKKKGEMANKVSNFSDLIQRVAASCLLHPLASARQDSGNVADVYEYETDEREDFEQEEEEAVEEEEMEEKKGSVKGWDHDKNKNKNAMVAIERVIEMEMLMNEVFNSVSEMKRAYACLQEAHCPWDPERMRVADVAVVGELRRLGVLRERFRRCVSVGGRGGRKRTDGSGSVGMLREVVGPYEAAVEELQKEVKSREVEVENLKEKLKNLSTSLNNGSGKKGRSQSKRKVSCSLAAQVAAAPAPDLFEATMNQVKESLKSFTSLLLSLMRAAHWDIAAAVRSIEAAAATVNHSTAISSTNITHHAKYALESYISRKIFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYRDMKAMDPVELLGILPTCHFGKFCFRKYVAIVHPKMESSLLGNLEQRQQVLAGSHPRSQFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAEFHPQYMESVVKFYGGQIPAGQIVGFPVSPGFKLGNGSVIKARVYLVPRT >Manes.01G165400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34939646:34942181:1 gene:Manes.01G165400.v8.1 transcript:Manes.01G165400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVSNFSDLIQRVAASCLLHPLASARQDSGNVADVYEYETDEREDFEQEEEEAVEEEEMEEKKGSVKGWDHDKNKNKNAMVAIERVIEMEMLMNEVFNSVSEMKRAYACLQEAHCPWDPERMRVADVAVVGELRRLGVLRERFRRCVSVGGRGGRKRTDGSGSVGMLREVVGPYEAAVEELQKEVKSREVEVENLKEKLKNLSTSLNNGSGKKGRSQSKRKVSCSLAAQVAAAPAPDLFEATMNQVKESLKSFTSLLLSLMRAAHWDIAAAVRSIEAAAATVNHSTAISSTNITHHAKYALESYISRKIFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYRDMKAMDPVELLGILPTCHFGKFCFRKYVAIVHPKMESSLLGNLEQRQQVLAGSHPRSQFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAEFHPQYMESVVKFYGGQIPAGQIVGFPVSPGFKLGNGSVIKARVYLVPRT >Manes.18G144913.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21700663:21706168:-1 gene:Manes.18G144913.v8.1 transcript:Manes.18G144913.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAVDEEVESHAPSEAAAPAAAPPPAAAGGPGQDALFQQIAELLRRVTQNVPEVPPPPPVAVQVPPPVVAQAQSRPPIEKLRKYGATDFRGKKEDDPSAAEFWLESTERVLQQLQCSPAESLMCAVSLLKDEAYRWWTTLTQMVRPERQTWEFFLAEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCKRFEQGLHADIRMYLTAMHIRELSVLVETAHSLERIKEEEQSRKQKGQQKRSQSQYQGQSSASQTTSKRQREFQQTGQRGPPRQIQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPMQTERSMPTGSRGRGRGRGESSNAQSHRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAGEGTSKEKEIARE >Manes.04G114650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31775070:31781319:1 gene:Manes.04G114650.v8.1 transcript:Manes.04G114650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWIVFVRVNGVKVTGNGEIHGKGFEWWRCAVKSKCMRPMGMKFHSCNNIEISGLKIFNTPEKFLSVSDSNHVIISNLQIKNPKTSPNTDGMDLTHSTNVYVRDSHISTGDDCIAILSGCSRIFISGISCIQGHGISIGSMGHNGQYDTVEEVHVRNCKFGSSLNGAHIKTWQGGSGFVRKVTFQDITLHNTSNPINIDQFYCPGGKCPNQTRAVQLSDISFIGFRGTSFTETAINIACSQSLGCSNIFLDHINIISSDPHKKVHSNCFNARGRSTNTFPAEFLLILLVVALASWRPTTEASTADVMQHGAAGDGKTDDSKAFLKAWENICNGDDATAAILIIPAERTFLLKPVKFEGPCKSSTINVQALTFSACNNLQLSGLSHVNSQSAHIHITSSNSVLVSNLYIIAPASSPNTDGIDISHSTDAWIHDSTIGTGDDCIAIGGDSSNIKITGVTCGPGHGISIGSLGHNGNTDIVEEVHVKDCILKRTTNGVRIKTWQGGSGYARKISFENITLDAVANPIIIDQFYCDHKFKCKNQTSAVKVSNVIYKGVHGTSITEDSIKLMCSQSISCSDIVLSDINIVSANSGKPTHAFCSNAYGTNSLSNPLVNCLSIPLTYEGCHPPENCLPPSQSTKFLDFHNVYLFFLSFLLCFSFLNPL >Manes.04G027600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3303288:3304496:1 gene:Manes.04G027600.v8.1 transcript:Manes.04G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQSSSSRAPDGEHFTTQPIQQQAIYSPRNLRVYTSGGRLPLYFRPYNPLRRDYRETLRARLASEKLVHLIPVVLLLCLFILWWFSYPVNLIIKDGKIVEVQPVEMPPPFR >Manes.04G027600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3303288:3304496:1 gene:Manes.04G027600.v8.1 transcript:Manes.04G027600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRQSSSSRAPDGEHFTTQPIQQQAIYSPRNLRVYTSGGRLPLYFRPYNPLRRDYRETLRARLASEKLVHLIPVVLLLCLFILWWFSYPAMFNKYFLLLKAVNLIIKDGKIVEVQPVEMPPPFR >Manes.02G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7398775:7407240:1 gene:Manes.02G094600.v8.1 transcript:Manes.02G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRNFTTATATRALKEKKWDALVIGAGHNGLTAAAYLAKAGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSVIKELELKRHGLKLLKRNPSSFTPCLDGRYLLLGPDKNLNHSEISKFSIRDADAYPRYENQLENFSKFMDPLLDSPPPESLQGVSSLKDRFKDNIQKSAFWARCLRRALSIGQTDMVDFMNLLLSPASKVLNNWFETDVLKATLATDAVIGTTGSVHTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSCAIGNAAREAGAHIITSAEVSEVIINGSGEVNGVLLADDTEVLSSVVLSNATPYRTFMELVPNNVLPEDFIRAVKYSDYSSATTKINIAVDKLPQFQCCKMSHPDAGPQHMGTIHIGSENMEEIHLACEDAINGLPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYSPSDGSWGDPSYRESFSKRCFNLIEEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYRTPLRGLYLCGSGSHPGGGVMGAPGRNAAHVVLQDVKTQ >Manes.04G058328.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14488477:14489779:1 gene:Manes.04G058328.v8.1 transcript:Manes.04G058328.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDIKNYDLPNIEENQTHQSIEVIALATATYGVAASIMPRGRTAPSQFNIPLSPTESSMCGISKQSGQAKLLRTEKLITWVEAPMAKRLTIEIVDRCLRDIMDTSQPFEGKVLPVVPKVLRQESVSASLVKSYLWSKMKVLKLTTNIRTRTDPYFGEFILKVGNGEELEIKMSNIRIPEEMIMKKNAKLAQYMTNRAILATKNEYVDSLNENMINMNPNPSNGLCNGIRMVCRSFGKNIIHAKITGQTISNVGVYLSQDFFFYGQLYVTLLRGVSLSTTKVLVKSGNKRKKKQTYTKKHNI >Manes.13G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4489865:4493683:-1 gene:Manes.13G037200.v8.1 transcript:Manes.13G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKTFAMPQSFSPLCSVKVERNLLFFSSISPQNPVCISTSCTSTPEFVSPSPMYCGRGRKPLSCFAVSRSSDTEPDPETSTKPKAKPKTRSHHPEALDSQKEDEKPDTIFPTTIPRKPRRGRRSEAVAVEDFVRDSLERTFASIRQQNPEVLENQENIMKDRVKGNLDSETTDEDKDEDDGDNEYEDKQDVARGKRKKKKMVVEEEDPDWPLDADVGWGIRASDYFEKHAIKNVMGEDGLEIDWEGEMDDNWVKEINCLEWESFAFHPSPLIVLVFERYNRATDNWKTLKELEKAVKVYWGAKDRLPPRTVKIDINIEKDLAYALKVKECPQILFLRGNRIIYREREFRTSDQLVQIIAHFYYNAKKPSCVNDAALFPHY >Manes.15G000100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:487487:493485:-1 gene:Manes.15G000100.v8.1 transcript:Manes.15G000100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKHLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIENIIACKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFQKLVEEGKIAPVKEIKPPQIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLSPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Manes.15G000100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:487333:493490:-1 gene:Manes.15G000100.v8.1 transcript:Manes.15G000100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKHLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIENIIACKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFQKLVEEGKIAPVKEIKPPQIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLSPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Manes.15G000100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:487505:493443:-1 gene:Manes.15G000100.v8.1 transcript:Manes.15G000100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKHLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIENIIACKLYRPGSVGFVSKSGGMSNELYNTIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVTKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFQKLVEEGKIAPVKEIKPPQIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLSPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Manes.12G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3737631:3743414:-1 gene:Manes.12G042700.v8.1 transcript:Manes.12G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLRCFCIFSLMILVLFVNVKASTERSQLKSRLEEKQLLQSSKNSSMAVDRSGDAWNEHAVEDPEEVASMVVESIHNSTARRNLGFFSCVTGNPIDDCWRCDPNWQRNRKRLADCGIGFGRNAIGGRDGRYYVVTDPRDDDPVNPKPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPTHYGWRTMADGDGISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPNNGFAKEVTKRVETANGVWKHWNWRSEGDLMLNGAYFTPSGAGASASYARASSLGAKSSSMVGAITSSAGALFCRRGHQC >Manes.04G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30922726:30929410:1 gene:Manes.04G104300.v8.1 transcript:Manes.04G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSSWDALRKQARKLEAQLDEQMNSYRKLVSAKASTKVATAVNDLESGLDQLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFHRLRSSLRAKQQHASLLEDFREFDRARLELEDGVGSAEQAFLREQASISRSTGQMDNVVSQAQETLGALVLQRSTFGGINSKLSNVSSRLPTVNHIVNAIKRKKSMDATILSLVASMCTFLIFIYWLTK >Manes.04G104300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30923067:30926749:1 gene:Manes.04G104300.v8.1 transcript:Manes.04G104300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSSWDALRKQARKLEAQLDEQMNSYRKLVSAKASTKVATAVNDLESGLDQLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFHRLRSSLRAKQQHASLLEDFREFDRARLELEDGVGSAEQAFLREQASISRSTGQMDNVVSQAQETLGALVLQRSTFGGINSKLSNVSSRLPTLINYWRHL >Manes.02G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:621632:627120:-1 gene:Manes.02G005400.v8.1 transcript:Manes.02G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHHSQKLDLSFISKGIGSVVPTTPLVTFLEHVQETAQSTFVDRHFDPKRYVDLSLKYNLSTTEKAFDELPRTENGTVSVNDLEDFLTMYFLDAGNDTVYYDPPDFLPKPDGFLPRVENPEVRAWALEVHSLWKNLSTKVSDEVRKQPELHTLLPLPAPGVVPGSRFREVYYWDSYWVIRGLLASKMYETAKAIVTNLIYLVNTYGYALNGARAYYTNRSQPPLLSAMVCGIYDRNGDMELAKKALSVLIKEHQFWNSEIHKVTIQDAQGINHTLSRYYAMWNKPRPESSAKDKRYASKLLNDSEKQQFYRELASAAESGWDFSTRWMRNPSEFTTLATTSILPVDLNVFILKMELDIAFLAKETGEEGIAESFLEAAQARKRAINSVFWNEKMGQWLDYWLPTGTICQETQIWNACNQNPNVFASNFSPLWIDVFNSDGDLVKRIMRSLQNSGLLCAAGIATSLTNSGEQWDFPNGWAPLQHIIVEGLTRSGLQEAKSLAEDIAMRWIKTNYVTYKKTGAMHEKYNVEKCGEFGGGGKYLPQTGFGWSNGVALAFLEQFGWPQNHKIDC >Manes.09G187000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37386045:37390002:1 gene:Manes.09G187000.v8.1 transcript:Manes.09G187000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFFLLFFFIFIFYVSSLYIMPPTKQYLLAAFSNFSSFYTSPSGFFTICSGTMLCLSREQMALPLVLLLLFFSVRVECNLSLFDRIVQLPGQPQVGFQQYSGYVTVDKKNQRALFYYFAEAETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPRGQVLVKNQFSWNREANMLYLETPVGVGFSYSTDTSSYADVNDKITARDNLVFLQKWFIKFPQYRNRSLFLTGESYAGHYVPQLADLMIEFNKKQKLFNLKGIAIGNPVLEFATDFNSRAEFFWSHGLISDTTYKMFTSFCNYSRYVSEYYRGSVSPICSRVMGQVSRETSRFVDKYDVTLDVCISSVLSQSKALSPQQVADNIDVCVEDETVNYLNRLDVQMALHARLVGVRQWTVCSNILDYELLDLEIPTIHTVGRLVMAGIPVLVYRTVGIKILLSH >Manes.09G187000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37386045:37390002:1 gene:Manes.09G187000.v8.1 transcript:Manes.09G187000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFFLLFFFIFIFYVSSLYIMPPTKQYLLAAFSNFSSFYTSPSGFFTICSGTMLCLSREQMALPLVLLLLFFSVRVECNLSLFDRIVQLPGQPQVGFQQYSGYVTVDKKNQRALFYYFAEAETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPRGQVLVKNQFSWNREANMLYLETPVGVGFSYSTDTSSYADVNDKITARDNLVFLQKWFIKFPQYRNRSLFLTGESYAGHYVPQLADLMIEFNKKQKLFNLKGIAIGNPVLEFATDFNSRAEFFWSHGLISDTTYKMFTSFCNYSRYVSEYYRGSVSPICSRVMGQVSRETSRFVDKYDVTLDVCISSVLSQSKALSPQQVADNIDVCVEDETVNYLNRLDVQMALHARLVGVRQWTVCSNILDYELLDLEIPTIHTVGRLVMAGIPVLVYSGDQDSVIPLTGSRTLVQRLAEELKLKTSVPYRVWFEGQQVGGWTQVYGNILSFATIRRASHEAPFSQPERSFVLFKAFLQRQPLPEAF >Manes.13G133000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34180706:34182239:1 gene:Manes.13G133000.v8.1 transcript:Manes.13G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICNSCESTHVATAKLILLDGRLQEFSNPVKVSYVLQKNPTYFICNADEMDFDDVVSAINDDEELQPGQLYFALPLSRLKHPLQPEEMAALAVKASSALMKSNNNGSDKCGCRRKSVSPFVFSGESDGKSTRSMTASAATGIGGGSGRGLRGGGGRRKFTAMLSAIPE >Manes.04G156500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35140445:35146105:-1 gene:Manes.04G156500.v8.1 transcript:Manes.04G156500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALENKETIQRSCEASLKCLQTNGFPYGNSIDGFPELKEETSAHSGGDVVEPVHSISSEFLELPSEFHSKPTYHHDFGSWSTFYPDSQKVQQCQMNCFEGQFYPFPMETRFQYAPFNMYSQGCPYEFHFQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNSNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFHEVFGGVRCNLKEAVEMAGLAWQGRAHCGLDDAKNTARLLALLMRRGIRFSITNSLMWQTTDGSLPWKPSPDHLSFSPHQPYKLKEMHIPVFQYHPFCYCGVKSSKGMVRKPGPKQGSLFFGCGNWTATRGALCHYFEWASP >Manes.04G156500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35140265:35146105:-1 gene:Manes.04G156500.v8.1 transcript:Manes.04G156500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALENKETIQRSCEASLKCLQTNGFPYGNSIDGFPELKEETSAHSGGDVVEPVHSISSEFLELPSEFHSKPTYHHDFGSWSTFYPDSQKVQQCQMNCFEGQFYPFPMETRFQYAPFNMYSQGCPYEFHFQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNSNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFHEVFGGVRCNLKEAVEMAGLAWQGRAHCGLDDAKNTARLLALLMRRGIRFSITNSLMWQTTDGSLPWKPSPDHLSFSPHQPYKLKEMHIPVFQYHPFCYCGVKSSKGMVRKPGPKQGSLFFGCGNWTATRGALCHYFEWASP >Manes.15G191550.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28515338:28516546:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGHPPGGHPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28513456:28517206:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGYPPGGYPPGGHPPGGHPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28515338:28516546:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGYPPGGHPPGGHPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28515338:28516546:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28515338:28516546:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGYPPGGYPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28513456:28517206:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGYPPGGYPPGGHPPGGHPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28513456:28517206:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGYPPGGYPPGGYPPGGHPPGGHPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28515338:28516546:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGHPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28513456:28517206:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGYPPGGYPPGGYPPGGYPPGGYPPGGHPPGGHPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.15G191550.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28513456:28517206:-1 gene:Manes.15G191550.v8.1 transcript:Manes.15G191550.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKDKHDDHDKGLFGHGHGYGHGVPGYPPGQYPPGSYPPQGYPPAGYPQGGYPPGGYPPGGYPPGGHPPGGHPPGGYPPAGYPPSGYPPAGYPPGPSAPHHSGPGGMGAMLAGGAAAAAAAYGAHHLSHGAHNPGGHFGYGHGKLKHHGGKFKHGKHGKGKHGKFGGKHGMFGGKFKKWK >Manes.14G167200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:26835019:26836305:1 gene:Manes.14G167200.v8.1 transcript:Manes.14G167200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNNLNYSPSSSSSTPHPSTAAAAATPAADPMQSWWESVSKARARILSLSSLLHSDPTSSFSLSSLADSDRPALSLLSSFDAYTLLSSALSSSSSGSGSDPLCQWLYETYLSSDPHLRLIVLSFLPLLLGLYLSRIHSSDSVSTPSLAGFEAVLLAIYSSEVKSRAGKPVLIQIPDLAQPSLYHTPRNKQNSHGFDNSRPSVGVLSPPLEPQIAVKSTKRPVIVGVALDCYFKQISQMPSWSKVELCMYASAWAGQDCACKDKLDGDKEVEIQNGHGNEVNGGYFLEGRSLSNGHERNGHEIDDVVEEMEKLEIERNGTEVSESKGVRIPLPWEILQPLLRIMGHCLLGPLNSQDVKDAASRAVRRLYARGTHDLAPQAILATRSLIQLDKRAREVAKAAAAAAVNSSSNANTPSKAKKPEIFLVSK >Manes.14G028400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2569028:2572631:-1 gene:Manes.14G028400.v8.1 transcript:Manes.14G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAQSTQYHATSKPSKGLAVNVRLFFKKKNLFSLALILFLCIFSYLFGLWQKTSGGFTPSTTTTTISIPCNTANTKQEELLDFGSHHTADDVGTTVASEVKIYPPCNVNFSEYTPCEDAHRSLRFSRRSLIYRERHCPEKDEKIKCRIPAPYGYKNPFAWPASRSFAWYANVPHKHLTVEKAVQNWIRYEGDRFRFPGGGTMFPNGADAYIDDIGRLINVKDGSIRTAIDTGCGVASWGAYLLSRNILTMSFAPRDTHEAQVQFALERGVPALIGVLASNRLPYPSRAFDMAHCSRCLIPWADLGGLYLIEVDRVLRPGGYWILSGPPIRWKKYWKGWERTKEDLKAEQTKIENVAKSLCWKKLVEQGDIAIWQKPLNHLNCKVNRKITQNPTFCPSDQDPDKAWYTNMETCLSNLPEVSNNEEVAGGELKKWPERLNAIPPRISRGTVEGVTEEIFQNDIKLWNRRLTYYKTVNNQFGQAGRYRNLLDMNAYLGGFAAALIDDPVWVMNVVPVQAKVNTLGVIYERGLIGTYQDWCEAMSTYPRTYDLIHAASVFSLYQDRCEMEDILLEMDRILRPEGSVIFRDDVDTLVKIKRITDGLNWDSQIVDHEDGPLQREKLLFAVKSYWTAPAAPDQN >Manes.15G130650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10433062:10433202:1 gene:Manes.15G130650.v8.1 transcript:Manes.15G130650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEILSSSSYMLSLSQSRAGFVSAASAFAFGSCKEGWYYRCLGVDP >Manes.07G062372.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10025079:10027922:-1 gene:Manes.07G062372.v8.1 transcript:Manes.07G062372.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGIFVSIFSDVFKESIMQFVLAPIKQHVSYPFTYKSKVEILQSEAEKLKNRTVKLQQSVEEATRKGEEIYESVNKWLNDAGKAIEEAEECIKGEEQAKKRCFVGLCPDLKIRYQLSKKAEKKAMAIRDLASEDDHDPISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLTMIGLYGLGGVGKTTLAKQVHRKALEEKLFDVVAIVAVGQTPELRRIQSEVGDILGLKFEGEEIPGRANRLYERLKKELEKEKKELEKEKKKVLIILDDIWKQLDLNAVGIPFGDDFKGCKMFLTSRNQDVLSREMGTQKEFRLDVLQDEEAWSLFEMTVSSAKNSKLPPIAAEVAKKCAGLPLLLLIMATDLRNREAYAWSNKLKQLSEFDNAEINSKVHAVLESSYYNLCGNEVKSLFLLCGLIGQSNIQIQSLLKYIMGLSLFKNISTVEEARNKLRDLIDTLKAQSLLLDGDMYGFVKIHDVVRDTALLIASREQHAFIITSGRELMKFPNKDCTRISLQYCDIENLSEGWECPKAEALFLVTKVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLEDLAIIGDLKQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTLEMQIPDDKILPKHLFSNGRLQSFRILIGDNWDWDDNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKHLRVQNNYAIQHIINSTKWAVCDAFPILESLILENLMKLEKIYHGRLTVRSFNKLKILQVRNCQKLTHLFSLSAAKCLLQLQEIEVEDCPKMEAIVIDESENSNEVLEFNRLLFLNLRDLPNFRTFHSKIKAPPKIEKFLSKVEKFLSKVESDTHSLLFSKTVCLY >Manes.09G029200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6186300:6206736:1 gene:Manes.09G029200.v8.1 transcript:Manes.09G029200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGTTESIDSITFSFLTDEEVRNHSFVKISNPRLLDLVERPIPGGLYDPALGPLSERTTCKVCGQRSTNCPGHCGHIDLISPVYNPLLFGFLHKLLQRTCFFCYHFRVQRGQVEKCVKQLELIVKGDIVGAKRLDSVSLNEALYPEETDGSSESCSTIHSGAQHHNVEHMKQQGWTSLQLSEAMSVFNSFLKPKFKKCKNCERANPNITKPTFGWFHTSGMSDASIRANVIRAKKLGGPLNGESEGVMDVEDPAAPPENQDTSRKRHKQKGGQEVLEFIKQKSVFSRQLLPTEVKDVLELLWENEARLCSFITDLQQQGFEKKKAGPSMFFLETILVPPIKFRPPTKGGDSVMEHPQTVLLSKILQSNISLGDAHINKEHSKVVRRWMELQQSINMLFDSKTAKGTGQRDVASGICQLLEKKEGLFRQKMMGKRVNYACRSVISPDPYLGVNEIGIPPCFAVKLTYPERVTPWNIAKLRNAVINGSESHPGATHYVDKLSINKLPPARKARISISRKLPSSRGAVTEPGKSSDCGYEGKIVYRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEVSRAEAYNIVNANNQYVRPSNGEPLRALIQDHIISSVLLTKKDTFLRQDEFTQLLYSTGVSTSAPTTFHGRPGQKVLWSQSEDEIDTIQPAIWKPEPLWTGKQVITAVLNHITKGHPPFTVEKDAKIPYNFFKSRANDYKPCKEKKSGGRGKKSGEDKDHKSVGDTLEKKELGEEKLFIYRNELVRGVIDKAQFGEYGLVHTVHELFGSNTAGILLSVLSRLFTAYLQMHGFTCGVDDLLILKAQDNERKKQLESCEKSGELVHRNFIGIEDENLNIDPVELQLKIENTIRSRDEDSGLSYLDRLMSNELNTKTSSGVIDKLLSDGLVKPSVKNCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFPPWDWAARAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKIGYDHTVRDADGSVIQFFYGEDGVDVHQTGFIAKFKELALNQDMIHKRCGGQLGTFNTYISGLPIALKDKADKFFDDFPLIGRVASNLVKREDLYNLIKQKFLLSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASSDIKTPIMTCPLQMGQTKESAEYLADKLKKITVAEVIESMEVSLLPLATQDSDCCRIYKLEMKLYIPAHYPKYANMSVEDWEETLEVAFVRELEDAIQNHMLLLSRISGIKNFLPDSQSRASNEADEDGSGERSHKAENDDDDEEEDDGEKAEDLGLDAEKRKVRATDEMDYDDGFEDHLNEVESIASEESSGFESEIDQGDNEIDIRKDAVLDNEASESPLQGKPSKPKSKIVAPETPSRGESHSEPKSRDKKKKQKAKRSKGPKLVKKDYDRAIYVETRNMHFEVHFKFTNEPHILLAEIAKNSAKKVYIQNPGKIEQCRVTDCKENQVIYYGENPKERVDIEPKEKEKFPALHVTGVDFNAFWQLQDHLDVRYLYSNNIHAMLRTYGVEAARETLIREINHVFKSYGISVSTRHLSLIADFMTHSGGYRSMSRLGGIAESVSPFSKMSFETASKFIVEAALHGETDELETPSARICLGLPVKMGTGSFDLMQKLEI >Manes.09G029200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6186300:6206736:1 gene:Manes.09G029200.v8.1 transcript:Manes.09G029200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGTTESIDSITFSFLTDEEVRNHSFVKISNPRLLDLVERPIPGGLYDPALGPLSERTTCKVCGQRSTNCPGHCGHIDLISPVYNPLLFGFLHKLLQRTCFFCYHFRVQRGQVEKCVKQLELIVKGDIVGAKRLDSVSLNEALYPEETDGSSESCSTIHSGAQHHNVEHMKQQGWTSLQLSEAMSVFNSFLKPKFKKCKNCERANPNITKPTFGWFHTSGMSDASIRANVIRAKKLGGPLNGESEGVMDVEDPAAPPENQDTSRKRHKQKGGQEVLEFIKQKSVFSRQLLPTEVKDVLELLWENEARLCSFITDLQQQGFEKKKAGPSMFFLETILVPPIKFRPPTKGGDSVMEHPQTVLLSKILQSNISLGDAHINKEHSKVVRRWMELQQSINMLFDSKTAKGTGQRDVASGICQLLEKKEGLFRQKMMGKRVNYACRSVISPDPYLGVNEIGIPPCFAVKLTYPERVTPWNIAKLRNAVINGSESHPGATHYVDKLSINKLPPARKARISISRKLPSSRGAVTEPGKSSDCGYEGKIVYRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEVSRAEAYNIVNANNQYVRPSNGEPLRALIQDHIISSVLLTKKDTFLRQDEFTQLLYSTGVSTSAPTTFHGRPGQKVLWSQSEDEIDTIQPAIWKPEPLWTGKQVITAVLNHITKGHPPFTVEKDAKIPYNFFKSRANDYKPCKEKKSGGRGKKSGEDKDHKSVGDTLEKKELGEEKLFIYRNELVRGVIDKAQFGEYGLVHTVHELFGSNTAGILLSVLSRLFTAYLQMHGFTCGVDDLLILKAQDNERKKQLESCEKSGELVHRNFIGIEDENLNIDPVELQLKIENTIRSRDEDSGLSYLDRLMSNELNTKTSSGVIDKLLSDGLVKPSVKNCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFPPWDWAARAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKIGYDHTVRDADGSVIQFFYGEDGVDVHQTGFIAKFKELALNQDMIHKRCGGQLGTFNTYISGLPIALKDKADKFFDDFPLIGRVASNLVKREDLYNLIKQKFLLSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASSDIKTPIMTCPLQMGQTKESAEYLADKLKKITVAEVIESMEVSLLPLATQDSDCCRIYKLEMKLYIPAHYPKYANMSVEDWEETLEVAFVRELEDAIQNHMLLLSRISGIKNFLPDSQSRASNEADEDGSGERSHKAENDDDDEEEDDGEKAEDLGLDAEKRKVRATDEMDYDDGFEDHLNEVESIASEESSGFESEIDQGDNEIDIRKDAVLDNEASESPLQGKPSKPKSKIVAPETPSRGESHSEPKSRDKKKKQKAKRSKGPKLVKKDYDRAIYVETRNMHFEVHFKFTNEPHILLAEIAKNSAKKVYIQNPGKIEQCRVTDCKENQVIYYGENPKERVDIEPKEKEKFPALHVTGVDFNAFWQLQDHLDVRYLYSNNIHAMLRTYGVEAARETLIREINHVFKSYGISVSTRHLSLIADFMTHSGGYRSMSRLGGIAESVSPFSKMSFETASKFIVEAALHGETDELETPSARICLGLPVKMGTGSFDLMQKLEI >Manes.09G029200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6186300:6206736:1 gene:Manes.09G029200.v8.1 transcript:Manes.09G029200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQGWTSLQLSEAMSVFNSFLKPKFKKCKNCERANPNITKPTFGWFHTSGMSDASIRANVIRAKKLGGPLNGESEGVMDVEDPAAPPENQDTSRKRHKQKGGQEVLEFIKQKSVFSRQLLPTEVKDVLELLWENEARLCSFITDLQQQGFEKKKAGPSMFFLETILVPPIKFRPPTKGGDSVMEHPQTVLLSKILQSNISLGDAHINKEHSKVVRRWMELQQSINMLFDSKTAKGTGQRDVASGICQLLEKKEGLFRQKMMGKRVNYACRSVISPDPYLGVNEIGIPPCFAVKLTYPERVTPWNIAKLRNAVINGSESHPGATHYVDKLSINKLPPARKARISISRKLPSSRGAVTEPGKSSDCGYEGKIVYRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEVSRAEAYNIVNANNQYVRPSNGEPLRALIQDHIISSVLLTKKDTFLRQDEFTQLLYSTGVSTSAPTTFHGRPGQKVLWSQSEDEIDTIQPAIWKPEPLWTGKQVITAVLNHITKGHPPFTVEKDAKIPYNFFKSRANDYKPCKEKKSGGRGKKSGEDKDHKSVGDTLEKKELGEEKLFIYRNELVRGVIDKAQFGEYGLVHTVHELFGSNTAGILLSVLSRLFTAYLQMHGFTCGVDDLLILKAQDNERKKQLESCEKSGELVHRNFIGIEDENLNIDPVELQLKIENTIRSRDEDSGLSYLDRLMSNELNTKTSSGVIDKLLSDGLVKPSVKNCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFPPWDWAARAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKIGYDHTVRDADGSVIQFFYGEDGVDVHQTGFIAKFKELALNQDMIHKRCGGQLGTFNTYISGLPIALKDKADKFFDDFPLIGRVASNLVKREDLYNLIKQKFLLSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASSDIKTPIMTCPLQMGQTKESAEYLADKLKKITVAEVIESMEVSLLPLATQDSDCCRIYKLEMKLYIPAHYPKYANMSVEDWEETLEVAFVRELEDAIQNHMLLLSRISGIKNFLPDSQSRASNEADEDGSGERSHKAENDDDDEEEDDGEKAEDLGLDAEKRKVRATDEMDYDDGFEDHLNEVESIASEESSGFESEIDQGDNEIDIRKDAVLDNEASESPLQGKPSKPKSKIVAPETPSRGESHSEPKSRDKKKKQKAKRSKGPKLVKKDYDRAIYVETRNMHFEVHFKFTNEPHILLAEIAKNSAKKVYIQNPGKIEQCRVTDCKENQVIYYGENPKERVDIEPKEKEKFPALHVTGVDFNAFWQLQDHLDVRYLYSNNIHAMLRTYGVEAARETLIREINHVFKSYGISVSTRHLSLIADFMTHSGGYRSMSRLGGIAESVSPFSKMSFETASKFIVEAALHGETDELETPSARICLGLPVKMGTGSFDLMQKLEI >Manes.09G029200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6186300:6205794:1 gene:Manes.09G029200.v8.1 transcript:Manes.09G029200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEGTTESIDSITFSFLTDEEVRNHSFVKISNPRLLDLVERPIPGGLYDPALGPLSERTTCKVCGQRSTNCPGHCGHIDLISPVYNPLLFGFLHKLLQRTCFFCYHFRVQRGQVEKCVKQLELIVKGDIVGAKRLDSVSLNEALYPEETDGSSESCSTIHSGAQHHNVEHMKQQGWTSLQLSEAMSVFNSFLKPKFKKCKNCERANPNITKPTFGWFHTSGMSDASIRANVIRAKKLGGPLNGESEGVMDVEDPAAPPENQDTSRKRHKQKGGQEVLEFIKQKSVFSRQLLPTEVKDVLELLWENEARLCSFITDLQQQGFEKKKAGPSMFFLETILVPPIKFRPPTKGGDSVMEHPQTVLLSKILQSNISLGDAHINKEHSKVVRRWMELQQSINMLFDSKTAKGTGQRDVASGICQLLEKKEGLFRQKMMGKRVNYACRSVISPDPYLGVNEIGIPPCFAVKLTYPERVTPWNIAKLRNAVINGSESHPGATHYVDKLSINKLPPARKARISISRKLPSSRGAVTEPGKSSDCGYEGKIVYRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEVSRAEAYNIVNANNQYVRPSNGEPLRALIQDHIISSVLLTKKDTFLRQDEFTQLLYSTGVSTSAPTTFHGRPGQKVLWSQSEDEIDTIQPAIWKPEPLWTGKQVITAVLNHITKGHPPFTVEKDAKIPYNFFKSRANDYKPCKEKKSGGRGKKSGEDKDHKSVGDTLEKKELGEEKLFIYRNELVRGVIDKAQFGEYGLVHTVHELFGSNTAGILLSVLSRLFTAYLQMHGFTCGVDDLLILKAQDNERKKQLESCEKSGELVHRNFIGIEDENLNIDPVELQLKIENTIRSRDEDSGLSYLDRLMSNELNTKTSSGVIDKLLSDGLVKPSVKNCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFPPWDWAARAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKIGYDHTVRDADGSVIQFFYGEDGVDVHQTGFIAKFKELALNQDMIHKRCGGQLGTFNTYISGLPIALKDKADKFFDDFPLIGRVASNLVKREDLYNLIKQKFLLSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASSDIKTPIMTCPLQMGQTKESAEYLADKLKKITVAEVIESMEVSLLPLATQDSDCCRIYKLEMKLYIPAHYPKYANMSVEDWEETLEVAFVRELEDAIQNHMLLLSRISGIKNFLPDSQSRASNEADEDGSGERSHKAENDDDDEEEDDGEKAEDLGLDAEKRKVRATDEMDYDDGFEDHLNEVESIASEESSGFESEIDQGDNEIDIRKDAVLDNEASESPLQGKPSKPKSKIVAPETPSRGESHSEPKSRDKKKKQKAKRSKGPKLVKKDYDRAIYVETRNMHFEVHFKFTNEPHILLAEIAKNSAKKVYIQNPGKIEQCRVTDCKENQVIYYGENPKERVDIEPKEKEKFPALHVTGVDFNAFWQLQDHLDVRYLYSNNIHAMLRTYGVEAARETLIREINHVFKSYGISVSTRHLSLIADFMTHSGGYRSMSRLGGIAESVSPFSKMSFETASKFIVEAALHGETDELETPSARICLGLPVKMGTGSFDLMQKLEI >Manes.09G029200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6189307:6206736:1 gene:Manes.09G029200.v8.1 transcript:Manes.09G029200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASIRANVIRAKKLGGPLNGESEGVMDVEDPAAPPENQDTSRKRHKQKGGQEVLEFIKQKSVFSRQLLPTEVKDVLELLWENEARLCSFITDLQQQGFEKKKAGPSMFFLETILVPPIKFRPPTKGGDSVMEHPQTVLLSKILQSNISLGDAHINKEHSKVVRRWMELQQSINMLFDSKTAKGTGQRDVASGICQLLEKKEGLFRQKMMGKRVNYACRSVISPDPYLGVNEIGIPPCFAVKLTYPERVTPWNIAKLRNAVINGSESHPGATHYVDKLSINKLPPARKARISISRKLPSSRGAVTEPGKSSDCGYEGKIVYRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEVSRAEAYNIVNANNQYVRPSNGEPLRALIQDHIISSVLLTKKDTFLRQDEFTQLLYSTGVSTSAPTTFHGRPGQKVLWSQSEDEIDTIQPAIWKPEPLWTGKQVITAVLNHITKGHPPFTVEKDAKIPYNFFKSRANDYKPCKEKKSGGRGKKSGEDKDHKSVGDTLEKKELGEEKLFIYRNELVRGVIDKAQFGEYGLVHTVHELFGSNTAGILLSVLSRLFTAYLQMHGFTCGVDDLLILKAQDNERKKQLESCEKSGELVHRNFIGIEDENLNIDPVELQLKIENTIRSRDEDSGLSYLDRLMSNELNTKTSSGVIDKLLSDGLVKPSVKNCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFPPWDWAARAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKIGYDHTVRDADGSVIQFFYGEDGVDVHQTGFIAKFKELALNQDMIHKRCGGQLGTFNTYISGLPIALKDKADKFFDDFPLIGRVASNLVKREDLYNLIKQKFLLSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASSDIKTPIMTCPLQMGQTKESAEYLADKLKKITVAEVIESMEVSLLPLATQDSDCCRIYKLEMKLYIPAHYPKYANMSVEDWEETLEVAFVRELEDAIQNHMLLLSRISGIKNFLPDSQSRASNEADEDGSGERSHKAENDDDDEEEDDGEKAEDLGLDAEKRKVRATDEMDYDDGFEDHLNEVESIASEESSGFESEIDQGDNEIDIRKDAVLDNEASESPLQGKPSKPKSKIVAPETPSRGESHSEPKSRDKKKKQKAKRSKGPKLVKKDYDRAIYVETRNMHFEVHFKFTNEPHILLAEIAKNSAKKVYIQNPGKIEQCRVTDCKENQVIYYGENPKERVDIEPKEKEKFPALHVTGVDFNAFWQLQDHLDVRYLYSNNIHAMLRTYGVEAARETLIREINHVFKSYGISVSTRHLSLIADFMTHSGGYRSMSRLGGIAESVSPFSKMSFETASKFIVEAALHGETDELETPSARICLGLPVKMGTGSFDLMQKLEI >Manes.06G170100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29492440:29493253:-1 gene:Manes.06G170100.v8.1 transcript:Manes.06G170100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIIRDFDSKAQVALKSQDHLNCFIDRHTRELDQLLEDGPLPFVMQHAAKVSGVRTRVSSLNSLLKSIQLGSDNINLILSVGSPQDKQ >Manes.01G048000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12900721:12904687:1 gene:Manes.01G048000.v8.1 transcript:Manes.01G048000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQMGEVLGSLKALMVLQDDIQINQKQCCLLHNIFTLAFNTIAEEIKQNLKLEEKNTKWKPLEEPLRELHRVFKEGEFYVRRCLDSKDWWGKVVSLHQNKDSVEFHIYNLFSIFPAVIEAIETAGEISGLDQEEMQKKRVMLVKKYDRSWKDPKLFQWRFGKQYLVSREICSQIESAMREDGWQLVEAIKQKIKAGSLTKNEQGLGDVLLKKLNGQLLVNRKLPPSSILLGSQDYQVRRRLGGNSQFKEIQWLGESYALRHFFEDIVPLSSEITNLLSLSHPNIVQYLCGFYDEEKKECFLVMELMSKDLHALMKENSSSRRQVLFPLPIVVDIMLQIARAMEFLHSQKLYVGDLNPTNIFLKPRKCIEGYFHVKVSGFGLTSIENSPSRHASSNNQNAFDPCIWYAPEVLAENEQKGTSSMHKYSEKANVYSFGMLCFELLTGKLPFEDGHLQGDQMSKNIRAGERPLFPSLSPKFLVNLTKKCWHNDPSFRPSFSSICRVLRYIKKFLVMKPSDGQLVMQSPPVDYSELETGFLKKHSEEVNCGVASVSQIPFQMFVYRLIEREKTCLSVKHSEETSDGTSNGGDENTSVVEDPIAQAPAMDARSVGSDMKTVCFDMKSICTEDPDRKIPFDLRSIRSEPSEKKIVLRKLATNVKVKRTSDVA >Manes.01G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12900721:12904687:1 gene:Manes.01G048000.v8.1 transcript:Manes.01G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQMGEVLGSLKALMVLQDDIQINQKQCCLLHNIFTLAFNTIAEEIKQNLKLEEKNTKWKPLEEPLRELHRVFKEGEFYVRRCLDSKDWWGKVVSLHQNKDSVEFHIYNLFSIFPAVIEAIETAGEISGLDQEEMQKKRVMLVKKYDRSWKDPKLFQWRFGKQYLVSREICSQIESAMREDGWQLVEAIKQKIKAGSLTKNEQGLGDVLLKKLNGQLLVNRKLPPSSILLGSQDYQVRRRLGGNSQFKEIQWLGESYALRHFFEDIVPLSSEITNLLSLSHPNIVQYLCGFYDEEKKECFLVMELMSKDLHALMKENSSSRRQVLFPLPIVVDIMLQIARAMEFLHSQKLYVGDLNPTNIFLKPRKCIEGYFHVKVSGFGLTSIENSPSRHASSNNQNAFDPCIWYAPEVLAENEQKGTSSMHKYSEKANVYSFGMLCFELLTGKLPFEDGHLQGDQMSKNIRAGERPLFPSLSPKFLVNLTKKCWHNDPSFRPSFSSICRVLRYIKKFLVMKPSDGQLVMQSPPVDYSELETGFLKKHSEEVNCGVASVSQIPFQMFVYRLIEREKTCLSVKHSEETSDGTSNGGDENTSVVEDPIAQAPAMDARSVGSDMKTVCFDMKSICTEDPDRKIPFDLRSIRSEPSEKKIVLRKLATNVKVKRTSGKPKTPVSAKSSPWSRPGHTLQGSREKPLSMSSPSRSKHRSNGQAVAFEKS >Manes.08G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2825119:2829299:-1 gene:Manes.08G029300.v8.1 transcript:Manes.08G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSLSLWLLPLCSALFFCISSAHFFPARFPSPIVQFQAENQVSVSSPKGFYEEKFFTQILDHFNFNPQSYQTFQQRYLINDTFWGGPEKNSPIFLYTGNEGDIEWFAQNTGFMYDNAPLFKALIVFIEHRFYGKSVPFGGNKDVAYSNGSTLGYLSSTQALADYATLITDLKNNLSATESPVVVFGGSYGGMLAAWFRLKYPHVTIGALASSAPILGFVNLTSPYSFSRIITQDFRSESENCYKVIKGSWQQIEDVASQKGGLKRLRKSFKICKNYISGGALVGWLSTAWVYTAMTDYPTPSNFLNPMPAYPVKQMCKAIDDPTTGNDTLAKLYGAASVYYNYTGTATCFDLDDDSDPHGLGGWSWQACTEMILPTGGSDEESIFPASKWDFNDRATFCKSYFDVEPRPQWIPTEFGGHNIKRVLRRFGSNIIFFNGLRDPWSGGGVLSDISKSIIAIVAEKGAHHVDLRFATSEDPKWLKEVRKKEVKIIAQWLSEYYHDLAHISS >Manes.S037916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1029805:1030427:1 gene:Manes.S037916.v8.1 transcript:Manes.S037916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSEHRRTCDATCLSLCPWWCRPAAWWGVTFLGVVDGRRGCCGFAIPRLGDELGANAFVKALRVLGGGRGDIMPCSILCASGVEAHAAAEWQFPLVAVAHCCSCRCVPLWWLLLLRLWG >Manes.17G050500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24784957:24787224:-1 gene:Manes.17G050500.v8.1 transcript:Manes.17G050500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHQELGSNDEMFQAQCYIYKHMYHYFESMSLKCAVQLGIPDIIHKHGKPITIPELASALQVSPTKVNSLQRVMRMLAHSGFFASAKMHENQEGEEEGYILTTTSSILLRKDSPTSLTTTVLAMLDPALITPWFSLSDCFQGNELTAFETFHGMSFLEYGRQNLEFFNFLKEAMACDSQLVSLILKNHKEMFEGVASLVDVGGGTGTLARALSDAYPHMKCTVLDLPQVVADLPESKSLKFVAGDMFQTIPSADAVLIKSVLHNWSDEACIKILKRCREAIGCTDRGGKVIIIEMVINEKKDESKQLAETKLFADMQMMLVCTGRERNEKEWARLFMDAGFSRYKMTTTCGLNSIIEVYP >Manes.07G119200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32414734:32418569:1 gene:Manes.07G119200.v8.1 transcript:Manes.07G119200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAESSFKQPRWSLQGMSALVTGGTRGIGNATVEELAGLGARVHTCSRNEAELSKCLKEWEAKGFVVTGSVCDVTSRAQREKLIEQVGSLFNGNLNIFVNNVGKYMWKATTEFSAEEFSELLNINFESAYHLCQLAHPLLKVSGAGSIIFISSVAGLVHIGHTGSIYSSAKAAINQLTKNLACEWAKDNIRTNCITPWVIRTSLVENLLDGKELLDKIVSRTPLQRLGEPKEVSSLVAFLCLPAASYITGQIISVDGGLTVNGFDPVMKLD >Manes.07G119200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32414717:32418569:1 gene:Manes.07G119200.v8.1 transcript:Manes.07G119200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAESSFKQPRWSLQGMSALVTGGTRGIGNATVEELAGLGARVHTCSRNEAELSKCLKEWEAKGFVVTGSVCDVTSRAQREKLIEQVGSLFNGNLNIFVNNVGKYMWKATTEFSAEEFSELLNINFESAYHLCQLAHPLLKVSGAGSIIFISSVAGLVHIGHTGSIYSSAKAAINQLTKNLACEWAKDNIRTNCITPWVIRTSLVENLLDGKELLDKIVSRTPLQRLGEPKEVSSLVAFLCLPAASYITGQIISVDGGLTVNGFDPVMKLD >Manes.14G043800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3818331:3822402:1 gene:Manes.14G043800.v8.1 transcript:Manes.14G043800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSESNGWSRAQGLVTKTLVLIGGAILVKRFTKSTTRWDHARIVAQSISGEKFSREQASRDPDNYFNIRMLTCPAAEMVDGSKVLYFEQAFWRTPQKPFRQVSSYAIRDAEEYKNFCDRPKDQRPPPEEVIGDIAEHLATIHLKRCDRGKRCLYEGSTPPGGFPNSWNGATYCTSELAILKNSEIHTWDRGYDDDGNQVWGAKDRPYEFKPAPVSSSNDMFSPSNFPAPQMMEKRIEGSFVLQE >Manes.14G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3818331:3822402:1 gene:Manes.14G043800.v8.1 transcript:Manes.14G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSESNGWSRAQGLVTKTLVLIGGAILVKRFTKSTTRWDHARIVAQSISGEKFSREQASRDPDNYFNIRMLTCPAAEMVDGSKVLYFEQAFWRTPQKPFRQRLYMVKPCPKELKFDVEVSSYAIRDAEEYKNFCDRPKDQRPPPEEVIGDIAEHLATIHLKRCDRGKRCLYEGSTPPGGFPNSWNGATYCTSELAILKNSEIHTWDRGYDDDGNQVWGAKDRPYEFKPAPVSSSNDMFSPSNFPAPQMMEKRIEGSFVLQE >Manes.09G143700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34174594:34177090:-1 gene:Manes.09G143700.v8.1 transcript:Manes.09G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSFLHLTTTATSLFPNSQRLSSTIKLQQQEKSKHLLSLLLKSPTIHHTTQQVHSQLLTTGLLNHSMLLFNTLLRCYSLSNFPHLSFLLYQQLQQAYFHSPSLSPPSFDSFAYTFLVNASTNFCCLGLGRQFQSLITKAGFHCHVYVQTALVNMYAASGVLGDALLAFDEMPHRNTVTWNVMITGLVKWGELEFARSLFDEMPEKNVVSWTGLVDGYIRMNRYSEGLALFRRMVMLEDIKPSEITILAILPAISNMGEMKNCRLIHCYGEKRGFNASDIRVTNSIIDTYAKCGCIVSALRFFEEISVERKNLVSWTSIISGLAMYGMGKEAVESFEEMEKTGLKPNRVTFLSVLNACSHGGLVEEGFKFFQKMVNEYCIVPDIKHYGCLVDMLGRTGRLEEAEKLALGIPSEIVNVVIWRTLLGACSFHGDIEMGERVTRKIMEIERGYGGDYVLMYNIFAGAGRFEDAERLRHLMDQRNALKVPGHSLV >Manes.14G033900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3036423:3037448:1 gene:Manes.14G033900.v8.1 transcript:Manes.14G033900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPPPISLLHVFIVFSFLSAVSSVSGWRPWPHLRSNSSDLLYTGSKKFEGSSEFVHLRYHMGPVLTTNITVHTIWYGAWQKSQKKIIREFIGSISAVRSTHPSVAGWWKTVQLYTDQTGANISRTVHLGEEKNDRFYSHGKSLTRLSIQSVIKSAVTARSKPLPINPKSGLYLLLTSDDVYVQDFCGQVCGFHYFTFPAIVGYTLPYAWVGNSAKLCPGVCAYPFAVPDYIPGLKPRKSPNGDVGVDGMISVIGHEIAELATNPLVNAWYAGQDPTAPVEIADLCEGIYGTGGGGSYTGQLLEGHDGATYNMNGIRRRYLVQWLWNHVVSYCTGPNALDQ >Manes.12G041101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3350695:3378505:-1 gene:Manes.12G041101.v8.1 transcript:Manes.12G041101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEDAESQEDRSPEDQPSSPNAVSQNVSGIMAGEAVHNASKGNPTPQSLPPLSRNVSENIVGEAVHNASKGNPTPQPLPPVHRRCQSEIVTPAHARNSSFQRLKSQMQKAWRWGGNSREQDYSFNPEVLANQKRQWYQLHSQTLDHTKFKEPTALFEHLVIVGLHPNANLEAVEDVFARRKKWEREAAKSDVTAYKRMQCWGPAYPSMDPQILFKYPPGRRLPMRLKDLGSFCFPEGVKTRLLERTPSLSELNELIYGQVHVGRDDQAFTFSLKVADNDTLYGVCLHVTEIVQRPPGILGSMSPLSRLSGRCSRFLVSAPRCYCVLTRVPFFELHYEMLNSIIAQERLNRITQFVTEVSLSSVPSTSKQHDRECDVDWMASAIPVDSAVALTAAAAGIISDDEIRTSSPKTWESHSPESGRASEASDSSHVREVDRDGRKNFDDCASESSETHSDAAERINESCEHGQSSPEIGTFKGSRSHGLQLRESFDTLRSSVRSMTLEDEDDELFANCEKDFDDDLIMEWAREHKNDLLQIVCSYHSLPLPQRGSKIVFKPLEHLQAIEYRRPSASDLGFSEIYLAISEAPVVNAKLAAAEEALSLSIWTTGTICRVLSLESVLMLITGVLLEKQVVIVCPNLGVLSAIVLSLVPMIRPFQWQSLFLPVLPGRMLDFLDAPVPFIVGIQQKPADLKMKTSSFIHVNVVKDQVKVCRLPTLPHYKELFSELAPYHAKLSSQKSIAKKHPVYRCNEIQADAAIKFLKIMRHYLESICSDLRSHTITNVQSNDRVCLLLKDSFIDSFPNKDRTFIKLFVDTQLFAVLSDHRMSSFEHGSP >Manes.12G041101.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3350695:3378505:-1 gene:Manes.12G041101.v8.1 transcript:Manes.12G041101.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEDAESQEDRSPEDQPSSPNAVSQNVSGIMAGEAVHNASKGNPTPQSLPPLSRNVSENIVGEAVHNASKGNPTPQPLPPVHRRCQSEIVTPAHARNSSFQRLKSQMQKAWRWGGNSREQDYSFNPEVLANQKRQWYQLHSQTLDHTKFKEPTALFEHLVIVGLHPNANLEAVEDVFARRKKWEREAAKSDVTAYKRMQCWGPAYPSMDPQILFKYPPGRRLPMRLKDLGSFCFPEGVKTRLLERTPSLSELNELIYGQVHVGRDDQAFTFSLKVADNDTLYGVCLHVTEIVQRPPGILGSMSPLSRLSGRCSRFLVSAPRCYCVLTRVPFFELHYEMLNSIIAQERLNRITQFVTEVSLSSVPSTSKQHDRECDVDWMASAIPVDSAVALTAAAAGIISDDEIRTSSPKTWESHSPESETHSDAAERINESCEHGQSSPEIGTFKGSRSHGLQLRESFDTLRSSVRSMTLEDEDDELFANCEKDFDDDLIMEWAREHKNDLLQIVCSYHSLPLPQRGSKIVFKPLEHLQAIEYRRPSASDLGFSEIYLAISEAPVVNAKLAAAEEALSLSIWTTGTICRVLSLESVLMLITGVLLEKQVVIVCPNLGVLSAIVLSLVPMIRPFQWQSLFLPVLPGRMLDFLDAPVPFIVGIQQKPADLKMKTSSFIHVNVVKDQVKVCRLPTLPHYKELFSELAPYHAKLSSQKSIAKKHPVYRCNEIQADAAIKFLKIMRHYLESICSDLRSHTITNVQSNDRVRQTEVCLLLKDSFIDSFPNKDRTFIKLFVDTQLFAVLSDHRMSSFEHGSP >Manes.12G041101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3350695:3378505:-1 gene:Manes.12G041101.v8.1 transcript:Manes.12G041101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEDAESQEDRSPEDQPSSPNAVSQNVSGIMAGEAVHNASKGNPTPQSLPPLSRNVSENIVGEAVHNASKGNPTPQPLPPVHRRCQSEIVTPAHARNSSFQRLKSQMQKAWRWGGNSREQDYSFNPEVLANQKRQWYQLHSQTLDHTKFKEPTALFEHLVIVGLHPNANLEAVEDVFARRKKWEREAAKSDVTAYKRMQCWGPAYPSMDPQILFKYPPGRRLPMRLKDLGSFCFPEGVKTRLLERTPSLSELNELIYGQVHVGRDDQAFTFSLKVADNDTLYGVCLHVTEIVQRPPGILGSMSPLSRLSGRCSRFLVSAPRCYCVLTRVPFFELHYEMLNSIIAQERLNRITQFVTEVSLSSVPSTSKQHDRECDVDWMASAIPVDSAVALTAAAAGIISDDEIRTSSPKTWESHSPESGRASEASDSSHVREVDRDGRKNFDDCASESSETHSDAAERINESCEHGQSSPEIGTFKGSRSHGLQLRESFDTLRSSVRSMTLEDEDDELFANCEKDFDDDLIMEWAREHKNDLLQIVCSYHSLPLPQRGSKIVFKPLEHLQAIEYRRPSASDLGFSEIYLAISEAPVVNAKLAAAEEALSLSIWTTGTICRVLSLESVLMLITGVLLEKQVVIVCPNLGVLSAIVLSLVPMIRPFQWQSLFLPVLPGRMLDFLDAPVPFIVGIQQKPADLKMKTSSFIHVNVVKDQVKVCRLPTLPHYKELFSELAPYHAKLSSQKSIAKKHPVYRCNEIQADAAIKFLKIMRHYLESICSDLRSHTITNVQSNDRVRQTEVCLLLKDSFIDSFPNKDRTFIKLFVDTQLFAVLSDHRMSSFEHGSP >Manes.12G041101.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3350695:3378505:-1 gene:Manes.12G041101.v8.1 transcript:Manes.12G041101.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEDAESQEDRSPEDQPSSPNAVSQNVSGIMAGEAVHNASKGNPTPQSLPPLSRNVSENIVGEAVHNASKGNPTPQPLPPVHRRCQSEIVTPAHARNSSFQRLKSQMQKAWRWGGNSREQDYSFNPEVLANQKRQWYQLHSQTLDHTKFKEPTALFEHLVIVGLHPNANLEAVEDVFARRKKWEREAAKSDVTAYKRMQCWGPAYPSMDPQILFKYPPGRRLPMRLKDLGSFCFPEGVKTRLLERTPSLSELNELIYGQVHVGRDDQAFTFSLKVADNDTLYGVCLHVTEIVQRPPGILGSMSPLSRLSGRCSRFLVSAPRCYCVLTRVPFFELHYEMLNSIIAQERLNRITQFVTEVSLSSVPSTSKQHDRECDVDWMASAIPVDSAVALTAAAAGIISDDEIRTSSPKTWESHSPESETHSDAAERINESCEHGQSSPEIGTFKGSRSHGLQLRESFDTLRSSVRSMTLEDEDDELFANCEKDFDDDLIMEWAREHKNDLLQIVCSYHSLPLPQRGSKIVFKPLEHLQAIEYRRPSASDLGFSEIYLAISEAPVVNAKLAAAEEALSLSIWTTGTICRVLSLESVLMLITGVLLEKQVVIVCPNLGVLSAIVLSLVPMIRPFQWQSLFLPVLPGRMLDFLDAPVPFIVGIQQKPADLKMKTSSFIHVNVVKDQVKVCRLPTLPHYKELFSELAPYHAKLSSQKSIAKKHPVYRCNEIQADAAIKFLKIMRHYLESICSDLRSHTITNVQSNDRVCLLLKDSFIDSFPNKDRTFIKLFVDTQLFAVLSDHRMSSFEHGSP >Manes.15G024850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1943219:1945340:1 gene:Manes.15G024850.v8.1 transcript:Manes.15G024850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRFPPQTQDSQPGREYLMHPLPQPLNPDYKPSNKLLGKVALVTGGDSGIGRAVSLYFTLEGATVAFTYVKGQEDKDKDHTLKMINELKVGGAKDPIAIPTDLGFEENCKRVVDQVITEYGQIDILVNNAAEQYYSTTIEDIDEARLGRVFRTNIFSYFFMSRYALKHMKEGSCIINTTSLAAYAGNPGLLDYSATKGAIVAFTRSLSQQLIEKGIRVNAVAPGPVWTPLQPASMPAEKIAILGSEVPMDRAAQPYEIAPCYVFLACNVCASYITGQVVHPNGGSIVNA >Manes.04G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34006334:34010108:-1 gene:Manes.04G141500.v8.1 transcript:Manes.04G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDAKQYDAKMNELLSTDGQEFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPAKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRGGRFGRKGVAINFVTRDDERMLFDIQKFYNVVIEELPSNVADLL >Manes.16G006900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:695138:707443:-1 gene:Manes.16G006900.v8.1 transcript:Manes.16G006900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLQVHRPLSCRALFHRRTVFKFNRLSGSFPHFNSSHLSSLTSCYSKYPTLGVSCDVIAGADFSKRRQRKISTANSKGPPPKRFTSKTPARTSTTKRDSKKNGSKEDEVSPTSSEIVDPHKKTLELKADVDAEQAIEVSKDEKVNQEKKTEEASSVSKTLSVTKINQYVENRSAGSVEVKTMPVDEITIEERQVDDLKSDSTLKEGSAGTVEKTNEDEVSSLKLRLEVEENLRKQEIEELAEDNFSRGNKLFVYPHLVKPDQDIEVYLNRSLSTLNNEPNVLIMGAFNDWRWKSFTISLTKTHLKGDWWSCQVHVPKEAYKMDFVFFNGKNAYDNNDKKDFCIPVAGGMDAFAFDDFLLEERRREQEKLAREQAERERQAEEQRRREEEKAASEADRAQAKVETEKRREILHQLMKNAPRSVDNVWYIEPNEFRGEDLVQLYYNKSSGPLAHANDLWIHGGYNNWNNGLVVVEKLLKSERKDGDWWYANVVVPDRALLLDWVFADGPPQSAMVYDNNHRQDFHAIVPMSIPEELFWVEEEHQMYRKLQEERQLREQAMHAKAERTARVKAERKEKTLKRFLLSQKHIVYTDPVSVQAGTAVTVFYNPANTVLHGKSEVWIRCSFNRWTHRKGPLPPQKMFPTGHGSHVKATVKVPLDAYMMDFVFSEREDGGIFDNRGGMDYHIPVFGGIVKEPPIHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHSVDIILPKYDCLNLSHVKDFHYQKSYSWGGTEIKVWFGKVEDLSVYFLEPLNGMFWTGCIYGCKNDGQRFGFFCHAALEFLQQNGFHPDIIHCHDWSSAPVAWLFKDHYTHYGLSKARVVFTIHNLEFGAGNIGRAMAYTDKSTTVSPTYSKEVAGNPSVAPHLHKFHGILNGIDPDIWDPYNDKFIPVSYTSENVVEGKRAAKEALQQRVGLKKADLPLVGVITRLTHQKGIHLIKHAIWRTLDRGGQVVLLGSAPDPRVQNDFLNLANKLRSSHNHHARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDHDKERAQAQSLEPNGFSFDGADAAGIDYALNRAISSWYEGREWFYSLCKTVMEQDWSWNKPALDYLELYHAARK >Manes.08G039100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3838248:3840715:1 gene:Manes.08G039100.v8.1 transcript:Manes.08G039100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFNHLLSNIQSEDSILVRHIFASFSDLYSYTACRQLSQMFLAIIFFHISEYILAVAIHGKSNVTLKSLLISKNYLLAMIFSLLEYFVEISLFPALKEYWWVSNLGLALVLIGELIRKMAIITAGQSFTHLIKIYHEEHHKLITHGIYRFVRHPGYAGFFIWSVSTQIMLCNPISTIGFAVVVWRFFAERIPYEEYFLRHFFGSQYEEYAQRTPSGVPFVK >Manes.08G039100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3838248:3840715:1 gene:Manes.08G039100.v8.1 transcript:Manes.08G039100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLYSYTACRQLSQMFLAIIFFHISEYILAVAIHGKSNVTLKSLLISKNYLLAMIFSLLEYFVEISLFPALKEYWWVSNLGLALVLIGELIRKMAIITAGQSFTHLIKIYHEEHHKLITHGIYRFVRHPGYAGFFIWSVSTQIMLCNPISTIGFAVVVWRFFAERIPYEEYFLRHFFGSQYEEYAQRTPSGVPFVK >Manes.08G039100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3838248:3840715:1 gene:Manes.08G039100.v8.1 transcript:Manes.08G039100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFNHLLSNIQSEDSILVRHIFASFSDLYSYTACRQLSQMFLAIIFFHISEYILAVAIHGKSNVTLKSLLISKNYLLAMIFSLLEYFVEISLFPALKEYWWVSNLGLALVLIGELIRKMAIITAGQSFTHLIKIYHEEHHKLITHGIYRFVRHPGYAGFFIWSVSTQIMLCNPISTIGFAVVVWRFFAERIPYEEYFLRHFFGSQYEEYAQRTPSGVPFVK >Manes.08G039100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3838248:3840715:1 gene:Manes.08G039100.v8.1 transcript:Manes.08G039100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLYSYTACRQLSQMFLAIIFFHISEYILAVAIHGKSNVTLKSLLISKNYLLAMIFSLLEYFVEISLFPALKEYWWVSNLGLALVLIGELIRKMAIITAGQSFTHLIKIYHEEHHKLITHGIYRFVRHPGYAGFFIWSVSTQIMLCNPISTIGFAVVVWRFFAERIPYEEYFLRHFFGSQYEEYAQRTPSGVPFVK >Manes.03G007500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:629397:639358:-1 gene:Manes.03G007500.v8.1 transcript:Manes.03G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHREEEEAKKKREDDETARLYAEFVESFQGDNVPGSKAFVRGGTINPNERVKPDSEGEKSKDGVSGPKKGSRYVPSFLPPPMAIKGKESEKKKEEERPKEKEKEKEKEKGKTRNIDHFMEELKHEHEMRERRNQERERWRDGRASEISAPSSRFDELPDDFDPSGKGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPNHNSELVLTPNVPDIMVVPPDDDHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTARSPEHESGNTYAAGKSRRVDPERTLTDPQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSSTSGVVSFHSICGDAPEIEKKINAEDTGDGGKFNQDAALAMGKGAATKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDDLKISQSHSSSSKFSSGCRETNVESEPVGLSGWNLYGEDGMQSQSRGSASLATTLPIPQPELKAFTKKEKNDPVLPASKWARDDDDSDDEQKRSARGLGLSYSSSGSENAGDGPGKADDETEFATDASIPMQPDSVMNEEQRQKLRRLEVALIEYRESLEERGIKSAEDIERKVATHRKWLQSEYGLLDSSQDVPGNSKRTSSERRDRRDDSRESSRKRHRSQSRSQSPQRKSSTRDKDRENDTDRERERNWDRDRAHDLESERWERDRHEKSGSRERDDHGRSRDRDRERRRRVK >Manes.03G007500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:629375:639358:-1 gene:Manes.03G007500.v8.1 transcript:Manes.03G007500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGKESEKKKEEERPKEKEKEKEKEKGKTRNIDHFMEELKHEHEMRERRNQERERWRDGRASEISAPSSRFDELPDDFDPSGKGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTSVPNHNSELVLTPNVPDIMVVPPDDDHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTARSPEHESGNTYAAGKSRRVDPERTLTDPQRDEFEDMLRALTLERSQIKDAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSSTSGVVSFHSICGDAPEIEKKINAEDTGDGGKFNQDAALAMGKGAATKELMSLPIAELERRCRHNGLSLVGGREMMVARLLSLEEAEKQRGYELDDDLKISQSHSSSSKFSSGCRETNVESEPVGLSGWNLYGEDGMQSQSRGSASLATTLPIPQPELKAFTKKEKNDPVLPASKWARDDDDSDDEQKRSARGLGLSYSSSGSENAGDGPGKADDETEFATDASIPMQPDSVMNEEQRQKLRRLEVALIEYRESLEERGIKSAEDIERKVATHRKWLQSEYGLLDSSQDVPGNSKRTSSERRDRRDDSRESSRKRHRSQSRSQSPQRKSSTRDKDRENDTDRERERNWDRDRAHDLESERWERDRHEKSGSRERDDHGRSRDRDRERRRRVK >Manes.01G012700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3485382:3494414:-1 gene:Manes.01G012700.v8.1 transcript:Manes.01G012700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLIAMAEELGVFIPYVGGVEHANVLLPPLETLCTVEETCVRDKAVDSLCRIGAQMREQDLVEYFVPLVKRLAAGEWFTARVSSCGLFHIAYPSAPETLKSELRTMYSQLCRDDMPMVRRSAATNLGKFAATIEPAHLKTDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTSSDLVPAYVRLLCDNEAEVRIAAAGKVTKFCRILNPELAIQRIIPCVKELSTDSSQHVRSALASVVMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTVLHAISLIAPVMGSEITCSTLLPIVINTSKDRVPNIKFNVAKVLQSIIPIVDQSVVEKMIRPCLVELSEDPDVDVRFFATQALHSTEQCI >Manes.01G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3485364:3494486:-1 gene:Manes.01G012700.v8.1 transcript:Manes.01G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDEPLYPIAVLIDELKNEDIQLRLNSIRKLSTIARALGEERTRKELIPFLSENNDDDDEVLIAMAEELGVFIPYVGGVEHANVLLPPLETLCTVEETCVRDKAVDSLCRIGAQMREQDLVEYFVPLVKRLAAGEWFTARVSSCGLFHIAYPSAPETLKSELRTMYSQLCRDDMPMVRRSAATNLGKFAATIEPAHLKTDIMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTSSDLVPAYVRLLCDNEAEVRIAAAGKVTKFCRILNPELAIQRIIPCVKELSTDSSQHVRSALASVVMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDMINNPHYLYRMTVLHAISLIAPVMGSEITCSTLLPIVINTSKDRVPNIKFNVAKVLQSIIPIVDQSVVEKMIRPCLVELSEDPDVDVRFFATQALHSTEQVMMSA >Manes.01G167900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35072256:35073818:1 gene:Manes.01G167900.v8.1 transcript:Manes.01G167900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVHGKTVKAQIWDTAGQERYRAITSAYYRGAVGAFLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVTEEDGHSLAERESLSFLETSALEATNVEKAFQTILTEIYHIISKKALAAQEAAGNSTLPGQGTTINVADTSGNTKRGCCST >Manes.05G150103.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25435084:25437131:1 gene:Manes.05G150103.v8.1 transcript:Manes.05G150103.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALYRNYRHKLKKKYFDSKATYSLRLRNKPKDMDVKDWKYLVNLWTENAFQREATKIRQIDASVLCRHTRGQKALLG >Manes.07G137600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34040526:34045504:1 gene:Manes.07G137600.v8.1 transcript:Manes.07G137600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKFPMDSSPDMRVWERRLLYCVPVPGISSWAESASEVAIDRRMNWTSQQRDKRGRMDFEAMDAEDTPVPADNFEDSPTAKRMREDGHPSPSSQSQDYKTEAACSSTNVELEIYHDSSPCLVKIYDSPESELKLNDVFEFVGVLTFDSEIPVETGDRDDFCNDYSDDLLVHLPPHKVPRLHCLIHRKLAAHDFLHKSPSVETPHLVKEARESLLRHLTDVLGNDGVAAHYMLLHLLSRVHSRVDHVAVGKLSLNLTCLSKESFSVFGIQLSLAIKNLLPFSTCIPLTLEYLSRSSLAPKKDYQTNRLIPGVLQLAEGSHLIIDETLLETGTLNSVGVDNARLLKNLLEFQKVEYDFTYYKMEMPADVQLLILSEGKSNILPADVILPFQPSSVGTSGVVTTEAVEAWRWYLAAFRLLPHSIESEMQKVVENDLVAARQADRSIGSQDFSRWLTMGRLISTSFGETSLSMEHWQMVMELERQRRERLLPYRN >Manes.07G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34040526:34045504:1 gene:Manes.07G137600.v8.1 transcript:Manes.07G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPPYDCLANPLGAVRLTFEKAIASGSHPSSFDGKDWGAIDLFRHFLFDQSQLSQVPILSPATLRWVQPNTLVRFRGMIQDMLGNEFYVGAYKDGSVWRTNKFMDFSKFPMDSSPDMRVWERRLLYCVPVPGISSWAESASEVAIDRRMNWTSQQRDKRGRMDFEAMDAEDTPVPADNFEDSPTAKRMREDGHPSPSSQSQDYKTEAACSSTNVELEIYHDSSPCLVKIYDSPESELKLNDVFEFVGVLTFDSEIPVETGDRDDFCNDYSDDLLVHLPPHKVPRLHCLIHRKLAAHDFLHKSPSVETPHLVKEARESLLRHLTDVLGNDGVAAHYMLLHLLSRVHSRVDHVAVGKLSLNLTCLSKESFSVFGIQLSLAIKNLLPFSTCIPLTLEYLSRSSLAPKKDYQTNRLIPGVLQLAEGSHLIIDETLLETGTLNSVGVDNARLLKNLLEFQKVEYDFTYYKMEMPADVQLLILSEGKSNILPADVILPFQPSSVGTSGVVTTEAVEAWRWYLAAFRLLPHSIESEMQKVVENDLVAARQADRSIGSQDFSRWLTMGRLISTSFGETSLSMEHWQMVMELERQRRERLLPYRN >Manes.07G137600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34040526:34045504:1 gene:Manes.07G137600.v8.1 transcript:Manes.07G137600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKFPMDSSPDMRVWERRLLYCVPVPGISSWAESASEVAIDRRMNWTSQQRDKRGRMDFEAMDAEDTPVPADNFEDSPTAKRMREDGHPSPSSQSQDYKTEAACSSTNVELEIYHDSSPCLVKIYDSPESELKLNDVFEFVGVLTFDSEIPVETGDRDDFCNDYSDDLLVHLPPHKVPRLHCLIHRKLAAHDFLHKSPSVEQTPHLVKEARESLLRHLTDVLGNDGVAAHYMLLHLLSRVHSRVDHVAVGKLSLNLTCLSKESFSVFGIQLSLAIKNLLPFSTCIPLTLEYLSRSSLAPKKDYQTNRLIPGVLQLAEGSHLIIDETLLETGTLNSVGVDNARLLKNLLEFQKVEYDFTYYKMEMPADVQLLILSEGKSNILPADVILPFQPSSVGTSGVVTTEAVEAWRWYLAAFRLLPHSIESEMQKVVENDLVAARQADRSIGSQDFSRWLTMGRLISTSFGETSLSMEHWQMVMELERQRRERLLPYRN >Manes.07G137600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34040526:34045504:1 gene:Manes.07G137600.v8.1 transcript:Manes.07G137600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPPYDCLANPLGAVRLTFEKAIASGSHPSSFDGKDWGAIDLFRHFLFDQSQLSQVPILSPATLRWVQPNTLVRFRGMIQDMLGNEFYVGAYKDGSVWRTNKFMDFSKFPMDSSPDMRVWERRLLYCVPVPGISSWAESASEVAIDRRMNWTSQQRDKRGRMDFEAMDAEDTPVPADNFEDSPTAKRMREDGHPSPSSQSQDYKTEAACSSTNVELEIYHDSSPCLVKIYDSPESELKLNDVFEFVGVLTFDSEIPVETGDRDDFCNDYSDDLLVHLPPHKVPRLHCLIHRKLAAHDFLHKSPSVEQTPHLVKEARESLLRHLTDVLGNDGVAAHYMLLHLLSRVHSRVDHVAVGKLSLNLTCLSKESFSVFGIQLSLAIKNLLPFSTCIPLTLEYLSRSSLAPKKDYQTNRLIPGVLQLAEGSHLIIDETLLETGTLNSVGVDNARLLKNLLEFQKVEYDFTYYKMEMPADVQLLILSEGKSNILPADVILPFQPSSVGTSGVVTTEAVEAWRWYLAAFRLLPHSIESEMQKVVENDLVAARQADRSIGSQDFSRWLTMGRLISTSFGETSLSMEHWQMVMELERQRRERLLPYRN >Manes.12G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3869851:3872692:1 gene:Manes.12G041300.v8.1 transcript:Manes.12G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSTAGPFGDTTLTKVFVGGLAWETPKEAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKDAEAAKKACEDAAPIINGRRANCNLASLGARRPRSATPAPPQQASNVGQKSTSPANHVQWYYPAASPYHHHHHHHQQPVPFYGYTSPTYVATDISYNHKLSYTGGSYMNGHFSQVYPGQPIMGANAVMPMYPFYHFHQSQTMGLPAHIYPPATASPITTVPTIMSKPPSIAPNTGTVGNLACTGIKSFKKAG >Manes.12G041300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3869806:3873026:1 gene:Manes.12G041300.v8.1 transcript:Manes.12G041300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSTAGPFGDTTLTKVFVGGLAWETPKEAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKDAEAAKKACEDAAPIINGRRANCNLASLGARRPRSATPAPPQQASNVGQKSTSPANHVQWYYPAASPYHHHHHHHQQPVPFYGYTSPTYVATDISYNHKLSYTGGSYMNGHFSQVYPGQPIMGANAVMPMYPFYHFHQSQTMGLPAHIYPPATASPITTVPTIMSKPPSIAPNTVCLAVE >Manes.12G041300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3869793:3872692:1 gene:Manes.12G041300.v8.1 transcript:Manes.12G041300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSTAGPFGDTTLTKVFVGGLAWETPKEAMREHFEKYGEILEAVIISDKVTGRSKGYGFVTFKDAEAAKKACEDAAPIINGRRANCNLASLGARRPRSATPAPPQQASNVGQKSTSPANHVQWYYPAASPYHHHHHHHQQPVPFYGYTSPTYVATDISYNHKLSYTGGSYMNGHFSQVYPGQPIMGANAVMPMYPFYHFHQSQTMGLPAHIYPPATASPITTVPTIMSKPPSIAPNTGNTLFSNMMTMTV >Manes.08G158900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39529050:39549826:1 gene:Manes.08G158900.v8.1 transcript:Manes.08G158900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTTNEAQEWEASSQRWRPRQLAFKTYTSGSEPAYKAETLRVVVRRPFVARLTKDIVETYQICNPQFKYSEELNPKRYLTSPSIGVLNDGYDNVNSDLILTVNFALVNLETQRRYIVKDVLGHGTFGQVAKCWVAETNSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFVFQRHLCICFELLDTNLYELIKINQFRGLSLSIVQLFSKQILHGLALLKDAGIIHCDLKPENILLCTSVKTAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMVEILGGQPPDNLLKEAKNTSKFFKCIGNVHNAGDGEVSFDGKSAYQALTVEEYEARELKKPSIGKEYFHHMNLEAIVKNYPYRKNLPHEDIMKESRVRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYKPPPETPRMPVAQHFKVDHHPGGGHWFAAGLSPNIPGRTRISLHNSPHFQVVPYAHGNSYGSIGSHGSYNDGIGLGSSYGSYGDSSNMFAYYSPVGPSGMNMHAQAGLSMLGSSPDARLRFIQYSHPNGIGMSPSTGNFAPLPLGTSPSQFTPPNSYNQVSTGSPGHYGPTSPARSNCHGSPLGKMAAVTQFNRRKGWGHSSHSQESTPSSHWQGHHSDGTSAFQAEGNPSVLGSSTSHWQSNSSVANWMQQHGGSGTSTGHSAIQNMPGSFKPSNMQLSQSVGPAHDKPEASLSLPDPGDWDPNYSDEQLLQEDGSEASSITIEFSKAMHLGSGDPSGGFGRSNRTSNWSSNSLVQRQNGPIQAFTNVEVGSPPSAHDMHAGYGRSASKHSHFMPHISQNSPSRLGQQSLPRFNHVRPAVRGSEWNHIKIQPPQSSFNSGAPLSPGNSSLNNGMPWGRRANHPVTSTLPGSRGRKDYGRLA >Manes.01G167601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35059000:35061745:-1 gene:Manes.01G167601.v8.1 transcript:Manes.01G167601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEVVESSSVENSLIRSYKRSFNGFAANLTANERQKLARMKEVVSIFPSATLKLHTTKSWDFMGFNGTISRENTAESDVIIGVMDTGIWPESESFNDKGFGPAPKKWKGACEGGENFTCNNKVVGARHYALSAPGSGSARDENGHGSHTASTAAGNIVKNVSFYGLAEGTARGGVPSARIAVYKVCEPDGGCDTTNILAAFDDAIADGVDIITISLGSDGATDFDTDVIAIGSFHAMKKGIVTLQSAGNSGCVDGSVSSTAPWILTVGASSTDRKIIDEVILGNGSALIGASINSFTLNGTMLSLVYGKDVSHRSCRVGCLDENLVKGKIVLCGLFEGIAEAYKAGALGAVVLNTQLDDVPFVVPLPASAVTLSDMFMLEDYVNFTKNPTVNILKSRAIRDFNAPVVASFSACGPNQILAEIMKPDVVAPGINILAAFSPIASPSNGPLDRRQVQYNFLSGTSMSCPHAAGVAAYVKSIHPQWSPSAIKSAIMTTAWPMNGKGQRQEFSYGSGHINPIKAADPGLVFDADKEDYIKLLCGAGFDSDALEVITGESITCATGVDKLLPFDFNYPAITFRVSPMVALSFKFHRTVTNVGEAKSVYKAKIITNNNMTVQVQPEVLSFKSLNEKKSFVVSVEAQGIPDSNIVTTSLVWSDATHNVRIPIILLSLRTD >Manes.05G195080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31912937:31915811:1 gene:Manes.05G195080.v8.1 transcript:Manes.05G195080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSRRILVVGALLLAIWALSVNADDSEKTIVKTVKGKKMCTRGWECKDWSIYCCNQTISDFFQTYQFENLFSKRNSPVAHAVGFWDYQSFIMASALFQPLGFGTTGGKLMQMKEIAAFFGHVGSKTTCGYGVATGGPLAWGLCYNKEMSPSQSYCDDFYKYTYPCSPGAEYYGRGALPIYWNYNYGAIGEGIKADLLNHPEYIEQNATLAFQAAIWRWMTPIKKSQPSAHDAFVGNWKPTKNDTLAKRVPGFGATMNILYGDLVCGQGDIDAMNNIISHYQYYLDLMGVGREEAGPHDVLTCAEQIPFNPSNSNPTASS >Manes.13G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11954845:11958671:1 gene:Manes.13G076900.v8.1 transcript:Manes.13G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYSRRSKYSRSPSPFKRYNRSVSRSLSMSRSRSRDRDRSSSSDVENPGNNLYVTGLSPRITKRDLERHFASEGRVIDVHLVVDPWTRESRGFGFVTMSTVHEADNCIKYFNRSVLEGRVIAVEKAKRRRGRTPTPGRFLGLRTVRVRRRSPSYSPRQSPSYSPCRRSWSRSSCYSSERSRSRSYSPNYRRRRSYSPYYPRRRSYSPYYYSRRRSYSRSSYSRSPISRRDHSYSPYDCRYYSPDDRYYRRHRYHSVSSSPTPRRRSSRRSYSSSISPSPRRGSRRSYSRSSSPASRRSSRRCSRSLFPKVRKSTKRSYSRSHSPKGRKNSRSGCHGGSSTRGYSEDSYSRSPSESCRSGYVSRSVSPRSASPSS >Manes.11G108500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25164710:25167281:1 gene:Manes.11G108500.v8.1 transcript:Manes.11G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDTGANNGGGVTNGALIDPQRQQPSGTNGALVVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRAGGNSNSLSSISSSTNSSVMDHKPLLGPTPFILGKRVRSEDDNNGGKDDGGVSVGPTVGSLVGSAGAGAAPGGFWAVPARPDFWGFAAAAPPDMVVQQAAVAAQQPSLFVQQHAAAAAAAAAMGEASAARVGNYLPGHLNLLASLSGGPGSSGRREEDPR >Manes.11G108500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25164710:25167044:1 gene:Manes.11G108500.v8.1 transcript:Manes.11G108500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDTGANNGGGVTNGALIDPQRQQPSGTNGALVVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRAGGNSNSLSSISSSTNSSVMDHKPLLGPTPFILGKRVRSEDDNNGGKDDGGVSVGPTVGSLVGSAGAGAAPGGFWAVPARPDFWGFAAAAPPDMVVQQAAVAAQQPSLFVQQHAAAAAAAAAMGEASAARVGNYLPGHLNLLASLSGGPGSSGRREEDPR >Manes.03G185000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30586927:30588722:1 gene:Manes.03G185000.v8.1 transcript:Manes.03G185000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRFSASVQKQIQPLVNLQRAINMEPFFRRKDKVVFVVGPTGTGKSRLAIDLATRIPAEVINCDKMQVYKGLDTVTNKVTEEECRGVPHHLIGVVEDPIANFTCDDFRFHALEAVNSVVARDRLPIIAGGSNSFVEALANDDPDFRLRYECCFLWVDVSLPVLHSFVSERVDRMVKAGLVKEVRSMFDPSKSNYSQGIRRAIGVPELDQYFRNEHIVDAKNRAKLLDRAIAKIKENNCILACRQLRKILRLYNRWSWKMHRIDATEVFLKSGEEAEEAWEKLVAGPSSRIVEQFLYDGDHMTTIVPSETVTVMASAGIPIPIPAMVAAVTR >Manes.01G248100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40781527:40788365:-1 gene:Manes.01G248100.v8.1 transcript:Manes.01G248100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKTKNGDTDTSPQMSDEAQIAGVTTQLQNSLEKQDPELSDSSDVDIKSNDGSLSTDEKNPRKNCIGDDDADRCRNQSNATSGKLVIENDLDMDISGDKLGSAVGRENGDLNSEIRHSTRSWRSGKMAGWEHGERGEMERLQGALTNEVESVRFSTSNYPDEGPSNYNVGSSYCHEGPLRDHDGHDEATKAQHLAKDRAELLRKLDELKEQLSRSYDVADKTKKAPLNRRIAPPGPYVGSDTWFPGASSVPDRASMQFFAPDQHAPKPPYFHHQPDPFSYNNAHEMAMHNFHPLVHKSNQIPGFGDPFLLKRASHQLSGQYQQPSSQYFSRHFFDTNPDPYETYTSNATFHQPSCSCFHCYERHQGVPSTTFCNKRFPDVSNNPIVYQHENHGAFPPPVHNARTSRPPPSDFRGSLSNTRWPSDPNSEMGGFARYRPRKVVLASGGRCCRPIVGGAPFLTCFNCFELLQFPKKALLMRKNQQKMSCGACSTVINFSIINRKLVLSFNTEMTKCPAEGDDSSTEMLKNNASYSHGRMSRINANFSSNDYDNSGYDFQAVDTDSIALLTGQGLNTMKHQEMNGFHASSPSASENETSPDALIVPREVVNSIQQPIKAGLSPPPSGSPLQQHFDYSTSSNVVNRFGKGNRSSRSDQEKVITSKTTTRQNSMKERSLATEIEVPFHEYSNTGVSQDSGDANREDSQLKITKGGESFFANIIKKSFKDFSRSNQRDERGRSNVSVNGHPIPDRLVKKAEKLAGPIHPGQYWYDSRAGFWGAIGGPCLGIIPPFIEEFNYTMPENCAGGNTGVFVNGRELHQKDLDLLVDRGLPTDRDRSYIIEISGRVHDEDTGKELDSLGKLAPTVEKVKHGFGMKVP >Manes.15G130000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10395872:10396634:1 gene:Manes.15G130000.v8.1 transcript:Manes.15G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGKLETEVEVKVSADKAFGIFKGQMHQLPNIAPDIVHKAEIHEGNWETPGSIKHWNYTIDGNVLSLKEKVEKIDEENKSITFKIVDGEVLKLYKNMKVTVQVTAKNEETSFMKMIIDYEKAKEDSADPHSYLEFGTKVFQYIENHLLKA >Manes.02G173000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13668957:13670761:-1 gene:Manes.02G173000.v8.1 transcript:Manes.02G173000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFLGIFGIYKESYKIIFTWRKIFSQITLALILPLSFIFLAHIQISDLIFSNIIHDEFQLDQTRVGSARYNKLNDLISSEWTYFWLFKIAYFTFFLIFSLLSTAAVVYTVACIYTGRDVTFSKVISVVPKVWKRLMITFLCIFAAIFAYNVVAFLIFIAWIIMVSDSSFAVASLFVFLILYFVGIVYMSIIWQLASVVSVLEEACGVKAMVKSRALIKGKIWVAAIIFFKLNLSLFIIQIAFERLVVHGQSLGMVNRVSYGIICFLLMFKLFLFGLVIQTVIYFVCKSYHHENIDKSALSDHLEVYLGEYVPLKAKDIQLEHFEL >Manes.02G208740.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:24167487:24168257:-1 gene:Manes.02G208740.v8.1 transcript:Manes.02G208740.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQIKVMGYKYIPMDNHKVLLTILFVFLALGICSAKRNLISFKALSYGGIAHGGGSSGGGGSGYAAVGGHGVNTYAGGIGGGEGGGVGIGGGGGRGSGGGGGAAHVGGAGGVGYGTGGGEGGGAGYGGAIGLGGGVVAGEKEVVAVLPMLGKLVLLDMVVVVVKVVVPALVVL >Manes.03G135020.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26251283:26251704:-1 gene:Manes.03G135020.v8.1 transcript:Manes.03G135020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQNANNQDNHQEEKMPKEDARLPLLSFEESATTNLQRASESFSMLMESPVYTIHYYWRKFDNAYMRPVFGGPRSSHPEC >Manes.07G009936.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1134550:1136695:1 gene:Manes.07G009936.v8.1 transcript:Manes.07G009936.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVLYNVVGDIITKLGYLALDEIRLWWGVKGELKKLEATVSSIRDVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVCLFFSSSNQLVYGFKMGHKIKAIRERLADIEGDRIFKLEVRTDQERTVERDQTESSLPEVFIGREGDKKAITELVLSSNGEECVSVLSIVGMGGLGKTTLAQIIFNDELIQSSFQRRIWVYVSDPFDVKMIVRKILESATGNKSEDLELEALKSQLGGIIDGKKYLLVLDDVWNETREKWQNLKRLLVGGSSGSKILITTRSKKVADISSTMAPHVLEGLSPDESWSLFLHVALEGQEPKHVNVREIGEEILKKCCGVPLAIKTIASLLYEKNPETEWPLFLRNELSRISQDDNDIMPTLKLSYDHLPSHLKHCFAYCALYPKDYKIDVKTLIHLWVAQGFVEAPITSDRLEDIGLEYFMKLWWRSFFQEVKRDRFGNVESCKMHDLMHDLATTVGGTRIQLVNSDAPNIDEKIRHVALNLDVAPQEIFNNAKRPRSFLLLGKHDYNKLFIHKNLRCLRAYDMSDCGIEMVDSCIKMLKHLRYLGVSWNVKFRALPNSITDLLNLQVLNISNCLRLKELPKDIKKLVNLRHLYCEGCKSLTHMPRGLGQLTSLQTLS >Manes.17G035700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21593139:21594455:1 gene:Manes.17G035700.v8.1 transcript:Manes.17G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCEKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKKRWTPYGNTKCMICKQQVHQDAKYCHTCAYTKGVCAMCGKQVLDLKLYKQSNV >Manes.17G035700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21593139:21594311:1 gene:Manes.17G035700.v8.1 transcript:Manes.17G035700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEKCEKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKKRWTPYGNTKCMICKQQVHQDAKYCHTCAYTKGGIVDVLNC >Manes.15G191830.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28607372:28610326:1 gene:Manes.15G191830.v8.1 transcript:Manes.15G191830.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGRPIGKGTTRYLMEDEYKAAQVYILLNCPEVKPYIDIYIDQLRSNDPLVNDSQIDIKLESEFSIWFNNFAHDSCNNISNKFIISLVMGPLRSVTSYNGYMVNGYKFQSKSYCASRATMNSGVCIKGSNYSSEESDYYGQLLEVIRLEYPGLPIKRVVLFKCNWFDPTPNVGTKIHSKYKLVDVNHKRSFNRYEPFVLGVQAMQVIYTPYPSLKRDKIDWWAAIKVKARSVIQLPTQENTQPADEPFQQEEMEHTAIIREIDDSTQQLNDPTGDVIEIDDGEENDEDETIIATETDDDDDDDLDVDSE >Manes.08G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35418024:35419828:1 gene:Manes.08G115500.v8.1 transcript:Manes.08G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDSSKKRLIIIGVSSFFLVIMLIAVTFSLNIKESNEDGKRSGEISSSMKAIQTLCEPADYKETCEKSLEESAGNATDPRELIQIAFMVAQKQIEEAAKKSATLQELEKDPRAEDALSCCRELMNMSFSELEASIEKFAEFEISQLDDLMADLRTWLSASITYQETCLYGFENTTSDAGEKMKEALKTSMEMSTNSLDILDGFSSELTETQLPGISRRLLQESRHEDESSEFPSWVNPGTRRLLSEPVSKIKPDLVVAKDSSGDFKTIRAALRSIPKRGSNATFVLYIKKGIYREYVEFNKTLDNLMVIGDGSDKTIITGNKNFVDGINTYHTATVVVLGDNFVARNIGFENSAGAIKHQAVALRVSADFAVFYNCTMDGYQDTLYAHAKRQFYRECSISGTIDFIFGDAPVVFQNCQFLIRRPLKNQRCIVTAQGRKQRRQPSAIIIQNSTITAHPDMLPVKDQFKSFLGRPWKEFSRTIIMESFIDDLIQPEGWLPWKGDFGLKTCWYTEFDNYGPGSNLTARVKWSGIKTISRQRAMYFTPGRFLRADRWIKPTGVPYAPYFTRRST >Manes.03G178500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30080125:30085323:-1 gene:Manes.03G178500.v8.1 transcript:Manes.03G178500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPDPGELAELTPPSFDDFQRQTSLMTSCTLLWKELSDHITSLEQNLQKKSEALKHKFQTLDHDTKATLASLKKREVTIDGSVEIALERVEEHREAAFKSLENSDHPDGEVDDGDGLLQLLKSFCLKMHSREFWKFVITKKKELDVLRSQIPLALAECVDPARFALEAISEVFPVDRRGERTEKGNDLGWACVLILESLIPVMVDPVIGKSRLLVTPSVKEKAKEIAETWKRSLEERGGIENVKTPDVHTFLQHLVTFGIVKKEDVDLYRKLVVGSAWRKQMPKLAVSLGLGDKMPDMIEELISRGQQLDAVHFTYEVGLVDKFPPVPLLKAFLKDAKKAAASILEDPDTGRAAHLAARKEQSALRAVIKCIEEYKLEAEFPPENLKKRLEQLEKAKTEKKRPAAVPANKRTRASNGGPMPPAKAGRLTNAYVSSFPAPPTFIRSPPHAQYPTGIPAYPSPAAVYGSRSPQSPYAYSPEAAAPMAGSYASAPLNYPAYGGYGNGFAPAYQQAYYR >Manes.14G014200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1181239:1190721:1 gene:Manes.14G014200.v8.1 transcript:Manes.14G014200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTVPIEEQFSKLHPCLPVNTRIGIVGAGPSGVSAAYALARLGYRNVTILEKHHTVGGMCESVEIEGKVYDLGGQVLAKNSAPVIFHLAKESESELEEMDSHKLALIDSSTGKYEDIKVADDYVSVISLTLELQDKAKDSGRIGVHAVSEFASDSTPAYLQSRGLESVPKSVAYGYTASGYGFPQDMPYAYIHEFTRTSMAGKIRRFRGGYTSLWQKVIKSLPVEVHCNTEVLAIKRNSDGVSVDIKNSNGECKVMEFDKIIISGAFAFKIGKTYRSPSSYLTESETEVMDLNELERELFSKVQTIDYYTTVLKIKGLEDLPIGFYYFNEYMDDPATIGHPVALQKFYADTDIFLLWSYGNSADIKGPSVTDLAIKVVKTMGASVEKVVLQRRFKYFPHVSSQDMKDGFYQRLESELQGLRNTYYVGGLMAFELTERNSSYAMALICKQFANNNSLPMFPYVKSLFPLKSDCWDRKPKELGELPGVEAPDLSTLPDYLKRWGMHSSTQNKTLYTWINETGAVVCQRTYGELLANASYIAQKLLSSQKPVIKPGDRVLLVHVPGLDFVDAFFGCLIAKVLPVPVLPPDPMQRGGQALMKIENIAKSCNAVAILSTLIYHSAVRAGFVKNLISLTGKNGKSPARWPNLPWLYTDTWIKNSKGLLQQNMNHQSECQPDDLCFLQFTSGSTGDAKGVTITHGALIHNVKLMRRRYKSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTAVLFSPLTFIKNPLLWLQTMSKYKATHSAGPNFAFELVIRRLESNKEKVQNFDLSSMIFLMVAAEPVRQKTLKRFIELTRPLGLSQEVMAPGYGLAENCVFVSCAYGEGKPILIDWQGRVCCGYTNPGDADVDIRIVDPESGEEFEEDGREGEIWISSPSGGIGYWGREEQSQTTFKNLLKDQPGKKYTRTGDLGRIIDRKLFITGRIKDLIIVAGRNVYSADVEKTVESVSEILRPGCCAVVGVPEEVLSAKGISIPDGSDQVGLVVIAEVRDGKPVDNDVVEQIKNRVTEEHGVPVACVKLIKPRTISKTTSGKIKRFECIKNFTDGTLNVVPDPILSKRTLFRSFTTGTCKEGKTPRPELVSSPIQTSKLGNREIVEFLKGLVSEQTGVPVKNISATENLTSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTATCISDLASFSENLVMKSQPHLLNSPSQLPEPDIDSSEMVTEISKTHQIYIWCLQLLALMYISIMLSFPAYLSVSAFTSFISASLAPVDQIHWSGYLISVAAAPFAWMFCMLCTCTCIALLGNAFLRPNYALNPEISIWSLDFVKWWALYKVQEISSKVFAQHLRGTVFLKYWFEMLGARIGSSVLLDTTDITDPSLVSIGEGAVIAEGALIQAHEVKNGKLSFLPIRIGRNSSVGPYAVIQKGSVLGEESHVAALQKCEGDKITFKSGKLHNIQKGGMQQNPNMSEAIYHLMGIYVVGFLSSLSAAIVYLLFIWLSHESASLQHFSFLCICGAFHWIPFTVIVYAIMFAGVTTSPVNFAISVAVAYLAHGLILGFLTCTLTHFLSGREEKEQSHLKTWLRHRITIAYHLRFAKLLSGTEAFCMYLRLLGAKVGKHCSIRAINPVSDPELITIGSGVHLGDFSRMIAGFYSSDGFTKGKIEVQDNSVVGSQSLMLPGSVIQKDVILGALSVAPVNSVLQRGGVYIGSHTPVMIKNTMHALDERIEEMDIKYKKIVGNLAANLAATTLKVKSRYFHRIGVSGKGYLQIYDSIKGLPEHQIFFPGKRYPIVIRHSNSLSADDDARIDARGAAIRILSDENELGSKSSLLDLTLKTGKAFYARTIADFATWLVCGLPAREEFVKRVPHVRDAVWMSLRNANSYAELHYYSNICRLLRFTDGQEMYVKFKLRPFDESISEDSGKVEPIGILPPETGAIPRDDKDTRPLLFLAEDFQRRVRSAGGVRYIFQLQVRAIPNDEASRDIALDCTKPWDETEFPNIDIGEITIDQNLTREESERLEFNPYLRCHELDVIGATSCSQSASIDHGRSLIYEICQHLRNGEPLPEAWRIFIEQSDVKVDLSGCPMAAMLEKKESNKVTLARTWYQTSWAIFAQPLLQTVLPYFLMGLVIFTPLNWVLCLKESKKLSLHWLLPLVWVSSGTLAALACVVAKWILLGKKKEGQTVLIWSKGVFMDTVWQAFKTVVGDYFIEMTSGSIFFNLWLKLMGSNIELEQGAYVDSMGATLNPEMVEIERGGCVGKEALLFGHIYEGEAGKVKFGKISVGEGGFVGSRAIAMPGVRVESGGNLSALSLAMKGEIVR >Manes.04G121200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32296277:32310469:-1 gene:Manes.04G121200.v8.1 transcript:Manes.04G121200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDLKSHVCWHVYGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNASKAVEILEAYEGTLEDDYPPDNELCEHGEMLLYKVSLLEECGSVERALEELHKKGPKIVDKLAYKEQEVPLLVKLGRLEEGAELYKALLAMNPDNYRYYEGLQKCVGLYSDSGHYSADEIVKLDDLYKSLGQHYTWSSAVKRIPLDFLQGDAFYEAAANYIRPLLTKGVPSLFSDLSPLYGHTGKADILEKLILELEHSIRLTGRYPGRTDKEPPSTLMWTLFFLAQHYDRRGQYDIALAKIDEAIEHTPTVIDLYSVKSRILKHAGDLAAAAALADEARCMDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLYDSPPKSITEEDEEMSKLLPSQKKKMRQKQKKAEARAKREAEVKNEESSASGVSKSGKRHVKPVDPDPNGEKLLQVEDPLLEATKYLKLLQKNSSDSLETHLLSFEVNMRKQKILLALQAVKHLLRLDAENPDSHRCLIRFFHKVGSMSTPVTDTEKLIWCVLEAERPSISELHEKSLIDANKLFLEKHKDSLVHRAAVAEMLYVLEPKRKSEAIKLIEDSANNLVPTNGTFGPVNGWNLKDCIVVHKLLETGLADHDAASRWKVRCAEYFPYSTYFEGKCSSAMPNSVYNQIGKSHENGSASHPGAGKISDSIASNGKLEAFKDLTI >Manes.04G121200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32296277:32310469:-1 gene:Manes.04G121200.v8.1 transcript:Manes.04G121200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYDLVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALKIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNASKAVEILEAYEGTLEDDYPPDNELCEHGEMLLYKVSLLEECGSVERALEELHKKGPKIVDKLAYKEQEVPLLVKLGRLEEGAELYKALLAMNPDNYRYYEGLQKCVGLYSDSGHYSADEIVKLDDLYKSLGQHYTWSSAVKRIPLDFLQGDAFYEAAANYIRPLLTKGVPSLFSDLSPLYGHTGKADILEKLILELEHSIRLTGRYPGRTDKEPPSTLMWTLFFLAQHYDRRGQYDIALAKIDEAIEHTPTVIDLYSVKSRILKHAGDLAAAAALADEARCMDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLYDSPPKSITEEDEEMSKLLPSQKKKMRQKQKKAEARAKREAEVKNEESSASGVSKSGKRHVKPVDPDPNGEKLLQVEDPLLEATKYLKLLQKNSSDSLETHLLSFEVNMRKQKILLALQAVKHLLRLDAENPDSHRCLIRFFHKVGSMSTPVTDTEKLIWCVLEAERPSISELHEKSLIDANKLFLEKHKDSLVHRAAVAEMLYVLEPKRKSEAIKLIEDSANNLVPTNGTFGPVNGWNLKDCIVVHKLLETGLADHDAASRWKVRCAEYFPYSTYFEGKCSSAMPNSVYNQIGKSHENGSASHPGAGKISDSIASNGKLEAFKDLTI >Manes.08G089800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29607517:29610649:1 gene:Manes.08G089800.v8.1 transcript:Manes.08G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQFRFLLLLLCLLLPALVDCRVRRYKFDVVMKNTTRLCSTKPIVTVNGRFPGPTLYAREGDTVLVRVVNKVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLLWHAHILWLRSTVHGAIVILPKHGVPYPFPTPDKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGVVSNCPSQGGFTLPVESGKTYMLRLINAALNEELFFKIAGHQLTVVEVDALYVKPFKTDTVLIAPGQTTNILVTADKSTGKYLVAASPFMDAPIAVDNRTATATLHYSGTLGSSPTTLTNPPPKNATAVANKFINSLRSLNSEKYPANVPLTIDHNLFFTVGLGVNPCPACKAGNGSRVVASINNVTFVMPTTALLQAHFFNISGVFTSDFPANPQHSFNFTGSGPANLQTSNGTKVYRLKYNSTVQLVMQDTGIVAPENHPVHLHGFNFFVVGRGIGNYNPNTDPQNFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESLLPPPNDLPKC >Manes.10G108981.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26662261:26664508:-1 gene:Manes.10G108981.v8.1 transcript:Manes.10G108981.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVAAAGSLLSGWFQGLLDRLNSIDLMKYVGQRQVLDQLMKWEEMLQRIYAVLEDAEEKQTTNRLGEIWLRDLRDLAYDLEDIIDELAMEVQQRKLEDEPVHPKNKVHEFFCGMCGGGNLNLNTIKFNLEMVAKIEETSARLDEIIKQKDELRLAEYTTRRVSHVTERPPATSLVNEAKVYGREEDKKAMLKLLNAETSDAQVSVISIVGMGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVSRVTKTFLLQLGDGGDDKDLNVLQVKLKQKLSGNKFLVVLDDVWTLNYEEWTLFWGPFEAGAPQSRVIVTTRSQDVSLMMGTTQAYALKKLSYNECMSVLAQHALGANNFDAHLELKQMGKEIVKRCGGLPLAAKALGGILKGKTNPDLWKEVLSSEMWELPDKDNRSNILPALKLSYLHLPPHLKRCFSYCAILPKDREFDRNELVLLWMAQGFLYDQKKMKDSEGLGQNYFDDLLSRSFFQQSNDNKSMYIMHDLIIDLARNVSGETCLHMVDKFLA >Manes.07G079433.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24545671:24547510:1 gene:Manes.07G079433.v8.1 transcript:Manes.07G079433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRCFRGFLGDVFRVMLSYVGPSFESTYVAVRFSGRYTNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVFGIYVKNCTIYDTDNGLRIKTWPALYGGITSNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTTVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNFKPRIIGKLIPGG >Manes.05G039000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3189682:3194289:-1 gene:Manes.05G039000.v8.1 transcript:Manes.05G039000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASTSSVSSPAPHSLDTSPFLTRSIADHILRSRRFLRRPPQPFRGAAARLLGRSSSRRMTLQEPSVSMRVNAESDWAFSKPIIVLDVLWNLAFVVIAVVLLGLSVKEEPEVPLRLWIIGYGLQCVFHIACVIFQYRRRPSGRSVGFGGNGDSTSVLGSDGGDSVGYGAEQRASDDETSTAKHLESANTIFSFIWWIVGFYWVTAGGQNLPRDSPQLYWLCIFFLAFDVISVFICAAVACLIGFALCCCLPCIVGILCSMTDQEGATTDEIDQLPKYRFRRILDFEKIDGEIQVSTGGTMTECEFDMPTELLLSHEDANCCICLSAYEDGTELRDLPCHHHFHRACIDKWLYINAICPLCKFNILRATNQIGNEEV >Manes.15G155600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12862860:12866322:-1 gene:Manes.15G155600.v8.1 transcript:Manes.15G155600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTCLMQQQPFSYASGIPSEANQGNPLHALGQSVSFGRFMSESLAWEKWSTFSHNRYVEEAERFSRPGSVAQKKAYFEAHYKNLAARKAAAALLEQANAAAANNVSEPAHEGEVQEHATQDPGSAATAEASFVAHDNGASSLVEMEKSESRKVEEVASTREKQGDMEDLAKVETLNQVNVADNEGEMQEPELSGTKLMEKPLLKDFISNEEDLGSTNKKKPAVHSSKSLISGRTSKLPCTPAKPAASVRIRKENNATPISKKSALNSIDKKRSTPKSTYKSMNFTPVRELNKITSTIIRKIDGSKVSSNSKASKDCPTPLRTPTTASILRETKRPVATPQSDNRREKTPRHPSASGSKTGRPKWHFLPTDCSKFMSACRNKYQSPNLSTPFKLRTEERATRRKEKLEEKFNANQAQKMQLQATLKEKAETEIKRLRQTLCFKARPLPDFYRERATSKNQLEKVPLTHPQSQDLGRTPTSRIVQSNSQPPQRSKSPFKNNSSKHSMGKKSDNSHSLTSRVRWITNENTSPNIQHKRVAKS >Manes.15G155600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12862860:12866352:-1 gene:Manes.15G155600.v8.1 transcript:Manes.15G155600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTCLMQQQPFSYASGIPSEANQGNPLHALGQSVSFGRFMSESLAWEKWSTFSHNRYVEEAERFSRPGSVAQKKAYFEAHYKNLAARKAAAALLEQANAAAANNVSEPAHEGEVQEHATQDPGSAATAEASFVAHDNGASSLVEMEKSESRKVEEVASTREKQGDMEDLAKVETLNQVNVADNEGEMQEPELSGTKLMEKPLLKDFISNEEDLGSTNKKKPAVHSSKSLISGRTSKLPCTPAKPAASVRIRKENNATPISKKSALNSIDKKRSTPKSTYKSMNFTPVRELNKITSTIIRKIDGSKVSSNSKASKDCPTPLRTPTTASILRETKRPVATPQSDNRREKTPRHPSASGSKTGRPKWHFLPTENKYQSPNLSTPFKLRTEERATRRKEKLEEKFNANQAQKMQLQATLKEKAETEIKRLRQTLCFKARPLPDFYRERATSKNQLEKVPLTHPQSQDLGRTPTSRIVQSNSQPPQRSKSPFKNNSSKHSMGKKSDNSHSLTSRVRWITNENTSPNIQHKRVAKS >Manes.15G155600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12863253:12866322:-1 gene:Manes.15G155600.v8.1 transcript:Manes.15G155600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLAWEKWSTFSHNRYVEEAERFSRPGSVAQKKAYFEAHYKNLAARKAAAALLEQANAAAANNVSEPAHEGEVQEHATQDPGSAATAEASFVAHDNGASSLVEMEKSESRKVEEVASTREKQGDMEDLAKVETLNQVNVADNEGEMQEPELSGTKLMEKPLLKDFISNEEDLGSTNKKKPAVHSSKSLISGRTSKLPCTPAKPAASVRIRKENNATPISKKSALNSIDKKRSTPKSTYKSMNFTPVRELNKITSTIIRKIDGSKVSSNSKASKDCPTPLRTPTTASILRETKRPVATPQSDNRREKTPRHPSASGSKTGRPKWHFLPTENKYQSPNLSTPFKLRTEERATRRKEKLEEKFNANQAQKMQLQATLKEKAETEIKRLRQTLCFKARPLPDFYRERATSKNQLEKVPLTHPQSQDLGRTPTSRIVQSNSQPPQRSKSPFKNNSSKHSMGKKSDNSHSLTSRVRWITNENTSPNIQHKRVAKS >Manes.15G155600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12862860:12866352:-1 gene:Manes.15G155600.v8.1 transcript:Manes.15G155600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTCLMQQQPFSYASGIPSEANQGNPLHALGQSVSFGRFMSESLAWEKWSTFSHNRYVEEAERFSRPGSVAQKKAYFEAHYKNLAARKAAAALLEQANAAAANNVSEPAHEGEVQEHATQDPGSAATAEASFVAHDNGASSLVEMEKSESRKVEEVASTREKQGDMEDLAKVETLNQVNVADNEGEMQEPELSGTKLMEKPLLKDFISNEEDLGSTNKKKPAVHSSKSLISGRTSKLPCTPAKPAASVRIRKENNATPISKKSALNSIDKKRSTPKSTYKSMNFTPVRELNKITSTIIRKIDGSKVSSNSKASKDCPTPLRTPTTASILRETKRPVATPQSDNRREKTPRHPSASGSKTGRPKWHFLPTDCSKFMSACRNKYQSPNLSTPFKLRTEERATRRKEKLEEKFNANQAQKMQLQATLKEKAETEIKRLRQTLCFKARPLPDFYRERATSKNQLEKVPLTHPQSQDLGRTPTSRIVQSNSQPPQRSKSPFKNNSSKHSMGKKSDNSHSLTSRVRWITNENTSPNIQHKRVAKS >Manes.15G155600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12863253:12866322:-1 gene:Manes.15G155600.v8.1 transcript:Manes.15G155600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLAWEKWSTFSHNRYVEEAERFSRPGSVAQKKAYFEAHYKNLAARKAAAALLEQANAAAANNVSEPAHEGEVQEHATQDPGSAATAEASFVAHDNGASSLVEMEKSESRKVEEVASTREKQGDMEDLAKVETLNQVNVADNEGEMQEPELSGTKLMEKPLLKDFISNEEDLGSTNKKKPAVHSSKSLISGRTSKLPCTPAKPAASVRIRKENNATPISKKSALNSIDKKRSTPKSTYKSMNFTPVRELNKITSTIIRKIDGSKVSSNSKASKDCPTPLRTPTTASILRETKRPVATPQSDNRREKTPRHPSASGSKTGRPKWHFLPTDCSKFMSACRNKYQSPNLSTPFKLRTEERATRRKEKLEEKFNANQAQKMQLQATLKEKAETEIKRLRQTLCFKARPLPDFYRERATSKNQLEKVPLTHPQSQDLGRTPTSRIVQSNSQPPQRSKSPFKNNSSKHSMGKKSDNSHSLTSRVRWITNENTSPNIQHKRVAKS >Manes.15G155600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12862860:12866322:-1 gene:Manes.15G155600.v8.1 transcript:Manes.15G155600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTCLMQQQPFSYASGIPSEANQGNPLHALGQSVSFGRFMSESLAWEKWSTFSHNRYVEEAERFSRPGSVAQKKAYFEAHYKNLAARKAAAALLEQANAAAANNVSEPAHEGEVQEHATQDPGSAATAEASFVAHDNGASSLVEMEKSESRKVEEVASTREKQGDMEDLAKVETLNQVNVADNEGEMQEPELSGTKLMEKPLLKDFISNEEDLGSTNKKKPAVHSSKSLISGRTSKLPCTPAKPAASVRIRKENNATPISKKSALNSIDKKRSTPKSTYKSMNFTPVRELNKITSTIIRKIDGSKVSSNSKASKDCPTPLRTPTTASILRETKRPVATPQSDNRREKTPRHPSASGSKTGRPKWHFLPTENKYQSPNLSTPFKLRTEERATRRKEKLEEKFNANQAQKMQLQATLKEKAETEIKRLRQTLCFKARPLPDFYRERATSKNQLEKVPLTHPQSQDLGRTPTSRIVQSNSQPPQRSKSPFKNNSSKHSMGKKSDNSHSLTSRVRWITNENTSPNIQHKRVAKS >Manes.01G039024.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7436008:7443171:-1 gene:Manes.01G039024.v8.1 transcript:Manes.01G039024.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTLNRLCNLIELDLGNNKFSGEISGTFGNSSTCIKNSLENLSLLNNSFSGSIPDNFGQFKRLKVLYLSENSFWGSIPVSIGQLYNLERLSFRQNSLHGEVSELHLLNMRSLIELSMDGNSLVFDIDPEWIPPFQLDWIGLSSCEVGPSFPQWLKTQKSIRFLQMSNASISGNIPDWFENISSNIVGLDLSYNQLFGTLPTFRKLNTTDANEYRIIVLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNLSEMMPSLRLLSLSNNYLNGTVPATLCWIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNILSGDVPMSLGSQESLVSLHLENNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPWWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPTCFGNFTAIAMHEQKGHWEYYSNAVPYVGFVRGYGENVQVYVKGIELEYTSTLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSLLESLDLSENELSGSIPFSISDLNFLSHLNLTFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.14G055233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4725491:4729153:-1 gene:Manes.14G055233.v8.1 transcript:Manes.14G055233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEGTQQPHLVLAHKRFLLTHPDVQDIEKVRLKEEVFAAVKADDMVPLYETLVSEGLLEKDQSFLDSMRTKNDEELKRLEEKIADAEENLGESEVREAHLAKSLFYIRIGDKEKALEQLKVTESKTVAVGQKMDLVFYTLQLGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAAKFFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKSFFSAFAGLTEHIKLDRYLHPHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDLELSHFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Manes.13G063775.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7617374:7618270:1 gene:Manes.13G063775.v8.1 transcript:Manes.13G063775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLISSSCGLRAWDSPVQTQMAVAIFNSPLGGEYHENRRMEGKQPAGRRRVFVQTKTGCVLRTEVDRGDNAHTVKRRLQIALKVPTEESCLTFGDMVLSNDLSPVHNDSPLLLTRNIGGPTF >Manes.02G199850.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16918570:16930202:-1 gene:Manes.02G199850.v8.1 transcript:Manes.02G199850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFFFYISDTFEYPIPYWFHHWWNKFGISEDIIPDQIQIAQKQFFDTINLPDLVNCSPRWLIYSHYFHIPWIFMIEYQITDQPLDNFQIPMLVRKYKTKWWPKTNLQGCGPEALEPFFAKYPQLCKSPSSFTITKQETFLARKSQIMAQLAACTSEQEYEQLMEETRSSTASPSPVDLADDNDDFFTQAEM >Manes.08G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34532989:34546039:1 gene:Manes.08G105300.v8.1 transcript:Manes.08G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKVYLTYKRKLPLSRTVIAHENGCHNSLSEGPCDTSVTAPEKHDAPSDENKSENQQRNSLVRDKGNVFGGFEDFELAHGDMNEGFNDVLPFGFIAFKIFPGCVFCGVRGNLLQCKDCHQPYHHECLDRLLKSRHTLNMERVGCGCELQGPSVLRPIQKSSGLEEPKHVGGSNKKPMAISSCKSPVMGSLEEGTSGKDTEAPPYLDISLKSKLHHVQINSCSVMDMDSEEKSSSESTGINNGKNSDFVSSKSSLESSCIAVCDTDSCFLKSSSSEGTGTLSKDKSTESPGHLHGPSKLSVPLITFSRRCKRKKDDNEDDKKGLFLGENNCSFRTKWSKTTDSAASLEVPLGDKSRDLELPSVDADSRNMFHQNQDNIKAVDCAHVHVDSALNAKPSSTFEEEPSHGSKSTSKDGSPSTRKGQSFKVIINTIETLLCSLHISSNDAARYPCEATVIDKELENAKHQDGTKILSSDVMKAITVPDCTKEGKQLYLDLSITPDSCGTLDHDVDLALCYRNDPVHDASESLRGSFDSTSRSHATVLDQLPPPELLQGKNKRVGDVSPAHSTGALSDTSTSVEEAGVSSKDDDAACPGLSMDNASKIKCLQLFSKEKDNSFHLAITKPEVATCMGLEGSNSLRFQSDNDQERKTSCGSLLDLGLSLPSDSNMGNYYTKKCSTTLPMWNSDSKMRDFVQDALPQTSSSHAASLLRHKLMLDSIVIRASALNAKGGFQDHCKSYTTLWSEDELDSLWIGVRRHGRDNWHAMLRDPRLHFFSWRTARDLCEQWEEEQAKLLNGSCVLQFSSPITHDISLDNNGRQTCTNAGIWRENATEETKLSLGDVYAHRTSSSSKRRHVNFTGVGCNDIKQFHRPATYPRSASYSEYEGEIYSKGLYDHLGRMTVPRHDPLLINNHYTALASKGNLPHWLREAVNTPPPRPMEATLFPGFSSIAHSEGTRVVKPYPDPSELHLSGMRNRVDGNFGSAKANKLHPSASAHRSNISLGMTYRKSDMCSSLGIVNKPDNLIVIDSDASSEETISDDHSARP >Manes.05G006100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1200127:1203811:1 gene:Manes.05G006100.v8.1 transcript:Manes.05G006100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQKVGHRSFKHSKTFPLSNAGEKEIDDNPVAEPDSGDDSEDFELPELCCELGLVEGQVCSIPYELFDLPDLKEILSLDTWNSCLTEEERYCLSAYLPDMDQQTFCLTMNELFNGADLYFGNPLDVFFKRLKGGFYPPKVARLREALQFIQRRKYYHSLRSYHDRMTQMFVEMRRTWDQCEMNSGVEEKISMWKNKRKQKAINLLDLNKFPKDDQLLIEEVSLHTEGMKPVERKTAKDVLPTLSANGVKFAPKHIAKGVLKMKASVNGLFPNHNPKIIGCDILEQCRSVPKGLLKMVPKVPSTRLEQSEMLPRGAQPTFLVRTQGLPLLPAYTHFPDSGGLYGSPFLRQKVDGSRVHSLNQSHYLLNQQESTMRTSIHSESSTSKIERQIIPSLDNIMVLGKQTLLAGDMGRDTNEEFPPMNPISVRRTFGIDSLRPHLHKGTKDFSLRSLEPYPFDVQYHSRQQRMALMKDEHITVYPRIPEAVPRTSAIGNSKQEVLMASSADPMRGESDTSGKKAEKLLSSSSVSEGFKDEHMLPLTYKRRKALAKTNSIDTGKTITAGADFSCNERLEEGGKAVKIKFTGWKDMPLNEEP >Manes.05G006100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1200054:1204050:1 gene:Manes.05G006100.v8.1 transcript:Manes.05G006100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQKVGHRSFKHSKTFPLSNAGEKEIDDNPVAEPDSGDDSEDFELPELCCELGLVEGQVCSIPYELFDLPDLKEILSLDTWNSCLTEEERYCLSAYLPDMDQQTFCLTMNELFNGADLYFGNPLDVFFKRLKGGFYPPKVARLREALQFIQRRKYYHSLRSYHDRMTQMFVEMRRTWDQCEMNSGVEEKISMWKNKRKQKAINLLDLNKFPKDDQLLIEEVSLHTEGMKPVERKTAKDVLPTLSANGVKFAPKHIAKGVLKMKASVNGLFPNHNPKIIGCDILEQCRSVPKGLLKMVPKVPSTRLEQSEMLPRGAQPTFLVRTQGLPLLPAYTHFPDSGGLYGSPFLRQKVDGSRVHSLNQSHYLLNQQESTMRTSIHSESSTSKIERQIIPSLDNIMVLGKQTLLAGDMGRDTNEEFPPMNPISVRRTFGIDSLRPHLHKGTKDFSLRSLEPYPFDVQYHSRQQRMALMKDEHITVYPRIPEAVPRTSAIGNSKQEVLMASSADPMRGESDTSGKKAEKLLSSSSVSEGFKDEHMLPLTYKRRKALAKTNSIDTGKTITAGADFSCNERLEEGGKAVKIKFTGWKDMPLNEEP >Manes.17G053400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25034554:25034820:1 gene:Manes.17G053400.v8.1 transcript:Manes.17G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATMMRASILIVLILSVDVVDLEALSLRGSRAISKRIDSNTLLERLYDLSKMKHVMKEKEMDTSTSRVSPGGPDPEHHSEPSSALP >Manes.12G026800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2365460:2367939:-1 gene:Manes.12G026800.v8.1 transcript:Manes.12G026800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYKDEPPAIRVYTVCDESRYLIVRNVPALGCGDDLCNLFASYGDVEDFKPMDAEDCDQFTDVYWIKFRLVSNARFAKRKLDEFVFLGNRLQVSYAPHFESPSDTKDKLEGRRKEVLARLNPGRSGPRVHNAGSSSEASSVAATSQTNYISQHTNSDQFWNAGGSQNKSQINDPPITQVSSDQEYFPSYSMNQTVRLVREKLNKIQSSTEHLQAAPASKKSRVDNRRRI >Manes.12G026800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2365460:2367939:-1 gene:Manes.12G026800.v8.1 transcript:Manes.12G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLWVAAMICATFLLPMETLKSNFKPMDAEDCDQFTDVYWIKFRLVSNARFAKRKLDEFVFLGNRLQVSYAPHFESPSDTKDKLEGRRKEVLARLNPGRSGPRVHNAGSSSEASSVAATSQTNYISQHTNSDQFWNAGGSQNKSQINDPPITQVSSDQEYFPSYSMNQTVRLVREKLNKIQSSTEHLQAAPASKKSRVDNRRRI >Manes.12G026800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2365460:2367939:-1 gene:Manes.12G026800.v8.1 transcript:Manes.12G026800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYKDEPPAIRVYTVCDESRYLIVRNVPALGCGDDLCNLFASYGDVEDFKPMDAEDCDQFTDVYWIKFRLVSNARFAKRKLDEFVFLGNRLQVSYAPHFESPSDTKDKLEGRRKEVLARLNPGRSGPRVHNAGSSSEASSVAATSQTNYISQHTNSDQFW >Manes.01G147400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33731381:33733513:-1 gene:Manes.01G147400.v8.1 transcript:Manes.01G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMWNAPPGFRPTKSAPTSPAKPLGVSRIRSESFHAIHKVPVGDTPYVRAKNVQLIDKDPEKAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLKRKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYVEAEDAYRRALSIAPDNNKMCNLGICLMKQGRITEAKETLRRVKPAVADGPRGVDSHLKAYERAQQMLNDLESEMMNKGGDRVEQSRLFDSFLGSSSIWQPQPCHDHKILPMSNASKFQDDFGDENVDSNIVTTQAVLPQQKSIKQSALFGNSLNVDAPPFYSLKTVKEPTGNQFHETLKRTRSGNATNSKRGNEMGVVNMSSVEPDKPETKSRRLSDETEERLSELLPDNKDFEDAILAAVLGPANETGKPVETVTTTIFQTKIEKRLKVFQDITLSLSPRA >Manes.02G195600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:24470289:24472448:-1 gene:Manes.02G195600.v8.1 transcript:Manes.02G195600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTQSPIHIKLILICFFFLLLSLLIIWSNFTSSHTNSSPKPETYLANSTSLPPDEQEQSSSSSSSSSSSSSSSSSSSSCPSLPLNPTCNKTPPSLANALVHYATTNITPQQTLKEISVTLRVLEKKSPCNFLVFGLGHDSLMWTSLNHGGRTVFLEEDKSWIEKIKKKIPSLESYHVSYDTKVHQADGLMEAGMGEECKVVSDPRFSKCQLALKGFPSDVYDIEWDLIMVDAPTGYHDEAPGRMTAIYTAGLMARNRESGEIDVFVHDVDRVVEDKFSKAFLCEGYMREQEWRLRHFTIPSHRTRSGRPFCP >Manes.02G195600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:24470289:24472419:-1 gene:Manes.02G195600.v8.1 transcript:Manes.02G195600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTQSPIHIKLILICFFFLLLSLLIIWSNFTSSHTNSSPKPETYLANSTSLPPDEQEQSSSSSSSSSSSSSSSSSSSSCPSLPLNPTCNKTPPSLANALVHYATTNITPQQTLKEISVTLRVLEKKSPCNFLVFGLGHDSLMWTSLNHGGRTVFLEEDKSWIEKIKKKIPSLESYHVSYDTKVHQADGLMEAGMGEECKVVSDPRFSKCQLALKGFPSDVYDIEWDLIMVDAPTGYHDEAPGRMTAIYTAGLMARNRESGEIDVFVHDVDRVVEDKFSKAFLCEGYMREQEWRLRHFTIPSHRTRSGRPFCP >Manes.12G051400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4838769:4844401:-1 gene:Manes.12G051400.v8.1 transcript:Manes.12G051400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGAVLTAAAKQAEQLRIDGNTYFRKDRFGAAIDAYTEAITLCPNVPIYWTNRALCHRKRNDWTKVEEDCRKAIEIDHNSVKAHYMLGLALLQKNELAEGIKALQRALDLGRGADPKGYMVEEIWQELAKAKYMEWEQASTKRSWELQSLKEACENALKEKHFLDNSQTEGFLDETIASHLKQLEALGQVFERAVEDDAPSEVPDYLCCKITLDIFRDPVITPSGVTYERAVILDHLKKVGKFDPITREPLDPSQLIPNLAIKEAVQAYLRKHGWAYKMDWI >Manes.05G073100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6023430:6025747:1 gene:Manes.05G073100.v8.1 transcript:Manes.05G073100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIDLLHDATRREARGG >Manes.05G073100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6023663:6025500:1 gene:Manes.05G073100.v8.1 transcript:Manes.05G073100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDIDLLHDATRREARGGCWMFVLATSSLKLKLTSIEWSKLLLGSCMTM >Manes.09G010500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2113484:2117298:-1 gene:Manes.09G010500.v8.1 transcript:Manes.09G010500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAVDLRSSVTSNPSSFQNPSFDSSFLNSCVDDHQQSIKSPIFVTANESRDHGGLARRNGLRTGSVASGRTRPRMVKVRKQLHGKSREASGEYGLGFNPFHSIGESSSRSNNDCTSSSNEVLEKCKSNGVDFSNVSFVFGASSSSTSNFSPTMNLDSGERVFNANGEEMSSNVQHAKSENMGFVFKDKQWDFNENEGNSVLKAANGKADSESCVFGSKQKQLSENEGKPISNGDMGKLHNVGFVFGASENNVELEKEESRGCNLGSGSYEVGKVSSGTEVEGGRDSSASFNFGAQRSNLGQNLSFDKGESSGSATNPDPNNAGFIFGATDSNSNRKMGISGTGFVFSASWFSSSDKNEFGGSLQDLVSDHVGKKKVGETADEVEGTEVKIAINRTGILKEDCDKVPYVFGSSSKKSSNSSECMATKCSDDVKSSSGNFESCNGLAQAQCGTSDSRIDGKSKRASFESSSSVANVSMTNPVFTNISDEMKKLNIDSFKNVDGVDSKKFMCRSNKMTSASSNGISVTTPDEWNSEGLTNTAECTDGYPFESTASKDCFNVASASCGISSSETTRSQIGLAKSSAESQSPCSSHGQVIDNTQLNGAAALSSFSSNGLDAQGNAEASEKPSGAVGKENDESSYTSTADRLGVLFTEFITPQWDPSSLKESLFPELNRKLEFSVKARSKKDKKLKTLRGKLRQQCQNKQQQEQYQMENSAQEAINSQECYSPMDFSPYEEITAAEKFSRENSRTSNDPILHDNNSMSSVLYSTVSNSPKDREGLYFEKGGEQNLESSAYNSERCFIGDSPAKAFVFETGSSNCYSEPVCTSSGAGVASIKALSGINTESNHQIQFGFASGLEDIDGSKFSFSAADSAPNSSSAAKRLHRKKNRRKIPCETFVIAANSNNKDQEGDLSASQRKLKNNSEGNEQLKPRSMSSAIAAIQEACETWRLRGNHAYRSGDLTKAEDFYTRGIDSVPSSEKSGCCLKPLIICYSNRAATRMSLGNLREALRDCKAAATLDPGFLKVQVRAAK >Manes.09G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2093566:2117298:-1 gene:Manes.09G010500.v8.1 transcript:Manes.09G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAVDLRSSVTSNPSSFQNPSFDSSFLNSCVDDHQQSIKSPIFVTANESRDHGGLARRNGLRTGSVASGRTRPRMVKVRKQLHGKSREASGEYGLGFNPFHSIGESSSRSNNDCTSSSNEVLEKCKSNGVDFSNVSFVFGASSSSTSNFSPTMNLDSGERVFNANGEEMSSNVQHAKSENMGFVFKDKQWDFNENEGNSVLKAANGKADSESCVFGSKQKQLSENEGKPISNGDMGKLHNVGFVFGASENNVELEKEESRGCNLGSGSYEVGKVSSGTEVEGGRDSSASFNFGAQRSNLGQNLSFDKGESSGSATNPDPNNAGFIFGATDSNSNRKMGISGTGFVFSASWFSSSDKNEFGGSLQDLVSDHVGKKKVGETADEVEGTEVKIAINRTGILKEDCDKVPYVFGSSSKKSSNSSECMATKCSDDVKSSSGNFESCNGLAQAQCGTSDSRIDGKSKRASFESSSSVANVSMTNPVFTNISDEMKKLNIDSFKNVDGVDSKKFMCRSNKMTSASSNGISVTTPDEWNSEGLTNTAECTDGYPFESTASKDCFNVASASCGISSSETTRSQIGLAKSSAESQSPCSSHGQVIDNTQLNGAAALSSFSSNGLDAQGNAEASEKPSGAVGKENDESSYTSTADRLGVLFTEFITPQWDPSSLKESLFPELNRKLEFSVKARSKKDKKLKTLRGKLRQQCQNKQQQEQYQMENSAQEAINSQECYSPMDFSPYEEITAAEKFSRENSRTSNDPILHDNNSMSSVLYSTVSNSPKDREGLYFEKGGEQNLESSAYNSERCFIGDSPAKAFVFETGSSNCYSEPVCTSSGAGVASIKALSGINTESNHQIQFGFASGLEDIDGSKFSFSAADSAPNSSSAAKRLHRKKNRRKIPCETFVIAANSNNKDQEGDLSASQRKLKNNSEGNEQLKPRSMSSAIAAIQEACETWRLRGNHAYRSGDLTKAEDFYTRGIDSVPSSEKSGCCLKPLIICYSNRAATRMSLGNLREALRDCKAAATLDPGFLKVQVRAANCYLALGEIEKAQVYFANCLESGAGVCLDRKITIEAADGLQKARKVAECMNRSAKLFEQQTSDAALSALDVIAEALSISPYSEQLLEMKAESMFMLQKYEEVIQLCEQTLCAAEKNFISSGTDDQFVDKGDSQTNSHSFVRLWRWHLISKSYFYLGRLEAALDLLEKLEQMGSISDKYASKILEPSLSLAVTIRTLFHHKNAGNEAFRSGRYSEALEHYAAAISSNVESRPFAAICFCNSAAAHQALGQIADAIADCSLAIALDGNYSKAVSRRASLHETIRDYGQAASDLERLIILLENPSDGKARQTGTPGRSTSSTKELRQAKRRFSLMEEEAKKGIPLDLYLILGVKQTDTAAEIKKAYRKAALRHHPDKAGQFLARSEIGDEGRLWKDIVQEVHKDADRLFKMIGEAYAVLSDPAKRANYDLEEEIRKASKENKGSHPPRRTSDGYSYSYGRSGNRQRNWQDNWNTYGHSRSRW >Manes.15G090500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7064727:7077594:-1 gene:Manes.15G090500.v8.1 transcript:Manes.15G090500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDHTTGLEDSGKKPLKSHMEGFEDGDVKSKKRKRNLCTVADDECHVMTLENFYKRTILALTKPSYLLGLGFNHVRPENRVRLCHFLQKLVRQHNWMEASGVLSLLLKGTCKDNDPTMNRFKYLVSMEFLKHAENDDINLTTISGIFDTWMTRIGINLANKRKTSEYMKEDLFIVRLESILIHFMHGNIEGERQNARSLMREHGFEGHPMLHMIIGLIFYQLWYSSIPEDMQWKGSDQIYTPSNSNISASPSYSDMSFTRVRYDAGGSEGHNALFSCESESSFQFDSETSVMNDKRMLVEAESDFHKEVVPMEVEVNPHSNPQQDFQPPGFYANSAENEPSIDNDGFHMHSFPNLFALKSLESWLLPLQTKNWELERVIQDDEYENAVKYLREAVYSKPPVMSAFLPFVQLLLIGGNVKEALHELEMFGGYSNASLPTRLRACLLERVDPTDSLTLSTCFEDSLKSDPTCSESLAKLISLHQNGNYSPESLLEMIALHLDAVLAEYNTWREFASCFLKVCQYEEDHMSVCLHGNEGGKKQGYSVHYNRIPKLFMQGRSGKAWRIRCRWWLARHFSKNLLASEMAAGDVELLTYKAACASHMYGSHFDYVVEAYTCLEKRNNRDLLMLLQIHMQNSIGLNLYFQRRTN >Manes.15G090500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7065380:7079144:-1 gene:Manes.15G090500.v8.1 transcript:Manes.15G090500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSDRISFKRCVGLLHHFGNRQFRVPTYLPLSQTSVSDGRETPHHSRPFSVLVLQFSPAATAIHPPSRQSRSHPPTHSPKPARVSSLHPSRLQSRSHSHPPTQSPVPQQPTHPLAKARVSSLHPSRLSLTAIARGSRLSLSRLSLAACVSLSHGCRSRLESLLDGRRSHLSLTQSWRQRQRLSHSPQQISLLPHFAANSHHCLFSSSPSERRNPAIILIEAAAGRRCRNSQQKVEEGHFKRSGVCVGVCVGMWEDHTTGLEDSGKKPLKSHMEGFEDGDVKSKKRKRNLCTVADDECHVMTLENFYKRTILALTKPSYLLGLGFNHVRPENRVRLCHFLQKLVRQHNWMEASGVLSLLLKGTCKDNDPTMNRFKYLVSMEFLKHAENDDINLTTISGIFDTWMTRIGINLANKRKTSEYMKEDLFIVRLESILIHFMHGNIEGERQNARSLMREHGFEGHPMLHMIIGLIFYQLWYSSIPEDMQWKGSDQIYTPSNSNISASPSYSDMSFTRVRYDAGGSEGHNALFSCESESSFQFDSETSVMNDKRMLVEAESDFHKEVVPMEVEVNPHSNPQQDFQPPGFYANSAENEPSIDNDGFHMHSFPNLFALKSLESWLLPLQTKNWELERVIQDDEYENAVKYLREAVYSKPPVMSAFLPFVQLLLIGGNVKEALHELEMFGGYSNASLPTRLRACLLERVDPTDSLTLSTCFEDSLKSDPTCSESLAKLISLHQNGNYSPESLLEMIALHLDAVLAEYNTWREFASCFLKVCQYEEDHMSVCLHGNEGGKKQGYSVHYNRIPKLFMQGRSGKAWRIRCRWWLARHFSKNLLASEMAAGDVELLTYKAACASHMYGSHFDYVVEAYTCLEKRNNRDLLMLLQIHMQNSIGLNLYFQRRTN >Manes.15G090500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7064727:7079774:-1 gene:Manes.15G090500.v8.1 transcript:Manes.15G090500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDHTTGLEDSGKKPLKSHMEGFEDGDVKSKKRKRNLCTVADDECHVMTLENFYKRTILALTKPSYLLGLGFNHVRPENRVRLCHFLQKLVRQHNWMEASGVLSLLLKGTCKDNDPTMNRFKYLVSMEFLKHAENDDINLTTISGIFDTWMTRIGINLANKRKTSEYMKEDLFIVRLESILIHFMHGNIEGERQNARSLMREHGFEGHPMLHMIIGLIFYQLWYSSIPEDMQWKGSDQIYTPSNSNISASPSYSDMSFTRVRYDAGGSEGHNALFSCESESSFQFDSETSVMNDKRMLVEAESDFHKEVVPMEVEVNPHSNPQQDFQPPGFYANSAENEPSIDNDGFHMHSFPNLFALKSLESWLLPLQTKNWELERVIQDDEYENAVKYLREAVYSKPPVMSAFLPFVQLLLIGGNVKEALHELEMFGGYSNASLPTRLRACLLERVDPTDSLTLSTCFEDSLKSDPTCSESLAKLISLHQNGNYSPESLLEMIALHLDAVLAEYNTWREFASCFLKVCQYEEDHMSVCLHGNEGGKKQGYSVHYNRIPKLFMQGRSGKAWRIRCRWWLARHFSKNLLASEMAAGDVELLTYKAACASHMYGSHFDYVVEAYTCLEKRNNRDLLMLLQIHMQNSIGLNLYFQRRTN >Manes.15G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1279838:1292388:1 gene:Manes.15G015700.v8.1 transcript:Manes.15G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCFGSSNKEGSGGGAVKEVAKKDSVKEGSVALSHHVGRVSSDKSKSQNGSDPKKEPAIPKDGPTANIAAQTFTFKELAAATKNFRPECLLGEGGFGRVYKGRLESTGQIVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDESYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNNRAPGEHNLVAWARPLFKDRRKFPKMADPFLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNTANQSNRVGPSTPRNRDDPRGMADGLDSPGEHQHGGQHGSPHKNSSDYRKRDAARELSTGSELRRSETGGGSGPKWGLDDYERQDSQRDGSVNSSRARETPRNRDLDRERAVAAAKVWGENWRDKKRANAQGSFDGTNE >Manes.04G007600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:986342:988750:-1 gene:Manes.04G007600.v8.1 transcript:Manes.04G007600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANMNLWVLVLTWFCWTSLFGWARDCPLTSDQQHTTLGYPAVSFYTESATPETYSQFITNLRSQLKSGAESYSIPLLRQESKVTSSQRFVLVTLSNSKNSATIAIDVVNVYVVAYQVGANSYFFEEASTAAFNDLFKGTTKTRFKFGGGYPDLKNLGADRENVDLGIYPLDNAIYSLNKYSTDPRKIAAPLVVIIQMLSEASRISHIERKIVANFHQRFRPLADVLSLENQWGTLSSEIQRSNKGVFKKPVVLQKSDGSFFNVTDVKQIKAYLALLLFVSPNSISSLGHEISSYLSIENLQNWL >Manes.04G007600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:986371:988750:-1 gene:Manes.04G007600.v8.1 transcript:Manes.04G007600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANMNLWVLVLTWFCWTSLFGWARDCPLTSDQQHTTLGYPAVSFYTESATPETYSQFITNLRSQLKSGAESYSIPLLRQESKVTSSQRFVLVTLSNSKNSATIAIDVVNVYVVAYQVGANSYFFEEASTAAFNDLFKGTTKTRFKFGGGYPDLKNLGADRENVDLGIYPLDNAIYSLNKYSTDPRKIAAPLVVIIQMLSEASRISHIERKIVANFHQRFRPLADVLSLENQWGTLSSEIQRSNKGVFKKPVVLQKSDGSFFNVTDVKQIKAYLALLLFVSPNSISSLGHEISSYLSIENLQNWL >Manes.06G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26532356:26535644:1 gene:Manes.06G137400.v8.1 transcript:Manes.06G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEEGGLFLQRLVSPLNWLLVEFFSFAGNRRKRENFRSTEMGNAWCLLCGCVDQASIGIVERWGRFEKLAEPGLHFFNPLAGQCLAGILSTRINSLDVRIETKTKDNVFVQLLCSIQYRVVKENADDAFYELANPKEQIQAYVFDVVRALVPRMTLDELFEQKGEVAKAVLEELEKVMGAYGYSIEHILMVDIIPDASVRKAMNEINAAQRLQLASVYKGEAEKVLQVKKAEADAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGSSSKNTTVFIPHGPGHVRDVGEQIRNGLMEAASAQVNVE >Manes.02G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8284117:8290634:-1 gene:Manes.02G106200.v8.1 transcript:Manes.02G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNSTPRKSLYPEVIHSNPDLQSSSSNLYPTIDMRDLVENLFPDPQEYYHHAPSAPPEAIEEVLIKIPGAILNLIDKNYSVELACGDLSIVRLRQGDNIFAVLARVADEIQWPLAKDEAAVKLDDSHYFFSLRMPKEHHDSDSSSDEDDKKSRNENGSDSNDILNYGLTIASKGQEALLKEFDGILQAYSCFTVQKVSESAITKGEALLSDLTVKETSPADLQYKEKKEKMEGRCAAYWTTLAPNVEDYSSTAAKVIAVGSGHLIKGILWCGDVTVDRMKWGNEVMKRRMSPKSKSEISPDTMKRIRRVKKLTKRTEKVANGVLSGVLKVSGIFTSKVANSKVGKKFFGLLPGEIVLASLDGFNKLCDAVEVAGRNVMSTSSTVTTELVNHRYGEQAAEATSEGLDAAGHAIGTAWAAFKLRKALNPKSSLKPSSLAKSALKAAAAEMKDKNSK >Manes.02G106200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8285760:8290634:-1 gene:Manes.02G106200.v8.1 transcript:Manes.02G106200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNSTPRKSLYPEVIHSNPDLQSSSSNLYPTIDMRDLVENLFPDPQEYYHHAPSAPPEAIEEVLIKIPGAILNLIDKNYSVELACGDLSIVRLRQGDNIFAVLARVADEIQWPLAKDEAAVKLDDSHYFFSLRMPKEHHDSDSSSDEDDKKSRNENGSDSNDILNYGLTIASKGQEALLKEFDGILQAYSCFTVQKVSESAITKGEALLSDLTVKETSPADLQYKEKKEKMEGRCAAYWTTLAPNVEDYSSTAAKVIAVGSGHLIKGILWCGDVTVDRMKWGNEVMKRRMSPKSKSEISPDTMKRIRRVKKLTKRTEKVANGVLSGVLKVSGIFTSKVANSKVGKKFFGLLPGEIVLASLDGFNKLCDAVEVAGRNVMSTSSTVTTELVNHRGIVIASYNRK >Manes.18G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1448541:1450528:-1 gene:Manes.18G012600.v8.1 transcript:Manes.18G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFSSYAIYITLTLFTVSTSLIGGVSGAKFTLINRCSYTVWPGILANAGTAALDSTGFELPPGGSRSFQSPPNWSGRFWGRTGCTFDPVTGQGSCQTGDCSSNLIECNGKNANPPATLAEFTIGSSTQDFYDVSLVDGYNLPMIVEPSGGSGNCLSTGCITNLNQQCPAELRVGSGEACKSACEAFGSPEYCCSGAYGTPDTCKPSVYSQMFKAACPRSYSYAYDDATSTFTCSGADYTITFCPSAPSQKSARDPSQTPGTSTTNESEAGSGSGDGLNTSWLSNIFSGDSSTTLSSSAWPFTLIFSLFPFFLYL >Manes.15G191810.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:28546304:28546738:-1 gene:Manes.15G191810.v8.1 transcript:Manes.15G191810.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRETRFSSPASAIRSPSSSLCERSRMDNLFRAEIWVGIVPVSAFQLKFKEDKELGRVPRRVLLLKFSICSSLQLPISAGSVPPIWFSDKSRKLRFFRSPISFGISPLILLLTSRRRIRETQFPTSGGIQPEMSLAIKRSFVRF >Manes.02G204200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17643903:17647230:-1 gene:Manes.02G204200.v8.1 transcript:Manes.02G204200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSKIKMEFGMRMARHVIFREIMSGCRKNLLLSPLSLQFMLNLVASGSSGRSFDQLLSFLESESIGDLNKQASQIMAITTSERSSNSSRQESVSGQQGPFSSYSLSGISGPSISEYQTPLVGFVNGLWVDHRFPLKESFKEIAKDVYNVEVRTIDFITQGKQVKKEANLWAKKATKGLIKKLLPPRSFDKDSMLVFANGLYFKGILVSAFEPSRIRYEAFHLLSGATIKVPFMTGGSGSDMRPFYESFKGFKLLKLPFKSDQDNKQFCMYIFLPDKKFGLQKLMERINSDPRLLHGQLELEKVKLGNVWIPKMTFSYHIEVSSVIKELGLTLPFKETDGELKEMVDSADGEKVRGSKLIHKARIQVSEKGKEAADCNDLLSEVTCGSDVDVQSPPSFVADHPFIFMIKEERSEVIFFVGAVFNPLLEA >Manes.02G204200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17643903:17647230:-1 gene:Manes.02G204200.v8.1 transcript:Manes.02G204200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSKIKMEFGMRMARHVIFREIMSGCRKNLLLSPLSLQFMLNLVASGSSGRSFDQLLSFLESESIGDLNKQASQIMAITTSERSSNSSRQESVSGQQGPFSSYSLSGISGPSISEYQTPLVGFVNGLWVDHRFPLKESFKEIAKDVYNVEVRTIDFITQGKQVKKEANLWAKKATKGLIKKLLPPRSFDKDSMLVFANGLYFKGILVSAFEPSRIRYEAFHLLSGATIKVPFMTGGSGSDMRPFYESFKGFKLLKLPFKSDQDNKQFCMYIFLPDKKFGLQKLMERINSDPRLLHGQLELEKVKLGNVWIPKMTFSYHIEVSSVIKELGLTLPFKETDGELKEMVDSADGEKVRGSKLIHKARIQVSEKGKEAADCNDLLSEVTCGSDVDVQSPPSFVADHPFIFMIKEERSEVIFFVGAVFNPLLEA >Manes.02G204200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17643903:17647230:-1 gene:Manes.02G204200.v8.1 transcript:Manes.02G204200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSKIKMEFGMRMARHVIFREIMSGCRKNLLLSPLSLQFMLNLVASGSSGRSFDQLLSFLESESIGDLNKQASQIMAITTSERSSNSSRQESVSGQQGPFSSYSLSGISGPSISEYQTPLVGFVNGLWVDHRFPLKESFKEIAKDVYNVEVRTIDFITQGKQVKKEANLWAKKATKGLIKKLLPPRSFDKDSMLVFANGLYFKGILVSAFEPSRIRYEAFHLLSGATIKVPFMTGGSGSDMRPFYESFKGFKLLKLPFKSDQDNKQFCMYIFLPDKKFGLQKLMERINSDPRLLHGQLELEKVKLGNVWIPKMTFSYHIEVSSVIKELGLTLPFKETDGELKEMVDSADGEKVRGSKLIHKARIQVSEKGKEAADCNDLLSEVTCGSDVDVQSPPSFVADHPFIFMIKEERSEVIFFVGAVFNPLLEA >Manes.14G008500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:474458:479394:1 gene:Manes.14G008500.v8.1 transcript:Manes.14G008500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKVKKFRKAQKPEPEKDLEDKPVAQPEESKSENARGGTDIVAESEEDDDDFITNEVKRRLKELRRNSFMALIPEEESCPEEEEDEEEGEGETNSIEWRDVEAEGRKWWSGFDTVYEKYCERMLFFDRMILQQLKENGRHTPLTPSPRSATKKLTSPFRCLSLKNMEEPEDETEHLQQPWNDPYQDLEIAYVAQVCLTWEALHCQYTQLIQKILCQLEGSMCYNHSAQQFQQFQVLLQRYMENEPFELGVRAEIYARARNLLPKLLQVPNGKGSNREEKGEMESDIRVLAPDLIKIMENSILTFHLFLKMDKRKANSVMNLFGSQNQIATPLQLIQSSLEKKRMRLKELCKKSKGWKEKSWPQMYENVELLFGLIDVKILSRVLRMAKISKEQLIWCEEKIKKLALADGKLQRDPSPILFPC >Manes.S050416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1686626:1687438:1 gene:Manes.S050416.v8.1 transcript:Manes.S050416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.18G144884.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21630043:21631532:1 gene:Manes.18G144884.v8.1 transcript:Manes.18G144884.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.06G067100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20336364:20338219:-1 gene:Manes.06G067100.v8.1 transcript:Manes.06G067100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPFINSHSHYTSSHRLSSLPCSSPLFKPASRRPPGGVRMDSSAAPSSSSSSSTSGYHYRRRQKDKIVVIMGATGCGKSKLSIDLGTRFQSEIINSDKMQIYKGLDITTNKIPFQDRLGVPHHLLGVFDPEDGELGPSEFRLTAGLAISDIVSRQKLPLVVGGSNSFIHALVVDRFNPELDVFSGASVSTRLRYECCFIWVDVSLPLLCDYLCKRVDEMLDSGMFEELSEYRRWKDPMSQPGLLKAIGVPEFDRYFKKYPPGTGEGEWDEGRRGAYEEAAREIKENTCQLAKRQIGKIKRLKRAGWDLQRVDATEAFREAMKVRTASSDVKKKKRKKKRWMEVWERDVMTPSMGIVNRFLEE >Manes.16G053700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18321676:18323979:-1 gene:Manes.16G053700.v8.1 transcript:Manes.16G053700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGGNIVIRDYRKGNWTLSETMVLIEAKRMDDERRMKSSDSEGRSKPAELRWKWVEDYCRRKGCLRSQNQCNDKWDNLMRDYKKVRDYERRIAERGVGNEASSSSYWKLEKIERKEENLPSNMLIQVYEALVEVVERRGTQKVVITAGGSASNPRPITTVRPPLSPLLQRQISVPIPVLAPPPRPLPPALQAQPSPPLPYSQTPLPAIPIQVSIQTHQQRGGGEEGAAVEEQAVVAVPVEAPHMKWALQSPKVLPVLQKLFRPVRREKREGTEIY >Manes.16G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18321677:18323979:-1 gene:Manes.16G053700.v8.1 transcript:Manes.16G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGGNIVIRDYRKGNWTLSETMVLIEAKRMDDERRMKSSDSEGRSKPAELRWKWVEDYCRRKGCLRSQNQCNDKWDNLMRDYKKVRDYERRIAERGVGNEASSSSYWKLEKIERKEENLPSNMLIQVYEALVEVVERRGTQKVVITAGGSASNPRPITTVRPPLSPLLQRQISVPIPVLAPPPRPLPPALQAQPSPPLPYSQTPLPAVDSDTSEHSNSPAKRRRRRGGGGGGASSGGSASGSTSHEVGTAISKSASSIAEAIQACEERKERRHRDLLSLHERRLKIEESRTELNRQGINGLVDSINNLANSILALASHKHQSTPK >Manes.17G116700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32394090:32397493:-1 gene:Manes.17G116700.v8.1 transcript:Manes.17G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDIWSWISELPDLADWTDSDSPYIFELATSKALGDARSIQLRAERTAGSSLEALVTFTVSLQGFHPHNAPKTLWVSDTCPLNSEKPFFPLILQLLQEIIIRSPMAQNTTCPRSQLQNIKPEPISWIMESHSPESFSSFFNLVFLMRLFWLCVCDAPSEVGSLYFESLLGPNLEALRCEQAPVLRTFLVTVGVDAELCFMRTLGYMLTKWLILREVGVGLHVLAPMLGQQVKFSYAMEAHGFWVLKGYAPILSMPLTKSSSNNKFPAMEARDSVLKYTLAHQQLEAIMQLEYSISFHDGYIRVKARVDNLRLHVVKLGFKKNDDVDYSEERHFVSRARVWVGPEVGATYVVGMSLGRSTDNGEREVEMQRAVKGNYEESRAPKVKTRARTTTRTKMKNWRWDQDAEGNSVIFDAVLYNNTTGHEVATWKMGNNNDMGDGKSSGARNRYTGPKRPFTKTRGLVFAGDEYGEGVEWRLSKEMEGSVLKWRIGGQVWVSYWPNEVQSSYFETRCVEWCDEVDLPLITGK >Manes.04G139200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33863436:33870811:1 gene:Manes.04G139200.v8.1 transcript:Manes.04G139200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVKKLTMCNNVLVVFLLLGLWVCSVSASVSYDSKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFVKLVKQAGLYLHLRIGPYVCAEWNFGGFPVWLKYIPGINFRTDNGPFKAQMQRFTTKIVNMMKAEGLFESQGGPIILSQINTCNGFYCDYFSPNKPYKPKMWTEAWTGWFTEFGGAVPYRPAEDLAFSVARFIQKGGSYINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDPAVIPLGNYQEAHVFKSKSGACAAFLANYNQRSFSKVAFGNMHYNLPPWSISILPDCKNTVYNTARVGAQSAQMKMVPVPMHGGFSWQAYSEETDSEGDNTFTMVGLLEQINTTRDVTDYLWYMTDVNLDPSEGFLRSGEYPILNVLSAGHALHVFVNGQLSGTAYGSLEFPKLTFSQGVKMRAGINKISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLKGEAMSLHSLSGSSSVEWTQGAFVSRRQPLMWYKTTFNAPAGNSPLALDMGSMGKGQVWINGQSVGRYWPAYKASGTCGFCDYAGTFNEKKCLSNCGEASQRWYHVPQSWLKPTGNLLVVFEEWGGDPNGISLVRREVDSVCADIYEWQPNLMNYMMQSSGKVNKPLRPKAHLACGPGQKISFIKFASFGTPEGACGNYHQGSCHAFHSYDAFNRLCVGQNWCSVTVAPEMFGGDPCPNVMKKLAVEAVCS >Manes.04G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33863436:33870811:1 gene:Manes.04G139200.v8.1 transcript:Manes.04G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVKKLTMCNNVLVVFLLLGLWVCSVSASVSYDSKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFVKLVKQAGLYLHLRIGPYVCAEWNFGGFPVWLKYIPGINFRTDNGPFKAQMQRFTTKIVNMMKAEGLFESQGGPIILSQIENEYGPMEYELGAPGQAYTKWAASMAVGLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKPYKPKMWTEAWTGWFTEFGGAVPYRPAEDLAFSVARFIQKGGSYINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDPAVIPLGNYQEAHVFKSKSGACAAFLANYNQRSFSKVAFGNMHYNLPPWSISILPDCKNTVYNTARVGAQSAQMKMVPVPMHGGFSWQAYSEETDSEGDNTFTMVGLLEQINTTRDVTDYLWYMTDVNLDPSEGFLRSGEYPILNVLSAGHALHVFVNGQLSGTAYGSLEFPKLTFSQGVKMRAGINKISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLKGEAMSLHSLSGSSSVEWTQGAFVSRRQPLMWYKTTFNAPAGNSPLALDMGSMGKGQVWINGQSVGRYWPAYKASGTCGFCDYAGTFNEKKCLSNCGEASQRWYHVPQSWLKPTGNLLVVFEEWGGDPNGISLVRREVDSVCADIYEWQPNLMNYMMQSSGKVNKPLRPKAHLACGPGQKISFIKFASFGTPEGACGNYHQGSCHAFHSYDAFNRLCVGQNWCSVTVAPEMFGGDPCPNVMKKLAVEAVCS >Manes.14G026200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2410670:2412295:1 gene:Manes.14G026200.v8.1 transcript:Manes.14G026200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTIVGRLADGLPLAQGPRYVNKDNENFIFYRQQAEFILKEISRGALTPSKMTILIDHHSFNYLVENGVCFITLCDSSYPRKLAFYYLQDLQKEFEKLDNTLIHKITSPYTFHKFGNLYIYYSKVEDGWYIWCY >Manes.14G026200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2410670:2412286:1 gene:Manes.14G026200.v8.1 transcript:Manes.14G026200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTIVGRLADGLPLAQGPRYVNKDNENFIFYRQQAEFILKEISRGALTPSKMTILIDHHSFNYLVENGVCFITLCDSSYPRKLAFYYLQDLQKEFEKLDNTLIHKITSPYTFHKFGNLYIYYSKVEDG >Manes.14G026200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2410730:2412266:1 gene:Manes.14G026200.v8.1 transcript:Manes.14G026200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTIVGRLADGLPLAQGPRYVNKDNENFIFYRQQAEFILKEISRGALTPSKMTILIDHHSFNYLVENGVCFITLCDSSYPRKLAFYYLQDLQKEFEKLDNTLIHKITSPYTFHKFDGVIRHIRKQYVDTRTQANLSKLNADRQKDIDIVTQHISEIWERKRNSGRETTVATHPAAPTIWGSPLLEVIALKWTPITIVVAAASVLLWASLILTEDFIISTL >Manes.14G026200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2410641:2412357:1 gene:Manes.14G026200.v8.1 transcript:Manes.14G026200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTIVGRLADGLPLAQGPRYVNKDNENFIFYRQQAEFILKEISRGALTPSKMTILIDHHSFNYLVENGVCFITLCDSSYPRKLAFYYLQDLQKEFEKLDNTLIHKITSPYTFHKFDGVIRHIRKQYVDTRTQANLSKLNADRQKDIDIVTQHISEIWERKRNSETTVATHPAAPTIWGSPLLEVIALKWTPITIVVAAASVLLWASLILTEDFIISTL >Manes.14G026200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2410670:2412295:1 gene:Manes.14G026200.v8.1 transcript:Manes.14G026200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELTIVGRLADGLPLAQGPRYVNKDNENFIFYRQQAEFILKEISRGALTPSKMTILIDHHSFNYLVENGVCFITLCDSSYPRKLAFYYLQDLQKEFEKLDNTLIHKITSPYTFHKFGNLYIYYSKVEDGWYIWCY >Manes.10G019500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1892267:1896937:-1 gene:Manes.10G019500.v8.1 transcript:Manes.10G019500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNREDSSPRKVHDEEKLAVEIPETAHQISSDSWFQVGFVLTTGVNSAYVLGYSGTIMVPLGWIFGVVGLILAAAISLYANSLVAKLHEFGGKRHIRYRDLAGYIYGKEAYSITWGLQYVNLFMINTGYIILAGQALKAVYVLFRDDNEMKLPYCIAIAGVVCALFAISIPHLSALRIWLGVSTVLSLIYIIVAIVLSLRDGLKAPPRDYSIQGTSTSKIFTTTGAVANLVFAFNTGMLPEIQATIRRPVVSNMMKALYFQFIIGSLPLFAVTWIGYWAYGNSTTTYLLSSVSGPVWVKAMANISAFLQSVIALHIFASPMYEYLDTKFGIIGSPLAIRNLSFRLGVRGGYLSINTLVSALLPFLGDFESLTGAISTFPLTFILANHMYLRAKENKLTNLQKQWHWLNVCFFGCMSLAAAVAALRLIAVDSKTYHVFADL >Manes.10G019500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1892267:1896937:-1 gene:Manes.10G019500.v8.1 transcript:Manes.10G019500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNREDSSPRKVHDEEKLAVEIPETAHQISSDSWFQVGFVLTTGVNSAYVLGYSGTIMVPLGWIFGVVGLILAAAISLYANSLVAKLHEFGGKRHIRYRDLAGYIYGKEAYSITWGLQYVNLFMINTGYIILAGQALKAVYVLFRDDNEMKLPYCIAIAGVVCALFAISIPHLSALRIWLGVSTVLSLIYIIVAIVLSLRDGLKAPPRDYSIQGTSTSKIFTTTGAVANLVFAFNTGMLPEIQATIRRPVVSNMMKALYFQFIIGSLPLFAVTWIGYWAYGNSTTTYLLSSVSGPVWVKAMANISAFLQSVIALHIFASPMYEYLDTKFGIIGSPLAIRNLSFRLGVRGGYLSINTLVSALLPFLGDFESLTGAISTFPLTFILANHMYLRAKENKLTNLQKQWHWLNVCFFGCMSLAAAVAALRLIAVDSKTYHVFADL >Manes.10G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1892267:1896937:-1 gene:Manes.10G019500.v8.1 transcript:Manes.10G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNREDSSPRKVHDEEKLAVEIPETAHQISSDSWFQVGFVLTTGVNSAYVLGYSGTIMVPLGWIFGVVGLILAAAISLYANSLVAKLHEFGGKRHIRYRDLAGYIYGKEAYSITWGLQYVNLFMINTGYIILAGQALKAVYVLFRDDNEMKLPYCIAIAGVVCALFAISIPHLSALRIWLGVSTVLSLIYIIVAIVLSLRDGLKAPPRDYSIQGTSTSKIFTTTGAVANLVFAFNTGMLPEIQATIRRPVVSNMMKALYFQFIIGSLPLFAVTWIGYWAYGNSTTTYLLSSVSGPVWVKAMANISAFLQSVIALHIFASPMYEYLDTKFGIIGSPLAIRNLSFRLGVRGGYLSINTLVSALLPFLGDFESLTGAISTFPLTFILANHMYLRAKENKLTNLQKQWHWLNVCFFGCMSLAAAVAALRLIAVDSKTYHVFADL >Manes.10G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:431966:434156:-1 gene:Manes.10G002800.v8.1 transcript:Manes.10G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETALFKFLKPRRRPQSTDVQAAAFWGIAAASAALYIIQPFDWIKKTFIDKEPEGK >Manes.05G096300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8842362:8844988:-1 gene:Manes.05G096300.v8.1 transcript:Manes.05G096300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDLTFLIFPLILSLLMLCSQHFAHSASFFSTTTGGRWAILQESIGISAMHIQVLKNNKVIIFDRTDFGFSNLSLPNGICRCNNETIRHKDCTAHSVLYDIASNSNRPLTILTNTWCSSGAVDPNGTFIQFGGDKEGERVIRAFTPCDDDSCDWVELSRPLFSRRWYASSQILPDGRIIVVGGRRVFTYEFYPKTSQTGDNFFLRFLVKTRDPQEENNLYPFLHLLPDGNLFIFANNRSILLDYTRNKVIKEYPVLPYGARNFPCTGSSILLPLQLNRGTDAAELPEAEVMICGGSKHGSYVKAYTDQVFEEASRTCGRLRVTDPKPSWSMELMPTPRVMNDMLLLPTGAVILINGATNGSAGWNDAVNPVFHPVLYLPEEHPAQRFVVMNPSDIPRMYHSTAALLPDGRILVGGSNPHPTYNFTAYPYRTELSLEAFYPPYLDPLYAHLKPTILGVKSTGGTVSYKEMFSVTFVLTFYRSDLGMSVVMMTPSFNTHSVSMNQRMLVLKLVNVERLLAYGYKVNVIGPTNVNVAPPGYYMLFLVNAGIPSHAVWVRVR >Manes.01G182101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36041972:36046302:-1 gene:Manes.01G182101.v8.1 transcript:Manes.01G182101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYGLQLRVKPQQAKKPPRAPLPAPVGFHDDDDEDNVEKEISRQATKNKSLKDIEEQHKKALEEDPSVFDYDGVYDKMKLKIAQPREQDREERKPKYIQALIKKAEQRQREHEIIYEKKLAKERSKDDHLHADKDKFVTSAYKKKLAEQAKWMEEERMRQFREEKEDVTKKSDLSEFYFNLGKNVAYGAKDIESRKQEKQAEVRKPEKLDDKLSAGTSERVHPLQESVVESSRVKEGHPSDPPPGRNSESLDLKPVPDEPVMDTLVQDKASSEQPATNEPKQDHHKRNEDALAAAKERFLARKKAKQQL >Manes.S024152.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2067122:2067244:-1 gene:Manes.S024152.v8.1 transcript:Manes.S024152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.09G050270.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10422109:10423100:1 gene:Manes.09G050270.v8.1 transcript:Manes.09G050270.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGALSAMLLLLVVALAKNCEATAMHSAIHAVRRHGHKLKPIKINKPKFKPGLWRKAHATFYEGGTGSFGGACNYKDVVQQGYNLNTVALSDVLFKKGKTCGACYEIQCVNSPKWCKKGSLFVTATNQCPSNPSLPSDNGGWCNSPREHFDIAKPVFNKIADYTAGIVPIQYRRVPCQKKGGIRFTIMGNPWFNQVIVWNVGGAGDVVSVQVKGNDNVKWTKLQRDWGATWKTSTHLVGESLTFRVTTSDGRESTSWHVAPKNWQFGQTYEGKNIK >Manes.15G178933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23638889:23649204:-1 gene:Manes.15G178933.v8.1 transcript:Manes.15G178933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSILEKDKLKENGTNFVDWFRNLRIILKQEKKSYVLDEAIPEPPPADATNAVKNKHKKHMDDSNDIGCLMLATMCPELHKDLEHLEAYEMSVHFKQAFCN >Manes.03G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27544588:27550351:-1 gene:Manes.03G148100.v8.1 transcript:Manes.03G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSIHSLPLDGSVGHGDFQGSLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGRQSCKESNDNSKDVGIAASVAESQDTGSSTSTSSRLIAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDQAAASAGLEAAREELSELAIKVSNECQGIVPMDNIKMPSLSELAAALENKSTANLPARIGDCSVESCLTSTGSPVSPMGVGSQAAGAGAASIKKRPRSAFGNGDSVPLEGNIRQEVEWMMSNIG >Manes.12G121800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32721438:32727141:-1 gene:Manes.12G121800.v8.1 transcript:Manes.12G121800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALRRLSSSIDKPIRPLFNASSLYYMSSLPNEAVYEKERSHVTWPKQLNAPLEAVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLVVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAGGVIPSPFDYADIVTTTTHKSLRGPRGAMIFFRKGVKEVNKQGQHVLYDYEDKINQAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCSKFAQTLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVGEFFDAAVKLAVKIKAQTKGTKLKDFLATIPNFESEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >Manes.07G055320.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7004932:7011991:1 gene:Manes.07G055320.v8.1 transcript:Manes.07G055320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCREKAKMAGIDTCILECPYCQQRPPPLYYLSFSSPPRCPNCQNHWFISNYGFPATSSSAYPYESQPPQSPPPPPPPPPPPPSLPPSPPPPPPQQQSLKPPPPRRSHATRRPRQPSRTKREIDEALTEKYRRRQAQVKPRLTEVEIPIGENPNWSDPSLYFMGLQFSIVIVWTSMIIPSMLATVMGGDHVDKAEAIQSSLFTAAINTLLQILFGSQLPVVMQISQAFIYPAISIAISTTNKFGATLTPRQMFKKSMRRIQGASIIGSFLQIIVGFSGLGQIFASKLSLLSSIPLVTLTGLEMYDRGFPQMAKCIEIGLPAVFLVIFSTQFLPYLWKTKNPMVIRSVMITVSIGIAWTLAEILTAAGAFDNASQQTQTTCRTDRSALIPAAPWIKIPNPFQWGSPTFEARDAFLMMAACVVATIESIGTFSTSLRLGGEYRAKPPKLNRPIGTKPIKQYGSALPISPDLLRYAIGFQGIGTLIDAVFGMGLGSTASAEHSGLVGLTRVGSLRINFVSAIFMLLFSSLGKLTAIIASVPLPILASLHIVFFPYVVSTGLEDLYYCELDKFRSKFILGISLFMGLSMNKYFSNYDLFSGEDLPHSRASWFKDIMQVIFSSASTTATIFAFLFDLVTPKPPKKEEEPKKEEEKEEKKKKKEEEVAQGLRPLKEDWKIYSESSRSSSSTLGIPPWRRQFY >Manes.07G055320.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7004932:7011991:1 gene:Manes.07G055320.v8.1 transcript:Manes.07G055320.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCREKAKMAGIDTCILECPYCQQRPPPLYYLSFSSPPRCPNCQNHWFISNYGFPATSSSAYPYESQPPQSPPPPPPPPPPPPSLPPSPPPPPPQQQSLKPPPPRRSHATRRPRQPSRTKREIDEALTEKYRRRQAQVKPRLTEVEIPIGENPNWSDPSLYFMGLQFSIVIVWTSMIIPSMLATVMGGDHVDKAEAIQSSLFTAAINTLLQILFGSQLPVVMQISQAFIYPAISIAISTTNKFGATLTPRQMFKKSMRRIQGASIIGSFLQIIVGFSGLGQIFASKLSLLSSIPLVTLTGLEMYDRGFPQMAKCIEIGLPAVFLVIFSTQFLPYLWKTKNPMVIRSVMITVSIGIAWTLAEILTAAGAFDNASQQTQTTCRTDRSALIPAAPWIKIPNPFQWGSPTFEARDAFLMMAACVVATIESIGTFSTSLRLGGEYRAKPPKLNRPIGTKPIKQYGSALPISPDLLRYAIGFQGIGTLIDAVFGMGLGSTASAEHSGLVGLTRVGSLRINFVSAIFMLLFSSLGLVFLTKLHLFYILLLLLSFKKKN >Manes.01G249000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40855913:40861420:1 gene:Manes.01G249000.v8.1 transcript:Manes.01G249000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHILTGEGNATGTVDPKLS >Manes.01G249000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40855913:40861420:1 gene:Manes.01G249000.v8.1 transcript:Manes.01G249000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHILTGEGNATGTVDPKLS >Manes.01G249000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40855885:40861420:1 gene:Manes.01G249000.v8.1 transcript:Manes.01G249000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHILTGEGNATGTVDPKLS >Manes.17G018800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8851114:8883977:-1 gene:Manes.17G018800.v8.1 transcript:Manes.17G018800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQILMVHRCGKWVKLPGTDLLPGDVVSIGRSSGPSGEDKSVPADMLLLAGSAIVNEAILTGESTPQWKVCIMGRGTEEKLSVKRDKSHVLFGGTKILQHTPDKTFPLRTPDGGCVAVVLRTGFETSQGKLMRTILFSTDRVTANSWESGLFILFLVVFAVIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGLTNGMNLESDMTKVPARTVQILAACHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKRGGGNAVQIVQRHHFASHLKRMAVVVRIEDEFLAFVKGAPETIQDRIVDLPESYVDTYKKYTRQGSRVLALAFKSLPDMTVSEARSLDRDVVESGLTFAGFAVFNCPIRSDSATILSELKNSSHDLVMITGDQALTACHVASQVYIISKPALILGRARDTEGYEWISPDEAEIIPYSDKEVGALSQTHDLCVGGDCIEMLQQSSAVLRVIPHIKVFARVAPEQKELIMTTFKTVGRITLMCGDGTNDVGALKQAHVGVALLNAVPPAQSGNSSSEISRDGNLKSIKSKKSKSTSEVAGKSSNLNGEGSIKGKVVAKSDSSSHSVGNRSLTAAEVQRQKLKKLMDEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPILSAERPHPNIFCSYVFLSLMGQFAIHLFFLISSVKEAEKYMPDECIEPDSDFHPNLVNTVSYMVSMMIQVATFAVNYMGHPFNQSITENKPFLYALLAAVGFFTVITSDLLRDLNDWLKLVPLPPGLRNKLLIWAFIMFLVCYTWERLLRWAFPGRIPAWRKRQQLAEANLESKKNV >Manes.17G018800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8851114:8883977:-1 gene:Manes.17G018800.v8.1 transcript:Manes.17G018800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHVGGKVVERVDLLRKKHWAWRLDVWPFAILYVLWVATILPSIDIADAAIVLGGLVALHILVWLFTAWSVDFKCFVQFGKVHDIHLADTCKITPAKFSGSKEVVPLHFRKQFEGSSSLGGNEEIYFDFRKQRFIYSKEGETFCKLPYPTKETFGCYLKITGHGSEGKVAAATEKWGRNAFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQILMVHRCGKWVKLPGTDLLPGDVVSIGRSSGPSGEDKSVPADMLLLAGSAIVNEAILTGESTPQWKVCIMGRGTEEKLSVKRDKSHVLFGGTKILQHTPDKTFPLRTPDGGCVAVVLRTGFETSQGKLMRTILFSTDRVTANSWESGLFILFLVVFAVIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGLTNGMNLESDMTKVPARTVQILAACHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKRGGGNAVQIVQRHHFASHLKRMAVVVRIEDEFLAFVKGAPETIQDRIVDLPESYVDTYKKYTRQGSRVLALAFKSLPDMTVSEARSLDRDVVESGLTFAGFAVFNCPIRSDSATILSELKNSSHDLVMITGDQALTACHVASQVYIISKPALILGRARDTEGYEWISPDEAEIIPYSDKEVGALSQTHDLCVGGDCIEMLQQSSAVLRVIPHIKVFARVAPEQKELIMTTFKTVGRITLMCGDGTNDVGALKQAHVGVALLNAVPPAQSGNSSSEISRDGNLKSIKSKKSKSTSEVAGKSSNLNGEGSIKGKVVAKSDSSSHSVGNRSLTAAEVQRQKLKKLMDEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPILSAERPHPNIFCSYVFLSLMGQFAIHLFFLISSVKEAEKYMPDECIEPDSDFHPNLVNTVSYMVSMMIQVATFAVNYMGHPFNQSITENKPFLYALLAAVGFFTVITSDLLRDLNDWLKLVPLPPGLRNKLLIWAFIMFLVCYTWERLLRWAFPGRIPAWRKRQQLAEANLESKKNV >Manes.17G018800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8851114:8883977:-1 gene:Manes.17G018800.v8.1 transcript:Manes.17G018800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHVGGKVVERVDLLRKKHWAWRLDVWPFAILYVLWVATILPSIDIADAAIVLGGLVALHILVWLFTAWSVDFKCFVQFGKVHDIHLADTCKITPAKFSGSKEVVPLHFRKQFEGSSSLGGNEEIYFDFRKQRFIYSKEGETFCKLPYPTKETFGCYLKITGHGSEGKVAAATEKWGRNAFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQILMVHRCGKWVKLPGTDLLPGDVVSIGRSSGPSGEDKSVPADMLLLAGSAIVNEAILTGESTPQWKVCIMGRGTEEKLSVKRDKSHVLFGGTKILQHTPDKTFPLRTPDGGCVAVVLRTGFETSQGKLMRTILFSTDRVTANSWESGLFILFLVVFAVIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGLTNGMNLESDMTKVPARTVQILAACHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKRGGGNAVQIVQRHHFASHLKRMAVVVRIEDEFLAFVKGAPETIQDRIVDLPESYVDTYKKYTRQGSRVLALAFKSLPDMTVSEARSLDRDVVESGLTFAGFAVFNCPIRSDSATILSELKNSSHDLVMITGDQALTACHVASQVYIISKPALILGRARDTEGYEWISPDEAEIIPYSDKEVGALSQTHDLCVGGDCIEMLQQSSAVLRVIPHIKVFARVAPEQKELIMTTFKTVGRITLMCGDGTNDVGALKQAHVGVALLNAVPPAQSGNSSSEISRDGNLKSIKSKKSKSTSEVAGKSSNLNGEGSIKGKVVAKSDSSSHSVGNRSLTAAEVQRQKLKKLMDEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPILSAERPHPNIFCSYVFLSLMGQFAIHLFFLISSVKEAEKYMPDECIEPDSDFHPNLVNTVSYMVSMMIQVATFAVNYMGHPFNQSITENKPFLYALLAAVGFFTVITSDLLRDLNDWLKLVPLPPGLRNKLLIWAFIMFLVCYTWERLLRWAFPGRIPAWRKRQQLAEANLESKKNV >Manes.17G018800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8851114:8883977:-1 gene:Manes.17G018800.v8.1 transcript:Manes.17G018800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQILMVHRCGKWVKLPGTDLLPGDVVSIGRSSGPSGEDKSVPADMLLLAGSAIVNEAILTGESTPQWKVCIMGRGTEEKLSVKRDKSHVLFGGTKILQHTPDKTFPLRTPDGGCVAVVLRTGFETSQGKLMRTILFSTDRVTANSWESGLFILFLVVFAVIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGLTNGMNLESDMTKVPARTVQILAACHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKRGGGNAVQIVQRHHFASHLKRMAVVVRIEDEFLAFVKGAPETIQDRIVDLPESYVDTYKKYTRQGSRVLALAFKSLPDMTVSEARSLDRDVVESGLTFAGFAVFNCPIRSDSATILSELKNSSHDLVMITGDQALTACHVASQVYIISKPALILGRARDTEGYEWISPDEAEIIPYSDKEVGALSQTHDLCVGGDCIEMLQQSSAVLRVIPHIKVFARVAPEQKELIMTTFKTVGRITLMCGDGTNDVGALKQAHVGVALLNAVPPAQSGNSSSEISRDGNLKSIKSKKSKSTSEVAGKSSNLNGEGSIKGKVVAKSDSSSHSVGNRSLTAAEVQRQKLKKLMDEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPILSAERPHPNIFCSYVFLSLMGQFAIHLFFLISSVKEAEKYMPDECIEPDSDFHPNLVNTVSYMVSMMIQVATFAVNYMGHPFNQSITENKPFLYALLAAVGFFTVITSDLLRDLNDWLKLVPLPPGLRNKLLIWAFIMFLVCYTWERLLRWAFPGRIPAWRKRQQLAEANLESKKNV >Manes.12G129600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33690955:33694339:-1 gene:Manes.12G129600.v8.1 transcript:Manes.12G129600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRTQQLPFRIPYTQFLSFTLSRLLCFSVMDPDPAYQKIGLIENNLKAFCKLDCDSNINIDGKCNGACFQICPAICKTFRFNGISFPPFFGSQFPPPPPPPPPPITITLPNPMNDAQKHLIATAMIVLGCLIGFAFLICFICAILRARYTRQRRSRSPPVFFGTQEDFLDEDQGPEINHPIWFINTVGLQQSIIDSIAVFKYKKGEGLIEGTECSVCLNEFQEDESLRFLPKCSHAFHIPCIDTWLRSHKNCPLCRAPIVCDNFDIQVDLSMPASSDLSSRRETQMENSEDNGGLVSNLVGEDGTSEVRNGEDMNFALTIDDEGNSGNSKMSQNLSLLRNHWRVQSDLVGSLHTADTERQPMSLSVSTDSSSTVAIYDNLANVVPHEDNLDAQILKHKYCKSKNVSKSGSTGNLSFGKLKKSSSIGHALQKGPISMKRSFSSSGKSSSSRHGRSQDSVFPP >Manes.05G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6077166:6089319:1 gene:Manes.05G073700.v8.1 transcript:Manes.05G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNRRRGAGKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYPADWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLVKRQGKGADFVRAVQEIIDSYEKSKKPDQFDDLNSGNEVTLVNGGNSMESSANFELKDQTETSEVTVTVRRDTSLASSVAPDVAKVGSLHDEEASLQQPDDNLAATAKPVITTYTSRKRSGGLRSRKRATQKKDSSVERSIRLVSSRFQNFIMPSNDCKQSAVDASTEVILNRSLRRNKRFRKSPCASELDDVDSSAFVSNGSVEDDGSEIVTVDSDSLSLNEGSTVDSACKPEHSETDVDGLEADIELSKGLDFQIKAVFIKKKRKPNRKRVTNEANERPARLETEADLDAGVPGSSQNSQWACENLSERHNKDDGDEHLPLVKRARVRMGKLSSLEDHSVFSHGEEKTSDEFAVNFAEAHNGLCQVEERTSGEVAVTTLEHIGPPCKFQDDCSADKGSFSVKEALDHASPQKGCAQTLGNRPLLSIAKEHQSLGCSADGEAALPPSKRLHRALEAMSANAAEEGQACADISTLKTSINDSLCKSINRKRSNCAREQSIESPGCRASALCSSSNRVLEEAIKAPLKADICNQPTESSDSQEHYEGVLTEALDHDLGRSCVEGDTISITIQQNAKDSMPNFDRREGTLQSNHGSFDQLFLLKDEIGSENIESRDVGDENHNKDVLEHSQMSPSLITQVDETAKGSSENGSNVFPYSAEDTGCENTESLRSLADGDNQVNGVCEEAKVEYEKKQEKTNYASISNDHLNERASHSSPMPADGVELLAQTSPPTTSTCHVSTSESANFIQNSQCSSPNRCHQKTVCTSINEEKMESVAVLQHTKSVGKWSNYAEAQAVLSSFERMLGSLTRTKESIGRATRIAIDCAKFGLSTKVVEILTHNLENESSLHRRVDLFFLVDSITQCSRALKGDVGGIYPSAIQSVLTRLLSAAAPPGSFALENRRQCLKVLRLWLERKILPESVIRHHMRELDALGGSSSAGAYSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGESFEAVTPEHNYETPEELETTPAAEKHTHILEDVDGELEMEDVAPSCEVETSSTGGTAVVNSSGHLQNQLEQHFPLPFAPPLPQDVPPSSPPLPTSPPPPPPPPPPLAAPQSCGMPDPYVDSKFYANSHNMHDDARESVDQQPEAPRMNPSISNGVHYHGPECRDQMQMQQFDSNSFNSYSVPPVHTDGPNFHHKAYPPRPPHPPTSNHFSYVQAGQHVKSRRETPPPYHHRFHSSHSADGGNFYNNHDRMRPVPYEMNDGWRYPAPPFPGPRHPDKSRASHPPGPYGGPPREPNRIPHQEWSFPPRGTHHRNFMPFRPPPERAIPVSNRASSIWRPR >Manes.05G073700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6077166:6089319:1 gene:Manes.05G073700.v8.1 transcript:Manes.05G073700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNRRRGAGKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYPADWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLVKRQGKGADFVRAVQEIIDSYEKSKKPDQFDDLNSGNEVTLVNGGNSMESSANFELKDQTETSEVTVTVRRDTSLASSVAPDVAKVGSLHDEEASLQQPDDNLAATAKPVITTYTSRKRSGGLRSRKRATQKKDSSVERSIRSLRRNKRFRKSPCASELDDVDSSAFVSNGSVEDDGSEIVTVDSDSLSLNEGSTVDSACKPEHSETDVDGLEADIELSKGLDFQIKAVFIKKKRKPNRKRVTNEANERPARLETEADLDAGVPGSSQNSQWACENLSERHNKDDGDEHLPLVKRARVRMGKLSSLEDHSVFSHGEEKTSDEFAVNFAEAHNGLCQVEERTSGEVAVTTLEHIGPPCKFQDDCSADKGSFSVKEALDHASPQKGCAQTLGNRPLLSIAKEHQSLGCSADGEAALPPSKRLHRALEAMSANAAEEGQACADISTLKTSINDSLCKSINRKRSNCAREQSIESPGCRASALCSSSNRVLEEAIKAPLKADICNQPTESSDSQEHYEGVLTEALDHDLGRSCVEGDTISITIQQNAKDSMPNFDRREGTLQSNHGSFDQLFLLKDEIGSENIESRDVGDENHNKDVLEHSQMSPSLITQVDETAKGSSENGSNVFPYSAEDTGCENTESLRSLADGDNQVNGVCEEAKVEYEKKQEKTNYASISNDHLNERASHSSPMPADGVELLAQTSPPTTSTCHVSTSESANFIQNSQCSSPNRCHQKTVCTSINEEKMESVAVLQHTKSVGKWSNYAEAQAVLSSFERMLGSLTRTKESIGRATRIAIDCAKFGLSTKVVEILTHNLENESSLHRRVDLFFLVDSITQCSRALKGDVGGIYPSAIQSVLTRLLSAAAPPGSFALENRRQCLKVLRLWLERKILPESVIRHHMRELDALGGSSSAGAYSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGESFEAVTPEHNYETPEELETTPAAEKHTHILEDVDGELEMEDVAPSCEVETSSTGGTAVVNSSGHLQNQLEQHFPLPFAPPLPQDVPPSSPPLPTSPPPPPPPPPPLAAPQSCGMPDPYVDSKFYANSHNMHDDARESVDQQPEAPRMNPSISNGVHYHGPECRDQMQMQQFDSNSFNSYSVPPVHTDGPNFHHKAYPPRPPHPPTSNHFSYVQAGQHVKSRRETPPPYHHRFHSSHSADGGNFYNNHDRMRPVPYEMNDGWRYPAPPFPGPRHPDKSRASHPPGPYGGPPREPNRIPHQEWSFPPRGTHHRNFMPFRPPPERAIPVSNRASSIWRPR >Manes.05G073700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6077166:6089319:1 gene:Manes.05G073700.v8.1 transcript:Manes.05G073700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNRRRGAGKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYPADWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLVKRQGKGADFVRAVQEIIDSYEKSKKPDQFDDLNSGNEVTLVNGGNSMESSANFELKDQTETSEVTVTVRRDTSLASSVAPDVAKVGSLHDEEASLQQPDDNLAATAKPVITTYTSRKRSGGLRSRKRATQKKDSSVERSIRSLRRNKRFRKSPCASELDDVDSSAFVSNGSVEDDGSEIVTVDSDSLSLNEGSTVDSACKPEHSETDVDGLEADIELSKGLDFQIKAVFIKKKRKPNRKRVTNEANERPARLETEADLDAGVPGSSQNSQWACENLSERHNKDDGDEHLPLVKRARVRMGKLSSLEDHSVFSHGEEKTSDEFAVNFAEAHNGLCQVEERTSGEVAVTTLEHIGPPCKFQDDCSADKGSFSVKEALDHASPQKGCAQTLGNRPLLSIAKEHQSLGCSADGEAALPPSKRLHRALEAMSANAAEEGQACADISTLKTSINDSLCKSINRKRSNCAREQSIESPGCRASALCSSSNRVLEEAIKAPLKADICNQPTESSDSQEHYEGVLTEALDHDLGRSCVEGDTISITIQQNAKDSMPNFDRREGTLQSNHGSFDQLFLLKDEIGSENIESRDVGDENHNKDVLEHSQMSPSLITQVDETAKGSSENGSNVFPYSAEDTGCENTESLRSLADGDNQVNGVASHSSPMPADGVELLAQTSPPTTSTCHVSTSESANFIQNSQCSSPNRCHQKTVCTSINEEKMESVAVLQHTKSVGKWSNYAEAQAVLSSFERMLGSLTRTKESIGRATRIAIDCAKFGLSTKVVEILTHNLENESSLHRRVDLFFLVDSITQCSRALKGDVGGIYPSAIQSVLTRLLSAAAPPGSFALENRRQCLKVLRLWLERKILPESVIRHHMRELDALGGSSSAGAYSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGESFEAVTPEHNYETPEELETTPAAEKHTHILEDVDGELEMEDVAPSCEVETSSTGGTAVVNSSGHLQNQLEQHFPLPFAPPLPQDVPPSSPPLPTSPPPPPPPPPPLAAPQSCGMPDPYVDSKFYANSHNMHDDARESVDQQPEAPRMNPSISNGVHYHGPECRDQMQMQQFDSNSFNSYSVPPVHTDGPNFHHKAYPPRPPHPPTSNHFSYVQAGQHVKSRRETPPPYHHRFHSSHSADGGNFYNNHDRMRPVPYEMNDGWRYPAPPFPGPRHPDKSRASHPPGPYGGPPREPNRIPHQEWSFPPRGTHHRNFMPFRPPPERAIPVSNRASSIWRPR >Manes.05G073700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6077166:6089319:1 gene:Manes.05G073700.v8.1 transcript:Manes.05G073700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNRRRGAGKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYPADWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLVKRQGKGADFVRAVQEIIDSYEKSKKPDQFDDLNSGNEVTLVNGGNSMESSANFELKDQTETSEVTVTVRRDTSLASSVAPDVAKVGSLHDEEASLQQPDDNLAATAKPVITTYTSRKRSGGLRSRKRATQKKDSSVERSIRLVSSRFQNFIMPSNDCKQSAVDASTEVILNRSLRRNKRFRKSPCASELDDVDSSAFVSNGSVEDDGSEIVTVDSDSLSLNEGSTVDSACKPEHSETDVDGLEADIELSKGLDFQIKAVFIKKKRKPNRKRVTNEANERPARLETEADLDAGVPGSSQNSQWACENLSERHNKDDGDEHLPLVKRARVRMGKLSSLEDHSVFSHGEEKTSDEFAVNFAEAHNGLCQVEERTSGEVAVTTLEHIGPPCKFQDDCSADKGSFSVKEALDHASPQKGCAQTLGNRPLLSIAKEHQSLGCSADGEAALPPSKRLHRALEAMSANAAEEGQACADISTLKTSINDSLCKSINRKRSNCAREQSIESPGCRASALCSSSNRVLEEAIKAPLKADICNQPTESSDSQEHYEGVLTEALDHDLGRSCVEGDTISITIQQNAKDSMPNFDRREGTLQSNHGSFDQLFLLKDEIGSENIESRDVGDENHNKDVLEHSQMSPSLITQVDETAKGSSENGSNVFPYSAEDTGCENTESLRSLADGDNQVNGVASHSSPMPADGVELLAQTSPPTTSTCHVSTSESANFIQNSQCSSPNRCHQKTVCTSINEEKMESVAVLQHTKSVGKWSNYAEAQAVLSSFERMLGSLTRTKESIGRATRIAIDCAKFGLSTKVVEILTHNLENESSLHRRVDLFFLVDSITQCSRALKGDVGGIYPSAIQSVLTRLLSAAAPPGSFALENRRQCLKVLRLWLERKILPESVIRHHMRELDALGGSSSAGAYSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGESFEAVTPEHNYETPEELETTPAAEKHTHILEDVDGELEMEDVAPSCEVETSSTGGTAVVNSSGHLQNQLEQHFPLPFAPPLPQDVPPSSPPLPTSPPPPPPPPPPLAAPQSCGMPDPYVDSKFYANSHNMHDDARESVDQQPEAPRMNPSISNGVHYHGPECRDQMQMQQFDSNSFNSYSVPPVHTDGPNFHHKAYPPRPPHPPTSNHFSYVQAGQHVKSRRETPPPYHHRFHSSHSADGGNFYNNHDRMRPVPYEMNDGWRYPAPPFPGPRHPDKSRASHPPGPYGGPPREPNRIPHQEWSFPPRGTHHRNFMPFRPPPERAIPVSNRASSIWRPR >Manes.04G120300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32185246:32188695:-1 gene:Manes.04G120300.v8.1 transcript:Manes.04G120300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYNLNFSLFSPFILLLTIFTFNQTATSQNSPSGDGLCAAVFCGQGTCKNINTWPGFECDCYSGWNKIQIGPLTFPSCLIPNCTINSQCGNGSPPPPPPSVAAPPPPLNLTNPCNLIWCADGSCLPNGTEHTCQCNEGSENLANNTKLPCFQQCYFGADCHDLGFGPPVSTPPPSPSGSNGNVMPPPTSTPGSAGNGSSETSNSWRSVCVLTMILSAATSLTLF >Manes.04G091600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29677178:29686411:-1 gene:Manes.04G091600.v8.1 transcript:Manes.04G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVHKEEGSMPQTGNKDEPTLLSKRKLDSLPVSKGKEEEEVVAVVEEEDQSNKIQKLQSLVDNGNSPVIEEETTEKKRLQRSSKNSGANSSISEVKNGSKAVAEDNDAGEEDDDDVDYEDEEEEENGEAAVVDRKGKGIMVEDEEGEDSDDDDDDDSSDGGSELDGDGSELEDDPLTEVDLDNILPSRTRRRTVLRGVSIANRRGNWGDDSDA >Manes.04G091600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29683501:29686384:-1 gene:Manes.04G091600.v8.1 transcript:Manes.04G091600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVHKEEGSMPQTGNKDEPTLLSKRKLDSLPVSKGKEEEEVVAVVEEEDQSNKIQKLQSLVDNGNSPVIEEETTEKKRLQRSSKNSGANSSISEVKNGSKAVAEDNDAGEEDDDDVDYEDEEEEENGEAAVVDRKGKGIMVEDEEGEDSDDDDDDDSSDGGSELDGDGSELEDDPLTEVDLDNILPSRTRRRTVLRGVSIANRRGNWGDDSDA >Manes.12G084700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:12577252:12577923:1 gene:Manes.12G084700.v8.1 transcript:Manes.12G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSNNSTDLRPPPKRRNVPRYHDHSSKSSGSFCLKCICCCLLFWFVLIILLAGAAYILYTKIEPEVPVYTVDHFDVNAFDVQHDFSLHTELVVTVKSDNPNNHIGFQYGTDSSVVVMYKNSILCEGKLPAFTQPHANATMIQIPLKGDSEFGTDLQEALVKNRKTGQIPLLVEVKAPISVVVLDFPMRQVTVLLNCSLVVDNLSPKKKAKIISSTYNYAVEL >Manes.01G208200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38011431:38013119:1 gene:Manes.01G208200.v8.1 transcript:Manes.01G208200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQRSKSSPRPHFRTKYPINGASGVHGMQAIFLDSGQKSCGTGVFLPRRAGTNLQSSKKPAFYPVLLPARVVQALNLNAHEIGLQISRRQDAKNNSKGGDCNSIKNKNGRGATAQYCVVSQNENYSPEILLPKEWTY >Manes.01G208200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38010110:38013119:1 gene:Manes.01G208200.v8.1 transcript:Manes.01G208200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNEDGEVGLEEGIPWLPSHVLHEAIWETKEYQHNPQAQQHRYLPKLLPQPQQLRSKSSPRPHFRTKYPINGASGVHGMQAIFLDSGQKSCGTGVFLPRRAGTNLQSSKKPAFYPVLLPARVVQALNLNAHEIGLQISRRQDAKNNSKGGDCNSIKNKNGRGATAQYCVVSQNENYSPEILLPKEWTY >Manes.17G073300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27367693:27370897:-1 gene:Manes.17G073300.v8.1 transcript:Manes.17G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGSYEKFIWGFKLKPLKHSDSQETLTLTPLFSYPAHLAPITTVAAAGPAAASGSSDDTIHIYDIPSASSLGSLHQHTSSITSLSFFTPPSLSFPRNLLSAAADGSVCIFDADPFVHLKTVWAHKKAVNDLSVHPSGKLALTVGRDECLAMLNLVRGRRSFYCRLGKEASLVKFDLGGERFFMVTEEKVGIHQAEDARLVTELECRKRILCAAPGENGLLFAGGEDRNITAWDTNSGKLAYCIEDAHSTRVKGIVVLTRNDGDVNDPYLLASASSNGVIRVWDVRMAVKEKPNPLAEVNTKSRLTCLAGSSLKSLKRPQVGNSNPTEKHDTAGEGSQE >Manes.01G148100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33769530:33774016:1 gene:Manes.01G148100.v8.1 transcript:Manes.01G148100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSKLPLPEAFLDFLQANGLDASIYIASDTPRYIRLKPGSEGDLREIEAEINCNLERVAWLPGFFSLPPHLQIANSKAYKEGKIYGIDAASGAAVLALNISGGDNVLDLCAAPGAKLCMIADLLGDSGSVTGVDIARHRLAACRTMLQKYALGDRCRLFVADGTTFSLGPVRKFSHSRSCESACKEDTFTEWTSRRPWKERKKARKARKPAASALIAESDSPELIFYGRDSGVLGTSKSELYQIVCRSEVLSRGYDKVLVDAECTHDGSIRHIQKYEHWGWETLHQRVLNAERTDNLTNLQVTDLLYCSITS >Manes.01G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33769530:33773994:1 gene:Manes.01G148100.v8.1 transcript:Manes.01G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSKLPLPEAFLDFLQANGLDASIYIASDTPRYIRLKPGSEGDLREIEAEINCNLERVAWLPGFFSLPPHLQIANSKAYKEGKIYGIDAASGAAVLALNISGGDNVLDLCAAPGAKLCMIADLLGDSGSVTGVDIARHRLAACRTMLQKYALGDRCRLFVADGTTFSLGPVRKFSHSRSCESACKEDTFTEWTSRRPWKERKKARKARKPAASALIAESDSPELIFYGRDSGVLGTSKSELYQIVCRSEVLSRGYDKVLVDAECTHDGSIRHIQKYEHWGWETLHQRVLNAERTDNLTNLQIKLLTNGFKLLRLGGLLVYSTCSLTVSQNEDVVERFLKENASAELQEIDAAKDWPCKSGRIPKTLRFDPVTSQTSGLFVAKFTKLAI >Manes.01G148100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33769530:33773994:1 gene:Manes.01G148100.v8.1 transcript:Manes.01G148100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSKLPLPEAFLDFLQANGLDASIYIASDTPRYIRLKPGSEGDLREIEAEINCNLERVAWLPGFFSLPPHLQIANSKAYKEGKIYGIDAASGAAVLALNISGGDNVLDLCAAPGSVTGVDIARHRLAACRTMLQKYALGDRCRLFVADGTTFSLGPVRKFSHSRSCESACKEDTFTEWTSRRPWKERKKARKARKPAASALIAESDSPELIFYGRDSGVLGTSKSELYQIVCRSEVLSRGYDKVLVDAECTHDGSIRHIQKYEHWGWETLHQRVLNAERTDNLTNLQIKLLTNGFKLLRLGGLLVYSTCSLTVSQNEDVVERFLKENASAELQEIDAAKDWPCKSGRIPKTLRFDPVTSQTSGLFVAKFTKLAI >Manes.09G107300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30900952:30904809:1 gene:Manes.09G107300.v8.1 transcript:Manes.09G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNFMHRVISYVVNELVVNGLANSPAFQRFAVRTSRKIEDISNIAEKRRKELAEQMKDFSKNIESFKNQR >Manes.01G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12178893:12185456:1 gene:Manes.01G045900.v8.1 transcript:Manes.01G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQHWRESIKSFFGNHIDPEQGEQLKGTKTEIENKVKKILKLLKEEDLEEKDGFSGENSKKEPLVELIEDFHNQYQLLYKQYDHLTGELRKKFHGKRAADTSSSSSSDSESDHSSKGKGNKNGKLKSEYQKIADVLKQELETTNLEVAELKSKLIATNEEKEALNLEHQTALSKIKETEEIIRKLKFEVERLDVEKGKLLVENGELKQNLDASGSVEAELNKRLEEMSKDKDNLIVEGEQTVEEFKTIANHLQEEKVVLEQQLESFRAEVASMKQQLESAELQVSDLSQRLTHTEESNKSLASLVLEQHSRLDDMTLEKESLTAQVNTLLADLERLHTQNVELEDQMASKADEASIQVKGLTDQVNELQQQQESIGNEKAGLEVKLEEKTREISEFLVLLENLKEEIAQKTEDYRKILEERESLTGQMKYLELEVENLQNHKVDLEEQIRTEIKENGRLGEDMLGLQNKIFYFEKTLNERGLEFFALQERHERGENEASAQIMALTTQANNMKLELNSLQAKKNQLQSQLEKEKQEFRESLIGMEKQKSELISKIADQQKMLIEQEEAYRKLSEEYKQVESWFQESKENHKVVEKKVEEMAAQFQKNAGSKDQIIAELEETVEDLKRDLEVKGDELNTLVDYVRNIEVKLRLLNQKLRVTEQLLTEKEESFRKAEANFQQELKVLEERIATKSRIIATTKEACQRMVTDASEKVKSTLIGVEALSLKFEDDCKSYEHRIMEMSNELQIAKNKVIEMKNEKEQLGKEVGDLVVQLQVTKERELTSRAKIEQLEAKIRKDEGEKENLTKAVSQLEIIMKEKDKGLLDLGEEKREAIRQLCLWIDYHRSHYDYLREILSKMPVRGHRAA >Manes.01G045900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12179262:12185313:1 gene:Manes.01G045900.v8.1 transcript:Manes.01G045900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQHWRESIKSFFGNHIDPEQGEQLKGTKTEIENKVKKILKLLKEEDLEEKDGFSGENSKKEPLVELIEDFHNQYQLLYKQYDHLTGELRKKFHGKRAADTSSSSSSDSESDHSSKGKGNKNGKLKSEYQKIADVLKQELETTNLEVAELKSKLIATNEEKEALNLEHQTALSKIKETEEIIRKLKFEVERLDVEKGKLLVENGELKQNLDASGSVEAELNKRLEEMSKDKDNLIVEGEQTVEEFKTIANHLQEEKVVLEQQLESFRAEVASMKQQLESAELQVSDLSQRLTHTEESNKSLASLVLEQHSRLDDMTLEKESLTAQVNTLLADLERLHTQNVELEDQMASKADEASIQVKGLTDQVNELQQQQESIGNEKAGLEVKLEEKTREISEFLVLLENLKEEIAQKTEDYRKILEERESLTGQMKYLELEVENLQNHKVDLEEQIRTEIKENGRLGEDMLGLQNKIFYFEKTLNERGLEFFALQERHERGENEASAQIMALTTQANNMKLELNSLQAKKNQLQSQLEKEKQEFRESLIGMEKQKSELISKIADQQKMLIEQEEAYRKLSEEYKQVESWFQESKENHKVVEKKVEEMAAQFQKNAGSKDQIIAELEETVEDLKRDLEVKGDELNTLVDYVRNIEVKLRLLNQKLRVTEQLLTEKEESFRKAEANFQQELKVLEERIATKSRIIATTKEACQRMVTDASEKVKSTLIGVEALSLKFEDDCKSYEHRIMEMSNELQIAKNKVIEMKNEKEQLGKEVGDLVVQLQVTKERELTSRAKIEQLEAKIRKDEGEKENLTKAVSQLEIIMKEKDKGLLDLGEEKREAIRQLCLWIDYHRSHYDYLREILSKMPVRGHRAA >Manes.07G127902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33032733:33033044:-1 gene:Manes.07G127902.v8.1 transcript:Manes.07G127902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKAEQGTFGGRVRRPKVCSEPKVTNLLRQVRRPKLSSRAESPNFQGRV >Manes.08G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38339535:38340764:1 gene:Manes.08G147700.v8.1 transcript:Manes.08G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEKSRVSQLSGEMLLTIEICMWVFEFQPNKLPSIREGEKDPWKTQFAQQPPWLHYNVEVSPYFGTSAFFNSKFF >Manes.06G100100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23412852:23418439:-1 gene:Manes.06G100100.v8.1 transcript:Manes.06G100100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGEKVVAVIMVGGPTKGTRFRPLSLNVAKPLFPLAGQPMVHHPISACKRIPNLAHIYLVGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPSHIFLLNCDVCCSFPLREMLEAHRRYGGMGTILVIKVSAESASQFGELVADPDTKELLHYTEKPETFVSDLINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRSIPTDFVRLDQDILSPLAGKKKLYTYETMDFWEQIKTPGMSLKCSALYLAQFRYNSPHLLASGDGSKSATIIGDVFIHPSAKVHPTAKIGPNVSISANARIGPGARLISCIILDDVEVMENAVVIHAIVGWKSSIGRWSRVQAAGDFNAKLGVTILGEAVSVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Manes.11G018600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2080619:2083305:1 gene:Manes.11G018600.v8.1 transcript:Manes.11G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFDSLYSVKTPKFKGAFNGLCGIILLFLFYYWTYSNTGAGFGSGASHIDIIRRQLIEVDTNSTYLIDGSDGQNNISVHNPVCGGLIDHMGYANECEYLKANPGCSSGGFFDYIKFLYCDCDDFRGLGYLLLGIWLAALFYLLGNTAADYFCCSLEKLSSLLKLPPTVAGVALLPLGNGAPDVFASIAAFVGKDAGDVGLNSVLGGAVFVTCIVVGTVSLCVAEKEVRIDRRCFIRDICFFLFTLFSLLMMLMIGRVSVGAAIAFVLIYVVYGISVAAGEIVRKHARRLKLDVITPLIPVHGTIYDDDSGMYSSLLDIETDSNVPHLPPSLPSWMWASNVAIYSNHPTMGSMADEERPPWGWTDEGMEINNNSFSCSKLFSLIEMPLTVPRRLTIPLVDEGMWSKPYAVASATLAPVLVAFLWNSQDGMGPQSRILVYFFGVVVGCLLGFLAYQYTLPNHPPQRLLILWVLGGFIMSIVWFYMIANELVALLVAFGLIFGINPSILGLTVLAWGNSMGDLVSNVALALNGGDSVQIALSGCYAGPMFNTLVGLGISMLLGAWSQSTGIYVIPQDSSLFYTMGFLISGLIWGLIVLLQNDMHPTKTLGVGLITLYLIFLSIRVTIAMGYIVS >Manes.11G013100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1244386:1255816:-1 gene:Manes.11G013100.v8.1 transcript:Manes.11G013100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDKTFSELVGVLKSWIPWRSEPPTVSRDFWMPDQSCRVCYECDSQFTIINRRHHCRLCGRVFCAKCTANSVPAPSTDPRTPREELEKIRVCNYCFKQWQQGIATFDNVIQVPSLDLSSSPSVASLASTSETANSSTFTLGSMPCSVGPYQRGQQVACPSAHQISEMETNLSDKQRELALGRSTGLGADIGYQSPNQYAFSMNRSDDDDDDYGVFRSDSEMRHFPQVSEYFHHVEFDDVSNDEGTHRARLDGENIGSKSLSSSPLNHTFGLHGVEGISQPGKKDGLDMDDECEAPSSMYPGENCDAEPVDFENNGLLWLPPEPEDEEDEREAGLFDDDDDDDDDDAAGEWGRLRTSNSFGSGEFRNRDKPVEDHKKGVKNVVDGHFRALVSQLLQVENIPVGDEDDKESWLEIITSLSWEAATLLKPDMSKSGGMDPGGYVKIKCIASGRRCESMVVKGVVCKKNVAHRRMTSRIERPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVARIDAHQPDVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGYCDKFHVDKFLEDLGTAGQGGKKLMKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPEFPLNSPITVALPDKPSSIERSISTVPGFTIPANEKPQGLHPSSEPQRSNSVSIAYVDSTISNSSIDQVERQPLTDGPSSQSAAVTSSHINSTAFLSTVPSTVKVFSDSYFEKENKKGNGDSLLAEIIPENKAGAMGDHLTVNGFEHSEGILMNHSPNDIGEMVPSQSHGTEVSSTQESKSNFEEPGPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDNSYTCRSCEMPSEAHVHCYTHRQGTLTISVKKLSEILLPGEKDGKIWMWHRCLRCPRTNGFPPATRRVAMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGNMVACFRYASIDVLSVYLPPAKLDFNFENQEWIQKETVEVVDRAELLFSEVLNALSQIAEKRPSAGPPNSVTKLPESRGQIGELEVMLKKEKAEFEESLQKALNREAKKGQRVIDILEINRLRRQLLFQSYMWDHRLIYAANLDINSLQDNLNWSSSGHEEKPIANTEQLTEMDVNDQPGKSVGSCDSLPVDTTLLKIPDQRAGFGSNPDQCDTVQKTDMNQGSNLEKEDRADLSAVIPICDQPNSLGCSGNVRRTRSESQVPIVSNLSDTLDAAWTGENFPGVGISKDDTSVLSDSVVADLSTTTTALEGLDLNNRAEDQNGPKVSYSPSPALYTKASDNMEDSVSYLRMPFLNFYRSLNKNFLASSEKLDTMGEYNPVYVSSFRELELQGGARLLLPVGVNDTVIPVYDDEPTSIISYALVSPEYHGQLTDVRDLNSSLNLFDSVTSQSFHSVDEVIIDSLRSHGSIDESILSITGSRSPLLLDPLSHTKTMHARVSFGDEGSLGKVKYSVTCYYAKSFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISSRSPTCLAKILGIYQVTSRHPKGGKESKMDVLVMENLLFRRNLTRLYDLKGSSRSRYNADSSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNSSPTVISPKQYKKRFRKAMTAYFLMVPDQWSPPTIVPSKSQSDFCEENNTVGGTSVE >Manes.11G013100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1244386:1255816:-1 gene:Manes.11G013100.v8.1 transcript:Manes.11G013100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDKTFSELVGVLKSWIPWRSEPPTVSRDFWMPDQSCRVCYECDSQFTIINRRHHCRLCGRVFCAKCTANSVPAPSTDPRTPREELEKIRVCNYCFKQWQQGIATFDNVIQVPSLDLSSSPSVASLASTSETANSSTFTLGSMPCSVGPYQRGQQVACPSAHQISEMETNLSDKQRELALGRSTGLGADIGYQSPNQYAFSMNRSDDDDDDYGVFRSDSEMRHFPQVSEYFHHVEFDDVSNDEGTHRARLDGENIGSKSLSSSPLNHTFGLHGVEGISQPGKKDGLDMDDECEAPSSMYPGENCDAEPVDFENNGLLWLPPEPEDEEDEREAGLFDDDDDDDDDDAAGEWGRLRTSNSFGSGEFRNRDKPVEDHKKGVKNVVDGHFRALVSQLLQVENIPVGDEDDKESWLEIITSLSWEAATLLKPDMSKSGGMDPGGYVKIKCIASGRRCESMVVKGVVCKKNVAHRRMTSRIERPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVARIDAHQPDVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGYCDKFHVDKFLEDLGTAGQGGKKLMKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPEFPLNSPITVALPDKPSSIERSISTVPGFTIPANEKPQGLHPSSEPQRSNSVSIAYVDSTISNSSIDQVERQPLTDGPSSQSAAVTSSHINSTAFLSTVPSTVKVFSDSYFEKENKKGNGDSLLAEIIPENKAGAMGDHLTVNGFEHSEGILMNHSPNDIGEMVPSQSHGTEVSSTQESKSNFEEPGPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDNSYTCRSCEMPSEAHVHCYTHRQGTLTISVKKLSEILLPGEKDGKIWMWHRCLRCPRTNGFPPATRRVAMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGNMVACFRYASIDVLSVYLPPAKLDFNFENQEWIQKETVEVVDRAELLFSEVLNALSQIAEKRPSAGPPNSVTKLPESRGQIGELEVMLKKEKAEFEVRLSLHESLQKALNREAKKGQRVIDILEINRLRRQLLFQSYMWDHRLIYAANLDINSLQDNLNWSSSGHEEKPIANTEQLTEMDVNDQPGKSVGSCDSLPVDTTLLKIPDQRAGFGSNPDQCDTVQKTDMNQGSNLEKEDRADLSAVIPICDQPNSLGCSGNVRRTRSESQVPIVSNLSDTLDAAWTGENFPGVGISKDDTSVLSDSVVADLSTTTTALEGLDLNNRAEDQNGPKVSYSPSPALYTKASDNMEDSVSYLRMPFLNFYRSLNKNFLASSEKLDTMGEYNPVYVSSFRELELQGGARLLLPVGVNDTVIPVYDDEPTSIISYALVSPEYHGQLTDVRDLNSSLNLFDSVTSQSFHSVDEVIIDSLRSHGSIDESILSITGSRSPLLLDPLSHTKTMHARVSFGDEGSLGKVKYSVTCYYAKSFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISSRSPTCLAKILGIYQVTSRHPKGGKESKMDVLVMENLLFRRNLTRLYDLKGSSRSRYNADSSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNSSPTVISPKQYKKRFRKAMTAYFLMVPDQWSPPTIVPSKSQSDFCEENNTVGGTSVE >Manes.11G013100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1244386:1255816:-1 gene:Manes.11G013100.v8.1 transcript:Manes.11G013100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDKTFSELVGVLKSWIPWRSEPPTVSRDFWMPDQSCRVCYECDSQFTIINRRHHCRLCGRVFCAKCTANSVPAPSTDPRTPREELEKIRVCNYCFKQWQQGIATFDNVIQVPSLDLSSSPSVASLASTSETANSSTFTLGSMPCSVGPYQRGQQVACPSAHQISEMETNLSDKQRELALGRSTGLGADIGYQSPNQYAFSMNSDDDDDDYGVFRSDSEMRHFPQVSEYFHHVEFDDVSNDEGTHRARLDGENIGSKSLSSSPLNHTFGLHGVEGISQPGKKDGLDMDDECEAPSSMYPGENCDAEPVDFENNGLLWLPPEPEDEEDEREAGLFDDDDDDDDDDAAGEWGRLRTSNSFGSGEFRNRDKPVEDHKKGVKNVVDGHFRALVSQLLQVENIPVGDEDDKESWLEIITSLSWEAATLLKPDMSKSGGMDPGGYVKIKCIASGRRCESMVVKGVVCKKNVAHRRMTSRIERPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVARIDAHQPDVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGYCDKFHVDKFLEDLGTAGQGGKKLMKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPEFPLNSPITVALPDKPSSIERSISTVPGFTIPANEKPQGLHPSSEPQRSNSVSIAYVDSTISNSSIDQVERQPLTDGPSSQSAAVTSSHINSTAFLSTVPSTVKVFSDSYFEKENKKGNGDSLLAEIIPENKAGAMGDHLTVNGFEHSEGILMNHSPNDIGEMVPSQSHGTEVSSTQESKSNFEEPGPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDNSYTCRSCEMPSEAHVHCYTHRQGTLTISVKKLSEILLPGEKDGKIWMWHRCLRCPRTNGFPPATRRVAMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGNMVACFRYASIDVLSVYLPPAKLDFNFENQEWIQKETVEVVDRAELLFSEVLNALSQIAEKRPSAGPPNSVTKLPESRGQIGELEVMLKKEKAEFEESLQKALNREAKKGQRVIDILEINRLRRQLLFQSYMWDHRLIYAANLDINSLQDNLNWSSSGHEEKPIANTEQLTEMDVNDQPGKSVGSCDSLPVDTTLLKIPDQRAGFGSNPDQCDTVQKTDMNQGSNLEKEDRADLSAVIPICDQPNSLGCSGNVRRTRSESQVPIVSNLSDTLDAAWTGENFPGVGISKDDTSVLSDSVVADLSTTTTALEGLDLNNRAEDQNGPKVSYSPSPALYTKASDNMEDSVSYLRMPFLNFYRSLNKNFLASSEKLDTMGEYNPVYVSSFRELELQGGARLLLPVGVNDTVIPVYDDEPTSIISYALVSPEYHGQLTDVRDLNSSLNLFDSVTSQSFHSVDEVIIDSLRSHGSIDESILSITGSRSPLLLDPLSHTKTMHARVSFGDEGSLGKVKYSVTCYYAKSFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISSRSPTCLAKILGIYQVTSRHPKGGKESKMDVLVMENLLFRRNLTRLYDLKGSSRSRYNADSSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNSSPTVISPKQYKKRFRKAMTAYFLMVPDQWSPPTIVPSKSQSDFCEENNTVGGTSVE >Manes.11G013100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1244386:1255816:-1 gene:Manes.11G013100.v8.1 transcript:Manes.11G013100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDKTFSELVGVLKSWIPWRSEPPTVSRDFWMPDQSCRVCYECDSQFTIINRRHHCRLCGRVFCAKCTANSVPAPSTDPRTPREELEKIRVCNYCFKQWQQGIATFDNVIQVPSLDLSSSPSVASLASTSETANSSTFTLGSMPCSVGPYQRGQQVACPSAHQISEMETNLSDKQRELALGRSTGLGADIGYQSPNQYAFSMNSDDDDDDYGVFRSDSEMRHFPQVSEYFHHVEFDDVSNDEGTHRARLDGENIGSKSLSSSPLNHTFGLHGVEGISQPGKKDGLDMDDECEAPSSMYPGENCDAEPVDFENNGLLWLPPEPEDEEDEREAGLFDDDDDDDDDDAAGEWGRLRTSNSFGSGEFRNRDKPVEDHKKGVKNVVDGHFRALVSQLLQVENIPVGDEDDKESWLEIITSLSWEAATLLKPDMSKSGGMDPGGYVKIKCIASGRRCESMVVKGVVCKKNVAHRRMTSRIERPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVARIDAHQPDVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLSSPKLGYCDKFHVDKFLEDLGTAGQGGKKLMKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPEFPLNSPITVALPDKPSSIERSISTVPGFTIPANEKPQGLHPSSEPQRSNSVSIAYVDSTISNSSIDQVERQPLTDGPSSQSAAVTSSHINSTAFLSTVPSTVKVFSDSYFEKENKKGNGDSLLAEIIPENKAGAMGDHLTVNGFEHSEGILMNHSPNDIGEMVPSQSHGTEVSSTQESKSNFEEPGPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDNSYTCRSCEMPSEAHVHCYTHRQGTLTISVKKLSEILLPGEKDGKIWMWHRCLRCPRTNGFPPATRRVAMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGNMVACFRYASIDVLSVYLPPAKLDFNFENQEWIQKETVEVVDRAELLFSEVLNALSQIAEKRPSAGPPNSVTKLPESRGQIGELEVMLKKEKAEFEVRLSLHESLQKALNREAKKGQRVIDILEINRLRRQLLFQSYMWDHRLIYAANLDINSLQDNLNWSSSGHEEKPIANTEQLTEMDVNDQPGKSVGSCDSLPVDTTLLKIPDQRAGFGSNPDQCDTVQKTDMNQGSNLEKEDRADLSAVIPICDQPNSLGCSGNVRRTRSESQVPIVSNLSDTLDAAWTGENFPGVGISKDDTSVLSDSVVADLSTTTTALEGLDLNNRAEDQNGPKVSYSPSPALYTKASDNMEDSVSYLRMPFLNFYRSLNKNFLASSEKLDTMGEYNPVYVSSFRELELQGGARLLLPVGVNDTVIPVYDDEPTSIISYALVSPEYHGQLTDVRDLNSSLNLFDSVTSQSFHSVDEVIIDSLRSHGSIDESILSITGSRSPLLLDPLSHTKTMHARVSFGDEGSLGKVKYSVTCYYAKSFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPEYFKYLSDSISSRSPTCLAKILGIYQVTSRHPKGGKESKMDVLVMENLLFRRNLTRLYDLKGSSRSRYNADSSGNNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNSSPTVISPKQYKKRFRKAMTAYFLMVPDQWSPPTIVPSKSQSDFCEENNTVGGTSVE >Manes.S044816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1348663:1349304:1 gene:Manes.S044816.v8.1 transcript:Manes.S044816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVTAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G100250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22374622:22375234:-1 gene:Manes.03G100250.v8.1 transcript:Manes.03G100250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSAVIFIFVLVVICQIVPCFEGRRMLVSLKDNSAALSTILKGTVLLPPSSKGHAMAVDERLMASHLPKIDRILINSVPSPGAGH >Manes.14G148397.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16945842:16951389:-1 gene:Manes.14G148397.v8.1 transcript:Manes.14G148397.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAADEEVESHAPSKAAAPAAAPPPAAAPPPAAAGGLGQDALFQQIAELIRRVTQNVPEVPPPPVAVQVPPPVVARPPIEKLRKYGATEFRGKKEDDPSAAEFWLESTERVLQQLQCSPVESLMCAVSLLKDEAYRWWTTLTQMVRPERQTWEFFLSEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCRRFEQGLHADIRMYLTAMHIKELSVLVETAHSLERIKEEEQSRKQKGQQKRSQSQYQGQSSISQTSSKRHREFQQTGQRGLPRQSQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPIQTERSLPTGSRGRGRGRGESSSAQSHRVSETVDRPDTRAPARAYAIRAREDQDKPDVIAGEGTSKGKEIARD >Manes.01G205400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37841332:37847267:1 gene:Manes.01G205400.v8.1 transcript:Manes.01G205400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGNSKKSKLSWPKTLVKKWFNIKSKAEEFQADDVVCGGIDEDWRTKFSEREACTIKKSKTERINKRNSDRVRRTKIDIDAGHVTDVHNYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGASAGYHTPSPTPDPIVELDADFEGSTRQKASSFFHRRSFQSLSRSMRMDNDMTMLQPRLDRRFSVCDRVIFGHRPSDYEPNFKWGSSDDENGHGDSPGTSHYSPIDYGGSFSLEDRDRQMGQSMYCLVASKQMVGIFLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGIGDESSPQTILEHDRIIWLGDLNYRIALSYRTAKALVEMRNWRALLENDQLRIEQRRGRVFQGWTEGRIYFPPTYKYSNNSDRYAGDDRCPKEKRRTPAWCDRILWYGRGLNQLSYVRGESRFSDHRPVYSIFLAEVESISRSRIKKSMSCSSSRIEVEELLPQALGYSELNFL >Manes.15G007200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:724059:724565:-1 gene:Manes.15G007200.v8.1 transcript:Manes.15G007200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMHIISFSLLALLLMLPSTNPLPIPKSRTLYEIACTMCSSCCNPVPSPPPPPSPSPPPPSSTYNCPPPPSPPTSSGSYYYSPLPPSTYTYSSPPPPQGGGGGGGHSYYYYPPPNYKNYPTPPPPNPIVPYFPFYYYSPPSMSGCVKLMGSISYSIVVVAMFLLALF >Manes.06G056900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15425723:15430719:-1 gene:Manes.06G056900.v8.1 transcript:Manes.06G056900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRFLFTSRSLPKRFDDSFFTKAMKSFHLFLFIFLLFCASIAPPTVQAFTGAYGINYGRIADNIPSPDEVVTLLRAAKIRNVRIYDFDHSVLKAFSGTGLELVVGLPNGYVKEMSANASNAITWVKENVQAFLPKTSIRGIAIGNEVLGGSDYELWGALLGAVKNIHNALKKLHLADVVQITTAHSQAVFANSYPPSSCVFRDNVAQFMKPLLEFFSQIGSPFCLNAYPFLAYMGDPENIDINYALFQKTQGIYDPKTDLHYDNMLDAQIDAAYAALEDAGYKKMEVIVTETGWASHGDDNEVAATADNARTYNYNLRKRLAKKKGTPFRPKIVVKAYIFAIFNEDMKPGPTSERNYGLFKADGSISYDIGFHGLKSSSADSLHFSMKAVQGQGSSRSCFLILTISAVASLLFLRQ >Manes.06G056900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15426572:15430719:-1 gene:Manes.06G056900.v8.1 transcript:Manes.06G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRFLFTSRSLPKRFDDSFFTKAMKSFHLFLFIFLLFCASIAPPTVQAFTGAYGINYGRIADNIPSPDEVVTLLRAAKIRNVRIYDFDHSVLKAFSGTGLELVVGLPNGYVKEMSANASNAITWVKENVQAFLPKTSIRGIAIGNEVLGGSDYELWGALLGAVKNIHNALKKLHLADVVQITTAHSQAVFANSYPPSSCVFRDNVAQFMKPLLEFFSQIGSPFCLNAYPFLAYMGDPENIDINYALFQKTQGIYDPKTDLHYDNMLDAQIDAAYAALEDAGYKKMEVIVTETGWASHGDDNEVAATADNARTYNYNLRKRLAKKKGTPFRPKIVVKAYIFAIFNEDMKPGPTSERNYGLFKADGSISYDIGFHGLKSSSADSLHFSMKAVQGQGSSRSCFLILTISAVASLLFLRQ >Manes.10G123900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28875227:28875724:1 gene:Manes.10G123900.v8.1 transcript:Manes.10G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLVLFSKMLTRTDIESGLCISTSSLSQLPFDEGQQVNMHVHDEGGREWIFPCTIKEDENVGRFLSVGWLDFVRFKDLRAGDQVNIHEEVTKSEVPATLMKIRVQRKIRLFGVDIWAAV >Manes.02G016567.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1474181:1474894:-1 gene:Manes.02G016567.v8.1 transcript:Manes.02G016567.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMSKNLVYRSKARPRSSVSAWISLFVVGSGFFGKNKTVASPINETLLLSALQWWFGW >Manes.02G016567.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1473539:1474993:-1 gene:Manes.02G016567.v8.1 transcript:Manes.02G016567.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMSKNLVYRSKARPRSSVSAWISLFVVGSGFFGKNKETVASPINETLLLSALQWWFGW >Manes.02G016567.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1474739:1474894:-1 gene:Manes.02G016567.v8.1 transcript:Manes.02G016567.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMSKNLVYRSKARPRSSVSAWISLFVVGSGFFGKNKVKFLISFFLACVV >Manes.02G016567.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1473539:1474996:-1 gene:Manes.02G016567.v8.1 transcript:Manes.02G016567.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMSKNLVYRSKARPRSSVSAWISLFVVGSGFFGKNKSLGDWKSSKY >Manes.02G016567.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1474281:1474894:-1 gene:Manes.02G016567.v8.1 transcript:Manes.02G016567.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMSKNLVYRSKARPRSSVSAWISLFVVGSGFFGKNKSLGDWKSSKY >Manes.09G156200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35162847:35163224:-1 gene:Manes.09G156200.v8.1 transcript:Manes.09G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVVNGPTVTEFVEDKQAFETSVKECFEMLDTNGDGEISRDELCAGICKFMTQTKQEMGNLCDSIFERFDENKNGSIDPKEFRSLMEEIMFAMARGIGNSPVLMVLDPESLLMKAVQHEYAKK >Manes.01G064300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26272560:26273494:1 gene:Manes.01G064300.v8.1 transcript:Manes.01G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNNFLISIILLSTLFFTSTYGATFTIRNDCSYPVWAAASPGGGRRLNQGQTWNLNVPSGTSMARIWGRTNCNFDGSGRGRCQTGDCGGVLNCQAWGQPPNTLAEYALNQFGNLDFYDISLVDGFNIPMEFSPTSGAMDKCRPLFCTADINGQCPDPLRAPGGCNNPCTVFKTNEYCCTQGYGTCGPTEYSRFFKSRCPDAYSYPQDDPSSTFTCPGGTNYRVVFCPRRSPHFPLEIVGEKIVEQ >Manes.06G007500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1226029:1228826:1 gene:Manes.06G007500.v8.1 transcript:Manes.06G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTNLRCPILLKPITLPLAFLSTYDLPQPQPLYRDQDEDQSISITSRSYWTRKIHNLCTQYRKVDQALALLDHLRLRGYRPDSLNLSSIIHGLCDAKRFQEAYHRFVLSISSHCIPDERTCNVLIARLLDSQCPHSTLHALHRLFDVKPEFVPSVINYNRLIYQYCEVSLPNVAHRLLFDMISRGHSPNIVTYTTLMGGYCRVSAVNVAYMMFDEMREHGVVPNSLTYSVLICGILRQRDAERGKELMCGLWETMKDEEDHSVNSAAFSNIIDSLCREGFFNEVFKIAEDMPQGKNVNEEFAYGHMIDSLCRSGRNHGASRIAYIMRKRGFTPSLVSYNSIIHGLCKQRGCMRAYQLFEEGIEFGYLPSEYTYKVLVEALCQDMDLYKARNILESMLKKEGADRTRIYNIYLRALCLVNSATELLNVLVSMLQTECHPDVITLNIVINGFCKMGRIEEALKMLNDMTMGKFCAPDAVTFTSIIGGLLNVGKTQEALNLFHQVMPKNGISPGVVTYNAVLRGLFKLQLADEAMRVFNRMLADGVAANSTTYSIIIDGLCDSNQIDKAKKFWDDVVWPSKVHDKFVYASILKGLCRLGNLNEACHYLYELVDSGVSLNIVSYNIVIDCACRLGMKREAYQIVNEMRKNGLAPDAVTWRILDKLHGAMKG >Manes.06G022851.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4627640:4628461:1 gene:Manes.06G022851.v8.1 transcript:Manes.06G022851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNSSTLLVRSILEKDKLKDNGPKGRGKPKWQSKAKVPKEGICFHCKEPGHWKRNCKLYLDECKKKKSSETTTSGIYVININLSISTSWVLDTGCGSHNCTNVKGLKMSRKLKKGDVDLLVGNEARVAALAVGIYELVLPNELFRNIISVYVLDDEGFSFLIKNKKCSIKSYARIV >Manes.07G001880.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:473943:475858:1 gene:Manes.07G001880.v8.1 transcript:Manes.07G001880.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIGYRAFRFSISWSRIIPSGRIRDGVNEQGIEFYNNLIDEIISNGMMPYATIFHWDTPQALEDKYGGFLSSEIVDDFYDYADLCFKNFGDRVKYWVTINEPWSLASFAYDSGEHAPGRCSDWVNRACSGGNSATEPYIVSHNLLLIHATAVGLYKKKYKGGKIGITLNSMWFEPYSNSAVDKQAARRALDFMLGWFMDPITYGQYPRSMQTLVGNRLPKFMSNESKLLKGSYDFIGLNYYTATFAKGNAIIDPSRPRYSTDHHVIQIEREVT >Manes.S003924.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:21876:22034:1 gene:Manes.S003924.v8.1 transcript:Manes.S003924.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.15G038900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3040681:3042545:1 gene:Manes.15G038900.v8.1 transcript:Manes.15G038900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITKASETQMETQSNYDRKSELKAFDDTKAGVKGLVDAGLTKIPRIFNCQQFIFQHSSKTASDQHNIPVIDLKGNLEVSSFEADIIDRVRNACENWGFFQLINHGIPINIMDETLNGVRRFHEQDTEAKKQLYTRDLSKKVQYVSNFDLYQAGAANWRDSFVTFMAPDPPSSEELPDVCRGEVIEYTKQVMKLGNTLFELLSQALGLSPCHLRDMGCAKGLLFVGHYYPPCPEPEFTMGTTQHTDGTFITILLQDEIGGLQVLHEDQWVDVSPLPGSLVINVGDLLQVSKIHFGINHGCYC >Manes.15G038900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3040681:3042545:1 gene:Manes.15G038900.v8.1 transcript:Manes.15G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITKASETQMETQSNYDRKSELKAFDDTKAGVKGLVDAGLTKIPRIFNCQQFIFQHSSKTASDQHNIPVIDLKGNLEVSSFEADIIDRVRNACENWGFFQLINHGIPINIMDETLNGVRRFHEQDTEAKKQLYTRDLSKKVQYVSNFDLYQAGAANWRDSFVTFMAPDPPSSEELPDVCRGEVIEYTKQVMKLGNTLFELLSQALGLSPCHLRDMGCAKGLLFVGHYYPPCPEPEFTMGTTQHTDGTFITILLQDEIGGLQVLHEDQWVDVSPLPGSLVINVGDLLQLISNDKFISANHRVLAKKVGPRISVACFYRPHIQTGGSSEIYGPIKELLSEENPPIYRETDVEEYTRIYISKGLDGTSPLAHFKLV >Manes.08G079700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26440033:26451724:-1 gene:Manes.08G079700.v8.1 transcript:Manes.08G079700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFIGSPGTVSGLVLRIGQCAFAAASIGIMASASGFSSYTAFCYLIASMGLQGLWSFGLACLDVYALRRKRDLQNPVLVSLFVVGDWCWCLWDQDPIIPPRLGLDEINAVDAWKGIKESSFKVTATLSLAAACSSAGVVVLYAKDLKICKSQINLPCNRFEISILFGFLTWLLISISSHVMFWILASV >Manes.14G123400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9748981:9749406:-1 gene:Manes.14G123400.v8.1 transcript:Manes.14G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYVPLVAIVIVITIVGDSTARELRPSYHGLDYQNTPPAGEKLPPEMKEFFGAQSSPTSKSSNVALPKAMNSNDTTWWRDVTGGNDGSKGRDRLSHVLLVASLACGITGAALLVASAFIYFVKYKRQTTSSSANSKSDHQ >Manes.15G043350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3339653:3340600:1 gene:Manes.15G043350.v8.1 transcript:Manes.15G043350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRATTDADDCVEAAKRLFLHATHQKAKKMSTTQRSKYWEIGFGLEAREIEKTLGENYKSTSDRDMSTTQRSKYRERGFGLEAREIEKTLGENYKSTSDGDSLSATLR >Manes.11G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1125876:1128333:-1 gene:Manes.11G010900.v8.1 transcript:Manes.11G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLPINTFILLLARPILNTALNKLRKKSSEASDHQKPEAMTTTVSLPPNFLIVNPNKGRKRDIFKYMVGNNTKSGMNFLDSSEETVKGGAAVDHRWILLVSIIIRRILALINTPLKYFGYLVDFFLNLISQNGGFCSICSNFLQGKLKIPRRGSENFISTIGQLDGRIDLYRTVFLSEKADDSVNSDSHNVRSELGNRYLMDLCIMASKLVYENEKVVKNVVENHWKMHFEAFYNCWNENQKESNTQVFIITDKPKDANLIVISFRGTEPFNAQDWSTDFDFSWYEVPKVGKLHIGFLEALGLGSRGDATTFQNQLRRKHTSFLHVIGESEASVKEKAKKSAYYAVSLTLKNLLKEHRNAKFVVTGHSLGGALAILFPCVLVIQEETEMIQRLLNIYTFGQPRIGDAQLGTFMEAHLNYPNNRYYRVVYSNDMVPRVPFDDKIFAFKHFGVCLYYDSRYFGRFMDEEPNRNFFSLKHMIPMRVNALWEIIRSFVISHTHGPEYQESWFCTLFRIMGLVLPGISAHSPIDYVNSVRLGRERTFPLSTLKSFARKS >Manes.16G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30721616:30727530:1 gene:Manes.16G101800.v8.1 transcript:Manes.16G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPITSPVPVTWYPTLAVSMLAIGLVITASFFIYEATSSRRNRSLAKELTTGAFASFFLGFGTLFLLLASGVYV >Manes.04G012600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1671714:1672793:-1 gene:Manes.04G012600.v8.1 transcript:Manes.04G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKAEKAPAEKKPRAEKKLPREGGASDKKKKRTKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Manes.10G136716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30407130:30448295:-1 gene:Manes.10G136716.v8.1 transcript:Manes.10G136716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFPFLWIICLLCGELLCGGVAQSVHCNAADREALLDLKRGLNDSWNRLSSWHGTNCCGWSGIACHNTTGAVLAVDLPKSSGLQPLGGEIRPSLAKLKSLKHLDLSGNNFHGKIPHFLSDLQNLQYLNLSFAGFSGEIPPNLGNLSSLQFLDVSSVSLTVDNIEWVSGLLSLKYLSMNYLNLSSLGGAWIEPLNKLPLLSELHLEYCGLSGFIYSLPSVNFTSLKVMKLQCSLFHAKLPNWFTNISSLVSVDIGNSWLTGRIPLGFGELPNLQSLKLNYNMELSASCFQLFARSWKKIRVLDFSIINLHGRLPALLGNLTSLTDFDLHFNNIEGGIPSSIGKLSKLKYIDLSSNKLIGSLPNSIGQLKNLVELRLNSNLLQGSIPYSIGNLQHLTILKLSSNNINGTLPDSIGLLSELSTLDVSLNKLTGIISEAHFHRLENLEQIILSDNSVILNVSSHWVPPFQVIFLQMSSCHVGPSFPYWLRSQKKIQVLDFSRAGVSGCIPNWFWNMTSILSFLNFSFNSLEGHIPNTFKLIPYAIVDLSFNQFKGPVPLPNALSLDLSHNQFYGSMPENISQVMSSLQFLSLSSNQLTGEIPASIGALSLNVLDLSKNNLAGSIPPNIGNCSFLTVLDLQNNNLSGRIPNSIGRLNGLQTLHLSNNKFSGEIPLSLQNLSKLETLDLGSNMLTGKLPFWVGEAFPLLRILSLRANKLSGELPLTLSNSSSLQILDLAENQLNGSIPANLGNLKAMAQQQKVNHYLLYGVDESHNYQENIHVTINGLGLTYTRTLSLLTSIDLSGNNLSGRFPEAITRLVGLEVLNLSRNHISGQIPDSISELHELLSLDLSGNRLSGPIPLSITSLTFLGNLNVSNNNLSGKIPSANQMSTFNASSFAGNPGLCGDPLAVKCANGSNDGGDNYPDAGKKPDQDDNGNGFADNWFYMSIGVGFAVGLLLPYLVFAMKRSWGGIYFAVVDGTAYRLSSEKMKAAMRRRTG >Manes.07G023800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2564830:2576924:1 gene:Manes.07G023800.v8.1 transcript:Manes.07G023800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRSRSKPKKSVAKKPNVVNADEEAFLNSVGKNVGENSVPDRLNNHGFSLHHSKKNASLSSSSSSSSSSSSEESEGFRFEGGGGTGFDEPAIGTRVTRSANKRGRPNKGEVLRNGSSAAATPSKGLGSSSRKQAPSKNLAHDSRINKNELKAALAVIKKVMEMDEAVPFNASVDPVSQGIPDYLNVIDLPMDFGTICSNLESGVKYLNSEDVHKDVEKIWKNCLKYNKKSDYIVYLMKRVKKKFMKYWIAARLCSELLKKPDGHSHVPQPPVTVLPMEQGKQTSKCSDAPLMSGRTCSQQQQHRPSSRYLRQSQQSTGQPQPSHSHIGAGINNAVDPMFTSMTQPQPRHDHLSHRQASESNQPQASIMQPKPLTILGISSAGMPHVDASRGERMHMQRHTAVPFSEIPLALGLSGSHNQQHRSQQSTHETQRRVRGPTQCRFMLDMPDGERIFVPINMQGQPVGPEASKLASFLGIVARNGKMAPLNFLDWSAMPDAIKEDMWQFVQKKFEIDPMCKTWVLKSLASKWRNWKAKLKADHYNPHTTDEERLKDCNIRVLPDQWAALVYHWNSEEVQLRCAKNKANRAKQKSAHAAGSKSFARICEEERAKRPDGKALTRGELYIITRTRKDGQPVDKKAEEVITKLQEQATQKQHTSHRSNDHDDTHCQVTGEEKRGRMHMLGLGPSPADLLGQKLSRSSHTKMALETKGSPNEKVSKMSNKMEAMEQKFASLEAQIGRMTSHLQNLVDKMAGASGTKQAQAYSSSSSHEVQSNEIDYRL >Manes.07G023800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2564831:2576920:1 gene:Manes.07G023800.v8.1 transcript:Manes.07G023800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRSRSKPKKSVAKKPNVVNADEEAFLNSVGKNVGENSVPDRLNNHGFSLHHSKKNASLSSSSSSSSSSSSEESEGFRFEGGGGTGFDEPAIGTRVTRSANKRGRPNKGEVLRNGSSAAATPSKGLGSSSRKQAPSKNLAHDSRINKNELKAALAVIKKVMEMDEAVPFNASVDPVSQGIPDYLNVIDLPMDFGTICSNLESGVKYLNSEDVHKDVEKIWKNCLKYNKKSDYIVYLMKRVKKKFMKYWIAARLCSELLKKPDGHSHVPQPPVTVLPMEQGKQTSKCSDAPLMSGRTCSQQQQHRPSSRYLRQSQQSTGQPQPSHSHIGAGINNAVDPMFTSMTQPQPRHDHLSHRQASESNQPQASIMQPKPLTILGISSAGMPHVDASRGERMHMQRHTAVPFSEIPLALGLSGSHNQQHRSQQSTHETQRRVRGPTQCRFMLDMPDGERIFVPINMQGQPVGPEASKLASFLGIVARNGKMAPLNFLDWSAMPDAIKEDMWQFVQKKFEIDPMCKTWVLKSLASKWRNWKAKLKADHYNPHTTDEERLKDCNIRVLPDQWAALVYHWNSEEVQLRCAKNKANRAKQKSAHAAGSKSFARICEEERAKRPDGKALTRGELYIITRTRKDGQPVDKKAEETKLQEQATQKQHTSHRSNDHDDTHCQVTGEEKRGRMHMLGLGPSPADLLGQKLSRSSHTKMALETKGSPNEKVSKMSNKMEAMEQKFASLEAQIGRMTSHLQNLVDKMAGASGTKQAQAYSSSSSHEVQSNEIDYRL >Manes.07G023800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2564828:2576936:1 gene:Manes.07G023800.v8.1 transcript:Manes.07G023800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRSRSKPKKSVAKKPNVVNADEEAFLNSVGKNVGENSVPDRLNNHGFSLHHSKKNASLSSSSSSSSSSSSEESEGFRFEGGGGTGFDEPAIGTRVTRSANKRGRPNKGEVLRNGSSAAATPSKGLGSSSRKQAPSKNLAHDSRINKNELKAALAVIKKVMEMDEAVPFNASVDPVSQGIPDYLNVIDLPMDFGTICSNLESGVKYLNSEDVHKDVEKIWKNCLKYNKKSDYIVYLMKRVKKKFMKYWIAARLCSELLKKPDGHSHVPQPPVTVLPMEQGKQTSKCSDAPLMSGRTCSQQQQHRPSSRYLRQSQQSTGQPQPSHSHIGAGINNAVDPMFTSMTQPQPRHDHLSHRQASESNQPQASIMQPKPLTILGISSAGMPHVDASRGERMHMQRHTAVPFSEIPLALGLSGSHNQQHRSQQSTHETQRRVRGPTQCRFMLDMPDGERIFVPINMQGQPVGPEASKLASFLGIVARNGKMAPLNFLDWSAMPDAIKEDMWQFVQKKFEIDPMCKTWVLKSLASKWRNWKAKLKADHYNPHTTDEERLKDCNIRVLPDQWAALVYHWNSEEVQLRCAKNKANRAKQKSAHAAGSKSFARICEEERAKRPDGKALTRGELYIITRTRKDGQPVDKKAEEVITKLQEQATQKQHTSHRSNDHDDTHCQVTGEEKRGRMHMLGLGPSPADLLGQKLSRSSHTKMALETKGSPNEKVSKMSNKMEAMEQKFASLEAQIGRMTSHLQNLVDKMAGASGTKQVPTNAPEGSPQAQAYSSSSSHEVQSNEIDYRL >Manes.15G055600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4266398:4269416:1 gene:Manes.15G055600.v8.1 transcript:Manes.15G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPLDGGDQFQKFKQSSTVPKFDNWESEENVPYTAYFEKARKGRTEGKVNGSYSEINSQILNTKPQIHASSFQMKPTDVLRAQEAVRSKHEHLSQEEFDLRRSNDDVDKIDGAKVLRANHEHGEDGEQRRPANSPLRHDNAQRVATDSNLHHYGGVSSSDTHKRFMRRSAASDLSTEQPQLHPHNQAKIGSKGNVVSSPTWERKGSSEGTHGLAPMTPGRSRLKSVTRGNDCPDDSAAVPKFGEWDEMNPASADGYTHIFNKVREERQGGVAKVPVLPTETSHSNGHKQYGNENSKQSCCCFSWGRSNFARK >Manes.14G173866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28860410:28861448:-1 gene:Manes.14G173866.v8.1 transcript:Manes.14G173866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGREHSQQLSMNETDETVQVQEETLEHTPQALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYV >Manes.14G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4155734:4159471:-1 gene:Manes.14G047500.v8.1 transcript:Manes.14G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENFGVPSPPPRTPDPVAGDAASVGETDWLRTYQVWKGSNIFFLKGRLIFGPDARSLLLTIFLIVAPVAAFCVFVARKLTDDFPHHWGTSIVVIVVVLTSFDLFFLLLTSGRDPGIIPRNAQPPELEGYEGHNEAGTGQTPPLRLPRTKDVVVNGIIVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCFYVHGFCWVYIKRIMNSEETTIWKGMAKAPASIVLVVYTFISVWFVGGLSVFHLYLISKNQSTYENFRYRYDGLANPFDKGLFENFMEIFCSSIPPSKNNFRTKVAKEPEIPPRMVGSFVTSNREKSVGDIEMGRRKLVSYDNARASSNGDNRDEDGGLTDVSPDLSRILPPQGLEGSVLHSRRSSMGSKSGSWEISADVLALAAGIGESKRVTISTT >Manes.08G056200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6162530:6165461:1 gene:Manes.08G056200.v8.1 transcript:Manes.08G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALKTKTPFPFNFSFPIFSSFNSLYSTLPLNPDHDNLNSPPQNPTTIIPLTPPQLKTLVLSQFSHGNFFNLIQNVVALPSVLLSASENLVPRPYNASTSPEATRFSQSLYHSVSRHFSIEEMGREIYDNRFDIESNCVKMAGKGEFLVLPNLKLKVFVEAIRVVLEIVYDDRFVTFSYGGRVNMGRHTALRYLKSSVKNPSWWFRVCFNRVKFDSKNVDKLCLFMEEKIKDKILIDVIKKLFGCGVLNIELGGFYLGKGFPQECKLCSILINIYFNGFDREIQEMRLAISKQNPKFEPNEISESSNSYYKPLKVYAVRYLDEILVITSGSKMMTVDVKNKVLKLLEENLELGLDRVKTAIHSAVSEKIDFLGMELQAVLPSVLHPPMSEKAIRARKKYLRQKEVRALELRNARERNRKKLGLKILSHVFKKLKQSNGFKFEYQIENEVREIFASWADEVVQEFMGSLEERWNWHRMLSAGDFLSLRRIRDQLPQELIDAYDRFQEQVEKHLSPAKARRALEAAERRVEEEEERKYAERTVEDLTRLCMKVSAPIELVRKVVKMAGFTNNMGRPRPIHFLTVLEDVDIIKWYAGIGRRWLDFFCCCHNFKMVKTVVSYHLRFSCILTLAEKHEAMKREAIKHYTKDLKVTDMDGNEEVHFPTEREVKMMGDKNLSDPIPVDGALSLALIRLASDEPSHSCVAHFCDRTNTIVYRVRLLQNHLNVNPMKEDKGGQGMGAIHDCMDRICLPLCSDHISDLYTGKITLQDIDCTSFLNVD >Manes.14G100900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8331833:8337006:1 gene:Manes.14G100900.v8.1 transcript:Manes.14G100900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFLSKIPISNKTISFASSLSSLPSTFPSKSLRHHRRNALTVQSKIREIFMPALSSTMTEGKIVSWIKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVPEGESAPVGAPIGILAETEDEIAEAKAKAASKTGSTGSSAVAAPPPTATSAPTSAISQLVPAPAIPAAAGDGSRKIVATPYAKKLAKQHKVDIDKVTGTGPFGRITPADVEAAAGITPTKPVATKPAPVAAAAPAKPATTLSAPPLPGSTVVPFTTMQAAVSKNMVDSLSVPTFRVGYPVTTDALDALYEKVKPKGVTMTALLAKAAAMALVQHPVVNASCKDGKSFTYNSNINVAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARTKQLQPHDYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKNKMLVNVTADHRIVYGADLAAFLQTFAKIVENPESLTL >Manes.10G125000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28731903:28737295:-1 gene:Manes.10G125000.v8.1 transcript:Manes.10G125000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSKIITSVDIHRGLEIPHELQITGQRMEILVVDMQGNPWNFVCFTKSGNKQLPKPVFKKGWLEFACHWNLAAGTTITFYKEIDQATGAQYKIRVR >Manes.07G080701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:24317666:24318259:-1 gene:Manes.07G080701.v8.1 transcript:Manes.07G080701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVPVPVVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGLSFIEGISKSSYVRQASDLTDLNMD >Manes.01G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28455089:28456492:1 gene:Manes.01G081600.v8.1 transcript:Manes.01G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIDFGSFGDSFSVGSIKAYLSEFIATLLFVFAGVGSAIAYSKLTADAALDPPGLVAVAVAHAFALFVGVAIAANISGGHLNPAVTLGLAVGGNITILTGIFYWIAQCLGSTVACLLLQFVTNGKSVPTHGVAYGMNAFEGVVMEIVITFALVYTVYATAADPKKGNLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQNWIYWVGPLIGGGLAGVVYGQIFIGSYVPAPSSEDYA >Manes.13G083000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14594476:14618032:1 gene:Manes.13G083000.v8.1 transcript:Manes.13G083000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVISKPPLNFVQLPKPVIIHFHCSLTNLKSHRHAFKPKKLSSQNTLIPFINPKTLSITTLAAAASSSNSAMESTNSEEENAESSQLFEKLKEAERKRVNELEELDRKADVQLERQLVMASNWSRTLLTMRGKLKRTEWDPENSHKIDFSQFWSLLNSNNVQFMEYSNYGQTVSVILPYYKDGKAQEAGENSKKEIIFRRHVVDRMPIDCWNDIWKKLHQQIVNVEVINVDTVPAEVYSTVATAVIWSMRLALSVALYVWIDNMMRPIYAKLIPCDLGKPSQTIRQPLKRRALGSLGKSRAKFISAEETTGVTFDDFAGQEYIKRELQEIVRILKNEEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAVAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKEALLLEIAELTVDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPQELKLRLAYREAAVAVLACYFPDPYHPFTETDINSINSQPNMRYAETTGRVFARKSDYVNAIVRACAPRVIEEEMFGVDNLCWISSKATLEASRHAERLILQTGMTAFGKAFYRNHSDLVPNLAAKLEALRDEYMRYSVEKCTSVLREYQSAVETITDILLEKGEIKADKIWDIYKSAPRISQPAVSPVDEYGALIYAGRWGIHGITLPGRVTFAPGNVGFSTFGAPRPMETQIISDETWKLIDGIWDKRIEEIQAQASMEIEEDNKKPQLLMSSHFL >Manes.13G083000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14594517:14617894:1 gene:Manes.13G083000.v8.1 transcript:Manes.13G083000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVISKPPLNFVQLPKPVIIHFHCSLTNLKSHRHAFKPKKLSSQNTLIPFINPKTLSITTLAAAASSSNSAMESTNSEEENAESSQLFEKLKEAERKRVNELEELDRKADVQLERQLVMASNWSRTLLTMRGKLKRTEWDPENSHKIDFSQFWSLLNSNNVQFMEYSNYGQTVSVILPYYKDGKAQEAGENSKKEIIFRRHVVDRMPIDCWNDIWKKLHQQIVNVEVINVDTVPAEVYSTVATAVIWSMRLALSVALYVWIDNMMRPIYAKLIPCDLGKPSQTIRQPLKRRALGSLGKSRAKFISAEETTGVTFDDFAGQEYIKRELQEIVRILKNEEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAVAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKEALLLEIAELTVDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPQELKLRLAYREAAVAVLACYFPDPYHPFTETDINSINSQPNMRYAETTGRVFARKSDYVNAIVRACAPRVIEEEMFGVDNLCWISSKATLEASRHAERLILQTGMTAFGKAFYRNHSDLVPNLAAKLEALRDEYMRYSVEKCTSVLREYQSAVETITDILLEKGEIKADKIWDIYKSAPRISQPAVSPVDEYGALIYAGRWGIHGITLPGRVTFAPGNVGFSTFGAPRPMETQIISDETWKLIDGIWDKRIEEIQAQASMEIEEDNKKPQLLMSSHFL >Manes.13G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14594539:14617831:1 gene:Manes.13G083000.v8.1 transcript:Manes.13G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVISKPPLNFVQLPKPVIIHFHCSLTNLKSHRHAFKPKKLSSQNTLIPFINPKTLSITTLAAAASSSNSAMESTNSEEENAESSQLFEKLKEAERKRVNELEELDRKADVQLERQLVMASNWSRTLLTMRGKLKRTEWDPENSHKIDFSQFWSLLNSNNVQFMEYSNYGQTVSVILPYYKDGKAQEAGENSKKEIIFRRHVVDRMPIDCWNDIWKKLHQQIVNVEVINVDTVPAEVYSTVATAVIWSMRLALSVALYVWIDNMMRPIYAKLIPCDLGKPSQTIRQPLKRRALGSLGKSRAKFISAEETTGVTFDDFAGQEYIKRELQEIVRILKNEEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAVAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFANARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKEALLLEIAELTVDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPQELKLRLAYREAAVAVLACYFPDPYHPFTETDINSINSQPNMRYAETTGRVFARKSDYVNAIVRACAPRVIEEEMFGVDNLCWISSKATLEASRHAERLILQTGMTAFGKAFYRNHSDLVPNLAAKLEALRDEYMRYSVEKCTSVLREYQSAVETITDILLEKGEIKADKIWDIYKSAPRISQPAVSPVDEYGALIYAGRWGIHGITLPGRVTFAPGNVGFSTFGAPRPMETQIISDETWKLIDGIWDKRIEEIQAQASMEIEEDNKKPQLLMSSHFL >Manes.07G112400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31776122:31782577:-1 gene:Manes.07G112400.v8.1 transcript:Manes.07G112400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEERKCSHVTSAERDKGVPNSLGTIFASLPLSVSLLLGQPNEGIRFVWRITMAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWICNIEQHATDNVNKVLVGNKADMDESKRAVPTSNGKALADEYGIKFFETSAKTNLNVDEVFFSIAKDIKQRLAETDSKADPQTIKVNQPDQAAQTSGCCG >Manes.07G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31775872:31782666:-1 gene:Manes.07G112400.v8.1 transcript:Manes.07G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWICNIEQHATDNVNKVLVGNKADMDESKRAVPTSNGKALADEYGIKFFETSAKTNLNVDEVFFSIAKDIKQRLAETDSKADPQTIKVNQPDQAAQTSGCCG >Manes.07G112400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31776112:31782577:-1 gene:Manes.07G112400.v8.1 transcript:Manes.07G112400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWICNIEQHATDNVNKVLVGNKADMDESKRSAKTNLNVDEVFFSIAKDIKQRLAETDSKADPQTIKVNQPDQAAQTSGCCG >Manes.S000654.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1622:2434:-1 gene:Manes.S000654.v8.1 transcript:Manes.S000654.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G114312.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27968056:27972599:1 gene:Manes.10G114312.v8.1 transcript:Manes.10G114312.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHEKLLRIAVKHLNSFQLSGSISSHVGNLSFLRELDLSNNSFTHEIPPQIGHLRRLQVLSLYNNSFDGQIPPTISNCSNLAFFYLDNNNLAGEIPVELGSLVKLKSIYLQRNNFIGTLPPSFGNLSSLDILGAYQNQLNGNLPESLGQLKSLRILSIFENEFSGTIAPSIFNLSSIEGLDLSSNHFQGNLPMEIGNSLPKLQHFSVAVNQFTGIIPTSFSNASNLELLDLLVNNLTGRVPSLDKLHRLSEFMISVNNLGSGKADDLTFLSTLMNATALQLLGIGNNNFGGELPEQIANFSKELNIFVIQNNQISGKIPVGIEVLVNLEVLYADGNKLSGYIPSSLGNLTNLLEIFLYNNSLQGTIPSSLGEWKKLLKLDFSTNNLSGPIPPELFGLSSLSKLLSLSVNHLSGSIPEEVQNLKNLGILDLQDNMLSGEIPSGLGSCTDLELLFMGSNLFQGSIPSSFASLRGIRELNLSHNNLSGKIPEFLKGFESLELLDLSYNDFEGMVPVEGVFKNFSATFVDGNKNLCGGIPDFGLPVCKFEQSKRRPTAKLKVIISVVCAVVSIAIFLIALLLWHLSKRRKGEATSLFDGNSLLKLSYQSLLKATNGFSSDNLIGVGSFGSVYKGILDQEGMIIAVKVLNLMRRGASKTFIAECEALRNIRHRNLVKVITACSGVDYQGNDFKALVYNFMVNGSLEDWLHPTLGLEEVPRSLNIVQRLSIAIDIACALEYLHNQCETPIVHCDLKPSNILLDEEMVGHVSDFGLVKFLSSGVLDNSTNHSSSLGIRGTVGYCPPEYGVGSEVSTHGDVFSFGMLLLEMFTGKKPIDDLFQNNLSLHSFVKRGLSEQVKEIVDPKLFQMQLNGDATSNHNHNFRNGRNNILIECLTSTLEIGMCCFMESPQERMNISDVVAQLTSIRNKLVGT >Manes.17G042951.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23653615:23655116:-1 gene:Manes.17G042951.v8.1 transcript:Manes.17G042951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAIGTVDVETGKWSRLNNAVLIFYKNHPLIFKFIQEFALTFNAYKWGHNGPYLVSRVVSRVSGRPGISFTVLPPSAFYQVSWSRIRSLFMGPADKLHSEWLHRKLEQIRRKSLAVPLWNKQSEMINVENGSIINHIMLDSCIFCNSSNLNLLQYHKI >Manes.08G086131.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:27026560:27026721:-1 gene:Manes.08G086131.v8.1 transcript:Manes.08G086131.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYMNWAQAIASAWGFMLLIGLMCCCVSTKPPPHGDPNNGTASCSCDGGYAV >Manes.15G009600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:852705:864480:-1 gene:Manes.15G009600.v8.1 transcript:Manes.15G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDQFIGGTSGGPRYVQMQSEPSTPQHHQQQHHQSMLSSFFSFTQGVPPEATRIFDELPTATIVSVSRPDAGDISPVLLSYTIEVQYKQFKWQLLKKAAQVFYLHFALKRRAFFEEIHEKQEQVKEWLQNLGIGDHAPVVQDDDDPDDETIPLHNDESAKNRGGFLFRDVPSSAALPVIRPALGRQHSMSARAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMAKHLPKIPRNDDSRKCCACHWFSCCNDNWQKVWAVLKPGFLALLADPFDTKPLDIIVFDVLPASDGSTDGRIALAGETKERNPLRHAFKVTCGNRSINLRTKTGSRVKDWVAAINDAGLRPPEGWCHPHRFCSFAPPRGLTEDGSQAQWFVDGRAAFSAIASSIEDAKSEIFICGWWLCPELYLRRPFHAHASSRLDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTCEHRVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDIHCALWGPPCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYRGNSKELEVEIKSVEDNGEGIKRQDSFSSRSSLQDIPLLLPQEAEGPDVSGGGPKLNRCDSTPGRSLSLGFRKSKVEPVVPDMPMKGFVDDHGVMDLHEKMSSDLLPQTGTKASDLEWWETQERGDQIGFGDETGQVGPRTSCCCQVIRSVSQWSAGTSQIEESIHHAYCSLIEKAEHFIYIENQFFISGLSADDTIRNRVLESLYHRIKRAYNEKKCFRVIVVIPLIPGFQGGLDDSGAASLRAIMHWQYRTICRGQNSILHNLYDVLGPKTHDYISFYGLRAYGRLFDGGPVATSQVYVHSKIMIVDDSATLIGSANINDRSLLGSRDSEIGVLIEDKEFVESSMGGKPWKAGKFSSSLRLSLWSEHLGLHAKEMNQIIDPVVDSTYKDLWVATAKTNTTIYQDVFSCIPSDLIHSRAALRQSMAFWKDRLGHTTIDLGMAPEKLESYQNGDMKQTDPMGRLQAIRGHLVSYPLDFMCKEDLRPVFNESEYYASQVFY >Manes.15G009600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:852705:864480:-1 gene:Manes.15G009600.v8.1 transcript:Manes.15G009600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDQFIGGTSGGPRYVQMQSEPSTPQHHQQQHHQSMLSSFFSFTQGVPPEATRIFDELPTATIVSVSRPDAGDISPVLLSYTIEVQYKQFKWQLLKKAAQVFYLHFALKRRAFFEEIHEKQEQVKEWLQNLGIGDHAPVVQDDDDPDDETIPLHNDESAKNRDVPSSAALPVIRPALGRQHSMSARAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMAKHLPKIPRNDDSRKCCACHWFSCCNDNWQKVWAVLKPGFLALLADPFDTKPLDIIVFDVLPASDGSTDGRIALAGETKERNPLRHAFKVTCGNRSINLRTKTGSRVKDWVAAINDAGLRPPEGWCHPHRFCSFAPPRGLTEDGSQAQWFVDGRAAFSAIASSIEDAKSEIFICGWWLCPELYLRRPFHAHASSRLDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTCEHRVGDCPPLVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDIHCALWGPPCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYRGNSKELEVEIKSVEDNGEGIKRQDSFSSRSSLQDIPLLLPQEAEGPDVSGGGPKLNRCDSTPGRSLSLGFRKSKVEPVVPDMPMKGFVDDHGVMDLHEKMSSDLLPQTGTKASDLEWWETQERGDQIGFGDETGQVGPRTSCCCQVIRSVSQWSAGTSQIEESIHHAYCSLIEKAEHFIYIENQFFISGLSADDTIRNRVLESLYHRIKRAYNEKKCFRVIVVIPLIPGFQGGLDDSGAASLRAIMHWQYRTICRGQNSILHNLYDVLGPKTHDYISFYGLRAYGRLFDGGPVATSQVYVHSKIMIVDDSATLIGSANINDRSLLGSRDSEIGVLIEDKEFVESSMGGKPWKAGKFSSSLRLSLWSEHLGLHAKEMNQIIDPVVDSTYKDLWVATAKTNTTIYQDVFSCIPSDLIHSRAALRQSMAFWKDRLGHTTIDLGMAPEKLESYQNGDMKQTDPMGRLQAIRGHLVSYPLDFMCKEDLRPVFNESEYYASQVFY >Manes.08G166800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40149568:40152525:1 gene:Manes.08G166800.v8.1 transcript:Manes.08G166800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAKDKTTGQLVALKKTRLEMDEEGIPPTALREVSLLQMLSQSLYVVRLLSVEYVDANASAVTDDDKENSISKSNLYLVFEYLDTDLKKFIDSHRKCPNPRPLASGLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDQDKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTEKQWPGVTALRDWHVYPQWEPQNLARAVPALGPEGVDLLSKMLKYDPAERISAKAAMDHPYFDNLDKSQF >Manes.09G127200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33007166:33014012:-1 gene:Manes.09G127200.v8.1 transcript:Manes.09G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVGLSFKSSFLCPPPKPKPKPSKPPKSNFKIPINCSIHSDPWSLSDGNISKPKPKSKNPKKPLSDDNARRIIKAKAQYLSLLRKHQGPRAQTPKWIKRTPEQMVQYLQDDRNGRLYGKHVVAAIKTVRSMAGKREEERNVRLLLSGFVGKLSFREMCTVLKEQKGWREARDFFAWMKMQLSYHPSVIVYTIVLRIYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCSYARWGRHKAMLSFYSAVQERGIPLSVSVYNFMLSSLQKKSLHGKVIELWRQMVDKGVTPNNFTYTVVISSLVKEGFHEEAFKIFKEMKNSGHMPEEVTYSLLITISTKNCNWDEAGRLYEDMRSHGIVPSNFTCASLLTMYYKNGDYSKALSLFTEMQRKKIVADEVIYGLLIRIYGKLGLYDDAQKTFEETEQLGMLSDEKTYLAMAQVHLNSGNVEKALRVIEVMKSRDIWLSRFAYIVLLQCYVMKEDLDSAEVTFQVLSKTGLPDAGSCNDMLNLYLRLQLTEKAMDFIIHIRKDQVDFDEELFKTVTKVLCKEGMLRDAEQLIKEMGTNRSFQDCRYFQTFFKIMHGQNKEYKNLLVFGQPDTMALRLIFTLYLRHGSFSKMEKILKSLLETVGGLSIVNQLVSNFIREGDICEANTINAKVTKLGFRLEDEIIASLISLYGKRQELKQAQETFAAVVDSPSCGKSIFNSMIDAYVNCGKSEEAYSFYKELTDQGHNLGAFGVSVIVNSLTKCGKHQEAENVIRKSMQDNMELDTVAYNIFIKAMLGAGRLHFAASIYERMLSLRVTPSIQTYNSMISVYGRGRKLDKVAEILDTACSLGVPLDEKAYMKIISYYGKAGKTNEASLLFTKMQEEGIKPGKVIYNIMINVYAVAGLCHEVEGLFHGMQRDGWLPDSFTYLSLVRAYTGKLKYSEAEETIDAMEKKGIPPSCAHFNLLLSAYAKAGLMAEAERVYKKLLTAGLSPDLACFQSMLRGYMDYGHVEEGIHFFEQMRESAEPDRFIMSAAVHLYNFAGKKHMAEVLLGSMNNLRIPFLANLKVGSKVGTVDVSVVT >Manes.09G122100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32488654:32490127:-1 gene:Manes.09G122100.v8.1 transcript:Manes.09G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNFPGEIMQEILLKLPIKSIVRFTSVCKSWNSLIRNPSFISTHLNQTISSTKHSLFLLRFSYKEEHFSLHFDDDEFKEFMQLDNYPLKGIDKYFSLIGSCNGLTCLADCLYPQSNAFILWNPSISKFKTLPKPNVSFETHGEYNANIGFGFDSCANDYKVLRIVELANDDEPVIELYSLAADYWKILNTEVLKYGIVTSCSQAFVNGVVHFIAYHRNKHGRTCKFWVLGFDISREVFREIILPESFAEEIRSVLVFKESTIALFTTSYYYPVKFHLWVMRKYGVAGSWIKLLSLGNQLKAIPRAFGFRKSGELVLQFHGGELASYDGESQQMKSLRIHQKPGNYFFYSYVQSLVLFDKANYEQ >Manes.06G083000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21847263:21857301:1 gene:Manes.06G083000.v8.1 transcript:Manes.06G083000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGGYNLQSGQGTQTPRPPPFIQHPPALPPLPQSFQQGPLLPLPHVLPRPGQPGLPIYQHGPLAPHLTVRQVRPGLPNTGQPYFPPPPTVHGSARMPHVYTTAPPNPQGSQHSSYLAPGLPPPPPPPPGSHHVEMLQAPLPPRSFPPTPSQGPILYRAPFHQLPQQPGGVQGLQQTLPPPPPLPTISTSSLSGNISEATAGNSQMSSMVPPSVPPPPLPSSSPPPIPPPSPPRVLSAVSVSVPLPAGSNLPSGSNPQSNTLFDYKSGTLGPATEVRRLNQAEHSISVYNSVNDGSLSLEGKSGNGVNYLGEDGLSSKRIVSSDVPCPPKPTEEKIVQKIEEFCHLISKNGPSYEERARLKEPGNPEFKFLFGGEPGSEAAVAHEYFLWMKRQCLLACKSDGNQPAKSTTHLIVTTEIHSPGDSDMEMEDDITRSDADPAVNQPIECPRQAAGPGQLSSEFDATEQMPKLVSSGSDAATMVLSERPGEEGSKLVSSCDGLTFGRSILKDESPVINSTGDTEHPFASNTMESSTILAEDNSSSKAAAAVEFVNSDSSSGQVLKGSSPFRLLQDYASNDSSENDEDPHLKDANPETISPLVAVGTEIFCQDAELGSKGDIGSKSSYSTEREFGLLYESGMLYRSLESSSYSQRGVKETVPVSTATGLSPKLVDIKYENQSSIDHAASCTALPKEDALGGAGGNVAFSDNHEDDEDKNAKFTSNAQKIDKFGRLVREGASDSDSDDSPRACRRNKRGRSRSRSPLDKRRNRPQRRREKRRSRSRSWSPRNRRSRSRSPSFRRSGEFSSGNRRLEKGQLPECFDFLRGRCYRGASCRYMHHDSEKRDGSRNHKSKQQKVQLPSSSKNCNTLEGNKNFSLKVSDHGQEIMDHEMRSNHDMFASSICAAKDNSIVHNGEDSVGDALVDSEIIKSDSFKEVAAEVPKTRAVEERSEDGTTCVNENFQLESDRPMVVDSFPSSSSPASDAYILKSHGEAPQDVISSLKDSVIQQSQSVQSDLGLEDADNHAQQTDDSSISDTLPDKTSRTSPKKLHVAETLPNSADSAHNPSRMALFPPSAPEGNNAPHMAQLSRDYKLMPQTAAFQSQSAPENFPSYMLPNQNSLFSLPSNSSSAMVPLTPPPLLPPPPPLLPPHGPVGNAGSAKPSVTLQFQQNSIPPTGDFGSQMFLRPHSTEFSGNSQVGEFQHRAYPPLQEPHQPHLHLEGFRLKPLPGCPPSSQQFGGTLPFSQEGSANKMQSLSGDNLAPGEILKSSTQIHPYLQWQQAPYSLHQSVPDNVYSLPGKFSSSTRYPPDLQDKSQPSHFRVLSNSSHFNPYASTFEKPLSSRFSSDVFRQEKDTTYGSKHDTHLALSHASLDGQSVEPKVATSPTSFNGVGKMIPMSGGNQYDPLFDSIEPSSNSYKKSGHIQNWEPSGGSDIVLRLKGANQPLDVEENNKKKEVDGIALVTSIDTEEFGETADLEVGNVENGSQSNPNALTNTNMGEMEIDQIKSPGKNKRSKESRSMKLFKASLADFVKEVLKPSWRQGNMSKETFKTVVKKTVDKVSEAMKSHQIPKSKAKINHYIDSSQRKLTKLVMGYVDKYAKG >Manes.06G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21847256:21855279:1 gene:Manes.06G083000.v8.1 transcript:Manes.06G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGGYNLQSGQGTQTPRPPPFIQHPPALPPLPQSFQQGPLLPLPHVLPRPGQPGLPIYQHGPLAPHLTVRQVRPGLPNTGQPYFPPPPTVHGSARMPHVYTTAPPNPQGSQHSSYLAPGLPPPPPPPPGSHHVEMLQAPLPPRSFPPTPSQGPILYRAPFHQLPQQPGGVQGLQQTLPPPPPLPTISTSSLSGNISEATAGNSQMSSMVPPSVPPPPLPSSSPPPIPPPSPPRVLSAVSVSVPLPAGSNLPSGSNPQSNTLFDYKSGTLGPATEVRRLNQAEHSISVYNSVNDGSLSLEGKSGNGVNYLGEDGLSSKRIVSSDVPCPPKPTEEKIVQKIEEFCHLISKNGPSYEERARLKEPGNPEFKFLFGGEPGSEAAVAHEYFLWMKRQCLLACKSDGNQPAKSTTHLIVTTEIHSPGDSDMEMEDDITRSDADPAVNQPIECPRQAAGPGQLSSEFDATEQMPKLVSSGSDAATMVLSERPGEEGSKLVSSCDGLTFGRSILKDESPVINSTGDTEHPFASNTMESSTILAEDNSSSKAAAAVEFVNSDSSSGQVLKGSSPFRLLQDYASNDSSENDEDPHLKDANPETISPLVAVGTEIFCQDAELGSKGDIGSKSSYSTEREFGLLYESGMLYRSLESSSYSQRGVKETVPVSTATGLSPKLVDIKYENQSSIDHAASCTALPKEDALGGAGGNVAFSDNHEDDEDKNAKFTSNAQKIDKFGRLVREGASDSDSDDSPRACRRNKRGRSRSRSPLDKRRNRPQRRREKRRSRSRSWSPRNRRSRSRSPSFRRSGEFSSGNRRLEKGQLPECFDFLRGRCYRGASCRYMHHDSEKRDGSRNHKSKQQKVQLPSSSKNCNTLEGNKNFSLKVSDHGQEIMDHEMRSNHDMFASSICAAKDNSIVHNGEDSVGDALVDSEIIKSDSFKEVAAEVPKTRAVEERSEDGTTCVNENFQLESDRPMVVDSFPSSSSPASDAYILKSHGEAPQDVISSLKDSVIQQSQSVQSDLGLEDADNHAQQTDDSSISDTLPDKTSRTSPKKLHVAETLPNSADSAHNPSRMALFPPSAPEGNNAPHMAQLSRDYKLMPQTAAFQSQSAPENFPSYMLPNQNSLFSLPSNSSSAMVPLTPPPLLPPPPPLLPPHGPVGNAGSAKPSVTLQFQQNSIPPTGDFGSQMFLRPHSTEFSGNSQVGEFQHRAYPPLQEPHQPHLHLEGFRLKPLPGCPPSSQQFGGTLPFSQEGSANKMQSLSGDNLAPGEILKSSTQIHPYLQWQQAPYSLHQSVPDNVYSLPGKFSSSTRYPPDLQDKSQPSHFRVLSNSSHFNPYASTFEKPLSSRFSSDVFRQEKDTTYGSKHDTHLALSHASLDGQSVEPKVATSPTSFNGVGKMIPMSGGNQYDPLFDSIEPSSNSYKKSGHIQNWEPSGGSDIVLRLKGANQPLDVEENNKKKEVDGIALVTSIDTEEFGETADLEVGNVENGSQSNPNALTNTNMGEMEIDQIKSPGKNKRSKESRSMKLFKASLADFVKEVLKPSWRQGNMSKETFKTVVKKTVDKVSEAMKSHQIPKSKAKINHYIDSSQRKLTKLVMGYVDKYAKG >Manes.01G143500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33420880:33422163:1 gene:Manes.01G143500.v8.1 transcript:Manes.01G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEVSSCEALPLLSLNHVSLLCRSVWTSMRFYEDVLGFVLTKRPSSFNFNGAWLYNYGIGIHLIENPAIDEFDPIVEPRPINPKDNHISFQCSDVGLVKRRLQEMGMRYVTAVVEDDGNRVDQVFFHDPDGYMIEICNCENIPIIPLSSCSFRPRNMGSFKRAAPNKCGFMENVMMESLSMDMMNISF >Manes.17G092500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30091626:30100031:1 gene:Manes.17G092500.v8.1 transcript:Manes.17G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLSGSDKQSMVSSFLEIAVGQTAETATQFLQATSWKLDEALQLFYVGNEGGPVASVSHSPPADNANTLAEENTGALKGHENENVGQGGGEEVRPPLPVVRDTLYDDSMFYRTSRMGYPPHESSSLIAFRNFDEEMRRPGVWESDQGATSTAENPRDNLASLYRPPFHLMFHGSFEKAKGAASVQDKWLLVNIQSAKEFSSHMLNRDTWANEAVAQTISTNFIFWQVYDDTSEGRKVCTYYKLDSIPVVLVIDPITGQKMRAWNGMIQPESLLEDLVQFMDGGPRDHYATLSHKRPRGSSQAPQKIKVETNEEDEDMLRALAASMEGMKDSNIMASDNKDATTGEKEEESCSSKKPTYPPLPEEPKGDRSILCRVGLRLPDGRRVQRNFLKSDPIQLLWSFCYSQLEETGTRPFRLTQAIPGAKSLDYESKVSFGESGLANSMISVAWE >Manes.17G092500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30091641:30099223:1 gene:Manes.17G092500.v8.1 transcript:Manes.17G092500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLSGSDKQSMVSSFLEIAVGQTAETATQFLQATSWKLDEALQLFYVGNEGGPVASVSHSPPADNANTLAEENTGALKGHENENVGQGGGEEVRPPLPVVRDTLYDDSMFYRTSRMGYPPHESSSLIAFRNFDEEMRRPGVWESDQGATSTAENPRDNLASLYRPPFHLMFHGSFEKAKGAASVQDKWLLVNIQSAKEFSSHMLNRDTWANEAVAQTISTNFIFWQVYDDTSEGRKVCTYYKLDSIPVVLVIDPITGQKMRAWNGMIQPESLLEDLVQFMDGGPRDHYATLSHKRPRGSSQAPQKIKASSVETNEEDEDMLRALAASMEGMKDSNIMASDNKDATTGEKEEESCSSKKPTYPPLPEEPKGDRSILCRVGLRLPDGRRVQRNFLKSDPIQLLWSFCYSQLEETGTRPFRLTQAIPGAKSLDYESKVSFGESGLANSMISVAWE >Manes.08G004126.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:879247:880436:1 gene:Manes.08G004126.v8.1 transcript:Manes.08G004126.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGATETQEPSSPKDTCIGQVRVKRSKQAKTQPSKIKCFCKWVRNTPFCQHLNRATRRPKCTLLSWRKWIMFFKVGVRRESKIREDSSKVEPKFGNISEDAGQESEVEDEENKMYVSYSIAPPKNALLLTRSRSAPCRSSSVACRFWGSPLESEETEQN >Manes.13G015700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1874389:1876843:1 gene:Manes.13G015700.v8.1 transcript:Manes.13G015700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVRSRSHLFCSLPWKLGSLGSRYAFSSSTVGSISSNKGILDLNEVEKVLSDVRADDVKIIPVGKHCNWADYMVFATGRSTWHVKNIAQALIYKAKEKQKGAQRMMLPSVEGQEGGKWIVIDSGKVIVHALDEKARAYYNLEDLWTSDTPPKESVKIQKSIM >Manes.13G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1874389:1876840:1 gene:Manes.13G015700.v8.1 transcript:Manes.13G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVRSRSHLFCSLPWKLGSLGSRYAFSSSTVGSISSNKGILDLNEVEKVLSDVRADDVKIIPVGKHCNWADYMVFATGRSTWHVKNIAQALIYKAKEKQKGAQRMMLPSVEGQEGGKWIVIDSGKVIVHALDEKARAYYNLEDLWTSDTPPKESVKDLEKAFVKIRPKNNSKRPAQNRA >Manes.16G111700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31718690:31720541:1 gene:Manes.16G111700.v8.1 transcript:Manes.16G111700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLGLQFLHLLFVLKIFSVKAQFNPVTDEASSQDSVSNFRPSLAVVIGILCIMFFLTFILLVYAKFCRGSGASVQGALQNLPALVRSTSQYSGVDKTVIESLPFFRFSSLKGSKNGLECVVCLSKFEDIEVLRLLPKCKHAFHINCVDQWLEKHSSCPLCRCKVSAEDPAIFSYSNSMRFYGNQSDHQEDSNVELFVQREETHQGSSRFSIGSSFRKVDKFNNKDEEALIRQEADGSDDDQKILHKFNHKIIISDVVLKNRWSSVSSSDLMFLNSEMLQDMSSNRFSSLDWKNNEQFTTAKAIEDKQIMKIKEQMEMKRLFENKVRTIDYYTADSFPGHPSTSDSNAISSHSIMNSAERRSVSEITALSRFRNLSIRNTIRESFSGENNRYEERQRRLWLPIARRTVQWFANRERRSQPTKNTTQTLDV >Manes.01G227350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39232937:39235269:1 gene:Manes.01G227350.v8.1 transcript:Manes.01G227350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLSEKQNPNCMEFVLEVDDTGKGIPKRNKIQFLKILFKSKKQLLGKEALSQDLVRLMGRERADLWQSKTDFCEAFGRASADGLCFNGEPVTLNNTGSPSPPAGLCLEKIGNGSYLTMVGHPDGSNRAFFSSQPGKIWLATIPEEGSGGILEIDESNPFIDLTDEVYFNSEIGLLGMTFHPNFAQNGRFFASFICDKFRWPGCVGRCSCNSDVHCDPSMLVSDNGVQPCQYHIVVAEYSVNNTAVEASLATAAKSLEVRRILAMGLRGKKGERRELVSGLILSLLHQLRIQQVIQWQ >Manes.04G155300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35028528:35034322:1 gene:Manes.04G155300.v8.1 transcript:Manes.04G155300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMSALHLKHCVQSYNVFDFLREIVSRVPDYSHGHSDNSADHRIVQKRKPIVDECNDSDEELKRSKVHEMSHAGSSGRGRGRGRGRGRGRGARNVERESSHRDIESEPSTTLQQNLKNNSTPGMTMDNGSDQKEMLKEDMIVSDGANASERNFDLNADVNDNEDTKAAGATATTATTATSTATATATATTVVDSSSSVPTTETNHEEYPGWSVSEMDKMAIDPLQLAQLGTRLDEEEEDYDEEG >Manes.04G155300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35028528:35034322:1 gene:Manes.04G155300.v8.1 transcript:Manes.04G155300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMSALHLKHCVQSYNVFDFLREIVSRVPDYSHGHSDNSADHRIVQKRKPIVDECNDSDEELKRSKHEMSHAGSSGRGRGRGRGRGRGRGARNVERESSHRDIESEPSTTLQQNLKNNSTPGMTMDNGSDQKEMLKEDMIVSDGANASERNFDLNADVNDNEDTKAAGATATTATTATSTATATATATTVVDSSSSVPTTETNHEEYPGWSVSEMDKMAIDPLQLAQLGTRLDEEEEDYDEEG >Manes.14G099100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8235893:8240922:1 gene:Manes.14G099100.v8.1 transcript:Manes.14G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKHFPLPEMYCHSSFFLRGEDGSRNQTRFADLGELEQPAPAFHHDDAVDLSPSSMFSLKSGNVAVLSSNLQYDAVLNTSIGSAEIATTGTGCLDTGQYMYHKGTTIASSLGNAHCIENWGDSGMADNSQQTDTSTDVDTDDRNQLHGVQHGTVMVVDSMEKSKAKAGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRHRLAQLEQELQRARQQGIFVASGLSGDHGAGNGAVAFNMDYARWLEEHQRLISDLRSAVNSHMGDNELHVLVDAVMSHYDEIFRLKSIGTKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVETVSSTSLGPAGSGKVADYMGQMAIAMGKLATLENFIHQADLLRQQTLQQMNRILTTRQAARALLVISDYTSRLRALSSLWLARPRD >Manes.14G099100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8235893:8240922:1 gene:Manes.14G099100.v8.1 transcript:Manes.14G099100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSSFVFSDKMKFLIHFPVSIFLWVLGNRGEDGSRNQTRFADLGELEQPAPAFHHDDAVDLSPSSMFSLKSGNVAVLSSNLQYDAVLNTSIGSAEIATTGTGCLDTGQYMYHKGTTIASSLGNAHCIENWGDSGMADNSQQTDTSTDVDTDDRNQLHGVQHGTVMVVDSMEKSKAKAGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRHRLAQLEQELQRARQQGIFVASGLSGDHGAGNGAVAFNMDYARWLEEHQRLISDLRSAVNSHMGDNELHVLVDAVMSHYDEIFRLKSIGTKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVETVSSTSLGPAGSGKVADYMGQMAIAMGKLATLENFIHQADLLRQQTLQQMNRILTTRQAARALLVISDYTSRLRALSSLWLARPRD >Manes.06G053200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16312025:16315237:1 gene:Manes.06G053200.v8.1 transcript:Manes.06G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADNNSISSSQNENKDTQVVLNVYDLTPVNNYTYWFGFGIFHSGIEVYGKEYGFGAHDFPVSGVFEVEPRSCPGFIYRCSIPLGHINMTSSEFRTFIETVASEYHGDTYHLITKNCNHFTDDISHRLVGKWIPGWVNRLARLGSLCSCLLPESLQVTTVKQLPEYHECMEDGNESLATTVPQESTEIDDADQEKHLLSPDAAGGEVAFVKEVSK >Manes.06G053200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16312024:16315293:1 gene:Manes.06G053200.v8.1 transcript:Manes.06G053200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADNNSISSSQNENKDTQVVLNVYDLTPVNNYTYWFGFGIFHSGIEVYGKEYGFGAHDFPVSGVFEVEPRSCPGFIYRCSIPLGHINMTSSEFRTFIETVASEYHGDTYHLITKNCNHFTDDISHRLVGKWIPGWVNRLARLGSLCSCLLPESLQVTTVKQLPEYHECMDGNESLATTVPQESTEIDDADQEKHLLSPDAAGGEVAFVKEVSK >Manes.18G081200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7445102:7447228:-1 gene:Manes.18G081200.v8.1 transcript:Manes.18G081200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVLTLLLHFFLAVSSASPIHESSGTTSIVFATHGSRSHYAFDVFTLLIRPSPSSADELQLTDGQSYNFNGHFPSSSSSLLSLLPNQTPIKSFDQKNLSLVYVTERGGSSKIFYDVVYLDSPPSSIVRSALAISKRVQLPLLKGETEIAVKDKPTVTGDYLIYVSTHEDPGKPRASWAAVYSTELKKGLTRRLTPQGISDFSPAVSPSGVYTAVASYGESGWNGEAQELSTDIYVFLTRDGTQRVKIVEHGGWPSWVDDSTLYFHKRSDDQWISIYRAILPNGTLISNDSVIVERVTPPGLHAFTPATSPYNNKFIAVATRRPGSDYRHVEQFDLVKKEFIELTRLVSPQSHHFNPFISPDSTRVGYHRCRGASNDKNSTHLLLENLNTTLPGISLFRVDGLLPSVSPSGDRIAYVDFPGIYVVNRDGSNLRQVYPDIAFSTIWDPVRPGIIYASAGPFLANASTEVDIISINVDGDAKKAYNLKKLTTNGKNNAFPSVSPDGKWIVFRSGQSGYKNLYIMDAVEGEKAALRRLTDGPWTDTMSNWSPDGEWIAFTSTREKPGAGIYELYMIHPNGTGLRRLVPSGLGGRSTHPYFSPDGKTIVFTSDHAGISAEPISYPDNLNAYGEIYTVNVDGSDLQRLTHNPFDDGTPVYSPTYFKAEDLEWKVNRPDCSFKDCDWLNGKPSSKSLVRALQTSGPQCGGVLG >Manes.16G095100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30146037:30150561:1 gene:Manes.16G095100.v8.1 transcript:Manes.16G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTLVSSILLLLFCIAAAGSAFDDSNPIRLVSDRLRDFEASVVKVIGHTRRALSFARFAHRHGKRYETEEEMKLRFAIFSENLDLIRSTNKKGLPYTLAVNVFSDWTWQEFQKHRLGAAQNCSATTKGNHKLTDAVLPETKDWRKEGIVSPVKNQGSCGSCWTFSTTGALEAAYHQAFGNGISLSEQQLVDCAGAFNNFGCHGGLPSQAFEYIKYNGGLESEEAYPYTGKDGICKFSSENVGVQVLDSVNITLGAEDELKHAVAFVRPVSVAFQVVSGFRFYKSGVYTSDVCGRTSQDVNHAVLAVGYGVEDDIPHWIIKNSWGENWGDNGYFKMELGKNMCGVATCASYPIVA >Manes.01G183300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36151198:36158372:-1 gene:Manes.01G183300.v8.1 transcript:Manes.01G183300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELDGIECVSSSDGFDEDEIYQHHQFSSSKSRNATANYNNNNNINNVIGSTAIAPATNVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKIRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCNLGCLEIFPYYSKLKHEVVCNFRPYNCPYAGSECSTVGDIPFLVSHLKDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFNCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEAEARSYSYSLEVGANGRKLTWEGAPRSIRDSHQKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEPNACMPNLCS >Manes.03G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1742200:1745160:1 gene:Manes.03G020600.v8.1 transcript:Manes.03G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLREVEGKRVHDHVSNKVAMSPSRCIWVHGPVIVGAGPSGLATAACLKQKGVPSLILERANCIASLWKLKTYDRLHLHLPKHFCELPFMPFPSSFPTYPTKQQFVAYLDAYTSHFGLEPVFNNTVVSAEFDPRCGFWRIKTLGLKQEESEYVCQWLIVATGENADEVVPDIEGMNQFEGPIFHTSSYKTGELFCGKKVLVVGCGNSGMEVSLDLFNYNASPSIVVRDSVHVLPHEMFGRSTFGLSMWLLKWFPVRLVDQFLLLVSRFMLGDTSQYGLRRPKLGPIQLKNATGKTPVLDMGTLAKIRSGHIKVFPGIQRMMHDHVEFVDGRKENFDAIILATGYKSNVPSWLKSNEMFSEKDGVPHKSFPNGWKGGNGIYAAGFTKRGLLGASIDARTIAQDIEPRWKAEATSFMAFAPASLQQSYMS >Manes.03G020600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1742200:1745160:1 gene:Manes.03G020600.v8.1 transcript:Manes.03G020600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLREVEGKRVHDHVSNKVAMSPSRCIWVHGPVIVGAGPSGLATAACLKQKGVPSLILERANCIASLWKLKTYDRLHLHLPKHFCELPFMPFPSSFPTYPTKQQFVAYLDAYTSHFGLEPVFNNTVVSAEFDPRCGFWRIKTLGLKQEESEYVCQWLIVATGENADEVVPDIEGMNQFEGPIFHTSSYKTGELFCGKKVLVVGCGNSGMEVSLDLFNYNASPSIVVRDSVHVLPHEMFGRSTFGLSMWLLKWFPVRLVDQFLLLVSRFMLGDTSQYGLRRPKLGPIQLKNATGKTPVLDMGTLAKIRSGHIKRMMHDHVEFVDGRKENFDAIILATGYKSNVPSWLKVYIYIFFSELELEPPCF >Manes.03G020600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1742200:1745160:1 gene:Manes.03G020600.v8.1 transcript:Manes.03G020600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLREVEGKRVHDHVSNKVAMSPSRCIWVHGPVIVGAGPSGLATAACLKQKGVPSLILERANCIASLWKLKTYDRLHLHLPKHFCELPFMPFPSSFPTYPTKQQFVAYLDAYTSHFGLEPVFNNTVVSAEFDPRCGFWRIKTLGLKQEESEYVCQWLIVATGENADEVVPDIEGMNQFEGPIFHTSSYKTGELFCGKKVLVVGCGNSGMEVSLDLFNYNASPSIVVRDSVHVLPHEMFGRSTFGLSMWLLKWFPVRLVDQFLLLVSRFMLGDTSQYGLRRPKLGPIQLKNATGKTPVLDMGTLAKIRSGHIKVFPGIQRMMHDHVEFVDGRKENFDAIILATGYKSNVPSWLKVYIYIFFSELELEPPCF >Manes.04G075293.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29066427:29066747:-1 gene:Manes.04G075293.v8.1 transcript:Manes.04G075293.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDYLPKDLVLNILFKLPLISCVVRFRCVYKSWCALFFDPNFIYINLLSHFDSTINSQILVKCDDYRFKYLAFSLLCSNTFDMSPPQKIHWLTFVRKRISHGSDF >Manes.02G123400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9375695:9381591:1 gene:Manes.02G123400.v8.1 transcript:Manes.02G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSMFLNRPNNIQSFFLFQNHASLKFNLLKVQFPSPFAELPKNNKYYRKVSFPRSSLGVQLRHDSVEFRTKSFSRVRVSSDDSPFGSLGDDSESQAPRFVEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKIVMGWGVALWSLATFLTPFAAETSLWALMAMRAMLGVAEGVALPCMNNMVARWFPQTERARAVGIAMAGFQLGCAIGLTLSPILMSQGGIFGPFVIFGLSGFLWVLVWLSAISSTPYRSSQISKCELEYIMSKKQKSFPVENNPKPARVIPPFRRLLSKMPTWSLIVANSMHSWGFFVILSWMPIYFNTIFHVDLKQAAWFSAVPWCLMAFMGFLGGMWSDMLIRSGTSVTLTRKIMQTIGFVGPAIALIALTTAKSPSTASAWLTLGVALKAFSHSGFLVNFQEIAPHYTGVLHGISNTAGTLAAIVGTVGAGFFVELVGSFQGFLWLTSLLYFLSALFYIIFSTGESVDFD >Manes.01G038900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7341822:7342663:-1 gene:Manes.01G038900.v8.1 transcript:Manes.01G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLDGRGYSPETPDERLENFACQQLSQSPRKKKNIAKNKRRFSDEQIRLLESIFESETKLEPRKKLQLAGELGLQPRQIAIWFQNRRARWKSKRIEQEYKTLRAKYDNLASCFESLKNERQSLLIQLQKLNELLDEKCDGNRTCKGSEGNNNLLASNNGNINNDPKAQQGLYDTEFMCSQNNKSRDIEHSGDEGHELLNHQEYTDSSLASHNQWCSFDSGSQFYLSSSSSQWFNFWI >Manes.06G047100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14734491:14744157:-1 gene:Manes.06G047100.v8.1 transcript:Manes.06G047100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSDQIDEIKSLIHSNSKASKSLAYSTLLLLQQQSNNNPSSIQTLASSFQTLISIISVDISNDDEEIAAQALKCLGFFIYHPSIVAIIPDDDANMIMGALAKVIISTKIKSVCNLGVWCISMQQFNVSFLANHFHSVLWAVVHALDNPFGSLSTTFEAIQVIIKLAAQLSEKMRESSHIWAPPIYRRLLSTDKRERDMSERCLLKIRSAIIPPPTFLSKALVKDMKLKLLAGMKDLLNQGMKVQTLQAWGWFIRLLGSQALKNRHLVNDMLKIPEQTFSDHSPQVQIASQVAWEGLVDVLIQPLLLTCDADEVVEDGCQVQERAFSKSIKLLMTPLTGIISSKCDVSVHLCCLNTWCYLLHKLDISMKNSLVIELVLDPVFEAVFQMGPDAKTIWIWTLCLDLLDDFVIAKCRKVDYESSSPVSHHSSVRTSMLGPSVSGKCLVKQHSIKWFPWDIRHLDFFIKMINVILTHASVATTTLQNRSSASDAALRIFISLLKGIQMELKSSSISYVNIVLCLNKILRFTKETCEKIDSDGSANMKLQHKIQFLLAVVDELEPSILGSPLYKVALDIRCLENLQSVNDIKYEKHLGISSIAYMDMVSPFAYLIILCICVVMESTSNTLGTELISQGPHKNFKLILFSHDPLENLRTAVGLLFKYVDYNRNLHIWIVLAEALEDCISGVKDLSMFKIEPDSNGYLGIFHLLSYPFVALCSPQQMPIPEKVGESSEESHVLAQRSLELDHVIEVWKSVHCALCAFKCFATKSISDNLCSILNWCIDENLSKVGCGTELDLSCS >Manes.06G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14734490:14743563:-1 gene:Manes.06G047100.v8.1 transcript:Manes.06G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGALAKVIISTKIKSVCNLGVWCISMQQFNVSFLANHFHSVLWAVVHALDNPFGSLSTTFEAIQVIIKLAAQLSEKMRESSHIWAPPIYRRLLSTDKRERDMSERCLLKIRSAIIPPPTFLSKALVKDMKLKLLAGMKDLLNQGMKVQTLQAWGWFIRLLGSQALKNRHLVNDMLKIPEQTFSDHSPQVQIASQVAWEGLVDVLIQPLLLTCDADEVVEDGCQVQERAFSKSIKLLMTPLTGIISSKCDVSVHLCCLNTWCYLLHKLDISMKNSLVIELVLDPVFEAVFQMGPDAKTIWIWTLCLDLLDDFVIAKCRKVDYESSSPVSHHSSVRTSMLGPSVSGKCLVKQHSIKWFPWDIRHLDFFIKMINVILTHASVATTTLQNRSSASDAALRIFISLLKGIQMELKSSSISYVNIVLCLNKILRFTKETCEKIDSDGSANMKLQHKIQFLLAVVDELEPSILGSPLYKVALDIRCLENLQSVNDIKYEKHLGISSIAYMDMVSPFAYLIILCICVVMESTSNTLGTELISQGPHKNFKLILFSHDPLENLRTAVGLLFKYVDYNRNLHIWIVLAEALEDCISGVKDLSMFKIEPDSNGYLGIFHLLSYPFVALCSPQQMPIPEKVGESSEESHVLAQRSLELDHVIEVWKSVHCALCAFKCFATKSISDNLCSILNWCIDENLSKVGCGTELDLSCKDLNIDLLYLYGNAVVCILEEFLAASSDANNRALPQIFSDIKNIVGFASRFLKLSWAKIRADSPTVLPITSRVFSALTSLVSCLHLKQTILPVIEMITCPLVQWLSHGRIHDGNTNDQLRHLWTQILNCLKRSEPPIVFDSSFLKFLAPLLEKTLDHPDSIISDLTITFWNSTYGEQIKLDYPESLLDILDKLSRTEKINLHKKSQPFLVKCNSIPEFTAQRYRVTATHNRSSKRVEFMEDTVNQFELKHKLFSGSKRKRLELTEHQKEVRRAQQGREMDCNGHGPGIRTYTTVDFSQGNEDSQESQEFRNPESILEMLRRVA >Manes.06G047100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14734491:14744157:-1 gene:Manes.06G047100.v8.1 transcript:Manes.06G047100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSDQIDEIKSLIHSNSKASKSLAYSTLLLLQQQSNNNPSSIQTLASSFQTLISIISVDISNDDEEIAAQALKCLGFFIYHPSIVAIIPDDDANMIMGALAKVIISTKIKSVCNLGVWCISMQQFNVSFLANHFHSVLWAVVHALDNPFGSLSTTFEAIQVIIKLAAQLSEKMRESSHIWAPPIYRRLLSTDKRERDMSERCLLKIRSAIIPPPTFLSKALVKDMKLKLLAGMKDLLNQGMKVQTLQAWGWFIRLLGSQALKNRHLVNDMLKIPEQTFSDHSPQVQIASQVAWEGLVDVLIQPLLLTCDADEVVEDGCQVQERAFSKSIKLLMTPLTGIISSKCDVSVHLCCLNTWCYLLHKLDISMKNSLVIELVLDPVFEAVFQMGPDAKTIWIWTLCLDLLDDFVIAKCRKVDYESSSPVSHHSSVRTSMLGPSVSGKCLVKQHSIKWFPWDIRHLDFFIKMINVILTHASVATTTLQNRSSASDAALRIFISLLKGIQMELKSSSISYVNIVLCLNKILRFTKETCEKIDSDGSANMKLQHKIQFLLAVVDELEPSILGSPLYKVALDIRCLENLQSVNDIKYEKHLGISSIAYMDMVSPFAYLIILCICVVMESTSNTLGTELISQGPHKNFKLILFSHDPLENLRTAVGLLFKYVDYNRNLHIWIVLAEALEDCISGVKDLSMFKIEPDSNGYLGIFHLLSYPFVALCSPQQMPIPEKVGESSEESHVLAQRSLELDHVIEVWKSVHCALCAFKCFATKSISDNLCSILNWCIDENLSKVGCGTELDLSCKDLNIDLLYLYGNAVVCILEEFLAASSDANNRALPQIFSDIKNIVGFASRFLKLSWAKIRADSPTVLPITSRVFSALTSLVSCLHLKQTILPVIEMITCPLVQWLSHGRIHDGNTNDQLRHLWTQILNCLKRSEPPIVFDSSFLKFLAPLLEKTLDHPDSIISDLTITFWNSTYGEQIKLDYPESLLDILDKLSRTEKINLHKKSQPFLVKCNSIPEFTAQRYRVTATHNRSSKRVEFMEDTVNQFELKHKLFSGSKRKRLELTEHQKEVRRAQQGREMDCNGHGPGIRTYTTVDFSQGNEDSQESQEFRNPESILEMLRRVA >Manes.01G053950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:21777064:21779069:1 gene:Manes.01G053950.v8.1 transcript:Manes.01G053950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDFQVNITSWPTAHDNNTRAAMETRSLLLLLFAFTSLFISAIGGKLYQGRWKMLKRSIGISAMHMQLLPNDKIIMFDRTNFGPSNLSLPEGKCMSQSQDADCFCHSVEFDSINRNIRPLTILTDTWCSSGAISEDGVLVQSGGYRLGERVVRSLKPCADCDWVEDTHGLISPRWYASNQVLPDGNKFIVVGGRYQFNYEFIPKSSISDETLYQLPFLKETRYSPLIPNNLYPFLHLSPDGNLFIFANDRAILLDYVNNKVVKNYPVMPGGISRNYPSTGSSVLLPLKLHSNFSVTPKAEVFICGGTLPDSNQKADAGEFIAASKSCGRLVITNVNPIWEMEEMPINRVMGDMIILPTGDVLIINGAAKGTGGWNAAREPVLNPLLYRHNAPTDGNISRFEIMSPSTIPRLYHSTAHLLSDGRVLVGGSNPNRNYNFTALYPTELSLEAFYPPYLTSNTSRPSITAVDPGASLGYKQKFSLRFQLKEKDSLGNIYVTMVAPSFTTHSFAMNQRLLVLAMDDNGVENVAAGNNYIVHVNTPATPALAPPGYYQLFVSHESIPSKATWVHIK >Manes.15G135800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10988509:10991156:-1 gene:Manes.15G135800.v8.1 transcript:Manes.15G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRESVLKNDADGPIKVKGGLGNNSYFKNSVYQRLATDVVKDIVDDEISKKLDVKSLISTSNNTIVLADLGCAVGPNTFTSMERIIDVIKRKYQSQCPTSAMPEFQVLFNDQPSNDFNTLFISLPPEREYFAAGVPGSFYKRLFPESSLHVAQCHYSLYWLSKVPEELEDKNSPAWNKGRIHYTSAPDVVLKAYAKQWADDFNDFLNARAKEMVPGGLLIVVMPSIPDGIPYSELANGILFNLMASILLDMAKRGLIREEEVDGFNLSIYAAPPGEFAAAVEKNGYFSIEAIGLTNPAPWLTDDVHVDMREFLRHIRAAWEGMFIKQFPSDVVDEFFEQLMLRLPEVFEQMERAYKDKIQSHYVLQRK >Manes.15G135800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10988509:10991156:-1 gene:Manes.15G135800.v8.1 transcript:Manes.15G135800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNFILTNIGSSNKRLATDVVKDIVDDEISKKLDVKSLISTSNNTIVLADLGCAVGPNTFTSMERIIDVIKRKYQSQCPTSAMPEFQVLFNDQPSNDFNTLFISLPPEREYFAAGVPGSFYKRLFPESSLHVAQCHYSLYWLSKVPEELEDKNSPAWNKGRIHYTSAPDVVLKAYAKQWADDFNDFLNARAKEMVPGGLLIVVMPSIPDGIPYSELANGILFNLMASILLDMAKRGLIREEEVDGFNLSIYAAPPGEFAAAVEKNGYFSIEAIGLTNPAPWLTDDVHVDMREFLRHIRAAWEGMFIKQFPSDVVDEFFEQLMLRLPEVFEQMERAYKDKIQSHYVLQRK >Manes.15G135800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10988509:10990392:-1 gene:Manes.15G135800.v8.1 transcript:Manes.15G135800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIICFNSIFSSSWIFILNKVNNMKREIEQSIMIMYVETRIVIFNGDFLYFLSCWQRLATDVVKDIVDDEISKKLDVKSLISTSNNTIVLADLGCAVGPNTFTSMERIIDVIKRKYQSQCPTSAMPEFQVLFNDQPSNDFNTLFISLPPEREYFAAGVPGSFYKRLFPESSLHVAQCHYSLYWLSKVPEELEDKNSPAWNKGRIHYTSAPDVVLKAYAKQWADDFNDFLNARAKEMVPGGLLIVVMPSIPDGIPYSELANGILFNLMASILLDMAKRGLIREEEVDGFNLSIYAAPPGEFAAAVEKNGYFSIEAIGLTNPAPWLTDDVHVDMREFLRHIRAAWEGMFIKQFPSDVVDEFFEQLMLRLPEVFEQMERAYKDKIQSHYVLQRK >Manes.01G191700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36696772:36698116:1 gene:Manes.01G191700.v8.1 transcript:Manes.01G191700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSAVVVRGNKGVTGTLTANAGDVRLRAVIDNAAFGNGSTVDIDNFFFSLEKPGSFIVDYKFPNQDLRFQFMNTINLLQKQVNWTYTRSIRDNRTILDGALVLHPANKLSASYKLGSKDCKLKYSYVYKGVTALEPSYDFAKNSLDLAVSHKIASDVIKVSYENVNKNLGVEWLLKTLLNQEEGIKISASFNLAGGLHMPKVSAETSWNLDM >Manes.05G196250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32017372:32053346:1 gene:Manes.05G196250.v8.1 transcript:Manes.05G196250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMKNLSTLLLLIFVSGVSASHGVFSVKYRYAGRERSLSDLKAHDDRRQLRILAGVDLPLGGGGRPDGVGLYYAKIGIGTPSKDYYVQVDTGSDIMWVNCIQCIECPRTSSLGMDLTLYNVQDSVTGKLVPCDQEFCYEVNGGPLSGCTANMSCPYLEIYGDGSSTAGYFVKDIVQYDRVSGDLRTTSANGSVTFGCGARQSGDLGSSNEEALDGILGFGKSNSSLISQLAATGKVKKIFAHCLDGVNGGGIFAIGHVVQPKVNMTPMIPNQPHYNVNMTAVQVGHDFLDLPIEVFEAGDWKGAIIDSGTTLAYLPEMVYEPLVSKIISQQSDLKVHTVRDEYTCFQYSGSVDDGFPNVTFHFENSVFLKVYPQEYLFPFEGLWCIGWQNSGMLSRDKRNMTLLGDLVLSNKLVLYDLEHQAIGWTEYNCSSSIKVQDEQTGTVHLIGSHSIYSASSLNAQWCITLLLLSTLLHRLVY >Manes.15G063900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4846986:4851354:1 gene:Manes.15G063900.v8.1 transcript:Manes.15G063900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLKEYFSKYGEVVEAVIMRDRTTGRARGFGFVVFTDPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRNASSIHGSPGPGHTKKIFVGGLASTVTDSDFKKYFEQFGNITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLSRTNNFLNAYAQGYNMSSVRGFGMRMDSRFSPLASGRSGFPTFGTTGYGMGINLEPGLSPSYGGAANFGNSPGYGRILSPYYNGNSSRYSTPIGYGVGNARNDSVLSPTRRNVWGNGSPNTAANPPSPGAFLGSGSGNFGVSFGNSGANWGPSHVSAQGGGSASGYTSGSMGYGSAGDSSYGLGGGGYGRNSSTGAAPNSSFAGSTGVYEGAYGDLYRGGSVYGDSTWRPGTPELDGAGSFGYGLGNIASDVTTKSSDGYIGSYGVTSRQSNRGIAT >Manes.15G063900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4846986:4852548:1 gene:Manes.15G063900.v8.1 transcript:Manes.15G063900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFIGGISWDTDEERLKEYFSKYGEVVEAVIMRDRTTGRARGFGFVVFTDPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRNASSIHGSPGPGHTKKIFVGGLASTVTDSDFKKYFEQFGNITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLSRTNNFLNAYAQGYNMSSVRGFGMRMDSRFSPLASGRSGFPTFGTTGYGMGINLEPGLSPSYGGAANFGNSPGYGRILSPYYNGNSSRYSTPIGYGVGNARNDSVLSPTRRNVWGNGSPNTAANPPSPGAFLGSGSGNFGVSFGNSGANWGPSHVSAQGGGSASGYTSGSMGYGSAGDSSYGLGGGGYGRNSSTGAAPNSSFAGSTGVYEGAYGDLYRGGSVYGDSTWRPGTPELDGAGSFGYGLGNIASDVTTKSSDGYIGSYGVTSRQSNRGIAT >Manes.06G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26633144:26636129:-1 gene:Manes.06G138700.v8.1 transcript:Manes.06G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQFHALSEEEHRPRKPTGATGMRLIVPLQGVVQGRGGLILGSLIPCALVYFFHVYLKRHRSANPSSNPPSPSASSPDLAQIPRTSSRSNLSSRGSFGSGRASISTRAMSIAKPNDSPYYIGLDKVSGNPYDRTSNPNGIIQLGLSENRLCLDLIENWMAKHLRDSILGTDGVEMNINGIATYQPFDGLIELKVAMANFMSQVVGRAVSFDASQMVLTAGATPAVEILCFCLADHGNAFLVPTPYYPGFDRDMRWRTGVELVPVHCRSTDNFILSVTALEQAYNQARKRGSKVRGILISNPSNPVGNLLPRETLSDILNFAQKKNIHIISDEIFAGSLYGDGEFVSMAQILEEEDFDKNRVHIIYGLSKDLSLPGFRVGAIYSYNENVLTAAKRLTRFSSISAPSQRLLVSMLSDARFITEYINTNKMRIRKMYELFVEGLTQLGIKYMQSSAGLYCWADMGKLIPSYSEKGELDLWDKLLNIAKINVTPGSACHCIEPGWFRCCFTTLTEEDIPVVIERIRKVAESRKSTG >Manes.15G145900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11992102:11993344:1 gene:Manes.15G145900.v8.1 transcript:Manes.15G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVETWVTELAKLKEKVGPKKPFLFISKARGVVVEEEEEEEREAEKESKVVHRETTMSEATVCMLMDRFAPL >Manes.15G145900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11992102:11992750:1 gene:Manes.15G145900.v8.1 transcript:Manes.15G145900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVETWVTELAKLKEKVGPKKPFLFISKARGVVVEEEEEEEREAEKESKVVHRETTMSEATVCMLMDRFAPL >Manes.09G001501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:406028:407477:-1 gene:Manes.09G001501.v8.1 transcript:Manes.09G001501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSPLQSTPIPPSTFKQAVTGPTASGPSSSNPLPTSSQEFSQTDYTYKPIDEYILTIEPEYWAQNPNISIYQLCSTIFPKSHFYIPDNFQKSQHFYEIILKNTCSIIIHNNYDPQIPNKLKYCKVRILKVWTLTDWGLEPHKMREMVMTIGQMNQNIKYNYYDYQTAWERTFFKQNEQLSVSFFFFFDDNFSYPVPYWFYQWWNKFGLCETNVPNLIVIAKEQFFERQQLPETVAIAPSWLVYSHHFHIPWILMIEYQIKDQTLGIFQVPTLIRKFKTKWWNKTNLHGCDNKAIEQFFQDHPQFCKKPSITIITRQETFLARKQQIMSQMAACTSEEEYDQLINELNEVRSSAASPSPISLDNDNDDFFTQAEI >Manes.05G099500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9256383:9263520:1 gene:Manes.05G099500.v8.1 transcript:Manes.05G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRSCLVPRLFGSSCQPESQWPFMPYRSSSKHPLDGSEDDYRPLKYPRVSDYRETTGEQSDDDRARQSSDSDPLIDAIGRDMSINCLIRCSRSDYGSIASLNKSFRSLIRSGEIYKLRRQRGVIEHWVYFSCHLLEWEAFDPIRRRWMHLPRMPSNDCFMCSDKESLAVGTELLVFGKEVMSHVIYRYSILTNSWSSGMTMNAPRCLFGSASRGEIAILAGGCDSQGGILSSAEMYNSENQRWETLPSMNKPRKMCSAVFMDGKFYVIGGIGGSDMKLLTCGEEYDLETKKWTEIPNMSPGRSGAARGVEMPAAAEAPPLVAVVNNELYAAVDMEVRKYDKEKKSWLMVGALPERAVSMNGWGLAFRACGDRLIVIGGPRTHGEGFIELNSWVPSEGSPQWNMLAQKHSVNFVYNCAVMGC >Manes.17G079100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27944880:27945736:1 gene:Manes.17G079100.v8.1 transcript:Manes.17G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEAQFGIDAPADQFHDVLSCRPHHISNMSHNIHGCDLHEGEWGKEGAIVCWKYFHDGSAKVAKEVIETIDDVNLLIVFKVIEGDLLEEFKNFKVTVQVTPKGEGSVVRWTLEYEKIHENIPDPYSLLEIIVQNSKDVSAHLVKCQNK >Manes.02G209032.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25286894:25336305:1 gene:Manes.02G209032.v8.1 transcript:Manes.02G209032.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGASKWLRFSAGELILRNCSYKKPLLLATCFCSPPAHECLLLQRSISSSSSGICGSYSTYLPWKNPRSRTSSTGSLNVKNFLSDSGFFSAPSKPPSAMLNGRVLFSSSAPSKPNGNQDHGGKSSITTAKSEEKQVADMKILRTLVSFLWMKDNYEFRLRVITALAFLVGAKVLNVQVPFLFKLAVDWLTTATGNASALASFTTANSTLIALFTTPASVLIGYGIARCGASAFNELRTAVFSKVALRTIRQVSRKVFSHLHDLDLRFHLSRETGALNRIIDRGSRGINFILSSMVFNVVPTIIEISMVSGILAYKFGAPFAWITSLSVAAYVAFTLSVTKWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAFEADKYDEFLKRYEGAALKTQRSLAFLNFGQNVIFSTALSIAMVLCSHGIVNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEERADIRDKDDSKPLKLNGGSIQFENVHFSYLVERKILDGISFVVPAGKSVAIVGTSGSGKSTILRLLFRFFDTHSGSIKIDGQDIRNVTVDSLRRSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYDAARRAAIHDAILNFPERYSTVVGERGLKLSGGEKQRVALARAFLKAPPILLCDEATSALDSTTEAEILSALKSLANNRTSIFIAHRLTTAMQCDEMPCFQSASFFSWHWHILLLLVFLQIIVLENGKVVEQGPHEVLLTRAGRYAQLWAQQNNTVDAIDAAIKLEA >Manes.01G164200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34807702:34812843:-1 gene:Manes.01G164200.v8.1 transcript:Manes.01G164200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQATSLSSAVCKNGSHKFPSGSFLPGFDGVLGRGSLKKEIWPRSLATSVPRATLTFDPPTTNSDKAKQRKHTVDPSSPDFLPLPSFEQCFPRSTKEYREIVHEESGHVLKVPFRRVHLSGDEPSFDNYDTSGPQNISPRIGIPKLRKDWVDRREKLGAPRYSQMYYAKQGIITEEMLYCAAREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKISAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQHGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPASYIKSSKRSM >Manes.15G003300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:149821:155132:1 gene:Manes.15G003300.v8.1 transcript:Manes.15G003300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNEGAPEFFMDQGLYYPTATNYGYYCTGFESPGEWEDHHRIFGADGPEIQYAGAQSESLPYVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGPYVGAQQYYAMPPYQDPVSSPGYVPVVVQPDFIPNNSTDPLLDAAGVAFTNRPDGRSSKHGLASSSAAFPKYQSKPTSNQTNTLSKISEGSRANVGSSKMSLTHGSISAGSFPTPASSHMLQGRGASGSIQPVDNFSSGKVLPHSNQLKVAVPINNGFSDFGSSAPGRAGVDKVRSKIHVGRTLNDVNGGPDSLGEQNRGPRTNKSKNQLAVKAYTTKVGDDEQGNIIIYTDQYNKDDFPVDYGDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLQSAYDDAQKIAAGDAKACPIFLFFSVNASGQFCGVAEMIGPVDFAKDMDFWQQDKWSGSFPVKWHIIKDVPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKIFKIHTSKTSILDDFMYYENRQRIMQEEKARLIFKRFETPFLVPALEPAHKLDCLVELPPNKDEKTMEQKGTNCIKKSEDPLTDQVTSNFDVAHVNNESKISEQAIAKSGGDVASFLKIGSLSINPKQAEPKPFPDAAPYGSSSDSVDVVTVGSMPVKVNGFAESSGFLRVGSIPLDPRALQRERGGAFAKYQS >Manes.15G003300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:149821:155132:1 gene:Manes.15G003300.v8.1 transcript:Manes.15G003300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVQGAQSESLPYVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGPYVGAQQYYAMPPYQDPVSSPGYVPVVVQPDFIPNNSTDPLLDAAGVAFTNRPDGRSSKHGLASSSAAFPKYQSKPTSNQTNTLSKISEGSRANVGSSKMSLTHGSISAGSFPTPASSHMLQGRGASGSIQPVDNFSSGKVLPHSNQLKVAVPINNGFSDFGSSAPGRAGVDKVRSKIHVGRTLNDVNGGPDSLGEQNRGPRTNKSKNQLAVKAYTTKVGDDEQGNIIIYTDQYNKDDFPVDYGDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLQSAYDDAQKIAAGDAKACPIFLFFSVNASGQFCGVAEMIGPVDFAKDMDFWQQDKWSGSFPVKWHIIKDVPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKIFKIHTSKTSILDDFMYYENRQRIMQEEKARLIFKRFETPFLVPALEPAHKLDCLVELPPNKDEKTMEQKGTNCIKKSEDPLTDQVTSNFDVAHVNNESKISEQAIAKSGGDVASFLKIGSLSINPKQAEPKPFPDAAPYGSSSDSVDVVTVGSMPVKVNGFAESSGFLRVGSIPLDPRALQRERGGAFAKYQS >Manes.15G003300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:149821:155132:1 gene:Manes.15G003300.v8.1 transcript:Manes.15G003300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNEGAPEFFMDQGLYYPTATNYGYYCTGFESPGEWEDHHRIFGADGPEIQYAGAQSESLPYVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGPYVGAQQYYAMPPYQDPVSSPGYVPVVVQPDFIPNNSTDPLLDAAGVAFTNRPDGRSSKHGLASSSAAFPKYQSKPTSNQTNTLSKISEGSRANVGSSKMSLTHGSISAGSFPTPASSHMLQGRGASGSIQPVDNFSSGKVLPHSNQLKVAVPINNGFSDFGSSAPGRAGVDKVRSKIHVGRTLNDVNGGPDSLGEQNRGPRTNKSKNQLAVKAYTTKVGDDEQGNIIIYTDQYNKDDFPVDYGDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLQSAYDDAQKIAAGDAKACPIFLFFSVNASGQFCGVAEMIGPVDFAKDMDFWQQDKWSGSFPVKWHIIKDVPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKIFKIHTSKTSILDDFMYYENRQRIMQEEKARLIFKRFETPFLVPALEPAHKLDCLVELPPNKDEKTMEQKGTNCIKKSEDPLTDQVTSNFDVAHVNNESKISEQAIAKSGGDVASFLKIGSLSINPKQAEPKPFPDAAPYGSSSDSVDVVTVGSMPVKVNGFAESSGFLRVGSIPLDPRALQRERGGAFAKYQS >Manes.15G003300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:149821:155132:1 gene:Manes.15G003300.v8.1 transcript:Manes.15G003300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVQGAQSESLPYVYYTPSYGYAQSPYNPYNPYIPGAMIGVDGPYVGAQQYYAMPPYQDPVSSPGYVPVVVQPDFIPNNSTDPLLDAAGVAFTNRPDGRSSKHGLASSSAAFPKYQSKPTSNQTNTLSKISEGSRANVGSSKMSLTHGSISAGSFPTPASSHMLQGRGASGSIQPVDNFSSGKVLPHSNQLKVAVPINNGFSDFGSSAPGRAGVDKVRSKIHVGRTLNDVNGGPDSLGEQNRGPRTNKSKNQLAVKAYTTKVGDDEQGNIIIYTDQYNKDDFPVDYGDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLQSAYDDAQKIAAGDAKACPIFLFFSVNASGQFCGVAEMIGPVDFAKDMDFWQQDKWSGSFPVKWHIIKDVPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKIFKIHTSKTSILDDFMYYENRQRIMQEEKARLIFKRFETPFLVPALEPAHKLDCLVELPPNKDEKTMEQKGTNCIKKSEDPLTDQVTSNFDVAHVNNESKISEQAIAKSGGDVASFLKIGSLSINPKQAEPKPFPDAAPYGSSSDSVDVVTVGSMPVKVNGFAESSGFLRVGSIPLDPRALQRERGGAFAKYQS >Manes.08G036400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3502811:3507926:1 gene:Manes.08G036400.v8.1 transcript:Manes.08G036400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENAVELKDDSFIEETHPEESVGAPRKEEKIAANGQEPMSANGTFERAAKPDDHHSSDVSINPKPNASESKVSKTLKESTNVGSKSNKLSKDKPNLKGPGSVSRNQKPSLSQSLSFPVRGARPENMRKSIDGHPTKTLAKHAQDDGRKGQVTSNGSITSVSHVTQPVKRAPNGVNSKESNANGGKVIPRRTSSVTAPSKQQFAPLKSSSLNKSTNCPPSEVSESADQNSQNVPATMPSKEDDDIHSTTSSATPHERRASGSGFSFRLDERAEKRREFFSKLEEKIHAKEMEKSNLQAKSKENQEAEIKQLRKSLTFKATPMPSFYKEPPQKVELKKIPTTRPKSPKLGKHKGLTASANSSVEGGGSSLGPQSSTSPGTSKPESSNSTKGIQRNGKKETVASKTPVRKSQPKLQLQRTTGTEAKTIKSKVKPAEAESQNPEGSPQKAEENHMNSENLPKCENGNNMPEKNSTEDDDELMLSPPEVTVAG >Manes.08G036400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3502811:3507926:1 gene:Manes.08G036400.v8.1 transcript:Manes.08G036400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENAVELKDDSFIEETHPEESVGAPRKEEKIAANGQEPMSANGTFERAAKPDDHHSSDVSINPKPNASESKVSKTLKESTNVGSKSNKLSKDKPNLKGPGSVSRNQKPSLSQSLSFPVRGARPENMRKSIDGHPTKTLAKHAQDDGRKGQVTSNGSITSVSHVTQPVKRAPNGVNSKESNANGGKVIPRRTSSVTAPSKQQFAQPLKSSSLNKSTNCPPSEVSESADQNSQNVPATMPSKEDDDIHSTTSSATPHERRASGSGFSFRLDERAEKRREFFSKLEEKIHAKEMEKSNLQAKSKENQEAEIKQLRKSLTFKATPMPSFYKEPPQKVELKKIPTTRPKSPKLGKHKGLTASANSSVEGGGSSLGPQSSTSPGTSKPESSNSTKGIQRNGKKETVASKTPVRKSQPKLQLQRTTGTEAKTIKSKVKPAEAESQNPEGSPQKAEENHMNSENLPKCENGNNMPEKNSTEDDDELMLSPPEVTVAG >Manes.08G036400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3502811:3507926:1 gene:Manes.08G036400.v8.1 transcript:Manes.08G036400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENAVELKDDSFIEETHPEESVGAPRKEEKIAANGQEPMSANGTFERAAKPDDHHSSDVSINPKPNASESKVSKTLKESTNVGSKSNKLSKDKPNLKGPGSVSRNQKPSLSQSLSFPVRGARPENMRKSIDGHPTKTLAKHAQDDGRKGQVTSNGSITSVSHVTQPVKRAPNGVNSKESNANGGKVIPRRTSSVTAPSKQQFAQPLKSSSLNKSTNCPPSEVSESADQNSQNVPATMPSKEDDDIHSTTSSATPHERRASGSGFSFRLDERAEKRREFFSKLEEKIHAKEMEKSNLQAKSKENQEAEIKQLRKSLTFKATPMPSFYKEPPQKVELKKIPTTRPKSPKLGKHKGLTASANSSVEGGGSSLGPQSSTSPGTSKPESSNSTKGIQRNGKKETVASKTPVRKSQPKLQLQRTTGTEAKTIKSKVKPAEAESQNPEGSPQKAEENHMNSENLPKCENGNNMPEKNSTEDDDELMLSPPEVTVAG >Manes.08G036400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3502811:3507926:1 gene:Manes.08G036400.v8.1 transcript:Manes.08G036400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENAVELKDDSFIEETHPEESVGAPRKEEKIAANGQEPMSANGTFERAAKPDDHHSSDVSINPKPNASESKVSKTLKESTNVGSKSNKLSKDKPNLKGPGSVSRNQKPSLSQSLSFPVRGARPENMRKSIDGHPTKTLAKHAQDDGRKGQVTSNGSITSVSHVTQPVKRAPNGVNSKESNANGGKVIPRRTSSVTAPSKQQFAPLKSSSLNKSTNCPPSEVSESADQNSQNVPATMPSKEDDDIHSTTSSATPHERRASGSGFSFRLDERAEKRREFFSKLEEKIHAKEMEKSNLQAKSKENQEAEIKQLRKSLTFKATPMPSFYKEPPQKVELKKIPTTRPKSPKLGKHKGLTASANSSVEGGGSSLGPQSSTSPGTSKPESSNSTKGIQRNGKKETVASKTPVRKSQPKLQLQRTTGTEAKTIKSKVKPAEAESQNPEGSPQKAEENHMNSENLPKCENGNNMPEKNSTEDDDELMLSPPEVTVAG >Manes.07G019100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2158384:2160875:1 gene:Manes.07G019100.v8.1 transcript:Manes.07G019100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMGSKTRNEKGPISTSFNGSKALAPEKGRAKTPGKKKKNLAFSTCFAGQPQTAPLLRVEPYLMCLLSFVDHLNELTMSTETQKKPGPPQIVRLDKALKLAEEWVNNMTKGTEEETTNVEPEGRPSKLGLGAKVVQRSNVGPLNDPVERKLHAKLEAEKRKAAKSFEESLPSTIEGGNGNGIDHDDSDGESESRTNAFAKKRAVPPAPTSSLRVKKKHK >Manes.03G076150.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13212914:13228399:1 gene:Manes.03G076150.v8.1 transcript:Manes.03G076150.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEAQAHSLVLLSASSSTYAPNVQSHKSSHLQVGLFNRRFPLPISTGLRTRRSRFKLAATVTDYSLSASPPPPPPDKDDGQRKVPDMQTLFRRFWKVAAPYWFSDDKVQARLQLGAVFALTLATTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGGFAVGIPFFVLRDYAREILALRWRSWMTKYYMERYLTNQAFYKIQSQVIIDNPDQRIVDDLSSFTGTALSFSLTLFNAAVDLISFSNILYGIYPPLFVVLLLYSVGGTAISVYLGRGLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEENEMQLLLQRFRSAFENLTKLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQSISAFSAIIDRLGEFDDVLDSSISKHLSELSEEISLSYCNFRNSLVLESNGSVPVDNCQKLLSIENLTLQTPTSKATLIRDLSLVINEKDHLLVTGPSGSGKTSLLRALAGLWNVGRGKITFYVDDADDPQLPTSSELPANEINTSHEKAGELEGPINRNSRGLFFLPQRPYMVLGTLRQQLLYPTWADDTTPMSDGAKPVGSLSFLMGKSNSENGGAKPNKPTTDDLIQVLENVRLGYILSRFGSLDSTYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYRQIEAAGITYVSVGHRRTLYEHHNMTLRISTADPNCNKRNWDIESISSKPMYDFSSQ >Manes.03G076150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13212914:13228399:1 gene:Manes.03G076150.v8.1 transcript:Manes.03G076150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEAQAHSLVLLSASSSTYAPNVQSHKSSHLQVGLFNRRFPLPISTGLRTRRSRFKLAATVTDYSLSASPPPPPPDKDDGQRKVPDMQTLFRRFWKVAAPYWFSDDKVQARLQLGAVFALTLATTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGGFAVGIPFFVLRDYAREILALRWRSWMTKYYMERYLTNQAFYKIQSQVIIDNPDQRIVDDLSSFTGTALSFSLTLFNAAVDLISFSNILYGIYPPLFVVLLLYSVGGTAISVYLGRGLVTLNFLQEKKEADFRYGLVRVRENAESIAFYGGEENEMQLLLQRFRSAFENLTKLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQSISAFSAIIDRLGEFDDVLDSSISKHLSELSEEISLSYCNFRNSLVLESNGSVPVDNCQKLLSIENLTLQTPTSKATLIRDLSLVINEKDHLLVTGPSGSGKTSLLRALAGLWNVGRGKITFYVDDADDPQLPTSSELPANEINTSHEKAGELEGPINRNSRGLFFLPQRPYMVLGTLRQQLLYPTWADDTTPMSDGAKPVAGSLSFLMGKSNSENGGAKPNKPTTDDLIQVLENVRLGYILSRFGSLDSTYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYRQIEAAGITYVSVGHRRTLYEHHNMTLRISTADPNCNKRNWDIESISSKPMYDFSSQ >Manes.13G049100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5628920:5633152:-1 gene:Manes.13G049100.v8.1 transcript:Manes.13G049100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPCNYADHQIQTIASQCRFLTNHHNRPRFHRRPNAVVSCSPASKSSIVQAVVSEGGTTRVDSVCESLGSRLRLGSLTEDGLSYKEKFIVRSYEVGINKTATVETIANFLQEVGCNHAQSVGFSTDGFATTHTMRKMHLIWVTARMHIEIYKYPAWSDVVEVETWCQTEGRIGTRRDWILKDCATGQLIGRATSKWVMMNQDTRRLQKVTDDVRDELIVYFPRELRLAFPEDNNSSLKKIAKLEDPAQYSKLGLVPRRADLDMNQHVNNVTYIGWVLESMPQEIIDTHELQTITLDYRRECQHDDVVDSLTSVEPNEDSELHGTNGSATATAGNEDCPSFLHLLRLSSDGFEINRGRTEWRRKSTR >Manes.13G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5628904:5633152:-1 gene:Manes.13G049100.v8.1 transcript:Manes.13G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPCNYADHQIQTIASQCRFLTNHHNRPRFHRRPNAVVSCSPASKSSIVQAVVSEGGTTRVDSVCESLGSRLRLGSLTEDGLSYKEKFIVRSYEVGINKTATVETIANFLQEVGCNHAQSVGFSTDGFATTHTMRKMHLIWVTARMHIEIYKYPAWSDVVEVETWCQTEGRIGTRRDWILKDCATGQLIGRATSKWVMMNQDTRRLQKVTDDVRDELIVYFPRELRLAFPEDNNSSLKKIAKLEDPAQYSKLGLVPRRADLDMNQHVNNVTYIGWVLESMPQEIIDTHELQTITLDYRRECQHDDVVDSLTSVEPNEDSELHGTNGSATATAGNEDCPSFLHLLRLSSDGFEINRGRTEWRRKSTR >Manes.15G180100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22544393:22549250:-1 gene:Manes.15G180100.v8.1 transcript:Manes.15G180100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPPSSPSLFLRSRKDRCLSMARLVPFFFSSMGLTSIFCLFLLYSPSPFRSIPKHKNDPDEKLLLIKPEKEEEKCNLYEGRWIPDFEGSQYTNSSCATIPISKNCFRNGRKDRDFLNWRWKPEKCDLPRFDPKAFLEIVRGKTLAFIGDSVARNHMESLLCLLSQEEVPTDAYKDDEDRNRVWHFPAHDFTLKILWTKFLVVGEERKINDSSSGIFDLYLDKIDENWARSLNNIDYIIISDGHWFFRPIYLHKGSEVVACVYCNEPNVTDKGVSFAVSMALRAALNHINNCKKCKNIVTLWRTFSPSHFENGFWNTGGSCNRTSPVSEKEIDYGAREWELRNKQVDEVERANKRRQGKRFEVLDVTRAMLMRPDGHPGDFWGNKWMKGYNDCVHWCLPGPIDLWSDLLLAVLRRLS >Manes.11G004700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:553653:562610:1 gene:Manes.11G004700.v8.1 transcript:Manes.11G004700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGDPQHQQQQQQPGGEFLRGPPPQPPMMRQPSASSTTLNPGDYHHPSASGPPPPSYDAVHGDSFGAKRMRKLTQRRAVDYTSTVVHYMQIRMWQRDSRDRTALQPTPAAAIDMLPTTAYSDNPSTSFAAKFVHTSLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDARTGRELCSFHGHKNTVLCVKWNQNGNWVLTAAKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIEVTNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDIARDKFNMGQNQGYGEQNSALAGRLPGNFPVPEPPTTPGPFAAGLTRNEGTIPGVGAAMPLAIPSLDVSAQGEQKQPVPMSMPLGAPPLPPGPHPSLLAANQQQGYQQNPQQMPQQQHQAHPQQMPPLPMPPNMQQLQPPSHFPHPHLSRPPQMPPHGMASPIPSSMPGSLPVPASMSASHPLPMPGPMGMQGTMNQMVPPMPQGHFMGMNPMHSGSLSTNAPQVGGFPNSLPNMQGPSNVTGNQMYPQGGPFNRPQAGQMQMMPGFNPYQSGSQSGMPPPLPPGPPPHSQTPQ >Manes.11G004700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:553751:561979:1 gene:Manes.11G004700.v8.1 transcript:Manes.11G004700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGDPQHQQQQQQPGGEFLRGPPPQPPMMRQPSASSTTLNPGDYHHPSASGPPPPSYDAVHGDSFGAKRMRKLTQRRAVDYTSTVVHYMQIRMWQRDSRDRTALQPTPAAAIDMLPTTAYSDNPSTSFAAKFVHTSLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDARTGRELCSFHGHKNTVLCVKWNQNGNWVLTAAKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIEVTNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDIARDKFNMGQNQGFVCVSGYGEQNSALAGRLPGNFPVPEPPTTPGPFAAGLTRNEGTIPGVGAAMPLAIPSLDVSAQGEQKQPVPMSMPLGAPPLPPGPHPSLLAANQQQGYQQNPQQMPQQQHQAHPQQMPPLPMPPNMQQLQPPSHFPHPHLSRPPQMPPHGMASPIPSSMPGSLPVPASMSASHPLPMPGPMGMQGTMNQMVPPMPQGHFMGMNPMHSGSLSTNAPQVGGFPNSLPNMQGPSNVTGNQMYPQGGPFNRPQAGQMQMMPGFNPYQSGSQSGMPPPLPPGPPPHSQTPQ >Manes.11G004700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:553751:561979:1 gene:Manes.11G004700.v8.1 transcript:Manes.11G004700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGDPQHQQQQQQPGGEFLRGPPPQPPMMRQPSASSTTLNPGDYHHPSASGPPPPSYDVHGDSFGAKRMRKLTQRRAVDYTSTVVHYMQIRMWQRDSRDRTALQPTPAAAIDMLPTTAYSDNPSTSFAAKFVHTSLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDARTGRELCSFHGHKNTVLCVKWNQNGNWVLTAAKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIEVTNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDIARDKFNMGQNQGFVCVSGYGEQNSALAGRLPGNFPVPEPPTTPGPFAAGLTRNEGTIPGVGAAMPLAIPSLDVSAQGEQKQPVPMSMPLGAPPLPPGPHPSLLAANQQQGYQQNPQQMPQQQHQAHPQQMPPLPMPPNMQQLQPPSHFPHPHLSRPPQMPPHGMASPIPSSMPGSLPVPASMSASHPLPMPGPMGMQGTMNQMVPPMPQGHFMGMNPMHSGSLSTNAPQVGGFPNSLPNMQGPSNVTGNQMYPQGGPFNRPQAGQMQMMPGFNPYQSGSQSGMPPPLPPGPPPHSQTPQ >Manes.11G004700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:553653:562610:1 gene:Manes.11G004700.v8.1 transcript:Manes.11G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGDPQHQQQQQQPGGEFLRGPPPQPPMMRQPSASSTTLNPGDYHHPSASGPPPPSYDVHGDSFGAKRMRKLTQRRAVDYTSTVVHYMQIRMWQRDSRDRTALQPTPAAAIDMLPTTAYSDNPSTSFAAKFVHTSLNKNRCSINRVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDARTGRELCSFHGHKNTVLCVKWNQNGNWVLTAAKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQIEVTNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDIARDKFNMGQNQGYGEQNSALAGRLPGNFPVPEPPTTPGPFAAGLTRNEGTIPGVGAAMPLAIPSLDVSAQGEQKQPVPMSMPLGAPPLPPGPHPSLLAANQQQGYQQNPQQMPQQQHQAHPQQMPPLPMPPNMQQLQPPSHFPHPHLSRPPQMPPHGMASPIPSSMPGSLPVPASMSASHPLPMPGPMGMQGTMNQMVPPMPQGHFMGMNPMHSGSLSTNAPQVGGFPNSLPNMQGPSNVTGNQMYPQGGPFNRPQAGQMQMMPGFNPYQSGSQSGMPPPLPPGPPPHSQTPQ >Manes.10G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23568156:23577297:-1 gene:Manes.10G091400.v8.1 transcript:Manes.10G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEAKDTKPSTSEKPNPSTETQMATSSASLSVPAPSWFTPKRLLVIFCVINLINYVDRGAIASNGVNGSRRTCTKSGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLLASPIFASLAKSFNPFRLIGVGLSVWTVAVIGCGCSFDFWSITICRMLVGFGEASFISLAAPFIDDNAPVAQKTAWLAIFYMCIPTGYAVGYVYGGLVGGHFNWRWAFWGEAILMFPFVVLGYVIKPLQLKGFAPAESQKALTSVETAVSEDQEIAAGKDSTSSDKEELDDKSSKQSCISRYASNSSNQVSRFMKDMKALLVEKVYVVNVLGYIAYNFVIGAYSYWGPKAGYNIYNMTNADMIFGGITIICGIFGTLAGGFVLDRISSTIPNAFKLLSVATFLGAIFCFAAFCFKSMYAFLAFFAIGELLVFATQGPVNYVCLHCVKPSLRPLSMAMSTVAIHLFGDVPSSPLVGILQDSINNWRETALILTSILFLAAAIWFIGIFIRSVDRFNEESAHQTVLTDGVNTTPLLEGESKTAGTTTSAEP >Manes.11G060100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7748200:7764949:1 gene:Manes.11G060100.v8.1 transcript:Manes.11G060100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQLKELKTLNLSYNHFDCSIDDQGCERLSKLEKLEVLDLTWNRFNNNILSSLSALISLKTLILDYNGMEGSFPMQGFQRLEELNLRGNTFNNSILSSLAALPSLNTLILRGIEMKDSFPNQGFQRLEELDLSGNSFNNSILSSLTALPSLNTLIFTYNDMECSFPHQGFERLEKLDISGNRFNKSILSSLGALTSLNTLILREMYDMNGSFPIQDFSTFKRLETLNLGDNDFTGCIPEDMWAPLSLKALYLYDNKLSGSLSKQSLCGLKDLQLLDLSFNEFGGTLPQCLGNLTSLTFLHLSENQLTGYLPSFWPPKLQYLDLSHNHLDGIFSVNYSSLEVIGLSGNKITFENGWIPSFQLRALIMQDCGLESIPEFLFHQFKLEVLDLSHNKLKGRFPYWLLQNNGGLEILDLKNNSFNGQLEIGTNMLPSIQYLNLAANHFEGDLLFSAGDDCKLIALDLSHNNFSGEVPERLLSNCISLSYLRLSHNNFHGQIALFNLTRIADLELHDNQFEGTLSSLFTNFSHQSYGPEVLHLSNNRLHGEIPHWMSNFTGLGYLNLRHNFFQGQISCQLLSTGIEYLDLSYNSFSGLLPSCSNENSLRQINLQGNRFSGSIPEAWVNISTLNLLDVSDNELSGTIFNKSGENLSGLRVLLLRGNHFNGFIPNWLCQLNNVSLLDLSRNSFSGSIPHCLYNLSFGGEGEGHPYDPPFSDILFAWGIGYGGSSKTLLDNTDIFYGEIDEESEFVTKYRADTYKNKALNYMSGLDLSNNNLTGEIPYELGALCQIHALNLSHNQLIGSIPRSFANLSQIESLDLSYNILSGQIPVELINLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPQEASGKWYEIDREIFLASFSVTFIMFFLSVIIILYVNPYWQQKLIYHTRQHLFSCYYFLYDNLVKLFV >Manes.11G060100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7747813:7764949:1 gene:Manes.11G060100.v8.1 transcript:Manes.11G060100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERSTLLDFKAFVGSNGFDADHLLPSWIDDPTSNCCEWERVMCNSTTGHVTELSLNNTRQYYMESYSFYYDENIWYVNLSMFQQLKELKTLNLSYNHFDCSIDDQGCERLSKLEKLEVLDLTWNRFNNNILSSLSALISLKTLILDYNGMEGSFPMQGFQRLEELNLRGNTFNNSILSSLAALPSLNTLILRGIEMKDSFPNQGFERLEKLDISGNRFNKSILSSLGALTSLNTLILREMYDMNGSFPIQDFQRLEELDLSGNRFNNSILSSLAALSSLNTLILSYNYIEGSFPNQGFQRLEELDLSGNSFNNSILSSLTALPSLNTLIFTYNDMECSFPHQGFERLEKLDISGNRFNKSILSSLGALTSLNTLILREMYDMNGSFPIQDFSTFKRLETLNLGDNDFTGCIPEDMWAPLSLKALYLYDNKLSGSLSKQSLCGLKDLQLLDLSFNEFGGTLPQCLGNLTSLTFLHLSENQLTGYLPSFWPPKLQYLDLSHNHLDGIFSVNYSSLEVIGLSGNKITFENGWIPSFQLRALIMQDCGLESIPEFLFHQFKLEVLDLSHNKLKGRFPYWLLQNNGGLEILDLKNNSFNGQLEIGTNMLPSIQYLNLAANHFEGDLLFSAGDDCKLIALDLSHNNFSGEVPERLLSNCISLSYLRLSHNNFHGQIALFNLTRIADLELHDNQFEGTLSSLFTNFSHQSYGPEVLHLSNNRLHGEIPHWMSNFTGLGYLNLRHNFFQGQISCQLLSTGIEYLDLSYNSFSGLLPSCSNENSLRQINLQGNRFSGSIPEAWVNISTLNLLDVSDNELSGTIFNKSGENLSGLRVLLLRGNHFNGFIPNWLCQLNNVSLLDLSRNSFSGSIPHCLYNLSFGGEGEGHPYDPPFSDILFAWGIGYGGSSKTLLDNTDIFYGEIDEESEFVTKYRADTYKNKALNYMSGLDLSNNNLTGEIPYELGALCQIHALNLSHNQLIGSIPRSFANLSQIESLDLSYNILSGQIPVELINLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPQEASGKWYEIDREIFLASFSVTFIMFFLSVIIILYVNPYWQQKLIYHTRQHLFSCYYFLYDNLVKLFV >Manes.11G060100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7747896:7764949:1 gene:Manes.11G060100.v8.1 transcript:Manes.11G060100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELANIAKCLLLGVVILWIQIHGNKGCFEEERSTLLDFKAFVGSNGFDADHLLPSWIDDPTSNCCEWERVMCNSTTGHVTELSLNNTRQYYMESYSFYYDENIWYVNLSMFQQLKELKTLNLSYNHFDCSIDDQGCERLSKLEKLEVLDLTWNRFNNNILSSLSALISLKTLILDYNGMEGSFPMQGFQRLEELDLSGNSFNNSILSSLTALPSLNTLIFTYNDMECSFPHQGFERLEKLDISGNRFNKSILSSLGALTSLNTLILREMYDMNGSFPIQDFSTFKRLETLNLGDNDFTGCIPEDMWAPLSLKALYLYDNKLSGSLSKQSLCGLKDLQLLDLSFNEFGGTLPQCLGNLTSLTFLHLSENQLTGYLPSFWPPKLQYLDLSHNHLDGIFSVNYSSLEVIGLSGNKITFENGWIPSFQLRALIMQDCGLESIPEFLFHQFKLEVLDLSHNKLKGRFPYWLLQNNGGLEILDLKNNSFNGQLEIGTNMLPSIQYLNLAANHFEGDLLFSAGDDCKLIALDLSHNNFSGEVPERLLSNCISLSYLRLSHNNFHGQIALFNLTRIADLELHDNQFEGTLSSLFTNFSHQSYGPEVLHLSNNRLHGEIPHWMSNFTGLGYLNLRHNFFQGQISCQLLSTGIEYLDLSYNSFSGLLPSCSNENSLRQINLQGNRFSGSIPEAWVNISTLNLLDVSDNELSGTIFNKSGENLSGLRVLLLRGNHFNGFIPNWLCQLNNVSLLDLSRNSFSGSIPHCLYNLSFGGEGEGHPYDPPFSDILFAWGIGYGGSSKTLLDNTDIFYGEIDEESEFVTKYRADTYKNKALNYMSGLDLSNNNLTGEIPYELGALCQIHALNLSHNQLIGSIPRSFANLSQIESLDLSYNILSGQIPVELINLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPQEASGKWYEIDREIFLASFSVTFIMFFLSVIIILYVNPYWQQKLIYHTRQHLFSCYYFLYDNLVKLFV >Manes.11G060100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7712022:7764949:1 gene:Manes.11G060100.v8.1 transcript:Manes.11G060100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFPNQGFERLEKLDISGNRFNKSILSSLGALTSLNTLILREMYDMNGSFPIQDFSTFKRLETLNLGDNDFTGCIPEDMWAPLSLKALYLYDNKLSGSLSKQSLCGLKDLQLLDLSFNEFGGTLPQCLGNLTSLTFLHLSENQLTGYLPSFWPPKLQYLDLSHNHLDGIFSVNYSSLEVIGLSGNKITFENGWIPSFQLRALIMQDCGLESIPEFLFHQFKLEVLDLSHNKLKGRFPYWLLQNNGGLEILDLKNNSFNGQLEIGTNMLPSIQYLNLAANHFEGDLLFSAGDDCKLIALDLSHNNFSGEVPERLLSNCISLSYLRLSHNNFHGQIALFNLTRIADLELHDNQFEGTLSSLFTNFSHQSYGPEVLHLSNNRLHGEIPHWMSNFTGLGYLNLRHNFFQGQISCQLLSTGIEYLDLSYNSFSGLLPSCSNENSLRQINLQGNRFSGSIPEAWVNISTLNLLDVSDNELSGTIFNKSGENLSGLRVLLLRGNHFNGFIPNWLCQLNNVSLLDLSRNSFSGSIPHCLYNLSFGGEGEGHPYDPPFSDILFAWGIGYGGSSKTLLDNTDIFYGEIDEESEFVTKYRADTYKNKALNYMSGLDLSNNNLTGEIPYELGALCQIHALNLSHNQLIGSIPRSFANLSQIESLDLSYNILSGQIPVELINLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPQEASGKWYEIDREIFLASFSVTFIMFFLSVIIILYVNPYWQQKLIYHTRQHLFSCYYFLYDNLVKLFV >Manes.11G060100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7747813:7764949:1 gene:Manes.11G060100.v8.1 transcript:Manes.11G060100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERSTLLDFKAFVGSNGFDADHLLPSWIDDPTSNCCEWERVMCNSTTGHVTELSLNNTRQYYMESYSFYYDENIWYVNLSMFQQLKELKTLNLSYNHFDCSIDDQGCERLSKLEKLEVLDLTWNRFNNNILSSLSALISLKTLILDYNGMEGSFPMQGFQRLEELNLRGNTFNNSILSSLAALPSLNTLILRGIEMKDSFPNQGFERLEKLDISGNRFNKSILSSLGALTSLNTLILREMYDMNGSFPIQDFSTFKRLETLNLGDNDFTGCIPEDMWAPLSLKALYLYDNKLSGSLSKQSLCGLKDLQLLDLSFNEFGGTLPQCLGNLTSLTFLHLSENQLTGYLPSFWPPKLQYLDLSHNHLDGIFSVNYSSLEVIGLSGNKITFENGWIPSFQLRALIMQDCGLESIPEFLFHQFKLEVLDLSHNKLKGRFPYWLLQNNGGLEILDLKNNSFNGQLEIGTNMLPSIQYLNLAANHFEGDLLFSAGDDCKLIALDLSHNNFSGEVPERLLSNCISLSYLRLSHNNFHGQIALFNLTRIADLELHDNQFEGTLSSLFTNFSHQSYGPEVLHLSNNRLHGEIPHWMSNFTGLGYLNLRHNFFQGQISCQLLSTGIEYLDLSYNSFSGLLPSCSNENSLRQINLQGNRFSGSIPEAWVNISTLNLLDVSDNELSGTIFNKSGENLSGLRVLLLRGNHFNGFIPNWLCQLNNVSLLDLSRNSFSGSIPHCLYNLSFGGEGEGHPYDPPFSDILFAWGIGYGGSSKTLLDNTDIFYGEIDEESEFVTKYRADTYKNKALNYMSGLDLSNNNLTGEIPYELGALCQIHALNLSHNQLIGSIPRSFANLSQIESLDLSYNILSGQIPVELINLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPQEASGKWYEIDREIFLASFSVTFIMFFLSVIIILYVNPYWQQKLIYHTRQHLFSCYYFLYDNLVKLFV >Manes.11G060100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7747813:7764949:1 gene:Manes.11G060100.v8.1 transcript:Manes.11G060100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERSTLLDFKAFVGSNGFDADHLLPSWIDDPTSNCCEWERVMCNSTTGHVTELSLNNTRQYYMESYSFYYDENIWYVNLSMFQQLKELKTLNLSYNHFDCSIDDQGCERLSKLEKLEVLDLTWNRFNNNILSSLSALISLKTLILDYNGMEGSFPMQGFQRLEELNLRGNTFNNSILSSLAALPSLNTLILRGIEMKDSFPNQGFERLEKLDISGNRFNKSILSSLGALTSLNTLILREMYDMNGSFPIQELKNLKSLTFLDISGNNFNGTLLFKDFQRLEELDLSGNRFNNSILSSLAALSSLNTLILSYNYIEGSFPNQGFQRLEELDLSGNSFNNSILSSLTALPSLNTLIFTYNDMECSFPHQGFERLEKLDISGNRFNKSILSSLGALTSLNTLILREMYDMNGSFPIQDFSTFKRLETLNLGDNDFTGCIPEDMWAPLSLKALYLYDNKLSGSLSKQSLCGLKDLQLLDLSFNEFGGTLPQCLGNLTSLTFLHLSENQLTGYLPSFWPPKLQYLDLSHNHLDGIFSVNYSSLEVIGLSGNKITFENGWIPSFQLRALIMQDCGLESIPEFLFHQFKLEVLDLSHNKLKGRFPYWLLQNNGGLEILDLKNNSFNGQLEIGTNMLPSIQYLNLAANHFEGDLLFSAGDDCKLIALDLSHNNFSGEVPERLLSNCISLSYLRLSHNNFHGQIALFNLTRIADLELHDNQFEGTLSSLFTNFSHQSYGPEVLHLSNNRLHGEIPHWMSNFTGLGYLNLRHNFFQGQISCQLLSTGIEYLDLSYNSFSGLLPSCSNENSLRQINLQGNRFSGSIPEAWVNISTLNLLDVSDNELSGTIFNKSGENLSGLRVLLLRGNHFNGFIPNWLCQLNNVSLLDLSRNSFSGSIPHCLYNLSFGGEGEGHPYDPPFSDILFAWGIGYGGSSKTLLDNTDIFYGEIDEESEFVTKYRADTYKNKALNYMSGLDLSNNNLTGEIPYELGALCQIHALNLSHNQLIGSIPRSFANLSQIESLDLSYNILSGQIPVELINLNFLEAFSVAHNNLSGRIPDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPQEASGKWYEIDREIFLASFSVTFIMFFLSVIIILYVNPYWQQKLIYHTRQHLFSCYYFLYDNLVKLFV >Manes.13G098400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29087792:29088851:-1 gene:Manes.13G098400.v8.1 transcript:Manes.13G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLVPVMSNEEMKIRRELEMDIERDLEQEIKDGIYHLALRLHRLYQHQEERNKRETSDQSAATKYIHQETRNKMLSEVNISIKLDGGTKIEIKEKKKEAPCRPRSSRSEQNRSFQGMIQTKRFDWARSLRSSGLAPAMAITRRNERSLQGRTLSNCHAGQCLNLNPENNSRRNLTGQQKINAGLEYKILELGWKC >Manes.06G172500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29627143:29630896:1 gene:Manes.06G172500.v8.1 transcript:Manes.06G172500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGDMEVKESTKKQKHKGKHDKPKPWDEDPNIDHWKIEKFDASWNEGGMLEVSSFSTLFPTYREKYLQEVWPMVKSALKEYGIACELNLVEGSMTVSTTRKTRDPYIIVKARDLIKLLSRSVPAPQAIRILNDEMQCDIIKIGNLVRNKERFVKRRQHLVGPNSSTLKALEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEDCIQNKMHPVYHIKILMMKKELEKDPALKNENWDRFLPKFKKKNVKQKKVKSKEKKPYTPFPPPQPPSKVDMQLETGEYFWSNQKKQAKKWQEKQEKQAEKTAENKRKREAAFIPPEEPKEQNAGTSEVKSNDVAAMAISIKKKSKDLGKKKAYENINAEEYIAASGELPMKKKAKRV >Manes.06G172500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29627143:29630896:1 gene:Manes.06G172500.v8.1 transcript:Manes.06G172500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSALKEYGIACELNLVEGSMTVSTTRKTRDPYIIVKARDLIKLLSRSVPAPQAIRILNDEMQCDIIKIGNLVRNKERFVKRRQHLVGPNSSTLKALEILTGCYILVQGNTVAAMGSFKGLKQVRRIVEDCIQNKMHPVYHIKILMMKKELEKDPALKNENWDRFLPKFKKKNVKQKKVKSKEKKPYTPFPPPQPPSKVDMQLETGEYFWSNQKKQAKKWQEKQEKQAEKTAENKRKREAAFIPPEEPKEQNAGTSEVKSNDVAAMAISIKKKSKDLGKKKAYENINAEEYIAASGELPMKKKAKRV >Manes.10G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27275551:27279629:-1 gene:Manes.10G111300.v8.1 transcript:Manes.10G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEITGALVLKGATALGSAAITSKLYDDGRNWIAPPAGEIKELTEDVETNYQRMKDIAKVLYGMRDDVLSKLNEENKPTLQCQAWIDMVDQLEKEVKTLESNYPTQKEKVFRFNFSKNLKKKRDELIHCLELKSKIQEFVERIPPIIRVPAPELKENTSLHEVFVKMTDHFEDKEVKRIGLWGTVGVGKTVIMKNLNNSEQAKKVFDIVIFATLPDEMNQEMVKDEMNEDRLRELKREALELAKEKLRREIAGRLNLKIEGSGEIGINVRISDKLKDKKYLFLLDDVWDIFKLDDIGICNNDKGSKVILASRELNICRAMDSDDTKQVELLPIEEAVALFKEQLGAKGKDLEFRGIALPVVKHCSCLPFLIEKAAGYFKQKDSSLWRKTLKDFQKWLYKDRDGMVEFLNKIRFCFEQLDDKDKKLCFLYCALHTDGCEIYADHLVECCTAEKFVPDVDTGHVKVAELIDASLLEKDEKKKCLRMNKVLRHMALKISSEREDLKFFVRAGGLEKPIRCGDWKEVKRISLMDNSDRLSFLPRKPKSTNLLTLFLQRNVTLTTIPRNFFKFMQNLLVLDLHGTKIRRLPSPFCLKSLEVLYLSCCDQLMELPSVMELENLEVLDIRGTGIYFLPSQISNMKKLRCLRFSINGESDREEEHQGVISNLSLLKELVIEVKAGNQWCNNVVKQMTREVAKLTELNSLSFCFHDNNSFKTFLHNSELWQDPHLTFQICVGDQRYSTRRLKSDNVASTVLSSLVVVISNDTYKLIACKEALSLSDFGIENINELKCCIIEESKEILTVINAPRTKEGVLKRLERIYINNVLKLESIWEGHVPNGSLSHLTVLCLSKCPSLTKIFFPAIIKQLSKLKHLQIEHCGEIKQIIGESESDELEHLKLPSLTTLVLQDLQQLTSILKNDSNCPAFSLPQLADLRLVNCPILKLFSDMIKQVFNLGYLKIEECPQIEQQIAEYANNDRNTTAS >Manes.13G063900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7587174:7591428:1 gene:Manes.13G063900.v8.1 transcript:Manes.13G063900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGSNIHHQPSAKMLPPRQQPRPGGLQTSLSLVSSDPHLSPDAQEPRSNSDNIRESPTESASSRETWPTVDAAMAKKMENGKTENDCPEQSVIRRVSSADKISLRDIARERVDIISEKMHHLPDEFLEELKNGLRVILEGNGGSQHREEFSILQKFVQSRPDLNAKTLIRAHRVQLEILVAINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPAADCACEICTNRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQISMGPSVKSGSGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDREALMRELDFVSRIFRGSEDARGRKLFWKCEELIDKMKGGLAESTACRVILMFFAELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMELVADEKMRMFKKARMALEACDRELEEKAKEVTELKLDRQKKKIQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESTHASQSSGGVDPSQVLTYSKIHDLLNGYNGPQKSELQPNERHHFRSNP >Manes.13G063900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7587174:7591428:1 gene:Manes.13G063900.v8.1 transcript:Manes.13G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSGSNIHHQPSAKMLPPRQQPRPGGLQTSLSLVSSDPHLSPDAQEPRSNSDNIRESPTESASSRETWPTVDAAMAKKMENGKTENDCPEQSVIRRVSSADKISLRDIARERVDIISEKMHHLPDEFLEELKNGLRVILEGNGGSQHREEFSILQKFVQSRPDLNAKTLIRAHRVQLEILVAINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPAADCACEICTNRNGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQISMGPSVKSGSGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDREALMRELDFVSRIFRGSEDARGRKLFWKCEELIDKMKGGLAESTACRVILMFFAELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMELVADEKMRMFKKARMALEACDRELEEKAKEVTELKLDRQKKKIQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESTHASQSSGGVDPSQVLTYSKIHDLLNGYNGPQKSELQPNERHHFRSNP >Manes.10G053500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6372392:6381355:-1 gene:Manes.10G053500.v8.1 transcript:Manes.10G053500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMGAVLTYRHELGMNFNFICPDLIVGSCLQTPEDVDKLREIGVKTIFCLQQDPDLEYFGVDISAIHEYAQKCGDIQHLRAEIRDFDAFDLRIRLPAVVCKLYRAVNRNGGVTYIHCTAGLGRAPAVAMAYMFWVQGYKLGEAHDLLLSKRSCFPKMDAIKSATADILTGLRKGLVTLTWKDDNCSTVEISGLDIGWGQRIPLNLNEEEGLWTLERELLEGRYEYKYIIDGEWTINEHELFTAPNKDGHVNNYIQVLNESTEGISAAVRERLTGDDPDLTTDERSKIREFLKTLPDDDE >Manes.10G053500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6372392:6382004:-1 gene:Manes.10G053500.v8.1 transcript:Manes.10G053500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLHYLPRSSVLPLQGFKYHQRKHASSLSVNMMGVINFSDLHRNMAVKAISGPASSADTSGADVEEDKGKSEIYSHNMTEAMGAVLTYRHELGMNFNFICPDLIVGSCLQTPEDVDKLREIGVKTIFCLQQDPDLEYFGVDISAIHEYAQKCGDIQHLRAEIRDFDAFDLRIRLPAVVCKLYRAVNRNGGVTYIHCTAGLGRAPAVAMAYMFWVQGYKLGEAHDLLLSKRSCFPKMDAIKSATADILTGLRKGLVTLTWKDDNCSTVEISGLDIGWGQRIPLNLNEEEGLWTLERELLEGRYEYKYIIDGEWTINEHELFTAPNKDGHVNNYIQVLNESTEGISAAVRERLTGDDPDLTTDERSKIREFLKTLPDDDE >Manes.08G145000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38665892:38666907:-1 gene:Manes.08G145000.v8.1 transcript:Manes.08G145000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEEWEILPHGGFLDYPEDGEKKSSSASNRSSNSKSGFNMNYFVCPSSPPRNSSVVPNQLVPVPFQLETTRITTGDRQEDKSKPIDIRVMPSVIMPEAKGPDIAPKEADQDPVSQVFFKKMKENEFVDMKMDSPKSPTTKSFVPPQIDASKFDFEDKGDTLEAKISSPRIKIEKEIKEVEEIHWEENNGGLNLWKWSLNGIGAICSFGVAAATVCIIIFGSHQRNKQQQNQKLRFQIYNEDKRIKQVVHHATRFNEAIATVRGVPIARAHITFGGYYDGV >Manes.18G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4383814:4385839:-1 gene:Manes.18G051200.v8.1 transcript:Manes.18G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTPTPKFQINRFKNQPSQLHRRPFLLAHPQRSPTCFMKIINPQFGETDKVKLQLSIAKERLWEATPDPVKEFPWRKAGDELLKRLMFIGQAALKWSLIAVFIFSSVSDVIFSISRNQELMIPVGLLIGCLITDYLKEILQEVIQASEDKGLNLVLASISCLFVLTKVISTYFAARAQVFLLHVANGGLLQVLWLWRQLLKENDEPTKENVFSSDQEPSLAADAKE >Manes.05G014766.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1368819:1369376:1 gene:Manes.05G014766.v8.1 transcript:Manes.05G014766.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPPQSDSQNLPVGWRFHPSDEELVDYYLKRKRLGHPIYGLDISEVQVCDYDPRDLPGLSMNNSRDKVWYFFCLRLYHNNRGQAKRKAKDGYWKGTGDLRSVTPEDSDEEIGTKRTLVFHNPKATQWVIHEYEYTAALNLPTKVIAYALFYEFAL >Manes.05G014766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1368707:1369376:1 gene:Manes.05G014766.v8.1 transcript:Manes.05G014766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNSSGMTCPPQSDSQNLPVGWRFHPSDEELVDYYLKRKRLGHPIYGLDISEVQVCDYDPRDLPGLSMNNSRDKVWYFFCLRLYHNNRGQAKRKAKDGYWKGTGDLRSVTPEDSDEEIGTKRTLVFHNPKATQWVIHEYEYTAALNLPTKVIAYALFYEFAL >Manes.15G001700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:340931:341563:1 gene:Manes.15G001700.v8.1 transcript:Manes.15G001700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEITINGCPYSLSSYKVDFFGTPIDVTVTSSSSVVRKWIRTTLFLRRDYIGRLVVGVGVQWTPWNGNEPPPDTLQLCVGTRCLIFRLSLATTVPLILRRFLLDRDNTFVGIWNGSDEKKLRMSEHELRLHRLLDLRRYISTDYGGSLARASVERIVEECLGYEGVRLEKDISMSDWGNEDLSYEQILQACVDAYVAFEIGKNLRAWEL >Manes.02G125400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9505129:9506485:1 gene:Manes.02G125400.v8.1 transcript:Manes.02G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDMGGMGQAMNNSNATGGMTMTHHMNMMTHMTFFWGKNAEILFDGWPGTRTGMYVLALIAVFFFAFLVEWLSHCQLIKPGSTHLAAGLIQTFLHALRISLAYLVMLAVMSFNVGVLLVAVAGHTLGFLFFGSRAFKKSPPPAKTSDLPPMSC >Manes.15G160600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13341825:13344843:-1 gene:Manes.15G160600.v8.1 transcript:Manes.15G160600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLSSLCLPQGKLSSLGFSYRISDHLRFASASIGFSSIKKNRGFRVSVCRAAAVVFRDLDADDFRHPLDKQNTLLLRAIPGLNEIGKALLGAMTEQIMLLENIGTSVLVSKNQLSELHQLMTEAAEILNLEAPDLYVRQSPVPNAYTLAISGKKPFVVIHTSIVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTLGAYNVPGLGRLIAQSLEEQLFGWLRAAELTCDRAALLVAQDPKRWSSLF >Manes.15G160600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13341825:13344843:-1 gene:Manes.15G160600.v8.1 transcript:Manes.15G160600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPLSSLCLPQGKLSSLGFSYRISDHLRFASASIGFSSIKKNRGFRVSVCRAAAVVFRDLDADDFRHPLDKQNTLLLRAIPGLNEIGKALLGAMTEQIMLLENIGTSVLVSKNQLSELHQLMTEAAEILNLEAPDLYVRQSPVPNAYTLAISGKKPFVVIHTSIVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTLGAYNVPGLGRLIAQSLEEQLFGWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGSPSVADQLNVDAFLEQARSYDRASSSPVGWYIRNAQTRQLSHPLPVLRAREIDEWSRSQEYKSLLKRAMKMNAVQNF >Manes.01G272200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42528349:42532014:-1 gene:Manes.01G272200.v8.1 transcript:Manes.01G272200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKEFVEADNAEAIITRIEHKSRKIESLLKQFKPVEALKTALEGSPPNTRDERCKSANWLVVHRAIMAIKDVDGMFSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTEKAGLGCILRALADTANTV >Manes.11G001251.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:265548:267863:1 gene:Manes.11G001251.v8.1 transcript:Manes.11G001251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDNCSALEFLSTCKLANLTVKAELGCCLLHRSGRLTIDGCILQCESNPLDYLSCPIVTTAGGSEIFSSSVKTSCDGVSVSQTRIEGGSKAVVTSGKLALQRVRVICSRTCVYFWFDVEDN >Manes.12G001900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:423697:433006:1 gene:Manes.12G001900.v8.1 transcript:Manes.12G001900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCRSIFSPTSKAISRAYNKHRIAVSSKSRALNVLLVVGDCILVGFQPILVYMSKVDDQFKFSPISVNFLTEAAKVLFAIVMLLIQARHRKVGEKHFLSFSIFVQAARNNMLLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLIIAVLLKIIMKRRFSIIQWEALALLLIGISVNQLRSLPGTTAMGLSVATGAYIYTLIFVTVPSLASVFNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILITALFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPVKGGLEMMDGENNPRSKDASYINMTAGANEDASHRVQHDEKEPLLPI >Manes.12G001900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:423700:432953:1 gene:Manes.12G001900.v8.1 transcript:Manes.12G001900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCRSIFSPTSKAISRAYNKHRIAVSSKSRALNVLLVVGDCILVGFQPILVYMSKVDDQFKFSPISVNFLTEAAKVLFAIVMLLIQARHRKVGEKHFLSFSIFVQAARNNMLLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLIIAVLLKIIMKRRFSIIQWEALALLLIGISVNQLRSLPGTTAMGLSVATGAYIYTLIFVTVPSLASVFNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILITALFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPVKGGLEMMDGENNPRSKDASYINMTAGANEDASHRVQHDEKEPLLPI >Manes.12G001900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:423710:432953:1 gene:Manes.12G001900.v8.1 transcript:Manes.12G001900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCRSIFSPTSKAISRAYNKHRIAVSSKSRALNVLLVVGDCILVGFQPILVYMSKVDDQFKFSPISVNFLTEAAKVLFAIVMLLIQARHRKVGEKHFLSFSIFVQAARNNMLLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLIIAVLLKIIMKRRFSIIQWEALALLLIGISVNQLRSLPGTTAMGLSVATGAYIYTLIFVTVPSLASVFNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILITALFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPVKGGLEMMDGENNPRSKDASYINMTAGANEDASHRVQHDEKEPLLPI >Manes.12G001900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:423697:433006:1 gene:Manes.12G001900.v8.1 transcript:Manes.12G001900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCRSIFSPTSKAISRAYNKHRIAVSSKSRALNVLLVVGDCILVGFQPILVYMSKVDDQFKFSPISVNFLTEAAKVLFAIVMLLIQARHRKVGEKHFLSFSIFVQAARNNMLLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLIIAVLLKIIMKRRFSIIQWEALALLLIGISVNQLRSLPGTTAMGLSVATGAYIYTLIFVTVPSLASVFNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILITALFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPVKGGLEMMDGENNPRSKDASYINMTAGANEDASHRVQHDEKEPLLPI >Manes.12G001900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:423711:432953:1 gene:Manes.12G001900.v8.1 transcript:Manes.12G001900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCRSIFSPTSKAISRAYNKHRIAVSSKSRALNVLLVVGDCILVGFQPILVYMSKVDDQFKFSPISVNFLTEAAKVLFAIVMLLIQARHRKVGEKHFLSFSIFVQAARNNMLLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLIIAVLLKIIMKRRFSIIQWEALALLLIGISVNQLRSLPGTTAMGLSVATGAYIYTLIFVTVPSLASVFNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILITALFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPVKGGLEMMDGENNPRSKDASYINMTAGANEDASHRVQHDEKEPLLPI >Manes.12G001900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:423700:432953:1 gene:Manes.12G001900.v8.1 transcript:Manes.12G001900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCRSIFSPTSKAISRAYNKHRIAVSSKSRALNVLLVVGDCILVGFQPILVYMSKVDDQFKFSPISVNFLTEAAKVLFAIVMLLIQARHRKVGEKHFLSFSIFVQAARNNMLLAVPAFLYAINNYLKFIMQLYFNPATVKMLSNLKVLIIAVLLKIIMKRRFSIIQWEALALLLIGISVNQLRSLPGTTAMGLSVATGAYIYTLIFVTVPSLASVFNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILITALFKGPSSLDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPVKGGLEMMDGENNPRSKDASYINMTAGANEDASHRVQHDEKEPLLPI >Manes.04G031400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3970193:3971027:-1 gene:Manes.04G031400.v8.1 transcript:Manes.04G031400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Manes.04G031400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3969831:3971782:-1 gene:Manes.04G031400.v8.1 transcript:Manes.04G031400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Manes.14G095200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7911623:7912855:1 gene:Manes.14G095200.v8.1 transcript:Manes.14G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLESNQLQQLKEIFMHFDMDGDGSLTQLELAALLRSLGLKPSGDQIHVLLSNMDANGNGYVEFDELVTAILPDMNEEVLINQEQLLEVFQSFDRDGNGYISAAELAGSMAKLGHPLTYQELSQMMNEADTNGDGVLSLHEFAHIMARSAADFLGLALQA >Manes.02G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6377965:6382932:-1 gene:Manes.02G081500.v8.1 transcript:Manes.02G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPTLKRSDSMADNMPEALKQSRYHMKKCFAKYVQKGRRIMKLQHLLDEIENVIDDKMERTKVLEGVLGDIWYSTQEAVVNPPHVAFAIRPSPGFWEYVKVNSADLTVEGITATEYLKFKEMIFEETWAKDVNALEVDFGAFDFSVPRLTLSSSIGNGLNFVSKFVTSKLSGRLENAQPLVDYLLSLNHQGEKLMINDNLNTVSKLQMALIVAEVYLSGLARDTPYQKFELSFKEWGFEKGWGDTAERVKETMRSLSEVLQAPDPVNLENFFSRVPKIFNIVIFSPHGYFGQANVLGLPDTGGQVVYILDQVKALEEELVLRIKQQGLNVKPQIIVVTRLIPEARGTKCNQELEAINGTRHCNILRVPFSVEDRVLRQWVSRFDVYPYIEKFTQDVTVKVLDLMEGKPDLIIGNYTDGNLAATLMANKLGITQATIAHALEKTKYEDSDIKWKELDPEYHFSCQFIADIIAMNAADFIIASTYQEIAGSKERPGQYERHDAFTLPGLCRVVSGINVFDPKFNIAAPGADQSVYFPNTEKQKRFTQFHPAIEELLYSKEENEEHIGYLADRRKPIIFSMARLDIVKNLTGLTEWYGKNKRLRNLVNLVIVGAFFDPSKSKDREEMAEIRKMHALIEKYQLKGQFRWIAAQTDRQRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFLIDPIDGDEASNKIADFFEKCKVDVEYWNKFSEDGLKRINECYTWKIYANRVLNMGSMYTFWRQLNKEQKQAKQRYIQMFYNLQFRKLVKNVPIPVEEAQQQPETKTVSKAPSSTRRSQSRLQRLFGA >Manes.09G133300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33389492:33392501:-1 gene:Manes.09G133300.v8.1 transcript:Manes.09G133300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNDKHDDSTDKGLFSHLAGYAAGHLPPQGSYPPHGYPPQGYPPAGYPHHGGYPPPGYPPQGGYPPAGYPPQGGYPPAGYPPAGYPPAGYPPAGYPGPSAPHHSGHGSHGPGMGAMLAGGAAAAAAAYGAHHLSHGRGHHGYGLGHGKFKHGKFKHGKFGKHGMFGKHKGKFFKKWK >Manes.09G133300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33389492:33392501:-1 gene:Manes.09G133300.v8.1 transcript:Manes.09G133300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNDKHDDSTDKGLFSHLAGYAAGHLPPQGSYPPHGYPPQGYPPAGYPHHGGYPPPGYPPQGGYPPAGYPPQGGYPPAGYPPAGYPPAGYPPAGYPGPSAPHHSGHGSHGPGMGAMLAGGAAAAAAAYGAHHLSHGRGHHGYGLGHGKFKHGKFKHGKFGKHGMFGKHKGKFFKKWK >Manes.09G109800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31252264:31253772:1 gene:Manes.09G109800.v8.1 transcript:Manes.09G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQFFQPSWPFHRIINSNLDVDEVECFDKLKSSSFSTPEGCFSTMFQTQISQSPSGDDHMQTMLTLEDFLNDPNFYRDMEFVLSDSEGSFPSQEASNEGSGWSPSPSIKSNEASSSSLTLPRKESELNSQLSVLHLLKAYGEAMEEGQGHLADVIMRCMSEKVSLAGEPLLRLAFNLSQDLEKHGDYLKQESSKNFEEAFRAFYQIFPYGRFAHFAANSTILEAVPADTERIHIVDFDMGEGVQWPPMLEALARLQKGVKLTAVKWENTDCDRDPLMWSFEEAKRRLLDHARRFGLKLKMEEMAIEDLVSEINRRKKRGGRKEWLAFNCMVGLPHMGRVRSRKVVEEFLRVARESKANSVNRGIIILGDGDCGENLSNSSSFGSFFEGNMAHYLTLLESIESDFPVNLAEARMALECLFVAPYISSEVWLEKWMDIKECCKVEMGSSLEGWSISRECTEEARELVKGNESFYGLRTGGQLNNEMILEWKGKSLVRVSAWKN >Manes.05G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4223545:4224763:1 gene:Manes.05G050600.v8.1 transcript:Manes.05G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQTVCCMCGDVGFPDKLFRCSKCRHRFQHSYCSNYYSELSESIELCDWCQSEERNARHGNSSKKSAVGHDSGGITNRSEYSGDKIKQHDREESTTEKGKSPSGVPSPRTATRRYKLLKDVMC >Manes.01G209500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38079449:38084452:1 gene:Manes.01G209500.v8.1 transcript:Manes.01G209500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKAVYRTLMELFPQVDSRMLKAVAIEHSKDVNGAAEVIVSEVLPFLLQQSTVDHPLPKDCSPSGLSVGRDAVVNEEQNNKTITSSLEPKSISREDACKTNLTSASAIHYSDSTHQEKALPPTTLSSDKNVNINQFPGNNINQFEGNIESEESILLLRFQNKEENVQSNLNTEKEESVLSMGSQHQEDNVQSSTFQTSKFTSSALLLDENTGLSQLCTEDEMSASSLGKCQEINIKVGHEQTSQNMPIGLSVENCGHHSKWKDHDSSSADNFDRLCSEEVLQVESFSAGTGLEVENSVFEKMLDAAEGDFQSELSATSSGSKSNQDIKVDFLEDIVEAAKNNKKTLFLAMESIMNMMRQVELQEKIAELAKEEAARAGVDILSKVEELKQMLKHAKEANDMHAGEVYGEKAILATEARELQARLVSLSYERDKALAILDEMHQTLEARLAAAEELRRTAEEQKQEKEESARNALAEQEAIMEKVVQESKFLKQEAEENSKLREFLMDRGQFVDTLQGEISVICQDVRLLKERFDERIPLSKSISSSQTSCILASSGSSLRSVASDELVPEQKETFNSPKERSPTSSISNQSPKSQQEEERNKKQLLDDGWDFFENDAEM >Manes.06G167800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29324976:29331288:1 gene:Manes.06G167800.v8.1 transcript:Manes.06G167800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEADHSIGSSSGSLHLAPPPSLVSLSSFSPVPSPASRRLSSTFTPSRPVTSAGRLSWVSLQGRILNAEEASSAKAIGGGLTRDQIIAWELFSPIQRFLTVAVIGVAVAESKKNSLIFQLKKSVELRDQVLLRMQQKLDDLCEQVSGIKATASLSKNVESPSSDAFGGNTIKFVDCGCWHCDHHQGLFADFMGNSAVNISRVDEVLQYKMPLLNEGEQEERRMSDLSDWASSVTSTADTQMNSSALDQDILNLKRECEDKDATIKELISTIRSTDMAGSKRIAELEDIIRRKNTTVSKLKKDVMVLEEKLVQLTRLRRTSSSLRISDSWELPLMLDNLVYDMDSSTSPSSSDSDSSPGNRAQASAVKNLETPVQSSYISQASTPSKTSSSLAILNERQTKSRSVSPLGEISVNKKSSLRPKQLSTSGEFKKIRRRSQTTSTDAAPKKRWV >Manes.06G167800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29324976:29331290:1 gene:Manes.06G167800.v8.1 transcript:Manes.06G167800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEADHSIGSSSGSLHLAPPPSLVSLSSFSPVPSPASRRLSSTFTPSRPVTSAGRLSWVSLQGRILNAEEASSAKAIGGGLTRDQIIAWELFSPIQRFLTVAVIGVAVAESKKNSLIFQLKKSVELRDQVLLRMQQKLDDLCEQVSGIKATASLSKNVESPSSDAFGGNTIKFVDCGCWHCDHHQGLFADFMGNSAVNISRVDEVLQYKMPLLNEGEQEERRMSDLSDWASSVTSTADTQMNSSALDQDILNLKRECEDKDATIKELISTIRSTDMAGSKRIAELEDIIRRKNTTVSKLKKDVMVLEEKLVQLTRLRRTSSSLRISDSWELPLMLDNLVYDMDSSTSPSSSDSDSSPGNRAQASAVKNLETPVQSSYISQASTPSKTSSSLAILNERQTKSRSVSPLGEISVNKKSSLRPKQLSTSGEFKKIRRRSQTTSTDAAPKKRWV >Manes.09G023200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4706293:4711490:-1 gene:Manes.09G023200.v8.1 transcript:Manes.09G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHSYQEICFAWGLKAELRKLEDILLTVKAVLMDAEEKQVNDHQLRLWLAKLKDALYDAEDVLDEFECEDQRRRVLQLYGTTCKKVGNFFSCSNPIAFRFKMSAKVKQITERLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIIRLLQDSSEGAQISIIPIVGIGGLGKTSLAKFVYNDERVRNQFQFQMWVCVSEEFDIKILTEKIIKSTEIGKRYGVENLSKMEMEQLQEILRESIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGCKILVTTRSNKVASVMGTIPKAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFYNRELIQFWMAHGLLESANQNEEPEDIGLRYFQELGSRSFFQDFEVGEGISIACKMHDLVHDLALSLTQNEFLAITSSTTHISHNIRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSSQSNLDSYLLRFQYLRILDLAHSKLEISLDWTGALKHLRYLHLHGNSRIKKLPNSICKLHNLQTLMLCEGIEELPSDIRYLINLRYLMFSTKQKFLPKNGIGCLTSLRFLGIANCENLEHLFEDMQGLKHLRTLIIGDCESLISLPQSMKYLTTLEILAIGNCENLNLTLEEKGKSNKHLAQFNLQKLILAMLPKLVDFPEWLLQGSTNTLQFLKLESCKYLKELPVCIQNIASLQQLEIEDCDELSERCERGKGEDWSKIAHIPKIVIDGSDIDSADD >Manes.04G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34475953:34478202:-1 gene:Manes.04G147800.v8.1 transcript:Manes.04G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDWLLRPVSPVFIIVFVLQSTCTTSIIQSEALIKLKNSFTNTTALSSWLPGSAPCDRGAQWDGLLCSNGVVIGLRLENMGLSGKIDVDALVAMSGLRSVSFEYNSFSGLIPEFSRLGYLKNIYLTGNQFSGEIPPEFFLRMESLKKVSLSKNKFSGNIPLTLLHLSNLIELRLEDNQFTGTIPSVEETRLKSFNVSNNKLSGVIPQGLTKFNKSSFQGNDGLCGENIGKVCNAANTPIETLDPGAFATTSAMNITKNDAISIKKTGAGIIVLALMFLCVAVMIILKMRKKEEEDFEAGGMDNTTTDQEAAETVEVQVSMPVLLKEVDLNKKPGPSRRAPATAKGGIGELIIMNNEKGAFGLIDLMRAAAEVLGNGGLGSSYKALMDNGVTVVVKRLREMNALGKDGFDAEIRRLGSLRHHNILPPLAFHYRKDEKLLIYEYIPKGSLLNSLHGDKGSSHCELNWPDRLKIVQGIARGLGYLHTELASYDLPHGNLKSSNVLLTPDNESLLSEYGFSPLTNPSVIGQAQIAYKAPEIAKFGISPKCDVYCLGLIILEILTGKYPCQYINNDKEGIDLVQFVEPAISAGKECEILDPGIASSSNSLGDMKQLLHIGVHCAANNPTQRLDLREAIRRIESIKLEITAPDSRTVEVLPSLKDSYADAPAASTSTSTSTSTQQGHAQKTRKEYGSQSFTDSELFSFASPRSNLSNEK >Manes.06G165567.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29202587:29203772:1 gene:Manes.06G165567.v8.1 transcript:Manes.06G165567.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSRVWQRLAYALALSFMLNMVTADYEPYSPSPPPPTPSPSPSAPYVYKFLPSPSPSSPPPYVYKSPPPPSSSPPPHYIYKSPSPPFPPSPYYHKSPPPPSPSTPPPYYYKSPPPPSPSPPPPYYYKSPPPPPPYYYKFPPPPYSSPPPAYYYKSPPPPSLSPPPPYYYKSPPPTSLFPPPLYYYKSPPPSSPSPPPPYYYKSPPPPSPSPPPPYYYKSSSAPPPIYQYNSPPPPVVY >Manes.01G103500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30316390:30323536:-1 gene:Manes.01G103500.v8.1 transcript:Manes.01G103500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKYSLLFRRGEVHPVPLSIQGAASMTSASLDNSLCDMYRSPPRPLPYDADPRYFRMQRDGLVSRREKGSSHSHEESEPLRSDNDADSDSVSTADKWSASACEEGSKEQRVRSSLKLSSAKTVGIGYIYSSSEDEDVCPTCLEEYTPENPKIVTKCSHHFHLGCIYEWMERSDSCPVCGKVMAFDETT >Manes.01G103500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30319605:30322459:-1 gene:Manes.01G103500.v8.1 transcript:Manes.01G103500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLHEEDFEDYMNPNSSVYRNCMCLSCFVQNFLHVYSLLFRRGEVHPVPLSIQGAASMTSASLDNSLCDMYRSPPRPLPYDADPRYFRMQRDGLVSRREKGSSHSHEESEPLRSDNDADSDSVSTADKWSASACEEGSKEQRVRSSLKLSSAKTVGIGYIYSSSEDEDVCPTCLEEYTPENPKIVTKCSHHFHLGCIYEWMERSDSCPVCGKVTPALFPEACWFSFEVSSSTSG >Manes.01G103500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30316390:30323536:-1 gene:Manes.01G103500.v8.1 transcript:Manes.01G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLHEEDFEDYMNPNSSVYRNCMCLSCFVQNFLHVYSLLFRRGEVHPVPLSIQGAASMTSASLDNSLCDMYRSPPRPLPYDADPRYFRMQRDGLVSRREKGSSHSHEESEPLRSDNDADSDSVSTADKWSASACEEGSKEQRVRSSLKLSSAKTVGIGYIYSSSEDEDVCPTCLEEYTPENPKIVTKCSHHFHLGCIYEWMERSDSCPVCGKVMAFDETT >Manes.01G103500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30319605:30322125:-1 gene:Manes.01G103500.v8.1 transcript:Manes.01G103500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKYSLLFRRGEVHPVPLSIQGAASMTSASLDNSLCDMYRSPPRPLPYDADPRYFRMQRDGLVSRREKGSSHSHEESEPLRSDNDADSDSVSTADKWSASACEEGSKEQRVRSSLKLSSAKTVGIGYIYSSSEDEDVCPTCLEEYTPENPKIVTKCSHHFHLGCIYEWMERSDSCPVCGKVTPALFPEACWFSFEVSSSTSG >Manes.11G134150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29900582:29901429:-1 gene:Manes.11G134150.v8.1 transcript:Manes.11G134150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMMMELPHGYYFEPTLEELLFFYLQRKLQGKPLPPATMEEFKEPSSTGYFYVFTKLKKRSKDRVDRTAGSGVWNGRTTHRYKDPRGSWLKKDFIFQLKKKQGSDSSKNYGRWIMMELSFEDIQDSYVLCRIYNKNSLRKKARIEEDEITEQEECIIDFSDDLALNSSANKRKFSCDGENGKQIQRECKLADVGEHFDLLSAPIVNTMERIFTSLRQIYNSHLNLSPEHYHNI >Manes.05G173000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28775078:28775587:1 gene:Manes.05G173000.v8.1 transcript:Manes.05G173000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVNGPMHSTVVNGPRGATRSVNFTEEQLKKIFMEFDENRDNLLSKDEIKKAFNYLGAMIPEFRAIRGIKKADTNGDGMVDLSELNDLISYAFKLGYSVK >Manes.13G033100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4147993:4148433:-1 gene:Manes.13G033100.v8.1 transcript:Manes.13G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQGGNNKSTKKQDRASGETRYRGIRRRPWGKFAAEIRDPTKNGARRWLGTFETAEEAARAYDRAAFAFRGHLAILNFPNEYQYHHHSSPPPSFASSSSTPSSSSSFSVAPPTSYGLVGSSGRQEVIEFEYLDNKLLEDLLEHQ >Manes.02G196125.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24416794:24420289:1 gene:Manes.02G196125.v8.1 transcript:Manes.02G196125.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMKRIENPVHRQVTFCKRRSGLLKKAKELSVLCDAEIGVFIFSPHGKFYELATNGSMQELVERYMKVSGGNMQPDEQAKETQSLDAKEEINMLKKEIEILQKGLRYLVGGGAEHSQMTMDELLMLEKNLEIWICHIRSKKMEIMSKEIQLLKNKIEESVDFSNFASMSPYPLTIQNEIFEF >Manes.02G196125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24416794:24420289:1 gene:Manes.02G196125.v8.1 transcript:Manes.02G196125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMKRIENPVHRQVTFCKRRSGLLKKAKELSVLCDAEIGVFIFSPHGKFYELATNGSMQELVERYMKVSGGNMQPDEQAKETQSLDAKEEINMLKKEIEILQKGLRYLVGGGAEHSQMTMDELLMLEKNLEIWICHIRSKKMEIMSKEIQLLKNKEGIMKAANQYLQDKIEESVDFSNFASMSPYPLTIQNEIFEF >Manes.15G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5363824:5366344:-1 gene:Manes.15G070700.v8.1 transcript:Manes.15G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAESARIREKYPDRIPVIVERAERSDIPDIDKKKYLVPSDLTVGQFVYVVRKRIKLSAEKAIFIFVKNVLPPTAAMMSAIYEENKDDDGFLYMTYSGENTFGASVKGYSS >Manes.15G070700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5363824:5365054:-1 gene:Manes.15G070700.v8.1 transcript:Manes.15G070700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSTFYLRFRNSVNHVKQSNIFHCVALIMILLVCNSLYLKLMSICFFFLFNDIPERRQAESARIREKYPDRIPVIVERAERSDIPDIDKKKYLVPSDLTVGQFVYVVRKRIKLSAEKAIFIFVKNVLPPTAAMMSAIYEENKDDDGFLYMTYSGENTFGASVKGYSS >Manes.09G092411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28581419:28588591:-1 gene:Manes.09G092411.v8.1 transcript:Manes.09G092411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFLSRAATTVARSAWLKPISNGPSFFIPPATSPLRFFHEESNGPNASPVALQMIDYALSLAKSQKTDESFAQAMLVLEQCLSSQSSEGQDIVTQNSKGMVLLAMSNLLFGRENYDEAMEKLQKIQDLAHSSLGVKVAAMEALVGLNLELGHDDTSSVLADKCLELLGKDERKRTVGEYVVADARAKAVKGLVELVCGNLGSAESLFQGFQDSEGYVGNAALSYGEFLHATRNLSLAKDVYQKVINEVAENKDFSGMHALAACNMASEEVLLAAICALGQLEAHMGKFSDAEETLTKALNKAEQLFGSRHPKVGVVLTCLALMFRQKAVQEHSTSLLIQEGLYRRTMDLLKAPPLESEGNATMGSSNRRDILALARGGYAQALCVQQNREKEGERMKRWAEAAWKNSRFSLTEVLKTSESSNKLPVIDARIGRIM >Manes.17G120300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34958439:34976467:1 gene:Manes.17G120300.v8.1 transcript:Manes.17G120300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQSSSSSFGGVSSFTCPPNASRLRRSPATVIRAKVEPSEKSVEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLAEHKDSLGAPLCPCRHYDDKAAEAGQGFWNCPCVPMRERKECHCMLFLTPENDFAGKDQKISLEEIRETTANM >Manes.08G059700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6979470:6982730:-1 gene:Manes.08G059700.v8.1 transcript:Manes.08G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRERLMKMAGAVRTGGKGSMRRKKKAVHKTTTMDDKRLQSTLKRIGVNAIPAIEEVNIFKDDIVIQFVNPKVQASIAANTWVVSGSPQTKKLQDILPQVLSHLGPDNLDNLKKLAEQIQKQAPNAGATATAAQEADDDDEVPELVAGETFEAAAEEGNSAAT >Manes.14G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5894986:5897263:-1 gene:Manes.14G071100.v8.1 transcript:Manes.14G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRWIRPEVYPLFAAVGVAVGICGLQLVRNICINPEVRVNKQGRAAGVLENFAEGEKYAEHGLRKFVRKRAPEIMPSVNSFFTDPK >Manes.08G143175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37912029:37912984:-1 gene:Manes.08G143175.v8.1 transcript:Manes.08G143175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLALLALAFSLASAYDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVANDFSFSGLNIPANTENRVGSNVTLLNVDRIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRFISKVLYPGDVFVFPIGLIHFQFNIAKTHAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDKNVVENLQKLFKNNA >Manes.07G039474.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4242199:4242798:1 gene:Manes.07G039474.v8.1 transcript:Manes.07G039474.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRCLIFAALFIALSFDVGLAARHLQQLPPLPKPTLPPMPSMPTLPQPTLPTNPSLPPLPSLPTLPKLALPPLPSIPTLPTTIPSIPFLSPPPGN >Manes.03G050800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4719132:4723571:1 gene:Manes.03G050800.v8.1 transcript:Manes.03G050800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMSPTVAVPFRLGNSVCENSTIDTHLDITRLKLMADAAGLLSDSVMEVSTVGDKGCHYGELDNEVSDTAVAVVEEDKRGGVPLLDMISHNKSNWLVSNDVINRESEDDGTFSLEGDHIFDSSCSLSVASETSSLCGEDFIGFDAISEIGTLSSVDIGKSICNVDIIANAANLESNVESEVVRDSVSVAMSLEEEIRDASDPNPSAVVLQLALGKGASRTVSRSVFEVDCVPLWGVTSICGRRPEMEDAVAIRPHFLKIPIQMLIGDQVIDGMSKYITHQTAHFFGVYDGHGGSQVANYCRDRIHSSLAEEREFIKNDLSDGSIKHSCQEQWKKIFTNCFVKVDAEVGGKGSAKPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARRRILVWYKKNGTAPTSSRGEGVDPAAQAAAEYLANRAVQKGSKDNITVIVVDLKAQRKLKSKT >Manes.03G050800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4719132:4723571:1 gene:Manes.03G050800.v8.1 transcript:Manes.03G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMSPTVAVPFRLGNSVCENSTIDTHLDITRLKLMADAAGLLSDSVMEVSTVGDKGCHYGELDNEVSDTAVAVVEEDKRGGVPLLDMISHNKSNWLVSNDVINRESEDDGTFSLEGDHIFDSSCSLSVASETSSLCGEDFIGFDAISEIGTLSSVDIGKSICNVDIIANAANLESNVESEVVRDSVSVAMSLEEEIRDASDPNPSAVVLQLALGKGASRTVSRSVFEVDCVPLWGVTSICGRRPEMEDAVAIRPHFLKIPIQMLIGDQVIDGMSKYITHQTAHFFGVYDGHGGSQVANYCRDRIHSSLAEEREFIKNDLSDGSIKHSCQEQWKKIFTNCFVKVDAEVGGKGSAKPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARRRILVWYKKNGTAPTSSRGEGVDPAAQAAAEYLANRAVQKGSKDNITVIVVDLKAQRKLKSKT >Manes.10G064000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:10002777:10007647:1 gene:Manes.10G064000.v8.1 transcript:Manes.10G064000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIAAAIISLSKMLVSLRNLFQTGCRLAFRVRPPFCSNPSSHFPSIFSSPSTRPHSSLIYCPLVLLTGCLCMLRFPFVTQSNPTNFMDYLDEGFIRKAIQQDQWNHPEIVNFIDSSLGPIWVSRVLMELKQDPELALKFFRWVKTRFGFCLTTESYCILVHILFYARMYFEANGVLKELILSGRILPGFDVFEVLWSTRNVCLHGFGVFDALFSVFIELGMLEEAGQCFSRMTRFNVLPKTRSCNALLHKLSMTEKGDFTRKFFRDMVGAGITPSVFTYNIMIGYMCKIGDMIAARRLFGQMKQRGLTPDIVTYNSLIDGYGKLGLLDESVGLFEEMEDVGCEPDVITYNSLINCFCKYEQVPRAFEFFLDMKNNGLKPNVITYSTLIDALCKEGMLQLAIKFLVDMRRVGLLPNEFTYTSLIDANCKAGYLNDALKLAKEMLQVHVDFNVVTYTTLLDGLYEEGRMNEAEELFKAMIKDGVAPNLKTYTTLIHGNIKIKQFKNAMEFLKEMQGKNIKPDLLLYGTIIWGLCSQNKFEECNRLMAEMTGCGIKANPVIYTILMDAHFKAGKTMEALDLMQEMSDTGVEITNVTFCVLVDGLCKMGLVQEANDYFSRMPEFDLQPNVAVYTALIDGLCKNNCIEVAKKVFDEMQGKNMVPDKIAYTALMHGNLKHGEFQEALNIRSRMLELGMELDLLAYTSLVWGFSQGGLLQQARNFLAEMIGKGIDPDEILCISLLRKYYELGNIDEAVELHDELVKKGLIKGSINSLVPSVQP >Manes.10G064000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:10002778:10007647:1 gene:Manes.10G064000.v8.1 transcript:Manes.10G064000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIAAAIISLSKMLVSLRNLFQTGCRLAFRVRPPFCSNPSSHFPSIFSSPSTRPHSSLIYCPLVLLTGCLCMLRFPFVTQSNPTNFMDYLDEGFIRKAIQQDQWNHPEIVNFIDSSLGPIWVSRVLMELKQDPELALKFFRWVKTRFGFCLTTESYCILVHILFYARMYFEANGVLKELILSGRILPGFDVFEVLWSTRNVCLHGFGVFDALFSVFIELGMLEEAGQCFSRMTRFNVLPKTRSCNALLHKLSMTEKGDFTRKFFRDMVGAGITPSVFTYNIMIGYMCKIGDMIAARRLFGQMKQRGLTPDIVTYNSLIDGYGKLGLLDESVGLFEEMEDVGCEPDVITYNSLINCFCKYEQVPRAFEFFLDMKNNGLKPNVITYSTLIDALCKEGMLQLAIKFLVDMRRVGLLPNEFTYTSLIDANCKAGYLNDALKLAKEMLQVHVDFNVVTYTTLLDGLYEEGRMNEAEELFKAMIKDGVAPNLKTYTTLIHGNIKIKQFKNAMEFLKEMQGKNIKPDLLLYGTIIWGLCSQNKFEECNRLMAEMTGCGIKANPVIYTILMDAHFKAGKTMEALDLMQEMSDTGVEITNVTFCVLVDGLCKMGLVQEANDYFSRMPEFDLQPNVAVYTALIDGLCKNNCIEVAKKVFDEMQGKNMVPDKIAYTALMHGNLKHGEFQEALNIRSRMLELGMELDLLAYTSLVWGFSQGGLLQQARNFLAEMIGKGIDPDEILCISLLRKYYELGNIDEAVELHDELVKKGLIKGSINSLVPSVQP >Manes.06G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:429134:444234:1 gene:Manes.06G001300.v8.1 transcript:Manes.06G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSVDVILDFLRRNHFTRAEAALRSELSKRPDLNGFLKKLNLEDKELGKVLDEENGKPGTDRQVFGSQNSFEVSNELIIKEIECGTSRNESESKWRNSALAGEWSSKPNEATGTMASEDSVLDLYSWNFNARNGHSSDPYQNDGGNTDNCSSRAIAKSGEEVIFSGESRGLWPGSTSTANAKAEANYERILTSESKELDQQLKTPVVYPAENTWSRSEGTSTAATWNDCPVKTVLPFPKGEVSISYDVNTGLEKREGKKKANIGDVRVAIKEQVDEVGRALYFGKSQGNTDQKNLSDLSFSLASDNQREEFPRLPPVKLKSEDKPLNINWQEKFERDGPVVKHSSTDNTFLIGSYLDVPVGQEINSSGGKRIAGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESIDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGPIKDEDDDQSFAEEDSYFSGEQYFQSKTVEPITAADDPIGLSTQMYRTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKTQNDCGRPRLDDICMDDDQHGSVRSIGVGINSDAADFGSEVRESLVGGSSEGDIEYFHEHDVGIGGSRPSHHESDKKNVDRHNGDKKRISKPDSNKYIAGNDKVVSSQVKDHRDGGFSFPPPLRDGQSGQAAPGKSVWLNNSKTIIGAETDDCMNASTATDDMLAAWRQKSSDSSTVKSSRDENNINTVRSAASSPSTLSNYGYAEQEHAKKEDEKVGFAREEDPGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPINQVMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVAHLLEINPKKRPSASEALKHPWLSYPYEPISA >Manes.08G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2509889:2513966:1 gene:Manes.08G025500.v8.1 transcript:Manes.08G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGGLHAPSSPSIITPERNLCSTIVPSFCKNYKCITTLPSLVCRSSRLSIQCAVRLRPCIDIHQGKVKQIVGSTLRDSKEDGSSLVTNFESDKSASEFANLYKEDGLVGGHVIMLGADPLSKAAAVEALHAYPGGLQVGGGINTNNCLSYIEEGASHVIVTSYVFNNGQMDLERLKDLVQVVGKQRLVLDLSCRKKEGRYAIVTDRWQKFSDVYLDEEVLEFLANYANEFLVHGVDVEGKKLGIDEELVSLLGRHSPIPVTYAGGVTVMNDLERIKTAGNGCVDVTVGSALDIFGGNLPYKDVVAWHVQQQQETLTV >Manes.03G125501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25342752:25346051:1 gene:Manes.03G125501.v8.1 transcript:Manes.03G125501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVPVLATSSTGAAVQSQVTEADALLRWKASLDNHSQSFLSSWSTTAGSHCFWFGIHCNEAGNVSNISLTDSGLKGTLQSFSFPSFPNLVKLNLSNNSFHGNIPSHIGNLSKLNILDFSVNELSGSIPQEIGMLNSLIYIDLSNSFLTGTIPASIGNLTTLPILYIHMNQLSGSIPQQLGMMKFATAIDFSVNNLTGGIPTSIGNLTNLRVLSLYGNQLSGSIPEEIGMLSSLTELALSKNNLTGPIPASIGNLTELSYLYLTDNQLSNSLPREIGKLTKLTTLFLEMNELSGTLPSEMNNFTLLEVFIIYSNRFTGQLPQDICIGGCLKSFAINGNDFTGPIPRSMRNCSRLMRLHLEANQLTGNISEDFGTYPQLNFMDLSDNRFYGELSWKWEGFSNLSTLKISNNNISGIVPADIGMAAQLHLLDLSSNHLAGMIPKELGKLRLFQLSLDDNELSGGIPEEIGLLSDLERVNLAANNLSGPIPKKLGDCSKLLFLNLSKNQLSESIPVELGNLGSLESLDLSQNLLTAEIPPQLGKLQRMELLNLSHNLLSGSIPTTFDYLSSLTVVNISHNELEGPIPHNKAFQEASFEAFQNNRHLCGNNTGLEACVSVAINKSIRKKDSKLVLVIIIPLICSLFLLGVLVGGFLVLRKRIRSRETNSGEGEPSGEDIYAIWGRDKDMQYENIVEATEDFNSKYCIGEGGYGIVYKAVLPTGRAVAVKKLHQSQNGEITDFKAFKSEICVLMNIHHQNIVKLHGFCSHPKHAFLVYEFIERGSLKNTLSNEEQAVELNWFRRLNVVKGIANALSYMHHDCSPSIIHRDISSNNVLLDSEFEAHVSDFGTARILMPDSSNWTSFAVTFGYSAPELAYTMMVNEKCDVYSFGVVTTEILMGRHPGDFISSLSSSFSIPSSSLMDENTPLKDVIDQRLPTPQNKSAEGIIHIARIAHACLNGNPQSRPTMKQVSSHLMDKWHPLAKPFSEVKLGEIYSFKDDSVVKP >Manes.15G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1963026:1964552:1 gene:Manes.15G025200.v8.1 transcript:Manes.15G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGFPPQPEQEGLQPGIEYIMHPLPQPLNPHYKPSNKLLGKVAVVTGGDSGIGRAVSLYYTLEGATVAFTYVKGREDEDKDHTLKMISELKVEGAKDPIAIPTDLSYEENCKRVVDEVIAEYGQIDILVNNAAEQYYTTNIEDVNETRLERLFRINVFSYFFMSRYALKYMKQGSCIINTTSLVAYAGNSKLLDYSSTKGAIVAFTRSLSLQLIEKGIRVNAVAPGPVWTPLEATSLPPHELAIFGSEVPMNRAAEPYEIAPAFVFLACNVCASYITGQVVHPNGGTIVNA >Manes.16G022400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2452359:2453055:-1 gene:Manes.16G022400.v8.1 transcript:Manes.16G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRTVINNRKDSRRFYGRPIPKRGQVKVAIVVGLAHSVASIFSVSSRRAGHTHLS >Manes.09G065800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10839031:10840317:-1 gene:Manes.09G065800.v8.1 transcript:Manes.09G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPSRKVKKRRYSHKTARRSKFLVKGDDAVYEELQKPDVEKKALPLDEDLPGMGQYYCLHCDRYFANISIRDEHFKTKRHKKRMKQMMGPAPHTQLDAELAAGMGMPDNGPKLMSM >Manes.06G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23270548:23293978:1 gene:Manes.06G098800.v8.1 transcript:Manes.06G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNAVKEALNALYHHPQDEVRSKADEYLQGIQRSIDAWQVADNLLHDPTSNMETLIFCSQTLRSKVQRDFEELPSEAFRPLRSSLNTLLKKFHSGPPKVRTQISIAVAALAVQVPAEDWGDGGIVNWLRDEMNSHPEYIPGFLELLSVLPEEVYNYKIAARPERRRQFEKELTSQMELALNILTACSKINELKEQVLEAFASWLRLRHGIPGSVLASHPLVVAALSSLNSELLSEAAVNVVSELIHYTTSGSSGGILVQMPLIQVLVPQVMSLKEQLQDPSKDEEDVKAIARLFADMGDSYVELIATGSDESMVIVNALLEVASHPEYDIASMTFNFWHSLQVILTRRDSYISFGDEVSIEAERSRRLLVFRPAYESIVSLVSFRVQYPQDYQNLSIEDLKDFKQTRYAVADVLIDAASVLGGDATLKILYVKLAEAQACWGNGHSEWRPAEAALFCIKAVSNYVSDVEAEVMPKVMSLLLELPHQPQLLQTVCLTIGAYSKWLDAASDGLPLLSSVMKILMHGMGTSEDSAAAAAVAFRHICNDCRRKLCGYFDDLFPIYHRAVIGEGSFRISAEDSLHVVEALSMVITELPPDQAKQALEKLCMPVVNSLQGVINQGPEILEKRPARELTVHIDRLAYIFRYVNHPEAVADAIQRLWPLFKAIFDIRAWDMRTMESLCRACKYAVRTSGRFMGITIGAMLEEIQALYQQHHQPCFLYLSSEVIKIFGSDPSCAYYLKNLIEALFKRTICLLTNIKEFTARPDIADDCFLLASRCIRYCPQLFIPSAVFPMLVDCSMIGITVQHREASNSILTFLSDIFDIAKSSVGEQYLSIRDSVIIPRGASISRILIASLTGALPSSRLETVMYALLALTRAYGANALEWAKESVSLIPLTAVTEVERARFFQALSDAASGIDINALMVPVEELSDVCRRNRTVQEIVQGALRPHELNLITVS >Manes.01G090400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29185561:29188163:-1 gene:Manes.01G090400.v8.1 transcript:Manes.01G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLARNRRTVNRAYGGVLSGGAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASRS >Manes.01G194900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926197:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHGIDSEFDSPISQTSAEGTGQETKLDNELCSGQVDAVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKQEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926216:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSEDKMGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHGIDSEFDSPISQTSAEGTGQETKLDNELCSGQVDAVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKQEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926197:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHGIDSEFDSPISQTSAEGTGQETKLDNELCSGQVDAVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926216:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHGIDSEFDSPISQTSAEGTGQETKLDNELCSGQVDAVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926216:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKQEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926216:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHGIDSEFDSPISQTSAEGTGQETKLDNELCSGQVDAVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKEGSRTLGT >Manes.01G194900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926216:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSEDKMGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHGIDSEFDSPISQTSAEGTGQETKLDNELCSGQVDAVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926213:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926213:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKQEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926216:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHGIDSEFDSPISQTSAEGTGQETKLDNELCSGQVDAVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKQEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.01G194900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926216:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHGIDSEFDSPISQTSAEGTGQETKLDNELCSGQVDAVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKEGSRTLGT >Manes.01G194900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36926216:36931661:1 gene:Manes.01G194900.v8.1 transcript:Manes.01G194900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETATSGRALQVSVSLGRFENDSLSWEKWSSFSQNKYMEEVEKCATPGSVAEKKAYFEAHYKKIATRKAEQLSQEKQVEHDSLGSNDQNSGDLIGKAHVDEDAAISIEGQGSLIETLEEDLDSRLNGPTLKRTEEACLAKEEKNLYTESQEMKDSPKNLDKEIESIVAIKEENIKLDHRKESEKTSPLHKIRDMTRIKKKVASPVAKSAQVSTPKAPETMPTSSTLSTLQPFTKKVTGSSLPEGDNLSVGGSKKVISKSLHSSLSLDAPNSDPATHAPPPITATRSSFIMEKMKDKDIVKRVFKTFQNNFNQLKASAEERSLAAKQVTTKGTEAKVSNSLTPQKENAGSFKTASMDKKTGKAAPSSFGLKSDERAENRKEFSKELEEKWKAKDAKSTCLQTKSKEKEVEIRKIRHSLNFKSTPMPGFYRGQKVSKSPSDKEGSRTLGT >Manes.04G120100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32106559:32108634:1 gene:Manes.04G120100.v8.1 transcript:Manes.04G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATPLRLPVIDFSKEELKPGTEEWESVKSQVRKALEEYGCFEATFNKVPAELRQGIVGAMEELFELPLETKLRNVSKKPFHGYVGQYPQAPLYESMGIDEANVSENVENLTSVLWPQGNPTFSKTVQSYAEQVSELDQIVRRMIVESLGLEKYMDEHMNSTTYLLRVMKYKGPQTTETKLGLHPHTDKNIVTILYQNQIDGLEVKTKDGEWIDVKLSSNSFVVMIGDSLLAWTNGLLYSAYHRVMMTGNEARYSAGLFSIPKAGYTIKAPKELIDEEHPLLFKPFDHVEFLKFYYTEAGQRAESALKTYCGV >Manes.15G016600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1335663:1338789:1 gene:Manes.15G016600.v8.1 transcript:Manes.15G016600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASSNIQGFLPLDIALKIASTLEVLDVCALGSCSRFWRELCGSDCVWEFLTRERWPLLTFPNNSSSSDPVIKGWREIYIKMHREMAGKATTVVEFVENCSSSELLEVGDYYKAIEDLCSMQLSFRDVQMFLFKPKLNVLLNLVGLHYCIFCLQVPAGHVMDALLSCKISERQVCVKWWKLGRWIYGFRMRDESHSRMVTLADLLTNKGGLVLGVLRRGAIHEVLRVEICIPNVASTPWSWRSSQQQN >Manes.15G109000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8732004:8734621:1 gene:Manes.15G109000.v8.1 transcript:Manes.15G109000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKCETATETDFLLQWGTKKRLRCVNVKNDQNLANKSKPIDSLPKKKFTTRIVTAEKESPSPAIKNCDLPMNSRRSSAVSPEKEDRYYTTRGSLGLDENGKVLVDNLKEDKGFVWPKLFTTLSSKEKEEDFMAMKGCKPPQRPKKRAKLIQKSLLLVSPGAWLTDLCQERYEVREKKTSKKRPRGLKAMGSMESDSE >Manes.15G109000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8731984:8734916:1 gene:Manes.15G109000.v8.1 transcript:Manes.15G109000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKCETATETDFLLQWGTKKRLRCVNVKNDQNLANKSKPIDSLPKKKFTTRIVTAEKESPSPAIKNCDLPMNSRRSSAVSPEKEDRYYTTRGSLGLDENGKVLVDNLKEDKGFVWPKLFTTLSSKEKEEDFMAMKGCKPPQRPKKRAKLIQKSLLLVSPGAWLTDLCQERYEVREKKTSKKRPRGLKAMGSMESDSE >Manes.15G109000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8732004:8734621:1 gene:Manes.15G109000.v8.1 transcript:Manes.15G109000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKCETATETDFLLQWGTKKRLRCVNVKNDQNLANKSKPIDSLPKKKFTTRIVTAEKESPSPAIKNCDLPMNSRRSSAVSPEKEDRYYTTRGSLGLDENGKVLVDNLKEDKGFVWPKLFTTLSSKEKEEDFMAMKGCKPPQRPKKRAKLIQKSLLLVSPGAWLTDLCQERYEVREKKTSKKKGREVITKDLRA >Manes.15G109000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8731985:8734144:1 gene:Manes.15G109000.v8.1 transcript:Manes.15G109000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKCETATETDFLLQWGTKKRLRCVNVKNDQNLANKSKPIDSLPKKKFTTRIVTAEKESPSPAIKNCDLPMNSRRSSAVSPEKEDRYYTTRGSLGLDENGKVLVDNLKEDKGFVWPKLFTTLSSKEKEEDFMAMKGCKPPQRPKKRAKLIQKSLLLVSPGAWLTDLCQERYEVREKKTSKKRPRGLKAMGSMESDSE >Manes.15G109000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8731983:8734914:1 gene:Manes.15G109000.v8.1 transcript:Manes.15G109000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKCETATETDFLLQWGTKKRLRCVNVKNDQNLANKSKPIDSLPKKKFTTRIVTAEKESPSPAIKNCDLPMNSRRSSAVSPEKEDRYYTTRGSLGLDENGKVLVDNLKEDKGFVWPKLFTTLSSKEKEEDFMAMKGCKPPQRPKKRAKLIQKSLLLVSPGAWLTDLCQERYEVREKKTSKKRPRGLKAMGSMESDSE >Manes.01G112700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31077935:31078875:-1 gene:Manes.01G112700.v8.1 transcript:Manes.01G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSGSVLCAVVMVAAVMLMTEVRLSNAATCNPASLSVCLPAITSSTPPSSACCSNLKQQKPCFCQYLKNPNLKQYINSPNARKVASTCGVAIPKC >Manes.12G045700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4091530:4094475:1 gene:Manes.12G045700.v8.1 transcript:Manes.12G045700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAKQKIHKDKDAEPSEFEESVAQAFFDLENTNQELKSDMKDLYINSAIQIDVAGNRKAVVIHVPFRLRKAYRKIHVRLVRELEKKFSGKDVILIATRRILRPPKKGSAVQRPRSRTLTAVHEAMLEDIVLPAEIVGKRTRYRIDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEFPVTEA >Manes.04G147300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34452639:34456163:1 gene:Manes.04G147300.v8.1 transcript:Manes.04G147300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSWMQNKLNGNKQGVNGKPNAASSTYNVKQDSREEFSDWPHGLLAIGTFGNNELRSENVEIQDVQEEEEDPSSSEDLQDFTAEEIGKLQKELTKLLSRKPTCDKEKEVAKTLPLDRFLNCPSSLEVDRRISNTVTSDMDDNEEDIERTISVILGRCKDICEKNKKKAIKKKSISFLLRKIFVCTSGLAPQPSLRDTLQESRMEKLLRTLLHKKINHQNSTRASSVKKYIEDGKQKSKKENEKEEGKRNKTCDGSKWVKTDSEYIVLEI >Manes.04G147300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34452639:34456163:1 gene:Manes.04G147300.v8.1 transcript:Manes.04G147300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKLNGNKQGVNGKPNAASSTYNVKQDSREEFSDWPHGLLAIGTFGNNELRSENVEIQDVQEEEEDPSSSEDLQDFTAEEIGKLQKELTKLLSRKPTCDKEKEVAKTLPLDRFLNCPSSLEVDRRISNTVTSDMDDNEEDIERTISVILGRCKDICEKNKKKAIKKKSISFLLRKIFVCTSGLAPQPSLRDTLQESRMEKLLRTLLHKKINHQNSTRASSVKKYIEDGKQKSKKENEKEEGKRNKTCDGSKWVKTDSEYIVLEI >Manes.13G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33220594:33223022:1 gene:Manes.13G124500.v8.1 transcript:Manes.13G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSVPAPFLTKTYQLVDDPSTDDVISWNETGTTFVVWKTADFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANENFRRGHKELLSEIRRRKTVNPTATQTPVACKSGAGGGLTTSLSNSGEDLGSTSTSSQDSKNPGSVETAATMTTQFADLSGENKKLKKDNEMLSSELAQAKKQCDELIAFLTEYVKVGPDQINRIMRQGSCCGSTLDGLVSGITAGTDDHNDDDDDDKNGRNDDGEDAGGSGESFKLFGVLVKGNTKKRGRAENLGFSRPHAKEIKI >Manes.10G107366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25826868:25828567:-1 gene:Manes.10G107366.v8.1 transcript:Manes.10G107366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAGHPHKRVPPWELEPRNVRAKTSSTGVTSQIRPSKAECSIVYEQGEGGTEKEGETEVAIQSQDTEQLGLASAEHPILPEFLTSFDSSLFGDTSEDFYEDFYGFPLLKSHASDLNKIYCIEGKFWENCMLERANTIAAMLGELGSALSLKEKTWMSMSPDELTTIIQSVGDALKVGFKLDCLKPVLEKAKTVLWSFKTRCRLEALQKEKNFLETQLQSVISQIQSLELELISNSEGLDLECRCLASIFS >Manes.09G059183.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9564404:9586886:-1 gene:Manes.09G059183.v8.1 transcript:Manes.09G059183.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSLIASQLFIFILLAISALLLPCIVIPSWYKIVQHMKEKVDLNANILRSGLLFQIENTAKLLQPINSSAANLARILSSSLNGSDLSQFDLQNKVTPILFQTISIIPHISQISYIGLEGNIFAYYVEGNQTFAMYSNSTASSNSSFTNEPVKYTCYKQPVDTDTGRLYGDASESWFNILANASWIQEALASSNGYASLGNGWNTAHDLLLLNSVTIHGQGVISLGFPVKALISFFMDIDLYGGSLYLAAQNGEVLANGLPNTQIVVIGKSVSFNLFKPNGDQIIVGDVSCVPNNGVLRPSILNIGEMKYRIFCSRLQIVGVQSVYALAFPYNGFASNVHRITKIALILLMVMIAAVFISILSFVLLMVRAATREIYLCSALIKQMEATQQAERKSMNKSLAFARASHDIRAALAGITGLIEISCEEACPGSELETNLHQMDGCAKDLVGLLNSILDTSKMEAGKMQVDSEEFDLAHLLEDVVDLFHPVGMRKGVDVVLDPCDGSILKFSQVKGDRGKLRQVLCNLLSNAVKFTSEGHVLVRAWARKPGIENNIIASNRNGFWKHLSCRFTENKEDNEVEAMNSVKQNPNCMEFVLEVDDTGKGIPKEKQRSVFENFVQVKETTLGQGGTGLGLGIVQSLVRLMGGDIKIVDKENREKGTCFRFNTFLIASAGSPSTSNTMCDIETGFCNAHQYYSVSTPKLKICGFSPRLSKLSSSPKTEGSHVVLMIQTAERRRIVHKFMESLGIEASVVRKWECLHSTLTKIKSEQNVSPYKSSERSDFGSRSEISSSKSKDVPLSALDGIEERLPSQRGGGNFRHSRSFILLVIDTSAGPFQELYGAVTEFRRGLRRSYCKVVWLDKPTSRSINPGSLEEDMIHPDDDILLKPFHGSRLYQVIKLLPEFGSTLHHRVPSAKTKREFTYHGGKFVRDPGTSLAMHSRSRKRSSTLQYYGHSLPLGEGSSRRGKHRKQRHYLARRYSVGSSEMEVEQEEEKVEEFHGNQSNDKPLSGLRFMVAEDNSFLRNVAMVNLSRLGASVELCQNGEEAVQLVLLGLQEQRKHEAYSTSPYPPYDYILMDCEMPVMNGYEATRQIREEERSYNIHIPIVALTAHTSGEEWEKMRNAGMDYHLCKPLSRESLLEAIRHIHDGPAPKNCLLPNSTFQIANHVILSSMMLWQLPFLMKKLLNSLCILSKMREQMQIKITFCKSQPMVVKLLSYLYK >Manes.09G078209.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21210986:21211687:1 gene:Manes.09G078209.v8.1 transcript:Manes.09G078209.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTEHQELLEGGRVVRDKYQLLRRILQFLVAGLSLFSLFLCFYMGFSLFPKSFCVYFNARLLSLFAHTLERKYMFLIYNGILAFLAKSSISCTSSSSRFDLVDQLSPMKPTVADIASIQEVALETLQKGEGEDLTVEDEGNEEERGGGLVWKNEEDDQEEEEENEEVASTEELNRKVEEFIRKMKEEIRVEAYQQLIAV >Manes.07G105600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31193043:31197593:1 gene:Manes.07G105600.v8.1 transcript:Manes.07G105600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKLTMASSFCCKPYKWARTVTATSPSASTTASSAATAAPFITPHISSPSLNLALNRNRSDWRRLTPCPSFTSNSTYYNSNGNNLLGFAFCGQKRFSLFFCNSSSSSNAPKTGGFSGSDSSSTESGLVDMGSEDKVDEIDWYENNNLSSRNQPPSPSLQNHHKQQDSYNKLLTLPTVLTLGRVAAVPLLISTFYVDSCWGRTATTSIFIAAAVTDWLDGYLARKMRLGSAFGAFLDPVADKLMVAASLVLLCTRPLEVAFFGQLPWLLTVPSIAIIGREITMSAVREWAASQNSELLEAVAVNNLGKWKTAIQMIALTILLATRDSSLGGPGILVPTGVILLYISAGLSVWSLAVYMSKIWRVLLK >Manes.08G029500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2835763:2838476:-1 gene:Manes.08G029500.v8.1 transcript:Manes.08G029500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLFPFVIPFTCLSYFILLSALASGSETKLSYVTRELLESAREPEFFNWLKRVRRRIHEYPELAFEEYNTSEVIRSELDSLGIKYIWPIAKTGGVGSVGSGLETWFGLRADMDALPIQVLSLGFIEAGQAGNVIPEKVRFGGTIRSITTEGLSYLQQRIKEVVENQAAVHQCSASVDFMEETMRPYPATVNDEAMYEHAKQVGEALLGESNVQVAAPIMAAEDFSFYAQKMKAAFFQIGVQNKNEKSFKRLHTPFFFLDEEVLPIGAALHAAVAISYLDNSAVETH >Manes.11G092050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14438986:14439703:1 gene:Manes.11G092050.v8.1 transcript:Manes.11G092050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKQKSRNEKLDDYLRIFFSEARERKGESEFTGSVIVNFMIHNNGLEIITSSTTTYVYSPLKQERDKKRAS >Manes.01G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6565041:6567033:-1 gene:Manes.01G033800.v8.1 transcript:Manes.01G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRGDLLTRTRKLVKGLAKAEPAWLKAMEQAPPATFPRTDGKVKKISLPEDPHVNTFFKLHPNSIYDDAIKISGFEAPPARVFGLRMLELMEQGVGREEALDVANMEYLAEKKAKKKAYTRLKQIARAQGKRPPPNPYPSAVKEVQDEERKYVRDRFFNPNVRQILNRMKEEKAIAFEARDGERGGW >Manes.03G152600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28053866:28059082:1 gene:Manes.03G152600.v8.1 transcript:Manes.03G152600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSKRVCVFAAVLVVMFVGVLATVQNDGISGVTRKEETEKLQTSKNSSMAASSGEEIEAWKNEHAVDDPEEVVALVQMGIRNSTQRRRLGYFSCGTGNPIDDCWRCDPNWQKNRKRLAECGIGFGRNAIGGRDGRFYVVTDPNDDDPVNPKPGTLRHAVIQDEPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGACITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWVDHNSLSHCADGLVDAVMGSTAITISNNHFTHHNEVILLGHSDSYTRDKQMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYNAPANPFAKEVTKRVETSTGIWKNWNWRSEGDLLLNGAYFTPSGAGASASYARASSLGAKSSSMVGAITANAGALPCRRGRQC >Manes.12G022700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1953952:1956489:-1 gene:Manes.12G022700.v8.1 transcript:Manes.12G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQAAKIPEVKLRSSSGNGDMPVMGLGTAADPFIESAMKVAILDAISLGYRHFDTAAMYGSENVLGEAVVEALKLGLIDSRQELFITSKLWCSDAHSDLVVPALQNSLRNLQMEYVDLYLIHWPISSKPGRYEYPMPKEELQAMDYKSVWAAMEECQRLGLTKSIGVSNFSCKKLETIFASATIPPSVNQVELSPVWQQKKLIEFCKTHNVIVTAFSPLGAKGANWGSNLVMENQVLQEIAEKLGKTVAQVALRWIIEQGATLVVKSYKKERLKENMEIFDWTLSKEDMEKISEIPQQKIMLKEEFVSPDGPFKSVEELWDGEL >Manes.07G126700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32935049:32941103:1 gene:Manes.07G126700.v8.1 transcript:Manes.07G126700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLINRRGVQRFRQLAIGAAGSVKIKLLLCCCIVFMMLALAGRASDFMRWTKHDVIADQLSVPGKGYAIVVNTWKRYDLLKQSISHYSSCAGLDSIHIVWSEPNPPSESLVEHLNHILQSKSKVEFAFSVWQSAPDNMVGFVPRSHWIDQLEGKKDYYVYGGWWSVWWTGTYSMVLSKAAFFHKKYLSLYSNEMPASIREYTTKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHSERRTTCVNRFVAEYGRMPLVYTSVKTVDSRRAWFW >Manes.07G126700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32935049:32941103:1 gene:Manes.07G126700.v8.1 transcript:Manes.07G126700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLINRRGVQRFRQLAIGAAGSVKIKLLLCCCIVFMMLALAGRASDFMRWTKHDVIADQLSVPGCVLDKIIQKGYAIVVNTWKRYDLLKQSISHYSSCAGLDSIHIVWSEPNPPSESLVEHLNHILQSKSKGARRAELKFDINKEDSLNNRFKEIKDLKTDAVFSIDDDVIFPCSSVEFAFSVWQSAPDNMVGFVPRSHWIDQLEGKKDYYVYGGWWSVWWTGTYSMVLSKAAFFHKKYLSLYSNEMPASIREYTTKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHSERRTTCVNRFVAEYGRMPLVYTSVKTVDSRRAWFW >Manes.07G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32935034:32941103:1 gene:Manes.07G126700.v8.1 transcript:Manes.07G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLINRRGVQRFRQLAIGAAGSVKIKLLLCCCIVFMMLALAGRASDFMRWTKHDVIADQLSVPGKGYAIVVNTWKRYDLLKQSISHYSSCAGLDSIHIVWSEPNPPSESLVEHLNHILQSKSKGARRAELKFDINKEDSLNNRFKEIKDLKTDAVFSIDDDVIFPCSSVEFAFSVWQSAPDNMVGFVPRSHWIDQLEGKKDYYVYGGWWSVWWTGTYSMVLSKAAFFHKKYLSLYSNEMPASIREYTTKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHSERRTTCVNRFVAEYGRMPLVYTSVKTVDSRRAWFW >Manes.04G163600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35719648:35726336:-1 gene:Manes.04G163600.v8.1 transcript:Manes.04G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPHLHTPLRPSSASSNQSFTSRLILLLTLLPLSLAALAFALQWRGDRGLADPTTINTRWAPQGSHNHEIFPGMETSPSIPKPHHPSDCFSLARSGSPSFPYFRDWKFDFEANLRPKICITTSTSAGLDQILPWMLYHKVIGVTTFFLFVEGKAASPSVIKVLESIPGVKVILRTRELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGIDWILHLDTDELIHPAGSSEYSLRQLLLDVPGNVDMVIFPNYESSVERDDVKDPFTEVSMFKKNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKSVARIQDHLRPNGAHRWHNYMKTPNEVKLEEASVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLNWYHEHVVWGDKDLKLKLLRKGILTRIYSPMVIIQALRESGVFSSIIASAQTTISKDKFLASIDKSNSSRAVASESLPSRKMGRNRENQAAARKVLGLEDTISYEAAVPPLPSPGVDDEFLMLV >Manes.15G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8264842:8266810:1 gene:Manes.15G104100.v8.1 transcript:Manes.15G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTMHSSPSMLVLIALCAILIESSGGLAQAKLSPTFYDQSCPFVSHIIREVIADALQTDKRIGASLIRLHFHDCFVQGCDASVLLDNSDTILSEKEALPNNNSLRGFDVVDKMKAWLEFACPGVVSCADILTIAAQESVALSGGPIWRNQLGRRDSRTANRSLANTNLPGPFLPLQGLKSAFTAIGLNNHTDLVALSGAHTFGRAQCGGFIHRLYNFNNTGRPDPTLNPTYLRILRKICPQGGNTTVLANFDPTTPDTFDNDYYSNLLVGKGLLQTDQELFSTPGADTAKIVKKFSANQTAFFESFVVSMLRMGNLKVLTGTAGEIRLNCRKVNGDSSGGADTLLVSSM >Manes.06G011300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1813955:1827087:-1 gene:Manes.06G011300.v8.1 transcript:Manes.06G011300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKGFLLPFLLMIIHFAVSASMDTIAINQTIEDGGFLISKEKNFVLGFFSPGNSNYRYLGIWYYKVREQTVVWVANRNHPINSSSGVLSVNQYGNLVLYSNHNRKVPVWSANFSREVKKTDTCCVAQLLDSGNLILVQERSGRVLWESFDYPTDTQLPGMKLGLNRETGIHQFLTSWRSADDPGTGDYVLELNLKGSPQGILYKGTKRYWRVVPWPRTNYVLNNISFVHNQKETFFTFFPVDASLILRTRLEYSGLVSHLIWHESEAKWKELRSAPKNLCEFYGHCGPYSMCNSLYLYPKFECDCLPGYEPQSPRDWNFLMDGSGGCVRKRKESSSLCNQGEGFVKVTGVKVPDTSEAVWLGLNMSPVDCELQCKRNCTCSAYSSTSISGKETGCLAWYGELTDTVIGIAEGSDIYVRVDALELAEITQKSNGFLEKKHLLAILLVSIVSAWFVIILFAYLWFKKKRKTVRNKWNAKLFNTINDPYYIENEDGGGVSYPEIVYFNLSTILSATDNFSLANKLGQGGFGLVYKGQLSNGQEIAVKRLSKSSGQGIKEFKNEVLLIAKLQHQNLVKILGCCIQGEEPMLVYEYMPNKSLDSFLFDETRRSILDWRKRFDIIVGIARGILYIHQDSRLRIIHRDLKTSNILLDKEMNPKISDFGLARVFKGDQSQEKTEKIAGTFGYMSPEYVAFGEFSTKSDVFSFGVILLEIVTGKKNNSYYQEDSYLNIAGKVS >Manes.13G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32042093:32043305:1 gene:Manes.13G114400.v8.1 transcript:Manes.13G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEAHNVKVLGSGLQVLVLSHSFGTDQSVWKYLVPYLLEDYRVILYDSMGAGSTNPDYFDFERYSTIDGFAYDLLAILDELEVKSCIFIGHSVLSMAGAIASVSRPDLFSKLVFICGTPRPLNDKDYHGGFEQADLDQMLKGMRSNVEAWCQGFAPMVVGGDMDSALLQEISRTLFNMRPDIGLSLTQVKFQCDTRHILPLVKVPCHILQSAKNMVPVEVSEYMHQHLGGPSVVEIMSSKGHLPHLSSPDIVIPVILRHINLDITK >Manes.05G147300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24961409:24961822:1 gene:Manes.05G147300.v8.1 transcript:Manes.05G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLWSERRGPGWKQGWTEQTLASVSPPPFPLLAIFFIIFLLLFVSSYFNFKDQMEHTVINFNLFLLFLPVLLILVAQVLSKCESFIVPATKAEYGRIRRSWDLPWGVVALVVVLLVMLSYQSSFRSVWSPIVWRSV >Manes.07G140750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34226429:34228692:1 gene:Manes.07G140750.v8.1 transcript:Manes.07G140750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMELLDLLIKGKTEESNVVQAAIWYGLVVHFRIYPIIYALPVVLVLDPCFFQPGQRPLLVNWKSSQQKPSQSSLGGPRERGIWSLLKRIFTRERIKFGLIFGTVFLSCTALFFYLYGWEFLNEALLYHLTRTDPRHNFSIYFYHIYLHYEHEFSIVEKLISFLPQLIVQLVLIFCFAPDLPFCFFLQTVVFVAFNKVITAQYFSNMKLRWVGISCMLVWMGAQSHWLFWGYMLEFKGKNIFLPKLASLLFLAANTFVVVMLIRHHRCCPMFRRLEHSSSKKSE >Manes.06G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9559776:9560995:-1 gene:Manes.06G035100.v8.1 transcript:Manes.06G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRCEIFCEILIAVLLPPLGVCLRHGCCSVEFCICLLLTILGYIPGIIYALYAIVFVNRDEYFDEYRRPLYSSY >Manes.11G126400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28840123:28842602:-1 gene:Manes.11G126400.v8.1 transcript:Manes.11G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENDNHYLPLYKAALHGDWISAKRIFDSDSNALTAKILGLHETALHVSISAGHSIEFVQKLVDRMSADELGIKTKYGDTPLHYAGVAGNTAAAKLLVNKNPKLPQERNSGNDTPLHRAAAYAHEDTVQYLLLVTEDEEDPIRSSPFTDEDGVRLLNLLIIADFYSIALSVLQRYPGLARKRDYNGFSALDRLVEKPHAFLSGSRLGFFQRFLYHYFAVTSADKTSVHQGKDVETPGGSFDEYKNESLQFQFLQHIQKTKVMHKQAMELLRFLISEALKGSVLEADNLLGPPTRIAAILGIREFVTEMIKSYPGTVWLRNMAGQNIFLLAVKHRQEKIFNLLYQMGTHNIFAGTINQGSGKSCPTIIQRTDKQ >Manes.06G017300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2862209:2863342:-1 gene:Manes.06G017300.v8.1 transcript:Manes.06G017300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDIQGKLRLALGTVKDHAAIGKAMIINNHEGKSFSDIEIAVLRATAHDNLPIDDKHMHQILFLVSNTPGSIPFLAEMISRRLAKTKHPLVTLKSLLLIHRILRGGNRYFEQQLRSSHISGHLQISTSLFFRNSKSSSDPYVSFLHKYSAYLEERIGWVINQAGKLEPVNVMSQGLQFRSYDDKWVDIIFHKLPKCQVFIDKVLGCSPIPPFDNLAQAAMSNTLKESFQVYMTYCEGVAALVNMFFDLTMPARALACQILQKASKQSQELQNLYENCKRVIDNKNLEYPEVQIITMDHVKALEKCSEYHSLTLECLENKPTKWRAKGGDQKDHTTDNFSLSPALFSCTMETKISKVWVVFEDEDHQENFPCQEAKS >Manes.06G050800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17516375:17521121:-1 gene:Manes.06G050800.v8.1 transcript:Manes.06G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGLETKQAESIMAEETCSAKAAGKQGEGLRQYYLQHIHELQLQVRQKTHNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRLDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Manes.14G068700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5701117:5705401:1 gene:Manes.14G068700.v8.1 transcript:Manes.14G068700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWVPELQHYAPGVPVVLAGTKLDLREDKHYLADHPGLVPVTTSQGEELRKQIGAAYYIECSSKTQQNVKAVFDSAIRVVIKPAQKQKEKKKKPNRGCFLSVTLFLALLRVTCFIFECLICEDLWHYILLHVNGLISLVSLLQEFSLKYFLYIK >Manes.08G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38639257:38639582:1 gene:Manes.08G144400.v8.1 transcript:Manes.08G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFFSAVLAGYFAWRTVRSSPEIEGINPVDSAVERTTLNDKQEFDVKKTIQNGFWLFVDMASGKYLWRNLKEMRN >Manes.08G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3373749:3374915:-1 gene:Manes.08G034900.v8.1 transcript:Manes.08G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPLAANFAKRLQGKVALITGGASGIGESTARLFAQHGAKVVIADVQSDLGHTVSEEIRSEFGQPVSYIQCDVSKDSDVENAVKTAVSMHGKLDIMFNNAGITGKYDPSILSLQREDFKKVFDINVYGGFLGAKHAAKVMIPEKKGCILFTASIASVSYGGIPHAYTAAKHAVVGLTKNLAVELGKHGIRVNCISPAGIPTPLAAKVMGGVDLKTVQEASMATANLKGVKVEANDIAEAALYLGSEESKFVSGLNIVVDGGNSLRSSYLS >Manes.17G026529.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:15551991:15555030:-1 gene:Manes.17G026529.v8.1 transcript:Manes.17G026529.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIIQVYRLYVRLATGPGGLKSTRTLAPVAVRISGAVSPEDTSEEEEEISEEELALVTRRIRKLLLQSKKFILRKNFRKENGESSKKEVIICYECNKPSHYKVDCPKLKKPIKKFKKKAFKAIWDESSDTEEEDVGDEVANMCFMALEESSDEVTTLDDTTLCDDVVEFSYDELVGALKLINDELEKSHKKNQILKCQLGSLKRESENSPKESLPSNDGLQKSLDELSLENKNLKNEILELKNSLSKFLKANSSKTIFVKATNLSEPKISSSNRNIPKTSSSNVPKRNAHVHQSINHNAHIRHTPRQFAYKRNDHYRAHISSLQNHHTHHISCSHAYNRQGRNGYMRTQTHSLTYGPRTRRFNGHCHYCGKFGHTNYRCTIRKVHLGYGSIWKLKNEMTNPQGSKYIWVCLISSKIESKWYLDSGCSKHMTGNSSHFISLEKKDDSGQIVVKGSNP >Manes.04G149200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34584391:34585277:-1 gene:Manes.04G149200.v8.1 transcript:Manes.04G149200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSKLTKLKSAIKRWPSFTKLARTHSSIAAASNDHCSDNIIIAEEVFQAVYVGKSRRPYFLSSDTICHPLFQELIERSGGFDDGGEIVVSCEVVLFEHLLWMLQSNGSQLGSMEELAEFYYTR >Manes.05G163900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27648482:27653829:1 gene:Manes.05G163900.v8.1 transcript:Manes.05G163900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDISNGQHSTRFKRILDPRQLGKSGRTRERNSEWKFLKIEREREKKIFFFFVVKLISEEFSKLLAGSIASWIDRSIDLFLCDYLKKVRNSIDLFLCDYLKKAFDWGNNREMASGFGESTSRPSQNSSFSSNNGNGDAGNFECNICFELAQDPIVTLCGHLFCWPCLYKWLHFHSQSKECPVCKALVEEEKLVPLYGRGKTSTDPRSKSVPGVNIPNRPAGQRPQTAPPPESNDFAQHGFGFMGGFGGFAPMATARFGNFTLSAAFGGLIPSLFNLQLHGFPDAAMYGAAGGVPYGFSNSFHGGYAHGYPQHHGQGQQDYYLKRLLFFIGFCVLLALIWQ >Manes.S039716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1115686:1116498:-1 gene:Manes.S039716.v8.1 transcript:Manes.S039716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.02G217800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30660335:30676322:-1 gene:Manes.02G217800.v8.1 transcript:Manes.02G217800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSVVFPILGIPKTRTVTFGAVLLVWLCWSPLLIEAQDAVTDPLEVSALLDVKSNLIDVNKNLSNWNSGDPCTSIWTGVLCFNTTLDDGYLHVRELQLFGMNLLGTLSPSLGRLSHMQILDFMWNNISGSIPKEIGDIKSLVLLLLNGNKLTGPLPEELGNLPNLNRIQIDENNISGSLPVSFANLNSAQHFHMNNNSISGQIPPELSRLPNLLHFLLDNNNLSGHLPPELSELPKLLILQLDNNNFDGATIPDSYGNMTQLLKLSLRNCNLQGPIPDLSRITNLGYIDLSSNQLNGSIPTERLSENITNIDLSNNDLTGSIPANFSSLPNLQRLSVANNSLSGSIPSTLWQSQTLNASERLILDFENNRLTNISGDGTISLPQNVSLWLQGNPVCSTSNLVQFCGSQNDNVDNQGSANTTEDCPLQACPYTYSPTSPVRCFCAAPLTVGYRLKSPGFYDFLEYKELFEVYLTTGLNLEVYQLYLENVQWEDGHRLEMQLQLFPLYDASNKSSHVFNASEVLRIRSKFTDWTIPDSVIFGPYELLNFPLLDPYNVFITSPSSSGISKGALAGIVLGAIAGTVTLSAIVSLIILRRYMRGYRAISKRRHASKAFLKIDGVKDFSYAEMALATNNFNSSAQVGQGGYGKVYKGILADGTVVAIKRAQEHSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFALRLRIALASAKGILYLHKDADPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGSIPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSNSVEKFLNLAIKCCEEDTDSRPSMADVVRELETIWLMVPESDKKTTDTVISDPEKLESSSPSSSSMVKHPFMSSDISGSDLVSGFIPSITPR >Manes.02G217800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30660336:30676288:-1 gene:Manes.02G217800.v8.1 transcript:Manes.02G217800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGTLSPSLGRLSHMQILDFMWNNISGSIPKEIGDIKSLVLLLLNGNKLTGPLPEELGNLPNLNRIQIDENNISGSLPVSFANLNSAQHFHMNNNSISGQIPPELSRLPNLLHFLLDNNNLSGHLPPELSELPKLLILQLDNNNFDGATIPDSYGNMTQLLKLSLRNCNLQGPIPDLSRITNLGYIDLSSNQLNGSIPTERLSENITNIDLSNNDLTGSIPANFSSLPNLQRLSVANNSLSGSIPSTLWQSQTLNASERLILDFENNRLTNISGDGTISLPQNVSLWLQGNPVCSTSNLVQFCGSQNDNVDNQGSANTTEDCPLQACPYTYSPTSPVRCFCAAPLTVGYRLKSPGFYDFLEYKELFEVYLTTGLNLEVYQLYLENVQWEDGHRLEMQLQLFPLYDASNKSSHVFNASEVLRIRSKFTDWTIPDSVIFGPYELLNFPLLDPYNVFITSPSSSGISKGALAGIVLGAIAGTVTLSAIVSLIILRRYMRGYRAISKRRHASKAFLKIDGVKDFSYAEMALATNNFNSSAQVGQGGYGKVYKGILADGTVVAIKRAQEHSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFALRLRIALASAKGILYLHKDADPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGSIPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSNSVEKFLNLAIKCCEEDTDSRPSMADVVRELETIWLMVPESDKKTTDTVISDPEKLESSSPSSSSMVKHPFMSSDISGSDLVSGFIPSITPR >Manes.02G217800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30660336:30675755:-1 gene:Manes.02G217800.v8.1 transcript:Manes.02G217800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSVVFPILGIPKTRTVTFGAVLLVWLCWSPLLIEAQDAVTDPLEVSALLDVKSNLIDVNKNLSNWNSGDPCTSIWTGVLCFNTTLDDGYLHVRELQLFGMNLLGTLSPSLGRLSHMQILDFMWNNISGSIPKEIGDIKSLVLLLLNGNKLTGPLPEELGNLPNLNRIQIDENNISGSLPVSFANLNSAQHFHMNNNSISGQIPPELSRLPNLLHFLLDNNNLSGHLPPELSELPKLLILQLDNNNFDGATIPDSYGNMTQLLKLSLRNCNLQGPIPDLSRITNLGYIDLSSNQLNGSIPTERLSENITNIDLSNNDLTGSIPANFSSLPNLQRLSVANNSLSGSIPSTLWQSQTLNASERLILDFENNRLTNISGDGTISLPQNVSLWLQGNPVCSTSNLVQFCGSQNDNVDNQGSANTTEDCPLQACPYTYSPTSPVRCFCAAPLTVGYRLKSPGFYDFLEYKELFEVYLTTGLNLEVYQLYLENVQWEDGHRLEMQLQLFPLYDASNKSSHVFNASEVLRIRSKFTDWTIPDSVIFGPYELLNFPLLDPYNVFITSPSSSGISKGALAGIVLGAIAGTVTLSAIVSLIILRRYMRGYRAISKRRHASKAFLKIDGVKDFSYAEMALATNNFNSSAQVGQGGYGKVYKGILADGTVVAIKRAQEHSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFALRLRIALASAKGILYLHKDADPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGSIPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSNSVEKFLNLAIKCCEEDTDSRPSMADVVRELETIWLMVPESDKKTTDTVISDPEKLESSSPSSSSMVKHPFMSSDISGSDLVSGFIPSITPR >Manes.02G217800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30660336:30676288:-1 gene:Manes.02G217800.v8.1 transcript:Manes.02G217800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLGTLSPSLGRLSHMQILDFMWNNISGSIPKEIGDIKSLVLLLLNGNKLTGPLPEELGNLPNLNRIQIDENNISGSLPVSFANLNSAQHFHMNNNSISGQIPPELSRLPNLLHFLLDNNNLSGHLPPELSELPKLLILQLDNNNFDGATIPDSYGNMTQLLKLSLRNCNLQGPIPDLSRITNLGYIDLSSNQLNGSIPTERLSENITNIDLSNNDLTGSIPANFSSLPNLQRLSVANNSLSGSIPSTLWQSQTLNASERLILDFENNRLTNISGDGTISLPQNVSLWLQGNPVCSTSNLVQFCGSQNDNVDNQGSANTTEDCPLQACPYTYSPTSPVRCFCAAPLTVGYRLKSPGFYDFLEYKELFEVYLTTGLNLEVYQLYLENVQWEDGHRLEMQLQLFPLYDASNKSSHVFNASEVLRIRSKFTDWTIPDSVIFGPYELLNFPLLDPYNVFITSPSSSGISKGALAGIVLGAIAGTVTLSAIVSLIILRRYMRGYRAISKRRHASKAFLKIDGVKDFSYAEMALATNNFNSSAQVGQGGYGKVYKGILADGTVVAIKRAQEHSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFALRLRIALASAKGILYLHKDADPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGSIPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSNSVEKFLNLAIKCCEEDTDSRPSMADVVRELETIWLMVPESDKKTTDTVISDPEKLESSSPSSSSMVKHPFMSSDISGSDLVSGFIPSITPR >Manes.02G217800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30660336:30676288:-1 gene:Manes.02G217800.v8.1 transcript:Manes.02G217800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSVVFPILGIPKTRTVTFGAVLLVWLCWSPLLIEAQDAVTDPLEVSALLDVKSNLIDVNKNLSNWNSGDPCTSIWTGVLCFNTTLDDGYLHVRELQLFGMNLLGTLSPSLGRLSHMQILDFMWNNISGSIPKEIGDIKSLVLLLLNGNKLTGPLPEELGNLPNLNRIQIDENNISGSLPVSFANLNSAQHFHMNNNSISGQIPPELSRLPNLLHFLLDNNNLSGHLPPELSELPKLLILQLDNNNFDGATIPDSYGNMTQLLKLSLRNCNLQGPIPDLSRITNLGYIDLSSNQLNGSIPTERLSENITNIDLSNNDLTGSIPANFSSLPNLQRLSVANNSLSGSIPSTLWQSQTLNASERLILDFENNRLTNISGDGTISLPQNVSLWLQGNPVCSTSNLVQFCGSQNDNVDNQGSANTTEDCPLQACPYTYSPTSPVRCFCAAPLTVGYRLKSPGFYDFLEYKELFEVYLTTGLNLEVYQLYLENVQWEDGHRLEMQLQLFPLYDASNKSSHVFNASEVLRIRSKFTDWTIPDSVIFGPYELLNFPLLDPYNVFITSPSSSGISKGALAGIVLGAIAGTVTLSAIVSLIILRRYMRGYRAISKRRHASKAFLKIDGVKDFSYAEMALATNNFNSSAQVGQGGYGKVYKGILADGTVVAIKRAQEHSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFALRLRIALASAKGILYLHKDADPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGSIPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSNSVEKFLNLAIKCCEEDTDSRPSMADVVRELETIWLMVPESDKKTTDTVISDPEKLESSSPSSSSMVKHPFMSSDISGSDLVSGFIPSITPR >Manes.02G217800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30660336:30676288:-1 gene:Manes.02G217800.v8.1 transcript:Manes.02G217800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSVVFPILGIPKTRTVTFGAVLLVWLCWSPLLIEAQDAVTDPLEVSALLDVKSNLIDVNKNLSNWNSGDPCTSIWTGVLCFNTTLDDGYLHVRELQLFGMNLLGTLSPSLGRLSHMQILDFMWNNISGSIPKEIGDIKSLVLLLLNGNKLTGPLPEELGNLPNLNRIQIDENNISGSLPVSFANLNSAQHFHMNNNSISGQIPPELSRLPNLLHFLLDNNNLSGHLPPELSELPKLLILQLDNNNFDGATIPDSYGNMTQLLKLSLRNCNLQGPIPDLSRITNLGYIDLSSNQLNGSIPTERLSENITNIDLSNNDLTGSIPANFSSLPNLQRLSVANNSLSGSIPSTLWQSQTLNASERLILDFENNRLTNISGDGTISLPQNVSLWLQGNPVCSTSNLVQFCGSQNDNVDNQGSANTTEDCPLQACPYTYSPTSPVRCFCAAPLTVGYRLKSPGFYDFLEYKELFEVYLTTGLNLEVYQLYLENVQWEDGHRLEMQLQLFPLYDASNKSSHVFNASEVLRIRSKFTDWTIPDSVIFGPYELLNFPLLDPYNVFITSPSSSGISKGALAGIVLGAIAGTVTLSAIVSLIILRRYMRGYRAISKRRHASKAFLKIDGVKDFSYAEMALATNNFNSSAQVGQGGYGKVYKGILADGTVVAIKRAQEHSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFALRLRIALASAKGILYLHKDADPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGSIPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNISYQSGMIFSVIDGRMGSYPSNSVEKFLNLAIKCCEEDTDSRPSMADVVRELETIWLMVPESDKKTTDTVISDPEKLESSSPSSSSMVKHPFMSSDISGSDLVSGFIPSITPR >Manes.02G217800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30660336:30676288:-1 gene:Manes.02G217800.v8.1 transcript:Manes.02G217800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDSVVFPILGIPKTRTVTFGAVLLVWLCWSPLLIEAQDAVTDPLEVSALLDVKSNLIDVNKNLSNWNSGDPCTSIWTGVLCFNTTLDDGYLHVRELQLFGMNLLGTLSPSLGRLSHMQILDFMWNNISGSIPKEIGDIKSLVLLLLNGNKLTGPLPEELGNLPNLNRIQIDENNISGSLPVSFANLNSAQHFHMNNNSISGQIPPELSRLPNLLHFLLDNNNLSGHLPPELSELPKLLILQLDNNNFDGATIPDSYGNMTQLLKLSLRNCNLQGPIPDLSRITNLGYIDLSSNQLNGSIPTERLSENITNIDLSNNDLTGSIPANFSSLPNLQRLSVANNSLSGSIPSTLWQSQTLNASERLILDFENNRLTNISGDGTISLPQNVSLWLQGNPVCSTSNLVQFCGSQNDNVDNQGSANTTEDCPLQACPYTYSPTSPVRCFCAAPLTVGYRLKSPGFYDFLEYKELFEVYLTTGLNLEVYQLYLENVQWEDGHRLEMQLQLFPLYDASNKSSHVFNASEVLRIRSKFTDWTIPDSVIFGPYELLNFPLLDPYNVFITSPSSSGISKGALAGIVLGAIAGTVTLSAIVSLIILRRYMRGYRAISKRRHASKAFLKIDGVKDFSYAEMALATNNFNSSAQVGQGGYGKVYKGILADGTVVAIKRAQEHSLQGEKEFLTEIELLSRLHHRNLVSLVGYCDEEGEQMLVYEFMSNGTLRDHLSAKSKEPLSFALRLRIALASAKGILYLHKDADPPIFHRDIKASNILLDSNYNAKVADFGLSRLAPVPDIEGLP >Manes.01G160000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34526868:34528076:-1 gene:Manes.01G160000.v8.1 transcript:Manes.01G160000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRYAFGRPDEATHPDSIRAALAEFVSTLIFVFAGEGSVLALDKLYKETGPPASGLVMIALAHALALFSAVSASINVSGGHVNPAVTFGALVGGRISVLRAFYYWVAQLLGAIVASLLLRLVTNGMRPVGFYVASGVGEVHGLILEMVMTFGLVYTVYATAVDPKRGSLGIIAPLAIGFIVGANILVGGPFDGASMNPARAFGPALVGWRWRNHWIYWLGPFIGAGLAGLIYEYLVIPTEPLPHHTHHQPLAPEDY >Manes.07G133400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33503490:33504224:-1 gene:Manes.07G133400.v8.1 transcript:Manes.07G133400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPPEPPSPRYFSCLSFKDINAILEEENGSKSQTPRRPSIFHRASPLHRHHLNRSKTFIISPPPNQDDHKIILYFTSLGVVRKTFEDCRTVRSILRGFHVPIDERDLSMDAGYLDEIQIITASKKVRLPAVFLGGNYVGGAEEIKEMNESGELSKLLGGLPFVGNNIKIKFNSVCDVCGGLRYVLCAQCNGSHKIYSEKHGFRTCTSCNVNGLIKCDLCYPVQCKRSD >Manes.15G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4393577:4396339:1 gene:Manes.15G057000.v8.1 transcript:Manes.15G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMACRAEFGPAQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIYEKTGQVPDPSSTDNAEFQIVLTIIRDGLKTDPKRYHKMKERLVGVSEETTTGVKRLYQMQANGTLLFSAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRVTIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWREKGTGKYEKKVYVLPKHLDEKVAALHLGKLGAHLTKLTKEQADYISVPVEGPYKPAHYRY >Manes.08G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2584018:2587802:-1 gene:Manes.08G026700.v8.1 transcript:Manes.08G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSSPSHFPSPSSSSSTPRVNNDMQRQQLHHNPTRLQEIDQEQEQEQEQEQEQEEDGFIERKIRGREGDQLSLLDILAAAFRRSVVGCSTTAAIGSKEICNMEIGLPSNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDTRGNSVPIILLMMQRQLYAQGGLQAEGIFRINAENSQEEYVREQLNRGVVPEGIDVHCLAGLIKAWFRELPTGVLDSLSQEQVMESQSEEECVRLTRLLPPTEAALLDWAINLMADVAQLEHLNKMNARNVAMVFAPNMTQMSDPLTALMYAVQVMNFLKTLVVRTLREREESVIESASASSLEPSDENEHQSSSHPSFMDANEESSEGEKEFFSEEPAIENPTQPSQHDCTTESGSNIFLTWIENIPGVDQSLFGTCPCEVASQVNALTNEKLESSIKNKSGGVQTRTSKNRTGQSSNSNLKKGSKRVTAKTMIWAAGSVEKNKGVGMVSCINPRKELSEAWR >Manes.08G026700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2584018:2587802:-1 gene:Manes.08G026700.v8.1 transcript:Manes.08G026700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLHSSPSHFPSPSSSSSTPRVNNDMQRQQLHHNPTRLQEIDQEQEQEQEQEQEQEEDGFIERKIRGREGDQLSLLDILAAAFRRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDTRGNSVPIILLMMQRQLYAQGGLQAEGIFRINAENSQEEYVREQLNRGVVPEGIDVHCLAGLIKAWFRELPTGVLDSLSQEQVMESQSEEECVRLTRLLPPTEAALLDWAINLMADVAQLEHLNKMNARNVAMVFAPNMTQMSDPLTALMYAVQVMNFLKTLVVRTLREREESVIESASASSLEPSDENEHQSSSHPSFMDANEESSEGEKEFFSEEPAIENPTQPSQHDCTTESGSNIFLTWIENIPGVDQSLFGTCPCEVASQVNALTNEKLESSIKNKSGGVQTRTSKNRTGQSSNSNLKKGSKRVTAKTMIWAAGSVEKNKGVGMVSCINPRKELSEAWR >Manes.07G071856.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:13505488:13507074:-1 gene:Manes.07G071856.v8.1 transcript:Manes.07G071856.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVSISIVYKILIKTLWLSSSGSSINPSENLLSLDCNVICIWRMYTIQK >Manes.14G085800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7076510:7091890:1 gene:Manes.14G085800.v8.1 transcript:Manes.14G085800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWVFGAAAAAGYIAKHWQCVSRDRNSLLGHGPSKCEKNETPSCPIRRLTRRRKMADNMSTHERLSDKYKIDGTSEPEGSSSGYENNGLPSSRLPLGLSTDENPREGEGGRELSGDMGNNSCRPCTGEVDTFHDSTRKTSSLRTKHIYGHVIKPLSSLESCVMAHLYKEHANMEEYVLSVFPSSSTTMRPLLVTDGNQTINGVNGHSSSAQISAEINRLHKEEDGRGVPPLPKISMLDVPNKIKSKTGNEHDKRYNNFHKAGSERHFESPNGSPDQTILFCLGVCVGIASSLLANRREVDKLKNLLKQTENLVQDLQEELEMKDSLSVKELVDENYESQGTCENSFQYRALIPDVFVQIMDSSTNNDGKESLYEEAEETSGDMSKIEAELEAELERLALNMNTSNLERRFSDLVEHDSDFVADFALGELRADILNRQTVVQPESDRDASGSSATYTGNYTVSPRELSLRLHEVIQSRLEERVKKLEMALQDTERRLQLRESECNNIPIKLSNSELIYSSGEDTEEILNSMAQPLVMNLSGEALDAYNEAYEELMKINESEEDDSPSGVYENNLQGIHPFSESMLWGQNGATNGSSTHVTCNKERPSRESHLSKLRASIERNPGIQELLYDGASEDEKSDCNVEIEKQLIQQIVEKTRRGSPIVLNAQRWLISMDENER >Manes.10G032800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3313171:3316843:1 gene:Manes.10G032800.v8.1 transcript:Manes.10G032800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDRSRSPPRAKRFRTNSYYRDAPYSRDHRRYRQDYLCNKCKRPGHFARDCPNMTVCNNCGLPGHIAAECNSTTMCWNCKEPGHLANQCPNDPVCHMCGKMGHLARDCYNPSLPAHDARPCNNCYKPGHTAADCTNEKACNNCRKTGHLARDCPNEPVCNICNISGHVARQCSKSSLASDVGGPFNDIICRNCGQHGHLSHECVAIVICNNCGGRGHQAFKCPSAPFYDRGPRRY >Manes.10G032800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3313171:3316844:1 gene:Manes.10G032800.v8.1 transcript:Manes.10G032800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDRSRSPPRAKRFRTNSYYRDAPYSRDHRRYRQDYLCNKCKRPGHFARDCPNMTVCNNCGLPGHIAAECNSTTMCWNCKEPGHLANQCPNDPVCHMCGKMGHLARDCYNPSLPAHDARPCNNCYKPGHTAADCTNEKACNNCRKTGHLARDCPNEPVCNICNISGHVARQCSKSSLASDVGGPFNDIICRNCGQHGHLSHECVAIVICNNCGGRGHQAFKCPSAPFYDRGPRRY >Manes.10G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3313603:3316878:1 gene:Manes.10G032800.v8.1 transcript:Manes.10G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDRSRSPPRAKRFRTNSYYRDAPYSRDHRRYRQDYLCNKCKRPGHFARDCPNMTVCNNCGLPGHIAAECNSTTMCWNCKEPGHLANQCPNDPVCHMCGKMGHLARDCYNPSLPAHDARPCNNCYKPGHTAADCTNEKACNNCRKTGHLARDCPNEPVCNICNISGHVARQCSKSSLASDVGGPFNDIICRNCGQHGHLSHECVAIVICNNCGGRGHQAFKCPSAPFYDRGPRRY >Manes.16G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1291494:1292940:1 gene:Manes.16G013000.v8.1 transcript:Manes.16G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSATKSLSALLLQFTFVLLLAFSPVNAQLKVGFYSKTCPKAEAIAKEVIDGVLSVAPSLAGPLLRMHFHDCFVRGCDGSVLLNSTTQQAEKDGPPNLTLRGYQVIDRVKSALEKACPGVVSCADIVAIVARDVTVATKGLRWEVETGRRDGRVSIAAETLTNLVAPNANITTIITRFQAKGLSVKDAVILLGSHTIGTSHCSSFNSRLYNFTGKGTDNDFDPTLDSEYVKELKIKCKPGDQNSLVEMDPGSFRTFDSSYYTLVSKRRGLFQSDAALLDNSVTKAYINLQVATQGSTFLKDFGDSMVKMGRVDVLTGTAGEIRKVCGKVN >Manes.14G011501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:773317:774028:1 gene:Manes.14G011501.v8.1 transcript:Manes.14G011501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVPPVRFSVKSLLILVKKTKIPILSPSSSSSSSSSSLFRSPSSSSPSRSPSSSSSSSLFRSPSSSSSSSSSSLFRSPSSSSSSSSSSPSRSPSSSSSSSLFRSPPSSSLLLLLCLLLPFVIDINSEKDKKIQELTMELRNKKRLCATYQDHLTAFMKIVEEHSEQLSKKVQNVARNLKEFESIELELQQHRRSP >Manes.11G064200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8915105:8916895:-1 gene:Manes.11G064200.v8.1 transcript:Manes.11G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPCNPNEPQSSSSKLLSTTLAAKLHKCHVASLQATKKNATKQTHEPEYTPFDSSNGIAGKSVESCSSWPEGKWVGEENEVGNSQQQDKELEDHHIEQMIEELLDYGSMEFCSVAST >Manes.11G064200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8915134:8916518:-1 gene:Manes.11G064200.v8.1 transcript:Manes.11G064200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPCNPNEPQSSSSKLLSTTLAAKLHKCHVASLQATKKNATKQTHEPEYTPFDSSNGIAGKSVESCSSWPEGKWVGEENEVGNSQQQDKELEDHHIEQMIEELLDYGSMEFCSVAST >Manes.15G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:864923:868230:-1 gene:Manes.15G009700.v8.1 transcript:Manes.15G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKIWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGAKAPQAPAPQQAEGSKKPKK >Manes.09G098100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29621718:29626527:-1 gene:Manes.09G098100.v8.1 transcript:Manes.09G098100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVMHEDLKTAARSVNCWAGVTTLMPLLGGFVADAYLGRFSTVLVSTITYLLGLILLTLTQFVPSLKTCEADVCPEPRKVHEVIFFIAIYLISIGTGGHKPSLESFGADQFDDDHIEERRKKMSYFNWWSFGLCSGVLLGVTLIVYVQDHMSWGAADIVLTVVMALSLVIFIVGRPFYRFRVPKGSPLTPMLQVLVAAMKKRNLPNPSNPDDLYEAPKSQMDQGRLLCHTHKLKFLDKAAVLEYKENAAEKQNPWRLATVTEVEEMKLILNMIPIWIATLPFGICVAQASTFFIKQCTTMNRKIANGFLIPPASIFALAAIGMIISVTIYEKVLVPVLRRLTRNERGIPILQRIGIGMLFSIASMVVSAMVERKRLSVVEKDPLKGSLSMSVFWLAPQFFITGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFVSSLLITVVDHVTEKYGKSWFGKDLNSSRLDNFYWLLAGIATTNMIIYVLLAKQYSYKNVNRKVAVGDCSGDDWISSVA >Manes.09G098100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29621718:29626527:-1 gene:Manes.09G098100.v8.1 transcript:Manes.09G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSKDGEARSIDKEKWVYDSSVDHKGRIPLRASTGVWKASLFIIAIEFSERLSYFGIATSLIIYMTKVMHEDLKTAARSVNCWAGVTTLMPLLGGFVADAYLGRFSTVLVSTITYLLGLILLTLTQFVPSLKTCEADVCPEPRKVHEVIFFIAIYLISIGTGGHKPSLESFGADQFDDDHIEERRKKMSYFNWWSFGLCSGVLLGVTLIVYVQDHMSWGAADIVLTVVMALSLVIFIVGRPFYRFRVPKGSPLTPMLQVLVAAMKKRNLPNPSNPDDLYEAPKSQMDQGRLLCHTHKLKFLDKAAVLEYKENAAEKQNPWRLATVTEVEEMKLILNMIPIWIATLPFGICVAQASTFFIKQCTTMNRKIANGFLIPPASIFALAAIGMIISVTIYEKVLVPVLRRLTRNERGIPILQRIGIGMLFSIASMVVSAMVERKRLSVVEKDPLKGSLSMSVFWLAPQFFITGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFVSSLLITVVDHVTEKYGKSWFGKDLNSSRLDNFYWLLAGIATTNMIIYVLLAKQYSYKNVNRKVAVGDCSGDDWISSVA >Manes.14G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3728361:3732940:-1 gene:Manes.14G042300.v8.1 transcript:Manes.14G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPMSMSAIFNSTAHTPPLARVKQEEQSTPTPPQHYWPPIQLQVKCEPGEPPITALQVPDRQQPPPQEDEPKGPHLFRSIEELANLSAAISEFYRRYQELQCHFDLIQSAIDARSGVRQHGQVIQSETTPASASASPPTPIVTAKQTTETTATGSTPAKSEVLSLCKMMCGKGLRKYLTSHLSNLRKLRDEVPSALKCAPNPAKIVLDCIGRFYLQGIRAYTKNSPMIPGRKASVLVLEFFLQIIDDTIEFDSAVKQEAEQAALAWRKRLIAEGGLGKSSDIDARGLLLLIGSYGIPKSFTNEDVWDLVRLCNSKQIADALRRSRVLVARVSGILERMMNNGMKIEAVDVASTFGIEDKFPPQKLLTSFLQDAKEASKRRRREANNSPYLMKEASEKQLAALKSVVKFLEDRKLDPIKLLPGWQFREVREKLEKEIADLNKTIEDMVTSKRKADENELLNNLNSQETKRPRFTGSPLISSARLGLHEQRTTGHVDGSGLYSASLRTNLLDGGLSGHINNPSVAGSMLYGSDVGFMPEHGLGTVSGGGGIMHGAGVGLAAAYNTPSTSSFTGVNRDMLVDWTGHKMVSDVAYGFIDRSRGQSFVHQHVPGLFGPSPSIVGFAGVSNSPPTNAGNRSPISDLYRFADAVK >Manes.05G119300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12671351:12676531:-1 gene:Manes.05G119300.v8.1 transcript:Manes.05G119300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSNNGNDPIYYSEQQEGYYRPFFNDNITPISKHEESPFSFFHSSSPFLPYDQLELQDHDVFLHQSHDLLLQHHQPLIRTLASTTGAAPAEAILGMVDSNKNDAIKKSHNVSSDQIPRKRSSKRDRHSKIHTAQGPRDRRMRLSLKVAREFFDLQDKLCFDKASKTVEWLLIQARPAIKKLSSDLPKLNCSFSDGTKSASSTSECEVASGIDDEAAAMKATSKISNAKGSSLSCVNNKGKKAKQSRKTAFDPLERESRREKARARARERTREKLWSRRIDESKLCEEEKSHELNRLTCWSPFETGEESGTLTHTMYPNSLEMLAHEVQPPSSHVQDPLVATEAMIDDSFVIMGKWSPYSSIINLYNTVMP >Manes.05G119300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12673029:12675235:-1 gene:Manes.05G119300.v8.1 transcript:Manes.05G119300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSNNGNDPIYYSEQQEGYYRPFFNDNITPISKHEESPFSFFHSSSPFLPYDQLELQDHDVFLHQSHDLLLQHHQPLIRTLASTTGAAPAEAILGMVDSNKNDAIKKSHNVSSDQIPRKRSSKRDRHSKIHTAQGPRDRRMRLSLKVAREFFDLQDKLCFDKASKTVEWLLIQARPAIKKLSSDLPKLNCSFSDGTKSASSTSECEVASGIDDEAAAMKATSKISNAKGSSLSCVNNKGKKAKQSRKTAFDPLERESRREKARARARERTREKLWSRRIDESKLCEEEKSHELNRLTCWSPFETGEESGTLTHTMYPNSLEMLAHEVQPPSSHVQDPLVATEAMIDDSFVIMGKWSPYSSIINLYNTVMP >Manes.12G029366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2552713:2559655:1 gene:Manes.12G029366.v8.1 transcript:Manes.12G029366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSREDCYSASYQDRRFSKQVRDNVHGYIYLDPIFLKFVDTEQFQRLRDMKQLGLTYLVYPGAVHSRFEHSLGVYWLAGEAIHRIKAHQGLELDINNMDIKTVKLAGLLHDVGHGPFSHLFEREFLPRVLNGLNWSHEDMSLRMIDYIVDTHNIDIDPECLKNAKEMVVASHEHASSRSANEKQFLYDIVANGRNGIDVDKFDYLVRDSRACGLGCSFQFERLMDTMHVIEDEICYRAKDYLTVHKLFATRADMHRTVYTHAKVKAIELMVVDALSIANGYLQITDKIDKPDEFWKIDDLILKRIETDSSEKLKEARHLIQRIRRRDLYQFCNEFSVPKDKLEHFKDITPQDIVCSQKAGRITLKEEDVAVSIAKIDLTRGRSNPLESVKFFKDYESGDKFSIQDHLISHLLPSFYQDKIVRVYAKKPELVEAVSEAFENFQLKIYGMKAQVHATPEKKRLRKMMFSS >Manes.03G008800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:716216:719575:-1 gene:Manes.03G008800.v8.1 transcript:Manes.03G008800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRDRLSRAIDIAALFARRRSVILGIYEDQPDLERALSGSPARPPMVATRTGGAGMSPIGHGRVGLGTPRGQTGRGRNIYRTPAVGRENTPIGSVRQGNSRGRVRPSNSVLPSWYPRTPLRDITAIVRAIERRRERLGVDRAQEIESPMPHAYGVLDSTEASPVAHLEHSKTIMSPIPSLEVKRCPPTVGKVPKILLDITNQASEDSEFLTPQKKLLNSIDTVEKEVMEELRKLKRTASAKKAEREKKVRTLMSMR >Manes.03G008800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:716216:719898:-1 gene:Manes.03G008800.v8.1 transcript:Manes.03G008800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRDRLSRAIDIAALFARRRSVILGIYEDQPDLERALSGSPARPPMVATRTGGAGMSPIGHGRVGLGTPRGQTGRGRNIYRTPAVGRENTPIGSVRQGNSRGRVRPSNSVLPSWYPRTPLRDITAIVRAIERRRERLGVDRAQEIESPMPHAYGVLDSTEASPVAHLEHSKTIMSPIPSLEVKRCPPTVGKVPKILLDITNQASEDSEFLTPQKKLLNSIDTVEKEVMEELRKLKRTASAKKAEREKKVRTLMSMR >Manes.03G008800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:716002:720129:-1 gene:Manes.03G008800.v8.1 transcript:Manes.03G008800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESRDRLSRAIDIAALFARRRSVILGIYEDQPDLERALSGSPARPPMVATRTGGAGMSPIGHGRVGLGTPRGQTGRGRNIYRTPAVGRENTPIGSVRQGNSRGRVRPSNSVLPSWYPRTPLRDITAIVRAIERRRERLGVDRAQEIESPMPHAYGVLDSTEASPVAHLEHSKTIMSPIPSLEVKRCPPTVGKVPKILLDITNQASEDSEFLTPQKKLLNSIDTVEKEVMEELRKLKRTASAKKAEREKKVRTLMSMR >Manes.06G114800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24568694:24570310:-1 gene:Manes.06G114800.v8.1 transcript:Manes.06G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHQLCPKLSSSCQKMETSLNDYVSKSKIGRFFKLEARKSCFTKELRAATATFLTMAYIITVNATILSDSGVTCSIADCSPPANQTTPSPDCVLKPNDGYQSCLDRAKSDLIVATILSSMIGSFAMGILANLPLGLAPGMGPNAYLAYNLVGFHGTGPLSYKTAMAVVLVEGCAFLAISAFGLRAKLARLIPHPVRLACAAGIGLFIAFVGLQVHQGVGLVGPDPSTLVTVTACSSTNPATGECIAGKMHSPTFWLSSVGFLITCYGLVKGIKGSMIYGILFVTFISWIRGTAVTYFPYTPVGESNYNYFKQVVDFHKIQTTAGAISFSKFNTSDAWVALATLLYVDVLATTGTLYTMAEIGGFVNDKGRFEGEYMAFMVDAGSTIVGSALGVSPIATYIESSAGIREGGRTGLTAVIIGFYFLLSLFFTPLLTSVPPWAIGPSLVIVGILMMKVVKDINWEDMKEAVPAFMTMLLMPLTYSIANGIIAGIGLYIALNMYDYMMRSVGWIMKMRRMVVKEQNQVSATAGVDPSVEII >Manes.12G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4737474:4742432:1 gene:Manes.12G050700.v8.1 transcript:Manes.12G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFDLPAGDEMMNEDMDLPDEGPILKVGEEKEIGKQGLKKKLLKEGEGWDTPENGDEVEVHYTGTLLDGAQFDSSRARGTPFKFTLGQGKVIKGWDEGIKTMKKGENAIFTIPPELAYGASGSSPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILAEGEKWENPKDLDEVLVKYEAQLEDGTVVAKSDGVEFTVNEGHFCPALSKAVKTMKKGEKVLLTVKPQYGFGEKGKRATGNEVAVPPNATLQITLELISWKTVSEVTDDKKVIKKILKEGEGYERPNDGAVVKLKLIGKLQDGTVFLKKGHDSEDDLFEFKTDEEQVIGGLDKAVMSMKKGEVAQLTIAPEHAFGSSELKQELAVVPPNSTVYYEVELVSFVKEKESWDMNTQEKIEAAGKKKEEGNALFKAGKYALASKRYEKAVKYIEYDSSFSDEEKKQAKALKIACNLNNAACKLKLKEYKQAEKLCTKVLEMDSRNVKALYRRAQAYIQLVDLDEAELDIKKALEIDPENREVRLEYKTLKEKMREYNKKEAKFYGNMFAKMDKLAPLDNNKSES >Manes.12G050700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4737474:4741643:1 gene:Manes.12G050700.v8.1 transcript:Manes.12G050700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFDLPAGDEMMNEDMDLPDEGPILKVGEEKEIGKQGLKKKLLKEGEGWDTPENGDEVEVHYTGTLLDGAQFDSSRARGTPFKFTLGQGKVIKGWDEGIKTMKKGENAIFTIPPELAYGASGSSPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILAEGEKWENPKDLDEVLVKYEAQLEDGTVVAKSDGVEFTVNEGHFCPALSKAVKTMKKGEKVLLTVKPQYGFGEKGKRATGNEVAVPPNATLQITLELISWKTVSEVTDDKKVIKKILKEGEGYERPNDGAVVKLKLIGKLQDGTVFLKKGHDSEDDLFEFKTDEEQVIGGLDKAVMSMKKGEVAQLTIAPEHAFGSSELKQELAVVPPNSTVYYEVELVSFVKEKESWDMNTQEKIEAAGKKKEEGNALFKAGKYALASKRYEKAVKYIEYDSSFSDEEKKQAKALKIACNLNNAACKLKLKEYKQAEKLCTKVLEMDSRNVKALYRRAQAYIQLVDLDEAELDIKKALEIDPENREVRLEYKTLKEKMREYNKKEAKFYGNMFAKMDKLAPLDNNVSIQFLFIKDLQISGRIVWAGNLWVIGLFY >Manes.14G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7037693:7042447:1 gene:Manes.14G085100.v8.1 transcript:Manes.14G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVLAVLMLAMTGHASCTWCVCKDMSDAVLQKTLDYACGAGADCAPTHSNGGCFQPNTVKAHCSYAVNSYFQKKGQAAGSCDFSGTAAVSASDPSYSGCSYPATVSAAGTSTTTPTPVTTNPSTTTPSTTNPNTNPVNTTPTTTTPYSTTPSNGVLGGIGNGVSPTGAGINTDIDGGFRLPNTSWFSILVTLLVSGLMLLWG >Manes.07G038670.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4740086:4742132:1 gene:Manes.07G038670.v8.1 transcript:Manes.07G038670.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQVIQIFQFELNLILNDYKFLLNSITDIPSPAPLSPAPHSWRFEVFLSFSGQDTRGNFTDHLLSGLLERQVKAYRDDKNLPRGSFISKALLRAIERSKISIIVFSKNYAASRWCLDELVKIIKCRKLLGHIILPVFFDVRPDHVAKQTGPYKKIFRKYEEKYKNNKQKVEKWKDALKTVAEISGWDKENYRSESKLIRIIAKKVVRKLRKAAPTVGNQLVQLNSKVEEMKLKLYEKWEEIGTIKFYGLQWGRKIWDSSKDKKRWQSQHVSEFCLMKWTN >Manes.13G072800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10679665:10687058:1 gene:Manes.13G072800.v8.1 transcript:Manes.13G072800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKLLQAFKASNPTILTSISSQEIAARIAKILIKSGPEHLETAPPLLSNLDSHITNLVLLNPSLPPRCCLTFFNFLKRNQSLVCHKPDLRAHVILISRLFQAKKFAAMKNVLTWIVMDNNLLSSFADMVYLIDNGFHEPKFVETMCDMLFRVYADNNKFEEGLQVFDYMGNNELMIDHRSCIVYLLALQRCNKVLMCLDFFRKMVKNKVDITVYSMTIVVNVLCKKGMVDRAKDLMIEMSGKGIKPNVVTYNTLINSYIKKMDVERVDEMLRLMEMDKVVCNAATYTLLIDLYGSFGKIDDAEKVFVEMHEKGIGVDIHLYTSMISWHCKLGNMKRAFVLFDELTEKGLHANAHTYGALIDGVCKSGKMDAAEILVNEMQCQGLDVNQVIFNTLINGYCKKGMIDEALGVQDVMEKKGFENDIFTYNTIANGLCKLDRLEDAKRWLFTMIDKGINPNAVSFTTLIDIYCKEGNLVEAERLFQGMKKKGEKPNIVSYNALMDGYGKKGKLKEAYQLKDEMEAMGIISDIYTYTSLMHGECIFGKIEKALNLFNEVRQKGLVINVVAYTVIISGLSRAGRSDEAFRLYDEMKEAGLVPDDRVYTSLVANL >Manes.13G072800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10680940:10689811:1 gene:Manes.13G072800.v8.1 transcript:Manes.13G072800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKLLQAFKASNPTILTSISSQEIAARIAKILIKSGPEHLETAPPLLSNLDSHITNLVLLNPSLPPRCCLTFFNFLKRNQSLVCHKPDLRAHVILISRLFQAKKFAAMKNVLTWIVMDNNLLSSFADMVYLIDNGFHEPKFVETMCDMLFRVYADNNKFEEGLQVFDYMGNNELMIDHRSCIVYLLALQRCNKVLMCLDFFRKMVKNKVDITVYSMTIVVNVLCKKGMVDRAKDLMIEMSGKGIKPNVVTYNTLINSYIKKMDVERVDEMLRLMEMDKVVCNAATYTLLIDLYGSFGKIDDAEKVFVEMHEKGIGVDIHLYTSMISWHCKLGNMKRAFVLFDELTEKGLHANAHTYGALIDGVCKSGKMDAAEILVNEMQCQGLDVNQVIFNTLINGYCKKGMIDEALGVQDVMEKKGFENDIFTYNTIANGLCKLDRLEDAKRWLFTMIDKGINPNAVSFTTLIDIYCKEGNLVEAERLFQGMKKKGEKPNIVSYNALMDGYGKKGKLKEAYQLKDEMEAMGIISDIYTYTSLMHGECIFGKIEKALNLFNEVRQKGLVINVVAYTVIISGLSRAGRSDEAFRLYDEMKEAGLVPDDRVYTSLVANL >Manes.13G072800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10680940:10687008:1 gene:Manes.13G072800.v8.1 transcript:Manes.13G072800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKLLQAFKASNPTILTSISSQEIAARIAKILIKSGPEHLETAPPLLSNLDSHITNLVLLNPSLPPRCCLTFFNFLKRNQSLVCHKPDLRAHVILISRLFQAKKFAAMKNVLTWIVMDNNLLSSFADMVYLIDNGFHEPKFVETMCDMLFRVYADNNKFEEGLQVFDYMGNNELMIDHRSCIVYLLALQRCNKVLMCLDFFRKMVKNKVDITVYSMTIVVNVLCKKGMVDRAKDLMIEMSGKGIKPNVVTYNTLINSYIKKMDVERVDEMLRLMEMDKVVCNAATYTLLIDLYGSFGKIDDAEKVFVEMHEKGIGVDIHLYTSMISWHCKLGNMKRAFVLFDELTEKGLHANAHTYGALIDGVCKSGKMDAAEILVNEMQCQGLDVNQVIFNTLINGYCKKGMIDEALGVQDVMEKKGFENDIFTYNTIANGLCKLDRLEDAKRWLFTMIDKGINPNAVSFTTLIDIYCKEGNLVEAERLFQGMKKKGEKPNIVSYNALMDGYGKKGKLKEAYQLKDEMEAMGIISDIYTYTSLMHGECIFGKIEKALNLFNEVRQKGLVINVVAYTVIISGLSRAGRSDEAFRLYDEMKEAGLVPDDRVYTSLVANL >Manes.13G072800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10679665:10687058:1 gene:Manes.13G072800.v8.1 transcript:Manes.13G072800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKLLQAFKASNPTILTSISSQEIAARIAKILIKSGPEHLETAPPLLSNLDSHITNLVLLNPSLPPRCCLTFFNFLKRNQSLVCHKPDLRAHVILISRLFQAKKFAAMKNVLTWIVMDNNLLSSFADMVYLIDNGFHEPKFVETMCDMLFRVYADNNKFEEGLQVFDYMGNNELMIDHRSCIVYLLALQRCNKVLMCLDFFRKMVKNKVDITVYSMTIVVNVLCKKGMVDRAKDLMIEMSGKGIKPNVVTYNTLINSYIKKMDVERVDEMLRLMEMDKVVCNAATYTLLIDLYGSFGKIDDAEKVFVEMHEKGIGVDIHLYTSMISWHCKLGNMKRAFVLFDELTEKGLHANAHTYGALIDGVCKSGKMDAAEILVNEMQCQGLDVNQVIFNTLINGYCKKGMIDEALGVQDVMEKKGFENDIFTYNTIANGLCKLDRLEDAKRWLFTMIDKGINPNAVSFTTLIDIYCKEGNLVEAERLFQGMKKKGEKPNIVSYNALMDGYGKKGKLKEAYQLKDEMEAMGIISDIYTYTSLMHGECIFGKIEKALNLFNEVRQKGLVINVVAYTVIISGLSRAGRSDEAFRLYDEMKEAGLVPDDRVYTSLVANL >Manes.13G072800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10679664:10687058:1 gene:Manes.13G072800.v8.1 transcript:Manes.13G072800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKLLQAFKASNPTILTSISSQEIAARIAKILIKSGPEHLETAPPLLSNLDSHITNLVLLNPSLPPRCCLTFFNFLKRNQSLVCHKPDLRAHVILISRLFQAKKFAAMKNVLTWIVMDNNLLSSFADMVYLIDNGFHEPKFVETMCDMLFRVYADNNKFEEGLQVFDYMGNNELMIDHRSCIVYLLALQRCNKVLMCLDFFRKMVKNKVDITVYSMTIVVNVLCKKGMVDRAKDLMIEMSGKGIKPNVVTYNTLINSYIKKMDVERVDEMLRLMEMDKVVCNAATYTLLIDLYGSFGKIDDAEKVFVEMHEKGIGVDIHLYTSMISWHCKLGNMKRAFVLFDELTEKGLHANAHTYGALIDGVCKSGKMDAAEILVNEMQCQGLDVNQVIFNTLINGYCKKGMIDEALGVQDVMEKKGFENDIFTYNTIANGLCKLDRLEDAKRWLFTMIDKGINPNAVSFTTLIDIYCKEGNLVEAERLFQGMKKKGEKPNIVSYNALMDGYGKKGKLKEAYQLKDEMEAMGIISDIYTYTSLMHGECIFGKIEKALNLFNEVRQKGLVINVVAYTVIISGLSRAGRSDEAFRLYDEMKEAGLVPDDRVYTSLVANL >Manes.05G134800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23613900:23626782:1 gene:Manes.05G134800.v8.1 transcript:Manes.05G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHRRRHHYYNRLRSLLPLISAVSGVLLILFGLLSILAPSPTDSNNFRHPRRFYSSNVTANVAIGEPVFRVPRTGGRLGHDIWNSRNSKFYYGCSNASKNFANADTVTQRDRYVLIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASDFVDIFDVDWFINSLSNDVKIIKSLPRRGGKTWTSRNMRVPRKCSERCYQNRVLPVLLRRHAIQLTKFDYRLANKLDTQLQKLRCRVNYHALKFTNPILEMGKTLVRRMRMKSKHFIALHLRYEPDMLAFSGCYYGGGDRERQELGAIRKRWKSLHIKNPEKERRHGKCPLTPKEVGLMLRALGYGSDVHIYVASGEVYRGEESLAPLKALFPHFYTKETIARKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARMLAGRRKYFGHKPTIRPNAKKLYRLFLNEGNITWDVFTSKVRSYQRGFMGEPKEVRPGRGEFHENPHTCICEDYEARGIKDSGLRKYGKGYNVTGEGSTNDDPNVDDEPELPEPDNDGDQEGLDSLNVTGLDYEGFDSEEPELEEIVSD >Manes.04G040600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7024139:7047874:1 gene:Manes.04G040600.v8.1 transcript:Manes.04G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKEFSFPGHEEKILSFWSEIKAFETQLSRTESLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMRGHHVTRRFGWDCHGLPVENEIDRKLGIKRRDEVLKMGIDKYNEECRSIVTRYVEEWEKVITRTGRWIDFRNDYKTMDLKFMESVWWVFSQLYEKGLVYKGFKVMPYSTGCKTVLSNFEAGQNYKDVPDPEIMVSFPIIDDPHNASFVAWTTTPWTLPSNLALCVNGNFDYVKVRNKYTGKVFVVAESRLSVLPVEKPKSSVANGPAGDSKTSNSKTKGGKSENLMDSYDLLEKVKGNELLKKRYEPLFNYFSEFSDTAFRVVADNYVTDDSGTGIVHCAPAFGEDDYRVCIENQIINKGENLIVAVDDDGCFTERITDFSGRYVKDADKDIIENVKARGRLVKSGSYTHSYPFCWRSDTPLIYRAVPSWFVRVEDLKAKLLENNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWTSEDGEEIVVVDSVAKLEKLAGVKVFDLHRHNIDHITIPSNRGSEFGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGQFIAEGLDQTRGWFYTLMVLSTALFGKPAFRNLVCNGLVLAEDGKKMSKKLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKDGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAPFSPVDFGKLKNSSNVLDQWINSATQSLVHFVRQEMDAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRTALSTLYSVLLTSCKVMSPFTPFFTEVLYQNMRKVSTGAEESIHYCSFPQEEGERDERIERSVSRMTTVIDLARNIRERHNKPLKSPLREMIVVHPDADFLDDIAGKLKEYVLEELNVRSLVPCIDTLKYSSLRAEPEFSVLGKRLGKSMGIVAKEIKAMSQKDILAFEEAGEVTIASHTLKLADIKVVREFKRPDGLTEKEIDAAGDGDVLVIMDLRPDESLYEAGVAREVVNRIQKLRKKIALEPTDVVDVYIESLDEDKTKLERVLKSQEQYVRDAIGSPLLSSNLMPPQAVVIGEESYHQIYELSFTIYLARAALVFKSDAILALYAGNTKFAQGLETYLLSRDHSNLRSEFQLRNGKITVDCIENQPAADVLLGEHLFLTVGDYFLRTRSG >Manes.04G040600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7024155:7047438:1 gene:Manes.04G040600.v8.1 transcript:Manes.04G040600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKEFSFPGHEEKILSFWSEIKAFETQLSRTESLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMRGHHVTRRFGWDCHGLPVENEIDRKLGIKRRDEVLKMGIDKYNEECRSIVTRYVEEWEKVITRTGRWIDFRNDYKTMDLKFMESVWWVFSQLYEKGLVYKGFKVMPYSTGCKTVLSNFEAGQNYKDVPDPEIMVSFPIIDDPHNASFVAWTTTPWTLPSNLALCVNGNFDYVKVRNKYTGKVFVVAESRLSVLPVEKPKSSVANGPAGDSKTSNSKTKGGKSENLMDSYDLLEKVKGNELLKKRYEPLFNYFSEFSDTAFRVVADNYVTDDSGTGIVHCAPAFGEDDYRVCIENQIINKGENLIVAVDDDGCFTERITDFSGRYVKDADKDIIENVKARGRLVKSGSYTHSYPFCWRSDTPLIYRAVPSWFVRVEDLKAKLLENNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWTSEDGEEIVVVDSVAKLEKLAGVKVFDLHRHNIDHITIPSNRGSEFGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGQFIAEGLDQTRGWFYTLMVLSTALFGKPAFRNLVCNGLVLAEDGKKMSKKLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKDGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAPFSPVDFGKLKNSSNVLDQWINSATQSLVHFVRQEMDAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRTALSTLYSVLLTSCKVMSPFTPFFTEVLYQNMRKVSTGAEESIHYCSFPQEEGERDERIERSVSRMTTVIDLARNIRERHNKPLKSPLREMIVVHPDADFLDDIAGKLKEYVLEELNVRSLVPCIDTLKYSSLRAEPEFSVLGKRLGKSMGIVAKEIKAMSQKDILAFEEAGEVTIASHTLKLADIKVVREFKRPDGLTEKEIDAAGDGDVLVIMDLRPDESLYEAGVAREVVNRIQKLRKKIALEPTDVVDVYIESLDEDKTKLERVLKSQEQYVRDAIGSPLLSSNLMPPQAVVIGEESYHQIYELSFTIYLARAALVFKSDAILALYAGNFICLLPTSSHYPLLIVSLFSMCLGLFHQKQAISGCSLLPHPVPEVDK >Manes.04G040600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7024155:7048850:1 gene:Manes.04G040600.v8.1 transcript:Manes.04G040600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCEGKEFSFPGHEEKILSFWSEIKAFETQLSRTESLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMRGHHVTRRFGWDCHGLPVENEIDRKLGIKRRDEVLKMGIDKYNEECRSIVTRYVEEWEKVITRTGRWIDFRNDYKTMDLKFMESVWWVFSQLYEKGLVYKGFKVMPYSTGCKTVLSNFEAGQNYKDVPDPEIMVSFPIIDDPHNASFVAWTTTPWTLPSNLALCVNGNFDYVKVRNKYTGKVFVVAESRLSVLPVEKPKSSVANGPAGDSKTSNSKTKGGKSENLMDSYDLLEKVKGNELLKKRYEPLFNYFSEFSDTAFRVVADNYVTDDSGTGIVHCAPAFGEDDYRVCIENQIINKGENLIVAVDDDGCFTERITDFSGRYVKDADKDIIENVKARGRLVKSGSYTHSYPFCWRSDTPLIYRAVPSWFVRVEDLKAKLLENNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWTSEDGEEIVVVDSVAKLEKLAGVKVFDLHRHNIDHITIPSNRGSEFGVLRRVDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGQFIAEGLDQTRGWFYTLMVLSTALFGKPAFRNLVCNGLVLAEDGKKMSKKLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKDGVYGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAPFSPVDFGKLKNSSNVLDQWINSATQSLVHFVRQEMDAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRTALSTLYSVLLTSCKVMSPFTPFFTEVLYQNMRKVSTGAEESIHYCSFPQEEGERDERIERSVSRMTTVIDLARNIRERHNKPLKSPLREMIVVHPDADFLDDIAGKLKEYVLEELNVRSLVPCIDTLKYSSLRAEPEFSVLGKRLGKSMGIVAKEIKAMSQKDILAFEEAGEVTIASHTLKLADIKVVREFKRPDGLTEKEIDAAGDGDVLVIMDLRPDESLYEAGVAREVVNRIQKLRKKIALEPTDVVDVYIESLDEDKTKLERVLKSQEQYVRDAIGSPLLSSNLMPPQAVVIGEESYHQIYELSFTIYLARAALVFKSDAILALYAGNTKFAQGLETYLLSRDHSNLRSEFQLRNGKITVDCIENQPAADVLLGEHLFLTVGDYFLRTRSG >Manes.03G175600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29911002:29917455:1 gene:Manes.03G175600.v8.1 transcript:Manes.03G175600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSWSKKMVRKLFNIRSKIEDFQADDVHGGGEMEYRTSFSEREPCTIKKSKTEKFSKNPEQVRRGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPSNLSLDDWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWLALIRKTLNNLPGTSGSGGCYTPSPIPEPIVEMDADFEGSSRQKNSSFFHRRSFQTTHSWRMDNDPSITQPRLDRRFSVCDRVMFGHRPSDYDHSHRWGHRPSDYSRPSDYSRSSDYSRWGSSDDDNGPGDSPSTVLYSPMSYGGPYSGSTSVEDGFRRPGCSRYCLVASKQMVGIFLTIWVRSELRDHVRNMKVSCVGRGLMGYLGNKGSISVSMSLHETTFCFICSHLTSGQKEGDELRRNADVIEILKKTRFPRVNSAADEKSPETILQHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQRRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLQQLSYVRGESRFSDHRPVYGIFWAEVESSHGQLKKSMSYSSSRIEVEELLPYSHGYTELNFF >Manes.04G146850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34428009:34431771:1 gene:Manes.04G146850.v8.1 transcript:Manes.04G146850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVHHPKIEDSFHDEGSAEEAKIAGSEQAEDKDHKKGIQDPDKYQEELVNKYDRQFKESDGFEYDYWPVKMNWLGLGQRIHLQKDTVYAEQVKEALDFAIRKQNEKGANLEVDEILIATCFRPFLYYITFRAKNLTTKETREYQTRVFWDPFTRSDADANVELFRFREEKKEEAESCSN >Manes.15G074900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5667306:5671662:-1 gene:Manes.15G074900.v8.1 transcript:Manes.15G074900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSGGDYQETRNDDLEEMVSRVMYTSGGDDAELRDNIAEGFAEGEDMEIRGELGLEPEINPQDGYMIQNNEDIPFSSPTPQYSPQNSPESVVDSVSSSSIPLEINRASDLLMAVSLGPEENKTKISKLANSAMEELVRKALKGEPLWQRQAVLDSEIEILNEAEYIREFRAFDASLEEIMRMIEVGDPQSFATLDANCDFSGECHQKPSLSSREAEPDALQTEASREIGFVNVNATTIVEWLMDLKQWSSAFSKIVSRASILGVLSNGIGTGNYHETLQVIRAEFHMPTPLVPARECQFARYCKQVESNTWGVVDVSLENIFPYPQVSFRRRPSGCLIQEMPNGESKVTWVEHVEVDNRQVHRIFHPFVLSGFAYCARRWLTTLIRHCEWVATLISQNAHFLFVEGGLVSQHGKENLLRLAERMMRSFCADFSACSNNLWIPMPVSGGEDFRVMTKSITAAISGCATATIAFTSSLWLPLPPRRVFDFLRREDSRNKWDLLSQELEIQELTHIIKGDNPDNRISVLQANSDSSLIEILYLQESYSDPTALYVVYAPMDIFSVAAILKGGSPDYVNILPSGFVIHPATQVMNYNGEEVGGSLLTVAFHIVDGFSMEDSLYIPRESVDTVCRILTETVILIKAAVLADDS >Manes.01G165200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34883339:34888632:-1 gene:Manes.01G165200.v8.1 transcript:Manes.01G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTRAEFDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPITGELSQQNIKDRYYGMNDPVALKLLNKAGEMPSLEPPEDESIRTLYVGGLDARITEQDLRDNFYAHGEIESIKMVSQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQAAMAHGGMLPRAVISQQQNQLHPPGSQVQPPPMHYFNIPPPPPQQERTFYPSMDPQRMGAVVPSQDGAPSGPMGSGENKNALERQQGQHFPYQGMPPPQGQYHQQLYPPYGYMPPIPPYQQYPPSYHSGVPPPQAPQGTQQHQHSVMLPRTAAPSASTSTGPPPPGSGASTSSNT >Manes.01G165200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34883339:34888643:-1 gene:Manes.01G165200.v8.1 transcript:Manes.01G165200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITGELSQQNIKDRYYGMNDPVALKLLNKAGEMPSLEPPEDESIRTLYVGGLDARITEQDLRDNFYAHGEIESIKMVSQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQAAMAHGGMLPRAVISQQQNQLHPPGSQVQPPPMHYFNIPPPPPQQERTFYPSMDPQRMGAVVPSQDGAPSGPMGSGENKNALERQQGQHFPYQGMPPPQGQYHQQLYPPYGYMPPIPPYQQYPPSYHSGVPPPQAPQGTQQHQHSVMLPRTAAPSASTSTGPPPPGSGASTSSNT >Manes.13G149900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36546278:36548977:-1 gene:Manes.13G149900.v8.1 transcript:Manes.13G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1 MFHSRFTSLACTVKSAKSRLFSSLGEPAKPQFAQTLAGLRARLASESPSFSDFTDLQSNDSYSVDVGTKKKPLPKPKWMKEAIPGGEKYVQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPANVAEAIASWGLDYVVITSVDRDDLLDQGSGHFAQTVQKLKALKPNMLIEALVPDFRGDPGCVEKVAKSGLDVFAHNIETVEELQSVVRDRRANFKQSLDVLMMAKDHAPTGTLTKTSIMLGCGETPEQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYRTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASSQVPNS >Manes.03G123050.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25041305:25043892:-1 gene:Manes.03G123050.v8.1 transcript:Manes.03G123050.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQANSTTTGAAGSAATGNPNSTSNSILSSAPVWPTVDGPLGLSEDESLSHARRFYKFGFAFLPWLWAVNCFYFWPVLRHSSSFPRIRPYVVRSAAGFSIFTALLCSWALTFAIGGEGLFGPLWDKLVMYNVADRLGLTGWV >Manes.03G123050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25041305:25043918:-1 gene:Manes.03G123050.v8.1 transcript:Manes.03G123050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQANSTTTGAAGSAATGNPNSTSNSILSSAPVWPTVDGPLGLSEDESLSHARRFYKFGFAFLPWLWAVNCFYFWPVLRHSSSFPRIRPYVVRSAAGFSIFTALLCSWALTFAIGGEGLFGPLWDKLVMYNVADRLGLTGWV >Manes.03G123050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25041475:25043895:-1 gene:Manes.03G123050.v8.1 transcript:Manes.03G123050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQANSTTTGAAGSAATGNPNSTSNSILSSAPVWPTVDGPLGLSEDESLSHARRFYKFGFAFLPWLWAVNCFYFWPVLRHSSSFPRIRPYVVRSAAGFSIFTALLCSWALTFAIGGEGLFGPLWDKLVMYNVADRLGLTGWV >Manes.17G026799.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20337187:20340056:1 gene:Manes.17G026799.v8.1 transcript:Manes.17G026799.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERKASISTTFLCKDCKILVPKLFLRISAKINLAIEIKGTDQEDFNSLALRFSILKFQCPAFFILINTQIESSRDLINELFLLSQALNLIYPPLFCCWVGGKFNALTSSRLNIPSPLTFPHLSHTHTPILNNGDLTHRTSLIHF >Manes.17G026799.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20337187:20339633:1 gene:Manes.17G026799.v8.1 transcript:Manes.17G026799.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERKASISTTFLCKDCKILVPKLFLRISAKINLAIEIKGTDQEDFNSLALRFSILKFQCPAFFILINTQIESSRDLINELFLLSQALNLIYPPLFCCWVGGKFNALTSSRLNIPSPLTFPHLSHTHTPILNNGDLTHRTSLIHF >Manes.17G026799.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20337187:20339707:1 gene:Manes.17G026799.v8.1 transcript:Manes.17G026799.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERKASISTTFLCKDCKILVPKLFLRISAKINLAIEIKGTDQEDFNSLALRFSILKFQCPAFFILINTQIESSRDLINELFLLSQALNLIYPPLFCCWVGGKFNALTSSRLNIPSPLTFPHLSHTHTPILNNGDLTHRTSLIHF >Manes.17G026799.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20337187:20340056:1 gene:Manes.17G026799.v8.1 transcript:Manes.17G026799.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERKASISTTFLCKDCKILVPKLFLRISAKINLAIEIKGTDQEDFNSLALRFSILKFQCPAFFILINTQIESSRDLINELFLLSQALNLIYPPLFCCWVGGKFNALTSSRLNIPSPLTFPHLSHTHTPILNNGDLTHRTSLIHF >Manes.17G026799.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20337187:20340056:1 gene:Manes.17G026799.v8.1 transcript:Manes.17G026799.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERKASISTTFLCKDCKILVPKLFLRISAKINLAIEIKGTDQEDFNSLALRFSILKFQCPAFFILINTQIESSRDLINELFLLSQALNLIYPPLFCCWVGGKFNALTSSRLNIPSPLTFPHLSHTHTPILNNGDLTHRTSLIHF >Manes.11G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10181440:10185769:1 gene:Manes.11G070700.v8.1 transcript:Manes.11G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFMFFTILAVLMVGVKNQKDPRDSLHHGGWMMKVICWCILVIFMFFLPNEIVSFYESISKFGSGLFLLVQVVLLLDFVHGWNDKWIGYGEQFWYIALFVVSLVCYLTTFVFSGFLFHWFTPSGQDCGLNTFFIVMTLIFVFVFAIVALHPAVSGSIFPASVISFYCMYLCYSGLASEPREYECNGLHKHSKAVSTGTLTIGLVTTVLSVVYSAVRAGSSTTLLSPPSSPRAGKPLLPLENKTEAEHDDKEKSKPVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATASLYLWSLVAPIMFPDREF >Manes.15G145700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11954915:11955175:1 gene:Manes.15G145700.v8.1 transcript:Manes.15G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNDELQAYTYPCPCGDLFQITKEDLRLGEEIARCPSCSLYITVIYNMEDFLGDDDKSKKKKNLEPAKQQPVAVA >Manes.06G004800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:908138:914293:-1 gene:Manes.06G004800.v8.1 transcript:Manes.06G004800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLHGYSNCSILFRNRAISYVGISTIPLLHLSARDFQGDSSSSDSSSNEDGSSGQGKQTPFGYTRKDVLLIGVGVTVLGIGLKSGLEFAGVDSLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMQKRLEGLTEAELEALLEQVEEEKKRLANGEQIN >Manes.06G004800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:908138:914293:-1 gene:Manes.06G004800.v8.1 transcript:Manes.06G004800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLHGYSNCSILFRNRAISYVGISTIPLLHLSARDFQGRLISNNFYRRVTFSFPREGSRRMMSKKVKFIIPRSSSSSDSSSNEDGSSGQGKQTPFGYTRKDVLLIGVGVTVLGIGLKSGLEFAGVDSLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMQKRLEGLTEAELEALLEQVEEEKKRLANGEQIN >Manes.06G004800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:908138:914293:-1 gene:Manes.06G004800.v8.1 transcript:Manes.06G004800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLHGYSNCSILFRNRAISYVGISTIPLLHLSARDFQGRLISNNFYRRVTFSFPREGSRRMMSKKVKFIIPRSSSSSDSSSNEDGSSGQGKQTPFGYTRKDVLLIGVGVTVLGIGLKSGLEFAGVDSLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMQKRLEGLTEAELEALLEQVEEEKKRLANGEQIN >Manes.06G004800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:908926:911172:-1 gene:Manes.06G004800.v8.1 transcript:Manes.06G004800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKKVKFIIPRSSSSSDSSSNEDGSSGQGKQTPFGYTRKDVLLIGVGVTVLGIGLKSGLEFAGVDSLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMQKRLEGLTEAELEALLEQVEEEKKRLANGEQIN >Manes.06G004800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:908138:914293:-1 gene:Manes.06G004800.v8.1 transcript:Manes.06G004800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLHGYSNCSILFRNRAISYVGISTIPLLHLSARDFQGRLISNNFYRRVTFSFPREGSRRMMSKKVKFIIPRSSSSSDSSSNEDGSSGQGKTPFGYTRKDVLLIGVGVTVLGIGLKSGLEFAGVDSLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMQKRLEGLTEAELEALLEQVEEEKKRLANGEQIN >Manes.06G004800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:908926:911172:-1 gene:Manes.06G004800.v8.1 transcript:Manes.06G004800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKKVKFIIPRSSSSSDSSSNEDGSSGQGKTPFGYTRKDVLLIGVGVTVLGIGLKSGLEFAGVDSLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMQKRLEGLTEAELEALLEQVEEEKKRLANGEQIN >Manes.01G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30000936:30003884:1 gene:Manes.01G098700.v8.1 transcript:Manes.01G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEQVESNGEKVEEVKEGVASIALLPCGSISGHFIQLPQSICYGLHGTELACERECSRGEDYRLIKLTIIDYNRGKEKAVIVECRGHDAARFHNIDHAHGWEEDIVAMAEEKHGKQKIFVSFECETLKAEKAAEEHIRKFMPKLAGLDAVVNIGQMKISGLDFEADDKQ >Manes.14G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1885934:1888134:-1 gene:Manes.14G018300.v8.1 transcript:Manes.14G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLRLAIGVLGNAASLLLYTAPILTFTRVVRKRSTEEFSCIPYIITLLNCLLYTWYGLPVVSYKWENFPLVTINGLGILLEISFIIIYFRFAETRRKIKVGVTLLPVLLLFSIVAAVSSFAFHDHHSRKIFTGSVGLVVSVGMYGSPLVVMKQVIQTKSVEFMPFYLSFFSFLASSLWLAYGLLSHDLFIASPNFLGAPLGILQLLLYCKYRKRGVMEEPQKWDVEKNEDKSKQLQLVVNDDTNGKS >Manes.05G171900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28646171:28647581:-1 gene:Manes.05G171900.v8.1 transcript:Manes.05G171900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFYHDEPPKISRKCKFLTATLKDAFSNCRARRRLPTSRPEVEHPSSDGDDEQEVVVSAIRSRAMENSRQRSFVLTDSFSWVISPRTGELLLAPKVLPENDDDDEDEEEEREEFVSVKSCFSCRSSALSNEPFVSVKTNFSRCSSFSEVLELEDFPRRSIFLDLCHCKGWPFGLCRKAVLLPPLPKSPSESWSWRKRTRIVKVARV >Manes.13G113200.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31887020:31891860:1 gene:Manes.13G113200.v8.1 transcript:Manes.13G113200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARHFTRIDTLELKLQIERKLGHLKAQKYFDLLTKFLSLKIGKSDFDRLCIGTIGRENVRLHNYLLRSIIKNAHLSKTPPPKESKVEGAVCVKVPNGYQKSSLQSICRDFIQSPRKGRSILSERKFKDRPSPLGPHGKSHNIAFEYSVPKNQEQQSATELLSFGSRPPGSVEDGEEVDQAAGSPSIHSRSPVRAPLGIPLNTKGSRKVLFNRFSSSYHMGACQNSGELPDSNSLRKRLEHKLEMEGIKVSVDCANLLNNSLDVYLKRLIKPCMDLAASRSGQKHAGQGQIQPICGMNGVWPVRHFQKSSGSSPVSMLDFRLTMELNPWILGEEWPMQLEKVCFRASEE >Manes.13G113200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31887020:31891860:1 gene:Manes.13G113200.v8.1 transcript:Manes.13G113200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARHFTRIDTLELKLQIERKLGHLKAQKYFDLLTKFLSLKIGKSDFDRLCIGTIGRENVRLHNYLLRSIIKNAHLSKTPPPKESKVEGAVCVKVPNGYQKSSLQSICRDFIQSPRKGRSILSERKFKDRPSPLGPHGKSHNIAFEYSVPKNQEQQSATELLSFGSRPPGSVEDGEEVDQAAGSPSIHSRSPVRAPLGIPLNTKGSRKVLFNRFSSSYHMGACQNSGELPDSNSLRKRLEHKLEMEGIKVSVDCANLLNNSLDVYLKRLIKPCMDLAASRSGQKHAGQGQIQPICGMNGVWPVRHFQKSSGSSPVSMLDFRLTMELNPWILGEEWPMQLEKVCFRASEE >Manes.13G113200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31887026:31889396:1 gene:Manes.13G113200.v8.1 transcript:Manes.13G113200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASEEFETKMPAARHFTRIDTLELKLQIERKLGHLKAQKYFDLLTKFLSLKIGKSDFDRLCIGTIGRENVRLHNYLLRSIIKNAHLSKTPPPKESKVEGAVCVKVPNGYQKSSLQSICRDFIQSPRKGRSILSERKFKDRPSPLGPHGKSHNIAFEYSVPKNQEQQSATELLSFGSRPPGSVEDGEEVDQAAGSPSIHSRSPVRAPLGIPLNTKGSRKVLFNRFSSSYHMGACQNSGELPDSNSLRKRLEHKLEMEGIKVSVDCANLLNNSLDVYLKRLIKPCMDLAASRSGQKHAGQGQIQPICGMNGVWPVRHFQKSSGSSPVSMLDFRLTMELNPWILGEEWPMQLEKVCFRASEE >Manes.13G113200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31887020:31891861:1 gene:Manes.13G113200.v8.1 transcript:Manes.13G113200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARHFTRIDTLELKLQIERKLGHLKAQKYFDLLTKFLSLKIGKSDFDRLCIGTIGRENVRLHNYLLRSIIKNAHLSKTPPPKESKVEGAVCVKVPNGYQKSSLQSICRDFIQSPRKGRSILSERKFKDRPSPLGPHGKSHNIAFEYSVPKNQEQQSATELLSFGSRPPGSVEDGEEVDQAAGSPSIHSRSPVRAPLGIPLNTKGSRKVLFNRFSSSYHMGACQNSGELPDSNSLRKRLEHKLEMEGIKVSVDCANLLNNSLDVYLKRLIKPCMDLAASRSGQKHAGQGQIQPICGMNGVWPVRHFQKSSGSSPVSMLDFRLTMELNPWILGEEWPMQLEKVCFRASEE >Manes.13G113200.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31887013:31890401:1 gene:Manes.13G113200.v8.1 transcript:Manes.13G113200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARHFTRIDTLELKLQIERKLGHLKAQKYFDLLTKFLSLKIGKSDFDRLCIGTIGRENVRLHNYLLRSIIKNAHLSKTPPPKESKVEGAVCVKVPNGYQKSSLQSICRDFIQSPRKGRSILSERKFKDRPSPLGPHGKSHNIAFEYSVPKNQEQQSATELLSFGSRPPGSVEDGEEVDQAAGSPSIHSRSPVRAPLGIPLNTKGSRKVLFNRFSSSYHMGACQNSGELPDSNSLRKRLEHKLEMEGIKVSVDCANLLNNSLDVYLKRLIKPCMDLAASRSGQKHAGQGQIQPICGMNGVWPVRHFQKSSGSSPVSMLDFRLTMELNPWILGEEWPMQLEKVCFRASEE >Manes.13G113200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31887013:31891755:1 gene:Manes.13G113200.v8.1 transcript:Manes.13G113200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARHFTRIDTLELKLQIERKLGHLKAQKYFDLLTKFLSLKIGKSDFDRLCIGTIGRENVRLHNYLLRSIIKNAHLSKTPPPKESKVEGAVCVKVPNGYQKSSLQSICRDFIQSPRKGRSILSERKFKDRPSPLGPHGKSHNIAFEYSVPKNQEQQSATELLSFGSRPPGSVEDGEEVDQAAGSPSIHSRSPVRAPLGIPLNTKGSRKVLFNRFSSSYHMGACQNSGELPDSNSLRKRLEHKLEMEGIKVSVDCANLLNNSLDVYLKRLIKPCMDLAASRSGQKHAGQGQIQPICGMNGVWPVRHFQKSSGSSPVSMLDFRLTMELNPWILGEEWPMQLEKVCFRASEE >Manes.13G113200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31887020:31891860:1 gene:Manes.13G113200.v8.1 transcript:Manes.13G113200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARHFTRIDTLELKLQIERKLGHLKAQKYFDLLTKFLSLKIGKSDFDRLCIGTIGRENVRLHNYLLRSIIKNAHLSKTPPPKESKVEGAVCVKVPNGYQKSSLQSICRDFIQSPRKGRSILSERKFKDRPSPLGPHGKSHNIAFEYSVPKNQEQQSATELLSFGSRPPGSVEDGEEVDQAAGSPSIHSRSPVRAPLGIPLNTKGSRKVLFNRFSSSYHMGACQNSGELPDSNSLRKRLEHKLEMEGIKVSVDCANLLNNSLDVYLKRLIKPCMDLAASRSGQKHAGQGQIQPICGMNGVWPVRHFQKSSGSSPVSMLDFRLTMELNPWILGEEWPMQLEKVCFRASEE >Manes.13G031000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4005872:4015170:1 gene:Manes.13G031000.v8.1 transcript:Manes.13G031000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMFPPRPPGVIGVLPAGSRPLVPGIPAVRPIIPPIIRPAVPSVTPAEKPQTTVYVGKIEPTVENDFMLSLLRLCGPVKSWKRAQDPSDGTPKRFGFCEFESAEGVLRALRLLSKFNIDGQELVLNVNQATREYLERYVEKKTENSKNLKENQAAKIDKEDGTALGVEKNEPSKSSEEDSNIDKEKGDKESHDLANFGIVTDEDKEADKEALEKLTSMIEERLKTKPLPPPPPQTPADGSGNTNSELPAKSRDGDSDADIARPDTAEDKNDDETSDNKAASEQDRPETSSPDRSRKYDRRSRERDRDRDLKREKERELERYEREAERERIRKEREQRRKIEEAEREFEERLKDWEYREREKEKQRQYEKEKEKERERKRRKEILYDEEGDDDDSRKRWHRSILEEKRRKRLREKEEDMADRLKEEEEIAEAKSRAEEERLQQQQRDALKLSSDLIMNGSEKKILVEEAIIESKDKFVEQVNEGDSGNENRADDEVLQNGAADESTLTPMTESDMRRSGNVPARKLGFGLVGSGKRATVPSVFHEEDDDEAHKDKKMRPLVPIDYSTEELQAVQPAVTGAQPPNLAAAAEFAKRISNANPKEERLDVERERSRRTHDRPSQRDRDRNDEDINRVRDEKDKILEQDRNREHGLDKVKTPDKQKLLDAKQLIDMIPKTKDELFSYEINWAVYDKHGLHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLDMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLALRSKT >Manes.13G031000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4005872:4015170:1 gene:Manes.13G031000.v8.1 transcript:Manes.13G031000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMFPPRPPGVIGVLPAGSRPLVPGIPAVRPIIPPIIRPAVPSVTPAEKPQTTVYVGKIEPTVENDFMLSLLRLCGPVKSWKRAQDPSDGTPKRFGFCEFESAEGVLRALRLLSKFNIDGQELVLNVNQATREYLERYVEKKTENSKNLKENQAAKIDKEDGTALGVEKNEPSKSSEEDSNIDKEKGDKESHDLANFGIVTDEDKEADKEALEKLTSMIEERLKTKPLPPPPPQTPADGSGNTNSELPAKSRDGDSDADIARPDTAEDKNDDETSDNKAASEQDRPETSSPDRSRKYDRRSRERDRDRDLKREKERELERYEREAERERIRKEREQRRKIEEAEREFEERLKDWEYREREKEKQRQYEKEKEKERERKRRKEILYDEEGDDDDSRKRWHRSILEEKRRKRLREKEEDMADRLKEEEEIAEAKSRAEEERLQQQQRDALKLSSDLIMNGSEKKILVEEAIIESKDKFVEQVNEGDSGNENRADDEVLQNGAADESTLTPMTESDMRRSGNVPARKLGFGLVGSGKRATVPSVFHEEDDDEAHKDKKMRPLVPIDYSTEELQAVQPAVTGAQPPNLAAAAEFAKRISNANPKEERLDVERERSRRTHDRPSQRDRDRNDEDINRVRDEKDKILEQDRNREHGLDKVKTPDKQKLLDAKQLIDMIPKTKDELFSYEINWAVYDKHGLHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLDMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLALRSKT >Manes.13G031000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4005872:4015170:1 gene:Manes.13G031000.v8.1 transcript:Manes.13G031000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMFPPRPPGVIGVLPAGSRPLVPGIPAVRPIIPPIIRPAVPSVTPAEKPQTTVYVGKIEPTVENDFMLSLLRLCGPVKSWKRAQDPSDGTPKRFGFCEFESAEGVLRALRLLSKFNIDGQELVLNVNQATREYLERYVEKKTENSKNLKENQAAKIDKEDGTALGVEKNEPSKSSEEDSNIDKEKGDKESHDLANFGIVTDEDKEADKEALEKLTSMIEERLKTKPLPPPPPQTPADGSGNTNSELPAKSRDGDSDADIARPDTAEDKNDDETSDNKAASEQDRPETSSPDRSRKYDRRSRERDRDRDLKREKERELERYEREAERERIRKEREQRRKIEEAEREFEERLKDWEYREREKEKQRQYEKEKEKERERKRRKEILYDEEGDDDDSRKRWHRSILEEKRRKRLREKEEDMADRLKEEEEIAEAKSRAEEERLQQQQRDALKLSSDLIMNGSEKKILVEEAIIESKDKFVEQVNEGDSGNENRADDEVLQNGAADESTLTPMTESDMRRSGNVPARKLGFGLVGSGKRATVPSVFHEEDDDEAHKDKKMRPLVPIDYSTEELQAVQPAVTGAQPPNLAAAAEFAKRISNANPKEERLDVERERSRRTHDRPSQRDRDRNDEDINRVRDEKDKILEQDRNREHGLDKVKTPDKQKLLDAKQLIDMIPKTKDELFSYEINWAVYDKHGLHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLDMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLALRSKT >Manes.13G031000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4005872:4015170:1 gene:Manes.13G031000.v8.1 transcript:Manes.13G031000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMFPPRPPGVIGVLPAGSRPLVPGIPAVRPIIPPIIRPAVPSVTPAEKPQTTVYVGKIEPTVENDFMLSLLRLCGPVKSWKRAQDPSDGTPKRFGFCEFESAEGVLRALRLLSKFNIDGQELVLNVNQATREYLERYVEKKTENSKNLKENQAAKIDKEDGTALGVEKNEPSKSSEEDSNIDKEKGDKESHDLANFGIVTDEDKEADKEALEKLTSMIEERLKTKPLPPPPPQTPADGSGNTNSELPAKSRDGDSDADIARPDTAEDKNDDETSDNKAASEQDRPETSSPDRSRKYDRRSRERDRDRDLKREKERELERYEREAERERIRKEREQRRKIEEAEREFEERLKDWEYREREKEKQRQYEKEKEKERERKRRKEILYDEEGDDDDSRKRWHRSILEEKRRKRLREKEEDMADRLKEEEEIAEAKSRAEEERLQQQQRDALKLSSDLIMNGSEKKILVEEAIIESKDKFVEQVNEGDSGNENRADDEVLQNGAADESTLTPMTESDMRRSGNVPARKLGFGLVGSGKRATVPSVFHEEDDDEAHKDKKMRPLVPIDYSTEELQAVQPAVTGAQPPNLAAAAEFAKRISNANPKEERLDVERERSRRTHDRPSQRDRDRNDEDINRVRDEKDKILEQDRNREHGLDKVKTPDKQKLLDAKQLIDMIPKTKDELFSYEINWAVYDKHGLHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLDMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLALRSKT >Manes.13G031000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4005872:4015170:1 gene:Manes.13G031000.v8.1 transcript:Manes.13G031000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMFPPRPPGVIGVLPAGSRPLVPGIPAVRPIIPPIIRPAVPSVTPAEKPQTTVYVGKIEPTVENDFMLSLLRLCGPVKSWKRAQDPSDGTPKRFGFCEFESAEGVLRALRLLSKFNIDGQELVLNVNQATREYLERYVEKKTENSKNLKENQAAKIDKEDGTALGVEKNEPSKSSEEDSNIDKEKGDKESHDLANFGIVTDEDKEADKEALEKLTSMIEERLKTKPLPPPPPQTPADGSGNTNSELPAKSRDGDSDADIARPDTAEDKNDDETSDNKAASEQDRPETSSPDRSRKYDRRSRERDRDRDLKREKERELERYEREAERERIRKEREQRRKIEEAEREFEERLKDWEYREREKEKQRQYEKEKEKERERKRRKEILYDEEGDDDDSRKRWHRSILEEKRRKRLREKEEDMADRLKEEEEIAEAKSRAEEERLQQQQRDALKLSSDLIMNGSEKKILVEEAIIESKDKFVEQVNEGDSGNENRADDEVLQNGAADESTLTPMTESDMRRSGNVPARKLGFGLVGSGKRATVPSVFHEEDDDEAHKDKKMRPLVPIDYSTEELQAVQPAVTGAQPPNLAAAAEFAKRISNANPKEERLDVERERSRRTHDRPSQRDRDRNDEDINRVRDEKDKILEQDRNREHGLDKVKTPDKQKLLDAKQLIDMIPKTKDELFSYEINWAVYDKHGLHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLDMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLALRSKT >Manes.13G031000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4005872:4015170:1 gene:Manes.13G031000.v8.1 transcript:Manes.13G031000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSSPAATADHNSSKPEPDNPELLPNQPDPTSNSATPPPPPSPLTQQQTPNPNHTLNPYPALVSTPAHAFPSYAPPISGASVPPLAQSFRPVPQFSPLPNYQNPSVGVQPPGVSAPSVMASGAPGAVPVPTPVMQYQVPPGQHPNPALRPYAPLPNGYGVIPGAVPQGTMQPPGIQRYPSPYQAMVRPMFPPRPPGVIGVLPAGSRPLVPGIPAVRPIIPPIIRPAVPSVTPAEKPQTTVYVGKIEPTVENDFMLSLLRLCGPVKSWKRAQDPSDGTPKRFGFCEFESAEGVLRALRLLSKFNIDGQELVLNVNQATREYLERYVEKKTENSKNLKENQAAKIDKEDGTALGVEKNEPSKSSEEDSNIDKEKGDKESHDLANFGIVTDEDKEADKEALEKLTSMIEERLKTKPLPPPPPQTPADGSGNTNSELPAKSRDGDSDADIARPDTAEDKNDDETSDNKAASEQDRPETSSPDRSRKYDRRSRERDRDRDLKREKERELERYEREAERERIRKEREQRRKIEEAEREFEERLKDWEYREREKEKQRQYEKEKEKERERKRRKEILYDEEGDDDDSRKRWHRSILEEKRRKRLREKEEDMADRLKEEEEIAEAKSRAEEERLQQQQRDALKLSSDLIMNGSEKKILVEEAIIESKDKFVEQVNEGDSGNENRADDEVLQNGAADESTLTPMTESDMRRSGNVPARKLGFGLVGSGKRATVPSVFHEEDDDEAHKDKKMRPLVPIDYSTEELQAVQPAVTGAQPPNLAAAAEFAKRISNANPKEERLDVERERSRRTHDRPSQRDRDRNDEDINRVRDEKDKILEQDRNREHGLDKVKTPDKQKLLDAKQLIDMIPKTKDELFSYEINWAVYDKHGLHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLDMLQSILDDEAEMFVLKMWRMLIFEIKKVETGLALRSKT >Manes.01G137800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32980537:32985142:1 gene:Manes.01G137800.v8.1 transcript:Manes.01G137800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDRLLEPGASKSVDNSVPRSNPAPEQRLPPKTRPVPSSKSILKRRNSISATERKVSLPQRSPALYATPEATPLPDSPSSFTPSPYIINHKRRGPRLLKSFSEVDVASHSKTLNEVNGSAKDSENSVGDSSKDRRVTFSFSDFEGKNVNGTQNSPRIKGESENGAHEASSEGVEMHGTHDGEIGSSNEKLKSRNTRNGLAMEKNSERDGDSEDFFDPRESISYSSYTDSEDNAVVESSVKLAATTAVGEFYDACEELSLESGQQTSLRDLEAELCEMRLNLSMELEKRKQAEETLHNVQNQWQRIRQQLALEGLTLPAFPMTVPEDELSPGIDPAEELCQQVCVARFVSDSIGRGIAKAEVEMEKESQIEAKNFEIARLWDRLHYYEAVNREMSQRNQEVIEKARRKRQVRKRRQKWVWGSIAAAITLGTAALAWSYFPSAKGSCDDSVVPEQDNAAKR >Manes.01G137800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32980537:32983178:1 gene:Manes.01G137800.v8.1 transcript:Manes.01G137800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDRLLEPGASKSVDNSVPRSNPAPEQRLPPKTRPVPSSKSILKRRNSISATERKVSLPQRSPALYATPEATPLPDSPSSFTPSPYIINHKRRGPRLLKSFSEVDVASHSKTLNEVNGSAKDSENSVGDSSKDRRVTFSFSDFEGKNVNGTQNSPRIKGESENGAHEASSEGVEMHGTHDGEIGSSNEKLKSRNTRNGLAMEKNSERDGDSEDFFDPRESISYSSYTDSEDNAVVESSVKLAATTAVGEFYDACEELSLESGQQTSLRDLEAELCEMRLNLSMELEKRKQAEETLHNVQNQWQRIRQQLALEGLTLPAFPMTVPEDELSPGIDPAEELCQQVCVARFVSDSIGRGIAKAEVEMEKESQIEAKNFEIARLWDRLHYYEAVNREMSQRNQEVIGEISVS >Manes.01G137800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32980537:32985142:1 gene:Manes.01G137800.v8.1 transcript:Manes.01G137800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDRLLEPGASKSVDNSVPRSNPAPEQRLPPKTRPVPSSKSILKRRNSISATERKVSLPQRSPALYATPEATPLPDSPSSFTPSPYIINHKRRGPRLLKSFSEVDVASHSKTLNEVNGSAKDSENSVGDSSKDRRVTFSFSDFEGKNVNGTQNSPRIKGESENGAHEASSEGVEMHGTHDGEIGSSNEKLKSRNTRNGLAMEKNSERDGDSEDFFDPRESISYSSYTDSEDNAVVESSVKLAATTAVGEFYDACEELSLESGQQTSLRDLEAELCEMRLNLSMELEKRKQAEETLHNVQNQWQRIRQQLALEGLTLPAFPMTVPEDELSPGIDPAEELCQQVCVARFVSDSIGRGIAKAEVEMEKESQIEAKNFEIARLWDRLHYYEAVNREMSQRNQEVIEKARRKRQVRKRRQKWVWGSIAAAITLGTAALAWSYFPSAKGSCDDSVVPEQDNAAKR >Manes.15G008000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:773407:774347:-1 gene:Manes.15G008000.v8.1 transcript:Manes.15G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTIEREIISSIPAAKMFKVFVLEADTALPSILSEAIKSVEFIQGNGGPGTIKKTTFVEGGDFKYIKTKIETIDKDNLTQSYTVIEGDPWSDLLEKITYENKMVATPDGGCIIKSTNKFFPKGNSELDEEKVKAGAEKTWLIFKAVEAYLAANPDAYN >Manes.15G018100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1548592:1553795:-1 gene:Manes.15G018100.v8.1 transcript:Manes.15G018100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQATQAATSGVTEVSSQAVPKHEEPSNEEASNPESGGRRLNLAVQIPPKPIGFGTSRSGRGLIHSQDLCKGSPSSGGLLRGLSFKKKSVIPDGERSFLLKSDPTTAPDSPVMASLRSAWNRCTSLPVTPASNLSPSVSTPISARMPGESHKINKEAAQAVVSRSLSVPGRNIVIVRCSSFTTHNENEPTNPINDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAVKWFSTKGNKNCDVCRQEVKNLPVTLLRVTSSAQSNNRQEQSNHLRTQSVSAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAVILAAPFAFTLGLFASIFAILFAIREYIWTYAALEFALVAIFVHIFYSIIDLKSINAILVSSVLGLGIALTLNSLYIKFYAWRVQIAQIPSPV >Manes.15G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1548591:1553795:-1 gene:Manes.15G018100.v8.1 transcript:Manes.15G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATSGVTEVSSQAVPKHEEPSNEEASNPESGGRRLNLAVQIPPKPIGFGTSRSGRGLIHSQDLCKGSPSSGGLLRGLSFKKKSVIPDGERSFLLKSDPTTAPDSPVMASLRSAWNRCTSLPVTPASNLSPSVSTPISARMPGESHKINKEAAQAVVSRSLSVPGRNIVIVRCSSFTTHNENEPTNPINDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAVKWFSTKGNKNCDVCRQEVKNLPVTLLRVTSSAQSNNRQEQSNHLRTQSVSAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAVILAAPFAFTLGLFASIFAILFAIREYIWTYAALEFALVAIFVHIFYSIIDLKSINAILVSSVLGLGIALTLNSLYIKFYAWRVQIAQIPSPV >Manes.15G018100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1548592:1553795:-1 gene:Manes.15G018100.v8.1 transcript:Manes.15G018100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATSGVTEVSSQAVPKHEEPSNEEASNPESGGRRLNLAVQIPPKPIGFGTSRSGRGLIHSQDLCKGSPSSGGLLRGLSFKKKSVIPDGERSFLLKSDPTTAPDSPVMASLRSAWNRCTSLPVTPASNLSPSVSTPISARMPGESHKINKEAAQAVVSRSLSVPGRNIVIVRCSSFTTHNENEPTNPINDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAVKWFSTKGNKNCDVCRQEVKNLPVTLLRVTSSAQSNNRQEQSNHLRTQSVSAWQDFVVLVLISTICYFFFLEQLLIDLKSINAILVSSVLGLGIALTLNSLYIKFYAWRVQIAQIPSPV >Manes.15G018100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1548592:1553795:-1 gene:Manes.15G018100.v8.1 transcript:Manes.15G018100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMQATQAATSGVTEVSSQAVPKHEEPSNEEASNPESGGRRLNLAVQIPPKPIGFGTSRSGRGLIHSQDLCKGSPSSGGLLRGLSFKKKSVIPDGERSFLLKSDPTTAPDSPVMASLRSAWNRCTSLPVTPASNLSPSVSTPISARMPGESHKINKEAAQAVVSRSLSVPGRNIVIVRCSSFTTHNENEPTNPINDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAVKWFSTKGNKNCDVCRQEVKNLPVTLLRVTSSAQSNNRQEQSNHLRTQSVSAWQDFVVLVLISTICYFFFLEQLLQSESIYGHMLLLNLHL >Manes.15G018100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1548592:1553795:-1 gene:Manes.15G018100.v8.1 transcript:Manes.15G018100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATSGVTEVSSQAVPKHEEPSNEEASNPESGGRRLNLAVQIPPKPIGFGTSRSGRGLIHSQDLCKGSPSSGGLLRGLSFKKKSVIPDGERSFLLKSDPTTAPDSPVMASLRSAWNRCTSLPVTPASNLSPSVSTPISARMPGESHKINKEAAQAVVSRSLSVPGRNIVIVRCSSFTTHNENEPTNPINDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAVKWFSTKGNKNCDVCRQEVKNLPVTLLRVTSSAQSNNRQEQSNHLRTQSVSAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAVILAAPFAFTLGLFASIFAILFAIREYIWTYAALEFALVAIFVHIFYSIVSLVNHLKSCYNQKL >Manes.15G018100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1548591:1553795:-1 gene:Manes.15G018100.v8.1 transcript:Manes.15G018100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATSGVTEVSSQAVPKHEEPSNEEASNPESGGRRLNLAVQIPPKPIGFGTSRSGRGLIHSQDLCKGSPSSGGLLRGLSFKKKSVIPDGERSFLLKSDPTTAPDSPVMASLRSAWNRCTSLPVTPASNLSPSVSTPISARMPGESHKINKEAAQAVVSRSLSVPGRNIVIVRCSSFTTHNENEPTNPINDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAVKWFSTKGNKNCDVCRQEVKNLPVTLLRVTSSAQSNNRQEQSNHLRTQSVSAWQDFVVLVLISTICYFFFLEQLLQSESIYGHMLLLNLHL >Manes.15G018100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1548592:1553795:-1 gene:Manes.15G018100.v8.1 transcript:Manes.15G018100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATQAATSGVTEVSSQAVPKHEEPSNEEASNPESGGRRLNLAVQIPPKPIGFGTSRSGRGLIHSQDLCKGSPSSGGLLRGLSFKKKSVIPDGERSFLLKSDPTTAPDSPVMASLRSAWNRCTSLPVTPASNLSPSVSTPISARMPGESHKINKEAAQAVVSRSLSVPGRNIVIVRCSSFTTHNENEPTNPINDQISSVPVEVDDEEIPEEEAVCRICLDVCEEGNTLKMECSCKGALQLVHEECAVKWFSTKGNKNCDVCRQEVKNLPVTLLRVTSSAQSNNRQEQSNHLRTQSVSAWQDFVVLVLISTICYFFFLEQLLQSESIYGHMLLLNLHL >Manes.14G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5252542:5255989:-1 gene:Manes.14G063200.v8.1 transcript:Manes.14G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFCMDMEEDSFPWTKLRNESFCILHRTRQHQCIQTQTVAAKRTTKKQQQHSIEMAAFTSHNPTNHPIIPLFIAFLLVFSSSFASVLSLQLMSDSSNLLPANQTFRPGKETWKLKRVNAYLKKINKPAVKTIQSPDGDVIDCVLSHLQPAFDHPELKGQKPLDPPERPKGNETAERMSKSYQLWAESGEYCPEGTVPIRRTTEKDVLRASSLRRFGRKLRRHVRRDSTGSGHEHAVVFVNGDQYYGAKASVNVWAPRVTDQYEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYNGRQFDIGLMVWKDPKHGNWWLEFGSGLLVGYWPAFLFSHLRSHASMIQFGGEIVNSRSSGYHTSTQMGSGHFAEEGFGKASYFRNLQVVDWDNNLLPLTNLHLLADHPDCYDIRQGRNNIWGTYFYYGGPGRNVRCP >Manes.11G007800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:862203:863645:1 gene:Manes.11G007800.v8.1 transcript:Manes.11G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSHSLPEHTPLSPNSEPSPPRSPRSPTRPAISLQQPTNKKSPSKSAKLLRRFRAVFRSFPIITPTCKIPVSLDGSRLHDGHIHGGTRMTGTLFGHRKARINLAIQENPRSLPILLLELTIPTGKLLQDMGLGLVRIALECEKKPSEKTKIVDETIWTMYCNGRKSGYGLKREPTDEDLIVMQILHVISMGAGVIPDDETDNSDGELMYMRSNFERVIGSKDSETYYMMNPDVHNGPELTIFFVRI >Manes.13G077445.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12222027:12227279:1 gene:Manes.13G077445.v8.1 transcript:Manes.13G077445.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQACIGSKVITSESLPAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEDFMAVLKLEKEVL >Manes.13G030400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3917841:3920409:1 gene:Manes.13G030400.v8.1 transcript:Manes.13G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPIIPLVFFVFLCFPCLLNVSLAGARVLLAGDSPLTPKAYLIRYWDKEIHNNLPKSQFILSKASPLNAVEAAIFAKLAAENALSIKLSAFCSSAKLFCFPHLAPSIEKHDGNSEFATYENKNFTDYGTGAVDGVNSFKNYSDTVNTFRRYGRAAIDHDEKFSIYGPEGNVVDQSFNTYGARSSGGEGEFKNYNEGVNVPNLRFTSYSDHGTLKAQKFSSYTEDTNAGSESFTSYGKNGNAAPNEFTSYGENTNVIISDFKNYGENSNGANDTFKSYGVNGNVPQNNFKNYGAEGNGGFDTFTSYREQSNVGDDSFQSYAKKSTEGTVGFRNYGNSYNEGTDTFSGYGEEADGQKIGFKIYGVNNTFKEYADNKSVSFSEYNSTADTASEETTTKNLSGSLVNKWIVPGRFFRESELKEGNVMPMPDITDKMPPRSFLPRSITSNLPFSTSMIAPLKETFHAVDNSTMEKIIIDALNECERDPSPGETKRCVGSAEDLIDFATSVLGRNVVVRTTENVKGSKKDIMIGSVKGINGGKVTKSVSCHQSLYPYLLYYCHSVPKVRVYEADILDTNSKAKINHGVAICHLDTSSWSPTHEAFLTLGSSPGRIEVCHWIFENDMAWTTAENSITLTTAENDMTWTIVDE >Manes.11G134000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29832003:29840483:1 gene:Manes.11G134000.v8.1 transcript:Manes.11G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKKRLADTDSKAEPTTIKINQPDQAGGAGEAAQKSACCGS >Manes.06G140300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26803250:26807095:1 gene:Manes.06G140300.v8.1 transcript:Manes.06G140300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAIIGIRDVVVDELSSPAKQKAANKSFHSSRWTPLLRRDRQLLAGTKVKLVLGLLILCATFYMTSRISSFMCWIPDNTSAVFSPSKGGYAVLINARKGNLLLTQSVAHYASCVCTDAIHVVWSETDPPSKNLKAYLKRVVLSKLQTVDDDVIVPCSFLDFAFSVWQSAPSAMVGFVPRMHWLDLKNGVPYHKYGGWWRVWWMGTYNMVLSRAAFFHKKYLDLYTHAMPPSIQDYIARERNCEDIAMSLHIANATGAPPIWVKADLTKNAPLLSFKFYFLLITGDYP >Manes.06G140300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26803250:26807096:1 gene:Manes.06G140300.v8.1 transcript:Manes.06G140300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAIIGIRDVVVDELSSPAKQKAANKSFHSSRWTPLLRRDRQLLAGTKVKLVLGLLILCATFYMTSRISSFMCWIPDNTSAVFSPSKGGYAVLINARKGNLLLTQSVAHYASCVCTDAIHVVWSETDPPSKNLKAYLKRVVLSKLQTVDDDVIVPCSFLDFAFSVWQSAPSAMVGFVPRMHWLDLKNGVPYHKYGGWWRVWWMGTYNMVLSRAAFFHKKYLDLYTHAMPPSIQDYIARERNCEDIAMSLHIANATGAPPIWVKGKMHEIGSLAISGLKGHSDRRNKCLNDLISLYGGTVPLVSSNVKAVDARREWFW >Manes.06G140300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26803250:26807095:1 gene:Manes.06G140300.v8.1 transcript:Manes.06G140300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAIIGIRDVVVDELSSPAKQKAANKSFHSSRWTPLLRRDRQLLAGTKVKLVLGLLILCATFYMTSRISSFMCWIPDNTSAVFSPSKGGYAVLINARKGNLLLTQSVAHYASCVCTDAIHVVWSETDPPSKNLKAYLKRVVLSKLQTGLKPNFKLDISKEVNLNNRFKPFADLRTDAIFSVDDDVIVPCSFLDFAFSVWQSAPSAMVGFVPRMHWLDLKNGVPYHKYGGWWRVWWMGTYNMVLSRAAFFHKKYLDLYTHAMPPSIQDYIARERNCEDIAMSLHIANATGAPPIWVKGKMHEIGSLAISGLKGHSDRRNKCLNDLISLYGGTVPLVSSNVKAVDARREWFW >Manes.14G008196.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1875393:1881253:-1 gene:Manes.14G008196.v8.1 transcript:Manes.14G008196.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSALMFCTWPLSKEYLRWQLNALGERAHVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPRYLFPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVASSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDALHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVAAKEALEGHCIYDGGFCKLHITYSRHNDLSIKVNNDRSRDYTIPNTAMLNPQPSIQGQQAVPAVGPPAHPYSGTQHPAMPQPSAGWAAGVPAAPHSMPAGPAQMNNHPYLPPGSMAPQMGPGMMQMPGPSGLSMPPSYRPGQM >Manes.14G008196.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1875398:1881253:-1 gene:Manes.14G008196.v8.1 transcript:Manes.14G008196.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSALMFCTCRPLSKEYLRWQLNALGERAHVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPRYLFPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVASSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDALHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVAAKEALEGHCIYDGGFCKLHITYSRHNDLSIKVNNDRSRDYTIPNTAMLNPQPSIQGQQAVPAVGPPAHPYSGTQHPAMPQPSAGWAAGVPAAPHSMPAGPAQMNNHPYLPPGSMAPQMGPGMMQMPGPSGLSMPPSYRPGQM >Manes.14G008196.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1875398:1881253:-1 gene:Manes.14G008196.v8.1 transcript:Manes.14G008196.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQPQFRLTQPPSKVLHLRNLPWECTEEELVELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVSGNVLLVTIEGADARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPRYLFPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVASSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDALHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVAAKEALEGHCIYDGGFCKLHITYSRHNDLSIKVNNDRSRDYTIPNTAMLNPQPSIQGQQAVPAVGPPAHPYSGTQHPAMPQPSAGWAAGVPAAPHSMPAGPAQMNNHPYLPPGSMAPQMGPGMMQMPGPSGLSMPPSYRPGQM >Manes.14G008196.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1875373:1881253:-1 gene:Manes.14G008196.v8.1 transcript:Manes.14G008196.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASSALMFCTCRPLSKEYLRWQLNALGERAHVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPRYLFPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVASSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDALHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVAAKEALEGHCIYDGGFCKLHITYSRHNDLSIKVNNDRSRDYTIPNTAMLNPQPSIQGQQAVPAVGPPAHPYSGTQHPAMPQPSAGWAAGVPAAPHSMPAGPAQMNNHPYLPPGSMAPQMGPGMMQMPGPSGLSMPPSYRPGQM >Manes.14G008196.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1875398:1881253:-1 gene:Manes.14G008196.v8.1 transcript:Manes.14G008196.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQPQFRLTQPPSKVLHLRNLPWECTEEELVELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVSGNVLLVTIEGADARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPRYLFPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVASSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDALHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVAAKEALEGHCIYDGGFCKLHITYSRHNDLSIKVNNDRSRDYTIPNTAMLNPQPSIQGQQAVPAVGPPAHPYSGTQHPAMPQPSAGWAAGVPAAPHSMPAGPAQMNNHPYLPPGSMAPQMGPGMMQMPGPSGLSMPPSYRPGQM >Manes.14G008196.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1875329:1881253:-1 gene:Manes.14G008196.v8.1 transcript:Manes.14G008196.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQPQFRLTQPPSKVLHLRNLPWECTEEELVELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVSGNVLLVTIEGADARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPRYLFPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVASSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDALHMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAVAAKEALEGHCIYDGGFCKLHITYSRHNDLSIKVNNDRSRDYTIPNTAMLNPQPSIQGQQAVPAVGPPAHPYSGTQHPAMPQPSAGWAAGVPAAPHSMPAGPAQMNNHPYLPPGSMAPQMGPGMMQMPGPSGLSMPPSYRPGQM >Manes.14G070100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5840139:5841326:-1 gene:Manes.14G070100.v8.1 transcript:Manes.14G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIMQCHSHSAYDSESLCSQPSLRSVPSLSSQPHHQKFLSGIDHHCLATLKGHQSYISSLTLAGKFLYGGSSDKEIRFWKRNQLDSELDHESLCNNVVAVGKGAVKSLVVLADKLFSAHQDHKIRVWKISNQEPDQQKYTHLATLPTLGDRALKILLPKNQVQIRRHKTCTWVHHVDTVSALALSKDESLLYSVSWDRTLKIWRTSDFKCLESVFSAHDDAINAVAASSDGDVYTGSADKKIKVWRKSSGEKKHSLVDTLERHNSGINALALGTDGSVLYSGSCDRSILVWEKDGGDGGMVVMGALRGHTQSILCLVVVCDLVCSGSADKTIRVWKCVERNYSCLAVLEGHKGPVKCLTATIDEHNHSHTSYLIYSGSLDCDMKVWRLNVPIHL >Manes.S053116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1828541:1828708:-1 gene:Manes.S053116.v8.1 transcript:Manes.S053116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.07G014500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1760030:1763078:-1 gene:Manes.07G014500.v8.1 transcript:Manes.07G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITASSAHLKTPRSSDELCTSHPSQAYLSSKSLSFTPIHNTQIDISYSNKNKLYAIADSWKWRTKVSFFQGFLVKEKDVKKLKEELLEAIAPLDRGAEASQEVQERVDEISRKLEAANKVKEPLKSKLLNGKWELIYTTSQSILQTKRPKFLRPNGKIYQAINVDTLRAQNIETWPFFNQATANLVPLNARRVAVKFDFFRIAGLIPIASPGSGRGQLEITYLDEELRISRGNRGNLFILKMVDPSYRVPL >Manes.01G208001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37982532:38006212:-1 gene:Manes.01G208001.v8.1 transcript:Manes.01G208001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGNSASGVRVVVAGDRFTGKSSLIAAAATESFPDNVPRVIPPTRLPADFFPDRVPITIIDTSSALESRGKLFEELKRADVVVLTYACDQPLTLSRLSSFWLEELRRLEVKAPIILVGCKLDLRDEAQPVTLEQFMGPIMQRYREIETCIECSSLTLMQVPEVFYYAQRAVLHPTAPLFDQENQTLKPLCERALRRIFLLCDHDMDGALNDTELNNFQVQCFNAPLLPAEIVGVKRVVQEKKKEGVNDLGLTIQGFLFLHALFIDKGRQETTWTVLRKFGYDDELKLRDNLLPVPSKHAPDQSIELTIEAVEFLRGIFRLFDIDNHGALRPADLDDLFSTAPENPWSEAPYRNAAERTTQRNLTLKGFLAEWDLMTLLDPKASLANLIYVGYGGNPASALRVTRRRTVDRKKQRTERNVFHCLVFGPKDAGKSALLDSFLERPFSASRNLTMEHYAANVVDQHGGNKKTLILQEIPEDGAKKLLSNKESLADCDVAVFVYDSSDQYSWKRSCELLLELTRRGEETGYGVPCLLIAAKDDLDPYPMAVRDSVAVCQELRIEAPIPVSVKLGETNNVFCRILSAAERPHLNIPETESGTKRKHFHQLISHSLLFMSVGAAFTVFGVAAFRAFTSRKNSSS >Manes.08G080011.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23776482:23777600:1 gene:Manes.08G080011.v8.1 transcript:Manes.08G080011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAEEEALLRAYVKQYGPREWNLVSQRMNIILNRDVNSCSERRKNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAQHREQKENNKTVEPIDEGKYNKILETFAEKLVKECPTSAFFMATSNGAPPSPSVMLSLSPSTVAAPLPIPWSQPERRQDNIGFILVNFPPHGSLECCKDLEEGHRAWAAHKKEATWRLKRVEWQLESEKSCWKREKMEEIENWEQWTTKPLHFSKFLEQMVRRPWFAEPNS >Manes.05G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13161:16350:1 gene:Manes.05G000100.v8.1 transcript:Manes.05G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGGDKPTAAPPVAASQPTEISRKSKK >Manes.08G156600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39332199:39334390:1 gene:Manes.08G156600.v8.1 transcript:Manes.08G156600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILIAFVVLLITLPLLFFMYKPKSNAAGGKSLPPGNTGWPLIGESIEFLSTGRKGQPEKFIFDRMAKFSSKVFKTSLFLEPAAVFCGAAGNKFLFSNENKLVTAWWPNSVNKIFPSSNQTSSQEESKRMRKLLPLFLKPEALQKYISIMDAIAQKHFTNDWDNKQEVIVFPLAKMYTFSIACRLFLSMEDHDEVEKFAKPFEVLASAIIAIPIDFPGTPFNRGIKASNLVRKELTRIIKQRKIDLAENKASPRQDILSHMLTTADENGQYMNEMDVADKILGLLIGGHDTASAAITFVIKYLAELPQVYNKVLEEQVEIAKAKAPGELLSWEDIQKMKYSWNVACEVMRLAPPLQGAFREAMADFSYADYTIPKGWKLYWSAISTHRNPECFPEPEKFEPSRFDGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNVVRKFKWEKLLPEENIIVDPLPIPAKGLPIRLHPHNP >Manes.06G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14513858:14514222:1 gene:Manes.06G046000.v8.1 transcript:Manes.06G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNMRRENKTTKLFEIQAITGCHVSLDIASVCFSAFSVLALFGFFANEKRNAKTTEAK >Manes.09G074219.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16755593:16773506:1 gene:Manes.09G074219.v8.1 transcript:Manes.09G074219.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVTLRLIISDFVISFMWVWSGALIKIFLNRFLGLGHHEPRDEAIKAAFSIINMFFFAFLGKITNGGAYNPLTIFSSAISGDFSQFLLTVGARIPAQVIGSISGVRYILETFPEIGFGPRLNVDIHRGALTEGVLTFAIVIISLGLSRKIPGSFFMKTWISSVSKLALQILGSDLTGGCMNPASVMGWAYARGDHITKEHIIVYWLAPIEATVLAVWTFKLLVRSRKQEKKGKSD >Manes.04G130600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33245553:33248267:1 gene:Manes.04G130600.v8.1 transcript:Manes.04G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSLQRSNNWLEGLSKGLCHELELFSLHGLKIVQAHRGFIRCSFVVSNRICDGDGNWHVGALATLIDDVGAAAIFSLSGHIKASLDFSISFYSTAKIHDKVEIEAKVVGEKGRLMSVVVEVRRKDNGELIALAKQWMAFHSIAISGFFDRDR >Manes.18G146901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:31349110:31349740:1 gene:Manes.18G146901.v8.1 transcript:Manes.18G146901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSSLLFTSLWLPTSAERCGIQVDERCGIQADGVLCCGYRCCSKWGWCGTTKDYCCDGCQSRCDPTKCDHTIRLSGRRAGILRGGGGNMSEISSEKAFDKMLSQKPHGLYT >Manes.11G090578.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:17427271:17427621:1 gene:Manes.11G090578.v8.1 transcript:Manes.11G090578.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLLLLLFFFFFILLDAFVSSLDNACLFLSVWSFFQSSFWFVLASEASSVEPVDRRRARGGTEMMRSHISLPLGVRVSIGMGHVLGLCVLGFGCLVLFFQPTLIAAMGCLLYLD >Manes.04G027400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3222224:3239319:1 gene:Manes.04G027400.v8.1 transcript:Manes.04G027400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAALTNALVGEKGLADRKNEMCCEQKEARIGVMGQDQGQGFGSSEDGVTRVDDAPAAENNGAGGEIQVHDGFQIAQQQSQNSVIRWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANGLKAWKMLEDPNNHIDLVLTEVVMPTFSGIGLLCKIMSHKTLKNIPVIMMSSHDSMGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTKNSSETKSNEESENNSESSDELYDCGSNGPSNQDGSDNGSGTQSSWTKRTAEFDNPLPISPAYQLADAPDSTCAQVVHSKPETTSNRWVRVTETKECQKKDDKHDDITMGKDLEIGVSGNPDVQSKMQSIIAEMDTKPLENGKLELNNENICTKLRDQTPKTASADANSISPHVERKKNGGSSGPDILQLKDKSRCESGELPSLELTLTRRKGVRDDGNAVNDDRNVLRHSDLSAFSKYNTASSANQGPMGKVGSSPLDNSSVATKTETTHNIPFHLTDVLNQQSNGSSNNIDMASTAKNVNPKPEAFNDKSETTTAFKSFHSSAFQHVQNGSICSPQQVIPGKVEDVGSNTVHAPFMGNQQQVQVQHHHHHHHYYHHHVHNVQQHRSSADHDDISLKNMAAFAPQCGSSNVVGEKIKGNAGNCSMNGSVSGSNHGSNGQNGSSTALNNGLTNVESDNREAGNSGAGGKSERISGNAVDEDRVAQREAALSKFRQKRKERCFEKRVRYQSRKRLAEQRPRVKGQFIRQTTSESPIGRDCQSNDLTSEDNSSDSVR >Manes.04G027400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3222222:3239699:1 gene:Manes.04G027400.v8.1 transcript:Manes.04G027400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAALTNALVGEKGLADRKNEMCCEQKEARIGVMGQDQGQGFGSSEDGVTRVDDAPAAENNGAGGEIQVHDGFQIAQQQSQNSVIRWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANGLKAWKMLEDPNNHIDLVLTEVVMPTFSGIGLLCKIMSHKTLKNIPVIMMSSHDSMGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTKNSSETKSNEESENNSESSDELYDCGSNGPSNQDGSDNGSGTQSSWTKRTAEFDNPLPISPAYQLADAPDSTCAQVVHSKPETTSNRWVRVTETKECQKKDDKHDDITMGKDLEIGVSGNPDVQSKMQSIIAEMDTKPLENGKLELNNENICTKLRDQTPKTASADANSISPHVERKKNGGSSGPDILQLKDKSRCESGELPSLELTLTRRKGVRDDGNAVNDDRNVLRHSDLSAFSKYNTASSANQGPMGKVGSSPLDNSSVATKTETTHNIPFHLTDVLNQQSNGSSNNIDMASTAKNVNPKPEAFNDKSETTTAFKSFHSSAFQHVQNGSICSPQQVIPGKVEDVGSNTVHAPFMGNQQQVQVQHHHHHHHYYHHHVHNVQQHRSSADHDDISLKNMAAFAPQCGSSNVVGEKIKGNAGNCSMNGSVSGSNHGSNGQNGSSTALNNGLTNVESDNREAGNSGAGGKSERISGNAVDEDRVAQREAALSKFRQKRKERCFEKRVRYQSRKRLAEQRPRVKGQFIRQTTSESPIGRDCQSNDLTSEDNSSDSVR >Manes.04G027400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3222224:3239319:1 gene:Manes.04G027400.v8.1 transcript:Manes.04G027400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEQKEARIGVMGQDQGQGFGSSEDGVTRVDDAPAAENNGAGGEIQVHDGFQIAQQQSQNSVIRWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANGLKAWKMLEDPNNHIDLVLTEVVMPTFSGIGLLCKIMSHKTLKNIPVIMMSSHDSMGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTKNSSETKSNEESENNSESSDELYDCGSNGPSNQDGSDNGSGTQSSWTKRTAEFDNPLPISPAYQLADAPDSTCAQVVHSKPETTSNRWVRVTETKECQKKDDKHDDITMGKDLEIGVSGNPDVQSKMQSIIAEMDTKPLENGKLELNNENICTKLRDQTPKTASADANSISPHVERKKNGGSSGPDILQLKDKSRCESGELPSLELTLTRRKGVRDDGNAVNDDRNVLRHSDLSAFSKYNTASSANQGPMGKVGSSPLDNSSVATKTETTHNIPFHLTDVLNQQSNGSSNNIDMASTAKNVNPKPEAFNDKSETTTAFKSFHSSAFQHVQNGSICSPQQVIPGKVEDVGSNTVHAPFMGNQQQVQVQHHHHHHHYYHHHVHNVQQHRSSADHDDISLKNMAAFAPQCGSSNVVGEKIKGNAGNCSMNGSVSGSNHGSNGQNGSSTALNNGLTNVESDNREAGNSGAGGKSERISGNAVDEDRVAQREAALSKFRQKRKERCFEKRVRYQSRKRLAEQRPRVKGQFIRQTTSESPIGRDCQSNDLTSEDNSSDSVR >Manes.04G027400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3222222:3239699:1 gene:Manes.04G027400.v8.1 transcript:Manes.04G027400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDQGQGFGSSEDGVTRVDDAPAAENNGAGGEIQVHDGFQIAQQQSQNSVIRWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANGLKAWKMLEDPNNHIDLVLTEVVMPTFSGIGLLCKIMSHKTLKNIPVIMMSSHDSMGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTKNSSETKSNEESENNSESSDELYDCGSNGPSNQDGSDNGSGTQSSWTKRTAEFDNPLPISPAYQLADAPDSTCAQVVHSKPETTSNRWVRVTETKECQKKDDKHDDITMGKDLEIGVSGNPDVQSKMQSIIAEMDTKPLENGKLELNNENICTKLRDQTPKTASADANSISPHVERKKNGGSSGPDILQLKDKSRCESGELPSLELTLTRRKGVRDDGNAVNDDRNVLRHSDLSAFSKYNTASSANQGPMGKVGSSPLDNSSVATKTETTHNIPFHLTDVLNQQSNGSSNNIDMASTAKNVNPKPEAFNDKSETTTAFKSFHSSAFQHVQNGSICSPQQVIPGKVEDVGSNTVHAPFMGNQQQVQVQHHHHHHHYYHHHVHNVQQHRSSADHDDISLKNMAAFAPQCGSSNVVGEKIKGNAGNCSMNGSVSGSNHGSNGQNGSSTALNNGLTNVESDNREAGNSGAGGKSERISGNAVDEDRVAQREAALSKFRQKRKERCFEKRVRYQSRKRLAEQRPRVKGQFIRQTTSESPIGRDCQSNDLTSEDNSSDSVR >Manes.03G074400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220078:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12227723:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220078:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12227723:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12226833:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220083:12227319:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220078:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220078:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.03G074400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12220078:12227700:-1 gene:Manes.03G074400.v8.1 transcript:Manes.03G074400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLQQPSAEYHKQDCRPNANADMGGSGSYNRNRAAVDCCNISGTDKERPTVSGRAFDGTHKTYAGDRINLNAAKDLEPHDGMEFESKEEAFSFYKEYAKSVGFATIIKASRRSRISGKFIDAKFVCTRYGTKRESSTTEMPHPVIDTVGATSIPVKRKRGRINRSLSKTDCKACMHVKRRQHDGRWVVRSFIKEHNHEIFPDQAYFFRGHRNLDLGNNNVDALHAIRARTKKVYVSMSRQSGGYKKQENQKRSLTNMSGSKRHLALDEGDAQIMLEHFRYMQDENPNFFYAMDLNEEQCLRNVLWVDAKARLDYGYFGDVIFFDTTYLKSEYKLPFAPFFGVNHHFQCLLLGCALVADETKSTYVWLMQAWLRAVGGVAPKVILTDQDKSLEQAIAEVFPNSQHCFCLWHLLSKIPEKLSYVIRQHENFMSKLNKCIFKSWTDEQFEKRWWKMVENFNLRNDVWFQSLYEDRQKWMPLHMRDKFLAGMSTTQRSDSISSFLDKHLQRKTSLKEFLEHYKTIIQEKFEEEAKADFETWHKQPGLKSPSPFGKQMAMLYTHTIFKKFQVEVLGVVACHPRKEAEDGETKTFKVQDFEESQDFIVVWKGTSSYFSCSCRSFEFNGFLCRHVLIVMQMSGMHSIPSQYILKRWTKDAKSRETIGEQSGKVESRVQRYNDLCRRAFKLGDEGSLSQESYCIAFSALEEALRKCESVNNAIHNVIEPNSTSPNRPQDYDRVNCATGVSKTSSNDGISRKKQVYSEPDVTSIGMHESWQQLEQLNSKAPNLDGYFGPQQIVQGMGQLNSIASTRDGYYSKEHSMQRLGQLNTIAPIHEAHFVNQQRTQGMGQLHCRSETIPSCFNTQDGLQEMDQSSIGPSQLHGMASKNLQPKHLPR >Manes.08G135700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37248158:37249690:1 gene:Manes.08G135700.v8.1 transcript:Manes.08G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGGGSETEVTWEDQQNINKFGRLNNRFHELDDEIKIAKETNENLEDASNELILTDEEVVRFQIGEVFAHVSKEEVETRIEQMKEVTSKNLEKLEEEKDSILAQMAELKKILYGKFGDSINLEED >Manes.15G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9795356:9797705:1 gene:Manes.15G122900.v8.1 transcript:Manes.15G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNCNLELRLFPFSDPDYHPPILEKESKNEQSPQQNQQLTIFYNGTVCACDVTELQARAILFLASREMEEKQRTPTPTPTPTPSGSEVASPNLPSQLCSPIAAGLSMKRSIQRFLQKRKHRVQENSPYNH >Manes.02G224101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:38267849:38269287:-1 gene:Manes.02G224101.v8.1 transcript:Manes.02G224101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWHDHFRFWPCYIIMAANKNSTLSLRSILEKDKLKENGTNFVDWFRNLRIVLKQEKKSYVLDEAIPKPPPANATNAVKNKYKKHMDDSNDIRCLVLATMCPELQKDLEHLEAYEMSDMYETTIALHDCKMAEGESVSAHVLKMKSYIDHLARLGCNDPKTGPLPALGFRSM >Manes.13G046850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5465083:5465450:1 gene:Manes.13G046850.v8.1 transcript:Manes.13G046850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTTGPTNGKFCWNRQLNYSAFWKSSCGHGILEALFSHPLSLCKHANTSTFEGYSS >Manes.05G186755.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19098911:19100556:-1 gene:Manes.05G186755.v8.1 transcript:Manes.05G186755.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLLPKMELSVFGGQELEGWLRKCSKYFEVYEVTINRKVDLASLYLIEQAEYWFSGWKKANETGTWEEFSTALTAQFREVVVEDVVIAFKELWQLGTMVEYQGEFEKTKYQMEWLNPLFLKAYFVSNFIGRLKNEIAMAVRMFKPATVLEAVEQARLQELVLQAQQTGSDQPKVLSLQPNVVYKARPKTYPAHQPILSPKPISSYNPKNNPNPQHSAVVQPKPVVTSLPPTSQNAPALMAVAAEEEIEINGEEVRVDCMGEDEHEGEEEETTPVLSMNAVSGVISNNTLKLKGHLKGKPVMILIDSESTHSFIDSKVVTNLKLLAIYVSPVIVTITDSRRLIVDQKLPQANWVMQSRSFQFDFRTFELGDYDMILGVDWMKKHTPITFDFDDNTLTIV >Manes.17G035300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:21671766:21673512:-1 gene:Manes.17G035300.v8.1 transcript:Manes.17G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGI >Manes.17G035300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21671766:21673512:-1 gene:Manes.17G035300.v8.1 transcript:Manes.17G035300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGI >Manes.09G173066.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36407300:36407818:-1 gene:Manes.09G173066.v8.1 transcript:Manes.09G173066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNYYFFFFIVLAIPTHLAADFAPDNCEKTPFLGECTSLLKSAPEADKKDVQSFAKFALKTTCSNGVTMQEKISSLLKTTSDEFVKKCLTKCSELYLGSTKKLEESIVAFDSKAYKDVQASLTEAIAGSDDCRVSFKEKVEAEDPLGDLNFEFNKLCSVSKKLVEMAETN >Manes.10G071500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11492289:11500251:-1 gene:Manes.10G071500.v8.1 transcript:Manes.10G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILSHIGSPNPWHFLVAVYFAVGFVAARFLLDKFIFRRLAIWLLRKKAVRLKIDEAMRATIVKCAESMWKLTYYAAVEACVLKMTYNEPWFRNTREYFRGWPDQELKLPLKLFYMCQCGFYIYSIAALLMWETRRKDFAVMMSHHVVTVILIGYSYITRFFRIGSVILALHDASDVFMEAAKVFKYSGNELGASIFFGLFAISWLMLRLVFFPFWVIKTSSYDVVDYLDLTKAFPVSLYYVFNTMLLMLLVFHIYWWVLICSMIMKQLKNRGRVGEDIRSDSDDDD >Manes.10G071500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11492289:11500251:-1 gene:Manes.10G071500.v8.1 transcript:Manes.10G071500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATIVKCAESMWKLTYYAAVEACVLKMTYNEPWFRNTREYFRGWPDQELKLPLKLFYMCQCGFYIYSIAALLMWETRRKDFAVMMSHHVVTVILIGYSYITRFFRIGSVILALHDASDVFMEAAKVFKYSGNELGASIFFGLFAISWLMLRLVFFPFWVIKTSSYDVVDYLDLTKAFPVSLYYVFNTMLLMLLVFHIYWWVLICSMIMKQLKNRGRVGEDIRSDSDDDD >Manes.15G059900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4588662:4589282:-1 gene:Manes.15G059900.v8.1 transcript:Manes.15G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSLSPKPFLISLKDRSRLSLTTHLKTQKTLFCKSKRLTKIITAKSDGGNSADTGDRIISAVCYFYPFFDGIQYGKYVINQFSPIQALIQPLVPAIRVFKSFPLNGFLVFLTLYFVVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPRDGLGLDLLMSLDSTVFLYLLVCLIYGSSSCLLGQVPRLPIVAEAADRQVL >Manes.11G096500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20165854:20170861:1 gene:Manes.11G096500.v8.1 transcript:Manes.11G096500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILALRRNESRLFLHLVRLRLTAMAAHYGLLQIEEEQLKSYRRSSRLASLDVFRGLCIFLMMLVDYVGSIFPIIAHSPWNGLRLADFVMPFFLFIAGVSLALVYKKVSNRVDATCKAVLRAVKLFFLGVFLQGGYFHGINSLTYGVDIERIRWLGILQRISIGYIVAALCEIWLSCRIHREVGFFRSYYWHWFMVFSLSAIYLGLLYGLYVPDWRFEISNPTSSLPSVNSSVVYIVKCSVRGDLGPACNSAGMIDRYVLGIDHLYTKPVYRNLKECNMTNSQVSEGSPSWCHASYDPEGLLRWPI >Manes.11G096500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20167812:20174906:1 gene:Manes.11G096500.v8.1 transcript:Manes.11G096500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVDYVGSIFPIIAHSPWNGLRLADFVMPFFLFIAGVSLALVYKKVSNRVDATCKAVLRAVKLFFLGVFLQGGYFHGINSLTYGVDIERIRWLGILQRISIGYIVAALCEIWLSCRIHREVGFFRSYYWHWFMVFSLSAIYLGLLYGLYVPDWRFEISNPTSSLPSVNSSVVYIVKCSVRGDLGPACNSAGMIDRYVLGIDHLYTKPVYRNLKECNMTNSQVSEGSPSWCHASYDPEGLLSSLTAAVTCIIGLQCGHVLAHMQDHKERIQRWSLFSVSLLLLGVFLAFIGIPVNKSLYTISYLLITSASAGITFCALYLLVDVYGYRWVTSPLEWMGKHSLSIFVLVTSNIAIIAIQGFYWSKPENNIIHWIVACFVHR >Manes.11G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20165624:20174906:1 gene:Manes.11G096500.v8.1 transcript:Manes.11G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILALRRNESRLFLHLVRLRLTAMAAHYGLLQIEEEQLKSYRRSSRLASLDVFRGLCIFLMMLVDYVGSIFPIIAHSPWNGLRLADFVMPFFLFIAGVSLALVYKKVSNRVDATCKAVLRAVKLFFLGVFLQGGYFHGINSLTYGVDIERIRWLGILQRISIGYIVAALCEIWLSCRIHREVGFFRSYYWHWFMVFSLSAIYLGLLYGLYVPDWRFEISNPTSSLPSVNSSVVYIVKCSVRGDLGPACNSAGMIDRYVLGIDHLYTKPVYRNLKECNMTNSQVSEGSPSWCHASYDPEGLLSSLTAAVTCIIGLQCGHVLAHMQDHKERIQRWSLFSVSLLLLGVFLAFIGIPVNKSLYTISYLLITSASAGITFCALYLLVDVYGYRWVTSPLEWMGKHSLSIFVLVTSNIAIIAIQGFYWSKPENNIIHWIVACFVHR >Manes.11G096500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20168255:20174906:1 gene:Manes.11G096500.v8.1 transcript:Manes.11G096500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVDYVGSIFPIIAHSPWNGLRLADFVMPFFLFIAGVSLALVYKKVSNRVDATCKAVLRAVKLFFLGVFLQGGYFHGINSLTYGVDIERIRWLGILQRISIGYIVAALCEIWLSCRIHREVGFFRSYYWHWFMVFSLSAIYLGLLYGLYVPDWRFEISNPTSSLPSVNSSVVYIVKCSVRGDLGPACNSAGMIDRYVLGIDHLYTKPVYRNLKECNMTNSQVSEGSPSWCHASYDPEGLLSSLTAAVTCIIGLQCGHVLAHMQDHKERIQRWSLFSVSLLLLGVFLAFIGIPVNKSLYTISYLLITSASAGITFCALYLLVDVYGYRWVTSPLEWMGKHSLSIFVLVTSNIAIIAIQGFYWSKPENNIIHWIVACFVHR >Manes.11G096500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20168257:20174906:1 gene:Manes.11G096500.v8.1 transcript:Manes.11G096500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMLVDYVGSIFPIIAHSPWNGLRLADFVMPFFLFIAGVSLALVYKKVSNRVDATCKAVLRAVKLFFLGVFLQGGYFHGINSLTYGVDIERIRWLGILQRISIGYIVAALCEIWLSCRIHREVGFFRSYYWHWFMVFSLSAIYLGLLYGLYVPDWRFEISNPTSSLPSVNSSVVYIVKCSVRGDLGPACNSAGMIDRYVLGIDHLYTKPVYRNLKECNMTNSQVSEGSPSWCHASYDPEGLLSSLTAAVTCIIGLQCGHVLAHMQDHKERIQRWSLFSVSLLLLGVFLAFIGIPVNKSLYTISYLLITSASAGITFCALYLLVDVYGYRWVTSPLEWMGKHSLSIFVLVTSNIAIIAIQGFYWSKPENNIIHWIVACFVHR >Manes.11G096500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20167387:20174906:1 gene:Manes.11G096500.v8.1 transcript:Manes.11G096500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISLEHMPPSFKTKEPASHHPALSFLRRLLCKRKGKRASLILLSFSFSTYKMADFEPLLAVQEPPLAITKSTRIASLDVFRGLCVFLMMLVDYVGSIFPIIAHSPWNGLRLADFVMPFFLFIAGVSLALVYKKVSNRVDATCKAVLRAVKLFFLGVFLQGGYFHGINSLTYGVDIERIRWLGILQRISIGYIVAALCEIWLSCRIHREVGFFRSYYWHWFMVFSLSAIYLGLLYGLYVPDWRFEISNPTSSLPSVNSSVVYIVKCSVRGDLGPACNSAGMIDRYVLGIDHLYTKPVYRNLKECNMTNSQVSEGSPSWCHASYDPEGLLSSLTAAVTCIIGLQCGHVLAHMQDHKERIQRWSLFSVSLLLLGVFLAFIGIPVNKSLYTISYLLITSASAGITFCALYLLVDVYGYRWVTSPLEWMGKHSLSIFVLVTSNIAIIAIQGFYWSKPENNIIHWIVACFVHR >Manes.18G115366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11783655:11784035:1 gene:Manes.18G115366.v8.1 transcript:Manes.18G115366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKIQLYRQTFLTVRKHLNLSARYYGPYQVPKKIGAVAYKLSLAPNVQLHSVFCISLFKEKVGERIQINQKLPSFYQEAAIIAPEKVLKFRVILRDGKRVPQGLIKWLNLSDEEATWEDKSFILH >Manes.02G223133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37060902:37063335:-1 gene:Manes.02G223133.v8.1 transcript:Manes.02G223133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSISGSNQTNTDASTETTTSSKAKRKPVKPRSEVWDHFTKFVSDEGELKGKCNYCKKDFCCDPKRNGTTALRNHLNSCKKHPHFIETRQAQLSLQKNASDNDVNDLGTLTTWKYDDNAIRKALVHMIIIDELPFRFVEGEGFRSFMTAICPRFRIPSRWTISRDCYDLFIEERSKLKSFFKNNCQRVSLTTDTWTSLQHINYMCITAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTVTVDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMAHIINLVVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKECVLHEKIESKSSLCLDVPTRWNSTYLMLNTAQKYERAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEVSDLAFILNQWINSNDLDMKSMGERMKVKFDKYWGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGKEKGVELFNKVKSCLFDLFNEYKKMYQPDVEQFNDNSSQQLSGSCSTTGSINPKPKFFLKHHYKKQKLEESGGFDSKTELEVYLSEAIQEEKDDFDIMKWWKINSERFPILGKMARDILAIPVSTVASESAFSTGGRVLDSFRSSLTPKIVEGLICVQNWIRPLNIQVNVEEDLEELEKLEEGIFQSFKYVSSFNSTFCGIRFRYAVEGCCGFNILLKIVCGNDILF >Manes.02G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11095472:11101693:1 gene:Manes.02G144600.v8.1 transcript:Manes.02G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKSKKQKKAIISEEDISTLLQRHSANTVLALLQEVAQFGGVKIDWNALVKKTTTGISNAREYQMLWRHLAYRHALLEKLEDRAQPLDDDSDLEYELEAFPDVSSEASIEAAACVKVLIASGLPSDSVHPNSTTVEAPLTINIPNVQAVRATSENSQSAALRGMNITVPVSVQKQPLPAVTSTEGLDANGSASGTLPARRKRKPWLESEDMELIAAVQKYGEGNWANILRSEFKWDRTASQLSQRWAIIRKKRLGNWNMGGNTNGVQLTEAQRAARHAMNLALDLPVKNTFANNSGETSSSQLQSQRPFATKSSSVGPLGSTVNSQVAVHKPVKLDFSSNPVRAAAVAAGARIATQSGAASLLKAAQAKSTVHVIPAAGSSKSSMPVGASSHSDAHPNVHFNDLAAAPFSTHPVVSSNGPQLALEKASSPTTLPTPISGATVNMLSELADAELQSKQDAETTGEMKILSEDVTKEQVEEQGGLVSGNVSSEQVQVGKAALLKPEAEFKTQLDVVQSSNASLKVKMGEKDMMDGDKSTCLTVGKDENQSAVKENGDNQSTRVKQADLPSMATDECIENFEAVSKAKCCNVITAEEG >Manes.15G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5657262:5665655:1 gene:Manes.15G074800.v8.1 transcript:Manes.15G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDRGDWDFHLRTLSISARDSNLASDPASDPSLLQSVKRLHELCNKENSEDLVARVYPQINRLFQRSVASLSQSKYSCGLLLLAILQFFLDFGEMVLHDADPSLRTFFRSCLSREFADPVVAEATLNFLNVNKKKLLTSFPTLLPQFFPLLLKLIAWNGEKLEKSFLKVFPGLISAGSFLPLFPSLVDLPILLVALEKVERSSGSLVGNSIASIQKSTAPEMLLALMDEAYTGSTIGDGGADSESEDSNKIDAADPLFLELLKDENDGIADRHWTSPGMAATLLAVSNSRQSDRLKQILRIAPRLLDVYFSIASRDINDSLICALIPLIMSRNDTMFPEKNFSYEVRKRLLEFILAAFQRSPDFIALLKKPIVDRLGEAYDSPAKTELALQLCWAIGEHGGGGESHKDAARELFENLELLLYENLSSSRLGLRESATSSNSENSRKSSQSRLLCFVVTAIAKLATYHHELLPRARVSLGKVARSRISDARVWRRARDYLGLLSEPAISLSVFGPSRSSRGHKQNPGTINWSGGGTKMISHIPFYILGEQEGPPFHNFSFSDLVPRR >Manes.13G062200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7252279:7253486:-1 gene:Manes.13G062200.v8.1 transcript:Manes.13G062200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKHQNYQTHFRKFSNPHSPQLVSKLLGSAFWFLVMLLCSSLLPFMFQLMQFMSCKLGKNYMFLLCNGILVLIVKNSRLISNFQPQESNQIGGESREKIGGSPQKSHELSQVKKVVMEVKEEPQDIEEEEEEEEEEEEEEEEVGLLSAEELNKKCDDFIRKMKEGIKYEAQQVLTH >Manes.13G036200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4423377:4434468:1 gene:Manes.13G036200.v8.1 transcript:Manes.13G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDMVGGGGDAPYLDPELLQLPEVSPFVLKASPQLAEELFSQWLSLPDTIRLVKSLIDDAKVGNPVNFFGISVNTSASGGNSLPSLFPAGSAPPLSPRSSSGSPRMSKQKTGPSSLGSPLKLVSEPVQEFIPQFYFQNGRPPANELREQCILRIKHFFSNHLDGLRIDEFKSITKEICKLPSFFSSAIFRKIDSDCYGIVTRDAFIKYWVDGNMLAMDRTTCIFNILKQPEHYYLIQTDFKPVLRELLTTHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGRLTLRELKRGNVIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLLRYGNHALTYRIVDRIFSQVPRKFTSNIEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCLDLDGNGVLTPNEMQFFYEEQLHRMECISQEPVLFEDILCQIVDMIAPEVEDYITLRDLKRSKLSGNFFNILFNLNKFMAYESRDPFLIRQEREEPNLTEWDRFAHREYIRLSMEEDVEDVSNGSADVWDESLEAPF >Manes.04G032140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4071258:4072313:1 gene:Manes.04G032140.v8.1 transcript:Manes.04G032140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIQMIMDIAKERIESTSKDMLQVILEAAQYGDEFWPLTKDEFIADNCKDVFIGGYEPPALAAIWGLMLLASHPEWQARARSEVLEVCKGQQHLDYDMLSKMKVLKMVTQEILRLCPGVVLASRQAVQDLKIGDMQVPKGVCIWVSLLALHRDPKFWGPNADVFNPERFVHGVAGACKSPLANLPFGLGARVCPGQNMAIMELKVLFALILSNFNLTISPNYCHSPQFGLLLAPEHGVKLLIQRI >Manes.13G064900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8142758:8148051:1 gene:Manes.13G064900.v8.1 transcript:Manes.13G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRERENPCGVCGHYHKYEEGEVCGICGHRMPESAQKSPLHVRAFPSEILPEFLYLGSYDNASRSELLKAQGISRVLNTVPACQNLYKNSFTYHCLQDDKTLQFDDANQFLEQCEKEKVRVLVHCMSGKNRSPAIVIAYLMKSRGWRLAQSYQWVKERRPSVDLSQAVYQQLQEYEQKTFGSFDGNNPASPVFPPVGTSLVTFGFPKANDPVPTPAFNSTNTTSIFTRPLDVPPQAFQFGSGHPQLSPSENPSIASAQNPNGGDISMDS >Manes.01G016600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4133025:4154495:-1 gene:Manes.01G016600.v8.1 transcript:Manes.01G016600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASLMMNRLTSPVLKSPKSELFLLSSSSSEPFFKQCLVAPDISTSHLSLKYKKCNYGSSASSVVCKVSVKPQTEVEGLNIAEDVTQLIGKTPMVYLNNIAKGSVANIAAKLESMEPCCSVKDRIGYNMIADAEERGVITPGKSILVEPTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLKAFGAELVVTDSAKGMKGAVQKAEEILKSTPNSFMLQQFDNPSNPKIHYETTGPEIWEDTRGKVDIFVAGIGTGGTITGVGRFLKEKNPNIKVIGIEPTESNILSGGKPGPHKIQGIGAGFVPRNLDQDVIDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVGKRAENAGKLIAVIFPSFGERYLTSVLFQSIREECENMQPEP >Manes.01G016600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4133025:4154495:-1 gene:Manes.01G016600.v8.1 transcript:Manes.01G016600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASLMMNRLTSPVLKSPKSELFLLSSSSSEPFFKQCLVAPDISTSHLSLKYKKCNYGSSASSVVCKVSVKPQTEVEGLNIAEDVTQLIGKTPMVYLNNIAKGSVANIAAKLESMEPCCSVKDRIGYNMIADAEERGVITPGKSILVEPTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLKAFGAELVVTDSAKGMKGAVQKAEEILKSTPNSFMLQQFDNPSNPKIHYETTGPEIWEDTRGKVDIFVAGIGTGGTITGVGRFLKEKNPNIKVIGIEPTESNILSGGKPGPHKIQGIGAGFVPRNLDQDVIDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVGKRAENAGKLIAVSTSNFMMKPTVQFNMVI >Manes.14G142601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12603291:12603662:1 gene:Manes.14G142601.v8.1 transcript:Manes.14G142601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLVKDLRQPNVPPKLMHVRRPNLTFGGRTLARSNKTFGGQRRSRSLSMFGGRTSLSAAEPGKRLLGLFFSKLNSFSFKTMKSVKTF >Manes.10G034300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3404895:3410572:-1 gene:Manes.10G034300.v8.1 transcript:Manes.10G034300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIDLDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLAETDTKAESQTIKINQADQAANGGQAAQKSGCCS >Manes.10G034300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3404898:3410572:-1 gene:Manes.10G034300.v8.1 transcript:Manes.10G034300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIDLDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKVLVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLAETDTKAESQTIKINQADQAANGGQAAQKSGCCS >Manes.01G025400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5365465:5371243:-1 gene:Manes.01G025400.v8.1 transcript:Manes.01G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIELHRKRHGYRLDHFERKRKKEAREVHKRSERAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSSRRKVDDEVHEGAIPAYLLDRENTTRAKIMSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFKVIRSGKRKTKQWKRMVTKVTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCVNAVLLV >Manes.01G025400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5365465:5369421:-1 gene:Manes.01G025400.v8.1 transcript:Manes.01G025400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIELHRKRHGYRLDHFERKRKKEAREVHKRSERAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSSRRKVDDEVHEGAIPAYLLDRENTTRAKIMSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFKVIRSGKRKTKQWKRMVTKVTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVMTKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCVNAVLLV >Manes.12G099800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25891885:25896853:-1 gene:Manes.12G099800.v8.1 transcript:Manes.12G099800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYVCVFNKDTIIIKPPKKSPILLRMIVLGFAMVCGVYICSVCLKQISTHTKIKIEDIQVIERPSFDIEPRRMQVPVVHFPHPETFNRAECMHNPVRYFAIISMQRSGSGWFETLLNSHVNVSSNGEVFSVLDRRRNASSIIKTLDKLYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHKVVVDYFNTRGVSAIFLFRRNLLRRMVSVLSNSYDRHAKLLNGTHKSHVHSTEEAETLAKYKPTINSTLLIADLKEMELMATRALEYFNSTRHIILYYEDLVKNCTSNSLIMT >Manes.12G099800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25891885:25896853:-1 gene:Manes.12G099800.v8.1 transcript:Manes.12G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYVCVFNKDTIIIKPPKKSPILLRMIVLGFAMVCGVYICSVCLKQISTHTKIKIEDIQVIERPSFDIEPRRMQVPVVHFPHPETFNRAECMHNPVRYFAIISMQRSGSGWFETLLNSHVNVSSNGEVFSVLDRRRNASSIIKTLDKLYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHKVVVDYFNTRGVSAIFLFRRNLLRRMVSVLSNSYDRHAKLLNGTHKSHVHSTEEAETLAKYKPTINSTLLIADLKEMELMATRALEYFNSTRHIILYYEDLVKNCTKLKDVQEFLGLPLMELTSRQVKIHKGPLMDHIENWKDVNETLTGTEYENFLLS >Manes.12G099800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25891885:25896853:-1 gene:Manes.12G099800.v8.1 transcript:Manes.12G099800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYVCVFNKDTIIIKPPKKSPILLRMIVLGFAMVCGVYICSVCLKQISTHTKIKIEDIQVIERPSFDIEPRRMQVPVVHFPHPETFNRYFAIISMQRSGSGWFETLLNSHVNVSSNGEVFSVLDRRRNASSIIKTLDKLYNLDWFTSASKNECSAAVGFKWMLNQGLMEHHKVVVDYFNTRGVSAIFLFRRNLLRRMVSVLSNSYDRHAKLLNGTHKSHVHSTEEAETLAKYKPTINSTLLIADLKEMELMATRALEYFNSTRHIILYYEDLVKNCTKLKDVQEFLGLPLMELTSRQVKIHKGPLMDHIENWKDVNETLTGTEYENFLLS >Manes.16G029200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3331434:3338981:1 gene:Manes.16G029200.v8.1 transcript:Manes.16G029200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGLLEGVPKGILARPKSCGAEVKPGYSESLNGRLSNSLMQTPSQPQQFPMLAEKQQHNLLGHSPSLTFGNLASAFPGSFANFDGQYVILPESKMNGKDAKLMVQMKQTEEHRHKHDHHMQQQLPEGCANAEVDKPANENVESFLCTGDDKNNDKGTPLGNLKRRSTACAKNDHKGFAFEEIGCLRSSKSKVLSCHFSSDGRLLASAGHDKKVFIWNMETFDFVNSSEGHSLLITDVRFRPNSTIYATSSFDRTLQIWDATKPSKSLFKLLGHADQVMALDFHPRKADLLCSCDSNDEIRLWNVNRCACTHVSKGASKQVRFQPQYGKLLATCSRNNINVIDVENDTGVQFNLKGHAKEIHSLSWDMSGKYIASVSADSARVWSLVSGGSCMYELHSNGNQFQSCTFHPGYSQLLVIGSYQSLELWNPIEGNKTLSVPAHSGLIAALADSLETETIASASHDQCVKLWK >Manes.16G029200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3331434:3338943:1 gene:Manes.16G029200.v8.1 transcript:Manes.16G029200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGLLEGVPKGILARPKSCGAEVKPGYSESLNGRLSNSLMQTPSQPQQFPMLAEKQQHNLLGHSPSLTFGNLASAFPGSFANFDGQYVILPESKMNGKDAKLMVQMKQTEEHRHKHDHHMQQQLPENGRKRRKLSYSRAGDHILGCANAEVDKPANENVESFLCTGDDKNNDKGTPLGNLKRRSTACAKNDHKGFAFEEIGCLRSSKSKVLSCHFSSDGRLLASAGHDKKVFIWNMETFDFVNSSEGHSLLITDVRFRPNSTIYATSSFDRTLQIWDATKPSKSLFKLLGHADQVMALDFHPRKADLLCSCDSNDEIRLWNVNRCACTHVSKGASKQVRFQPQYGKLLATCSRNNINVIDVENDTGVQFNLKGHAKEIHSLSWDMSGKYIASVSADSARVWSLVSGGSCMYELHSNGNQFQSCTFHPGYSQLLVIGSYQSLELWNPIEGNKTLSVPAHSGLIAALADSLETETIASASHDQCVKLWK >Manes.01G234200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39727107:39730345:-1 gene:Manes.01G234200.v8.1 transcript:Manes.01G234200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEPFLGSQTMPQPQSIRDHHDISFSLPQSLSSPLIFSEIQDHPQEQPSSPPGAPTLYRWKPGSLRRSKTAPAMVVMRDLKPESPQDPKPQSHSDSIIRQAIFLLSMYLLLGVVIYSFNRDNFSGVETHPVVDALYFCIVTMCTIGYGDIAPLTPVTKVFACVFVLVGFGFIDILLSGVVNYVLDLQESMILAGIQMGKTHQGFSARNYIVDVEKGRMRIRLKVALALGVVVLCIGIGMLVLYFVEDLDWIDSIYLAVMSVTTVGYGDRAFKTLPGRLFAAVWLLVSTLAVARAFLYLAEARIDKRHRRITKWVLHRDITVEDLLAADINNNGFISKSEYVIYKLKEMGKIGEKDILQICNQFSKLDPNNLGKITLPDLLENRL >Manes.08G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1031776:1034523:1 gene:Manes.08G007300.v8.1 transcript:Manes.08G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERKTILVGLVVAMFLGIAVYLRLWSIDYAISSDESELLRRQFDLANREAMDESAEWRMKYDEEAERAAKCDKELIEIKQKVEDAASINQQLEMLQKENMALVERMEELKGKLEAAKLNCHS >Manes.02G024400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2118342:2120206:1 gene:Manes.02G024400.v8.1 transcript:Manes.02G024400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSSLRHLSMGWLRPCARLPTSVCLCSISPLRLLKPPVSHFSPSKYFSAFACVYTTFSSTISHCCALKNSASCSLPKIQPIPCFYLLFVHLFQRLHARLLTGVLLCGVSSLRL >Manes.13G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1186954:1190728:-1 gene:Manes.13G007900.v8.1 transcript:Manes.13G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLLKPLISAAAFTRYSHPSFLGLTVPITLSNKPQIKAPSAKMATQPNTKSNSIKIIDSHLHVWASPQEAADKYPYFPGQEPTLSGEVQFLLQNMEEAGVDGALIVQPINHMFDHSLVTSVLKKYPTKFVGCCLANPAEDGIGLKQLEELVLKDGYRAVRFNPYLWPFDQKMTNNVGKALFSKAGELGVPVGFMCFKGLDLHIAEILELCTEFPSTVVLIDHLGFCKPPTNDEENLVFSELLKLSGFPQVYIKFSALFRVSRMSCPYQDLSPLLSQVVSSFGANRVMWGSDFPFVIPECGYTGAKDAAFSIANQVHLSSPELEWIMGKTVMQLFKGRWLS >Manes.13G007900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1186954:1190729:-1 gene:Manes.13G007900.v8.1 transcript:Manes.13G007900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLLKPLISAAAFTRYSHPSFLGLTVPITLSNKPQIKAPSAKMATQPNTKSNSIKIIDSHLHVWASPQEAADKYPYFPGQEPTLSGEVQFLLQNMEEAGVDGALIVQPINHMFDHSLVTSVLKKYPTKFVGCCLANPAEDGIGLKQLEELVLKDGYRAVRFNPYLWPFDQKMTNNVGKALFSKAGELGVPVGFMCFKGLDLHIAEILELCTEFPSTVVLIDHLGFCKPPTNDEENLVFSELLKLSGFPQVWFKCLV >Manes.01G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32228714:32231041:1 gene:Manes.01G128300.v8.1 transcript:Manes.01G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKCKHTSMLMLVLVAGLLCQNFAIVPVTARTIEDDKHYYPSPDPHARSPPTGSHSTPHHGGGSTPHHGGGSTPSHGGGYGGTPPSHPTPSTPSPGNCGTPPSVPTPSRPPSDPTPTPYTPTPSTPPSVPTPSTPSNPPPSGGGYYPTPPSTGGSPPTPVIETPPTPITPTPSTPYIPSPPFIPDPNSPFTCTYWKNHPGIIWGLLGWWGTVGSAFGATSFSGFGASMSLPQALSNTRNDGYGTLYREGTASLLNSMVSNRFPFTTTQVRDSFVTALASNKAAAAQGSLFKLANEGKLKPRN >Manes.03G207200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32316719:32319827:-1 gene:Manes.03G207200.v8.1 transcript:Manes.03G207200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFFIVLRNMLKSRPEVSDIHCVKDAKVPLMRFKFDGISVDLPYAQLKLLVVPDNVDVLNPLFLRNIDETSWKSLSGVRANQRILQLIPNLENFQSMLRYVKLWGKRRGVYGNLNGFLGGVHLAILAAYVCQNHPNASVSILISSFFSTFATWPWPTPVVLQDGTPSTAGAVIETRSFMPIRLPCSPHEYCHSNITKSTFYKIRAEFLRGHVSTKGILNPDFNWNSILEPFAYSKKYTRFVKIYLSASDQDELGDWVGWVKSRFRCLLLKLEGLQGFCDPNPTEYVDMDVSEPNVVFYWGLNPSKSSFMNIELVEEDFLGNIYSGYHGIPRKIELSIVQASELPNNAEFNSGNAKKTKACWKIVNDRRIPAYSRYLPDYFVGYVATNGDTRLPTSGA >Manes.03G207200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32316719:32320440:-1 gene:Manes.03G207200.v8.1 transcript:Manes.03G207200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEGLLPTLKEEEKRKNVISKLKKLVVAWAKKVAWQRRLPREKIAATCATILTYGSYGLGVHGPESDIDALCVGPFFATMDEDFFIVLRNMLKSRPEVSDIHCVKDAKVPLMRFKFDGISVDLPYAQLKLLVVPDNVDVLNPLFLRNIDETSWKSLSGVRANQRILQLIPNLENFQSMLRYVKLWGKRRGVYGNLNGFLGGVHLAILAAYVCQNHPNASVSILISSFFSTFATWPWPTPVVLQDGTPSTAGAVIETRSFMPIRLPCSPHEYCHSNITKSTFYKIRAEFLRGHVSTKGILNPDFNWNSILEPFAYSKKYTRFVKIYLSASDQDELGDWVGWVKSRFRCLLLKLEGLQGFCDPNPTEYVDMDVSEPNVVFYWGLNPSKSSFMNIELVEEDFLGNIYSGYHGIPRKIELSIVQASELPNNAEFNSGNAKKTKACWKIVNDRRIPAYSRYLPDYFVGYVATNGDTRLPTSGA >Manes.03G207200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32316719:32321294:-1 gene:Manes.03G207200.v8.1 transcript:Manes.03G207200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAYQSRKNVVVLLVPPRYHLLPPPPVNPLLGFIDPSFHGQVLFPCNLPLPSSFAFGLGPSFLIPMEEESSLSLLKFMGNEGLLPTLKEEEKRKNVISKLKKLVVAWAKKVAWQRRLPREKIAATCATILTYGSYGLGVHGPESDIDALCVGPFFATMDEDFFIVLRNMLKSRPEVSDIHCVKDAKVPLMRFKFDGISVDLPYAQLKLLVVPDNVDVLNPLFLRNIDETSWKSLSGVRANQRILQLIPNLENFQSMLRYVKLWGKRRGVYGNLNGFLGGVHLAILAAYVCQNHPNASVSILISSFFSTFATWPWPTPVVLQDGTPSTAGAVIETRSFMPIRLPCSPHEYCHSNITKSTFYKIRAEFLRGHVSTKGILNPDFNWNSILEPFAYSKKYTRFVKIYLSASDQDELGDWVGWVKSRFRCLLLKLEGLQGFCDPNPTEYVDMDVSEPNVVFYWGLNPSKSSFMNIELVEEDFLGNIYSGYHGIPRKIELSIVQASELPNNAEFNSGNAKKTKACWKIVNDRRIPAYSRYLPDYFVGYVATNGDTRLPTSGA >Manes.09G040200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7361820:7366148:-1 gene:Manes.09G040200.v8.1 transcript:Manes.09G040200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSYSPSPPRGYGRRRRSPSPRGHYGGRARDLPTSLLVRNLRHDCRPEDLRGPFGQFGPLKDIYLPRDYYTGEPRGFGFVQYVDPADAADAKHHMDGEILLGRELTVVFAEENRKKPSEMRARERVRDRSYDRRRSPLRYSRSPRYGRSYSQSPVYHSRSPRLRHYSRSISPRDRRYRERSYSRSPYEPRNNSRSPSRSRSQSLEYSR >Manes.09G040200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7363121:7364570:-1 gene:Manes.09G040200.v8.1 transcript:Manes.09G040200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRPEDLRGPFGQFGPLKDIYLPRDYYTGEPRGFGFVQYVDPADAADAKHHMDGEILLGRELTVVFAEENRKKPSEMRARERVRDRSYDRRRSPLRYSRSPRYGRSYSQSPVYHSRSPRLRHYSRSISPRDRRYRERSYSRSPYEPRNNSRSPSRSRSQSLEYSR >Manes.03G097500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15934105:15940587:-1 gene:Manes.03G097500.v8.1 transcript:Manes.03G097500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKREFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWIGRRPLLIISSVLYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMEAPSWRLMLGVLSIPSLIYLALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPANDVTDDQDISADRDLIKIYGPEQGLSWVAKPVTGQSSIGIVSRRGSMANQSVPLMDPVVALFGSIHEKLPNTGSMRSMLFPHFGSMFSVGGNQTRNEEWDEESQTREDEDYPSDVGGGDSDDNLQSPLISRQTTSMDKDMVPPAHGSLPSMRHGSLMQGNDGEPVGSTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGMPGSQRGSLVSLHGGDAPAEGEFIQAAALVSQSALYSKELVNQHPIGPAMVHPSETFAKGPSWRDLFEPGVKHALAVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASASLLISAITTLLMLPCIAVAMRLMDISGRRSLLLCTIPVLIVSLVVLIIGSAVNLGSVVNASISTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLSGVFGLYAVVCFISLVFVYLKVPETKGMPLEVITEFFSVGAKQAAASKNN >Manes.03G097500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15934105:15940587:-1 gene:Manes.03G097500.v8.1 transcript:Manes.03G097500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKREFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWIGRRPLLIISSVLYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMEAPSWRLMLGVLSIPSLIYLALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPANDVTDDQDISADRDLIKIYGPEQGLSWVAKPVTGQSSIGIVSRRGSMANQSVPLMDPVVALFGSIHEKLPNTGSMRSMLFPHFGSMFSVGGNQTRNEEWDEESQTREDEDYPSDVGGGDSDDNLQSPLISRQTTSMDKDMVPPAHGSLPSMRHGSLMQGNDGEPVGSTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGMPGSQRGSLVSLHGGDAPAEGEFIQAAALVSQSALYSKELVNQHPIGPAMVHPSETFAKGPSWRDLFEPGVKHALAVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASASLLISAITTLLMLPCIAVAMRLMDISGRRSLLLCTIPVLIVSLVVLIIGSAVNLGSVVNASISTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLSGVFGLYAVVCFISLVFVYLKVPETKGMPLEVITEFFSVGAKQAAASKNN >Manes.03G097500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15934105:15940587:-1 gene:Manes.03G097500.v8.1 transcript:Manes.03G097500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKREFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWIGRRPLLIISSVLYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMEAPSWRLMLGVLSIPSLIYLALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPANDVTDDQDISADRDLIKIYGPEQGLSWVAKPVTGQSSIGIVSRRGSMANQSVPLMDPVVALFGSIHEKLPNTGSMRSMLFPHFGSMFSVGGNQTRNEEWDEESQTREDEDYPSDVGGGDSDDNLQSPLISRQTTSMDKDMVPPAHGSLPSMRHGSLMQGNDGEPVGSTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGMPGSQRGSLVSLHGGDAPAEGEFIQAAALVSQSALYSKELVNQHPIGPAMVHPSETFAKGPSWRDLFEPGVKHALAVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASASLLISAITTLLMLPCIAVAMRLMDISGRRSLLLCTIPVLIVSLVVLIIGSAVNLGSVVNASISTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLSGVFGLYAVVCFISLVFVYLKVPETKGMPLEVITEFFSVGAKQAAASKNN >Manes.03G097500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15934105:15940632:-1 gene:Manes.03G097500.v8.1 transcript:Manes.03G097500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKREFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWIGRRPLLIISSVLYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMEAPSWRLMLGVLSIPSLIYLALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPANDVTDDQDISADRDLIKIYGPEQGLSWVAKPVTGQSSIGIVSRRGSMANQSVPLMDPVVALFGSIHEKLPNTGSMRSMLFPHFGSMFSVGGNQTRNEEWDEESQTREDEDYPSDVGGGDSDDNLQSPLISRQTTSMDKDMVPPAHGSLPSMRHGSLMQGNDGEPVGSTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGMPGSQRGSLVSLHGGDAPAEGEFIQAAALVSQSALYSKELVNQHPIGPAMVHPSETFAKGPSWRDLFEPGVKHALAVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASASLLISAITTLLMLPCIAVAMRLMDISGRRSLLLCTIPVLIVSLVVLIIGSAVNLGSVVNASISTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLSGVFGLYAVVCFISLVFVYLKVPETKGMPLEVITEFFSVGAKQAAASKNN >Manes.03G097500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15934105:15940683:-1 gene:Manes.03G097500.v8.1 transcript:Manes.03G097500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKREFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWIGRRPLLIISSVLYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMEAPSWRLMLGVLSIPSLIYLALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPANDVTDDQDISADRDLIKIYGPEQGLSWVAKPVTGQSSIGIVSRRGSMANQSVPLMDPVVALFGSIHEKLPNTGSMRSMLFPHFGSMFSVGGNQTRNEEWDEESQTREDEDYPSDVGGGDSDDNLQSPLISRQTTSMDKDMVPPAHGSLPSMRHGSLMQGNDGEPVGSTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGMPGSQRGSLVSLHGGDAPAEGEFIQAAALVSQSALYSKELVNQHPIGPAMVHPSETFAKGPSWRDLFEPGVKHALAVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASASLLISAITTLLMLPCIAVAMRLMDISGRRSLLLCTIPVLIVSLVVLIIGSAVNLGSVVNASISTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLSGVFGLYAVVCFISLVFVYLKVPETKGMPLEVITEFFSVGAKQAAASKNN >Manes.03G097500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15934105:15940587:-1 gene:Manes.03G097500.v8.1 transcript:Manes.03G097500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKREFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWIGRRPLLIISSVLYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMEAPSWRLMLGVLSIPSLIYLALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPANDVTDDQDISADRDLIKIYGPEQGLSWVAKPVTGQSSIGIVSRRGSMANQSVPLMDPVVALFGSIHEKLPNTGSMRSMLFPHFGSMFSVGGNQTRNEEWDEESQTREDEDYPSDVGGGDSDDNLQSPLISRQTTSMDKDMVPPAHGSLPSMRHGSLMQGNDGEPVGSTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGMPGSQRGSLVSLHGGDAPAEGEFIQAAALVSQSALYSKELVNQHPIGPAMVHPSETFAKGPSWRDLFEPGVKHALAVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASASLLISAITTLLMLPCIAVAMRLMDISGRRSLLLCTIPVLIVSLVVLIIGSAVNLGSVVNASISTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLSGVFGLYAVVCFISLVFVYLKVPETKGMPLEVITEFFSVGAKQAAASKNN >Manes.03G097500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15934105:15940587:-1 gene:Manes.03G097500.v8.1 transcript:Manes.03G097500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKREFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWIGRRPLLIISSVLYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMEAPSWRLMLGVLSIPSLIYLALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPANDVTDDQDISADRDLIKIYGPEQGLSWVAKPVTGQSSIGIVSRRGSMANQSVPLMDPVVALFGSIHEKLPNTGSMRSMLFPHFGSMFSVGGNQTRNEEWDEESQTREDEDYPSDVGGGDSDDNLQSPLISRQTTSMDKDMVPPAHGSLPSMRHGSLMQGNDGEPVGSTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGMPGSQRGSLVSLHGGDAPAEGEFIQAAALVSQSALYSKELVNQHPIGPAMVHPSETFAKGPSWRDLFEPGVKHALAVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASASLLISAITTLLMLPCIAVAMRLMDISGRRSLLLCTIPVLIVSLVVLIIGSAVNLGSVVNASISTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLSGVFGLYAVVCFISLVFVYLKVPETKGMPLEVITEFFSVGAKQAAASKNN >Manes.03G097500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15934105:15940683:-1 gene:Manes.03G097500.v8.1 transcript:Manes.03G097500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKREFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWIGRRPLLIISSVLYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMEAPSWRLMLGVLSIPSLIYLALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGEMALLVEGLGVGGETSIEEYIIGPANDVTDDQDISADRDLIKIYGPEQGLSWVAKPVTGQSSIGIVSRRGSMANQSVPLMDPVVALFGSIHEKLPNTGSMRSMLFPHFGSMFSVGGNQTRNEEWDEESQTREDEDYPSDVGGGDSDDNLQSPLISRQTTSMDKDMVPPAHGSLPSMRHGSLMQGNDGEPVGSTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGMPGSQRGSLVSLHGGDAPAEGEFIQAAALVSQSALYSKELVNQHPIGPAMVHPSETFAKGPSWRDLFEPGVKHALAVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGISSASASLLISAITTLLMLPCIAVAMRLMDISGRRSLLLCTIPVLIVSLVVLIIGSAVNLGSVVNASISTASVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLSGVFGLYAVVCFISLVFVYLKVPETKGMPLEVITEFFSVGAKQAAASKNN >Manes.02G220500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35372802:35376386:-1 gene:Manes.02G220500.v8.1 transcript:Manes.02G220500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRSAMEDHMEQMADLVQKLSSELRSGLRPAYENFMGFFHAIDWTEPWLMGLMAFHIALLIVAILSRKHINFQMFLFLLALGGVYFAERLNKILGDNWKSFASQNYFDPHGIFLSTLWSGPLLVIAIIILMNSLFSLCYLIVRWKRAELRHRARLSHNKQD >Manes.04G027100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3194681:3203538:-1 gene:Manes.04G027100.v8.1 transcript:Manes.04G027100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLISEMGLPKSIANIFAARNINTAKDALSLTEFELMELLDVGMADVTSAVAHISAVVSPPYQTALFLMEQRLQNEQFAGHLPTRLKGLDDALCGGIPFGVLTELVGPAGIGKTQFCLKISLLASLPASYGGLEGHVVYIDVESKFSSRRIIEIGSTSFPEIFHRKGMAQEMAGRILVLRPTSLSEFTESLQQIKVLLLQQQVKLLVIDSMAALISGEYDQGAPRQHSLGWHISFLKSLAEFSRIPVVVTNQVRSQRRDEVCQYSFQAQKNAETQEGTDKYDSHVVAALGIHWAHSVTIRLVLEAKSGQRYIKVAKSPMSPPIAFPFIITSSGIALLEDDGIELRGQEINTIHCQGLDEIINFDGERMK >Manes.16G115100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32016259:32022919:1 gene:Manes.16G115100.v8.1 transcript:Manes.16G115100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILDMELLLLVLLLVKMQSVLVLHQIQRFMHFVCLQMHSLLQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITSNNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHMAPFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPESSRKDILNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEILKSYQPRASIFPSVLDFTDCPYSWPFCRQPLYAGAMPVMFNATILNGMGVIGYVKGPPTWHPLDEEGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAQFSGEIEGNVSVTIFSPPALGEKGPRSSTCVLQLKLKVVPTPARSKRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDARQYGTLLLVDLEDEFFPEEIEKLRDDVISTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDGEQSRYASGTDIVRFPRDGYVHSFPFLDSSESGATQSVLLTSGMTKADSPILGLVEVGEGRIAVYGDSNCLDSSHMVTNCYWLLKKVLDFTSGNIRDPLLFLDSAKQKAALYIDDKQLPSRRTDVNFSLYSAVVRKDLICRSDSRFEVWGTKGYNLHVRGRNRRLPGYSVIDLGRGLNSTADISRLRRPRITEKSKGDSLGNGYWGMLYGDELDVPVLVASHWLVPAAVAVTGVLLFLSIWRIRQKRRPRRRGSGSGRLGNL >Manes.16G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32016259:32022919:1 gene:Manes.16G115100.v8.1 transcript:Manes.16G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHQTSTIFTFKSALFISLISLYLIHFLTPSQKTLTLSPHNATPNKTQSTANYIVRFTEYRRAEHLRQYLESKVKSGGWEWIERRNPAMKYATDFGLVAMEESQRERLIGEIAKLAMVKDVNLDLSYKRDLLASNGGGAFVDGKKRPGKIFTSMSFSEGEHYAMASTSNSTINWGRRLLMQKSQVTSLFGADVLWAKGYTGAKVRMAIFDTGIRSDHPHFRNIKERTNWTNENTLNDNLGHGTFVAGVIAGQDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITSNNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHMAPFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPESSRKDILNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEILKSYQPRASIFPSVLDFTDCPYSWPFCRQPLYAGAMPVMFNATILNGMGVIGYVKGPPTWHPLDEEGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAQFSGEIEGNVSVTIFSPPALGEKGPRSSTCVLQLKLKVVPTPARSKRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDARQYGTLLLVDLEDEFFPEEIEKLRDDVISTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDGEQSRYASGTDIVRFPRDGYVHSFPFLDSSESGATQSVLLTSGMTKADSPILGLVEVGEGRIAVYGDSNCLDSSHMVTNCYWLLKKVLDFTSGNIRDPLLFLDSAKQKAALYIDDKQLPSRRTDVNFSLYSAVVRKDLICRSDSRFEVWGTKGYNLHVRGRNRRLPGYSVIDLGRGLNSTADISRLRRPRITEKSKGDSLGNGYWGMLYGDELDVPVLVASHWLVPAAVAVTGVLLFLSIWRIRQKRRPRRRGSGSGRLGNL >Manes.14G098400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8165970:8168964:1 gene:Manes.14G098400.v8.1 transcript:Manes.14G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAEKMQRCHEYVEALEVERSKILVFKRELPLCLELITKAIEACRRELSGTTTDYLHGQSESSEQTSSEGTKPVLEEFIPIKKTNSSSDNDNEEQYLHKRNKNSSTNKDKNSSDHKKKSDWLRSAQLWNQSPDPTPKEDVSRKAVETEVNRNGGAFQPFQKEKTTGKNVQTTAKNPSSVPASATSSTTETGAGGTGGGSTRKEEKDGQSERKQRRCWSPELHRRFLHSLQQLGGPHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPPIHNNSNPQAPQFVVVGGIWVQPPEYAAVAATTASGETSTIAAAAAANGIYTPVAAPLSTAPPKLQKQLLKHSEQMQLEERGSHSDGGFRSNSPATSSSTHTTTNSPSF >Manes.01G019700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4595160:4603014:-1 gene:Manes.01G019700.v8.1 transcript:Manes.01G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVEEDEDREALAGLSFIPPSNRRMHSYSQQFRSNSGPKKHHPRKHSLDHIPHVSSERYVDSSDDDYYTYPSPSPSTCNTLGGSGSGTGSGGGGGGGGGDEILQQRLDLNLVVEGPPDEIRQTQLPEFIASGGTGIFKAPTRAAVHPGRPTCLELRPHPLRETQGGKFLRNIACTETQLWAGQESGVRFWILEHAYDPGSGLGGRVRRGDEDAAPFHESANTSPAMCLMVDKGIKLVWSGHKDGKIRSWKMDQKLDDNVPFKEGLSWQAHKGPVLSIITSTYGDIWSGGEGGVIKIWPWESIEKSLSLSPEEKHMAALLVERSNIDLRSQVTVNGACSISSSDVKCLLSDKVRAKVWCAQSLYFSLWDAHTKELLKVFNIEGQTENRIDLPSAQPQEQPVEDEMKVKFVSTSKKDKTQGFLQRSRNAIMGAADAVRRVATRGAVVFVEDTKRTEALVLTGDGMIWSGCSNGLLIQWDGNGNRLQDYSHHSTAVQSLCTFGTRMYVGYASGIVQVLDLDGNLLAVWVAHSNPVIKLAVGTDYIFSLANHGGIRGWNHTSPGPLDNIVRSELAQKEVVYTRRDSFRILMGTWNVGQGRASHEALMSWLGSAVSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGQWWQDTIGKALEEGTTFERMGSRQLAGLLISLWVRKNLRAHVGDVDAGAVPCGFGRAIGNKGGVGLRIRVLDRTICFVNCHLAAHLEAVNRRNADFDHIFRNMGFSRSSNLISAVCNSATTQTLKGPNIPTTTQTHKSTNAVNTNSENTKSDLCEADMVVFLGDFNYRLFGITYDEARDFVSQRSFDWLREKDQLRAEMKAGKVFQGMREALIRFPPTYKFERNIPGLGGYDSGEKKRIPAWCDRIIYRDNRVAPVSDCSLECPIVSSVIQYEACMDVTESDHKPVRCKFNVQIAHADRSVRRQEFGNIIRYNEKIRSLIEESRNVPETVVSTNNIVLQNQDTCILRITNKCMKEKAVFNIICEGQCTIKDDGEEPEYRSRGSYGFPRWLEVTPAAGLIKPDQYAEVSVHHEEFHTLEDLVDGIPQNWWCEDTRDKEVILCLIVQGCSSTEMKSHKIHVRHCFSAKAVRLESKTNSRKNQGGAVNRSEQKQSSSSSDKGDDFRNSRKS >Manes.05G173800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28863240:28868152:1 gene:Manes.05G173800.v8.1 transcript:Manes.05G173800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSINTVTNGKVESGSKLSVPGHSSLSSIQDVVNNPFLGQNQPLPAENSVPVQLLPTDKIVSTSTADIIETDNPDIVKEGENFSNGQHYGGKTELHLQEKTETLQNFSDIHQSQGKDTYFLDSSGIRIDNMIPIASSHEIGSQSNELDLPHLKVRMQTDEPSSPYKRMASQILRTPLSLNSPGVKLDGTSGGIIDTRAPFESVKEAVSKFGGIVDWKAHKIQTVERRKIVEQELGKVQKEMPELKKQSEDAELEKAQVLRELESTKRLIEELKLNLEKAQTEEHQAKQDSELAKLRVEELEQGIADEASVAAKAQLEVAKARHAAAVSELKSVNDELETLKTELASLVAEKCEAVKKAEEAVSASKEVEKTVEELTIELISTKESLESAHASHLEAEEQRIGAAMAREQDSLYWEKELKQSEEELQKLNQQILSAKDLKSKLDTASALLHDLKAELAAYMECKVKEDSDEGDTKAGEEEPEKKTHTNIRVAVASAKKELEEVKLNIEKATEEVNCLKVAATSLQSELEKEKSSLAILRQREGMASVTVASLEAELDNTRSEIALVQMKEKEAKEKMVELPKQLQQAATAADEAKQLAQIAREDLRKAKEEAEQAKAAASTMESRLLAAQKEIDAAKASEKLALAAIRALQESESAQSTKDVDSPAGVTLSLEEYYELSRRAHEAEQQANRRVEAAISQIEVAKESELKIAEKLEEANKEMAARKDALKVAMTKAERAKEGKLGVEQELRKWRAEHEQKRKTGESDQGVTGHGKSKSTTFEDKKESKHSDKIPDASDENESSVKSHGHGTSAETESSPDVNVPKKKKKTYFPRFLMFLTRKKSHAHKTGQEYK >Manes.05G173800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28863240:28868152:1 gene:Manes.05G173800.v8.1 transcript:Manes.05G173800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSINTVTNGKVESGSKLSVPGHSSLSSIQDVVNNPFLGQNQPLPAENSVPVQLLPTDKIVSTSTADIIETDNPDIVKEGENFSNGQHYGGKTELHLQEKTETLQNFSDIHQSQGKDTYFLDSSGIRIDNMIPIASSHEIGSQSNELDLPHLKVRMQTDEPSSPYKRMASQILRTPLSLNSPGVKLDGTSGGIIDTRAPFESVKEAVSKFGGIVDWKAHKIQTVERRKIVEQELGKVQKEMPELKKQSEDAELEKAQVLRELESTKRLIEELKLNLEKAQTEEHQAKQDSELAKLRVEELEQGIADEASVAAKAQLEVAKARHAAAVSELKSVNDELETLKTELASLVAEKCEAVKKAEEAVSASKEVEKTVEELTIELISTKESLESAHASHLEAEEQRIGAAMAREQDSLYWEKELKQSEEELQKLNQQILSAKDLKSKLDTASALLHDLKAELAAYMECKVKEDSDEGDTKAGEEEPEKKTHTNIRVAVASAKKELEEVKLNIEKATEEVNCLKVAATSLQSELEKEKSSLAILRQREGMASVTVASLEAELDNTRSEIALVQMKEKEAKEKMVELPKQLQQAATAADEAKQLAQIAREDLRKAKEEAEQAKAAASTMESRLLAAQKEIDAAKASEKLALAAIRALQESESAQSTKDVDSPAGVTLSLEEYYELSRRAHEAEQQANRRVEAAISQIEVAKESELKIAEKLEEANKEMAARKDALKVAMTKAERAKEGKLGVEQELRKWRAEHEQKRKTGESDQGVTGHGKSKSTTFEDKKESKHSDKIPDASDENESSVKSHGHGTSAETESSPDVNVPKKKKKTYFPRFLMFLTRKKSHAHKTGQEYK >Manes.15G087700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6718573:6720940:1 gene:Manes.15G087700.v8.1 transcript:Manes.15G087700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTRLQESKNPSPINSPRSNGSNNGVQIHTLPFSPIPISRSDANPYPTTFVQADTSTFKQVVQMLTGSTETAKQASSRTAQDPPPTPTSTTTRNFTIPPIKSMPKKQQNSFKLYERRNNNFKNSLMINTLLPSFASNNSVSGFSPRNKQEILSPSLLDFPKLTLSPVTPLTNEDPFNKSPPSLGNSSSEEERAIAEKGFYLHPSPISTPRDSEPQLLPLFPVTSPKVSGS >Manes.15G087700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6719158:6719991:1 gene:Manes.15G087700.v8.1 transcript:Manes.15G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTRLQESKNPSPINSPRSNGSNNDTSTFKQVVQMLTGSTETAKQASSRTAQDPPPTPTSTTTRNFTIPPIKSMPKKQQNSFKLYERRNNNFKNSLMINTLLPSFASNNSVSGFSPRNKQEILSPSLLDFPKLTLSPVTPLTNEDPFNKSPPSLGNSSSEEERAIAEKGFYLHPSPISTPRDSEPQLLPLFPVTSPKVSGS >Manes.04G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2613650:2620667:-1 gene:Manes.04G021900.v8.1 transcript:Manes.04G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNNELSPAETLNTSSTVNSQSMSPHDSPSQTHEQEQLQLSNPQSMAANENNGGNLGEKRKRDETAQPETEEKVDPSLNPYYKTSLCSYFRRNSGSCSHGSECRYAHGEAELRPRPDNTWDPTSERAKKALKLEDNGDKCEEGKEEEVMMTEMLVDDSEGGEGGCLDPELSKCLVHLPRKWNSDNLRNFLSEQGVLFKLAKKKKGMTVGFVTFDRADLLKRASEELEGRSVGNKKIKVADVIPRSYDKKIKSAMSLPQNAPQKMESSLDGENEGISKSLHITEDGDINDDSSMLDGSTSKAKSARDVVTPLAHMPYEDQLEHKKNSIMQMLKKLTRNARKACPNGVSLPEWILKSREIGGLACNLEGILESPLVNGYRNKCEFSVGYSLQGKPIVGFMLGNFRDGVTAVEEPVDCPNVSSIACKYASIFQEFLQQSGLPIWNRFKNTGFWRQLTVREGRLSKKDADVKNPDGNISEVMLIVQVCSAGFDNEVINGELGKLADAFTTGAAANSPTLPLTVLVLQDHQGISNVAPADAPLRILPLPNGESSSELEAGKKIVEARIHDHISYLSFSISPTAFFQVNTLAAEKLYSLAGDWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVIGIEMNASAVSDAHRNAEINGIKNCRFVCGKAENVMASLMKEYVNESQKQDEQPNSCETNDNDITTDKDKDASMDNVLDNNENASHELDSRKDASGCCEDGEKEDQCQPQKSSSSENGRSSVPQFKNIVAIVDPPRGGLHPTVIKVLRTHSHLRRLVYISCNPETLVANAIELCTPSPDKIEKGNKKNRGWRNMSSAGLARHRAKSMPISEPFRPVKAMAVDLFPHTAHCEMVMLLER >Manes.03G105500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22921897:22926000:-1 gene:Manes.03G105500.v8.1 transcript:Manes.03G105500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERIGLSMEKVKENGTMNNYEVETPKNITIKGILSLLMQSIEEKDGRSVISLGMGDPSAYSCFHTTPTAQQAVADALLSDKFNGYSPTVGLPQTRKAIADYLSRDLPYKLSSDDVFITSGCTQAIDVALTMLARPGANILLPRPCFPIYELCAAFRGLEVRHFDLLPEKGWEVDLDAIEVLADQNTVALVIINPGNPCGNVYSYQHLKEIAETAEKLKILVIADEVYGHLAFGNNPFVPMGVFGSIVPILTLGSLSKRWIVPGWRLGWFVTTDPSGMFRKPKVVERIKKYFDILGGPATFIQAAVPCILEQTDEAFFKRTINILKQASEICYDRTKEIPCITCPHKPQGSMAVMMKLNLSLLEDIYDDIDFCFKLAKEESVIILPGTAVGLKDWLRITFAADPASLEEALGRVKSFCQRHTKYIR >Manes.03G105500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22923729:22925524:-1 gene:Manes.03G105500.v8.1 transcript:Manes.03G105500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERIGLSMEKVKENGTMNNYEVETPKNITIKGILSLLMQSIEEKDGRSVISLGMGDPSAYSCFHTTPTAQQAVADALLSDKFNGYSPTVGLPQTRKAIADYLSRDLPYKLSSDDVFITSGCTQAIDVALTMLARPGANILLPRPCFPIYELCAAFRGLEVRHFDLLPEKGWEVDLDAIEVLADQNTVALVIINPGNPCGNVYSYQHLKEIAETAEKLKILVIADEVYGHLAFGNNPFVPMGVFGSIVPILTLGSLSKRWIVPGWRLGWFVTTDPSGMFRKPKVYSLLFAFCVIRTWHFVGSQFL >Manes.10G133713.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30088139:30092155:-1 gene:Manes.10G133713.v8.1 transcript:Manes.10G133713.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATAVGGSILSVCFQGLFDRLSSIDLKKYVGQGQVLAQLKKWEKMLQRIYVVLEDAEEKQTADQSVEIWLSDLRDLAHDLEDIMDELATEVQRRKLEDKPVRPNDKVHKLLSAMCGGANLNLNTIKFNAEMVAKIEETSARLDEIIKQKDELHLAESNRRVSHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAETSDAQVSVISIVGMGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVFRVTKTVLHQLGDGGGDNDLNLLQVKLKQNLSWKKFLVVLDDVWTQNYEQWTLFWGPFEAGAAQSRVIVTTRSQDVSLMMGATQAYSLKKLSHNECMSVFAQHALGANNFDDHLELKEIGEEIVKRCGGLPLAAKALGGILKGKPNPDLWKEVLSSEMWELPDNRNNILPALKLSYLHLPPQLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDKKKMKDSEGLGQNYFDDLLSRSFFQQSNDNKSKYIMHDLIVDLACFVSREVCLHMVGKLQNAKSYAKIRHSSFIPHFMNTFQRFQSFYEMKNLRTLLSWSRLPESVDKLLNLQTLKLHHCYELIELPKGICNLLNLQHLDIIGTRKLKEMPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGQLHITSLENVEVEDIRDAGFANLKDKPGITELHLKWTDEFSYDLRNPSHEEQVLNSMQPHHSLSSLSITSFSGRKFPSWLGEPSFSSMVQVELSNCHQITSLPPLGRLKSLKKLSIKGSSRVKEVGVEFYEDDSCFSCLEMLEIVNMGKWEQWAWSNGLSEDSVVKFPKLHELRLHNCPKLVGKLPNFLPSLEKLVINNCPLLVELPKVLPSLTALSIRRCRGAILKSLINATSLTSLKHLQIIECLELASLLLPSGLKDLKCLKDLRISQCRSLVSFPAGGLPHNLICLSLQNCGALEYLPEGIVSHSKYSSDTSHLEKLRISGCKSLGSSSNGKFPDFLKTLEIHNWTTQLLNSLYYGLSHLTDLQIWNCPQLESFPGKELPIPSLISLKIAGCEGLRSLSNHMQDLQSLQQLEIGNCPRLELFPEMGLRNPKLVSFEIHRCKNLKSLPNQMQSLTSLQSINMSDCGAAIECSIECLPPNLTMLSMGACLNLKQPMLEWGLHRLTSLRKLGIGSMKSSGDIISFPDDDGFLLPTSLTHLFIAGFKNLKSISMGIQKLTSLEKLEIWRCPKLQSFPAEGFPATLECLCIDNCPLLRDRCLKEKGGDYWPIISHIPALLYAIKQDFTVVQQM >Manes.16G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32131702:32131994:1 gene:Manes.16G116900.v8.1 transcript:Manes.16G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMKLYAAEFAGFMLFALLQLGYGQGLAPSPAPQGPTSDGKSIDQGIAYILLLVALAITYLFH >Manes.09G182300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37044448:37045205:1 gene:Manes.09G182300.v8.1 transcript:Manes.09G182300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVVVLFGIFLASVMADPELLQDVCVADLSSAVKVNGFVCRENITADDFFFTGLAKPGLTNNTMGSLVTAASVQKIPGLNTLGISMSRIDYAPGGLNPPHTHPRASEIVFVIKGHLEVGFITTANVLISKTIKKGEIFVFPKGLVHFQKNNGKVSAAVIAAFNSQLPGTQAIAATLFAATPPVPDHVLTKAFQVGKKEIRKIKCRLGSNK >Manes.18G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3936870:3939334:1 gene:Manes.18G044200.v8.1 transcript:Manes.18G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETQLLCEASRNGDLDKVIALINCGADVSYFGNDGFTPLMHGAKFGHAAVVKVLLEAGAPWNALSPSNLSAGDLAMEEGHQEAFEILLNAGIQSELILGTIARKANENVHGDYLEDRVTFSEDKLMDSDSKAVMMAWEKPLMEAHAKAVCSGGGHILNIGFGMGLVDTAIQQYSPATHTIIEAHPEVYKRMLDTGWGDKDNVKIIFGRWQDVLSQLETYDGIFFDTYGEHYEDLREFHQHLPVLLKPGGIYSFFNGLCGGNAFFHVVYCNLVSLELENLGYHTQLIPLPVKDCLGEKVWEGVRQKYWQLDTYYLPFCQSVQDSE >Manes.05G006600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1175438:1176657:-1 gene:Manes.05G006600.v8.1 transcript:Manes.05G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILTMPFVFLLLGLFSSKPYVASAAWLRAHATFYGGNDASGTMGGACGYGNLYTDGYGVKTAALSTALFNDGKSCGGCYQIVCDGTQVPQWCLKGSSITITATNFCPPNYNLPNDNGGWCNPPRPHFDMSQPAFETIAKYRAGIVPVFYRRVGCRRSGGIRFTINGRDYFELVLISNVGGAGEISSVWIKGSKSNRWETMSRNWGVNWQSLSYLNGQSLSFRVQASDGSIVTALNVVPSNWVFGQSFKSNVQF >Manes.02G032100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2620963:2630122:1 gene:Manes.02G032100.v8.1 transcript:Manes.02G032100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGFGNYRNGTQSSRTSLGSSNKVDKPLSVYSNPKNPSLKSKSLNSSGLRKSAPGSLGAATGAAKDDAGVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRKNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLGGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDIFAGVSQGTDSVSVSYLQLYMETIQDLFDPTNDNISIVEDPKTGDVSLPGASLVDIRDQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVYVKRSVNGIDSALSTDNGDSSQVVKSLKPPVVRKGKLVVVDLAGSERIDKSVHYLAGSEGHMLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKVIAEHERQQKSFEKEIERITREAQNQISEVERKYADALEKERVKYHEECMESIKKLEEKWMKNQQKHAIDGNKVGLKGDGSDVTSKGEVAAVKEVAELKKLLQKEALLMKAAQEEVDNLKSQLAQSKKLEATANSEILKLRKMLEDEACQKQKLEGEIAMLQSRLLQISFDTDETRKRLDKGGSEKILSGLDSQMSQLRQPQLNAAGNGEKASIAKLFEQVGLHKILSLLEAEDADVRIHAVKVVANLAAEETNQEKIVEAGGLTSLLTLLKSSGDETIHRVAAGAIANLAMNEKNQELIMAQGGIRFLSMTAANAEDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLGMVKSRHPDVLAQVARGIANFAKCESRASTQGSKNGRSLLIEDGALPWIVQNANNETSQIRRHIELALCHLAQHELNARDMISGGALWELVRISRDCSREDIRTLANRTLASSSIFQAELRRLRIEH >Manes.02G032100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2620963:2630122:1 gene:Manes.02G032100.v8.1 transcript:Manes.02G032100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGFGNYRNGTQSSRTSLGSSNKVDKPLSVYSNPKNPSLKSKSLNSSGLRKSAPGSLGAATGAAKDDAGVPGRVRVAVRLRPRNAEEMVADADFADCVELQPELKRLKLRKNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLGGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDIFAGVSQGTDSVSVSYLQLYMETIQDLFDPTNDNISIVEDPKTGDVSLPGASLVDIRDQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVYVKRSVNGIDSALSTDNGDSSQVVKSLKPPVVRKGKLVVVDLAGSERIDKSGSEGHMLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKVIAEHERQQKSFEKEIERITREAQNQISEVERKYADALEKERVKYHEECMESIKKLEEKWMKNQQKHAIDGNKVGLKGDGSDVTSKGEVAAVKEVAELKKLLQKEALLMKAAQEEVDNLKSQLAQSKKLEATANSEILKLRKMLEDEACQKQKLEGEIAMLQSRLLQISFDTDETRKRLDKGGSEKILSGLDSQMSQLRQPQLNAAGNGEKASIAKLFEQVGLHKILSLLEAEDADVRIHAVKVVANLAAEETNQEKIVEAGGLTSLLTLLKSSGDETIHRVAAGAIANLAMNEKNQELIMAQGGIRFLSMTAANAEDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLGMVKSRHPDVLAQVARGIANFAKCESRASTQGSKNGRSLLIEDGALPWIVQNANNETSQIRRHIELALCHLAQHELNARDMISGGALWELVRISRDCSREDIRTLANRTLASSSIFQAELRRLRIEH >Manes.02G032100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2620963:2630122:1 gene:Manes.02G032100.v8.1 transcript:Manes.02G032100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGFGNYRNGTQSSRTSLGSSNKVDKPLSVYSNPKNPSLKSKSLNSSGLRKSAPGSLGAATGAAKDDAGVPGRVRVAVRLRPRNAEEMVADADFADCVELQPESVLGGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDIFAGVSQGTDSVSVSYLQLYMETIQDLFDPTNDNISIVEDPKTGDVSLPGASLVDIRDQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVYVKRSVNGIDSALSTDNGDSSQVVKSLKPPVVRKGKLVVVDLAGSERIDKSVHYLAGSEGHMLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKVIAEHERQQKSFEKEIERITREAQNQISEVERKYADALEKERVKYHEECMESIKKLEEKWMKNQQKHAIDGNKVGLKGDGSDVTSKGEVAAVKEVAELKKLLQKEALLMKAAQEEVDNLKSQLAQSKKLEATANSEILKLRKMLEDEACQKQKLEGEIAMLQSRLLQISFDTDETRKRLDKGGSEKILSGLDSQMSQLRQPQLNAAGNGEKASIAKLFEQVGLHKILSLLEAEDADVRIHAVKVVANLAAEETNQEKIVEAGGLTSLLTLLKSSGDETIHRVAAGAIANLAMNEKNQELIMAQGGIRFLSMTAANAEDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLGMVKSRHPDVLAQVARGIANFAKCESRASTQGSKNGRSLLIEDGALPWIVQNANNETSQIRRHIELALCHLAQHELNARDMISGGALWELVRISRDCSREDIRTLANRTLASSSIFQAELRRLRIEH >Manes.02G032100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2620963:2630122:1 gene:Manes.02G032100.v8.1 transcript:Manes.02G032100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGFGNYRNGTQSSRTSLGSSNKVDKPLSVYSNPKNPSLKSKSLNSSGLRKSAPGSLGAATGAAKDDAGVPGRVRVAVRLRPRNAEEMVADADFADCVELQPESVLGGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDIFAGVSQGTDSVSVSYLQLYMETIQDLFDPTNDNISIVEDPKTGDVSLPGASLVDIRDQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVYVKRSVNGIDSALSTDNGDSSQVVKSLKPPVVRKGKLVVVDLAGSERIDKSGSEGHMLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKVIAEHERQQKSFEKEIERITREAQNQISEVERKYADALEKERVKYHEECMESIKKLEEKWMKNQQKHAIDGNKVGLKGDGSDVTSKGEVAAVKEVAELKKLLQKEALLMKAAQEEVDNLKSQLAQSKKLEATANSEILKLRKMLEDEACQKQKLEGEIAMLQSRLLQISFDTDETRKRLDKGGSEKILSGLDSQMSQLRQPQLNAAGNGEKASIAKLFEQVGLHKILSLLEAEDADVRIHAVKVVANLAAEETNQEKIVEAGGLTSLLTLLKSSGDETIHRVAAGAIANLAMNEKNQELIMAQGGIRFLSMTAANAEDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLGMVKSRHPDVLAQVARGIANFAKCESRASTQGSKNGRSLLIEDGALPWIVQNANNETSQIRRHIELALCHLAQHELNARDMISGGALWELVRISRDCSREDIRTLANRTLASSSIFQAELRRLRIEH >Manes.14G170900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28084760:28087645:-1 gene:Manes.14G170900.v8.1 transcript:Manes.14G170900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMGYSDGGEFNVPDSFNGRKLRPLIPRNPPLPPSPNNTSNSSPPTLSLLHGNEFFSLNHHLASMADQSKRDFHTQPVVVSSRWNPTPEQLRTLEELYRRGTRTPSAEQIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMESTAPDHHHEQQQNREIEIHGKKESGTNRTVYEGEQTKNWAPSTNCSALPEEAMSMQIRAAKGLVTECKGEEWNQFDEVELQRRRSFMERNATWQMMQLSSTYLINTSCSSTTTTTSRILAEAEAEATASTPTVLAAGGSIRRSMDPNKQLIKTHNDLNIFIAPYRENSIGHGLINHFISNEEDHNGCGDSHQTLQLFPLCSSGGGESINDKETENSSASVAAAMNANFTTTHQFFEFLPLKN >Manes.07G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26329720:26331456:-1 gene:Manes.07G083200.v8.1 transcript:Manes.07G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSTGGSVEYVDYKPVSELMEEEGANILLVHLPDFQKEHMKITYVHSTRLIRVAGERLITGNKWSMFNQTFPVPQNCDVQKIQAKIQNGVLTITMPKLTPSIPPNPSSTTTASKEENDKTATQIPQQPKTFADTEKQSAAHIPGATVASTTDGTMKPKDEKSDKVGEEKIKEKKERKEGEVEKSDKVGEEKIKEKKERKEGEDEKSDKVGEEKIKENKERKEGYENTSESKQKETSKEVKESSLADQSEENGKKRKEAKVTRPAEKAKSLKFGGVGDEEKPKEDLLGEKVKNVAFAPKKSVMTLSEERQSLVNIGAAVLVIAALGTYIYYNYGSSGNPTD >Manes.02G158800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12411440:12420175:-1 gene:Manes.02G158800.v8.1 transcript:Manes.02G158800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPTLIPEWLRSSGSVSGGGSSAHHFASSSSHSDVSSSAHLARNRNSKSDFDSPRSAFLDRSSSSNSRRSSSNGSAKHAYSSFSRSHRDKDRERDKERLNFGDHWDHDVPDPLGSILSSRSDNTLRRSHSMVSRKQSEVLPRRSAVDLKNGSNSNHANGNGLISGSSVGSGIQKMVFEKDFPSLGSEERQGIPDIGRVSSPGLSTAVQSLPVGSSALIGGEGWTSALVEVPAIMGNSSSGSLSAVQTLATSAPGTPSTTASLNMAEALTQAPSRTRTSPQVTEQFSVQTQRLEELAIKQSRQLIPVTPSMAKSSVLNSSEKSKPKTIVRSGEMNMPAKSMQQQSSSLHPANQAVIGGHVKADALKTSHGKLFVLKPGWENGVSLSPKDTASPTNNASRASNSQLATPTVPSAPLRSPNNIKHSSGEHKSANLNLISGFIVEKRPSLSQTQSRNDFFNLLKKKTSTNISASLPDSVSTVSSPTSEKSCEASKELISAPTSPQAIKIGAELTSNGGTSEEVQRFSEEEAAFLRSLGWEENSGEDEGLTEEEINAFFQQCRELGPSLKLCRSMQQKLLESHATGLAGTSSGLNSSDSGSEI >Manes.11G080100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12235065:12244117:-1 gene:Manes.11G080100.v8.1 transcript:Manes.11G080100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHLLQTVYYNNKSIKSLLYPLLLHHSAIHKIMALKAVHVSDVPNLDQVPESASLALCTASFSKGVELNRVPYKIPKFLVVGHRGNGMNVLTSMDQRMKAIKENSIMSFDSAAKFPIDFIEFDVQGVIFEKRVTELCLSEFLCYGPQNEAGKIGKSLLRKTKDGKIVNWNVEKDDSLCTLKDAFQQVDPSVGFNIELKIDDHIVYQEDHLVHVLQAILKVVFENVHDRPIIFSSFHPDAILLVRKMQSTYPVFFLTEGGTEIFYDVRRNSLEEAMKVCIEGDLDGVVSEVKGIFRNPGAVSKIKDANLSLLTYGNLNNVPEAVYMQHLMGINGVIVDQVKEITEAVSDMIKPSKVDEEEEEEVKSNPQFSQKELTFLLKLIPQLIQE >Manes.11G080100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12234939:12244142:-1 gene:Manes.11G080100.v8.1 transcript:Manes.11G080100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHLLQTVYYNNKSIKSLLYPLLLHHSAIHKIMALKAVHVSDVPNLDQVPESASLALCTASFSKGVELNRVPYKIPKFLVVGHRGNGMNVLTSMDQRMKAIKENSIMSFDSAAKFPIDFIEFDVQVTNDDCPVIFHDDFIISEENGVIFEKRVTELCLSEFLCYGPQNEAGKIGKSLLRKTKDGKIVNWNVEKDDSLCTLKDAFQQVDPSVGFNIELKIDDHIVYQEDHLVHVLQAILKVVFENVHDRPIIFSSFHPDAILLVRKMQSTYPVFFLTEGGTEIFYDVRRNSLEEAMKVCIEGDLDGVVSEVKGIFRNPGAVSKIKDANLSLLTYGNLNNVPEAVYMQHLMGINGVIVDQVKEITEAVSDMIKPSKVDEEEEEEVKSNPQFSQKELTFLLKLIPQLIQE >Manes.11G080100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12235065:12243939:-1 gene:Manes.11G080100.v8.1 transcript:Manes.11G080100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHLLQTVYYNNKSIKSLLYPLLLHHSAIHKIMALKAVHVSDVPNLDQVPESASLALCTASFSKGVELNRVPYKIPKFLVVGHRGNGMNVLTSMDQRMKAIKENSIMSFDSAAKFPIDFIEFDVQVTNDDCPVIFHDDFIISEENGVIFEKRVTELCLSEFLCYGPQNEAGKIGKSLLRKTKDGKIVNWNVEKDDSLCTLKDAFQQVDPSVGFNIELKIDDHIVYQEDHLVHVLQAILKVVFENVHDRPIIFSSFHPDAILLVRKMQSTYPVFFLTEGGTEIFYDVRRNSLEEAMKVCIEGDLDGVVSEVKGIFRNPGAVSKIKDANLSLLTYGNLNRSPSSLFNISTIIISNVPEAVYMQHLMGINGVIVDQVKEITEAVSDMIKPSKVDEEEEEEVKSNPQFSQKELTFLLKLIPQLIQE >Manes.05G141152.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13787813:13788696:-1 gene:Manes.05G141152.v8.1 transcript:Manes.05G141152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMFEMSDLGLLSYYLGIEVKQTPDCISLCQAGYASKILEKTGMLNCNSNGEPFVDATEYRRIIGSLRYLVNTRPDLAYSVGVVSRYMDTPTVTHMNAVKQILRYVRGTIGMGIVYKKNQEKEELVGFSDSDLAGDTDDRKSTSGIIFFIGESPITWVSHKQRIVVLSSCEAEYIAATGGACQGLWLKKIIAELRGDDKVKPVLKVDNKSAISLANNPVFHERSKHIDTRIHFIRDCVQCGDIQLEYVKTEEQVADLLTKPLARQRFNELRDRIGVKQV >Manes.18G063100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5573166:5576629:1 gene:Manes.18G063100.v8.1 transcript:Manes.18G063100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSEIDNYIKETIDDSLGLPVSTHTLQLKLRASEDTHRRLHDQYLLLLEKLRQKDQLIDRTKAEASMNAMALKKFVEENQRLAAECASLVNQCNKWERECSLYDHDREALMEFGNEADERAREAEVRVRELEEVLGKLSEELQFYKNECEMHRVPSSAKSTDVEQNLLESILATLVSKDEVELGHAFLEANSGHESCQKLLKVRNSLRPSTQRVLSLAAKVKKLQKDKEHLRINLTRAEEEVHLLSEENNILNEENKKLLKQRRREYNLDGSGGKHTSSASAKRSKRKSSPKMTSPVDMKIDSKDIDSLRQPLSPLRQNSPECRMHKK >Manes.18G063100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5573411:5576629:1 gene:Manes.18G063100.v8.1 transcript:Manes.18G063100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSEIDNYIKETIDDSLGLPVSTHTLQLKLRASEDTHRRLHDQYLLLLEKLRQKDQLIDRTKAEASMNAMALKKFVEENQRLAAECASLVNQCNKWERECSLYDHDREALMEFGNEADERAREAEVRVRELEEVLGKLSEELQFYKNECEMHRVPSSAKSTDVEQNLLESILATLVSKDEVELGHAFLEANSGHESCQKLLKVRNSLRPSTQRVLSLAAKVKKLQKDKEHLRINLTRAEEEVHLLSEENNILNEENKKLLKQRRREYNLDGSGGKHTSSASAKRSKRKSSPKMTSPVDMKIDSKDIDSLRQPLSPLRQNSPECRMHKK >Manes.S055916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1963065:1964321:-1 gene:Manes.S055916.v8.1 transcript:Manes.S055916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGEGRPPHRHASPTLEAAGGRSPPRPRMFVNTFAGRAAEQVSTMILPQVHLRKPCYDFSFL >Manes.05G145300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24717621:24720025:-1 gene:Manes.05G145300.v8.1 transcript:Manes.05G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIFAQSSAADHQPISSSYKQFRNQPKKNPRGLVPRSTENLAPTSNIIHGGLLFAPPHSVSSSYPPPSFSVLFPQSQSQPPLLPLPVSRPHNSFSSSRTPSTRKANRTRDQSLTPKKSKQPIRKEEPRQDLKPADAAPVSAKSFIVASTVPLGPDPNDLPKDVSKVLSTSPSSSLRAGNMNCIGVSVKDLEIFSGSPFTLSPHPSSLPLPKFPIRPKLSCTAEAAGIDAGATDNLRRLLRLR >Manes.01G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30946591:30948998:-1 gene:Manes.01G110600.v8.1 transcript:Manes.01G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNIQMLKQLPELNPNTLENFSVSNFSVDSFLAHHQHPPEFPATYCLNNLSSTLHHSDILSSAPIANITSSSSQNGFPADNCKKRKAEPQSTSSSKNISPTASTSNTRKKNKLSRGKKGKNKEKEVDEAEEVIHVRAKRGQATDSHSIAERVRREKINNKLRCLQDLVPGCHKSMGMAVMLEEIINYVHSLQNQVEFLSMELAAASCSYDLNLKIESSKKAQGTNSPEAQERQKWCREGYGDQLGCFQAAWSI >Manes.02G002600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:297486:300030:1 gene:Manes.02G002600.v8.1 transcript:Manes.02G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHLDSFWIFALLFKCNSLPSHHNTVSLFLFLFLFLCMTWLALALCFWAYPGGPAWGNYWLKKGCKSKLVPGPRGFPVLGSMNLMANLAHHKLATAANLFNAKRLMAFSMGETRFLITCNPVVAKEILNSSVFADRPVKESAYGLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQISSTEAQRKDIGSQMVSLIACHRGDIRVRDILKKASLNNMMCSVFGRRYELGSSNTETERLRELVEEGYELLGKLNWSDHLPWLASLDLQKIRFRCSQMVPKVNRFVNQIIQEHKARSNGQRRQDFVDVLLSLQGPEKLSDQDMVAVLWEMIFRGTDTVSVLIEWILARMVLHSDIQAKVHHELDHIVGRSRPLMESDIQSMVYLQAVVKEVLRLHPPGPLLSWARLAITDTTVDGYEVPEGTVAMVNMWAITRDPEVWMDPLKFWPERFVCKDGGGVDMEFSVLGSDLRLAPFGSGRRTCPGKALGLATVSFWVGSLLHEFEWVKSSNRAVDLSEVLRLSCEMANPLSVKVRPRRGC >Manes.04G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21670615:21681212:1 gene:Manes.04G062300.v8.1 transcript:Manes.04G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQDNGDPEKILPPIEQDLSNEIDAKLRKYLRGEGANLEVLKDKKLKGQLSVREELYGISAKAAAKAEEWLMPSEGGYLEVEGIEKPWRINQLSITSEINLSSLKNQHDIIIPDLGPYTLDFNSSGRYMAVAGRRGHLAVVDMKNMSLIKEIQVRETVHDIVFLHNELFFAAAQKKYPYIYNRDGIELHCLKEHGSVLRLQFLKNHFLLASINKFGQLHYQDVTMGEMIGNIRTGLGRTDVMQVNPFNGVVGLGHSGGTVSMWKPTSAVPLVKMLCHPGPVSAMAFHPNGHIMATSGKERKIKIWDLRKFEVLQTIPGHAKALDFSQKGLLAAGNGSFVQIFGDLSESQNYSRYMGHSMVKGYQIGKVLFRPYEDVLALGHSMGWSSILIPGSGEPNFDTWVANPYETSKQRREKEVRSLLDKLPPETIMLNPSKIGTMRPVKKKEKQSKQEIEAEMEAAVEAVKDIAFKNKTKGRNKASKKAKKKKEVIERVKRPFLDKEMKDDEKLAKKQKISEENALPMALQRFASKKPTA >Manes.09G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31285287:31286764:1 gene:Manes.09G110400.v8.1 transcript:Manes.09G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLKEAMEDGVTETVSFLHPEQEEEDHHHQDTAPGLLNHIFSNLVSKGEASEEKQEEEEEGKGGGILNNLISHLVTPSIDPKAGETSQGDEVDDKKGKEEDELVVKIDEDSGGGVIDKIVSHFPEDVVPTDDEASILIHSIVHD >Manes.07G024400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2673916:2678343:1 gene:Manes.07G024400.v8.1 transcript:Manes.07G024400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGFEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGQESHTAITEYDRTAKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRRSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.07G024400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2673848:2678343:1 gene:Manes.07G024400.v8.1 transcript:Manes.07G024400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGFEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGQESHTAITEYDRTAKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRRSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.07G024400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2673903:2678343:1 gene:Manes.07G024400.v8.1 transcript:Manes.07G024400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGFEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGQESHTAITEYDRTAKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRRSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.07G024400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2673904:2678343:1 gene:Manes.07G024400.v8.1 transcript:Manes.07G024400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGFEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGQESHTAITEYDRTAKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRRSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.07G024400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2674914:2678343:1 gene:Manes.07G024400.v8.1 transcript:Manes.07G024400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGFEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGQESHTAITEYDRTAKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRRSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.14G101201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8377150:8378607:1 gene:Manes.14G101201.v8.1 transcript:Manes.14G101201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLIPLPTLQTFDAIDDVTNYGVLNPKPSPFTDTGFSWRIQTYALVILSPSIIRSIRLRLSVPFCSQYIYRDDNSYGASGLVAVAWGLGRDKVVRSLSLLIMKQ >Manes.03G056801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5562111:5567941:-1 gene:Manes.03G056801.v8.1 transcript:Manes.03G056801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKGSQTNNIKLNIPNKATALNPNAAEFVPFFLRSSSSPSGSMLNTIANTAIFPASGTMGKAVLDRSESSISNASDDETHQLWHHQLPDDITPDFNIMGKDDPQAPGGISLAGLSLHDTSEETKFPVSLGSGYALPEQQEQSPRHINGSFSEKMRFDASSHGAHPTATSYFNLPSKPWDKQIIKSDQLLVLGDGREVHPYNGISRPRFMNDVLGERQLAIVDDTDMNPVEFLASQFPGFAAESLAEAYFANGCDLNLTTEMLTQLELQVDGGFNQNMKSKTFSASNPSALDFPAPPIQNGPSKYAGDGLQQSGNPYGSSDKDNIPLFKSSSSITSGGGAVDFASAVRKLASQDFGIWKYDRNDSADSAVGPSRTSHVLARSYSSGNGRGIYTDRVRNHGSSNAVPAWLETGAAVANMYSELREEARDHAQLRNACLEQARHAYLIGNKALAKELSVKGQLHNIHMKAAHGKAQETVYRLRNPVGPETQTEGWGHERIIDLHGLHDSEAIYVLKHEVSVLRSTARAAEQPLQVYIHVGTGHHTRGSRTSARLPITVQQYLLEEEGLDYTEPQPGLLRVVIY >Manes.03G056801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5562111:5567941:-1 gene:Manes.03G056801.v8.1 transcript:Manes.03G056801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKGSQTNNIKLNIPNKATALNPNAAEFVPFFLRSSSSPSGSMLNTIANTAIFPASGTMGKAVLDRSESSISNASDDETHQLWHHQLPDDITPDFNIMGKDDPQAPGGISLAGLSLHDTSEETKFPVSLGSGYALPEQQEQSPRHINGSFSEKMRFDASSHGAHPTATSYFNLPSKPWDKQIIKSDQLLVLGDGREVHPYNGISRPRFMNDVLGERQLAIVDDTDMNPVEFLASQFPGFAAESLAEAYFANGCDLNLTTEMLTQLELQVDGGFNQNMKSKTFSASNPSALDFPAPPIQNGPSKYAGDGLQQSGNPYGSSDKDNIPLFKSSSSITSGGGAVDFASAVRKLASQDFGIWKYDRNDSADSAVGPSRTSHVLARSYSSGNGRGIYTDRVRNHGSSNAVPAWLETGAAVGNVPHSTKLLAFMHLLFVGQMPSAYIFALILLDGSKYVF >Manes.11G057800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6978700:6984997:-1 gene:Manes.11G057800.v8.1 transcript:Manes.11G057800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAIPRRAHKERSQPHSRKKYGLLEKHKDYVVRAKAFHKKEDTLRRLKEKAAFRNPDEFYFKMIKTRTVHGVHRPESEANKYTHEELMLMKTQDIGYVFQKLQSEKKKIEKLTATLHSLDSQPSNRHIYYAEDREEAKELKSQSSENKIVTSSEAVPENIKRKIASSYRELEARKNRVNQLEKLHADMALQKELQKKGRKRKLREDEIVCPTSKSVYKWRSERKR >Manes.11G057800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6978700:6984997:-1 gene:Manes.11G057800.v8.1 transcript:Manes.11G057800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAIPRRAHKERSQPHSRKKYGLLEKHKDYVVRAKAFHKKEDTLRRLKEKAAFRNPDEFYFKMIKTRTVHGVHRPESEANKYTHEELMLMKTQDIGYVFQKLQSEKKKIEKLTATLHSLDSQPSNRHIYYAEDREEAKELKSQSSENKIVTSSEAVPENIKRKIASSYRELEARKNRVNQLEKLHADMALQKELQKKGRKRKLREDEIVCPTSKSVYKWRSERKR >Manes.01G175300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35645036:35649785:-1 gene:Manes.01G175300.v8.1 transcript:Manes.01G175300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHCWKLLVETIIGWVTPDMSVDMDSSDLWVLGLRPRAGISEFQPMPPPSPPPPFGVLPRQTELSSGHRDFLDQHLGNHEDLHAKAPLLLTDLTTNLADLEASFLNLQRNLTKRTVSWISRSFGAKSSVSNLNIMLENLSLHTSKYEVCSRKIQTILGEELPQLAKCLKRIENIRRYVDTALQLEALVGDLEDAVYCIGDSHARNMFSAKFMTSLISTDFGPKQERLHRAIKLMNNIEDLLVDVVIFHPRWSQLLESVDIRVEKILAVVRPQVLADHRALLASLGWPPKLLKSTVDSGGIACLPNPLVLMEGDKRKYYSLSFIGLCALQHLQMRREDRQHNIFGRKECSMRLWAIDELVSPIASQVEYHFRKWAEQPEFMFALVYKITRDFIVGIDDVLQPLIDRARLVSYSAREAWVSAMIQMLSGFLAKVVSDLAEKYKEKHMKLEVISSWLHLIDHAVTFDKWMQSLVNSEIHFFLESERQDSRGLSVLMIFCDRPDWLKIWAKIELKNAWKKIKLELKDEKAWSTGKESGVDFQNSEESEQFLLITREDYKAPLVADSVLKITWEMIERCRTLPSIFSHIQFIKSTAGRFLWHFLNVLVLRCKNTEFLLDCPNDALIKVCGSINAARYVESKLQEWSDDVNFLEMRIAERDVIDGNGDLADNSSFFGEEIKSLLELETNWLLEIICFLLRHFEAHSCEYLQNKRYFEEEQENFTTNRITAAVDLAVSVDIIQALDAIKVQLNVLKSNLNPKDFLDLWRSVTDGLDQFISSSVFSSDIQFSGGGIDQFEADMQALFLVFQPFCVRPDVFFPCIRETIKLLKVCREQANHLQVVSSSSENGTKYLHSHGILHLSFEQVDKVLKKRKFGT >Manes.01G175300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35645008:35649047:-1 gene:Manes.01G175300.v8.1 transcript:Manes.01G175300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPPPFGVLPRQTELSSGHRDFLDQHLGNHEDLHAKAPLLLTDLTTNLADLEASFLNLQRNLTKRTVSWISRSFGAKSSVSNLNIMLENLSLHTSKYEVCSRKIQTILGEELPQLAKCLKRIENIRRYVDTALQLEALVGDLEDAVYCIGDSHARNMFSAKFMTSLISTDFGPKQERLHRAIKLMNNIEDLLVDVVIFHPRWSQLLESVDIRVEKILAVVRPQVLADHRALLASLGWPPKLLKSTVDSGGIACLPNPLVLMEGDKRKYYSLSFIGLCALQHLQMRREDRQHNIFGRKECSMRLWAIDELVSPIASQVEYHFRKWAEQPEFMFALVYKITRDFIVGIDDVLQPLIDRARLVSYSAREAWVSAMIQMLSGFLAKVVSDLAEKYKEKHMKLEVISSWLHLIDHAVTFDKWMQSLVNSEIHFFLESERQDSRGLSVLMIFCDRPDWLKIWAKIELKNAWKKIKLELKDEKAWSTGKESGVDFQNSEESEQFLLITREDYKAPLVADSVLKITWEMIERCRTLPSIFSHIQFIKSTAGRFLWHFLNVLVLRCKNTEFLLDCPNDALIKVCGSINAARYVESKLQEWSDDVNFLEMRIAERDVIDGNGDLADNSSFFGEEIKSLLELETNWLLEIICFLLRHFEAHSCEYLQNKRYFEEEQENFTTNRITAAVDLAVSVDIIQALDAIKVQLNVLKSNLNPKDFLDLWRSVTDGLDQFISSSVFSSDIQFSGGGIDQFEADMQALFLVFQPFCVRPDVFFPCIRETIKLLKVCREQANHLQVVSSSSENGTKYLHSHGILHLSFEQVDKVLKKRKFGT >Manes.01G175300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35645036:35649785:-1 gene:Manes.01G175300.v8.1 transcript:Manes.01G175300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPPPFGVLPRQTELSSGHRDFLDQHLGNHEDLHAKAPLLLTDLTTNLADLEASFLNLQRNLTKRTVSWISRSFGAKSSVSNLNIMLENLSLHTSKYEVCSRKIQTILGEELPQLAKCLKRIENIRRYVDTALQLEALVGDLEDAVYCIGDSHARNMFSAKFMTSLISTDFGPKQERLHRAIKLMNNIEDLLVDVVIFHPRWSQLLESVDIRVEKILAVVRPQVLADHRALLASLGWPPKLLKSTVDSGGIACLPNPLVLMEGDKRKYYSLSFIGLCALQHLQMRREDRQHNIFGRKECSMRLWAIDELVSPIASQVEYHFRKWAEQPEFMFALVYKITRDFIVGIDDVLQPLIDRARLVSYSAREAWVSAMIQMLSGFLAKVVSDLAEKYKEKHMKLEVISSWLHLIDHAVTFDKWMQSLVNSEIHFFLESERQDSRGLSVLMIFCDRPDWLKIWAKIELKNAWKKIKLELKDEKAWSTGKESGVDFQNSEESEQFLLITREDYKAPLVADSVLKITWEMIERCRTLPSIFSHIQFIKSTAGRFLWHFLNVLVLRCKNTEFLLDCPNDALIKVCGSINAARYVESKLQEWSDDVNFLEMRIAERDVIDGNGDLADNSSFFGEEIKSLLELETNWLLEIICFLLRHFEAHSCEYLQNKRYFEEEQENFTTNRITAAVDLAVSVDIIQALDAIKVQLNVLKSNLNPKDFLDLWRSVTDGLDQFISSSVFSSDIQFSGGGIDQFEADMQALFLVFQPFCVRPDVFFPCIRETIKLLKVCREQANHLQVVSSSSENGTKYLHSHGILHLSFEQVDKVLKKRKFGT >Manes.01G175300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35645007:35649807:-1 gene:Manes.01G175300.v8.1 transcript:Manes.01G175300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPPPFGVLPRQTELSSGHRDFLDQHLGNHEDLHAKAPLLLTDLTTNLADLEASFLNLQRNLTKRTVSWISRSFGAKSSVSNLNIMLENLSLHTSKYEVCSRKIQTILGEELPQLAKCLKRIENIRRYVDTALQLEALVGDLEDAVYCIGDSHARNMFSAKFMTSLISTDFGPKQERLHRAIKLMNNIEDLLVDVVIFHPRWSQLLESVDIRVEKILAVVRPQVLADHRALLASLGWPPKLLKSTVDSGGIACLPNPLVLMEGDKRKYYSLSFIGLCALQHLQMRREDRQHNIFGRKECSMRLWAIDELVSPIASQVEYHFRKWAEQPEFMFALVYKITRDFIVGIDDVLQPLIDRARLVSYSAREAWVSAMIQMLSGFLAKVVSDLAEKYKEKHMKLEVISSWLHLIDHAVTFDKWMQSLVNSEIHFFLESERQDSRGLSVLMIFCDRPDWLKIWAKIELKNAWKKIKLELKDEKAWSTGKESGVDFQNSEESEQFLLITREDYKAPLVADSVLKITWEMIERCRTLPSIFSHIQFIKSTAGRFLWHFLNVLVLRCKNTEFLLDCPNDALIKVCGSINAARYVESKLQEWSDDVNFLEMRIAERDVIDGNGDLADNSSFFGEEIKSLLELETNWLLEIICFLLRHFEAHSCEYLQNKRYFEEEQENFTTNRITAAVDLAVSVDIIQALDAIKVQLNVLKSNLNPKDFLDLWRSVTDGLDQFISSSVFSSDIQFSGGGIDQFEADMQALFLVFQPFCVRPDVFFPCIRETIKLLKVCREQANHLQVVSSSSENGTKYLHSHGILHLSFEQVDKVLKKRKFGT >Manes.01G175300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35645028:35649821:-1 gene:Manes.01G175300.v8.1 transcript:Manes.01G175300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPPPPFGVLPRQTELSSGHRDFLDQHLGNHEDLHAKAPLLLTDLTTNLADLEASFLNLQRNLTKRTVSWISRSFGAKSSVSNLNIMLENLSLHTSKYEVCSRKIQTILGEELPQLAKCLKRIENIRRYVDTALQLEALVGDLEDAVYCIGDSHARNMFSAKFMTSLISTDFGPKQERLHRAIKLMNNIEDLLVDVVIFHPRWSQLLESVDIRVEKILAVVRPQVLADHRALLASLGWPPKLLKSTVDSGGIACLPNPLVLMEGDKRKYYSLSFIGLCALQHLQMRREDRQHNIFGRKECSMRLWAIDELVSPIASQVEYHFRKWAEQPEFMFALVYKITRDFIVGIDDVLQPLIDRARLVSYSAREAWVSAMIQMLSGFLAKVVSDLAEKYKEKHMKLEVISSWLHLIDHAVTFDKWMQSLVNSEIHFFLESERQDSRGLSVLMIFCDRPDWLKIWAKIELKNAWKKIKLELKDEKAWSTGKESGVDFQNSEESEQFLLITREDYKAPLVADSVLKITWEMIERCRTLPSIFSHIQFIKSTAGRFLWHFLNVLVLRCKNTEFLLDCPNDALIKVCGSINAARYVESKLQEWSDDVNFLEMRIAERDVIDGNGDLADNSSFFGEEIKSLLELETNWLLEIICFLLRHFEAHSCEYLQNKRYFEEEQENFTTNRITAAVDLAVSVDIIQALDAIKVQLNVLKSNLNPKDFLDLWRSVTDGLDQFISSSVFSSDIQFSGGGIDQFEADMQALFLVFQPFCVRPDVFFPCIRETIKLLKVCREQANHLQVVSSSSENGTKYLHSHGILHLSFEQVDKVLKKRKFGT >Manes.04G083200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28449647:28449907:1 gene:Manes.04G083200.v8.1 transcript:Manes.04G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMMQWCGAVARRMMAAQPEPTASRVGVGATPILCGRGDKRTKRGKRFKGSYGNSRPKKDKKIERTQDKVEVPRSTPWPLPFKLI >Manes.06G043400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13271458:13272966:-1 gene:Manes.06G043400.v8.1 transcript:Manes.06G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLVSLLLLSFLLHEAKGIRLEKGFMQVGDQKIHEDKSPLVERNNGAFGDVIFCKEGHCTGMNRKLTTGTISATTPTTTSKNEENGGENKANSVSSKDRSSNGSEIGGEQEKFTINSSPTSEHQGVAHYVDITDYSPARRKPPIHN >Manes.08G038900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3829251:3832235:-1 gene:Manes.08G038900.v8.1 transcript:Manes.08G038900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELDKSRSGSVLDRDDDDDDDDLPMLSSHALAALKEFIEEQNQLNVEKHSEETGHEESGEKVTLVAEDWRLSQFWYDPLTAETVAKEVIALLGNNSNPSSRVACIACPTLYAYIKKIKPNVFVQLLEYDKRFEQYGSDFTFYDYNQPEELPSELKQAFQVVVADPPYLGRCRETGLLSS >Manes.08G038900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3829251:3832235:-1 gene:Manes.08G038900.v8.1 transcript:Manes.08G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELDKSRSGSVLDRDDDDDDDDLPMLSSHALAALKEFIEEQNQLNVEKHSEETGHEESGEKVTLVAEDWRLSQFWYDPLTAETVAKEVIALLGNNSNPSSRVACIACPTLYAYIKKIKPNVFVQLLEYDKRFEQYGSDFTFYDYNQPEELPSELKQAFQVVVADPPYLSKECLEKVTETISFLATPEKSHLLLLTGEVQRDRVAELLGLHPCGFRPRHSSKLGNEFRLFTSYDPGMRLGGWELE >Manes.08G038900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3829251:3832235:-1 gene:Manes.08G038900.v8.1 transcript:Manes.08G038900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELDKSRSGSVLDRDDDDDDDDLPMLSSHALAALKEFIEEQNQLNVEKHSEETGHEESGEKVTLVAEDWRLSQFWYDPLTAETVAKEVIALLGNNSNPSSRVACIACPTLYAYIKKIKPNVFVQLLEYDKRFEQYGSDFTFYDYNQPEELPSELKQAFQVVVADPPYLSKECLEKVTETISFLATPEKSHLLLLTGEVQRDRVAELLGLHPCGFRPRHSSKLGNEFRLFTSYDPGMRLGGWELE >Manes.08G038900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3829251:3832235:-1 gene:Manes.08G038900.v8.1 transcript:Manes.08G038900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELDKSRSGSVLDRDDDDDDDDLPMLSSHALAALKEFIEEQNQLNVEKHSEETGHEESGEKVTLVAEDWRLSQFWYDPLTAETVAKEVIALLGNNSNPSSRVACIACPTLYAYIKKIKPNVFVQLLEYDKRFEQYGSDFTFYDYNQPEELPSELKQAFQVVVADPPYLGRCRETGLLSS >Manes.13G017900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1708531:1708845:1 gene:Manes.13G017900.v8.1 transcript:Manes.13G017900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKYRKANGMKTRSFRYEDYNNRRVFLRSYPLQWEEDDRANESTRRVAKEKSKEKPIKKMILSVVQWGEGRVVIIRKFKDKLIVYIIACVPTAFKPQKALISA >Manes.02G119000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9108316:9112346:-1 gene:Manes.02G119000.v8.1 transcript:Manes.02G119000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCFSGKFRITETEPPSDVKEAFVKYTEGKNYMTAEQLQRFMVEVQSEGGASVSDAEMIVDQILQKRHHITKCVRSCLTLDDFHHFLFSTDLNPPISNQVEQDMTAPLSNYFIYTGHNSYLTGNQISSDCSDVPIEKALKRGVRAVELDVWPNSAKDDVLVLHGWTLTTPVKLITCLKSIKEHAFSSSPYPVIITLEDHLTENLQAKVAQMIAETFGDMLFYPETECLKEFPSPEELKYKIIISAKPPKVKGDLEAKCMKGNGVDLLKERDSSEDIWGKDLSPISSHQEEDGKSDGDTSEQNQGDEYKNVCNHELRPREAPAYKHLISINAGKPKGGLKAALKLEFDNVIRISLSEQKFEKVVATHGTDVVRFTQKNILRIYPKGIRIDSSNYKPMNGWLHGAQMVALNMQGYGKSLWLMHGMFRSNGGCGYVKKPDFFMNTGPENQTFDPRAKLPVKKTLKVKVYMGDGWHLDFKRAQFTLFSSPDFYIRVGIAGAQADKTMKKTKKRENNWTPIWDEEFTFPLTVPELALLRVEVHDRIMPEKDDFAGQTCLPVSQLRPGIRAVPLFNRKGNKFSSMRLLMGFEFPGSAKF >Manes.12G012800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1283315:1283593:1 gene:Manes.12G012800.v8.1 transcript:Manes.12G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEQLMQILLLVFAVVAFTAGYLMGSFQTMIQIYAGGVVLTTLITVPNWPWFNRHPLQWLDPSEAEKHPKPQVQPVSSKKKSAKK >Manes.08G151800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39079420:39081932:-1 gene:Manes.08G151800.v8.1 transcript:Manes.08G151800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQHLKSGYQDLNFLPPPAASQLSLVNTFGVIGKPIETETINTHVGFQILRHSMDLNGNPWSIHQVENRGTKRPNDGSDGLFGVQKKALSLNLDEEEEPNGSTPGKNGYTKLCARGHWRPAEDAKLKELVAQYGPQNWNLIAENLQGRSGKSCRLRWFNQLDPRINKRAFTEEEEDRLLAAHRLYGNKWAIIARLFPGRTDNAVKNHWHVIMARKHREQSSIYRRRKPSSSSKVVPPIKELDVNLQTNACSESTTISSTIDESASTCTDLSLSPSSTKPHPKLFTRFSLQGAPMDSSAEKEVMMRNIAFDKFYSCSGGKELYQTGSMGVVRVMDQCGQSDSNSEVSGTESVGTNRISPSLFGDNEDGNQKINSAFIDFLGVGAS >Manes.06G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25744811:25749404:1 gene:Manes.06G127800.v8.1 transcript:Manes.06G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGHAVEHRVGLPEMETNPNRSGCCNPVKKSGPVTMDHVLLALRETKEERDVRLRSLFNFFDATNTGYLDYAQIEAGLSALQIPAEYKYAKDLLKVCDANRDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGTITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVNRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTNARLVPAIKKIWKQDGFLGFFRGNGLNVVKVAPESAIKFYSYELLKNVIGDFKGGDKGDIGPAERLLAGGIAGAVAQTAIYPLDLVKTRLQICEGGNAPKLGVLTKNIWVQEGPRAFYKGIVPSLLGIIPYAGIDLAAYETLKDMSKTYILHDSEPGPLVQLGCGTISAAFGASCVYPLQVIRTRMQAQHSNSAAAYKGMSDVFWRTFQNEGFRGFYKGLFPNLLKVVPSASITYLVYEAMKKRLDL >Manes.06G127800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25744811:25749404:1 gene:Manes.06G127800.v8.1 transcript:Manes.06G127800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGHAVEHRVGLPEMETNPNRSGCCNPVKKSGPVTMDHVLLALRETKEERDVRLRSLFNFFDATNTGYLDYAQIEAGLSALQIPAEYKYAKDLLKVCDANRDGRVDYQEFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGTITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGISKHVNRSKYFIAGGIAGAASRTATAPLDRLKVVLQVQTTNARLVPAIKKIWKQDGFLGFFRGNGLNVVKVAPESAIKFYSYELLKNVIGDFKGGDKGDIGPAERLLAGGIAGAVAQTAIYPLDLVKTRLQICEGGNAPKLGVLTKNIWVQEGPRAFYKGIVPSLLGIIPYAGIDLAAYETLKDMSKTYILHDSGTFFSFLLFIISLGFMI >Manes.14G055266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4730763:4734106:1 gene:Manes.14G055266.v8.1 transcript:Manes.14G055266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGECNGGTLQHVIESSLSETCARLSKHRSCWKHMVASLYSNGKKINKQEAEQIRRYKLTPLCFLGTFPSRKRSKRRKPKYKIARVIKEKKKLDSGEFDCYFQNLWRSFSEDKRTCFTYLDSLWFYWYMKASSKGKVLTWIKEKQIFLKKYVLVPIVCWGHWSLLIFCHLGESTMSKARTPCMLLLDSLEMANPRRLEPDIRKFVLDIYRSEGRDENEKLIYKIPLLVPKVPQQRNGEECGKYVLYFINLFVKDAPDDFSIKDYPYFMNKHWFSLQCLDNFFEELDSYGDAEAADQKGPKPFRVYSRRLKKNNRMADSTEGMKRGGTS >Manes.09G168500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36092982:36095529:-1 gene:Manes.09G168500.v8.1 transcript:Manes.09G168500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEMDTHTPLTLEERLKKIENAIARKKLLADGQCVPSFTTAENMEIYDCVFKLCNRKRTHYSEQIYEKYLNYLEERIMEKVIPRLLGKHGTALLKEVAHSWSEFKAFADSIYKFFEYLDRFYVPRRGLLLLADAPKHYYGRQVCESLYGKCQEAIINLIAEDREGKNIDRNLLNTVLGLFIALGGNGTTNYYEKFEQIMLAETAAYYCELSMQWWFWHDSLSSYLRKVDWCLVQEEARAEAYPCETTKAKVLEVMKYILLERNAKRWAGRQKANGVAAEDQELLSKYACLSLDMDSSASVSRATDL >Manes.12G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28638834:28639764:1 gene:Manes.12G105200.v8.1 transcript:Manes.12G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHIRSISLPSRSHPLIVNIEEQLYKLKASKSSSMSCKLNGLKNLFECIDDLLQMPMAQQSLTRERQNLCVESTLNGSMELLDLCDSTRDLFSQMKECVQELELSLRRRKGKDSGIALNKVITKYLRTLKRKERNSTTEAMENNAKLTNMISILTRAQEISLGEFKSILSFISQPKEKSKPSGWSIISKALLSRRVSYEVETEVNDVEKLDAELLILKSSKDISISQLQSLLKGLEALGSSIQESEEELECIYRQLVKTRVSLLNILNH >Manes.03G004300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:401639:402633:-1 gene:Manes.03G004300.v8.1 transcript:Manes.03G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANYYITPITAILSPGRCIFCLPHFTVWQNKIRVGSSLTSLPLRFPTRSLTRLCSNSMNHITCDAADEAANSQKDAGKGEKPEDVVVQYVVLRRDLIETWPLGSVVTQGCHASVSAIWSNKEDPHTLQYCSPENIDSMHKVTLEVKGEAQILNLSKKLTAGGIAHKLWIEQPENIPTCLATKPYPKSVVSLFFKKLKLCK >Manes.09G041400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7505961:7528425:1 gene:Manes.09G041400.v8.1 transcript:Manes.09G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFALWLSRLRSSSKTACTSFRNSFLHNIRSETTLTTANLIGSGTAKRPFLLWSSSLLPLTLALSAGSLAFHFQPQPSLCDSSNLDSRIGGKGSTEFVVEGSHRKVPQELIDELRAICQDNMTMDYEERYFHGKPQNSFHSAVHIPDVVVFPRSEEEVSNIVKSCDKHKVPIVPYGGATSIEGHTLSPHGGVCIDMSLMKSVKALHIEDMDVVVEPGIGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVINLKVVLANGDVVKTASRARKSAAGYDLTRLVIGSEGTLGIITEVTLRLQKIPQHSVVAMCNFPTIKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKNLPEVPTLMFEFIGTEAYAREQTLIVQEIVSKHNGSDFVFAEQPEAKKELWKIRKEALWACFAMEPKYEALISDVCVPLSRLAELISRSKQELDASRLVCTVIAHAGDGNFHTVILFDPSQEDDRQEAERLNKFMVNAALSMEGTCTGEHGVGTGKMKYLEKELGVEALKTMKRIKTALDPNNIMNPGKLIPPHVCF >Manes.02G058400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555549:4558637:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNNGVRALMLDTYDFRGDVWLCHSFNGHCYDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVIVFAAWVKFISSLLRPR >Manes.02G058400.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4562496:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVPGSSLSAACSAQDKL >Manes.02G058400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4559245:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVIVFAAWVKFISSLLRPR >Manes.02G058400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4563593:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNNGVRALMLDTYDFRGDVWLCHSFNGHCYDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVPGSSLSAACSAQDKL >Manes.02G058400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4559245:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNNGVRALMLDTYDFRGDVWLCHSFNGHCYDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVIVFAAWVKFISSLLRPR >Manes.02G058400.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4558637:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVIVFAAWVKFISSLLRPR >Manes.02G058400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555639:4557967:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNNGVRALMLDTYDFRGDVWLCHSFNGHCYDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVPGSSLSAACSAQDKL >Manes.02G058400.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555639:4557967:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVPGSSLSAACSAQDKL >Manes.02G058400.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4559245:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVPGSSLSAACSAQDKL >Manes.02G058400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4558638:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNNGVRALMLDTYDFRGDVWLCHSFNGHCYDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVIVFAAWVKFISSLLRPR >Manes.02G058400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4558638:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVIVFAAWVKFISSLLRPR >Manes.02G058400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555549:4562923:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNNGVRALMLDTYDFRGDVWLCHSFNGHCYDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVIVFAAWVKFISSLLRPR >Manes.02G058400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4559245:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNNGVRALMLDTYDFRGDVWLCHSFNGHCYDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVPGSSLSAACSAQDKL >Manes.02G058400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4562923:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVIVFAAWVKFISSLLRPR >Manes.02G058400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4555567:4562635:1 gene:Manes.02G058400.v8.1 transcript:Manes.02G058400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKYLLFITALVLLSVASACSHGKCGMLEKCSSDQDCDAGLYCFYCVEGFLSYKCVRSSATDQFKLLNDSLPFNKYAFLTTHNAYAIDGYPSHTGVPRFTVTNQEDTVTQQLNNGVRALMLDTYDFRGDVWLCHSFNGHCYDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAFKGLTKVFTNAGLMKYWFPVRNMPKNGQDWPLVKDMVKNNHRLLVFTSIKSKEESEGIAYQWNYMVENQYGDGGMHAGSCPNRAESPSLNDKSKSLGLVNYFRTIPMKELTCIDNSKDLLDMLHTCYGAAGNRWANFVAVNYYKRSQGGGSFQAVDTLNGKLLCGCDDIHACVPGSSLSAACSAQDKL >Manes.16G057725.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21599348:21600338:-1 gene:Manes.16G057725.v8.1 transcript:Manes.16G057725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWEINYNQVKEKERIIFTSQLKQIVFNREIREVSAFWDASVPCFGMHDLDHRTQESKANLVSMLDTLLEIFISLN >Manes.13G088677.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:21530427:21531141:-1 gene:Manes.13G088677.v8.1 transcript:Manes.13G088677.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDNAKLLISHALTNLYKFHKISLLLHTAIHNSIIMSSIGAACAEVYLMRKKQKEKLKKAEEERRRNGGTQEIRKVGGAFSSSGGVWMNKKIYPGNYFPGNTSQGKQAE >Manes.17G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26260151:26262071:1 gene:Manes.17G063300.v8.1 transcript:Manes.17G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTPMKSKPDLSSSLRSNYLKLKYSQALWVSLKSCYYRKLVLRRTMESSPQTVSFGKSIIVPSVQKLAEESLLTIPPRYVRSDQDPPTISDESSLLSSVPVIDLEKLAFGDSMDSELESLHSACREWGFFQVVNHGLSTDFLEEVKLEIESFFGLPYEQKKQLWQKPDNQEGFGQLFVVSEEQKLDWSDMFGITTLPFNLRNNDLFDKLPPKLRGILETYASEMKKLALVILGHMAKALNMKAEEMSELFNDGVQSMRMNYYPPCPEPEKAIGLTPHSDADALTIVFQLNETEGLQIRKEGRWVPVKPLPNAFIVNIGDIMEIVSNGIYRSIEHRATVNSTRKRLSIATFYCSNLGSVLGPAASLTGPQNPAIFKQVPLEKYLKEFFARKVYGKSYLEAMRIEDGENNI >Manes.05G014700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:614777:615943:1 gene:Manes.05G014700.v8.1 transcript:Manes.05G014700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSLSRAHTSPRQPQEPPSPRYFSCSSFKDINAILLEEQHGSKSQPQTPRRPSIFHRASPLHGHHRNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRVPIDERDLSMDAGYLDEIQMITGSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRYVLCAQCNGSHKIYSEKHRFRTCTSCNVNGLSRRNSESDTSMSGLSINGDREVRNGEMACML >Manes.13G070600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQLLSIFCIICVPMEVMGKNGNASASSPRPSVVNVGALFTINSVIGRAAKPALEAAVDDVNSDSSILPGTKLNLITLDTNCSGFIGTMEALQLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTQSDYFQMFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWFSFSTMFFSHRENTASTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSTEPIGVQEGSFAWNYLIDELNIAESRLVKLQNQDEYFSALKRGPKAGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTRTECSMQINEVDDNRLSLSSFWGLFLICGLACFIALTTFFCRVLCQYRKFAMGHGEEGEVGVEEIQPARPRRSLRSASFKDLIGFVDRKETEIKEMLKRKASDSKRQASPSKDGQASSSPA >Manes.13G070600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQLLSIFCIICVPMEVMGKNGNASASSPRPSVVNVGALFTINSVIGRAAKPALEAAVDDVNSDSSILPGTKLNLITLDTNCSGFIGTMEALQLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTQSDYFQMFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWFSFSTMFFSHRENTASTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSTEPIGVQEGSFAWNYLIDELNIAESRLVKLQNQDEYFSALKRGPKAGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGHFRGTPLLQSTCPPPSSNSLKMVIFKKFITNG >Manes.13G070600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILQITGSDHRSFMAIIQLLSIFCIICVPMEVMGKNGNASASSPRPSVVNVGALFTINSVIGRAAKPALEAAVDDVNSDSSILPGTKLNLITLDTNCSGFIGTMEALQLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTQSDYFQMFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWREHCEHLGTYGADHMAICCVDYQFKLYSKFDINPYSSAINIKN >Manes.13G070600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQLLSIFCIICVPMEVMGKNGNASASSPRPSVVNVGALFTINSVIGRAAKPALEAAVDDVNSDSSILPGTKLNLITLDTNCSGFIGTMEALQLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTQSDYFQMFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWFSFSTMFFSHRENTASTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSTEPIGVQEGSFAWNYLIDELNIAESRLVKLQNQDEYFSALKRGPKAGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFVSITSFFLF >Manes.13G070600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILQITGSDHRSFMAIIQLLSIFCIICVPMEVMGKNGNASASSPRPSVVNVGALFTINSVIGRAAKPALEAAVDDVNSDSSILPGTKLNLITLDTNCSGFIGTMEALQLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTQSDYFQMFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWFSFSTMFFSHRENTASTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSTEPIGVQEGSFAWNYLIDELNIAESRLVKLQNQDEYFSALKRGPKAGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTRTECSMQINEVDDNRLSLSSFWGLFLICGLACFIALTTFFCRVLCQYRKFAMGHGEEGEVGVEEIQPARPRRSLRSASFKDLIGFVDRKETEIKEMLKRKASDSKRQASPSKDGQASSSPA >Manes.13G070600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122700:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWFSFSTMFFSHRENTASTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSTEPIGVQEGSFAWNYLIDELNIAESRLVKLQNQDEYFSALKRGPKAGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTRTECSMQINEVDDNRLSLSSFWGLFLICGLACFIALTTFFCRVLCQYRKFAMGHGEEGEVGVEEIQPARPRRSLRSASFKDLIGFVDRKETEIKEMLKRKASDSKRQASPSKDGQASSSPA >Manes.13G070600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILQITGSDHRSFMAIIQLLSIFCIICVPMEVMGKNGNASASSPRPSVVNVGALFTINSVIGRAAKPALEAAVDDVNSDSSILPGTKLNLITLDTNCSGFIGTMEALQLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTQSDYFQMFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWFSFSTMFFSHRENTASTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSTEPIGVQEGSFAWNYLIDELNIAESRLVKLQNQDEYFSALKRGPKAGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFVSITSFFLF >Manes.13G070600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIQLLSIFCIICVPMEVMGKNGNASASSPRPSVVNVGALFTINSVIGRAAKPALEAAVDDVNSDSSILPGTKLNLITLDTNCSGFIGTMEALQLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTQSDYFQMFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWREHCEHLGTYGADHMAICCVDYQFKLYSKFDINPYSSAINIKN >Manes.13G070600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILQITGSDHRSFMAIIQLLSIFCIICVPMEVMGKNGNASASSPRPSVVNVGALFTINSVIGRAAKPALEAAVDDVNSDSSILPGTKLNLITLDTNCSGFIGTMEALQLVENDVVAAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSALQYPYFLRTTQSDYFQMFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWFSFSTMFFSHRENTASTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSTEPIGVQEGSFAWNYLIDELNIAESRLVKLQNQDEYFSALKRGPKAGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGHFRGTPLLQSTCPPPSSNSLKMVIFKKFITNG >Manes.13G070600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10122118:10131182:1 gene:Manes.13G070600.v8.1 transcript:Manes.13G070600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIADLVTYYGWREVIAIFVDDDYGRNGISVLGDALAKKRCKISYKAAFTPGASNSAINDLLVGVNLMESRVYVVHVNPDSGLKIFSVAQNLGMVTKGYVWIATDWLPSLLDSIQPVDVNTMNLLQGVVALRHYTPDTDLKKRFMSRWNSLKYKNTTGPAGFNSYALFAYDSVWLAARALDAFFNDGGRVSFSDDPKLHDRNRSSLHLSSLRVFNGGQQYLQTILRMNFTGLSGQIQFDLDKNLVHPAYEVLNIGGTGMRRIGYWSNYSGLSVVAPETLYRKPYNTSTSNQQLYPAIWPGENSETPRGWVFPNNGKPLRIAVPNRVSYKDFVAEDKNPPGVRGYCIDVFEAAINLLPYPVPHTYMLYGDGKRNPVYNELVNGVAQNKYDAAVGDVTIITNRTKIVDFTQPYMESGLVVVAPVKEVKSSPWAFLKPFTVQMWCVTGAFFLFVGAVVWILEHRINHEFRGTPRQQLITICWFSFSTMFFSHRENTASTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLISSTEPIGVQEGSFAWNYLIDELNIAESRLVKLQNQDEYFSALKRGPKAGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTRTECSMQINEVDDNRLSLSSFWGLFLICGLACFIALTTFFCRVLCQYRKFAMGHGEEGEVGVEEIQPARPRRSLRSASFKDLIGFVDRKETEIKEMLKRKASDSKRQASPSKDGQASSSPA >Manes.02G160300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12539593:12541152:-1 gene:Manes.02G160300.v8.1 transcript:Manes.02G160300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNVVLPILIIFLTVSSEMKVIECARIFTIINYCKETIWPAIFPGENFNGGGFALRSGQAIVFEAPVGWDGRIWARTGCKFDKKGNGSCETGACGSSLKCQASGQTPASLAEFNLPGGLDFYDVSLVDGFNLPIVVTPINGKGNCTVGGCDMDLRTNCPSELAVKSNGKVIACRSACDVFNTDEYCCRGIYGNSVTCKPTFYSKKFKEACPSSYSYAYDDPTSVFTCTGTDYIVSFCAFRNQQSCTYHDHKLVCGGGSHSQGLKLSIGRWWLLVLALPLMVNWWIV >Manes.13G087100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15158092:15160137:1 gene:Manes.13G087100.v8.1 transcript:Manes.13G087100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSAPPLRSYFRCPSPYQQGLRRTHFHSLSIRGAQSAEPEKVGKVTQTKTQDSSDSSTNAKPSTTAPKKLPKKPVYSMKKGQIVRVDKEKYLNSVNYLSVGHPPFYKGLDYIYEDRGEVLDLRIFETGEHALVAWVGVPTAPAWLPTDMLIKLVTNLLGH >Manes.13G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15158092:15161690:1 gene:Manes.13G087100.v8.1 transcript:Manes.13G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSAPPLRSYFRCPSPYQQGLRRTHFHSLSIRGAQSAEPEKVGKVTQTKTQDSSDSSTNAKPSTTAPKKLPKKPVYSMKKGQIVRVDKEKYLNSVNYLSVGHPPFYKGLDYIYEDRGEVLDLRIFETGEHALVAWVGVPTAPAWLPTDMLIKSEKLDYERL >Manes.17G017900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9667172:9670402:-1 gene:Manes.17G017900.v8.1 transcript:Manes.17G017900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEINFNDGVPITEEFFIQNIAGKHNEDIAVLLFPNDIPRGLKFTEDKEAMFRRLASEQLKAINGLYKLKKWIEDRGLKRAAVTNAPRPNAELMISLLGLSEFFHAVILGDDCEHAKPHPEPYLKALEVLKVSKEHTFICEDSVSGIKAGVAAGMPVVGLTTRNPEHVLMEAKPTFLIKDYDDPKLWVALEELDKKEAAEKPAA >Manes.17G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9666693:9670402:-1 gene:Manes.17G017900.v8.1 transcript:Manes.17G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSMLDSLSRSFASYVNHSFISGSLRQYPSLEQYYIPSKQERKRFGFCTANHRMTVSSTDNSVDTKSALSGLAPLEAVLFDVDGTLCDSDPLHYYAFREMLQEINFNDGVPITEEFFIQNIAGKHNEDIAVLLFPNDIPRGLKFTEDKEAMFRRLASEQLKAINGLYKLKKWIEDRGLKRAAVTNAPRPNAELMISLLGLSEFFHAVILGDDCEHAKPHPEPYLKALEVLKVSKEHTFICEDSVSGIKAGVAAGMPVVGLTTRNPEHVLMEAKPTFLIKDYDDPKLWVALEELDKKEAAEKPAA >Manes.15G067600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5142176:5142961:-1 gene:Manes.15G067600.v8.1 transcript:Manes.15G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPNVLIAIFLIVMLSFFPTGYSADEQNFTTGSSSSPWLKKVIKNYPHINRRRPHPPAHLHPHPPLQRPHMRPLAGCRSNPWICRERNRRPNAQMRCCANKCIDVSSNVNHCGSCGVRCPFSWLCCHGICVDVNISPYNCGECGNKCPLGIYCDYGMCGYSEPEPEPEPDPDPEPEPEPEPEPEPDPEPEPEPEPEPEPEPEPEPEPLPPWRPHPRPRLRPHRRPRPRSRFPFPPKLLRPWPHYPPKGDKLPANATNPSS >Manes.09G064900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10723797:10742750:1 gene:Manes.09G064900.v8.1 transcript:Manes.09G064900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKDKLLEEASFIRFCKIVLGWDYFALLREADKEKQKERRKKGNESATSGLREVKNTYKDVDDYLATFEPLLFEEVKAQIIQKKDDEEVNEWVMRLVVEYNEAEGFLLPAVTYADDEGEKISQNDLLLLSREQIKDVQKLPETHAFALVEHRQQNLLRLRMFLDGEVLYLNADNLEPRRRLLNMRRFMTSPLKPEEKPLFCLKAWKVSGPLREYFGGNLNRSQLEAVDAGLSRKTFVLIQGPPGTGKTQTILALLSAILHAAPARVQTKGSLREFKCGPEMPIHEKYNHWAQASPWLSCSNPRDIIMPKDGDDGYFPTTGNDLKPEVVASSRKYRVRVLVCAPSNSALDEIVLRLLRTGLRDENVHTYNPKIVRIGLKAHHSVQSVCMDYLVKQKQDESGADRQKHGAAGGDTDSIRNAILEEAVIVCSTLSFSGSALFSKLNHGFDVVIIDEAAQAVEPAILVPLANGCKQVFLVGDPVQLPATVISPVAGKLGYGTSLFERLQRAGYPVNMLKMQYRMHPEIRNFPSREFYSEALQDADDILSKTERDWHKYRCFSPFCFFDIHEGKESQPSGSGSWVNTDEVEFVLLLYHKLVTMYPELRSSSQLAIISPYRYQVKLFQDRFRDTFGQESRKFVDIQTVDGFQGREKDVAIFSCVRSNKERSIGFVSDARRMNVGITRAKSTVLVVGSASTLRSDEHWNNLVGSAEKRDLLFKVSKPYTTFFSDSNLESMKVTDNLPGGPDQDLDDDTVMPGNVEDADQGQADNHEGDDMDEGFNGAEDD >Manes.09G064900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10723798:10742750:1 gene:Manes.09G064900.v8.1 transcript:Manes.09G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKDKLLEEASFIRFCKIVLGWDYFALLREADKEKQKERRKKGNESATSGLREVKNTYKDVDDYLATFEPLLFEEVKAQIIQKKDDEEVNEWVMRLVVEYNEAEGFLLPAVTYADDEGEKISQNDLLLLSREQIKDVQKLPETHAFALVEHRQQNLLRLRMFLDGEVLYLNADNLEPRRRLLNMRRFMTSPLKPEEKPLFCLKICSLSTISREYFALRSISSLPFKDLILKATDKSSGSGDQAWKVSGPLREYFGGNLNRSQLEAVDAGLSRKTFVLIQGPPGTGKTQTILALLSAILHAAPARVQTKGSLREFKCGPEMPIHEKYNHWAQASPWLSCSNPRDIIMPKDGDDGYFPTTGNDLKPEVVASSRKYRVRVLVCAPSNSALDEIVLRLLRTGLRDENVHTYNPKIVRIGLKAHHSVQSVCMDYLVKQKQDESGADRQKHGAAGGDTDSIRNAILEEAVIVCSTLSFSGSALFSKLNHGFDVVIIDEAAQAVEPAILVPLANGCKQVFLVGDPVQLPATVISPVAGKLGYGTSLFERLQRAGYPVNMLKMQYRMHPEIRNFPSREFYSEALQDADDILSKTERDWHKYRCFSPFCFFDIHEGKESQPSGSGSWVNTDEVEFVLLLYHKLVTMYPELRSSSQLAIISPYRYQVKLFQDRFRDTFGQESRKFVDIQTVDGFQGREKDVAIFSCVRSNKERSIGFVSDARRMNVGITRAKSTVLVVGSASTLRSDEHWNNLVGSAEKRDLLFKVSKPYTTFFSDSNLESMKVTDNLPGGPDQDLDDDTVMPGNVEDADQGQADNHEGDDMDEGFNGAEDD >Manes.09G064900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10723798:10742750:1 gene:Manes.09G064900.v8.1 transcript:Manes.09G064900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKDKLLEEASFIRFCKIVLGWDYFALLREADKEKQKERRKKGNESATSGLREVKNTYKDVDDYLATFEPLLFEEVKAQIIQKKDDEEVNEWVMRLVVEYNEAEGFLLPAVTYADDEGEKISQNDLLLLSREQIKDVQKLPETHAFALVEHRQQNLLRLRMFLDGEVLYLNADNLEPRRRLLNMRRFMTSPLKPEEKPLFCLKAWKVSGPLREYFGGNLNRSQLEAVDGPPGTGKTQTILALLSAILHAAPARVQTKGSLREFKCGPEMPIHEKYNHWAQASPWLSCSNPRDIIMPKDGDDGYFPTTGNDLKPEVVASSRKYRVRVLVCAPSNSALDEIVLRLLRTGLRDENVHTYNPKIVRIGLKAHHSVQSVCMDYLVKQKQDESGADRQKHGAAGGDTDSIRNAILEEAVIVCSTLSFSGSALFSKLNHGFDVVIIDEAAQAVEPAILVPLANGCKQVFLVGDPVQLPATVISPVAGKLGYGTSLFERLQRAGYPVNMLKMQYRMHPEIRNFPSREFYSEALQDADDILSKTERDWHKYRCFSPFCFFDIHEGKESQPSGSGSWVNTDEVEFVLLLYHKLVTMYPELRSSSQLAIISPYRYQVKLFQDRFRDTFGQESRKFVDIQTVDGFQGREKDVAIFSCVRSNKERSIGFVSDARRMNVGITRAKSTVLVVGSASTLRSDEHWNNLVGSAEKRDLLFKVSKPYTTFFSDSNLESMKVTDNLPGGPDQDLDDDTVMPGNVEDADQGQADNHEGDDMDEGFNGAEDD >Manes.09G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32659280:32665121:-1 gene:Manes.09G124500.v8.1 transcript:Manes.09G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIIKDPFMLNSIILVFANKQDMKGAMTPMEVCEGLGLMELKNRKWHIQGTCALRGDGLYEGLDWLASTLKEMRAAGYSSVGTSSF >Manes.04G010000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1301369:1302795:-1 gene:Manes.04G010000.v8.1 transcript:Manes.04G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENELSSSQRRLSCTICFDALWFCYSPVHQLQQYYRLGVLDNCSEKWSF >Manes.05G176200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29263746:29267134:1 gene:Manes.05G176200.v8.1 transcript:Manes.05G176200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWEHLLLVALSVIALSVIFIVLFRRCSCLRRGKGVVDVTTTTRTETLQDGIAKLHQGSLHRQLELDGKRRGNYYVFRRGVSARPLFNWADHPSLITDAVENGWSRFGFTGYMSSPSTRSSLLGFCAVGDNGNEGETEISWEVCQGSADFMQKIRLNSGLKKINVSNPSTFAASVIRTALPLPGPPLGNSAFPQEAYFEITVLYSHGDDHDESITKSKEGEKIKLIQENSNPKLNSESLAHVSSSRGFNKIEELKIAGKDDDKSEAVMLSLGLTTGGSLPLKHPGSYPGSIGFNSNGSVYLEGTKLVFESEKGEWARRDKVIGCGFDPRQKKVFFTVDAELVHVIHCKSEEFGTPLYPTIAANNDIIVLVNFGQSSFSYALANAQRTPNPCFIGPLVNSSALGYEDSKELFSMGRIDSQWLNRSGTRGTHNGNGANNNNNRAVEFDEESEADLFEIVLDGSATGRSPNTVAYH >Manes.03G202200.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806738:31816471:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806775:31816343:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEQVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806804:31816335:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGDSLDVLVNDGLQSQDSFGKWINDIIADSAGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806790:31816355:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGDSLDVLVNDGLQSQDSFGKWINDIIADSAGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31809810:31816335:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIFSHYCYFGESTDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGDSLDVLVNDGLQSQDSFGKWINDIIADSAGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806775:31816343:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEQVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806958:31816335:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEQVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGDSLDVLVNDGLQSQDSFGKWINDIIADSAGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806738:31816471:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806963:31816335:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEQVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGDSLDVLVNDGLQSQDSFGKWINDIIADSAGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806910:31816359:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806757:31816619:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806709:31816385:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGDSLDVLVNDGLQSQDSFGKWINDIIADSAGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.03G202200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31806708:31816618:1 gene:Manes.03G202200.v8.1 transcript:Manes.03G202200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVQGLLVGSDIHGFHTLQDLDYGKIMEEASSRWLRPNEIHAILCNYKYFVINVKPVHLPKSGVIKLFDRKKLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGEDNPTFVRRCYWLLDKTLEHIVLVHYRETQEVQGSPVTPVNSNSSSVSEQSPWLLSEEFDSRAGHAYYVGVKEAIDPGDCLTVRNHEMRLHEINTLEWDELVTNDLNNSHMPKEDKNMSFDQHNQIAVNGSRNDGIPLPVYNLSAEVPPLDYLTEPIARNNTSCLNIPEDAYSKATKVQGNSDVQEKDARILGTGDSLDVLVNDGLQSQDSFGKWINDIIADSAGSVDNALVESSISSSHDSHTSPAINQLQSSVPEQIFVITDISHTWAFSAEMTKILVTGYFHEQFLHLAKLDLCCVCGDACVRAEIVQAGVYRCLVPQHSPGLVDLFLSLDGHKPISQVLNFEYRPPLRDHVVSLEDKPKWDEFKLQMRLACLLFSTSRSLSILTSKVSPANLKEAKKFAHKTSSIFHSWTYLNKSIEDDRVSFSQAKDGFFELTLQNMLKEWLLERVVEGRKTTEYDAQGQGAIHLCALLGYTWAIHLFSWAGLSLDFRDKHGWTALHWAAYYGREKMVAILLSAGAKPNLVTDPTSEHPGGCTAADLASAKGYDGLAAYLSEKSLVAQFKDMSLAGNVSGSLQTSSTDSINSANLSEEQLYLKDTLAAYRTAADAAARIQAAIKEHSLKVRTKAVQVANPEDEARNIVAAMKIQHAFRNYETRKRMAAAARIQHRFRTWKIRKEFLNMRRVAIRIQAAFRGFQVRKQYRKIVWSVGVLEKAILRWRLKRKGFRGLHVDPIEVVADEREENDAEEDFFQVSRKQAEERVERAVVRVQAMFRSKKAQEEYRRMKLTCNQAELEYGGLLDHDIDLDR >Manes.08G108300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34777011:34782390:-1 gene:Manes.08G108300.v8.1 transcript:Manes.08G108300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHNANARPPNGKPAGATNAYSINLENFTKRLKLLYSHWREHNSDLWGASDALAVATPPPSEDLRYLKSSALNIWLVGYEFPETIMVFMKKQVHFLCSQKKASLLDVVKKSARDSVGVEVIMHVKAKSDDGSGLMDNIFRAVHAQSNSNGHDTPVIGHIARESPEGKLLDLWDVKLKNANCELSDVTNGFSDLFAVKDNIELTNVRKAAFLTSSVMKQFVVPKLEKVIDEEKKVSHSSFMDDTEKAILEPARIKVKLKAENIDICYPPIFQSGGEFDLKPSAASNDENLHYDSTSVIICAIGSRYNSYCSNVARTFLIDANSVQSKAYEVLLKAQEAAISALKSGNKVSAVYQAALAVVEKDAPELAGSLTKSAGTGIGLEFRESGLSLNSKNDRILKPGMVFNVSLGFQNLQTETKNPKTQKFSLLLADTVIVGEKLPDVVTSKSSKAVKDVAYSFNEDDDEEEEQPKARPQGKGVEATLSKATLRSDNQEISKEELRRQHQAELARQKNEETARRLAGGGSAASDSRGSVRTIGDLIAYKNVNDLPPPRDFMIQIDQRNEAILLPIHGTMVPFHVATVKSVSSQQDSNRTCYIRIIFNVPGTPFSPHDANTLKFQGSIYLKEVSFRSKDSRHISEVVQQIKTLRRQVTSRESERAERATLVTQEKLQLASAKFKPIKLLDLWIRPVFGGRGRKLTGSLEAHANGFRYSTSRPDERVDVMYGNIKHAFFQPADKEMITLLHFHLHNHIMVGNKKTKDVQFYIEVMDVVQTVGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDVWGQPQFKGLDLEFDQPLRELGFHGVPHKASAFIVPTSSCLVELVETPFVVITLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDNIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSENSADSDQGYEPSDVQSDSGSEDEGDDSESLVESEDDEEDDSEEDSDEDEGKTWEELEREASYADREKGDDSDSEEERKRRKMKAFGKARAPARAPDRRNAGSSLPKRPKLR >Manes.08G108300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34777011:34782390:-1 gene:Manes.08G108300.v8.1 transcript:Manes.08G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHNANARPPNGKPAGATNAYSINLENFTKRLKLLYSHWREHNSDLWGASDALAVATPPPSEDLRYLKSSALNIWLVGYEFPETIMVFMKKQVHFLCSQKKASLLDVVKKSARDSVGVEVIMHVKAKSDDGSGLMDNIFRAVHAQSNSNGHDTPVIGHIARESPEGKLLDLWDVKLKNANCELSDVTNGFSDLFAVKDNIELTNVRKAAFLTSSVMKQFVVPKLEKVIDEEKKVSHSSFMDDTEKAILEPARIKVKLKAENIDICYPPIFQSGGEFDLKPSAASNDENLHYDSTSVIICAIGSRYNSYCSNVARTFLIDANSVQSKAYEVLLKAQEAAISALKSGNKVSAVYQAALAVVEKDAPELAGSLTKSAGTGIGLEFRESGLSLNSKNDRILKPGMVFNVSLGFQNLQTETKNPKTQKFSLLLADTVIVGEKLPDVVTSKSSKAVKDVAYSFNEDDDEEEEQPKARPQGKGVEATLSKATLRSDNQEISKEELRRQHQAELARQKNEETARRLAGGGSAASDSRGSVRTIGDLIAYKNVNDLPPPRDFMIQIDQRNEAILLPIHGTMVPFHVATVKSVSSQQDSNRTCYIRIIFNVPGTPFSPHDANTLKFQGSIYLKEVSFRSKDSRHISEVVQQIKTLRRQVTSRESERAERATLVTQEKLQLASAKFKPIKLLDLWIRPVFGGRGRKLTGSLEAHANGFRYSTSRPDERVDVMYGNIKHAFFQPADKEMITLLHFHLHNHIMVGNKKTKDVQFYIEVMDVVQTVGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDVWGQPQFKGLDLEFDQPLRELGFHGVPHKASAFIVPTSSCLVELVETPFVVITLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDNIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSENSADSDQGYEPSDVQSDSGSEDEGDDSESLVESEDDEEDDSEEDSDEDEGKTWEELEREASYADREKGDDSDSEEERKRRKMKAFGKARAPARAPDRRNAGSSLPKRPKLR >Manes.10G022300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2179537:2183466:1 gene:Manes.10G022300.v8.1 transcript:Manes.10G022300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQASMDPAILDDIIKRLTEVRSARPGKQVQLSEAEIKQLCVASRDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGRQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALVDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVSEFLTKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMTVDENLMCSFQILKPAEKKAKYMMPNKM >Manes.10G022300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2179537:2183466:1 gene:Manes.10G022300.v8.1 transcript:Manes.10G022300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQASMDPAILDDIIKRLTEVRSARPGKQVQLSEAEIKQLCVASRDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGRQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALVDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVSEFLTKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMTVDENLMCSFQILKPAEKKAKYMMPNKM >Manes.10G022300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2179604:2183387:1 gene:Manes.10G022300.v8.1 transcript:Manes.10G022300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQASMDPAILDDIIKRLTEVRSARPGKQVQLSEAEIKQLCVASRDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGRQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALVDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVSEFLTKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMTVDENLMCSFQILKPAEKKAKYMMPNKM >Manes.02G108300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8420697:8422022:-1 gene:Manes.02G108300.v8.1 transcript:Manes.02G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIQYRRICLALIFIFGAVVSQAAARTLQDTLMYERHEEWMTRFGRVYKDLKEKEIRKKIFKENVERIDSFNSVAGKPYKLGINQFADLTNEEFKTSRNRFKGHICSAQEGPFRYENVSAVPSSMDWRKKGAVTAIKDQGQCGSCWAFSAVAAVEGITQLSTGKLISLSEQELVDCDTKGEDQGCQGGLMDDAFKFIEQNQGLTTEANYPYEGSDGTCNTKKEANHAAKITDYEDVPANNEAALMKAVAKQPVAVAIDAGGFEFQFYSSGIFTGTCGTELDHGVAAVGYGESNGMKYWLVKNSWGTQWGEEGYIRMQKDVDAKEGLCGIAMQASYPTA >Manes.12G116000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31767404:31769369:1 gene:Manes.12G116000.v8.1 transcript:Manes.12G116000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASELSKSQSSPMAKSPLRNKASSCFSDVFLYLGGALLAFLLVWSLWSYAGPDIAGGFPPRVTDSKLRFGFDSRGCLQEEPAVNLRYDPPDRTFYDDPELRYSIEHRIKDWDEKRKEWLKYHPSFAAGARDRVVMVTGSQPKPCKNPIGDHLLLRLFKNKVDYCRIHGYDIFYNNVLLHPKMNSFWAKLPAVKAAMLAHPGAEWIWWVDSDAMFSDMEYKLPLRRYDYKNHNMVVHGWANLIYDEKSWTALNAGVFLIRNCQWSMDFMDSWAQMGPIGPEYVKWGHIQRSIFKDKLFPGSDDQTALIYQIYKDKSLLDKIYLEGEYYFEGYWLEIVKTYDNATEKYMEMERENPKLRRRHAEKVSEQYGAFRESQLNEAGNAKGSWRRPFITHFTGCQPCSGNHNELYKGDSCWNAMTRALNYADNQVLRNYGFMRPDLRDTKTVVETPFDYPDDGPW >Manes.02G034000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2822291:2825480:1 gene:Manes.02G034000.v8.1 transcript:Manes.02G034000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASYINFTFILFIVLFITAYYWYPQDKLDFQGCSESTPCCTSKNRFSSNSGLASETQIHKLKVGTPQHPLDPLTVREINKVRTILSSYEPFLSSFPPIHFLSLDEPDKQRILGWNQGDPLPPRKALVLALLNGQSNVLTVDLELSRVTSHEIKLGAGYPMVSMDDISAAIKVAASHQELNQSAIARGVPLSELSCISPSPGWYGPDEEGRRVIKVQCFSSQDTVNFYMRPLEGLTITVDLDEKEVVKFIDKGRGIPVPKATNTDYRYTAQEKPPEMEPLNPISIEQPKGPSFSIENGHIVKWANWVFHLKADQRAGMIISKAMVRDSETGVLRSVMYKGFASELFVPYMDPDENWYFKTYMDAGEFGLGATAMSLVPLNDCPRHSHYIDGIFVSSDGKPYVQPNMICVFERYAGDIGWRHSEVPVNGFEIRESRAKVTLVARMAASVGNYDYIFDWEFQTDGLIRIKVSLSGMLMVKGTTYRNVYQIPKQEEMSIPLVSENVIGVVHDHFITYHLDMDIDDTNNTLVKVHLVKEETLPGESPRKSYIKAKRETVKTEEDARIKLKLYDPSEFHVTNPSKRSRLGNPAGYKIVPGGNAASLLDHLDPPQKRSAFTNNQIWVTPYNRTEQWAGGLLVYQSRGDDTIAVWSQRNRNIENKDIVLWYTLGFHHVPCQEDFPVMPVVSSSFDLKPVNFFESSPILRAAPFLEKDLPVCRPADGRF >Manes.02G034000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2822291:2825480:1 gene:Manes.02G034000.v8.1 transcript:Manes.02G034000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASYINFTFILFIVLFITAYYWYPQDKLDFQGCSESTPCCTSKNRFSSNSGLASETQIHKLKVGTPQHPLDPLTVREINKVRTILSSYEPFLSSFPPIHFLSLDEPDKQRILGWNQGDPLPPRKALVLALLNGQSNVLTVDLELSRVTSHEIKLGAGYPMVSMDDISAAIKVAASHQELNQSAIARGVPLSELSCISPSPGWYGPDEEGRRVIKVQCFSSQDTVNFYMRPLEGLTITVDLDEKEVVKFIDKGRGIPVPKATNTDYRYTAQEKPPEMEPLNPISIEQPKGPSFSIENGHIVKWANWVFHLKADQRAGMIISKAMVRDSETGVLRSVMYKGFASELFVPYMDPDENWYFKTYMDAGEFGLGATAMSLVPLNDCPRHSHYIDGIFVSSDGKPYVQPNMICVFERYAGDIGWRHSEVPVNGFEIRESRAKVTLVARMAASVGNYDYIFDWEFQTDGLIRIKQVSLSGMLMVKGTTYRNVYQIPKQEEMSIPLVSENVIGVVHDHFITYHLDMDIDDTNNTLVKVHLVKEETLPGESPRKSYIKAKRETVKTEEDARIKLKLYDPSEFHVTNPSKRSRLGNPAGYKIVPGGNAASLLDHLDPPQKRSAFTNNQIWVTPYNRTEQWAGGLLVYQSRGDDTIAVWSQRNRNIENKDIVLWYTLGFHHVPCQEDFPVMPVVSSSFDLKPVNFFESSPILRAAPFLEKDLPVCRPADGRF >Manes.04G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31635863:31637280:-1 gene:Manes.04G112000.v8.1 transcript:Manes.04G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGENGLQGDPRLKAISDAIRVVPHFPKLGIMFQDITTLLLDHKAFKDTLDIFVDRYRDMGISVVAGVEARGFLFGPSIALAIGAKFVPLRKPNKLPGEVISEEYVLEYGNDCLEIHVGAIKKGERAIIIDDLVATGGTLSAAIRLLERVGAEVVECACVIGLPELKGQFRINGKPLYILVEPRQ >Manes.11G040521.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4293429:4299438:1 gene:Manes.11G040521.v8.1 transcript:Manes.11G040521.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRGMETRDQNESGSFPEKTIGPKSYSYGELALVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFLDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSDNVLDWKIRMKIAIGSARGLEYLHENCKFKIIHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRRPIDNGTDIVTWAKPLIKKALEGRYTNFIDSNLQSFDHEQIYRMVSCVNSCLNQPPNSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHRDQNEFGSSPEKTIGPKSYSYGELIRATGHFSLNNLIGRGSFGHVFKASLDGEIRAIKKLDFPDVQCEKDLEREIMVVKSVSHTNLVELVGYCIDGTNRLLILKYFPNGSLRSKLHGNGNVLDWEKRMKIATGSAKGLEYLHEHCNSKIIHLDIKPNNILLDQDFEPKITDFGLAQFFTNGATHISISSVMGTHVYEDPLTTKLRKYSDKSDIYSFGVILLELITGRKPIYNGIDIITWANPLIKKALEGKYTNFIDSNLQSFDHEQMYRIVSCVNSCLNQPPNSRPTMKKICLVLEGKSSPEELYDHKLEWGTIYKGSRRAQTKPQAFYHSRN >Manes.11G040521.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4293429:4299438:1 gene:Manes.11G040521.v8.1 transcript:Manes.11G040521.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRGMETRDQNESGSFPEKTIGPKSYSYGELALVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFLDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSDNVLDWKIRMKIAIGSARGLEYLHENCKFKIIHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRRPIDNGTDIVTWAKPLIKKALEGRYTNFIDSNLQSFDHEQIYRMVSCVNSCLNQPPNSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHRDQNEFGSSPEKTIGPKSYSYGELIRATGHFSLNNLIGRGSFGHVFKASLDGEIRAIKKLDFPDVQCEKDLEREIMVVKSVSHTNLVELVGYCIDGTNRLLILKYFPNGSLRSKLHGNGNVLDWEKRMKIATGSAKGLEYLHEHCNSKIIHLDIKPNNILLDQDFEPKITDFGLAQFFTNGATHISISSVMGTHVYEDPLTTKLRKYSDKSDIYSFGVILLELITGRKPIYNGIDIITWANPLIKKALEGKYTNFIDSNLQSFDHEQMYRIVSCVNSCLNQPPNSRPTMKKICLVLEGKSSPEELYDHKLEWGSRRAQTKPQAFYHSRN >Manes.11G040521.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4293605:4299438:1 gene:Manes.11G040521.v8.1 transcript:Manes.11G040521.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQSLSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSDNVLDWKIRMKIAIGSARGLEYLHENCKFKIIHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRRPIDNGTDIVTWAKPLIKKALEGRYTNFIDSNLQSFDHEQIYRMVSCVNSCLNQPPNSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHRDQNEFGSSPEKTIGPKSYSYGELIRATGHFSLNNLIGRGSFGHVFKASLDGEIRAIKKLDFPDVQCEKDLEREIMVVKSVSHTNLVELVGYCIDGTNRLLILKYFPNGSLRSKLHGNGNVLDWEKRMKIATGSAKGLEYLHEHCNSKIIHLDIKPNNILLDQDFEPKITDFGLAQFFTNGATHISISSVMGTHVYEDPLTTKLRKYSDKSDIYSFGVILLELITGRKPIYNGIDIITWANPLIKKALEGKYTNFIDSNLQSFDHEQMYRIVSCVNSCLNQPPNSRPTMKKICLVLEGKSSPEELYDHKLEWGTIYKGSRRAQTKPQAFYHSRN >Manes.11G040521.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4293429:4297984:1 gene:Manes.11G040521.v8.1 transcript:Manes.11G040521.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRGMETRDQNESGSFPEKTIGPKSYSYGELALVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFLDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSDNVLDWKIRMKIAIGSARGLEYLHENCKFKIIHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRRPIDNGTDIVTWAKPLIKKALEGRYTNFIDSNLQSFDHEQIYRMVSCVNSCLNQPPNSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHRDQNEFGSSPEKTIGPKSYSYGELIRATGHFSLNNLIGRGSFGHVFKASLDGEIRAIKKLDFPDVQCEKDLEREIMVVKSVSHTNLVELVGYCIDGTNRLLILKYFPNGSLRSKLHGNGNVLDWEKRMKIATGSAKGLEYLHEHCNSKIIHLDIKPNNILLDQDFEPKITDFGLAQFFTNGATHISISSVMGTHV >Manes.11G040521.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4293429:4299438:1 gene:Manes.11G040521.v8.1 transcript:Manes.11G040521.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRGMETRDQNESGSFPEKTIGPKSYSYGELALVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFLDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSDNVLDWKIRMKIAIGSARGLEYLHENCKFKIIHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRRPIDNGTDIVTWAKPLIKKALEGRYTNFIDSNLQSFDHEQIYRMVSCVNSCLNQPPNSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHRDQNEFGSSPEKTIGPKSYSYGELIRATGHFSLNNLIGRGSFGHVFKASLDGEIRAIKKLDFPDVQCEKDLEREIMVVKSVSHTNLVELVGYCIDGTNRLLILKYFPNGSLRSKLHGNGNVLDWEKRMKIATGSAKGLEYLHEHCNSKIIHLDIKPNNILLDQDFEPKITDFGLAQFFTNGATHISISSVMGTHVYEDPLTTKLRKYSDKSDIYSFGVILLELITGRKPIYNGIDIITWEADEPKPSLKPFIIQETNNYGTRDRGHDSRRPTEATSYGSSNIIPETSFDKMDCFPIPISFRRR >Manes.11G040521.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4293429:4299438:1 gene:Manes.11G040521.v8.1 transcript:Manes.11G040521.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRGMETRDQNESGSFPEKTIGPKSYSYGELALVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFLDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSDNVLDWKIRMKIAIGSARGLEYLHENCKFKIIHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRRPIDNGTDIVTWAKPLIKKALEGRYTNFIDSNLQSFDHEQIYRMVSCVNSCLNQPPNSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHRDQNEFGSSPEKTIGPKSYSYGELIRATGHFSLNNLIGRGSFGHVFKASLDGEIRAIKKLDFPDVQCEKDLEREIMVVKSVSHTNLVELVGYCIDGTNRLLILKYFPNGSLRSKLHGNGNVLDWEKRMKIATGSAKGLEYLHEHCNSKIIHLDIKPNNILLDQDFEPKITDFGLAQFFTNGATHISISSVMGTHVYEDPLTTKLRKYSDKSDIYSFGVILLELITGRKPIYNGIDIITWANPLIKKALEGKYTNFIDSNLQSFDHEQMYRIVSCVNSCLNQPPNSRPTMKKEADEPKPSLKPFIIQETNNYGTRDRGHDSRRPTEATSYGSSNIIPETSFDKMDCFPIPISFRRR >Manes.11G040521.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4293429:4299438:1 gene:Manes.11G040521.v8.1 transcript:Manes.11G040521.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADRGMETRDQNESGSFPEKTIGPKSYSYGELALVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFLDVQSEGGLEKEIMIVQSLSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSDNVLDWKIRMKIAIGSARGLEYLHENCKFKIIHLDIKPDNILLEEDFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPLTTKLGKYSDKSDIYSFGVTLLELITGRRPIDNGTDIVTWAKPLIKKALEGRYTNFIDSNLQSFDHEQIYRMVSCVNSCLNQPPNSRPTMKKIRLVLEGISLPEELYDHKLRKSIIHRDQNEFGSSPEKTIGPKSYSYGELIRATGHFSLNNLIGRGSFGHVFKASLDGEIRAIKKLDFPDVQCEKDLEREIMVVKSVSHTNLVELVGYCIDGTNRLLILKYFPNGSLRSKLHGNGNVLDWEKRMKIATGSAKGLEYLHEHCNSKIIHLDIKPNNILLDQDFEPKANPLIKKALEGKYTNFIDSNLQSFDHEQMYRIVSCVNSCLNQPPNSRPTMKKICLVLEGKSSPEELYDHKLEWGTIYKGSRRAQTKPQAFYHSRN >Manes.16G001800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:396710:399564:-1 gene:Manes.16G001800.v8.1 transcript:Manes.16G001800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEFGKRFAGKVAIVTASTQGIGFAIAQRLGCEGASVVISSRKLKNVDEAVEKLKAQGIEVIGVVCHVSNAEQRKNLIEKTVQTQESVLDKLWEINVKASILLLKDAAPHMPKGSSVILISSIGGYNPHASMAMYGVTKTALLGLTKALAAEMAPDTRVNCIAPGFVPTHFADFITNNDAMRASIEQGTLLNRLGTTEDMASATAFLASDDASYITGETLVVAGGMPSRL >Manes.16G001800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:396710:399564:-1 gene:Manes.16G001800.v8.1 transcript:Manes.16G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEFGKRFAGKVAIVTASTQGIGFAIAQRLGCEGASVVISSRKLKNVDEAVEKLKAQGIEVIGVVCHVSNAEQRKNLIEKTVQKYGKIDVVVSNAAANPSVESILQTQESVLDKLWEINVKASILLLKDAAPHMPKGSSVILISSIGGYNPHASMAMYGVTKTALLGLTKALAAEMAPDTRVNCIAPGFVPTHFADFITNNDAMRASIEQGTLLNRLGTTEDMASATAFLASDDASYITGETLVVAGGMPSRL >Manes.09G091300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27321979:27324716:1 gene:Manes.09G091300.v8.1 transcript:Manes.09G091300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVDEKTTQPAPATKSSVKSRLKALLTEELYRRKNKQRRSDSCPFHPPTSRKDSSNQLQLSNDETSKEANPTVFDDSNYWVASELDLLLPDSSEGKYSDETGNHLEHKQDDETGKQLIENHLVENSDSEKQNLAHSKELNVNSSTKQSKEALDALDMINMNKDFLMKILQDPGSPLAHLFHNQQAISRKKKYSKSQSFSSASHMRRSASRKHKPVQEGVSSDDVKPNSKDYFRSKSMPSMAAGNRMDRILKLNQAISETLDPLSSSLVQKRKNKSFKDFRHKIRSAIRQSKNEKQRITMDGILHKIPRGRVLACELKSHPLIRDGKESSISNDGDPSLPCNNKNESRRFQRTSSLCESLHRYSWLYESSFNREPKQLTLETSKTTIKDASSPKNNDSKSMRRMFSSPNLKSHVCYSDYSSDLISLNQIKNAVDSIMSTTDNVTEKSEDSESEVESDVQQNIASESNSVKEDQLGMTAESNIDPNAKLDLVSDVLDHLVTRDEESKEQDTGSPDNLAVELEEPISLLVLDSKYQEETEELASSSTSEEEDSEMMISLPFPDIIDSLDAQPVETILQDKPTAEIFEFPSNNLRFRVGVKEKAQFDYVRDILELSGFSGSELLGSWHSNDKPMDPGLFEEMEEGCMVLDPECSGNIEGNYCHHLLLFDLINEVLMEIYAKSYTYCPRSLSALSHIRLMPVGGHILEEVWANISWYLNSIPEADLLLDYIVSRDLAKNDGWMNLQFDSECVGLEIEDLIFDNLLEEIIFT >Manes.12G038000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3296512:3297754:1 gene:Manes.12G038000.v8.1 transcript:Manes.12G038000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFTRHPDPAPHHTLFILSLSHSLTLSLSLFIHSYSMATLQSLALASPFSHYLQQPRSFPGSLSWPVHRSAKSAFNGQSLHVSHPQLTLVKQKTSSFITMMVKPTIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFDQPSVFDSSGELGDITGFYMIDEEGVLQSVDVSAKFVNGKPSRIEAKYIMRSPRDWDRFMRFMERYANANGLQFVKS >Manes.04G087216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28881274:28881786:1 gene:Manes.04G087216.v8.1 transcript:Manes.04G087216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDILYSRFSTVLEGYSDTNWISDSDEIKSTSGYVFTLGGGAITWKLNKQNIIAKSTMESEFIALELAGTEAEWLRNFLANILLGIKPTPSVSMRCDFQTAIAITKNKTFNGKNRHIHLRHNVIKQLLKDETISIDYVKSEVNLANPPTKPLRRKLIDETSREMGLEPI >Manes.01G049435.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:15178445:15179680:-1 gene:Manes.01G049435.v8.1 transcript:Manes.01G049435.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMLSFLATFRRGMSYSLLPFALKAVPSRRSNEQELAFFRKPLFSYHIMVLLLFMRNPTNISYIISFLKPILKQKHQERGAFLFPTLFTRSSVSLVWVCFSNA >Manes.06G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26596205:26600865:1 gene:Manes.06G138200.v8.1 transcript:Manes.06G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTFSFLPISLPPSQICNEKPQHSSLILPLSSFYGNKIVISKSNLSNFVLKSHGSTVATVLSSLPTKKHPSEKIPKWSARAIRSFGLGRLEARKLKYPNTGTEALLMGILIEGTSPAAKFLRANGITFLKVREETVNLLGKSDLYYFSPEHPPLTDQAQRALDWAVDEKLKSGDGGEITTAHILLGIWSEIESAGHRILATLGFNDEKAKELAKSMNEDVVLSSK >Manes.07G020400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2262917:2270389:1 gene:Manes.07G020400.v8.1 transcript:Manes.07G020400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGSSNMRSYMYSGKHALLPPKIPFPSVTPSYLDYMPSGVIGSKAVQRPREGNSHHQRTSSETLLLEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYVDVANASNIDNAAQDEYRYKNMMSKPSWGSQDFDYHNDARQNFLYADVNMKKQKNRSWDSSLNAATSPSGLPSARENAVSQSLGSSCTPQEADGVPTSLNEKQDSAESGSNDSKKSSERKDGSHARSSALETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAQVEFLNQQNLILSMENKALKQRLESLAQEQLIKYVEHEVLEREIGRLRTLYQQQQQPQPQQQPSSSHRRTNSRDIESQFANLSLKYKDANSGRDPVTGPLRT >Manes.07G020400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2260507:2269834:1 gene:Manes.07G020400.v8.1 transcript:Manes.07G020400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGSSNMRSYMYSGKHALLPPKIPFPSVTPSYLDYMPSGVIGSKAVQRPREGNSHHQRTSSETLLLEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYVDVANASNIDNAAQDEYRYKNMMSKPSWGSQDFDYHNDARQNFLYADVNMKKQKNRSWDSSLNAATSPSGLPSARENAVSQSLGSSCTPQEADGVPTSLNEKQDSAESGSNDSKKSSERKDGSHARSSALETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAQVEFLNQQNLILSMENKALKQRLESLAQEQLIKYVEHEVLEREIGRLRTLYQQQQQPQPQQQPSSSHRRTNSRDIESQFANLSLKYKDANSGRDPVTGPLRT >Manes.07G020400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2263032:2269986:1 gene:Manes.07G020400.v8.1 transcript:Manes.07G020400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKGSSNMRSYMYSGKHALLPPKIPFPSVTPSYLDYMPSGVIGSKAVQRPREGNSHHQRTSSETLLLEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYVDVANASNIDNAAQDEYRYKNMMSKPSWGSQDFDYHNDARQNFLYADVNMKKQKNRSWDSSLNAATSPSGLPSARENAVSQSLGSSCTPQEADGVPTSLNEKQDSAESGSNDSKKSSERKDGSHARSSALETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAQVEFLNQQNLILSMENKALKQRLESLAQEQLIKYGEFSSQFLLV >Manes.15G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4901266:4904865:-1 gene:Manes.15G064500.v8.1 transcript:Manes.15G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTQSKLFKIVLSLFALNSVSLFLYFSSHYHHTHQQSHHRPLSGADHITSLSDKNRHFLTDTRRTQTDNHRLQNNHNNSDYLKPWPILPSYLPWTQNPNVSAGSCEAYFGNGFSRRVDVLPANTGGSSGWFRCFFSETLQSSICEGGSVRMVPERIQMSKGGEAIEEVIGRDEDEEMPKFQTGAFEILGDGRKDKNLVQRDFLNSYIPARMISQHTIRRLITSIRVVEDNEFHCDQWIEEPTILVTRFEYANLFHTVTDWYSAYVSSRVTELPYRPHLVFVDGHCKTALEETWRALFSSVRYAKNFTGAVCFRHVVLSPLGYETALFKGTNEDINCEGASAHDLWQNPNDRKTARLSEFGEMIRTAFGFSVNRHGNEKSVSGHNVLFVRRENYLAHPRHGGRVESRLSNEQEVFDALQSWASNHPECKVNIVNGLFAHMPMKEQLRAIQEASVIVGAHGAGLTHIVSATPKTVILEIISSLFRRPHFELISHWKGLEYHAIYLAESYASPAVVTERLHRIMKSLGC >Manes.18G085670.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7918604:7922733:1 gene:Manes.18G085670.v8.1 transcript:Manes.18G085670.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPAELSATPYRNNKLYSLNGSNENSGLSTQLFGSDKRKTMYMADSYSSESYEKYFIDSPTEEIIQPSSSSISGNSFHLQDPSSYKPRMGSESSITIQDPYNTFASVRHRGAYQLNSELDYQSPDTVDYDADEMRLKLQELERALLDDNGDDDEDDMFGNSQSMEIDGEWSEPIQNVMLHDSPKESSSSDSNLSSISSTKEVSQLSPRAPKRLLFNCANAIAEGNFEAADALINELRQLVSIQGDPPQRIAAYMVEGLAARMASSGKYLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEASRGEKRVHIIDFDINQGSQYITLIQTLANQPGKPPYLRLTGVDDPESVQRPIGGLKIVGQRLEKLAEALKVPFEFHAVASKTSLVSPSMLDCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTAPFFPRFVESYNYYSAVFDSLDATLPRESQDRVNVEKQCLARDIVNIVACEGDERIERYEVAGKWRARMTMAGFASCAMGPNVVDTIRKLIKQYCDRYTLKEEMGALLLGWEDKSLIVSSAWR >Manes.18G085670.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7918604:7922733:1 gene:Manes.18G085670.v8.1 transcript:Manes.18G085670.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPAELSATPYRNNKLYSLNGSNENSGLSTQLFGSDKRKTMYMADSYSSESYEKYFIDSPTEEIIQPSSSSISGNSFHLQDPSSYKPRMGSESSITIQDPYNTFASVRHRGAYQLNSELDYQSPDTVDYDADEMRLKLQELERALLDDNGDDDEDDMFGNSQSMEIDGEWSEPIQNVMLHDSPKESSSSDSNLSSISSTKEVSQLSPRAPKRLLFNCANAIAEGNFEAADALINELRQLVSIQGDPPQRIAAYMVEGLAARMASSGKYLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEASRGEKRVHIIDFDINQGSQYITLIQTLANQPGKPPYLRLTGVDDPESVQRPIGGLKIVGQRLEKLAEALKVPFEFHAVASKTSLVSPSMLDCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTAPFFPRFVESYNYYSAVFDSLDATLPRESQDRVNVEKQCLARDIVNIVACEGDERIERYEVAGKWRARMTMAGFASCAMGPNVVDTIRKLIKQYCDRYTLKEEMGALLLGWEDKSLIVSSAWR >Manes.18G085670.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7918604:7922733:1 gene:Manes.18G085670.v8.1 transcript:Manes.18G085670.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPAELSATPYRNNKLYSLNGSNENSGLSTQLFGSDKRKTMYMADSYSSESYEKYFIDSPTEEIIQPSSSSISGNSFHLQDPSSYKPRMGSESSITIQDPYNTFASVRHRGAYQLNSELDYQSPDTVDYDADEMRLKLQELERALLDDNGDDDEDDMFGNSQSMEIDGEWSEPIQNVMLHDSPKESSSSDSNLSSISSTKEVSQLSPRAPKRLLFNCANAIAEGNFEAADALINELRQLVSIQGDPPQRIAAYMVEGLAARMASSGKYLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEASRGEKRVHIIDFDINQGSQYITLIQTLANQPGKPPYLRLTGVDDPESVQRPIGGLKIVGQRLEKLAEALKVPFEFHAVASKTSLVSPSMLDCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTAPFFPRFVESYNYYSAVFDSLDATLPRESQDRVNVEKQCLARDIVNIVACEGDERIERYEVAGKWRARMTMAGFASCAMGPNVVDTIRKLIKQYCDRYTLKEEMGALLLGWEDKSLIVSSAWR >Manes.18G085670.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7918604:7922733:1 gene:Manes.18G085670.v8.1 transcript:Manes.18G085670.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPAELSATPYRNNKLYSLNGSNENSGLSTQLFGSDKRKTMYMADSYSSESYEKYFIDSPTEEIIQPSSSSISGNSFHLQDPSSYKPRMGSESSITIQDPYNTFASVRHRGAYQLNSELDYQSPDTVDYDADEMRLKLQELERALLDDNGDDDEDDMFGNSQSMEIDGEWSEPIQNVMLHDSPKESSSSDSNLSSISSTKEVSQLSPRAPKRLLFNCANAIAEGNFEAADALINELRQLVSIQGDPPQRIAAYMVEGLAARMASSGKYLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEASRGEKRVHIIDFDINQGSQYITLIQTLANQPGKPPYLRLTGVDDPESVQRPIGGLKIVGQRLEKLAEALKVPFEFHAVASKTSLVSPSMLDCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTAPFFPRFVESYNYYSAVFDSLDATLPRESQDRVNVEKQCLARDIVNIVACEGDERIERYEVAGKWRARMTMAGFASCAMGPNVVDTIRKLIKQYCDRYTLKEEMGALLLGWEDKSLIVSSAWR >Manes.18G085670.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7918604:7922733:1 gene:Manes.18G085670.v8.1 transcript:Manes.18G085670.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPAELSATPYRNNKLYSLNGSNENSGLSTQLFGSDKRKTMYMADSYSSESYEKYFIDSPTEEIIQPSSSSISGNSFHLQDPSSYKPRMGSESSITIQDPYNTFASVRHRGAYQLNSELDYQSPDTVDYDADEMRLKLQELERALLDDNGDDDEDDMFGNSQSMEIDGEWSEPIQNVMLHDSPKESSSSDSNLSSISSTKEVSQLSPRAPKRLLFNCANAIAEGNFEAADALINELRQLVSIQGDPPQRIAAYMVEGLAARMASSGKYLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEASRGEKRVHIIDFDINQGSQYITLIQTLANQPGKPPYLRLTGVDDPESVQRPIGGLKIVGQRLEKLAEALKVPFEFHAVASKTSLVSPSMLDCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTAPFFPRFVESYNYYSAVFDSLDATLPRESQDRVNVEKQCLARDIVNIVACEGDERIERYEVAGKWRARMTMAGFASCAMGPNVVDTIRKLIKQYCDRYTLKEEMGALLLGWEDKSLIVSSAWR >Manes.18G085670.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7918604:7922733:1 gene:Manes.18G085670.v8.1 transcript:Manes.18G085670.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRPAELSATPYRNNKLYSLNGSNENSGLSTQLFGSDKRKTMYMADSYSSESYEKYFIDSPTEEIIQPSSSSISGNSFHLQDPSSYKPRMGSESSITIQDPYNTFASVRHRGAYQLNSELDYQSPDTVDYDADEMRLKLQELERALLDDNGDDDEDDMFGNSQSMEIDGEWSEPIQNVMLHDSPKESSSSDSNLSSISSTKEVSQLSPRAPKRLLFNCANAIAEGNFEAADALINELRQLVSIQGDPPQRIAAYMVEGLAARMASSGKYLYKALKCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEASRGEKRVHIIDFDINQGSQYITLIQTLANQPGKPPYLRLTGVDDPESVQRPIGGLKIVGQRLEKLAEALKVPFEFHAVASKTSLVSPSMLDCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMVKSLNPKLVTVVEQDVNTNTAPFFPRFVESYNYYSAVFDSLDATLPRESQDRVNVEKQCLARDIVNIVACEGDERIERYEVAGKWRARMTMAGFASCAMGPNVVDTIRKLIKQYCDRYTLKEEMGALLLGWEDKSLIVSSAWR >Manes.03G153600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28149383:28149781:1 gene:Manes.03G153600.v8.1 transcript:Manes.03G153600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKIILLTLVMSSALGATGLPYGKMRTVNITNNLGANIELNVHCKSKNDDLGQQQLPYKDFWYFKFRPNFWGTTRFYCFMNWEQISHWFDIYVDERDNLECIVCQWSIQAKGPCRFNCTSHNYDICFPWNP >Manes.01G166800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35019051:35024687:-1 gene:Manes.01G166800.v8.1 transcript:Manes.01G166800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRQRINLRDWRPLGTSETSTIPARQSLALHDRKVTEEELIKYMSNLPSYLERGENRQEKVLNVGVLDWGRLEKWQCGQKQIPHRNSRHSLSSDNSSSSFSTEGSYVDSSRGPSCSPAHQRMRRPSLQSHMLSSPVKVHSQDVKSFEESIQKFQYVKGAQAHIMNEQRKFIRTDHLHSKNYPEIKLDQWKRKDSDPMTNSKCGTSNGVKFEAQQYMRVNTTQDGDFMKRANKLQEEKACSFDQDVSRKSKREILLMPRDLTQGNCSQLSESPTMLCQKGAKASRSSFSEMPKDMSPAAVTSDVPHSCPLPRQVEGCTEIKWCSSDGDSVAFLPNSSHLGPHPAKVGISPSRARISENKKSSISPINSTAKESSTGLGMKLNKAASEKPRSTSPFRRLGIGMGKISKSFSPKDSSSLPQLSTIHHSAKSAGDNAMTSCRQGTSSSDPQNATSRARASPLRRLLDPLLKPKVPNCHHSGEPLQRDLVSTDRACKSNGQLDSSAAARQPGVVNFNMASRRAINIGDSCQDKKHGSAAFQALLRVAVKNGQPLFTFAVDNERNILAATVKKLSSTREDEYSCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSCWTRENCMEQSFAREFVLFAVDLQQAEPQRLDFQPNDELAAIVVKIPRVTNVSTASDGHHSGKNNDLPEMRFSSTSGEQPIINGQSLISATVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLSCKLRIFENQSQLSKKTSPSKACAMIDKFEFVSQGGEEENQPVFSFAPFKDGIYSVEFTSSLSIIQAFSLCIAIIDSKKLCEIQGSCNSIEAKTSLQTMLAQNDGTRGRPYGIVADMPAKFVSYPPHSPFGRV >Manes.01G166800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35019051:35024711:-1 gene:Manes.01G166800.v8.1 transcript:Manes.01G166800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRQRINLRDWRPLGTSETSTIPARQSLALHDRKVTEEELIKYMSNLPSYLERGENRQEKVLNVGVLDWGRLEKWQCGQKQIPHRNSRHSLSSDNSSSSFSTEGSYVDSSRGPSCSPAHQRMRRPSLQSHMLSSPVKVHSQDVKSFEESIQKFQYVKGAQAHIMNEQRKFIRTDHLHSKNYPEIKLDQWKRKDSDPMTNSKCGTSNGVKFEAQQYMRVNTTQDGDFMKRANKLQEEKACSFDQDVSRKSKREILLMPRDLTQGNCSQLSESPTMLCQKGAKASRSSFSEMPKDMSPAAVTSDVPHSCPLPRQVEGCTEIKWCSSDGDSVAFLPNSSHLGPHPAKVGISPSRARISENKKSSISPINSTAKESSTGLGMKLNKAASEKPRSTSPFRRLGIGMGKISKSFSPKDSSSLPQLSTIHHSAKSAGDNAMTSCRQGTSSSDPQNATSRARASPLRRLLDPLLKPKVPNCHHSGEPLQRDLVSTDRACKSNGQLDSSAAARQPGVVNFNMASRRAINIGDSCQDKKHGSAAFQALLRVAVKNGQPLFTFAVDNERNILAATVKKLSSTREDEYSCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSCWTRENCMEQSFAREFVLFAVDLQQAEPQRLDFQPNDELAAIVVKIPRVTNVSTASDGHHSGKNNDLPEMRFSSTSGEQPIINGQSLISATVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLSCKLRIFENQSQLSKKTSPSKACAMIDKFEFVSQGGEEENQPVFSFAPFKDGIYSVEFTSSLSIIQAFSLCIAIIDSKKLCEIQGSCNSIEAKTSLQTMLAQNDGTRGRPYGIVADMPAKFVSYPPHSPFGRV >Manes.01G166800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35019305:35023759:-1 gene:Manes.01G166800.v8.1 transcript:Manes.01G166800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRQRINLRDWRPLGTSETSTIPARQSLALHDRFTPKKPTLSYTDLHHVITKNIKDISPEGSNNCLKQSRKVTEEELIKYMSNLPSYLERGENRQEKVLNVGVLDWGRLEKWQCGQKQIPHRNSRHSLSSDNSSSSFSTEGSYVDSSRGPSCSPAHQRMRRPSLQSHMLSSPVKVHSQDVKSFEESIQKFQYVKGAQAHIMNEQRKFIRTDHLHSKNYPEIKLDQWKRKDSDPMTNSKCGTSNGVKFEAQQYMRVNTTQDGDFMKRANKLQEEKACSFDQDVSRKSKREILLMPRDLTQGNCSQLSESPTMLCQKGAKASRSSFSEMPKDMSPAAVTSDVPHSCPLPRQVEGCTEIKWCSSDGDSVAFLPNSSHLGPHPAKVGISPSRARISENKKSSISPINSTAKESSTGLGMKLNKAASEKPRSTSPFRRLGIGMGKISKSFSPKDSSSLPQLSTIHHSAKSAGDNAMTSCRQGTSSSDPQNATSRARASPLRRLLDPLLKPKVPNCHHSGEPLQRDLVSTDRACKSNGQLDSSAAARQPGVVNFNMASRRAINIGDSCQDKKHGSAAFQALLRVAVKNGQPLFTFAVDNERNILAATVKKLSSTREDEYSCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSCWTRENCMEQSFAREFVLFAVDLQQAEPQRLDFQPNDELAAIVVKIPRVTNVSTASDGHHSGKNNDLPEMRFSSTSGEQPIINGQSLISATVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLSCKLRIFENQSQLSKKTSPSKACAMIDKFEFVSQGGEEENQPVFSFAPFKDGIYSVEFTSSLSIIQAFSLCIAIIDSKKLCEIQGSCNSIEAKTSLQTMLAQNDGTRGRPYGIVADMPAKFVSYPPHSPFGRV >Manes.01G166800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35019051:35024849:-1 gene:Manes.01G166800.v8.1 transcript:Manes.01G166800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRQRINLRDWRPLGTSETSTIPARQSLALHDRKVTEEELIKYMSNLPSYLERGENRQEKVLNVGVLDWGRLEKWQCGQKQIPHRNSRHSLSSDNSSSSFSTEGSYVDSSRGPSCSPAHQRMRRPSLQSHMLSSPVKVHSQDVKSFEESIQKFQYVKGAQAHIMNEQRKFIRTDHLHSKNYPEIKLDQWKRKDSDPMTNSKCGTSNGVKFEAQQYMRVNTTQDGDFMKRANKLQEEKACSFDQDVSRKSKREILLMPRDLTQGNCSQLSESPTMLCQKGAKASRSSFSEMPKDMSPAAVTSDVPHSCPLPRQVEGCTEIKWCSSDGDSVAFLPNSSHLGPHPAKVGISPSRARISENKKSSISPINSTAKESSTGLGMKLNKAASEKPRSTSPFRRLGIGMGKISKSFSPKDSSSLPQLSTIHHSAKSAGDNAMTSCRQGTSSSDPQNATSRARASPLRRLLDPLLKPKVPNCHHSGEPLQRDLVSTDRACKSNGQLDSSAAARQPGVVNFNMASRRAINIGDSCQDKKHGSAAFQALLRVAVKNGQPLFTFAVDNERNILAATVKKLSSTREDEYSCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSCWTRENCMEQSFAREFVLFAVDLQQAEPQRLDFQPNDELAAIVVKIPRVTNVSTASDGHHSGKNNDLPEMRFSSTSGEQPIINGQSLISATVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLSCKLRIFENQSQLSKKTSPSKACAMIDKFEFVSQGGEEENQPVFSFAPFKDGIYSVEFTSSLSIIQAFSLCIAIIDSKKLCEIQGSCNSIEAKTSLQTMLAQNDGTRGRPYGIVADMPAKFVSYPPHSPFGRV >Manes.01G166800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35019305:35024609:-1 gene:Manes.01G166800.v8.1 transcript:Manes.01G166800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRQRINLRDWRPLGTSETSTIPARQSLALHDRFTPKKPTLSYTDLHHVITKNIKDISPEGSNNCLKQSRKVTEEELIKYMSNLPSYLERGENRQEKVLNVGVLDWGRLEKWQCGQKQIPHRNSRHSLSSDNSSSSFSTEGSYVDSSRGPSCSPAHQRMRRPSLQSHMLSSPVKVHSQDVKSFEESIQKFQYVKGAQAHIMNEQRKFIRTDHLHSKNYPEIKLDQWKRKDSDPMTNSKCGTSNGVKFEAQQYMRVNTTQDGDFMKRANKLQEEKACSFDQDVSRKSKREILLMPRDLTQGNCSQLSESPTMLCQKGAKASRSSFSEMPKDMSPAAVTSDVPHSCPLPRQVEGCTEIKWCSSDGDSVAFLPNSSHLGPHPAKVGISPSRARISENKKSSISPINSTAKESSTGLGMKLNKAASEKPRSTSPFRRLGIGMGKISKSFSPKDSSSLPQLSTIHHSAKSAGDNAMTSCRQGTSSSDPQNATSRARASPLRRLLDPLLKPKVPNCHHSGEPLQRDLVSTDRACKSNGQLDSSAAARQPGVVNFNMASRRAINIGDSCQDKKHGSAAFQALLRVAVKNGQPLFTFAVDNERNILAATVKKLSSTREDEYSCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSCWTRENCMEQSFAREFVLFAVDLQQAEPQRLDFQPNDELAAIVVKIPRVTNVSTASDGHHSGKNNDLPEMRFSSTSGEQPIINGQSLISATVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLSCKLRIFENQSQLSKKTSPSKACAMIDKFEFVSQGGEEENQPVFSFAPFKDGIYSVEFTSSLSIIQAFSLCIAIIDSKKLCEIQGSCNSIEAKTSLQTMLAQNDGTRGRPYGIVADMPAKFVSYPPHSPFGRV >Manes.01G166800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35019305:35024685:-1 gene:Manes.01G166800.v8.1 transcript:Manes.01G166800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRQRINLRDWRPLGTSETSTIPARQSLALHDRFTPKKPTLSYTDLHHVITKNIKDISPEGSNNCLKQSRKVTEEELIKYMSNLPSYLERGENRQEKVLNVGVLDWGRLEKWQCGQKQIPHRNSRHSLSSDNSSSSFSTEGSYVDSSRGPSCSPAHQRMRRPSLQSHMLSSPVKVHSQDVKSFEESIQKFQYVKGAQAHIMNEQRKFIRTDHLHSKNYPEIKLDQWKRKDSDPMTNSKCGTSNGVKFEAQQYMRVNTTQDGDFMKRANKLQEEKACSFDQDVSRKSKREILLMPRDLTQGNCSQLSESPTMLCQKGAKASRSSFSEMPKDMSPAAVTSDVPHSCPLPRQVEGCTEIKWCSSDGDSVAFLPNSSHLGPHPAKVGISPSRARISENKKSSISPINSTAKESSTGLGMKLNKAASEKPRSTSPFRRLGIGMGKISKSFSPKDSSSLPQLSTIHHSAKSAGDNAMTSCRQGTSSSDPQNATSRARASPLRRLLDPLLKPKVPNCHHSGEPLQRDLVSTDRACKSNGQLDSSAAARQPGVVNFNMASRRAINIGDSCQDKKHGSAAFQALLRVAVKNGQPLFTFAVDNERNILAATVKKLSSTREDEYSCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSCWTRENCMEQSFAREFVLFAVDLQQAEPQRLDFQPNDELAAIVVKIPRVTNVSTASDGHHSGKNNDLPEMRFSSTSGEQPIINGQSLISATVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLSCKLRIFENQSQLSKKTSPSKACAMIDKFEFVSQGGEEENQPVFSFAPFKDGIYSVEFTSSLSIIQAFSLCIAIIDSKKLCEIQGSCNSIEAKTSLQTMLAQNDGTRGRPYGIVADMPAKFVSYPPHSPFGRV >Manes.01G166800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35019169:35024667:-1 gene:Manes.01G166800.v8.1 transcript:Manes.01G166800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRQRINLRDWRPLGTSETSTIPARQSLALHDRKVTEEELIKYMSNLPSYLERGENRQEKVLNVGVLDWGRLEKWQCGQKQIPHRNSRHSLSSDNSSSSFSTEGSYVDSSRGPSCSPAHQRMRRPSLQSHMLSSPVKVHSQDVKSFEESIQKFQYVKGAQAHIMNEQRKFIRTDHLHSKNYPEIKLDQWKRKDSDPMTNSKCGTSNGVKFEAQQYMRVNTTQDGDFMKRANKLQEEKACSFDQDVSRKSKREILLMPRDLTQGNCSQLSESPTMLCQKGAKASRSSFSEMPKDMSPAAVTSDVPHSCPLPRQVEGCTEIKWCSSDGDSVAFLPNSSHLGPHPAKVGISPSRARISENKKSSISPINSTAKESSTGLGMKLNKAASEKPRSTSPFRRLGIGMGKISKSFSPKDSSSLPQLSTIHHSAKSAGDNAMTSCRQGTSSSDPQNATSRARASPLRRLLDPLLKPKVPNCHHSGEPLQRDLVSTDRACKSNGQLDSSAAARQPGVVNFNMASRRAINIGDSCQDKKHGSAAFQALLRVAVKNGQPLFTFAVDNERNILAATVKKLSSTREDEYSCIYTFFAIQEIRKKNGGWMNQGGKGKGHDYIPNVVAQLKVSGSQFSCWTRENCMEQSFAREFVLFAVDLQQAEPQRLDFQPNDELAAIVVKIPRVTNVSTASDGHHSGKNNDLPEMRFSSTSGEQPIINGQSLISATVILPSGVHSLPNKGGPSSLIQRWRSGGSCDCGGWDLSCKLRIFENQSQLSKKTSPSKACAMIDKFEFVSQGGEEENQPVFSFAPFKDGIYSVEFTSSLSIIQAFSLCIAIIDSKKLCEIQGSCNSIEAKTSLQTMLAQNDGTRGRPYGIVADMPAKFVSYPPHSPFGRV >Manes.14G067033.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5614317:5616057:1 gene:Manes.14G067033.v8.1 transcript:Manes.14G067033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEYGQNSGCQSRSFQNHCKQLITVRDRDYVLLHTHSFVPQLFSVWSSGNVKQGSPLSLSLSGLEGESFPHIFFFSGAQSPYFCHTIGPLWFRVDFFSSRSDFFSSILLLIFVILFILFLEL >Manes.13G147300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36335790:36339132:-1 gene:Manes.13G147300.v8.1 transcript:Manes.13G147300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWQLGFPKTGASSLKEQLARTTIHNVRSQGHPYVELREDGKRFIFFCTLCLAPCYSDSVLFDHLKGNLHTERLSAAKLTLLKPNPWPFSDGVHFCMNSIENDRPLAITNGSQSRLLESNSNGNNLAIVKYDVNLTSSGNGHVGCNKDLNGNEGTCDLVIPCVLVKDEICNLKTRFVGSGQIAARFCEKDGNVDEIIRIWCEWLGENSSGHEDKVKVLDHEFAVVTFSYNYDLGRKGLLDDVKLLLSSSPTTELENGKGTNRKRKSFSDPEDISESLSNHYSSSGEESSPSNGGSSRLLLDQYDDQLLHSRFISNKTIRRELRRQHRIAAERMCDICQQKMLPEKDVASLINMKTGKLACSSRNVNGAFHVFHTSCLIHWILLCEYEMARNQSVGPKARRGSKRKSGAKSNKAGKDGKVKALKHQIDSVFCPECQGTGVKIEEDELEMPTIPLSEMFKYKIKVSDGRRAWMKSPEVLQNCSTGFHFPSQCEEPVQEKVLPLKRLLFYKADE >Manes.13G147300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36334079:36339197:-1 gene:Manes.13G147300.v8.1 transcript:Manes.13G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWQLGFPKTGASSLKEQLARTTIHNVRSQGHPYVELREDGKRFIFFCTLCLAPCYSDSVLFDHLKGNLHTERLSAAKLTLLKPNPWPFSDGVHFCMNSIENDRPLAITNGSQSRLLESNSNGNNLAIVKYDVNLTSSGNGHVGCNKDLNGNEGTCDLVIPCVLVKDEICNLKTRFVGSGQIAARFCEKDGNVDEIIRIWCEWLGENSSGHEDKVKVLDHEFAVVTFSYNYDLGRKGLLDDVKLLLSSSPTTELENGKGTNRKRKSFSDPEDISESLSNHYSSSGEESSPSNGGSSRLLLDQYDDQLLHSRFISNKTIRRELRRQHRIAAERMCDICQQKMLPEKDVASLINMKTGKLACSSRNVNGAFHVFHTSCLIHWILLCEYEMARNQSVGPKARRGSKRKSGAKSNKAGKDGKVKALKHQIDSVFCPECQGTGVKIEEDELEMPTIPLSEMFKYKIKVSDGRRAWMKSPEVLQNCSTGFHFPSQCEEPVQEKVLPLKRLLFYKADE >Manes.03G200600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31674818:31675599:-1 gene:Manes.03G200600.v8.1 transcript:Manes.03G200600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVTYEMELISIVSPTKLFSAIVLEAGDFLPKIFPQLIASFITIEGDGGPGTIKQINYVDGKYVKERTDAIDKENFMYAYSVIEGEALMNKFEKLCYEYKFEGRPDGDGGSICRSNIKYYTIGNTEMKQEEIDARKEKSLEMFRAIEAYLLANPES >Manes.18G085770.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7693358:7695005:-1 gene:Manes.18G085770.v8.1 transcript:Manes.18G085770.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSEQSASATIVSECYDEEETWNLAVSLANAVVLPMVLKSTLELNIIDIISTPGNSRGSLSASEIAQRIPAARNQDAPILLDRMLRVLASYDIVKCSSSTKENGQVERWYGAGPICKFLTKNQDGSGSAGPLLLMHHDEVLMKSWFHLNDAILEGGFPFSRAHGMTAFEYLGTDQRFNTLFNQAMSSYTTLVVKKILNVYRGFDGLNVLVDVGGGTGVTLSIIASKYPHIKGINYDLPHVLANSPSYSGVEHVKGDMFRSVPKGDAIFLKWILHDWSDEHCLKLLKNCWEALPSNGKVIVVECILPMAPENIVSSQFAHKLDLVMLTQSPGGKERTQKEFEALALKSGFSSCEAICCAYNSWVLEFHK >Manes.18G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3567628:3569562:-1 gene:Manes.18G040300.v8.1 transcript:Manes.18G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKVHQLARAFWEHEPSLTLGCKRLRPLAPKLANTDSVSSFDLKSFIRPESGPRKIGSSDEKKDSPQVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGRYGKIEGKNVFYWFQNHKARERQKQKRNSLGLSHSLRTSSPITAISLDSRVEVERDQDSPYKRKCRSWAFEYLDLEESRSCREEGDRTLELFPLHPEGR >Manes.10G019600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1913726:1920267:-1 gene:Manes.10G019600.v8.1 transcript:Manes.10G019600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLWIPPLVASINMHQSLIMHHHHQLVAMYQMAKTRRRTTQFSSVWREDNANIDRSVLITGNPKFDPQIALLGDARISDDGSRVQLTSPHASSSGLLLCKDRFKFFGSSRKKTSSFSTEFEFSFTGNGDNLSLVMGPNNFASEFLGQGPFEVSSEKGYLGIEFGASMDGNVGDSNTTLVSVSVNNELFTHSVTNSGESLKSWIDYDPSSKRLEIRLSKSSGKRPYNPIIAYSIDLSKMWEANEVHVALGSRNGGNLSDTFYVYSWRFRLRNFPNWMHSLPVDPHGSVDKGNESLRVHSRTFCPFTVLAGMIFATGCGALLAFMVLFVWAIFANKHTVFPIEGNVQPVDFRYEKISVVVEKGGKVVKN >Manes.07G052660.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6300283:6356383:1 gene:Manes.07G052660.v8.1 transcript:Manes.07G052660.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSQSIRYLGSASAGPGSGSFDAVSRIIADLCTRGNPKEGTTLALRKHLEEEARDLSGEAFSRFMDQLYERISSLLESNEVAENLGALRAIDELIDVALGENASKVSKFSIYIRNVFEVKRDRDVLTLGSRVLGHLARAGGAMTADEVEFQVKMALDWLRNDRLEYRLFAAVSILKEMAENASTVFNVHVPEFVDAIWVALRHPTLEVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRLPAERGSGFIALGEMAGALDGELANYLPTIMPHIRDAIAPRRDRPSLEALACVGNIAKAMGTVMEPYVRNLLEVMFSAGLSSTLVEALEQITISIPSLLPTIQERLLESISSVLSKSHYYQARPAAPVARGSAVNAPQKVSDISGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDEDGGTRKDAALCCCKLIANSFSGITSTQFGASRSNRSGGKQRRLVEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVVGRLSEKNPAYVLPALRRHLIQLLTYLDQSADNKCKEESAKLLGCLIRNCERLIVPYIAPVHKALVARLIEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELMWSTRREVLKVLGIMGALDPHAHKRNQQNLPGSHGEVVRAASDSGQHIPSVDELPLDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCDDHLRDFITWKLGTLVSIVRQHIRKYLPELLSLVSELWSSFSLPASNRPGRGFPVLHLVEQLCLALNDEFRTHLPVILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPRVQVTGHISALVHHLKLVLDGKNDEIRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLRKREPLILGSTAAQRLSRRIPVEVISDPLNDMDNDPYEDGTDLQRQLRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNDGSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCHAFAKALHYKEMEFVGAQSKKTDANPVAVVEALIHINNQLHQHEAAVGILTYAQQRLDVQLKESWYEKLQRWDDALKAYTAKAAQASSPHLVLEATLGRMRCLAALARWEELNNLCKDFWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTVGSGDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIKGAKRNVEVWHVLLAVRALVLPPTEDIDTWLKFANLCLKTNRISQARSTLVKLLQYDPETCLENERYHGPPQVILAYLKYQWSLGEDHKRKEAFARLQNLAIELSSSPNIHSIAPTGLFSSSSSNAPLLARVYLELGSWQWALSPGLDDDSIQEILAAFRNATQCATKWAKAWHTWALFNTAVMSHYTMRGFPNVTSQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRENTTIKERAFIEAYRHELLEAWECCMKYRRTVKEAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLECRNLELAIPGTYLADSPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYSVIPLSPNSGLIGWVPYCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSTFANTHTSAVVNAEESAASRELPQPQRSARERELLQAVNLLGDANEVLNERAVVVMARMSNKLTGRDFSTSSSVSASSNQHAVDHSSLIVGDTREVEHGLSVKLQVQKLINQARSHENLCQNYVGWCPFW >Manes.07G052660.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6300232:6357033:1 gene:Manes.07G052660.v8.1 transcript:Manes.07G052660.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSQSIRYLGSASAGPGSGSFDAVSRIIADLCTRGNPKEGTTLALRKHLEEEARDLSGEAFSRFMDQLYERISSLLESNEVAENLGALRAIDELIDVALGENASKVSKFSIYIRNVFEVKRDRDVLTLGSRVLGHLARAGGAMTADEVEFQVKMALDWLRNDRLEYRLFAAVSILKEMAENASTVFNVHVPEFVDAIWVALRHPTLEVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRLPAERGSGFIALGEMAGALDGELANYLPTIMPHIRDAIAPRRDRPSLEALACVGNIAKAMGTVMEPYVRNLLEVMFSAGLSSTLVEALEQITISIPSLLPTIQERLLESISSVLSKSHYYQARPAAPVARGSAVNAPQKVSDISGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDEDGGTRKDAALCCCKLIANSFSGITSTQFGASRSNRSGGKQRRLVEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVVGRLSEKNPAYVLPALRRHLIQLLTYLDQSADNKCKEESAKLLGCLIRNCERLIVPYIAPVHKALVARLIEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELMWSTRREVLKVLGIMGALDPHAHKRNQQNLPGSHGEVVRAASDSGQHIPSVDELPLDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCDDHLRDFITWKLGTLVSIVRQHIRKYLPELLSLVSELWSSFSLPASNRPGRGFPVLHLVEQLCLALNDEFRTHLPVILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPRVQVTGHISALVHHLKLVLDGKNDEIRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLRKREPLILGSTAAQRLSRRIPVEVISDPLNDMDNDPYEDGTDLQRQLRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNDGSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCHAFAKALHYKEMEFVGAQSKKTDANPVAVVEALIHINNQLHQHEAAVGILTYAQQRLDVQLKESWYEKLQRWDDALKAYTAKAAQASSPHLVLEATLGRMRCLAALARWEELNNLCKDFWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTVGSGDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIKGAKRNVEVWHVLLAVRALVLPPTEDIDTWLKFANLCLKTNRISQARSTLVKLLQYDPETCLENERYHGPPQVILAYLKYQWSLGEDHKRKEAFARLQNLAIELSSSPNIHSIAPTGLFSSSSSNAPLLARVYLELGSWQWALSPGLDDDSIQEILAAFRNATQCATKWAKAWHTWALFNTAVMSHYTMRGFPNVTSQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRENTTIKERAFIEAYRHELLEAWECCMKYRRTVKEAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLECRNLELAIPGTYLADSPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYSVIPLSPNSGLIGWVPYCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSTFANTHTSAVVNAEESAASRELPQPQRSARERELLQAVNLLGDANEVLNERAVVVMARMSNKLTGRDFSTSSSVSASSNQHAVDHSSLIVGDTREVEHGLSVKLQVQKLINQARSHENLCQNYVGWCPFW >Manes.07G052660.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6300283:6356383:1 gene:Manes.07G052660.v8.1 transcript:Manes.07G052660.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSQSIRYLGSASAGPGSGSFDAVSRIIADLCTRGNPKEGTTLALRKHLEEEARDLSGEAFSRFMDQLYERISSLLESNEVAENLGALRAIDELIDVALGENASKVSKFSIYIRNVFEVKRDRDVLTLGSRVLGHLARAGGAMTADEVEFQVKMALDWLRNDRLEYRLFAAVSILKEMAENASTVFNVHVPEFVDAIWVALRHPTLEVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRLPAERGSGFIALGEMAGALDGELANYLPTIMPHIRDAIAPRRDRPSLEALACVGNIAKAMGTVMEPYVRNLLEVMFSAGLSSTLVEALEQITISIPSLLPTIQERLLESISSVLSKSHYYQARPAAPVARGSAVNAPQKVSDISGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDEDGGTRKDAALCCCKLIANSFSGITSTQFGASRSNRSGGKQRRLVEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVVGRLSEKNPAYVLPALRRHLIQLLTYLDQSADNKCKEESAKLLGCLIRNCERLIVPYIAPVHKALVARLIEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELMWSTRREVLKVLGIMGALDPHAHKRNQQNLPGSHGEVVRAASDSGQHIPSVDELPLDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCDDHLRDFITWKLGTLVSIVRQHIRKYLPELLSLVSELWSSFSLPASNRPGRGFPVLHLVEQLCLALNDEFRTHLPVILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPRVQVTGHISALVHHLKLVLDGKNDEIRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLRKREPLILGSTAAQRLSRRIPVEVISDPLNDMDNDPYEDGTDLQRQLRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNDGSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCHAFAKALHYKEMEFVGAQSKKTDANPVAVVEALIHINNQLHQHEAAVGILTYAQQRLDVQLKESWYEKLQRWDDALKAYTAKAAQASSPHLVLEATLGRMRCLAALARWEELNNLCKDFWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTVGSGDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIKGAKRNVEVWHVLLAVRALVLPPTEDIDTWLKFANLCLKTNRISQARSTLVKLLQYDPETCLENERYHGPPQVILAYLKYQWSLGEDHKRKEAFARLQNLAIELSSSPNIHSIAPTGLFSSSSSNAPLLARVYLELGSWQWALSPGLDDDSIQEILAAFRNATQCATKWAKAWHTWALFNTAVMSHYTMRGFPNVTSQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRENTTIKERAFIEAYRHELLEAWECCMKYRRTVKEAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLECRNLELAIPGTYLADSPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYSVIPLSPNSGLIGWVPYCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSTFANTHTSAVVNAEESAASRELPQPQRSARERELLQAVNLLGDANEVLNERAVVVMARMSNKLTGRDFSTSSSVSASSNQHAVDHSSLIVGDTREVEHGLSVKLQVQKLINQARSHENLCQNYVGWCPFW >Manes.07G052660.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6300232:6356383:1 gene:Manes.07G052660.v8.1 transcript:Manes.07G052660.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSQSIRYLGSASAGPGSGSFDAVSRIIADLCTRGNPKEGTTLALRKHLEEEARDLSGEAFSRFMDQLYERISSLLESNEVAENLGALRAIDELIDVALGENASKVSKFSIYIRNVFEVKRDRDVLTLGSRVLGHLARAGGAMTADEVEFQVKMALDWLRNDRLEYRLFAAVSILKEMAENASTVFNVHVPEFVDAIWVALRHPTLEVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRLPAERGSGFIALGEMAGALDGELANYLPTIMPHIRDAIAPRRDRPSLEALACVGNIAKAMGTVMEPYVRNLLEVMFSAGLSSTLVEALEQITISIPSLLPTIQERLLESISSVLSKSHYYQARPAAPVARGSAVNAPQKVSDISGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDEDGGTRKDAALCCCKLIANSFSGITSTQFGASRSNRSGGKQRRLVEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVVGRLSEKNPAYVLPALRRHLIQLLTYLDQSADNKCKEESAKLLGCLIRNCERLIVPYIAPVHKALVARLIEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELMWSTRREVLKVLGIMGALDPHAHKRNQQNLPGSHGEVVRAASDSGQHIPSVDELPLDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCDDHLRDFITWKLGTLVSIVRQHIRKYLPELLSLVSELWSSFSLPASNRPGRGFPVLHLVEQLCLALNDEFRTHLPVILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPRVQVTGHISALVHHLKLVLDGKNDEIRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLRKREPLILGSTAAQRLSRRIPVEVISDPLNDMDNDPYEDGTDLQRQLRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNDGSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCHAFAKALHYKEMEFVGAQSKKTDANPVAVVEALIHINNQLHQHEAAVGILTYAQQRLDVQLKESWYEKLQRWDDALKAYTAKAAQASSPHLVLEATLGRMRCLAALARWEELNNLCKDFWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTVGSGDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIKGAKRNVEVWHVLLAVRALVLPPTEDIDTWLKFANLCLKTNRISQARSTLVKLLQYDPETCLENERYHGPPQVILAYLKYQWSLGEDHKRKEAFARLQNLAIELSSSPNIHSIAPTGLFSSSSSNAPLLARVYLELGSWQWALSPGLDDDSIQEILAAFRNATQCATKWAKAWHTWALFNTAVMSHYTMRGFPNVTSQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRENTTIKERAFIEAYRHELLEAWECCMKYRRTVKEAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLECRNLELAIPGTYLADSPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYSVIPLSPNSGLIGWVPYCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSTFANTHTSAVVNAEESAASRELPQPQRSARERELLQAVNLLGDANEVLNERAVVVMARMSNKLTGRDFSTSSSVSASSNQHAVDHSSLIVGDTREVEHGLSVKLQVQKLINQARSHENLCQNYVGWCPFW >Manes.07G052660.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6300283:6356383:1 gene:Manes.07G052660.v8.1 transcript:Manes.07G052660.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSQSIRYLGSASAGPGSGSFDAVSRIIADLCTRGNPKEGTTLALRKHLEEEARDLSGEAFSRFMDQLYERISSLLESNEVAENLGALRAIDELIDVALGENASKVSKFSIYIRNVFEVKRDRDVLTLGSRVLGHLARAGGAMTADEVEFQVKMALDWLRNDRLEYRLFAAVSILKEMAENASTVFNVHVPEFVDAIWVALRHPTLEVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILAVLRLPAERGSGFIALGEMAGALDGELANYLPTIMPHIRDAIAPRRDRPSLEALACVGNIAKAMGTVMEPYVRNLLEVMFSAGLSSTLVEALEQITISIPSLLPTIQERLLESISSVLSKSHYYQARPAAPVARGSAVNAPQKVSDISGSALVQLALQTLARFNFKGHDLLEFARESVVVYLDDEDGGTRKDAALCCCKLIANSFSGITSTQFGASRSNRSGGKQRRLVEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDDFLAQADSLSAVFAALNDEDFDVREYAISVVGRLSEKNPAYVLPALRRHLIQLLTYLDQSADNKCKEESAKLLGCLIRNCERLIVPYIAPVHKALVARLIEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYIPELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELMWSTRREVLKVLGIMGALDPHAHKRNQQNLPGSHGEVVRAASDSGQHIPSVDELPLDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCDDHLRDFITWKLGTLVSIVRQHIRKYLPELLSLVSELWSSFSLPASNRPGRGFPVLHLVEQLCLALNDEFRTHLPVILPCCIQVLSDAERCNDYTYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPRVQVTGHISALVHHLKLVLDGKNDEIRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRLRKREPLILGSTAAQRLSRRIPVEVISDPLNDMDNDPYEDGTDLQRQLRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNDGSQKQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCHAFAKALHYKEMEFVGAQSKKTDANPVAVVEALIHINNQLHQHEAAVGILTYAQQRLDVQLKESWYEKLQRWDDALKAYTAKAAQASSPHLVLEATLGRMRCLAALARWEELNNLCKDFWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTVGSGDGSSSGTFFRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNPVAEGRRALIRNMWTERIKGAKRNVEVWHVLLAVRALVLPPTEDIDTWLKFANLCLKTNRISQARSTLVKLLQYDPETCLENERYHGPPQVILAYLKYQWSLGEDHKRKEAFARLQNLAIELSSSPNIHSIAPTGLFSSSSSNAPLLARVYLELGSWQWALSPGLDDDSIQEILAAFRNATQCATKWAKAWHTWALFNTAVMSHYTMRGFPNVTSQFVVAAVTGYFHSIACAANSKGVDDSLQDILRLLTLWFNHGATAEVQMALQKGFAHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRENTTIKERAFIEAYRHELLEAWECCMKYRRTVKEAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELLECRNLELAIPGTYLADSPVVTIASFARQLVVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYSVIPLSPNSGLIGWVPYCDTLHQLIREYRDARKITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSTFANTHTSAVVNAEESAASRELPQPQRSARERELLQAVNLLGDANEVLNERAVVVMARMSNKLTGRDFSTSSSVSASSNQHAVDHSSLIVGDTREVEHGLSVKLQVQKLINQARSHENLCQNYVGWCPFW >Manes.04G119000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32048140:32054395:-1 gene:Manes.04G119000.v8.1 transcript:Manes.04G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKGCVFLLDKVRRCVRTVIFMVAMVASLLVSSMPVLVAIGDVLVPCVLVSSFTCLSCYRFKEHLHRYAFKSSLTDIPIVSVVRSLIIICVYLMCDAPALSYGPYLGTVTLCSVFSVLLLSVKACVFTVNSQIEAEASTSLARQKLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLFHRVDPEAVLSCKNVFSGYQKVPRSPTPTAGRTPKSDSEMRRKPFGTVHNEGELPIRLLADIDSLFITCQGLTVHYKLCLPSSPPRSLSSTAFLEPSPSCSSPKMTVGRLKLERQPFNAPLNIQHNLHRSYSNQFPSSSLYSPLLDVSPTSPVLSEEIPVLNLDDSVEENENSNMNSESPELDMEESGQYGIVLIHGFGGGVFSWRHVMGVLGRQVGCTVAAFDRPGWGLTSRPRRKDWEDKELPNPYKLETQVDLLLAFCSEMGFSSVVLVGHDDGGLLALMAAQRLQTSVNSYNVTIKALVLLNVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEIVQVVNRRAWYDSTKLTAETLSLYKAPLYVEGWDEALHEIGKLSCETVLSPQIYASLLKAVENMPVLVIAGAEDALVPLKSSQVMASKLVNSRLVAISGCGHLPHEECPKALLAAMSPFISRLLLRSDLENQ >Manes.12G069400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7365507:7367293:-1 gene:Manes.12G069400.v8.1 transcript:Manes.12G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGADSSTRWRNLKRHLSFKGLGCCGATWTHGATVTEEEEPIAVQEEVSDRPLATIIANGREIPVENSNSVALAPSGMNLRTALAVERNLQRENVGPLKSAAGPVKTLMRLIEETEGVDLRKKKTRENELNAYGEGGGNENENENGNDLVCCVCMERNKGAAFIPCGHTFCRACSREMWAKRGSCPICNRWILEILDIF >Manes.16G030100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3491201:3500803:-1 gene:Manes.16G030100.v8.1 transcript:Manes.16G030100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSTAARTAEYNDDHSPPSSDSPAEISDSDLDNREQEEPEIEVEEQEEVHNGNVDEEDMEEEEEYEEIEVEEEEEIEEEIEVEEEEEEEEEEEEEEEEEEEEEEEVVEVEEEEEEEEEDDDDDDDDKLDSSKESNVELNDVGQHKEVEGQLVLSSTLGRSELGLQSNSHIQDSISNPKLSGPLDESLTFQEKVHVNYEDSECCKSGDCLLTTGGNESQQFAVEKDVEAFVKKNSQELSKHNGDCKVHLCNDKSISDETTSPSIARNEVSNALAIKDCLSMDTNGILDSEVEVKPMEMQPEDKEQTASRVVTSEIRAQSPSPSAEFKDWNKRPAVICNFFAKGWCIRGSSCRFLHINNKADNAKEQLEGDAAVSHLSKGDQFDEGLKNIMEKPKLSGFPDLVASSIGNGSAFPSRFSSEKILPFENGESQLFHQLDEQQKSSSLPREDLSRGVHLHPDTQQFPSSKGDLGVSSSLKDLGKENLRQSWPASDYGRYASAINRGSSPSFQSRLLPEHQCSSSGVAVTSSNHRGWNSSSYLSSLDNQACIRGQYVHGSYLDSSFSGSSMLPSHQISAWKGPSFSLTSSMNISPLGSQKLLGNDRDFCASRSSPILQTASPRSGSELENVPLTSVSKDPLCYTEHKAKIFSNDWEPSIPFRPSFFITHTMTSSPGSQYDPLRDSIDLPTAGYKLPFKFSFVSQVTSNLNSSHLPTYGNSVSQILGPECDNDKSTVSSHSRFHENVLGQNCFTPGKVVGGTSAVNVQKETKPKEENTSSSSHLNNVPNKRKIDIDCDSRQTNDGPRRKKDLKVDRVRQKNEMDVEQKTDGESRTLRHFRAALVDFVKELLRPTWREGHLSKDAHNKIVKKTVEKVLCTLQPHQIPTTIESIKQYLSSCQPKIAKLIEGYCTKYGKS >Manes.16G050159.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:10898491:10906809:-1 gene:Manes.16G050159.v8.1 transcript:Manes.16G050159.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTPSEAAALHPAIAPLSYLLGTWRGQGEGGYPTIASFSYGEELNFSPISGKPVIAYTQKTWKLSSGEPMHAESGYWRPKSDGSIEVVISQSTGLVEVQVKEISRTFELVNGELCYLVQMATHLNSLTPHLKAVLKKL >Manes.16G050159.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:10898491:10906809:-1 gene:Manes.16G050159.v8.1 transcript:Manes.16G050159.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTPSEAAALHPAIAPLSYLLGTWRGQGEGGYPTIASFSYGEELNFSPISGKPVIAYTQKTWKLSSGEPMHAESGYWRPKSDGSIEVVISQSTGLVEVQKGSYNAPEKTIKLHSQVVGNASKVKEISRTFELVNGELCYLVQMATHLNSLTPHLKAVLKKL >Manes.16G050159.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:10903623:10906809:-1 gene:Manes.16G050159.v8.1 transcript:Manes.16G050159.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTPSEAAALHPAIAPLSYLLGTWRGQGEGGYPTIASFSYGEELNFSPISGKPVIAYTQKTWKLSSGEPMHAESGYWRPKSDGSIEVVISQSTGLVEVQKGSYNAPEKTIKLHSQVVGNASKVSRWEVGRAYCGTPRMLDLRVLALESALVFKYKNHILLFLSLSLVYLLCFLY >Manes.06G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24322644:24324142:1 gene:Manes.06G111400.v8.1 transcript:Manes.06G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNLLLASFLFSCFCGSSYGFILFSSLQKTLLLTASPTPGQVLKAGVDNITVTWGVNQTLPAGTDSAYKTIKVKLCYAPASQTDRAWRKTEDNLSKDKTCQVTIVSRPYAKNNQSFTWTVERDVPTATYFVRAYALNSEGAQVAYGQSTDAHKTTDLFEIQAITGRHVSLDIASVCFSAFSVLALFGFFANEKRNAKTTEAK >Manes.04G085450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28705199:28706865:1 gene:Manes.04G085450.v8.1 transcript:Manes.04G085450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKQGDLGGSRCQDCGNQAKKDCVYMRCRTCCKSKGFQCQTHVKSTWIPAYRRRQRPQNLASSSFASAAAAAFAVHQQKPHGQNPKRLRENPWTGLEVGNFPAQVNSIATFRCFRVSSIDEADNQFAYQTSVRIGGHIFKGILYDQGPEQSSSSYLQDPNLTSAGALANATTLASTSSSVAADSLPPTYSFPLNAFMSGTQLFLHPKS >Manes.04G085450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28705199:28706865:1 gene:Manes.04G085450.v8.1 transcript:Manes.04G085450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKQGDLGGSRCQDCGNQAKKDCVYMRCRTCCKSKGFQCQTHVKSTWIPAYRRRQRPQNLASSSFASAAAAAFAVHQQKPHGQNPKRLRENPWTGLEVGNFPAQVNSIATFRCFRVSSIDEADNQFAYQTSVRIGGHIFKGILYDQGPEQSSSSYLQDPNLTSAGALANATTLASTSSSVAADSLPPTYSFPLNAFMSGTQLFLHPKS >Manes.09G178900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36855707:36858510:-1 gene:Manes.09G178900.v8.1 transcript:Manes.09G178900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNQPPGEDYANPRVCLFHVLFKATSLAFYILSALFIDNFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEIDDMGESVWKFESLDQESLARLNKKDSWLFWWTLYLTAVVWLVLGIFSLIRLQADYCLITGVCLTLSLANIIGFTKCRKDARKQIQQFASQTIASQVSSTIQSAFSVV >Manes.17G000150.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:959212:966504:-1 gene:Manes.17G000150.v8.1 transcript:Manes.17G000150.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMDEYDILERLGSGGFGVVWEVIHRSNGTRWAMKRLTLNREDEHGVPPCIFREACIMRDIHHPNIARLEHCFIHNGHIYFVMELLDQTLSEHITRLGGGLLDIKSFLRQILEGVAHCHSLGILHRDLKLSNLMLKGNQLKIIDFGLARGFISTDGDLSPQIGTYAYMAPEILLGSTSYAAAADMWSVGCIFAEMVLRDEFVFGNSELDVMLMICKKMGLPNEEIWPGVSSLTYWEEFMERISNCPPTQDFAEYFLKKSGYALDDSGIDLLLRLLTYNPAKRISASEALNHPYLHT >Manes.17G000150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:959212:966759:-1 gene:Manes.17G000150.v8.1 transcript:Manes.17G000150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDILERLGSGGFGVVWEVIHRSNGTRWAMKRLTLNREDEHGVPPCIFREACIMRDIHHPNIARLEHCFIHNGHIYFVMELLDQTLSEHITRLGGGLLDIKSFLRQILEGVAHCHSLGILHRDLKLSNLMLKGNQLKIIDFGLARGFISTDGDLSPQIGTYAYMAPEILLGSTSYAAAADMWSVGCIFAEMVLRDEFVFGNSELDVMLMICKKMGLPNEEIWPGVSSLTYWEEFMERISNCPPTQDFAEYFLKKSGYALDDSGIDLLLRLLTYNPAKRISASEALNHPYLHT >Manes.17G000150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:959212:966504:-1 gene:Manes.17G000150.v8.1 transcript:Manes.17G000150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMDEYDILERLGSGGFGVVWEVIHRSNGTRWAMKRLTLNREDEHGVPPCIFREACIMRDIHHPNIARLEHCFIHNGHIYFVMELLDQTLSEHITRLGGGLLDIKSFLRQILEGVAHCHSLGILHRDLKLSNLMLKGNQLKIIDFGLARGFISTDGDLSPQIGTYAYMAPEILLGSTSYAAAADMWSVGCIFAEMVLRDEFVFGNSELDVMLMICKKMGLPNEEIWPGVSSLTYWEEFMERISNCPPTQQDFAEYFLKKSGYALDDSGIDLLLRLLTYNPAKRISASEALNHPYLHT >Manes.17G000150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:959212:966759:-1 gene:Manes.17G000150.v8.1 transcript:Manes.17G000150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYDILERLGSGGFGVVWEVIHRSNGTRWAMKRLTLNREDEHGVPPCIFREACIMRDIHHPNIARLEHCFIHNGHIYFVMELLDQTLSEHITRLGGGLLDIKSFLRQILEGVAHCHSLGILHRDLKLSNLMLKGNQLKIIDFGLARGFISTDGDLSPQIGTYAYMAPEILLGSTSYAAAADMWSVGCIFAEMVLRDEFVFGNSELDVMLMICKKMGLPNEEIWPGVSSLTYWEEFMERISNCPPTQQDFAEYFLKKSGYALDDSGIDLLLRLLTYNPAKRISASEALNHPYLHT >Manes.17G000150.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:959212:966504:-1 gene:Manes.17G000150.v8.1 transcript:Manes.17G000150.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMDEYDILERLGSGGFGVVWEVIHRSNGTRWAMKRLTLNREDEHGVPPCIFREACIMRDIHHPNIARLEHCFIHNGHIYFVMELLDQTLSEHITRLGGGLLDIKSFLRQILEGVAHCHSLGILHRDLKLSNLMLKGNQLKIIDFGLARGFISTDGDLSPQIGTYAYMAPEILLGSTSYAAAADMWSVGCIFAEMVLRDEFVFGNSELDVMLMICKKMGLPNEEIWPGVSSLTYWEEFMERISNCPPTQQDFAEYFLKKSGYALDDSGIDLLLVCYNLIYFSDFN >Manes.17G000150.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:959212:966504:-1 gene:Manes.17G000150.v8.1 transcript:Manes.17G000150.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMDEYDILERLGSGGFGVVWEVIHRSNGTRWAMKRLTLNREDEHGVPPCIFREACIMRDIHHPNIARLEHCFIHNGHIYFVMELLDQTLSEHITRLGGGLLDIKSFLRQILEGVAHCHSLGILHRDLKLSNLMLKGNQLKIIDFGLARGFISTDGDLSPQIGTYAYMAPEILLGSTSYAAAADMWSVGCIFAEMVLRDEFVFGNSELDVMLMICKKMGLPNEEIWPGVSSLTYWEEFMERISNCPPTQDFAEYFLKKSGYALDDSGIDLLLVCYNLIYFSDFN >Manes.05G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27581077:27584596:1 gene:Manes.05G163300.v8.1 transcript:Manes.05G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMESSVNGGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEGDDDLEFDNLHWNVSDMASDDTQKSHRSRHRTHKSTGSSHKIMSRSLSCDSQSKSSVSTPHGAAAAAKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLVDVVQRHFMSQQMDELQVIVGFVQAAKRLKTVCK >Manes.13G067625.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8681855:8683744:-1 gene:Manes.13G067625.v8.1 transcript:Manes.13G067625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGMITAPSFGFFSVNRSNRASSKFRSINVQCHGVKGMHVEKSLEELYNVKVEREVPRNRLDELGVSRWSVWKTGKCKLPWDWQVDQLVYIEEGEVRVVPEGSERYMRFVAGDLVRYPKWFEADLFFNGPYQERYSFRAYGDDY >Manes.17G117800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33452050:33464273:1 gene:Manes.17G117800.v8.1 transcript:Manes.17G117800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIWIYQRKSKKMEFGSQTEKLKEPPEVHDYRIPITPAKSTPARLQKVYAKRCLEGNSGISFLGQIYEQEAKKMEFGSQIEKLKKPPEVHDYRIPVTPAKSTPARLQKVYAKRCLKGNSGISFLAQIYEQEAKKMEFGSQIEKLKEPPEVHGYRIPVTPAKSTPARLQKVYARRCLKGNLAQIYEQEACDRISPDKNKEEKEQQISHLIYDREDVGIASCGSKDSEYSGSCLLEAALAAELVTKVQRGGPACFDNDNSGCSGKGEGNGGGEGDFSCQETIGSLENIKAFTDNVSRRLDFWTSEESNKVLYELNAPLDSTPSEFSTCPSGATPAFQESETTTIQGEASQESSNAAIQDAIGWKTVPSFQVSASRIDRNTVELDRENLLPERHNLLHNLDMENDNSKVESHVTWLNLNAGDQEKVSKVGFISLVENEKLHFGECLEPATPMLKHNVNKRPRNLTDVNQRPLKRSKRRKHRYRPKIAGQGRSKRTVKNSPVENNEKRKHVTKTQCTVKKDKNKYVRKTGSKKKVERIILQTSTAEHCAEMIAAKSSFLSVAEQPNDDNMMEIVKPNVGGVLESSSTGCLSSDDEVMLPTVSIVLELGASHANDMKPAMQSEVGCFGGKKLSYLHLEIKPFRWSTYGIRSARDYCRNPFVRKKIGLQLWSSWVHPMPSKVNHCLSNSRKIGPNFPKRCKRKRMRRRKSIVSVISSFLHLCGCMKQFIPRGNLSSHAIIQICNQILNITNNQTGCYLKASASDPFQRLTIGEGKGEVDHERNVPENSTEIGQFLQQSFEETKGMEEDLMATPCERNSLRNCFEIGLPLRQASTNEEHGNQMIYPIEAQKLEKKVTQNCIEIRPVPIYSKSQDGSSLEHQELQRTAKSRGLPKVFNREVTVNQKDGDVSMNQISDVSHIVPHQGSDSTKKPRPKVVLDSETLRRWNQLMKIDDGAGEEKVDKEKEKMWEEERNIFRGRVDSFISRMHQVLGDRRFKLWKGSVVDSVVGVFLTQNVSDFLSSSAYMSLAAKYPVESTSIPKASVDALKDKDSQESTRRNIMSIEATQDSCGNQYFVSEPEPETPVDIVQEISLADIEDIGTTILPREYCRHESEVLICSSSACHKSFNFALMEDCQTENVVREKASSDMCSLPPQCTSQVGTKGILHIQSEMNEIQLNILNLVKSVRTALKQAADKTRKMTRGKKENVKEKENVKAKEVEKIDWDSLRRIYSRPRTRDQKDSVDWESVRQAPLTEIAEVIKLRGQQTIIAKRIQDFLNRVYEYHGSIDLEWLRYAPQDLVKEYLLEIPGLGLKSVECVRLLALENNAFPVDVNVGRISVRLGWIPLESLPGDLQFHLLEEYPVMDSIQQYLWPRLSELDQRTLYELHYQMITFGKVVCTKKNPNCVACPMRAECRHLASAIASANLCLPGPSNKGEERSMVPKIPITNSALVGNNVVVINPVSVSILESNNTLESGLRTESCEPLIEEPKSPQLEQDIEDFVTGEDEEEIPGEAIIDDEEEIPTIQLNNESFKEKVNYFMDKYGRSFQTGSSSRGLVPLSVSVDSIPIRKLKQTSRLRTEHQVYEIPDNHELLRGLEKRDCDDHSPYLLAIWTAGETPDSCEPPKKRCNSQGPELCNDQTCFSCQSVREERAEIVRGTIMIPCRTAMRGRFPLNGTYFQVNEVFADHESSYSPIIVSRSLIWHLKRRIVYIGTSPSSIFRGTSSIRDIQENFLRGFICVRGWDSRTREPKPLAKRFHCPPGKMKRTGKRGNHAGIAAN >Manes.03G067000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8909193:8937094:-1 gene:Manes.03G067000.v8.1 transcript:Manes.03G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVKEDEKNERIIRGLLKLPENRRCINCNGLGPQYVCTNFWTFVCTNCSGIHREFTHRVKSVSMAKFNSQEVIALQGGGNKRARDIYLKEWDPQHHSAPDGSNADRLRDFIKHVYVDRRYTGERNCGKPSGLKLGDKEDLYQGGSRSPPYGDTYERRHSEMSSPGGRSDDRNSRYGYDERSPGYDQENRRYNGYETSPARAEMVNDWRREDRFGNGKRADDCRASDGDSKMERRSPERLKDPGASSPPIVRPVREILGDNVVPLRISEPPKTNSVRAANGSALTQRTASSSSLGSNYGNLTEVKVESAASLIDFDADPEQPITTAVPQAQQTIVSQSIAQPASATNDNNWASFDFAPEVKVSQASSNANPLESVLSQLSVPASVPGHISGMPSGTGAPVSVVNAVNLPSTTALPTAPAGNAHILPTCAIMFHPGGVSEAAPGLASVIPVNGGTSFVKVSETGQWRPSVQHQQPLLFPSSSGQSTQQFTLPFDGASTNQPWNLSVAPNVQAALSKTSVGPPQVISTPASGIASAGISQPPAMEVKSNGRKELPADLFAATYPSFPAAFPGWQTGPSRGMGFAMQYSNAAAPMPTFIQPSKSTNPFDLSEPSSVQGHTFPSMASLQGALPNMPPSSGLQHTSSLGAPSSAWTPSQSLPHPSALPSQPPSYAPAMPPRPYMGQVSSNMTLSGPQGVGGFGTEGGALGSINMDQQLAGRFTAPATSSPFSAVGGNPFG >Manes.14G157100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:28546634:28547471:1 gene:Manes.14G157100.v8.1 transcript:Manes.14G157100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLFGKEDRSVNMGFPVHNQVIKIKQESNKVVDWSPGQPEMRSVLRDITGSRHRSRSPLGLTTRAVSVGDS >Manes.14G026600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443168:2450509:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQVGSFVPASSVKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASDILHKCTARSLVIMDELGRGTSTHDGEAIAYATLHHLLEDKRCMVLFVTHYPKIADIKTEFPGSVGTYHVSYLTSEKNTDAMDSKFCDENVTYLYKLVPGVSERSFGFKVAQLAQLPSSCIRRATIMAAKLELAVSRRMGSKLDKRQLLEVLRSDLEHETRDCISESSDSFPTGSMDNNEVLSNAYQKLFMNLKFAVVNGDPAKSLQFLEKAITIAFEIRRSMTARVGRKFLFRLFWIQNVNIQYPCI >Manes.14G026600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443168:2450553:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQHHQ >Manes.14G026600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443139:2450526:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQVGSFVPASSVKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASDILHKCTARSLVIMDELGRGTSTHDGEAIAYATLHHLLEDKRCMMQWTQNSVMKMSLTFTSWCLVCRRGVLDLRLRSWHSYLHHVSDGPPSWLQS >Manes.14G026600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443168:2450553:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQHHQ >Manes.14G026600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443167:2450509:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQVGSFVPASSVKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASDILHKCTARSLVIMDELGRGTSTHDGEAIAYATLHHLLEDKRCMMQWTQNSVMKMSLTFTSWCLVCRRGVLDLRLRSWHSYLHHVSDGPPSWLQS >Manes.14G026600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443168:2450509:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQHHQ >Manes.14G026600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443167:2450509:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQLHSDSSRLGPLYQHHQ >Manes.14G026600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443168:2450553:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQVGSFVPASSVKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASDILHKCTARSLVIMDELGRGTSTHDGEAIAYATLHHLLEDKRCMMQWTQNSVMKMSLTFTSWCLVCRRGVLDLRLRSWHSYLHHVSDGPPSWLQS >Manes.14G026600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443167:2450509:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQHHQ >Manes.14G026600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443168:2450509:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPMESIVR >Manes.14G026600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443168:2450553:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQVGSFVPASSVKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASDILHKCTARSLVIMDELGRGTSTHDGEAIAYATLHHLLEDKRCMVLFVTHYPKIADIKTEFPGSVGTYHVSYLTSEKNTDAMDSKFCDENVTYLYKLVPGVSERSFGFKVAQLAQLPSSCIRRATIMAAKLELAVSRRMGSKLDKRQLLEVLRSDLEHETRDCISESSDSFPTGSMDNNEVLSNAYQKLFMNLKFAVVNGDPAKSLQFLEKAITIGKALLKG >Manes.14G026600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443139:2450526:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQHHQ >Manes.14G026600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443168:2450553:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQVGSFVPASSVKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASDILHKCTARSLVIMDELGRGTSTHDGEAIAYATLHHLLEDKRCMVLFVTHYPKIADIKTEFPGSVGTYHVSYLTSEKNTDAMDSKFCDENVTYLYKLVPGVSERSFGFKVAQLAQLPSSCIRRATIMAAKLELAVSRRMGSKLDKRQLLEVLRSDLEHETRDCISESSDSFPTGSMDNNEVLSNAYQKLFMNLKFAVVNGDPAKSLQFLEKAITIVSCVKQLSR >Manes.14G026600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2443139:2450526:1 gene:Manes.14G026600.v8.1 transcript:Manes.14G026600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKQQVISRFFAPKPKINDSSTPPTAPPSSSSPISSPKISATVSFSPAKRKLLSSHLTSSPKRPKLSPHTQNPIPTPSVHQKFLEKLLEPSPGIPQPSSTQSSIPKKYTPLEQQVLDLKNKYPDVLLMIEVGYKYRFFGEDAEIAARVLRIYAHMDHNFMTASVPTFRLNVHVRRLVSAGYKVGVVKQTETAAIKAHGDNKTGPFCRGLSALYTKATMEAAQDVGGREEGCGGESNYLCCVVDKSVLLENADRGFDTRIGFIGVEISTGDVVYSEFDDVFLRSGLEAVVLSLSPAELLLGDPLSKQTEKLLLTYAGHYSNVRVERASRDCFNDGGALAEVMSLYENMAEAKAEDSEKEVGWTEHGNNHLDIEGIMNMPDLAMQALALTIRHLKQFRLEKILCLGASFRTFSSNMEMNLSANTLQQLEVLRNNSNGLESGSLLHVMNYTLTVSGSRLLRHWVTHPLCDRNMISARLDAVSEIAESVGSYRASQNVGSIDEENSDVAIVQPDFYSLLSTVLTNLGRSPDIQRGITRIFHRTATASEFISVIQAILHAGKQFQQLHAEDEHNNKKVRAKTVRSVLLKKLIFTVSSSSVVGNAVKLLSTLNKEAAERGDLANLIVIHNDQFQGVASSRKAVQLAKEKLDSLICLYRKQLKMHNLEFMSVSGNTHLIELPADVRVPVNWVKVNSTKKTTRYHPPEVLTALDQLSLANEELMVISRAAWDNFLRGFEKYYAEFQAVVQALAALDCLHSLACLSKNKNYVRPVFVDDSEPVQIHISSGHHPVLETILQDSFVPNDTHLHADGEHCQIVTGPNMGGKSCYIRQVGLIAMMAQVGSFVPASSVKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASDILHKCTARSLVIMDELGRGTSTHDGEAIAYATLHHLLEDKRCMVLFVTHYPKIADIKTEFPGSVGTYHVSYLTSEKNTDAMDSKFCDENVTYLYKLVPGVSERSFGFKVAQLAQVSYVCWLYYFFLFSVVSGVWSCIFMIFSMY >Manes.06G033400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:8976488:8977129:1 gene:Manes.06G033400.v8.1 transcript:Manes.06G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMRSILSFLIISLLFLSQSRPSSANVGFFNQFNKALDQYQHQSRQFPVEDHDLGSLHNRKLRIHIKRRARFGPRGTRPKSSSIPTQISSIHLIASVFGYSLFLCFFLL >Manes.02G036850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3053895:3055494:-1 gene:Manes.02G036850.v8.1 transcript:Manes.02G036850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWMRSLQCKSRAAEDVFIPNPKHLIPSSSCRKSAQSIKDVIDTATSTMQQRPTKPKHHFHHHQRQKQQKSTTISPNHTKPKPKPEPVSAPASRSRSARNPDPLFPALTEVPDGHPSRNVVEIIFHTSWSNKSFPGRIEMIFKVHNGSRTVSRFEEYREMVITRAGLSGGSTWEENARCVADGNEMMRFYCLGPTGGVHEARGGAWVFPGGKGAAICTFSGSGGAHESAGGGSGRRAMLVCRVIAGRVSKQMELESLLKGQVGFDSVSGENGQLLVFDHRAVLPCFLIIYKL >Manes.06G096266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23063913:23065787:1 gene:Manes.06G096266.v8.1 transcript:Manes.06G096266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDNNPAHSCDAISRLPDELLHTILDKLPLNDAVSTGFLSHRWRHLWKYVSTADFGPSWVQLTGKEIVSSLNQFICLHKGLKIQSFSVRFTYQPEMSKAVDSWVLFAINKHVENLDLDFDEDDANIAKNTAVDPCYKLHTCVFNSKSLTMLLLCFCDLELPVSFQLQALKVLHLHRIELHHDIIQKVTSNAPVLQQLFLSDCNRTTDLHVHVAPNQHVCNLVIIENFFPVDHSTTMFIKAPTALNVGFMGSMPRSNYRIDEVSEYTEVYFSLHGMFDACGKHGINILFNDSNVQKYENILQELLASFQKADTINLCDWCIQLLSLRELRNLKRLRFNCTTLEISSSFWKWELPGIIYMLKACHQVEELTLVLAPRNEEIKIPEDYLFQHDFQERRFLHTQDLRLELENLSTVRFKVTHGDYQTWKDEYFDLNKFFNGAILAIEFMQLLRGHAVNLGSLIFSTNKQEIEILFKEEEVEEEEKDAAAPPPPPTTTTRKPPIQIHLD >Manes.14G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10876670:10878498:-1 gene:Manes.14G115100.v8.1 transcript:Manes.14G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLGLALPTHTIRGLDLNTYTFEPSKDAGGSSQLMINQASLQLGGPWQRACSDDDDNDDDDFSSNQQQKRSFSDAFEEITDVPRTLPLLLWNKQPNDDDDDDDLKDHQKSFHFAFNNVDGDSDGIVGWPPIKFRRKKISPRSKRNGDQNNQSVDNGCPDCHGKPSNSMYVKVKVEGDAIGRKIDLSLYDSFQDLKQTLLGMFGISQENSTSYKLTFQDRDGDWLLADDVSWRSFIWSVQRLKLMRSRCGSEQD >Manes.04G102500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30713012:30719312:1 gene:Manes.04G102500.v8.1 transcript:Manes.04G102500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPRVRSMNITEPENKAPQKPVKKAEKPQQQQDIESKDKKHGKVASAVLRQKSMNGSCSSDASSDSSHSRASSSSSLSVRSWSSGKMAVAARRNGVVRRKQCEVRIDKEAKTGGDDDSVVVESGNVLSGNSGLLDAADRSEIKKRCGWVTPSTDPCYAAFHDEEWGVQVHDDKKLFELLCLSGALAELTWPAILNRRHIFREVFLDFDPFAVSKLNDKKIAVQGSPASSLLSEIKLRSIIENAHQMCKVIDEFGSFDKYIWSFVNYKPIVNQFRHCRQVPVKTPKADIMSKDLVRRGFRCVGPTVMYSFMQAAGLTNDHLISCFRFQECVTGRQVKEKDASLKPNTDKKERMDPIDMGR >Manes.05G194150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31770340:31770838:-1 gene:Manes.05G194150.v8.1 transcript:Manes.05G194150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KREEEEEEEEGDRKREEEEEEEEEEEEEEEEGGGGDRKREEEEEEEEEEEEEEEEEEEEGDREGEEEEEEEEEEEGERMGILVFLTKINGDLTENLTGGTNVIVFKNHSD >Manes.02G195000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15979409:15980179:-1 gene:Manes.02G195000.v8.1 transcript:Manes.02G195000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLVPYQHTSPSLPHHHDHDHPRSQHPIIIRPNPDNYLVKYKECMRNHAASIGRHANDGCGEFIPRGDDGTREFLTCAACGCHRNFHRREGGASSSLQHYDHHYQVFSYNAASGKKHLMSSYFDDGTDIDDHDRRSETPEREEVNVASGGRSGAAAAAGMKNKRFRTKFTQEQKERMLGFAEKIGWRINKNDDVALNNFCNEVGVKRSVLKVWMHNNKSAHRRKEAAPPVSPVAAPPPPPPPDQPPAPPQPAGV >Manes.14G081900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6811495:6818864:-1 gene:Manes.14G081900.v8.1 transcript:Manes.14G081900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADASPGVALEGLDVVADYIWKPNGACFSLAVVLLIKTRANEGAGSLSWDRFIHVFDLVQNGNQAFRENHFEEAINYYSRANEVKPGDPIILSNRSAAYSRISQFLKQRPASASESKPLNGLDPTMHAELALKDAEKVMNLRNNSVNPYILKASALILLEKYELARDVFLSGLQVDPFSNTLRASLQNLERLRANTLRRTLGKPERSDDFDCTLCLKLLYEPVTTPCGHCFCRSCLFQSMDCRNRCPLCRTVLFISPRECAISVTLNNIIQKNFPEEHAERKSEHESLTNLGVDLIPLFVLDVVIPCQKFSLHIFEPRYRLMVRRIMEGNHRMGMVTLDSATGLIVDIACEVEIAECEPLPDGRFYLEVKSGRRFRIIRSWDQDGYRVAEVDWLQDDPPKMRAELQDVTNNAAEYVQSWLRRVKESARQDRRRLDKLLSVEAMMPSPLDPERFSFWLATLSDMKPYEKLELLRTRDTEERIKRGLVYLRAAEQGCSVQ >Manes.14G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6811459:6818888:-1 gene:Manes.14G081900.v8.1 transcript:Manes.14G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADASPGVALEGLDVVADYIWANEGAGSLSWDRFIHVFDLVQNGNQAFRENHFEEAINYYSRANEVKPGDPIILSNRSAAYSRISQFLKQRPASASESKPLNGLDPTMHAELALKDAEKVMNLRNNSVNPYILKASALILLEKYELARDVFLSGLQVDPFSNTLRASLQNLERLRANTLRRTLGKPERSDDFDCTLCLKLLYEPVTTPCGHCFCRSCLFQSMDCRNRCPLCRTVLFISPRECAISVTLNNIIQKNFPEEHAERKSEHESLTNLGVDLIPLFVLDVVIPCQKFSLHIFEPRYRLMVRRIMEGNHRMGMVTLDSATGLIVDIACEVEIAECEPLPDGRFYLEVKSGRRFRIIRSWDQDGYRVAEVDWLQDDPPKMRAELQDVTNNAAEYVQSWLRRVKESARQDRRRLDKLLSVEAMMPSPLDPERFSFWLATLSDMKPYEKLELLRTRDTEERIKRGLVYLRAAEQGCSVQ >Manes.02G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5648165:5653593:-1 gene:Manes.02G074000.v8.1 transcript:Manes.02G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLTCVAASQSTSPLCFSSHSFIHKQLLLPVVTTDGKAPIIGRRRKPLAVKALNVGTRRQTLSGNWDVANFSSFATSAPSLPRFEELDTTNMLLRQRIIFLGSQVDDMTADFVISQLLFLDAEDPTKDIKLFINSPGGSVTAGLGIYDAMKLCKADVSTICLGLAASMGAFLLASGSKGKRFCMPNGRVMIHQPLGTAGGKASEMSIRIREMNYHKIKLNKIMSRATGKPVEQIEVDTDRDNFMDAWQAKEYGLVDAVIDDGKPGLVAPLVDASPPPKTRIWEFWKAEGSKKARKNLPSEHKILENGYVGVEGGDEDRGTDPEKEEPTST >Manes.11G060024.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8140318:8141472:-1 gene:Manes.11G060024.v8.1 transcript:Manes.11G060024.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVIKVTTCCDKGRSKALKTAAIADGVNSVALEGDDKDKLVVIGERVDAACLTRALRKKINYAAIESVEEVKPEEKKPEEKKQEGDNKKKDEDNTPTPHCCQQPPRCELVSVVYDTNPGTCTIM >Manes.11G060024.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8140318:8141472:-1 gene:Manes.11G060024.v8.1 transcript:Manes.11G060024.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQKIVIKVTTCCDKGRSKALKTAAIADGVNSVALEGDDKDKLVVIGERVDAACLTRALRKKINYAAIESVEEVKPEEKKPEEKKQEGDNKKKDEDNTPTPHCCQQPPRCELVSVVYDTNPGTCTIM >Manes.13G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1205281:1210544:-1 gene:Manes.13G008400.v8.1 transcript:Manes.13G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSIHCRSYSYSSSLFSSHSSSINGQQFQRINFSSSGIPKCLSPAKVFSKSRKKSYPDVVLMQDGAVAIPVDPLENESSFKKVKDGLLSAITSAEELKETAGCDMNKGESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTVFGYARSKMTDAELRNMVSKTLTCRIDKRANCGEKMDEFLKRCFYHSGQYDSEENFSELDKKLKGHEGGRLSNRLFYLSIPPNIFIDAVKCASMSASSANGWTRVIVEKPFGRDSESSAALTKSLKQYLDEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQIIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIRLEDVVIGQYKSHTKGGVTYPAYTDDKTVPNDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNRRTEIRVQFRHVPGNLYNRNFGTDLDQSTNELVIRVQPDEAIYLKINNKVPGLGMRLDHSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSRFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAARYNVRWGDLGLDQ >Manes.05G175750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29238759:29239487:1 gene:Manes.05G175750.v8.1 transcript:Manes.05G175750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLYYCILETVASVLLSCCDGRLLDLYCCVVNAGTVLMFAATPGFIWLLSGLPTIGFVLMCSQRWSCADVRCDACIYFVAFRRPLAVLLLLRRWRPPGVKLVLRC >Manes.03G153550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28145484:28145840:1 gene:Manes.03G153550.v8.1 transcript:Manes.03G153550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISSARGSDKKTIVIINDLGPNIDFYFHCKSANDDLGPQVLPYRRSWHFEFKRNVFGTTLFYCHMSWKKISHWFNIYVDSRDKSRCDYCMWYVRTYAPCTWNHKTKRFDLCFPWTI >Manes.11G159800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32528439:32531987:-1 gene:Manes.11G159800.v8.1 transcript:Manes.11G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLHHAYPYLSAFKSTSSTPISPKSARLGANSVRCCVVTTESRRVTVKNGNDSLEICRVLNGMWQTSGGWGRIDRDDAVEAMLKLADAGLTTFDMADHYGPAEDLYGIFINRVRRERPPELLDQIRGLTKWVPPPVKMTSSFVRESINVSRKRMDVSSLDMLQFHWWDYSNPGYLDALKNLTDLKEEGKIKTVALTNFDTERLQIILENEIPVVSNQVQHSIIDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLAIPFAGPPLNTPSLQKYKRMVDAWGGWSQFQVLLQTLKKIANKHGVSIPTVAVKYILDQPAVAGSMIGVRLGLSEHINDTNAVFSLVLDEEDVNSIQEVSKRGKDLMKVIGDSGDEYRRI >Manes.11G159800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32528439:32531987:-1 gene:Manes.11G159800.v8.1 transcript:Manes.11G159800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMHHSFTNFTFHPLDLKTRKDAEFRKRCLKPLQCVLTEDNRKIIVKNGKDSLDICRVVNGMWQTSGGWGKIERDNAVDAMLKYADSGLTTFDMADIYGPAEDLYGIFINRVRRERPPELLDQIRGLTKWVPPPVKMTSSFVRESINVSRKRMDVSSLDMLQFHWWDYSNPGYLDALKNLTDLKEEGKIKTVALTNFDTERLQIILENEIPVVSNQVQHSIIDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLAIPFAGPPLNTPSLQKYKRMVDAWGGWSQFQVLLQTLKKIANKHGVSIPTVAVKYILDQPAVAGSMIGVRLGLSEHINDTNAVFSLVLDEEDVNSIQEVSKRGKDLMKVIGDSGDEYRRI >Manes.11G159800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32528439:32531987:-1 gene:Manes.11G159800.v8.1 transcript:Manes.11G159800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSFTNFTFHPLDLKTRKDAEFRKRCLKPLQCVLTEDNRKIIVKNGKDSLDICRVVNGMWQTSGGWGKIERDNAVDAMLKYADSGLTTFDMADIYGPAEDLYGIFINRVRRERPPELLDQIRGLTKWVPPPVKMTSSFVRESINVSRKRMDVSSLDMLQFHWWDYSNPGYLDALKNLTDLKEEGKIKTVALTNFDTERLQIILENEIPVVSNQVQHSIIDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLAIPFAGPPLNTPSLQKYKRMVDAWGGWSQFQVLLQTLKKIANKHGVSIPTVAVKYILDQPAVAGSMIGVRLGLSEHINDTNAVFSLVLDEEDVNSIQEVSKRGKDLMKVIGDSGDEYRRI >Manes.13G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30451861:30456193:-1 gene:Manes.13G104300.v8.1 transcript:Manes.13G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLHCGFFFFLILFLQPSISKSLIPQFPCQPPYHSSYPFCNKSLSIPARAQSLISLLTLQEKILQLANNASEIPRLGIPPYEWWSESLHGIATNGPGVSFESGPVSSATGFPQVIVSAAAFNRTLWFLIGSAIAVEARAMYNVGQAGLTFWAPNINVFRDPRWGRGQETPGEDPMVASAYAIEYVKGFQGGDWKIGGGQGSGGGLGERRVLREVDDGLMLSACCKHFTAYDLEKWGNFSRYSFNAVVTEQDLEDTYQPPFRSCIQQGKASCLMCSYNQVNGVPACAREDFLQKARTEWGFHGYIVSDCDAVATIFEYQNYTKSPEDAVAIALKAGMDINCGSYVIRHAQSAVDQGKLQEEDIDRALLNLFSVQLRLGLFDGNPKNGQFGNLGPEAVCTKEHKTLALEAARQGIVLLKNDKQFLPLNKTAVSSLAIVGPMANNATNLGGDYTGYPCDPQSLFAGLKSYITETSYAVGCPDVSCDSDAQFHEAINIAKSADYVVVVAGLDLSQETEEHDRVSLLLPGKQMALVSSVAAVSKKPVILVLIGGGPLDVSFAKLDPRVASILWIGYPGEAGAKALAEVIFGEYNPGGRLPMTWYPESFTKIPMTDMNMRADPFRGYPGRTYRFYTGPRVYGFGEGLSYTSFTYKFLSAPSKLSLSGSLTANSRKRILHQKGKTLGYIQIDEMNSCNSLRFHVQISVMNVGDMDGSHVVMLFSKVPKVFKGTPEKQLVGFDRVHTISYTSTETSILVDPCMHLSIANEQGKRVMPLGDHVLMLGDLEYFFTIEAY >Manes.07G082310.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25818533:25836296:-1 gene:Manes.07G082310.v8.1 transcript:Manes.07G082310.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLDFYLTENFQRHFPLQVIMGAAGYTHSKLCHESRSMPFVSGEEDLCCGSHYCSPSLVIHCRHVQSLISTVVYVDSSAKQIHLC >Manes.07G082310.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25818533:25836296:-1 gene:Manes.07G082310.v8.1 transcript:Manes.07G082310.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHFPLQVIMGAAGYTHSKLCHESRSMPFVSGEEDLCCGSHYCSPSLVIHCRHVQSLISTVVYVDSSAKQIHLC >Manes.07G082310.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25818533:25836296:-1 gene:Manes.07G082310.v8.1 transcript:Manes.07G082310.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHFPLQVIMGAAGYTHSKLCHESRSMPFVSGEEDLCCGSHYCSPSLVIHCRHVQSLISTVVYVDSSAKQIHLC >Manes.07G082310.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25818533:25836296:-1 gene:Manes.07G082310.v8.1 transcript:Manes.07G082310.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLDFYLTENFQRHFPLQVIMGAAGYTHSKLCHESRSMPFVSGEEDLCCGSHYCSPSLVIHCRHVQSLISTVVYVDSSAKQIHLC >Manes.05G074200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6104845:6106285:1 gene:Manes.05G074200.v8.1 transcript:Manes.05G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKILVLTCLTGFLLLMPAEVDGVPHPARSVCISQISLANYACGGLDPSPISDIFHHHHHGHGHRHNRHGSLTHETPTESCCRWLNNVENECVCDLLIQLPAFLSKPAHQLTVVIGEYCRVTFSCSGRVRP >Manes.18G126862.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15854011:15855545:-1 gene:Manes.18G126862.v8.1 transcript:Manes.18G126862.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVEFLAVIVVCSLFVIAPHVYAKISCEQVTMLLTPCIPYAVFGGDVPPACCSGIKESLALVKTTEDLRLKCECVKEGAAGIPGLNYTRVNELPAKCGTTSPYLVSPNTDCSKLKG >Manes.15G181340.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24445500:24447067:-1 gene:Manes.15G181340.v8.1 transcript:Manes.15G181340.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATHSHSSMPLVSRLDNLDFILKYLEGKQRLPKCSMRGTERQPAVPLDLAVREAYFKGSLMERVAFLERRLFQVNIHVWLEVESSSTCQTSGYASSSQGSKTEPNSFSLPISTNPHQPNKQEKKIKIKYNNEVNILFIYCVGSTSQGRRREQETYEASD >Manes.01G032600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6393933:6413313:1 gene:Manes.01G032600.v8.1 transcript:Manes.01G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKQQSGIKAIEEEPEDCDSSYSNKATLACMINAEVGAVLAVMRRNVRWGGRCMSGDDQLEHSLIQSLKALRKQIFSWQHPWHTINPSVYLQPFLDVIRSDETGAPITGVALSSVYKILTLDVIDQNTVNVEDAMHLVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKGKASVTLSNQHVCTIVNTCFRIVHQVGTKGELLQRIARHTMHELVRCIFSHLPDIENTEHALVNGVSPAKQEIAGLDNDYTFGSKQIENGSSELEGQTSSVSYVLSASTGLVATVMEESTIGGSSGKGALPYDLQLMTEPYGVACMVEIFHFLCSLLNVVEHMGMGPRSNTVAVDEDLPLFALGLINSAIELGGPSFHRHPRLLSLIQDELFRNLMQFGLSISPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSKYGALYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSTMHVLALDGLIAIIQGMAERLGNGSFNSDEPPVNLEEYTPFWMVKCDNYSDPDHWVTFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQGMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSELYHSICKNEICTTPEQGTGFPEMIPSRWIDLMLKSKKTAPFIVSDSKAYLDHDMFAIMSGPTIAAISVVFDHAETVDVYQTCIDGFLAVAKISACHQLEDVFDDLVVSLCKFTTLLNPSSAEEPVLAFGDDPKARMATVTVFTIANRYGDYIRAGWRNILDCILRLHKLGLLPARVASDAADESEVSSDPGHGKPITNSLSSAHTQSFGIPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQHMLQTIQKCHVDSIFTESKFLQAESLLQLARALIWAAGHPQKGNNTPEDEDTAVFCLELLIAITLNNRDRIALLWQGVYEHIANIVQSTVMPCALAEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKVNATHIRSLMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLVAANYVLCIEAARQFAESRVAQAERSMRSLDLMAGSIDFLTRWSHEAKEAMEEEEAAKLLQDIGEMWLRLVQGLRKVCLDHREDVRNHALLSLQKCLRGVDGINLPHGFWLRCFDLVIFTMLDDLLEIAQGHSQKDYRNMDGSLIIAVKVLSKVFLQLLPDLAQLTTFCKLWLGVLSRMEKYLKVKVRGKKCEKLQEVVPELLKNTLLVMKAKGVLVQRSALGGDSLWELTWLHVNNIAPSLQSEVFPDRDWERTQHEHGETGESLVATESGSLTLNGPVASEDFGAGG >Manes.07G063550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11674195:11695413:-1 gene:Manes.07G063550.v8.1 transcript:Manes.07G063550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPSNTRGFVRRVGSKVAEQIPRPETNCFHKQRTSFDLPYHDNDKTISSSSYSIPKAQRFRIPERLSCPPIPMKRRVAPTWSSKESPITFFAPLDIEIFFFFSFRKITTLHTLQN >Manes.03G098880.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18069486:18072737:-1 gene:Manes.03G098880.v8.1 transcript:Manes.03G098880.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISYSCLFDVYCDLEKALEFVTEKSICFGDDDAKTSVESTNFGSQDLETKILKYLGSGKMRVERSVSFNGGDLEIWMPSKDPSVDQKKDPAVVSVNAEIKMKDDQPQNADNDVVALQKSPIFDPANPKHQAAVKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKHSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLHFYYVKWLHSKSREPFFYWLDIGEGKEVNIVEKCSRSKLQQQCIKYLGPMERKAYEVVVEDGKFIYKQTGKLLHTTADAKWIFVLSTSKILYVGKKKKGTFQHSSFLAGGATIAAGRLIIEGGILKAVWPHSGHYRPTEENFKDFLSFLRENNVDLTDVKTNPVDEEGDSLDKLRSSRHIRSHSSDEDLIQTVNGLENEEINAENWTTETTDLMQDGTSAALEEQKPGPLRNSSRLLTNLEIPKRDEQFDYLESENSTPGPTSKNESADPLEKDGYESADERFSIEQGDEFPEKKVKEDEDNEVEDIPKEAILQRINSKKGTKSFQLGRKLSCKWTTGAGPRIGCVRDYPSGLQFRALEQVNLSPRRIVHSNSISGFSQKLSLLTGFGGAAAATNDPPMLDKANAMYRSLPNSRTQSFKCGNDISR >Manes.03G098880.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18069486:18073183:-1 gene:Manes.03G098880.v8.1 transcript:Manes.03G098880.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISYSCLFDVYCDLEKALEFVTEKSICFGDDDAKTSVESTNFGSQDLETKILKYLGSGKMRVERSVSFNGGDLEIWMPSKDPSVDQKKDPAVVSVNAEIKMKDDQPQNADNDVVALQKSPIFDPANPKHQAAVKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKHSSISFFDIEKHETAISRWSRARTRAAKVGKGLSKNEKAQKLALQHWLEAIDPRHRYGHNLHFYYVKWLHSKSREPFFYWLDIGEGKEVNIVEKCSRSKLQQQCIKYLGPMERKAYEVVVEDGKFIYKQTGKLLHTTADAKWIFVLSTSKILYVGKKKKGTFQHSSFLAGGATIAAGRLIIEGGILKAVWPHSGHYRPTEENFKDFLSFLRENNVDLTDVKTNPVDEEGDSLDKLRSSRHIRSHSSDEDLIQTVNGLENEEINAENWTTETTDLMQDGTSAALEEQKPGPLRNSSRLLTNLEIPKRDEQFDYLESENSTPGPTSKNESADPLEKDGYESADERFSIEQGDEFPEKKVKEDEDNEVEDIPKEAILQRINSKKGTKSFQLGRKLSCKWTTGAGPRIGCVRDYPSGLQFRALEQVNLSPRRIVHSNSISGFSQKLSLLTGFGGAAAATNDPPMLDKANAMYRSLPNSRTQSFKCGNDISR >Manes.09G153300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34992335:34997364:-1 gene:Manes.09G153300.v8.1 transcript:Manes.09G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVGEISDQFWSPPSQTPPASAQPDDYSKMNRSASEWAFQRFLQEASVVAVSASASASASDSSPQSSSAPAGDKSDVIEIKDKDNDSFSGANDKTTASSSFSNGRCTPSFNEAAAPGAPPNIPVDSEEYQAFLKSKLQLACAAAAAQSRTSFLRPQDSPARADSGSQASNASQLGTHATSKGAGGDLSRSQDMDANGPVGISSLSSARKKSGATLKPTTSGSSREQSEDDENEEETEITENMDPADAKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRVENSSLLKRFSDVSQKYNEAAVDNRVLKADVETLRAKVKMAEETVKRITGLNPMFHAMPEISTTSMPSFDRSPSEPSTDAAVAVQDDPKHQLCQPQNHAVSAHDLGVNSALADISSVENVRPHSEAAGLTGNKLGRTASLQRVASLEHLQKRIRGGVTPSEPQSNRE >Manes.13G055600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6443000:6448446:-1 gene:Manes.13G055600.v8.1 transcript:Manes.13G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVKEVSEVDSAVEVKTLSVEGDGILEPHVGMEFESEDAARRFYIEYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHKSTIGPDKKTRSSAREGCKATILVKMEKSGKWVVTRFEKDHNHPLVVTANGFSTTGDKDKKIEELTKELEHQEQLCAVYRERLLSFMNNVEEQTEELSSKIKVIIDNVRKVESEVQKFSNRR >Manes.13G055600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6444479:6445925:-1 gene:Manes.13G055600.v8.1 transcript:Manes.13G055600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEDAARRFYIEYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHKSTIGPDKKTRSSAREGCKATILVKMEKSGKWVVTRFEKDHNHPLVVTANGFSTTGDKDKKIEELTKELEHQEQLCAVYRERLLSFMNNVEEQTEELSSKIKVIIDNVRKVESEVQKFSNRR >Manes.13G055600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6443000:6448446:-1 gene:Manes.13G055600.v8.1 transcript:Manes.13G055600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVKEVSEVDSAVEVKTLSVEGDGILEPHVGMEFESEDAARRFYIEYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNHKSTIGPDKKTRSSAREGCKATILVKMEKSGKWVVTRFEKDHNHPLVVTANGFSTTGDKDKKIEELTKELEHQEQLCAVYRERLLSFMNNVEEQTEELSSKIKVIIDNVRKVESEVQKFSNRR >Manes.15G115600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9177843:9179525:-1 gene:Manes.15G115600.v8.1 transcript:Manes.15G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRTKLHLLGIFLLTLASLKQHCVDGESKVPCYFIFGDSLVDSGNNNNLPTFAKANFLPNGIDFPEGPTGRFCNGRTIADVIGELLGFDNFIPPFATANGPEILKGVNYASGSAGIRNETGKHLGVNIDLSTQLQNHQVTISHIVDLLGSKDSATQYLNQCFYLFVIGSNDYIANYFLSQSYNTSSLYTLEQYAQVLIEEFSQQIMNLSKSGARKVVLSGIGPVGCTPGAIASSDTNGSLCVDWINNAINLFNNRLEILVNQLNCELTGAQFIYLNTYGIVSELITSPAFQIKIDMCYKLNLYGVSNRWELPCEDRNLHFFWDAIHPSEIANKVIGGLSYLALRKIL >Manes.17G054700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25241070:25245972:-1 gene:Manes.17G054700.v8.1 transcript:Manes.17G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKKRKADENGTAIIPTLSTAATSTALTPQDIRKVIDPFTKEQLLDILQSAAVHHSDVLDSIRTIADGDISLRKLFIRGLSTNTTTETIRNLFASYGELEEAVVIFDKNTGKSKGFGFITFKHVDGALIALKEPSKKIDGRMTVTQLASAGLSNSGSSGGDVWSRKIYVGNVPYDIPSERLLGFFSTYGEIEEGPLGFDKATGKSKGFAFIIYKNEEGAKAAIADPMKIIDGHQVLCKMAVDNKKAKTEGGVVGDNAQSQPPPQPQSSMPGSQYGVPGSVPPYGGFSGMGSNGYGLNSSLPGPIAGGGYGGPYGVSQYGGPSAGEFGGLNNAANSMYRIPPSSVGMPSGGYPDGAPYGLSQQHQPSSLPPRIPPGGMYQGMPPYY >Manes.01G225900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39127994:39132121:-1 gene:Manes.01G225900.v8.1 transcript:Manes.01G225900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVAANAEISRVFTTSTRPSSIKPRAIPPTIGGCSFNRLSWRFPLLSAPTTSKSRVFSMSKENDGRSADEPGGLTYKDAGVDIDAGSELVRRIARMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIKGIVDGCQQSDCTLLGGETAEMPDFYADGEYDLSGFAVGIVKKDSVIDGKNIEAGDVLIGLPSSGVHSNGFSLVRRVLARSGLSLMDKLPGEDITLGEALMAPTVIYVKQVLDFISKGGVKGIAHITGGGFTDNMPRVFPEGLGAVIYNNSWDVPTVFKWIQEAGRIEDAEMRRTFNMGIGMVLIVTREASRRLLEDGRYKAYRIGEVVRGEGVSYN >Manes.02G209200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25089574:25091604:-1 gene:Manes.02G209200.v8.1 transcript:Manes.02G209200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDAGLVTEIDEKRDDDNKHKHKHSSSSSDSETDNFSILNAVRKNRLFGRQKPLHLVLGGGKSADIILWRNKQASTGVFSAATVIWLLFECAGYHLLTFVCHSLILTLATLFLWSNLASFVNMSPPEFPKVTLPEHLFVNILLCLRAEINKAFITLRDVASGKDLKKFLSVIGTLWIVSVVGGWFSFLTLFYLVFVMLLTLPMLYEKHEDDVDTYAEKAWVEIKKQYAVLDEKFIQKIPILTSQKNQKQH >Manes.11G017701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1440048:1441514:-1 gene:Manes.11G017701.v8.1 transcript:Manes.11G017701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAYSIFILSLFVFTIVNLHPVSSEFDEYFFIFGDGLYDSGNTEYIIPDEYLPVYHSPYGNTYFKHGTGRYSDGRLIPDFIAHKVGFPDFIPPALNTSANFTYGANFASEGASVFDIQQNNSLNFRNQVRHFIELIKEWRADLQNITEVNRRLKKAVLLINIGTPDILNANITSNTTDAQLETITTEVIGNISDKIKVLYDLGARKFVFQTPPPLGFLPYVKQTRNDSIISVKLNIVALLVVDELYAALMEIKQLNPAFNFTIFGDFFPIFWRVLLPPLFGFNESRVACCGNGTVRGQGCGVLGYEYCVCGNKTEYLFFDGTHYSEAANKQLVELMWDKKSGYIVPYDLKDFFEISNSTSLKSAT >Manes.02G095200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440955:7444566:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440841:7444566:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440959:7444565:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440959:7444565:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440959:7444565:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440955:7444577:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440891:7444591:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440891:7444591:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440959:7444565:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440840:7444565:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G095200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7440955:7444565:1 gene:Manes.02G095200.v8.1 transcript:Manes.02G095200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSEGEEEGKITGGNQHLIVDDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISICAGDGFHNLKEIKTVELVKPTGWVYLSLSGTDPRETFVSTFMLQIAVLSNHLNGRDTHIRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.02G145900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11225226:11226566:1 gene:Manes.02G145900.v8.1 transcript:Manes.02G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHTKVQAMDSTIIAKLLLFALLISSTKALDPCASQADGSDLSIFPIYSKCSPFNPPKQDSLLNTVINMASKDPQRLKYLSSLAAQMTTSVPIAPGQQVLNIANYVVRVKLGTPGQLMFMVLDTSNDAAWVPCSGCTGCSSTTFITNTSSTYGSLDCSMAQCIQASGFSCPATGTSYCVFNQSYGGDSSFSASLVQDSLGLANDVIPNFAFGCINSISGRSIPPQGLLGLGRGPLSLLSQSGSLYSGVFSYCLPSFKSYYFSGSLKLGPAGQPKSIRTTPLLRNPHRPSLYYVNLTGVSVGRVWVPIAPELLTFDPNTGAGTIIDSGTVISRFVEPIYTAIRDEFRKQVGGSFSSLGAFDTCFAVTNEAVAPAITLHFTGLNLVLPMENSLIHSSAGSLACLAMAAAPNNVNSVLNVIANLQQQNLRIMFDVANSRLGIARELCN >Manes.01G140400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33184231:33194847:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMSQSNWQWENAIAGAVAGFATVAATHPLDVVRTRFQVNDGRVSNLPIYKNTAHAISAIARIEGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLNSVDYAVLGGSSKLAAIILTYPFQVIRARLQQRPSTDGVPKYMDSWHVVKETARFEGFGGFYRGITPNFLKNVPAASITFVVYENVLKLLKLTRRND >Manes.01G140400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33186250:33194847:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSVLCWRKLPHYLIPVIDGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLQVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLNSVDYAVLGGSSKLAAIILTYPFQVIRARLQQRPSTDGVPKYMDSWHVVKETARFEGFGGFYRGITPNFLKNVPAASITFVVYENVLKLLKLTRRND >Manes.01G140400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33184305:33191605:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMSQSNWQWENAIAGAVAGFATVAATHPLDVVRTRFQVNDGRVSNLPIYKNTAHAISAIARIEGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLQVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLLIMLCLGVLLNLLPLF >Manes.01G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33184231:33194847:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMSQSNWQWENAIAGAVAGFATVAATHPLDVVRTRFQVNDGRVSNLPIYKNTAHAISAIARIEGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLQVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLNSVDYAVLGGSSKLAAIILTYPFQVIRARLQQRPSTDGVPKYMDSWHVVKETARFEGFGGFYRGITPNFLKNVPAASITFVVYENVLKLLKLTRRND >Manes.01G140400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33184231:33194847:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSVLCWRKLPHYLIPVIDGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLQVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLNSVDYAVLGGSSKLAAIILTYPFQVIRARLQQRPSTDGVPKYMDSWHVVKETARFEGFGGFYRGITPNFLKNVPAASITFVVYENVLKLLKLTRRND >Manes.01G140400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33186250:33194847:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSVLCWRKLPHYLIPVIDGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLNSVDYAVLGGSSKLAAIILTYPFQVIRARLQQRPSTDGVPKYMDSWHVVKETARFEGFGGFYRGITPNFLKNVPAASITFVVYENVLKLLKLTRRND >Manes.01G140400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33184231:33194847:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSVLCWRKLPHYLIPVIDGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLNSVDYAVLGGSSKLAAIILTYPFQVIRARLQQRPSTDGVPKYMDSWHVVKETARFEGFGGFYRGITPNFLKNVPAASITFVVYENVLKLLKLTRRND >Manes.01G140400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33184231:33194847:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLSVLCWRKLPHYLIPGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLNSVDYAVLGGSSKLAAIILTYPFQVIRARLQQRPSTDGVPKYMDSWHVVKETARFEGFGGFYRGITPNFLKNVPAASITFVVYENVLKLLKLTRRND >Manes.01G140400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33184328:33191605:1 gene:Manes.01G140400.v8.1 transcript:Manes.01G140400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMSQSNWQWENAIAGAVAGFATVAATHPLDVVRTRFQVNDGRVSNLPIYKNTAHAISAIARIEGLKGLYAGFFPSVLGSTVSWGLYFFFYGRAKERYSKDRDKQLSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPLHQTQAYSGLYDALKTIMKDEGWSALYKGIVPGLFLQVSHGAIQFTAYEELRKVIVDYKSKGSRRNYKTSDADPLNSVDYAVLGGSSKLAAIILTYPFQVIRARLQD >Manes.01G224450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39054296:39055171:1 gene:Manes.01G224450.v8.1 transcript:Manes.01G224450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKIKKKDEREKRCKVLNSKWAEESGWCLFLFYVVNALVIHWFLYLIYFLNNSSMTPSTASLFRISIRFRRLPVQKVDAVSGLKLCTSSIPGSHVYNYFTLTLVNFLLKKTKKKKLWLIPFELFYFCSQKISQESMSFCCSRVQAFRGINCSYFPLLWFLPLFPSE >Manes.08G062200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:8343135:8347553:1 gene:Manes.08G062200.v8.1 transcript:Manes.08G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDSNWFSRWEEELPSPEELMPLSQTLITPDLALAFDIRNPTNTATINTTSLMQQNQPPPPPPPPPTANTPSPNHPLPHSQPNSAEYAADSADLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSSGGSGGINGTGGGGGGGLAAGSDAATEHLFASSPVPAHFLHPGRPNSDHYLPFVPVAALQHPHHQQQMAAAAAAVAHPQLHSQYHRQMGHFGSPPNGQFEHPFLARQTQQPVHRIGAPVHNTIPGYVEDLESASGNGGRKVLTLFPTGDD >Manes.14G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11641032:11642983:-1 gene:Manes.14G120200.v8.1 transcript:Manes.14G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHFLSTKIAALHSRKTREKHKKVEEKSHPPRKFYCGPLEPCIYLRRGSPPCYTIHSIHIISYQIQVLRRSCQNLRETHILYQKLLILSPLLNYFLQMKPSLVVLFLLLLSFLLHEAQGIRLEKGFMQAGHQKIHEDKSSLIERSNSAAFGEVILCKEARCTGMNRKLTTVTTSTPPPIAATTTKNDENLEEKANQLTPKGRSSDGEIGRKREKFTISSSPTSEHQEVTHEDQFVYIMDYSPAKRKPPIHN >Manes.17G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28766033:28769195:1 gene:Manes.17G082400.v8.1 transcript:Manes.17G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMKDKFKSFMKKVNTQLSSSSSGKFKGQSRVLGGASSSSSAPTNPIHARFLNPLTQTQFQSLLLSIPLPMPLPQKTPNFDQNKPAPLNNSTPNSKPANGFDPFDSLITCGKISQNGYSLNVFECPICGQPYGSEEEVSMHVESCANNNYNNNNASVGEGNVMGESSSGAGFWSVEVVLRLFRNVVREPDNAKFRKIRMGNPKIREAVSEVAGGVELLECVGFQLGEEGGEIWAVMEVPNEDRIRLINEVIGLLEPTKVEDKLSVAPAAAEEHVESKKIDTQIRVFFSVPESVAAKIELPDSFYSPSLEVLKREADARKKKIAESQLLIPKSYKEKQAKAARKRYRRTLIQSPDGVVLQSVFAPWELTSALYEFVRTSLKDPSLGFELLDPVMVKRQLIPCFPAAEERASTLEDEESVPSALIKFRPFETESSIFTGLCNELLEISEPLN >Manes.01G019100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4503024:4504728:-1 gene:Manes.01G019100.v8.1 transcript:Manes.01G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQSFSLLVVIILIILFTISSANAARYSVVTYGAKPDGRTDSTKAFLAAWTQACGSTKPATLYVPPGRFFLRNVAFKGPCKNNAILVLIAGTLVAPSDYRVIGNVRDWIFFQYVNGVTVSGGVLDGQGPGLWACKNSGKNCPGGATSLSFSNSDNIEINGLTSLNSQLYHIVINGCNNVKVQGVTVSASGNSPNTDGIHVQLSSGVSILNSRIRTGDDCVSIGAGTSNLRIEKVACGPGHGISIGSLGKDSEEPGVENVTVKTVTITGTQNGLRIKSWGRPSSGFVRNIIFQHAIMTNVQNPIVIDQHYCPNNENCPGQESGVKISDVTYQDIHGTSSTQVAVKFDCSKKNPCTGIKMQDVKLTYKNQPADASCNNADGSATGFVQPSSCL >Manes.06G011625.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1845067:1851888:-1 gene:Manes.06G011625.v8.1 transcript:Manes.06G011625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGTRNRGRGVRTARLADIGRPHRDPIVTPPPLEGVADHDLSESREGQGDSVSHGVESGAYSTAPSFPSALAVAPPVAPAAPPFVPPVAPAHPFQINADLGAFVAQVVTVAVTAKPRDPWEIVDRTRCLGAYDFEGSSDADIADKWLKKVLKVFELMKLTDADKVDNIHGLLQGKADGWFDGIRRRHRVRLTWDQFIYEFRQEYFSESYRKGKQDAFFRLFQGSLSIREYVDKFEDLYCFVSDILPSEKAKCDRFRQGLHVNIRSSMTWFRGNNFRELVEAALNVEKVKQEEKEYEQRMSRKHMQGSQGFRERPAKRGSSSFQSQAGYRGSGRGSFVNTEQQVARPQSSQSSVAQPVGSSFGAQTRGQGYNSGFEQRKRHFPQCATCGKYHAGECRKFDRGCFECGSSGHFKKDCPLLIAKDSGSQQGSVASQNLKYGMTPSQGVPTAQVGPSTSKASGATSSSQPRPMMQPGRPRTQARVFAMTQQEARASPEVVTEEDPASRIDNRGAPAT >Manes.16G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31178442:31181223:1 gene:Manes.16G106200.v8.1 transcript:Manes.16G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILINQPELGIRVEDQKHQHDVKELVLDGGFQVPDRFDAPEINSFGKSFRDYHAESERQKTVEEFYRQQHINQTYDFVKKMREEYSKLDKAVMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKVLLLPKFGELPQWAVVGDTFPLGCAFDESNVHHKYFKENPDAKNPAYGTKNGIYKEGCGLDNVMISWGHDDYMYLVAKENGTTLPPAALFIVRYHSFYPLHKAGAYTHLMNKGDEENLKWLHVFNKYDLYSKSKVLVDVDAVKPYYQSLIEKYFPAKLRW >Manes.05G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10178286:10181726:-1 gene:Manes.05G107100.v8.1 transcript:Manes.05G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDLRVSATLASYHKHPLRSSLPTSNSKVEFIGFPGRGSGTTPNRHKWPGIMLDRYKMQDHSRRFSGISGDYQLSSTSIRDDAESFLLNAINMSFLERLNLAWKIIFPSPARRKSSNARIAKQRLKMILFSDRCAVSDEAKQKIVRNVVHALSEFVEIDSEDKVQLSVTADLDLGTVYSVTVPVRRVRPEYQDAEETGSITNIEYKDTGDTSGSVDVRFDFFIPDERTR >Manes.03G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23771047:23773223:-1 gene:Manes.03G113300.v8.1 transcript:Manes.03G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLPISLSSYIQRDLLSSVLNKNQPTIYTYVSHNISLRHSSSLQKKGSYSPVQSSLLVIMALQAASLVSSGFVVPKEAKSNASFKDSSLFGVSLSDHLKADFSSSALSSQREPNQRVGAVVRAQTMATASPAVNRSAGEGKKTLRKGSVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGISKENYTIMHLDLASLESVRQFVDNFRQSGRPLDVLVCNAAVYQPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLDDLKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDMRGLAGGLNGLNSSSMIDGGDFDGAKAYKDSKVCNMLTMQEFDRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEDEAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDADKARKIWEISEKLVGLA >Manes.15G175484.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19531989:19534962:1 gene:Manes.15G175484.v8.1 transcript:Manes.15G175484.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEEKSAPSTAEDGIKYRGIKAMPFIIGNETFEKLGTIGTLANLLVYLTSVFNMKNITATLLINVFNGTSNVAPLVGAFLSDSYFGRYKSLAFASICSLLGMTVLTLTAAISKLHPPKCDAKQSGTCVEPTACQFAFLLSGFGFLVLGAGGIRPCNLAFGADQFNPATESGKQGINSFFNWYYFTFTFAMMISATFIVYIQSNISWTIGLAIPACLMFMSCALFFLGSKLYVKVKPEGSPITSLLQVLVAAAKKRRLDLPDNPALSLFDYIPANSINSRLLYTNQFRWLSKSAITTSEDQINFNGSAANPWKLCSIQQVEEAKCVLRVIPIWASAIIYFVAIIQQQTYVVFQALQSDRRLGNTGFQVPAASFIVFSMLSLTIWIPIYDRILVPSCRKLTGKEGGITILQRMGIGIVLSIITMLVSAVVEERRRHLALTTLTLGTAPKGGAISSMSALWLAPQLTLAGLTEAFNSIGQMEFYYKQFPENMRSVAGSFLFLGIAGSSYVSGFLVSIVHHITARSPGEDWLAEDLNKGKLDRFYYMIAALGVVNFGYFLTFAKWYRYKDSNCSSFELSLEAKQQSQKHLV >Manes.04G012950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1715879:1716607:1 gene:Manes.04G012950.v8.1 transcript:Manes.04G012950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYREEISNQTIIAKVLRSLTLKFDHVVAAIEESKDLATYSFDELMGSLQSYEARLCKSDEKNEEKVFHVKGEAASRRRGKGGYRDRGSHDKNDRGRGDGQRNEQYDQRTKTNYVKEEEEIKLFMAYEDSRITLVEGKCTVAIKNNNGNIKLMHNIYFVTSLIQDLLSIG >Manes.08G115700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35509292:35509869:-1 gene:Manes.08G115700.v8.1 transcript:Manes.08G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSIFFFFILVLANPSHQAPDLVTTTCDKTLYKDLCKSALATAPKTDVKDVQSLAKFALEMTSLNGGEIHKRITQLLTTNSDEFVKQCLTDCSTVYQDAIDQLNDSMVALDTKAFNDVNTWVSAAMTVAQSCEDGFKGKQGIVSPLTDMNKRFGQLCSLSLAITNQAAKN >Manes.10G076111.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14517724:14520940:-1 gene:Manes.10G076111.v8.1 transcript:Manes.10G076111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTLSDALMCKVFPTTLSGPARAWFNSLEAGSIKSFGDLATRFISRFIAGVPADRKTSYLETVKQKEGESLREYVARFNTEALQIPELDEGRAVEAMQKGTTSAEFFGSLSRKPPTSLAELMKRAEKYIRQDDALVTSRFAKGMAGKEKAPEERRPERHEKKHGKRPEPYKQAWERRDQRPPPPPRALEPRMLPPWVPKKPTPLNASRAEVLMAVQDKEFLQWPKPMKSEADQRNPDKYCQYHRSHGHDTNNYFQLIAEIERLIKRGHLKNFVKKPEGQRPQPGPTTQTPRRIGAGPVNDGSSGTINMIVGGTGGRMSRRGKKRNREGETSNSEVMQIIEHSPMTIAFSPEDAQGIQMPHDDALVIEAVINNFRVKKVLVDDGSKVNLLPYRVFQQMRIPEEQLVRDQSPIKGIGGAPILVEGKVKLALTLGEAPRARTHHEVFLVVKLPLSYNAILGRPALFDFEAVTSIRAILQGLKKRLDGAKENWAEELNSILWALRTTPRAPTKETPFALAYGTEAVVPVELQIPTHRVQFVSENTNEDKLRSNLDALEEVREEAQVRTAAYQQRAARYYNQKVRERSLKVGDLTLRNLEATGKRAAVGKLAPTWEGPFKVTKVVKPGVYRIEDMQGNPEPHAWNIQHLKRYFP >Manes.08G086800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29194440:29198084:1 gene:Manes.08G086800.v8.1 transcript:Manes.08G086800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTKAKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKAVAGEGLALPKYRGMLGTVATIAREEGLTALWKGIVPGLHRQCLFGGLRIGLYEPVKTFYVGSDFVGDVPLTKKILAALTTGALAITVANPTDLVKVRLQAEGKLPPGVPRRYTGALNAYSTIVRQEGVGALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRSLESS >Manes.08G086800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29194440:29198095:1 gene:Manes.08G086800.v8.1 transcript:Manes.08G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTKAKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKAVAGEGLALPKYRGMLGTVATIAREEGLTALWKGIVPGLHRQCLFGGLRIGLYEPVKTFYVGSDFVGDVPLTKKILAALTTGALAITVANPTDLVKVRLQAEGKLPPGVPRRYTGALNAYSTIVRQEGVGALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRSLESS >Manes.09G177100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36758509:36761324:-1 gene:Manes.09G177100.v8.1 transcript:Manes.09G177100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATTTTNLPPLHLSPTENKPTSQSSQKAVLDLLNTKCTSSLQNLKQLHAVILRNGHFQDHYISGTLLKCYANHRFSNLDFAFKMVSANSRPNKFTYPTLLKACGIAEATKEGLQVHAHVIKQRLSGDGHIRSAGIQMYSAFGYVVEARRILDEDGESDVICCNAMIDGYLKCGDVDSAKELFGKMEDRSVGSWNTMVSGLAKCGMVKEARELFDDMCEKDKISWSAMIDGYIKGGYYKEALEVFNVMQREEIKPRKFALSSVLAACANLGALDQGRWIHAYVKKNPNFLDAVVGTALVDMYVKCGRLDLAWDVFEELKEKETFTWNAMICGLAMHGRAEDAIELFFKMQSEKFRPNEITFVGILNACAHKGLVDEGLKILESMEKFCDIEPKMEHYGCVVDLLGRAGLLAEAEDFIFSMPVEPNAAVWGALLGACRIHGNAELGERVGKILLQLEPQNSGRYALLSNIYAKAGRWDDVANVRKLMKERGVKTTTGTSMIDLNGVVHEFKMGDGSHPEMKSVYIMLKSMMERLEMEGYMPNTSQVLFDIEEEEKETALRHHSEKLAIAFGLINTNPGSTIRIVKNLRMCEDCHSAVKLISQVYSRKIIVRDRARYHHFSNGECSCKNFW >Manes.01G215900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38523508:38528328:-1 gene:Manes.01G215900.v8.1 transcript:Manes.01G215900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVLKGSNGKRRKSSKMKGTLAKHLQVQLGEVSVLPFQFQQSSSSTTAVSNSSSLLQNLLDSEASDPQLHYLKENPSIGNIDIDEKDFILSQDLFCTPDYITPVDQILLNSFDCNKENVLCPESPEKLNTIKTKKLRQDVISVNTLTPALSENIENLEIARDAFCSDELKIEKTTVPGTQKNYVSQSAVALRCRVMPLPCIKNPFLMHASDVDIDPFGNKRSKCAGFFSAIVRGGGLSRYHSDFHEMQQIDSGSFSCAFKVLKRIDGCMYAVKHSTRQLHQDSERRKALMEVQALAALGHHENIVEYYSSWFENEQIYIQMELCDCSLSIHKSSKSFTEDEVLEVLHQIAKALQFIHEKGIAHLDVKPDNIYVKNGVYKLGDFGCATLLNQSLPIEEGDARYMPQGILNVNYNHLDKVDIFSLGAAIYELIRGSPLRQSGSQFLNLREGKLPLLPGHSLQFQNLLKVMLDPDPVQRPSAKEIIENPIFDKLPRNLRI >Manes.01G215900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38523885:38527998:-1 gene:Manes.01G215900.v8.1 transcript:Manes.01G215900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVLKGSNGKRRKSSKMKGTLAKHLQVQLGEVSVLPFQFQQSSSSTTAVSNSSSLLQNLLDSEASDPQLHYLKENPSIGNIDIDEKDFILSQDLFCTPDYITPVDQILLNSFDCNKENVLCPESPEKLNTIKTKKLRQDVISVNTLTPALSENIENLEIARDAFCSDELKIEKTTVPGTQKNYVSQSAVALRCRVMPLPCIKNPFLMHASDVDIDPFGNKRSKCAGAVLDHKCKFCVQEYCFFSAIVRGGGLSRYHSDFHEMQQIDSGSFSCAFKVLKRIDGCMYAVKHSTRQLHQDSERRKALMEVQALAALGHHENIVEYYSSWFENEQIYIQMELCDCSLSIHKSSKSFTEDEVLEVLHQIAKALQFIHEKGIAHLDVKPDNIYVKNGVYKLGDFGCATLLNQSLPIEEGDARYMPQGILNVNYNHLDKVDIFSLGAAIYELIRGSPLRQSGSQFLNLREGKLPLLPGHSLQFQNLLKVMLDPDPVQRPSAKEIIENPIFDKLPRNLRI >Manes.01G215900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38523885:38527998:-1 gene:Manes.01G215900.v8.1 transcript:Manes.01G215900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVLKGSNGKRRKSSKMKGTLAKHLQVQLGEVSVLPFQFQQSSSSTTAVSNSSSLLQNLLDSEASDPQLHYLKENPSIGNIDIDEKDFILSQDLFCTPDYITPVDQILLNSFDCNKENVLCPESPEKLNTIKTKKLRQDVISVNTLTPALSENIENLEIARDAFCSDELKIEKTTVPGTQKNYVSQSAVALRCRVMPLPCIKNPFLMHASDVDIDPFGNKRSKCAGFFSAIVRGGGLSRYHSDFHEMQQIDSGSFSCAFKVLKRIDGCMYAVKHSTRQLHQDSERRKALMEVQALAALGHHENIVEYYSSWFENEQIYIQMELCDCSLSIHKSSKSFTEDEVLEVLHQIAKALQFIHEKGIAHLDVKPDNIYVKNGVYKLGDFGCATLLNQSLPIEEGDARYMPQGILNVNYNHLDKVDIFSLGAAIYELIRGSPLRQSGSQFLNLREGKLPLLPGHSLQFQNLLKLLAS >Manes.14G097100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8063825:8067226:1 gene:Manes.14G097100.v8.1 transcript:Manes.14G097100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFKEEDQGECSQTIHNFQGYQEQLLLQHHLQMQQQQQQQQQQSNDIYGGARGTGLIFPEVSPILPWPLAPVHSFNPTHFVSNPVREQDPFLIPPVPSSYGNLFNRRAPPLQFAYDGPSSDHLRIISETLGPVVQPGSAAFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEIDELTVDTSDEDGKFVIKASICCEDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITGEEDSSSNSNEQQQHEEAQYSISSIQEALKAVMEKTGGDESSSGSTKRQRTNINILDQQHRSL >Manes.14G097100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8063833:8068765:1 gene:Manes.14G097100.v8.1 transcript:Manes.14G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFKEEDQGECSQTIHNFQGYQEQLLLQHHLQMQQQQQQQQQQSNDIYGGARGTGLIFPEVSPILPWPLAPVHSFNPTHFVSNPVREQDPFLIPPVPSSYGNLFNRRAPPLQFAYDGPSSDHLRIISETLGPVVQPGSAAFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEIDELTVDTSDEDGKFVIKASICCEDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITGEEDSSSNSNEQQQHEEAQYSISSIQEALKAVMEKTGGDESSSGSTKRQRTNINILDQQHRNYGSCSVTNVNTEK >Manes.05G142200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24188817:24197118:-1 gene:Manes.05G142200.v8.1 transcript:Manes.05G142200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSGLGHLFMTIFLHNISSFMVIPAITDVTMAAICPGRDECSLAIYLSGFQQAIIGLGTLVMMPLVGNLSDKYGRKALLSVPLALAIIPLATLAYSRSRYFFYAYYVLRTLTAMVCEGSVHCLSLAYVADNVPEGQRASAFGILSGIGSSAFVCGTLSTRFLSTASTFQVSTSVAIMALVYMRIFLQDSIVDGNLSTPILSSGKIKADATGNSSKSVQIFKTMPSLEDMLCLLKSSATLSRAAVVAFFINLSEVGLHSSLMYYLKARFHFNKNQFADLMVISGIAGTISQLLLMPILAPALGEGRLLSIGLFFTCAHMFLYSIAWSSWVPYAAAMLSILFVFSQPCMRTIVSKQVGASEQVALLKSGFKFLVGRLCLIVCLDQTVR >Manes.05G142200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24188817:24197118:-1 gene:Manes.05G142200.v8.1 transcript:Manes.05G142200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSGLGHLFMTIFLHNISSFMVIPAITDVTMAAICPGRDECSLAIYLSGFQQAADNVPEGQRASAFGILSGIGSSAFVCGTLSTRFLSTASTFQVSTSVAIMALVYMRIFLQDSIVDGNLSTPILSSGKIKADATGNSSKSVQIFKTMPSLEDMLCLLKSSATLSRAAVVAFFINLSEVGLHSSLMYYLKARFHFNKNQFADLMVISGIAGTISQLLLMPILAPALGEGRLLSIGLFFTCAHMFLYSIAWSSWVPYAAAMLSILFVFSQPCMRTIVSKQVGASEQGKAQGCISGIGSFANVVSPLIFSPLTALFLSDRAPFHFPGFSIMCVGFTSMIALIQSTIIRVAPPISNERISNNNYVDA >Manes.05G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24188817:24197118:-1 gene:Manes.05G142200.v8.1 transcript:Manes.05G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSGLGHLFMTIFLHNISSFMVIPAITDVTMAAICPGRDECSLAIYLSGFQQAIIGLGTLVMMPLVGNLSDKYGRKALLSVPLALAIIPLATLAYSRSRYFFYAYYVLRTLTAMVCEGSVHCLSLAYVADNVPEGQRASAFGILSGIGSSAFVCGTLSTRFLSTASTFQVSTSVAIMALVYMRIFLQDSIVDGNLSTPILSSGKIKADATGNSSKSVQIFKTMPSLEDMLCLLKSSATLSRAAVVAFFINLSEVGLHSSLMYYLKARFHFNKNQFADLMVISGIAGTISQLLLMPILAPALGEGRLLSIGLFFTCAHMFLYSIAWSSWVPYAAAMLSILFVFSQPCMRTIVSKQVGASEQGKAQGCISGIGSFANVVSPLIFSPLTALFLSDRAPFHFPGFSIMCVGFTSMIALIQSTIIRVAPPISNERISNNNYVDA >Manes.05G142200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24188817:24195771:-1 gene:Manes.05G142200.v8.1 transcript:Manes.05G142200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSHLFFTIFLSNFSTFMVIPTMTDVTMSALCPGQIECSLAIFLSGIQQVIIGLGTLVMMPLVGNLSDKYGRKALLSVPLALAIIPLATLAYSRSRYFFYAYYVLRTLTAMVCEGSVHCLSLAYVADNVPEGQRASAFGILSGIGSSAFVCGTLSTRFLSTASTFQVSTSVAIMALVYMRIFLQDSIVDGNLSTPILSSGKIKADATGNSSKSVQIFKTMPSLEDMLCLLKSSATLSRAAVVAFFINLSEVGLHSSLMYYLKARFHFNKNQFADLMVISGIAGTISQLLLMPILAPALGEGRLLSIGLFFTCAHMFLYSIAWSSWVPYAAAMLSILFVFSQPCMRTIVSKQVGASEQGKAQGCISGIGSFANVVSPLIFSPLTALFLSDRAPFHFPGFSIMCVGFTSMIALIQSTIIRVAPPISNERISNNNYVDA >Manes.07G123804.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32728247:32730459:-1 gene:Manes.07G123804.v8.1 transcript:Manes.07G123804.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKFPEKQFSFLSRNWNRKYHLLFCFQEVSMAGLQYNGIDVSTQAILRVDTFWFIDTLSRLLNFYRYDRYRCSAAATVMCQRVFLRHQPYYDHWEVATACMMIAKRNYTPNDAQKAEIFNYSFLLIHSGESFTRMVAPRGWKEKMLWVERMVLLLLQPDEMGVDLPHALFFYHTRFMQPHIRHSCYQLLNDGLLTTAFLVFPGHCLVAGALYAAKELFGYPFRDDWWELYGLTPDDVQAVGEFFCESQRIKGQSYFVLPSYKPSVMTLHTTVEELSQKLVDAKKEIQRLNEALAESHSTTN >Manes.07G123804.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32728247:32730459:-1 gene:Manes.07G123804.v8.1 transcript:Manes.07G123804.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKFPEKQFSFLSRNWNRKYHLLFCFQEVSMAGLQYNGIDVSTQAILRVDTFCYRYDRYRCSAAATVMCQRVFLRHQPYYDHWEVATACMMIAKRNYTPNDAQKAEIFNYSFLLIHSGESFTRMVAPRGWKEKMLWVERMVLLLLQPDEMGVDLPHALFFYHTRFMQPHIRHSCYQLLNDGLLTTAFLVFPGHCLVAGALYAAKELFGYPFRDDWWELYGLTPDDVQAVGEFFCESQRIKGQSYFVLPSYKPSVMTLHTTVEELSQKLVDAKKEIQRLNEALAESHSTTN >Manes.01G088000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28950686:28952199:1 gene:Manes.01G088000.v8.1 transcript:Manes.01G088000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKAAKSGRVDVLYKLLAQDPHLLKDLDTILIADTPLHVAARAGKNHFAMEVASLKPSLACKLNEDGLSPMHLALRNGHNGTARGLATVDSNLIRVKGKDRITSLHLVAEIGDIDLLEEFLLACPSAIEDLTVRRETAVHVAVKHQSLQALKFLFGWLHRKNMEDVLNWKDEEGNTVLHIGVLKNDLKAVELLINNVDNHAKNGNDMTAMDIFRRQSKSQNGEMGDILRRASLVSSFLNSLIRKRDQYLGFTVASQGLEIFTVDTRSIILVVAVLIATATYQAVLSPPGGYWQDNVDEHQAGKIIMSDFESSYFALLNSSAFCASVCTIIVLVMGLPFSFFLESSTLFISCSYFVSLLNTFPSLDKTTLSLLLLIGLVLGCVHLLAFEAHRRKRQQRKVGKYQWKYQVFSINPVQMRDNSSKFFSFLWRFLLKPSPASLECPPL >Manes.17G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24437073:24441612:-1 gene:Manes.17G047300.v8.1 transcript:Manes.17G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLYHNLPKFLLSTPSKREAHVATCSLSVYSLFRRIPLWEEMLGNCEKMVVISPTTNEWPQNQIDEKSLMPSTSKLMEKRGQELSQPQHQQQQPQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPNPAVEGATSVSGANPNLPSQTQIDISSTSNHINPLFYGLPTNPSEMNLPFTGRFNSRVSSSVDTVSVSGYDLQPQLNALALGFSSGIMSNEANGFNPAKQIQDVVTSSSLLSRSIFGSSSSTMTSPTMATLLASNLHQQKFDIKDFRAPNHFQTLTPFEDLQMSGNFESGISMKGVKIEQDKSRLEWNMPCQNQMEQIGFSSSDPSIYWNAATSTVGAWHDPPNIGSSITPLI >Manes.18G022600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2212159:2215848:-1 gene:Manes.18G022600.v8.1 transcript:Manes.18G022600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEASPRNGDVAPASAPHPLILGLQPVALIDHVVRVDWSLLDQVPGDRGGSIPVAIEELQHILGEVKTHMLASPDDTSPMRTIAGGSVANTIRGLSANFGVSCGIIGAYGDDEEGKLFVSNMGFNGVNLSRLRRKKGPTGQCVCLVDALGNRTMRPCLSNAVKVQADELTKEDFKGSKWVVMRYGIFNIEVTQAAIKIAKQEGVYVSLDLASFEMIRNFRSPLLQLLESGDIDLCFANEDEAMELLRDEQNADPEAALEFLAKYCKWAVVTLGPNGCIAKHGKETVRVPAVGEAKATDATGAGDLFASGFLYGLVKGLSLEECCKLGACSGGAVVQSLGGEVTPENRQWMYKQMQMKGLPNPDVRN >Manes.03G088500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19291413:19293093:-1 gene:Manes.03G088500.v8.1 transcript:Manes.03G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFAFLFLGFWHLFNHIRIHAQKPNSYISSPWFPTSKSRYIELFLIMIGSSISVSMELFIGPERHQPFDPDGTIPSNHLHNFEHSSISMTFFVYAAFAIVLDKIKPKAQYGLTQFLAAIAFGQQLFLFHLHSADHMGVEGQYHLLLQIVIVVSLATTLMGMGMAKSFMVSFVRSASIMYQGVWLITMGYVLWTPSLVPKGCLIHLEEGHQVVKCSGEESLHRAKSLVNIQFSWFLIGFTIFVMCFYLGMIKFYGEHKFEYSLTKEDQLLLEEDSDDVESQNSLSKSNSFMHIGRSSAPIDIER >Manes.18G003000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:717215:721381:1 gene:Manes.18G003000.v8.1 transcript:Manes.18G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWLRFNLLVFTIAILSTPVLSDLILSKVDRRIDLTSQIVRITSNLKVENAGSSLVSEVLLAFPELQAKNLVYLVATANEGKGKTKGSSVSLPIESANPKGMPPALNIYSVSLPKALGEGDAVTLDVLAVFTHTLKPFPEKITQADIQLVLYQDSAHYLSPYAVKFQSLSIKLPDGRIESYTKIENTKIHGSEIKYGPYENLQPFSFLPIVVHFETNQPFAVAKELVKEIEVSHWGNVQVTECYNIVHEGAKSKGEFSRLDYQARPQVRGASAIRHLVAKLPPRAHSIYYRDEIGNISTSHLWADSKKIELLMEPRYPMFGGWRTAFTIGYSMPLQDFLFESEGKRFLNISFASPINELVIDNLIVKVVLPEGSRDLSVSIPFAVKQRQESKISHLDVVGRPVVVLEKANIVPEHNQHFQVYYSFNKLSMLSEPFMLISGFFFLFVACIVYVHVDLSISKSSASYLAKLQWDEVRATIQQVESIIKQCLATHEKLEASLRDLSRIGDVQSCKTARKAADSLLKEHSKELKPLLAFLQSSPAASHILPKVEELVVKEKELQEKLMAKHSTIVDCYEKKLGGREIENRIAPQQQKVVALRQEVEDLLEYIDEI >Manes.07G088000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28053857:28056881:-1 gene:Manes.07G088000.v8.1 transcript:Manes.07G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTQRLNVVPTVTMLAVVKARLIGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGDVMKASSFALTEAKYVAGDNIKHVVLENVQNASIKVRSRQENVAGVKLPKFEHFSEGETKNDLTGLARGGQQVQACRVAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLAAARQFSEEQFAEKVSLQKGISLKSAHNLLSATTEKDEDIIF >Manes.03G016800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1417348:1419622:-1 gene:Manes.03G016800.v8.1 transcript:Manes.03G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALASSSVKIFTAPKSRPFPNIERLFSGPPRPSSLVMPRHPSAASIVAFSRRRHQNSAISSSSSKKKKKKSSLEDVKQEEDDIDEDAFEALFSMLEEDLKNDGSSIDDDDDEISEADFDKLQRALEEALGVGDDDDDDVEILGSAGDDVEDGNPIEEEEEEEEEEDNDDEQEEGPLKLKNWQLRRLARALKNGRRKTSIKSLAAELCLDRAIVLELLRDPPPNLVMMSAALPDEPAPTSSLPESKPIEIIAAESVTVDEVKSKSEKGVPVHVLRHRWSAQKRLKKVHVATLERVYRRTKRPTNAMISSIVQVTNLPRKRVVKWFEDKRNEDGIPDHRVPYQRSVLEVASSS >Manes.15G168650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14494067:14494889:-1 gene:Manes.15G168650.v8.1 transcript:Manes.15G168650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSFLAGLPPEFETAKSQILSDSEISSLHDVFTRVLRTESPIPSHPTSALVSRNDSGRHNNRGGSQHSREIGPTSDSGGIICYYCREPEHTKKTCQKLQNKNQRTQMAHMAVDAPSDKGILISEDEYAQFTQYQASLKSSNSSSITAIAESGNSTACLVSSSSKWVIDSGATDHMSGSFDEADYW >Manes.08G027000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2599498:2601730:-1 gene:Manes.08G027000.v8.1 transcript:Manes.08G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTNQGHPGSQTRETKSRPVGGTEFSWCKAVPGGTGITVLALLVSKFPDIPLIQAALHQLQTSHPFLRSKLHYDAATATFSFVTPPFPHIQIQLFDHSSTAGILSDNSNNNPSVTPFHLILEHEINKNPWSISQTTDQDIFFASVYTLSESQWVVVLRLHTGACDRASAVVVLRELLKLVSGRKENSDLELYNGNGRIGLGIEDCIPKEKSNKPFWARGIDVLGYSLNSFRLANLNFVDASSARCSQVLRLQMNPDETDRLLQGCKSRGIQLCAAITAAGLIAAHSSRGLPGDQRQKYGVVTLVDCRSLLHPLLNANHIGFYHGAIMNTHDVSGSDKLWELAKRCYMAFANAKNNNKHFTDMGDLNFLMCKAIDNPGLTPSSALRSACISVFEDTVIEDSNEVQAELGVEDYVGCASVHGVGPSVAIFDTIRDGCLDSACVYPSPLHSRDQMQKLIDDMKRILVDSCVNMAEGES >Manes.09G121500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32433685:32436929:1 gene:Manes.09G121500.v8.1 transcript:Manes.09G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRSLVMMLLFIWFFWLPGHRAQLPNSARELDALLQDYAYKALVRPRTGLPYDGTVPLDLDGIKIAALRLRSGSFRRKGFDMYKEFVIPQGVIEQPYVERLVLVYQNLGNWSMRYYPLAGYTYLAPVLGLLAYNASNLTATNLPELDIKASDKPITMKFPDVKSAPDGYIAKCVRFDLQGNSSFSNVASGNKCSTIQQGHFSIVVEYTAPSPAPSGGAPNVPEPNENVPGPSEHGKSNSKVWIIVGSVLGGILLLALLSFLVLWVHKLKERKKIQQMERAAEVGEALQMASVGEMKAPAAMVTRTQPTLENEYVP >Manes.14G133400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10665183:10667329:-1 gene:Manes.14G133400.v8.1 transcript:Manes.14G133400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGNLLPWNAFITSVDFFGNLYPTRHVEKVFFVAYMSSSIVVLIFVLSRGGWSKKLIYRLRMNWGFSMFVLSSMVPPTIDWLGRPKEAYAVTVASVVLCGLADGLIGGSLIGSAGKLPKEYIQAVFAGTASSGVLVSILRITTKASLPQTPQGLRISAHLYFIVTEIILMCCTVCCDFLYKLPIMEQHFKLLPVDDSLTCRPTFWGVARKIPWPIFGILSIYIVTLSVFPGFLAESLASKLLKDWYPFLLITV >Manes.14G117021.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11127502:11130750:1 gene:Manes.14G117021.v8.1 transcript:Manes.14G117021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQIQSYIAECSICQKNKSSTSLPASLLQPLPIPHQVWEDIAMDFIDGLPTSFGINSILVVIDRLSKYAHFLPLSHPYSAKVIVDKFVEGVVKLHGMPRSIISDRDPIFMSNFWREFFKLSGTQLNMSSSYHPEIDGQSEVTNRCLEQYLRCFASQQLRRWSIFLPWAEYWYNTSFHISIGVTPYRAFYGRPPPSVPRYEVGHSLFHEVDQMLASRDEILQELKIHLSRAANYMKQVADSKRRDVEFQVGDLVYLKLKPYRQQSVFRRASHKLSSRFYGPYLVEEKIGKLAYKLQLPTGSRIHPVFYVSLLKKHVGPDVPVSADFPYFSADGDVVLEPKTILKTRLIQSGSTFVRESLV >Manes.08G024200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2441389:2442141:1 gene:Manes.08G024200.v8.1 transcript:Manes.08G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEFAVPPVIFPSGGNPAVGAASNTQQRRVPIVPFQPPRPSNSGIPFMSFDIGSTSAYGAGPIGGGLTASSGAANFDDEEPLLDELGIHPDQIWSKTKSIMNPFRVNPTVHQDSDLSGPFFLYFSLCLFQLLAGKFQFGVILGWIVVSSIFLYVVFNMLAGRNGNLNLHTCTSVLGYCLLPVVMLSAFSLFTPQGGPIIFIIAGVFVIWATRACTNLMVAVADGGEEHRGLIAYACFLIYTLFSLLVIF >Manes.02G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2495471:2498014:1 gene:Manes.02G029300.v8.1 transcript:Manes.02G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGQQEKTKTVSKDQVIAKLKDDGDFDNLRLKIIRKVKDNEELRNSIISIVRQSAALNRPGAENMKPRQLLDAIYDEVGNKMMSKLSDGVWEIIRSGDGMKNEITETVQSVRNKLVEPERKEMGESSTHGVVLVHNEADNKSLVKASTVAVDGNLSNGEPQEPPGFSLSINHQNNHESREELQQPIHCQGPEEEQKEGFNHSKDRLEADDVGPSSPPGFSADIVHKQPTDDTDEDPDVPPGFG >Manes.02G029300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2495522:2497926:1 gene:Manes.02G029300.v8.1 transcript:Manes.02G029300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGQQEKTKTVSKDQVIAKLKDDGDFDNLRLKIIRKVKDNQEELRNSIISIVRQSAALNRPGAENMKPRQLLDAIYDEVGNKMMSKLSDGVWEIIRSGDGMKNEITETVQSVRNKLVEPERKEMGESSTHGVVLVHNEADNKSLVKASTVAVDGNLSNGEPQEPPGFSLSINHQNNHESREELQQPIHCQGPEEEQKEGFNHSKDRLEADDVGPSSPPGFSADIVHKQPTDDTDEDPDVPPGFG >Manes.11G111100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25745574:25749665:-1 gene:Manes.11G111100.v8.1 transcript:Manes.11G111100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYVDNHEGTTVMGFEVPKSPDSSYNNACPGNEDEARDPPIVPPHLQHTLLSYPASVDTSETIPAPQNVILNHLYIENREAPRSVVALGFTHRFHSKYVTVVLYKPVQRRGSTST >Manes.11G111100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25746150:25746577:-1 gene:Manes.11G111100.v8.1 transcript:Manes.11G111100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYVDNHEGTTVMGFEVPKSPDSSYNNACPGNEDEARDPPIVPPHLQHTLLSYPASVDTSETIPAPQNVILNHLYIENREAPRSVVALGFTHRFHSKYVTVVLYKPVQRRGSTST >Manes.11G111100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25745574:25749665:-1 gene:Manes.11G111100.v8.1 transcript:Manes.11G111100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYVDNHEGTTVMGFEVPKSPDSSYNNACPGNEDEARDPPIVPPHLQHTLLSYPASVDTSETIPAPQNVILNHLYIENREAPRSVVALGFTHRFHSKYVTVVLYKPVQRRGSTST >Manes.11G111100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25745574:25749665:-1 gene:Manes.11G111100.v8.1 transcript:Manes.11G111100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYVDNHEGTTVMGFEVPKSPDSSYNNACPGNEDEARDPPIVPPHLQHTLLSYPASVDTSETIPAPQNVILNHLYIENREAPRSVVALGFTHRFHSKYVTVVLYKPVQRRGSTST >Manes.11G111100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25745574:25749665:-1 gene:Manes.11G111100.v8.1 transcript:Manes.11G111100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYVDNHEGTTVMGFEVPKSPDSSYNNACPGNEDEARDPPIVPPHLQHTLLSYPASVDTSETIPAPQNVILNHLYIENREAPRSVVALGFTHRFHSKYVTVVLYKPVQRRGSTST >Manes.16G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28809226:28815135:-1 gene:Manes.16G081900.v8.1 transcript:Manes.16G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTQKSTLSTNMADLVDGSPTRRQFSYVDTMPVYVKELIAGGVAGGFAKTAVAPLERTKILLQTRTEGFQSLGVFHSLKKLLKHEGILGFYKGNGASVVRIVPYAALHFMTYEQYRGWILSNCPALGSGPVVDLLAGSVAGGTAVLCTYPLDLARTKLAYQVLDTSGSIRCKSVCVPPAYGGLKDVLRGVYKEGGIRALYRGIGPTLLGILPYAGLKFYVYEELKRHVPEEHQKSIMMRLACGAFAGLFGQTFTYPLDVVRRQMQVENLQPSIQGRVRYRSTLDGLSTIVRNQGWRQLFAGLSINYIKIVPSVAIGFTAYDMMKIWLRIPPRHNSQSVSTG >Manes.02G117518.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9007322:9008203:-1 gene:Manes.02G117518.v8.1 transcript:Manes.02G117518.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISPQKSQTCAEEADDGNYQSPKMLQRAQWLRAALLGASDGVLSTTSLMLGVGASEEDGRSMVLSGLAGALAGACSMAVGEFVSVSTQRDIEKATVSCRSSKNVGHNGFVMKLDIASTPPCIEEETRLGQTKLAMTPLENIQRSELICPSIEKVSPSNLPSAVTPGRSPVMRVLKEDARGSSGTSLDDNGGEVLIANPYKAAAASALAFMCGSCVPLLPAILVTQKVAKMVVIAVATSIALAIFGSLGAYLGGSAVKISALRVMVGGWIAMAITYGLLKPFDSDDKGSKATE >Manes.13G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3888338:3889058:-1 gene:Manes.13G030000.v8.1 transcript:Manes.13G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRVSILIISAILPLLLAPASEAAISCSDVLKDLRPCVKYLTNGSGAPPAACCAGASALASAATTTADKRAACACIKTAAQKINPNAQLAQSLPANCGISFPYTVSPNVDCSKIS >Manes.13G030000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3887148:3889054:-1 gene:Manes.13G030000.v8.1 transcript:Manes.13G030000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRVSILIISAILPLLLAPASEAAISCSDVLKDLRPCVKYLTNGSGAPPAACCAGASALASAATTTADKRAACACIKTAAQKINPNAQLAQSLPANCGISFPYTVSPNVDCSKIS >Manes.01G051530.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:10190236:10192733:-1 gene:Manes.01G051530.v8.1 transcript:Manes.01G051530.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNQIIPLAPTKFKPRSDEEFAELKPIKPRQEKSSKCLVYFLILLLILSAASLVLAEIFFRPRTPELGLNSVSVKNLVYANKNQSSSPFNMTLEAEFTIDNSNFGQFEFENATVSVFYRSKTVGKEIVDEGRVGASGTEKIKVKVKIRVSDTGNLSNDGILKLSSHAEFSGRVQLLKIAKKKRTAAVDCSMNVNLKSPAFEVQDLACT >Manes.03G198500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31547539:31551017:-1 gene:Manes.03G198500.v8.1 transcript:Manes.03G198500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRETPSNNIHTVQAERDLGANWEATEDYNTDASVNFAEAALLLQGSVQVYSRKVEYLHTLVLHALEFISQKRPEQSEGTSVQPEQSCSHAVSDEENDQFWCLDDISVEARNSLDDSTSKDASFYHFVKPPANLVVLEGDCLDTSGDGGELESYLLATNDLYRNFILLNPCDSVVVDDFLKGDETGKVPNSIYRGSSTCKSFQSPTRRSGGTARRSSLGKNLDENLKKPPMADAYDNYEDSNHEFDMEDGYSEPGNLEDSEDDDVNYDPWKPLNPHEPGNLKVKPFRKVKPYGRNGVNFAKQTSITTQFPLAMMHGTINPEFIEIWKARHKGYGSNSHSPPLYEKLRQSLSDECNNSNETFGDANNTNKGIEHDDEISDFEQPDEMSKSMYMDEDLSQNEKFDDSSTQFDANEAFRYEEPSSQASLEDLCRFHLDALLADIAETDKQTEMATRVSMWKQKIEHNLEEQDARSPFNIHAYGERILDKLSFEANRDNIMSFVDIVEGQKKHDVARIFSALLQLVNNGDVDLEKSGVAGESICYTAVNPFYIRLLSHDKRMKFQLPKKRKRSRDKFSLLTSPLINSSSEGESTVLPSQSNRKTSVKVGKVSQCKAHS >Manes.10G025950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2575926:2581225:-1 gene:Manes.10G025950.v8.1 transcript:Manes.10G025950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFKADFDKESCSRSINETVNGSHQFKIKGYSLAKGMGTGKCISSDIFSVGGYDWAIYFYPDGKNPEDSSMYVSVFIALASEGTDVRALFELTLVDQSGNGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLENSDYIKDDCLVMNCTVGVVRTRLEGPKEYSIPVPPSDMGQGLRELLESEVGCDIVFQVGDETFNAHKLILAARSPVFRAQFFGLVGDPNLDKVVVKDIDSSIFKAMLLFIYTDKLPDVHEITGVTSMCTSTNMVQHLLAAADLYNLDRLKLLCESKLCEELSADTVATTLALAEQHQCSQLKAICLKFAANPLNLGAVMQSEGFQHLEESCPSLLCEMLKTFASGDENSSLLSGRKRSGSSIYGLDLGADGAAAESVNPNARRVRRRF >Manes.01G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27108335:27116761:-1 gene:Manes.01G069800.v8.1 transcript:Manes.01G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPMISPLIVQSTPSSQLNVPATMPRPSLNLDTLTPSDHVNRLINSNHYISPSRTIYSDRFIPSRSSSNFALFNVSSSSPPPSSSLPASDGGKEDSSSAYAALLRSALFGPHTPDKRDSPGRNIFRFKTETRRSMHSLSPFGFDDERPGVSHSPVKTPRKVPRSPYKVLDAPALQDDFYLNLVDWSSHNVLSVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKVQIWDASRCKRVRTMEGHRLRVGALAWCSSVLSSGSRDKSILQRDIRAQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGASSLGRTTIR >Manes.07G111900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31728472:31729646:1 gene:Manes.07G111900.v8.1 transcript:Manes.07G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFHEAELDSITMANCLMLLSQRKETAFPSFQAIKNTGVINSPSRVFECKTCNRQFPSFQALGGHRASHKKPRLNGGGNRDGSSDTQSSSSSSPAKTKTHECSICGLEFAIGQALGGHMRRHRSAALNDQSNLRGPDTLSLDPTTSHIVKKSSSGRALCLDLNLTPYENDLELFRHGTKAPPMVIDCFL >Manes.12G049701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4677618:4680977:-1 gene:Manes.12G049701.v8.1 transcript:Manes.12G049701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHAVQYDSYGGGAAGLKHVEVPVPTPEKDEVLLKLEATTLNPIDWKIQEGVLRPLLPRKFPHVPCTDVAGEVLETGGGLAEFAVAKESLTVARPPEVSAAEASGLLVAGLTAHEALTKTAGIKLDGSGEHADILITAASGGVGHYAVQLAKLGNAHVTATCGARNMEFVKSLGADEVLDYKTPEGAALKSPSGRKYDAVIHCTSGIPWSTFEPNLSENGKVIDITPGVNTMITCAVKKVTCAKKQLVPLILTAKAENLDYLVKQVKAGKLKTVIDSKHPLSEAEYAWAKSIGGHATGKVIVEP >Manes.12G049701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4677618:4680977:-1 gene:Manes.12G049701.v8.1 transcript:Manes.12G049701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHAVQYDSYGGGAAGLKHVEVPVPTPEKDEVLLKLEATTLNPIDWKIQEGVLRPLLPRKFPHVPCTDVAGEVLEVGSGVKNFRTGDKVVAMLSHATGGGLAEFAVAKESLTVARPPEVSAAEASGLLVAGLTAHEALTKTAGIKLDGSGEHADILITAASGGVGHYAVQLAKLGNAHVTATCGARNMEFVKSLGADEVLDYKTPEGAALKSPSGRKYDAVIHCTSGIPWSTFEPNLSENGKVIDITPGVNTMITCAVKKVTCAKKQLVPLILTAKAENLDYLVKQVKAGKLKTVIDSKHPLSEAEYAWAKSIGGHATGKVIVEP >Manes.02G188500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15104456:15105091:1 gene:Manes.02G188500.v8.1 transcript:Manes.02G188500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNRQDLIERSEDSDDYYYLKDDDPDESYYLYLVNAILSGTARLNVLLPTATILAFTIFAPLLTNDGQCTRLNRWLMGMFWALLAASCVFFTFTDSFRTSSSRLFYGVATFRGIWTFNGGRKKPNVPSDYRLRWADLFHASLSLIAFLAFAGLHTDVVRCYYPAMPRKVINIVPLITGFVISGLFVLFPSKRRGIGYPFLLQRNAFYSR >Manes.13G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15993621:15996708:1 gene:Manes.13G086400.v8.1 transcript:Manes.13G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Manes.15G170200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14862112:14863602:1 gene:Manes.15G170200.v8.1 transcript:Manes.15G170200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVKIDIITREIIKPSSPTLQHLRFMKLSLLDQLNFTMSTPILFFYPNKIQNIKQKSQLLKSSLSKTLTLFYPLAGKLKDHSFIHCNDHGVEFVDALVDCPMTDIFQNPDNFLLEKFISVDFNHTESSFRGAVIQANFFTCGGLAIGVSLSHKIADAASLATFLMAWTAASLAKETPHTVVPHFISDTIFPSPADSLTLPPPPPLLLTIETTTRRYVFDASNVSMLKAKAASATVQTPTRVEAITAFLWKCLMNLSGKKLSRFIKQSVLIQSVNLRPRFDPPMPENYIGNMSGKFLVETKDRNIELQGLVVLLRKGLEQVSKEIEGMDMEGILEFAKKFGELHGRDDVDMYSFTSLCGFPLYEVDFGWGKPMWATVTNLVLKNYVVLMDTRDGKGIEVCLSLSKEDVELLERNNQELLTFASVNPYLEACF >Manes.08G166700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40143750:40148504:1 gene:Manes.08G166700.v8.1 transcript:Manes.08G166700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGQFTWKLADHPKLPKEKIIAMVVLDGWGEANPDEYNCIHVAETPTMDSFKKTAPEKWRLIRAHGTAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIFEGEGFKYIKESFATNTLHLIGLLSDGGVHSRLDQLQLLLKGAAERGAKRIRVHVLTDGRDVIDGTSVGFVETLDKDLAILREKGVDAQIASGGGRMHVTMDRYENDWNVVKRGWDAQVLGEAPHKFRNALEAVKKLREEPKANDQYLPPFVIVDENGKPVGPIVDGDAVVTFNFRADRMVMIAKALEYEKFDKFDRVRFPKIRYAGMLEYDGELKLPSHYLVSPPEIEKTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGCFNPEMEEYVEIPSDVGITFNVKPKMKALEIAEKARDAILSRKFHQVRVNLPNGDMVGHTGDIDATVVACKAADDAVKMIIDAIEQVGGIYVVTADHGNAEDMVKRDKSGKPAVDKSGKIQILTSHTLQPVPIAIGGPGLAAGVRFRNDVPSGGLANVAATVINLHGFEAPGDYEPTLIEVVD >Manes.03G143100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27115684:27118492:1 gene:Manes.03G143100.v8.1 transcript:Manes.03G143100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGGVIKMQSGEAPASMEVEAIPNGSGTQSGSLPSKPKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKAWMEIYTPIYEQMKIDIRMNLKARKVELKTRPDTPDISNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRVVIADTKIHILGSFQNIKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >Manes.03G143100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27115682:27118492:1 gene:Manes.03G143100.v8.1 transcript:Manes.03G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEAPASMEVEAIPNGSGTQSGSLPSKPKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKAWMEIYTPIYEQMKIDIRMNLKARKVELKTRPDTPDISNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRVVIADTKIHILGSFQNIKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >Manes.17G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21491799:21493875:-1 gene:Manes.17G036300.v8.1 transcript:Manes.17G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGWVHVLLLLHLSYLTNRLILAQEDQEQATPSAPPPSVEKCNGIFLSYQFLSRTKEYPRLKNATAQGWAFQSTATILNTGMVELEAWEIYIGFQHREVLVGAEGAILVGSDDFPADASNGTHLSGSASSVLKTAADTAGDLDQMQVQIKLTGTQFGVKPPRIPMPKTIKLVNPGYKCPAVKTHKTAMWVCCTKEKIKRKPKKVVKTKFFPRQEGDLSISYDITAAYDSSYMALVTMENNSPLGRLDHWNLTWEWMRGEFIYSMKGAYTRLVDYTDCIYGAAGQFYQQMDFSNVLNCQKKPIISDLPKERANDTKIGKVPNCCRNGTILPKLMDPSQSKSAFQMQVYKLPPDMNRTAINPPQRWKIVGLLNPEYKCSAPIRVAPTEFPDTSGLQFSTLAIATWQIVCNITTRKAKTSRCCVSFSAYYNESVIPCNTCACGCDDTSTCNEDAQAMLLPSEALLVPFSNRTAKAKAWAKLKHFRIPKRLPCGDNCGVSINWHINTDYKTGWSARITLFNWQEINFENWFTAIQLKKTSSGYEKAYSFNGTLLKDHKNTIFLQGLVGLNFLMGETNGTNPKSDPKVPGKQQTVISFTKKHHRRVNIVKGDGFPSKILFNGEECSLPKRIPIANGNHCHVNLLHVALPVFVAFALMGLKNQY >Manes.15G021700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1721550:1727984:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.15G021700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1722295:1725883:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.15G021700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1722310:1727361:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.15G021700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1721550:1727361:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.15G021700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1722311:1727361:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.15G021700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1722311:1727361:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.15G021700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1721550:1727361:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.15G021700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1721550:1727361:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.15G021700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1721550:1727361:-1 gene:Manes.15G021700.v8.1 transcript:Manes.15G021700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKSAGEDGSICLQVVELHRLSETYGSGATIFEPRSSIEKRDSNANSASTSTTSVRAPEKKLTLFALRLAVFEKSATGLGTLGFIWATVVLLGGFAITLDTTDFWFITVILLIEGTRIFSRSHELEWQHQATWSIADAGISSFRALRSSSHFVVDALKSLFRRITPVRKRSQHSREVMGSCDAANSRNWDCQRKTTRTWTSSDVPILPYAQWFFLTRNVSKLLYWLQLASATACVVLSSMKLIKHNYGEIAKGDTDKRNRQAALTIFYALALSEALLFLMEKAYWEWKVIYCKLLEEVNRECELGPSGMISIKRFFYDAYSRCVNGSIFDGLKMDLVTFAMDLLASNSPDEQLIGVQILRQFAMSERFSDDTLQKIGTNISVIERLVEMLNWKDPDEEVIRRSAAETLSELAGKKQNSLRVAGIPGSLESISSLLQTNRSSSTTADEIGEKTTVADQVHYESWTFNHLGLLILKKLAHDHDNCGKIGNTRGLLPKIIDLTHTGERILKDENVAHSQILTVKRSLQVVKMLASTTGTTGTHLRREISEIVFTISNIRDILRHGEKQPMLQKLSIEILTNLALEADATERIGGTGGILKELFNIFFRYGAPESPNHVRIAAGEALAMLALESRNNCHRILKLMVLERLVEALEDPLLRVNAARILRNLCAYSGTDCFSDLKGVTAAAPTVLKAIMSEENKLQEVMVGLAAEVFKFMSSQESSMMFKRTGIKEAELASRIVQVLKKHENPSTKVPRIRRFVIELAIWMMRENKENVNILRDLGLEKELEHVLETTAELESFNIFSGTVGLSRHSITIHSLIETAMKLLERATETE >Manes.04G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7927836:7934969:1 gene:Manes.04G048700.v8.1 transcript:Manes.04G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSDYEEEGHQGNGEDFEIDKYDARGGTGGSSPQPRTSSHSHGGADDHTVSKSKHGSHDYPRESSKSREKERERGRDKERDRDLERDIDKERDRYLERDRDRNRERDKDKERSRDRDRERERDKDSDFHHGRRDRHRDHGERREQGRDRVNNDHYRSRNYVSDRHRDYDRDREDRHRNRSQSPSRGRSEKRSKSHSRSRSRSKRISGFDMAPSPSAMLMNPAAGAVGAATAGQNPGTHPAIPGIFPNMFPLGTGQQFSPLPIMPIQAMTQQATRHARRVYVGGLLPTANEQSVATFFSHVMAAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLSPSSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLQGFDLVKDRETGNSKGYAFCVYQDTAVTDIACAALNGIKMGDKTLTVRRANQGASQPKPEQESVLLHAQQQIALQKLMLQPVPTKVVCLTQVVSVDELKDDDEYEEILEDMRMEGGKFGTLVNVVIPRPKPGGEASPGVGKVFLEYSDVEGATKARAGMNGRKFGGNQVVAVFFMENKFYQCEYDA >Manes.04G048700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7927836:7934969:1 gene:Manes.04G048700.v8.1 transcript:Manes.04G048700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSDYEEEGHQGNGEDFEIDKYDARGGTGGSSPQPRTSSHSHGGADDHTVSKSKHGSHDYPRESSKSREKERERGRDKERDRDLERDIDKERDRYLERDRDRNRERDKDKERSRDRDRERERDKDSDFHHGRRDRHRDHGERREQGRDRVNNDHYRSRNYVSDRHRDYDRDREDRHRNRSQSPSRGRSEKRSKSHSRSRSRSKRISGFDMAPSPSAMLMNPAAGAVGAATAGQNPGTHPAIPGIFPNMFPLGTGQFSPLPIMPIQAMTQQATRHARRVYVGGLLPTANEQSVATFFSHVMAAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLSPSSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLQGFDLVKDRETGNSKGYAFCVYQDTAVTDIACAALNGIKMGDKTLTVRRANQGASQPKPEQESVLLHAQQQIALQQKLMLQPVPTKVVCLTQVVSVDELKDDDEYEEILEDMRMEGGKFGTLVNVVIPRPKPGGEASPGVGKVFLEYSDVEGATKARAGMNGRKFGGNQVVAVFFMENKFYQCEYDA >Manes.04G048700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7927836:7934969:1 gene:Manes.04G048700.v8.1 transcript:Manes.04G048700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSDYEEEGHQGNGEDFEIDKYDARGGTGGSSPQPRTSSHSHGGADDHTVSKSKHGSHDYPRESSKSREKERERGRDKERDRDLERDIDKERDRYLERDRDRNRERDKDKERSRDRDRERERDKDSDFHHGRRDRHRDHGERREQGRDRVNNDHYRSRNYVSDRHRDYDRDREDRHRNRSQSPSRGRSEKRSKSHSRSRSRSKRISGFDMAPSPSAMLMNPAAGAVGAATAGQNPGTHPAIPGIFPNMFPLGTGQQFSPLPIMPIQAMTQQATRHARRVYVGGLLPTANEQSVATFFSHVMAAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLSPSSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLQGFDLVKDRETGNSKGYAFCVYQDTAVTDIACAALNGIKMGDKTLTVRRANQGASQPKPEQESVLLHAQQQIALQQKLMLQPVPTKVVCLTQVVSVDELKDDDEYEEILEDMRMEGGKFGTLVNVVIPRPKPGGEASPGVGKVFLEYSDVEGATKARAGMNGRKFGGNQVVAVFFMENKFYQCEYDA >Manes.04G048700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7927836:7934969:1 gene:Manes.04G048700.v8.1 transcript:Manes.04G048700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSDYEEEGHQGNGEDFEIDKYDARGGTGGSSPQPRTSSHSHGGADDHTVSKSKHGSHDYPRESSKSREKERERGRDKERDRDLERDIDKERDRYLERDRDRNRERDKDKERSRDRDRERERDKDSDFHHGRRDRHRDHGERREQGRDRVNNDHYRSRNYVSDRHRDYDRDREDRHRNRSQSPSRGRSEKRSKSHSRSRSRSKRISGFDMAPSPSAMLMNPAAGAVGAATAGQNPGTHPAIPGIFPNMFPLGTGQFSPLPIMPIQAMTQQATRHARRVYVGGLLPTANEQSVATFFSHVMAAIGGNSAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLSPSSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLQGFDLVKDRETGNSKGYAFCVYQDTAVTDIACAALNGIKMGDKTLTVRRANQGASQPKPEQESVLLHAQQQIALQKLMLQPVPTKVVCLTQVVSVDELKDDDEYEEILEDMRMEGGKFGTLVNVVIPRPKPGGEASPGVGKVFLEYSDVEGATKARAGMNGRKFGGNQVVAVFFMENKFYQCEYDA >Manes.11G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30012829:30015476:-1 gene:Manes.11G135000.v8.1 transcript:Manes.11G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLQLPNHGGTTKNQSKFLISTNNKLNLFNCFLPMDCAFVPHISCPRILIHSSFHRIFAAPSSAGTGLYRHRLLSRCWGIGVSPGIGRGNFSDDSSLKGNENGSLQLSTFDESATAPFETLDAEITPETIDFFVSDAEGDPDCPSPGYSSIEQAISSLRQGKFVIVVDDENGDIEGNIAMAASLTSPKHVAFMVKHGSGIVSVGMKEEDLEKLKLPLMSPETEDEDSSAPTFTITVDAKTGTSTGVSAEDRAKTVLALASPESKPEDFRRPGHVFPLKYRSGGVLRRAGHTEASVDLVMLAGLQPVSVLSAVVDPEDGSMTSLAGLRNLALEHSIPIVSITDLIRYRRKRENLVERTAISRLPTKWGLFQAYCYKSKLDGTEHVAVVKGDVGAGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQLIEQAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFTGLKGYGLAVIGRVPVLTSITEENKRYLETKRTKMGHIYGSDIQGPLAGFIKNPNINHTDSLEEE >Manes.01G069500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27068926:27074772:-1 gene:Manes.01G069500.v8.1 transcript:Manes.01G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTAIDHSFSLGGSHHTQGQEAVPFQTNKGSLKVCLLHGNLDIWVKEAKNLPNMDMFHKTLGDMFSKMPMKISRVSNKITSDPYVTISLSGAIIGRTFVINNSENPVWMQHFNVPVAHHAAELHFVVKDNDVVGSQLIGAVGIPAEQLCSGTRIEGIFPILGTNGKPCKPGAVLSLSIQFTPVQQMAIYKHGVGSGPDYNGVPGTYFPLRRGGKVTLYQDAHVHDGCLPDVRLDGHVQYEHGTCWLDIFNAISQARRFIYITGWSVYHKVRLVRDGHEGKDCTLGDLLKIKSQEGVRVLLLVWDDPTSRSILGYKTEGIMNTNDEETRRFFKHSSVQVLLCPRSGGKGHSFMKQQEVGTIYSHHQKTVIVDADAGHFKRKIVAFIGGLDLCMGRYDTPQHPLFRTLETVHKDDIHNPTFVEPVAGFPRQPWHDLHCKIDGPAAYDILTNFEERWLKASKPRGIHKLKASYDDALLKLERIPEIIGIAEASSHADNDSETWHVQVFRSIDSTSVKGFPDEPKDATSRNLLCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWDSHKDIGANNLIPMEIALKIANKIRANERFSAYILIPMWPEGVPSGAPTQRILYWQQKTMQMMYETIYKALVEVGLENTYHPQDYLNFFCLGNREALDRENSLNGQTPNSTSTPSALSRKNRRFQIYIHSKGMIVDDEYVIMGSANINQRSMDGTRDTEIAMGAYQPQHTVARHSHAHGQIYGYRMSLWAEHIGGTEECFKQPESLECVRRVRSLGELYWRQYAADNVTEMKGHLLKYPVDVDRTGKIKALPGCETFPDVGGNILGSFIAIQENLTI >Manes.01G186500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36350156:36353915:-1 gene:Manes.01G186500.v8.1 transcript:Manes.01G186500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEIAKKLGLSESKNLVRKASELRRLCDVQFDSSIIGVGEVCKAIICLEIAATRFQVIFDRQAAIRLSGMSEKAYNRSFNLLQNSLGVKAKLDIRELGIQFGCVRLIPFVKNGLSSYKERFLASLPASRRTTADFTRPVFTAVAFYLCAKKHKLKIDKLKLIEVCGTSESEFKCVSTSMKDLCHDIFGISKEKKDPREVKGNRDLLDVLPEKRKFEDGGYLSDDGEEHSSYKKRKRMDKVAYEEWKSSIISSNGKNKAKGTCKRTRQTRLNFLKEVPETA >Manes.01G186500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36350156:36353915:-1 gene:Manes.01G186500.v8.1 transcript:Manes.01G186500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEIAKKLGLSESKNLVRKASELRRLCDVQFDSSIIGVGEVCKAIICLEIAATRFQVIFDRQAAIRLSGMSEKAYNRSFNLLQNSLGVKAKLDIRELGIQFGCVRLIPFVKNGLSSYKERFLASLPASRRTTADFTRPVFTAVAFYLCAKKHKLKIDKLKLIEVCGTSESEFKCVSTSMKDLCHDIFGISKEKKDPREVKGNRDLLDVLPEKRKFEDGGYLSDDGEEAQFIPWTFAGIELFIRG >Manes.01G186500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36350156:36353915:-1 gene:Manes.01G186500.v8.1 transcript:Manes.01G186500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEIAKKLGLSESKNLVRKASELRRLCDVQFDSSIIGVGEVCKAIICLEIAATRFQVIFDRQAAIRLSGMSEKAYNRSFNLLQNSLGVKYKERFLASLPASRRTTADFTRPVFTAVAFYLCAKKHKLKIDKLKLIEVCGTSESEFKCVSTSMKDLCHDIFGISKEKKDPREVKGNRDLLDVLPEKRKFEDGGYLSDDGEEHSSYKKRKRMDKVAYEEWKSSIISSNGKNKAKGTCKRTRQTRLNFLKEVPETA >Manes.11G050250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6402048:6403659:1 gene:Manes.11G050250.v8.1 transcript:Manes.11G050250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAINFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.14G147050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16220052:16220800:1 gene:Manes.14G147050.v8.1 transcript:Manes.14G147050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIYELSNVLKAIGSAYTTRELECVMDEVDTNKDGFINLQEFAELYLSFFSVPLTLS >Manes.13G058400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6708508:6712977:-1 gene:Manes.13G058400.v8.1 transcript:Manes.13G058400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENPTPAAQPDSTAVIKRYAPPNQRNRSLNRRKSGDRYDRSSSLYATDVDKNPQHAPPRNLPIVDHGDVGSSNLLNEKPRPGLIFLEGCCRSEASQLLNDRWAQAMHNCNDVSIDLSGEWNLPWKSFILC >Manes.13G058400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6706437:6712977:-1 gene:Manes.13G058400.v8.1 transcript:Manes.13G058400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENPTPAAQPDSTAVIKRYAPPNQRNRSLNRRKSGDRYDRSSSLYATDVDKNPQHAPPRNLPIVDHGDVGSSNLLNEKPRPGLIFLEGCCRSEASQLLNDRWAQAMHNCNDVSIDLSERPVMYSGSSASAWGHIRLPHQFISSANTGGLSGSQMDFLSELRGAIRNASASSDN >Manes.03G204100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31938972:31942677:-1 gene:Manes.03G204100.v8.1 transcript:Manes.03G204100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFNGVNFTVRKKRSSVSRRPCSDSQKFSQTHTLLPQFTQSLGSAYNEEESEAKVGSDGLRSENKLKKLKLKLGGVTHTIHTKYASESSPCFDISQPQEKFLFQDSSFRKDYSSGKMHAESLYEHESVRKSKRIPKRRVIDMGFDGDDDDADEEIRYLGRISASKVSSDGIQMEDKIYVDMKDYYLSNSNKDGIAKLRSEKLYEDRDYMEDEKPMSDDEPGYKSKTLGFVEGRNKKGPNLIEFPNGLPPAPPKKQKAKLSEVEMQLKKAEAAQRRRIQSEKAAREAEAEAIRKILGQDSGRKKKEEKMKKLHDELAQGRAAKSSTLGSNTIRWVLGPTGTVVIFSDDLGLPKIFNSVPCSYPPPREKCAGPNCTNAYKYRDSKSNLPLCSLHCYKAIHGKMQALITC >Manes.03G204100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31938972:31941629:-1 gene:Manes.03G204100.v8.1 transcript:Manes.03G204100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFNGVNFTVRKKRSSVSRRPCSDSQKFSQTHTLLPQFTQSLGSAYNEEESEAKVGSDGLRSENKLKKLKLKLGGVTHTIHTKYASESSPCFDISQPQEKFLFQDSSFRKDYSSGKMHAESLYEHESVRKSKRIPKRRVIDMGFDGDDDDADEEIRYLGRISASKVSSDGIQMEDKIYVDMKDYYLSNSNKDGIAKLRSEKLYEDRDYMEDEKPMSDDEPGYKSKTLGFVEGRNKKGPNLIEFPNGLPPAPPKKQKAKLSEVEMQLKKAEAAQRRRIQSEKAAREAEAIRKILGQDSGRKKKEEKMKKLHDELAQGRAAKSSTLGSNTIRWVLGPTGTVVIFSDDLGLPKIFNSVPCSYPPPREKCAGPNCTNAYKYRDSKSNLPLCSLHCYKAIHGKMQALITC >Manes.03G204100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31938669:31942827:-1 gene:Manes.03G204100.v8.1 transcript:Manes.03G204100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFNGVNFTVRKKRSSVSRRPCSDSQKFSQTHTLLPQFTQSLGSAYNEEESEAKVGSDGLRSENKLKKLKLKLGGVTHTIHTKYASESSPCFDISQPQEKFLFQDSSFRKDYSSGKMHAESLYEHESVRKSKRIPKRRVIDMGFDGDDDDADEEIRYLGRISASKVSSDGIQMEDKIYVDMKDYYLSNSNKDGIAKLRSEKLYEDRDYMEDEKPMSDDEPGYKSKTLGFVEGRNKKGPNLIEFPNGLPPAPPKKQKAKLSEVEMQLKKAEAAQRRRIQSEKAAREAEAEAIRKILGQDSGRKKKEEKMKKLHDELAQGRAAKSSTLGSNTIRWVLGPTGTVVIFSDDLGLPKIFNSVPCSYPPPREKCAGPNCTNAYKYRDSKSNLPLCSLHCYKAIHGKMQALITC >Manes.03G204100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31938967:31942714:-1 gene:Manes.03G204100.v8.1 transcript:Manes.03G204100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFNGVNFTVRKKRSSVSRRPCSDSQKFSQTHTLLPQFTQSLGSAYNEEESEAKVGSDGLRSENKLKKLKLKLGGVTHTIHTKYASESSPCFDISQPQEKFLFQDSSFRKDYSSGKMHAESLYEHESVRKSKRIPKRRVIDMGFDGDDDDADEEIRYLGRISASKVSSDGIQMEDKIYVDMKDYYLSNSNKDGIAKLRSEKLYEDRDYMEDEKPMSDDEPGYKSKTLGFVEGRNKKGPNLIEFPNGLPPAPPKKQKAKLSEVEMQLKKAEAAQRRRIQSEKAAREAEAEAIRKILGQDSGRKKKEEKMKKLHDELAQGRAAKSSTLGSNTIRWVLGPTGTVVIFSDDLGLPKIFNSVPCSYPPPREKCAGPNCTNAYKYRDSKSNLPLCSLHCYKAIHGKMQALITC >Manes.03G204100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31938670:31942872:-1 gene:Manes.03G204100.v8.1 transcript:Manes.03G204100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFNGVNFTVRKKRSSVSRRPCSDSQKFSQTHTLLPQFTQSLGSAYNEEESEAKVGSDGLRSENKLKKLKLKLGGVTHTIHTKYASESSPCFDISQPQEKFLFQDSSFRKDYSSGKMHAESLYEHESVRKSKRIPKRRVIDMGFDGDDDDADEEIRYLGRISASKVSSDGIQMEDKIYVDMKDYYLSNSNKDGIAKLRSEKLYEDRDYMEDEKPMSDDEPGYKSKTLGFVEGRNKKGPNLIEFPNGLPPAPPKKQKAKLSEVEMQLKKAEAAQRRRIQSEKAAREAEAEAIRKILGQDSGRKKKEEKMKKLHDELAQGRAAKSSTLGSNTIRWVLGPTGTVVIFSDDLGLPKIFNSVPCSYPPPREKCAGPNCTNAYKYRDSKSNLPLCSLHCYKAIHGKMQALITC >Manes.03G068632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9903090:9905068:1 gene:Manes.03G068632.v8.1 transcript:Manes.03G068632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSFGKVWDCLCLSSGSSSSCFCLNSLENEDYVFEKRPLIASDKGQVLRMKDVVSDTQTLAFQLKPKMVVLKVSMHCHGCARKVEKHVSKLEGMTSYKVDLESKMVVVIGDIIPFEVLESVSKVKYAEIWNSPF >Manes.16G014550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1436837:1438046:-1 gene:Manes.16G014550.v8.1 transcript:Manes.16G014550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGTARLFPTNQSEDATSKIMGTFGYMAPEYAFRGIVSVKSDVFSFGVLILEIISGQNINKFQIDEEGSLLTYAWENWNAGTASKIIDPVLVGAASTNEILRCVQIGLLCIQEDAAKRPTMASVILMLDSCSAVISELSRPAYFLHSQQEPITIGTQSKRRSAQPSANECSISEMEPR >Manes.16G097900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377843:30383619:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRHVILDTIQLHQNNETTEHGSFYSRTSQSGNSNTTSSGMTSVSNDESIFRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377859:30383742:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDIMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377860:30383742:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRHVILDTIQLHQNNETTEHGSFYSRTSQSGNSNTTSSGMTSVSNDESIFRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377859:30383742:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30378169:30383574:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRHVILDTIQLHQNNETTEHGSFYSRTSQSGNSNTTSSGMTSVSNDESIFRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377856:30383742:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDIMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30378169:30383574:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRHVILDTIQLHQNNETTEHGSFYSRTSQSGNSNTTSSGMTSVSNDESIFRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377841:30383742:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRHVILDTIQLHQNNETTEHGSFYSRTSQSGNSNTTSSGMTSVSNDESIFRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377856:30383742:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377859:30383742:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDIMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRHVILDTIQLHQNNETTEHGSFYSRTSQSGNSNTTSSGMTSVSNDESIFRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377913:30383542:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRHVILDTIQLHQNNETTEHGSFYSRTSQSGNSNTTSSGMTSVSNDESIFRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.16G097900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30377892:30383574:1 gene:Manes.16G097900.v8.1 transcript:Manes.16G097900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIQNLPKKNFGQANFSFSCPSWWTSNEQQQSLSKNISFKVESPTQLYHKANHLGLQLLDQESSSIRSIGQSHNEVGTGGEANSQDQCISSESGQDEGCGKGAEGRMKPVFLFSTPEIALDPSQTDNSHSMLQARAPFPHADNYVGGLFTPYGRQDILGSQMVGMTTTRVALPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKSRKPYLHESRHLHALNRVRGSGGRFLSTKKLQQSDPTSSSNRHVILDTIQLHQNNETTEHGSFYSRTSQSGNSNTTSSGMTSVSNDESIFRQSDHRFASLAAHIGGSIQSSGGLMCSGT >Manes.09G182100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37029694:37034304:-1 gene:Manes.09G182100.v8.1 transcript:Manes.09G182100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFWVLVALVSVYGKWVDGFFEFNETEIFYTGADKAYGYFNESKPINNALMVGLTLIQGAGARGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNIRNCVYRKTTRRGSSRYMEKLVPFTGILSNRPQDNPDFFNWNRVKLRYCDGASFAGDSENKAAQLQFRGQRIWSAAMEDLMSKGMRYANQALLSGCSAGGLASILHCDEFRNLFPRTSRVKCLSDAGLFLDAVDISGGHTLRNMYSGVVGLQGVRNNLPRICTNHLDPTSCFFPQNIIGNVKTPLFILNAAYDSWQIQSSLAPPTADPHGYWSECRKNHAKCSTSQIQFLQGFRNQMLRAIRGFSMSRQNGLFINSCFAHCQSERQDTWFADDSPVIGNKAIAIAVGDWYFDRAAVKAIDCAYPCDKTCHNLVFR >Manes.11G122849.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28423461:28424541:-1 gene:Manes.11G122849.v8.1 transcript:Manes.11G122849.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKISAPSQNAAMSLQLDNVANWVVKLKQLQCLRLKSFDESGQPWDLQLQSLIEHVKLSNIYLVGKLKNQHLVSELPKSLIELTLSASGLVEDPMQALYKLPNLKIIRLLSKSFIGKKMLCSFGGFPKLEILKLWELELLEEWNVEEGALPSLKDLEIRSCRNLKMLPHGLQHVGTLRELKLTKLPMVSSRIKDNLGGECDKIAHIRHVWKED >Manes.03G001100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:199094:205601:1 gene:Manes.03G001100.v8.1 transcript:Manes.03G001100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYIANRASDKITQLTDNVYVCRSGSAADSQIVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLSYNNKNMLETGLIVGGWDKYEGGKIYGIPLGGTIIEQPFAIGGSGSSYLYGFFDQVWKEGMTKDEAEQLVVKAVSLAMARDGASGGVVRTVIINSEGVTRNFYPGDKLPLWHEELEPHNSLLDILNASGPEPMNI >Manes.16G055925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19769528:19770700:-1 gene:Manes.16G055925.v8.1 transcript:Manes.16G055925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEELTKRLAKLGIKGDDKKKEEVESEEEEKSSTDHELIQLETMLRETEPAEINRIKYPKARATMDLKPYYPRPSPINLQFEDTSYNYMQYDGTSIVEWNIDGLSDYQIKNVLQYMTMYATASRAKGNDDPSTAKALIAGFGGQLKGWWDFAVSNEGKEIIFKMVKQEGTQQVPDVVNTLLYTIELHFIGSVNMLIDRAQEQLINLRCPDLSHFKWYKDTFFSLVFIREDSNNSVWKEKFLAGLPALFAESVKDQIRSKHNGNIPYHDYTYGELASEVVTTGISLCNELKIISRCRKSAFMASKFLEAFVSSMAFNLLNFRPRNLEGVGKSRHHHTTKNSPRKSDSINTRNPLNQREKLKGLITQKREEKGMIRAKQRKL >Manes.10G013400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1374988:1381654:-1 gene:Manes.10G013400.v8.1 transcript:Manes.10G013400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKTRTSSFTRNVLSVSSSQIPGVKCGPNGTFFVSSGISDLDKILGGGIPLGSLVMVMEDAEAPHHMLLLRNFMSQGLVQNQHLLYASSSKDPRGFLGTLPSPSSSKDGKLRNHDPEQDKGLRIAWQYKKYFGENQQSFDGHRDSKQEFCNDFDLRKPLERHFFSGQCVDCVSVKDSPNLAALRDRCTQFLAQFRRKDGSISSVGRIAIQSLCAPQCEYSNTEWDVLSFIGYLKSMLWSANAVAVVTFPPSLLSETFCKRLQHMADILLSVKAIPDDDKELAKLLTGYQDMVGFLNVYKVARINTQVPLILEATTFSIKLQKRRFLVLECLNQAPIDGSSGASYGTSGSCSGSSKTGTLDF >Manes.10G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1374899:1381788:-1 gene:Manes.10G013400.v8.1 transcript:Manes.10G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKTRTSSFTRNVLSVSSSQIPGVKCGPNGTFFVSSGISDLDKILGGGIPLGSLVMVMEDAEAPHHMLLLRNFMSQGLVQNQHLLYASSSKDPRGFLGTLPSPSSSKDGKLRNHDPEQDKGLRIAWQYKKYFGENQQSFDGHRDSKQEFCNDFDLRKPLERHFFSGQCVDCVSVKDSPNLAALRDRCTQFLAQFRRKDGSISSVGRIAIQSLCAPQCEYSNTEWDVLSFIGYLKSMLWSANAVAVVTFPPSLLSETFCKRLQHMADILLSVKAIPDDDKELAKLLTGYQDMVGFLNVYKVARINTQVPLILEATTFSIKLQKRRFLVLECLNQAPIDGSSGASYGTSGSCSGSSKTGTLDF >Manes.16G046838.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:9489281:9490825:1 gene:Manes.16G046838.v8.1 transcript:Manes.16G046838.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTPLLSQFNSPLESKRLSITELIEECMADFGFAQLIQAVLVSLAWVFDAQQTFISVFTEAEPSWHCTDQLRNGSCHSGFSNICQLPRDSWAWDRPVYSSIVSEWELQCSISVIKGLPASSFFMGCLAGGLVLATLADSSLGRKNLLFLSCLLMSLSTLFTVFAPNIWIYSALKFVNGFGRATIGTCALVLSTELVGKRWRGQVGVIGFLCFTLGFLSLPAIAYINRDASWRTLYLWTSIPTILFCILVHFLVRESPRWLLLRGRKDEAVSILTSIAATKSSLITENLTLLKLEQEPSNDDIYSAIKILVEKKWAFRNLLAVMVVGFGIGFVYYGMPLGLGNLDFNLYLSVTLNALSELPASLITSFLIDKLNRKCSLLVFNSLSGICSILCVVMGKISGNLQIGLEMVSFFSACTGFNILLIYTLELFPTCVRNSAISMARQALVLGGLLSPLLVAAASKNGFLSYGVFGLAIGICGFFVISLPETRGKTLCDTMEEEEHKEKDLACNYYL >Manes.06G043700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13688619:13690268:1 gene:Manes.06G043700.v8.1 transcript:Manes.06G043700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETHIALANGHEGEAAAGLYIYLSHPLFLIWMFVLSLSIISAAVFACGTSQNKHGTGRRRGRGHGGGGGGGGGGGGGC >Manes.06G043700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13688342:13690268:1 gene:Manes.06G043700.v8.1 transcript:Manes.06G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETHIALANGHEGEAAAGLYIYLSHPLFLIWMFVLSLSIISAAVFACGTSQNKHGTGRRRGRGHGGGGGGGCGGGGCGGGGGGCGGGGGGGGGGGGGGGGGC >Manes.06G043700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13688886:13690268:1 gene:Manes.06G043700.v8.1 transcript:Manes.06G043700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETHIALANGHEGEAAAGLYIYLSHPLFLIWMFVLSLSIISAAVFACGTSQNKHGTGRRRGRGHGGGGGGGCGGGGCGGGGGGCGGGGGGGGGGGGGGGGGC >Manes.05G008650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1062963:1063864:1 gene:Manes.05G008650.v8.1 transcript:Manes.05G008650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRSNSFSTEAVGGTQGDPKHSYEEPARENPLRFVLLNLAVIAACFLDALNLDLFIFLFFWLQSFSYHLPRNLILQRRLSETVLFANLRTQKLATSFSSLYCSLCLLLNAHLQRSLILWGSPQQRPRCHFVIFIVSIEGFVYVGPVQFPTRRQGPGPLVTNAKSLPFVLFCLVYFSSYFMITTVF >Manes.17G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19769839:19771335:-1 gene:Manes.17G028000.v8.1 transcript:Manes.17G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVFSITQLPFYPPKYNRNKAYTSWPCKKPSPSKSTRLHCQKMYVPGYGHASPEAQAAKNLHNFFTYIAVKIVSAQLQSYNPEAYKELMEFVGRQSLNDGDQFCASMMRESSRHQSLALRILEVRSAYCKTDFEWDNLKRLANKTVSDSNTRLMRDYVLETSHIDVESEK >Manes.05G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21209257:21214886:-1 gene:Manes.05G123500.v8.1 transcript:Manes.05G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTQTRDDDGLKKLEYLSLVSKVCTELETHLGFGDKVLAEFITELGRNCETVDEFDSKLKENGAEMPDYFVRTLLTIIHAILPPKPKSGKESKNKDTASLADAKNSKFRALAIADSKERAKDLERELEMEALERRNEQEKAEDGRNRDRDRDWRGKDRDRYWDRSDRDERRRDRDYDNGHDGRGGDYRSRGKQRDRHDRHRRNGSEENGYHDREGGESERDRRNGHNTSSEPELYKVYKGRVSRVMDSGCFVQLNDLRSKEGLVHVSQIANRRIGNAKDVVKRDQEVYVKVISISGQKLSLSMRDVDQNTGKDLLPLKKSSDDDDTFRTNPSASKEGPVTRTGLSGIRIMEEDDAVPSRRPLKRMSSPERWEAKQLIASGVLSVKEYPMYDEERDGMLYQEEGAEEDLEIELNEDEPAFLQGQTRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDDNLSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDVLLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGFTTLTMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Manes.06G133600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26197378:26208029:1 gene:Manes.06G133600.v8.1 transcript:Manes.06G133600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSSSLQQEVQAIQEQHVKNTQAEESEIEEKLKELQCMVDDAHSTLLRLKEEERVLSESVSEGMNEMRKINEEILNYEKEEREIRGTIQQFRQHKTNKVTAFGGDKVIHLLHIIERCHQKFTKSPIGPIGAHLTLVNGDTWAPAVENAIGRLLNAFIVTNHRDMNLLRDCAREAKYSNLQIIIYDFSRPRLNIPPSMLPQTNNPTAFSVVHSENDTVLNVLVDMGSAERLVLVENYNVGKAVAFDQKIPHLKEVYTLDGYKMFARGSVQTVLPPNKNFRTGRLCSSYDHQIKNLEQRMSDFKMSAEECRKRKRAMEANLQDAQGDLKKLKERCLVAERVVATKTLELKDLKKSYSTETSPPAASTVDELHQEISKLQEEIQERKSFLEKLRVKRDEAEAKALDLKLSFENLCESAKGDLDAFEEAEGELMKIEKDLQDAEAEKAHYENIMTNKVLPDIKVAEAHYQELEEQRKESSKKASIICPETDIEALGGWDGSTPEQLSAKLNRLSQRLQHESQWCSDSIDDLRMLYEKKQRKILRKQQLYQGFREKLKACQEALDLRQNKFQRNSALLKRQLTWNFNGHLGKKGISGSIVVNYEEKTLRVEVKMPQDASSSTVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFALAQGSQWIFITPHDISMVKHGERIKKQQLAAPRS >Manes.06G133600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26193346:26208029:1 gene:Manes.06G133600.v8.1 transcript:Manes.06G133600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRVFSGFNSAATRSGAGTVTRIRLENFMCHSNLQIELCPWLNFITGQNGSGKSAILTALCIAFGSRAKGTQRASTLKDFIKTGCSYAVVEVEVKNQGEDAFKPEVYGDAIIIERRINQSTSSTVLKDFQGKKVASRKEELRELTEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLQSIYEQLRSANALVDELEATIKPIEKELNELQVKIKNMEHIEEISQQVQQLKKKLAWSWVYYVDKQLEDQSMKISKLKDRIPACQDKIEQKLVKVESLRDLFTKKKAQIAHMMQKTSEVRRKQDDLRHSLSLATKHKLELEEQHGRETKRIQNMLKRSSSLQQEVQAIQEQHVKNTQAEESEIEEKLKELQCMVDDAHSTLLRLKEEERVLSESVSEGMNEMRKINEEILNYEKEEREIRGTIQQFRQHKTNKVTAFGGDKVIHLLHIIERCHQKFTKSPIGPIGAHLTLVNGDTWAPAVENAIGRLLNAFIVTNHRDMNLLRDCAREAKYSNLQIIIYDFSRPRLNIPPSMLPQTNNPTAFSVVHSENDTVLNVLVDMGSAERLVLVENYNVGKAVAFDQKIPHLKEVYTLDGYKMFARGSVQTVLPPNKNFRTGRLCSSYDHQIKNLEQRMSDFKMSAEECRKRKRAMEANLQDAQGDLKKLKERCLVAERVVATKTLELKDLKKSYSTETSPPAASTVDELHQEISKLQEEIQERKSFLEKLRVKRDEAEAKALDLKLSFENLCESAKGDLDAFEEAEGELMKIEKDLQDAEAEKAHYENIMTNKVLPDIKVAEAHYQELEEQRKESSKKASIICPETDIEALGGWDGSTPEQLSAKLNRLSQRLQHESQWCSDSIDDLRMLYEKKQRKILRKQQLYQGFREKLKACQEALDLRQNKFQRNSALLKRQLTWNFNGHLGKKGISGSIVVNYEEKTLRVEVKMPQDASSSTVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFALAQGSQWIFITPHDISMVKHGERIKKQQLAAPRS >Manes.06G133600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26193236:26208029:1 gene:Manes.06G133600.v8.1 transcript:Manes.06G133600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRVFSGFNSAATRSGAGTVTRIRLENFMCHSNLQIELCPWLNFITGQNGSGKSAILTALCIAFGSRAKGTQRASTLKDFIKTGCSYAVVEVEVKNQGEDAFKPEVYGDAIIIERRINQSTSSTVLKDFQGKKVASRKEELRELTEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLQSIYEQLRSANALVDELEATIKPIEKELNELQVKIKNMEHIEEISQQVQQLKKKLAWSWVYYVDKQLEDQSMKISKLKDRIPACQDKIEQKLVKVESLRDLFTKKKAQIAHMMQKTSEVRRKQDDLRHSLSLATKHKLELEEQHGRETKRIQNMLKRSSSLQQEVQAIQEQHVKNTQAEESEIEEKLKELQCMVDDAHSTLLRLKEEERVLSESVSEGMNEMRKINEEILNYEKEEREIRGTIQQFRQHKTNKVTAFGGDKVIHLLHIIERCHQKFTKSPIGPIGAHLTLVNGDTWAPAVENAIGRLLNAFIVTNHRDMNLLRDCAREAKYSNLQIIIYDFSRPRLNIPPSMLPQTNNPTAFSVVHSENDTVLNVLVDMGSAERLVLVENYNVGKAVAFDQKIPHLKEVYTLDGYKMFARGSVQTVLPPNKNFRTGRLCSSYDHQIKNLEQRMSDFKMSAEECRKRKRAMEANLQDAQGDLKKLKERCLVAERVVATKTLELKDLKKSYSTETSPPAASTVDELHQEISKLQEEIQERKSFLEKLRVKRDEAEAKALDLKLSFENLCESAKGDLDAFEEAEGELMKIEKDLQDAEAEKAHYENIMTNKVLPDIKVAEAHYQELEEQRKESSKKASIICPETDIEALGGWDGSTPEQLSAKLNRLSQRLQHESQWCSDSIDDLRMLYEKKQRKILRKQQLYQGFREKLKACQEALDLRQNKFQRNSALLKRQLTWNFNGHLGKKGISGSIVVNYEEKTLRVEVKMPQDASSSTVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFALAQGSQWIFITPHDISMVKHGERIKKQQLAAPRS >Manes.06G133600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26194591:26208029:1 gene:Manes.06G133600.v8.1 transcript:Manes.06G133600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDKSREFLHSGNDKDKFKFFFKATLLQQVNDLLQSIYEQLRSANALVDELEATIKPIEKELNELQVKIKNMEHIEEISQQVQQLKKKLAWSWVYYVDKQLEDQSMKISKLKDRIPACQDKIEQKLVKVESLRDLFTKKKAQIAHMMQKTSEVRRKQDDLRHSLSLATKHKLELEEQHGRETKRIQNMLKRSSSLQQEVQAIQEQHVKNTQAEESEIEEKLKELQCMVDDAHSTLLRLKEEERVLSESVSEGMNEMRKINEEILNYEKEEREIRGTIQQFRQHKTNKVTAFGGDKVIHLLHIIERCHQKFTKSPIGPIGAHLTLVNGDTWAPAVENAIGRLLNAFIVTNHRDMNLLRDCAREAKYSNLQIIIYDFSRPRLNIPPSMLPQTNNPTAFSVVHSENDTVLNVLVDMGSAERLVLVENYNVGKAVAFDQKIPHLKEVYTLDGYKMFARGSVQTVLPPNKNFRTGRLCSSYDHQIKNLEQRMSDFKMSAEECRKRKRAMEANLQDAQGDLKKLKERCLVAERVVATKTLELKDLKKSYSTETSPPAASTVDELHQEISKLQEEIQERKSFLEKLRVKRDEAEAKALDLKLSFENLCESAKGDLDAFEEAEGELMKIEKDLQDAEAEKAHYENIMTNKVLPDIKVAEAHYQELEEQRKESSKKASIICPETDIEALGGWDGSTPEQLSAKLNRLSQRLQHESQWCSDSIDDLRMLYEKKQRKILRKQQLYQGFREKLKACQEALDLRQNKFQRNSALLKRQLTWNFNGHLGKKGISGSIVVNYEEKTLRVEVKMPQDASSSTVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDTLVDFALAQGSQWIFITPHDISMVKHGERIKKQQLAAPRS >Manes.13G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30779008:30781795:1 gene:Manes.13G105800.v8.1 transcript:Manes.13G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSAKWLSELGMEDSAFSYQYQMNPLDYPFEDLDFHGFSAKSYSTNEQIFNPQTVQNFSCAPIESSQTSYERPKKQLKTSSWNSCTTEQINSKPPPSSSHIISFENSNSSAATSQQLYGLDPTSVKPKKEAGTNGNSNYPSALCCQGSFEEYGQGNNKKAGTLSRSPLHAQDHVLAERKRREKLSQRFISLSAVVPGLKKMDKASVLGDAIKYLKHLQERVKTLEEQAAKKTMESVVFVKKSQVYVDDDLSSTDENSVGCCDQPLPEIEVRVSDKDLLIRIHCENQKGCLLKILSELEKFHLNVINSSVLPFGNSTLDVTVVAQMDGDFSMTVKDLVRNLRQALL >Manes.13G020500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2992711:2997165:-1 gene:Manes.13G020500.v8.1 transcript:Manes.13G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPHKLSNPRSERHMFSSSDDNAMMKQIQATHAPDGREFSVRPLLNLVEDIFQQAAPTGLATIVHQQVGAQHAQLEAMDDKALQNGSHEMLEVLSYTINKISCEISCKCSGGGDAHATTLAIFHLLSSYSWDAKVVLALSAFAVNYGEFWLVAQLYLTNPLAKGIALLKQLPDIIERSAVLKPKFEALNNLIRATLDVAKCIVEFKELPSQYISPDAPEMLSATAHIPTAVYWTVRSIVACATQIIGLTGTGHEHIASTTEAWELSSLAHKVRSIHEHLMAQLTRCYHHIDEKRHLEAYQTLVRLFETIHIDNIKILRALIYAKDDQLPLFVGAKKERASLDVLRRKNVLLYISELELQYEELEMLRQIYEETRQHPTRAESQFEVVWLPVVNRSTPWNDEKQKQFESLRSMMPWYSVFHPSLLDPAVVRYIKEVWHFNKKPLLVVLDPQGKVVNPNAIHMIWIWGSTAFPFTSNREESLWKEETWRIELLADTVDPNIPAWIEGQKHICLYGGEDMDWIRKFTKTADAVARAANIQLEMLYVGKSNPRDKVRKNNSTIQAEKLSHILPDLTMIWFFWVRLESMWHSKVQHNRTVENDLIMQEIVTMLSFDGSEHGWAVISRGSGLQGHMAKAKGTDILECFEDFQSWNHIATEKGFVPALNDYLHGHHNPLHCNRLILPGTTGTIPERVVCAECNRPMEKFIMYRCCTD >Manes.14G174200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:29011418:29016394:1 gene:Manes.14G174200.v8.1 transcript:Manes.14G174200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARGALEVISPPPTELQMQMFWKFVKPSDIVKFLLRRTKRQKASADFWSGSLRTISYFDFHTLKKATKNFHPGNLLGIGGFGPVYRGKLADGRMVAVKKLSLEKSQQGESEFLSEVRMITSIQHKNLVRLLGCCSDGPQRLLVYEYMKNRSLDLILYGKTDQFLDWKTRFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFQPRIGDFGLARFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSDMQYLPEYAWKLYERSNVIDLVDPRMREHGFVERDVLQAIQVAFLCLQPHANLRPPMSEIVAMLTYKVEMVRTPVKPTFLDRRSRKENNLSWDTISEVFPSPLPSESPSLSRQKK >Manes.14G174200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:29011419:29016394:1 gene:Manes.14G174200.v8.1 transcript:Manes.14G174200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARGALEVISPPPTELQMQSSSSSSNSSALFFFLGGIVFLTILLILIVVFWKFVKPSDIVKFLLRRTKRQKASADFWSGSLRTISYFDFHTLKKATKNFHPGNLLGIGGFGPVYRGKLADGRMVAVKKLSLEKSQQGESEFLSEVRMITSIQHKNLVRLLGCCSDGPQRLLVYEYMKNRSLDLILYGKTDQFLDWKTRFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFQPRIGDFGLARFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSDMQYLPEYAWKLYERSNVIDLVDPRMREHGFVERDVLQAIQVAFLCLQPHANLRPPMSEIVAMLTYKVEMVRTPVKPTFLDRRSRKENNLSWDTISEVFPSPLPSESPSLSRQKK >Manes.14G174200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:29011419:29016394:1 gene:Manes.14G174200.v8.1 transcript:Manes.14G174200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARGALEVISPPPTELQMQSSSSSSNSSALFFFLGGIVFLTILLILIVVFWKFVKPSDIVKFLLRRTKRQKDFWSGSLRTISYFDFHTLKKATKNFHPGNLLGIGGFGPVYRGKLADGRMVAVKKLSLEKSQQGESEFLSEVRMITSIQHKNLVRLLGCCSDGPQRLLVYEYMKNRSLDLILYGKTDQFLDWKTRFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFQPRIGDFGLARFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLTLPSDMQYLPEYAWKLYERSNVIDLVDPRMREHGFVERDVLQAIQVAFLCLQPHANLRPPMSEIVAMLTYKVEMVRTPVKPTFLDRRSRKENNLSWDTISEVFPSPLPSESPSLSRQKK >Manes.06G063451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19819577:19829753:1 gene:Manes.06G063451.v8.1 transcript:Manes.06G063451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQNHDSTTTATCGATGTTFAVVNWDDLPEHLIILHILPFLPTLDAIATSSVKRCALCSMLFPAFVNATKNRAQELLSRCDLTLQTNMSSMRVWSMKSIYLEQIYLIDQMALDLISACPNLEILELGNCNGMDTLTVCSVKLKKLELKYFNRKETEVNLEIDCPNLISSSIIWFEAGKFCFKNLSSLVQFRTSDGYKRDECCGYWNKVVRTLDKVPHIRSLAVHKLVGFLLYNLKHLELQTGYTQYDLLGMAALLKFTPNFKSMIFNYLSEIDKDAKVELVLELGISTSYFSVFQESLMPSLEEVKDETIYWNRNEAHFLALLKHKEWS >Manes.07G017350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2008087:2008697:-1 gene:Manes.07G017350.v8.1 transcript:Manes.07G017350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSKEIIGDISNGAKVFSKQLGKTDKSHQLILPTKVLEQFPIQKGFYERDFTAFDGKGVKWDFVLAIRHTGEYDKPFLRQSKWHEFVVAHDLYEEDIDYGIVFYINNEGKMQVTGLRRSPYTLLGQPIWQQI >Manes.18G123400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13721761:13724238:-1 gene:Manes.18G123400.v8.1 transcript:Manes.18G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQFHKMILFSFLIIGFVGVVVRLYNCLVVKPKRLRSMLKKQGINGPPPAFLLGNMREIMKSLSSNEKTNDPPLTHNCAARVLPFSERWLKDYGQVLVLSLGHIQVLNLHQPELVKEFATCVSWDLGRPSMINDIGPLLGKGILTSNGAFWSHQRKIIAPGLYMEKIKGMVNQITESAITLVNSWKSMVERDGGIADIKIDEAVSRFSGDVISRACFGSNYSKGEQIFLKLSHLQEILSKKGLALGIPGMRYLPTKTNREAWALEKEIRNLILKVVKERQETADEKDLLQMILEGAKDSNLSREETERFIVDNCNNIYLAGWETSAVAAVWCLMLLAANQEWQDRVRAEVLEICGGNMPNSDMIRKMKLLNMVIYETLRLYSPVPLIAREALKDMKLGNINIPKGVNVWTTILLLHTDPEIWGSDSYKFNPERFANGIAGACKYPFLYMPFGVGPRVCIGQHLAMVELKILMALILSNFSLTISPKYIHSPTLALGVKPKYGVILLVKKM >Manes.01G264300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41880738:41881736:1 gene:Manes.01G264300.v8.1 transcript:Manes.01G264300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFNPYEHINMQLNPDGTITRLISFPTAKTNADPSSGEPILSKDVIINTEKNIKCRLYLPLKCTSNVKRLPILFYFHGCSWVQFSADNPALHLERQWTAGTLPALIILVLYRLAPESRLPSQYEDGEDAIRWLKEQVSDPNGDKWIKEYGDSSRCFLSGSGNGGNIVFNLGLRTVDMDLDPLCIVGLVMNQPLFGGKQRSGSEIKFATDQIIPLPVLDLVWELALPKGTDRDHRYCNPMLEGPHQDKIKYLPPCLVLGFGMDPLVDRQQEFVEMLLNHGVKVHAHFDEVGFHRVEILDARRRVQLINSIKQFMNSQLTNGNASYRRQVPNS >Manes.16G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33701853:33709613:-1 gene:Manes.16G136300.v8.1 transcript:Manes.16G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFSNCKNAPSLSAKNHRNVHPRSQISRSMPQIRIRWSPIRVSNLAAASPPQNSRNGTATATSINRSIASTTENEKPASLQLLGKSSAAMEQLDIERGVCLPFRKYSPEIVRNKVLESRASIFSLMLRGVEIVWNLGLYWSSLMYDCLVGRDIEVVPYRARQLRILLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPSFPNQVAFKIIEEELGQPLEAVFSKISSQTIAAASLGQVYRATLRDTGEDVAIKVQRPEIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPQVYRKLSGSRVLVMEWIDGIRCTNPQAIKEAGIDIDGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICLTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIILAKENVARMSSNPALQVKNMRNSRTLQVQRKLDLTDTIKDGARLFFTDEGIRRQLLLALTEDSKLHIEELVDVYRLVEEEIDIPSVAVEVVREIPNVIRDLMLSWSDSVLSDR >Manes.12G073000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7937895:7946146:1 gene:Manes.12G073000.v8.1 transcript:Manes.12G073000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISASNVESSSLNAEKKRKVVEHICLLKAKPNLSEEEENNMLDYLYTSQYQMRGIVAVSLGRISNETVENYTHAVFMRFQRKEDVAKFYENPFYLKVLKEHVMPYCHVLTNVDYESEVEDDILPIFRKGEEFNYGVEFVHLIAFDESVSGAHVEDALLSLEKLTKEFPSLVVQSTQGSNFNLSSQDYTHAVVIRFRSSEAFEMFVGSTEYKDIWRSKFELIIKKTLPFHFSVDPVGKEIM >Manes.11G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27150569:27152950:1 gene:Manes.11G115200.v8.1 transcript:Manes.11G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKSRVIRVVMVVGILSVVASAGNFNRDFEITWGDGRAKILNNGQLLTLSLDKTSGSGFKSKNQYLFGKIDMQLKLVPGNSAGTVTAYYLSSLGSAHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTKDFHTYSVLWNPHTIIFFVDGTPIREFKNFESNGIAFPKSQPMWIYSSLWNADDWATRGGLVKTDWTQAPFTASYTNFNAQACIWYSGSSSCSKNPSNSSWLTQSLDTTGQARIKWVQKNYMIYNYCTDTKRFPQGFPPECSFA >Manes.09G061200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10002813:10005115:-1 gene:Manes.09G061200.v8.1 transcript:Manes.09G061200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGLPGGIFSGMGSGMLGLEMPLQQQQQQNPQNPQNSPHLHHPQMLGYGQHESDNHHPQSQQAMKHGYPYASSTARQKPQSTVSDEDEPGFNGDDTTDGKRKVSPWQRMKWTDSMVRLLIMAVFYIGDEAGPEGNDPTGKKKAGGLSQKKGKWKSVSRAMMEKGFFVSPQQCEDKFNDLNKRYKRVNDILGKGTACKVVENQSLLETMDLSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHGSSGVATDQSNPQHPQASSHAQQQRCSHSSETAQFMTHSRTETEGSKMAKRVSNEEDDEEDDDESEEDEDDSDDEVDEAIEGNSRGHQNCDHGHEDEDDHEEKGSKKRQRTEVFSLSSAMMQQLNSDLVSVIQDGAKSTWEKKHWMKLRLMQLEEQQVSYQCQAFELEKQRLKWVKFSSKKEREMERAKLENERRRLESERMVLLIRQKELELLDLHQQQQISSNKRSDPSSITG >Manes.09G061200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10002813:10005115:-1 gene:Manes.09G061200.v8.1 transcript:Manes.09G061200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMLGLEMPLQQQQQQNPQNPQNSPHLHHPQMLGYGQHESDNHHPQSQQAMKHGYPYASSTARQKPQSTVSDEDEPGFNGDDTTDGKRKVSPWQRMKWTDSMVRLLIMAVFYIGDEAGPEGNDPTGKKKAGGLSQKKGKWKSVSRAMMEKGFFVSPQQCEDKFNDLNKRYKRVNDILGKGTACKVVENQSLLETMDLSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHGSSGVATDQSNPQHPQASSHAQQQRCSHSSETAQFMTHSRTETEGSKMAKRVSNEEDDEEDDDESEEDEDDSDDEVDEAIEGNSRGHQNCDHGHEDEDDHEEKGSKKRQRTEVFSLSSAMMQQLNSDLVSVIQDGAKSTWEKKHWMKLRLMQLEEQQVSYQCQAFELEKQRLKWVKFSSKKEREMERAKLENERRRLESERMVLLIRQKELELLDLHQQQQISSNKRSDPSSITG >Manes.09G061200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10002813:10005149:-1 gene:Manes.09G061200.v8.1 transcript:Manes.09G061200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGLPGGIFSGMGSGMLGLEMPLQQQQQQNPQNPQNSPHLHHPQMLGYGQHESDNHHPQSQQAMKHGYPYASSTARQKPQSTVSDEDEPGFNGDDTTDGKRKVSPWQRMKWTDSMVRLLIMAVFYIGDEAGPEGNDPTGKKKAGGLSQKKGKWKSVSRAMMEKGFFVSPQQCEDKFNDLNKRYKRVNDILGKGTACKVVENQSLLETMDLSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHGSSGVATDQSNPQHPQASSHAQQQRCSHSSETAQFMTHSRTETEGSKMAKRVSNEEDDEEDDDESEEDEDDSDDEVDEAIEGNSRGHQNCDHGHEDEDDHEEKGSKKRQRTEVFSLSSAMMQQLNSDLVSVIQDGAKSTWEKKHWMKLRLMQLEEQQVSYQCQAFELEKQRLKWVKFSSKKEREMERAKLENERRRLESERMVLLIRQKELELLDLHQQQQISSNKRSDPSSITG >Manes.09G061200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10002813:10005149:-1 gene:Manes.09G061200.v8.1 transcript:Manes.09G061200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGLPGGIFSGMGSGMLGLEMPLQQQQQQNPQNPQNSPHLHHPQMLGYGQHESDNHHPQSQQAMKHGYPYASSTARQKPQSTVSDEDEPGFNGDDTTDGKRKVSPWQRMKWTDSMVRLLIMAVFYIGDEAGPEGNDPTGKKKAGGLSQKKGKWKSVSRAMMEKGFFVSPQQCEDKFNDLNKRYKRVNDILGKGTACKVVENQSLLETMDLSPKMKEEVKKLLNSKHLFFREMCAYHNSCGHGSSGVATDQSNPQHPQASSHAQQQRCSHSSETAQFMTHSRTETEGSKMAKRVSNEEDDEEDDDESEEDEDDSDDEVDEAIEGNSRGHQNCDHGHEDEDDHEEKGSKKRQRTEVFSLSSAMMQQLNSDLVSVIQDGAKSTWEKKHWMKLRLMQLEEQQVSYQCQAFELEKQRLKWVKFSSKKEREMERAKLENERRRLESERMVLLIRQKELELLDLHQQQQISSNKRSDPSSITG >Manes.09G134000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33420972:33423496:-1 gene:Manes.09G134000.v8.1 transcript:Manes.09G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISAVSPLGHCYCTSSLVKPRIPTNSLKCSFLSPITRNPLLSHTKTPLAFTTCTGRSKKFSLAIVAMSTEAGVGVMGTKLGMMSFFEPNGTVVPVTVVGFREGNIVTQIKTQATDGYDSVQVGYRRVRDKKLTKPELGHLEKSGIIPMRHLQEFRLQNIDGFEVGQKLVLEELFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGQMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLRIVKIDNDLRVVMIKGALPGKPGNLLRIAPAKIVGKNIPKN >Manes.06G087800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22406896:22422121:-1 gene:Manes.06G087800.v8.1 transcript:Manes.06G087800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPGLLGQSKYDFAKTYCAVKRVQTSEGKHFQDFSKGIRLEELNMLLRQTVMIRRLKKHVMEQLPPKRRKIIRLSLKRSDIVLAKTAVGTLTDDASKDSEAICKSSQLSYQELGIAKLSGFCEWLSIQPLISESDGAAELDVNTNSQKMIIFAHHHKVLDGVQELMCEKGVCFVRIDGNTLARDRQSAVLSFQSSNEVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQAEDRAHRRGQTNAVNIYIFCAKDTMDERHWQYLNKSLHRVSSTTNGKYDAVPGIVDGISYLETIGKSEQVLDAEYGNLSTTELRKLTDFGSAQAIQPLESHDEAAGMINDRSREQSSSVDSASVQADNFHLNVEKASGILDQETIGKSEQVLDAEYGNLSTTELRKLTDFGSAQAIQPLESHDEAAGMINDRSREQSSSVDSASVQADNFHLNVEKASGILDQELYNYFVANENPERNVSENEIGSHSGVSSSILDEGNGGKHQLEKEQKNYSRTTEIDNFRSIQEDGVPFSNQVYSLRFEVSKYTGRIHLYSCIPGTDSRPQPLFENFRPEELESLNSSVADDDKEITSRSLKVNPAYRHALLAFINEWNKLRPIERRKLVGKSLQLPLDIELSYLNENINHSTEGLLKGGSKRRMTPWHEISYPLPSNADWKKVNLSGSYGKKEKQYTQGWTLMDEPLCKLCQTPCKGINAKTPEFFEDLFCNLSCYEEYRVRTSSRSLRQELFQIEHGVCMNCKLDCHQLVKTIKPLSLERRREYIVKVAPNLASRKKFIDKLVNNPSEGNAWHADHIIPVYRGGGECRLENMRTLCVACHYDVTAAQRAERLVMRSKAKEQLKVIMRELKYNQNMVEIDSDSKGLGHSDVQEDETEDELLVKVPGSAYSAEQDADPEGEELNTSSKMED >Manes.06G087800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22406898:22426913:-1 gene:Manes.06G087800.v8.1 transcript:Manes.06G087800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITEEQRQRAEANRLAALAKRKAFTESITNRPQQQDQNNPWRLFKCRKLTPELTSTTIFPNSNAHLEQKFLVRLEICSPDSFSVTPEALQGFAYPGEEECLRRLNCCLSDIMPSHYTQNHGGGKACVYKLREYDEVFSCLKNSKGIEIQKIPFGTLNVIQRLAHSFETGRWEPLRPEHLNDEEVDELMGKLPRKILDVLLPFQVDGLRFGLRRGGRCLIADEMGLGKTLQAIAIAGCFMSEGPILVVCPAILRFSWAEEIERWLPSCLPSEIHLVFGHQNNPAYLTKCPRVVVISYTMLYHLRKSMIERQWALLIVDESHHVRCSKKKSESNEIKAVLEVAAKVKRVVLLSGTPSLSRPYDIFHQINMLWPGLLGQSKYDFAKTYCAVKRVQTSEGKHFQDFSKGIRLEELNMLLRQTVMIRRLKKHVMEQLPPKRRKIIRLSLKRSDIVLAKTAVGTLTDDASKDSEAICKSSQLSYQELGIAKLSGFCEWLSIQPLISESDGAAELDVNTNSQKMIIFAHHHKVLDGVQELMCEKGVCFVRIDGNTLARDRQSAVLSFQSSNEVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQAEDRAHRRGQTNAVNIYIFCAKDTMDERHWQYLNKSLHRVSSTTNGKYDAVPGIAVDGISYLETIGKSEQVLDAEYGNLSTTELRKLTDFGSAQAIQPLESHDEAAGMINDRSREQSSSVDSASVQADNFHLNVEKASGILDQETIGKSEQVLDAEYGNLSTTELRKLTDFGSAQAIQPLESHDEAAGMINDRSREQSSSVDSASVQADNFHLNVEKASGILDQELYNYFVANENPERNVSENEIGSHSGVSSSILDEGNGGKHQLEKEQKNYSRTTEIDNFRSIQEDGVPFSNQVYSLRFEVSKYTGRIHLYSCIPGTDSRPQPLFENFRPEELESLNSSVADDDKEITSRSLKVNPAYRHALLAFINEWNKLRPIERRKLVGKSLQLPLDIELSYLNENINHSTEGLLKGGSKRRMTPWHEISYPLPSNADWKKVNLSGSYGKKEKQYTQGWTLMDEPLCKLCQTPCKGINAKTPEFFEDLFCNLSCYEEYRVRTSSRSLRQELFQIEHGVCMNCKLDCHQLVKTIKPLSLERRREYIVKVAPNLASRKKFIDKLVNNPSEGNAWHADHIIPVYRGGGECRLENMRTLCVACHYDVTAAQRAERLVMRSKAKEQLKVIMRELKYNQNMVEIDSDSKGLGHSDVQEDETEDELLVKVPGSAYSAEQDADPEGEELNTSSKMED >Manes.08G112311.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35153964:35154825:-1 gene:Manes.08G112311.v8.1 transcript:Manes.08G112311.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESNSADHSVSPLEDASSPFFLHHFENHNSIVITPELVPNNFPSWRRSFQLTVPIRNKQGYLDSTVPKPSPVDPLYLRSTRCNNLIVAWLLRSISPSIASTNFYLEDAKQIWDKLHRRFSKPDDSQIYTKSIDAYFIELNGIWEELRIHRPLSSCSCGKCNGECFQTCFLNLSRTLEKDLQGSS >Manes.14G059200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4941953:4944907:-1 gene:Manes.14G059200.v8.1 transcript:Manes.14G059200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQWLKESGLRPSLLLATIFVALVLRFLLKEKQRTRNLNLPPGPGKLPIIGNLHQLGTMPHIALHRLAHKYGPIIFLQLGEIPTVVISSVNLAKEVFKTHDLALSSRPQLYSARYLFYDCTDIAFAPYGAYWRNIRKICILELLSTKRVHSFGYVREEEVARLVARIGESYPRTTNLSKMIGLYANDVLCRVALGRDFSRGGEYDRHGFQKMLEDYQALLGGFSLGDYFPSMEFVHSLTGMKSKLIKTFRRFDQFFDNVINEHRNSEGMLEEQKDLVDVLLDIQKNGSDSDMPLTMGNVKAVILDMFAAGTDTTFITLDWTMTELIMNPRVMEKAQAEIRSIVGERRVVQERDVPQFHYMRAVIKEIFRLHPAVPLLVPRESLEDVMIDGYNIPAKTRIYVNVWAMGRDPEQWENPEAFQPERFIGSSIDFKGQDFELIPFGAGRRICPGITFGTATVELALAQLLHSFDWELPPGIKASDIDNTEAFGISMHRTVPLHVIAKPHFQ >Manes.11G071850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10415896:10418700:1 gene:Manes.11G071850.v8.1 transcript:Manes.11G071850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTVVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSHAVLHHLSNN >Manes.08G092211.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:31077200:31077580:1 gene:Manes.08G092211.v8.1 transcript:Manes.08G092211.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEAGHHRKLQLQELEEIRRDAYENSWNYKTKTKASHDSHLSRKQFEVGDKVLLFDSRLKLFPGKLRSRWIGPFIVEHVYPHGAVDIKSIETGKIFKVNGHRLKPYYEGFAVQVVEEIPLSRSE >Manes.05G179300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29607797:29609979:1 gene:Manes.05G179300.v8.1 transcript:Manes.05G179300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYLLYDSTMILHFLLFLVFYVLTKHFLNKIQNLPTSPFPALPIIGHLHLLNKPLHRSLSTISKRYGPILLLQFGSRRVLLVSSPSAAEECFTKNDIIFANRPRLLAGKHIGYNFTSLAWAPYGDLWRNHRKLSSLEILSSHRLELLSGIRSDEVKLLIRRLFRNKDEIVDLKSAFFQLILNVMMRMIAGKRYYNENVEEVEEVASFQEIVRETFQMATSNMGDFLPLLAKVGGVEKRLLDLQKRRDGFIQSLIEEHRNKMTISLPDEKNKTFIEVLLTLQQSDPESYTDQTIKSIMMALLGAGTDTSATTMEWAMSLLVNNPEILKKAQKEIDNVMGYDHLITESDTSKIPYLQCIISEVLRMYPAGPLLVPHESSEECSIGGYRVPPGTMLLVNVWSIQNDPQVWDEPQKFIPERFDGREAVVRDGFRLMPFGSGRRSCPGEGLALRMVGLTLGSVLQCFEWERVGNEMVDMTEGVGLTMPKAQPLLVKCRPRPSMVNLLSQV >Manes.11G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16827211:16834257:-1 gene:Manes.11G089900.v8.1 transcript:Manes.11G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSTSLSGPKSATSYSAPHFSGLRRLCSKLDSSTSLSFLPHFNSQLRFSSPRKLSRNVVAMAGTGTFFVGGNWKCNGTKESITKLVSDLNDAKLEADVDVVVAPPFLYIDQVKGTLTDRIEISAQNSWVDKGGAFTGEISVEQLKDIGCKWVILGHSERRHIIGEINEFIGKKAAYALRQGLGVIACIGELLEEREAGKTFDVCFEQLKAFADAVPSWDNIVIAYEPVWAIGTGKVATPLQAQEVHTAVRDWLKKNVSEEVASKTRIIYGGSVNGGNCAELAKQEDIDGFLVGGASLKGPEFATIINSVTSKKVAA >Manes.05G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3861079:3865032:-1 gene:Manes.05G046500.v8.1 transcript:Manes.05G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLFYLFSLSLFLSSTFSSPAELQLLMQIKFSLDPFNRFLTSWEPNSDPCAGSFEGVACNEQGRVANISLQGKGLSGQIPPAIGGLKSLTGLYLHFNALTGEIPKEIADLTELSDLYLNVNNLTGEIPSQVGNMANLQVLQLCYNKLTGSVPTQLGSLKKLTVLALQYNQLTGAIPASLGDLGLLTRLDLSFNGLFGSIPIKLADAPMLQVLDIRNNSLSGNVPPALKRLNSGFQYNNNPELCGVEFPNLGICTASDHLNPNRPEPFKPSGALQKDIPESANLPSNCSQTHCSNSSKSSLYGVIFGVIGVFTVLTVIGLFTFTWYRRQKQKIGSAFDTSDGRLSTDQVREVYRKSASPLISLEYSNGWDPLAISQSKNGLSQEFLESFMFNLEEVERATQCFSEVNLLGKSNYSATYKGILRDGSAVAVKCITKTSCKSDEANFLKGLKNITSLKHENLVRLRGFCCSKGRGECFLIYDFVPNGNLLQYLDIKEGSGKVLEWSTRISIINGIAKGIGYLHGNRRNKPTLFHQNISAEKVLIDRRYNPLLSDSGLHKLLADDIVFSILKASAAMGYLAPEYTTTGRFTEKSDVYAFGMILLQILSGKRIVTAMTRAAVESCKVEDFVDANLERKFSESEATKLGRLALLCTHESPHCRPTMEVVLEELSEFIVVA >Manes.12G147600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:37077919:37081825:-1 gene:Manes.12G147600.v8.1 transcript:Manes.12G147600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSYRRRYSPSSVDHRHSRRSKRDRSRSLYSNSYSRRRSRSDSPRHRRSRSPSYRRRKSPSPTPRRRRRHRSKSGSFSPLPRSPTPSLASSERKNATEKLKKEEEEKKRLQHEAELRLLEEETAKRLEEAIRKNVEERLNSEKVKLEIERRIEEGQKKLFDDVVAQLEKEKEVALSEARRKEEQARKEREELDKMLEENRRRVEEAQRREALELQRKEEERFRELELIQRQKEEAARRKKLEEEEEQANRIKTPSKNKSKQKLPFGIGL >Manes.16G016600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1633293:1637016:1 gene:Manes.16G016600.v8.1 transcript:Manes.16G016600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYYHWKTFEEDEDRPEKPRRYGVTEMRGPQYNLLGHNMLQDIFESMGQFVDGLKFSRGSHSLMPKSFIKEVIDMAHQHDVYVSTGDWAEHLHRKGPSAFKEYIEECKNMGFDTIELNVGSLGVPEETLLRYVRLIKSGGLKAKPQFAVKINKSDIPMSGDRAFGAYIPPTPRSSEIVEDVDLLIRRAERCLEAGADMIMIDADGVCKDAESLRADIIAKVIGRLGLEKTMFEACSARTAEWFIKSYGSKVNLFVDHSQVIGLECLRGCNVGKNNAPFRSSPYYFLT >Manes.05G207500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33427437:33428875:1 gene:Manes.05G207500.v8.1 transcript:Manes.05G207500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVNMNRYCLLIVFLQAVITHLSVIDGLKSEKLCSQCSTCDSNQCPASDAYPHMTAFDDTLIAGALQSDYVDANDRGVYSVPNVKGGTSAKYNAYFGWESTSGSASGYHRFRNYMDRCSGGQSYLTVDKHGEVRLRSLESLKSLAEADWKSINPPNKLNHRGFRFWVSHSTGKCLTVLGGKKDKKTVGVAKCKFDGSNAFQLFAFRFHYHKAFCCCRVQNE >Manes.06G033951.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9938630:9943512:1 gene:Manes.06G033951.v8.1 transcript:Manes.06G033951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATNLKAETVKLAERRAVIEAEMNAIIERLCQPGGPGLSGNLVDAEGFPRADIDIPAVRAERNRLAVLRSDHKEITEKISENILVLHSARLASRPSSPKDSVHDGASNNLDSSTATAAASSHNVVLGESPSSMDLDVIVSIPFAVVDAIADGSPTAEDGLQLGDQIVKFGSVEYKVGDHLLQSLATEAQANQGHAIPVVVLRQGAPMNATVTPRAWQGRGLLGCSFRIL >Manes.16G074000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27637288:27642930:1 gene:Manes.16G074000.v8.1 transcript:Manes.16G074000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRPRQRLVFSGFTEAEMEKMEKLLKESRQPMNKEFFQKIARSFNYSSSRAGKPIVRWTEVQSWFQNRQEHCPSKLASSSDASKDGPHCPKSSLSNEAKESSQMPKGEGVPDLSELEFEARSSKDGAWYDVDMFLCHRILPSGEAEVRVRFAGFGAEEDEWVNVKNAVRERSVPLEHSECHKVQVGDLVCCFQERRDQAIYYDAHVIDIQRRMHDIRGCRCLFLIRYDHDNTEASIVFFALPC >Manes.16G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27637288:27642930:1 gene:Manes.16G074000.v8.1 transcript:Manes.16G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRPRQRLVFSGFTEAEMEKMEKLLKESRQPMNKEFFQKIARSFNYSSSRAGKPIVRWTEVQSWFQNRQEHCPSKLASSSDASKDGPHCPKSSLSNEAKESSQMPKGEGVPDLSELEFEARSSKDGAWYDVDMFLCHRILPSGEAEVRVRFAGFGAEEDEWVNVKNAVRERSVPLEHSECHKVQVGDLVCCFQERRDQAIYYDAHVIDIQRRMHDIRGCRCLFLIRYDHDNTEERVRLRRLCCRPAC >Manes.08G038700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3817242:3820448:1 gene:Manes.08G038700.v8.1 transcript:Manes.08G038700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTDAVILLTSSEDDEAANDDKEKRIAEHSDIHGFEYLKDIIEARASMRRKKRKKKNKKKCNQNAAFQSQDQVRVDSATEEQQSGQAKAMEIKEVTETESVFPPGNAVSQEITGEMQADVSEAVKTTIVPETKSDSISRQNSRQKIFEQLVHEITIPTLQVTLSPSRKEEIKSLKEIVSSPILEVSPSPLHEKASTIQTTTPIIDASEPLAEAGQPQTMTSNQQASKRRRLTFPKIRPLPVAQTPPNALPSNTTESSISAQKFSNMQMLSKNLLAELSSRAKILNSPLNNECTVSVVLGSDILQQQRKVMATFLNMSLEDIYHAEAFDNVERTALGLIQLTSNPLERSNLEDVISLLAQFKEDVPNAVSLAEAARAQRTSLPEKTKILDTKLDQYQEQLGSLEAEFSKLESAAAEIDAQIQLLITKKEELLLQRNSVALNLEKTNQEASRALEEWRSLEKEIKEADDNWVRADQMLVQYNGDWKHFGKNFSL >Manes.08G038700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3817242:3820448:1 gene:Manes.08G038700.v8.1 transcript:Manes.08G038700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTDAVILLTSSEDDEAANDDKEKRIAEHSDIHGFEYLKDIIEARASMRRKKRKKKNKKKCNQNAAFQSQDQVRVDSATEEQQSGQAKAMEIKEVTETESVFPPGNAVSQEITKGEMQADVSEAVKTTIVPETKSDSISRQNSRQKIFEQLVHEITIPTLQVTLSPSRKEEIKSLKEIVSSPILEVSPSPLHEKASTIQTTTPIIDASEPLAEAGQPQTMTSNQQASKRRRLTFPKIRPLPAQTPPNALPSNTTESSISAQKFSNMQMLSKNLLAELSSRAKILNSPLNNECTVSVVLGSDILQQQRKVMATFLNMSLEDIYHAEAFDNVERTALGLIQLTSNPLERSNLEDVISLLAQFKEDVPNAVSLAEAARAQRTSLPEKTKILDTKLDQYQEQLGSLEAEFSKLESAAAEIDAQIQLLITKKEELLLQRNSVALNLEKTNQEASRALEEWRSLEKEIKEADDNWVRADQMLVQYNGDWKHFGKNFSL >Manes.08G038700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3817242:3820448:1 gene:Manes.08G038700.v8.1 transcript:Manes.08G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTDAVILLTSSEDDEAANDDKEKRIAEHSDIHGFEYLKDIIEARASMRRKKRKKKNKKKCNQNAAFQSQDQVRVDSATEEQQSGQAKAMEIKEVTETESVFPPGNAVSQEITKGEMQADVSEAVKTTIVPETKSDSISRQNSRQKIFEQLVHEITIPTLQVTLSPSRKEEIKSLKEIVSSPILEVSPSPLHEKASTIQTTTPIIDASEPLAEAGQPQTMTSNQQASKRRRLTFPKIRPLPVAQTPPNALPSNTTESSISAQKFSNMQMLSKNLLAELSSRAKILNSPLNNECTVSVVLGSDILQQQRKVMATFLNMSLEDIYHAEAFDNVERTALGLIQLTSNPLERSNLEDVISLLAQFKEDVPNAVSLAEAARAQRTSLPEKTKILDTKLDQYQEQLGSLEAEFSKLESAAAEIDAQIQLLITKKEELLLQRNSVALNLEKTNQEASRALEEWRSLEKEIKEADDNWVRADQMLVQYNGDWKHFGKNFSL >Manes.08G038700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3817242:3820448:1 gene:Manes.08G038700.v8.1 transcript:Manes.08G038700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTDAVILLTSSEDDEAANDDKEKRIAEHSDIHGFEYLKDIIEARASMRRKKRKKKNKKKCNQNAAFQSQDQVRVDSATEEQQSGQAKAMEIKEVTETESVFPPGNAVSQEITGEMQADVSEAVKTTIVPETKSDSISRQNSRQKIFEQLVHEITIPTLQVTLSPSRKEEIKSLKEIVSSPILEVSPSPLHEKASTIQTTTPIIDASEPLAEAGQPQTMTSNQQASKRRRLTFPKIRPLPAQTPPNALPSNTTESSISAQKFSNMQMLSKNLLAELSSRAKILNSPLNNECTVSVVLGSDILQQQRKVMATFLNMSLEDIYHAEAFDNVERTALGLIQLTSNPLERSNLEDVISLLAQFKEDVPNAVSLAEAARAQRTSLPEKTKILDTKLDQYQEQLGSLEAEFSKLESAAAEIDAQIQLLITKKEELLLQRNSVALNLEKTNQEASRALEEWRSLEKEIKEADDNWVRADQMLVQYNGDWKHFGKNFSL >Manes.05G125900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22851462:22852892:-1 gene:Manes.05G125900.v8.1 transcript:Manes.05G125900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHGALDTRIGAPNYFSAMIRRFYPDSLASLAVGLQYDKHEKLTYRMRAKKAFHVTNDGLLSFKIKGWCNIDKDLKKRKSKGAAEFSWSIINFQKDQDVRFKIGYEVVGKVPYLQIRENNWTLNADMNGRWNIRFDL >Manes.08G049500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5078267:5085236:1 gene:Manes.08G049500.v8.1 transcript:Manes.08G049500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPSTAAGHENKKNNKKQNPFAIDYGVNNGVQHRLTVLKDPTGREIEQRYELGQELGRGEFGITYLCTDKETGDTFACKSISKKKLRTAVDIEDVRREVQIMRHLPKHPNIVSLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRDYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSIIDFKRDPWPMVSDNAKDLVKKMLDPDPNRRLTAQQVLEHPWLQNAKTAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEGFELMDTSNKGKINVDELRIGLQKLGHHITDTDLQILMEAGDVDRDGHLDYGEFVTISVHLRKMGNDEHLRKAFEFFDKDQNGYIEIDELRDALADEIDENSEEIIYAIIHDVDTNKDGRISYEEFATMMKAGTDWRKASRQYSRERFSSLSLKLMQDGSLQVNSEGR >Manes.02G155100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12056831:12058656:1 gene:Manes.02G155100.v8.1 transcript:Manes.02G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRGPMKRTTSMSEITFDLDSESAPPSSDPHIAHKQMAGFGAQLDQRFLVATVSPRTHRRASADFLETAHFLRACTLCKRRLVPGRDIYMYRGDSAFCSLECRQQQMNQDERKEKCSLLASKKEVVATSTTAPEVSTKGETVAAL >Manes.05G180800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29754759:29755837:-1 gene:Manes.05G180800.v8.1 transcript:Manes.05G180800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCILRSCLHWISSPEAQGNATLFLAKFFGRSDLMSLISAVPESQRPALFQSLLFEACGRTVNPVNGAVGLLWSGNWHVCHAAVETVLSGGILLPLSGIFAGVLAPNYDELTDSFSAEACELSNMWTQSKPYGNIGRENQASVLNDSLTPKLVTGRAGRVKRSRGAASFYTEESSETTTVTSNDGDRKKLLKLFV >Manes.09G089301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26802164:26803634:1 gene:Manes.09G089301.v8.1 transcript:Manes.09G089301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSVVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELTGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKSCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.05G049600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4123168:4125445:-1 gene:Manes.05G049600.v8.1 transcript:Manes.05G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILEQQSNFKHFCKICKKGFGCGRALGGHMRAHGIGDDNAQMDDEDPASDWEDKLGGNVPPSSKRMYALRTNPNRFKSCRACENCGKEFLSWKSFLEHGKCSSEDVESLVSSPGSDGEDATPRGGCGWSKRKRSLRAKVSNFSSNCPSSEEEDLANCLMMLSNAAFDPLIAEPEESCASASKEEERRNPMNFIAPMPYRAPMDKAKGVAKGMFECKACKKVFNSHQALGGHRASHKKVKGCFAARLDQGLDDSLADEDVITHEEFFPAKSTSTFQFDHGSNAPLASTSKRKSKVHECSICHRVFSSGQALGGHKRCHWITSNSPDASSLAKFHHFQDRIEQIQQRPKFIDNIPETLDLTLDLNLPAPADEQNGVRRETPANPPNYEVSTEIYLQTWIGIEAKVKDETQQHHHHQNEDDNDKNNNPTANNNNTNCNGSMQNVDDEADSKVKLAKLSELKDMNMHGNSSPWLQVGIGSTADVGANP >Manes.03G069700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10320457:10341140:1 gene:Manes.03G069700.v8.1 transcript:Manes.03G069700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLHPCGSIHINFEVDDGFECVRWSCINSVELILMLIFFFQIAAMQGQLQCSGCRNILLYPRGAANVCCALCNTINFVPPPLGMEMSHLICLGCRALLMYPHGATSVRCSCCHTTNPAPVSSQVAQINCGNCRTTLMYPYGAPSVKCAICQYVTNLSMANLRVPLPANRPNGTTGMIPYTSTSTPHSQTQTVVVENPMSVDERGKLVSNVVVGVTTERK >Manes.11G164000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32865943:32871934:1 gene:Manes.11G164000.v8.1 transcript:Manes.11G164000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSSSSSNQSRAGSSSAARPETQVTVTTQVFSSLKDEIIGDEPQELHIDELLEYCGNSDNKPSKSDDLLMEGLHGDRNESLSDETVDTEKIVDTERVGSGDLIKELGGLRIVERPAAEGEGCSSVFPEVTSGSAQPPPPPVPPPKPLAPNSNSNLRRFASGSSNSVRIGSSRRAVAWPVVSSRTSPSGSRPSSPRSHGDTEGYNSADEQNPCFVSSYDDLERERQFEIDIRRSKGLEVKKMLEDGNCLFRAVADQVYGDPEAYDLIRQMCIDYMERERDHFSQFITEGFTFYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYSTDTPPIRLSYHHGNHYNSLVDPRRLAIGAGLGFSCLRGTNVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYLANDKLIQQLGHKESSTSGAEPSSSGARSSGSETKVRARSLQDSVLSSSMQMVLSMGFSYLQVIEAYNIFGDDVDSMVCYLLETGSSRRKGKATE >Manes.11G164000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32865943:32871934:1 gene:Manes.11G164000.v8.1 transcript:Manes.11G164000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSSSSSNQSRAGSSSAARPETQVTVTTQVFSSLKDEIIGDEPQELHIDELLEYCGNSDNKPSKSDDLLMEGLHGDRNESLSDETVDTEKIVDTERVGSGDLIKELGGLRIVERPAAEGEGCSSVFPEVTSGSAQPPPPPVPPPKPLAPNSNSNLRRFASGSSNSVRIGSSRRAVAWPVVSSRTSPSGSRPSSPRSHGDTEGYNSADEQNPCFVSSYDDLERERQFEIDIRRSKGLEVKKMLEDGNCLFRAVADQVYGDPEAYDLIRQMCIDYMERERDHFSQFITEGFTFYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYSTDTPPIRLSYHHGNHYNSLVDPRRLAIGAGLGFSCLRGTNVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYLANDKLIQQLGHKESSTSGAEPSSSGARSSGSETKVRARSLQDSVLSSSMQMVLSMGFSYLQVIEAYNIFGDDVDSMVCYLLETGSSRRKGKATE >Manes.11G164000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32865942:32871934:1 gene:Manes.11G164000.v8.1 transcript:Manes.11G164000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSSSSSNQSRAGSSSAARPETQVTVTTQVFSSLKDEIIGDEPQELHIDELLEYCGNSDNKPSKSDDLLMEGLHGDRNESLSDETVDTEKIVDTERVGSGDLIKELGGLRIVERPAAEGEGCSSVFPEVTSGSAQPPPPPVPPPKPLAPNSNSNLRRFASGSSNSVRIGSSRRAVAWPVVSSRTSPSGSRPSSPRSHGDTEGYNSADEQNPCFVSSYDDLERERDHFSQFITEGFTFYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYSTDTPPIRLSYHHGNHYNSLVDPRRLAIGAGLGFSCLRGTNVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYLANDKLIQQLGHKESSTSGAEPSSSGARSSGSETKVRARSLQDSVLSSSMQMVLSMGFSYLQVIEAYNIFGDDVDSMVCYLLETGSSRRKGKATE >Manes.16G057700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21507735:21521844:-1 gene:Manes.16G057700.v8.1 transcript:Manes.16G057700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLRSRRRPRYGVHVCAVISALLLLLSVSLLHTRLSSSHHQGRYHHHRYYPLHSRNGSDSADIIHQNTLLSDSDDNSNDDVVDKIDEHDTFEDQNDTTGFRIDDEELSGGDLENGQTQIKRKISTSGYYMDHITGSIRRAPNKRSIDDWDDYNSFSVGFNVEDQSKAAFGSDDIPIDEEVRRKVIEVRGIEDALLLKVGKRSSPLREGWGDWFDKKSDFLRRDRMFKSNLEVLNPMNNPILQDPDGVGVTGLTRGDKAVQKLLFNEFKKTPFLVKKPLRVLRINPEDKVEENGGVIGIRKPGDNGGDKGGESDFKNGGGIKIAERRMFFDNVSTGSRNERVNDVVENLISGGNMNLLNDDKRSTVIQGSSSNNRSSAENIKEPNGNRNSTTNDNSSDEKLRQMENREPKSHRKSEESSYIFADGKRWGYFPGLLPHLSFSDFMDSFFSIGKCDMRVFMVWNSPPWMYTVRHQRGLESLLLHHREACVVVLSETIELDFFAGSFVKDGYKVAVAMPNLDELLKDTPTHVFADVWHEWKGTKFYPTHYSELVRLAALYKYGGIYLDSDIIVLNPFPALNNTVGQENQLIGSSLNGAVMAFRKNSLFIMECLKEFYTTYDDTQLRWNGADLLTRVARRFLNRKDKSSTQLELTVQPSYIFFPIGSQDIASYFARPKAETEKGTQDASFNKILRESLTFHFWSSSTSALIPEPGSLVAQLLDHTCIRCFDVL >Manes.08G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3549905:3555011:-1 gene:Manes.08G036600.v8.1 transcript:Manes.08G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKPETHMTSAVAFVEGGIQDSYDDACSICLEEFCESDPSTVTNCNHEYHLQCILEWCQRSSQCPMCLQSINLKDPTSQELLEAVERERNFRAAPSRNATIFRHPTLGDFELQHLPVGASDSDLEERIIQHLAAAAAMGRTHHFSRRDVQRNRQSSHGRPHFLVFSTHPGAPSSGHVSSSPTEVGGENEPAAVSVANPSSPIAFCGDPPPQQNLQFPSAVMDQGSSASGSAIMRTNHQGMSFNNRTASHSSSPNEDRGGPSEFQSFSESLKSRLNAVSMRYKESISRSTRGWKERLFSRSSSDLGSEIRREVNAGIASVSCMMEHLETGDNSRANQVSVPTRSTDYSVAERSNQNNANTGRQSPLNESNTLASCAASPAST >Manes.08G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3549905:3555011:-1 gene:Manes.08G036600.v8.1 transcript:Manes.08G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKPETHMTSAVAFVEGGIQDSYDDACSICLEEFCESDPSTVTNCNHEYHLQCILEWCQRSSQCPMCLQSINLKDPTSQELLEAVERERNFRAAPSRNATIFRHPTLGDFELQHLPVGASDSDLEERIIQHLAAAAAMGRTHHFSRRDVQRNRQSSHGRPHFLVFSTHPGAPSSGHVSSSPTEVGGENEPAAVSVANPSSPIAFCGDPPPQQNLQFPSAVMDQGSSASGSAIMRTNHQGMSFNNRTASHSSSPNEDRGGPSEFQSFSESLKSRLNAVSMRYKESISRSTRGWKERLFSRSSSDLGSEIRREVNAGIASVSCMMEHLETGDNSRANQVSVPTRSTDYSVAERSNQNNANTGRQSPLNESNTLASCAASPAST >Manes.05G030600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2606810:2608940:1 gene:Manes.05G030600.v8.1 transcript:Manes.05G030600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRDASNEIEDKSKELIIIHNIPQVLDTLISEPALWPELCIYRVPRKLRAINPAPYTPQLISIGPFHCEDKALMPMEKQKLRYLAEFSKRTGIPWTELAKKIKEWEITIRHCYEETFDKVSSVEFIKMILLDSVFIIELFLRRGEKYGLIRKQRHGNFKDDFILGKSTREYCLLGDLILVENQLPYFVLDDLYEFSIGNDNEEGYPSFFNLMKFNLHDYLSPPEIQENENSPCDCFSCLYCFWISRCFSCQKHDCQSPDDEEEDDRLLEKPLHFTDLVRKHRSFKHPKSKNDGSVMKLYNATMLHEAGVKFKASPEAWPLDIKFERGELKIPRFLADDNTERVIRNLMAFEQCHYPDQPLICDYIWVLDFLINTAKDVDLLVRKGIIVNLLGDSEAVAKLVNNLGLEITASGSCFYDLSEQLNKHYENPWCRTVAIMRSAYFSNLWRGTGTIAAIVLLFFTFTQSLYSISQIF >Manes.13G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31920884:31924265:1 gene:Manes.13G113700.v8.1 transcript:Manes.13G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLDFFFIAVISLLCSFFLAKLLSVATADSHGHEFKRRIVDNDDLSFRAESNDYAWEKERKFGFVSEILGVDEVSESVENKLAQQESSRECLGSSNLIEDGKTSNNQLPREEIEIVDLTAEDSEDGAACECEDHLVDESHQKEIEMNLINNELGMNKSEVNCGIDGRKKELIEDDDDDDDWEGVERSELERLFGAAVAYVGSIDNISSFSTELILKFYGLHQVAIEGPCHVPPPMPLKFSARSKWNAWQQLGNMRRELAMEQYINLLSSHFPGWMKDDFGEEDTHGFADNDASRNLASDLRPSQENQLDLLFER >Manes.S040016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1141105:1141263:1 gene:Manes.S040016.v8.1 transcript:Manes.S040016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.02G087801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6928818:6937179:1 gene:Manes.02G087801.v8.1 transcript:Manes.02G087801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSESNANRSQIPPGMKKIVQSLREILNHKYTNDEIYSALRNCHMDPYDTVQRLLSQDIFHEVKSKRERRKEMKETQESRAWGSNNGYHGVKADAEYNVDHASSQISYNDDVGKVESMRGNLSVASSITSSSPLTYHVKTTKEPPSLYRSFNVDNGRQTFGTGGSILSSEQTSGRSLAALDSGPRGHVSMADIVKMGRPHVQGSSVAAETSNTLEDMDARDSLDSFLKPSHDSSPSPAPLELHQDLQCSHPANLSKSAYQSGTTTTSQHNFDDKWPVIEQQESGRESCSRSSFANGSVLLNGKVSSTAANLKQLSLEKESKLVLLPEDDHPLVFPNDMRASAADCQHLSFGTFNSGLQQLSLEKESKLVLLPEDDHPVVFPNDMRASAADCPHLSFGTFNSGVHAKISGPLASKPSKSNLKEVPAVIDGSSSVCLDTRNSGYFGESLCSKQVGLISDAHQLTAHVRDHNLSTSLQPELTRENIHEFNNGHDFTSEKSVPDSCFKNIQEPTTPWPVMISPHASDIPPLHCELILNSGAFSLPDSYSSTMPGVGFGAGLVLPRHLVAHSYPRSSSGYSAVCQTYPCTPSALQQAYQHSSVFRDPRARMKYNLQPSKEVLPRSSLPLSSANICSYEGLGNPINLSGRFLNDLSTIPNVVLGNPANFSERSLNDLPTTLGGYAVDYNDFLRGQCEEENNFTMLQQDYGRGSRTSSTVTENGYYCLQRQSQLRSTHQDQQRSQNYGALGCINVYHSQQTISQDEQLKSLGDSAFRSSQGPSEQFQPFWRHIW >Manes.08G078300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19166753:19170424:-1 gene:Manes.08G078300.v8.1 transcript:Manes.08G078300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSLVTGWPGPSGFGSASSAEQVTEGIDASHLTAIITGGASGIGLETARVLALRKARVIIGARNMEAANEAKKIILEEVEDGRVDVLKLDLASIKSVREFARNFIALNLPLNILINNAGIMFCPYQLSEDGIEMQFATNHIGHFLLTNLLLDKMKETAKTTGVEGRIVNLSSIAHIHTYEDGIQFDDINNPTSYSDKRAYGQSKLANILHANELTRCFQEEGVNITANAVHPGLIMTNLMKHSAILMRILKFFSFLLWKNVPQGAATTCYVALHPNLKGVSGKYFVDCNEIKPSTFARDELLARKLWDFSNKLINSSSKSY >Manes.07G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3606221:3608130:1 gene:Manes.07G032800.v8.1 transcript:Manes.07G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLKRHAVCVPFPAQGHINPMLKLAKLLHFRGFHITFVHTEFNYQRILSSGGPDTLKGLHDFRFETISDGLPEGSLRGIEDLQNLCITLPDQGRRSFHDLIVKLNGSSDLPDVSCIVSDGVMSFTLEVAGEFGIPEMIFFTPSAVGMLGYLHFEELVTRGYVPLKDSNCLTNGYLETVIDWIPAMEGIRLKNIPTFIRITDLNDVFFNHNLTSLNNSLKAKGLILNTFDELDKQVLDEINATFPVLYTIGPLSMLQQNLPSPNVESIESNLWKEDTECLSWLDKKEPKSVVYVNFGSIITVSQDQLEEFAWGLANSKYPFLWVIRPDLFAGGEKIMAKDFMDDIKGRGLLVGWCPQERVLSHASVGGFLTHCGWNSTLESICHGVALICWPFFADQQTNCLFSCEKWGIGMEIDGDVKREKVEEVVRELMEGKKGREMRVKAMDLKMKAQVATDFGGSSYCNFEKLVNDLMI >Manes.03G007900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:654927:662872:1 gene:Manes.03G007900.v8.1 transcript:Manes.03G007900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENYRLRYGLSSMQGWRATMEDAHAALPDLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYMAGEIGTSVQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRSSDSNDQPDDWAFEEGPHSDFSGPTSGSTACVAIIRNNQLVVANAGDSRCVISRKRKAYDLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFIHEQLSMESKLSAVCERVLDRCLAPSTAAGEGCDNMTMMLVQFKQPIQHTASSNEQSSESEFADSGSKQKENESK >Manes.03G007900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:654927:662872:1 gene:Manes.03G007900.v8.1 transcript:Manes.03G007900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENYRLRYGLSSMQGWRATMEDAHAALPDLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYMAGEIGTSVQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRSSDSNDQPDDWAFEEGPHSDFSGPTSGSTACVAIIRNNQLVVANAGDSRCVISRKRKAYDLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFIHEQLSMESKLSAVCERVLDRCLAPSTAAGEGCDNMTMMLVQFKQPIQHTASSNEQSSESEFADSGSKQKENESK >Manes.03G007900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:654927:662872:1 gene:Manes.03G007900.v8.1 transcript:Manes.03G007900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENYRLRYGLSSMQGWRATMEDAHAALPDLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYMAGEIGTSVQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRSSDSNDQPDDWAFEEGPHSDFSGPTSGSTACVAIIRNNQLVVANAGDSRCVISRKRKAYDLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFIHEQLSMESKLSAVCERVLDRCLAPSTAAGEGCDNMTMMLVQFKQPIQHTASSNEQSSESEFADSGSKQKENESK >Manes.03G007900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:654927:662872:1 gene:Manes.03G007900.v8.1 transcript:Manes.03G007900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENYRLRYGLSSMQGWRATMEDAHAALPDLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYMAGEIGTSVQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRSSDSNDQPDDWAFEEGPHSDFSGPTSGSTACVAIIRNNQLVVANAGDSRCVISRKRKAYDLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWDCLSSQQLVDFIHEQLSMESKLSAVCERVLDRCLAPSTAAGEGCDNMTMMLVQFKQPIQHTASSNEQSSESEFADSGSKQKENESK >Manes.03G007900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:654927:662872:1 gene:Manes.03G007900.v8.1 transcript:Manes.03G007900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENYRLRYGLSSMQGWRATMEDAHAALPDLDASTSFFGVYDGHGGKVVAKFCAKFLHQQVLRSEAYMAGEIGTSVQKAFFRMDEMMRGQRGWRELAVLGDKINKFTGMIEGLIWSPRSSDSNDQPDDWAFEEGPHSDFSGPTSGSTACVAIIRNNQLVVANAGDSRCVISRKRKAYDLSRDHKPDLEAERDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDEFIVLACDGIWYSSNTFIS >Manes.03G098716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17817265:17817939:-1 gene:Manes.03G098716.v8.1 transcript:Manes.03G098716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNSVAQSKYAQIIGSLLYLMNFSRLDIAYTVCRLSRYTHNPNDDHWAALVRLGKYLRGTMNYGILYGRFFVVLEGYSDANWISYSDEIKSTSGYVFTLGGGAITWKSTKQNIIAKSTMDSEFIALELAGTEVEWLRNFLADILLGIKSISSVSMRCDCQTTIAIAKSKTFNGKNRHIHLRHNVIKQLPKNGTISIDYVKSEVNLADPLTKHLGRKLIDEISRGM >Manes.18G145798.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:32008917:32009270:1 gene:Manes.18G145798.v8.1 transcript:Manes.18G145798.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHTININIMAEKVTEFSMEDKDESIEIDITPGESTNGNWDLRWTLVGRFMGERFIRLHEMSQIMASNWRTGMGVSILEISPQRFLFQFAHEEDIRRIVEGLCCAQNSQRWWDSEL >Manes.09G177901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36810327:36810908:-1 gene:Manes.09G177901.v8.1 transcript:Manes.09G177901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNFNHNRTSFCTRHQTVHTLNLLPDHNLFPIVNYTPSPGPTVHHFSIQTSETMSLFCQSSSHLYKTLFPYYHILEFTQLIQRSVEKERERARMAGWWSTSTFRWLGLDFAYSSLRSSSIFRWMQFMSSSYSSTWWAPRGVLVNWDSQWFLRWSALDFSIVDDVVWSLITAFESVALVSMLCFFFVFCGCTV >Manes.01G064400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26275994:26276843:1 gene:Manes.01G064400.v8.1 transcript:Manes.01G064400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNSFLISMILLSSLFFTSTDGATFNIRNDCPYTVWAAASPGGGRRLDQGQTWDLNVPAGTSLARIWGRTNCNFDSSGIGHCETGDCGGLLNCLGWGNAPSILAEYALNQFGNLDSYDISLVDGFNIPIEFSPISGGAVHFSALLTLPSNALTS >Manes.10G009700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1078382:1078918:1 gene:Manes.10G009700.v8.1 transcript:Manes.10G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINQLTVAICSLLLLPFAFPSISAYESTPAKPAEKKVDVVVEGMVYCQSCDHYGSWSFKGAEPIPSAKVSVICKNHMKQVSYYKAYETNEYGYFYAQLDDFKMDNNILDHPLQSCYVKLVSSPLANCSLLSNVNYGIYGASLRYKNKILRGSHYEAVIYSAGPLAFRSAHCSPETHV >Manes.13G153500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36752978:36756639:1 gene:Manes.13G153500.v8.1 transcript:Manes.13G153500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMGSQFGDTTYTKVFVGGLAWETQKETMKKYFQQFGEILEAVVIIDKNTGRSKGYGFVTFKEAEAARKACVDPSPVIDGRRANCNLASLGVQRSRPSTPQHGGRNFRVMKSFHTGGVGSLPFHSTPTLPHYAIQQGIPYTALYGYAPNYTCPMSYYSSFGGTSSQYLVYGTVANGTIANSNSSFYPYMQYGVGFDFQYPQHHFQYPFIATSSVPQHYSPPISVNAIPHSQAAAGPSVSTAIAAPTVAALAPHYPSQTNSLRPISSV >Manes.13G153500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36752978:36756639:1 gene:Manes.13G153500.v8.1 transcript:Manes.13G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMGSQFGDTTYTKVFVGGLAWETQKETMKKYFQQFGEILEAVVIIDKNTGRSKGYGFVTFKEAEAARKACVDPSPVIDGRRANCNLASLGVQRSRPSTPQHVGGRNFRVMKSFHTGGVGSLPFHSTPTLPHYAIQQGIPYTALYGYAPNYTCPMSYYSSFGGTSSQYLVYGTVANGTIANSNSSFYPYMQYGVGFDFQYPQHHFQYPFIATSSVPQHYSPPISVNAIPHSQAAAGPSVSTAIAAPTVAALAPHYPSQTNSLRPISSV >Manes.05G014300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:677504:683306:-1 gene:Manes.05G014300.v8.1 transcript:Manes.05G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSLILLVLGSVLIGWTSSHQESGDWSCESDSGIRVQAEFRPGIVTLDGHADDWNDIDGFEFSLLPALDPDDDKEYKGGKMTVKALHDGKDLFFLLQVDGDYAYAKGNNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWHTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGNPWHGSGHFSINCDWIPLDISPSSSMLSPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.10G094333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24115212:24118724:-1 gene:Manes.10G094333.v8.1 transcript:Manes.10G094333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLANRNEPNWTQPQPRGGAKFMGKVPYSNPNPKLSKKRQFQAAQPVINFNGPPAITQPAASDDASSINRRPASDFNGVGYVTFNIASYSKKELVELKNRLVEELEQIRQLKNRIDSSEFQVRSTSNFHNKKPIATTNSNKKILGNKRPFPAANFGFGAKDTKRLIHPEHGQLMKKCAQILSKLMKHKHAYIFNVPVDVEGMKLHDYFDIIKNPMDLGTVKSKLGKGLYDSPMDLAADVRLTFNNAMKYNPKGHEVYSLAEQLLSRFEEWYRPIRGKIGEDDQDLVDEHEQVQEVQASSWDHIPNRCEIDRVKKDQENITHAPKSDPIGKSMPPIGSNAQSTSQLPVRTPSPMRAPPVKPVKLPKPKAKDPNKREMSLEEKHKLGIGLQSLPQEKMEQVVQIIRKRNGHLRQEGDEIELDIEAVDTETLWELDRFVTNYKKMVSKIKRQALMGINNMSAASEGNKGALGNERMDVATEAKKPKKGDAGDEDVDIGDEMPMSSFPPVEIEKDNGHASSSSSSSSSSSDESSSSSDSDSGSSSGSDSEDAHS >Manes.05G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25791808:25792655:-1 gene:Manes.05G140100.v8.1 transcript:Manes.05G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLLLLALPFLLSFLLRKLKTKRNLHLPPGPKGLPFIGNLHQFDSLNPHSYLWQLSQKHGPVMSLRLGFVPILVVSSAKMAEAVMKTHDLIFCSRPALVGQQRLSYNGLDLAFSPYNAYWREIRKICMVYLFNSNRVQSFRPIREFELSHMLEKISKSAVASKPVNLSEAMMSLTSTIICRVAFGKRYEEDGVGRSRFQELLKEAQALFMCFFVADYFPFSGFIDKFLGFFWTATLFAMKSIVQHSHSLRPQFGATN >Manes.04G042001.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5134135:5135117:1 gene:Manes.04G042001.v8.1 transcript:Manes.04G042001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKEKEKEKRKKKKKEKEKEKRKKKKKKTKKEKDKRKKKKKKKKKEEEKEKKKKKNKKKKKKKKKEEEKEKKKKKKKKKKKKKKEKEKEKKKKKKKKEKEKEKRKKKKKTKKEKDKRKKKKKKKKEKEEKEKKKKKKKKKEEEEEEEEGEEEEAEAEEVEAKEKEEEKKQKQKKQEELMKKKRKEEEEGNLVFYYIVNGKNRQKDYFVDGEKR >Manes.11G040505.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4269709:4270987:1 gene:Manes.11G040505.v8.1 transcript:Manes.11G040505.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTNYWRELQMKVNRWSSSRKYSSEKSQELSRNVLKKELFFSF >Manes.03G117700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24292534:24305208:-1 gene:Manes.03G117700.v8.1 transcript:Manes.03G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRSNLSRVRIPEPTNRIYKHECCISFDTPRSEGGLFVDMNTFLAFGKDCVGWNYEKTGNSVYLHIKQTKKLVPEDRPSKKPTLLAIGVDGGFDNNEPEYEETHNIVILPDYVTFPFPSVELPEKVRLAVDAILMAEGEERKGQLAAWTADKKQISAYAMNLQQIDNGVTVPPSGWKCAKCEKKENLWLNLTDGMILCGRKNWDGSGGNNHAIEHYKETKYPLAVKLGTITADLDAADVFSYPEDESVLDPLLSQHLAFFGIDFSSLQKTEMTTAERELDQNINFDWNRIQESGEELEPIFGPGYTGLVNLGNSCYMAATMQVVFSTRSFCSRYYMNLSLKEAFELAPADPTVDLNMQLTKLAHGMLSGKYSVPAMEKDDNANAATSTSSKQEGIPPRMFKSVIAASHPDFSSMRQQDALEFFLHFLDQVERANGGKPTLDPSRSFKFGIEERILCSSGKVAYNRRLDYILSLNIPLHEATNKDELEAFQKLKAGEISEGKDVSSEEIVRPRVPLEACLASFSAPEQIQDFYSTALKAKTTAQKSAGLTSFPDYLVLHMRKFVMEEGWVPKKLDVYIDVPDIIDISHMRSRGLQAGEELLPDGVPDGEADSNKLLANEDIVSQLVSMGFNHLHCEKAAINTLNTGVEEAMNWLLAHMDDPDIDLPISQEAQGTEVVDQSKIDTLISFGFEEELARKALKASAGDIEKATDWIFNNPNASISSDMDATTSSISPTPDDSGLPDGGGRYRLFGIVSHIGTSTQCGHYVAHVFKNGRWVIFNDNKVGASVNPPKDMGYLYFFERLDS >Manes.05G064201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5344601:5345262:1 gene:Manes.05G064201.v8.1 transcript:Manes.05G064201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRFQTMSDSIITTIDGMGTRINDLEQSINDLRAEMGVEGSPSPLAPSKQKPCEDKQEEEIKFHCARDPCFIDSAPNKVVYFFLFLII >Manes.02G206350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18217147:18220788:-1 gene:Manes.02G206350.v8.1 transcript:Manes.02G206350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMCWNCQGLDNLRTVNALKDFITSYKPDMLFLMEIKSLSSCMEFFRSFFHFDGFFSVNRVGLGGDLSLMWLSHVSVIVCGYSSNFINCNIPEKNVQWRFTGYYGFPKSNYRRHSWNLIRALSHRSSLPWLCAEDFNDLCSRDEKERGAPHPTYIIQGFRSALEDSNLSQIPTVDSFYTWEKGRDSGNLVREKLDRVVATEDWARRFPNVVCSEVFVPRSDHLPLVIDTVVKDRRDGSRRFRFDNAWLYDDNLKEVVRNAWCNPAQSNLLSKRDNMISEIKTWERSGICSLHRKKLDLSNRLRTKTKGKRRMNRIDRLKDQSGTWLEDDLEVKNHVSNCEHVLKLVPQLVSIIDNEFLCAPFTNEEFRRALFQMHPDKSTRSERLEPFFLSEILAFDW >Manes.05G142578.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:17732301:17733401:-1 gene:Manes.05G142578.v8.1 transcript:Manes.05G142578.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPSPADQSQAIIDPIADLQNGNQKSANQFTLDSAQPPSDIKLNDFNYVVWAKMMEMFITGRGKSNHLTGTPSPPIETDPAIYLWQTNDSIVRGWLIQTVEQKLRPNLLQHKTSKGPWDALKIRFNTGSNKLIIYELQSKAYKLTQQGSNLEDLYNDLQATWAEIDERQSTRIEGDNNIIIRNLEIQDERLYLFLAGVQSDLDPVYREILNEEPLPTLDNAYSRLRGEKLRRAIHLPLPSPATAGSDLVGAGLLAKNWSDTDKSSLRDDKSGLKCTHCGGSRHTRDGCFKIIGYPEWWEENKIRKKKGKGQGAGNTAAVTISSTQKAACGNNLIGQTEKNSGNGQSLGVAAAL >Manes.03G175300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29891833:29893521:-1 gene:Manes.03G175300.v8.1 transcript:Manes.03G175300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVFHRSRAQDLTLFGFPRVLLYVPLAATCKSYHSSHSCARSLSLHLLLLDTSTQGRIVCIMKRTLPWNEQTDVISDESSSSDVDMDINDRVDGQQLSPNITLDQPTTEMTSEVSRRAEMYQEYMSQVPIPAHHGSVIPFSSWVELGKSIKQLYGQPLHYLTNITLTKWDNLRAASEYEQKPLDMMIHPCKAEATIWLVEEIHRRTTSHHHIAELWLKDRMHHTYVDSIFPKI >Manes.03G175300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29891141:29893613:-1 gene:Manes.03G175300.v8.1 transcript:Manes.03G175300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLPWNEQTDVISDESSSSDVDMDINDRVDGQQLSPNITLDQPTTEMTSEVSRRAEMYQEYMSQVPIPAHHGSVIPFSSWVELGKSIKQLYGQPLHYLTNITLTKWDNLRAASEYEQKPLDMMIHPCKAEATIWLVEEIHRRTTSHHHIAELWLKDRMHHTYVDSIFPKI >Manes.03G175300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29891141:29892790:-1 gene:Manes.03G175300.v8.1 transcript:Manes.03G175300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLPWNEQTDVISDESSSSDVDMDINDRVDGQQLSPNITLDQPTTEMTSEVSRRAEMYQEYMSQVPIPAHHGSVIPFSSWVELGKSIKQLYGQPLHYLTNITLTKWDNLRAASEYEQKPLDMMIHPCKAEATIWLVEEIHRRTTSHHHIAELWLKDRMHHTYVDSIFPKI >Manes.04G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35332556:35333589:1 gene:Manes.04G159000.v8.1 transcript:Manes.04G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPVIKIAALSGSLRKASFNSGLIRSAIELSKESVNGIQIEEIDISQLPLLNADLIVDGTYPPVVEAFRQKIIKADSVLFASPENNYSLSAPLKNAMDWASLPPNCWGDKTAAVVSAGGGFGGGRSHYHLRQIGVYLDLHFINKPEFFLNAFQSPAKFDDDGNLIDAETKERLKEILVALRDFTLRLHK >Manes.15G022000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1738403:1742393:-1 gene:Manes.15G022000.v8.1 transcript:Manes.15G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPQCCANPPTLNPASGAGHVEKVGGLNSYVTGPSDSKLAILLIPDVFGYEAPNLRKLADKIAAAGFYVVVPDFFYGDPYSPDNSERPIQVWLKDHGPEKGFEDAKPVVEALKGKGVSAIGAAGFCWGAKVVVQLGKPEFIQAAVLLHPSFVSVDDIKAVEVPIAILGAEIDQLSPPTLVKQFEEVLTAKPEVDCHVKIFPKVAHGWTVRYNVEDETAVKHAEEAHGNLLEWFTKHLK >Manes.04G025700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3030960:3032866:1 gene:Manes.04G025700.v8.1 transcript:Manes.04G025700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRWKGKGSEAKALADPMSKIVSQLSTSLIQVDAHGLLSGCSVLLAVETEQMELLTRGCFGRPIITAEKDKQWFQLGPEEAFYLFYSLKCLKIVGEDNCHKNAFELWQYMKSKKASFPDSCKAYFHLRMKNWIVRSGSQYGVDFVAYCHHPSLVHSEYAVLVLSEEENGDMNERLRLWSDFHCTIRLCGSVAKTLLVVSINKNGHGAVSPSCLERFSIKEHIVTRWIPELSREDQSTTEKENQMQSQTGLL >Manes.10G035400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3521528:3522049:-1 gene:Manes.10G035400.v8.1 transcript:Manes.10G035400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLMFLFLFYFSTSTSVQGADIIEETCKKTEYYDLCVKTLRSDPRSSNADLKGLTQITLEASLVFGRGAFIKIKKMYNETKDKGLKSCLHVCVENYELAVVINLPGAIKLLGRNKFNDVNSYLSAAYDAPETCLDSFSEEPKTDVPPALAAWNDHFEQLCTIALDMLSNLGN >Manes.05G064300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5346423:5347113:-1 gene:Manes.05G064300.v8.1 transcript:Manes.05G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSIFLLTFYLLLSALIISSPAVDASGDHKLGWIPTKAQCQGSLAECIGEDELHMGSEISRRILQTTTTQYISYGALQRDTVPCSQRGASYYNCQPGAQANPYSRGCSAITRCRT >Manes.09G144900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34283551:34284703:1 gene:Manes.09G144900.v8.1 transcript:Manes.09G144900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLSFCELTMHVCGFKGGQIPAFGDWEHANELPITQYFESARQAGLIRYSSSGECDQYMHGDLYSTDFKKPSRDLGPQRKTTRVKERRVPHPHVKEQKKQVKVCDVTEPQRRHQRQKPISMYSNVSEKNNEVVSVHPRPKLPVRVRPPKPVDEDLYKIPPELLRSSKRKKKVAGFLSCLVPFCAP >Manes.09G144900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34282958:34284737:1 gene:Manes.09G144900.v8.1 transcript:Manes.09G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQIPAFGDWEHANELPITQYFESARQAGLIRYSSSGECDQYMHGDLYSTDFKKPSRDLGPQRKTTRVKERRVPHPHVKEQKKQVKVCDVTEPQRRHQRQKPISMYSNVSEKNNEVVSVHPRPKLPVRVRPPKPVDEDLYKIPPELLRSSKRKKKVAGFLSCLVPFCAP >Manes.18G062900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5538452:5539889:-1 gene:Manes.18G062900.v8.1 transcript:Manes.18G062900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRKKLRILKGLLKDKASIIRATLSNKRNKKIRIAVLRATTHDPSTSPSDDCIAAVLSLDQGSRLIACTCIEVLMDRLHDTKNASVVLKCLFTMHIIISRGSLILKDQLSVFLSFGGRNFLNLSMFRDESDPERWVLSSWVRWYAAIVEQNLKITRVLGHHLCSSFVATNSKGEEDKVLQLLNRDLFEEVDVLVEFVKVICEFPDSLHLQRNNLIYEIVRLVSEDYRSVQREISIRVMELGERIPSMSYSELTQLLGNLKRFESCKERLYLMFVNKNRNDALWELVGETRSKAVEMMKQKGEIKLLKMGNPNASSELTQFKFNSGGEFEYFDTVALTVSAMA >Manes.02G138200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10517239:10521330:-1 gene:Manes.02G138200.v8.1 transcript:Manes.02G138200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRILVEKIVPPSKTNSGILLPEKTSKLNSGKVVAVGPGDRDKDGKLIPVTLKEGDTVLLPDYGGTEVKLGDKEYHLYRDEDILGTLHD >Manes.02G138200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10517479:10520019:-1 gene:Manes.02G138200.v8.1 transcript:Manes.02G138200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPSLNRILVEKIVPPSKTNSGILLPEKTSKLNSGKVVAVGPGDRDKDGKLIPVTLKEGDTVLLPDYGGTEVKLGDKEYHLYRDEDILGTLHD >Manes.10G023200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2231176:2233085:-1 gene:Manes.10G023200.v8.1 transcript:Manes.10G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVSEAIQRIADLLIQEAVFLHGVQGEVDRLQDELKRMKCFLKDADRHHHEDERVRNWVAEIRDLAYDSEDVIDTFLLKVARGRGEGVRGFINKAFFMFTKASYLHRIGTQITYIRSKMEDINKSMQTYGIQLVEGEGTNYKRQQRYRRPDPHAEEEYVICLEAVISDLKARLMMEEEQVRVVSIVGMGGLGKTTLAKKIYNDVDVKQNFDFQSWIFISQQFSVKEVVVRILMDAASNEDKAKLLEDMKGGQPLKSKVGKMKEDEEFKCLLERMKEEDLIRRLHSTLIEKRYFVVLDDIWTTEAWDYLKPAFPNGKRGSKVLFTTRNTVVASHADPQSSVVEPPLLKDDEGWELLKRKTFPKDILIEDGCPPEFEKLGREMVKKCRGLPLAIVVLGGLLATKKSLKEWNSVHENIIAHFIKWEQRHQYGGVYGILGLSYDDLPFHLKPCFLYLSQFPEDWEFGKRELIRMWIAEGFILQPSIGGEEETMEDVGEEYLEELTSRCMVQVSERDHTGIGVKRCRVHDLIHIHTLPY >Manes.18G071400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6420807:6428542:-1 gene:Manes.18G071400.v8.1 transcript:Manes.18G071400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALTDKTVTISPASANLEQDDVDVQKHDHTQLSEETKSLDCSKSDSKLDKDDGKYQAGENSESNSVLEFKCRGDKTGDIIKHDDLSKMDESRDLESKYHCGKLNNDIQQVGLRQGKNLESKPEKGTELTMGENLAKEESDPVFDGVEVRRMEANTSASFRSLGADPKSEGSVWPEKARALRKLVKAKSVVAVTSFIRALSGRRTEFGQFPVDEGKEAPDSVKDTEPTETSQKPLDRSAWNPLKYIMSSRDVDPDNKTEQGVGVIEEPPEPIIMKGKIILYTRLGCQNCKEVRLFLYNKMLRYVEINIDVYPSRKLELEKFTGSCAVPKVFFNEIVIGGLSELKGLDESGELEDKIDYLINEAPTFEAPLPPLSGEDDVSSSGSIDELALIVRKMKESIVVKDRFYKMRRFCNCFLGSEAIDFLSETQYLEREEAIEFARKLASKLFFRHVLDENLFEDGNHLYWFLDGDPIVSSQCYNIPRGIIEAKPKSIIEIASRLRFLSCAIFEAYTSEDGKHVDYRTIHGSEEFARYLRIIEELQRIGFQDIRREQRLSFFINLYNMMAIHAILVLGHPDGALERRRLFGDFKYVIGGCTYSLLEIQNGILRANQRAPYNLMKPFAVNDKRSKAALPYPEPLIHFALVSGTRSGPALRCYSPANIDKELMDSARSFLRGGGLTIDVDAKVAYVSKILKWFSVDFGKNEAEILKHASNYLEPADSEALLELLASGQLKVVYQLYDWGLNL >Manes.14G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13893051:13896343:-1 gene:Manes.14G142300.v8.1 transcript:Manes.14G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYAWKPVSSVLSSSKRMRSKTGSCKSSLFNFLSLIIVFLVVSGEENAQQLLVKERISLLSFKSSVVLDPEGALKSWNSSNIHVCNWTGVKCNNSSSQIVQLDLSGLSLRGRISPALANLSSLVILDLSANFFSGQIPAELGYLSKLRQLSLSWNLLEGKIPFELGFLHQLVYLDLGSNRLGGDIPEPIFCNGSSSLEYIDLSNNSLSGEIPLKVECALRDLRFLLLWSNKLVGHVPQALSNSSKLQWLDLESNKLSGELPCKVFNKMPQLQFLYLSYNDFVSHDGNTNLQPWLASLANSSSFQELELAGNNLVGEIPPIIGDLSTSLAQIHLDENLLYGSIPPEISKLVNLTLLNLSSNLLNGTIPPELCHLGKLERVYLSNNSLYGEIPAALGGIPHLGLLDLSKNKLSGSIPDSFANLSQLRRLLLYENQLSGTIPPSLGKCINLEILDLSHNQISGIIPSAVAGLRSLKLYLNLSSNHLEGPLPLELSKMDMVLAIDLSSNNLSGTIPTQLGSCIALESLNISGNMLEGPLPASIGQLPYLKQLDVSSNQLSGEIPKSLEESPSLKQLNFSFNKFSGNVSDKGAFFSLTIDSFLGNKGLCGTIKGMSRCRKKHAYLSFIFPVLLSLLFATPFLCMFLVFRSRFRRQLAIFNQKNLEDEDKETKELKYPRISYQQLIEATGGFSASSLIGSGQFGHVYKGVLQDNTRIAVKVLDSKAARELSGSFKRECQVLKRARHRNLIRIITICSKPDFKALVLPLMSNGSLERYLYPTNGLNHGLDLVQLVSICSDVAEGVAYLHHYSPVRVVHCDLKPCNILLDDDMTALVTDFGIARLVKGIDESSTSRNDSVSFSSTDGLLCGSLGYIAPEYGMGKQASTQGDVYSFGVLLLEIVAGKRPTDVLFHEGSTLHEWVRSHYPQKLDSIIERTILRIAPASIPAYCKKIWSVVIVELIELGLMCTQYNPSTRPIILDVAHEMARLKQFLSNPPSLLIEEEEKHHPS >Manes.16G042550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6658595:6668755:1 gene:Manes.16G042550.v8.1 transcript:Manes.16G042550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLMGAIRYPNRFFNATGKFFSYRPMSTTPPSSPLGNGKTVDEKSQHKLSLNDDTLAKFSAIADTCWDPEGPYKPVHAMNPNRLAFIRSTLCQHFRKDPQMARPFEGLSIVDVGCGGGRLSEPLARMGATVTGIDALEKNIKMARLHADSDPVTSTIEYRCTTAEKLVEEQKMFDVVIALEVIEHVPDPAEFCKTLSALTNPGGATVLSTINRSMKSYAIAIVVAEYLLHLLPIGKHQWSCFVTPEELALNLQRGSVNVKQ >Manes.08G063400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8657318:8660458:-1 gene:Manes.08G063400.v8.1 transcript:Manes.08G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLIPISFLLLLLVYKLYLSLRFKLPPGPRPWPIVGNLYDVKPVRFRCYAEWAKSYGPIISVWFGSTLNVIVSNTELAREVLKENDQQLADRHRSRSAAKFSRDGKDLIWADYGPHYVKVRKVCTLELFTPKRLEALRPIREDEVTAMVESIFMDCTNLENNGKSLLVKKYLGAVAFNNITRLAFGKRFVNSEGIMDEQGKEFKAVVSNGLKLGASLAMAEHIPWLRWMFPLEEEAFAKHGARRDRLTRAIMEEHTLARQKSGGAKQHFVDALLTLQEKYDLSEDTIIGLLWDMITAGMDTTAITVEWTMAELIKNPRVQQKAQEELDRVVGFERVMTEADFSNLPYLQCVAKEGLRLHPPTPLMLPHRANANVKLGGYDIPKGSNVHVNVWAVARDPAAWKNPEEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFRWTPADGVKPEEIDMSENPGLVTYMRTPLQAVATPRLPSDLYKRVAVDM >Manes.01G090600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29196783:29198318:1 gene:Manes.01G090600.v8.1 transcript:Manes.01G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESVGDENSIFCQDSKPAAIESYKEPAIETQTNDHSFINGKCDDHQEKSTEAAATSNMQQNPTVEIFSKLNPMAQEFVPAKTIRKKKKNSYYGNQRRNTRTSMAQRVDKIRKTLYIPVIDHQVTEVQLANLFVHVGHVVDCRICSNPNISGHYFAFVEFSDEEAANTALKLSGISLGSYPLRLEPSRTGIVPVNSTLLPRSEEEYDMCTRTVYCTNIDKQVKQENVRLFFECCCGEVERLRVLQGYDHAKTCIAFVEFREAESAIAALKLSGALLGSLPISVNPSKTPLRPLVG >Manes.13G001050.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:695467:698055:1 gene:Manes.13G001050.v8.1 transcript:Manes.13G001050.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKPIDGSITIDLDSPASEDFENQPPKQCARRRRIARKQDEETECSTVISSCSVETIPCDQKSKKRSKGRNDVSGTKKKLDTRAFDIHFKNMWRNFSEDKRTPFTYFDSLWFSAYMSSSSKECMLTWIKEKHIFSKRYVLVPIVYWRHWSLLIFCNLGQSLQSENSPPCMLLLDSLQMAGPRRLEPAIRKFVFDIYKSEGRPESRQSISQIPLLVPKVPQQRNDEECGNYVLYFISLFVQQAPENFSMKNYPSFMTDKWFNLEGLEKFCEKLKTP >Manes.13G001050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:695607:698732:1 gene:Manes.13G001050.v8.1 transcript:Manes.13G001050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKPIDGSITIDLDSPASEDFENQPPKQCARRRRIARKQDEETECSTVISSCSVETIPCDQKSKKRSKGRNDVSGTKKKLDTRAFDIHFKNMWRNFSEDKRTPFTYFDSLWFSAYMSSSSKECMLTWIKEKHIFSKRYVLVPIVYWRHWSLLIFCNLGQSLQSENSPPCMLLLDSLQMAGPRRLEPAIRKFVFDIYKSEGRPESRQSISQIPLLVPKVPQQRNDEECGNYVLYFISLFVQQAPENFSMKNYPSFMTDKWFNLEGLEKFYLNQIIAKSSQQKVEAYSVCTHCQPFDLMAVFNWTGGHGVLVVRSHNGHKLTTTSETTPKDKKQLKKKKKRKFSTNGTERERYARQNSCSLFLCFSARCFSHSPQILRP >Manes.13G001050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:698056:703194:1 gene:Manes.13G001050.v8.1 transcript:Manes.13G001050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRTAVASSSVSVPAVSPTVPKFLGLKRLPYNSFSTPNIKWNYTFKFIRKSSIGSRMETRASALPLKNADELIDSVETFIFDCDGVIWKGDKLIDGVPQTLDMLRSRGKRLVFVTNNSTKSRKQYGKKFETLGLNVSEEEIFASSFAAAAYLKSINFPKEKKVYVIGEDGILKELELAGFQYLGGPEDGGKKIELKPGFLMEHDKNVGAVVVGFDRYFNYYKVQYGTLCVRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAIAGSTQREPLVVGKPSTFMMDYLASKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPSNSILPDFYTNKISDFLSLKATTV >Manes.01G177100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35725091:35731741:-1 gene:Manes.01G177100.v8.1 transcript:Manes.01G177100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGTSKKLLPLVSKEVPKPLLPVANRPVLAYVLEQLELCNLKDLIVVVEGQDAARLVSAWISGAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTAKDILVVTGDLVSDIPPGAVAAAHRRHDAVVTTMLCSAPVGGPAESGSSAGKDKIKKPRWYNIIGLDLAKQFLLHIATGAEVDKDIRIQKSILHAVGQMEIRSDLMDAHMYAFKRSVLQEVLEEKDKFQSLKRDVLPYLVQSQLRSEVLLNGVLSQAEENGNEKVSSQNNHVMVSQILANASTPSFHELYASGHNGSASVQRIHKCCAYIASNSKYCSRLNSIQAFSDINRDVIGEANHLSGYSFSAHNNVIHPSAQLGLKTTVGPHCMLGEGSQMGDKCSVKRSVIGSHCRIGSNVKVVNSVIMNHVTIGDDCSILDSVICNNVQLQKRVGLKDCQVGAGFVVTAGCEYKGESLARKEK >Manes.07G111400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31697257:31698026:1 gene:Manes.07G111400.v8.1 transcript:Manes.07G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSRKIPMVKREDKKQLLVSFTKRRQGLFNKAAELFTLCDAQLAVFVSSPSNNSKRKVYSFGHPSVDQVLDAFLENRLPNLVSYEYGANKQYALSLSNEIKAMEAELNGKNKKVENLDLEFFENSKSVEELEALVDALQELTKKAKSRFCNNNSSVSPDVASNENSLLDDSPPTSTALTTSGYWLCPPSTRPCFAKDISPTTYM >Manes.02G158699.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12401725:12402455:-1 gene:Manes.02G158699.v8.1 transcript:Manes.02G158699.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCLNCYICGCRKREESSHSYVHPYIHNKLLQLQNELFLEGNVCGLEGLRLGETSSLEASSNAGVCCDHQNIHSMCNDLYKSDEVNREQLLKAEKMGDCKLCSADEVKGEIIYFQLRLLCNAVAREHFTDNLIFKVARSLQQEIDPTGAQTWDAVLVSKYLIELREAKKQGRKERKH >Manes.07G113900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31929381:31933449:-1 gene:Manes.07G113900.v8.1 transcript:Manes.07G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQASLLLQKQLKDLCKKPVDGFSAGLVDENNVFEWSVSIMGPPDTLYEGGFFSAIMSFPQNYPLSPPTVRFTTEVWHPNVYPDGKVCISILHPPGDDPNGYELATERWSPVHTPFCSSELLIVSWMRMSDRRDMHMCILLMGNCKDRKLEITAGLKCISPYERGLGVGVP >Manes.07G113900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31929381:31933449:-1 gene:Manes.07G113900.v8.1 transcript:Manes.07G113900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQASLLLQKQLKDLCKKPVDGFSAGLVDENNVFEWSVSIMGPPDTLYEGGFFSAIMSFPQNYPLSPPTVRFTTEVWHPNVYPDGKVCISILHPPGDDPNGYELATERWSPVHTVESIVLSIISMLSSPNDESPANVDAAKQWRDSKEEFRKRVSRCVRKSQELL >Manes.18G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4598461:4608236:1 gene:Manes.18G054200.v8.1 transcript:Manes.18G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPQAESHRARAKPTVVRRVPLRQLLRVTSIAGGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLVVQPLVGHMSDRCTSRFGRRRPFILSGACLICLAVLIIGHSADIGWLLGDRGNTRPRAIGVFVFGFWILDVANNMTQGPCRALLADLTGKDHRRTRVANAYFSLFMAVGNILGFATGAFSNWFKVFPFTVTSACNIDCANLKSAFYLDIVFMVITAYLSIKAAQESPLHLSDRFTSTTEDVSGQSSHAQEAFLWELFGTFRYFPWPVWTILLVTALNWIGWFPFLLFDTDWMGREIYGGKPNEGQNYNIGVRTGAFALMLNSVFLGITSVLMEKLCSKWGAGFIWGISNILMALCFLAMLITSYVAKHVGYLGLDLPPHGIVIAAIVIFAVLGVPLAITYSVPYALISSRIEPLGLGQGLSMGVLNLAIVIPQVIVSLGSGPWDQLFGGGNSPAFAVGGLAAFAGGLIAILGIPRSGAPKPRALP >Manes.18G061650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5432074:5432223:1 gene:Manes.18G061650.v8.1 transcript:Manes.18G061650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPAMMVKILKKLRAFITLPLLGRCDASYQGRSSGNYEILLGRGCSWC >Manes.01G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33681543:33684156:1 gene:Manes.01G146600.v8.1 transcript:Manes.01G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTAAVSFPSSKSTSLSSRTSIVAPERITFKQVPFYYKDVSAGGRVVSISAQVTTEAPAKVKKESKKMEEDVVVNKFKPKNPYIGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYVNEKGETVKGVCSNFLCDLKPGSEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKVPNNFRLDFAVSREQTNEKGEKMYIQTRMAQYAEELWELLKKDNTYVYMCGLKGMEKGIDDIMLSLAARDGIDWLEYKRSLKKAEQWNVEVY >Manes.03G170200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29555131:29563085:1 gene:Manes.03G170200.v8.1 transcript:Manes.03G170200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKMPRQRNCHWDWMRVLRKSMLPSPSCFKSSQVSPVLTRRGLLSLTAPMHAGMGSQAMFSISQANLLANKRRKFILSARISKESNSAVNFQWAQFPIEMGGVSTTVPSPSGSKLLVIRNPENESPTRFEIWGQGQVEKEFHIPQSVHGSVYTDGWFEGISWNSDETLIAYVAEEPSPSKPVFSGLGYRKGSASTDKDSGSWKGQGEWEEDWGETYAGKKQPALFVISTRSGEIQSVKGIAKSLSVGQVVWAPSTEGPSRYLVFVGWSSDPRKLGIKYCYNRPCALYAVQAPVYKSEADAFELKDSPIEGSQVLNLTQSISSAFYPLFSPDGRFLVFLSAKSSVDSGAHSATESLHKIDWPVNGQLSSPAKIVDVIPVVQCSEDGCFPGLYCSEFLRNPWLSDGCSMILSSVWGSSQVLISVNVLNGDVKRISPADSKFSWNLLALDGDNVIAVSSSPVDLPEIRYGCLVEKATTNAAWNWLNISSPIFRCSEKVRSLLSSRQFDILKIPIKDVSTCLTKGSSKPFEAIFVSSNSKKNGVCDPLIVMLHGGPHSASLSSFSKSLAFLSSIGYSLLIVNYRGSIGFGEEALQSLPGKIGSQDVNDVLTAIDHVIDMGLASPSSIAVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLASMVGTTDIPDWCYVETYGLDGKHKFTEAPSAEDLRLFYSKSPISHIGKVKTPTIFLIGAQDLRVPMSNGLQYARALKEKGVEVKILMFPNDIHGIDRPQSDFESFLNIGVWFKKYCK >Manes.03G170200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29555131:29563085:1 gene:Manes.03G170200.v8.1 transcript:Manes.03G170200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYLIHNCMRSCDWSSCANFLPNLFPLFLSSHSPTITVSLRAPNPIPLLTKRSLAISAMDASKDASPKELPLGLDASTEEEYASQSKLLQEFTSISSIDKAWTFKSNSGMGSQAMFSISQANLLANKRRKFILSARISKESNSAVNFQWAQFPIEMGGVSTTVPSPSGSKLLVIRNPENESPTRFEIWGQGQVEKEFHIPQSVHGSVYTDGWFEGISWNSDETLIAYVAEEPSPSKPVFSGLGYRKGSASTDKDSGSWKGQGEWEEDWGETYAGKKQPALFVISTRSGEIQSVKGIAKSLSVGQVVWAPSTEGPSRYLVFVGWSSDPRKLGIKYCYNRPCALYAVQAPVYKSEADAFELKDSPIEGSQVLNLTQSISSAFYPLFSPDGRFLVFLSAKSSVDSGAHSATESLHKIDWPVNGQLSSPAKIVDVIPVVQCSEDGCFPGLYCSEFLRNPWLSDGCSMILSSVWGSSQVLISVNVLNGDVKRISPADSKFSWNLLALDGDNVIAVSSSPVDLPEIRYGCLVEKATTNAAWNWLNISSPIFRCSEKVRSLLSSRQFDILKIPIKDVSTCLTKGSSKPFEAIFVSSNSKKNGVCDPLIVMLHGGPHSASLSSFSKSLAFLSSIGYSLLIVNYRGSIGFGEEALQSLPGKIGSQDVNDVLTAIDHVIDMGLASPSSIAVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLASMVGTTDIPDWCYVETYGLDGKHKFTEAPSAEDLRLFYSKSPISHIGKVKTPTIFLIGAQDLRVPMSNGLQYARALKEKGVEVKILMFPNDIHGIDRPQSDFESFLNIGVWFKKYCK >Manes.03G170200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29555771:29563085:1 gene:Manes.03G170200.v8.1 transcript:Manes.03G170200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKDASPKELPLGLDASTEEEYASQSKLLQEFTSISSIDKAWTFKSNSGMGSQAMFSISQANLLANKRRKFILSARISKESNSAVNFQWAQFPIEMGGVSTTVPSPSGSKLLVIRNPENESPTRFEIWGQGQVEKEFHIPQSVHGSVYTDGWFEGISWNSDETLIAYVAEEPSPSKPVFSGLGYRKGSASTDKDSGSWKGQGEWEEDWGETYAGKKQPALFVISTRSGEIQSVKGIAKSLSVGQVVWAPSTEGPSRYLVFVGWSSDPRKLGIKYCYNRPCALYAVQAPVYKSEADAFELKDSPIEGSQVLNLTQSISSAFYPLFSPDGRFLVFLSAKSSVDSGAHSATESLHKIDWPVNGQLSSPAKIVDVIPVVQCSEDGCFPGLYCSEFLRNPWLSDGCSMILSSVWGSSQVLISVNVLNGDVKRISPADSKFSWNLLALDGDNVIAVSSSPVDLPEIRYGCLVEKATTNAAWNWLNISSPIFRCSEKVRSLLSSRQFDILKIPIKDVSTCLTKGSSKPFEAIFVSSNSKKNGVCDPLIVMLHGGPHSASLSSFSKSLAFLSSIGYSLLIVNYRGSIGFGEEALQSLPGKIGSQDVNDVLTAIDHVIDMGLASPSSIAVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLASMVGTTDIPDWCYVETYGLDGKHKFTEAPSAEDLRLFYSKSPISHIGKVKTPTIFLIGAQDLRVPMSNGLQYARALKEKGVEVKILMFPNDIHGIDRPQSDFESFLNIGVWFKKYCK >Manes.03G170200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29555131:29563087:1 gene:Manes.03G170200.v8.1 transcript:Manes.03G170200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYLIHNCMRSCDWSSCANFLPNLFPLFLSSHSPTITVSLRAPNPIPLLTKRSLAISAMDASKDASPKELPLGLDASTEEEYASQSKLLQEFTSISSIDKAWTFKSNSGMGSQAMFSISQANLLANKRRKFILSARISKESNSAVNFQWAQFPIEMGGVSTTVPSPSGSKLLVIRNPENESPTRFEIWGQGQVEKEFHIPQSVHGSVYTDGWFEGISWNSDETLIAYVAEEPSPSKPVFSGLGYRKGSASTDKDSGSWKGQGEWEEDWGETYAGKKQPALFVISTRSGEIQSVKGIAKSLSVGQVVWAPSTEGPSRYLVFVGWSSDPRKLGIKYCYNRPCALYAVQAPVYKSEADAFELKDSPIEGSQVLNLTQSISSAFYPLFSPDGRFLVFLSAKSSVDSGAHSATESLHKIDWPVNGQLSSPAKIVDVIPVVQCSEDGCFPGLYCSEFLRNPWLSDGCSMILSSVWGSSQVLISVNVLNGDVKRISPADSKFSWNLLALDGDNVIAVSSSPVDLPEIRYGCLVEKATTNAAWNWLNISSPIFRCSEKVRSLLSSRQFDILKIPIKDVSTCLTKGSSKPFEAIFVSSNSKKNGVCDPLIVMLHGGPHSASLSSFSKSLAFLSSIGYSLLIVNYRGSIGFGEEALQSLPGKIGSQDVNDVLTAIDHVIDMGLASPSSIAVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLASMVGTTDIPDWCYVETYGLDGKHKFTEAPSAEDLRLFYSKSPISHIGKIQNLQSYLVHGGTKLSYLEEDLCR >Manes.03G170200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29555131:29563085:1 gene:Manes.03G170200.v8.1 transcript:Manes.03G170200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKDASPKELPLGLDASTEEEYASQSKLLQEFTSISSIDKAWTFKSNSGMGSQAMFSISQANLLANKRRKFILSARISKESNSAVNFQWAQFPIEMGGVSTTVPSPSGSKLLVIRNPENESPTRFEIWGQGQVEKEFHIPQSVHGSVYTDGWFEGISWNSDETLIAYVAEEPSPSKPVFSGLGYRKGSASTDKDSGSWKGQGEWEEDWGETYAGKKQPALFVISTRSGEIQSVKGIAKSLSVGQVVWAPSTEGPSRYLVFVGWSSDPRKLGIKYCYNRPCALYAVQAPVYKSEADAFELKDSPIEGSQVLNLTQSISSAFYPLFSPDGRFLVFLSAKSSVDSGAHSATESLHKIDWPVNGQLSSPAKIVDVIPVVQCSEDGCFPGLYCSEFLRNPWLSDGCSMILSSVWGSSQVLISVNVLNGDVKRISPADSKFSWNLLALDGDNVIAVSSSPVDLPEIRYGCLVEKATTNAAWNWLNISSPIFRCSEKVRSLLSSRQFDILKIPIKDVSTCLTKGSSKPFEAIFVSSNSKKNGVCDPLIVMLHGGPHSASLSSFSKSLAFLSSIGYSLLIVNYRGSIGFGEEALQSLPGKIGSQDVNDVLTAIDHVIDMGLASPSSIAVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLASMVGTTDIPDWCYVETYGLDGKHKFTEAPSAEDLRLFYSKSPISHIGKVKTPTIFLIGAQDLRVPMSNGLQYARALKEKGVEVKILMFPNDIHGIDRPQSDFESFLNIGVWFKKYCK >Manes.15G100800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7878298:7903066:1 gene:Manes.15G100800.v8.1 transcript:Manes.15G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIVLADPFIEPNSTIDPTTDHAIATSSPLPSGYHDVDDDTPEPFDSERLPPTLVREIQRFLRVANSIQIQEPRVAYLCRFQAFEIAHNMDGNSSGRGVRQFKTSLLRRLEHDEKPTYEKRKEESDIRELRRVYQAYKQYIIRSGGTDFNDSHKEMVINARRIASVLYEVLKTVTDATGHQALAERDSNRAKSELYVSYNILPLDHGGIQQAIMQFPEIKAAVAAVRNIRGLPSAQDFHRCGPFIDLFDFLQCCFGFQEGNVANQREHLILLLANTHIRQSHKQTSISKLGDGAVDELMKKFFKNYTNWCKFLGRTNNIRLPCVKQEAQQYKILYIGLYLLVWGEAANLRFMPECLCYIFHHMAYELHGMLTGDVSATTGEKVMPAYGGGFESFLKNIVTPMYRVIYEEAEKNKSGTADHSTWRNYDDLNEYFWSPDCFQIGWPMRLDHDFFCVQTSNKHKVKKTIDEKRKSEAKEDEELGLNRDEEAPRNDHREPRWLGKTNFVEIRSFWQIFRSFDRMWSFFILCLQAMIIMACHDLESPLEMLDAIIFEDIMSIFITYAILKLIQAILDIVFTWKARLTMDVSRRRKLLLKLLVAIIWTILLPVLYAKSRRKYTCYSTQYGSWLGQLCFSSYMVAVAIYLMTNAVEMVLFFVPIASKYIEISNNRICKTLSWWIQPRLYVGRGMQETQVSVFKYTLFWVLVLSSKFLFSYSFEIKPLIEPTRLILKIGVQNYDWHELFPKVKSNAGAIVAIWAPIIVVYFMDTQIWYSVFCTIFGGLYGIIHHLGEIRTLGMLRSRFHTLPSAFNVCLIPPSAKNGQKTEGMNFFYKRFHKVSETRSNAVSKFALVWNQIINTFRQEDLISNKELDLMMIPMSSELFSGMIRWPIFLLANKFSTAISIARDFVGKDDILLKKIRKDKYMYSAVKECYESLKNILEILIIGNMEKRVVSCILNEIEESIGRSSLLEDFKISELPALLAKCTELVELLVEGNENQHGNVVRVLQDMFELVTNDIMTNGSRILDLLSPQQVEDSFAYFSRIIEPQLFESVVDCSIHFPLPDSCLLNEQVKRLHLLLTVKDKAMDVPANLEARRRISFFATSLFTDMPIAPKIRNMLSFSVMTPHYTEDINYSMKELNSSKEEVSILFYMQKIYPDEWKNFLERMECEYSEVLKDESKKEELRNWASFRSQTLSRTVRGMMYYREALRVQAFLEMAEEEEIIEGFDGAERNNRALFAQLDALADLKFTYIISCQIYGSQKSSGDPHANDILELMKRYASVRVAYVEEKEEIVNDKPQKVYSSILVKAVNGLDQEIYRIKLPGPPNIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEESFKMRNLLQEFFKQQGRRPPTILGLREHIFTGSVSSLAWFMSYQETSFVTIGQRLIANPLRVRFHYGHPDVFDRLFHITRGGISKASKTINLSEDVFAGFNSTLRRGCITYHEYLQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIYRLGQWFDFFRMLSCYFTTIGFYFSNLISVIGVYVFLYGQLYLVLSGLQKTLVLEARMHNIKSLETALASQSFIQLGLLTGLPMVMEIGLEKGFLTAFKDFILMQLQLAAVFFTFSLGTKTHHYGRTILYGGAKYRPTGRKVVVFHASFTENYRLYSRSHFVKGFELVLLLIVYDLFRRSYQSSMAYVLITYSIWFLSITWLFAPFLFNPSGFSWDKIVDDWKDWNKWIREQGGIGIQQDKSWQSWWNDEQAHLRRSGLGARFFEILLSVRFFMYQYGLVYHLDISQHSKNFLVYLLSWVVILVVFLLFKAVNMGRQQFSASYHLVFRLFKAFLFIAVVSIVITLSLICELSLKDVIVCCLAFLPTGWGLILIAQAVRPKIENTGVWEFTEVLAKAYDYGMGVVLFAPIAVLAWLPIISAFQTRFLFNEAFNRHLQIQPILAGKKKKQ >Manes.08G027500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2627854:2632858:-1 gene:Manes.08G027500.v8.1 transcript:Manes.08G027500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAMSQLLYPTRASSQAHLQSSPCLPVSRSFLITSGGAKTRISGLVEFYCDQSLTVVAKSSQENDGIVAADDDNEDGVSLGTLKLPGNTDLNRFESLLFQWANSLCQGANLPLPVPLKVDKIPGGVRLGFITIGDGKTEVLVYIDCLAFPATSGSGPIFRAIRNGPLKDQSPPGEPRIMRSLLQALQNDLTSSKWHKGLKVNIFHSRLLMAIPESKNHLNFLHRPSPSLNYSTTPTFETLAFEEVRSSSEKPCTLTAFVLHGLLGSGSNWRSFSQSLASSCSSEWRMVLVDLRNHGKSANIEGLNPPHDIFNAAKDLANLVKSHGWAWPDVVIGHSWGGKVALQFAQSCATGDYGESVALPKQLWVLDSVPGEGRLENSYEVEKILKVLQSLPSSLPSGKWLVNHLIELGFSDILAEWIGSCLKKSGEQETLTFKPEAVIQMLNSFWETSYWSFLEHPPKGMEINIVVAEKSDAWKPDVIQRLESLENQKESAAEGEVSVHVLPNSGHWVHVDNPKGLLEIMSPKISSLST >Manes.18G080632.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7417293:7418114:1 gene:Manes.18G080632.v8.1 transcript:Manes.18G080632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRKKLCTSLESKNSNCHVDLKDIIRENALRYLPAKSLHRFSCVCRGWKNYISTSSFAHIQSNYFHQISGFSRRSRSSLFPSFISLDPMAYGVPDPSLRFLPEPVDVRCSSNGLLCCQAQGTANGYKPYYICNPVNKQCKKLPKPDANHGSDPALVLVSEPALEKFVVEYRLICAFQSDTDGHEFDIYSSAEESWRTSPGTYFGNWQTLPDAGIYVNGCVYWRSSSNENMVIAFDLTTESISPLFPFSCTLFGQRQWEAVFCFLKWFTLPCI >Manes.02G210900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29429824:29439964:1 gene:Manes.02G210900.v8.1 transcript:Manes.02G210900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGHNPELIRESQRRRFANVDIVDEIIRLDREWRQRQFELDNLRKELNKINKQIAQLKIAGEDATELIKNTNDNKQLTTDKEAEVQQAYLALNKRLEAVGNLVHDSVPVSYDEANNAVIRVWGEKRSGPKLKNHVELVELLGIADTKKGANVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTALQTPFFIRKDIMAKCAQLAQFDEELYKVILENVTGEGDDKYLIATAEQPICAYHLDDWIHPSQLPIRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNGNDSWDMHEEMIKNSEDFYKMLNIPFQVVSIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEVRYGQKKSNEQAKQYVHLLNSTLTATERTICCILENYQKENGVEVPEPLREYMGGKSFLPFQSNPSTEGKGKKSKA >Manes.02G210900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29429824:29439964:1 gene:Manes.02G210900.v8.1 transcript:Manes.02G210900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGHNPELIRESQRRRFANVDIVDEIIRLDREWRQRQFELDNLRKELNKINKQIAQLKIAGEDATELIKNTNDNKQLTTDKEAEVQQAYLALNKRLEAVGNLVHDSVPVSYDEANNAVIRVWGEKRSGPKLKNHVELVELLGIADTKKGANVAGGRGFYLKGDGVRLNQALINFGLDFLEKRGYTALQTPFFIRKDIMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPICAYHLDDWIHPSQLPIRYAGYSTCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNGNDSWDMHEEMIKNSEDFYKMLNIPFQVVSIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEVRYGQKKSNEQAKQYVHLLNSTLTATERTICCILENYQKENGVEVPEPLREYMGGKSFLPFQSNPSTEGKGKKSKA >Manes.14G051200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4368295:4369240:-1 gene:Manes.14G051200.v8.1 transcript:Manes.14G051200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGQKELQLLPSQHSLAASSSSSCSYSHMSFRPPDSSSLSDGNKADASCVEALKWQAAEQIRLAAIEKAYAERVRELTRREMELAQSEFARARNMWQRAREEVEKAERMKEKATRQIDSTCMEITCQSCRQRFKP >Manes.10G106400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25635384:25638860:1 gene:Manes.10G106400.v8.1 transcript:Manes.10G106400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIALTEELLATAKQNEISGSEIGPGTSASTLLPQPRVNKSDSTGISSEHERFPVGAKVQAVWSEDGEWYDATIEALTPNGYYVSYDEWGNKEEVDPANVRPIEFNALLEAERVAEATKQAIKRKIAQAASVDFQSRSLPAKLHINVDDPEDVKAAKRKKIHAFKSKMRFEQLEVTQNKRQNAWQQFQTAKGSTKKVGFFSGRKRESIFKSPDDPNGKVGVTGSGKGLTEFQKREKHLHLKGGTVEADD >Manes.10G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25634012:25638860:1 gene:Manes.10G106400.v8.1 transcript:Manes.10G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGGEVVSIGELTSNLSTYKEQLHKVRQLLADNPDNSEYADMEKELNEVIALTEELLATAKQNEISGSEIGPGTSASTLLPQPRVNKSDSTGISSEHERFPVGAKVQAVWSEDGEWYDATIEALTPNGYYVSYDEWGNKEEVDPANVRPIEFNALLEAERVAEATKQAIKRKIAQAASVDFQSRSLPAKLHINVDDPEDVKAAKRKKIHAFKSKMRFEQLEVTQNKRQNAWQQFQTAKGSTKKVGFFSGRKRESIFKSPDDPNGKVGVTGSGKGLTEFQKREKHLHLKGGTVEADD >Manes.10G106400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25634012:25639350:1 gene:Manes.10G106400.v8.1 transcript:Manes.10G106400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIALTEELLATAKQNEISGSEIGPGTSASTLLPQPRVNKSDSTGISSEHERFPVGAKVQAVWSEDGEWYDATIEALTPNGYYVSYDEWGNKEEVDPANVRPIEFNALLEAERVAEATKQAIKRKIAQAASVDFQSRSLPAKLHINVDDPEDVKAAKRKKIHAFKSKMRFEQLEVTQNKRQNAWQQFQTAKGSTKKVGFFSGRKRESIFKSPDDPNGKVGVTGSGKGLTEFQKREKHLHLKGGTVEADD >Manes.10G042800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4406541:4411841:1 gene:Manes.10G042800.v8.1 transcript:Manes.10G042800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSALSNSLFLSLFGDSVSIKFSSHVRLSGQFSFKTLILLTMEGIPEIIPNQQPLTESQILQTLTKILTSEKLHLQNLNPYIPHLSSSPNLLVSLLSSKSLSNRPATLLSFFKWAQSHLPPSFFQSPLPLLYLLPPLLSHHKFSDAKSLLTSFIAADKANVLHRHILHPPAGVEHRRTLRALLDTSIGAYVASGRPHYAAQIFSKMKRLRLKPNMLTCNTLLNALVRYPSSHSVLLSKAIFRDVIKLGAQVNTNTFNVLIYGYCLENKLEEAIGLVGKMGEFGCSPDNVTYNTILDVLCKKGKLNEARDLLSDMKGKGLLPNRNTYNILVSGYCKLGWLKEAAQVIELMTRNNVFPDVWTYNVLIGGLCKEGRIDEAFRLRDDMENLKLFPDVVTCNTLINGCFECSNSPKAFELIEEMEKKGVKLNAVTYNIMVKWYVKEGKMDDAGKIIREMEESGFSPDGVTYNTLISAHCKVGKLGEAIKMMNEMGRKGLKMNSVTLNTILYALCGEKKLDEAYELLKTASKRGYFVDEVSYGTLIMGHFKDEKPAKALKLWDEMKEKEIVPSIITYNSMIGGLCQSGKTDQAIDKLNELLESGLVPDETTYNTIIHGYCREGQVEKAFQFHNKMVEKSFKPDIFTFNILLHGLCREGMLEKALKLFNTWISKGKALDAVTYNTIISSLCKNGRFEEAFDILAEMEKKKLGPDCYTYNAIIGALANAGRIKEAEEFMSKIVEMGKLEDQTLPLNKGQHMGTSETPEESDPNSITFSEQINELCSQGKYKDAMQTFQESTQKGITLHKSTYINLMEGLIKRGKSISKAVVL >Manes.10G042800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4406541:4410602:1 gene:Manes.10G042800.v8.1 transcript:Manes.10G042800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSALSNSLFLSLFGDSVSIKFSSHVRLSGQFSFKTLILLTMEGIPEIIPNQQPLTESQILQTLTKILTSEKLHLQNLNPYIPHLSSSPNLLVSLLSSKSLSNRPATLLSFFKWAQSHLPPSFFQSPLPLLYLLPPLLSHHKFSDAKSLLTSFIAADKANVLHRHILHPPAGVEHRRTLRALLDTSIGAYVASGRPHYAAQIFSKMKRLRLKPNMLTCNTLLNALVRYPSSHSVLLSKAIFRDVIKLGAQVNTNTFNVLIYGYCLENKLEEAIGLVGKMGEFGCSPDNVTYNTILDVLCKKGKLNEARDLLSDMKGKGLLPNRNTYNILVSGYCKLGWLKEAAQVIELMTRNNVFPDVWTYNVLIGGLCKEGRIDEAFRLRDDMENLKLFPDVVTCNTLINGCFECSNSPKAFELIEEMEKKGVKLNAVTYNIMVKWYVKEGKMDDAGKIIREMEESGFSPDGVTYNTLISAHCKVGKLGEAIKMMNEMGRKGLKMNSVTLNTILYALCGEKKLDEAYELLKTASKRGYFVDEVSYGTLIMGHFKDEKPAKALKLWDEMKEKEIVPSIITYNSMIGGLCQSGKTDQAIDKLNELLESGLVPDETTYNTIIHGYCREGQVEKAFQFHNKMVEKSFKPDIFTFNILLHGLCREGMLEKALKLFNTWISKGKALDAVTYNTIISSLCKNGRFEEAFDILAEMEKKKLGPDCYTYNAIIGALANAGRIKEAEEFMSKIVEMGKLEDQTLPLNKGQHMGTSETPEESDPNSITFSEQINELCSQGKYKDAMQTFQESTQKGITLHKSTYINLMEGLIKRGKSISKAVVL >Manes.10G042800.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4406525:4411808:1 gene:Manes.10G042800.v8.1 transcript:Manes.10G042800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSALSNSLFLSLFGDSVSIKFSSHVRLSGQFSFKTLILLTMEGIPEIIPNQQPLTESQILQTLTKILTSEKLHLQNLNPYIPHLSSSPNLLVSLLSSKSLSNRPATLLSFFKWAQSHLPPSFFQSPLPLLYLLPPLLSHHKFSDAKSLLTSFIAADKANVLHRHILHPPAGVEHRRTLRALLDTSIGAYVASGRPHYAAQIFSKMKRLRLKPNMLTCNTLLNALVRYPSSHSVLLSKAIFRDVIKLGAQVNTNTFNVLIYGYCLENKLEEAIGLVGKMGEFGCSPDNVTYNTILDVLCKKGKLNEARDLLSDMKGKGLLPNRNTYNILVSGYCKLGWLKEAAQVIELMTRNNVFPDVWTYNVLIGGLCKEGRIDEAFRLRDDMENLKLFPDVVTCNTLINGCFECSNSPKAFELIEEMEKKGVKLNAVTYNIMVKWYVKEGKMDDAGKIIREMEESGFSPDGVTYNTLISAHCKVGKLGEAIKMMNEMGRKGLKMNSVTLNTILYALCGEKKLDEAYELLKTASKRGYFVDEVSYGTLIMGHFKDEKPAKALKLWDEMKEKEIVPSIITYNSMIGGLCQSGKTDQAIDKLNELLESGLVPDETTYNTIIHGYCREGQVEKAFQFHNKMVEKSFKPDIFTFNILLHGLCREGMLEKALKLFNTWISKGKALDAVTYNTIISSLCKNGRFEEAFDILAEMEKKKLGPDCYTYNAIIGALANAGRIKEAEEFMSKIVEMGKLEDQTLPLNKGQHMGTSETPEESDPNSITFSEQINELCSQGKYKDAMQTFQESTQKGITLHKSTYINLMEGLIKRGKSISKAVVL >Manes.10G042800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4406541:4412219:1 gene:Manes.10G042800.v8.1 transcript:Manes.10G042800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSALSNSLFLSLFGDSVSIKFSSHVRLSGQFSFKTLILLTMEGIPEIIPNQQPLTESQILQTLTKILTSEKLHLQNLNPYIPHLSSSPNLLVSLLSSKSLSNRPATLLSFFKWAQSHLPPSFFQSPLPLLYLLPPLLSHHKFSDAKSLLTSFIAADKANVLHRHILHPPAGVEHRRTLRALLDTSIGAYVASGRPHYAAQIFSKMKRLRLKPNMLTCNTLLNALVRYPSSHSVLLSKAIFRDVIKLGAQVNTNTFNVLIYGYCLENKLEEAIGLVGKMGEFGCSPDNVTYNTILDVLCKKGKLNEARDLLSDMKGKGLLPNRNTYNILVSGYCKLGWLKEAAQVIELMTRNNVFPDVWTYNVLIGGLCKEGRIDEAFRLRDDMENLKLFPDVVTCNTLINGCFECSNSPKAFELIEEMEKKGVKLNAVTYNIMVKWYVKEGKMDDAGKIIREMEESGFSPDGVTYNTLISAHCKVGKLGEAIKMMNEMGRKGLKMNSVTLNTILYALCGEKKLDEAYELLKTASKRGYFVDEVSYGTLIMGHFKDEKPAKALKLWDEMKEKEIVPSIITYNSMIGGLCQSGKTDQAIDKLNELLESGLVPDETTYNTIIHGYCREGQVEKAFQFHNKMVEKSFKPDIFTFNILLHGLCREGMLEKALKLFNTWISKGKALDAVTYNTIISSLCKNGRFEEAFDILAEMEKKKLGPDCYTYNAIIGALANAGRIKEAEEFMSKIVEMGKLEDQTLPLNKGQHMGTSETPEESDPNSITFSEQINELCSQGKYKDAMQTFQESTQKGITLHKSTYINLMEGLIKRGKSISKAVVL >Manes.10G042800.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4406541:4411808:1 gene:Manes.10G042800.v8.1 transcript:Manes.10G042800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPSALSNSLFLSLFGDSVSIKFSSHVRLSGQFSFKTLILLTMEGIPEIIPNQQPLTESQILQTLTKILTSEKLHLQNLNPYIPHLSSSPNLLVSLLSSKSLSNRPATLLSFFKWAQSHLPPSFFQSPLPLLYLLPPLLSHHKFSDAKSLLTSFIAADKANVLHRHILHPPAGVEHRRTLRALLDTSIGAYVASGRPHYAAQIFSKMKRLRLKPNMLTCNTLLNALVRYPSSHSVLLSKAIFRDVIKLGAQVNTNTFNVLIYGYCLENKLEEAIGLVGKMGEFGCSPDNVTYNTILDVLCKKGKLNEARDLLSDMKGKGLLPNRNTYNILVSGYCKLGWLKEAAQVIELMTRNNVFPDVWTYNVLIGGLCKEGRIDEAFRLRDDMENLKLFPDVVTCNTLINGCFECSNSPKAFELIEEMEKKGVKLNAVTYNIMVKWYVKEGKMDDAGKIIREMEESGFSPDGVTYNTLISAHCKVGKLGEAIKMMNEMGRKGLKMNSVTLNTILYALCGEKKLDEAYELLKTASKRGYFVDEVSYGTLIMGHFKDEKPAKALKLWDEMKEKEIVPSIITYNSMIGGLCQSGKTDQAIDKLNELLESGLVPDETTYNTIIHGYCREGQVEKAFQFHNKMVEKSFKPDIFTFNILLHGLCREGMLEKALKLFNTWISKGKALDAVTYNTIISSLCKNGRFEEAFDILAEMEKKKLGPDCYTYNAIIGALANAGRIKEAEEFMSKIVEMGKLEDQTLPLNKGQHMGTSETPEESDPNSITFSEQINELCSQGKYKDAMQTFQESTQKGITLHKSTYINLMEGLIKRGKSISKAVVL >Manes.18G040700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3617982:3620633:-1 gene:Manes.18G040700.v8.1 transcript:Manes.18G040700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAMDADTEMTERDASTAVPQQDANDIVKELITLARRLMNQGKPSQALQAVVMAMRTRGGDEAVFQSLHRARELYRNRLQQNTAVDQLSTLFAECAIAEVQPCKVEQSSLHVGGSSDAHGNSILAETGRMQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCCQL >Manes.09G183700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37125865:37127284:-1 gene:Manes.09G183700.v8.1 transcript:Manes.09G183700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVNIKDSDVDIVIGALNSDLTQFMNEWRSIFSQFHLIIVKDPDLNEELKIPEGFNIDVYTKSHMDQDEKGFLVDAVEQHIVNLTTPATPLFFNTLYDPYREGADFVRGYPFSLRNGVTCALSCGLWLNLADLDAPTQALKPGQRNSRYVDAVMTVPARAMMPISGINIAFNRELVGPTLLPALRLAGEGKLRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRKDRGNAIESLKKEWEGMKLMEEVVPFFQSVRLPQTAATAEDCVVEIANAVKQQLGPIDPVFSRAAEAMSEWVKLWKSLGSGSSSL >Manes.09G183700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37125718:37127284:-1 gene:Manes.09G183700.v8.1 transcript:Manes.09G183700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVNIKDSDVDIVIGALNSDLTQFMNEWRSIFSQFHLIIVKDPDLNEELKIPEGFNIDVYTKSHMDQVVGSSTSVLFSGYSCRYFGFLISHKKYIVSIDDDCIPAKDEKGFLVDAVEQHIVNLTTPATPLFFNTLYDPYREGADFVRGYPFSLRNGVTCALSCGLWLNLADLDAPTQALKPGQRNSRYVDAVMTVPARAMMPISGINIAFNRELVGPTLLPALRLAGEGKLRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRKDRGNAIESLKKEWEGMKLMEEVVPFFQSVRLPQTAATAEDCVVEIANAVKQQLGPIDPVFSRAAEAMSEWVKLWKSLGSGSSSL >Manes.09G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35103849:35106752:1 gene:Manes.09G154900.v8.1 transcript:Manes.09G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAVDPFAKKDWYDIKAPSVFNVRNIGKTLVTRTQGTKIASEGLKHRVFEVSLADLQKDEDHAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRRKMREIIINQAASCDLKELVAKFIPEMIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSADDIGVKLERPADETMAEGETEVVGAA >Manes.06G003500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:718099:718323:-1 gene:Manes.06G003500.v8.1 transcript:Manes.06G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIVGKEDFGVDMGFPLHSQVIKIKQESNNKIMDWSPGKSEIRPVLKEINGGRHLSRSPLGLGARPISVGDS >Manes.S031916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:773030:774397:1 gene:Manes.S031916.v8.1 transcript:Manes.S031916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKMRLALVNKYIPLANHQAKRGSRSQLIVHFNEQSVPLFSVLNKLKLEYLYSDNIFQHTRKNIDQHFAMVGSHAWTHTCHHRIGNENLWSDSLLLIETMNQSLQPL >Manes.05G056900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4764468:4771552:-1 gene:Manes.05G056900.v8.1 transcript:Manes.05G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTVKRGAAAAGPKRALRASRGAAKGQNQQPEVVEGTPKTEERPVVDEKPQVEEKQLFENKPVTEERPGVEDEVGAKLDANGSASLKKGEEVKESVDEYEKDERLELDDNEPEYEPEEYGGVDYDDKEIEQEVVQVEEEVGDDVEEEHEDHVSEEEEGDLVEEELEDAAEELEGEEDDEHAGEEADHAEMVDGEEEDHHEVFKERRKRKEFEVFVGGLDKDATQDDLKKVFSRVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVENVEDLTLVEDSNNEGMNRGFAFLEFSSRSDAMDAFKRLQKRDVLFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLPASWDEDRVRELLKKFGEIEKIELARNMPSAKRKDFGFVTFDSHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHASRTDFRGWYGGRVARGPWVRPTRGLSSRAAPASVKRPAGLRDRRPPVMSMAARGRPLPPPPRSYDRRAPAPVYPKSSLKRDYGQRDELPPPRSRAPADYGSRAVPERRPSSYRDEYSLRGSGYSDIPRGTSRTSARRAYIDDGYGQRFERHPPNYREGRARDYDSISGSKRPYSSMDDVPPRYADAAPRHSRARLDYELGPGSSQYGEAYGDRIGRSNVGYGGSRSSISSQDSHGLYSSRQGMSYGGSYGGMYHASNYGGDYMSRGSDVGGRSYSSMYSSRGMGGSSYMGSGGGSGSYY >Manes.05G056900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4764468:4771552:-1 gene:Manes.05G056900.v8.1 transcript:Manes.05G056900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTVKRGAAAAGPKRALRASRGAAKGQNQQPEVVEGTPKTEERPVVDEKPQVEEKQLFENKPVTEERPGVEDEVGAKLDANGSASLKKGEEVKESVDEYEKDERLELDDNEPEYEPEEYGGVDYDDKEIEQEVVQVEEEVGDDVEEEHEDHVSEEEEGDLVEEELEDAAEELEGEEDDEHAGEEADHAEMVDGEEEDHHEVFKERRKRKEFEVFVGGLDKDATQDDLKKVFSRVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVENVEDLTLVEDSNNEGMNRGFAFLEFSSRSDAMDAFKRLQKRDVLFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLPASWDEDRVRELLKKFGEIEKIELARNMPSAKRKDFGFVTFDSHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHASRTDFRGWYGGRVARGPWVRPTRGLSSRAAPASVKRPAGLRDRRPPVMSMAARGRPLPPPPRSYDRRAPAPVYPKSSLKRDYGQRDELPPPRSRAPADYGSRAVPERRPSSYRDEYSLRGSGYSDIPRGTSRTSARRAYIDDGYGQRFERHPPNYREGRARDYDSISGSKRPYSSMDDVPPRYADAAPRHSRARLDYELGPGSSQYGEAYGDRSNVGYGGSRSSISSQDSHGLYSSRQGMSYGGSYGGMYHASNYGGDYMSRGSDVGGRSYSSMYSSRGMGGSSYMGSGGGSGSYY >Manes.15G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8892883:8901509:1 gene:Manes.15G111300.v8.1 transcript:Manes.15G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCLFFQAVILSLFRNQNGSLLRYEIISLAFHGLSSSSQLLSLLPTRTSMSQEASMDTRKSLRNLRGTLHALLDLKAHLTPNWVKSVCDIIKTLPSEFDAKEDDDDGDDSGNVISKIKDELDALTSRINKLNVDRRQLLNRFLDLKGNIRVFCRIRPITMAENFGRLRPAVAADSSTVLLKLADNKSKNYSFDRVFHPGSSQDEVFSEVEPVIKSVLDGYNACIFAYGQTGTGKTFTMEGTPNAPGVVHRTFQALFKQAVDSNHSFLIRFSMLEIYLGNLKDLLVPQPTRATDPLSPCLSIQTDPEGRIEIDNLVSIQVNDFHQALRLYRLGCRFRSTASTNSNITSSRSHCMIRIAITCSDAPERRRETNKIWLVDLGGSERVLKTKAWGKRLDEGKAINLSLCSLGDVINALQMKRRHIPYRNSKLTQVLKDSLGDDSKTLMLVHVSPKEQDLCETICSLNFATRVKNIHLGNEDTIEVREQKEVAMANLQQKMIEIENEQFHVRQEIQKLEKRLDNLTGKSLSSEEQLETYNFIEEPLTKNSAGHTTVGPLSNVPRFMQPTICSQRKSGTNFQASEWKIPVPGRRKRPSSHRAESVTFPVKDHSDYKSEHSVSRSSCLVGLETRKSADNATEYSQDTLETEVEMTDIREKEKAPFSASQMNDSHCIQKFTHRQTGTTKDYIKFSKVDNWLDLQKNETNANGYTHRTKRVLAIPDPKKKHKQNKQRKEKVAFDEKISSFHDCRMQKVHIEKDMVTVSRVGMIISEVATNKPPTHFQDLFIEDSRSHFSSTSQTTEGNRMIQTQDSVDNSSTDDDKWSIFSPEDVYHRLGECKNHNGANALSIMQAVEGENEISDNLQLQNHGCWELLQSDLGGTNIFSKGNSSDSASTVELESCCPQAFTESVTEDGQRQDSDSSILLSAKERCGILQMRSQRALFENCSNQKGLTKQFDKFQGETRKRGICYILKQHIEILYISALLGLGFCNLGYEHEFFSGLML >Manes.09G022566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4581135:4587664:-1 gene:Manes.09G022566.v8.1 transcript:Manes.09G022566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVKQIREILDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIIRLLQDSSDSEQISVIPIVGIGGLGKTSLAKFVYNDERVRNHFQLQIWVCVSEEFDIKILTEKIIKSTEDGITHVEKLKNMEMDQLQRILRESIGDKKYLLILDDVWNDDPMKWNQLKELLSMGANGSKILVTTRSNKVASIMGTIPKAYELSGLPEDECVALFTKCAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFNDLTLIQFWMAHGLLESANQDEEPEDIGLRYFQELGSRSFFQDFEVGEGISITCKMHDLVHDLALTLTQNEFLAITSSTTHISHNVRHLLFPNSTLLPQDLSTLLQGLDCVRTAIFQSDEKSPSSQSNLDSYLLRFQYLRMLDLAHSKLEIPLDWIGALKHLRYLHLHGNSRIKKLPNSICKLYNLQTLMLCEGIEELPSDIRYLINLRFLLFSTKQKCLPMNGIGCLTSLRFLGIGSCEKLEHLFEDMQGLKHLRTLVIDGCESLISLPQSIKYLTALEILAIGNCENLNLTWEEKGKSDKHLAQFNLQKLILAKLPKLVDFPEWLLQGSSNTLQFLKLESCEYLKKLPVCIQNIASLQ >Manes.01G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26181814:26184998:-1 gene:Manes.01G063300.v8.1 transcript:Manes.01G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWRPQLKKGSPSLRTLLFLLLLLFIAAFISSLWIDTSIFSAAGKTNNTMIISLENTTLHQKSVEFPLKCSINNETQTCPTNYPRRIFQREDQDPSSETVCPNYFRWIHEDLRPWIATGITRDMVERAKRTANFRLIIVQGKAYIEKFTKSIQTRDTFTIWGILQLLRRYPGMLPDLELMFDCDDRPVVRSRDYSGPNSTGAPPLFRYCSDRWSMDVVFPDWSFWGWAEINIKPWSALLKDIKEGNNRTKWIDREPYAYWKGNPFVAETRRDLLTCNVSAHQDWNARLFIQDWILETQHGFKKSDLASQCTHRYKIYIEGYAWSVSEKYILACNSVTLLVKPYYHDFFTRSLQPLKHYWPIRDTDKCRSIKFAVNWGNKHKKEAEAIGEAASEFIQEELKMEYVYDYMFHLLNEYAKLLKFEARVSHEAVELCSEVVACAADGLERRFMTESLVKSPSVTGPCIMPPAYEPKDLGAFYRRNLNAIRQVQKWEDGCCTI >Manes.01G063300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26181814:26184998:-1 gene:Manes.01G063300.v8.1 transcript:Manes.01G063300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISLENTTLHQKSVEFPLKCSINNETQTCPTNYPRRIFQREDQDPSSETVCPNYFRWIHEDLRPWIATGITRDMVERAKRTANFRLIIVQGKAYIEKFTKSIQTRDTFTIWGILQLLRRYPGMLPDLELMFDCDDRPVVRSRDYSGPNSTGAPPLFRYCSDRWSMDVVFPDWSFWGWAEINIKPWSALLKDIKEGNNRTKWIDREPYAYWKGNPFVAETRRDLLTCNVSAHQDWNARLFIQDWILETQHGFKKSDLASQCTHRYKIYIEGYAWSVSEKYILACNSVTLLVKPYYHDFFTRSLQPLKHYWPIRDTDKCRSIKFAVNWGNKHKKEAEAIGEAASEFIQEELKMEYVYDYMFHLLNEYAKLLKFEARVSHEAVELCSEVVACAADGLERRFMTESLVKSPSVTGPCIMPPAYEPKDLGAFYRRNLNAIRQVQKWEDGCCTI >Manes.03G056300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5489706:5496131:-1 gene:Manes.03G056300.v8.1 transcript:Manes.03G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKINASQPLSLSLANSIPHHHDDDDDFQIPLSQSQAFTIASTSRQPLKPSNNCRRPPKKPKRSTNPGKENVPSTRSLPNNKTPSVTDEEFNLYQNCSLDLIDSSIDCSHKNNDVNEDEQFQAKSFETESVKRKEGLEVNTGYLCNSIEARLIRSGVDSGVNPVGQGLNEADGLEDFHEYGDIDVLIKLCTDDTSEENKCISGADHGGCLVQCPLCGIDISDLSEESRLVHTNDCLDKEEKNAQEVAPANSDRGSDFAPQAVDDLVHSPGQVVDVPPVINCWLRNLGLERYEEAFVREEIDWDSLKWLTEEDLFSIGITALGPRKKILHALAELKKGCNTHASAEVGSCSVHEAGMQVGASKVIGNETSKPTANNLITNYFRVSANVRKKTGSISTERQELGKSHPDHVHKRLKKTNPVNNRKLKDIPLWCTIPGTPFRVDAFKYLRGDCIHWFLTHFHMDHYQGLTRSFCHGKIYCSMITARLVNMKIGIPWDKLQILPLNQTINIAGVKVTCLDANHCPGSIIILFEPPNDKAVLHTGDFRFCEEMASMTALQMCRIHTLILDTTYCNPKYDFPKQEAVIQFVIEAIQAESFNPKTLFLIGSYTIGKERLFLEVARLLRRKVHVTAAKFRLLECLGFSKEDMQWFTLNEQESQIHVVPMWTLASFKRLKHISNQYAGRFSLIVAFSPTGWTFGKGKKKSPGRRWQQGTIIRYEVPYSEHCSFTELKEFVKLVSPEKIIPSVNNDGPNSADSMVSLLLS >Manes.11G122824.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28391836:28395235:-1 gene:Manes.11G122824.v8.1 transcript:Manes.11G122824.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVVGSLIFINHRGLVEIFPQRIRNLWNEWELRGAVIVSLAMQIVLILLGNRRKYIARDWLAIVVWLVYLSVDWIVNVSLGVLSNMESTDNNGLLDPKYVIMSFWAPFLLLHLGGPDTITAYSMEDNELWMRQLLGLSVKFGGAFYVLIRSWMGSPVNYLALPMFIVAIIKCGERTWALRYASSDQFRKSMLPRPDPGHSYDKFMDVYTSSRAEGYNVSLEPVIDEASIVLGQSRKVVANSIVPDAAILQEAACFFGTFKRLFANLILSYQDLESSRSYFHGEHMTWEKAFKVIEIEVGFMYDLLYTKTIVIHTYLSSFLRSISLSSTIFVLVAFFIIDKPSYSRVDKSITCLLLFGAIALQVYEIIVLLSSDLTLLWLSKHKNPLVDRIYKPICCLQSLLQSFYITPDANKRWSNSMAKFNLIRICLDDKPIKFSGILKFLCIYELLEKQQFKALDNVSADLKRLVFEQILVKSRNELDISLSRQLCAQRGDQILREMDCFDKIGWSVETEFDQSILLWHIATDLCFYIDLNKKSNVIETPLCKESKSLSEYMLYLLVMCPFMLPNGIGQIRFQDTCAEATQFLQEKKHISDENKACTALLQVNTDIPPSQVKGDRSKTVLFDACRLAKSLQALETEEQWTSEKKWEMINHVWIEMLSFAANQCGSINHAKQLTGGGELLTHVWLLMAHLGITEQFQISKGNARVKLILR >Manes.05G181850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29938908:29940700:-1 gene:Manes.05G181850.v8.1 transcript:Manes.05G181850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLQKNPIKPNSPVSPSKIREQAVMPYKSKSSSLLPDMDPGIWSRLPEELLDLVLSFLPLKTFLNLRSTCKHFSSLVFSPAFMSKHSSSGSPFCSFVLLSHPQFDHCYSLYDSVLGTWRNLTLSLSFLLPSSAVSGNSQSCNLLSSSNGLFCFSIPSSCSFLVCNFLAKTSKVIEFPTYPFAFESLTFVSTPFGYKIFVLCSKFSSISAFIYDSRLNSWQKIDSLEPILRDNCHQGGVFFNGSLHYPTPEPFSVVYLDLDSREWKRFSNKMPEELTFVRLVSDGERKLYMIGGIGRNGISKMMKLWEFCNGENWVEIGSLPEMMCRKFVSVCYHNYEHVYCFWHQGMVCVCCFTWPEILYYKVSRKTWHWLPKCPSLPDKWSCGFRWFSFVPELYASV >Manes.05G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1924931:1930642:-1 gene:Manes.05G021700.v8.1 transcript:Manes.05G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAESNLRDASISCGGRGGDDLYTELWKACAGPLVEVPRAGERVLYFPQGHMEQLEASTNQELTQQIPRFNLPSKILCRVVNIQLLAEQETDDVYAQITLHPEPNQAEPTSPDSYPPEPQKPTVHSFCKILTPSDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYNWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGDNGELRVGVRRLARQQSSMPSSVISSQSMHLGVLATASHAVTTQTLFVVYYKPRTSQFIIGLNKYLEAVNHGFSVGMRFKMRFEGEDSPERRFTGTIIGVGDISPQWSGSKWRSLKIQWDEPATIQRPERVSPWDIEPFAAYASTNLPLTVVKSKRPRPVDTPMSEITTNSTASPFWYHGSVQSHELSQLGSAAEAQSCESPVVWPMRQKEIESSILNGSSCNSMGRPEGIWPTSPHMNASLSLFLDSSDDNRNITTQSVISGYVSPLPSWQSNGLINNQVEKGKKYENSVGCRLFGIDLTRNSNAAAPPEKESLCSTVDPNGTIGSVSAPGGSDKAQNMDNFKSSKELKQIASDAFTRETHNKLVSTSTRSRTKVQMQGVAVGRAVDLTVLKGYGDLIKELEEMFEIKGELSTRDKWAVVFTDDEGDMMLLGDDPWMEFCKMVKKIIIYSSEEVKKMNTRCKFPASSHL >Manes.16G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2531042:2535777:-1 gene:Manes.16G023300.v8.1 transcript:Manes.16G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQHGGYSAWPPIGSPLLNVPRDDRWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPTSGRTHGDLESQMGFNAKLGHPSPKMTVYANGVSVLMPGDNVPTFIAHPAPVPCPPEPICCPHELHMNSFSNPSSIANSRTSSSLSREN >Manes.04G112900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31687430:31688971:1 gene:Manes.04G112900.v8.1 transcript:Manes.04G112900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKPQVQYASLSGSLALRVLYICASKLNVRFSPGEHQRHKQRVLLRFISFISHSPNRRTEERMKGGLVVDRGFIYEEEKHLTVLKTSLFFANDGFTVYDCKGQLVFRVDSYGPDTRDIGEVVLMDAHGRCLLTVRKKRPSLHQRWEGYLGERTEGQKPVFSVRRSSIIRRCSVAVEVYRNPGEEYQIEGSFASRCCTILNAEKESVAEIRRKVDVSTNVVLGKDVFSLCLKPGFDGAFAMGLVLVLDQINGDDYVEYGAEVYPTADE >Manes.03G129600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25623647:25625063:-1 gene:Manes.03G129600.v8.1 transcript:Manes.03G129600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEFQIPGAFDPFIEAKDTGAPGVKEYVHIRIQQRNGKKKLTTVQGLPREFSYEKILKAVKKEFCCNGNVVHDKELGEVIQLQGDQRKNVQNFLVNAGLVKKEHIKIHGF >Manes.03G129600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25623942:25624783:-1 gene:Manes.03G129600.v8.1 transcript:Manes.03G129600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVHTCIQSLLLRVLLSSVLSNRYSSHQAKYMVELEFQIPGAFDPFIEAKDTGAPGVKEYVHIRIQQRNGKKKLTTVQGLPREFSYEKILKAVKKEFCCNGNVVHDKELGEVIQLQGDQRKNVQNFLVNAGLVKKEHIKIHGF >Manes.09G136500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33672297:33677820:1 gene:Manes.09G136500.v8.1 transcript:Manes.09G136500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNKDSRFVAAINMRNFLIQVQEDRLPATNPIQVQESSIQIKTATVAGQFVQRLFRALFFLHIILTTILVIFLTVRGLLSVHSHHFYPKKWYPPLLTAIACAGIVAFTWQWITFCYPSRAIRAAFWLSPLLTCAVGILLVLIGSAASLAIGTIAVVFALIQSLYACWVNSRFNYAIKVLSVSTAFRPAKTTALVIISIVTSITYSGFLVSGIGGATVTGTGIDISFILVILLSLIWTLQVMKNTFHVTVAHVKYLHFACGADMDTRDALRDTIKNLTGSIFIGSILVPILTAIRGSARAVKVVAGGTDEFLFSCANCYSAIGSTLMTYGNRWGFVQVGVYNKGIVQASMDTWETFRSVGLEPLIDSDLTGSFCFLSGIAGGAVCTLVGGTWTLAIHKSYATEVSIYAFLIGYLMVRTQLAVEP >Manes.09G136500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33672297:33677820:1 gene:Manes.09G136500.v8.1 transcript:Manes.09G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNKDSRFVAAINMRNFLIQVQEDRLPATNPIQVQESSIQIKTATVAGQFVQRLFRALFFLHIILTTILVIFLTVRGLLSVHSHHFYPKKWYPPLLTAIACAGIVAFTWQWITFCYPSRAIRAAFWLSPLLTCAVGILLVLIGSAASLAIGTIAVVFALIQSLYACWVNSRFNYAIKVLSVSTAFRPAKTTALVIISIVTSITYSGFLVSGIGGATVTGTGIDISFILVILLSLIWTLQVMKNTFHVTVAHVKYLHFACGADMDTRDALRDTIKNLTGSIFIGSILVPILTAIRGSARAVKVVAGGTDEFLFSCANCYSAIGSTLMTYGNRWGFVQVGVYNKGIVQASMDTWETFRSVGLEPLIDSDLTGSFCFLSGIAGGAVCTLVGGTWTLAIHKSYATEVSIYAFLIGYLMCRVAMAWPQACISAYYVAYAENPQCLRLDPTIPARIQELQRYGA >Manes.16G096400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30218507:30224057:1 gene:Manes.16G096400.v8.1 transcript:Manes.16G096400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGTNKFPFTASQWQELEHQALIFKYMVSGIPIPSDLLFTIKRSCLDSPFSSKLFPRQNSHVGWSCFQMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEIATQTATVNPSITPTVSSITKSHSTLSTPPSHSLSLLSSESHQNHLHQSGYHSHLNHHFLSSHAASRPPGNFLSPDEKSTPLLFNSGGSCSLSNTDYRNKSAYGLKEEVDEHVFFSEPSGSMRNLSGSSLDNAWQFTPLTISSSSTSSSSNQRSVSNLHNEYAYLQLHSLSDHGDPKQQKQYQQSYLLGSDMKCVLPTKIEKEEPQKTVHHFFDEWPPKNKASWLGLDDKSPNSTSVSTTRLSISIPSSSHDFPIFNSRTHDDG >Manes.08G058700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6801608:6802842:-1 gene:Manes.08G058700.v8.1 transcript:Manes.08G058700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPPVFPAYGWPLEDPIGHEHIYFSRESEKTINSIDNANFFQPQPPQTLELDHSASFTYHSGDPNMVKKLNHNASERHRRKKMNSLYSSLRSLLPAADQMKKLSIAATVSGVLKYIPELQGQVERLVQRKEELFSKLAKQDNQSGKKQIQQKKGINRGSLSAVSATHVSESEVVIQISSFGVRTTPLSELLVHLEKEGLSLISSSSFESSGGRVFLNLHLQVEGSYRLEQEALSEKLLSLI >Manes.08G058700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6801608:6802842:-1 gene:Manes.08G058700.v8.1 transcript:Manes.08G058700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPPVFPAYGWPLEDPIGHEHIYFSRESEKTINSIDNANFFQPQPPQTLELDHSASFTYHSGDPNMVKKLNHNASERHRRKKMNSLYSSLRSLLPAADQMKLSIAATVSGVLKYIPELQGQVERLVQRKEELFSKLAKQDNQSGKKQIQQKKGINRGSLSAVSATHVSESEVVIQISSFGVRTTPLSELLVHLEKEGLSLISSSSFESSGGRVFLNLHLQVEGSYRLEQEALSEKLLSLI >Manes.05G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19473055:19474296:1 gene:Manes.05G131900.v8.1 transcript:Manes.05G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLATPPPAASPSPFSTKSTKNTTTHVPSLGFHRDSKPLSHCSLLHRRTVSLGLAGALVGLNIGDRSANAAARRPPPPPPQEKKDPNLSGVQAKVLASKKRKEAMKEFAAKQREKGKPINQPSQEE >Manes.18G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14260903:14268952:-1 gene:Manes.18G125400.v8.1 transcript:Manes.18G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSSKSSYDVFLSFRGADTRPNFTSHLYAALNRKNITTFIDDSLERGEDISLTLLKVIEESKISVIIFSENYASSRWCLDELLKILECMKTMGQFVIPIFYHVDPSDVRNQTGNFGEAFAKRKERCKELSIDRVERWSNALREAANLSGWDSSNYRLESELSEEVADQILKKLYPISFSASTGLVGIDLNVKKIISLLCINPTNVQVIGIWGMGGIGKTTIAEVLVSQIFNQFDCFCFLRNVREESEKIGLLGLRSRFFSELSGVEIKIEMLHVLPTFIKDSLKRKKVLVVLDDVNDAEQLDALAVHDDDCFGLGSRIILISRDKQVLSSVDEVYEVKGLDDSDALQLLSMKAFKQKHPPMKYIELSERVQTYCKGVPLALKVLGSYLCKRTPEEWETALNKLKRFPDCNIMKVLKISYDDLDPIEKSIFLDIACFFKGYNKIWVEEILNGFDFPSHWGIIRLMDMCLITIVNNKLEMHDLIVEMGQDIARRKGNRLWNSKDICHILATANKANKAVEGLFLDMSETERVYLNPAVFSRIPNLRLLKFYWSFPWFKKEGSSFIIEHGPSKHIKSLPNKLSLLHWEEYPFKSLPLDRSLENLVHLIMPESKAEELWNGSKSLPKLKSLDLSRSVHLKKLPDFSLMTNLESIKFICCESLIEIPTSIQCLGRLNSLYLSSCKELRSLPSLKQLKFLKNLNLFYCCNLKIFPQIPRGIEEFEVRYSGLEEWSQSILFLDNLNLLRTGHFINLRSVPRIKNYNNNCFLDLIGYLNLITLPEIIGNVESLIFDGTLMEELPSSIGCHSSLVELSLVGCERLKSLPSSICKLELLKRLRLNGCSNLEELPPLYGLCSLRELYLNGTALVESPPDSFSLPLLRRLSLNNCKRLQTAVSASYIPPIKYPEGSWEDYRYVFNFCNCVNLDQTARSNIMADALRKFEELAIAINIFKEVDKEIAYFIVSLAGSKIPEWLSYESSGNSVATSLPPGCFNDMFLDFVFCAILEFEAPVVVGTLHHLYLECESSFRNSNGNKIANFGIYICDLETEYESEHVFFWYGGHHRSPLDLNDWLKQNCYHVNEASFNFEAEAICWDSNSMKRVKVKVKKCGFQLIYAKDEVNWCNRHVCGATNPDILGQLKETNSKNKRSSKGYYCSNKTSVIADGRSSYQEVETHPKRLRQNFSMENYSSNNQDKV >Manes.18G125400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14260902:14268954:-1 gene:Manes.18G125400.v8.1 transcript:Manes.18G125400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSSKSSYDVFLSFRGADTRPNFTSHLYAALNRKNITTFIDDSLERGEDISLTLLKVIEESKISVIIFSENYASSRWCLDELLKILECMKTMGQFVIPIFYHVDPSDVRNQTGNFGEAFAKRKERCKELSIDRVERWSNALREAANLSGWDSSNYRLESELSEEVADQILKKLYPISFSASTGLVGIDLNVKKIISLLCINPTNVQVIGIWGMGGIGKTTIAEVLVSQIFNQFDCFCFLRNVREESEKIGLLGLRSRFFSELSGVEIKIEMLHVLPTFIKDSLKRKKVLVVLDDVNDAEQLDALAVHDDDCFGLGSRIILISRDKQVLSSVDEVYEVKGLDDSDALQLLSMKAFKQKHPPMKYIELSERVQTYCKGVPLALKVLGSYLCKRTPEEWETALNKLKRFPDCNIMKVLKISYDDLDPIEKSIFLDIACFFKGYNKIWVEEILNGFDFPSHWGIIRLMDMCLITIVNNKLEMHDLIVEMGQDIARRKGNRLWNSKDICHILATANKANKAVEGLFLDMSETERVYLNPAVFSRIPNLRLLKFYWSFPWFKKEGSSFIIEHGPSKHIKSLPNKLSLLHWEEYPFKSLPLDRSLENLVHLIMPESKAEELWNGSKSLPKLKSLDLSRSVHLKKLPDFSLMTNLESIKFICCESLIEIPTSIQCLGRLNSLYLSSCKELRSLPSLKQLKFLKNLNLFYCCNLKIFPQIPRGIEEFEVRYSGLEEWSQSILFLDNLNLLRTGHFINLRSVPRIKNYNNNCFLDLIGYLNLITLPEIIGNVESLIFDGTLMEELPSSIGCHSSLVELSLVGCERLKSLPSSICKLELLKRLRLNGCSNLEELPPLYGLCSLRELYLNGTALVESPPDSFSLPLLRRLSLNNCKRLQTAVSASYIPPIKYPEGSWEDYRYVFNFCNCVNLDQTARSNIMADALRKFEELAIAINVSLPFLSLSLPPILRSNSYLFIFLSFYDRFSKKLIKKLHILLLV >Manes.10G061700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8622283:8624685:1 gene:Manes.10G061700.v8.1 transcript:Manes.10G061700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAQTNINTQFILLDKGRTEIVGQNWTCLALVADETAAVLFQFWSDDCDSFEPGDIIHLINGIFSYNRNNLVLRAGKRGTIKKVGEFTMPNMSEIHWASDPNNSKKYVQETAIFTHSHIFPSLA >Manes.08G141800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37835875:37837410:-1 gene:Manes.08G141800.v8.1 transcript:Manes.08G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTCSASQLAPLLSSTRNSTEAATYLCSQFTAIANQLSDTSYAVNNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGYAFAFGSPSNGFIGRHFFGLNDFPYPQADYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPIVSHWIWSGDGWASASKSDNNLLFGSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRSGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTILKSYGDRGGYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLVGHWNVIDVCNGLLGGFAAITSGCSVVEPWAAIICGFVAAWVLIGCNKLAEKFKYDDPLEAAQLHGGCGAWGLLFTGLFATKSYVNEVYPNKPGRPYGLFMGGGGKLLAAQIIQILVISGWVTVTMGPLFYGLNKLRLLRISNEDETAGMDLTRHGGFAYIYHDEDDPSLKPSLMMRRIEPTDESTPNHQTSPTMINV >Manes.16G014800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1485979:1489143:-1 gene:Manes.16G014800.v8.1 transcript:Manes.16G014800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFNLIVTLGLILSFMLNLLNLPGVAGIVWLYRYCKNGTSFTPSGIYQQNLNSLLAFLSSNATQGKDFYYTTAGEDPSDTAYGLFLCRGDIVPLKGVCQECVSAAAKAIVQDCPKKKASIIWYDECMLRYSNESFFSQVEEDPSYAKWNPGPPVNESERLTELATTTMRDVATRAANDRSGKRFGTEQVNFTSSQTLYTLVQCTQDLSVADCSKCLREAIGALPYCCYGRRGGRIIFPSCNARYELYPFYRVENLQSPTPKTGNKRKIRIILLAVGIPTVLIAIIVGSGLVYLLRKRRKEQEKGKDLPELLQDLTSPVTTGEGNLPNSVELPVLDLTTVREATDNFSDSNKLGRGGFGTVYKGVLSEGKEIAVKRLSKKSCQGLVELKNEIILIAKLQHRNLVRLLGCSIEGDEKLLIYEFMPNKSLDFFLFDSSKGGQLDWPRRLSIINGIARGLLYLHEDSRLKVIHRDLKAANILLDHEMNPKISDFGMARIFGVNQTEANTKRVVGTYGYMAPEYAMQGMLSIKSDVFSFGILLLEIISGKRNSRFYFSEDGETLPTFAWNLWSKNQGIELMDPLLGNSFVGDEVLKCIQIGLLCVQDDPSDRPTMSLVVLMLGSETMTLPQPKKHTFSVQRVVVNKESSSNCEEFSSINEMTISDVLPR >Manes.16G014800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1485979:1489143:-1 gene:Manes.16G014800.v8.1 transcript:Manes.16G014800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFNLIVTLGLILSFMLNLLNLPGVAGIVWLYRYCKNGTSFTPSGIYQQNLNSLLAFLSSNATQGKDFYYTTAGEDPSDTAYGLFLCRGDIVPLKGVCQECVSAAAKAIVQDCPKKKASIIWYDECMLRYSNESFFSQVEEDPSYAKWNPGPPVNESERLTELATTTMRDVATRAANDRSGKRFGTEQVNFTSSQTLYTLVQCTQDLSVADCSKCLREAIGALPYCCYGRRGGRIIFPSCNARYELYPFYRVENLQSPTPKTEKGKDLPELLQDLTSPVTTGEGNLPNSVELPVLDLTTVREATDNFSDSNKLGRGGFGTVYKGVLSEGKEIAVKRLSKKSCQGLVELKNEIILIAKLQHRNLVRLLGCSIEGDEKLLIYEFMPNKSLDFFLFDSSKGGQLDWPRRLSIINGIARGLLYLHEDSRLKVIHRDLKAANILLDHEMNPKISDFGMARIFGVNQTEANTKRVVGTYGYMAPEYAMQGMLSIKSDVFSFGILLLEIISGKRNSRFYFSEDGETLPTFAWNLWSKNQGIELMDPLLGNSFVGDEVLKCIQIGLLCVQDDPSDRPTMSLVVLMLGSETMTLPQPKKHTFSVQRVVVNKESSSNCEEFSSINEMTISDVLPR >Manes.11G138600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30388597:30391619:-1 gene:Manes.11G138600.v8.1 transcript:Manes.11G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESMVIEDKGITSYGGRYLQYNILGSLFEVSSKYVPPIQPVGRGAYGIVCCARNAETKEEVAIKKIGNAFDNRIDAKRTLREIKLLCHMDHENIIKIKDIIPPPERETFNDVYIVYELMDTDLHQIIRSSQTLTDDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCIFMEIIRREPLFPGKDYVQQLGLITELLGSPDDSDLGFLRSDNARRYVKQLPHFPKQPFAQKFPDLSAVALDLAEKMLVFDPCKRITVEEALNHPYLSSLHEINEEPTCPSPFIFDFEQISLNEDDIKELIFAESLNFNPDVMLE >Manes.01G264500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41923095:41924656:-1 gene:Manes.01G264500.v8.1 transcript:Manes.01G264500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGAFLRLTVIAVMLTAAASQTTPTGYANHTVGGSAGWFFNSTTNTSAANYSSWAATQTFNLGDFLIFNTNSNQTVIQTYNESTFRSCTTDDALNNDTIQYGGGSTAFGEALTIPVPLTIKGPNYFFSDAEDGAQCQSGLAFEIQVNHGLGLPPSLNQPPPPPYVTPPGPDFSQTPPVTIAGGSQAPGNSGFKNGVNVRGVACALLFGVAFLCFRH >Manes.06G025401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5341185:5341520:1 gene:Manes.06G025401.v8.1 transcript:Manes.06G025401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIWNIQGATAKLSLLVFKNYYHQYKPLILVLLEPKVLGPQSDSIFLGLGFDEWVWVKAIGLSGGIWVFWQLELGTLIVTHTNTQFVHCVVTRISLPVWEFTVVYVIPG >Manes.06G082100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21774097:21777142:-1 gene:Manes.06G082100.v8.1 transcript:Manes.06G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERAVYPTPTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTINVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYLVSKKKYVYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRNGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMSIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGFGVKTGLPYIYHSKASNPFVNLKKEYKGIYWQEELIPFFQSAALPKDCNTVQKCYIELSKQVRAKLGKIDEYFIKLADAMVTWIEAWDELNSSGNSGELANGTGK >Manes.06G108200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24026030:24031349:1 gene:Manes.06G108200.v8.1 transcript:Manes.06G108200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNHLDDDDEFGSDFPGSHNTMHSGNKRSFGDLEDDEDDIFSSKKGNSKVEETAMILSLRERLKLEAAKSEIQKWRSAFENESFIPTGASEPELVINYLQTLKSSEESLREQLEKAKKKEASFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTHLKSLVEEKDKKVKELQDNIAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQAAEGKIHELAMKLALQKSHNAELRSQFERLYTHIEGLTNDVLILQEEVEEKDHELNRLKLELQQKGIESKTDSAPKKIDDAEIMKPKQETDID >Manes.09G001401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:364918:366024:1 gene:Manes.09G001401.v8.1 transcript:Manes.09G001401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKCFVGARPKKRVDWMPWAEYYYNTSFHSSLHTTPFQVVYGREPSRLLSYAPGSSQVDVVDKALIDRDEVLCDIRLRLQQAQHHMKQFYDQGHRNASFVPGDFVWLRLHPHQQLSISSERSNKLGPLFYGPFKIIKPVGNVAYELELSLDSKIHNVFHVSLLRNFNGALPSETPLMVRLNRGTWEILINLEPVPLFQDAYPDYQLEDKLFVQGEGSPMDAFVGRAYRCRNKQAASLEVMFLKKEQER >Manes.01G141900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33307611:33309854:-1 gene:Manes.01G141900.v8.1 transcript:Manes.01G141900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENSTNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSNTGKFHEFISPTITTKKMFDQYQKALGIDLWSTHYERMQEHLGKLREINNKLRRDIRQRMGEDLNDVSILELRDLEERMASALEFVRDRKYHVIKTQTETCRKKVRNLEERHGDLLLEFEAKCEDLQYGLVENEGDYESAITIANGASNLYAFRLQHGHPTANGGGFGSHELRLA >Manes.15G179612.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16890263:16892695:1 gene:Manes.15G179612.v8.1 transcript:Manes.15G179612.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRTELQKKIQELEKYRLSGASLLILANKQDLKGALTPDEIAKVLNLENMDKIRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.09G153700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35037251:35040301:1 gene:Manes.09G153700.v8.1 transcript:Manes.09G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRAPKHYQTPTLNPEHLFPAKRRWRMAFTVIYFTRLLLSSSKKVLCEQTKLLRSVSYVSIPVDDDNSCRDDVSLINIDQNKLSQMVKEKDQHSLNQLGGVVQVAVILHSDVKEGISGQEADLARRRDVFGANKYKKPPAKSFLSFVLEALKDTTIIILLACAILSLAFGIKQHGPKDGWYDGGSIIVAIFLVVVVSAVSNFKQSRQFLKLSDESSDIRVQVVRDGRHQNISIFDVVVGDVVSLKIGDQIPADGLFTDGYSLKVDESSMTGESDHVEVNSTRNPFLLSGTKVTDGFGFMLVTSVGMNTAWGEMMSSISRNLDEQTPLQARLNKLTSYIGKIGLAVAILVLAVLTVRYFTGNTRDDHGRREYNGGNTKVNDVLNSVVDIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKQMMADNALVRKLSACETMGSATVICTDKTGTLTMNQMQVLEFWLGKELIHDSISTEIEPAFSLLLEEGVALNTTANVDKPHSTSIPEISGSPTEKAILSWAVLDLGMNINETKQKCEIMYVETFNSEKKRSGVMMRKSNEKAIHTHWKGAAEMILAMCSTYYVKGGELVDMNEEERMQFRAIIHSMAAKSLRCIAFAHKKVIEENMQVFEKLEETGFTLLGIVGLKDPCRPGVRRAVESCKKAKVSVKMITGDNQHTARAIAIECRILNPEEDMDNKAVVEGAEFRNYSPEERMARIEDIRVMARSSPFDKLLMVQCLKEKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIIILDDNFTSVVTVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAISSGKVPLTAVQLLWVNLIMDTMGALALATEQPTDDLMTKPPAGRSEPLITKIMWRNLIAQALYQVVILIILHCKGESIFGVDEKVKDTLIFNTFVLCQVFNEFNARKLEKRNIFNGIHKNKLFLAIIGITVVLQVMMVELLKRFASTERLTWGQWGACIGIAAVSWPIGCAVKYIPVPGKEASKQRAFRT >Manes.16G013201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1312725:1313575:-1 gene:Manes.16G013201.v8.1 transcript:Manes.16G013201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSSTQRSDVAWACLDQIGLPPNQECRVFLNDFGYPLLVLQYVFIAFGLLLQQM >Manes.16G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26231971:26234422:-1 gene:Manes.16G068200.v8.1 transcript:Manes.16G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGDSTLNPYAASYIPLFKREAAEKIEGPGVTSKVAQGGNQTVWNRPVEHTTQNRQHNQASSIHQACVPKNHPAPGFYGSSSQSLNELTDNQMMDEESDIDLEYLQMTFPGISDESLNDVYMANKGDLEATIDMLNQLEFDSFESPENLPDTLDIGDVSESGSSAECSSVKLKSVVGEASASSLGSAAPESVSVT >Manes.03G185900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30647061:30649096:1 gene:Manes.03G185900.v8.1 transcript:Manes.03G185900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQCFENPPKLNPSCGSGTVQEFGGLKTYVTGPPDSTLSILLISDIFGYEAPILRKLADKVAAAGFFVVVPDFFYGDPVDLDNPQFDRDAWRKVHSTDKGYEDAKPVIAALRSKGLSSIGAAGFCWGGKVVVKLASSDDIKAAVVLHPGRLTIDEINEVKVPIAFLGAEFDHASPPEQLKEFGEILSKKSESESSVKIFPGVSHGWTVRYNIDDESAVKSAEEAHCDMLNWFTKYVK >Manes.15G169300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14565436:14568324:-1 gene:Manes.15G169300.v8.1 transcript:Manes.15G169300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPSNQDPSPAFVETVEEIMKLYRSLPSRPSIEEVEAAMSVIETVNGEDQRRLEEISKQECPRDVPEELFLVLQQVRRNMVVFESNEQRKEALHMVEIDKMFGTFDGLIQRASLLVSGDTQKEKVVDFSDPVEKIERESLVNVENLINRIEDGELEKDDSKDLIKSASTKAPIFSGDGELEKLSLLKFATIIENSAKSEAVVLDLKGKLMDQVEWLPSSIGKLSFVTELNLSENRIMALPTTFGSLKALTKLDIHSNQLINLPDSFGELISLIDLDLHANRLKSLPSSFRNLKNLINLDLSSNQFTHLPETIGDLTSLRILNVETNDLEEIPYTIGNCLSLVELRLDFNQLKALPEAIGKVESLEILTLHYNRIRGLPTTMGNLANLRELDVSFNELESIPENLCFAVSLRKLNVGKNFADLRDLPRSIGNLEMLEELDISDDQIRVLPDSFRFLSKLRIFRADETPLEVPPRQVAKLGAQAVVQFMADLVANRDTKSQPSKKKKGFWHKLCLSFWPFRRNSQ >Manes.15G169300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14565436:14568324:-1 gene:Manes.15G169300.v8.1 transcript:Manes.15G169300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPSNQDPSPAFVETVEEIMKLYRSLPSRPSIEEVEAAMSVIETVNGEDQRRLEEISKQECPRDVPEELFLVLQQVRRNMVVFESNEQRKEALHMVEIDKMFGTFDGLIQRASLLVSGDTQKEKVVDFSDPVEKIERESLVNVENLINRIEDGELEKDDSKDLIKSASTKAPIFSGDGELEKLSLLKFATIIENSAKSEAVVLDLKGKLMDQVEWLPSSIGKLSFVTELNLSENRIMALPTTFGSLKALTKLDIHSNQLINLPDSFGELISLIDLDLHANRLKSLPSSFRNLKNLINLDLSSNQFTHLPETIGDLTSLRILNVETNDLEEIPYTIGNCLSLVELRLDFNQLKALPEAIGKVESLEILTLHYNRIRGLPTTMVSLRKLNVGKNFADLRDLPRSIGNLEMLEELDISDDQIRVLPDSFRFLSKLRIFRADETPLEVPPRQVAKLGAQAVVQFMADLVANRDTKSQPSKKKKGFWHKLCLSFWPFRRNSQ >Manes.11G086600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12672648:12677478:1 gene:Manes.11G086600.v8.1 transcript:Manes.11G086600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLNTSMTVLERQRARFKWQQEQQQQQLQQQQESFFGDLSRVFHLQQNQLQGFQGDLGEVVTRSVKPDPAFVDNGWPDLVGFEPCGYGNNNNNGSGFGVNYAISRTSSCPPAVAEATLDAAVLAKGTEPVVSENLSSGIGRESLMKKRKVDKLQSNPKVVAEDGSRDKKIKGCAEDGESKIKEKNNNKSGTTSKNSNKENSAETSKDNSKVTEVQKPEYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDNLFSKEAFPACATNFPAIGVSSDMTNPAYLQLNLMQQQQQQQQQQLVTCCGLEMGINPPDMGLRRTISAPISIPEIFIESSCFTQIQPSSTWDAELQNLYNVAFDQGRTTSFPTQPFTGKIKLTCLFNLHFISLIISIGLKK >Manes.11G086600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12672648:12677478:1 gene:Manes.11G086600.v8.1 transcript:Manes.11G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLNTSMTVLERQRARFKWQQEQQQQQLQQQQESFFGDLSRVFHLQQNQLQGFQGDLGEVVTRSVKPDPAFVDNGWPDLVGFEPCGYGNNNNNGSGFGVNYAISRTSSCPPAVAEATLDAAVLAKGTEPVVSENLSSGIGRESLMKKRKVDKLQSNPKVVAEDGSRDKKIKGCAEDGESKIKEKNNNKSGTTSKNSNKENSAETSKDNSKVTEVQKPEYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDNLFSKEAFPACATNFPAIGVSSDMTNPAYLQLNLMQQQQQQQQQQLVTCCGLEMGINPPDMGLRRTISAPISIPEIFIESSCFTQIQPSSTWDAELQNLYNVAFDQGRTTSFPTQPFTGTIEASNLKMEM >Manes.08G011700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1355176:1359036:-1 gene:Manes.08G011700.v8.1 transcript:Manes.08G011700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHDDVVIIRQSEKERDLSIITVNCPDKTGLGCDLCRIILFFGLNIVKGDVTEVLCELELTIKKVKVSTTPDGRVIDLFFVTDTRELLHTNKRKEDTYDHLKAVMGDVMISCDIEMVGPEITACSQESSLLPTTITDDMLHLEIPDELPNSLTSSSVSVTMDNSLSPAHTLVQIACRDHKGLLYDIMRTLKDYNIKISYGRFMIKQRRNCEIDLFIVQADGKKLVDTKIQRALSSHLEVELLRPLRVAVVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLKSCIFSAEIRRHMIGDREFEVYRVLLDEGESLSIPRGKIEEAVWNVLMGWD >Manes.08G011700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1355176:1359036:-1 gene:Manes.08G011700.v8.1 transcript:Manes.08G011700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHDDVVIIRQSEKERDLSIITVNCPDKTGLGCDLCRIILFFGLNIVKGDLSTDGKWCYIVFWVAGNSSTRWDLLKKRLLGVCPSFYSASGILYYRPELQQTPRPPDVFLLKLCCHDRRGLLHNVTEVLCELELTIKKVKVSTTPDGRVIDLFFVTDTRELLHTNKRKEDTYDHLKAVMGDVMISCDIEMVGPEITACSQESSLLPTTITDDMLHLEIPDELPNSLTSSSVSVTMDNSLSPAHTLVQIACRDHKGLLYDIMRTLKDYNIKRRNCEIDLFIVQADGKKLVDTKIQRALSSHLEVELLRPLRVAVVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLKSCIFSAEIRRHMIGDREFEVYRVLLDEGESLSIPRGKIEEAVWNVLMGWD >Manes.08G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1355176:1359036:-1 gene:Manes.08G011700.v8.1 transcript:Manes.08G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILHDDVVIIRQSEKERDLSIITVNCPDKTGLGCDLCRIILFFGLNIVKGDLSTDGKWCYIVFWVAGNSSTRWDLLKKRLLGVCPSFYSASGILYYRPELQQTPRPPDVFLLKLCCHDRRGLLHNVTEVLCELELTIKKVKVSTTPDGRVIDLFFVTDTRELLHTNKRKEDTYDHLKAVMGDVMISCDIEMVGPEITACSQESSLLPTTITDDMLHLEIPDELPNSLTSSSVSVTMDNSLSPAHTLVQIACRDHKGLLYDIMRTLKDYNIKISYGRFMIKQRRNCEIDLFIVQADGKKLVDTKIQRALSSHLEVELLRPLRVAVVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLKSCIFSAEIRRHMIGDREFEVYRVLLDEGESLSIPRGKIEEAVWNVLMGWD >Manes.13G003100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:911189:917128:-1 gene:Manes.13G003100.v8.1 transcript:Manes.13G003100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFISLSLLLLVPLLFFFCFFLTADGDFTLMSKRHVKREEIEDKVVWITGASRGIGEVLAKQLASLGAKLILSARNEAELERVKKQLTGKHAPDEVKILPLDLASGEDSLREAVEKAESFFFGAGVDYMIHNAAYERPVTFNINVLGTISLTRLLATLMLKRGRGHFVVMSSAAGKVPTPGQAVYSASKFALNGYFQSLRSELCKKGIKITIVCPGPIETKGYGVTTSARKGSEKHVSSERCAELTIIAATHGLKEAWISDQPVLAVMYVVQYMPTIGYWLMDKIGGRRVEVAAQKGNTYSWSLLFGKKKP >Manes.13G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:911189:917128:-1 gene:Manes.13G003100.v8.1 transcript:Manes.13G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFISLSLLLLVPLLFFFCFFLTADGDFTLMSKRHVKREEIEDKVVWITGASRGIGEVLAKQLASLGAKLILSARNEAELERVKKQLTGKHAPDEVKILPLDLASGEDSLREAVEKAESFFFGAGVDYMIHNAAYERPKTTALDVTEESLKVTFNINVLGTISLTRLLATLMLKRGRGHFVVMSSAAGKVPTPGQAVYSASKFALNGYFQSLRSELCKKGIKITIVCPGPIETKGYGVTTSARKGSEKHVSSERCAELTIIAATHGLKEAWISDQPVLAVMYVVQYMPTIGYWLMDKIGGRRVEVAAQKGNTYSWSLLFGKKKP >Manes.11G152141.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31737291:31738818:1 gene:Manes.11G152141.v8.1 transcript:Manes.11G152141.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLIVVSDSEVRLDFMLNFKCRANVRLRSLSATTPIAFKVQTSAPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPSDHFKLKVAFVGPFLLRHAVSSGDVNSVKNIIKRQRSILSELSPREAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWCELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHLNITLERGEVVLMAARRGNLEHLESLLKKGANENYKDQYGFTALRAAAIKGHKDIVSMLIHPTIEILNNGGHVSIFLAYPIVS >Manes.15G159700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13265538:13268986:-1 gene:Manes.15G159700.v8.1 transcript:Manes.15G159700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGATTLIVKIFGSIGAVAAGLDLGKEGPLVHIASCIASLLGQGGPDNYRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIELCNSGKCGLFGRGGLIMFDVSDVTVSYHVMDIIPVTIIGILGGVLGSLYNYLLHKVLRLYNLINQKGRMHKLLLSLSVSIFTSVCLYCLPFLAKCQPCDPSITEACPTNGRSGNYKQFNCPKGYYNDLATLLLTTNDDAVRNVFSSNTPKEFQPASLLIFFALYCVLGLITFGIAVPSGLFLPIILMGSAYGRLLGVVMQSYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYDIILHLKGLPFLDANPEPWMRNLTVGELADAKSPVVTLCGVEKVSRIVDVLKNTTYNGFPVVDEDVVPPVGQATGATELHGLILRAHLVKVLKKKWFLQEKRRTEEWEVREKFTWVELAEREGNIEDVAVTRDEMEMYVDLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHMLIVPKYQAAGVPPVVGILTRQDLRAYNILTAFPHLARPNSREKRN >Manes.15G159700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13265538:13268986:-1 gene:Manes.15G159700.v8.1 transcript:Manes.15G159700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSSQLAEAIASPQTMEAGEEERDPENVSLQQPLLKRNRTLSSNPLAIIGAKVSYIESLDYEINENDLFKHDWRSRSKVQVLQYIFLKWTLAFLVGLLTGLIATLINLAVENIAGYKLLAVVGFIEKKRYLTGLAYFTGVNLVLTAFAAVLCVCFAPTAAGPGIPEIKAYLNGIDTPNMFGATTLIVKIFGSIGAVAAGLDLGKEGPLVHIASCIASLLGQGGPDNYRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIELCNSGKCGLFGRGGLIMFDVSDVTVSYHVMDIIPVTIIGILGGVLGSLYNYLLHKVLRLYNLINQKGRMHKLLLSLSVSIFTSVCLYCLPFLAKCQPCDPSITEACPTNGRSGNYKQFNCPKGYYNDLATLLLTTNDDAVRNVFSSNTPKEFQPASLLIFFALYCVLGLITFGIAVPSGLFLPIILMGSAYGRLLGVVMQSYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYDIILHLKGLPFLDANPEPWMRNLTVGELADAKSPVVTLCGVEKVSRIVDVLKNTTYNGFPVVDEDVVPPVGQATGATELHGLILRAHLVKVLKKKWFLQEKRRTEEWEVREKFTWVELAEREGNIEDVAVTRDEMEMYVDLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHMLIVPKYQAAGVSLLRISIRLHTNL >Manes.15G159700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13265538:13268986:-1 gene:Manes.15G159700.v8.1 transcript:Manes.15G159700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSSQLAEAIASPQTMEAGEEERDPENVSLQQPLLKRNRTLSSNPLAIIGAKVSYIESLDYEINENDLFKHDWRSRSKVQVLQYIFLKWTLAFLVGLLTGLIATLINLAVENIAGYKLLAVVGFIEKKRYLTGLAYFTGVNLVLTAFAAVLCVCFAPTAAGPGIPEIKAYLNGIDTPNMFGATTLIVKIFGSIGAVAAGLDLGKEGPLVHIASCIASLLGQGGPDNYRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIELCNSGKCGLFGRGGLIMFDVSDVTVSYHVMDIIPVTIIGILGGVLGSLYNYLLHKVLRLYNLINQKGRMHKLLLSLSVSIFTSVCLYCLPFLAKCQPCDPSITEACPTNGRSGNYKQFNCPKGYYNDLATLLLTTNDDAVRNVFSSNTPKEFQPASLLIFFALYCVLGLITFGIAVPSGLFLPIILMGSAYGRLLGVVMQSYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYDIILHLKGLPFLDANPEPWMRNLTVGELADAKSPVVTLCGVEKVSRIVDVLKNTTYNGFPVVDEDVVPPVGQATGATELHGLILRAHLVKVLKKKWFLQEKRRTEEWEVREKFTWVELAEREGNIEDVAVTRDEMEMYVDLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHMLIVPKYQAAGVPPVVGILTRQDLRAYNILTAFPHLARPNSREKRN >Manes.01G051400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:10003262:10009727:1 gene:Manes.01G051400.v8.1 transcript:Manes.01G051400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHMLLSALSVGVGVGVGLGLASGQNKLNAKASPLNAITAENLEQEMLRQVIDGRETGVTFDQFPYYLSEQTRALLTSAAYVHLKHAEVSKYTRNLAPASQAILLSGPAELYQQMLAKALAHYFETKLLLLDVTDFSLKIQGKYGTAMKEFSFKRSNSECTLDRLSSFFKSLPIHPQKEERKGGLRRQYSGMDISSRAIEGSSSAHKLRRNVSTTNLSNLASQCTAANTAPLKCTSSWSFDEKLFIQSLYKVLAYVSKANPIVLYLRDVDKFLSRSHRIYNLFQKMLNKLSGSVLILGSQIVDLGTDNREEDERLLDLFPYNIEIRPPKDEYHLLSWKSQLEADMKMIQIRDNKNHIMEVLSSNDLDCDDLDLLCVTDTMVLSNYIEEIVVSAISYHLMNNKDPQYRSGKLIISSKSLSHGLSIFQEGKSVDKDTLDLEEQVEAFKEARRIGTIGVQPATKVDTLKPDNKTVVEKLDSGVKTDADNYLPASKILEVPDNEFEKRIRPEVIPANEINVAFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKQGERILVLAATNRPFDLDEAIIRRFERRIMVELPSPENREMIFRTLLSKEKVEEGVDFKELAIMTEGFTGSDLKNLCTTAAYRPVRELIKQERLKDLEKKQNVAKVQKLVQTADTKEDSKEERVITLRPLNMEDFKQAHNQVAASFSVEGSTMSELKQWNDLYGEGGSRKKQQLSYFL >Manes.16G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21405845:21412597:1 gene:Manes.16G057600.v8.1 transcript:Manes.16G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFSTNKLIKMASPVSAVPSITRPLANFHPNIWGDHFLSYASHESMKIDNDVEERVEKLKQEVQRMMLVASADGPSHVLNLVDLIQRLGVSYHFENEITEALQQSFMDDSGTYDDELHNVALRFRLLRQQGFNVSCDVFNKFRDIEGKFNEKLKDDVEGMLSLYEAAYLRVHGEDILEEALAFTTTHLQSIASSDSHLSSRHVALVKRALKQPLRKGLSRLEARHYISIYEEDVSHDKTLLMFAKMDFNILQKLHQQELRRISEWWKNLNFTTKLPFIRDRVVEGYFWILGVYYEPQFSFARWFLTKVFSIASVIDDMYDAYGTLEELEVFTHAVERWDIKYIDQLPQYMKLVYKTLLNIYEEIERELIKQGRPILFHYVKKEMKRLVQAYLVEAKWLNKNYTPTVDEYMSNALLSCGYSLLTISVFVGMGDIATTEAFDWASKDPKILRAASMIGRLMDDIVSHEFEQKRGHVASAIECYMKQNDISEQEARVEFNKQIVDAWKDINEECLRPSNIPMPLLTRVINLACFVDYFYKDGDEYTHVGELMKSSITSILIDSVKIP >Manes.13G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29503294:29508568:-1 gene:Manes.13G100400.v8.1 transcript:Manes.13G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEEETLEFPEMESQNDEKKRDFILDEPSPRCVLELPMLSPCSDSGCSSSANSTEKLAQGSEANLPQWKNMIDTFKKKSVRRFSVIPLLQTYDIIAKRNLKRKLTKMQNSPNLMIDWGGMAIPKPSWRNFDYKELEAATDNFSSENMIGKGGHAKVYKGRLPDGQVVAVKKIVKSDKKEENKIGDFLSELGIIAHINHPNAAKLLGFSVDGDLHLVLEYLPHGSLASVLHGEEECLDWKRRFKASIGIAEGLQYLHHECPRRVIHRDIKASNILLGEDYEAQISDFGLAKWLPENWVQHVVFPIEGTFGYLAPEAFMHGIVDEKTDVFAYGVLLLEIITGRYAVDSSRQSLAMWAKPLLQENNATELVDPRLGDDYDPNEMERAMFTALMCINHLPSTRPYMNQVVHLLKGEEEASMELEQKTNAGRAVVLDGCYVQDHTCSSYLDDMNRHMQLVME >Manes.13G100400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29503294:29508568:-1 gene:Manes.13G100400.v8.1 transcript:Manes.13G100400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEATVVEEEENSVFVATKEEVMVVDFCFHHSNDIFCVCLFTEEETLEFPEMESQNDEKKRDFILDEPSPRCVLELPMLSPCSDSGCSSSANSTEKLAQGSEANLPQWKNMIDTFKKKSVRRFSVIPLLQTYDIIAKRNLKRKLTKMQNSPNLMIDWGGMAIPKPSWRNFDYKELEAATDNFSSENMIGKGGHAKVYKGRLPDGQVVAVKKIVKSDKKEENKIGDFLSELGIIAHINHPNAAKLLGFSVDGDLHLVLEYLPHGSLASVLHGEEECLDWKRRFKASIGIAEGLQYLHHECPRRVIHRDIKASNILLGEDYEAQISDFGLAKWLPENWVQHVVFPIEGTFGYLAPEAFMHGIVDEKTDVFAYGVLLLEIITGRYAVDSSRQSLAMWAKPLLQENNATELVDPRLGDDYDPNEMERAMFTALMCINHLPSTRPYMNQVVHLLKGEEEASMELEQKTNAGRAVVLDGCYVQDHTCSSYLDDMNRHMQLVME >Manes.15G035800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2822938:2827407:1 gene:Manes.15G035800.v8.1 transcript:Manes.15G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYGKRRVAERKPHVGRRSKGMGVEKKPKPKSVSLKNQIRSTERMLRKELPPEVREAQEKKLEGLKKQQEIHTRLALERKIFLRDRKIKFFERRKVERRIRRLEKLQRASSGQAQDAEIAEQLSKLKEDLEYVRFFPKTEKYVSLFTGGDDSDIVDRRNGLRKQIKANLVAAAASGKDLEETGSEDDGLLDLSEDDFFLTGTSSDEADADDEWTDKSTREQASSTSGKAASGMSSDERNQKQMSARALMPPPRPSNNSLSNFSHAHSRFGASSSKNSWMQRSEISTSSNTSSSRSGSSFKAGGSSNTRTGNGSNLSSNSDAQKPRRKRRPKKKKQQA >Manes.09G024032.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4830430:4835767:1 gene:Manes.09G024032.v8.1 transcript:Manes.09G024032.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHSYQEICFAWGLKGELRKLEDSLLTVKAVLMDAEEKQVNDHQLRLWLAKLKDALYDAEDVLDEFECEDQRRRMLQLYGTTCKKMGHFFSSSNPIAFRFKMSAKVKQIREILDEIASQKFKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIIRLLQDSSDSEQISVIPIVGIGGLGKTALTKLVYNDTEVKNHFQLQIWVCVSEDFDIKILTEKIIKSTEVGKRYGVESLSKMEMEQLQEILRESIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPRAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIGQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFSNWELIQFWMAHGLLESANQDEEPEDIGSRYFQELGSRTFFQDFETSEGISITCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSSQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRNLHVHGNSRIKKLPNSICKLYNLQTLMLCEGIEELPSDIRYLINLRFLMFSTKQKFLPKNGIGCLTSLRFLGIANCENLEHLFEDMQGLKHLRTLIIGGCESLISLPQSMKYLTALEILAIGNCENLKLTLEEKGKSDKHYLAQFNLQKLILAELPKLVDFPEWLLQGSSNTLQFLKLESCEHLKELPVCIQNIASLQQLEIEDCDELIERCERGKGEDWSKIAHIPKIIINGSDIDSSDD >Manes.09G024032.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4830509:4835944:1 gene:Manes.09G024032.v8.1 transcript:Manes.09G024032.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHSYQEICFAWGLKGELRKLEDSLLTVKAVLMDAEEKQVNDHQLRLWLAKLKDALYDAEDVLDEFECEDQRRRMLQLYGTTCKKMGHFFSSSNPIAFRFKMSAKVKQIREILDEIASQKFKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIIRLLQDSSDSEQISVIPIVGIGGLGKTALTKLVYNDTEVKNHFQLQIWVCVSEDFDIKILTEKIIKSTEVGKRYGVESLSKMEMEQLQEILRESIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPRAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIGQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFSNWELIQFWMAHGLLESANQDEEPEDIGSRYFQELGSRTFFQDFETSEGISITCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSSQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRNLHVHGNSRIKKLPNSICKLYNLQTLMLCEGIEELPSDIRYLINLRFLMFSTKQKFLPKNGIGCLTSLRFLGIANCENLEHLFEDMQGLKHLRTLIIGGCESLISLPQSMKYLTALEILAIGNCENLKLTLEEKGKSDKHYLAQFNLQKLILAELPKLVDFPEWLLQGSSNTLQFLKLESCEHLKELPVCIQNIASLQQLEIEDCDELIERCERGKGEDWSKIAHIPKIIINGSDIDSSDD >Manes.16G081500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28797482:28799578:1 gene:Manes.16G081500.v8.1 transcript:Manes.16G081500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVVIKEDKETVVAYAVGVVSSSSSSSSFQPQQMEVLHEVGPPPFMTKTYEMVEDPLTDSVVSWNRGRNGFIVWDSYKFSTTLLPKYFKHSNFSSFIRQLNTYGFRKIDPDRWEFANEGFMGGQKHLLKTIKRRRQQQSGLHDKVGEFGLEGELERLRRDRNVLIAEILRLRQQQKQSREQISEMQDKLQNTQRKQQQIILFLAKALSNPFLMQQFSERSIQRREVRDVEIGSKRRLTASSSVQNLQDKAASVAMNVGKIVEYKNQELGTIETEIDAFLSAALDVAE >Manes.16G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28797716:28799578:1 gene:Manes.16G081500.v8.1 transcript:Manes.16G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVVIKEDKETVVAYAVGVVSSSSSSSSFQPQQMEVLHEVGPPPFMTKTYEMVEDPLTDSVVSWNRGRNGFIVWDSYKFSTTLLPKYFKHSNFSSFIRQLNTYGFRKIDPDRWEFANEGFMGGQKHLLKTIKRRRQQQSGLHDKVGEFGLEGELERLRRDRNVLIAEILRLRQQQKQSREQISEMQDKLQNTQRKQQQIILFLAKALSNPFLMQQFSERSIQRREVRDVEIGSKRRLTASSSVQNLQDKAASVAMNVGKIVEYKNQELGTIETEIDAFLSAALDGESSSDVRDPVAGSNSVDNLGSRNETIWEELLNDDIIASNPSEKVISDNKPEFDMEVED >Manes.09G038001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7137721:7138284:1 gene:Manes.09G038001.v8.1 transcript:Manes.09G038001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDSSSEETTATSGPSTRNFVCTICFKVFPSGQALGGHQNAHLQERSFRKTTPNILGAVLNHPLPDDDDQPLPRSNSGNRTLIQQPGLIAPEDGSKTPKRANVGHPIVGRANNGSSSLHVHHPYVRLADEEARRRRLTKNLLGERKPKQVKKGEKSSETSIGDSGVDIELSLKRKEYVDLELKLGF >Manes.02G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11103025:11107025:-1 gene:Manes.02G144700.v8.1 transcript:Manes.02G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAATNAYSWWCASHIRTKQSKWLEQNLQDMEEKVTSMLKIIENNGDTFSQRVDMYYRKRPELIEHVEDSYRAYRALAERYDHLSREMQSANRTIAAVFPEQVHYDMDDYDDDQANIPRSTSSDDPGMPSNTFKRNIPKVPTMPKREFRTRSMILAKREREQLNGTPSPVKSPAPPSSGLRQEEAIAEIEKIQKVILALQTEREFVQSIYERSYAKRSKIDKQITDMQAKLSRLQDEFGIVNVIEDNEARTLMAATALKSCQENLVKLQEKQDQSTQEATVESRRIKEMIKTFSHLQGEFHSNVPDLEVATVEEEAESEDTDQLNTELLRQKIKKELETDSNSSLTVMQLAAKIDELVEKVVSIETAVSSQNALMNVLRSEADGFQDHIKTLEDEKEILKENSEDMSNRLKELEEEMQRVKNLDQNVKEKNMSLQSQFTEASCHIDHLSVKLQTVKPDEGVENGGMIKKEVAAPDAEIDGESKENGEKEEKKEEKPDGKKDLSHTDTNLDNLAEQLENDEEEDLPKWRRHFASGLEDREKLLLEDYILVLRNYKDVRKRLGDVEKKNRDGFFELALQIRELKSAISVRDEEIQSLRKLSPHPKNEDENNDINTTKDKYSVHAASPESTIMAPSVQDSSHSNFASPQQPIIESAHEHQIESRRRMRELAALDNKSDTKETANDGIKMRSVETIHVGSAIEEKIRSDIDALLEENLEFWLRFSSSFHQIQKFHTSVQDLKTELAKLSLNRNQDATSKTLISEARPIYKHLRQIHTELTLWLETNAVLKEELHGRYASLCSIQEELTRVTSETSKSEDTELSRYQAAKFQGELLNMKQENKKVAGELEAGVDRVSNLKDEVEKTVLKMDEELGFSEDKPRSFRSRIPLRSFLFGSKLKKHKRQKSASIFSCAFPEFDELGNGNMPLEEPPQ >Manes.02G144700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11103104:11109311:-1 gene:Manes.02G144700.v8.1 transcript:Manes.02G144700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVTSMLKIIENNGDTFSQRVDMYYRKRPELIEHVEDSYRAYRALAERYDHLSREMQSANRTIAAVFPEQVHYDMDDYDDDQANIPRSTSSDDPGMPSNTFKRNIPKVPTMPKREFRTRSMILAKREREQLNGTPSPVKSPAPPSSGLRQEEAIAEIEKIQKVILALQTEREFVQSIYERSYAKRSKIDKQITDMQAKLSRLQDEFGIVNVIEDNEARTLMAATALKSCQENLVKLQEKQDQSTQEATVESRRIKEMIKTFSHLQGEFHSNVPDLEVATVEEEAESEDTDQLNTELLRQKIKKELETDSNSSLTVMQLAAKIDELVEKVVSIETAVSSQNALMNVLRSEADGFQDHIKTLEDEKEILKENSEDMSNRLKELEEEMQRVKNLDQNVKEKNMSLQSQFTEASCHIDHLSVKLQTVKPDEGVENGGMIKKEVAAPDAEIDGESKENGEKEEKKEEKPDGKKDLSHTDTNLDNLAEQLENDEEEDLPKWRRHFASGLEDREKLLLEDYILVLRNYKDVRKRLGDVEKKNRDGFFELALQIRELKSAISVRDEEIQSLRKLSPHPKNEDENNDINTTKDKYSVHAASPESTIMAPSVQDSSHSNFASPQQPIIESAHEHQIESRRRMRELAALDNKSDTKETANDGIKMRSVETIHVGSAIEEKIRSDIDALLEENLEFWLRFSSSFHQIQKFHTSVQDLKTELAKLSLNRNQDATSKTLISEARPIYKHLRQIHTELTLWLETNAVLKEELHGRYASLCSIQEELTRVTSETSKSEDTELSRYQAAKFQGELLNMKQENKKVAGELEAGVDRVSNLKDEVEKTVLKMDEELGFSEDKPRSFRSRIPLRSFLFGSKLKKHKRQKSASIFSCAFPEFDELGNGNMPLEEPPQ >Manes.10G046600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5069333:5082911:-1 gene:Manes.10G046600.v8.1 transcript:Manes.10G046600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTTAIPHLDKVDFLKLQNGSDIRGVAVAGVEGEPVTLTEPVTEAIAAGFAAWLLEKKKADASKTLRVSIGHDSRISAQMLQDAVSRGIAGAGLDVVQYGLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKEILERAADVYNKFTDESLTKSKRLASESVKKVDYMTVYTADLVKAVRKAAGNIEKPLEGFHIIVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGLFPYHIPNPEDKAAMKAITQAVLQNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALISAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGVGGGSKVLTNLIEGLQEPAVAVELRLKINQNHPDLKGGSFREYGEAVLNHLENSVDLDPKLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAVKLGLSVASAVKEFAAIDTSALDKFIQPS >Manes.10G046600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5069333:5082912:-1 gene:Manes.10G046600.v8.1 transcript:Manes.10G046600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEYLHKCYRMLFLEELQVQVWMLFSMALKCELIPQMDGCYIQTVAVILSSDKNSGLTEFGMRRRKNRSVEMRRESRKRLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKEILERAADVYNKFTDESLTKSKRLASESVKKVDYMTVYTADLVKAVRKAAGNIEKPLEGFHIIVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGLFPYHIPNPEDKAAMKAITQAVLQNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALISAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGVGGGSKVLTNLIEGLQEPAVAVELRLKINQNHPDLKGGSFREYGEAVLNHLENSVDLDPKLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAVKLGLSVASAVKEFAAIDTSALDKFIQPS >Manes.10G046600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5069333:5082911:-1 gene:Manes.10G046600.v8.1 transcript:Manes.10G046600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSGKIVQNIFVAQNRLSGTQYRRDLCAPYTCNSLPFCGGKLAWTSISSMQMHKLPQYQRGFVNRGNVYCNAASSTTAIPHLDKVDFLKLQNGSDIRGVAVAGVEGEPVTLTEPVTEAIAAGFAAWLLEKKKADASKTLRVSIGHDSRISAQMLQDAVSRGIAGAGLDVVQYGLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKEILERAADVYNKFTDESLTKSKRLASESVKKVDYMTVYTADLVKAVRKAAGNIEKPLEGFHIIVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGLFPYHIPNPEDKAAMKAITQAVLQNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALISAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGVGGGSKVLTNLIEGLQEPAVAVELRLKINQNHPDLKGGSFREYGEAVLNHLENSVDLDPKLQKAPVNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNEDAVKLGLSVASAVKEFAAIDTSALDKFIQPS >Manes.16G065050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:25284520:25285687:1 gene:Manes.16G065050.v8.1 transcript:Manes.16G065050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRSRGRGVRTARLADIGRPPRDPTVAPPPLEGVADHELSESREGQGESVSHGVESGAYPTTPSPSSAPAVAPPVAPAAPPFVPPVAPAHPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDRARRLGAYDFEGSSDADIADKWLKKMLKVFELMKLTDPEKLENVHGLLQGKANAWFDGIRRRHGVRLTWDQFIHEFRQEYLSESYRKGKQNKKML >Manes.15G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1290356:1299982:-1 gene:Manes.15G015800.v8.1 transcript:Manes.15G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLKQEASSDEALSSGSSSSDEEQINEQINEEEDEEELEAVARSADSDDDEAADGTGDDVNADADDVDEDENNNEISKREKERLKEMQKLKKQKIQEILDVQNAAIDADMNNKGKGRLKYLLQQTELFAHFAKPDQSASQKKAKGRGRHASKVTEEEEDEECLKEEEDGLSGAGNTRLVAQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAIKFLGNPDERKNIRENLLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIMNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFCGYLYCRIDGNTGGEDRDASIDAFNKPGSDKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSDNWIEPPKRERKRNYSESEYFKQTLRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLKDTIDVDEPEESGEPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVERYSKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRATGRQTESPTSLKKRKQLTMDDYVSSGKRKKQ >Manes.15G015800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1290356:1299983:-1 gene:Manes.15G015800.v8.1 transcript:Manes.15G015800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLKQEASSDEALSSGSSSSDEEQINEQINEEEDEEELEAVARSADSDDDEAADGTGDDVNADADDVDEDENNNEISKREKERLKEMQKLKKQKIQEILDVQNAAIDADMNNKGKGRLKYLLQQTELFAHFAKPDQSASQKKAKGRGRHASKVTEEEEDEECLKEEEDGLSGAGNTRLVAQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAIKFLGNPDERKNIRENLLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIMNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFCGYLYCRIDGNTGGEDRDASIDAFNKPGSDKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSDNWIEPPKRERKRNYSESEYFKQTLRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQTHQKNQLKDTIDVDEPEESGEPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVERYSKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPSKRATGRQTESPTSLKKRKQLTMDDYGKRKKQ >Manes.13G057500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6640190:6641684:1 gene:Manes.13G057500.v8.1 transcript:Manes.13G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHSNDVLTSVVHLPDDCLTIIFQWLDSSSDRESFGLTCHRWHNIQNLSRRSLQFQSSFTLFNFSSSSQTSLLDSYHLHKLLTRFQHLHYLSLSGCTELPDSGLIPLQFYGSKLLSLHLDYCFGLTDNGLSLVAAGCPSLMAINLSRCNITDVGLEALANGCTALKKINLSYCPLVSDSGLRALSQACHQLQTVKISCCREINGRGLTGCSPMLAYVDADSCNLEPKGIMGIVSGGGLEYLNVSGISWSIQEDGLAAIGSGFAARLKILNLRMCRTVGDESIMAIAKGCPLLREWNLALCYGVRISGWKSIGLNCKKLEKLHVNRCRNLCDHGLQALREGCKRLSVLYISKCCRLSSTAIELFKMYRGNVEIREEENMCIGPDWTFGK >Manes.16G092100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29825303:29831156:-1 gene:Manes.16G092100.v8.1 transcript:Manes.16G092100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPPSFGGSSSKLSNYSRTFDLPDDLDSGSASDCELGGAMLPIFLNDLRRNNQQDLVEVTLELDNDSIVVCSVKPNPTSVTSDPGCGVDVHSAGILKRSLSATSRIRQKFGWGRSRSSRTTSSEIEDRTISARDARKIKAKLQRTRSSAQRALKGLRFISKTTGASNDANELWRRVESRFDSLAKDGLLAREDFGECIGMVDSKEFAVCIFDALARRKRRRISKISKEELHEFWLQITDQSFDARLQIFFDMADSNEDGRITREEVQELIMLSASANKLSKLKEQAEEYAYLIMEELDPENLGYIELWQLETLLLQRDTYMNYSRPLSTTSVGWSQNLSSFSSHNVVRRLSFALRYLILENWQRGLILMMWMMTMACLFAWKFYQYKNRAAFQVMGYCLCTAKGAAETLKLNMALILLPVCRNTLTWLRSTRARSFIPFDDNINFHKIIAQAIAVGVILHAGNHLFCDFPRLINSSPEKFSLIASDFHNKKPTYKYILTGVEGVTGISMVVLMVIAFTLATSQFRRNLVKLPAPFNRLTGFNAFWYSHHLLGLVYVLLLVHGTFLFLTHSWNQKTTWMYVSAPLLLYGAERSVRVGRSEHYSVKVLKVSVLPGNVLSIIMSKPQGFKYKSGQYLFLQCPAISSFEWHPFSITSAPGDDYLSVHIRIVGDWTEELNKVFTEVNDSPSVIGRAMFGQHGHVDQRGQPKLYVDGPYGAPAQDYQNYDVLLLVGLGIGATPFISILRDLLNNTRAADYQTDYTETSRSDDSSTSCASSTGAPSSKRRTQRTTNAHFYWVTREPGSFEWFKGVMDEVADMDHKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWNEVFTKVATKHPFATGYSTVGCQCWQRS >Manes.16G092100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29825303:29831156:-1 gene:Manes.16G092100.v8.1 transcript:Manes.16G092100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPPSFGGSSSKLSNYSRTFDLPDDLDSGSASDCELGGAMLPIFLNDLRRNNQQDLVEVTLELDNDSIVVCSVKPNPTSVTSDPGCGVDVHSAGILKRSLSATSRIRQKFGWGRSRSSRTTSSEIEDRTISARDARKIKAKLQRTRSSAQRALKGLRFISKTTGASNDANELWRRVESRFDSLAKDGLLAREDFGECIGMVDSKEFAVCIFDALARRKRRRISKISKEELHEFCASANKLSKLKEQAEEYAYLIMEELDPENLGYIELWQLETLLLQRDTYMNYSRPLSTTSVGWSQNLSSFSSHNVVRRLSFALRYLILENWQRGLILMMWMMTMACLFAWKFYQYKNRAAFQVMGYCLCTAKGAAETLKLNMALILLPVCRNTLTWLRSTRARSFIPFDDNINFHKIIAQAIAVGVILHAGNHLFCDFPRLINSSPEKFSLIASDFHNKKPTYKYILTGVEGVTGISMVVLMVIAFTLATSQFRRNLVKLPAPFNRLTGFNAFWYSHHLLGLVYVLLLVHGTFLFLTHSWNQKTTWMYVSAPLLLYGAERSVRVGRSEHYSVKVLKVSVLPGNVLSIIMSKPQGFKYKSGQYLFLQCPAISSFEWHPFSITSAPGDDYLSVHIRIVGDWTEELNKVFTEVNDSPSVIGRAMFGQHGHVDQRGQPKLYVDGPYGAPAQDYQNYDVLLLVGLGIGATPFISILRDLLNNTRAADYQTDYTETSRSDDSSTSCASSTGAPSSKRRTQRTTNAHFYWVTREPGSFEWFKGVMDEVADMDHKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWNEVFTKVATKHPFATVGVFYCGMPVLAKELKKICQELSHKTSTRFEFHKEYF >Manes.16G092100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29825303:29831156:-1 gene:Manes.16G092100.v8.1 transcript:Manes.16G092100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPPSFGGSSSKLSNYSRTFDLPDDLDSGSASDCELGGAMLPIFLNDLRRNNQQDLVEVTLELDNDSIVVCSVKPNPTSVTSDPGCGVDVHSAGILKRSLSATSRIRQKFGWGRSRSSRTTSSEIEDRTISARDARKIKAKLQRTRSSAQRALKGLRFISKTTGASNDANELWRRVESRFDSLAKDGLLAREDFGECIGMVDSKEFAVCIFDALARRKRRRISKISKEELHEFWLQITDQSFDARLQIFFDMADSNEDGRITREEVQELIMLSASANKLSKLKEQAEEYAYLIMEELDPENLGYIELWQLETLLLQRDTYMNYSRPLSTTSVGWSQNLSSFSSHNVVRRLSFALRYLILENWQRGLILMMWMMTMACLFAWKFYQYKNRAAFQVMGYCLCTAKGAAETLKLNMALILLPVCRNTLTWLRSTRARSFIPFDDNINFHKIIAQAIAVGVILHAGNHLFCDFPRLINSSPEKFSLIASDFHNKKPTYKYILTGVEGVTGISMVVLMVIAFTLATSQFRRNLVKLPAPFNRLTGFNAFWYSHHLLGLVYVLLLVHGTFLFLTHSWNQKTTWMYVSAPLLLYGAERSVRVGRSEHYSVKVLKVSVLPGNVLSIIMSKPQGFKYKSGQYLFLQCPAISSFEWHPFSITSAPGDDYLSVHIRIVGDWTEELNKVFTEVNDSPSVIGRAMFGQHGHVDQRGQPKLYVDGPYGAPAQDYQNYDVLLLVGLGIGATPFISILRDLLNNTRAADYQTDYTETSRSDDSSTSCASSTGAPSSKRRTQRTTNAHFYWVTREPGSFEWFKGVMDEVADMDHKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWNEVFTKVATKHPFATVGVFYCGMPVLAKELKKICQELSHKTSTRFEFHKEYF >Manes.16G031000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3733196:3733559:-1 gene:Manes.16G031000.v8.1 transcript:Manes.16G031000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELFLLGCTGVVMFLHGANFFFHILTQHLAIRSLSFLGFVGW >Manes.13G033700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4186578:4188723:1 gene:Manes.13G033700.v8.1 transcript:Manes.13G033700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGQRVSSNPSAMLASLLSKRAKLHEELRNIEKQVYDMETNYLQDPSQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAVCTLHLKCYFGTGNTSNGLFLFASSILFNFFIFPQRSGQLSSQ >Manes.13G033700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4186296:4191081:1 gene:Manes.13G033700.v8.1 transcript:Manes.13G033700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNYLQDPSQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEQAVGRDDGRSDYGVGRPKGGGIYTNGQGKPKKGRGGPREAKRIRPSADPDFDYDDDPDLTL >Manes.13G033700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4186296:4191081:1 gene:Manes.13G033700.v8.1 transcript:Manes.13G033700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGQRVSSNPSAMLASLLSKRAKLHEELRNIEKQVYDMETNYLQDPSQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPALSNMLLKILLHSSFPIVRLKSRQLDEMMVDQIMV >Manes.13G033700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4186296:4191081:1 gene:Manes.13G033700.v8.1 transcript:Manes.13G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEGQRVSSNPSAMLASLLSKRAKLHEELRNIEKQVYDMETNYLQDPSQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEQAVGRDDGRSDYGVGRPKGGGIYTNGQGKPKKGRGGPREAKRIRPSADPDFDYDDDPDLTL >Manes.15G139600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11315091:11318900:-1 gene:Manes.15G139600.v8.1 transcript:Manes.15G139600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFPSMPIRSAHFTIPEHLKEKTEALGEVLNGDRLVSAPYKLNFRDEKDTAVVCRKKLRKEEVARFRSAVDKDYYFQMYYDDLPIWGFIGKVDREGKADRSEYKYFLHKHIQFDVLYNKDRVIEVSAHMDPHSLLDLTEDKEIVAEFLYTVKWKQTDIPFEKRMEKYSLSSSSPHHLEIHWFSIINSCVTVLLLTGFLTTILMRVLKNDFMKYAQDEEAADDREETGWKYIHGDVFRYPTYKSLFAAALGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIIYSATAALPFGTIVVIFLIWTLVTSPLLVLGGIAGKNSKGEFQAPCRTTKYPREIPQLPWYRCALPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLTVTGFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYWSIKCE >Manes.15G139600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11315091:11318900:-1 gene:Manes.15G139600.v8.1 transcript:Manes.15G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSIILLLALLIACSVTQVISDASDHRYKDGDSVPLYANKVGPFHNPSETYRYFDLPFCVPEHLKEKTEALGEVLNGDRLVSAPYKLNFRDEKDTAVVCRKKLRKEEVARFRSAVDKDYYFQMYYDDLPIWGFIGKVDREGKADRSEYKYFLHKHIQFDVLYNKDRVIEVSAHMDPHSLLDLTEDKEIVAEFLYTVKWKQTDIPFEKRMEKYSLSSSSPHHLEIHWFSIINSCVTVLLLTGFLTTILMRVLKNDFMKYAQDEEAADDREETGWKYIHGDVFRYPTYKSLFAAALGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIIYSATAALPFGTIVVIFLIWTLVTSPLLVLGGIAGKNSKGEFQAPCRTTKYPREIPQLPWYRCALPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLTVTGFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYWSIKCE >Manes.06G094300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22926707:22930756:-1 gene:Manes.06G094300.v8.1 transcript:Manes.06G094300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGMMDSAYFVGRNEILTWINNRLQLNLTRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIEKHVEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRIKGGKDRSSKGTLKTSKSLQSNNMSGDPVGLRPKQGRGGGANSSEIETLSREITELKLSVSHLEKERDFYFAKLRDIELLCQTPELEDLPMAVAIKKILYAADAKESALEEAQEYLYQAMNDGEADDEDEA >Manes.06G094300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22926707:22930749:-1 gene:Manes.06G094300.v8.1 transcript:Manes.06G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGMMDSAYFVGRNEILTWINNRLQLNLTRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIEKHVEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRIKGGKDRSSKGTLKTSKSLQSNNMSGDPVGLSKVSGPKQGRGGGANSSEIETLSREITELKLSVSHLEKERDFYFAKLRDIELLCQTPELEDLPMAVAIKKILYAADAKESALEEAQEYLYQAMNDGEADDEDEA >Manes.15G163200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13588606:13590363:-1 gene:Manes.15G163200.v8.1 transcript:Manes.15G163200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIEYRCFVGGLAWATTDLALQEAFSPYGEIIESKIINDRETGRSRGFGFVTFNNEKSMKDAIEGMNGQNLDGRNITVNEAQSRGSGGGNGGYSRGGGGGYGGGGRRDGGYGGGGGYNRGGGGYGGGGGGGYGGGRDRGYGDGGSRYSRGGGASDGNWRN >Manes.17G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3987802:3991741:1 gene:Manes.17G011000.v8.1 transcript:Manes.17G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIQSSFVPLMDFYSLSTYALPNLKNVPSFLRLPSPKACNHHSRLRAVLPIEEIPPNALRRKNDPQWRGGFSLGVDLGLSRTGLALSKGFSVRPLMVLELRGQKLELGLLEIAENEEVDEFIIGLPKSWDGKETPQSNKVRSVAGRLAVRAAERGWRVFLQDEHGTSTEATYRMINIWCWRDIFRRVGRELNLYYQSNWICNINFKKVLLRTSIFILNKSMAENQNLKQFFDGRMSTWFAAFNRMNFEFIFLFSFCWITLYALIHIEELYHVKFSLCDYYTCTEFVIMIADFVFISSKEASLSKSFRERNPLVFFFFVCFKLVGLLLAGPAAAPALSERGRLFLPCLVGFSPALSE >Manes.11G060055.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7311954:7323255:1 gene:Manes.11G060055.v8.1 transcript:Manes.11G060055.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDADYLLPSWIDDPTCNCCKWERVLCNSTTGHVTELSLNNTRQYDIESLSFYIDENSWYVNLSMFQQLKQLKTLNLSYNHFDCSIDDQGCESLSKLKKLEVLDLTWNRFNNIILPSLGALISLKNLILGSNSMEGSFPIQGFERLEKLDISWNRFNKSILSLLGAFTTLNTLILTHMYDAMDGSFPIQELKNLKNLTFLDISDNNFNGALLFKDFQRLEELDLSGNRFNNSILSSLAALPSLNTLILDNNDMEGPFPNQGFKRLKKLDISENGFNKSILSSLGALTSLNTLILSSSFDSMDSSFPIQGLCGLKSLVELDLQGNQFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSKLEAFMLSPGNSRLEVETENPTWFPAFQLKYIRLSNCSLNVRTRAIPSFLHYQYDIRFIDLSHNTLVGTFPTWILQNNSKLVVMNLGNNSFTGTFQLPNFKHDLVQLDISSNNLTGMLPKEFGLVLPRLVYINMSRNNFGGNVPSSISETPALSILDLSHNNFSGELPGSLFANCTMYCALFLSNNNFQGNVFPQDMDLRSMTVLDMKNNNFSAMVDADLLNSRSLSSLNFFDISNNKVSGPIPRLLCNLTYLVFLDLSKNRLYGSMPSCFDSSLLRFLFLQKNNLSGPIPHELLRSPNLWALDLRDNNFSGNIPSWIGQFSELQVLLLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPSCFSNISFGNNISFGTMEVVDFPNFVIIYLNNPDLNLHLPWVEWDYSELVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLGTFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGERIHKSCKSDEAPQTPPPSADVEEEDEGVIDMVWFYWSFSGAYVTILLVLTAILRINKHWRMLWFYYVDVCIYSISIWVCQN >Manes.11G060055.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7311954:7323255:1 gene:Manes.11G060055.v8.1 transcript:Manes.11G060055.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDADYLLPSWIDDPTCNCCKWERVLCNSTTGHVTELSLNNTRQYDIESLSFYIDENSWYVNLSMFQQLKQLKTLNLSYNHFDCSIDDQGCESLSKLKKLEVLDLTWNRFNNIILPSLGALISLKNLILGSNSMEGSFPIQGFQRLEELDISGNSFNNSILLSLATLPSLNTLILKDSSMDGSFPNQGFQRLEELDLSWNIFNNSILSSLAAIPSLNTLILSGNGMEGSFPNQGFERLEKLDISWNRFNKSILSLLGAFTTLNTLILTHMYDAMDGSFPIQELKNLKNLTFLDISDNNFNGALLFKDFQRLEELDLSGNRFNNSILSSLAALPSLNTLILDNNDMEGPFPNQGFKRLKKLDISENGFNKSILSSLGALTSLNTLILSSSFDSMDSSFPIQGLCGLKSLVELDLQGNQFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSKLEAFMLSPGNSRLEVETENPTWFPAFQLKYIRLSNCSLNVRTRAIPSFLHYQYDIRFIDLSHNTLVGTFPTWILQNNSKLVVMNLGNNSFTGTFQLPNFKHDLVQLDISSNNLTGMLPKEFGLVLPRLVYINMSRNNFGGNVPSSISETPALSILDLSHNNFSGELPGSLFANCTMYCALFLSNNNFQGNVFPQDMDLRSMTVLDMKNNNFSAMVDADLLNSRSLSSLNFFDISNNKVSGPIPRLLCNLTYLVFLDLSKNRLYGSMPSCFDSSLLRFLFLQKNNLSGPIPHELLRSPNLWALDLRDNNFSGNIPSWIGQFSELQVLLLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPSCFSNISFGNNISFGTMEVVDFPNFVIIYLNNPDLNLHLPWVEWDYSELVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLGTFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGERIHKSCKSDEAPQTPPPSADVEEEDEGVIDMVWFYWSFSGAYVTILLVLTAILRINKHWRMLWFYYVDVCIYSISIWVCQN >Manes.11G060055.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7311954:7323255:1 gene:Manes.11G060055.v8.1 transcript:Manes.11G060055.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDADYLLPSWIDDPTCNCCKWERVLCNSTTGHVTELSLNNTRQYDIESLSFYIDENSWYVNLSMFQQLKQLKTLNLSYNHFDCSIDDQGCESLSKLKKLEVLDLTWNRFNNIILPSLGALISLKNLILGSNSMEGSFPIQGFQRLEELDLSWNIFNNSILSSLAAIPSLNTLILSGNGMEGSFPNQGFERLEKLDISWNRFNKSILSLLGAFTTLNTLILTHMYDAMDGSFPIQELKNLKNLTFLDISDNNFNGALLFKDFQRLEELDLSGNRFNNSILSSLAALPSLNTLILDNNDMEGPFPNQGFKRLKKLDISENGFNKSILSSLGALTSLNTLILSSSFDSMDSSFPIQGLCGLKSLVELDLQGNQFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSKLEAFMLSPGNSRLEVETENPTWFPAFQLKYIRLSNCSLNVRTRAIPSFLHYQYDIRFIDLSHNTLVGTFPTWILQNNSKLVVMNLGNNSFTGTFQLPNFKHDLVQLDISSNNLTGMLPKEFGLVLPRLVYINMSRNNFGGNVPSSISETPALSILDLSHNNFSGELPGSLFANCTMYCALFLSNNNFQGNVFPQDMDLRSMTVLDMKNNNFSAMVDADLLNSRSLSSLNFFDISNNKVSGPIPRLLCNLTYLVFLDLSKNRLYGSMPSCFDSSLLRFLFLQKNNLSGPIPHELLRSPNLWALDLRDNNFSGNIPSWIGQFSELQVLLLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPSCFSNISFGNNISFGTMEVVDFPNFVIIYLNNPDLNLHLPWVEWDYSELVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLGTFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGERIHKSCKSDEAPQTPPPSADVEEEDEGVIDMVWFYWSFSGAYVTILLVLTAILRINKHWRMLWFYYVDVCIYSISIWVCQN >Manes.15G187851.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:27653750:27654967:-1 gene:Manes.15G187851.v8.1 transcript:Manes.15G187851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSDWFVRVCNSVSVSTLHMVVMIVWTLWENRNAMVWKQKRCPPHVVIRLVKSLLQDWEAARSCKELAVNVPVCIQWKKLPIESFKLNVDAALFIHQAMRVGSVLKGGNDEFIAARQ >Manes.09G181600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36999662:37001893:1 gene:Manes.09G181600.v8.1 transcript:Manes.09G181600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHHGLGMLKMKHVGSVAWPLMAVKHPGDYCPLIWGVCNHAFHLHCILKWVNSQTSQAHCPICRRERQFKG >Manes.S029216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:614394:615206:-1 gene:Manes.S029216.v8.1 transcript:Manes.S029216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.05G180201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29724329:29725681:1 gene:Manes.05G180201.v8.1 transcript:Manes.05G180201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNHVNTTLPNRSFYATPQRSDYTGYLAVGTMNRNEKEFAVEDIPTSPHLISLKERGKKKKEKKKKKMGGGNGQKSKMARERNMEKQKAAKGSQLESNKKAMTIQCKVCMQTFICTTSEVKCKEHAEAKHPKSNLYACFPHLKQ >Manes.15G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11323592:11327929:-1 gene:Manes.15G139800.v8.1 transcript:Manes.15G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPLPTLLLLLAVLVGSSLTHVRSDASDHRYKEGDSVPLYANKVGPFHNPSETYRFFDLPFCVPDHLKEKKEALGEVLNGDRLVSAPYKLNFRDEKTSAVVCRKTLTKEEVARFRSAIDKDYYFQMYYDDLPIWGFIGKVDKEGKTDPSEYKYFLYKHIQFDVLYNKDRVIEVSVRMDPHSLLDLTEDKEVETEFLYTVKWKETDTPFEKRMEKYSLSSSQPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFLLALVGVFYPYNRGALFTALVVIYALTSGVAGYTATSFYCQLEGNNWVRNLLLTGCLFCGPLFLTFCFLNTVAIIYSATAALPFGTIVVILLIWTLVTSPLLVLGGIAGKNSKSEFQAPVRTTKYPREIPQLPWYRSTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGMVGFRASLFFVRHIYKSIKCE >Manes.08G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5042200:5044300:1 gene:Manes.08G049300.v8.1 transcript:Manes.08G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQRPTTSTATTSGETHHHHDQDRDQNEHIRDIHALTPPQPPSVPRSRRETGSQQSNSMSVSGEGATSENLTTMSREFNALVVAGSTIGSSMNNNNGSSDNNNDREDIINGNNWLERIGEDDEPNETNPLAIVPDNNPMDPDPGSRAENHRLVGGGAEVSVQRVKKEEVETKISAWQNAKIAKINNRFKREDAIINGWESEQVQKASSWMKKVERKLEEKRARALEKMQNEVAKAHKKAEDRRASAEAKRGTKVARVLEIANLMRLVGRAPAKRSFF >Manes.05G008504.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1079436:1081068:1 gene:Manes.05G008504.v8.1 transcript:Manes.05G008504.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNSSEDVASCKATTVSQPSLTSQPVSSSTHSQLEPSTPINPSHSLPATTNPTASLPLISNLGKKRKLTSTIWDHFEKVHHSGNDWAICNHCKTSLKANSKNGTKSLHNHVEKCAKKGNQDIVKCLEKQKQISMDIRNDGKVHFGNFTFDQEKSRRELACAIILHEYPLRITEHVGFRKFVTSLQPLFKMVSRNTIRKDILDIYDLEFNKLYKSLEKLKSRIAITTDMWTSNQKKGYMSITTHYIDDSWVLQNRILRFVYVPTPHTEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIIIDKLFGDLLCDGAVLHMRCCAHILNLVVKDGLATIESSLSRIRDSIVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFPRLKNREKSYKDVPTYDDWEMAKRVAEKLETFHSITEIFSGRKYSTSNCFFISICQLRNSIVEWMSSDDDVIKSMSARMFENFEKYWSVVHILFWLLLSYWIHGIR >Manes.03G153950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28154191:28165331:1 gene:Manes.03G153950.v8.1 transcript:Manes.03G153950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLVMSSADGATDLLFEKIRTVNIINNLSANIELNVHCKSANDDLGPQVLPYRRSWHFEFKRNVFGTTLFYCHMSWKKISHWFNIYVDSRDKSRCDYCMWYVRTYAPCTWNHKTKRFDLCFPW >Manes.17G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30398142:30400304:1 gene:Manes.17G096500.v8.1 transcript:Manes.17G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFCIEEIALLALLLAFIPLFLAIKYAKNKKNGKTPPPEAAGSWPIIGHLHLLGGAKQLPHRTLGCLADELGPIFSIRLGIHRVLVVSNWEVAKECFTTNDKVFPTRPKSLAVKIMGYDHAMLGFAPYGQYWRDVRKLAVVELLSNRRLELLRHVRDTETNFFIKKLYQEAVKNGGHAVVEMKERFGELAMNIIAKMVSGKRYFSGNNGIKGEDSRQFCKALEDFMYLTGLFLASDTIPFLGWLDLVKGHVGEMKRTAKELDEVLGRWVKQHREKRIKGVIKEEEQDFIHVMLSVMDDGEISANEADKIIKGTCLSLILGGNDTTVVTLIWALSLLLNNPRVLKKAQDELDIQVGKHQQVKESHVKNLVYLQAVVKETLRLYPATPLSVPREAMEDCIIAGFHIPAGTRLFVNLWKLHRDPSIWTNPLEFQPERFLNEHASLDVRGQDFEYLPFGSGRRMCPGVSFALQVLNLTLARLLHGFELRTVSDSPVDMN >Manes.06G027700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6310781:6315394:-1 gene:Manes.06G027700.v8.1 transcript:Manes.06G027700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKEEKKVDEVITAVYKVNLHCLKCAQDIKKPLMTIQGVHNVEYDVEKAEIKVKGAIDVLKIHKQIEKLSRKKVELVSPQIKIKETADVEKKVVKETKQPILRTISVKVHMHCDKCENDLRTRLLKHKGIYNVKTDKKAQTLTVHGTIEAEKLLAYIRRKVHKNAEILTEKKEEKITELKEEKAKVEEKAKVEAKSDKIIEFKEEKKVEVKTKEGDAPYFIHYVYAPQLFSDENPNACIIL >Manes.13G100200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29363561:29369778:1 gene:Manes.13G100200.v8.1 transcript:Manes.13G100200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWMDQNLQDMEEKVQAMLKLIEEDGDSFARRAEMYYKRRPELIHFVEESYRAYRALAERYDHISKELQNANNTIASVCPEQVQFAMEAEEAYARSRFSKKTPQVSKENIPKVPKDVKSTFTPATNKLQSKKSVKKSSAIVAKSGLSKSEGLKEIDRQQKEILALQTEKEFVKSSYESGLEKYWEIDEKIQEMHDKVCALQNEFGAGTVIEDDEARELMASAALKSCHETLAKLEEKQEKSAEEAVIESNRISDAREKLKSLKDEFLHGEVNQEKPKAKDQFTKAVRELKSSDKEPSTVTQERKDLELLRLKIKEHLVLEPNASLTVTELAEQIDELVNKVISLEAAVSLQTALIQRLRIETDELQAQIGILADDKATLINEKNDLKGKLTEMEEKLLGLQELNRNVQDQNNNLQTHFTEAHCNLDHISEKVHDVKPDNELQATPQTEKRSPVEVELQQEVKRQGGAQNSNDGRHELQKIKSEEKSKALSKDDLHEPEKLNSQDEPKVSGKPQVRRQEVDVDLDDSPNISHEEVKRKEGALNANDELHEPHKSNLEEEQKVSGKDKPQKELGRQTVALNLDDSPNESHQEVKIQEDAGAPNANDDLREPQKSNLEEEQKVSGKDKPQKALGRQTVALNLDDSPNESHQEVKIQEDAGAPNANDDLHEPQKSNLEEEQKVSGKDKPQKELGRQTVALNLDDSPNESHQEVKIQEDAVALNANDDLHEPQNLNSEEEQKASGKGKLQKKFSRQAVSLNIDDSPNESHQEVKREEGPGALNANDDHHEPQNLNSEEEQKVSANPQKKLKRQAVALNLDDSPNESHQEVKREQGAGALNANNDLHEPQNLNLEEEQKVSAKPQKKLRRQAVSPNLDNSPNESHQEVKRQEGAGAMNANDDLHELQNLNSEEEQNISDKPQKKLRRKVVALNPDDIPKESQNVEPKEELKVPASLQKEKKVSAEVNAQAELEDREEKEEHRTPESMRKEKEFYAEVNLQVEFKGQEEKLNPEDLKDSGRSHEEYRGQGSVLSPRGSLDEPQNVKPYGEIEVSVSSQKEKTTDEKEIKEHLSNSKKNLGEEASLPQKSNEPDAPVKKSHDTNLGENFDRQDSRKAVDNLHVETQKQTTGQDDEPDWKDLFMNGMNDREKVLLSQYTAILRNCKEMKKRLGEVDNKSVDGLFDTAALLQELRSDNAKKDEQIKILRQKLSLLQTGLSENESEKSTLTECPTIEREVIYDVRLIMAEEPEISPIEKKFRMSIDELLEENLEFWFRFSSTMYQIQKFETEIKDLQSDTSKLEEKKQQDGSTNAKYSLKSDAKPLYKHLREIHTELGVWLEKSALLKDELKSRFSSLCDIQEEITAALKESAEDDDFKFTSYQAAKFQGEILNMKQENNKVADELQAGLDHVTTLQLEVERALENLDEEFKLSGSKTRQNYQLEHSDSRSGVPLRSFIFGTKPKKPKHSIFSYVHPVLQKKYNGLKTG >Manes.13G100200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29363561:29369778:1 gene:Manes.13G100200.v8.1 transcript:Manes.13G100200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWMDQNLQDMEEKVQAMLKLIEEDGDSFARRAEMYYKRRPELIHFVEESYRAYRALAERYDHISKELQNANNTIASVCPEQVQFAMEAEEAYARSRFSKKTPQVSKENIPKVPKDVKSTFTPATNKLQSKKSVKKSSAIVAKSGLSKSEGLKEIDRQQKEILALQTEKEFVKSSYESGLEKYWEIDEKIQEMHDKVCALQNEFGAGTVIEDDEARELMASAALKSCHETLAKLEEKQEKSAEEAVIESNRISDAREKLKSLKDEFLHGEVNQEKPKAKDQFTKAVRELKSSDKEPSTVTQERKDLELLRLKIKEHLVLEPNASLTVTELAEQIDELVNKVISLEAAVSLQTALIQRLRIETDELQAQIGILADDKATLINEKNDLKGKLTEMEEKLLGLQELNRNVQDQNNNLQTHFTEAHCNLDHISEKVHDVKPDNELQATPQTEKRSPVEVELQQEVKRQGGAQNSNDGRHELQKIKSEEKSKALSKDDLHEPEKLNSQDEPKVSGKPQVRRQEVDVDLDDSPNISHEEVKRKEGALNANDELHEPHKSNLEEEQKVSGKDKPQKELGRQTVALNLDDSPNESHQEVKIQEDAGAPNANDDLREPQKSNLEEEQKVSGKDKPQKALGRQTVALNLDDSPNESHQEVKIQEDAGAPNANDDLHEPQKSNLEEEQKVSGKDKPQKELGRQTVALNLDDSPNESHQEVKIQEDAVALNANDDLHEPQNLNSEEEQKASGKGKLQKKFSRQAVSLNIDDSPNESHQEVKREEGPGALNANDDHHEPQNLNSEEEQKVSAKPQKKLRRQAVSPNLDNSPNESHQEVKRQEGAGAMNANDDLHELQNLNSEEEQNISDKPQKKLRRKVVALNPDDIPKESQNVEPKEELKVPASLQKEKKVSAEVNAQAELEDREEKEEHRTPESMRKEKEFYAEVNLQVEFKGQEEKLNPEDLKDSGRSHEEYRGQGSVLSPRGSLDEPQNVKPYGEIEVSVSSQKEKTTDEKEIKEHLSNSKKNLGEEASLPQKSNEPDAPVKKSHDTNLGENFDRQDSRKAVDNLHVETQKQTTGQDDEPDWKDLFMNGMNDREKVLLSQYTAILRNCKEMKKRLGEVDNKSVDGLFDTAALLQELRSDNAKKDEQIKILRQKLSLLQTGLSENESEKSTLTECPTIEREVIYDVRLIMAEEPEISPIEKKFRMSIDELLEENLEFWFRFSSTMYQIQKFETEIKDLQSDTSKLEEKKQQDGSTNAKYSLKSDAKPLYKHLREIHTELGVWLEKSALLKDELKSRFSSLCDIQEEITAALKESAEDDDFKFTSYQAAKFQGEILNMKQENNKVADELQAGLDHVTTLQLEVERALENLDEEFKLSGSKTRQNYQLEHSDSRSGVPLRSFIFGTKPKKPKHSIFSYVHPVLQKKYNGLKTG >Manes.15G166700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14148418:14162919:-1 gene:Manes.15G166700.v8.1 transcript:Manes.15G166700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLASRANPLLSSLPHFLTWRALGFRTICSGRLGFASSDPDPPVAGTKVLETFKEEFEIGSRLITLETGKIARFANGSVVLGMEETKVLSTVTSAKGDSVRDFLPLTVDYQEKQFAQGVIPNTFMRREGAPKERELLCGRIIDRPIRPLFPAGFYHEVQVMASVLCSEGKQDPDVMAANATSAALMLSDIPWGGPIGVVRMGRICGQFIVNPTMDELNLSDLNLVYACTKDKTLMIDVQAREITEKDLEAGLRLAHPEAVKFLEPQIRLAARAGKNKKDYKLSMVSEKTLEKVRNLAETQIEAVFTDSSYGKFERGEALDNITQDVRRKLEEECDEESLNVLPKVIDTVRKQVVRRRIISEGFRVDGRHLDEVRPLHCEAGNLPILHGSSLFSRGDTQVLCTVTLGAPGDAQRLESLVGPPTKRFMLHYSFPPFSINEVGKRVGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRVNSEVMASDGSTSMATVCGGSIALMDAGIPLQEHVAGVSVGLVSEVDPSTGAIKDYRIVTDILGLEDHLGDMDFKIAGTRNGITAIQLDIKPAGIPLDIICESLEHARKGRLQILDHMEQEISAPRTEDDRNRPRIATMKFSNDVLRQLIGPLGAVKRKVEEETGARLSISDGTLTIVAKNQSVMEKAQDKIDFIIGREIEIGGVYKGIVTSIKEYGAFVEFNGGQQGLLHISELSHEPVSKVSDVVCVGQQLSLTCIGQDVRGNIKLSLKATLPNPGQSEAKNVVEGSVPAMSKTPKVWASVESVSNELDELKPVAELLVNRGVVNSPSSSPAFLIRSVAECDEQEKSTGLNEASKITSKTSRTSKSNRKSKTNPPKNDDSHSSFSSSDIFSHTEDSSNNDEDEGKTPVTARNLKLGTKVTAKVYQIRTHGLVLDLGGGVRAMYRFEANGKRDFELGDELRVKCTSFSSKGVPVMSLVDAY >Manes.15G166700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14148418:14160282:-1 gene:Manes.15G166700.v8.1 transcript:Manes.15G166700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVQAREITEKDLEAGLRLAHPEAVKFLEPQIRLAARAGKNKKDYKLSMVSEKTLEKVRNLAETQIEAVFTDSSYGKFERGEALDNITQDVRRKLEEECDEESLNVLPKVIDTVRKQVVRRRIISEGFRVDGRHLDEVRPLHCEAGNLPILHGSSLFSRGDTQVLCTVTLGAPGDAQRLESLVGPPTKRFMLHYSFPPFSINEVGKRVGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRVNSEVMASDGSTSMATVCGGSIALMDAGIPLQEHVAGVSVGLVSEVDPSTGAIKDYRIVTDILGLEDHLGDMDFKIAGTRNGITAIQLDIKPAGIPLDIICESLEHARKGRLQILDHMEQEISAPRTEDDRNRPRIATMKFSNDVLRQLIGPLGAVKRKVEEETGARLSISDGTLTIVAKNQSVMEKAQDKIDFIIGREIEIGGVYKGIVTSIKEYGAFVEFNGGQQGLLHISELSHEPVSKVSDVVCVGQQLSLTCIGQDVRGNIKLSLKATLPNPGQSEAKNVVEGSVPAMSKTPKVWASVESVSNELDELKPVAELLVNRGVVNSPSSSPAFLIRSVAECDEQEKSTGLNEASKITSKTSRTSKSNRKSKTNPPKNDDSHSSFSSSDIFSHTEDSSNNDEDEGKTPVTARNLKLGTKVTAKVYQIRTHGLVLDLGGGVRAMYRFEANGKRDFELGDELRVKCTSFSSKGVPVMSLVDAY >Manes.15G166700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14148418:14162919:-1 gene:Manes.15G166700.v8.1 transcript:Manes.15G166700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRICGQFIVNPTMDELNLSDLNLVYACTKDKTLMIDVQAREITEKDLEAGLRLAHPEAVKFLEPQIRLAARAGKNKKDYKLSMVSEKTLEKVRNLAETQIEAVFTDSSYGKFERGEALDNITQDVRRKLEEECDEESLNVLPKVIDTVRKQVVRRRIISEGFRVDGRHLDEVRPLHCEAGNLPILHGSSLFSRGDTQVLCTVTLGAPGDAQRLESLVGPPTKRFMLHYSFPPFSINEVGKRVGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRVNSEVMASDGSTSMATVCGGSIALMDAGIPLQEHVAGVSVGLVSEVDPSTGAIKDYRIVTDILGLEDHLGDMDFKIAGTRNGITAIQLDIKPAGIPLDIICESLEHARKGRLQILDHMEQEISAPRTEDDRNRPRIATMKFSNDVLRQLIGPLGAVKRKVEEETGARLSISDGTLTIVAKNQSVMEKAQDKIDFIIGREIEIGGVYKGIVTSIKEYGAFVEFNGGQQGLLHISELSHEPVSKVSDVVCVGQQLSLTCIGQDVRGNIKLSLKATLPNPGQSEAKNVVEGSVPAMSKTPKVWASVESVSNELDELKPVAELLVNRGVVNSPSSSPAFLIRSVAECDEQEKSTGLNEASKITSKTSRTSKSNRKSKTNPPKNDDSHSSFSSSDIFSHTEDSSNNDEDEGKTPVTARNLKLGTKVTAKVYQIRTHGLVLDLGGGVRAMYRFEANGKRDFELGDELRVKCTSFSSKGVPVMSLVDAY >Manes.03G138836.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26731923:26734676:-1 gene:Manes.03G138836.v8.1 transcript:Manes.03G138836.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQFLAFPILFTSFIFFFMVLKVRRRSKTNLSTTNLPPGPSKLPIIGNMHQLIGSRPHYRLRDLANQYGSIMHLQLGEVSNIVISSPEAAKQVMKTHDIVFAQRPSLVAASIIFYNFSDIVFSPYSDNWRQLRKICILELLSAKRVQSYRSIREEEVSNLISTITTSAGQPVNFSRKLFSLTYGITARATFGKKCKDQEEFIPLFEEITEVIGGFNLADLFPSVKLLHVIRGSMSRLVRLHREADRIIENVINDHRSRKKTLKIDSDVEEDDLVDVLLMLQEQGNLEFPLTNDKIKAVILEIFIVGSETSSTTVEWAMSEMLRNPRVMEKAQEEVRRVFSKKGNVDEAEIHELKYLKSVIKETLRLHPSAPLIPREGRERCEINGYEIPAKTKVIVNAWAINRDPNHWTEAETFYPERFLDSSIDYKGNNFEFIPFGGGRRMCPGILFGIANIELPLAQFLYHFDWKLPNGLKAESLDMMESFGATVRRKNDLQLIPILYKQS >Manes.17G025635.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:15480103:15481434:-1 gene:Manes.17G025635.v8.1 transcript:Manes.17G025635.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQKRCRRCRRSHVPHSPMPRSYSMHLVHPRQEKGNNYHLVALTSTTSSSLPLNSPSNCKNHINFAADILTAKGNDEENKNLRDGQLGISNNKELKSKEFSMGLIKAKAWSNTIQEKIPKIVPRTPVRTPPGEPETINAWELMAGLEEDDDSANKSNRFRSLSFDCSSDPAPVSDCPQLNGTTPNKSQTNCKSLWLQIADEEANSKSIPEFDPEIISTFRKALEDLSPTHPFHLNPPDSEKQPTPSPPSAGDNDIMKDFCKGENEKEKVVLYFTSLRGIRKTHEDCCHVRIILKGLGVRTDERDVSMHSGYKEELKELLGARFREGGLPKVFLGRKYIGGADEIRQLHEEGQLEKLLKGCGCEMAEAIGGGACEACGDVRFVPCETCFGSCKLYYERDDDLEEEEEEEEEEYDDDDYGFQRCPDCNENGLIRCPVCCY >Manes.04G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:689037:696201:1 gene:Manes.04G005000.v8.1 transcript:Manes.04G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVRQAVQEDNAGNYAKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGPGPASNGDAAVATRAKTKPKDGEDGEDPEQAKLRSGLNSAIIREKPDVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNEQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEFLARRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFVKTPNGMWVPCGPKQPNAVQITMQELAQQGLAAQILPPPITRTDFDKVLARQRPTVSKADLEVHEKFTKEFGEEG >Manes.08G017100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1740413:1748118:1 gene:Manes.08G017100.v8.1 transcript:Manes.08G017100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSTVSATAPASLYVGDLHPDVTDGQLFEAFSEFKSLASVRVCRDSSTGRSLCYGYVNFISPQDAIQAIEMKNHTALHGKMMRVMWSHRDPDARKSGIGNVYVKNLSESVDNVGLQYLFGKFGSIISCKVATFEDGKSKGYGFVQFDTEDSANSAIEKLNGSIVGDKQIYVGKFVKKSDRILPSADVKYTNLYVKNLDLDISEEVLLEKFAEFGKIVSLVIAKDENGASRGFGFVNFDNPDDARLAMERMNGTELGSKVLYVGRAQKKAEREQILRRQFEEKRKEQILKYKGSNVYVKNIDDDVTDEDLKEHFSQCGTITSAKLMRDDKGRSKGFGFVCFSNPEEANKAVNTFHGYMFHRKPLYVAIAQRKEDRQAQLQLQYAHQMAGLAGPSTAVIPGGYPPLYYTAPSGVVSQVSPRPGLMYQPLGMRPGWRANGFAPPARPNFQPSQLPAMSNTQRQHKQNRGRTNGHVFPQAHSVSYTQQSTQSLSSSKDPSNQRAGQAKYISNSRTRDAGKGSGVSSAVPTSLSPVSQGSEMLSSMLAAASPEEQKQILGERLYPLVKKHKPDLVAKITGMLLEMDNSELLLLLESPESLASKVEEAVQVLKISKTKVSGQDTLHPSYLSAEVAVN >Manes.10G053900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6506690:6512714:1 gene:Manes.10G053900.v8.1 transcript:Manes.10G053900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGAVGAGGVGSGAGGTSSPTVGGSCRDVNLKCLFRWRWEYQQHLLHQRFLSSELVFFLCCLVLYGSIGMLFGWLMFNKPYVGAGGVVGLSSVGCQEDNEGSWSIGLFYGNSPFSLKPIETMNVWKNESAAWPVANPVITCASVSDSGFASNFVADPFLYVQIYMMPEGSAKGELRLYRAVNFPLQWTLEKILIKKPLVDSFIIKHDGEYWLFGSDHSDFGTKKNGQLEIWHSSSPLGPWKPHKKNPIYNVNKSLGARNGGRPFLYKGNLYRVGQDCGETYGRRVRVFKVEILTKDDYKEVEVSLGFEEPRKGRNAWNGARYHHLDVQQLSSGQWIGVMDGDRVPSGDLVHRFLIGCASLASVAAIVVVLGLLLGAVKCIIPLNWCAYYSGKRSDTLLVWERSNAFSSKVRRFCGRLNRAASSLRIKIRLNTWAGRLVLAVIFTVGVVLIFTSVKYIYGGNGAEEPYPLKGSYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVKEIVVVWNKGIPPKLSDLDSAVPVRIRVEKKNSLNNRFRKDELIETRAVLELDDDIMMTCDDIERGFNVWRQHPERIVGFYPRLISGSPLKYRGEKYARKHKGYNMILTGAAFLDSKLAFEMYWGEGAKAGRELVDKYFNCEDVLLNYLYANASTSGTVEYVRPTWAIDTSKFSGAAISRNTQVHYKIRSNCLQKFSEMYGSLSRRKSEFDGRKDGWDL >Manes.10G053900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6506690:6512714:1 gene:Manes.10G053900.v8.1 transcript:Manes.10G053900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGAVGAGGVGSGAGGTSSPTVGGSCRDVNLKCLFRWRWEYQQHLLHQRFLSSELVFFLCCLVLYGSIGMLFGWLMFNKPYVGAGGVVGLSSVGCQEDNEGSWSIGLFYGNSPFSLKPIETMNVWKNESAAWPVANPVITCASVSDSGFASNFVADPFLYVQGDTLYLFYETKNSITMQGDIGVAKSTDNGASWQQLGIALDEEWHLSYPYVFNHLDKIYMMPEGSAKGELRLYRAVNFPLQWTLEKILIKKPLVDSFIIKHDGEYWLFGSDHSDFGTKKNGQLEIWHSSSPLGPWKPHKKNPIYNVNKSLGARNGGRPFLYKGNLYRVGQDCGETYGRRVRVFKVEILTKDDYKEVEVSLGFEEPRKGRNAWNGARYHHLDVQQLSSGQWIGVMDGDRVPSGDLVHRFLIGCASLASVAAIVVVLGLLLGAVKCIIPLNWCAYYSGKRSDTLLVWERSNAFSSKVRRFCGRLNRAASSLRIKIRLNTWAGRLVLAVIFTVGVVLIFTSVKYIYGGNGAEEPYPLKGSYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVKEIVVVWNKGIPPKLSDLDSAVPVRIRVEKKNSLNNRFRKDELIETRAVLELDDDIMMTCDDIERGFNVWRQHPERIVGFYPRLISGSPLKYRGEKYARKHKGYNMILTGAAFLDSKLAFEMYWGEGAKAGRELVDKYFNCEDVLLNYLYANASTSGTVEYVRPTWAIDTSKFSGAAISRNTQVHYKIRSNCLQKFSEMYGSLSRRKSEFDGRKDGWDL >Manes.11G024200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2437874:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.11G024200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2437874:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSRDACPMVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.11G024200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2437874:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSRDACPMVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.11G024200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2436253:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.11G024200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2438292:2442974:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSRDACPMVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.11G024200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2439295:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSRDACPMVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGFLCSGLVLPVTPSYVPYCES >Manes.11G024200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2438292:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.11G024200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2437874:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSRDACPMVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQV >Manes.11G024200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2436142:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSRDACPMVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.11G024200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2437445:2442970:-1 gene:Manes.11G024200.v8.1 transcript:Manes.11G024200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSRDACPMVKSILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKSLFTKTMKPNARTEAEITMFDNYIVIYKFIQDLHFFVTGGDDENELILASVLQGFFDSVSLLLSTVDKREALENLDLIFLCLDEIVEKGMILETDAIAGKVAINSMDPGAPLSEQTISQALATAREHLTRTLFQ >Manes.16G138350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34088123:34089353:1 gene:Manes.16G138350.v8.1 transcript:Manes.16G138350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRRFGWPPIKAPQTENGRDFSPFSSSEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRN >Manes.08G036000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473723:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRGESPSESIVENTQVTYKKGFCEIEEDENEESIQLKNEISDTEQYQCN >Manes.08G036000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3482075:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRGESPSESIVENTQVTYKKGFCEIEEDENEESIQLKNEISDTEQYQCN >Manes.08G036000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRGESPSESIVENTQVTYKKGFCEIEEDENEESIQLKNEISDTEQYQCN >Manes.08G036000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRGESPSESIVENTQVTYKKGFCEIEEDENEESIQLKNEISDTEQYQCN >Manes.08G036000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473722:3482138:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3482075:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRGESPSESIVENTQVTYKKGFCEIEEDENEESIQLKNEISDTEQYQCN >Manes.08G036000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473722:3482138:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473723:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRGESPSESIVENTQVTYKKGFCEIEEDENEESIQLKNEISDTEQYQCN >Manes.08G036000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3475390:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRDTKAVGEIEEEESDVSVELENHGKSTSQPLTDKFAQKSPLNGKANIRVSAEVEQALETLEKATSLVRQRGFNSLGRFSSDSEDTPNLQKGAEKDSTFVEDGMSSDSVVSVEVPETVTILERTSHESRNSFSNCDIRRVGSNSYT >Manes.08G036000.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473819:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRGESPSESIVENTQVTYKKGFCEIEEDENEESIQLKNEISDTEQYQCN >Manes.08G036000.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3473802:3481965:1 gene:Manes.08G036000.v8.1 transcript:Manes.08G036000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGKISEYRERLDRTLASPDLTNDDALKTLLRNQLLRSSPNENEGCSENVLEKKMTEVSYFLDMLRSASASEHETSKAAQTSHGEWKLKDDNEEYRVMYRQGPHGTGLHKLLVEGYVDGPVDACLCISWELTLYRKWWPQIIFPPFKITVCKFLQKVRIGEQISLVRVKIMWPLSAREAVVHYFLFEYLKDGLVVVLANTISDLENIDKTTHGFTRDGIPEVKDVVRIDVVGGFAIQKVTTERSYFRTIATMDLKLDFVPPSLINFISRQLIGSGFRLYQKAVASVSSYDEDYSKALEDPMYARIRESLYPIDETNETMEGKELKYDACRPLQQHSTKDMQKSLENMDQKVQRGESPSESIVENTQVTYKKGFCEIEEDENEESIQLKNEISDTEQYQCN >Manes.05G178500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29517468:29518370:1 gene:Manes.05G178500.v8.1 transcript:Manes.05G178500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSSISSMKRHRFVSSGLLLLLLVLLLSVQISVVHCRALRSMTTGEISGCQQQKDVAHQSAGGVASFAVSSNNSIGGGGTSVSLMFQLASGPSRKGPGH >Manes.07G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33331296:33333919:1 gene:Manes.07G131300.v8.1 transcript:Manes.07G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVAVQVPKSPIFSSPIIPSIFIKPCPSPTILGSQSPTSLSLQPSLSAPSGSPRSPLVIRVNETGLEANSAAVLKRKRPGRIVIPVVAGILGFGLETSRREEERMEVMEAEGDGYSVYCKRGRRGLMEDRFSASIDVNADYKRAFFGVFDGHGGAKAAEFASKNLEKNIMAVVSSISEEKTGIEMAIRDGYLTTDREFLKQNVGGGACCVTALIHNGNLVVSNAGDCRAVMSRGGVAEALTSDHQPARKDERDRIEALGGYVDICRGVWRIQGTLAVTRGIGDRSLKQWVISEPETKVLKIEPDCEFLILASDGLWDKVTNQEAVDIVRPLCMGVNQPELSSACKELSQLSSRRGSIDDITVMIIQLGSFSS >Manes.17G025900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20131316:20134717:1 gene:Manes.17G025900.v8.1 transcript:Manes.17G025900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALWQLGQSITRRLAQADKKAVARRYFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTINCRENGSLFDVSHMCGLSLNGKDCIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVTDDHIYIVVNAGCRDKDLAHIEEHMKSFNAKGGQVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRILDINGSRCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRKAEGGFLGAEVILKQLAEGPKIRRVGFSSSGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKVKIVVRGKAYDGVVTKMPFVPTKYYKPS >Manes.17G025900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20131541:20134967:1 gene:Manes.17G025900.v8.1 transcript:Manes.17G025900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALWQLGQSITRRLAQADKKAVARRYFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTINCRENGSLFDVSHMCGLSLNGKDCIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVTDDHIYIVVNAGCRDKDLAHIEEHMKSFNAKGGQVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRILDINGSRCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRKAEGGFLGAEVILKQLAEGPKIRRVGFSSSGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKVKIVVRGKAYDGVVTKMPFVPTKYYKPS >Manes.17G025900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20131548:20134967:1 gene:Manes.17G025900.v8.1 transcript:Manes.17G025900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALWQLGQSITRRLAQADKKAVARRYFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTINCRENGSLFDVSHMCGLSLNGKDCIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVTDDHIYIVVNAGCRDKDLAHIEEHMKSFNAKGGQVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRILDINGSRCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRKAEGGFLGAEVILKQLAEGPKIRRVGFSSSGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKVKIVVRGKAYDGVVTKMPFVPTKYYKPS >Manes.17G025900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20131316:20134717:1 gene:Manes.17G025900.v8.1 transcript:Manes.17G025900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALWQLGQSITRRLAQADKKAVARRYFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTINCRENGSLFDVSHMCGLSLNGKDCIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVTDDHIYIVVNAGCRDKDLAHIEEHMKSFNAKGGQVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGEFRILDINGSRCFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRKAEGGFLGAEVILKQLAEGPKIRRVGFSSSGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGLHKAGTKVKIVVRGKAYDGVVTKMPFVPTKYYKPS >Manes.11G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8524426:8528957:1 gene:Manes.11G061000.v8.1 transcript:Manes.11G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKTQEASSSSVPCSYHVFLSFRGGDTRKNFTDHLYTALVQQGIHTFRDDDEIQRGENIELEIQRAIRESKLSIIILSQDYASSRWCLDELVMIMERRRLVGHVVVPVFYDVDPSQVKNQTGRYGEAFARHEKDLKEEMNKVEQWRDALREAADMRGMVRQNGYESQFIQNIVREVENKLSRTVLNVSPYLVGMNSRIAQINHWLQDGSDDVEIATIYGIGGIGKTTIAKIVYNLNFNRFDGSSFLANVREISEQPNGLIRLQRQLLSDLVKGKTSKIYNIDEGITRIRDVLYGKRVLLILDDVDDVDQFNAIVGMREWFHPGSKIIITTRHEHLLRFIFRFEVEQLDDKESVQLLSWHAFGQDHPADGYQKHSENVARHCGGLPLALQVLGSSLSGKTTTVWENALQKLEKIADSKIQHILRISFDSLQDDHDKSLFLDIACFFTGMVIEYAIRILDGCDFYAIVGIQNLINKCLVTVDEENRLMVHQLVRDMGREIVRQESPDDPGKRSRLWDPKDATVVLRENAGTESIRGLSLNLPVPAEDKRTRKNPLLNLAKKYDDQDSIEKSRFLDEENFLKRHKLSNLSWQPAKTAPISSFSARAFEKMVRLKLLNINYVKLNGDYKEFPTSLVWLCWRGFPLNSMPIDLCLDKLVALDMRNSKVKYLWKGIKFLVELRILNLSHSHGLVRTPNFRGLPSLEKLVFKDCTSLVDIDESIGGLERLVILNLRDCKSLKKLPEEITMLESLEELVISGCSNLFELPKELAKLQSLKVFHADRIAINQVDSSTGVLKELSLSLWHSTSWSWLLQKRWATSTRFSLAFLPRFLVSLSLANCCLSDNAIPEDLSCLLSLEYLNLSGNQIRCLPESINGLVSLGSLVLDQCASLQSLPELPTSLNSLKLEDCTSLERLTNLPNLLKSLDLEIFGCEKLVEVQGIFKLEPLGTNTEILDNLGLFNLKSLEGIEVEMSNSLSCTEMKTSIQVLHECGIFSIFLPGGTVPDWFELISESPAISFNVEPVPAHKVKGLSLCILYMNLDDGGQIDGNCAKINNKTKGVKWTYSPNFYGIPEVSQDMLWLSYWTFGDQLKAGDEVQILAEMASGLHVKACGVRLVYEPEYKDVPEIVQSSSSKYPTMADTDMSDYELGTASYFLCHHNFQTHQGAGRYDWDDLSGYEYIFEDREKHHESYENEEEDIETDQNEEQILDSETEIWT >Manes.18G085705.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7922588:7931179:-1 gene:Manes.18G085705.v8.1 transcript:Manes.18G085705.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLGHFLLGPTHSNLKCPKPILICSSDINLRVDVSFNGCSATSDNLFSLSTGTGEGRKQKMPSPKRESEGALPSKEDESSDNNNSNDYYDIYGPQAKAEVVFKTPEANSTLNLEDVQGLVTWVLGEGFMPSWVFIKNKPLIPKVALLFIPGLDAALYISQSKLLHSFKEFCGNPMALLALSCVSDGMQTIDALLTCKTKRKRDGVDSVLRKSIKISEQEAKDSGTGDLSSGVFMKDLPFPLMYYTLTARQLEENGYCINQPGFLSTLPAPSGSSPYDMLALDCEMCITNEGFELTRITMVDIKGQVVLDKLVKPSNVIVDYNTRFSGITREMLKDVTTSLKDVQEDFLKLVYKETILVGHSLENDLLALKISHGLVIDTALLYKHPRGGSYKTALRILAKKFLSRDIQQSGTGHDSIEDARAAMELALLKIKHGPDFGSPPSFIRTKLLTVLSECGKDSSVIDDVSIVKRYASASSHAFPVNSDDEALSRAIKEVKNDRVHFIWTQFSELNSYFKKQAEDEGKLNAKLAEMISLLTCQKKPANKKDIKCSITSDLKEILTSLDARVRSLYSSLPTNSMLIICTGHGDTAIVRRLRKMLTEKKETTICREKIVKLLEELQAQAEVALCFVGVKN >Manes.11G116400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIVFVPLLPVATSLTLATLFDLKLTPKTAEIRDILCPVRVISQFYISIQKELAYGFELSWHSIYCGRCRGCYFDETNEVQCISGYFRRVCYLNFGIQCTYIYDSSKTDAFFFRIKKSFLTVLVLLGILHCITKTLCGTPFVIAFFIYKWRRRHLSGYDTVEEFLQNQNNLMPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIVFVPLLPVATSLTLATLFDLKLTPKTAEIRDILCPVRKELAYGFELSWHSIYCGRCRGCYFDETNEVQCISGYFRRVCYLNFGIQCTYIYDSSKTDAFFFRIKKSFLTVLVLLGILHCITKTLCGTPFVIAFFIYKWRRRHLSGYDTVEEFLQNQNNLMPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIVFVPLLPVATSLTLATLFDLKLTPKTAEIRDILCPVRVISQFYISIQKELAYGFELSWHSIYCGRCRGCYFDETNEVQCISGYFRRVCYLNFGIQCTYIYGILHCITKTLCGTPFVIAFFIYKWRRRHLSGYDTVEEFLQNQNNLMPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLILFSLVKGYFRRVCYLNFGIQCTYIYGILHCITKTLCGTPFVIAFFIYKWRRRHLSGYDTVEEFLQNQNNLMPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFTGYTAFLFLLVLQTCNGKDNSLCASSSCGNILNISYPFRLETDPKNCGDQRYTLSCEGNFTVLYLYTGKYYVREINYDNFTIRLVDAGIHDHDDCSSIPLSPLTELNFSLEDPYTVSKSRQTPLDWDAKLSTTITFINCVHPVNPVYLNSSIYVETASCTVGGLDNSYVNVGGMEGKDLMSMCSIEMVALLPVKDYNNMSFVEIQKELAYGFELSWHSIYCGRCRGCYFDETNEVQCISGYFRRVCYLNFGIQCTYIYDSSKTDAFFFRIKKSFLTVLVLLGILHCITKTLCGTPFVIAFFIYKWRRRHLSGYDTVEEFLQNQNNLMPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIVFVPLLPVATSLTLATLFDLKLTPKTAEIRDILCPVRKELAYGFELSWHSIYCGRCRGCYFDETNEVQCISGYFRRVCYLNFGIQCTYIYGILHCITKTLCGTPFVIAFFIYKWRRRHLSGYDTVEEFLQNQNNLMPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.11G116400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27328148:27331509:1 gene:Manes.11G116400.v8.1 transcript:Manes.11G116400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFTGYTAFLFLLVLQTCNGKDNSLCASSSCGNILNISYPFRLETDPKNCGDQRYTLSCEGNFTVLYLYTGKYYVREINYDNFTIRLVDAGIHDHDDCSSIPLSPLTELNFSLEDPYTVSKSRQTPLDWDAKLSTTITFINCVHPVNPVYLNSSIYVETASCTVGGLDNSYVNVGGMEGKDLMSMCSIEMVALLPVKDYNNMSFVEIQKELAYGFELSWHSIYCGRCRGCYFDETNEVQCISGYFRRVCYLNFGIQCTYIYGILHCITKTLCGTPFVIAFFIYKWRRRHLSGYDTVEEFLQNQNNLMPIRYSYKDIRKMTGGFKDKLGEGGFGSVYKGTLRSGHFAAIKMLSKSNTNGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALIYDFMPNGSLDKYMCSHQGSISLSWDKLYEISLGVAHGIEYLHQGCDVQILHFDIKPHNVLLDENFIPKISDFGLARLYATNDSIKSLTEARGTIGYMAPELFYRNIGHVSYKADVYSFGMLLLEMAGKRNGSNAMAEHSSENYFPFWVYDEVSKGKVVTTGDESESNRIAKKMVMVGLLCIQMKPSNRPPMNKVIEMLEGDVESLQLPPRPALYPEETPIRDAEQSLSMSLNFSESSSLIENTF >Manes.07G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3804386:3805757:1 gene:Manes.07G034900.v8.1 transcript:Manes.07G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLAESTTDASAFDIADFVINQGNGVKGLSELGIKSLPRQYIQPKEALINIIPNKSIPVIDMANWENDPNIAESVCEAAETFGFFQLVNHGVPLEVLDGVKDATHRFFGVPAAVKRKYSKELSPSNSVRFGTSFSPDSEKALEWKDYLSLFYVSEEEASALWPPECKDECLEYMKKSEILCRKLLTALMERLNVKEIDEKKESLLMGSRRINLNYYPRCPNPQLTIGVGRHSDVSTLTFLLQDEIGGLYVRVNEGKGEEDGWVHVPPIEGSLVINVGDALQILSNGRYKSIEHCVIASGSKNRISIPVFVNPKPSDVIGPLPELLAAGEKPKYKNILYSDYVKHFFRKAHDGKKTVEFAEV >Manes.08G158400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39447038:39447847:1 gene:Manes.08G158400.v8.1 transcript:Manes.08G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSIVFVTISLHLFLFLIALFSSTTSGAIYRLHTSRHVFPSTTFGVLPKGSPIPPSGPSKRHNDYGPDAPEKDGGSGGGGSGSGGVSP >Manes.15G052900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4089427:4092945:1 gene:Manes.15G052900.v8.1 transcript:Manes.15G052900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEDDYSATFTGLDYSLDHHSHHQQQDHEFVKSRIGGTSGDISSGMIDYMLNNPHPLQQQLSSGFCTSTSLDKLSFADVMQFADFGPKLALNQTKISEEGTAIDPVYFLKFPVLNDKREEQHLMVPHLGGENEERFKGVCNVENEAGILVREDRVVGEEEETRVSDNTSVQLQFLGDQDLQNKNNAAPEVKNKRRRPRTVKTTEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLCGETPRQMGDNSFTVQQSQSPIFFPPLNLPNDQMKFADFETGLREETAENKSCLADVEVKLLGFDALIKILSRRRPGQLIKTMAALEDMQLDILHTNITTIEQTVLYSFNVKIENECGFTAEDIASSVQQIFSFIHANSSM >Manes.12G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1473393:1479725:-1 gene:Manes.12G015700.v8.1 transcript:Manes.12G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAFGFNFSDWVTTKMKWDSRVGARMDLSINIANVAVSILLLAWFLKEILKERRGGSGIRREESSLFTRIIVLCNFFLSILNLGFGFHEYSNSRIVDSKSIFSSVTWILATMVAFYSQHKTLREEGNKWPLVLILFWVFSCIFYSLSVSIYLINRFSSIELPDPLPETNISDFVSLPLSVLICFNALIFSGNTKSRSGLEHPLLQEEHNDVLKVSSFTCAGVWSQLTFQWLNPLFRRGRIQKLELSHIPLVPQSETAKSASSLLEESLGKRKTETSNLPKAIAYAIWKPLTINGVFAGVNTIASYMGPLLITSFVNFLSEKHDNSSYLYGLILAFIFFLSKTIESLTQRQWYFGAQRIGIRVRAALMVLVYKKSLSVRFAGPSAGKIINMINVDVERIGDFCWNIHGVWLLPLQVFLALIILYRNLGAAPSFAALSSTILVMLSNTPLANKQEKLHSRIMEAKDSRIKVTSETLKSMRVLKLYSWESAFLEKLLQLRKTERKWLKEYLYISSLIAFLFWASPTLVSVITFGVCILLKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTRVSVNRIQEFLREEDQRKQIPYNISHASENAIEIETGEYAWETNDQNLRKPTIKITEKMKIKKGYKVAVCGSVGSGKSSLLCSILGEIPRISGAGIKVYGTKAYVPQSAWIQTGTVRENVLFGQDMDRAFYEDVLEGCALNQDIGIWVDRDLTAIGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGAHLFKKCLMQLLSQKTVVYATHQLEFLYAADVVLVMKDGVIVQSGKYEDLIADPTGELVRQMAAHKKSLNQVNPPPEDSTLTSGPPQLNQNEVTEENFEEPVSNSIISERIQEELTETGRVKWSVYSTFVTSAYKGALVPVILLCQVLFQGLQMGSNYWIAWASEDRQKITREQLIGIFILLSGGSCIFILGRAVLLASIAVETAQLLFHGMITSVFRAPISFFDSTPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLSIIILMSHVAWPVFLLFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESIAGVATIHCFNQEDRFFMRSLSLIDDYSRIVFHNTGTMEWLCVRINFLFNLVFFLALIILVSVPRSAIDPGLAGLAATYGLNLNILQAWVIWNLCNVENKMISVERILQFTNIPSEAPMVIEECRPNSEWPVYGRIELVSLSVQYSPALPMVLKSITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLQDLRSRLGIIPQDPTLFQGTVRHNLDPLQEHSDQEIWEVLNKCRLADIVKQDQRLLEAPVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATDNIIQVTIRDETSRCTVITVAHRIPTVIDNDLVLVLDEGKVVEYDSPVQLRKDNSSSFSKLVTEFSRRSSTRNQHRDYLDKGGD >Manes.12G015700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1473393:1479725:-1 gene:Manes.12G015700.v8.1 transcript:Manes.12G015700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAFGFNFSDWVTTKMKWDSRVGARMDLSINIANVAVSILLLAWFLKEILKERRGGSGIRREESSLFTRIIVLCNFFLSILNLGFGFHEYSNSRIVDSKSIFSSVTWILATMVAFYSQHKTLREEGNKWPLVLILFWVFSCIFYSLSVSIYLINRFSSIELPDPLPETNISDFVSLPLSVLICFNALIFSGNTKSRSGLEHPLLQEEHNDVLKVSSFTCAGVWSQLTFQWLNPLFRRGRIQKLELSHIPLVPQSETAKSASSLLEESLGKRKTETSNLPKAIAYAIWKPLTINGVFAGVNTIASYMGPLLITSFVNFLSEKHDNSSYLYGLILAFIFFLSKTIESLTQRQWYFGAQRIGIRVRAALMVLVYKKSLSVRFAGPSAGKIINMINVDVERIGDFCWNIHGVWLLPLQVFLALIILYRNLGAAPSFAALSSTILVMLSNTPLANKQEKLHSRIMEAKDSRIKVTSETLKSMRVLKLYSWESAFLEKLLQLRKTERKWLKEYLYISSLIAFLFWASPTLVSVITFGVCILLKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTRVSVNRIQEFLREEDQRKQIPYNISHASENAIEIETGEYAWETNDQNLRKPTIKITEKMKIKKGYKVAVCGSVGSGKSSLLCSILGEIPRISGAGIKVYGTKAYVPQSAWIQTGTVRENVLFGQDMDRAFYEDVLEGCALNQDIGIWVDRDLTAIGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGAHLFKKCLMQLLSQKTVVYATHQLEFLYAADVVLVMKDGVIVQSGKYEDLIADPTGELVRQMAAHKKSLNQVNPPPEDSTLTSGPPQLNQNEVTEENFEEPVSNSIISERIQEELTETGRVKWSVYSTFVTSAYKGALVPVILLCQVLFQGLQMGSNYWIAWASEDRQKITREQLIGIFILLSGGSCIFILGRAVLLASIAVETAQLLFHGMITSVFRAPISFFDSTPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLSIIILMSHVAWPVFLLFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESIAGTMEWLCVRINFLFNLVFFLALIILVSVPRSAIDPGLAGLAATYGLNLNILQAWVIWNLCNVENKMISVERILQFTNIPSEAPMVIEECRPNSEWPVYGRIELVSLSVQYSPALPMVLKSITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLQDLRSRLGIIPQDPTLFQGTVRHNLDPLQEHSDQEIWEVLNKCRLADIVKQDQRLLEAPVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATDNIIQVTIRDETSRCTVITVAHRIPTVIDNDLVLVLDEGKVVEYDSPVQLRKDNSSSFSKLVTEFSRRSSTRNQHRDYLDKGGD >Manes.12G015700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1473778:1479725:-1 gene:Manes.12G015700.v8.1 transcript:Manes.12G015700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSAFGFNFSDWVTTKMKWDSRVGARMDLSINIANVAVSILLLAWFLKEILKERRGGSGIRREESSLFTRIIVLCNFFLSILNLGFGFHEYSNSRIVDSKSIFSSVTWILATMVAFYSQHKTLREEGNKWPLVLILFWVFSCIFYSLSVSIYLINRFSSIELPDPLPETNISDFVSLPLSVLICFNALIFSGNTKSRSGLEHPLLQEEHNDVLKVSSFTCAGVWSQLTFQWLNPLFRRGRIQKLELSHIPLVPQSETAKSASSLLEESLGKRKTETSNLPKAIAYAIWKPLTINGVFAGVNTIASYMGPLLITSFVNFLSEKHDNSSYLYGLILAFIFFLSKTIESLTQRQWYFGAQRIGIRVRAALMVLVYKKSLSVRFAGPSAGKIINMINVDVERIGDFCWNIHGVWLLPLQVFLALIILYRNLGAAPSFAALSSTILVMLSNTPLANKQEKLHSRIMEAKDSRIKVTSETLKSMRVLKLYSWESAFLEKLLQLRKTERKWLKEYLYISSLIAFLFWASPTLVSVITFGVCILLKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTRVSVNRIQEFLREEDQRKQIPYNISHASENAIEIETGEYAWETNDQNLRKPTIKITEKMKIKKGYKVAVCGSVGSGKSSLLCSILGEIPRISGAGIKVYGTKAYVPQSAWIQTGTVRENVLFGQDMDRAFYEDVLEGCALNQDIGIWVDRDLTAIGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGAHLFKKCLMQLLSQKTVVYATHQLEFLYAADVVLVMKDGVIVQSGKYEDLIADPTGELVRQMAAHKKSLNQVNPPPEDSTLTSGPPQLNQNEVTEENFEEPVSNSIISERIQEELTETGRVKWSVYSTFVTSAYKGALVPVILLCQVLFQGLQMGSNYWIAWASEDRQKITREQLIGIFILLSGGSCIFILGRAVLLASIAVETAQLLFHGMITSVFRAPISFFDSTPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLSIIILMSHVAWPVFLLFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESIAGVATIHCFNQEDRFFMRSLSLIDDYSRIVFHNTGTMEWLCVRINFLFNLVFFLALIILVSVPRSAIDPGLAGLAATYGLNLNILQAWVIWNLCNVENKMISVERILQFTNIPSEAPMVIEECRPNSEWPVYGRIELVSLSVQYSPALPMVLKSITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLQDLRSRLGIIPQDPTLFQGTVRHNLDPLQEHSDQEIWEVLNKCRLADIVKQDQRLLEAPVAEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDTATDNIIQVTIRDETSRCTVITVAHRIPTVIDNDLVLVLDEGKVKLSHRK >Manes.11G066100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9231470:9240100:-1 gene:Manes.11G066100.v8.1 transcript:Manes.11G066100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTSVQPQFIVSSGNRSFSRAPLIDNSDTDRIVVPDKTSWKNLFAYIGPGFLVSIAYIDPGNFETDLQSGAEYKYELLWIILVASCAALLIQSLAANLGVVTGKHLAEHCKAEYPRVPNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVSTIAACFLVELGYAKPSASEVLYGLFVPQLKGQGATGLAISLLGAMVMPHNLFLHSALVLSRKIPQSNGGIKEACRFYLIESSFALAIAFFINVAVISVSGAVCNSSNLNPEDQSKCKDLDLNQASFLLRHVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASMILSFELPFALIPLLKFTSCQTKMGMHANSTVISAITWIIGSLIMAINIYYLAIGFIKILLHGHLKVVEVVFLGIFGFSAMALYLASIAYLVFRKNKEATHLLALTTPESRQMSNELGDTPMHNLPREDIVRMQLPQRRSTEEVD >Manes.11G066100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9231630:9240001:-1 gene:Manes.11G066100.v8.1 transcript:Manes.11G066100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSTSVQPQFIVSSGNRSFSRAPLIDNSDTDRIVVPDKTSWKNLFAYIGPGFLVSIAYIDPGNFETDLQSGAEYKYELLWIILVASCAALLIQSLAANLGVVTGKHLAEHCKAEYPRVPNFILWILAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFIAFLVSTIAACFLVELGYAKPSASEVLYGLFVPQLKGQGATGLAISLLGAMVMPHNLFLHSALVLSRKIPQSNGGIKEACRFYLIESSFALAIAFFINVAVISVSGAVCNSSNLNPEDQSKCKDLDLNQASFLLRHVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLRLTPWIRNFLTRCLAIVPSLIVALIGGSSGAGKLIIIASISAITWIIGSLIMAINIYYLAIGFIKILLHGHLKVVEVVFLGIFGFSAMALYLASIAYLVFRKNKEATHLLALTTPESRQMSNELGDTPMHNLPREDIVRMQLPQRRSTEEVD >Manes.11G035200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3419340:3427381:1 gene:Manes.11G035200.v8.1 transcript:Manes.11G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFLFSSSPFPNVQKPRKFLLRPRDYPSISCQKQDPNPENHNETSKTHKANKTHFSFLAVPITLTIISSSLSPQPVLAAAAKTGHKKKTQKKTQESLTLDELKQWSKDLPIVSNRIPYTEILSFKESNKLKHVIKAPKACFRERAEAVLVVSDDNKVFRTVLPSLESNKRFWDAWDELKIDTMCVNAYTPPVKKPELPKPYLGFLWKVPEFMLSKFKPKKESKRAMELRKMREEIKRQRKEELARMREEREMIEMIIKIQKKEEQRRIKRKIRKKKNKESLLYAQMNSIRMANMWAQLARDRNVSTLLGVVFFVIFYRTVVLSYKKQKKDYEDRLKIEKAEAEERKKMRELEMEMMGIEEEEEGEGEGEQGKGEQNAYLKMATQFMKSGARVRRAHNRRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRSLYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRIEILKVHARKKPMADDVDYMAVASITDGMVGAELANIIEVAAINMMRDGRTEITTDDLLQAAQIEERGMLDRKERSPETWKQVAINEAATAVVAVNFPDLRNIEFVTIAPRAGRELGYVRMKMDHVKFKGGMLSRQSLLDHITVLLAPRAADELWHGEGQLSTIWAETADHARSAARTYVLGGLSEKHYGLFDFWVADRINEIDLEALRILNSCYERAKEILQQNRELMDAVVDLLVQNKSLSKQEFFHLVELHGSIKPMPPSIIDLRDAKRVEFQETMMNQKETTVSNL >Manes.16G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29473358:29504599:1 gene:Manes.16G088500.v8.1 transcript:Manes.16G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFVNRAFESMLKECSGKKYPDLQKAIQTYIDITKVSNQQSKPGKTNQAAPLAGTEGLLEGAAKTGKQSDQLKNTSDEAPDGKPVGHSGNITVALANAGCTLDEAEVEIVLNPFRLAFETKNLKIIEPALDCLHKLIAYDHLEGDPGLEGGKNVSLFTDILNMICSCVDNSSPDSTILQVLKVLLTAVASAKFRVHGEPLLGVIRVCYNIVLHSKSPINQATSKAMLTQMISIVFRKMETDPQTQVSTSSSSAVNVEATSEEKSNAKVEETLTMDQNEEGMTLGDAHDKMKETSLASVEELLNLAGAADIKGLEAVLDKAVQIEDGKTITRGIDLESMSIGKRDALLLFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSDPFTKNYHFIDSVKAYLSYALLRASVSHSPILFQYATGIFSILILRFRESLKGEVGVFFPLIVLRSLDGSQCPINQKMSVLRMLEKVCKDPQMLVDVYVNYDCDLKAPNLFERMVTTLSKIAQGTQSADPNAVSSQATSIRGSSLQCLVNVLRSLVDWEKLCREFGKKIKRVQSLEEEVSPGEFVEIKIREDVSNNFEKAKAHKSTMEAAIGEFNRKPVKGVEYLISNKLVENNPTSVAQFLRNTPNLDKAMIGDYLGQHEEFPLAVMHAYVDSMKFSGMKFDMAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPRLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMAKSDFIRMNAMSDAEDCAPTDLLEEIYDSIVKEEIKMKDDVADIGKSMQKPESEERGRLVNILNLALPKRKSSTDAKSESEAIIKQTQAIFRKQGGRRGIFHTVQQIEIVRPMVEAVGWPLLATFSVTMEEGDNKPTVILCMEGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRTKNIEALRTLFSLCDSETDSLQDTWNAVLECVSRLEFITSTPAIAATIMHGSNQISRDAVLQSLRELAGKPAEQVFVNSVKLPSDSVVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGCHREEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSDSIRRLIVDCIVQIIKSKVGSIKSGWHSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLISFANNKTSHRISLKAIALLRICEDRLAEGLLPGGTLKPMEDNVDANFDVTEYYWFPMLAGLSVLTSDARPEVRSCALEVLFDLLNERGSKFSSSFWERIFHRVLFPIFDHVRHAGKESLISSDDKWFRETSIHSLQLLCNLFNTFYKEVYFMLPSLLSLLLDCAKKTDQTVVSISLGALVHLIEAGGHQFSESDWDTLLKSIRDASYTTQPLELLNALSLENPKSSSVLVTDPEVIADDVADSHQFDINDNGKSSVLASPSNAHVVGGNAFVLPDNRQETGLQSNLDGSEGLPSPSGRFQKPAEAAGFQRSQTIGQKIMGNMMDNLFMRSLTSKSKARASDASAPSSPIKVPDVVVEPDVKDEEESALMTTIRGKCITQLLLLGAIDSIQKKYWSKLKAPQKIAIMEVVLSMLEFAASYNSYPNLRMRMHHIAVDRLPLNLLRQELTGTSIYLDVLQKTTSDSLANDGQILESSVSENVDIPAAQNNLSVTEDATGDEKLEGIAEAKLVSLCEQVLKEASDLQSSVGETTNMDVHRVLELRSPIIVKVLKGMCSMNNKIFRRHLRDFYPLLTKLVCCDQMEIRGALGDLFTVQLKALLP >Manes.02G067700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5185524:5192202:-1 gene:Manes.02G067700.v8.1 transcript:Manes.02G067700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLKAFLGKTFKTSKFRILTKIAISRIAYLEKQHKVRYSQARADVLELLNIGQRERALLRAEHVIREQNVLDAYAMIENYFHLLMERVVILEKNKKCPEELKEAISSLIFAASRCGELPELQKIRKLFASRFGKEFATCAVELRNNCGVNPKKLATRQPSLDSKLKALNEINPANEINLQAEGSASTVTEEKLEKQPEYIESGESSADPQLHDDMRDFPVKQQQDENFSETAKVREKYKDTEAAAEAAFQFASQAAAAARAAVKLSKTQDGNSDESQNSSNHERYPFHFDESLLISELRVDGKAATKEKNQKKEVELERGVVRNDDEAKKKQINETVALKHYSGEKSILRTKSDTDGDLSNENKDEFTCKPPNDNLLIQADSTKGKSELEGAQQKDSVEEMATRVSKAGRRPFSMRTRRVDRN >Manes.02G067700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5185524:5192585:-1 gene:Manes.02G067700.v8.1 transcript:Manes.02G067700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFPSIYFSSSQSVWFFYQAEHVIREQNVLDAYAMIENYFHLLMERVVILEKNKKCPEELKEAISSLIFAASRCGELPELQKIRKLFASRFGKEFATCAVELRNNCGVNPKIVKKLATRQPSLDSKLKALNEINPANEINLQAEGSASTVTEEKLEKQPEYIESGESSADPQLHDDMRDFPVKQQQDENFSETAKVREKYKDTEAAAEAAFQFASQAAAAARAAVKLSKTQDGNSDESQNSSNHERYPFHFDESLLISELRVDGKAATKEKNQKKEVELERGVVRNDDEAKKKQINETVALKHYSGEKSILRTKSDTDGDLSNENKDEFTCKPPNDNLLIQADSTKGKSELEGAQQKDSVEEMATRVSKAGRRPFSMRTRRVDRN >Manes.02G067700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5185524:5192147:-1 gene:Manes.02G067700.v8.1 transcript:Manes.02G067700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLKAFLGKTFKTSKFRILTKIAISRIAYLEKQHKVRYSQARADVLELLNIGQRERALLRAEHVIREQNVLDAYAMIENYFHLLMERVVILEKNKWAVFLESNFLSCFVSTLVILICFCIFICLCRKCPEELKEAISSLIFAASRCGELPELQKIRKLFASRFGKEFATCAVELRNNCGVNPKIVKKLATRQPSLDSKLKALNEINPANEINLQAEGSASTVTEEKLEKQPEYIESGESSADPQLHDDMRDFPVKQQQDENFSETAKVREKYKDTEAAAEAAFQFASQAAAAARAAVKLSKTQDGNSDESQNSSNHERYPFHFDESLLISELRVDGKAATKEKNQKKEVELERGVVRNDDEAKKKQINETVALKHYSGEKSILRTKSDTDGDLSNENKDEFTCKPPNDNLLIQADSTKGKSELEGAQQKDSVEEMATRVSKAGRRPFSMRTRRVDRN >Manes.02G067700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5185524:5192585:-1 gene:Manes.02G067700.v8.1 transcript:Manes.02G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLKAFLGKTFKTSKFRILTKIAISRIAYLEKQHKVRYSQARADVLELLNIGQRERALLRAEHVIREQNVLDAYAMIENYFHLLMERVVILEKNKKCPEELKEAISSLIFAASRCGELPELQKIRKLFASRFGKEFATCAVELRNNCGVNPKIVKKLATRQPSLDSKLKALNEINPANEINLQAEGSASTVTEEKLEKQPEYIESGESSADPQLHDDMRDFPVKQQQDENFSETAKVREKYKDTEAAAEAAFQFASQAAAAARAAVKLSKTQDGNSDESQNSSNHERYPFHFDESLLISELRVDGKAATKEKNQKKEVELERGVVRNDDEAKKKQINETVALKHYSGEKSILRTKSDTDGDLSNENKDEFTCKPPNDNLLIQADSTKGKSELEGAQQKDSVEEMATRVSKAGRRPFSMRTRRVDRN >Manes.14G070400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5861831:5862082:1 gene:Manes.14G070400.v8.1 transcript:Manes.14G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNSTYETAWADQWDNGPDPVYNHQNGKSSSSSSAAKYKQKVGEALGKTKAVASTGMKKVKEGSITGFHWIKDKYQKTTRKN >Manes.04G028650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3393828:3397190:-1 gene:Manes.04G028650.v8.1 transcript:Manes.04G028650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIRIMLATLMHLDSVLLTPPLKGHNYLSWSQSVQVVLWAKKKLGFINGKIKEPAPNSDDYDKWWTADSMVVFWLLNAISKDISDAFVFCKNAKVLWDELKQRYGESNGPMIYKIERDIAGYRQGNNSVTDYYTNLKRKWDELICLAPLPVCCEAGTAITDYDNNRWLMQFFMGLGDEYDNVKNQILLQDLLPSINKAYSMVMSVEKQREVQTDSTASSETAAVMLAQRGSSGSRGSGHARNCFSSRKEDQKKQFCSKCKTAGHTIDDCFLIHGYPDWFIEMQQKRGVDIGKYHRANNVLRVATKESLTSVTPQQKGVDSLSAGMADYLQQEFQKFLMANSSTNENDEGDVRNVNFAGTLLNSVFTSINFNCKDN >Manes.07G004200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:675106:677826:1 gene:Manes.07G004200.v8.1 transcript:Manes.07G004200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLFLSLGLLLAIFSTVNSCPASDLQALLAFKSSLNEPYLGIFNTWTGTDCSKWYGISCDPTTGRVTDINLRGESEDPIFEKAGRSGYMTGFINPSICKLDSLTTLTIADWKGISGEIPECIVSLKSLRILDLVGNKISGRIPMDIGNLQRLTVLNLADNEISGTIPASLTKLGNLKHLDLSNNQVSGELPADFGSLKMLSRALLSRNKLTGSIPSSIAKMNRLADLDLSRNQISGWLPSWLGNMPVLSILNLDSNMISGKIPSSLLSCTGLGILNLSRNSIEGNIPDVFGAKSYFMALDLSYNNLKGQVPRSLSSAKYIGHLDLSHNHLCGPIPVGSPFDQLEGSSFSNNDCLCGNPLKTC >Manes.S030552.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2475276:2475398:1 gene:Manes.S030552.v8.1 transcript:Manes.S030552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.03G182200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30403757:30408182:-1 gene:Manes.03G182200.v8.1 transcript:Manes.03G182200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTKVARMLAPKPMDITVMALLDGGMLRRHKSWLKAEPPTIIVATIASLCQMLEKHIFKLEAMQVLVIDEVDFMFNSSKQVSSLRKLLTIYSSCNNRQTVFASASIPQHRRFLHDCIQQKWTKNDVIHVHVNPIEPMPSRLQHRFLTCSKKQRHQTLLSLLQTDAPKSGIIFVGEQSEKSKKAGNAPPTTLLIDFLKTSYGGCSDILLLEEGMNFNLRAASLTEVKQGGSYILVATDIAARGVDLPETTHIYNFDLPRTAIDYLHRAGRTGRKPFSDEECYVTNIIMPEEHFVLQRYENELMFKCEELIL >Manes.03G182200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30403532:30408182:-1 gene:Manes.03G182200.v8.1 transcript:Manes.03G182200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTKVARMLAPKPMDITVMALLDGGMLRRHKSWLKAEPPTIIVATIASLCQMLEKHIFKLEAMQVLVIDEVDFMFNSSKQVSSLRKLLTIYSSCNNRQTVFASASIPQHRRFLHDCIQQKWTKNDVIHVHVNPIEPMPSRLQHRFLTCSKKQRHQTLLSLLQTDAPKSGIIFVGEQSEKSKKAGNAPPTTLLIDFLKTSYGGCSDILLLEEGMNFNLRAASLTEVKQGGSYILVATDIAARGVDLPETTHIYNFDLPRTAIDYLHRAGRTGRKPFSDEECYVTNIIMPEEHFVLQRYENELMFKCEELIL >Manes.03G182200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30403532:30408182:-1 gene:Manes.03G182200.v8.1 transcript:Manes.03G182200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCIRLEEIGFVVPTDVQRQALPVLFSGRDCILHAQTGSGKTLAYLLLIYSVINPQRSAVQALIVVPTRELGMQVTKVARMLAPKPMDITVMALLDGGMLRRHKSWLKAEPPTIIVATIASLCQMLEKHIFKLEAMQVLVIDEVDFMFNSSKQVSSLRKLLTIYSSCNNRQTVFASASIPQHRRFLHDCIQQKWTKNDVIHVHVNPIEPMPSRLQHRFLTCSKKQRHQTLLSLLQTDAPKSGIIFVGEQSEKSKKAGNAPPTTLLIDFLKTSYGGCSDILLLEEGMNFNLRAASLTEVKQGGSYILVATDIAARGVDLPETTHIYNFDLPRTAIDYLHRAGRTGRKPFSDEECYVTNIIMPEEHFVLQRYENELMFKCEELIL >Manes.03G182200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30403532:30408182:-1 gene:Manes.03G182200.v8.1 transcript:Manes.03G182200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAAPQLLLLSHTLSFFKPNQNRHRLILQSECTHLNLRCSFHFKNTALLSASKFFRPLHAVLNSSTSLISKEEPNAKQKNDTSTLRAICQGHVPEHALSRLEEIGFVVPTDVQRQALPVLFSGRDCILHAQTGSGKTLAYLLLIYSVINPQRSAVQALIVVPTRELGMQVTKVARMLAPKPMDITVMALLDGGMLRRHKSWLKAEPPTIIVATIASLCQMLEKHIFKLEAMQVLVIDEVDFMFNSSKQVSSLRKLLTIYSSCNNRQTVFASASIPQHRRFLHDCIQQKWTKNDVIHVHVNPIEPMPSRLQHRFLTCSKKQRHQTLLSLLQTDAPKSGIIFVGEQSEKSKKAGNAPPTTLLIDFLKTSYGGCSDILLLEEGMNFNLRAASLTEVKQGGSYILVATDIAARGVDLPETTHIYNFDLPRTAIDYLHRAGRTGRKPFSDEECYVTNIIMPEEHFVLQRYENELMFKCEELIL >Manes.03G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2305676:2309266:-1 gene:Manes.03G028500.v8.1 transcript:Manes.03G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEYYQSLPPISKVYGTLCLLFTAAYQLGVFDVVHIALIYRLAFSRFQVWRLITNFFFLGKFSINFGIRLLMIARYGVQLENGPFNRRTADFLWMMIFGAFSMLVLAAIPIFWTPFLGISLVFMLVYVWSREFPNAQINIYGLMTLKAFYLPWAMLALDVIFGSPIMPDLLGIIAGHLYYFLTVLHPLATGKTLLKTPRWVHKLVARWRIGAPAPTNNRVEPERTTGVAFRGRSYRLSD >Manes.01G243900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40471680:40476302:1 gene:Manes.01G243900.v8.1 transcript:Manes.01G243900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVKAVPPADLNRNTEWFMYPGVWTTYILMLFFSWLLVLSIFGCSPGMAWTVVNLAHFCITYQFFHWKKGTPFADDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFFNTLAVIVLVVAKFPNMHKVRIFGINADT >Manes.02G133800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10213998:10218474:-1 gene:Manes.02G133800.v8.1 transcript:Manes.02G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITKSKQASLYSQLLFNRTTIFSTSASSSFAPAAFSSRLNLNCPTHQQFFVPSCYSTAHNPVFPIQQCSFNPIFNSKDIVQSFKERLQLGNTEYLDRIFEILSAQHKVDDLALSQLGLRLTESLVRQVLYYGNSKNDIFSCLKFFDWAGRQHGFRHTRSTFHAIFKILSKAKLMRLLMDFLDNDMVFGFLNEKLGSFGFYSTLVIGYSVAGKPQLALQMFGKMRFQGFDLDYLGYHVLLNSLVEENCFDAVGSISRQISLRGFENHVTHCIVVKSLCKQKLLDEAKSYLRRVNLLGDGYLHGDAVGVLVTALCQNDQFDKAGQVVEEFRELGVLPIGPVYNVWLRNLVQAGKIDSALEFLQRKKSLECYVPEVFRYNALLWRLLKENRLTEACDLLMEMMEGGVSADKVTLNAALCFFCKAGMVDVAVDLYNSKSEFGFSASSMACNYLIDSLCKEGKIDEAYNMLRDCTEQGYFPGRRTFYILADAFCRESKLDIMTQLVLAALERNFMPSDSLYNKFISALCSAGRPKDGYLILNELNRMNIVATRTTYSNLIHGFIKLKKGDIAVRLLVEMQNKGHMVNRTLFRAVVCSLYDMENPEIQFFKLLEMQLSCHEPDCKIFNFFIDGAGHAKKPELARQVFEMMQRSGIEPNLRSDVLMLQSYLKSERISDAINFFDALRQRREIGSKLYETMVVGLCAVKKVNLGLHFFREFQSNGRFPTGECYEELIKLLCWNKEYKMVVHLIIDLEKAGRPVTSFIGNTLLLHSLRSLELYDSWVEVREVQKFPNLSVLGQLIGAFSGRIQMSHNINWEQVIELCFPLNLYTYNMLLRRLGMDKMDDACELFKKLCQKGFKPKGWTYNILVHGLHKHARTDETRRLADNMFRKCIGPNNSCTG >Manes.02G133800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10214094:10218403:-1 gene:Manes.02G133800.v8.1 transcript:Manes.02G133800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITKSKQASLYSQLLFNRTTIFSTSASSSFAPAAFSSRLNLNCPTHQQFFVPSCYSTAHNPVFPIQQCSFNPIFNSKDIVQSFKERLQLGNTEYLDRIFEILSAQHKVDDLALSQLGLRLTESLVRQVLYYGNSKNDIFSCLKFFDWAGRQHGFRHTRSTFHAIFKILSKAKLMRLLMDFLDNDMVFGFLNEKLGSFGFYSTLVIGYSVAGKPQLALQMFGKMRFQGFDLDYLGYHVLLNSLVEENCFDAVGSISRQISLRGFENHVTHCIVVKSLCKQKLLDEAKSYLRRVNLLGDGYLHGDAVGVLVTALCQNDQFDKAGQVVEEFRELGVLPIGPVYNVWLRNLVQAGKIDSALEFLQRKKSLECYVPEVFRYNALLWRLLKENRLTEACDLLMEMMEGGVSADKVTLNAALCFFCKAGMVDVAVDLYNSKSEFGFSASSMACNYLIDSLCKEGKIDEAYNMLRDCTEQGYFPGRRTFYILADAFCRESKLDIMTQLVLAALERNFMPSDSLYNKFISALCSAGRPKDGYLILNELNRMNIVATRTTYSNLIHGFIKLKKGDIAVRLLVEMQNKGHMVNRTLFRAVVCSLYDMENPEIQFFKLLEMQLSCHEPDCKIFNFFIDGAGHAKKPELARQVFEMMQRSGIEPNLRSDVLMLQSYLKSERISDAINFFDALRQRREIGSKLYETMVVGLCAVKKVNLGLHFFREFQSNGRFPTGECYEELIKLLCWNKEYKMVVHLIIDLEKAGRPVTSFIGNTLLLHSLRSLELYDSWVEVREVQKFPNLSVLGQLIGAFSGRIQMSHNINWEQVIELCFPLNLYTYNMLLRRLVENVVGQRPGYFICYFCTWHKLQGKCPDAAI >Manes.18G063438.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5613779:5616341:-1 gene:Manes.18G063438.v8.1 transcript:Manes.18G063438.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRQRMMIALMELGFIPILWPTQILAVEPCLSICGDVNVQFPFGIGKGCYMDKSFEVTCNYSFTPPKPFLTSINMELLDLSTTGQVQVSNPVIYSDCSNKASNTTTVSLLGTPFVFSNSSSRFTALGCDNYARFMEDGGNIVGGCLSICPSGANASGCYGINCCQATIPPYVQFFEANMTSPFNSMADRSSCKSAFMVDQNWFAPRSSRSHDDLNLEDHVPAVLDWAADQGYCDISREPNINCTSSYCWKKLHENQVCVCRGCEDIVSCTDPRNYRCSLYCMYTPGGYNCSCPFGYERLSSSNRCYPYGRAYKKSRINIIIIGCVSGLGLLFFVAGLWLLYKLLKRRKAMKLKQKFFKRNGGLLLQQQLSSTENNVEQTKVFTSKELEKATDHYHVNRILGQGGQGTVYKGMLTDGRVVAIKKAKVIDEDKLEQFINEVLILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQYIHNQNEELPLTWEMRLGIATEVAGALSYLHSAASMPIYHRDIKSSNILLDEKFRAKVADFGTSKSISIEQTHVTTRVQGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLTGRKPISSSLSAEQRSLATYFIFLMEENRLFEIIDDRVMKEGGKEEIIAIAKLARKCLNLNGKKRPTMKTVAMELEGIRASHGASSAIEQDYEEVDYIVGDYAAAWDVASSSTGSHSISIPTRSDLQPLISGASNPGPMKQ >Manes.17G119950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34920385:34921197:1 gene:Manes.17G119950.v8.1 transcript:Manes.17G119950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVKSAQPNITDAAFDEKLEREFVQWFYKYAHQLQNNVQNQLIQDLAKGPLRSVTTFNGYSVNGCKFNTINGSSSSNSINFGVCIKVSNYSSEESDYYGQLVEVLRLEYPGLPIKRTILFKCDWFDPTPNTGTKVHRQYRIVDINNKRRYSKYEPFVLASQAIQVIYASYPSKRRDKNDWWAVMKVKGRPVVEVSQTSSKTYEPFQEDDLDYAEVNMDDINQQHCLNDPSGGMTEIHDDVSTEEDEFLSDPDSNADADGDNKFNSYESD >Manes.15G108900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8723627:8730024:-1 gene:Manes.15G108900.v8.1 transcript:Manes.15G108900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAKLVSILLLLLCLVRRGETDGILPEDEVYALRAVISSLGLAPAPSISSSYCAWNPGRAYSIYIICDCNYDNGTICHITRITTASIDLSGGGIHESISQLKYLEFLDLGNNQLSGSIPDTIGDLQALQTLRTLCFTNELYSNLYKNLLTGPIPPSLGKLSSLKELRLYRNLLSDEIPKQLGSLSKLETLRLEQNQLSGHIPLELGNLLSLKQLTLDENRLNGTLPGELGNLGYLLEFDVSSNNLTGELNQSFARLRSLNFFSVAGNNLTGQIPNYIAKWSGLVSLNLIGNEFEGKLPEEIFNMENLEVLKVSDLRNTGFSIPKEAKLPKIYNMVLRNCSINGSIPDDIGKWPSLRYLDLSFNNLTGEIPGKFKDLKLSTLFLNRNMLNGTLPRWISDAVDTRLDLTYNNFSKPLTEQKNPVQQLNISLSRDDILAMRDEHCGDKSKYNSLFINCGGPKLKEEGHEYDEDNSTSTFGKDRDGKWVYTCSGHFLSTTSNSSDYLKNMTCGVSENSLYRTGRLCPVALSYYAFCLHDDQYNVTLHFAETVYTKEEDYSSLGKRIFDVYIQGKCRQKDFEIKGWSGGPNQEMTLEFPYTNVQDNLLQIHLYWAGKGSLNNPPALNGPLLSAISVIPSKPPGKKLTPGQKAVIIMVSVFTPLLLLAFVWKMGWLPSREWDEKKIRVQTKDGKERYVSLREIIKGTGNFDLQKKIGEGRFGEVYRGDLEVEGENISLAVKRISQNLSKKGKKKSSESQQEVENDTRRQIFCLSLSHENLVPLLHHHCEQGLHLLIYEFMENGSLDQALFSNDSDTDPKPVKKIKLDWKARLGICLGIAKGLEYMHQKKHMEIIHGNVNATNIMLDGDLNAKLSDFGLACLYPDDPIFHYRQKNSEQLQHLAPEWTDLNMKKTTKADVYSFGVLMLEIICGRKVAEYDPSSKSTKSLLDDVSSAKEMILRLVDKKLTMSSNKERRQAQESFELARDCIKVSANDRPTMSHIVERLHEFTDEGDRSASQVDTHSIEPQAESLKEFTDQGDQSASQVDTQSIQPQT >Manes.15G108900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8723627:8730024:-1 gene:Manes.15G108900.v8.1 transcript:Manes.15G108900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAKLVSILLLLLCLVRRGETDGILPEDEVYALRAVISSLGLAPAPSISSSYCAWNPGRAYSIYIICDCNYDNGTICHITRITTASIDLSGGGIHESISQLKYLEFLDLGNNQLSGSIPDTIGDLQALQTLNLYKNLLTGPIPPSLGKLSSLKELRLYRNLLSDEIPKQLGSLSKLETLRLEQNQLSGHIPLELGNLLSLKQLTLDENRLNGTLPGELGNLGYLLEFDVSSNNLTGELNQSFARLRSLNFFSVAGNNLTGQIPNYIAKWSGLVSLNLIGNEFEGKLPEEIFNMENLEVLKVSDLRNTGFSIPKEAKLPKIYNMVLRNCSINGSIPDDIGKWPSLRYLDLSFNNLTGEIPGKFKDLKLSTLFLNRNMLNGTLPRWISDAVDTRLDLTYNNFSKPLTEQKNPVQQLNISLSRDDILAMRDEHCGDKSKYNSLFINCGGPKLKEEGHEYDEDNSTSTFGKDRDGKWVYTCSGHFLSTTSNSSDYLKNMTCGVSENSLYRTGRLCPVALSYYAFCLHDDQYNVTLHFAETVYTKEEDYSSLGKRIFDVYIQGKCRQKDFEIKGWSGGPNQEMTLEFPYTNVQDNLLQIHLYWAGKGSLNNPPALNGPLLSAISVIPSKPPGKKLTPGQKAVIIMVSVFTPLLLLAFVWKMGWLPSREWDEKKIRVQTKDGKERYVSLREIIKGTGNFDLQKKIGEGRFGEVYRGDLEVEGENISLAVKRISQNLSKKGKKKSSESQQEVENDTRRQIFCLSLSHENLVPLLHHHCEQGLHLLIYEFMENGSLDQALFSNDSDTDPKPVKKIKLDWKARLGICLGIAKGLEYMHQKKHMEIIHGNVNATNIMLDGDLNAKLSDFGLACLYPDDPIFHYRQKNSEQLQHLAPEWTDLNMKKTTKADVYSFGVLMLEIICGRKVAEYDPSSKSTKSLLDDVSSAKEMILRLVDKKLTMSSNKERRQAQESFELARDCIKVSANDRPTMSHIVERLHEFTDEGDRSASQVDTHSIEPQAESLKEFTDQGDQSASQVDTQSIQPQT >Manes.16G048915.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14784287:14788851:-1 gene:Manes.16G048915.v8.1 transcript:Manes.16G048915.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFQQRVEQSQILHLKGDRKDFDFVKSSLSAKGFDVVYDINGREADEVAPILDALPKLEQYIYCSSAGVYLKSDLLPHCETDVVDPKSRHKGKLEIESLLESRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPIPNSGIQITQLGHVKAAGFPEPEIIHYNPKEFDFGKKKAFPFRDQHFFASVDKAKHVLGWKPEFDLVEGLADSYNLDFGKGTYRKEADFSTDDLILSKSLVLQA >Manes.16G048915.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14784267:14787958:-1 gene:Manes.16G048915.v8.1 transcript:Manes.16G048915.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVSKGFDVVYDINGREADEVAPILDALPKLEQYIYCSSAGVYLKSDLLPHCETDVVDPKSRHKGKLEIESLLESRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPIPNSGIQITQLGHVKAAGFPEPEIIHYNPKEFDFGKKKAFPFRDQHFFASVDKAKHVLGWKPEFDLVEGLADSYNLDFGKGTYRKEADFSTDDLILSKSLVLQA >Manes.13G085000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13924307:13949294:1 gene:Manes.13G085000.v8.1 transcript:Manes.13G085000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKKSSRKPVSLNDSHYRFLYDLSAPPKNPSSFEEEEESMNLGGRRRLCKASATGTQRGVDDDDDHHQIHMFSGITDFNSSSEEKLTKVKIEGRRRLCKVSSRDKENGGNVAPMLDEPSVPAITDFNFPFEKETKVKIEGRRRLCKASSREKENAGRVAPELNEPTFSDITDFDSPLPSGATTHANSNQAANEIRYILDDLSSRLDLLSIDKKRDIHNVKAADDCSQLVGVGDREKKVDVPQYASADSSFSGTSYPSDSSSEVNKIVGGCIESVVDDYDEVHMLSKSRADAFLDKLHRANNYSVGLMENEYERVDDKLEAGGHSYMSNVEKNEENVLSRESQGDNHATREWKTKTSTQGPKKNESKRVQGRLSSARQSYVPILREEEDDDCFVLSGKKVVEEAGRRDSKCKESSDAPCAIDLLDDYTNDSVLEDESSFSLAGPRSTFELPSKIAKMLYPHQRDGLKWLWSLHYQGKGGILGDDMGLGKTMQICGFIAGLFHSRLIKRALVVAPKTLLPHWIKELSTVGLSGETREYFGTSSKARQYELQYILQHEGILLTTYDIVRNNAKSLRGDGYADEESEGGYIWDYMILDEGHLIKNPSTQRAKSLLEIPSAHRIIISGTPIQNNLKEMWTLFNFCCPTLLGDYKWFKEHYEHPILRGNDKSASAREKHIGSAVAKELRERIQPYFLRRLKSEVFKEDDSTTATLSKKNEMIVWLRLTRCQKQMYEAFLQSELVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLNPEDAGVAEKLAKQVADVAERVEFQEKHDNVSCKISFILSLLDDLIPKGHNVLIFSQTRKMLNLIQESLLSNGYKFLRIDGTTKASDRLKIVNDFQEGFGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVLVYRLMTCGTVEEKIYRKQIFKGGLFKSATEHKEQIRYFSQQDLRELFSLPKQGFDISLTQQQLHEEHDHQYKMDESLGAHVNFLESLGIAGVSHHSLLFSKTACVQVVNIEEEEIRRKGNASVGSSSSYSLESNNINGAVHAFNPKDVKLNKKSSSPDSVGKLTESEIKERISRLSKLLGNKATVSRLPDQGAKLQKQISELNLELNQLRMEKTTQNEVIDLDDLTGDLQRVLNV >Manes.13G085000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13924307:13949294:1 gene:Manes.13G085000.v8.1 transcript:Manes.13G085000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKKSSRKPVSLNDSHYRFLYDLSAPPKNPSSFEEEEESMNLGGRRRLCKASATGTQRGVDDDDDHHQIHMFSGITDFNSSSEEKLTKVKIEGRRRLCKVSSRDKENGGNVAPMLDEPSVPAITDFNFPFEEKETKVKIEGRRRLCKASSREKENAGRVAPELNEPTFSDITDFDSPLPSGATTHANSNQAANEIRYILDDLSSRLDLLSIDKKRDIHNVKAADDCSQLVGVGDREKKVDVPQYASADSSFSGTSYPSDSSSEVNKIVGGCIESVVDDYDEVHMLSKSRADAFLDKLHRANNYSVGLMENEYERVDDKLEAGGHSYMSNVEKNEENVLSRESQGDNHATREWKTKTSTQGPKKNESKRVQGRLSSARQSYVPILREEEDDDCFVLSGKKVVEEAGRRDSKCKESSDAPCAIDLLDDYTNDSVLEDESSFSLAGPRSTFELPSKIAKMLYPHQRDGLKWLWSLHYQGKGGILGDDMGLGKTMQICGFIAGLFHSRLIKRALVVAPKTLLPHWIKELSTVGLSGETREYFGTSSKARQYELQYILQHEGILLTTYDIVRNNAKSLRGDGYADEESEGGYIWDYMILDEGHLIKNPSTQRAKSLLEIPSAHRIIISGTPIQNNLKEMWTLFNFCCPTLLGDYKWFKEHYEHPILRGNDKSASAREKHIGSAVAKELRERIQPYFLRRLKSEVFKEDDSTTATLSKKNEMIVWLRLTRCQKQMYEAFLQSELVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMDSMLNPEDAGVAEKLAKQVADVAERVEFQEKHDNVSCKISFILSLLDDLIPKGHNVLIFSQTRKMLNLIQESLLSNGYKFLRIDGTTKASDRLKIVNDFQEGFGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVLVYRLMTCGTVEEKIYRKQIFKGGLFKSATEHKEQIRYFSQQDLRELFSLPKQGFDISLTQQQLHEEHDHQYKMDESLGAHVNFLESLGIAGVSHHSLLFSKTACVQVVNIEEEEIRRKGNASVGSSSSYSLESNNINGAVHAFNPKDVKLNKKSSSPDSVGKLTESEIKERISRLSKLLGNKATVSRLPDQGAKLQKQISELNLELNQLRMEKTTQNEVIDLDDLTGDLQRVLNV >Manes.09G053400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8818531:8819169:1 gene:Manes.09G053400.v8.1 transcript:Manes.09G053400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKYVHFASSLLCILLELLL >Manes.09G053400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8818531:8820361:1 gene:Manes.09G053400.v8.1 transcript:Manes.09G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Manes.05G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4126972:4128306:-1 gene:Manes.05G049700.v8.1 transcript:Manes.05G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVCVLQKPFPLSILLLVFLFNSCYCFHPKSLNFSIAQSASDWTLAGATWYGSPDGAGSDGGACGYQDAVDRPPFSSMIAAGGPSLFESGKGCGACYEVKCTSNSACSGKPATVVITDQCPGGPCTSESVHFDLSGTAFGAMAVSGQADQLRNAGVLQIQYKRVQCNYPGRTLSFHVDSGSNPYYFATLVEYEDGDGDLASVEIKQALPSDSWVKMQQSWGAVWQLNSGSVLHAPLSLRLTTLKSGESIVASDVIPVGWQPGKTYRSVVNF >Manes.07G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:501978:506606:1 gene:Manes.07G002200.v8.1 transcript:Manes.07G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKHNAKKVSYISVPSEVINSLSSSSLQTLLLSPKKPSRNRFLSWSSYKSPRVWFFGLFLFGFLGMLKLWFDLDPLVPFYPYPCLTSQTQGNSFPNGYSRSQLVVPSNGQKNKEPGPVNGMGQKSVVALASSSEKSGESAAEDEPLKIIVQSKPLLSNGYGKSDGDGKESEFWMQPDGLGYKPCLDFSSEYRRGSEVIVKDRRKYLLVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLEHFKGVLAKDVRIVSSLPSTHIMTRPVVERRTPLHVSPQWIRARYLKRLNREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPSIVELGNRLAERMRSKGPYLALHLRMEKDVWVRTGCLPGLSPEYDEMINNERKQRPELLTGRSNMTYHERKLAGLCPLNALEVTRLLKALGAPKDARIYWAGGQPLGGKEALLPLTDEFPHFYNKEDLALPGELEPVANKASLMAAIDYIVSEKSDVFMASHGGNMGHAIQGQRAYAGHKKYITPNKRHMLPFFLKSSLPEPEFNRIIKDLHSDSLGQPELRNNKAGRDVTKYPVPECMCKDSHTHTSK >Manes.01G066150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26640248:26640848:1 gene:Manes.01G066150.v8.1 transcript:Manes.01G066150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSFPFPFIFFFLHSLLSSFFYSPFKISFTPLLDCHCCNYCYNWILHLGRR >Manes.18G145610.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28872033:28877106:1 gene:Manes.18G145610.v8.1 transcript:Manes.18G145610.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRKNNKEVRWPPKLNPEKAERRDMTKYCHFHEDHRHTMKEFGPADKTIGFFQNNIIIVNIHLNRYEMRRVLVDTGSSINLLTSNVFNKLGLDKNNLVKVFYPLVGLGDKTVAVLSTINLPLVFGDGKHKRELYTEFIVVHILLAYNVILIRPILNCHDIFISMGAICLKLPAPEPSKL >Manes.14G031700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2835705:2839010:1 gene:Manes.14G031700.v8.1 transcript:Manes.14G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLWCFLCIITFIQLFKFVISKRELLPTPPLPILPLPSFSQLKWQQRELIMFFHFGVNTFTDSEWGTGHENPAIFNPVGLNAKQWVDTAAEAAVSLVILTAKHHDGFCLWPSKYTRHSVASSPWKNGHGDVVQELVNAAKNHGGVDVGLYLSPWDRHDRRYGHNLPYNEYYLAQLQELLSKYGDVREIWFDGAKGSNAPNMSYYFSSWFAMVKELQSSINIFSDAGPDVRWVDNENGFAGTTSWSTINRTSLSIGNGSIMDYLNTGDPKGTDWLPAECDVSIRKGWFWHKSESPKKLSKLLDIYYKSVGRNCVLLLNVPPNSTGLISDTDVQRLKQFRNAIDTIFTSNLAEKCSIKASSQRGGKDGDFGPENVIDRDHLRTYWVPRDEEKEGSWIEIRCNEGLRFNVIRIQEAIGLGQRIKRHEIYVDGKKVGKGTTVGYKRLHKLEEKVVKGQIVRIKFLKSREVPVISSIGLHYDPF >Manes.14G031700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2835705:2839010:1 gene:Manes.14G031700.v8.1 transcript:Manes.14G031700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLWCFLCIITFIQLFKFVISKRELLPTPPLPILPLPSFSQLKWQQRELIMFFHFGVNTFTDSEWGTGHENPAIFNPVGLNAKQWVDTAAEAAVSLVILTAKHHDGFCLWPSKYTRHSVASSPWKNGHGDVVQELVNAAKNHGGVDVGLYLSPWDRHDRRYGHNLPYNEYYLAQLQELLSKYGDVREIWFDGAKGSNAPNMSYYFSSWFAMVKELQSSINIFSDAGPDVRWVDNENGFAGTTSWSTINRTSLSIGNGSIMDYLNTGDPKGTDWLPAECDVSIRKGWFWHKSESPKKLSKLLDIYYKSVEKCSIKASSQRGGKDGDFGPENVIDRDHLRTYWVPRDEEKEGSWIEIRCNEGLRFNVIRIQEAIGLGQRIKRHEIYVDGKKVGKGTTVGYKRLHKLEEKVVKGQIVRIKFLKSREVPVISSIGLHYDPF >Manes.12G114433.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31518807:31540983:1 gene:Manes.12G114433.v8.1 transcript:Manes.12G114433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNCRKQLILLCGKIWLEMDSYPDYHRQFHVDPHLSAYLHPHL >Manes.02G203700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17551139:17553664:1 gene:Manes.02G203700.v8.1 transcript:Manes.02G203700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATQRAQVRRTAQFHPTVWGDYFLKHVSDNEMQSVWTEGAKVLKEEVRRMLVTSVTGYKPSEKLYLVDAIQRLGISYHFKREIEEALKQIFQDFEDEDVNLGIVALRFRLLRQQGYNVSSDVFNKFKDGEGNFKEDLISDVQGLLSLYEASYLSVQGEDILDEALEFTKTHLTKATQFGSPLADQVSYALRWPTRRGLPKKESRDYFSIYQQDDAHVKPLLKLAKLDYNIVQTLHQRDMKIITKWWMDLDFTTKLPFARDRVIECSFWALGTFPEPEYVFARQVLSKAVAMLSVMDDIYDVHGTIEELELFTKVVERWDISMKDQLPDYMKVYFEAFLDFYAEIEAVTTKEGRSFCIHYAKEAVKKQVRAYITEARWFNSDYVPTLEEYISNGVISSTYPILITLSFCGMGEVASKDTFDWLFTVPKLLYAASGLARLIDDIRSHEFEQERGHVASVVECYMKEHGVSQKQAYDELNKIITNLWKDLNEQLLKENAHLPKPVLMCILNIVRVMDVVYKDIDGYTNSDTSLKDILVTFLVNPVAV >Manes.06G048501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15179571:15179792:-1 gene:Manes.06G048501.v8.1 transcript:Manes.06G048501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLWILNSISKDLVGSFFYATTAREHWLELGERYGESNRSMIYQIKRRIASILQENLSVMTYYNKFVISYRK >Manes.11G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3408456:3412514:1 gene:Manes.11G035000.v8.1 transcript:Manes.11G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVRRTPKSSGSRSLFFQDLASPVSTHKGKFSTPGQAAAVSALWRENFSGSDLPPPPMYTLEDRSDFSPESGIPDYPLSPEVKSDPRSPIQNSGRDFMTPAKSKSEASTSFALMSDHQNQQGSAWWSSTKVSSSEQEDKGKGSPVEGVVQPGALITLPPLREVARPERQRNCLPAGNLDEEEWVTVYGFSPGDTNLVLREFEKCGVILKHVPGPRNANWMHILYQSRSDAQKALSKNGMQINGVLIVGVKPVDPMQREALNERINNPGFMTLPPPPSSTSSDLKTIRVSSRPYYLQNGSGSAHQSGGAIASPTKSIVSKIFDVMFGI >Manes.01G110100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30907619:30908771:-1 gene:Manes.01G110100.v8.1 transcript:Manes.01G110100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPGQRRSLSSLPLGLFPFGCTMKRAKVSSVYEDERRNRSREEKDYSSRRAGIQAKARKHGHKENAKPHYFVRGRAKRTASMLEKKKSGQGYNEEAVAAAKGVMLEKWEGEPEWWEEWPLSWSYEEQWMWSGTSIWDFRRYWEVMDGLYFVNGGDQYQDQEEAWKDCDDDIWNLRAITDITNP >Manes.06G042600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13172061:13178883:-1 gene:Manes.06G042600.v8.1 transcript:Manes.06G042600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIIMKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFFMSALDITADCCNWKRVDCNATTGHVVQLSLDGVRSTEGDYWYLNASLFLPFQQLNSLSLLGNNIAGCIKNEGFERLSALGNLEVLNLGDNSFHKSILSSLSGLSSLKYLYLYGNRLKGIINIEEFNHLISLKDLSLSDNAIEGFISSNGNEELKLSNLEYLSLSSNHVNISLLSPLTRLPSLKYLDLEYNQLEGPFNFKELGKSKLVTLNLSGNNITKFVDSREIRASNNISELYLDDITITKGSKLLESLVAFSHLKSLSLESCKFEGAILNQGLPHLKDLEDLKLDFSIINDNFLQKVEVMSSLKKLSLHGCSGLNDTKFLNQGVCKLKQLQELDISSNYIIGNLPSCLANMTFLQSLDLSSNNFVGNISLSPLTDLTNLEHLDLSHNLFQIPISLGLFFNHSKFKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDSYACIEAFPKFLYYQHNLRVASLQSLKLRGRFPYWLLQNNTKLEELYLNNNSLSGPLQLPLHSHLNLSFLDISDNLFHGIIPPDIGTHLSRLELVDLSKNDFNGSIPSFRNMSLLQILDLSNNDISGSLPSDFSCSHMIEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGHNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFARLSDHEKIEFTTKRNIYSYQPSMLYYFSGIDLSCNKLIGQIPIQIGNLNEIHVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNNLSGRTPEKVKQFATFDESSYRDNHLLCGWPLQKDCDPPSISRSSNDAEESNCFIDMEDFYVSFGVAYVMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLGKFKLF >Manes.18G111800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11189647:11208903:-1 gene:Manes.18G111800.v8.1 transcript:Manes.18G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELGELSESMRQAAALLADEDVDEKPSSTSRRTSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLQKDGSLSSKSILLQIDNKSQQVSASALRHSLQERLSKGASGKSRDEIYLRLRTSTAPPLKLIDLPGLDQRIMDASMVSDYAEHNDAILLVIVPAAQASEIASSRALRVAKEYDGEGTRTVGVISKVDQAASDQKALAAVQALLLNQGPAKTADIPWVALIGQSVSIASAQSGSDSALETAWRAENESLKSILNGAPQSKLGRIALVDALAHQIRKRMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVESAEGTRAIALELCREFEDKFLLHITTGEGAGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVEIVSASANATPSLGRYPPFKREVVAIATTALEGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKGHEAEQAILNRATSPQTGGNLKSMKEKSNQSEKEVQEASGLKTAGPEGEITAGFLSKKSAKNDGWSKRWFVLNEKTGKLGYTKKQEERIFRGVITLEECNIEEVPDDGEPPAKSSKDKKANGPASTAPSLVFKITSKVPYKTVLKAHSAVVLKAETMADKVEWINKISKIAQSSKGQIRNASPEGGSTSTLRQSLSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSTARIEELLQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNQAAAASSWSNGSAESSPKTNGPSGDDWRSAFDAAANGSVDYSTSRSSSRSSSRSLSNGHSRQYNGSAQNGDISPGSNSSSRRTPIRMPPAPPSSGSSGYRF >Manes.S029916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:660461:660583:1 gene:Manes.S029916.v8.1 transcript:Manes.S029916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.11G113900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:26753525:26755324:-1 gene:Manes.11G113900.v8.1 transcript:Manes.11G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSQHIVMLPFMAHGHLIPFLALARQIRRRTGFTVTIANTPFNIQHLRSTMNSSSSSSPPEPSICLVELPFSVSDHGLPANTENSENLPLDLIGKFFAASTSLRNPVHGLLSDIVAKEGKPPLCVISDIFFGWADEVAKSVGTVNLSFSTGGAYGSLAYISLWLNLPQRKADSDEFHVPGFPDSYRFHITQLHQFLRNADGTDSWSKFMQTQISLSLQSFGFLCNTTEEIEPLALELLRKYIKLPVWSIGPLLPPDVLNGSSLSGSGSGSSITIQRAGKKPGISTERCLEWLDLQSPVSVLYISFGSQNCITLSQMIEMAIGLEESTKPFIWVIRPPVGFDRKAEFKAQWLPEGFEERINSRKQGLLVRNWAPQLEILSHKSIGAFLSHCGWNSVLESLSQGVPIIGWPLAAEQAYNSKMLVEEMGVSVELTRGLQSTITWKEVKEVVDLVMEPKGKGDHMRKKALEISMLMRKSVKEEGEEIGSSVKALDDLVNILLSKRQENKPIA >Manes.13G013492.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2303492:2305902:-1 gene:Manes.13G013492.v8.1 transcript:Manes.13G013492.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVSSAKTSAEAWNKIKSSCANRNAMRILSLREKLANLKRENRSVSEYLQTVKAISEDLAIFGSSISEIDLIIHVLNGVGSDFRDIAAAEKLLSHELYLKRINSIDDPEPVVAHNVRKNSNNRQNFSNNCDADLNPSAGDGPFWGRYKEYSSAQNSSRQYSGQTTNKRGSSGSSILCQICELPGHGAKRCFRAKEFFKDNFPQPRANQVTAAKPQNWILDTGASHHVTHDLQNLSIHAPYEGLDELHLTDDTGLRITHVGSKFISFPSKTYSLDNVFCVPHATENSISVSAFCLANNVSIEFFFDCFLVKDLVTGEILTKGRIKDSLYHLSTVPKARSPFAVDSGCRSHKR >Manes.05G159300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27196434:27201861:1 gene:Manes.05G159300.v8.1 transcript:Manes.05G159300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGRVLLCFVLILLFIQCSLAQQGFLNSSIEYTALLDLRSSLGLRGTDWPIKSDPCSKWNGIRCKNGRVTGINISGFRRTRIGRSNPRFSVDSLVNLTFLESFNASGFSLPGSIPSWFGYRLDSVQVLDLRSTSVTGPIPDSLGNLTRLNALHLSGNELTGSIPSTLGQLTQLSVLDLSRNSLTGQLPTTFAFLSNLSRLDLSSNYLTGSIPAALGNISLEALNLSDNNLADSIPAELGNLSQLVELNLTKNSLSGSLPVELAGLKNLVRMEIGDNGLEGGLSDDMFSSLDNLQVVVLSRNKLDGALPKTLLSLPNLRVLDVSYNNFTGDLSSFSSNSNVSGVLFNLSHNMLYGTLVFSFGNFSLIDLSANYIQGKVPDGSQSNISLHRNCLQAVSNQRSLGDCKLFYAERGLSFDNFGAPEPTEPPLPEPVPASKKRSKRWIYILVGLFGGIGFIVILVLIIVVVLRKCDKSIANQAEGDSPSLPKDPVILSGLRDSFTYEQLLCSTGEFGDAHLIKSGHSGDLFWGFLVGGTPIVVKKVNLHSLKKESYMMELELFSKCSHTRLVPLLGHCSENENDKLLVYKYMPNGDLASSLYRVSDFEDDSVQSLDWITRLKIAIGAAEGLSYLHHECNPPLVHRDIQASSILLDDKFEVRLGSLSEVSIQEGDSHHKVLTRFLRKPQSSEPGPSGSGSSSVTCAHDVYCFGKVLLELITGKLGISKTDDVNTREWLEHTLACISIYDKELLMKIIDPSLILDEDLLEEVWAMAIVAKSCLNPKPLKRPPMKYILKALENPLKVVREESYSSGRLRTTSSRRSWSAAFFGSWRHSSSENAPFPGHTIREGVCGSKQTARVGSHGSGGLEQTYSNKRLSNEIFPEPLDMQDVEQQDEH >Manes.05G159300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27196434:27202666:1 gene:Manes.05G159300.v8.1 transcript:Manes.05G159300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGRVLLCFVLILLFIQCSLAQQGFLNSSIEYTALLDLRSSLGLRGTDWPIKSDPCSKWNGIRCKNGRVTGINISGFRRTRIGRSNPRFSVDSLVNLTFLESFNASGFSLPGSIPSWFGYRLDSVQVLDLRSTSVTGPIPDSLGNLTRLNALHLSGNELTGSIPSTLGQLTQLSVLDLSRNSLTGQLPTTFAFLSNLSRLDLSSNYLTGSIPAALGNISLEALNLSDNNLADSIPAELGNLSQLVELNLTKNSLSGSLPVELAGLKNLVRMEIGDNGLEGGLSDDMFSSLDNLQVVVLSRNKLDGALPKTLLSLPNLRVLDVSYNNFTGDLSSFSSNSNVSGVLFNLSHNMLYGTLVFSFGNFSLIDLSANYIQGKVPDGSQSNISLHRNCLQAVSNQRSLGDCKLFYAERGLSFDNFGAPEPTEPPLPEPVPASKKRSKRWIYILVGLFGGIGFIVILVLIIVVVLRKCDKSIANQAEGDSPSLPKDPVILSGLRDSFTYEQLLCSTGEFGDAHLIKSGHSGDLFWGFLVGGTPIVVKKVNLHSLKKESYMMELELFSKCSHTRLVPLLGHCSENENDKLLVYKYMPNGDLASSLYRVSDFEDDSVQSLDWITRLKIAIGAAEGLSYLHHECNPPLVHRDIQASSILLDDKFEVRLGSLSEVSIQEGDSHHKVLTRFLRKPQSSEPGPSGSGSSSVTCAHDVYCFGKVLLELITGKLGISKTDDVNTREWLEHTLACISIYDKELLMKIIDPSLILDEDLLEEVWAMAIVAKSCLNPKPLKRPPMKYILKALENPLKVVREESYSSGRLRTTSSRRSWSAAFFGSWRHSSSENAPFPGHTIREGVCGSKQTARVGSHGSGGLEQTYSNKRLSNEIFPEPLDMQDVEQQDEH >Manes.04G089000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29448889:29451675:1 gene:Manes.04G089000.v8.1 transcript:Manes.04G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRFCVLFLCFIGFISRPPVEAAVKRYQFDIQVRKVSRLCHAKPIVTVNGRFPGPTIYVREGDRVLVNVTNYAQYNMSIHWHGLKQFRNGWADGPAYVTQCPIKTGQSYTYDFNVKGQRGTLWWHAHIFWLRATVYGAIVIMPKLGNPVPFPRPQMEEVIILGEWWNYDVEEIVKQGNKLGLPPYASDAHTINGKPGPLFPCSEKHTFAMEVEQGKTYLLRIINAALNDELFFAIAGHKMTVVEIDAVYTKPFTTEAILIAPGQTTNVVVQATQSPGRYFMAARPFMDAPLSIDNKTATAILRYKCIPNTVFPLLPQLPAPNDTAFALSYNSKLRSLNTRQFPANVPLKVDRHLFYTIGLGMNPCSSCLNGTQLTASLNNITFVMPQVGLLQAHYFNINGVFTTDFPDNPPTPFNYTGAPLTANLGTTLGTRLSKIAYNSTVQLVLQGTNLLIVESHPFHLHGYNFFVVGTGIGNFDPKKDPAKFNLVDPPERNTVAVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTALVVENGKGSDQSVLPPPKDLPSC >Manes.13G048500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4925216:4934097:-1 gene:Manes.13G048500.v8.1 transcript:Manes.13G048500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPFVQSQLPPKHMVKPSVPPAISPVYQASAFGPSKAPIHRYHSHHHHHRVKPVGVAGPPSEDQGCDQVCIEPLTAAPYGSPPCGCVFPMKVRLLLDVAPYAVFPVMDQLEIEIAVGTYLEQSQVKIMGASADSQNQGKTVVDINLVPLGDKFDNTTAILTYDRFLHKKVPLNNTLFGVYEVISISYPGIPSSPPYPDYMGNGPSGSAGNLPITANFVSKSQKMNLRTIAIIALSAFVVLLVFTGAVFICIRWRKFGRPSSAVGPTFAASIKKRTGIGSFLSSSIASSTSMSLVSTMAPCVLPVRTFPYPELEKATEKFSSKRILGEGGFGRVYHGIMEDGTEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPYGSVESHLHGLDKTSGPLDWDARLKISLGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGEENLVTWARPLLTSREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTNRPFMGEVVQALKLIYNDMDETCGDNCSQKESSVLDSDYKVDLAPSDSSWWNAGGISPRITYGQASSFITMEYSSGPLEEMENRPFSASSLVGDRLSLANRQGNRSGPLRTIRNKPAFYRSRGSMSEHGGLLLRRVWDDGYWV >Manes.13G048500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4925383:4934097:-1 gene:Manes.13G048500.v8.1 transcript:Manes.13G048500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFFMLLLICLLTFAFTCSGHPLLHIHLSPSSQPNWQLSAKENLVEHDKSTGDSLLLTGRLFSMSVPFVQSQLPPKHMVKPSVPPAISPVYQASAFGPSKAPIHRYHSHHHHHRVKPVGVAGPPSEDQGCDQVCIEPLTAAPYGSPPCGCVFPMKVRLLLDVAPYAVFPVMDQLEIEIAVGTYLEQSQVKIMGASADSQNQGKTVVDINLVPLGDKFDNTTAILTYDRFLHKKVPLNNTLFGVYEVISISYPGIPSSPPYPDYMGNGPSGSAGNLPITANFVSKSQKMNLRTIAIIALSAFVVLLVFTGAVFICIRWRKFGRPSSAVGPTFAASIKKRTGIGSFLSSSIASSTSMSLVSTMAPCVLPVRTFPYPELEKATEKFSSKRILGEGGFGRVYHGIMEDGTEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPYGSVESHLHGLDKTSGPLDWDARLKISLGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGEENLVTWARPLLTSREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTNRPFMGEVVQALKLIYNDMDETCGDNCSQKESSVLDSDYKVDLAPSDSSWWNAGGISPRITYGQASSFITMEYSSGPLEEMENRPFSASSLVGDRLSLANRQGNRSGPLRTIRNKPAFYRSRGSMSEHGGLLLRRVWDDGYWV >Manes.13G048500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4925197:4934097:-1 gene:Manes.13G048500.v8.1 transcript:Manes.13G048500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFFMLLLICLLTFAFTCSGHPLLHIHLSPSSQPNWQLSAKENLVEHGRLFSMSVPFVQSQLPPKHMVKPSVPPAISPVYQASAFGPSKAPIHRYHSHHHHHRVKPVGVAGPPSEDQGCDQVCIEPLTAAPYGSPPCGCVFPMKVRLLLDVAPYAVFPVMDQLEIEIAVGTYLEQSQVKIMGASADSQNQGKTVVDINLVPLGDKFDNTTAILTYDRFLHKKVPLNNTLFGVYEVISISYPGIPSSPPYPDYMGNGPSGSAGNLPITANFVSKSQKMNLRTIAIIALSAFVVLLVFTGAVFICIRWRKFGRPSSAVGPTFAASIKKRTGIGSFLSSSIASSTSMSLVSTMAPCVLPVRTFPYPELEKATEKFSSKRILGEGGFGRVYHGIMEDGTEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPYGSVESHLHGLDKTSGPLDWDARLKISLGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGEENLVTWARPLLTSREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTNRPFMGEVVQALKLIYNDMDETCGDNCSQKESSVLDSDYKVDLAPSDSSWWNAGGISPRITYGQASSFITMEYSSGPLEEMENRPFSASSLVGDRLSLANRQGNRSGPLRTIRNKPAFYRSRGSMSEHGGLLLRRVWDDGYWV >Manes.11G068334.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15829825:15835122:-1 gene:Manes.11G068334.v8.1 transcript:Manes.11G068334.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLLGIKQATQIRRRSNSTSNGVPKGYCAVYVGETQMKRYVIPISLLNQPSFQELLSKAEEEFGFNHPVGGLTIPCSEGIFVDLISH >Manes.03G112800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23734342:23735787:-1 gene:Manes.03G112800.v8.1 transcript:Manes.03G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHLLQRKRRPSTFSKLQVQKKMVGRKGRKTNRKHSLSTIRYNKRKNGASKRSASTVRRLKMYNTKPKRNNKGKILKFELQSKELPDTRIRSDPRWFVNSRVVDQKRLQYYREELQRRMENSYNVILNQRKLPVSL >Manes.13G031900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4080660:4082271:-1 gene:Manes.13G031900.v8.1 transcript:Manes.13G031900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVMNLQAQLASIREQAAQSLINGSATANPNEKYYGKPACLLPEDLQSSWFQSGNSNMVPQFNPSPITGNTQYGENGFVDIPYAMGNYGKSSTNTSFDSFEEAASHSISSLDMQKDNRQWTYQDSDDLRSVAFGYIQHS >Manes.13G031900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4079446:4082271:-1 gene:Manes.13G031900.v8.1 transcript:Manes.13G031900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVSDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVMNLQAQLASIREQAAQSLINGSATANPNEKYYGKPACLLPEDLQSSWFQSGNSNMVPQFNPSPITGNTQYGENGFVDIPYAMGNYGKSSTNTSFDSFEEAASHSISSLDMQKDNRQWTYQDSDDLRSVAFGYIQHS >Manes.12G126000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33205213:33207509:1 gene:Manes.12G126000.v8.1 transcript:Manes.12G126000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTIDLLKQELPVQQDSLLLNGDVKTGLVLVDIVNGFCTVGAGNLAPRQPDMQISTMVEESVRLARAFCDKKWPVFAFLDSHHPDIPEHPYPPHCIAGTDEARLVPELQWLENEANATLRCKDCIDGFLGCIEKDGSNVFVDWIKDNQIKVILVVGICTDICVLDFVCSALSTRNRGFLAPLEDVIVFSRACATFDIPLHVARAVKDVTAHPQVLRTI >Manes.12G126000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33205213:33207941:1 gene:Manes.12G126000.v8.1 transcript:Manes.12G126000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTIDLLKQELPVQQDSLLLNGDVKTGLVLVDIVNGFCTVGAGNLAPRQPDMQISTMVEESVRLARAFCDKKWPVFAFLDSHHPDIPEHPYPPHCIAGTDEARLVPELQWLENEANATLRCKDCIDGFLGCIEKDGSNVFVDWIKDNQIKVILVVGICTDICVLDFVCSALSTRNRGFLAPLEDVIVFSRACATFDIPLHVARAVKDVTAHPQVLRTI >Manes.12G126000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33205213:33207941:1 gene:Manes.12G126000.v8.1 transcript:Manes.12G126000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTIDLLKQELPVQQDSLLLNGDVKTGLVLVDIVNGFCTVGAGNLAPRQPDMQISTMVEESVRLARAFCDKKWPVFAFLDSHHPDIPEHPYPPHCIAGTDEARLVPELQWLENEANATLRCKDCIDGFLGCIEKDGSNVFVDWIKDNQIKVILVVGICTDICVLDFVCSALSTRNRGFLAPLEDVIVFSRACATFDIPLHVARAVKDVTAHPQELMHHIGLYMAKGRGAKYRMDTGEY >Manes.12G126000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33205213:33207509:1 gene:Manes.12G126000.v8.1 transcript:Manes.12G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTIDLLKQELPVQQDSLLLNGDVKTGLVLVDIVNGFCTVGAGNLAPRQPDMQISTMVEESVRLARAFCDKKWPVFAFLDSHHPDIPEHPYPPHCIAGTDEARLVPELQWLENEANATLRCKDCIDGFLGCIEKDGSNVFVDWIKDNQIKVILVVGICTDICVLDFVCSALSTRNRGFLAPLEDVIVFSRACATFDIPLHVARAVKDVTAHPQELMHHIGLYMAKGRGAKVVSEVSFGALQ >Manes.12G126000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33205213:33207509:1 gene:Manes.12G126000.v8.1 transcript:Manes.12G126000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTIDLLKQELPVQQDSLLLNGDVKTGLVLVDIVNGFCTVGAGNLAPRQPDMQISTMVEESVRLARAFCDKKWPVFAFLDSHHPDIPEHPYPPHCIAGTDEARLVPELQWLENEANATLRCKDCIDGFLGCIEKDGSNVFVDWIKDNQIKVILVVGICTDICVLDFVCSALSTRNRGFLAPLEDVIVFSRACATFDIPLHVARAVKDVTAHPQALCYGAVTWNKN >Manes.12G126000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33205213:33207941:1 gene:Manes.12G126000.v8.1 transcript:Manes.12G126000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTIDLLKQELPVQQDSLLLNGDVKTGLVLVDIVNGFCTVGAGNLAPRQPDMQISTMVEESVRLARAFCDKKWPVFAFLDSHHPDIPEHPYPPHCIAGTDEARLVPELQWLENEANATLRCKDCIDGFLGCIEKDGSNVFVDWIKDNQIKVILVVGICTDICVLDFVCSALSTRNRGFLAPLEDVIVFSRACATFDIPLHVARAVKDVTAHPQALCYGAVTWNKN >Manes.03G053900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5221769:5223866:-1 gene:Manes.03G053900.v8.1 transcript:Manes.03G053900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFKIPFLFILIAWFTIFQGLLVTVNGHFDYKDALTKCIIFLEAQRSGKLPPHHRVPWRGDSALDDGKLENVDLCGGYYDAGDNVKYGLPMAFTVTTLAWSAIAYKKELHAAGEMDNVIAGIKWGTDYLLKASRKKNILYVQVGDPVADHECWVRPENMKTPRTLLKIGAHQPGTEIAAETAAAMAASSIVFRPCNRTYSRRLLNKAKLLFKMAKSHKGTYDGECPFYCSFSGYNDELLWAATWLYKASKKTEYMHYITDESINANVAEFSWDLKYAGAQVLLSKFFFEGNKGLTTLKNGADSFICSSHPESPYHQLYVTPGGMLHLRDGANTQYVTGIALLFATYSDILKHHKEKVTCGSKEYDSAALLNFARQQMDYLLGNNPLKRSFMVGFGQNPPTQPHHRGASVPMAEANEDIACPMSFTKWFQKTGPNPNELTGAFVGGPDKYDNFNDKRTESCYTEPCTYVNSQAVGVLAKLASECL >Manes.16G049800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14154213:14157685:1 gene:Manes.16G049800.v8.1 transcript:Manes.16G049800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSLPPGFRFHPTDEELVAYYLDRKINGRTIELEIIPEVDLYKCEPWDLPDKSFLPSKDLEWYFYSPRDKKYPNGSRTNRATRAGYWKATGKDRPVHSQKRPVGMKKTLVYYRGRAPHGIRTNWVMHEYRLIDSLCGTSSSSRLKDSYALCRVFKKTMQINPKSKEGENGNVKNDEMWVSDEQFLTEDKSGIETCKGRENEDENFNNDYSKFPSDTSSSDVTQGTPFENAVADDSQAPFASDEANSSANMYYVGVDFSSNLLQV >Manes.16G049800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14154213:14157685:1 gene:Manes.16G049800.v8.1 transcript:Manes.16G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSLPPGFRFHPTDEELVAYYLDRKINGRTIELEIIPEVDLYKCEPWDLPDKSFLPSKDLEWYFYSPRDKKYPNGSRTNRATRAGYWKATGKDRPVHSQKRPVGMKKTLVYYRGRAPHGIRTNWVMHEYRLIDSLCGTSSSSRLKDSYALCRVFKKTMQINPKSKEGENGNVKNDEMWVSDEQFLTEDKSGIETCKGRENEDENFNNDYSKFPSDTSSSDVTQGTPFENAVADDSQAPFASDEANSSANMYYVGVDFSSNLLQDMQIPNYSSLHYPCPPLELEDFPQINIAETKPAKSEIMEDYVMYDKYKDCMNGTLEEIFSLCSSQDNSISLSMQD >Manes.16G109300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31465532:31468997:1 gene:Manes.16G109300.v8.1 transcript:Manes.16G109300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSDITTTLLIEKPPQKPHRYLDRLSLPTTVKNLDNLKNSSPPPEIYPSVSDLISETKSLFKLAFPIALTAIILYARSIVSMLFLGRLGDLELAAGSLAIAFANITGYSVLSGLSLGMEPLCSQAFGAQRPKLLSVTLHRSVIFLLVSSIPISLLWLNMSKILLYLHQDPNITRLAHTYLLFSLPDLLTNSFVHPIRIYLRAQGITHPLTLASLIGTILHLPINFLLVNHLKLGVSGVAAAATVSNFFVLLSLVSYVWIFGLHEPTWTRPSRECFTGWKPLIRLAAPSCVSVCLEWWWYEIMIILCGLLANPKSTIASMGILIQTTSLLYVFPSSLGFAVSTRVGNELGANRPHKARLSAVVAVFISAIMGLSASTFASGMSQRWGQMFTSDGEILRLTAAALPILGLCELGNCPQTVGCGVMRGSARPSNAANVNLGAFYLVGMPVAIGLGFWLGVGFCGLWLGLLSAQVCCAGLMLYVVGSTDWDLEARRAQMLTCIGCDTKILLSEGD >Manes.06G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16114408:16121203:-1 gene:Manes.06G050000.v8.1 transcript:Manes.06G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLFSSPTTTLLCSLSGKLSIKPTLTRTLPFFIASTTTMSINLQSHAFAGNPLRSKTLKPTDPLSPTSALETLKTQLLDDTHQLSSINFRVLPFRKGKPLASSISGVDCVPNWRLGWISLVDCKSLLAGYGVELTGESLVYLGSRSEEDVVCWAIDVSTEDDLINGFGSKQLCFVELRTLMVATDWADELAMGDLAIAGHARALLEWHNLARFCGHCGAKAVPMEAGRRKHCSNELCRKRIYPRLDPVVIMLVIDRENDRVLLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGANSMPCQLMVGFFAYAKSLEINVDTEELEDATWHSREDVRKALMVAEYERAQRTAAAKVDQMCRGVEKGQNFSADFNVESGELAPMFFPGPFAIAHHLISSWVNQSSTIGVEIQPKQQSGSLSNL >Manes.15G066950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5076306:5076502:-1 gene:Manes.15G066950.v8.1 transcript:Manes.15G066950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSKAPDSSSGPRERAWVQIPLLTNLPYFLFIIIHYHYPLLFFPFS >Manes.04G071400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27077009:27082819:-1 gene:Manes.04G071400.v8.1 transcript:Manes.04G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKLEEEEEVVSICKERKRQLKLAVERRYALAEAHCRYCQSLYAVAAAIKLFVARHSSPTSPFLITFPPPPHPVEQNTITNPMFLQQGPSESTTHEAIACESCSSTTSSDSGEEEETKEEEEREEEQSFGYFYMQMPPPMPQSPQADFGWDFFNPFDTMRPEIIGGYMRSSDDDFRVVREEEGIPELEEEGAREEEEEKEEEEEDKKAVVMEEKGKSELEESGNGEVKVVEGCANGSQCEQKGLTVIDTPERGRELLEALKDIEDHFIRAYDSGKDVSRMLEANRVHLQSGLEEIKENSTKLIQAITWHRSASSSKPSSCKSLVASSSKSSSTWTEYKNDLFDEYGGMDSGSHSLTLGRLYAWEKKLYEEIKAGDRTWKTYEKKCSRLSNQDVRGDDELAMDKTRAVVKDLYARILVAIRSAESISKRIEKLRDEELQPQIIELLKGLTHTWKVMLESHETQNKILFEVKSFASPACGKFCNDSHRLATLQLEAEIHNWRACFTEYVGAQKAYVEALHGWLTKFLVPEVEFYSRRSRSSAAPYRATGPPLLVICHNWLSLMENLPIKSVSFALRSFSKDVRALWTQQGEEQQQKRKVDNLAKQFDRRTLAMQKTETKFLESKLIEFKTSQEQEHHSDHLTEKKDQLDIVRKKLEIEKEKHHNSMQETQRITLSGFQAGFSTVFKSLTEFSKASMKMYNDLVNCSESAGKLANLSYIEGAQVEEIDS >Manes.07G113400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31892457:31897085:1 gene:Manes.07G113400.v8.1 transcript:Manes.07G113400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLDMSLDDIICKNRGYGGCGGGGGGRVRNNNHFRGGGGDGGRAARSVSAPGPYRRFFQGEPIRHGPYPVQRPMVVPEPIMLPSGGAIGESGTKLYISDLDYGVSNEDIKFLFSDVGELKRYSIHYDKSGRSKGTAEVVFARKADALAAIKRYNNVHLDGKPMKIELVGLNLFAPPTPVAASSNMGNLHGPFRSLDFLHAYDYPRLTCVRPSHDSGQESFRVRGWGLGSVRGRGYTRYQGQERRHGEELTADELDADLERYHFEAMQIR >Manes.07G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31892345:31897085:1 gene:Manes.07G113400.v8.1 transcript:Manes.07G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLDMSLDDIICKNRGYGGCGGGGGGRVRNNNHFRGGGGDGGRAARSVSAPGPYRRFFQGEPIRHGPYPVQRPMVVPEPIMLPSGGAIGESGTKLYISDLDYGVSNEDIKFLFSDVGELKRYSIHYDKSGRSKGTAEVVFARKADALAAIKRYNNVHLDGKPMKIELVGLNLFAPPTPVAASSNMGNLHGPFRSGQESFRVRGWGLGSVRGRGYTRYQGQERRHGEELTADELDADLERYHFEAMQIR >Manes.11G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8790279:8797824:-1 gene:Manes.11G063300.v8.1 transcript:Manes.11G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGADHYRASNSFKRNSFIWRNNSFQNSFVWRTDTCEAFSKSCREEDDEEALKWAALEKLPTYDRLRKGILVSVSKGGANEIDVDALGFHDRQRLLERLVKVAEEDNEKFLLKLRRRIDRVGIELPKVEVRFENLNVEAEAFVGNRALPTFVNFCVNIIEGCLNSLHILPSRKKPLTILKDVSGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPDLKLSGSVTYNGHGINEFIPQKTAAYISQHDLHIGEMTVRETLAFSARCQGVGWQHEMLAELSRREKASNIKPDPDIDVFMKAAAIEGQESSVVTDYILKILGLEVCADTMVGDDMLRGISGGQRKRVTTGEMLVGPSKALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREDVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWAHKDQPYSFVTVQEFVEAFQSYAVGRRIGQELSTPFDKSKSHPAALATGKYGVGKMELLKACLSREYLLMKRNSFVYFFKLFQLSLMGIIAMTLFLRTNMHRNDLNGGGIYLGALFFTVIMIMFNGMSELSMTVAKLPVFYKQRDLLFYPSWAYSLPTWILKIPITFFEVAVWVLLTYYPIGFDPNVVRLLKQYILLLFVNQMASALFRFIAAAGRNMIVANTFGSFALLIIFALGGVILSRDDIKKWWIWGYWISPMMYGQNAIVANEFLGKSWSHIPPNSTESLGVQVLKSRGFFPQAHWFWLGVGALAGFIIVFNICFTLALTYLNPFDKPQAVISDEPEHSERTNTEDGTSGINEAKHKKKGMVLPFEPHSITFDNVIYSVDMPQEMKNQGVLDDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPKEVNSETRKMFVEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRQSGHLINYFEEIEGVEKIQDGYNPATWMLEVSSSAQELSLGVDFAAIYKNSELYRRNKATIEELSRPAPGSKDLYFPTQYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTIIAMMFGTMFWGLGSKTSKEQDIFNSAGSMYAAVQFLGVQNAGSVQPVVAVERTVFYRERAAGMYSPLPYAYAQVLVELPYIFVQAVVYGLMTYAMIGYEWTAVKFLWYIFFMYFTLLYFTYYGMMSVAATPNYHIASISSSAFYTIWNLFSGFIIPRTRMPVWWRWYYWLNPVSWTLYGLITSQFGDMKHILEGGQTVGEFVRDYYGINHHFIGVVAAVVLGFTLLFAFIFAISIRFFNFQRR >Manes.11G063300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8790279:8795343:-1 gene:Manes.11G063300.v8.1 transcript:Manes.11G063300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSMINLKGEMLVGPSKALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREDVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWAHKDQPYSFVTVQEFVEAFQSYAVGRRIGQELSTPFDKSKSHPAALATGKYGVGKMELLKACLSREYLLMKRNSFVYFFKLFQLSLMGIIAMTLFLRTNMHRNDLNGGGIYLGALFFTVIMIMFNGMSELSMTVAKLPVFYKQRDLLFYPSWAYSLPTWILKIPITFFEVAVWVLLTYYPIGFDPNVVRLLKQYILLLFVNQMASALFRFIAAAGRNMIVANTFGSFALLIIFALGGVILSRDDIKKWWIWGYWISPMMYGQNAIVANEFLGKSWSHIPPNSTESLGVQVLKSRGFFPQAHWFWLGVGALAGFIIVFNICFTLALTYLNPFDKPQAVISDEPEHSERTNTEDGTSGINEAKHKKKGMVLPFEPHSITFDNVIYSVDMPQEMKNQGVLDDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPKEVNSETRKMFVEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRQSGHLINYFEEIEGVEKIQDGYNPATWMLEVSSSAQELSLGVDFAAIYKNSELYRRNKATIEELSRPAPGSKDLYFPTQYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTIIAMMFGTMFWGLGSKTSKEQDIFNSAGSMYAAVQFLGVQNAGSVQPVVAVERTVFYRERAAGMYSPLPYAYAQVLVELPYIFVQAVVYGLMTYAMIGYEWTAVKFLWYIFFMYFTLLYFTYYGMMSVAATPNYHIASISSSAFYTIWNLFSGFIIPRTRMPVWWRWYYWLNPVSWTLYGLITSQFGDMKHILEGGQTVGEFVRDYYGINHHFIGVVAAVVLGFTLLFAFIFAISIRFFNFQRR >Manes.11G063300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8790279:8795881:-1 gene:Manes.11G063300.v8.1 transcript:Manes.11G063300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDDMLRGISGGQRKRVTTGEMLVGPSKALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREDVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWAHKDQPYSFVTVQEFVEAFQSYAVGRRIGQELSTPFDKSKSHPAALATGKYGVGKMELLKACLSREYLLMKRNSFVYFFKLFQLSLMGIIAMTLFLRTNMHRNDLNGGGIYLGALFFTVIMIMFNGMSELSMTVAKLPVFYKQRDLLFYPSWAYSLPTWILKIPITFFEVAVWVLLTYYPIGFDPNVVRLLKQYILLLFVNQMASALFRFIAAAGRNMIVANTFGSFALLIIFALGGVILSRDDIKKWWIWGYWISPMMYGQNAIVANEFLGKSWSHIPPNSTESLGVQVLKSRGFFPQAHWFWLGVGALAGFIIVFNICFTLALTYLNPFDKPQAVISDEPEHSERTNTEDGTSGINEAKHKKKGMVLPFEPHSITFDNVIYSVDMPQEMKNQGVLDDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPKEVNSETRKMFVEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRQSGHLINYFEEIEGVEKIQDGYNPATWMLEVSSSAQELSLGVDFAAIYKNSELYRRNKATIEELSRPAPGSKDLYFPTQYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTIIAMMFGTMFWGLGSKTSKEQDIFNSAGSMYAAVQFLGVQNAGSVQPVVAVERTVFYRERAAGMYSPLPYAYAQVLVELPYIFVQAVVYGLMTYAMIGYEWTAVKFLWYIFFMYFTLLYFTYYGMMSVAATPNYHIASISSSAFYTIWNLFSGFIIPRTRMPVWWRWYYWLNPVSWTLYGLITSQFGDMKHILEGGQTVGEFVRDYYGINHHFIGVVAAVVLGFTLLFAFIFAISIRFFNFQRR >Manes.11G063300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8790279:8795882:-1 gene:Manes.11G063300.v8.1 transcript:Manes.11G063300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHPRTSYTMGIDFHLVNFLVLQAAAIEGQESSVVTDYILKILGLEVCADTMVGDDMLRGISGGQRKRVTTGEMLVGPSKALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREDVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWAHKDQPYSFVTVQEFVEAFQSYAVGRRIGQELSTPFDKSKSHPAALATGKYGVGKMELLKACLSREYLLMKRNSFVYFFKLFQLSLMGIIAMTLFLRTNMHRNDLNGGGIYLGALFFTVIMIMFNGMSELSMTVAKLPVFYKQRDLLFYPSWAYSLPTWILKIPITFFEVAVWVLLTYYPIGFDPNVVRLLKQYILLLFVNQMASALFRFIAAAGRNMIVANTFGSFALLIIFALGGVILSRDDIKKWWIWGYWISPMMYGQNAIVANEFLGKSWSHIPPNSTESLGVQVLKSRGFFPQAHWFWLGVGALAGFIIVFNICFTLALTYLNPFDKPQAVISDEPEHSERTNTEDGTSGINEAKHKKKGMVLPFEPHSITFDNVIYSVDMPQEMKNQGVLDDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPKEVNSETRKMFVEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRQSGHLINYFEEIEGVEKIQDGYNPATWMLEVSSSAQELSLGVDFAAIYKNSELYRRNKATIEELSRPAPGSKDLYFPTQYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTIIAMMFGTMFWGLGSKTSKEQDIFNSAGSMYAAVQFLGVQNAGSVQPVVAVERTVFYRERAAGMYSPLPYAYAQVLVELPYIFVQAVVYGLMTYAMIGYEWTAVKFLWYIFFMYFTLLYFTYYGMMSVAATPNYHIASISSSAFYTIWNLFSGFIIPRTRMPVWWRWYYWLNPVSWTLYGLITSQFGDMKHILEGGQTVGEFVRDYYGINHHFIGVVAAVVLGFTLLFAFIFAISIRFFNFQRR >Manes.11G063300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8790279:8796978:-1 gene:Manes.11G063300.v8.1 transcript:Manes.11G063300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLDISLFISLASWCCRVGIELPKVEVRFENLNVEAEAFVGNRALPTFVNFCVNIIEGCLNSLHILPSRKKPLTILKDVSGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPDLKLSGSVTYNGHGINEFIPQKTAAYISQHDLHIGEMTVRETLAFSARCQGVGWQHEMLAELSRREKASNIKPDPDIDVFMKAAAIEGQESSVVTDYILKILGLEVCADTMVGDDMLRGISGGQRKRVTTGEMLVGPSKALFMDEISTGLDSSTTFQIVNSLRQTVHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREDVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWAHKDQPYSFVTVQEFVEAFQSYAVGRRIGQELSTPFDKSKSHPAALATGKYGVGKMELLKACLSREYLLMKRNSFVYFFKLFQLSLMGIIAMTLFLRTNMHRNDLNGGGIYLGALFFTVIMIMFNGMSELSMTVAKLPVFYKQRDLLFYPSWAYSLPTWILKIPITFFEVAVWVLLTYYPIGFDPNVVRLLKQYILLLFVNQMASALFRFIAAAGRNMIVANTFGSFALLIIFALGGVILSRDDIKKWWIWGYWISPMMYGQNAIVANEFLGKSWSHIPPNSTESLGVQVLKSRGFFPQAHWFWLGVGALAGFIIVFNICFTLALTYLNPFDKPQAVISDEPEHSERTNTEDGTSGINEAKHKKKGMVLPFEPHSITFDNVIYSVDMPQEMKNQGVLDDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLLYSAWLRLPKEVNSETRKMFVEEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRQSGHLINYFEEIEGVEKIQDGYNPATWMLEVSSSAQELSLGVDFAAIYKNSELYRRNKATIEELSRPAPGSKDLYFPTQYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTIIAMMFGTMFWGLGSKTSKEQDIFNSAGSMYAAVQFLGVQNAGSVQPVVAVERTVFYRERAAGMYSPLPYAYAQVLVELPYIFVQAVVYGLMTYAMIGYEWTAVKFLWYIFFMYFTLLYFTYYGMMSVAATPNYHIASISSSAFYTIWNLFSGFIIPRTRMPVWWRWYYWLNPVSWTLYGLITSQFGDMKHILEGGQTVGEFVRDYYGINHHFIGVVAAVVLGFTLLFAFIFAISIRFFNFQRR >Manes.07G046600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5295208:5299419:1 gene:Manes.07G046600.v8.1 transcript:Manes.07G046600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARERTMRDGYAEEMDRELSAEIPLMSTPFYRSRFSGMVRKRAYIFDGFGNYYNKEWDLAEGRDNEFCWYHVELPKTNQKLSQSAQWLIDVLCPPLKLQDILSLVSNGPYCNYVDGALVFRVNSPGPPSSDFTFRLATRVTENSVITVSLGRVPRLGFSPMSESLLSEIPSVETPTHQEGEETDQGGIVIKEHVLEFLLTMNHSEEGDNPVPRTVSNLVAHIIDTHVDHLQDVVTKLEIELDAVEIELDKGSFTLKKQMLDDRRFPKMHLNLQRLLQVIAHGEQVFPRVKEKCSFKRWFAHEDINSIEELIGQLRRLKENVGFIANRVTAIQAGLDSWQSEQINKKLYYLSFVSIVFLPLSIITGVFGMNVGGVPWTGQDDPELQDGFQNVMLLCLVMLVLLLMCFLFPSVFGCLASWKGRLAVKRSWSFNRKSFLYRTDGDSERGGYLRI >Manes.18G110800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11026832:11029156:-1 gene:Manes.18G110800.v8.1 transcript:Manes.18G110800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRYTSSPRLSLKTRLGLATFSFASSTARRSNLTINRNFMKIFDPKAPSSSKPINGVSSFDITIDPSNNLWFRLYVPTTNSTSSSRYIEISLPVIIYFHGGGFCLLAANSKQFDQFCRRLAREVPAVVISVNYRLAPEYKYPCQYEDGFDALKFIDGMNFENYSVKVDLEWCFVAGDSAGGNLAHHVVVQAGEYRFSNMDVIGLIAIQPFFGGEERTESEIRFSGVPGLSIERSDWYWKAFLPEGADRNHPAVNVFGPNAVDITDLHFPATLVVIGGFDILRDWQMKYYEGLRKSRKEVYLIEYPNVIHGFYSITELPESSLLLTEIRKFIRNQTSLS >Manes.09G083800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22052961:22056717:1 gene:Manes.09G083800.v8.1 transcript:Manes.09G083800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILVENELQQAKLLAAINDDHDGVIVELSEHMHTEDFASMLKASIVQWRKQGKRGVWIKVPIELVNLVEVAVKEGFWYHHAEPKYLMLIYWIPEGTHTLPANASHRVSIAAFVMNEKREVLVVQEKSGIFRGKGVWKFPTGSVDEGEDICVAAVREVKEETDIETKFVEVLAFRQSHRAFFGKSDLSFICLLQPLSFEVEKQESEIEAAQWMPLDEYVAQPYFQKSDLSKYMLDICLAKVDKKYSGCYPVPVTSNISDESGLLYVNNRYLRKTARPGLHVSV >Manes.09G148200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34592072:34594096:-1 gene:Manes.09G148200.v8.1 transcript:Manes.09G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASSSSNPTLPSPVMGGSITSSNTPTTPTATLSPSSTPSRYENQKRRDWNTFCQYLRNHRPPLSLPMCSGSHVLEFLTYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARTVRIYLREVRDFQAKARGVSYEKKRKRPKPKAKPTPQEAPPPDSGAG >Manes.08G168100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40266535:40271952:1 gene:Manes.08G168100.v8.1 transcript:Manes.08G168100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQILPICSRLLNQEIFRVSGMMSNQGLGDFDRLRHRSPSPMASSNLMSNVAGTGLGSWNGLPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANVVDMRLRQAQEIIEELLKPVDESQDFIKRQQLRELALLNSNFREESPGPSGSVSPFNTSGMKRAKTGR >Manes.11G068700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9748059:9750245:-1 gene:Manes.11G068700.v8.1 transcript:Manes.11G068700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAKSSGISGGGGGSGSGGFRSKIDNFLYSGDKKHVLAGIAIISVVFGVPWYLMNRGTKHRSHQDYLEKADKARSDRLSSGSASAR >Manes.05G077500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6323888:6327533:-1 gene:Manes.05G077500.v8.1 transcript:Manes.05G077500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVADSSSSNSAKAAQNLVTCVYQCKLRGRSCLITVTWTKNLMGQGLSVAIDDSTNQCLCKVDIKPWLFSKRKGCRNLEVDSSKIDIYWDLSNAKFGSGPEPLEGFYLVVAFNQEMVLLLGDMKKEAYKKIDSASIHSNAIFIAKKEHIFGKKFYGAKAQFCDKGQLHNVSIECDTVDLKDPCLVIRIDSKMVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNGMGNAVFMFQTCLSAEKLWNSQSVFDPSVLTCSYSQRFRDYQLQV >Manes.05G077500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6323888:6327533:-1 gene:Manes.05G077500.v8.1 transcript:Manes.05G077500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVADSSSSNSAKAAQNLVTCVYQCKLRGRSCLITVTWTKNLMGQGLSVAIDDSTNQCLCKVDIKPWLFSKRKGCRNLEVDSSKIDIYWDLSNAKFGSGPEPLEGFYLVVAFNQEMVLLLGDMKKEAYKKIDSASIHSNAIFIAKKEHIFGKKFYGAKAQFCDKGQLHNVSIECDTVDLKDPCLVIRIDSKMVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNGMGNAVFMFQTCLSAEKLWNSQSVFDPSVLTCSYSQRFRDYQLQDHYH >Manes.05G077500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6323646:6327533:-1 gene:Manes.05G077500.v8.1 transcript:Manes.05G077500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQVADSSSSNSAKAAQNLVTCVYQCKLRGRSCLITVTWTKNLMGQGLSVAIDDSTNQCLCKVDIKPWLFSKRKGCRNLEVDSSKIDIYWDLSNAKFGSGPEPLEGFYLVVAFNQEMVLLLGDMKKEAYKKIDSASIHSNAIFIAKKEHIFGKKFYGAKAQFCDKGQLHNVSIECDTVDLKDPCLVIRIDSKMVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNGMGNAVFMFQTCLSAEKLWNSQSVFDPSVLTCSYSQRFRDYQLQGLGFSLILYAWKNE >Manes.02G118700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9090683:9093743:1 gene:Manes.02G118700.v8.1 transcript:Manes.02G118700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPSPSISSSSTSTSFHSNMCTSRSATASCLAGILRRLFCSSSLPTHPSDPIIDTTSVLSHSKQQDFINSTDTSESAATAPGIVARLMGLESFQDDMQVNGCSISRSRSMNSVEVINDQKRGRYKRAKSTLSFCEMPIFLELENEEFLVLSFEETGERKETRSRGRKYEVGFEEFREKTREKCKSKGSTNDNVQVLLKKKKIKKKKNKDDEAIINKMVLKILNEEQLSKGSSDTDAQEIAKIEDSHKRTLPLKDSCEKTNAASVLQEAKESHWKNDKDVQSGPQLTKKKKKINRCELKNEEREECSSEDWSPVSVLEFDQFMVDHELLLSEEDSSRRKLSSRLHDHFSEQNEDNNFTGNDHPNSKPKEETCEELRNKIRNDQHYMNMWSEIFKLADAQFFKPNSKVEKIWKYKDVEDISVEFSFLILDQLLEELVDQLSLPGKN >Manes.02G118700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9091629:9093610:1 gene:Manes.02G118700.v8.1 transcript:Manes.02G118700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPSPSISSSSTSTSFHSNMCTSRSATASCLAGILRRLFCSSSLPTHPSDPIIDTTSVLSHSKQQDFINSTDTSESAATAPGIVARLMGLESFQDDMQVNGCSISRSRSMNSVEVINDQKRGRYKRAKSTLSFCEMPIFLELENEEFLVLSFEETGERKETRSRGRKYEVGFEEFREKTREKCKSKGSTNDNVQVLLKKKKIKKKKNKDDEAIINKMVLKILNEEQLSKGSSDTDAQEIAKIEDSHKRTLPLKDSCEKTNAASVLQEAKESHWKNDKDVQSGPQLTKKKKKINRCELKNEEREECSSEDWSPVSVLEFDQFMVDHELLLSEEDSSRRKLSSRLHDHFSEQNEDNNFTGNDHPNSKPKEETCEELRNKIRNDQHYMNMWSEIFKLADAQFFKPNSKVEKIWKYKDVEDISVEFSFLILDQLLEELVDQLSLPGKN >Manes.16G070800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25563709:25567918:-1 gene:Manes.16G070800.v8.1 transcript:Manes.16G070800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEFTQLSHSEPVLAMQSSLHTPSKMPLLCAPSWLYLSLQTLKSQSKAGLLLPGKCLQFSAPCCSIHSLKLERTLCLSHKTRTAAFQDASAISSDTAESDVLKALSQIIDPDFGTDIVSCGFVKDLEIDEAQGEVSFRLELTTPACPVKDMFEQRANEVVAMIPWVKNVKVTMSAQPARPVFAGQLPAGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNAEKRTIIPTEYMGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCIAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFELPIRPTLSASGDSGIPEAVADPQGEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSDEEFLLHPATVRRNDRSAQSVLDLFIVHKPGPIQFKKSVL >Manes.16G070800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25560671:25567918:-1 gene:Manes.16G070800.v8.1 transcript:Manes.16G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEFTQLSHSEPVLAMQSSLHTPSKMPLLCAPSWLYLSLQTLKSQSKAGLLLPGKCLQFSAPCCSIHSLKLERTLCLSHKTRTAAFQDASAISSDTAESDVLKALSQIIDPDFGTDIVSCGFVKDLEIDEAQGEVSFRLELTTPACPVKDMFEQRANEVVAMIPWVKNVKVTMSAQPARPVFAGQLPAGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNAEKRTIIPTEYMGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCIAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFELPIRPTLSASGDSGIPEAVADPQGEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYTDVPEDIEPEEIRPMGNYAVQITWPDGFNQIAPYDQLQTIERLVGVPETAPVRA >Manes.16G070800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25560671:25567918:-1 gene:Manes.16G070800.v8.1 transcript:Manes.16G070800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEFTQLSHSEPVLAMQSSLHTPSKMPLLCAPSWLYLSLQTLKSQSKAGLLLPGKCLQFSAPCCSIHSLKLERTLCLSHKTRTAAFQDASAISSDTAESDVLKALSQIIDPDFGTDIVSCGFVKDLEIDEAQGEVSFRLELTTPACPVKDMFEQRANEVVAMIPWVKNVKVTMSAQPARPVFAGQLPAGLQTISNIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNAEKRTIIPTEYMGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCIAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFELPIRPTLSASGDSGIPEAVADPQGEVAKTFQNLGVCVVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYTDVPEDIEPEEIRPMGNYAVQITWPDGFNQIAPYDQLQTIERLVGVPETAPA >Manes.16G008100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:811071:812160:-1 gene:Manes.16G008100.v8.1 transcript:Manes.16G008100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSYKYCVVFIITSTFYIFSSSLLFLTPAEKMSLLMRRPSSSTYSYSKLDKEDPEDVIHRRAQFLIYKTLQQADSRGKPSFLRIRLCRLKVKIGKKLKKLRKSMILSVSAARVRMYKQVANQWKRLFCHGEAIASLPSVLA >Manes.13G036500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4454444:4455862:-1 gene:Manes.13G036500.v8.1 transcript:Manes.13G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFPSSASMFASVLLLSSLMVSHQQPLLDSTEQDSVYEVLSSINSAISWRTLFPDDLCFSAPHGIVCDYFEQPNSQNLSVSSTLSQTVHVTELSFGYVSDYTPNPPCSPNSTLNPLLFTSFKFLRKLFFYKCFTETPVVVPNVSSSSFGAKLEELVFVENPALVGSLSGIIGNFTNLRRLVLTGNGVYGNIPGGIGNLVNMEEITLSRNQLTGNVPFSLSKLKKLRILDLSQNHLDGNVPESLGNLSQILKLDLSYNGFSGKIPVSLVNLQGLEFLDLSFNRFGNFGVPLFLGEMPRLREMYLSGNLLGGHIPEIWEKLGGVMGIGFSDMGLVGKIPPSMWVYLRNLCYLRLDNNKLEGNVPEELGFLEFINEINLENNNLSGRIPFSAKFTAKLGEKLKLQGNSGLCIDKVFVSGKNEGSLEKLKLCNKSYIPNPVLFKEDNSLSSLSSAQVLSSSYELTILGLCLSLLW >Manes.05G143879.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13374748:13376738:1 gene:Manes.05G143879.v8.1 transcript:Manes.05G143879.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAEEHTPSMHVTTHAQNALSSYLINHLLNPKFLISNNQHITHAMASLHPQFPMVVTSLVFLFLIFLPSKAVSQSEDIPITPDPTIADCTPRLLPLTPCAPFVQGVAQSPPPSCCDNLRQLYLQQPGCLCIFLNDTNLSSFPINSTLALQLPALCHIHVKISACSGIPEVPSSSPAFQVPLGAHNNSAKGKHINSSVAASPMVQVTPRPVIMGLGLGRSAGRKLKPERLLVLLMTLAATFLTKATHSV >Manes.03G084900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:18765652:18770786:1 gene:Manes.03G084900.v8.1 transcript:Manes.03G084900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSRVDDKYQYACENKDNKVHGWISNDPPVGFWMITPNNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVIGQLVVRDPYINEKLIDASLAYAGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYEYNVDVIIQPGSKIKLGVLTYDPPRNGPTLWKIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNMPYKATTWHIIFELKSVRFNNANAKPPLFTIRLIGKDNAIARYGIHGLYWFYSIQLPVSQLLQGKNIIYLTQTRNGSPFSGIMYDYIRLEAPTKA >Manes.04G013800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1839585:1842229:1 gene:Manes.04G013800.v8.1 transcript:Manes.04G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMLEYKRPPTKRNNRGFYVRMKLVHRHGRFQLHQEKNSNLFFKYYKWIIWISLSIYFFSSYFITHKPIPLYKAQYFSKSSVVSRLLFESTNSTFPQQQNNNRALLKDLKIYIYELPAKYNTDWLANERCSNHLFASEAAIHRAISNSDVRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLISSAVNLVSTNFPFWNRSQGADHVFVASHDFGSCFHTLEERAMEDGIPKFLKNSIILQTFGVKYRHPCQDVENVVIPPYISPESVQLTLQKTPLTGRRDIWVFFRGKMEVHPKNVSGRFYSKKVRTEIWRKFNGDRRFYLQRHRFAGYQSEIGRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIQLPFPAAVPWPEISLTVAENNVAKLAKILEHVAATNLSTIQKNLWDPAVRRALLFNDEMEEGDATWQVLYALAQKLDRSRRTTRVAVQ >Manes.11G033200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3189172:3195697:1 gene:Manes.11G033200.v8.1 transcript:Manes.11G033200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRMLSTNCCFFPLIWFLSLQILSVYSYSTQPMNCTDTTRLCTSFLAFKPQENQSLAVIQSMFDVLPQDVTVEGNDHGYIFIKKNCSCLSTPKVYASNTTYTMKSSEGYAYDIVIDAYDGLGFLPNTTRLAKVGAVISLRLFCGCSNGLWNYLMSYVMGEGDTVESLSSRFGVSMDSIEAVNGIGNPDNITVGALYYIPLNSVPGEPYPVENDIPSSPTPVPEPSSFDSFSGNPPNQKKHIPYGWIIGGLGIGLALIVFCIVICICLKSSSCSSKPLGEHAKDPDGKSSHKFHILRKSSFCCASRRYMSGKSGDWKQTNGESSSHQITIPKALGTDMLDVEKPVVFTYEEIIFATDGFSDSSLIGHGTYGSVYYGLLRDQEVAVKRMTATKSKEFMSEMKVLCKVHHTNLVELIGYAASDDELFLIYEYAQKGSLKNHLHDPQNKGNTSLSWIMRVQVALDAARGLEYIHEHTKTHYVHRDIKTSNILLDGSFRAKISDFGLAKLVGKIGEGEATTTKVVGTFGYLAPEYLSDGLATAKSDVYAFGVVLFEIVSGKEARIQTEGAVAKNPERRSLASILAMLAKQCVDEDPILRPDMKQVVISLSQILLSSIEWEATLAGNSQVFSGLVQGR >Manes.11G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3189172:3195697:1 gene:Manes.11G033200.v8.1 transcript:Manes.11G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRMLSTNCCFFPLIWFLSLQILSVYSYSTQPMNCTDTTRLCTSFLAFKPQENQSLAVIQSMFDVLPQDVTVEGNDHGYIFIKKNCSCLSTPKVYASNTTYTMKSSEGYAYDIVIDAYDGLGFLPNTTRLAKVGAVISLRLFCGCSNGLWNYLMSYVMGEGDTVESLSSRFGVSMDSIEAVNGIGNPDNITVGALYYIPLNSVPGEPYPVENDIPSSPTPVPEPSSFDSFSGNPPNQKKHIPYGWIIGGLGIGLALIVFCIVICICLKSSSCSSKPLGEHAKDPDGKSSHKFHILRKSSFCCASRRYMSGKSGDWKQTNGESSSHQITIPKALGTDMLDVEKPVVFTYEEIIFATDGFSDSSLIGHGTYGSVYYGLLRDQEVAVKRMTATKSKEFMSEMKVLCKVHHTNLVELIGYAASDDELFLIYEYAQKGSLKNHLHDPQNKGNTSLSWIMRVQVALDAARGLEYIHEHTKTHYVHRDIKTSNILLDGSFRAKISDFGLAKLVGKIGEGEATTTKVVGTFGYLAPEYLSDGLATAKSDVYAFGVVLFEIVSGKEARIQTEGAVAKNPERRSLASIMLAALRSSPDSMSMSSLKDHIDPNMMDLYPHDCVFKLAMLAKQCVDEDPILRPDMKQVVISLSQILLSSIEWEATLAGNSQVFSGLVQGR >Manes.13G074929.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:11214419:11217001:-1 gene:Manes.13G074929.v8.1 transcript:Manes.13G074929.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTELRANTTTSIPLQNSSLFTNPQSSLSGALQGCLGSLDGACIEKLLLHCASALENNDVTLAQQVMWVLNNVASLVGDPNQRLTSWFLRALISRSSKVCPAATNFDGSSTIQRRQMSVTELAGYVDLIPWHRFGFCASNSAILKAIEGCPKVHILDFSITHCMQWPTLIDALAKRPEGPPSLRITVPSCRPPVPPLLNVSTEEVGLRLGNFAKFRDVPFEFNVIDDPCSSSISTEIMSEESSGFYFESLLNHLTPLLDLRDDEALVINCQNWLRYLSDDQNGRVQDSSLRDDFLCLIKSLNPCIILVVDEDCDLSAPSLTSRITTCFNYLWIPLDALETFLPKDSSQRIEYESDIGHKIENIISFDGFQRMERLESGIKLSQRMKNAGFFSVQFCEETVREVKSLLDEHASGWGMKREEDMLVLTWKGHNSVFATAWVPDV >Manes.16G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24948680:24968608:1 gene:Manes.16G063800.v8.1 transcript:Manes.16G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNWEADKMLDVYIYDYLMKRKLHNSAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQIVKARELQQQQHPKPQQQMQMQQMLLQRHAQQQQQQQQRDGNPHPSGTANGLAANDVTRHNPATANALATKMYEDRLKLPLQRDSLDEASVKQRLSDLDPNHASLLKAAALSGQPPGQTLHGTPGGSGNLQQAQNRNQQLPVSTQDVKSEINAMINPRTAGTEGSLIGGQNQGGSNLTLKGWPLTGVDQLGLLQHQRLMQSPQPLSKFQLQQQLILQAQQNLSSQSVNDLERRKLRMLLNNQNLGLGKDGHLSSGDVLGNFGSPMQVNSPTLSRGDTDLLIKIQQQQYQHIPLMSQQSQNSNHSQQQDKVIGSSSVTVDGSMSNTFQGNDQASKGQLGRKRKQPGSSSGPANSSGTANTTGPMPSSPSTPSTHTPGDAISVPTLPHNSGSSKSLLMFGSDGLGSLASASNELADTDRFVDDRTLDDHVESFFSHDAADLRDRVGQSADISKGFAFSEIRTIPASTSKVECCHFSSDGKLLATGGHDKKATLWCTESFTAKSTLEEHSQWITDVRFSPSISRLATSSADKTVRVWDADNPGYSLRTFTGHSTTVMSVDFHPSKEDLICSCDNNSEIRYWSIKNGSCVGVFKGGATQTRFQPHLGRIIAAAAENVVSILDVETKVCRLKLQGHKNHIHSVCWDPSGEYVASVSDDLVRVWTVGSGSKGEYVHEFNCTGNKFHTCVFHPTYSSLLIIGCYETLELWNMAENKTMALPAHDKLVSALAVSNVTGLVASASHDKHVKLWK >Manes.01G250400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40940278:40944593:-1 gene:Manes.01G250400.v8.1 transcript:Manes.01G250400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEKGVERGGKGKKQQELEGREKFKRGIVLIGKRAGPSTPSSTWRLEFSSLSGNSSNNPVKEFLNTTTSLSARKLCANLWEVQPQLQLSPPKMTKNIAPRGARRRHHKANKPLELVDPPNNSPDQQANTRPSRTHAAQSWTQHHGSLNRNSPALQPLSSVGFGGPMEVAPCNPKRSTSPLDFKGRTSTELIKVVNRIWSLEEQQACNLSLLKSLKTELDHSGSQIKELLKEKQANKREMDDLMKQVAEDKVVRKNEEHDRIKAVIQPAQEELEDERKLRKHSESLHRKLAREFSEVKSAFSNALKELERERKARVLLENLCDEFAKGIRGYEQEVRSLRSKPDRNHVNTDRLVLHISEAWLDERMQIKIAEARNDLAEKNTIVDKLGLDIEIFLQARHSNELKKGGNFVDEAINNAARRESFPLNEAVSAPRDAADEEDSIDSNSHCIEVNRRTGKEQHTGSSRRRVNSSSEIRFEEIVNLDATKRLAGSRENSKGRVLHARLKSNDVADTLVRNHSLSSEGDKIHPEGDLKEDACGEAVFAGHASPVQQWKSKLESPEFDKSESSLLLPRGIKENTLKAKLLEARLESQKSRSRMSKASL >Manes.01G250400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40940278:40944593:-1 gene:Manes.01G250400.v8.1 transcript:Manes.01G250400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEKGVERGGKGKKQQELEGREKFKRGIVLIGKRAGPSTPSSTWRLEFSSLSGNSSNNPVKEFLNTTTSLSARKLCANLWEVQPQLQLSPPKMTKNIAPRGARRRHHKANKPLELVDPPNNSPDQANTRPSRTHAAQSWTQHHGSLNRNSPALQPLSSVGFGGPMEVAPCNPKRSTSPLDFKGRTSTELIKVVNRIWSLEEQQACNLSLLKSLKTELDHSGSQIKELLKEKQANKREMDDLMKQVAEDKVVRKNEEHDRIKAVIQPAQEELEDERKLRKHSESLHRKLAREFSEVKSAFSNALKELERERKARVLLENLCDEFAKGIRGYEQEVRSLRSKPDRNHVNTDRLVLHISEAWLDERMQIKIAEARNDLAEKNTIVDKLGLDIEIFLQARHSNELKKGGNFVDEAINNAARRESFPLNEAVSAPRDAADEEDSIDSNSHCIEVNRRTGKEQHTGSSRRRVNSSSEIRFEEIVNLDATKRLAGSRENSKGRVLHARLKSNDVADTLVRNHSLSSEGDKIHPEGDLKEDACGEAVFAGHASPVQQWKSKLESPEFDKSESSLLLPRGIKENTLKAKLLEARLESQKSRSRMSKASL >Manes.13G093526.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26078299:26079042:-1 gene:Manes.13G093526.v8.1 transcript:Manes.13G093526.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSLKLRKRGKNYRMVVKLNVSKAYECMEWDFLHHMLKCFGFHPKWIGWILQCVTTVSYSTQINRPSFDIRRLGLRGLVVSMHGPSVSYLLFVNDSILFSHASMEEARIIKQNLEQYSRASGKSINLNKSSLVFSPNTPMNIKQQKQAFNFLVERMDGKLSGWKEKLLSKGGKEVLIKAVCSTIPVYAMV >Manes.14G050001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4323688:4323897:-1 gene:Manes.14G050001.v8.1 transcript:Manes.14G050001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIAVVLFVLLTPGLLFQIPGRNRVVEFGSMQTSGASIVVHAIIYFGLITIFLIAIGVHVYTG >Manes.05G043100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3479403:3486132:-1 gene:Manes.05G043100.v8.1 transcript:Manes.05G043100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQRRSLLASPPLSNNHRRHHSQHHVKLLFPLLAGALTFTVLCFIIIIFFFYRKLSRNRTAPSDLKSPNHHQQQQQCRRFSYSLLRSATASFSPSNQLGHGGFGSVYKAILPPTNQPLAVKLMDPNGSLQGEREFHNELSLASSLHSPYIVSLLGFSSDRRRRKLILVYELMENRSLQEALLDRKCEELMDWRKRFDLVTDVAKGLEYLHHFCNPPVIHGDIKPSNIMLDLDFNAKIGDFGLARLKAEENVVEKKDAFVVAEDNGSILEEIESVVTGFEDSATAVGVDRSPESFAVRVLDSDASPEMVAPVSPEMGVDKGSVSEAGFDKLSVDSGKDLVNGGKKGGSRRDWWWKQDNGGGSESGRVKDYVMEWIGSEIKKARPSSEWIASPSSVENNPLRTKSLTMEPKKKHKKRLEWWASLDEERMQKKEKSRKPREWWKEEFCEELTKKKKKKRGLNSSNGGDSWWQKDDDEVEGRKKRKSKRSRGSIDWWLDGYSGEFRNGRRSSQDWASGEIPKSGGVSSTPSMRGTVCYIAPEYGGGGLLSEKCDVYSFGVLLLVVVSGRRPLQVTASPISEFERANLISWARQLAYNGKLLDLVDPLIHSMDKDQALLCITIALLCLQRSPTKRPTMKEIVGMLSGETEPPHLPFEFSPSPPSNFPFKSRKKARGCFREMFSAEEDVSLRLRFVRNPDGSLFRSPEYFPEVPPVDKQLTDSSSPQLALSKDIPLNPTNHTYIRMFRPLNAPPETKLPIIIYFHGGGFILYTPSSVIFHESCNHMASNFRVLILSVHYRLCPEHRLPAAYDDAMDAIKWVRDQALGTQGCDPWLKEHGDFSKCFLMGSSAGGNIVYHAGLCALDMDLSPMKIRGLIMNVPYFSGVLRTESEMRLMDDKILPLAANDLMWSLALPKDVDRDHEYCNPMVEGSNDEKIGRLPICYIRGYGGDPLSDKQKEFAKKLESKGVKVKAAFLDDGFHAVELFDPAKAKSFSDDVKVFIDSVCAC >Manes.05G137100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:16994579:16996091:-1 gene:Manes.05G137100.v8.1 transcript:Manes.05G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKENNPTCRDVPSLLSSFVDTFVDFTVSGGLFLPPLDPNFRDSSNHQTTTPDGNFNLQTHYPTPDRLIAIGDLHGDLEKSKQALRLAGLIDASDRWFGGSATVVQIGDVLDRGDDELKILYFLEKLKREAVKSGGNLITMNGNHEIMNVEGDFRYMTKLGLEEFTNWAYWYCLGDKMKSLCVGLEKPRDIFDGIPLTFRGVKEEYFHGMRARIAALRPNGPIANRFLSKNLTVLVVGDSVFVHGGLLAEHVDYGLARMNKEVSDWITGLTQKSAPLYCRGRNAVVWLRKFSDEVARNCDCAALEHVLATIPGVKRMIMGHTIQEAGINGVCENKAIRIDVGMSRGCTNGLPEVLEINGNSELRVLTSNPLYHNKYKAYVDDDRKQGLGLLIPESRPKQVEVKA >Manes.03G065100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7798778:7806363:-1 gene:Manes.03G065100.v8.1 transcript:Manes.03G065100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKENTVAAKVEEPTTRITRARAKALETLGGVPPASKASFKDDHRRVLRANTKRTASDANKASITAISGLQCKRRAVLKDVTNVTPKNSFANCINGTKVQTSKQASKYPTIKNTEVAAEISLQISEVEEDVKTKLAEELSKIRMVEAQEINSPSKTKGKQQRKCFGAREHVVADEMLPMLAPTEPAGLPSSQKKEENKICNKLESTSGPGIVDIDLNLKDTQFCGTYAPEIYNNIRVKELDQRPLTNYMEKMQHDITPSMRGILIDWLVEVSEEYRLVPDTLYLTVNLIDRFLSENYVEKQRLQLLGVTCMLIASKYEEICAPRVEEFCFITDNTYRRKEVLILESQVLNFLHFQISVPTTKTFLRRFIQAAQSSYKVPCIELEFLANYLAELTLVEYDFLKFLPSLIAASSVFLAQWTLDQSNHPWNPTLEHYTSYSAPELKSTVLALEDLQQNTNGCSLNAIREKYRQQKFKCVASLRSPQRVSSLF >Manes.16G014350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1428141:1448203:1 gene:Manes.16G014350.v8.1 transcript:Manes.16G014350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLASFIILLSLLSLIMNIQAQVTYRYHFCTNSTTFTRNSTFQENLDVLLSSLSSNSTHLSGFYNTSAGQVSDNVYGLFLCRGDLSTDVCKDCVTLATQAIIQRCPTQREAIIFYDECLLRYSNQFIFSRMAQEPVLYLLNSQNITDQERFNEIVDTTMDDTALQAANASAGKKFAIKKVNITQSQSLYTLAQCTPDISVSVCGQCLQLAISRLPGCCSGKQGGRVLFPSCNIRYEIYEFYNATALPPPPPPPAVTRPQGKSGVSKATIIAIVASVTASILLIFMRYYLRCRRERKKYGTIRESSGKE >Manes.11G134100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29847351:29848068:-1 gene:Manes.11G134100.v8.1 transcript:Manes.11G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDREESESAIDMANCLMLISKVGQTDEPSGRLFACKTCNRRFTSFQALGGHRASHKKPKLIGDYLLKLPSSPPKPKTHECSICGLEFPIGQALGGHMRRHRGNVKNMSDRLVTRPLLPVPVTMKKSTSKRVLCLDLNLTPVENDLMLQLGKMVKSPVLNCYV >Manes.12G057061.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5829964:5830644:1 gene:Manes.12G057061.v8.1 transcript:Manes.12G057061.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMIVDVKLNSTSLLPNPMVSPIFLLELRFHRYCRRLFRNLQGELIELRPVAPTSAFLFEIHSHDLVSEQPCKSHLYDLFSSINLDEPVRDFLAYHIACFLVLMANEQPFLGRHVVLDTDMTLEYLIAGDPIGRTMIVDEEPREVVPRGASTSALNKLKKQSFFAKKSGDSDELSDDCVICLEGLSGCREALTKMTCNHIFHERCIFGWLEVQNSCPTCRRELED >Manes.12G118200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31938721:31942491:-1 gene:Manes.12G118200.v8.1 transcript:Manes.12G118200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEFSCCGTGFFVHIAIAIFLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGMPTDRKHAAKILPVVKRQHLLLCTLLICNAAAMEALPIFLDGLVSAWGAILISVTLILLFGEIIPQAVCSRYGLAIGAAVAPIVQVLVCICFPVAYPISKLLDFLLGHGHDALFRRAELKTLVHLHGNEAGKGGELTRDETTIIAGALELTEKKARDAMTPISETFSIDINAKLDRDLMMLILEKGHSRVPVYHDHPENLIGLVLVTTCQAKNLLTIHPEDEVPVKNVTIRKIPRVSETMPLYDILNEFQKGHSHMAVVIRQHDDTEQSAGKSTNHVRDVRVDIDGDIVAHKQSLKSNRSLKKLKSLSSDTNLHRCYSKHKKWANGVHSEVLHIDDDHLPSLSEEGKAIGIITLEDVIEELLQEEIFDETDYRDGNH >Manes.12G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31938721:31942491:-1 gene:Manes.12G118200.v8.1 transcript:Manes.12G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEFSCCGTGFFVHIAIAIFLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGMPTDRKHAAKILPVVKRQHLLLCTLLICNAAAMEALPIFLDGLVSAWGAILISVTLILLFGEIIPQAVCSRYGLAIGAAVAPIVQVLVCICFPVAYPISKLLDFLLGHGHDALFRRAELKTLVHLHGNEAGKGGELTRDETTIIAGALELTEKKARDAMTPISETFSIDINAKLDRDLMMLILEKGHSRVPVYHDHPENLIGLVLAKNLLTIHPEDEVPVKNVTIRKIPRVSETMPLYDILNEFQKGHSHMAVVIRQHDDTEQSAGKSTNHVRDVRVDIDGDIVAHKQSLKSNRSLKKLKSLSSDTNLHRCYSKHKKWANGVHSEVLHIDDDHLPSLSEEGKAIGIITLEDVIEELLQEEIFDETDYRDGNH >Manes.14G031901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2861518:2863818:-1 gene:Manes.14G031901.v8.1 transcript:Manes.14G031901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFIVDFPSSFDAACLPYFWFFTAWPWFINKTMKAFYFAFLFIISAAESISLKPHALDSFNLSLIQNVGSCSYQVIISTSCSSPKYTRDAISLSFGDAYGNQVYAPRLDDPSTKTFERCSSDTFQITGPCAYQICYAYLYRTGPDGWKPESVKIYAYNSYPVTFDYNVFIPRDTWYGFNLCQGASSAYVGRVQVWFVFVFLLVVVAVIF >Manes.14G031901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2851373:2863818:-1 gene:Manes.14G031901.v8.1 transcript:Manes.14G031901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFIVDFPSSFDAACLPYFWFFTAWPWFINKTMKAFYFAFLFIISAAESISLKPHALDSFNLSLIQNVGSCSYQVIISTSCSSPKYTRDAISLSFGDAYGNQVYAPRLDDPSTKTFERCSSDTFQITGPCAYQICYAYLYRTGPDGWKPESVKIYAYNSYPVTFDYNVFIPRDTWYGFNLCQGASSAYVGRVQVQTRGTEDPSSEFSCF >Manes.14G031901.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2852838:2863818:-1 gene:Manes.14G031901.v8.1 transcript:Manes.14G031901.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFIVDFPSSFDAACLPYFWFFTAWPWFINKTMKAFYFAFLFIISAAESISLKPHALDSFNLSLIQNVGSCSYQVIISTSCSSPKYTRDAISLSFGDAYGNQVYAPRLDDPSTKTFERCSSDTFQITGPCAYQICYAYLYRTGPDGWKPESVKIYAYNSYPVTFDYNVFIPRDTWYGFNLCQGASSAYVGRVQVQTRGTEDPSSEFSCF >Manes.14G031901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2850705:2863818:-1 gene:Manes.14G031901.v8.1 transcript:Manes.14G031901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFIVDFPSSFDAACLPYFWFFTAWPWFINKTMKAFYFAFLFIISAAESISLKPHALDSFNLSLIQNVGSCSYQVIISTSCSSPKYTRDAISLSFGDAYGNQVYAPRLDDPSTKTFERCSSDTFQITGPCAYQICYAYLYRTGPDGWKPESVKIYAYNSYPVTFDYNVFIPRDTWYGFNLCQGASSAYVGRVQVQTRGTEDPSSEFSCF >Manes.02G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5501359:5503774:1 gene:Manes.02G072100.v8.1 transcript:Manes.02G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVSPPSDSKAIFPPCKSPPIASSPPSDHLGTRQFASNFTTLYHSILPPKPSPLPSLSFSLTSSTSSPSSAATIDDFDTENRLHQARLILEYQELCDHYDLSLARLQLLTKDIDSLRQENTNLRYANNELVKLLSLSSKAAIQSRFSSREMVEPNRFDGNTERVSLPKSISVRSSGYLKMNRAAASNGGQSSTSARQRVPSHFDQFVSGSLHQRVCVPGGVKRENAAVELDVYNQGMWKTELCNKWQETGTCPYGDHCQFAHGITELRPVIRHPRYKTQVCRMVLAGEACPYGHRCHFRHSLSEQERLMGPH >Manes.14G082900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6899530:6903081:-1 gene:Manes.14G082900.v8.1 transcript:Manes.14G082900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELSLSKNVCVIGAGPSGLVAARELRKEGHRVVVLEQKHDVGGQWLYEPNVEKEDCLGRNKFLEVHSSIYESLRLVSPREIMGYTDFPFLVKKGRDMRRFPGHRELWLYLKDFSDHFGLREMIRFNTRVEYVGMLDYGEFKEDLKWVVKSREQNNGKKEIEEVFDAVVVATGHYSHPRMPTIKGMDTWKRKQMHSHIYRVPEPFRNEVVVVVGNSLSGQDISMELVQVAKEVHLSAKSLEITPGLSKVISNRENLHLRSQIEFLEEDGRVVFADGSQIIADTILYCTGYTYTFPFLDTKGIVSVEDGRVGPLYEHTFPPSLAPSLSFIGIPRKIIGFPFFESQAKWIAQLLSGKRRLPSWDEMILAIKEFYQSRDASATPKHNTHDIADFEAKLHELEKGLVIIKGLVIIKVL >Manes.14G082900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6899530:6903081:-1 gene:Manes.14G082900.v8.1 transcript:Manes.14G082900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELSLSKNVCVIGAGPSGLVAARELRKEGHRVVVLEQKHDVGGQWLYEPNVEKEDCLGRNKFLEVHSSIYESLRLVSPREIMGYTDFPFLVKKGRDMRRFPGHRELWLYLKDFSDHFGLREMIRFNTRVEYVGMLDYGEFKEDLKWVVKSREQNNGKKEIEEVFDAVVVATGHYSHPRMPTIKGMDTWKRKQMHSHIYRVPEPFRNEVVVVVGNSLSGQDISMELVQVAKEVHLSAKSLEITPGLSKVISNRENLHLRSQIEFLEEDGRVVFADGSQIIADTILYCTGYTYTFPFLDTKGIVSVEDGRVGPLYEHTFPPSLAPSLSFIGIPRKIIGFPFFESQAKWIAQLLSGKRRLPSWDEMILAIKEFYQSRDASATPKHNTHDIADFEYCDRYADHVGFPHLEEWRKQLCISALVNADANLETYRDSWDDHDLLQEALQSPHFTRPLGVQDFTV >Manes.11G043701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4771142:4779173:1 gene:Manes.11G043701.v8.1 transcript:Manes.11G043701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEKNHAPARNAEGNGLRKYEFTELAEASRYFSNGNRIARGRFGDVYKGVDVAIKKLDISEDDHWEKKFQDLIKAFGTVHHENLVKLIGYCSSSSDKLLVSEFVSNKSLKFYLYDEKKRSNLTWARRMKIAKDTAKGLAHLHEGSPPIIHGDIKAANILLDHNFNPKVAEFGLDNLYPKNDHKNHPSQSVDVYSFGIVLLELIMGKNVGKRDISKAKSIMEKLKKKGNYSLKNDDAGLIDPNLIGHYDASEMSRMIYCVAISISKPLLSSMKQIVEVLEGAKELQSFNSQQDPSPHNGLAFNYGPKKFEYKVLADATDGFSNQFNRGRGGFGVVYEASLTFQRHTKDHKTHTVAIKKLTYEQDDNPGKEEFEKEIKAVGAARHRNLVELIGYCSTKHDKLLVLEFVSNKSLRYHLHGNKTLTLDWSKRMKIARSAAKALAYLHDDAPEKIIHRDIKGDNILLTDKFESKIADFGLVKFFPQTDSVTHITTAVNKGTYIYADLDSKQVSEKSDVYSFGVVLLELITGRDPFMDDGIVKWATSLPQMIEGDDLIKVKDDFVDSKLRGVYDESEVKQMVYCAAASVYKPPISRPTMKQIVQVLTGKLSPENLWSAESPPRQVNPDKKSRKPNPNEQNPSTLLQQFKYEDLASATKAFSGEYRRRKDSCFEVYEAVISSGSNYEQNVTIKKLIHFSAQQKDEFEGEIKTIVNVRHRNIVKLIGYCSDEHNNRLLVFESTSGKSLKSCLHAEEGKNSADLLWPTRMKIAQGIANALKYMHEDCKPRILHLFVKLDNIFLNEKFEPKLAEFGSAEFFPESATHVSIDKMMKDSGYLAPEYDSSNKKHLTDKFDVYSFGVILLELITGKQSVVEFDRHETTLVKWMLAMPQFKRSPFKGEGKENFVDEKLKEYDIKQMDRMIACALACIHDNPQKRPQMCKILDVLEGIADLETLNLLP >Manes.11G043701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4771142:4779262:1 gene:Manes.11G043701.v8.1 transcript:Manes.11G043701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEKNHAPARNAEGNGLRKYEFTELAEASRYFSNGNRIARGRFGDVYKGVDVAIKKLDISEDDHWEKKFQDLIKAFGTVHHENLVKLIGYCSSSSDKLLVSEFVSNKSLKFYLYDEKKRSNLTWARRMKIAKDTAKGLAHLHEGSPPIIHGDIKAANILLDHNFNPKVAEFGLDNLYPKNDHKNHPSQSVDVYSFGIVLLELIMGKNVGKRDISKAKSIMEKLKKKGNYSLKNDDAGLIDPNLIGHYDASEMSRMIYCVAISISKPLLSSMKQIVEVLEGAKELQSFNSQQDPSPHNGLAFNYGPKKFEYKVLADATDGFSNQFNRGRGGFGVVYEASLTFQRHTKDHKTHTVAIKKLTYEQDDNPGKEEFEKEIKAVGAARHRNLVELIGYCSTKHDKLLVLEFVSNKSLRYHLHGNKTLTLDWSKRMKIARSAAKALAYLHDDAPEKIIHRDIKGDNILLTDKFESKIADFGLVKFFPQTDSVTHITTAVNKGTYIYADLDSKQVSEKSDVYSFGVVLLELITGRDPFMDDGIVKWATSLPQMIEGDDLIKVKDDFVDSKLRGVYDESEVKQMVYCAAASVYKPPISRPTMKQIVQVLTGKLSPENLWSAESPPRQVNPDKKSRKPNPNEQNPSTLLQQFKYEDLASATKAFSGEYRRRKDSCFEVYEAVISSGSNYEQNVTIKKLIHFSAQQKDEFEGEIKTIVNVRHRNIVKLIGYCSDEHNNRLLVFESTSGKSLKSCLHEEGKNSADLLWPTRMKIAQGIANALKYMHEDCKPRILHLFVKLDNIFLNEKFEPKLAEFGSAEFFPESATHVSIDKMMKDSGYLAPEYDSSNKKHLTDKFDVYSFGVILLELITGKQSVVEFDRHETTLVKWMLAMPQFKRSPFKGEGKENFVDEKLKEYDIKQMDRMIACALACIHDNPQKRPQMCKILDVLEGIADLETLNLLP >Manes.11G043701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4771142:4779262:1 gene:Manes.11G043701.v8.1 transcript:Manes.11G043701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEKNHAPARNAEGNGLRKYEFTELAEASRYFSNGNRIARGRFGDVYKGVDVAIKKLDISEDDHWEKKFQDLIKAFGTVHHENLVKLIGYCSSSSDKLLVSEFVSNKSLKFYLYDEKKRSNLTWARRMKIAKDTAKGLAHLHEGSPPIIHGDIKAANILLDHNFNPKVAEFGLDNLYPKNDHKNHPSQSVDVYSFGIVLLELIMGKNVGKRDISKAKSIMEKLKKKGNYSLKNDDAGLIDPNLIGHYDASEMSRMIYCVAISISKPLLSSMKQIVEVLEGAKELQSFNSQQDPSPHNGLAFNYGPKKFEYKVLADATDGFSNQFNRGRGGFGVVYEASLTFQRHTKDHKTHTVAIKKLTYEQDDNPGKEEFEKEIKAVGAARHRNLVELIGYCSTKHDKLLVLEFVSNKSLRYHLHGNKTLTLDWSKRMKIARSAAKALAYLHDDDFGLVKFFPQTDSVTHITTAVNKGTYIYADLDSKQVSEKSDVYSFGVVLLELITGRDPFMDDGIVKWATSLPQMIEGDDLIKVKDDFVDSKLRGVYDESEVKQMVYCAAASVYKPPISRPTMKQIVQVLTGKLSPENLWSAESPPRQVNPDKKSRKPNPNEQNPSTLLQQFKYEDLASATKAFSGEYRRRKDSCFEVYEAVISSGSNYEQNVTIKKLIHFSAQQKDEFEGEIKTIVNVRHRNIVKLIGYCSDEHNNRLLVFESTSGKSLKSCLHEEGKNSADLLWPTRMKIAQGIANALKYMHEDCKPRILHLFVKLDNIFLNEKFEPKLAEFGSAEFFPESATHVSIDKMMKDSGYLAPEYDSSNKKHLTDKFDVYSFGVILLELITGKQSVVEFDRHETTLVKWMLAMPQFKRSPFKGEGKENFVDEKLKEYDIKQMDRMIACALACIHDNPQKRPQMCKILDVLEGIADLETLNLLP >Manes.11G043701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4771142:4779173:1 gene:Manes.11G043701.v8.1 transcript:Manes.11G043701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEKNHAPARNAEGNGLRKYEFTELAEASRYFSNGNRIARGRFGDVYKGVDVAIKKLDISEDDHWEKKFQDLIKAFGTVHHENLVKLIGYCSSSSDKLLVSEFVSNKSLKFYLYDEKKRSNLTWARRMKIAKDTAKGLAHLHEGSPPIIHGDIKAANILLDHNFNPKVAEFGLDNLYPKNDHKNHPSQSVDVYSFGIVLLELIMGKNVGKRDISKAKSIMEKLKKKGNYSLKNDDAGLIDPNLIGHYDASEMSRMIYCVAISISKPLLSSMKQIVEVLEGAKELQSFNSQQDPSPHNGLAFNYGPKKFEYKVLADATDGFSNQFNRGRGGFGVVYEASLTFQRHTKDHKTHTVAIKKLTYEQDDNPGKEEFEKEIKAVGAARHRNLVELIGYCSTKHDKLLVLEFVSNKSLRYHLHGNKTLTLDWSKRMKIARSAAKALAYLHDDDFGLVKFFPQTDSVTHITTAVNKGTYIYADLDSKQVSEKSDVYSFGVVLLELITGRDPFMDDGIVKWATSLPQMIEGDDLIKVKDDFVDSKLRGVYDESEVKQMVYCAAASVYKPPISRPTMKQIVQVLTGKLSPENLWSAESPPRQVNPDKKSRKPNPNEQNPSTLLQQFKYEDLASATKAFSGEYRRRKDSCFEVYEAVISSGSNYEQNVTIKKLIHFSAQQKDEFEGEIKTIVNVRHRNIVKLIGYCSDEHNNRLLVFESTSGKSLKSCLHAEEGKNSADLLWPTRMKIAQGIANALKYMHEDCKPRILHLFVKLDNIFLNEKFEPKLAEFGSAEFFPESATHVSIDKMMKDSGYLAPEYDSSNKKHLTDKFDVYSFGVILLELITGKQSVVEFDRHETTLVKWMLAMPQFKRSPFKGEGKENFVDEKLKEYDIKQMDRMIACALACIHDNPQKRPQMCKILDVLEGIADLETLNLLP >Manes.S037216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:991362:991529:1 gene:Manes.S037216.v8.1 transcript:Manes.S037216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.14G066100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5520852:5522867:1 gene:Manes.14G066100.v8.1 transcript:Manes.14G066100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSLEQTTLEGLKKLPEGEKHQDEKAGGLQVSQQQPYDPVQMIKNGFRYFMKHIYDPAVIEGQHPKFLVFACSDSRVSPSHVLNFRPGEAFMVCNVANLVPAFNQLRYSGTGAAIEFSVKYLEVENILVIGHSLCGGIKRLMELPEDGSTSNDFIDDWVKIGLPAKAKVLAENPHLTHEQQCGICEREAVTLSLVNIQSYPYVRAAMAKGKLALMGGYYNFVEGNFELWKIKYHIQSPEIIRP >Manes.14G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5520902:5522866:1 gene:Manes.14G066100.v8.1 transcript:Manes.14G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSLEQTTLEGLKKLPEGEKHQDEKAGGLQVSQQQPYDPVQMIKNGFRYFMKHIYDPAVIEGQHPKFLVFACSDSRVSPSHVLNFRPGEAFMVCNVANLVPAFNQLRYSGTGAAIEFSVKYLEVENILVIGHSLCGGIKRLMELPEDGSTSNDFIDDWVKIGLPAKAKVLAENPHLTHEQQCGICEREAVTLSLVNIQSYPYVRAAMAKGKLALMGGYYNFVEGNFELWKIKYHIQSPEIIRP >Manes.09G011636.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2358961:2359926:1 gene:Manes.09G011636.v8.1 transcript:Manes.09G011636.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMPWRRKSRSFHLQLQGAIDTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSINILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPDVRTYSTIINGMCKFGKTNVAIGLLKGMAVRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTVLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNIMIQEV >Manes.03G029700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2393785:2399488:1 gene:Manes.03G029700.v8.1 transcript:Manes.03G029700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRGLSLEEKRERILQIFYDSQDFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVHRKLESDLQSRKKRHAELVDQCDALKKGREESEEREDALAVLKAIELKHNELKEEMDQYADNDPAAFEAMKKATGVAHAAANRWTDNIFTLRQWCSNNFPEAKEQLENMYKEIGITDDFDYLEPLQIVPVGSVGDQVQEGNS >Manes.03G029700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2393647:2399488:1 gene:Manes.03G029700.v8.1 transcript:Manes.03G029700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRGLSLEEKRERILQIFYDSQDFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVHRKLESDLQSRKKRHAELVDQCDALKKGREESEEREDALAVLKAIELKHNELKEEMDQYADNDPAAFEAMKKATGVAHAAANRWTDNIFTLRQWCSNNFPEAKEQLENMYKEIGITDDFDYLEPLQIVPVGSVGDQVQEGNS >Manes.03G029700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2393627:2399488:1 gene:Manes.03G029700.v8.1 transcript:Manes.03G029700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRGLSLEEKRERILQIFYDSQDFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVHRKLESDLQSRKKRHAELVDQCDALKKGREESEEREDALAVLKAIELKHNELKEEMDQYADNDPAAFEAMKKATGVAHAAANRWTDNIFTLRQWCSNNFPEAKEQLENMYKEIGITDDFDYLEPLQIVPVGSVGDQVQEGNS >Manes.03G029700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2393785:2399488:1 gene:Manes.03G029700.v8.1 transcript:Manes.03G029700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRGLSLEEKRERILQIFYDSQDFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVSKDKIGTSVYFWSLPSCAGNQLRNVHRKLESDLQSRKKRHAELVDQCDALKKGREESEEREDALAVLKAIELKHNELKEEMDQYADNDPAAFEAMKKATGVAHAAANRWTDNIFTLRQWCSNNFPEAKEQLENMYKEIGITDDFDYLEPLQIVPVGSVGDQVQEGNS >Manes.18G032100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2717465:2723534:-1 gene:Manes.18G032100.v8.1 transcript:Manes.18G032100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKIEPRCIQRDAYGPADKVVVAVKAEKVISKTALAWALTHVVHPGDCISLLAVYSNCKTGKRFWSFPRFTGDCGSSQKERLPDRVCEISESCSQMVLQFHNQVEVGVRIKVVSSKSGSAVAAEARRNGANWVVLDKKLKQELRHCIEELRCNIVVMKGSQAKVLRLNLGSSDEVQTPYYSAASSPERDFGGHRMKHSTPVSSPEESSTSYSRTRDDSLSSCDSTTPLFLIYKQNPLFEGTNKGKYAPEDNQNDSDDSLAAHCSYDRLITLSANPTSSVASNKNSVLWIPQNHLVDEKCPRTQNQNTSKSRSKASGTLLDKFVQYDQDSRAGIAEFSRIHQKEYTATSSIRNAVSLGRTSSMPPPLCSLCQHKAPVFGKPPRQFSYKELEKATEGFSDMNFLAEGGFGYVYRGVLGDGQVVAVKLLKSGNSQEDADFCREVRVLSCAQHRNVVMLIGFCIDGKKRMLVYEYICNSSLDFHLHGNKKAPLDWHSRMKVGIGTARGLRYLHEDCRVGCIVHRDMRPNNILITHDFEPLVADFGLARWLSEWNISTGGRVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRMSEIHLYEGQHFLSDLHTLAALEPSHVLQSIYRLLDPYLATEKGHEFSHQLKAMGQAAFLCLHPDPESRPAMSKVLRILEGGDLVHSLGLDMNPAGSRSGHLRGLSLHKEDKISHSRKLSH >Manes.18G032100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2717465:2723534:-1 gene:Manes.18G032100.v8.1 transcript:Manes.18G032100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKIEPRCIQRDAYGPADKVVVAVKAEKVISKTALAWALTHVVHPGKRFWSFPRFTGDCGSSQKERLPDRVCEISESCSQMVLQFHNQVEVGVRIKVVSSKSGSAVAAEARRNGANWVVLDKKLKQELRHCIEELRCNIVVMKGSQAKVLRLNLGSSDEVQTPYYSAASSPERDFGGHRMKHSTPVSSPEESSTSYSRTRDDSLSSCDSTTPLFLIYKQNPLFEGTNKGKYAPEDNQNDSDDSLAAHCSYDRLITLSANPTSSVASNKNSVLWIPQNHLVDEKCPRTQNQNTSKSRSKASGTLLDKFVQYDQDSRAGIAEFSRIHQKEYTATSSIRNAVSLGRTSSMPPPLCSLCQHKAPVFGKPPRQFSYKELEKATEGFSDMNFLAEGGFGYVYRGVLGDGQVVAVKLLKSGNSQEDADFCREVRVLSCAQHRNVVMLIGFCIDGKKRMLVYEYICNSSLDFHLHGNKKAPLDWHSRMKVGIGTARGLRYLHEDCRVGCIVHRDMRPNNILITHDFEPLVADFGLARWLSEWNISTGGRVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRMSEIHLYEGQHFLSDLHTLAALEPSHVLQSIYRLLDPYLATEKGHEFSHQLKAMGQAAFLCLHPDPESRPAMSKVLRILEGGDLVHSLGLDMNPAGSRSGHLRGLSLHKEDKISHSRKLSH >Manes.18G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2717465:2723534:-1 gene:Manes.18G032100.v8.1 transcript:Manes.18G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGKIEPRCIQRDAYGPADKVVVAVKAEKVISKTALAWALTHVVHPGDCISLLAVYSNCKTGKRFWSFPRFTGDCGSSQKERLPDRVCEISESCSQMVLQFHNQVEVGVRIKVVSSKSGSAVAAEARRNGANWVVLDKKLKQELRHCIEELRCNIVVMKGSQAKVLRLNLGSSDEVQTPYYSAASSPERDFGGHRMKHSTPVSSPEESSTSYSRTRDDSLSSCDSTTPLFLIYKQNPLFEGTNKGKYAPEDNQNDSDDSLAAHCSYDRLITLSANPTSSVASNKNSVLWIPQNHLVDEKCPRTQNQNTSKSRSKASGTLLDKFVQYDQDSRAGIAEFSRIHQKEYTATSSIRNAVSLGRTSSMPPPLCSLCQHKAPVFGKPPRQFSYKELEKATEGFSDMNFLAEGGFGYVYRGVLGDGQVVAVKLLKSGNSQEDADFCREVRVLSCAQHRNVVMLIGFCIDGKKRMLVYEYICNSSLDFHLHGNKKAPLDWHSRMKVGIGTARGLRYLHEDCRVGCIVHRDMRPNNILITHDFEPLVADFGLARWLSEWNISTGGRVIGTSGYLAPEYINGGKITQKVDVYAFGVVLLELMTGQRMSEIHLYEGQHFLSDLHTLAALEPSHVLQSIYRLLDPYLATEKGHEFSHQLKAMGQAAFLCLHPDPESRPAMSKVLRILEGGDLVHSLGLDMNPAGSRSGHLRGLSLHKEDKISHSRKLSH >Manes.09G098760.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29726246:29727568:-1 gene:Manes.09G098760.v8.1 transcript:Manes.09G098760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRKRMAGDRRIGVAMDFSQGSKKALQWAIDNLADEGDSLYIVHVNHNSLDDSRRKLSAKSGSPLIPLSEFREPEIMKTYDMKIDIEVLDMLDTISRQKDVQVITKLYWGGDARDKLLEAIEDLKLNCLVMGSRGHGTVQRIILGSVSTYVMNHAPCPVTIVKDK >Manes.18G136212.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22999028:23021686:-1 gene:Manes.18G136212.v8.1 transcript:Manes.18G136212.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLGWDIVQSIIAVGAEAILAALEQTSPHNATAAIYGVTMAIVSVLLTFTDLTFKKYMLIRDKNTRPNNKHHKHHKLRWEFANSFGSIRSILTLISFCLHYNFLSNGKQQPIQFSTIPFAFSVCEFCSRVLGQPSHKHKPIFVLNCKHLDFINLDIESDNDKPTQFGCPAYQIE >Manes.08G006700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1001387:1004324:-1 gene:Manes.08G006700.v8.1 transcript:Manes.08G006700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFFFLLLFLLISCIGVSGFGSMGPISAAFGDNGFFCAIDASGKQGVICWTKNNTLPSSSSSSSSSSPSSTSSGYFSSIPAMTSLSGGEGFLCGILANSSQAFCWSSIYSGQDLIPSIYRNTAYWQIAAGKNHVCAVRGSYYSGHDSGTIDCWDIVKTTNNSLTSMQSSRFYDQSISNLVFSKVVSGEGYSCGRVREGGVVCWGPNSASLGVSSVSDNYNVLASGRVSLCGILEESNEIKCWGISNDSFSSHPVGTQFVSLTAGSNHFCGIREDNHGVECWGSFNLSSVPKGGSGFTAIASSDFTTCGIREDDLVIDCWFANGTSPPEYNPPLELFSPGLCGPGPCGEGEFVFNASFLNEPDLTSLCVRKDLKICFPCASNCSQGFFLSSPCTDNADRVCTACSLCQNSSCWDVCGMQSSAQKQWHHLLRLVIIIGSSALGFILILISCCILSRSIVTPKEEGSKKQFKSCIGKPELENDNASDSYQLTSMASCPGVAQVFRLSELRDATNGFKEFNKLGRGSYGFVYKAVLTDGRQVAVKRANAATIIHTNSRDFEMELEVLCNIRHRNIVNLLGYCSEMGERLLVYEYMPHGTLHDHLHSGLSPLNWSLRLKIAMQAAKGLEYLHKEAEPPIVHRNVKTSNILLDSDWGARIADFGLLTANEKDLGAGGDMKTDVYNFGIVLLEILSGRKAYDGDSTPPNIVDWAIPIIKQGKAAAIIDRYVALPRNFEPLLKLADIAELAVREDPNNRPTMSDLATWLEQIVNDGLTF >Manes.12G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3723022:3726174:1 gene:Manes.12G043200.v8.1 transcript:Manes.12G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAMVDSLCSISPSPSLKCCRIQTLTLTPNSQFFSSASFLKLKRQYLLLPKFRLLKPATRNSRCAPVVFAAQFDIFKVVQTAWKVGRDGVEAGTDLVPDSVPRPIARISVTVVALAVSLFLLKSFLSTAFFALATMGFVYFMFIALNKDKGPRRGGGADSSEDPVEEARRIMEKYK >Manes.09G155200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35124389:35129418:-1 gene:Manes.09G155200.v8.1 transcript:Manes.09G155200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDSVSIDIDILSFEGKEHVVKTSRGSISVYACGDQEKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHIDAPGHELGADVISSDVPLLSVDDLADQVAEVLDFFGLKEVLCLGVTAGAYILTLFAMKYKERVLGLILVSPICKAPSWTEWLYNKVLLNLLYFYGMCGVLKECLIQRYFSKEIRCGVHGAESDIIQACRRLLDERQSLNVMRFLQAINERHDLTNGLKELQCKTLIFVGESSQFHDESVYMSGKMGKKSCALVEVQACGSLVTEEHPYAMIIPIEFFLMGFGYHRQPHFASSSSNGSNPASPLSHCCIAPELLSPESLGIKLKPIKTRVNIEV >Manes.11G028000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2706893:2712324:1 gene:Manes.11G028000.v8.1 transcript:Manes.11G028000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREEQKPLFRAKVPLSILGLPFQSGIVAGGDFKEFTLNLATFFQSGPSIKVAYRPNDAWNPFSLIVRTGIGPLGSPMASSMLMNVEFSLLGRGNPSFMLHFKPRIGDFSIKTSQSSSFFEKSGNDVGSLPNCNCDGPIEAVEPPVMNGISVLPPPTVRTIDGALSGLEVTANTKLPVRRAVLNFRWGVGVPEEIRNGIGSITGINLRKIPFLVMNKIGIELMSGDDSKSKGAVSAATKLSSILTNADMAEACLMVKRQLEVLQAENGLLKKAVEDLRHEIAAGKLGSPVADFNSGKYRNEMRNLNGSRTERRGNEN >Manes.11G028000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2710577:2712361:1 gene:Manes.11G028000.v8.1 transcript:Manes.11G028000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREEQKPLFRAKVPLSILGLPFQSGIVAGGDFKEFTLNLATFFQSGPSIKVAYRPNDAWNPFSLIVRTGIGPLGSPMASSMLMNVEFSLLGRGNPSFMLHFKPRIGDFSIKTSQSSSFFEKSGNDVGSLPNCNCDGPIEAVEPPVMNGISVLPPPTVRTIDGALSGLEVTANTKLPVRRAVLNFRWGVGVPEEIRNGIGSITGINLRKIPFLVMNKIGIELMSGDDSKSKGAVSAATKLSSILTNADMAEACLMVKRQLEVLQAENGLLKKAVEDLRHEIAAGKLGSPVADFNSGKYRNEMRNLNGSRTERRGNEN >Manes.11G028000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2710552:2712719:1 gene:Manes.11G028000.v8.1 transcript:Manes.11G028000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREEQKPLFRAKVPLSILGLPFQSGIVAGGDFKEFTLNLATFFQSGPSIKVAYRPNDAWNPFSLIVRTGIGPLGSPMASSMLMNVEFSLLGRGNPSFMLHFKPRIGDFSIKTSQSSSFFEKSGNDVGSLPNCNCDGPIEAVEPPVMNGISVLPPPTVRTIDGALSGLEVTANTKLPVRRAVLNFRWGVGVPEEIRNGIGSITGINLRKIPFLVMNKIGIELMSGDDSKSKGAVSAATKLSSILTNADMAEACLMVKRQLEVLQAENGLLKKAVEDLRHEIAAGKLGSPVADFNSGKYRNEMRNLNGSRTERRGNEN >Manes.11G028000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2710545:2712719:1 gene:Manes.11G028000.v8.1 transcript:Manes.11G028000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKFREEQKPLFRAKVPLSILGLPFQSGIVAGGDFKEFTLNLATFFQSGPSIKVAYRPNDAWNPFSLIVRTGIGPLGSPMASSMLMNVEFSLLGRGNPSFMLHFKPRIGDFSIKTSQSSSFFEKSGNDVGSLPNCNCDGPIEAVEPPVMNGISVLPPPTVRTIDGALSGLEVTANTKLPVRRAVLNFRWGVGVPEEIRNGIGSITGINLRKIPFLVMNKIGIELMSGDDSKSKGAVSAATKLSSILTNADMAEACLMVKRQLEVLQAENGLLKKAVEDLRHEIAAGKLGSPVADFNSGKYRNEMRNLNGSRTERRGNEN >Manes.08G149900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38529922:38534535:-1 gene:Manes.08G149900.v8.1 transcript:Manes.08G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISHFCPMSLRGSSTSLPFHVLRKFRPPFTLKPIQIPWKCFRRNLSPPRLAYDAVDEIYDQENEGHFRGTEFLHLMDEQGVRANSQTYLWLLDFCLNSGSFAECKKLHGKIVKAGFDRERVLCDKLIDFYLAEGDLDSVVQVFDDMPTRNMITWNKVLYGLVANKMSNRVLSLFSQMVQENVNPSEVTFASVLRACGGSNTAFCYIEQIHARMICHGFLTSPIACNPLIDLYAKNGFLDSARKVFDKLSVKDSASWVAMISGFSQNGYGEEAIRLFYEMHISGIFPTPYVFSSVLSACTKVELFDTGEQLHALVFKYGFFWETYVCNALVTLYSRLGNFVCAEQVFSNIHKKDEVSYNSLISGLAQQGYSDRALKLFKKMQLDHLKPDCVTIASLMSACASIGALCKGEQLHSYVIKTGMCFDIIVEGSLLDLYVKCSDIKTAHKFFLTTQTENVVLWNVMLVAYGQLDNLSESFQTFRQMQIEGLIPNQFTYPSILRTCTTFGALDLGEQIHSQVIKAGFQFNVYVCSVLIDMYAKHGKLDIAQGILRRLTVEDVVSWTAMIAGYTQNDLFSEALKLFEEMLIRGIQSDNIGFSSAISACAGIQALNQGRQIHAQSYVCGFSQDISIGNALVNLYARCGKIQEAYLAFEKNDAKDSISWNGLISGFAQSGYCEEAMKIFVQMKRANVEASVFTFGSAVSAAANMATIKQGKQFHSLIIKTGFDSEIEVSNALITLYAKCGCIDDAKREFFEMSEKNVVSWNAMISGYSQHGCGKEAVNLFEEMKQVGALPNHVTFVGALSACSHVGLVNEGLAYFESMSKEHDLVPKPEHYACVVDLLSRAGRLSQAKKFVEEMPFVPDAMVWRTLLSACTVHKNTAIGEFAALNLLELEPEDSATYVLLSNMYAVAGNWDCRDQTRQMMKDRGVKKEPGRSWIEIRNSVHAFFVGDKLHPLADKIYEFLADLNKRAAEIGYMQDRYSLLNDVEQEQKDPTVYIHSEKLAIAFGLLSLSDPIPIHVMKNLRVCIDCHTWIKYVSKISNRTIVVRDAYRFHHFEGGVCSCGDYW >Manes.17G091900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30009721:30015566:1 gene:Manes.17G091900.v8.1 transcript:Manes.17G091900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGPLFRFNHRRQLPATRLLRSRVNTFPPAAVNMLPESAVPRVVLVFAVVFVSCLLLYGAADSLRFLSFPSGSSVSFPYIFPSLLDNDSVPVSDEQKLEKVLKDAAMEGRTVILTTLNEAWAAPNSIIDLFLGSFRIGEHTRRLLNHLVIVALDEKAYLRCKEVHSHCFALVTEGIDFHKEAYFMTPAYLKMMWRRIDFLRSVLEMGYNFVFTDADIMWFRDPFPRFYTDADFQIACDHFTGSSVNLENRPNGGFNYVKSNNRSIEFYKFWYSSQETYPGYHDQDVLNFIKFDTFVEDIGLKMRFLDTAYFGGFCEPSKDLSLVCTMHANCCYGLDSKLHDLRIMLQDWKDFLSLPPSLKNSSVVSWRVPQNCSLHSLHHYSESPETSFQEGSQQ >Manes.17G091900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30009721:30015566:1 gene:Manes.17G091900.v8.1 transcript:Manes.17G091900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGPLFRFNHRRQLPATRLLRSRVNTFPPAAVNMLPESAVPRVVLVFAVVFVSCLLLYGAADSLRFLSFPSGSSVSFPYIFPSLLDNDSVPVSDEQKLEKVLKDAAMEGRTVILTTLNEAWAAPNSIIDLFLGSFRIGEHTRRLLNHLVIVALDEKAYLRCKEVHSHCFALVTEGIDFHKEAYFMTPAYLKMMWRRIDFLRSVLEMGYNFVFTDADIMWFRDPFPRFYTDADFQIACDHFTGSSVNLENRPNGGFNYVKSNNRSIEFYKFWYSSQETYPGYHDQDVLNFIKFDTFVEDIGLKMRFLDTAYFGGFCEPSKDLSLVCTMHANCCYGLDSKLHDLRIMLQDWKDFLSLPPSLKNSSVVSWRVPQNCSLHSLHHYSESPETSFQEGSQQ >Manes.17G091900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30009721:30015566:1 gene:Manes.17G091900.v8.1 transcript:Manes.17G091900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGPLFRFNHRRQLPATRLLRSRVNTFPPAAVNMLPESAVPRVVLVFAVVFVSCLLLYGAADSLRFLSFPSGSSVSFPYIFPSLLDNDSVPVSDEQKLEKVLKDAAMEGRTVILTTLNEAWAAPNSIIDLFLGSFRIGEHTRRLLNHLVIVALDEKAYLRCKEVHSHCFALVTEGIDFHKEAYFMTPAYLKMMWRRIDFLRSVLEMGYNFVFTDADIMWFRDPFPRFYTDADFQIACDHFTGSSVNLENRPNGGFNYVKSNNRSIEFYKFWYSSQETYPGYHDQDVLNFIKFDTFVEDIGLKMRFLDTAYFGGFCEPSKDLSLVCTMHANCCYGLDSKLHDLRIMLQDWKDFLSLPPSLKNSSVVSWRVPQNCSLHSLHHYSESPETSFQEGSQQ >Manes.01G036300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6820497:6827039:-1 gene:Manes.01G036300.v8.1 transcript:Manes.01G036300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSGGVLIAILFMLLILRYVLMKNPIGENYLMNALSNGSNPLEWVQSTLPPAYKNTENSTQVISTDTIIFSLFAQRNISKEEQESLRTWNLLKHLIDQAQALPSGLEAIKEAGSAWNSLMASIEEERRGNTNESLNSRAKEKQCPHFLNKVNASGFESSGFKLRLPCGLTQGSSITIIGIPNGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEERCPSPTPEKNKKVDELDQCNKIVGRNYTQPSTHSEGSRRSSMVLEGSKRRYFPFKQGYLSVATLRIGSEFQMTVDGKHITSFAYRETLEPWLVSDVRISGDLNLISVVASGLPTSEDSEHTIDLETLKSAPLSTEKSPNLFIGVFSTANNFKRRMAVRRTWMQYDAVRSGTAAVRFFVGLHKNQLVNEELWNEARTYGDIQIMPFVDYYNLITWKTLAICIFGTEVASANYVMKTDDDAFVRVDEVLESLKRIKVSNGLLYGLINSDSQPHRSTESKWYISLEEWPEEKYPPWAHGPGYVVSLDIAKEVYRRHKEGVLKIFKLEDVAMGIWIDQMKKEGLEVRYENNERIYNEGCKDGYIVAHYQGPREMLCLWQKLQEGKSARCCGDR >Manes.01G036300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6820261:6827208:-1 gene:Manes.01G036300.v8.1 transcript:Manes.01G036300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSGGVLIAILFMLLILRYVLMKNPIGENYLMNALSNGSNPLEWVQSTLPPAYKNTENSTQVISTDTIIFSLFAQRNISKEEQESLRTWNLLKHLIDQAQALPSGLEAIKEAGSAWNSLMASIEEERRGNTNESLNSRAKEKQCPHFLNKVNASGFESSGFKLRLPCGLTQGSSITIIGIPNGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEERCPSPTPEKNKKVDELDQCNKIVGRNYTQPSTHSEGSRRSSMVLEGSKRRYFPFKQGYLSVATLRIGSEFQMTVDGKHITSFAYRETLEPWLVSDVRISGDLNLISVVASGLPTSEDSEHTIDLETLKSAPLSTEKSPNLFIGVFSTANNFKRRMAVRRTWMQYDAVRSGTAAVRFFVGLHKNQLVNEELWNEARTYGDIQIMPFVDYYNLITWKTLAICIFGTEVASANYVMKTDDDAFVRVDEVLESLKRIKVSNGLLYGLINSDSQPHRSTESKWYISLEEWPEEKYPPWAHGPGYVVSLDIAKEVYRRHKEGVLKIFKLEDVAMGIWIDQMKKEGLEVRYENNERIYNEGCKDGYIVAHYQGPREMLCLWQKLQEGKSARCCGDR >Manes.01G036300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6820261:6827208:-1 gene:Manes.01G036300.v8.1 transcript:Manes.01G036300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSGGVLIAILFMLLILRYVLMKNPIGENYLMNALSNGSNPLEWVQSTLPPAYKNTENSTQVISTDTIIFSLFAQRNISKEEQESLRTWNLLKHLIDQAQALPSGLEAIKEAGSAWNSLMASIEEERRGNTNESLNSRAKEKQCPHFLNKVNASGFESSGFKLRLPCGLTQGSSITIIGIPNGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEERCPSPTPEKNKKVDELDQCNKIVGRNYTQPSTHSEGSRRSSMVLEGSKRRYFPFKQGYLSVATLRIGSEFQMTVDGKHITSFAYRETLEPWLVSDVRISGDLNLISVVASGLPTSEDSEHTIDLETLKSAPLSTEKSPNLFIGVFSTANNFKRRMAVRRTWMQYDAVRSGTAAVRFFVGLHKNQLVNEELWNEARTYGDIQIMPFVDYYNLITWKTLAICIFGTEVASANYVMKTDDDAFVRVDEVLESLKRIKVSNGLLYGLINSDSQPHRSTESKWYISLEEWPEEKYPPWAHGPGYVVSLDIAKEVYRRHKEGVLKIFKLEDVAMGIWIDQMKKEGLEVRYENNERIYNEGCKDGYIVAHYQGPREMLCLWQKLQEGKSARCCGDR >Manes.01G036300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6820504:6827039:-1 gene:Manes.01G036300.v8.1 transcript:Manes.01G036300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWSGGVLIAILFMLLILRYVLMKNPIGENYLMNALSNGSNPLEWVQSTLPPAYKNTENSTQVISTDTIIFSLFAQRNISKEEQESLRTWNLLKHLIDQAQALPSGLEAIKEAGSAWNSLMASIEEERRGNTNESLNSRAKEKQCPHFLNKVNASGFESSGFKLRLPCGLTQGSSITIIGIPNGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEERCPSPTPEKNKKVDELDQCNKIVGRNYTQPSTHSEGSRRSSMVLEGSKRRYFPFKQGYLSVATLRIGSEFQMTVDGKHITSFAYRETLEPWLVSDVRISGDLNLISVVASGLPTSEDSEHTIDLETLKSAPLSTEKSPNLFIGVFSTANNFKRRMAVRRTWMQYDAVRSGTAAVRFFVGLHKNQLVNEELWNEARTYGDIQIMPFVDYYNLITWKTLAICIFGTEVASANYVMKTDDDAFVRVDEVLESLKRIKVSNGLLYGLINSDSQPHRSTESKWYISLEEWPEEKYPPWAHGPGYVVSLDIAKEVYRRHKEGVLKIFKLEDVAMGIWIDQMKKEGLEVRYENNERIYNEGCKDGYIVAHYQGPREMLCLWQKLQEGKSARCCGDR >Manes.11G060802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8509249:8510337:1 gene:Manes.11G060802.v8.1 transcript:Manes.11G060802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFSRTTPISSSLFLRFPPFLPSSFHSISLPLPLPLLLNPPRSSPFGLLPPSLCIRSIDAVYESKLQAQDFNFKSLKIAIIGFGNFGQFLAKTISRQGHTLLAYSRTNYSDAAKNLGVTFYSNPHDLCETHPEVLILCTSIISSENMLKFFPFHRLKRNILFVDVLSVKEFAKNILLKHLPAEFDILCTHPMFGPDSGKYSLLGLPFVYDKVRIGKQENRIHRCENFLDIFAKEGCRMVEMSCADHDRYTAESLFVTHTMGRVLEKFGLESSPINTKGYETLLNLVDNTARDSFELYYGLFMYNKNAMEQLERLDMAFEAVKKELFWKLHQDFRRQPFATAEGLEERPKKQLLENGAPVEPP >Manes.06G001400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:477016:480048:1 gene:Manes.06G001400.v8.1 transcript:Manes.06G001400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANNSSSSLPSSSSDPFSCLEVATNNNKRKRRPAGTPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVKKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNMGRLRPESQSLQPACLSRTASSPSPSADTNFSTVPWSPLVIPRKTTDAMFLSPTVDKKDYNLELQLSSTSNPIDVSVSPKIDDNHSTQLQLSIGSSDFIEKNDSNITCATIDAGKLSPGESNNNHREKSELPASRVKEKAWEKLRLAMAEKAYAEEARQRAKRQIEMAEQEFANAKRIRQQAQAELNKAQSLKVHATKQINSTILQITCHACKQQFQARTHPDENSLGLSYMSSAITEGEVENDNDLEKPAAYNLSIS >Manes.06G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:477016:480048:1 gene:Manes.06G001400.v8.1 transcript:Manes.06G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANNSSSSLPSSSSDPFSCLEVATNNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVKKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNMGRLRPESQSLQPACLSRTASSPSPSADTNFSTVPWSPLVIPRKTTDAMFLSPTVDKKDYNLELQLSSTSNPIDVSVSPKIDDNHSTQLQLSIGSSDFIEKNDSNITCATIDAGKLSPGESNNNHREKSELPASRVKEKAWEKLRLAMAEKAYAEEARQRAKRQIEMAEQEFANAKRIRQQAQAELNKAQSLKVHATKQINSTILQITCHACKQQFQARTHPDENSLGLSYMSSAITEGEVENDNDLEKPAAYNLSIS >Manes.01G104500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30415123:30417379:-1 gene:Manes.01G104500.v8.1 transcript:Manes.01G104500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIRFISLVLGLLFLLNAIRDYSCVHAHQQDWENGKDKLVIPEYKELVDGFATTSTRKLGVGGRKMVAHEVLMRRKFEKEPSAFHGGASKDISGEQHQALVKYLQASEDQNFNLNHQKNVKPKTQKPGSWGSPRSEPVPVHLQNTKASHSHQDSKKLPAKASLESLSRSDKKQLFSSHEPEAPVAKPEGETQRLLEATKEIVNLMDKDYRGMPRRKPPINNHVPIH >Manes.01G104500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30415123:30417379:-1 gene:Manes.01G104500.v8.1 transcript:Manes.01G104500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIRFISLVLGLLFLLNAIRDYSCVHAHQQDWENGKDKLVIPEYKELVDGFATTSTRKLGVGGRKMVAHEVLMRRKFEKEPSAFHGGASKDISGEQHQALVKYLQASEDQNFNLNHQKNVKPKTQKPGSWGSPRSEPVPVHLQNTKASHSHQDSKKLPAKASLESLSRSDKKQLFSSHEPEAPVAKPEGETQRLLEATKEIVNLMDKDYRGMPRRKPPINNHVPIH >Manes.01G104500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30415123:30417379:-1 gene:Manes.01G104500.v8.1 transcript:Manes.01G104500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIRFISLVLGLLFLLNAIRDYSCVHAHQQDWENGKDKLVIPEYKELVDGFATTSTRKLGVGGRKMVAHEVLMRRKFEKEPSAFHGGASKDISGEQHQALVKYLQASEDQNFNLNHQNVKPKTQKPGSWGSPRSEPVPVHLQNTKASHSHQDSKKLPAKASLESLSRSDKKQLFSSHEPEAPVAKPEGETQRLLEATKEIVNLMDKDYRGMPRRKPPINNHVPIH >Manes.01G104500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30415123:30417379:-1 gene:Manes.01G104500.v8.1 transcript:Manes.01G104500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIRFISLVLGLLFLLNAIRDYSCVHAHQQDWENGKDKLVIPEYKELVDGFATTSTRKLGVGGRKMVAHEVLMRRKFEKEPSAFHGGASKDISGEQHQALVKYLQASEDQNFNLNHQNVKPKTQKPGSWGSPRSEPVPVHLQNTKASHSHQDSKKLPAKASLESLSRSDKKQLFSSHEPEAPVAKPEGETQRLLEATKEIVNLMDKDYRGMPRRKPPINNHVPIH >Manes.03G080262.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21459120:21462564:-1 gene:Manes.03G080262.v8.1 transcript:Manes.03G080262.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLVGSSWPAIVLCFFFFFFIASSANIPARKVPSRTNNNPALGVQLQVTDKQVVVDNGIVQVTFSNPGGDVIGIKYKTIDNALEIKNKEDNRGYWDVVWNRPGESNIFDKLQATKFSIIVQNNDRVEISFSKIWSPSMDKTIVPLKVDKRYIVQRGSSGLYLYAIMERLKGWPDVDMDQIRVVFKLQSKKFHYMAISDDRQREMPMPQDRVTGQPLAFPEAVRLTNPVNPKQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNAAYRNGEPWKKVFGPVFVYLNSVPSVNPKALWEDAKRQMSTEVKSWPYNFPRSQDFPSSGQRGNVVGRLVVREQYINKRSMDASFAYVGLAAPGVAGSWQTEAKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFIGDYKYNVDIIIQPGSNIKLGVLTYDPPRNGPTLWEIGIPDRTAAEFYIPDPNPTLINKLYINSPANKFRQYGLWDRYTDLYPKNDLIYTVGVSNYAKDWFYAHVNRRVGNMAYEATTWQIIFELKSAKLSGSYTLQIALASATNSELQVRFNNAKAKRPLFTTRLIGRDNAIARMGIHGLYWLYSIQVPSTQLLQGKNIIYLTQSRKGSPFNGIMYDYIRLEAPIKA >Manes.17G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1617758:1636571:1 gene:Manes.17G000700.v8.1 transcript:Manes.17G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTNLKFSKKSKKKFRQEEEDGIVSMSSANEKASFQSFKGRDWHLEGAFDVFYNQPQIKTFTDSRYLERLYNRYKDPHVDMVLVDGITLLCNDLQVDPQDIVMLVVSWHMKAATMCEFSKQEFIGGLRALGIDSLEKFHERIPFMRSELKDEQKFREIYSFAFGWAKEKGQKSLALDTAIGMWQLLFAERRWPLVDHWCQFLQARHNKAISKDTWAQLLEFTRTVDPTLSNYDPEGAWPYLIDEFVEYINENGIV >Manes.13G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29369779:29371987:-1 gene:Manes.13G100100.v8.1 transcript:Manes.13G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSIDLLKQELPVEQDSLLLNGDVKTGLVLVDIVNGFCTVGAGNLAPKQPDNQISTMVEESVRLARAFCDKKWPVFAFLDSHHPDIPEHPYPPHCIAGTDEARLVPELQWLENEANATVRRKDCIDGFLGSIEKDGSNVFVDWIKKNQIKIILVVGICTDICVLDFVCSALSARNRGFLAPLEDVIIFSQACATFDLPLHVARAAKGAIAHPQDLMHHIGLYMAKGRGAKVVSEVSFGAL >Manes.13G100100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29369784:29371954:-1 gene:Manes.13G100100.v8.1 transcript:Manes.13G100100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSIDLLKQELPVEQDSLLLNGDVKTGLVLVDIVNGFCTVGAGNLAPKQPDNQISTMVEESVRLARAFCDKKWPVFAFLDSHHPDIPEHPYPPHCIAGTDEARLVPELQWLENEANATVRRKDCIDGFLGSIEKDGSNVFVDWIKKNQIKIILVVGICTDICVLDFVCSALSARNRGFLAPLEDVIIFSQACATFDLPLHVARAAKGAIAHPQDLMHHIGLYMAKGRGAKVVSEVSFGAL >Manes.07G129002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33127387:33133013:1 gene:Manes.07G129002.v8.1 transcript:Manes.07G129002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPDHPLTPSRAMHYRGSLRSYATNQPNWRLLLPSLVSLATAYNPTAAKEYSV >Manes.08G038600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3806364:3807702:1 gene:Manes.08G038600.v8.1 transcript:Manes.08G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVALDDSEGSFYALQWTLDNLTNGITASNESSSESGMLTLVHVQQPFHPAIYPVGPGGAAFYTPPAVMESVKKAQEESSAAILSRGVKMCRKKKIKEETLILEGDPKDMICQATEQLHVDLLVVGSRGLGKIKRAFLGSVSDYCAHHAKCPILIVKPPKETTATKP >Manes.08G111000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35033817:35038870:1 gene:Manes.08G111000.v8.1 transcript:Manes.08G111000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYRLDPTFACSNNIVTVENFPSNFTASTATTTNNAAHGFYTSVGNLLQFQAGSHARDFESNILDLTKTQISNHPRYPDLVSAYIECRKVGAPPEIASLLEEIGRENYSTKVCSSEMGADPELDEFMESYCEVLHRYKEELSKPFDEATTFLYDMESQLNNLCKGTLSKTFDYYGSDEAAGTSEEELSCGEFEASESQESSGGRPHDQDLKGMLLRKYSGYLSNLRKEFLKKRKRGKLPEVARMILLEWWKNHYRWPYPTEEEKVKLSEITGLDQKQINNWFINQRKRHWKPSEDMRFALMDGVSSSSSMGGHSYFDTGGWS >Manes.01G265700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42032291:42034252:-1 gene:Manes.01G265700.v8.1 transcript:Manes.01G265700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYAWLLNCFLLISVMGLVAFQLIMLMDLEFDYMNPYDTASRMNLVTLPEYFAHGLLCIAFLFTGHWFFFLLSLPYLYNNIRMYLQRRHLVDVTEIYNQLSTEKQQRLFKLGYLVILLVLCIFWFLWTIEGFE >Manes.18G087167.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8027814:8029454:1 gene:Manes.18G087167.v8.1 transcript:Manes.18G087167.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITHKRFLHHFSVLVIVLLFLVPIKPSLHFNSGCIERERLALLSIKRDLIDDHDVLSSWGRREDKGDCCKWRGISCSHRTGHIRKLDLQDGALKGKLSHSLLELRHLTYLDLSWNDFGGTHFPADSNGSLSKLRYLNLKDAKFSGTISSLLANLSSLQDLDLSYNHFHDLINIDWLGLSSLSYLDLSGNPLARPSDWLQIVNKLLHLESLALSSCFSGGVIPPTLSPVNSSSSLYTLHLSDNNLVILSIHPWLSNITQNIVQLDLSSNLLQGSSLAEIGNMISLEGLYLANTSVVGGIPKSFGNMSRLASLDLSNNNLSIPLSHLIQNLSGKAEKITDELIHSSFLIMIL >Manes.06G018900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3266572:3270053:-1 gene:Manes.06G018900.v8.1 transcript:Manes.06G018900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLFTNLPVDAVTTSDILKDATKAVSRIIGKPESYVMIVLNGGVPIAFAGTEEPAAYGELISIGGLGPSVNGKLSSTIAEILQTKLSIDSSRFYIKFYDVQRSFFGFNGSTF >Manes.06G018900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3266572:3270053:-1 gene:Manes.06G018900.v8.1 transcript:Manes.06G018900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLFTNLPVDAVTTSDILKDATKAVSRIIGKPESYVMIVLNGGVPIAFAGTEEPAAYGELISIGGLGPSVNGKLSSTIAEILQTKLSIDSSRFYIKFYDVQRSFFGFNGSTF >Manes.09G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1265720:1269475:1 gene:Manes.09G005000.v8.1 transcript:Manes.09G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFVNPKVQASIAANTWVVSGSPQTKKLQDILPQVLSHLGPDNLDNLKKLAEQIQKQAPSAGDPATAAPEDDDEVPELVAGETFEAAAEQGNAA >Manes.11G084600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13174914:13188045:-1 gene:Manes.11G084600.v8.1 transcript:Manes.11G084600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTKFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDEFRQALIMDELLPERHDDYHMLLRFLKARKFDIEKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLTYYPHGNHGVDKEGRPIYIERLGKVDPHKLMHVTTMDRYVKYHVREFEKSFAIKFPACAIAAKRHIDSSTTILDVQGVGLKNFTKNARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKNPEILKMVLNGEARRAHQVVKVLNSEGKVIAYAKPRYPVRVRGSDTSTAESGSEAEDIASPKALKSFSHLRLTPVREEAKVVGKASYAGNFSGYDEYVPMVDKAVDSGWKKQASIQKPSVSKATLLPDTPKTPEGIHVRLLVAVMGFFTTLFTLFRTLVFHVTKRLPRASSDDQRAPELAIEATQEEFHLPSQTPAFNEADLSSVLKRLGELEEKVDTLKSKPLEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMKQEELLAYIDSQEAKYQKKRFCW >Manes.11G084600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13174914:13187881:-1 gene:Manes.11G084600.v8.1 transcript:Manes.11G084600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTKFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDEFRQALIMDELLPERHDDYHMLLRFLKARKFDIEKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLTYYPHGNHGVDKEGRPIYIERLGKVDPHKLMHVTTMDRYVKYHVREFEKSFAIKFPACAIAAKRHIDSSTTILDVQGVGLKNFTKNARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKNPEILKMVLNGEARRAHQVVKVLNSEGKVIAYAKPRYPVRVRGSDTSTAESGSEAEDIASPKALKSFSHLRLTPVREEAKVVGKASYAGNFSGYDEYVPMVDKAVDSGWKKQASIQKPSVSKATLLPDTPKTPEGIHVRLLVAVMGFFTTLFTLFRTLVFHVTKRLPRASSDDQRAPELAIEATQEEFHLPSQTPAFNEADLSSVLKRLGELEEKVDTLKSKPLEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMKQEELLAYIDSQEAKYQKKRFCW >Manes.11G084600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13174914:13188052:-1 gene:Manes.11G084600.v8.1 transcript:Manes.11G084600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTKFKHSLKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDEFRQALIMDELLPERHDDYHMLLRFLKARKFDIEKAKHMWADMLQWRKEFGADTIMEDFEFKELNEVLTYYPHGNHGVDKEGRPIYIERLGKVDPHKLMHVTTMDRYVKYHVREFEKSFAIKFPACAIAAKRHIDSSTTILDVQGVGLKNFTKNARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADQGGCMRSDKGPWKNPEILKMVLNGEARRAHQVVKVLNSEGKVIAYAKPRYPVRVRGSDTSTAESGSEAEDIASPKALKSFSHLRLTPVREEAKVVGKASYAGNFSGYDEYVPMVDKAVDSGWKKQASIQKPSVSKATLLPDTPKTPEGIHVRLLVAVMGFFTTLFTLFRTLVFHVTKRLPRASSDDQRAPELAIEATQEEFHLPSQTPAFNEADLSSVLKRLGELEEKVDTLKSKPLEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMKQEELLAYIDSQEAKYQKKRFCW >Manes.09G070800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12128858:12132568:-1 gene:Manes.09G070800.v8.1 transcript:Manes.09G070800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6A MAETTKTKKRRRADPDSYSSDQPQLPFKNRLKPDSVILESLHSLLKSTFSASTSSKPLTLSDLSLSSACREVTDLSLSSVQSAIESLVLSLTHSILSGQGFSFNVPSRAATNQLYVPELDRIVLKDKNTLRPFANISSVRKSTITAKILSLVHQLCLKSIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCMLGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELSLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWL >Manes.09G070800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12128595:12132568:-1 gene:Manes.09G070800.v8.1 transcript:Manes.09G070800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6A MAETTKTKKRRRADPDSYSSDQPQLPFKNRLKPDSVILESLHSLLKSTFSASTSSKPLTLSDLSLSSACREVTDLSLSSVQSAIESLVLSLTHSILSGQGFSFNVPSRAATNQLYVPELDRIVLKDKNTLRPFANISSVRKSTITAKILSLVHQLCLKSIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCMLGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELSLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWL >Manes.02G191001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15333161:15337949:1 gene:Manes.02G191001.v8.1 transcript:Manes.02G191001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESEKGMPSDNIKGLVLALSSSFFIGSSFIVKKKGLKRAASSGLRAGAGGYSYLYEPLWWIGMITMVVGEIANFAAYAFAPAILVTPLGALSIIIRQEKIYIAHFWILGCALCVVGSITIVLHAPQEGTFLCYAVFVIGAVFIIIVHVIPQYGQTHVMVYKAVCSLVGSLSVMGVKAIGIALKLTFLGMNQFLYPQTCAFTLVVVTCVITQMNYLNEALDTFNTAVMCDQIDRNEQTSWSEQKRFIGEYWDRQNPTQIVTEICGFVTILSGTFLLHRMKDMVETEHHGVF >Manes.08G030700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2980391:2980723:1 gene:Manes.08G030700.v8.1 transcript:Manes.08G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGNGPKLDLKLNLSPPRANRRVESPSRSATVSPITSPPSSCVSSELNQEDTVPHSNSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFLHDNTVQTRNH >Manes.04G013102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1767213:1767941:1 gene:Manes.04G013102.v8.1 transcript:Manes.04G013102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYREEISNQTIIAKVLRSLTLKFDHVVAAIEESKDLATYSFDELMGSLQSYEARLCKSDEKNEEKVFYVKGKAASKRCGKGGYRDRGSHDKNDRANYAKEEEETKLFMAYEDSRITLVEGKCTVAIKNNNGNVKLMHNIYFVTSLIQDLLSIG >Manes.10G045800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4954336:4955689:-1 gene:Manes.10G045800.v8.1 transcript:Manes.10G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQHPVAEANEQSPFGSLSPDEFYARHGVSHSSEYITNSRGLKLFTQWWAPLSPTQPIGCVAIVHGYTGETSWFVQLTSILFAQSGFIVCAIDHQGHGFSDGLDGLIYHIPDINPVVDDCIHFFKEFREAHAPNLPAFLYSESLGGAIALYITLRQKGAWDGLILNGAMCGISNKFKPPWPLEHLLFIAATVIPTWRVVPTRGSLPDVSFKEVWKRKLAVSSPRRVVARPRAATALELLRICRDLQGSFEKVEVPLLIVHGADDVVCDPACVEELHRRAASRDKTLKIYPGMWHQIVGEPEENVNLVYGDVVEWLKTRAERAKKGGDASRAAVEGGGV >Manes.03G181700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30365007:30369677:-1 gene:Manes.03G181700.v8.1 transcript:Manes.03G181700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRAEIDAEAEAERNKLEFEELINGDSHTKMKKKKKKKERNETEKEVNQAKGKPTVSIAVPGSIIDNAQSLELATRLAGQIARAATIFRIDEVVVFDNKSSSVNEDPTINTGNKPDDTESGAAFLIRILRYLETPQYLRKALFPKLNSLRFVGLLPPLDAPHHLRKHEWASYREGVTLNEKAPNSQGTLVDVGLSKNVVIDQVLESGIRVTVAMGTNRNLDPDLPRQVVSVSKPKEEAGMYWGYRVRYASNISSVFNECPYKGGYDYLIGTSEHGQIINSSELSLPIFRHLLIAFGGLAGLEESIEEDSSLKGKIVREVFDSYLNTCPHQGSRTIRTEEAIFISLQYFQEPINQASQRIQC >Manes.09G140700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33968981:33969731:-1 gene:Manes.09G140700.v8.1 transcript:Manes.09G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKMRVFLAVVVVLMAVFAVQNVGAQEAPAPSPASDATVFVPAVFASVAAFAFGFLF >Manes.02G162000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12729816:12732588:1 gene:Manes.02G162000.v8.1 transcript:Manes.02G162000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNPCRSLCQGLVKAKAFLAMVSLQFGYSGMYIITMVSLKHGMSHYILAVYRHVVATLVIAPFALVLERKIRPKLTLPIFLRIMLLGFLEPVLDQNLYYVGMKYTSATFASASVNVLPAITFILAIIFRLERVNVKKRHDVAKIVGTGITVAGAMVMTLYKGPILEFIKSQGVGSHHGSSSSEASSQHWVTGTLMLLASCCGWASFFILQSFTLKLYPAELSLTALICLTGAVEGAAVSLVMERDMSAWRIGFDSKLLAAAYTGVVCSGIAYYVQGMVIKERGPVFVTSFSPLCMIITAALGTIILAEQLHLGSVIGAVLIVLGLYTVVWGKSKDQTSSQPPALTNEKIGNLELPITDTTAKPATKFDDDSIQYETSKIPAKSTLLLA >Manes.18G137828.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19549389:19558249:1 gene:Manes.18G137828.v8.1 transcript:Manes.18G137828.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYVGLSLSFFSTSLFNNLTQSLSFQANFHKQPQGISIKNNPLLFLHLEFFFNI >Manes.04G075980.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27405264:27409759:-1 gene:Manes.04G075980.v8.1 transcript:Manes.04G075980.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQATGRRNVLVGLRFDNHGRELLNWALVKVAEPGDSVIAVHVCRSSRGALKEKPLLDSYLEVYDGLCSLKKVDLIGQVCTGISVQRTLVREAKIHNAVALVVGISNQSALGGWTSTAGYCTKRLPPTTDVLAIRNGKILFGRCISYQLSGLSGDPRPSLNLLKSPLPDDVQSEFGGSELDTVKSSIEVLTRCESQNSKDEIPTLPHELQKRSTAYFTGDILDQRPGWPLLRRATSASLKTLQARELSVVQWAMSLPVRWPHKSPLGSSTERSSERESSDSLEESNEIGAYGSGELHYSLEILLKTNFSGCKWFNYEVLKTATSKFSPGNLIGKGECNRVYKGILPDGKQVAVKIRKSSPEARKDFAQEVEIISSLNHKNIVSLVGVCIRDTDLISVYDLFSNGNLEENLQGDSKDKSAVSWEMKSKIAIKIAEALTYLHSEYSPPVIHRDVKSSNILLSDELEPQLSDFGLAIWGPTTSSCMTQCDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGHETSKNQESLVMWAKPKVDCGNARDILDPNLDEYFDEDQLQRMILAAKLCITRSARLRPKMIEVLNLLRGDKDAVTWANLRNKDLEDNENQEENDDEVYPNSSAELHLNLALLDLDDDSISSSSLEQGNNLCVEEYLKERWNQSPRFN >Manes.04G075980.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27405291:27409566:-1 gene:Manes.04G075980.v8.1 transcript:Manes.04G075980.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQATGRRNVLVGLRFDNHGRELLNWALVKVAEPGDSVIAVHVCRSSRGALKEKPLLDSYLEVYDGLCSLKKVDLIGQVCTGISVQRTLVREAKIHNAVALVVGISNQSALGGWTSTAGYCTKRLPPTTDVLAIRNGKILFGRCISYQLSGLSGDPRPSLNLLKSPLPDDVQSEFGGSELDTVKSSIEVLTRCESQNSKDEIPTLPHELQKRSTAYFTGDILDQRPGWPLLRRATSASLKTLQARELSVVQWAMSLPVRWPHKSPLGSSTERSSERESSDSLEESNEIGAYGSGELHYSLEILLKTNFSGCKWFNYEVLKTATSKFSPGNLIGKGECNRVYKGILPDGKQVAVKIRKSSPEARKDFAQEVEIISSLNHKNIVSLVGVCIRDTDLISVYDLFSNGNLEENLQGDSKDKSAVSWEMKSKIAIKIAEALTYLHSEYSPPVIHRDVKSSNILLSDELEPQLSDFGLAIWGPTTSSCMTQCDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGHETSKNQESLVMWAKPKVDCGNARDILDPNLDEYFDEDQLQRMILAAKLCITRSARLRPKMIEVLNLLRGDKDAVTWANLRNKDLEDNENQEENDDEVYPNSSAELHLNLALLDLDDDSISSSSLEQGNNLCVEEYLKERWNQSPRFN >Manes.04G075980.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27405197:27409805:-1 gene:Manes.04G075980.v8.1 transcript:Manes.04G075980.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQATGRRNVLVGLRFDNHGRELLNWALVKVAEPGDSVIAVHVCRSSRGALKEKPLLDSYLEVYDGLCSLKKVDLIGQVCTGISVQRTLVREAKIHNAVALVVGISNQSALGGWTSTAGYCTKRLPPTTDVLAIRNGKILFGRCISYQLSGLSGDPRPSLNLLKSPLPDDVQSEFGGSELDTVKSSIEVLTRCESQNSKDEIPTLPHELQKRSTAYFTGDILDQRPGWPLLRRATSASLKTLQARELSVVQWAMSLPVRWPHKSPLGSSTERSSERESSDSLEESNEIGAYGSGELHYSLEILLKTNFSGCKWFNYEVLKTATSKFSPGNLIGKGECNRVYKGILPDGKQVAVKIRKSSPEARKDFAQEVEIISSLNHKNIVSLVGVCIRDTDLISVYDLFSNGNLEENLQGDSKDKSAVSWEMKSKIAIKIAEALTYLHSEYSPPVIHRDVKSSNILLSDELEPQLSDFGLAIWGPTTSSCMTQCDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGHETSKNQESLVMWAKPKVDCGNARDILDPNLDEYFDEDQLQRMILAAKLCITRSARLRPKMIEVLNLLRGDKDAVTWANLRNKDLEDNENQEENDDEVYPNSSAELHLNLALLDLDDDSISSSSLEQGNNLCVEEYLKERWNQSPRFN >Manes.04G075980.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27405264:27409759:-1 gene:Manes.04G075980.v8.1 transcript:Manes.04G075980.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQATGRRNVLVGLRFDNHGRELLNWALVKVAEPGDSVIAVHVCRSSRGALKEKPLLDSYLEVYDGLCSLKKVDLIGQVCTGISVQRTLVREAKIHNAVALVVGISNQSALGGWTSTAGYCTKRLPPTTDVLAIRNGKILFGRCISYQLSGLSGDPRPSLNLLKSPLPDDVQSEFGGSELDTVKSSIEVLTRCESQNSKDEIPTLPHELQKRSTAYFTGDILDQRPGWPLLRRATSASLKTLQARELSVVQWAMSLPVRWPHKSPLGSSTERSSERESSDSLEESNEIGAYGSGELHYSLEILLKTNFSGCKWFNYEVLKTATSKFSPGNLIGKGECNRVYKGILPDGKQVAVKIRKSSPEARKDFAQEVEIISSLNHKNIVSLVGVCIRDTDLISVYDLFSNGNLEENLQGDSKDKSAVSWEMKSKIAIKIAEALTYLHSEYSPPVIHRDVKSSNILLSDELEPQLSDFGLAIWGPTTSSCMTQCDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGHETSKNQESLVMWAKPKVDCGNARDILDPNLDEYFDEDQLQRMILAAKLCITRSARLRPKMIEAQHFSLNSDKKNSYSFANSCSFAALIEW >Manes.04G075980.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27405246:27409760:-1 gene:Manes.04G075980.v8.1 transcript:Manes.04G075980.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQATGRRNVLVGLRFDNHGRELLNWALVKVAEPGDSVIAVHVCRSSRGALKEKPLLDSYLEVYDGLCSLKKVDLIGQVCTGISVQRTLVREAKIHNAVALVVGISNQSALGGWTSTAGYCTKRLPPTTDVLAIRNGKILFGRCISYQLSGLSGDPRPSLNLLKSPLPDDVQSEFGGSELDTVKSSIEVLTRCESQNSKDEIPTLPHELQKRSTAYFTGDILDQRPGWPLLRRATSASLKTLQARELSVVQWAMSLPVRWPHKSPLGSSTERSSERESSDSLEESNEIGAYGSGELHYSLEILLKTNFSGCKWFNYEVLKTATSKFSPGNLIGKGECNRVYKGILPDGKQVAVKIRKSSPEARKDFAQEVEIISSLNHKNIVSLVGVCIRDTDLISVYDLFSNGNLEENLQGDSKDKSAVSWEMKSKIAIKIAEALTYLHSEYSPPVIHRDVKSSNILLSDELEPQLSDFGLAIWGPTTSSCMTQCDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGHETSKNQESLVMWAKPKVDCGNARDILDPNLDEYFDEDQLQRMILAAKLCITRSARLRPKMIEVLNLLRGDKDAVTWANLRNKDLEDNENQEENDDEVYPNSSAELHLNLALLDLDDDSISSSSLEQGNNLCVEEYLKERWNQSPRFN >Manes.04G075980.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27405264:27409759:-1 gene:Manes.04G075980.v8.1 transcript:Manes.04G075980.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEAQATGRRNVLVGLRFDNHGRELLNWALVKVAEPGDSVIAVHVCRSSRGALKEKPLLDSYLEVYDGLCSLKKVDLIGQVCTGISVQRTLVREAKIHNAVALVVGISNQSALGGWTSTAGYCTKRLPPTTDVLAIRNGKILFGRCISYQLSGLSGDPRPSLNLLKSPLPDDVQSEFGGSELDTVKSSIEVLTRCESQNSKDEIPTLPHELQKRSTAYFTGDILDQRPGWPLLRRATSASLKTLQARELSVVQWAMSLPVRWPHKSPLGSSTERSSERESSDSLEESNEIGAYGSGELHYSLEILLKTNFSGCKWFNYEVLKTATSKFSPGNLIGKGECNRVYKGILPDGKQVAVKIRKSSPEARKDFAQEVEIISSLNHKNIVSLVGVCIRDTDLISVYDLFSNGNLEENLQGDSKDKSAVSWEMKSKIAIKIAEALTYLHSEYSPPVIHRDVKSSNILLSDELEPQLSDFGLAIWGPTTSSCMTQCDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVILLELLSGRRPIGHETSKNQESLVMWAKPKVDCGNARDILDPNLDEYFDEDQLQRMILAAKLCITRSARLRPKMIEAQHFSLNSDKKNSYSFANSCSFAALIEW >Manes.12G101375.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:25856478:25857353:1 gene:Manes.12G101375.v8.1 transcript:Manes.12G101375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGAGGKCPAAYKFAKGRQELLEEAGDSLRKASRRMKKYADRRRRDVEFDIGEKVLLKLTPQIWKKISSKTVHRGLIPKYDGPFEIVAKVGKVAYKLKLPERLKVHPTFHVSFLKKFHEDVAESSRSQAKRAPPVVRKQFDDQIGEILDHRTLGQSKKNRRIEFLIQWKDKPVSEATWERDTTLWQFEEQIEDYLRALPTRTLASSGGGGLLDP >Manes.12G038600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3334637:3346073:-1 gene:Manes.12G038600.v8.1 transcript:Manes.12G038600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELRPMDAEQLREYGHQMVDFIADYYKTIENFPVLSQVEPGYLHKLLPDSAPNQPEALQNVLDDVRVKILPGVTHWQSPNYFAYYPSNSSVAGFLGEMLSAGINMIGFSWITSPAATELEMIVLDWLGKMLKLPEEFLSSGQGGGVIQGTASEAVLVVLLAARDKVLTRVGKDSLKKLVVYGSDQTHSALQKACQIAGVHLDNCRLLKTDSSKNYALSPDILCDAISQDMSNGLIPFFLCATVGTTSSATVDPLLALGKIAKKYGMWFHVDAAYAGSACICPEYRCYIDGVEEADSFNMNAHKWFLTNFDCSALWVKDRNALIQSLSTNPEFLKNKASQANMVVDYKDWQIPLGRRFRSLKLWMVLRLYGVANLQRYIRNHINLAKYFEGLVTGDSRFEVVAPRLFSLVCFRLLPPDNDENHGNKLNHDLLDAANSTGKIFISHTVLSGKYILRFAVGAPLTEERHVTAAWKVLQDEASALLGSL >Manes.12G038600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3332855:3346073:-1 gene:Manes.12G038600.v8.1 transcript:Manes.12G038600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELRPMDAEQLREYGHQMVDFIADYYKTIENFPVLSQVEPGYLHKLLPDSAPNQPEALQNVLDDVRVKILPGVTHWQSPNYFAYYPSNSSVAGFLGEMLSAGINMIGFSWITSPAATELEMIVLDWLGKMLKLPEEFLSSGQGGGVIQGTASEAVLVVLLAARDKVLTRVGKDSLKKLVVYGSDQTHSALQKACQIAGVHLDNCRLLKTDSSKNYALSPDILCDAISQDMSNGLIPFFLCATVGTTSSATVDPLLALGKIAKKYGMWFHVDAAYAGSACICPEYRCYIDGVEEADSFNMNAHKWFLTNFDCSALWVKDRNALIQSLSTNPEFLKNKASQANMVVDYKDWQIPLGRRFRSLKLWMVLRLYGVANLQRYIRNHINLAKYFEGLVTGDSRFEVVAPRLFSLVCFRLLPPDNDENHGNKLNHDLLDAANSTGKIFISHTVLSGKYILRFAVGAPLTEERHVTAAWKVLQDEASALLGSL >Manes.12G038600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3332855:3346058:-1 gene:Manes.12G038600.v8.1 transcript:Manes.12G038600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELRPMDAEQLREYGHQMVDFIADYYKTIENFPVLSQVEPGYLHKLLPDSAPNQPEALQNVLDDVRVKILPGVTHWQSPNYFAYYPSNSSVAGFLGEMLSAGINMIGFSWITSPAATELEMIVLDWLGKMLKLPEEFLSSGQGGGVIQGTASEAVLVVLLAARDKVLTRVGKDSLKKLVVYGSDQTHSALQKACQIAGVHLDNCRLLKTDSSKNYALSPDILCDAISQDMSNGLIPFFLCATVGTTSSATVDPLLALGKIAKKYGMWFHVDAAYAGSACICPEYRCYIDGVEEADSFNMNAHKWFLTNFDCSALWVKDRNALIQSLSTNPEFLKNKASQANMVVDYKDWQIPLGRRFRSLKLWMVLRLYGVANLQRYIRNHINLAKYFEGLVTGDSRFEVVAPRLFSLVCFRLLPPDNDENHGNKLNHDLLDAANSTGKIFISHTVLSGKYILRFAVGAPLTEERHVTAAWKVLQDEASALLGSL >Manes.05G079900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6551448:6552095:-1 gene:Manes.05G079900.v8.1 transcript:Manes.05G079900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVAVAQHRNQYYSRDKAYKQARFGSSPSSHFREINCRSFQSGSGIMPTPFKTCSTTVAKVAPSTPSPSAEKTPSPTIKAHSKSHSVGNTQSKAVPKSSPIPINAPVRETPFDDELDISNENSPFSELWAGPAYSNSPPPSSLPIPKFSMRPKRTVSLELPVSDPGIKVYPTAKSAPASPTREHSPSTKDLFLTVDSATKTLRRILNLDVADD >Manes.18G062100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5464826:5467399:1 gene:Manes.18G062100.v8.1 transcript:Manes.18G062100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSRGGSLYGGAAPYRSREGLSTRPVAGSDEIQLRIDPMQGDFDDEISGLRSQVRQLRDVAQEIGTEAKFQKDFLDKLQMTVIKAQAGVKNNIRKLNSSIIKNGGNHVVHVVLFALFCFFVVYMWSKVSRR >Manes.16G061100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:23505593:23511198:-1 gene:Manes.16G061100.v8.1 transcript:Manes.16G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIAQIRLVSSHPEVYEPCDDSFALVDALLADRNNLLEHNPRLCVEVGCGSGYVITSLALMLGPHIPGAYYIATDLNPHAVRVTSETLEAHGVHAELIQTNIASGLEKRLERMVDVMVVNPPYVPTPEDEVGCEGITSAWAGGENGRRVIDRILPIADCLLSDRGWLYMVTLAANDPSQICRQMKKKGYAYRIVIQRSTEEENLHIIKFWRDPDCELDVKTPVTNKTFPERVVESLVSQFPQLSSWRTGGSNS >Manes.05G149400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25258944:25260252:1 gene:Manes.05G149400.v8.1 transcript:Manes.05G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSTKLSQTAVLKQILKRCSSLGKKQGYHDHEGLPLDVPKGHFVVYVGENRSRYIVPISFLTRPEFQNLLQQAEEEFGFDHDMGLTIPCQEEVFQSLTSMLR >Manes.04G085400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28694237:28700193:1 gene:Manes.04G085400.v8.1 transcript:Manes.04G085400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIGRGDNVQEAKRGAGGSAIFVARNRFAVLDKSSNQVVVKNLKNEVVKKSGLPIAADAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGDLQTPFVKYIVWSSDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKSRTIVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDVQERVKILESAGHLPLAYITAKVHGLEDLAERLASELGDNVPSVPGGKVPSLLMPPPPVMCGSDWPLLRVMKGIFEGGLDNMGRGVAEEDEEVGEGDWVNELEMVDEDGSQNGDVTAILEDGEVAEENDEGGWDLEDLELPPEADTPRASAIARSSVFVAPSPGMPVSQIWVQRSSLAAEHAAAGNFDTAMRLLNRQLGIRNFNPLRSMFLDLHSGSHSYLRAFSSTPVISLAVERGWNESASPNVRGPPALVFNFSQLEEKLKAGYRATTTGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIVIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQMPHLRLALQNAMTVCFKARNLATAANFARRLLETNPTIENQAKAARQVLQAAERNMTDASELNYDFRNPFVTCGATYVPIYRGQKDVSCPYCSSRFVPSQEGKLCTVCDLAVVGADASGLLCSPSQVR >Manes.04G085400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28694299:28700066:1 gene:Manes.04G085400.v8.1 transcript:Manes.04G085400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIGRGDNVQEAKRGAGGSAIFVARNRFAVLDKSSNQVVVKNLKNEVVKKSGLPIAADAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGDLQTPFVKYIVWSSDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKSRTIVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDVQERVKILESAGHLPLAYITAKVHGLEDLAERLASELGDNVPSVPGGKVPSLLMPPPPVMCGSDWPLLRVMKGIFEGGLDNMGRGVAEEDEEVGEGDWVNELEMVDEDGSQNGDVTAILEDGEVAEENDEGGWDLEDLELPPEADTPRASAIARSSVFVAPSPGMPVSQIWVQRSSLAAEHAAAGNFDTAMRLLNRQLGIRNFNPLRSMFLDLHSGSHSYLRAFSSTPVISLAVERGWNESASPNVRGPPALVFNFSQLEEKLKAGYRATTTGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIVIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQMPHLRLALQNAMTVCFKARNLATAANFARRLLETNPTIENQAKAARQVLQAAERNMTDASELNYDFRNPFVTCGATYVPIYRGQKDVSCPYCSSRFVPSQEGKLCTVCDLAVVGADASGLLCSPSQVR >Manes.04G085400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28694237:28700193:1 gene:Manes.04G085400.v8.1 transcript:Manes.04G085400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKMHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRYFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIGRGDNVQEAKRGAGGSAIFVARNRFAVLDKSSNQVVVKNLKNEVVKKSGLPIAADAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGDLQTPFVKYIVWSSDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKSRTIVIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDVQERVKILESAGHLPLAYITAKVHGLEDLAERLASELGDNVPSVPGGKVPSLLMPPPPVMCGSDWPLLRVMKGIFEGGLDNMGRGVAEEDEEVGEGDWVNELEMVDEDGSQNGDVTAILEDGEVAEENDEGGWDLEDLELPPEADTPRASAIARSSVFVAPSPGMPVSQIWVQRSSLAAEHAAAGNFDTAMRLLNRQLGIRNFNPLRSMFLDLHSGSHSYLRAFSSTPVISLAVERGWNESASPNVRGPPALVFNFSQLEEKLKAGYRATTTGKFTEALRLFLSILHTIPLIVVESRREVDEVKELIVIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQMPHLRLALQNAMTVCFKARNLATAANFARRLLETNPTIENQAKAARQVLQAAERNMTDASELNYDFRNPFVTCGATYVPIYRGQKDVSCPYCSSRFVPSQEGKLCTVCDLAVVGADASGLLCSPSQVR >Manes.15G069300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5289598:5290068:-1 gene:Manes.15G069300.v8.1 transcript:Manes.15G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNINLLLVLLLGALVCTTSARKLVTEKASFEDEKNFFHHGGGIGGGAGGGGGLGGGGGLGGGAGFGGGAGGGAGGGLGGGAGGGGGLGGGGGGGLGGGAGFGGGFGGGAGGGLGGGAGGGGGFGGGGGGGLGGGAGGGFGAGGGAGGGLGGGLP >Manes.07G073601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20217633:20221348:-1 gene:Manes.07G073601.v8.1 transcript:Manes.07G073601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRSSISLFKSAVNKPTLKPNPIPSSQLLSRSFLTSPRSVPQLDALQSLLPLHSAVSSARLTSCLGIDSRSSRSLSLDILCRKMSANRQWMYNRLKDGLLNIEFMV >Manes.11G063400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8815238:8822285:-1 gene:Manes.11G063400.v8.1 transcript:Manes.11G063400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGFLNYIPIFPSRKRPLTILKDVSGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPNLKFSGTVTYNGYKMNEFIPQRTAAYISQHDVHLGEMTVRETLAFSARCQGVGTQHELLAELSRREKAANIKPDPDIDVFMKAAATEGQETSVVTDYILKILGLEICADILVGDEMVRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLKQSIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREQVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWARRGQPHRFITVQEFSEAFQSYELGRRIADELSTPFDKTKSHPAALSTKKYGVGKMELLKACISREFLLMKRNSFVYIFKLTQLTFMASVSMTLFLRTEMHRNNLTDGGIYLGALFFSVIMVMFNGMAELSLTIAKLPVFYKQRDFLFYPAWAYSLPTWILKTPVTFVEAAVWTVLTYYEIGFDPNVVRFFKHYLVLLLVNQMASSLFRFIAATGRNVIVANTFGSFSLLTLFALGGFILSREEIKKWWIWGYWISPLMYGQHAVVVNEFLAKSWRHIPPNSTEPLGVQLLKTRGFFPHAYWYWLGVGALGGFVLLFNFCFTLALTFLDPFEKPQAVIVEDSQSNEPGDENGKGAGEEISEANHNKKKGMVLPFEPRSVAFDNVMYSVDMPQEMKSQGVLEDKLVLLKNVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPFVTVYESLVYSAWLRLPPEVDSDTRKMFVDEIMELVELDPLKQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGRLSCHLIKYFEGIEGVSKITDGYNPATWMLEVTSSAQELALNVDFAAIYKNSELYRRNKEMIKELSTPAPGSTDLYFPTKYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKKTKQQDIFNAAGSMYAAVFFLGVQNASSVQPVVAIERTVFYRERAAGMYSAMPYAYAQVLVELPYIFAQAIVYAVITYAMMGFEWTGIKFFWYIFFMYFTLLYFTFYGMMTVAVTPNHHIAAIVSSAFYGLWNLFAGFIVPRTKMPVWWRWYYWGCPVSWTLYGLVASQYGDVKDVLDTNQTVEDFVREYYGFKHDFVGVIAGGIVGISVLFAFIFGFSIRFFNFQRR >Manes.11G063400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8815238:8822796:-1 gene:Manes.11G063400.v8.1 transcript:Manes.11G063400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPSSGKTTLLLALAGKLDPNLKFSGTVTYNGYKMNEFIPQRTAAYISQHDVHLGEMTVRETLAFSARCQGVGTQHELLAELSRREKAANIKPDPDIDVFMKAAATEGQETSVVTDYILKILGLEICADILVGDEMVRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLKQSIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREQVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWARRGQPHRFITVQEFSEAFQSYELGRRIADELSTPFDKTKSHPAALSTKKYGVGKMELLKACISREFLLMKRNSFVYIFKLTQLTFMASVSMTLFLRTEMHRNNLTDGGIYLGALFFSVIMVMFNGMAELSLTIAKLPVFYKQRDFLFYPAWAYSLPTWILKTPVTFVEAAVWTVLTYYEIGFDPNVVRFFKHYLVLLLVNQMASSLFRFIAATGRNVIVANTFGSFSLLTLFALGGFILSREEIKKWWIWGYWISPLMYGQHAVVVNEFLAKSWRHIPPNSTEPLGVQLLKTRGFFPHAYWYWLGVGALGGFVLLFNFCFTLALTFLDPFEKPQAVIVEDSQSNEPGDENGKGAGEEISEANHNKKKGMVLPFEPRSVAFDNVMYSVDMPQEMKSQGVLEDKLVLLKNVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPFVTVYESLVYSAWLRLPPEVDSDTRKMFVDEIMELVELDPLKQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGRLSCHLIKYFEGIEGVSKITDGYNPATWMLEVTSSAQELALNVDFAAIYKNSELYRRNKEMIKELSTPAPGSTDLYFPTKYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKKTKQQDIFNAAGSMYAAVFFLGVQNASSVQPVVAIERTVFYRERAAGMYSAMPYAYAQVLVELPYIFAQAIVYAVITYAMMGFEWTGIKFFWYIFFMYFTLLYFTFYGMMTVAVTPNHHIAAIVSSAFYGLWNLFAGFIVPRTKMPVWWRWYYWGCPVSWTLYGLVASQYGDVKDVLDTNQTVEDFVREYYGFKHDFVGVIAGGIVGISVLFAFIFGFSIRFFNFQRR >Manes.11G063400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8815244:8822796:-1 gene:Manes.11G063400.v8.1 transcript:Manes.11G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDIYKASSSLRRGSFSTWKHHPSGIFSTSSREEDDEEALKWAALEKLPTYDRLRKGILLSASKGAVNEIDVDNLGFQERKTLLERLVKVAEEDNEKFLFKLKNRIDRVGIEIPTIEVRFEHLNVEADAFVGSRALPSFINFSVNMLEGFLNYIPIFPSRKRPLTILKDVSGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPNLKFSGTVTYNGYKMNEFIPQRTAAYISQHDVHLGEMTVRETLAFSARCQGVGTQHELLAELSRREKAANIKPDPDIDVFMKAAATEGQETSVVTDYILKILGLEICADILVGDEMVRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLKQSIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREQVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWARRGQPHRFITVQEFSEAFQSYELGRRIADELSTPFDKTKSHPAALSTKKYGVGKMELLKACISREFLLMKRNSFVYIFKLTQLTFMASVSMTLFLRTEMHRNNLTDGGIYLGALFFSVIMVMFNGMAELSLTIAKLPVFYKQRDFLFYPAWAYSLPTWILKTPVTFVEAAVWTVLTYYEIGFDPNVVRFFKHYLVLLLVNQMASSLFRFIAATGRNVIVANTFGSFSLLTLFALGGFILSREEIKKWWIWGYWISPLMYGQHAVVVNEFLAKSWRHIPPNSTEPLGVQLLKTRGFFPHAYWYWLGVGALGGFVLLFNFCFTLALTFLDPFEKPQAVIVEDSQSNEPGDENGKGIQLTNSGSSHKSITCAGEEISEANHNKKKGMVLPFEPRSVAFDNVMYSVDMPQEMKSQGVLEDKLVLLKNVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPFVTVYESLVYSAWLRLPPEVDSDTRKMFVDEIMELVELDPLKQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGRLSCHLIKYFEGIEGVSKITDGYNPATWMLEVTSSAQELALNVDFAAIYKNSELYRRNKEMIKELSTPAPGSTDLYFPTKYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKKTKQQDIFNAAGSMYAAVFFLGVQNASSVQPVVAIERTVFYRERAAGMYSAMPYAYAQVLVELPYIFAQAIVYAVITYAMMGFEWTGIKFFWYIFFMYFTLLYFTFYGMMTVAVTPNHHIAAIVSSAFYGLWNLFAGFIVPRTKMPVWWRWYYWGCPVSWTLYGLVASQYGDVKDVLDTNQTVEDFVREYYGFKHDFVGVIAGGIVGISVLFAFIFGFSIRFFNFQRR >Manes.11G063400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8815244:8822796:-1 gene:Manes.11G063400.v8.1 transcript:Manes.11G063400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPSSGKTTLLLALAGKLDPNLKFSGTVTYNGYKMNEFIPQRTAAYISQHDVHLGEMTVRETLAFSARCQGVGTQHELLAELSRREKAANIKPDPDIDVFMKAAATEGQETSVVTDYILKILGLEICADILVGDEMVRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLKQSIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREQVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWARRGQPHRFITVQEFSEAFQSYELGRRIADELSTPFDKTKSHPAALSTKKYGVGKMELLKACISREFLLMKRNSFVYIFKLTQLTFMASVSMTLFLRTEMHRNNLTDGGIYLGALFFSVIMVMFNGMAELSLTIAKLPVFYKQRDFLFYPAWAYSLPTWILKTPVTFVEAAVWTVLTYYEIGFDPNVVRFFKHYLVLLLVNQMASSLFRFIAATGRNVIVANTFGSFSLLTLFALGGFILSREEIKKWWIWGYWISPLMYGQHAVVVNEFLAKSWRHIPPNSTEPLGVQLLKTRGFFPHAYWYWLGVGALGGFVLLFNFCFTLALTFLDPFEKPQAVIVEDSQSNEPGDENGKGIQLTNSGSSHKSITCAGEEISEANHNKKKGMVLPFEPRSVAFDNVMYSVDMPQEMKSQGVLEDKLVLLKNVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPFVTVYESLVYSAWLRLPPEVDSDTRKMFVDEIMELVELDPLKQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGRLSCHLIKYFEGIEGVSKITDGYNPATWMLEVTSSAQELALNVDFAAIYKNSELYRRNKEMIKELSTPAPGSTDLYFPTKYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKKTKQQDIFNAAGSMYAAVFFLGVQNASSVQPVVAIERTVFYRERAAGMYSAMPYAYAQVLVELPYIFAQAIVYAVITYAMMGFEWTGIKFFWYIFFMYFTLLYFTFYGMMTVAVTPNHHIAAIVSSAFYGLWNLFAGFIVPRTKMPVWWRWYYWGCPVSWTLYGLVASQYGDVKDVLDTNQTVEDFVREYYGFKHDFVGVIAGGIVGISVLFAFIFGFSIRFFNFQRR >Manes.11G063400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8815023:8822978:-1 gene:Manes.11G063400.v8.1 transcript:Manes.11G063400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDIYKASSSLRRGSFSTWKHHPSGIFSTSSREEDDEEALKWAALEKLPTYDRLRKGILLSASKGAVNEIDVDNLGFQERKTLLERLVKVAEEDNEKFLFKLKNRIDRVGIEIPTIEVRFEHLNVEADAFVGSRALPSFINFSVNMLEGFLNYIPIFPSRKRPLTILKDVSGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPNLKFSGTVTYNGYKMNEFIPQRTAAYISQHDVHLGEMTVRETLAFSARCQGVGTQHELLAELSRREKAANIKPDPDIDVFMKAAATEGQETSVVTDYILKILGLEICADILVGDEMVRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLKQSIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREQVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWARRGQPHRFITVQEFSEAFQSYELGRRIADELSTPFDKTKSHPAALSTKKYGVGKMELLKACISREFLLMKRNSFVYIFKLTQLTFMASVSMTLFLRTEMHRNNLTDGGIYLGALFFSVIMVMFNGMAELSLTIAKLPVFYKQRDFLFYPAWAYSLPTWILKTPVTFVEAAVWTVLTYYEIGFDPNVVRFFKHYLVLLLVNQMASSLFRFIAATGRNVIVANTFGSFSLLTLFALGGFILSREEIKKWWIWGYWISPLMYGQHAVVVNEFLAKSWRHIPPNSTEPLGVQLLKTRGFFPHAYWYWLGVGALGGFVLLFNFCFTLALTFLDPFEKPQAVIVEDSQSNEPGDENGKGAGEEISEANHNKKKGMVLPFEPRSVAFDNVMYSVDMPQEMKSQGVLEDKLVLLKNVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPFVTVYESLVYSAWLRLPPEVDSDTRKMFVDEIMELVELDPLKQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGRLSCHLIKYFEGIEGVSKITDGYNPATWMLEVTSSAQELALNVDFAAIYKNSELYRRNKEMIKELSTPAPGSTDLYFPTKYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKKTKQQDIFNAAGSMYAAVFFLGVQNASSVQPVVAIERTVFYRERAAGMYSAMPYAYAQVLVELPYIFAQAIVYAVITYAMMGFEWTGIKFFWYIFFMYFTLLYFTFYGMMTVAVTPNHHIAAIVSSAFYGLWNLFAGFIVPRTKMPVWWRWYYWGCPVSWTLYGLVASQYGDVKDVLDTNQTVEDFVREYYGFKHDFVGVIAGGIVGISVLFAFIFGFSIRFFNFQRR >Manes.11G063400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8815023:8866768:-1 gene:Manes.11G063400.v8.1 transcript:Manes.11G063400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPSSGKTTLLLALAGKLDPNLKFSGTVTYNGYKMNEFIPQRTAAYISQHDVHLGEMTVRETLAFSARCQGVGTQHELLAELSRREKAANIKPDPDIDVFMKAAATEGQETSVVTDYILKILGLEICADILVGDEMVRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLKQSIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREQVLGFFEHMGFKCPERKGVADFLQEVTSRKDQKQYWARRGQPHRFITVQEFSEAFQSYELGRRIADELSTPFDKTKSHPAALSTKKYGVGKMELLKACISREFLLMKRNSFVYIFKLTQLTFMASVSMTLFLRTEMHRNNLTDGGIYLGALFFSVIMVMFNGMAELSLTIAKLPVFYKQRDFLFYPAWAYSLPTWILKTPVTFVEAAVWTVLTYYEIGFDPNVVRFFKHYLVLLLVNQMASSLFRFIAATGRNVIVANTFGSFSLLTLFALGGFILSREEIKKWWIWGYWISPLMYGQHAVVVNEFLAKSWRHIPPNSTEPLGVQLLKTRGFFPHAYWYWLGVGALGGFVLLFNFCFTLALTFLDPFEKPQAVIVEDSQSNEPGDENGKGAGEEISEANHNKKKGMVLPFEPRSVAFDNVMYSVDMPQEMKSQGVLEDKLVLLKNVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARVSGYCEQNDIHSPFVTVYESLVYSAWLRLPPEVDSDTRKMFVDEIMELVELDPLKQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGRLSCHLIKYFEGIEGVSKITDGYNPATWMLEVTSSAQELALNVDFAAIYKNSELYRRNKEMIKELSTPAPGSTDLYFPTKYSQSFFTQCIACLWKQRLSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKKTKQQDIFNAAGSMYAAVFFLGVQNASSVQPVVAIERTVFYRERAAGMYSAMPYAYAQVLVELPYIFAQAIVYAVITYAMMGFEWTGIKFFWYIFFMYFTLLYFTFYGMMTVAVTPNHHIAAIVSSAFYGLWNLFAGFIVPRTKMPVWWRWYYWGCPVSWTLYGLVASQYGDVKDVLDTNQTVEDFVREYYGFKHDFVGVIAGGIVGISVLFAFIFGFSIRFFNFQRR >Manes.09G051600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8623945:8625337:1 gene:Manes.09G051600.v8.1 transcript:Manes.09G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQKLPPPPFPPSTAETTTAFSIHGDSSSSSYISDVHPDILKTHILTRLDGPALASVACASTELHSLASQDDLWTIICRSTWPSTDVPRLRQVISTFPHGPRSFFSASFPLLSVDQASATSPVNHDRPSELISAVDIYYRNELIFSRVVETETESGWFRCSPFRIDMLDPKDTCPTPISHPDTQDARRELAEELTLSWILIDAVGRRSMNLSSHKPVSVQRHWLSGEVHARFASILAGEKGSSSEFVQCGILVTCGGGTRGGGMHVREVSLQVEDMDGMFLNGKDSLGILNGSFEGKKGIKGRREEEGRKRYEGFLEMKRERKERKLRTEGTLDTLCVGFGLLVLVCLGFLCLR >Manes.13G004200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:975445:979856:1 gene:Manes.13G004200.v8.1 transcript:Manes.13G004200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSIFTSSWRSFHFQLQREIGTIHSPTLLLTNSIHSLHSSNSTQSNKDACFRYKFYSASFADLDDALASFNHIILVHPLPSIVQFNRFLSTLIRMKQYHTVVSLSRKVESLGISHNIYSLSILINSYCCLNRVDFGFSVLSKILKLGLEPSIVIFTTLIDGLCKERTINRAVDLFNDIVARGFQPDIYTYNVIVNALCKYGKTDVATGLLKEMVERGCEPDVMTYNSIIDALCKDKLVAEALNLFSQLGNKGIPPTAVTYNCLIHGLCSLGKLNQALSLLNEMVVQNISPDVYTFNIFIDNLFKEGMVSKVQEIFGIMVKWNIKPDLVTYNSLMDGYCLHSQMDEARKIFDQMVRRKMADVFSYNILINGYCENKRIDEAKKLFDEMPYKRLVPNIFIYNTLMKGLWRVGKSRTAKDVFKHMCSQGHQPDRISFSILLDGLCKQGNLDEALALFKAMEKSRLKPNHVIYTILIDGLFRVGKLNDAKKFFSRLLEKGVQPNVYTYSTFIKGLCKEGILDEAYQVFRGMEDSGCLPNDCCYNVIIQGFLRHEDVPKASQLIDEMVDKGFSADATTTELVIHLSHNDGLILRKLRNRSEGSNGINANILINSYCCLNRVDFGFSVLSKILKLGLEPSIMIFTTLIDGLCKGGTINRAVDLFNDIVARGFQPDVYTDNMIVNALCKYGKTDVATGLLKEMVERGCEPDVMTYNPIIDCKDKLVAEALNLFSQLGNKGISPTAVTYNCLIHGLCSLGLNILINGYYENKRIDEAKKLFDEMHYKGLVPNIVFKRMCSQGHQPDRISFSILLDGLCKQGNLDEALALFKAMEKSRLKPNHVTYTILIDGLCRVGNLNDAKKFFSRLLEKGVQPNVYTYSTFIKGLCKEGLLDEAYQVFRGMEDSGCLPNDC >Manes.01G147300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33729316:33731380:1 gene:Manes.01G147300.v8.1 transcript:Manes.01G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIVDSEYLKEIEQARRDLRALMSSKNCAPLMLRLAWHDAGTYDAKTKTGGPNGSIRNEKEYKHEANNGLKIAIDLMEEIKAKHPKLTYADLYQLAGVVAVEITGGPTIDFVSGRKDSTESPEEGRLPDAKKGATHLRDVFYRMGLSDMEIVALSGGHTLGKAHRDRSGFEGAWTKEPLKFDNSYFKELLNENSEVLKLPTDKALVEDPKFRSYVERYAKDEDAFFTDYAAAHKKLSELGFTPAFKAAKFTLRAQSAVGVAIVAAMVILSYYYEVHKRLK >Manes.01G053600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:21028033:21040605:1 gene:Manes.01G053600.v8.1 transcript:Manes.01G053600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHQTQKLDNIFLLLFCIFSPLPIMSNSFFTCKDMGPVVPTTPLIIFLERLQETALSTFGERNFDPKLYVDLSLNFNLSTTEKAFNGLPRNENGTVSIKDLEEFLAMYFQDAGKDLVYYDPPDFLPEPDGFLPKVKNPEVKAWALEVHSLWKNLSRKLSDEVRKQPELHTMLPLRAPVIVPGSRFREVYYWDSYWTIRGLLASKMYDTAKAIVTNLLSLVNIYGYAPNGSRVYYTNRSQPPLLSAMVYEIYNRTGDVELAKKALPELLKEHRFWNSEVHKVTIQDAQGRNHTLSRYYAMWNKPRPESATIDKKSASKFSKISDKQQFYRELASAAESGWDFSTRWMRNSSEFTTLATTSVVPVDLNVFILKMELGIAFLAKETGDESIAESFLEASQARKRAINSVFWNEKMGQWLDYWLITGTTCQVSQTWNACNQNQNVFASNFSPLWIDLLNSDTDLVESVMRSLQSSGLICAAGIATSLTNSGQQWDFPNGWAPLQHIIVEGLARSGSQEARSLAEDIAARWIRTNYVGYKKTGAMHEKYNVEKCGEFGGGGEYVPQTGFGWSNGVVLTFLEEFGWPQDQRIDC >Manes.17G016500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8471001:8475303:1 gene:Manes.17G016500.v8.1 transcript:Manes.17G016500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSAGGVPPGFRFHPTDEELLHYYLKKKVSFQRFDMEVIKEVDLNKVEPWELQERCRIGSTPQNEWYFFSHKDRKYPTGSRTNRATHAGFWKATGRDKCIRNSFKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDGDDIQGNSAAVFKKKNLFKVGNGGTSCINSSDHQQLNTSIIQPRSFMHNKDNQFLLIQSPTSTHHQPAFELNKSELGLHHPYPHMTAATPHYSLLQSQNLMPTHDYSSALGSSTPLMAKQFMLTARDCENGSEDLRYPQSCEPGLEEGTCEPPNHHHQQMGVGGRSDHQHQHQGLNEWSMLDRIVGSHLGNENASKEVRFDDRNDASSVHPINHLSLRAEMDFWGYGK >Manes.17G016500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8471002:8475303:1 gene:Manes.17G016500.v8.1 transcript:Manes.17G016500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSAGGVPPGFRFHPTDEELLHYYLKKKVSFQRFDMEVIKEVDLNKVEPWELQERCRIGSTPQNEWYFFSHKDRKYPTGSRTNRATHAGFWKATGRDKCIRNSFKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDGDDIQGNSAAEDGWVVCKVFKKKNLFKVGNGGTSCINSSDHQQLNTSIIQPRSFMHNKDNQFLLIQSPTSTHHQPAFELNKSELGLHHPYPHMTAATPHYSLLQSQNLMPTHDYSSALGSSTPLMAKQFMLTARDCENGSEDLRYPQSCEPGLEEGTCEPPNHHHQQMGVGGRSDHQHQHQGLNEWSMLDRIVGSHLGNENASKEVRFDDRNDASSVHPINHLSLRAEMDFWGYGK >Manes.15G156700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12923392:12926659:1 gene:Manes.15G156700.v8.1 transcript:Manes.15G156700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHRDIKVKDEKTLLFGDKAVTVFGVRNPEEIPWGQTGAEYVVESTGVFTDMDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKDYKPDLNIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYEEIKAAIKAESEGNLKGILGYTEDDVVSSDFVGDCRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLIRHIASTQ >Manes.08G050150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5155277:5156514:-1 gene:Manes.08G050150.v8.1 transcript:Manes.08G050150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLRMFEGVFGELFMSCLECAWHLIRVHLCRIGPEGPRRPAVLAVAESVQRLPEVCRSEVVQEDVKGKSYGFVID >Manes.07G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30892985:30896030:-1 gene:Manes.07G103000.v8.1 transcript:Manes.07G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALLHLLRSQTKSLSSCYHPCRFGTRSHIWSAKPEFNSPIQIAASQKRWASQARTTDDETRISIGPRKGKEAGEDEKETGVVYYGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASSVIFLSASTTAALHWFVSPYIHKLKWHPGSDIFEVEMMSWLATYIPKTIKFADIRPPETNRPFVTFKANGNFYFVDTEHCHNKALLARLTPKKPQHESAFKNL >Manes.01G223900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39021003:39023537:-1 gene:Manes.01G223900.v8.1 transcript:Manes.01G223900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWILLLIFVLVSFFVHSSLSQPLKATCNGVFLSYNYTGGYPIPPTDPTNQPYRFESTVTVLNNGRDELKSWSVFIGFQNNELLVSANNALLADGRSLPAFVGNGTVLVGSLNTDLKSAIETAGDVTQMEVIIQLVGTRFGNRTGNSDAPLPLGLTLANDGYSCPAPTRQGTELQLCCSRDLNVIPNVTLENFQPREDGDLIIMYDIIRTYDTDYWAQVSISNHNPLGRLQNWKLSWDWTRGEFIYAMKGAYPSVVDTTDCVFGPQGLHYKEMDFSQALNCERSPTIMDLPPTRANDTQIGLIPFCCRNGTILPPNMDPGKSVSAFQMHVFKMPPDLNRTEFFPPLNWKINGTFSSDFQCGSPVQVSPSQYPDPSGLPSRTEAVASWQVICNITHFRKELPKCCVSFSSFFNDSVVPCSTCACGCNNNPSQTCNANEPALLLPSEALLVPFENRTAKALDWAELKRRDVPNPLPCGDNCGVSINWHLQSDYRDGWTARITLFNWGETDFADWVAAVQLDKAVPGFEKAYSFNASVLPNSSNAIFMQGLPDFNYLVAERDGHNPRKDPRVPGTQQSVLSFTKKTTPGIDVAGGDGFPTRVFFNGEECALPSTRPNNARKAITANSISCALLVLALFLMNS >Manes.05G093800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8606827:8607987:1 gene:Manes.05G093800.v8.1 transcript:Manes.05G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNRGGVAAGYESDNVVHGSGSSCERKGGVPWTEDEHRLFLLGLQKLGKGDWRGISRNFVITRTPTQVATHAQKYYLHRNNRYRYRRGSSLFDITADSSLGSLIEEEQVHQETATLPTFPESLSPAFLTVADVNTMENLSLGSSSSNLKISPKLIRPVPIIPVPLSSKMADLNLNEKNPTKEHLPLSLKLSTPSSEE >Manes.02G064300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4912326:4916065:-1 gene:Manes.02G064300.v8.1 transcript:Manes.02G064300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLVLGGNGFVGSHVCREALGHGLTVSSLSRSGRSSLHDSWADSIVWHRGDLLSPDSLKHALNGVTSVISCVGGFGSNSYMYKINGSANINAIKAASEQGVKRFIYISAADFGLINYLLRGYYEGKRSTEAELMKNFPRGGVFLRPGFVHGTRRVGNVKLPLSIVGAPLEMVLQHAKLLTRIPLIGPLFIPPVHVSSVAKVAVRAATDPAFPPGILDVYSILQRSR >Manes.02G064300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4912326:4916832:-1 gene:Manes.02G064300.v8.1 transcript:Manes.02G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVMSRLKLQSRASFPRLCSLVVLKNGRSLSISSDKVDGAPKVQEVETVKTPPMEKLLVLGGNGFVGSHVCREALGHGLTVSSLSRSGRSSLHDSWADSIVWHRGDLLSPDSLKHALNGVTSVISCVGGFGSNSYMYKINGSANINAIKAASEQGVKRFIYISAADFGLINYLLRGYYEGKRSTEAELMKNFPRGGVFLRPGFVHGTRRVGNVKLPLSIVGAPLEMVLQHAKLLTRIPLIGPLFIPPVHVSSVAKVAVRAATDPAFPPGILDVYSILQRSR >Manes.06G040900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:12682508:12689281:1 gene:Manes.06G040900.v8.1 transcript:Manes.06G040900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHPTQLQSLDPSHPLPETPDFHYENPPPPPEPQFSDPQNPDKTPTQNPQNLTDNGHNPQIVPETTPRFEISRPLLSENGLTNTNSGDRDYSGGEEETTSRRRRRSRWDPPADSTTGNQSTDGNNNDSSSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTTTFKSDVLCKICGDGGHPTIDCPVKGTAGKKMDDEYQNFLAELGGTMPESSTKQTSTLALGPGSSGSNPPWASNTGGLGSANQPGLGANGIKPIKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGDIVMAKVIKDRVTGVSKGYGFVKYRDIQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPPSTMPTYPVSSQPVGAYPSQQFAPGGPLPNAPPAGYAAAPASYTGTPVPWGPPVPPPYAPYAPPPPGSTMYPPVPGQPMPPYGVQYPPPVQPVPSGAPTQTVTSSEGQQSYPPGVQSENSTSAPPPSSNIYGNPGVAMPSGVQPAYATASLGYSSYYNAVPPPPPAPVPTTDHSQGISNVPWAPNPPPPPPVSAASEKATFGADAEYEKFMAEMK >Manes.06G040900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:12682508:12687989:1 gene:Manes.06G040900.v8.1 transcript:Manes.06G040900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHPTQLQSLDPSHPLPETPDFHYENPPPPPEPQFSDPQNPDKTPTQNPQNLTDNGHNPQIVPETTPRFEISRPLLSENGLTNTNSGDRDYSGGEEETTSRRRRRSRWDPPADSTTGNQSTDGNNNDSSSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTTTFKSDVLCKICGDGGHPTIDCPVKGTAGKKMDDEYQNFLAELGGTMPESSTKQTSTLALGPGSSGSNPPWASNTGGLGSANQPGLGANGIKPIKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGDIVMAKVIKDRVTGVSKGYGFVKYRDIQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPPSTMPTYPVSSQPVGAYPSQQFAPGGPLPNAPPAGYAAAPASYTGTPVPWGPPVPPPYAPYAPPPPGSTMYPPVPGQPMPPYGVQYPPPVQPVPSGAPTQTVTSSEGQQSYPPGVQSENSTSAPPPSSNIYGNPGVAMPSGVQPAYATASLGYSSYYNAVPPPPPAPVPTTDHSQGISNVPWAPNPPPPPPVSAASEKATFGADAEYEKFMAEMK >Manes.01G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:16812485:16813340:-1 gene:Manes.01G047100.v8.1 transcript:Manes.01G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQCSKPAEQTCNQGQQSHSLGQKMSEMVTSVFKKEQTHQGHTTAQCHCQTTEYKTQTHSSGQTLSHGMTKTQTVCAVQTNGQEAHGPGLGLATTNSAKSRRNSRSGEHRRRGLLQKIKDGISGHSDSGSSSSESDSDDEKCGRKKN >Manes.06G110500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24253919:24259396:1 gene:Manes.06G110500.v8.1 transcript:Manes.06G110500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMEITTQNPTETHFSEQNGVAQAQLPDSSSILGQDKFLVSVEVCLKPSSTARVEDVRCAVERMLEKRSLSYVDGPIPVPADDPFLAQNVQRICICDTDEWAKKHEILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKKRLLRYAASALLFTEKGVNPFLVSWNRIVLLYGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKCSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLEARYEILRSCLQELIRTGIISNFQDFDNLVLPNYSTLKEKLNAADIQEGQAPVDLYKQLLEAAQACEVS >Manes.06G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24253919:24259396:1 gene:Manes.06G110500.v8.1 transcript:Manes.06G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMEITTQNPTETHFSEQNGVAQAQLPDSSSILGQDKFLVSVEVCLKPSSTARVEDVRCAVERMLEKRSLSYVDGPIPVPADDPFLAQNVQRICICDTDEWAKKHEILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKKRLLRYAASALLFTEKGVNPFLVSWNRIVLLYGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKCSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLEARYEILRSCLQELIRTGIISNFQDFDNLVLPNYSTLKEKLNAADIQEGQAPVDLYKQLLEAAQACEGLSGRSLRKLPFLAHAALSNPCGCHANKFLSAMIDTATRERSELLD >Manes.06G110500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24253919:24259396:1 gene:Manes.06G110500.v8.1 transcript:Manes.06G110500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMEITTQNPTETHFSEQNGVAQAQLPDSSSILGQDKFLVSVEVCLKPSSTARVEDVRCAVERMLEKRSLSYVDGPIPVPADDPFLAQNVQRICICDTDEWAKKHEILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKKRLLRYAASALLFTEKGVNPFLVSWNRIVLLYGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKCSPNVIILTTSNITAAIGL >Manes.06G110500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24253919:24259396:1 gene:Manes.06G110500.v8.1 transcript:Manes.06G110500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDRFQCLPMIPFLHKTYKEYVFVTRMNGQRNMRFFCFGRSNQLYMSFSEEGPCEELSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKKRLLRYAASALLFTEKGVNPFLVSWNRIVLLYGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKCSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLEARYEILRSCLQELIRTGIISNFQDFDNLVLPNYSTLKEKLNAADIQEGQAPVDLYKQLLEAAQACELFQTHVAVTQISSCQQ >Manes.06G110500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24253919:24259396:1 gene:Manes.06G110500.v8.1 transcript:Manes.06G110500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMEITTQNPTETHFSEQNGVAQAQLPDSSSILGQDKFLVSVEVCLKPSSTARVEDVRCAVERMLEKRSLSYVDGPIPVPADDPFLAQNVQRICICDTDEWAKKHEILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKKRLLRYAASALLFTEKGVNPFLVSWNRIVLLYGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKCSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLEARYEILRSCLQELIRTGIISNFQV >Manes.06G110500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24253919:24259396:1 gene:Manes.06G110500.v8.1 transcript:Manes.06G110500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDRFQCLPMIPFLHKTYKEYVFVTRMNGQRNMRFFCFGRSNQLYMSFSEEGPCEELSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKKRLLRYAASALLFTEKGVNPFLVSWNRIVLLYGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKCSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLEARYEILRSCLQELIRTGIISNFQDFDNLVLPNYSTLKEKLNAADIQEGQAPVDLYKQLLEAAQACEGLSGRSLRKLPFLAHAALSNPCGCHANKFLSAMIDTATRERSELLD >Manes.06G110500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24253919:24259396:1 gene:Manes.06G110500.v8.1 transcript:Manes.06G110500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMEITTQNPTETHFSEQNGVAQAQLPDSSSILGQDKFLVSVEVCLKPSSTARVEDVRCAVERMLEKRSLSYVDGPIPVPADDPFLAQNVQRICICDTDEWAKKHEILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKKRLLRYAASALLFTEKGVNPFLVSWNRIVLLYGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKCSPNVIILTTSNITAAIGL >Manes.06G110500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24253919:24259396:1 gene:Manes.06G110500.v8.1 transcript:Manes.06G110500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPMEITTQNPTETHFSEQNGVAQAQLPDSSSILGQDKFLVSVEVCLKPSSTARVEDVRCAVERMLEKRSLSYVDGPIPVPADDPFLAQNVQRICICDTDEWAKKHEILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSTFNEWILPAKEFDGMWESLIYESGLKKRLLRYAASALLFTEKGVNPFLVSWNRIVLLYGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLVEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKCSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLEARYEILRSCLQELIRTGIISNFQDFDNLVLPNYSTLKEKLNAADIQEGQAPVDLYKQLLEAAQACELFQTHVAVTQISSCQQ >Manes.17G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27737546:27738923:-1 gene:Manes.17G077400.v8.1 transcript:Manes.17G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTALTCFLQLLILFLLRESLASSILTIANSRSTFQDEALPTKSGYLPINPNNNSSIFYTFYEAQDAVLPLSQTPLLIWLQGGPGCSSMVGNFFELGPYRVVDTQSGNVEHLALERNPGSWNRIFGLVFIDNPIGVGFSIAATAEEIPRDQHSIGRHLYAAITGFINLDPDFKDRPLYIAGESYAGKYVPAIGYYILKHNMRLPEAEQVNLKGVAIGNGLTDPVAQVKTHAENAYFSGLINERQKAELEEAQIKAVEFVKMGKWREATSARFEALDLLQNMTGLATLYDFTKKVPYKTSLVTKFLQSEEVKKKLGAKESIVFYECSSVVGEALAEDLMKSVKYMVELLVKMSKAWVKTMKWEGIGRFLMAERKIWKVNGELAGYVQKWGSLSHALVLGAGHLVPADQPLNSQAMIEDWVLDRGVFAVEQKKDSALDS >Manes.17G101600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30859046:30860585:1 gene:Manes.17G101600.v8.1 transcript:Manes.17G101600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSMSSRGSASWTAEQNKAFEKALAVYDKDTPERWANVARAVGGKTADDVKRHYELLVQDVRYIESGQVPFPNYRTTGGSRRG >Manes.08G009900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1217748:1218818:-1 gene:Manes.08G009900.v8.1 transcript:Manes.08G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGTDTVQGRPKAYDFGQAVSRMAVAQICEALGFQGFKESALDAFTDVVIRYLLDLGKISSFHANLSGRTQCNLFDIVRGFEEMGAPRGFSGASNSSNYVINWGDVKDIIEFVGCTEDIPFAQPVPSFPVVRDRRLIPSFLTMSETPPGKHIPAWLPAFPDPHTYVHTPLWNERAADARAEKIEQARQRRKAERALLSLQQRLVSNGSAGASSSVAGNDNVKELGVVESNTFLSIPLKPGEKDVSAIVLPDKVKHTVCLMEAFAPAIEAAKEDGLPDGGDSARRLLPGKRPAVNFKFKTGKKLLGEPLDLTLTRKGGGRMGHWLGRDEERDDKKRRAEYILRQSMENPQELTQL >Manes.02G174700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13797575:13807784:1 gene:Manes.02G174700.v8.1 transcript:Manes.02G174700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRDEDDEELDADDEEYDDQEQQEMFDEEEEEEDRGKSSRKRRRSDFIDDVAEEDDEEEEEEDDDDEYGGGGGRRQKAKRRSGTQFFDLEAEVDSDEEEEDEEDAEDDFIVDTGADLPDDDDSRRVHRRPLLPREDDQEDVEALERSIQARYARSSHTEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSAIALDHLKNYIYIEADKEAHVREACKGLRNIYAQKIMLVPIKEMTDVLSVESKAIDLARDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKMEGREVVKRKAFVPPPRFMNVDEARELHIRVERRRDPMTGDYFENIGGMLFKDGFLYKTVSMKSISPQNISPTFDELEKFQKPGENGDSDMGGFSTLFANRKKGHFVKGDSVIVVKGDLKNLKGWVEKVDEENVHIRPEMKDLPRTIAVNEKELCKYFEPGNHVKVVSGTQEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGEYELHDLVLLDNMSFGIIIRVEIEAFQVLKGVPERPEVALVRLREIKCKIEKKFNVQDRYKNTISAKDVVRIIDGPCKGKQGPVEHIYRGVLFIYDRHHLEHAGFICVKSHSCIVVGGTRATGDRNGDPYSRFGSLKSPARMPPSPRRFPRGGPPFESGGRNRGGRGGHDALVGTTVKIRQGPFKGYRGRVVEIKGQSVRVELESQMKVILVDRTNISDNVVVSTKYRDSSRYGMGSETPMHPSRTPMHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQAGSPPSRAYEAPTPSGSYSEAGTPRDSSFTYGNAPSPYLPSTPGGQPTTPSSAAYLPGTPGGQPMTPGTGGLDIMSPVMGGDNEGPWFMPDILVNVRKAADESSVGVIREVLPDGSCRVVLGANGNGETITALPNEMEIVVPRKSDKIKIMGGAHRGATGKLIGVDGTDGIVKVDDTLDVKILDMVILAKLAHS >Manes.02G174700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13799819:13807784:1 gene:Manes.02G174700.v8.1 transcript:Manes.02G174700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRVISSISSFNYNNFIVDTGADLPDDDDSRRVHRRPLLPREDDQEDVEALERSIQARYARSSHTEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSAIALDHLKNYIYIEADKEAHVREACKGLRNIYAQKIMLVPIKEMTDVLSVESKAIDLARDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKMEGREVVKRKAFVPPPRFMNVDEARELHIRVERRRDPMTGDYFENIGGMLFKDGFLYKTVSMKSISPQNISPTFDELEKFQKPGENGDSDMGGFSTLFANRKKGHFVKGDSVIVVKGDLKNLKGWVEKVDEENVHIRPEMKDLPRTIAVNEKELCKYFEPGNHVKVVSGTQEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGEYELHDLVLLDNMSFGIIIRVEIEAFQVLKGVPERPEVALVRLREIKCKIEKKFNVQDRYKNTISAKDVVRIIDGPCKGKQGPVEHIYRGVLFIYDRHHLEHAGFICVKSHSCIVVGGTRATGDRNGDPYSRFGSLKSPARMPPSPRRFPRGGPPFESGGRNRGGRGGHDALVGTTVKIRQGPFKGYRGRVVEIKGQSVRVELESQMKVILVDRTNISDNVVVSTKYRDSSRYGMGSETPMHPSRTPMHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQAGSPPSRAYEAPTPSGSYSEAGTPRDSSFTYGNAPSPYLPSTPGGQPTTPSSAAYLPGTPGGQPMTPGTGGLDIMSPVMGGDNEGPWFMPDILVNVRKAADESSVGVIREVLPDGSCRVVLGANGNGETITALPNEMEIVVPRKSDKIKIMGGAHRGATGKLIGVDGTDGIVKVDDTLDVKILDMVILAKLAHS >Manes.02G174700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13799913:13807784:1 gene:Manes.02G174700.v8.1 transcript:Manes.02G174700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRVISSISSFNYNNFIVDTGADLPDDDDSRRVHRRPLLPREDDQEDVEALERSIQARYARSSHTEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSAIALDHLKNYIYIEADKEAHVREACKGLRNIYAQKIMLVPIKEMTDVLSVESKAIDLARDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKMEGREVVKRKAFVPPPRFMNVDEARELHIRVERRRDPMTGDYFENIGGMLFKDGFLYKTVSMKSISPQNISPTFDELEKFQKPGENGDSDMGGFSTLFANRKKGHFVKGDSVIVVKGDLKNLKGWVEKVDEENVHIRPEMKDLPRTIAVNEKELCKYFEPGNHVKVVSGTQEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGEYELHDLVLLDNMSFGIIIRVEIEAFQVLKGVPERPEVALVRLREIKCKIEKKFNVQDRYKNTISAKDVVRIIDGPCKGKQGPVEHIYRGVLFIYDRHHLEHAGFICVKSHSCIVVGGTRATGDRNGDPYSRFGSLKSPARMPPSPRRFPRGGPPFESGGRNRGGRGGHDALVGTTVKIRQGPFKGYRGRVVEIKGQSVRVELESQMKVILVDRTNISDNVVVSTKYRDSSRYGMGSETPMHPSRTPMHPYMTPMRDAGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEEGNPASWGTSPQYQAGSPPSRAYEAPTPSGSYSEAGTPRDSSFTYGNAPSPYLPSTPGGQPTTPSSAAYLPGTPGGQPMTPGTGGLDIMSPVMGGDNEGPWFMPDILVNVRKAADESSVGVIREVLPDGSCRVVLGANGNGETITALPNEMEIVVPRKSDKIKIMGGAHRGATGKLIGVDGTDGIVKVDDTLDVKILDMVILAKLAHS >Manes.09G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34354715:34356679:-1 gene:Manes.09G145800.v8.1 transcript:Manes.09G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILTCSVILALLIIGIVSIQVEARAFFVFGDSLVDHGNNNYLVTTARADSPPYGIDFPTHQPTGRFSNGLNIPDFISQALGSEFLLPYLSPQLIGQKLLVGANFASAGIGILNDTGIQFVNVIRMFQQFEYFQEYQQRVAALIGDEQTEELVNEALVLITVGGNDFVNNYYLLPNSLRSQQYALPAYVQFLISEYSKILQRLYDLGARRVLVTGTGPLGCVPAELALRGRNGQCSAELQEAADLYNPQLTEMIKELNTQYDSDIFIAVNTRLMNADFISDPQAFGFTTSKEACCGQGPYNGVGLCTSSSNLCSNRDEYAFWDPFHPSERANRYIVQQLITGSTDYMNPMNLSTILTLDSMT >Manes.08G170500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40450586:40468094:1 gene:Manes.08G170500.v8.1 transcript:Manes.08G170500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDHPLLQSSDPPVPSRPRHSCRFGSFDCLCHNDSFNSSITDDPQYNPIGVDYLEKGEEDLSAGDTSFHIPVRESSNSAVTTQQFHPVEALQIEGFSLKCPTQENRCLVSWGAMELLHSNNNSTTVASFEISRNASAVHAKVGSGASSSLNDKLGFSQRKSCYRSSQFDNNALCEEDARFIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNIFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQSGQFLLKRWKKIRAGEIVKICIDETIPCDMVLLGTSDPSGVAYIQTMNLDGESNLKTRYARQETSSAVSEGCTISGLIRCEQPNRNVYEFTANMEFNGHKFSLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAASPSKRSKLESYMNRETLWLSIFLLIMCLVVALGMGLWLAHYKEQLDTLPYYRKTYFTQGKDYGKRYKYYGIPMEIFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDSGSGSRFQCRSLNINEDLGQVRYIFSDKTGTLTQNKMEFKRASVYGKNYGGSLVVADQLQEEYLSDAAALRRQERKSTLAVDSDLMKLLHKDLVEDERITAHDFFLTLAACNTVIPIRTCDKSSSCADTGCHEDVETVAYQGESPDEQALVSAASAYGYTLYERTSGHIVIDVNGEKLRLDVLGMHEFDSVRKRMSVVIRFPDNSVKVLVKGADISMSSILAEDSERDNHVRHTTQRHLTEYSVEGLRTLVVAARDLTEAELELWQYRFDDASTSLTDRATKLRQTAALIECDLNLLGATGVEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTTDMEQMIINGNSENECRKLLADAKAKYGVKSSNGGNHKLKCNKNAEIDYLEIAEGKKEGIVKMPLALVIDGNSLVYILEKELESELFDLATSCKVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVLYSVIYTSVPTIVVGILDKDLSHRTLLEYPKLYGAGYRQEAYNMRLFWITMADTLWQSLVLFSIPIFIYKESTIDIWSIGSLWTIAVVILVNIHLAMDVKRWVYITHVAVWGSVIITFACMVVLDSLPVFPNYGTIYHLAKSPTYWLTILLIIVIALLPRFLLKVVHHIFWPSDIQIAREAEILRRGPDHLRSRAGGC >Manes.04G047400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5754991:5760024:1 gene:Manes.04G047400.v8.1 transcript:Manes.04G047400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSIWVVLICIVGALMVLKMILLRVNGWLYERKLGELQYFLPPGDLGWPFIGNMWSFLRAFKSSDPDSFMGSFITRYGRTGIYKAFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPRSAMELIGKKSFIGISFEEHKRLRRLTASPVNGHEALSVYLQYIEENVVSALKKWATMGQIEFLTEIRKVTFRIIMYIFLGSESEPVMEALEREYTALNYGVRAMAINLPGFAYHKALKARKNLVAALQSVVDQRRKQRKGITLSKKKDMMDALMDVEDENGRKLSDEEIIDILLMYLNAGHESSGHIIMWATIFLQEHPEFLQKAKEEQEEIIKRRPPTQKGLTLKEVREMEYLSKVIDETLRMITFSLVVFREAKSNVNINGYIIPKGWKVLVWFRSVHLDSEVYPKPREFNPSRWDNHTAKAGTFLPFGAGSRMCPGNDLAKLEIAIFLHHFLLKYELERVNPGCSLMYLPHSRPKDNCLARIRKIPCQETEVNE >Manes.04G047400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5754991:5760024:1 gene:Manes.04G047400.v8.1 transcript:Manes.04G047400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMKENLVSCSIFCLQVIWVGLSLVICGHFSELSSPVTPILLWDPLSPGIYKAFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPRSAMELIGKKSFIGISFEEHKRLRRLTASPVNGHEALSVYLQYIEENVVSALKKWATMGQIEFLTEIRKVTFRIIMYIFLGSESEPVMEALEREYTALNYGVRAMAINLPGFAYHKALKARKNLVAALQSVVDQRRKQRKGITLSKKKDMMDALMDVEDENGRKLSDEEIIDILLMYLNAGHESSGHIIMWATIFLQEHPEFLQKAKEEQEEIIKRRPPTQKGLTLKEVREMEYLSKVIDETLRMITFSLVVFREAKSNVNINGYIIPKGWKVLVWFRSVHLDSEVYPKPREFNPSRWDNHTAKAGTFLPFGAGSRMCPGNDLAKLEIAIFLHHFLLKYELERVNPGCSLMYLPHSRPKDNCLARIRKIPCQETEVNE >Manes.04G047400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5754991:5760024:1 gene:Manes.04G047400.v8.1 transcript:Manes.04G047400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSIWVVLICIVGALMVLKMILLRVNGWLYERKLGELQYFLPPGDLGWPFIGNMWSFLRAFKSSDPDSFMGSFITRYGRTGIYKAFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPRSAMELIGKKSFIGISFEEHKRLRRLTASPVNGHEALSVYLQYIEENVVSALKKWATMGQIEFLTEIRKVTFRIIMYIFLGSESEPVMEALEREYTALNYGVRAMAINLPGFAYHKALKARKNLVAALQSVVDQRRKQRKGITLSKKKDMMDALMDVEDENGRKLSDEEIIDILLMYLNAGHESSGHIIMWATIFLQEHPEFLQKAKEEQEEIIKRRPPTQKGLTLKEVREMEYLSKVIDETLRMITFSLVVFREAKSNVNINGYIIPKGWKVLVWFRSVHLDSEVYPKPREFNPSRWDNHTAKAGTFLPFGAGSRMCPGNDLAKLEIAIFLHHFLLKYELERVNPGCSLMYLPHSRPKDNCLARIRKIPCQETEVNE >Manes.04G047400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5754991:5760024:1 gene:Manes.04G047400.v8.1 transcript:Manes.04G047400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSIWVVLICIVGALMVLKMILLRVNGWLYERKLGELQYFLPPGDLGWPFIGNMWSFLRAFKSSDPDSFMGSFITRYGRTGIYKAFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPRSAMELIGKKSFIGISFEEHKRLRRLTASPVNGHEALSVYLQYIEENVVSALKKWATMGQIEFLTEIRKVTFRIIMYIFLGSESEPVMEALEREYTALNYGVRAMAINLPGFAYHKALKARKNLVAALQSVVDQRRKQRKGITLSKKKDMMDALMDVEDENGRKLSDEEIIDILLMYLNAGHESSGHIIMWATIFLQEHPEFLQKAKEEQEEIIKRRPPTQKGLTLKEVREMEYLSKVIDETLRMITFSLVVFREAKSNVNINGYIIPKGWKVLVWFRSVHLDSEVYPKPREFNPSRWDNHTAKAGTFLPFGAGSRMCPGNDLAKLEIAIFLHHFLLKYELERVNPGCSLMYLPHSRPKDNCLARIRKIPCQETEVNE >Manes.04G047400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5754991:5760024:1 gene:Manes.04G047400.v8.1 transcript:Manes.04G047400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSIWVVLICIVGALMVLKMILLRVNGWLYERKLGELQYFLPPGDLGWPFIGNMWSFLRAFKSSDPDSFMGSFITRYGRTGIYKAFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPRSAMELIGKKSFIGISFEEHKRLRRLTASPVNGHEALSVYLQYIEENVVSALKKWATMGQIEFLTEIRKVTFRIIMYIFLGSESEPVMEALEREYTALNYGVRAMAINLPGFAYHKALKARKNLVAALQSVVDQRRKQRKGITLSKKKDMMDALMDVEDENGRKLSDEEIIDILLMYLNAGHESSGHIIMWATIFLQEHPEFLQKAKEEQEEIIKRRPPTQKGLTLKEVREMEYLSKVIDETLRMITFSLVVFREAKSNVNINGYIIPKGWKVLVWFRSVHLDSEVYPKPREFNPSRWDNHTAKAGTFLPFGAGSRMCPGNDLAKLEIAIFLHHFLLKYELERVNPGCSLMYLPHSRPKDNCLARIRKIPCQETEVNE >Manes.04G047400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5754991:5760024:1 gene:Manes.04G047400.v8.1 transcript:Manes.04G047400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSIWVVLICIVGALMVLKMILLRVNGWLYERKLGELQYFLPPGDLGWPFIGNMWSFLRAFKSSDPDSFMGSFITRYGRTGIYKAFMFGRPSVFVTTPETCKRVLLDDDAFKPGWPRSAMELIGKKSFIGISFEEHKRLRRLTASPVNGHEALSVYLQYIEENVVSALKKWATMGQIEFLTEIRKVTFRIIMYIFLGSESEPVMEALEREYTALNYGVRAMAINLPGFAYHKALKARKNLVAALQSVVDQRRKQRKGITLSKKKDMMDALMDVEDENGRKLSDEEIIDILLMYLNAGHESSGHIIMWATIFLQEHPEFLQKAKEEQEEIIKRRPPTQKGLTLKEVREMEYLSKVIDETLRMITFSLVVFREAKSNVNINGYIIPKGWKVLVWFRSVHLDSEVYPKPREFNPSRWDNHTAKAGTFLPFGAGSRMCPGNDLAKLEIAIFLHHFLLKYELERVNPGCSLMYLPHSRPKDNCLARIRKIPCQETEVNE >Manes.06G131100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26025574:26026785:-1 gene:Manes.06G131100.v8.1 transcript:Manes.06G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNLSHHYLRTSYYNTLIPCLTDNWGDLPFKVDDSEDMLVYNFLWDAVSSGWSPVDFTSTSPIQTTTTAVKPEPMDELGLTTPVHPQEAASTLSFLQTSILENTSGAFRLATTSNKKVHKGTHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAALAYDRAAFRMRGSRAMLNFPHRIGFNEPEPVRITAKRRDSESEMPVSSKRRKGPAANQAELGRDRGYGVGHQIVVMAAGEQLLVT >Manes.18G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3662683:3668443:1 gene:Manes.18G041500.v8.1 transcript:Manes.18G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLRGIPLIRVRLGRQRLAAGTLSPLWYPWSSTADPTRLDQEVCDAEKTTAVLSARDSPKYPRWDDPDYRKWKDKEEEIMRDIETIISLTKEILHSDRYLDGERLTAEDEKAVVEKLLTYHPSSEDKIGCGLDSIMVDRHPQFKYSRCLFVVRTDGGWIDFSYQKCLRAYIRYKYPKHEERFIREHFKHGS >Manes.12G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2003137:2008122:-1 gene:Manes.12G023400.v8.1 transcript:Manes.12G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEDSRNQHQLHSLAMSTTNSNPSVPDSHRRDIPPINTISVFFREFYRESKKLWCLACPAIFTTICQYSLGAITQVFSGQVSTLALAAVSVGNSVIAGFSFGVMLGMGSALETLCGQAFGAGQLEMLGIYLQRSWVILGTTASLMSFFYIFATQILKLIGQQAAISKAAGIYAIWMIPQLFAYAMNFPMAKFLQAQRKMIVMAMISAAALVLHTFFSWLLMLKLGWGLVGAVVVLNASWWLIDLSQFLYIVSGACRQAWNGFSCQAFKNLWGFVRLSLSSAVMLCLEVWYYMALIILAGYLKDAEVSVDALSICMNIFGWTMMICLGMNAAISVRVSNELGAGHPRTAKFSVAVAVISSFTIGVIISVILILARNQYPSLFSKDSQVQQLVKKLTPLLATSVIINNVQPVLCGVAIGAGWQAIIAYVNIICYYAFGIPLGLILGYKLDMGVRGIWYGMMSGSMVQTFALSFIIYRTNWNKEASVAEDRIRKWGGQIGSKDNNTETVTFRLEM >Manes.02G059500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4617607:4623754:-1 gene:Manes.02G059500.v8.1 transcript:Manes.02G059500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKYSSLFQRGEVHSVPSSIQGAASMTSTSLDNSLSDMYHSPPRPLPYDADPRYFRVQRDGLVSRREKGSSHSHEESEPLRSDNDADSESLSATDKWNASACEEGSKEQRARSSLKLSSATSVGVGYIYTSTEDEDVCPTCLEEYTPENPKIMTKCSHHFHLGCIYEWMERSDSCPVCGKVMTFEETT >Manes.02G059500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4617607:4621991:-1 gene:Manes.02G059500.v8.1 transcript:Manes.02G059500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSLDNSLSDMYHSPPRPLPYDADPRYFRVQRDGLVSRREKGSSHSHEESEPLRSDNDADSESLSATDKWNASACEEGSKEQRARSSLKLSSATSVGVGYIYTSTEDEDVCPTCLEEYTPENPKIMTKCSHHFHLGCIYEWMERSDSCPVCGKVMTFEETT >Manes.02G059500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4617607:4623754:-1 gene:Manes.02G059500.v8.1 transcript:Manes.02G059500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLHVEDFEDYMNPSNCLYRNCMCLSCFIQNFLHVYSSLFQRGEVHSVPSSIQGAASMTSTSLDNSLSDMYHSPPRPLPYDADPRYFRVQRDGLVSRREKGSSHSHEESEPLRSDNDADSESLSATDKWNASACEEGSKEQRARSSLKLSSATSVGVGYIYTSTEDEDVCPTCLEEYTPENPKIMTKCSHHFHLGCIYEWMERSDSCPVCGKVMTFEETT >Manes.02G059500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4620840:4623754:-1 gene:Manes.02G059500.v8.1 transcript:Manes.02G059500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLHVEDFEDYMNPSNCLYRNCMCLSCFIQNFLHVYSSLFQRGEVHSVPSSIQGAASMTSTSLDNSLSDMYHSPPRPLPYDADPRYFRVQRDGLVSRREKGSSHSHEESEPLRSDNDADSESLSATDKWNASACEEGSKEQRARSSLKLSSATSVGVGYIYTSTEDEDVCPTCLEGFPCECKQKLLKL >Manes.02G059500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4617607:4623745:-1 gene:Manes.02G059500.v8.1 transcript:Manes.02G059500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLPYDADPRYFRVQRDGLVSRREKGSSHSHEESEPLRSDNDADSESLSATDKWNASACEEGSKEQRARSSLKLSSATSVGVGYIYTSTEDEDVCPTCLEEYTPENPKIMTKCSHHFHLGCIYEWMERSDSCPVCGKVMTFEETT >Manes.02G059500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4620840:4623745:-1 gene:Manes.02G059500.v8.1 transcript:Manes.02G059500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLHVEDFEDYMNPSNCLYRNCMCLSCFIQNFLHVYSSLFQRGEVHSVPSSIQGAASMTSTSLDNSLSDMYHSPPRPLPYDADPRYFRVQRDGLVSRREKGSSHSHEESEPLRSDNDADSESLSATDKWNASACEEGSKEQRARSSLKLSSATSVGVGYIYTSTEDEDVCPTCLEGFPCECKQKLLKL >Manes.02G059500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4617607:4623745:-1 gene:Manes.02G059500.v8.1 transcript:Manes.02G059500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLHVEDFEDYMNPSNCLYRNCMCLSCFIQNFLHVYSSLFQRGEVHSVPSSIQGAASMTSTSLDNSLSDMYHSPPRPLPYDADPRYFRVQRDGLVSRREKGSSHSHEESEPLRSDNDADSESLSATDKWNASACEEGSKEQRARSSLKLSSATSVGVGYIYTSTEDEDVCPTCLEEYTPENPKIMTKCSHHFHLGCIYEWMERSDSCPVCGKVMTFEETT >Manes.04G123400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32454254:32460920:-1 gene:Manes.04G123400.v8.1 transcript:Manes.04G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESCIVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGRQFSDPELQKDLKSLPYAVSEGPDGFPLIHARYLGEMRTFTPTQVLGMVLSNLKSIAEQNLNAAVVDCCIGIPAYFTDLQRRAFLNAATIAGLHPLRLIHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKILSHSFDQSLGGRDFDEVLFHYFAAKFKEDYKIDVFQNARACLRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIRRDEFEQISIPILERVKRPLEKALQEAKLTVDHVHMVEVVGSGSRVPAIIKILTEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNESFPFSVALSWKGAAPDSQNGAADNQQSTIVFPKGNPISSMKALTFYRSGTFTVDVQYTDVSDLQVPAKISTYTIGPFQCSTSERAKVKVKVRLNLHGIVSVESATLLEEEEVEVPVTKEPAKEATKMDTDEAPSDAAPPSSNEADVNMQDAKGTADAFGVENGVSESGDKPTQVETETKVEAPKKKVKKTNIPIVELVYGGMLPADVQKALEKEFEMALQDRVMEETKDRKNAVEAYVYDMRNKLSDKYHEFVTDPEREGFTTKLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEQRYKEYTERGSVIDQLAYCINSYREAAMSSDPKFDHIDVTEKQKVLNECVEAEAWLREKKQQQDSLPKYATPVLLSADVRKKAEALDRFCRPIMTKPKPAKPATPEAPVTPSPQGTEQPQSGDANAGANEESGAGSGKVPPESGEPMETEKPDSTAA >Manes.14G127321.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10138351:10138980:1 gene:Manes.14G127321.v8.1 transcript:Manes.14G127321.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLFVINSIYFVILPTKIGDKILKEYGIDMTMSMGEELKRERERKSWFCAGVLLHACGGCGFEISTVKPH >Manes.14G021100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2091305:2093083:-1 gene:Manes.14G021100.v8.1 transcript:Manes.14G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWDPHAVIRSLTSHSPATNTAAYPPSQSANYLDCLASLIFDDKDTSFSFPNTEQPVCNGWQGLPDSYNPFLHTTGVHGNLPNSSISYFGEFSGQNQLQLYHHQPPSPQPLLPEPLALPPPPLPPPPLIPALAPPALSQPLLPPPTLLPAPEPAPALSSPSPPPPLLPPPPYLSPTPPFPNLQFSFPFNPGQQQFQHARYQQQLLHQRRHPRPATSVPVPPSRTTQSSASTSRKKKSYLKREVITAENICNDAWGWRKYGQKPIKGSPYPRNYYRCSSSKGCAARKQVERSNTDQNMFIVTYSGDHTHPRSSQRGSLIRNKFLAKQNPANEGSKESSTTPSATSLSPTTPPPAAMEQENTNENIDATQAANMNGADLEGNGIESEGQGDGDGDGRGHYYEDEYDALVPSMTKNEDIFKDLQDLRTVEESVFDFGSSDYGGLGHNPDIFSFCSGGSDF >Manes.05G146700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24925423:24933632:1 gene:Manes.05G146700.v8.1 transcript:Manes.05G146700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDYRYVTDQKDIMRFLITTIDSFIQDRFINKEQRAQHKEQCSERLAAEDGSCDKDMEVRYSDQAVLANLDWGIEALEEAIDTANMETKMARLDYAEKMLQVCAMLNSSQRTAGVPNFYLSAWAHLNLSYLWKLRNDIHNSVHHLLEMFIIDPFFSRIDFAPELWKDLFLPHMSSIVGWYSEARHRLMMEVIPDSSDLSFTADLDQFFNESLIFSMRPDQLEKLQKLEQLYGESLDENTRLFAKYYDDCMNNDSTVSKKMIPMLPIAEPPMTPLHEVSRSIPDYVKFGPILPKSAGFAPVLRSKHGTREANRLTVGSTLSHNSEEPTMWDPRETILEENEDGSDSDPIDGNMDSEDKSRQLVTLSSMKISENAETGTSTKSSKANIRTYSPTIFSPIDSPTAFSPKLCSSKADVHPKKESRSLLRLLSSSSHVTDSNLGPSLPVSPHMSSYCSMSSPDSDGEVTDLRRSVRKKYNKARSMSYDNVNSQVLENSSLNESDDGSQSCISIPMSDKSTSRSRPPKDFVCPITGLLFNDPVTLETGQTYERKAIQEWLQRGNTTCPITRQALSANSLPKTNYVLKRLITSWKEQYPDIAQEFLYSETPRTAFSSSSPLASTPSRMFDFLRNNSSDSHIQQRSKRFIRSAVSTSPTSVISQATIETIINGLKPHISCLCTSENLQECEAAVLAIAKLWKDSKGDPGVHSYLSKPTIVNGFVEILSASLNREVLRTSIYFLSELIFSDESVGEILTSVDSDFDCLAALLKNGLAEAAVLIYQLRPAYAQLCAHNFIPSLVHLIQIKIEELDDLQLVIEPKDAAIALLEQILVGGDENSQSVNAFSVISANGVPALIKCLDRMEGRKSVVSILLYCMLADKSCRNFIASRIELGPVLELFHSGNDSVRGICIDFLSELVQLNRRTFCNKILQIIRDEGAFSTMHTFLVYLQMAPMEQQPAIATLLLQLDLLVEPRKMSIYREEAVETLIEALNKNEFSNSQMMALDALVSLSGRLTSSGRSYMEAWLLKIAGFDQPYNALMKAEGQKNRENELAETVEEEEKAASSWERKVAFVLCNHEKGSIFKALEECFKSNSLEMAKSCLVISTWLTYMLSILPDTGVREAARKSLLDEFINVLQSSRNMEEKILATLALKTFVMDLAALEELGKYAKCIYKTLRKFKRTSPVIADILKSLMNLSSVNATELWHCTEVVELESSGNGEVLSLLHLKGRVLSSHSDGTIKVWDAGKRVLRLIQEVREHTKAVTCLYIPSSGDKLYSGSLDKTIRVWAIKPEEIHCVQVHDVKEAVYELTANSKVACFMSQGTGVKVYNWSGVPKHVTFNKTVKCLAMTGDKLYCGCSGYSIQEVDLCKLTSTTFYSGTRKLLGKQIIHSLHIHDGLLFAGGSAIDGTAGKVFSHTTKAMVGSFWTGFDIMHIAANNDFIFTATKCGTIEVWLKERITRVASIKMSGSGHPKITSLTSDMDGGMLYAGSSDGKIQAWAMD >Manes.05G146700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24925423:24933661:1 gene:Manes.05G146700.v8.1 transcript:Manes.05G146700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDYRYVTDQKDIMRFLITTIDSFIQDRFINKEQRAQHKEQCSERLAAEDGSCDKDMEVRYSDQAVLANLDWGIEALEEAIDTANMETKMARLDYAEKMLQVCAMLNSSQRTAGVPNFYLSAWAHLNLSYLWKLRNDIHNSVHHLLEMFIIDPFFSRIDFAPELWKDLFLPHMSSIVGWYSEARHRLMMEVIPDSSDLSFTADLDQFFNESLIFSMRPDQLEKLQKLEQLYGESLDENTRLFAKYYDDCMNNDSTVSKKMIPMLPIAEPPMTPLHEVSRSIPDYVKFGPILPKSAGFAPVLRSKHGTREANRLTVGSTLSHNSEEPTMWDPRETILEENEDGSDSDPIDGNMDSEDKSRQLVTLSSMKISENAETGTSTKSSKANIRTYSPTIFSPIDSPTAFSPKLCSSKADVHPKKESRSLLRLLSSSSHVTDSNLGPSLPVSPHMSSYCSMSSPDSDGEVTDLRRSVRKKYNKARSMSYDNVNSQVLENSSLNESDDGSQSCISIPMSDKSTSRSRPPKDFVCPITGLLFNDPVTLETGQTYERKAIQEWLQRGNTTCPITRQALSANSLPKTNYVLKRLITSWKEQYPDIAQEFLYSETPRTAFSSSSPLASTPSRMFDFLRNNSSDSHIQQRSKRFIRSAVSTSPTSVISQATIETIINGLKPHISCLCTSENLQECEAAVLAIAKLWKDSKGDPGVHSYLSKPTIVNGFVEILSASLNREVLRTSIYFLSELIFSDESVGEILTSVDSDFDCLAALLKNGLAEAAVLIYQLRPAYAQLCAHNFIPSLVHLIQIKIEELDDLQLVIEPKDAAIALLEQILVGGDENSQSVNAFSVISANGVPALIKCLDRMEGRKSVVSILLYCMLADKSCRNFIASRIELGPVLELFHSGNDSVRGICIDFLSELVQLNRRTFCNKILQIIRDEGAFSTMHTFLVYLQMAPMEQQPAIATLLLQLDLLVEPRKMSIYREEAVETLIEALNKNEFSNSQMMALDALVSLSGRLTSSGRSYMEAWLLKIAGFDQPYNALMKAEGQKNRENELAETVEEEEKAASSWERKVAFVLCNHEKGSIFKALEECFKSNSLEMAKSCLVISTWLTYMLSILPDTGVREAARKSLLDEFINVLQSSRNMEEKILATLALKTFVMDLAALEELGKYAKCIYKTLRKFKRTSPVIADILKSLMNLSSVNATELWHCTEVVELESSGNGEVLSLLHLKGRVLSSHSDGTIKVWDAGKRVLRLIQEVREHTKAVTCLYIPSSGDKLYSGSLDKTIRVWAIKPEEIHCVQVHDVKEAVYELTANSKVACFMSQGTGVKVYNWSGVPKHVTFNKTVKCLAMTGDKLYCGCSGYSIQEVDLCKLTSTTFYSGTRKLLGKQIIHSLHIHDGLLFAGGSAIDGTAGKVFSHTTKAMVGSFWTGFDIMHIAANNDFIFTATKCGTIEVWLKERITRVASIKMSGSGHPKITSLTSDMDGGMLYAGSSDGKIQAWAMD >Manes.05G146700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24925423:24933661:1 gene:Manes.05G146700.v8.1 transcript:Manes.05G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDYRYVTDQKDIMRFLITTIDSFIQDRFINKEQRAQHKEQCSERLAAEDGSCDKDMEVRYSDQAVLANLDWGIEALEEAIDTANMETKMARLDYAEKMLQVCAMLNSSQRTAGVPNFYLSAWAHLNLSYLWKLRNDIHNSVHHLLEMFIIDPFFSRIDFAPELWKDLFLPHMSSIVGWYSEARHRLMMEVIPDSSDLSFTADLDQFFNESLIFSMRPDQLEKLQKLEQLYGESLDENTRLFAKYYDDCMNNDSTVSKKMIPMLPIAEPPMTPLHEVSRSIPDYVKFGPILPKSAGFAPVLRSKHGTREANRLTVGSTLSHNSEEPTMWDPRETILEENEDGSDSDPIDGNMDSEDKSRQLVTLSSMKISENAETGTSTKSSKANIRTYSPTIFSPIDSPTAFSPKLCSSKADVHPKKESRSLLRLLSSSSHVTDSNLGPSLPVSPHMSSYCSMSSPDSDGEVTDLRRSVRKKYNKARSMSYDNVNSQVLENSSLNESDDGSQSCISIPMSDKSTSRSRPPKDFVCPITGLLFNDPVTLETGQTYERKAIQEWLQRGNTTCPITRQALSANSLPKTNYVLKRLITSWKEQYPDIAQEFLYSETPRTAFSSSSPLASTPSRMFDFLRNNSSDSHIQQRSKRFIRSAVSTSPTSVISQATIETIINGLKPHISCLCTSENLQECEAAVLAIAKLWKDSKGDPGVHSYLSKPTIVNGFVEILSASLNREVLRTSIYFLSELIFSDESVGEILTSVDSDFDCLAALLKNGLAEAAVLIYQLRPAYAQLCAHNFIPSLVHLIQIKIEELDDLQLVIEPKDAAIALLEQILVGGDENSQSVNAFSVISANGVPALIKCLDRMEGRKSVVSILLYCMLADKSCRNFIASRIELGPVLELFHSGNDSVRGICIDFLSELVQLNRRTFCNKILQIIRDEGAFSTMHTFLVYLQMAPMEQQPAIATLLLQLDLLVEPRKMSIYREEAVETLIEALNKNEFSNSQMMALDALVSLSGRLTSSGRSYMEAWLLKIAGFDQPYNALMKAEGQKNRENELAETVEEEEKAASSWERKVAFVLCNHEKGSIFKALEECFKSNSLEMAKSCLVISTWLTYMLSILPDTGVREAARKSLLDEFINVLQSSRNMEEKILATLALKTFVMDLAALEELGKYAKCIYKTLRKFKRTSPVIADILKSLMNLSSVNATELWHCTEVVELESSGNGEVLSLLHLKGRVLSSHSDGTIKVWDAGKRVLRLIQEVREHTKAVTCLYIPSSGDKLYSGSLDKTIRVWAIKPEEIHCVQVHDVKEAVYELTANSKVACFMSQGTGVKVYNWSGVPKHVTFNKTVKCLAMTGDKLYCGCSGYSIQEVDLCKLTSTTFYSGTRKLLGKQIIHSLHIHDGLLFAGGSAIDGTAGKVFSHTTKAMVGSFWTGFDIMHIAANNDFIFTATKCGTIEVWLKERITRVASIKMSGSGHPKITSLTSDMDGGMLYAGSSDGKIQAWAMD >Manes.05G146700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24925533:24933623:1 gene:Manes.05G146700.v8.1 transcript:Manes.05G146700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDYRYVTDQKDIMRFLITTIDSFIQDRFINKEQRAQHKEQCSERLAAEDGSCDKDMEVRYSDQAVLANLDWGIEALEEAIDTANMETKMARLDYAEKMLQVCAMLNSSQRTAGVPNFYLSAWAHLNLSYLWKLRNDIHNSVHHLLEMFIIDPFFSRIDFAPELWKDLFLPHMSSIVGWYSEARHRLMMEVIPDSSDLSFTADLDQFFNESLIFSMRPDQLEKLQKLEQLYGESLDENTRLFAKYYDDCMNNDSTVSKKMIPMLPIAEPPMTPLHEVSRSIPDYVKFGPILPKSAGFAPVLRSKHGTREANRLTVGSTLSHNSEEPTMWDPRETILEENEDGSDSDPIDGNMDSEDKSRQLVTLSSMKISENAETGTSTKSSKANIRTYSPTIFSPIDSPTAFSPKLCSSKADVHPKKESRSLLRLLSSSSHVTDSNLGPSLPVSPHMSSYCSMSSPDSDGEVTDLRRSVRKKYNKARSMSYDNVNSQVLENSSLNESDDGSQSCISIPMSDKSTSRSRPPKDFVCPITGLLFNDPVTLETGQTYERKAIQEWLQRGNTTCPITRQALSANSLPKTNYVLKRLITSWKEQYPDIAQEFLYSETPRTAFSSSSPLASTPSRMFDFLRNNSSDSHIQQRSKRFIRSAVSTSPTSVISQATIETIINGLKPHISCLCTSENLQECEAAVLAIAKLWKDSKGDPGVHSYLSKPTIVNGFVEILSASLNREVLRTSIYFLSELIFSDESVGEILTSVDSDFDCLAALLKNGLAEAAVLIYQLRPAYAQLCAHNFIPSLVHLIQIKIEELDDLQLVIEPKDAAIALLEQILVGGDENSQSVNAFSVISANGVPALIKCLDRMEGRKSVVSILLYCMLADKSCRNFIASRIELGPVLELFHSGNDSVRGICIDFLSELVQLNRRTFCNKILQIIRDEGAFSTMHTFLVYLQMAPMEQQPAIATLLLQLDLLVEPRKMSIYREEAVETLIEALNKNEFSNSQMMALDALVSLSGRLTSSGRSYMEAWLLKIAGFDQPYNALMKAEGQKNRENELAETVEEEEKAASSWERKVAFVLCNHEKGSIFKALEECFKSNSLEMAKSCLVISTWLTYMLSILPDTGVREAARKSLLDEFINVLQSSRNMEEKILATLALKTFVMDLAALEELGKYAKCIYKTLRKFKRTSPVIADILKSLMNLSSVNATELWHCTEVVELESSGNGEVLSLLHLKGRVLSSHSDGTIKVWDAGKRVLRLIQEVREHTKAVTCLYIPSSGDKLYSGSLDKTIRVWAIKPEEIHCVQVHDVKEAVYELTANSKVACFMSQGTGVKVYNWSGVPKHVTFNKTVKCLAMTGDKLYCGCSGYSIQEVDLCKLTSTTFYSGTRKLLGKQIIHSLHIHDGLLFAGGSAIDGTAGKVFSHTTKAMVGSFWTGFDIMHIAANNDFIFTATKCGTIEVWLKERITRVASIKMSGSGHPKITSLTSDMDGGMLYAGSSDGKIQAWAMD >Manes.05G146700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24925896:24933661:1 gene:Manes.05G146700.v8.1 transcript:Manes.05G146700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGDYRYVTDQKDIMRFLITTIDSFIQDRFINKEQRAQHKEQCSERLAAEDGSCDKDMEVRYSDQAVLANLDWGIEALEEAIDTANMETKMARLDYAEKMLQVCAMLNSSQRTAGVPNFYLSAWAHLNLSYLWKLRNDIHNSVHHLLEMFIIDPFFSRIDFAPELWKDLFLPHMSSIVGWYSEARHRLMMEVIPDSSDLSFTADLDQFFNESLIFSMRPDQLEKLQKLEQLYGESLDENTRLFAKYYDDCMNNDSTVSKKMIPMLPIAEPPMTPLHEVSRSIPDYVKFGPILPKSAGFAPVLRSKHGTREANRLTVGSTLSHNSEEPTMWDPRETILEENEDGSDSDPIDGNMDSEDKSRQLVTLSSMKISENAETGTSTKSSKANIRTYSPTIFSPIDSPTAFSPKLCSSKADVHPKKESRSLLRLLSSSSHVTDSNLGPSLPVSPHMSSYCSMSSPDSDGEVTDLRRSVRKKYNKARSMSYDNVNSQVLENSSLNESDDGSQSCISIPMSDKSTSRSRPPKDFVCPITGLLFNDPVTLETGQTYERKAIQEWLQRGNTTCPITRQALSANSLPKTNYVLKRLITSWKEQYPDIAQEFLYSETPRTAFSSSSPLASTPSRMFDFLRNNSSDSHIQQRSKRFIRSAVSTSPTSVISQATIETIINGLKPHISCLCTSENLQECEAAVLAIAKLWKDSKGDPGVHSYLSKPTIVNGFVEILSASLNREVLRTSIYFLSELIFSDESVGEILTSVDSDFDCLAALLKNGLAEAAVLIYQLRPAYAQLCAHNFIPSLVHLIQIKIEELDDLQLVIEPKDAAIALLEQILVGGDENSQSVNAFSVISANGVPALIKCLDRMEGRKSVVSILLYCMLADKSCRNFIASRIELGPVLELFHSGNDSVRGICIDFLSELVQLNRRTFCNKILQIIRDEGAFSTMHTFLVYLQMAPMEQQPAIATLLLQLDLLVEPRKMSIYREEAVETLIEALNKNEFSNSQMMALDALVSLSGRLTSSGRSYMEAWLLKIAGFDQPYNALMKAEGQKNRENELAETVEEEEKAASSWERKVAFVLCNHEKGSIFKALEECFKSNSLEMAKSCLVISTWLTYMLSILPDTGVREAARKSLLDEFINVLQSSRNMEEKILATLALKTFVMDLAALEELGKYAKCIYKTLRKFKRTSPVIADILKSLMNLSSVNATELWHCTEVVELESSGNGEVLSLLHLKGRVLSSHSDGTIKVWDAGKRVLRLIQEVREHTKAVTCLYIPSSGDKLYSGSLDKTIRVWAIKPEEIHCVQVHDVKEAVYELTANSKVACFMSQGTGVKVYNWSGVPKHVTFNKTVKCLAMTGDKLYCGCSGYSIQEVDLCKLTSTTFYSGTRKLLGKQIIHSLHIHDGLLFAGGSAIDGTAGKVFSHTTKAMVGSFWTGFDIMHIAANNDFIFTATKCGTIEVWLKERITRVASIKMSGSGHPKITSLTSDMDGGMLYAGSSDGKIQAWAMD >Manes.05G001500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:194082:195650:1 gene:Manes.05G001500.v8.1 transcript:Manes.05G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCITKESPSLTYMAESSAPSQKSYDHKPAPPSAVKLFGFPLTEHHEISAKTENCTESRKFECHFCRRAFANSQALGGHQNAHKRERQRARRVQYHCDRRILAGAPVPSSHAVKASSSSISAGGFSSIGFAAKFRPQAAVSSRPSSTRPQLLLPSSTSQLTSLIYVAQPLRSGNAMPSFVEFPGKLLSDEDVGIDLRLKLTPSVSHI >Manes.01G130000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32360205:32362718:-1 gene:Manes.01G130000.v8.1 transcript:Manes.01G130000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQMDDEPLLPNTLPEHHHHHHHHSNKPSSSWREFSSGLHKNSRKLHRRSHSAPSLFTQARESSVSDTLDPRTPSKSTPLIVRQAFISVAFYLAIVVVIFLVSGSFKGTTTFKPVDALYFTVVTLCTIGFGDIVPDSTFTKLFTCVFILVGFGFIDILLNGLVTYICDRQEAVLLSAVDESRFNRYMIDRVKGRIRIRTKVSLALLAVVGCIAIGTIAVHFLENINWVDSFYLSVTSVTTVGYGDFAFTTVTGRCFAIVWLLISTLAVARGFLYLAEFRIDKRNRRIAKWVLQKKITLGDLISADLDNDGSISKSEFVIYKLKEMGRITEKEILQICNQFDSLDNSNCGKITLADLMECG >Manes.01G130000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32360205:32362718:-1 gene:Manes.01G130000.v8.1 transcript:Manes.01G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQMDDEPLLPNTLPEHHHHHHHHSNKPSSSWREFSSGYLDLISSDAIIKTTPNSSSYLNVLACSLHKNSRKLHRRSHSAPSLFTQARESSVSDTLDPRTPSKSTPLIVRQAFISVAFYLAIVVVIFLVSGSFKGTTTFKPVDALYFTVVTLCTIGFGDIVPDSTFTKLFTCVFILVGFGFIDILLNGLVTYICDRQEAVLLSAVDESRFNRYMIDRVKGRIRIRTKVSLALLAVVGCIAIGTIAVHFLENINWVDSFYLSVTSVTTVGYGDFAFTTVTGRCFAIVWLLISTLAVARGFLYLAEFRIDKRNRRIAKWVLQKKITLGDLISADLDNDGSISKSEFVIYKLKEMGRITEKEILQICNQFDSLDNSNCGKITLADLMECG >Manes.02G066350.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5047026:5048073:1 gene:Manes.02G066350.v8.1 transcript:Manes.02G066350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFFINFFTLAPILIRHVRSLLQKRNPIRTCIPLQKIKKERKLHHYIIPTFTYLKSPSLPLTHVTNPSLPPSFFF >Manes.02G066350.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5047350:5047580:1 gene:Manes.02G066350.v8.1 transcript:Manes.02G066350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFFINFFTLAPILIRHVRSLLQKRNPIRTCIPLQKIKKERKLHHYIIPTFTYLKSPSLPLTHVTNPSLPPSFFF >Manes.02G066350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5047026:5051881:1 gene:Manes.02G066350.v8.1 transcript:Manes.02G066350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFFINFFTLAPILIRHVRSLLQKRNPIRTCIPLQKIKKERKLHHYIIPTFTYLKSPSLPLTHVTNPSLPPSFFF >Manes.02G066350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5047232:5048073:1 gene:Manes.02G066350.v8.1 transcript:Manes.02G066350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGQISWVRHVRSLLQKRNPIRTCIPLQKIKKERKLHHYIIPTFTYLKSPSLPLTHVTNPSLPPSFFF >Manes.04G013301.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1774949:1779410:1 gene:Manes.04G013301.v8.1 transcript:Manes.04G013301.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEFEAQSNFTESGNYGCSHYRRKCKIRAPCCNEVFDCRHCHNEAKNSLETNPLDRHDIPRHDVTKVICSVCGTEQDVQQNCINCGVCMGKYFCAKCKFFDDDIAKNQYHCDECGICRTGGQENFFHCKQCGCCYSKLMKDAHRCVERAMHHNCPVCFEFLFDTMKDITVLPCGHTIHLECVREMEQHYRYSCPVCSKSICDMSRLWRKLDQEVF >Manes.04G013301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1774949:1779410:1 gene:Manes.04G013301.v8.1 transcript:Manes.04G013301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEFEAQSNFTESGNYGCSHYRRKCKIRAPCCNEVFDCRHCHNEAKNSLETNPLDRHDIPRHDVTKVICSVCGTEQDVQQNCINCGVCMGKYFCAKCKFFDDDIAKNQYHCDECGICRTGGQENFFHCKQCGCCYSKLMKDAHRCVERAMHHNCPVCFEFLFDTMKDITVLPCGHTIHLECVREMEQHYRYSCPVCSKSICDMSRLWRKLDQEIAATPMPDIYQNKMVWILCNDCGANSHVRFHIVAHKCLSCKSYNTRQTQGGAGGSCSSGMAEMVR >Manes.04G013301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1774949:1779410:1 gene:Manes.04G013301.v8.1 transcript:Manes.04G013301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEFEAQSNFTESGNYGCSHYRRKCKIRAPCCNEVFDCRHCHNEAKNSLETNPLDRHDIPRHDVTKVICSVCGTEQDVQQNCINCGVCMGKYFCAKCKFFDDDIAKNQYHCDECGICRTGGQENFFHCKQCGCCYSKLMKDAHRCVERAMHHNCPVCFEFLFDTMKDITVLPCGHTIHLECVREMEQHYRYSCPVCSKSICDMSRLWRKLDQEVWILCNDCGANSHVRFHIVAHKCLSCKSYNTRQTQGGAGGSCSSGMAEMVR >Manes.04G013301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1774949:1779410:1 gene:Manes.04G013301.v8.1 transcript:Manes.04G013301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEFEAQSNFTESGNYGRKCKIRAPCCNEVFDCRHCHNEAKNSLETNPLDRHDIPRHDVTKVICSVCGTEQDVQQNCINCGVCMGKYFCAKCKFFDDDIAKNQYHCDECGICRTGGQENFFHCKQCGCCYSKLMKDAHRCVERAMHHNCPVCFEFLFDTMKDITVLPCGHTIHLECVREMEQHYRYSCPVCSKSICDMSRLWRKLDQEIAATPMPDIYQNKMVWILCNDCGANSHVRFHIVAHKCLSCKSYNTRQTQGGAGGSCSSGMAEMVR >Manes.06G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16095157:16101300:-1 gene:Manes.06G049700.v8.1 transcript:Manes.06G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MDGKIEISLDKLPVKRLEAIEENGVERFPTDIGYDEKRVSLIRRIDFAWAVEKEDEEKKKKQKKSSKESSSTPWPWQSMVENLQLALQELSVVIDLINTVEANDAVTVASMTRPKPLPNEHLADLAVSTATKLQCYRHLGKYFKQSAKALEQQVAREARFYGALIRLQQNWKVKRQRMAATGPSNEGFTIDLFDNSLYDSASLFRPSSLSTIHIDHDSAGMLAINLPPTSCRSLRFGFVGVHSSENVKKSTKIRSSGSDELPSKGTERESGSDNECVKETNLLLREVHRAIFDEQVFDMVNREAFNQSLGVNVTGIQENYLQLSISPGISVCITLVPSYEYDQTVDDGGCQISESAVLPLDSLDGVKLPEEKHECVNKKSSIPNHMSCEIYLQQIFHEHVFVRAKDRHLSSGTRVPGQPPPKDGSGLLGHFCMSVAHRIFSNRALMELENVVCKVPYLHLVSHPTWNSRISSWTVLMKVPQSIIHASSQSRTSDAKSKSDIKTEFRTKIVVNDGRINVEAEGAPNVVSLFKGSSDDICSINKYDCDLVDLPVIILQQVASQVIRWLHEEALMVGIKANRDFLCLSLELEQGEVLSLVAHVDAEDAEGCISWWLVMEDGFAEEKKLHMDITNGASEYRKFLGYLPLDVLYSTLMDLVSLCNGGGSQ >Manes.06G049700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16095157:16101315:-1 gene:Manes.06G049700.v8.1 transcript:Manes.06G049700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED17 MDGKIEISLDKLPVKRLEAIEENGVERFPTDIGYDEKRVSLIRRIDFAWAVEKEDEEKKKKQKKSSKESSSTPWPWQSMVENLQLALQELSVVIDLINTHLGKYFKQSAKALEQQVAREARFYGALIRLQQNWKVKRQRMAATGPSNEGFTIDLFDNSLYDSASLFRPSSLSTIHIDHDSAGMLAINLPPTSCRSLRFGFVGVHSSENVKKSTKIRSSGSDELPSKGTERESGSDNECVKETNLLLREVHRAIFDEQVFDMVNREAFNQSLGVNVTGIQENYLQLSISPGISVCITLVPSYEYDQTVDDGGCQISESAVLPLDSLDGVKLPEEKHECVNKKSSIPNHMSCEIYLQQIFHEHVFVRAKDRHLSSGTRVPGQPPPKDGSGLLGHFCMSVAHRIFSNRALMELENVVCKVPYLHLVSHPTWNSRISSWTVLMKVPQSIIHASSQSRTSDAKSKSDIKTEFRTKIVVNDGRINVEAEGAPNVVSLFKGSSDDICSINKYDCDLVDLPVIILQQVASQVIRWLHEEALMVGIKANRDFLCLSLELEQGEVLSLVAHVDAEDAEGCISWWLVMEDGFAEEKKLHMDITNGASEYRKFLGYLPLDVLYSTLMDLVSLCNGGGSQ >Manes.02G136800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10389164:10394030:1 gene:Manes.02G136800.v8.1 transcript:Manes.02G136800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFLITCFSFIFLKSLPHKPLPSWATEVRLLSLWFWKELFLFPYFESLKVTLFRASKIPSKISIKRMTLSSHGKLENPVDETGEMSILDLPELALDCILERLSPAGLCSMAGVCTSLRERCISDHLWERHMKKKWGRIIGPSAYREWQFHIASSKDFGSCKLSKQKSLRKLLSIVWPSSWMKSKDDKLNNDNSFKHSSSLSVDSIMSWYLAIESGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPQTDTFQARYPPHGRRAIAIETGVQWDRIRAPPVDTSPHDLHISDCLNDLRPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNENYCLCHNSSKFISSSSPFSLQVSLYAPCDSP >Manes.02G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10389164:10393990:1 gene:Manes.02G136800.v8.1 transcript:Manes.02G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFLITCFSFIFLKSLPHKPLPSWATEVRLLSLWFWKELFLFPYFESLKVTLFRASKIPSKISIKRMTLSSHGKLENPVDETGEMSILDLPELALDCILERLSPAGLCSMAGVCTSLRERCISDHLWERHMKKKWGRIIGPSAYREWQFHIASSKDFGSCKLSKQKSLRKLLSIVWPSSWMKSKDDKLNNDNSFKHSSSLSVDSIMSWYLAIESGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPQTDTFQARYPPHGRRAIAIETGVQWDRIRAPPVDTSPHDLHISDCLNDLRPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNENYCLCHNSNTVVLEFHQYTRGSRWRCTMINRKEHREEGNEADGFYGGIRKLKSKEEISRWKQLWPREILE >Manes.02G136800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10389164:10393990:1 gene:Manes.02G136800.v8.1 transcript:Manes.02G136800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSHGKLENPVDETGEMSILDLPELALDCILERLSPAGLCSMAGVCTSLRERCISDHLWERHMKKKWGRIIGPSAYREWQFHIASSKDFGSCKLSKQKSLRKLLSIVWPSSWMKSKDDKLNNDNSFKHSSSLSVDSIMSWYLAIESGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPQTDTFQARYPPHGRRAIAIETGVQWDRIRAPPVDTSPHDLHISDCLNDLRPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNENYCLCHNSNTVVLEFHQYTRGSRWRCTMINRKEHREEGNEADGFYGGIRKLKSKEEISRWKQLWPREILE >Manes.04G011600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1579481:1582587:-1 gene:Manes.04G011600.v8.1 transcript:Manes.04G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILRYPFHQFLSILLLILSLHASAVQSKISRLRALRKHSRGNDLPQSSMSSESAASSDFVTFYYSQTLDHFNYKPESYTTFKQRYVMNFKYWGGPNTSAPIFVFFGAEENIDDDLHAIGFLTDNAPRFKALLLYIEHRYYGKSVPFGSSKEALRNASSLGYFNSAQAIADYAAVIMHVKKKYSANTSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPILYFDDIAPRNGYYSIVTKDFKETSESCYNTIRKSWAEIERVASKPNGLSMLSKKFKTCSPLKRTFELKDYLDSIYCEAAQYNEPPNYPVSVVCGGIDAAPKGSDILSKIFGGVVAFMGDKPCYDMDEFYDPSQDTSIAWRWQTCSELVMPIGHDRNTMFPPAPFNLKSYIKECKTLFGVLPQPHWVTTYYGGHDLKLILHRFASNIIFSNGLRDPYSSGGVLESISDSIVALTTVNGSHCLDIQGERPSDPHWLVMQRKSEVEIIKAWISKYYTDLAELRDGTTTPSYF >Manes.12G014900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1442617:1447892:1 gene:Manes.12G014900.v8.1 transcript:Manes.12G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAGSNVIAFKSAGSSANFGSSGDRRVAQVRQWSPITSGVGQLQSRTVLQCRSRSSFAPSGVRAQVAAAEAASAEATQKLEAPVVVVTGASRGIGKAIALSLGRAGCKVLVNYARSSKEADEVSKEIETYGGQALTFGGDVSKEDDVESMMKTAIDAWGTVDILVNNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINIASVVGLVGNVGQANYSAAKAGVIGLTKTVAKEYASRNINVNAVAPGFIASDMTAKLGEDIEKKILETIPLGRYGQPEEVAGLVEFLALSPAASYITGQVFTIDGGMVM >Manes.17G115000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32256395:32259697:-1 gene:Manes.17G115000.v8.1 transcript:Manes.17G115000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAGKFLSPIRQSLSSITSFYYYSPISRGKPFYGKFELIRTWMKQLQPTVQNRCSKFQCKMNTHKQYVRNVHLSGSILGVSFMIGSLCFSHQVVYAMDGQDILVDDREFFDDTERNPPVLWIFVRKLWLPAFFLLTVLMNWDHPIILMTKVALFLISTKPSPLSVYVFVEELCHQSMRQEPYLYLLKSLYANKVEVQDYKFFCLAKVEVKGQKLTLVGVLGGWWALPLSERAFSMFWNSAFY >Manes.17G115000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32255467:32259697:-1 gene:Manes.17G115000.v8.1 transcript:Manes.17G115000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAGKFLSPIRQSLSSITSFYYYSPISRGKPFYGKFELIRTWMKQLQPTVQNRCSKFQCKMNTHKQYVRNVHLSGSILGVSFMIGSLCFSHQVVYAMDGQDILVDDREFFDDTERNPPVLWIFVRKLWLPAFFLLTVLMNWDHPIILMTKVALFLISTKPSPLSVYVFVEELCHQSMRQEPYLYLLKSLYANKVEVQDYKFFCLAKVEVKGQKLTLVGVLGGWWALPLSERAFSMFWNSAFY >Manes.11G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31300509:31301141:-1 gene:Manes.11G148100.v8.1 transcript:Manes.11G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSALLVSLSFLLLFASSSNAISSCNGPCRTLNDCEGQLICINGKCNDDPDVGTNICGGGGGDDDECKPSGSLKCEGKTKPTYECSPPVTSSTKAILTLNDFSEDERYYDRSERVVALSTGWFNGRSRCGKRIKITANDGRSTTAKMVDEFDSVHGCDSEHAGQLPCDNNIVDGSDAVWEALGLDKDLGRVAITWSMAE >Manes.06G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24487971:24493514:1 gene:Manes.06G114200.v8.1 transcript:Manes.06G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSEASNFITNTANTNPKLGSQAPLTSFSADPDSDLTLQLRKELENKQLYLKEAGPEDEEIASDAVEVEKVHEELEKQLHLNEDKDKHLIELSQDDDFNSGESNDGEERNINDKEDNDNDEVEKKDERSNDNTRHQYPVRPEAEDCSYYMRTGTCKFGSNCKFNHPVRRRNQFTKEKVKEREEPGERPGQTECKYYLRTGGCKYGKACRYNHSREKSPVLPAKIAVFPVLDLNFLGLPIRLGEKECLYYMRNGSCKYGANCRFNHPDPTAVGGSDPPSAFSNGGSATFQSSPQSSVGSWSSPRGLNEIAPFVPVIFPATRGVTLQNPEWNGYQAPVYPPERSIHLAPAYVINNLPADTNVYGHQQQTPMEEFPERPGQPECSYYMKTGNCKFKSNCKYHHPKNQIPELTPFSLSDKGLPLRPGQHICSYYSQYGICRYGPACKFDHPIQAATMTGSAEDRARMSETGYESDTAF >Manes.06G114200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24487971:24493514:1 gene:Manes.06G114200.v8.1 transcript:Manes.06G114200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSEASNFITNTANTNPKLGSQAPLTSFSADPDSDLTLQLRKELENKQLYLKEAGPEDEEIASDAVEVEKVHEELEKQLHLNEDKDKHLIELSQDDDFNSGESNDGEERNINDKEDNDNDEVEKKDERSNDNTRHQYPVRPEAEDCSYYMRTGTCKFGSNCKFNHPVRRRNQFTKEKVKEREEPGERPGQTECKYYLRTGGCKYGKACRYNHSREKSPVLPAKIAVFPVLDLNFLGLPIRLGEKECLYYMRNGSCKYGANCRFNHPDPTAVGGSDPPSAFSNVIFPATRGVTLQNPEWNGYQAPVYPPERSIHLAPAYVINNLPADTNVYGHQQQTPMEEFPERPGQPECSYYMKTGNCKFKSNCKYHHPKNQIPELTPFSLSDKGLPLRPGQHICSYYSQYGICRYGPACKFDHPIQAATMTGSAEDRARMSETGYESDTAF >Manes.15G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3275646:3279056:-1 gene:Manes.15G042300.v8.1 transcript:Manes.15G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKFKDLHHKLKAFRLRRFLTRDGAKKRGTDAAKKHSWMVPISHGYHVLEDQSFRGGSDDSDSDSVVVQREQIEELELWFFGVFDARIGDAVTKYLQCHLFDRNPKESQIRRKSKETIRKAYLGARAKVKETQRSDDETWRVGSASVMVINGEKLVIANMGDYRAVVCRDGEAHQMGSKHQLTAKRYWSRRLFSVRIWACMSSKAAGTKHSKGSELVVGAERINPDTEFVIIASTGIWEVMKNQEAVNLIKHIADPQEAAECLTKEASNRMSRSNISCVIIRFD >Manes.15G042300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3275646:3279056:-1 gene:Manes.15G042300.v8.1 transcript:Manes.15G042300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKFKDLHHKLKAFRLRRFLTRDGAKKRGTDAAKKHSWMVPISHGYHVLEDQSFRGGSDDSDSDSVVVQREQIEELELWFFGVFDARIGDAVTKYLQCHLFDRNPKESQIRRKSKETIRKAYLGARAKVKETQRSDDETWRVGSASVMVINGEKLVIANMGDYRAVVCRDGEAHQMGSKHQLTAKRYWSRRLFSVRIWACMSSKAAGTKHSKGSELVVGAERINPDTEFVIIASTGIWEVREEQKFS >Manes.09G002400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:716317:719195:1 gene:Manes.09G002400.v8.1 transcript:Manes.09G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVKCSCSAESCPEWAIVELQGVVEVQPSLQDRLQNLVIGELCRPSSQENYTFTVGYHELTGSKVTLKKPLLVLKKVKLMDVDQGSENSSVEVALDVIGIIRRKILFKTRPRALISSNIQPEGSSRGGNRLG >Manes.15G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6782599:6786928:-1 gene:Manes.15G088600.v8.1 transcript:Manes.15G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIIHKMKEKVGIGSSADSGKGKSKKSKHVTHGYHLVKGKSHHAMEDYIVAQFKQVDDNELGLFAIYDGHLSHIIPDYLRSNLFDTILKEPDFWTAPENAMRRAYRITDTTILDKAADLGSGGSTAVTAILINGQMLVVANVGDSRAVICKNGVAKQLSVDHEPNVEREEIERRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKEHLSSEPDVAKEMIDDDTEFIILASDGLWKVMSNQEAADAIKNIKDARSAAKRLTEEALSRESTDDISCVVVKFL >Manes.13G103533.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30306354:30308497:1 gene:Manes.13G103533.v8.1 transcript:Manes.13G103533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKLVVNFGLIAWIPTNSNEIIWQDIFPSLLSFLFPMNQILSPSNFFSFLLCSQLEHDQAARESCLIENITHLLSL >Manes.17G044701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24064865:24065174:-1 gene:Manes.17G044701.v8.1 transcript:Manes.17G044701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEFVKYDRFDGTNFVHWKDKMLFLLTNMKISYLLDPDLPAPTSQDIDQVKAKHNKQGSVICFTSKLSSKEIWKALEYKYNTEK >Manes.06G021600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4060780:4061305:1 gene:Manes.06G021600.v8.1 transcript:Manes.06G021600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGPVNVKAIEPTPVGPGRHSPLHSPLPYLFGGLAAMLALIAFSLLILACSYRKKSSVTGGGERDLEAGDVGHNNGDGKKQQSAAFEENILVIMAGQANPTFLASPVSRIKSFSYNKESEKTDIDGGLGLGLGSETHESSDQTHCLFSFKILAFFFLEH >Manes.11G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23271550:23277146:1 gene:Manes.11G103000.v8.1 transcript:Manes.11G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSSLSTSQGLMSLHSSSGISRTGGSVSVIGFSLPLFKTGALPNCIRKQRLRVPRASLAVEQQKKEPKVALIRIGTRGSPLALAQAYETRDKLMAMHSELAEEGAIQIVIIKTTGDKILTQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLQREDVRDAFISLTASSLADLPAGSVIGTASLRRKSQILHRYPSLTVLENFRGNVQTRLRKLNEGVVQATLLALAGLKRLNMTENVTSILSIDDMLPAVAQGAIGIACRSDDDKMAAYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYACKDGDDNCMFRGLVASPDGTKVLETTRKGPYAFDDMVIMGKDAGKELLSRAGPGFFDS >Manes.18G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11306594:11309355:-1 gene:Manes.18G113000.v8.1 transcript:Manes.18G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPIPMEIDTTSMEQSNRMEFGMRMAGHLILKEIKNGCKSNLVLSPLSLHAMLNLVASGSTGRTLQQLLSFLESESIGDLNSQSSQMMALATTGQEFLESENASDLNSQSSQPTREEASSRSSNQLRHDPSVFWFPFHHSPTLSSVEFDNPIKGKSSLFSSTHESTSFPFSSANGNAGSFTGSNQGPIISFVNGIWVDHRYPLKHSFKQVAEDIYKAKAENVDFLTQAEEVRKEVNLWVKKASKGLINDLLPAGFIDTTTILVLANALYFEGKWLHPFDSSNSKIEDFHLLNGRTVNVLFMISYPSKPQFYGSFEGFKLLKLPYNSGQDSKQFSMYIFLPDKKDGLQELIQHFTSDPRLLHQNWELQQVKLSKIYIPKFKFSYEIDAKKIMRELGLTMIFEKNRELTEIVDGSDICVTDAIHKSFIEVNEEGTVATATTVFACAECCFLAPEFPPPSFVADYPFMFMIKEEVSQIVLFTGAVLNPL >Manes.18G113000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11306366:11309284:-1 gene:Manes.18G113000.v8.1 transcript:Manes.18G113000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPIPMEIDTTSMEQSNRMEFGMRMAGHLILKEIKNGCKSNLVLSPLSLHAMLNLVASGSTGRTLQQLLSFLESESIGDLNSQSSQMMALATTGQEFLESENASDLNSQSSQPTREEASSRSSNQLRHDPSVFWFPFHHSPTLSSVEFDNPIKGKSSLFSSTHESTSFPFSSANGNAGSFTGSNQGPIISFVNGIWVDHRYPLKHSFKQVAEDIYKAKAENVDFLTQAEEVRKEVNLWVKKASKGLINDLLPAGFIDTTTILVLANALYFEGKWLHPFDSSNSKIEDFHLLNGRTVNVLFMISYPSKPQFYGSFEGFKLLKLPYNSGQDSKQFSMYIFLPDKKDGLQELIQHFTSDPRLLHQNWELQQVKLSKIYIPKFKFSYEIDAKKIMRELGLTMIFEKNRELTEIVDGSDICVTDAIHKSFIEVNEEGTVATATTVFACAECCFLAPEFPPPSFVADYPFMFMIKEEVSQIVLFTGAVLNPL >Manes.18G113000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11306365:11309284:-1 gene:Manes.18G113000.v8.1 transcript:Manes.18G113000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNPIPMEIDTTSMEQSNRMEFGMRMAGHLILKEIKNGCKSNLVLSPLSLHAMLNLVASGSTGRTLQQLLSFLESESIGDLNSQSSQMMALATTGQEFLESENASDLNSQSSQPTREEASSRSSNQLRHDPSVFWFPFHHSPTLSSVEFDNPIKGKSSLFSSTHESTSFPFSSANGNAGSFTGSNQGPIISFVNGIWVDHRYPLKHSFKQVAEDIYKAKAENVDFLTQAEEVRKEVNLWVKKASKGLINDLLPAGFIDTTTILVLANALYFEGKWLHPFDSSNSKIEDFHLLNGRTVNVLFMISYPSKPQFYGSFEGFKLLKLPYNSGQDSKQFSMYIFLPDKKDGLQELIQHFTSDPRLLHQNWELQQVKLSKIYIPKFKFSYEIDAKKIMRELGLTMIFEKNRELTEIVDGSDICVTDAIHKSFIEVNEEGTVATATTVFACAECCFLAPEFPPPSFVADYPFMFMIKEEVSQIVLFTGAVLNPL >Manes.04G005600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:793378:795384:-1 gene:Manes.04G005600.v8.1 transcript:Manes.04G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNASSPWLSSVPSVFSLLFFGFTLLFSFFSLIIYVLRRKPWCNCEACKSYLTGSWSKDFPNLCDWYTHLLKKSPTGTIHLHVLGNIITSNPENVEYILKTNFDNYPKGKPFSGLLGDLLGRGIFNVDGDSWRFQRKMASLELGSVSIRMYAFELIMSEIRERLFPLLSSMAEKEEALDLQDVFRSFSFDYICKFSFGLDPGCLKLSLPISDFALAFDTASKLSAERALAPSPIVWKMKRLLNLGSEKKLSEAIKMVDELAQSLINHRRREGFSDNKDLLSRFMCSINDDKYLRDIVISFLLAGRDTVASGLTSFLWLLSQHPEVESAIRDESQRVMGPSHQQLTSYEQLRDMHYLNAAIHESLRLYPPVQFDSKFAQEDDIMPDGTFVSKGTRVTYHQYAMGRMDRVWGPDCIGFKPERWIKNGVFVAENPYKYPVFQAGFRVCLGKEMALVEMKSVALAVIRSFSVRVLDPDQAPRFSPGFTATVKGGLPVAIKERVSSTTHRHC >Manes.15G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:964062:966322:1 gene:Manes.15G011000.v8.1 transcript:Manes.15G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTESSQKYPTMPEVVGELKKMTDLGFPIAAMSLVGYLKNMILVVCMGKLGSLELAGGALAIGFTNITGYSVLSGLAMGMEPLCSQAFGSRNLSVASLTLQRTIVMLLLASLPIGLLWVNLEPLMLALHQDPDITRIASLYCRFSIPDLIANGLLHPLRIYLRSKGTTWPLMWCTLISIVLHLPITIFLAFTLQHGVPGIAISTCISNFNTLLFLLCYMYFTRVPEEPLYTPLSPPPQPSQPSQPPYSTSFSLGREWGILLRLAIPSCLAVCLEWWWYEFMTILAGYLSKPRVALATSAIVIQTTSLMYTLPTALSASVSTRVGNELGAGRPGKARLATAVAIGLALLSSLFGLVLTTAGKEAWGRVFTEDEEVLELSMIVLPIIGLCELANCPQTTSCGILRGSARPGIGAGINFYSFYLVGAPVAIVLGFVWKLGFVGLCYGLLAAQIACVVSILMVVYKTDWERESLKAKHLVGKASDQLAHVNQTLKSDDEEFQGVGFLGLKIEYGVVDFEK >Manes.07G022100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2447408:2447725:1 gene:Manes.07G022100.v8.1 transcript:Manes.07G022100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSKKLTSIDIDKGLQVPDYSLAALPPSGSGNKVEFLVADIEGNAWNFACTTKTRNTLLKPVFSKGWYAFARHWGLRSGATIAFYMEIDQATGAQYKIKVRQV >Manes.03G185300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30605584:30610107:1 gene:Manes.03G185300.v8.1 transcript:Manes.03G185300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGCFASCCATMTCGLCTSVASGVSKKSARLAYCGLFGLSLIVSWILREVGAPLLEKLPWITSSDAQSKEWYQIQAVLRVSLGNFLFFTIFALLMIGVKDQSDRRDSWHHGGWIAKMAMWLLLVVLMFFMPNVIISIYGTISKFGAGLFLLVQVIILLDFTHTWNDAWVEKDEQKWYIALLVVSVACYLAAFTFSGILFIWFNPSGHDCGLNVFFIVMTMILAFAFAIIALHPTVNGSLLPASVISVYCAYVCYTGLSSEPRDYVCNGLHNKTKAVSTSTLVLGMLTTVLSVLYSAVRAGSSTTFLSPPSSPKSSGAKKPLLEEELEEGKEKEEREGQPVSYSYTFFHLIFALASMYSAMLLSGWTNSSESSDLIDVGWTSVWVRICTEWVTAALYVWTLVAPLLFPDREFF >Manes.12G056702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5807399:5809385:-1 gene:Manes.12G056702.v8.1 transcript:Manes.12G056702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHIHKQERNMANKYFPWLLLGQFLVLATTIQAKIPALIVFGDSSVDAGNNNYIPTIARSNFEPYGRDFQGGRATGRFSNGRIATDFISEAIGLKPTIPAYLDPAYNISDFAVGVTFASAATGYDNATSDVLSVIPFWKQLEFYKDYQRRLRDYLGEAKASQTISEALHLISIGTNDFLENYYAIPGRSAEYNISKYEDFLAGIAEGFVMKLYALGARKISLGGLPPMGCMPLERTRNIMNGHDCEERYNTVAFEFNGKLSNLVANLNKELPGIKLIFSNPYYILLHIIRNPSSYGIEVTSMACCGTGMFEMGYACDRNSPFTCTDADKYVFWDAFHPTQKINQIVANYVVKRALIKFD >Manes.14G143216.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13715824:13716699:-1 gene:Manes.14G143216.v8.1 transcript:Manes.14G143216.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVLGKVLNKGSCASGGDKLRALFYVPITGFHNGQVYCAPRSFFGVEDFLDDDNSRPYTYQKEKKSKNPNKHMSFKQRTKAYMEPFTLDILISKRFVTASLTHRVTSKQVAVAGTNSKDIKAALKSRSDIPACLAIGRILADRAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDVKAYLD >Manes.02G128500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9736033:9740631:1 gene:Manes.02G128500.v8.1 transcript:Manes.02G128500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEQSNQENPDNMNTEEQGSSQVRSYECNFCKRGFSNAQALGGHMNIHRKDKAKLKHPSSNDLQQSPDIPKILSSSFSSPIPTSMIHPLMQPKSGQDASSIKSPFLDKESDDASKRNGEIQQLLSLFVDKPSTKDHHQQQPNSGQVRSSTEKGFSTSQGLLSSEVDLELRLGPEPQDSLPATSTKRNSGMVSCWDCENKLLKNDVKPSRTL >Manes.01G128700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32250152:32255577:1 gene:Manes.01G128700.v8.1 transcript:Manes.01G128700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRPRKPLSKPITIFRVKPKYICHSRSSIIQDNDEKCQDLHFIAILSGILRGKKSWTIALNDPFISSNLKPHHIEKLLMQSLDDSRLALRFFNFLGLHKNFNHSTMSFCILIHALVNANLYWPASSLLQTLLFRGLDPREVFEVLLDSFKECRFTSSLAFDLLIHCYVQNKRVFDGVMIFRLMRNSNLMPQVRVLSALLNGFLRIRRFDTVLVFFDEILSMNIKPDIYVHTAVIRSLCELKNFVKAKEMIQWMESSGCELSVVLYNVLIHGLCKGQRVWEAVEFKNALVQKGLEADLVTYCTIILGLCKVQEFEFGVQILNEMIELGYVPSEAVVSGLVDGLRRKGQIGDAFHLVNKVGRVGVMPNLFVYNALINSLCKDGKFDEAELLFKEMGQKGLCANDITYSILIDSFCKRGKLDIAIQFVDRMTKEGIKVTVYPYNSLINGHCKLGNLSAANSFFDAMLDKGLTPTVVTYTSLVNGYCNKGELHKAFRFYNEMLSKGIAPNSHTFTAIISGLCRANRMVEAIRWFDEMKEQNIMPNEVTYNVMIEGHCKQGNTEKAFELLDEMVEKGLVPDTYTYRPLISGLCSIGRVSEAKDFIDDLHKGQHKLNEFCYGALLRGYCKEGRFWDALSASREMMERGMDMDLVCFAILIDGTIREHDIRTLFGLLKEMHDQGLRPDTVIYTNMIDRHMKAGNLKEAFGLWDIMLDEGCIPNIVTYTALINGLCKTGFMDEAELICKEILIGNIIPNQITFGSFLDCLTREGNMEKAMQLHNALLKGFLANTVSHNIIIRGFCKLGMIQEAMRILNEMTDNGIFPDHITYSTIIYEHCKRGDLQEAVKLWHTMLDKGLKPDAVAYNFMIYGCCIAGELGKAFELKDDMIRRGMKLNRVAYNALTHRADLKSSALSADR >Manes.01G128700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32250320:32255577:1 gene:Manes.01G128700.v8.1 transcript:Manes.01G128700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRPRKPLSKPITIFRVKPKYICHSRSSIIQDNDEKCQDLHFIAILSGILRGKKSWTIALNDPFISSNLKPHHIEKLLMQSLDDSRLALRFFNFLGLHKNFNHSTMSFCILIHALVNANLYWPASSLLQTLLFRGLDPREVFEVLLDSFKECRFTSSLAFDLLIHCYVQNKRVFDGVMIFRLMRNSNLMPQVRVLSALLNGFLRIRRFDTVLVFFDEILSMNIKPDIYVHTAVIRSLCELKNFVKAKEMIQWMESSGCELSVVLYNVLIHGLCKGQRVWEAVEFKNALVQKGLEADLVTYCTIILGLCKVQEFEFGVQILNEMIELGYVPSEAVVSGLVDGLRRKGQIGDAFHLVNKVGRVGVMPNLFVYNALINSLCKDGKFDEAELLFKEMGQKGLCANDITYSILIDSFCKRGKLDIAIQFVDRMTKEGIKVTVYPYNSLINGHCKLGNLSAANSFFDAMLDKGLTPTVVTYTSLVNGYCNKGELHKAFRFYNEMLSKGIAPNSHTFTAIISGLCRANRMVEAIRWFDEMKEQNIMPNEVTYNVMIEGHCKQGNTEKAFELLDEMVEKGLVPDTYTYRPLISGLCSIGRVSEAKDFIDDLHKGQHKLNEFCYGALLRGYCKEGRFWDALSASREMMERGMDMDLVCFAILIDGTIREHDIRTLFGLLKEMHDQGLRPDTVIYTNMIDRHMKAGNLKEAFGLWDIMLDEGCIPNIVTYTALINGLCKTGFMDEAELICKEILIGNIIPNQITFGSFLDCLTREGNMEKAMQLHNALLKGFLANTVSHNIIIRGFCKLGMIQEAMRILNEMTDNGIFPDHITYSTIIYEHCKRGDLQEAVKLWHTMLDKGLKPDAVAYNFMIYGCCIAGELGKAFELKDDMIRRGMKLNRVAYNALTHRADLKSSALSADR >Manes.01G128700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32250142:32253971:1 gene:Manes.01G128700.v8.1 transcript:Manes.01G128700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLMRNSNLMPQVRVLSALLNGFLRIRRFDTVLVFFDEILSMNIKPDIYVHTAVIRSLCELKNFVKAKEMIQWMESSGCELSVVLYNVLIHGLCKGQRVWEAVEFKNALVQKGLEADLVTYCTIILGLCKVQEFEFGVQILNEMIELGYVPSEAVVSGLVDGLRRKGQIGDAFHLVNKVGRVGVMPNLFVYNALINSLCKDGKFDEAELLFKEMGQKGLCANDITYSILIDSFCKRGKLDIAIQFVDRMTKEGIKVTVYPYNSLINGHCKLGNLSAANSFFDAMLDKGLTPTVVTYTSLVNGYCNKGELHKAFRFYNEMLSKGIAPNSHTFTAIISGLCRANRMVEAIRWFDEMKEQNIMPNEVTYNVMIEGHCKQGNTEKAFELLDEMVEKGLVPDTYTYRPLISGLCSIGRVSEAKDFIDDLHKGQHKLNEFCYGALLRGYCKEGRFWDALSASREMMERGMDMDLVCFAILIDGTIREHDIRTLFGLLKEMHDQGLRPDTVIYTNMIDRHMKAGNLKEAFGLWDIMLDEGCIPNIVTYTALINGLCKTGFMDEAELICKEILIGNIIPNQITFGSFLDCLTREGNMEKAMQLHNALLKGFLANTVSHNIIIRGFCKLGMIQEAMRILNEMTDNGIFPDHITYSTIIYEHCKRGDLQEAVKLWHTMLDKGLKPDAVAYNFMIYGCCIAGELGKAFELKDDMIRRGMKLNRVAYNALTHRADLKSSALSADR >Manes.01G128700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32250152:32255577:1 gene:Manes.01G128700.v8.1 transcript:Manes.01G128700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRPRKPLSKPITIFRVKPKYICHSRSSIIQDNDEKCQDLHFIAILSGILRGKKSWTIALNDPFISSNLKPHHIEKLLMQSLDDSRLALRFFNFLGLHKNFNHSTMSFCILIHALVNANLYWPASSLLQTLLFRGLDPREVFEVLLDSFKECRFTSSLAFDLLIHCYVQNKRVFDGVMIFRLMRNSNLMPQVRVLSALLNGFLRIRRFDTVLVFFDEILSMNIKPDIYVHTAVIRSLCELKNFVKAKEMIQWMESSGCELSVVLYNVLIHGLCKGQRVWEAVEFKNALVQKGLEADLVTYCTIILGLCKVQEFEFGVQILNEMIELGYVPSEAVVSGLVDGLRRKGQIGDAFHLVNKVGRVGVMPNLFVYNALINSLCKDGKFDEAELLFKEMGQKGLCANDITYSILIDSFCKRGKLDIAIQFVDRMTKEGIKVTVYPYNSLINGHCKLGNLSAANSFFDAMLDKGLTPTVVTYTSLVNGYCNKGELHKAFRFYNEMLSKGIAPNSHTFTAIISGLCRANRMVEAIRWFDEMKEQNIMPNEVTYNVMIEGHCKQGNTEKAFELLDEMVEKGLVPDTYTYRPLISGLCSIGRVSEAKDFIDDLHKGQHKLNEFCYGALLRGYCKEGRFWDALSASREMMERGMDMDLVCFAILIDGTIREHDIRTLFGLLKEMHDQGLRPDTVIYTNMIDRHMKAGNLKEAFGLWDIMLDEGCIPNIVTYTALINGLCKTGFMDEAELICKEILIGNIIPNQITFGSFLDCLTREGNMEKAMQLHNALLKGFLANTVSHNIIIRGFCKLGMIQEAMRILNEMTDNGIFPDHITYSTIIYEHCKRGDLQEAVKLWHTMLDKGLKPDAVAYNFMIYGCCIAGELGKAFELKDDMIRRGMKLNRVAYNALTHRADLKSSALSADR >Manes.01G128700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32250142:32253971:1 gene:Manes.01G128700.v8.1 transcript:Manes.01G128700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLMRNSNLMPQVRVLSALLNGFLRIRRFDTVLVFFDEILSMNIKPDIYVHTAVIRSLCELKNFVKAKEMIQWMESSGCELSVVLYNVLIHGLCKGQRVWEAVEFKNALVQKGLEADLVTYCTIILGLCKVQEFEFGVQILNEMIELGYVPSEAVVSGLVDGLRRKGQIGDAFHLVNKVGRVGVMPNLFVYNALINSLCKDGKFDEAELLFKEMGQKGLCANDITYSILIDSFCKRGKLDIAIQFVDRMTKEGIKVTVYPYNSLINGHCKLGNLSAANSFFDAMLDKGLTPTVVTYTSLVNGYCNKGELHKAFRFYNEMLSKGIAPNSHTFTAIISGLCRANRMVEAIRWFDEMKEQNIMPNEVTYNVMIEGHCKQGNTEKAFELLDEMVEKGLVPDTYTYRPLISGLCSIGRVSEAKDFIDDLHKGQHKLNEFCYGALLRGYCKEGRFWDALSASREMMERGMDMDLVCFAILIDGTIREHDIRTLFGLLKEMHDQGLRPDTVIYTNMIDRHMKAGNLKEAFGLWDIMLDEGCIPNIVTYTALINGLCKTGFMDEAELICKEILIGNIIPNQITFGSFLDCLTREGNMEKAMQLHNALLKGFLANTVSHNIIIRGFCKLGMIQEAMRILNEMTDNGIFPDHITYSTIIYEHCKRGDLQEAVKLWHTMLDKGLKPDAVAYNFMIYGCCIAGELGKAFELKDDMIRRGMKLNRVAYNALTHRADLKSSALSADR >Manes.01G128700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32250142:32255577:1 gene:Manes.01G128700.v8.1 transcript:Manes.01G128700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLMRNSNLMPQVRVLSALLNGFLRIRRFDTVLVFFDEILSMNIKPDIYVHTAVIRSLCELKNFVKAKEMIQWMESSGCELSVVLYNVLIHGLCKGQRVWEAVEFKNALVQKGLEADLVTYCTIILGLCKVQEFEFGVQILNEMIELGYVPSEAVVSGLVDGLRRKGQIGDAFHLVNKVGRVGVMPNLFVYNALINSLCKDGKFDEAELLFKEMGQKGLCANDITYSILIDSFCKRGKLDIAIQFVDRMTKEGIKVTVYPYNSLINGHCKLGNLSAANSFFDAMLDKGLTPTVVTYTSLVNGYCNKGELHKAFRFYNEMLSKGIAPNSHTFTAIISGLCRANRMVEAIRWFDEMKEQNIMPNEVTYNVMIEGHCKQGNTEKAFELLDEMVEKGLVPDTYTYRPLISGLCSIGRVSEAKDFIDDLHKGQHKLNEFCYGALLRGYCKEGRFWDALSASREMMERGMDMDLVCFAILIDGTIREHDIRTLFGLLKEMHDQGLRPDTVIYTNMIDRHMKAGNLKEAFGLWDIMLDEGCIPNIVTYTALINGLCKTGFMDEAELICKEILIGNIIPNQITFGSFLDCLTREGNMEKAMQLHNALLKGFLANTVSHNIIIRGFCKLGMIQEAMRILNEMTDNGIFPDHITYSTIIYEHCKRGDLQEAVKLWHTMLDKGLKPDAVAYNFMIYGCCIAGELGKAFELKDDMIRRGMKLNRVAYNALTHRADLKSSALSADR >Manes.06G176300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29971562:29983516:-1 gene:Manes.06G176300.v8.1 transcript:Manes.06G176300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVPHKQNPLLCPSTIIVQTSQRPVLLQFPPRSKSRISQQTSIFRIYSSANPNGSDGLSWPSLTRAFRLGSERFLLKLRESVKRETGFHLEGVNVKMGEFAELVKDQAKKGEAELNRFRAELLPEFLKWNRWERWKDLKNWEPKRVGVLVLYVFVMMFSCQRMYIAIRAPYLDRERRELTEAYMEALIPEPSPINIRRFKKSMWRNLMPKGLKMKKFVEGPDGTLVCDSAYVGEDAWDDDPLPPQESVKQVIDKDVRLNPEEKKELKEDLGISDAIQESKGTWRERLHAWKGILRNDKLAEELDASNAKYVVEFNMKEVENSLRKDVVDKVTDTQGARALWISKRWWRYRPKLPYTYFLEKLDCSEVAAVVFTEDLKRLYVTMKEGFPLEYVVDIPLDPYLFEIISSSGVEVDLLQKQQIHYILKVVIALLPGLLILWLIRESVMLLHATSNSFLYKKYNQLFDMVYAENLIVPVGDGGETKSMYKEVVLGGDVWDLLDEIMIYMGNPMQYYEKGVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPCFVFVDEVDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRLGRIDRRLYIGLPDAKQRVQIFSVHSAGKQLANDVDFGKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSRIYQEDIVDVLDKQLLEGMGVLLTEEEQQKCEESVSFEKKRLLAVHEAGHILLAHLFPQFDWHAFSQLLPGGKETAISVFYPREDMIDQGYTTFGYMKMQLVVAHGGRCAEQLVFGDDITDGGGDDLEKITKIAREMVISPQNARLGLTALTKRVGLMDRPDNPEGGLIKFKWDDPYVIPANMTLEVSELFTRELTRYIKETEELAMKGLSDNIHILDVITKALLEKSRITGLEVEEIMKELSPLMFEDFVKPFQINLKEEGPLPHNDKLRYQPLDVSPAPLHRS >Manes.06G176300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29971562:29983516:-1 gene:Manes.06G176300.v8.1 transcript:Manes.06G176300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVPHKQNPLLCPSTIIVQTSQRPVLLQFPPRSKSRISQQTSIFRIYSSANPNGSDGLSWPSLTRAFRLGSERFLLKLRESVKRETGFHLEGVNVKMGEFAELVKDQAKKGEAELNRFRAELLPEFLKWNRWERWKDLKNWEPKRVGVLVLYVFVMMFSCQRMYIAIRAPYLDRERRELTEAYMEALIPEPSPINIRRFKKSMWRNLMPKGLKMKKFVEGPDGTLVCDSAYVGEDAWDDDPLPPQESVKQVIDKDVRLNPEEKKELKEDLGISDAIQESKGTWRERLHAWKGILRNDKLAEELDASNAKYVVEFNMKEVENSLRKDVVDKVTDTQGARALWISKRWWRYRPKLPYTYFLEKLDCSEVAAVVFTEDLKRLYVTMKEGFPLEYVVDIPLDPYLFEIISSSGVEVDLLQKQQIHYILKVVIALLPGLLILWLIRESVMLLHATSNSFLYKKYNQLFDMVYAENLIVPVGDGGETKSMYKEVVLGGDVWDLLDEIMIYMGNPMQYYEKGVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPCFVFVDEVDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRLGRIDRRLYIGLPDAKQRVQIFSVHSAGKQLANDVDFGKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSRIYQEDIVDVLDKQLLEGMGVLLTEEEQQKCEESVSFEKKRLLAVHEAGHILLAHLFPQFDWHAFSQLLPGGKETAISVFYPREDMIDQGYTTFGYMKMQLVVAHGGRCAEQLVFGDDITDGGGDDLEKITKIAREMVISPQNARLGLTALTKRVGLMDRPDNPEGGLIKFKWDDPYVIPANMTLEVSELFTRELTRLGGKKENF >Manes.13G123700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33130290:33131620:1 gene:Manes.13G123700.v8.1 transcript:Manes.13G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDRMKSSLSSGEEQIDMMTMMMQMEKLPDFCEPFHSTSSTSSSTLQQIQFSNGNPPNIVTSPPIYHHPHASTSPNLITPPHPSMPFMPTPMQETLTGSLQPNMVAGKLKYPPQFSNANSFLSSMEKKNSTAAIREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEQAGANRSLGGFPFSGLTMPNMGYSSLMNNCQPAPNMVGSMHMLR >Manes.11G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27448655:27450624:-1 gene:Manes.11G117200.v8.1 transcript:Manes.11G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCLVCCLCFIIFLADRGLGFNDCNTSTCGSFGPPVRFPFRIRGKQPRHCGYPQSEFHLSCSEKNDTVLQLPNSWKLFILEIDYKSQVIYAKDSGGCHFRRLISNLSLSVSPFELMNTRENITLFKCPSRKIQGWSYSVRQIPCLSDLHYDFLGASSYYLIENPDLLSCTKIHDYPEVALMDNLENWEGRNWSNPLLGLSWINPTCKSCEAKGASIKFIETGAVLGSVLLVAAVVLLYRRYSFNKMEKEFQSKIKSFLDDYMSHKPTRYSYADIKRMTSQFKDELGQGAYGNVFRGKLSDEILVAIKVLNISKGNGEEFVNEVGTIGKIHHVNVVRLIGFCADGFRRALVYEYLPNDSLQKFISPADDKNHFLGWKRLQDIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDHDFNPKISDFGLAKLCAKDQSAVSMTTARGTIGYIAPEVFSRNFRNVSYKADIYSFGMLVLEMVGGRKIVDITKDSDEQIYFPEWIYNLLEEGEDLRFHIEEEGDAKIAKKLAIIGLWCIQWNPVDRPTIRVVVHMLEGEGENLTIPPNPFNSGIQTKKNPKISGKRLHSELDAISETE >Manes.07G011400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1477584:1486072:1 gene:Manes.07G011400.v8.1 transcript:Manes.07G011400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYIVDWFPEKDNIVEATRRNHLMADMIVSAAIDCVINKLTSSDLVQSAGAAKLQPELEKMEKTLTKVKAVLADAEEKQISNRLVKIWLRELRDLAYDAEDILDEFYFEVLHRKQQVEQRREEGSSSKVWSVMLKFLDHLNPHRVVFNMKMKSEIETMNAKFQEVIEKKNSLQLRENGGDYTSSEPLKRLPTSSLVDERVVCGRAEEKEKIIELVLSGEGCDDRVCVIPIVGMGGVGKTTLAQIVYNDSKVVDWFDLKVWCCVSEDFDVVRVTKTILEAITMKDFNLKDLNLLQVALREQLMGKRYLIVLDDVWSEKYEDWIVLRQPFQVGSPGSKIIVTTRNHRVAAIMGTVEGQFLEELSFDNCMSLFALHALGRRNFDGHLNLKDIGEKIVRKCGGLPLAVKTLGSLLHMNPDQDEWESVLNSKIWCLSEDKSGILPALRLSYYYLPSYLKPLFAFCSIFPKNYEFYQDDLVLLWMAEGFLPEVRGKKQMEELDSYFNELVSRSLFQRSSIENSQFMMHDLVNDLAQTVAGEICINLNDKFEGNKLHQIVDNARHFSFTRRAYEVWNRFEVLGEVNHLRTFVAFPIHTFPWACCYLSKKVLDDLLPKLRCLRVLSMSGYHISKLPDSISSLKHLRYLNMSCTGIKWLPESLCTLLYLETLLLHGCRELIKLPQGIGNLINLCHLDITNTPNLIEVPLQIGNLTNLQTLSKFILGEECGYRISELKELKLLQGKLHIMGLDNVADVQHAFDANLGKKHKLFELGLEWSCNFHDLRNKECEMQVLNLLKPCTSLRELSILFYGGTKFPLWIGDPSFTKLVQLKLSCCRHCTSLPSLGKLPLLRNLCIEGMDAVTTVDFDFYGDGSPLAKPFPFLETLKFEDMWEWELWLSSNGNNEEADNVFPHLLELTLLNCPKLTGKLPKRICSLVKLTICNCPILENSLISLPSLNELKLEECSQVVLKHIVDYASLTTLRIRSMADISCLQDIFVQSMGVLKVLVISNCTKLTFLWNQTTGLENLFGLECIIVKDCPQLLSLTENALDGSYSCSQLELSFCNNQEQLPCGLHRLQSLKDLYVESCPKLASFQEAGVLSTLRCLVIKNCQSLMSLPDGMMRFSCRTNMCLLEELEIEECPLLERFPIGELPMTLKVLKIRYCAKLQSLPEGLIHGDNMSHLEHLEIIGCPSLTCSPSGKLPFRLKTIKISDCSPLEPLPKRMLHDNALIEYNNICTILKNLPECLNSLFFLTELYISNCSGLRSFPEVGLSLPNLRSLNIYDCFNLKTLPGEMQSLISLQELAVCNCPCLVSFPQGDLLPNITSLEIWDCGSFELLMSEWNFHSLSCLRDLSIATGCFKRIVTFPNEKFLLPTSLISIYIGGLPNLESLSTQLQSLTCIEELEIVDCPKLQSLPREGMPSTLGRFSIRDCPLLKQKCIPKSGICWPMIVHIPCMEMDGEDI >Manes.07G011400.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1477585:1487607:1 gene:Manes.07G011400.v8.1 transcript:Manes.07G011400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYIVDWFPEKDNIVEATRRNHLMADMIVSAAIDCVINKLTSSDLVQSAGAAKLQPELEKMEKTLTKVKAVLADAEEKQISNRLVKIWLRELRDLAYDAEDILDEFYFEVLHRKQQVEQRREEGSSSKVWSVMLKFLDHLNPHRVVFNMKMKSEIETMNAKFQEVIEKKNSLQLRENGGDYTSSEPLKRLPTSSLVDERVVCGRAEEKEKIIELVLSGEGCDDRVCVIPIVGMGGVGKTTLAQIVYNDSKVVDWFDLKVWCCVSEDFDVVRVTKTILEAITMKDFNLKDLNLLQVALREQLMGKRYLIVLDDVWSEKYEDWIVLRQPFQVGSPGSKIIVTTRNHRVAAIMGTVEGQFLEELSFDNCMSLFALHALGRRNFDGHLNLKDIGEKIVRKCGGLPLAVKTLGSLLHMNPDQDEWESVLNSKIWCLSEDKSGILPALRLSYYYLPSYLKPLFAFCSIFPKNYEFYQDDLVLLWMAEGFLPEVRGKKQMEELDSYFNELVSRSLFQRSSIENSQFMMHDLVNDLAQTVAGEICINLNDKFEGNKLHQIVDNARHFSFTRRAYEVWNRFEVLGEVNHLRTFVAFPIHTFPWACCYLSKKVLDDLLPKLRCLRVLSMSGYHISKLPDSISSLKHLRYLNMSCTGIKWLPESLCTLLYLETLLLHGCRELIKLPQGIGNLINLCHLDITNTPNLIEVPLQIGNLTNLQTLSKFILGEECGYRISELKELKLLQGKLHIMGLDNVADVQHAFDANLGKKHKLFELGLEWSCNFHDLRNKECEMQVLNLLKPCTSLRELSILFYGGTKFPLWIGDPSFTKLVQLKLSCCRHCTSLPSLGKLPLLRNLCIEGMDAVTTVDFDFYGDGSPLAKPFPFLETLKFEDMWEWELWLSSNGNNEEADNVFPHLLELTLLNCPKLTGKLPKRICSLVKLTICNCPILENSLISLPSLNELKLEECSQVVLKHIVDYASLTTLRIRSMADISCLQDIFVQSMGVLKVLVISNCTKLTFLWNQTTGLENLFGLECIIVKDCPQLLSLTENALDGSYSCSQLELSFCNNQEQLPCGLHRLQSLKDLYVESCPKLASFQEAGVLSTLRCLVIKNCQSLMSLPDGMMRFSCRTNMCLLEELEIEECPLLERFPIGELPMTLKVLKIRYCAKLQSLPEGLIHGDNMSHLEHLEIIGCPSLTCSPSGKLPFRLKTIKISDCSPLEPLPKRMLHDNALIEYNNICTILKNLPECLNSLFFLTELYISNCSGLRSFPEVGLSLPNLRSLNIYDCFNLKTLPGEMQSLISLQELAVCNCPCLVSFPQGDLLPNITSLEIWDCGSFELLMSEWNFHSLSCLRDLSIATGCFKRIVTFPNEKFLLPTSLISIYIGGLPNLESLSTQLQSLTCIEELEIVDCPKLQSLPREGMPSTLGRFSIRDCPLLKQKCIPKSGICWPMIVHIPCMEMDGEDI >Manes.07G011400.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1477585:1487606:1 gene:Manes.07G011400.v8.1 transcript:Manes.07G011400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYIVDWFPEKDNIVEATRRNHLMADMIVSAAIDCVINKLTSSDLVQSAGAAKLQPELEKMEKTLTKVKAVLADAEEKQISNRLVKIWLRELRDLAYDAEDILDEFYFEVLHRKQQVEQRREEGSSSKVWSVMLKFLDHLNPHRVVFNMKMKSEIETMNAKFQEVIEKKNSLQLRENGGDYTSSEPLKRLPTSSLVDERVVCGRAEEKEKIIELVLSGEGCDDRVCVIPIVGMGGVGKTTLAQIVYNDSKVVDWFDLKVWCCVSEDFDVVRVTKTILEAITMKDFNLKDLNLLQVALREQLMGKRYLIVLDDVWSEKYEDWIVLRQPFQVGSPGSKIIVTTRNHRVAAIMGTVEGQFLEELSFDNCMSLFALHALGRRNFDGHLNLKDIGEKIVRKCGGLPLAVKTLGSLLHMNPDQDEWESVLNSKIWCLSEDKSGILPALRLSYYYLPSYLKPLFAFCSIFPKNYEFYQDDLVLLWMAEGFLPEVRGKKQMEELDSYFNELVSRSLFQRSSIENSQFMMHDLVNDLAQTVAGEICINLNDKFEGNKLHQIVDNARHFSFTRRAYEVWNRFEVLGEVNHLRTFVAFPIHTFPWACCYLSKKVLDDLLPKLRCLRVLSMSGYHISKLPDSISSLKHLRYLNMSCTGIKWLPESLCTLLYLETLLLHGCRELIKLPQGIGNLINLCHLDITNTPNLIEVPLQIGNLTNLQTLSKFILGEECGYRISELKELKLLQGKLHIMGLDNVADVQHAFDANLGKKHKLFELGLEWSCNFHDLRNKECEMQVLNLLKPCTSLRELSILFYGGTKFPLWIGDPSFTKLVQLKLSCCRHCTSLPSLGKLPLLRNLCIEGMDAVTTVDFDFYGDGSPLAKPFPFLETLKFEDMWEWELWLSSNGNNEEADNVFPHLLELTLLNCPKLTGKLPKRICSLVKLTICNCPILENSLISLPSLNELKLEECSQVVLKHIVDYASLTTLRIRSMADISCLQDIFVQSMGVLKVLVISNCTKLTFLWNQTTGLENLFGLECIIVKDCPQLLSLTENALDGSYSCSQLELSFCNNQEQLPCGLHRLQSLKDLYVESCPKLASFQEAGVLSTLRCLVIKNCQSLMSLPDGMMRFSCRTNMCLLEELEIEECPLLERFPIGELPMTLKVLKIRYCAKLQSLPEGLIHGDNMSHLEHLEIIGCPSLTCSPSGKLPFRLKTIKISDCSPLEPLPKRMLHDNALIEYNNICTILKNLPECLNSLFFLTELYISNCSGLRSFPEVGLSLPNLRSLNIYDCFNLKTLPGEMQSLISLQELAVCNCPCLVSFPQGDLLPNITSLEIWDCGSFELLMSEWNFHSLSCLRDLSIATGCFKRIVTFPNEKFLLPTSLISIYIGGLPNLESLSTQLQSLTCIEELEIVDCPKLQSLPREGMPSTLGRFSIRDCPLLKQKCIPKSGICWPMIVHIPCMEMDGEDI >Manes.07G011400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1477585:1487607:1 gene:Manes.07G011400.v8.1 transcript:Manes.07G011400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYIVDWFPEKDNIVEATRRNHLMADMIVSAAIDCVINKLTSSDLVQSAGAAKLQPELEKMEKTLTKVKAVLADAEEKQISNRLVKIWLRELRDLAYDAEDILDEFYFEVLHRKQQVEQRREEGSSSKVWSVMLKFLDHLNPHRVVFNMKMKSEIETMNAKFQEVIEKKNSLQLRENGGDYTSSEPLKRLPTSSLVDERVVCGRAEEKEKIIELVLSGEGCDDRVCVIPIVGMGGVGKTTLAQIVYNDSKVVDWFDLKVWCCVSEDFDVVRVTKTILEAITMKDFNLKDLNLLQVALREQLMGKRYLIVLDDVWSEKYEDWIVLRQPFQVGSPGSKIIVTTRNHRVAAIMGTVEGQFLEELSFDNCMSLFALHALGRRNFDGHLNLKDIGEKIVRKCGGLPLAVKTLGSLLHMNPDQDEWESVLNSKIWCLSEDKSGILPALRLSYYYLPSYLKPLFAFCSIFPKNYEFYQDDLVLLWMAEGFLPEVRGKKQMEELDSYFNELVSRSLFQRSSIENSQFMMHDLVNDLAQTVAGEICINLNDKFEGNKLHQIVDNARHFSFTRRAYEVWNRFEVLGEVNHLRTFVAFPIHTFPWACCYLSKKVLDDLLPKLRCLRVLSMSGYHISKLPDSISSLKHLRYLNMSCTGIKWLPESLCTLLYLETLLLHGCRELIKLPQGIGNLINLCHLDITNTPNLIEVPLQIGNLTNLQTLSKFILGEECGYRISELKELKLLQGKLHIMGLDNVADVQHAFDANLGKKHKLFELGLEWSCNFHDLRNKECEMQVLNLLKPCTSLRELSILFYGGTKFPLWIGDPSFTKLVQLKLSCCRHCTSLPSLGKLPLLRNLCIEGMDAVTTVDFDFYGDGSPLAKPFPFLETLKFEDMWEWELWLSSNGNNEEADNVFPHLLELTLLNCPKLTGKLPKRICSLVKLTICNCPILENSLISLPSLNELKLEECSQVVLKHIVDYASLTTLRIRSMADISCLQDIFVQSMGVLKVLVISNCTKLTFLWNQTTGLENLFGLECIIVKDCPQLLSLTENALDGSYSCSQLELSFCNNQEQLPCGLHRLQSLKDLYVESCPKLASFQEAGVLSTLRCLVIKNCQSLMSLPDGMMRFSCRTNMCLLEELEIEECPLLERFPIGELPMTLKVLKIRYCAKLQSLPEGLIHGDNMSHLEHLEIIGCPSLTCSPSGKLPFRLKTIKISDCSPLEPLPKRMLHDNALIEYNNICTILKNLPECLNSLFFLTELYISNCSGLRSFPEVGLSLPNLRSLNIYDCFNLKTLPGEMQSLISLQELAVCNCPCLVSFPQGDLLPNITSLEIWDCGSFELLMSEWNFHSLSCLRDLSIATGCFKRIVTFPNEKFLLPTSLISIYIGGLPNLESLSTQLQSLTCIEELEIVDCPKLQSLPREGMPSTLGRFSIRDCPLLKQKCIPKSGICWPMIVHIPCMEMDGEDI >Manes.07G011400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1477549:1485968:1 gene:Manes.07G011400.v8.1 transcript:Manes.07G011400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYIVDWFPEKDNIVEATRRNHLMADMIVSAAIDCVINKLTSSDLVQSAGAAKLQPELEKMEKTLTKVKAVLADAEEKQISNRLVKIWLRELRDLAYDAEDILDEFYFEVLHRKQQVEQRREEGSSSKVWSVMLKFLDHLNPHRVVFNMKMKSEIETMNAKFQEVIEKKNSLQLRENGGDYTSSEPLKRLPTSSLVDERVVCGRAEEKEKIIELVLSGEGCDDRVCVIPIVGMGGVGKTTLAQIVYNDSKVVDWFDLKVWCCVSEDFDVVRVTKTILEAITMKDFNLKDLNLLQVALREQLMGKRYLIVLDDVWSEKYEDWIVLRQPFQVGSPGSKIIVTTRNHRVAAIMGTVEGQFLEELSFDNCMSLFALHALGRRNFDGHLNLKDIGEKIVRKCGGLPLAVKTLGSLLHMNPDQDEWESVLNSKIWCLSEDKSGILPALRLSYYYLPSYLKPLFAFCSIFPKNYEFYQDDLVLLWMAEGFLPEVRGKKQMEELDSYFNELVSRSLFQRSSIENSQFMMHDLVNDLAQTVAGEICINLNDKFEGNKLHQIVDNARHFSFTRRAYEVWNRFEVLGEVNHLRTFVAFPIHTFPWACCYLSKKVLDDLLPKLRCLRVLSMSGYHISKLPDSISSLKHLRYLNMSCTGIKWLPESLCTLLYLETLLLHGCRELIKLPQGIGNLINLCHLDITNTPNLIEVPLQIGNLTNLQTLSKFILGEECGYRISELKELKLLQGKLHIMGLDNVADVQHAFDANLGKKHKLFELGLEWSCNFHDLRNKECEMQVLNLLKPCTSLRELSILFYGGTKFPLWIGDPSFTKLVQLKLSCCRHCTSLPSLGKLPLLRNLCIEGMDAVTTVDFDFYGDGSPLAKPFPFLETLKFEDMWEWELWLSSNGNNEEADNVFPHLLELTLLNCPKLTGKLPKRICSLVKLTICNCPILENSLISLPSLNELKLEECSQVVLKHIVDYASLTTLRIRSMADISCLQDIFVQSMGVLKVLVISNCTKLTFLWNQTTGLENLFGLECIIVKDCPQLLSLTENALDGSYSCSQLELSFCNNQEQLPCGLHRLQSLKDLYVESCPKLASFQEAGVLSTLRCLVIKNCQSLMSLPDGMMRFSCRTNMCLLEELEIEECPLLERFPIGELPMTLKVLKIRYCAKLQSLPEGLIHGDNMSHLEHLEIIGCPSLTCSPSGKLPFRLKTIKISDCSPLEPLPKRMLHDNALIEYNNICTILKNLPECLNSLFFLTELYISNCSGLRSFPEVGLSLPNLRSLNIYDCFNLKTLPGEMQSLISLQELAVCNCPCLVSFPQGDLLPNITSLEIWDCGSFELLMSEWNFHSLSCLRDLSIATGCFKRIVTFPNEKFLLPTSLISIYIGGLPNLESLSTQLQSLTCIEELEIVDCPKLQSLPREGMPSTLGRFSIRDCPLLKQKCIPKSGICWPMIVHIPCMEMDGEDI >Manes.07G011400.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1477585:1487607:1 gene:Manes.07G011400.v8.1 transcript:Manes.07G011400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYIVDWFPEKDNIVEATRRNHLMADMIVSAAIDCVINKLTSSDLVQSAGAAKLQPELEKMEKTLTKVKAVLADAEEKQISNRLVKIWLRELRDLAYDAEDILDEFYFEVLHRKQQVEQRREEGSSSKVWSVMLKFLDHLNPHRVVFNMKMKSEIETMNAKFQEVIEKKNSLQLRENGGDYTSSEPLKRLPTSSLVDERVVCGRAEEKEKIIELVLSGEGCDDRVCVIPIVGMGGVGKTTLAQIVYNDSKVVDWFDLKVWCCVSEDFDVVRVTKTILEAITMKDFNLKDLNLLQVALREQLMGKRYLIVLDDVWSEKYEDWIVLRQPFQVGSPGSKIIVTTRNHRVAAIMGTVEGQFLEELSFDNCMSLFALHALGRRNFDGHLNLKDIGEKIVRKCGGLPLAVKTLGSLLHMNPDQDEWESVLNSKIWCLSEDKSGILPALRLSYYYLPSYLKPLFAFCSIFPKNYEFYQDDLVLLWMAEGFLPEVRGKKQMEELDSYFNELVSRSLFQRSSIENSQFMMHDLVNDLAQTVAGEICINLNDKFEGNKLHQIVDNARHFSFTRRAYEVWNRFEVLGEVNHLRTFVAFPIHTFPWACCYLSKKVLDDLLPKLRCLRVLSMSGYHISKLPDSISSLKHLRYLNMSCTGIKWLPESLCTLLYLETLLLHGCRELIKLPQGIGNLINLCHLDITNTPNLIEVPLQIGNLTNLQTLSKFILGEECGYRISELKELKLLQGKLHIMGLDNVADVQHAFDANLGKKHKLFELGLEWSCNFHDLRNKECEMQVLNLLKPCTSLRELSILFYGGTKFPLWIGDPSFTKLVQLKLSCCRHCTSLPSLGKLPLLRNLCIEGMDAVTTVDFDFYGDGSPLAKPFPFLETLKFEDMWEWELWLSSNGNNEEADNVFPHLLELTLLNCPKLTGKLPKRICSLVKLTICNCPILENSLISLPSLNELKLEECSQVVLKHIVDYASLTTLRIRSMADISCLQDIFVQSMGVLKVLVISNCTKLTFLWNQTTGLENLFGLECIIVKDCPQLLSLTENALDGSYSCSQLELSFCNNQEQLPCGLHRLQSLKDLYVESCPKLASFQEAGVLSTLRCLVIKNCQSLMSLPDGMMRFSCRTNMCLLEELEIEECPLLERFPIGELPMTLKVLKIRYCAKLQSLPEGLIHGDNMSHLEHLEIIGCPSLTCSPSGKLPFRLKTIKISDCSPLEPLPKRMLHDNALIEYNNICTILKNLPECLNSLFFLTELYISNCSGLRSFPEVGLSLPNLRSLNIYDCFNLKTLPGEMQSLISLQELAVCNCPCLVSFPQGDLLPNITSLEIWDCGSFELLMSEWNFHSLSCLRDLSIATGCFKRIVTFPNEKFLLPTSLISIYIGGLPNLESLSTQLQSLTCIEELEIVDCPKLQSLPREGMPSTLGRFSIRDCPLLKQKCIPKSGICWPMIVHIPCMEMDGEDI >Manes.07G011400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1477585:1485686:1 gene:Manes.07G011400.v8.1 transcript:Manes.07G011400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYIVDWFPEKDNIVEATRRNHLMADMIVSAAIDCVINKLTSSDLVQSAGAAKLQPELEKMEKTLTKVKAVLADAEEKQISNRLVKIWLRELRDLAYDAEDILDEFYFEVLHRKQQVEQRREEGSSSKVWSVMLKFLDHLNPHRVVFNMKMKSEIETMNAKFQEVIEKKNSLQLRENGGDYTSSEPLKRLPTSSLVDERVVCGRAEEKEKIIELVLSGEGCDDRVCVIPIVGMGGVGKTTLAQIVYNDSKVVDWFDLKVWCCVSEDFDVVRVTKTILEAITMKDFNLKDLNLLQVALREQLMGKRYLIVLDDVWSEKYEDWIVLRQPFQVGSPGSKIIVTTRNHRVAAIMGTVEGQFLEELSFDNCMSLFALHALGRRNFDGHLNLKDIGEKIVRKCGGLPLAVKTLGSLLHMNPDQDEWESVLNSKIWCLSEDKSGILPALRLSYYYLPSYLKPLFAFCSIFPKNYEFYQDDLVLLWMAEGFLPEVRGKKQMEELDSYFNELVSRSLFQRSSIENSQFMMHDLVNDLAQTVAGEICINLNDKFEGNKLHQIVDNARHFSFTRRAYEVWNRFEVLGEVNHLRTFVAFPIHTFPWACCYLSKKVLDDLLPKLRCLRVLSMSGYHISKLPDSISSLKHLRYLNMSCTGIKWLPESLCTLLYLETLLLHGCRELIKLPQGIGNLINLCHLDITNTPNLIEVPLQIGNLTNLQTLSKFILGEECGYRISELKELKLLQGKLHIMGLDNVADVQHAFDANLGKKHKLFELGLEWSCNFHDLRNKECEMQVLNLLKPCTSLRELSILFYGGTKFPLWIGDPSFTKLVQLKLSCCRHCTSLPSLGKLPLLRNLCIEGMDAVTTVDFDFYGDGSPLAKPFPFLETLKFEDMWEWELWLSSNGNNEEADNVFPHLLELTLLNCPKLTGKLPKRICSLVKLTICNCPILENSLISLPSLNELKLEECSQVVLKHIVDYASLTTLRIRSMADISCLQDIFVQSMGVLKVLVISNCTKLTFLWNQTTGLENLFGLECIIVKDCPQLLSLTENALDGSYSCSQLELSFCNNQEQLPCGLHRLQSLKDLYVESCPKLASFQEAGVLSTLRCLVIKNCQSLMSLPDGMMRFSCRTNMCLLEELEIEECPLLERFPIGELPMTLKVLKIRYCAKLQSLPEGLIHGDNMSHLEHLEIIGCPSLTCSPSGKLPFRLKTIKISDCSPLEPLPKRMLHDNALIEYNNICTILKNLPECLNSLFFLTELYISNCSGLRSFPEVGLSLPNLRSLNIYDCFNLKTLPGEMQSLISLQELAVCNCPCLVSFPQGDLLPNITSLEIWDCGSFELLMSEWNFHSLSCLRDLSIATGCFKRIVTFPNEKFLLPTSLISIYIGGLPNLESLSTQLQSLTCIEELEIVDCPKLQSLPREGMPSTLGRFSIRDCPLLKQKCIPKSGICWPMIVHIPCMEMDGEDI >Manes.17G090700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29895988:29897509:1 gene:Manes.17G090700.v8.1 transcript:Manes.17G090700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIPEITLSSCGKTMPVIGMGTSPYPPTDKETTKTALIEAIKAGYRHFDTALAYRSEEPLGEAISEALRLGLIKSRDELFITSKLWSSFADKDMVVPAIRMSLRNLQLEYLDLYLIHWPFKLSQNVRSLPTTRENIFPLDIKSVWEGMEECKTLGLTKAIGVSNFSCKKLEELLSTAKIPPPVNQVEMNPLWQQKQLREFCKGKGIHITAYSPLGANGTKWGDNRILECDVLQQIAKAKGKTTAQVALRWVHEQGVSLVAKSYNKERMKENLQIFDWSLTEEESNKISNLPQRKGVLVANILGPHDFSLELDAEI >Manes.01G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27585307:27586656:-1 gene:Manes.01G073600.v8.1 transcript:Manes.01G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETALNAYIETVKSCELFHESSVAELVSAMAAGWNAKFIAETWSQGGVIATSIGLSVASRYTGGRHVCIVHDMQSRLKYAEAMGEAGMSPEILVGEPEEVMEKLIGIDFLVVDSQPKEFARVLRLAKLGNRGAVLVCKNANSRASSFGWRGVLEGGSRRLVRSVLLPVGRGLDIAHVAASGGDSGLEKGEKRWIKHIDAQSGEEHVIRK >Manes.18G139600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:16468893:16470233:-1 gene:Manes.18G139600.v8.1 transcript:Manes.18G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECATTKPSKPSSNISEMVSKFAKVCKLRSIGVFSNENPNQNHHHHHHYHQSLNDNNVQSVGEDGSDATKETGFADEKIHPQLAVVPIKSNVYGGDFILQLFESVSALKLAYIELQEAHVPYDPDKIVAADEHVVAQLEALCKIKRSYKEKQLIKAKRDSSYVDCVQAEIKVNEKLLEKLKTEKRAKDAEIDQLRQQLHDLDVGNAVLAEKVRQKSLERKNVRVLNIAMFQDAFVSASKCVHDFAKPVISLMKASGWDLDLAANSVETGVVYSKRSHKKYAFEAYIARRMFHGMSLKSYSVDDVLRFDDPIDSLIENPNSGFAKFCRKKYLFVVHPVMEMSFFGNLDHRMFILSGKHPRTPFYQIFARMAKWVWFLQGTATSVDPKAKIFAVSRGCKFSDVYMESVEEEDTFIPDGRQSDIKVEFMVMPGFRIGDTLVKSRVYLQK >Manes.01G017400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4227696:4228103:1 gene:Manes.01G017400.v8.1 transcript:Manes.01G017400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQHMEPSLCANGCGFYGSVQNANLCSKCHKQYQKQQHQAIYKSPSLMARLPLDAETVAGSTDQTASNRRTNLCSSCNKGLGLMGFSCRCGNVFCRSHRHPEDHACSVDFKGLGRQLLIKQNPLCKADKLQDRI >Manes.S023752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2053567:2059652:1 gene:Manes.S023752.v8.1 transcript:Manes.S023752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.06G098500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23239874:23244106:1 gene:Manes.06G098500.v8.1 transcript:Manes.06G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLINETSFSAANPSSYTLTEIWPCPAINGQAVGVGGLGLRMGNLTGAFGERDGSVEESTVTEQSVGGSGGNGRKRRDLSLEDDSSKMVSTSSSGNELNDSNGKRIKISGSRNESSNSKAEVAPTSVANKKKSEQSSKLSVPPNQDYIHVRARRGQATDSHSLAERARREKISERMKMLQDLVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSRMNVNPALEGFHPKDVGAQPFDAAGMIFGSQTARDYAQASQSEWLHMQIGGNFERT >Manes.01G243500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40448348:40452091:1 gene:Manes.01G243500.v8.1 transcript:Manes.01G243500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGLLLRYELPHMGQPSRFYCPACPYVCSMESRVKIKRKQQLVKKEIEPVFTLDDMMKGGSETEATCPHCNFGRAKYQQLQIRSADEPATTFYFCLNEKCSRMWRED >Manes.01G243500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40448350:40452091:1 gene:Manes.01G243500.v8.1 transcript:Manes.01G243500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGLLLRYELPHMGQPSRFYCPACPYVCSMESRVKIKRKQQLVKKEIEPVFTLDDMMKGGSETEATCPHCNFGRAKYQQLQIRSADEPATTFYFCLNEKCSRMWRED >Manes.01G243500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40449325:40452091:1 gene:Manes.01G243500.v8.1 transcript:Manes.01G243500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGLLLRYELPHMGQPSRFYCPACPYVCSMESRVKIKRKQQLVKKEIEPVFTLDDMMKGGSETEATCPHCNFGRAKYQQLQIRSADEPATTFYFCLNEKCSRMWRED >Manes.14G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2841070:2847698:-1 gene:Manes.14G031800.v8.1 transcript:Manes.14G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIALANNSSDSKDKKEKKMKKKIALETSEPQDEIFSDKKQKKKDKKKRKAMEIDSEDEERSETSSELVEPVNLKSEKTKKNKKAKLAEEDDEGEGEVAKAEDPNAISRFRISGPLREKLKSKGIESLFPIQARTFDDVLDGSDLVGRARTGQGKTLAFVLPILESLTNGPAKASRKTGYGRPPSVLVLLPTRELASQVYDDFKVYGEALGLVSCCLYGGASYHPQEMSLKRGVDIVVGTPGRIKDHIERGNIDLSLLKFRVLDEADEMLRMGFVEDVELILGKVEDVSKVQTLLFSATLPDWVKHISSRFLKSTKKTIDLVGNEKMKASTSVRHIVLPCFTSAIPQLIPDIIRCYSSGGRTIIFTEKRESANELAGLLHGARALHGEIQQSQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRRSNISKIQRESGVKFEHITAPKPADIAKAVGVEAAEKITEVSDSVVPAFKSAAEDLLNNSGLSAVELLAKALATAAGYTEVKSRSLLTSMENHVTLLLEAGKPIYTPSFAFGMLRRFLPEDKIDSSVKGMTLTADGNGAVFDVPAADLDAFLAGQENAANVSLEVLQALPPLQERDQSRGRFGGGGGGRGRGGFSDRSGGSRFSGGKGGRGGGFSDRRNDRFSNGFGGRRNGKKW >Manes.02G131500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9969368:9973438:-1 gene:Manes.02G131500.v8.1 transcript:Manes.02G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCTSITRHVVRSTTVELSRTGSRSWTSFSARISMKGNGASATFGERQFAGAATATAEGPSCIYVGPIETASKETLEALYRQARDAYYSGEPLIVDDMFDRVELKLRWYGSKSVVKYPRCSIRRQSTYADAEEDISQAFALASVWIIFLAFGSSALVGPIIYTVFLAYQDAFGSGISQGSQASIIQFLEMVNGILFMLVGSLIGYPITSASVKVLLGLWRNDLVSLKGACPNCGEEVFAFVKSDQSNSSAHRADCHVCESLLEFRTKVEQTVSTLGRRWVYGRIYLISRRRRRLK >Manes.11G130000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29260626:29271443:1 gene:Manes.11G130000.v8.1 transcript:Manes.11G130000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEVLLCGGGTITSITNCGLKIFPFIKHERRRARKLDEIATRLERAYRLLLARQKDIKKEICDHTITKTTTHEWKTLDEGVRELEEDVKILKAKYRKSRRFHYITCGFSSQAELSRDMELKAQEIDSFKDQMTSDKAMVDREPPSSASQSFRRKDELSWVKNNVARILESLNRDNVKKIGIWAFAGRGKSTIMESLNAKAHETGLFQHVIFVKVEEKDTEEKIRQSILEQLNLKLSVGEVRTSHRVALTISNALHNKKYLLMLDQVSQEIDLKELGIYDNHDGGQVVVAARYKAVCDKMNMEESWELEPMSERDALVLFRQIVGGAADYQRNKHDAELIVKECGGIPLLINAVATYLKSEGNDQVWSDCLSKLQSSTYDDDLGPFSESYNVFKLVYDKLSDYRKKCFLYGALYPLDHEIYEDHLIECWRAEQFIPASGGSNNEQVFRRARHEGHATMKCLINACLLKRCTKVKYVSIPNLFRNWALKTDEGLSSLVIPGNGVGMCKDPKWISLRCNDLRTSLTKVSFHTVTTLFLQRNESLEQIMDLIFVLKSKLQVLDLGYTGIKTLPSSISKLINLKALYLNNCNDLVELPAKIKELKYVEILDICQTGISHWPDEIGNMTGLRCLRVSFIRNAGNHQNFDVQPRNLIARLSFLEELAILVDEDSQDQWNNVAQEIAMEVASLKFLTTLHIYFPSVKCLDAFIRESKSWNEKNGQWGEKTFRSFKITVGSSWKHREIDTNEHKAERYLKFFAYNEPSDDDGAFCTISEVLKQACTFELIGHKAIEDLSGFGINNMAGLKVCAIQDCSQMKTVISGNNQSAAALKELKQLHLINLGKLTSICDGSMGKENFASLTTLIISNCQALVVILSIKMIQQITLLEHLRVENCSTTTHIIGVSEQDGNNPTLLLPKLKSLQLVNLSMLQNICQNVTLKWPSLRAMEIDQCGMLRSLPLSLNNTENLRLIRCHESWWNQLNLESDIKNQYQPCCQFISRLEIVEEIP >Manes.11G130000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29260626:29271443:1 gene:Manes.11G130000.v8.1 transcript:Manes.11G130000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEVLLCGGGTITSITNCGLKIFPFIKHERRRARKLDEIATRLERAYRLLLARQKDIKKEICDHTITKTTTHEWKTLDEGVRELEEDVKILKAKYRKSRRFHYITCGFSSQAELSRDMELKAQEIDSFKDQMTSDKAMVDREPPSSASQSFRRKDELSWVKNNVARILESLNRDNVKKIGIWAFAGRGKSTIMESLNAKAHETGLFQHVIFVKVEEKDTEEKIRQSILEQLNLKLSVGEVRTSHRVALTISNALHNKKYLLMLDQVSQEIDLKELGIYDNHDGGQVVVAARYKAVCDKMNMEESWELEPMSERDALVLFRQIVGGAADYQRNKHDAELIVKECGGIPLLINAVATYLKSEGNDQVWSDCLSKLQSSTYDDDLGPFSESYNVFKLVYDKLSDYRKKCFLYGALYPLDHEIYEDHLIECWRAEQFIPASGGSNNEQVFRRARHEGHATMKCLINACLLKRCTKVKYVSIPNLFRNWALKTDEGLSSLVIPGNGVGMCKDPKWISLRCNDLRTSLTKVSFHTVTTLFLQRNESLEQIMDLIFVLKSKLQVLDLGYTGIKTLPSSISKLINLKALYLNNCNDLVELPAKIKELKYVEILDICQTGISHWPDEIGNMTGLRCLRVSFIRNAGNHQNFDVQPRNLIARLSFLEELAILVDEDSQDQWNNVAQEIAMEVASLKFLTTLHIYFPSVKCLDAFIRESKSWNEKNGQWGEKTFRSFKITVGSSWKHREIDTNEHKAERYLKFFAYNEPSDDDGAFCTISEVLKQACTFELIGHKAIEDLSGFGINNMAGLKVCAIQDCSQMKTVISGNNQSAAALKELKQLHLINLGKLTSICDGSMGKENFASLTTLIISNCQALVVILSIKMIQQITLLEHLRVENCSTTTHIIGVSEQDGNNPTLLLPKLKSLQLVNLSMLQNICQNVTLKWPSLRAMEIDQCGMLRSLPLSLNNTENLRLIRCHESWWNQLNLESDIKNQYQPCCQFISRLEIVEEIP >Manes.11G130000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29260626:29271443:1 gene:Manes.11G130000.v8.1 transcript:Manes.11G130000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEVLLCGGGTITSITNCGLKIFPFIKHERRRARKLDEIATRLERAYRLLLARQKDIKKEICDHTITKTTTHEWKTLDEGVRELEEDVKILKAKYRKSRRFHYITCGFSSQAELSRDMELKAQEIDSFKDQMTSDKAMVDREPPSSASQSFRRKDELSWVKNNVARILESLNRDNVKKIGIWAFAGRGKSTIMESLNAKAHETGLFQHVIFVKVEEKDTEEKIRQSILEQLNLKLSVGEVRTSHRVALTISNALHNKKYLLMLDQVSQEIDLKELGIYDNHDGGQVVVAARYKAVCDKMNMEESWELEPMSERDALVLFRQIVGGAADYQRNKHDAELIVKECGGIPLLINAVATYLKSEGNDQVWSDCLSKLQSSTYDDDLGPFSESYNVFKLVYDKLSDYRKKCFLYGALYPLDHEIYEDHLIECWRAEQFIPASGGSNNEQVFRRARHEGHATMKCLINACLLKRCTKVKYVSIPNLFRNWALKTDEGLSSLVIPGNGVGMCKDPKWISLRCNDLRTSLTKVSFHTVTTLFLQRNESLEQIMDLIFVLKSKLQVLDLGYTGIKTLPSSISKLINLKALYLNNCNDLVELPAKIKELKYVEILDICQTGISHWPDEIGNMTGLRCLRVSFIRNAGNHQNFDVQPRNLIARLSFLEELAILVDEDSQDQWNNVAQEIAMEVASLKFLTTLHIYFPSVKCLDAFIRESKSWNEKNGQWGEKTFRSFKITVGSSWKHREIDTNEHKAERYLKFFAYNEPSDDDGAFCTISEVLKQACTFELIGHKAIEDLSGFGINNMAGLKVCAIQDCSQMKTVISGNNQSAAALKELKQLHLINLGKLTSICDGSMGKENFASLTTLIISNCQALVVILSIKMIQQITLLEHLRVENCSTTTHIIGVSEQDGNNPTLLLPKLKSLQLVNLSMLQNICQNVTLKWPSLRAMEIDQCGMLRSLPLSLNNTENLRLIRCHESWWNQLNLESDIKNQYQPCCQFISRLEIVEEIP >Manes.16G009202.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:957897:962176:-1 gene:Manes.16G009202.v8.1 transcript:Manes.16G009202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTYIAGKIGELLVEPIGRQIGHFIHYRSNTVKLQEQVKILEGVRDDVQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLAQQTTLVKQVVKRAQHDRLFPTIAMVVVSQTIDVKKIQDQIAESLGLKLYEVNEQNRVSRLLARLKKENKVLIILDDIWARLDLATVGIPLGHDHGGCKIIVTTRRKQVCDTMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKPKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGILIMQNQVSQFPDAWDSPNLKILLMDIEKTRLVPLFEKPIYMPATVLTGMKALQVFHRRDSSRKSYRAQSFRFLKIDFSQLSNLRTLMLQYYKIDTTPIGELKMLEILSLKNCEFRKPFN >Manes.15G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5796334:5800487:1 gene:Manes.15G076900.v8.1 transcript:Manes.15G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVLPGKGVREFIKVKVGGKRVSYRMLFYSLLFFTFLFRFVFVLSTVDTIDDETKCSSLGCFGKRLGPRILGRRLDSVPEVEMIYQVLKEPLDQDDLKGRNDIPQTLEEFVAQLKNTNLDAKAFALKLREMLTLLEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSTNAAARLQLPKPELVPALVDNSYFHFVLASDNVLAASVVATSLVQNALRPQKFVLHIITDRKTYSPMQAWFSLHPLSPAIIEVKALHHFDWFTKGKVPVLEAMEKDQRVRSQFRGGSSAIVSNNTENPVVVAAKLQALSPKYNSVMNHIRIHLPELFPSLNKVVFLDDDIVVQTDLSPLWDIDMNGKVNGAVETCRGEDKFVMSKRFKSYLNFSHPLIANNFDPHECAWAYGMNIFDLEAWRKTNISLTYHYWLEQNLKSDLSLWQLGTLPPGLIAFHGYVHVIDPFWHMLGLGYQDNTSVADAKSAGVIHFNGRAKPWLDIAFPRLRQLWTKYINFSDKFIKGCHIRAS >Manes.09G177300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36769937:36771269:1 gene:Manes.09G177300.v8.1 transcript:Manes.09G177300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHFILHFIFAFFLFSCPSNAQTIIVDKGGNGNFSSVQKAIDSVPPNTNSWTHIYIKSGIYEEKVVIPGDKPFIFLQGESKDTTSIEWGESGSSTQSATFTLNADNFVAADLSFKNTYNLALSAVLDDQKPILWAPAATIYGDKASFYNCSFFGVQDTLTDAQGRHYYKSCYIEGAIDFIWGRGQSVYEGCVLNATTGALGKAGYITAQGRESSNDTSGFVFLSCSIYGTGPVDLGRAYRQFSRVVFRNTYMSDVVQPEGWSAWSFVGKEKDIVYSEVSCSGPGADKSKRVKWEKNLTTEELKQLEAKNFINQDGWIQGQPQVK >Manes.16G136600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33726514:33740376:-1 gene:Manes.16G136600.v8.1 transcript:Manes.16G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGDSSAVDIEKTTIKMEPDQSSNGGLYVPGKERVEFRAPQRKSLLGLDDLAKEKRGKSDSNVMFKVPRERVTSVAASVNEVELESSGLDEITGAESNSLNNHLNRRYRDTTAREITQEESTVTQGVAVCDRSHHSRENTSDDRTAIKSSRTSRSRSPRSGRDDLSNVRRDAKDDSRGERSRHRHRDSSEEWSHGRDARFSYERDRSRDYGRKRGRYEGSGRTPGRSDWDDGRWEWEEAPRRDSQSNTSRHHHYSPSPMFVGASPDARLVSPWHTPSSTGSTASPWDQIAPSPVPIRPSGSSAKSSSSRHGGKSHQLTFSSARSQSLEEEGEDKAYSSVEHNHEITESMRLEMEYNSDRAWYDREEGNTMFDADSSSFFLGDEASFQKKEAELAKRLVRRDGTRMSLAQSKRLSQLTADNAQWEDRQLLRSGAVRGTEVQTDFDDEEERKVILLVHDTKPPFLDGRVVFTKQAEPIMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAVVGEQGEIDFKEDAKFAQHLKKEEAVSDFARSKSIAEQRQYLPIYSVREDLLQVIRENQVVVVVGETGSGKTTQLTQYLHEGGYTKNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAEKFSNFFGSVPIFHIPGRTFPVNILYSKTPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQLISTTKKAVPKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGGLTDLGWKMVEFPLDPPLAKMLLMGEQLGCINEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKEHQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGHDWDVIRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPEHVVYHELILTTKEYMQCATAVEPQWLAELGPMFFSVKESDTSMLEHKKRQKEEKTAMEEEMENLRKEQAEVEKESKERERQKRAKQQQQVSMPGLRQGSSTYLRPKKFGL >Manes.17G010100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:4293135:4294858:1 gene:Manes.17G010100.v8.1 transcript:Manes.17G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDKPKTWIPYVNSKDCSQGFCSLSCPQWCYIIFPPPPPPPPIDEFPADNSSPIFSPLVISIIVASAFLLVSYYIIISKYCGNSNNSARVRENQDLIDQVELENNHNPSLHEPWHVSTTGLDEIIIKSITMVKYKKGDGLIEGTDCSVCLSEFQEDDSLRLLPKCSHAFHVYCIDTWLKSHSNCPLCRANIVFITPSAPPPLPSPPPPLTEILQPNESFQDSLRTHGHAAATQDVERIVAEEETVQNTNEGTSKRPLRVFSDLGNLQERNAIIQIRDERYEAIRRSFSMDHPCQNHCLAADIIQMNQDEVIVVEDCSSGDAAESSKHSARVIGKHSHRSRVLHYVLSPVRMKRSFSSGRFFLNRHGRAV >Manes.01G039232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6874583:6877460:1 gene:Manes.01G039232.v8.1 transcript:Manes.01G039232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGFGHMSLKVFTHFTKQKCAFLVQVRTYIYI >Manes.08G076950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18146377:18167879:-1 gene:Manes.08G076950.v8.1 transcript:Manes.08G076950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQAGGPNQAAQKSACCGS >Manes.08G076950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18146377:18167879:-1 gene:Manes.08G076950.v8.1 transcript:Manes.08G076950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTDIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADTDSKAEPQTIKINQPDQAGGPNQAAQKSACCGS >Manes.13G143700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35752563:35753771:-1 gene:Manes.13G143700.v8.1 transcript:Manes.13G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTICADQHKLYHSHQLFSSSSSKKTFKDLDIPPRKQHLTKKSLQESPDTMSTNCSNLQKFLPFNDAINGDHDHDYAHAHAYGKVADGDLDPYSSDHFRMFEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRKYHYSGEVCPEFRRGACNRGDACEFAHGVFECWLHPSRYRTEACKDGKNCKRKVCFFAHSPRQLRILPEVSCHAVKYDKSLILPCSSVNHGHCCCFACHSVISSPTSTLLGMSHMSPPLSPSLSPPLSPVKQQSISGLSPISRYNDRLSKLRSGFMSYKDVLTELMEAMNFNDEAPASSPISFSAANNRKTNSTPWMDSSSSFNGEDYHHQQQLILSPSTPNPRGSRNFLSGDCSSKGFNIDEQMNNNDDNKNGGGDPDLGWVNELLM >Manes.13G134500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34229799:34236116:-1 gene:Manes.13G134500.v8.1 transcript:Manes.13G134500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATPPLPPILKTHQSSVAPLIPSKSNSMSQDYHQAGIFSFSNGFERSQVTHHQEHHQQQQHHLAQQIRRDKLRVQAGYGPPSEPLVGIEDEESGGLPVYETAGMLSEMFNFPPGGPVTAAELLDQPLQSNYRTAHPRQQQPVTTNEWYSNNRQGMVGVLGPLGDSKNHNSRESLAQHHQISGINADSAAAMQLFLMNPQPRSPSPAPSHPHHPPATSSTLHMLLPNPSTSLQGFSVSGHGGAFGASSEISPPQFTWVPGSANEGANTGAQLNNPVEIGSVVEGQGLSLSLSSSLQHLEAAKAEELRMGESGLLYYNQGGGSSSAAAQYYKNLGGQHQALHLQAGVGQNHQVHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKYTRQNTNPSSNNPGGSSGGGSGGGGGGGGSSSSTKDFPPLSASDRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAIAAQLKQSCELLGEKDGAGTSGITKGETPRLKLLEQSLRQQRAFHQMGMMEQDAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEDEDGGVDEDRERKQNNNSNNKSGVALAQTPTPTESSSAPSTTTTLPPAPVPAPAGKRSEITNENDPSILAINRQCFSENQAKHSPSTTATTIITPTTDQVAPPPSHAQPFHDLTDETCRRGSNMVAAAEYGTTSVQHANAGPDIGPTLIRFGTTATAGDVSLTLGLRHAGNMPEKGSAFSFRDFGNC >Manes.13G134500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34229847:34236093:-1 gene:Manes.13G134500.v8.1 transcript:Manes.13G134500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATPPLPPILKTHQSSVAPLIPSKSNSMSQDYHQAGIFSFSNGFERSQVTHHQEHHQQQQHHLAQQIRRDKLRVQAGYGPPSEPLVGIEDEESGGLPVYETAGMLSEMFNFPPGGPVTAAELLDQPLQSNYRTAHPRQQQPVTTNEWYSNNRQGMVGVLGPLGDSKNHNSRESLAQHHQISGINADSAAAMQLFLMNPQPRSPSPAPSHPHHPPATSSTLHMLLPNPSTSLQGFSVSGHGGAFGASSEISPPQFTWVPGSANEGANTGAQLNNPVEIGSVVEGQGLSLSLSSSLQHLEAAKAEELRMGESGLLYYNQGGGSSSAAAQYYKNLGGQHQALHLQAGVGQNHQVHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRGQFKKNKYTRQNTNPSSNNPGGSSGGGSGGGGGGGGSSSSTKDFPPLSASDRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAIAAQLKQSCELLGEKDGAGTSGITKGETPRLKLLEQSLRQQRAFHQMGMMEQDAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEDEDGGVDEDRERKQNNNSNNKSGVALAQTPTPTESSSAPSTTTTLPPAPVPAPAGKRSEITNENDPSILAINRQCFSENQAKHSPSTTATTIITPTTDQVAPPPSHAQPFHDLTDETCRRGSNMVAAAEYGTTSVQHANAGPDIGPTLIRFGTTATAGDVSLTLGLRHAGNMPEKGSAFSFRDFGNC >Manes.10G077066.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:17644326:17645369:1 gene:Manes.10G077066.v8.1 transcript:Manes.10G077066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNEKELSFEKKRPRRHLPGSAAESEVRPPNMERLRERLWPPKVLFERAKVRPPNLKFGRRTCMSLGGTLGCRRSSTRPPIKGPRIGNGRVFSPFSSSGEFLSSLGRFHVFLHLLHVFMRSMVILKSFKA >Manes.02G143500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10991070:10995548:-1 gene:Manes.02G143500.v8.1 transcript:Manes.02G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGVSSSHLIVSEDKSNSFYPMYFGVSCAFFALKVLSGPHQEEEKWSELCEKMLQGSAHLLGLLVWRIQRGGANSEQNKLLHKLEAAEKEIKELKQIRHEDAKANEKVVGIFASQEQRWLIERKKLRQHAGALMDELRVLQKMKEAAISEINDKLKEMELLVQDKDKALEEKEQKRKELEDKLMKAENVSEELRETAKREAQDYSTDLWKHKTAFLELVSNHRQLEAKLGRALRQLEAKRKEINLALERKEESVLLTQKLSTELVKMRKDLEQKDKILSAMLRKSKVDTIERQMLLKEATLSKAKRKQAECETESWRAVPESKHERHSLRSMFAHQANSRSDHPSIGRGASQIRKGISQPADFILECKNSEFQKGAEVFTPFSESDSPEINDELAITTDIKQLEVWVRSEAEKYAATIEKRHHLEMDAFAEQMRLKDEKLEAFHWRMLSMKIETKRQQSHVEGLTQELSQIRHENMKLEALSLERQEELKALKEQFFKVKPHISCKTAVHPSVAGPTLAHDASNVKIVKGEPAVGEHETKADLMEMSKEQDAKKEREVTSNNQSENVTFLAQSPEKEFEEENVSNEASMKDKSASPVEVDTVQKSPLVSQALENTTKSPWRMDLQALGVSYKIKRLKQQLLVLERLTGKLEIGEQTEDNDIIQNEIKGFQLLLSLLNKQISRYQSLQGKTDELCRRMHDNDIDKNGDSSSLRTKGGTNTFGHFLEETFQLQRYIVATGQKLMEVQSKIASGTAEVPEDFDKSVHFDMQRFTASIRTLSQEVQRGLEVRIARIIGDLEGTLACEGMTRMRS >Manes.02G143500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10991069:10995986:-1 gene:Manes.02G143500.v8.1 transcript:Manes.02G143500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGVSSSHLIVSEDKSNSFYPMYFGVSCAFFALKVLSGPHQEEEKWSELCEKMLQGSAHLLGLLVWRIQRGGANSEQNKLLHKLEAAEKEIKELKQIRHEDAKANEKVVGIFASQEQRWLIERKKLRQHAGALMDELRVLQKMKEAAISEINDKLKEMELLVQDKDKALEEKEQKRKELEDKLMKAENVSEELRETAKREAQDYSTDLWKHKTAFLELVSNHRQLEAKLGRALRQLEAKRKEINLALERKEESVLLTQKLSTELVKMRKDLEQKDKILSAMLRKSKVDTIERQMLLKEATLSKAKRKQAECETESWRAVPESKHERHSLRSMFAHQANSRSDHPSIGRGASQIRKGISQPADFILECKNSEFQKGAEVFTPFSESDSPEINDELAITTDIKQLEVWVRSEAEKYAATIEKRHHLEMDAFAEQMRLKDEKLEAFHWRMLSMKIETKRQQSHVEGLTQELSQIRHENMKLEALSLERQEELKALKEQFFKVKPHISCKTAVHPSVAGPTLAHDASNVKIVKGEPAVGEHETKADLMEMSKEQDAKKEREVTSNNQSENVTFLAQSPEKEFEEENVSNEASMKDKSASPVEVDTVQKSPLVSQALENTTKSPWRMDLQALGVSYKIKRLKQQLLVLERLTGKLEIGEQTEDNDIIQNEIKGFQLLLSLLNKQISRYQSLQGKTDELCRRMTKMEIPVH >Manes.02G143500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10991075:10994698:-1 gene:Manes.02G143500.v8.1 transcript:Manes.02G143500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGVSSSHLIVSEDKSNSFYPMYFGVSCAFFALKVLSGPHQEEEKWSELCEKMLQGSAHLLGLLVWRIQRGGANSEQNKLLHKLEAAEKEIKELKQIRHEDAKANEKVVGIFASQEQRWLIERKKLRQHAGALMDELRVLQKMKEAAISEINDKLKEMELLVQDKDKALEEKEQKRKELEDKLMKAENVSEELRETAKREAQDYSTDLWKHKTAFLELVSNHRQLEAKLGRALRQLEAKRKEINLALERKEESVLLTQKLSTELVKMRKDLEQKDKILSAMLRKSKVDTIERQMLLKEATLSKAKRKQAECETESWRAVPESKHERHSLRSMFAHQANSRSDHPSIGRGASQIRKGISQPADFILECKNSEFQKGAEVFTPFSESDSPEINDELAITTDIKQLEVWVRSEAEKYAATIEKRHHLEMDAFAEQMRLKDEKLEAFHWRMLSMKIETKRQQSHVEGLTQELSQIRHENMKLEALSLERQEELKALKEQFFKVKPHISCKTAVHPSVAGPTLAHDASNVKIVKGEPAVGEHETKADLMEMSKEQDAKKEREVTSNNQSENVTFLAQSPEKEFEEENVSNEASMKDKSASPVEVDTVQKSPLVSQALENTTKSPWRMDLQALGVSYKIKRLKQQLLVLERLTGKLEIGEQTEDNDIIQNEIKGFQLLLSLLNKQISRYQSLQGKTDELCRRMHDNDIDKNGDSSSLRTKGGTNTFGHFLEETFQLQRYIVATGQKLMEVQSKIASGTAEVPEDFDKSVHFDMQRFTASIRTLSQEVQRGLEVRIARIIGDLEGTLACEGMTRMRS >Manes.02G143500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10991075:10995986:-1 gene:Manes.02G143500.v8.1 transcript:Manes.02G143500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGVSSSHLIVSEDKSNSFYPMYFGVSCAFFALKVLSGPHQEEEKWSELCEKMLQGSAHLLGLLVWRIQRGGANSEQNKLLHKLEAAEKEIKELKQIRHEDAKANEKVVGIFASQEQRWLIERKKLRQHAGALMDELRVLQKMKEAAISEINDKLKEMELLVQDKDKALEEKEQKRKELEDKLMKAENVSEELRETAKREAQDYSTDLWKHKTAFLELVSNHRQLEAKLGRALRQLEAKRKEINLALERKEESVLLTQKLSTELVKMRKDLEQKDKILSAMLRKSKVDTIERQMLLKEATLSKAKRKQAECETESWRAVPESKHERHSLRSMFAHQANSRSDHPSIGRGASQIRKGISQPADFILECKNSEFQKGAEVFTPFSESDSPEINDELAITTDIKQLEVWVRSEAEKYAATIEKRHHLEMDAFAEQMRLKDEKLEAFHWRMLSMKIETKRQQSHVEGLTQELSQIRHENMKLEALSLERQEELKALKEQFFKVKPHISCKTAVHPSVAGPTLAHDASNVKIVKGEPAVGEHETKADLMEMSKEQDAKKEREVTSNNQSENVTFLAQSPEKEFEEENVSNEASMKDKSASPVEVDTVQKSPLVSQALENTTKSPWRMDLQALGVSYKIKRLKQQLLVLERLTGKLEIGEQTEDNDIIQNEIKGFQLLLSLLNKQISRYQSLQGKTDELCRRMHDNDIDKNGDSSSLRTKGGTNTFGHFLEETFQLQRYIVATGQKLMEVQSKIASGTAEVPEDFDKSVHFDMQRFTASIRTLSQEVQRGLEVRIARIIGDLEGTLACEGMTRMRS >Manes.15G125900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10049612:10052203:-1 gene:Manes.15G125900.v8.1 transcript:Manes.15G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGCLRAFSSPELVPSSPVKTIQPEDYSLEGIATNVKLLLKIIQEHNGASTNDDRKAQRIAGMITILDDVKSRIQKSQTAKKRLAELRRCNTELRPSGTPRDRKSQEPVTGDDNQKLRKQLTASLSARKSLEVMCSSLGKEKEIMAGELARKVHELDGMEELVGDLKAQNGTLLTKLRSNFAEKKSSVGDVQVNAALQDRNKTLSEQLLKSLDSYRYLKRKYKNAKEENSEIRKTIEEIGVDVCAGLERIRSFRQRKASSKDQIANMEEEISAVEQMFERFNMKISKHEEKNIECSKPKAEINASKPPVLA >Manes.12G022900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1957139:1964117:-1 gene:Manes.12G022900.v8.1 transcript:Manes.12G022900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTCLSKGNGFHFPPCYVLHVNGYRVLLDCPLDLSALTIFSPVPADFCPLVLDESSNCSVHESLDMGSESAKRHKIEKPLDAKDLIYAEPWYKTLKNLHIWDPSFFDIVLISSTMGMLGLPFLTRSKGFSAKIYATEATARLGQLMLEDLVSMQMELRHFYGSEESDSPQWMKWEELELLPSPLREVAVGQDGSELGGWMPLYSSVDVKDCLQKIQKLKYAEATCHNGALVLKAFSSGLEIGSCNWTVESPEGSISCLSSSIFVSAHAMDFDYHALQGTDMILYSDFTSEDVIEDVEHHDNYSASATNSLSTLSDEHGWRELNECLSSNDESIEESKKLAFICSCVVDSVKAGGSVLIPLNQLGIVLQLLEQIPNFLESSAVKVPMYVISSVAAELLAFTNRIPEWLSKLRQEKLFSGEPLFSHIELIKGKKLHVFPAIHSPNLIANWQEPCIIFAPHWTLRLGPIVHLLRRWCGDQNSLLVLQDGLDVDMALLPFKPIAMKVLHCSFLSGMRMRKAQLLLKMLQPKIVVFPEVLKQQINVSKSSSHSFSILYYKENETIDIRSLKDNSVLEIATGLATRFRWRKLVQNNMDVTRLEGQLLIDESKYWLVSGNKVSDTSQNRPLLYCGLLDMGKLLTILSKMGINGSIEQGMNAAESESVGIIKIHDPNKAMIEVKATSTVISASDENLAARIFEAITSLLDGI >Manes.03G044400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3875045:3880327:-1 gene:Manes.03G044400.v8.1 transcript:Manes.03G044400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVITRVHSIRERLDETLSAHRNEIVALLSRIEGKGKGILQHHQIIAEFEAIPEENRKKLLDGAFGEVLRSAQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELRVAEYLHFKEELVDGSVNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLEFLKVHCHKGKNMMLNDRIHNLNSLQYVLRKAEEYLTALSPDTPYSQFEHRFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLQRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVFGTEHSDILRVPFRNEKGIVRKWISRFEVWPYLETYTEDVATEIGKELQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFAYTEEKRRLTSFHPEIEELLYSPVENEEHLCVLKDRNKPIIFTMARLDRVKNLSGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEQAEMKKMHSLIEKYNLNGQFRWISSQMNRVRNGELYRCICDTKGVFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAELLVEFFEKCKADPPHWDKISQGAMQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSKLDRRESRRYLEMFYALKYRKLAESVPLTVED >Manes.03G044400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3875065:3881657:-1 gene:Manes.03G044400.v8.1 transcript:Manes.03G044400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVITRVHSIRERLDETLSAHRNEIVALLSRIEGKGKGILQHHQIIAEFEAIPEENRKKLLDGAFGEVLRSAQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELRVAEYLHFKEELVDGSVNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLEFLKVHCHKGKNMMLNDRIHNLNSLQYVLRKAEEYLTALSPDTPYSQFEHRFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLQRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVFGTEHSDILRVPFRNEKGIVRKWISRFEVWPYLETYTEDVATEIGKELQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFAYTEEKRRLTSFHPEIEELLYSPVENEEHLCVLKDRNKPIIFTMARLDRVKNLSGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEQAEMKKMHSLIEKYNLNGQFRWISSQMNRVRNGELYRCICDTKGVFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAELLVEFFEKCKADPPHWDKISQGAMQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSKLDRRESRRYLEMFYALKYRKLAESVPLTVED >Manes.02G178300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14079593:14088325:1 gene:Manes.02G178300.v8.1 transcript:Manes.02G178300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSRDLIPRFHRLLTLGTKTTSVAAKPTTFYLLRMFSTDSLMDGFEIENQGARIIEAKPSIMTPSSKRTGVIALKCGMTAIWDKWGQRIPVTVLRVDDNIVTQVKTVEKEGHFALQIGYGSKKAKHLTKPEMGHFRAQNVPLKRKLREFRVTEDALIPVGTSIGVRHFVPGQFIDVTGITRGKGFQGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGI >Manes.02G178300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14079593:14088325:1 gene:Manes.02G178300.v8.1 transcript:Manes.02G178300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSRDLIPRFHRLLTLGTKTTSVAAKPTTFYLLRMFSTDSLMDGFEIENQGARIIEAKPSIMTPSSKRTGVIALKCGMTAIWDKWGQRIPVTVLRVDDNIVTQVKTVEKEGHFALQIGYGSKKAKHLTKPEMGHFRAQNVPLKRKLREFRVTEDALIPVGTSIGVRHFVPGQFIDVTGITRGKGFQGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGI >Manes.02G178300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14079593:14088325:1 gene:Manes.02G178300.v8.1 transcript:Manes.02G178300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSRDLIPRFHRLLTLGTKTTSVAAKPTTFYLLRMFSTDSLMDGFEIENQGARIIEAKPSIMTPSSKRTGVIALKCGMTAIWDKWGQRIPVTVLRVDDNIVTQVKTVEKEGHFALQIGYGSKKAKHLTKPEMGHFRAQNVPLKRKLREFRVTEDALIPVGTSIGVRHFVPGQFIDVTGITRGKGFQGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGDQATVKNVWVYKIHPARNLIWVRGQVPGAEGNFVFLKDAVYKKPDISSLPFPTHFATEDEDTSEPVIAELGDVDPFMAAD >Manes.02G178300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14079593:14088325:1 gene:Manes.02G178300.v8.1 transcript:Manes.02G178300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSRDLIPRFHRLLTLGTKTTSVAAKPTTFYLLRMFSTDSLMDGFEIENQGARIIEAKPSIMTPSSKRTGVIALKCGMTAIWDKWGQRIPVTVLRVDDNIVTQVKTVEKEGHFALQIGYGSKKAKHLTKPEMGHFRAQNVPLKRKLREFRVTEDALIPVGTSIGVRHFVPGQFIDVTGITRGKGFQGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGDQATVKNVWVYKIHPARNLIWVRGQVPGAEGNFVFLKDAVYKKPDISSLPFPTHFATEDEDTSEPVIAELGDVDPFMAAD >Manes.01G229701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39443868:39445638:-1 gene:Manes.01G229701.v8.1 transcript:Manes.01G229701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRTVLFIWMLLSVTMSVCKALDCQLNESNQYKVAYTIFVDKSGHGNFTKIQSAIDSVPENNTQWIRIRISAGKYKEKVVIPLKKPCIFLEGSGSHHTSVEFDDHQDLPTSAIFISYSDNIVAKGITFKNTYNLQIREDKIIWIRAPAVRIRGDKTAFYHCAFFGIQDTFFDDKGRHYFKKCYIEGAMDFIYGAAQSIYDECVISLNVEKYRPGKPGCITAQKKEWAEEHSGFVFKNCKITGIGKACLGRAWGPYSTVIIYNSTITDVVVPQGWSAWDFVGHEANFTYVETNNRGRGADTANRVPWLQKLDDFQLSKFVTMSFIDEDGWIAKLPTVS >Manes.16G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4381835:4384019:-1 gene:Manes.16G035500.v8.1 transcript:Manes.16G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTSSTSILGSRPFSSSIAKPPNASLSLTTGQGCGKKYYGGIGIQDQKGRSHFHVTVTNVATDVSSVGEKLAAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVFNDPYNSDPEYPESMGFEVRESIMKGEKLQVVLTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVKDAELRVKIVEERARFDKNPKKFRNSYKAEQETLQQQIASARTYLSSVQIVHDLKLKISKVCAELNVDGLRGNIVINRAAKALASLKGRDKVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Manes.10G054200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6649195:6653045:1 gene:Manes.10G054200.v8.1 transcript:Manes.10G054200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLEIMSLFIHVILVSYMTCQNLTAIAASSLGGNETDRTALLSFKDRITDDPLHIMSSWNNSVHFCDWFGITCGRRHRRVTVLDLQDKKLVGSISPLLGNLSFLKTLSLLNNGFTGQIPEELGNLFRLQVLSLENNSFTGEIPSNISHCTNILYFGLGGNKLVGSIPMELESLFKLQQLVIPENNLTGRLPHFLGNFSSLEIVLAGANMFAGTIPDSLGRLPKLRILAVGVNMLSGTIPSSIYNLSSMAIFSVPENQLQGSLPSDLGFTLPNLRRLNLFSNKFNGHIPASLSNAKELSAIIIGKNNFHGKVPQLGRLLNLYWLGIGENNLGSGIEDDDGLSFLSYLTNCTNLRRLGLNDNDFIGMLPNSIGNLSDQLSFLTLGRNRIFGNIPDGLAELVNLENLGMESNELTGIIPEKIGKLHKLQVLDLSGNKLTGNIPSSLGNLSMIGELFLGQNQLIGNIPLSLSKCRRLSMLYIDQTNISGMIPQELFNSLPSLTFVDLSDNNLNASLPSQFTMVNLVSFDISNNMISCNIPSSLSSCTSLERLRMEGNFFQGTVPSLMPLRGLEDIDLSRNNLSGLIPSYLENFMFLRNLNLSFNNFEGEVPTTGVFKDASAISLAGNHMLCGGIPELRLPACINNSKKHSFPVILKVAIAVSCGLAAIALLLWFLAFWLKKSNKELPAGSSLNEDSLQRVSYGSIFKATDGFSSSNLIGSGSFGCVYKARFDQEETTVAVKVLNLQRQGAFKSFIAECEALRNIRHRNLVKVLTACSTVDFQGNDFKALVYEFMVNGSLEQWLHPSKRTTDETCIEIRSLTILERIDIAIDVASALDYLHHGCHKPIVHCDIKPSNVLLDSSMTAHVGDFGLVRFLGEDSETSSLAVKGSIGYAAPEYGLGSQVSEYGDLYSYGILLLEMFTGKRPTDNMPDDSRDLHNCVRMALVNGVMEIVDLQIFSGGEKEEAFLQYIQESIASILSIGLACSVDSPSARMGINEVVTKLKKIREAIPYRERLKKLQN >Manes.10G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6649195:6653503:1 gene:Manes.10G054200.v8.1 transcript:Manes.10G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLEIMSLFIHVILVSYMTCQNLTAIAASSLGGNETDRTALLSFKDRITDDPLHIMSSWNNSVHFCDWFGITCGRRHRRVTVLDLQDKKLVGSISPLLGNLSFLKTLSLLNNGFTGQIPEELGNLFRLQVLSLENNSFTGEIPSNISHCTNILYFGLGGNKLVGSIPMELESLFKLQQLVIPENNLTGRLPHFLGNFSSLEIVLAGANMFAGTIPDSLGRLPKLRILAVGVNMLSGTIPSSIYNLSSMAIFSVPENQLQGSLPSDLGFTLPNLRRLNLFSNKFNGHIPASLSNAKELSAIIIGKNNFHGKVPQLGRLLNLYWLGIGENNLGSGIEDDDGLSFLSYLTNCTNLRRLGLNDNDFIGMLPNSIGNLSDQLSFLTLGRNRIFGNIPDGLAELVNLENLGMESNELTGIIPEKIGKLHKLQVLDLSGNKLTGNIPSSLGNLSMIGELFLGQNQLIGNIPLSLSKCRRLSMLYIDQTNISGMIPQELFNSLPSLTFVDLSDNNLNASLPSQFTMVNLVSFDISNNMISCNIPSSLSSCTSLERLRMEGNFFQGTVPSLMPLRGLEDIDLSRNNLSGLIPSYLENFMFLRNLNLSFNNFEGEVPTTGVFKDASAISLAGNHMLCGGIPELRLPACINNSKKHSFPVILKVAIAVSCGLAAIALLLWFLAFWLKKSNKELPAGSSLNEDSLQRVSYGSIFKATDGFSSSNLIGSGSFGCVYKARFDQEETTVAVKVLNLQRQGAFKSFIAECEALRNIRHRNLVKVLTACSTVDFQGNDFKALVYEFMVNGSLEQWLHPSKRTTDETCIEIRSLTILERIDIAIDVASALDYLHHGCHKPIVHCDIKPSNVLLDSSMTAHVGDFGLVRFLGEDSETSSLAVKGSIGYAAPEYGLGSQVSEYGDLYSYGILLLEMFTGKRPTDNMPDDSRDLHNCVRMALVNGVMEIVDLQIFSGGEKEEAFLQYIQESIASILSIGLACSVDSPSARMGINEVVTKLKKIREAIPYRERLKKLQN >Manes.09G025800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5277833:5292354:1 gene:Manes.09G025800.v8.1 transcript:Manes.09G025800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLEVIKAVLLDAEQQLSLNPRIEIWLEKLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFRNERREGIRSETFIKTCCSKFQYLRVLDLTCSSFEELPASIRNLKHLKYLSLWQSDIQRLRNSICKLQSLQTLLLYQCWYLQELPKDVRCMINLKFLWITTRQKYFPTGGIGCLKSLRFLFITGCSNLQYLFEDMLGLKKLRRLVISGCESLISLPQSIKCLTTLDTLCIGRCENLELRMEEGEETQFSLQRLQLRSLPKIVDFPEWLIRGSTNSLKVLEVTQCNNLIELPNCLQNMASHPEVRIIDCRELNNDPLRKAEEAGPSTSLS >Manes.09G025800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5277831:5287630:1 gene:Manes.09G025800.v8.1 transcript:Manes.09G025800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEYYGNVRCKMHDLIHDLALSITQNECSKVLDNTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFRNERREGIRSETFIKTCCSKFQYLRVLDLTCSSFEELPASIRNLKHLKYLSLWQSDIQRLRNSICKLQSLQTLLLYQCWYLQELPKDVRCMINLKFLWITTRQKYFPTGGIGCLKSLRFLFITGCSNLQYLFEDMLGLKKLRRLVISGCESLISLPQSIKCLTTLDTLCIGRCENLELRMEEGEETQFSLQRLQLRSLPKIVDFPEWLIRGSTNSLKVLEVTQCNNLIELPNCLQNMASHPEVRIIDCRELNNDPLRKAEEAGPSTSLNSAFDLAFGHF >Manes.12G069600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7403282:7407808:1 gene:Manes.12G069600.v8.1 transcript:Manes.12G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSTATITPQPSLPPPPPSPDKPPQTFLDLITGVLSLLLVSSLSVRSFIGRWQVIRTKLSSLQSSLYLLSDSPHWSQNPLLHTLLPSLLSTLQRLHSLSHQCALSSFPGGKLLFQSDLDMASSSLSNHLHDLDLLLKSGVLHQSNAIVLSHPGPNSDKDELAFFVRDLFTRLQIGGVEFKKKALDSLIQILNDDEKSASLVAKEGKVGCLISLLDSHNQRVIQEQAVLAVSILAAGSDEARKTVFEEGGLGPLLRILDTGSMLLKEKAAIAIEAITADPENGWAISAYGGVSLLIEACRSGSEATQTHAVGAIRNVAAVEDIKMALAEEGAVPVLVQLLVSGTSAAQEKAAHCVAILASSGEYFRMLIIQEKGLQRLMDLIQSLPNSDTVEHVMRAISSLSVSDSTARILSSSTLFVIRLSEFTKQGSLLLQQISVSLLAGLSISDGNKRAISGCIASLVKLMEMPKPAGIQEAATAALVSLLTVRSNRKELMRDEKSLMKLVQMLDPKHELVAKKFPVMVVAAVVSGGSGECRKRLLDAGAYQHLQRLAEMEVAGAKKAMQRLAGNKLKNIFSRTWRE >Manes.11G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27836567:27837922:1 gene:Manes.11G119600.v8.1 transcript:Manes.11G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHGFRFNPTDQELIQILDQKASAQEMPLHFIVHANLYEHEPQDLEWNHSATLGNEERYYYCKRENDSREVAGRGWWKATSHVKKVNVNENLVGHKRPLTFHRYRDQERNRSNAIKTNWIMHEYSLESKTTEWRLCKIKYKGKPSIQEELENIRQRYSSRIDSEASGSSMNIQLGSDREQENPSPSVSSTMAPLQNGHYWNMQQLSPPPNGYGDLYTSYYFNEQLELSEQQFSSLWSWQN >Manes.03G212875.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32997384:32997830:-1 gene:Manes.03G212875.v8.1 transcript:Manes.03G212875.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAVVCRKIPAYKVDPIALPTGFYNSIARNNLANQHVDERGGGQKKFESKKIGPFRIIQKTNDNTYVLDFPDYIKIFKTFNMADLFQYYHADRQLEVEFFTSVRE >Manes.02G081800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6407374:6410056:1 gene:Manes.02G081800.v8.1 transcript:Manes.02G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGPVRAACPQRVLACLLEKDVEFEIVQLDLDSGEQKQPHFLLKQPFGQVPVVEEGDFQLFESRAIIRYYASKYADRGSNLLGNTFEERAVVDQWLEVEAHNFNDLVYNLVFQLVILPRMGQHGDLKLARSCEQKLEKVLDVYEQRLSKSKYLAGESFTLADLSHLPGIRYLVNEAGMYHLVKEREHVNAWWQDISSRPAWKKLMELAGF >Manes.07G083700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26238770:26242245:1 gene:Manes.07G083700.v8.1 transcript:Manes.07G083700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLAWKSIFLIKFAGRSISRISSNGSCMANYRHSFFCNSPNTTTITSGRPQFPRCCFTHRAASSEIGSKYLELTDEELMKQCEMDTFKSSGPGGQHRNKRESAVRLKHLPTGIISQAVEDRSQHKNRASALKRLRTLLALKVRNALDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSISEAAKFLG >Manes.07G083700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26238757:26247465:1 gene:Manes.07G083700.v8.1 transcript:Manes.07G083700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLAWKSIFLIKFAGRSISRISSNGSCMANYRHSFFCNSPNTTTITSGRPQFPRCCFTHRAASSEIGSKYLELTDEELMKQCEMDTFKSSGPGGQHRNKRESAVRLKHLPTGIISQAVEDRSQHKNRASALKRLRTLLALKVRNALDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSISEAAKFLGLNTGALSRLILSDDSLRMAVNELRTSKGLKPLK >Manes.07G083700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26238767:26247763:1 gene:Manes.07G083700.v8.1 transcript:Manes.07G083700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLAWKSIFLIKFAGRSISRISSNGSCMANYRHSFFCNSPNTTTITSGRPQFPRCCFTHRAASSEIGSKYLELTDEELMKQCEMDTFKSSGPGGQHRNKRESAVRLKHLPTGIISQAVEDRSQHKNRASALKRLRTLLALKVRNALDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSISEAAKFLGLNTGALSRLILSDDSLRMAVNELRTSKNLVSRIGQ >Manes.07G083700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26238768:26247451:1 gene:Manes.07G083700.v8.1 transcript:Manes.07G083700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLAWKSIFLIKFAGRSISRISSNGSCMANYRHSFFCNSPNTTTITSGRPQFPRCCFTHRAASSEIGSKYLELTDEELMKQCEMDTFKSSGPGGQHRNKRESAVRLKHLPTGIISQAVEDRSQHKNRASALKRLRTLLALKVRNALDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSISEAAKFLGV >Manes.07G083700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26238768:26239800:1 gene:Manes.07G083700.v8.1 transcript:Manes.07G083700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLAWKSIFLIKFAGRSISRISSNGSCMANYRHSFFCNSPNTTTITSGRPQFPRCCFTHRAASSEIGSKYLELTDEELMKQCEMDTFKSSGPGGQHRNKRESAVRLKHLPTGIISQAVEDRSQHKNRASALKRLRTLLALKVRNALDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILVLGNASVAGLNLCC >Manes.07G083700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26238771:26243668:1 gene:Manes.07G083700.v8.1 transcript:Manes.07G083700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLAWKSIFLIKFAGRSISRISSNGSCMANYRHSFFCNSPNTTTITSGRPQFPRCCFTHRAASSEIGSKYLELTDEELMKQCEMDTFKSSGPGGQHRNKRESAVRLKHLPTGIISQAVEDRSQHKNRASALKRLRTLLALKVRNALDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSISEAAKFLGLNTGALSRLILSDDSLRMAVNELRTSKVVMLMVYDMYHCNGYIMCCYYLVSV >Manes.07G083700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26238868:26239804:1 gene:Manes.07G083700.v8.1 transcript:Manes.07G083700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLAWKSIFLIKFAGRSISRISSNGSCMANYRHSFFCNSPNTTTITSGRPQFPRCCFTHRAASSEIGSKYLELTDEELMKQCEMDTFKSSGPGGQHRNKRESAVRLKHLPTGIISQAVEDRSQHKNRASALKRLRTLLALKVRNALDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILGMQALLDLIFAVEGSISEAAKFLG >Manes.07G083700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26238757:26243668:1 gene:Manes.07G083700.v8.1 transcript:Manes.07G083700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAQLAWKSIFLIKFAGRSISRISSNGSCMANYRHSFFCNSPNTTTITSGRPQFPRCCFTHRAASSEIGSKYLELTDEELMKQCEMDTFKSSGPGGQHRNKRESAVRLKHLPTGIISQAVEDRSQHKNRASALKRLRTLLALKVRNALDLDAYSPPHELLQILPPKSTIRGSDCGPQIGPNNPKFILVLGNASVAGLNLCC >Manes.11G158301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32418431:32421358:1 gene:Manes.11G158301.v8.1 transcript:Manes.11G158301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKERIYAAIAFCNLSENEQKKEIQKLIETNELLGRVTIDQTLLQIESKYRVTIFIRLLSQLSPLSAYPASFLVDYFSRGGQLMPHHVQSNQLLEFRDFEPTPSSPDEELSDPTESSNRMKRAALWEESEPSNSGNKKILKAGPRVSVKERGTKGGVKYVPQNRPLLQHHEKQKAGASDQHVIIVPDDEHKHWLRSVEESIKEQVPKLLNKTAGKASCSIFRVPKSLVKIHPEAFQPQIVSIGPYHHGAKHLEMIQQHKRRFLGAVLARTQAFGVGLDDFYKIIAIDEKKIRECYSESTDAYNSRQLIEMLILDGLFIIELLCRVGKLFHDDPDDPIFKSQWIYYSISRDLLRLENQIPFFVLRNLFERSIPADSRKGLSLTELVLSFFQHSMPEHHRKVQERFKDNLEWKHVLDFLRSTFIPSSQEEASKSEDLRLVQPVEKLLASGIKFEQSSTASESFLDIKFKPRGVLEIPRLVTDDFISSFLLNCVAFEQCFKLHSTHFTSYVIFMGCLINTASDAGYLRDHGIIENYFGTDDEVVKYFNEVGKDVLFSVKRSYLAKVFEEVNKYYKNTWHVRWAEFKYTYFGSPWIFISALAAFILLLLTILCRLWLLQSSLIKLPILLVSIRSICIWRW >Manes.08G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39069071:39070135:1 gene:Manes.08G152000.v8.1 transcript:Manes.08G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEASFIFFLLVLPLVMADLRVGFYQSTCPQAESIVSQVVKYRFNTDPSLPAALLRMHFHDCFVTGCDASILIDSTKQKESEKDAQPNHTVRGYELIDAIKEAVEAACPSMVSCADIITLATRDAVALAGGPNYTVPTGRRDGLVSNIEHVKLPGPTFTVSEAFQVFKAKGLNFADMVTLLGAHTVGVAHCSFFEDRVSNFQGTGSPDPTMDSNLAANLSKICGASNTDPAVFLDQSTGFVFDNEYYKHLLLKRGILQIDQELAIDRTSIGIVSSFATNGIGFKQSFANAMVKLGSTQVIVGNAGEVRTNCRVFNVQK >Manes.12G023200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1996145:1999211:1 gene:Manes.12G023200.v8.1 transcript:Manes.12G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT2 MTSFYCLSSTRNTVTPETVLCNSYLCLSRNRWHRESNFSKTYQLPSKPFLPILRLDNSKLAYPFASISSYAEAGGEEEQKEGIQIEKHQETVKNHENDLPGMAQAFHISSSTASAISIFIAFAALTLPLFMKSLGQGLGLKTKLLSYTTLLFGFYMAWNIGANDVANAMGTSVGSGALSLRQAVVTAAILEFAGALLMGTHVTNTMQKGILVANVFNGKDTLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGAGAVFWSSLARVTSSWVISPIMGAIVSFLVYKCIRRFVYSARNPGQAAAAAAPIAVFVGVTGISFAAFPLSKIFPLALAQALACGAAGAFLVSRIIHKQLGHLLVKANSTQPEPQESSSTTHNKTIGFLSDVAGPKGTQLELVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSIIHGGASGTEIVIPTDVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVASWLVTIPAGALFAVIYTWIMTKLLSPML >Manes.16G024300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2611874:2614681:-1 gene:Manes.16G024300.v8.1 transcript:Manes.16G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQNVGSKNRVKSKEEGAEEPAFMEGNKENEDGRETELVMLREKKRKKEKGEQLEADEGREMKRLENFLFGSLYSPIEFGKNKEEKAHDEAGDGSALFFVDRSANSVQSVYEDDMGFTERSDVEEENKQRQAAWVDDDEENNTVNIATTNRLRKLRKEEDESLISGREYVARLRAQHAKLNPRTEWAQLDFQSKDYQYESSDEENSIVTARGYEHVEGFDDILRTNEDLVVKSRTKLLPGLLEYSRLVDANAQDASNGSINSVQFHRNAQLLLAAGLDRRLRFFQIDGKRNTKIQSIFIDDCPIRKASFLPDGSQVIISGRRKFFYSFDLVKAKVDKIGPLVGREEKSLEVFEVSPDSCTIAFVGNEGYILLVSSKTKELIGTLKMNGTARALAFADDGHQLLSHGGDGQVYHWDLRTRMCIHKAVDEGCINGTALCTSPNGSFFAAGSDSGIVNVYNREEFLGGKRKPIKAIENLTTKVDFLKFNNDAQILAICSHMKKSSLKLIHVPSFTTFSNWPPANRSLHYPRCLDFSPGGGFMAVGNAAGKVLLYKLHHYHHA >Manes.05G022700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2025752:2029468:-1 gene:Manes.05G022700.v8.1 transcript:Manes.05G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVALSPIHSGSVLPSDYVNAQPLPCSDEYIFIYLSVGGSKIPMRVLESDSIEFVKLRIQSCKGFVVKNQKLVCGGRELSRSNSLVRDYGVTDGNVLHLVLRLSDLQVVKVKTASKNEYTFCVERGRDVAYVKRQVAKKERVFDDLDEQEVVCDGEPLEDQRLIDDICKCKNDAVIHFLVRKSAKVRTRPVEKNLELSIVAPQMNDEENARRKYDVGERNDGKSYGVDRRVVPTKPPHKDFLLEPIIVNPNIQLPSVIWNMVHATFDGLEGGNSPIRSMEGTGGAYLMQDSSGQKFVSVFKPIDEEPMAMNNPRGLPLSSDGEGLKKGTRVGEGAFREVAAYILDHPESGRRSLSGNEKGFAGVPPTVMIKCFHKGFNHPEDVNVKIGSLQMFMENNGSCEDMGPGAFPVKEVHKICVFDIRTANADRHAGNILLSKDAVDGQTVLIPIDHGYCLPESFEDCTFDWLYWPQARQPFDSSTVDYITSLDAEEDISLLKFHGWDMPVQCARTLRISTMLLKKGVERGLTPFAIGSMMCRQTLKKASVIEELVEEAQDSVLPGTGEDAFLETVSHIMDHYLDEIAGSLP >Manes.16G133600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33394040:33399198:1 gene:Manes.16G133600.v8.1 transcript:Manes.16G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPSKPAALSLSLVWFLWLTEMNMMMMMAQSQNTTISVNVGVVLDLENPEANKWLSCINMALSDFYAANSNYQTRLVLHTRDSMRDVVGAAAAALDLIKNVQVQAILGPNTSMQASFVIDLGEKTQVPIISYSASSPSLTSIRSPYFFRATQNDSTQVNAISAIVQAFGWREAVPVFVDNEYGKGIIPYLTDALQAIDTRVPYRSAISPAATDDEISEELYKLMTMQTRVFIVHMTPSLGSRFFIKASEVGMLSEGYVWIISDGMISSIYPQVTDSMQGVLGIRPYVPKTQALEDFRARWKRKFQQVDGEINIYGLWAYDAATALAMATEKAGIANFGFQKANVSNNSTDLSTLGFSRNGQSLLEALSNTRFRGLTGDFHFVNGQLPASAFQIVNVIGEGARELGFWTPRKGLVKKLNSLTNTNLYSTSKSNLASVIWPGDSTSVPKGWEIPTNGKKLRVLVQMEDGFNEFVKVARDSSTNTTKVTGYCIDIFDAVVNALPYAVTYDYIPFAKPDGERAGTYNDMVYQLYLGNFDAVVGDTTIIANRSLYADFTLPYTESGVSMIVPTRDKNSKNAWVFLKPLTWDLWVTSFCFFVFIGFVVWVLEHRINEDFRGPPSHQVGTSFWFSFSTMVFAHRERVVSNLARTVVIIWCFVVLILTQSYTASLTSLLTVQQLMPTVTDVNQLIKTGLYVGYQEGSFVLGILKQLGFDESKIKVYNSTEELDELFNKGSGNGGIAAAFDEVPYIKLFLTKYCSKYTTVEPTFKTGGFGFAFPRGSPLVPDVSRAILNVTEGDKMKRIEQKWFGKQGICPETSTSVSSNSLSLQSFCGLFLIAGVASVSALAIFTAMFVYEHRQALIPSDSIASIWSRILYLFRIFDQKDLKSHTFRKSEVSEIQLTLPPSMGAPSPSIQSVHSDFPIEQRTSSAEYGDPSSSEQAPQEVVISIEVAKTNQETPVAIERTRDN >Manes.16G133600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33395727:33399484:1 gene:Manes.16G133600.v8.1 transcript:Manes.16G133600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASFVIDLGEKTQVPIISYSASSPSLTSIRSPYFFRATQNDSTQVNAISAIVQAFGWREAVPVFVDNEYGKGIIPYLTDALQAIDTRVPYRSAISPAATDDEISEELYKLMTMQTRVFIVHMTPSLGSRFFIKASEVGMLSEGYVWIISDGMISSIYPQVTDSMQGVLGIRPYVPKTQALEDFRARWKRKFQQVDGEINIYGLWAYDAATALAMATEKAGIANFGFQKANVSNNSTDLSTLGFSRNGQSLLEALSNTRFRGLTGDFHFVNGQLPASAFQIVNVIGEGARELGFWTPRKGLVKKLNSLTNTNLYSTSKSNLASVIWPGDSTSVPKGWEIPTNGKKLRVLVQMEDGFNEFVKVARDSSTNTTKVTGYCIDIFDAVVNALPYAVTYDYIPFAKPDGERAGTYNDMVYQLYLGNFDAVVGDTTIIANRSLYADFTLPYTESGVSMIVPTRDKNSKNAWVFLKPLTWDLWVTSFCFFVFIGFVVWVLEHRINEDFRGPPSHQVGTSFWFSFSTMVFAHRERVVSNLARTVVIIWCFVVLILTQSYTASLTSLLTVQQLMPTVTDVNQLIKTGLYVGYQEGSFVLGILKQLGFDESKIKVYNSTEELDELFNKGSGNGGIAAAFDEVPYIKLFLTKYCSKYTTVEPTFKTGGFGFAFPRGSPLVPDVSRAILNVTEGDKMKRIEQKWFGKQGICPETSTSVSSNSLSLQSFCGLFLIAGVASVSALAIFTAMFVYEHRQALIPSDSIASIWSRILYLFRIFDQKDLKSHTFRKSEVSEIQLTLPPSMGAPSPSIQSVHSDFPIEQRTSSAEYGDPSSSEQAPQEVVISIEVAKTNQETPVAIERTRDN >Manes.08G076545.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:17342033:17346755:1 gene:Manes.08G076545.v8.1 transcript:Manes.08G076545.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASAAISNSFTPSIRRALTSVNFFSSLSSLSSTTISFPTRQSHYPIISFQNPSFRLMVTSALNIETSDKVSPSSFLDHREIGILHFVKYQGLGNDFILVDNRDSTEPKITPEQAVRLCDRNFGIGADGVIFAMPGTNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGKHSFTIHTGAGLIIPEIQEDGKVRVDMGEPILKASDVPTKLTANKDDAVVHSELNVDGVTWHVTCVSMGNPHCVTFGNKESKDLQVDELNLLEIGPKFEHHDVFPARTNTEFVQVYSDSHLKMRVWERGAGATLACGTGACATVVAAVLEGRAGRKCRVDLPGGPLEIEWREEDNHVYMTGPAEVVFYGSLSL >Manes.15G055500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4259084:4265999:1 gene:Manes.15G055500.v8.1 transcript:Manes.15G055500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDKQPSQSSSDELLKTLGDFTSKENWDKFFTIRGTDDSFEWYAEWTELRQPLLALLDGAPPTGNHDTSLHPNSPLQILVPGCGNSRLSEHLYDAGFKDITNIDFSKVVISDMLRRNVRERPGMRWRVMDMTGMQFADETFDAVLDKGGLDALMEPELGPKLGTQYLSEVQRVLKSGGKFICLTLAESHVLGLLFSKFRFGWRMSVQAIPHKPSAKPSLRTFMVIAEKDISTNLLPITSSFDHCSLDCSGSQAAGLHEAVENENQIRREYSSGSDILYSLEDLQLGAKGDLTKLSQGRRFQLTLGGQGGSRFTYRAVLLDAKDNSVPFSYHFGVFIVPKTRAHEWLFSSEEGQWLVIESSKAARLIMVILDSSHANISMDDIQKDLSLLVKQLAPGKDDNGSQIPFMMAGDGIKQRHILLKVTSSLTGTIIVEDVVYENVDGDVSRLFPSKDLIFRRLIFQRTEGLVQSEALLTRDESSPKAVEMERKKPSSSKSKRRGYQKRNGEPSNRLKVYHDYLASSYHTGIISGFMLISSYLESVVSAGNMVNAVVVGLGAGLFPMFLHGCMPFLQIEVVELDPVILNIARDYFGFDEDKYLKVHIADGIKFVREFNNHAPSDRILHQHEDTSGISKSSSKGSCIISHADGNMSPGLDILVIDVDSSDSSSGMTCPAADFVEESFLLTVKDSLSEQGLFVVNLVSRSSAIKDMVIERMKTVFSHLFSLQLEKDVNIVLFGLCSEFCVQEDSFPEAALQLEKLLKFKHPEISKSIIEPSKKIKCLK >Manes.06G154900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28180938:28183886:-1 gene:Manes.06G154900.v8.1 transcript:Manes.06G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLELPLADWCCFLPFGLIVFVMIITHMWSFFVFVLLVDPTLPALGFNLGVGEGHIQCRDSERQALLNFKQGLVDNDDRLSSWGSEEGKRNCCQWTGIQCSRQTGHVTMLDLHVSDSELGDNNKPLRGKINHSLLELQHLNYLDLSYNDFQGILLPDFNGSLSKLRYLNFSNVGFTGTLSYQLRNLSSLQSLDLSYNKFTKVEKLDWLSHLSYLETLDLSGNSLGDGSDWARIVTKFPYLTKLQLRSCGIATIIPLSNFHANSSTSLSVLDLSSNYLSSSVFQWVFSLNSSLVHVNISFNQLEGTIPSLFGNFCRLRTLDLAGNKLTGPLPVVLEHLSACAESSLEILKLEMNRLHGSLPDFTGFSSLRELIVFSNQLNGSFPKSFSQNSGLIVLDVGGNQLTGSLPDLTMFPSLRILCIARNRLNGTFTESIGGLSELELLYASHNFFQGEITEAHFSNLSRLQELYFNDNPLALEFNSDWLPPFQLDVIGLMSCKLGPRFPAWLQTQKNFSVLDISDAEISGSVPKWFWNLPPRLFFLNISFNKLSGVVPDLSSKFIGSPGVDLSSNLFEGPLPLLPSNALSLNLAKNKFMGSISPVCKVIGWALNFLDLSDNLLSGVLADDCFRNGQQLIVLNLADNNFSGNIPTSVGSLSMLQTFGLRNNSFSGEIPLSLKNCSRLRFLDLSYNRLSGEIPAWIGESQLQLVFFSLQSNEFHGNIPLQLCRLQNILLLDLSMNNISGAVPNCLKNFTHMSRNQLDNNNNTYVYTFSTSSGEGNGFVGNYVDRALIGWKGRSYTFDKNLPLLRIINLAENKLSGEIPREITSLLAVGGLNLSRNYLTGIIPQEIGQLKQLQWLDLSRNRLSGDIPGSMAELNFLSYADLSYNNLSGRIPTSTQLQSLDASAFAGNVNLCGLPLIRNCPADEERPQVGQKNDDDQDNQEDDDEFRNWLFGGLGCGFFVGFWGVLGFLVQRPSWRLGSGH >Manes.01G029100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5872787:5876780:1 gene:Manes.01G029100.v8.1 transcript:Manes.01G029100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRPFDSEEFQELPFKQARQVDYSNKLTQFANLYKTASQGLDVTYNEGSFVKPQQHDTFENKSVDEEPSFAKDVEFSAPLSLVTSSSSDEDIGSGVAAYSSHSPEHPEFGFPQKLFPFGNAYSSYLDHSPRKQVPLGPNHQASIPMWGRHEKANPSEHDSVDPNRFSSLSGSDLICDDNEEKLMGTCIIPMPDTVSSADCNDEAGHGRTDCSCLDKGSIRCVRQHIVDAQEKLRKSLGPEKLMNLGFYDIGEEVTHNWSEEEQRVFHAVVYSNPASLGQNFWKHLSQVFPSRSTKEIVSYYFNVFMLRRRAAQNRSNFLDIDSDDDELHGINRGPFKVRVSDEDDDSDIESLDQYGHVDHGEDTLLEDDDTDDDDDDDDGDGDVEGNMGDVSGDATGEDSGVDYVSEAHDLNAFDGSRFDAVIEHVDNNAGSGEEDFTFQDDSCMSFELQADKFDSCDPVDAGAALQLSGVKGDDRECMPGNRDEYSDVVDQLYLLDSCDAKAWDARYTVPMKGVDLLPTCNIIEEIFGQGASNE >Manes.15G190275.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28066099:28101170:1 gene:Manes.15G190275.v8.1 transcript:Manes.15G190275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASTSDNQDILLDPQTQIQTPLSQSDPYSHSQPHPVQAQPQPQSQPRRPRGFAATAAAAAAAAAAATSTDPTNTVSVNVSGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWTVEADGTTYRQSPPPSQMASFTVRSVESPVSSASLKNCAVKAAALDCQPSVVRIDESLSPPSLDSVVMTERETRSEKFANASPINSVDCLDADQLIQDVRSGEHDDDFAGTSYVPVYAFLATGFINNFCQLVDPEGVRQELSQMKSLDIDGIVVECWWGIVEAWSPQKYVWSGYRELFNIIREFKLKLQVVMAFHEYGVSDAGEVLISIPQWVLEIGKENQDIFFTDREGRRNTECLSWGIDKERVLKGRTGIEVYFDFMRSFRMEFDDLFGEGIISAIEIGLGASGELKYPSFPERMGWRYPGIGEFQCYDKYLQQSLRKAAKLRGHLFWARGPDNAGQYNSRPHETGFFCERGDYDSYFGRFFLNWYSQTLIDHADNVLSLASLAFEDAKIIVNIPAVYWWYKTSSHAAELTAGYYNPTNHDGYSPVFEVLKKHSVTMKFICSGLQISGHENDEALADPEGLSWQVLNSAWDRGLTIAGVNALSCYDREGHMRVVEMAKPRNDPDHRHFSFFVYQQPSPLVQGTICFSELDYFIKCMHGHISGDLVS >Manes.08G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3206365:3209642:-1 gene:Manes.08G033800.v8.1 transcript:Manes.08G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESATQEKAGPFTSAWSWLKALPGKFKAKVIKVAKSISKLGKDDPRRIIHSIKVGLALTLVSLLYYSRTLYDGFGVAGMWAVLTVVVVFEFTVGGTLSKSLNRGFATFLAGALGVGAQRLASLFGDKGEPIVLGFLVFLLGAASTFTRFFPRIKARYDYGVLIFILTFSLVSVSGVRVAELLEMAHQRLSTIIIGGGACIVVSMCIYPVWAGEDLHNLVVSNIEKLASYLEGFGDEYFHYTSDDEEKDRVSSKDKSFLQGYRSVLNSKSTEDSMANLARWEPRHGRFGFRHPWKQYLKIGAISRQCAYHIEALNSHIKSNIQASEEFKSKIEEPCTKISEESGKALRSLASAIKTMKDPSPANIHVEHSKAAINELKLVVKASSLDQADLLAIVPAATVASTLIEIVKCVDKLSEAVHELANLAHFKPVEATVSPEKPQLLHRGTVNPVLDGDNSSDHIVIIIDENSTVSRENDKPQATKPSQQHPGV >Manes.15G025400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1972710:1976108:-1 gene:Manes.15G025400.v8.1 transcript:Manes.15G025400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSADCRFSLSAAGQLHVAVGLAGRNSRLLQYANGELMGNKIKLSRLHHQRAGSKSIKQQVSMSLATNIAGESKLRDLEMENRDPRTVAAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSQSLNRHLARAYNFGNGVNFGDGYVEVLAATQTPGEEGKSWFQGTADAVRQFHWLFEDARSKTIEDVLILSGDHLYRMDYMEFVQNHRQSGADITISCLPMDDSRASDFGLMKIDSKGRVLSFSEKPKGDDLRAMAVDTTVLGLSKDEAQKKPYIASMGVYVFKKDILLNLLRWRFPTANDFGSEIIPASAKEFYTKAYLFNDYWEDIGTIRSFFEANLALTKHPPRFSFYDAAKPMYTSRRNLPPTKIDNSKLVDSIISHGSFLTNCLIEHSVIGIRSRINSNVHLKDTVMLGADFYETDAEVTALLAEGRVPVGIGENTRIRECIIDKNARIGKNVVIANSEVWFCEKLLLMFQ >Manes.15G025400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1971224:1976108:-1 gene:Manes.15G025400.v8.1 transcript:Manes.15G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSADCRFSLSAAGQLHVAVGLAGRNSRLLQYANGELMGNKIKLSRLHHQRAGSKSIKQQVSMSLATNIAGESKLRDLEMENRDPRTVAAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSQSLNRHLARAYNFGNGVNFGDGYVEVLAATQTPGEEGKSWFQGTADAVRQFHWLFEDARSKTIEDVLILSGDHLYRMDYMEFVQNHRQSGADITISCLPMDDSRASDFGLMKIDSKGRVLSFSEKPKGDDLRAMAVDTTVLGLSKDEAQKKPYIASMGVYVFKKDILLNLLRWRFPTANDFGSEIIPASAKEFYTKAYLFNDYWEDIGTIRSFFEANLALTKHPPRFSFYDAAKPMYTSRRNLPPTKIDNSKLVDSIISHGSFLTNCLIEHSVIGIRSRINSNVHLKDTVMLGADFYETDAEVTALLAEGRVPVGIGENTRIRECIIDKNARIGKNVVIANSEGVQEADRSSQGFYIRSGVTVILKNSIIEDEFVI >Manes.14G158475.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20997854:21000437:-1 gene:Manes.14G158475.v8.1 transcript:Manes.14G158475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFCKKEIQELLVKNLIRPSKSPWSCAAFYVNKNAEIERGAPRLVINYKPLNKALQWIRYPIPNKQDLLNRDSMTDKGKEVEKRISLRSPIIKPCNINLLPSGQHSPRPIYTSISSILQRPMNPISSALVIPTSPRPRLPSFASTNRFSPLQPALISPSTFKQAVTGPPATEPSSSNPLLTQEPTQTEYSYKSINEYILTIEPEYWAQNPNLNIYQLCSTIFPRNHYYIPDNFQKSQQFYETILSNTCSIVMHNNYDPQNPNKLRYCKVRILKVWTLTDWGLEPHKMREMIMTIGQIKQNIKYNYYDYQIAWERTFFKQNEQLSVSFFFFFDDNFSYPLPYWFYQWWNKFGFCETNVPNQIVIAKEQFFEKQQLPENVSIAPSWLIYSHHFHIPWILMIEYQIKDQAIGIFQVPTIVRRFKTKWWTKTNLHGCNNKAIEQFFQDHPQFCKKPSISIITRQETFLARKQQIMSQMAACTSEEEYDQLINELNDVRSSAASPSPISLDNDNDDFFTQAEM >Manes.15G124400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9896267:9897362:1 gene:Manes.15G124400.v8.1 transcript:Manes.15G124400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPDQAFPKKIFFPYLSVFPSFLNTKEMANPRLAKFILEVAPPQYIRVMSRRASKILDTINEEERDIVSPINSLASPRSPTSSATTIAAIAVEAATAAS >Manes.17G014600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6997110:7008351:-1 gene:Manes.17G014600.v8.1 transcript:Manes.17G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSHCSSLFFSSNLNNPVRVSSSKTFASPSFFFSKKAPSKSLHFAFKTPTSDKFTFPSVIPFSSLSEPTPEPDTDPTENPGPDSNPTPVSITDEWGEKTEPEPEYPKDSDVDTPKDDDEWDEECIAAGNGSAAQGTGAVVEKDDRLEDLKRCLVDTVYGTNFGFQASPEVRGEVLELVNQLEAVNPIKAPVDATGILDGKWVLLYTAFSELLPLLAVGTIPLLKVESISQAIDTSNLSIVNSITLSGPFASFSFSASATFEVRTPSRIQVEFKEGSLQPPEIKSSIDLPGNVDLFGQNINLTPVQQSLNPLQEVVSNISRTISGQPPLRVPIPGNQSRSWLLITYLDNDLRISRGDGGLFVLVKEGSPLLDL >Manes.12G082200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11280070:11280273:-1 gene:Manes.12G082200.v8.1 transcript:Manes.12G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVKDKATSNDSRDKPYKDSLISKQMTRFSGNVSLNKADKKRQDCKLKQAEESLRTVIYLSCWGLN >Manes.S053416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1829710:1829832:-1 gene:Manes.S053416.v8.1 transcript:Manes.S053416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.02G155750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12182376:12190965:-1 gene:Manes.02G155750.v8.1 transcript:Manes.02G155750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQMVYILCPIFLHFIALSTSQPELLYHHCLDNLGNYTANSTYQANLNHLLNSIYSNTQIDYGFYNFSYGENTNKVYAIALCRGDTRPDACRDCINYSSSAITTLCPNQKGAIVGFEKCMLRYSGRSIFGLVEVEPSSSVYNLNNVSDVDGFNKSLFSLLDKLRDQAAAGDSRLKYATGEISAPDSQTIYALTQCTPDLSQSDCSYCLYNASAVIPQCCRARRGGTIVLPSCNLRYEINQFYDDPVGEVPSSKGTLGKQRVVIIIIVSAVISVILIVCISVIVKRKKPREDAEVKEDVDGNGIRDVESLRYDLETIRLATNNFSEANELGKGGFGKVYKGTFPNGQDIAVKRLSKDSGQGELEFINEILLLAKLQHKNLVRLRGFCFEQQERLLVYEFVPNSSLDKLLHDPVQRLQLDWEKRYMIIEGIARGLLYLHEDSRFRIIHRDLKTSNILLDEHMNPKISDFGMARLFAADQTQDNTKRVVGTLLYTCIYIKHGKFSIRSDVFSFGVLVLEIVSGRTRSFRNGEGFEDLINYAWENWNQGRALNLIDTSLSGGSRNQMIRCIHIGLLCVQENLADRPTMASVVIMLSSSSLSLPVPRKPAFCVQSAVLAEAASEYYGQEQEQSSKNDASISE >Manes.09G087900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24429132:24430137:1 gene:Manes.09G087900.v8.1 transcript:Manes.09G087900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKIQKNCNKHPMNIRFNFITKGLVRDITSLNSKYFHVNILGCDDFTFEGFIVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDFISIGDGTENLKIKKVACGPGHGISIGSLGKYENEDPVSGITIFDCTLTGTTNGVRIKTWLAMFPNTATNIHFQDIAMENVSNPIIVDQMYCPWNKYNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLHIVVL >Manes.02G022600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2010891:2013310:-1 gene:Manes.02G022600.v8.1 transcript:Manes.02G022600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSITGRLRLSVASVSASLISKKQSSFYFDQTLLGFLHNSPLPFVSRSITLRNSGPVLCSPSLRFDHTMANQSEPKSVHDFTVKDARGNDVDLSIYKGKVLVIVNVASQCGLTNSNYTELTQLYHKYKDQGLEILAFPCNQFGSQEPGTNEEILEFACTRFKAEYPIFDKVDVNGNNAAPLYKFLKSSKGGTFGDGIKWNFSKFLVDKDGNVVDRYAPTTSPLSMEKDVKKLLGIA >Manes.17G001983.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:1928117:1929052:-1 gene:Manes.17G001983.v8.1 transcript:Manes.17G001983.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCHGAASSTFRNAFQEYKRLYHPNIFCLVEPRISGEAADEVCGLLGYENWIRVEAVGFSGGIWLLWSEDGFRIELVVTDPQFITVAINFSTGEKWLFSVVYASPDIYLRRKLWQSLSGENSLSISKWIVAGDFNSVVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVGSGFTWQRSGENVPYQAARLDRCFVSTDWRLDYVDAIVEHPPKLHLDHVPIVIKCQGVLAFGVRPFWFLTAWTLHAQFDQVVACSWDPNHSLIHNLSTLKIQLGEWNRTQFGNIFDNKRRLLCRLGGVQRDLAESRTRSLV >Manes.14G149300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16887077:16887961:1 gene:Manes.14G149300.v8.1 transcript:Manes.14G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFLGGRRTNVFDPFSPDFWDPFHDFLSPSTSLSVSAPRSESANETSAFANIRIDWKETPEAHVFKADLPGIKKEEVKVEVEEGRVLQISGERSKEKEEKNDKWHRVERSSGKFLRRFRMPENAKVGEVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Manes.15G118600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9454253:9463781:1 gene:Manes.15G118600.v8.1 transcript:Manes.15G118600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSTWFLSQGFTALNYNFDTNKQTATRFLLPSHRLLPASCKMRQRNLSSSQHKRQQLKKASPEQPPNTVGFHSRGGGGGDDIGDDDNDSETESTAVHSVPSLNLDVESNEEVVDVSVDVEHAQHTGANDVERNVDMEHVQDVGAKDLYSLTQEMKTLGIDGAEKLSSIPDEMKPLVLNKDGGEQLSSFQLEDLIGMIRNAEKNILLLNQARVHALEDLERILAEKEILQGEINVLEMKLAETDARMKVAAQEKMHVELMEDQLGKLRNELAYRVGNQNKLLNEEAPLIQDSTIQNISEELNSLRAENTSLRTDIEALKRELSNVKDTDERVITLEKECMQLESSVKDLESKLSVSQEDVSKLSSLKVECKDLWEKVGSLQALLDKATKQADQAILVLQQNRDLWKKVDKLEESLEEANVYKLSSEKLQQYNELMQQKIKLLEERLQQSDEEIYSYVQLYQESIQEFQDTLNTLKEESKKKALDEPVDDMPWQFWSHLLLMIDGWLLEKKLTLDDAKLLRDMVWKRERRIHDIYLECKEKNEHEAVSMFLKLTSSPKSQGLYVVHIAAEMAPVAKVGGLGDVVTGLGKALQKRGHLVEIILPKYDCMQYDGIGNLRALDVVLESYFDGKLYKNEVWVGTIEGLPVYFIEPHHPGKFFWRGQFYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDIYAPKGLNSARICFTCHNFEYQGSAPASELASCGLDVQQLNRPDRMQDNSAHDRINPIKGAVVFSNIVTTVSPTYAQEVRTSEGGKGLHSTLNFHAKKFIGILNGIDTDVWNPATDTLLEVQYNANDLQGKAENKIATRQHLGLSTADARQPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFLLLGSSPVAHIQREFEGIANHFQNHEHIRLVLKYDESLAHSIYAASDMFIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDVDDDTIPLQFRNGYTFLNPDEQGVNSALERAFNHYRNDPESWQQLVQRDMDIDFSWESSASQYEELYSKSVARARAAASRS >Manes.15G118600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9454253:9463781:1 gene:Manes.15G118600.v8.1 transcript:Manes.15G118600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSTWFLSQGFTALNYNFDTNKQTATRFLLPSHRLLPASCKMRQRNLSSQHKRQQLKKASPEQPPNTVGFHSRGGGGGDDIGDDDNDSETESTAVHSVPSLNLDVESNEEVVDVSVDVEHAQHTGANDVERNVDMEHVQDVGAKDLYSLTQEMKTLGIDGAEKLSSIPDEMKPLVLNKDGGEQLSSFQLEDLIGMIRNAEKNILLLNQARVHALEDLERILAEKEILQGEINVLEMKLAETDARMKVAAQEKMHVELMEDQLGKLRNELAYRVGNQNKLLNEEAPLIQDSTIQNISEELNSLRAENTSLRTDIEALKRELSNVKDTDERVITLEKECMQLESSVKDLESKLSVSQEDVSKLSSLKVECKDLWEKVGSLQALLDKATKQADQAILVLQQNRDLWKKVDKLEESLEEANVYKLSSEKLQQYNELMQQKIKLLEERLQQSDEEIYSYVQLYQESIQEFQDTLNTLKEESKKKALDEPVDDMPWQFWSHLLLMIDGWLLEKKLTLDDAKLLRDMVWKRERRIHDIYLECKEKNEHEAVSMFLKLTSSPKSQGLYVVHIAAEMAPVAKVGGLGDVVTGLGKALQKRGHLVEIILPKYDCMQYDGIGNLRALDVVLESYFDGKLYKNEVWVGTIEGLPVYFIEPHHPGKFFWRGQFYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDIYAPKGLNSARICFTCHNFEYQGSAPASELASCGLDVQQLNRPDRMQDNSAHDRINPIKGAVVFSNIVTTVSPTYAQEVRTSEGGKGLHSTLNFHAKKFIGILNGIDTDVWNPATDTLLEVQYNANDLQGKAENKIATRQHLGLSTADARQPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFLLLGSSPVAHIQREFEGIANHFQNHEHIRLVLKYDESLAHSIYAASDMFIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDVDDDTIPLQFRNGYTFLNPDEQGVNSALERAFNHYRNDPESWQQLVQRDMDIDFSWESSASQYEELYSKSVARARAAASRS >Manes.15G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9454253:9463781:1 gene:Manes.15G118600.v8.1 transcript:Manes.15G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSTWFLSQGFTALNYNFDTNKQTATRFLLPSHRLLPASCKMRQRNLSSSQHKRQQLKKASPEQPPNTVGFHSRGGGGGDDIGDDDNDSETESTAVHSVPSLNLDVESNEEVVDVSVDVEHAQHTGANDVERNVDMEHVQDVGAKDLYSLTQEMKTLGIDGAEKLSSIPDEMKPLVLNKDGGEQLSSFQLEDLIGMIRNAEKNILLLNQARVHALEDLERILAEKEILQGEINVLEMKLAETDARMKVAAQEKMHVELMEDQLGKLRNELAYRVGNQNKLLNEEAPLIQDSTIQNISEELNSLRAENTSLRTDIEALKRELSNVKDTDERVITLEKECMQLESSVKDLESKLSVSQEDVSKLSSLKVECKDLWEKVGSLQALLDKATKQADQAILVLQQNRDLWKKVDKLEESLEEANVYKLSSEKLQQYNELMQQKIKLLEERLQQSDEEIYSYVQLYQESIQEFQDTLNTLKEESKKKALDEPVDDMPWQFWSHLLLMIDGWLLEKKLTLDDAKLLRDMVWKRERRIHDIYLECKEKNEHEAVSMFLKLTSSPKSQGLYVVHIAAEMAPVAKVGGLGDVVTGLGKALQKRGHLVEIILPKYDCMQYDGIGNLRALDVVLESYFDGKLYKNEVWVGTIEGLPVYFIEPHHPGKFFWRGQFYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDIYAPKGLNSARICFTCHNFEYQGSAPASELASCGLDVQQLNRPDRMQDNSAHDRINPIKGAVVFSNIVTTVSPTYAQEVRTSEGGKGLHSTLNFHAKKFIGILNGIDTDVWNPATDTLLEVQYNANDLQGKAENKIATRQHLGLSTADARQPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFLLLGSSPVAHIQREFEGIANHFQNHEHIRLVLKYDESLAHSIYAASDMFIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDVDDDTIPLQFRNGYTFLNPDEQGVNSALERAFNHYRNDPESWQQLVQRDMDIDFSWESSASQYEELYSKSVARARAAASRS >Manes.15G118600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9454253:9463782:1 gene:Manes.15G118600.v8.1 transcript:Manes.15G118600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSTWFLSQGFTALNYNFDTNKQTATRFLLPSHRLLPASCKMRQRNLSSQHKRQQLKKASPEQPPNTVGFHSRGGGGGDDIGDDDNDSETESTAVHSVPSLNLDVESNEEVVDVSVDVEHAQHTGANDVERNVDMEHVQDVGAKDLYSLTQEMKTLVLNKDGGEQLSSFQLEDLIGMIRNAEKNILLLNQARVHALEDLERILAEKEILQGEINVLEMKLAETDARMKVAAQEKMHVELMEDQLGKLRNELAYRVGNQNKLLNEEAPLIQDSTIQNISEELNSLRAENTSLRTDIEALKRELSNVKDTDERVITLEKECMQLESSVKDLESKLSVSQEDVSKLSSLKVECKDLWEKVGSLQALLDKATKQADQAILVLQQNRDLWKKVDKLEESLEEANVYKLSSEKLQQYNELMQQKIKLLEERLQQSDEEIYSYVQLYQESIQEFQDTLNTLKEESKKKALDEPVDDMPWQFWSHLLLMIDGWLLEKKLTLDDAKLLRDMVWKRERRIHDIYLECKEKNEHEAVSMFLKLTSSPKSQGLYVVHIAAEMAPVAKVGGLGDVVTGLGKALQKRGHLVEIILPKYDCMQYDGIGNLRALDVVLESYFDGKLYKNEVWVGTIEGLPVYFIEPHHPGKFFWRGQFYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDIYAPKGLNSARICFTCHNFEYQGSAPASELASCGLDVQQLNRPDRMQDNSAHDRINPIKGAVVFSNIVTTVSPTYAQEVRTSEGGKGLHSTLNFHAKKFIGILNGIDTDVWNPATDTLLEVQYNANDLQGKAENKIATRQHLGLSTADARQPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFLLLGSSPVAHIQREFEGIANHFQNHEHIRLVLKYDESLAHSIYAASDMFIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDVDDDTIPLQFRNGYTFLNPDEQGVNSALERAFNHYRNDPESWQQLVQRDMDIDFSWESSASQYEELYSKSVARARAAASRS >Manes.14G163650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25675562:25676524:1 gene:Manes.14G163650.v8.1 transcript:Manes.14G163650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQLRRPTSNCPAASLACPLCSLRVPALRACLAHFAHSSSPAPAALLHVPQAQLAKALPRRPTSTYQLSMHQPTASPATTPPTPIGTPQSCSLSSSFTKFFRFLRGMGRVVTIIPGLDLLTRL >Manes.14G139050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12203510:12204149:-1 gene:Manes.14G139050.v8.1 transcript:Manes.14G139050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAADLMLSCVFDACLSMRDMETERRPYHRNCNCALHKTKGVCSAGCPQQKNVLFPMKQSWKDCSLSMAVPSDLSSSK >Manes.13G144832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35855301:35860754:1 gene:Manes.13G144832.v8.1 transcript:Manes.13G144832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSSGSVNGSSLMVMTESHSQSIVVQKDKAVTSMSRAYLENKAVSDAKSLIAELCRQFYAMGWVSGTGGSVTVKVHDDSVPRLDQLIVMSPSGFQKERMVPEDMYVLSPDGFILSSPASKPYPYKPPKCTDCALLFMKVYGMCNAGAVIHSHGMESCLVTMINPFLKEFRITHMEMIKGIQGHSYSDELVVPIIENTSHEGELTEPIAEAIRAYPKATAVLVRNHGVYIWGDSWISAKTQAECYHYLFDAAIKLHQLGLDCSAPCHGPIGKVNGFWGSGGNFSRSFRTAAFGLDYMMEPSQHCILLDNEGTATPTSFITDVLFPYALDNVGKHLAATYGSKETQEDINLLRSQIQDDFEQGVSGAVPIPPDYVGKELVIASLVANVESMMRSDRKVIALKQLQGHIWRTGFQSNELVGVVFDDVPEALERWDASGIKVYVYSSGSRESQQLLFAKSNYGDLRKYFCGIFDTTMGNKKETRSYSEIFQTVGVDKPSDILFVTNVFEEAVAARAAGLEVIISVRPGNGPLPENHGFRTIKSLLEI >Manes.13G144832.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35855301:35860754:1 gene:Manes.13G144832.v8.1 transcript:Manes.13G144832.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSSGSVNGSSLMVMTESHSQSIVVQKDKAVTSMSRAYLENKAVSDAKSLIAELCRQFYAMGWVSGTGGSVTVKVHDDSVPRLDQLIVMSPSGFQKERMVPEDMYVLSPDGFILSSPASKPYPYKPPKCTDCALLFMKVLLQLVIFGFSIFLAFTLYLCVFLQQFLFFFVGVQVYGMCNAGAVIHSHGMESCLVTMINPFLKEFRITHMEMIKGIQGHSYSDELVVPIIENTSHEGELTEPIAEAIRAYPKATAVLVRNHGVYIWGDSWISAKTQAECYHYLFDAAIKLHQLGLDCSAPCHGPIGKVNGFWGSGGNFSRSFRTAAFGLDYMMEPSQHCILLDNEGTATPTSFITDVLFPYALDNVGKHLAATYGSKETQEDINLLRSQIQDDFEQGVSGAVPIPPDYVGKELVIASLVANVESMMRSDRKVIALKQLQGHIWRTGFQSNELVGVVFDDVPEALERWDASGIKVYVYSSGSRESQQLLFAKSNYGDLRKYFCGIFDTTMGNKKETRSYSEIFQTVGVDKPSDILFVTNVFEEAVAARAAGLEVIISVRPGNGPLPENHGFRTIKSLLEI >Manes.13G144832.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35855301:35860754:1 gene:Manes.13G144832.v8.1 transcript:Manes.13G144832.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSSGSVNGSSLMVMTESHSQSIVVQKDKAVTSMSRAYLENKAVSDAKSLIAELCRQFYAMGWVSGTGGSVTVKVHDDSVPRLDQLIVMSPSGFQKERMVPEDMYVLSPDGFILSSPASKPYPYKPPKCTDCALLFMKVYGMCNAGAVIHSHGMESCLVTMINPFLKEFRITHMEMIKGIQGHSYSDELVVPIIENTSHEGELTEPIAEAIRAYPKATAVLVRNHGVYIWGDSWISAKTQAECYHYLFDAAIKLHQLGLDCSAPCHGPIGKVNGFWGSGGNFSRSFRTAAFGLDYMMEPSQHCILLDNEGTATPTSFITDVLFPYALDNVGKHLAATYGSKETQEDINLLRSQIQDDFEQGVSGAVPIPPDYVGKELVIASLVANVESMMRSDRKVIALKQLQGHIWRTGFQSNELVGVVFDDVPEALERCMYILVAAGNHNNSFLPNLTMVT >Manes.13G144832.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35855301:35860754:1 gene:Manes.13G144832.v8.1 transcript:Manes.13G144832.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSSGSVNGSSLMVMTESHSQSIVVQKDKAVTSMSRAYLENKAVSDAKSLIAELCRQFYAMGWVSGTGGSVTVKVHDDSVPRLDQLIVMSPSGFQKERMVPEDMYVLSPDGFILSSPASKPYPYKPPKCTDCALLFMKVYGMCNAGAVIHSHGMESCLVTMINPFLKEFRITHMEMIKGIQGHSYSDELVVPIIENTSHEGELTEPIAEAIRAYPKATAVLVRNHGVYIWGDSWISAKTQAECYHYLFDAAIKLHQLGLDCSAPCHGPIGKVNGFWGSGGNFSRSFRTAAFGLDYMMEPSQHCILLDNEGTATPTSFITDVLFPYALDNVGKHLAATYGSKETQEDINLLRSQIQDDFEQGVSGAVPIPPDYVGKELVIASLVANVESMMRSDRKVIALKQLQGHIWRTGFQSNELVGVVFDDVPEALERWDASGIKWQQGITTTPFCQI >Manes.14G079500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6620111:6621299:1 gene:Manes.14G079500.v8.1 transcript:Manes.14G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSLSEFNMEYAKDNSPSPFLIFLLLTILESIIVLKDLCSIFCQLPRMFLYFWRVCVESKPSTVEVASEKLCNDTNLNQKLELQAVEMLTVKQQTCSHRKKFEELSVRELEIVMRQLGTCYDPEGDKLQDRMNSDDITALFEEQEPSLQEAREAFSIFDKNNDGFINAKELRRVLGTLCFTQVSEADCIRMIRTYDDNGDGVIDFNEFVKLMTASFI >Manes.10G045500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4946259:4949665:-1 gene:Manes.10G045500.v8.1 transcript:Manes.10G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRGQTGIQLLLAAEQEAQHIVNAARNAKIARLKQAKEEAEKDIAAFRAHMEAEFQRKLAETSGDSGANVKRLEQETEAKIHHLKTEAARISPGVADMLLKHATTVKN >Manes.06G171200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29556880:29560136:1 gene:Manes.06G171200.v8.1 transcript:Manes.06G171200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHGLFSPCFRNQPHLILRLPPILRPQLSPLKMETPKDRCNPSEENNVAVSVQIAPSVSSNGNNDPSENIPQGHQSEPNADNENNRSSDALAKGLSTMLASIIRDFDSKAQDTLKSQDHLNCAIDRLTRELDQLLEDAPLPFIMQHAAKISGVRKRVSSLNSLLKSIQRRVDNIDLILSVGSLQGKDS >Manes.09G157900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35334977:35337505:1 gene:Manes.09G157900.v8.1 transcript:Manes.09G157900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYASSSSPTQKGIVITVPVLVLSVSVAAIMLFLLFSSLSSCDCPASAPPLESFAGGRGGAGGGDVGAKVRISPTKEDIEWVKDQIRVNGLHMQDNVLRKGINPRTRAQQLEDLIQYKGISHYEEPESNNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAEAAHLSPSSQVLEIGCGTLRVGLHFIRYLNPEHYQCLERDELSLMAALRYELPSQGLLYKRPLIVRGEDMEFSKFGAGVVYDLIYASAVFLHMPDKLVWVGLERLASKLRPYNGRIFVSHNIKFCSRLGGEECTKRLTSLGLAYLGKHTHDSLLFNHYEIWFEFKRFKA >Manes.09G157900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35335011:35337487:1 gene:Manes.09G157900.v8.1 transcript:Manes.09G157900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYASSSSPTQKGIVITVPVLVLSVSVAAIMLFLLFSSLSSCDCPASAPPLESFAGGRGGAGGGDVGAKVRISPTKEDIEWVKDQIRVNGLHMQDNVLRKGINPRTRAQQLEDLIQYKGISHYEEPESNNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAEAAHLSPSSQVLEIGCGTLRVGLHFIRYLNPEHYQCLERDELSLMAALRYELPSQGLLYKRPLIVRGEDMEFSKFGAGVVYDLIYASAVFLHMPDKLVWVGLERLASKLRPYNGRIFVSHNIKFCSRLGGEECTKRLTSLGLAYLGKHTHDSLLFNHYEIWFEFKRFKA >Manes.08G082200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28391643:28395261:1 gene:Manes.08G082200.v8.1 transcript:Manes.08G082200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKRVASSSSFTSELFGFKDSTSSAGIFSSIFAPPSKVLGRGLLRYEVMGKKQDSANEAWNTKPGAPDATSKSNQGEGQNMPNRDTSSIYQEQRVQPCHLSSSIYYGGQDIYHTQSSSMTSTFKKDGTEDDSGSASRGNWWKGSLYY >Manes.08G082200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28391643:28395261:1 gene:Manes.08G082200.v8.1 transcript:Manes.08G082200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKRVASSSSFTSELFGFKDSTSSAGIFSSIFAPPSKVLGRGLLRYEVMGKKQDSANEAWNTKPGAPDATSKSNQGEGQNMPNRDTSSIYQEQRVQPCHLSSSIYYGGQDIYHTQSSSMTSTFKKDGTEDDSGSASRGNWWKGSLYY >Manes.07G008990.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1036315:1037427:1 gene:Manes.07G008990.v8.1 transcript:Manes.07G008990.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGNIITKLGSRALDEIGLWWGVKGELKKLDATVSSIRNVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLIDDFATEALRRRVMTGNRMTKEVSLFFSSSNQLVYGFKMGHKIKVIRESLADIQGDRNFNLEVRTDQERIVWRDQTESSLPEVVIGREGDKNAITELVLSNSEESVSILSIVGIGGLGKTTLAQIIFNDELIKNSFERRIWVCVSDPFNVKMIVRKILESATEKKPEDLELEALKSQLGRIIDGKKYLLVLDDVWNENREQWQNLKRLLVGGSSGSKILITTRSKKVADIPSTMAPHVLEGLSPDESWFLFLRVALEGQVPKNANAREIGEEILKKCCGVPLAIKTIAGLL >Manes.01G242300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40326629:40328864:1 gene:Manes.01G242300.v8.1 transcript:Manes.01G242300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDEAEYSPSTEDPYSSIDTLNTTRKKKNRNKRRFSDEQIKSLESMFESESRLEPRKKLQLAKELGLQPRQVAIWFQNKRARWKSKQIERDYSILLANYNSLASRFETLKKEKQALATQKPREEGECSGEAAAVNSSEGESENGDAAKCDSEAKCSLSLIETSSNGLGVLSDEDSSIKVEYFGLEEEPNLMRMMEPGDGSLTTSQEDWGSLDSDGLFDQSNSGCQWWDFWV >Manes.01G242300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40326629:40328864:1 gene:Manes.01G242300.v8.1 transcript:Manes.01G242300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDEAEYSPSTEDPYSSIDTLNTTRKKKNRNKRRFSDEQIKSLESMFESESRLEPRKKLQLAKELGLQPRQVAIWFQNKRARWKSKQIERDYSILLANYNSLASRFETLKKEKQALATQLQKLNDLLQKPREEGECSGEAAAVNSSEGESENGDAAKCDSEAKCSLSLIETSSNGLGVLSDEDSSIKVEYFGLEEEPNLMRMMEPGDGSLTTSQEDWGSLDSDGLFDQSNSGCQWWDFWV >Manes.07G050700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6028030:6028644:1 gene:Manes.07G050700.v8.1 transcript:Manes.07G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCKLPLALCFLISTALTILSYAQDTHQDYLNIQNQARADVGVEPLTWDDKVAAYAQNYANQRIIDCNLVHSEGPYGENLAWGGGDLSAIDAAKMWVDEKPYYDYDSNSCAPGQVCGHYTQVVWKNSTRVGCAKVTCNSGGTFIGCNYDPPGNYIGERPY >Manes.10G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30616980:30627840:1 gene:Manes.10G138200.v8.1 transcript:Manes.10G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLATSVIEQLLVKLASKQLRNLRLGFSRDWNDEFESLNAKISRINGLLRDAEKKHLRSPSSIENWLSKLKGVIYSANDLLDDIYTEASRRQLGTGRENANEVRLFLSLPNHLVYDFKMVLKMKKIRNTMDEIQKDGAQLGLECLEEPAETGRSEENVSAMASKWTESEENSDKIVVGTHEDKHAITNFLLDCNYGDNLSIISICGIGGIGKSTLAQLVFRDEQVQTHFELKLWVSLSDIAFDAKLIVERILESITGKRHKNIKMDTLIVFLHKNINEKRYLIVLDDVREVDDDRWLKLKDLLLGGARGSKILITTRSKRVAGITRDRVHELSGLSDGDSWSLLNHIASKPGKPLNFELEVLGRQIARNCQGVPLAIKTVGTVLYFKDTVAEWLDVRNNELAKVDKEKGIIHTLKLNYDYLPSHLKNCFAYCVLFPKDHKFDVEGLIYLWMGQGFINSSDPDECLEDVGLKYFRDLLWRSFFQEVKWDALGNIKSCKMNNLMSDLATSVAGIGNKVINSDAENVDEEIYHMSLGFHFDSTWQIPVRLFRARQLRTFLLPSQEVWLSNEGRWKIPDLFSNFRHLHVFDLHNSGIEKLPTSIHKMKYLRYLDVSKNDRIKSLPNSITRLKYLQVLKLSDCDELRQLPKGLRKLVNLRHLDCERCWNLMHMPYGFGQLTSLQMLTWFAVAKDSSVFNRIGGMNELNGLNLRGRIEIRNLKFVKNISEFGAANLREKQRLQSLSLCWNRDDDDSVDSVDSDYDEKSLQSLQPHQNLKKLKVCDYRGMRFPDWLSSLTKLVDIWLQDCEKCDRLPQLGQIPSLKYLGIQGFPNMEYVDHEGDNFGVRGKGSTFFPSLKELYLLDCLILKGWGKNRDDLRLHFTSLSKLEIRNCPQLTSLPPFSKLDEKLLLENCSLEPLQQTIKMMIEAMSSSSSSSSSMLGFKLKVLWIVSIEDLEAFPEELLQNLSSLEELHLMDCPRLSSLPLEMRGLPLRELDIRGCAQMKERYGTRKCSDWPIISRIPNIRIDGQKVQWAGSYLWEQKDSSIVTASPLSKLKTLIVEDLESLPEDWLPNLTRLQQLCLVRCPKLKSLPRGMLHLTSLQILDISQCTLHLKERCLNNKGVDWLNISHIPRIKIDELQIQWQEQKAIEGEAAKMLSSEDILGVNTYPPGHSTPRTEVPAEKHSDPAKITTESNQLEGVPGYPQLDQKSYNDDQLAVLKYIYDYENNDNETLFEGDNCILARSRIEYWKPRQWLENNDVDVVVEAVRKEEVRERNGTNWFFPCITYESYKEVSSFKVYYDDHVQKFAVTSDLEACEKIYIPIHHNDRRHFLLAVLKVPNQTCLWYDPMWSDEGSTLTDNEILPMLLEGLDNCVPGIKTKPFFPTFEEVIQKNAPRQPNGWDCGIYVMNFLRDLPDDSGNQSGKIVVNVTEGDHEKFSQALRVECLLWVLFHEANKKRDGLLLEAKKLQNKLMNSDNNSDEKEARGVVP >Manes.12G101406.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27176840:27178048:1 gene:Manes.12G101406.v8.1 transcript:Manes.12G101406.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMDAIEVASPPSQSPSHFSQQRHFYVAVDRLQFKMVTLVDLLGVAGRRSGLPMVVCCSSRDELDAVCSAVSDLPYISLASLYSDLAESERILVLENFKQATLRWNHNITAQSGEGTEIGKAEDKSHMIVVTDACLPLLASGESPISARILINYELPMKKVKLPFFVTVFEIFFLLIISLLYIDFIILIF >Manes.12G101406.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27176633:27187446:1 gene:Manes.12G101406.v8.1 transcript:Manes.12G101406.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMDAIEVASPPSQSPSHFSQQRHFYVAVDRLQFKMVTLVDLLGVAGRRSGLPMVVCCSSRDELDAVCSAVSDLPYISLASLGFQMCYSFQYSDLAESERILVLENFKQATLRWNHNITAQSGEGTEIGKAEDKSHMIVVTDACLPLLASGESPISARILINYELPMKKETYARRMACCLAADGIVINMVVGGEVVTLKSVEESSSLVIDEMPINISEIL >Manes.12G101406.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27176633:27187446:1 gene:Manes.12G101406.v8.1 transcript:Manes.12G101406.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMDAIEVASPPSQSPSHFSQQRHFYVAVDRLQFKMVTLVDLLGVAGRRSGLPMVVCCSSRDELDAVCSAVSDLPYISLASLYSDLAESERILVLENFKQATLRWNHNITAQSGEGTEIGKAEDKSHMIVVTDACLPLLASGESPISARILINYELPMKKETYARRMACCLAADGIVINMVVGGEVVTLKSVEESSSLVIDEMPINISEIL >Manes.12G066002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6509820:6512061:-1 gene:Manes.12G066002.v8.1 transcript:Manes.12G066002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYKFHSVLQLQHTTKSSLQRVQTSIMDFSSNFIAILGVVAVLLLYKQWRARKHSSKGHPLPPQVPGALPIIGHLHKLGARKPLARILGDIADKHGPIFSIMMGIHRTVVVSDQHILKEFYTTNDKFLASRPLSRQTKYLAYNGAVFGFTPYSSYWRDVRKLTIVEVLSPHRLKSFKDVRTSEVSHVVKDLFKKFKENKNNPIKVNMSELFDHLVLNIITIMVAGKRYFEGDNNGHGEKGRPIGQVMRDFMHAAGAFVPSDMIPFLGWTDFFGPVKSMKKVMKELDSIFEVWVQEHELRRLNGEVETPRDFIDVLLTAIEDDSVFGNSRETVIKAIILSLIVGGADSTSITLTWILTNLLNNRRELELAQKEIDEKIGRDRSVEESDTENLVYLKAIIKETLRLFPAGPLAVPREAMEDCTLSGYHIPKGTRLFTNLWKLHRDPSVWPNPEEFKPDRFLTTHADVDVLGQNFELVPFSSGRRSCPGINFAMQVTLLGMARLLQGFNFSTPNNEPVDMTESLNISLDKETPLVVMITPRLAPEFYQY >Manes.15G127000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10113596:10115348:1 gene:Manes.15G127000.v8.1 transcript:Manes.15G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKTVKIMKITGETFKLKTPVKAGDVIKDYPGLVLLESEAVKHYGTRAKPLEPHQDLVPKRLYFLVELPKASTEKATRRVRSGINMSAKDRLESLMLARRSASDLSIINPSSSSSSIVPEENGAMRVKMRLPKAEVEKLMQESKDEAEAAAKIMELCMGNKQGKRSNEIASQQQMHWKSGHGSAESESVKARKRVSFHPISEGEMQIAVASY >Manes.03G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1916348:1919263:-1 gene:Manes.03G023400.v8.1 transcript:Manes.03G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLADHMNSNVITSAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLKPPRRKEMARKKRRRSSGRAIVSIMCGGCVA >Manes.01G011184.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3749193:3760093:-1 gene:Manes.01G011184.v8.1 transcript:Manes.01G011184.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIILFRSPFSSHDLSLSLSLSLSLSLSLSLSPVLNFVDYNKKNLDQDSSTALPRQGGGLADALMGLLSNLCSCLERRLKSVRATASDCADDDFETYDLFFDLRTLQIATNFFSDLNLLGHGGFGSVYRGLTPNGQEVAVKKLSLNSRQGLREFTNEVKLLLKIQHKNLVTLLGCCIEGPEKMLVYEYLPNKSLDYFLFDKRKSSSLDWTTRFRIVTGVARGLLYLHEEAPERIIHRDIKASNILLDEHLNPKISDFGLARLFPGEDTHLNTFRISGTHGYMAPEYALHGYLSVKCDVFSYGVLVLEIVSGRKNHDSSLGIEKADILSYTWMLYQGGKTLDLVDPTLGKCNHDEAAMCIQLGLLCCQQSVSDRPDMNSVHLMLLSDSFTLPRPGKPGIQGRRGHWTTTSSSAFTDTNNNNDTAFTNTNTKTKTDPSSASAGIARVSGGNSFVEECSRNSMSISSIDEGR >Manes.01G011184.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3751866:3760135:-1 gene:Manes.01G011184.v8.1 transcript:Manes.01G011184.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIILFRSPFSSHDLSLSLSLSLSLSLSLSLSPVLNFVDYNKKNLDQDSSTALPRQGGGLADALMGLLSNLCSCLERRLKSVRATASDCADDDFETYDLFFDLRTLQIATNFFSDLNLLGHGGFGSVYRGLTPNGQEVAVKKLSLNSRQGLREFTNEVKLLLKIQHKNLVTLLGCCIEGPEKMLVYEYLPNKSLDYFLFDKRKSSSLDWTTRFRIVTGVARGLLYLHEEAPERIIHRDIKASNILLDEHLNPKISDFGLARLFPGEDTHLNTFRISGTHGYMAPEYALHGYLSVKCDVFSYGVLVLEIVSGRKNHDSSLGIEKADILSYTWMLYQGGKTLDLVDPTLGKCNHDEAAMCIQLGLLCCQQSVSDRPDMNSVHLMLLSDSFTLPRPGKPGIQGRRGHWTTTSSSAFTDTNNNNDTAFTNTNTKTKTDPSSASAGIARVSGGNSFVEECSRNSMSISSIDEVASTIG >Manes.01G011184.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3749193:3760093:-1 gene:Manes.01G011184.v8.1 transcript:Manes.01G011184.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIILFRSPFSSHDLSLSLSLSLSLSLSLSLSPVLNFVDYNKKNLDQDSSTALPRQGGGLADALMGLLSNLCSCLERRLKSVRATASDCADDDFETYDLFFDLRTLQIATNFFSDLNLLGHGGFGSVYRGLTPNGQEVAVKKLSLNSRQGLREFTNEVKLLLKIQHKNLVTLLGCCIEGPEKMLVYEYLPNKSLDYFLFDKRKSSSLDWTTRFRIVTGVARGLLYLHEEAPERIIHRDIKASNILLDEHLNPKISDFGLARLFPGEDTHLNTFRISGTHGYMAPEYALHGYLSVKCDVFSYGVLVLEIVSGRKNHDSSLGIEKADILSYTWMLYQGGKTLDLVDPTLGKCNHDEAAMCIQLGLLCCQQSVSDRPDMNSVHLMLLSDSFTLPRPVQAQALPEFLEGIVLLRNVLEIQCPFLPLMK >Manes.01G011184.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3752069:3760093:-1 gene:Manes.01G011184.v8.1 transcript:Manes.01G011184.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIILFRSPFSSHDLSLSLSLSLSLSLSLSLSPVLNFVDYNKKNLDQDSSTALPRQGGGLADALMGLLSNLCSCLERRLKSVRATASDCADDDFETYDLFFDLRTLQIATNFFSDLNLLGHGGFGSVYRGLTPNGQEVAVKKLSLNSRQGLREFTNEVKLLLKIQHKNLVTLLGCCIEGPEKMLVYEYLPNKSLDYFLFDKRKSSSLDWTTRFRIVTGVARGLLYLHEEAPERIIHRDIKASNILLDEHLNPKISDFGLARLFPGEDTHLNTFRISGTHGYMAPEYALHGYLSVKCDVFSYGVLVLEIVSGRKNHDSSLGIEKADILSYTWMLYQGGKTLDLVDPTLGKCNHDEAAMCIQLGLLCCQQSVSDRPDMNSVHLMLLSDSFTLPRPGKPGIQGRRGHWTTTSSSAFTDTNNNNDTAFTNTNTKTKTDPSSASAGIARVSGGNSFVEECSRNSMSISSIDEGR >Manes.11G156601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32302481:32303558:1 gene:Manes.11G156601.v8.1 transcript:Manes.11G156601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILLHVSMEKKEKQLSKDVYFPSTFLKSSSPLVFLGKYVLVPDGVHCPTCYSFHGYPSHELSNKESNQFLQLIEHCPCYCSHIELPRYWVIWYNDYLNQYFSKLDRKFDSLEILMRENKKLDEKSWKLVKEIETTKESFSVGLVFQKLWNMPEGILEDCKFVFPKILNFLYKNRIGFVKGKYLIICTELLFRYCERDVNLFLQLLGQAYSLVQSTYCSISRMAIEAGFWQVCPEFGENLCKKNTIFIKKFSLSMGPYYLIGGGYFSYVYNTMGDLVVWYLPNFWHEDYFGFNSEEKDFFYNFKHFWYGIEKSEFSCHYNGVPQEACDYNMLQFLLEHFQVR >Manes.17G051600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24848006:24849271:1 gene:Manes.17G051600.v8.1 transcript:Manes.17G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKTMVLASQSELPFNENDSQDMVIYQMINEATPPNTSHTQQRLNPINTSNMFQPAKTIAKKHYRGVRRRPWGKYAAEIRDSTRHGARIWLGTFETAEEAALAYDRAAFRMRGSKALLNFPAEVVIAATTPQKLRPNSSRNSLETRGSSSTGTINGTSQSESESSTTGESGDVVGSDYLLRIGR >Manes.13G021100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3033543:3039869:1 gene:Manes.13G021100.v8.1 transcript:Manes.13G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGDHNDAVLSDVEGEDPVPIVIRTPNLDDVSVERYGELLVELDRERAAREVAETSKSELQVKFNRLKALAHEAIKKRDECASQRDEALREKEEALKEKERVSAELLELSKLKEESVKQREEIGKQFDEAVKDRDALQSEIANSRHMLVSGIEKISGKVSNFKNFAALGLPSSQKYNGLPAVAYGVIKRTNEIVEELLRQIDATAKSRNEAREQMEQRNYEIAIEVSQLEATISGLRDEVEKKNSLIDNLEKNVVEKEGKVSEIERQMFEKTNLVENEALELRKLISEYDDKLRNLESRMELQRPLLIDQLTLVAKIHDRLYDVIKIVDTNHLDSQLSESLFLPQQTDMAENIRASLAGMESCYELTRIVVEKTRDLLEEKTHEAKSFKETLGRLVKEKVHIGSLLRSALSKRMKLDQSSKTNELFKAAENGLREAGIDFKFDKILVDHKLPTSQNKDGSLDMEEDEIFTLAGALENIVKTSQLEIIELQHSVEELRAEASLLKEHEEAQAKELDHRLHRIEELEEKERVANESVEGLMLDIAAAEEEITRWKVAAEQEAAAGRAVEQDFVAKLSAVKQELEETRLTMLESEKKLKLKEETAVAAMAAREAAEKSLRLADMRASRLRDRVEELSRQLDEFETREDSRGRNGPRYVCWPWQWLGLDFVGLRKPEEEQQTSNEMELSEPLL >Manes.15G061400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4687859:4688998:-1 gene:Manes.15G061400.v8.1 transcript:Manes.15G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKWFLNSAFTQVFGHTDSSVTVQTRQRSDVVACPNNQGLLQVHECVRSSRDVKEGSVTRTRKQEYPNGFQVPLHYPRYTAADYEKMEEWKLDMLLNEYGLSFEGRVDEKRAFAMGAFLWPDQL >Manes.01G050450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:9275104:9276188:1 gene:Manes.01G050450.v8.1 transcript:Manes.01G050450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKHSRKGWTGHFRRHLRRPKVPDRDESQAGLAAPSAAELSLQRRKSGTFGSRTLPSAAESLLSSQNPTFGGKVRRPIPASSGRFGGRNHLRRPNLSSSRTQPLCISSLPNLPYTPKQASNFSNTCIHP >Manes.05G001100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:161781:164237:-1 gene:Manes.05G001100.v8.1 transcript:Manes.05G001100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLIITSLAFIFSLTTSTTTETAVYKAQTPCTMCYSCENPCQPLPSPPPPPVLPKCPPPPPPPSPPPPPPPAPPECPPPPAPEEECPACVLPPPIPHLPPRQPRYDPPLSGSFYAPPVPGYGNNPMPYFHDYNPSSAFNSVHLQLQQVVLAVLLYLITLCCF >Manes.09G078400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980768:20987201:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHSADEDPTQRSRRKKNAASGENLESSSAGQGTGDGKRALYHCNYCNKDITGKIRIKCVMCPDFDLCIECFSVGAEVTPHKSSHTYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKDPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.09G078400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980757:20987230:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHSADEDPTQRSRRKKNAASGENLESSSAGDGKRALYHCNYCNKDITGKIRIKCVMCPDFDLCIECFSVGAEVTPHKSSHTYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKGGPSGRLISNINADPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.09G078400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980768:20987201:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHSADEDPTQRSRRKKNAASGENLESSSAGDGKRALYHCNYCNKDITGKIRIKCVMCPDFDLCIECFSVGAEVTPHKSSHTYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKGGPSGRLISNINADVESGFCSNSLNAAATAAIKEASKMARVKDVLSVVKVEDPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.09G078400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980761:20987344:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHSADEDPTQRSRRKKNAASGENLESSSAGQGTGDGKRALYHCNYCNKDITGKIRIKCVMCPDFDLCIECFSVGAEVTPHKSSHTYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKDPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.09G078400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980757:20987343:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHSADEDPTQRSRRKKNAASGENLESSSAGQGTGDGKRALYHCNYCNKDITGKIRIKCVMCPDFDLCIECFSVGAEVTPHKSSHTYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKGGPSGRLISNINADPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.09G078400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980761:20987344:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQPRDQGERRMLLAEKTWNLHQQDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKGGPSGRLISNINADPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.09G078400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980768:20987201:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHSADEDPTQRSRRKKNAASGENLESSSAGQGTGDGKRALYHCNYCNKDITGKIRIKCVMCPDFDLCIECFSVGAEVTPHKSSHTYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKGGPSGRLISNINAAATAAIKEASKMARVKDVLSVVKVEDPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.09G078400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980768:20987201:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHSADEDPTQRSRRKKNAASGENLESSSAGQGTGDGKRALYHCNYCNKDITGKIRIKCVMCPDFDLCIECFSVGAEVTPHKSSHTYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKGGPSGRLISNINADVESGFCSNSLNAAATAAIKEASKMARVKDVLSVVKVEDPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.09G078400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20980768:20987201:1 gene:Manes.09G078400.v8.1 transcript:Manes.09G078400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHSADEDPTQRSRRKKNAASGENLESSSAGDGKRALYHCNYCNKDITGKIRIKCVMCPDFDLCIECFSVGAEVTPHKSSHTYRVMDNLSFPLICPDWNADDEILLLEGIEMYGLGNWAEVAEHVGTKSKEMCIEHYTNIYMNSPFFPLPDMSHVVGKNRKELLAMAKGHGEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKDPQTDRSFKGKKLNSSGNEGSLVEASGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEDERELKLRVLRIYSKRLDERKRRKEFILERNLLYPNHFEKDLSPEERALCRRYDVFMRFHSKEEHEDLLQTVISEHRTLKRIQELKEARAAGCRTSAEADKYLEDKRKREAEENSQRAKESVQVGPSNQGGPNVFMASESVCKDSNPRPAGQYINDLDALSFYETQLLSEAEKRLCHEIKLPPPLYLKMQEVMTKEIFSGNVTKKSDAHPLFKLEASKIDRVYDVLVKKGIAQP >Manes.07G013050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1626552:1629098:-1 gene:Manes.07G013050.v8.1 transcript:Manes.07G013050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWTGCFDPDSMVEGLDDGVSQVLKPSTLMVGSHNSSAENLKLSTEELSYQYSNTRREEPASAAMELQLQNQRMAFNTHLMKDSSDQPVAFPSSSFPNSFISFMNPTHTTPLSDLQRTDTLDASHRRFGTEVERRREMQDKYQTLRNLIPNPNPTKYDRASVIGDAVEYVKELLQTVNELKILVEKKRRAREMSKGHNEEDSIENKGHKHESSSVMEPLGDPDDQSNNGSLRNSWIQRKSKDTGVDVTIVGDEVTIKLVQRKNLNCLLSVSKVLDELQLDLYHVAGGHNNDHYSFLFKTKIYEGSSVEASALADKLIEMPLDPLPFSNSIQLTEVPVKVNIDKCQIPGTVLDSNQGGLKVVDVIDDGKPGWNMKEKGLLFSVLKLIVVFALALSTTTTAVGIIMSAFLLIFIDYVGKRLVCLLKPWFLAFPPILRACSLFGGVRRYSKKRWINAEEYQSVSDSCELIGCLEFNSPV >Manes.07G013050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1626553:1629098:-1 gene:Manes.07G013050.v8.1 transcript:Manes.07G013050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLDDGVSQVLKPSTLMVGSHNSSAENLKLSTEELSYQYSNTRREEPASAAMELQLQNQRMAFNTHLMKDSSDQPVAFPSSSFPNSFISFMNPTHTTPLSDLQRTDTLDASHRRFGTEVERRREMQDKYQTLRNLIPNPNPTKYDRASVIGDAVEYVKELLQTVNELKILVEKKRRAREMSKGHNEEDSIENKGHKHESSSVMEPLGDPDDQSNNGSLRNSWIQRKSKDTGVDVTIVGDEVTIKLVQRKNLNCLLSVSKVLDELQLDLYHVAGGHNNDHYSFLFKTKIYEGSSVEASALADKLIEMPLDPLPFSNSIQLTEVPVKVNIDKCQIPGTVLDSNQGGLKVVDVIDDGKPGWNMKEKGLLFSVLKLIVVFALALSTTTTAVGIIMSAFLLIFIDYVGKRLVCLLKPWFLAFPPILRACSLFGGVRRYSKKRWINAEEYQSVSDSCELIGCLEFNSPV >Manes.18G082900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7633360:7637826:1 gene:Manes.18G082900.v8.1 transcript:Manes.18G082900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKILQLGPEEMTVPGGCTVMVGIKLDSQSRELLTWAMVKVAQPGDTVIALHVLGNDEIVDREGKSSLLAFVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSTRKILVREAKSYSAAKIIVGATGSRSTIRSPPSVAKYCAKKLPRDCSVLAVNNGKVLFQREGSLVRDSHGAKDDRRNGLLNAIHRSFSLSKNSRVLNESGPDEALMYGEDKDDDQILEQALVKARPNSLGRIMKESCSVCGAVAKSHDNACRQSAEESYGDNGGDVKSLALVPVPKVEAPSCSFSSLIRQVPEMKPGWPLLRRAFLPDRRTSDRSSGRQISVVQWAMRLPSRQLSSYISKSDHKQNDRDQGENQSSLNGESGAIVPVGTENLTIPLSPDNSKTLPKELEGLHEKYLATCRLFNYQELVSATSNFSAENLVGKGGSSWVYKGCLPDGKELAVKILKPSEDVIKEFVLEIEIITTLHHKNIISLLGFCFENNKLLLVYDFLSRGSLEENLHGKRKDSLAFGWSERYKVAVGIAGALNYLHNETSQPVIHRDVKSSNILLSDDFDPQLSDFGLAKWTSTSSSHIICTDVAGTFGYLAPEYFMYGKVNDKIDVYAYGVVLLELLSGRKPISNEHPKGQESLVMWAKPILNDGKISKLLDPSLGNDYDHDQIERMVLAATLCVKHSPQARPQMSVVLKLLQGDAEVMKWARLQVNTAEGPDTLDDEACPRSNLQSHLSLALLDVEDDSLSMSSIEETISLEDYLLGRCSRSSSFD >Manes.09G001867.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:633143:633460:1 gene:Manes.09G001867.v8.1 transcript:Manes.09G001867.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITQFYSELRGLWQELDYCHDFQRDCTGDAVKFQKMIERERVYDFLVGLNNEYDPIRVQVLGKNPFPSLEEANAHIQPEESRRYAMLYIAPVEKARLATSLSIL >Manes.07G053200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7621966:7626258:-1 gene:Manes.07G053200.v8.1 transcript:Manes.07G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQYHLSILLVVVLVFSHGASSQMIVDTLPGYPGKLPFKMETGYIGVGEMEDVQLFYYFFESERDPVFDPLVLWLTGGPGCSGFSAIAFENGPLAIAWDSYSGGLPCLKYNPYTWTKIASIIFIDAPVGTGFSYATTTEAWNTSDTLSASQTYNFLRKWLVNHSKFLGNQVYIGGDSYSGITVPLVVQNILQGIGSGLKPSIDLQGYLLGNPVTDYYIDDNSRISFIHRVSLISDEYYENAKLFCKGDYLNVNSSNTLCITALQNIKQCILQIKLTQILEPQCAFSSKKSDELEWDVRSQEANVIDFLQADKLPELRCREFGYALSYKYMNNETVQSALSVRQGTVKTWNRCVKTFPTYTENVESSVYIHKNLSKTGLRALIYSGDHDISVPYVGTQEWIKSLEIAVFDEWRPWYVDGQIAGYQTKFMNEHFRLTYLTVKGGGHTAPEYKPRECQAMVDRWFARYPI >Manes.07G053200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7621966:7626258:-1 gene:Manes.07G053200.v8.1 transcript:Manes.07G053200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQYHLSILLVVVLVFSHGASSQMIVDTLPGYPGKLPFKMETGYIGVGEMEDVQLFYYFFESERDPVFDPLVLWLTGGPGCSGFSAIAFENGPLAIAWDSYSGGLPCLKYNPYTWTKIASIIFIDAPVGTGFSYATTTEAWNTSDTLSASQTYNFLRKWLVNHSKFLGNQVYIGGDSYSGITVPLVVQNILQGIGSGLKPSIDLQGYLLGNPVTDYYIDDNSRISFIHRVSLISDEYYENAKLFCKGDYLNVNSSNTLCITALQNIKQCILQIKLTQILEPQCAFSSKKSDELEWDVRSQEANVIDFLQADKLPELRCREFGYALSYKYMNNETVQSALSVRQGTVKTWNRCVKTFPTYTENVESSVYIHKNLSKTGLRALIYRTMLMEDSILILQWRP >Manes.07G036200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4145649:4146782:-1 gene:Manes.07G036200.v8.1 transcript:Manes.07G036200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLAARNLLQLPLLPSVPKICQSLQCHQSLPFQLPLPSLSTLPAACQHFGDANYWPASVVDSAFKTLCNIFLTMASFNCFILAFFISLAFLSMDVSIAARNLLQLPPLPSVPNLPKLAMPPIPAIPTLPQPSIPTLPTTQPSLPNPTLPPLPSLPTMPAAPKVTLPPMPSIPSIPSIPTTIPSIPFLSPPPAGN >Manes.05G021600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1920315:1922494:-1 gene:Manes.05G021600.v8.1 transcript:Manes.05G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAQTPVDIEHYERGRVATVMGSETDSELSSTPRLPLFLNPHAHASAQSPQRSGTLTPPLYTSASVPFRWEEEPGKPRGCTALSNTSDCSPKCLELPPRLLLDASVSKLPSPTTVLEGPYMSKQKFQSFSFRMIRRERYGSFRRSCSPERGELSSMVLRKRGVQDGGVLGSWRWGRRAFTGKREVGGASYVFPSTSMDRELVGSNEEEERSSKNVKLTRIRRSDSLSTHARSHFWATIYDGLKQVIPWRSKKLKKDKFVI >Manes.11G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11416531:11419737:-1 gene:Manes.11G077300.v8.1 transcript:Manes.11G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLARGFKKLVIHDHDPLAKHARSYSMPTFKRLQGKVALITGGASGLGKATAHEFIQHGAQVVIVDIDSHLGQHAANDLGSAAHFVQCDVSIEAQVKEAVDFAVAHLGKLDIMYNNAGITGPSVPPSIVDLDLGEFDRVMQINVRGTMAGIKHAARVMIPAGSGSILCTSSICGVLGGLGPHSYAVSKFTISGIVKSIASELCRNGVRINCISPGPIPTPLSVGQIAQFYPGATREKIVEIVNGLGELKGAYCEEIDVAKAALYLASDEAKYITGHNLVVDGGFTCFKSFSFPVPDEIV >Manes.11G084900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:13283237:13283362:1 gene:Manes.11G084900.v8.1 transcript:Manes.11G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIISEIFLSGFMINSTYRRRTHLVQSFSVVFLYWLYYVS >Manes.02G044625.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3682812:3685924:1 gene:Manes.02G044625.v8.1 transcript:Manes.02G044625.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFADNTKKTQSSRMLSLSNCDHSEGNWGRLLMENGHYDSRVGFPKCKRGRVSAIRDFRPGCGQFAPRISLRPTEEAISNGIVQNSVDQGKSCDAFGDGIRHVSRSPEVLENFDFTVIPRQTIDVESKPEAPVVSSDHVDGLNLLNTTLLKMPCPEAPDTFSNAGDIEPLKSSEHERFNVPKDLHNVDISAPVESMVPRHYPSRRKISAVRDFPPLCGRNAPVLLKRVQICLLPQRTKILVMKCVDVKQMVEDDNVLNRDAHKHRLERNSSSLREVNSQAESKVPTTIDMKNQDEYGNCCGNKMKVCQEDLLEESINSPVESIQNLCCLKSESVPESGKRRIQGLEDNLEMEFEFKMEKKSSTRRGKWPLMTFLVEQSRSAVGTKKNNVENFEGTHMKKRNKKNSFASGKAYHGIGEMVAWDAGDDVKHGGESNDFQLVRRSSNFSETLPSSCPSNLTAKGNGNGTFVTRNKVRETLHLFPVVYRKLVKEQEAKLKNIKRPNLVAATILKSKGKYVNMSKKIIGSVPGVEVGDEFQYRGGIDFVKEGKIVLATSVVASGRYDDDMDGSDVLIYTGSGGNIKGGDKNPKDQKLEQRNLALKNSMDAENPVRVIEGDIRVSESSCARTRIYVYDGLYLVKECWQESGPRGKLIFKFRLDRIPGQPELAWKVMKKSKKFEVREGICVDDISKGRESIPICAMNTIDKEKPPPFEYITHVTFTDWHHPIPPRGCDCTDSCSESGKCSSKPLVYECGPSCKCPPSCYRRVSQNGIKFQLEIFKTESKGWGVRSLNSIPSRSYICATGGDEYLFHLGNNRSDSALWEGLSILLSEAHSNSRESWRKVASSLMPQSMAMWGDSSIIAVSPNLYVQNNIPPLQELTYYYNYKVGKVLDSDGNIKKKNCYCGSPECVGRIY >Manes.09G085966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:23352590:23356906:1 gene:Manes.09G085966.v8.1 transcript:Manes.09G085966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAFLLGMKGVKQATVSDNHFLIVPLFLPQLIILNPSCSLLMKSDFSPLCLPALGAPTYGLLKRNRKRTDAS >Manes.03G205100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32068787:32075631:1 gene:Manes.03G205100.v8.1 transcript:Manes.03G205100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRAASHSQQAQLQRQLHQNNNLHQAAQSSGTSNLRAHNTESVSKAIAQYTVDAQLHAVFEQSGESGKSFDYSQSVRTTNQSVPEQQITAYLSKIQRGGHIQPFGCMIAVDEGSFRVIGYSENAREMLGLTPQSVPSLEKPEILSIGTDVRTLFTPSSAVLLEKAFGAREITLLNPLWIHSKNSGKPFYAILHRVDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQSLPGGDIKLLCDTVVECVRELTGYDRVMVYKFHEDEHGEVVAENKRPDLEPYIGLHYPATDIPQASRFLFKQSRVRMIVDCRATPVRVIQDEALMQPLCLVGSTLRAPHGCHAQYMENMESIASLAMAVIINGNDEEAVGGRNSMRLWGLVVCHHTSARSIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTEAQIKDIVEWLLAFHGDSTGLSTDSLADAGYPGAASLGDAVCGMAVAYITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDSQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAEATNSKAIANTQLGDLELQGLDELSSVAREMVRLIETATAPIFAVDVDGCINGWNAKVAELTGLSVEEAMGKSLVHDLIYKEYEEIVDKLLSHALRGEEDKNVEIKMRTFGSENEKKAIFVVVNACSSKDYMNNIVGVCFVGQDITGQKVVMDKFIHIQGDYRAIVHSPNPLIPPIFASDENTCCLEWNTAMEKLTGWTRDEIMGKMLVGEVFGSCCRLKGPDAMTKFMIVLHNAVGGQDTDKFAFSFFDRNGKFVQALLTANKRMNIGGQIIGAFCFLQIASPELQQALKVQRQQEKKCFTRMKELAYICQEIKNPLSGIRFTNSLLEATDLTEDQKQFLETSAACEKQMLKIIRDVDLESIEDGSLELEKAEFLLGSVIDAVVSQVMLLLTERNLQLIRDIPEEIKSLAVYGDQVRIQQVLADFLLNMVRCAPSSEGWVEIHVRPTLKQISDGHTVVHTEFRMVCPGEGLSPELVQDMFHSSRWTTQEGLGLSMCRKILKRMQGEVQYIRESERCYFLVVLDLPLPRRGAKNVE >Manes.17G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14786435:14788099:-1 gene:Manes.17G023400.v8.1 transcript:Manes.17G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQSAAVSRITDDQIIELVSKLRHLLPEIQHRRPTKVSASKVLQETCNYVRSLHKEVDDLSERLSQLLATIDADSPEAAIIRGLIM >Manes.01G123000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31863047:31869517:-1 gene:Manes.01G123000.v8.1 transcript:Manes.01G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDKITPLKSAVAGLNQISESEKNGFISLVSRYLSGEAQQVEWSKIQTPTDEVVVPYDSLAPIPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLLLMNSFNTHEDTQKIIEKYSKSKVEIHTFNQSQYPRLVVEDFTPLPSKGQTGKDGWYPPGHGDVFPSLKNSGKLDSLLLQGKEYVFVANSDNLGAIVDLKILNHLLKNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVADEHVSEFKSIEKFKIFNTNNLWVSLSAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLEDGYVIRNKARQNPANPSIELGPEFKKVANFLGRFKSIPSIIELDTLKVTGDVWFGIGVTLKGKVNITAKAGVKLEIPDKAVIENKDINGPEDL >Manes.04G014900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1926496:1930904:-1 gene:Manes.04G014900.v8.1 transcript:Manes.04G014900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLATSLSRLALFRFPINKLSSIPPSLHRLSSKNPNRLRLISMASEPKESPANNPGLQTTLDEATKGYFMQQTMYRIKDPKISLDFYSRVLGMMLLKRLDFPDMKFSLYFMGYENPASAPSDPVERTVWTFGQKATIELTHNWGTESDPDFKGYHNGNSDPRGFGHIGITVDDVYKACERFERLGVEFAKKPDDGKMKGIAFIKDPDGYWIEIFDLKTIGKTTSNAA >Manes.04G014900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1926496:1930668:-1 gene:Manes.04G014900.v8.1 transcript:Manes.04G014900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLATSLSRLALFRFPINKLSSIPPSLHRLSSKNPNRLRLISMASEPKESPANNPGLQTTLDEATKGYFMQQTMYRIKDPKISLDFYSRVLGMMLLKRLDFPDMKFSLYFMGYENPASAPSDPVERTVWTFGQKATIELTHNWGTESDPDFKGYHNGNSDPRGFGHIGITVDDVYKACERFERLGVEFAKKPDDGKMKGIAFIKDPDGYWIEIFDLKTIGKTTSNAA >Manes.04G014900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1926496:1930665:-1 gene:Manes.04G014900.v8.1 transcript:Manes.04G014900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPKESPANNPGLQTTLDEATKGYFMQQTMYRIKDPKISLDFYSRVLGMMLLKRLDFPDMKFSLYFMGYENPASAPSDPVERTVWTFGQKATIELTHNWGTESDPDFKGYHNGNSDPRGFGHIGITVDDVYKACERFERLGVEFAKKPDDGKMKGIAFIKDPDGYWIEIFDLKTIGKTTSNAA >Manes.08G058500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6777811:6778764:1 gene:Manes.08G058500.v8.1 transcript:Manes.08G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNYTDSVLDFNFPSFLSIPFHHHDQKLRKKRTKLIKIEPSSVPSTASVSVVSKPKYQAKKPDPFAPKITMPCTECGKKFWSWKALYGHMRCHPERQWRGINPPPNYRRPVSPVPEMGNVEEAAMTSEDYEAAACLLLLNDSDGPTTTLAETECSRDGGIRSSFHVHEDLNCRFECSSCKKVFGSHQALGGHRASHKNVKGCFAMNVEMGEDHIGSGGARGGSKENVEDNNKMLMVLGHRCSICLRVFASGQALGGHKRCHWEKGEETLSSSMNQGGFEMKEEEACGLDLNLPAPVEDESSSSYSLDLALDLRLGL >Manes.12G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4611678:4613692:1 gene:Manes.12G049100.v8.1 transcript:Manes.12G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIISNGIHASFPTISNPKLSTHKSFLQPSSHLSFNTHFQKSSFTIKTKPIKVSAAAVDSSFYVNSGSFYDLLGIPKSGTLSEIKKAYKQLARKYHPDVSPPECKEEYTKRFLQVQEAYETLSDPKSRALYDRDMDRGLDLHTIFSTTKRSRYSEGLDAVDDWKQRWQSQLTELIRMSNVKDLENLSWGARMRRQASYCH >Manes.08G087500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:29321345:29322782:-1 gene:Manes.08G087500.v8.1 transcript:Manes.08G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSLEEFWPFYVTQHSKPSTRCWHFVGTLTSMLFLLCSVFVNWWLLLFVPFFGYGFAWYSHFFVERNVPATFGHPFLSLLCDFKMFGLMITGQMNKEIKRLGKRPVLQSF >Manes.08G155200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38831524:38834744:-1 gene:Manes.08G155200.v8.1 transcript:Manes.08G155200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTQLGKLEKLSIDGEEDALFAMQLATISILPVVLRTTLELDLLEIMAEKGEGGQSSASELASRLPTKNPDAPSIVERMLRLLASYSILTCSTVTDEQGNAQNLYGLAPVCKFFTKDIDGVSLAPYAISLMSRPAIGSWFHLKEAVLEGVSPFEKANGMGIFEFVRRNKTIFNESMYNHTMIVMKKFLEKYKGFKGLHQLVDVGGGLGANLSLIVSKYPQIKAINFDLPHVVHDAPPCPGVKHVGGDMFANIPKGEAIFMKWILHDWDDDQCLKILKNCYDALPEFGKVIVVESVIPEFVETDVLSRNVFKLDINMLIAIPGGKERTEKEFETLAKVAGFAAVKLIDRAYSYSILEFYKRP >Manes.18G103600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9957731:9959722:-1 gene:Manes.18G103600.v8.1 transcript:Manes.18G103600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIDYIRVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLMNQGIDPQTHRPLNEKTTTTTTTTTTTTTTTTTGAVKPSTNRVTQLNFENASPQSISEINLLKSNIDFNYSNFSPIKTESVEENNCTSSGMTTDEEHHHRYHHHQERESSHENEEVNLELTIALAPTRNELTPRYSSNTADSKLQQQAPYQLLEKIVTGTVCTCCQLGSQRSEMCRNCQNSNGFYRYYYY >Manes.14G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8183873:8207579:1 gene:Manes.14G098600.v8.1 transcript:Manes.14G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQLIVAVEGSAAMGPFWQTILSEYLEKMIRSFCGSDLTGQKPSPSNAELSLVTFYSHGSYCACLVQRSGWTRDVDIFLQWLSAIPFAGGGFNDAAIAEGLSEALMMFPCTQNGSQAQQNIEGQRHCVLIAASNPHPLPTPVYRPQIQNLEQSENIDPQTESRLSDAETVAKSFPQCSVSLSVICPKQLPKIRAIYTAGKRNIRAADPAVDNVKNPHFLVLISENFMEARASLSRPGVANLPSNQSPVKLDVASVASAAGPAPSSIPSVNGSIMNRPPMSVGTVPTATVKVEPTTVTSMAPGPAFPHITSVRPASQPVPSLQTSSPPTTTQEMIASGENVPDLKPSVSGMQQSVRPVPPGTANVSILNNLSQARQVMNSAALTGGTTIGLQSVTQTPVAMHMSNMISSGMASSVPAAQNVFSSGQPGITSIAGSGTTQVAANSGLGSFTSATSNISGNSNLGISQPISNLQGGVSMGPSVPGMSQGSLSGAQMVQSGIGMNQNMMSGLGPSGVSSGSSTMIPTPGIPQQAQSGMQSLGVNNNSAANMPLSQQTTSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISSQQQQMQQQHHQQMQPQQHPQLQQQQLPQLQQQQLPQLQQQQQLPQLQQQQQLPQMQHQQQHPQLQQQQQLSQLQQQQQLQQQQPQQLPQLQQQQQQLQQQLPQQQQMVGTGMGQAYVQGPGRSQLVSQGPVSSQGPTNMPGGGFMS >Manes.10G125900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29331485:29353058:-1 gene:Manes.10G125900.v8.1 transcript:Manes.10G125900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQTFIRVHERFSQMLTPKVRVALEYIYLFIAVTLFCILVVMHANYVQQPGCSSELSGAETMEAQLIQIKITSAGLWSHNESESSIADSPIEETVSDKLVLLDVDEDGLTYLAPKLWMNWIGSSAKKGKLALKFWKTDSELLEHQPGSSPSSDSSAPVDDVARIDKVETRSSFPVSAKETFKAAIVHFGKKWHRRLSFIWRYAVQLIQSFQKLWNITGIHLNLDVPKWMRIFYLDRLNLYAVQWLEKKSKAFEPTYLYTMEKGYFLLPEDAKSRHNIRTVNISISARHPCFGNRWQQLLINRIVGYDTILMNSLLSSPGQGYLYNFQSKEFYNLSYPQEPAEGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRFMQNRRSQLQQHPDFQITSSTILASTLHITRLNTRNEGPVTMNLPSGPGFRPRSEQAMPANEGEPPGPQQPVGNDNLGRVGNTMQIPGQTDLRQPETGPNPGSMNSFSSLLLWILGGASSEGLNSLFSMFRDVRDQGQVYADSSRPENRTNQDVQ >Manes.10G125900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29331486:29340602:-1 gene:Manes.10G125900.v8.1 transcript:Manes.10G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSQMLTPKVRVALEYIYLFIAVTLFCILVVMHANYVQQPGCSSELSGAETMEAQLIQIKITSAGLWSHNESESSIADSPIEETVSDKLVLLDVDEDGLTYLAPKLWMNWIGSSAKKGKLALKFWKTDSELLEHQPGSSPSSDSSAPVDDVARIDKVETRSSFPVSAKETFKAAIVHFGKKWHRRLSFIWRYAVQLIQSFQKLWNITGIHLNLDVPKWMRIFYLDRLNLYAVQWLEKKSKAFEPTYLYTMEKGYFLLPEDAKSRHNIRTVNISISARHPCFGNRWQQLLINRIVGYDTILMNSLLSSPGQGYLYNFQSKEFYNLSYPQEPAEGPARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPALQRFMQNRRSQLQQHPDFQITSSTILASTLHITRLNTRNEGPVTMNLPSGPGFRPRSEQAMPANEGEPPGPQQPVGNDNLGRVGNTMQIPGQTDLRQPETGPNPGSMNSFSSLLLWILGGASSEGLNSLFSMFRDVRDQGQVYADSSRPENRTNQDVQ >Manes.15G159600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13261227:13265537:1 gene:Manes.15G159600.v8.1 transcript:Manes.15G159600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPCLPLHRPPSFPLITCRVSPSVPRPSPPPPPLPSPPPLANLSATTVPALTCALQCIHFQSCSGCTQEFNLHRPVIVDEAAEFFKSLGVSDFTFDSSRLWGWRCRAKLAVRGPSNNPLIGLYEEGTHNVVDIPHCKGITELNVEPYDEDQGTGDLRYVQMAVTTYNTSLPASERYINGKVQVALVWNSRNENSPNFDKLNALADYLWRNGGRRSDVNFIHSVWANFQTSTNNIIFGNRWRHLLGDRDFWEHLGGIDIALAPSSFGQANTRAFDTLLRKVQKYVPLGASVADLYAGAGVIGLSLAAARKCRSVKCVEVNKESKLSFEKTVERLPNSVDGSISWHHADASVEPLSWIMGSEVVVVDPPRKGLDSSLVDMLQTLSSLEHKAKSSSESNSKVKDEKRPWILRAREASVEIRGKAALNDSQSLPQTLIYISCGWESFKKDCKLLLSSKEWHLEKAHGFNFFPGTQSIEILAIFKRGRRAPLKKKKSGKKKKRS >Manes.15G159600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13261227:13265537:1 gene:Manes.15G159600.v8.1 transcript:Manes.15G159600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPCLPLHRPPSFPLITCRVSPSVPRPSPPPPPLPSPPPLANLSATTVPALTCALQCIHFQSCSGCTQEFNLHRPVIVDEAAEFFKSLGVSDFTFDSSRLWGWRCRAKLAVRGPSNNPLIGLYEEGTHNVVDIPHCKAHHPSINLAVELLRQGITELNVEPYDEDQGTGDLRYVQMAVTTYNTSLPASERYINGKVQVALVWNSRNENSPNFDKLNALADYLWRNGGRRSDVNFIHSVWANFQTSTNNIIFGNRWRHLLGDRDFWEHLGGIDIALAPSSFGQANTRAFDTLLRKVQKYVPLGASVADLYAGAGVIGLSLAAARKCRSVKCVEVNKESKLSFEKTVERLPNSVDGSISWHHADASVEPLSWIMGSEVVVVDPPRKGLDSSLVDMLQTLSSLEHKAKSSSESNSKVKDEKRPWILRAREASVEIRGKAALNDSQSLPQTLIYISCGWESFKKDCKLLLSSKEWHLEKAHGFNFFPGTQSIEILAIFKRGRRAPLKKKKSGKKKKRS >Manes.05G075800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6186548:6190952:-1 gene:Manes.05G075800.v8.1 transcript:Manes.05G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRSIRFQATFAAAARYFSVTANAEKAVGSGFATTRTGGGTDTLGRRLFSLVYAERSAVIAIEKWKAEGQKVQKYQLNRIVRELRKLKRYKHALEICEWMTQQQGIKLMPGDYAVHLDLIAKVRGLSSAEKFFEDLPDKMRGWQTCTALLHTYVNNKLLEKAEALMEKMSECDFLKNHLPYNRMLCLYVANGQLEKVPEVIKELKKKTSPDVVTYNVWLSACASQNDVETAKEVFMEMKKAKVDPDWMTFSTLTNLYVKNQLLQDAASTLKEMEKMASRKNRPAYSSLLSLHANMRDRNGVCRIWNKMKSCFCKMNDAEYICMMCSLVKLEEIEEAENIYSEWESVSGHGDPQIPNILLAAYINRNQMEQAQSLHHRMVQKGIRPCYTTWKLLTWGHLKSKQMEKVLDCFKKVIGSAKKWSPDEKLISEIFRNLEEKGNVEGAGEFFVLLRNAGHESTEVYNSLLRTYAKAGKMPLLVAEHMKKDNVELNEETHRLLQITSNMCISEVSSPVF >Manes.14G038700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3361147:3365813:1 gene:Manes.14G038700.v8.1 transcript:Manes.14G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEIVGDGTLMDGVIKNGVCSSESLNGSCDVWSCKDSDSSSADHLVIMVHGIFGSASDWKFAAEQFVKMLPDKVIVHCSERNMSMLTLDGVDVMGERLALEVLEVIQRKPNLRKISFVAHSVGGLVARYAIGILYRPPQRENVEDSVAETGDKDVKATIGGLEAINFITVATPHLGSRGNKQVPFLFGLTAIEKTAVRVIHWILKRTGQHLFLADDDEGKPPLLKRMIQDYCNCFFMSALRIFKRRVVYSNVGYDRIVGWRTSSIRRDNELPEWENHVNEKYPHIVYEERCKADNAEQCDLIISTEDNSSDKLEEELVTGLSRVSWEKVDVSFHTSRRKFAAHSVIQVKDHVMHIEGADVIQHMIDHFLL >Manes.11G038700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3696510:3701347:-1 gene:Manes.11G038700.v8.1 transcript:Manes.11G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSQWNSLSLRSVGAIFICSLVVASMTIVAEARLKQEGSRDNENEETESDILMRVLKFLWQKGQLGYTHVWPEMKFGWQIVVGSVIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDEKSSTAISKCMITGAAASTVYYNLKLRHPTLDLPIIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIILFLGTSTKAFFKGVETWKKETIIKKMEAARQLAPNGDGSADVEYKPLPGGPRNVAGTESNESKREEVSVLENVQWKELGILFAVWLIILALEITKNYTTTCSVAYWVCNLLQIPVALGVSSYQAVNLYKGKRKIASKGEAGTDFKVHQLVLYCCCGVLAGMVGGLLGLGGGFILGPLFLELGVPPQVSSATATFAMTFSASMSVIEYYLLKRFPVPYALYFVAVATIAAFVGQHVVRRIIRILGRASIIIFILSFTIFVSAVSLGGVGIASMIVKIENHEYMGFENICSYEA >Manes.11G038700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3696510:3701347:-1 gene:Manes.11G038700.v8.1 transcript:Manes.11G038700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGWQIVVGSVIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDEKSSTAISKCMITGAAASTVYYNLKLRHPTLDLPIIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIILFLGTSTKAFFKGVETWKKETIIKKMEAARQLAPNGDGSADVEYKPLPGGPRNVAGTESNESKREEVSVLENVQWKELGILFAVWLIILALEITKNYTTTCSVAYWVCNLLQIPVALGVSSYQAVNLYKGKRKIASKGEAGTDFKVHQLVLYCCCGVLAGMVGGLLGLGGGFILGPLFLELGVPPQVSSATATFAMTFSASMSVIEYYLLKRFPVPYALYFVAVATIAAFVGQHVVRRIIRILGRASIIIFILSFTIFVSAVSLGGVGIASMIVKIENHEYMGFENICSYEA >Manes.11G038700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3696510:3701347:-1 gene:Manes.11G038700.v8.1 transcript:Manes.11G038700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGSQWNSLSLRSVGAIFICSLVVASMTIVAEARLKQEGSRDNENEETESDILMRVLKFLWQKGQLGYTHVWPEMKFGWQIVVGSVIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDEKSSTAISKCMITGAAASTVYYNLKLRHPTLDLPIIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIILFLGTSTKAFFKGVETWKKETIIKKMEAARQLAPNGDGSADVEYKPLPGGPRNVAGTESNESKREEVSVLENVQWKELGILFAVWLIILALEITKNYTTTCSVAYWVCNLLQIPVALGVSSYQAVNLYKGKRKIASKGEAGTDFKVHQLVLYCCCGVLAGMVGGLLGLGGGFILGPLFLELGVPPQHYTLSRWQPLQPS >Manes.11G132100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29661131:29662731:1 gene:Manes.11G132100.v8.1 transcript:Manes.11G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPPMFFSTITVTLLILFQATPHGVQGLILTLVNNCPFTVYPAIQPNSGHPVLEKGGFPLPTLTHRSFPAPNQHWSGRIWARTDCTHANGKFYCATGDCNHQLECNGLGGASPATLAQFSLHHGHKDFSSYGVSLVDGFNVPMTITPHEGTGVCPVVGCRANLLATCPEKLQLRYPAGHGRVVACKSGCEAFGTDELCCRNHYNSPQTCRASSFSEFFKHACPATFTYAHDSPSLMHECSSPRELKVIFCH >Manes.15G033300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2615304:2625571:1 gene:Manes.15G033300.v8.1 transcript:Manes.15G033300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSVRTETNSNAIQNLEDPGSPKSTLAEANSSSATAALVAADDEDNNKTDDNLADELVLDVRGKTLEFDLLEKVDDSVEGLYLYKNAFSLVPRSVGRLGKLRTLKFFGNELNLFPLEFGNLVGLERLQVKVSSPELNGLSLNKLRGLKELELSKAPPRPSVFTILSEIAGLKCLTKLSVCHFSIRYLPPEIGCLNGLEYLDLSFNKIKTLPIEISNLNALISLIVANNKLVELPSRLSLLQRLENLDLSSNRLTSLGSLQLDLMHNLQNLNLQYNKLLSCSQIPAWICCNLEGNGKDLSNDDFISSSVEMDVYETSIQSDDRTFKCNGSVNPTSSILTGSLSSSRCFATRRSCKRWRRRHFLQQRARQGRLNNSRKWKGDSCAELLTQKESDNCNPNNLNLLPSENCEEGTSDVIGLDDDNEDKVVNSGEIEAANSHLSGDSDRICSKRGFHIENCSSDLKTIGKGGEDESCVHENSLSLTRNGAGGEDEGSSSEKPNFNFKSKRHSDRDLDNPKPCKCQRPTGDGACLSRKYSNLSFCSIEDHIPDGFYDAGRDRPFMSLGSYEQILHLDSREVILLDREKDEKLDATVLSAQALVCRLKRLNGFVKERNKVAVDNLQIASLLALFVSDHFGGSDRTSTVERTRKDVSGSNYRKPFVCTCSTGNNDDMNATTKHILGISEDIIFSDLCEKSLHSVKARRNSIVVPLGNLQFGVCRHRALLMKYLCDRMEPPVPCELVRGYLDFVPHAWNTILIKKGDSWVRMLVDACRPLDIREETDPEYICRYIPLSRIKISLSTEDKPGPGCSITSFSTCDELEKTASSTIIECKLGSVEAAAKVRSLEICGTSADEIRSFEYNCIGEVRILGALKHPCIVELYGHQISSKWVQSEDGKPERQILRSVILMEHVKGGSLKSYVEKMSKAGEKHVPVDLALCIARDVACALAELHSKHIIHRDVKSENILIDLDSTRADAMPLVKLCDFDRAVPLRSFLHTCCIAHKGIPPPDVCVGTPRWMAPEVLHAMHKRNLYGLEVDIWSYGCLLLELLTLRVPYSGLSEFHINDLLQVLIFLEKKC >Manes.15G033300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2615232:2625571:1 gene:Manes.15G033300.v8.1 transcript:Manes.15G033300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSVRTETNSNAIQNLEDPGSPKSTLAEANSSSATAALVAADDEDNNKTDDNLADELVLDVRGKTLEFDLLEKVDDSVEGLYLYKNAFSLVPRSVGRLGKLRTLKFFGNELNLFPLEFGNLVGLERLQVKVSSPELNGLSLNKLRGLKELELSKAPPRPSVFTILSEIAGLKCLTKLSVCHFSIRYLPPEIGCLNGLEYLDLSFNKIKTLPIEISNLNALISLIVANNKLVELPSRLSLLQRLENLDLSSNRLTSLGSLQLDLMHNLQNLNLQYNKLLSCSQIPAWICCNLEGNGKDLSNDDFISSSVEMDVYETSIQSDDRTFKCNGSVNPTSSILTGSLSSSRCFATRRSCKRWRRRHFLQQRARQGRLNNSRKWKGDSCAELLTQKESDNCNPNNLNLLPSENCEEGTSDVIGLDDDNEDKVVNSGEIEAANSHLSGDSDRICSKRGFHIENCSSDLKTIGKGGEDESCVHENSLSLTRNGAGGEDEGSSSEKPNFNFKSKRHSDRDLDNPKPCKCQRPTGDGACLSRKYSNLSFCSIEDHIPDGFYDAGRDRPFMSLGSYEQILHLDSREVILLDREKDEKLDATVLSAQALVCRLKRLNGFVKERNKVAVDNLQIASLLALFVSDHFGGSDRTSTVERTRKDVSGSNYRKPFVCTCSTGNNDDMNATTKHILGISEDIIFSDLCEKSLHSVKARRNSIVVPLGNLQFGVCRHRALLMKYLCDRMEPPVPCELVRGYLDFVPHAWNTILIKKGDSWVRMLVDACRPLDIREETDPEYICRYIPLSRIKISLSTEDKPGPGCSITSFSTCDELEKTASSTIIECKLGSVEAAAKVRSLEICGTSADEIRSFEYNCIGEVRILGALKHPCIVELYGHQISSKWVQSEDGKPERQILRSVILMEHVKGGSLKSYVEKMSKAGEKHVPVDLALCIARDVACALAELHSKHIIHRDVKSENILIDLDSTRADAMPLVKLCDFDRAVPLRSFLHTCCIAHKGIPPPDVCVGTPRWMAPEVLHAMHKRNLYGLEVDIWSYGCLLLELLTLRVPYSGLSEFHINDLLQMGKRPPLTDELETLVSMNESGGTHSDSDVAGPEADLEKLKFLVDLFLRCTEGNPSNRPAATEIYELLMVHTNT >Manes.15G033300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2615305:2623487:1 gene:Manes.15G033300.v8.1 transcript:Manes.15G033300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSVRTETNSNAIQNLEDPGSPKSTLAEANSSSATAALVAADDEDNNKTDDNLADELVLDVRGKTLEFDLLEKVDDSVEGLYLYKNAFSLVPRSVGRLGKLRTLKFFGNELNLFPLEFGNLVGLERLQVKVSSPELNGLSLNKLRGLKELELSKAPPRPSVFTILSEIAGLKCLTKLSVCHFSIRYLPPEIGCLNGLEYLDLSFNKIKTLPIEISNLNALISLIVANNKLVELPSRLSLLQRLENLDLSSNRLTSLGSLQLDLMHNLQNLNLQYNKLLSCSQIPAWICCNLEGNGKDLSNDDFISSSVEMDVYETSIQSDDRTFKCNGSVNPTSSILTGSLSSSRCFATRRSCKRWRRRHFLQQRARQGRLNNSRKWKGDSCAELLTQKESDNCNPNNLNLLPSENCEEGTSDVIGLDDDNEDKVVNSGEIEAANSHLSGDSDRICSKRGFHIENCSSDLKTIGKGGEDESCVHENSLSLTRNGAGGEDEGSSSEKPNFNFKSKRHSDRDLDNPKPCKCQRPTGDGACLSRKYSNLSFCSIEDHIPDGFYDAGRDRPFMSLGSYEQILHLDSREVILLDREKDEKLDATVLSAQALVCRLKRLNGFVKERNKVAVDNLQIASLLALFVSDHFGGSDRTSTVERTRKDVSGSNYRKPFVCTCSTGNNDDMNATTKHILGISEDIIFSDLCEKSLHSVKARRNSIVVPLGNLQFGVCRHRALLMKYLCDRMEPPVPCELVRGYLDFVPHAWNTILIKKGDSWVRMLVDACRPLDIREETDPEYICRYIPLSRIKISLSTEDKPGPGCSITSFSTCDELEKTASSTIIECKLGSVEAAAKVRSLEICGTSADEIRSFEYNCIGEVRILGALKHPCIVELYGHQISSKWVQSEDGKPERQILRSVILMEHVKGGSLKSYVEKMSKAGEKHVPVDLALCIARDVACALAELHSKHIIHRDVKSENILIDLDSTRADAMPLVKLCDFDRAVPLRSFLHTCCIAHKGIPPPDVCVGTPRWMAPEVLHAMHKRNLYGLEVDIWSYGCLLLELLTLRVPYSGLSEFHINDLLQMGKRPPLTDELETLVSMNESGGTHSDSDVAGPEADLEKLKFLVDLFLRCTEGNPSNRPAATEIYELLMVHTNT >Manes.10G134200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30205452:30213497:-1 gene:Manes.10G134200.v8.1 transcript:Manes.10G134200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLCYQRNVGSCFKRESVNRHFEIKQGALLVILIGLLDRLSSVDLMKYVGQGQVLAQLKKWEKMLKRIHAVLEDAEEKQTANRLVGIWLCDLRDLAYDLEDIIDELATEVQRRKLEDEPVRPNNKVHSFFSIMCGGVNLNLNTIKFNAEMVAKIEEMSARLDEIIKQKDELRLVEYSRKRVRHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAQTSDVEVSVIPIVGMGGLGKTTLAQLVYNDPTLEFDLKAWVSVGEDFDVLTITKTVLLQLGDGGEYKDLDSLQVKLKQKFFQKKFLVVMDDVWTDNYEQWTLFRSPFEAGSNQSRIIITTRSQEVSLMMGTTSAYPLKQLSYDDCMRVFAQHALGATSFDGHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPKVWEEVLSSGIWRSPDYRSNVLPALRVSYLHLPPHLKQCFAYCAILPKDHEFDRNELVLLWMAEGFLYDKKEMKDNEDLGHKYFDELLSRSFFQQSNDNKSMYIMHDLIIDLARYVSGETCLHMVDKLESTKSYAKIRHSSFIAQYRNTFQRFQSFYEMKNLRTFLSMYHDNNWRCHLTGKVVHDLVPKLKCLRSLSLTYYAIEELPDSIGGLKHLRYLDLSYTRIKRLPESVDKLFNLQTLKLRGCDILTRLPSGLCNLLNLRHLDIVGTRKLKEMPPHIGNLTGLCLLTKFVIGGSNGRVTELKKLSGLQGQLHIENLGTVVDIQDADLANMKDKHISKLNLEWNQSGTWIGPREFSYDLINSSDEEQVLNSLRPHQSLSSLSIVSFGGRKVPLWLGDPLFTSMVEVKLCYCDQITSLPPLGRLKSLKKLSIKCLDKVKEVGVEFYEDDSCFPCLETLEIISMVQLKLWTWSIDLVEDYVPKFPKLRKLRIVSCRDFVGRLPTFLPSLEELDIFGFEKLVDLPKMLPSLLTFSINGSHGRDQCRGAVLRSVANSPSLTTLKISFLSRLVRLDEAVIKTLRSLKVFEISYCFDLRCLWNDGTNSDYLTSLKHLEIKDCPELVLLVDGEEGFLPGNLEILSIEGCLNLKVLPNGLSNLKSLNSLSISSCRSLVSFPVRGLPHSLVCLRIENCDSLESLPEGMMQDHYYISETSHLEKLYIRGCESLRQNHPNCRFPDSLKTLEIHNCPSQTLNSLYYGLSHLTELRISDCPQLESFPEKELSIPSIVSLTISDCGRLRSLSNHMQNLRCLENLQIEDCYQLELFPEMGLPTPKLVSLTFARCKKLRSLPNQMQNLTCLRFLRVYGCDYIESLTEGCLPPNLSELSIIACDNLKQPMPEWGLHRLNSLTKLSISNTKSNRDMISFPDDDGLLLPGSLTYVKISYFENLRSISRGIQKLTSLKSLHICGEKLQSFPDVGFPATLEELSVYGCPLLQDRCLKDRGDYWPIISHIPIVCINNQNEVTKDYILRKW >Manes.10G134200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30204335:30213497:-1 gene:Manes.10G134200.v8.1 transcript:Manes.10G134200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLCYQRNVGSCFKRESVNRHFEIKQGALLVILIGLLDRLSSVDLMKYVGQGQVLAQLKKWEKMLKRIHAVLEDAEEKQTANRLVGIWLCDLRDLAYDLEDIIDELATEVQRRKLEDEPVRPNNKVHSFFSIMCGGVNLNLNTIKFNAEMVAKIEEMSARLDEIIKQKDELRLVEYSRKRVRHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAQTSDVEVSVIPIVGMGGLGKTTLAQLVYNDPTLEFDLKAWVSVGEDFDVLTITKTVLLQLGDGGEYKDLDSLQVKLKQKFFQKKFLVVMDDVWTDNYEQWTLFRSPFEAGSNQSRIIITTRSQEVSLMMGTTSAYPLKQLSYDDCMRVFAQHALGATSFDGHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPKVWEEVLSSGIWRSPDYRSNVLPALRVSYLHLPPHLKQCFAYCAILPKDHEFDRNELVLLWMAEGFLYDKKEMKDNEDLGHKYFDELLSRSFFQQSNDNKSMYIMHDLIIDLARYVSGETCLHMVDKLESTKSYAKIRHSSFIAQYRNTFQRFQSFYEMKNLRTFLSMYHDNNWRCHLTGKVVHDLVPKLKCLRSLSLTYYAIEELPDSIGGLKHLRYLDLSYTRIKRLPESVDKLFNLQTLKLRGCDILTRLPSGLCNLLNLRHLDIVGTRKLKEMPPHIGNLTGLCLLTKFVIGGSNGRVTELKKLSGLQGQLHIENLGTVVDIQDADLANMKDKHISKLNLEWNQSGTWIGPREFSYDLINSSDEEQVLNSLRPHQSLSSLSIVSFGGRKVPLWLGDPLFTSMVEVKLCYCDQITSLPPLGRLKSLKKLSIKCLDKVKEVGVEFYEDDSCFPCLETLEIISMVQLKLWTWSIDLVEDYVPKFPKLRKLRIVSCRDFVGRLPTFLPSLEELDIFGFEKLVDLPKMLPSLLTFSINGSHGRDQCRGAVLRSVANSPSLTTLKISFLSRLVRLDEAVIKTLRSLKVFEISYCFDLRCLWNDGTNSDYLTSLKHLEIKDCPELVLLVDGEEGFLPGNLEILSIEGCLNLKVLPNGLSNLKSLNSLSISSCRSLVSFPVRGLPHSLVCLRIENCDSLESLPEGMMQDHYYISETSHLEKLYIRGCESLRQNHPNCRFPDSLKTLEIHNCPSQTLNSLYYGLSHLTELRISDCPQLESFPEKELSIPSIVSLTISDCGRLRSLSNHMQNLRCLENLQIEDCYQLELFPEMGLPTPKLVSLTFARCKKLRSLPNQMQNLTCLRFLRVYGCDYIESLTEGCLPPNLSELSIIACDNLKQPMPEWGLHRLNSLTKLSISNTKSNRDMISFPDDDGLLLPGSLTYVKISYFENLRSISRGIQKLTSLKSLHICGEKLQSFPDVGFPATLEELSVYGCPLLQDRCLKDRGDYWPIISHIPIVCINNQNEVTKDYILRKW >Manes.10G134200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30204335:30210475:-1 gene:Manes.10G134200.v8.1 transcript:Manes.10G134200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTAFGGSILAFCFQGLLDRLSSVDLMKYVGQGQVLAQLKKWEKMLKRIHAVLEDAEEKQTANRLVGIWLCDLRDLAYDLEDIIDELATEVQRRKLEDEPVRPNNKVHSFFSIMCGGVNLNLNTIKFNAEMVAKIEEMSARLDEIIKQKDELRLVEYSRKRVRHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAQTSDVEVSVIPIVGMGGLGKTTLAQLVYNDPTLEFDLKAWVSVGEDFDVLTITKTVLLQLGDGGEYKDLDSLQVKLKQKFFQKKFLVVMDDVWTDNYEQWTLFRSPFEAGSNQSRIIITTRSQEVSLMMGTTSAYPLKQLSYDDCMRVFAQHALGATSFDGHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPKVWEEVLSSGIWRSPDYRSNVLPALRVSYLHLPPHLKQCFAYCAILPKDHEFDRNELVLLWMAEGFLYDKKEMKDNEDLGHKYFDELLSRSFFQQSNDNKSMYIMHDLIIDLARYVSGETCLHMVDKLESTKSYAKIRHSSFIAQYRNTFQRFQSFYEMKNLRTFLSMYHDNNWRCHLTGKVVHDLVPKLKCLRSLSLTYYAIEELPDSIGGLKHLRYLDLSYTRIKRLPESVDKLFNLQTLKLRGCDILTRLPSGLCNLLNLRHLDIVGTRKLKEMPPHIGNLTGLCLLTKFVIGGSNGRVTELKKLSGLQGQLHIENLGTVVDIQDADLANMKDKHISKLNLEWNQSGTWIGPREFSYDLINSSDEEQVLNSLRPHQSLSSLSIVSFGGRKVPLWLGDPLFTSMVEVKLCYCDQITSLPPLGRLKSLKKLSIKCLDKVKEVGVEFYEDDSCFPCLETLEIISMVQLKLWTWSIDLVEDYVPKFPKLRKLRIVSCRDFVGRLPTFLPSLEELDIFGFEKLVDLPKMLPSLLTFSINGSHGRDQCRGAVLRSVANSPSLTTLKISFLSRLVRLDEAVIKTLRSLKVFEISYCFDLRCLWNDGTNSDYLTSLKHLEIKDCPELVLLVDGEEGFLPGNLEILSIEGCLNLKVLPNGLSNLKSLNSLSISSCRSLVSFPVRGLPHSLVCLRIENCDSLESLPEGMMQDHYYISETSHLEKLYIRGCESLRQNHPNCRFPDSLKTLEIHNCPSQTLNSLYYGLSHLTELRISDCPQLESFPEKELSIPSIVSLTISDCGRLRSLSNHMQNLRCLENLQIEDCYQLELFPEMGLPTPKLVSLTFARCKKLRSLPNQMQNLTCLRFLRVYGCDYIESLTEGCLPPNLSELSIIACDNLKQPMPEWGLHRLNSLTKLSISNTKSNRDMISFPDDDGLLLPGSLTYVKISYFENLRSISRGIQKLTSLKSLHICGEKLQSFPDVGFPATLEELSVYGCPLLQDRCLKDRGDYWPIISHIPIVCINNQNEVTKDYILRKW >Manes.10G134200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30204335:30210475:-1 gene:Manes.10G134200.v8.1 transcript:Manes.10G134200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTAFGGSILAFCFQGLLDRLSSVDLMKYVGQGQVLAQLKKWEKMLKRIHAVLEDAEEKQTANRLVGIWLCDLRDLAYDLEDIIDELATEVQRRKLEDEPVRPNNKVHSFFSIMCGGVNLNLNTIKFNAEMVAKIEEMSARLDEIIKQKDELRLVEYSRKRVRHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAQTSDVEVSVIPIVGMGGLGKTTLAQLVYNDPTLEFDLKAWVSVGEDFDVLTITKTVLLQLGDGGEYKDLDSLQVKLKQKFFQKKFLVVMDDVWTDNYEQWTLFRSPFEAGSNQSRIIITTRSQEVSLMMGTTSAYPLKQLSYDDCMRVFAQHALGATSFDGHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPKVWEEVLSSGIWRSPDYRSNVLPALRVSYLHLPPHLKQCFAYCAILPKDHEFDRNELVLLWMAEGFLYDKKEMKDNEDLGHKYFDELLSRSFFQQSNDNKSMYIMHDLIIDLARYVSGETCLHMVDKLESTKSYAKIRHSSFIAQYRNTFQRFQSFYEMKNLRTFLSMYHDNNWRCHLTGKVVHDLVPKLKCLRSLSLTYYAIEELPDSIGGLKHLRYLDLSYTRIKRLPESVDKLFNLQTLKLRGCDILTRLPSGLCNLLNLRHLDIVGTRKLKEMPPHIGNLTGLCLLTKFVIGGSNGRVTELKKLSGLQGQLHIENLGTVVDIQDADLANMKDKHISKLNLEWNQSGTWIGPREFSYDLINSSDEEQVLNSLRPHQSLSSLSIVSFGGRKVPLWLGDPLFTSMVEVKLCYCDQITSLPPLGRLKSLKKLSIKCLDKVKEVGVEFYEDDSCFPCLETLEIISMVQLKLWTWSIDLVEDYVPKFPKLRKLRIVSCRDFVGRLPTFLPSLEELDIFGFEKLVDLPKMLPSLLTFSINGSHGRDQCRGAVLRSVANSPSLTTLKISFLSRLVRLDEAVIKTLRSLKVFEISYCFDLRCLWNDGTNSDYLTSLKHLEIKDCPELVLLVDGEEGFLPGNLEILSIEGCLNLKVLPNGLSNLKSLNSLSISSCRSLVSFPVRGLPHSLVCLRIENCDSLESLPEGMMQDHYYISETSHLEKLYIRGCESLRQNHPNCRFPDSLKTLEIHNCPSQTLNSLYYGLSHLTELRISDCPQLESFPEKELSIPSIVSLTISDCGRLRSLSNHMQNLRCLENLQIEDCYQLELFPEMGLPTPKLVSLTFARCKKLRSLPNQMQNLTCLRFLRVYGCDYIESLTEGCLPPNLSELSIIACDNLKQPMPEWGLHRLNSLTKLSISNTKSNRDMISFPDDDGLLLPGSLTYVKISYFENLRSISRGIQKLTSLKSLHICGEKLQSFPDVGFPATLEELSVYGCPLLQDRCLKDRGDYWPIISHIPIVCINNQNEVTKDYILRKW >Manes.10G134200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30204335:30210184:-1 gene:Manes.10G134200.v8.1 transcript:Manes.10G134200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTAFGGSILAFCFQGLLDRLSSVDLMKYVGQGQVLAQLKKWEKMLKRIHAVLEDAEEKQTANRLVGIWLCDLRDLAYDLEDIIDELATEVQRRKLEDEPVRPNNKVHSFFSIMCGGVNLNLNTIKFNAEMVAKIEEMSARLDEIIKQKDELRLVEYSRKRVRHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAQTSDVEVSVIPIVGMGGLGKTTLAQLVYNDPTLEFDLKAWVSVGEDFDVLTITKTVLLQLGDGGEYKDLDSLQVKLKQKFFQKKFLVVMDDVWTDNYEQWTLFRSPFEAGSNQSRIIITTRSQEVSLMMGTTSAYPLKQLSYDDCMRVFAQHALGATSFDGHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPKVWEEVLSSGIWRSPDYRSNVLPALRTLKLRGCDILTRLPSGLCNLLNLRHLDIVGTRKLKEMPPHIGNLTGLCLLTKFVIGGSNGRVTELKKLSGLQGQLHIENLGTVVDIQDADLANMKDKHISKLNLEWNQSGTWIGPREFSYDLINSSDEEQVLNSLRPHQSLSSLSIVSFGGRKVPLWLGDPLFTSMVEVKLCYCDQITSLPPLGRLKSLKKLSIKCLDKVKEVGVEFYEDDSCFPCLETLEIISMVQLKLWTWSIDLVEDYVPKFPKLRKLRIVSCRDFVGRLPTFLPSLEELDIFGFEKLVDLPKMLPSLLTFSINGSHGRDQCRGAVLRSVANSPSLTTLKISFLSRLVRLDEAVIKTLRSLKVFEISYCFDLRCLWNDGTNSDYLTSLKHLEIKDCPELVLLVDGEEGFLPGNLEILSIEGCLNLKVLPNGLSNLKSLNSLSISSCRSLVSFPVRGLPHSLVCLRIENCDSLESLPEGMMQDHYYISETSHLEKLYIRGCESLRQNHPNCRFPDSLKTLEIHNCPSQTLNSLYYGLSHLTELRISDCPQLESFPEKELSIPSIVSLTISDCGRLRSLSNHMQNLRCLENLQIEDCYQLELFPEMGLPTPKLVSLTFARCKKLRSLPNQMQNLTCLRFLRVYGCDYIESLTEGCLPPNLSELSIIACDNLKQPMPEWGLHRLNSLTKLSISNTKSNRDMISFPDDDGLLLPGSLTYVKISYFENLRSISRGIQKLTSLKSLHICGEKLQSFPDVGFPATLEELSVYGCPLLQDRCLKDRGDYWPIISHIPIVCINNQNEVTKDYILRKW >Manes.10G134200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30205452:30213497:-1 gene:Manes.10G134200.v8.1 transcript:Manes.10G134200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSLCYQRNVGSCFKRESVNRHFEIKQGALLVILIGLLDRLSSVDLMKYVGQGQVLAQLKKWEKMLKRIHAVLEDAEEKQTANRLVGIWLCDLRDLAYDLEDIIDELATEVQRRKLEDEPVRPNNKVHSFFSIMCGGVNLNLNTIKFNAEMVAKIEEMSARLDEIIKQKDELRLVEYSRKRVRHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAQTSDVEVSVIPIVGMGGLGKTTLAQLVYNDPTLEFDLKAWVSVGEDFDVLTITKTVLLQLGDGGEYKDLDSLQVKLKQKFFQKKFLVVMDDVWTDNYEQWTLFRSPFEAGSNQSRIIITTRSQEVSLMMGTTSAYPLKQLSYDDCMRVFAQHALGATSFDGHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPKVWEEVLSSGIWRSPDYRSNVLPALRVSYLHLPPHLKQCFAYCAILPKDHEFDRNELVLLWMAEGFLYDKKEMKDNEDLGHKYFDELLSRSFFQQSNDNKSMYIMHDLIIDLARYVSGETCLHMVDKLESTKSYAKIRHSSFIAQYRNTFQRFQSFYEMKNLRTFLSMYHDNNWRCHLTGKVVHDLVPKLKCLRSLSLTYYAIEELPDSIGGLKHLRYLDLSYTRIKRLPESVDKLFNLQTLKLRGCDILTRLPSGLCNLLNLRHLDIVGTRKLKEMPPHIGNLTGLCLLTKFVIGGSNGRVTELKKLSGLQGQLHIENLGTVVDIQDADLANMKDKHISKLNLEWNQSGTWIGPREFSYDLINSSDEEQVLNSLRPHQSLSSLSIVSFGGRKVPLWLGDPLFTSMVEVKLCYCDQITSLPPLGRLKSLKKLSIKCLDKVKEVGVEFYEDDSCFPCLETLEIISMVQLKLWTWSIDLVEDYVPKFPKLRKLRIVSCRDFVGRLPTFLPSLEELDIFGFEKLVDLPKMLPSLLTFSINGSHGRDQCRGAVLRSVANSPSLTTLKISFLSRLVRLDEAVIKTLRSLKVFEISYCFDLRCLWNDGTNSDYLTSLKHLEIKDCPELVLLVDGEEGFLPGNLEILSIEGCLNLKVLPNGLSNLKSLNSLSISSCRSLVSFPVRGLPHSLVCLRIENCDSLESLPEGMMQDHYYISETSHLEKLYIRGCESLRQNHPNCRFPDSLKTLEIHNCPSQTLNSLYYGLSHLTELRISDCPQLESFPEKELSIPSIVSLTISDCGRLRSLSNHMQNLRCLENLQIEDCYQLELFPEMGLPTPKLVSLTFARCKKLRSLPNQMQNLTCLRFLRVYGCDYIESLTEGCLPPNLSELSIIACDNLKQPMPEWGLHRLNSLTKLSISNTKSNRDMISFPDDDGLLLPGSLTYVKISYFENLRSISRGIQKLTSLKSLHICGEKLQSFPDVGFPATLEELSVYGCPLLQDRCLKDRGDYWPIISHIPIVCINNQNEVTKDYILRKW >Manes.10G134200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30204335:30210184:-1 gene:Manes.10G134200.v8.1 transcript:Manes.10G134200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTAFGGSILAFCFQGLLDRLSSVDLMKYVGQGQVLAQLKKWEKMLKRIHAVLEDAEEKQTANRLVGIWLCDLRDLAYDLEDIIDELATEVQRRKLEDEPVRPNNKVHSFFSIMCGGVNLNLNTIKFNAEMVAKIEEMSARLDEIIKQKDELRLVEYSRKRVRHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAQTSDVEVSVIPIVGMGGLGKTTLAQLVYNDPTLEFDLKAWVSVGEDFDVLTITKTVLLQLGDGGEYKDLDSLQVKLKQKFFQKKFLVVMDDVWTDNYEQWTLFRSPFEAGSNQSRIIITTRSQEVSLMMGTTSAYPLKQLSYDDCMRVFAQHALGATSFDGHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPKVWEEVLSSGIWRSPDYRSNVLPALRTLKLRGCDILTRLPSGLCNLLNLRHLDIVGTRKLKEMPPHIGNLTGLCLLTKFVIGGSNGRVTELKKLSGLQGQLHIENLGTVVDIQDADLANMKDKHISKLNLEWNQSGTWIGPREFSYDLINSSDEEQVLNSLRPHQSLSSLSIVSFGGRKVPLWLGDPLFTSMVEVKLCYCDQITSLPPLGRLKSLKKLSIKCLDKVKEVGVEFYEDDSCFPCLETLEIISMVQLKLWTWSIDLVEDYVPKFPKLRKLRIVSCRDFVGRLPTFLPSLEELDIFGFEKLVDLPKMLPSLLTFSINGSHGRDQCRGAVLRSVANSPSLTTLKISFLSRLVRLDEAVIKTLRSLKVFEISYCFDLRCLWNDGTNSDYLTSLKHLEIKDCPELVLLVDGEEGFLPGNLEILSIEGCLNLKVLPNGLSNLKSLNSLSISSCRSLVSFPVRGLPHSLVCLRIENCDSLESLPEGMMQDHYYISETSHLEKLYIRGCESLRQNHPNCRFPDSLKTLEIHNCPSQTLNSLYYGLSHLTELRISDCPQLESFPEKELSIPSIVSLTISDCGRLRSLSNHMQNLRCLENLQIEDCYQLELFPEMGLPTPKLVSLTFARCKKLRSLPNQMQNLTCLRFLRVYGCDYIESLTEGCLPPNLSELSIIACDNLKQPMPEWGLHRLNSLTKLSISNTKSNRDMISFPDDDGLLLPGSLTYVKISYFENLRSISRGIQKLTSLKSLHICGEKLQSFPDVGFPATLEELSVYGCPLLQDRCLKDRGDYWPIISHIPIVCINNQNEVTKDYILRKW >Manes.18G016450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1729885:1730934:-1 gene:Manes.18G016450.v8.1 transcript:Manes.18G016450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEEGYGWAISAGMNAALAAIAAKFFYNQFVRYSMVVICNVIMWGCYVNSLKVLSSLQATVTNFATNFLSSGLAGFLLFKEALSAQWFAGALLIVIGVVILSKSSVEPKANID >Manes.09G025511.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5659544:5664463:1 gene:Manes.09G025511.v8.1 transcript:Manes.09G025511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.04G138800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33806670:33812084:-1 gene:Manes.04G138800.v8.1 transcript:Manes.04G138800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFHQPYDPYYQLQQPPPQPPPPSDRNGINTLFVSGLPDDVKPREIHNLFRRRPGFDSCQLKYTGRGNQVVAFATFFNHQSAIAALHSLNGVKFDPQTGSTLHIELARTNSRRKRKPGSGAYVVIDKRTKMASNAQETSSDDGGSDSDEAPATEIAASANQGDLGSVKSEAGGEPENAAVTANRQSDKTVEGGGNPCSTLFIANLGPNCTEDELKQSLSQYPGFTMLKMRAKGGMPVAFADFEEVELAKKAMEDLQGTLLPSSDRGGMHIEYARSKMRKS >Manes.04G138800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33806451:33812084:-1 gene:Manes.04G138800.v8.1 transcript:Manes.04G138800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFHQPYDPYYQLQQPPPQPPPPSDRNGINTLFVSGLPDDVKPREIHNLFRRRPGFDSCQLKYTGRGNQVVAFATFFNHQSAIAALHSLNGVKFDPQTGSTLHIELARTNSRRKRKPGSGAYVVIDKRTKMASNAQETSSDDGGSDSDEAPATEIAASANQGDLGSVKSEAGGEPENAAVTANSDKTVEGGGNPCSTLFIANLGPNCTEDELKQSLSQYPGFTMLKMRAKGGMPVAFADFEEVELAKKAMEDLQGTLLPSSDRGGMHIEYARSKMRKS >Manes.14G060100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5014944:5017421:1 gene:Manes.14G060100.v8.1 transcript:Manes.14G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISASATATASTTSKLAYPHPHPCPRASPSSSITFSLSPSSFLTQPAKLTPKTLLSSSFISPFLSSTSPSSSTAISTSRRSFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALAATGNSAPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDIPITSGSALLALEALMANPNIKRGENEWVDKIYELMDSVDGYIPIPQRQTDLPFLLAIEDVFSITGRGTVATGRVERGTIKVGDTVDIVGLRETRNTTVTGVEMFQKILDDAMAGDNVGLLLRGVQKADIQRGMVLAKPGTITPHTKFSAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTTIMNDKDEESKMVMPGDRVKMIVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >Manes.04G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34327497:34329899:1 gene:Manes.04G145600.v8.1 transcript:Manes.04G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKFFTWKFLLPACFKSENPFPEPKIQASNQITSQRLSLSDLSNPGSPLSVSDLSNSIFNLHVFTLKELQMATHQFSRSNFLGEGGFGTVYKGFISDKLRPGLKAQPVAVKVLDLDGSQGHREWLAEVIFLGQLKHPHLVNLIGYCCEDEHRLLVYEYMERGNLETQLFKRYSAALPWLTRLKIAAGAARGLAFLHGEEKPVIYRDFKASNVLLDSDFNAKLSDFGLATDGPEGDDTHITTRVMGTEGYAAPEYIMTGHLTAMSDVFSFGVVLLELLTGRRSVDKKRPCREQNLVKWARPLLKDYHKLDQIMDPRLEGQYSTEGARKAAALAYQCLSHHCKSRPTMSTVVKTLESLLELNDIPVGPFVFVVPTEGNNKATTEKEIEKESKNECHELKDEHKFEEKEAAEMKDKNCNRNQKGQRHRRRVKSFRSRAVYSDTALYKTSGTSLYSPKRQSKAAERYR >Manes.17G042600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23563414:23565303:-1 gene:Manes.17G042600.v8.1 transcript:Manes.17G042600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PPO MGSFFPSTLSTSSFFFPSLPNTSQISINKMRNHSYVGRVSSCKTTNDNDHQNPTTRRDVLIGLGGFCGATTLADHPFAFAKPISAPDITKCGEADFPAGAKPTNCCPPQSTKILDFKLPSSNSPLRIRPAAHLVDESYVAKYSKAVELMKALPDDDPRSFKQQADVHCAYCDGAYHQVGFPDLELQIHNSWLFFPFHRYYLYFHERILGKLIDDPTFALPYWNWDSPEGMQLPALYANPKSPLYDHYRNKNHQPPTLVDLDYNRTENPTSNQAQISSNLTIMYRQMISNGKTAKLFMGSSYRAGDEPDPGAGSIENIPHGPVHIWTGDNTQPNLENMGNFYSAGRDPIFFAHHSNVDRMWTIWKTLGGKKRTEFTDPDWLDASFLFYDENANLVRVKIRDCMDNKKLGYVYQEVDIPWLKSKPTTRRSMEKVATVSHHHGQVAQAAETKNLSPISSFPLILDKVISTVVKRPKKSRSKEDKEEEEEILVVDGIELERDAAVKFDVYVNDEDDSPSGPENSEFAGSFVNVPHAHKHGKKMKTCLRLGITDLLEDLGAEDDDSVVVTLVPKCGNGLVKIGGIKIDFTQD >Manes.13G102400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30046922:30049210:-1 gene:Manes.13G102400.v8.1 transcript:Manes.13G102400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEEHPVKAFGWAARDESGHLSPFLFSRRDTGDEDVRFKVLYCGICHSDLHNLKNDWGFSVYPMVPGHEIVGEVTEVGSKVKKVKVGDKVGVGCLVGACHSCDNCNRDLENYCPKMIFTYNSIYHDGTITYGGYSDHMVADQRYIVNFPANLPLDAGAPLLCAGITVYSPLKHYGLAEPGKHVGVVGLGGLGHVAVKFAKALGAKVTVISTSPDKQQDALTQLGADSFLVSRDQAQMQIQWLQFIPFCHYWDC >Manes.13G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30046788:30049210:-1 gene:Manes.13G102400.v8.1 transcript:Manes.13G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEEEHPVKAFGWAARDESGHLSPFLFSRRDTGDEDVRFKVLYCGICHSDLHNLKNDWGFSVYPMVPGHEIVGEVTEVGSKVKKVKVGDKVGVGCLVGACHSCDNCNRDLENYCPKMIFTYNSIYHDGTITYGGYSDHMVADQRYIVNFPANLPLDAGAPLLCAGITVYSPLKHYGLAEPGKHVGVVGLGGLGHVAVKFAKALGAKVTVISTSPDKQQDALTQLGADSFLVSRDQAQMQAAMGTFDGILDTVAAVHPILPLLGLLKTEGKLVLVGAPAKPLELPIFPLIAGRRLVAGSAMGGMKETQEMIDFAAKHNITADIEVVPIDYLNQAMERLAKGDVKYRFVIDIGNTLAAAAAAAASKP >Manes.09G060788.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9863486:9865108:1 gene:Manes.09G060788.v8.1 transcript:Manes.09G060788.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELWFSNLLWNSGKNARRSDKKVIGVLAFEVASLMSKVVNLWHFLSEKKIYRLKKEIVNSIGIQKLVADDDAYVMDLALNEIMEEFGLVSRSVARLGKRCLSLFRHFEHFVNNPLQRNLEWSGWDYRLKKMERKLKKMERFVAVTMQLAQELEVLAELEQTVSRIRANPDLNRGKLLEFQQKVMWQRQEVRNLREMSPWIRTYDYIVRLLVRSLLTILQRIKHVFELSQMPSQERNNVHEHMHPNCIPQSDSFSAVHSSIHTSERILCRFSSGPDSIPRITPEKNKINKQLQLHPQSSTLHGKHHNFRTSWLAHVGPFKECVISGTESPLLLESCKPDVCNSIECTADYMKNVAKMENLNMGSLICSNRIYSKLALFSSKCGLLNAPPSTLGHAALSLHYANMIVLIERLASSPHMIDLETRDDLYNMLPTTIRTALRTRLKAFGNTLAPSAYDAFIAAKWSLMLKSILKWLSPLAHNMIRWHSERNFERKQEVSRTNVLLVQTLHYANQAKTEAAITELLVGLSYICRINGDLNEKG >Manes.15G005450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:605366:606224:-1 gene:Manes.15G005450.v8.1 transcript:Manes.15G005450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCHCAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMKKTSKSTMSTAGGTAQCQKCFQTGHWTFECKNERVYVTRPSRTQQLKNPKLRMKHSLPYDLDHPDAKEEKGKLSKKSKRSIDQILILAVIIKKERRRRYSSTSESSDSDSGSESDHEDTSRRRKRRHSRKR >Manes.15G134100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10804022:10814243:-1 gene:Manes.15G134100.v8.1 transcript:Manes.15G134100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISALINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEEIRAINAEPAEGFDVGAILSIARSQLSSEWEATRIEALHWISILLNRHRAEVLCFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDTQHFRQLVFFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLASSELAELREILKQSLVNPAGKDLFVSLYTSWCHSPMAIVSLCLLAQTYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFNGDQIMGTSSGSEIGRHIPSGSQISEDGDVKQEVGKFNFGSRLQQLEQIQSQHRMHAKSRNNSTTLNSGNTKTRRSHE >Manes.15G134100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10804219:10814189:-1 gene:Manes.15G134100.v8.1 transcript:Manes.15G134100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISALINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEEIRAINAEPAEGFDVGAILSIARSQLSSEWEATRIEALHWISILLNRHRAEVLCFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDTQHFRQLVFFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLASSELAELREILKQSLVNPAGKDLFVSLYTSWCHSPMAITYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFNGDQIMGTSSGSEIGRHIPSGSQISEDGDVKQEVGKFNFGSRLQQLEQIQSQHRMHAKSRNNSTTLNSGNTKTRRSHE >Manes.15G134100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10804260:10814189:-1 gene:Manes.15G134100.v8.1 transcript:Manes.15G134100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISALINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEEIRAINAEPAEGFDVGAILSIARSQLSSEWEATRIEALHWISILLNRHRAEVLCFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDTQHFRQLVFFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLASSELAELREILKQSLVNPAGKDLFVSLYTSWCHSPMAIVSLCLLAQTYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFNGDQIMGTSSGSEIGRHIPSGSQISEDGDVKQEVGKFNFGSRLQQLEQIQSQHRMHAKSRNNSTTLNSGNTKTRRSHE >Manes.15G134100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10804022:10814243:-1 gene:Manes.15G134100.v8.1 transcript:Manes.15G134100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISALINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEEIRAINAEPAEGFDVGAILSIARSQLSSEWEATRIEALHWISILLNRHRAEVLCFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDTQHFRQLVFFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLASSELAELREILKQSLVNPAGKDLFVSLYTSWCHSPMAIVSLCLLAQTYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFNGDQIMGTSSGSEIGRHIPSGSQISEDGDVKQEVGKFNFGSRLQQLEQIQSQHRMHAKSRNNSTTLNSGNTKTRRSHE >Manes.15G134100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10804260:10814189:-1 gene:Manes.15G134100.v8.1 transcript:Manes.15G134100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISALINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKLSYCHIMKQDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEEIRAINAEPAEGFDVGAILSIARSQLSSEWEATRIEALHWISILLNRHRAEVLCFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDTQHFRQLVFFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLASSELAELREILKQSLVNPAGKDLFVSLYTSWCHSPMAIVSLCLLAQTYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFNGDQIMGTSSGSEIGRHIPSGSQISEDGDVKQEVGKFNFGSRLQQLEQIQSQHRMHAKSRNNSTTLNSGNTKTRRSHE >Manes.15G134100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10804260:10814189:-1 gene:Manes.15G134100.v8.1 transcript:Manes.15G134100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISALINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEEIRAINAEPAEGFDVGAILSIARSQLSSEWEATRIEALHWISILLNRHRAEVLCFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDTQHFRQLVFFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLASSELAELREILKQSLVNPAGKDLFVSLYTSWCHSPMAIVSLCLLAQTYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQVHS >Manes.15G134100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10804022:10814189:-1 gene:Manes.15G134100.v8.1 transcript:Manes.15G134100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISALINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEEIRAINAEPAEGFDVGAILSIARSQLSSEWEATRIEALHWISILLNRHRAEVLCFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDTQHFRQLVFFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLASSELAELREILKQSLVNPAGKDLFVSLYTSWCHSPMAIVSLCLLAQTYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFNGDQIMGTSSGSEIGRHIPSGSQISEDGDVKQEVGKFNFGSRLQQLEQIQSQHRMHAKSRNNSTTLNSGNTKTRRSHE >Manes.15G134100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10804260:10814189:-1 gene:Manes.15G134100.v8.1 transcript:Manes.15G134100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLAAAGDHDKISALINLLTTEFTYSPQANHRKGGLIGLAAATVGLTTEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEEIRAINAEPAEGFDVGAILSIARSQLSSEWEATRIEALHWISILLNRHRAEVLCFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDTQHFRQLVFFLVHNFRIDNSLLEKRGALIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLASSELAELREILKQSLVNPAGKDLFVSLYTSWCHSPMAIVSLCLLAQTYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQVHS >Manes.12G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36643069:36649829:1 gene:Manes.12G159000.v8.1 transcript:Manes.12G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTTIIYLSKSRLHVKPRVTLLGLNWKLNLNDDFSCSPILRLAPNMSSILPIIIYLFALFTHSHSQSQSQSQSQSISSPTICIIGSGIAGSSLAHFLRSYSPPNSPSSAARILLFERNDIVGGRMATVTISGETFEAGASILHPLNYHASNFTRLLNLKPRTPPTSESSLSLGIWNGSKFVFKTVTSHSKNPFVQKIVSFANSLYMLLRYGFSLFKMNSFVEVTVNKFLKYYQSFETRPTFETVDEMLKWAGLYNLTTCTLHDELVNVALTPLLVQELVTVITRINYGQSVYISGLAGAVSLAGSGGGLWSIEGGNRQMASGLINRSDVELHLHEEIYSIFNLGEYYELSSTKGRSYMCEVTAIATPLDELNIQFTPPISIPERHLQHTHATFVRGLLNPGYFGLQTVSEIPELVGTIEEPDLPFSSISVLKQHNETDMTYKIFSRKAMPDSLLESIFSVRKETIRINWAAYPHYKAPEVFAPFILDGKQLYYANAFENAASTMETSAVAAENVARLILSRHFGEGSSRSSNLMSSFSDDKLLHSDL >Manes.01G168400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35096233:35097409:1 gene:Manes.01G168400.v8.1 transcript:Manes.01G168400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGRICSCIPGLKRKESKSEDSDKSSRRKSRAKDERKGDTSAGIPGDHSTEGSSHQGTTTASSNDAIGAAAVAVHVSAMESSGGDG >Manes.08G146800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38267107:38269478:1 gene:Manes.08G146800.v8.1 transcript:Manes.08G146800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGGVLEVVPTTSLPNSAELKKELQRLVNAILDGEDFSLEITDEAIMLLTALKELKFEKSSDSLKLVDDTVLPDEFKCPISRKLMADPVVLATGQTYDRPSILQWLSTGHQTCPQTQQVLSHNVLTPNHLVREIITRWCKKHGLELPKPFGDSDGNFVAYAEGDHLNSLLEKMSSSLSDQKEAARELRLLTRTMPSFRALFGESSDAIPKLLCPLSFGRVDSHPDLQEDLITTILNLSIHDNNKQLVAENPLAIPLLIESLKSGTIETRSNAAAALFTLSGHDSNKISIGKAGALKPLIDLLEEGPTLAMKDAASAIFNLCIILENNGRAVQEGAVRVILKKIMDGILVDELLAILAMLATHQKAVEDMKELGAVGCLLSIIREGPSERNKENCAAILYTICLNDGTTCREIRDEENANHTISKLAENGTSRARRMANFILERLDRAA >Manes.10G056000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:12771703:12773495:1 gene:Manes.10G056000.v8.1 transcript:Manes.10G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCSTKILDFCQVLPAYDSPESATEFSLPLTFFDMLWLKLPPVQRLFFYQLTDPSATPAFFNTVILPKLKHSLSLTLVHFLPLAGHLTWPPNLPKPFILYTPNDGVPFTVADSDADFDRLSSDNILNAVELHPYVPELPISDTMASLLALQITLFPNKGFSIGYSINHALLDGKSIIMFMKYWAHISKHGENGKQNSSMLLEELAPFYDRSGIEDPLELGNLYLSQWESLAESEPMSNPRSLKLLPQLGADIKRVRSTFHLTREEINKLKNKVLSQLENPIPLSTFVVTCAYVLVCMVKARGGDGSRMVWFTFAVDCRRRLDPPLPANYFGNCVAVHDVSTEARDIMEGNGFSSIAKRLSGVIEGLKKGLFQRAADMAPRLKNAIITGAQVIGLAGSTRFDVYECDFGWGRPKKVEIISIDKTGAISLTQSRDGNGGIQIGLALSKDEMEAFASLFVDGLKHLQ >Manes.15G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11756253:11760182:-1 gene:Manes.15G143400.v8.1 transcript:Manes.15G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQPHRKIEEEEEEEISHHHMDLKEIIKSKPSQSISCSSSSTSSPCSFKFNVQAREFVPRSHSPSTAAQTQMPISGYFYPCFHYLGATAGSDWFFLGEQDPHAYLISNPNLALPNSSNKTTLLTDDLRQKILKQVEYQFSDLSLLANESMSKNISKDPEGYVPISVIASTKKMKSLVNNNQLLAQALQSSAKLVVSEDGKRVKRKIPFTEKDREELQSRTVVVENLPEDHSHQNLEKIFSVVGSVRTIRICHPQESNSSRSKSDFFMSNKLHALVEFENLDTAEKAVEKLNDERNWRKGLRVRVLLRCSPKSVLKSRKSDFDVLLDEEDFPAPESNEGSPPIHQIHNAECIAESINVEENSGPLKKGWARGRGKGKGRGQSHGGRGLLAPFPLSVSSPQCEASAKQASKGPRMPNGTRGFTMGRGRPLGSPALACSMLE >Manes.02G103200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8095917:8096228:-1 gene:Manes.02G103200.v8.1 transcript:Manes.02G103200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLPQTAALKQILKRCSSFGKKLYEQQGLPDDVPKGHFAVYVGENRSRYIIPISWLDHPEIRSLLQRAEEEFGFKHEMGLTIPCEEVVFRSLTAMIK >Manes.14G040800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3453634:3458934:1 gene:Manes.14G040800.v8.1 transcript:Manes.14G040800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGRVLAVLYPNKLLCLSGSHGLHHLNCRFKGFDRKLSSKWRSMASEFESSSFAPSVDSDSTDKNAAGFCIIEGPETVQDFAKMELQEIRDNIRSRRNKIFLQMEEVRRLRIQQRIKSAELGILKEDHEHELPDFPSFIPFLPPLSAENLKLYYATCFSLIAGIIIFGGLLAPILEIKLGLGGTSYADFIRCVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGSLVLVETVSTVNGGDQPLSTPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >Manes.18G117432.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12174430:12176291:1 gene:Manes.18G117432.v8.1 transcript:Manes.18G117432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSSNVRSHMLTIKPLKNEEAWDLFCMKAFFINPDKSCPEELKPLALELVGKCDGLPLAIVALGGVMSSKKSTSEWSSVWDSLNWQLNNNPRLEIVKSILLLSFNYLPSPLKYCFLYCCLFPEDYKIRRERLIRLWIAEGFIQNVDRTTPNEVAERYFMELTLCSMLQVGSRNACGRPRACQIHDLLREIGISMLEREKFGVVYDGKIKIKECQLHQTRRLSIQITNGDLQSYGNMRRLRSLLVFVDSFVSFSNTSLSNLKLMRSLDLENVAINISPEGLGTLFNLRNLESLDIINTNVKELPSENLYHLIMWSKRIANNLGDFLYLNGVQVTFKISKLKKLPVLYYIEAKGDIIRQLGSMTQLRRMGILNLREADEHDLCSSILNLKLIRTLRLCVNNEADFLRVDALETPPPQLQKLGLHGKLERVPHWFCSLQNLTSLGLLGSRLEEDPLPHVAVLPNLGRVTLINSFVGENLHFYGGFAKLKELYLFKFPQLKGIIIEKGAMPDIQKLWIDSCFALDAVPQGIEFLTNLQIMWLTNFSSSLIDLKSIDHSKLQHIPNVNIIDTIGELPQAQTHLCPYSKDLK >Manes.01G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29446443:29448428:1 gene:Manes.01G093200.v8.1 transcript:Manes.01G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSILSWDDDEQMELPPGFRFHPTDEELITHYLSQKVLDNCFCARAIGEVDLNKCEPWDLPWRAKMGEKEWYFFCVRDRKYPTGLRTNRATDAGYWKATGKDKEIYRAKTLVGMKKTLVFYKGRAPRGTKSNWVMHEYRLEGKYSAYNLPKTAKNEWVICRVFQKSSGGKKIHISGLGRVSSCGNELRPPALLPPLMDSSPHNSETRNSSGDASYVTCFSKPMEPKEDMVDSFNNSSSSNISPTSVLISKTSVPSSFYSSEISPNFANFQYPACVFTPEQSISRMFLENQGPNMKQNSKMELSQDTGLNSDISSVVINPELIHRSFEDQEAPSSCAGPADFDCLWSY >Manes.02G021494.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1900966:1906930:-1 gene:Manes.02G021494.v8.1 transcript:Manes.02G021494.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETELYSSRILSPFREESCDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLARATGSEVVDEDNDVDTSSGSEICSQDHDFSSGTEFLKFRKPRVRSTRPRIPLANSTSSSGCVDAESIIHPLQSKVNLAKLSTESLRRYCRSFNLEGVHSKSTRDQMLNVVQQHFASQQPLDEVQVIEEFIKAKKRKKLADRPTE >Manes.01G039264.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8131438:8134544:-1 gene:Manes.01G039264.v8.1 transcript:Manes.01G039264.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLDNSNSLPSWVGDDCCGWLGVTCDDITGHVVKLVLCRASIMGNISLHLGNLSNLQYLDLSWNADLSSKPLLAIHSLHFPSSLKYLNLSYVLLDKCDNWLQSINMLPSLLELELWSCELSITGDVSHVNFTSLEVLKLGLNNFHSTIPSWLYNITKLQNLDLDSSAFRGSLSTDISNLNSLASLNVGFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLENLYLLNNSFSGSIPDNLGQFKRLKVLYLSENSFWGSIPVSIGQLYNLERLGFSQNSLHGEVSELHLLNLRSLIELNMGRNSLVFDIDPEWIPPFQLDSIDLSSCEVGPSFPQWLKTQKSIRFLQMSNASISGNIPDWFENISSNIVGLDLSYNQLFGTLPTFRKLNTPYANELIILLKSNQFDGFLTCSHFDATVLDISNNLLHGQIPQNISEIMPSLRHLSLSNNYLNGTVPATLCRIDSLEILDLSNNHLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQEWLVSLHLQNNTLQGKIPTSLRNLESLETLDLSMNSFDGFIPWWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKGHWDYYSNVGPYVGFVRGYGENVQVYVKGIELEYTSTLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSANKLFGHIPWNIGKLSSLESLDLSENELSGSIPSSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.07G069300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:16295454:16296617:-1 gene:Manes.07G069300.v8.1 transcript:Manes.07G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLLFVLHLIVFISRSFPVFAFTSPQDMSALKAFKASIKPSSIPSWSCLASWDFSTDPCALPRRTHFTCGITCSSDSTRVTQLTLDPVGYSGQLTPLVSQLSNLTILDLSDNNFFGPIPSSVSSLFNLQTLILRFNSFSGALPDSITNLKSLEALDISHNSLSGYLPKAMNSMSSLRRLDLSYNKLTGYLPKLPPNLLELAMKSNSLSGSLSRWSFDGSTQLEVVELSENSLTGAVGSWFLALPALQQVNLANNSLTRIEISKPVNGNSDLVAIDLGFNRIEGNAPVNFSAYPLLTSLSLRYNRLRGTIPLEYGQKKNLRRLFLDGNFLIGKPPSGFFAGEASVTGSLGDNCLQECPGSSQLCTPSQKPSSICKQAYGGRGKPSF >Manes.17G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25696665:25699223:1 gene:Manes.17G058100.v8.1 transcript:Manes.17G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERPGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQAIGA >Manes.16G116600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32122303:32123864:1 gene:Manes.16G116600.v8.1 transcript:Manes.16G116600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASKVIMGATLVMIVSLAIVLALILVLLAELYCSLLLRRRRLLGITSSNATTTAAGTTTSPTFNASSPQPQNRRDAPHTTYYAPGVLHAPRSLFFPAVSCKRNKATEMKDQLSQIHYILDVHTQESNSSSQQLGLINTSSTKLVTSPHQIREIPIRISSSDVNEKACGGSGEPFVCISNPIYDNEASRESKVETPFETPGSSPSRLETGGSSSDEEIAHPSTSSPHSPPATPPLTPMKKLPAKACSVSLRDARSLGTSGSDIVSNNGLSSSSSSVSPCTSPSW >Manes.09G011688.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2531721:2535048:1 gene:Manes.09G011688.v8.1 transcript:Manes.09G011688.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFSFTNYCHSSTSTLEEALFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPDVVTFNTLINGLSIESKMDKALEFFDDMVARGYQPNVRTYSVIINVLCKFGKTNVAIGLLKEMADRGCEPDVVTYNAIIDTLCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFSVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYNSLIDGLCISDQLKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSIAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKLFDLMVINEIADIFSYNILINGYCKCKMIDDAKEIFDEMSHKGLVSDAVTYHTLINGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDGALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSGLFKIGLQPDVCVYNAIMKGLCQQGLMDEAYKLFKDMEKVGCLPNSCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNDLILSKLRNRSEASKAVQ >Manes.11G025500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2541573:2548478:1 gene:Manes.11G025500.v8.1 transcript:Manes.11G025500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFLGAIRVTPLASFAHPGHQFSYQSSSLSLSRIGLSPFSLSKNGFSLPKRILQASFISNASGNADAESPLDQSEIIFMGTGTSEGIPRLSCLTNPSKECPVCSKAVEPGNKNRRLNTGLLIRYSGAGERRNILIDAGKFFYHSALRWFPAFGLRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVAQRDFEFTPLPVWHGRGYRSLGFRFGNICYISDVSEIPEETYPLLEDSEILILDALRPDRSSSTHFGLQRALDEVRKIQPKRTLFTGMMHLMDHDKVNEYLLKLMETEGLDVQLSYDGLRIPVSL >Manes.11G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2541573:2548478:1 gene:Manes.11G025500.v8.1 transcript:Manes.11G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFLGAIRVTPLASFAHPGHQFSYQSSSLSLSRIGLSPFSLSKNGFSLPKRILQASFISNASGNADAESPLDQSEIIFMGTGTSEGIPRLSCLTNPSKECPVCSKAVEPGNKNRRLNTGLLIRYSGAGERRNILIDAGKFFYHSALRWFPAFGLRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVAQRDFEVMKKTHYYLVDTSVVTTGAAVSELQFNIIHEEPFTACDLMFTPLPVWHGRGYRSLGFRFGNICYISDVSEIPEETYPLLEDSEILILDALRPDRSSSTHFGLQRALDEVRKIQPKRTLFTGMMHLMDHDKVNEYLLKLMETEGLDVQLSYDGLRIPVSL >Manes.11G025500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2541573:2548478:1 gene:Manes.11G025500.v8.1 transcript:Manes.11G025500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGTSEGIPRLSCLTNPSKECPVCSKAVEPGNKNRRLNTGLLIRYSGAGERRNILIDAGKFFYHSALRWFPAFGLRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYVAQRDFEVMKKTHYYLVDTSVVTTGAAVSELQFNIIHEEPFTACDLMFTPLPVWHGRGYRSLGFRFGNICYISDVSEIPEETYPLLEDSEILILDALRPDRSSSTHFGLQRALDEVRKIQPKRTLFTGMMHLMDHDKVNEYLLKLMETEGLDVQLSYDGLRIPVSL >Manes.07G135500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33673030:33676311:-1 gene:Manes.07G135500.v8.1 transcript:Manes.07G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLDQLLKSIMEILWFSVVNRAQYNVTIHWHGVRQLRTGWADGPEFVTQCPIRPGGKYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPRQGSSYPFPQPKRETPIILGEWWNANPIDVVREATRTGGAPNVSDAYTINGQPGDLYNCSAQDTVIVPIDSGETNLLRVINAAMNQPLFFTVANHKLTVVGADASYVKPFTTTVLMLGPGQTTDVLISGDQQSSRYYMAARAYQSAQNAAFDNTTTTAILEYKSAPCAAKNCSGSKPIMPPLPAYNDTATVTAFSNSFRSRDKVLVPTDIDENLFFAVGLGLNNCPPNFNKASQCQGPNGTRFTASMNNVSFQLPSTFSLLQAHQLRVPGVFTTDFPAKPPVQFDYTGNVSRSLWQPVPGTKVYKLKYGSRVQLVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFNPQTDTSKFNLVDPPLRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVENGVGELQSLESPPADLPPC >Manes.05G054500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4516747:4522231:-1 gene:Manes.05G054500.v8.1 transcript:Manes.05G054500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEVINHFVKQASTLQVSLLTPLIVEATAHPSLFAFSEILAVPSVAELEGTENSRYLDVLRLFAYGTWTDYKNNAGRLPELIPDQVLKLKQLTVLTFAETNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSEDLLVSIQEKIKWADTTSELDKQHRKDVEDRVEEVKKSLSLKKLHTVSRPTLTSEAMTRSTFNLVE >Manes.05G054500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4516919:4522209:-1 gene:Manes.05G054500.v8.1 transcript:Manes.05G054500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEVINHFVKQASTLQVSLLTPLIVEATAHPSLFAFSEILAVPSVAELEGTENSRYLDVLRLFAYGTWTDYKNNAGRLPELIPDQVLKLKQLTVLTFAETNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSEDLLVSIQEKIKWADTTSELDKQHRKDVEDRVEEVKKSLSLKSMCLTYVLAEVTHCKQADIDFRSNDEIYFQPGGVMDYEDRSRSKRRRHPRS >Manes.05G054500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4516747:4522231:-1 gene:Manes.05G054500.v8.1 transcript:Manes.05G054500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEVINHFVKQASTLQVSLLTPLIVEATAHPSLFAFSEILAVPSVAELEGTENSRYLDVLRLFAYGTWTDYKNNAGRLPELIPDQVLKLKQLTVLTFAETNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSEDLLVSIQEKIKWADTTSELDKQHRKDVEDRVEEVKKSLSLKADIDFRSNDEIYFQPGGVMDYEDRSRSKRRRHPRS >Manes.05G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4516589:4522269:-1 gene:Manes.05G054500.v8.1 transcript:Manes.05G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEVINHFVKQASTLQVSLLTPLIVEATAHPSLFAFSEILAVPSVAELEGTENSRYLDVLRLFAYGTWTDYKNNAGRLPELIPDQVLKLKQLTVLTFAETNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSEDLLVSIQEKIKWADTTSELDKQHRKDVEDRVEEVKKSLSLKADIDFRSNDEIYFQPGGVMDYEDRSRSKRRRHPRS >Manes.05G054500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4519058:4522209:-1 gene:Manes.05G054500.v8.1 transcript:Manes.05G054500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEVINHFVKQASTLQVSLLTPLIVEATAHPSLFAFSEILAVPSVAELEGTENSRYLDVLRLFAYGTWTDYKNNAGRLPELIPDQVLKLKQLTVLTFAETNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSEDLLVSIQEKIKWADTTSELDKQHRKDVEDRVEEVKKSLSLKVS >Manes.05G054500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4516589:4522269:-1 gene:Manes.05G054500.v8.1 transcript:Manes.05G054500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEVINHFVKQASTLQVSLLTPLIVEATAHPSLFAFSEILAVPSVAELEGTENSRYLDVLRLFAYGTWTDYKNNAGRLPELIPDQVLKLKQLTVLTFAETNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSEDLLVSIQEKIKWADTTSELDKQHRKDVEDRVEEVKKSLSLKKLHTVSRPTLTSEAMTRSTFNLVE >Manes.06G116100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24736853:24738955:-1 gene:Manes.06G116100.v8.1 transcript:Manes.06G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVNVSIISREIIKPSSPTPQALKCFKLSLLDQLAPAAFVPMIMYFAPTADSNLKNQERIDLLKKSLSQTLTLFYPLAGRLKENLFIECNDEGVDFIEARVNCPLLDILRRPEADDLDQFLPEEYHVPELASSLESQVAVQVNIFSCGGMAIGTCISHKLVDGITHTSLINLWASMARGSDKYLSPIFVGSHLFPPRDLSGLLPALDVPKSKTITKRFVFDLSKVAAFRERVFGNSSARNLPSRVEIVSALIWKYAVEASRAKSSGSSKNQSFLTQTVNLRGRMDPPLPENTAGNLLWLAMTPAPSDSREMKMHELVYQIRNAFKKFDRDSVKKLQGENGFLELGKTLKQIGELVSKDVNIYRFTSLRKFKLHEADFGWGKPVWVSSAGLTFKNVVVLIESSAGDRVDAWVTLEEQEMAIFQRNQELLSFDSPSQSASRLLLNSRI >Manes.06G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23419233:23426103:-1 gene:Manes.06G100200.v8.1 transcript:Manes.06G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPNRQLFIGGEWKEPVLKKRIPIINPSTEEIIGDIPAATAEDVELAVEAARKAFTQNKGRDWAFTSGAFRAKYLRAIAAKITERKPELAKLEALDCGKPLDEAAWDIDDVAGCFEYYADLAEGLDAKQNVPVSLPMETFKSYVLKEPIGVVALITPWNYPLLMATWKVAPALAAGCTAILKPSELASVTCLELAEVCREVGLPPGVLNILSGLGPEAGAPLASHPHVDKIAFTGSTATGSRIMASAAQMVKPVSMELGGKSPIIVFEDVDLDKAAEWTIFGCFWTNGQICSATSRLLVHESIATEFVDRLVKWCKKIKISDPLEEGCRLGPVVSGGQYEKILKCISTAKSEGASILFGGARPKHLNKGFFIEPTIITDVNTSMQIWREEVFGPVLCVKTFSTEDEAIELANDTHYGLGAAVISKDLERCDRVSKAFRAGIVWINCSQPCFCQAPWGGLKRSGFGRELGEWGLENYLSVKQVTKYISDEPWGWYQSPSKL >Manes.10G129200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29565414:29567217:-1 gene:Manes.10G129200.v8.1 transcript:Manes.10G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLSQFTFLSDQALQDKNFDPSTMEDLMKLFEIEAYRSWASIELEQENEVKETQVALQNAESYLDSVMEEAMEEFRRFEEEMERMAKQELESLEETAENARKMGNLMEKAASIASKRYIEAAVNSATASMKSAWKGLSSNKVHPS >Manes.06G090700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22640439:22644102:-1 gene:Manes.06G090700.v8.1 transcript:Manes.06G090700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAGIFDLETHFAFYGAYHSNPVNIFIHTLFVWPIFFTSLMLFHFTPSIYDISQIGFAGNGLLLNFGFFFTLIYALFYVCLDKKAGTLAALLCFACWVGASFISVRLGYSLAWKVVLAAQLFCWTGQFLGHGVFEKRAPALLDNLAQAFLMAPFFVLLEVLQTAFRYEPYSGFHASVKAKIDAEIKEWKDHKQKKST >Manes.06G090700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22640439:22644102:-1 gene:Manes.06G090700.v8.1 transcript:Manes.06G090700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAGIFDLETHFAFYGAYHSNPVNIFIHTLFVWPIFFTSLMLFHFTPSIYDISQIGFAGNGLLLNFGFFFTLIYALFYVCLDKKAGTLAALLCFACWVGASFISVRLGYSLAWKVVLAAQLFCWTGQFLGHGVFEKRAPALLDNLAQAFLMAPFFVLLECYHYRFFRQPFAMNHIRGFTQASRQR >Manes.S047816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1522001:1522162:1 gene:Manes.S047816.v8.1 transcript:Manes.S047816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.14G087201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7217341:7217848:1 gene:Manes.14G087201.v8.1 transcript:Manes.14G087201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLILMRRGSPSVAVGREDRAYVIHGRVMEGIIGNEGREWLIPEEVKSENESKEDTVWIFVFP >Manes.07G107300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31343501:31361686:1 gene:Manes.07G107300.v8.1 transcript:Manes.07G107300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVGNKNNLTRAVKNYADSVVQQAGQAVAEGAKILQDRIGNRNYRSVKQTVKRLEEASVSCRGPERILLLRRWLFVLKEVDKLLAASPEDKQTTLEQHVFTDEGNESPRKQSMVLYYDSDIGGEPMNFRDVFLQSQAMEGITLSMILEAPTDEEISLLLEMFGICLNGGKEVHNAIVSSIQDLASAFACYQDEVLVKREELLQFAQGAVAGLKVSADLGRIDAEAINLKNKLDRMIGSDKPSSEGQDKESGGTAKATVEALKEDLAQIRICSRLEGLLLKKKNFNLGDSPEIHAQKVDKLKVLSESLASSARKAEKGILDHRIHKEEALKVRLAKADEANEREKEISAEISVLEKQRDELEAQLKKVNISLAAANARLHNSREERDQFEEANSQILEHLKAKEGELSKSAAASKVEAKVLSNWINFLEDTWVLQRSYTETKEKEVNDELERHENYFVNLAIHLLSDYKNELGPYITRIGNFVKNLKNLSEGSEVAAGVDHDGAKHPRKNLEEEYLDYEAKIITTFSVVDSMREQLYAQQLAFYRKDDAKIKKLFDDIEKLRKEFESIERPILEIETPPTPKAETVSEKPLGSPTRKLMQNPSSPKSGTDGQPIAPAVEGHQVLDPAAELAKLESEFGKDARDYSAEEIAEWEFDELERELSSGDTATSK >Manes.07G107300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31343690:31352291:1 gene:Manes.07G107300.v8.1 transcript:Manes.07G107300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVGNKNNLTRAVKNYADSVVQQAGQAVAEGAKILQDRIGNRNYRSVKQTVKRLEEASVSCRGPERILLLRRWLFVLKEVDKLLAASPEDKQTTLEQHVFTDEGNESPRKQSMVLYYDSDIGGEPMNFRDVFLQSQAMEGITLSMILEAPTDEEISLLLEMFGICLNGGKEVHNAIVSSIQDLASAFACYQDEVLVKREELLQFAQGAVAGLKVSADLGRIDAEAINLKNKLDRMIGSDKPSSEGQDKESGGTAKATVEALKEDLAQIRICSRLEGLLLKKKNFNLGDSPEIHAQKVDKLKVLSESLASSARKAEKGILDHRIHKEEALKVRLAKADEANEREKEISAEISVLEKQRDELEAQLKKVNISLAAANARLHNSREERDQFEEANSQILEHLKAKEGELSKSAAASKVEAKVLSNWINFLEDTWVLQRSYTETKEKEVNDELERHENYFVNLAIHLLSDYKNELGPYITRIGNFVKNLKNLSEGSEVAAGVDHDGAKHPRKNLEEEYLDYEAKVCDVIV >Manes.07G107300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31343501:31361686:1 gene:Manes.07G107300.v8.1 transcript:Manes.07G107300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVGNKNNLTRAVKNYADSVVQQAGQAVAEGAKILQDRIGNRNYRSVKQTVKRLEEASVSCRGPERILLLRRWLFVLKEVDKLLAASPEDKQTTLEQHVFTDEGNESPRKQSMVLYYDSDIGGEPMNFRDVFLQSQAMEGITLSMILEAPTDEEISLLLEMFGICLNGGKEVHNAIVSSIQDLASAFACYQDEVLVKREELLQFAQGAVAGLKVSADLGRIDAEAINLKNKLDRMIGSDKPSSEGQDKESGGTAKATVEALKEDLAQIRICSRLEGLLLKKKNFNLGDSPEIHAQKVDKLKVLSESLASSARKAEKGILDHRIHKEEALKVRLAKADEANEREKEISAEISVLEKQRDELEAQLKKVNISLAAANARLHNSREERDQFEEANSQILEHLKAKEGELSKSAAASKVEAKVLSNWINFLEDTWVLQRSYTETKEKEVNDELERHENYFVNLAIHLLSDYKNELGPYITRIGNFVKNLKNLSEGSEVAAGVDHDGAKHPRKNLEEEYLDYEAKIITTFSVVDSMREQLYAQQLAFYRKDDAKIKKLFDDIEKLRKEFESIERPILEIETPPTPKAETVSEKPLGSPTRKLMQNPSSPKSGTDGQPIAPAVEGHQVLDPAAELAKLESEFGKDARDYSAEEIAEWEFDELERELSSGDTATSK >Manes.02G152700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11684188:11696846:-1 gene:Manes.02G152700.v8.1 transcript:Manes.02G152700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPTDGSATCSSCFSCQNGCFHGHDDGSCADPRCIQCMRSDPPSCSSAPLLGFDSIPLKDSEKLSRVIIASAKGFSIGAGLKGGLSLFSILARLRRTRLCSSSRKVEVFSNSEAIALALKETLRYGLFLGTFAGTFASVDEIIASLGGHRRSAYILKEDSLPPSYKSFLKKHGGKDTVILQGVKEIASGLPFTDLDSIEKYYNSTGVSIKLDPEMKIPCSIIHGNQSCGGHIISFFIQAYKRALPVYLPVYLIPALIVHREGLWKSPCSILAKGLVGIARSSLFLSTYCSSAWMWTCVLFRIFRRCNIPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIDSFFTCTKDVGYLPQSKNLKRADVVMFSISTAIIMHCYSQEREMFRSKYLIVLDWVFGVPPLPDETPRRKESRKANPWAP >Manes.02G152700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11684188:11696754:-1 gene:Manes.02G152700.v8.1 transcript:Manes.02G152700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPTDGSATCSSCFSCQNGCFHGHDDGSCADPRCIQCMRSDPPSCSSAPLLGFDSIPLKDSEKLSRVIIASAKGFSIGAGLKGGLSLFSILARLRRTRKVEVFSNSEAIALALKETLRYGLFLGTFAGTFASVDEIIASLGGHRRSAYILKEDSLPPSYKSFLKKHGGKDTVILQGVKEIASGLPFTDLDSIEKYYNSTGVSIKLDPEMKIPCSIIHGNQSCGGHIISFFIQAYKRALPVYLPVYLIPALIVHREGLWKSPCSILAKGLVGIARSSLFLSTYCSSAWMWTCVLFRIFRRCNIPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIDSFFTCTKDVGYLPQSKNLKRADVVMFSISTAIIMHCYSQEREMFRSKYLIVLDWVFGVPPLPDETPRRKESRKANPWAP >Manes.02G152700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11684083:11696989:-1 gene:Manes.02G152700.v8.1 transcript:Manes.02G152700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPTDGSATCSSCFSCQNGCFHGHDDGSCADPRCIQCMRSDPPSCSSAPLLGFDSIPLKDSEKLSRVIIASAKGFSIGAGLKGGLSLFSILARLRRTRKVEVFSNSEAIALALKETLRYGLFLGTFAGTFASVDEIIASLGGHRRTAKWRALLAGLIAGPSMLLTGPNTQHTSLALYTLMRAAVLASRCGVKSKRFGTYCKPLTWKHGDIFLMCLSSSQILSAYILKEDSLPPSYKSFLKKHGGKDTVILQGVKEIASGLPFTDLDSIEKYYNSTGVSIKLDPEMKIPCSIIHGNQSCGGHIISFFIQAYKRALPVYLPVYLIPALIVHREGLWKSPCSILAKGLVGIARSSLFLSTYCSSAWMWTCVLFRIFRRCNIPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIDSFFTCTKDVGYLPQSKNLKRADVVMFSISTAIIMHCYSQEREMFRSKYLIVLDWVFGVPPLPDETPRRKESRKANPWAP >Manes.02G152700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11684467:11696673:-1 gene:Manes.02G152700.v8.1 transcript:Manes.02G152700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPTDGSATCSSCFSCQNGCFHGHDDGSCADPRCIQCMRSDPPSCSSAPLLGFDSIPLKDSEKLSRVIIASAKGFSIGAGLKGGLSLFSILARLRRTRLCSSSRKVEVFSNSEAIALALKETLRYGLFLGTFAGTFASVDEIIASLGGHRRTAKWRALLAGLIAGPSMLLTGPNTQHTSLALYTLMRAAVLASRCGVKSKRFGTYCKPLTWKHGDIFLMCLSSSQILSAYILKEDSLPPSYKSFLKKHGGKDTVILQGVKEIASGLPFTDLDSIEKYYNSTGVSIKLDPEMKIPCSIIHGNQSCGGHIISFFIQAYKRALPVYLPVYLIPALIVHREGLWKSPCSILAKGLVGIARSSLFLSTYCSSAWMWTCVLFRIFRRCNIPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIDSFFTCTKDVGYLPQSKNLKRADVVMFSISTAIIMHCYSQEREMFRSKYLIVLDWVFGVPPLPDETPRRKESRKANPWAP >Manes.02G152700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11684090:11698373:-1 gene:Manes.02G152700.v8.1 transcript:Manes.02G152700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLENNQQEVVLQRSQDINQNGLLIPLYTWHLLPQSSLSMSLPPTDGSATCSSCFSCQNGCFHGHDDGSCADPRCIQCMRSDPPSCSSAPLLGFDSIPLKDSEKLSRVIIASAKGFSIGAGLKGGLSLFSILARLRRTRLCSSSRKVEVFSNSEAIALALKETLRYGLFLGTFAGTFASVDEIIASLGGHRRTAKWRALLAGLIAGPSMLLTGPNTQHTSLALYTLMRAAVLASRCGVKSKRFGTYCKPLTWKHGDIFLMCLSSSQILSAYILKEDSLPPSYKSFLKKHGGKDTVILQGVKEIASGLPFTDLDSIEKYYNSTGVSIKLDPEMKIPCSIIHGNQSCGGHIISFFIQAYKRALPVYLPVYLIPALIVHREGLWKSPCSILAKGLVGIARSSLFLSTYCSSAWMWTCVLFRIFRRCNIPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIDSFFTCTKDVGYLPQSKNLKRADVVMFSISTAIIMHCYSQEREMFRSKYLIVLDWVFGVPPLPDETPRRKESRKANPWAP >Manes.09G072800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12335676:12336890:1 gene:Manes.09G072800.v8.1 transcript:Manes.09G072800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTVFLSINSNLLMFLNESYPPLQSSVSVFCGCRRNPNACHRLSARFSSLAAVTATVTEEDSRQAPSKSVARRLILLRHAKSSWDNPSLRDHDRPLSKAGKADAAQVSQKLLQLGWIPQLILSSDATRTRETLNIMQEQVADLLNSEVHFIPSFYSIAAMDGQTAEHLQQAICRYSSDKILTVIKHLHLQVCVSIQNCYGVKWV >Manes.09G072800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12335456:12340992:1 gene:Manes.09G072800.v8.1 transcript:Manes.09G072800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTVFLSINSNLLMFLNESYPPLQSSVSVFCGCRRNPNACHRLSARFSSLAAVTATVTEEDSRQAPSKSVARRLILLRHAKSSWDNPSLRDHDRPLSKAGKADAAQVSQKLLQLGWIPQLILSSDATRTRETLNIMQEQVADLLNSEVHFIPSFYSIAAMDGQTAEHLQQAICRYSSDKILTVMCMGHNRGWEEAASMFTGATVELKPCNAALLEATGKSWEEDLVGGSFRVL >Manes.09G072800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12335412:12341125:1 gene:Manes.09G072800.v8.1 transcript:Manes.09G072800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTVFLSINSNLLMFLNESYPPLQSSVSVFCGCRRNPNACHRLSARFSSLAAVTATVTEEDSRQAPSKSVARRLILLRHAKSSWDNPSLRDHDRPLSKAGKADAAQVSQKLLQLGWIPQLILSSDATRTRETLNIMQEQVADLLNSEVHFIPSFYSIAAMDGQTAEHLQQAICRYSSDKILTVMCMGHNRGWEEAASMFTGATVELKPCNAALLEATGKSWEEAFVLAGLGGWKLQGVVKPNDDL >Manes.09G072800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12335676:12337290:1 gene:Manes.09G072800.v8.1 transcript:Manes.09G072800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTVFLSINSNLLMFLNESYPPLQSSVSVFCGCRRNPNACHRLSARFSSLAAVTATVTEEDSRQAPSKSVARRLILLRHAKSSWDNPSLRDHDRPLSKAGKADAAQVSQKLLQLGWIPQLILSSDATRTRETLNIMQEQVADLLNSEVHFIPSFYSIAAMDGQTAEHLQQAICRYSSDKILTVMCMGHNRGWEEAASMFTGATVELKPCNAALLEATGKSWEEVRPFFFLSFFPNKWHLVNLHTFLLIMIWNR >Manes.09G072800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12335412:12341125:1 gene:Manes.09G072800.v8.1 transcript:Manes.09G072800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTVFLSINSNLLMFLNESYPPLQSSVSVFCGCRRNPNACHRLSARFSSLAAVTATVTEEDSRQAPSKSVARRLILLRHAKSSWDNPSLRDHDRPLSKAGKADAAQVSQKLLQLGWIPQLILSSDATRTRETLNIMQEQVADLLNSEVHFIPSFYSIAAMDGQTAEHLQQAICRYSSDKILTVIKHLHLQVCVSIQNCYGVKWV >Manes.09G072800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12335456:12340993:1 gene:Manes.09G072800.v8.1 transcript:Manes.09G072800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNTVFLSINSNLLMFLNESYPPLQSSVSVFCGCRRNPNACHRLSARFSSLAAVTATVTEEDSRQAPSKSVARRLILLRHAKSSWDNPSLRDHDRPLSKAGKADAAQVSQKLLQLGWIPQLILSSDATRTRETLNIMQEQVADLLNSEVHFIPSFYSIAAMDGQTAEHLQQAICRYSSDKILTVIKHLHLQVCVSIQNCYGVKWV >Manes.17G056600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25503245:25508337:-1 gene:Manes.17G056600.v8.1 transcript:Manes.17G056600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIEKSGTGSEQEQAENNSLVSSSQKCSSFDLNEEASSEEEDEKRTEGSSSANNNSSNGKNERRATVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSHAYRSMQRIDSVNSGMLHQITTAPQQHFRMENGGIVLARTSIHHQNTFDHKLLLSSFSQSQSFNASFSKRQHLSSYQLHDERRQISTLTSKDLGQELDTTMQSGPLRPSRFLEERRWPPLEMVKSRWKSTTNPTDIITYSETEAHQIGNLLSRSSPGATCYWKPTETDQAGKSTMVKQSLFNSHDSISDFNCFKLKFQPPFRFELNQDKVLKDNKEWLPDLQLRLSQRVGMDENKSSHCRSAQEISTKLSLS >Manes.17G056600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25503276:25508286:-1 gene:Manes.17G056600.v8.1 transcript:Manes.17G056600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIEKSGTGSEQEQAENNSLVSSSQKCSSFDLNEEASSEEEDEKRTEGSSSANNNSSNGKNERRATVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSHAYRSMQRIDSVNSGMLHQITTAPQQHFRMENGGIVLARTSIHHQNTFDHKLLLSSFSQSQSFNASFSKRQHLSSYQLHDERRQISTLTSKDLGQELDTTMQSGPLRPSRFLEERRWPPLEMVKSRWKSTTNPTDIITYSETEAHQIGNLLSRSSPGATCYWKPTETDQAGKSTMVKQSLFNSHDSISDFNCFKLKFQPPFRFELNQDKVLKDNKEWLPDLQLRLSQRVGMDENKSSHCRSAQEISTKLSLS >Manes.17G056600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25503278:25505812:-1 gene:Manes.17G056600.v8.1 transcript:Manes.17G056600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIEKSGTGSEQEQAENNSLVSSSQKCSSFDLNEEASSEEEDEKRTEGSSSANNNSSNGKNERRATVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSHAYRSMQRIDSVNSGMLHQITTAPQQHFRMENGGIVLARTSIHHQNTFDHKLLLSSFSQSQSFNASFSKRQHLSSYQLHDERRQISTLTSKDLGQELDTTMQSGPLRPSRFLEERRWPPLEMVKSRWKSTTNPTDIITYSETEAHQIGNLLSRSSPGATCYWKPTETDQAGKSTMVKQSLFNSHDSISDFNCFKLKFQPPFRFELNQDKVLKDNKEWLPDLQLRLSQRVGMDENKSSHCRSAQEISTKLSLS >Manes.17G056600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25503278:25508247:-1 gene:Manes.17G056600.v8.1 transcript:Manes.17G056600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIEKSGTGSEQEQAENNSLVSSSQKCSSFDLNEEASSEEEDEKRTEGSSSANNNSSNGKNERRATVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSHAYRSMQRIDSVNSGMLHQITTAPQQHFRMENGGIVLARTSIHHQNTFDHKLLLSSFSQSQSFNASFSKRQHLSSYQLHDERRQISTLTSKDLGQELDTTMQSGPLRPSRFLEERRWPPLEMVKSRWKSTTNPTDIITYSETEAHQIGNLLSRSSPGATCYWKPTETDQAGKSTMVKQSLFNSHDSISDFNCFKLKFQPPFRFELNQDKVLKDNKEWLPDLQLRLSQRVGMDENKSSHCRSAQEISTKLSLS >Manes.17G056600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25503245:25508337:-1 gene:Manes.17G056600.v8.1 transcript:Manes.17G056600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIEKSGTGSEQEQAENNSLVSSSQKCSSFDLNEEASSEEEDEKRTEGSSSANNNSSNGKNERRATVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSHAYRSMQRIDSVNSGMLHQITTAPQQHFRMENGGIVLARTSIHHQNTFDHKLLLSSFSQSQSFNASFSKRQHLSSYQLHDERRQISTLTSKDLGQELDTTMQSGPLRPSRFLEERRWPPLEMVKSRWKSTTNPTDIITYSETEAHQIGNLLSRSSPGATCYWKPTETDQAGKSTMVKQSLFNSHDSISDFNCFKLKFQPPFRFELNQDKVLKDNKEWLPDLQLRLSQRVGMDENKSSHCRSAQEISTKLSLS >Manes.17G056600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25503278:25507944:-1 gene:Manes.17G056600.v8.1 transcript:Manes.17G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIEKSGTGSEQEQAENNSLVSSSQKCSSFDLNEEASSEEEDEKRTEGSSSANNNSSNGKNERRATVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQERATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLSHAYRSMQRIDSVNSGMLHQITTAPQQHFRMENGGIVLARTSIHHQNTFDHKLLLSSFSQSQSFNASFSKRQHLSSYQLHDERRQISTLTSKDLGQELDTTMQSGPLRPSRFLEERRWPPLEMVKSRWKSTTNPTDIITYSETEAHQIGNLLSRSSPGATCYWKPTETDQAGKSTMVKQSLFNSHDSISDFNCFKLKFQPPFRFELNQDKVLKDNKEWLPDLQLRLSQRVGMDENKSSHCRSAQEISTKLSLS >Manes.07G103600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30943342:30952603:-1 gene:Manes.07G103600.v8.1 transcript:Manes.07G103600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQTHLGGRLTPVMIRGQRSDRENSLDWKPLNKWTHSGSLSSRGSGFSHSSSSKSLGGADSFEGKAELQLRNASLVTSHSGDAVARVTSAPSEEIAARKKPRLNWGEGLAKYEKKKVEGPEVNVSKDGVISSSNMEANHSQSSNLIEKSPRVVGLSDCASPATPSSVACSSPGLEEKTFGKGINADNDISNLCCSPSIGSQSHIEGFSFTEVLDATSIANLGASLVELLQCDDSSSADSSFVRSTAMNKLLTLKGGISKTLEVTESEIDLLENELKSLKFECGGRFPCPAAASYCSVGDEAKLCSGQVIGSNNIPRPSPFQASSCGTGHVENIPICNGLLEVVHGCGKDDDVDSPGTATSKFVGSVSSVIGVSSSDLGKQDECSGFMGVIQSPTMVLKSVVPCTSEQDIDEHASMDVNMLTKNKESAFFPSDSSSEENICNPILAANKKSASKASNIFINLLPRDECEVVFSEVANVALRQKDALVKERFAMRKQFLKFKERVVTLKYKAFQHLWKEDMRFLSIRKYRAKPQKKYELSLRTTHSGNQKNRSSIRSRFPFPVGNLSLVPSTEMLNFTSKLLQVSRVKLYRNALKMPMLILDKKERKASCFISSNGLIEDPCAVEKERAMINPWTAEEREIFIDKLAMFGKDFRKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKRKQAKSSTNYLVASGKNWNRQVNAASLDILGAASVMAADADNCMENQRMCAGRFYLGGYCDSRTSHGDDGNLDRLSNFHILENERETVAADVLAGICGSVSSEAMSSCITTSVDPVEGCQERKSQKVDSVKKRPSTSDITENVDEETCSYESCGEMDPADWTDEEKSIFIRAVSSYGKDFSMISQCVRTRSRDQCKMFFSKARKCLGLDSVHPGPENLGTPASDDANGGGSDTEDGGAVESGLMICSNQLHTKIDDNVPLPHKDAKQHEESFAMERKELTTDLNGSKDSNVAELSGPKVEKMLVSDACWMMENKPELASNFHKIMNGFVHQSEFMLAQEVSNESVNSEAGIEKLVDDNIPVEDAGDLGPSNPVADADVKGIAEASANASVNSLVEKELLLPENNLNNGTDDSGNVSHQPLDMGCCPNFIVGVENVHHISVELDPVDKSPIVSSTHENKLVTASSVLQDSAGIECRKLHNQDRSSSQFDFQKNKDEQGKKSVGEDDHLGILGSYPFQIPTEREMNGDMSCRPHSELESLSASERNATNQFAAQDCYLQKCSNSKAQCLMPELPLLSQHPGQGNGQPRDQSCSSSHIEKPCRNGDVKLFGTILTNPSSSSKLNSSINGNIEQLTEGPKPGNKSSILKFTGHPTIDGSSSIVKFDCNNHLGPDNVPMKRYDFWDGKKIQTGFSSLPLLANYPAAYGNYDVTSSKIEQPALQASVKCDDRNLNSVSALPPREISSSSSSSSNGVIDYQTYRSRDNSKVEAFSVDMLQRHDIFNELQRRNGLEAVSILQQQRQGRGTRMNVVGRGGIIVGGSCTEVSDPVAALKMQYGGKNGSITGEDESWRTRKDDVGR >Manes.07G103600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30943342:30952603:-1 gene:Manes.07G103600.v8.1 transcript:Manes.07G103600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQTHLGGRLTPVMIRGQRSDRENSLDWKPLNKWTHSGSLSSRGSGFSHSSSSKSLGGADSFEGKAELQLRNASLVTSHSGDAVARVTSAPSEEIAARKKPRLNWGEGLAKYEKKKVEGPEVNVSKDGVISSSNMEANHSQSSNLIEKSPRVVGLSDCASPATPSSVACSSPGLEEKTFGKGINADNDISNLCCSPSIGSQSHIEGFSFTEVLDATSIANLGASLVELLQCDDSSSADSSFVRSTAMNKLLTLKGGISKTLEVTESEIDLLENELKSLKFECGGRFPCPAAASYCSVGDEAKLCSGQVIGSNNIPRPSPFQASSCGTGHVENIPICNGLLEVVHGCGKDDDVDSPGTATSKFVGSVSSVIGVSSSDLGKQDECSGFMGVIQSPTMVLKSVVPCTSEQDIDEHASMDVNMLTKNKESAFFPSDSSSEENICNPILAANKKSASKASNIFINLLPRDECEVVFSEVANVALRQKDALVKERFAMRKQFLKFKERVVTLKYKAFQHLWKEDMRFLSIRKYRAKPQKKYELSLRTTHSGNQKNRSSIRSRFPFPVGNLSLVPSTEMLNFTSKLLQVSRVKLYRNALKMPMLILDKKERKASCFISSNGLIEDPCAVEKERAMINPWTAEEREIFIDKLAMFGKDFRKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKRKQAKSSTNYLVASGKNWNRQVNAASLDILGAASVMAADADNCMENQRMCAGRFYLGGYCDSRTSHGDDGNLDRLSNFHILENERETVAADVLAGICGSVSSEAMSSCITTSVDPVEGCQERKSQKVDSVKKRPSTSDITENVDEETCSYESCGEMDPADWTDEEKSIFIRAVSSYGKDFSMISQCVRTRSRDQCKMFFSKARKCLGLDSVHPGPENLGTPASDDANGGGSDTEDGGAVESGLMICSNQLHTKIDDNVPLPHKDAKQHEESFAMERKELTTDLNGSKDSNVAELSGPKVEKMLVSDACWMMENKPELASNFHKIMNGFVHQSEFMLAQEVSNESVNSEAGIEKLVDDNIPVEDAGDLGPSNPVADADVKGIAEASANASVNSLVEKELLLPENNLNNGTDDSGNVSHQPLDMGCCPNFIVGVENVHHISVELDPVDKSPIVSSTHENKLVTASSVLQDSAGIECRKLHNQDRSSSQFDFQKNKDEQGKKSVGEDDHLGILGSYPFQIPTEREMNGDMSCRPHSELESLSASERNATNQFAAQDCYLQKCSNSKAQCLMPELPLLSQHPGQGNGQPRDQSCSSSHIEKPCRNGDVKLFGTILTNPSSSSKLNSSINGNIEQLTEGPKPGNKSSILKFTGHPTIDGSSSIVKFDCNNHLGPDNVPMKRYDFWDGKKIQTGFSSLPLLANYPAAYGNYDVTSSKIEQPALQASVKCDDRNLNSVSALPPREISSSSSSSSNGVIDYQTYRSRDNSKVEAFSVDMLQRHDIFNELQRRNGLEAVSILQQQRQGRGTRMNVVGRGGIIVGGSCTEVSDPVAALKMQYGGKNGSITGEDESWRTRKDDVGR >Manes.07G103600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30943342:30952589:-1 gene:Manes.07G103600.v8.1 transcript:Manes.07G103600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTERLSWDRKELAKERKYDRSKARWRESSSSHYGSYRDFARWGGSNEFRRPPGHGKQGGWHLFAEESVHAYAPFRSSDRILEEKNCRPSLSRGDGKYGRNGRDNRGSFSQRDWRAHSWEMSNGSPNTPGRPFDASNDQRLVDDTVTYPSSHPHSEFLNTWGQAHPKDQHDNSTMVVVNGLGTGQRSDRENSLDWKPLNKWTHSGSLSSRGSGFSHSSSSKSLGGADSFEGKAELQLRNASLVTSHSGDAVARVTSAPSEEIAARKKPRLNWGEGLAKYEKKKVEGPEVNVSKDGVISSSNMEANHSQSSNLIEKSPRVVGLSDCASPATPSSVACSSPGLEEKTFGKGINADNDISNLCCSPSIGSQSHIEGFSFTEVLDATSIANLGASLVELLQCDDSSSADSSFVRSTAMNKLLTLKGGISKTLEVTESEIDLLENELKSLKFECGGRFPCPAAASYCSVGDEAKLCSGQVIGSNNIPRPSPFQASSCGTGHVENIPICNGLLEVVHGCGKDDDVDSPGTATSKFVGSVSSVIGVSSSDLGKQDECSGFMGVIQSPTMVLKSVVPCTSEQDIDEHASMDVNMLTKNKESAFFPSDSSSEENICNPILAANKKSASKASNIFINLLPRDECEVVFSEVANVALRQKDALVKERFAMRKQFLKFKERVVTLKYKAFQHLWKEDMRFLSIRKYRAKPQKKYELSLRTTHSGNQKNRSSIRSRFPFPVGNLSLVPSTEMLNFTSKLLQVSRVKLYRNALKMPMLILDKKERKASCFISSNGLIEDPCAVEKERAMINPWTAEEREIFIDKLAMFGKDFRKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKRKQAKSSTNYLVASGKNWNRQVNAASLDILGAASVMAADADNCMENQRMCAGRFYLGGYCDSRTSHGDDGNLDRLSNFHILENERETVAADVLAGICGSVSSEAMSSCITTSVDPVEGCQERKSQKVDSVKKRPSTSDITENVDEETCSYESCGEMDPADWTDEEKSIFIRAVSSYGKDFSMISQCVRTRSRDQCKMFFSKARKCLGLDSVHPGPENLGTPASDDANGGGSDTEDGGAVESGLMICSNQLHTKIDDNVPLPHKDAKQHEESFAMERKELTTDLNGSKDSNVAELSGPKVEKMLVSDACWMMENKPELASNFHKIMNGFVHQSEFMLAQEVSNESVNSEAGIEKLVDDNIPVEDAGDLGPSNPVADADVKGIAEASANASVNSLVEKELLLPENNLNNGTDDSGNVSHQPLDMGCCPNFIVGVENVHHISVELDPVDKSPIVSSTHENKLVTASSVLQDSAGIECRKLHNQDRSSSQFDFQKNKDEQGKKSVGEDDHLGILGSYPFQIPTEREMNGDMSCRPHSELESLSASERNATNQFAAQDCYLQKCSNSKAQCLMPELPLLSQHPGQGNGQPRDQSCSSSHIEKPCRNGDVKLFGTILTNPSSSSKLNSSINGNIEQLTEGPKPGNKSSILKFTGHPTIDGSSSIVKFDCNNHLGPDNVPMKRYDFWDGKKIQTGFSSLPLLANYPAAYGNYDVTSSKIEQPALQASVKCDDRNLNSVSALPPREISSSSSSSSNGVIDYQTYRSRDNSKVEAFSVDMLQRHDIFNELQRRNGLEAVSILQQQRQGRGTRMNVVGRGGIIVGGSCTEVSDPVAALKMQYGGKNGSITGEDESWRTRKDDVGR >Manes.07G103600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30943252:30952593:-1 gene:Manes.07G103600.v8.1 transcript:Manes.07G103600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTERLSWDRKELAKERKYDRSKARWRESSSSHYGSYRDFARWGGSNEFRRPPGHGKQGGWHLFAEESVHAYAPFRSSDRILEEKNCRPSLSRGDGKYGRNGRDNRGSFSQRDWRAHSWEMSNGSPNTPGRPFDASNDQRLVDDTVTYPSSHPHSEFLNTWGQAHPKDQHDNSTMVVVNGLGTGQRSDRENSLDWKPLNKWTHSGSLSSRGSGFSHSSSSKSLGGADSFEGKAELQLRNASLVTSHSGDAVARVTSAPSEEIAARKKPRLNWGEGLAKYEKKKVEGPEVNVSKDGVISSSNMEANHSQSSNLIEKSPRVVGLSDCASPATPSSVACSSPGLEEKTFGKGINADNDISNLCCSPSIGSQSHIEGFSFTEVLDATSIANLGASLVELLQCDDSSSADSSFVRSTAMNKLLTLKGGISKTLEVTESEIDLLENELKSLKFECGGRFPCPAAASYCSVGDEAKLCSGQVIGSNNIPRPSPFQASSCGTGHVENIPICNGLLEVVHGCGKDDDVDSPGTATSKFVGSVSSVIGVSSSDLGKQDECSGFMGVIQSPTMVLKSVVPCTSEQDIDEHASMDVNMLTKNKESAFFPSDSSSEENICNPILAANKKSASKASNIFINLLPRDECEVVFSEVANVALRQKDALVKERFAMRKQFLKFKERVVTLKYKAFQHLWKEDMRFLSIRKYRAKPQKKYELSLRTTHSGNQKNRSSIRSRFPFPVGNLSLVPSTEMLNFTSKLLQVSRVKLYRNALKMPMLILDKKERKASCFISSNGLIEDPCAVEKERAMINPWTAEEREIFIDKLAMFGKDFRKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKRKQAKSSTNYLVASGKNWNRQVNAASLDILGAASVMAADADNCMENQRMCAGRFYLGGYCDSRTSHGDDGNLDRLSNFHILENERETVAADVLAGICGSVSSEAMSSCITTSVDPVEGCQERKSQKVDSVKKRPSTSDITENVDEETCSYESCGEMDPADWTDEEKSIFIRAVSSYGKDFSMISQCVRTRSRDQCKMFFSKARKCLGLDSVHPGPENLGTPASDDANGGGSDTEDGGAVESGLMICSNQLHTKIDDNVPLPHKDAKQHEESFAMERKELTTDLNGSKDSNVAELSGPKVEKMLVSDACWMMENKPELASNFHKIMNGFVHQSEFMLAQEVSNESVNSEAGIEKLVDDNIPVEDAGDLGPSNPVADADVKGIAEASANASVNSLVEKELLLPENNLNNGTDDSGNVSHQPLDMGCCPNFIVGVENVHHISVELDPVDKSPIVSSTHENKLVTASSVLQDSAGIECRKLHNQDRSSSQFDFQKNKDEQGKKSVGEDDHLGILGSYPFQIPTEREMNGDMSCRPHSELESLSASERNATNQFAAQDCYLQKCSNSKAQCLMPELPLLSQHPGQGNGQPRDQSCSSSHIEKPCRNGDVKLFGTILTNPSSSSKLNSSINGNIEQLTEGPKPGNKSSILKFTGHPTIDGSSSIVKFDCNNHLGPDNVPMKRYDFWDGKKIQTGFSSLPLLANYPAAYGNYDVTSSKIEQPALQASVKCDDRNLNSVSALPPREISSSSSSSSNGVIDYQTYRSRDNSKVEAFSVDMLQRHDIFNELQRRNGLEAVSILQQQRQGRGTRMNVVGRGGIIVGGSCTEVSDPVAALKMQYGGKNGSITGEDESWRTRKDDVGR >Manes.07G103600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30943342:30951450:-1 gene:Manes.07G103600.v8.1 transcript:Manes.07G103600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSPNTPGRPFDASNDQRLVDDTVTYPSSHPHSEFLNTWGQAHPKDQHDNSTMVVVNGLGTGQRSDRENSLDWKPLNKWTHSGSLSSRGSGFSHSSSSKSLGGADSFEGKAELQLRNASLVTSHSGDAVARVTSAPSEEIAARKKPRLNWGEGLAKYEKKKVEGPEVNVSKDGVISSSNMEANHSQSSNLIEKSPRVVGLSDCASPATPSSVACSSPGLEEKTFGKGINADNDISNLCCSPSIGSQSHIEGFSFTEVLDATSIANLGASLVELLQCDDSSSADSSFVRSTAMNKLLTLKGGISKTLEVTESEIDLLENELKSLKFECGGRFPCPAAASYCSVGDEAKLCSGQVIGSNNIPRPSPFQASSCGTGHVENIPICNGLLEVVHGCGKDDDVDSPGTATSKFVGSVSSVIGVSSSDLGKQDECSGFMGVIQSPTMVLKSVVPCTSEQDIDEHASMDVNMLTKNKESAFFPSDSSSEENICNPILAANKKSASKASNIFINLLPRDECEVVFSEVANVALRQKDALVKERFAMRKQFLKFKERVVTLKYKAFQHLWKEDMRFLSIRKYRAKPQKKYELSLRTTHSGNQKNRSSIRSRFPFPVGNLSLVPSTEMLNFTSKLLQVSRVKLYRNALKMPMLILDKKERKASCFISSNGLIEDPCAVEKERAMINPWTAEEREIFIDKLAMFGKDFRKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKRKQAKSSTNYLVASGKNWNRQVNAASLDILGAASVMAADADNCMENQRMCAGRFYLGGYCDSRTSHGDDGNLDRLSNFHILENERETVAADVLAGICGSVSSEAMSSCITTSVDPVEGCQERKSQKVDSVKKRPSTSDITENVDEETCSYESCGEMDPADWTDEEKSIFIRAVSSYGKDFSMISQCVRTRSRDQCKMFFSKARKCLGLDSVHPGPENLGTPASDDANGGGSDTEDGGAVESGLMICSNQLHTKIDDNVPLPHKDAKQHEESFAMERKELTTDLNGSKDSNVAELSGPKVEKMLVSDACWMMENKPELASNFHKIMNGFVHQSEFMLAQEVSNESVNSEAGIEKLVDDNIPVEDAGDLGPSNPVADADVKGIAEASANASVNSLVEKELLLPENNLNNGTDDSGNVSHQPLDMGCCPNFIVGVENVHHISVELDPVDKSPIVSSTHENKLVTASSVLQDSAGIECRKLHNQDRSSSQFDFQKNKDEQGKKSVGEDDHLGILGSYPFQIPTEREMNGDMSCRPHSELESLSASERNATNQFAAQDCYLQKCSNSKAQCLMPELPLLSQHPGQGNGQPRDQSCSSSHIEKPCRNGDVKLFGTILTNPSSSSKLNSSINGNIEQLTEGPKPGNKSSILKFTGHPTIDGSSSIVKFDCNNHLGPDNVPMKRYDFWDGKKIQTGFSSLPLLANYPAAYGNYDVTSSKIEQPALQASVKCDDRNLNSVSALPPREISSSSSSSSNGVIDYQTYRSRDNSKVEAFSVDMLQRHDIFNELQRRNGLEAVSILQQQRQGRGTRMNVVGRGGIIVGGSCTEVSDPVAALKMQYGGKNGSITGEDESWRTRKDDVGR >Manes.07G103600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30943342:30952589:-1 gene:Manes.07G103600.v8.1 transcript:Manes.07G103600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQTHLGGRLTPVMIRGQRSDRENSLDWKPLNKWTHSGSLSSRGSGFSHSSSSKSLGGADSFEGKAELQLRNASLVTSHSGDAVARVTSAPSEEIAARKKPRLNWGEGLAKYEKKKVEGPEVNVSKDGVISSSNMEANHSQSSNLIEKSPRVVGLSDCASPATPSSVACSSPGLEEKTFGKGINADNDISNLCCSPSIGSQSHIEGFSFTEVLDATSIANLGASLVELLQCDDSSSADSSFVRSTAMNKLLTLKGGISKTLEVTESEIDLLENELKSLKFECGGRFPCPAAASYCSVGDEAKLCSGQVIGSNNIPRPSPFQASSCGTGHVENIPICNGLLEVVHGCGKDDDVDSPGTATSKFVGSVSSVIGVSSSDLGKQDECSGFMGVIQSPTMVLKSVVPCTSEQDIDEHASMDVNMLTKNKESAFFPSDSSSEENICNPILAANKKSASKASNIFINLLPRDECEVVFSEVANVALRQKDALVKERFAMRKQFLKFKERVVTLKYKAFQHLWKEDMRFLSIRKYRAKPQKKYELSLRTTHSGNQKNRSSIRSRFPFPVGNLSLVPSTEMLNFTSKLLQVSRVKLYRNALKMPMLILDKKERKASCFISSNGLIEDPCAVEKERAMINPWTAEEREIFIDKLAMFGKDFRKIASFLDHKTTADCVEFYYKNHKSDCFEKTKKRKQAKSSTNYLVASGKNWNRQVNAASLDILGAASVMAADADNCMENQRMCAGRFYLGGYCDSRTSHGDDGNLDRLSNFHILENERETVAADVLAGICGSVSSEAMSSCITTSVDPVEGCQERKSQKVDSVKKRPSTSDITENVDEETCSYESCGEMDPADWTDEEKSIFIRAVSSYGKDFSMISQCVRTRSRDQCKMFFSKARKCLGLDSVHPGPENLGTPASDDANGGGSDTEDGGAVESGLMICSNQLHTKIDDNVPLPHKDAKQHEESFAMERKELTTDLNGSKDSNVAELSGPKVEKMLVSDACWMMENKPELASNFHKIMNGFVHQSEFMLAQEVSNESVNSEAGIEKLVDDNIPVEDAGDLGPSNPVADADVKGIAEASANASVNSLVEKELLLPENNLNNGTDDSGNVSHQPLDMGCCPNFIVGVENVHHISVELDPVDKSPIVSSTHENKLVTASSVLQDSAGIECRKLHNQDRSSSQFDFQKNKDEQGKKSVGEDDHLGILGSYPFQIPTEREMNGDMSCRPHSELESLSASERNATNQFAAQDCYLQKCSNSKAQCLMPELPLLSQHPGQGNGQPRDQSCSSSHIEKPCRNGDVKLFGTILTNPSSSSKLNSSINGNIEQLTEGPKPGNKSSILKFTGHPTIDGSSSIVKFDCNNHLGPDNVPMKRYDFWDGKKIQTGFSSLPLLANYPAAYGNYDVTSSKIEQPALQASVKCDDRNLNSVSALPPREISSSSSSSSNGVIDYQTYRSRDNSKVEAFSVDMLQRHDIFNELQRRNGLEAVSILQQQRQGRGTRMNVVGRGGIIVGGSCTEVSDPVAALKMQYGGKNGSITGEDESWRTRKDDVGR >Manes.09G122800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32538960:32544050:1 gene:Manes.09G122800.v8.1 transcript:Manes.09G122800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLCSDKGEDDSDQHLDFAGSNVHLITAKEIWDEKLSEASKDGKVVVVNFSATWCGPCKVIAPFYRELSEKYPSLMFVVVDVDELPEFSSSWDIKATPTFFFLRDGQEIDKLVGANKPELQKKITSLLDNVADSVK >Manes.09G122800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32538960:32544050:1 gene:Manes.09G122800.v8.1 transcript:Manes.09G122800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLCSDKGEDDSDQHLDFAGSNVHLITAKEIWDEKLSEASKDGKVVVVNFSATWCGPCKVIAPFYRELSEKYPSLMFVVVDVDELPEFSSSWDIKATPTFFFLRDGQEIDKLVGANKPELQKKITSLLDNVADSVK >Manes.18G067600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6073656:6077360:-1 gene:Manes.18G067600.v8.1 transcript:Manes.18G067600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFEVCSRNSLWNDRSQCPILVDLSSNSSSFTCGGIFLEPTISSSFVNLISSRNSSVSVSSLISCSKHTVLRGFRRDRSSRVALSGLFLSVSLRNNGLPLVHEPKVCLVQDGDEKSVIEEEKVLDGGNVVLEGRRKPNVRVRGRDAMNTTKHLWAGAIAAMVSRTFVAPLERLKLEYMVRGEQKHIFDLVKTIATTQGLKGFWKGNLVNILRTAPFKAVNFCAYDTYRKQLLRLSGNEETTNFERFIAGAAAGITATVLCIPLDTIRTKIVAPGGEALGGVIGAFHHMIRTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRIENMNQHGQELNALDQLELGPVRTLLYGAVAGACAEAATYPFEVVRRQLQLQVRSTKMSALATSVKIVEQGGIPALYSGLIPSLLQVCT >Manes.18G067600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6071221:6077366:-1 gene:Manes.18G067600.v8.1 transcript:Manes.18G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFEVCSRNSLWNDRSQCPILVDLSSNSSSFTCGGIFLEPTISSSFVNLISSRNSSVSVSSLISCSKHTVLRGFRRDRSSRVALSGLFLSVSLRNNGLPLVHEPKVCLVQDGDEKSVIEEEKVLDGGNVVLEGRRKPNVRVRGRDAMNTTKHLWAGAIAAMVSRTFVAPLERLKLEYMVRGEQKHIFDLVKTIATTQGLKGFWKGNLVNILRTAPFKAVNFCAYDTYRKQLLRLSGNEETTNFERFIAGAAAGITATVLCIPLDTIRTKIVAPGGEALGGVIGAFHHMIRTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRIENMNQHGQELNALDQLELGPVRTLLYGAVAGACAEAATYPFEVVRRQLQLQVRSTKMSALATSVKIVEQGGIPALYSGLIPSLLQVLPSAAISYFVYEFMKIVLKVE >Manes.18G067600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6071221:6077360:-1 gene:Manes.18G067600.v8.1 transcript:Manes.18G067600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFEVCSRNSLWNDRSQCPILVDLSSNSSSFTCGGIFLEPTISSSFVNLISSRNSSVSVSSLISCSKHTVLRGFRRDRSSRVALSGLFLSVSLRNNGLPLVHEPKVCLVQDGDEKSVIEEEKVLDGGNVVLEGRRKPNVRVRGRDAMNTTKHLWAGAIAAMVSRTFVAPLERLKLEYMVRGEQKHIFDLVKTIATTQGLKGFWKGNLVNILRTAPFKAVNFCAYDTYRKQLLRLSGNEETTNFERFIAGAAAGITATVLCIPLDTIRTKIVAPGGEALGGVIGAFHHMIRTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRIENMNQHGQELNALDQLELGPVRTLLYGAVAGACAEAATYPFEVVRRQLQLQVRSTKMSALATSVKIVEQGGIPALYSGLIPSLLQVLPSAAISYFVYEFMKIVLKVE >Manes.10G097800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24668040:24670532:1 gene:Manes.10G097800.v8.1 transcript:Manes.10G097800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGGGGEAVPPLSSSSRSRPGPDPFLVVCRCFSFITALTAILCIAVNVLSAIRSFKKGYDIFDGIFRCYAVVIAFFVVVAETEWGFITKFWKVLEYWAARGMLQIFVAVMTRAFPDYSARQKNLILLQNIASYMLLACGVVYVVSGILCIGYLKRTRQHKEITREQALKDLEQLERRREELEQLLVVERV >Manes.11G008900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:939439:940383:1 gene:Manes.11G008900.v8.1 transcript:Manes.11G008900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATHSRPKPCPSDASSISAAASEGTQHEHPHQVHVGDRKMKMKMKEKSEPTTAVTHESSSRVLLDLKLSSDDSIRGSKLELNLFTPVNTNNESTDETMHGEKRSDHNNNSTQSRVFSCNFCKRDFSTSQALGGHQNAHKQERALAKRRQGMDLGAFGNYPYSYYPYSSFSSHHNNPLYGSLSRSLGVRMDSLIHKSSASYPWWSSGGAYRFSHGGWSRQGLVNSQQPSIDRLRMESLNAVNNSYGGGFGTTAGSSSSPRFDENKNNSSVQNLGASPSNINSLIGSSTGDHLRRADPATSNQVDASGIDLSLKL >Manes.10G068700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10729467:10735826:1 gene:Manes.10G068700.v8.1 transcript:Manes.10G068700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKAAPTGCYKCGRPGHWSRDCPDSNPNPNPLSNSTNLSSPNSSRSFPSKPNVSNSKPVAEKPKKAPRSRPKLTPEVLLGNDGLGYVLRHFPRNFKYRGRGHEVSDLGNLIRLYSEWHSRLLPYYSFDEFVHKVEQVASTRRVKMCLGDLRERVASGGDPTKLHESATELVGPSLEQGRSAPDEAVGLYEEGDQHLRNHDADGTQEDILHEVYDRAIEEPGAHSGMEPPSQNNNAGLDNEAMITEEQRARMEANRLKALEKAAARARSLQST >Manes.04G078500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28041140:28041742:-1 gene:Manes.04G078500.v8.1 transcript:Manes.04G078500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYYGRRSNSIFEAFTLDPLPYPVLLILAVISIFLGISWYFSYEEIVETAKLQMNLLLLATPLLLLFLVRWLSSMENPDMLFAKSPWEWKRRTYHRPPEGSSPWGVAALIVLLLVLVQFQSAFLDSWF >Manes.06G079400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21542164:21543144:-1 gene:Manes.06G079400.v8.1 transcript:Manes.06G079400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLIFPALCICLSMLLSSCDGNHIQEQAMASKVDEVQFSLPAATLPRKLGVLLLLDENAAPVNGRGCQGSTSRNNLKRGDISGKAYKEEAKVRKGRRGTRQEWVEGTDSLQYFTMDYSHVRRRRPIHNKALPVGP >Manes.06G077300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21352190:21355199:1 gene:Manes.06G077300.v8.1 transcript:Manes.06G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWSQLCMCTRCFISTSTVEAASSLSLEEFRNLCSKGLLKDALESYKSEIWKNPHFFSCLLQSSISQKSLLVGKQLHSLVITSGYFRDKFVGNHLLNMYSKIGQLQTALSLFNSLPRRNIMSCNILINGYVKCGDLEGARKVFDEMPERNVATWNAMVAAMTQFELNEDALDLFSEMYELGFSPDEFTLSSLLRGCAGLRALYAGRQLHAYVMKCGFELNLVVGSSLAHMYMKCGSIGDGEKIIGSMPSRNIVAWNTLIAGKAQNGFSEEVMDQYNMMRIAGFRPDKITFVSVISSCSELATLGQGQQIHAELIKAGASSVVAVSSSLISMYSKCGCLEDSVKVYLECKDADVVLWSSMIAAYGFHGRVKDAINLFQQMEQQDLEANDVTFLSLLYACSHCGLKDEGMEFFELMVSKYGVKPRLEHYTCVVDLLGRSGCLNEAEAMIRSMPVKADAIIWKTLLSACKLHKNADMAIRVAKEVLRIDPQDSASYVLLANIHAYAKSWQVVSEVRKTMRDRNVKKEPGISWLEVKNQVHQFCMGDKSHPRLREINLYLKELMEEMKLLGYVPDTASVLHDMDNEEKEYSLVHHSEKLAIAFALMNTPPGLPIRIMKNLRVCGDCHVAIKYISKIKKREIIVRDTSRFHHFKNGLCSCGDYW >Manes.01G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5758899:5761599:1 gene:Manes.01G028000.v8.1 transcript:Manes.01G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWIVAATAGAGYVAKANYLKNSTSRISDSSLKTHSCELHSHSHPWNLLQQIRDRTCPLCRLASLSETSANFSVKRDYTTAARIKGELQLQTYENDRFFNLSNELLPEFVMQEVGFFQRLPIDWQLRGRLDGYVLKQQKLLECCHTAELCREPSRLEKCVCNSLPSAETYMEMELETQTQQLIPRKSKQHSEMGQTRLSRSRNLQGFSSDGMLFFFLGITLGMMSNSGDNRKEVDNLHELLQQTENLVHELHDELETKDQLTWKNIGSKANGDSSYITPNASSQTQESNKSAKFDSKELEDEKMKNSEAMSRIEAELEAELERLELNMKGSSLGSAYNFFQKDSNLEDFHLDKVNEQPYNLSESDNDLSGTSSAHTRAATGAVSPQDLSLRLHGVIQSRLRERITELEAALENTQKRLRAIAMESDRTIISSRDLYWKEKQSSAQIGMNFTDQVSDIDEAS >Manes.08G066250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9812841:9813566:1 gene:Manes.08G066250.v8.1 transcript:Manes.08G066250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIALGAKQKLDFVNGTRYDYMVTSWILNSISKDLIDDFIYTMSSRDLWCEITERFGKSNENSSVSLYFTHLKRLWDKLGSIELLMGLSESFNSVRDQILVLDPLPSINRTYSMAPKHDSQKEVLSKRKSESNETVVAFNQSQKEKQKTYDLKKGHCSHCNMDDHFRDTCFKLIGYSDWFKNRIKIAG >Manes.08G140900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37710889:37720948:-1 gene:Manes.08G140900.v8.1 transcript:Manes.08G140900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRFLPPSPIKTSLFHLLFPPNSLRTNSVNSPRLFSTRRSSLPRMAIPPKLISSSSSSIATSAPGNSLECLASKLWIKFRRESIFAMYTPFVVCLAAGNLKIETFRNYISQDLHFLKAFAHAYELAEECADDDDAKIAITELRKRVLEELKMHNSFAQEWGTIDLSKEGPINSATVKYKDFLLATASGKVEGVKGPGKLATPFERTKVAAYTLGAITPCMRLYAFLAKELQALVDSEDGSHPYKKWIDNYSSDGFQASALQTEDLLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFNVQPLSQPAVVPLTKEHNPVEDRLVIFSDFDLTCTIVDSSAILAEIAIITAPKSDQAQPGNQIARMSSADLRNTWGLLSGQYTEEYEQCIESILPPEKVEFNYEVLCKALEQLSDFESKANARVIESGVLKGLNLEDIKRAGERLIFQDGCTSFFQKIVKNENLNANVHVLSYCWCADLIRSAFSSGGLDALNIHANEFSFEVSFSTGDIIKKVESPIDKVQAFNNILENYGTDRKNLTVYIGDSVGDLLCLLQADIGIVIGSSSSLRRVGSQFGVSFLPLFPGLVKKQKEYIKESSSNWNGQSGILYTVSSWAEIHAFILGW >Manes.18G012160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1287971:1293218:1 gene:Manes.18G012160.v8.1 transcript:Manes.18G012160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLIIFCLFFALSCLIETGTTTAGRDGVYIVYMGAGKGYLENYYVQLLSSVSKGKKNAILRSYRHGFSGFAARLSEAEAQSIAQRPGVASVFPDPVFQLHTTRSWEFLKYQTDVKIDSNPTSDSSTSSNGSDTIIGVIDTGIWPESESFSDKDMGPIPSRWKGTCVKGYSFNQSNCNRKLIGARFYESQDDEDDEIYQTPRDMIGHGTHVAATAAGAVVSRASYYGLAEGAAKGGSPGSRIAVYRVCSENGCQGSSILAAFDDAIADGVDVLSISLGPPSLFAPDLNKDPIAIGAFHAVENGITVVCSGGNDGPTSGTVVNAAPWILTVAATTIDRDFESDVLLGGNKAIKGEGINFANIGKSPVHPLIYGKSAKNPDSGEEEARKCQPGSMDEEKIKGKIVLCDNEGGEYSDAKKEEVQSLGGIGLILVDDKSRAVASTYKEFPMTVISSKDAAEILSYINSTENPVATILPTTVVTNYKPAPAVAYFSARGPSITRNILKPDIAAPGVDIIAAWMGNDTEETVKGKEPPLFNVLSGTSMACPHVSGIAAAVKSQNPTLSPSAIKSAIMTTASQTNNVKASITTDSGAIATAYDYGAGEISTTGPLQPGLVYETTTVDYLNFLCYYGYDTSTIKVISATLPEGFTCPKESSSDLISNINYPSIAVFNLPANQIRNVSRTLTNVGGDGDTSYTAVLSVPYGLEVAVGPKELKFTKNGQKLSYQVYFKAFSTLEDVFGAITWTNGKFKVRTPFVVSSRSSKK >Manes.10G106150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25606637:25607866:-1 gene:Manes.10G106150.v8.1 transcript:Manes.10G106150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHKSDGGLGFKILHKFNLAMLGKQGWHIINRPQSLVARVLKARYFSTQSFFEAPLGSNPSFLWRSIWETLGLIRAGAYWRIGNGQSVSVWGQPWLRELPESLVSTTPPLNCARVVVSDLIINHRWNESLIAQMFNKRDRSCILNIPLSLSSCSDTWCWKFESKGHYSVKSAYRFLVDGFQHREGSEIWKRFWKAKVPPKVLNFCWRALVNVVPCLSSLQSKRVPVDPSCPLCHVAPENVLHILIQCPFARSCWLSSPLGWPAPSASSLNEWNNVVWKGQGQTASGVFFMALNFLQQWKAARVVSSVSTIVDPARPIWSPPPHGWIKANIDASLSLQRGSVGFGCVIRKDDGSFVVARAGSFYSQMDAKCAEAIAFREALS >Manes.13G055366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6426794:6427846:1 gene:Manes.13G055366.v8.1 transcript:Manes.13G055366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENIDANGDGFVDIEEFGGLYQTIMNERDEDEDMREAFNVFDQNGDGFITMDELKSVLASLGLKQGRTFEDCKRMIKKVDVDDHKVNSSHLSDFLSIL >Manes.09G168800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36112130:36114572:-1 gene:Manes.09G168800.v8.1 transcript:Manes.09G168800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLCFPRCRLMRIMGWLQIILGGLVIVVSLASLYKFYKAGFFLHNEEICHHFYGVDDVYEVFDVRALTDRIGEVLDKMEKLQEKLERSVQDLEKHKDELDKTSITRLEHKRFLEQEVIRPLHSAHLSLRQIRLPRIANSTIKEEPMINTFIIEEIRKYISPKENRVGKINIYGTERIYNTIGHACTLMKKELEEYMDYDIGSYCKDDWNLAQKLMVSGCDPLPRRRCLTRASKVYQKPYPINESLWKLPDDRNVRWSNYQCRNFQCLSSKNPKRGYSKCTGCFEMEKEKLKWVTNSSLPVDFFITDVLAIKPGEIRIGLDFGVGTGTFAARMKERNVTIISTALNLGAPFSEMIALRGLIPLYVTLNQRLPFFDNTMDLIHTTGFMDGWIDLMLMDFILFDWDRILRPGGLLWIDRFFCYRKDLDDYMYMILQFRYKKHKWVITPKSKDELYLSAVLEKPPRAI >Manes.09G115750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31804423:31804768:1 gene:Manes.09G115750.v8.1 transcript:Manes.09G115750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITMLMQKCEMGRLLGQGTFTRVHHARNLQTGLSVAIKIIDKEQALKVGMMEQIKGEISVMRLIRHPNVVELYEMMATKS >Manes.07G079521.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24626581:24627589:1 gene:Manes.07G079521.v8.1 transcript:Manes.07G079521.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPLQFIVEDVTSIDSKQFHVNVLGSKNLTFQRKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.11G156300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32288558:32292709:1 gene:Manes.11G156300.v8.1 transcript:Manes.11G156300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPTISPTLLLSNMYQRPDMITPGVDAQGNPIDPRKIQEHFEDFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQYREDEDAAKALQSLTGRFYAGRPIIVDFSPVTDFREATCRQYEEKSCNRGGYCNFMHLKRISRELRRHLFGRYRQRSSHSRSRSPYRYSSRSRSPYRHRSHEEYYHGGRGAGRWYDDRDRYYGSRSRRHRSISPDHWRGRSRSPGGRRDRSPVREGSEERRAKIAQWNREREQQESAKKVNTDIGCNNHQGGDNGYMQNGNQDHGYQRFLPQQGVY >Manes.11G156300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32288558:32293013:1 gene:Manes.11G156300.v8.1 transcript:Manes.11G156300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPTISPTLLLSNMYQRPDMITPGVDAQGNPIDPRKIQEHFEDFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQYREDEDAAKALQSLTGRFYAGRPIIVDFSPVTDFREATCRQYEEKSCNRGGYCNFMHLKRISRELRRHLFGRYRQRSSHSRSRSPYRYSSRSRSPYRHRSHEEYYHGGRGAGRWYDDRDRYYGSRSRRHRSISPDHWRGRSRSPGGRRDRSPVREGSEERRAKIAQWNREREQQESAKKVNTDIGCNNHQGGDNGYMQNGNQDHGYQRFLPQQGVY >Manes.11G156300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32288556:32292709:1 gene:Manes.11G156300.v8.1 transcript:Manes.11G156300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPTISPTLLLSNMYQRPDMITPGVDAQGNPIDPRKIQEHFEDFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQYREDEDAAKALQSLTGRFYAGRPIIVDFSPVTDFREATCRQYEEKSCNRGGYCNFMHLKRISRELRRHLFGRYRQRSSHSRSRSPYRYSSRSRSPYRHRSHEEYYHGGRGAGRWYDDRDRYYGSRSRRHRSISPDHWRGRSRSPGGRRDRSPVREGSEERRAKIAQWNREREQQESAKKVNTDIGCNNHQGGDNGYMQNGNQDHGYQRFLPQQGVY >Manes.11G156300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32288558:32292709:1 gene:Manes.11G156300.v8.1 transcript:Manes.11G156300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPTISPTLLLSNMYQRPDMITPGVDAQGNPIDPRKIQEHFEDFYEDLFEELSKYGEIESLNVCDNLADHMVGNVYVQYREDEDAAKALQSLTGRFYAGRPIIVDFSPVTDFREATCRQYEEKSCNRGGYCNFMHLKRISRELRRHLFGRYRQRSSHSRSRSPYRYSSRSRSPYRHRSHEEYYHGGRGAGRWYDDRDRYYGSRSRRHRSISPDHWRGRSRSPGGRRDRSPVREGSEERRAKIAQWNREREQQESAKKVNTDIGCNNHQGGDNGYMQNGNQDHGYQRFLPQQGVY >Manes.02G002300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:258340:259755:-1 gene:Manes.02G002300.v8.1 transcript:Manes.02G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIASPSSSSTFMSFCQDSSPPLQQRLQFILQTRPEWWVYAIFWQVSKDATGSLVFTWGDGHFRGTKEFAAKACNKQNQPKFGFNLERKMINKDSQTLFSDDMDMDRLADVDVIDYEWFYTVSVTRSFAIDDGILGRTFGSGAFIWLTGNQELQMYECERVKEARMHGIQTLVCISTSCAVVELGSSNTIDKDWSLVHLCKSLFGGDTACLISKEPSHESQLQIPNTPFLDIGMYSASQKDTFAEKKNEGDKKKDGTGQGRSSPDSARSDSDGNFAAGNTDRLKKRGRAQLNGKELTLNHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLADAVTYIKELKAKVDELETKLQAVSKKSKSPNISDSQISAESMIDHIRSSTGYKTKAMELEVKIVGSEAMIRFLSPDVNYPAARLMDALREVEFKVHHASMSSIKEMVLQDVVARVPDGLTNEEVVRSAILQRMQN >Manes.04G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27446341:27448740:-1 gene:Manes.04G075500.v8.1 transcript:Manes.04G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSILSTFILLVLVLGDLGQSFPNCPESRCGSGPTIRFPFRLKDRQPDYCGYHGFDLSCTERNNTLLELPNSVKLYVQEIDYLHQVLNVSDPDHCFLRQASNIKFSSSPFRFYDDFLYNYTLFNCTSAERNILGIAPCLEVPGYEVYAITSSSRISQYTLTSCTKMYNIFSIPYIYSYEIYPEKSNASIQLTWAKPACGLCEAGGGRCRFKSDGTEWCEMSRRLAPITRGASTKLIIAGATLGSFLLLVAFIGLYHLYSADRKEKENQARIEKFLEDYKALTPTRYTYADLKRITNQFKDKLGQGAYGTVFKGKLSSEILVAVKILNSSTGNGDEFINEVGTMGRIHHVNVVRLVGFCAEGFHRALVFEFLPNESLEKFIFSNDGHHHSLGWEKLQDIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDYNFTPKISDFGLAKLCSKDQSIVSMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMLLLEMVGGRKNFDVNVENSSSQVFFPEWIYDHLDRGEDMRIRIEEEGDAQIAKKLTIVGLWCIQWFPVDRPSMTIVVQMMEGEGNDLAMPPHPFNSTGMLAGRHHHQELPAISEGE >Manes.05G144201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24587135:24587731:-1 gene:Manes.05G144201.v8.1 transcript:Manes.05G144201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLNWVVEDMMIVAGKPHSSRWAEIKIQRRGGFHNPTRHLSARWRRMEEP >Manes.13G067750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8685323:8687628:-1 gene:Manes.13G067750.v8.1 transcript:Manes.13G067750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAGKEAAFFFQESKHAVNRLAEKSIPAPKNLPSSPLSSEQESQADVLPEVLRHSLPSYIFGKPTESSTISTASKWALHSNSDKVATVSPDALNPLRAYLSLPHVTFGPKRWELPSQESSVLASTANEMRKDRYTPINPEKLKAAAEGLTQIGKAFAVATAIVFGGATVVFSLAVSKLQLQNSDDIRTKGKDLVQPKLEIIKEQLVPLKTWAENTSKKWHINREEDIKEKPIVKELSKFLGAKTSN >Manes.03G192300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31069760:31075501:-1 gene:Manes.03G192300.v8.1 transcript:Manes.03G192300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSDGESHEAPQRKIPPASSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEAGTIPSFYKKKPEEGSISHRVQRLAKFRFLKKQSDLLLNADDLDEMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTERIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAQFVQMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELREYADGTLTDIFIERVFDEHVRRGKTGGGNAREMDFESFLDFVLAQENKDTPEGLTYLFRCLDLQGRGHLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLKITLSDLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >Manes.04G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:533118:535535:1 gene:Manes.04G002200.v8.1 transcript:Manes.04G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Manes.03G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26972344:26974437:1 gene:Manes.03G141500.v8.1 transcript:Manes.03G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGRLHRYRKHAKQPVASSGKISTDGPGSSLAPANINPPRLPSFSQIKANEGNSSTATQANQLGHQPRPCMVREQDQYMPIANVIRIMRRILPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMGKLGFDNYIEPLTLFLNRYRETENDRSSIRENILKRSSAGVVEYGMPAFVPSFPMGPPPPAFGGSLIGGYYRNVSDSAAGLGGGSSDSNPNPNPLANFDPFAQFK >Manes.02G189800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15161415:15165424:1 gene:Manes.02G189800.v8.1 transcript:Manes.02G189800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDPDFPSQKLPSASQVLEELKELWGMALPITAAHLMAFFRAVVSVMFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLSLSLQRMILILFIAIIPISLLWLNLESIMNFMGQDRDITAMAATYCIYSLPDLLTNTLLQPLRVFLRSQKVTKPIMYCSLLAVIFHVPLNYILVVVMGLGVPGVAMASVVTNMNMVALMVGYVWWVSGRWEMKWSGRIGGVCGGVGPLLKLAVPSCLGICLEWWWYEIVIVMAGYLPNPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALLCAFVIGIINVTWTVILRERWAGLFTKDSLVKGLVASVLPIIGLCELGNCPQTTGCGILRGTARPAIGARINLGSFYFVGTPVAVGLAFWLNIGFAGLWFGLLSAQVACAMSILYVLLIRTDWEHEAMKSRKLTSIEMSPSNGVRGNEHEKEEEEDDDESRRLLVNGNGNIP >Manes.13G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33962773:33969122:-1 gene:Manes.13G131400.v8.1 transcript:Manes.13G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETEDGVHYSFALEYNGPPLPYDLPRAVPINVNKIPVASVVSQLSLPDKLSLPVVKPLLPADPGKNLSKELKSAVPQKEPGSEEAATTVSPTSVIDRATESNQDCGLSGELTSSGALEFSTERCGSSSISKELSTELLNGGRSSSAIEFSDSFDNKSRDSTSRLRVSNELSQDWASSESVLSIDYPSSRVSSLKPSGECHSHNEGNEDGRRARFVAFCDVDSDSGVGVGGDDSEEFGEEEPRFVRVRREPQSKGKKGTCYRCFKGNRFTEKEVCLVCDAKYCSICVLRAMGSMPEGRKCVTCIGYPIDESKRGNLGKCSRMLKRLLNDLEIRQIMKAEKLCEANQLPPEYVCVNGMPLSHEELVVLQACPNPPKKLKPGHYWYDKVSGLWGKEGQKPSQIISPHLNVGGPINVNASNGNTQVYINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGMKLVCTFLSLPVPSKSSNSCGEQVNSMMSKSVPDYLEQRTLLKILLVGYSGSGTSTIFKQAKILYKPVPFTEDERENIKMTIQSNVYRYLGILLEGRDRFEEESLAENKEQCSDEADPTGSSNSVKCKTIYAIGPRLKAFSDWLLKIMAAGNLEVIFPAATREYAPLVEELWKDRAIQATYNRKNELEMLPSVASYFLERAVDVLRTDYEPSDLDILYAEGVTSSNGLSCMDFSFPQSALDDKFDTDDPHDSLLRYQLISLHARGLGENCKWLEMFEDVGMVIFCVALSDYDQYTIDVNGCSTNMMMMSRRFFESIVTHPTFEHMDFLLLLNKFDLLEEKIERVPLTRCEWFDDFHPVISQHKSSSNSNSINSNPSLGQLVFHYVAVKFKKLYASLTGKKLYVSSVKGLEPDSVDAALKYAREILKWEEERPNFSLSEYSFYSTEASSYSP >Manes.12G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2046079:2052866:-1 gene:Manes.12G024100.v8.1 transcript:Manes.12G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKALDYESLNEKVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLAPGGLGAYSDSRGIPGIRKEVAEFIGRRDGYPSDPELIFLTDGASKGVMQILNTIIRDEGDGILVPVPQYPLYSATISLLGGSLVPYYLEETANWGLDVNDLRHAVFQARSKGITVRAMVIINPGNPTGQCLSEANLREILHFCYRENLVLLGDEVYQQNIYQDERPFISARKVLMDMGPPLSKEIQLVSFHTVSKGYWGECGQRGGYFEMTNIPPESVDEIYKIASISLSPNVPAQIFMGLMVSPPKPGDISYEQFIRESKGILESLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIEAAKRAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDEFMEEYEDHRGYSRM >Manes.12G024100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2047088:2052866:-1 gene:Manes.12G024100.v8.1 transcript:Manes.12G024100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKALDYESLNEKVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLAPGGLGAYSDSRGIPGIRKEVAEFIGRRDGYPSDPELIFLTDGASKGVMQILNTIIRDEGDGILVPVPQYPLYSATISLLGGSLVPYYLEETANWGLDVNDLRHAVFQARSKGITVRAMVIINPGNPTGQCLSEANLREILHFCYRENLVLLGDEVYQQNIYQDERPFISARKVLMDMGPPLSKEIQLVSFHTVSKGYWGECGQRGGYFEMTNIPPESVDEIYKIASISLSPNVPAQIFMGLMVSPPKPGDISYEQFIRESKGILESLRRRARIMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIEAAKRAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMASFKKFNDEFMEEYEDHRGYSRM >Manes.17G038972.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20417332:20418224:1 gene:Manes.17G038972.v8.1 transcript:Manes.17G038972.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCAFAYLLFFLFLQVRSMVDNLFAPLVMARLSYGAFLFSPDAHNFLFGALRLHPLHVDFALLFASTIRFGPSLSKLVASVKGG >Manes.17G038972.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20417321:20418287:1 gene:Manes.17G038972.v8.1 transcript:Manes.17G038972.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSCHKVRSMVDNLFAPLVMARLSYGAFLFSPDAHNFLFGALRLHPLHVDFALLFASTIRFGPSLSKLVASVKVFLGLVKFSCPVWHLFEERNPCFSTILGWLKVFGDL >Manes.17G018825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8921494:8984632:1 gene:Manes.17G018825.v8.1 transcript:Manes.17G018825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPVTTGKFSQNGERKCTKQFGLNALKSPLHAIPIGKKEEGVMVMWNRASTELRRTCKRWFSSESTRRTYAALWGNGDFGRLGLGNLDSQWRPRLLPSSAFGNQGLNSIACGGAHTLFLTETGRVYATGLNDFGQLGISGNYAYTMEPIEVSGIKKEVVQISAGYHHSCAITVDGELYMWGRNSNGQLGLGKKRQSVVLLPTKVEWLSGLAIKKVALASEHSIAVTDGGEALSWGGGGSGQLGHGNRSSILGFLRSTSEYTPRRITKLEGVKVKNVAAGLLHSACIDENGAIFVFGERVTGRLSFGEKNNAATPFMIAEFPWSKEVACGGYHTCVVTGGGELYSWGSNENGCLGIGSTSAFHLPERVEGPFLRSPVEQVSCGWKHTAAISEGKIYTWGWGGANGTFSENGHSSGGQLGHGSDVDYRSPALVTFEKNLKALQVSCGFNHTGAILESAETEAILF >Manes.09G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29913655:29915254:-1 gene:Manes.09G101200.v8.1 transcript:Manes.09G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVEERHSTIAVEEVYHNPPHHHHGVPQVFVVNNEPSAPPAPAAPPAPPPITVVNTNVYLNREEYVTGYAVAPPPPLLLLPPPPPSLPFCGMGVGWFLFIVGWFLCGIPWYVGAIILLCSKVDPRERPGYIACAIIAIVITILAIIMAAKGK >Manes.07G043100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5054130:5057846:1 gene:Manes.07G043100.v8.1 transcript:Manes.07G043100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGSFFKFSGHCFDVLAWPLFALVYPLYASIQAIETNSISDTQKLISYWVCFSLILLFENAFSELLECLPWWTYIKVLIVGCLVTPHFEGSLYVYKHIVHPCLSIDLHVLLNELVNVMAVLKQEKVLAEAKNAKDTAGEALQNLTALKPEFEESKGVQKDISSVEMTENQKLALTKQPEFEVSKVVQKDISSMEITKSQESALTKQLQFGQLEPDFTPSGNRTTAPLDFTGTTPTWFAARGLPDVLLPSNNVQNEWTCAVCQVTTPSEADLISHLHGRRHESACEKLKAYNQTSKSKVSSASAMENANAAESRGNSPDTKQTHKPWTCATCHVTTTNKADLVSHFQGSRHKDALEKLTAKFQTSENKTHSALAAIAGDVPNIPWTCAVCQVTTTSEADLISHLHGRRHESACEKLKAYNQTSKRKVSSASAMENANAAESRGNSPDTKQTHKPWTCATCHVTTTNKADLVSHFQGLRHEDALEKLKAKFQTSEDKTNSALAAIAGRDVPNIPWTCAVCQLKITNLASLVSHLEGRRHEDACNSYQAKIKSIKSWWCTICNISCSSEGNMKSHLMGSKHLTNARAQQQT >Manes.07G043100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5054130:5057846:1 gene:Manes.07G043100.v8.1 transcript:Manes.07G043100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGSFFKFSGHCFDVLAWPLFALVYPLYASIQAIETNSISDTQKLISYWVCFSLILLFENAFSELLECLPWWTYIKVLIVGCLVTPHFEGSLYVYKHIVHPCLSIDLHVLLNELVNVMAVLKQEKVLAEAKNAKDTAGEALQNLTALKPEFEESKGVQKDISSVEMTENQKLALTKQPEFEVSKVVQKDISSMEITKSQESALTKQLQFGQLEPDFTPSGNRTTAPLDFTGTTPTWFAARGLPDVLLPSNNVQNEWTCAVCQVTTPSEADLISHLHGRRHESACEKLKAYNQTSKSKVSSASAMENANAAESRGNSPDTKQTHKPWTCATCHVTTTNKADLVSHFQGSRHKDALEKLTAKFQTSENKTHSALAAIAGDVPNIPWTCAVCQVTTTSEADLISHLHGRRHESACEKLKAYNQTSKRKVSSASAMENANAAESRGNSPDTKQTHKPWTCATCHVTTTNKADLVSHFQGLRHEDALEKLKAKFQTSEDKTNSALAAIAGRDVPNIPWTCAVCQLKITNLASLVSHLEGRRHEDACNSYQAKIKSIKSWWCTICNISCSSEGNMKSHLMGSKHLTNARAQQQT >Manes.10G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13545999:13547998:1 gene:Manes.10G073000.v8.1 transcript:Manes.10G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQKAAIVVSLICIVISGVGGQSPPNPPTATPAPPTPTTPSPPTSTPAPPTTTPPPASAPPPVTQSPPPATPPPVSAPPPATPPPVSSPPPASPPPATPPPASPPPATPPPASPPPATPPPASPPPATPPPASPPPATPPPATPPPATPPPAQVPAPAPSKKKLKAPAPSPLAPSPPAPPTEAPAPSLGSISPGPAGTDVSGVERLFSMEKMVGRLVFGLTIYVWLL >Manes.05G121800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20709556:20711442:-1 gene:Manes.05G121800.v8.1 transcript:Manes.05G121800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKSSPQLCLGIVFAFIDLEINGASFSVLDLEQFSLVSIKSCCKVCGTGPDMEESFANNSGLCGRPLEPCVHHRLGFDWLKKGFTIGYAISFVLIVVALIPYDIPPWLKLKTRNKRLQMRRNINQVTELAIVDNLREGGKEILKLEKVVPRLSYRDLSNATNNFCERNIIGLGKMGIVFKATLSSGGFLAVKKLHYAQFLDEQFIAELKMLGIMRHINLLPLLGFCIHSKERLLVYKYMPNGSLHDWLKPVETQAKNLEWPLRVKIATGLARGLAWLHQGCNIHIIHLNISSKCILLDHDFEPKLSNFGEAMLMIPNSSSCANTEVWQMDFVKEDVYSFGIVLLELITGKDSSKMSSNHSSNSNDGSSNEWVTHLLDNNSSNFHDLTDKSLIGQGFDDEIHEFVHIAVSCVHPNLEHRPTMWQVYEEIKAAGNRYGFIDQNSKAWTRRGGKSVEIEVAERGDVNW >Manes.05G121800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20709405:20711548:-1 gene:Manes.05G121800.v8.1 transcript:Manes.05G121800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFANNSGLCGRPLEPCVHHRLGFDWLKKGFTIGYAISFVLIVVALIPYDIPPWLKLKTRNKRLQMRRNINQVTELAIVDNLREGGKEILKLEKVVPRLSYRDLSNATNNFCERNIIGLGKMGIVFKATLSSGGFLAVKKLHYAQFLDEQFIAELKMLGIMRHINLLPLLGFCIHSKERLLVYKYMPNGSLHDWLKPVETQAKNLEWPLRVKIATGLARGLAWLHQGCNIHIIHLNISSKCILLDHDFEPKLSNFGEAMLMIPNSSSCANTEVWQMDFVKEDVYSFGIVLLELITGKDSSKMSSNHSSNSNDGSSNEWVTHLLDNNSSNFHDLTDKSLIGQGFDDEIHEFVHIAVSCVHPNLEHRPTMWQVYEEIKAAGNRYGFIDQNSKAWTRRGGKSVEIEVAERGDVNW >Manes.05G121800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20709474:20711264:-1 gene:Manes.05G121800.v8.1 transcript:Manes.05G121800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFANNSGLCGRPLEPCVHHRLGFDWLKKGFTIGYAISFVLIVVALIPYDIPPWLKLKTRNKRLQMRRNINQVTELAIVDNLREGGKEFYPQILKLEKVVPRLSYRDLSNATNNFCERNIIGLGKMGIVFKATLSSGGFLAVKKLHYAQFLDEQFIAELKMLGIMRHINLLPLLGFCIHSKERLLVYKYMPNGSLHDWLKPVETQAKNLEWPLRVKIATGLARGLAWLHQGCNIHIIHLNISSKCILLDHDFEPKLSNFGEAMLMIPNSSSCANTEVWQMDFVKEDVYSFGIVLLELITGKDSSKMSSNHSSNSNDGSSNEWVTHLLDNNSSNFHDLTDKSLIGQGFDDEIHEFVHIAVSCVHPNLEHRPTMWQVYEEIKAAGNRYGFIDQNSKAWTRRGGKSVEIEVAERGDVNW >Manes.01G248600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40842439:40850810:1 gene:Manes.01G248600.v8.1 transcript:Manes.01G248600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNEKEVVNDIAGNAELQGKGCDVPLKLEGHNVFESPMMCASLRSDWPESSTHDYIGTSRIQDRDSSTCVIPLAGFVPPQTSACSMNDVGNVLELTEGNYRTRNVSLVSSPLDNRQGKWQHHLSELPCESVRKNLQGAQDTQEISACLRTNEKRIISSCNVNMPNNLATSSSSQLLVNETLKLKGAFGQNEDAEAGFCSAARKVASDALMRSSANSNQLSLHRIEEVIPESLHRGITLREWLKHGHCKRDKVESLLIYRQIVELVDSAHSQGVALQDLRPSCFNLLPSNRIVYTGSSAKKEWKAAVFHDVLKKRPVEKDTGPYGSSVTKQQKLSEDFTSFGRQSQFATSCGFRIMTDETNLSANGAQDSRNVGPHSQCHSNYPSSCMTTKQRTLFLALQLEEKWYRSPEQLNGVFVTFSSNIYSLGVLLFELLSWYESPETHSTVMLDLHRRILPSNFLSTYPKEAGFCLWFLHPEPSSRPTASEILQSELICQSQEWCSGNDVSTCRDNNDAESEMSLHFLHLLKEQKQMHATKLIEHIGWLEDDIKEVEKRHFLRISSQTDAREQGLHLGTRSVAISASFSVTNMNEERSMRNISQIDNAYFSMRSRLHSSASASRSDKDFFKNQDRLSAFHNEKKESNMIQKPADPLGAFFDGLCKFARYSKFEVCGSLKNGHLLGSTNVLCSLSFDRDEEYIAAAGVSKKIKVFEFGTLLNDSMDIHYPVVEMSNNSKLSCVCWNNHIKNYLASTDYDGVIQMWDAGTGQGFSQYTEHQKRAWSVDFSLADPMMFASGSDDCSVRLWSINERGSIGTISNQANVCCVQFSASSSHFVAFGSADYKIYCYDLRHTRIPWCTLAGHEKAVSYVKFLDSETLVSASTDNTLKLWDLDKTSSNGLSSGACRLTFGGHTNKKNFVGLCTLDGYIACGSETNEVYCYYRSLPMPITSYKFGYDDSISGNKIVEDNGQFVSSVCWRQKSNMVVAANSMGKMEVLKMV >Manes.02G070000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5337984:5340880:-1 gene:Manes.02G070000.v8.1 transcript:Manes.02G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEEEVEGEDERKEAAIASTPSLQPGFKPTRVSQEQVFKLQELHKRRLKIKSKLQKNSEDGSGTSRSHGKGLKHSDGKDTARKIEDRSVANLKKHLDIVNSPQQLDNLAVDKAPKKRQKLHWGLDTKERWERKANM >Manes.01G049300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13315127:13319702:1 gene:Manes.01G049300.v8.1 transcript:Manes.01G049300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIFSLRGLPWSSSSEDQQKVELTVAELESLRSELADIEEREAHLKAQLEHVDEILRSARFSGYLYIRTRWTALPGEPPPIDDTDVDDWLPRFVVLHGPCIFYYLLCTDLSPQDSTLLSDIVEVGSLPSFTREDDETRYSFYILTRHGLRYECSSISKIQVDAWLAALKTECKSGSDALSEI >Manes.01G049300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13315256:13319607:1 gene:Manes.01G049300.v8.1 transcript:Manes.01G049300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLGKIKIVPDHFQVSTASENAPQRHNTPNLQPRIDNSARSGSRFWARRQLKRSAFMLNIFSLRGLPWSSSSEDQQKVELTVAELESLRSELADIEEREAHLKAQLEHVDEILRSARFSGYLYIRTRWTALPGEPPPIDDTDVDDWLPRFVVLHGPCIFYYLLCTDLSPQDSTLLSDIVEVGSLPSFTREDDETRYSFYILTRHGLRYECSSISKIQVLPSGSMIHVLPTVPSKYFSML >Manes.01G049300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13315276:13319313:1 gene:Manes.01G049300.v8.1 transcript:Manes.01G049300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLGKIKIVPDHFQVSTASENAPQRHNTPNLQPRIDNSARSGSRFWARRQLKRSAFMLNIFSLRGLPWSSSSEDQQKVELTVAELESLRSELADIEEREAHLKAQLEHVDEILRSARFSGYLYIRTRWTALPGEPPPIDDTDVDDWLPRFVVLHGPCIFYYLLCTDLSPQDSTLLSDIVEVGSLPSFTREDDETRYSFYILTRHGLRYECSSISKIQVDAWLAALKTECKSGSDALSEI >Manes.01G049300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13315127:13319702:1 gene:Manes.01G049300.v8.1 transcript:Manes.01G049300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLGKIKIVPDHFQVSTASENAPQRHNTPNLQPRIDNSARSGSRFWARRQLKRSAFMLNIFSLRGLPWSSSSEDQQKVELTVAELESLRSELADIEEREAHLKAQLEHVDEILRSARFSGYLYIRTRWTALPGEPPPIDDTDVDDWLPRFVVLHGPCIFYYLLCTDLSPQDSTLLSDIVEVGSLPSFTREDDETRYSFYILTRHGLRYECSSISKIQVDAWLAALKTECKSGSDALSEI >Manes.01G049300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13316114:13319313:1 gene:Manes.01G049300.v8.1 transcript:Manes.01G049300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIFSLRGLPWSSSSEDQQKVELTVAELESLRSELADIEEREAHLKAQLEHVDEILRSARFSGYLYIRTRWTALPGEPPPIDDTDVDDWLPRFVVLHGPCIFYYLLCTDLSPQDSTLLSDIVEVGSLPSFTREDDETRYSFYILTRHGLRYECSSISKIQVDAWLAALKTECKSGSDALSEI >Manes.01G049300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13315276:13319313:1 gene:Manes.01G049300.v8.1 transcript:Manes.01G049300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIFSLRGLPWSSSSEDQQKVELTVAELESLRSELADIEEREAHLKAQLEHVDEILRSARFSGYLYIRTRWTALPGEPPPIDDTDVDDWLPRFVVLHGPCIFYYLLCTDLSPQDSTLLSDIVEVGSLPSFTREDDETRYSFYILTRHGLRYECSSISKIQVDAWLAALKTECKSGSDALSEI >Manes.01G049300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13315189:13319328:1 gene:Manes.01G049300.v8.1 transcript:Manes.01G049300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIFSLRGLPWSSSSEDQQKVELTVAELESLRSELADIEEREAHLKAQLEHVDEILRSARFSGYLYIRTRWTALPGEPPPIDDTDVDDWLPRFVVLHGPCIFYYLLCTDLSPQDSTLLSDIVEVGSLPSFTREDDETRYSFYILTRHGLRYECSSISKIQVDAWLAALKTECKSGSDALSEI >Manes.01G049300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13316114:13319313:1 gene:Manes.01G049300.v8.1 transcript:Manes.01G049300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIFSLRGLPWSSSSEDQQKVELTVAELESLRSELADIEEREAHLKAQLEHVDEILRSARFSGYLYIRTRWTALPGEPPPIDDTDVDDWLPRFVVLHGPCIFYYLLCTDLSPQDSTLLSDIVEVGSLPSFTREDDETRYSFYILTRHGLRYECSSISKIQVDAWLAALKTECKSGSDALSEI >Manes.06G156300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28249506:28252713:1 gene:Manes.06G156300.v8.1 transcript:Manes.06G156300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >Manes.12G071950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7781758:7783882:1 gene:Manes.12G071950.v8.1 transcript:Manes.12G071950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNDQMLQNSISGSNQTNTDASTETTTSSKAKRKPVKPRSEVWDHFTKFVSDEGELKGKCNYCKKDFCCDPKRNGTTALRNHLNSCKKHPHFIETRQAQLSLQKNASDNDVNDLGTLTTWKYDDNAIRKALVHMIIIDELPFRFVEGEGFRSFMTAICPRFRIPSRWTISRDCYDLFIEERSKLKSFFKNNCQRVSLTTDTWTSLQRINYMCITAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTVTVDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMAHIINLVVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKECVLHEKIESKSSLCLDVPTRWNSTYLMLNTAQKYERAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEVSDLAFILNQWINSNDLDMKSMGERMKVKFDKYWGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGKEKGVELFNKVKSCLFDLFNEYKKMYQPDVEQFNDNSSQQLSGSCSTTGSINPKPKFFLKHHYKKQKLEESGGFDSKTELEVYLSEAIQEEKDDFDIMKWWKINSERFPILGKMARDILAIPVSTVASESAFSTGGRVLDSFRSSLTPKIVEGLICVQNWIRPLNIQVNVEEDLEELEKLEEGMLYTLSYLC >Manes.S009156.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:70385:70543:1 gene:Manes.S009156.v8.1 transcript:Manes.S009156.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.03G115200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24112521:24112911:1 gene:Manes.03G115200.v8.1 transcript:Manes.03G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMCFMVDQRRKMRGRKPVAGSCSRCSRGASVADMRTYTRFCYVPFYWKSWKAIICTFCGAVLKSYK >Manes.04G086600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28807964:28815459:1 gene:Manes.04G086600.v8.1 transcript:Manes.04G086600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHHSSAVKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPYISITPHHANVKDSDFNVDFFKQFSVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVRSSDASKSSEHGEDVFERRNNEDIEQYGRRIYDHVFGYNIEIALSNKETWKNRNRPKPLFSRDVLPEQLNYQNGNVDKKCATDDLSSVSAMASLGLKNPQDIWSLTENSRVFLESLKVFFACREKEIGNLSFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAVVAGLIVIEAIKVLKKDIDNYRMTYCLEHPSKKMLLMPVEPFEPNKSCCVCSESPLALEINTHRSKLRDFVEKIVKAKLGMNSPLIMHGSNLLYEVGDDLEDDMIANYTANLEKVLSELPSSVIGGTMLTVEDLQQEFTCNIYIKHREEFDEEKEPDGMVLSGWTQSPLEKKDDNKSVGNGGSTSTSMPSEGQSVELDEISEGTEICSKKRKQPEVSAGETNNKNEVERLDVVDDGEEEIVILDGWDTSNKKKKLQEPMLKAIVSAGFSISERKEGLRKGR >Manes.04G086600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28807964:28815294:1 gene:Manes.04G086600.v8.1 transcript:Manes.04G086600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPYISITPHHANVKDSDFNVDFFKQFSVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVRSSDASKSSEHGEDVFERRNNEDIEQYGRRIYDHVFGYNIEIALSNKETWKNRNRPKPLFSRDVLPEQLNYQNGNVDKKCATDDLSSVSAMASLGLKNPQDIWSLTENSRVFLESLKVFFACREKEIGNLSFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAVVAGLIVIEAIKVLKKDIDNYRMTYCLEHPSKKMLLMPVEPFEPNKSCCVCSESPLALEINTHRSKLRDFVEKIVKAKLGMNSPLIMHGSNLLYEVGDDLEDDMIANYTANLEKVLSELPSSVIGGTMLTVEDLQQEFTCNIYIKHREEFDEEKEPDGMVLSGWTQSPLEKKDDNKSVGNGGSTSTSMPSEGQSVELDEISEGTEICSKKRKQPEVSAGETNNKNEVERLDVVDDGEEEIVILDGWDTSNKKKKLQEPMLKAIVSAGFSISERKEGLRKGR >Manes.04G086600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28807773:28815648:1 gene:Manes.04G086600.v8.1 transcript:Manes.04G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHHSSAVKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPYISITPHHANVKDSDFNVDFFKQFSVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVRSSDASKSSEHGEDVFERRNNEDIEQYGRRIYDHVFGYNIEIALSNKETWKNRNRPKPLFSRDVLPEQLNYQNGNVDKKCATDDLSSVSAMASLGLKNPQDIWSLTENSRVFLESLKVFFACREKEIGNLSFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAVVAGLIVIEAIKVLKKDIDNYRMTYCLEHPSKKMLLMPVEPFEPNKSCCVCSESPLALEINTHRSKLRDFVEKIVKAKLGMNSPLIMHGSNLLYEVGDDLEDDMIANYTANLEKVLSELPSSVIGGTMLTVEDLQQEFTCNIYIKHREEFDEEKEPDGMVLSGWTQSPLEKKDDNKSVGNGGSTSTSMPSEGQSVELDEISEGTEICSKKRKQPEVSAGETNNKNEVERLDVVDDGEEEIVILDGWDTSNKKKKLQ >Manes.04G086600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28807964:28815294:1 gene:Manes.04G086600.v8.1 transcript:Manes.04G086600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHHSSAVKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPYISITPHHANVKDSDFNVDFFKQFSVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDKNQENDLNVRSSDASKSSEHGEDVFERRNNEDIEQYGRRIYDHVFGYNIEIALSNKETWKNRNRPKPLFSRDVLPEQLNYQNGNVDKKCATDDLSSVSAMASLGLKNPQDIWSLTENSRVFLESLKVFFACREKEIGNLSFDKDDQLAVEFVTAAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAVVAGLIVIEAIKVLKKDIDNYRMTYCLEHPSKKMLLMPVEPFEPNKSCCVCSESPLALEINTHRSKLRDFVEKIVKAKLGMNSPLIMHGSNLLYEVGDDLEDDMIANYTANLEKVLSELPSSVIGGTMLTVEDLQQEFTCNIYIKHREEFDEEKEPDGMVLSGWTQSPLEKKDDNKSVGNGGSTSTSMPSEGQSVELDEISEGTEICSKKRKQPEVSAGETNNKNEVERLDVVDDGEEEIVILDGWDTSNKKKKLQLFRREPMLKAIVSAGFSISERKEGLRKGR >Manes.08G129900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38104016:38104889:1 gene:Manes.08G129900.v8.1 transcript:Manes.08G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFHFLGLLAFALALSFASAFDPSPLQDFCVATPEPENAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISFARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDNYTVEKLQKLFANA >Manes.14G059600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4974380:4976377:1 gene:Manes.14G059600.v8.1 transcript:Manes.14G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVALLQQWWQEFAKIVPFNPMLVSSLLLLSLVYLFRLSRTHKLKLPPSPPKLPIIGNLHQLGALPYRSLKKLSDKYGPLMLVHFGRVPTLVVSTAEIAHEITKNHDVAFADRPKTSAGDVLFFGCQNLAFCPYGEYWRQVKKVCVLELLSQKQVNYFEFVRREETAKLVETLRDACVEGSPIDLSEMLVTISNNIVSRSALGTVYDNESERQSSSGDLVRGAIDLVGSFSFKDSFPYLGWLDTLTGFTGKVKKASKELHGFLDQVIDEHQSSKSQDKAEDRKDIVDILLQLEKNGMLTVDFTRESMKAVLMDMFIGGTDTTATTMDWTMAELMKNPNIMKKAQEEVRRVAGNKSKVDESDLDQLTYLKCIVKETLRHHVSGMIPRQTTTSTKLDGYDIPPNTRVLINAWGIQRDPRLWEKPDDFIPERFIDNPADFKGQHKEYIPFGSGRRLCPGISYALKEVEYVLANLLFLFDWKLPDGQGHEDLDMSEVFYLVIRKKVPLMVVPTVH >Manes.12G157800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36558189:36559538:1 gene:Manes.12G157800.v8.1 transcript:Manes.12G157800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETQSPETPPNFWGHMPEEEYYVSQGVTNTKSYFETPNGKLFTQSFLPLDQKVKGSVYMTHGYGSDTGWLFQKICINYATWGYAVFAADLLGHGRSDGLRCYMGDMEKIAATSLSFFKHMRYSEPYKDLPAFLFGESMGGATTMLMYFQSEPNTWTGLIFSAPLFVIPEPMKPSKLRLFVYGLLFGWADTWAAMPDNKMVGKAIKDPEKLKIIASNPRRYTGKPRVGTMREIARVCQYIQDNFSRVTAPFLTVHGTSDGVTCPTSSQLLYEKASSEDKSLKLYEGMYHSLIQGEPDENANIVLKDMREWIDERVERYGSKKADD >Manes.09G016756.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3621034:3626577:-1 gene:Manes.09G016756.v8.1 transcript:Manes.09G016756.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVEFFDDMVARGYQPDVRTFTVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPYVITYTGLIHGFCKLGQKNQALALMNEMMEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYNILINGYCMCKMIDDAKDIFVEMSHKGLVPDGVTYSTLIEGMFQAGRPQTAQELFKNVCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLC >Manes.09G016756.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3621034:3626577:-1 gene:Manes.09G016756.v8.1 transcript:Manes.09G016756.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAVEFFDDMVARGYQPDVRTFTVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGQKNQALALMNEMMEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYNILINGYCMCKMIDDAKDIFVEMSHKGLVPDGVTYSTLIEGMFQAGRPQTAQELFKNVCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLC >Manes.05G013801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:722398:723815:-1 gene:Manes.05G013801.v8.1 transcript:Manes.05G013801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLRSLLLVCMLLLLASVCKANEVANTIFVDKSGKGNFTTIQNAIDSIPQNNTQWIRIQISAEKYSEKVVIPANKPFIFLEGAGRNNTSIEYGDGQDLPTSATFISYADNVVAKGITIKNTYNLQNKGQNISIKRATAFSVQGDKSAFYDCAFIGIQDTLLDDEGSHYFKNCYIEGATDFIYGSAQSIYEECVISFNVGKYKPGSTGSMTAQMKVEPEDPSGFVFKNCEITGTGKAYLGRAWGPYSTVIVYNSTISDVILPQGWNAWHFVGHEENFTYVEADNTGAGADTSHRVPWLKKFDASQLTKYINLSYIDTDGWIEKLPTTPLMS >Manes.05G036200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2997125:3002356:1 gene:Manes.05G036200.v8.1 transcript:Manes.05G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTPVQDPPTQDPNPEPNPNPNPPATVAAPPSQPPLQTADAAPPPSATVATASPSPSPPPPPPPAAASLPPKGKKRPLEGDVQIQDCSYFKMRAVLKDIRPHLLEVLQTVDFRSCKGADELRERLKLLMELYKQMTAEAVTTKAKNEPAEQPLPSENGVGQKSLGHLQEVKPAGQPQSNRVLAKPSESKEAVDLEEQSVYIGGSAFGWNFITFSGNKPVYCGRTKESFRAAQVALFRLWLSGSFSSNPQCITE >Manes.11G049500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6128428:6131503:1 gene:Manes.11G049500.v8.1 transcript:Manes.11G049500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADFSFNEEDMVVDEGVGYSKAYAKLCRDREAAGTYSHGPPFTFLPCALQQHEIQRAKDLEQIFPIVDQKAKPTGKPKVFVGLLWKQLNHLGNAGFDPEVIRVDPYGNVLYFHADKASPLAWDIDHWFPCQRGGLTVPSNLRILQWQVCKGKHNKLEFLVPWWDLQLGISVNQFLSIFASSNSDFRRRAFSFLFSQGENEELNASQNVDSHSFPQHFFQSKEQLGLAPAALVVSRRESYDSSLALKSLDYNRQITPYSPAIAARKVKQGDLKENENPDFVTNPYQAIVMARVSLKQGEEAHKMQVEMQKLDDEVNEMRTKNDEEKLAIQDLELALIKRRRRAEKCKRLAEAQSSYRTMLEKMIRDAMHQSIIYKEQMRLNHAATNALMARLESQKAICDASERDIHKKFKQRNELEKQLRPEWEHTRKKSRMDDTLHEDADHKPCPYLLGTKPSTPLHKELRVFLEEERKASEDRKTEEIEEELKKSAKSLFMKDPDEHNKSIVALENYEIPIEHKLQALDIGDGKREEIQFPSIRVQVIEEDEDEESRKQRGKGNVERWLQMLLNSQDELEPENSNGHEKSMTDDIITKLNQKFPQEAKSSKHPGFDQGKKIEEIVEIEANKTETRTVKKAVKI >Manes.11G110400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25517330:25525940:1 gene:Manes.11G110400.v8.1 transcript:Manes.11G110400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVETGKKKKKGRPSLLDLQKRTLKEQQQQQQQQQKKQNQNQNRNLSNSNSNNSALQTSHNYKSATPTPLRRSTRRNRTVSSPEHDEDDEDAIELNGKRREKKLKLVLKLPSSQKSEPDSASLNSNGSELKGEEDEATASYRRKRKINAIGDGSGFGVSEKEEKSVAGANPTNHVQDSGPSTPLPDKKLLLFILDRLQKKDTYGVYSEPVNPNELPDYHDIIEHPMDFGTVRKKLSSGVYTNLEQFEKDVFLICSNAMQYNAPDTIYFRQARSIQELAKKSFENLRQDSDDNEPETKIVRRGRPPTKNFKKPVGRPSLEHAGSEVALDRTPPTGGESTIWSNNDLRKGPHVSDKSFADSSSRSHGSRNDAYWLTDNKKSERNEDATGSMYKGNSMKYGKRQFVLDENRRNTYKQLPAGGGEPSVLTTFDAERKQLMAVGLLTEHGYARSLDRFAANIGTAAWRIASKRIERSLPPGVKFGPGWVGENDIPPQRPLLLSSTLQGLPSPSRLLSLPEDSCAVAPCNVESREKLLAKPDNILSKNEVPQIHSASEAQLINALPPSASTSTPPAAARKSEPCTDSEAEAAVDGLNSHVGLNIINSNTTMIRPRLPFQIHQSGTVHPGINGFNGAYEFSLPAQMGKLIGNARPAGAGFQPCQMSDRISRTNTNFVHQATTNSLNSENPKFSDNSSTINPTGALPNSGNEEKEAQKSGVKHGHSWQQSKPDPGVSPQQKPDLVPPDLNVRFQSPGSPSSSRVDSAQPDLALQLICARGRSLGGAIRAMPNCIVQE >Manes.11G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25517238:25525940:1 gene:Manes.11G110400.v8.1 transcript:Manes.11G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVETGKKKKKGRPSLLDLQKRTLKEQQQQQQQQQKKQNQNQNRNLSNSNSNNSALQTSHNYKSATPTPLRRSTRRNRTVSSPEHDEDDEDAIELNGKRREKKLKLVLKLPSSQKSEPDSASLNSNGSELKGEEDEATASYRRKRKINAIGDGSGFGVSEKEEKSVAGANPTNHVQDSGPSTPLPDKKLLLFILDRLQKKDTYGVYSEPVNPNELPDYHDIIEHPMDFGTVRKKLSSGVYTNLEQFEKDVFLICSNAMQYNAPDTIYFRQARSIQELAKKSFENLRQDSDDNEPETKIVRRGRPPTKNFKKPVGRPSLEHAGSEVALDRTPPTGGESTIWSNNDLRKGPHVSDKSFADSSSRSHGSRNDAYWLTDNKKSERNEDATGSMYKGNSMKYGKRQFVLDENRRNTYKQLPAGGGEPSVLTTFDAERKQLMAVGLLTEHGYARSLDRFAANIGTAAWRIASKRIERSLPPGVKFGPGWVGENDIPPQRPLLLSSTLQGLPSPSRLLSLPEDSCAVAPCNVESREKLLAKPDNILSKNEVPQIHSASEAQLINALPPSASTSTPPAAARKSEPCTDSEAEAAVDGLNSHVGLNIINSNTTMIRPRLPFQIHQSGTVHPGINGFNGAYEFSLPAQMGKLIGNARPAGAGFQPCQMSDRISRTNTNFVHQATTNSLNSENPKFSDNSSTINPTGALPNSGNEEKEAQKSGVKHGHSWQQSKPDPGVSPQQKPDLVPPDLNVRFQSPGSPSSSRVDSAQPDLALQL >Manes.06G179300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:30362955:30363790:-1 gene:Manes.06G179300.v8.1 transcript:Manes.06G179300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKKSNKITDIVRLQQILKKWKKAATSSKSSNGSCSSSTTNTIKFIKRTLSFSDVSAASSSDAVPKGFLAVCVGKELKRYVIPTEYLGHQAFAILLREAEEEFGFQQEGVLKIPCEVCVFEKILKVVEEKSSDGHGYLLHELGFNSSVDNSCWSSPDYELTPSHHPQMCR >Manes.09G177600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36798094:36799413:1 gene:Manes.09G177600.v8.1 transcript:Manes.09G177600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISSLESQEFNQRKKRRKLTHQAEDHAQNDNTNNRIIVKPRWKTQAEQQIYSSKLLEALRRSRQTSSTAAVKGREIRETADRLLAVTAKGKTRWSRAILAGRLRMRKVKKARKVKVTGENRLRKKEMAKESSRLPVVEDRVRVLSRLVPGCQKTSFTNLLEEAGDYIAALEMQVKAMTALTEILAAGGVAPVRPTS >Manes.09G177600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36798210:36800487:1 gene:Manes.09G177600.v8.1 transcript:Manes.09G177600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISSLESQEFNQRKKRRKLTHQAEDHAQNDNTNNRIIVKPRWKTQAEQQIYSSKLLEALRRSRQTSSTAAVKGREIRETADRLLAVTAKGKTRWSRAILAGRLRMRKVKKARKVKVTGENRLRKKEMAKESSRLPVVEDRVRVLSRLVPGCQKTSFTNLLEEAGDYIAALEMQVKAMTALTEILAAGGVAPVRPTS >Manes.15G142100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11513966:11515784:-1 gene:Manes.15G142100.v8.1 transcript:Manes.15G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSIRKISGAVMFMVIFLVLNIACQAKLNSKYYEKSCPKALSTIRSTIRTAIARERRMAASLIRLHFHDCFVQGCDGSILLDETSSIKSEKTALPNLNSARGFEVIEKAKSEVEKICPGVVSCADIIAVAARDATEYVAGPSYTVLLGRRDSITASRDRANKELPSFKDGLNKLISRFQKIGLNARDLVALSGSHTLGQAQCATFRDRIYSNGSDIDAGFATTRRRHCPAVGSDGNLAPLDLVTPNSWDSNYFKNLIQKKGLLESDQVLFSGGSTDSIVREYSKNRAAFNADFASAMIKMGNYKPLTGSKGQIRRICSAVNK >Manes.14G075050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6273410:6274294:1 gene:Manes.14G075050.v8.1 transcript:Manes.14G075050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFTSKFSRNHSGREFSIWPPRYSHSLTTKSSNSSSLHLPHVRDVNTLWRWHRWFWSRWFRVYLHHRRWGFFDNDNRRQLRSIRKTMRMRNLRMCRNLKSSAFFNNNNRRRLGRVLWWRCILVCGRRRLLVLDDQFIIVTNFQPNLAFNPAKNTLLFERKINKPLFTSLGKLRTIQLYPSQKVVFAAAAIAVLLIIEDLDFQLLKVHIIRVRDNELKRLIPFWIEVPILGGGSLPLAIEVSDDIGTGAALAILGKKITSIDNFDKQLANGSGRLRRRRHGLIFYAITVKFN >Manes.14G148722.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16809249:16810922:1 gene:Manes.14G148722.v8.1 transcript:Manes.14G148722.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGGRRTNVFDPFSLDSWHPFHDFPFPSTSLSVSSPRSESANETSAFANTRIDWKETPEAHVFKADLPGIKKEEVKVEVEEGRVLQISGERSKEKEEKNDKWHRVERSRGKFLRRFRLPENAKVDEVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Manes.04G143000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34160510:34165750:1 gene:Manes.04G143000.v8.1 transcript:Manes.04G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLNNGSMHQREREDDDVMETPRTKQAIKAITAQIKDMALKASGAYRNCKPCSGPSNNNHNGSYADSDAASESARFHCAYRRTGSSNSTPRIWGKEMEARLKGLSSGEGTPASVSGRTESVVFMEEDEPKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVRRFNQQAVPLPTPPRSEDESSKPESAKDSPVTPPLGKERPRNFYRPTGKGYSSSDSLDHHPMQSRKYCDSAVLASTPKLSSISGAKTEASSVGGSARTSSSREVDHSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSRERFGEMHARLWWEENRARIQEQYL >Manes.04G143000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34160510:34165750:1 gene:Manes.04G143000.v8.1 transcript:Manes.04G143000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKASGAYRNCKPCSGPSNNNHNGSYADSDAASESARFHCAYRRTGSSNSTPRIWGKEMEARLKGLSSGEGTPASVSGRTESVVFMEEDEPKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVRRFNQQAVPLPTPPRSEDESSKPESAKDSPVTPPLGKERPRNFYRPTGKGYSSSDSLDHHPMQSRKYCDSAVLASTPKLSSISGAKTEASSVGGSARTSSSREVDHSGELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSRERFGEMHARLWWEENRARIQEQYL >Manes.11G150502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31472492:31479052:1 gene:Manes.11G150502.v8.1 transcript:Manes.11G150502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIGCVLFSLPLSFLLHGVALSLLALFAFYVEMLAGTSSSNSQFKTRRGASSGILLGAVTLPAVMLSKLVQLSRAFSFHEIEYEELNHLAMQYWSATFASCFTVLIFLCLVMWHSLFGLICIVLCAAVFISSTTGTETTLKLLWMIFHGITAVKLVQHLLATFPSCASIGLVLYSSDMLACTISKAILVQYGIKRSEISTIIQGLLLVLLLFAVVFKFILHKWDCSCNETTSSAATYNERGRAFLFFASLGLIMVFIIPSWMQFVQDFQPVKRLSLCIYWVCVIYVSILQFYNISRSSKIERMEPKFLDLAFGAALAVFLTLEIIRLWKTWPMGQLIHQFMNAFTDHRDSDLLIVSHFSLLLGCALPFWMSSGYNDRLLAPFAGILSLGIGDTMASMVGHKYEKTIETTAAGIMSVLAACSVLLPILASTGYIFTQHWISLLLAVIVSGLLQAYTAQLDNAFMPLVFYSLLCL >Manes.09G063100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10613326:10614648:1 gene:Manes.09G063100.v8.1 transcript:Manes.09G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHHSRIVLFLFFYATFTIFCYVSVISEAKTKPTKLITKLIHRNSIHSPFHNPHHGIEDKAKFIFESSLARFANHNYKTYLIPGTHMWFIFLVRFYIGNPTIPQLAIMDTASSLLWIQCSARRSPIPLLDPIKSSTYANILCKSKFCRHFPDNSCIKNHCTYNISYVNAPTSVGNAATEQLLFESDGNIVVVSQVIFGCNTVEKTYIDNGINGVFGLGPENISMARQLANKFSYCIGDFYDPNYNRLILGDEARLEGDATSLEMSEVHYYLNLQGISIGDNKLDIDKNVFKRNLTDQSKLTGVIIDSGSIATWLINEAYYKFRNEVKRILSDSIIEDMDGCRWCLCYKGNMNEDLKGFPEVVYHFSEEADLEVGFDGIFYEATTSTFCMAVYPSSHLPYKHFWDITVIGIMAQQNHNIAYDLHEKKLYFESIDCEVYEG >Manes.15G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3848615:3854546:1 gene:Manes.15G050000.v8.1 transcript:Manes.15G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFTLWIPLTFFFTIMSQINLAISQIPTTLEGPFKPVTRTFDSSLRRGSDDLPMDHPRLKKNVMGNFPEQISLAISSPTSMWVSWVTGDAQIGSNVTPLDPGSVASEVWYGKASGKYTSKQKGNSTVYSQLYPFEGLLNYTSGIIHHVRIDGLEPGTKYYYKCGDSSIPAMSEEHVFETLPSPSPNSYPHRIAVVGDLGLTSNSSTTLDHLVMNDPSLVLMIGDLSYANQYRTTGGKGVSCFSCAFPDAPTRETYQPRWDGWGRFMEPLISRVPMMVVEGNHEIEPQVSGITFESYLTRFSVPSEESGSKSSFYYSFDAGGIHFIMLGAYVDYNSTGSQYVWLKEDLNQVNRTKTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQFRVDIVFSGHVHAYERMNRVYNYTLDHCAPVYVTVGDGGNIEKVEVDHADDPGKCPSAGDNIPEFGGVCHINFSSGPAKGKFCWDKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDIYKNSSSGDQIYIVRQPELCLPPFLQFPPKNRAVTTILNWEGKKQSS >Manes.08G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32923442:32926419:1 gene:Manes.08G097700.v8.1 transcript:Manes.08G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLSGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPVGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKITEFIKFDVGNVVMVTGGRNRGRVGIIKNREKHKGSFETIHIQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEESRKRLAAAQTVA >Manes.14G135700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9442289:9446359:-1 gene:Manes.14G135700.v8.1 transcript:Manes.14G135700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIESRNRLMQATASTANETKIVISSQKVDSRDDSSPKKIVECRICQDEDEDCNMETPCSCCGSLKYAHRRCVQRWCNEKGNTICEICHQHFTPGYTAPPPLFQIGRIPMNLRGNWQTSGRDLHGPQFIAMVSTDRNFLNPEYEEYSASTRNSNCCRSVAIAFMVLLILRHTLPVILRTNEVSFPLLMLLFLRIAGILLPVYVIMRAVTALQRRLHQQDPPSLSFSTPEEEAEHSTLQAQQHIGNVP >Manes.14G135700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9442289:9446287:-1 gene:Manes.14G135700.v8.1 transcript:Manes.14G135700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLLTESTLEAAIESRNRLMQATASTANETKIVISSQKVDSRDDSSPKKIVECRICQDEDEDCNMETPCSCCGSLKYAHRRCVQRWCNEKGNTICEICHQHFTPGYTAPPPLFQIGRIPMNLRGNWQTSGRDLHGPQFIAMVSTDRNFLNPEYEEYSASTRNSNCCRSVAIAFMVLLILRHTLPVILRTNEVSFPLLMLLFLRIAGILLPVYVIMRAVTALQRRLHQQDPPSLSFSTPEEEAEHSTLQAQQHIGNVP >Manes.S030152.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2449341:2450153:-1 gene:Manes.S030152.v8.1 transcript:Manes.S030152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G121500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24783311:24786183:-1 gene:Manes.03G121500.v8.1 transcript:Manes.03G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWLSLKKSLHCKSEPSEVHDPKSRKQLSTILTKKAGRSGCSRSIANLKDVIHGSKRHTEKPPTCSPRSIGSSEFLNPITHEVILSNSRCELKITGFGGFQDGIGNGASNANNGPAAVGGSTFVGTLRPGTPGPAGHPTMHYFNPSMRSSATPPRKSPFLLSDREGSGIFSNGGRSSTRETDSNGSSTITCHKCGEQFSNWEAAENHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEYREMVKTKANKLPKKHPRCIADGNELLRFYGTTVACSLGLNGCSSLCVSEKCCVCRIIRNGFSAKKELKGGIGVFTTSTSGRAFESIEIFEQDPSVRKALIVCRVIAGRVHKPLDNIQQISGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKT >Manes.09G086166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25662589:25664038:-1 gene:Manes.09G086166.v8.1 transcript:Manes.09G086166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNAEVTLLDFWPSPFGMRVRIALAEKGIKYEYREEDLKNKSDLLLQMNPVHKKIPVLIHNGKPVAESLIAVQYIDEVWKDKAPLLPSDPYQRAQANFWADFVDKKIFELGRKIWATKGEEQEAAKQGFIESLKLLEGELGEKLFFGGENLGYVDVALVPFYSWFYAYEVCGNFSIEAECPKLIEWAKRCLAKESVFNSLPDHKKVYGFMLELKKRFGIE >Manes.08G075015.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16667524:16669968:1 gene:Manes.08G075015.v8.1 transcript:Manes.08G075015.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDDEQLLAPPDSGPKPTPIIQGRAQVVSKNKSTVPLEETKLRVLLEITGGDSSNDRPGLDLVAVLDVSGSMAGEKLAKVKTAMLFVIKKLSPIDRLSVVTFAEDARRLCPLRQITEDSQKFLENLVNGLNADGATNITAGLQTGLKIINDRNLSGGRSVGIMLMSDGEQNRGGDAAQVPVGKVPVHTFGFGVNHDPRVLKAIADNSIEGTFSDVQNTDNLSIAFSQCLAGLLTRVVEDLKLTVTPHEDESTIEQVIAGSYPQSKDDADGSVTVTFGGLYAKEVRKVMVDLLLPAVSKERGADVLDITLSYSFQGRPFYAPPVTLNVSRTRASADERERPEVRNEETRLLTAKMIKEARVMADGNKLDDARDKLVEAQNSLEDVDDESSPLIEMLRSELQQLLKLMKSQEIYEKQGRPFALSSETSHNRQRFAARGDIESLRLFATPRMDKYLEQAKSFDEDPSKPLPSVDEDVKEEIAANPLGPIAGALSFYIQSAIQSLQAIEKIINRGL >Manes.01G067233.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26814512:26814736:-1 gene:Manes.01G067233.v8.1 transcript:Manes.01G067233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVCSESRFMNISLVNTVLVKPCNKIQFSEISGTIKLIKHIVHSWNRKSIPNSNRIKSPVIYTKSPRAVLLLN >Manes.14G100100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8287287:8292984:1 gene:Manes.14G100100.v8.1 transcript:Manes.14G100100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDSAEGSSIKFSIRYLSCSSYKAIRLIICSMMLQYLFFMRFHYDFLTLLFVVIFAAIVLNFVNEQNRPLNSQNVADSLQKFNLKKTAIQKALDTLADSGKISFKEYGKQKIYLARQDQFDIPNSEELNHMKEENAKLQKQLEDQNRAISEVEGEIKSLQSNLTLEQIREKEAKLRNEVKEMEEKLAKLRGGVTLVRPEERKAIEKVYSEQISHWRKRKRIFKDLWDAITENSPKDLKEFKEELGIEYDEDVGVSLQSFSDLSQHSKKRTRGQ >Manes.03G097800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14884725:14896250:1 gene:Manes.03G097800.v8.1 transcript:Manes.03G097800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRIEAKEQQANFEHLNILSRHYKSVYRELFLTSDANDDKQSAVTSDTGAMSEYYRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIIHIPVRFRNFNLNDSQWFVKKGDKGVDLLASLCNKYDTSEDELRKTMEKTNDIIADILKKRPCLASECKNENLDNINPDGLIYYEDLIEESSVQSNLAILEKDYEDAIHSNGELDERVFINEEDSLLGSGSPSGGAINVTGTKRKFDQISSPIKTITSLLCPLRSPPSRTNGILGSTNSKMAATPVSTAMTTAKWLWTVISPLPSKPSVQLERFLALCDRDVANDVIRRAQIILEAIFPSSALGDCCVTGSLQSTNLMDNIWAEQRRMEALKLYYRVLEAMCTAEAQIFHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSVEISRLGLLAEPMSSLDAIAMHVNFSSGGLPPIPSSQKPESSPGQNGDIRSPKRPCTDIRSVLVERNSFTSPVKDRLLAITNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGVVERLQQSQQHVRENVYHLFQQILSQRTFLFFNRHIDQIILCCFYGVAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWSSACPDGRRGQDHVDIITFYNEIFIPAAKPLLVEFGSVGTVAKASQVPEVNNNKDGQCPASPKVSPFPSLPDMSPKKVSAAHNVYVSPLRTSKMDALISHSSKSYYACVGESTHAYQSPSKDLTSINNRLNSNRKIRGTLKFDDVDVGLVSDSMVANSLHLQNGSCAFSSGVPLKSEQPDS >Manes.03G097800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14884725:14896250:1 gene:Manes.03G097800.v8.1 transcript:Manes.03G097800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRIEAKEQQANFEHLNILSRHYKSVYRELFLTSDANDDKQSAVTSDTGAMSEYYRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIIHIPVRFRNFNLNDSQWFVKKGDKGVDLLASLCNKYDTSEDELRKTMEKTNDIIADILKKRPCLASECKNENLDNINPDGLIYYEDLIEESSVQSNLAILEKDYEDAIHSNGELDERVFINEEDSLLGSGSPSGGAINVTGTKRKFDQISSPIKTITSLLCPLRSPPSRTNGILGSTNSKMAATPVSTAMTTAKWLWTVISPLPSKPSVQLERFLALCDRDVANDVIRRAQIILEAIFPSSALGDCCVTGSLQSTNLMDNIWAEQRRMEALKLYYRVLEAMCTAEAQIFHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSVEISRLGLLAEPMSSLDAIAMHVNFSSGGLPPIPSSQKPESSPGQNGDIRSPKRPCTDIRSVLVERNSFTSPVKDRLLAITNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGVVERLQQSQQHVRENVYHLFQQILSQRTFLFFNRHIDQIILCCFYGVAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWSSACPDGRRGQDHVDIITFYNEIFIPAAKPLLVEFGSVGTVAKASQVPEVNNNKDGQCPASPKVSPFPSLPDMSPKKVSAAHNVYVSPLRTSKMDALISHSSKSYYACVGESTHAYQSPSKDLTSINNRLNSNRKIRGTLKFDDVDVGLVSDSMVANSLHLQNGSCAFSSGVPLKSEQPDS >Manes.03G097800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14884682:14896779:1 gene:Manes.03G097800.v8.1 transcript:Manes.03G097800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRIEAKEQQANFEHLNILSRHYKSVYRELFLTSDANDDKQSAVTSDTGAMSEYYRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIIHIPVRFRNFNLNDSQWFVKKGDKGVDLLASLCNKYDTSEDELRKTMEKTNDIIADILKKRPCLASECKNENLDNINPDGLIYYEDLIEESSVQSNLAILEKDYEDAIHSNGELDERVFINEEDSLLGSGSPSGGAINVTGTKRKFDQISSPIKTITSLLCPLRSPPSRTNGILGSTNSKMAATPVSTAMTTAKWLWTVISPLPSKPSVQLERFLALCDRDVANDVIRRAQIILEAIFPSSALGDCCVTGSLQSTNLMDNIWAEQRRMEALKLYYRVLEAMCTAEAQIFHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSVEISRLGLLAEPMSSLDAIAMHVNFSSGGLPPIPSSQKPESSPGQNGDIRSPKRPCTDIRSVLVERNSFTSPVKDRLLAITNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGVVERLQQSQQHVRENVYHLFQQILSQRTFLFFNRHIDQIILCCFYGVAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWSSACPDGRRGQDHVDIITFYNEIFIPAAKPLLVEFGSVGTVAKASQVPEVNNNKDGQCPASPKVSPFPSLPDMSPKKVSAAHNVYVSPLRTSKMDALISHSSKSYYACVGESTHAYQSPSKDLTSINNRLNSNRKIRGTLKFDDVDVGLVSDSMVANSLHLQNGSCAFSSGVPLKSEQPDS >Manes.03G097800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14884725:14896250:1 gene:Manes.03G097800.v8.1 transcript:Manes.03G097800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRIEAKEQQANFEHLNILSRHYKSVYRELFLTSDANDDKQSAVTSDTGAMSEYYRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIIHIPVRFRNFNLNDSQWFVKKGDKGVDLLASLCNKYDTSEDELRKTMEKTNDIIADILKKRPCLASECKNENLDNINPDGLIYYEDLIEESSVQSNLAILEKDYEDAIHSNGELDERVFINEEDSLLGSGSPSGGAINVTGTKRKFDQISSPIKTITSLLCPLRSPPSRTNGILGSTNSKMAATPVSTAMTTAKWLWTVISPLPSKPSVQLERFLALCDRDVANDVIRRAQIILEAIFPSSALGDCCVTGSLQSTNLMDNIWAEQRRMEALKLYYRVLEAMCTAEAQIFHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSVEISRLGLLAEPMSSLDAIAMHVNFSSGGLPPIPSSQKPESSPGQNGDIRSPKRPCTDIRSVLVERNSFTSPVKDRLLAITNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGVVERLQQSQQHVRENVYHLFQQILSQRTFLFFNRHIDQIILCCFYGVAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWSSACPDGRRGQDHVDIITFYNEIFIPAAKPLLVEFGSVGTVAKASQVPEVNNNKDGQCPASPKVSPFPSLPDMSPKKVSAAHNVYVSPLRTSKMDALISHSSKSYYACVGESTHAYQSPSKDLTSINNRLNSNRKIRGTLKFDDVDVGLVSDSMVANSLHLQNGSCAFSSGVPLKSEQPDS >Manes.03G097800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14884693:14896381:1 gene:Manes.03G097800.v8.1 transcript:Manes.03G097800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRIEAKEQQANFEHLNILSRHYKSVYRELFLTSDANDDKQSAVTSDTGAMSEYYRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIIHIPVRFRNFNLNDSQWFVKKGDKGVDLLASLCNKYDTSEDELRKTMEKTNDIIADILKKRPCLASECKNENLDNINPDGLIYYEDLIEESSVQSNLAILEKDYEDAIHSNGELDERVFINEEDSLLGSGSPSGGAINVTGTKRKFDQISSPIKTITSLLCPLRSPPSRTNGILGSTNSKMAATPVSTAMTTAKWLWTVISPLPSKPSVQLERFLALCDRDVANDVIRRAQIILEAIFPSSALGDCCVTGSLQSTNLMDNIWAEQRRMEALKLYYRVLEAMCTAEAQIFHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSVEISRLGLLAEPMSSLDAIAMHVNFSSGGLPPIPSSQKPESSPGQNGDIRSPKRPCTDIRSVLVERNSFTSPVKDRLLAITNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGVVERLQQSQQHVRENVYHLFQQILSQRTFLFFNRHIDQIILCCFYGVAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWSSACPDGRRGQDHVDIITFYNEIFIPAAKPLLVEFGSVGTVAKASQVPEVNNNKDGQCPASPKVSPFPSLPDMSPKKVSAAHNVYVSPLRTSKMDALISHSSKSYYACVGESTHAYQSPSKDLTSINNRLNSNRKIRGTLKFDDVDVGLVSDSMVANSLHLQNGSCAFSSGVPLKSEQPDS >Manes.03G097800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14884682:14896381:1 gene:Manes.03G097800.v8.1 transcript:Manes.03G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRIEAKEQQANFEHLNILSRHYKSVYRELFLTSDANDDKQSAVTSDTGAMSEYYRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIIHIPVRFRNFNLNDSQWFVKKGDKGVDLLASLCNKYDTSEDELRKTMEKTNDIIADILKKRPCLASECKNENLDNINPDGLIYYEDLIEESSVQSNLAILEKDYEDAIHSNGELDERVFINEEDSLLGSGSPSGGAINVTGTKRKFDQISSPIKTITSLLCPLRSPPSRTNGILGSTNSKMAATPVSTAMTTAKWLWTVISPLPSKPSVQLERFLALCDRDVANDVIRRAQIILEAIFPSSALGDCCVTGSLQSTNLMDNIWAEQRRMEALKLYYRVLEAMCTAEAQIFHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSVEISRLGLLAEPMSSLDAIAMHVNFSSGGLPPIPSSQKPESSPGQNGDIRSPKRPCTDIRSVLVERNSFTSPVKDRLLAITNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGVVERLQQSQQHVRENVYHLFQQILSQRTFLFFNRHIDQIILCCFYGVAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWSSACPDGRRGQDHVDIITFYNEIFIPAAKPLLVEFGSVGTVAKASQVPEVNNNKDGQCPASPKVSPFPSLPDMSPKKVSAAHNVYVSPLRTSKMDALISHSSKSYYACVGESTHAYQSPSKDLTSINNRLNSNRKIRGTLKFDDVDVGLVSDSMVANSLHLQNGSCAFSSGVPLKSEQPDS >Manes.03G097800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14884693:14896381:1 gene:Manes.03G097800.v8.1 transcript:Manes.03G097800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRIEAKEQQANFEHLNILSRHYKSVYRELFLTSDANDDKQSAVTSDTGAMSEYYRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIIHIPVRFRNFNLNDSQWFVKKGDKGVDLLASLCNKYDTSEDELRKTMEKTNDIIADILKKRPCLASECKNENLDNINPDGLIYYEDLIEESSVQSNLAILEKDYEDAIHSNGELDERVFINEEDSLLGSGSPSGGAINVTGTKRKFDQISSPIKTITSLLCPLRSPPSRTNGILGSTNSKMAATPVSTAMTTAKWLWTVISPLPSKPSVQLERFLALCDRDVANDVIRRAQIILEAIFPSSALGDCCVTGSLQSTNLMDNIWAEQRRMEALKLYYRVLEAMCTAEAQIFHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSVEISRLGLLAEPMSSLDAIAMHVNFSSGGLPPIPSSQKPESSPGQNGDIRSPKRPCTDIRSVLVERNSFTSPVKDRLLAITNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGVVERLQQSQQHVRENVYHLFQQILSQRTFLFFNRHIDQIILCCFYGVAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWSSACPDGRRGQDHVDIITFYNEIFIPAAKPLLVEFGSVGTVAKASQVPEVNNNKDGQCPASPKVSPFPSLPDMSPKKVSAAHNVYVSPLRTSKMDALISHSSKSYYACVGESTHAYQSPSKDLTSINNRLNSNRKIRGTLKFDDVDVGLVSDSMVANSLHLQNGSCAFSSGVPLKSEQPDS >Manes.03G097800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14884720:14896250:1 gene:Manes.03G097800.v8.1 transcript:Manes.03G097800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYYRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIIHIPVRFRNFNLNDSQWFVKKGDKGVDLLASLCNKYDTSEDELRKTMEKTNDIIADILKKRPCLASECKNENLDNINPDGLIYYEDLIEESSVQSNLAILEKDYEDAIHSNGELDERVFINEEDSLLGSGSPSGGAINVTGTKRKFDQISSPIKTITSLLCPLRSPPSRTNGILGSTNSKMAATPVSTAMTTAKWLWTVISPLPSKPSVQLERFLALCDRDVANDVIRRAQIILEAIFPSSALGDCCVTGSLQSTNLMDNIWAEQRRMEALKLYYRVLEAMCTAEAQIFHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFVRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVARPSLSVEISRLGLLAEPMSSLDAIAMHVNFSSGGLPPIPSSQKPESSPGQNGDIRSPKRPCTDIRSVLVERNSFTSPVKDRLLAITNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINIFFSKINKLAAVRINGVVERLQQSQQHVRENVYHLFQQILSQRTFLFFNRHIDQIILCCFYGVAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWSSACPDGRRGQDHVDIITFYNEIFIPAAKPLLVEFGSVGTVAKASQVPEVNNNKDGQCPASPKVSPFPSLPDMSPKKVSAAHNVYVSPLRTSKMDALISHSSKSYYACVGESTHAYQSPSKDLTSINNRLNSNRKIRGTLKFDDVDVGLVSDSMVANSLHLQNGSCAFSSGVPLKSEQPDS >Manes.18G047200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4109992:4111364:-1 gene:Manes.18G047200.v8.1 transcript:Manes.18G047200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCHAWTHYHAQIPHAPFSKPLKHVPIVHFPISSILSLLQDSRPTYPSPFRFSQCFVSFTMIKTLNPYSTAKTAEIMSRYRPIAPKPELPSNSLGDTPSMSQKIRQSPYLRNLWPQLQARPTRTRKRGRATMSPPTIKRPRTHLLGFSSPNHVLSPARHLSLQGFVHGVSQLPISNLAGVSSRSENPVTAASDLVTLPLLPCQPSVPAVAPEISCMEPRKEVVIDLNTTVAEIPEEKDLLQQLQETLTTNVIAPLPIRPVGSTISVGCISEDPSSIPPLQLPKKPEEVEQEVECESLPAVISDSKYRVRLANSAYKEMVGQPECSWLDLMVTGDGRIGGSSCKRICGEVALHLPDSKVPTSSNGFSCWVRIEWGEEGKKKSINSFCDVIRLSCESKDYLFTWRFHTHNREGSQSSTTA >Manes.03G033700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2807461:2812182:-1 gene:Manes.03G033700.v8.1 transcript:Manes.03G033700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNLVSSILLTLTRVFAVSVRATMVAKKPRIVIIGAGMAGLTAANKLYTSASSKDSFELCVVEGGNRIGGRINTSEFGGNRIEMGATWIHGIGGSPVHKIAQEINSLESEQPWECMDGFLDEPKTIAEGGCELNPSLVKSISTLFKNLMDFAQGKLIERSENSEGLDFHRLAAKASKICKSNGGVPGKLSVGAFLRQGLDAYWDSVKDEEGETKGFGNWSRKLLEEAHFAMHENVQRTYTSAGDLLTLDFDAESEYQMFPGEEITIAKGYLSIIESLASVLPKGLIQLGRKVARIEWQPEAQQSMENGYANRPVKLHFSDGSVMCADHVVVTVSLGVLKAGIGQDSGMFSPPLPSFKTEAISRLGFGVVNKLFLQLSSTHDDKDEVGNKFPFLQMAFHRTESELRNKKIPWWMRRTASICPIYKNSSVLLSWFAGKEAVELESLSDEDTINGVSTTISSFLQAPQKQVNGNSHELSNGNLSANEIKISKVLKSKWGNDPLFLGSYSYIAVGSGGDDMDSLAEPLPAKIGNYESAGCPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVEI >Manes.03G033700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2807461:2812182:-1 gene:Manes.03G033700.v8.1 transcript:Manes.03G033700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRIVIIGAGMAGLTAANKLYTSASSKDSFELCVVEGGNRIGGRINTSEFGGNRIEMGATWIHGIGGSPVHKIAQEINSLESEQPWECMDGFLDEPKTIAEGGCELNPSLVKSISTLFKNLMDFAQGKLIERSENSEGLDFHRLAAKASKICKSNGGVPGKLSVGAFLRQGLDAYWDSVKDEEGETKGFGNWSRKLLEEAHFAMHENVQRTYTSAGDLLTLDFDAESEYQMFPGEEITIAKGYLSIIESLASVLPKGLIQLGRKVARIEWQPEAQQSMENGYANRPVKLHFSDGSVMCADHVVVTVSLGVLKAGIGQDSGMFSPPLPSFKTEAISRLGFGVVNKLFLQLSSTHDDKDEVGNKFPFLQMAFHRTESELRNKKIPWWMRRTASICPIYKNSSVLLSWFAGKEAVELESLSDEDTINGVSTTISSFLQAPQKQVNGNSHELSNGNLSANEIKISKVLKSKWGNDPLFLGSYSYIAVGSGGDDMDSLAEPLPAKIGNYESAGCPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVEI >Manes.03G033700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2807461:2812182:-1 gene:Manes.03G033700.v8.1 transcript:Manes.03G033700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRIVIIGAGMAGLTAANKLYTSASSKDSFELCVVEGGNRIGGRINTSEFGGNRIEMGATWIHGIGGSPVHKIAQEINSLESEQPWECMDGFLDEPKTIAEGGCELNPSLVKSISTLFKNLMDFAQGKLIERSENSEGLDFHRLAAKASKICKSNGGVPGKLSVGAFLRQGLDAYWDSVKDEEGETKGFGNWSRKLLEEAHFAMHENVQRTYTSAGDLLTLDFDAESEYQMFPGEEITIAKGYLSIIESLASVLPKGLIQLGRKVARIEWQPEAQQSMENGYANRPVKLHFSDGSVMCADHVVVTVSLGVLKAGIGQDSGMFSPPLPSFKTEAISRLGFGVVNKLFLQLSSTHDDKDEVGNKFPFLQMAFHRTESELRNKKIPWWMRRTASICPIYKNSSVLLSWFAGKEAVELESLSDEDTINGVSTTISSFLQAPQKQVNGNSHELSNGNLSANEIKISKVLKSKWGNDPLFLGSYSYIAVGSGGDDMDSLAEPLPAKIGNYESAGCPPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVEI >Manes.13G013369.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2198145:2202592:1 gene:Manes.13G013369.v8.1 transcript:Manes.13G013369.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHGKLCSGRRLRYRLHCYFHSHPLHDFISINFLKFKKRHWWPSCYVWAGYPRLAISFKLHFEYIAGIQFSTFIFLLKKRLYDFALNFSPFNLV >Manes.02G139700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10738303:10739583:-1 gene:Manes.02G139700.v8.1 transcript:Manes.02G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNQASGFPYDPNSNTLGDAEGDPEESGILEIYVHHARNIHNICIYDNQDVYAKFSLTYNPDEIHSTRIINGGGKNPEFNEKLAIKVAQLDAVLKCEIWMLSRARNYMEDQLLGFALVPISQVAGKGKVTRDYSLSSTDFFHSPAGTVQLTLSLNTSLPLNPSAAANSSISAEVVLLDRKTSEEIVDPFEYTRVEFPDINVVAENQQMVSEYYDGLGSRPGSFLHLGASSHLPVDDYEMKINSSEENQGGFTSPIDSIQNSGFLSSTATSLSDDRNSIESIDKKGRLRTHSSNSLNVSITTETHHGSSTCPDTPTSKKGSKVREEKNSKEYEGIGQVFSSPLGNINLENEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKPESEDRGDLIQSQSHSNKLELEKKQKKEGSRVFYGSRAFF >Manes.15G002400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:257541:257786:1 gene:Manes.15G002400.v8.1 transcript:Manes.15G002400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRWYATALRWPEFGFSLPTSIFRWPEFDFSYLTTGWSLQSFRWFDFSIDDVVWTFVTVLESVALVAMLCYFFVFCGCTL >Manes.01G080200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28302030:28308820:1 gene:Manes.01G080200.v8.1 transcript:Manes.01G080200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVISKTLVNPYYSLLRIARFALTSHSQPFSRVRHMSSESSQQVFQLKVDPLTGNSEWVVIREDEEENFGSSHKNLLATTSYLDMLNDSTRNRAFREAIDKTITKPCHVLDIGAGTGLLSMMAARAMNCGEPTSANTKERVTACESYLPMVKLMRKVLHLNNMGRNINVINKRSDELKVGVDIPTRADVLLVESPFLWKLHDLCDNEAKASDGIHLIPTGLDSILHVKLQQHPMHCDAISKEIKLLSEPFKIFEFDFWKRPDSHRESELHIKATDDGRVHAILSWWMLQLDCEGTIFYSTAPRWITTSTGNWCDHWKQCVWLIPGKGIPIGKGEQLLFRAIHNDTSVFYNLAKEDTEVRQYNFYSGEFDLILPPERIAIYGDSKWRFSMLTALRNALQARVQPLCVVADDSIFLTLSVANISKTAHVISLFPGLRERGAQYLQRVADANGFTADRVKVLENKKCLTLQDTNQKKVDLLIGEPYYYGNDGMLPWLNLRFWKERTVLDSVLSDDALIMPFKAVLKACAMSLPELWNSRRCLSKIEGFDHSIVNTTLGACGELPPPHDVPLLPFFIWQCGEIKELSERFTIMEFEFSKPITPCHGKAQVEFSETGICHGFVIWIDWVMDARNSVVLSTGPDERYWKQGVKLLAQPVAVKTRGSSTGEYLYTEIEASFDPSTGELAISHAFT >Manes.01G080200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28302030:28308819:1 gene:Manes.01G080200.v8.1 transcript:Manes.01G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVISKTLVNPYYSLLRIARFALTSHSQPFSRVRHMSSESSQQVFQLKVDPLTGNSEWVVIREDEEENFGSSHKNLLATTSYLDMLNDSTRNRAFREAIDKTITKPCHVLDIGAGTGLLSMMAARAMNCGEPTSANTKERVTACESYLPMVKLMRKVLHLNNMGRNINVINKRSDELKVGVDIPTRADVLVSEILDSELLGEGLIPTLQHAHDMLLVENPLTVPYRATTYGQLVESPFLWKLHDLCDNEAKASDGIHLIPTGLDSILHVKLQQHPMHCDAISKEIKLLSEPFKIFEFDFWKRPDSHRESELHIKATDDGRVHAILSWWMLQLDCEGTIFYSTAPRWITTSTGNWCDHWKQCVWLIPGKGIPIGKGEQLLFRAIHNDTSVFYNLAKEDTEVRQYNFYSGEFDLILPPERIAIYGDSKWRFSMLTALRNALQARVQPLCVVADDSIFLTLSVANISKTAHVISLFPGLRERGAQYLQRVADANGFTADRVKVLENKKCLTLQDTNQKKVDLLIGEPYYYGNDGMLPWLNLRFWKERTVLDSVLSDDALIMPFKAVLKACAMSLPELWNSRRCLSKIEGFDHSIVNTTLGACGELPPPHDVPLLPFFIWQCGEIKELSERFTIMEFEFSKPITPCHGKAQVEFSETGICHGFVIWIDWVMDARNSVVLSTGPDERYWKQGVKLLAQPVAVKTRGSSTGEYLYTEIEASFDPSTGELAISHAFT >Manes.05G149301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25244029:25245798:-1 gene:Manes.05G149301.v8.1 transcript:Manes.05G149301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADVLGPEKNGYVRAYGPGKNVTEYFGARPTKIELLKQLDTSRREANERVQQIQKEASEQVNDVKKQMDEKLAEMNRIWEQKFKMLLEKNNNIASPMEDSQDDEIGG >Manes.05G149301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25244029:25244956:-1 gene:Manes.05G149301.v8.1 transcript:Manes.05G149301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADVLGPEKNGYVRAYGPGKNVTEYFGARPTKIELLKQLDTSRREANERVQQIQKEASEQVNDVKKQMDEKLAEMNRIWEQKFKMLLEKNNNIASPMEDSQDDEIGG >Manes.11G097300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20745353:20752078:1 gene:Manes.11G097300.v8.1 transcript:Manes.11G097300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMNFLRACFRPRSDPYVHTSSDSNGRQDGLLWYKDHGHHVNGEFSMAVVQANNLLEDQSQIESGNLSLHESGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFSTEQQSMSVDVIKKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVITGGILYIANLGDSRAVLGRAVKATGDVLSIQLSSEHNACIESVRQELQSLHPDDSHIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSAEPSISVHQLQPHDQFIIFASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSDLVSRASSVKGSNISVRGGGISLPPKTLAPCATPTEAGGT >Manes.11G097300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20745353:20752078:1 gene:Manes.11G097300.v8.1 transcript:Manes.11G097300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMNFLRACFRPRSDPYVHTSSDSNGRQDGLLWYKDHGHHVNGEFSMAVVQANNLLEDQSQIESGNLSLHESGPYGTFVGVYDGHGGPETSRYINDHLFQHLKRFSTEQQSMSVDVIKKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVITGGILYIANLGDSRAVLGRAVKATGDVLSIQLSSEHNACIESVRQELQSLHPDDSHIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSAEPSISVHQLQPHDQFIIFASDGLWEHLSNQEAVDIVQNHPRSGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSDLVSRASSVKGSNISVRGGGISLPPKTLAPCATPTEAGGT >Manes.12G154600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36210525:36211405:-1 gene:Manes.12G154600.v8.1 transcript:Manes.12G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGLGVRIERKSSIESEPRTLTIDQIQYAREAALYVVNTRSEEEAVSIFTEGLQPVVSVAQSAGEAMDLDGEIKCIHAHLQLLAGIRDVLSAPF >Manes.02G108501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8426644:8440884:1 gene:Manes.02G108501.v8.1 transcript:Manes.02G108501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKKITLKSSDGELFEVDEAVALESQTIKHVIEDDCPNNGIPLPNVTSKILAKVIEYCKKHVETPKPDANHELKTWDAEFVKVDQATLLDLIWAADYLNINGLLDLTCQTVADMIKGKTPEDVEEIRRENQWLFEIVTMLSVKKITLKSSDSETFKVDEAVALESQIIKYMIEDDCTDNGIPLPNVTSKILAKVIEYCKKHVETPKPDTNHELETWDAEFVKVDQATLFDLIQAANYLNIKGLLDLTCQTVADMIKGKTPEEIRMTFNINNVFTPEEEEEVRRENQWAFE >Manes.08G036200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3488582:3493216:1 gene:Manes.08G036200.v8.1 transcript:Manes.08G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWRDDEPDELNSSSPAGETSEYWKSPDSSSGKPCSTRKVVKSMCKTEEVEPGKFVRKCEKTEEILRECLGEPVEVLKSNKEYTEDDVTDVMVKGSSSVGQFDNSPFSFPGLRSDVEGIEHHFLDGINRFFEAAEQMRSSFFDVFGDLHKDKPSSSPSMRRGIPVEDYPKKGDPSPKQRKPDAGDIDLSGLARDV >Manes.09G167201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35977195:35979512:-1 gene:Manes.09G167201.v8.1 transcript:Manes.09G167201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCFYSSIPKIVQFQKFVTNPNCHNSISNFNSRNPLLFPWSSNSKFTVHCTERTRDDTPLSSSSAYAVLGVQLGCSPAELKAAFRAKVKQFHPDVNRDGDDSDTMIRRVIQAYQMLSNCSRLEIIEGECLDPFDKPECEALDIFVNEVLCVGKGCPYSCVRSAPHAFAYDSSTGTARATSQGHGEDYQVQLAVGQCPRSCIHYVTPSQRIILEELLDSILNAPYDCSAEADLLYSLIVKAKFENNRFQKPKKKPTTSTQHVDWFT >Manes.06G157900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28347708:28353311:1 gene:Manes.06G157900.v8.1 transcript:Manes.06G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQPQFRLTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGADARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPSYLLPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVAPSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGAVQKIAMFDKNGGLQALVQYPDVQTAVMAKEALEGHCIYDGGFCKLHISYSRHNDLSIKVNNDRSRDYTIHSTAMLNPQPSLLGQQPVPTVGQPAHPYSGTQFAPPPEHPGMPQPSAGWAAGAPAAPHSMPAQMSNHPYLPPGAMPPQMGPGMMHMAGHSGLPQGSAMPPPYRPGQMQ >Manes.06G157900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28347708:28353311:1 gene:Manes.06G157900.v8.1 transcript:Manes.06G157900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSALMFCTWYFLLLGLCIRLLLLRRQLGSRHWCNFPMQRLPHPLKMPLMEETFLGDSCINWLTCYLLPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVAPSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGAVQKIAMFDKNGGLQALVQYPDVQTAVMAKEALEGHCIYDGGFCKLHISYSRHNDLSIKVNNDRSRDYTIHSTAMLNPQPSLLGQQPVPTVGQPAHPYSGTQFAPPPEHPGMPQPSAGWAAGAPAAPHSMPAQMSNHPYLPPGAMPPQMGPGMMHMAGHSGLPQGSAMPPPYRPGQMQ >Manes.06G157900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28347708:28353311:1 gene:Manes.06G157900.v8.1 transcript:Manes.06G157900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSALMFCTWYFLLLGLCIRLLLLRRQLGSRHWCNFPMQRLPHPLKMPLMEETFLGDSCINWLTCYLLPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVAPSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGAVQKIAMFDKNGGLQALVQYPDVQTAVMAKEALEGHCIYDGGFCKLHISYSRHNDLSIKVNNDRSRDYTIHSTAMLNPQPSLLGQQPVPTVGQPAHPYSGTQFAPPPEHPGMPQPSAGWAAGAPAAPHSMPAQMSNHPYLPPGAMPPQMGPGMMHMAGHSGLPQGSAMPPPYRPGQMQ >Manes.06G157900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28347708:28353311:1 gene:Manes.06G157900.v8.1 transcript:Manes.06G157900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSALMFCTCRPMSKEYLQWQLNAFGERAHVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPSYLLPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVAPSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGAVQKIAMFDKNGGLQALVQYPDVQTAVMAKEALEGHCIYDGGFCKLHISYSRHNDLSIKVNNDRSRDYTIHSTAMLNPQPSLLGQQPVPTVGQPAHPYSGTQFAPPPEHPGMPQPSAGWAAGAPAAPHSMPAQMSNHPYLPPGAMPPQMGPGMMHMAGHSGLPQGSAMPPPYRPGQMQ >Manes.06G157900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28347708:28353311:1 gene:Manes.06G157900.v8.1 transcript:Manes.06G157900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQPQFRLTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGADARLVSIDVLHLEPHQDISWLLQGQEKTQQRHDAAKVVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPSYLLPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVAPSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGAVQKIAMFDKNGGLQALVQYPDVQTAVMAKEALEGHCIYDGGFCKLHISYSRHNDLSIKVNNDRSRDYTIHSTAMLNPQPSLLGQQPVPTVGQPAHPYSGTQFAPPPEHPGMPQPSAGWAAGAPAAPHSMPAQMSNHPYLPPGAMPPQMGPGMMHMAGHSGLPQGSAMPPPYRPGQMQ >Manes.06G157900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28347708:28353311:1 gene:Manes.06G157900.v8.1 transcript:Manes.06G157900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSQPQFRLTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGADARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPSYLLPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVAPSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGAVQKIAMFDKNGGLQALVQYPDVQTAVMAKEALEGHCIYDGGFCKLHISYSRHNDLSIKVNNDRSRDYTIHSTAMLNPQPSLLGQQPVPTVGQPAHPYSGTQFAPPPEHPGMPQPSAGWAAGAPAAPHSMPAQMSNHPYLPPGAMPPQMGPGMMHMAGHSGLPQGSAMPPPYRPGQMQ >Manes.06G157900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28347708:28353311:1 gene:Manes.06G157900.v8.1 transcript:Manes.06G157900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALSALMFCTCRPMSKEYLQWQLNAFGERAHVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPSYLLPEHIGPCTLRITYSAHTDLSVKFQSHRSRDYTNPNLPVAPSAIDGGGLFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSAFGAVQKIAMFDKNGGLQALVQYPDVQTAVMAKEALEGHCIYDGGFCKLHISYSRHNDLSIKVNNDRSRDYTIHSTAMLNPQPSLLGQQPVPTVGQPAHPYSGTQFAPPPEHPGMPQPSAGWAAGAPAAPHSMPAQMSNHPYLPPGAMPPQMGPGMMHMAGHSGLPQGSAMPPPYRPGQMQ >Manes.S016023.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:123867:124034:1 gene:Manes.S016023.v8.1 transcript:Manes.S016023.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.09G075238.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18880455:18885061:-1 gene:Manes.09G075238.v8.1 transcript:Manes.09G075238.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILNRNSGSSIHGSPGPARTKKIFVGGLASTVTETDFKKYFDHFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLQKTFHELNGKMVEVKRAVPKELSPGPTRGQLSGFNYGATRVGSLLNGYTQTQGYGANSTGGLGLRMDGRFSPVTVGRSNFSPFGPGFGMGLNFDQGLSPSHGGNPNLGSNLGYGRMSPSYSGNSNRYDSPIGYNGVNGGNSSALNSTARTLWGNGSINHAPQSTNSSTSMGSGAGNSRMGSFSSIGGLWGSSVNFGQGGGAVSAYSSGNLSYNNGDFSNGLGGVGYGRNSGTSFGPFSAHAASQDDFGGSYADIYENGPLYGDAAWRTSPLELEDSGSFDFGLGNAATDAVTKNSAGYGGAYSVANGQANRGIAT >Manes.09G075238.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18880040:18885091:-1 gene:Manes.09G075238.v8.1 transcript:Manes.09G075238.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGISWDTNEDRLRQYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPSVAERVVMEKHLIDGRNVEAKKAVPREDQNILNRNSGSSIHGSPGPARTKKIFVGGLASTVTETDFKKYFDHFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLQKTFHELNGKMVEVKRAVPKELSPGPTRGQLSGFNYGATRVGSLLNGYTQTQGYGANSTGGLGLRMDGRFSPVTVGRSNFSPFGPGFGMGLNFDQGLSPSHGGNPNLGSNLGYGRMSPSYSGNSNRYDSPIGYNGVNGGNSSALNSTARTLWGNGSINHAPQSTNSSTSMGSGAGNSRMGSFSSIGGLWGSSVNFGQGGGAVSAYSSGNLSYNNGDFSNGLGGVGYGRNSGTSFGPFSAHAASQDDFGGSYADIYENGPLYGDAAWRTSPLELEDSGSFDFGLGNAATDAVTKNSAGYGGAYSVANGQANRGIAT >Manes.15G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7807397:7810355:1 gene:Manes.15G099500.v8.1 transcript:Manes.15G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKVVNLGDSLLVPCVQELANSQMDTIPPRYIRQDQDQPIIQNEVSAEEVPVIDMQRLLSRESMDSELTKLHYACKDWGFFQLVNHGVNSSLLEKIKKEVQDLFDLPMEEKKKLWQCPGEVEGFGQAFVVAEDQKLDWGDIFFMTTQPVEARKPHLYPKLPLPLRDTLDAYSSAMKNLGMAILAQMAKALEVKTEEITEMFEDGFQSMRMNYYPPCPQPDKVIGLTPHSDASGITILLQVNEVEGLQIKKDGKWVSIKPLPNAFVINIGDSLEIISNGIYRSIEHRAIVNLEKVRISIATFYAPRMNAEIGPALSLIKKQSPALFKRIGVEEYFRSLFARELHSKSHLDTLRI >Manes.15G099500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7807397:7810355:1 gene:Manes.15G099500.v8.1 transcript:Manes.15G099500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKVVNLGDSLLVPCVQELANSQMDTIPPRYIRQDQDQPIIQNEVSAEEVPVIDMQRLLSRESMDSELTKLHYACKDWGFFQLVNHGVNSSLLEKIKKEVQDLFDLPMEEKKKLWQCPGEVEGFGQAFVVAEDQKLDWGDIFFMTTQPVEARKPHLYPKLPLPLRDTLDAYSSAMKNLGMAILAQMAKALEVKTEEITEMFEDGFQSMRMNYYPPCPQPDKVIGLTPHSDASGITILLQVNEVEGLQIKKDGKWVSIKPLPNAFVINIGDSLEQIISNGIYRSIEHRAIVNLEKVRISIATFYAPRMNAEIGPALSLIKKQSPALFKRIGVEEYFRSLFARELHSKSHLDTLRI >Manes.09G153200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34989888:34991321:1 gene:Manes.09G153200.v8.1 transcript:Manes.09G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHELPRIRCLSLLRLKPTTTQQSNQILAQLIIHDLKSPTLLAKLIQQYCSLSSRHQAQLIFTHFHNSNLFLFNTLIRCTQPRDSIHILTNWIPNNGLAFDDFTYLYFLGSCARSPSLSTLWLGRQVHAQALKFGFMSNILLATTLIHFYANNRDVYSARKVFDEMTKRSVVTWNAMITGYSSQKEKAKECAHDALLLFRDMVVDLYGEKPNDRTMVCVLSSSSQLGVLESGVCIHGYIEKTIRKPESDVFIGTGLVDMYLKCGYLDGALNIFSEMRVKNVLTWTAMATGLAIHGKGKEALELLDVMAAGGVKPNAVTFTSLFSACCHSCLVEEGLQLFHNMESKFGLEPQIQHYGCVVDLLGRAGHLKEAYEFIAGMPVKPDAILWRSLLSACQIHGDIVLGEKVAKVLLNSHQEKNFTVSNYTGEDYVALSNIFASAERWEEVETVRKEMKVKRIETNPGASSVQAINDHPFGI >Manes.09G025475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5129303:5130045:1 gene:Manes.09G025475.v8.1 transcript:Manes.09G025475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRFSPAITNILFADDILLFGKASRMEAHNILSILAKYSAASGQKINYHKSSIFFGKNTPTNLLKSEFRDGRLLKV >Manes.01G104300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30404396:30408544:1 gene:Manes.01G104300.v8.1 transcript:Manes.01G104300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASRSSISSLHSTCPDLDRSLHPDMAVATFREALSGECCGNKKLERKSSGRRRVFVQTETGFVLGMELDRSDNAHTVKRKLQLALNVPTEERSLIFGDMVLKNDLSAVRNASPLLLTKNYMHRSSSTPCLSPTGKDLQQSDQSGPIEILGFSDHFARVKELVKEIMMAMRVGVDPIPIHSGLGGAYYFKNCHGENVAIIKPTDEEPYAPNNPKGFVGQALGQPGLKRSVRVGETGFREVAAYLLDYNHFANVPSTVLVKVTHSIFNVNDGVKGNKQQQRKQVSKIASLQQFIPHDFDASDYGTSSFPVAAVHRIGILDIRILNTDRHAGNLLVRKLDGIGRFGQVELVPIDHGLCLPESLEDPYYEWIHWPQASIPFSEDELDYINRLDPFQDSDMLRRELPMIREACLRVLVVCTIFLKESAAFGLCLAEIGDMMSREFRGHMEEPSELELICIKARSLLGETEYLSYEVKSGNSEEFQFDIDCEELDLTLNTAEKLEVKPLCSGARGVNVQTSLSKLMESLEEEDIEDSEGNELTLSTDEYADSMQDWVSTISKMPILKSTSAGEKSWQHEGAMQKNGYLAGISSGNRSVNEQLGASPTFVKLADLTEREWVQFLEHFQKLLGPAFANRESGNVGQRQRQRLGTSCQF >Manes.06G162900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29027486:29032299:1 gene:Manes.06G162900.v8.1 transcript:Manes.06G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDYLILCDVAVPTPAPNKLPVPLTSRAKSSPNYCLRPCTRKHSVITVGTRPRCHSLGGLLYNSSLKRRQTFSKLRSCSCGRLPNKQDQLQVEERGEENREKTEMSSGAGKIVCVTGASGYIASWLVKLLLARGYTVKASVRDPNDPKKTEHLRALEGAEERLQLFKANLLEEGSFDAAVEGCECVFHTASPFYLDVQNPQAELIDPALKGTLNVLNSCAKVPSVRRVVLTSSMAAVAYNGKPRTPEVIVDETWFSDPDFCKESKLWYVVSKTLAEDSAWKFAKEKGIDLVSINPAMVIGPLLQPTLNTSAAAILSLIKGANTFPNATFGWVNVKDVANAHIQAFEIPSASGRYCLVEKVAHCSEVVKILLELYPDFQLPEKCADDKPYVPTYQVSKEKAESLGIDFIPLNVSLQETVESLKEKGFVIF >Manes.12G102600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428850:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIAEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428411:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIAEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428411:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428411:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIAEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428850:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIAEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428405:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIAEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428850:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428411:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIAEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428850:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428411:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428411:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.12G102600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:23428405:23435085:1 gene:Manes.12G102600.v8.1 transcript:Manes.12G102600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTKIFKGSSYKGQYHGKHGEDRYWDEHRRSVDDSSDFDREEIDCAIALSLSEADLKGKKVIEEEDDSSCLSDEDEKLARAEEDERRARAEEDERRAKAEEDERRARAEEYEKRVSAQLEEDEQLARALQDLNVESPPRYDFGNAFSPYPFLFPSGYRICAGCNAEIGHGRFLSCMGAVWHPECFRCNACNQPITDYEFSMSGNRPYHKSCYKERHHPRCDVCNNYIPTNSSGLIEYRAHPFWLQKYCPSHERDGTPRCCSCERMESRDARYLSLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERQALNEAMEGEKNGHHHLPETRGLCLSEEHIISTVSRRPRIGAGYRFIDLITEPYRLNRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGSDGASSSSSSSACSSSSSPSSSSSTSSKKGQRSDFEKKLGEYFKHQIESDTSSAYGEGFRIGNQAVGKYGLRSTLEHIRLTGTFPV >Manes.01G212000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38272590:38275111:1 gene:Manes.01G212000.v8.1 transcript:Manes.01G212000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIVLQRQLAVYTASLYYEGYVDSQFTQVRKLQDESSPNFVTEVASLFFADCEKALNNMSRALEAKDFKQLDYHAQQLKGSSISFGAARIKDACIAFRTHCESQDREWCLRCLQQVNHEYGQLKTRLQTLFRLEQQIVASGGSVSKFR >Manes.04G046736.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7400802:7407200:-1 gene:Manes.04G046736.v8.1 transcript:Manes.04G046736.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPSLWSPMIIESLIFLFLLISQTTCNSTEINDQCAASSCGNIHNISYPFRLHTDPKNCGDHNYELACENNLTVLSLFGGKYYVQAINYDNFTIRLVDAGVNQYDCSSIPRFPFTFDNLILGNHGYLVSDLTRMICFIKCPSPVHSPSYLDYSACINGGSALKMHTYAMIIDESNWISDLMDLCSLEMISLIPHELGLENKNNISCVEIHRRLAFGFQLYWHSNSSVIFLDVDNRISAEYSTIFTDIGIFWFVRALLGLPCVIAFLIFKWRRKHMSEYNTIEEFLQSHNNLMPVRYSYSQIKKITGGLKEKLGEGGFGSVYKGKLRSGQFSAVKILDKSKANVQDFINEVATLGKIHHVNVVQLIGFCAETSKQALVYEFMSKGSLRKYIDLEGSISISWEKLFEISLGVAYGIEYLHRGCDMQILHFDIKPDNILLDENFIPKISDFGLAKFYPTKGSIASLTVKGGTEGYMAPELFYKNMGGVSYKADVYSFGQLVLQIADRGKKENNKVIESLSEVYSPYRLHDQLSSGNLPIEDITEEEKIKARKMIITGLWCVQFQPSDRPAMNKVIEMLEGDLESLQVPPRPTLFPLDSVNTNWRELLLMTDDFTESSRSIKNLDQGTQ >Manes.04G046736.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7400802:7407200:-1 gene:Manes.04G046736.v8.1 transcript:Manes.04G046736.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPSLWSPMIIESLIFLFLLISQTTCNSTEINDQCAASSCGNIHNISYPFRLHTDPKNCGDHNYELACENNLTVLSLFGGKYYVQAINYDNFTIRLVDAGVNQYDCSSIPRFPFTFDNLILGNHGYLVSDLTRMICFIKCPSPVHSPSYLDYSACINGGSALKMHTYAMIIDESNWISDLMDLCSLEMISLIPHELGLENKNNISCVEIHRRLAFGFQLYWHSNSSVIFLDVDNRISGICTLFNEFIYGISLIIETIESEYSTIFTDIGIFWFVRALLGLPCVIAFLIFKWRRKHMSEYNTIEEFLQSHNNLMPVRYSYSQIKKITGGLKEKLGEGGFGSVYKGKLRSGQFSAVKILDKSKANVQDFINEVATLGKIHHVNVVQLIGFCAETSKQALVYEFMSKGSLRKYIDLEGSISISWEKLFEISLGVAYGIEYLHRGCDMQILHFDIKPDNILLDENFIPKISDFGLAKFYPTKGSIASLTVKGGTEGYMAPELFYKNMGGVSYKADVYSFGQLVLQIADRGKKENNKVIESLSEVYSPYRLHDQLSSGNLPIEDITEEEKIKARKMIITGLWCVQFQPSDRPAMNKVIEMLEGDLESLQVPPRPTLFPLDSVNTNWRELLLMTDDFTESSRSIKNLDQGTQ >Manes.17G027350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:19623062:19624349:1 gene:Manes.17G027350.v8.1 transcript:Manes.17G027350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCMKVILLDFNDWYMGHSVVLQCMGLGLGVILLNATRKLGALVLRLLPQLRRINNTIDCMLIINQESIQSPSLPQFLCRRFLFPGSSKLCLKGSELLLYFRQSHSFITHHRRSCSHLESFRSSAFNTTLILIVRMEFVAEN >Manes.07G040900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5021969:5025837:-1 gene:Manes.07G040900.v8.1 transcript:Manes.07G040900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKFAVLHCAEDSEYVKKKYGGYFGVFVRMLGEEGETWDVYKVACGEFPDDDDEIKSFDGFVITGSCSDAHGNDVWIRKLLNLLNKLVSMKKKILGICFGHQILARSLGGRTGRAISGWDIGVTAIHFPSSTKLFSSVKVPASLSVIECHRDEVRELPPEAEVIAWSDKTGIEMFMYEDHIMGIQGHPEYNKDILSHLVDRLLQRNLIVESFADEIKANLDVQEPDREAWRKLCTNFLKGRLPL >Manes.08G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23516701:23518758:1 gene:Manes.08G080300.v8.1 transcript:Manes.08G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLCIFSLLSFFSLANARIPGVYTGGAWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPRWCNPGSPSIFVTATNFCPPNYALPSDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVTYRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVKVSIKGSKTEWQSMSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSWNIAPSNWQFGQTFTGKNFRV >Manes.08G080300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23516701:23518771:1 gene:Manes.08G080300.v8.1 transcript:Manes.08G080300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESPGFTPAALGKVPMLLSMAAATPLELWVGFISIQLLGLLYFFTSHLRRRRESSVTLFPFEKRGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPRWCNPGSPSIFVTATNFCPPNYALPSDNGGWCNPPRSHFDLAMPMFLKIAEYRAGIVPVTYRRVPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIVKVSIKGSKTEWQSMSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSWNIAPSNWQFGQTFTGKNFRV >Manes.15G154700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12836256:12836843:1 gene:Manes.15G154700.v8.1 transcript:Manes.15G154700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKLLLLLFTIFSSLHYFSVSSFEYQVGGKKGWVVPPANDTRIYNDWASENRFQVGDTVRFRYKKDSVLEVTEQEYKKCNSSHPSFFSNTGNTVYKLDHSGPFYFVSGVSGHCQKGQRMIIKVMASEDDNPLHDDGNKSAGSRSAVLPVVVSLQLLLSYVASRVLY >Manes.07G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11715040:11717666:1 gene:Manes.07G063600.v8.1 transcript:Manes.07G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKAAIFVSLICIVIAGAGAQSPVNPPTTTPAPPTTTPPPTSAPPPVTQSPPPATPPPVSTPPPATPPPASPPPATPPPATPPPASPPPASPPPATPPPATPPPATPPPASPPPATPPPASPPPATPPPATPPPATPPPAPLAAPPAQVPAPAPSKKKLKAPAPAPLGPSPPAPPTGAPAPSLGSISPGPAGTDVSGAERLLSMGKMGGSLVFGWTIFLWLL >Manes.07G097000.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30125218:30126462:1 gene:Manes.07G097000.v8.1 transcript:Manes.07G097000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKPKSSVLKQLFFVTFQNPILSRANHLYQKLPQQYTQTCNYVDVYMKWKKDSYYESIEHIHKSKELKPILSLKDFIAQNPNGCIPISDVSKRGLQFDVKIKVARFLRQYPSIFEEFVGPKYNLPWFRLTEEAAAINREENKVLEEYKEDLKERLKKFILMSKEKVLPFKIIKGMLWYLGLPEDFLQHQDKNFDSSFRVVELEDGSKGLGVESTKKILSVLQKNAMRKGLYYGEPMEAIEFPLFPSKGLRLRRKIQDWLKEFQKLPYVSPYEDNSHLDPNSDIGEKRVVGLLHEFLSLFVEHSVERKKLLCLKKYFELPQKVHKAFERHPHMFYLSFRNKTCTTILKEAYGDDELAMERHPMAMIRKKYIKLMKESEVILKRRRANNPFLEYKKLDFEMDSVNEERREEEKQ >Manes.16G018301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1880532:1883993:-1 gene:Manes.16G018301.v8.1 transcript:Manes.16G018301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTIRLYFALLVLHRLTLSTSTDTITINQTLREGDLLVSKQNKFALGFFSPGNSSYRYLGIWFYKISLQTHTVVWVANRDNPINGSAGFLSINQYGNLVLYGNRDEKFPIWSANVSVDLANTCVAQLFDSGNFVLFQGSRRIFVWQSFDHPTNTMLPGMRLGLDKRTGLNRFLTSWKSTDDPGIGDYSLKLNLVGSPQFFLYDGSKPFWRGTPWPWRTPDIYNYSYVNSEEEITFSYSHDDSSVLFQLMVHESGMLMWVSRRESDANWKEFWSGSKYRCDSYGRCGANSICDPRHLNEFECSCLPGYEPKFPRNWFRMRDGSGGCVRKRLKSSSVCGQGEGFGKVPQVKVPDTSTAVWVNMSMSRVDCEQECYKDCSCSAYASIKIPGKDVACLAWYGDLMDIVDLMDHSGYNVYVRVDAIELAEIERSNGFLEMKGMLAFLIVSVSSAWFVIIIFAYLWLRKRKKRVKNKSNRRLFDSINGPHYHKDNFEADDIQTSRSHTDLAFLNLSTISAATDNFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLMAKLQHRNLVKLLGCCIQEEERILIYEYLSNGSLDLFLFDTTRSSFLNWSKRYKIIVGVVRGILYLHQDSRWRIIHRDLKSSNILLDAELNPKISDFGMARILEDDQIEHKTHRVVGTYGYMSPEYAVLGKFSVKSDVFSFGIILLEIISGKKSNGFHQEDPSLTLIGHVWELWQEDRALDIVDSTLKESYNSHEVLKCIHIALLCVQEDAMDRPTTSAIIVMLDSEITLPCPKQPAFIFRNSRNSSPIWEGPSSVNEVSITETVAR >Manes.18G107300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10578595:10581772:1 gene:Manes.18G107300.v8.1 transcript:Manes.18G107300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAILWGTMIGYTVTTAISIGAVKRTTCFHARGHEAKCGVSGNLYMVIYGGMQIVLSQFPNLEKLAFLSVVAAVTSLAYSSIALCLSIAKLSSNHEFKGGIMAAMADNNIPQATSTKIWHSFQALGNIAFAYTFSMLLLEIQDTLKSHPPENKVMKRVTLYAIGGTALFYISVGCIGYAAFGNDVPGNVLAGFYQPFWLVDIANLSVIVHLIAAYQVFSQPIFAIHEKLLASKWPTSSFTRIYTIRLPLTHKGIFSFTLSKLFLRTLFVVFTTTVAMMLPFFNAILAFLGAISFWPLTVYFPLRMYTMQTKIKRGSYQWMGFQGLSLVCLIVSLISGIGSVAGMLVSLKKAKLFHIDL >Manes.18G107300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10578595:10581772:1 gene:Manes.18G107300.v8.1 transcript:Manes.18G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDAGDDGRIRTGTLATATAHAFTAVVGAGILALPWSVAQLGWILGPSVLVFFAFVTYYSAILLCDCYRTPDPVNGRRNHTYIAAVRALLGPRNELFCAVMQYAILWGTMIGYTVTTAISIGAVKRTTCFHARGHEAKCGVSGNLYMVIYGGMQIVLSQFPNLEKLAFLSVVAAVTSLAYSSIALCLSIAKLSSNHEFKGGIMAAMADNNIPQATSTKIWHSFQALGNIAFAYTFSMLLLEIQDTLKSHPPENKVMKRVTLYAIGGTALFYISVGCIGYAAFGNDVPGNVLAGFYQPFWLVDIANLSVIVHLIAAYQVFSQPIFAIHEKLLASKWPTSSFTRIYTIRLPLTHKGIFSFTLSKLFLRTLFVVFTTTVAMMLPFFNAILAFLGAISFWPLTVYFPLRMYTMQTKIKRGSYQWMGFQGLSLVCLIVSLISGIGSVAGMLVSLKKAKLFHIDL >Manes.18G107300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10578595:10581772:1 gene:Manes.18G107300.v8.1 transcript:Manes.18G107300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAILWGTMIGYTVTTAISIGAVKRTTCFHARGHEAKCGVSGNLYMVIYGGMQIVLSQFPNLEKLAFLSVVAAVTSLAYSSIALCLSIAKLSSNHEFKGGIMAAMADNNIPQATSTKIWHSFQALGNIAFAYTFSMLLLEIQDTLKSHPPENKVMKRVTLYAIGGTALFYISVGCIGYAAFGNDVPGNVLAGFYQPFWLVDIANLSVIVHLIAAYQVFSQPIFAIHEKLLASKWPTSSFTRIYTIRLPLTHKGIFSFTLSKLFLRTLFVVFTTTVAMMLPFFNAILAFLGAISFWPLTVYFPLRMYTMQTKIKRGSYQWMGFQGLSLVCLIVSLISGIGSVAGMLVSLKKAKLFHIDL >Manes.18G107300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10578595:10581772:1 gene:Manes.18G107300.v8.1 transcript:Manes.18G107300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYGGMQIVLSQFPNLEKLAFLSVVAAVTSLAYSSIALCLSIAKLSSNHEFKGGIMAAMADNNIPQATSTKIWHSFQALGNIAFAYTFSMLLLEIQDTLKSHPPENKVMKRVTLYAIGGTALFYISVGCIGYAAFGNDVPGNVLAGFYQPFWLVDIANLSVIVHLIAAYQVFSQPIFAIHEKLLASKWPTSSFTRIYTIRLPLTHKGIFSFTLSKLFLRTLFVVFTTTVAMMLPFFNAILAFLGAISFWPLTVYFPLRMYTMQTKIKRGSYQWMGFQGLSLVCLIVSLISGIGSVAGMLVSLKKAKLFHIDL >Manes.14G112628.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12998966:13003646:-1 gene:Manes.14G112628.v8.1 transcript:Manes.14G112628.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRRAGVKTSVVAGSVWESRMKLDEVKGGIKVFNGDGNNEETVDSSRKLVKRGQSGTAKRKTWKSESFDGPIQIAKGKTEPSPIKNCEEQCRELSVSADGIKKISIPARRGRSDGIKELSVSLDEIDKTPIQGKKGRSDVNTDGNEKSPVQIRKPRSDTREFAESGAQFRKSKSDSEHAANQSGNIELDSGRDSIPMRKAKSEPEKALEDESEFKGSDDSVNRIEKSPPEIEEAGSEESCKEVISSSEAHGALIKSPPILLVDNQDDNNDEATDGDEALEGDEEVEIEIEKKSLEVKEIKIPEEKTKKVETKASPEQKPKKLVNEDKRVYQFRNRTAPTSSTVNKQPPPVLRRATIYQNLSKPTPTPLSNGNHQNFPETHNKLQNLVDLVMWRDISRSAFVFGIGTFIIISSSYTKDLNVSFISVISYLGLVYLAAIFLYRSLICRGVIIYIEDRSHVVGEEEAIWLLKLVLPYLNECLLKIKALFSGDPATTMKLAVLLFVFARCGSSITIWNMAKLGFFGVFTVPKIFSSYSTQLTAYAKFWIRRFRDAWDSCSHKKAVALAIFTLVWNLSSMIARVWAVFMMFVAVRYYQQSMEAYDWDEDENDETIDIGEQSRKIESTSGEINKIKKKL >Manes.02G198500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16594534:16599028:-1 gene:Manes.02G198500.v8.1 transcript:Manes.02G198500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKTAVGTQNSDPRDSFHQSRYTLLSYFFFLSLHFTSLYSFLSFLFLSHFFSFLLTPNTALSLSPLPNMAEDSSASTPPPPEVQAAPPPPVAEEKEIEASAPAPPSVAESESPAIIEKGEPPLPRTPPQESKSLAAMMEKEESFSSPLLSSAAEEKGEEMDEPVVNKEEVAVVEAGKEKQIDEQKIPQTLVSFKEESNIVADLSDFERKALEELKQLVQEALNTHQFIFPPPSAKEEEKQSVPPQGQSTQEIPAVSSEPTSDAPPKVEAPLSESDVSTEIKPPLAQESKVEEGTQLKESQAEAKKEEPKVTSPPEEVSIWGIPLLKDDRSDVILLKFLRAREFKVKDAFTMIKNTLRWRQEFKIDELLDEDLGDDLDKVVFMHGHDREGHPVCYNVYGEFQNKELYQKTFADEEKRMKFLRCRIQFLERSIRKLDFSPGGISTIFQVNDLKNSPGPGKRELRLATRQAVQLLQDNYPEFVAKQVFINVPWWYIAFYTMISPFMTQRTKSKFVFAGPSKSAETLFKYISPEQVPIQYGGLSVDYCDCNPEFTVADPATEITVKPATKQTVEIIIYEKCVIVWEIRVVGWEVSYGAEFVPDAKDAYTVIIQKPTKLAPTDEPVVTSSFKVSELGKILLTVDNSTSKKKKLLYSLS >Manes.02G198500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16594534:16599028:-1 gene:Manes.02G198500.v8.1 transcript:Manes.02G198500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKTAVGTQNSDPRDSFHQSRYTLLSYFFFLSLHFTSLYSFLSFLFLSHFFSFLLTPNTALSLSPLPNMAEDSSASTPPPPEVQAAPPPPVAEEKEIEASAPAPPSVAESESPAIIEKGEPPLPRTPPQESKSLAAMMEKEESFSSPLLSSAAEEKGEEMDEPVVNKEEVAVVEAGKEKQIDEQKIPQTLVSFKEESNIVADLSDFERKALEELKQLVQEALNTHQFIFPPPSAKEEEKQSVPPQGQSTQEIPAVSSEPTSDAPPKVEAPLSESDVSTEIKPPLAQESKVEEGTQLKESQAEAKKEEPKVTSPPEEVSIWGIPLLKDDRSDVILLKFLRAREFKVKDAFTMIKNTLRWRQEFKIDELLDEDLGDDLDKVVFMHGHDREGHPVCYNVYGEFQNKELYQKTFADEEKRMKFLRCRIQFLERSIRKLDFSPGGISTIFQVNDLKNSPGPGKRELRLATRQAVQLLQDNYPEFVAKQVFINVPWWYIAFYTMISPFMTQRTKSKFVFAGPSKSAETLFKYISPEQVPIQYGGLSVDYCDCNPEFTVADPATEITVKPATKQTVEIIIYEKCVIVWEIRVVGWEVSYGAEFVPDAKDAYTVIIQKPTKLAPTDEPVVTSSFKVSELGKILLTVDNSTSKKKKLLYRFKINPFSD >Manes.12G150450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35878422:35880120:1 gene:Manes.12G150450.v8.1 transcript:Manes.12G150450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMNPQIFCQLGAATNSAHLVSKYKSIISILTSYLQVSIRQDVHLVGACT >Manes.05G076400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6230617:6232499:-1 gene:Manes.05G076400.v8.1 transcript:Manes.05G076400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPLFSPASDRRFWSALRSRIDTLLEHRQAKVSAGQDQLNLGPSSATRVSVGESDRAKRMKEDSLLLLRGFDSVSQTLSQLSNNLDNALQGARCLAEPPTLRQIFHSNLNNSETKQEGSKKEQNEEKEEEEGNNPEDQGNKGSKRKFDQTDSSLHQGNSSRKGNDQSPKDTKLKKAKNLAVSMATKAASLARELKSIKSELCFMQERCTLLEEENRRLRDGFSEGIRQEEDDLMRLQMEALFAEKSRLASENSNLIRENQCLHQLVEYHQLTSQDLSSSYEQLIHGMCLDFSSPSSSIKEEAENADNKEVPQTPRANIFAFDASLDECFHKERQ >Manes.05G076400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6230617:6232926:-1 gene:Manes.05G076400.v8.1 transcript:Manes.05G076400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLDSPSPSVHLNKESTSFMVSSPLFSPASDRRFWSALRSRIDTLLEHRQAKVSAGQDQLNLGPSSATRVSVGESDRAKRMKEDSLLLLRGFDSVSQTLSQLSNNLDNALQGARCLAEPPTLRQIFHSNLNNSETKQEGSKKEQNEEKEEEEGNNPEDQGNKGSKRKFDQTDSSLHQGNSSRKGNDQSPKDTKLKKAKNLAVSMATKAASLARELKSIKSELCFMQERCTLLEEENRRLRDGFSEGIRQEEDDLMRLQMEALFAEKSRLASENSNLIRENQCLHQLVEYHQLTSQDLSSSYEQLIHGMCLDFSSPSSSIKEEAENADNKEVPQTPRANIFAFDASLDECFHKERQ >Manes.17G032600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22794182:22795395:1 gene:Manes.17G032600.v8.1 transcript:Manes.17G032600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCELCDSLAKMYCESDQANLCWDCDSNVHGANFLVAKHSRALLCHICQSLTPWTATGPKLSPTVSVCQNCVNNSTCREERGNGDDHSSDGDDLDREDDENGDGSDEEEEEVEEENQVVPLSSTAPASSSSNSDQECSSMMRSCFSINNNCISIEANERKKKLQNKPHRFLQPQGHWNTRKEPSESLTRDYRNLKSRRRQEA >Manes.07G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32501883:32511467:-1 gene:Manes.07G120400.v8.1 transcript:Manes.07G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELFGSPGRVSGLGLRVGQFSFAAASIGVMVSAREFFNSTAFCYLIASMGLQLLWSFGLACLDLHALRSKRNLQNPVLVSLFVVGDWVTSLLSLAAACASAGVAILYTNDLHYCKRPPFLPCSKFQISIALAFISWFLLAISSHVMFWLLANV >Manes.09G125901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32842631:32844030:-1 gene:Manes.09G125901.v8.1 transcript:Manes.09G125901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVVVGLLAMASPTMQLSVKKPLRGTVQKSSEGPGYIGLIVTEICCENALNESGIFHVNSSVELLGRTFVLGTIQGAHIVYVRSASRPAANVGITLQIMADNFNLGGVILLGYGQALNDSLSVGSVVIPKWIAATGVWTWQPFYAAEEDEGQLKFREFNYPEEGDNLLGIDGKVKETFWTPEISREWLLAASKIQVESVEVVHGLKLASADMQLNNEAYKKFLYKTFGASIADKSIFAGLYWLGAYTNNLRVLVVRGVSGGEEEIDMVAANAVKVLDRFIFLISVPRASS >Manes.18G144961.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21770410:21771902:1 gene:Manes.18G144961.v8.1 transcript:Manes.18G144961.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.17G070300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27048702:27051650:-1 gene:Manes.17G070300.v8.1 transcript:Manes.17G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELALDLEELRQLQNLAKRPRVLSLISSEIRNLEKLSKEPTSVSVQTPTPIATGVKVPSTPALNYVPLGSFSWDQDNDKVKIYVSLEGVKQENVETDFKPMSFDVKFHDVQGKNYRCAIPKLNKEIDPEKCKVLVKPNRVVITLFKASKGNWLDLHFKEDKLKPNMDKERDPMAGIMDLMKNMYEEGDDEMKRTIAKAWTDARSGKAADPLQGYR >Manes.16G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:655255:659741:1 gene:Manes.16G006100.v8.1 transcript:Manes.16G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSPNAELLEWPKKDKRRLLHVVYRVGDLDRTIKFYTECFGMKLLRKRDVPEEKYSNAFLGFGPEETNFVVELTYNYGVTSYDIGNGFGHFAIATQDAYKLVEDIRANGGIVTREPGPVKGGTTVIAFVKDPDGYIFELIQRGPTPEPLCQVMLRVGDLDRSIQFYEKALGMKLLRKVDNPQYKYTLAMMGYADEYETTVLELTYNYGVTEYSKGNAYAQVAIGTNDVYKSAEVVNLVTQELGGKITRQPGPLPGINTKITSFLDPDGWKTVLVDNEDFLKELQKKE >Manes.09G025633.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5782187:5787490:1 gene:Manes.09G025633.v8.1 transcript:Manes.09G025633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAFVGLFRIGTGTGPWSFLRR >Manes.09G025633.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5782580:5787490:1 gene:Manes.09G025633.v8.1 transcript:Manes.09G025633.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAFVGLFRIGTGTGPWSFLRR >Manes.09G025633.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5782187:5787490:1 gene:Manes.09G025633.v8.1 transcript:Manes.09G025633.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVCLAFYPS >Manes.17G104300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31163785:31166904:1 gene:Manes.17G104300.v8.1 transcript:Manes.17G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLISNFCFIALSLLLWLLVPAPGQDLLSCETTSADASGYRCDVNGSQDRCNTFAIVSTNSYFSSLSNLSFYLGLNRFAIAAANGFSADTEFLPKAQPLLIPIDCKCNGSFFQAEVTKTTIKGESFYGITESLEGLTSCRAIQEKNLGISPWNLGDKIRLLVPLRCACPSSSEVGLATRFLLSYPVSEGDTISNLAIKFNTTPEAIISANNRSLANFNPESLVPLTSLLIPLNSEPALGPLAKPREPNSRFPENSIPVINPHKKKSKMWIVGIYIAVTGVVVGASIAIAAAFLVIQLKKKKQNSSKEGDPELQQLSLSVRTTSEKKVSFDGSQEGQIIDTTPRKVLVESYSVEELRKATEDFSSNNLIDGSVYHGRLNGKNLAIKRTNSETILKIEFGLFNRATRHHPNIIKLLGTCLSDDPDSFLVFEYAKNGSLKDWLHSGLAMKNQFIASCYCFLTWNQRLKICLDIAVALQYMHHVMNPSYVHRNVKSRNIFLDEDFNAKIGNFGMGRCVDDKTEDSESHSTNPASWSTGYLAPEYVHQGIVSPSMDIFAFGVVLLEILSGKTPITIPENKGDEERIFLSEKIKSILSSENADELREWMDNALGENYSFEAAVALANLARSCVNEEPCSRPSAGEIVEKLSRLVEESPEENVLISESSSKPLVKAAATASL >Manes.16G080900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28690926:28693052:1 gene:Manes.16G080900.v8.1 transcript:Manes.16G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEESVSSIPSIWASMNSWFTPSVLFVFLNLMIGTIAISSRLASASTKPAHHQDDNKHHPHHHPLARSPSVLQRFKSINFYSYRSPESTSVTYEKSQQFDSHFTSPQEEYHQNQPFVSRSPSMLQRLKSVNLYNYFSQEPISNTVPRTQETHTHFTLQPVYQQEKEQLEEQEEGVDTEEEETEEIQDQEQTLDEIYSKLKSNNKVSISKSDTKPTSGDVPTKLQKKMKKSASSKSAFVHSEEDDIVEARRPATVRESKTKATEMDDAEVDARADDFINRFKQQLKLQRIDSIIRDKEMSSSGKGN >Manes.14G131200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10456519:10457008:-1 gene:Manes.14G131200.v8.1 transcript:Manes.14G131200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIEQVKFGICPSSKDMGVRKITKTSCCLSLISQEWIANPGLNKEYQLWPAYPKWFDLKSSKPPVLRRK >Manes.10G114800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28069052:28086145:1 gene:Manes.10G114800.v8.1 transcript:Manes.10G114800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCWIWSARFSSLCLISILHFLCFNLAAAASAMHRYNETDRLALLEFKAKISDDPLGVMSSWNSTLHFCRWTGVTCGRRHQRVTTLDLRSLKLSGSLSPHVGNLSFLRKLLLENNSFTNEIPPQIGHLRRLQMLSLYNNSFDAQIPRSISNCTNLVFLYLDNNNLVGEIPAELGSLLKLKEIYLKENNLIGTLPPSLGNLSSLEFLAAYRNHLNGNLPEALGQLTSLWFLSIFANEFSGTFPLSISNLSSIMDLSIAENDFHGNIPLDIGSSLPNLRRIDIADNQFTGTIPTSFSNSSNLGSLSLAQNYLTGTVPSLDKLHRLSELVIAYNNLGSGKADDLGFLSTLTNATALHILEINGNNFGGELPQHVANFSKKLQYFIIESNHISGNIPVGVQALVNLEVFHAQQNKLSGTIPSGIGQLQNLKLLYLGNNNLLGHIPFSLGNLTNLLEIDLSDNYLQGTIPSSLSKCKQLLGLDFSTNSLSGPIPVQIFGLSSLSKVLSLSLNNLSGSLPKEVENLKNLGILNLQGNMLSGEIPSGLGSCISLEYLVMGANLFQGSIPSSFGSLRGIRVLNLSHNNLSGKIPEFLESFNSTEYLDLSYNDFEGMVPEQGVFKNSSATSVAGNKNLCGGIPDFGLPMCKFEQSKRRPSAKLKIIISTVSVVIGIALLIISLLFWGHPRKRKGESTSLFDGNSQLKLSYQSLLKATNGFSSDNLIGVGSFGSVYKGILDQEGMVIAVKVLNLMRQGASKSFIAECEALRNIRHRNVVKVLTACSGVDYQGNDFKALVYDFMANGSLEDWLNPTLGLDEVPRSLNIVQRLNIAIDVASALDYLHYQCGTPIVHCDLKPSNVLLDEEMIGHVSDFGLMKFLSNGMLDYSTNHSSSLGIRGTVGYCPPEYGLGSEVSTQGDIFSFGILLLVMFTRKSPTDNMFQDNLSLHSFVKRSLPEQVQEIVDPNIFFMQLDGDATSNHNLLNRENNKLIECLISILEIGICCSMESPQERMNISDVVAQLSSIRNKLVESLEIEKL >Manes.10G114800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28082353:28086145:1 gene:Manes.10G114800.v8.1 transcript:Manes.10G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYCRSSLCLLSIIHFLCFSLPVDSAMHRYNETDRLALLEFKAKISDDPLGVMSSWNSTLHFCRWTGVTCGRRHQRVTTLDLRSLKLSGSLSPHVGNLSFLRKLLLENNSFTNEIPPQIGHLRRLQMLSLYNNSFDAQIPRSISNCTNLVFLYLDNNNLVGEIPAELGSLLKLKEIYLKENNLIGTLPPSLGNLSSLEFLAAYRNHLNGNLPEALGQLTSLWFLSIFANEFSGTFPLSISNLSSIMDLSIAENDFHGNIPLDIGSSLPNLRRIDIADNQFTGTIPTSFSNSSNLGSLSLAQNYLTGTVPSLDKLHRLSELVIAYNNLGSGKADDLGFLSTLTNATALHILEINGNNFGGELPQHVANFSKKLQYFIIESNHISGNIPVGVQALVNLEVFHAQQNKLSGTIPSGIGQLQNLKLLYLGNNNLLGHIPFSLGNLTNLLEIDLSDNYLQGTIPSSLSKCKQLLGLDFSTNSLSGPIPVQIFGLSSLSKVLSLSLNNLSGSLPKEVENLKNLGILNLQGNMLSGEIPSGLGSCISLEYLVMGANLFQGSIPSSFGSLRGIRVLNLSHNNLSGKIPEFLESFNSTEYLDLSYNDFEGMVPEQGVFKNSSATSVAGNKNLCGGIPDFGLPMCKFEQSKRRPSAKLKIIISTVSVVIGIALLIISLLFWGHPRKRKGESTSLFDGNSQLKLSYQSLLKATNGFSSDNLIGVGSFGSVYKGILDQEGMVIAVKVLNLMRQGASKSFIAECEALRNIRHRNVVKVLTACSGVDYQGNDFKALVYDFMANGSLEDWLNPTLGLDEVPRSLNIVQRLNIAIDVASALDYLHYQCGTPIVHCDLKPSNVLLDEEMIGHVSDFGLMKFLSNGMLDYSTNHSSSLGIRGTVGYCPPEYGLGSEVSTQGDIFSFGILLLVMFTRKSPTDNMFQDNLSLHSFVKRSLPEQVQEIVDPNIFFMQLDGDATSNHNLLNRENNKLIECLISILEIGICCSMESPQERMNISDVVAQLSSIRNKLVESLEIEKL >Manes.03G085900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16768043:16769960:1 gene:Manes.03G085900.v8.1 transcript:Manes.03G085900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMALMLILCLSAGAMTGVRSEDPYLFFTWNVTYGTLSPLGVPQQVILINDQFPGPVINSTTNNNLVINVFNNLDEPFLLTWSGVQQRKNSWQDGVLGTNCPIPPGTNYTYRFQVKDQIGSFFYHPSTALHRASGGFGGLRINSRLLIPVPYPDPEDDYTVIVNDWYTKSHKTLRSYLDNGGSIGRPQGVLINGKKAEGNGKDEPLFTMKPGKTYKYRICNAGLKTSINFRIQGHTMKLVEMEGSHVMQDVYQSLDVHVGQCMSVLVTANQEPKDYYMVASTRFIKPVLTGMGIIRYSNGKGPASAELPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGSINITRTIKLFNSVSRADGKLRYAINGVSHTDTETPLKLAEYYGIADKVFKYDTIQDNPPEKINKIVTQPNVLSMTFRNFVEIILENHENTMQSWHLDGYSFFTVAVEPGTWTPEKRKSYNLHDAVSRTTVQVFPKSWAAIFLTFDNAGMWNIRSELWERRYLGQQLYASVLSRARSLRDEYNIPDNALLCGLVKDLPKPPPYSIVKYF >Manes.05G032600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744831:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.05G032600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744349:2749419:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLAQSTTEQGYSK >Manes.05G032600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744336:2749432:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLAQSTTEQGYSK >Manes.05G032600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744346:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.05G032600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744336:2749432:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLAQSTTEQGYSK >Manes.05G032600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744336:2749454:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.05G032600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744649:2749419:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.05G032600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744831:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLAQSTTEQGYSK >Manes.05G032600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744346:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.05G032600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744831:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.05G032600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744346:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLAQSTTEQGYSK >Manes.05G032600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744346:2749428:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLEHNRTGLLQITLIAMMMNQNVDWQQIVLGVKLPNHQAPSYILRKSLVKMLDNAFW >Manes.05G032600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744346:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLAQSTTEQGYSK >Manes.05G032600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744351:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.05G032600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744649:2749419:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLAQSTTEQGYSK >Manes.05G032600.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744831:2749394:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLAQSTTEQGYSK >Manes.05G032600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744336:2749454:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.05G032600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744347:2749428:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLEHNRTGLLQITLIAMMMNQNVDWQQIVLGVKLPNHQAPSYILRKSLVKMLDNAFW >Manes.05G032600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2744347:2749506:1 gene:Manes.05G032600.v8.1 transcript:Manes.05G032600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLELGSEFKGLFSNITDWKSVLNVSAKVDSNREEKCIVDDEESVHIDTGRSVVNFVEVGKLSYNVAKNMGPDYFSDRHNNCKDFQENLQSNSTSVKLCSEGDVKGAMVGMDGGKRDENVDENEVMVLDSDAVEEFILGRKRKRESMCRVVNWITGIARNPCDCVVDSLPERSKWDSYGNGELWKQVLLVREALFLKRHVDSSAEKSTCQSLQKNRKMHPCMYDDQVGSTYNFRERLKCSKKLLHKKNVFQAESCSELSSSTTETDSDSCTKGIRDGDSSTKHSAVDLPVEKSIPLGPDFQAEIPEWTGVIPESDPKWAGTRVWPPEKVDSRLIIEREPIGKGRQDSCGCEVPKSVECVRFHNAERRLKVKRELGAGFLHWRFDKMGEDVKLSWTEAEERKFKAIVQLNPSSLGKCFWDEIFRTFPTREREDLVSYYFNVFLLQRRAQQNRVTPNNIDSDDDESECGLATNSSRREAPKSPGSLLYSAKKPRKNVG >Manes.03G170100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29533085:29551030:-1 gene:Manes.03G170100.v8.1 transcript:Manes.03G170100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQLQSSSQAWISPPRFPTLSLLSPSSRKRKSMPNQLWCIKSSALHARDFRIRLGGNWKASSPRLGDKMKCTAYTDNTDDSDSRFLRMRQNSGSQAYGAELTIGSISSYYIFRLMQLNFLNSLTKIVQDLFPRFDLTFGGMSSPFACVSNSLSKPTPLGLDVSFPSFQDLRWTLARLIYLFNIQLERNVATFFIVLLVACFSFVIIGGFLFFKFRGSTQSLEDCFWEAWACLCSSSTHLKQRTRVERVIGFLLAIWGILFYSRLLSTMSEQFRNNMQRLREGAQMQVLETDHIIICGVNSKLNFILEQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDKLADNLAKDLNHIDVLTKSCSLSLTKSFERAAANKARAIIILPTTKGDRYEVDTNAFLSVLALQPISKINSVPTIVEVSNANTCELLKSISGVKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLAGIRYRQLRHGFQGVVVCGLYRNEKIYFHPNDDEILQKSDKILFIGPVYLRRKLEIASSRIFKEGTPLQNLKVGDDNEDINYAIELIKTRLKNIVKRPKKSGSKASDSSLGPKECILLLGWRPDVVEMIEEYDNYLGPGSTLEILSDVPLADRKKASNSAGQDKLKNVQVSHRIGNPMDYDTLKETIINIQNSYKKGEDIPLSIAVISDRECLLGDSSRADKQSAFSLLLAENICNKLGVEVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENSELNEVWKDILNADGDEIYVKDISLYMKEGETPSFFELSERAFLRREVAIGYVKDNKKVINPIPKSEPLSLGVMDKLIVISELEGEQPIVYEVET >Manes.03G170100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29533085:29551030:-1 gene:Manes.03G170100.v8.1 transcript:Manes.03G170100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQLQSSSQAWISPPRFPTLSLLSPSSRKRKSMPNQLWCIKSSALHARDFRIRLGASSPRLGDKMKCTAYTDNTDDSDSRFLRMRQNSGSQAYGAELTIGSISSYYIFRLMQLNFLNSLTKIVQDLFPRFDLTFGGMSSPFACVSNSLSKPTPLGLDVSFPSFQDLRWTLARLIYLFNIQLERNVATFFIVLLVACFSFVIIGGFLFFKFRGSTQSLEDCFWEAWACLCSSSTHLKQRTRVERVIGFLLAIWGILFYSRLLSTMSEQFRNNMQRLREGAQMQVLETDHIIICGVNSKLNFILEQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDKLADNLAKDLNHIDVLTKSCSLSLTKSFERAAANKARAIIILPTTKGDRYEVDTNAFLSVLALQPISKINSVPTIVEVSNANTCELLKSISGVKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLAGIRYRQLRHGFQGVVVCGLYRNEKIYFHPNDDEILQKSDKILFIGPVYLRRKLEIASSRIFKEGTPLQNLKVGDDNEDINYAIELIKTRLKNIVKRPKKSGSKASDSSLGPKECILLLGWRPDVVEMIEEYDNYLGPGSTLEILSDVPLADRKKASNSAGQDKLKNVQVSHRIGNPMDYDTLKETIINIQNSYKKGEDIPLSIAVISDRECLLGDSSRADKQSAFSLLLAENICNKLGVEVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENSELNEVWKDILNADGDEIYVKDISLYMKEGETPSFFELSERAFLRREVAIGYVKDNKKVINPIPKSEPLSLGVMDKLIVISELEGEQPIVYEVET >Manes.03G170100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29532716:29551109:-1 gene:Manes.03G170100.v8.1 transcript:Manes.03G170100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQLQSSSQAWISPPRFPTLSLLSPSSRKRKSMPNQLWCIKSSALHARDFRIRLGGNWKASSPRLGDKMKCTAYTDNTDDSDSRFLRMRQNSGSQAYGAELTIGSISSYYIFRLMQLNFLNSLTKIVQDLFPRFDLTFGGMSSPFACVSNSLSKPTPLGLDVSFPSFQDLRWTLARLIYLFNIQLERNVATFFIVLLVACFSFVIIGGFLFFKFRGSTQSLEDCFWEAWACLCSSSTHLKQRTRVERVIGFLLAIWGILFYSRLLSTMSEQFRNNMQRLREGAQMQVLETDHIIICGVNSKLNFILEQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDKLADNLAKDLNHIDVLTKSCSLSLTKSFERAAANKARAIIILPTTKGDRYEVDTNAFLSVLALQPISKINSVPTIVEVSNANTCELLKSISGVKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLAGIRYRQLRHGFQGVVVCGLYRNEKIYFHPNDDEILQKSDKILFIGPVYLRRKLEIASSRIFKEGTPLQNLKVGDDNEDINYAIELIKTRLKNIVKRPKKSGSKASDSSLGPKECILLLGWRPDVVEMIEEYDNYLGPGSTLEILSDVPLADRKKASNSAGQDKLKNVQVSHRIGNPMDYDTLKETIINIQNSYKKGEDIPLSIAVISDRECLLGDSSRADKQSAFSLLLAENICNKLGVEVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENSELNEVWKDILNADGDEIYVKDISLYMKEGETPSFFELSERAFLRREVAIGYVKDNKKVINPIPKSEPLSLGVMDKLIVISELEGEQPIVYEVET >Manes.03G170100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29533048:29551347:-1 gene:Manes.03G170100.v8.1 transcript:Manes.03G170100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTAYTDNTDDSDSRFLRMRQNSGSQAYGAELTIGSISSYYIFRLMQLNFLNSLTKIVQDLFPRFDLTFGGMSSPFACVSNSLSKPTPLGLDVSFPSFQDLRWTLARLIYLFNIQLERNVATFFIVLLVACFSFVIIGGFLFFKFRGSTQSLEDCFWEAWACLCSSSTHLKQRTRVERVIGFLLAIWGILFYSRLLSTMSEQFRNNMQRLREGAQMQVLETDHIIICGVNSKLNFILEQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDKLADNLAKDLNHIDVLTKSCSLSLTKSFERAAANKARAIIILPTTKGDRYEVDTNAFLSVLALQPISKINSVPTIVEVSNANTCELLKSISGVKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLAGIRYRQLRHGFQGVVVCGLYRNEKIYFHPNDDEILQKSDKASDSSLGPKECILLLGWRPDVVEMIEEYDNYLGPGSTLEILSDVPLADRKKASNSAGQDKLKNVQVSHRIGNPMDYDTLKETIINIQNSYKKGEDIPLSIAVISDRECLLGDSSRADKQSAFSLLLAENICNKLGVEVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENSELNEVWKDILNADGDEIYVKDISLYMKEGETPSFFELSERAFLRREVAIGYVKDNKKVINPIPKSEPLSLGVMDKLIVISELEGEQPIVYEVET >Manes.03G170100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29533048:29551347:-1 gene:Manes.03G170100.v8.1 transcript:Manes.03G170100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTAYTDNTDDSDSRFLRMRQNSGSQAYGAELTIGSISSYYIFRLMQLNFLNSLTKIVQDLFPRFDLTFGGMSSPFACVSNSLSKPTPLGLDVSFPSFQDLRWTLARLIYLFNIQLERNVATFFIVLLVACFSFVIIGGFLFFKFRGSTQSLEDCFWEAWACLCSSSTHLKQRTRVERVIGFLLAIWGILFYSRLLSTMSEQFRNNMQRLREGAQMQVLETDHIIICGVNSKLNFILEQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDKLADNLAKDLNHIDVLTKSCSLSLTKSFERAAANKARAIIILPTTKGDRYEVDTNAFLSVLALQPISKINSVPTIVEVSNANTCELLKSISGVKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLAGIRYRQLRHGFQGVVVCGLYRNEKIYFHPNDDEILQKSDKILFIGPVYLRRKLEIASSRIFKEGTPLQNLKVGDDNEDINYAIELIKTRLKNIVKRPKKSGSKASDSSLGPKECILLLGWRPDVVEMIEEYDNYLGPGSTLEILSDVPLADRKKASNSAGQDKLKNVQVSHRIGNPMDYDTLKETIINIQNSYKKGEDIPLSIAVISDRECLLGDSSRADKQSAFSLLLAENICNKLGVEVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENSELNEVWKDILNADGDEIYVKDISLYMKEGETPSFFELSERAFLRREVAIGYVKDNKKVINPIPKSEPLSLGVMDKLIVISELEGEQPIVYEVET >Manes.03G170100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29532967:29551347:-1 gene:Manes.03G170100.v8.1 transcript:Manes.03G170100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQLQSSSQAWISPPRFPTLSLLSPSSRKRKSMPNQLWCIKSSALHARDFRIRLGGNWKASSPRLGDKMKCTAYTDNTDDSDSRFLRMRQNSGSQAYGAELTIGSISSYYIFRLMQLNFLNSLTKIVQDLFPRFDLTFGGMSSPFACVSNSLSKPTPLGLDVSFPSFQDLRWTLARLIYLFNIQLERNVATFFIVLLVACFSFVIIGGFLFFKFRGSTQSLEDCFWEAWACLCSSSTHLKQRTRVERVIGFLLAIWGILFYSRLLSTMSEQFRNNMQRLREGAQMQVLETDHIIICGVNSKLNFILEQLNKYHEFAVRLGTATARRQRILLMSDLPRKQIDKLADNLAKDLNHIDVLTKSCSLSLTKSFERAAANKARAIIILPTTKGDRYEVDTNAFLSVLALQPISKINSVPTIVEVSNANTCELLKSISGVKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCSLPDLAGIRYRQLRHGFQGVVVCGLYRNEKIYFHPNDDEILQKSDKASDSSLGPKECILLLGWRPDVVEMIEEYDNYLGPGSTLEILSDVPLADRKKASNSAGQDKLKNVQVSHRIGNPMDYDTLKETIINIQNSYKKGEDIPLSIAVISDRECLLGDSSRADKQSAFSLLLAENICNKLGVEVQNLVAEIVDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAENSELNEVWKDILNADGDEIYVKDISLYMKEGETPSFFELSERAFLRREVAIGYVKDNKKVINPIPKSEPLSLGVMDKLIVISELEGEQPIVYEVET >Manes.14G057200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4844081:4845151:1 gene:Manes.14G057200.v8.1 transcript:Manes.14G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHMPTQSPKHNCICIITLRIPTPPISCLAINTTFLYAASINEINVFDLSNYVHADTFTTSDPSSGCIKSIAFHNNKVFTAHQDCKIRVWQITHSKHHHLVSTLPTVKDWLRHFVLPRNYVNVRRHKKRLWIEHWDTVSGLAVHGGLIYSVSWDKSFKIWNVENNRCLESVLAHHDAVNTVAVSDNGTVYTGSADGLIRVWEKVGKGRKHRLVSTLEKHKSTINALVLNGDGSVLFSGSCDRSIMVWERKKEVDENEHQMVFAEALWGHEGAILCMMTRNQLLVSGSSDRTVRIWQKRQMKGYSCVAVLEGHERPVKSLAAVAGGGGCNNCGSSLSVCSGSLDGEIKIWEVSAET >Manes.14G083100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6910007:6912809:1 gene:Manes.14G083100.v8.1 transcript:Manes.14G083100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENIFAIPDHDGIPMILRTDSMPWIQEIGYSSLIGDTESDTQAILGVTESEDGDVRRYSTGNVGIPYKSVKILSLYLAASRGSCHGRQDPEIKPMSSKLAVSYYHGSSILKPHCPEKNEIPPSTMKEANLSKQFSLPVKERGPISRPKLPKSTSFHINRGLRSKKDGENQENNEMSASLLGRTNETKKSILDEKNVSARSTGSSSAALHSVKKGLTRPTDSLAPKYPEKRVSRNGNSRTELNAKNKANCSSLSRNDKSLKHRQGTSPVQVSVPDKRLRPTIHGINVNPSLKSSENKSLRQRKQGVHVSKSPDAGAFSDYPDTYIRAANAKVEPRTRPRKNGIVSTRGPESTARKLSSRKGKVLEQRHVISSPRRPKFRRTHFSDSKICKAETAKSSLKKTKVDVDEGEINVEESESEKVIVKSEDLEEKKVEQNLLNEMIEETRNKLPESRKSKVKALAGAFETVISVQNQRPSSKVVEC >Manes.14G083100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6910007:6912809:1 gene:Manes.14G083100.v8.1 transcript:Manes.14G083100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENIFAIPDHDGIPMILRTDSMPWIQEIGYSSLIGDTESDTQAILGVTESEDGDVRRYSTGNVGIPYKSVKILSLYLAASRGSCHGRQDPEIKPMSSKLAVSYYHGSSILKPHCPEKNEIPPSTMKEANLSKQFSLPVKERGPISRPKLPKSTSFHINRGLRSKKDGENQENNEMSASLLGRTNETKKSILDEKNVSARSTGSSSAALHSVKKGLTRPTDSLAPKYPEKRVSRNGNSRTELNAKNKANCSSLSRNDKSLKHRQGTSPVQVSVPDKRLRPTIHGINVNPSLKSSENKSLRQRKQGVHVSKSPDAGAFSDYPDTYIRAANAKVEPRTRPRKNGIVSTRGPESTARKLSSRKGKVLEQRHVISSPRRPKFRRTHFSDSKICKAETAKSSLKKTKVDVDEGEINVEESESEKVIVKSEDLEEKKVEQNLLNEMIEETRNKLPESRKSKVKALAGAFETVISVQNQRPSSKVVEC >Manes.03G168000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29339104:29341692:-1 gene:Manes.03G168000.v8.1 transcript:Manes.03G168000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPLKLLMVQGPREGETFEFLPGSTIRIGRVVRGNNLTVKDAGISSKHLVISSQSGKWIVQDLDSSNGTSLNSSKLPPFEPFDLHDGDTLKLGEYTSILVQFRDGEEPSLLRRNPRRKVNESDKTGRVAKNRGRRAEVMEAEEKSELERENVEILEESKPIRGRGRPRRARVLDKVKETDKGSGDLVSAAKKVEMQALNLGVTGGRKNEECVILENLGGECSKMVPVGRGRRKKLQDLPSENSQVSVLGNKKNMDTGLNLRKEAQDQTNELGFEADKEIKCEIVEECEEKERLDAETNCENVENGGGLKESGGKPQINAIEDLEEGQETVDLEKMTLGQWFDYMEVHLPKQINEVTEEMIEEMRRKAERVGEYMIEQKKAKAVPTVG >Manes.03G168000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29339136:29341685:-1 gene:Manes.03G168000.v8.1 transcript:Manes.03G168000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPLKLLMVQGPREGETFEFLPGSTIRIGRVVRGNNLTVKDAGISSKHLVISSQSGKWIVQDLDSSNGTSLNSSKLPPFEPFDLHDGDTLKLGEYTSILVQFRDGEEPSLLRRNPRRKVNESDKTGRVAKNRGRRAEVMEAEEKSELERENVEILEESKPIRGRGRPRRARVLDKVKETDKGSGDLVSAAKKVEMQALNLGVTGGRKNEECVILENLGGECSKMVPVGRGRRKKLQDLPSENSQVSVLGNKKNMDTGLNLRKEAQDQTNELGFEADKEIKCEIVEECEEKERLDAETNCENVENGGGLKESGGKPQINAIEDLEEGQETVDLEKMTLGQWFDYMEVHLPKQINEVTEEMIEEMRRKAERVGEYMIEQKKAKAVPTVG >Manes.03G168000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29339105:29341692:-1 gene:Manes.03G168000.v8.1 transcript:Manes.03G168000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPLKLLMVQGPREGETFEFLPGSTIRIGRVVRGNNLTVKDAGISSKHLVISSQSGKWIVQDLDSSNGTSLNSSKLPPFEPFDLHDGDTLKLGEYTSILVQFRDGEEPSLLRRNPRRKVNESDKTGRVAKNRGRRAEVMEAEEKSELERENVEILEESKPIRGRGRPRRARVLDKVKETDKGSGDLVSAAKKVEMQALNLGVTGGRKNEECVILENLGGECSKMVPVGRGRRKKLQDLPSENSQVSVLGNKKNMDTGLNLRKEAQDQTNELGFEADKEIKCEIVEECEEKERLDAETNCENVENGGGLKESGGKPQINAIEDLEEGQETVDLEKMTLGQWFDYMEVHLPKQINEVTEEMIEEMRRKAERVGEYMIEQKKAKAVPTVG >Manes.03G168000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29339137:29341685:-1 gene:Manes.03G168000.v8.1 transcript:Manes.03G168000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPLKLLMVQGPREGETFEFLPGSTIRIGRVVRGNNLTVKDAGISSKHLVISSQSGKWIVQDLDSSNGTSLNSSKLPPFEPFDLHDGDTLKLGEYTSILVQFRDGEEPSLLRRNPRRKVNESDKTGRVAKNRGRRAEVMEAEEKSELERENVEILEESKPIRGRGRPRRARVLDKVKETDKGSGDLVSAAKKVEMQALNLGVTGGRKNEECVILENLGGECSKMVPVGRGRRKKLQDLPSENSQVSVLGNKKNMDTGLNLRKEAQDQTNELGFEADKEIKCEIVEECEEKERLDAETNCENVENGGGLKESGGKPQINAIEDLEEGQETVDLEKMTLGQWFDYMEVHLPKQINEVTEEMIEEMRRKAERVGEYMIEQKKAKAVPTVG >Manes.03G168000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29339208:29341685:-1 gene:Manes.03G168000.v8.1 transcript:Manes.03G168000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPLKLLMVQGPREGETFEFLPGSTIRIGRVVRGNNLTVKDAGISSKHLVISSQSGKWIVQDLDSSNGTSLNSSKLPPFEPFDLHDGDTLKLGEYTSILVQFRDGEEPSLLRRNPRRKVNESDKTGRVAKNRGRRAEVMEAEEKSELERENVEILEESKPIRGRGRPRRARVLDKVKETDKGSGDLVSAAKKVEMQALNLGVTGGRKNEECVILENLGGECSKMVPVGRGRRKKLQDLPSENSQVSVLGNKKNMDTGLNLRKEAQDQTNELGFEADKEIKCEIVEECEEKERLDAETNCENVENGGGLKESGGKPQINAIEDLEEGQETVDLEKMTLGQWFDYMEVHLPKQINEVTEEMIEEMRRKAERVGEYMIEQKKAKAVPTVG >Manes.06G126050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25589480:25593658:1 gene:Manes.06G126050.v8.1 transcript:Manes.06G126050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISDRNFHFFKSRHRNVISRNRICHLKFKSLSTTNEQDNTVNEITGFLKQNNWQYLMESSPITKKLNPDVVLSVIKQNQVNDPKRLNSFFSWVHSRIGIPQYTCSFSILAIILCNSRLFASANSVLERMIETRKQHLEILDSVVKCYREFNGSTPIVFEILINSYKKKGFLNEAAGVFLGAKNHGFVVDLVCCNSLLKDLLKGNRLELFWEVYNGMLEAKLDPDVYTYTNLINAHCRVGNVKEGKGLLFDMEAKGCSPSTVTYNAVIGGFCRAGDIDEAFKLAKSMVDKGLAPDNYTYAILIDGFCKQKRSGDAKLLLKEMYRLGLRPDHIAYTALINGFMKQGNITEAFQVKEEMLAHGIKLNLFTFNTLIHGLCKVGEMEKVKPLFSEMIAMGITPDTQTYNCLIEGYYKEQKVEKAFELLIEMKKRNLAPTMYTFGILINGLCHCRDLKRANMLFQEMISQGLKPNGVIYSTLIKGHVQEGLLEEAIKILDVVKEQGVAPDVFCYNTVIIGLCKAGKMEDARTYLVEMVKNGLKPNVYTYGPFIHGYCKAGEMQGAESCFMEMLGCGIVPNDVVYASLIDGYCKNGNTSGALAKFRCMLERGVLPDVKTYSVLIHGLSRNGKLQEAMEMFSELLDKGLVPDIFTYTSLISGFCKQGDLEKAFQLHDNMCQKGINPNIVSYNALINGLCKLGNIERARELFDGIPGKGLAHSSVTYATMIDAYCKSRNLAEAFRLFDGMSLEAVPPDNFVYCSLIDGCCKEGNMEKALSLFWEMVEKGIASISAFNALVDGFCRLGRFIEAYQLWEDMAGKNFTPNHVTYTILIGYHCKEGRMGEAEQLFLDMQKRNLMPNVLTYTTLLHGYNCTGNRSKMFALFDEMIARDIEPDDVVCNVMVDAYLKEGNWIKALMLVDDILLKGVNVSKIMYNVLIDALCKYNNVSEVLKLLDEIEEQGLKLSLSTCRTLVSSFHRAGRTVEAVKALESMVRFKWVPDSVVLSDLINGDEMYSENADDFSKQTFGVACQVQA >Manes.10G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24181062:24189971:1 gene:Manes.10G094600.v8.1 transcript:Manes.10G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLVMVPAKDVDLSTVKYKPEVIQAPHLTGLGFKLFVKLVEAPVIGSLIMSYLKKQNKMDEMLRNTLIPEAPMFKPEFPPQEPEAGVVIVDEDGKPEDRVESALKCLPHYDPAICWNGDSAPSFRYWKIRDYAYAYRSKLVTPSMVAEHIIAVIEEFQKKNPTAPLLISFDKEEVRKQAAASTQRLEEGNPISILDGIFMAIKDDIDCYPHPSKGATTWMHEVRSVNSDAVCVSRLRSCGVIFVGKANMHELGMGTTGNNPNYGTTRNPHAVGRYTGGSSSGPAAIVASGICSAALGTDGGGSVRIPSSLCGVVGFKTTYGRTDMGGSICDSGTVEIIGPIASSVEDVMLVYAAMLGSSPADRICLHPSPPCLPNLSSHGNTNILGSLRLGKYTAWFNDVHTTDISDKCEDILNLLSKTHGCEMVEIVVPELDEMRIAHIVSIGSETQCSLNHDIEDGKGERLTYDTRTSVALFRTFTAADYVAAQCFRRRLMYHHMEIFKKVDVIVTPTTGMTAPKIPPSALKYGETDIQVTAYLMRFVIAANLLGFPAISVPVGYDKQGLPIGLQLIGRPWAEATILRLASAVEELCGESKKQPESFYDVLKAK >Manes.09G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:437377:441533:-1 gene:Manes.09G000700.v8.1 transcript:Manes.09G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSSFTCHTKQQEMAENAEEKERNSIKDGDKSKKKKKLGGIKTMPFILANEICDRFAATGFHANMITYLTQQLNLPLVKASNTLTNFGGTASFTPLIGALIADSFAGRFWTIIVGSIIYELGMISITVSAVFPSLRPPPCPSQLNCKEASSSQLWVLYISLLLTSLGTGGIRPCVVTFAADQIDMTKSSVASRGWNFFNWYYFSMGMATLTALTIVVYVQDNVGWGWGLGIPTIAMALSIVAFVFGSPLYVKLKPGGSPFVRLTQVIVVAVKKRKLVAPHDPAMLYQNKELDAAISVNGRLLHTNQFKWLDKAAIVTDDEKTGSKTPNLWRLATVHRVEELKSLIRMLPIWAAGILLVTASSHQHSFVIQQAFSMDRRLSHSFEIPPASLSIFSVLTMLTGIVLYERLFVPFARRFTGNPSGITCLQRMGIGFFINIIATIVSALVEMKRKEAAAHHNLLDDPKAIIPISVFWLVPQFCLHGVAEVFMSVGHLEFLYDQSPESMRSTAAALFWIANSLGNYIGTLIVTLVHNYTGQNNNWLPDRNLNRGRLDYYYWLVTGIQVVNLVYYIICAWIYTYKPLEEAIEEDGKTTNEEMQITCKLENEHGDREVELGMSTNEMVKIV >Manes.17G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28507912:28508979:-1 gene:Manes.17G080000.v8.1 transcript:Manes.17G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILGLLRIRVIRGVNLAGRDLGGSDPYVVVTSGDQVLRIRPVKNNNNPEWNEELTLSITDLDVPIKLEVFDKDTFTKDDRLGDADIDIKPYIEILRMGLENLPNGCVVKRVQPNRTNCLADESHMVWNNGEITQGMHLRLRNMKCGEVEIQIKWINVPGSKGLQIDSSSS >Manes.05G182900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30112440:30115879:1 gene:Manes.05G182900.v8.1 transcript:Manes.05G182900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQLATKGFNFSRRRRKWLILLAVSGVSGYGLYKVYNMPSVMKKRRRLMKLMEALIYIAQMVSNSAEIIDIVFMDLKEFLRSDSDEIPSSFKQISKIAKSDEFCQSLTRMSQALTVGIVRGYKSESANDDRLASGSTNSSFADKAMDRLFSDAGTGFASLVVGSFARNLVLGFYSNVGAGVDNCGSSISDIPGWVSVVCDDRCKELIAECIQKFVSTAVAVYLDKTMDINTYDELFAGLTNPKHQNNVRDILVSLCNGAIETLVKTSHHVLTNSNASLNSGTNSGSECSIVDHSSEGPRATRYDYIKQEVCLKDGYSPKNGGWVGKVSSTLAVPSNWKFVLDVSRRVTFRTVKSILEFLLWKISDCLKRSINVIDEEVIDRGLKVNHAKELGGQVIIVICRLNMSTHRTRVFHNLRQGSSSNMC >Manes.06G043200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13416713:13418406:1 gene:Manes.06G043200.v8.1 transcript:Manes.06G043200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAWLEALYSQKFFVGCSYHETAKKNEKNVCCLDCCISICPHCVPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSPCLRKCNSLTLGPDFLIPQDMGDDETTNETPHSTIVDSDEPMSWSSGSSGSENMSMVCTQEIVRKKRSGLYVCGRSVNKVSDEDMATSMSRRKGIPHRSPLC >Manes.06G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13416713:13418395:1 gene:Manes.06G043200.v8.1 transcript:Manes.06G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKPAWLEALYSQKFFVGCSYHETAKKNEKNVCCLDCCISICPHCVPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSPCLRKCNSLTLGPDFLIPQDMGDDETTNETPHSTIVDSDEPMSWSSGSSGSENMSMVCTQEIVRKKRSGLYVCGRSVNKVSDEDMATSMSRRKGIPHRSPLC >Manes.10G064332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9105514:9106596:1 gene:Manes.10G064332.v8.1 transcript:Manes.10G064332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKGKTGITCFQCGKSGHVKAQCYRLIGFPADFKVTESRSGAPSRNNSNHLSRSSVQQVSSASNETTSQLNLSKEQLQKLMTLLNDQNSKSINTSSSPPPQNSQVNAAGIVSSVLSSHTVHHNSKSCSSHSCWIVDTGATNHIIYDASLFMHSSPVNNSFVSLPNGQKVQVESIGSVQLNSSLILNDVMFIPAFNFNLLSASKLINSQHDLSIWMMIELAKQRGHCSTVSATKHTFEVWNHRLGHSSDNKLSVLQSKAKQRRLSFPQHIPETTSLFGLVHMDVWGPYSQKDINKNHYFLTVVDDFSKSVWTFLM >Manes.17G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33430775:33432561:-1 gene:Manes.17G117900.v8.1 transcript:Manes.17G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQEALMRLIIHKPIQKGVLLETQESEKKKWKIFSFRRLPKQEIPREFLCPISENLMNDPVIVSSGHSFERSCVQACNSLDFTPTLMDGTIPDFSTVIPNLALKSAILNWCNNNSVQPPKPLDFFSAEKLVRAKMDAQQEEKGLVLRIKQRPLVNLMNQAAIDSHLSSSSEESIDTIDSTPPLQLTTRPSCYSSASSSSEMETLNLNPNPEEEELITKLKSPQVFEIEEAVISLRKITKTKEDTRVELCTPRLLSVLRSLITSRYTNIQVNSTACLVNLSLEKVNKVKIVRSGIVPALIDVLKGGFPEAKEHACGAIFSLALEDHNKTAIGVLGALPPLLHLLRSESEWSRHDSALALYHLSLVQSNRSKLVKLGAVPILLGLIKSGHMRSRVLLILCSLASCPDGRAAILDSGGVDCLLGLLKERALESESTRESCVSVLYSLSHGGLRFKGLAKAAGGMEVFSQLEKSGREQIRGKARKLLEMMKAKEEEEEEEVNWEELLDSGKLFDFAQKM >Manes.15G017600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1418076:1421900:-1 gene:Manes.15G017600.v8.1 transcript:Manes.15G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQVMTSELLEIQPRELKFTFELKKQSSCSVQLGNRSDQYVAFKVKTTSPKKYCVRPNIGIIRPKATCEFTVTMQAQKVAPPDLQCKDKFLIQSTVVPFGTTDEDITSGMFSKESGKYIDEKKLRVVLISPPHSPVLLPNNGELKKDSSYDAPLHKNIAQNGIENIPPPQRLAEDVADFQPAKDMEELKAAKDAEARHVDNAMNFESAKEAVEPKLVKDLEELKSKLQLTSSKLQEADHTITKLTKERSAAIREKDILKHEVELLRRKRMKRIQVGFPLLYVCMVALISLAFGYLLHP >Manes.15G017600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1416858:1421900:-1 gene:Manes.15G017600.v8.1 transcript:Manes.15G017600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQVMTSELLEIQPRELKFTFELKKQSSCSVQLGNRSDQYVAFKVKTTSPKKYCVRPNIGIIRPKATCEFTVTMQAQKVAPPDLQCKDKFLIQSTVVPFGTTDEDITSGMFSKESGKYIDEKKLRVVLISPPHSPVLLPNNGELKKDSSYDAPLHKNIAQNGIENIPPPQRLAEDVADFQPAKDMEELKAAKDAEARHVDNAMNFESAKEAVEPKLVKDLEELKSKLQLTSSKLQEADHTITKLTKERSAAIREKDILKHEVELLRRKRMKRIQAPE >Manes.15G017600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1416858:1421900:-1 gene:Manes.15G017600.v8.1 transcript:Manes.15G017600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSELLEIQPRELKFTFELKKQSSCSVQLGNRSDQYVAFKVKTTSPKKYCVRPNIGIIRPKATCEFTVTMQAQKVAPPDLQCKDKFLIQSTVVPFGTTDEDITSGMFSKESGKYIDEKKLRVVLISPPHSPVLLPNNGELKKDSSYDAPLHKNIAQNGIENIPPPQRLAEDVADFQPAKDMEELKAAKDAEARHVDNAMNFESAKEAVEPKLVKDLEELKSKLQLTSSKLQEADHTITKLTKERSAAIREKDILKHEVELLRRKRMKRIQAPE >Manes.15G017600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1418076:1421900:-1 gene:Manes.15G017600.v8.1 transcript:Manes.15G017600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSELLEIQPRELKFTFELKKQSSCSVQLGNRSDQYVAFKVKTTSPKKYCVRPNIGIIRPKATCEFTVTMQAQKVAPPDLQCKDKFLIQSTVVPFGTTDEDITSGMFSKESGKYIDEKKLRVVLISPPHSPVLLPNNGELKKDSSYDAPLHKNIAQNGIENIPPPQRLAEDVADFQPAKDMEELKAAKDAEARHVDNAMNFESAKEAVEPKLVKDLEELKSKLQLTSSKLQEADHTITKLTKERSAAIREKDILKHEVELLRRKRMKRIQVGFPLLYVCMVALISLAFGYLLHP >Manes.15G017600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1418076:1421383:-1 gene:Manes.15G017600.v8.1 transcript:Manes.15G017600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSELLEIQPRELKFTFELKKQSSCSVQLGNRSDQYVAFKVKTTSPKKYCVRPNIGIIRPKATCEFTVTMQAQKVAPPDLQCKDKFLIQSTVVPFGTTDEDITSGMFSKESGKYIDEKKLRVVLISPPHSPVLLPNNGELKKDSSYDAPLHKNIAQNGIENIPPPQRLAEDVADFQPAKDMEELKAAKDAEARHVDNAMNFESAKEAVEPKLVKDLEELKSKLQLTSSKLQEADHTITKLTKERSAAIREKDILKHEVELLRRKRMKRIQVGFPLLYVCMVALISLAFGYLLHP >Manes.07G084000.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26553031:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGMPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26552962:26570923:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGMPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGIPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570905:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGIPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGIPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGIPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26553024:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSNSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26553023:26570904:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSNSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGIPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26553031:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGMPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26553031:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGMPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSNSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26553023:26570904:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSNSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570904:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSNSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSNSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26553024:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSNSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26553031:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGMPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26521971:26570868:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSREQSNHSCQGVVAQAQGAPGPRVCASEPQSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSNSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVSASAPSFESKSAFKFGSSLVSSTSSMSSTPAPSGAELTQPKKETSFSDLVSAPFASTYSISTGASLFGGTSSAIASMGSNIFNDTSSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.07G084000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26552962:26570923:-1 gene:Manes.07G084000.v8.1 transcript:Manes.07G084000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARERNDDSYEDGGGFGKFRKRPFRRVQGTPYDRPPTAIRNSSHAYTNNNNGWLSKLVDPAHRLITSSAHKLFSSVFRKRLPPPPPPQPPELEANGGAPDKQQEAVGKDLPGIRGSAIKEIDNPGSSSDGVGLTELEIILKQKTFTRSEIDRLTALLQSRTVDVDLHVGNQEKKSEVIPLKGLFFLDRKEFPSTPVKDEGLESHHVSTANVLDEDVASPAELAKAYMGSRPSKISPSVLGLHSKPMADNSIVQIDQLFPSKSPIASVVPRSSGHVVSVENGFLRPRSRGRSAIYSMARTPYSKVQSTSTPQGSGTEANVLGSPSFLSQSMRENSRFSGSKQGPVKRRSSVLDNDVGSVGPIRRIRQKSNLVPSITLSMYGNGLGSDAAQRPSLTQKQALASGTSIENGDNSSHQGSRFTPIPSKSSEMASKILQQLDVLVSSREKSPTKLSSSALRGPALRSLENVDSSKFVETVHDNNKMDVKQDTSLPGVRDSLCQKQDVEGNSPKKFIASYEKSASEVNGIDPTNLVKNNTSDLKAISFPANSVAQPLPPPQKKRAFQMSAHEDYLELGDDDHFSASATLAEKNEKLVAALAENKASSGETIKSGKVAAFSQVEPQGRSIFNPKPPGASDRSAVAEKSSGFSIPASAPLPSTTVRQAVADKQATLTSGKASSPNESSDAPIFNLGDKSVYSKEPNGIPPVCNFSSKTVGVVPQLTFASASPVTGLKFGTSSPRLESSSSFAFNAVDARSSGTKEPEPDTNDNGNSLKAGAFSSSNETLSSSVSNTTPAMGIFSFGMPTSTSSLNGSLADSIRSFSSPDPALLPDNIAGQSSSSSSNSSVFTTPMSTTTPNTTNSSNISVLASAPSFESKSAFKFGSSLVSSTSSISLTPAPSGAELTQPKKETSFSDLVNAPFASTYSISTGASLFGGTSSAIASMGSNIFNDASSAVASTGSSTFTSSSVASTGSGIFSFNAGSSTSVATTQSQGPFSAGSAQASGPGSVFSATTQSMPIQFSSSASSPFGLTANVAPSSGSSLFSSSTSVNKLFSSGAATSFGVASSTSSEANPVGSASSSMSTVFGSSWQTPKSPIFNSGSSSTGFSFGASSASNATSTASMVFASPTSASSGTVFSFSSAATATPQPVFGNPHPAFTFGSSPSGNGDQVNMEDSMAEDTVQATTSTVPLFGQQPIAPPSSGFVFGSTAVSGGNQFGSTAPSGGSQFGTTAPSGTNPFQFATQPNLAASQNQSPFQASGSLEFNAAGSFSLGSGGGDKSGRKIIRVRKTQRKK >Manes.12G133900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34087417:34089052:1 gene:Manes.12G133900.v8.1 transcript:Manes.12G133900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSPSPAGYSPKPTLATDLSTIEEGNDGNSPPQASTAEVTVSSIINACNMMAELMGTYVIIFIGCGSILIDIKYEISAVGIAVAWGFVVMVMIYALGHVSGGHFNPAITIAFAVSCKFPWRQVPGYVASQLTGSTLAILTLSVMFHGKADIKFTVTQYLGQATDLEGFMWEFITSFILMITICGVATDSRAINELSGVAVGAAILFDMLIAGRITGASMNPARSLGPALVSRTFECLWVYVVAPILGMITASSAYSFIWLPPADKETTKSV >Manes.11G091374.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17991800:17994024:-1 gene:Manes.11G091374.v8.1 transcript:Manes.11G091374.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAEESRVKVGEDRQTEREEKTENKRNQVDLFARNRVQQPHYELSFIPSAVLQGQRIVKFIFMDLVDEAEKWKNALVSSVFGSSPKFQGMERFAMNKWNRGSKIYQLWHKLMELRKLSKEFVGISEKGWCL >Manes.02G222600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36829440:36832713:1 gene:Manes.02G222600.v8.1 transcript:Manes.02G222600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNARKSGVVLRSGGRGGGEGDGDGGGSIVVFGSGVAGGGCDGRVAVAIDKDKTSLSALKWAIDNLITRDEAVKLIHVKEFPYNSAPDILFKTTDIPANVLKWAPDFCTVYIVSKGKINTVRNATRPVPTVSAGGALSLPPRDNSGNQRWYDELSTADMENSVPYAGRPSTDSNFFKFYENLGCEVSRETSRRDCDVYEPFTTNDNERPSWSSSDNHMEEYEEETRRLKVELKQTIDMYHAACKEALTAKREARAAKKKAAQLEEWKRKEVRKLEANLAEEKELGMVEREKTKSKVAIEAAEAVEKLVEMEVQKRLDAKIKALRENEEKLKVLDALGQSHSVLEYQSLFHMIAVLFLFYFYFSVSN >Manes.05G122600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20934631:20936830:-1 gene:Manes.05G122600.v8.1 transcript:Manes.05G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLLVSFVLSFFFFFMIHSSNADHNVIRYGAKPDGKTDATQAFLRAWKSACSSATASTIYVPKGRYLIKGVEFRGPCKSRIKVQIDGTILAPLDYHAIGNSGYWILFIQVNRISVFGGTLDAKGAGFWACRRAGKNCPVGARSITFNWANDILISGLRSINSQLTHLVINSCKNVQVRNVNLMAPDLSPNTDGIHVQSSTGVSITGSTLQTGDDCISIGPGTSNLHISRIKCGPGHGVSIGSLGRQLKEDGVQNITLTDSVFIGSDNGVRIKTWARPSTSFVRNVLFQNIIMRDVENPIIIDQDYCPNNVGCPGQSSGVKISEVTYKNIQGTSATPEAVTFECSPSNPCKGIRLQDIKLTYMNKAATSSCKNIDGTSTGLLTPESCF >Manes.07G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2953824:2956852:1 gene:Manes.07G027100.v8.1 transcript:Manes.07G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMATMLVLFISLLALTKPAMGTDDDDDIPEDFSRKYFPDDFIFGTATSAYQIEGEATTKGRGPSVWDIFSKETPDRILDGSNGDVAVDFYNRYKEDIKNVKKMGFNAFRMSISWSRVIPSGRRRQGVNEEGIQFYNNVIDEIISNGLEPFVTIFHWDTPQALQDKYGGFLSHEIVEDYLQYADLLFEKFGDRVKHWMTFNEPSAYVGFAHDDGVFAPGRCSSWVNRQCLAGNSTTEPYIIAHNLLLSHAAAVHQYRKHYQGTQKGKIGITLFTFWYEPLSNSAVDVQAAKTALDFMFGLWMEPMTYGRYPKTMTDLAGDRLIKFTNNESQLLRGSYDFVGLQYYTAYYAKPNITVDPNFRRYKTDSGVIETPYDNNGNLIGPRAYSSWFYIFPKGIRHFLNYTKDTYNDPVIYITENGVDNYNNESQPIEEALQDDFRIEYYRKHMWNALGSLKEYNVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKDNLKRYPKKSALWFTKFLNISVDANNIYEVTLKDPRKVGKFYVM >Manes.07G081133.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:24939145:24940197:-1 gene:Manes.07G081133.v8.1 transcript:Manes.07G081133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYLCKIDVGLFCVFNCSERMSEASQRAIDEEVGSHAPSEPIEPAIAPAPHGEDRPGQDAFLQQLADMLRRVSGAAPQVPPPVAVPMQAPARPPIDKLRKYGAMEFKGRREDDAPAAEYWLQSTERVLQQLQCTPPDSVACAVALLQEEAYQWWDTTSQTVQPEQRTWEFFLAEFRKKYIGDLYMDEKRREFLYLRQGRMTVSEYEKDFIRLSKYAREMVPTEEAKCKKFEQGLHNDIRVLLAAHSIKEFSTLVNAALNIEKIKEEEQSWRQKGQQKRGQTQMQGQSSASQALMKRQRGAQSSGQSQVQRQRQPLAQSFAGRFGQQTSTSVASSGSAGRGQYPICAHCGR >Manes.02G185100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14809263:14812214:1 gene:Manes.02G185100.v8.1 transcript:Manes.02G185100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSSSTVFIAVQCCQCSTMQVKQQKKSSNKWTCVVCNQKQSVRKVFAQGFMAKDLRKFVQSFNMSRKFTDDCQQYESLTPVTSNCDSGLLDDHRRKRRSDWSEYLDPEEYGSKENVRPEDEGGEFKKPKLRNQASVSANGDGDGDLYKPVFSKRKINQEIVSQGKEEKTYQLEQRTVQPTMATKASKWNAYISNGDNNVKVGSGRNFAGDMGQCSHDILETILDDQKVEDDIHPDFM >Manes.02G185100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14809263:14812214:1 gene:Manes.02G185100.v8.1 transcript:Manes.02G185100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSSSSTVFIAVQCCQCSTMQVKQQKKSSNKWTCVVCNQKQSVRKVFAQGFMAKDLRKFVQSFNMSRKFTDDCQQYESLTPVTSNCDSGLLDDHRRKRRSDWSEYLDPEEYGSKENVRPEDEGGEFKKPKLRNQASVSANGDGDGDLYKPVFSKRKINQEIVSQGKEEKTYQLEQRTVQPTMATKASKWNAYISNGDNNVKVGSGRNFAGDMGQCSHDILETILDDQKVEDDIHPDFM >Manes.09G075494.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11857229:11860296:1 gene:Manes.09G075494.v8.1 transcript:Manes.09G075494.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLYTISRIVSISFFLHFLLCFLVLATSTTEFDFGTLTLSSLKLLGDAHLNNGSVRLTRDLAVPTSGAGKVLYSKPVRFRQPGTHSITSFTTFFSFSVANLNPSSIGGGLAFVISPDSDTIGAAGGCLGLLNSDGSSSGFVAVEFDTLMDVEFKDINGNHVGLDLNSMVSSQAGDLGAINIDLKSGDLVNAWIEYDGANRGLKVSVSYSNLKPKEPILLLTIDLDQYVNDFMYVGFSGSTQGSTEVHSIEWWSFSSSFDSISGSGSLSPPPSTTSLMNPTANSVKSPPPSLAPTGSDSITSQEKNSKSSSCHNQLCKQGPGAVAGVVTASAFLAIFAGVLIWVFSKRYKQVKRTESFASEVIKMPKEFSYKELRSATRCFNANRIIGHGAFGTVYKGILPETGDIIAVKRCSHNSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRRKILLGVASALAYLHQECENQVIHRDVKTSNIMLDEGFNARLGDFGLARQIEHDKSPDATVAAGTMGYLAPEYLLTGRATEKTDVFSYGAVVLEVASGRRPIEKETSGVGKVGVNSNLVEWVWSLHREGRLLVAADARLEGEFDENEMRRVLLVGLACSHPDPLARPTMRTVIQMLIGEAEVPIVPRAKPTMSFSTSHLLLSLQDSVSDCNGMITISSSSSSENGFIGDEIV >Manes.08G048800.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4944024:4948579:-1 gene:Manes.08G048800.v8.1 transcript:Manes.08G048800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGWERLKSTPSPTPPLISFEESRPTNIDMNHLTVETEDAFASLLELAANNDSEGFKRSIECDPLSVDETGLWYSRQKGFKQMVNQYRTPLMVAATYGSVDVMRLILSLSDADVNRSCGSDKSTALHCAASGGAPNALDAVKLLLAAGADPNLVDANGHRPVDVIVVPPKLQGVKLTLKELLATDGSVIEHNLRVSTDNSNSNSPPLSPSMDNGSPLSASDSPVRSKLNDTPVFSTSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTPGATAMDFAAAMNLLPGSPSSVSVMSPSPFTPPMSPSTNGMSHSSAAWPQPNVPALHLPGSNLQSSRLRSALNARDLPAEDYSLLPDFDVQQQQLLNELSSLNQPSLSNNSLNRSGRLKILTPSNLDDLFSAESSSPRYADQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQQQQLRSLSSRDLGSNSAAIAGSSVNSWSKWGSSNGKPDWAVAADELGKLRRSNSFELGNGEEPDLSWVQSLIKESPTEMKEKLAMPVSSSVAATASSSEGPSMNPQIESVDHAVGAWIEQLQIDQLVAQQN >Manes.08G048800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4943295:4948579:-1 gene:Manes.08G048800.v8.1 transcript:Manes.08G048800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGWERLKSTPSPTPPLISFEESRPTNIDMNHLTVETEDAFASLLELAANNDSEGFKRSIECDPLSVDETGLWYSRQKGFKQMVNQYRTPLMVAATYGSVDVMRLILSLSDADVNRSCGSDKSTALHCAASGGAPNALDAVKLLLAAGADPNLVDANGHRPVDVIVVPPKLQGVKLTLKELLATDGSVIEHNLRVSTDNSNSNSPPLSPSMDNGSPLSASDSPVRSKLNDTPVFSTSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTPGATAMDFAAAMNLLPGSPSSVSVMSPSPFTPPMSPSTNGMSHSSAAWPQPNVPALHLPGSNLQSSRLRSALNARDLPAEDYSLLPDFDVQQQQLLNELSSLNQPSLSNNSLNRSGRLKILTPSNLDDLFSAESSSPRYADQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQQQQLRSLSSRDLGSNSAAIAGSSVNSWSKWGSSNGKPDWAVAADELGKLRRSNSFELGNGEEPDLSWVQSLIKESPTEMKEKLAMPVSSSVAATASSSEGPSMNPQIESVDHAVGAWIEQLQIDQLVAQQN >Manes.08G048800.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4943345:4948579:-1 gene:Manes.08G048800.v8.1 transcript:Manes.08G048800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGWERLKSTPSPTPPLISFEESRPTNIDMNHLTVETEDAFASLLELAANNDSEGFKRSIECDPLSVDETGLWYSRQKGFKQMVNQYRTPLMVAATYGSVDVMRLILSLSDADVNRSCGSDKSTALHCAASGGAPNALDAVKLLLAAGADPNLVDANGHRPVDVIVVPPKLQGVKLTLKELLATDGSVIEHNLRVSTDNSNSNSPPLSPSMDNGSPLSASDSPVRSKLNDTPVFSTSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTPGATAMDFAAAMNLLPGSPSSVSVMSPSPFTPPMSPSTNGMSHSSAAWPQPNVPALHLPGSNLQSSRLRSALNARDLPAEDYSLLPDFDVQQQQLLNELSSLNQPSLSNNSLNRSGRLKILTPSNLDDLFSAESSSPRYADQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQQQQLRSLSSRDLGSNSAAIAGSSVNSWSKWGSSNGKPDWAVAADELGKLRRSNSFELGNGEEPDLSWVQSLIKESPTEMKEKLAMPVSSSVAATASSSEGPSMNPQIESVDHAVGAWIEQLQIDQLVAQQN >Manes.08G048800.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4944024:4948579:-1 gene:Manes.08G048800.v8.1 transcript:Manes.08G048800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGWERLKSTPSPTPPLISFEESRPTNIDMNHLTVETEDAFASLLELAANNDSEGFKRSIECDPLSVDETGLWYSRQKGFKQMVNQYRTPLMVAATYGSVDVMRLILSLSDADVNRSCGSDKSTALHCAASGGAPNALDAVKLLLAAGADPNLVDANGHRPVDVIVVPPKLQGVKLTLKELLATDGSVIEHNLRVSTDNSNSNSPPLSPSMDNGSPLSASDSPVRSKLNDTPVFSTSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTPGATAMDFAAAMNLLPGSPSSVSVMSPSPFTPPMSPSTNGMSHSSAAWPQPNVPALHLPGSNLQSSRLRSALNARDLPAEDYSLLPDFDVQQQQLLNELSSLNQPSLSNNSLNRSGRLKILTPSNLDDLFSAESSSPRYADQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQQQQLRSLSSRDLGSNSAAIAGSSVNSWSKWGSSNGKPDWAVAADELGKLRRSNSFELGNGEEPDLSWVQSLIKESPTEMKEKLAMPVSSSVAATASSSEGPSMNPQIESVDHAVGAWIEQLQIDQLVAQQN >Manes.08G048800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4943378:4948587:-1 gene:Manes.08G048800.v8.1 transcript:Manes.08G048800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGWERLKSTPSPTPPLISFEESRPTNIDMNHLTVETEDAFASLLELAANNDSEGFKRSIECDPLSVDETGLWYSRQKGFKQMVNQYRTPLMVAATYGSVDVMRLILSLSDADVNRSCGSDKSTALHCAASGGAPNALDAVKLLLAAGADPNLVDANGHRPVDVIVVPPKLQGVKLTLKELLATDGSVIEHNLRVSTDNSNSNSPPLSPSMDNGSPLSASDSPVRSKLNDTPVFSTSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTPGATAMDFAAAMNLLPGSPSSVSVMSPSPFTPPMSPSTNGMSHSSAAWPQPNVPALHLPGSNLQSSRLRSALNARDLPAEDYSLLPDFDVQQQQLLNELSSLNQPSLSNNSLNRSGRLKILTPSNLDDLFSAESSSPRYADQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQQQQLRSLSSRDLGSNSAAIAGSSVNSWSKWGSSNGKPDWAVAADELGKLRRSNSFELGNGEEPDLSWVQSLIKESPTEMKEKLAMPVSSSVAATASSSEGPSMNPQIESVDHAVGAWIEQLQIDQLVAQQN >Manes.08G048800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4943543:4948579:-1 gene:Manes.08G048800.v8.1 transcript:Manes.08G048800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGWERLKSTPSPTPPLISFEESRPTNIDMNHLTVETEDAFASLLELAANNDSEGFKRSIECDPLSVDETGLWYSRQKGFKQMVNQYRTPLMVAATYGSVDVMRLILSLSDADVNRSCGSDKSTALHCAASGGAPNALDAVKLLLAAGADPNLVDANGHRPVDVIVVPPKLQGVKLTLKELLATDGSVIEHNLRVSTDNSNSNSPPLSPSMDNGSPLSASDSPVRSKLNDTPVFSTSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTPGATAMDFAAAMNLLPGSPSSVSVMSPSPFTPPMSPSTNGMSHSSAAWPQPNVPALHLPGSNLQSSRLRSALNARDLPAEDYSLLPDFDVQQQQLLNELSSLNQPSLSNNSLNRSGRLKILTPSNLDDLFSAESSSPRYADQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQQQQLRSLSSRDLGSNSAAIAGSSVNSWSKWGSSNGKPDWAVAADELGKLRRSNSFELGNGEEPDLSWVQSLIKESPTEMKEKLAMPVSSSVAATASSSEGPSMNPQIESVDHAVGAWIEQLQIDQLVAQQN >Manes.14G077500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6443553:6449062:-1 gene:Manes.14G077500.v8.1 transcript:Manes.14G077500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNSGDHKTRSSVSIFIVVGLCGFFYILGAWQRSGFGKGDSIALEITKQTHCSILNNLNYQTSGDAGIVDGSGAEVKEIKPCDDKYIDYTPCHEQMRAMTFPRENMIYRERHCPPEKEKLQCLIPAPKGYANPFPWPKSRDYVPYANAPYKSLTIEKAVQNWIQYEGNVFRFPGGGTQFPHGADAYINQLASVIPMDNGMIRTALDTGCGVASWGAYLFKKNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGFNDGMYMMEVDRVLRPGGYWVLSGPPINWRNNYESWQRPKEELEEEQRKIEEVAKLLCWEKKHEMGEIAIWQKRINYDSCLELDPQPTMCQSTNTDDVWYKKMEACVTPYLKTNEPNEVAGAPWQPFQERLNAIPFRISSDSIPGVSVETYLEDTRLWKKHVNAYKRINKILDSGRYRNIMDMNAGMGGFAAALESPKLWVMNVMPTVAEKDTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKDKCNMEDILIELDRILRPEGAVILRDQMEVLIKVKRIVGGMRWNTKMVDHEDGPLVTEKVLFAVKQYWVAGEHNSTSSQ >Manes.11G137300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30278201:30279333:-1 gene:Manes.11G137300.v8.1 transcript:Manes.11G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKLFVTLLILQALIPSHSSIQAAPKNSNLFREYIGAEFNNVKFTDVPINPNVDFHFILSFAIDYDTSSSPSPTNGKFNVFWDSENLSPSDVSSIKNQYSNVKVALSLGGDSVQGGYAYFNPSSVNSWVSNAVSTLTDIIKQYNLDGIDIDDEHFQADPETFTECIGQLISTLKKNSVISFASIAPFDDDQVQSHYQALWKKYGELIDYVNFQFYAYDQGTTVSQFMGYFQTQSSNYNGGKVLVSFISDGSGGLGPDDGFFTACSKLKSQKQLHGIFVWSADDSKANGFRYEKQSQALLAIHH >Manes.08G086500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26885848:26888528:1 gene:Manes.08G086500.v8.1 transcript:Manes.08G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEKQGLLYFDLNTSAKIPSVGLGTWKAEPGVVGEAVKAAIKAGYRHIDCAKVYNNEKEVGEALKELFSSGEVKRSELFITSKLWCSDHAPEDVLKALNKSLEDLQLDYVDLYLMHWPFRTKQGSQGWDPENMVPLCLQETWTAMEGLYASGQAHAIGVSNFSTQKLQDLLKFAKVPPAVNQVECHPVWQQPALHHLCKSTGVHLSAYSPLGSPGSWVKGEILKEPILIEIAEKLSKSPAQVALRWGIQSGHSVLPKSVNASRILENLSLFDWCIPPELFSKLSEIHQQRLLRGDFAVHATRSPYKSLEELWDGEI >Manes.05G130301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22041490:22045408:-1 gene:Manes.05G130301.v8.1 transcript:Manes.05G130301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQNALAPSFCFICGLFDHTERFYRKLLNLGNALVVRKFVLELCVNYPRPQSHGSRWLKGGGGENNSQGPWGITFGNSITDGQTTPPNPVDNGDRDMDGIREDSLNSYPTAGEEVARGLEAGVTIVDPKRRCMGLENKKANREKPTMDHESSGGCSNQSKNGVAAGPGLELSWAWQPTSSSGYYGEADRNFRHLSWQQLRILASHHEAPWVCLGDFNDILLPSKKRSSHPQPSCLINGFRDALCDSGLIEFPMTGYPFIWEHGRNIDGWVESRLDRVFTNTQWRTEFSNSTAKVLGFSTSDHLPILLAVKCFVDQCHAHRFRFENTWLLEAGYMSVEGKLVACRTALKSWGTNLRLLHKAEIDESLVVHMDEFLQAKSCFFHRLNLREVFWKQCAKQFWLKEDLEECVPLLVSHDQNASLLAPYSCDKVKSAAFSMKIDKSLRLDGFDPWSLPPNDLRPIALCNVVYKIMTKMIVNRLKSILPSIKELSRAFKMDINKGYDRLEWSFIRDMLIRMGEISPILPTRGLRQGDPLSPYLFILCAEGLSRLLQDNINHGNLHGFRISRGGPQISHLFFANDSLIFFRANVQEALELKRILRIYENAYGKLINFKKSSISFSKYTPVALWDSICSVLQVEEKPNLGNYLGLPSHVGSNKREVCSFVKDRL >Manes.01G254200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41172155:41174084:1 gene:Manes.01G254200.v8.1 transcript:Manes.01G254200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPRTNLTLSFLFLCLFLVSAFSFSSFSPTDNHLINCGSSVDAAVYSRRFVSDDDYSNPNSPLLSATRTIPLANQNPSPNSPQIYNTARVFKKPSKYVFEIKDPGTHMVRLHFHPFISANLDLNYAKFHVLVNGYVVLSNFTVANVASPLIKEYFIWFESNKVVITFMPTRRDEFGFVNAIEVMSAPKDLISDTAILLKGNNTEKFDGLTKQALETMYRVNVGGPKVTPFNDTVWRTWIPDDGFFESSELSSRIYFSGRIKYQNGGASREVGPDFVYNTARVISSTNASIPEANMTWEFPVMEGYHYLVRLHFCDIASMSLGLLYFNVYINGHLAYENLDISSITYMLAAPFYADFVVDSDSHGVLRVSVGPSNMSMAHTVDGILNGVEILKMNNSVGSLDGKMCAGMVLRSWPRGSFGTLFPLVAVVCLLLSISVLMHKRTVGKGDFVVWSKLPTDVPDDNAKHSNEQLPGKVYVSSRSTDL >Manes.15G104600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8290196:8296814:1 gene:Manes.15G104600.v8.1 transcript:Manes.15G104600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPSSVHTIVFKESEPLEGKCIKIEGYDFNEGVNYSQLLKSMVSTGFQASNLGDAIAVVNQMLDWRLADEDITEDCNEEERDPAYRESVKCKVFLGFTSNLVSSGVRDTIRYLVQHHMVDVVVTTAGGIEEDLIKCLAPTYKGDFYLPGAQLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQFEENVSWTPSKVISRLGKEINDECSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRSPGLIVDIVQDIRAMNGEAVHASPRKTGIIVLGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASKRNRSVKIKVNNY >Manes.15G104600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8290196:8296814:1 gene:Manes.15G104600.v8.1 transcript:Manes.15G104600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVVTTAGGIEEDLIKCLAPTYKGDFYLPGAQLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQFEENVSWTPSKVISRLGKEINDECSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRSPGLIVDIVQDIRAMNGEAVHASPRKTGIIVLGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASKRNRSVKIKVNNY >Manes.15G104600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8290249:8296403:1 gene:Manes.15G104600.v8.1 transcript:Manes.15G104600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPSSVHTIVFKESEPLEGKCIKIEGYDFNEGVNYSQLLKSMVSTGFQASNLGDAIAVVNQMLDWRLADEDITEDCNEEERDPAYRESVKCKVFLGFTSNLVSSGVRDTIRYLVQHHMVDVVVTTAGGIEEDLIKCLAPTYKGDFYLPGAQLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQFEENVSWTPSKVISRLGKEINDECSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRSPGLIVDIVQDIRAMNGEAVHASPRKTGIIVLGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASKRNRSVKIKVNNY >Manes.15G104600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8290249:8296725:1 gene:Manes.15G104600.v8.1 transcript:Manes.15G104600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPSSVHTIVFKESEPLEGKCIKIEGYDFNEGVNYSQLLKSMVSTGFQASNLGDAIAVVNQMLDWRLADEDITEDCNEEERDPAYRESVKCKVFLGFTSNLVSSGVRDTIRYLVQHHMVDVVVTTAGGIEEDLIKCLAPTYKGDFYLPGAQLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQFEENNIPVFCPGLTDGSLGDMLYFHSFRSPGLIVDIVQDIRAMNGEAVHASPRKTGIIVLGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASKRNRSVKIKVNNY >Manes.15G104600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8290249:8296403:1 gene:Manes.15G104600.v8.1 transcript:Manes.15G104600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLPSSVHTIVFKESEPLEGKCIKIEGYDFNEGVNYSQLLKSMVSTGFQASNLGDAIAVVNQMLDWRLADEDITEDCNEEERDPAYRESVKCKVFLGFTSNLVSSGVRDTIRYLVQHHMVDVVVTTAGGIEEDLIKCLAPTYKGDFYLPGAQLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQFEENVSWTPSKVISRLGKEINDECSYLYWAYKNNIPVFCPGLTDGSLGDMLYFHSFRSPGLIVDIVQDIRAMNGEAVHASPRKTGIIVLGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASKRNRSVKIKVNNY >Manes.01G001600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1616014:1623194:1 gene:Manes.01G001600.v8.1 transcript:Manes.01G001600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKQRSSEPAPVETLVPQLQEVQSLPETIQEEDPQNVAIEDDSGGQQQEPQGVEKEEREELEQGREDEEKEPEEEDEEGGEGEDKQPEEHHSHNLEVSSSDVAQSNPEIAEANSANEGASNGNEATEEEENEDDDSLEKLLEPFGKDQLVALLRKSVDKHPDLMDSVREIADADPAHRKIFVHGLGWDTTAETLKSEFGKYGEIEDCKAVTDRVSGKSKGYAFILFKQRAGARKALRQPQKKIGNRITSCQLATAGPVPAPPPTTSAVSEYTQRKIFVSNVSAEIDPEKLLEFFRQYGEIEEGPLGLDKQTGKPKGFALFVYKSVESAKKALEEPHKKFEGHTLHCQKAIDGQKSNKTGYGAVHHQHHHHQPHYQHTAKKGKYSSGAHGPGHLMAPSGPSVNFSPGMAPQGLNPALGQALTALGLGNLLGGLGGAPVNMGGPPAGYGNQAGGGYAIEPGMQGGYPNLQMNPHMGQSGAGRAQQGSAPYMGH >Manes.01G001600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1616014:1623194:1 gene:Manes.01G001600.v8.1 transcript:Manes.01G001600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKQRSSEPAPVETLVPQLQEVQSLPETIQEEDPQNVAIEDDSGGQQQEPQGVEKEEREELEQGREDEEKEPEEEDEEGGEGEDKQPEEHHSHNLEVSSSDVAQSNPEIAEANSANEGASNGNEATEEEENEDDDSLEKLLEPFGKDQLVALLRKSVDKHPDLMDSVREIADADPAHRKIFVHGLGWDTTAETLKSEFGKYGEIEDCKAVTDRVSGKSKGYAFILFKQRAGARKALRQPQKKIGNRITSCQLATAGPVPAPPPTTSAVSEYTQRKIFVSNVSAEIDPEKLLEFFRQYGEIEEGPLGLDKQTGKPKGFALFVYKSVESAKKALEEPHKKFEGHTLHCQKAIDGQKSNKTGYGAVHHQHHHHQPHYQHTAKKGKYSSGAHGPGHLMAPSGPSVNFSPGMAPQGLNPALGQALTALGLGNLLGGLGGAPVNMGGPPAGYGNQAGGGYAIEPGMQGGYPNLQMNPHMGQSGAGRAQQGSAPYMGH >Manes.01G001600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1616014:1623194:1 gene:Manes.01G001600.v8.1 transcript:Manes.01G001600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKQRSSEPAPVETLVPQLQEVQSLPETIQEEDPQNVAIEDDSGGQQQEPQGVEKEEREELEQGREDEEKEPEEEDEEGGEGEDKQPEEHHSHNLEVSSSDVAQSNPEIAEANSANEGASNGNEATEEEENEDDDSLEKLLEPFGKDQLVALLRKSVDKHPDLMDSVREIADADPAHRKIFVHGLGWDTTAETLKSEFGKYGEIEDCKAVTDRVSGKSKGYAFILFKQRAGARKALRQPQKKIGNRITSCQLATAGPVPAPPPTTSAVSEYTQRKIFVSNVSAEIDPEKLLEFFRQYGEIEEGPLGLDKQTGKPKGFALFVYKSVESAKKALEEPHKKFEGHTLHCQKAIDGQKSNKTGYGAVHHQHHHHQPHYQHTAKKGKYSSGAHGPGHLMAPSGPSVNFSPGMAPQGLNPALGQALTALGLGNLLGGLGGAPVNMGGPPAGYGNQAGGGYAIEPGMQGGYPNLQMNPHMGQSGAGRAQQGSAPYMGH >Manes.13G138500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34653933:34654543:1 gene:Manes.13G138500.v8.1 transcript:Manes.13G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLKFWVYIVIFLLPFAELESRVLVPYADHRHERKNLTTVFQELQEISKALKVRLENEMADGEYFNESKRISPGGPDPKHH >Manes.02G160200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12537035:12538636:-1 gene:Manes.02G160200.v8.1 transcript:Manes.02G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVLLPLLSILLIISSEMKVIEGVRIFTIINDCKETVWPAITPGDNFNGGGFALTPGQSIVFNAPVGWVGRIWARTGCNFDKNGNGSCQTGACGTSLKCKASGQTPASLAEFTLAAVDYYDVSLVDGFNLPLVVTPINGQGNCSVAGCDMDLRSNCPSELAMKRKGKIIGCRSACDVFNTDEYCCKGVYGNPVICKPTYYSNKFKEACPTAYSYAYDDPTSIFTCSGTDYVITFCASRNQQVCTYHDKKLVCGGSQGLKSLIGRWWALMLTLPLMVNWWIV >Manes.02G160200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12537035:12538636:-1 gene:Manes.02G160200.v8.1 transcript:Manes.02G160200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVLLPLLSILLIISSEMKVIEGVRIFTIINDCKETVWPAITPGDNFNGGGFALTPGQSIVFNAPVGWVGRIWARTGCNFDKNGNGSCQTGACGTSLKCKASGQTPASLAEFTLAAVDYYDVSLVDGFNLPLVVTPINGQGNCSVAGCDMDLRSNCPSELAMKRKGKIIGCRSACDVFNTDEYCCKGVYGNPVICKPTYYSNKFKEACPTAYSYAYDDPTSIFTCSGTDYVITFCASR >Manes.09G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28285626:28288300:1 gene:Manes.09G093200.v8.1 transcript:Manes.09G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCILYLLPLFMVANARIPGLYTGGPWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANDPKWCNPGSPSIFITATNFCPPNFALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVTFRRIPCRKQGGIRFTINGFRYFNLVLVTNVAGAGDIVKVSIKGSKTGWLSMSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIAPANWQFGQTFTGKNFRV >Manes.09G011692.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2537480:2541084:1 gene:Manes.09G011692.v8.1 transcript:Manes.09G011692.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMDKTVEFFDDMGARGYQPDVCTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPDVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNISPNVYTFSVLIDALCKDGMVAEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAQKIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADILGYNILINGYCKCKMIDDAKELFVEMSHKGLVPNAVTYSTLINGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVCVYNAIMKGLCQQGLMDEAYKLFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSTDDATTELVVHLSQNNNLILRLLKVRNEGSAN >Manes.09G132100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33345128:33346733:1 gene:Manes.09G132100.v8.1 transcript:Manes.09G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPKPSKDIKKNSIDDIDDESLLPGLPNHLAHICLSSLSPSLLFSVCHAWRRLLYSHSYPPFFSLYVLLSPPLNAAKRNVDQVDTINSVEFLSLDPISSTWQSLPSPPSDPPLHLIHRYPSFLSRNLPIQSLTVANHLVLMAATTGRFVPALSRPLLFHPESNYWFFGPPFTIPRRWCATGSVGGTVYLASGVGSHYSGDVARSMERWDTKQKKENWRWEKMAALKDGRFSREAIEAVGCKGKLYMVNVKGNAVKDGWVYNVEENQWENMPKGMLAGWSGPAATMDEEVIYVVDEVKGALSEYDSERDCWKKVIELPELKRAEQIAAGRGRVCVVCGNGERIVVVDVVTTPTRVWEVEPPPGQQVVSLHILPRMSKHH >Manes.14G011805.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:788185:794474:1 gene:Manes.14G011805.v8.1 transcript:Manes.14G011805.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHGSTHSGGGPDFHLPDDILAVIPTDPYDQLDLARKLTSMAIASRVLNLEAETDRMRQKIYEQDRIIYDLEEKASNMQRAFLEAESRLKISFDENIKLAKERDSLAISVKKLSRDLAKLETFKKQLVQSLNDDSSSQAETVDIGTCDQTVPKAYPDKDEGTNGFAAQNSFSGSADTGNTSVDASRHPGQRFSITPYISPRLTPTGTPKIISTSVS >Manes.07G143300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34279596:34287811:1 gene:Manes.07G143300.v8.1 transcript:Manes.07G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIEEMLISLLASLVFVSQCVGSLNPPLNDDVVGLIVFKADIQDSKGTLSSWNQDDDTPCNWVAVKCDPRSNRVTELNLDGFSLSGQIGRGLLQLQFLYKLSLARNNLTGSISLSLAWLEHLSIIDLSENSLSGPIPDDFFKQCGSLRSISLAKNKFSGKIPASLSSCTTLGSVNFSSNQFSGSLPAGFWSLSGLRSLDLSDNLLEGEIPTGIEALNNLRAINLSKNKFGGGFPKGIGSCLLLRSIDLSDNSISGYLPDAMQKLSLCNYLSLSNNLLAGEFPSWIGEMKRLETLDLSGNRFSGLVPNSIGNIQSLKVLNLSSNGLTGNLPESMANCGNLLALDFSRNSMGGDLPLWIFGSGGEKVARLENKLGSFNSVPKLQILDLSDNEFTGKISSSIEIMNSLQFLNLSGNSLVGPIPVTIGELKELHVLDLSYNLLNGSIPLEIGGAFSLKELRLERNLLIGQIPSSVANWSSLTILILSHNNLTGPIPTAVAKLTSLQDVDLSFNSLSGGLPKQLANLPNLSSFNISHNQLQGELPAGGFFNTISPFSVIGNPSLCGAAVNKSCPAVLPKPIVLNPNSSSDSAPGLIPETLGHKRIILSVSALIAIGAAAVIVVGVITITVLNLHVRSSTSRSAAALSLSTGYEFSHSPATDANSGKLVMFSGEPDFSTGAHALLNKDCELGRGGFGAVYQTVLQDGHSVAIKKLTVSSLVKSQEDFEREVKKLGKVRHQNLVALEGYYWTPSLQLLIYEFVSGGSLYKHLHEGPRGHFLSWNERFNIILGTAKSLAHLHHSNIIHYNIKSSNVLLDSSGEPKVGDFGLARLLPMLDCYILSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRMEECVDESLQGNFPADEAVRVMKLGLICTSQVPSNRPDMGEVLNILELIRCPSEGQEDSG >Manes.03G156100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28470683:28477237:1 gene:Manes.03G156100.v8.1 transcript:Manes.03G156100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFAMTVLASSATLSASLHLRLSNSGPCFLLSSSNTRVFQCKSKSLAVQALEARLWRSLRSRSRNCSQYEAFTSRQELLLLNPIRILRKCFSSRQNRQSVTNSAENFRNHASVLFVRLVVTVLFVTSVSLAACNSPSRALSEENLLFLEAWRTIDRAYVDKTFNGQSWFRYRENALRNEPMNNREETYVAIRKMLASLDDPFTRFLEPEKFKSLRSGTQGALTGVGLSIGYPTGSDGSPAGLVVISAAPGGPASRAGILSGDVILAIDDTTTESMGIYDAADRLQGPEGSSVELTVRSGPEVKHIALIRERVSLNPVKSRLCEIPGSGRDSPRIGYIKLSTFSQNASGAVREAIGTLRSNNANAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDADGSGAFATSEHLAVLVNKGTASASEILAGALKDNKRAVLYGEPTFGKGKIQSVFQLSDGSGLAVTVARYETPAHIDIDKVGVIPDHPLPKSFPKDEESFCGCLQDPASSCYINRVQLFAR >Manes.S030352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2452398:2452565:1 gene:Manes.S030352.v8.1 transcript:Manes.S030352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.03G022400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1850317:1854840:-1 gene:Manes.03G022400.v8.1 transcript:Manes.03G022400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNDLSRVKEYLPIVGLAEFNKLSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGGEFLARHYHQLTIFIPAPTWGNHIKVFTLAGLNVKTYRYYDPATRGLDFHGLLEDLGAAPAGSIVLLHACAHNPTGVDPTPEQWEQIRQLIRSKAHLPFFDSAYQGFASGSLDADAQSVRMFVADGGECLMAQSYAKNMGLYGERVGALSIVCKTADVASRVESQLKLVIRPMYSNPPIHGASIVATILKDSDMYNEWTIELKAMADRIITMRKQLFDALSARGTPGDWSHIIKQIGMFTFTGLNSEQVAFMTKEYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTRLG >Manes.03G022400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1850317:1854840:-1 gene:Manes.03G022400.v8.1 transcript:Manes.03G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSVFVKVVQAPEDPILGVTVAYNKDTSPNKLNLGVGAYRTEEGKPLVLNVVRRAEQMLVNDLSRVKEYLPIVGLAEFNKLSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGGEFLARHYHQLTIFIPAPTWGNHIKVFTLAGLNVKTYRYYDPATRGLDFHGLLEDLGAAPAGSIVLLHACAHNPTGVDPTPEQWEQIRQLIRSKAHLPFFDSAYQGFASGSLDADAQSVRMFVADGGECLMAQSYAKNMGLYGERVGALSIVCKTADVASRVESQLKLVIRPMYSNPPIHGASIVATILKDSDMYNEWTIELKAMADRIITMRKQLFDALSARGTPGDWSHIIKQIGMFTFTGLNSEQVAFMTKEYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTRLG >Manes.03G026300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2117529:2118307:-1 gene:Manes.03G026300.v8.1 transcript:Manes.03G026300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKELISLFLKMATESNVLSSCIIDASFDMDEALTLHPNFGHQIAVSDSLVANLPIVSGDGGICSVCMEGFQLGIGGKRVPCGHVHHAACLSSWFSNNNSCPLCRFNISIAK >Manes.15G042600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3284810:3288784:1 gene:Manes.15G042600.v8.1 transcript:Manes.15G042600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSLVLLLFPMILISESQLCSNWGTYSANSPYSKNLNTVLSSLPSNITENGGFYNATAGHDPDKVYASSLCRGDLSSNRCYKCINSTIQGIIEQCPNQKEAIMWGDATTCMIRYSNRKIFGRMELTPSQCVPNPNNKTGDYSQFNETLYDLMGRLTTHASSGSSGLKFATGSSDGVFGLVQCSPDISKADCSVCLQGAMGEGCGFGMEGGRLLSPSCILWFENFKFYDSTADTVDSSQVPPTPVVNSPPPIANFSPPSQSITKGTSQVVSKIIVPIIVTLVILITITCALLRKRLKHKVENDDTIKRLESLQFEFSIIKAATDNFSDNNKLGQGGFGSVYKGVLPNGRDIAVKRLSECSAQGEEEFKNEILLVAKLQHRNLVSLLGFCSEGTERILVYEFARNGSLDHVIFDATRSAQLNWEMRYKIINGIARGILYLHEDSRLKIIHRDLKANNVLLDEEMNPKISDFGLARLFVLDQTQCITRRVAGTYGYMAPEYASQNRFSAKSDVFSFGVLVLEIVTGKKNSWLSNSNELEHLLSQAWKNWRKRTISNLIDNTLRNGSTSGIIRCIHIGLLCVQENAADRPTMASVVLMLSSHSLSLPEPSQPGFLMYSNTNSGMPALESTSGTTTSSHSLENPEKTTYLSVN >Manes.07G058400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8791743:8792954:-1 gene:Manes.07G058400.v8.1 transcript:Manes.07G058400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRKGCSDDCMLRQCLQGIDDPQAQANATVFVAKFFGRAGLISFISSVPVHQRPSLFQSLLFEAVGRTVNPVSGAVGLLWTGNWHVCQTAVMTVLRGGAIEPMLDFDGRMLGQNLDNFSECSKFKPRNVSSGSKTTRTTTLKMKRSGDDDDESKCELTNLDLCLMYPTEKKLRATTPSEEESETTTLVSGGSTSAGTNCSLQGGERKLWPLFI >Manes.15G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1856790:1861646:1 gene:Manes.15G023100.v8.1 transcript:Manes.15G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTWGSALRITLLLLLLAAVIFACFTLPVEKILKDFLLWVDKDLGPWGPLVLAVAYIPLTILAVPASVLTLGGGYLFGLPVGFVADSIGATIGAGSAFLLGRTIGKSFVVSKLKDYPQFRSVAIAIRKSGFKIVLLLRLVPMLPFNMLNYLLSVTPVPLGEYMLASWIGMMPITLALVYVGTTLKDLSDVTHGWSEFSTTRWLLIILGLVVSLVLMLCVTKVAKSALEKALAENEDIDSILASPQLPIVADPVVDLNQPLIVKIDASEDEHEK >Manes.07G052000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6159288:6161756:-1 gene:Manes.07G052000.v8.1 transcript:Manes.07G052000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGRLEGKVGLITGGDSGIDVQDDLGHSLCQKLGSEEIITYAHCDVTCESDVRNAVDLAVSKYGKLDIMHSNAGLLSNAVGILGTDNEEFRRAFDVNVFGGFLAAKHAARVMIPAKKGSIIFTASIVSMTSLKAAHAYVTSKHAVVGLAKNLCVELGQYGIRVNCVSPYAIVTPLLKFGFGLMGKENEKIQEEIEAAGNLKQAVLEAEDIAEAALYLGSDESKYVSGLNLVVDGGYSLTNPAIEMAMKRLHSS >Manes.11G092000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14422948:14430766:-1 gene:Manes.11G092000.v8.1 transcript:Manes.11G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIKTLAHRPPLISPQKPNFKHYYSQSPLHLLTLRTHSSIFPSSVHLSAPKYRRNRFMRNCINSNHDVVYNDQEIERENDSKIASVSSFQEGEETEVEVKREALENQTMWNQMREIVMFTGPATGLWLCGPLMSLIDTAVIGQGSSIELAALGPGTVVCDYMSYVFMFLSVATSNLVATSLARRDKNEVQHQISILLFVGLACGVLMFLFTRFFGSWALTAFTGPKNVHIVPAANTYVQIRGYAWPAVIVGWVAQSASLGMKDSWGPLKALAVSSIFNFVGDVVLCSFLGYGIAGAAWATMVSQVVAAYMMIEALNKKGYNAFAFSVPTLDEILTIVRLAAPVFVTMMSKVVFYSLLIYFATSMGTYSVAAHQVMLQTYSMCTVWGEPLSQTAQSFMPELIYGFNRSLEKARMLQKSLVIIGATLGLVLGVIGTSVPWLCPNLFTPDENVIREMHKVLLPYFMALAITPSTHSLEGTLMAGRDLKFLSLSMTGCLCVGALVLMLVSSRAYGLAGCWCALVGFQWSRFFLALQRLLSPDGILYSEDLSRYKIEKQKAA >Manes.01G166100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34993214:34995519:1 gene:Manes.01G166100.v8.1 transcript:Manes.01G166100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGNSDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGTYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGMMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGYEDDEGEYQEEYE >Manes.08G004106.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:816960:822007:-1 gene:Manes.08G004106.v8.1 transcript:Manes.08G004106.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGVHFASQRNPAPMSNAFISSSHSVEVSYYQPDAAGPSHDPFLHSSTVGTFCAVPENHAHASSSNYDRQTINGVEGDLFYLTMGNGRGPHKRKSPGVPSSCEGGSTSRYYGAGSSSDPSVPSELRLEKPNLDPQYMVWECITMTPGHRGNLSIGPESSIRNVRSRPALDLEINLSRTHLSNNSSHNSYHAGHPFDHSSSVDFSSQSSSAMTHNWSHTRTSTASGRMLVSDANGYTHETNHFLVGSSIPNASADVRGYHHDFISSRNPVVPQSFHSASAHSARGIRSSYSQRPSPTFRASSSSLRLGHMAPSDDGMPLVAENFSSRQPRLLSTAAWPNSDRNGRSRNSYERYRSLPNEPSLHDRFSSEGFMVVDRSAFYGSRNLFDQHRDMRLDIDNKSYEELLALGERIGSVSTGFDEDLISKCLTETVYRSSGQSEDEGTCAICLVRIFSKYILSVGF >Manes.11G021900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2297198:2305111:-1 gene:Manes.11G021900.v8.1 transcript:Manes.11G021900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVSTSSAAATTTGTQSQTHTLSARVRKTIQSIKEIVGNFSDADIYIALKETNMDPNETAQKLLNQDPFHEVKSRKDKKKEIMGYGGAVDSRKNSENLSQRKAFHTFPDRNARQVGYTRTVVLGNAGMNKEFRVVRDNRINHNATGGAKHALQQGLTSCSEQDIVTVPEKGLSGTSGDAKPSGARTSTQASNGPIDFQSRDTRDTILNVTNRKVVFEEKRNVVPNAASRVQVIKSNPQHHSATLASSNSVIGVYSSSTDPVHVPSPESRSSAAVGAIKREVGVVGGRRQPSENAMKNSSMPSSSFSNSVLGSEGSISESFLPFPTISKTDQVSQTAATESVIPSISVSRSFLSNQYNRPHQTAVGHQKAAQHNKEWKPKSSQKSSVGSPGVIGTPKKSSSPPVDNSKDMESDTLGIQDKTLQVHVFENQNVIIAQHIRVPETDRCRLTFGSFGTEFDTSWNISSGFEAVGVMEESNAESAASLSVSAPESSSDDASGSKQVDLLEEQVRNSGSDSPASGTVSEHRVPDESSSPANLDTFADIGLVPDNSPSYTTPEPQQRQDRPELPSFSTYDPQTGYDISYFRPPIDETVRGQGLTSPQEPLTSYMANIIPASTITMVQQQQQPPMAQMYPQVHVSHYANLMPYRQFLSPVYVPQMAMPGYSSNPAYPHPSNGSSYLLMPGGSSHLSANGLKYGIQQFKPVPGSNPAGFGNFTSPTGYAINAPGGVGSVTGLEDSSRIKYKDGNIYVPNPQAETSEIWVQNPRELPGLQSTPYYNMPGQTPHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPPPTPSAMANPHHLGPVMGGNVGVGVAPTAPGAQVGAYQQPQLGHLNWTANF >Manes.11G021900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2297198:2305111:-1 gene:Manes.11G021900.v8.1 transcript:Manes.11G021900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVSTSSAAATTTGTQSQTHTLSARVRKTIQSIKEIVGNFSDADIYIALKETNMDPNETAQKLLNQDPFHEVKSRKDKKKEIMGYGGAVDSRKNSENLSQRKAFHTFPDRNARQVGYTRTVVLGNAGMNKEFRVVRDNRINHNATGGAKHALQQGLTSCSEQDIVTVPEKGSLSGTSGDAKPSGARTSTQASNGPIDFQSRDTRDTILNVTNRKVVFEEKRNVVPNAASRVQVIKSNPQHHSATLASSNSVIGVYSSSTDPVHVPSPESRSSAAVGAIKREVGVVGGRRQPSENAMKNSSMPSSSFSNSVLGSEGSISESFLPFPTISKTDQVSQTAATESVIPSISVSRSFLSNQYNRPHQTAVGHQKAAQHNKEWKPKSSQKSSVGSPGVIGTPKKSSSPPVDNSKDMESDTLGIQDKTLQVHVFENQNVIIAQHIRVPETDRCRLTFGSFGTEFDTSWNISSGFEAVGVMEESNAESAASLSVSAPESSSDDASGSKQVDLLEEQVRNSGSDSPASGTVSEHRVPDESSSPANLDTFADIGLVPDNSPSYTTPEPQQRQDRPELPSFSQTYDPQTGYDISYFRPPIDETVRGQGLTSPQEPLTSYMANIIPASTITMVQQQQQPPMAQMYPQVHVSHYANLMPYRQFLSPVYVPQMAMPGYSSNPAYPHPSNGSSYLLMPGGSSHLSANGLKYGIQQFKPVPGSNPAGFGNFTSPTGYAINAPGGVGSVTGLEDSSRIKYKDGNIYVPNPQAETSEIWVQNPRELPGLQSTPYYNMPGQTPHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPPPTPSAMANPHHLGPVMGGNVGVGVAPTAPGAQVGAYQQPQLGHLNWTANF >Manes.11G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2297198:2305111:-1 gene:Manes.11G021900.v8.1 transcript:Manes.11G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVSTSSAAATTTGTQSQTHTLSARVRKTIQSIKEIVGNFSDADIYIALKETNMDPNETAQKLLNQDPFHEVKSRKDKKKEIMGYGGAVDSRKNSENLSQRKAFHTFPDRNARQVGYTRTVVLGNAGMNKEFRVVRDNRINHNATGGAKHALQQGLTSCSEQDIVTVPEKGSLSGTSGDAKPSGARTSTQASNGPIDFQSRDTRDTILNVTNRKVVFEEKRNVVPNAASRVQVIKSNPQHHSATLASSNSVIGVYSSSTDPVHVPSPESRSSAAVGAIKREVGVVGGRRQPSENAMKNSSMPSSSFSNSVLGSEGSISESFLPFPTISKTDQVSQTAATESVIPSISVSRSFLSNQYNRPHQTAVGHQKAAQHNKEWKPKSSQKSSVGSPGVIGTPKKSSSPPVDNSKDMESDTLGIQDKTLQVHVFENQNVIIAQHIRVPETDRCRLTFGSFGTEFDTSWNISSGFEAVGVMEESNAESAASLSVSAPESSSDDASGSKQVDLLEEQVRNSGSDSPASGTVSEHRVPDESSSPANLDTFADIGLVPDNSPSYTTPEPQQRQDRPELPSFSTYDPQTGYDISYFRPPIDETVRGQGLTSPQEPLTSYMANIIPASTITMVQQQQQPPMAQMYPQVHVSHYANLMPYRQFLSPVYVPQMAMPGYSSNPAYPHPSNGSSYLLMPGGSSHLSANGLKYGIQQFKPVPGSNPAGFGNFTSPTGYAINAPGGVGSVTGLEDSSRIKYKDGNIYVPNPQAETSEIWVQNPRELPGLQSTPYYNMPGQTPHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPPPTPSAMANPHHLGPVMGGNVGVGVAPTAPGAQVGAYQQPQLGHLNWTANF >Manes.11G021900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2297198:2305111:-1 gene:Manes.11G021900.v8.1 transcript:Manes.11G021900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVSTSSAAATTTGTQSQTHTLSARVRKTIQSIKEIVGNFSDADIYIALKETNMDPNETAQKLLNQDPFHEVKSRKDKKKEIMGYGGAVDSRKNSENLSQRKAFHTFPDRNARQVGYTRTVVLGNAGMNKEFRVVRDNRINHNATGGAKHALQQGLTSCSEQDIVTVPEKGLSGTSGDAKPSGARTSTQASNGPIDFQSRDTRDTILNVTNRKVVFEEKRNVVPNAASRVQVIKSNPQHHSATLASSNSVIGVYSSSTDPVHVPSPESRSSAAVGAIKREVGVVGGRRQPSENAMKNSSMPSSSFSNSVLGSEGSISESFLPFPTISKTDQVSQTAATESVIPSISVSRSFLSNQYNRPHQTAVGHQKAAQHNKEWKPKSSQKSSVGSPGVIGTPKKSSSPPVDNSKDMESDTLGIQDKTLQVHVFENQNVIIAQHIRVPETDRCRLTFGSFGTEFDTSWNISSGFEAVGVMEESNAESAASLSVSAPESSSDDASGSKQVDLLEEQVRNSGSDSPASGTVSEHRVPDESSSPANLDTFADIGLVPDNSPSYTTPEPQQRQDRPELPSFSQTYDPQTGYDISYFRPPIDETVRGQGLTSPQEPLTSYMANIIPASTITMVQQQQQPPMAQMYPQVHVSHYANLMPYRQFLSPVYVPQMAMPGYSSNPAYPHPSNGSSYLLMPGGSSHLSANGLKYGIQQFKPVPGSNPAGFGNFTSPTGYAINAPGGVGSVTGLEDSSRIKYKDGNIYVPNPQAETSEIWVQNPRELPGLQSTPYYNMPGQTPHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPPPTPSAMANPHHLGPVMGGNVGVGVAPTAPGAQVGAYQQPQLGHLNWTANF >Manes.08G019700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1989333:1992491:1 gene:Manes.08G019700.v8.1 transcript:Manes.08G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKESTVSGSPGDSGTDSPPPVSSVVVPQVMNINMNMAAENMVMERSVIPSATTTPPTTGSDGGSGGPVSGSVDLFGKKKRGRPRKYDSDGNLRMQPFYHQPVAGGALTSPPGFSFSPSSPPPSDGLLYSSSKRGRGRPPGSGNWQLLASLGELFANTAGGDFTPHVVTVNTGEDVAGKILSFGQKGPRGICVLSANGAVSNVTIRQPGSSGGILTYEGRFEILSLSGSFTVTETGGVRSRTGGLSVSLASPDGRVIGGGIAGLLLAASPIQIVVGSFMPNGYKTHKRKHHRENASSSVISGAQGVVTEANPISQSKPADGETCLISESPLTEHSHGGTDNNGSEQQMPNATISFTPFWNGSTPASNQRPSPDINLSVPSEEDAQGIVL >Manes.08G067900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10578738:10580056:-1 gene:Manes.08G067900.v8.1 transcript:Manes.08G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRLQHVKISICAVLIGSILLLSDARLFGEQRMLEPTEFEPYALPPLESQPTLIPTPPFTVVSPPPSSNFPIKSPPNNFAIPPMYTPIARPPEYELNPPSIPPRPHYHGLSPPIYQPPLVHPPITAFPSPPPHKKPKFAVWCVAKPTLPEPIIQAALDYACGSGADCKSIQPNGLCFQPNNLVAHASYAFNSYWQKKKIAGGTCDFGGTAMLVTVDPSYDNCHFVSN >Manes.08G067900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10579021:10580056:-1 gene:Manes.08G067900.v8.1 transcript:Manes.08G067900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRLQHVKISICAVLIGSILLLSDARLFGEQRMLEPTEFEPYALPPLESQPTLIPTPPFTVVSPPPSSNFPIKSPPNNFAIPPMYTPIARPPEYELNPPSIPPRPHYHGLSPPIYQPPLVHPPITAFPSPPPHKKPKFAVWCVAKPTLPEPIIQAALDYACGSGADCKSIQPNGLCFQPNNLVAHASYAFNSYWQKKKIAGGTCDFGGTAMLVTVDPSK >Manes.01G142600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33352739:33358363:-1 gene:Manes.01G142600.v8.1 transcript:Manes.01G142600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKQVGGDEGAENAQNRTEAGGGGGGGGGGGGSKGKSCKGYLYYSSILKSNGTNPRCIGIPRTLQQVPNIVGHSESEAYKEGRTLTDFYYACAGYSVYINKDHSTDKQVTKTQLPVCVGLELLVDRRVATADNASASASAHVHGREGGREIHQPRTEKPAHASGDDFLSRYTRNASLVASGVARNMRRVGNYIKESLDDILYPYRRRPK >Manes.03G066532.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9183835:9188956:-1 gene:Manes.03G066532.v8.1 transcript:Manes.03G066532.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSVDAKSTRIGSGTASLGGIKSKSASSGGLQVKANAQAPPKINGSTVGFTASVEHVKNEDDTPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQVLVDHYPTWGDVVQVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSDPVVDEDSRKLPKLDDNTADYVRKGLTPRWSDLDINQHVNNVKYIGWILESAPLAILESHELSAITMEYRRECGKDSVLQSLTAVSGNGMGYMGKAGEIECQHLLRLEDGAEIVRGRTEWRPKYASNFGIMGQLPAESA >Manes.03G066532.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9183835:9188956:-1 gene:Manes.03G066532.v8.1 transcript:Manes.03G066532.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSVDAKSTRIGSGTASLGGIKSKSASSGGLQVKANAQAPPKINGSTVGFTASVEHVKNEDDTPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQVLVDHYPTWGDVVQVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSDPVVDEDSRKLPKLDDNTADYVRKGLTSAPLAILESHELSAITMEYRRECGKDSVLQSLTAVSGNGMGYMGKAGEIECQHLLRLEDGAEIVRGRTEWRPKYASNFGIMGQLPAESA >Manes.03G066532.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9183835:9188956:-1 gene:Manes.03G066532.v8.1 transcript:Manes.03G066532.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSVDAKSTRIGSGTASLGGIKSKSASSGGLQVKANAQAPPKINGSTVGFTASVEHVKNEDDTPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQVLVDHYPTWGDVVQVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSDPVVDEDSRKLPKLDDNTADYVRKGLTPRWSDLDINQHVNNVKYIGWILEVIFLTWKTKF >Manes.03G066532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9183835:9188984:-1 gene:Manes.03G066532.v8.1 transcript:Manes.03G066532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSVDAKSTRIGSGTASLGGIKSKSASSGGLQVKANAQAPPKINGSTVGFTASVEHVKNEDDTPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQVLVDHYPTWGDVVQVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSDPVVDEDSRKLPKLDDNTADYVRKGLTPRWSDLDINQHVNNVKYIGWILESAPLAILESHELSAITMEYRRECGKDSVLQSLTAVSGNGMGYMGKAGEIECQHLLRLEDGAEIVRGRTEWRPKYASNFGIMGQLPAESA >Manes.03G066532.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9183835:9187966:-1 gene:Manes.03G066532.v8.1 transcript:Manes.03G066532.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSVDAKSTRIGSGTASLGGIKSKSASSGGLQVKANAQAPPKINGSTVGFTASVEHVKNEDDTPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQVLVDHYPTWGDVVQVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSDPVVDEDSRKLPKLDDNTADYVRKGLTPRWSDLDINQHVNNVKYIGWILESAPLAILESHELSAITMEYRRECGKDSVLQSLTAVSGNGMGYMGKAGEIECQHLLRLEDGAEIVRGRTEWRPKYASNFGIMGQLPAESA >Manes.03G066532.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9183835:9188956:-1 gene:Manes.03G066532.v8.1 transcript:Manes.03G066532.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSVDAKSTRIGSGTASLGGIKSKSASSGGLQVKANAQAPPKINGSTVGFTASVEHVKNEDDTPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQVLVDHYPTWGDVVQVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSDPVVDEDSRKLPKLDDNTADYVRKGLTPRWSDLDINQHVNNVKYIGWILEVMSSSHGNSLTTKQVLHWQFWKVMNCLPLLWSTGGSVERTVCCSL >Manes.03G066532.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9183835:9188956:-1 gene:Manes.03G066532.v8.1 transcript:Manes.03G066532.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSVDAKSTRIGSGTASLGGIKSKSASSGGLQVKANAQAPPKINGSTVGFTASVEHVKNEDDTPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQVLVDHYPTWGDVVQVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSDPVVDEDSRKLPKLDDNTADYVRKGLTSAPLAILESHELSAITMEYRRECGKDSVLQSLTAVSGNGMGYMGKAGEIECQHLLRLEDGAEIVRGRTEWRPKYASNFGIMGQLPAESA >Manes.03G066532.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9183835:9188956:-1 gene:Manes.03G066532.v8.1 transcript:Manes.03G066532.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSIFPVPSPSVDAKSTRIGSGTASLGGIKSKSASSGGLQVKANAQAPPKINGSTVGFTASVEHVKNEDDTPSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRPDMLIDPFGLGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQVLVDHYPTWGDVVQVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSDPVVDEDSRKLPKLDDNTADYVRKGLTPRWSDLDINQHVNNVKYIGWILEVMSSSHGNSLTTKQVLHWQFWKVMNCLPLLWSTGGSVERTVCCSL >Manes.12G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17130595:17134051:-1 gene:Manes.12G091400.v8.1 transcript:Manes.12G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWFLFKAFSFLSLFLLSSLHLHFPATKAEILKTTFFNSSATAADSLFSRKCNWFQGKWVFDSSYPLYDSSNCPFIDSQFNCQKNGRPDWNYLKYRWQPFLCDLPRFNGLNFLEKWRGKKIMFVGDSLSLNQWQSLICMLHAWVPNSKASVIRRNGLSSVTFEEYGVTILLYQTPFLVDLVNEKDGTILKLDSIYSGRAWIGMDMLIFNTWHWWTHTGRTQPWRYMQEGNRRYKDMNRLVAFYKGLTTWARWVNRYVDPSKTKVFFQGISPTHYEGKDWNQPMKSCPGETQPFFGLRYPSGMPMAWVVVKKVLSRINKPVFLLDVTTLSQYRKDAHPSAYNGNHKEDCSHWCLPGLPDAWNQLLYAALFS >Manes.02G015825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1482629:1485520:1 gene:Manes.02G015825.v8.1 transcript:Manes.02G015825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLLKYWRGGGGAVVVGPGDSGVNSRATTIVTAVSQNAVETDDDDDDDGPFFDLEFAVPDDDEGEEDGHDKKVSNGDVSEEENDDNDDEDGEDTDGETEFNFTLSSGSSNDRVDQNLTLSPSDDLFFKGKLVPIEPSSLVLNGLEPNSKPQFPVSFLKSATKLRVFMLGFKKSKMNATEKAAEVNESAASPSPKQQQQKQAEKGEEATKQNKFFTVKFKVEEVPIVSLFTRENSKSTKSSQKQNNTEDASAAASDEKRFSKDVMQRYLKKMKPLYIRVSKRYAEKLRFSGQLSLGSGLKASAPSPPPPPSTVASAQKTSPPKSTTSAEKSQPETESTEAPPASNAKSVRQGNLPAGLRIVCKHLGKSRSASSAVAAAPTAPVMSRRRDDSLLQQQDGIQSAILHCKRSFNASRDSDSTLLSRSVSDPSYEKSQELSRKSSGEGKFSLSENLTILK >Manes.02G058500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4565519:4570497:1 gene:Manes.02G058500.v8.1 transcript:Manes.02G058500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMVVLVIFLLLLCISSALLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPNFIKNQRARYGSFFKSHVLGCPTIVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKYMRGALLSLISPTMIREQLLPTIDEFMRTHLSNWDDKIIDIQQKTKEMALLSSLKQIAGSDSSSISQAFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARKNIVSMLRQLIKERRASKETHQDMLGCLMKSEENRYKLTDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPRVLQELRKEHLAIKAKKRPEDPINLIDLKSMSFTRAVIFETSRLATIVNGVLRKTTEEMELNGFVIPKGWRIYVYTREINYDPFLYPEPLSFNPWRWLDKSLESQNYLFIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDTLMKFPRVEAPNGLHIRVSSY >Manes.02G058500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4565519:4570497:1 gene:Manes.02G058500.v8.1 transcript:Manes.02G058500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMVVLVIFLLLLCISSALLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPNFIKNQRARYGSFFKSHVLGCPTIVSMDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKYMRGALLSLISPTMIREQLLPTIDEFMRTHLSNWDDKIIDIQQKTKEMALLSSLKQIAGSDSSSISQAFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARKNIVSMLRQLIKERRASKETHQDMLGCLMKSEENRYKLTDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPRVLQELRKEHLAIKAKKRPEDPINLIDLKSMSFTRAVIFETSRLATIVNGVLRKTTEEMELNGFVIPKGWRIYVYTREINYDPFLYPEPLSFNPWRWLDKSLESQNYLFIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDTLMKFPRVEAPNGLHIRVSSY >Manes.01G263400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41827622:41833687:1 gene:Manes.01G263400.v8.1 transcript:Manes.01G263400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDLSSPLLPPQPSDSPHLVLIVRDSDSGQRSSTAQSHNHHHHNNDIGSFNKNHSSHLNHIYPNPFEFLGSDGLSVPPPSTVDPFRNSTPNIEGAYEVLKLLLCLPIVVARLVLFGACLAVGFIATKLALEGWKDKHNPMPIWRSRLMWVTRMCARCILFSFGYQWIRRKGEPAPREIAPIVVSNHVSYIDPIFYFYELFPTIVAAESHDSIPFVGTIIRAMQVIYVDRFSHSSRKQAVNEIKRKASCDRFPRVLLFPEGTTTNGKVIISFQLGAFIPGQAIQPVVVRYPYVHFDQSWGDISLAKLLFRMFTQFHNFMEVEYLPIVSPLDNCKENPAHFAKRTSYAIANALNVVQTFHSYGDVMLYMKASESKQEKPSSYMVEMARVGSLFHISSLEAVDFLDKFLSMNPDPSGRVKFHDFLRAMRLKTCKLSEEIFGFIDVEKNGSITFKQFLYGSAHVMKQPFFRQSCELAFTNCSDGGDGQISKEQFGDIIRLSIPDLDNDEMHELFKLFGAEEDGRVNKDSFMSCLRKNPLLIALFSPCLVRKGSSEAGDMMLQEIV >Manes.01G223301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38988604:38989826:-1 gene:Manes.01G223301.v8.1 transcript:Manes.01G223301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESERCYYSVLGLHKQASATEIRHAYRKLALKWHPDRWMKDPVVAGQAKTQFQHIQEAYSVLSDKGKKRVYDAGMLGFLGDDDDEGFCDFMQEMILMMENVRSQPQGGDSVEDLQRLLMEMMDSDERPKLDIECRTFETPNKRTRFTNL >Manes.13G137500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34588313:34593781:1 gene:Manes.13G137500.v8.1 transcript:Manes.13G137500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYEGGRYDGNGADFDNDNYGSGGGGFSPQPRASSHSHGGADDHSDSKSQHGTRDYERESSKSREKEREKGRDKDRDRDRDRDREKDREKSKDRERDRERDRDKDRDRHHRDRDRHRDRSERRERGRDRDDDDYHRSRDYDRDRRRDFDREDRHRLLSKSPSRGRSERRSKSRSRSRSRSKSKRISGFDMAPPPSAMLAGAAAAAAAAGQIPGTSPAISGMFPNMFPLGAGQQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPVPTKVVCLTQVVTADELKDDEEYEDILEDMRTEGGKFGTLANVVIPRPKADGEPSPGVGKVFLDYADVEGATKARAGMNGRKFGGNQVVAVFYPENKFYQGEYDG >Manes.13G137500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34588313:34593781:1 gene:Manes.13G137500.v8.1 transcript:Manes.13G137500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYEGGRYDGNGADFDNDNYGSGGGGFSPQPRASSHSHGGADDHSDSKSQHGTRDYERESSKSREKEREKGRDKDRDRDRDRDREKDREKSKDRERDRERDRDKDRDRHHRDRDRHRDRSERRERGRDRDDDDYHRSRDYDRDRRRDFDREDRHRLLSKSPSRGRSERRSKSRSRSRSRSKSKRISGFDMAPPPSAMLAGAAAAAAAAGQIPGTSPAISGMFPNMFPLGAGQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPVPTKVVCLTQVVTADELKDDEEYEDILEDMRTEGGKFGTLANVVIPRPKADGEPSPGVGKVFLDYADVEGATKARAGMNGRKFGGNQVVAVFYPENKFYQGEYDG >Manes.13G137500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34588313:34593781:1 gene:Manes.13G137500.v8.1 transcript:Manes.13G137500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYEGGRYDGNGADFDNDNYGSGGGGFSPQPRASSHSHGGADDHSDSKSQHGTRDYERESSKSREKEREKGRDKDRDRDRDRDREKDREKSKDRERDRERDRDKDRDRHHRDRDRHRDRSERRERGRDRDDDDYHRSRDYDRDRRRDFDREDRHRLLSKSPSRGRSERRSKSRSRSRSRSKSKRISGFDMAPPPSAMLAGAAAAAAAAGQIPGTSPAISGMFPNMFPLGAGQQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPVPTKVVCLTQVVTADELKDDEEYEDILEDMRTEGGKFAFCSPTFCYKESGLTYTDRRLHYPLFIFA >Manes.13G137500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34588313:34593781:1 gene:Manes.13G137500.v8.1 transcript:Manes.13G137500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYEGGRYDGNGADFDNDNYGSGGGGFSPQPRASSHSHGGADDHSDSKSQHGTRDYERESSKSREKEREKGRDKDRDRDRDRDREKDREKSKDRERDRERDRDKDRDRHHRDRDRHRDRSERRERGRDRDDDDYHRSRDYDRDRRRDFDREDRHRLLSKSPSRGRSERRSKSRSRSRSRSKSKRISGFDMAPPPSAMLAGAAAAAAAAGQIPGTSPAISGMFPNMFPLGAGQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPVPTKVVCLTQVVTADELKDDEEYEDILEDMRTEGGKFAFCSPTFCYKESGLTYTDRRLHYPLFIFA >Manes.04G075600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27438409:27444244:-1 gene:Manes.04G075600.v8.1 transcript:Manes.04G075600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDAVKDCLDYEQKPAKKAEKLKQSRNSNEVNVEKYSGLRIRNQIVTPAELAERFSDIRFVRLPAIKNLLVGDTISGCWATVGVLTEKGNSRTSSVGKSYCIWKIGCLDENTISLFLFGDAYHQYSKEQAGTVFALFNCTVRKDNAGTGFSLSVYSPNQMLKVGTSIDYGVCKGKRKDGMSCTLVINKRQGIYCRYHKSKASERFSTVRTELKGGNLRTAFRDPVQSQGIYLVDPLADKTSIKKPTQPVKLLSVEGLKKALSNADKVTTNTFSQGIRFLNEIAGKMKPKNSTKVSVTAKQQITSLDKRKSSTMKVNPFVVMNNEPDAKRKKTDYGQASAEKSKNETGKMIELEIFGSDEDI >Manes.04G075600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27439359:27442866:-1 gene:Manes.04G075600.v8.1 transcript:Manes.04G075600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYDLTWAELELYVYSAETLGLIIGFGVFLLNSTFISLQRKYVLPPQKIDIFWLPKTLLTHSFFFFTIQLLQKFKMSSHQEDLDLLLSLQDRVLETPPGSPSNLHSHSPGYSSDESPRRRGHADLSMFKDAVKDCLDYEQKPAKKAEKLKQSRNSNEVNVEKYSGLRIRNQIVTPAELAERFSDIRFVRLPAIKNLLVGDTISGCWATVGVLTEKGNSRTSSVGKSYCIWKIGCLDENTISLFLFGDAYHQYSKEQAGTVFALFNCTVRKDNAGTGFSLSVYSPNQMLKVGTSIDYGVCKGKRKDGMSCTLVINKRQGIYCRYHKSKASERFSTVRTELKGGNLRTAFRDPVQSQGIYLVDPLADKTSIKKPTQPVKLLSVEGLKKALSNADKVTTNTFSQGIRFLNEIAGKMKPKNSTKVSVTAKQQITSLDKRKSSTMKVNPFVVMNNEPDAKRKKTDYGQASAEKSKNETGKMIELEIFGSDEDI >Manes.08G065800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9614757:9634874:1 gene:Manes.08G065800.v8.1 transcript:Manes.08G065800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPVALAPNSQLLCRFLVFSSRPLLHFGQKAQGSFKPVQIVGFRASSSCSSYLFPLNSTHGTMLKDLRCSKLGWQLNYDRICASAVSNGGSGGVGGLGGSGNGNSGGSGDSCGGSSGDGGNSWSFVSWYLNLLAKYPVLTKALTSAILTFIGDLVCQLVIDQVPSLDVKRTLLFTFLGLVLVGPTLHFWYLYLSKLVTLPGASGAFLRLLVDQFVFSPIFIGVFLSTLVTLEGRPEQVVPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANGIALIWNVILSFKAHKDVIPK >Manes.08G065800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9614738:9635076:1 gene:Manes.08G065800.v8.1 transcript:Manes.08G065800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPVALAPNSQLLCRFLVFSSRPLLHFGQKAQGSFKPVQIVGFRASSSCSSYLFPLNSTHGTMLKDLRCSKLGWQLNYDRICASAVSNGGSGGVGGLGGSGNGNSGGSGDSCGGSSGDGGNSWSFVSWYLNLLAKYPVLTKALTSAILTFIGDLVCQLVIDQVPSLDVKRTLLFTFLGLVLVGPTLHFWYLYLSKLVTLPGASGAFLRLLVDQFVFSPIFIGVFLSTLVTLEGRPEQVVPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANGIALIWNVILSFKAHKDVIPK >Manes.08G065800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9614738:9635076:1 gene:Manes.08G065800.v8.1 transcript:Manes.08G065800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPVALAPNSQLLCRFLVFSSRPLLHFGQKAQGSFKPVQIVGFRASSSCSSYLFPLNSTHGTMLKDLRCSKLGWQLNYDRICASAVSNGGSGGVGGLGGSGNGNSGGSGDSCGGSSGDGGNSWSFVSWYLNLLAKYPVLTKALTSAILTFIGDLVCQLVIDQVPSLDVKRTLLFTFLGLVLVGPTLHFWYLYLSKLVTLPGASGAFLRLLVDQFVFSPIFIGVFLSTLVTLEGRPEQVVPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANGIALIWNVILSFKAHKDVIPK >Manes.17G072500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27227536:27233266:1 gene:Manes.17G072500.v8.1 transcript:Manes.17G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRPDPTSRIYPEPESLSIDHFDRIPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVDNVVVRVDCVISDDDTSPSSSSEKSRSSSSSSGLSSIFRLVFGGIVKPFQALGQLLGPRRDMLNSYPSRNGFFPSPSSSSSSASSSSSLSVGNEDDGEMDQGGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDKCVILGAASVISNGHNKSCSVLQENGSDGACGDFNNHHNDGVNANGGGDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDADGQGILCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSEQSTAKQVSDASWVSNAFEEPYGTAAKMLVKRRTYCLEMNSF >Manes.12G065000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6414031:6418084:-1 gene:Manes.12G065000.v8.1 transcript:Manes.12G065000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEAEDQNSRFFIAVHVGAGYHAPSSEKALRSAMKRACLAAASILCKGSGRCLDAVTAAIQVLEDDPSTNAGRGSNLTEDGSVECDASIMDGDSGAFGAVGAVPGVRNAIQIAALLAKEQIIGSSLLGRIPPMFLVGEGAHIWAKSKGIDLPASVAEANKWLVTERAKAQWKKYKAMLANATAEIDISDKRIGCNSQETTKTSGVQAGNCPKGNTGGQLTMASSLEEDIIMDTVGVICVDTEGHIASGSSSGGIALKQASGRVGLGAMYGSGCWASSKGPFGAPFIVGCCVSGAGEYLMKGFAARECCISSSISQAGPASASMKVLRSVNTDNSQNFSDRSAGILLVQADAPAVAAGNLPQLKAVEIAASYTSLSFGIGYFGSSIERPKVSILRNKNQQGKSGIDHFEARIDLSAKFL >Manes.12G065000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6414037:6418084:-1 gene:Manes.12G065000.v8.1 transcript:Manes.12G065000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEAEDQNSRFFIAVHVGAGYHAPSSEKALRSAMKRACLAAASILCKGSGRCLDAVTAAIQVLEDDPSTNAGRGSNLTEDGSVECDASIMDGDSGAFGAVGAVPGVRNAIQIAALLAKEQIIGSSLLGRIPPMFLVGEGAHIWAKSKGIDLPASVAEANKWLVTERAKAQWKKYKAMLANATAEIDISDKRIGCNSQETTKTSELGVQAGNCPKGNTGGQLTMASSLEEDIIMDTVGVICVDTEGHIASGSSSGGIALKASGRVGLGAMYGSGCWASSKGPFGAPFIVGCCVSGAGEYLMKGFAARECCISSSISQAGPASASMKVLRSVNTDNSQNFSDRSAGILLVQADAPAVAAGNLPQLKAVEIAASYTSLSFGIGYFGSSIERPKVSILRNKNQQGKSGIDHFEARIDLSAKFL >Manes.12G065000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6414031:6418084:-1 gene:Manes.12G065000.v8.1 transcript:Manes.12G065000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEAEDQNSRFFIAVHVGAGYHAPSSEKALRSAMKRACLAAASILCKGSGRCLDAVTAAIQVLEDDPSTNAGRGSNLTEDGSVECDASIMDGDSGAFGAVGAVPGVRNAIQIAALLAKEQIIGSSLLGRIPPMFLVGEGAHIWAKSKGIDLPASVAEANKWLVTERAKAQWKKYKAMLANATAEIDISDKRIGCNSQETTKTSGVQAGNCPKGNTGGQLTMASSLEEDIIMDTVGVICVDTEGHIASGSSSGGIALKASGRVGLGAMYGSGCWASSKGPFGAPFIVGCCVSGAGEYLMKGFAARECCISSSISQAGPASASMKVLRSVNTDNSQNFSDRSAGILLVQADAPAVAAGNLPQLKAVEIAASYTSLSFGIGYFGSSIERPKVSILRNKNQQGKSGIDHFEARIDLSAKFL >Manes.04G029301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3506370:3509393:-1 gene:Manes.04G029301.v8.1 transcript:Manes.04G029301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEGLNNAINSTTVVAVLIATVTFAAIFTVPGQYVDDMNSISRGQSLGEANIAPKAPFIVFFIFDSIALFISLAVVVVQTSVVVIESKAKKQLMAIINKLMWIACALVSVAFLALAFIVVGKHEKWLAISVTIIGTSIMVTTLGTMCYWVIKHRIEAANMRSIRRTSLGSRSLSLSMSIMSDTDILNNEYKKMYAI >Manes.09G093500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28025432:28027154:1 gene:Manes.09G093500.v8.1 transcript:Manes.09G093500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDITYAQPAVFDITKFGAAPDGKADASQAIADAWKEACAAAGSSKILIPAEKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSWLVRDITSLNSKYFHVNVLGYDDFTFEGFIVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGIRIGSLGKYENEDPVSEITVSDCTLTGTTNGVRIKTWPAIFPNNATNIHFRDITMESVSNPIIVDKMYCPWNKCNKKQPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSKCIDVKPTIVGKIPEGCK >Manes.09G162700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35711282:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQNISKYLIKFNVFKMDIMGKLIKKMVFLSMCEKAKGTENMGRREYESSKCFLAFYILLIFIKTLYLLCASVVFFLLYLLSHTISWKIRQSLIVLCVAHFALLYILQLNLITQALEQKGSFAAVIMSQLGFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHANLFLVKCDVDLNRSKHQQGEKQSKMTKFCNGICLFFVLMCVIWTPMLMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVKIYRSPQMLLECT >Manes.09G162700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35711183:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLSFIAIGFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVKIYRSPQMLLECT >Manes.09G162700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35719433:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGCFDNGCDKIDEFFQNGVITVAFRVVRKFVMGRFLKGFALPILLLTAASLNWSLMSLVDLLAFLFAQYAAPKNGFRSQRQSLLSWCTLVFSSLSVLSHAIFHIVWAVKGDQWSISDAQWAKLVGFLSVHSWGLPYVFCFMAIQVSTIFIAVIGIYGSRFHLDQLKYSWLRHLFSSVTHIGSHLKVLCCLLLPVVQLVAASSHPSWLSLPFFVCSSVGLINWSTTSNFLALFQWWSYLLLYAGFNIILLYAYQLPVKFPGVIQSVAGFIGLYKISAKSEWSEICSCLSLLLFYIMLSWIRCDLTEMDFIISTRESSLTEQLLLPKHSFFIHESRSGVRHANVMLRGAVFRTFSVNFFAYGLPISLLALSCWSFHFASIFAFGLLVYVGYSMIALPSLFHLHHLSSLLLIFILLWAASTYIFSVAFTFLNKKTWKDMEIWENVGLWHYPIPGVYLLAQFCLGVLVALGNLVNNSIFLYLSDRDRQSSSEDFRVEESEETKVLIIATISWGLRKSSRAIALVLIFFNALKPGIIHAVYMVFFLLYLLSHTISWKIRQSLIVLCVAHFALLYILQLNLITQALEQKGSFAAVIMSQLGFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHANLFLVKCDVDLNRSKHQQGEKQSKMTKFCNGICLFFVLMCVIWTPMLMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDS >Manes.09G162700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35719433:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGCFDNGCDKIDEFFQNGVITVAFRVVRKFVMGRFLKGFALPILLLTAASLNWSLMSLVDLLAFLFAQYAAPKNGFRSQRQSLLSWCTLVFSSLSVLSHAIFHIVWAVKGDQWSISDAQWAKLVGFLSVHSWGLPYVFCFMAIQVSTIFIAVIGIYGSRFHLDQLKYSWLRHLFSSVTHIGSHLKVLCCLLLPVVQLVAASSHPSWLSLPFFVCSSVGLINWSTTSNFLALFQWWSYLLLYAGFNIILLYAYQLPVKFPGVIQSVAGFIGLYKISAKSEWSEICSCLSLLLFYIMLSWIRCDLTEMDFIISTRESSLTEQLLLPKHSFFIHESRSGVRHANVMLRGAVFRTFSVNFFAYGLPISLLALSCWSFHFASIFAFGLLVYVGYSMIALPSLFHLHHLSSLLLIFILLWAASTYIFSVAFTFLNKKTWKDMEIWENVGLWHYPIPGVYLLAQFCLGVLVALGNLVNNSIFLYLSDRDRQSSSEDFRVEESEETKVLIIATISWGLRKSSRAIALVLIFFNALKPGIIHAVYMVFFLLYLLSHTISWKIRQSLIVLCVAHFALLYILQLNLITQALEQKGSFAAVIMSQLGFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHANLFLVKCDVDLNRSKHQQGEKQSKMTKFCNGICLFFVLMCVIWTPMLMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVKIYRSPQMLLECT >Manes.09G162700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35719433:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGCFDNGCDKIDEFFQNGVITVAFRVVRKFVMGRFLKGFALPILLLTAASLNWSLMSLVDLLAFLFAQYAAPKNGFRSQRQSLLSWCTLVFSSLSVLSHAIFHIVWAVKGDQWSISDAQWAKLVGFLSVHSWGLPYVFCFMAIQVSTIFIAVIGIYGSRFHLDQLKYSWLRHLFSSVTHIGSHLKVLCCLLLPVVQLVAASSHPSWLSLPFFVCSSVGLINWSTTSNFLALFQWWSYLLLYAGFNIILLYAYQLPVKFPGVIQSVAGFIGLYKISAKSEWSEICSCLSLLLFYIMLSWIRCDLTEMDFIISTRESSLTEQLLLPKHSFFIHESRSGVRHANVMLRGAVFRTFSVNFFAYGLPISLLALSCWSFHFASIFAFGLLVYVGYSMIALPSLFHLHHLSSLLLIFILLWAASTYIFSVAFTFLNKKTWKDMEIWENVGLWHYPIPGVYLLAQFCLGVLVALGNLVNNSIFLYLSDRDRQSSSEDFRVEESEETKVLIIATISWGLRKSSRAIALVLIFFNALKPGIIHAVYSFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVKIYRSPQMLLECT >Manes.09G162700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35719433:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGCFDNGCDKIDEFFQNGVITVAFRVVRKFVMGRFLKGFALPILLLTAASLNWSLMSLVDLLAFLFAQYAAPKNGFRSQRQSLLSWCTLVFSSLSVLSHAIFHIVWAVKGDQWSISDAQWAKLVGFLSVHSWGLPYVFCFMAIQVSTIFIAVIGIYGSRFHLDQLKYSWLRHLFSSVTHIGSHLKVLCCLLLPVVQLVAASSHPSWLSLPFFVCSSVGLINWSTTSNFLALFQWWSYLLLYAGFNIILLYAYQLPVKFPGVIQSVAGFIGLYKISAKSEWSEICSCLSLLLFYIMLSWIRCDLTEMDFIISTRESSLTEQLLLPKHSFFIHESRSGVRHANVMLRGAVFRTFSVNFFAYGLPISLLALSCWSFHFASIFAFGLLVYVGYSMIALPSLFHLHHLSSLLLIFILLWAASTYIFSVAFTFLNKKTWKDMEIWENVGLWHYPIPGVYLLAQFCLGVLVALGNLVNNSIFLYLSDRDRQSSSEDFRVEESEETKVLIIATISWGLRKSSRAIALVLIFFNALKPGIIHAVYMVFFLLYLLSHTISWKIRQSLIVLCVAHFALLYILQLNLITQALEQKGSFAAVIMSQLGFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVKIYRSPQMLLECT >Manes.09G162700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35711183:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLSFIAIGFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHANLFLVKCDVDLNRSKHQQGEKQSKMTKFCNGICLFFVLMCVIWTPMLMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVKIYRSPQMLLECT >Manes.09G162700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35711184:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMGKLIKKMVFLSMCEKAKGTENMGRREYESSKCFLAFYILLIFIKTLYLLCASVVFFLLYLLSHTISWKIRQSLIVLCVAHFALLYILQLNLITQALEQKGSFAAVIMSQLGFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVKIYRSPQMLLECT >Manes.09G162700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35695202:35719433:-1 gene:Manes.09G162700.v8.1 transcript:Manes.09G162700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGCFDNGCDKIDEFFQNGVITVAFRVVRKFVMGRFLKGFALPILLLTAASLNWSLMSLVDLLAFLFAQYAAPKNGFRSQRQSLLSWCTLVFSSLSVLSHAIFHIVWAVKGDQWSISDAQWAKLVGFLSVHSWGLPYVFCFMAIQVSTIFIAVIGIYGSRFHLDQLKYSWLRHLFSSVTHIGSHLKVLCCLLLPVVQLVAASSHPSWLSLPFFVCSSVGLINWSTTSNFLALFQWWSYLLLYAGFNIILLYAYQLPVKFPGVIQSVAGFIGLYKISAKSEWSEICSCLSLLLFYIMLSWIRCDLTEMDFIISTRESSLTEQLLLPKHSFFIHESRSGVRHANVMLRGAVFRTFSVNFFAYGLPISLLALSCWSFHFASIFAFGLLVYVGYSMIALPSLFHLHHLSSLLLIFILLWAASTYIFSVAFTFLNKKTWKDMEIWENVGLWHYPIPGVYLLAQFCLGVLVALGNLVNNSIFLYLSDRDRQSSSEDFRVEESEETKVLIIATISWGLRKSSRAIALVLIFFNALKPGIIHAVYSFLNQASCGDFLRIAALACFCAVHNHGFEMLSSFSAILQNTPCHPIGFRILRAGLIKSVLLSNYTSPSKQSHVYNSSREEKIVSYLRATGQKFLSVYRSYGTYIAFLTILPTVYLVQPNFTSFGYLFFLQLWMNGRQLLGRTKRRLWLPLKVYAVLVFILIYGCSVCFSFQKWLSRMVDVSCAFGYKSKASMLENVWQSLAVLIVMQLYSYERRHNTFPLSDQDNAPEKRSFSFVKRLLILHSEKILHLALFYAALSPVSAFGFLYIIGLAVCSTLPKSSQIPSKLFLVYSGFLVMFEYLFQLWGDNAEMFPGQENFSLSLFLGLQFYKPGFLGLESGLRGKVFVIVSCILRYNVFHWLERTPYNFGDGKTWEEPHLFSSREETPRDIPNHTSKSKHPIGARHLLEKQKEGRSHSWPSFRNILSQGPDAIFSDIGGFEGSNMGKYLNNCGSSKESHKWNRKRVHILRKERLYLQMTTLKACMKFWIENVFNLFGLEINMVALLLASFAVLNSISLLYVASLAACILLPRHVMRKLWPIFVFLFGSVIILEYLAIWLNQTSGKQYAAGDTQIPCNACWRNSHLYFDYCKKCWLGIIVDDPRMLISYYVVFMLSCFKYRADHLSSISASYKYQKLKSRYKNASLNDLLFERKFLWTLLDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFVRMRLEILKKKNEIFKFLRLYNFAVIVLSLAYQSPFVGDFCKGECQMIDYISEVVGFYKYDYGFRITSRSALVEIIIFMLVALQSYMFSAPEFDYVSEYLEAEQIGAIVQEEEKRAAWKTSQLQQIQKSEEEKRLRNLQVEKMKSDILNLQIQLQSINVSQSQGNMWRNSSPRLDVENSTTGKGENDFKQQDVELSPDLFFSFGVNESPRNENSISPLAVHSRKHSMEFLNEITELSDKAGSGEFLDSHERDGATLQAKTRPLVSAVHLFSDGVSQMQSLSNMAVSNIVSYLNIKREEPDLTGDSSDEEVFFEIENKNIGCEPVELTFSMQSDDESTTSDDACLQIGMILRYMWAQMRSNNDIVCYCCFVLIFMWNFSLFSMVYPAILFLYALCVSTGPNNMFWVIMLIYTEMAILVQYIYQIIIQHCGLTFKMSLLQELGFPAHKIMSSFVISNLPLFVVYLFTLLQTSITRDSEWAAIAESFGKRRNKCQEQAVKNCRMRIERLLFFAKNFMKQLVRSLYRYWKSLTQGAETPPYFVQLSMKVDLWPQDGIQPEGIKSGINKLLKIMHDKRCQEMSSNHFHSISVIRIHSIERSLENENDNVALAVLEVLYAASFKQCSQAGFYQSLTPAADVAYEILEAQRAGVIEEIGFPYPILSVIGGGKKHIDLYAYTFCADLAVFFLVAIFYQSVMKNNSEFLEVYQLEDQFPKEFVFILMGIFFLIVLDRIIYLCSFATGKVIFYLFNFVLFTCSVTKYAWNIELRNRHAARFALCAIYFTKAISLSLQAIQIRFGIPHKSTLYRQFLTSSISQVNYFGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHANLFLVKCDVDLNRSKHQQGEKQSKMTKFCNGICLFFVLMCVIWTPMLMYSSGNPTNIANPIKEASIRIDIRTSSGRLTLFETTLCEKISWDEISSHNDMDPGGYLSAYDEKDIQLICCQADASTLFLVPPVVLAGYTNSLRWSMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYLEVMAVLNGSTNSFRIYNVYPRYFRVTGSGEVRLLEELVDLVSGDLVLNSGNPKWWSFHDINVSSGCGDFAGPTAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPFENLPSCDRLLAICEDIYAARAEGELEVEEVLYWTLVKIYRSPQMLLECT >Manes.12G153300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36100833:36103532:-1 gene:Manes.12G153300.v8.1 transcript:Manes.12G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPTTTSRKNFRRSKSKRLLVIIIVALLLLSLIGVVLFFTLRHKISDSHSPKSSSSSKVPVAAIQLACQATQHPETCQTSLYQSNIVPPNPTPVQIIQSALWVSSQNLSIAQSMLKSLLASAAGNQNITNVAKSCLEILGFSQYRSSVSNNTLPFGKSKNVRAWMSAAVAYQYDCYGGLGYHGGNSQEINKTRAFLEDLITLSSNALSMIVSYDLFGNETRSWRPPKTERDGFWEDPKLGGGIGFRSEFPSNLTVDATVCKNVSSGCYGTVQEAVNAAPNATERRFVIHIKEGVYEEIVRIPFEKKNVVFLGDGMGKTIITGSLSVAQPGVTTYESATVGVLGDGFMASGITFQNAAGPPTYQAVAFRSDSDLSYIENCEFLGNQDTLYAHSLRQFYKSCRIQGNVDFIFGNSAAVFQDCQILIVPRQENPEKGEQNTVTAHGRTDPAQSTGFVFQNCSISGTAEYMALYNSNPKVHKNYLGRPWKEYSRVVYINCSFEALISAEGWMPWNGDFALTTLYYGEYKNSGPGSNLSERVTWSSQIPAEHVNTYSVQSFIQGDEWMPTSASS >Manes.02G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6885644:6888985:-1 gene:Manes.02G087000.v8.1 transcript:Manes.02G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESKRKAPIWVDRKPPTLVDLCVNVAISNVRYLGDVGETDLHLLDRILPHCTLDQLMHVEKSTVGRDLSPVTDKLWKRFYERQFGETNTNLAIERMRWCKASFRWKDLYDAKLKVIAKEEDEAVARLRQSYKKEDAKKQSKQIRLCTKVPPSSSRKNFYGSGPGYNLSHVKSNLMKKSKVEFLKSREVKNIAAMKKISVQRNNSASSVTKTGGFPGNNSASSSRETKSFERRF >Manes.02G087000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6885645:6888985:-1 gene:Manes.02G087000.v8.1 transcript:Manes.02G087000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESKRKAPIWVDRKPPTLVDLCVNVAISNVRYLGDVGETDLHLLDRILPHCTLDQLMHVEKSTVGRDLSPVTDKLWKRFYERQFGETNTNLAIERMRWCKASFRWKDLYDAKLKVIAKEEDEAVARLRQSYKKEDAKKQSKQIRLCTKVPPSSSRKNFYGSGPGYNLSHVKSNLMKKSKVEFLKSREVKNIAAMKKISVQRNNSASSVTKTGGFPGNNSASSSRETKSFERRF >Manes.02G087000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6885645:6888985:-1 gene:Manes.02G087000.v8.1 transcript:Manes.02G087000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESKRKAPIWVDRKPPTLVDLCVNVAISNVRYLGDVGETDLHLLDRILPHCTLDQLMHVEKSTGRDLSPVTDKLWKRFYERQFGETNTNLAIERMRWCKASFRWKDLYDAKLKVIAKEEDEAVARLRQSYKKEDAKKQSKQIRLCTKVPPSSSRKNFYGSGPGYNLSHVKSNLMKKSKVEFLKSREVKNIAAMKKISVQRNNSASSVTKTGGFPGNNSASSSRETKSFERRF >Manes.09G037901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7134843:7135580:1 gene:Manes.09G037901.v8.1 transcript:Manes.09G037901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKPKANSCESSNTASIRSASLGDLLRMKQPDVYFCSRCSKGFPTSQSLGGHQNAHRKERNAERRQILEYRKLKKLASGIPIFSSQPPLPVVPPPPPTYFSNVHAAVRLHMVDPSHVSFSRLDFHCHVGQSFAPPPPPPRPMLPGFRVAERDRGFDLNTEFLGENPCGVRLENDNQRGLLPWKLDMCPYMDSNEVMVEMGAPLGEVSSSLTNAIDAAEADSFIMKAKETDEVILERELDLALKL >Manes.02G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7513483:7519190:1 gene:Manes.02G096500.v8.1 transcript:Manes.02G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAFHFSPVLPRYSMQSYTISPFRHCYSRYQFITSGQFLFQANTSNSNRDAHLIFSFSKKQQQLWISDDEDDYYDGEEEEEEESNILSLSEKPERNMTLLDDYELEELDYASDPNHRSGYVAVLGKPNVGKSTLSNQMIGQKLSIVTDKPQTTRHRILGICSGPQYQMILYDTPGVIQKQMHKLDSMMMKNVRSAAINADCVLVIVDASKAPEKIDEVWEEGAGSLKHKPPTLLVLNKKDLIKPGEIAKKLQWYEKFTDVDEVIPVSAKYGHGVEDVKDWILSKLPTGPAYYPKDIVSEHPERFFIAEIIREKVFMQFRNEVPYACQVNVVSYKSRPTAKDFIQVEIVVEKNSQKIIIIGKEGRALKLLATAARLDIEDFLQKKVYLEIEVKVKENWRQDEGLLNYYGYGGKIRAL >Manes.09G060644.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9799897:9801813:1 gene:Manes.09G060644.v8.1 transcript:Manes.09G060644.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSLSRLLLSSPAAATTTSTKSLLSPISLSSKLSFSSAPLKYQSKSLKLKRFSTKTTTIPTISATISVGDKLPDATLSYLDADGELQTTTISALTSGKKSIFFAVPGAFTPTCSQKHLPGFVEKSAELKAKGVDTIACISVNDAFVMKAWKENLGIKDEVLLLSDGNGEFTKKIGCELDLSDKPVGLGVRSRRYALLAEDGIVKVLNLEEGGAFTFSGAEDMLKVL >Manes.09G177200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36761663:36765155:-1 gene:Manes.09G177200.v8.1 transcript:Manes.09G177200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDMIENFELPPSQKTGEGRRSAGNTSAVDSSGSNSGQHDYSREFDAIDRISNSFMTEESSVDATSEKELGNEYFKQKKFKEAIECYSRSIALSPTAVAYANRAMAYLKIRKFQEAENDCTEALNLDDRYIKAYSRRATARKELGRFKESIEDSEFALRLEPNNQEIKKQYTEVKSLYEKEILHKVSGAVRSSGKGMQKGERLDTKINGHDVNSVSSGTQRTGVSTGQKDKNKGSVGEVILKKSTSVEEIKNASSRAGSRTENQANVSYTDATSSSHEESVQIYHRKEKQELKASIQELASRAASRAMAEAAKNITPPNSAYQFEVVWRGFSGDRALQTRLLKATSPSALPQIFKNALTASMLIDIIKCVASFFVDDINLAVKYLENLVKVPRFNMLIMCLSAAEKADLVKTWDEVFCGEAAPIEHAEILDDLRSKYCLK >Manes.09G177200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36761634:36766385:-1 gene:Manes.09G177200.v8.1 transcript:Manes.09G177200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPSKHERDQALDFQGFLNNLQDWELSLKHGDKKMKPPASDKKTGEGRRSAGNTSAVDSSGSNSGQHDYSREFDAIDRISNSFMTEESSVDATSEKELGNEYFKQKKFKEAIECYSRSIALSPTAVAYANRAMAYLKIRKFQEAENDCTEALNLDDRYIKAYSRRATARKELGRFKESIEDSEFALRLEPNNQEIKKQYTEVKSLYEKEILHKVSGAVRSSGKGMQKGERLDTKINGHDVNSVSSGTQRTGVSTGQKDKNKGSVGEVILKKSTSVEEIKNASSRAGSRTENQANVSYTDATSSSHEESVQIYHRKEKQELKASIQELASRAASRAMAEAAKNITPPNSAYQFEVVWRGFSGDRALQTRLLKATSPSALPQIFKNALTASMLIDIIKCVASFFVDDINLAVKYLENLVKVPRFNMLIMCLSAAEKADLVKTWDEVFCGEAAPIEHAEILDDLRSKYCLK >Manes.06G054200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17922663:17924169:-1 gene:Manes.06G054200.v8.1 transcript:Manes.06G054200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAQTDHEKAEDHQDCQSSGKYKGKYAAFSSSINDLATSFTRLNGVSEPRRDERRVSSSSSSSMEMRGFRDNRRRRGTVKWYSNQRGYGFITPKGSGADVFVHYSSLKSDGCIHLWPGTSVEYESVVGVSDAKMQAINVTAPGGKLLQDSRKVGVGEATAATSSSSSSSGVGVGPCFNCGEWGHIAKNCCIVAQSHQGGNGWVADQLLHTPGESGGGWFGFAWCCCCGKLGHLASDCP >Manes.06G054200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17922664:17924939:-1 gene:Manes.06G054200.v8.1 transcript:Manes.06G054200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFGGWMGGSATLHKQKSIKFDASQYELFGENVEYEIGGLEEAMDNAQTDHEKAEDHQDCQSSGKYKGKYAAFSSSINDLATSFTRLNGVSEPRRDERRVSSSSSSSMEMRGFRDNRRRRGTVKWYSNQRGYGFITPKGSGADVFVHYSSLKSDGCIHLWPGTSVEYESVVGVSDAKMQAINVTAPGGKLLQDSRKVGVGEATAATSSSSSSSGVGVGPCFNCGEWGHIAKNCCIVAQSHQGGNGWVADQLLHTPGESGGGWFGFAWCCCCGKLGHLASDCP >Manes.10G146300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31340824:31347801:1 gene:Manes.10G146300.v8.1 transcript:Manes.10G146300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQAPSSLFVNDGSFMERFKQLQQEKGKDHEKDKAVEMESKPKTIVSGALAPKPSPGKITMQFKSSSASKTPQPPSGGKLAFSLKQKSKIVAPPVKLGEDEEDEDEADAGNVSGDTSAKRQKLGQSDASEQSKRVDVAPPSPSDPTVKRVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDKTCSDYKYYEFQLAEEERALSQARDSEIPPSGATSTSASKSTSSSQKLNQQQPNYQIPASALYEATDEPISATSASQSTSAGESSGPEGTDPIAMMEFYMKKAAQEERRRQPKHSKDEMPPPPSLQGKRGHHMGDYIPPEELEKFLASCNDAAAQKAAREAIERSKIQADNVGHKLLSKMGWREGEGLGSSRNGMADPIMAGNVKKDNLGVGAQQPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Manes.10G146300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31340824:31347801:1 gene:Manes.10G146300.v8.1 transcript:Manes.10G146300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQAPSSLFVNDGSFMERFKQLQQEKGKDHEKDKAVEMESKPKTIVSGALAPKPSPGKITMQFKSSSASKTPQPPSGGKLAFSLKQKSKIVAPPVKLGEDEEDEDEADAGNVSGDTSAKRQKLGQSDASEQSKRVDVAPPSPSDPTVKRVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDKTCSDYKYYEFQLAEEERALSQARDSEIPPSGATSTSASKSTSSSQKLNQQQPNYQIPASALYEATDEPISATSASQSTSAAAGESSGPEGTDPIAMMEFYMKKAAQEERRRQPKHSKDEMPPPPSLQGKRGHHMGDYIPPEELEKFLASCNDAAAQKAAREAIERSKIQADNVGHKLLSKMGWREGEGLGSSRNGMADPIMAGNVKKDNLGVGAQQPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Manes.10G146300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31340824:31347801:1 gene:Manes.10G146300.v8.1 transcript:Manes.10G146300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQAPSSLFVNDGSFMERFKQLQQEKGKDHEKDKAVEMESKPKTIVSGALAPKPSPGKITMQFKSSSASKTPQPPSGGKLAFSLKQKSKIVAPPVKLGEDEEDEDEADAGNVSGDTSAKRQKLGQSDASEQSKRVDVAPPSPSDPTVKRVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDKTCSDYKYYEFQLAEEERALSQARDSEIPPSGATSTSASKSTSSSQKLNQQQPNYQIPASALYEATDEPISATSASQSTSAAAGESSGPEGTDPIAMMEFYMKKAAQEERRRQPKHSKDEMPPPPSLQGKRGHHMGDYIPPEELEKFLASCNDAAAQKAAREAIERSKIQADNVGHKLLSKMGWREGEGLGSSRNGMADPIMAGNVKKDNLGVGAQQPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Manes.10G146300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31340824:31347801:1 gene:Manes.10G146300.v8.1 transcript:Manes.10G146300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQAPSSLFVNDGSFMERFKQLQQEKGKDHEKDKAVEMESKPKTIVSGALAPKPSPGKITMQFKSSSASKTPQPPSGGKLAFSLKQKSKIVAPPVKLGEDEEDEDEADAGNVSGDTSAKRQKLGQSDASEQSKRVDVAPPSPSDPTVKRVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDKTCSDYKYYEFQLAEEERALSQARDSEIPPSGATSTSASKSTSSSQKLNQQQPNYQIPASALYEATDEPISATSASQSTSAAAGESSGPEGTDPIAMMEFYMKKAAQEERRRQPKHSKDEMPPPPSLQGKRGHHMGDYIPPEELEKFLASCNDAAAQKAAREAIERSKIQADNVGHKLLSKMGWREGSLSLSLSLSLFPYMSYVNGTPSSHLHACLFTSYADMFPQVHVCALNLSSSC >Manes.10G146300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31340824:31347801:1 gene:Manes.10G146300.v8.1 transcript:Manes.10G146300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQAPSSLFVNDGSFMERFKQLQQEKGKDHEKDKAVEMESKPKTIVSGALAPKPSPGKITMQFKSSSASKTPQPPSGGKLAFSLKQKSKIVAPPVKLGEDEEDEDEADAGNVSGDTSAKRQKLGQSDASEQSKRVDVAPPSPSDPTVKRVADKLASFVAKNGRQFEHITRQKNPGDTPFKFLFDKTCSDYKYYEFQLAEEERALSQARDSEIPPSGATSTSASKSTSSSQKLNQQQPNYQIPASALYEATDEPISATSASQSTSAAAGESSGPEGTDPIAMMEFYMKKAAQEERRRQPKHSKDEMPPPPSLQGKRGHHMGDYIPPEELEKFLASCNDAAAQKAAREAIERSKIQADNVGHKLLSKMGWREGEGLGSSRNGMADPIMAGNVKKDNLGVGAQQPGEVTPDDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >Manes.01G209900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQYKGSWKIDTAACRTSCSDNMVSCILQRAF >Manes.01G209900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQYKGSWKIDTAACRTSCSDNMVSCILQRAF >Manes.01G209900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKVSVVFGEPEWMQLTTIPLRLKNLQKKKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKVSVVFGEPEWMQLTTIPLRLKNLQKKKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQYKGSWKIDTAACRTSCSDNMVSCILQRAF >Manes.01G209900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKVSVVFGEPEWMQLTTIPLRLKNLQKKKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQMCICV >Manes.01G209900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQYKGSWKIDTAACRTSCSDNMVSCILQRAF >Manes.01G209900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQIINVYNQKYESGAAFWPDVHMRLIIGLLIAQLLLLGLISTREAGKLTLLLVALPVLTIWFHVFCKGRFESAFVKFPLQDAMVRDTLERATDPHLNLKLYLQDAYVHPVFKDGAVERPEILSEEEDNSLVPTTRNSQKSHSKYSSDVSSGVGS >Manes.01G209900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38113725:38123658:1 gene:Manes.01G209900.v8.1 transcript:Manes.01G209900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSASINLLSAIFFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRASVSRFVNMDFRTYIRFLNWMPAAWRMPELELIDHAGLDSVVYIRIYLMGLKIFVPITILAFAVLVPVNWTGGTLERFKDLTFSDIDKLSISNIPPGSQRFCAHVLMSYVFTFWTLYVIYKEYKTTAVMRLQFLASESRRPDQFTVLVRNVPPDPDESVAEHVEHFFCVNHPDHYLSHQVVYNANNLANLVERKKTLLNWLTYHQNKYERNPSSKPTTKTGFCGLWGTRVDAIDYYTTEIEKLTEEEDKERERVINDPNAVVPAAFVSFRTRWGAAICAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLLVAVALFFLIFFFMIPIAFVQSLASIEGIEKVFPFLKPIIEMKSIKSLVQGILPGIALKIFLVLLPIILMIMSKIEGFTSLSSLDRRSAVKYHLFLLVNVFVGSIVTGTAMDQLKTFLSQSATEIPKIIGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIMFHLKNTFLVKTDQDREQAMDPGCLDFATSEPRVQFYFLLGLVYAAVTPLLLPFIIVFFAFSYTVFRHQYKGSWKIDTAACRTSCSDNMVSCILQRAF >Manes.03G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4777119:4781637:1 gene:Manes.03G051200.v8.1 transcript:Manes.03G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSKIGSTNTDEDGNSNNAQLLRDIEAISKALYLQKTPQKPLISPSPIRSKSVEKPRLSESKSSLSPRTVDTRATYGNKKSSSVWNWKKPLKALAHIRSQKFNACFFLHVHSIEGLPPGFDDMNFSVHWKRKDEVLQTRPSRVLKGIAESDETLMYNCCVYGSRSGPNNSAKYEEKIFLIYASVIGLPGVDMGKHWVDLTRLLPLTLEELEGEKSTGKWTTSFKLTGKAKGATLNVSLGYSIVRDNFIETGRNMNVSELVNLMHNRSCMEDKITGVVQTNNNGMLQRVGSVPCDSKHCSHLSSQSVDVKICDEVSRNLGLELSKSINSLYKKLNEVKLHSSEDIHTIPENLQPPKLKTGLEFELDEDTSGDDYDSIEFTIIEKGIEMPRKEDLESEESDVQLRDGSRIETIDVEEIVKDDDIELDGKTMFHLEDNFCDNYVDVVLVDDCKHEGSSFHKKGSSMEDLELAINSFLTSQSEILESPLAIGDFLEQESYMDTKSNYKAGKSVKRSLSLDEFTESVANDFLKNLGIEHNPFGPASDGDPESPRERLLREFEEEAIASGSFLIDYDKEVKQEEFGCIASMRSDCGDPPEDFGLDMAIQATEEEHQRASQLFSRRRKAKLLEDLETETLMRQWGLNEKSFQRSPRYCSDGFGSPVELLPEERVKLPPLGDGFGPSVQTKDGGYLRSMNPSIFRNSKHVGSLIMQVSHIVVLPAEMGSDIIEILQYLASIGIERLSQQANKLMPLEDITGKTLQQIAHDAALHVRQTSLHHESLFSTPMASHHATLEYLASLAMDKFESMLIEGLKIQSRMSEEAPSSICAKAIETMLDFEGRSADLDLSLSSEGAADLQALDPRDSGNDIGRLLDLSITLEEWLRLDAGVIGDGEQVSERILKILAAHHARLMDFSYGKLTRDISWDEACGRSHGLLGNNLTLALKVLLRDPFRNYEPVGASMLALVQVERSSFHLNPKIDGITSERSNNEKEDQERIEGEDNCGNRLEEEKEEESSQGFKITEVHLSGLNAEPGKTEHWGTKRQQQYGTRWLLASGMTKSSNQSFSQSSAIVVANPRMTRKAQNDDFLWSISSHANDTESCWKDFSGFVPHIRNPNVIFPK >Manes.10G107301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25777483:25779457:1 gene:Manes.10G107301.v8.1 transcript:Manes.10G107301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFYEDFYGFRVLKSHVSDLNRIYCIEGKFWENCRFQRANTIAVVLGELGSALSLKEKTWMSMTPDELTTIIQSVEDALKAGFKLDCLKPVVEKAKKVLCSFNIRCRLEALQKEKSSLETQLQTVISQLQSLELDRTPKDLI >Manes.01G066666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26733772:26739328:-1 gene:Manes.01G066666.v8.1 transcript:Manes.01G066666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAADEEVESHAPSEAAAAAAPAPAAAPPPAAAGGPGQDALFQQIAELIRRVTQNVPEVPPPPPVVAQAQPRPPIEKLRKYGATEFRGKKEDDPSAAEFWLESTERVLQQLQCSPAESLMCAVSLLKDEAYRWWTTLTQMVRRERQTWEFFLAEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYTTEIVPTEEERCKRFEQGLHADIKMYLTAMHIRELSVLVETAHSLERIKEEEQSRRQKGQQKRSQSQYQGQSSASQTSSKRQREFQQTGQRGPPRQSQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPMQTERSMPTGSRGRGRGRGEVSSAQSHRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAGEGTSKGKEIARD >Manes.07G018800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2131862:2133409:1 gene:Manes.07G018800.v8.1 transcript:Manes.07G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQLVFVPWPSVGHLVSEMEAAKLLLTRHHHLSITVIILNHAFVDSKVHNYIESQQASSLSISNRLQIIYLPKDETELSSFSSFFERQKPHVKEVVLKVTQSESVVDSPKLVSFVVDMLCTQMIDVATEFGVPSYVFFPASAASLGLMLHVQKIHDEDKFDPIEFKNSKAELQVPSLINPLPSTVMPAEMLSKEMFLFILSNARRYTEAKGIMVNTFMELESYAIESLKMPPVYPVGPILNVESDGRSINQEIMQWLDDQPPSSVVFLCFGSMGCFSKDQVKEIASALERSGHRFLWSLRPPPSGIGASSREDPQQVLPKEFLDRTAGMGKVIGWAPQVTVLAHPAVGGFVSHCGWNSVLESIWYGVPIATWPMYAEQQFNAFEMVMELGLAMEIKIDYRNDSGEIVKCNEIERGIGCLMEHDSNIRKKVKEMSEKSKRALMDGGSSYFYLGDLIKEIMDN >Manes.12G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1057584:1063270:-1 gene:Manes.12G010400.v8.1 transcript:Manes.12G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPMQPPQNYSSSAPYVGSGAPSSMYMGVPPYGSSLFNGSSIPPYDVAFPGGSAYHYNYSSRLSAGSPYRPLHMSGPPPYSGGSMMGSGGMYGIPPLMDRYGLGMPMGPAAMGPRPGFFPDDKSLKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQAAKSEKNSKQKMPDGSWKCEKCNNINYPFRTKCNRQNCGAEKPDQSKKSPSPAEDENDQ >Manes.12G010400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1057584:1063270:-1 gene:Manes.12G010400.v8.1 transcript:Manes.12G010400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPMQPPQNYSSSAPYVGSGAPSSMYMGVPPYGSSLFNGSSIPPYDVAFPGGSAYHYNYSSRLSAGSPYRPLHMSGPPPYSGGSMMGSGGMYGIPPLMDRYGLGMPMGPAAMGPRPGFFPDDKSLKKGADATRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQVCCKVREKFQTKNA >Manes.02G055700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4405801:4407232:-1 gene:Manes.02G055700.v8.1 transcript:Manes.02G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINNQVRVLEICQVTSFSDSPDSATELSLPLTFFDTFWFKFPPTERIFFYQLTDATPAFFHSVILPKLKQSLSLTLLHFLPLAGKLTWPPHAAKPFILYAPNNAIPISIAESDADFHHLSDTIHEADESHPYIPELPVSDSCADIISLQITLFPNQGFCIGISSHHAILDGKSVTMFIRAWAHICKHSQNEKNPTLLPELTPIFDRTMIQDPEGLDMVYLNNWLSIFKSIGFDGNPRTLKLLPAFNGLPANLVRGTFELSREALKKLRQKVLSQLERVDPEQTKTIHLSTFVLTLAYAVVSIVKAKGLERNTKVVFAFTADCRARLEPPLPANYFGNCVSGYNDATEAEVLMEENGIAFVVQRLSKLIKGLEKGALEGAKNKLESFMEKKPDSLEVIGVAGSPRFQVYESDFGWGRPKMVEVTSIDRTGSISMAESKDESGGVEIGIVLKQHEMEKFDSLFINGLKE >Manes.05G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15460513:15465729:-1 gene:Manes.05G133200.v8.1 transcript:Manes.05G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHLFLLPHVHSFFILFFLLIFVPTSYCEPDEDFSECLAPFSCGNLSDDLYYPFWSDSRSQICSLEGFKLQCQEGRYPIISINNEDYYVKWVDLPQRNMTIARVDLSEDVCPRDDIANTNMTGTPFSYVPQLENITLFYDCQNQITMVPVTYKITCGRNQEQPNAFYTTEELLRVWNIKQPPLCLRVEVPVPRVDAEEVSGGLEALRTVLNQGFNVTYNFYSSCGTSFNPDFFRCICNEQPDLIGCPKGNNGNIKLKISIIGISSAGVSVFLIACACICCFKKIPSFTSIVSLKNNEDLEAFLKTHGPLAVKRYSFSEVKKMTNLFKEKLGKGGYGTVYKGKLFDDRLVVVKLLNASKGDGQEFINEVASISRTSHVNVVSLLGFCLEGQKRALIYEFMPNGSLEKFICHGRTPKEDQHLGWETMHQIAIGIARGLEYLHRGCNTRILHFDIKPQNILLDENFCPKISDFGLAKLCTRKESVVSMLEARGTIGYIAPEVFSRNFGGVSSKSDVYSYGMMIFEMVGDREEEEDEAGTDNTSEKYFPHGVYTWLELGKKSEIHGEISNEESEIVRKLSMVGLWCIQTIPSHRPTMSEVIDMLQGSIETLTIPPKPSLSFIPASEPSLSFTISITV >Manes.15G181000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:24038687:24041989:1 gene:Manes.15G181000.v8.1 transcript:Manes.15G181000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRENRWLMEHTHLKMSWIQYQIKQKDGFLAYPPPLSPSSMSPYTTAFHKESTPSSSSPPSSGARISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSLASSQSNRYPEISGPDALQRQLQQLFHLHDSGLDQAFIDALPVFHYKEIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHISCIDTWLLSNSTCPLCRGTLFTPGFSIENPIYDFDDLREDDGYPGNVENGFPSGKKTVEIEEPVVEKGILPVRLGKFRRLDDGTGEAGGETSSSNLDARRCFSMGSYQYVLGESDLRVPLCTDRHQCGVRLEKGADQIGSLSVDEDLEGKKISSVTKHESFSVSKIWLWSKKGRFSSSSDMGMPSSLNMDLSWMGRTQEQ >Manes.15G181000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:24038588:24041989:1 gene:Manes.15G181000.v8.1 transcript:Manes.15G181000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRENRWLMEHTHLKMSWIQYQIKQKDGFLAYPPPLSPSSMSPYTTAFHKESTPSSSSPPSSGARISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSLASSQSNRYPEISGPDALQRQLQQLFHLHDSGLDQAFIDALPVFHYKEIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHISCIDTWLLSNSTCPLCRGTLFTPGFSIENPIYDFDDLREDDGYPGNVENGFPSGKKTVEIEEPVVEKGILPVRLGKFRRLDDGTGEAGGETSSSNLDARRCFSMGSYQYVLGESDLRVPLCTDRHQCGVRLEKGADQIGSLSVDEDLEGKKISSVTKHESFSVSKIWLWSKKGRFSSSSDMGMPSSLNMDLSWMGRTQEQ >Manes.10G009900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1082866:1087873:1 gene:Manes.10G009900.v8.1 transcript:Manes.10G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLHREIEQAATRSEAVSIQCLSSKSPSLASSISMAVEPESISENPSNGDEDLEEEDEEGEEEEEDDLEDDIQPELPNPRNQESRARIERAKLENLFRRIQTETVPLRVHDVIIKGNSKTKDSLIEAQTALLRDVSSMQDLLEASKVVNFRLQALEVFDSVKITLDSGPPELPGTANVIVEVVETKSPLSGEVGAYTKGEARSSTVEGTIKYKNIFGYGDLWDASLAYGGDHMAEVSSGVYLPRFRGLAPLTARVFLLSQDWLKFSSFKERALGLSLGLVSSRNHDLVYTLAWRTLTDPSQMASRSIRRQLGHGLLSSLKYTFKIDQRNSPLRPTRGYAFVSTSQIGGLAPDSRSLRFLRQELDIRYAIPLGFLRSALNLGISGGLIFPWGTGFLNMPSPLPERFFLGGNLSPICTLGGPIALYGFRTRGLGPTEPRRQLQINPTDDSSDPGRDYLGGDLAVTAFADVSFDFPSKWCQSKGIHGHMFASAGNIDKLTENAYQNFSLRKFVESFRTSVGVGIVVPTNLFRLELNYCYMLKKFDYDHAKSGFRVSFSTPT >Manes.17G021400.26.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12443323:12448321:-1 gene:Manes.17G021400.v8.1 transcript:Manes.17G021400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQRMMIPSNTTVNIIYSSLKNPLFNLLPENKNPNNIVNIIYSSLKKDNTQLACLQNNIKGLLSHLGTNEISRVLLRCQSDPISALTFFNWVKNDLGLKPSTQNYCHLVHILAWSKEFKQAMKFLTEFVRLVKYSSHSEDVFRSLVSCCEDCNWDPIIYDMLIKAHVKEGMIREGFMTFMKIVEVGYVPSVIACNCLLNGLLKMNCIQQCWQVYVEMGRIGIHPNSYTFNILTHIFCQDGDVNKVNDFLEKMEEEGFEPDIVTYNTLISCYCRKGRLNDAFYLYRIMYRRGVLPDLVSYTALMNGLCKEGKVREAHQLLHQMVHRGLNPDIVSFNTLICGYCKEGMMHESRSLLHEMIGSGICPNNVTCRVLVEGYSKEGRILLALNLVVELERFHVPISWDIYEYLIVSICEEDRPFAAKSIVERISMRGYLPGVEIYNKLIQSLCRSDGAADAILVKAKMVHRNLKPNFITYKALICCLCRINKSREAESLMQEMLQSGMLPDAEICRALVHVYCKERDVGKAESLLEFFAKKFQIFDAESYNILVKFFSEVVDATKLIELQDRMLKVGFAPNNLTFKHMICVLWKAKALQEEKTSC >Manes.17G021400.28.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12443323:12448185:-1 gene:Manes.17G021400.v8.1 transcript:Manes.17G021400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQRMMIPSNTTVNIIYSSLKNPLFNLLPENKNPNNIVNIIYSSLKKDNTQLACLQNNIKGLLSHLGTNEISRVLLRCQSDPISALTFFNWVKNDLGLKPSTQNYCHLVHILAWSKEFKQAMKFLTEFVRLVKYSSHSEDVFRSLVSCCEDCNWDPIIYDMLIKAHVKEGMIREGFMTFMKIVEVGYVPSVIACNCLLNGLLKMNCIQQCWQVYVEMGRIGIHPNSYTFNILTHIFCQDGDVNKVNDFLEKMEEEGFEPDIVTYNTLISCYCRKGRLNDAFYLYRIMYRRGVLPDLVSYTALMNGLCKEGKVREAHQLLHQMVHRGLNPDIVSFNTLICGYCKEGMMHESRSLLHEMIGSGICPNNVTCRVLVEGYSKEGRILLALNLVVELERFHVPISWDIYEYLIVSICEEDRPFAAKSIVERISMRGYLPGVEIYNKLIQSLCRSDGAADAILVKAKMVHRNLKPNFITYKALICCLCRINKSREAESLMQEMLQSGMLPDAEICRALVHVYCKERDVGKAESLLEFFAKKFQIFDAESYNILVKFFSEVVDATKLIELQDRMLKVGFAPNNLTFKHMICVLWKAKALQEEKTSC >Manes.17G021400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12443323:12449432:-1 gene:Manes.17G021400.v8.1 transcript:Manes.17G021400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQRMMIPSNTTVNIIYSSLKNPLFNLLPENKNPNNIVNIIYSSLKKDNTQLACLQNNIKGLLSHLGTNEISRVLLRCQSDPISALTFFNWVKNDLGLKPSTQNYCHLVHILAWSKEFKQAMKFLTEFVRLVKYSSHSEDVFRSLVSCCEDCNWDPIIYDMLIKAHVKEGMIREGFMTFMKIVEVGYVPSVIACNCLLNGLLKMNCIQQCWQVYVEMGRIGIHPNSYTFNILTHIFCQDGDVNKVNDFLEKMEEEGFEPDIVTYNTLISCYCRKGRLNDAFYLYRIMYRRGVLPDLVSYTALMNGLCKEGKVREAHQLLHQMVHRGLNPDIVSFNTLICGYCKEGMMHESRSLLHEMIGSGICPNNVTCRVLVEGYSKEGRILLALNLVVELERFHVPISWDIYEYLIVSICEEDRPFAAKSIVERISMRGYLPGVEIYNKLIQSLCRSDGAADAILVKAKMVHRNLKPNFITYKALICCLCRINKSREAESLMQEMLQSGMLPDAEICRALVHVYCKERDVGKAESLLEFFAKKFQIFDAESYNILVKFFSEVVDATKLIELQDRMLKVGFAPNNLTFKHMICVLWKAKALQEEKTSC >Manes.17G021400.25.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12443023:12448321:-1 gene:Manes.17G021400.v8.1 transcript:Manes.17G021400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQRMMIPSNTTVNIIYSSLKNPLFNLLPENKNPNNIVNIIYSSLKKDNTQLACLQNNIKGLLSHLGTNEISRVLLRCQSDPISALTFFNWVKNDLGLKPSTQNYCHLVHILAWSKEFKQAMKFLTEFVRLVKYSSHSEDVFRSLVSCCEDCNWDPIIYDMLIKAHVKEGMIREGFMTFMKIVEVGYVPSVIACNCLLNGLLKMNCIQQCWQVYVEMGRIGIHPNSYTFNILTHIFCQDGDVNKVNDFLEKMEEEGFEPDIVTYNTLISCYCRKGRLNDAFYLYRIMYRRGVLPDLVSYTALMNGLCKEGKVREAHQLLHQMVHRGLNPDIVSFNTLICGYCKEGMMHESRSLLHEMIGSGICPNNVTCRVLVEGYSKEGRILLALNLVVELERFHVPISWDIYEYLIVSICEEDRPFAAKSIVERISMRGYLPGVEIYNKLIQSLCRSDGAADAILVKAKMVHRNLKPNFITYKALICCLCRINKSREAESLMQEMLQSGMLPDAEICRALVHVYCKERDVGKAESLLEFFAKKFQIFDAESYNILVKFFSEVVDATKLIELQDRMLKVGFAPNNLTFKHMICVLWKAKALQEEKTSC >Manes.17G021400.27.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12443323:12448321:-1 gene:Manes.17G021400.v8.1 transcript:Manes.17G021400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQRMMIPSNTTVNIIYSSLKNPLFNLLPENKNPNNIVNIIYSSLKKDNTQLACLQNNIKGLLSHLGTNEISRVLLRCQSDPISALTFFNWVKNDLGLKPSTQNYCHLVHILAWSKEFKQAMKFLTEFVRLVKYSSHSEDVFRSLVSCCEDCNWDPIIYDMLIKAHVKEGMIREGFMTFMKIVEVGYVPSVIACNCLLNGLLKMNCIQQCWQVYVEMGRIGIHPNSYTFNILTHIFCQDGDVNKVNDFLEKMEEEGFEPDIVTYNTLISCYCRKGRLNDAFYLYRIMYRRGVLPDLVSYTALMNGLCKEGKVREAHQLLHQMVHRGLNPDIVSFNTLICGYCKEGMMHESRSLLHEMIGSGICPNNVTCRVLVEGYSKEGRILLALNLVVELERFHVPISWDIYEYLIVSICEEDRPFAAKSIVERISMRGYLPGVEIYNKLIQSLCRSDGAADAILVKAKMVHRNLKPNFITYKALICCLCRINKSREAESLMQEMLQSGMLPDAEICRALVHVYCKERDVGKAESLLEFFAKKFQIFDAESYNILVKFFSEVVDATKLIELQDRMLKVGFAPNNLTFKHMICVLWKAKALQEEKTSC >Manes.17G021400.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12443658:12449432:-1 gene:Manes.17G021400.v8.1 transcript:Manes.17G021400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQRMMIPSNTTVNIIYSSLKNPLFNLLPENKNPNNIVNIIYSSLKKDNTQLACLQNNIKGLLSHLGTNEISRVLLRCQSDPISALTFFNWVKNDLGLKPSTQNYCHLVHILAWSKEFKQAMKFLTEFVRLVKYSSHSEDVFRSLVSCCEDCNWDPIIYDMLIKAHVKEGMIREGFMTFMKIVEVGYVPSVIACNCLLNGLLKMNCIQQCWQVYVEMGRIGIHPNSYTFNILTHIFCQDGDVNKVNDFLEKMEEEGFEPDIVTYNTLISCYCRKGRLNDAFYLYRIMYRRGVLPDLVSYTALMNGLCKEGKVREAHQLLHQMVHRGLNPDIVSFNTLICGYCKEGMMHESRSLLHEMIGSGICPNNVTCRVLVEGYSKEGRILLALNLVVELERFHVPISWDIYEYLIVSICEEDRPFAAKSIVERISMRGYLPGVEIYNKLIQSLCRSDGAADAILVKAKMVHRNLKPNFITYKALICCLCRINKSREAESLMQEMLQSGMLPDAEICRALVHVYCKERDVGKAESLLEFFAKKFQIFDAESYNILVKFFSEVVDATKLIELQDRMLKVGFAPNNLTFKHMICVLWKAKALQEEKTSC >Manes.17G021400.23.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12443323:12449432:-1 gene:Manes.17G021400.v8.1 transcript:Manes.17G021400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQRMMIPSNTTVNIIYSSLKNPLFNLLPENKNPNNIVNIIYSSLKKDNTQLACLQNNIKGLLSHLGTNEISRVLLRCQSDPISALTFFNWVKNDLGLKPSTQNYCHLVHILAWSKEFKQAMKFLTEFVRLVKYSSHSEDVFRSLVSCCEDCNWDPIIYDMLIKAHVKEGMIREGFMTFMKIVEVGYVPSVIACNCLLNGLLKMNCIQQCWQVYVEMGRIGIHPNSYTFNILTHIFCQDGDVNKVNDFLEKMEEEGFEPDIVTYNTLISCYCRKGRLNDAFYLYRIMYRRGVLPDLVSYTALMNGLCKEGKVREAHQLLHQMVHRGLNPDIVSFNTLICGYCKEGMMHESRSLLHEMIGSGICPNNVTCRVLVEGYSKEGRILLALNLVVELERFHVPISWDIYEYLIVSICEEDRPFAAKSIVERISMRGYLPGVEIYNKLIQSLCRSDGAADAILVKAKMVHRNLKPNFITYKALICCLCRINKSREAESLMQEMLQSGMLPDAEICRALVHVYCKERDVGKAESLLEFFAKKFQIFDAESYNILVKFFSEVVDATKLIELQDRMLKVGFAPNNLTFKHMICVLWKAKALQEEKTSC >Manes.17G021400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12443323:12448321:-1 gene:Manes.17G021400.v8.1 transcript:Manes.17G021400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISQRMMIPSNTTVNIIYSSLKNPLFNLLPENKNPNNIVNIIYSSLKKDNTQLACLQNNIKGLLSHLGTNEISRVLLRCQSDPISALTFFNWVKNDLGLKPSTQNYCHLVHILAWSKEFKQAMKFLTEFVRLVKYSSHSEDVFRSLVSCCEDCNWDPIIYDMLIKAHVKEGMIREGFMTFMKIVEVGYVPSVIACNCLLNGLLKMNCIQQCWQVYVEMGRIGIHPNSYTFNILTHIFCQDGDVNKVNDFLEKMEEEGFEPDIVTYNTLISCYCRKGRLNDAFYLYRIMYRRGVLPDLVSYTALMNGLCKEGKVREAHQLLHQMVHRGLNPDIVSFNTLICGYCKEGMMHESRSLLHEMIGSGICPNNVTCRVLVEGYSKEGRILLALNLVVELERFHVPISWDIYEYLIVSICEEDRPFAAKSIVERISMRGYLPGVEIYNKLIQSLCRSDGAADAILVKAKMVHRNLKPNFITYKALICCLCRINKSREAESLMQEMLQSGMLPDAEICRALVHVYCKERDVGKAESLLEFFAKKFQIFDAESYNILVKFFSEVVDATKLIELQDRMLKVGFAPNNLTFKHMICVLWKAKALQEEKTSC >Manes.11G138300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30365284:30369250:-1 gene:Manes.11G138300.v8.1 transcript:Manes.11G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFRCSGRSSKRSERSNNNSIKRNDQTRTTSGSLKVKPFANVKEEGTSNDDQLSLDVKNLNLVDEVSKNVRNNGKLAQTFTFEELAAATGNFQSDCFLGEGGFGKVYKGHLDKINQVVAIKQLDRNGVQGTREFVIEVLTLSLADHPNLVKLIGYCAEGDQRLLVYEYMPLGSLENHLHDLPRNRQPLDWNTRMKIAAVAAKGLEYLHEKMKPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDQKRDHGEQNLVAWARPMFKDRRNFSRMVDPLLKGQYPVRGLYQALAIAAMCVQEQPNMRPNVSDVVMALNYLASQKYDPQIDPVQGSHKTPSRHGPKKDNDRSAGNVSPESNGDS >Manes.10G089800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22764104:22764734:-1 gene:Manes.10G089800.v8.1 transcript:Manes.10G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCKLSLALFLLLSIALITFPSHARDTPQDYLDSHNEVRAKVGLEPLTWDDEVAAYAQNYANQRISDCELEHSDGPYGENIAWGSGDLLGTEAVKMWVDEKVYYDYKSNSCASGQMCGHYTQVVWRNSARLGCAKVTCDKNGGTFIGCNYDPPGNFIGERPY >Manes.17G085200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29250422:29251893:-1 gene:Manes.17G085200.v8.1 transcript:Manes.17G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVRETVQKAVVDGLGANSYFKNSRYQRIAATVTMDIIDEAITKKLDVKSLSSTCNNTICLADFGCAVGPNTFVSLQALIDIIKKKHLSQFPDEPMPEFQVFFNDLPSNGFNTLFISLPSDREYFAAGVPGSFHGRVFPSSSIHVAQSNYALHWLSRLPESLGDKNSPAWNKGKIHYAGASDEVLKAYAERWAEDLNNFLNARAEEIVPGGMLIVIMPSIPDGMPYSELANGILYSCFESILLDMAKKGTISEEQVDAFNLPIYAAPPGEFAAVVEKNGYFNIEAIGLTNPAPWLKDTVHVEMKEYVRHIRAPMEGMFSKHFSEEIVDEIFDQLLVNQLPKVFDKMERAYKDKIQSYYVLQRK >Manes.11G104780.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23862288:23877661:-1 gene:Manes.11G104780.v8.1 transcript:Manes.11G104780.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPELIRLAQEQMSRMSPAELARIQQQMMSNPELMKMASESMKNMKPEDLRQAAEQLKHTRPEDMAEIGEKMANASPEEIASLRARFDSQVAYEINAAQMLKNQGNELHNQGRFKDALQKYLLAKKNLTGIPSSKGRSLLLACSLNLMSCYLKTRQYEECITEGSEVLEYDAKNVKALYRRGQAYKELGQLEDAVSDLSNAHEVSPDDETIANVLWDAKERLTQEGGQRAPRLVIEEITEEVETTSSQKLGASAECSASQPQQTVDAPNHQSRANGRGSTNSGSLHALKDDPEAIRSFQNFISNADPETLAALSGAKAGEMSPEMFKTASNMISKLSSEELQKMIQMASSFQGGNPYAAGGSSNAGLNLNSSAPPNVSPDMLKTATDMISNLPPQELQRMFEMASSSETSLPSSVPPNMSPDMLKTATDMMSRMSPEELQKMFEMASSLRGNNSVPTASALNNTERSLDNGSKLTGRQGSFAADSSVTSETSLSDGLLSRSRSVSQPSFSSSTTDMQEQVRNQMKDPAMRQMFTSMMKNMSPEMMANMSEQFGLKLSPEDAAKAQQAMSSLSPEDLDRMMRWADRIQRGAEGARTAKNWLLGRPGMIMAICMLILAVILHWLGYIGR >Manes.05G206900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33366600:33371001:-1 gene:Manes.05G206900.v8.1 transcript:Manes.05G206900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSAYKVETTSRLAQWRIENLASCTYRKSDPFKMGKWNWHLSVEKNRVLLVKLYPEISNLTRDNPPIASYNIRVVCSAGDRKALTHPEITDRQLKNSDDFVWQIEVPLTGKFIIDVEFLDLKTTSPEGGEPCSIWAEGSAKKQSNATALVSLGRMLTESIHTDITINASDGSIGAHRAVLAARSPVFQSMFAHDLKEKELSTINICDMSIEACQAFLNYIYGNIQHEEFLVHRLALLQAADKYDIVDLKEACHESLLEDIDTKNVLERLQSASLYQLPKLKISCMQYLVKFGKIYDIRDDFNVFMQCADRDLIAEVFNEVLGAWKGF >Manes.06G123850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25399458:25400517:-1 gene:Manes.06G123850.v8.1 transcript:Manes.06G123850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTGVRRSILEELGMEETPPPPPPPIKKAWFEELKKAKPMEKRIERLN >Manes.15G102000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7998505:8001861:-1 gene:Manes.15G102000.v8.1 transcript:Manes.15G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLSPAAAISMSSSVSLDRPSIISSSLQTPSIIHFPKHSSTATSYPPSPSISTTIPKKIATTLPSVDKSLAPVQNQWNLLQKAAAMALDAVESALVSHERRFPLPKTADPAVQIAGNFAPVPERPVVHNLPVTGTIPDTIRGVYVRNGANPLHEPVAGHHFFDGDGMVHAVRFEKGSVSYACRFTETNRLVQERELGRSVFPKAIGELHGHSGIARLLLFCARGLFGIVDSSHGTGVANAGLVYFDGRLLAMSEDDLPYHVRVLPSGDLKTVGRYNFNGQLKSSMIAHPKVDPCSGELFALSYDVVQKPYLKYFRFSPDGKKSPDVDISLDQPTMMHDFAITERFVVIPDQQIVFKLPEMIHGGSPVIYDKKKMSRFGVLDKKANDASKIIWVEAPDCFCFHLWNAWEEPETDEIVVIGSCMTPPDSIFNECDENLKSVLSEIRLNLKTGKSTRRPIISESEQVNLEAGMVNRNLLGRKTQFAYLALAEPWPKVSGFAKVDLSTGEVRKYMYGNKYGGEPLFLPSDPSSNSGKEDSGYILCFVHDEKQGKSELQIVNAMNLKLEATVKLPSRVPYGFHGTFISAKDLEKQA >Manes.09G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34255810:34261639:-1 gene:Manes.09G144400.v8.1 transcript:Manes.09G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIKSAINDVLLTFMWVFCSSMFGLFTSLIATALGVQHQFWVSLFITTFIVFVFVFLFGLIANLLGGASFNPTGTAAFYAAGFGEDNLFSMALRFPAQAAGAVGGALAILEVIPPQYKHMLGGPTLKVDLHTGAIAEGVLTFLITFAVLVIILRGPRNSLVQHWLLAVVTVTLVVLGAKYTGPSMNPANAFGWAYINKWHDTWEQFYVYWICPFIGAILAAWMFRLVFPPPAPKQKKA >Manes.13G107900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31085942:31088077:1 gene:Manes.13G107900.v8.1 transcript:Manes.13G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKAYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNLKHGSFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQAARRATLRQEIKSESQSFMAPSGVVLNQQTPNYYWPELPSSAIMPAMNPSQDSHFCDQESLKSLLIKLGGRFSDDHQESNMASTVYPLDGSCISTQDQPYSSSMNMLSSSGTSIASTESPCSQLPNTNYAVSGAAGPSTYQGLDRFPVELHELMYGNQQQLESLESLYGIDNGANGVMSAGETTSWGNVSSLAYPQLVSELETCLQNQPQDHSSFEVSSYFGPK >Manes.08G096000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32402700:32404423:1 gene:Manes.08G096000.v8.1 transcript:Manes.08G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASPKYRAPDFHHPEIPDISVSHDGLRFWQYMIAGSIAGSVEHMAMFPVDTLKTRMQVLGGSCPPHSIGVRQSLGSILKLEGPAGLYRGIGAMGLGAGPAHAVYFSVYELCKQFFSRGDPNNSMAHAVSGVFATVASDAVFTPMDMVKQRLQLKSSPYKGVGDCVKRVLMEEGAGAFYASYRTTVIMNAPFTAVYFTTYEAAKRALMEVSPESASDERLVVHATAGAAAGALAAALTTPLDVVKTQLQCQGVCGCHRFSSGSMGNVIKTIVKKDGYHGLMRGWIPRMLFHAPAAAISWSTYEASKNFFQQLNGN >Manes.13G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36360686:36363283:1 gene:Manes.13G147700.v8.1 transcript:Manes.13G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLSSSRLLGFFVMVFFKCLVVSGQVPHRVADLHWHPATATWYGSPEGDGSDGGACGYGSLVDVRPLRARVGAVSPILFKNGEGCGACYKVKCLDKSICSRRAVTIIVTDECPGGYCSNGRVHFDLSGAAFGRMAITGENGQLRNRGELPVVYRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDVGSMHIREEGGSEWLEMNHIWGANWCIVRGPLKGPFSVKLTTLSTGRTLSARDVIPRNWSPKATYTSRLNFYS >Manes.13G075650.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25919800:25927323:-1 gene:Manes.13G075650.v8.1 transcript:Manes.13G075650.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKNSGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKSDPETSSPPEKTANGPKNAQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTMEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGASSKS >Manes.13G075650.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25919693:25927372:-1 gene:Manes.13G075650.v8.1 transcript:Manes.13G075650.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKNSGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKSDPETSSPPEKTANGPKNAQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTMEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGASSKS >Manes.13G075650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25921108:25927324:-1 gene:Manes.13G075650.v8.1 transcript:Manes.13G075650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKNSGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQEAQAAKSDPETSSPPEKTANGPKNAQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTMEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGASSKS >Manes.13G075650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25921014:25927333:-1 gene:Manes.13G075650.v8.1 transcript:Manes.13G075650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKNSGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKSDPETSSPPEKTANGPKNAQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTMEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGASSKS >Manes.13G075650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25921009:25927333:-1 gene:Manes.13G075650.v8.1 transcript:Manes.13G075650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKNSGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKSDPETSSPPEKTANGPKNAQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTMEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGASSKS >Manes.13G063955.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8019817:8025008:-1 gene:Manes.13G063955.v8.1 transcript:Manes.13G063955.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTHNKREVSLEFHPQLSVLRPSIHSRRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVREQGRVWWALEASKGANWYLQPQVTSIVEGIALKSSLKLSTLANAITLKRLIRKGIPAVLRPKVWFSLSGAAKKKSTVPDSYYNDLTKAVEGKVTPATLQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLVKKCPRIAAHLEELEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFFEGAKVLFHVALAIFKMKEEELLLTHHVGDVINILQQTTHHLFDPDELLTVAFDKIGSMTTNTISKQRKKQEPAVMAELDQRLRRLNSLKMEDK >Manes.13G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36199791:36205101:1 gene:Manes.13G146200.v8.1 transcript:Manes.13G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYTRYISKMVAGNGLLYPILGFASCVAFIYMSFGNLWLVYHEEPELPFVTRNGTQFMLDGRPFYINGWNSYWLMDHSVEEDRKPRVSAMLEAGAKMGLTVCRTWAFNDGGYNALQVSPGRFDERVFKALDYVIAEARQHGIRLLLSLVNNLKPYGGKTQYVKWAWEEGVGLSSSNDSFFFDPTIKRYFKNYVKTLLTRKNTITGIEYRNDPSIFGWELMNEPRCMSDPSGDTLQDWIEEMSAFVKSIDKNHLLTVGLEGFYGPKNPKRLTVNPEDWASTFGSDFVRNSKVPDIDFASVHIYPDHWIQGRTFEEKLTFVSKWMLSHIEDGHYELGKPVFFTEYGFSNLNNDFQHSQRDQFYKTILHIIYKSAKRKRAGAGALVWQFFVQGMEDFNDDFGIVPWEISSTYRSFIEQSCRLAKIQGTLLQNQNFRELCLQSQ >Manes.13G146200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36199791:36205101:1 gene:Manes.13G146200.v8.1 transcript:Manes.13G146200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGNGLLYPILGFASCVAFIYMSFGNLWLVYHEEPELPFVTRNGTQFMLDGRPFYINGWNSYWLMDHSVEEDRKPRVSAMLEAGAKMGLTVCRTWAFNDGGYNALQVSPGRFDERVFKALDYVIAEARQHGIRLLLSLVNNLKPYGGKTQYVKWAWEEGVGLSSSNDSFFFDPTIKRYFKNYVKTLLTRKNTITGIEYRNDPSIFGWELMNEPRCMSDPSGDTLQDWIEEMSAFVKSIDKNHLLTVGLEGFYGPKNPKRLTVNPEDWASTFGSDFVRNSKVPDIDFASVHIYPDHWIQGRTFEEKLTFVSKWMLSHIEDGHYELGKPVFFTEYGFSNLNNDFQHSQRDQFYKTILHIIYKSAKRKRAGAGALVWQFFVQGMEDFNDDFGIVPWEISSTYRSFIEQSCRLAKIQGTLLQNQNFRELCLQSQ >Manes.13G146200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36199791:36205101:1 gene:Manes.13G146200.v8.1 transcript:Manes.13G146200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGNGLLYPILGFASCVAFIYMSFGNLWLVYHEEPELPFVTRNGTQFMLDGRPFYINGWNSYWLMDHSVEEDRKPRVSAMLEAGAKMGLTVCRTWAFNDGGYNALQVSPGRFDERVFKALDYVIAEARQHGIRLLLSLVNNLKPYGGKTQYVKWAWEEGVGLSSSNDSFFFDPTIKRYFKNYVKTLLTRKNTITGIEYRNDPSIFGWELMNEPRCMSDPSGDTLQDWIEEMSAFVKSIDKNHLLTVGLEGFYGPKNPKRLTVNPEDWASTFGSDFVRNSKVPDIDFASVHIYPDHWIQGRTFEEKLTFVSKWMLSHIEDGHYELGKPVFFTEYGFSNLNNDFQHSQRDQFYKTILHIIYKSAKRKRAGAGALVWQFFVQGMEDFNDDFGIVPWEISSTYRSFIEQSCRLAKIQGTLLQNQNFRELCLQSQ >Manes.03G107000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23038801:23043035:1 gene:Manes.03G107000.v8.1 transcript:Manes.03G107000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIIGVVGSGQMGSGIAQLAAVYGLDVLLLDTDLAALSRARKSIAGNIQRLVSKGHLSQAAFSEAVGRLYCTSKLEELHEADFVIEAIVESEDVKKKLFLELDKIVKSSAILASNTSSISITRLASATSTPSQVIGMHFMNPPPIMKLVEIVRGADTSDETFYATKTLAERFGKTVICSQDFSGFIVNRILMPMINEAFHTLYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLHAGLGDSKYAPCPLLVQYVDAGRVGRKRGIGVYDYRKSLEQVKPSARL >Manes.03G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23038518:23043035:1 gene:Manes.03G107000.v8.1 transcript:Manes.03G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIIGVVGSGQMGSGIAQLAAVYGLDVLLLDTDLAALSRARKSIAGNIQRLVSKGHLSQAAFSEAVGRLYCTSKLEELHEADFVIEAIVESEDVKKKLFLELDKIVKSSAILASNTSSISITRLASATSTPSQVIGMHFMNPPPIMKLVEIVRGADTSDETFYATKTLAERFGKTVICSQDFSGFIVNRILMPMINEAFHTLYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLHAGLGDSKYAPCPLLVQYVDAGRVGRKRGIGVYDYRKSLEQVKPSARL >Manes.03G107000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23039210:23043035:1 gene:Manes.03G107000.v8.1 transcript:Manes.03G107000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIIGVVGSGQMGSGIAQLAAVYGLDVLLLDTDLAALSRARKSIAGNIQRLVSKGHLSQAAFSEAVGRLYCTSKLEELHEADFVIEAIVESEDVKKKLFLELDKIVKSSAILASNTSSISITRLASATSTPSQVIGMHFMNPPPIMKLVEIVRGADTSDETFYATKTLAERFGKTVICSQDFSGFIVNRILMPMINEAFHTLYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLHAGLGDSKYAPCPLLVQYVDAGRVGRKRGIGVYDYRKSLEQVKPSARL >Manes.15G191840.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28614749:28617217:1 gene:Manes.15G191840.v8.1 transcript:Manes.15G191840.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFGFSTRNFLIIVAIVVILVTIVLRWFSIYLEGEVPMRKSQRKGEKQQQEVRKCSPGIIRTYALEELKMATKDFRIRIGVGATSFVYLAELGDGKFGAVKRVMEDRGGSKKIFLDEVSVLLRISHPNLVGLMGFCLEKGEQLLLLEYVPNKSLFDRIHTHHGQSSGILSWSNRVNIALDIARALDYLHSQADPPIIHRDVKSSNILLIDNNHAKLADFGLCKLGYDKPGSQSPTTIKGSFGYVDTNYLKTGLVSPKSDVYSYGVLLLELITGLKSIQGSVTLAEWTDECRTTDDVEVLAKLLDPKLNGNANLEQLKVLIDIANLALLDNSEERPEMNFIINRILCCMETPSPSFCLYKLH >Manes.01G238800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40057881:40059966:-1 gene:Manes.01G238800.v8.1 transcript:Manes.01G238800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVELQRGYCDKEQEGEEEEEEEEEEEIMTSKLPFSSPSSSSSSSTKYRNFLPQHQNLWLGPSDSQQEYKTHESSSSLNFDNRQELMALSLGNDNGTVNTGGGTNEPIEREHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDSTSSEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKQLDAGDIVSFQRGVGESGKHRLYIDWRRRPNAPDPTSFADLELQNQLHFPQSVRWDRLYSLPQPLSSFPRPYEQPQFQHLSYRIHHHRHQPYNQYHYHQQAQYYLRPEGALPIGAMHQRGNQAPVVIDSVPVVPGRGVGKRLRLFGVNMECPTQEDQSYSSVTIPAHGSTATMGSFSPLLASSSLQPPQSKVTGYWGLWSASKRASSAIYIYTCM >Manes.10G015815.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1637093:1639561:1 gene:Manes.10G015815.v8.1 transcript:Manes.10G015815.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNSLSGEIPRDISNCSELRIMSLIKNNLAGNIPSQLGSLKKLVVLYLGGNKLTGEIPHSLGNLSSLQDFFLSENHLQGKIPTGLGQLRNLTVFTVGANNLSGTIPPALYNIPSITTFETTNNQFTGSLPANLGLTLPNLQELFFAQNEYFGSIPESLANASRLRLIDISNNSFTGQFPTDLGYLKGLESLHLEFNFFGSNTSQDLSFVPSLANCSNLQQLYFDGNNFGGALPSSIGNLSNLVQLGFGRNPISGTIPEEVGNLVNLYRLDMDRNFFSGSIPISFGKLQKLERLTLNQNLLSGEIPASLGNITKLYWLELEGNKFQGNITPSLGSCRNLRFLDVSRNKLTGFIPREILGLSSLSETLNLSQNSLTGPLPLEVGSLRSINALDVSENKLSGEIPRTIGDLSRLEILNMQGNFLQGSIP >Manes.06G014501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2346051:2346416:1 gene:Manes.06G014501.v8.1 transcript:Manes.06G014501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGTFWVCDAHLTSVFISFLIYLGALHFQKLPVELASISICAGPMDIPIINSSVNWWNTSHRPGSFLSYQFLRIESLFPNKPEREERREKQKKQQREKGENCRVDRIREREREREEERMID >Manes.08G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36402277:36404779:-1 gene:Manes.08G123600.v8.1 transcript:Manes.08G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSEHYGLCSNGGLRIVLALVATFLVGYIAKPQLYSRESSSNQTLCPCDCDCSEEIALSLPLGFINSSYSDCGNHDPDVHEEMEKDIVALLSEEIDLQKRVDNDSSEHTRALIMDARRASSHYQKEAEKCNAQTETCEEARERAEAELVEEYKLSALWEKRARELGWKYNRKMYT >Manes.07G039915.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4774872:4775303:1 gene:Manes.07G039915.v8.1 transcript:Manes.07G039915.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTKKLLKLARKWQKMAAIRRRRIMSSQNIGSIDTSLIAKKGHFVVYSADQKRFLLSLEYLNNEIIRELFNMAEEEFGLQSEGPLTLPCDADLIEYAIALIRQNASEDVERAVLVSIASSCCSSSFPFQHHATRHQLPICSC >Manes.06G007001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1179860:1180687:-1 gene:Manes.06G007001.v8.1 transcript:Manes.06G007001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSDIKLIRTDTTLDLSQKAEKGMLDSMASASFYSHSFQGPFRFKAMWDKNTLSIYFYLSFFKKIDSKIIYHFKKEN >Manes.01G005500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2311767:2343954:-1 gene:Manes.01G005500.v8.1 transcript:Manes.01G005500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAEETKKETESFSRVINSVKASYKPDDPESVYATLKWISVIDLFIKAKSEVALEDVNQLVEIGIHLFNISQNKLYAQVRWGSVLVRVLNKFRKKLHSLKVQWRPLYDTLVHTHFSRNTGPEGWRLRQRHFETVTSLVRSCRRFFPAGSASDIWSEFSSLLENPWHNSSFEGSGFVRLFLPTNLDNQDFYTEDWVEKSLELWDSIPNCQFWNSQWAAVIARVIKNCSFVNWEGFLPTLFTRYLNMFEVPVANGSGSYPFSVDVPRNTRFLFSNKTSTPAKAISKSIVYLLRPGSSAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQTTDNDGQAELFLGKLERSSFVTVLLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLASRFRLALETMTATHQLKTAVMSVAFAGRSLFLTSLSTSKLVDFGGNDDTFLDLLMISLSNALLGMDANDPPKTLATLQLIGSIFSNIATLDDEKNDLSFMPTSRLSEWLDEFFCRLFSLLQHLEPSTVLNEGLHSSGTSGTFLVEDGPYYYCMLEILLGRLSKSLYNQALKKISKFVRTNILPGAVAEVGLLCCACVHSNPDEAVGSLVEPILSSVISSLQGTPTTGFGGRGIPDSSVSAKAKPALSPALETAIDYQLKILSVAISYGGPALLRCKEQIKEVIESAFESPSWKVNGAGDHLLRSVLGSVVVYYPIDQYKCMLCHPAAAALEEWISTKDYSRDEKLIGPKWHVPNDEEIQFANELLNLHFESALDELLRICQNKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFSPSSRNGIVEDPSHTSFLIAGATGSTVGSIELRVKAAKIIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIIEPPINFIVSSHSKGKKRPRWALIDKAYMHSTWRSSQASYHLFRTSGNFSPSEDAILLMEDLLNLSLHSYETVRALAGKSLLKMIKRWPSMISECVLSLTENLRNPKAPENAVLGSCAVLSTQTVLKHLTTDPKALSSFLLGILSSSHHESLKALKAINELFVKYNIHFSGVSRAVFRASDNHTDGSDFADLVSQIISMSFDSTGLHWRYNLMANRVLLLLAMTSRNEPSFSSKILSETAGHFLKSLKSQLPQTRILAISALNTLLKESPYKLSAENLGASSGNHMNTKSSLEGALSKIFQEDGFFNETLNSLSHVHIITDTDGMSRGNHGNSSFQSLADKSITRFYFDFSASWPRTPSWISLLGNDTFYSNFARIFKRLIQECGMPVLLSLKSTLEEFANAKERSKQCVASEALAGALHSDIDGLLTAWDGWMMGQLQNVILSQSVESVPEWAACIRYAVTGKGKYGTGVPILRQQILDCLMMSLPPAVTTTIVAKRYTFLSAALIEISPQKMLLPEIELHSKLLNELLGNMCHSSAQVREAIGVTLSILCSNIRLHLSIAHNHSSEDANADFDKLLKEENWVLFLTERASDVVTNIQKTSPLDNLEIPTRTVPQNGSLNGDAQDDVKWMETLFHFVISTLKSGRSSYLLDVIVQFLYPVISLQETSNKDLSTLAKAAFELLKWRIFWEPHLQSVVSVILTSANDPNWRTRSATLTYLRTFMYRHTSILSRIEKQQIWSTVENLLRDNQVEVREHAAAVLAGLMKGGDEDLAKDFRDRAFIVASTIQRKRKMRNSKSGQSIASIHGAVLALAASVLSVPYDMPGWLPEHVTLLARFGGEPSPVKSTVTKAVAEFRRTHADTWNVQKDSFTEEQLEVLADTSSSSSYFA >Manes.16G005500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:624988:626969:-1 gene:Manes.16G005500.v8.1 transcript:Manes.16G005500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYVRSKMPRLRWTPELHHCFVRAVQSLGGEHRATPKMVLQIMNVKGLTMSHVKSHLQMYRGMKHEQTMQAKKKHEAPGIRYSTYFSHLDSMFFNQNHNQLGDKRFNNNLLPYQGQGAHNNLSYKLSPSNTTQRKENQEMWMGKRLLTTDTFSHEEETSKKWEKKPEYPCITLKDILLKSCTAQRNNEQDLTQIAQRVEGDKMTLLLNSKASESVLKLRKAEKLCMDDVCLELSLG >Manes.02G161800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12695371:12703068:-1 gene:Manes.02G161800.v8.1 transcript:Manes.02G161800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRVSLLLLTLLALTLSLSASSPSSHNREELETPITRFQQYLRFKTAHPNPNYTAPVSFLTALAKSIGLVTQSIEFTPNKPVLLVTWPGSKPSLPSILFNSHLDSVPAEPTKWLHPPFSAVHTPDGKIFARGAQDDKCIAIQYLEAIRNLKSKNFIPTRTIHISYVPDEEIGGFDGAAKFVNSKEFRHLNVGFALDEGQASVNDEFRVFFADRSPWNLIIRAKGKPGHGSRMYDDGAMENLMKSIEIISRFRESQFDVVKAGKAANSEVISVNPVYLKAGIPSPTGFVMNMQPSEAEAGFDLRLPPTADPDLMKKRIAEEWVPAIRNMTYEIIEKGPLRDHMGRPLMTAVDDSNPWWGVFRQAIAAAGGKLAKPEILLSTTDARFIRQLGIPVFGFSPMTNTPILLHDHNEFLEDTVFLKGIEVYEHIISSLSSFEEVHST >Manes.06G070900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20669799:20674539:-1 gene:Manes.06G070900.v8.1 transcript:Manes.06G070900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKHLPPPEMYCHSSFFLRGEDGSRNQARFRYLGELDQTASAFHPDDAIDLSPNSMFSLKSGNVAVLSNNFNYDPALNTSIGSAEIATTGTGCLDTGQYMHPKGTTIVSSLGNGHSIENWGHSGMADNGQQTDTSTDVDTDDRNQLHGVQHGPVVVVDSRPKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLETSRLRLAQLEQELQRAQQQGVFVATGFSGDHGSGNGVVVFDMEYAHWLEEHQRLISDLRAAVSSHMGDNELHLHVDGVMAHYDEIFRLKSIGTKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNHLEPLTDQQIMGICNLQQSSQQAEDALSQGMEALQQSLVDTLSSTSLGFAGSGNVADYMGQMAIAMGKLATLENFLHQVISSS >Manes.06G070900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20669799:20674539:-1 gene:Manes.06G070900.v8.1 transcript:Manes.06G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKHLPPPEMYCHSSFFLRGEDGSRNQARFRYLGELDQTASAFHPDDAIDLSPNSMFSLKSGNVAVLSNNFNYDPALNTSIGSAEIATTGTGCLDTGQYMHPKGTTIVSSLGNGHSIENWGHSGMADNGQQTDTSTDVDTDDRNQLHGVQHGPVVVVDSRPKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLETSRLRLAQLEQELQRAQQQGVFVATGFSGDHGSGNGVVVFDMEYAHWLEEHQRLISDLRAAVSSHMGDNELHLHVDGVMAHYDEIFRLKSIGTKADVFHMLSGMWKTPAERCFMWLGGFRSSELLKILGNHLEPLTDQQIMGICNLQQSSQQAEDALSQGMEALQQSLVDTLSSTSLGFAGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMRRILTTRQAARALLVFGDYASRLRALSSLWLARPRD >Manes.13G019400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2885254:2889887:1 gene:Manes.13G019400.v8.1 transcript:Manes.13G019400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTSSIQYHNITDQPITAIVAAPVSTFQRNQRHCFGESTPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFSPDYELSISELAALDMCQKRAIFKPMTPEERQDLKQRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSKGVVYSFGSNNSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGQVYAFGKDSFGEAEYGVQGAKLVTTPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGSDAKLGHQTESNDVEPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPTVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALGNVKAVHVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAAVVADGQGNRHANVLSPQLVTSLKEVKEPVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNSQTERGNPEMVDIGLI >Manes.13G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2885254:2889887:1 gene:Manes.13G019400.v8.1 transcript:Manes.13G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQATCSFFRQPANFSPDYELSISELAALDMCQKRAIFKPMTPEERQDLKQRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSKGVVYSFGSNNSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGQVYAFGKDSFGEAEYGVQGAKLVTTPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGSDAKLGHQTESNDVEPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPTVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALGNVKAVHVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAAVVADGQGNRHANVLSPQLVTSLKEVKEPVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNSQTERGNPEMVDIGLI >Manes.13G019400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2885254:2889887:1 gene:Manes.13G019400.v8.1 transcript:Manes.13G019400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTSSIQYHNITDQPITAIVAAPVSTFQRNQRHCFGESTPGEFPLAANPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFSPDYELSISELAALDMCQKRAIFKPMTPEERQDLKQRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSKGVVYSFGSNNSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGQVYAFGKDSFGEAEYGVQGAKLVTTPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGSDAKLGHQTESNDVEPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPTVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALGNVKAVHVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAAVVADGQGNRHANVLSPQLVTSLKEVKEPVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNSQTERGNPEMVDIGLI >Manes.14G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12457094:12463052:1 gene:Manes.14G141500.v8.1 transcript:Manes.14G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTSLLLLFFAFLVTMPTSKTMAAFFPGASYYQSLTTTQSKAKNPEIPYKAHYFPQVLDHFTFQPISSKIFYQKYLINSQYWHRGAPIFVYTGNEGDIDWFAANTGFLLDIAPKFQALLVFIEHRFYGESLPFGENSYDSAETLGYLNSQQALADFAVLIRSLKHNLSSDASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDDITPWSSFYDAVSQDYKEASLNCYEVIKNSWAELEALSAQKEGLIELSRTFRTCKNLHSLDSVWDWLWSAFVYTAMVNYPTEANFMKPLPAYPVKEMCKIIDGFPPGASKISRVFAAASLYYNYSQGEKCFQLENAPDAHGLHGWNWQACTEMVMPMTCSKESMFPPSEFDYKEFADRCMKRYGVMPRPHWITTEFGGKRIDQVLKRSGGNIIFSNGMQDPWSRGSVLKTISSSIIALVTKKGAHHVDFRSATKDDPDWLKEQRRQEVDIIKRWIHDYYADLKQIIDNA >Manes.14G141500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12457094:12463052:1 gene:Manes.14G141500.v8.1 transcript:Manes.14G141500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTSLLLLFFAFLVTMPTSKTMAAFFPGASYYQSLTTTQSKAKNPEIPYKAHYFPQHRFYGESLPFGENSYDSAETLGYLNSQQALADFAVLIRSLKHNLSSDASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDDITPWSSFYDAVSQDYKEASLNCYEVIKNSWAELEALSAQKEGLIELSRTFRTCKNLHSLDSVWDWLWSAFVYTAMVNYPTEANFMKPLPAYPVKEMCKIIDGFPPGASKISRVFAAASLYYNYSQGEKCFQLENAPDAHGLHGWNWQACTEMVMPMTCSKESMFPPSEFDYKEFADRCMKRYGVMPRPHWITTEFGGKRIDQVLKRSGGNIIFSNGMQDPWSRGSVLKTISSSIIALVTKKGAHHVDFRSATKDDPDWLKEQRRQEVDIIKRWIHDYYADLKQIIDNA >Manes.16G042600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:6613048:6614451:-1 gene:Manes.16G042600.v8.1 transcript:Manes.16G042600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIKCRSIPSSNKSKIARTFQKVINLKTATRIASNNGIGICLFNPENKFQDDHPPTIYYKSHNASIDKHKDDSKAKRKAALDALAAKLFAGITAIKAAYAELQLAQTPYCSDAIHAADQAVVQELKLLSELKRSFFKNELDHLSSQVTVMLAEIQEQQSLMKTYEITIRKLQAETQVKDSEISSLKKQLDESMAFNKSLEKILNESGPLSMFDNVQFSTLNTTHFVQFLHSALRSMRSFVKLMVREMEVAEWDIEAAAKAIEPESIFSKPPHRCFVFESFVSKTMFEGFNYPNFMLPNESPPPMNHHHRGEHYFNKFKKLKSVNPRHYLNQNPTSSFARFTRAKYLKLVHAKMECSLFGNLNHRKLVNSGGFPDSAFFTAFLEMARRVWSLNLLAFSFGENVSIFQVSKNSRFSEVYMESVAHESLLESDGVEAELRVDFTVVPGFKIGKTVIQSQVYLSPAVAYR >Manes.04G081665.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29183058:29184297:-1 gene:Manes.04G081665.v8.1 transcript:Manes.04G081665.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFGDLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDYENGYSEYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSHFWRTILCLNEHVALSLSTNHHVELWVLLEYGVDVSWTKLFTVAYPECLEMSLPLGFSRKGELFFSSWNQHLLVWNPPEETISPVPLEGAVHTSNYLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.09G171800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36293145:36294231:-1 gene:Manes.09G171800.v8.1 transcript:Manes.09G171800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDKENLPISDFGIQKEMYLIYPKNYTSLSTILCLILIFSQCLSSSSGLQQPTAYEILTLYNFPVGLLPKGVTGYYLDKTTGKFSAFLNGTCIFSLEGSYQIRYKSTVKGLISRGKLSRLEGVSVKLFFMWIDIVEVSRNGDSLDFSVGIASAGFPIDNFEESPQCGCGLKCGDQRKISKFRSNPFVSSS >Manes.04G124900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32539806:32545567:1 gene:Manes.04G124900.v8.1 transcript:Manes.04G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMGRQGQRSGATAVHHQRQFSDNFLDTSSNGRWLQSAGLQHLQNSSASSIPHLQDYNFYGGVGGGELGQGMRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSEMTVPGLYDSSSLFHPVRGRSFDGSEPFISTNKQTGRAVLPDNNLLKSFPADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYEVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.04G124900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32539805:32545567:1 gene:Manes.04G124900.v8.1 transcript:Manes.04G124900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMQSLQLLLPVHGHLARGRMAKNLQMCSVLGSWICNPLIPNCFLRGRSFDGSEPFISTNKQTGRAVLPDNNLLKSFPADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYEVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.04G124900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32539806:32545567:1 gene:Manes.04G124900.v8.1 transcript:Manes.04G124900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSEMTVPGLYDSSSLFHPVRGRSFDGSEPFISTNKQTGRAVLPDNNLLKSFPADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYEVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.04G124900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32539806:32545567:1 gene:Manes.04G124900.v8.1 transcript:Manes.04G124900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSETGRAVLPDNNLLKSFPADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYEVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.04G124900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32539806:32545567:1 gene:Manes.04G124900.v8.1 transcript:Manes.04G124900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMGRQGQRSGATAVHHQRQFSDNFLDTSSNGRWLQSAGLQHLQNSSASSIPHLQDYNFYGGVGGGELGQGMRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSETGRAVLPDNNLLKSFPADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYEVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.10G018300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1849139:1853353:1 gene:Manes.10G018300.v8.1 transcript:Manes.10G018300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYAHQMEREFSARSLSSRGGSEVGSHYVIESGFYMTSFAATIFIGALVTVGVLFITLLIALTVMLQTCENRSKGVIEIQKPNDDYNYCKIFALHAEFNNLGLEDFPSICRSLAIEHIKGGQYERELNSTMQLVEKYFDSFVPLDDGLDVVLMDIDDILPSNPQYDHPLMNRLNQYGCKYCFEEAKHLKEMIFLRLYTELQAKGWSFILLSRKPETLQNATIEHLISAGYRNWYSVIMRLNDDMEMDSREYILRRRMIMQKKGFRVSGVISSQMDVFTGPFSGQRIFKLPNPMCYFLDHHPMEILYAPK >Manes.10G018300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1849139:1853317:1 gene:Manes.10G018300.v8.1 transcript:Manes.10G018300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYAHQMEREFSARSLSSRGGSEVGSHYVIESGFYMTSFAATIFIGALVTVGVLFITLLIALTVMLQTCENRSKGVIEIQKPNDDYNYCKIFALHAEFNNLGLEDFPSICRSLAIEHIKGGQYERELNSTMQLVEKYFDSFVPLDDGLDVVLMDIDDILPSNPQYDHPLMNRLNQYGCKYCFEEAKHLKEMIFLRLYTELQAKGWSFILLSRKPETLQNATIEHLISAGYRNWYSVIMRLNDDMEMDSREYILRRRMIMQKKGFRVSGVISSQMDVFTGPFSGQRIFKLPNPMCYFLDHHPMEILYAPK >Manes.10G018300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1849137:1853845:1 gene:Manes.10G018300.v8.1 transcript:Manes.10G018300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYAHQMEREFSARSLSSRGGSEVGSHYVIESGFYMTSFAATIFIGALVTVGVLFITLLIALTVMLQTCENRSKGVIEIQKPNDDYNYCKIFALHAEFNNLGLEDFPSICRSLAIEHIKGGQYERELNSTMQLVEKYFDSFVPLDDGLDVVLMDIDDILPSNPQYDHPLMNRLNQYGCKYCFEEAKHLKEMIFLRLYTELQAKGWSFILLSRKPETLQNATIEHLISAGYRNWYSVIMRLNDDMEMDSREYILRRRMIMQKKGFRVSGVISSQMDVFTGPFSGQRIFKLPNPMCYFLDHHPMEILYAPK >Manes.10G018300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1849137:1853845:1 gene:Manes.10G018300.v8.1 transcript:Manes.10G018300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYAHQMEREFSARSLSSRGGSEVGSHYVIESGFYMTSFAATIFIGALVTVGVLFITLLIALTVMLQTCENRSKGVIEIQKPNDDYNYCKIFALHAEFNNLGLEDFPSICRSLAIEHIKGGQYERELNSTMQLVEKYFDSFVPLDDGLDVVLMDIDDILPSNPQYDHPLMNRLNQYGCKYCFEEAKHLKEMIFLRLYTELQAKGWSFILLSRKPETLQNATIEHLISAGYRNWYSVIMRLNDDMEMDSREYILRRRMIMQKKGFRVSGVISSQMDVFTGPFSGQRIFKLPNPMCYFLDHHPMEILYAPK >Manes.10G018300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1849139:1853353:1 gene:Manes.10G018300.v8.1 transcript:Manes.10G018300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYAHQMEREFSARSLSSRGGSEVGSHYVIESGFYMTSFAATIFIGALVTVGVLFITLLIALTVMLQTCENRSKGVIEIQKPNDDYNYCKIFALHAEFNNLGLEDFPSICRSLAIEHIKGGQYERELNSTMQLVEKYFDSFVPLDDGLDVVLMDIDDILPSNPQYDHPLMNRLNQYGCKYCFEEAKHLKEMIFLRLYTELQAKGWSFILLSRKPETLQNATIEHLISAGYRNWYSVIMRLNDDMEMDSREYILRRRMIMQKKGFRVSGVISSQMDVFTGPFSGQRIFKLPNPMCYFLDHHPMEILYAPK >Manes.10G018300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1849139:1853317:1 gene:Manes.10G018300.v8.1 transcript:Manes.10G018300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYAHQMEREFSARSLSSRGGSEVGSHYVIESGFYMTSFAATIFIGALVTVGVLFITLLIALTVMLQTCENRSKGVIEIQKPNDDYNYCKIFALHAEFNNLGLEDFPSICRSLAIEHIKGGQYERELNSTMQLVEKYFDSFVPLDDGLDVVLMDIDDILPSNPQYDHPLMNRLNQYGCKYCFEEAKHLKEMIFLRLYTELQAKGWSFILLSRKPETLQNATIEHLISAGYRNWYSVIMRLNDDMEMDSREYILRRRMIMQKKGFRVSGVISSQMDVFTGPFSGQRIFKLPNPMCYFLDHHPMEILYAPK >Manes.10G018300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1849138:1853317:1 gene:Manes.10G018300.v8.1 transcript:Manes.10G018300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYAHQMEREFSARSLSSRGGSEVGSHYVIESGFYMTSFAATIFIGALVTVGVLFITLLIALTVMLQTCENRSKGVIEIQKPNDDYNYCKIFALHAEFNNLGLEDFPSICRSLAIEHIKGGQYERELNSTMQLVEKYFDSFVPLDDGLDVVLMDIDDILPSNPQYDHPLMNRLNQYGCKYCFEEAKHLKEMIFLRLYTELQAKGWSFILLSRKPETLQNATIEHLISAGYRNWYSVIMRLNDDMEMDSREYILRRRMIMQKKGFRVSGVISSQMDVFTGPFSGQRIFKLPNPMCYFLDHHPMEILYAPK >Manes.04G125300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32560346:32571223:-1 gene:Manes.04G125300.v8.1 transcript:Manes.04G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVSKITTEADRRTGTKDDDRSNLPAPLPHQSIDPIESRYDDIQSHPPATLRHQLRALDSFYSQFSDEENRNLAPPPPLHLRPPVLDPMESSSSSDEEDRSPDPIGSGSPNSNKESGIDAAASENAERNKMEEYGFKDLAEATDYFSNNCLLGEGGFGQVFKATLDCGEVAIKKLKKINLEDKLEEGEYLRCVSHPNIVKMIGHCSQGADRLLVLEFVPNHNLADHLHVEKTKVLEWPARMNIAIQSAKGLLYLHECKPKIIHRDIKADNILLDNDFQPKVADFSLAYFLPNTGNVNHITSVLRGTNVYADPEYGDIQRVSEKSDIYSFGVVLLELITGRRPTNEQGDTIINWARYRIGRALENNEYKDLVDSKLQQEYDKEEMLRMVTCAAASVYKPSRSRPTMKQIIRVLEGTMSYMKIMKRKDIETLQGRATTDLESILGVERLQITPQKIFTYKELAKATGGFSNVNLLGEGGFGQVFKGTLAYGEFAAIKKLKLSSDQGESEFLTEITTLNRAHHKHLVKLIGYCSDKANRLLVYEFVPNESLRYILHDQDRVIIDWPTRMKIAIGSAKGLAYLHEICNPKIIHRNIKPGDILLDQNFEPKISDFGLAKDLSNSYTHVTTRIAGTWGYLCPEYATTNQLSDKSDVYSFGVVLLELLTGKQAILRERDVFTAHIINWVAPRLKQALDTHNYNDIVDTKLQNNYKIIEVIRMIHCAAACVYKPVNYRPKMSQIVEVLQGNLASESIWLNSDNAFLQDAYQLH >Manes.07G102502.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30849326:30849895:-1 gene:Manes.07G102502.v8.1 transcript:Manes.07G102502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYSLLMHHTLTTTLSKESSTLNNSILKKPINEHQQEKFQDEVEEFELFNEENLEEACYQDYFMTVHGYQEQEDDKLEHAGDDSDMTNINPRSEHKISNSEAREKAEGEDFWSKYPRLNESLKLEQFSEYTLPDSGVNIIKERLISIGNLKAKELEDKWKKLQVAETEVKLMRANLIQQQTQLILDLIS >Manes.08G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33930229:33932874:-1 gene:Manes.08G101800.v8.1 transcript:Manes.08G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEASDDSFRVRVKKIFGSLTSSSSQRPSSLQSTLWSLTDDEVERREWRRGDTGSSDRDEIPCSSSFDELIRDRRRSFRRELQHDLDDGEHESNTLRSRRMGTDGLDEWDIRSSIGLDRTLDNEEEEDQYDKVASGRENAGERLYMKNVTDQESYFNIHNVLPKSLHGKDPRANHMAAKIRLQEDEAEAQKLNFNHNCDTEVKEPHDKASNEGGRQLRSILKRKEFKQEDNKSVSKRVRFEPGCKIVCKEEASQKIQANSVDTSSLNSMISDDEYMPSQKRYGIPDYLQNSSKYTHYSFNSSSEVEGKSNTLVGFLELVEDLKSTGSESALKDVSGDLPIPKSVTFIPKKKAGELKAGNFSSKVKQDEEEDGNQSLQQRVLPVGIAAGESQGSEADIVEEDKTEADAINKSEGAQKASRKYRTKSGSDESDP >Manes.09G025904.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5228799:5231378:1 gene:Manes.09G025904.v8.1 transcript:Manes.09G025904.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVQQNPNLTTIGEEIVRKCKGVPLAVITLGSLLHSVTDEREWEVIRDSEIWELKQKENVILPALRLSYEHLPSYLKRCFSYCSIFPKDHVLDDIELVYLWIANGLVQSSNGNQELEDVGFRYFKELCSRCFFQVFSENFGHVKCKMHDLIHDLALSITQNECSKFLDSTKEIDKSVRHVSFPHPKSLPKDVPKSLQNLECMRTICFRNERGEGISSEVFIKTCCSRFQYLRVLDLSCSRFEELPASIGNLKHLKSLSLWMNSKIKRLPNSICKLQSLQTLHLFLCLNLQELPKDIRCMINLRFLCITTQQKYFSTGGIDCLKSLRFLYIIRCSNLEYLFEDMQGLEKLRRLVISACESLICLPQSIKCLTTLDTLCIAGCDNLELRMEEGEETQFSLQRLELRRLPKIVDFPEWLIRGSTNSLKVLEVEKCNNLRELPNCLQNMASHPEIRIIDCPELNNDPLRKPVRVNI >Manes.08G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1960310:1962762:1 gene:Manes.08G019400.v8.1 transcript:Manes.08G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLAWFTLFFLVALVLLLKQRQSRSLGAKKRPPGPPAWPVVGNIFNLGSFPHRNLYKLRFKYGPVIWLRLGCTNGLVIQSAKAAEELFKNHDVSFSDRKVPEAFTAHDYQTGSISLGRYGFEWRLVRRVVTVELMTHKRINGTASVRRKCIDNMIQNIEEDVAAAVARGETGELVVAHYVFVMAFNLIGNLVISQDLLNSHSKEGPEFFEAMDKVMEWSGKPNVADFLPFLKGLDPQRIKKKMERDLGRTLKVVDGFVKKRIEDRKLMKRREGRDFLDALLEYEGDGKNGPDEISAHRRLIIILEIFFGGTETTSGTIEWTLAELFRHPESMRRVKEELNRVVGSKRKVEESDIDELPYLRAVIKESMRLHPVFPLLVPRNTLHDTNFMGYDVPKDTQIFVNAWAIGRDPDAWEDPLSFKPERFLRSDIDYRGQNFELIPFGSGRRFCAGFPLAHRMLHLTLASLLHSFDWELGSNSSPETIDMKERMGFTVRKLIPLKAIPKKKITAE >Manes.17G064100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26425120:26430204:-1 gene:Manes.17G064100.v8.1 transcript:Manes.17G064100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMELSSKVMAPTINTMLTKFPCMRNICMSYGPYFQMISPKRGSFLSLTRTSMKEKGNCTLVTCQLKAAFPVALFHQTFCYAFESNMKPISRTNHAVFSTSSPTFSILHYCKSMIHVKL >Manes.17G064100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26425120:26430204:-1 gene:Manes.17G064100.v8.1 transcript:Manes.17G064100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMELSSKVMAPTINTMLTKFPCMRNICMSYGPYFQMISPKRGSFLSLTRTSMKEKGNCTLVTCQLKAAFPVALFHQTFCYAFESNMKPISRTNHAVFSTSSPTFSILHYLLSFKDV >Manes.17G064100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26425120:26430204:-1 gene:Manes.17G064100.v8.1 transcript:Manes.17G064100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMELSSKVMAPTINTMLTKFPCMRNICMSYGPYFQMISPKRGSFLSLTRTSMKEKGNCTLVTCQLKAAFPVALFHQTFCYAFESNMKPISRTNHAVFSTSSPTFSILHYLLSFKDV >Manes.17G064100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26425120:26430204:-1 gene:Manes.17G064100.v8.1 transcript:Manes.17G064100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMELSSKVMAPTINTMLTKFPCMRNICMSYGPYFQMISPKRGSFLSLTRTSMKEKGNCTLVTCQLKAAFPVALFHQTFCYAFESNMKPISRTNHAVFSTSSPTFSILHYLLSFKDV >Manes.17G064100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26425120:26430204:-1 gene:Manes.17G064100.v8.1 transcript:Manes.17G064100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMELSSKVMAPTINTMLTKFPCMRNICMSYGPYFQMISPKRGSFLSLTRTSMKEKGNCTLVTCQLKAAFPVALFHQTFCYAFESNMKPISRTNHAVFSTSSPTFSILHYCKSMIHVKL >Manes.17G064100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26425120:26430204:-1 gene:Manes.17G064100.v8.1 transcript:Manes.17G064100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCFCSPDILNPSFVDRSFVALGLRPRTFDMMKMELSSKVMAPTINTMLTKFPCMRNICMSYGPYFQMISPKRGSFLSLTRTSMKEKGNCTLVTCQLKAAFPVALFHQTFCYAFESNMKPISRTNHAVFSTSSPTFSILHYCKSMIHVKL >Manes.17G064100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26425120:26430204:-1 gene:Manes.17G064100.v8.1 transcript:Manes.17G064100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMELSSKVMAPTINTMLTKFPCMRNICMSYGPYFQMISPKRGSFLSLTRTSMKEKGNCTLVTCQLKAAFPVALFHQTFCYAFESNMKPISRTNHAVFSTSSPTFSILHYCKSMIHVKL >Manes.17G064100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26425120:26430204:-1 gene:Manes.17G064100.v8.1 transcript:Manes.17G064100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCFCSPDILNPSFVDRSFVALGLRPRTFDMMKMELSSKVMAPTINTMLTKFPCMRNICMSYGPYFQMISPKRGSFLSLTRTSMKEKGNCTLVTCQLKAAFPVALFHQTFCYAFESNMKPISRTNHAVFSTSSPTFSILHYLLSFKDV >Manes.01G129050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32296988:32299312:-1 gene:Manes.01G129050.v8.1 transcript:Manes.01G129050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKKKAPTLVDLCVDGAIGNVMFLGDVGDTDSHLLDRILPHCTVDNLSPVTDKLWKRFYELEFGVANANFVTERMKRCKASCPWRDLYEAKLKLIAKQREVARFRQPHIEQVRFCSKFPSPGSKRNFYGGSGPGYNLSSFQCEGQVDEEIKNGVSLTVDAATSSIYSSKLVSYNPNTREVKNIAAMKKMSMQRNNSSCHSASCSTAGGFPGNNSALSSRHNKSFDRRF >Manes.01G185100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36272433:36278037:1 gene:Manes.01G185100.v8.1 transcript:Manes.01G185100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSKRNYYYDNQDYDNEIIVGRTKPRYNNHHYTPNTHRHRGSGATANNNRPSKQQQDPSMMVTTTYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPEGRLPAFSPAQEALFLIHERILESDVQFAMNGGGYGNEEDDYGGRGNRVATRLVVSRMHVGCLLGKGGKIIEQMRIETKTQIRILPRDHTLPRCVSMSEEIVQVVGDANAVKNAIAIISSRLRESQHRDRSYGNFHGRLHSPEQFFPDDDYIPHMNNTVRRSSVDGPSFGSRVPATNYRSSNYSSRQSGYTVDDGAAMADCAQTFYNEDLVFRILCPTEKVNKVVGETDGILDLLQNEIGVDVKVSDPVSGSDEQIIIISSEEGPDDVLFPAQEALLHIQTRIVDLVPDKDNIIKTKLLVSASEVGCFEGRDGSLSEMERLTGARIQILPREKLPACVSGADELVQIEGEIKAARDALVEVTSRLRIYLYKEFFQKDTPPSISALGMEADSPNNTTPACDSHSGGEPPAPIYQNMRSVATSAQQSKDTGGSSTEKMKQCDTERREEVPTTINRIPKPLVTRSTLEIVIPDHAVPKLVTKSKNKLAQISELSGANVTLVEDRPEVTEKIIQISGTPEQAERAQSLLQGFILSTLEDGP >Manes.03G189900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30938750:30940405:-1 gene:Manes.03G189900.v8.1 transcript:Manes.03G189900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSLILLFISLALAYEVGVCDGGELRKYFYKNTCPQAEDIVRTIIWQSVASNSALPAKFLRMHFHDCFVRGCDASILLDSTPDNTAEKAAIPNLSLAGFDVIDKAKAQLEKTCPGVVSCADIVALAARDSVSFQFKKPIWEVLTGRRDGSISRASEALSNIPSPFFNFTLLKQSFASKSLTVHDLVVLSGGHTIGVGHCNLFSNRLYNFTGKGDADPSLNPTYASFLKTQCRSLADNTTIVPMDPLTPLTFDNNYFKILKLNEGLFQSDAALLTNKGSSNIVDELLDSAKFFTEFAQSIKRMGSIGVLTGSAGEIRKNCRVVNY >Manes.06G138300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26603104:26621609:1 gene:Manes.06G138300.v8.1 transcript:Manes.06G138300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEETLLSCHLKMQRFQFLSLMIQYMDSVLGPEWLHLKRGRESFARGPSVKVDPQGRCGGVLVYDLQMIILKAAQAAAGLVGDDDALGSGGAISTRVQSSYIINLRDLDMKHVKDFIFVHEYIEPVVVILHERELTWAGRVSWKHHTCMISALSISTTLKQPTLIWSVVNLPHDAYKLLAVPSPIGGVLVICTNTIHYHSESATCALSLNNYAVSIDSSQELPRASFSVELDAAKATWLSNDVALLSTKNGELLLLTLVYDGRVVQRLDLSKSRASVLTSDITTIGSSLFFLGSRLGDSLLVQFTYGLGSSMISSGLKEEVGDIEGDVPTAKRLKRSPSDGLQDMVSGEELSLYGSTGNNTESTQKTFSFAVRDSLINVGPLKDLSYGLRVNADANASGIAKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVDLPGCRGIWTVYHKTARGHSVDTSRMAAVDDEYHAYLIISMENRTMVLETADHLTEVTESVDYFVQGRTIAAGNLFGRRRVVQVYERGARILDGSFMTQDLSFGASNSESGSGSDSSTVLSVSIADPYVLIRMTDGSIRLLVGDPSTCMVSINTPSAFENSKKSISACTLYHDKGPEPWLRKASTDAWLSTGISEAIDGADGGPHDQGDIYCILCYATGALEIFDVPNFNSVFSVDKFVSGKNHLVDTYVRETSKDSQQNKTSEEVAGLGRKESTHNMKVVELAMQISPGQHCRPFLFGILSDGTILCYHAYLFEVPDGTSKIEDSISTQNSVGPGVISSSRLRNLRFVRVPLDSYTREETPSESSCQRITIFKNINGYQGFFLSGSRPAWFMVFRERIRVHPQLCDGSIVAFTVLHNVNCNHGFIYVTSQGNLKICQLPSVSSYDNYWPVQKVPLKGTPHQVTYFAEKNLYPLIVSVPVHKPVNQVLSSLVDQEVSHQIENHNLSSDELHRTYSVEEFEVRILEPERSGGPWQTKATIPMQSSESALTVRVVTLFNTTTKENETLLAIGTAYVQGEDVAARGRVLLFSVGKNPDNSQVLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGTELNGVAFFDAPPLYVVSLNIVKNFILLGDVHKSIYFLSWKEQGAQLSLLAKDFGSLDCFATEFLIDGSTLSLVVSDEQKNAQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFIRLQMLSTSDRSGTAPGSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPRSFRQFRENGRVHRPGPESIVDCELLSHYEMLPLEEQLEIAQQVGTTRAQILSNLNDLSLGTSFS >Manes.06G138300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26603104:26621609:1 gene:Manes.06G138300.v8.1 transcript:Manes.06G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMHWPTGIENCASGFITHCRADLAPQVPSMQSDDLESEWPAKRGIGPVPNLIVTAGNVLEVYVIRVQEEGTRESRNSREPKRGGVMDGVSGASLELVCHYRLHGNVESMAVLPIEGGDGSRRRDSIILSFKDAKISVLEFDDSIHGLRTSSMHCFEGPEWLHLKRGRESFARGPSVKVDPQGRCGGVLVYDLQMIILKAAQAAAGLVGDDDALGSGGAISTRVQSSYIINLRDLDMKHVKDFIFVHEYIEPVVVILHERELTWAGRVSWKHHTCMISALSISTTLKQPTLIWSVVNLPHDAYKLLAVPSPIGGVLVICTNTIHYHSESATCALSLNNYAVSIDSSQELPRASFSVELDAAKATWLSNDVALLSTKNGELLLLTLVYDGRVVQRLDLSKSRASVLTSDITTIGSSLFFLGSRLGDSLLVQFTYGLGSSMISSGLKEEVGDIEGDVPTAKRLKRSPSDGLQDMVSGEELSLYGSTGNNTESTQKTFSFAVRDSLINVGPLKDLSYGLRVNADANASGIAKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVDLPGCRGIWTVYHKTARGHSVDTSRMAAVDDEYHAYLIISMENRTMVLETADHLTEVTESVDYFVQGRTIAAGNLFGRRRVVQVYERGARILDGSFMTQDLSFGASNSESGSGSDSSTVLSVSIADPYVLIRMTDGSIRLLVGDPSTCMVSINTPSAFENSKKSISACTLYHDKGPEPWLRKASTDAWLSTGISEAIDGADGGPHDQGDIYCILCYATGALEIFDVPNFNSVFSVDKFVSGKNHLVDTYVRETSKDSQQNKTSEEVAGLGRKESTHNMKVVELAMQISPGQHCRPFLFGILSDGTILCYHAYLFEVPDGTSKIEDSISTQNSVGPGVISSSRLRNLRFVRVPLDSYTREETPSESSCQRITIFKNINGYQGFFLSGSRPAWFMVFRERIRVHPQLCDGSIVAFTVLHNVNCNHGFIYVTSQGNLKICQLPSVSSYDNYWPVQKVPLKGTPHQVTYFAEKNLYPLIVSVPVHKPVNQVLSSLVDQEVSHQIENHNLSSDELHRTYSVEEFEVRILEPERSGGPWQTKATIPMQSSESALTVRVVTLFNTTTKENETLLAIGTAYVQGEDVAARGRVLLFSVGKNPDNSQVLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGTELNGVAFFDAPPLYVVSLNIVKNFILLGDVHKSIYFLSWKEQGAQLSLLAKDFGSLDCFATEFLIDGSTLSLVVSDEQKNAQIFYYAPKMSESWKGQKLLSRAEFHVGAHVTKFIRLQMLSTSDRSGTAPGSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPRSFRQFRENGRVHRPGPESIVDCELLSHYEMLPLEEQLEIAQQVGTTRAQILSNLNDLSLGTSFS >Manes.06G138300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26603104:26621609:1 gene:Manes.06G138300.v8.1 transcript:Manes.06G138300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAAYKMMHWPTGIENCASGFITHCRADLAPQVPSMQSDDLESEWPAKRGIGPVPNLIVTAGNVLEVYVIRVQEEGTRESRNSREPKRGGVMDGVSGASLELVCHYRLHGNVESMAVLPIEGGDGSRRRDSIILSFKDAKISVLEFDDSIHGLRTSSMHCFEGPEWLHLKRGRESFARGPSVKVDPQGRCGGVLVYDLQMIILKAAQAAAGLVGDDDALGSGGAISTRVQSSYIINLRDLDMKHVKDFIFVHEYIEPVVVILHERELTWAGRVSWKHHTCMISALSISTTLKQPTLIWSVVNLPHDAYKLLAVPSPIGGVLVICTNTIHYHSESATCALSLNNYAVSIDSSQELPRASFSVELDAAKATWLSNDVALLSTKNGELLLLTLVYDGRVVQRLDLSKSRASVLTSDITTIGSSLFFLGSRLGDSLLVQFTYGLGSSMISSGLKEEVGDIEGDVPTAKRLKRSPSDGLQDMVSGEELSLYGSTGNNTESTQKTFSFAVRDSLINVGPLKDLSYGLRVNADANASGIAKQSNYELVCCSGHGKNGALCVLRQSIRPEMITEVDLPGCRGIWTVYHKTARGHSVDTSRMAAVDDEYHAYLIISMENRTMVLETADHLTEVTESVDYFVQGRTIAAGNLFGRRRVVQVYERGARILDGSFMTQDLSFGASNSESGSGSDSSTVLSVSIADPYVLIRMTDGSIRLLVGDPSTCMVSINTPSAFENSKKSISACTLYHDKGPEPWLRKASTDAWLSTGISEAIDGADGGPHDQGDIYCILCYATGALEIFDVPNFNSVFSVDKFVSGKNHLVDTYVRETSKDSQQNKTSEEVAGLGRKESTHNMKVVELAMQISPGQHCRPFLFGILSDGTILCYHAYLFEVPDGTSKIEDSISTQNSVGPGVISSSRLRNLRFVRVPLDSYTREETPSESSCQRITIFKNINGYQGFFLSGSRPAWFMVFRERIRVHPQLCDGSIVAFTVLHNVNCNHGFIYVTSQGNLKICQLPSVSSYDNYWPVQKVPLKGTPHQVTYFAEKNLYPLIVSVPVHKPVNQVLSSLVDQEVSHQIENHNLSSDELHRTYSVEEFEVRILEPERSGGPWQTKATIPMQSSESALTVRVVTLFNTTTKENETLLAIGTAYVQGEDVAARGRVLLFSVGKNPDNSQVLVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGTELNGVAFFDAPPLYVVSLNIVKNFILLGDVHKSIYFLSWKEQGAQLSLLAKDFGSLDCFATEFLIDGSTLSLVVSDEQKNAQL >Manes.17G019300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10099593:10108485:1 gene:Manes.17G019300.v8.1 transcript:Manes.17G019300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKKRPKPASKSPKPEREPSLSSSSSHSPLMEPPHSLFPSKEELLRLIAVLAIASSVALTCNFIVSYINPTTKPFCDSNVDSSDPFSDFCEPCPKNGECSQGKLECARGFRKHRNICVEDGEINERAKKLSEWVENRLCEAYAQFLCHGMGKIWVQEDEIWNDLDGHQLMENLMPDSAINIFTKKKAMEMLGSLLEMRTNLYGSKELKCPDLVAEHYKPFICRLQQWIFKHAFVTSMLFVLVVASTLLLRKVQRRFYLSTRSEELYHQVCEILGENALMSKTSNVEPWLIASQLRDHLLLPKERKDTVLWKKVEKLVEEDSRVDRYPKLVKGESKVVWEWQVEGSWSSARMKKKVEARRLKSSEGIKPSSDQQIHALKSKPRTLMF >Manes.17G019300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10099596:10108125:1 gene:Manes.17G019300.v8.1 transcript:Manes.17G019300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKKRPKPASKSPKPEREPSLSSSSSHSPLMEPPHSLFPSKEELLRLIAVLAIASSVALTCNFIVSYINPTTKPFCDSNVDSSDPFSDFCEPCPKNGECSQGKLECARGFRKHRNICVEDGEINERAKKLSEWVENRLCEAYAQFLCHGMGKIWVQEDEIWNDLDGHQLMENLMPDSAINIFTKKKAMEMLGSLLEMRTNLYGSKELKCPDLVAEHYKPFICRLQQWIFKHAFVTSMLFVLVVASTLLLRKVQRRFYLSTRSEELYHQVCEILGENALMSKTSNVEPWLIASQLRDHLLLPKERKDTVLWKKVEKLVEEDSRVDRYPKLVKGESKVVWEWQVEGSWSSARMKKKVEARRLKSSEGIKPSSDQQIHALKSSKPLAE >Manes.17G019300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10099593:10108485:1 gene:Manes.17G019300.v8.1 transcript:Manes.17G019300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKKRPKPASKSPKPEREPSLSSSSSHSPLMEPPHSLFPSKEELLRLIAVLAIASSVALTCNFIVSYINPTTKPFCDSNVDSSDPFSDFCEPCPKNGECSQGKLECARGFRKHRNICVEDGEINERAKKLSEWVENRLCEAYAQFLCHGMGKIWVQEDEIWNDLDGHQLMENLMPDSAINIFTKKKAMEMLGSLLEMRTNLYGSKELKCPDLVAEHYKPFICRLQQWIFKHAFVTSMLFVLVVASTLLLRKVQRRFYLSTRSEELYHQVCEILGENALMSKTSNVEPWLIASQLRDHLLLPKERKDTVLWKKVEKLVEEDSRVDRYPKLVKGESKVVWEWQVEGSWSSARMKKKVEARRLKSSEGIKPSSDQQIHALKSSKPLAE >Manes.17G019300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10099596:10108125:1 gene:Manes.17G019300.v8.1 transcript:Manes.17G019300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITKKRPKPASKSPKPEREPSLSSSSSHSPLMEPPHSLFPSKEELLRLIAVLAIASSVALTCNFIVSYINPTTKPFCDSNVDSSDPFSDFCEPCPKNGECSQGKLECARGFRKHRNICVEDGEINERAKKLSEWVENRLCEAYAQFLCHGMGKIWVQEDEIWNDLDGHQLMENLMPDSAINIFTKKKAMEMLGSLLEMRTNLYGSKELKCPDLVAEHYKPFICRLQQWIFKHAFVTSMLFVLVVASTLLLRKVQRRFYLSTRSEELYHQVCEILGENALMSKTSNVEPWLIASQLRDHLLLPKERKDTVLWKKVEKLVEEDSRVDRYPKLVKGESKVVWEWQVEGSWSSARMKKKVEARRLKSSEGIKPSSDQQIHALKSKPRTLMF >Manes.12G054901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5363618:5365454:-1 gene:Manes.12G054901.v8.1 transcript:Manes.12G054901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDQRAWSAHALAFPFPAQGHINPVLQFCKRLVSKGVKATLVTTRFLSKSIHVDPSSNIDLETISDGFDEGGHAQAESVEAYVSTFKAVGSETLANLIRKLNDSGHPVNALIYDGTFPWALDVAKQFGLLKVMFCTQSCAVSIVAYHVQRGLLRVPLSGPVVSIPGLPLMEAADTPSFIYDLSTYTAFYDVLVNQFINIDETDWILHSSFHKMEEEVVDWMAKRWRLRTIGPTVPSMYLDKRIESDKSYAINLFKPNASACINWLHAKPVASVVYVSFGSVAELGVEQTRELAWGLKGSNCYFLWVVRATELFKLPENFVEETKEKGLVVTWCPQLEVLTHEAIGCFLTHCGFNSVLEALSLGVPMVAVPQWSDQPTNAKYVEDVWKMGIRAKPDEEGIVRREVVEVCLREVMEGDKAKEIRDNARNWKRLSKEAIDEGGTSDKNIDEFVAALLGKTILGNSDFI >Manes.06G111200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24315655:24316626:1 gene:Manes.06G111200.v8.1 transcript:Manes.06G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHPIGIPACFTSGEKLTDDPATVTRSGQSVFMSVYRTKIVDQCRLITITWCKNLLLHGLSVSVEGPEGDSQYTCKVELKPWYFWRKQGSKRFIADGKAIDIFWDLKAAKFNGETEPHSEYYVAVVCDEEVVLLLGDLKKDAYRKTGCRPALIDPILVSRKEHIFGKKKFSTRMKFHERGRFHEISIECKNTSHHNSNSANGVEPELEIRIDGHLAIHVKHLQWKFRGNQSFNLNKLRVEVYWDVHDWLFSPGLRHALFIFKPTLPSIYLSSQSTSSSSPALSSLTSTPLSSQTGSSGSLEGLNAGGSSDFCLFLYAWRVEW >Manes.13G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31659185:31666532:-1 gene:Manes.13G111400.v8.1 transcript:Manes.13G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWEAFLNWLRSLFFMQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISRSELHDLLSKPSLNGIPLLVLGNKIDKPGALSKQALTDQMGLKSITDREVCCFMISCKNSTNIDSVIDWLVKHSKSKS >Manes.11G162300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32733836:32741939:-1 gene:Manes.11G162300.v8.1 transcript:Manes.11G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPMDQDAQESSGLPWGVTVTPFAAKDENGQPPTYGSDGDLLPRCENCYAYFNTYCELDQWAWTCALCGTLNGLTSRAIARYSHPQSCAEMMSSFIDLELPIEGSEEEMMQARPVYVAAVDLSSSEEFLELTKSALQAALEALAPGALFGLATFSHKLGLYDVQGPIPVVKNMFIPSDTEGTLPIELEDAMPLLQFLAPVDTCKDRITAALDTLRPTTAWERTTGAGQGLDGVLMGGRGFGVAMEALFKYLGSEYGNIFALARVFAFLSGPPDYGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYSNTDDSTLPQDIYRMLSRPYAFGCILRLRTSSEFKPGHSYGHFFPDPQYENVQHIICCDSYATYAYDFDFASTDGFSRYASEQPVLQIAFQYTVVVPPEELSNSGLVSASGGKHSLKRRLRIRTLQFGAARNVNELYDSVDPEAVLSVLVHKVILASLEQGVREGRMLLHDWLVILTAQYNDAYKLVQFKNGSLVTGQIDVAFSHCPQLQPLPRLVFALLRNPLLRFHEEGVHPDYRIYLQCLFSALEPSSLHRAVYPVLMSYSTPDKQAYPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADPALPFPPPQDCLLRTTINKLKQDRSITPKLIFIRGGHDDASAFEHYLIEEQDVNGNGFTSVMGFVSFLEDITQSVLEYMK >Manes.16G002300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:416506:418276:-1 gene:Manes.16G002300.v8.1 transcript:Manes.16G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFPVIDLSKLSGEERKPTMEMIQDACENWGFFELVNHGISHELMDTVERLTKEHYKKCMEQRFKEMVASNGLEAVQSEINDLDWESTFFLRHLPVSNMAEIPDLDEEYRKTMKEFAVELEKLAEQFLEVLCENLGLEKGYLKKAFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWFDVPPMKHSIVINIGDQLEVITNGKYKSVMHRVIAQTDGTRMSLASFYNPGSDAVIYPAPALVEKEAEKSQVYPKFVFEDYMKLYAGLKFQAKEPRFEAMKAMGPIATA >Manes.02G169500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13342471:13346614:1 gene:Manes.02G169500.v8.1 transcript:Manes.02G169500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPADIVTASVDIVKGPNFVKIAALSLLSLSLIALFYHPPSFIPSVVSSASSSAVGDVTTSISSSISPQPSPPPTTTSSSNSSQRQPPPPWLTVGPRIGIVDETGAMAVDFDTGEFDSSAVEELRNLSDWRDEEKKEENVAIGVRLKYKKYKMCEETKSDYIPCLDNVEEITRLNLSGSVERFERHCPEEGKGLNCLVPMPKGYKRSIPWPRSRDEVLFNNVPHTRLVEDKDGQNWKKKKGDKLIFPGGGSQFIHGPDEYLNQISQMVPDIAFGQRTRVALDIDCGVASFAAFLLQRNVNTLSIAPKDAHENQIQVALERGVPAMAAVFASRRLLYPSQAFDLIHCSRCRIDWIRDDGILLLETNRMLRAGGYFVYKREANLQEQWREMQDLTSRICWELVKKEGDIAVWQKPLNNSCYLNRDAGAQPPLCNSSDAPDNVWYVSLRACITLLPENGYGANVTTWPMRLHYPPDRLQSTIMDASISRKELFKAESKYWNEIIESYVNAFRWKEMNFRNVMDMRAGFGGFAAALHDLQIDCWVMNVVPVSGFNTLPVIYDRGLIGAMHDWCEPFDTYPRTYDLLHAAGLFSVEQKRHKCNISSIMLEMDRILRHGGIVYIRDTVSVMDELQEIAKAMGWEPALRDTGEGQHSIWKILICEKRIP >Manes.02G169500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13342471:13346614:1 gene:Manes.02G169500.v8.1 transcript:Manes.02G169500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPADIVTASVDIVKGPNFVKIAALSLLSLSLIALFYHPPSFIPSVVSSASSSAVGDVTTSISSSISPQPSPPPTTTSSSNSSQRQPPPPWLTVGPRIGIVDETGAMAVDFDTGEFDSSAVEELRNLSDWRDEEKKEENVAIGVRLKYKKYKMCEETKSDYIPCLDNVEEITRLNLSGSVERFERHCPEEGKGLNCLVPMPKGYKRSIPWPRSRDEVLFNNVPHTRLVEDKDGQNWKKKKGDKLIFPGGGSQFIHGPDEYLNQISQMVPDIAFGQRTRVALDIDCGVASFAAFLLQRNVNTLSIAPKDAHENQIQVALERGVPAMAAVFASRRLLYPSQAFDLIHCSRCRIDWIRDDGILLLETNRMLRAGGYFVYKREANLQEQWREMQDLTSRICWELVKKEGDIAVWQKPLNNSCYLNRDAGAQPPLCNSSDAPDNVWYVSLRACITLLPENGYGANVTTWPMRLHYPPDRLQSTIMDASISRKELFKAESKYWNEIIESYVNAFRWKEMNFRNVMDMRAGFGGYHSRITFEFYIYNVTIDFI >Manes.16G043301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6969014:6970203:-1 gene:Manes.16G043301.v8.1 transcript:Manes.16G043301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQATVKAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGEVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCEDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKVKTVPKQYIII >Manes.15G103100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8098808:8112556:-1 gene:Manes.15G103100.v8.1 transcript:Manes.15G103100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRCLFVQGQSGSIMDYTPRNVFDLGAFVGDLTLEEDTSSDEISLEGLEQELQGCKNDDVVANILSKGTTLRDYTKGVENNLRQAELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMIDIIVDGEVNEEYLRTLEILSKKLKFIEVDPLVNGSKALKDVQPELEKLRLKAVSKVFEFIVQKLHALRKPKTNIQILQQSVLLKYKYVISFLMEHGKEIYTEVRGAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETRSSGLFSRPREPLKNKSAVFALGERSNILKEIDQPALIPHIAEASSQKYPYEVLFRSLHKLLMDTATSEYLFCDDFFGEESIFYEIFAGPLAVVDEHFNSRLPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDLHLGSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAVDDLLIKLAKTFAKPKQQIVFLVNNYDMTISVLKEAGPEGGKIQMHFEELLKSNTALFVEELLLEHFSDLIKFVKTRASEDPNSSSEKPITIAEVETIVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRIAGGSALNKDLVSISSIMYEIRKYSRTF >Manes.15G103100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8098808:8112556:-1 gene:Manes.15G103100.v8.1 transcript:Manes.15G103100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTPRNVFDLGAFVGDLTLEEDTSSDEISLEGLEQELQGCKNDDVVANILSKGTTLRDYTKGVENNLRQAELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMIDIIVDGEVNEEYLRTLEILSKKLKFIEVDPLVNGSKALKDVQPELEKLRLKAVSKVFEFIVQKLHALRKPKTNIQILQQSVLLKYKYVISFLMEHGKEIYTEVRGAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETRSSGLFSRPREPLKNKSAVFALGERSNILKEIDQPALIPHIAEASSQKYPYEVLFRSLHKLLMDTATSEYLFCDDFFGEESIFYEIFAGPLAVVDEHFNSRLPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDLHLGSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAVDDLLIKLAKTFAKPKQQIVFLVNNYDMTISVLKEAGPEGGKIQMHFEELLKSNTALFVEELLLEHFSDLIKFVKTRASEDPNSSSEKPITIAEVETIVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRIAGGSALNKDLVSISSIMYEIRKYSRTF >Manes.15G103100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8098808:8112556:-1 gene:Manes.15G103100.v8.1 transcript:Manes.15G103100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTPRNVFDLGAFVGDLTLEEDTSSDEISLEGLEQELQGCKNDDVVANILSKGTTLRDYTKGVENNLRQAELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMIDIIVDGEVNEEYLRTLEILSKKLKFIEVDPLVNGSKALKDVQPELEKLRLKAVSKVFEFIVQKLHALRKPKTNIQILQQSVLLKYKYVISFLMEHGKEIYTEVRGAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETRSSGLFSRPREPLKNKSAVFALGERSNILKEIDQPALIPHIAEASSQKYPYEVLFRSLHKLLMDTATSEYLFCDDFFGEESIFYEIFAGPLAVVDEHFNSRLPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDLHLGSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAVDDLLIKLAKTFAKPKQQIVFLVNNYDMTISVLKEAGPEGGKIQMHFEELLKSNTALFVEELLLEHFSDLIKFVKTRASEDPNSSSEKPITIAEVETIVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRIAGGSALNKDLVSISSIMYEIRKYSRTF >Manes.15G103100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8098808:8112556:-1 gene:Manes.15G103100.v8.1 transcript:Manes.15G103100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTPRNVFDLGAFVGDLTLEEDTSSDEISLEGLEQELQGCKNDDVVANILSKGTTLRDYTKGVENNLRQAELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMIDIIVDGEVNEEYLRTLEILSKKLKFIEVDPLVNGSKALKDVQPELEKLRLKAVSKVFEFIVQKLHALRKPKTNIQILQQSVLLKYKYVISFLMEHGKEIYTEVRGAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETRSSGLFSRPREPLKNKSAVFALGERSNILKEIDQPALIPHIAEASSQKYPYEVLFRSLHKLLMDTATSEYLFCDDFFGEESIFYEIFAGPLAVVDEHFNSRLPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDLHLGSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAVDDLLIKLAKTFAKPKQQIVFLVNNYDMTISVLKEAGPEGGKIQMHFEELLKSNTALFVEELLLEHFSDLIKFVKTRASEDPNSSSEKPITIAEVETIVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRIAGGSALNKDLVSISSIMYEIRKYSRTF >Manes.15G103100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8098808:8112556:-1 gene:Manes.15G103100.v8.1 transcript:Manes.15G103100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTPRNVFDLGAFVGDLTLEEDTSSDEISLEGLEQELQGCKNDDVVANILSKGTTLRDYTKGVENNLRQAELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMIDIIVDGEVNEEYLRTLEILSKKLKFIEVDPLVNGSKALKDVQPELEKLRLKAVSKVFEFIVQKLHALRKPKTNIQILQQSVLLKYKYVISFLMEHGKEIYTEVRGAYIDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETRSSGLFSRPREPLKNKSAVFALGERSNILKEIDQPALIPHIAEASSQKYPYEVLFRSLHKLLMDTATSEYLFCDDFFGEESIFYEIFAGPLAVVDEHFNSRLPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDLHLGSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNLERLRMAVDDLLIKLAKTFAKPKQQIVFLVNNYDMTISVLKEAGPEGGKIQMHFEELLKSNTALFVEELLLEHFSDLIKFVKTRASEDPNSSSEKPITIAEVETIVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKRIAGGSALNKDLVSISSIMYEIRKYSRTF >Manes.05G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23565485:23570613:1 gene:Manes.05G134400.v8.1 transcript:Manes.05G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSFFIFFVLTCFSSANAESNSTFTPINRDLYHSSIDLLEQIKALVHRHPDKLTLETIKTGNKGYQAEITVATYCRSRRGSDDRSKFRILLSFGQHGRELITSELALRILSILSEEQFLPNTNPTSLKSALDKLIIKVVPLENLNGRKFVEGGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGSAPFSEPETQIMRKLALSFDPHIWVNVHSGMEALFMPYDHRNTTPDGSPTERMKSLLNELNQVHCHNRCMIGSGGGSVGYLAHGTATDYMYDIVKVPLAFTFEIYGDPTASSKDCFKMFNPVDLTTFNRVLNDWSAAFFKIFKLGPLQLDEIGSKGSTSNLDKWVSIDEYLDGYLMERRNRYGKKMEVLDLGMQEIRTYFRLFLLSSVLLLFMFCSRIAKSKSSRP >Manes.04G132102.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33319953:33320844:1 gene:Manes.04G132102.v8.1 transcript:Manes.04G132102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAHKVMWVAVSFMTTAFVAATWVILPNDYKTRWMLETVLAIAAGSMATVFVYLVVKLARHWLRKLNWKKQRGKKSRDC >Manes.12G147100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36807275:36812076:-1 gene:Manes.12G147100.v8.1 transcript:Manes.12G147100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFLTSTPLLSESWRLCDLANTMPSQGFVAEQTGSVGYLAFSGVQLVAGPDPTSARNLEPLVTSAIGLFSPLQHQIDGEEEEGQEPVMVHAGLLRVFLSVYSNPSFQNQMLKILEKSKSIVISGHGIGGTTAGLCALWLLSYLQSISSTISVLCITFGSPLLGNESLSRAILRQGWAGNFCHVVSKHDLVPRLLFAPLAPLTPQLHSLLQFWQLSMTSPHFALLAAKLPDESKAEIFHTVLASLNGLTQAEEGKKVNCGFWPFGNYFFCSEDGAICIDNATSIIKMMHLLLLSSSPSCSIEDHLKYGYYVRKLTLQVLTRTSFPPWELPESSYEAGVALALQSSGIACQDEHVAPPAKACLKLPRRTGRTPNLNCANLAIKLSKIAPYRAEIEWYKASCDQSDDQMGYYDSFKQRGASKRGSKVNMNRLKLARFWDNVINMLENNDLPRDFRRRAKWVNASQFYMLLVEPLDIAEYYRTGMHRKKGHYIRHGRERRYHIFERWWKERPIKEEEQKTRSKFASSTQDTCFWARVEEAKEWLDNVRDESDPRNQARLWSDLDNFARYASELVERKEVSKDVVAKNSSYCLWVRDYEELKSQFVGAPQFPSIMDREVVS >Manes.12G147100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36807275:36812076:-1 gene:Manes.12G147100.v8.1 transcript:Manes.12G147100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEDSSFESSEMLASFLTSTPLLSESWRLCDLANTMPSQGFVAEQTGSVGYLAFSGVQLVAGPDPTSARNLEPLVTSAIGLFSPLQHQIDGEEEEGQEPVMVHAGLLRVFLSVYSNPSFQNQMLKILEKSKSIVISGHGIGGTTAGLCALWLLSYLQSISSTISVLCITFGSPLLGNESLSRAILRQGWAGNFCHVVSKHDLVPRLLFAPLAPLTPQLHSLLQFWQLSMTSPHFALLAAKLPDESKAEIFHTVLASLNGLTQAEEGKKMMHLLLLSSSPSCSIEDHLKYGYYVRKLTLQVLTRTSFPPWELPESSYEAGVALALQSSGIACQDEHVAPPAKACLKLPRRTGRTPNLNCANLAIKLSKIAPYRAEIEWYKASCDQSDDQMGYYDSFKQRGASKRGSKVNMNRLKLARFWDNVINMLENNDLPRDFRRRAKWVNASQFYMLLVEPLDIAEYYRTGMHRKKGHYIRHGRERRYHIFERWWKERPIKEEEQKTRSKFASSTQDTCFWARVEEAKEWLDNVRDESDPRNQARLWSDLDNFARYASELVERKEVSKDVVAKNSSYCLWVRDYEELKSQFVGAPQFPSIMDREVVS >Manes.12G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36807258:36812076:-1 gene:Manes.12G147100.v8.1 transcript:Manes.12G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEDSSFESSEMLASFLTSTPLLSESWRLCDLANTMPSQGFVAEQTGSVGYLAFSGVQLVAGPDPTSARNLEPLVTSAIGLFSPLQHQIDGEEEEGQEPVMVHAGLLRVFLSVYSNPSFQNQMLKILEKSKSIVISGHGIGGTTAGLCALWLLSYLQSISSTISVLCITFGSPLLGNESLSRAILRQGWAGNFCHVVSKHDLVPRLLFAPLAPLTPQLHSLLQFWQLSMTSPHFALLAAKLPDESKAEIFHTVLASLNGLTQAEEGKKVNCGFWPFGNYFFCSEDGAICIDNATSIIKMMHLLLLSSSPSCSIEDHLKYGYYVRKLTLQVLTRTSFPPWELPESSYEAGVALALQSSGIACQDEHVAPPAKACLKLPRRTGRTPNLNCANLAIKLSKIAPYRAEIEWYKASCDQSDDQMGYYDSFKQRGASKRGSKVNMNRLKLARFWDNVINMLENNDLPRDFRRRAKWVNASQFYMLLVEPLDIAEYYRTGMHRKKGHYIRHGRERRYHIFERWWKERPIKEEEQKTRSKFASSTQDTCFWARVEEAKEWLDNVRDESDPRNQARLWSDLDNFARYASELVERKEVSKDVVAKNSSYCLWVRDYEELKSQFVGAPQFPSIMDREVVS >Manes.03G091700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21864822:21876007:-1 gene:Manes.03G091700.v8.1 transcript:Manes.03G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHMTNTAAFPWPNPQKSKLFDLEFPGHNFNRRDFGVLTDNSGINGVSAIFDFGNRIGQAGADFGACLNGLVQQFFRRLPVPFKQEEAVGMDVRMDGKRSNRVGVECELGLVSERLRDYGFVENDVTGGKLGGSPDEETGGFNLKSVGHLGKPQGAINITSTYESRTNNLESSMVARGDLWRVEASHGSSTSGNDNSSLFLVQLGPVLFVRDSTLLLPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPLACSFVDLQFPNGQFTYVSGEGLTTSAFLPFMGGLLQAQGQYPGEMRFSFSHKNKWGTRITPMVQWPDKSFTLGFSQALAWQRSGLMVRPTVQFSLCPTFGGSNPGLRAELIHSVNEQLNLICGCAFTTHPSIFASLSIGRSKWNGNVGSSGVVVRIDSPISDVGRPSFSVQLNSGFEL >Manes.15G193101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32873113:32873668:-1 gene:Manes.15G193101.v8.1 transcript:Manes.15G193101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLFHICFSLTVLFTTCRFEQETVLHTLVHCPFTRDCWELTAIHQAIMITWEIWNARNRLLWQHQIVNPYTVLLKAKRFQHEWQAAKLAPPPDKGVKCNVDASLDASTGVAGVGMVLRDGAGSFLEARSVSLGCVTSALMAKIMGVREALS >Manes.03G052100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4838253:4840321:-1 gene:Manes.03G052100.v8.1 transcript:Manes.03G052100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTKTLSSPTQFPYFTSLATAITSCLQSLNPRTPDSSRINPAPLNQFSQFLNSQLVIEVINKQINPYHALFFFNWASNPHPNPNYYTHCQQCYVAITDFLLSHSLFSVASSLLEKSNKLSDFMASKFITAYGNRGHIKGSIFWLHKAKTIADGNCLFSYNAILNVLVKGNRISLAQSFFDEMVNDAAVKQDVSTYSIMIKGYCKIGMIENARKVFDEMSCEPNVGSYNIMINGYCKKGDMEKAIGIFYRLMGSRYYLPDTVTFTTLIDGYCKKGEIDKAMKWMDEMKLRCCKPNLITYNAMIYGLCMRGNVDKAKKLMTEMRLNGLKENLASHMSILRGLSQTGKSGEAVNYFKEMIGKGMKPGAKAYGIVVTEYCKMRIPSKAISLLKEMQAEGINPYVASFNVVFRTLVEFGELDMAILLLKQMPGMGGKPNYVSYSIVICGLCRARGRMQDVEKLLKDMLRNGIGIDGTMYSSLVMGYCEDGNEQMAKQAFYEMIDKNYVIRLDSFTIFVKQFCEKGKAVEAEKIFKEMCERCSVVDAESYSRILDDQLVKHMAKGGE >Manes.11G038000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3663523:3666636:1 gene:Manes.11G038000.v8.1 transcript:Manes.11G038000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENSGGRHVKSRSVDDSCILYEKQSKDPQIGNKDAAPPQAKDKNPDMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQWRLEPMSPERKARWRREIGWLLCVTDYIVEFVASQQKSKDGVEMEIMVTRQRNDLHMSIPALRKLDAMLIGCLDNFKDQNEFYYLSRDAPDSEKGGSKRNDKWWLPTPKVPPNGLSDAARKFLQYQKDCVNQVLKAAMAINANVLSEMEIPENYIESLPKNGRASLGDLIYRSITVEFFDPDQFLSAMDLTSEHKILDLKNRIEASIVIWKRKMNQKDGKSGWGSAISLEKRELFEERAETILLILKQRFPGIPQSSLDISKIQYNKDIGQAILESYSRILESLAYTVLSRIEDVLYADYVTRNPSYAGQKRNPLTETPQESTSPMDETASEGPNSMTLSDFMGWTMESNDSDAKNEADEIPDEEIEGLNLKLTEKLASIVAIKKPSYLENLGGQRSPTARH >Manes.11G038000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3663523:3666636:1 gene:Manes.11G038000.v8.1 transcript:Manes.11G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQWRLEPMSPERKARWRREIGWLLCVTDYIVEFVASQQKSKDGVEMEIMVTRQRNDLHMSIPALRKLDAMLIGCLDNFKDQNEFYYLSRDAPDSEKGGSKRNDKWWLPTPKVPPNGLSDAARKFLQYQKDCVNQVLKAAMAINANVLSEMEIPENYIESLPKNGRASLGDLIYRSITVEFFDPDQFLSAMDLTSEHKILDLKNRIEASIVIWKRKMNQKDGKSGWGSAISLEKRELFEERAETILLILKQRFPGIPQSSLDISKIQYNKDIGQAILESYSRILESLAYTVLSRIEDVLYADYVTRNPSYAGQKRNPLTETPQESTSPMDETASEGPNSMTLSDFMGWTMESNDSDAKNEADEIPDEEIEGLNLKLTEKLASIVAIKKPSYLENLGGQRSPTARH >Manes.11G038000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3663523:3666636:1 gene:Manes.11G038000.v8.1 transcript:Manes.11G038000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENSGGRHVKSRSVDDSCILYEKQSKDPQIGNKDAAPPQAKDKNPDMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQWRLEPMSPERKARWRREIGWLLCVTDYIVEFVASQQKSKDGVEMEIMVTRQRNDLHMSIPALRKLDAMLIGCLDNFKDQNEFYYLSRDAPDSEKGGSKRNDKWWLPTPKVPPNGLSDAARKFLQYQKDCVNQVLKAAMAINANVLSEMEIPENYIESLPKNGRASLGDLIYRSITVEFFDPDQFLSAMDLTSEHKILDLKNRIEASIVIWKRKMNQKDGKSGWGSAISLEKRELFEERAETILLILKQRFPGIPQSSLDISKIQYNKDIGQAILESYSRILESLAYTVLSRIEDVLYADYVTRNPSYAGQKRNPLTETPQESTSPMDETASEGPNSMTLSDFMGWTMESNDSDAKNEADEIPDEEIEGLNLKLTEKLASIVAIKKPSYLENLGGQRSPTARH >Manes.10G123912.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28902885:28917263:-1 gene:Manes.10G123912.v8.1 transcript:Manes.10G123912.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSPNKTESSGVLASMEPVFLHGELDVRIIEARSLPNMDLPAEHMRRCFTVFGNCTSPCGNKETTQSRRHSMITSDPYVSVCLAGATVAQTRIIANCENPLWDEQFCVPVAHPVVKVEFHVKDNDVLGAELIGVVEVHVEKIFSGSMINDWFPIIGTNGNSAKSEPLPELHISIQYKPVGENSLYKDGIGAGPDYRGVPDTYFPLRKGGKLTFYQDAHVPDAMLPEIPLDGGNVFQHNRCWEDICHAILEAHHLIYIIGWSVFHPVKLVREPTKPLPSGGELTLGELLKYKSQEGVRVVLLIWDDKTSHDKFYLKTDGVMQTHDEETKKFFKHSTVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKSVVLDTQASGNNRKITAFIGGLDLCDGRYDTPEHKLFGDLDTIFDNDFHNPTFTSNVKSPRQPWHDLHCKIEGPAAYDVLKNFEQRWGIAAKWRDFKLRKVTHWHEDALLRLERISWIPTPSPGPDGDKNVYVTDEEDPENWHAQIFRSIDSGSVKGFPKATEEAVAQNLVCAKDLTVDKSIHTAYIKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLIPMELAFKIASKISANERFSVYIVIPMWPEGVPSSSAVQEILFWQGQTMAMMYKIIAKALEDAGLSDQYHPQEYLNFYCLGKREVSSEQNPSQINQQSDNRTLDQQTLTRDP >Manes.10G123912.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28902885:28917264:-1 gene:Manes.10G123912.v8.1 transcript:Manes.10G123912.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSPNKTESSGVLASMEPVFLHGELDVRIIEARSLPNMDLPAEHMRRCFTVFGNCTSPCGNKETTQSRRHSMITSDPYVSVCLAGATVAQTRIIANCENPLWDEQFCVPVAHPVVKVEFHVKDNDVLGAELIGVVEVHVEKIFSGSMINDWFPIIGTNGNSAKSEPLPELHISIQYKPVGENSLYKDGIGAGPDYRGVPDTYFPLRKGGKLTFYQDAHVPDAMLPEIPLDGGNVFQHNRCWEDICHAILEAHHLIYIIGWSVFHPVKLVREPTKPLPSGGELTLGELLKYKSQEGVRVVLLIWDDKTSHDKFYLKTVVGTLFTHHQKSVVLDTQASGNNRKITAFIGGLDLCDGRYDTPEHKLFGDLDTIFDNDFHNPTFTSNVKSPRQPWHDLHCKIEGPAAYDVLKNFEQRWGIAAKWRDFKLRKVTHWHEDALLRLERISWIPTPSPGPDGDKNVYVTDEEDPENWHAQIFRSIDSGSVKGFPKATEEAVAQNLVCAKDLTVDKSIHTAYIKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLIPMELAFKIASKISANERFSVYIVIPMWPEGVPSSSAVQEILFWQGQTMAMMYKIIAKALEDAGLSDQYHPQEYLNFYCLGKREVSSEQNPSQINQQSDNRTLASVQKYRRFMIYVHSKGMIVDDEYVLVGSANINQRSLDGSRDTEIAVGAYQPTYTWAGKKSHPRGQIYGYRMSLWAEHLGILEEEFQEPETLECIKLVNTLAKDNWKTYVDEENKEMRGHLMQYPVQISRDGEVSALPDHETFPDVGGKILGSPTNLPDALTT >Manes.10G123912.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28904968:28917264:-1 gene:Manes.10G123912.v8.1 transcript:Manes.10G123912.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSPNKTESSGVLASMEPVFLHGELDVRIIEARSLPNMDLPAEHMRRCFTVFGNCTSPCGNKETTQSRRHSMITSDPYVSVCLAGATVAQTRIIANCENPLWDEQFCVPVAHPVVKVEFHVKDNDVLGAELIGVVEVHVEKIFSGSMINDWFPIIGTNGNSAKSEPLPELHISIQYKPVGENSLYKDGIGAGPDYRGVPDTYFPLRKGGKLTFYQDAHVPDAMLPEIPLDGGNVFQHNRCWEDICHAILEAHHLIYIIGWSVFHPVKLVREPTKPLPSGGELTLGELLKYKSQEGVRVVLLIWDDKTSHDKFYLKTVVGTLFTHHQKSVVLDTQASGNNRKITAFIGGLDLCDGRYDTPEHKLFGDLDTIFDNDFHNPTFTSNVKSPRQPWHDLHCKIEGPAAYDVLKNFEQRWGIAAKWRDFKLRKVTHWHEDALLRLERISWIPTPSPGPDGDKNVYVTDEEDPENWHAQIFRSIDSGSVKGFPKATEEAVAQNLVCAKDLTVDKSIHTAYIKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLIPMELAFKIASKISANERFSVYIVIPMWPEGVPSSSAVQEILFWQGQTMAMMYKIIAKALEDAGLSDQYHPQEYLNFYCLGKREVSSEQNPSQINQQSDNRTLVCLSYLFSDHYIRYFYHL >Manes.10G123912.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28902885:28917263:-1 gene:Manes.10G123912.v8.1 transcript:Manes.10G123912.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSPNKTESSGVLASMEPVFLHGELDVRIIEARSLPNMDLPAEHMRRCFTVFGNCTSPCGNKETTQSRRHSMITSDPYVSVCLAGATVAQTRIIANCENPLWDEQFCVPVAHPVVKVEFHVKDNDVLGAELIGVVEVHVEKIFSGSMINDWFPIIGTNGNSAKSEPLPELHISIQYKPVGENSLYKDGIGAGPDYRGVPDTYFPLRKGGKLTFYQDAHVPDAMLPEIPLDGGNVFQHNRCWEDICHAILEAHHLIYIIGWSVFHPVKLVREPTKPLPSGGELTLGELLKYKSQEGVRVVLLIWDDKTSHDKFYLKTDGVMQTHDEETKKFFKHSTVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKSVVLDTQASGNNRKITAFIGGLDLCDGRYDTPEHKLFGDLDTIFDNDFHNPTFTSNVKSPRQPWHDLHCKIEGPAAYDVLKNFEQRWGIAAKWRDFKLRKVTHWHEDALLRLERISWIPTPSPGPDGDKNVYVTDEEDPENWHAQIFRSIDSGSVKGFPKATEEAVAQNLVCAKDLTVDKSIHTAYIKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLIPMELAFKIASKISANERFSVYIVIPMWPEGVPSSSAVQEILFWQGQTMAMMYKIIAKALEDAGLSDQYHPQEYLNFYCLGKREVSSEQNPSQINQQSDNRTLASVQKYRRFMIYVHSKGMIVDDEYVLVGSANINQRSLDGSRDTEIAVGAYQPTYTWAGKKSHPRGQIYGYRMSLWAEHLGILEEEFQEPETLECIKLVNTLAKDNWKTYVDEENKEMRGHLMQYPVQISRDGEVSALPDHETFPDVGGKILGSPTNLPDALTT >Manes.10G123912.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28904968:28917264:-1 gene:Manes.10G123912.v8.1 transcript:Manes.10G123912.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSPNKTESSGVLASMEPVFLHGELDVRIIEARSLPNMDLPAEHMRRCFTVFGNCTSPCGNKETTQSRRHSMITSDPYVSVCLAGATVAQTRIIANCENPLWDEQFCVPVAHPVVKVEFHVKDNDVLGAELIGVVEVHVEKIFSGSMINDWFPIIGTNGNSAKSEPLPELHISIQYKPVGENSLYKDGIGAGPDYRGVPDTYFPLRKGGKLTFYQDAHVPDAMLPEIPLDGGNVFQHNRCWEDICHAILEAHHLIYIIGWSVFHPVKLVREPTKPLPSGGELTLGELLKYKSQEGVRVVLLIWDDKTSHDKFYLKTDGVMQTHDEETKKFFKHSTVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKSVVLDTQASGNNRKITAFIGGLDLCDGRYDTPEHKLFGDLDTIFDNDFHNPTFTSNVKSPRQPWHDLHCKIEGPAAYDVLKNFEQRWGIAAKWRDFKLRKVTHWHEDALLRLERISWIPTPSPGPDGDKNVYVTDEEDPENWHAQIFRSIDSGSVKGFPKATEEAVAQNLVCAKDLTVDKSIHTAYIKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLIPMELAFKIASKISANERFSVYIVIPMWPEGVPSSSAVQEILFWQGQTMAMMYKIIAKALEDAGLSDQYHPQEYLNFYCLGKREVSSEQNPSQINQQSDNRTLVCLSYLFSDHYIRYFYHL >Manes.03G151700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27978264:27980134:1 gene:Manes.03G151700.v8.1 transcript:Manes.03G151700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEDSVMISINKKFTGESPVFSDHCIFKVPKELRSVNEEAYEPQLIAIGPYHHEKDHLLVMEDHKIRYLQNFLKRSGQENVLRYVQTIRSLEERARKCYAESLSFDHDKFVEMMLIDGCFIIEFICKLLEGDMEDPLLRSNHTLTRFMLDLLLLENQLPFFILQGLLVTSNSTPDQQSTFIKVILVIYKSFLPGPLCNSSCAYTPENMIQIKNLLELLHDHWQPSPARLEAYKKMRQTEERGFTRCATYLKEAGIKFKSVAQLKEVGIKFKSTRCATELKDAGIKFKSAVERDNLFDIDFVNGTIKIPKIQIEDKTECVLRNLIAYEQLTSSTSPKYFTDYMIFMDSLINSKKDVELLCRKGIIDNWKGDDETIAVLFNNLGEQVFCERNLYADIVNNVNKHCKKRRNLWMAKLRHDYFQSPWSLISVLAAIILLLLAMTQTVYSVLSYYK >Manes.01G056750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24181794:24183647:1 gene:Manes.01G056750.v8.1 transcript:Manes.01G056750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLLVPYIFFSLPAAIFNLLRGEVGKWIAFIAVVLRLFFPRHFPVKQEHKTERKNGCYFIITITAGRKPITEQREERREDLGLIGSESDITELKKMGLCLVPLSMVVNYFG >Manes.01G061500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25822147:25828741:-1 gene:Manes.01G061500.v8.1 transcript:Manes.01G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSRASPSYCLPTDISRCKTGQNVLFGVTNSIEAFKQKETCFRFCSLSCNSIKTSWVPREWVRIRRENQLVVAASPPTEDTVIPAEPLTKEDLVGYLASGCKPKEKWRIGTEHEKFGFELGTLRLMKYEQIAVLLNGIAERFDWEKIMEGDNIIGLKQGKHSISLKPGGQFELSVAPLETLHQTCAEVNSHLYQVKSVAEEMGIGFLGIGFQPKWGRKDIPVMPKHLANERRYEIMRNYMPKVGSLGLDMMFRTCTVQVDLDFSSETDMIRKFRTGLALQPIATALFANSPFVKGKPNGYLSMRSQIWTDTDKNRTAMLPFVFDDSFGFEKYVDYALDVPMYFVYRKKKYIVCTGMSFRNHLTTIFPEVRLKRSLEMRGADGVPWTRLCAFPAFWVGLLYDDISLQNVLDMIADWTPGERQMLRNKVPKTGVRTPFRDGLLKHVADNVLKLAKDGLERRGFKEIGFLNEVAEVVRTDVCLFIITNKLLEMYNGKWVQSVDPVFEELLY >Manes.08G066300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9869195:9886152:-1 gene:Manes.08G066300.v8.1 transcript:Manes.08G066300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFKLSRSSLSVSSDATDSQKPPLPPTVTFGRRTSSGRYVSYSRDDLDSELGSGDFMNYTVQLPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMEKVIDSETSHPQMAGAKGSSCLIPGCDAKVMSDERGVDILPCECDFKICRDCYIDAVKTVEGICPGCKEPYKNIELDEVATDNGRPLPLPPPRTGSKMERRLSLMKSTKSALIRSHTGDFDHNRWLFETKGTYGYGNAIWPNDAGFGNGKDEEVAEPKEFISKPWRPLTRKLKIPAAVISPYRLLIFIRIVALGLFLTWRVRHQNNDAIWLWGMSVVCEIWFTFSWLLDQFPKLCPINRATDLNVLKEKFETPSPSNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAVKLQRQNRDEPVENVKIPKATWMADGTHWPGTWMHPAPEHSRGDHAGIIQVMLKPPSDEPLHGNADDMKILDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEHHPGCFSCCFERHKSHSSVGNTPEENRALRMGDSDDEEMNLSEFPKKFGNSTFLVDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVVSCWYEDKTEWGQRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIISVTLSLLALLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDDFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSVIPQWSRLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSDTNQIGGSFQFP >Manes.16G001000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:318203:319960:1 gene:Manes.16G001000.v8.1 transcript:Manes.16G001000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPVRFNSMRAVFNEAAQMRLCESSGSEHYSPETSPDLSDLVNSFIERDYRIQGDYANNHDLESDSKLELLESCGFDYENKNTLENLLNNNDDEDAKRRIRSETQLACGTIGERTSHGFKRRLMSRLRDQGFDAGLCKSRWEKFGTHPPGQYEYVDVNIDGNRFIVEVFLAGEFEIARPTAGYATLLDVFPQVFVGKPEELKQVVRLMCSEIRRSMKEMDLHVPPWRRNGYMQAKWFGPYKRTINEIPVSKIPELNGSFAAKQSIGFEDLPVKAFPVKAYHCRDDFSSNKMCLKVGYLTAAFHGTG >Manes.08G070300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:11740706:11741402:1 gene:Manes.08G070300.v8.1 transcript:Manes.08G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPEKFLQYRYHFGLAIVASLVLSILLYAAPRFLTILAYFWPLFASTTVFLVLIIAFGRVSQAELATDSYSHGAQAGEGILDYVAGQAEHTSEPQKYE >Manes.17G123300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32877264:32880720:1 gene:Manes.17G123300.v8.1 transcript:Manes.17G123300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNWVVFAFIFLVLLSGFSSASATPPANSRPKLIAGSSEGYSGHVDGRLREARMNHPKGLTVDDRGNIYIADTMNMAIRKISDEGVTTIAGGKWTRGGGHVDVPSKDVKFSNDFDVVYIGSICSLLVIDRGNQAIREIQLHDEDCSYQYDGSFHLGIAVLVAAAFFGYMLALLQRRVQALFSSNDDSRAYIKKGTPMPPYQRPPKSVRPPLIPNEGELEKPDEGFFGSLGRLVLNTGSTVGEILGGLFSGFRSKPLHYQFQQQYQHHLQHSNAWPMQESFVIPDEDEPPSIETRTPTPKRTYPFITKDMEKHQQFKHNHSYHSGWDAEYHQQKKQQQQQQMHMQMQMQMQMQRHQQQQQQQHHHRHYTSNPKTYYEKGCETNEIVFGAVQEQDGRREAVVIKAVDYGDPRYNHHNIRPRFNYVGYAHGY >Manes.17G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32877264:32880720:1 gene:Manes.17G123300.v8.1 transcript:Manes.17G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNWVVFAFIFLVLLSGFSSASATPPAKIVSGVVSNVVSVLVKWLLSLKSNSKTAVSSRSMVKFESGYTVETVFDGSKLGIEPYSVELSPSGELLVLDSENSNIYKISTPLSRYSRPKLIAGSSEGYSGHVDGRLREARMNHPKGLTVDDRGNIYIADTMNMAIRKISDEGVTTIAGGKWTRGGGHVDVPSKDVKFSNDFDVVYIGSICSLLVIDRGNQAIREIQLHDEDCSYQYDGSFHLGIAVLVAAAFFGYMLALLQRRVQALFSSNDDSRAYIKKGTPMPPYQRPPKSVRPPLIPNEGELEKPDEGFFGSLGRLVLNTGSTVGEILGGLFSGFRSKPLHYQFQQQYQHHLQHSNAWPMQESFVIPDEDEPPSIETRTPTPKRTYPFITKDMEKHQQFKHNHSYHSGWDAEYHQQKKQQQQQQMHMQMQMQMQMQRHQQQQQQQHHHRHYTSNPKTYYEKGCETNEIVFGAVQEQDGRREAVVIKAVDYGDPRYNHHNIRPRFNYVGYAHGY >Manes.03G150600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27821226:27822496:1 gene:Manes.03G150600.v8.1 transcript:Manes.03G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEDSVSISINEKLADQSPIFSDHYIFKVSKEQWSVNEEAYEPKLIAIGPYHHGKDHLLAMKDHKIRYLQSLLQQSSQKDVSRYVQIIRNLKERARKYYAEPLSFTHDEFVEMILINGCFIIEFIHKLLEGDMEDPLFRSNHMLHSFMLLVTSNVIPDQESTFIKVILVIYERFLPGPLCNSSHVYTPENMIQIKNLLELLHDHWQPFPARLEVYEKMGQAKEGCFTRCATELKDAGIKFKSAVERNNLFDINFVNSTIKIPKIRIGDKTECLLRNLIVYEQLTSSTSPKYFTDYMRFMDCLIDSAKDVELLCCQGIIDNWKGDDESIAILFNKLGEHVFCERALYADIVNNVNEHCKKRSNLWMAKLRHDYFQSPWSFISVLAAIMLLLLSLTQTVYSVLSCYK >Manes.08G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1423105:1424908:-1 gene:Manes.08G012800.v8.1 transcript:Manes.08G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGHPQEATHPDALKAALAEFISTLIFVFAGEGSGMAFSKLTDNAANTPAGLVAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLKFSTGGLTTSAFALSSGVGVWNAFVLEIVMTFGLVYTVYATAVDPKKGNLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPALVSWSWENHWVYWAGPLVGGGLAGLIYEFIFIGNNTHEQLPTTDY >Manes.17G096900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30409206:30409916:1 gene:Manes.17G096900.v8.1 transcript:Manes.17G096900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVEIWVTELAKLKEKVRQRKPLISLSKAKGAVVAEEDKEAGKESKVFKRETNISESTVFMLMDRFAPS >Manes.03G203500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31903738:31912843:-1 gene:Manes.03G203500.v8.1 transcript:Manes.03G203500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRPLFDLNEPPAEDDEETDRVICLQPQKALPSVNPNSSDLLAASVVPLGIKCSNAFSHASSVSGFQPFVRPKVVHSPEEASEQKKMGDENPNFVSSLKTSNGDGTRAASSLVSGSADPGAVEREEGEWSDVEGSAGASAGNSLHVLGKASKDQGKSELICSASDTAIKDISGSTKVTDYNRFEISGRASHGMDQCLNDQKSNSIQNSDSNANGDASMDGQEDTAFVPKAREVKGVEANHALKYANHMGKRKIDQHKEAMLGKKRNRQTMLINIDEVKQAGPIKSSTPRRQPTTIRTVKEVRSVPPPAEHGEKHNQPMFKDHKQVGLSCNEGGTAAEFCQPKSECNGDISSGHQAKPRRLNNDSDFSGEAHLPPIPRQISWKQPADLRRQRRNPVLMSQSSMESKMGNKKNLPAKKPALISTPYQDTSVERLIREVTNEKFWHHPEESELQCIPGRFESVEEYVKVFEPLLFEECRAQLYSTWEELTETNTHVMVRVKSIERRERGWYDVIVLPVSECKWTFKEGDVAVLSTPRPGTAVRTKRSNTSSYEDDDEPEISGRVAGTVRRHIPFDTRDPHGAILHFFVGDSYDPYSKADEDHILRKLQPRCTWYLTVLGSLATTQREYVALHAFCRLNSQMQTAILKPSPDHFPKYEQQTPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSSGMTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPQSYKQADESNSGNIAMGSIDEVLHNMDQNIFRSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLVKSREEVSKWMQDLRGQEAYFSGQIADLQNKLSMAAADGRSQGSVGVDPDILMARDQNRDALLQNLAAAVENRDKVLVEISRLLILEARFRGGSNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFARLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRHFYQGRLTDSESVVNLPEEMYYKDPLLRPYLFYDVTYGRESHRGGSVSYQNIHEAQLCVQLYEHLQKTLKSFGLGRISVGIITPYKLQLKCLQHEFSAILKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRAKRALWVMGNANSLVQSDDWAALINDAKARSCYMDMDSLPKEFFVSKGMQGKSTNTRGLRIGGPRHRSMDIHVESRSGTPSEDDDSSSATVISRNGSYRPFKPLMDNSLDDFDHLGDKSRDAWQYGVQKKPSSSGIKRDS >Manes.12G042000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3814746:3817108:1 gene:Manes.12G042000.v8.1 transcript:Manes.12G042000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQILHWLFKLPQEQARESNKHNTIDQETEGRDIILFKYSGSHQRSARGKENKFSRRGFRQFVILCRKDVASACFYSTINLKRLDSFNRRKQQQHWVYSMKMKKEEEIAKEQSMNNKMDSATHAGNKVLPITDTTSLSSLSANSNIGQRGSLEKKNTLKGDKTKAMSRMKELLRWASAAKSEKKGNFIGRKVLHFRNKATLKAVPDDDQLSNESPKISFRWDVESCSTTSSVYSAISMGSCSKTDLAMNMLSLNSTPLHDRKGNWITTDSEFVVLEL >Manes.12G042000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3814746:3817107:1 gene:Manes.12G042000.v8.1 transcript:Manes.12G042000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILHWLFKLPQEQARESNKHNTIDQETEGRDIILFKYSGSHQRSARGKENKFSRRGFRQFVILCRKDVASACFYSTINLKRLDSFNRRKQQQHWVYSMKMKKEEEIAKEQSMNNKMDSATHAGNKVLPITDTTSLSSLSANSNIGQRGSLEKKNTLKGDKTKAMSRMKELLRWASAAKSEKKGNFIGRKVLHFRNKATLKAVPDDDQLSNESPKISFRWDVESCSTTSSVYSAISMGSCSKTDLAMNMLSLNSTPLHDRKGNWITTDSEFVVLEL >Manes.06G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25802725:25810351:1 gene:Manes.06G128800.v8.1 transcript:Manes.06G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAMLSSTITNKRTGIAQEEEDDPLLRISISSHSRKKPKLITKSALVHLQFFHVPLVSPAGLTVNVIRLEPGRPYTFGRSRTHSDCDFFFNDRRVSKQHCQILFDSVHRKIYILDGALFGIRTSVVAEFRRRLICYDQLEGEDKESVDSSIKVSLNGVFVNGIKVKRGMVKELCTGDEIMLACSNEGVCSSGVQIGFAIQGVVFKEEIVIGSNEVHLERIKLFGRTASMRHSQGSVSSGNRNKRVFAAHENEVMPQGYAISGLKSGGIVGRAKFLSNKCRQIMQSDDPICCIKQCVLSDFRMIISDPKSKLNCRTRVVPFDKGKFPVGDELMVNGAGQDIHIAQEVQPCENSRVGQDMHVNKDKCETKCFCCGSDSLFLKGTSGIYSEGGSERYSSLNSVGKVNSPDPSDVQTNCQPPGTGKKFYLNRLHFMDHGSFSHQNVISLPELLHPIKSITRIFIATFTSDILWFLSYCEIPCHLPVTIACHNSERCWSANPDKRISMPYSSFPNLVVVFPPFPEAIAFGNDRSRQGIGCHHPKLLVLQRENSIRVIITSANLVSNQWNNVTNTVWWQDFPIRSTPDLSSLFIRLSNGETNPGSGYDFAAQLAGFMASLLIDVPSQAHWILELTKYNFEGAMGYLVASVPGIHSCRPPYASQYALESIDEKFLGLVEESVVGLSHLFRTAADTNGALLKRLAAFLGRSCETAYGIEIVLRRNNNVPADVNAVSILVPNPDQFSEGDCVQLGFLPRYVAKWVSPLWDSGFFIFSGFIHPKEALAAALGGSNMRVQLILQVSQGPNFPDMMRMMLPEHVIAFSSLIASIQRCTGLWRLQEVLDQYKWPEMEQSDFIYGSSSIGSVNAQFLATFSAATGKRSVQFFDSEESDPEWGCWTGSQESKNPSIGIIFPTIERVKKACNGILPSRRILCFSEKTWQRLRTLDILHDAVPHPYDRVGHPMHAKVARRRFQSKIDASSFGWVYCGSHNFSAAAWGRLIPCPSGQKSNEPGKTNSSLGLRLHVSNYELGIVFVFPPWRKGVANKNHFNLDDVVLPFNVPAPKYGPTDRPATMQAMREALTEHIGKQGEKIVESTNLEEMIEEIPEEEEEVVEATHYVVEEKEEEKAYAEMLWSQNC >Manes.06G128800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25802724:25810351:1 gene:Manes.06G128800.v8.1 transcript:Manes.06G128800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAMLSSTITNKRTGIAQEEEDDPLLRISISSHSRKKPKLITKSALVHLQFFHVPLVSPAGLTVNVIRLEPGRPYTFGRSRTHSDCDFFFNDRRVSKQHCQILFDSVHRKIYILDGALFGIRTSVVAEFRRRLICYDQLEGEDKESVDSSIKVSLNGVFVNGIKVKRGMVKELCTGDEIMLACSNEGVCSSGVQIGFAIQGVVFKEEIVIGSNEVHLERIKLFGRTASMRHSQGSVSSGNRNKRVFAAHENEVMPQGYAISGLKSGGIVGRAKFLSNKCRQIMQSDDPICCIKQCVLSDFRMIISDPKSKLNCRTRVVPFDKGKFPVGDELMVNGAGQDIHIAQEVQPCENSRVGQDMHVNKDKCETKCFCCGSDSLFLKGTSGIYSEGGSERYSSLNSVGKVNSPDPSDVQTNCQPPGTGKKFYLNRLHFMDHGSFSHQNVISLPELLHPIKSITRIFIATFTSDILWFPPFPEAIAFGNDRSRQGIGCHHPKLLVLQRENSIRVIITSANLVSNQWNNVTNTVWWQDFPIRSTPDLSSLFIRLSNGETNPGSGYDFAAQLAGFMASLLIDVPSQAHWILELTKYNFEGAMGYLVASVPGIHSCRPPYASQYALESIDEKFLGLVEESVVGLSHLFRTAADTNGALLKRLAAFLGRSCETAYGIEIVLRRNNNVPADVNAVSILVPNPDQFSEGDCVQLGFLPRYVAKWVSPLWDSGFFIFSGFIHPKEALAAALGGSNMRVQLILQVSQGPNFPDMMRMMLPEHVIAFSSLIASIQRCTGLWRLQEVLDQYKWPEMEQSDFIYGSSSIGSVNAQFLATFSAATGKRSVQFFDSEESDPEWGCWTGSQESKNPSIGIIFPTIERVKKACNGILPSRRILCFSEKTWQRLRTLDILHDAVPHPYDRVGHPMHAKVARRRFQSKIDASSFGWVYCGSHNFSAAAWGRLIPCPSGQKSNEPGKTNSSLGLRLHVSNYELGIVFVFPPWRKGVANKNHFNLDDVVLPFNVPAPKYGPTDRPATMQAMREALTEHIGKQGEKIVESTNLEEMIEEIPEEEEEVVEATHYVVEEKEEEKAYAEMLWSQNC >Manes.06G128800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25802725:25810351:1 gene:Manes.06G128800.v8.1 transcript:Manes.06G128800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAMLSSTITNKRTGIAQEEEDDPLLRISISSHSRKKPKLITKSALVHLQFFHVPLVSPAGLTVNVIRLEPGRPYTFGRSRTHSDCDFFFNDRRVSKQHCQILFDSVHRKIYILDGALFGIRTSVVAEFRRRLICYDQLEGEDKESVDSSIKVSLNGVFVNGIKVKRGMVKELCTGDEIMLACSNEGVCSSGVQIGFAIQGVVFKEEIVIGSNEVHLERIKLFGRTASMRHSQGSVSSGNRNKRVFAAHENEVMPQGYAISGLKSGGIVGRAKFLSNKCRQIMQSDDPICCIKQCVLSDFRMIISDPKSKLNCRTRVVPFDKGKFPVGDELMVNGAGQDIHIAQEVQPCENSRVGQDMHVNKDKCETKCFCCGSDSLFLKGTSGIYSEGGSERYSSLNSVGKVNSPDPSDVQTNCQPPGTGKKFYLNRLHFMDHGSFSHQNVISLPELLHPIKSITRIFIATFTSDILCERCWSANPDKRISMPYSSFPNLVVVFPPFPEAIAFGNDRSRQGIGCHHPKLLVLQRENSIRVIITSANLVSNQWNNVTNTVWWQDFPIRSTPDLSSLFIRLSNGETNPGSGYDFAAQLAGFMASLLIDVPSQAHWILELTKYNFEGAMGYLVASVPGIHSCRPPYASQYALESIDEKFLGLVEESVVGLSHLFRTAADTNGALLKRLAAFLGRSCETAYGIEIVLRRNNNVPADVNAVSILVPNPDQFSEGDCVQLGFLPRYVAKWVSPLWDSGFFIFSGFIHPKEALAAALGGSNMRVQLILQVSQGPNFPDMMRMMLPEHVIAFSSLIASIQRCTGLWRLQEVLDQYKWPEMEQSDFIYGSSSIGSVNAQFLATFSAATGKRSVQFFDSEESDPEWGCWTGSQESKNPSIGIIFPTIERVKKACNGILPSRRILCFSEKTWQRLRTLDILHDAVPHPYDRVGHPMHAKVARRRFQSKIDASSFGWVYCGSHNFSAAAWGRLIPCPSGQKSNEPGKTNSSLGLRLHVSNYELGIVFVFPPWRKGVANKNHFNLDDVVLPFNVPAPKYGPTDRPATMQAMREALTEHIGKQGEKIVESTNLEEMIEEIPEEEEEVVEATHYVVEEKEEEKAYAEMLWSQNC >Manes.16G058600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22028387:22029740:1 gene:Manes.16G058600.v8.1 transcript:Manes.16G058600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQCCSKQKVKRGLWSPEEDEKLIKFITNHGHGSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTAQEERTIIDVHRILGNRWSQIAKHLPGRTDNEVKNFWNSCIKKKLSAQGLDPNTHKLLSPSYRKNCYNNTPCGLSADSIYNPISSPSAFSIVSSQMKDFSMDEKQTPFIPSFLSIPPPDSSTSSSLHPLHASTTCERQNSDIQGSHDHASESISMASVNTSCFDSNPSGFEIIYDSRLWNDAIKPIQSSRHEEMLVEQVVEIGKTNEYLSAGQNMDASFESSNFYLDLDFAECTLLPEMYYSASSIDQLTWDLQAL >Manes.14G086300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7115034:7135808:-1 gene:Manes.14G086300.v8.1 transcript:Manes.14G086300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIQKTKLPLAPTLGHHLAHRLVEVGVSEVFSVPGDSNMTLLDYFIDEGGLKLVGCCSELNAGYAADGYARARGVSACAVTFTVGGLSILNAIAGAYSQDLAVICIVGGPNSNDYGSNTILHHAIGLPDFSQELQCFRPVTCHQAIINDLEHAQEQIDRAITACLEESKPVYISICCNLVAIPHPTLVQDPIPLVFSSKMSNHMGPEVAVEAAAEFLNKAVKPVLVAGPRLRVAKACNAFVELADSSGYAIAVMPAAKGLVPENFPHFTGTYWGAASTLYTAEIVETADASLFAGPIFDDLSSLGHSLLFNKKKAIIAEPERVIIPNMPVFWRVSLKKFLEKLAKRLEYNTSAYENYKRICVPEALPLQSVPNKDLKVNVLFKHIQKMLSGDMFLLAEAGDSWFHCQKLKLPQGCGYESQLLYALIGWSIGATLGLAQAEPDKRVIACIGDGSFQMAPQEVSTMLRLGHNSIIFLINNGGYTTEVEIHDGPYNVIKNWSYGGLVNTINNEQGTCWTTKVGSEEEVIAAIETAMGDKKNCLCFIEVIVHRDDTSKELLQFGCRLAASNGRPPKS >Manes.05G083466.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6852685:6853199:1 gene:Manes.05G083466.v8.1 transcript:Manes.05G083466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQLTLQQGIHQPTAAAHPQPVANPVAANPEVTYVVKKILCSTKQEDETQRRKIFQAKCRVGEAICRLIIDSCSCENLIAKQLVEKLQLPTQPHPSPYKVGCIKEGPTIEVNKICSVPISIGKSYAELVNCDVVDMDCCGILLGRPWQFDVDALYKGKKNSYIFTCDDR >Manes.13G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30826572:30833282:-1 gene:Manes.13G106000.v8.1 transcript:Manes.13G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPATAEANQRIARIAAHLNPYNFQMEECGLNLANCRAKGGAAGFKVAVLGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQQQLEDALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPQAIVNIISNPVNSTVPIAAEVFKKAGTFDPKRVLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPILSQVKPPCSFTQKEIDYLTDRIQNGGTEVVEAKAGSGSATLSMAYAAVKFADACLRGLRGDANVVQCAYVASAVTELPFFATKVRLGRAGVEEIYALGPLNGYERAGLEKAKKELAASIQKGVSFIKK >Manes.17G018200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:9851824:9852801:-1 gene:Manes.17G018200.v8.1 transcript:Manes.17G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVRKRRLQQALKLCLPPSLPPLDFRHETHPPPSASLSAISPEYHGIEDLSDLEKLAVLGRGNGGTVYKVRHTRTTSIFALKVLRFYHNTTNIRQHAAREAEIFKRVDSPYIVRCYAVFDSEDDLCFVMEHMERGSLHDVLFVNKILPEDVISGVALCVLHGLKYLHRMQIVHGDIKPSNLLINSKGDVKIADFGVSRVVVGKRDAYEAFMGTCAYMSPERFDPEGWDGYNADGFAGDVWSLGVVVLECLVGHYPLIGVGEKPDWATLVCAICFQERLEMPENASPDFRSFVSKCLVKDWSKRGTVDELIDHPFVNRTYLGSHQ >Manes.16G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29735540:29744641:-1 gene:Manes.16G091200.v8.1 transcript:Manes.16G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPPNGFMANSAEGERKTINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEMDFIPSYPNLPSKLICMLHNVTLHADAETDEVYAQMTLQPVNKYDKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDYSMQPPAQELVARDLHENAWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPFSKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDPVRWKGSQWRNLQVGWDESTAGERPSRVSVWEIEPVVTPFYICPPPFFRPKFPKQPGMPGTSNDESDTENAFKRAMPWLGDEFGMKDTPSSIFPGLSLVQWMSMQQNNQLSAAQAGFFPPMLPSTALHNNFSVDDPSKLLNFQAPGLSVPSLQFNKANPQNQVSQLPQQSAWTQQQQLQQLLQTNITQQQPSLPQQEQQQSHPQQQQQRQQPQPQPQQQQQLSQQQQPQPQPPQLQVQQQQQMFQPPVNNGVVTPNQISNQNSQQQIVYSQLQQQQQLLASTSQSQNISGANKSPYQLTSLPQDTSFQQQMEQQSNLLQRHQQQTQLQQSPLQLLQQNLAQITQQQSQPQPQVQQLSHPGLSEQQLHLQLLQKLQQQQQQQLLSPTSSSLQPQLLQQQQTHQQNQQFQQSPLSQSQQQPLGNNSFSTAALMQSQSFPVSQSHGLQKSPTTIRAHSTLTDGDAPSCSTSPSTNNCQISPSNFLNRNQQTAAILMGDPVAEPANTLVQELNSKSDIRIKHEFPSSKGTDQLKYKGTMADQLEASSSGTSYCLDAGNIQPNFSLPTFGLDGDVQSHPRNSLPFATNIDSLAPDTLLSRGYDSQKDLQNLLTNYGGTPRDIETELSTAALSSQSFGVPNIPFKPGCSNDVPINDSGVLNGGLWASQTQRMRTYTKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSVEVQQMSLDGDLGSVPVPKQACSGTNSGNAWRGHYDDNSAASFNR >Manes.01G053800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:21119708:21126136:1 gene:Manes.01G053800.v8.1 transcript:Manes.01G053800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIPETAQKIFTPETLLSAAKQSQGCLVIPVRLRRAIKRYLREQEEPHMKRKVLRLSESFSEIKDVNLMLTTTTSKELVEDPFKSMERSKRWKIKSAYGDIGLKYTDDETVAYVASRMPAVFSACHRVFSEVRRRLPGFSPSKVLDFGAGTGSAFWAMREVWPKSVEKVNLVEPSQSMQRAGRSLIQGLKDLPLIHSYNSIQALTKSINKSEREHDLVIASYVLGEVPSLKDRITMVRQLWDLTRDVLVLIEPGTPHGSNIISQMRSHILWMEKRKCRKSKMPNNEACKDLMSVKSGAFVVAPCAHDGHCPLEKSAKYCHFVQRLQRTTSQRAYKRSKGEPLRGFEDEKFSFVAFRRGQRPRVSWPLDGMKFETLKEQHAKRNLDSLEIDYEDIIKQDEADVVPYKEVNPIDYDSDADEVDTVDNNSEDKEIEEGRAHANLGGGWGRIIFSPVRRGRQVALDVCRSINKDGSEGSFEHIVVTKSRNPSLHHQARRSLWGDLWPF >Manes.09G169200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36143536:36148940:1 gene:Manes.09G169200.v8.1 transcript:Manes.09G169200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVKSDRELVTNSNTIEDNRGEARVSGDVADSPDEEKARISGLERDSKAQGSGAIARVLENNGSTEELNAMVGVGEVDVDEEMEEESGAFEVTNEKNPSFVQFDLQNDRCAVSRVNAKGEVYNSFMSEFDDFVANEKHEAMAGTSRALSYGFELGDMVWGKVKSHPWWPGHIFNEAFASSSVRRTRREGYVLVAFFGDSSYGWFDPAELIPFDPYFAEKSQQTNSRNFVKAVEEAVDEASRRRGLGVACRCRNKYNFRPTNVQGYLEVDVPDYEPRGVYAANQIKKARDGFQPSETIAFVKQLALAPQGCDRSTIDFIKNRATAFALRKSMFEEFDETYAQAFGVQPKLPANDPASLLDQPVKDPTRAPLSGPLVIAEALGSGKSHKKPVKVKDHLKKDRYLFKRRDEPVDSQTLQLGQRQATSSAPAAYEEGSSAILTGDYVLQKRAPIPISAKHENAGIIIKEVAGPSEDVLGKEAVILDQGQKYLGGQTTRDTTLDEKSSYDKEKDALQETKDKLGSDVVAVLTSMGQSDISVKGLSQGVTDSASPSFQEGNAVVDIRYDENAKASRMNEDSTQTLSFPARTEGDSSLDKLHDARPSSHLSPVDAKCPVAVSSDVGVKKPKVLKRPLGDVGSENSIVKVKKKKKKLGPETSPDLPKKRLAMGTGGASVGKSSLISVATREDPRVNHQKKDVGTSNSSFSSGVNIELEVPHLLSELHALAVDPCHGAERKSPPFTMQFFLRFRSFFYQKSLVSSPPSESEPIEIRATKSPSAVVVSDSSAGENVRDFSTAKPVKPMVRPDDPTRGGRKRLPSDRQEEIAARRLKKISQLKSLTAEKKAVQRTLETHRSEGKELATAAPPKPAKSESSKKIEPQHRAVEPTMLVMKFPPGTSLPSVAELKARFARFGSIDQSAIRVFWQSSTCRVVFRHKLDAQAAYKYAVGNNSLFGNDVSVRYSVREVGAPAPEAPESDKGRGDDTSLEAPRVKDAANERLLMQQLLPQSSIQLKSILKKPTGDEAGQVTGGNGGRGTARVKFMLGGEETSRGEQLMIGNRNFNNNASFADGGAPTSSVAMDFNSKNYQKVMPPSPSQSPILPPPSQFAKLPFNNTHHTEVAPRNFHNQNIPIAPPSTPSIDISQQMLSLLTRCNDVVTSVTGLLGYVPYHPL >Manes.13G104925.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:30548755:30549805:1 gene:Manes.13G104925.v8.1 transcript:Manes.13G104925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILQPRWHFFPTEETLVASLSEFLSQRQSMTQLLKENLQVAQARMKQQADKKRTERAFEVGDWVFLKLEPYRQTSLAMRKSLKLSAKYYGPFQVIARIELVAYKLQLPPTSTIHPVFHISLLKKKIGNDIVPLQDLPVQ >Manes.06G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28470551:28474035:1 gene:Manes.06G159000.v8.1 transcript:Manes.06G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSISCYCIFFLVVFAASGASTDCPENCNGHGPPIRFPFRLKNSHPDCGYPGFDLSCTIRNETVLELPFSVKLFVSKIDYRSQKLLTYNPNDCPPKHLPLLNLSTSPFQFEYKHLDDFTVFSCYGEESSPWSEYDMSCLDNDQDHHIVALDSHRSIGNSPSLLSCTKLYNVSSVPYHMFLPKGDFSFSWSTPNCRMCEAERKLCKLTQNSSEPEIECSVNHEDWSGAIMRRKIIGAALVLGLFFLGLVVAIYDIHRSNKAEKENQKRVKKFLEDYKALKPTRYTYADIKRITNRFKDLLGQGAYGTVYKGKLSCEILVAVKVLNSSIGNGEEFINEVGTMGRIHHVNVVRLVGFCADGFRRALVYEYLPKNSLEKFISSADMKNNFLGWKKLQDITLGIAKGMEYLHQGCDQRILHFDIKPHNILLDDNLNPKISDFGMAKLCSKDQSAVSMTAARGTKGYIAPEVFSRNFGNVSHKSDVYSFGVLVLEMVGGRKNVEVMAESSSQIYFPEWMYNLLERGEDLRIYIEEEEDANIAKKLAIVGLWCIQWHPIQRPSMKVVLHMLERGENLSIPPNPFASTSTKRTNAVPGRRRHQELEVISELE >Manes.01G017300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4216490:4226359:1 gene:Manes.01G017300.v8.1 transcript:Manes.01G017300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRPGQPADTDMEDAAAAAAPPPSDPHQQQQQVSPSGGIENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETGEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPRRESFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELEFLNENAKRYIRQLPPQHRQSFTEKFPSVHPAAIDLVEKMLTFDPRLRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYREALAFNPEYQQQ >Manes.05G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:365063:369461:-1 gene:Manes.05G004500.v8.1 transcript:Manes.05G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGLARFDGIHGAVRKKRSQTSRRPKPDAQPVIDSCGLSPSSTTPPSDDVSKASSDENVDTNSRRKEFNLNQCMSRDSSTTEAEGEKSHIRNKESRGLNAFYRNDTGRSAFNNKRSSEGILAPANWKNSTKVKESFDSETKIANMYSGRNGESQSSEQSGVVLDALVNDNKVKKVKLKVCGVTRTIHANSTNDGASSIKNSRHSETSRSQQKQNAQGNLAEDHSILDKRTGLQGVPWKGFSAGGFSLGKDSTFMGKVKNTASGKQGEKSEPVRKSKRVPKRRVLDGEIGEDSDDEIRYLEKLKTPKIAAGYKDDEESSKKQRKLSSMDKIGASKLVKDGKKKSTLDKASQDTDYEDEEEDIVSEGEVDGNVKKKQKKESIDALMDGKREMTLTTRQRALQSSKDGSTPGANLIEFPNGLPPAPSRKQKEKLTEVEQQLKKAEAAQRRRMQVEKAARESEAEAIRKILGQDSSRKKREDKIKKRQEELAQEKAANALMLASNTIRWVMGPTGTTVTFPKEMGFPSIFEPKACSYPPPREKCAGPSCTNPYKYRDSKSKLPLCSLQCYKAIQEQMQTEASY >Manes.02G187400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14999505:15015690:1 gene:Manes.02G187400.v8.1 transcript:Manes.02G187400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEDLGVEAKEASVREVAKLLPLPELLQSISSIKADYITRQQANDAQLSTMVAEQVELAQSGLKALASSQKIINQLRENFISIEKYCLECQNLIENHDQIKLLSNARNNLNRTLKDVEGMMSISVEAAEARNSLSDDKEIVNTYERLKALDGKRRFALAAAASHEEEVGRLREYFEDVDRTWENFEKTLWGHIDNFYKLAKDSPQTLVRALRVVEMQEIFDQQVAEEAAEAEGEAAMAVISNPRRSAKKSTSSAPSSKNLAQQKLKVQGKGYKDKCYDQIRMSVEGRFSRLLTEFVFEDLKAALAEARAIGEELGGIYDFVAPCFPPRYEIFQLLVTGWVVEYQDNMIGLGVDESLAQVCSESGAMDPLMDSYVERMQATTRKWYLNILEADKVQPPKTTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLSIIQVLIDFQAAERKGFEEPASDIGLEPLCAMINNNLRCYDLAMELSNSIVEALPQNYAEQINFEDTCKGFLEVAKEAVHLTVKVIFEDPGVLELLAKIYQKEWSEGQITEFLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYVDHLLMQKNHIKEETIERMRLDEEVIVDFFREYISVSKVENRMRTMSDLRELASAESLDTFTLIYTNLLVHQPDCPPEVVEKLVGLREGIPKKDAKEVIQECKEIYENSLVDGNPPMRGTVFPKVKYLQTSKASIWRNFG >Manes.02G187400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14999505:15015690:1 gene:Manes.02G187400.v8.1 transcript:Manes.02G187400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEDLGVEAKEASVREVAKLLPLPELLQSISSIKADYITRQQANDAQLSTMVAEQVELAQSGLKALASSQKIINQLRENFISIEKYCLECQNLIENHDQIKLLSNARNNLNRTLKDVEGMMSISVEAAEARNSLSDDKEIVNTYERLKALDGKRRFALAAAASHEEEVGRLREYFEDVDRTWENFEKTLWGHIDNFYKLAKDSPQTLVRALRVVEMQEIFDQQVAEEAAEAEGEAAMAVISNPRRSAKKSTSSAPSSKNLAQQKLKVQGKGYKDKCYDQIRMSVEGRFSRLLTEFVFEDLKAALAEARAIGEELGGIYDFVAPCFPPRYEIFQLLVNLYTERFIQMLRLLSDRANNISNIEILKVTGWVVEYQDNMIGLGVDESLAQVCSESGAMDPLMDSYVERMQATTRKWYLNILEADKVQPPKTTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLSIIQVLIDFQAAERKGFEEPASDIGLEPLCAMINNNLRCYDLAMELSNSIVEALPQNYAEQINFEDTCKGFLEVAKEAVHLTVKVIFEDPGVLELLAKIYQKEWSEGQITEFLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYVDHLLMQKNHIKEETIERMRLDEEVIVDFFREYISVSKVENRMRTMSDLRELASAESLDTFTLIYTNLLVHQPDCPPEVVEKLVGLREGIPKKDAKEVIQECKEIYENSLVDGNPPMRGTVFPKVKYLQTSKASIWRNFG >Manes.02G187400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15004236:15015690:1 gene:Manes.02G187400.v8.1 transcript:Manes.02G187400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIFDQQVAEEAAEAEGEAAMAVISNPRRSAKKSTSSAPSSKNLAQQKLKVQGKGYKDKCYDQIRMSVEGRFSRLLTEFVFEDLKAALAEARAIGEELGGIYDFVAPCFPPRYEIFQLLVNLYTERFIQMLRLLSDRANNISNIEILKVTGWVVEYQDNMIGLGVDESLAQVCSESGAMDPLMDSYVERMQATTRKWYLNILEADKVQPPKTTEDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLSIIQVLIDFQAAERKGFEEPASDIGLEPLCAMINNNLRCYDLAMELSNSIVEALPQNYAEQINFEDTCKGFLEVAKEAVHLTVKVIFEDPGVLELLAKIYQKEWSEGQITEFLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYVDHLLMQKNHIKEETIERMRLDEEVIVDFFREYISVSKVENRMRTMSDLRELASAESLDTFTLIYTNLLVHQPDCPPEVVEKLVGLREGIPKKDAKEVIQECKEIYENSLVDGNPPMRGTVFPKVKYLQTSKASIWRNFG >Manes.05G016200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1528370:1534140:-1 gene:Manes.05G016200.v8.1 transcript:Manes.05G016200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVMSSGGAMELHLGTEEVAEKKKGTENKDDSSEVVLWEKFLPRMVLRVLLVEADDSTRQIIAALLRKCGYRVAAVPDGLMAWETLKCRPHNIDLILTEVDLPSISGYALLTLVMEHDICKSIPVIMMSSHDSISMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRQTLTSGHIPPNLPNAHHKVEAASENNSTSERSSDHASSPLKRKECSEKESDARVLSQLKHRSRSNLSNFEKEKCKESVILDKNAVSPDSKTGDWSTRLGLTSVSCNDACNPTAQKLEENVSCAETKIQDEGPRPENDWGNANTSQGHNNELLVSSSGALDLIGSFDNGAEHLYGDRSINNDINKFEPTTQLELSLRRSFPNNSKHDGVEEKHLLNHSNASAFSWYNSKMLQPLFPTSTSNCTEFKEGASKSPELSSNRLSQNISGVTQRHSSNLNGSQERMTTLFIDQPGQAELAHSNPQQLIPVPGVRLDNLCPGYGRVISPVYYRQAGAPPAWSPKLASHREQSPFSISVQSNPELQDSEQNISHFDDTVTNSVDQKIHHQNNWEAVEGPKHGSSAAGESTSNSISNGIADHNNSSACGSFCSGYDGNATVVVASEKAMAPESLNDGSFRVHDRFRGIDSHRSSQREAALTKFRLKRKDRCYEKKVRYESRKRLAEQRPRVKGQFVRQVQNESPTADANNRP >Manes.10G116901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28209566:28211449:1 gene:Manes.10G116901.v8.1 transcript:Manes.10G116901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGDQFSDTPLSSPLQAASRTCARSDSSPTITDLALWISSLGSQTSSLSLTQHIKLQQICNPLLPFLSLLGEL >Manes.01G193000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36768823:36770692:1 gene:Manes.01G193000.v8.1 transcript:Manes.01G193000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFPAVNKCASIGREKHTVVADMDGTLLIGRSSFPYFALIAFEGGGVFRLLFLLLASPIAGLLYYFISESAGIQVLIFASFAGMKVSNIESVARAVLPKFYSGDLQPESWRVFSSCGKRCVVTANPRIMVEAFLKDFLGADLVLGTEISTYKGRATGFVRSPGVLVGKNKANALRKAFPDARPDIGLGDRHTDIPFMALCEEAYHVPSKPEVRAITSDKLPKPIIFHDGRLVQKPTPLMALVIILWIPIGFIIACLRIAAGALLPMPMVYYAFWALGVRVTVKGTPPPPAKKSIGQSGVLFVCSHRTLLDPIFLSTALGRPIAAVTYSVSRLSEIISPIKTVRLSRDRATDASMIKKLLEGGDLAICPEGTTCREPFLLRFSALFAELTDQIVPVAMVNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLSKLPEELTCGAGKTSHEVANYIQRVIAATLSYECTTFTRKDKYKALAGNDGTVVEKSKLQPNKAMGC >Manes.12G158100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36584364:36584897:1 gene:Manes.12G158100.v8.1 transcript:Manes.12G158100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVADPTSGPLFIWLVSCFLFTCITAGGIFLLLYLVLPQNEATSWLPIAGVTLVCLPWIFWFITCFYRIVSRALGVRMVLGGGSNSGGNARARSNVLNTSASVASREPETADSPLESAAQEGDGRHVQFGEALVLGEGDDEHEKNANLRSLSTSISSNNLSIDPHKSEMPLTSDKAS >Manes.16G047500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8590310:8603985:-1 gene:Manes.16G047500.v8.1 transcript:Manes.16G047500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKGKVQMGINRVTEDSRCHRTDKGVRNFKVYTDNENAKVDVSGIRSVRESLVPARTSVSVNKGALPNTSSDLKEGSKFAEKIKGKSVSSVNQDFNFRRKALADVSNAQSSFSRNVTCDGSKTMIIGCSRSKTVNVSTKCSVGRMNVSQRFGNLQSASKGVKDLSAYSDDWRTHTKNSDRESIVTVNNSRRSTNTKNSGLEYCSLVKRSTNTKNSGRESIVTVNNSRKSTKTKNIGHESIVTVNKNRRSTNTNNIGRESIVAVNNNKRSTRGSLISVRKSLPVLTTVNQTDTNNIKNNDEGSGRKTKRNSGFSCKVKTSKNLVPKVSIYRTHLQKNKVNDGTMDQTNVKAHGGSRKLVKPTVKTSISASNPQRTLRTKRTSAMDKSISTVATSTDRKDESVTSSLLDNIPVVVSHEAIQEPSFDSNSKSTANKLDAIVQRKSDRRRSYTSSLMARSKLLVEHGEVIKQEKVPCIDDNCNQLEVAEYVDDIYQFYWVSEAQNLSLASYMSILTEITPQMRGILINWLIEVHFKFELMQETLYLMVTLLDQYLSQVQIKKNQMQLVGLTALLLASKYEDFWHPRIQDLLSISAQSYTRDEMLVMEKLILKKLKFRLNAPTPYVFMLRFLKAAQTDLESQLGHLSFYLIELSLVEYEALKFKPSMLCASAIYVARSTLQVDPAWTPLLAKHTRYEVSQIRDCAQMMLEFQKAARKSHLKVTYEKYTSPDLRSVATLKPLDKLPL >Manes.16G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8590310:8603985:-1 gene:Manes.16G047500.v8.1 transcript:Manes.16G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKGKVQMGINRVTEDSRCHRTDKGVRNFKVYTDNENAKVDVSGIRSVRESLVPARTSVSVNKGALPNTSSDLKEGSKFAEKIKGKSVSSVNQDFNFRRKALADVSNAQSSFSRNVTCDGSKTMIIGCSRSKTVNVSTKCSVGRMNVSQRFGNLQSASKGVKDLSAYSDDWRTHTKNSDRESIVTVNNSRRSTNTKNSGLEYCSLVKRSTNTKNSGRESIVTVNNSRKSTKTKNIGHESIVTVNKNRRSTNTNNIGRESIVAVNNNKRSTRGSLISVRKSLPVLTTVNQTDTNNIKNNDEGSGRKTKRNSGFSCKVKTSKNLVPKVSIYRTHLQKNKVNDGTMDQTNVKAHGGSRKLVKPTVKTSISASNPQRTLRTKRTSAMDKSISTVATSTDRKDESVTSSLLDNIPVVVSHEAIQEPSFDSNSKSTANKLDAIVQRKSDRRRSYTSSLMARSKLLVEHGEVIKQEKVPCIDDNCNQLEVAEYVDDIYQFYWVSEAQNLSLASYMSILTEITPQMRGILINWLIEVHFKFELMQETLYLMVTLLDQYLSQVQIKKNQMQLVGLTALLLASKYEDFWHPRIQDLLSISAQSYTRDEMLVMEKLILKKLKFRLNAPTPYVFMLRFLKAAQTDLESQLGHLSFYLIELSLVEYEALKFKPSMLCASAIYVARSTLQVDPAWTPLLAKHTRYEVSQIRDCAQMMLEFQKAARKSHLKVTYEKYTSPDLRSVATLKPLDKLPL >Manes.16G047500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8590310:8603985:-1 gene:Manes.16G047500.v8.1 transcript:Manes.16G047500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKGKVQMGINRVTEDSRCHRTDKGVRNFKVYTDNENAKVDVSGISVRESLVPARTSVSVNKGALPNTSSDLKEGSKFAEKIKGKSVSSVNQDFNFRRKALADVSNAQSSFSRNVTCDGSKTMIIGCSRSKTVNVSTKCSVGRMNVSQRFGNLQSASKGVKDLSAYSDDWRTHTKNSDRESIVTVNNSRRSTNTKNSGLEYCSLVKRSTNTKNSGRESIVTVNNSRKSTKTKNIGHESIVTVNKNRRSTNTNNIGRESIVAVNNNKRSTRGSLISVRKSLPVLTTVNQTDTNNIKNNDEGSGRKTKRNSGFSCKVKTSKNLVPKVSIYRTHLQKNKVNDGTMDQTNVKAHGGSRKLVKPTVKTSISASNPQRTLRTKRTSAMDKSISTVATSTDRKDESVTSSLLDNIPVVVSHEAIQEPSFDSNSKSTANKLDAIVQRKSDRRRSYTSSLMARSKLLVEHGEVIKQEKVPCIDDNCNQLEVAEYVDDIYQFYWVSEAQNLSLASYMSILTEITPQMRGILINWLIEVHFKFELMQETLYLMVTLLDQYLSQVQIKKNQMQLVGLTALLLASKYEDFWHPRIQDLLSISAQSYTRDEMLVMEKLILKKLKFRLNAPTPYVFMLRFLKAAQTDLESQLGHLSFYLIELSLVEYEALKFKPSMLCASAIYVARSTLQVDPAWTPLLAKHTRYEVSQIRDCAQMMLEFQKAARKSHLKVTYEKYTSPDLRSVATLKPLDKLPL >Manes.16G047500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8590310:8603985:-1 gene:Manes.16G047500.v8.1 transcript:Manes.16G047500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKGKVQMGINRVTEDSRCHRTDKGVRNFKVYTDNENAKVDVSGIRSVRESLVPARTSVSVNKGALPNTSSDLKEGSKFAEKIKGKSVSSVNQDFNFRRKALADVSNAQSSFSRNVTCDGSKTMIIGCSRSKTVNVSTKCSVGRMNVSQRFGNLQSASKGVKDLSAYSDDWRTHTKNSDRESIVTVNNSRRSTNTKNSGLEYCSLVKRSTNTKNSGRESIVTVNNSRRSTNTNNIGRESIVAVNNNKRSTRGSLISVRKSLPVLTTVNQTDTNNIKNNDEGSGRKTKRNSGFSCKVKTSKNLVPKVSIYRTHLQKNKVNDGTMDQTNVKAHGGSRKLVKPTVKTSISASNPQRTLRTKRTSAMDKSISTVATSTDRKDESVTSSLLDNIPVVVSHEAIQEPSFDSNSKSTANKLDAIVQRKSDRRRSYTSSLMARSKLLVEHGEVIKQEKVPCIDDNCNQLEVAEYVDDIYQFYWVSEAQNLSLASYMSILTEITPQMRGILINWLIEVHFKFELMQETLYLMVTLLDQYLSQVQIKKNQMQLVGLTALLLASKYEDFWHPRIQDLLSISAQSYTRDEMLVMEKLILKKLKFRLNAPTPYVFMLRFLKAAQTDLESQLGHLSFYLIELSLVEYEALKFKPSMLCASAIYVARSTLQVDPAWTPLLAKHTRYEVSQIRDCAQMMLEFQKAARKSHLKVTYEKYTSPDLRSVATLKPLDKLPL >Manes.16G047500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8590310:8603985:-1 gene:Manes.16G047500.v8.1 transcript:Manes.16G047500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKGKVQMGINRVTEDSRCHRTDKGVRNFKVYTDNENAKVDVSGISVRESLVPARTSVSVNKGALPNTSSDLKEGSKFAEKIKGKSVSSVNQDFNFRRKALADVSNAQSSFSRNVTCDGSKTMIIGCSRSKTVNVSTKCSVGRMNVSQRFGNLQSASKGVKDLSAYSDDWRTHTKNSDRESIVTVNNSRRSTNTKNSGLEYCSLVKRSTNTKNSGRESIVTVNNSRRSTNTNNIGRESIVAVNNNKRSTRGSLISVRKSLPVLTTVNQTDTNNIKNNDEGSGRKTKRNSGFSCKVKTSKNLVPKVSIYRTHLQKNKVNDGTMDQTNVKAHGGSRKLVKPTVKTSISASNPQRTLRTKRTSAMDKSISTVATSTDRKDESVTSSLLDNIPVVVSHEAIQEPSFDSNSKSTANKLDAIVQRKSDRRRSYTSSLMARSKLLVEHGEVIKQEKVPCIDDNCNQLEVAEYVDDIYQFYWVSEAQNLSLASYMSILTEITPQMRGILINWLIEVHFKFELMQETLYLMVTLLDQYLSQVQIKKNQMQLVGLTALLLASKYEDFWHPRIQDLLSISAQSYTRDEMLVMEKLILKKLKFRLNAPTPYVFMLRFLKAAQTDLESQLGHLSFYLIELSLVEYEALKFKPSMLCASAIYVARSTLQVDPAWTPLLAKHTRYEVSQIRDCAQMMLEFQKAARKSHLKVTYEKYTSPDLRSVATLKPLDKLPL >Manes.15G004400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:520162:522785:1 gene:Manes.15G004400.v8.1 transcript:Manes.15G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSERIFFLTVFFFITLNSIPVSSKGSASDSESYILACGATNEDTDSDGRKWEPDTKYINSSSNSMTASADSQDPSLPSTVPYMTARIFTSAYTYKLSVPKKSRLWVRLHFYPSTYSSLSSNTSYFAVTANKFQLLKNFSASITAQALTMAYLIKEYSLTPIGSGNLDLTFTPSPDYDDSYAFVNGIEVIPMPDIYQSAAMVGLSDENFDLTNSSLQTMFRLNVGGQFIPASNDSGLTRTWYDDTPYLFGAAIGVTSQANITIKYPTADVPRSIAPLNVYSTARTMGPDPKVNVNYNLTWIFYIDANFTYLLRFHFCEFLLSRSNQRAFDIYINNQTAQSGADVISWAGSQGVPFYKDYSLYVPDQIGDDQLWVALHPSVGLKPQYYDSILNGLEIFKLDDQRGNLAGPNPVPSPMMLKAEAEKAFSSSGSSSSSIIGGIAGGVAGLAVAAIISIFVLRKKRGLNGSQAGSHSWLPLYGNSTISGKSSASSHLSNLAQGLCRHFSLPEIKHATKNFDESNVIGVGGFGKVYKGVIDHGTKVAIKRSNPSSEQGVNEFQTEIEMLSKLRHKHLVSLIGFCEEDGEMALVYDYMANGTLREHIYKGTKPTSSLSWRQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPNLNSQGHVSTVVKGSFGYLDPEYFKRQQLTEKSDVYSFGVVLFEALCARPALNPNLSKEQVSLAEWALHCQKKGIIEDIIDPHIKTEIQPECLKKFAETAEKCLSDHGIHRPSMGDVLWNLEFSLQLQDNPTGAKMVSESKGNDTYGMNRHMLTILEESSLSEENDDVSQNEIFSQIAHPRGR >Manes.11G009200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1007850:1010403:-1 gene:Manes.11G009200.v8.1 transcript:Manes.11G009200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLPEEFDKVNILEGIAQNAAHFDVGVNNLLGGLLPSGFDHESCLSRYQSVQYRKPSTKKPSPYLLSKLRNYENLHKRCGPYTKSYNETLNLLEPSNISSPSDCKYMVWKAQAGLGNRILTMAAAFLYAILTNRVLLVEHESDMVDLFCEPFPNTSWLLPLDFPLKNEFRKLNQMYPRTFGNMLKNNIINASTDLPPSFVYLFLAFRNDHYDKLFYCEEHQALLQKVPWLIVKSDEYFIPSFFLMPSFEQELDKMFPDKETVFHHLGRYLFHPSNKAWGLITRFYQSHLAKADERIGIQIRVFDPKSSSFEIVMDQILACTLKENLLPEVDKERFITSPSKNQTSKAILITSLHPEFYENMRDMYWTFPTLSGEVIGVYQPSHEQHQQFGDGMHNMKAWVEMYLLSLSDFLVTSSWSTFGYVAQGLGGLKPWILYKPEQQVIPNPACRRAMSMEPCFHLPPTYDCKAKMEVDMGTVVPYVKYCEDATSGLKLVNNNL >Manes.11G009200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1007943:1010246:-1 gene:Manes.11G009200.v8.1 transcript:Manes.11G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPVNKVLGFGMVRTLTLVACLVAFPTLIMLSVIYQVGMLNLPEEFDKVNILEGIAQNAAHFDVGVNNLLGGLLPSGFDHESCLSRYQSVQYRKPSTKKPSPYLLSKLRNYENLHKRCGPYTKSYNETLNLLEPSNISSPSDCKYMVWKAQAGLGNRILTMAAAFLYAILTNRVLLVEHESDMVDLFCEPFPNTSWLLPLDFPLKNEFRKLNQMYPRTFGNMLKNNIINASTDLPPSFVYLFLAFRNDHYDKLFYCEEHQALLQKVPWLIVKSDEYFIPSFFLMPSFEQELDKMFPDKETVFHHLGRYLFHPSNKAWGLITRFYQSHLAKADERIGIQIRVFDPKSSSFEIVMDQILACTLKENLLPEVDKERFITSPSKNQTSKAILITSLHPEFYENMRDMYWTFPTLSGEVIGVYQPSHEQHQQFGDGMHNMKAWVEMYLLSLSDFLVTSSWSTFGYVAQGLGGLKPWILYKPEQQVIPNPACRRAMSMEPCFHLPPTYDCKAKMEVDMGTVVPYVKYCEDATSGLKLVNNNL >Manes.05G136500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:21743745:21745777:-1 gene:Manes.05G136500.v8.1 transcript:Manes.05G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSCSVLSTESHELLSSPTAKVVSLNGCLQEYNVPVFVSQVLEAEASSSSSSSSSSPPSFFLCNSDLLTYDDYIPALDSNAQLYADQLYFVLPISKLENRLTASDMAALAVKASVAIQNASKKDGQRRKKARISPVLMGNQSPSSDDAEAIKSFEKPARPQQQSPAGLSRSGSVKRLQKYTSRRAKLAVRSFRLGLSTIYEGAVL >Manes.09G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7185995:7188451:-1 gene:Manes.09G038400.v8.1 transcript:Manes.09G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERNTTSTLKRILVNCAAQAKEYGGCVAAKVPEIERDICLKEFLALKNCMQNTLRGKV >Manes.03G145577.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27333265:27333570:1 gene:Manes.03G145577.v8.1 transcript:Manes.03G145577.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQSLLSGRELLSLSLRWHVGDGSSIFYKENVWISSLFPCAPRPIQGHNPKVTRVCQLLNPRHIFGIYALLRENFNQQDIQHILSIPVSMFLMEDFLAWH >Manes.07G002700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:548002:550113:1 gene:Manes.07G002700.v8.1 transcript:Manes.07G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTPKVSRNPNLVRGIGKYSRSQMYHKRGLWAIKAKNGGVFPKHDPKPKPDAPVEKPPKFYPADDVKKPLLNKRKPKPTKLRATINPGTVLILLAGRFKGKRVVFLKQLSSGLLLVTGPFKINGVPLRRVNQAYVIATSTKVDISGVNVGKFDDKFFAKQVEKKKKKGEGEFFEGDKEEKNVLPQEKKDDQKTVDAPLIKSIEGVPDLKAYLGARFSLKAGMKPHELVF >Manes.03G159800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28718694:28720933:1 gene:Manes.03G159800.v8.1 transcript:Manes.03G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Manes.11G011500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1164099:1171011:-1 gene:Manes.11G011500.v8.1 transcript:Manes.11G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLVVFPVRGRNWCFSRSSDPSIAEEASAYTPSTLKELWKKISSSNSNAHNAELLIDFVSNKMNRAWMGLQKAPEGSLKNKIHGLGLKLLARVKPSEIFLKSISKEITDVEITYPSSLNARLVRRRLRHIAMRGAASHKRYFYGSVSLLPLTSAFTVLPLPNIPFFWVLFRTYSHWRALQGSEKLLQLVSDCPHAENSRIPNVKGCETETGGSKHHVHKMRSSLWVLQPSKELEELLHNGDENDGLSKCAISKICKTFNLNMIEVIKFKHKM >Manes.01G170400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274776:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGQLIPIHLLFLSQVTFLHLCPLFHSFVIFIDYYYAMFNQIPQIFPMRSAVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274795:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFPSFAPERPTVRIHAARPVNSNSLAFLVTAVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274804:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFPSFAPERPTVRIHAARPVNSNSLAFLVTVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274872:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFPSFAPERPTVRIHAARPVNSNSLAFLVTAVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274865:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274872:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFPSFAPERPTVRIHAARPVNSNSLAFLVTVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274795:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFPSFAPERPTVRIHAARPVNSNSLAFLVTAVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274865:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274768:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFPSFAPERPTVRIHAARPVNSNSLAFLVTVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274804:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFPSFAPERPTVRIHAARPVNSNSLAFLVTAVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274811:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274768:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGSRGDIESGFPSFAPERPTVRIHAARPVNSNSLAFLVTVLLLFMILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.01G170400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35274865:35279427:1 gene:Manes.01G170400.v8.1 transcript:Manes.01G170400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNSHQISPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHVPPSISFATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVSTTHSMSEEEINALPVHKYKVPGSENPNTSQQQASSSSPSAEMKQDSRKTDGSVKASEDELTCSICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKYQVSSGWQESRESESDGPD >Manes.02G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1098270:1102864:-1 gene:Manes.02G010600.v8.1 transcript:Manes.02G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCALFSMAPLFLFLCLPFAFAGHDYGQALSKSILFFEAQRSGYLPHDQRVTWRANSGLNDGKTSGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMAASGELGHAMAAVKWGTDYLIKAHPEPYVLYGEVGDGNSDHYCWQRPEDMTTDRRAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYANELLAHAHQLFDFADKYRGKYDSSITVAQKYYRSISGYNDELLWAAAWLYHATNNQYYLSYLGNNGDSMGGTGWSMTEFGWDVKYAGVQTLVAKFLMQGKAGHYAPVFERYQQKAEYFMCSCLGKGARNVQKTPGGLIFRQRWNNLQFVSSASFLATVYSDYLASSGRSMKCASGNVAPSELLSFAKSQVDYILGDNPRATSYMVGYGNNYPRQVHHRASSIVSIKVDPTFVSCRGGYATWYSKKASDPNVLTGAIVGGPDAYDNFADERDNYEQTEPATYNNAPIVGILARLNGGHGGYNQLLPVVIPAPNQQKPAPQPKITPAPASTSVPVSIEQKVTTSWIAKGKTYYRYSTIVSNKSAKTLTDLKLTISKLYGPIWGLNKSGDSYAFPSWLNTLPAGKSLEFVYIHSASAADISVSSYTLA >Manes.16G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29581801:29584077:1 gene:Manes.16G089200.v8.1 transcript:Manes.16G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAILKLLLLLQLLSSIFFPSILALEKPSANSLDTHLSSLRNFCKGRPYPDACFDSLKLSISININPNILSFLLHSLQAALSEAGKLTNLLSTAGRSNIIEKQRGAIQDCKELHQITISSLQRSVSRIQAGGSQKLADARAYLSAALTNKNTCLEGLDSATGPLKPVLVNSLISTYKHVSNSLSMIPSPSNGHKNRRLLGFPKWVSKKDVKILQSDVDEYDPSEIFTVAADGTGNFTTISDAINFAPNNSYDRVIILIREGVYEENVEIPSYKTNIVLIGDGSNLTFITGNRSVDDGWTTFRSATLAVSGEGFLARDLAIENTAGPQKHQAVALRISADLAAMYRCTITGYQDTLYVHSFRQFYRECDIFGTIDYIFGNAAVVFQACNIVSQMPMPGQFTVITAQSRDTPDEDTGISIQNCSILATGDLYSNSASVKSYLGRPWRVYSRTVFLESYIDDFIDPTGWTNWSGDEGLESLYYGEYENYGPGSAVDNRVTWPGYHVMDDYDAYNFTVSYFITGDEWLDSTSFPYDDGI >Manes.04G069600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26810723:26824656:1 gene:Manes.04G069600.v8.1 transcript:Manes.04G069600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLGCRNLLNVPANFEVHPVSDGTSVDSNLSDTPHKGDAQSSRKSIHPPPAFGSSPNLEALALEATKYENDDGDNSVHANSMFSRPLHEITFSADDKPKLLSQLTSLLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPNEETEQLRIALEKEIFRIEKQNCSNHHSLSHTSEHEQTQIKCDSDPVAIPNDGTDVWEIDINNLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERIDSDLQKEFAQEVFIMRKVRHKNVVQFIGASTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKHTNPKLSELLEKCWQQDPALRPDFSEIIQILQQIAKEVGVEGEGRKEKSSGGFLSVLRRGHHH >Manes.04G069600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26809140:26824656:1 gene:Manes.04G069600.v8.1 transcript:Manes.04G069600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMEDNESCGSRVHDTTTSSSSSPSLSLAQGRHQRQKLEVYNEVRRRLKESNNEEANRPGFDDELWAHFHRLPTRYALDVNVERAEDVLMHKRLLELAHDPDNRPSIEIRLVQVHPVSDGTSVDSNLSDTPHKGDAQSSRKSIHPPPAFGSSPNLEALALEATKYENDDGDNSVHANSMFSRPLHEITFSADDKPKLLSQLTSLLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPNEETEQLRIALEKEIFRIEKQNCSNHHSLSHTSEHEQTQIKCDSDPVAIPNDGTDVWEIDINNLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERIDSDLQKEFAQEVFIMRKVRHKNVVQFIGASTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKHTNPKLSELLEKCWQQDPALRPDFSEIIQILQQIAKEVPYSHTWTLYYL >Manes.04G069600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26809140:26824656:1 gene:Manes.04G069600.v8.1 transcript:Manes.04G069600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGQKMYLCTRDYWNWLMIPTIDLPLKSASCRFILFLMGLQLILTFQIHLIKEMPKVVERAFILHLPLVHHLTLKPLHLKQLNTKMMMVIILCMQIQCSLADDKPKLLSQLTSLLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPNEETEQLRIALEKEIFRIEKQNCSNHHSLSHTSEHEQTQIKCDSDPVAIPNDGTDVWEIDINNLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERIDSDLQKEFAQEVFIMRKVRHKNVVQFIGASTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKHTNPKLSELLEKCWQQDPALRPDFSEIIQILQQIAKEVGVEGEGRKEKSSGGFLSVLRRGHHH >Manes.04G069600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26809167:26824656:1 gene:Manes.04G069600.v8.1 transcript:Manes.04G069600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMEDNESCGSRVHDTTTSSSSSPSLSLAQGRHQRQKLEVYNEVRRRLKESNNEEANRPGFDDELWAHFHRLPTRYALDVNVERAEDVLMHKRLLELAHDPDNRPSIEIRLVQVHPVSDGTSVDSNLSDTPHKGDAQSSRKSIHPPPAFGSSPNLEALALEATKYENDDGDNSVHANSMFSRPLHEITFSADDKPKLLSQLTSLLAEIGLNIQEAHAFSTVDGYSLDVFVVDGWPNEETEQLRIALEKEIFRIEKQNCSNHHSLSHTSEHEQTQIKCDSDPVAIPNDGTDVWEIDINNLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERIDSDLQKEFAQEVFIMRKVRHKNVVQFIGASTKPPSLCIVTEFMSGGSVYDYLHKQKGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKHTNPKLSELLEKCWQQDPALRPDFSEIIQILQQIAKEVGVEGEGRKEKSSGGFLSVLRRGHHH >Manes.05G005500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1220327:1221268:-1 gene:Manes.05G005500.v8.1 transcript:Manes.05G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQFLLPGSLAFQPEWASEDIRITFFKCVRWQVEETLDPINCPYHYFCDSTYPGNYPRYVDIILFLFATASYLATLTIMVIHISRREEACFIRSRRFLLPSGPVSLPIILLALTKGYRINSLFPLSCIGPAILLLLYVSALAFDLGVDKDVRYAIYEASTISGILHASLYLDSIILPYYTGFDALVSSTFSGECQSCVCRREVLVVGGTLVTYRGWSVTAFLVVGTLCLRIIRRMTEQNRSSIMAIKSLLESLSWISITLDCVYLTRKAPPEQTMMRITAIGGVLVLVCLHLIKKLSSHITQWNLAHGKLHG >Manes.05G124100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23016349:23019026:-1 gene:Manes.05G124100.v8.1 transcript:Manes.05G124100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKSDAKNTKLSVNKKPAKAAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSMSESEKAPYVSKAEKRKIEYEKKLKAYNKGQAEGPKEEEESEKSVSEVNDEDEEDEDGSGEEEDDE >Manes.05G124100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23017403:23019022:-1 gene:Manes.05G124100.v8.1 transcript:Manes.05G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKSDAKNTKLSVNKKPAKAAGKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSMSESEKAPYVSKAEKRKIEYEKKLKAYNKGQAEGPKEEEESEKSVSEVNDEDEEDEDGSGEVSWQMNCNC >Manes.12G078100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9034296:9035901:1 gene:Manes.12G078100.v8.1 transcript:Manes.12G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGIEERSQNPDRFCRKQQPQPQPQPQEEEAGSGNEASSLVCCELCGSRAFLYCQADDAFLCRKCDQWVHGANFLALRHIRCFLCNTCQNLTQRYLIGASMEIVLPTIVSLRERRRQQCNNSNNDEKQFSTSPKMPFLFL >Manes.07G092200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28838867:28844213:-1 gene:Manes.07G092200.v8.1 transcript:Manes.07G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDFPLIDPHAPPPHAPTRHPDSESVNGGDYFAAYADSDHDKSGSGAPATPSSNRSHQIQNQHHHYYYNNSNSKRHKTSGSNVESESNTNNNATEYRTDYRKDREEWSDSAISCLLEAYTEKYNQLNRGNLRGRDWEEVAEAVSERGGSNNKKSVEQCKNKIDNLKKRYKVELQRINNSSGGYGSGGSSSWHWFKQIEAIMSNPTNAKASAGAESECDAGSGGNGSLMARPLKRYTPNSVAVANNMKAKSVPNLKWRRVVFKISGSALAGNCQNIDPKVAMQIAREVATACRLGLEVAIVVGGRNFFCGDSWISATGLERSTAYQIGMMATVMNSILLQSALEKLGIQSRVQSAFTMPELVEPYNRQRAIRHLEKGRVVIFGGVGAGAGNPLFSTDTAAALRASEINADALLKGTNVAGIYDCHSANTSMIVDHMSFREVVSRGVTFMDMMAMTYCEENGIPVVVFNLLEPGNISRALCGNQVGTLIDQTGRIS >Manes.13G025800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3447036:3452490:-1 gene:Manes.13G025800.v8.1 transcript:Manes.13G025800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPLYNPEPAILIHITDQRYVTRPKLHASLRFVPRTWIGRKCCLTAKASLDSATIDQLGIPESDIKNPAVSSLYRSSKLPKPNQTVLEAQARVCSGPTRTRPLNEEQASKVLDTILRSARGELKDEEEVSKAQLGAFFAAMTIRANAFPEATQWSDGEKRAMSNFWPLLVRALPADVSFIADPEGAIMGVGSSIGPQYVGNSTSEMRLVGALREVLAGGHLGYEEVQGVLREVLPLKVEDDGCSGVSESLLSAFIIGQRMNLENDRELKAYCLAFDDELGPPPVADVRSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGITEEQMLKFMGANVSLTPLQAKGLLEDDEVGFAYISQREACPSLYSLIGLREHIKKRPSLATTEKVQQFVRARGREAMVAGFYHEAYESHLMLMKRRGVHSGLVVKGEEGGLSMTTRLRSAYASKGLPVNYCSGFRSWSMASAFEVDGVSRESFNIEVNAKDYGFEPTATPRTDRSRSDSPSFSSKSSYTKYPN >Manes.13G025800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3447036:3452490:-1 gene:Manes.13G025800.v8.1 transcript:Manes.13G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPLYNPEPAILIHITDQRYVTRPKLHASLRFVPRTWIGRKCCLTAKASLDSATIDQLGIPESDIKNPAVSSLYRSSKLPKPNQTVLEAQARVCSGPTRTRPLNEEQASKVLDTILRSARGELKDEEEVSKAQLGAFFAAMTIRANAFPEATQWSDGEKRAMSNFWPLLVRALPADVSFIADPEGAIMGVGSSIGPQYVGNSTSEMRLVGALREVLAGGHLGYEEVQGVLREVLPLKVEDDGCSGVSESLLSAFIIGQRMNLENDRELKAYCLAFDDELGPPPVADVRSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGITEEQMLKFMGANVSLTPLQAKGLLEDDEVGFAYISQREACPSLYSLIGLREHIKKRPSLATTEKVQQFVRARGREAMVAGFYHEAYESHLMLMKRRGVHSGLVVKGEEGGLSMTTRLRSAYASKGLPVNYCSGFRSWSMASAFEVDGVSRESFNIEVNAKDYGFEPTATPRTDRSVSKNIELGLAALRGEKGPAYDRIVLNAGVVDHLLGCDGAEDISSALDRAREAIDSGRALEKLLNYIKISQKVRK >Manes.03G066661.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:8817416:8822202:1 gene:Manes.03G066661.v8.1 transcript:Manes.03G066661.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKIHFYPHLSLLISFFLGTIVCLSSAASQQISTISSHGRLTDAEAMYIKKRQLLYYRDEFGDRGESVTVDPTLVFENPRIRNAYIALQAWKQAILSDPMNLTANWVGSNVCNYTGVYCAQAPDNKTISTVAGIDLNHGDIAGYLPEELGLLVDLALFHINTNRFCGTVPHKFKNLRLLFELDLSNNRFAGKFPKVVLNLPMLKFLDLRFNEFEGSVPKELFDKDLDAIFINHNRFVFDIPDNFGNSPVSVIVLANNKFHGCVPSSLGNMTNLNEIILMNNNLKSCLPPEIGMLKDLNVLDVSYNQLMGPLPDTFGGLLGLEQLNVAHNMLSGSIPPSICKLPNLENFTFSYNFFTGEPPVCLSLKDFDDRRNCLPARPSQRSPAQCRAFKPVDCSVFRCKPFVPSLPAPPPPSPPPPVFVPQSPPPPPPPVYPPPPPPPPVYSPPPPPPSPAPPVYSPPPPPPSPPPPLYSPPPPSPPPPSPPPPPPPVYSPPPPPPSPPPPSPPPPSPPPPRPPVYSPPPPPPSPPPPSPPPPSPPPPSPLPPCVRPPPPPPPNSPPPPSPPPVFSPPPPTPYYYSSPPPPSPPPPPPSPPPPPHSPPPPIYPYLSPPPPPIYSPPPPVHSPPPPSPPPCIEPPPPPPPPPCVEYSPPPPSPPPPSPSPPPPTHYKPPPSPSPPPPPLPVTYASPPPPVHYNSPPPPSPSPPPPAPVYEGPLPPITGVSYASPPPPPYY >Manes.11G149600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31384921:31387741:-1 gene:Manes.11G149600.v8.1 transcript:Manes.11G149600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPVFKSTENKRDEEIQSWVEEIKAWKLIKRKDSAEFLGSVKQWIFDLLEDSLIEILTMKSGMGRRREATWKKNWP >Manes.11G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31385332:31387280:-1 gene:Manes.11G149600.v8.1 transcript:Manes.11G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPVFKSTENKRDEEIQSWVEEIKAWKLIKRKDSAEFLGSVKQWIFDLLEDSLIEILTMKSGMGRRREATWKKNWP >Manes.09G076300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12621056:12690466:1 gene:Manes.09G076300.v8.1 transcript:Manes.09G076300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLLHRVLVGYLGRYVKNIQKDHLKLSLWNEEVLLENVELIPEAFDYLQLPFAIKRGRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRRVCDNQTGKSFISYITEKVLDGIQLSIRNFHIQYSEIRLDLSQVLIGLKFSSLAIKQNLVGSSAGKVVRGQVNKTADLEGLEIYCTACEEAVDSESVDDTADSRYERSEGGTFDHLLQPFDVTASLVVNRAGRLDSDLAQYSIKAEITGLVFKLNEVQLQQILILSDYITISRLREMYGRYRPWGHSLARKHNGWQLRWWHYAQESVLSDVRRKLKKTSWRYFGQRLDSRRKYIDLYKIKLDFLQQEQSIDEYILRELELMEKELDLDDILSYRSAAERELQEVLSSSSSSNMGVDGANISVEKSQNDEQTSGRSRGWLNWLSRGMLGAGGTDDSGQFSGVVSDEVVKDIYEATEFHPSVSCGGDANASVKMFTCAIKLSMDQIAATLQSKYSSERTVDLIIKDAVMECKLQEELAAVFCSIKSGKMVYPRNEMVILQIGRSLTEPEDKPTSCRIQVDVSQKEEVELSVKVMLQPLEINCDVEFFLKFLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKNLSWDISILNLIIIVPGRNAISEQCNLVLEMGCLHYKSKYDVESITPTNQEQSYVLNHSSSSAFPINFLGDFLVQDLYNYFAVELENIELKLVISQHAHTITILEKFCALITFASCIISDESILKNMEVSIILPSLIAEFSPSIYESIMALVVHLRMLHTTSRSLIPRNPYALNAMSSQPRASVFGFSIDAKLKSLSFHIDLANDQRCSSKLQLFLQELDIWYSHTEFDECFVCTKELKVTTSPLRNQNDGCILFSSGNQFVSGAANHQALNVGNSNQEGNCGDNRGCIEAFFLMHYEARRSVNFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSSYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGSSDHASISLDCYPFITISNSGSHGNLESSFCHFIPDWRKYFKIKDRKLRSPKCSMKQESRTFHASPVINTSGVDTFPAPGSLCEPNIFNIDINLCGVRVHFHDSSCIVGTITIPTSKSSFLIYDNYMDLLCSIEGLILTSPWCTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGPAASQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSKQPVAENCDFVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILECSPNDVLKDIPPQCKVPAHKVAKANNCLNIFGRDLILSLLLCKDDEYGCLIVDKDTGCGNIILISPLSADVWVRLPCEVETCLDRSSASTCVMSRIANCQLLADDCYTWGGFEALMDVIDQFSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVTSAAAFTEARFCADSLSISLYQSRKDSFLSQAIAKADMQFMCSLSLINETPIDLDMTFSSLSLYSLLSSVMMAQCTNTCSASSALHVSFSKSTGGGNEFHISVPSLDIWLHVSDWSAIIDLCNSHSQPMAETVEMEASLKSYSKDMVDLAEDVALAVPHSYLLNKASPCHVREHVKRDSVTLNVRSKNIGLTIHFPLWAMEAAVWQLATSEVQQERPQNVSSNATEGKNYKFMLLTTHSSSSELFVAGGNVNLKSSLEKTSGTAEIHKDKSITTWPLFQISQLSIMADIFHNQMDLVNVKVGVQVSRLDMWISHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLLMSDERWSCGGSLLEILLRNILLHAIMTENDVESSVTSDLEVNYNNIHKVIWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNITESLIECVFRTVEMVKDAWHLMEPSDACEIQLFPSHQLPETLNGGKYAPYILQNSTSLPLVYHVFHGMVNTEEFDFSEFGDGKAVQPGSSVPIYLNETPEEQLFRFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIEENVTSSTNHGFAVPVVFDVSMQHYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIYPGQEVPLPLHLAGAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSSSYVNNTTDQSVQSCTHGWMQSKKQCIHQVTLSTPLVVNSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFYMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYVNVELMMDAFSGAREIFIFAPFLLYNCTGLPFHISESALETKGNHYAIPSCYLIEQELLQEMKDGLSLLSSDQDSCAGNNHFISLGRNANPHLGKFMCKPAVLSGSSFFAQSDNPDLGGKKSSSIMWSTGKPTSKDSDPVDAERGKVKACMYSPHVISSLNEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIILVPQSSPNAAFIISVTSSDLAGPFTGRTRAITFQPRYIISNACRRELCYKQKATDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGNLHGDSGTYLILVSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYALDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQQEQNFVDYEEKFCLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLRTTPYPVILSFNQDYKSNAASQRAKDDVKLKGERIWQLPSEIYCEPVVYVAIATWRKEDTSLVSFEYISLRVANFRLELEQEIILNLLAFFRSFSSRFQGKSLPISDPVCNPPMYAGFTHAQTSEYVKTREYQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLATIKFTLSFSSAPWILANGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDIFLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARGILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGASHSKGVINEVLEGLTGLLQSPIKEAEKHGLPGILSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEADDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGIVHIVGSGSDAGLRLNQHQSKKGGGTRTKHWSNPSTPLPLFQTNLELASKKDAEDLLQKLLSLIELGRGKGWGCGYLLHKSNIISN >Manes.14G006500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1722783:1723751:-1 gene:Manes.14G006500.v8.1 transcript:Manes.14G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSVQALGGSGSELLTFEDMESHNVDVNKQVTKFECLLIVGAVATVKWEEQKRNKAVEMDKLPRLLRGKPLKKQAVFLVGEKGFSNKAREFKGEKLLDVASKKKDLHQWRFNIETEQELNQKKNEARKATAPKRRNKDENCNALLENQERRKRHRKTSSKSENGDLKSSTSLPQPSLPQKFKKLILEMKGTEAKLVIQKAITNTDTQDNQGRLSMPKKQVLCEFLNEDEKEKLEKDKHLQVKIIDPNLEVSDMNFRQWKLSKPNGSPSLTYVFRTHWNEFKKKNGLKEDDIIQVWCFRVVGKILFALVKVEEGCENGQ >Manes.S026716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:395241:399391:-1 gene:Manes.S026716.v8.1 transcript:Manes.S026716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVIRPDRPPWRPWVQKEGRSPASDSRNK >Manes.16G064200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25040963:25045080:1 gene:Manes.16G064200.v8.1 transcript:Manes.16G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLIQPLIAVLISSFIAIRAYRRKSLDLSGALAGFLVMTIHFAINYRFGAILLAFFLSSSKLTKVGEEKKRRFDADFKEGGQRNWIQVLFNSGISAVLAVLIWKLSGWEDKCLDTKESTVITSLIGGIIGHYSCCNGDTWSSELGVLSDAQPRLITTFKPVRKGTNGGVTMLGLVAAAAAGSVIGLTFVLFGFFTTKCEYDVALKQLLVVPLAAMAGLCGSLIDSLLGATLQFSGFCTVRKKVVGKPGPTVKKISGLNFLDNNAVNLVSILLTSLLTSIACLYIF >Manes.11G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:44288:46314:-1 gene:Manes.11G000100.v8.1 transcript:Manes.11G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPAHVPKFGNWDNDDIPYTAFFDNARKEKASVPINPNDPEQNLEAFTYGNGRVDLSCGHSQKSISSESGSSLLQATGYRREKSQRKKSWAPEGVGNNNSFSTSVALAPQHSRQKSGSHPPGDDRNQHHRSTSIPQFGAWDEADTTSGEGFTVIFNRIKEEKQNSLAAIPSLPSYCPGPQNQRNQPTSSSQSKLCCCLFSCGSK >Manes.11G000100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:44288:46314:-1 gene:Manes.11G000100.v8.1 transcript:Manes.11G000100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAHVPKFGNWDNDDIPYTAFFDNARKEKASVPINPNDPEQNLEAFTYGNGRVDLSCGHSQKSISSESGSSLLQATGYRREKSQRKKSWAPEGVGNNNSFSTSVALAPQHSRQKSGSHPPGDDRNQHHRSTSIPQFGAWDEADTTSGEGFTVIFNRIKEEKQNSLAAIPSLPSYCPGPQNQRNQPTSSSQSKLCCCLFSCGSK >Manes.11G000100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:44288:46314:-1 gene:Manes.11G000100.v8.1 transcript:Manes.11G000100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPAHVPKFGNWDNDDIPYTAFFDNARKEKASVPINPNDPEQNLEAFTYGNGRVDLSCGHSQKSISSESGSSLLQATGYRREKSQRKKSWAPEGVGNNNSFSTSVALAPQHSRQKSGSHPPGDDRHHRSTSIPQFGAWDEADTTSGEGFTVIFNRIKEEKQNSLAAIPSLPSYCPGPQNQRNQPTSSSQSKLCCCLFSCGSK >Manes.11G000100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:44288:46314:-1 gene:Manes.11G000100.v8.1 transcript:Manes.11G000100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAHVPKFGNWDNDDIPYTAFFDNARKEKASVPINPNDPEQNLEAFTYGNGRVDLSCGHSQKSISSESGSSLLQATGYRREKSQRKKSWAPEGVGNNNSFSTSVALAPQHSRQKSGSHPPGDDRHHRSTSIPQFGAWDEADTTSGEGFTVIFNRIKEEKQNSLAAIPSLPSYCPGPQNQRNQPTSSSQSKLCCCLFSCGSK >Manes.16G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2386146:2396715:1 gene:Manes.16G021300.v8.1 transcript:Manes.16G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPSSSSSSMSKKCFNSDCTDFKSRKGWRLRSGDFAELCDRCSSAYEEGRFCDTFHLNATGWRCCESCGKRVHCGCIVSIHAFTLLDAGGIECMACSRKNVLLTSNSTWSPSLFYNAPLSERFKDLSVKSWSQLAGSGPVPWRQAPNFFSSCVPHSELHPRTLYEIDRHNTGERSSAPYLEKGRIEDFSDRLINGGLKLGTRDLRENGNAGKSSDEKPGLCLGISQQPSLKEDPSAPQLGMALPFASLNESNGQIGGSGTPLRQPAPPLVAKQFHGNGTDSSPDTQIRNGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDQDNDTSKTNGVPTNGDVEMADPSPWSKVDKSGYIAKEVLEAKSSKKRKSSTLGSKSKRLRIENEDVIELKLTWEEAQGLLRPPPDLVPSVVVIEGFEFEEYEDAPVLGKPTIFATDNLGQKFQWVQCEDCFKWRKLPANALLHSKWTCSGNTWDPERSSCSAAQELTAEQLEDLLPSCNLAASKKMKAAKQEADNVEAMEGLDTLANLAILGENEALTTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAESTKKRQQQTLPEKYLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNKIKSSTSPFKGQIDLNIQPEREEELSPGSDSGSMMKMIQDATDRYLRQQRLNSDGDNISAGNQTLACHEIGEKVSNGILLGSSHQDSDKGHPATFSVEVSASSPAAG >Manes.16G021300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2386146:2396715:1 gene:Manes.16G021300.v8.1 transcript:Manes.16G021300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPSSSSSSMSKKCFNSDCTDFKSRKGWRLRSGDFAELCDRCSSAYEEGRFCDTFHLNATGWRCCESCGKRVHCGCIVSIHAFTLLDAGGIECMACSRKNVLLTSNSTWSPSLFYNAPLSERFKDLSVKSWSQLAGSGPVPWRQAPNFFSSCVPHSELHPRTLYEIDRHNTGERSSAPYLEKGRIEDFSDRLINGGLKLGTRDLRENGNAGKSSDEKPGLCLGISQQPSLKEDPSAPQLGMALPFASLNESNGQIGGSGTPLRQPAPPLVAKQFHGNGTDSSPDTQIRNGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDQDNDTSKTNGVPTNGDVEMADPSPWSKVDKSGYIAKEVLEAKSSKKRKSSTLGSKSKRLRIENEDVIELKLTWEEAQGLLRPPPDLVPSVVVIEGFEFEEYEDAPVLGKPTIFATDNLGQKFQWVQCEDCFKWRKLPANALLHSKWTCSGNTWDPERSSCSAAQELTAEQLEDLLPSCNLVAASKKMKAAKQEADNVEAMEGLDTLANLAILGENEALTTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAESTKKRQQQTLPEKYLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNKIKSSTSPFKGQIDLNIQPEREEELSPGSDSGSMMKMIQDATDRYLRQQRLNSDGDNISAGNQTLACHEIGEKVSNGILLGSSHQDSDKGHPATFSVEVSASSPAAG >Manes.01G072601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27464531:27464989:1 gene:Manes.01G072601.v8.1 transcript:Manes.01G072601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDFLAITCWIIWKNRNKEHQNPDPMSAISTITKIMRSAMFKIRFALGGGTGNNGDISRWAKPPKSSLNFNSDVAWLNSIDKDVISLIVRKNYIDGRIKRVQCSSPLVGEALAILEACLLAKDMGLSALMIESDFAVIVEAILSIILALGR >Manes.18G081000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7433342:7434650:1 gene:Manes.18G081000.v8.1 transcript:Manes.18G081000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDKKISFKRKSSNNREPDFRKREEMDLGLSLNTRHDPISSSSSSSSPSPSPPPSSSPQLLPSHQESELLQIETPPETLFPNPSLLPISPATTTPHAPSLPASPAGPPRPPPLRPPRARRNPTQAPRDGKSEHVPQPFPWATNRRATVHSLNTLLSNNIETITGTVQCKRCEKQYEMEFNLQEQFRIVGKYIAENKHSMHDRAPARWMNPKLPKCEYCEQDNCVKPVISDKKKSINWLFLLLGEMLGCCTLDQLKYFCKHTKNHRTGAKDRVLYLTYLELCKQLHPDGPFDL >Manes.18G081000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7433300:7434661:1 gene:Manes.18G081000.v8.1 transcript:Manes.18G081000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDKKISFKRKSSNNREPDFRKREEMDLGLSLNTRHDPISSSSSSSSPSPSPPPSSSPQLLPSHQESELLQIETPPETLFPNPSLLPISPATTTPHAPSLPASPAGPPRPPPLRPPRARRNPTQAPRDGKSEHVPQPFPWATNRRATVHSLNTLLSNNIETITGTVQCKRCEKQYEMEFNLQEQFRIVGKYIAENKHSMHDRAPARWMNPKLPKCEYCEQDNCVKPVISDKKKSINWLFLLLGEMLGCCTLDQLKYFCKHTKNHRTGAKDRVLYLTYLELCKQLHPDGPFDL >Manes.18G081000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7433300:7434661:1 gene:Manes.18G081000.v8.1 transcript:Manes.18G081000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDKKISFKRKSSNNREPDFRKREEMDLGLSLNTRHDPISSSSSSSSPSPSPPPSSSPQLLPSHQESELLQIETPPETLFPNPSLLPISPATTTPHAPSLPASPAGPPRPPPLRPPRARRNPTQAPRDGKSEHVPQPFPWATNRRATVHSLNTLLSNNIETITGTVQCKRCEKQYEMEFNLQEQFRIVGKYIAENKHSMHDRAPARWMNPKLPKCEYCEQDNCVKPVISDKKKSINWLFLLLGEMLGCCTLDQLKYFCKHTKNHRTGAKDRVLYLTYLELCKQLHPDGPFDL >Manes.10G059600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8172440:8182538:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTQSFRVFVATWNVGGKSPHSGLNLDGFLQINNPSDIYVLGFQEIVPLNAGNVLVVEDNEPAARWLALIDQSLNKSCSMASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.10G059600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8180113:8182665:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.10G059600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8172440:8182538:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.10G059600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8172440:8182538:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.10G059600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8180114:8182893:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTQSFRVFVATWNVGGKSPHSGLNLDGFLQINNPSDIYVLGFQEIVPLNAGNVLVVEDNEPAARWLALIDQSLNKSCSMASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.10G059600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8172440:8182538:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTQSFRVFVATWNVGGKSPHSGLNLDGFLQINNPSDIYVLGFQEIVPLNAGNVLVVEDNEPAARWLALIDQSLNKSCSMASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.10G059600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8172440:8182538:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTQSFRVFVATWNVGGKSPHSGLNLDGFLQINNPSDIYVLGFQEIVPLNAGNVLVVEDNEPAARWLALIDQSLNKSCSMASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.10G059600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8180173:8182636:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.10G059600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8172440:8182538:-1 gene:Manes.10G059600.v8.1 transcript:Manes.10G059600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPKSLSPLGSSLLFQKPSLKRICKNLRTESGRRMKTCNCSHALERKYSKDFCLWCQPNNTSEDEFSLEIEEDDGLGNYVLSSSEISTPITVNQMKYSLVVGKQMVGIFVTIWVRKELAQYVSHLRISCIGRGIMGCLGNKGCISVSMYLHQTSFCFVCSHLASGEKEGDELRRNSDVIEILKNTQFQRICRSPYSRVPEKIIEHDRVIWLGDLNYRISLGYSETRKLLERCDWRALFDNDQLKIEREAGRVFRDWKEGKIYFAPTYKYSYNSDNYAGETTETKNKRRTPAWCDRILWRGSGIQQLSYVRWESQFSDHRPVLATFMVDVHVTEEGSKKGSSSFRMKIESEEHIPLTRK >Manes.08G120011.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35978279:35978692:1 gene:Manes.08G120011.v8.1 transcript:Manes.08G120011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNSGLQGLKLSRSKPTLTHLLFVDDSVIYAKATSREVEKIKDILHSYASKSGQTINLAKSSLCFSPNTPSDVIRSISSILHINKLDVPNKFLGLPSDIPKSKRQIFCLCKERIANKTASWKEQLLSKGGKEVLSN >Manes.11G153200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32028434:32029435:1 gene:Manes.11G153200.v8.1 transcript:Manes.11G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVSTQPPAAATPGHSSLLRVIAMVILALIVLMGLAVLITWLIIKPKQLVYTIENGTVSNFNLNYNHLNASFDFKITVHNPNRRVSVYYDSFDVSLSYDDQTIAFNTLEPFHQPRRNVTQLETKVEARDAALSGGLSKDLRHEKQSGKIQLDVRIKARIRFKVGIWKTKHRTLRVLCPSVIAHFSSSKISQRTYCDIDY >Manes.02G202700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17257955:17262876:-1 gene:Manes.02G202700.v8.1 transcript:Manes.02G202700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRIGLNAWFNKKIVDPLYQIISRGTEPKQLAFSAALGITLGIFPICGVTVLLCGMAIALLGSLCHAPTVMLANFVATPIELSLVVPFLRFGEVISGGPHFPLTSDALKKVLTGQASHEVLLSITHALLGWLVAVPFILAALYIMFFPFFKILVRKFNSVPSSPRKSPNSFTEIQLKVRDV >Manes.07G037366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3951538:3953511:-1 gene:Manes.07G037366.v8.1 transcript:Manes.07G037366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQNTKREKNNGFFLLVNCLDKAAIPETSFPDQERQQGKLCTIEEVNRVKKLLGLLPMWTTLLIYALVEATGSTFFIEQVDSLNAIKLFGFKLPINAFSALESLVSFTVPYLFNLLIPKHWNKNKEKRQLIVVIPG >Manes.04G040700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15139203:15145506:1 gene:Manes.04G040700.v8.1 transcript:Manes.04G040700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSHHVLAPFTSLGVISVASLSFSTNSSPSFLRFSLRLRAFSSFSSSLRAMASHIVGYPRMGPKRELKFALESFWDGKSSADELQKVATDLRLSIWKQMADAGIKFIPSNTFSYYDQVLDTTAMLGAVPPRYGWNGGEIGFDIYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVDEYKEAKALGIETVPVIVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYKEVVSELKAAGANWIQFDEPKLVMDLDAHELQAFTHAYSELEATLSGLHVLIETYFADVPVEAYKTLTSLKGVSGFGFDLIRGTKTLDLIKSGFPSGKFLFAGVVDGRNIWANDLAASLDTLHSLEAIVGKDKVVVSTSCSLLHTAVDLANEPKLDKEIKPWLAFAAQKILEVNALAKALAGHKDEAFFSSNALAHTSRKSSPRVTNEAVQAAAASLKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTQDLRRVRREYKAKKISEDDYVNSIKEEINKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDSTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRIKKMLAVLESHILWVNPDCGLKTRKYSEVKPALSNMVAAAKLLRAELGSAK >Manes.03G028400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2302944:2305069:-1 gene:Manes.03G028400.v8.1 transcript:Manes.03G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIDGQGQHLTAASIVGHDGSVWAQSSSFPQFKPQEITDIMKDFEEPGHLAPTGLHLAGTKYMVIQGEAGAVIRGKKGSGGITIKKTGQALVFGIYEEPVTPGQCNMVVERLGDYLVDQGL >Manes.15G107167.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8505315:8509524:1 gene:Manes.15G107167.v8.1 transcript:Manes.15G107167.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCFLFSIAALSLHIFFSCSASAATLDISSDRDALLALKQHITLDPLNYLATNWSTNTSVCSWIGVSCGLTANSRVTALNLSLMGLTGSIPPQIGNLSFLSEITLANNSFHGPLPTELVHLPRLRYINFASNNFNQEIPSWLGSLPILEELYLDDNRFLGTIPPSLFNISTLKNLQIMNNNLQGNISEEIGNLVNLETLNLNGNKKISGSLPWTIFNISSLKTIDLANNVISGSLPDDMCKNLPNLQRLIVHHNKLVGQIPSSIGQCSELLRLYLNSNYFTGNIPRSLGNLTRVQELYLGFNNLTGEIPEELGSLLLVEQLVLRDNYLSGLIPKSLFNCTSLLLLSLGANDYLSGPIPSEIGELPSNFGDAANLEEIYVTRNRLSGPLPASFSNASKLNIAEMSSNSLSGPIPTTLGNLRNLRRLNLALNSFTGESSTPELRFLSSLANCKGLTRLVLLGNPLNGTLPTSIGNFSALRYFNVESCKIKGSIPREIGHMSNLTTLLLLNNELVGSLPATIGRLQKLQVLYAQRNKLNGSLPNDICQLSSLGELLLSRNNLSGTLPACLGDISTLRSLQLDSNNFISTIPSTLWNLKDILILTLSSNSLSGHLSLSIGNLKVVTQVDLSSNHLSGTIPDSLGGLQSLAKLSLRHNNFEGAIPKSFGNLISLESLDLSNNSLSGTIPKSLEGVKYLKYLNLSFNKLEGEVPTAGTFVNFSALSFLGNDALCGSPLLQLTPCKISSQGKSKTASKKVLLYILPAIILTIIVILVSLRFRKAKTNLETAADPDPVIVATWKRISFHELKKATNGFCDSNILGTGGSGTVYKGILADGIDVAIKVFNLQREGAFKSFDAECEVMSNIRHRNLVKIISCCSNHDFKALVLDYMPNGSLENLLYSHNYFLDIHQRLDIMIDVASALEYLHHGFSRPIIHCDLKPSNVLLDANMVAHVADFGIAKLLGEGDFMTQTRTLATIGYMAPEYGSEGIVSTKGDVYSFGILLMETFTRKKPTDDMFGGGMSLKQNIQVALPDAVAEVADANLLTEEEKFPDKKDCISSILGLAVECCVGVPDERIGITQVLSTLISIRTQFPAGLPRT >Manes.08G061100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7756384:7760142:-1 gene:Manes.08G061100.v8.1 transcript:Manes.08G061100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQKWITIATISATLLFLAAESTSEPDQIVTLPGQPVVSFKQYSGFITIDEKQKKALFYYFVEAETDPALKPLVLWLNGGPGCSSIGAGAFCEHGPFKPSGDILLKNDYSWNKEANMLYLESPAGVGFSYTVNESFYTSVNDELTARDNLAFLERWFSKFPEYKDKDFFITGESYGGHYVPQLAQLIVQSNAKINLKGIAIGNPLLEFNTDFNSRAEYVWSHGLISDATYEMFTTVCNYSQIRRQFETFGALSPDCSRVNSQYSREVSKFIDTYDITLDVCLSTIQLQSHILNKMVNKVCIGVTKATVFCDEQEYVGEIDVCVEDETVKYLNRKDVQEALHAQLVNVDRWTVCSDVVKYNRQNLEIPTVPILGKLIRSGIWVLVYSGDQDSVIPLTGTRTLANGLAKDLGLNTTVPYRTWFEGKQVAGWTQVYGDILSFATIRGASHEAPFSQPERSLVLFSAFIGGKQLPEAILSNW >Manes.08G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7756233:7760151:-1 gene:Manes.08G061100.v8.1 transcript:Manes.08G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQKWITIATISATLLFLAAESTSEPDQIVTLPGQPVVSFKQYSGFITIDEKQKKALFYYFVEAETDPALKPLVLWLNGGPGCSSIGAGAFCEHGPFKPSGDILLKNDYSWNKEANMLYLESPAGVGFSYTVNESFYTSVNDELTARDNLAFLERWFSKFPEYKDKDFFITGESYGGHYVPQLAQLIVQSNAKINLKGIAIGNPLLEFNTDFNSRAEYVWSHGLISDATYEMFTTVCNYSQIRRQFETFGALSPDCSRVNSQYSREVSKFIDTYDITLDVCLSTIQLQSHILNKMEYVGEIDVCVEDETVKYLNRKDVQEALHAQLVNVDRWTVCSDVVKYNRQNLEIPTVPILGKLIRSGIWVLVYSGDQDSVIPLTGTRTLANGLAKDLGLNTTVPYRTWFEGKQVAGWTQVYGDILSFATIRGASHEAPFSQPERSLVLFSAFIGGKQLPEAILSNW >Manes.05G093900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8608518:8611562:1 gene:Manes.05G093900.v8.1 transcript:Manes.05G093900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILHLLLLFIFLFALPFCFAIEDDVTCLEGLKNSLTDPLSRLASWDLTNNSVASVCKLNGVSCWNEKENRIISLQLPASQLAGQLPDSLKYCRSLQTLDLSGNALSGSIPSQICTWLPYIVTLDLSVNSFSGSIPPEIVNCKFLNNLILNGNKLSGSIPYGLGSLARLKRFTVADNDLSGTLPVDLSSFPEADFDGNDGLCGRPLGKCGGLSGKSLGIIIVAGVIGAAGSLILGFVIWWWLYVRSSDKKKGYGSGSGKDDPSWVDLLRSHKLVQVSLFQKPIVKIKLSDLLLATNNFDLENIVISTRTGVSYKAVLPDGSALAIKRLSACKLTEKQFRSEMNRLGQLRHPNLVPLLGFCVVEEERLLVYKHMPNGTLYSQLHGSGFGFNPSGLLDWPTRVKIGVGAARGLAWLHHGCQPPYIHQYISSNVILLDDDFDARFTDFGLARLVGSRDSNDSSFVNGDLGEFGYVAPEYSSTMVASLKGDVYSFGIVLLELVTGQKPLEVSIAEEGFKGNLVDWVNHLVSTGRSKDAVDKDLHGKGHDDEIMQFLKIAWSCVVSRPKDRPSMYRVYESLKSMAEKHGFSDQHDEFPLIFGKQDPEYKE >Manes.05G093900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8608505:8611777:1 gene:Manes.05G093900.v8.1 transcript:Manes.05G093900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILHLLLLFIFLFALPFCFAIEDDVTCLEGLKNSLTDPLSRLASWDLTNNSVASVCKLNGVSCWNEKENRIISLQLPASQLAGQLPDSLKYCRSLQTLDLSGNALSGSIPSQICTWLPYIVTLDLSVNSFSGSIPPEIVNCKFLNNLILNGNKLSGSIPYGLGSLARLKRFTVADNDLSGTLPVDLSSFPEADFDGNDGLCGRPLGKCGGLSGKSLGIIIVAGVIGAAGSLILGFVIWWWLYVRSSDKKKGYGSGSGKDDPSWVDLLRSHKLVQVSLFQKPIVKIKLSDLLLATNNFDLENIVISTRTGVSYKAVLPDGSALAIKRLSACKLTEKQFRSEMNRLGQLRHPNLVPLLGFCVVEEERLLVYKHMPNGTLYSQLHGSGFGFNPSGLLDWPTRVKIGVGAARGLAWLHHGCQPPYIHQYISSNVILLDDDFDARFTDFGLARLVGSRDSNDSSFVNGDLGEFGYVAPEYSSTMVASLKGDVYSFGIVLLELVTGQKPLEVSIAEEGFKGNLVDWVNHLVSTGRSKDAVDKDLHGKGHDDEIMQFLKIAWSCVVSRPKDRPSMYRVYESLKSMAEKHGFSDQHDEFPLIFGKQDPEYKE >Manes.06G137900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26555049:26555426:1 gene:Manes.06G137900.v8.1 transcript:Manes.06G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFTFELITQVASNSLFIFCFCNLIIVVILVGSKPSTVNGQESQVRFSSAYRRQETVAKHSAIEGTKMIIDVTQASNAQKAPATAGSNKENADDNDEFRRRVEEFIDKVNRGWKAESMRTSCLV >Manes.01G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30078204:30080091:-1 gene:Manes.01G099700.v8.1 transcript:Manes.01G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCFLSSNSFTKTIELVPSIKARVFSYPKRSPPLFHSKRVALSSSITCCHLSSSSSSAGDESQPYLEADWRSFRARLVANEQAFRSRIEPSSMVDPDTVVETDYPPQVNIGEKWAHPIHEPEKGCLLIATEKLDGVHIFERTVILLLSTGLVGPYGIILNRPSLMSIKEMRSRVLDASGTFSDRPLFFGGPLVEGLFLVSPKVGYDDDRVAKSGVFEQVMKGLYYGTKESAGCAGEMVKRNVVGIGDFRFFDGYCGWEKWQLREEINAGYWTVAACSPSVVGLQNEPTHGLWEEILGLMGPKKVW >Manes.16G012800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1267315:1273608:-1 gene:Manes.16G012800.v8.1 transcript:Manes.16G012800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADNPKLDLGSCRCEAEGLEENMGTQKISVLDHINGFEYTAEKSDSFVIDMESFSHGSNNKDINPNSRITLQRSFSRKGSVRGGGGGEKKINYNPSSNDRDSIVAASSPRVGASMPDKASQVTVGTTDHLSNPQVHHHITINTTSVNSMNASTESRCTIRRNSFKRPPSSWAIDPKRVLFFFATLSSMGTILLIYFTLSMGKLSADDSALD >Manes.16G012800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1267315:1273608:-1 gene:Manes.16G012800.v8.1 transcript:Manes.16G012800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADNPKLDLGSCRCEAEGLEENMGTQKISVLDHINGFEYTAEKSDSFVIDMESFSHGSNNKDINPNSRITLQRSFSRKGSVRGGGGGEKKINYNPSSNDRDSIVAASSPRGASMPDKASQVTVGTTDHLSNPQVHHHITINTTSVNSMNASTESRCTIRRNSFKRPPSSWAIDPKRVLFFFATLSSMGTILLIYFTLSMGKLSADDSALD >Manes.16G012800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1267315:1273608:-1 gene:Manes.16G012800.v8.1 transcript:Manes.16G012800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADNPKLDLGSCRCEAEGLEENMGTQKISVLDHINGFEYTAEKSDSFVIDMESFSHGSNNKDINPNSRITLQRSFSRKGSVRGGGGGEKKINYNPSSNDRDSIVAASSPRVGASMPDKASQVTVGTTDHLSNPQVHHHITINTTSVNSMNASTESRCTIRRNSFKRPPSSWAIDPKRVLFFFATLSSMGTILLIYFTLSMGKLSADDSALD >Manes.16G012800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1267315:1273608:-1 gene:Manes.16G012800.v8.1 transcript:Manes.16G012800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADNPKLDLGSCRCEAEGLEENMGTQKISVLDHINGFEYTAEKSDSFVIDMESFSHGSNNKDINPNSRITLQRSFSRKGSVRGGGGGEKKINYNPSSNDRDSIVAASSPRGASMPDKASQVTVGTTDHLSNPQVHHHITINTTSVNSMNASTESRCTIRRNSFKRPPSSWAIDPKRVLFFFATLSSMGTILLIYFTLSMGKLSADDSALD >Manes.18G142200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24878804:24882965:1 gene:Manes.18G142200.v8.1 transcript:Manes.18G142200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSFTDSAHPLTTHIFLSLYFDFSSSTIHATALLSLAAPHTGILSLDARSLTIYKVLDPQTLSPLPFSLSPIDPIKGSHLTVSLQNHSSVLIFYSTSPSSSALQWLSPPQTFGKIHPFVFTQCQSIHARSVFPCQDTPAARICYSANLNIPRHLSAVMSARHSDRRAPVSGDVNDLVTGDIGFDFRSLWCAEGRVVEEFVMQQPIPPYLFAFAVGDLGFREVGPRTKVYSEAVAEVLDAAAREFAGTEDMIRQGEKLFGPYEWERFDLMVLPPSFPYGGMENPRMVFLTPTVIKGDASGGQVVAHELAHSWTGNLITNKNNDHFWLNEGFSTYAERRIVEVVEGEDRAALNIGIGWRGLNEEMVRFKDNMEFTKLKTNQENIDPDDVYSQVPYEKGFQFLWRIERQIGRSAFDEFLKKYIATFKFKSIDTELFLDFLKANVPGIEKDIDLKLWTEGIGIPPDAYEPVSNLYSKIVSLANEFKLGKMPREDEVADWHGQEWELYLENLPKAVEASQVVTTVFYDFALDLAYRS >Manes.18G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24878804:24882965:1 gene:Manes.18G142200.v8.1 transcript:Manes.18G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSFTDSAHPLTTHIFLSLYFDFSSSTIHATALLSLAAPHTGILSLDARSLTIYKVLDPQTLSPLPFSLSPIDPIKGSHLTVSLQNHSSVLIFYSTSPSSSALQWLSPPQTFGKIHPFVFTQCQSIHARSVFPCQDTPAARICYSANLNIPRHLSAVMSARHSDRRAPVSGDVNDLVTGDIGFDFRSLWCAEGRVVEEFVMQQPIPPYLFAFAVGDLGFREVGPRTKVYSEAVAEVLDAAAREFAGTEDMIRQGEKLFGPYEWERFDLMVLPPSFPYGGMENPRMVFLTPTVIKGDASGGQVVAHELAHSWTGNLITNKNNDHFWLNEGFSTYAERRIVEVVEGEDRAALNIGIGWRGLNEEMVRFKDNMEFTKLKTNQENIDPDDVYSQVPYEKGFQFLWRIERQIGRSAFDEFLKKYIATFKFKSIDTELFLDFLKANVPGIEKDIDLKLWTEGIGIPPDAYEPVSNLYSKIVSLANEFKLGKMPREDEVADWHGQEWELYLENLPKAVEASQILALDACYRLSESKDYEVKVAFLQLAISSSCKDYFNEVEKTLKAVGRMLYLRPLYTALIQGTGKEEEKILAKRVFAEARECYHPIAQGVVESIFAKHM >Manes.18G142200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24878804:24882965:1 gene:Manes.18G142200.v8.1 transcript:Manes.18G142200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSFTDSAHPLTTHIFLSLYFDFSSSTIHATALLSLAAPHTGILSLDARSLTIYKVLDPQTLSPLPFSLSPIDPIKGSHLTVSLQNHSSVLIFYSTSPSSSALQWLSPPQTFGKIHPFVFTQCQSIHARSVFPCQDTPAARICYSANLNIPRHLSAVMSARHSDRRAPVSGDVNDLVTGDIGFDFRSLWCAEGRVVEEFVMQQPIPPYLFAFAVGDLGFREVGPRTKVYSEAVAEVLDAAAREFAGTEDMIRQGEKLFGPYEWERFDLMVLPPSFPYGGMENPRMVFLTPTVIKGDASGGQVVAHELAHSWTGNLITNKNNDHFWLNEGFSTYAERRIVEVVEGEDRAALNIGIGWRGLNEEMVRFKDNMEFTKLKTNQENIDPDDVYSQVPYEKGFQFLWRIERQIGRSAFDEFLKKYIATFKFKSIDTELFLDFLKANVPGIEKDIDLKLWTEGIGIPPDAYEPVSNLYSKIVSLANEFKLGKMPREDEVADWHGQEWELYLENLPKAVEASQVVRIKGL >Manes.18G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3672650:3675696:1 gene:Manes.18G041600.v8.1 transcript:Manes.18G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHYLHSNALQDPQYQPTSTFLNDVLYCSEENWEEEISEDYFQEEENDRDSYCCSNNKKQDCSVVLLEQDLYWEDEELSSLFSKQEQNQLYSKLENNPSLAETRREAVNWMLNVNAQYSFTALTAVLAVNYLDRFLCSFHFQSEKPWMTQLAAVACLSLAAKVEETKVPLLLDLQVEDSRYVFEAKTIQRMEILVLSTLQWRMNPITPLSFLDFMTRRLGLKDYLRSEFVRRCERIVLSIITDSSCMPYLPSVIATATMLHVINGVEPCLGAEYESQLLGILGIDKDKVDDCSQLIMEIASRDPGSQSNKRKFSSIPGSPNGVMDVSFSSDSSNDSWAVAPSVSSSPEPLSKKSRALQSLNHATADSLSIPR >Manes.02G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7054662:7058079:-1 gene:Manes.02G089900.v8.1 transcript:Manes.02G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFLHHHALTTPNRLSSQQRQVSSNTKTFICRATHKQPPQEDDVALVSRRLALTVLIGAAAIGSKVAPADAAYGEAANVFGKPKQNTDFLPYNGDGFKLSIPSKWNPSREREFPGQVLRYEDNFDSNSNVSVMVNPTDKKSITDYGSPEEFLAKVDFLLGKQAYFGKTDSEGGFDPNAVATANILEASSPTINGTQYYFLSVLTRTADGDEGGKHQLITAAVKDGKLYICKAQAGDKRWFKGARRFVESTASSFSVA >Manes.15G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:978783:980037:1 gene:Manes.15G011100.v8.1 transcript:Manes.15G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSIVLEAQKGGVNKKAPQVISKATMMINKPSSPLPSSSSLSFYSSLPAPTFLEHCFLCGQKLLPGKDIYMYKGDRAFCSVECRCRQIFMDEEETLRTENCSLAAIKPTSASSSSSSSSSSASRHRKSTRNRAGGFAY >Manes.09G039600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7287986:7301092:-1 gene:Manes.09G039600.v8.1 transcript:Manes.09G039600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKEEDDLTWSRETIPRVMKIVSTKLPQRDLVSLLLVSPWLHRTLISYPSLWLVLDFREMNKAGDRLTAALSLSRYQHVKQINLEFAQDIEDRHLEVLQNKFINSLQNLESLNLNGCQKISDKGIEAITSACPKLKVFSIYWNVRVTDLGIKHLVKNCKHVVDLNLSGCKNISDESLQLVADNYQDLESLNLTRCIKLTDGGLQQILVKCSSIHSLNLYAISIFTDKAYKKISNLAHLQFLDLCGAQNLSDEGLSYIAKCKNLVSLNLTWCVQVTDVGVISIAEGCTSLEFLSLFGIVGVTDKCLEVLSRFCSTTITTLDVNGCIGIKKRSRDELLQLFPHLKCFKVHS >Manes.09G039600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7287986:7301092:-1 gene:Manes.09G039600.v8.1 transcript:Manes.09G039600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKEEDDLTWSRETIPRVMKIVSTKLPQRDLVSLLLVSPWLHRTLISYPSLWLVLDFREMNKAGDRLTAALSLSRYQHVKQINLEFAQDIEDRHLEVLQNKFINSLQNLESLNLNGCQKISDKGIEAITSACPKLKVFSIYWNVRVTDLGIKHLVKNCKHVVDLNLSGCKNISDESLQLVADNYQDLESLNLTRCIKLTDGGLQQILVKCSSIHSLNLYAISIFTDKAYKKISNLAHLQFLDLCGAQNLSDEGLSYIAKCKNLVSLNLTWCVQVTDVGVISIAEGCTSLEFLSLLL >Manes.09G039600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7292734:7300994:-1 gene:Manes.09G039600.v8.1 transcript:Manes.09G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKEEDDLTWSRETIPRVMKIVSTKLPQRDLVSLLLVSPWLHRTLISYPSLWLVLDFREMNKAGDRLTAALSLSRYQHVKQINLEFAQDIEDRHLEVLQNKFINSLQNLESLNLNGCQKISDKGIEAITSACPKLKVFSIYWNVRVTDLGIKHLVKNCKHVVDLNLSGCKNISDESLQLVADNYQDLESLNLTRCIKLTDGGLQQILVKCSSIHSLNLYAISIFTDKAYKKISNLAHLQFLDLCGAQNLSDEGLSYIAKCKNLVSLNLTWCVQVTDVGVISIAEGCTSLEFLSLFGIVGVTDKCLEVLSRFCSTTITTLDVNGCIGIKKRSRDELLQLFPHLKCFKVHS >Manes.09G039600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7295404:7300994:-1 gene:Manes.09G039600.v8.1 transcript:Manes.09G039600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKEEDDLTWSRETIPRVMKIVSTKLPQRDLVSLLLVSPWLHRTLISYPSLWLVLDFREMNKAGDRLTAALSLSRYQHVKQINLEFAQDIEDRHLEVLQNKFINSLQNLESLNLNGCQKISDKGIEAITSACPKLKVFSIYWNVRVTDLGIKHLVKNCKHVVDLNLSGCKNISDESLQLVADNYQDLESLNLTRCIKLTDGGLQQILVKCSSIHSLNLYAISIFTDKAYKKISNLAHLQFLDLCGAQNLSDEGLSYIAKCKNLVSLNLTWCVQVTDVGVISIAEGCTSLEFLSLLL >Manes.09G039600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7287986:7301092:-1 gene:Manes.09G039600.v8.1 transcript:Manes.09G039600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKEEDDLTWSRETIPRVMKIVSTKLPQRDLVSLLLVSPWLHRTLISYPSLWLVLDFREMNKAGDRLTAALSLSRYQHVKQINLEFAQDIEDRHLEVLQNKISDKGIEAITSACPKLKVFSIYWNVRVTDLGIKHLVKNCKHVVDLNLSGCKNISDESLQLVADNYQDLESLNLTRCIKLTDGGLQQILVKCSSIHSLNLYAISIFTDKAYKKISNLAHLQFLDLCGAQNLSDEGLSYIAKCKNLVSLNLTWCVQVTDVGVISIAEGCTSLEFLSLFGIVGVTDKCLEVLSRFCSTTITTLDVNGCIGIKKRSRDELLQLFPHLKCFKVHS >Manes.15G059400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4555495:4557480:-1 gene:Manes.15G059400.v8.1 transcript:Manes.15G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPPSKLLVFIFLFLLFNFRYPFLVFASNVSFDFSSLTLRNLTLLGDSYLRNGVVGLTRDVTVPSTSSGTVIYNVPVSFFDPESNTTASFSTRFTFSINNVNPSSFGDGFTFFISQDNQPLGSPGAFLGLVNSSQLTKNKFVAIEFDTSLDIRFNDPDENHVGLDIDSLDSIKTANPSLQDIDLKSGNSITAWIDYKNGLRVLKVFLSYSSLKPATPILTVDLDLYGHLKEAMYVGFSGSTEGSTELHLIESWSFETSGFLPVRPKSHSHNVSDTSVTVTTPISRSAEKHHKGLGLGLGIAGPAFFCVFLAVFGYVTIKKWQEIKIVNNLKAELVAGPREYSYKELSSATKGFHSSRMIGRGSFGNVYKAYFMSSGNIAAVKRSKHSHEGKADFIAELSIIACLRHKNLVQLQGWCVEKDELLLVYEFMPYGSLDKILYQESENGPFLTWSHRQNIAIGLASALTYLHQECEQQVIHRDIKTSNVMLDGNFNARLGDFGLARLMDHDKSPVSTLTAGTMGYLAPEYLHYGKATEKTDVFSYGVVMLEVACGRRPIEREQESQKMLNLVDWVWELYGQGKIIEAADKRLNGGEFKEEEMRRLLLVGLSCANPDSAERPTMRRVLQILNGEAETMTVPKVKPTLTFSSGLSLTLEDIVSDCE >Manes.08G137750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37422948:37423340:-1 gene:Manes.08G137750.v8.1 transcript:Manes.08G137750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGCMLVIILLIGVLELAHGGRGGDVLHFYKMERERMALEVAERLSFRRIIPIVIKLSPPAAATARHQGAPPNAPPGVNN >Manes.08G140800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37698756:37702431:-1 gene:Manes.08G140800.v8.1 transcript:Manes.08G140800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIWVGAGLDRISDLPSNVIDHILACLPLKDAVRTSALSKKWKEKWHTVPHIIVDEHLFHERSQRKLEGIINYILTRHEGKIEKFSLSIEKVKDCYNMKLWIWRLSEKSIKELSLIIRRGQHNEVPSHLFSCQQLRTLNLCRFEVKHAHSFKGFNNLISLQLNKVNIETAIFERLISRCPVLERLTVRNLNCIDHLHINVPNLKYFRFDGEFKSMCFNTPLLEVFSINLYRIGSENNQFDLRFKFRGLPPAIKELYVRCQFQKFLAAGDTFMEVSNSYSHLRTLGINAFCFEKVDEVASVLSLIGGASSLQILDIKACKCKNEAVSEPILQFWEEQKHSPLSLNQLQKVTVRSFHGKDFEIRFVQFVLENSPILEEITIECMKNPDFNQDEVKALLMPFCMASTELNLVGGTYDPGSDDSSDSDNSSNGSYSSDSD >Manes.08G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37698756:37702431:-1 gene:Manes.08G140800.v8.1 transcript:Manes.08G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIWVGAGLDRISDLPSNVIDHILACLPLKDAVRTSALSKKWKEKWHTVPHIIVDEHLFHERSQRKLEGIINYILTRHEGKIEKFSLSIEKVKDCYNMKLWIWRLSEKSIKELSLIIRRGQHNEVPSHLFSCQQLRTLNLCRFEVKHAHSFKGFNNLISLQLNKVNIETAIFERLISRCPVLERLTVRNLNCIDHLHINVPNLKYFRFDGEFKSMCFNTPLLEVFSINLYRIGSENNQFDLRFKFRGLPPAIKELYVRCQFQKFLAAGDTFMEVSNSYSHLRTLGINAFCFEKVDEVASVLSLIGGASSLQILDIKACKCKNEAVSEPILQFWEEQKHSPLSLNQLQKVTVRSFHGKDFEIRFVQFVLENSPILEEITIECMKNPDFNQDEVKALLMPFCMASTELNLVGGTYDPGSDDSSDSDNSSNGSYSSDSD >Manes.14G168100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26998898:27001028:-1 gene:Manes.14G168100.v8.1 transcript:Manes.14G168100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQALSSSRFFPFSWVALNSSQPSSCLNPSVLPLKSKNPPFPLHANAITSPFSLLRFQRLNPRATLDEKDQSPLLVQQQEEEEEEEEQEEVQTQINKDVEESVKVLKNAAKTRKVAAEEILSALSVIEKAKINPSGFLQTLGGSKSPGRTWMLIFTAEKQLKGGRYFPLTAVQRFDAAGKRIENGVYLGPIGCLTFEGRFTWKNRILAFIFERVRVKIGPLNPFEISLGQKEDREPSTKDPFFIWFYIDEEIAVARGRSGGTAFWCRCRRVTT >Manes.05G117502.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12114102:12116348:-1 gene:Manes.05G117502.v8.1 transcript:Manes.05G117502.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVSLIVFRDKRGSGRCCDIGDPSTFRQPRKPHLVPRGSLVRTTLLPAFIDSDLDFAKNWNNAYI >Manes.05G117502.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12114102:12116348:-1 gene:Manes.05G117502.v8.1 transcript:Manes.05G117502.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVSLIVFRDKRGSGRCCDIGDPSTFRQPRKPHLVPRGSLTGRIFVSVFIHSLRFDPRHAVARPLFPAPSK >Manes.05G117502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12114101:12116348:-1 gene:Manes.05G117502.v8.1 transcript:Manes.05G117502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVSLIVFRDKRGSGRCCDIGDPSTFRQPRKPHLVPRGSLTGRIFVSVFIHSLRFDPRHAVARPLFPAPSK >Manes.02G089300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7011767:7016345:-1 gene:Manes.02G089300.v8.1 transcript:Manes.02G089300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALLKREIGLILKSVLRFIDRSVSRAMGFLFGCFRARDDRRGPHLVSHSSRPKLNEPVVSKNRLSSLFLAEDSLYYDRKNRFAGSPQINKELMDETKFLKASGTSPETPSEIRKASEKLKAAAPLAKDSESSDYHSWLPSSSIKNLQLDRQIDQLSTPVKLGKESGNSSGSSEHTPVSRISSVQNAGRISFSYVEGGEVGSPRTATDVLPKKKYVRFECNLDTSSSKGSSFENGGQNLSKFESQGDFSVTKPSPKLTPLKLSDEMQTPGTVFPTNVELANGKTRIRSQYVYPVRNPVENASQWKVRLEDDSSSFQVTSQLMESSEQLENSTPKSAGGKEASSVPELKVEASLSSWFKPRQSTCDNDDPNDRTASRKNFRFGKTPMDRPIIGMVAAHWNENEPSHISPKSWDGNGIPNSTNKYKEDQKVSWHATSFEERLEKALSEESSISQKKNINGRPIVFNEHDESDTALSKLQTSTHSMSVLSF >Manes.02G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7011767:7016345:-1 gene:Manes.02G089300.v8.1 transcript:Manes.02G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCALLKREIGLILKSVLRFIDRSVSRAMGFLFGCFRARDDRRGPHLVSHSSRPKLNEPVVSKNRLSSLFLAEEREDSLYYDRKNRFAGSPQINKELMDETKFLKASGTSPETPSEIRKASEKLKAAAPLAKDSESSDYHSWLPSSSIKNLQLDRQIDQLSTPVKLGKESGNSSGSSEHTPVSRISSVQNAGRISFSYVEGGEVGSPRTATDVLPKKKYVRFECNLDTSSSKGSSFENGGQNLSKFESQGDFSVTKPSPKLTPLKLSDEMQTPGTVFPTNVELANGKTRIRSQYVYPVRNPVENASQWKVRLEDDSSSFQVTSQLMESSEQLENSTPKSAGGKEASSVPELKVEASLSSWFKPRQSTCDNDDPNDRTASRKNFRFGKTPMDRPIIGMVAAHWNENEPSHISPKSWDGNGIPNSTNKYKEDQKVSWHATSFEERLEKALSEESSISQKKNINGRPIVFNEHDESDTALSKLQTSTHSMSVLSF >Manes.02G089300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7011767:7016345:-1 gene:Manes.02G089300.v8.1 transcript:Manes.02G089300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETKFLKASGTSPETPSEIRKASEKLKAAAPLAKDSESSDYHSWLPSSSIKNLQLDRQIDQLSTPVKLGKESGNSSGSSEHTPVSRISSVQNAGRISFSYVEGGEVGSPRTATDVLPKKKYVRFECNLDTSSSKGSSFENGGQNLSKFESQGDFSVTKPSPKLTPLKLSDEMQTPGTVFPTNVELANGKTRIRSQYVYPVRNPVENASQWKVRLEDDSSSFQVTSQLMESSEQLENSTPKSAGGKEASSVPELKVEASLSSWFKPRQSTCDNDDPNDRTASRKNFRFGKTPMDRPIIGMVAAHWNENEPSHISPKSWDGNGIPNSTNKYKEDQKVSWHATSFEERLEKALSEESSISQKKNINGRPIVFNEHDESDTALSKLQTSTHSMSVLSF >Manes.15G033000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2600522:2604055:1 gene:Manes.15G033000.v8.1 transcript:Manes.15G033000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPVPLNYLVSSNVVGKRNPLADCSLQVLLILNYYHKCMVGDDPITDTSDDSAASDSLPKANTYFSDLPYSKALENARNIELDCVDIEGKTHNGWLVRLPFASLFDTLGMCLCDETRVLLLHTLMHGNSDCLEYVLVRTDMDILLMPIPETIYSTSKRTLNHIYISLIILIILSQDSSFNASIHKMTSLGSLMVIILIRIMKYNLSKLRDLYLHSTCLATLANVAPHVHCLSAYASQRLSAELHIYIDSLRIVLEILNAILAYALPCNLRQEVFQPFKNHPRFNELTENICMVLDFFNSRIDGHAEDGEWSVEKVLQLMILFGRMLTQLHFSYEEETHPEEFFTPYIWRLALTYCGFSFYPGTINLFPADLSVEQMSKFVQDFWIALIKNGLDVVPNSVDSFFQSFENQL >Manes.17G039500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23091593:23097463:-1 gene:Manes.17G039500.v8.1 transcript:Manes.17G039500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARFIERSTGMAREKRSLESSSGDEGQPDSKRPALASVIVEALKVDCLQKLCSSLEPILRRVVSEEVERALAKLGSAKLSGRSSPKCIGGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLIDADTGHVITSGPESAAKLDIIVLEGDFNNEDDFNWTQEEFESHVVKEREGKRPLLNGDLQMTLKDGVGTLGELTFTDNSSWTRSRKFRLGLKVASGCCGGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLSKAGIFTVEDFLRVVVRDSQRLRNILGSGMSNKMWDVLLEHAKTCVLGGKLYVYYPEDAKNVGVVFNNIYELTGLIANGQYYKMDSLSDDQKVYVDGLVKNAYENWMHVIEYDGQTLLDIKQNQNTAASQTDIPTHQQDFLNSYDHQVTLPTLSVPVPPERPPRDTGPTAGGYNDSTAASFSLQSQNGNPDMHFQFDATSLPLRNHLASNSHYSQFPGSDDLPALTPQQTSTSSFQGVCMPSLNSHRGAEDFLQEEEIRMRSHEMLENEDMQHLLHIFNMGGQGHSSSNVTEDGYPYSSSFMPGPSPNYSLGDDQSRSSGKAVIGWLKLKAALRWGIFVRKKAAERRAQLVELDDP >Manes.17G039500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23091603:23097158:-1 gene:Manes.17G039500.v8.1 transcript:Manes.17G039500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARFIERSTGMAREKRSLESSSGDEGQPDSKRPALASVIVEALKVDCLQKLCSSLEPILRRVVSEEVERALAKLGSAKLSGRSSPKCIGGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLIDADTGHVITSGPESAAKLDIIVLEGDFNNEDDFNWTQEEFESHVVKEREGKRPLLNGDLQMTLKDGVGTLGELTFTDNSSWTRSRKFRLGLKVASGCCGGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLSKAGIFTVEDFLRVVVRDSQRLRNILGSGMSNKMWDVLLEHAKTCVLGGKLYVYYPEDAKNVGVVFNNIYELTGLIANGQYYKMDSLSDDQKVYVDGLVKNAYENWMHVIEYDGQTLLDIKQNQNTAASQTDIPTHQQDFLNSYDHQVTLPTLSVPVPPERPPRDTGPTAGGYNDSTAASFSLQSQNGNPDMHFQFDATSLPLRNHLASNSHYSQFPGSDDLPALTPQQTSTSSFQGVCMPSLNSHRGAEDFLQEEEIRMRSHEMLENEDMQHLLHIFNMGGQGHSSSNVTEDGYPYSSSFMPGPSPNYSLGDDQSRSSGKAVIGWLKLKAALRWGIFVRKKAAERRAQLVELDDP >Manes.15G052000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4024076:4028758:1 gene:Manes.15G052000.v8.1 transcript:Manes.15G052000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSCFALVPFLSAILLLIIDRCSCLDRSHFPPSFLFGTATSSYQIEGAYLEDKKGLSNWDVFTHLYSAGKIADGSNGDVADDHYHLFLDDIELMHSLGVNSYRFSISWARILPQGRFGEVNQEGIAFYNKLIDALLIKGIEPVVTLQHFDVPQELEDRYGAWLNSQIQDDFGYFADICFEAFGDRVKYWITLNEANMVAQYCYYSGIWPPNRCSYPAGKCKAGNSDLEPYIAAHNMILAHAIATDIYRKKYQEKQGGKIGIVLHIYWYEPLRDIPADRVAAQRALAFIAAWFMDPIMFGEYPPEMQQIVGLRLPAFSAEDKRKLANKLDFIGINHYSTLYAKDCLLTPCNYHDDLLKDTFIYGTGEKDGILIGDPTAMPTFYVVPNSMEKTIMYFKDRYNNTPMYITENGYAQPSSKKIEDMLNDTSRVEYMEGYLTSLISAIRNGADVRGYFHWSLIDNFEWTYGYTISFGLYHVDRTTMQRTPKRSAKWFQQFLKNKSGVLHAQE >Manes.15G052000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4024076:4028758:1 gene:Manes.15G052000.v8.1 transcript:Manes.15G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSCFALVPFLSAILLLIIDRCSCLDRSHFPPSFLFGTATSSYQIEGAYLEDKKGLSNWDVFTHLYSGKIADGSNGDVADDHYHLFLDDIELMHSLGVNSYRFSISWARILPQGRFGEVNQEGIAFYNKLIDALLIKGIEPVVTLQHFDVPQELEDRYGAWLNSQIQDDFGYFADICFEAFGDRVKYWITLNEANMVAQYCYYSGIWPPNRCSYPAGKCKAGNSDLEPYIAAHNMILAHAIATDIYRKKYQEKQGGKIGIVLHIYWYEPLRDIPADRVAAQRALAFIAAWFMDPIMFGEYPPEMQQIVGLRLPAFSAEDKRKLANKLDFIGINHYSTLYAKDCLLTPCNYHDDLLKDTFIYGTGEKDGILIGDPTAMPTFYVVPNSMEKTIMYFKDRYNNTPMYITENGYAQPSSKKIEDMLNDTSRVEYMEGYLTSLISAIRNGADVRGYFHWSLIDNFEWTYGYTISFGLYHVDRTTMQRTPKRSAKWFQQFLKNKSGVLHAQE >Manes.14G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11107743:11116038:-1 gene:Manes.14G117000.v8.1 transcript:Manes.14G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDVGPGLDDAKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWVKAQATRSPQERNTRLENMCWRIWNLARQKKQLEGELAQRKAKRHLEREKGRREATADMSEDLSEGEKGDAAGDVSVHGDSNRGRLPRINSVDAMEAWANQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLTLRNSEDFEDEMGESSGAYIVRIPFGPKDKYIPKEHLWPHIPEFVDGALNHIIQMSKVLGEQIGGGKPIWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEEFSLDSSEIVITSTRQEIDEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMAIIPPGMEFHHIVPQEGDMDGELEGNEDHPTSPDPPIWNEIMRFFTNPRKPMILALARPDPKKNITTLVKAFGECRHLRELANLTLIMGNRDGIDEMSSTNASVLLSVLKLIDKHDLYGQVAYPKHHKQADVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVADKQLWAKCRQNGLKNIHLFSWPEHCKTYLSRIASCKPRHPQWQKDNDGNDSSDTDSPGDSLRDLHDISLNLKFSLDGEKTGASGNDNSIESEGDSADRKGKLENAVLAWSKGVLKNTQKTGYIDKGEQNNSSGKFPALRRRKQIFVIAVDFDTISGLIEATKKIFDAVERERTEGSIGFILSTSLTMSEINSFLVTGGFSPSDFDAFICNSGSELYYSNLNAEDNPFVVDFYYHSHIEYRWGGEGLRKTLVRWVSSVIDKKAEKGEHIVMAAEQLSTNYCYAFKVQKPGMVPPVKELRKLLRIQALRCHVIYCQDGTRINVIPVLASRTQALRYLYVRWGIELANMVVFVGESGDTDYEGLLGGLHKSVILGGVCTSASSQIHANRNYPLADVIASDSPNIVRTTEECTSSDIRASLKQLACVKG >Manes.02G012800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1287790:1293157:-1 gene:Manes.02G012800.v8.1 transcript:Manes.02G012800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNISVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMGWMPDQQGWLSYIKFELRYNEIERARGIFERFVQCHPKVSAWIRYAKFEMKNGEVARARNVYERAVEKMADDEEAEQLFVAFAEFEERCKESERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAEDIERTRDVYGECLNLIPHKKFSFAKIWLLAAQFEIRQLNLKSARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLSETERARSIFELAIAQPALDMPELLWKAYIDFEISEGEYERTRQLYERLLDRTKHLKVWISYAKFEAAAMEEIVEGADSLEEQKKKCIQNARRVFEKAINYFRTSAPELKEERAMLLEEWLNMESSFGELGDVGLVQPKLPKKLKKRRPIASEDGLAGLEEYIEYIFPEETQAPNLKILEAAYRWKKQKLSTED >Manes.16G076900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28142258:28146014:1 gene:Manes.16G076900.v8.1 transcript:Manes.16G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSHLLLRILPSLSLVGLVSFILYLFKTVFLSSYSAKKKLRMQGISGPSPSFINGNLPEMQQIQSKAEKDLVFPTHDQIVAQDYTSIVFPYFDHWRKVYGPIYTYSTGFKQHLYVTDPEVVKEMNQCNTLDLGKPPYVTKRFAPMFGNGIMRSNGHFWALQRKIVAPEFFMAKVKSMVGLMVESTQPLLREWEQRIEAQGGFQAEITVDDDLKGLSANVIARTCFGSSYFKGNQIFSKLRTLHKALTHQGTLFGFTYFRKNNKEIRSLEREIESLIWETVQDRQKQSSIEKDLMQLILEEAVNDTNSGKLSPRNFIVDNCKGLYFAGHDTTAISASWVLMLLALHPEWQARIRAEVAQFCNDGSVDANSVSNFKTVTMVIQEALRLFPPAGFVVRETLEEVRIGNITIPKGVCTWTLISTLHRDPTIWGPDANKFRPERFADGISKACKFSQAYIPFGLGTRLCVGRNFAMMELKIVISFIVSKFKFSLSPNYVHSPVFRMLVEPNNGVQLIIQKV >Manes.18G000051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:121968:148092:1 gene:Manes.18G000051.v8.1 transcript:Manes.18G000051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEPILFHQVIKTEGWGGLYSGLKPSLFGTAASQGIYYYFYQVFKNRAEAIAASRKAKGHGDGTVGMFSWLVVAAMAGSLNVLLTNPIWVLVTRTLDAVTKMIRYEGVLGFYKGMGTKIVQSVFAASVLFMVKEELVKAYMVLADKSKKVLLNLSK >Manes.02G080200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6245140:6251100:1 gene:Manes.02G080200.v8.1 transcript:Manes.02G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFGVNGDANGVMVGTVELEDSKACDESEIGVTSADEDFQQDQDDKVDKQSLGKDAILDGVPSAGTVPADEPYVGQEFESEAAAHAFYNAYATRVGFIIRVSKLSRSRRDGSAIGRALVCNKEGYRMPDKREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRRDCIYDQYPNEHDKIRELSQQLAIEKKRAATYKRHLELIFEQIEEHNESLSKKIQHIVDSVREMENKEQQNRV >Manes.02G080200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6245140:6251100:1 gene:Manes.02G080200.v8.1 transcript:Manes.02G080200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVELEDSKACDESEIGVTSADEDFQQDQDDKVDKQSLGKDAILDGVPSAGTVPADEPYVGQEFESEAAAHAFYNAYATRVGFIIRVSKLSRSRRDGSAIGRALVCNKEGYRMPDKREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRRDCIYDQYPNEHDKIRELSQQLAIEKKRAATYKRHLELIFEQIEEHNESLSKKIQHIVDSVREMENKEQQNRV >Manes.16G102400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30780307:30785933:-1 gene:Manes.16G102400.v8.1 transcript:Manes.16G102400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMSKSSLFLFLLLFFVSWVSSVTASVSYDHKAITINGQRRILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIETYVFWNGHEPSPGNYYFEDRYDLVKFIKLIQQAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGIEFRTDNGPFKAAMQKFTEKIVSMMKSEKLYETQGGPIILSQIENEFGPVEWEIGAPGKAYTKWAAEMAVGLGTGVPWVMCKQDDAPDPVINTCNGFYCENFKPNKDYKPKIWTENWTGWYTEFGGAVPYRPAEDLAFSVARFIQNGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLTRDPKWGHLRDLHKAIKLCEPALVSVDPTVTSLGSNQEAHVFKSKSSCAAFLANYDTKYSVKVTFGSGQYDLPPWSISILPDCKTAVFNTARLGAQSTQMKMTPVGSAFSWQSYIEEAASGYTDDTTTLDGLWEQINVTRDATDYLWYMTNVKIDPSEGFLKSGQDPLLTVYSAGHSLHVFINGQLSGTVYGSLNSPKLTFSQNVKLNAGVNKISLLSVAVGLQNVGVHFERWNVGVLGPVTLKGLNEGTTDLSGWKWSYKIGLKGEALNLHTVTGSSSVDWTEGKLLAKKQPLTWYKTTFDAPDGNDPLALDMGSMGKGQIWVNGQSIGRHWPAYIARGSCGSCNYAGTYNDKKCGSNCGEPSQRWYHVPRSWLKPSGNLLVVFEEWGGDASGISLVKRTIGSVCADIFEGQPALKNWQMIALGKLDRLQPKAHLWCPPGQKISKIKFASYGMPQGTCGSFREGSCHAHKSYDAFEKKCVGKQSCSVTVAAEVFGGNPCPDSEKKLSVEAVCS >Manes.03G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:674725:678735:-1 gene:Manes.03G008500.v8.1 transcript:Manes.03G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGRSLLPLMPTICAMLALLIHGARCFYLPGVAPEDFVKGDELKVKVNKLTSTKTQLPYSYYSLPYCRPSEILDSAENLGEVLRGDRIENSPYVFRMREPKMCNIVCRLKLDAKIVKEFKEKINDEYRVNMILDNLPLVVPRQRLDQESTIVYQLGFHVGLKGQYSGSKEERYFIHNHLAFKVKFHRDLQTDSARIVGFEVKPLSIKHEYEGKWNDEKTRLTTCDPKGNVNSNTPQEVQEKKEIIFTYDVEFQESDVKWASRWDTYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSDSDLLCVYVGTGVQFFGMTLVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGLFAGYASARLYKMFKGTEWKKTAFRTAVMFPGIVAAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFRKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILIVTCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSGLLYFGYMLIVSYAFFVLTGTIGFYACLWFTRLIYSSVKID >Manes.13G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6146365:6148982:-1 gene:Manes.13G052600.v8.1 transcript:Manes.13G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDRKTRHYLPNGFKKFVVHNVKELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Manes.18G116000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11927711:11930295:1 gene:Manes.18G116000.v8.1 transcript:Manes.18G116000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRPIRVSKEDSEDGQPLSPMARMFHQPHSNVYIIIILGFKTSINPLAFKATLRHSLLKHPRFSSLQVVDEENGGEMRWVRTEVNLDNHVRVPKLDPCMESPDKFVEDYASNLSTTTISKSMPLWDVHLLNVQTSEAQSTCIIRVHHSLGDGISLMSLLLSCTRKASDAEALPSIPTIKRGNPSNNSGGFWQFLLKLWCLALLYWNTIVDIVMSLGTIFFLEDTKTPLKATLPLGTPRKRFVHKTISLDDVKLVKNAMGTTINDVMVAITQAGLSSYLNRKFGDNKKDNQGAEGNASNNLPNNIRLRGALFVNLRSSAGIQAFDDMTRRDSKGRWGNHIGFVLFPFTMAIRDDLLDHVREAKITGDRKKATFEAKFNYCMARFFPKWFTKLMSSFASRTTLCFSNIPGPAEEISYFGHPVSFIALGVYGQPYALVIHVVSYEKKMKIILSADENVISDPHQLCDDLQNSLELMKNAVIARKRADPQLYKHH >Manes.18G116000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11927824:11930295:1 gene:Manes.18G116000.v8.1 transcript:Manes.18G116000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVRTEVNLDNHVRVPKLDPCMESPDKFVEDYASNLSTTTISKSMPLWDVHLLNVQTSEAQSTCIIRVHHSLGDGISLMSLLLSCTRKASDAEALPSIPTIKRGNPSNNSGGFWQFLLKLWCLALLYWNTIVDIVMSLGTIFFLEDTKTPLKATLPLGTPRKRFVHKTISLDDVKLVKNAMGTTINDVMVAITQAGLSSYLNRKFGDNKKDNQGAEGNASNNLPNNIRLRGALFVNLRSSAGIQAFDDMTRRDSKGRWGNHIGFVLFPFTMAIRDDLLDHVREAKITGDRKKATFEAKFNYCMARFFPKWFTKLMSSFASRTTLCFSNIPGPAEEISYFGHPVSFIALGVYGQPYALVIHVVSYEKKMKIILSADENVISDPHQLCDDLQNSLELMKNAVIARKRADPQLYKHH >Manes.01G218000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38693982:38697748:-1 gene:Manes.01G218000.v8.1 transcript:Manes.01G218000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQAQEKELPGVGLKKLKKILKKCRRDFESHQELEGSPSAAQHCPHHCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLELHLASGFRKYFIWCKGKLQGNHVALMQEGKDLVTYALINAIAVRKILKKYDKIHYSNQGQAFRSQAQSMHIEILQSPWLCELMAFHINLRNTKVKSKKKAPALFEGCSLTFDDDDKPSLSCELFDSVKLDIDLTCSICLNWNQGHL >Manes.01G218000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38693293:38697698:-1 gene:Manes.01G218000.v8.1 transcript:Manes.01G218000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQAQEKELPGVGLKKLKKILKKCRRDFESHQELEGSPSAAQHCPHHCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLELHLASGFRKYFIWCKGKLQGNHVALMQEGKDLVTYALINAIAVRKILKKYDKIHYSNQGQAFRSQAQSMHIEILQSPWLCELMAFHINLRNTKVKSKKKAPALFEGCSLTFDDDDKPSLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCSCSAASVTIVDGLKAAEPKEKCPLCRETGVYEGALHLEELNILLSQSCAEYWEQRLQSERLERIRQAKQHWEYQCRAFMGV >Manes.01G218000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38692974:38697748:-1 gene:Manes.01G218000.v8.1 transcript:Manes.01G218000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQAQEKELPGVGLKKLKKILKKCRRDFESHQELEGSPSAAQHCPHHCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLELHLASGFRKYFIWCKGKLQGNHVALMQEGKDLVTYALINAIAVRKILKKYDKIHYSNQGQAFRSQAQSMHIEILQSPWLCELMAFHINLRNTKVKSKKKAPALFEGCSLTFDDDDKPSLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCSCSAASVTIVDGLKAAEPKEKCPLCRETGVYEGALHLEELNILLSQSCAEYWEQRLQSERLERIRQAKQHWEYQCRAFMGV >Manes.01G218000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38693982:38697748:-1 gene:Manes.01G218000.v8.1 transcript:Manes.01G218000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQAQEKELPGVGLKKLKKILKKCRRDFESHQELEGSPSAAQHCPHHCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLELHLASGFRKYFIWCKGKLQGNHVALMQEGKDLVTYALINAIAVRKILKKYDKIHYSNQGQAFRSQAQSMHIEILQSPWLCELMAFHINLRNTKVKSKKKAPALFEGCSLTFDDDDKPSLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCSCSAASVTIVDGLKAAEPKEKCPLCRETGVYEGALHLEELNILLSQSCAEYWEQRLQSERLERIRQAKQHWEYQCRAFMGV >Manes.01G218000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38694199:38697698:-1 gene:Manes.01G218000.v8.1 transcript:Manes.01G218000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQAQEKELPGVGLKKLKKILKKCRRDFESHQELEGSPSAAQHCPHHCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLELHLASGFRKYFIWCKGKLQGNHVALMQEGKDLVTYALINAIAVRKILKKYDKIHYSNQGQAFRSQAQSMHIEILQSPWLCELMAFHINLRNTKVKSKKKAPALFEGCSLTFDDDDKPSLSCELFDSVKLDIDLTCSICLNWNQGHL >Manes.01G218000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38694197:38697698:-1 gene:Manes.01G218000.v8.1 transcript:Manes.01G218000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQAQEKELPGVGLKKLKKILKKCRRDFESHQELEGSPSAAQHCPHHCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLELHLASGFRKYFIWCKGKLQGNHVALMQEGKDLVTYALINAIAVRKILKKYDKIHYSNQGQAFRSQAQSMHIEILQSPWLCELMAFHINLRNTKVKSKKKAPALFEGCSLTFDDDDKPSLSCELFDSVKLDIDLTCSICLTGVYEGALHLEELNILLSQSCAEYWEQRLQSERLERIRQAKQHWEYQCRAFMGV >Manes.01G218000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38694197:38697698:-1 gene:Manes.01G218000.v8.1 transcript:Manes.01G218000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYQEYMQAQEKELPGVGLKKLKKILKKCRRDFESHQELEGSPSAAQHCPHHCPVCDGTFFPSLLNEMSAVVGCFNERAQKLLELHLASGFRKYFIWCKGKLQGNHVALMQEGKDLVTYALINAIAVRKILKKYDKIHYSNQGQAFRSQAQSMHIEILQSPWLCELMAFHINLRNTKVKSKKKAPALFEGCSLTFDDDDKPSLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCSCSAASVTIVDGLKAAEPKEKCPLCREVSWRFLCEICT >Manes.12G070801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7563938:7567137:-1 gene:Manes.12G070801.v8.1 transcript:Manes.12G070801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNTPTVRGMLQQLKRLVVIETEEMYKARKQNVANHQALRPPLVVNHSPAHSSNSP >Manes.01G262200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41766518:41768774:-1 gene:Manes.01G262200.v8.1 transcript:Manes.01G262200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGMNLITTIIGFGMSATFIVFVCTRIICGRIRASESRQMFEIESRIDLEQPEHRISGLEPVLVSAIPTMKFSREAFSSVEDAQCSICLGEYQEKEVLRIMPKCGHNFHLSCIDVWLRKQSTCPVCRFPIQDSLETKRLRQSAISMVRSIDSPETSTEHSRQWLLPGSDRSVGNASHQRHPDTVPGNPEIASGEQQTRH >Manes.16G059325.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22563555:22593675:1 gene:Manes.16G059325.v8.1 transcript:Manes.16G059325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLVFSTTIPLRFSHLPRSISPFHHLSQCVPQRFSENSQCCVENGGIRLKVTPIRRNLSTKAVLSEPPNQRQYPKVAAKSTGPIPPSQLIQVVETAAKTGAEVVMDAVNKPRNISYKGLTDLVTDTDKMSEAAILEVVRKNFADHLILGEEGGVIGDSFSEYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGNPAAAAVVEFVGGSMAWNTRIFSATAGGGAFCNGHKIHASQTDKVEQSLLVTGFGYEHDDAWAANIQLFKEYTDISRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLMVEEAGGTVTRMDGGKFCVFDRSVLVSNGVMHAKLLERIAPTTEKLKAKGIDFSLWYKPENYPTDF >Manes.06G007100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1189081:1199047:1 gene:Manes.06G007100.v8.1 transcript:Manes.06G007100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVEEREVQKNYWIEHSADLTVEAMMLDSKASDLDKEERPEVLSLLPSYEGKSVLEFGAGIGRFTGELAQKAGQLVAVDFIENVIKKNESINGHHKNVKFMCADVTSPDLNFSEGSVDLIFSNWLLMYLSDKEVENLAERMVKWLKVGGYIFFRESCFHQSGDSKRKSNPTHYREPRFYTKVFKECHTRDGSGNSYELSLIGCKCIGAYVRNKKNQNQICWIWQKVSSQDDKGFQQFLDNVQYKCDGILRYERVFGKGFVSTGGIETTEEFVAKLDLKRGQKVLDVGCGIGGGDFYMAENFDVEVVGIDLSINMISLALECAIGLKCNVEFEVADCTKKTYPENTFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKSAGTPSPEFSEYIKQRGYDLHDVKTYGQMLKDAGFAEVIAEDRTDQFNQVLQRELNAIEKEKDEFVEDFSEEDYNDIVGGWKAKLIRSSSGEQRWGLFIAKKN >Manes.06G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1189081:1199047:1 gene:Manes.06G007100.v8.1 transcript:Manes.06G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGEAITDSDCKHFNNEASSFYKVEEREVQKNYWIEHSADLTVEAMMLDSKASDLDKEERPEVLSLLPSYEGKSVLEFGAGIGRFTGELAQKAGQLVAVDFIENVIKKNESINGHHKNVKFMCADVTSPDLNFSEGSVDLIFSNWLLMYLSDKEVENLAERMVKWLKVGGYIFFRESCFHQSGDSKRKSNPTHYREPRFYTKVFKECHTRDGSGNSYELSLIGCKCIGAYVRNKKNQNQICWIWQKVSSQDDKGFQQFLDNVQYKCDGILRYERVFGKGFVSTGGIETTEEFVAKLDLKRGQKVLDVGCGIGGGDFYMAENFDVEVVGIDLSINMISLALECAIGLKCNVEFEVADCTKKTYPENTFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKSAGTPSPEFSEYIKQRGYDLHDVKTYGQMLKDAGFAEVIAEDRTDQFNQVLQRELNAIEKEKDEFVEDFSEEDYNDIVGGWKAKLIRSSSGEQRWGLFIAKKN >Manes.09G011500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2236749:2240606:1 gene:Manes.09G011500.v8.1 transcript:Manes.09G011500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTLQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLHVAIASSNHVIHMNPLPSRVHFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPNVYTYNVIVNGLCKFGKTNVAIGLLKGMADRGCEPDVVTYSAIVDALCKDDLVGEALELFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNVLPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDQLKEALALLKEMVGRNISPDVFTFNILIDTLCKKGVVSIAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQTDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKELFDEMFHKGLVPNAVTYSTLIKGMFQAGRPQNAKELFKDMCFHGQQPNIVTFSIMIDGLCRQGDLDEALTLLKAMEKSQLKPNLVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEYLPKASELINEMVDKGFSADDTTTELVVHLSQNNDLILSKLRNRSEASKAVQ >Manes.14G015500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1047068:1054009:1 gene:Manes.14G015500.v8.1 transcript:Manes.14G015500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTVESSTVETNDGVKLQTRVFKPKEDEIKDKLVIVLVHPYSVLGGCQALLKGIAIGLAQKGYTAVTFDMRGAGRSTGKPSLTGFSEVKDVIAVCKWVCENLSTDKILLVGSSAGAPISGSAVDEINEVVGYVSLGYPFGMMASILFGRHHKAILQTPKPKLFVMGTRDGFTSVKQLQKKLSSAAGRVETHLIEGAGHFQMEGPAYDAQMVNLILTFIASL >Manes.03G090396.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:20209669:20210793:1 gene:Manes.03G090396.v8.1 transcript:Manes.03G090396.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEDASSSMLCNAKMNPTTAETSDSFNSHYYCRIRPAKRPRLELNNSNIFAAKFKGVVPQHNGHWGAQIYAKHQRIWLGTFKSEKEAAMAYDSAAIKIRSGDSHRNLPWTERNIQEPDFQSQYTTEAVLNMIRDGSYQPKFADFLRKQTRRQEAAAETSLSLNNNTNQTRVHGGDHGKFSFIQLFQKELTPSDVGKLNRLVIPKKFAVKYFPYISGNMENDKEHGLGHGLEDVELVFYDRLMKSWKFRYCYWRSSQSFVFTRGWNRFVKEKNLKEKDIVTFYACVSSQRIQEGQQFCLIDISYGNGQSCSTVVDAPCQIEEMQKELELNLGQSIRNKLQEDHHGKEKRFRSGLEFMNSNKGKGLRLFGVQID >Manes.02G098300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7662276:7672055:-1 gene:Manes.02G098300.v8.1 transcript:Manes.02G098300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHPVGCLPFQKRCLFVLLIMLSISTVIAFLIKAAFDSCDRRLEVAHKPLHSSLNALSTKTPPNPLTFMKSKQVLLVSHELSLSGGPLLLMELAFLLRGVGAEVFWVTIQKPSETDEVIYNLEQKMLVRGVQVLSAKGQEAIGTALKADIVVLNTAVAGKWLDAILKENIPHVLSKVLWWIHEMRGHYFKLDYVKHLPTVAGAMIDSHVTAQYWKNRTQERLRIKMPETYVVHLGNSKELMEVAEDGVAKRVLREHIRESLGVRDEDLLFAIINSVSRGKGQDLFLRSFFESLELIQVKKLKVPSMHAVIVGSDMTAQTKFETELRNFVMQKKIQDRVHFVNKTLTVAPYLAAVDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPVGKDGVTPLAKNIVKLATHVERRLTMGKRGYERVKEMFLEHHMAHRIAAVLKEVLRKSKGHPHP >Manes.02G098300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7662276:7671692:-1 gene:Manes.02G098300.v8.1 transcript:Manes.02G098300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHPVGCLPFQKRCLFVLLIMLSISTVIAFLIKAAFDSCDRRLEVAHKPLHSSLNALSTKTPPNPLTFMKSKQVLLVSHELSLSGGPLLLMELAFLLRGVGAEVFWVTIQKPSETDEVIYNLEQKMLVRGVQVLSAKGQEAIGTALKADIVVLNTAVAGKWLDAILKENIPHVLSKVLWWIHEMRGHYFKLDYVKHLPTVAGAMIDSHVTAQYWKNRTQERLRIKMPETYVVHLGNSKELMEVAEDGVAKRVLREHIRESLGVRDEDLLFAIINSVSRGKGQDLFLRSFFESLELIQVKKLKVPSMHAVIVGSDMTAQTKFETELRNFVMQKKIQDRVHFVNKTLTVAPYLAAVDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPVGKDGVTPLAKNIVKLATHVERRLTMGKRGYERVKEMFLEHHMAHRIAAVLKEVLRKSKGHPHP >Manes.17G097850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30454378:30455689:-1 gene:Manes.17G097850.v8.1 transcript:Manes.17G097850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAAIFFIETHKTNSFCSVSSQSPSPPDQIPFRILRRFTPYHRSKSRCGWTNIHSPFSSTNTSPLPTFPKSLRSSLQNESPAFPPLHSLHSTQLFILHNLYSYSTIIHILSGPVSRPGLKSTSAFLFDHWIHR >Manes.17G097850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30454032:30455607:-1 gene:Manes.17G097850.v8.1 transcript:Manes.17G097850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAAIFFIETHKTNSFCSVSSQSPSPPDQIPFRILRRFTPYHRSKSRCGWTNIHSPFSSTNTSPLPTFPKSLRSSLQNESPAFPPLHSLHSTQLFILHNLYSYSTIIHILSGPVSRMSAYSAQMFGVFSPVD >Manes.10G133656.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29896218:29897420:1 gene:Manes.10G133656.v8.1 transcript:Manes.10G133656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAMVDAVVMFPSPAIGHLISMVEFGKLILTFQPSLSIHIPIVSAPYSAGSIASYDADVAATTPSISFHRLPTITLPSSTNTHYETLIFEVLRLSNPHVHQALLSISKTHKIKAFIMDFFCFFSLSIASQLNIPGYFFFTSGAGCLAASMYFPTLHQTTTKSFKDMNTFLNLPGLPPISSSNFSSVVSDRNNKAYEYFLDMAYCFPKSTGVIVNTFAVLEARALKTISDGLCIPDSTTPPVYCTGPLIATNNQTDGDTECLNWLESQLSQSVIFLCFGSLGLFSMEQLREIASGLERSGQRFLWVVRNPPSDSESLDISALPEPDLNSLLPDGFLDRTKEKGLVVKSWAPQVAILNHKSVGGFVTHCGWNSVLEAVSAGVPMVAWPLYAEQKFNRLKK >Manes.07G005000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:727754:733113:-1 gene:Manes.07G005000.v8.1 transcript:Manes.07G005000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGGCLKGEHCEFSHDWKDPPNNICTYYQRGICSYGSHCRYEHVKPSRSDYASSSTTIHHRSTVSMSVPLVRPVRTGFSRGTSVLRTSGELSASSRPYFPPTKPAWNSESGPNNILENGGVMESRNVKPEDRSLCSFAAVGNCPRGVKCSHIHGDICPTCGKHCLHPFRPEEREEHLKMCGKKQKHLEVLKHSQEIECCVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPASGMDVNTALRACPICRKLSYFVVPSVIWYSSKEEKQEIIDSYKAKLRLQAF >Manes.07G005000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:727754:733113:-1 gene:Manes.07G005000.v8.1 transcript:Manes.07G005000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGGCLKGEHCEFSHDWKDPPNNICTYYQRGICSYGSHCRYEHVKPSRSDYASSSTTIHHRSTVSMSVPLVRPVRTGFSRGTSVLRTSGELSASSRPYFPPTKPAWNSESGPNNILENGGVMESRNVKPEDRSLCSFAAVGNCPRGVKCSHIHGDICPTCGKHCLHPFRPEEREEHLKMCGKKQKHLEVLKHSQEIECCVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPASGMDVNTALRACPICRKLSYFVVPSVIWYSSKEEKQEIIDSYKAKLRSIDCKHFDFGNGNCPFGTSCFYKHTVKPGSYTWKYRRPPPRRPRPRRTGVVDDMNIFDVFEHLFEEDELDIFDAEDYFDEDYEDYVVFLLMQMNIGSGDTSSDDENYLF >Manes.07G005000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:727754:733113:-1 gene:Manes.07G005000.v8.1 transcript:Manes.07G005000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRVLCKFFAHGGCLKGEHCEFSHDWKDPPNNICTYYQRGICSYGSHCRYEHVKPSRSDYASSSTTIHHRSTVSMSVPLVRPVRTGFSRGTSVLRTSGELSASSRPYFPPTKPAWNSESGPNNILENGGVMESRNVKPEDRSLCSFAAVGNCPRGVKCSHIHGDICPTCGKHCLHPFRPEEREEHLKMCGKKQKHLEVLKHSQEIECCVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPASGMDVNTALRACPICRKLSYFVVPSVIWYSSKEEKQEIIDSYKAKLRSIDCKHFDFGNGNCPFGTSCFYKHTVKPGSYTWKYRRPPPRRPRPRRTGVVDDMNIFDVFEHLFEEDELDIFDAEDYFDEDYEDYVVFLLMQMNIGSGDTSSDDENYLF >Manes.02G109600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8508749:8509773:-1 gene:Manes.02G109600.v8.1 transcript:Manes.02G109600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLPVKSNLVDSSSDTTKLGFRGNNQPLCPKPRRLGPTIPEFLKCTKHSQPISDGRTGILNLITDKGIDGRESICSGCSPSCYSGSPPGRTDNPLVHDVHFIHQMELLSPFTRTKLSDKFGFTSASPI >Manes.09G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31182409:31190265:1 gene:Manes.09G109400.v8.1 transcript:Manes.09G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNIFTAMRSLKFIEGCKGTQVYALNPNGQTAGGGGGAGCGGVGEKFLQHLQDLRVNSARPKTNRSQTSPSHMITNISVENLLPSGLPDVDLLEPQIDPCLRYVDFVQTLADLYRKIADCSQFEKSDVYLEQCAIFRGLSDPKMFRRSLRAARQHAVDVHSKIVLASWLRFERREDELVGTSAMDCYGRNLECPKACMVSGYDPESVNDPCMCSRSPRGEYDDDISIEDNECSTSDEDGDMSFCIGDDEIRCVRYNIASLSRPFRALLYGGFSESKREKINFSKNGISTEGMRAVEIFSRTKRLGSFDLPIILELLSLANRFCCEEMKSACDAHLASLVTDMEDALVLIEYGLEETAYLLVAACLQVFLRELPNSMYNSHVMKLFCSSEGREKLALVGHASFLLYYFLSQTALEEDMECNTTVMLLERLGECAKDGWQKQLAYHQLGVVMLERKEYKDALNWFEAAYEAGHIYSTIGIARAKYKRGHKYSAYKILSSLVSDHKPVGWMYQERSLYCVGKEKMMDLNTATELDPTLSFPYKYRAVLLVQENRMGAAISELNKIIGFKVSPDCLELRAWISIALEDYEDALRDIRALLTLDPNYMMFYGKMHGDRLVELLHPLVQQWNQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLRFRQSLLLLRLNCQKAAMRSLRLARNCSTSDHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAFFLKAYALADSSLDPESSIYVIELLEEALRCPSDGLRKGQALNNLGSVYVDCDKLDLAADCYMNALNIKHTRAHQGLARVYHLKNQRKAAYDEMTKLIEKARNNASAYEKRSEYCDRDMAKSDLSMATLLDPLRTYPYRYRAAVLMDDHKEAEAIAELSKAIVFKPDLQLLHLRAAFYDSMGDNISTIRDCEAALCLDSGHLDTTELYNKALKRVDEQQK >Manes.02G142000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10920603:10924281:-1 gene:Manes.02G142000.v8.1 transcript:Manes.02G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAEAEYQPLLPTLDSHSRIPDLSSQAIEEFLEQTTVPLRWWPRLVAWESRLLWLLSWASIVVSIFNFMLSFVTQMFAGHLGAVELAGASIANVGIQGLAYGIMLGMASAVQTVCGQAYGAKQYSAMGIICQRAIVLHLGAAVLLTFLYWFSGPVLIAMGQSEDIAEQGEIFARGLIPQLYAFAMSCPMQRFLQAQNVVNPLAYMSVGVFFVHILLSWLVIYKLEYGLLGAALTLSFSWWLLVILNGLYIVLSPKCKETWTGLSINAFRGIWPYFKLTAASAVMLCLEIWYSQGMVLISGLLSNPTIALDSISICMNYLNWDMQFMLGLAAAISVRVSNELGAGHPKVAKFSVFVVNGTSVCISIIFSVIVLSFRVALSKLFTSDSEVIEAVSNLTPLLAISVFLNGVQPILSGVAIGSGWQAVVAYVNLVTYYIIGLPIGCVLGFKTSLGVAGIWWGIIIGVVFQTITLIILTSRTNWEAEVQKTVERLNESARQALESGANP >Manes.10G127633.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29098895:29101463:-1 gene:Manes.10G127633.v8.1 transcript:Manes.10G127633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMSFFVNGKQVALTGIDSKSETNSSLKAMLRKNSFHWTELFKLSGTKLCFSSAYHPQSDGQTEVTNRTVEMYLRCFSSSHPHKWCDWLSWAKFCYNTSYHSALKSTPFEIVYGRAPPRLLSYLAGNSTVETVDVILQQHDSMLQLLRNNLQLAQNRMKLHYDRSHRPLEFNVGNVVLLRLQPYRQSSIASRKNQKLAAKYYGPFEVLERIGSMAYRLKLPPDSKLHPVFHVSTLEPYHSDSGNFETILPPITEQQPLVPFAILEQRCRSGKQEVLVHWSQSSPANSSWENVQDLLARFPDFTLADKLPNGARSTVTRPLQVYTRNQ >Manes.13G128400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33654835:33659829:1 gene:Manes.13G128400.v8.1 transcript:Manes.13G128400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTITVGPGMDMPIMHDSDRYDLVRDIGSGNFGVARLMRDKVTKELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFEKICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSEAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKEIRKTIQRILNVQYSVPDIVQISPECHDLISRIFVFDPAARINIPQIKNHEWFLKNLPMDLMDENTMGNQFEEPDQPMQSIDTIMQIISEATIPAAGAHGLNRFMTDNLDMDDDMLDFDSESELDVDSSGEIVYAL >Manes.17G021301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11507423:11515043:-1 gene:Manes.17G021301.v8.1 transcript:Manes.17G021301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQACGCIQVEQSKVVIREHFGKYDAVLEPGCHCMPWCLGYKVSGKLSLRVQQLDIKCETKTKDNVFVNVVASIQYRALAEKAADAYYKLSNTKAQIQAYVFDVIRASVPKLSLDAAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDTHVKKAMNEINAAARQRVAASEKAEGVKILQIKRAEGEAESKYLSGIGIARQRQAIVDGLRDSVLGFSENVPGTSAKDVLDMVLLTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQIRGGLLQGNSANQRFSGCMETCVPANENNLRRKENTLSH >Manes.17G021301.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11487923:11514951:-1 gene:Manes.17G021301.v8.1 transcript:Manes.17G021301.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQACGCIQVEQSKVVIREHFGKYDAVLEPGCHCMPWCLGYKVSGKLSLRVQQLDIKCETKTKDNVFVNVVASIQYRALAEKAADAYYKLSNTKAQIQAYVFDVIRASVPKLSLDAAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDTHVKKAMNEINAAARQRVAASEKAEGVKILQIKRAEGEAESKYLSGIGIARQRQAIVDGLRDSVLGFSENVPGTSAKDVLDMVLLTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQIRGGLLQGNSANQRFSGCMETCVPANEFGPEEPRTPAVSQLPQCLVGAIQRFAGSEIVRRSAGHVYGQVM >Manes.17G021301.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11511277:11514951:-1 gene:Manes.17G021301.v8.1 transcript:Manes.17G021301.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQACGCIQVEQSKVVIREHFGKYDAVLEPGCHCMPWCLGYKVSGKLSLRVQQLDIKCETKTKDNVFVNVVASIQYRALAEKAADAYYKLSNTKAQIQAYVFDVIRASVPKLSLDAAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDTHVKKAMNEINAAARQRVAASEKAEGVKILQIKRAEGEAESKYLSGIGIARQRQAIVDGLRDSVLGFSENVPGTSAKDVLDMVLLTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQIRGGLLQGNSANQRFSGCMETCVPANE >Manes.17G021301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11511277:11515042:-1 gene:Manes.17G021301.v8.1 transcript:Manes.17G021301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQACGCIQVEQSKVVIREHFGKYDAVLEPGCHCMPWCLGYKVSGKLSLRVQQLDIKCETKTKDNVFVNVVASIQYRALAEKAADAYYKLSNTKAQIQAYVFDVIRASVPKLSLDAAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDTHVKKAMNEINAAARQRVAASEKAEGVKILQIKRAEGEAESKYLSGIGIARQRQAIVDGLRDSVLGFSENVPGTSAKDVLDMVLLTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQIRGGLLQGNSANQRFSGCMETCVPANE >Manes.17G021301.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11493376:11514951:-1 gene:Manes.17G021301.v8.1 transcript:Manes.17G021301.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQACGCIQVEQSKVVIREHFGKYDAVLEPGCHCMPWCLGYKVSGKLSLRVQQLDIKCETKTKDNVFVNVVASIQYRALAEKAADAYYKLSNTKAQIQAYVFDVIRASVPKLSLDAAFEQKNEIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDTHVKKAMNEINAAARQRVAASEKAEGVKILQIKRAEGEAESKYLSGIGIARQRQAIVDGLRDSVLGFSENVPGTSAKDVLDMVLLTQYFDTMKEIGASSKSSSVFIPHGPGAVRDIASQIRGGLLQGNSANQRFSGCMETCVPANEFGPEEPRTPAVSQLPQCLVGAIQRYRVDQEVSKSIEVVSM >Manes.08G041050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4020166:4020477:1 gene:Manes.08G041050.v8.1 transcript:Manes.08G041050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQCIRKLRTVYRNGIWQGANLLALLHLIAEFLSRWTAPAMTTIKCNVDAIYNAGSRIAAAGMVIRNHEGQFVAGRHVPMGVVQSVFVRHYLGRRIKQEIWI >Manes.07G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27084186:27087987:1 gene:Manes.07G085900.v8.1 transcript:Manes.07G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGICSVQQALTAEAANVTKQAVSLARRRGHAQVTPLHVASAMLASPNGLLRRACLHCHSHPLQCKALELCFNVALNRLPASTSSALLGPHSSYPTLSNALVAAFKRAQAHQRRGSIENQQQPILAVKIELQQLTISVLDDPSVSRVMREAGFSSTQVKNKVEEAVSLEICSQSTTPTSCQSKEMTKPQVFSTSSVSQSLTFSYYGAKPLDQVSNNDDVMTVLNTLAEKKRNTVVIGECPSSTESVVKGVMDKIEKGLAPLELRSMRFISFPLISLKYLPKEEVVQKLFELRCTVKSYISRGVVLYMGDLKWVSDFSSNYGDQQKGSYYCSLNHIIMEIKRLVRGIGETGRLWLLGAATFETYIKCKEGQHSLEAIWELHPLTIPVGSLSLSLNLDSDPQYSSTSKASAYGYSWPLLESAVDTSTCYTDCSINFNREPSNVQNKELTTNLTVSTSSSLPPWLQQHKEETRRITIDDKECTDTSRLSKKWNSFCSSVDKEPYYPEKTIKFASSPPSPISISSQECNTNVNHSHLSWPVIFKPKQFPKEQKIWMPECINEDSESNLINIPKPELLSNPNSSPNSASSSEAMDDTEGLQSFKEFNDQNMKILCNSLEKKVPWQKDIIPEIVNTILECRSGNSKRKCKPNHGEAKEETWLFFLGVDSEGKEKIARELARLVFGSQANYTSIGVSNFSSTRADSTEELKNKRARDEMGSSYHDRFGLALNENPHRVFFMEDLEQVDYYSQKAIKQAIESGRVELPGGETVPLKDAIIIFSSESFSSVSRACSPLRRFKTGDESEEQNSVVSLDLNIAIEVDDHEDENSNIADNGILQIVDRQIIFKIQEM >Manes.09G019400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4072699:4074177:1 gene:Manes.09G019400.v8.1 transcript:Manes.09G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQELVTLQRNSSCSKSTRKIIPSKHMRSTPPIPEQEDFSDKLILRRDSPVQRLNKIHSSFSSLLRSIFKMVTFPNIIIPTCKWLSFPTHLSITPSLGRKVSGTLFGHRRGHVSFAVQDDPRSEPVLLLELAMSTSMLVKEMSSGLVRIALECDKVHVPVQGGGTRSGKKLFNEPMWTMYCNGRKCGYAVSRTCSDLDWYVLSTVQSVSVGAGVIPMVEDGRKSGESEGELLYMRAKFERVVGSRDSEAFYMMNPDGNGGPELSIFLLRI >Manes.02G092300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7240429:7244730:1 gene:Manes.02G092300.v8.1 transcript:Manes.02G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWERAVDTALDGQTDNAAARTLTLDGAVKCVHGRLPPPSLLEKFENLQHLSVANIGVSTLEQFPRLRNLQKLILSDNRIAGGLEFLVEAGLDSLRDLDLSNNRIQYIEDLAPLAQLKLISLDLYECPVTRVKDYRSRVFGLIKSLKYLDKMDAEENERPESDEEEDEEEDEEDDPGSGEIDGEERPYRLNNGHNEGAEGIVDVDEDEESDADEEETETSRRINGPNQNGFRVAAVEERDGGDEEEEGDEEENESGEEIDEEGDEDDDVVEVHEIDDSEDEEDGVEDEDDDDEDDDDDEEDEEEEVDNDEAEFAEPESTGRLTSTEGEIDGHDQGEDEVEEDDNGETGEEEQGVDDDGEFDDEEEGEEEDEEDSGAGYLVQPVGQAEVHDAGGSDMELGNEEDDHEGEEEVEDDDEVQVLPSSSLSHHKRKRDEDLDLDEDDDEDEEDDDVVEYSKSSKKHH >Manes.03G031500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2636187:2639985:1 gene:Manes.03G031500.v8.1 transcript:Manes.03G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRKNSTSKNQGSMGFPNAQYQGTKIRFQDEDEELTTDSSKLDESTVAGDKVMAMEDDSMCEPDVSFVDGDGDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNKFLFKNKIVLDVGAGTGILSLFCAKAGAAHVYAVECSDMADMAKEIVESNGFSEVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLYENMLNTVLYARDKWLVSDGILLPDKASLYLTAIEDADYKEDKIEFWNNVYGFNMSCIKKQAIMEPLVDTVDQKQIVTDCQLLKIMDISQMVSGDASFTVPFKLVAERDDYIHALVAYFDVSFTKCRKLMSFSTGPRSRATHWKQTILYLEDVLTICEGEVLFGNMTVAPDQKNPRDIDIMIKYALNGQRCVVSRTQYYKMR >Manes.09G151700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34904865:34908739:1 gene:Manes.09G151700.v8.1 transcript:Manes.09G151700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSSAGYGTIPTTTTTTTTTTTQPPPETVTSLTFISRATTATQNVIATRRPWTELLNRSSFSLPYSYGDAISRIKYNANYFRVNYAMVFLFILFAGLLWHPVSMIVFIIIFIAWFVLYFSREGPVVLFNRSFDDRVVLLALGLVTVVALAFTHVGLNVLVSLIVGVVVVGVHAAFRNTEDLFLDEESAFEGGLLSVVGSQPLKTTSYTRI >Manes.01G005508.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2360161:2360814:1 gene:Manes.01G005508.v8.1 transcript:Manes.01G005508.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFQALYKRPPLVIPHYVVVSSPACEVDKQLATCDAILQQLKLNLRNANNRMKQMADAHRCDIEFQVIERIGMVAYKLLLLENSKIYHVFHILLLKKQVGETAFNSIELPPLEDDGFIMMEPESILNTRWVKHGSKFFEEKLTKLRHLPAENTMWEKAVVIHDQFLNLEDKVLAPEEGNDEV >Manes.15G133100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10730860:10734260:1 gene:Manes.15G133100.v8.1 transcript:Manes.15G133100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTITAFASLLTISLLSTPSTSSLVSFVFGGCSQQKYISGSPYESNVNSLLTSLVNSATFNNYNNFTIQSPSSQDTLYGLYQCRGDLSNGDCARCVASAVSQLGTLCLDSCGGALQLDGCFVKYDNTSFLGVEDKTVVLKKCGPTIGYESDSLTRRDALLGYLVANDGSYKPYRVGGSGDIYGVAQCVQDLSASECQDCLSEAVGRLKTDCGPAASGDMYLAKCYVRFSERGAHSHGGDDENNDDEIEKTLAILIGLIAGVALLIVFLSFLRKVCEKGKGGK >Manes.16G071666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27308407:27316167:-1 gene:Manes.16G071666.v8.1 transcript:Manes.16G071666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIMVEENHQSSNSNLLSKSVESSIKIDSISIDLVNANEKTNAENCGHFSIRGYVSEIRKKDWKICWPFASDGDSNKHDEQACELPSLHVPKFRYWRCHHCLSDIGAKGIGNDYGPILKSCTAGLKSNSVCSHASILDNVAMLPSDLKQAPQPHILKEKKVDANASANLNYTTEYHAVSCIDKKEKLTEVASPTVGQNMYSSGNLSKEVHRLTTEISGLMQKTYHTDDIVNLKSKCNGSIDPCKPACEHHDGAETELAGNLNCIIKSSSKFESRKVISLDDGLAACGISGEAGTFEKGQNVCSENRGEASKHPSLELDECDYASSESAEIIGRNNLLDLHRDNSSALHRRKTRKVRLLTELLCENGDGDTNNVRTDDSLSNAIPDASAVGDKLHVTVGQVAVSGNVRRSFSQHRKRKLDQDEDWRPMETGSPIKASKEAKILKRDLESTDAIEKAFARMHLQTSLKNSCTKRRIDGSPSMGKKKNKKSLILNESSSLALIQENVPNGIGNKTGDASTSNAADGVMIKPMHNEVIGREADVFPLSTQDRKSLFKKKSKMPQVDDPHASLIPWNHGMLKEDLISRKDVDFLQSEPLRLPFHSVQDTSSEKGLNLSLNSYLTKQKYDMRHNLPPQVEERRMSLITQQEGTENLVNRNAAETKSIGNFNFASKSIPDMHYGKGVYSGLSSKRTINRMPFLNEKQNCTSQFDIGNCSHMQQKNFYSTSSNMKTIGIQECSVVTRKDGDQRANKVSEQGVLDDIPMEIVELMAKHQYERCQPDAEHDRCQFEMTNNSKTGRAMDFSKAYGSGEMSLFQQEASQKRNSRVKNGRNGIIKRGENVGSAKQKLVEFFSLADINQFNMRKPQQAPAPAGFEAFFQRQEKTPTGVHHSASSSGRQNSAQNCKWVGDIVGKRFFHNCLQTSGTCISCQGIPQQSKETNHLWSAMMPNHMPFVYNIPQKCAALPTSVDVLSNTASGMHKENINGDRDLKLPNQNTAIFGKQNRNGSETLRTCSEYPFACKHNGIELNQKPMGSLDLYSNETIPAMHLLSLMDAGLRSRGPINLDVAPKFLKRPLTHDQEPSEFSRLDSGAYQVTNTMDHTSYDYHGKNKLAEDSHRHISAFPAFVGPSASSFKHDKCFKKANDFYSQVSQEKVKGKGFDSRPQNKGCRSQKSIGSGGNFGTNCGSIPVHSMQTMFLGASNSMVFPFQFEGLDNLTKRKLEAPSGTRSVQPHKSSETGICSVNRNPADFSVPEAGNIYMIAGEDLRFGKFPFANGHGSTKLGGQKRPKKLPAVKEHRRQQIS >Manes.16G071666.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27308869:27316136:-1 gene:Manes.16G071666.v8.1 transcript:Manes.16G071666.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIMVEENHQSSNSNLLSKSVESSIKIDSISIDLVNANEKTNAENCGHFSIRGYVSEIRKKDWKICWPFASDGDSNKHDEQACELPSLHVPKFRYWRCHHCLSDIGAKGIGNDYGPILKSCTAGLKSNSVCSHASILDNVAMLPSDLKQAPQPHILKEKKVDANASANLNYTTEYHAVSCIDKKEKLTEVASPTVGQNMYSSGNLSKEVHRLTTEISGLMQKTYHTDDIVNLKSKCNGSIDPCKPACEHHDGAETELAGNLNCIIKSSSKFESRKVISLDDGLAACGISGEAGTFEKGQNVCSENRGEASKHPSLELDECDYASSESAEIIGRNNLLDLHRDNSSALHRRKTRKVRLLTELLCENGDGDTNNVRTDDSLSNAIPDASAVGDKLHVTVGQVAVSGNVRRSFSQHRKRKLDQDEDWRPMETGSPIKASKEAKILKRDLESTDAIEKAFARMHLQTSLKNSCTKRRIDGSPSMGKKKNKKSLILNESSSLALIQENVPNGIGNKTGDASTSNAADGVMIKPMHNEVIGREADVFPLSTQDRKSLFKKKSKMPQVDDPHASLIPWNHGMLKEDLISRKDVDFLQSEPLRLPFHSVQDTSSEKGLNLSLNSYLTKQKYDMRHNLPPQVEERRMSLITQQEGTENLVNRNAAETKSIGNFNFASKSIPDMHYGKGVYSGLSSKRTINRMPFLNEKQNCTSQFDIGNCSHMQQKNFYSTSSNMKTIGIQECSVVTRKDGDQRANKVSEQGVLDDIPMEIVELMAKHQYERCQPDAEHDRCQFEMTNNSKTGRAMDFSKAYGSGEMSLFQQEASQKRNSRVKNGRNGIIKRGENVGSAKQKLVEFFSLADINQFNMRKPQQAPAPAGFEAFFQRQEKTPTGVHHSASSSGRQNSAQNCKWVGDIVGKRFFHNCLQTSGTCISCQGIPQQSKETNHLWSAMMPNHMPFVYNIPQKCAALPTSVDVLSNTASGMHKENINGDRDLKLPNQNTAIFGKQNRNGSETLRTCSEYPFACKHNGIELNQKPMGSLDLYSNETIPAMHLLSLMDAGLRSRGPINLDVAPKFLKRPLTHDQEPSEFSRLDSGAYQVTNTMDHTSYDYHGKNKLAEDSHRHISAFPAFVGPSASSFKHDKCFKKANDFYSQVSQEKVKGKGFDSRPQNKGCRSQKSIGSGGNFGTNCGSIPVHSMQTMFLGASNSMVFPFQFEGLDNLTKRKLEAPSGTRSVQPHKSSETGICSVNRNPADFSVPEAGNIYMIAGEDLRFGKFPFANGHGSTKLGGQKRPKKLPAVKEHRRQQIS >Manes.16G071666.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27308874:27316136:-1 gene:Manes.16G071666.v8.1 transcript:Manes.16G071666.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIMVEENHQSSNSNLLSKSVESSIKIDSISIDLVNANEKTNAENCGHFSIRGYVSEIRKKDWKICWPFASDGDSNKHDEQACELPSLHVPKFRYWRCHHCLSDIGAKGIGNDYGPILKSCTAGLKSNSVCSHASILDNVAMLPSDLKQAPQPHILKEKKVDANASANLNYTTEYHAVSCIDKKEKLTEVASPTVGQNMYSSGNLSKEVHRLTTEISGLMQKTYHTDDIVNLKSKCNGSIDPCKPACEHHDGAETELAGNLNCIIKSSSKFESRKVISLDDGLAACGISGEAGTFEKGQNVCSENRGEASKHPSLELDECDYASSESAEIIGRNNLLDLHRDNSSALHRRKTRKVRLLTELLCENGDGDTNNVRTDDSLSNAIPDASAVGDKLHVTVGQVAVSGNVRRSFSQHRKRKLDQDEDWRPMETGSPIKASKEAKILKRDLESTDAIEKAFARMHLQTSLKNSCTKRRIDGSPSMGKKKNKKSLILNESSSLALIQENVPNGIGNKTGDASTSNAADGVMIKPMHNEVIGREADVFPLSTQDRKSLFKKKSKMPQVDDPHASLIPWNHGMLKEDLISRKDVDFLQSEPLRLPFHSVQDTSSEKGLNLSLNSYLTKQKYDMRHNLPPQVEERRMSLITQQEGTENLVNRNAAETKSIGNFNFASKSIPDMHYGKGVYSGLSSKRTINRMPFLNEKQNCTSQFDIGNCSHMQQKNFYSTSSNMKTIGIQECSVVTRKDGDQRANKVSEQGVLDDIPMEIVELMAKHQYERCQPDAEHDRCQFEMTNNSKTGRAMDFSKAYGSGEMSLFQQEASQKRNSRVKNGRNGIIKRGENVGSAKQKLVEFFSLADINQFNMRKPQQAPAPAGFEAFFQRQEKTPTGVHHSASSSGRQNSAQNCKWVGDIVGKRFFHNCLQTSGTCISCQGIPQQSKETNHLWSAMMPNHMPFVYNIPQKCAALPTSVDVLSNTASGMHKENINGDRDLKLPNQNTAIFGKQNRNGSETLRTCSEYPFACKHNGIELNQKPMGSLDLYSNETIPAMHLLSLMDAGLRSRGPINLDVAPKFLKRPLTHDQEPSEFSRLDSGAYQVTNTMDHTSYDYHGKNKLAEDSHRHISAFPAFVGPSASSFKHDKCFKKANDFYSQVSQEKVKGKGFDSRPQNKGCRSQKSIGSGGNFGTNCGSIPVHSMQTMFLGASNSMVFPFQFEGLDNLTKRKLEAPSGTRSVQPHKSSETGICSVNRNPADFSVPEAGNIYMIAGEDLRFGKFPFANGHGSTKLGGQKRPKKLPAVKEHRRQQIS >Manes.16G071666.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27308874:27316136:-1 gene:Manes.16G071666.v8.1 transcript:Manes.16G071666.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIMVEENHQSSNSNLLSKSVESSIKIDSISIDLVNANEKTNAENCGHFSIRGYVSEIRKKDWKICWPFASDGDSNKHDEQACELPSLHVPKFRYWRCHHCLSDIGAKGIGNDYGPILKSCTAGLKSNSVCSHASILDNVAMLPSDLKQAPQPHILKEKKVDANASANLNYTTEYHAVSCIDKKEKLTEVASPTVGQNMYSSGNLSKEVHRLTTEISGLMQKTYHTDDIVNLKSKCNGSIDPCKPACEHHDGAETELAGNLNCIIKSSSKFESRKVISLDDGLAACGISGEAGTFEKGQNVCSENRGEASKHPSLELDECDYASSESAEIIGRNNLLDLHRDNSSALHRRKTRKVRLLTELLCENGDGDTNNVRTDDSLSNAIPDASAVGDKLHVTVGQVAVSGNVRRSFSQHRKRKLDQDEDWRPMETGSPIKASKEAKILKRDLESTDAIEKAFARMHLQTSLKNSCTKRRIDGSPSMGKKKNKKSLILNESSSLALIQENVPNGIGNKTGDASTSNAADGVMIKPMHNEVIGREADVFPLSTQDRKSLFKKKSKMPQVDDPHASLIPWNHGMLKEDLISRKDVDFLQSEPLRLPFHSVQDTSSEKGLNLSLNSYLTKQKYDMRHNLPPQVEERRMSLITQQEGTENLVNRNAAETKSIGNFNFASKSIPDMHYGKGVYSGLSSKRTINRMPFLNEKQNCTSQFDIGNCSHMQQKNFYSTSSNMKTIGIQECSVVTRKDGDQRANKVSEQGVLDDIPMEIVELMAKHQYERCQPDAEHDRCQFEMTNNSKTGRAMDFSKAYGSGEMSLFQQEASQKRNSRVKNGRNGIIKRGENVGSAKQKLVEFFSLADINQFNMRKPQQAPAPAGFEAFFQRQEKTPTGVHHSASSSGRQNSAQNCKWVGDIVGKRFFHNCLQTSGTCISCQGIPQQSKETNHLWSAMMPNHMPFVYNIPQKCAALPTSVDVLSNTASGMHKENINGDRDLKLPNQNTAIFGKQNRNGSETLRTCSEYPFACKHNGIELNQKPMGSLDLYSNETIPAMHLLSLMDAGLRSRGPINLDVAPKFLKRPLTHDQEPSEFSRLDSGAYQVTNTMDHTSYDYHGKNKLAEDSHRHISAFPAFVGPSASSFKHDKCFKKANDFYSQVSQEKVKGKGFDSRPQNKGCRSQKSIGSGGNFGTNCGSIPVHSMQTMFLGASNSMVFPFQFEGLDNLTKRKLEAPSGTRSVQPHKSSETGICSVNRNPADFSVPEAGNIYMIAGEDLRFGKFPFANGHGSTKLGGQKRPKKLPAVKEHRRQQIS >Manes.08G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15231037:15235911:1 gene:Manes.08G076300.v8.1 transcript:Manes.08G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAKRAAELLLSHNLRSLLDSVEAFLFDCDGVIWKGDKLIDGVSETLELLRSKGKKLVFVTNNSTKSRRQYAKKFNSLGISVSEEEIFSSSFAAAMYLKVNNFPREKKVYVIGGEGILQELQLAGYTGLGGPEDGEKKAEWKSNSLFEHDKSVGAVVVGLDPYINYYKLQYGTLCIRENPECLFIATNRDAVGHMTDLQEWPGAGCMVAAMCGSTEREPIVVGKPSTFMMDFLLQKFQVSTSKMCMVGDRLDTDILFGKNAGCKTLLVLSGVTNQSTLEDPSNTIQPDYYTSKISDFLHLLGP >Manes.09G048606.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10279367:10282306:-1 gene:Manes.09G048606.v8.1 transcript:Manes.09G048606.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLVPPWLESLLSAAFFSICRTHGGATRSECNMYCLDCRGDPLCFYCRPSRHKDHQVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPCRFCSLGCKLVGVRRSRDSSFTLETKNGELMERRRERISSRDDIEEMHEGSQQDIYPSTPPPSPPPTPTFNARRISRARRRKGIPHRAPFRS >Manes.09G048606.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10276655:10282307:-1 gene:Manes.09G048606.v8.1 transcript:Manes.09G048606.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLVPPWLESLLSAAFFSICRTHGGATRSECNMYCLDCRGDPLCFYCRPSRHKDHQVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPCRFCSLGCKNSRLKQFETLRFPFDKQQAIAKQQLDDWPKGRSIIILITRSS >Manes.09G048606.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10279358:10282781:-1 gene:Manes.09G048606.v8.1 transcript:Manes.09G048606.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLVPPWLESLLSAAFFSICRTHGGATRSECNMYCLDCRGDPLCFYCRPSRHKDHQVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPCRFCSLGCKLVGVRRSRDSSFTLETKNGELMERRRERISSRDDIEEMHEGSQQDIYPSTPPPSPPPTPTFNARRISRARRRKGIPHRAPFRS >Manes.09G048606.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10277985:10282781:-1 gene:Manes.09G048606.v8.1 transcript:Manes.09G048606.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLVPPWLESLLSAAFFSICRTHGGATRSECNMYCLDCRGDPLCFYCRPSRHKDHQVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPCRFCSLGCKNSRLKQFETLRFPFDKQQAIAKQQLDDWPKGRSIIILITRSS >Manes.15G191000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28265499:28280199:-1 gene:Manes.15G191000.v8.1 transcript:Manes.15G191000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSAYSTELKSMLGISQVQLNYLAVASDLGKVFGWSSGLALMHFPLWMVLFMAAFMGFFGYGFQWLVIRNVIALPYILVFLLCLLAGCSICWFNTVCFVLCIQNFPANRSLALSLTVAFNGVSAALYTLAANAIDSSSDDIYLLLNALIPLITSVVALLPILRQPSLDPLLPDGVRRDSLIFLVLNFLAILTGIYLLLFKSASSNSTRASLLFGGAIFLLIFPLCIPGVVYAKGWFRRTIHSSFRLEGSSFSLVDIDDLELHKELLTRETSNPENGDIIPNEVTGQKSLSEKEGCCETIVGKGRLLMLGEEHPASLLVRRLDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQGMNTATLLTMYSAFSFFGRLLSAAPDYLRVKMYFARTAWLTISLVPTPIAFFFLATSGSELALKIGTALVGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLVYGFLAALVYDSNARGKGLDLITDSVICVGRKCYSLTFVWWGCLSVFGLGSSFLLFLRTRHVYDQYERNRVSTRLY >Manes.16G137100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33774131:33777225:-1 gene:Manes.16G137100.v8.1 transcript:Manes.16G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAMVNSLFVSAIFFFSIPLLLNFLLRNKLRSKKDEAQLQSLLQTPPGSTGWPFIGETIEYLSTTKEGVPERFINDRKKKYSSKLFKTSLLGQPMVLFCNAEGNKFVFSNENRLFTSWWPSSIDKFFDTQNASKSEEAMKMRKFVAPVLKPDTLRKYVNIVDSKTRLYLKAQWDGQQVVQVHPFAKDLTFVLACRLLLGIDDDKTVAQLQKPFGHFSVGLLSLPIDLPGTNFRRAIKAAKQLRKEFEVMIKGWKSDRLPSQSQGILSQHVLELNEEGEESDMATRILALISASYDNVSTAMTFVIKYLAEMPNVYDAVLKEQIEIARAKGVDEPLNWDDIQRMRYSWNVASEVLRLHPPANGAFREVITNFTYAGFLIPRGWKLHWNAFATHKSPEYFPDPEKFEPSRFEGKGLVPYAYVPFGGGAHMCPGKEYARIAILVLMHNVVTKFKLEKVFPDEKVIGLPILRPAKGLPIRLYPHQQL >Manes.10G098500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24708163:24712339:1 gene:Manes.10G098500.v8.1 transcript:Manes.10G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIYKYLDKVKAEMEKLQDEYQTKSELFECLKKVHEDQLFRFQEAQQEIEKKARVIDAQSEEISEAMKSIKFLTSSLHEKELSLKNLSSENEKLQANYREKLQKLEEENKEIVLALDEASSKNKELTQKLCASNEQIVGLKRLLLDSEKKCLEAEKKAQASEELGKRDNIILKLEQDNRNVLEQLKWKQEQFDLLEKSHEGFQDKFHLLKQEWGREKSVLLEEISSLQINFDCQIRISKDLQTRLEMCSQASYHEEKRRKLLEVKVSELESRVEYLCNQSLEEKSKLESITSEREEEIAKLRSLVAKKEALAKEMEYKISQLELKNWELEEESHKILQEAENINASGLLKEMHDQIKGLEQEHSKCFTYLKEREFEWNSQMEQLKWQVNICECEMKTKGEQMQEVQKEVESLHSAVEILNKTNFIMLMVLQSEFSEAHSVLMNAKAEMELLYKEKEDKISLLRKQLEMTNNALSKTELYLEEYHKQVASFLKKVELLDPMELQPTLLEEGHDSHKETVEQEQTMDDHKKIVSLEAAAAVKTETEEAFTNDKESILQLAEEEVDCKDNLQKSVICSKRKSFGIDSEGIVLARLESEQQCEQEKHELTKATNEEEKCTKDLQKQEQNLHQKLFYQELFSYSKEEAIHHQALLEAKNLDTENSMNQFGDEPEVMESRVKKLVFEGVILDRMKQNAEMKDMFVQIEEMSDQIDEFCCEDVKLMKLLEKILHICEQEHGPGVDMKASNELCDSQDKTDRTFCPSTKKFGAGIDERTPLKELNIQ >Manes.06G084500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21973524:21976062:-1 gene:Manes.06G084500.v8.1 transcript:Manes.06G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQRPKSIIDSLGEEIIRIITPVSICMFIVVILVSILNTDSSSASTTINTIATMAYTETTSDSFWDKLGGALLNSLVFVAVVTVVTFLLVLLFYLRCTQFLKIYMGFSSFIVLGFMGGEIALFLIRDFSVPIDCFTFLVVLFNFSIVGVLAVFMSKMAIFVTQAYMVVIGVLVAYWFTLLPEWTTWALLVAMALYDLAAVLLPVGPLRLLVELAISRDEDIPALVYEARPVTNHDSSSRNGVGHRRLWRERRNVGNGSEENLSSESILDSNLTNATVDVSHNTTRLVRAEEGQLLERDGELSAPLIDHRSSVQLRGEGNAVLSENLLLEGIGLGSTGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYFAIIAGLGITLMLLAFYQKVLPALPVSVALGVLFYFLTRLLLEVFVVQCSLNLVMF >Manes.09G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7705603:7708342:1 gene:Manes.09G042500.v8.1 transcript:Manes.09G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIYGVSRIFFTLCLFVLLSASSASSALPQYPSSPSNKNTGINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGRNNITIFAPKNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHVIPQRLGSNDWPSERSKLSRHTTLCNDHIHLISKTSGKKLVDSAEIIKPDDVIRPDGVIHGIERLLIPQSVQEDFNRRRNLRSISAVLPEGAPEVDPRTHRLKKPAAPVPVGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKIKYDTLRLPHKVVAQEADGSVKFGAGDTSAYLFDPDIYTDGRISVQGIDGVLFPEEEKESVKKSTAAVKVVTKPRRGKLMEVACRMLGAFGKDSHFSRCQ >Manes.10G133716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30095324:30097125:-1 gene:Manes.10G133716.v8.1 transcript:Manes.10G133716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKWKVSIFPYSLKTLRIHNWTPQFLNSLYCGLSHLTELHIEKCPQLESFPGKELPLPSLISLTIAHCEGFRSLSNHMQDFQSLQKLEIWNCHQLVLFPEMRLPIPKLVSFQISRCKNLRSLPNQMQNLTSLQSIVISLCEGMESFGEGCLPRNLTSLHIWDCLNLKQPMLEWGLHRLTSLRKLGIGRKKSSGYIISFPDDDGFLLPTSLTHLVIAGFNNLKSISMGIQKLTSLEKLEILMCPKLHSFPAEGFPATLECLCIDNCRLLRDRCLKEKGGDYWPIISHIPRVVIRN >Manes.11G122860.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28456462:28465021:-1 gene:Manes.11G122860.v8.1 transcript:Manes.11G122860.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIVSSVLGKISNLLIQESDSLLGVEDQIQCIETQLRKDADMSVNFSDKKAFKETVYDLEDVIDQLIIKSAQRRIRYACIRSVMAFVHLPMSLFYILALVDLLDCYRLREKLEKIKITISPRYRPVMQSGYWHKSFGIYEVGIGYSVISPVMGLFEALATQQELRPDVRRQARRLRDEFRSLHDFLKYVEQSKELSEAGMAWMEELCDVCRSAENVVGFFMQQMKNGRRGPFQNLVWAPRHVISQHKLFRQMARINDKIRHLSGRRHKAIAMLRSDNFKSLYQKRKPHPLDADQLDIVSFHEDVDAVTAQLLKDDPRCITISIVGVRGVGKTSLAKLIYESQTIVDHFPRRIWVSNVCQLWQVVNDFFLDEKHLIVVDDLCLKEAGNPIEFLRNMGRVFNDISNGTRLLVTVSNLRKAPPVTETSLTYSLHLRSHDESWALFTHTLKVNICREMENLKGHIIRKCGGLPWVIVELSELLSQKDATLKEWSKVLDHLNQDQEPWLEILDEINKHLPLHLRRCLFYFGLFPAGFKIPARRLIALWVAEGLGCQQSNEQSPEYVAEACLIELMNYNMVQVTEKKLNGKTCCLPEALLVHWFSKAKEANFLQGHSDVSNSNIGVIRRLADHLQQSDAIFDDIHGYSNASLYSRYRDVVSFLSFDTREGSRPGEDIGNFLDRSISSNCFRFLWVLDLENVYKPKLPKAVGQLTCLRYFGLRSTYLEMLTVSINKLLNLQTLDLKRTFIDTLPSSIWKMQKLRHLFLDESFHNALRGQEDSSLVDLLISGCRSRQK >Manes.07G108212.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31424906:31430708:-1 gene:Manes.07G108212.v8.1 transcript:Manes.07G108212.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVSTADFIAIDLEMTGVTSSPWRESFEFDVRRTTPSSPPISSLSRPPSNTLALPDITKISLLLSSADKNSLSPLDPSSSLTAGILKRDQLHKHCTRVPAVVAALFISDHVSGDPTQWLQLCFDLENLKAVRRQKNIKLVVVVVHSSSDDISEDRIIALRKRAELKSKYLVVFNPADSSQLEQSLNKLGNTFAGLANTYYRDEGGRSSVSLENGDAVFVLWNQKKTISHKYKNLRAGFRFLFSM >Manes.02G032685.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2687892:2690155:-1 gene:Manes.02G032685.v8.1 transcript:Manes.02G032685.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAPITFRHIVTRPPSSPSERSLQTFPPERHSDLVRRRGGRISVPGNLTLPTTEIRNGSQKLTKLLLNVNIERSLGPVQVIMAPDNMVKDLIKAAIEIYAREKRRPLLKETNPDGFQLHYSQFSLESLKAEEKLINLGSRNFFLCSKPSSAVKCGCSKQPKVN >Manes.02G032685.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2687892:2690143:-1 gene:Manes.02G032685.v8.1 transcript:Manes.02G032685.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAPITFRHIVTRPPSSPSERSLQTFPPERHSDLVRRRGGRISVPGNLTLPTTEIRNGSQKLTKLLLNVNIERSLGPVQVIMAPDNMVKDLIKAAIEIYAREKRRPLLKETNPDGFQLHYSQFSLESLKAEEKLINLGSRNFFLCSKPSSAVKCGCSKQPKMLFHQVN >Manes.02G032685.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2687901:2690143:-1 gene:Manes.02G032685.v8.1 transcript:Manes.02G032685.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRAPITFRHIVTRPPSSPSERSLQTFPPERHSDLVRRRGGRISVPGNLTLPTTEIRNGSQKLTKLLLNVNIERSLGPVQVIMAPDNMVKDLIKAAIEIYAREKRRPLLKETNPDGFQLHYSQFSLESLKAEEKLINLGSRNFFLCSKPSSAVKCGCSKQPKVLTIESAFPFIKLMDFLL >Manes.15G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4211650:4214574:-1 gene:Manes.15G054900.v8.1 transcript:Manes.15G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSGYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >Manes.11G019300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2114406:2116825:-1 gene:Manes.11G019300.v8.1 transcript:Manes.11G019300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKFTWKMLFLGCFKTRRSLPAPKIQVSNLTTSQRLSLSDLSNPGSSLSFSDFSSSIFNLHVFTLKELQMVTHQFSKSNYLGEGGFGIVYKGFVTDKLRPGLKAQPVAVKVLDLDGSQGHKEWLAEVIFLGQLKHPHLVNLIGYCCEDEHRLLVYEFMERGNLETQLFKRYSAALPWLTRLKIAAGAAKGIAFLHGEEKPVIYRDLKASNVLLGSDYNAKLSDFGLATDGPQGDDTHITTRVMGTEGYAAPEYIMTGHLTAMSDVFSFGVVLLELLTGKRSVDKNRPSKEQNLVKWARPLLKDPNKLDQIMDPRLEGQYSTEGARKAAALAYQCLSHHCKSRPTMSTVVKTLESLLELNDITIGPFVYVAPTEGKNKEEKETETETENENESHELKDENKCKGSVAEMKDKSRNRQHKGHRHRRRIKSLRSKVVHSDTALYKTLGTGLYSPRD >Manes.11G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2114406:2116825:-1 gene:Manes.11G019300.v8.1 transcript:Manes.11G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKFTWKMLFLGCFKTRRSLPAPKIQVSNLTTSQRLSLSDLSNPGSSLSFSDFSSSIFNLHVFTLKELQMVTHQFSKSNYLGEGGFGIVYKGFVTDKLRPGLKAQPVAVKVLDLDGSQGHKEWLAEVIFLGQLKHPHLVNLIGYCCEDEHRLLVYEFMERGNLETQLFKRYSAALPWLTRLKIAAGAAKGIAFLHGEEKPVIYRDLKASNVLLGSDYNAKLSDFGLATDGPQGDDTHITTRVMGTEGYAAPEYIMTGHLTAMSDVFSFGVVLLELLTGKRSVDKNRPSKEQNLVKWARPLLKDPNKLDQIMDPRLEGQYSTEGARKAAALAYQCLSHHCKSRPTMSTVVKTLESLLELNDITIGPFVYVAPTEGKNKEEKETETETENENESHELKDENKCKGSVAEMKDKSRNRQHKGHRHRRRIKSLRSKVVHSDTALYKTLGTGLYSPRD >Manes.07G040000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4294220:4294959:-1 gene:Manes.07G040000.v8.1 transcript:Manes.07G040000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNCFILAFFVSLSLLSMDVSLAARNLLQLPPLPSVPNLPKLAVPPIPAIPTLPQPSLPTTQPSLPNPTLPPLPSLPTMPAFPKVTLPPMPSIPSIPNIPTTIPSIPLLSPPPAGN >Manes.18G137500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:19793908:19797794:-1 gene:Manes.18G137500.v8.1 transcript:Manes.18G137500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKPGILTQKYEIGRLLGQGTFAKVYYARSISTNQSVAIKVIDKEKILRAGLVDQIKREISVMRIVRHPNIVHLYEVMATKSKIYFVIEYCKGGELFNKVAKGKLKEDVAHMYFHQLINAVDFCHSRGVYHRDIKPENLLLDENENLKISDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVVLFVLLAGYLPFHDSNLMEMYRKIGKADFKCPNWFPQEARRLLFKMLDPNPNTRISMDKIKESSWFRKVFNPKQKKTEAEVQDILDSNGSGPSENSSVSSEAKQESVKPPRLNAFDIISLSAGFDLSGLFDENSQLREARFTSLQPASVIISKLEDVAKHLRLKIMKKEEGLLKMESLQEGRKGPLCIDAEIFEVAPNFHLVEVKKSNGDTMEYQKILKEDIKPALQDIVSAWQGEQLLQPQQQQQEQETALAASAVMT >Manes.09G035900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6903912:6906434:1 gene:Manes.09G035900.v8.1 transcript:Manes.09G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCVAAKFLAVAILLGFRLARVDCLNFNFTEFNPSSRDQLILSGNSNLFWGAIQVTPDADGAPKTNKSGRTIYGKPFRLWKKKGFNSSFNTTFVLNIQNQTYPGGEGVAFILTGSSDVDINADGQWLGIVEPQMNNSAQAQTVGIEFDTRKSYEEDLDDNHVGLDINTVYSRVQFSLTNLGVNLSSGTNISVRVRYDGELKNLTVFLEDMKIPVFSAIIDLSLYLPEKVYVGFSGSTSNYTQLNCVRSWAFNSNDKDDKNLFWVWIVVPVLGLALLVGVAFSVYWKKKIDREKIEDSYPSIEELIQGSSTAPRKFKLKHLRKATGNFSHKNKLGKGGFGTVYKGLMGDKLVAVKKVSKKSTQGKTEFIAEVTTIGKLHHRNLVKLIGWCYERREFILVYEYLPNGSLDRFIFCDDKSSMEESTISWETRLSVVTGSAQALDYLHNGCEERILHRDIKSSNIMLDSEFNPKLGDFGLARTIKLSDQTHHSTKELAGTPGYMAPESILTGRFTIETDVYAFGILVLEVACGRKPGSQNHQDDYSCNIVNWVWDLHRKGRIINAADPRLNGDFATEDMERVLILGLACCHPNPHKRPSMKIVLQVLKGEATAPSVPSERPVFMWPPLPPSFKELDISLGQLAPFSELSAR >Manes.18G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2458201:2460955:1 gene:Manes.18G029000.v8.1 transcript:Manes.18G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGRKEKGQSLRGSRIAIAIVIGVLLGCVFAFLYPHGFFSSDPASSTHRIATSSLQIGSTSCESAERIKMLKSDIVSLSEKNGELKKQVRELSEQLRLAEQGQDHAQKQFLVLGKQQKAGPPGTVKGLRTNPTVVPDPSVNPRLAKILEDVAVGRELIVALANSNVKNMLEVWFTNIKRIGIPNYLVVALDDHIVDFCKSNNVPVYKRDPDEGVDSVARTGGNHAVSGLKFRILREFLQLGYSVLLSDIDIVYLQNPFDYLYRDSDVESMTDGHNNITAYGYNDVFDEPAMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVADRLSRQRNAWDQAVFNEELFFPSHPGYDGLHAAKRTMDFYLFMNSKVLFKTVRKDAALKKMKPVIVHVNYHPDKLPRMLAVVEFYVNGKQDALDPFPEGSD >Manes.02G030600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2431150:2432544:-1 gene:Manes.02G030600.v8.1 transcript:Manes.02G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.15G044700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3433454:3436998:1 gene:Manes.15G044700.v8.1 transcript:Manes.15G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLIFKSHGLKAKFSPSTCHSLCSTSLFSIFSSRSQSFYHNTTDDYSSHTLTSPLPSSPPQSVVRSICSMVCESYYQQASYSRPSPPNLNLQINPDSLTHEQVITVVASLAEEAGSIVALSFFNWATGFAKFRHFMRLYIVCATSFIGNGNLDRAREVMQHMVRSFAEIGRLKEAVNMVIEMQNHGLVLNARTLNFVIEAANALGLLDYAGDMFDEMRDRGVYPDSTSYKLMVLAHCRMGRIPDADRWLKEMLETGFVVDNATCTLIISTFCDKGLVNRVFWYFNMWMKMGLKPNLINFTSLINGMCKRGSIKQAFETLEEMVRKGWKPNVYTHTALIDGLCRKGWTERAFRLFLKLVRSDNYKPNVYTYTSMINGYCKEEKLNRAEMLLSRMKEQGLVPNTNTYTCLIDGHCTVGNFERAYELMDLMGKEGFTPNGFTYNAFIDGLCKKGRFPEAYKLFRKGLKCGLVADSVTYTILISEFCRHDNNKQALAFFSRMFKVGLKPDMRTYNVLITAFCRQKRMEESEKLFEEAVKLGLVPTKETCTSMVSGYCRDGNVSLAVKFFHRMSDYGCTPDNFTYGALISGLCNESKLSEACQLYETMIDKGLSPCEVTRLTLAYEYCKQGDSATAMIILERLEKKLWIRTVNTLIRKLCSEKKVGVAALFFHKLLDKDRNVDRITLAAFTTACYDSNKYALVSDLSEKMLSKGIG >Manes.02G200100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17004232:17016639:1 gene:Manes.02G200100.v8.1 transcript:Manes.02G200100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRFLQHSRNSLGKYIDVRDASRLHALTFQAGISTARQDFIANERIYAPYSVFKGKAALSVQPVPPTFTKLDTGNVKVERRGIMMLTFMPAIGERKYDYEKRQHFALSATEVGSLISLGPKDSSEFFHDPSMLSSNAGQVRKSLSIKPQADGSGYFMSLTVVNNILRTNERFSVPLTAGEFAVLKTACSFALPHIMGWDRLTAKLPREAVGIPLKANLREPGLEWAK >Manes.11G074600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10791637:10794616:-1 gene:Manes.11G074600.v8.1 transcript:Manes.11G074600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLARTGRHRQRYENNVRLVSGCIPYRIRKDTNEETNELENRIQVLMVSSPNRTDLVFPKGGWENDETVYEAACREAIEEAGVKGILREVPLGIWEFRSKSKQDPCTLEGGCKGFMFALKVTEELESWPEQKNRDRKWLSIKEAFESCRYEWMREALGIFLGVVEEDKRPEMQEQMMELSSMPVSEVVADCTMLSSNCCSKPVNGQHNGVIPFPWQIPLKGLPLT >Manes.11G074600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10791637:10794616:-1 gene:Manes.11G074600.v8.1 transcript:Manes.11G074600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLARTGRHRQRYENNVRLVSGCIPYRIRKDTNEETNELENRIQVLMVSSPNRTDLVFPKGGWENDETVYEAACREAIEEAGVKGILREVPLGIWEFRSKSKQDPCTLEGGCKGFMFALKVTEELESWPEQKNRDRKWLSIKEAFESCRYEWMREALGIFLGVVEEDKRPEMQEQMMELSSMPVSEVVADCTMLSSNCCSKPVNGQHNGVIPFPWQIPLKGLPLT >Manes.09G041201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7471231:7496209:1 gene:Manes.09G041201.v8.1 transcript:Manes.09G041201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDQEDEEMLVPHSDLVEGPQPMEVAQVDSASTVDNQAVEDPPSMKFTWTIENFSRLNTKKHYSDTFLVGSYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNVRESDWGFTSFMPLSDLYDPSRGYLVNDTVIVEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESYYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNAPFKFTKYSNAYMLVYIRESDKDNIICNVDEKDIAEHLRVRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGRDIYFDLVDHDKVRNFRIQKQTSFSHFKEEVAKEFGVPVQLQRFWIWAKRQNHTYRPNRPLTAHEEAQSVGQLREVSNKAHNAELKLFLEVEFGLDLRPIAPPEKTKEDILLFFKLYDPAKGELRYVGRLFVKGSGKPMEILAKLKQMAVIASDEEIELYEEIKFEPCVMCEHLDKRTSFRLSQLEDGDIICFQKTPLAGEEDCRYPDVPSFLEYVHNRQIVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINELRTKVELSHPNAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKSLGPHDRLIHVYHFTKETAQNQMQVQNFGEPFFLVIHEGETLAEVKSRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDIVFTRFQRRDVYGAWEQYLGLEHSDNTPKRSYAVNQNRHTFEKPVKIYN >Manes.12G068600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7189046:7205943:-1 gene:Manes.12G068600.v8.1 transcript:Manes.12G068600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLTTPILVVILLSLSGITAAARTKLHLITKQDVPLLSPNNTDSLCKSMVESQGYICQEHQLADNPPVLLQHGLSSDGATWLSNSPDESLAFILADNGYDVWIANTRGSRFSSGHTSLTPNDPAYWDWTWDELAAHDLPAMFQYVHQQTGQKLHYVGHSLGTLTALAALSQEKLPNMLRSAALLSPIAYLNQITSLLTKAAADAFLAEDIYWLGLREFVPRGQATSKLLEAICSEPGVNCTNLWTAFTGPNCCLNSSKILDNPSQPTATKNMIHLSQMIRTGTIAMYDYGNEEDNMKHYKQSTPPVYNMKSIPKDFPLFLSYGEKDSLSDPTDVGVLLQNLKDHDGDKLTVLSVENYAHLDFVEGVNANKLVYHPIMAFFKRN >Manes.03G147800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27529932:27534499:-1 gene:Manes.03G147800.v8.1 transcript:Manes.03G147800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNEVESWTFSGLVGAFLDLSIAYLLLCASTLAYSASKFLGIFGLSLPCPCNGLFGDPNSDNCWQRALVDCPSEKISSVQFSVMSKFPFDSILDKNLNQKNCENKCFGFDDEASYSSFRKRSEGGVGSGVMDAKDVQEERSDIKGKGVLNQKSRHALRRRRKGASDNGRMSSVSSCDPFQWDAQALCPSPASVSKMVNEANEESIVPDSSGGLALNYGRESSMDMGLLGREPPDFESNEPVSENNSMEKVASPEDDLKFNEQVKLSFDPDENYAISFLEKALKEEHAARAALNLELEKERNAAATAADEAMAMILRLQEEKASIEMEARQYQRMIEEKSAYDLEEMNILKEILLRREREKHFLEKEVETYRQMIFGSEQMHYDAQDIGTSLEKRPSTLQYSGKDPLQMTQRINESVCEKENADNTLAFGKELPIPKLDEVFPQEREMQLQFDLSTAEGYILHEKPVGAIEEVKRQSGTISTSGGLASKIIQTCNKTDNIFPHNCDDSNKDYQFSCNTQLNMDAYVHDIHVIDDKFDLCCDVGGNGSEKLLVNTALDIPVSCASPATSSSQTEKDISRSCSDITSGLPPLGCTLRKPLVSVFRRNSMSAVDYERLKIDNEVGRLWERLRVVQEGRGKLNISMEHREKDKIHLQLLENIVSQLREIQQLKQPGKTVRQVSLPPPSYHV >Manes.03G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27529932:27534499:-1 gene:Manes.03G147800.v8.1 transcript:Manes.03G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNEVESWTFSGLVGAFLDLSIAYLLLCASTLAYSASKFLGIFGLSLPCPCNGLFGDPNSDNCWQRALVDCPSEKISSVQFSVMSKFPFDSILDKNLNQKNCENKCFGFDDEASYSSFRKRSEGGVGSGVMDAKDVQEERSDIKGKGVLNQKSRHALRRRRKGASDNGRMSSVSSCDPFQWDAQALCPSPASVSKMVNEANEESIVPDSSGGLALNYGRESSMDMGLLGREPPDFESNEPVSENNSMEKVASPEDDLKFNEQVKLSFDPDENYAISFLEKALKEEHAARAALNLELEKERNAAATAADEAMAMILRLQEEKASIEMEARQYQRMIEEKSAYDLEEMNILKEILLRREREKHFLEKEVETYRQMIFGSEQMHYDAQDIGTSLEKRPSTLQYSGKDPLQMTQRINESVCEKENADNTLAFGKELPIPKLDEVFPQEREMQLQFDLSTAEGYILHEKPVGAIEEVKRQSGTISTSGGLASKIIQTCNKTDNIFPHNCDDSNKDYQFSCNTQLNMDAYVHDIHVIDDKFDLCCDVGGNGSEKLLVNTALDIPVSCASPATSSSQTEKDISRSCSDITSGLPPLGCTLRKPLVSVFRRNSMSAVDYERLKIDNEVGRLWERLRVVQEGRGKLNISMEHREKDKIHLQLLENIVSQLREIQQLKQPGKTVRQVSLPPPSCKIMSKKRRWRSVSLEVQKST >Manes.03G147800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27529932:27534278:-1 gene:Manes.03G147800.v8.1 transcript:Manes.03G147800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNEVESWTFSGLVGAFLDLSIAYLLLCASTLAYSASKFLGIFGLSLPCPCNGLFGDPNSDNCWQRALVDCPSEKISSVQFSVMSKFPFDSILDKNLNQKNCENKCFGFDDEASYSSFRKRSEGGVGSGVMDAKDVQEERSDIKGKGVLNQKSRHALRRRRKGASDNGRMSSVSSCDPFQWDAQALCPSPASVSKMVNEANEESIVPDSSGGLALNYGRESSMDMGLLGREPPDFESNEPVSENNSMEKVASPEDDLKFNEQVKLSFDPDENYAISFLEKALKEEHAARAALNLELEKERNAAATAADEAMAMILRLQEEKASIEMEARQYQRMIEEKSAYDLEEMNILKEILLRREREKHFLEKEVETYRQMIFGSEQMHYDAQDIGTSLEKRPSTLQYSGKDPLQMTQRINESVCEKENADNTLAFGKELPIPKLDEVFPQEREMQLQFDLSTAEGYILHEKPVGAIEEVKRQSGTISTSGGLASKIIQTCNKTDNIFPHNCDDSNKDYQFSCNTQLNMDAYVHDIHVIDDKFDLCCDVGGNGSEKLLVNTALDIPVSCASPATSSSQTEKDISRSCSDITSGLPPLGCTLRKPLVSVFRRNSMSAVDYERLKIDNEVGRLWERLRVVQEGRGKLNISMEHREKDKIHLQLLENIVSQLREIQQLKQPGKTVRQVSLPPPSYHV >Manes.03G147800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27529932:27534278:-1 gene:Manes.03G147800.v8.1 transcript:Manes.03G147800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNEVESWTFSGLVGAFLDLSIAYLLLCASTLAYSASKFLGIFGLSLPCPCNGLFGDPNSDNCWQRALVDCPSEKISSVQFSVMSKFPFDSILDKNLNQKNCENKCFGFDDEASYSSFRKRSEGGVGSGVMDAKDVQEERSDIKGKGVLNQKSRHALRRRRKGASDNGRMSSVSSCDPFQWDAQALCPSPASVSKMVNEANEESIVPDSSGGLALNYGRESSMDMGLLGREPPDFESNEPVSENNSMEKVASPEDDLKFNEQVKLSFDPDENYAISFLEKALKEEHAARAALNLELEKERNAAATAADEAMAMILRLQEEKASIEMEARQYQRMIEEKSAYDLEEMNILKEILLRREREKHFLEKEVETYRQMIFGSEQMHYDAQDIGTSLEKRPSTLQYSGKDPLQMTQRINESVCEKENADNTLAFGKELPIPKLDEVFPQEREMQLQFDLSTAEGYILHEKPVGAIEEVKRQSGTISTSGGLASKIIQTCNKTDNIFPHNCDDSNKDYQFSCNTQLNMDAYVHDIHVIDDKFDLCCDVGGNGSEKLLVNTALDIPVSCASPATSSSQTEKDISRSCSDITSGLPPLGCTLRKPLVSVFRRNSMSAVDYERLKIDNEVGRLWERLRVVQEGRGKLNISMEHREKDKIHLQLLENIVSQLREIQQLKQPGKTVRQVSLPPPSCKIMSKKRRWRSVSLEVQKST >Manes.12G097102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22057267:22059469:1 gene:Manes.12G097102.v8.1 transcript:Manes.12G097102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRCCRLTVVVATAVPAAAAVSLLLEPPPLLPLTAVATHRCYSCRSSPLREISSGSCWKLLEAVAGNYWKLLLVAGNYWRLLL >Manes.03G065696.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8418036:8423060:1 gene:Manes.03G065696.v8.1 transcript:Manes.03G065696.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPANPLYLHPNPLFSFTALYLSHTMSTETPTRNRVNFATPRSFGATMKSHLKETFFPDDPFRQFRNEKSGLKKPLQYFIPIFEWLPNYSLRLFKFDLLSGITIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYAVFGNSKHLAVGTVAACSLLIANTIGEEVSHKDDPTLYLHLVFTATFFTGIFQTLLGLLRLGILVDFLSHPTITGFMGGTATLICLQQLKGIFGLKHFTTKTDVVSVLHAVFQNRDEWRWQSAVVGIIFLIFLQFTRFVRKKRPNLFWVSAIAPMVVVIVGCLFAYFAHADKHGIQIVGHLSRGLNPLSIKHLNFDSQYIPVTLKAGLITGLIALAEGVAIGRSFAIMKNEQVDGNKEMIAFGLMNIVGSFTSCYLTTGPFSKTAVNFNAGCRTAMSNVVMAICMMLTLLLLAPLFSYTPLVALSAIIMSAMLGLIDYQEIYHLFKVDKFDFLICMAGFLGVAFISMDHGLMMSIGLALVRTLLYAARPATCKLGKVQDSCVYRDTEQYPGSTSVNGVLALQLGSPVYFANSNYIRERILRWIQEEEDISNAKESVVEHVLLDLSGVTSTDTTGIETLIEISKMLQAKSIKLSIVNPRLCVMEKMIRSHFVDKIGKESIFLCIEDAIEASLFSEKRQV >Manes.03G065696.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8418036:8423078:1 gene:Manes.03G065696.v8.1 transcript:Manes.03G065696.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQPANPLYLHPNPLFSFTALYLSHTMSTETPTRNRVNFATPRSFGATMKSHLKETFFPDDPFRQFRNEKSGLKKPLQYFIPIFEWLPNYSLRLFKFDLLSGITIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYAVFGNSKHLAVGTVAACSLLIANTIGEEVSHKDDPTLYLHLVFTATFFTGIFQTLLGLLRLGILVDFLSHPTITGFMGGTATLICLQQLKGIFGLKHFTTKTDVVSVLHAVFQNRDERKKRPNLFWVSAIAPMVVVIVGCLFAYFAHADKHGIQIVGHLSRGLNPLSIKHLNFDSQYIPVTLKAGLITGLIALAEGVAIGRSFAIMKNEQVDGNKEMIAFGLMNIVGSFTSCYLTTGPFSKTAVNFNAGCRTAMSNVVMAICMMLTLLLLAPLFSYTPLVALSAIIMSAMLGLIDYQEIYHLFKVDKFDFLICMAGFLGVAFISMDHGLMMSIGLALVRTLLYAARPATCKLGKVQDSCVYRDTEQYPGSTSVNGVLALQLGSPVYFANSNYIRERILRWIQEEEDISNAKESVVEHVLLDLSGVTSTDTTGIETLIEISKMLQAKSIKLSIVNPRLCVMEKMIRSHFVDKIGKESIFLCIEDAIEASLFSEKRQV >Manes.12G106111.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:28807017:28807382:1 gene:Manes.12G106111.v8.1 transcript:Manes.12G106111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHLRSISLPSRTHPLTATTEEQLHKLEASQSLSMSHKLSGLKNLFVDDLLQLPMAQHTFSHERQGQCVENAMSGSLEILDSCDSARDFSSQMKGCAQELKLLE >Manes.06G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:918386:919302:-1 gene:Manes.06G005000.v8.1 transcript:Manes.06G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPITDTLPPPSSPTSSSLSSSDLDTESTGSFFHDRSTTLGTLMGVTSTTITFRTPSQPRDTISIAGAGAGAGAVATASSSTGINRNNKKKKNKRVPAELLRRRRWWRLCSDGEVKSASLGEFLEVERRFGDGAFYGDTTAELEGAMVAPPRDGVNGRVLFADGRVLPPAGEVDDGTSTAGILCRFPVSLTGICSGGVG >Manes.01G033700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6527087:6530565:1 gene:Manes.01G033700.v8.1 transcript:Manes.01G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSSTRRPLSPPNYTDQGLIQLSRFRFRRFGSTTLTLTKILASCLVALPVLFVLSLMLRHRPYDWLLGLGEARVIEIRNYPNDTVFSSLEVGLGSGHVVLQPTDKPNDKLFGGLLAAGFDEASCTSRYQSFLYRKTSTHKPSSYLISRLRNYEDLHKRCGPNTESYYRALEQLKSNQTVGSAECKYVVWISFSGLGNRILSLASTFLYALLTNRVLLVDRGKDMADLFCEPFPEKSWFLPMDFPIIDQFDSFDQKASHCYGNMLKNSVVIASAESVPSYLYLHLVHDYDDHDKLFFCDEDQSFLAKPPWLIIKTDNYFVPSLFLITSFEQELSKLFPEKGTIFHQLGRYLFHPSNNVWGLITRYYQTYLAKADESIGIQIRVFDSRPGPFKHVMDQILACTLKEKLLPEVNMQDSVFTLSENPKLKAVLVTSLNSGYSENLKHMYWEHPTLTGEVIGVYQPSHEEYQQTEKEMHNRKAWAEMYLLSLTDALVTSSWSTFGYVAQGLRGLKPWILYKPENETTPDPPCRRAMSMEPCFHAPPFYDCRAKKGTDTGAIVPHVRHCEDMSWGLKVVDGHDEL >Manes.01G033700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6527087:6530565:1 gene:Manes.01G033700.v8.1 transcript:Manes.01G033700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSSTRRPLSPPNYTDQGLIQLSRFRFRRFGSTTLTLTKILASCLVALPVLFVLSLMLRHRPYDWLLGLGEARVIEIRNYPNDTVFSSLEVGLGSGHVVLQPTDKPNDKLFGGLLAAGFDEASCTSRYQSFLYRKTSTHKPSSYLISRLRNYEDLHKRCGPNTESYYRALEQLKSNQTVGSAECKYVVWISFSGLGNRILSLASTFLYALLTNRVLLVDRGKDMADLFCEPFPEKSWFLPMDFPIIDQFDSFDQKASHCYGNMLKNSVVIASAESVPSYLYLHLVHDYDDHDKLFFCDEDQSFLAKPPWLIIKTDNYFVPSLFLITSFEQELSKLFPEKGTIFHQLGRYLFHPSNNVWGLITRYYQTYLAKADESIGIQIRVFDSRPGPFKHVMDQILACTLKEKLLPEVNMQDSVFTLSENPKLKAVLVTSLNSGYSENLKHMYWEHPTLTGEVIGVYQPSHEEYQQTEKEMHNRKAWAEMYLLSLTDALVTSSWSTFGAKKGTDTGAIVPHVRHCEDMSWGLKVVDGHDEL >Manes.09G053700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8851055:8851902:-1 gene:Manes.09G053700.v8.1 transcript:Manes.09G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKMVSFKKLAKKVKVIARGGCDDESSQQECLLREFADGTSALTKTPTGFFAVYVGDEMERFVVPTSFLNHPLFKMLLEKSYNEFGFQQRNKLLVPCSVSTFQEVVNAVQCCNGRFDFGNLVEEFI >Manes.05G060800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5114679:5118475:-1 gene:Manes.05G060800.v8.1 transcript:Manes.05G060800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIRQRAVATLPKLIQSLRKPAVEGRTHALPSLRRAFSLYDQINLIDNVPQDQLRFQGYTDTGFTVNGVEYEGSVLCVGNLLLSWSPNRLSQITPESLSIFQILRPIPEILILGCGRQIQQIDPELGRFIRSTGMKLEAIDSRNAASTYNILNEEGRIVAAALLPSGVSS >Manes.05G060800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5113695:5118657:-1 gene:Manes.05G060800.v8.1 transcript:Manes.05G060800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIRQRAVATLPKLIQSLRKPAVEGRTHALPSLRRAFSLYDQINLIDNVPQDQLRFQGYTDTGFTVNGVEYEGSVLCVGNLLLSWSPNRLSQITPESLSIFQILRPIPEILILGCGRQIQQIDPELGRFIRSTGMKLEAIDSRNAASTYNILNEEGRIVAAALLPSGVSS >Manes.05G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27172591:27175722:-1 gene:Manes.05G159000.v8.1 transcript:Manes.05G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGEIRIHQSRRLPDFLQSVNLKYVKLGYHYLISNLLTLCFIPLIIVTSIEASQMNLDDLRQLWLHLQYNLVSIIICSTFLVFGLTVFIMTRPRPVYLIDYACFRAPDHLKAPFHRFMEHSRLTGDFDDSSLEFQRKILERSGLGEETYVPEAMHYIPPRPSMAAAREEAEQVMFGALDNLFANTNVNPKNIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDLLQVHRNTCAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSGDKKRAKYKLVHIVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQLLFFATLVVKKLFNKKAKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMSLHRFGNTSSSSIWYELAYVEAKRRMRKGNRVWQIAFGSGFKCNSAVWEALRNVKPSHNSPWEDCIGLPSLRLFWTHDRCGERVIAGS >Manes.18G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:919669:923438:-1 gene:Manes.18G005700.v8.1 transcript:Manes.18G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTLKPSLFLSKLSSSSASASTILVSAPPSATFPRKVRDGIKGRLALRIRAYDSSKDNSASNSGDAKPPNGTLSKTRKEILLEYVKNVQPEFMELFVKRAPQQVVEAMRQTVTNMVGTLPNQFFAVTVTTVAENLAQLMYSVMMTGYMFKNAQYRMELQQSLEQVALPDLQDKKDLPDYAPGTQKNVSGEVIRWNNVSGPEKIDAKKYIEILEAEIEELNRQVGRKSSNGQNELLEYLRSLEPQNLKELTSSAGEDAVLAMNTFIKRLLAVADPEQMKTSVTETSATELAKLLYWLMVVGYSIRNIEVRFDMERVLGKPPKLAELPPGENI >Manes.03G146100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27370966:27375361:-1 gene:Manes.03G146100.v8.1 transcript:Manes.03G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPILICRNEAEKCLIETSINSLRISLKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPIQGYDISFLITNYHCEEMQKQKLIDFIVQFMEDIDKEISELKMSVNTRGRLVATEFLKQFI >Manes.10G140200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30768436:30774231:-1 gene:Manes.10G140200.v8.1 transcript:Manes.10G140200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLDSYNEKNSAKDSNPSRSSRSFYYKSDNVRKGLMSTSSSSSRYDRDRSMDDDNRESSRMVRKRTDHDIDSFDRRKGPGVGFDRYSGKDGYGGNGSGAGGGSNVHRSESFCGSRREFPKGFRSERDRSRREGSVSSWRRFGSVNKEFDEVRGSRGGNEERINVATRSSPKEDRTSAATWSSPKGLRDVRSPTWSRDSGSEQTRVVRGGGRDEGKGKSSTSRSRSSPTWSKDSGSEQSKSVEVGKKSELEAKSIEMEVKSVASGSKSIEMEAKSVSSGSSSEMEEGELEPEPESVHQVVKEDENDNEKGGREDVPSVDHREVEPEREANDQVNKVEKEPDKAGVSEGKGGKEVDDMQNCEKNSNDNSVNAYKIGNVDGDEGSEDTQSLKEPIKCKEDESREMVIENPLYLEEESRQEKGIDLEGKMEDVEVAELNKVVNEDTGGAEVDIGLIREGSGQHLKDKGKSVALSPTLATDSVEDGTWIERESLKVETCKDDDDMEGPSTRGFDLFSSSPARRAEKAEESGVNKPIEEKLVLEPLDLSLSLPNVLLPIGAAKDATQAPGSPSNGRSVQSFSTFRTNSDGFTASMSFSGSHSFFHNPSCSLTQNSLDMDNYEQSVHSRPIFQGVDQANWQGQAQNDSKLKDVPLYQRVLMNGNGSFHQSQALQGMSNGQTLQGNSKMANGLERQSSFHKQFSGGQLRNPDETRSPSHSVGSHDIGSNYSLEKKRAMREKHGGSLYRSNSQKEQEQLLIGGADFVETIISRIVSDPIHATARKFHEMTGQSASLVKESIREIMLNVDKQGQMYAFQSALQNRSDLTLDILLKSHRFQLEILVALKTGLREYLQVDTNVSSSDLAEVFLNLRCRNLACRSPLPVDECDCKVCAKKNGFCSACMCLICSKFDLAYQTCSWVGCDVCLHWCHADCALRESYIRNGRCATGAQGASEMQFHCVACDHPSEMFGFVKEVFQNFAKTWTAETFCKELEYVKRIFSASKDFRGRQLHELTMRMLEKLPNKANLSEVYSNIMGFLTGGFLWTGGS >Manes.10G140200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30768436:30774231:-1 gene:Manes.10G140200.v8.1 transcript:Manes.10G140200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLDSYNEKNSAKDSNPSRSSRSFYYKSDNVRKGLMSTSSSSSRYDRDRSMDDDNRESSRMVRKRTDHDIDSFDRRKGPGVGFDRYSGKDGYGGNGSGAGGGSNVHRSESFCGSRREFPKGFRSERDRSRREGSVSSWRRFGSVNKEFDEVRGSRGGNEERINVATRSSPKEDRTSAATWSSPKGLRDVRSPTWSRDSGSEQTRVVRGGGRDEGKGKSSTSRSRSSPTWSKDSGSEQSKSVEVGKKSELEAKSIEMEVKSVASGSKSIEMEAKSVSSGSSSEMEEGELEPEPESVHQVVKEDENDNEKGGREDVPSVDHREVEPEREANDQVNKVEKEPDKAGVSEGKGGKEVDDMQNCEKNSNDNSVNAYKIGNVDGDEGSEDTQSLKEPIKCKEDESREMVIENPLYLEEESRQEKGIDLEGKMEDVEVAELNKVVNEDTGGAEVDIGLIREGSGQHLKDKGKSVALSPTLATDSVEDGTWIERESLKVETCKDDDDMEGPSTRGFDLFSSSPARRAEKAEESGVNKPIEEKLVLEPLDLSLSLPNVLLPIGAAKDATQAPGSPSNGRSVQSFSTFRTNSDGFTASMSFSGSHSFFHNPSCSLTQNSLDMDNYEQSVHSRPIFQGVDQANWQGQAQNDSKLKDVPLYQRVLMNGNGSFHQSQALQGMSNGQTLQGNSKMANGLERQSSFHKQFSGGQLRNPDETRSPSHSVGSHDIGSNYSLEKKRAMREKHGGSLYRSNSQKEQEQLLIGGADFVETIISRIVSDPIHATARKFHEMTGQSASLVKESIREIMLNVDKQGQMYAFQSALQNRSDLTLDILLKSHRFQLEILVALKTGLREYLQVDTNVSSSDLAEVFLNLRCRNLACRSPLPVDECDCKVCAKKNGFCSACMCLICSKFDLAYQTCSWVGCDVCLHWCHADCALRESYIRNGRCATGAQGASEMQFHCVACDHPSEMFGFVKEVFQNFAKTWTAETFCKELEYVKRIFSASKDFRGRQLHELTMRMLEKLPNKANLSEVYSNIMGFLTESDFSKFGNSSVFSEKEQGNGSIGGIAGPSQDPSWLKPVYSEKAPKLERSASFLPSFHTELNDKRPVESELERSAQKEPIFDELESIVRIKQAEAKMFQARADDARREAEGLKRIALAKNEKIEDEYRSRITKLRLVEAEEMRKQKYEEFKALERAHQEYFGMKMRMEADIKDLLLKMEATKRNLAM >Manes.10G140200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30768436:30774231:-1 gene:Manes.10G140200.v8.1 transcript:Manes.10G140200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLDSYNEKNSAKDSNPSRSSRSFYYKSDNVRKGLMSTSSSSSRYDRDRSMDDDNRESSRMVRKRTDHDIDSFDRRKGPGVGFDRYSGKDGYGGNGSGAGGGSNVHRSESFCGSRREFPKGFRSERDRSRREGSVSSWRRFGSVNKEFDEVRGSRGGNEERINVATRSSPKEDRTSAATWSSPKGLRDVRSSPTWSKDSGSEQSKSVEVGKKSELEAKSIEMEVKSVASGSKSIEMEAKSVSSGSSSEMEEGELEPEPESVHQVVKEDENDNEKGGREDVPSVDHREVEPEREANDQVNKVEKEPDKAGVSEGKGGKEVDDMQNCEKNSNDNSVNAYKIGNVDGDEGSEDTQSLKEPIKCKEDESREMVIENPLYLEEESRQEKGIDLEGKMEDVEVAELNKVVNEDTGGAEVDIGLIREGSGQHLKDKGKSVALSPTLATDSVEDGTWIERESLKVETCKDDDDMEGPSTRGFDLFSSSPARRAEKAEESGVNKPIEEKLVLEPLDLSLSLPNVLLPIGAAKDATQAPGSPSNGRSVQSFSTFRTNSDGFTASMSFSGSHSFFHNPSCSLTQNSLDMDNYEQSVHSRPIFQGVDQANWQGQAQNDSKLKDVPLYQRVLMNGNGSFHQSQALQGMSNGQTLQGNSKMANGLERQSSFHKQFSGGQLRNPDETRSPSHSVGSHDIGSNYSLEKKRAMREKHGGSLYRSNSQKEQEQLLIGGADFVETIISRIVSDPIHATARKFHEMTGQSASLVKESIREIMLNVDKQGQMYAFQSALQNRSDLTLDILLKSHRFQLEILVALKTGLREYLQVDTNVSSSDLAEVFLNLRCRNLACRSPLPVDECDCKVCAKKNGFCSACMCLICSKFDLAYQTCSWVGCDVCLHWCHADCALRESYIRNGRCATGAQGASEMQFHCVACDHPSEMFGFVKEVFQNFAKTWTAETFCKELEYVKRIFSASKDFRGRQLHELTMRMLEKLPNKANLSEVYSNIMGFLTESDFSKFGNSSVFSEKEQGNGSIGGIAGPSQDPSWLKPVYSEKAPKLERSASFLPSFHTELNDKRPVESELERSAQKEPIFDELESIVRIKQAEAKMFQARADDARREAEGLKRIALAKNEKIEDEYRSRITKLRLVEAEEMRKQKYEEFKALERAHQEYFGMKMRMEADIKDLLLKMEATKRNLAM >Manes.10G140200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30768436:30774231:-1 gene:Manes.10G140200.v8.1 transcript:Manes.10G140200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLDSYNEKNSAKDSNPSRSSRSFYYKSDNVRKGLMSTSSSSSRYDRDRSMDDDNRESSRMVRKRTDHDIDSFDRRKGPGVGFDRYSGKDGYGGNGSGAGGGSNVHRSESFCGSRREFPKGFRSERDRSRREGSVSSWRRFGSVNKEFDEVRGSRGGNEERINVATRSSPKEDRTSAATWSSPKGLRDVRSPTWSRDSGSEQTRVVRGGGRDEGKGKSSTSRSRSSPTWSKDSGSEQSKSVEVGKKSELEAKSIEMEVKSVASGSKSIEMEAKSVSSGSSSEMEEGELEPEPESVHQVVKEDENDNEKGGREDVPSVDHREVEPEREANDQVNKVEKEPDKAGVSEGKGGKEVDDMQNCEKNSNDNSVNAYKIGNVDGDEGSEDTQSLKEPIKCKEDESREMVIENPLYLEEESRQEKGIDLEGKMEDVEVAELNKVVNEDTGGAEVDIGLIREGSGQHLKDKGKSVALSPTLATDSVEDGTWIERESLKVETCKDDDDMEGPSTRGFDLFSSSPARRAEKAEESGVNKPIEEKLVLEPLDLSLSLPNVLLPIGAAKDATQAPGSPSNGRSVQSFSTFRTNSDGFTASMSFSGSHSFFHNPSCSLTQNSLDMDNYEQSVHSRPIFQGVDQANWQGQAQNDSKLKDVPLYQRVLMNGNGSFHQSQALQGMSNGQTLQGNSKMANGLERQSSFHKQFSGGQLRNPDETRSPSHSVGSHDIGSNYSLEKKRAMREKHGGSLYRSNSQKEQEQLLIGGADFVETIISRIVSDPIHATARKFHEMTGQSASLVKESIREIMLNVDKQGQMYAFQSALQNRSDLTLDILLKSHRFQLEILVALKTGLREYLQVDTNVSSSDLAEVFLNLRCRNLACRSPLPVDECDCKVCAKKNGFCSACMCLICSKFDLAYQTCSWVGCDVCLHWCHADCALRESYIRNGRCATGAQGASEMQFHCVACDHPSEMFGFVKEVFQNFAKTWTAETFCKELEYVKRIFSASKDFRGRQLHELTMRMLEKLPNKANLSEVYSNIMGFLTVQLEW >Manes.10G140200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30768436:30774231:-1 gene:Manes.10G140200.v8.1 transcript:Manes.10G140200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRSSDDLDSYNEKNSAKDSNPSRSSRSFYYKSDNVRKGLMSTSSSSSRYDRDRSMDDDNRESSRMVRKRTDHDIDSFDRRKGPGVGFDRYSGKDGYGGNGSGAGGGSNVHRSESFCGSRREFPKGFRSERDRSRREGSVSSWRRFGSVNKEFDEVRGSRGGNEERINVATRSSPKEDRTSAATWSSPKGLRDVRSPTWSRDSGSEQTRVVRGGGRDEGKGKSSTSRSRSSPTWSKDSGSEQSKSVEVGKKSELEAKSIEMEVKSVASGSKSIEMEAKSVSSGSSSEMEEGELEPEPESVHQVVKEDENDNEKGGREDVPSVDHREVEPEREANDQVNKVEKEPDKAGVSEGKGGKEVDDMQNCEKNSNDNSVNAYKIGNVDGDEGSEDTQSLKEPIKCKEDESREMVIENPLYLEEESRQEKGIDLEGKMEDVEVAELNKVVNEDTGGAEVDIGLIREGSGQHLKDKGKSVALSPTLATDSVEDGTWIERESLKVETCKDDDDMEGPSTRGFDLFSSSPARRAEKAEESGVNKPIEEKLVLEPLDLSLSLPNVLLPIGAAKDATQAPGSPSNGRSVQSFSTFRTNSDGFTASMSFSGSHSFFHNPSCSLTQNSLDMDNYEQSVHSRPIFQGVDQANWQGQAQNDSKLKDVPLYQRVLMNGNGSFHQSQALQGMSNGQTLQGNSKMANGLERQSSFHKQFSGGQLRNPDETRSPSHSVGSHDIGSNYSLEKKRAMREKHGGSLYRSNSQKEQEQLLIGGADFVETIISRIVSDPIHATARKFHEMTGQSASLVKESIREIMLNVDKQGQMYAFQSALQNRSDLTLDILLKSHRFQLEILVALKTGLREYLQVDTNVSSSDLAEVFLNLRCRNLACRSPLPVDECDCKVCAKKNGFCSACMCLICSKFDLAYQTCSWVGCDVCLHWCHADCALRESYIRNGRCATGAQGASEMQFHCVACDHPSEMFGFVKEVFQNFAKTWTAETFCKELEYVKRIFSASKDFRGRQLHELTMRMLEKLPNKANLSEVYSNIMGFLTGECLFFQFLCL >Manes.12G140500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34660042:34663218:-1 gene:Manes.12G140500.v8.1 transcript:Manes.12G140500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRWYIPDSGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKEKALSKALGYFEKMKGMERCQPNVVTYNILLRAFAQARNVNQVNALFKDLDQSIVSPDIYTFNGVMDAYGKNGMIREMESVLSLMKSNQCKPDIITFNLLIDSYGKKQDFEKMEQVFKSLLHSKEKPTLPTFNSMIMNYGKARQKEKAEGVFQKMTKMKYTPSFITYESLIMMYGICDSVSQARDIFDDMVESGKEIKVSTLNAMLDVYCMNGLPMEADLLFDSARSIRVLPDSSTYKLLYKAYTKANMKELVQKLLKHMDRDGIVPNKRFFLEALGAFKSSPATSNSANHASGSKSRQS >Manes.12G140500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34660042:34663218:-1 gene:Manes.12G140500.v8.1 transcript:Manes.12G140500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLSPHSLQLSTLNSSSFTQHVSQILNPTLPWTPQKHLCRTHISCASTRPRKKAVPISEKSETEELVRVLMKSFTDKVPLVRTMDKYVRIVRTEHCFLLFEELGKRDKWLQCLEVFRWMQKQRWYIPDSGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKEKALSKALGYFEKMKGMERCQPNVVTYNILLRAFAQARNVNQVNALFKDLDQSIVSPDIYTFNGVMDAYGKNGMIREMESVLSLMKSNQCKPDIITFNLLIDSYGKKQDFEKMEQVFKSLLHSKEKPTLPTFNSMIMNYGKARQKEKAEGVFQKMTKMKYTPSFITYESLIMMYGICDSVSQARDIFDDMVESGKEIKVSTLNAMLDVYCMNGLPMEADLLFDSARSIRVLPDSSTYKLLYKAYTKANMKELVQKLLKHMDRDGIVPNKRFFLEALGAFKSSPATSNSANHASGSKSRQS >Manes.12G140500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34660042:34663218:-1 gene:Manes.12G140500.v8.1 transcript:Manes.12G140500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRWYIPDSGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKEKALSKALGYFEKMKGMERCQPNVVTYNILLRAFAQARNVNQVNALFKDLDQSIVSPDIYTFNGVMDAYGKNGMIREMESVLSLMKSNQCKPDIITFNLLIDSYGKKQDFEKMEQVFKSLLHSKEKPTLPTFNSMIMNYGKARQKEKAEGVFQKMTKMKYTPSFITYESLIMMYGICDSVSQARDIFDDMVESGKEIKVSTLNAMLDVYCMNGLPMEADLLFDSARSIRVLPDSSTYKLLYKAYTKANMKELVQKLLKHMDRDGIVPNKRFFLEALGAFKSSPATSNSANHASGSKSRQS >Manes.12G140500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34660042:34663218:-1 gene:Manes.12G140500.v8.1 transcript:Manes.12G140500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQRWYIPDSGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKEKALSKALGYFEKMKGMERCQPNVVTYNILLRAFAQARNVNQVNALFKDLDQSIVSPDIYTFNGVMDAYGKNGMIREMESVLSLMKSNQCKPDIITFNLLIDSYGKKQDFEKMEQVFKSLLHSKEKPTLPTFNSMIMNYGKARQKEKAEGVFQKMTKMKYTPSFITYESLIMMYGICDSVSQARDIFDDMVESGKEIKVSTLNAMLDVYCMNGLPMEADLLFDSARSIRVLPDSSTYKLLYKAYTKANMKELVQKLLKHMDRDGIVPNKRFFLEALGAFKSSPATSNSANHASGSKSRQS >Manes.12G140500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34660042:34663218:-1 gene:Manes.12G140500.v8.1 transcript:Manes.12G140500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLSPHSLQLSTLNSSSFTQHVSQILNPTLPWTPQKHLCRTHISCASTRPRKKAVPISEKSETEELVRVLMKSFTDKVPLVRTMDKYVRIVRTEHCFLLFEELGKRDKWLQCLEVFRWMQKQRWYIPDSGVYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKEKALSKALGYFEKMKGMERCQPNVVTYNILLRAFAQARNVNQVNALFKDLDQSIVSPDIYTFNGVMDAYGKNGMIREMESVLSLMKSNQCKPDIITFNLLIDSYGKKQDFEKMEQVFKSLLHSKEKPTLPTFNSMIMNYGKARQKEKAEGVFQKMTKMKYTPSFITYESLIMMYGICDSVSQARDIFDDMVESGKEIKVSTLNAMLDVYCMNGLPMEADLLFDSARSIRVLPDSSTYKLLYKAYTKANMKELVQKLLKHMDRDGIVPNKRFFLEALGAFKSSPATSNSANHASGSKSRQS >Manes.13G056900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6609692:6611450:1 gene:Manes.13G056900.v8.1 transcript:Manes.13G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGEKAYRAHCIVIPYPSQGHINPMFQFSKRIQHKGVKVTFVNTRFISKTITHTPSSTTSIALETISDGYDEGGIGQAESIQVYLDTFKKVGSQTLSNLVEKLNASGFPVNCIVYDAFMPWCLEVAKKFELLSAVFFTQSCAVNIIYYNVFRGLIKPPLKENDIFLLAPGLPPLEVQDLPSFVHHYGSYPAAFDMLVNQFCNIDEADWVLCNTFYELEPEAGDWLAKLWPLKTIGPTIPSMYLDKQFQDDKDYGFSIFKPNNEACMNWLNHKPKRSVVYVSFGSLAALGAEQMEELCWGLKASNCYFLWVVRASEEAKIPENFVEETSEKGLVVKWCLQLEVLANEAVGCFLTHCGWNSTLEALSLGVPMVAMPQWTDQSTNAKHIMDVWKVGIRVPMGEKGIVERDAIRDYVREVIEGDRRKEIQENAEKWRKLAKAAADEGGSSDKNINELVGKLFGDPNNDSF >Manes.05G044832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3701799:3706175:1 gene:Manes.05G044832.v8.1 transcript:Manes.05G044832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIHGIHVMCLLSGSVSLSFPLSPSPSLNGSSRSFHFPPHFIKFKRYFSVPPFSFSGAFLRTPTSTHSSIYTLYFKTHSIIQHLTILPPVSAFTDPFPVATHNNHNDRFASIETTCGLLLLELQKLWDEVGDNDVQRDKVLFEIEEECLEVYRRKVDEAGKCRSELLREIASLEAEIEDICSVLSEQPVKDEQKAGESLREKLQIIVPQLEEMRKRKAEREKQFAEVLDELKNISIEIFGSATEINMCGKLVDSDNLSMRRLEQLRNQLCELQNEKSNRLKQVECHLDTLSSLCEVLGMDFKNAIHEIHPTLDDSKRAKDVTSYTIERLTTVIQSVRDVKIQRMQRLQGLGTVLLELWDLMGTPIEEQQMFQNVTSVIAASEHQITECNMLSMDFINQVEDEVSRLKQLKSTKLKEIILKKRLELEEICRNSHIVTELLTAATYSIEAEPSGVDPVHLLEEIEFEIAKVKEEAFSRKEILDKVEKWFGACDGLRSITGMKLVIMPDEVHILL >Manes.03G178600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30085598:30098572:-1 gene:Manes.03G178600.v8.1 transcript:Manes.03G178600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSHPKSSFDKPSSSSSASHRKSRWESSAATTNNPSADSKSNDHKPSKPAANSKPSPKPNTGPSPKPTSTAPPTDPVSALPGPSFPLPDIGPPPPPTYGFHMLERCTIVLADGSVRSYFALPPDYQDFPPRPPLPPRFLPMGLTPDFPGAVNGPRFPPMSPEGLGFRDSNLHNPSMKRKYGSGEDEFRFGNKPNGLYPGPDNRGEFLAGTSSPFRRNLGDEARAGKYMRIAGDSVGIVNKHLEVDQNKLKKAFLHFTKVINETEAERKRYLDDGKQGRLQCVACGRSSKDFPDMHALIMHAYNSDNADLHVDHLGLHKALCVLMGWNYSKPPDNAKAYQFLPADEAAANQDDMIMWPPMVIIHNTVTGKGKDGRMEGLGNKAMDSRIRDLGFTGGKSKSLYGRDGHLGITIIKFSGDHLGLKEAFRLAEHFEKENHGRKAWGRIQPITLGKDDEKNPNLVKVERSGEKKRILYGYLGTASDLHKVDFETKKKVSIESQREYRTSK >Manes.16G014500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1450301:1453464:-1 gene:Manes.16G014500.v8.1 transcript:Manes.16G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSISHINFSSLLFHFLLIIYLTNAALEICYNTGNFTANSTYAENRGLLLSYLASNVTENGGFYAATAGQGTDKVFGLVLCRGDTPSEQCPKCVNTAIAKLIEKCPNQKEALLSVGEPPCFARYANRSIVGLLELNPTDAGYNVNNIASNMEEFDEIWSSLMARIVARASRGSSKVKFATEEANLTPTQKIYALMQCTPDISEHNCSYCLRETLGYYRSSSYGKQGVHVNKPSCIFRWELYPFYNSIADAPTLSPSPPPPPPPANNTTTKGNGATAARTVVIITAPTIFFAALVGLACSFFYYRSCKKKTKNLEEISSTECLKFNFETIRLATNDFSDNNKLGQGGFGAVYKGVLPDGQVVAIKRLTTKSKQGEVDFKNEVMLVARLQHRNLVRLLGFCFERNERLLIYEFLTNSSLDHFIYDQEKRLLMDWNTRYKIIVGIARGILYLHQDSQLRVIHRDLKVGNILLDEQMNPKISDFGTARLFPTNQSEDATSKIMGTFGYMAPEYVFHGIVSAKSDVFSFGVLILEIISGKSINKFRNDEGEGGNLLTYAWKNWNAGTASKIIDPVLVGAASTNEILRCVQIGLLCIQEDAAKRPTMASVILMLDSCSAILSELSRPAYFLHGQKEPITIGTQSAQPSANQCSISEMEPR >Manes.09G068000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11344455:11345967:1 gene:Manes.09G068000.v8.1 transcript:Manes.09G068000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNQTSDAMDSKDRNWDFKKIMKDIEFLDASHMTWKERKDLENQKVVSLGGKPPKKQRLPLSVARVQMKKQKEREEKMLQENMILGRFGGKLGGGAKRSVEKRKPENGVLRSSDGYFRNGVLDVKHMLNPAPSKNNDSGNHMVGKGKKKESGKKNRGKKKGGGRKRH >Manes.09G068000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11344455:11345968:1 gene:Manes.09G068000.v8.1 transcript:Manes.09G068000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNQTSDAMDSKDRNWDFKKIMKDIEFLDASHMTWKERKDLENQKVVSLGGKPPKKQRLPLSVARVQMKKQKEREEKMLQENMILGRFGGKLGGGAKRSVEKRKPENGVLRSSDGYFRNGVLDVKHMLNPAPSKNNDSGNHMVGKGKKKESGKKNRGKKKGGGRKRH >Manes.10G061800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629027:8631587:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFDAHSYNQLCQLANGEFKDLFL >Manes.10G061800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629112:8631582:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFGTKCPLVQSTMPTCKWGIQRFISIGDGSLQSFD >Manes.10G061800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629041:8631140:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFGTKCPLVQSTMPTCKWGIQRFISIGDGSLQSFD >Manes.10G061800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629027:8631581:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFDAHSYNQLCQLANGEFKDLFL >Manes.10G061800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629027:8631431:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFDAHSYNQLCQLANGEFKDLFL >Manes.10G061800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629027:8631546:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFGTKCPLVQSTMPTCKWGIQRFISIGDGSLQSFD >Manes.10G061800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629041:8631140:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFDAHSYNQLCQLANGEFKDLFL >Manes.10G061800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629112:8631582:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFDAHSYNQLCQLANGEFKDLFL >Manes.10G061800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629027:8631546:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFDAHSYNQLCQLANGEFKDLFL >Manes.10G061800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629027:8631587:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFGTKCPLVQSTMPTCKWGIQRFISIGDGSLQSFD >Manes.10G061800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629027:8631431:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFGTKCPLVQSTMPTCKWGIQRFISIGDGSLQSFD >Manes.10G061800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629112:8631582:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFDAHSYNQLCQLANGEFKDLFL >Manes.10G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629027:8631581:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFGTKCPLVQSTMPTCKWGIQRFISIGDGSLQSFD >Manes.10G061800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8629330:8631403:-1 gene:Manes.10G061800.v8.1 transcript:Manes.10G061800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNPAIFVNGESLQMYVGKKVRAVVQIIKSDGADMIGKSTDEHQWTLKGLSSAPLMSYVEVIGVAESNQSIGVELWTDFGNSFDAHSYNQLCQLANGEFKDLFL >Manes.07G063400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11617718:11619229:1 gene:Manes.07G063400.v8.1 transcript:Manes.07G063400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLVAESLWKTIESTLTVTEDQLSILHFLFGKNFERATRIVDQRGVKRISGEPSGRSIFQVVAESQRKEEYFCFPEQYCACYSFFYDIVNRGEQLCCKHQLAARLAAALGTCVNVRVSDEQLALLLVKL >Manes.07G063400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11617718:11619229:1 gene:Manes.07G063400.v8.1 transcript:Manes.07G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLVAESLWKTIESTLTVTEDQLSILHFLFGKNFESATRIVDQRGVCSTICLDDVSGYLLFSKIFNLFLLIFSLHFLFGKNFERATRIVDQRGVKRISGEPSGRSIFQVVAESQRKEEYFCFPEQYCACYSFFYDIVNRGEQLCCKHQLAARLAAALGTCVNVRVSDEQLALLLVKL >Manes.17G111300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31768632:31773041:1 gene:Manes.17G111300.v8.1 transcript:Manes.17G111300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSSKKTDDICEDVCGKDSRVALSMSRLKCILRGLDLKTYIFLFVVVPLGVFGLYLHGQKISYFLRPLWESPPKPFHIIPHYYHENASMETLCRLHGWGIRESPRRVFDAVLFSNEVDILTIRWKELYPYITQFVLLESNSTFTGLPKPLVFASNRDKFKFIESRLTYGTIGGRFRKGENPFVEEAYQRVALDQLIRIAGIENDDLLIMSDVDEIPSAHTINLLRWCDNIPPILHLQLRNYLYSFEYYVDNKSWRASIHNYHVGKTRYAHYRQADILLSDAGWHCSFCFRYISDFIFKMKAYSHYDRVRFPHYLNPKRIQDVICKGANLFDMLPEEYTFKEIIGKLGPIPHSYSAVHLPSYLLNNADKYKYLLPGNCQRERG >Manes.17G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31768632:31773041:1 gene:Manes.17G111300.v8.1 transcript:Manes.17G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSSKKTDDICEDVCGKQDSRVALSMSRLKCILRGLDLKTYIFLFVVVPLGVFGLYLHGQKISYFLRPLWESPPKPFHIIPHYYHENASMETLCRLHGWGIRESPRRVFDAVLFSNEVDILTIRWKELYPYITQFVLLESNSTFTGLPKPLVFASNRDKFKFIESRLTYGTIGGRFRKGENPFVEEAYQRVALDQLIRIAGIENDDLLIMSDVDEIPSAHTINLLRWCDNIPPILHLQLRNYLYSFEYYVDNKSWRASIHNYHVGKTRYAHYRQADILLSDAGWHCSFCFRYISDFIFKMKAYSHYDRVRFPHYLNPKRIQDVICKGANLFDMLPEEYTFKEIIGKLGPIPHSYSAVHLPSYLLNNADKYKYLLPGNCQRERG >Manes.09G085700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25562462:25568991:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISAPAVGSQKFTCELERRSSKQASGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQEPLDGPPDEGSASVQHSGAELQSPTSSKEAEQPSPVSVLETPFPDDLSSSSECFESLSADLHGLWMQLQLLKLESEAYAEGSMLISSDEDIEDVSVRFSEEKGIVEASREYSYVIDVLLESGINDANPDTFMASWHSPDCPVNPLVFEELEKKHCNLTSWPRSERKLLFDRLNSSLLMINQQFADPYPWVRPATAVIPWWIKHGLGDGIHKLLESQEKKANNNTVEKVLVTDSQWLDLRGDIDVVGREIERLMIEDLVKEIVAV >Manes.09G085700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25562462:25567570:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQEPLDGPPDEGSASVQHSGAELQSPTSSKEAEQPSPVSVLETPFPDDLSSSSECFESLSADLHGLWMQLQLLKLESEAYAEGSMLISSDEDIEDVSVRFSEEKGIVEASREYSYVIDVLLESGINDANPDTFMASWHSPDCPVNPLVFEELEKKHCNLTSWPRSERKLLFDRLNSSLLMINQQFADPYPWVRPATAVIPWWIKHGLGDGIHKLLESQEKKANNNTVEKVLVTDSQWLDLRGDIDVVGREIERLMIEDLVKEIVAV >Manes.09G085700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25564313:25568991:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRLKKPNIAAFNDDFSSSRRLTEGNGQVHKQRSFSKLASDSNSQNSDTPGEHLFTCELERRSSKQASGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQSKVLGTILSKVGS >Manes.09G085700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25562462:25568991:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFTCELERRSSKQASGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQEPLDGPPDEGSASVQHSGAELQSPTSSKEAEQPSPVSVLETPFPDDLSSSSECFESLSADLHGLWMQLQLLKLESEAYAEGSMLISSDEDIEDVSVRFSEEKGIVEASREYSYVIDVLLESGINDANPDTFMASWHSPDCPVNPLVFEELEKKHCNLTSWPRSERKLLFDRLNSSLLMINQQFADPYPWVRPATAVIPWWIKHGLGDGIHKLLESQEKKANNNTVEKVLVTDSQWLDLRGDIDVVGREIERLMIEDLVKEIVAV >Manes.09G085700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25562462:25568991:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQEPLDGPPDEGSASVQHSGAELQSPTSSKEAEQPSPVSVLETPFPDDLSSSSECFESLSADLHGLWMQLQLLKLESEAYAEGSMLISSDEDIEDVSVRFSEEKGIVEASREYSYVIDVLLESGINDANPDTFMASWHSPDCPVNPLVFEELEKKHCNLTSWPRSERKLLFDRLNSSLLMINQQFADPYPWVRPATAVIPWWIKHGLGDGIHKLLESQEKKANNNTVEKVLVTDSQWLDLRGDIDVVGREIERLMIEDLVKEIVAV >Manes.09G085700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25562462:25568991:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRLKKPNIAAFNDDFSSSRRLTEGNGQVHKQRSFSKLASDSNSQNSDTPGEHLFTCELERRSSKQASGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQEPLDGPPDEGSASVQHSGAELQSPTSSKEAEQPSPVSVLETPFPDDLSSSSECFESLSADLHGLWMQLQLLKLESEAYAEGSMLISSDEDIEDVSVRFSEEKGIVEASREYSYVIDVLLESGINDANPDTFMASWHSPDCPVNPLVFEELEKKHCNLTSWPRSERKLLFDRLNSSLLMINQQFADPYPWVRPATAVIPWWIKHGLGDGIHKLLESQEKKANNNTVEKVLVTDSQWLDLRGDIDVVGREIERLMIEDLVKEIVAV >Manes.09G085700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25562462:25568991:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFTCELERRSSKQASGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQEPLDGPPDEGSASVQHSGAELQSPTSSKEAEQPSPVSVLETPFPDDLSSSSECFESLSADLHGLWMQLQLLKLESEAYAEGSMLISSDEDIEDVSVRFSEEKGIVEASREYSYVIDVLLESGINDANPDTFMASWHSPDCPVNPLVFEELEKKHCNLTSWPRSERKLLFDRLNSSLLMINQQFADPYPWVRPATAVIPWWIKHGLGDGIHKLLESQEKKANNNTVEKVLVTDSQWLDLRGDIDVVGREIERLMIEDLVKEIVAV >Manes.09G085700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25562462:25567797:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQEPLDGPPDEGSASVQHSGAELQSPTSSKEAEQPSPVSVLETPFPDDLSSSSECFESLSADLHGLWMQLQLLKLESEAYAEGSMLISSDEDIEDVSVRFSEEKGIVEASREYSYVIDVLLESGINDANPDTFMASWHSPDCPVNPLVFEELEKKHCNLTSWPRSERKLLFDRLNSSLLMINQQFADPYPWVRPATAVIPWWIKHGLGDGIHKLLESQEKKANNNTVEKVLVTDSQWLDLRGDIDVVGREIERLMIEDLVKEIVAV >Manes.09G085700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25562462:25568045:-1 gene:Manes.09G085700.v8.1 transcript:Manes.09G085700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPTFSPLYHLDSFPGNGQVHKQRSFSKLASDSNSQNSDTPGEHLFTCELERRSSKQASGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQHLSHKQHKRSSENYSQRTAPTERSQRSSTSCSRSSSRKGSKEEQEFKDVYEVLDTSKRENSYSMEGTGSSKLTEAEMAFIKQKFMDVTRLSSDEKLHDLKEFHDAIDDLDSNKGLLLKFLEQPDSLFTKHLHDLQADLPQSHCRHISGVKSTHAREYDSGLGCKIERKIPWKNHRKHQNDPISHSCGKQATGDPLKSSKLQIEGKDGPSILPTRIVVLKPNFGKLQNATRSVSSPRSSHDFLSDSKRHTEIPNIKNRETELCGNKKFPDDEALLRYKSRESRAIAKEITRQMKNSLGTGSVKFSTSGFRGYAGDESSSNRSDNEAATESDVPTVISRNSIGLSNRYRSSPPCSPESSVSREAKNRLSERWKMTRGHRYADMEVFGRSGTLGEMLALPDKEGRPANVDAMILGRGFIDNFGGNDELAGCVEPLGISSRDGWKDECIKNLSRSRSLPASCTTIGSPRTGLRCESHWKDRHILPKELMQQESIEAVKGKPNKRESSSSRSSRSRIKRSHFSEYTSADHSDTSPEINFSRKLVQSSVTYDDPVKPYHLVSEITSLIVTDVSFLPENVLDVATKNVAMPSKPTDSELPAYVLVEGYASSSDTGALTLQEPLDGPPDEGSASVQHSGAELQSPTSSKEAEQPSPVSVLETPFPDDLSSSSECFESLSADLHGLWMQLQLLKLESEAYAEGSMLISSDEDIEDVSVRFSEEKGIVEASREYSYVIDVLLESGINDANPDTFMASWHSPDCPVNPLVFEELEKKHCNLTSWPRSERKLLFDRLNSSLLMINQQFADPYPWVRPATAVIPWWIKHGLGDGIHKLLESQEKKANNNTVEKVLVTDSQWLDLRGDIDVVGREIERLMIEDLVKEIVAV >Manes.02G079000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6110529:6112188:-1 gene:Manes.02G079000.v8.1 transcript:Manes.02G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIERKIMHYPLPNSSSPTQFNQHNPINNLVVMDSPRKPSSFSTNLFFFLLLLSTNLLTLLLSSTFYSSCSLNPLITAASTLTTPHATSTNSVSATQGSDYASESSQTNLDLPSEFLAFTSGQLLPFGFNTNFDSDTIYPPAGQACTLFSDELRRYMTYKVNGSCPDDELLSQKLLLKGCEPLPRRRCRPAAQPDYVEPYPLPTSLWTTPPDSSVVWTAYTCKDYSCLINRYRTQKGFDDCKDCFDLQGREKTRWAAKQSNVGGGGGLDFTIDEVLATKKPGTIRIGLDIGGGVATFAVRMRERNITIVTTSMNLNGPFNNFIASRGIVPLYISISQRLPFFDNTLDIVHSMHVLSNWIPTTLLHFLMFDINRVLRPGGLFWLDHFFCVGEQLEEVYGPLIESIGFNKLKWVVGRKLDRGPELKEMYLSALLEKPLRNSW >Manes.07G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3644456:3646290:-1 gene:Manes.07G033200.v8.1 transcript:Manes.07G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAVARDERCHAVCVPYPAQGPVNSMLKLAKILHSNGFHITFVNTEYNHRRLLRSRGPDSLDGLPDFHFEAIPDGLPPSDADATQDIPSLCNSTSKHCLLPFHHLLSRLNSSNTVPPVTCVISDGCMSFTLDAAQEFGIPNVLFWTHSPCGVLGYAHLPHLIERGFTPLKDESYLTNGYLETTIDWIPGMKNIRLRDLPNRIRTTDRNDIMLNFIVREVERTSRASAIILNTFEAFEKNVLDALSTMFPSIYTIGPLQLLVDQFPDSNLKSVGSNLWKDQPECIDWLDSKELNSVVYVNFGSITVVTPQQMVEFAWGLANSKKPFLWIIRPDLVVGEAAMLPPEFVSETKDRGMLASWCPQEQILKHPAIGGFLSHMGWNSTLDSVCGGVPMVCWPFFADQQTNCWFACNEWGIGMEIDNDVKREEVEKLVRELMDGKKGKEMERQAVEWKIKAEEATTPGGSSHRNFVELLGFLQRK >Manes.02G059000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4589992:4593567:1 gene:Manes.02G059000.v8.1 transcript:Manes.02G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNITASEVAGLGVGTLLLCCTIAAPKIDSFISNSQRSSLEMCKTCGDLRMIACTKCKGIGSVKEGGALRFNMMDDLYQSLGGESKVKTFKCTNCQARGHFCCPDCSKLPSD >Manes.S030952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2484285:2484743:1 gene:Manes.S030952.v8.1 transcript:Manes.S030952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGADVGLPCAARTRLAQKQSPRRRSPRLSVVGRPSDTAVGERLPNGTPRPPSVPNGTLRPRPQVRREHPLSLSISISGGKETYQDSPSNGERTGKSPA >Manes.03G136906.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26077057:26082826:1 gene:Manes.03G136906.v8.1 transcript:Manes.03G136906.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGPIGSCSKENQKTYLEWFNFADSDGDGRITGSDAIKFFGMSNLSRQDLKQVWAISDSKRQGYLGFNEFIGAMQLVSLAQAGHEITSDLLKSDVDFENLKPPSMEGLDALIAKKKRTSKSSDPDINVSPPVETSPSVSWFSSKSSKKVPLSSVTSIFDGLKRLYIQKLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSGYPGAHIGPEPTTDRFVVVMSGTDERSIPGNTVAVQADMPFNGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPVNEAAVGPIGKELFEKEQDDLLLDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLVDNLVDEFRKVQREFHLPPGDFPNVEHFREVLTGYSIDKFEKLKPKMIQAVDDMLGYDIPELLKNFRNPYD >Manes.09G092400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28488648:28488872:1 gene:Manes.09G092400.v8.1 transcript:Manes.09G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDERFEIRDGVEECTTPKSDEYRIPAPAVCPPPPRKKSVVGKMREPPKKGYFQSPDLDLLLSMPPRRRQAWV >Manes.15G162100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13483853:13484883:1 gene:Manes.15G162100.v8.1 transcript:Manes.15G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFIAVILFILLTPGLLIQVPGRHRFIEFGNFQTSGVSILVHSILYFALICIFLLAVGVHMYIGS >Manes.15G162100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13483895:13484883:1 gene:Manes.15G162100.v8.1 transcript:Manes.15G162100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFIAVILFILLTPGLLIQVPGRHRFIEFGNFQTSGVSILVHSILYFALICIFLLAVGVHMYIGS >Manes.12G120900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32614490:32616202:1 gene:Manes.12G120900.v8.1 transcript:Manes.12G120900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDFSPPHLDASRPSLGFPLGTALLLIIIFTLSGIFSCCYHWDKLRSLRRSFSDEPEPQDDIEASPSKSAPHQTGLKQNQSQSLPVLMPGDQIPKFIALPCPCEPPREEKVVVRVHRPPPPPPKMPRLPVPLF >Manes.05G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:271373:275571:-1 gene:Manes.05G002500.v8.1 transcript:Manes.05G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLIVFSLLFLGFSTALEPFACDPKHEATRNLPFCQVKLPIQDRVKDLIGRLTLSEKVGLLVNNAAAVSRLGINGYEWWSEALHGVSNVGPGTKFGEGFPGATSFPQVITTAASFNATLWEAIGRVVSDEARAMYNGGMGGLTYWSPNVNIFRDPRWGRGQETPGEDPLVVGTYAANYVKGLQGNDGDRLKVAACCKHFTAYDLDNWNGVDRFHFNAQVSKQDIEDTFDVPFRMCVTEGKVASVMCSYNQVNGIPTCADPNLLRKTVRSEWGLNGYIVSDCDSVGVYYDKQHYTSTPEEAAADAIKAGLDLDCGPFLGAHTEDAVKRGLVSEADINGALVNTLAVQMRLGMFDGEPSAHPYGNLGTKDVCTPAHQGLALEAARQGIVLLNNHGPTLPLSARRHRTIAIIGPNSNVTVTMIGNYAGIACGYTTPLQGIGSYARTIYQQGCEDVSCASDRLFGGAINAASQADATVLVMGLDQSIEAEFRDRAGLLLPGRQQELVSKVAMASRGPTILVLMSGGPIDVSFAMNDPKISAILWAGYPGQSGGAAIADVLFGTTNPGGKLPMTWYPQDYITDLPMTEMAMRSSRSKGYPGRSYRFYKGKVVYPFGHGLSYTVFVHTIATAPTTVSVPLDGHRRGSANTTISRKAIRVTHTRCNKLTLGIEVDVKNVGSKDGTDTLLVYSKPPARHWAPHKQLVGFEKVHVAAGTQQRVRINIHVCKYLSVVDKSGIRRIPMGEHSLHIGDIKHQVSLQPTVLGVIKS >Manes.17G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22803534:22806641:1 gene:Manes.17G032900.v8.1 transcript:Manes.17G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLERQQVEEKLVVVEMEEVEEKDRLMEGMSMLDFDMLCSTVASQTQGKWRKLETEDFDASGASGGDFGGVFRMWEGEVFDCCDDRRIAIESLCCPCYRFGKNMRRGGFGSCFLQGTVYCILALSSLLNFIAFIITKRRCFLYLAVAFTISIGMYLGFFRTQMRKKFNIRGSDNSLDDCIYHIFCSCCALCQETRTLEMNNVQDGIWHGRGDTICIGSYTEGKKATFELHPPSFVSTKSPHIADHS >Manes.02G151800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11620730:11625680:1 gene:Manes.02G151800.v8.1 transcript:Manes.02G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVWPRRIVTLCVMVMIWGSLSSAAADPSSRVSMCPRESVVDLIFGFLDRGCVGYGSVESPDFVGVTEGDEVSLQKALSMVYKNSHQYVAVLFHASWCPFSRTFKPSFSLLSSLFPSIPHFAIEESSIRPSILSKYGVHGFPTLFLLNSTMRDQYRGTRSLGSLVAFYAEVTGVKAASLNKGSLDKILRPSNHEKRSSNDQESCPFSWAKSPENLFRQETYLALATAFVFLRLIYIFFPTLLVFAQFAWRRHIQNLRLGIFLEHPRTYLNGIMQLFNSLKEPCRKSNLQEGAMNARAWASKSLATVSIGDASTSRGAPLSECR >Manes.14G147700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:15703003:15704468:-1 gene:Manes.14G147700.v8.1 transcript:Manes.14G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQSLKQEFLKKWMVGLQLCESTNQNMSILERKKAIKLSADIAMASTRNGMTCWSRALVAKTSTDFGNKVLVDNILLASQSEKLKNKRTIIRRKKILRKSHRARKARGGRVVARSLAKEMVKKRTQVLKSLVPGGEFMDDVSLIEETLDYIVSLRAQVDVMRTLAKATELMNGK >Manes.14G147700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:15703348:15704444:-1 gene:Manes.14G147700.v8.1 transcript:Manes.14G147700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQSLKQEFLKKWMVGLQLCESTNQNMSILERKKAIKLSADIAMASTRNGMTCWSRALVAKTSTDFGNKVLVDNILLASQSEKLKNKRTIIRRKKILRKSHRARKARGGRVVARSLAKEMVKKRTQVLKSLVPGGEFMDDVSLIEETLDYIVSLRAQVDVMRTLAKATELMNGK >Manes.02G058300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4553904:4554738:1 gene:Manes.02G058300.v8.1 transcript:Manes.02G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWMRPEVYPLLGAMAFVTSLCTFQLTRNVFLNPEVRINKSHRLAGVLDNEEEGEKYAQHGLRKFLRTRRPEIMPAINRFFTDEDK >Manes.07G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32358628:32360593:-1 gene:Manes.07G118400.v8.1 transcript:Manes.07G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVVSELEGTLLKDPDPFSYFMLVAFEASGLLRFALLLILWPVIRLLDMLGVGDFGLKIMIFVATAGVRESEIKSVARAVLPKFFMDDINMEAWKVFSSYDKRVAVTKMPSILVERFLKEHLRADEVVGSELVVNRFGFATGFIRCVHVDSYISSRVAKLFMDEKPTLGLGRTASGSPFLSLCKEQMHPPFIINHKQHDHQLIRPLPVIFHDGRLVKRPTPFTALLIILWIPLGIILALMRIVVGMMLPMWAKPYLARLFGGKVIVKGKPPPPASDGKSGFLFVCTHRTLMDPVVLSTVLRRKIPAVTYSISRLSEILSPIPTVRLTRIREVDAEKIKRALAKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKALDPIFFFMNPRPVYEVTFLNQLPMEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYTSLLDQMKKVVSPFKPFFL >Manes.07G017475.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2031257:2032443:-1 gene:Manes.07G017475.v8.1 transcript:Manes.07G017475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEASKDLADGITQHSEAQLSKPEKHTEMSHSKEIIGDIPNGVKVFSKQLGKTDKNHQLIVPTNVLEQFPIQKGYYERNFTAFDEKGVKWNFMLAVRQTGEYDKPFLRPSKWHEFVRVHGLCEEDGDYGVVFFVNDQGKMQVRGLRRHPYTLLGQPIWQQV >Manes.04G012100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1639740:1640876:1 gene:Manes.04G012100.v8.1 transcript:Manes.04G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHPLNSTHNSSNSASPPPPPSPPPQSSSLEPQYSHPPFDSSMALTILVLLTALFFMGFFSIYLRKFSTDPTPDLSSHRGNHPRIPSRAVSRSAKGLDPQVIRSLPVYAYYHGEARYQLDCAICLGEFEEKETVKVIPHCKHVFHQDCIDMWLKMHVTCPVCRGDRFFDVPV >Manes.08G048100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4841636:4842450:1 gene:Manes.08G048100.v8.1 transcript:Manes.08G048100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTSFTAVVVACFASVFLAAANVSAQTALAPAPSMDTGAGFSLPMSGAVVASSLLLSLFALLRH >Manes.08G012000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1380060:1381881:1 gene:Manes.08G012000.v8.1 transcript:Manes.08G012000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRELSFRKEMKSFGLQILAGRWFLVFASLLIMSVNGTSYMYGLFSGDIKSYLGYDQTTLNTLSFFKVLGGNLGVSAGLVYEVMPPWLVLIIGAAMNFSAYFLIWLAITGRIPKPHVWQMCLYMCLATNSASYPNTAALVTCVRNFPESRGSVIGLLKGFIGLSGAILTQFYNAFYGNDSKALILLIAWFPSIVPLVFLPTIRVKNIVRQVKELQNKLTFTRGEYIGSASIVILLLFLPLAIVIREEFNLRQSKKQALNNYSQLNVPAENPPVLQPPPRPEAKLEPLSCFKTIFRQPDRGEDYTILQAIFSIDMLILFISTTCGVGGALAAIDNLGQIAESLGYQTHNIATFISLISIWNFLGRVLAGFASEIVLTKYKFPRPLMLTFVILFSCVGHILIALGVPNSLYFSSIILGFCLGAQLPLVSAIISEIFGLKHFSTLYSIGSVSSPVGSYIFNVKVAGHLYDKEALKQMEALGLKREAGKELNCKGVHCFRLVFVIITVTTFLGFLVSIILVKRTRMFYKGDIYKKFREEVVEVVDIDAGSRRTGFSQLEEAVEGKDGVAAASVSIAMNHNQTTNIE >Manes.05G137682.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19774104:19785886:-1 gene:Manes.05G137682.v8.1 transcript:Manes.05G137682.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLHSSWLSAADESVSGCFNINTEGMNSADVLHVLESPSSSTSYNSSIPKPPPFPDRTSKVNCKRISECSSVPKEILNTWDRLFKEGYGADVYIITDDKAYIPAHFNVLSIASPVLQTLLQQSKVKNGIRYIKILGVPFEAVYAFIRFLYSSCFEEDDMKKFVLHLLVLSHSYSVPSLKRICIHILEQGWLTKENVVDVLQLARNCDAQRLSFICVRMIVKDFKSISSTEGWKVMKRANPALEQELVEAVVEADSRKQERLKKMEEKKVYLQLYEAMEALLHICKDGCRTIGPRDKVLKGTQITCNFPACKGLENLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKLQQQTKKDEARWKLLVGKVMAAKGRFSAQH >Manes.05G137682.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19774753:19784088:-1 gene:Manes.05G137682.v8.1 transcript:Manes.05G137682.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLHSSWLSAADESVSGCFNINTEGMNSADVLHVLESPSSSTSYNSSIPKPPPFPDRTSKVNCKRISECSSVPKEILNTWDRLFKEGYGADVYIITDDKAYIPAHFNVLSIASPVLQTLLQQSKVKNGIRYIKILGVPFEAVYAFIRFLYSSCFEEDDMKKFVLHLLVLSHSYSVPSLKRICIHILEQGWLTKENVVDVLQLARNCDAQRLSFICVRMIVKDFKSISSTEGWKVMKRANPALEQELVEAVVEADSRKQERLKKMEEKKVYLQLYEAMEALLHICKDGCRTIGPRDKVLKGTQITCNFPACKGLENLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKLQQQTKKDEARWKLLVGKVMAAKGRFSAQH >Manes.05G137682.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19773503:19785886:-1 gene:Manes.05G137682.v8.1 transcript:Manes.05G137682.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLHSSWLSAADESVSGCFNINTEGMNSADVLHVLESPSSSTSYNSSIPKPPPFPDRTSKVNCKRISECSSVPKEILNTWDRLFKEGYGADVYIITDDKAYIPAHFNVLSIASPVLQTLLQQSKVKNGIRYIKILGVPFEAVYAFIRFLYSSCFEEDDMKKFVLHLLVLSHSYSVPSLKRICIHILEQGWLTKENVVDVLQLARNCDAQRLSFICVRMIVKDFKSISSTEGWKVMKRANPALEQELVEAVVEADSRKQERLKKMEEKKVYLQLYEAMEALLHICKDGCRTIGPRDKVLKGTQITCNFPACKGLENLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKLQQQTKKDEARWKLLVGKVMAAKGRFSAQH >Manes.05G137682.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19774753:19785886:-1 gene:Manes.05G137682.v8.1 transcript:Manes.05G137682.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLHSSWLSAADESVSGCFNINTEGMNSADVLHVLESPSSSTSYNSSIPKPPPFPDRTSKVNCKRISECSSVPKEILNTWDRLFKEGYGADVYIITDDKAYIPAHFNVLSIASPVLQTLLQQSKVKNGIRYIKILGVPFEAVYAFIRFLYSSCFEEDDMKKFVLHLLVLSHSYSVPSLKRICIHILEQGWLTKENVVDVLQLARNCDAQRLSFICVRMIVKDFKSISSTEGWKVMKRANPALEQELVEAVVEADSRKQERLKKMEEKKVYLQLYEAMEALLHICKDGCRTIGPRDKVLKGTQITCNFPACKGLENLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDSCKVPLCRHFKEKLQQQTKKDEARWKLLVGKVMAAKGRFSAQH >Manes.14G165800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24408616:24413530:1 gene:Manes.14G165800.v8.1 transcript:Manes.14G165800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDWVTAEGILDKYPEEFESTINAQGETALHIATAANHAQFVKQMVNKIPEEKKHYLAIKASNENNGQGNTAFFYAAVSGNVEVAQIMLKKNDSLVMIRGKRNVLPIHVAALVGNKEMVHFLYKKCKEQLKANDRKALLVPLIHSEIYDVALNMVGDHLELATAKDVNNETALHALATKSFNDKTSSSSSSFNLFSVLNIFQKKKVVQKQGLELVKLLWKNVILMQDEDISRLMTAKSGKLIFIAAKQGNVEFLTTLIASYPDLVLKVNDNNYTIFHEAVLNRHIDIFKLIYEIGSIKNFINSNKDKEGNNILHLAAISVPSRLNDIPGPALQLQRELQWFEEVKAVVSPQQIEAKNKGGQTPRDMFIEEHKDLRKDGEDWMRNTANSCMVVATLITTVVFAAAFTVPGGNEGNGNPILVNRTWFQIFAITDAISLIFSASSVLSFLSILTSRYSMDDFLISLPNKLVFGLLFLFIAILTMMVAFVAAFFIIFKQGLLRFAFPIAGIAIFPILLFLFEHFLLFAEVIRSTYMSSRLFRRNENRLFSKRLVKNLNDTNKSS >Manes.14G165800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24408616:24413530:1 gene:Manes.14G165800.v8.1 transcript:Manes.14G165800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEGKAHLEKRKRQLELYKAAMSGDWVTAEGILDKYPEEFESTINAQGETALHIATAANHAQFVKQMVNKIPEEKKHYLAIKASNENNGQGNTAFFYAAVSGNVEVAQIMLKKNDSLVMIRGKRNVLPIHVAALVGNKEMVHFLYKKCKEQLKANDRKALLVPLIHSEIYDVALNMVGDHLELATAKDVNNETALHALATKSFNDKTSSSSSSFNLFSVLNIFQKKKVVQKQGLELVKLLWKNVILMQDEDISRLMTAKSGKLIFIAAKQGNVEFLTTLIASYPDLVLKVNDNNYTIFHEAVLNRHIDIFKLIYEIGSIKNFINSNKDKEGNNILHLAAISVPSRLNDIPGPALQLQRELQWFEEVKAVVSPQQIEAKNKGGQTPRDMFIEEHKDLRKDGEDWMRNTANSCMVVATLITTVVFAAAFTVPGGNEGNGNPILVNRTWFQIFAITDAISLIFSASSVLSFLSILTSRYSMDDFLISLPNKLVFGLLFLFIAILTMMVAFVAAFFIIFKQGLLRFAFPIAGIAIFPILLFLFEHFLLFAEVIRSTYMSSRLFRRNENRLFSKRLVKNLNDTNKSS >Manes.02G203500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17496577:17503374:1 gene:Manes.02G203500.v8.1 transcript:Manes.02G203500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICWSRIAGKSNPGPASIPSAPPPVTHPIPDSDPLPSSIGHLIDGDTSFLGSNVSGTSWFSMLTTNFSARLSQASGKIAAIWGNNATEENEFPAAMEDENLPDVGTSPDSQLRSFTFAQLKSATFNFRNDMVLGRGGFGSVYKGWIKETTSQGVKKRPIAVKRLDANSKQGFRQWRTEVGFLGRLSHPNIVKLVGYCKENENFLIVYEFMQKGSLNYYLFANSSNRLLSWETRVNIMIGMARGLAYLHMMEKPIIYRDFKSSNVLLDQSYTAKISDFGLAKWGPTAGNSSVTGHVMGTVGYAAPEYVATGNLYVKSDVYSFGVVLIEMLTGLRAIDKKRPPWEQNLLEWVKPALVNKRRLRSIMDSRLEGKYSAKEAEQIAQLAVRCLNPGPTSRPSMKEVEETLQHVGERYAIDEA >Manes.12G019600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1739292:1744634:1 gene:Manes.12G019600.v8.1 transcript:Manes.12G019600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVLNRKWMLQIPDTKIHQIMLSPVQGKYRDGLFSNISFVNNSNPYFGDQSMGKDSRQPSFYVVRDDLLHPLVNGNKARKLDGLIPLLVDHSVTDVVTCGGCQSAHAAAVAVSCAETGVKPHLLLRGEQPEVLTGYNLISTIYGNVTYVPRSLYAHRDSMLKTHANLVAGNTGSVVQCNDILETFLTNQTSIDLNLGQVDACKIVENHPKKVLIVNEGAGDAVALLGVIRLVEYLCWNHLLGKDRRIKLVVDAGTGTTAIGLGLGALCLGLPWQVNAVMLADTIDAYKQKEKDLISDFSTRFGFHLIDRSLNEVKGGVVHWVERSHRRKFGNVLEGEIEACQKIAQQTGILVDPIYTLAAWEMATQLSKEETDGGAKIVMLHTGGTLGMFGLAQRYKTYFYNLKDGVSPAKVADSYFVP >Manes.12G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1739292:1744634:1 gene:Manes.12G019600.v8.1 transcript:Manes.12G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLSSGKTTITALKSGRYRCSQGLSEVKLTSEELMLNVLNRKWMLQIPDTKIHQIMLSPVQGKYRDGLFSNISFVNNSNPYFGDQSMGKDSRQPSFYVVRDDLLHPLVNGNKARKLDGLIPLLVDHSVTDVVTCGGCQSAHAAAVAVSCAETGVKPHLLLRGEQPEVLTGYNLISTIYGNVTYVPRSLYAHRDSMLKTHANLVAGNTGSVVQCNDILETFLTNQTSIDLNLGQVDACKIVENHPKKVLIVNEGAGDAVALLGVIRLVEYLCWNHLLGKDRRIKLVVDAGTGTTAIGLGLGALCLGLPWQVNAVMLADTIDAYKQKEKDLISDFSTRFGFHLIDRSLNEVKGGVVHWVERSHRRKFGNVLEGEIEACQKIAQQTGILVDPIYTLAAWEMATQLSKEETDGGAKIVMLHTGGTLGMFGLAQRYKTYFYNLKDGVSPAKVADSYFVP >Manes.16G085700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29246387:29249662:-1 gene:Manes.16G085700.v8.1 transcript:Manes.16G085700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYTTAHVSISPLNPSAFPSLNPLSAKPRKNVGAVRCALSTSESKSSRRLVSISLLLSHLFFVPNHAVADSFFDKYVKKKKLDPLETYVPAVILTQFQIKDLEKTLEVDQPQFANCRSLLRTGPAASLRVNIRAVAQYASDAESGKTAFNDVDQCLRAFEELDSLLLHASRNDPAASVKSMKAKIVVALDALDSLLQTVPPDVLDKGKLIADAYRSPEEPEPESFDPELKKLESVL >Manes.14G127800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10199989:10201173:-1 gene:Manes.14G127800.v8.1 transcript:Manes.14G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRNLPNSVGFCLLPSELIHRILLNLAIPEILFIKSLNKSFSDLISDQIFVRYYNLRSRSTTWLFVFKKRWHRDSVLHGFSDQSDRWFKLQIANLLKPLISPGESIYFLAASGDVFLFSFNSRKEVIAVNLSAKTVKKIPPSPLGPRGTSSWRRSGMKLVSGSNSFRFLFAELVENRPVLFLYSSETDKWLSIEARENIERLPRGFQREGNYIFLNVVNGPYESVIMIAGLETFDAPIVVRPRFEGGREDGQQLTVGFSWGNVIDRLHVYGDGHMLIIKSNGVGDASTGARMLNDIELWGLVTSGGQWERDSKVPSKIIEEIKKPYRVIMGCLERREEIIRAVLLSNYEGLWDIIWLSYDIGRGYWNWIPVPNCNMKGLNMAGIAFSSGLSL >Manes.02G085000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6691278:6697113:-1 gene:Manes.02G085000.v8.1 transcript:Manes.02G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENLNAELSKKTSFLGLKLWVLIGVSVGAFIILILCILSLWVTFRRRTRRSVDKFSLSQIPNVSKDIKVDRVGAQSLNDHPESLFLTVHDKSTDKNSEKTSVHLGWSKLSDPDNISQTSSIYHHERGFSSHSWEEGSSGTARKQSSLSYAGLVTASPLVGLPEISHLGWGHWFTLRDLEFATNRFAAENIIGEGGYGVVYSGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMCHHGTLTWEARMKILVGTAKALAYLHEAIEPKVVHRDIKSSNILIDNEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYARPANEVNLVEWLKVMVGTRRAEEVLDPNLEVKPTTRALKHALLAAVRCVDPDAEKRPKMSQVVRMLEADEYPFREDRRNRRSRTASNEIESLKESTDMENKVGDPKNNFAETNHE >Manes.14G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3275874:3277491:-1 gene:Manes.14G037600.v8.1 transcript:Manes.14G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLYTPILLVAQALFSAAAGDYYYGDECVYTLYVKTASIIKAGTDSKISLSLGDPQGRFVWVKDLQSWGLMGPSYDYYELCNLDIFSGTGPCIGTPICRLNLSSDGSGSYHGWYCDYVEVTFTGPHKECSQTIFYVDQWLATDAPPFKLTAQLDGCSLWDESANKKQRFVVGNQRRNAAA >Manes.08G107700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34725335:34727850:1 gene:Manes.08G107700.v8.1 transcript:Manes.08G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPIASKPNTTPSINSDANTSAGQCGNCGSKDTCLLHHVRLRGIYRRLCTSCILRLHPSSFCPSCFTFYDSSPPPPSKRQSCSTCPSLTHSHCVPSHPPSPFPFLCPPCADPNFSFFHLDKTNNRSIDKKMATVLLCAAKIAASSMAKAVIVARTEAERRVKEAAVCRKKAREALEHVALVAKRKEVESVEVSGSGNLGKRERGSVDVTVIGSPKNVERIASAGIAKSNGNANKNSSQLEKGLDNGSNAEKKQSLTNNPGNVNVKEEAVKSLVHNNS >Manes.13G073000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10717403:10752144:1 gene:Manes.13G073000.v8.1 transcript:Manes.13G073000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTALLHPVLVAASASSGCFFSLLRRSLWFSSLHPLVHSFCRPVLLVAFGPRPQSHRCCSSPLRQSLQENCFFQSAVLLSAVLKQQQQNRTADTMGDSDLRTWVSDKLMSLLGYSQATVVQYIIGLSKQANSPADVLAKMAEFGFSSSTETRSFAEELFSRVPRKQSGLSLYQKQEREAAILARKQKQYAILDADDDDDNNSGGGDRTVFDKSVIAAASEPKRADTHKKRFRKKTDSEENEDNELLSQEKEDRQVRQRTSQYEEDDSESDEERLRDQREREQLEQNIRERDAAGTRKLTEPKLTKKEAEEEAFRRSQALEEDGIGTLRKVSRQEYLKKREQKKLEELRDDIEDEQYLFDGVQLTEAEYRELRYKKEIYELVKKRSEEADDINEYRMPDAYDQEGDVNQEKRFSVALQRYRDPSAGEKMNPFAEQEAWEDYQIGKATMKFGSKNKKQMSDDYQFVFEDQIEFIKESVMDGDKYDNEMPDESLDYSRAKSALEKLQEERKTLPIYEYREQLLEAIERFQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLLKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDIARFRPELKLLISSATLDAAKFSDYFDSAPIFKIPGRRFPVEIHYTKAPEADYLDAAIVTVLQIHVTQSPGDILVFFTGQEEIETAEEILKHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYNYNHDLDDNTVPEIQRTNLANVVLSLKSLGIHDLLNFDFMDPPPSEALLKALELLFALSALNKHGELTKVGRRMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLKVYNSWKETNYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELSSNLNDLDVIKKSITSGFFPHSARLQKNGSYRTVKHPQTVHIHPSSGLAQVLPRWVIYHELVLTTKEYMRQVTELKPEWLVEIAPHYYQLKDVEDPGSKKMPRGEGRAS >Manes.12G019300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1714548:1719676:-1 gene:Manes.12G019300.v8.1 transcript:Manes.12G019300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSRPSVVIDNGTGYTKMGFAGNVEPCFILPTVVAVNDSFLNPSRTSKANWLAQHSAGVMADLDFFIGEEAFAKSRSSSTYSLSYPIKHGQVDNWDAMERYWQQCVFNYLRCDPEDHYFLLTESPLTAPENRECTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSVPIAGKDVTLFIQQLMRERGENVPPEDSFDVARKVKEMYCYTSSDIVKEYNKHDKEPAKYIKHWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKVKSTYNKRTNNANFGL >Manes.12G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1712187:1719676:-1 gene:Manes.12G019300.v8.1 transcript:Manes.12G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSRPSVVIDNGTGYTKMGFAGNVEPCFILPTVVAVNDSFLNPSRTSKANWLAQHSAGVMADLDFFIGEEAFAKSRSSSTYSLSYPIKHGQVDNWDAMERYWQQCVFNYLRCDPEDHYFLLTESPLTAPENRECTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSVPIAGKDVTLFIQQLMRERGENVPPEDSFDVARKVKEMYCYTSSDIVKEYNKHDKEPAKYIKHWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFGRRLQRDLKKIVDARVLASEARLKDEVKTQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >Manes.12G019300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1712186:1719677:-1 gene:Manes.12G019300.v8.1 transcript:Manes.12G019300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSRPSVVIDNGTGYTKMGFAGNVEPCFILPTVVAVNDSFLNPSRTSKANWLAQHSAGVMADLDFFIGEEAFAKSRSSSTYSLSYPIKHGQVDNWDAMERYWQQCVFNYLRCDPEDHYFLLTESPLTAPENRECTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSVPIAGKDVTLFIQQLMRERGENVPPEDSFDVARKVKEMYCYTSSDIVKVFFNPEIYSSDFTTPLPVVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFGRRLQRDLKKIVDARVLASEARLKDEVKTQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >Manes.03G181400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30333101:30342486:1 gene:Manes.03G181400.v8.1 transcript:Manes.03G181400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRSQQDQGLPERKGQKRKLEEEIEEEQREISPAATFGDARQALLHEVAAQVNILNSTFSWNEADRAAVKRATHVLAEFAKNEELVNVIVEGGAVPALVKHLQAPQSGEADRNSKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSLLVDLLKRHKDGSISRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKKQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDLQLREMSAFALGRLAQDSHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNMSDFIRVGGVQKLQDGEFIVQATKDCVSKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRTIFIDNNGLELLLGLLGSTNLKQQIDGAVALYKLANKAMALSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSIDISLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVASMYELSESFHAISLRHTCILFILEQFDKLNAKPRHSHLIQRIVPEIRNYFAKALTKANPHNLRL >Manes.11G077000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11364798:11371920:1 gene:Manes.11G077000.v8.1 transcript:Manes.11G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPFKAQGSTSSSSLDSISPNPNSNYGSSLSPPQSPSSLSRQFQSIQFPDSQSPIAASGLFASAAEDSGGSSEKVNELSTPNGKRSLPQQNGETHALSHLGGQGVGSAQPRGKILGSAGSPQVHQSNIRNTNQGGSAHSSGRRAQMMNANHLLNFHYDPIARNQPRAPPPRRQQKTKPYNKDLFLQANYKFVVLDTGNYAPELMDPDKMLRWEDIVCVRYATSCPVQCPICLEYPLCPQITSCGHIFCFPCILRYLLMGKEDHKGDCFKRCPLCFVMISQKDLYTLHIENVKQNCVGDNIEFVLLTRQKDSFTPSQKNNLEIDTVLSCNDEVSDPFSKFTFTSDVELSVRKAISDLDGWLARADSGLVDDLEQLPYVCAAMEQLKHRKKYWNQQQVHDGGGNSKCSNNQKQSQELLLTSNANCVYREDSTSRYSTQSVDINDENRHYDNVKVDLFGSPEGEDAILSSSHDGSKKLQKRDVKENDSYNFYQAVDGQHLILHPLNMKCLLHHYGSYDVLPHRISGKILQLETVTQSEAMRRRYRYLSHFSLTTTFQLCEIDLSEMLPPDALFPFMDEIKKREKQRKQLAKKERKESVKAEVAAAAQSVTSLSNSAQSFHDDSPKFSVDDFEALGSSTTMPSSPPTSSSPPFVGERILFSNVARLGFAAGHDSPALKIEETSAACGASGTRNPGTPTFANIISREKTGENVDAPKNEIGKKGKKQSRILLSTAGGRRY >Manes.18G065200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5883524:5886334:1 gene:Manes.18G065200.v8.1 transcript:Manes.18G065200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEIIKDIGSGNFGVAKLVRDRWTHELFAVKFIERGSKIDEHVQREIMNHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFCEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVFHSQPKSTVGTPAYVAPEILSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVHYAIPDYVQVSIECRHLLSRIFVANPVKRITIPEIKNHPWFLKNLPIEMTEGGSWQSNDVNNPSQSIGEVVSIIQEAKKPVEMPKTGDNFLGGSMDLDDLDADVDNEDIETSGDFVCPL >Manes.18G065200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5883584:5886334:1 gene:Manes.18G065200.v8.1 transcript:Manes.18G065200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEIIKDIGSGNFGVAKLVRDRWTHELFAVKFIERGSKIDEHVQREIMNHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFCEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVFHSQPKSTVGTPAYVAPEILSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVHYAIPDYVQVSIECRHLLSRIFVANPVKRITIPEIKNHPWFLKNLPIEMTEGGSWQSNDVNNPSQSIGEVVSIIQEAKKPVEMPKTGDNFLGGSMDLDDLDADVDNEDIETSGDFVCPL >Manes.18G065200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5884184:5886334:1 gene:Manes.18G065200.v8.1 transcript:Manes.18G065200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQVLLTPTHLAIVMEYAAGGELFERICNAGRFCEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSSVFHSQPKSTVGTPAYVAPEILSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVHYAIPDYVQVSIECRHLLSRIFVANPVKRITIPEIKNHPWFLKNLPIEMTEGGSWQSNDVNNPSQSIGEVVSIIQEAKKPVEMPKTGDNFLGGSMDLDDLDADVDNEDIETSGDFVCPL >Manes.12G156200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36459516:36461308:1 gene:Manes.12G156200.v8.1 transcript:Manes.12G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQQELQFLGFFGILKESFKIIFSWRKIFSQLTLALILPLSFLILAHIHVSQLFFFNILNMEDRLDYSPQNSHKISSEWAAFWLFKIAYFTVLLILSLLSTSAVVYTIACIYTSKQITFKKIMSVVPRVWKRLMVTFLWSFAMVFVYNLIAAAVIILWVVLNGGNNVFGIVVLVILLIIYCVGLLYITIVWHLASVISVLEEIYGIKAMIKSKNLIKGKLGVAVALFILLACCFAGIQLLFEALVVLDLSQGWGIRIGIGILCFLLLFKLLLIGLVIQTVLYFVCKSYHHENIDRPSLSEHLEAYLGDYTSLKAANVQMEQLQA >Manes.15G039800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3113977:3114835:-1 gene:Manes.15G039800.v8.1 transcript:Manes.15G039800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAILVALLFVGVAIAFIASPTLADYKPKYDPSEPDIPIYEPETFKKPYYKPPKVNKPPTHYKPPKKPPVYKPPYKKPPPYKKPPPYKKPPSYKKPPAP >Manes.02G145300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11141755:11147319:1 gene:Manes.02G145300.v8.1 transcript:Manes.02G145300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNITARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEYCSSSTKDVIARYNLHSNNLDKLERPSLELELENSHRAHLNKEIAEKTQQLRQMMGENLQGLDIEELQQLEKLLEAGLSRVLETKGDKMMNEILMLEKKGAQLLEENKQLKEKMMRILSKGKGPALLEWDGGIHQEEGMSSESATNFCSYPTGPPLPLADDSYYTSLKLGLGCCRIIV >Manes.02G145300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11141755:11147320:1 gene:Manes.02G145300.v8.1 transcript:Manes.02G145300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNITARQVTFSKRRRGLFKKAEELSVLCDAEVALIIFSATGKLFEYCSSSTKDVIARYNLHSNNLDKLERPSLELELENSHRAHLNKEIAEKTQQLRQMMGENLQGLDIEELQQLEKLLEAGLSRVLETKGDKMMNEILMLEKKGAQLLEENKQLKEKMMRILSKGKGPALLEWDGGIHQEEGMSSESATNFCSYPTGPPLPLADDSYYTSLKLG >Manes.17G116200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32341757:32345781:-1 gene:Manes.17G116200.v8.1 transcript:Manes.17G116200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIALFLWQAHPLYPFLLLLNRDEYHSRPTKRLTWWGTGDQILGGRDEQAGGTWLACTRDGKIAFITNVRELKSNPTAKSRGDLPVRFLESNKNPKEFAEDLAKEADEYSGFNLILADICLKSMVYVTSRPKAENTFITDVQPGLHVLSNASLDSPWPKAERLRHNFKDFLDKYGERELPMKEMVEILMTNTVKDDESMLPKIYSAEFEYQLSSIFVDTDTPLGRYGTRSTSVLSIKSCGEVKFYERFLENEKWQEHTESFQIERM >Manes.17G116200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32341791:32345705:-1 gene:Manes.17G116200.v8.1 transcript:Manes.17G116200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIALFLWQAHPLYPFLLLLNRDEYHSRPTKRLTWWGTGDQILGGRDEQAGGTWLACTRDGKIAFITNVRELKSNPTAKSRGDLPVRFLESNKNPKEFAEDLAKEADEYSGFNLILADICLKSMVYVTSRPKAENTFITDVQPGLHVLSNASLDSPWPKAERLRHNFKDFLDKYGERELPMKEMVEILMTNTVKDDESMLPKIYSAEFEYQLSSIFVDTDTPLGRYGTRSTSVLSIKSCGEVKFYERFLENEKWQEHTESFQIERM >Manes.17G116200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32341791:32344453:-1 gene:Manes.17G116200.v8.1 transcript:Manes.17G116200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIALFLWQAHPLYPFLLLLNRDEYHSRPTKRLTWWGTGDQILGGRDEQAGGTWLACTRDGKIAFITNVRELKSNPTAKSRGDLPVRFLESNKNPKEFAEDLAKEADEYSGFNLILADICLKSMVYVTSRPKAENTFITDVQPGLHVLSNASLDSPWPKAERLRHNFKDFLDKYGERELPMKEMVEILMTNTVKDDESMLPKIYSAEFEYQLSSIFVDTDTPLGRYGTRSTSVLSIKSCGEVKFYERFLENEKWQEHTESFQIERM >Manes.17G116200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32341757:32345781:-1 gene:Manes.17G116200.v8.1 transcript:Manes.17G116200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIALFLWQAHPLYPFLLLLNRDEYHSRPTKRLTWWGTGDQILGGRDEQAGGTWLACTRDGKIAFITNVRELKSNPTAKSRGDLPVRFLESNKNPKEFAEDLAKEADEYSGFNLILADICLKSMVYVTSRPKAENTFITDVQPGLHVLSNASLDSPWPKAERLRHNFKDFLDKYGERELPMKEMVEILMTNTVKDDESMLPKIYSAEFEYQLSSIFVDTDTPLGRYGTRSTSVLSIKSCGEVKFYERFLENEKWQEHTESFQIERM >Manes.02G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11956681:11960850:1 gene:Manes.02G153700.v8.1 transcript:Manes.02G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMANYPMESQLGCSLVAGIFRRRSFCQRKTSVYSLPVESSNNISKEKPPVHISKTQRSKSEAAILASNLIKQFPEVGQKLTGRHNLVSPRTSSSHQKNEGRKSYDAARSSTSSSSLGQITLSQTNEAKLRRASTSDSRELSMILTTNNQQSKDSKALVRASSTKVMLLGDLGNLRLPGNGNIAGNNSPNATTRTANFLHRNLQEASSNHRTRHSNGKLGGNGVMGNIVRQSSGDLRQCQNLTSRMDPEVLKNMGNEKYKQGRCEEALAFYDRAIALDSSKATYRSNKSAALIGLGRLTEAVAECKEAIRLDPSYQRAHHRLATLYVRLGEAEKALYHYEQSGPYADSEDIAQVQALQKHLNRCIQARKLKEWNTLVKETDCAISSGADSSPRVYAMQAESLLRLHRHEEAYRAYRRAPNFTYDFCTKYVGLAGTSHLLIGAQVYMAAGRFEDAISAAQQAAQLDPGNREVSTVLKTARAVASARLTGNLLYNASKFSEACAAYSEGLEYDPNNSILLCNRAACRSKLNQFEKAVEDCTAALRLQPNYSKARLRRANCNAKLERWEASMQDYEMLIRESPADEEIGRALFEAKIQLKKQRGEDIKDLKFGSNLVFISSNERFRYFVTSPGMSVVLFCNKENHTQVLQLMEQVCKRFPSVNFLKVEVEDYPYLAKSESVTSLPSFKIYKNGSRVKEIPGNNRELLEKSVKLYSS >Manes.06G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4639391:4641906:1 gene:Manes.06G023000.v8.1 transcript:Manes.06G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSFQSDFDKSQEDAESVFTKFSDQADSTMRWALAGSIVMSVVITVAIVAIVYALIDCLKKAGSAIPEYARISTSEKLDKVSTDHVAVTVEEPDQFPVVRDSQVHFPTMERFLSNIAREKPIRFSPEQIEEFTNNCSTVLGSGAYGVVFKGMFPNEVPVAVKVLTNHSGNKKMEEQFMAEISTIGRTYHVNLVRLYGFCFDPSMMALVYEYMENGSLNKVLFDEMREIEWQKLYEIAIGTAKAIAYLHEECEQRIIHYDIKPENILLDHSLNPKVADFGLAKLCNRESSKVTLSGGRGTLGYSAPEVWRRNHPVTHKCDVYSFGIMMFEIVGRRRHFDANLSESRQWLPRWTWDMYRNNELEMMLSLCGIEQKHREKAERMATVALQCIHHSPDARPVMSDVVKILEGSMKIMQQPPNPFEDLESFRPNNIGLLLGTDEDSSSTSRRYISEPPYLVPKGGTEIELATC >Manes.17G097900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30457019:30458368:1 gene:Manes.17G097900.v8.1 transcript:Manes.17G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSEISHFSHPLHTLKFEYSEFPFKCDGCKEVGIGSRYKCSKCDFDLHVHCAIPSASIFHPFYTKCSFQFLSRPPGDKPRYCNACERDVNGFVYHCRDCGFDLHPCCAKLPMVLDDGEVKLYLYRKVSATCHKCGRKGRSWSYRSSCKKYNLHVACVKDLLVENWAGLYLGRSSDHFDGNVYGGRKLENRIPSLKNALHTHHRKSKGKVQKCCEMAGLAVQFVISAVLGDPTAIIAGVIGSLI >Manes.01G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32364125:32374253:1 gene:Manes.01G130100.v8.1 transcript:Manes.01G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNGCNGNGSQIPPGMKKMVNTLKEIVNNNCSDSEIYSVLRECDMNPNDAVQRLLSQDIFHEVKSKRERRKEMKEMQESKARGSNNGYRRVKAGAENKVGHVSSQVSYYDLDKAAYMRENLSVASSIISSSPLTSRVRTMNEQPSFHCDSSNDDNGRQNVGTGGAISSFEQRFRDSQAPSFTGSSVHVSMADIVKMGRPRAWDSYMADETSNTLQDTNARDSLHCFLKVFHDSSSSPSLGVHQDLQCPHPASVPKTLHQSDNITNQHNFDGEWPAIEQQADVSRSSILSSSTTSSIGMLPNQCHLFDDGINLPKNSQPEVQVSERNVACKILGSNCFEFDSPSNGWGKLTSARGASHSNDGSLKDMISYDSHGCMNELHEGREICSHDYFANGSAPLNDIASSTAVSLQQLSLGKEAKFVRTSEDDCALVFPNDIHTLGADCSHLSFGTYKSGVHAASSVPLASKPSKSNMEPSAVIDRNLGYVGESQCSEQVGYVSEIHQLNADGNNHNLSVSSQPELMTQDIDEVNSGYDYMSSKSVSDSCFKNIQKTNSPSPVVRSPCANNVHPLHRELQVSSTPVPVDSLTSVVQFVGGSDCAHSYFPGTQSLTSKYNDIVSSAGIPTIPMAEIMCSGAFSLPKSHSSTLPGVGLGAGHVLPQHLIAHPQSTLSWEELIYLSGSCCSAVPQTYPCTPTAFQQAYQDSSANISVLHDHLAGMKYNLQHLKCVSPRTRSSLPLFSANISGYGGLENPSNLSGRFLDDLSAAPNVGLVNPSNLPTTNGSAVGYNAFLHAQYKEGNNFAMLQQVKDYGHGSRTLSAFPDNGYYNLDGQDQLLSTYQQLSQNYVRLGSANANHSQQRISRDEQQSLGDLAFRSSQVPSKQLHPVWQHIY >Manes.13G153300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36743324:36743695:-1 gene:Manes.13G153300.v8.1 transcript:Manes.13G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSADEFSFPKITNTLPRIPISPSLWRVSSIVYPEDEGEDANEEEKMDMLWEDFNEELQRVSSSSKNKQSSGYTQDLQLCSVQALTMSKPDRVYQPKKQSAVLLIKVLKKIFLLQKSAQIKNN >Manes.13G021900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3153001:3155682:-1 gene:Manes.13G021900.v8.1 transcript:Manes.13G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHPETNPHFIRLRQQREDQQLPTPPTPGSTPPITELPSSATQHRDRQDQPPSQRAPKQLQRSKPRGHGDGPFQAPWKQPAAPREDQHPRPIHDQRLDGEYHSPWMFPHHSDKDQRSHDPRLDGKYHSPWVLPKQYPDEDNNITLQQNRPANEDQHPRQPKRSRTKKSQDQDHYPIHYGLPPAQQQQQDRFGHPLGLRAPEPQQTRPITWLGAALCAIFWIVIFLGGLIVLIVYLVYRPRSPWFEVSSVTLNAAYVDAGSLLNADISVLANFTNPNDKVSLDFSHIIIDLYYGNSLIATQYVESFSASKAESRFANVHMVTSQVRLPLGDVARLQEQINQNGIIFNIKAMFRVRSQLGSLFAYSNRLYGQCFIMVTAPPTGVLRAARCSTKR >Manes.09G138600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33818518:33819339:1 gene:Manes.09G138600.v8.1 transcript:Manes.09G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNQLVAFAIAAIFVLPTIAMAAEYVVGDNNGWKTDVNYTEWASGKVFYVGDKLVFTYGPPHNVYKVDGAGFKDCKPSGDLYSTGNDTFTLMKSGKKWYICGYNDHCQKGQKLVINVESNGPVPAPIPAPTATPTATPSPTPASNDSYRSFVLSWYQIFLAAIVTMVVAAF >Manes.09G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32750628:32752363:-1 gene:Manes.09G125500.v8.1 transcript:Manes.09G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVTRRERWTVEMAALVVVGLLAMASPTMQLSVKKPLRGTVQKSSEEPRSIGLIVTEICCEKALNESGIFHVNSSVELLGRTFVLGTIQGAHIVYVRSASRPAANVGITLQIMADNFNLGGVILLGYGQALNDSLSVGSVVIPKWIAATGVWIWQPFYAEEEDEGQLKFTEFNYPEGGANLLGSVEYEKSQIYVNGKVKETFWTPAIISLEWLRAAYKTSVESVEVVHGLKLASADMQLNNEAYKKFLYKTFGASTADTSSFAGLLGAYTNNLRFLVVRGVSGGENETDMVAANAVKVLDRFIFLISVPRASS >Manes.09G125500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32750628:32752297:-1 gene:Manes.09G125500.v8.1 transcript:Manes.09G125500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVTRRERWTVEMAALVVVGLLAMASPTMQLSVKKPLRGTVQKSSEEPRSIGLIVTEICCEKALNESGIFHVNSSVELLGRTFVLGTIQGAHIVYVRSASRPAANVGITLQIMADNFNLGGVILLGYGQALNDSLSVGSVVIPKWIAATGVWIWQPFYAEEEDEGQLKFTEFNYPEGGANLLGSVEYEKSQIYVNGKVKETFWTPAIISLEWLRAAYKTSVHLVESVEVVHGLKLASADMQLNNEAYKKFLYKTFGASTADTSSFAGLLGAYTNNLRFLVVRGVSGGENETDMVAANAVKVLDRFIFLISVPRASS >Manes.06G107200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23946448:23957227:-1 gene:Manes.06G107200.v8.1 transcript:Manes.06G107200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLNGERRWAPGRRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGSRSSSSASNAWGSCSLSLNNDGGTGSPSHLSGRPSSGGSGTRPSTAGSEKAHEPIVSAWGTNSRPSSSSGALPSNQTSHAALRPRSAETRPGSSQLSRFAEPLSDKSVAWHAMGAADKLGVTSSKNDGFSLTSGDFPSLGSEKDNSGREVDSQDHDSYSRPGSSSAGVASRNEGTEDSAGDLSAHENVKSGAESPWRRENVYGEDGARANVEKWNADFQPYPNSSIPPQHYDAWRGPPVNNQPGGVWVRGPPGCPPFRSPVAPGGFPMEPFPYYHPQIPPPALANPQAVPPPGAGPRAPHPKNGDMYRPHMHDTYMHPNIPLRPSFYPGPGPYEGYYGPPMPYCNPNERDVPFMGMAMGPATYNRYCGQSIPDPGNSHGRTSGCGPSSKAMVLDQVEPAHPQDVKRPYKVLLKQHDGWEGKDEEQKSVDTMKTNASYPLKGEHTSKSSSGENGWRADSKKDHEFDARRIAPAEEAFSGAVDNQKVVPTKVKSPESGGKLNAFEDISVKKFEPAPSSFPQELAVPKDSKDSSLIQKIEGLNAKTRTSDGRNDTKPVSSREEQNNKLQAGNAFAGHSTNEAGIDTPSHEKTSVGRIINSAPQEDCFSAGDRNLESTIVSGTTIPRRSTQGMHSRADHRGKGRFSTQEADGWRKKSQVADQRCIVSTAHYEISSVHGQAHSSVEAPQNSVLHPSLKDDGESMPPASEPSDSQRAKMRELAKRIKQREKEEEERTREQRAKALAKLEELNRRTQAGEVATQKFENVPASAIQNRQEESLNLSQQTIVASKSGAPSSSFVSETNTVAQSREKLETLPSSVVQNMHEKATTAVVSGKSIRSRSALGSKVVTSEINRNAAEKSVSIAINIPVATSKVVNHESVVVHEQLNPFQPDSNSADATCCSGTSEVHDSSAKQKRTGYRQKQISPLERNSIEKLVSSFGTEASKGHTDIAANATLCPQDVTVEIDSNCESNLPSIAAESSVHHRRKNKNGKNKQKVEEASSAAALPSVVAKDATTSEPKSSNSLLDPSSGRFPTDSNDANESSELHLSLANEETHIRVNNQWRSQHPRRMMRNKSAEKSHNGDAVVWAPVRSQHRTEVSDASNQYILVDSVLSTKNVQQVQNNPRNKRAEMERYIPKHVAKELSQQGAKELSQQGGHHQAAVPSSNQITSDETAERPKSGSLGIGSSQISGTATVIFSPSVESRNGDVRQNKSGKVHGAWRQQGAPESATSNQSRSFQKAIEDHQCQIADVSSLKEQPRVSDGWNACDGWDMPENPDTAITVPVLKEQGVTARVKRQPHKGHKGTGYNHNPDEKRTRGDADKMHIQSVAPEMHQRDSLLAAKENNSTGERSTSHWQPKSQSVSATSQQGRQTNSSASGGSEGGRVIKESTRHGDPLLPHPDKDTAAVRPQSHHDQLPSKKNLEESTTIGQEPKRERKMTAQGGRPGSPFESSSHNMDVRHEKHMSSGFRKNGNQSSRFIRNHEFRGDKSGSVKDSKQHNVPAAREGQKHNSHYEYQPVGLHNSNKANNLEPSKDISHDFSGTGYRERGQSHSRHGAGNFYGRQTRNVQVDAGHD >Manes.06G107200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23946448:23957223:-1 gene:Manes.06G107200.v8.1 transcript:Manes.06G107200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLNGERRWAPGRRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGSRSSSSASNAWGSCSLSLNNDGGTGSPSHLSGRPSSGGSGTRPSTAGSEKAHEPIVSAWGTNSRPSSSSGALPSNQTSHAALRPRSAETRPGSSQLSRFAEPLSDKSVAWHAMGAADKLGVTSSKNDGFSLTSGDFPSLGSEKDNSGREVDSQDHDSYSRPGSSSAGVASRNEGTEDSAGDLSAHENVKSGAESPWRRENVYGEDGARANVEKWNADFQPYPNSSIPPQHYDAWRGPPVNNQPGGVWVRGPPGCPPFRSPVAPGGFPMEPFPYYHPQIPPPALANPQAVPPPGAGPRAPHPKNGDMYRPHMHDTYMHPNIPLRPSFYPGPGPYEGYYGPPMPYCNPNERDVPFMGMAMGPATYNRYCGQSIPDPGNSHGRTSGCGPSSKAMVLDQVEPAHPQDVKRPYKVLLKQHDGWEGKDEEQKSVDTMKTNASYPLKGEHTSKSSSGENGWRADSKKDHEFDARRIAPAEEAFSGAVDNQKVVPTKVKSPESGGKLNAFEDISVKKFEPAPSSFPQELAVPKDSKDSSLIQKIEGLNAKTRTSDGRNDTKPVSSREEQNNKLQAGNAFAGHSTNEAGIDTPSHEKTSVGRIINSAPQEDCFSAGDRNLESTIVSGTTIPRRSTQGMHSRADHRGKGRFSTQEADGWRKKSQVADQRCIVSTAHYEISSVHGQAHSSVEAPQNSVLHPSLKDDGESMPPASEPSDSQRAKMRELAKRIKQREKEEEERTREQRAKALAKLEELNRRTQAGEVATQKFENVPASAIQNRQEESLNLSQQTIVASKSGAPSSSFVSETNTVAQSREKLETLPSSVVQNMHEKATTAVVSGKSIRSRSALGSKVVTSEINRNAAEKSVSIAINIPVATSKVVNHESVVVHEQLNPFQPDSNSADATCCSGTSEVHDSSAKQKRTGYRQKQISPLERNSIEKLVSSFGTEASKGHTDIAANATLCPQDVTVEIDSNCESNLPSIAAESSVHHRRKNKNGKNKQKVEEASSAAALPSVVAKDATTSEPKSSNSLLDPSSGRFPTDSNDANESSELHLSLANEETHIRVNNQWRSQHPRRMMRNKSAEKSHNGDAVVWAPVRSQHRTEVSDASNQYILVDSVLSTKNVQQVQNNPRNKRAEMERYIPKHVAKELSQQGAKELSQQGGHHQAAVPSSNQITSDETAERPKSGSLGIGSSQISGTATVIFSPSVESRNGDVRQNKSGKVHGAWRQQGAPESATSNQSRSFQKAIEDHQCQIADVSSLKEQPRVSDGWNACDGWDMPENPDTAITVPVLKEQGVTARVKRQPHKGHKGTGYNHNPDEKRTRGDADKMHIQSVAPEMHQRDSLLAAKENNSTGERSTSHWQPKSQSVSATSQQGRQTNSSASGGSEGGRVIKESTRHGDPLLPHPDKDTAAVRPQSHHDQLPSKKNLEESTTIGQEPKRERKMTAQGGRPGSPFESSSHNMDVRHEKHMSSGFRKNGNQSSRFIRNHEFRGDKSGSVKDSKQHNVPAAREGQKHNSHYEYQPVGLHNSNKANNLEPSKDISHDFSGTGYRERGQSHSRHGAGNFYGRQTRNVQVDAGHD >Manes.06G107200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23946846:23957223:-1 gene:Manes.06G107200.v8.1 transcript:Manes.06G107200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLNGERRWAPGRRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGSRSSSSASNAWGSCSLSLNNDGGTGSPSHLSGRPSSGGSGTRPSTAGSEKAHEPIVSAWGTNSRPSSSSGALPSNQTSHAALRPRSAETRPGSSQLSRFAEPLSDKSVAWHAMGAADKLGVTSSKNDGFSLTSGDFPSLGSEKDNSGREVDSQDHDSYSRPGSSSAGVASRNEGTEDSAGDLSAHENVKSGAESPWRRENVYGEDGARANVEKWNADFQPYPNSSIPPQHYDAWRGPPVNNQPGGVWVRGPPGCPPFRSPVAPGGFPMEPFPYYHPQIPPPALANPQAVPPPGAGPRAPHPKNGDMYRPHMHDTYMHPNIPLRPSFYPGPGPYEGYYGPPMPYCNPNERDVPFMGMAMGPATYNRYCGQSIPDPGNSHGRTSGCGPSSKAMVLDQVEPAHPQDVKRPYKVLLKQHDGWEGKDEEQKSVDTMKTNASYPLKGEHTSKSSSGENGWRADSKKDHEFDARRIAPAEEAFSGAVDNQKVVPTKVKSPESGGKLNAFEDISVKKFEPAPSSFPQELAVPKDSKDSSLIQKIEGLNAKTRTSDGRNDTKPVSSREEQNNKLQAGNAFAGHSTNEAGIDTPSHEKTSVGRIINSAPQEDCFSAGDRNLESTIVSGTTIPRRSTQGMHSRADHRGKGRFSTQEADGWRKKSQVADQRCIVSTAHYEISSVHGQAHSSVEAPQNSVLHPSLKDDGESMPPASEPSDSQRAKMRELAKRIKQREKEEEERTREQRAKALAKLEELNRRTQAGEVATQKFENVPASAIQNRQEESLNLSQQTIVASKSGAPSSSFVSETNTVAQSREKLETLPSSVVQNMHEKATTAVVSGKSIRSRSALGSKVVTSEINRNAAEKSVSIAINIPVATSKVVNHESVVVHEQLNPFQPDSNSADATCCSGTSEVHDSSAKQKRTGYRQKQISPLERNSIEKLVSSFGTEASKGHTDIAANATLCPQDVTVEIDSNCESNLPSIAAESSVHHRRKNKNGKNKQKVEEASSAAALPSVVAKDATTSEPKSSNSLLDPSSGRFPTDSNDANESSELHLSLANEETHIRVNNQWRSQHPRRMMRNKSAEKSHNGDAVVWAPVRSQHRTEVSDASNQYILVDSVLSTKNVQQVQNNPRNKRAEMERYIPKHVAKELSQQGAKELSQQGGHHQAAVPSSNQITSDETAERPKSGSLGIGSSQISGTATVIFSPSVESRNGDVRQNKSGKVHGAWRQQGAPESATSNQSRSFQKAIEDHQCQIADVSSLKEQPRVSDGWNACDGWDMPENPDTAITVPVLKEQGVTARVKRQPHKGHKGTGYNHNPDEKRTRGDADKMHIQSVAPEMHQRDSLLAAKENNSTGERSTSHWQPKSQSVSATSQQGRQTNSSASGGSEGGRVIKESTRHGDPLLPHPDKDTAAVRPQSHHDQLPSKKNLEESTTIGQEPKRERKMTAQGGRPGSPFESSSHNMDVRHEKHMSSGFRKNGNQSSRFIRNHEFRGDKSGSVKDSKQHNVPAAREGQKHNSHYEYQPVGLHNSNKANNLEPSKDISHDFSGTGYRERGQSHSRHGAGNFYGRQTRNVQVDAGHD >Manes.06G107200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23946448:23957227:-1 gene:Manes.06G107200.v8.1 transcript:Manes.06G107200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMLNGERRWAPGRRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGSRSSSSASNAWGSCSLSLNNDGGTGSPSHLSGRPSSGGSGTRPSTAGSEKAHEPIVSAWGTNSRPSSSSGALPSNQTSHAALRPRSAETRPGSSQLSRFAEPLSDKSVAWHAMGAADKLGVTSSKNDGFSLTSGDFPSLGSEKDNSGREVDSQDHDSYSRPGSSSAGVASRNEGTEDSAGDLSAHENVKSGAESPWRRENVYGEDGARANVEKWNADFQPYPNSSIPPQHYDAWRGPPVNNQPGGVWVRGPPGCPPFRSPVAPGGFPMEPFPYYHPQIPPPALANPQAVPPPGAGPRAPHPKNGDMYRPHMHDTYMHPNIPLRPSFYPGPGPYEGYYGPPMPYCNPNERDVPFMGMAMGPATYNRYCGQSIPDPGNSHGRTSGCGPSSKAMVLDQVEPAHPQDVKRPYKVLLKQHDGWEGKDEEQKSVDTMKTNASYPLKGEHTSKSSSGENGWRADSKKDHEFDARRIAPAEEAFSGAVDNQKVVPTKVKSPESGGKLNAFEDISVKKFEPAPSSFPQELAVPKDSKDSSLIQKIEGLNAKTRTSDGRNDTKPVSSREEQNNKLQAGNAFAGHSTNEAGIDTPSHEKTSVGRIINSAPQEDCFSAGDRNLESTIVSGTTIPRRSTQGMHSRADHRGKGRFSTQEADGWRKKSQVADQRCIVSTAHYEISSVHGQAHSSVEAPQNSVLHPSLKDDGESMPPASEPSDSQRAKMRELAKRIKQREKEEEERTREQRAKALAKLEELNRRTQAGEVATQKFENVPASAIQNRQEESLNLSQQTIVASKSGAPSSSFVSETNTVAQSREKLETLPSSVVQNMHEKATTAVVSGKSIRSRSALGSKVVTSEINRNAAEKSVSIAINIPVATSKVVNHESVVVHEQLNPFQPDSNSADATCCSGTSEVHDSSAKQKRTGYRQKQISPLERNSIEKLVSSFGTEASKGHTDIAANATLCPQDVTVEIDSNCESNLPSIAAESSVHHRRKNKNGKNKQKVEEASSAAALPSVVAKDATTSEPKSSNSLLDPSSGRFPTDSNDANESSELHLSLANEETHIRVNNQWRSQHPRRMMRNKSAEKSHNGDAVVWAPVRSQHRTEVSDASNQYILVDSVLSTKNVQQVQNNPRNKRAEMERYIPKHVAKELSQQGAKELSQQGGHHQAAVPSSNQITSDETAERPKSGSLGIGSSQISGTATVIFSPSVESRNGDVRQNKSGKVHGAWRQQGAPESATSNQSRSFQKAIEDHQCQIADVSSLKEQPRVSDGWNACDGWDMPENPDTAITVPVLKEQGVTARVKRQPHKGHKGTGYNHNPDEKRTRGDADKMHIQSVAPEMHQRDSLLAAKENNSTGERSTSHWQPKSQSVSATSQQGRQTNSSASGGSEGGRVIKESTRHGDPLLPHPDKDTAAVRPQSHHDQLPSKKNLEESTTIGQEPKRERKMTAQGGRPGSPFESSSHNMDVRHEKHMSSGFRKNGNQSSRFIRNHEFRGDKSGSVKDSKQHNVPAAREGQKHNSHYEYQPVGLHNSNKANNLEPSKDISHDFSGTGYRERGQSHSRHGAGNFYGRQTRNVQVDAGHD >Manes.02G170301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13464037:13466939:1 gene:Manes.02G170301.v8.1 transcript:Manes.02G170301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLALLMVVAPLSSLLALAAAQDRLRCPDRCGNVTIPYPFGLAGCNQSPEFLITCSYSFSPPVTFLRTSKFKVTNIHLDGKLEISRLVSQDCYNKSGTRNPVGSRRHSLSLSNFTISKSQNKFTVIGCDSYGYLEGFRDGKLYSSGCMSLCAEREFVDEDSCSGSGCCQIEIPDGLYHANVTAYSFNNHTNVSDFNLCTYAFIVEDSKFNFSFKYLDNISNTTEFPVVLDWSIKENGTSACKEHAQSYKPPDNVLGYLCKCKSGYQGNPYVGCEDINECKNEHQCTGKCTNTDGNYTCSCPKGYHGDGRKDGQGCTRNQLSLVKIILGIGIGFTALVVAASWLYLIFRKRKLIQLKEKFFRQNGGAVLQQKLSRREGTPDTAKIFTAEELKRATRNYDETTIIGKGGFGTVYKGILTDNRIVAIKKSITVDQNQIDQFINEVVVLSQINHKNVVRLLGCCLETPVPLLVYEFITNGTLFDHIHNESNGLSALSWQIRLKIATETAGALSYLHSAASVPIIHRDVKTTNILLDADYTAKVSDFGASRLAPKDEAQLSTVVQGTWGYLDPEYLHTNQLTDKSDVYSFGVILVELLTSMKALCFDRPEEERSVAMFFLSSMKGGKLFEVVDCRIINQGTEEQIKEVARLAGRCLRLKGEERPSMKEVAMELEGLRMMEVHTWDAENPEETELLLSEKNKDFGHGDSTNASAAYDSIQSHANLSLGDGR >Manes.05G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11802385:11803295:1 gene:Manes.05G115500.v8.1 transcript:Manes.05G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLPISIITSFTFSLYFSIFLVARVLKDMSNWDHNKSQEPYPASSPNPFVAPPPANYPINNGNSPRLPPPPPPPLQTKSKGDGFWRGCCAGWCCYCCLDACF >Manes.07G109504.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31493142:31504125:1 gene:Manes.07G109504.v8.1 transcript:Manes.07G109504.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLEILRCILCCVEDYGDENEYETFYVNTTREPRVSRSVIPFNFKAIFGSRSSDSVNSVESIYDVSSSFDSNRRYVRIPSHSPETYSSWLPPSSQTTTRASSSYRPQSLTTDVTSSKPSQASLLSPASQTSSRSSHQAPKLPLSSKKAQPLIPEIPSSKPSPVSLTSPTSSLKPSPQTPSYSLNPSVSLPKPSTADSKPSPLSSATSAFSFKPVPKAPPSSLDASLSSSKPSLTSSSNFIKIPDIQSSCSESSPSPSKPLPSFKPTLAPASSNVTSQQTKANYVWVQKDTLPIYMTPKDIQDLIKKDMVPEVLKKPLTLSTYKDYFAALLYAEDFYIEKWSKFKLVDITLKLQKASVFKLSYFTESHEKENKTFVTFEIDACRERRPFLISRDFVFARPSGNTTEPFQGVIYRVVRSTTVLVEFGDDFYAQHHSSRKYDVSFSFNRVCLKRAHQAIEAASDPLFKDYIFPDCNFRKRCSESTPSYCNYKLDADQMSAVCQILSFQGPPPYLIEGPLCVTKATRHEISQLSRTGLVVQEAVLEFYRSSQKHRILICAPNNSTCDLLTRSLKKHIPKSDMFRANAAFREIYGVPTDIRSSCVYKGECFSCPRLQELRKFRVILSTYVSSFRLCNEGIAAGHFSHIFLVDASSATEPEVMVALANLANKNTAVIVTGAPGNYPGWVRSDIARENGLMDSYFERLNERNPYARLDPMFITKLVSFESKSDDNQSFDPFFFV >Manes.15G066600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5061366:5063782:-1 gene:Manes.15G066600.v8.1 transcript:Manes.15G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNTEPLTGGNIKFTRSASHAYDELKSFRSYLRWMCLDQSNKWSICLSWFIFILFTLVVPVISHFVLACATCDSNHSRPYDRVVQLSLSSFATLSFVCLSKFVRKYGLRRFLFFDKLCDESETVRKGYTKQLNRSLKILSIFVVPCFIAECAYKIWWYASGASQIPFLGNIILSDTVACIMELCSWLYRTTVIFLVCVLFHLLCHLQILRLQDFAQVFQVDSDVESVLSEHLRIRRHLRIISHRYRAFVLCALILVTGSQFASLLITTKSGATVDIYRAGELALCSITLVTGILIILRSATKITHKAQAVTCLASKWHICATLDSFYATDGETPTTPLTIRPTIDAGSEDDDADDEEDELDNTKWIPAYAHSTISFQKRQALVTYFENNRAGITVYGFTLDRSTLHSIFGVELALVLWLLGKTVGIS >Manes.12G014600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1419938:1423830:-1 gene:Manes.12G014600.v8.1 transcript:Manes.12G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAAGSSIHNTVSSSFIFTNYPLIVAVVAFVIAQSSKLFIYWYKERRWDFKELVGSGGMPSSHSATVTALAMAIGFQEGFGGSLFATALVVACIVMYDATGVRLHAGRQAEVLNQILYGLPAEHPLAESRPLRELLGHTPPQVIAGGVLGIVTAIVGHLIKMSTSRS >Manes.13G079100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13172720:13176303:-1 gene:Manes.13G079100.v8.1 transcript:Manes.13G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAPPPNPVPIAYQGGTSAAVPDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVVICWVVWAYKMSFGDKLLPFWGKAGPALGQKFLIKQAELPETTHYYHNGEVETTMATPYYPMASMVWFQCVFAAITLILLGGSVLGRMNIKAWMAFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGIAGFTTAFWVGPRSTNDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYSANIDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSVPWFTMMIVHKRWTLLQKIDDTLGVFHTHAVAGLLGGVLTGLFAEPSLCSLFLPVTNSRGGVYGGSGGVQILKQLVGGAFIIGWNLVITSIICVVINLVIPLRMSEEQLLIGDDAVHGEEAYALWGDGEKYDSTKHGLYSDDTLHKTSVGATQVV >Manes.13G079100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13172720:13176303:-1 gene:Manes.13G079100.v8.1 transcript:Manes.13G079100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAPPPNPVPIAYQGGTSAAVPDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVVICWVVWAYKMSFGDKLLPFWGKAGPALGQKFLIKQAELPETTHYYHNGEVETTMATPYYPMASMVWFQCVFAAITLILLGGSVLGRMNIKAWMAFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYSGGYVIHLSSGIAGFTTAFWVGPRSTNDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYSANIDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSVPWFTMMIVHKRWTLLQKIDDTLGVFHTHAVAGLLGGVLTGLFAEPSLCSLFLPVTNSRGGVYGGSGGVQILKQLVGEEQLLIGDDAVHGEEAYALWGDGEKYDSTKHGLYSDDTLHKTSVGATQVV >Manes.09G062812.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10599900:10610676:-1 gene:Manes.09G062812.v8.1 transcript:Manes.09G062812.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILEKRLISVTPYNWYQRDRITIYKNNTITIFAPPDEEAAGIENYEFLGYQIAKSKIDKEAFDSGRLFEGSEILTLDINSDVKVSTLKVTEPKSGCPSINGVKITKWNIYNDGSVVVHGVEDFFHPKFRFPSGFDDQWEKESLKDTFSKVLNGSP >Manes.02G104800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8180901:8187144:1 gene:Manes.02G104800.v8.1 transcript:Manes.02G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSTIKISGSNSNSTGAAAAGHHYHRKEITNNPQSTTANRQSSNQKNDNNNNETRKSRQLQTQQQQQQHKIKEKQTSRRQSGMIPCGKRTDFGYAKDFDRRYVIGKLLGHGQFGYTYVATDKANGDRVAVKRIDKNKMVLPIAVEDVKREVKILQELAGHENVVQFYDAFEDDSYVYIVMELCEGGELLDRILAKKDSRYTEKDAAVIVRQMLKVAAECHLHGLVHRDMKPENFLLKSSKENSPLKATDFGLSDFIKPGKKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPSISNSAKDFVKKLLVKDPRVRLTAAQALSHPWVREGGDASEIPIDISVLNNMRQFVKYSRLKQFALRALASTLDDAELADLRDQFDAIDVDKNGSISLEEMRQALAKDLPWKMKDSRVLEILQAIDSNTDGLVDFSEFVAAALHVHQLEEHNSEKWHLRSQTAFEKFDIDKDGYITPEELRMHTGLKGSIDPLLEEADIDKDGKISLSEFRRLLRTASISSRTAPSPSARRNSRMI >Manes.14G049650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4309252:4310262:-1 gene:Manes.14G049650.v8.1 transcript:Manes.14G049650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAPLIIINSDYDHLFCQLHASVGNVLGQCEISQVASGCQLFRYSQNTPSLCSSFRTVKRKQGQNIIDFLLDSMKMLSDHIHSTCNISSTQT >Manes.03G173100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29744275:29753458:-1 gene:Manes.03G173100.v8.1 transcript:Manes.03G173100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPSTPRPGSARPQDTAEYLVGTFVGEKSFPLGSDFWQKLLEHPLNLRWPNDRVQEACQRFAQNNYKTRHLAKVLIHLSQCLQECISTSGAPSPVYMKAINAVYISSVFLKYLIENAQSNNIEDLCLSLDESEPVPDGFIRDINIENLVMHNVLRFIGSIDVSTNTYLLHQELLSFLLIAMATQLLYGPSPGPTDVNLFIDAAMAQESSLVSLVVRRLLLNYIMRPHVPLNSTPYSLFSEGSQPGVLQRVSSAAATFVLLPFNYLVSSNGEGMRNPLASYSLHVLLILNYYHKCIVGDEPVTDRSDDSAASDSIPKANTYFSDNPYCKALESARDIELDRVDIEGNPHNGSLVRLPFASLFDTLGMCLGDETAVLLLYTLVHGNSDFLEYVLVRTDLDTLLMPILETLYNASKRTSNHIYILLIILLILSQDSSFNASIHKMILPSVSWYQEHLLHQTSLGSLMVIILIRTVKYNLSKLKDLYLHTTCLATLANMAPHVHRLSAYASQRLVSLFYMLSRKYNKLAERIDDKIGKSGLVEQGSLAEDLSAELHIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFQPFKSHPRFNELIENIYMVLDFFNSRVDAHTEDGEWSVEKVLQLVIINCRSWRGEGMKMFTQLHFSYEQESHPEEFFTPYIWRLALTRCGISFNPGAINLFPVDLSVEKLNDYGGDVDKRQNGVLDQHVQLDV >Manes.14G038600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3351710:3359823:1 gene:Manes.14G038600.v8.1 transcript:Manes.14G038600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRLSHLGKNKEIVDSNSEISDGGCLHLNTIRDIDWTTLPDDTIVQLFSYLNYRDRASLSSTCQTFRLLGSSPCLWDSLDLRSHKFDIEAAESLSSRSRNLQKLRFRGADSACAIINLQARGLREISGDFCPDITDAIVSVIAARHEMLESLQLGPNACERISSDAIKAIALCCPKLRRLRLSGVREVSKDAINALAMHCGNLLEVALMESENVDEMALGNLTTVQFLSLAGTRNLKWGIASQVLSKLPKLEGLDVSRTDISLSFIRRLISWSENLKVLFVLSCPVFEAEVDNDMVYIHKGKILLTVCNDIFKEVSSLFADASESNMLPYWRSLKIREQSLDKIVLWIEWVLSHSLLRVAENNQKELDIFWVKQGTNLLLHLLQSSEEDVQERAATALATFVVIDDENATIDCQRAEAVMQNGGIQLLLDLAKSCHEGLQSEAAKERAAGALANLAADDKCSMEVAMAGAVHALVMLVRHCNFEGVQEQAARALANLAAHGDSNNNNAAIGQEAGAIEALVRLTYSQHEGVRHEAAGALWNLSFDDKNREAIAAAGGIVALVSLAQSCSNSSQGLQERAAGALWGLSVSEANSVAIGQEGGVASLIALARSSDADVHETAAGALWNLAFNPGNALRIVEDGGVPALVHICTKSLSKMARFMAALALAYIFDGRMDEIAAVGPSSDGDIKSVTLNVVKRISLKHIEAFVCSFSDSKTSDTVIKLSAPTALTQVAEAACIPEAGLLRCSAAEIGRFVAMLRNHSSILKACSAFALLQFTMPGGRHATHHTNLLQNAGAPRILRAVAASASAPFEAKVFAKIVIWNLEHYHVDANSRNNG >Manes.14G038600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3351710:3358587:1 gene:Manes.14G038600.v8.1 transcript:Manes.14G038600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRLSHLGKNKEIVDSNSEISDGGCLHLNTIRDIDWTTLPDDTIVQLFSYLNYRDRASLSSTCQTFRLLGSSPCLWDSLDLRSHKFDIEAAESLSSRSRNLQKLRFRGADSACAIINLQARGLREISGDFCPDITDAIVSVIAARHEMLESLQLGPNACERISSDAIKAIALCCPKLRRLRLSGVREVSKDAINALAMHCGNLLEVALMESENVDEMALGNLTTVQFLSLAGTRNLKWGIASQVLSKLPKLEGLDVSRTDISLSFIRRLISWSENLKVLFVLSCPVFEAEVDNDMVYIHKGKILLTVCNDIFKEVSSLFADASESNMLPYWRSLKIREQSLDKIVLWIEWVLSHSLLRVAENNQKELDIFWVKQGTNLLLHLLQSSEEDVQERAATALATFVVIDDENATIDCQRAEAVMQNGGIQLLLDLAKSCHEGLQSEAAKAIANLSVDSKVAKTVAEIGGINILASLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALVDLIFKWPFCNDGVLERAAGALANLAADDKCSMEVAMAGAVHALVMLVRHCNFEGVQEQAARALANLAAHGDSNNNNAAIGQEAGAIEALVRLTYSQHEGVRHEAAGALWNLSFDDKNREAIAAAGGIVALVSLAQSCSNSSQGLQERAAGALWGLSVSEANSVAIGQEGGVASLIALARSSDADVHETAAGALWNLAFNPGNALRIVEDGGVPALVHICTKSLSKMARFMAALALAYIFDGRMDEIAAVGPSSDGDIKSVTLNVVKRISLKHIEAFVCSFSDSKTSDTVIKLSAPTALTQVAEAACIPEAGLLRCRFLFVVFLFLFNFEVSYVVISTTAMNMHIHNMQQSNSWTGH >Manes.14G038600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3351710:3358587:1 gene:Manes.14G038600.v8.1 transcript:Manes.14G038600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRLSHLGKNKEIVDSNSEISDGGCLHLNTIRDIDWTTLPDDTIVQLFSYLNYRDRASLSSTCQTFRLLGSSPCLWDSLDLRSHKFDIEAAESLSSRSRNLQKLRFRGADSACAIINLQARGLREISGDFCPDITDAIVSVIAARHEMLESLQLGPNACERISSDAIKAIALCCPKLRRLRLSGVREVSKDAINALAMHCGNLLEVALMESENVDEMALGNLTTVQFLSLAGTRNLKWGIASQVLSKLPKLEGLDVSRTDISLSFIRRLISWSENLKVLFVLSCPVFEAEVDNDMVYIHKGKILLTVCNDIFKEVSSLFADASESNMLPYWRSLKIREQSLDKIVLWIEWVLSHSLLRVAENNQKELDIFWVKQGTNLLLHLLQSSEEDVQERAATALATFVVIDDENATIDCQRAEAVMQNGGIQLLLDLAKSCHEGLQSEAAKAIANLSVDSKVAKTVAEIGGINILASLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALVDLIFKWPFCNDGVLERAAGALANLAADDKCSMEVAMAGAVHALVMLVRHCNFEGVQEQAARALANLAAHGDSNNNNAAIGQEAGAIEALVRLTYSQHEGVRHEAAGALWNLSFDDKNREAIAAAGGIVALVSLAQSCSNSSQGLQERAAGALWGLSVSEANSVAIGQEGGVASLIALARSSDADVHETAAGALWNLAFNPGNALRIVEDGGVPALVHICTKSLSKMARFMAALALAYIFDGRMDEIAAVGPSSDGDIKSVTLNVVKRISLKHIEAFVCSFSDSKTSDTVIKLSAPTALTQVAEAACIPEAGLLRCRFLFVVFLFLFNFEVSYVVISTTAMNMHIHNMQQSNSWTGH >Manes.14G038600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3351710:3359823:1 gene:Manes.14G038600.v8.1 transcript:Manes.14G038600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRLSHLGKNKEIVDSNSEISDGGCLHLNTIRDIDWTTLPDDTIVQLFSYLNYRDRASLSSTCQTFRLLGSSPCLWDSLDLRSHKFDIEAAESLSSRSRNLQKLRFRGADSACAIINLQARGLREISGDFCPDITDAIVSVIAARHEMLESLQLGPNACERISSDAIKAIALCCPKLRRLRLSGVREVSKDAINALAMHCGNLLEVALMESENVDEMALGNLTTVQFLSLAGTRNLKWGIASQVLSKLPKLEGLDVSRTDISLSFIRRLISWSENLKVLFVLSCPVFEAEVDNDMVYIHKGKILLTVCNDIFKEVSSLFADASESNMLPYWRSLKIREQSLDKIVLWIEWVLSHSLLRVAENNQKELDIFWVKQGTNLLLHLLQSSEEDVQERAATALATFVVIDDENATIDCQRAEAVMQNGGIQLLLDLAKSCHEGLQSEAAKERAAGALANLAADDKCSMEVAMAGAVHALVMLVRHCNFEGVQEQAARALANLAAHGDSNNNNAAIGQEAGAIEALVRLTYSQHEGVRHEAAGALWNLSFDDKNREAIAAAGGIVALVSLAQSCSNSSQGLQERAAGALWGLSVSEANSVAIGQEGGVASLIALARSSDADVHETAAGALWNLAFNPGNALRIVEDGGVPALVHICTKSLSKMARFMAALALAYIFDGRMDEIAAVGPSSDGDIKSVTLNVVKRISLKHIEAFVCSFSDSKTSDTVIKLSAPTALTQVAEAACIPEAGLLRCSAAEIGRFVAMLRNHSSILKACSAFALLQFTMPGGRHATHHTNLLQNAGAPRILRAVAASASAPFEAKVFAKIVIWNLEHYHVDANSRNNG >Manes.14G038600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3351710:3359823:1 gene:Manes.14G038600.v8.1 transcript:Manes.14G038600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRLSHLGKNKEIVDSNSEISDGGCLHLNTIRDIDWTTLPDDTIVQLFSYLNYRDRASLSSTCQTFRLLGSSPCLWDSLDLRSHKFDIEAAESLSSRSRNLQKLRFRGADSACAIINLQARGLREISGDFCPDITDAIVSVIAARHEMLESLQLGPNACERISSDAIKAIALCCPKLRRLRLSGVREVSKDAINALAMHCGNLLEVALMESENVDEMALGNLTTVQFLSLAGTRNLKWGIASQVLSKLPKLEGLDVSRTDISLSFIRRLISWSENLKVLFVLSCPVFEAEVDNDMVYIHKGKILLTVCNDIFKEVSSLFADASESNMLPYWRSLKIREQSLDKIVLWIEWVLSHSLLRVAENNQKELDIFWVKQGTNLLLHLLQSSEEDVQERAATALATFVVIDDENATIDCQRAEAVMQNGGIQLLLDLAKSCHEGLQSEAAKAIANLSVDSKVAKTVAEIGGINILASLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALVDLIFKWPFCNDGVLERAAGALANLAADDKCSMEVAMAGAVHALVMLVRHCNFEGVQEQAARALANLAAHGDSNNNNAAIGQEAGAIEALVRLTYSQHEGVRHEAAGALWNLSFDDKNREAIAAAGGIVALVSLAQSCSNSSQGLQERAAGALWGLSVSEANSVAIGQEGGVASLIALARSSDADVHETAAGALWNLAFNPGNALRIVEDGGVPALVHICTKSLSKMARFMAALALAYIFDGRMDEIAAVGPSSDGDIKSVTLNVVKRISLKHIEAFVCSFSDSKTSDTVIKLSAPTALTQVAEAACIPEAGLLRCRFLFVCSRNWKICCNVTESFFHTQGLFCFCSSPVYHARRTTCNSSHEPVAKCRSAKDLASCCCISISPF >Manes.14G038600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3351710:3359823:1 gene:Manes.14G038600.v8.1 transcript:Manes.14G038600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRLSHLGKNKEIVDSNSEISDGGCLHLNTIRDIDWTTLPDDTIVQLFSYLNYRDRASLSSTCQTFRLLGSSPCLWDSLDLRSHKFDIEAAESLSSRSRNLQKLRFRGADSACAIINLQARGLREISGDFCPDITDAIVSVIAARHEMLESLQLGPNACERISSDAIKAIALCCPKLRRLRLSGVREVSKDAINALAMHCGNLLEVALMESENVDEMALGNLTTVQFLSLAGTRNLKWGIASQVLSKLPKLEGLDVSRTDISLSFIRRLISWSENLKVLFVLSCPVFEAEVDNDMVYIHKGKILLTVCNDIFKEVSSLFADASESNMLPYWRSLKIREQSLDKIVLWIEWVLSHSLLRVAENNQKELDIFWVKQGTNLLLHLLQSSEEDVQERAATALATFVVIDDENATIDCQRAEAVMQNGGIQLLLDLAKSCHEGLQSEAAKAIANLSVDSKVAKTVAEIGGINILASLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALVDLIFKWPFCNDGVLERAAGALANLAADDKCSMEVAMAGAVHALVMLVRHCNFEGVQEQAARALANLAAHGDSNNNNAAIGQEAGAIEALVRLTYSQHEGVRHEAAGALWNLSFDDKNREAIAAAGGIVALVSLAQSCSNSSQGLQERAAGALWGLSVSEANSVAIGQEGGVASLIALARSSDADVHETAAGALWNLAFNPGNALRIVEDGGVPALVHICTKSLSKMARFMAALALAYIFDGRMDEIAAVGPSSDGDIKSVTLNVVKRISLKHIEAFVCSFSDSKTSDTVIKLSAPTALTQVAEAACIPEAGLLRCSAAEIGRFVAMLRNHSSILKACSAFALLQFTMPGGRHATHHTNLLQNAGAPRILRAVAASASAPFEAKVFAKIVIWNLEHYHVDANSRNNG >Manes.14G038600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3351710:3359823:1 gene:Manes.14G038600.v8.1 transcript:Manes.14G038600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRLSHLGKNKEIVDSNSEISDGGCLHLNTIRDIDWTTLPDDTIVQLFSYLNYRDRASLSSTCQTFRLLGSSPCLWDSLDLRSHKFDIEAAESLSSRSRNLQKLRFRGADSACAIINLQARGLREISGDFCPDITDAIVSVIAARHEMLESLQLGPNACERISSDAIKAIALCCPKLRRLRLSGVREVSKDAINALAMHCGNLLEVALMESENVDEMALGNLTTVQFLSLAGTRNLKWGIASQVLSKLPKLEGLDVSRTDISLSFIRRLISWSENLKVLFVLSCPVFEAEVDNDMVYIHKGKILLTVCNDIFKEVSSLFADASESNMLPYWRSLKIREQSLDKIVLWIEWVLSHSLLRVAENNQKELDIFWVKQGTNLLLHLLQSSEEDVQERAATALATFVVIDDENATIDCQRAEAVMQNGGIQLLLDLAKSCHEGLQSEAAKAIANLSVDSKVAKTVAEIGGINILASLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALVDLIFKWPFCNDGVLERAAGALANLAADDKCSMEVAMAGAVHALVMLVRHCNFEGVQEQAARALANLAAHGDSNNNNAAIGQEAGAIEALVRLTYSQHEGVRHEAAGALWNLSFDDKNREAIAAAGGIVALVSLAQSCSNSSQGLQERAAGALWGLSVSEANSVAIGQEGGVASLIALARSSDADVHETAAGALWNLAFNPGNALRIVEDGGVPALVHICTKSLSKMARFMAALALAYIFDGRMDEIAAVGPSSDGDIKSVTLNVVKRISLKHIEAFVCSFSDSKTSDTVIKLSAPTALTQVAEAACIPEAGLLRCRFLFVCSRNWKICCNVTESFFHTQGLFCFCSSPVYHARRTTCNSSHEPVAKCRSAKDLASCCCISISPF >Manes.14G038600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3351710:3359823:1 gene:Manes.14G038600.v8.1 transcript:Manes.14G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRRRLSHLGKNKEIVDSNSEISDGGCLHLNTIRDIDWTTLPDDTIVQLFSYLNYRDRASLSSTCQTFRLLGSSPCLWDSLDLRSHKFDIEAAESLSSRSRNLQKLRFRGADSACAIINLQARGLREISGDFCPDITDAIVSVIAARHEMLESLQLGPNACERISSDAIKAIALCCPKLRRLRLSGVREVSKDAINALAMHCGNLLEVALMESENVDEMALGNLTTVQFLSLAGTRNLKWGIASQVLSKLPKLEGLDVSRTDISLSFIRRLISWSENLKVLFVLSCPVFEAEVDNDMVYIHKGKILLTVCNDIFKEVSSLFADASESNMLPYWRSLKIREQSLDKIVLWIEWVLSHSLLRVAENNQKELDIFWVKQGTNLLLHLLQSSEEDVQERAATALATFVVIDDENATIDCQRAEAVMQNGGIQLLLDLAKSCHEGLQSEAAKAIANLSVDSKVAKTVAEIGGINILASLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKALVDLIFKWPFCNDGVLERAAGALANLAADDKCSMEVAMAGAVHALVMLVRHCNFEGVQEQAARALANLAAHGDSNNNNAAIGQEAGAIEALVRLTYSQHEGVRHEAAGALWNLSFDDKNREAIAAAGGIVALVSLAQSCSNSSQGLQERAAGALWGLSVSEANSVAIGQEGGVASLIALARSSDADVHETAAGALWNLAFNPGNALRIVEDGGVPALVHICTKSLSKMARFMAALALAYIFDGRMDEIAAVGPSSDGDIKSVTLNVVKRISLKHIEAFVCSFSDSKTSDTVIKLSAPTALTQVAEAACIPEAGLLRCSAAEIGRFVAMLRNHSSILKACSAFALLQFTMPGGRHATHHTNLLQNAGAPRILRAVAASASAPFEAKVFAKIVIWNLEHYHVDANSRNNG >Manes.16G100900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30638886:30643378:1 gene:Manes.16G100900.v8.1 transcript:Manes.16G100900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLRNASSLRRALLAPTVFKGGILGTSSWSCNFSSKGRKRSKSDGSDSSEANLSKKEVALQQALDQITCTYGKGSIMWFGRSIIPKDVPVVSTGSFALDIALGTGGLPKGRIVEIYGPEASGKTTLALHVIAEAQKQGAYCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVSNVAALVPKSELDGEMGDAHMAMQARLMSQALRKLTHSLSLSQTILIFINQVRSKLSTFGFGGPTEVTCGGNALKFYASLRLNVKRVGFIKKGEETIGSQVQVKIVKNKLSPPFKTVQFELEFGKGICRESELIDLGLKHKFLVRAGSFYNYNGQSFRGKEALRQFLAENDVAREKLTMKLRQELLDFGSGKEQGTEATGGEPVEGIISADATDEEAVIAVEA >Manes.16G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30638886:30643378:1 gene:Manes.16G100900.v8.1 transcript:Manes.16G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLRNASSLRRALLAPTVFKGGILGTSSWSCNFSSKGRKRSKSDGSDSSEANLSKKEVALQQALDQITCTYGKGSIMWFGRSIIPKDVPVVSTGSFALDIALGTGGLPKGRIVEIYGPEASGKTTLALHVIAEAQKQGAYCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLTHSLSLSQTILIFINQVRSKLSTFGFGGPTEVTCGGNALKFYASLRLNVKRVGFIKKGEETIGSQVQVKIVKNKLSPPFKTVQFELEFGKGICRESELIDLGLKHKFLVRAGSFYNYNGQSFRGKEALRQFLAENDVAREKLTMKLRQELLDFGSGKEQGTEATGGEPVEGIISADATDEEAVIAVEA >Manes.17G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26885117:26888946:-1 gene:Manes.17G068800.v8.1 transcript:Manes.17G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDHHAHDHHHHHHDQDHHHDTHNQTTSWVGPDGRVYHSHDGLAPHSHEPIYSPGYFSRRAPPIVTRDFNERAFTVGIGGPVGTGKTALMLAICQFLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSKLFKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLAVMERDALRMRDGGPFVFAQVKHGLGVEEIVNHILQAWEGATGKKQH >Manes.11G061800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8622556:8623194:-1 gene:Manes.11G061800.v8.1 transcript:Manes.11G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRNKSNRHALCTCRVPPPLMSSSPSSSSSFASSTASSFISSSSSSTSAASLARSISPTRVNMYSHHHRQNNLQSSSSLRFSIDRPISPNRSISVKRHTSNANPVSNQKRTCSCSPTTHPGSFRCAFHRALMRNNHHNSRTQSVSYHSSTGLNFRRSAMTNSLVRIGGVEGELVKRALSALIRPSSHHLRRRAAFETRPSRLSVMSKAGD >Manes.08G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34586050:34587192:-1 gene:Manes.08G106400.v8.1 transcript:Manes.08G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAALFLLTFGIVFISVIADPDLLQDVCVADLASGVKVNGFACKENITADDFFFDGLAKPGLTNNTLGSLVTGANVQKIPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEIVFVLEGQLDVGFITTANVLFSKTINKGEIFVFPRGLVHFQKNNGKVPAAVIAAFNSQLPGTQSIAATLFAATPTVPDHVLTKAFQVGTKEVEKIKSRLAPKK >Manes.10G081651.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:21119389:21120849:1 gene:Manes.10G081651.v8.1 transcript:Manes.10G081651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWADALRRHVEFNEGDLVMVKLLPHLLRNYGRVHKGLLQRYEVPFPIEKRIGKVAYRVKLLEHIEAHPVFHVSMVKPFHKDEGEVSRGVSQRAPASVGKSYANQMKEIVSHRVVPRRGNHPSYKEYMVHWNGLSDVEATWEHELNLWKNEDEMLAY >Manes.07G029700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3220913:3235556:-1 gene:Manes.07G029700.v8.1 transcript:Manes.07G029700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCHPSWTRIDRKWSMKKKILLLYLTIVGGSISCAVGVEYQRYPWEEGRKEKIACQLVRKAHPRRSSYLACEDVKRNHMTISSTKHENLLQTKMPTNPLPSVSPPDKIV >Manes.04G001500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:494914:502704:-1 gene:Manes.04G001500.v8.1 transcript:Manes.04G001500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSGSSSSNQSRTGSSSAARQDTQVTATAPVVSAPEIVEEAQELLVVDELLECCGSSDNKASKSDELLVEGLHGGRNENSSDEIGDNEKLVNAECVGSGDMVKGLGGLRILERTSAESEGACLDSPGIGGGSPQPPPPPVPPPKPLATNSNSNSRRFVSGSSNSMRIGSSRRAVAWPVVSTRTSPSGSRPSSPRSHGDSEGYNSADEQNPCFVSSYDDLERERQFEIDIRRSKGLEVKRMLEDGNCLFRAVADQVYGDSEVYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNAEIQALSEMYNRPIHIYSYGTEPINIFHRGYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSCLRGTNVDRDQVKAAIKAQQDQQIDNALIAEGRFYSDLELTEKEIEHMVMEASRAEYLASDKSKQQLGHKESSTSGAEPSSSGARSSGSETKVREHNLQDTVLSSSSMQMVLSMGFSYLQVMEAYSIFGDDVDSMVCYLLETGSSRRKGKAAE >Manes.04G001500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:494914:502009:-1 gene:Manes.04G001500.v8.1 transcript:Manes.04G001500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSGSSSSNQSRTGSSSAARQDTQVTATAPVVSAPEIVEEAQELLVVDELLECCGSSDNKASKSDELLVEGLHGGRNENSSDEIGDNEKLVNAECVGSGDMVKGLGGLRILERTSAESEGACLDSPGIGGGSPQPPPPPVPPPKPLATNSNSNSRRFVSGSSNSMRIGSSRRAVAWPVVSTRTSPSGSRPSSPRSHGDSEGYNSADEQNPCFVSSYDDLERERQFEIDIRRSKGLEVKRMLEDGNCLFRAVADQVYGDSEVYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNAEIQALSEMYNRPIHIYSYGTEPINIFHRGYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSCLRGTNVDRDQVKAAIKAQQDQQIDNALIAEGRFYSDLELTEKEIEHMVMEASRAEYLASDKSKQQLGHKESSTSGAEPSSSGARSSGSETKVREHNLQDTVLSSSSMQMVLSMGFSYLQVMEAYSIFGDDVDSMVCYLLETGSSRRKGKAAE >Manes.04G001500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:494914:502704:-1 gene:Manes.04G001500.v8.1 transcript:Manes.04G001500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSGSSSSNQSRTGSSSAARQDTQVTATAPVVSAPEIVEEAQELLVVDELLECCGSSDNKASKSDELLVEGLHGGRNENSSDEIGDNEKLVNAECVGSGDMVKGLGGLRILERTSAESEGACLDSPGIGGGSPQPPPPPVPPPKPLATNSNSNSRRFVSGSSNSMRIGSSRRAVAWPVVSTRTSPSGSRPSSPRSHGDSEGYNSADEQNPCFVSSYDDLERERQFEIDIRRSKGLEVKRMLEDGNCLFRAVADQVYGDSEVYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNAEIQALSEMYNRPIHIYSYGTEPINIFHRGYNTDTPPIRLSYHHGNHYNSLVDPRRLTIGAGLGFSCLRGTNVDRDQVKAAIKAQQDQQIDNALIAEGRFYSDLELTEKEIEHMVMEASRAEYLASDKSKQQLGHKESSTSGAEPSSSGARSSGSETKVREHNLQDTVLSSSSMQMVLSMGFSYLQVMEAYSIFGDDVDSMVCYLLETGSSRRKGKAAE >Manes.04G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2490358:2495258:-1 gene:Manes.04G020200.v8.1 transcript:Manes.04G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKAAVNFNGEIFESPHYCSTLRQAEHSAAEVALNSLSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHQPVFTGTVELAGITFTGEPAKNKKQAEKNAAMAAWSSLKQLAKEDASSSSEPENIDEIEQITIARALLNYRLKEKIAMINSGNSPIPFSKKFQIQNPRPTSPLPAHATSSKILPLICHKTTPRNRPTSTAAIDRPVPPRPTSATTNDRTVSPRQSPVPELWATHHQKFPAAGAAPYVPIRQFGPHCHGMAQPVRIRSVVPVFAAPQRQSPSFSPQVMQGLPQQPPPVTIRQTSLAYAAPPPIRKEDPMNVQKVHPAVWKEESLAVEKDNLAARKDHLAVEKDHACVLEEGSQGVQKEDPLYIRKEDHPTAIAAAGPNKSPDQVEETWSLAIDTKLKEYETIQRVEQLKI >Manes.04G020200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2490358:2495258:-1 gene:Manes.04G020200.v8.1 transcript:Manes.04G020200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVHYSWLVSFVIFLMLGFCCQDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHQPVFTGTVELAGITFTGEPAKNKKQAEKNAAMAAWSSLKQLAKEDASSSSEPENIDEIEQITIARALLNYRLKEKIAMINSGNSPIPFSKKFQIQNPRPTSPLPAHATSSKILPLICHKTTPRNRPTSTAAIDRPVPPRPTSATTNDRTVSPRQSPVPELWATHHQKFPAAGAAPYVPIRQFGPHCHGMAQPVRIRSVVPVFAAPQRQSPSFSPQVMQGLPQQPPPVTIRQTSLAYAAPPPIRKEDPMNVQKVHPAVWKEESLAVEKDNLAARKDHLAVEKDHACVLEEGSQGVQKEDPLYIRKEDHPTAIAAAGPNKSPDQVEETWSLAIDTKLKEYETIQRVEQLKI >Manes.05G070650.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5815466:5820575:-1 gene:Manes.05G070650.v8.1 transcript:Manes.05G070650.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKISNAAEAELLSRHSSPIFSNSLTPFTFTLTTSPVYRLGRRQTHALLPSNFFPYVIPFSTASSVPYMVSHFRDEFVQHGDDIDINAIEDLEEKSDSLTSRNHSADRVYGSSEFNMGLKFTGTHSSKTGLGTET >Manes.05G070650.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5818479:5820575:-1 gene:Manes.05G070650.v8.1 transcript:Manes.05G070650.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKISNAAEAELLSRHSSPIFSNSLTPFTFTLTTSPVYRLGRRQTHALLPSNFFPYVIPFSTASSVPYMVSHFRDEFVQHGDDIDINAIEDLEEKSDSLTSRNHSADRVYGSSEFNMGLKFTGTHRYALCLFYVSYT >Manes.05G070650.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5815468:5820575:-1 gene:Manes.05G070650.v8.1 transcript:Manes.05G070650.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKISNAAEAELLSRHSSPIFSNSLTPFTFTLTTSPVYRLGRRQTHALLPSNFFPYVIPFSTASSVPYMVSHFRDEFVQHGDDIDINAIEDLEEKSDSLTSRFLVEEN >Manes.05G070650.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5815473:5820575:-1 gene:Manes.05G070650.v8.1 transcript:Manes.05G070650.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKISNAAEAELLSRHSSPIFSNSLTPFTFTLTTSPVYRLGRRQTHALLPSNFFPYVIPFSTASSVPYMVSHFRDEFVQHGDDIDINAIEDLEEKSDSLTSRFLVEEN >Manes.05G070650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5815466:5820575:-1 gene:Manes.05G070650.v8.1 transcript:Manes.05G070650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKISNAAEAELLSRHSSPIFSNSLTPFTFTLTTSPVYRLGRRQTHALLPSNFFPYVIPFSTASSVPYMVSHFRDEFVQHGDDIDINAIEDLEEKSDSLTSRNHSADRVYGSSEFNMGLKFTGTHR >Manes.05G070650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5815473:5820575:-1 gene:Manes.05G070650.v8.1 transcript:Manes.05G070650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKISNAAEAELLSRHSSPIFSNSLTPFTFTLTTSPVYRLGRRQTHALLPSNFFPYVIPFSTASSVPYMVSHFRDEFVQHGDDIDINAIEDLEEKSDSLTNKIKDIFLILMGEELI >Manes.05G070650.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5815473:5820575:-1 gene:Manes.05G070650.v8.1 transcript:Manes.05G070650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKISNAAEAELLSRHSSPIFSNSLTPFTFTLTTSPVYRLGRRQTHALLPSNFFPYVIPFSTASSVPYMVSHFRDEFVQHGDDIDINAIEDLEEKSDSLTSRYADGSAFIELLKRLPS >Manes.05G070650.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5815466:5820575:-1 gene:Manes.05G070650.v8.1 transcript:Manes.05G070650.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKISNAAEAELLSRHSSPIFSNSLTPFTFTLTTSPVYRLGRRQTHALLPSNFFPYVIPFSTASSVPYMVSHFRDEFVQHGDDIDINAIEDLEEKSDSLTSSSKTGLGTET >Manes.02G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8445628:8450809:1 gene:Manes.02G108700.v8.1 transcript:Manes.02G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKKITLKSSDGELFEVDEAVALESHIIKHAIEDVGADDSIPLPNVTSKILAKVIEYCKKHVETPKPDANHELNTWDAEFVKVDQATLFDLILAANYLNIKGLVDLTCQTVADMIKDDLTPEEIRKTFNMMNDLTPEEVEEVCRAHPWAFE >Manes.16G007600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:755163:755813:-1 gene:Manes.16G007600.v8.1 transcript:Manes.16G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRLTATRSERLLGSFAYSNGQDATVNDSSELGEEDVWSTVDDMANPNHDQISNNSQGDWISRTDVESNGNISFRSRRRIHRDDHHVGGLSLAFENSSGKTVSSRVVHQFRGHDSVAAAAASPRHMATSAPVNVPDWSRILRVESVESLHDLDDGYYDRDVEMIPPHEYLAREYGRSRRMGDASVFEGVGRTLKGRDMRRVRDAVWSQTGFDG >Manes.02G067500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5134406:5174701:1 gene:Manes.02G067500.v8.1 transcript:Manes.02G067500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMEMLSIDASVDAHALACILYILRVGVTDQMTEPTQRAFLVFLGKQLESADASPSMKIAALRTLSYTLKTLGEVPSEFKEVIDDTVVAAVSHSSQLVRIEAALTLRVLAEVDPICVGGLISYGVTTLSALRENVSFEKGSNLKVELDSLDGQATVVAALVSISPKLPLGYPARLPRSALEVSKKMLTKPSRNPVAATVEKEAGWLLLSSLLSSMPKEELEDQVFDILSLWAPLFGGNPEQEIKQIGDLTPSICVWSSAVDALTAFIKCFISPGALNNGILLQPVMVYLSSALSYILWLQSKEPTNIKPAFDIFIIRTLIAYQSIPDPMLYKSDHPKIIELCTVPYRDASRCEESSCLRLLLDKRDAWLGPWTPGRDWFEDELRAFQGGKDGIMPCVWENEPSSFPQPETINKTLVNQMLLCFGIMFASQDSGVMLQLLGMIEQCIKAGKRQAWHAASVTNICVGLLAGLKALIPLRPQPLGFEILNPAQAIFQSILAEGDVCASQVRASGEGLGLLARLGNDTFTARMTRLLLGDLTGVTDSNCAGSIAFALGCIHRSAGGMALSSLVPTTVSSISSLVKSTTTGLQIWSLHGLLLTIEAAGFSYISHVQATLGLAIDILLSEENVLVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVIAEISSWQETATLLESVRFTQQLVLFAPQAISVHSHVQTLLRTLSSRQPTLRHLAVSTLRHLIEKDSVSVIDEQIEDNLFRMLDEETDSEIGNLVRATIMRMLFASCHSRPFHWILICRKMVLATSTRQLAEVNSDTGDYADSDSQLNFGEDDENMVSGSKGNPVQGYAYEASKSHVSPSRDKHLRYRTRVFAAECLSHLPRAVGKNPTHFDLSLARKRPTGVKSEDWLVLHVQELISLAYQISTIQFENMRPIGVGLLTTIVDKFETTPDPDLPGHLLLEQYQAQLVSAVRTSLDTSSGPILLESGLQLATKIMTTGILGGDQVAVKRIFSLISRPLNEFNDIYYPSFAEWVSCKIKIRLLAAHASLKCYTFAFLRRHHSGVPDEYLALLPLFSKSSSILGKYWIGVLKDYSYIFLYLNLNKNWKPLLDGIQSPLVSSKLVPTLDEAWPVILQALALDAVPSNANGGFRKSIENTSRNSLISNYSMVELELEEYRFLWSFALLVLFQQQHPAINRQIIHLNTANIQYGGDSTFEETNPTTLKLYEIVLPVFQFLSSERFFTAEFLSADICQELLQVFSFSIYMDNCWNSLAISVLSQIVQNCPDEFLEEESFCYLAVELLLAYIFNVLQSTDVSGHPNWEDLASPLFITAKTIVRRLEPKKKLKSGVLAFLLVGYKCIREASSELCFSAVVDFVRCTNSLLKEFVDDYECDDDGNSLVRAVLGTCLNLIADLTKDCIKGIHLVENKRSDLRKLLQLKLSFSLEQTMSLAKLACEFKCPGETKSRSPFSFTVLKYCNKYVQTVLSDLNLQVQAIGLQVLKTTLQRCTDKEDITFLIFFCGELVTDILTILQTKLKKPASKESVAIAGECLRFLALLQTVSKANECQRGFMALLLNAIVMVFLASEDNSSQELIDLRSTAVRLVSHLAQVSLSAVHFKDVLLSMPATHRQQLQGVIRASVAQDHSVMPRKPTAPLEIRLPVPKDSRSLAVSSFPAEESKEKNSSLSAASSNSDKDSVDDAQDDEDDWDAFQSFPASTDATGNDAKVGSVAEESGLVETSVSEMKSGINDLQELSTSLYINRDDNDVMEHQEAGEKELLSGRLVDKSKMEGHRDVSASPSDQPDQEEEMVPNQDTEGEAESSQGKGSPGDDNVQVSSGDLRPDEGRGSIEVSVAKSHDENDIPATSNNLSPEMSLESESK >Manes.02G067500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5134406:5174701:1 gene:Manes.02G067500.v8.1 transcript:Manes.02G067500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMEMLSIDASVDAHALACILYILRVGVTDQMTEPTQRAFLVFLGKQLESADASPSMKIAALRTLSYTLKTLGEVPSEFKEVIDDTVVAAVSHSSQLVRIEAALTLRVLAEVDPICVGGLISYGVTTLSALRENVSFEKGSNLKVELDSLDGQATVVAALVSISPKLPLGYPARLPRSALEVSKKMLTKPSRNPVAATVEKEAGWLLLSSLLSSMPKEELEDQVFDILSLWAPLFGGNPEQEIKQIGDLTPSICVWSSAVDALTAFIKCFISPGALNNGILLQPVMVYLSSALSYILWLQSKEPTNIKPAFDIFIIRTLIAYQSIPDPMLYKSDHPKIIELCTVPYRDASRCEESSCLRLLLDKRDAWLGPWTPGRDWFEDELRAFQGGKDGIMPCVWENEPSSFPQPETINKTLVNQMLLCFGIMFASQDSGVMLQLLGMIEQCIKAGKRQAWHAASVTNICVGLLAGLKALIPLRPQPLGFEILNPAQAIFQSILAEGDVCASQVRASGEGLGLLARLGNDTFTARMTRLLLGDLTGVTDSNCAGSIAFALGCIHRSAGGMALSSLVPTTVSSISSLVKSTTTGLQIWSLHGLLLTIEAAGFSYISHVQATLGLAIDILLSEENVLVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVIAEISSWQETATLLESVRFTQQLVLFAPQAISVHSHVQTLLRTLSSRQPTLRHLAVSTLRHLIEKDSVSVIDEQIEDNLFRMLDEETDSEIGNLVRATIMRMLFASCHSRPFHWILICRKMVLATSTRQLAEVNSDTGDYADSDSQLNFGEDDENMVSGSKGNPVQGYAYEASKSHVSPSRDKHLRYRTRVFAAECLSHLPRAVGKNPTHFDLSLARKRPTGVKSEDWLVLHVQELISLAYQISTIQFENMRPIGVGLLTTIVDKFETTPDPDLPGHLLLEQYQAQLVSAVRTSLDTSSGPILLESGLQLATKIMTTGILGGDQVAVKRIFSLISRPLNEFNDIYYPSFAEWVSCKIKIRLLAAHASLKCYTFAFLRRHHSGVPDEYLALLPLFSKSSSILGKYWIGVLKDYSYIFLYLNLNKNWKPLLDGIQSPLVSSKLVPTLDEAWPVILQALALDAVPSNANGGFRKSIENTSRNSLISNYSMVELELEEYRFLWSFALLVLFQQQHPAINRQIIHLNTANIQYGGDSTFEETNPTTLKLYEIVLPVFQFLSSERFFTAEFLSADICQELLQVFSFSIYMDNCWNSLAISVLSQIVQNCPDEFLEEESFCYLAVELLLAYIFNVLQSTDVSGHPNWEDLASPLFITAKTIVRRLEPKMQKKLKSGVLAFLLVGYKCIREASSELCFSAVVDFVRCTNSLLKEFVDDYECDDDGNSLVRAVLGTCLNLIADLTKDCIKGIHLVENKRSDLRKLLQLKLSFSLEQTMSLAKLACEFKCPGETKSRSPFSFTVLKYCNKYVQTVLSDLNLQVQAIGLQVLKTTLQRCTDKEDITFLIFFCGELVTDILTILQTKLKKPASKESVAIAGECLRFLALLQTVSKANECQRGFMALLLNAIVMVFLASEDNSSQELIDLRSTAVRLVSHLAQVSLSAVHFKDVLLSMPATHRQQLQGVIRASVAQDHSVMPRKPTAPLEIRLPVPKDSRSLAVSSFPAEESKEKNSSLSAASSNSDKDSVDDAQDDEDDWDAFQSFPASTDATGNDAKVGSVAEESGLVETSVSEMKSGINDLQELSTSLYINRDDNDVMEHQEAGEKELLSGRLVDKSKMEGHRDVSASPSDQPDQEEEMVPNQDTEGEAESSQGKGSPGDDNVQVSSGDLRPDEGRGSIEVSVAKSHDENDIPATSNNLSPEMSLESESK >Manes.02G067500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5129812:5174701:1 gene:Manes.02G067500.v8.1 transcript:Manes.02G067500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYVRDNVPLSRFGVLVAQLESIVASAPQQSPDPLLCFDLLSDLISAIEEEPKESILLWQRKCEDALYSLLVLGARRPVRHLASVAMARIIAKGDAISIYSRASSLQGFLSDGKRSEPQKVAGAAQCLGELYQHFGKRITSGLLETTIIATKLMKFHEDFVRQEALLMLQKALEGCGGGGASSAYTEAFRLITRFAVGDKSFVVRIAAAHCLKAFASIGGPGLGVVELENSVSYCVKGLEDPVSSVRDAFAEALGLLLALGMNPEAQVQPRGKGPFPPAKKLEDCLQRHLSLPFTKASGIRSKDIRMGITLSWVSFLQAIRHKYLHPDSELQNYVMQIMEMLSIDASVDAHALACILYILRVGVTDQMTEPTQRAFLVFLGKQLESADASPSMKIAALRTLSYTLKTLGEVPSEFKEVIDDTVVAAVSHSSQLVRIEAALTLRVLAEVDPICVGGLISYGVTTLSALRENVSFEKGSNLKVELDSLDGQATVVAALVSISPKLPLGYPARLPRSALEVSKKMLTKPSRNPVAATVEKEAGWLLLSSLLSSMPKEELEDQVFDILSLWAPLFGGNPEQEIKQIGDLTPSICVWSSAVDALTAFIKCFISPGALNNGILLQPVMVYLSSALSYILWLQSKEPTNIKPAFDIFIIRTLIAYQSIPDPMLYKSDHPKIIELCTVPYRDASRCEESSCLRLLLDKRDAWLGPWTPGRDWFEDELRAFQGGKDGIMPCVWENEPSSFPQPETINKTLVNQMLLCFGIMFASQDSGVMLQLLGMIEQCIKAGKRQAWHAASVTNICVGLLAGLKALIPLRPQPLGFEILNPAQAIFQSILAEGDVCASQVRASGEGLGLLARLGNDTFTARMTRLLLGDLTGVTDSNCAGSIAFALGCIHRSAGGMALSSLVPTTVSSISSLVKSTTTGLQIWSLHGLLLTIEAAGFSYISHVQATLGLAIDILLSEENVLVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVIAEISSWQETATLLESVRFTQQLVLFAPQAISVHSHVQTLLRTLSSRQPTLRHLAVSTLRHLIEKDSVSVIDEQIEDNLFRMLDEETDSEIGNLVRATIMRMLFASCHSRPFHWILICRKMVLATSTRQLAEVNSDTGDYADSDSQLNFGEDDENMVSGSKGNPVQGYAYEASKSHVSPSRDKHLRYRTRVFAAECLSHLPRAVGKNPTHFDLSLARKRPTGVKSEDWLVLHVQELISLAYQISTIQFENMRPIGVGLLTTIVDKFETTPDPDLPGHLLLEQYQAQLVSAVRTSLDTSSGPILLESGLQLATKIMTTGILGGDQVAVKRIFSLISRPLNEFNDIYYPSFAEWVSCKIKIRLLAAHASLKCYTFAFLRRHHSGVPDEYLALLPLFSKSSSILGKYWIGVLKDYSYIFLYLNLNKNWKPLLDGIQSPLVSSKLVPTLDEAWPVILQALALDAVPSNANGGFRKSIENTSRNSLISNYSMVELELEEYRFLWSFALLVLFQQQHPAINRQIIHLNTANIQYGGDSTFEETNPTTLKLYEIVLPVFQFLSSERFFTAEFLSADICQELLQVFSFSIYMDNCWNSLAISVLSQIVQNCPDEFLEEESFCYLAVELLLAYIFNVLQSTDVSGHPNWEDLASPLFITAKTIVRRLEPKMQKKLKSGVLAFLLVGYKCIREASSELCFSAVVDFVRCTNSLLKEFVDDYECDDDGNSLVRAVLGTCLNLIADLTKDCIKGIHLVENKRSDLRKLLQLKLSFSLEQTMSLAKLACEFKCPGETKSRSPFSFTVLKYCNKYVQTVLSDLNLQVQAIGLQVLKTTLQRCTDKEDITFLIFFCGELVTDILTILQTKLKKPASKESVAIAGECLRFLALLQTVSKANECQRGFMALLLNAIVMVFLASEDNSSQELIDLRSTAVRLVSHLAQVSLSAVHFKDVLLSMPATHRQQLQGVIRASVAQDHSVMPRKPTAPLEIRLPVPKDSRSLAVSSFPAEESKEKNSSLSAASSNSDKDSVDDAQDDEDDWDAFQSFPASTDATGNDAKVGSVAEESGLVETSVSEMKSGINDLQELSTSLYINRDDNDVMEHQEAGEKELLSGRLVDKSKMEGHRDVSASPSDQPDQEEEMVPNQDTEGEAESSQGKGSPGDDNVQVSSGDLRPDEGRGSIEVSVAKSHDENDIPATSNNLSPEMSLESESK >Manes.02G067500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5129812:5174701:1 gene:Manes.02G067500.v8.1 transcript:Manes.02G067500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYVRDNVPLSRFGVLVAQLESIVASAPQQSPDPLLCFDLLSDLISAIEEEPKESILLWQRKCEDALYSLLVLGARRPVRHLASVAMARIIAKGDAISIYSRASSLQGFLSDGKRSEPQKVAGAAQCLGELYQHFGKRITSGLLETTIIATKLMKFHEDFVRQEALLMLQKALEGCGGGGASSAYTEAFRLITRFAVGDKSFVVRIAAAHCLKAFASIGGPGLGVVELENSVSYCVKGLEDPVSSVRDAFAEALGLLLALGMNPEAQVQPRGKGPFPPAKKLEDCLQRHLSLPFTKASGIRSKDIRMGITLSWVSFLQAIRHKYLHPDSELQNYVMQIMEMLSIDASVDAHALACILYILRVGVTDQMTEPTQRAFLVFLGKQLESADASPSMKIAALRTLSYTLKTLGEVPSEFKEVIDDTVVAAVSHSSQLVRIEAALTLRVLAEVDPICVGGLISYGVTTLSALRENVSFEKGSNLKVELDSLDGQATVVAALVSISPKLPLGYPARLPRSALEVSKKMLTKPSRNPVAATVEKEAGWLLLSSLLSSMPKEELEDQVFDILSLWAPLFGGNPEQEIKQIGDLTPSICVWSSAVDALTAFIKCFISPGALNNGILLQPVMVYLSSALSYILWLQSKEPTNIKPAFDIFIIRTLIAYQSIPDPMLYKSDHPKIIELCTVPYRDASRCEESSCLRLLLDKRDAWLGPWTPGRDWFEDELRAFQGGKDGIMPCVWENEPSSFPQPETINKTLVNQMLLCFGIMFASQDSGVMLQLLGMIEQCIKAGKRQAWHAASVTNICVGLLAGLKALIPLRPQPLGFEILNPAQAIFQSILAEGDVCASQVRASGEGLGLLARLGNDTFTARMTRLLLGDLTGVTDSNCAGSIAFALGCIHRSAGGMALSSLVPTTVSSISSLVKSTTTGLQIWSLHGLLLTIEAAGFSYISHVQATLGLAIDILLSEENVLVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVIAEISSWQETATLLESVRFTQQLVLFAPQAISVHSHVQTLLRTLSSRQPTLRHLAVSTLRHLIEKDSVSVIDEQIEDNLFRMLDEETDSEIGNLVRATIMRMLFASCHSRPFHWILICRKMVLATSTRQLAEVNSDTGDYADSDSQLNFGEDDENMVSGSKGNPVQGYAYEASKSHVSPSRDKHLRYRTRVFAAECLSHLPRAVGKNPTHFDLSLARKRPTGVKSEDWLVLHVQELISLAYQISTIQFENMRPIGVGLLTTIVDKFETTPDPDLPGHLLLEQYQAQLVSAVRTSLDTSSGPILLESGLQLATKIMTTGILGGDQVAVKRIFSLISRPLNEFNDIYYPSFAEWVSCKIKIRLLAAHASLKCYTFAFLRRHHSGVPDEYLALLPLFSKSSSILGKYWIGVLKDYSYIFLYLNLNKNWKPLLDGIQSPLVSSKLVPTLDEAWPVILQALALDAVPSNANGGFRKSIENTSRNSLISNYSMVELELEEYRFLWSFALLVLFQQQHPAINRQIIHLNTANIQYGGDSTFEETNPTTLKLYEIVLPVFQFLSSERFFTAEFLSADICQELLQVFSFSIYMDNCWNSLAISVLSQIVQNCPDEFLEEESFCYLAVELLLAYIFNVLQSTDVSGHPNWEDLASPLFITAKTIVRRLEPKKKLKSGVLAFLLVGYKCIREASSELCFSAVVDFVRCTNSLLKEFVDDYECDDDGNSLVRAVLGTCLNLIADLTKDCIKGIHLVENKRSDLRKLLQLKLSFSLEQTMSLAKLACEFKCPGETKSRSPFSFTVLKYCNKYVQTVLSDLNLQVQAIGLQVLKTTLQRCTDKEDITFLIFFCGELVTDILTILQTKLKKPASKESVAIAGECLRFLALLQTVSKANECQRGFMALLLNAIVMVFLASEDNSSQELIDLRSTAVRLVSHLAQVSLSAVHFKDVLLSMPATHRQQLQGVIRASVAQDHSVMPRKPTAPLEIRLPVPKDSRSLAVSSFPAEESKEKNSSLSAASSNSDKDSVDDAQDDEDDWDAFQSFPASTDATGNDAKVGSVAEESGLVETSVSEMKSGINDLQELSTSLYINRDDNDVMEHQEAGEKELLSGRLVDKSKMEGHRDVSASPSDQPDQEEEMVPNQDTEGEAESSQGKGSPGDDNVQVSSGDLRPDEGRGSIEVSVAKSHDENDIPATSNNLSPEMSLESESK >Manes.02G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11657347:11661784:-1 gene:Manes.02G152200.v8.1 transcript:Manes.02G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLCCFSGSYSQLVGGRSSSSSGKGKSHEGLVKYGFSLIKGKANHPMEDYHVAKFVQIQGHELGLFAIYDGHLGDSVPSYLQKHLFSNILKEEEFWVDTNRSISKAYERTDQAILSQGSDLGRGGSTAVTAILLNGQKLWVANVGDSRAVLSRGGQAIQMSTDHEPNTERGSIEHRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQETIIDNNIDILILASDGLWKVLTNQEAVDIAREIKDPLKAAKQLTAEALKRESKDDISCVVVRFRG >Manes.02G152200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11657347:11661784:-1 gene:Manes.02G152200.v8.1 transcript:Manes.02G152200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAKFVQIQGHELGLFAIYDGHLGDSVPSYLQKHLFSNILKEEEFWVDTNRSISKAYERTDQAILSQGSDLGRGGSTAVTAILLNGQKLWVANVGDSRAVLSRGGQAIQMSTDHEPNTERGSIEHRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQETIIDNNIDILILASDGLWKVLTNQEAVDIAREIKDPLKAAKQLTAEALKRESKDDISCVVVRFRG >Manes.02G152200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11657347:11661784:-1 gene:Manes.02G152200.v8.1 transcript:Manes.02G152200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHVAKFVQIQGHELGLFAIYDGHLGDSVPSYLQKHLFSNILKEEEFWVDTNRSISKAYERTDQAILSQGSDLGRGGSTAVTAILLNGQKLWVANVGDSRAVLSRGGQAIQMSTDHEPNTERGSIEHRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQETIIDNNIDILILASDGLWKVLTNQEAVDIAREIKDPLKAAKQLTAEALKRESKDDISCVVVRFRG >Manes.08G027400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2624609:2627853:-1 gene:Manes.08G027400.v8.1 transcript:Manes.08G027400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Manes.S095207.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251167.1:582:5298:-1 gene:Manes.S095207.v8.1 transcript:Manes.S095207.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEKITWREFCGRVLIIQPTHFFQLDQDAEQYVIWKRSIPYWRSGVSAHNDSVPYITSSLYIDTRMVMSFSGQIQYLKWDSQKIWTLFWAVPRTRCSLYNACGNFGSCNSNNELVCKCLPGFQPISPEYWNSGDYSGGCTRKSPLCSGNAASDSFLNLKMMKVGNPDSQFKANSELDCKTECLNNCQCQAFSYEEAEITQQRESGSANCWIWLEDLTDLQEEYDGGRNLNVRISVSDIDLTSRNCGTCGANIIPYPLSTGTNCGDPTYFNFYCNISSGQLNFQAPGGTYRVTKINPQMRTFAIQTNDADSCRAINSDTKFLKLNQSSPFHVIRWCFADLGNYTSVASFKGGGELEIGWEPPPEPTCSSPTDCRDWPNSICKASGDGKKKCLCDMSSRWDGLKLNCTQEDHRKKTNKTSIGKIPSSLVVAVAFASVIGLIVVSSTIIFMYLQRRRLAMVPGNRGSLQRHLGLHLYSSERLVKDIIDSGRFNEDETKAMDVPFFDLESILAATNKFSNSNKLGQGGFGPVYKATFPGGEEIAVKRLSSSSGQGLEEFKNEIILIAKLQHRNLVRLLGYCIEGDEKMLLYEYMPNKSLDSFIFDRKLRVLLDWGMRYSIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFGGKETAANTSRIVGTYGYIAPEYALDGLFSFKSDVFSFGVVVLEIISGKRNTAFYQPEQSLSLLGYAWNLWKEKRLGPFRPNPEETCNANELSSA >Manes.S095207.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251167.1:582:5298:-1 gene:Manes.S095207.v8.1 transcript:Manes.S095207.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAFFPCAFLFFSLLLQCFAGDTITKNCSISDSRGETLVSSGEKFELGFFTPNGSSGRRYIGIWYYMSNPLTVVWVANRDNPLLDNEGVFSIAEDGNLKILDGRGRSYWSTNLKIDSSVDRKTKLMDTGNLVFQDDDGENHLERILWQSFDYPTDTFLPGMKMDEDIALVSWKSYDDPASGNFTFQLDQDAEQYVIWKRSIPYWRSGVSGKVGSSSDMPSSISYFLSNFTSSVAHNDSVPYITSSLYIDTRMVMSFSGQIQYLKWDSQKIWTLFWAVPRTRCSLYNACGNFGSCNSNNELVCKCLPGFQPISPEYWNSGDYSGGCTRKSPLCSGNAASDSFLNLKMMKVGNPDSQFKANSELDCKTECLNNCQCQAFSYEEAEITQQRESGSANCWIWLEDLTDLQEEYDGGRNLNVRISVSDIDLTSRNCGTCGANIIPYPLSTGTNCGDPTYFNFYCNISSGQLNFQAPGGTYRVTKINPQMRTFAIQTNDADSCRAINSDTKFLKLNQSSPFHVIRWCFADLGNYTSVASFKGGGELEIGWEPPPEPTCSSPTDCRDWPNSICKASGDGKKKCLCDMSSRWDGLKLNCTQEDHRKKTNKTSIGKIPSSLVVAVAFASVIGLIVVSSTIIFMYLQRRRLAMVPGNRGSLQRHLGLHLYSSERLVKDIIDSGRFNEDETKAMDVPFFDLESILAATNKFSNSNKLGQGGFGPVYKATFPGGEEIAVKRLSSSSGQGLEEFKNEIILIAKLQHRNLVRLLGYCIEGDEKMLLYEYMPNKSLDSFIFDRKLRVLLDWGMRYSIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFGGKETAANTSRIVGTYGYIAPEYALDGLFSFKSDVFSFGVVVLEIISGKRNTAFYQPEQSLSLLGYAWNLWKEKRLGPFRPNPEETCNANELSSA >Manes.S095207.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251167.1:582:5298:-1 gene:Manes.S095207.v8.1 transcript:Manes.S095207.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEKITWREFCGRVLIIQPTHFFQLDQDAEQYVIWKRSIPYWRSGVSAHNDSVPYITSSLYIDTRMVMSFSGQIQYLKWDSQKIWTLFWAVPRTRCSLYNACGNFGSCNSNNELVCKCLPGFQPISPEYWNSGDYSGGCTRKSPLCSGNAASDSFLNLKMMKVGNPDSQFKANSELDCKTECLNNCQCQAFSYEEAEITQQRESGSANCWIWLEDLTDLQEEYDGGRNLNVRISVSDIDLTSRNCGTCGANIIPYPLSTGTNCGDPTYFNFYCNISSGQLNFQAPGGTYRVTKINPQMRTFAIQTNDADSCRAINSDTKFLKLNQSSPFHVIRWCFADLGNYTSVASFKGGGELEIGWEPPPEPTCSSPTDCRDWPNSICKASGDGKKKCLCDMSSRWDGLKLNCTQEDHRKKTNKTSIGKIPSSLVVAVAFASVIGLIVVSSTIIFMYLQRRRLAMVPGNRGSLQRHLGLHLYSSERLVKDIIDSGRFNEDETKAMDVPFFDLESILAATNKFSNSNKLGQGGFGPVYKATFPGGEEIAVKRLSSSSGQGLEEFKNEIILIAKLQHRNLVRLLGYCIEGDEKMLLYEYMPNKSLDSFIFDRKLRVLLDWGMRYSIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNPKISDFGLARIFGGKETAANTSRIVGTYGYIAPEYALDGLFSFKSDVFSFGVVVLEIISGKRNTAFYQPEQSLSLLGYAWNLWKEKRLGPFRPNPEETCNANELSSA >Manes.07G092000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28831333:28838430:1 gene:Manes.07G092000.v8.1 transcript:Manes.07G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWFRGLWKIPRKHDSGTEKAVIGVLAFEVASLMSKLIHLWHSLSDKQVSRLREEISNSEGIKKLVSEDDDFIVGLICAELFESIVHVAKSVARLGKKCSDPTLKSFEHVFDELIKLGTDPFGWEFSHKKMDKKVKKMEHFISINATLYQEMEMLSDLEQTVRRMKVNDSEPENLLNFQKKLVWKQHEVKNLREISLWNRTYDYTMRLLVRSLFTIVSRMNKVFGFNSMVPSEHSKIMNSDFLNHSQSVSSLLQSSVHPSESSTIPRFSSGPLGGFIAKSGPISKSNRTNHFYSGPLGDPIAKSGPISGKHRNMDFFSGPLGKPATKAGPISRISKIRKMWQTQQSPAFQEKKAQPKANRSTQIGPFKGCMVATNLPDTNCYLSKAVDHSGIAIEAKENNTDHLSLGSIAHTTSILSSRLKLLDAVPETLGAAALALHYANVISVIEKLAASPHLIGHDARDDLYKMLPANVRNALRARLKPYSKSLASSACDTTLAGEWTEAITSILEWLAPLAHNMIRWQSDRSFEQQNFVSRTNVLLVQTLYFANQEKIEAIITELLVGLNYVWRLGRELNTKALQECTSGRVFDEYLELEM >Manes.05G162800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27546700:27547491:-1 gene:Manes.05G162800.v8.1 transcript:Manes.05G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETSTQKPVITPAPIPKNLARPTTTKDRDFLNHLEVYLAKRDGVDKLLKISRYASKIILASSALPESLILTQRLKSFESSVGLSRKAFRLGKFVQDVNTLRNSSFDSKQEIVLSIIAYGGEGLYYFVEQFVWLAKAGLIDSKHSKKLGKISAWFEFIGYTGSISLKFRDLKKLNEDEICLESSIEVAVLRGNGYQAEEEKMRKLREKKLMKKLSIIQDFADGLMALADIRDGKGQLSAPVLVSFAGLVSALISTHKNWVSC >Manes.04G110428.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31396863:31397723:1 gene:Manes.04G110428.v8.1 transcript:Manes.04G110428.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNNTAAMRHSSPSYGSDPSSPNTTFVLADPSTFRTIVQKLTGAPDDLSTQKLPLTDHPSRPSAIPGPKRPAVKLHERRQNAKNLQINLNSSSTINSGFEHPHDLLQFRQRAGFMVSPVSTLDFFGARTSPRSPCEEFCSRGSSPREEEERAIAEKGFYLHPSPLSTPRGAEPPELLPLFPLRSPRDDNGDQDDDRNSCS >Manes.07G095813.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30049485:30050111:1 gene:Manes.07G095813.v8.1 transcript:Manes.07G095813.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQLKGNYCVCKGHEKRITKVLSKNKGLFIKNLDLKNGFIHIDGDIDIEKLIKELQKKFKSMQVEVVEETDSDEEINSDNCESLSQPLENGDGYSASRLQWPDVGDQSRLRLRPYGGLNQLRYYGYGGFETPSTYGYGGQNYQIDNANYYNQVNDLTKIIRDENPSICSTM >Manes.09G016633.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3430475:3433580:-1 gene:Manes.09G016633.v8.1 transcript:Manes.09G016633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLHDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSIFGKMLKFGLEPTTVTFTTLINGLCMEGKMDKAVEFFDDMVARGYQPDVRTFNYERTLPTRING >Manes.09G115700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31797351:31803733:1 gene:Manes.09G115700.v8.1 transcript:Manes.09G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRVGLLFLLVLGAGWACAARQMADTELSYESKVISDIPATQAKDQEQGREDQASDGVSRNKQLCTLCEEFTSQALDYLTENKTQTEVIDILHEACSRVPSFKQQCNTLVDYYAPLFFLEVSSVQPEQFCQKVNLCQEVVFISSELQQDKCGICHRAVSEVLLKLKNPDTQLEIIEILLKGCNAMENYAAKCKKLVFEYGPVILINAEQFLENTDVCTAVHACDSADGQAATVLKADS >Manes.15G160800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13350358:13354678:-1 gene:Manes.15G160800.v8.1 transcript:Manes.15G160800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQSFWQLGDELRGQSKVSEDHKWLMAASKLAEQTRSKGERMNNLDLSKGLSELRPREKIGFQEDNKFESLNFNMLNLESKMAENVGKGSFRSGVYNMNAVYQKTNLNGIGNLTGSKYSGNNQNTKDPNNNSNNNINNIENSANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSPA >Manes.15G160800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13350358:13354814:-1 gene:Manes.15G160800.v8.1 transcript:Manes.15G160800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQSFWQLGDELRGQSKVSEDHKWLMAASKLAEQTRSKGERMNNLDLSKGLSELRPREKIGFQEDNKFESLNFNMLNLESKMAENVGKGSFRSGVYNMNAVYQKTNLNGIGNLTGSKYSGNNQNTKDPNNNSNNNINNIENSANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSPA >Manes.15G160800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13350358:13354814:-1 gene:Manes.15G160800.v8.1 transcript:Manes.15G160800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQSFWQLGDELRGQSKVSEDHKWLMAASKLAEQTRSKGERMNNLDLSKGLSELRPREKIGFQEDNKFESLNFNMLNLESKMAENVGKGSFRSGVYNMNAVYQKTNLNGIGNLTGSKYSGNNQNTKDPNNNSNNNINNIENSANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSPA >Manes.15G160800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13350702:13354678:-1 gene:Manes.15G160800.v8.1 transcript:Manes.15G160800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQSFWQLGDELRGQSKVSEDHKWLMAASKLAEQTRSKGERMNNLDLSKGLSELRPREKIGFQEDNKFESLNFNMLNLESKMAENVGKGSFRSGVYNMNAVYQKTNLNGIGNLTGSKYSGNNQNTKDPNNNSNNNINNIENSANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSPA >Manes.15G160800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13350702:13353468:-1 gene:Manes.15G160800.v8.1 transcript:Manes.15G160800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQSFWQLGDELRGQSKVSEDHKWLMAASKLAEQTRSKGERMNNLDLSKGLSELRPREKIGFQEDNKFESLNFNMLNLESKMAENVGKGSFRSGVYNMNAVYQKTNLNGIGNLTGSKYSGNNQNTKDPNNNSNNNINNIENSANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKLCKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSPA >Manes.05G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1955958:1958703:1 gene:Manes.05G021900.v8.1 transcript:Manes.05G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPPYTEHDMTTTFPRASINQTSNASRRSTRHPVYHGVRKRRWGKWVSEIREPSKKSRIWLGSFSVPEMAAKAYDVAAYCLKGCKAQLNFPNEVDDLPRPSSSKGSDIQAAAAMAAHSMITEEKSSCSATNSGGDSDDFWGEIDLPELMNCGFNWSPRAWTTFA >Manes.05G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2670631:2674356:-1 gene:Manes.05G031300.v8.1 transcript:Manes.05G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNKSLIHPLSATAMELLWISPVKPRAANVVTVLVVLALLSVKGAESRKGRILESFEYNAMSCRAHSASITDFGGVGDGQTSNTKAFQDAIDHLSQYASDGGSQLYVPAGKWLTGSFSLTSHFTLFLHKDAVLLASQNLNEWPLLKPLPSYGRGRDAAAGRYASLIFGTNLTDVIIAGDNGTIDGQGAFWWQKFHQGKLKYTRPYLIEIMYSDGIQISNLTLLNSPSWNVHPVYSSDILVQGITILAPVRSPNTDGINPDSCTNVKIEDCYIVSGDDCVAVKSGWDEYGIAFGMPTKQLIIRRLTCISPYSATIALGSEMSGGIQDVRAEDITAINTESGVRIKTAVGRGGYVKDIYVKRMIMHTMKWAFWMTGNYGSHADKNYDPNALPVIQGINYRDMVADNVSMAARLEGISGDPFKEICISNVTIGMAAKAKKIPWTCTEIEGITSGVTPRPCDLLPDQRPEELTECDFPPEDIPIDSVKLQKCSYGMHYM >Manes.12G122000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32741231:32747849:-1 gene:Manes.12G122000.v8.1 transcript:Manes.12G122000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRKGLIKKAKELAILCDAEVGLAIFSSSGKLYEFASTSMKSVIDRYNKAKEENQPFMNPISEVKFWQREAAVLRQQLHNLQENHRQLLGEQLCGLSVKDLQSLENQLEMSLHGIRMKKEQILTNEIQVLNQKDNLVHQENMKLWKKTNFIRQENMELYKKVYGTWDKDATSLNSFNLYGFNSEESSQVPTHLQLRQPEQPNYEILSNATR >Manes.16G068500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26274621:26279702:-1 gene:Manes.16G068500.v8.1 transcript:Manes.16G068500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRDEGSLVINNNNVFAALETLRKKKKSDKERGTSKSGKGSSKSRQPEQQQKEPEPEVFWAPAPLNAKSWADVDDEDDDDYFATTAPPQVVWGSSEAHQSDDRPSNIEESESEEDILDEGDDDVDEDHDHDHELEVPMHPEPGVKKVPEVSAVPKETERQLSKKERKKKELAELEALLADFGVSQKDSNGEDQSRDAPQERRDVEHDAEGEKKGSAPGESKSAKKKKKKDKTKEVKDPHDQLNSSEVNNSADEVAGTEQVDEDSSAVGVKERLKRMTSAKKKKSSKEMDNAGKAAAQEAAARSAKLAAAKKKEKNHYNQQPVR >Manes.16G068500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26274621:26279702:-1 gene:Manes.16G068500.v8.1 transcript:Manes.16G068500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRDEGSLVINNNNVFAALETLRKKKKSDKERGTSKSGKGSSKSRQPEQQQKEPEPEVFWAPAPLNAKSWADVDDEDDDDYFATTAPPQVVWGSSEAHQSDDRPSNIEESESEEDILDEGDDDVDEDHDHDHELEVPMHPEPGVKKVPEVSAVPKETERQLSKKERKKKELAELEALLADFGVSQKDSNDAPQERRDVEHDAEGEKKGSAPGESKSAKKKKKKDKTKEVKDPHDQLNSSEVNNSADEVAGTEQVDEDSSAVGVKERLKRMTSAKKKKSSKEMDNAGKAAAQEAAARSAKLAAAKKKEKNHYNQQPVR >Manes.16G068500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26275332:26279702:-1 gene:Manes.16G068500.v8.1 transcript:Manes.16G068500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRDEGSLVINNNNVFAALETLRKKKKSDKERGTSKSGKGSSKSRQPEQQQKEPEPEVFWAPAPLNAKSWADVDDEDDDDYFATTAPPQVVWGSSEAHQSDDRPSNIEESESEEDILDEGDDDVDEDHDHDHELEVPMHPEPGVKKVPEVSAVPKETERQLSKKERKKKELAELEALLADFGVSQKDSNDAPQERRDVEHDAEGEKKGSAPGESKSAKKKKKKDKTKEVKDPHDQLNSSEVNNSADEVAGTEQVDEDSSAVGVKERLKRMTSAKKKKSSKEMDNAGKAAAQEAAARSAKLAAAKKKEKNHYNQQPVR >Manes.16G068500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26274621:26279702:-1 gene:Manes.16G068500.v8.1 transcript:Manes.16G068500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRDEGSLVINNNNVFAALETLRKKKKSDKERGTSKSGKGSSKSRQPEQQQKEPEPEVFWAPAPLNAKSWADVDDEDDDDYFATTAPPQVVWGSSEAHQSDDRPSNIEESESEEDILDEGDDDVDEDHDHDHELEVPMHPEPGVKKVPEVSAVPKETERQLSKKERKKKELAELEALLADFGVSQKDSNDAPQERRDVEHDAEGEKKGSAPGESKSAKKKKKKDKTKEVKDPHDQLNSSEVNNSADEVAGTEQVDEDSSAVGVKERLKRMTSAKKKKSSKEMDNAGKAAAQEAAARSAKLAAAKKKEKNHYNQQPVR >Manes.16G068500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26274621:26279703:-1 gene:Manes.16G068500.v8.1 transcript:Manes.16G068500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRDEGSLVINNNNVFAALETLRKKKKSDKERGTSKSGKGSSKSRQPEQQQKEPEPEVFWAPAPLNAKSWADVDDEDDDDYFATTAPPQVVWGSSEAHQSDDRPSNIEESESEEDILDEGDDDVDEDHDHDHELEVPMHPEPGVKKVPEVSAVPKETERQLSKKERKKKELAELEALLADFGVSQKDSNGEDQSRDAPQERRDVEHDAEGEKKGSAPGESKSAKKKKKKDKTKEVKDPHDQLNSSEVNNSADEVAGTEQVDEDSSAVGVKERLKRMTSAKKKKSSKEMDNAGKAAAQEAAARSAKLAAAKKKEKNHYNQQPVR >Manes.16G068500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26274621:26279702:-1 gene:Manes.16G068500.v8.1 transcript:Manes.16G068500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRDEGSLVINNNNVFAALETLRKKKKSDKERGTSKSGKGSSKSRQPEQQQKEPEPEVFWAPAPLNAKSWADVDDEDDDDYFATTAPPQVVWGSSEAHQSDDRPSNIEESESEEDILDEGDDDVDEDHDHDHELEVPMHPEPGVKKVPEVSAVPKETERQLSKKERKKKELAELEALLADFGVSQKDSNGEDQSRDAPQERRDVEHDAEGEKKGSAPGESKSAKKKKKKDKTKEVKDPHDQLNSSEVNNSADEVAGTEQVDEDSSAVGVKERLKRMTSAKKKKSSKEMDNAGKAAAQEAAARSAKLAAAKKKEKNHYNQQPVR >Manes.16G068500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26275332:26279702:-1 gene:Manes.16G068500.v8.1 transcript:Manes.16G068500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRRDEGSLVINNNNVFAALETLRKKKKSDKERGTSKSGKGSSKSRQPEQQQKEPEPEVFWAPAPLNAKSWADVDDEDDDDYFATTAPPQVVWGSSEAHQSDDRPSNIEESESEEDILDEGDDDVDEDHDHDHELEVPMHPEPGVKKVPEVSAVPKETERQLSKKERKKKELAELEALLADFGVSQKDSNGEDQSRDAPQERRDVEHDAEGEKKGSAPGESKSAKKKKKKDKTKEVKDPHDQLNSSEVNNSADEVAGTEQVDEDSSAVGVKERLKRMTSAKKKKSSKEMDNAGKAAAQEAAARSAKLAAAKKKEKNHYNQQPVR >Manes.18G138200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17603597:17606026:-1 gene:Manes.18G138200.v8.1 transcript:Manes.18G138200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIYQYSWIIPLVTLLVPMLIRAGLLLFPAAIKKLLRMWAFPSVFLLILITTGGILVLFYSDNYMSHDQGYLRFFAYMSFFTTSMLGLVTSFWFTRPIASNACQKAFVTNRVGDFGLLLGILGLYWITGRPTPISTLIHAATMVAAGIFLIARLFPLFVIIPFIMNLIALIGIIIDLDPLFIQWKLFLVILQIRVKNMVLMGSLTKHMPITKTTFLLGTLSLCGIPPFACFWSKDEILNDTCFTAGLTAFYMFRVYLLTFDGYFNAHFQSYSGKKNSLFYSISLWALLTMNNKERASFFWKNTYQIDGNVRNMTWPFITIQNFNTKRIFSYPHESDNTMLFPMLILVLFTLFIGAIGIPFNQFNQEGMLLDIDILSKLLTPSLNLLHQNPENSVDCIAFFGIFIASFLYKPVYSSLQNLNFFNSFAKKGYIDAFYAISFIGGIRKLAELIHFFYKQIIDGTPNGVGVTSFFVGEGIKNVGSEESFYVVNGDIFLCIISKKVEKLGGYIKDILSFPSLRHV >Manes.01G273500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42659503:42661572:-1 gene:Manes.01G273500.v8.1 transcript:Manes.01G273500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELESPNVMPKFITFLSSLLQRVAESNDLNSQFQPQKFSVFRGLTRPTISIQSHLERIFKYANCSPSSFIVAYVYLDRFAQKQPSLPLNSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTIEMNYLEVDFLFGLGFHLNVTPNTFHTYCCYLQREMMLQPPSLAEYPLNLGRSLKFHLCFDDDEASHQNEQLSINKHLM >Manes.13G154400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36808562:36819284:1 gene:Manes.13G154400.v8.1 transcript:Manes.13G154400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPKRSHEEGGHSSSSSKYPHEETGAYPKLAPGVSSEYHPSSYELAPDARITKIPRNESRDGDRRSPLHSIYRVPSSSNDLHIDTHSVASEIRLESRESKDSRDYRVETRDLRTEARDMHIEPKRDSLSTKSDKESRFESRTDDNKDIKYDKDTYNDPKNDMKMEKDGFSVATSQLNWKESKEYHRGKRYSDSPGGHMDPWHISRGNPQGPAEIGKEGPTIEEKDYAETHEAVGENRVDIKAEDRFKDKDRKRKDVKHREWGGDRDRERIDRRSNIQVGSNSGEGKESAKEERETERWDRERKDLSKDRERQREREKDHTKRELWNGVEKEGLHCEKELGDGSVRVTEQENSVLEPKKQKDFDSWKNVDREARDRRKERDTDMEGDRPEKRSRLYDKESDDGCADGEGAAEREREVFNYGVQQRKRMLRPRGSPQVANREPRFRSRTQDNEGSQGKSEVSSVVYKVGECMQDLIKLWKEYESSQTEKNGESTHNGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELCATIRVLPPQDRYASGYTSMLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMTKATSSVYGHEETEKSHSHHSHSSNGERNDSDNILIDVFRWSRCKKPLPQKVMHSVGIPLPLEYVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSPN >Manes.13G154400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36808562:36819284:1 gene:Manes.13G154400.v8.1 transcript:Manes.13G154400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPKRSHEEGGHSSSSSKYPHEETGAYPKLAPGVSSEYHPSSYELAPDARITKIPRNESRDGDRRSPLHSIYRVPSSSNDLHIDTHSVASEIRLESRESKDSRDYRVETRDLRTEARDMHIEPKRDSLSTKSDKESRFESRTDDNKDIKYDKDTYNDPKNDMKMEKDGFSVATSQLNWKESKEYHRGKRYSDSPGGHMDPWHISRGNPQGPAEIGKEGPTIEEKDYAETHEAVGENRVDIKAEDRFKDKDRKRKDVKHREWGGDRDRERIDRRSNIQVGSNSGEGKESAKEERETERWDRERKDLSKDRERQREREKDHTKRELWNGVEKEGLHCEKELGDGSVRVTEQENSVLEPKKQKDFDSWKNVDREARDRRKERDTDMEGDRPEKRSRLYDKESDDGCADGEGAAEREREVFNYGVQQRKRMLRPRGSPQVANREPRFRSRTQDNEGSQGKSEVSSVVYKVGECMQDLIKLWKEYESSQTEKNGESTHNGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELCATIRVLPPQDSYTSMLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMTKATSSVYGHEETEKSHSHHSHSSNGERNDSDNILIDVFRWSRCKKPLPQKVMHSVGIPLPLEYVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLSPN >Manes.05G033900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2858059:2863999:-1 gene:Manes.05G033900.v8.1 transcript:Manes.05G033900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVAISRQKASEEAVRFAKAERDAVETIRRVKASESLYAKESRQRKETEEALAKRKEEHKKMKRERDEVMEELHIALDQEKSLESQIADADQMVKELELKITSSDKMLQNYKKERDELQMERDHALKEADELRKSRAEASSSQMPQFFSEFSFSEIEEATQNSNPSLKIGEGGYGSIYKGVLRRSQVAIKVPHSHSEQGPAEYQQEVDVLSKMRHPNLITLFGACPESWALIYEYLPNGSLEDRLSCRDNSPPLSWQTRTRIATELCSVLIFLHSSKPYSIVHGDLKPANVLLDANFVSKLSDFGICRLLCQNEGSSGNTTICCKTNPKGTFGYMDPIFLISGELTPMSDVYSFGIILLRLLTGRSTLMRIREEVQCALDKGNLKILLDPSAGDWPYVQAEQLAHLAVRCCEMNRENRPDLASEVWRVLEPLRASCGGSSSFRLGSKELSQPPQYFICPIFQEIMQDPHVAADGYTYEAEAIRGWLDSGHDTSPMNNHKLAHSNLVPNHALRSAIKEWLQQ >Manes.05G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2858059:2863999:-1 gene:Manes.05G033900.v8.1 transcript:Manes.05G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPINFPEYRAPGNMESTREIEEEPVERVLNEKIYVAVGKNLKKNKSLVIWALKNSRGKKICILHVHQPAQMIPLMGAKFHESSLEEQEVRAYREIERKNMHKMLDEYLLFCQQMRVQAEKLHDEMKSIEKGILKLISSHGIRKLVMGAAAENRYSKNMTDIKSKKAISVCLQAPASCQIRFICKQQLIYTKEGHLDANGAELRSSPQEATSVTETRQSNHLRSPSVTLEQKNHLKFNPAQILFRRAYSVSLGRRGRESLTPASSYNVGGASTSQNRLDVEGALTASSDECDALSRISSRGSLLSTCCPSGIVNFCLVPLVIDDGCEIESELSTRLELKEELCPSSPPSVLDGSKEARLYDELEQAMTEVAISRQKASEEAVRFAKAERDAVETIRRVKASESLYAKESRQRKETEEALAKRKEEHKKMKRERDEVMEELHIALDQEKSLESQIADADQMVKELELKITSSDKMLQNYKKERDELQMERDHALKEADELRKSRAEASSSQMPQFFSEFSFSEIEEATQNSNPSLKIGEGGYGSIYKGVLRRSQVAIKVPHSHSEQGPAEYQQEVDVLSKMRHPNLITLFGACPESWALIYEYLPNGSLEDRLSCRDNSPPLSWQTRTRIATELCSVLIFLHSSKPYSIVHGDLKPANVLLDANFVSKLSDFGICRLLCQNEGSSGNTTICCKTNPKGTFGYMDPIFLISGELTPMSDVYSFGIILLRLLTGRSTLMRIREEVQCALDKGNLKILLDPSAGDWPYVQAEQLAHLAVRCCEMNRENRPDLASEVWRVLEPLRASCGGSSSFRLGSKELSQPPQYFICPIFQEIMQDPHVAADGYTYEAEAIRGWLDSGHDTSPMNNHKLAHSNLVPNHALRSAIKEWLQQ >Manes.05G033900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2858059:2863999:-1 gene:Manes.05G033900.v8.1 transcript:Manes.05G033900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIKSKKAISVCLQAPASCQIRFICKQQLIYTKEGHLDANGAELRSSPQEATSVTETRQSNHLRSPSVTLEQKNHLKFNPAQILFRRAYSVSLGRRGRESLTPASSYNVGGASTSQNRLDVEGALTASSDECDALSRISSRGSLLSTCCPSGIVNFCLVPLVIDDGCEIESELSTRLELKEELCPSSPPSVLDGSKEARLYDELEQAMTEVAISRQKASEEAVRFAKAERDAVETIRRVKASESLYAKESRQRKETEEALAKRKEEHKKMKRERDEVMEELHIALDQEKSLESQIADADQMVKELELKITSSDKMLQNYKKERDELQMERDHALKEADELRKSRAEASSSQMPQFFSEFSFSEIEEATQNSNPSLKIGEGGYGSIYKGVLRRSQVAIKVPHSHSEQGPAEYQQEVDVLSKMRHPNLITLFGACPESWALIYEYLPNGSLEDRLSCRDNSPPLSWQTRTRIATELCSVLIFLHSSKPYSIVHGDLKPANVLLDANFVSKLSDFGICRLLCQNEGSSGNTTICCKTNPKGTFGYMDPIFLISGELTPMSDVYSFGIILLRLLTGRSTLMRIREEVQCALDKGNLKILLDPSAGDWPYVQAEQLAHLAVRCCEMNRENRPDLASEVWRVLEPLRASCGGSSSFRLGSKELSQPPQYFICPIFQEIMQDPHVAADGYTYEAEAIRGWLDSGHDTSPMNNHKLAHSNLVPNHALRSAIKEWLQQ >Manes.05G138600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:13118259:13121248:-1 gene:Manes.05G138600.v8.1 transcript:Manes.05G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTPFLFFALFLCFSFHLFPSVLSRDLPETTASRPTTTLLDVSSSLQQALSILSFDPQTTNPFSQLQQTVPSFVNSTFSFSVQLHSRESIYKTYHKDYKSLVISRLERDSFRVKAITARVQLAVNGVSKSDLKPLDVEIQPQDLSTPVTSGTSQGSGEYFSRVGVGNPAKSYYMVLDTGSDVSWLQCQPCSDCYQQVDPIFSPSASSSYVPLSCDSAQCNSLQMSSCRGGQCLYQVNYGDGSFTFGDFVTETVSFGSSGTVNNIALGCGHDNEGLFVGAAGLLGLGGGPLSLTSQLKASSFSYCLVNRDSAGSSSLDFNSNPVGDSVIAPLLRSNKIDTFYYIGLIGMSVGGHMLSIPQSVFQLAESGDGGIIVDCGTAITRLQSQAYNSLRDAFVSMTQNLKPTGGVALFDTCYDLRGQSSVKVPAVAFNFAEGKSWNLPAANYLIPVDSEGTYCFAFAPTTSSLSIIGNVQQQGTRVSFDLANNRVGLSSNKC >Manes.12G132000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33947536:33948652:1 gene:Manes.12G132000.v8.1 transcript:Manes.12G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAYCFFTIMSTHRRYFLSNSGIAIAASLCLLLLLLLPLASCSHPRPQPRGLLSEEKTRLGSTPPSCHNKCNGCHPCMAVQVPTIPSHSRVQPSLAKSLEFLDPYPSPSGNRYSNYKPLGWKCRCGGHFYNP >Manes.05G200500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32501818:32515401:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFMRSKTRSMILVERCFLLMSAPSWSADPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVGSTKADDLD >Manes.05G200500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32499047:32514344:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKDDSLYHHSMQMQAPNLPFTGFHQQQQLPSGSNPSKRPEQEASLHSGSQRPEQEAKDTVAARKIQKADREKLRRDRLNEHFIELGNKLDPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVGSTKADDLD >Manes.05G200500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32499047:32514344:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKDDSLYHHSMQMQAPNLPFTGFHQQQQLPSGSNPSKRPEQEASLHSGSQRPEQEAKDTVAARKIQKADREKLRRDRLNEHFIELGNKLDPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVLIF >Manes.05G200500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32501818:32515401:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFMRSKTRSMILVERCFLLMSAPSWSADPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVGSTKADDLD >Manes.05G200500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32499047:32515401:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKDDSLYHHSMQMQAPNLPFTGFHQQQQLPSGSNPSKRPEQEASLHSGSQRPEQEAKDTVAARKIQKADREKLRRDRLNEHFIELGNKLDPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVGSTKADDLD >Manes.05G200500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32499047:32515401:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKDDSLYHHSMQMQAPNLPFTGFHQQQQLPSGSNPSKRPEQEASLHSGSQRPEQEAKDTVAARKIQKADREKLRRDRLNEHFIELGNKLDPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVGSTKADDLD >Manes.05G200500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32499047:32515401:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKDDSLYHHSMQMQAPNLPFTGFHQQQQLPSGSNPSKRPEQEASLHSGSQRPEQEAKDTVAARKIQKADREKLRRDRLNEHFIELGNKLDPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVGSTKADDLD >Manes.05G200500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32499047:32514344:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKDDSLYHHSMQMQAPNLPFTGFHQQQQLPSGSNPSKRPEQEASLHSGSQRPEQEAKDTVAARKIQKADREKLRRDRLNEHFIELGNKLDPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVGSTKADDLD >Manes.05G200500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32501818:32515401:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFMRSKTRSMILVERCFLLMSAPSWSADPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVGSTKADDLD >Manes.05G200500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32499047:32514344:1 gene:Manes.05G200500.v8.1 transcript:Manes.05G200500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKDDSLYHHSMQMQAPNLPFTGFHQQQQLPSGSNPSKRPEQEASLHSGSQRPEQEAKDTVAARKIQKADREKLRRDRLNEHFIELGNKLDPDRPKNDKATILADTIQLLKELTSQVNKLKAEYASLTEESRELTQEKVDLREEKASLKSDIENLNIQYQQRIRTMYPWVAMEHSVVMAPTSYPFPMPVTMPPGPIPLHPSMQPYPFFGNQNPAVIHNPCSNFVPFMTPNTLVDQQSTQHAPSRAQPATGSHVSGKQDSKNKSSGESKIGKSLDSNDDVTTELELKTPGSTTDQDLSSGQIKSKKSSGKENSLTEGSSSSRCSSSRSVQNSSSNSMVLIF >Manes.13G119720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32652845:32656218:1 gene:Manes.13G119720.v8.1 transcript:Manes.13G119720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFSPSILPLQPLLFTPLKSLMASSLTHTLSQDPPPPPPHLDDLLCSNPQQQHQTEEDASLSLLDTSSSCCLGSPSRYLSGESKVERAWSHWTKLGRPKLIVAPMVDNSELPFRMLCRKYGAHAAYTPMLHSRIFTENEKYRNQEFTTCQEDRPLFVQFCANDPDTLLDAARRVEPYCDYVDINLGCPQRIARRGNYGAFLMDNLPLVKSLVEKLAHNLHVPVSCKIRVFPKLEDTISYAKMLEEAGCSLLAVHGRTRDEKDGKKFRADWKAIKAVKSAVRIPVLANGNIRHTDDVHNCLEETGADGVLSAESLLENPALFAGFRTAEWITGEGKRNKDGKLDQADLLVEYLKLCEKYPVPWRMIRAHVHKMLGDWFRIQPHVREDLNAQSRLTFEFLYNLVDQLRELGVRIPLYLKDVEVETQAVEVSANGLAN >Manes.06G011500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1838495:1841978:-1 gene:Manes.06G011500.v8.1 transcript:Manes.06G011500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLNRETGIHQFLTSWRSADDPGTGDYVLDINLKGSPQGILYKGTKRYWRIVPWPGKNYVLHNISFVHNQKETFVTFFPVDASLILRTRLEYSGLVLNLIWHESEGKWKELRSAPKNLCEFYGHCGPYGMCNPLYLYPKFECDCLPGYEPQSPRDWNFLKDGSGGCVRKRKESSSLCNQGEGFVKVTAVKVPDASEAVWLGLNMSPVDCELQCKRNCTCSAYSSTSLSGKETGCLAWYGELMDTVIDIAEGSDIYVRVDALELAEITQKSNGFLEKKHLLAILLVSIFSAWFVIILFAYLWFKKKRKTVRNKWNAKLFNTINDPYYIENGDGGGMSYPEIVYFNLSTILSATDNFSLANKLGQGGFGLVYKGQLSNGQEIAVKRLSKSSGQGIEEFENEVLLIAKLQHQNLVKLLGCCIQGEEPMLVYEYMPNKSLDSFLFDETRRSILDWRKRFDIIVGIARGILYIHQDSRLRIIHRDLKTSNILLDKEMNPKISDFGLARVFKGDQSLEKTNKIAGTFGYMSPEYVAFGKFSTKSDVFSFGVILLEIVTGKKNNSFCQEDSYLSMAGKIWHLWKEERALEMVDSSLKESCSAHEVLRCIQIGLLCVQEDAFERPSMSAVVVMLNSEISLPSPRQPPFTFRNPSNSYSPLVTQKEFYSVDEETITQVVCR >Manes.06G026501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5869523:5870650:1 gene:Manes.06G026501.v8.1 transcript:Manes.06G026501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFRGHVWLPKVFDQATYKEPSDRKWASFLPILELRFEA >Manes.05G070350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5786138:5788438:1 gene:Manes.05G070350.v8.1 transcript:Manes.05G070350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNSSEDVASCKATTVSQPSSTTQPANSSTHSQLEPSIPINPSHSLPAASNPTVSLPPISNLGKKMKLTSTVWDHFEKVHHSGNDWAICSYCKTSLKANSKNGTKSLHNHIEKCAKKGNQDIVKCLEKQKQISMDIRNDGKLACAIILHEYPLSITEHVGFRKFVASLQPLFKMASRNSIKKYILNIYDVEFNKLYKSLEKLKSRIAITTDLWTSNQKKGYMSITAHYIDDFWVLQNWILRFVYVPTPHTKEELAKYLMEAFSKWNIETKISTITIDNCSTNDGMVSIVVDKLFGDLLCDGAVLHMRCCAHILNLVVKDGLATIESSLSRIRDSVVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDIFPRLKIREKSYKDVPTYDDWEMAKRVAEKLETFHSITEIFSGRKYPTSNCFFISICQLRNSIVEWMSSDDDVIKSMSARMFENFEKYWSVVHIVLAVAVILDPRYKIKVVEYYFPIIYCDNASNEIEQVKVTCYNLLNDYQSRAFKPKSQSSSSVPPISISENQGSLKKDFSNLVAFLNSSSTSVYVKSELDHYLEEPVLPWMQEFDILNWWKTNGIKYPTLQMIARDFFVVPVSSVASEFAFSTGGRVVSIHRSRLHEDTLEALMCSQNWLWSEIEAGCSNESKSCLWDAEDDVN >Manes.09G057101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9266686:9269087:1 gene:Manes.09G057101.v8.1 transcript:Manes.09G057101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEASFWFLESPHSIYFFLLLATITFFLLSLLLYYLLRLKLWCNCEICDAYLTMNWTNQFNNLCDWYAHLLKNSATKTIHIHVLGNTITANPENVEYMLKTRFDNYPKGKPFSTILGDFLGRGIFNVDGDLWKFQRKMASLELDRFSIRAYAFEIVRYEIEDRLIPLLSSVSGKEDGVLDLQDVFRRFSFDCICRFSFGLDPRCLEIHLPLSDFAVAFDLASKLSAERAMTVSPLIWMIKRKLNIGTEKKMKEAIKLINILAQEVIRQKRKMGFLSHKDLLSRFMHTVNDDSYLRDIVISFLLAGRDTVASALTSFFWLLAKYPQVVSVILEEANRVLGPNQELTSYEQMRDLHYLQAAVYESMRLYPPIQFDSKFCEEDDILPDGTLVKRGTRVTYHPYAMGRIEELWGSDCLEFKPERWLRDGTFFPENPYKYPVFQAGLRICLGKEMALLELKCVAISLLRRFQLQLVTSCHNPRFSPGLTATFSGGLPVLVRAKEPNPHQSSYASTNQCTRWVLMGYKCLSTITRMSGLYRRDLGLLSSSTALKLCRYVDFFSPHLGLLLF >Manes.13G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32333837:32336086:1 gene:Manes.13G116900.v8.1 transcript:Manes.13G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDNSVSCFFLSLLLLFFVFKIFRSRIFFKNLPPSPLALPVIGHFHLLKPRMYRSLQNLSINYGPIFSLRFGHRLVIVVSSPSAVEECFTKNDVVLANRPKFQVGKYISYNNTTIPQSSYGDHWRNLRRIVAVEVFSNARLNKFLSIRKDEIKRLIIKLSHRSSQDFAKVELRSLFKELTFNMIVRMITGKRYYGDDVGDKEEARQFRALIVEVISYAGASNPRDFLPILNWIDGGRFEKKLISMGKRTDEFVQRLVDEHRNKKDNSESRNTMIDHLLALQETEPDHHTDEIIKGLAVSMIFAGTDTSAVTLEWAMSNLLNNQQALMKARDEIDTQVGSECLLDEPHVSKLPYLQNIFKETLRLYPAAPLLGAHEASDDCTIGGYDVPRGTIVLVNAWAMHRDPTLWDDPLKFKPERFDNGGGEGFNTYKFTPFGTGRRACPGAGLAQRIVCLALGTLIQCFEWKRVTDEEIDMTEGRGLTMPKLEPLEAMCKARPIAKKIVA >Manes.16G076000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27985528:27989399:-1 gene:Manes.16G076000.v8.1 transcript:Manes.16G076000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFRFLCQAEARRLLFLMGATIALLIAIQYFEFPSIRVLSFFSIDNNISFLPRESSSSNFEMSDNMIPSNGLNSTIASAPHERTNSSEASFSKKQAAEGNKSKEMEGSAKSNYALESNGGSVNTSGFVSNATTSEDHVAQNKTSILEKGENSVNGSEVEKAMAPEISFTNVTEDVASVSERSRSSDSAAIVNKEENAGPLPSNLSKKKFWKAPSRVVSISQMNELLQQSRALSNSVRPLWPLEVDQRMVFARSQIENAPGIENDTVLYAPIYRNASMFKRSYELMERMLKVYIYKDGPKPIFHQPILEGIYASEGWFMKLMEANENFVTKDPREAHLFYIPFSSRLLQLTLYVRKSHNRTNLIEYMKNYVDMVAANHTFWNRTGGADHFVAACHDWAPAETRGLMLNCIRALCNADIDVGFSIGKDVSLPETYVKSSQNPIKNLEGNPPSQRPFLAFFAGNLHGYVRPILLEYWENKDPNMKIFGPLPHVKGNAIYIQYMKSSKYCICPRGHEVNSPRIVESIFYECVPVIISDNYIPPLFEVLDWESFAVFVPEKDIPDLKNILLSIPEKRYVEMHKRVTKVQQHFLWHSEPVKYDLFHMILHSVWYNRVFQMRPA >Manes.16G076000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27985528:27989399:-1 gene:Manes.16G076000.v8.1 transcript:Manes.16G076000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGFRFLCQAEARRLLFLMGATIALLIAIQYFEFPSIRVLSFFSIDNNISFLPRESSSSNFEMSDNMIPSNGLNSTIASAPHERTNSSEASFSKKQAAEGNKSKEMEGSAKSNYALESNGGSVNTSGFVSNATTSEDHVAQNKTSILEKGENSVNGSEVEKAMAPEISFTNVTEDVASVSERSRSSDSAAIVNKEENAGPLPSNLSKKKFWKAPSRVVSISQMNELLQQSRALSNSVRPLWPLEVDQRMVFARSQIENAPGIENDTVLYAPIYRNASMFKRSYELMERMLKVYIYKDGPKPIFHQPILEGIYASEGWFMKLMEANENFVTKDPREAHLFYIPFSSRLLQLTLYVRKSHNRTNLIEYMKNYVDMVAANHTFWNRTGGADHFVAACHDWAPAETRGLMLNCIRALCNADIDVGFSIGKDVSLPETYVKSSQNPIKNLEGNPPSQRPFLAFFAGQRDLHPVHEEQQVLHLPKGS >Manes.09G076800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17628839:17637393:-1 gene:Manes.09G076800.v8.1 transcript:Manes.09G076800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLSLNPAGSWTRPRAIGTQFHNTTRAQNGKHSWISLQQELKCKGRFTCLFSGGSSSREEQARKALESALGGKKNEFEKWNEEIKKREEAGGGGDYGGGGWFGWGGRFGWSNGDNFWSEAQQTGLTILGIVIMYLLVAKGEVMLAVVINPSLNALRVTRNGLTSISSKMLKKIPVDYPGSDPRNRGPQQ >Manes.09G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17632162:17637393:-1 gene:Manes.09G076800.v8.1 transcript:Manes.09G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLSLNPAGSWTRPRAIGTQFHNTTRAQNGKHSWISLQQELKCKGRFTCLFSGGSSSREEQARKALESALGGKKNEFEKWNEEIKKREEAGGGGDYGGGGWFGWGGRFGWSNGDNFWSEAQQTGLTILGIVIMYLLVAKGEVMLAVVINPSLNALRVTRNGLTSISSKMLKKIPVDYPGDFSDTSKKEVYVQASAKERVIRKWGSD >Manes.09G076800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17628839:17637393:-1 gene:Manes.09G076800.v8.1 transcript:Manes.09G076800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLSLNPAGSWTRPRAIGTQFHNTTRAQNGKHSWISLQQELKCKGRFTCLFSGGSSSREEQARKALESALGGKKNEFEKWNEEIKKREEAGGGGDYGGGGWFGWGGRFGWSNGDNFWSEAQQTGLTILGIVIMYLLVAKGEVMLAVVINPSLNALRVTRNGLTSISSKMLKKIPVDYPGDFSDTSKKEVYVQASAKERVIRKWGSD >Manes.09G076800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17628839:17637393:-1 gene:Manes.09G076800.v8.1 transcript:Manes.09G076800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLSLNPAGSWTRPRAIGTQFHNTTRAQNGKHSWISLQQELKCKGRFTCLFSGGSSSREEQARKALESALGGKKNEFEKWNEEIKKREEAGGGGDYGGGGWFGWGGRFGWSNGDNFWSEAQQTGLTILGIVIMYLLVAKGEVMLAVVINPSLNALRVTRNGLTSISSKMLKKIPVDYPGDFSDTSKKEVYVQASAKERVIRKWGSD >Manes.09G076800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17632162:17637393:-1 gene:Manes.09G076800.v8.1 transcript:Manes.09G076800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLSLNPAGSWTRPRAIGTQFHNTTRAQNGKHSWISLQQELKCKGRFTCLFSGGSSSREEQARKALESALGGKKNEFEKWNEEIKKREEAGGGGDYGGGGWFGWGGRFGWSNGDNFWSEAQQTGLTILGIVIMYLLVAKGEVMLAVVINPSLNALRVTRNGLTSISSKMLKKIPVDYPGDFSDTSKKEVYVQASAKERVIRKWGSD >Manes.02G086900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6875469:6882746:1 gene:Manes.02G086900.v8.1 transcript:Manes.02G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDSSSSSVAARGRLAVLAAHLAAAVSISPAVLEPQCVSAHVSPPGNLQGTLTVIDERTGKKYQIQVSKHGTVKASDFKKISAGKDDKGLKLYDPGYLNTAPVQSSISYIDGDEGILRYRGYPIEELAESSTFLEVSYLIMYGNLPSENQLADWEFAISQHSAVPQGILDIIQAMPHDAHPMGVLVSAMSALSIFHPDANPALRGQDLYKSKQVRDKQIARILGKAPTIAAAAYLRLAGRPPVLPSNNLSYAENFLYMLDSLGNRSYKPNTRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLNEIGTVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLAHWRESLDDPDTKIMRPQQVYIGAWLRHYMPLKERMDKSEADRLGQVSVSNASRRRLAGSGI >Manes.18G100700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9353246:9355454:-1 gene:Manes.18G100700.v8.1 transcript:Manes.18G100700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELKDLQKDPPVSCSAGPAGDDMFHWQATIMGPADSPYAGGVFMVSIHFPPDYPFKPPKVSFKTKVYHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHVYKTDKAKYETTARSWTQKYAMG >Manes.07G009960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1250246:1253189:1 gene:Manes.07G009960.v8.1 transcript:Manes.07G009960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKLSLQLTGMLIFFLIILLALMKPAMADDADNIPTDFNRSYFPDDFIFGTATSAYQIEGAANISGKGPSVWDTFTHEYPERIRDKSNGDIAVDFYHRYQEDIQNVKNMGFNAFRFSIAWSRVIPSGKRREGVNEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSRNIVRDYREYADLLFERFGDRVKHWMTFNEPWALSGFAYDDGLFAPGRCSSWVNNQCRGGNSATEPYIVAHNLLLSHSAAVHIYRKNYQKTQNGKIGITLFTFWFEPLSNRAADIKASKTAMDFMFGLWMDPLTYGRYPRTVEDLVGDKLLSFTEEETQLLRGSYDFIGLQYYTSYYAKPNASIDSDRIRYKTDSNISETPYDYEGNLIGPQAYSPWFYIYPEGIRHLLNYTKDRYNNPVIYITENGVDNLNDENQPIEEALKDEFRVDYYRKHIWNTLGSLKEYNVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKESAIWFTKFLNPSN >Manes.06G008500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1343693:1355005:1 gene:Manes.06G008500.v8.1 transcript:Manes.06G008500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLREFWRRHRRKILIAAGVFGSGYFFYKLYNAHKSSLADLEKQLADEREHEELIKAQMQAHFESIQRIADTTTLPHAMHYLISRIAEELDLLQLIERLMKGKGGQPNTLSPSEKLELWDRLKILSFTRLVVSLWAMTMLSLYIRTQVNILGRHLYIDTARGLESSHLLEDVELIERDDQQKFLASSDFLANYGMPALISNIQVAATEVLKGKQLRDPFTTTTLHETLSQILDLFMSRGSPHHWADYVMPEDARLYKQAVGSSSGETISITDTTKFEQLMAEARAVLSSAEFGRVVEISLKVMVEAVVADMGAESSGGSLALGMPLARLLPRVAQMGPSLFDESNRNRLFQILRSVSEVELFFTLLYANMPTS >Manes.06G008500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1343641:1355049:1 gene:Manes.06G008500.v8.1 transcript:Manes.06G008500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLREFWRRHRRKILIAAGVFGSGYFFYKLYNAHKSSLADLEKQLADEREHEELIKAQMQAHFESIQRIADTTTLPHAMHYLISRIAEELDLLQLIERLMKGKGGQPNTLSPSEKLELWDRLKILSFTRLVVSLWAMTMLSLYIRTQVNILGRHLYIDTARGLESSHLLEDVELIERDDQQKFLASSDFLANYGMPALISNIQVAATEVLKGKQLRDPFTTTTLHETLSQILDLFMSRGSPHHWADYVMPEDARLYKQAVGSSSGETISITDTTKFEQLMAEARAVLSSAEFGRVVEISLKVMVEAVVADMGAESSGGSLALGMPLARLLPRVAQMGPSLFDESNRNRLFQILRSVSEVELFFTLLYANMPTS >Manes.02G126450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9591393:9592790:1 gene:Manes.02G126450.v8.1 transcript:Manes.02G126450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQLSSATLILTTKTHIMALDGIVNVNSLFTLALFLGLAWYPAPDPSITLVTDPSCAASSSIAEDLIAFHVYSFSSFLFSSLIALALKQAIKIADKNDNNIVSFEEVGSASLVHVNLAALRVGTLISGFGSVFGCGFLMMALVDLVQIKLGTLACGSLYTFAAIGPLVILVPFALVIYVFLMIYSFTR >Manes.04G080300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28211693:28211992:1 gene:Manes.04G080300.v8.1 transcript:Manes.04G080300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILRAKQILQRSSSANQTAPIAMDVPKGYLAVYVGEKQKKRFVIPVSYLNKPSFQDLLIQAEEEFGYDHPMGGLTIPCSENMFIDFISCLNCS >Manes.07G021800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2436493:2437327:1 gene:Manes.07G021800.v8.1 transcript:Manes.07G021800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFNEKEANHFAHQSAYKSHARTCYYLVSQIKQLLFCSSFPRPSMSTVLFSKLITRADLEKSLLIPTSLSLQPLEDGDPWEMNMNVHDDCGQEWIFPCSIQRNEELGHIISIGWLEFAKYGDIRVGDKVIFLEEPSLNDQANGDRIKIKVERKIRLFGKDIWAALM >Manes.12G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33000157:33003083:1 gene:Manes.12G124000.v8.1 transcript:Manes.12G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETAKEAKHPKQAFGWAARDSSGVLSPFNFSRRATGEKDVTFKVLYCGVCHTDIHMIKNEWGFSSYPMVPGHEVVGEVTEVGSKVEKFKVGDKVGVGYIVGSCRSCDNCTNDLENYCPKIMLTSGAFVGDGTTTYGGYSDLMVADEHFIVRIPDTLSLDATAPLLCAGITVYSPLRYYGLDKPGLHVGVVGLGGLGHVAIKFAKAMGLKVTVISTSSNKKQEAMEHLGADSFLVSRDHDQIKAAIGTMDGIIDTVSATHPLLPLIDLLKSNGKLVLVGAPEKPFELPAFPLIMGRKMVGGSCTGSMKEMQEMIDFAAEHNIKAEVEVIPIDYVNTAMERLHKGDVRYRFVIDIGNTLNSGL >Manes.12G147300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36935420:36959530:1 gene:Manes.12G147300.v8.1 transcript:Manes.12G147300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSSALPTCFSLLILPLLFFFLSFTLCFGGNVTYDSRSLIIDGQRKLLISAAIHYPRSVPGMWPELVQTAKEGGVDVIETYVFWNGHEPSVSNYYFEKRFDLVKFAKIVQQAGLYLILRIGPFVAAEWNFGGVPVWLHYVPDTVFRTDNYNFKYHMQKFMTYIVNLMKQEKLFAWQGGPIILTQVENEYGFYESFYGEGGKRYAMWAAEMAVSLNAGVPWIMCQQFDAPDIVINTCNSFYCDQFKPIFPDKPKIWTENWPGWFQTFGAPNPHRPPEDIAFSVARFFQKGGSVQNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWAHLRELHRAIKLCEHTMLNSNPVNLSLGPSQEADVFADASGACVAFLANTDEKNEKIVEFRNMSYHLPAWSVSILPDCKNVVFNTAKVSSQTSVVEMVPEDMRPSDKVLKALKWESFVENAGIWGNPDFVKKGFVDHINTTQDTTDYLWYTTSIYVSEREEFLKKGSWPILLIESKGHALHAFVNQKLQGSASGNGTHSPFKFKKSISLRAGKNDIALLSMTVGLQNAGPYYEWVGAGLTSVKIDGFNNGTLDLSTFNWTYKIGLQGEKLGIYKADAVDKVHWVASSKPPKYQPLTWYKVAVDPPAGDEPIGLDMLHMGKGLAWLNGEEIGRYWPRKSSIHEKCVQECDYRGKFMPDKCRTGCGQPTQRWYHVPRSWFKPSGNILVIFEEKGGDPTKITFSRRKISSVCALVSEDYPVANLESFQKSGNGNSSSKASVHLKCPKTSSISIVKFASFGSPTGACGSYSQGECHDPTSLPVVEKVCLNKNECTVEVTEENFTKGLCPGTTKKLAVEAVCT >Manes.12G147300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36935420:36959530:1 gene:Manes.12G147300.v8.1 transcript:Manes.12G147300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSSALPTCFSLLILPLLFFFLSFTLCFGGNVTYDSRSLIIDGQRKLLISAAIHYPRSVPGMWPELVQTAKEGGVDVIETYVFWNGHEPSVSNYYFEKRFDLVKFAKIVQQAGLYLILRIGPFVAAEWNFGGVPVWLHYVPDTVFRTDNYNFKYHMQKFMTYIVNLMKQEKLFAWQGGPIILTQVENEYGFYESFYGEGGKRYAMWAAEMAVSLNAGVPWIMCQQFDAPDIVINTCNSFYCDQFKPIFPDKPKIWTENWPGWFQTFGAPNPHRPPEDIAFSVARFFQKGGSVQNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWAHLRELHRAIKLCEHTMLNSNPVNLSLGPSQEADVFADASGACVAFLANTDEKNEKIVEFRNMSYHLPAWSVSILPDCKNVVFNTAKVSSQTSVVEMVPEDMRPSDKVLKALKWESFVENAGIWGNPDFVKKGFVDHINTTQDTTDYLWYTTSIYVSEREEFLKKGSWPILLIESKGHALHAFVNQKLQGSASGNGTHSPFKFKKSISLRAGKNDIALLSMTVGLQIGLQGEKLGIYKADAVDKVHWVASSKPPKYQPLTWYKVAVDPPAGDEPIGLDMLHMGKGLAWLNGEEIGRYWPRKSSIHEKCVQECDYRGKFMPDKCRTGCGQPTQRWYHVPRSWFKPSGNILVIFEEKGGDPTKITFSRRKISSVCALVSEDYPVANLESFQKSGNGNSSSKASVHLKCPKTSSISIVKFASFGSPTGACGSYSQGECHDPTSLPVVEKVCLNKNECTVEVTEENFTKGLCPGTTKKLAVEAVCT >Manes.03G091048.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20755365:20762185:-1 gene:Manes.03G091048.v8.1 transcript:Manes.03G091048.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTRISIMHHVGIVLFLLSLLSFYNRCHPVACCISFIYLFAVHERYVMRLTRKLQFQEKKQANQKKVLSDSETVRWLNHAVEKMWPICMEHIATQKILLPIIPWFLDKYKPWTAKKVVVQHMYLGRNPPMFTEMRVLRQCSSDDHLALELGMNFCTADDMNAVLAVKLRRMLGFGMSTKLHMTAMHVEGKVLIGVKFLPCWPFLGRLRVCFAEPPYVQMTIKPIFHRGIDVTELPGVAGWLDKLLSVAFEQTLVQPNKLVVDVEKFASAESENWFSVDEKVPVAYAKVEVVEAADMKPSDLNGLADPYVKGQLGPYKFMTKTQRKTLAPKWHEEFKIPICGWDLPNVLAIEVLDKEHFVDDSLGDCTISINDLRDGERHDMWLPLQKIKMGRLHLAITVLEENRKAGASIFYGETLSKGELRDSFVSETASRASFSSSTTSDRSPRVLDNFDPVNIEGQQETGIWVHQSGNEVREIWEPRKGKIKCQDNEVGEVPHNSLGGTHSATSGPLNNESSSTDDTLEGKRSANKVWKGLHKIRLVFHKGSKNEEHLDSNDETVQSPHANIKAGNQEMDVNSIVEDNLPKSTVVESSKEVDLVLSPEGSVPESPGKVNVKDKAKNIFKRAEKSARSLKHVLSRKALGKSRSKLSGAKELEIYPESDSSNNESRSSSQVERVPVVSSSTSSYHGNDDSDKNKENVLQAGSSEFALDAEGQMKKVNVNGLESVDENEVTISNSGIEESSNCQQSEKKLDANEMEELSNLQPCGKKLDGNRQGES >Manes.03G091048.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20755355:20762209:-1 gene:Manes.03G091048.v8.1 transcript:Manes.03G091048.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTRISIMHHVGIVLFLLSLLSFYNRCHPVACCISFIYLFAVHERYVMRLTRKLQFQEKKQANQKKVLSDSETVRWLNHAVEKMWPICMEHIATQKILLPIIPWFLDKYKPWTAKKVVVQHMYLGRNPPMFTEMRVLRQCSSDDHLALELGMNFCTADDMNAVLAVKLRRMLGFGMSTKLHMTAMHVEGKVLIGVKFLPCWPFLGRLRVCFAEPPYVQMTIKPIFHRGIDVTELPGVAGWLDKLLSVAFEQTLVQPNKLVVDVEKFASAESENWFSVDEKVPVAYAKVEVVEAADMKPSDLNGLADPYVKGQLGPYKFMTKTQRKTLAPKWHEEFKIPICGWDLPNVLAIEVLDKEHFVDDSLGDCTISINDLRDGERHDMWLPLQKIKMGRLHLAITVLEENRKAGASIFYGETLSKGELRDSFVSETASRASFSSSTTSDRSPRVLDNFDPVNIEGQQETGIWVHQSGNEVREIWEPRKGKIKCQDNEVGEVPHNSLGGTHSATSGPLNNESSSTDDTLEGKRSANKVWKGLHKIRLVFHKGSKNEEHLDSNDETVQSPHANIKAGNQEMDVNSIVEDNLPKSTVVESSKEVDLVLSPEGSVPESPGKVNVKDKAKNIFKRAEKSARSLKHVLSRKALGKSRSKLSGAKELEIYPESDSSNNESRSSSQVERVPVVSSSTSSYHGNDDSDKNKENVLQAGSSEFALDAEGQMKKVNVNGLESVDENEVTISNSGIEESSNCQQSEKKLDANEMEELSNLQPCGKKLDGNRQGES >Manes.03G179300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30132766:30137538:1 gene:Manes.03G179300.v8.1 transcript:Manes.03G179300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNDVGIDTPWLRSLVRVLVSTNLFHVLVCAPDSEKSAFSHCITWRHPISAQRVVIEGATAFAVSGVISGINMGIDCGYHIVYSGTVAGAREAFFNDVPSVSISYDCTIQDFTIAVEACIPIISALLVEIRNQTYPSSCFLNIDLPTNVSNHKGYKLTRQGKSIFKTVWKQVPSGMEGGKMLSTMTMDTNSAAATETGTSNVSQEQMWFGGEAKGAQVDDDDTDLTPLGALSQFDTGCLDYFKDWLPGVVDRPSPSAG >Manes.15G128200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10221010:10226042:-1 gene:Manes.15G128200.v8.1 transcript:Manes.15G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGFFTEYGEANQYEIQEVVGKGSYGVVASAIDTHTGEKVAIKKMTNIFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSPREFKDIYVVFELMESDLHQVIKLNDDLTPEHHQFFLYQLLRALKYIHSAHVFHRDLKPKNILANADCKLKLCDFGLARVSFANAPSAIFWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAELLAGKPLFPGKNVVHELDLITDILGTPSADSIARIGNEKARKYLNSMRKKQPIPLSKKIPNADPLALRLLERLLAFDPKDRPSAEEALADPYFHDLANKEPEPSRQPISKLEFEFEKRKLSEDDVRELIYREILEYHPDMLKEYLRGTDQTHFVYPSGIDRFKEQFAHLEEGNGRSDRISPLHRKHATSLPRERICTIDETDIVIKRSSASVTRATQQSPEKSESTEELESANRNAVAMQTSSTKPKCSSRSLLRSDSICASRCIGIVGSDREVHAL >Manes.15G128200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10221010:10226042:-1 gene:Manes.15G128200.v8.1 transcript:Manes.15G128200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKGFFTEYGEANQYEIQEVVGKGSYGVVASAIDTHTGEKVAIKKMTNIFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSPREFKDIYVVFELMESDLHQVIKLNDDLTPEHHQFFLYQLLRALKYIHSAHVFHRDLKPKNILANADCKLKLCDFGLARVSFANAPSAIFWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAELLAGKPLFPGKNVVHELDLITDILGTPSADSIARIGNEKARKYLNSMRKKQPIPLSKKIPNADPLALRLLERLLAFDPKDRPSAEEALADPYFHDLANKEPEPSRQPISKLEFEFEKRKLSEDDVRELIYREILEYHPDMLKEYLRGTDQTHFVYPSGIDRFKEQFAHLEEGNGRSDRISPLHRKHATSLPRERICTIDETDIVIKRSSASVTRATQQSPEKSESTEELESANRNAVAMQTSSTKPKCSSRSLLRSDSICASRCIGIVGSDREVHAL >Manes.01G169500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35201425:35201841:-1 gene:Manes.01G169500.v8.1 transcript:Manes.01G169500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDDNIFQRQAQVVAKTKTKVGKPPTRLQKKAPATLEVANYAKTYHLMLSKEASSPLTPIPLLSPLILSPPLSPQQAEEFMFPMISGGDKGKEEKRGAYCVPGGWQHPAAPNGGYMEPSSLFTFFQYKCVLVNHAE >Manes.17G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21024835:21030339:-1 gene:Manes.17G037300.v8.1 transcript:Manes.17G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYRSLRTLTTKNHNRDRSFFTAAATSIIPFPYTTSTFPLHPLHFSPSPHPPPRFFSPLSKWIAPFQGQRRGPLFLSSPPWKLLQSATPLYLRGNAVVLRKVEVLNLNLLRTRIRSGFSGQNVLDRVQQLKEGAAEDGLFESFVNLPNFISLTRLVSGPLIGWMITNEMYSSAFVGLVLSGATDWLDGYVARKMKINSVVGSYLDPLADKVLIGSVALAMVHMELLHPGLVGMVVLRDVALVGGAVYHRAASLGWKWNSWYNFFNLDGTQREKIEPLFISKVNTVFQLILVAAALLQPEFGTEETQSCIAHLSWLVAATTMASTAGYGVQYIRNKSALHARKS >Manes.17G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30351655:30353015:1 gene:Manes.17G095700.v8.1 transcript:Manes.17G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAYLPRALLSCVCTIYATIQLIFNRLVEKWRTKSSDGKVTTTITPVSVPEVKVTLFTKLIFEEGGFAKNYCDPLELAQLPRVMLGEGSLGTLFKLILNCGYIVTARVIREMLVKPDDFELWINFFGGIRNTLLLPMHLSFWYAGEAFIVYEYLCLGSLEELLHGSEGIQYTPLSWEVRKHIALCAAMAIHFIHNQVTEGGSILVCGVVKASNILIRTDCSACLSGYEMPYLVPLTTIIRRNPGRVAPELISTEIYPKKFTAESDVYSFGILLLELITAKRPTTTNLGEYVTEKRKREGLIDICDPKLGEVNESMMEMIGIAESCLSHRPKDRPSMDRVVHTLQGMKD >Manes.01G117601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31461943:31462414:1 gene:Manes.01G117601.v8.1 transcript:Manes.01G117601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIKCSSFLLLLSLLLFISFSGMAESAKFRGSDCKPRCTYRCSATSHKKPCMFFCLKCCSKCLCVPPGTYGNKQVCPCYNNWKTKEGGPKCP >Manes.14G114700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10842067:10845170:-1 gene:Manes.14G114700.v8.1 transcript:Manes.14G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGAVKDQTSISIAKVAGNIAPDLEVLVVKVTSHVEEPADEKYFREIINLISCSRGYVSACVATVSRRLSKTHDWIVAIKALMLVHRLLVDGHPSFEEEIVYATRRGMRVLNMSDFRDEAHSHSWDHAAFVRFYAMYLDEKVEFVVSERKLKASERKFDEGDDGFGPSEYRDDFDYEMHRRSRSYGDLNDDSVGKEQRREATPIRQMTPERVLGKLNQLLRMVDRVLACRPTGMAKNSRLVLVSLYRVVKESFGLYVDICEALGLLLDRFTEMEYTDCVKGFEMYVGAAKMIDELVGFYGWCKDIGIARSSEFPAVKRITDQLLGTLEGFLKEMTNKTSKSPERSKEDKVPIKQEREPEPEMNEVKALPPRENYIPPPPPEPQPKPQPQQITEDLVNLKDDAVSSDEQGNKFALALFNGPPTTNANGSWEAFPSNRSSEVTSAWQTPAAESGKADWELALVESTSNLSKQKATLGGGLDPLLLNGMYDHGAVRQHVNTTQFNGGSASSVALPGPGKTATPGLALPAPDGMVKPVGNQDPFAASLAVPPPSYVQIADMERKQHLLVQEQQIWQHYGREGMQGQVGLATISGASGYYGPSPQSMTMPYGIPQVSGMGQPGGYYYT >Manes.14G114700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10841315:10845170:-1 gene:Manes.14G114700.v8.1 transcript:Manes.14G114700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGAVKDQTSISIAKVAGNIAPDLEVLVVKVTSHVEEPADEKYFREIINLISCSRGYVSACVATVSRRLSKTHDWIVAIKALMLVHRLLVDGHPSFEEEIVYATRRGMRVLNMSDFRDEAHSHSWDHAAFVRFYAMYLDEKVEFVVSERKLKASERKFDEGDDGFGPSEYRDDFDYEMHRRSRSYGDLNDDSVGKEQRREATPIRQMTPERVLGKLNQLLRMVDRVLACRPTGMAKNSRLVLVSLYRVVKESFGLYVDICEALGLLLDRFTEMEYTDCVKGFEMYVGAAKMIDELVGFYGWCKDIGIARSSEFPAVKRITDQLLGTLEGFLKEMTNKTSKSPERSKEDKVPIKQEREPEPEMNEVKALPPRENYIPPPPPEPQPKPQPQQITEDLVNLKDDAVSSDEQGNKFALALFNGPPTTNANGSWEAFPSNRSSEVTSAWQTPAAESGKADWELALVESTSNLSKQKATLGGGLDPLLLNGMYDHGAVRQHVNTTQFNGGSASSVALPGPGKTATPGLALPAPDGMVKPVGNQDPFAASLAVPPPSYVQIADMERKQHLLVQEQQIWQHYGREGMQGQVGLATISGASGYYGPSPQSMTMPYGIPQVAY >Manes.01G060100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25449633:25459120:-1 gene:Manes.01G060100.v8.1 transcript:Manes.01G060100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANGSSLDCADIINGCKGNACSTDANHEAKDRNAVHESDDDYEVKLRCLFDQVLSVFTNEAASRGCIRPLPALLPDGQSLDLFKLFRVVRNRGGFDLVNGFWTFVVKELGLDIRASTSVKLIYFKYLYELERWSRGSFRDGKLATGQCHLDGNLSCLSTELETEFRSLLSHEYRKGKDGKHKKNGKNINMDVLQSKKGLLDMKDLHEVCNGIGNKHTDDDDEKFRDYKENKSYDDDDVVILDPRIGKKIFDSRKRKRESLSTMLKWVIQIAKCPDGPLSEEIPSKFKDYKGRELWAQAVRARDALLRKRLVDSNSERSLLQSNHKMHPSMYEEVTAATDQSAERLRCSERLHTLVKPRSCSCCNSCAAQSQLIGSPKTQFEDCLKGQPLVNDDLSDAKSTQSPSGDEIQRHVPVGPRFQADVPEWTGMVSESDPRWLGTQVWPFDGDHNAPVETDSIGKGRRDVCSCQLPGSVECVRFHIAESRMKLKLELGHMFYQWRFDHMGEEISLRWTAEEEMRFKNMVRFNPPSLDKSFWDDYRKYFPRKKREELVSYYFNVFVVQRRSYQNRVTPKHIDSDDDESEFGSLSDNYGHRAVQVPGSNKLICSENKQCTEIE >Manes.01G060100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25449633:25459120:-1 gene:Manes.01G060100.v8.1 transcript:Manes.01G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSMLANGSSLDCADIINGCKGNACSTDANHEAKDRNAVHESDDDYEVKLRCLFDQVLSVFTNEAASRGCIRPLPALLPDGQSLDLFKLFRVVRNRGGFDLVNGFWTFVVKELGLDIRASTSVKLIYFKYLYELERWSRGSFRDGKLATGQCHLDGNLSCLSTELETEFRSLLSHEYRKGKDGKHKKNGKNINMDVLQSKKGLLDMKDLHEVCNGIGNKHTDDDDEKFRDYKENKSYDDDDVVILDPRIGKKIFDSRKRKRESLSTMLKWVIQIAKCPDGPLSEEIPSKFKDYKGRELWAQAVRARDALLRKRLVDSNSERSLLQSNHKMHPSMYEEVTAATDQSAERLRCSERLHTLVKPRSCSCCNSCAAQSQLIGSPKTQFEDCLKGQPLVNDDLSDAKSTQSPSGDEIQRHVPVGPRFQADVPEWTGMVSESDPRWLGTQVWPFDGDHNAPVETDSIGKGRRDVCSCQLPGSVECVRFHIAESRMKLKLELGHMFYQWRFDHMGEEISLRWTAEEEMRFKNMVRFNPPSLDKSFWDDYRKYFPRKKREELVSYYFNVFVVQRRSYQNRVTPKHIDSDDDESEFGSLSDNYGHRAVQVPGSNKLICSENKQCTEIE >Manes.01G060100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25449633:25459120:-1 gene:Manes.01G060100.v8.1 transcript:Manes.01G060100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMAGWSMLANGSSLDCADIINGCKGNACSTDANHEAKDRNAVHESDDDYEVKLRCLFDQVLSVFTNEAASRGCIRPLPALLPDGQSLDLFKLFRVVRNRGGFDLVNGFWTFVVKELGLDIRASTSVKLIYFKYLYELERWSRGSFRDGKLATGQCHLDGNLSCLSTELETEFRSLLSHEYRKGKDGKHKKNGKNINMDVLQSKKGLLDMKDLHEVCNGIGNKHTDDDDEKFRDYKENKSYDDDDVVILDPRIGKKIFDSRKRKRESLSTMLKWVIQIAKCPDGPLSEEIPSKFKDYKGRELWAQAVRARDALLRKRLVDSNSERSLLQSNHKMHPSMYEEVTAATDQSAERLRCSERLHTLVKPRSCSCCNSCAAQSQLIGSPKTQFEDCLKGQPLVNDDLSDAKSTQSPSGDEIQRHVPVGPRFQADVPEWTGMVSESDPRWLGTQVWPFDGDHNAPVETDSIGKGRRDVCSCQLPGSVECVRFHIAESRMKLKLELGHMFYQWRFDHMGEEISLRWTAEEEMRFKNMVRFNPPSLDKSFWDDYRKYFPRKKREELVSYYFNVFVVQRRSYQNRVTPKHIDSDDDESEFGSLSDNYGHRAVQVPGSNKLICSENKQCTEIE >Manes.05G086309.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7190094:7196999:1 gene:Manes.05G086309.v8.1 transcript:Manes.05G086309.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELLLSFFLLLLSLLFLFSVAKSSKSNKPISTPLSKSYPLIGNYLDFKANWHRRVQWTSDLLQNSPSATCVLHRPLGGHELLTANPANVQHMLKTHFHIYEKGDFFRRTLFDFLGNGIFNADGDTWKFQRQVASHEFNTKSLRKFVETVVDTELYQRLIPILSTAAANGAVLDFQDILQRFAFDNICKIAFGYDPAYLLPSLPHAKFAEAFEDSVRIISDRFSSLFWKIKRAFGTRSEKRLREAMSEVRDFAMNLVKEKKEELKKNSSIESVDLLSRFLSSGHSDETFIVDIVISFILAGRDTTSAALTWFFWLLSKHPEVEANILNEIHEKSEVPIFEEVKDMVYTHASLCESMRLYPPVPTDTKLAISDNVLPDGTLVTKGTRVTYHPYAMGRLETLWGPDWADFKPERWLERDTKSEKWSFVGRDPYTYPVFQAGPRICLGKEMAFLQMKRVVAGVLSRFKVVPAAENDVEPEFIAYLTAKMKGGFLVKIEERSK >Manes.05G186750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18517525:18519020:1 gene:Manes.05G186750.v8.1 transcript:Manes.05G186750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKENKQKEVKSSSSSIPKALSDHSEDLSKTLKTKDKSPLDLKKWIEELSQSPEVIKAIQNMASSSGDLGMIFKTKAIVTVHGTTSLSQTVDSKDSSNPLKAVGLPKIQSSYGYSTGIYKWFLKHNSEFEIEVENGFNDINPWEIIRKYYPENWYFAPKDLLKPQDYYNSILEETGSVKIKHNFDKNHKEIISYSSIQIKRVIHLKDWPTSNLYTALKFKNFKKYCTSYNYFDYIDVWTNIFSIQNPTTTHSWLIYFDQHSIKTTTRFTNWFLKLWQYRGITEDILSQEVLHVYQYFKNNYKPAQNEKYIPHLMYFCINFFISWVYQWYFDFQYITELNIPVIVKKHKIKWWGSFRNSTTEEVVKNWIIKRAQFPTVSYAGKLTLQGEPSFGAQKAQCQALLAAAKTLEKYKMICQQIFNHLASGESSTTSSSRSSSSKNLTSSYCDSNEDDCYGVLLP >Manes.12G147601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:37070953:37073259:-1 gene:Manes.12G147601.v8.1 transcript:Manes.12G147601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAEQELPPGFRFHPTDDELVNHYLIRKCAGQPISVPIIAEIDLYKYDPWQLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGRPKTLGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRSAGKKNNLRLDDWVLCRIYNKKGSIEKHYPVDRQNLTKYPEGEDQKPKILVSGHNPPPKLAQSCLNHNDLSVHTDASDSIPRLRTDSSSSEHILSPDITCEKEVQSESKWNELDINGFDFGFNYEDDFQDDPFASQVQFQMDQPFLLQDMFMYLQKPF >Manes.01G123200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31880699:31888227:1 gene:Manes.01G123200.v8.1 transcript:Manes.01G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRYVWEGAIPMQIHLHESEVTTLPPPPPALILAPRIGYLPLLIHLIKPHFAATLPPGVDTVWFDYNGLPLKWYIPTGVLFDLLSAEPERPWNLTVHFRGYPNNLLIPCEGEDSVKWSFINSLKEADYIINGNCKNVMHMSQSDQVELWHSVMNGNLEAYLRASSKLKFGIIEDENTLKMDLHSQKSRKTDGEADMGGQMKTGKIPVRLYVRTVSEDFEDLEDMPKIDSWDKISYINRPIEIHREGKCFTLHDALKTLMPEFLADKSLTDEEPLRAEGGDEQLVLSEEVSSNRMDAEGREISCSSVESPYASGGAEILLVRIQGIEPKLEIPFSWVVNNLKNPEHFLHICVCLKFPQVNS >Manes.10G134900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29410853:29414167:-1 gene:Manes.10G134900.v8.1 transcript:Manes.10G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRLLFVFSMKCFVFSLVMNPYLITLSTAAATSFDGNKTDHLALLAFKAKITYDPQSAFRSWNDSIHFCNWEGVTCGRKHRRVTILDFQSIGLVGSLSPSIGNMSFLRELRLQNNSLHGEISPELGRLFRLQFLHLGNNSFTGQIPTNLSRCSNLQILRVSYNKLEGNIPMELGSLSKLRIFSMQNNYLSGNIPPSIGNLTSLEALSARENFLSGTIPESLGQLKNLLMLAVATNKLSGIIPPAIYNISSINIISVSENQLHGCLPSNLGFMLPHLQHFQIWGNQFSGSIPVSLSNASELEYIDLQRNNFTGKVAVHFGALRRLYFLSLYGNSLGSEGGDDLSFITSLLNCSNLAMLDISRNQLEGALPNSLANLSSLQRLSIGKNRIYGGIPPWFSTLDNLLVMGIEDTQLTGTIPMEFGKLQNLQQMFLGHNRLSGEIPSSLGNLSSLTLLHLQANKLQGTIPSSLGNCQNLLFIDLSQNNLNGSIPSQLFSVPMLVSIDFSQNHLVGSLPSQIGYLTHLNELVIFQNNLSGCIPFNLGKCSSLEYLVMGDNKFQCTIPTSFESLRGLRRLDLSMNNLSGKMPDYFVNFKLEYLNLSFNNLEGEVPSKGVFANASVISIEGNNRLCGGTSELKLPRCMSHVSKRSKLHLVEIVVIIISCVFMVAIMSACLCCWLRHEKKEESPCPSIDNSLQQFSYGRIFKATNGFSSENLIGAGNFGSVYKGHLDEDEAIVAIKVLNLQQPGASKSFMAECETLKNIRHRNLLKIITSCSSIDFQGNEFKALIYEYMPNGNLEKWLHPTSNIDAMTIDDHCSLSLLQRIDILIDVGNALDYLHFHCQKPIIHCDLKPSNVLLDDDMVAHVGDFGLAKFLHQPPHSSSLVVRGTIGYTAPEYGLANEMSANGDIYSFGIILLEMMTRKKPTNVNFGEGDGLHNLCKMAFPDQVLEIVDPILLQGDEARENDKQGSIQTRIDNKSECLISMMKIGIACSMEAPQDRMGISDALKNLYLIRKNCTQTTMLN >Manes.10G134900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29402179:29414167:-1 gene:Manes.10G134900.v8.1 transcript:Manes.10G134900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRLLFVFSMKCFVFSLVMNPYLITLSTAAATSFDGNKTDHLALLAFKAKITYDPQSAFRSWNDSIHFCNWEGVTCGRKHRRVTILDFQSIGLVGSLSPSIGNMSFLRELRLQNNSLHGEISPELGRLFRLQFLHLGNNSFTGQIPTNLSRCSNLQILRVSYNKLEGNIPMELGSLSKLRIFSMQNNYLSGNIPPSIGNLTSLEALSARENFLSGTIPESLGQLKNLLMLAVATNKLSGIIPPAIYNISSINIISVSENQLHGCLPSNLGFMLPHLQHFQIWGNQFSGSIPVSLSNASELEYIDLQRNNFTGKVAVHFGALRRLYFLSLYGNSLGSEGGDDLSFITSLLNCSNLAMLDISRNQLEGALPNSLANLSSLQRLSIGKNRIYGGIPPWFSTLDNLLVMGIEDTQLTGTIPMEFGKLQNLQQMFLGHNRLSGEIPSSLGNLSSLTLLHLQANKLQGTIPSSLGNCQNLLFIDLSQNNLNGSIPSQLFSVPMLVSIDFSQNHLVGSLPSQIGYLTHLNELVIFQNNLSGCIPFNLGKCSSLEYLVMGDNKFQCTIPTSFESLRGLRRLDLSMNNLSGKMPDYFVNFKLEYLNLSFNNLEGEVPSKGVFANASVISIEGNNRLCGGTSELKLPRCMSHVSKRSKLHLVEIVVIIISCVFMVAIMSACLCCWLRHEKKEESPCPSIDNSLQQFSYGRIFKATNGFSSENLIGAGNFGSVYKGHLDEDEAIVAIKVLNLQQPGASKSFMAECETLKNIRHRNLLKIITSCSSIDFQGNEFKALIYEYMPNGNLEKWLHPTSNIDAMTIDDHCSLSLLQRIDILIDVGNALDYLHFHCQKPIIHCDLKPSNVLLDDDMVAHVGDFGLAKFLHQPPHSSSLVVRGTIGYTAPEYGLANEVSANGDIYSFGIILLEMMTRKKPTNVNFGEGDGLHNFCKMAFPDQVLEIVDPILLQGDEARKNDKQGSIQTRIDNKSECLISMMKIGIACSMEAPQDRMGISDALKNLHLIRKNCTQTTMVK >Manes.12G093600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18440567:18443675:-1 gene:Manes.12G093600.v8.1 transcript:Manes.12G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWWRCLRTVVGQSPSPPPQRQQSLYSLSSLYHTIQAIPREYTGSRVAARDRAQGRIPAVVFSQPLFDKNPSSPSISRKQLLTTERKQIHSILKSVELPFFCSTTFQLQIRAGAGSSVLLDSRRVLPIKIHRDEETGKILNLVFVWADEGTELKVDVPIVFKGEEDCPGLKKGGHFKRVRNSLKFLCPADQIPANIEVDVSNIDIGGRVLMRDIEFHPSLKLLSKNEELPICKIVAIKSENPEPVQV >Manes.12G125800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33194408:33194686:-1 gene:Manes.12G125800.v8.1 transcript:Manes.12G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGHQRSLSPHDLIHRRSQNDTSVVDHLQSRLAETEALLERARAREAELNRRLEEMKRFVSVMEILETYLKRKFQEQQDNVVRLFSSIPAK >Manes.09G020501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4186745:4189111:1 gene:Manes.09G020501.v8.1 transcript:Manes.09G020501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKYDVFLVCLNRKNYSAWAFKFQIRVKGKDLWGHKDEYAKWEVMLKSWHGSQARPFNTAAKMWNYLKKIYSQNNTARRIVNSISDFNSHFMDLWAEYKDIVYANSSSDGLTSVQTVHEATKYSVQSHESPAPSLDVCLNELLHEEERLLTQTAMEQQKSTSLPRRDRSVVQCFCCKGFGHFASNCTKKYCNYCKKDGHIIKECPIRPPKKNATAFTASVDFSAAPISVDTENAQQNAPTPVQTLTPEIVQQMIISVFYALGLSAFGSTEEGGGGEKEEEDWDGEIARCIDLEVENSVWLSFFT >Manes.01G265500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42020219:42028189:1 gene:Manes.01G265500.v8.1 transcript:Manes.01G265500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNFCMETREGLTSGVTVIGAEAPSTYHVAPRTENPSQMGLTGAVSPVSVGLAGAVSTVSVGLTGTTEKKKRGRPRKYGPDDAVARALSPIPISSSAPPGEAFSGGKPSKVWPGSFEKKKYKKVGMENSGDWASTSVGTNFTPHIITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTESQGTRSRSGGMSVSLVSPDGRVVGGGVAGLLVAASSVQVVVGSFLPGNQQDTKPKKFKIDSIPATLTTVPAIAASPVPASNPEREENMCGNGQQNSSFFGRENWTAMQAVQDMRTSGTDINISLPED >Manes.16G034200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4158764:4160253:-1 gene:Manes.16G034200.v8.1 transcript:Manes.16G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMTIQSDHHHHHLLEDVWASFINGGHEGDKQTNNAQEDSKSWKELPSLDRREGSTEILQRLPSLGRWMSMGADAWEELLAGIDVPASDNTGPASNYTSENKDSKLNPVKRDHQRTAPRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFDTAEEAALAYDKAALRIRGPKAHLNFPLETVAKAMGINENFNNISSSTCKGSDSSCNNVSGHRKRVSRDWEERMSEDHASKRRACVEDQTFGNEFDVLEFQDLGSDYLDNLLSSL >Manes.02G129700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9848983:9851187:1 gene:Manes.02G129700.v8.1 transcript:Manes.02G129700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKDLVALNAMVLGYVQHGLAEEGFILVEQMQKLDIKPDVVTWNTLISGFAQACDEVMVSKLFELMLMNGVEPDAFSWTSVISGLVQNVQIEKALDTFKQMLQHGLYPSSATISSLLSACATMANVRLGRKVHGYAVVIGVEEDIYVRSALVDMYAKCGFISEARVVFYKMPEKNTATWNSMIFAYANHGYCNEAIELFNQMEKAEENKLDHLSFTAVLTACSHAGRIALGHSLLLLMQEKYKIVPRLEHYACMVDLLGRAGKLSEAYGMIKTMPVKPDLFVWGALLGACRNHGDIYLAEIASRHLAELEPQNNGNNMLLSNVYADTQNWENVAKFKKLMKRKKLRRFSGCSWVEAA >Manes.02G129700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9848823:9851458:1 gene:Manes.02G129700.v8.1 transcript:Manes.02G129700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKDLVALNAMVLGYVQHGLAEEGFILVEQMQKLDIKPDVVTWNTLISGFAQACDEVMVSKLFELMLMNGVEPDAFSWTSVISGLVQNVQIEKALDTFKQMLQHGLYPSSATISSLLSACATMANVRLGRKVHGYAVVIGVEEDIYVRSALVDMYAKCGFISEARVVFYKMPEKNTATWNSMIFAYANHGYCNEAIELFNQMEKAEENKLDHLSFTAVLTACSHAGRIALGHSLLLLMQEKYKIVPRLEHYACMVDLLGRAGKLSEAYGMIKTMPVKPDLFVWGALLGACRNHGDIYLAEIASRHLAELEPQNNGNNMLLSNVYADTQNWENVAKFKKLMKRKKLRRFSGCSWVEAA >Manes.18G028945.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2906473:2908257:1 gene:Manes.18G028945.v8.1 transcript:Manes.18G028945.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSTPSSESPPSPAQPVSSPTPSTQSPSPVQSSPSSQSPASPAQPVSSPTPSTQSQSPVQSSPSSESPPSAAQPVSSPTPSTQSPSPVQSSPSSPCPPSPAQPVSSPTPSTQSPSPVQSSPSSQSPASPSQPVSSPTPSTQSPSPVQSSPSSESPPSPAQPVSSPTPSTQSPSPVQSQPSSESPPSPVQPLTSPTPSTQSPSSVQSSPSSPSPPSPAQPVESPPSPAQPVSSPTPSTQSPSPVQSPPSSESPPSPAQPVSSPTPSTQSPSPVQSPPSSESPPSPAQPVSSPTPSTQSPSPVQSPPSSESPPSPAEPVSSPTPSTQSPSPVQSPPSSQSPPSPTQTVSSPTPSTQSPSPVQSSPSSQSPASPAQPVSSPTPSTQSPSPVQSSPSSESPPSPAQPVSSPTPSTQSPSPVQSPPSSESPPSPAQPVSSPTPSTQSPSPVQSPPSSESPPSPAEPVSSPTPSTQSPSPVQSPPSSESPPSPMQPALSPTPSTQSPSPVQSSPSSKSRPSPAQPVSSPIPSTQSPSPVQSPPSSESPPIPAQPVTSPTPSTQSPSPVQSSPSSPSPPSPAQP >Manes.09G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10516019:10517449:1 gene:Manes.09G062300.v8.1 transcript:Manes.09G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGHPQEATHPDALKAALAEFISTLIFVFAGEGSGMAFSKLTKNAANTPSGLIAASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSTVACLLLKLSTGGMATAGFALSSGVGVWNAFVLEIVMTFGLVYTVYATAIDPKKGSLGIIAPLAIGFIVGANILAGGAFDGASMNPAVSFGPALVSWSWENHWVYWAGPLIGGGLAGLIYEFIFIGHNTHEQLPTTDY >Manes.17G086600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29411338:29415501:1 gene:Manes.17G086600.v8.1 transcript:Manes.17G086600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAMQNSKLESENESEISSQVASTVYLQEQSSDPSRASNNTYSSLADLVKLRLQQDSIPVSLDLSLTFNSSDIELKSTGEISSEVEAPTPAETIPRVFTCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRLGLFSDRYTSLASLPLHGSAFRSLGVKAHSANIKPSQKPSDTRGGARFEQGYYGIPVFMEADDVGLYWPGSFRQLGESVGGNFGLELAQNQNMNIVEMAPQQRTDSSAPDLTLKL >Manes.17G086600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29411338:29415501:1 gene:Manes.17G086600.v8.1 transcript:Manes.17G086600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAMQNSKLESENESEISSQVASTVYLQEQSSDPSRASNNTYSSLADLVKLRLQQDSIPVSLDLSLTFNSSDIELKSTGEISSEVEAPTPAETIPRVFTCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRLGLFSDRYTSLASLPLHGSAFRSLGVKAHSANIKPSQKPSDTRGGARFEQGYYGIPVFMEADDVGLYWPGSFRQLGESVGGNFGLELAQNQNMNIVEMAPQQRTDSSAPDLTLKL >Manes.03G116300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24184529:24192926:1 gene:Manes.03G116300.v8.1 transcript:Manes.03G116300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNAKHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYDEKRWVPRDRIGKPMSPPRGHDERSSVHWQRPSERSGHQRAESSENMSGERKNAQPQHSKDSVPVQRISVPAPPKGPEQITPPKPQQIIQKIEPAVAPAELTKNAATAIPPASAPKVDFATDLFNMLSVDSPSENGSESASNDDNGWAGFQSAAAEEAPPIDKIAPTKVAENNTNSTSGIEDLFKDSPPLTTPSVSENPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAHQQQHQQQSLLTTPAAKSGGVDPKFPGNIQLPGSNGINLHAQNWPNVGYQIPGLVMPEAGQVDPRKLMQTGNTGVTNLAGSAVPYSTSSFYTMGQVTPANGVTMTGASKPQSGAPISSENASQSGKDYDFSSLTQGMFSKH >Manes.06G174300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29796321:29799840:-1 gene:Manes.06G174300.v8.1 transcript:Manes.06G174300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISSNATVCQSLNFRQLVHPDRGEWPRQIFRFNGRKPIATSCLNVDVQAPESSGKIAVVRESKEVMEAEGRFIVGTYARVPVVLASGKGCKLYDVEGREYLDCSSGIAVNALGHCDPDWVKAVVDQANVLTHVSNVYYSIPQVELAKHLVACSFADRVFFTNSGTEANEAAIKFARKYQRYSNPNDAEPATEFISFSNSFHGRTMGALALTSKEQYRSPFEPLMPGVTFLEYGNINATRELIQRGKTAAVFVEPIQGEGGIYSATKEFLEFLRSVCDDAGALLVFDEVQCGLGRTGYLWAHEAYGVFPDIMTLAKPLAGGLPIGAVLVTERVASAINYGDHGSTFAGGSLVCNAALTVLEKILKPAFLVSVSKKGQYFKEILNQRLGGNSHVKEIRGQGLLIGIELDVPASPLVDACRNAGLLVLTAGKGNIVRLVPPLIITEQELDAAAEILQNSMSVLDENDSK >Manes.06G174300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29796321:29799840:-1 gene:Manes.06G174300.v8.1 transcript:Manes.06G174300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISSNATVCQSLNFRQLVHPDRGEWPRQIFRFNGRKPIATSCLNVDVQAPESSGKIAVVRESKEVMEAEGRFIVGTYARVPVVLASGKGCKLYDVEGREYLDCSSGIAVNALGHCDPDWVKAVVDQANVLTHVSNVYYSIPQVELAKHLVACSFADRVFFTNSGTEANEAAIKFARKYQRYSNPNDAEPATEFISFSNSFHGRTMGALALTSKEQYRSPFEPLMPGVTFLEYGNINATRELIQRGKTAAVFVEPIQGEGGIYSATKEFLEFLRSVCDDAGALLVFDEVQCGLGRTGYLWAHEAYGVFPDIMTLAKPLAGGLPIGAVLVTERVASAINYGDHGSTFAGGSLVCNAALTVLEKILKPAFLVSVSKKGQYFKEILNQRLGGNSHVKEIRGQGLLIGIELDVPASPLVDACRNAGLLVLTAGKGNIVRLVPPLIITEQELDAAAEILQNSMSVLDENDSK >Manes.09G109700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31245766:31247778:-1 gene:Manes.09G109700.v8.1 transcript:Manes.09G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLALLVFFFSVIIDQAQSEHFLFEGFSGSDEKGRLFPEGASILKPNGALRLTNKTRNAIGHAFYSKAIQMFNTTSPNSTSFSSYFVFSIVPPASGQGGFGLAFTIAPSYQIAGAKPGHYLGLFNESNNGKESNHIVAVEFDTVRGYNEVSDRNGNHVGININSMDSNTSKPAGYIIDDPLKVLDLDMHNSDPIQAWVEYDGTRKVMNVSVSPMWESKPMEPLLQLHVDLTQIVKEFMYVGFSAATGDTASSHYILGWSFSTTGAAPLLNLSRLPMPPVDKESSSFQFSVIALIVSLSVLIVILSGILIFLTVHKRMGRFESLEDWELECPHRFRYCDLYTATKGFKDSEIIGAGGFGIVYKAVMPSTGNEVAVKKISRNNPFQGLKEFIAEIESLGRLRHKNLVNLQGWCKKKNDLLLIYDYIPNGSLDSLLFHSKNSLSWEQRLNIVKGIASGLLYLHEEWEQVVIHRDVKSSNVLIDAEMNGRLGDFGLARLYDHGINSHTTNVVGTIGYIAPELARTGRASTSSDVFAYGVLLLEVATGRRPIESGQFILVDWVVECQQIGRILETVDPKLESRYVEEEMKLILELGLLCSHQNAESRPTMRQVRRYLDGDEKLPVMEEMGSNDSSRLSEINGRLMQLSCIEMTSTSYHSSSVGFMSTNSIDDGR >Manes.02G121100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9243006:9249823:1 gene:Manes.02G121100.v8.1 transcript:Manes.02G121100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARALLHSFSPHFRPRISPPDFSVSKKSFGFSLPELKRREFLHFRVRLQSDEGCGVFRVSVRNSGRGGKVYADVKSEPYEISDTVPESVKFQDGLNDVVLSEGELESSAPWWEEFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKLVLGFGVVWWSIATALTPVAAKVGLPFLLFVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFAVWLNKAYSSPLDDPQLRPEEKQLIFAHSVSKEPVKTIPWGLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQTIGFLGPAFFLTQLSHVNSPAMAVLCMACSQVCFLVYDI >Manes.02G121100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9243006:9249823:1 gene:Manes.02G121100.v8.1 transcript:Manes.02G121100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARALLHSFSPHFRPRISPPDFSVSKKSFGFSLPELKRREFLHFRVRLQSDEGCGVFRVSVRNSGRGGKVYADVKSEPYEISDTVPESVKFQDGLNDVVLSEGELESSAPWWEEFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKLVLGFGVVWWSIATALTPVAAKVGLPFLLFVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFAVWLNKAYSSPLDDPQLRPEEKQLIFAHSVSKEPVKTIPWGLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQTIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKILD >Manes.02G121100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9243006:9249823:1 gene:Manes.02G121100.v8.1 transcript:Manes.02G121100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARALLHSFSPHFRPRISPPDFSVSKKSFGFSLPELKRREFLHFRVRLQSDEGCGVFRVSVRNSGRGGKVYADVKSEPYEISDTVPESVKFQDGLNDVVLSEGELESSAPWWEEFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKLVLGFGVVWWSIATALTPVAAKVGLPFLLFVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFAVWLNKAYSSPLDDPQLRPEEKQLIFAHSVSKEPVKTIPWGLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQTIGFLGPAFFLTQLSHVNSPAMAVLCMACSQVCFLVYDI >Manes.12G151500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35967407:35969764:-1 gene:Manes.12G151500.v8.1 transcript:Manes.12G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAHNLFMASIFLLLFQFLSLFFTASAQPRYSNISLGSSLTPTTNSSWLSPSGVFAFGFYPQANDYRIGVFLAGIQNKTVVWTANRDDQPVSSNATLLFTDNSGLLLRAQGRNTSLIVPSESASSASLSDLGNFVLYNSDGDIIWQSFDHPTDTLLPTQRLQTNASLYSAVSQTDHSNGIFRLEMQEDGNLVLYAVTTITVTKQDSYWASDTYWGGNNVTLNLDEDGHLYLLNYTGFNIILNLTDGGFPTKEPIYILRLDFDGILRLYSYNLTQNGTWNVLWRSTDDRCAPKGMCGLNSYCVLNDHEPDCKCLPGFEHVAQNNWTAGCERNIFAETCRGDNGDIRIEEVANTVWEDNPYSNLSISMKEDCEQACLQDCDCDVAFYRNGQCKKQRLPLRYGKRNMDDSNSALVKVGKSKSISNPTDRVDPIKKKINQLGRGFLIAGVSIVALGIVMLAISGILFYRSRAQAYNANENILLCEEFALRSFTFAELEKVTDGFKEEIGRGSFGTVYKGLISTTQKAVAVKRLEGIVSQGEKEFQTEVKVIGKTHHKNLVRLLGYGNEGPNRLLVYEYMSNGSLADVLSTAEKRPCFAERLEIARNIARGILYLHEECETQIMHCDIKPENILMDENTSPKISDFGLAKLLKPNQTKTFTGIRGTRGYVALEWHRNLPVTVKADVYSFGVVLLEIICCRRHVDHDLPEMESILVDWVYNCFEGGEIEKLVSDDEEVDMKQMDRMIKVGLWCTLDEPSIRPSMKKVVLMLEGTVDIPMPPSPTSFLSCI >Manes.14G148550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16926790:16929917:-1 gene:Manes.14G148550.v8.1 transcript:Manes.14G148550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSQLKQLWNDDHAAVNLKVIDLANSRDLIRIPDFSRSPKLKVLILSGCTSLVEISSSIGCLSQLRELELNSCISLKSIPSSIGELKCLFALDFSGCSKLSSLPDNICNLKSLIELKVSKCVNLNGLPENLGNLESLKRLYADESGIKKLPSSMNQLRQLCELACSKCKNLVEIPSSIGCLLQLREFEFNSCMSLKSIPSSIGELNLYELDFSECLQLTSLPETICNLKSLIYFNVSGCLNLSGLPKNLGNLESLKRLLASESGITKLPSSMNQLRQLYELDCSGCVNLAEIPDPICDLKSLKTLKLSGCVKLSRLPENLGNLESLQWLYADETRIMKLPSSINQLRYLIQLNCSGCKGLILPLLTGLTSLRSLHLNNCQMLELPDSLGLGSLTSLESITLCENDFESIPESIKQLSVLKWLDLRGCKRLKYLPELSLPSLTYLCVSNCMSLRSASIVFLPTMNYRWRIYDFSNCINLDGGAYRSFMDNVLAANIQVKANCYGARLIMAGSEVPQRMRYQNGNGSSLSFSLGKCNLMGLSFCAVLDPKIHPSHVYTNIGCMVLFKGKSGYSRHEKLYWLYERWDYQMKFQSEHVFLWGSSSFLDSNYSFRKALFQFFVVCYDDDGAGMITNREKIVKCGVHPIFKHKEKKNQDRGRRKSNHLRLKD >Manes.11G041166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4509670:4512505:1 gene:Manes.11G041166.v8.1 transcript:Manes.11G041166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRGGVHKYSFEELAKATGDFSNNNRVGQDGSGEVYEGTLPNGKQVAIKRLQYNSDPEKQQVELLFENEVKTNSRTRHPNIVEVVGYCSEEADRLIVYEFVSNKSLKSHLYVGRRQLATAPIDWPTRMKIALGIAEGLAYLHEECKSGIIHRDIKSDNILLDDKFNPKIRNFGISKEFADSKTHVSTVPMGTPDYLAPEHYTQDDQNKKLTDKSDVFSFGVVLLELITGKLAAFEKERREYIFLTIWAVPLLKQILDADYQDLDAENCKELFDSKLPNNFEKNDMEKLIYSAAACIYKPAKLRPHMCEIVKVLNGDMEPKTIWVRSDCKYLYNGSPYAPFPQAQGTSSS >Manes.05G009200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1028885:1035400:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1030168:1035161:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1030106:1035213:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1032014:1035161:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1029606:1035214:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1028886:1035399:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1028885:1035400:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1029606:1035214:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1028886:1035399:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1030139:1035174:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1030168:1035161:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.05G009200.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1030169:1035161:-1 gene:Manes.05G009200.v8.1 transcript:Manes.05G009200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYSLGPLLLSKHTVFDTSALSSFERCFRSLPNLPPKPQVTPMENEDPRNGESSRHHQVTKKPRLTQFITESEIQKEFAHHQSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRKGILHSRTLVKDLINADDVDEVSLVDNATTAAAIVLQQIGRSFADGKFHENDSVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVTSDEEIIAEFRKGLQKGKSNGRKVRLAIIDHITSMPSVVTPVRELVKICREEGVDQVFVDAAHAMGSVRVDVKEIGADFYASNLHKWFFCPPSVAFLYCKRTSSSSYVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVIPSALEFINRFEGGVDGIMKRNHEKVVEMGKMLAESWGTKLGASPEMCSSMIMVGLPSRLHVMSQDDALRLRSHLRSNYGVEVPIHYQASRDGEEGWKDNDGLVTAYARISHQVYNTCVDYYKLRNAINQLLDNPQIAQKLLAE >Manes.01G033900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6583611:6585236:1 gene:Manes.01G033900.v8.1 transcript:Manes.01G033900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSIRITATTTSVSASSATRRMAKAMVTLPAAWLSSTSISFPRNQSGRLNPRRYSLLLTRCSTGIHSSNNAARRNPAIELDSDLYLPTPTSNSPHTSSESEGLVFDLGPRDSWDSTEIGSPVVKRYIGDNEERWYMWYHGRGSSSESDADQNNSGKIGLAVSSNGIHWTRGSQPVMSSTDVGVVMNCSKKWWAFDTRSIRPSEMVIMSSPMYSSVYWLYYTGFSSEQVEVSVQNPERFHHENTKISKIFKSLPGLACSQDGRHWARIEGDHHTGALLDVGSGKQWDSSFIAAPQVVVHASDDMRMYYYSFDAENGNFAIGVARSRDGIRWVKLGKIMGRGKKGSFDELGVRNPCVIKSRRDGNYLMAYEGVGADGKSSIGLAASEDGLKDWGRVQEEPILEASDVGHGWDNEEVRSPCMIEMEDEWRLYYVGVGKGGRTGIGMAVSEGSNIRIFGRSSPGLTL >Manes.01G030100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5997722:5998669:-1 gene:Manes.01G030100.v8.1 transcript:Manes.01G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPPSHGSKPTEHRQPSSSELLSSAKLVAEAAKASLNNETHKVDKGRVAGAAADLLGAASHYGKLEEKSFGKYVEKAENYLHKYQSSSTTTTTTTSSSHSATGGAATQSSTQSSGGAGHSEGGYGDYLKMAQGFLKKN >Manes.17G081100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28600856:28602948:-1 gene:Manes.17G081100.v8.1 transcript:Manes.17G081100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFLAIFYACVLFYSLSSVYCMPSCSDAPFAQTCNDFIGQNLAQTQHQTPFTFRDQSLLVTMNQAIEAHQMILDMDLSSFDPKAKLAWADCLELYENTVDHLNRSLSSRNPLDSQTWLSAAIANQQTCQNGFIDFNLGSHLESLPFIMPMSNLSKLLANSLAINKVTVSSSYNTNQGGGRRLLADGFPWWVSAGDRRLLQSPRGAQAADVVVAQDGSGDYKTISEAVTASAHRRSGTKRFVIYVKKGVYKENVEIKKSMKNLMLIGDGIDSTIVTGNKNAQDGSTTFRSATVGVSGDGFIAKDMTFENTAGPQKHQAVALRSGSDFSVFYSCSFKGYQDTLYVYSQRQFYRNCDIYGTIDFIFGDAVVVLQNCNIYVRKPMSSQKNTVTAQARTDPNENTGIVIHNSRVTAASDLRAVQGSFKTYLGRPWQKYSRTLFMKSNLDGLIDPAGWLPWSGNFALSTLYYGEYMNTGSGASTGGRVKWPGYHVITKATDAAKFTVGNFLAGDSWIPSTGVPFDSGL >Manes.15G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5648516:5653097:-1 gene:Manes.15G074700.v8.1 transcript:Manes.15G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTVKQSAMAPMINGSDTIFRRQSPLTIQRSLFLPSLTVQKNPKPVLSVSKPLLVSSIESIAVSKPQRKYLITCKAYGDRLQPIKAEETKSETARRVKIGIYFATWWALNVVFNIYNKKVLNAFPFPWLTSTLSLACGSLIMLVSWAIRIAEAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGDTFPAGVYMSLIPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIVVEGPQMWTAGWQTAVSQIGPHFIWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQATQ >Manes.09G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32573639:32582684:1 gene:Manes.09G123600.v8.1 transcript:Manes.09G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQRNSNNTPASFEISGGSSVLHAKVGSKTSSSLHDKLDKSQRRSCHKSLQFDGNILSEEDARSIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQSGQFLPKRWKKIRAGEVVKIYADETIPCDMVLLGTSDPSGVAYIQTMNLDGESNLKTRYARQETSLAVSEGCTISGLIRCERPNRNIYEFTANMEFNGHKFSLSQSNIILRGCQLKNTDWIIGVVVYAGQETKAMLNSAASPSKRSKLESYMNRETLWLSIFLLIMCLVVALGMGLWLAHYKDQLDTLPYYRKTYFTHGKDYGKRYKYYGIPMEIFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDGSSGSRFQCRSLNINEDLGQVRYIFSDKTGTLTENKMEFQRASVYGKNYGGSLDAADLLQEENLSAEAACRRWKLESTITVDSKLMKLLHKDLVEDERIAAHEFFLTLAACNTVIPIRTCDRSSSCAKSQFHEDVETIEYQGESPDEQALVAAASAYGYTLFERTSGHIVIDVNGEKLRFDVLGMHEFDSVRKRMSVVIRFPNNDVKVLVKGADTSMFSILAKGNERDHHVRHATQCHLTEYSSQGLRTLVVAAKDLTEAELELWQCRFDDASTSLTDRATKLRQTAALIECDLNLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLTMDMEQIIINGNSEEECRKLLADAKAKHGVKSSAGGNHNLKCSKNAEVDYLEVSEGKKEGIAKAPLALIIDGNSLVYILEKELESELFDLAISCKVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSVPTIVVGILDKDLSHRTLLQYPKLYGAGHRQEAYNMHLFWITMADTLWQSLVLFGIPLFIYKGSTIDIWSLGSLWTIAVVILVNIHLAMDVQRWVYITHVAVWGSVIITFACMVVLDSIPVFPNYGTIYHQAKSPTYWLAILLIIVIALLPRFLFKVVNQIFWPSDIQIAREAEILKKGPDNLRPRPNGRSS >Manes.05G029400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2476246:2479131:1 gene:Manes.05G029400.v8.1 transcript:Manes.05G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLLASKHSNFIRVVESCTIRFSFLLRHLSTEPAIPPPPRQNDDSNVEQVLQLLQIPDHEWNTTQLNHLLFSNPAPSPCLLFQIARRLPSSSQALKFLKYLQYNSPLPDTQFLSSTLQTVFELASREPGSRTNLYELYKASKEWNIPLTINSATLLIRCFGRNGLVEEFSILFDELEHSLKNTQVRNAVIRLLLRAGLVDKAFKVLDEMLRPEFDYHPDNVTGHIIFSWLMKRERLGRTDTREEIVELVLKFGKFGVFPNSIWITQMVTVLCSNGKTIKAYDLLLELMTLGAALKVAPFNALLTGLGRDKDFNRMNEVMVKMKEMDIEPDVITFGIIINHLCKFRKIDEALEVFQRMNKGQEVGGVSVEPDVVIFNTLIDGLCKVGRQEEGLGFLERFKTQKEWSPNTVTYNCLIHGFCKAGEIERGLQLFDEMNKEGIVPNVITINTLVDGMCRNGRTASAVQFLDEMQRKGLKGNAFAYASLINAFYHVNNIRKAMEIFYQMLREGFSPDAIVYYKLISGLSQARRMDDATSLLSKLKESGFHPDVSCYNDLITGFCNKNRTDKVKEMLSDMEKAGINPDSITYNTLISYCCKIGDLEVAQKIMKKMIKAGLSPTVATYGALVRAYCLDGKVDEAMKIFRDMDAASKVTSNAVMYDILIESLCNNNDVELALPLMDDMKAKGVKPNTATYNAIFKGLREKKWLKPAIELMDTMIEQACNPDYVTLEILREWLSAVGETEKLKNFVQRYEASASAT >Manes.17G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22815572:22817548:-1 gene:Manes.17G033100.v8.1 transcript:Manes.17G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKGKWSPEEDHKLISYIRRHGIWNWNEMPRAAGLSRSGKSCRLRWMNYLRPFIKHGNFSKEEEETIFKLHETLGNRWSAIAAKLPGRTDNDIKNYWNCKLRKRLRNAASATKLDRLQTSGGQLKKKKHHLSESTVPKETPNSSSSDIFNKTDINQNVAPQNITAGLSKVSLGFNGLQAQSFSREGFHIMEDNGKTLYHPFASDDELLGFFPLMENIDFSGEAQYVTWHEEPVYSYDYQDDLFEYPTLWHDEYQAQQPCPVIEDLYTATAKDEREHEGPGHPFDDFPACYDRNQISGEIQFLWDYPVLL >Manes.01G239500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40106547:40112344:-1 gene:Manes.01G239500.v8.1 transcript:Manes.01G239500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVVSSGGGMQVGTEEEAEKKRKKNQTENEDGSAEVVLWKKFLPGMVLRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKGRPHNIDLILTEVELPSISGYALLTLVMEHDICKNIPVIMMSSHDSISMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRQTLTAGHISQNSPDAQHKIEAASENNSTSDHSSDCATSSHKRKESSEKGCDAQGLSQLMHRRDSNLSNMEKEKYKDCVILDRRAVIPESKTGGWSTQLGLMNISCNEAYNPNAQKLGEHAACAKTIIQDESVRPENDRKNINTSQGHNDELVESFTGAIDLIGSFDSGPKCIYGQSINDSTNKFEFTPQLELSLRRFFPNSSKNQGVEEKHLLNHSNASAFSWYNSKMLQPLFPTSTSNCTEFKEDASKSSELPSNQLSQNISGVSQRHGGNLNSSQEIMTTLVIGQSGKAELAYSNPQQLIPIPGARLGELCTGYGHMNPPVYYRQNGLPPAWNTKLASQREESPFSTSIHSNPEILDFEQNNRQSDETTINSVDQNTHHQNTTELVEELRHGSPAAGHSTSSSMCNSIADHNNSSAYGSFCSRNDGNATVVVASEKAMVPESLNDGGHSLHDRFRGMDSHRSSQREAALIKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQAQNDAPIADANN >Manes.06G075900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21156790:21157251:-1 gene:Manes.06G075900.v8.1 transcript:Manes.06G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWNVLAADCVVISCCCQCLILQVIIFILLKLPCKLIRKIKKCAKKRFRTRDRKKRPESVKGRFLEDFVEIHEGSMRIQIEELQGGHGFGDCMREVERVLDDFSQKGEFAFGSFWGRQGLGGSSICVGKQEVDISLVQFELVEIVDSFRCSN >Manes.09G095300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28757094:28760984:-1 gene:Manes.09G095300.v8.1 transcript:Manes.09G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKRKHSLSSHLQPSTRPSFDDSWEEKAFAEDAGGPLGGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQFPDPPNDVPHHEHQNLFQNHYSSLGFQYPSQICTLVYNSNPNTDPGFVASQSSPTQVTCDEKNFFPPLSSSILKEKHDKKCPRSSPPSSPNFPEDRCYSLSDPWKEVEKNSRIQESGCRAKVDYVKTDHSVSLNLVVRRTCPTTSSDGDEEEATVGKRRRTTAPLLPFLVDRHHAQSEVIEISTCSIEELDLELRLGDRPKVS >Manes.08G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1469901:1471511:-1 gene:Manes.08G013500.v8.1 transcript:Manes.08G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLRALSATLLLLAIALVNCEAKLSAIHAIGRQLSEHTQPVIPYKPKFKAGPWTKARATFYDGSTSSFGGACDYKDVVAEGYGLNTAAVSETLFKKGEACGACYEIKCVEDPKWCKSGSLRVTATDLCPPNPSQPSDNGGWCNPPLEHFDIAKPVFSQLADHTAGVIPVKYRRVPCKKKGGIRFTILGNPWFNQVIVWNVGGAGDVICLEVKGKDKGNKWIKFERDWGSTWKCSAHLVGEALSFRVQTSDGKYSISSKCAPKNWQFGQTFEGKNFK >Manes.06G014601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2359760:2376171:-1 gene:Manes.06G014601.v8.1 transcript:Manes.06G014601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTNKSSSLSGFIVNEKRTEANFDSWKECLKNYLIGQGLWDVVSGKYVEPSTNVSNYMDWEKKNSLALHAIQISCDEIIFSNLMMKDPAKIKSAKFVWDHLAERQVKVMRYDKEDTRKILKHNALYMAVEKGEWATVKRLLSRNPNDVRAKITLIGQTALHVAVSAGKSDIVKELVKIMTKEDLEIENHFGNTAFALAAMNGSTDMAEIMLEKNKDLIEIKNKYNRQLPVVTASLYSNREIVGFLLDNTPFEVLAPHENDKNGATLLNCLIADEIYDLALILLKRYPRLAFIEDIHKNYTITLLANKHSAFLSGSKLKFWQRWLYSCIREENELPSQEASDEENVYKPYWPYSSQKGFILQRTKSLRELKSKHAKARQLLELIFKEMPRLSNEELEKVGLHQVIYDAIKCGLIEFIQDLIHSNPDVIWWADQKGRTLFSYAIILRQEKIFNIIYELGTKMHTIVIWRDVFNNNFLHLAAKLPPSSQLDRLPGAALQMQKELQWFKEIEELVPSKYKERVNENGETPSALFVKEHSELVKEGERWIKNTVAQCMAVAILVASVMVTSTFQFPGGNDENGFPKLLSYDPFLAFSISNALSLFSASTSVLIFLGILASRYAQEDFLETLPRKLIFGLSSLFFSTLTMMIAFGFSIFIFEEKKSSILAIPLSILSFIPVVFFIFVLFPLLFQMVIHKHKRLSFFGKPKRSANYI >Manes.08G100911.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33616601:33617129:1 gene:Manes.08G100911.v8.1 transcript:Manes.08G100911.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPIPLRESQGFRRSPYCLLSVIFHKRPNEYKIEEFFIWFDCLDGDGMLLSAEGLSFCLEILLL >Manes.17G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21386797:21392991:1 gene:Manes.17G036600.v8.1 transcript:Manes.17G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKILQNPRFAGSSAKPMPSNELSQKPPPPSLLERFRALLKQREEEVRFSAEDDDVAVPSLSTEEIVQLYELMLDELTFNSKPLINDLTIVAGELREHGEGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGREYVRHFSSRLPEVFCEAYRQIHPNLYHSMRHLFGTWSTVFPPSVLRKIETQLQFSPQVNSQSSGLPSLKASDSSRPTHGIHVNPKYLRQLEHSSDNVGGQRLSTAGSVGHTSFTLGANKLHPSSSSRLARRISPSSVGAERPLPSEVDDFAAGNSPQSFVDGAASSHPVLNYGSLRVIGRDEETNEWRRKHYSDDNHNRLEASIAYGLSNGHERQGPRALIDAYGEDRRNRTTNSNSFQIGRIDLDGMANRVGPRSWQNTEEEEFDWEDMSPTLADRSRTNDFLSSVPPFGGAGARPRLGTAASVQLDSDSRSSRLGQAQPSLMDDSSNIAEDAVPILGPGHGSAVKITGFQTERSQIIGSRYPPEAWKLSHHFPQTTDLLNAQGSSREFQMPFSRSGIPTSGSESLGPLSDKLPDTDIQLLRPPTLPSRMVSSIAPSSTGVWPLVHVHKSHPPPVHPIFPLQKQSRSQFDSVTASKGVNQGLHKSSFATEQQFNGLESKDLSLMKRPLLPSQRTVQNKQNQAHANPFQPQFLLSNEARENLQPSVASVPSHPVALPLNHGYAVRGHGAVMSMAPSNIVPSRQFPLPVNNILNTLHSQGGVRPPLPPGPPPASQVILNPQNAGAVASSQPPGGAFSGLINSLMAQGLISLTNQTPVQDAVGLEFNADLLKVRYESGISALYADLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRMSKNRKQKPSRKWFVSASMWLSGAEALGTDAVPGFLPTETIVEKKDDEEMAVPADEEQNACALCGEPFDDFYSDEMEEWMYKGAVYLNAPDGLIAGLDRSELGPIVHAKCRSESSVVPSDDFRQDEGGNTEEGSQRKRMRS >Manes.17G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21386797:21392991:1 gene:Manes.17G036600.v8.1 transcript:Manes.17G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKILQNPRFAGSSAKPMPSNELSQKPPPPSLLERFRALLKQREEEVRFSAEDDDVAVPSLSTEEIVQLYELMLDELTFNSKPLINDLTIVAGELREHGEGIADAICARIIEVPVEQKLPSLYLLDSIVKNIGREYVRHFSSRLPEVFCEAYRQIHPNLYHSMRHLFGTWSTVFPPSVLRKIETQLQFSPQVNSQSSGLPSLKASDSSRPTHGIHVNPKYLRQLEHSSDNNAQNVRGASSTIKIYSQKPAIGYDEYDSDHAEITSSQVGGQRLSTAGSVGHTSFTLGANKLHPSSSSRLARRISPSSVGAERPLPSEVDDFAAGNSPQSFVDGAASSHPVLNYGSLRVIGRDEETNEWRRKHYSDDNHNRLEASIAYGLSNGHERQGPRALIDAYGEDRRNRTTNSNSFQIGRIDLDGMANRVGPRSWQNTEEEEFDWEDMSPTLADRSRTNDFLSSVPPFGGAGARPRLGTAASVQLDSDSRSSRLGQAQPSLMDDSSNIAEDAVPILGPGHGSAVKITGFQTERSQIIGSRYPPEAWKLSHHFPQTTDLLNAQGSSREFQMPFSRSGIPTSGSESLGPLSDKLPDTDIQLLRPPTLPSRMVSSIAPSSTGVWPLVHVHKSHPPPVHPIFPLQKQSRSQFDSVTASKGVNQGLHKSSFATEQQFNGLESKDLSLMKRPLLPSQRTVQNKQNQAHANPFQPQFLLSNEARENLQPSVASVPSHPVALPLNHGYAVRGHGAVMSMAPSNIVPSRQFPLPVNNILNTLHSQGGVRPPLPPGPPPASQVILNPQNAGAVASSQPPGGAFSGLINSLMAQGLISLTNQTPVQDAVGLEFNADLLKVRYESGISALYADLPRQCTTCGLRFKCQEEHSSHMDWHVTKNRMSKNRKQKPSRKWFVSASMWLSGAEALGTDAVPGFLPTETIVEKKDDEEMAVPADEEQNACALCGEPFDDFYSDEMEEWMYKGAVYLNAPDGLIAGLDRSELGPIVHAKCRSESSVVPSDDFRQDEGGNTEEGSQRKRMRS >Manes.05G174600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29099668:29106006:1 gene:Manes.05G174600.v8.1 transcript:Manes.05G174600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGFQELSSSRSGLRVRDTSPDSVIFTLESNFSLFSSASASVDRCSFASDAYDRDSLASEISLHLAAHEAPSCGGVGGSELHETASGPDRDRDPNKLITVYSNTKHAPAPSRLFRKVQKEESKATTEVEDDIRLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIATSSPRLGAMKKSSISSRRSGTFPSPGTPNYCHASVEMQKGWSSERVPLHNVNRRQVNAALLPFNNGRTLPSKWEDAERWIFSPVSGDGALKTSVQHSHRRPKSKSGPLGPPGIAYYSLYSPAIPVFEGGNTRNFVAGSPFSAGVIAADGLSIRSNGPGLAFPARTERCMARSVSVHGCSEGLAQSSLPSQDEKLGGVKDAATDISSAVSRRDMATQMSPEHSNHSPRSGRASFSASTPSALAIVELQSMHSSNSEVRDVQVDERVTVTRWTKKHRARNQRKSSEIIDDWRKKSVDAGPSGWDVSEVAKSISKAKREEARINAWENLQKAKAEAEIRKLEVKLEKKRSSSMDKIMNKLRSAQKKAQEMRTSVLSNQARQVSRTSHKVISFRRTRQMGSLSGCFTCHAF >Manes.05G174600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29099589:29106870:1 gene:Manes.05G174600.v8.1 transcript:Manes.05G174600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGFQELSSSRSGLRVRDTSPDSVIFTLESNFSLFSSASASVDRCSFASDAYDRDSLASEISLHLAAHEAPSCGGVGGSELHETASGPDRDRDPNKLITVYSNTKHAPAPSRLFRKGEKAKVQKEESKATTEVEDDIRLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIATSSPRLGAMKKSSISSRRSGTFPSPGTPNYCHASVEMQKGWSSERVPLHNVNRRQVNAALLPFNNGRTLPSKWEDAERWIFSPVSGDGALKTSVQHSHRRPKSKSGPLGPPGIAYYSLYSPAIPVFEGGNTRNFVAGSPFSAGVIAADGLSIRSNGPGLAFPARTERCMARSVSVHGCSEGLAQSSLPSQDEKLGGVKDAATDISSAVSRRDMATQMSPEHSNHSPRSGRASFSASTPSALAIVELQSMHSSNSEVRDVQVDERVTVTRWTKKHRARNQRKSSEIIDDWRKKSVDAGPSGWDVSEVAKSISKAKREEARINAWENLQKAKAEAEIRKLEVKLEKKRSSSMDKIMNKLRSAQKKAQEMRTSVLSNQARQVSRTSHKVISFRRTRQMGSLSGCFTCHAF >Manes.05G174600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29099589:29106870:1 gene:Manes.05G174600.v8.1 transcript:Manes.05G174600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGFQELSSSRSGLRVRDTSPDSVIFTLESNFSLFSSASASVDRCSFASDAYDRDSLASEISLHLAAHEAPSCGGVGGSELHETASGPDRDRDPNKLITVYSNTKHAPAPSRLFRKVQKEESKATTEVEDDIRLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIATSSPRLGAMKKSSISSRRSGTFPSPGTPNYCHASVEMQKGWSSERVPLHNVNRRQVNAALLPFNNGRTLPSKWEDAERWIFSPVSGDGALKTSVQHSHRRPKSKSGPLGPPGIAYYSLYSPAIPVFEGGNTRNFVAGSPFSAGVIAADGLSIRSNGPGLAFPARTERCMARSVSVHGCSEGLAQSSLPSQDEKLGGVKDAATDISSAVSRRDMATQMSPEHSNHSPRSGRASFSASTPSALAIVELQSMHSSNSEVRDVQVDERVTVTRWTKKHRARNQRKSSEIIDDWRKKSVDAGPSGWDVSEVAKSISKAKREEARINAWENLQKAKAEAEIRKLEVKLEKKRSSSMDKIMNKLRSAQKKAQEMRTSVLSNQARQVSRTSHKVISFRRTRQMGSLSGCFTCHAF >Manes.05G174600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29099589:29106870:1 gene:Manes.05G174600.v8.1 transcript:Manes.05G174600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGFQELSSSRSGLRVRDTSPDSVIFTLESNFSLFSSASASVDRCSFASDAYDRDSLASEISLHLAAHEAPSCGGVGGSELHETASGPDRDRDPNKLITVYSNTKHAPAPSRLFRKGEKAKVQKEESKATTEVEDDIRLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIATSSPRLGAMKKSSISSRRSGTFPSPGTPNYCHASVEMQKGWSSERVPLHNVNRRQVNAALLPFNNGRTLPSKWEDAERWIFSPVSGDGALKTSVQHSHRRPKSKSGPLGPPGIAYYSLYSPAIPVFEGGNTRNFVAGSPFSAGVIAADGLSIRSNGPGLAFPARTERCMARSVSVHGCSEGLAQSSLPSQDEKLGGVKDAATDISSAVSRRDMATQMSPEHSNHSPRSGRASFSASTPSALAIVELQSMHSSNSEVRDVQVDERVTVTRWTKKHRARNQRKSSEIIDDWRKKSVDAGPSGWDVSEVAKSISKAKREEARINAWENLQKAKAEAEIRKLEVKLEKKRSSSMDKIMNKLRSAQKKAQEMRTSVLSNQARQVSRTSHKVISFRRTRQMGSLSGCFTCHAF >Manes.05G174600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29099669:29106006:1 gene:Manes.05G174600.v8.1 transcript:Manes.05G174600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGFQELSSSRSGLRVRDTSPDSVIFTLESNFSLFSSASASVDRCSFASDAYDRDSLASEISLHLAAHEAPSCGGVGGSELHETASGPDRDRDPNKLITVYSNTKHAPAPSRLFRKGEKAKVQKEESKATTEVEDDIRLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIATSSPRLGAMKKSSISSRRSGTFPSPGTPNYCHASVEMQKGWSSERVPLHNVNRRQVNAALLPFNNGRTLPSKWEDAERWIFSPVSGDGALKTSVQHSHRRPKSKSGPLGPPGIAYYSLYSPAIPVFEGGNTRNFVAGSPFSAGVIAADGLSIRSNGPGLAFPARTERCMARSVSVHGCSEGLAQSSLPSQDEKLGGVKDAATDISSAVSRRDMATQMSPEHSNHSPRSGRASFSASTPSALAIVELQSMHSSNSEVRDVQVDERVTVTRWTKKHRARNQRKSSEIIDDWRKKSVDAGPSGWDVSEVAKSISKAKREEARINAWENLQKAKAEAEIRKLEVKLEKKRSSSMDKIMNKLRSAQKKAQEMRTSVLSNQARQVSRTSHKVISFRRTRQMGSLSGCFTCHAF >Manes.05G174600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29099669:29106855:1 gene:Manes.05G174600.v8.1 transcript:Manes.05G174600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGFQELSSSRSGLRVRDTSPDSVIFTLESNFSLFSSASASVDRCSFASDAYDRDSLASEISLHLAAHEAPSCGGVGGSELHETASGPDRDRDPNKLITVYSNTKHAPAPSRLFRKGEKAKVQKEESKATTEVEDDIRLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIATSSPRLGAMKKSSISSRRSGTFPSPGTPNYCHASVEMQKGWSSERVPLHNVNRRQVNAALLPFNNGRTLPSKWEDAERWIFSPVSGDGALKTSVQHSHRRPKSKSGPLGPPGIAYYSLYSPAIPVFEGGNTRNFVAGSPFSAGVIAADGLSIRSNGPGLAFPARTERCMARSVSVHGCSEGLAQSSLPSQDEKLGGVKDAATDISSAVSRRDMATQMSPEHSNHSPRSGRASFSASTPSALAIVELQSMHSSNSEVRDVQVDERVTVTRWTKKHRARNQRKSSEIIDDWRKKSVDAGPSGWDVSEVAKSISKAKREEARINAWENLQKAKAEAEIRKLEVKLEKKRSSSMDKIMNKLRSAQKKAQEMRTSVLSNQARQVSRTSHKVISFRRTRQMGSLSGCFTCHAF >Manes.05G174600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29099589:29106870:1 gene:Manes.05G174600.v8.1 transcript:Manes.05G174600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGFQELSSSRSGLRVRDTSPDSVIFTLESNFSLFSSASASVDRCSFASDAYDRDSLASEISLHLAAHEAPSCGGVGGSELHETASGPDRDRDPNKLITVYSNTKHAPAPSRLFRKVQKEESKATTEVEDDIRLLDSARSSFSLALKECQERRSRSEAISKKPDRRRPASLDLNNVIATSSPRLGAMKKSSISSRRSGTFPSPGTPNYCHASVEMQKGWSSERVPLHNVNRRQVNAALLPFNNGRTLPSKWEDAERWIFSPVSGDGALKTSVQHSHRRPKSKSGPLGPPGIAYYSLYSPAIPVFEGGNTRNFVAGSPFSAGVIAADGLSIRSNGPGLAFPARTERCMARSVSVHGCSEGLAQSSLPSQDEKLGGVKDAATDISSAVSRRDMATQMSPEHSNHSPRSGRASFSASTPSALAIVELQSMHSSNSEVRDVQVDERVTVTRWTKKHRARNQRKSSEIIDDWRKKSVDAGPSGWDVSEVAKSISKAKREEARINAWENLQKAKAEAEIRKLEVKLEKKRSSSMDKIMNKLRSAQKKAQEMRTSVLSNQARQVSRTSHKVISFRRTRQMGSLSGCFTCHAF >Manes.17G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30688480:30693367:-1 gene:Manes.17G100200.v8.1 transcript:Manes.17G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPLDPENFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKDGINVEEAFQCIASNALKSGEEEDIYLPDTIDVGTRGQPRSSGCEC >Manes.02G085900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6764265:6766141:1 gene:Manes.02G085900.v8.1 transcript:Manes.02G085900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFFRDAIAGCRNFSTNTYSICKTMKKSCESTINWTKEQNDVLNHVRGGLSVFITGSAGTGKSVLLKTIINVLKKVHGSSGVFVTASTGVAACALNGRTLHSFAGFGIRNDEYGTLLDRVIMSSCACERWRQVKALVIDEISVISANMFDNLESIAREIRGSKEIWGGIQLIVSGDFFQLSPVPDKCNSSGKEFAFEANCWDASFDMLVELTKVFRQSDAGQIELLQRTRKGIIYPEDMQILEQCCSSNEPDSSVVSFYPRNEDVNKVNEERIKSLGEKVVVYKAADGGVDNQREELKQGIAPDQLELCKGARVMLIKNLNVRRNLCNGATGTVTGFVEAEDKDVIHLCPDNLLPVVKFDSGQEMVIEPQVWGISEEGSTVAWRSQIPLHLAWAQSVHKSQGMTLHRLHTDLSRSFGYGMVYVILSRVRSLKGLHLSGLNPSMIKAHPKVLRFYERFAGEEEQCKEDKNNSKILGRSDKSNVAFGEKKQARARTEKNTIEALKARFLFF >Manes.09G016769.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3662224:3685654:-1 gene:Manes.09G016769.v8.1 transcript:Manes.09G016769.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFYLQLQGAIGTIQSPFLFLFTNYCHSSTSSLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHNVYSLSILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCIESKMDKAVEFFHDMVARGYQPNVYTYSTIINGMCKFGKTNVAIGLLKGMADRGCGPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPTVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMASEAQNTFNVMIQRGLEPDVITYTSLIDGLCISDQFKEALALLKEMVGRNIFPNVFTFNILIDTLCKKGLVSNVENIIKIMIQRGVEPTVVTYSSLMDGYCLGSQIDKARKVFDLMVTNEIANIFSYNILINGYCKCKMIDDAKEFFDEMSHKVLVPNAVTYNTLIKGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGMCRVGKINDAKELFSSLSEIGLQPDVYVYSAIMKGLCQEGLMDEAYKVFKDMEKVGCLPNNCCYNIIIKGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNNLILRLLKVRNKGSTN >Manes.09G087601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24347386:24348855:1 gene:Manes.09G087601.v8.1 transcript:Manes.09G087601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSFVQAQLAVFDITKFGAAPDGKADASQAIADAWKEACATAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGMVGTLDGQGQVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDIMSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVIISNAKISTGDDCISIGDGTENLKITKMACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENISNPFIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVKLANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.15G106400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8439714:8450572:-1 gene:Manes.15G106400.v8.1 transcript:Manes.15G106400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTSWADLAANSAAENTVAGSSANNGSVGTTSAAPPRSTYVPPHLRNRMPSSDPPAPAYSGPATSNDRPGYGGSRWGAPRNDYRGGRSGGWGNRSGGWVRGREPEVNPFADDDDTEEAFTEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMKMQGQSAQRPPRGARAVYPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPINQQLRELERGVDIIVATPGRLVDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQNDMPRPGARQTMLFSATFPREIQRLASDFLYNYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGRQALTLVFVETKKGADSLEHWLCVNGFPATSIHGDRTQQEREQALRSFRSGNTPILVATDVAARGLDIPHVAHVVNFDFPNDIDDYVHRIGRTGRAGKTGLATAFFNEGNASLARSLADLMQESNQEVPAWLSRYAARSSYGGGRNRRSGGGRFGGRDFRRDSSFSRGSSDYYGGANTSSGHGASGGYGGYGSGMTSAWD >Manes.15G106400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8439714:8450365:-1 gene:Manes.15G106400.v8.1 transcript:Manes.15G106400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTSWADLAANSAAENTVAGSSANNGSVGTTSAAPPRSTYVPPHLRNRMPSSDPPAPAYSGPATSNDRPGYGGSRWGAPRNDYRGGRSGGWGNRSGGWVRGREPEVNPFADDDDTEEAFTEQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRHAIPISLGGRDLMACAQTGSGKTAAFCFPIISGIMKMQGQSAQRPPRGARAVYPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPINQQLRELERGVDIIVATPGRLVDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQNDMPRPGARQTMLFSATFPREIQRLASDFLYNYIFLAVGRVGSSTDLIVQRVEFVHESDKRSHLMDLLHAQRANGVQGRQALTLVFVETKKGADSLEHWLCVNGFPATSIHGDRTQQEREQALRSFRSGNTPILVATDVAARGLDIPHVAHVVNFDFPNDIDDYVHRIGRTGRAGKTGLATAFFNEGNASLARSLADLMQESNQEVPAWLSRYAARSSYGGGRNRRSGGGRFGGRDFRRDSSFSRGSSDYYGGANTSSGHGASGGYGGYGSGMTSAWD >Manes.14G164200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25943369:25943989:1 gene:Manes.14G164200.v8.1 transcript:Manes.14G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKCLAILVLFVSTLAVSECRVARKDLGLDLGGVGVGLGVGLGLGLGGSGSGSGAGAGSGSGSGSSSSSSSSSSSSSRSNSGSGGSGAGSEAGSSAGSYAGSRAGSGGSGAGSEAGSSAGSRAGSGSGNGHGK >Manes.13G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4482040:4488440:-1 gene:Manes.13G037000.v8.1 transcript:Manes.13G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLKKLVSRSLSVAGNWQQQQLRRLNIHEYQGAGLMSKYGINVPKGVAVSSVDEVRKAIQEVFPNDSELVVKSQILAGGRGLGKFKNGFEGGVHIVKADKVEETAGKMLGQILVTKQTGPQGKVVSKVYLCEKLSLVNEMYFAIMLDRQTAGPLIIACKKGGTSIEDLAEKFPDLIIKVPIDVFKGITDEDAAKVVDGLAPKVADRKDSIEQVKKLYKLFCECDCTLLEINPMAETSGNELVAADAKLNFDDNAAFRQKEIFSLRDPTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEGQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKALAS >Manes.02G133700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10204225:10212622:1 gene:Manes.02G133700.v8.1 transcript:Manes.02G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLIGAFKPPCNVSITFADGRTRKQVPFKKENGQNIMVPLFQSQETIVGEVVIEPAQGKKVEHTGVKIELLGQIELYFDRGNFYDFTSLVRELDIPGELYERKTYSFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVNNIVEYQDFVVRNYSALPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYFLNLVLVDEEDRRYFKQQEITVYRLLENS >Manes.04G011300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1523207:1524928:1 gene:Manes.04G011300.v8.1 transcript:Manes.04G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRIESTRTIKPIYEGNCPPPTSHCIPLSVFDKVTYNAHIAVIYAYHPPTPTNATIELGLRRGLSEYREWAGRLGEDEKGDSVIFLNDKGVKLVEASVDSKLDQLMPLKPSPFLLSLHPSLKDVEELVQVQLTRFTCGSLVVGFTSNHLVADGHSTSNFLVAWGKASRGVDMSPLPLHDRTIFIPRKPPHFEFEHRGIEFKSNNLLKDCPNKYNDNFVDDITVHKVHFTLDFLSKLKARTCPPSNNLQNKAYSTFESLVAHLWRTITRARGLSGFKTTHVRISVNGRMRMNPRVSNEYFGNLVLWAFPSARVKDLLKEPLPYAAKLIHEAISKVNNNYFKSFIDFATHKAEKEENLMPTAEMDKSVLCPNLEVDSWLRFPFYDLDFGGGSPYIFMPSYFPTEGMMFLLPSFIGDGSIDAFIPLFQENLASFKEIIYSLD >Manes.04G011300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1523288:1524957:1 gene:Manes.04G011300.v8.1 transcript:Manes.04G011300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRIESTRTIKPIYEGNCPPPTSHCIPLSVFDKVTYNAHIAVIYAYHPPTPTNATIELGLRRGLSEYREWAGRLGEDEKGDSVIFLNDKGVKLVEASVDSKLDQLMPLKPSPFLLSLHPSLKDVEELVQVQLTRFTCGSLVVGFTSNHLVADGHSTSNFLVAWGKASRGVDMSPLPLHDRTIFIPRKPPHFEFEHRGIEFKSNNLLKDCPNKYNDNFVDDITVHKVHFTLDFLSKLKARTCPPSNNLQNKAYSTFESLVAHLWRTITRARGLSGFKTTHVRISVNGRMRMNPRVSNEYFGNLVLWAFPSARVKDLLKEPLPYAAKLIHEAISKE >Manes.12G054902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5357133:5359225:-1 gene:Manes.12G054902.v8.1 transcript:Manes.12G054902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDQRAWSAHALAFPFPAQGHINPVLQFCKRLVSKGVKATLVTTRFLSKSIHVDPSSNIDLETISDGFDEGGHAQAESVEAYVSTFKAVGSETLANLIRKLNDSGHPVNALIYDGTFPWALDVAKQFGLLKVMFCTQSCAVSIVAYHVQRGLLRVPLSGPVVSIPGLPLMEAADTPSFIYDLSTYTAFYDVLVNQFINIDETDWILHSSFHKMEEEVVDWMAKRWRLRTIGPTVPSMYLDKRIESDKSYAINLFKPNASACINWLHAKPVASVVYVSFGSVAELGVEQTRELAWGLKGSNCYFLWVVRATELFKLPENFVEETKEKGLVVTWCPQLEVLTHEAIGCFLTHCGFNSVLEAMSLGVPMVAVPQWSDQPTNAKYVEDVWKMGIRAKPDEEGIVRREVVEVCLREVMEGEKAKEIRDNARNWKRLSKEAIDEGGTSDKNIDEFVAALLGKTTLGNCNFI >Manes.09G173000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37624280:37624879:1 gene:Manes.09G173000.v8.1 transcript:Manes.09G173000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRFGERRSFASATTPKFAQGVGGVHSSRPAHNKYALTGEFAPVYIVMGMVVVAISIGVHTVKQQLVHSPAVNITKKRRGSMPEVDIPDAVTSNGNKFINKSFLRKVAHIQDDKRPDPFTRSRNAQTLSTVGVNRRGN >Manes.02G140000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10784096:10785273:1 gene:Manes.02G140000.v8.1 transcript:Manes.02G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFVVTPASFNHHHQHQHESSINLATDPIHGSNAAATALGVGVGVGVIPLLTAAPCLAPQNMDDQDLLNNGRNKMGGLQFWQNQSSHHHTLSNIKRTNASILDHDNSPANLLQSGNNNSSGGGIGGNSASSGTTTCQDCGNQAKKDCSYRRCRTCCKSRGYDCSTHVKSTWVPAARRRERQLMAAAAGGGDGSSGSTTGIKKPRLVNSQTTTNSHTSTSNTTPPRSFDTSSSHQDASFKETLPGNVRAQAVFKCVRVTAVDDGEDEYAYQAVVNIGGHVFKGFLYDQGVEGRDGFPNISELHLGSANSGGGGGDGVRNGASSSPIIDPSDVYGASGGGLLGGLSYGNPIN >Manes.03G201900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31788864:31790886:-1 gene:Manes.03G201900.v8.1 transcript:Manes.03G201900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKNLFTAFLNVSTTLPCPFSRSRTHLLATFVLLLSLLFLSTSLTNHSSSFTASALASRLLFAALYISPFSSIKSSNTCLVSDLRDNCTLSSVTAMERTRRNSRKEETDVISGLSSCDIFNGKWVVDNSDPIYQPGSCPFVDDAFNCFKNGRPDLGYLGYRWKPHGCRIPRFDGRKMLEMLRGKRLVFVGDSLNRNMWESLVCALRESLKNKSRIFEVSDRRELRTQGFYSFKFIDYNSSVDFVKSPFLVQEWKASDKTRSRKETLRLDMIQATSTKYHEADIIIFNTGHWWTHQKTYKGKDYFQEGSHVYNRLQVTEAYTKALGTWAQWVDANIDGSRTRVFFRGYSASHFRKGQWNSGGHCENETQPVRNDTGLAPYPWMMSILESVISEMKTPVFYLNISKMTDYRKDGHPSIYRQPEIRRTHGMTQDCSHWCLPGVPDFWNELLYATLLLSHHDLSINVH >Manes.11G160900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32631166:32635883:-1 gene:Manes.11G160900.v8.1 transcript:Manes.11G160900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHETKSQAHASAADEGKVMTRKQKAENKGHDGEQSPKKAKSEHETDRANGKTKADIAREFEDFCKSVREHLSVAQMREILEMNDQDSSGSDGSVTTKCQDLLFLGALGKCPLCDCNLEFDGTRYSCKGSYSEWSSCTFKTSNPPRKEEPIKLPDSVLNTPIADLLKKYQEPSRRPHRDLGAPAKPFAGMMISLSGRLSRTHHYWKKIIEKNGGKVANSVIGVNCLVVSPAERERGGSSKLSEAMERGIPVVREAWLLESIEKQELQPLEAYDVVSDLAVEGKGIPWDKREASEEALESLAAEIKVYGKRGVHKDTKLQERGGQIFERDGILYNCAFSLCDMGREINEYCVMQLISVPESNLHLYYKKGRIGDDSRAEERLEEWENVDNAIKEFTRLFEEVTGNEFEPWEREKKIEKKLLKFYPVDMDDGVDVRHGGLGLRQLGVAATHCNLESKVANFMKVLCSQEIYRYAMMEMGLDPPDLPVGMLSNVHLRRCEEVVVQFVEAVKPIKGTGQKSEAVWSDYSQRWFTLMHSTRPLILRDYEELADNVVAAFETVRDITVASHLVGDMSGSTLDDPLSDRYNNLGCSISPVENDSDDYKMIVKYLEKTYEPIKVGDIDYGVSVENVFAVEPSACPSMDEIKKLPNKVLLWCGTRSSNLLRHLKKGFLPATCSLPVPGYMFGKALVCSDAAAEAARYGFTAVDRPEGFLVLAVVSQGDNITEVSSVPEASWFPFLNCNLY >Manes.11G160900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32631166:32635883:-1 gene:Manes.11G160900.v8.1 transcript:Manes.11G160900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHETKSQAHASAADEGKVMTRKQKAENKGHDGEQSPKKAKSEHETDRANGKTKADIAREFEDFCKSVREHLSVAQMREILEMNDQDSSGSDGSVTTKCQDLLFLGALGKCPLCDCNLEFDGTRYSCKGSYSEWSSCTFKTSNPPRKEEPIKLPDSVLNTPIADLLKKYQEPSRRPHRDLGAPAKPFAGMMISLSGRLSRTHHYWKKIIEKNGGKVANSVIGVNCLVVSPAERERGGSSKLSEAMERGIPVVREAWLLESIEKQELQPLEAYDVVSDLAVEGKGIPWDKREASEEALESLAAEIKVYGKRGVHKDTKLQERGGQIFERDGILYNCAFSLCDMGREINEYCVMQLISVPESNLHLYYKKGRIGDDSRAEERLEEWENVDNAIKEFTRLFEEVTGNEFEPWEREKKIEKKLLKFYPVDMDDGVDVRHGGLGLRQLGVAATHCNLESKVANFMKVLCSQEIYRYAMMEMGLDPPDLPVGMLSNVHLRRCEEVVVQFVEAVKPIKGTGQKSEAVWSDYSQRWFTLMHSTRPLILRDYEELADNVVAAFETVRDITVASHLVGDMSGSTLDDPLSDRYNNLGCSISPVENDSDDYKMIVKYLEKTYEPIKVGDIDYGVSVENVFAVEPSACPSMDEIKKLPNKVLLWCGTRSSNLLRHLKKGFLPATCSLPVPGYMFGKALVCSDAAAEAARYGFTAVDRPEGFLVLAVVSQGDNITEVSSVPEDTKSLEEKKIGVKGLGRKKTDESEHFMWEGDIKVPCGRLIPSEHKDSPLEYNEYAVYDPKQTRIRFLVGVKYEEKDAVMDTAEPQGEVS >Manes.11G160900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32631166:32635870:-1 gene:Manes.11G160900.v8.1 transcript:Manes.11G160900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNVKVHETKSQAHASAADEGKVMTRKQKAENKGHDGEQSPKKAKSEHETDRANGKTKADIAREFEDFCKSVREHLSVAQMREILEMNDQDSSGSDGSVTTKCQDLLFLGALGKCPLCDCNLEFDGTRYSCKGSYSEWSSCTFKTSNPPRKEEPIKLPDSVLNTPIADLLKKYQEPSRRPHRDLGAPAKPFAGMMISLSGRLSRTHHYWKKIIEKNGGKVANSVIGVNCLVVSPAERERGGSSKLSEAMERGIPVVREAWLLESIEKQELQPLEAYDVVSDLAVEGKGIPWDKREASEEALESLAAEIKVYGKRGVHKDTKLQERGGQIFERDGILYNCAFSLCDMGREINEYCVMQLISVPESNLHLYYKKGRIGDDSRAEERLEEWENVDNAIKEFTRLFEEVTGNEFEPWEREKKIEKKLLKFYPVDMDDGVDVRHGGLGLRQLGVAATHCNLESKVANFMKVLCSQEIYRYAMMEMGLDPPDLPVGMLSNVHLRRCEEVVVQFVEAVKPIKGTGQKSEAVWSDYSQRWFTLMHSTRPLILRDYEELADNVVAAFETVRDITVASHLVGDMSGSTLDDPLSDRYNNLGCSISPVENDSDDYKMIVKYLEKTYEPIKVGDIDYGVSVENVFAVEPSACPSMDEIKKLPNKVLLWCGTRSSNLLRHLKKGFLPATCSLPVPGYMFGKALVCSDAAAEAARYGFTAVDRPEGFLVLAVVSQGDNITEVSSVPEDTKSLEEKKIGVKGLGRKKTDESEHFMWEGDIKVPCGRLIPSEHKDSPLEYNEYAVYDPKQTRIRFLVGVKYEEKDAVMDTAEPQGEVS >Manes.07G047050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5348754:5365479:-1 gene:Manes.07G047050.v8.1 transcript:Manes.07G047050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISQLHIVLPVICLIIGEFALNGKAQMVDCNESDREALIDFKKGLEESEGRISSWRGSNCCQWWGITCDNNTGAVVTVDLHNQYPSGYDASGRYGNWNLSGMIRPSLTKLKSLRYLDLSFNTFNGTIPDFLSSLENLQYLNLSNAGFRGAVPPNLGNLSRLQYLDLSPSFPYYLSVNNFEWVTGLVSLKYLEMTGSNLSMVGLRWIEAFHKLPHLTELHLSSCGLSTFTSTLTFVNFTSLAVLDLHGNQFNSMLPSWLVNISSLVSLDISSSSLYGRIPLGFGELTNLRSLNLGNNDNLTASCSQLLGGSWKKIEVLDFELNKLHGSLPASLGNMTFLTRLNLFHSGIKGGIPGSIGRLCNLQYIDLSSNNLTGSIPEGIENCPSKGPLPSLQQFIASDNQLVGNLPDWLGQLTNLVELNLQWNSLHGPIPASLGNLQHLSELRLEANKLNGSLPESLGQLSNLSALDVSINELTGVISETHFSRLSKLQLLLLSENSFILNLNSHWIPPFQLWYLELGSCHLGPLFPEWLRSQKELKYLHFPNASVSGSIPEWFWEMSGNLSVLNISFNQLEGQLPNPFNIAPFALLDLSSNLFYGPIPLPSAEINLLDLSNNQFSGPIPDNIGKIMPNLVFLSLSNNQITSEVPVSIGEMKSLQVVDLSRNNLTGSIPPSIGNSSLLSVLDLQKNNLSGEILASLGQLNLLQTLHLNNNRFSGEIPSTLQNLSFLETLDLGNNSSLQVLDLAENKLNSTIPASFGDLKAMTQQQTVNIYLFYGSYMTQYYQENFAVNMYGQPLVYTKTLSLLTSIDLSGNNLHGELPEQITKLVGLVVLNLSGNHISGRIPNSISELRQLLSLDLSDNNFSGGIPPSMSSMTFLAYLNVSNNKLSGIIPYTNQMTTFNATSFSGNPGLCGGPLTVKCSDGGVTGDSDGRRNADSDRDDSFIDKWFYLSIGLGYAAGLLLPYLTFAIRTSWGTYTLVFMLLFLLVNRSQIL >Manes.04G087299.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28909731:28910908:-1 gene:Manes.04G087299.v8.1 transcript:Manes.04G087299.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLMVLHKFTLLFLLASTAFLSPSFAGRRFKFMNKLANQVDMSFYEEVSKPSKQVDEASMIHERLLRANTKDYGNYDPAPALGKPPFKLIPN >Manes.02G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:932531:935095:1 gene:Manes.02G007800.v8.1 transcript:Manes.02G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQQSFWQFSDQLRLHTSNLANLSLNDSIWSTSLASKRPDERRNFDIRVGGDVNSVINYKSKESDLNNGFNDEWKIGSSGAAARDLNGFNDGWKVGSSAKSYGLGPVGQVVGGGSQNNIGINGGFNKGTYSKNNHNNNINVHLKANKKKGEEDHGGKIGKKYTNKKNNGESNNDGKDKQSAVDKRFKTLPPSESLARNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVITRKICEPLEEDSFRPILHHYDGPKFRLELNIPEALSLLDIFEEENP >Manes.18G111200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11099053:11100208:1 gene:Manes.18G111200.v8.1 transcript:Manes.18G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDDPESPELPCEIKLANWAFSIFFNVISRSNGIVYRFLRSSFDLKTCPSKKPINGAKTTDITVDNSRNLWFRIYTPTNTGDDAATAGLPVIFFFHGGGFVFLAANSLPYEVFCRGLARHLSAIIISVNYRLAPDNRYPSQYEDGFDALKFIDATKLEGFSGNLKQCFLAGDSAGGNMVHHIAVKAREHEFSNLKFIGNILIQPFFGGEERTESELRLTRAPFFTMDRADWMWKSFLPEGSNRDHPAANVFGPNSVDISGVKLPSTIIFVGGFDPLQDWQKRYYEGLKKSGKEVHLVEFANAFHSFYVFPELPEFDLLMKETKDFMQKQLESSNI >Manes.11G159500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32505050:32518861:1 gene:Manes.11G159500.v8.1 transcript:Manes.11G159500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIELRQEQRNCVRSMKEQGILDDNFDHVLDLRSTDNPRFVVEVISMFLNDAENCVAELNRCLNQPVLNYARVINYAHQLKGCSSSIGARRVNLACRELQNASDAMDQDGCFEAFNKLNIAFQVLKNNLNSITQIERYIMANESRRRRLLLRP >Manes.11G082600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:18223291:18228850:-1 gene:Manes.11G082600.v8.1 transcript:Manes.11G082600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVERVGGPQLGEENNVENQVSGEKVEERVVVGTDKLKDFEEEIFEEAVDSSEGIDSQGQFQDKGENSESVLGVETMGDSSSPVVEENSNMENEVETFEEAVDAPVEAGVPQDLVAVAGEESSKDLVAVVGEESPKNLVAVVGEESPKDLVGGDSVDKIDEGGTSKEVIFHELNGEKEVSEIGGDVGTGALMGALEVNSRHEVGRSREILSEDGKVDELKKDELGTEYQNMGESVDGVIQLQEDNDDGLNDNMKMVDTECHQGEKSGELNGDTTVLASENGVPKSEQWMDNSSNFDIGLNGVSSEDVKDISVPLNSGHHEEIHDLNANPAVLHAMETTAAPEILNLHSENLVNDNSEDIHDCIATPATLVEEDNKVSKSPCADEKVNGVGNDSIVIEEPEKKAEKDHEEKKSTQGNDEQQIPTPEKLALSSDEKVNSVGNDSNVIEEPKMKGEKDQEEKKSTQGNDKQQIPTPEKVASSSETSTGPASTPVRPAGLGRAAPLLEPAPRAVQQQQQQRVNGTMSHVQSQQVEDLANGEGDEYDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLLPSGSDQRQNEKILNSVKHFIKQTPPDIVLYLDRLDMQSRDFGDMPLLRTITEIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLLDSPPGKPFATRSSRAPPLPFLLSSLLQSRQQVKLPEEQLGDEDGLDDDLEESSDSEDESEYDDLPPFKSLTKAQVAKLTRAQKKAYFDELEYREKLFMKKQLKEEKKRQKMMKKMAAEAKDLPSDYSENVEEESGDAASVPVPMPDLALPASFDSDNPTHRYRYLDTSNQWLVRPVLETHGWDHDVGYEGINAERLFVVKDKIPLSVSGQVTKDKKDANVQMELASCIKHGDGKSTSLGFDMQTVGKDLSYTLRSETKFCNYRKNKATAGLSVTLLGDVVSAGLKVEDKLIVNKRFRMVLSGGAMTGRGDVAYGGSLEAQLRDKDYPLGRSLSTLGLSVMDWHGDLAVGCNIQSQLPIGRSTNLIARGNLNNRGAGQISIRINSSEQLQIALVGLLPLLKKLFDYPQQMQYGQ >Manes.11G082600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18224050:18228698:-1 gene:Manes.11G082600.v8.1 transcript:Manes.11G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVERVGGPQLGEENNVENQVSGEKVEERVVVGTDKLKDFEEEIFEEAVDSSEGIDSQGQFQDKGENSESVLGVETMGDSSSPVVEENSNMENEVETFEEAVDAPVEAGVPQDLVAVAGEESPKNLVAVVGEESPKDLVGGDSVDKIDEGGTSKEVIFHELNGEKEVSEIGGDVGTGALMGALEVNSRHEVGRSREILSEDGKVDELKKDELGTEYQNMGESVDGVIQLQEDNDDGLNDNMKMVDTECHQGEKSGELNGDTTVLASENGVPKSEQWMDNSSNFDIGLNGVSSEDVKDISVPLNSGHHEEIHDLNANPAVLHAMETTAAPEILNLHSENLVNDNSEDIHDCIATPATLVEEDNKVSKSPCADEKVNGVGNDSIVIEEPEKKAEKDHEEKKSTQGNDEQQIPTPEKLALSSDEKVNSVGNDSNVIEEPKMKGEKDQEEKKSTQGNDKQQIPTPEKVASSSETSTGPASTPVRPAGLGRAAPLLEPAPRAVQQQQQQRVNGTMSHVQSQQVEDLANGEGDEYDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLLPSGSDQRQNEKILNSVKHFIKQTPPDIVLYLDRLDMQSRDFGDMPLLRTITEIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLLDSPPGKPFATRSSRAPPLPFLLSSLLQSRQQVKLPEEQLGDEDGLDDDLEESSDSEDESEYDDLPPFKSLTKAQVAKLTRAQKKAYFDELEYREKLFMKKQLKEEKKRQKMMKKMAAEAKDLPSDYSENVEEESGDAASVPVPMPDLALPASFDSDNPTHRYRYLDTSNQWLVRPVLETHGWDHDVGYEGINAERLFVVKDKIPLSVSGQVTKDKKDANVQMELASCIKHGDGKSTSLGFDMQTVGKDLSYTLRSETKFCNYRKNKATAGLSVTLLGDVVSAGLKVEDKLIVNKRFRMVLSGGAMTGRGDVAYGGSLEAQLRDKDYPLGRSLSTLGLSVMDWHGDLAVGCNIQSQLPIGRSTNLIARGNLNNRGAGQISIRINSSEQLQIALVGLLPLLKKLFDYPQQMQYGQ >Manes.03G122901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25024050:25033070:-1 gene:Manes.03G122901.v8.1 transcript:Manes.03G122901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQTLGVQATIDAFGKLGRENGLKEYNALIKICIGKARESDNEDVMLEYISRAFQLMKLMKEQGFQLENETYGPFLMYIVDMGMVQEFDFFCKFIKEDNPSSLGRLGYYEMLLHIRVDNKEKIQELCNYISVNDLNEPISLQENYLLALCETDQKNELLQLSEIIDITKVSSLGNAVSIFKSLGRLLLESLAEKFLLEFKECDYGIEHISTLIFSYATSIPNLAVEDIILKFKSMHTELEVAPTLKSYEKLLIFCCDLRKVYAALDLVDQICREGLTLSIDMLNSILLASDAVFEFNLGQRIYSLICQHNLIPNSETFRSMISLRAKMKDFGGAYDLLNDVKKLKLTPTASMYNAIMVAYFREKNINGALTVLKEMERAEVRPDSQTYSYLIANCDSEDQIIKYYEELKVAGISVSKQIFMALINSYATCGQFEKAKLVLLEKGIPIKNLNEIKSVLVSALASHGQLCDALDLYEEIKEAGKYLEPKSVICLIDHLQSEDEISRLLQLLEELQDPDYWVDGCYRVILYCIRNKHTSSAVNLLKQLKDRFSNDEVAMQVLFDEVFSLFAETEPSQLHIGLELLQALKNELCVTPSRKSLDFLLSACGRAKDLRNSNLIWKEYKAAGYPYNVASYLRMYQALLASGDSKSAKLMLANIPKDDLHVYVIIEACQKAYNRSKSAKGKNKKNKSKKTQKKEPKVK >Manes.03G122901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25024067:25033070:-1 gene:Manes.03G122901.v8.1 transcript:Manes.03G122901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLKGLSSLFRSSVKPKAKAKAKAAAATATATATVKNSTAMDAALKQYVSSLDISSPSISHSVGKSSVKYPNPPPDPINAHASLAVRTVLPMLKAEGPVLGSEKETKQLAEGISSILRDNDSHETSSKKSSEKQLEIPWIPNFYNEVRSEQRKEVSRKRKHIWVFKSTQVNRFDRLVKMCAQTLGVQATIDAFGKLGRENGLKEYNALIKICIGKARESDNEDVMLEYISRAFQLMKLMKEQGFQLENETYGPFLMYIVDMGMVQEFDFFCKFIKEDNPSSLGRLGYYEMLLHIRVDNKEKIQELCNYISVNDLNEPISLQENYLLALCETDQKNELLQLSEIIDITKVSSLGNAVSIFKSLGRLLLESLAEKFLLEFKECDYGIEHISTLIFSYATSIPNLAVEDIILKFKSMHTELEVAPTLKSYEKLLIFCCDLRKVYAALDLVDQICREGLTLSIDMLNSILLASDAVFEFNLGQRIYSLICQHNLIPNSETFRSMISLRAKMKDFGGAYDLLNDVKKLKLTPTASMYNAIMVAYFREKNINGALTVLKEMERAEVRPDSQTYSYLIANCDSEDQIIKYYEELKVAGISVSKQIFMALINSYATCGQFEKAKLVLLEKGIPIKNLNEIKSVLVSALASHGQLCDALDLYEEIKEAGKYLEPKSVICLIDHLQSEDEISRLLQLLEELQDPDYWVDGCYRVILYCIRNKHTSSAVNLLKQLKDRFSNDEVAMQVLFDEVFSLFAETEPSQLHIGLELLQALKNELCVTPSRKSLDFLLSACGRAKDLRNSNLIWKEYKAAGYPYNVASYLRMYQALLASGDSKSAKLMLANIPKDDLHVYVIIEACQKAYNRSKSAKGKNKKNKSKKTQKKEPKVK >Manes.03G122901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25024067:25034012:-1 gene:Manes.03G122901.v8.1 transcript:Manes.03G122901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAQTLGVQATIDAFGKLGRENGLKEYNALIKICIGKARESDNEDVMLEYISRAFQLMKLMKEQGFQLENETYGPFLMYIVDMGMVQEFDFFCKFIKEDNPSSLGRLGYYEMLLHIRVDNKEKIQELCNYISVNDLNEPISLQENYLLALCETDQKNELLQLSEIIDITKVSSLGNAVSIFKSLGRLLLESLAEKFLLEFKECDYGIEHISTLIFSYATSIPNLAVEDIILKFKSMHTELEVAPTLKSYEKLLIFCCDLRKVYAALDLVDQICREGLTLSIDMLNSILLASDAVFEFNLGQRIYSLICQHNLIPNSETFRSMISLRAKMKDFGGAYDLLNDVKKLKLTPTASMYNAIMVAYFREKNINGALTVLKEMERAEVRPDSQTYSYLIANCDSEDQIIKYYEELKVAGISVSKQIFMALINSYATCGQFEKAKLVLLEKGIPIKNLNEIKSVLVSALASHGQLCDALDLYEEIKEAGKYLEPKSVICLIDHLQSEDEISRLLQLLEELQDPDYWVDGCYRVILYCIRNKHTSSAVNLLKQLKDRFSNDEVAMQVLFDEVFSLFAETEPSQLHIGLELLQALKNELCVTPSRKSLDFLLSACGRAKDLRNSNLIWKEYKAAGYPYNVASYLRMYQALLASGDSKSAKLMLANIPKDDLHVYVIIEACQKAYNRSKSAKGKNKKNKSKKTQKKEPKVK >Manes.07G019200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2171191:2176373:1 gene:Manes.07G019200.v8.1 transcript:Manes.07G019200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARLDELRQAAKTGAEMRFARERERLGTKVELRVQQAEANRMLILKANRQRRATLKERMSQSILRRMARESKYKERVCAAIHQKRAAAERKRLRLLEEEKKRACARVLQVRRVAKSVYNQREIERRRMRDHLENRLLRAKRQRAEYLRQRGRQCNSVRVNWNRMHKQADLLSRKLARCWRQFLRSRRTTLDLAKSYDALKLHESSVESMPFEQLARLIESATTLQTVKGLLDRLESRLRVSKAVLSNHSTNLENIDHLLKRVATPRKRTATRNSVRSRDTKKVGAAREAARSPVKSSRYPVRVVLCAYMILGHPDAVFSGQGDREIALGKSAKEFIRQFELLIRIILDGPIQSSDEESDSMSPKRCTFRCQLATFDKAWCSYLNCFVVWKVKDAQSLEEDMVRAACQLELSMIQKCKMTPGGDTDALSHDMKAIQKQVTEDQNLLREKIQHLSGDAGIERMEHALSETRSKYFQAKENGSPVGSPITQFLSSGTSNSRIAPSVGNLSCTSDVVESVEMPSRVVRSLFRDDDASQPKGTAIISGHFDGQLGSSVENLIAENELIVNEFLHEQHHSIVDGLNTEKENSIKAKIRETMEAAFWDGIMESIKQDEPCYDRVVELVREVRNGISGMAPQSWKHEIDEVIDLDILSQVLESGTLDIDYLRKILEFSFGTLQKLSSPAREEEMKVTYQKLLEELAEMCSAQDESTSSHALAMIKGLRFVLEHIQALKQEISKARIKLMEPLLKGPAGLDYLRKAFANHYGSYSDARASLPLTMRWLSSVRNCGDQEWEEHTNLLSTLINQGSSSQEFLPSITLRTGGSFMLKTKGSGTASTSSSSATGGQKPEPECNGERIDLLVRLGLLKLVSGVSGLTQEVLPETFMLNLPRLRAAQAQIQQIIVISTSVLVCRQTLLMEQVVASGADLESILSKCTNQLLELLDHVDDVGIEQIVEIISGFSQVGDRAGDLEKLQSRKLVMARMLGKSLQAGDPVFEKVARAVYLATRGIVLGGSGPQGRKLAEMALQQVGAALLTERVVGTAEILVVAATVSVAVHGPWYVNLVDNM >Manes.07G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2169387:2176373:1 gene:Manes.07G019200.v8.1 transcript:Manes.07G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVESSSPETGRVAVALDFPLSDMLSFSSPPRIPRRLRKRLLEARTPSTVEEIEAKLRHADLRRQQFYEKLSSKARPKPRSPSRSSSHEEDLGQRLEAKLQAAEQKRLDILAKAQMRLARLDELRQAAKTGAEMRFARERERLGTKVELRVQQAEANRMLILKANRQRRATLKERMSQSILRRMARESKYKERVCAAIHQKRAAAERKRLRLLEEEKKRACARVLQVRRVAKSVYNQREIERRRMRDHLENRLLRAKRQRAEYLRQRGRQCNSVRVNWNRMHKQADLLSRKLARCWRQFLRSRRTTLDLAKSYDALKLHESSVESMPFEQLARLIESATTLQTVKGLLDRLESRLRVSKAVLSNHSTNLENIDHLLKRVATPRKRTATRNSVRSRDTKKVGAAREAARSPVKSSRYPVRVVLCAYMILGHPDAVFSGQGDREIALGKSAKEFIRQFELLIRIILDGPIQSSDEESDSMSPKRCTFRCQLATFDKAWCSYLNCFVVWKVKDAQSLEEDMVRAACQLELSMIQKCKMTPGGDTDALSHDMKAIQKQVTEDQNLLREKIQHLSGDAGIERMEHALSETRSKYFQAKENGSPVGSPITQFLSSGTSNSRIAPSVGNLSCTSDVVESVEMPSRVVRSLFRDDDASQPKGTAIISGHFDGQLGSSVENLIAENELIVNEFLHEQHHSIVDGLNTEKENSIKAKIRETMEAAFWDGIMESIKQDEPCYDRVVELVREVRNGISGMAPQSWKHEIDEVIDLDILSQVLESGTLDIDYLRKILEFSFGTLQKLSSPAREEEMKVTYQKLLEELAEMCSAQDESTSSHALAMIKGLRFVLEHIQALKQEISKARIKLMEPLLKGPAGLDYLRKAFANHYGSYSDARASLPLTMRWLSSVRNCGDQEWEEHTNLLSTLINQGSSSQEFLPSITLRTGGSFMLKTKGSGTASTSSSSATGGQKPEPECNGERIDLLVRLGLLKLVSGVSGLTQEVLPETFMLNLPRLRAAQAQIQQIIVISTSVLVCRQTLLMEQVVASGADLESILSKCTNQLLELLDHVDDVGIEQIVEIISGFSQVGDRAGDLEKLQSRKLVMARMLGKSLQAGDPVFEKVARAVYLATRGIVLGGSGPQGRKLAEMALQQVGAALLTERVVGTAEILVVAATVSVAVHGPWYVNLVDNM >Manes.06G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18090828:18094637:1 gene:Manes.06G051300.v8.1 transcript:Manes.06G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFFGLEMDNYQGDLTDIVRGSAGALGQSDISPVSNWEFPSDPLSLSSSSSAMEDNRDNVFGDPFVNMRDPLLYELNVAAGSGYFSSSNSTDHMLSTSLEDISNDFSGANTSNILAHKVFEEEMDKNPCNIFSRIQISQNNPTKLPASPCDSPVVASASASASSSSPRGFKASMVSIDMINTNISKTCLIDNAGPVQISSPRNLGIKRRKSQAKKVVCIPAPAAANSRPSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPLKNNAGASKSSPSSQAQKTTNTKEEQKESNNDTLSPTFVCGSSTASTSVKEEIEDIEKQMEMDDANQFSEGFPYRPAIQADSNQSEDFFADLGGIETDPLDLLFSQGFTPDEQKESKALDPFGFFNWPGDTNTTTTTTTPNNNNNNNISFGETKRDS >Manes.18G080300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7404545:7408088:-1 gene:Manes.18G080300.v8.1 transcript:Manes.18G080300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSKPLNANRSYFLANQVLSIMIQNRPFDTQLASAITSSCLLTVDLVSDVLRSIPRFFFLSTRSIGRQNTTRHRPPLKQRYLKQESRKYLSNVLVLGPAAYRDQKRVNLGLNKAVEFFYWVETHFSFVHTERTCREMASVLARGNRLENLWHFLKDMARRVNDGGVKLVTTNTVTCLIKVLGEEGLVNEALALFYRMKQYHCKPDVYAYNTIIYALCRVGNFKKAKFLLEQMELPGFVCPPDTYTYTILISSYCKYSLQTGCRKAIRRRLWEANHLFRIMLFKGFVPDVVTYNCLIDGCCKTYRIERALELFEDMNKRGFTPNRVTYNSFIRYYSAVNEIDKAVEMLRTMQRMNHGLATSSSYTPIIHALCEAKRLQEAWDLMVELVDGGSIPREYTYKLVCDALDSLGEGKLLDDSIHKRIKDGIENRYRQVKKVKPIMVTH >Manes.14G139900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12257493:12259719:1 gene:Manes.14G139900.v8.1 transcript:Manes.14G139900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLKTSCKKRNIDGFGVSKLVCVRNGVLNHLMLFIWVMLFRKLDGRRYQRSFCNLYRGFSTY >Manes.14G139900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12258677:12259076:1 gene:Manes.14G139900.v8.1 transcript:Manes.14G139900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLKTSCKKRNIDGFGVSKLVCVRNGVLNHLMLFIWVMLFRKLDGRRYQRSFCNLYRGFSTY >Manes.14G139900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12257493:12259719:1 gene:Manes.14G139900.v8.1 transcript:Manes.14G139900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLKTSCKKRNIDGFGVSKLVCVRNGVLNHLMLFIWVMLFRKLDGRRYQRSFCNLYRGFSTY >Manes.14G139900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12257493:12260347:1 gene:Manes.14G139900.v8.1 transcript:Manes.14G139900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLKTSCKKRNIDGFGVSKLVCVRNGVLNHLMLFIWVMLFRKLDGRRYQRSFCNLYRGFSTY >Manes.15G085001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6533152:6545302:1 gene:Manes.15G085001.v8.1 transcript:Manes.15G085001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTILEQAMFQLTPTRTRCDLIRYPGGGGGVTQISFGPLPANAHWITKATLPRFVRFVSTPEILERFVTIVTELEQTKSSDQSNELLNADAEGMLGSKGDDDGSSDAAQENNSKEQAMAYARALVTGFELDCLNDLVSSADVFGALRITYKRIPMIILLHYKEACLNFVELCKKTNQDWVWMDEIAAMQASRMELPYIRTSGIVLASEESYLSQFSGLSGGKQNGSIDASVSDSSLGSLDVNQESVVPISTPVQSTDGKAHMPMTWPAHLPQYMHNFQGPTFPQISPYQGYLFPGNMQWPPKVDDSAFGHDWEPDGGKKHKSSSWNKNSSHGKGLEASYHVDTTEPSDSSSETGSDHNLHNGEKQSSVEQKHGKKSSRKVVIGNINYITSMRDGGKGSMSDETSDEDEFVDGEALKEQVEEVVGSLERRHKSTSRHNKKANRSTIYGSNDEGKKKVYGNNPEGQKGNEQWVWGAFQNLLLKDKDLDSFDSDPHPSEMETGNEYEFHLGNIEAGENLKPLLFSHKTNEPGNHPCAAASDPSTESRMIIGQKEGDWFISNQLDKPSNKDENMNFRTFGGDYTSSIADDHFCFEKRKKDVLVDGSLMIRARPFTDISMAANIVEATQYENAIPEHANDKAKTHVTHEPEDLYMVLGRDSAAENAMSSWTHEMDYDNDLLSAEVNGRQSGVETVAAGDKLPSNGGKVSGREESSRVSAFGKSKSDLMSRTKKPALGSRTKVERSKSGKEEENRKRMEELMIQRQKRIAERSAAGNSPATSKIMPVKKTSALNNESKTQPPNQETKKRVFRSSTIDRLANARTTPKVESAQSKPAQSKKVPLRANGLAQKTAGADKKSSPNTVKANVPQNKEGKTDEFKDVKDLHSISSVEKNEGNTISQKDALDDKGKNGDSSHFNSSAPLDHFKGNDVGLSINFSEHYQYNSEMTKHPVPESPNEDLNHSDENTRENGMRNENLPSPRKSEIQMSTPPAAEINPYRKKWNCDETSPKAAKDFRKLLLFERKSRTSTN >Manes.06G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16058093:16065064:1 gene:Manes.06G049200.v8.1 transcript:Manes.06G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEEQQPYTSPADDKKRKRKRKANRPNKVDAEKELGEEDLKEEEDGEKKAEKKVKCGSGIMSTESFDSLGLSEPTLKAIQEMGFQYLTQIQARAIPPLLIGKDVLGAARTGSGKTLAFLIPAVELLYNISFTPRNGTGVVVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARKAEAERIVKGVNLLVATPGRLLDHLQNTKGFMYKNLKCLMIDEADRILEANFEEEMKQIIKLLPKSRQTALFSATQTKKVEDLARLSFQTTPVYVDVDDGRTKVTNEGLQQGYCVVPSAKRFILLYSFLKRNLSKKVMVFFSSCNSVKFHSELLRYIQVDCLDIHGKQKQQKRTSTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQYDPPDEPKEYIHRVGRTARGEGAKGSALLFLIPEELQFLRYLKAAKVPVKEYEFDDKKLANVQSHLEKLVTNNYYLNKSAKDAYRSYILAYNSHSMKDIFNVHRLDLQAVAASFCFSCPPKVNLNIDSNASKFRKKANKSKNRFSESNPYGRQSDGDDKRQFVRH >Manes.03G160200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28729322:28736958:1 gene:Manes.03G160200.v8.1 transcript:Manes.03G160200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESTAVADTLLPLIPVSPNLKISESYPQWKDQITFRGLAVSALLGTVFCILVHRLSLATGMVPTLNIAAALLGFFIIKLWTVILTKFGFSSAPFTRQENTIIQTCIVACYGLATSGGFGTYLLAMDERIYQLIGVGYSGNRPEDVKNPGLGWMIGFLFVVSFLGIFSLVALRKVMIIDYKLTYPSGTATAMLINSFHTSTGSQLAEKQVSFLGRYLTLSFCWSCFKWFFSGFEDTCGFDHFPSFGLTLFDRSFYFDFSLSFVGCGLICPRIVNCSILLGAIIFQGILLPFISKYSGDWYPADLHSGDLKGLGGYKVLLSISLILGDGLYNLIKIIAITLKKIHNGTAQDDLPIYENSKQNMEDELRKEIFLKEKIPFWNAAGGFIIVAAVSAVTLPIIFPPLKWYVVLISCIIAPILAFCNSYGTGLTDMSMLSTYGKIGIFVIASFVGSNGGVIAGLAACGVIVTSASTAADLMQDLRTAFDVGSPHGPYSAPYAILFRQMAILGIEGFSELPKYSLCIFCSFFILALVMNILRDATPLKIFRYIPIPVAMSIPIFVGANFAIDMFVGTLIAYFWERRNKKDSEDYAGAVASGLICGDGLWTIPSAILSIFRINPPICVAFRPSLGS >Manes.03G160200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28729297:28737273:1 gene:Manes.03G160200.v8.1 transcript:Manes.03G160200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESTAVADTLLPLIPVSPNLKISESYPQWKDQITFRGLAVSALLGTVFCILVHRLSLATGMVPTLNIAAALLGFFIIKLWTVILTKFGFSSAPFTRQENTIIQTCIVACYGLATSGGFGTYLLAMDERIYQLIGVGYSGNRPEDVKNPGLGWMIGFLFVVSFLGIFSLVALRKVMIIDYKLTYPSGTATAMLINSFHTSTGSQLAEKQVSFLGRYLTLSFCWSCFKWFFSGFEDTCGFDHFPSFGLTLFDRSFYFDFSLSFVGCGLICPRIVNCSILLGAIIFQGILLPFISKYSGDWYPADLHSGDLKGLGGYKVLLSISLILGDGLYNLIKIIAITLKKIHNGTAQDDLPIYENSKQNMEDELRKEIFLKEKIPFWNAAGGFIIVAAVSAVTLPIIFPPLKWYVVLISCIIAPILAFCNSYGTGLTDMSMLSTYGKIGIFVIASFVGSNGGVIAGLAACGVIVTSASTAADLMQDLRTGYLTVSSPKAMFVSQLAGTAIGCVVAPLTFWLFWTAFDVGSPHGPYSAPYAILFRQMAILGIEGFSELPKYSLCIFCSFFILALVMNILRDATPLKIFRYIPIPVAMSIPIFVGANFAIDMFVGTLIAYFWERRNKKDSEDYAGAVASGLICGDGLWTIPSAILSIFRINPPICVAFRPSLGS >Manes.03G160200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28729297:28736959:1 gene:Manes.03G160200.v8.1 transcript:Manes.03G160200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESTAVADTLLPLIPVSPNLKISESYPQWKDQITFRGLAVSALLGTVFCILVHRLSLATGMVPTLNIAAALLGFFIIKLWTVILTKFGFSSAPFTRQENTIIQTCIVACYGLATSGGFGTYLLAMDERIYQLIGVGYSGNRPEDVKNPGLGWMIGFLFVVSFLGIFSLVALRKVMIIDYKLTYPSGTATAMLINSFHTSTGSQLAEKQVSFLGRYLTLSFCWSCFKWFFSGFEDTCGFDHFPSFGLTLFDRSFYFDFSLSFVGCGLICPRIVNCSILLGAIIFQGILLPFISKYSGDWYPADLHSGDLKGLGGYKVLLSISLILGDGLYNLIKIIAITLKKIHNGTAQDDLPIYENSKQNMEDELRKEIFLKEKIPFWNAAGGFIIVAAVSAVTLPIIFPPLKWYVVLISCIIAPILAFCNSYGTGLTDMSMLSTYGKIGIFVIASFVGSNGGVIAGLAACGVIVTSASTAADLMQDLRTGYLTVSSPKAMFVSQLAGTAIGCVVAPLTFWLFWTAFDVGSPHGPYSAPYAILFRQMAILGIEGFSELPKYSLCIFCSFFILALVMNILRDATPLKIFRYIPIPVAMSIPIFVGANFAIDMFVGTLIAYFWERRNKKDSEDYAGAVASGLICGDGLWTIPSAILSIFRINPPICVAFRPSLGS >Manes.01G172650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35419082:35421331:1 gene:Manes.01G172650.v8.1 transcript:Manes.01G172650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMVRVMLKASNGNIESEVEKEHKMEQVDNDGDRCFLVCKAQQIMSTPLHVKPSSEEQILPNQTLKGRIIIFYFTIIFPLLCNLSVSSALFQ >Manes.01G082700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28507885:28510322:1 gene:Manes.01G082700.v8.1 transcript:Manes.01G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTFTRNPTSIATSFGSKPSLFLSSPRSIKLPCIHPQPIIKSLGFHARPFPVPRASATPAAQSTNSTTFHGLCYVVGDNIDTDQIIPAEYLTLVPSNPAEYEKLGSYALIGLPASYETRFIEPNEMKTKYTIVIAGDNFGCGSSREHAPVALGAAGVAAVIAESYARIFFRNSVATGEIYPLESEVRICEECKTGDVISIELAESRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIPC >Manes.06G109000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24102257:24104168:1 gene:Manes.06G109000.v8.1 transcript:Manes.06G109000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACCLKQKLRKGLWSPEEDEKLYNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLVISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPITEALEVKEEKICVDKVSLQIPQSKALPAVANTATQEPTFLINGIEPGRYNSSIPGLQRPNLRPFDQTQFETSSIFSFTSMPSLTSFDHGSVSATDFSDNSGSRMSSMFLNEAKESSSNCSNISSYTGTGHQMNCMMENNAAFSWDADNKIDSMFQFPMNGIKMEELRPSPWQEGQLHTRNSVYFSSCPLTSLSEDLTGVNFEAYHQI >Manes.06G109000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24102257:24104168:1 gene:Manes.06G109000.v8.1 transcript:Manes.06G109000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACCLKQKLRKGLWSPEEDEKLYNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLVISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPITEALEVKEEKICVDKVSLQIPQSKALPAVANTATQEPTFLINGTTCYSNGLTENSGEQFMNKQIFDPFSYYEFSAGIEPGRYNSSIPGLQRPNLRPFDQTQFETSSIFSFTSMPSLTSFDHGSVSATDFSDNSGSRMSSMFLNEAKESSSNCSNISSYTGTGHQMNCMMENNAAFSWDADNKIDSMFQFPMNGIKMEELRPSPWQEGQLHTRNSVYFSSCPLTSLSEDLTGVNFEAYHQI >Manes.06G109000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24099463:24104168:1 gene:Manes.06G109000.v8.1 transcript:Manes.06G109000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACCLKQKLRKGLWSPEEDEKLYNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLVISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPITEALEVKEEKICVDKVSLQIPQSKALPAVANTATQEPTFLINGIEPGRYNSSIPGLQRPNLRPFDQTQFETSSIFSFTSMPSLTSFDHGSVSATDFSDNSGSRMSSMFLNEAKESSSNCSNISSYTGTGHQMNCMMENNAAFSWDADNKIDSMFQFPMNGIKMEELRPSPWQEGQLHTRNSVYFSSCPLTSLSEDLTGVNFEAYHQI >Manes.06G109000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24099463:24104168:1 gene:Manes.06G109000.v8.1 transcript:Manes.06G109000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHACCLKQKLRKGLWSPEEDEKLYNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLVISLHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPITEALEVKEEKICVDKVSLQIPQSKALPAVANTATQEPTFLINGTTCYSNGLTENSGEQFMNKQIFDPFSYYEFSAGIEPGRYNSSIPGLQRPNLRPFDQTQFETSSIFSFTSMPSLTSFDHGSVSATDFSDNSGSRMSSMFLNEAKESSSNCSNISSYTGTGHQMNCMMENNAAFSWDADNKIDSMFQFPMNGIKMEELRPSPWQEGQLHTRNSVYFSSCPLTSLSEDLTGVNFEAYHQI >Manes.03G055200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5383408:5388267:1 gene:Manes.03G055200.v8.1 transcript:Manes.03G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSDSFKEVKPKAGEEQPAINGADENMGSPMETNSSKPESMATSGPNATIPTSDQLTIFYGGSVLVFDAIPAAKVREIMLIAAAAGKPADMKKAVSVSPAGGTPVLTRSPSLQSTTSALPSPQPQAKLHPVHQGSFLCKLQAELPIARRHSLQRFFEKRRDRLYSKSPYLSSAERKMAETIKPDFSAQVSPDAGCFGKPLAPEKETLPKVAASVA >Manes.09G165200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35863478:35869547:1 gene:Manes.09G165200.v8.1 transcript:Manes.09G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHFSLTWMLNLEIHLRPFGMHGGNNEFQNSHSQQQPLVVQPLNSVPYIGSAAPVGDHDSFRTERSAQPVEKVGPSMVFLPSNTTKKEWDNIVLSAKTAVALTGSAAMGQVGPIVGLMDIGECDDAYLFRVSLPGVAKDEKEFSCDIEPDGTIIIKGVTTTGEKIVCKKSQIFRMQTQNLPPPGHFSITFQLPGPVDHRQFSCHFGNDGMLEGIVKKSIQ >Manes.09G165200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35863490:35869464:1 gene:Manes.09G165200.v8.1 transcript:Manes.09G165200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIRPFGMHGGNNEFQNSHSQQQPLVVQPLNSVPYIGSAAPVGDHDSFRTERSAQPVEKVGPSMVFLPSNTTKKEWDNIVLSAKTAVALTGSAAMGQVGPIVGLMDIGECDDAYLFRVSLPGVAKDERVRRSETPQIEGGTKAISDNLHKINEEFSCDIEPDGTIIIKGVTTTGEKIVCKKSQIFRMQTQNLPPPGHFSITFQLPGPVDHRQFSCHFGNDGMLEGIVKKSIQ >Manes.09G165200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35863490:35869464:1 gene:Manes.09G165200.v8.1 transcript:Manes.09G165200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIRPFGMHGGNNEFQNSHSQQQPLVVQPLNSVPYIGSAAPVGDHDSFRTERSAQPVEKVGPSMVFLPSNTTKKEWDNIVLSAKTAVALTGSAAMGQVGPIVGLMDIGECDDAYLFRVSLPGVAKDESIESLLGVRRSETPQIEGGTKAISDNLHKINEEFSCDIEPDGTIIIKGVTTTGEKIVCKKSQIFRMQTQNLPPPGHFSITFQLPGPVDHRQFSCHFGNDGMLEGIVKKSIQ >Manes.09G165200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35863421:35869698:1 gene:Manes.09G165200.v8.1 transcript:Manes.09G165200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIRPFGMHGGNNEFQNSHSQQQPLVVQPLNSVPYIGSAAPVGDHDSFRTERSAQPVEKVGPSMVFLPSNTTKKEWDNIVLSAKTAVALTGSAAMGQVGPIVGLMDIGECDDAYLFRVSLPGVAKDEKEFSCDIEPDGTIIIKGVTTTGEKIVCKKSQIFRMQTQNLPPPGHFSITFQLPGPVDHRQFSCHFGNDGMLEGIVKKSIQ >Manes.09G165200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35863490:35869464:1 gene:Manes.09G165200.v8.1 transcript:Manes.09G165200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHFSLTWMLNLEIHLRPFGMHGGNNEFQNSHSQQQPLVVQPLNSVPYIGSAAPVGDHDSFRTERSAQPVEKVGPSMVFLPSNTTKKEWDNIVLSAKTAVALTGSAAMGQVGPIVGLMDIGECDDAYLFRVSLPGVAKDERVRRSETPQIEGGTKAISDNLHKINEEFSCDIEPDGTIIIKGVTTTGEKIVCKKSQIFRMQTQNLPPPGHFSITFQLPGPVDHRQFSCHFGNDGMLEGIVKKSIQ >Manes.10G105156.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25370069:25371271:-1 gene:Manes.10G105156.v8.1 transcript:Manes.10G105156.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYPFAILLLFCSVQPLLAVLVQEQPLVLKYHNGVLLKGNVTVNLIWYGKFTPIQRSIIVDFITSLSSTRAPLPSAASWWKTTEKYRGGSCSLRVGRQILHEEYTLGKILKSTHLLALASKTNFAMDSINVVLTAQDVAVDGFCMSRCGTHGSSRRSGRGTYIWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLANTVTNPFNSGYFQGPPTAPLEAVSACTGKFGSGSYPGYPGRLLVDKATGASYNAHGVNGRRYLFPAMWDPQTSACRTLV >Manes.06G147400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27350337:27360884:1 gene:Manes.06G147400.v8.1 transcript:Manes.06G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIALFVARMAPYRLSFSSYISRKLCDYSFDCDKSENGFEHIDEPLKRVCRYSDFDSSGYEISNEEHFTLKHRFFEHVRRDAASVLDILRQDGLGFDAKAALSQLHIRMSGLLVREVLMGILKNINYENKERSARLGYTFFLWSGQQENYRHTANTYHLIMKILAECEEFKAMWRLLDEMVEDGFPTTARTFNILICSCGEAGLARKVVERFIKSKKFNYRPFKHSFNAILHSLFVVHHYKLIEWVHQQMLVEGHSPDILTYNILMCVKYRLGKLDQFNRLLDEMGRSGFSPDFYTYNILLHVLGKGDKPLAALNLLNHMKEVGIDPNVLHFTTLIDGLSRAGNLEACKYFFDEMIKYGCMPDVVCYTVMITGYIVVGELERAQVLFDEMKARGNLPNVFTYNSMIRGFCMAGRFEEACSMLQEMESRGCNPNFVVYSTLLCNLRHAGKLSEARKVIRQMIEKGHNVHLVSKFKGYRGC >Manes.16G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26542284:26547064:1 gene:Manes.16G069900.v8.1 transcript:Manes.16G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRRIAPAANKTVVDEKVPEKDCVGEDSVIVVDDSSLEDEIAQIRGRWELASVLNFLTLFQPLIGTDLKLTAEDIENGLVTANSSLAQLHIKLLKGIPPVSKTLNSSDAWVTALCKKIAIWWPWVAEGDMPLTAANGKEISRYKELDPTKRLQILKALCEIRADQNDVVSYINDSLKNGTEISCFRKDKIGGDGKATSYWCDGSAVVGHRLYKEVQKTEPKSKMRGRNSNNPPAISLQWETVATNLEEFREVVDKLSSSKIVGEIAVGKTIETDFLPVIEKLQKKKERALKQKQRQERLLNDFRSCGIGITRACRSRRPVNYTFDDYDRAIDEALEITKKRKTEQKNEKKHIMQEKSPSDGVSDSGADLKESRMENGDSDMEADSEDENIKNGESSDSETQSQRIQQDTSGNGDDDNDCYDSKSSDNDKGSESCYSGEEKDILSERNCSQKPIGSRWSKRLAGVMNRPAMETSNLAMKNRLRQRPTRNSALDSIVPDSEDASSSDDKNDEISEGEVSSPEANSEESNDG >Manes.16G069900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26542284:26547064:1 gene:Manes.16G069900.v8.1 transcript:Manes.16G069900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRRRIAPAANKTVVDEKVPEKDCVGEDSVIVVDDSSLEDEIAQIRGRWELASVLNFLTLFQPLIGTDLKLTAEDIENGLVTANSSLAQLHIKLLKGIPPVSKTLNSSDAWVTALCKKIAIWWPWVAEGDMPLTAANGKEISRYKELDPTKRLQILKALCEIRADQNDVVSYINDSLKNGTEISCFRKDKIGGDGKATSYWCDGSAVVGHRLYKEVQKTEPKSKMRGRNSNNPPAISLQWETVATNLEEFREVVDKLSSSKIVGEIAVGKTIETDFLPVIEKLQKKKERALKQKQRQERLLNDFRSCGIGITRACRSRRPVNYTFDDYDRAIDEALEITKKRKTEQKNEKKHIMQEKSPSDGVSDSGADLKESRMENGDSDMEADSEDENIKNGESSDSETQSQRIQQDTSGNGDDDNDCYDSKSSDNDKGSESCYSGEEKDILSERNCSQKPIGSRWSKRLAGVMNRPAMETSNLAMKNRLRQRPTRNSALDSIVPDSEDASSSDDKNDEISEGEVSSPEANSEESNDG >Manes.02G196950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16267990:16269834:-1 gene:Manes.02G196950.v8.1 transcript:Manes.02G196950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIPNKPASKKRGKKHKMKRKEPEELERAAASGKLSKYGRVSMKCSQYREIGHNKRYHERESTSSNIRRRKKTNEKSEYKRTEKKIELQIENS >Manes.18G143187.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20544871:20545621:1 gene:Manes.18G143187.v8.1 transcript:Manes.18G143187.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHNTGDTSDPTFDLKDIYKAFMDSFQKLNSRMDNLEDNLRSSKGKSIQRDDDTHDPSYDEENEAQSAPVRITV >Manes.10G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7695134:7697434:-1 gene:Manes.10G057600.v8.1 transcript:Manes.10G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLEDLTDDLDNLSITSTTTTATTITTTTTANETKHSTSSGSETTLTASNPSSSTSSKPHHPPPCDPCWRAILRSNCDNSTLTLADLRFVHRLGSGDIGSVYLVELKEGNGCLFAAKVMDKKEMASRNKDSRARIERQILEMLDHPFLPTLYATLDSPRWSCLLTEFCPGGDLHVLRQRQPDKRFDEAAVRFYASEVVAALEYLHMMGIIYRDLKPENVLIRSDGHIMLTDFDLSLKDDTSTSTAQIVSDQNQRTTDSTNDYSHDPPQSDTSSCIIPNCIVPAVSCFHPKRRRKRKTRQHGTLEIVAEPIDVRSMSFVGTHEYLAPEIISGEGHGNAVDWWTLGIFIFEMLYGVTPFKGIDHELTLANIVARALEFPKEPAVPASAKDLITQLLVKDPMRRMGSTRGATAIKHHQFFNGINWALLRCRTPPYVPRAVTRRSIITENASNNSVEYY >Manes.06G169600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29432558:29434690:-1 gene:Manes.06G169600.v8.1 transcript:Manes.06G169600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYTVESATVETNDGVKLRTRLFKSRDDEVKDKLVIVLVHQYSILGGCQSLLKGIAIGLAEKGYRAVTFDMRGAGRSTGRPSLTGFSEIKDVVAVCKWVCENLSIDRILLVGSSAGAPIAGSAVDEINEVVGYVSLGYPFGIMASILFGRHHEAILKSPKPKLFVMGTQDGFTSVKQLQNKLRSAAGRIETHLIEGAGHFQMEAPDYDTQMVNLTLTFIASL >Manes.06G169600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29432557:29434738:-1 gene:Manes.06G169600.v8.1 transcript:Manes.06G169600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYTVESATVETNDGVKLRTRLFKSRDDEVKDKLVIVLVHQYSILGGCQSLLKGIAIGLAEKGYRAVTFDMRGAGRSTGRPSLTGFSEIKDVVAVCKWVCENLSIDRILLVGSSAGAPIAGSAVDEINEVVGYVSLGYPFGIMASILFGRHHEAILKSPKPKLFVMGTQDGFTSVKQLQNKLRSAAGRIETHLIEGAGHFQMEAPDYDTQMVNLTLTFIASL >Manes.09G048478.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10273924:10276104:-1 gene:Manes.09G048478.v8.1 transcript:Manes.09G048478.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKFLSFHLHPQLHPSLISAIPKIIKLPNQYSSSPITFTTKLNFPYGQNQFLHKRMALNQPRINQQLDEFEPDPTLTNNDLKPVSPSQRTFSWLEMASLWIGLVVGVPSYYLAGSLVDLGMAWWQGIATVVAANIILLVPLVLTGEPGTRYGISFPVLARSSFGIRGAHIPTLLRALVGCGWYGIETWIGGEAIFILLPKFIKESSWSQFLPWLGTSPLEFACFIVFWVAQLTVVWKGIEGIRQLEKYSAPILITLTACLLAWAYVNAGGFGHMLALSSKLSSSEFWALFFPSLTANISFWATVALNIPDFTRYTKSQTDQIIGQAGLPIFMGAFTFVGLAVTSSTTVIFGRVISSPIHLLEQIGGFTTMILAIVGISLATITTNIAANVVAPANALVNLSPSKFTFRRGALLTALLGIAFQPWRLLKSSESFVYTWLVGYSALLGPIGGILLADYYLIQKRSLSVEDLYTLSPHGAYFYSGGYNLAALAALIIGILPVIPGLLQKVEILSTIPGAFLVIYNNAWFFSFFLAGFLYWILSILTRKHNESLPIDPLLPSAN >Manes.05G186300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30593732:30626402:1 gene:Manes.05G186300.v8.1 transcript:Manes.05G186300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENNNYTSLAIPSRVVQYEANGLGSGNIDGAWRINNTENSFFTPPFRVKYMRQDICLSLMVSFNLSLSKYEVPSTSAVILKFELMQAPVIADRLELLAYLDASSVAVHEFRIPPKALLGLHAYCPIHFDIFHAVLVEASVHVILLKDAPHMQISSAPCHIEDVAGESVDRSNQALGPAILVGMDRIMLVKSLLVARDTLLEELQSLSKAVDKVIDLTDFMSKMNNMNMLNSILRADLDNAYGEVREQGDLQDGLKIENGNPDLQSDSLPYFLPKDAVVNIFQLLGAQLSYLWRTFLQFHRVNNTKILEFLSDAWAKDRRNEWSIWMVYSKPVQTAATRAELHRRNIAQMRINTRSIQDMYIFGDPLRIPIIFVERVINASRRTFSENSYFKILDLIDSSVFVAGPHTKALKNHPGGSAQKRAHELKIVVFVHGFQAMLYVSNNFSIHVVRDLNVGHHQDLRLIRNQWLLIEPKTEVLMSDVNEDKTSGDFRDMGLRLAEEVVSFVNRKLNKFSTSPSPRDVKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSISGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIRQLTFTDDSNLQNTFFYKLCEQRTLENFRHIILISSPQDGYVPYHSARIELCRAAALDYLGKGRIFLQMLNNCLDQIRAPTSQQRVYIRCDVNFDTSSYGKNFNTLIGRTAHIEFLESDIFA >Manes.02G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2111857:2117068:1 gene:Manes.02G024300.v8.1 transcript:Manes.02G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGTSNFVIRWINLLTMLLAIAVIIFGVWMSTHHDSCRRSLTLPVLGLGGFIFVISIIGFLGALKNNSILLWIYLIMLCIILVAILVFTVLAFIVTNNGSGHYAPGLRYKEYELQDFSSWFLKQLNDTQNWKRLKSCLVKSDDCNNLSKKYKTLKQYKSAKLTPIEAGCCRPPSECGYPAVSASYYDLSFHPISSNRDCKLYKNSGATKCYNCDSCKAGVAQYMKTEWRVVAIFNVILFVILSMIYFVGCCARRNAATNHSKA >Manes.06G069200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20537633:20543063:-1 gene:Manes.06G069200.v8.1 transcript:Manes.06G069200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSTTFASFFLVLILCFNGVSSSSTPTKIGHGYRLISIEETPDGGILGHLQVKQKNNIYGPDIPLLQLFVKHETQDRLRIHITDAEKKRWEVPYDLLPREQPPALKQTIGRSRKNFITVQEFSGSELIFSYKADPFGFAVKRKSNGQTLFNTSSDESDPFGEMVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLYPGDPYTLYTTDISAINLNADLYGSHPVYMDLRNVNGQPSAHSVLLLNSNGMDVFYRGTSLTYKIIGGVLDLYFFAGPSPLAVVDQYTALIGRPAPMPYWALGFHQCRWGYHNLSVVEDVVENYKKAQIPLDVIWNDDDHMDVHKDFTLNPTNYPRPKLLAFLEKIHNIGMKYIVIIDPGIGVNSTYGVYQRGVANDVFIKYHGKPFLAQVWPGAVNFPDFLNPKTVEWWGDEIRRFHELVPVDGLWIDMNEASNFCYGLCTIPEGKQCPSGTGPGWICCLDCKNITATKWDDPPYKINASGIQAPIGYKTIATSAVHYNGVLEYDAHSLYGFSQSIATHKALQGLEGKRPFILSRSTFVGSGKYVAYWTGDNKGTWEDLRYSISTMLNFGIFGVPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVAESARNALGMRYKLLPYLYTLNYEAHMSGAPIARPLFFSFPNYTVCYGLSTQFLLGSSLMVSPVLEQGKSQVKALFPPGSWYSVFDTTQTITSKGGQYVTLDAPLHVVNVHLYQNSILPMQQGGLISKQARTTPFSLIVTFPAGASNGEAKGNLYLDNDELPEMKLGSGYSTYIDFYASVSQGTVKVWSKVQEGKFALDNGWIIEEITVLGLEGSGQPSALEVNGNSIDGASNIGLNSLEQKYHEDIEVGDTKKSVMVEVNGLDIPIGKDFSMSWKMEISR >Manes.03G063200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7084329:7088829:-1 gene:Manes.03G063200.v8.1 transcript:Manes.03G063200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLDEGTAKEVLRQVEFYFSDSNLPRDNFLRNTINSSEDGMVSLALICSFKKMKGYLKLKDVKPEEVPEDTVKAVAETLKKSSSVKVSEDGKKVGRIAALLKPEEAIEQLDIRTIAASPLPYDVKREDVESFFGKYAKVSSVRMPRHVADKRIFSGTALIEFSTEEDTENILKQSLVFEGAQLEFRPKKDFDAERAEEEEELKNSRHFTSSNNNKNNSNAEASYPKDLIVAFTLKALSAGDSVEHKGSQEPVSVDSKVCKADGGENSSKNDAQENEQESDSISADKENNEMNIEEGKEEKADEQTGSESKEIKMVEGEKSGEGPTGKDKEKGEKPKADAYRDDMNVVMREDLKAVLGKFGTVKFVDFKIGEDSGYVRFEQPEAAQKARAAAVLAKEGGLIVKNFVAILEPVTGEAEREYWNLLRGNQEKHWENKGNRGRRGKHHRGGGKHGSRDNYSTGRPTKVQKVGAS >Manes.03G063200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7084326:7088867:-1 gene:Manes.03G063200.v8.1 transcript:Manes.03G063200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLDEGTAKEVLRQVEFYFSDSNLPRDNFLRNTINSSEDGMVSLALICSFKKMKGYLKLKDVKPEEVPEDTVKAVAETLKKSSSVKVSEDGKKVGRIAALLKPEEAIEQLDIRTIAASPLPYDVKREDVESFFGKYAKVSSVRMPRHVADKRIFSGTALIEFSTEEDTENILKQSLVFEGAQLEFRPKKDFDAERAEEEEELKNSRHFTSSNNNKNNSNAEASYPKDLIVAFTLKALSAGDSVEHKGSQEPVSVDSKVCKADGGENSSKNDAQENEQESDSISADKENNEMNIEEGKEEKADEQTGSESKEIKMVEGEKSGEGPTGKDKEKGEKPKADAYRDDMNVVMREDLKAVLGKFGTVKFVDFKIGEDSGYVRFEQPEAAQKARAAAVLAKEGGLIVKNFVAILEPVTGEAEREYWNLLRGNQEKHWENKGNRGRRGKHHRGGGKHGSRDNYSTGRPTKVQKVGAS >Manes.03G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7083865:7088867:-1 gene:Manes.03G063200.v8.1 transcript:Manes.03G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLDEGTAKEVLRQVEFYFSDSNLPRDNFLRNTINSSEDGMVSLALICSFKKMKGYLKLKDVKPEEVPEDTVKAVAETLKKSSSVKVSEDGKKVGRIAALLKPEEAIEQLDIRTIAASPLPYDVKREDVESFFGKYAKVSSVRMPRHVADKRIFSGTALIEFSTEEDTENILKQSLVFEGAQLEFRPKKDFDAERAEEEEELKNSRHFTSSNNNKNNSNAEASYPKDLIVAFTLKALSAGDSVEHKGSQEPVSVDSKVCKADGGENSSKNDAQENEQESDSISADKENNEMNIEEGKEEKADEQTGSESKEIKMVEGEKSGEGPTGKDKEKGEKPKADAYRDDMNVVMREDLKAVLGKFGTVKFVDFKIGEDSGYVRFEQPEAAQKARAAAVLAKEGGLIVKNFVAILEPVTGEAEREYWNLLRGNQEKHWENKGNRGRRGKHHRGGGKHGSRDNYSTGRPTKVQKVGAS >Manes.03G174400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29845357:29848418:1 gene:Manes.03G174400.v8.1 transcript:Manes.03G174400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFQVKNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQGDEDHAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMIAQASSSDLKDLVRKFIPEIIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLERPADETMAEAPAEAAAV >Manes.08G074100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14609017:14647094:1 gene:Manes.08G074100.v8.1 transcript:Manes.08G074100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTCCCLRKSQKNLSSVEEDTTLDLGQLKKFSWQELKVATDNFSNENILSRDGFGPFCKVYKGVLEDGSLVAIKRLKSERTPGREAQFQKVMETIAMAKHQNLLKLFGFCITPTERLLVYPFMANGSAASQLRDQRPSQPSLDWPIRKQIALGSAKGLSYLHEHCKPRIVHCDVKAANVFLDEDFQAYVGDFALCKLINFNKTQIICTVDGTIGHIAPEYLSNGRCSEKIDVYGYGIMLLELITGQKAFDLSRLSKDDDNVMLLDWVKRFVKENKLEMLVDPNLGNNYVEAEMEQLMQIALLCTQGSPENRPKMSEVVRMVEGVGLAERWENWQKMEISDQQMVPILNATCAQIFDSTHNLQAAELSGPR >Manes.05G044865.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3735306:3736336:1 gene:Manes.05G044865.v8.1 transcript:Manes.05G044865.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEIPQTAVPSIKTPVGTPTKSISDGGEENGTPKLHPILVPTTPTTISAPMLMALTPATPYVSSAAKTAKKALERIEYSFEELRAGFIHHINKEFVAGFISPKHKGEPISLVYLGVK >Manes.09G092176.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27732061:27733228:-1 gene:Manes.09G092176.v8.1 transcript:Manes.09G092176.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFVAAPDGKADASQAIADARKEACAAAGSSKILIPAGTFLAGIVNITDPCKGAIEVEVQGTMQAPSDLVGDGWFNFNHIDQFTLSGKGTLDGQGEVAWKGVSCDKDLKNCKKHPMNIRFNFITKGLVRDITFLNSKYFHVNVLGYDHFTFEGFIVSTPENSLNIDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVFGITVSDCTLTGITNGVRIKTWPALLGNPEIFATQLRSEKIKSLLISFPRIRILHLIQKMDMRLTY >Manes.18G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9013531:9020110:1 gene:Manes.18G097400.v8.1 transcript:Manes.18G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKFEALIFVWLIFLLHPLWLALGNMEGDALHSLRSNLIDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPIPGDLGNLTSLVSLDLYLNSFSGPIPASLGKLSKLRFLRLNNNSLSGPIPMPLTNISSLQVLDLSNNRLSGVVPDNGSFSMFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPTPISSPGGNGATGAIAGGVAAGAALLFAAPALAFACWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPNSDWIVDSTENLHAVELSGPR >Manes.11G008000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:882632:883894:-1 gene:Manes.11G008000.v8.1 transcript:Manes.11G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPTISITSTSDSQFTKNHVVDVGEEEKLSHECKELLLSVPRERGWRTPYIYQFQGFWCQPKQIQAILSFHKHFQARDSDVIVATVPKSGTTWLKALSFAILNRNRFPPFSNNHPLLVSNPHDLVPFFEYKVYTNNQVPDLSIIPHPRLFATHIPFASLHESIKSSKCKIVYVCRNPFDTFVSSWVFIDKVKPETLPPLSLEEAFNMYCNGVVGFGPFWDHMLGYWRESLERPDKVLFLKYEDLKQDIAFQLKKLAKFLGCPFSMEEEKGGVVEDIAKLCSFEKLKNLEVNVSGKSILNFENRHLFRKGEVGDWLNYLSPSMVKQLSQVMEEKLGGSGLEFKVVS >Manes.18G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:938716:940966:1 gene:Manes.18G006000.v8.1 transcript:Manes.18G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTRQSAMHVARWFSDLDWRLLFLIVPPLSLIVFLYVSATPISPFSTFAPLASFFFNGTLNSRTSADDPCLLPLNRSRAVGVRSWKDELYRSRMAVCLVGGARRFELTGPSIVENILNVYTNSDLFLHSPLDENSFKFSLLKVAPRIATVRLFQPKPIPETDAEVRVLTAANSPNGIQGLLQYFNLVEGCLTMIEEYQAQHNFKYDWIVRTRVDGYWNAPLGPQNFIAGHYLIPPGSTYGGLNDRLGVGDLNSSKVALSRLSLIPELDSAGLRMLNSETSFKAQLTTQGVPFVTKRLPFCIVTDRKYGFPPYRFGVPVAALSSPGPLSGAKCRPCTPFCEGSCVAGVMPLLDKGWSWTNWENGTLKLCDARGEWEKGWEKIFDKVAGKKRAAVRKRISGLKLKQCVNDFNEMKRRSSKWESPPPEEICKLGIGEN >Manes.03G095900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16438258:16444083:1 gene:Manes.03G095900.v8.1 transcript:Manes.03G095900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGNSLPSGPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVAFLRSITPESQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEFFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQLLDDIRSKLLDNLTKLQHAPSVPFQERPPNTELPEVDEDQDDGDERWDPDSDMDVDDERKPLPSRVKRELIEAEPKDLESQKGVAEHARGFETTADETAGGKALDVGPMQIDESSVKVEQENLSKTADQVFSKS >Manes.03G095900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16438246:16444083:1 gene:Manes.03G095900.v8.1 transcript:Manes.03G095900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGNSLPSGPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVAFLRSITPESQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEFFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQLLDDIRSKLLDNLTKLQHAPSVPFQERPPNTELPEVDEDQDDGDERWDPDSDMDVDDERKPLPSRVKRELIEAEPKDLESQKGVAEHARGFETTADETAGGKALDVGPMQIDESSVKVEQENLSKTADQVFSKS >Manes.03G095900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16438258:16444083:1 gene:Manes.03G095900.v8.1 transcript:Manes.03G095900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGNSLPSGPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVAFLRSITPESQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYGKGKYYSLNVPLDDGIDDESYHFLFKPIIGKVMEFFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQLLDDIRSKLLDNLTKLQHAPSVPFQERPPNTELPEVDEDQDDGDERWDPDSDMDVDDERKPLPSRVKRELIEAEPKDLESQKGVAEHARGFETTADETAGGKALDVGPMQIDESSVKVEQENLSKTADQVFSKS >Manes.08G172400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:41010040:41011674:1 gene:Manes.08G172400.v8.1 transcript:Manes.08G172400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGGSSDLPQKSFRIKQDDKFFSRLLSKETSVDNSSFRVDYGGVTVAVPFMWESQPGTPKYSLSESTLPPLTPPPSYYSSSNKKPINKLYSRSNLLHFLFSRIKPKKTNNAVSTSSALSHTPSSASWSSLNSSSFLPSTPTKYHQGSRFSSPGSSFDSRAYDEEAAVGPPSPTSKYLCFGASRDGLKGCYAW >Manes.15G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9364399:9370717:-1 gene:Manes.15G117200.v8.1 transcript:Manes.15G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGGREGGATALSSSAASSMRPFATTSKSNATIAQYNADAALLAEFEQSGVSGKSFNYSRSVLSAPDTVPEGQITTYLSRIQRGGLIQSFGCMIAIEEPTFRIISSSENCFQLLGLSSHNELESNQVKSLIGIDARSLFTPQSGVSLTKAAASREISMLNPIWVHSRTNQKPFYAILHRIDVGIVIDLEPARSSDPVLSLAGAVQSQKLAVRAISRLQSLPGGDIGVLCDTVVEDVQTLTGYDRVMVYKFHDDDHGEVVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCHASPVRIIQSEELKHPLCLVNSTLRSPLGCHTQYMANMGSIASLAMAVIINCNDTTKLWGLVVCHHTSPRYVPFPLRYACELLMQAFGLQLRMELQLAAQLMEKKILRTQTLLCDMLLRDAPFGIVTQSPSIMDLVKCDGAALCYGGKCWLLGITPTESQVKDIAEWLLTNHGDSTGLSTDSLAGAGYPGAALLGDAVCGMATARITSRDFLFWFRSHTAKEVKWGGAKHHPEDKDDGERMHPRSSFNAFLEVVKSKSLPWEVSEIDAIHSLQLIMRDSFQDMENSGSKALVYAQQEDMGTQGVGQLSSVACEMVRLIETASVPIFGVDSAGLINGWNAKVAELTGLQTSEAMGKSLVHEIVHEDSCGVVESILGRALQGEEDKNVELKLRKFGFHQQKPVVYVMANACTSRDYSNNIVGVCFVGQDITSEKHVMDKFLRLQGDYKTIIESLNPLVPPIFASDENACCCEWNAAMEKLTGATRQEVIGKMLPGEIFGSLCRLKVQDTLTKFMILLYQGISGQDTEKFPFGFFNRQGKFVEVFLTISKRTGPNGNVIGSFCFLQIVEPNQQQAFDIHRQEGRANFLKLKELAYIRQEMKNPLNGIRFTHKLLEDTAVSEHQKQFLETSNACEKQIMAIIEDMDLARLEDGNIELKMEVFLLGNVLDAIVSQVMILLRERNIQLFLKIPDDIKTLSLYGDQIRLQLILSDILLSVVLHAQSPDGWVEIKFSPGLKLIQDGNELIRLQIRMTHGGQGLPPALIQDMFEGGNHWTTQEGLGLKLSRKLLNQMNGHVQYTREHDKCYFLIDLELKLKGRSTVEQADTSRMT >Manes.15G064900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4950896:4963485:1 gene:Manes.15G064900.v8.1 transcript:Manes.15G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEADAGPRSVSNYYFVDDEDAPTSFSVLPFDWSESESVKEVEKQQIFLQGSVDNGLQTIHKEVKAWKFDLLNEIPLISVLTKENNWIKLEKPRKSFEEIIRTVLITVHCLHFTRRNPEASGKSVWDHLCRVFSLYEVRPSLNDLVDHMALISEAVKRDDWLGKSKFLLSLVEEKPKKRKLSDEFLLSLVEEKPKKRKLSDEDVKSTALSAFIVDDDILEDAVENESDEDEELFDSVCTFCDNGGNLLCCEGSCMRSFHPTFNDGVESKCESLGFTQREVDAIERFLCKNCEYNKHQCFACGELGSSDKSSGAEVFRCANATCGYFYHPRCIAKLVQQEDEVAVEELEKKVARGEPFTCPIHKCCVCRKGENKKIKELQFAVCRRCPTSYHRKCLPKEIVFEKKEAAKGEGEEEEGEEEEEEEGEEEEEEGEAARAWEDLLPNRILIYCLKHEIVEHLGTPVRDIRFPDVEGKNKKRMLELPGSSRKVLAKKRRLTSEDSFSAKTAAKAPEHSYSGVRKFASADKSEKILSGSNSLRKAKKNDAFRKTFKEKTKCNSTEVDRSATTTVNKMSLGDRLYSLMTKRSGQGKLQKQDEDKSVTVKTSARKLSSEIPALDAETEKRILALIKESASSITLDDVKKKHEVPSTHAYSSKTVVDKTITAGKVEGTVEAVRTALRKLEDGCSTEDAKAVCEPEVLNQVFRWKNKLRVYLAPFLYGMRYTSFGRHFTKVEKLKEIVNVLHWYVQDGDMVVDFCCGANDFSCLMKKKLEETGKKCSYKNYDVFQPKNDFNFEKRDWMTVRPDELPKRGSQLIMGLNPPFGVKAALANKFIDKALEFRPKLLILIVPPETERLDKKHTPYDLVWEDDHFLSGKSFYLPGSVDENDKQMEQWNVTAPPLYLWSRPDWTVRHKAISKKHDHLSMRKGESDLVKSCLETKIPDHTVEIHCHNADTAEVTGDLSVEHKEKSNHEGPVVGGRKECSHKSGDRESQDSHGPERSRSKEETSRKIKHSEDKTSKGAVEKLPSNRWKGGTSPGSDMYKVMPHCSPTNVVNGRSRQEVPPSRSVEMPMNGDVRENSLPNLESGMPSSRMPYGMACGGSASYIHEDVGRKYSMDSIEYSHSIHGFSHANMEEQSTGNMRESAESFSYRSYMTELERGPDMRSQIRAYGQDVDSSVQRNYLGGHEPGYGQMGSLSSIPYGHMGPAVESSYRMNMSAMQRYAPRLDELNHTRMNDFGPDPSMLNRNNALYDPRAPRPGVPGNHGDSMGFAPGPQHLYPHNSAGWLNE >Manes.15G064900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4950896:4963485:1 gene:Manes.15G064900.v8.1 transcript:Manes.15G064900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEADAGPRSVSNYYFVDDEDAPTSFSVLPFDWSESESVKEVEKQQIFLQGSVDNGLQTIHKEVKAWKFDLLNEIPLISVLTKENNWIKLEKPRKSFEEIIRTVLITVHCLHFTRRNPEASGKSVWDHLCRVFSLYEVRPSLNDLVDHMALISEAVKRDDWLGKSKFLLSLVEEKPKKRKLSDEDVKSTALSAFIVDDDILEDAVENESDEDEELFDSVCTFCDNGGNLLCCEGSCMRSFHPTFNDGVESKCESLGFTQREVDAIERFLCKNCEYNKHQCFACGELGSSDKSSGAEVFRCANATCGYFYHPRCIAKLVQQEDEVAVEELEKKVARGEPFTCPIHKCCVCRKGENKKIKELQFAVCRRCPTSYHRKCLPKEIVFEKKEAAKGEGEEEEGEEEEEEEGEEEEEEGEAARAWEDLLPNRILIYCLKHEIVEHLGTPVRDIRFPDVEGKNKKRMLELPGSSRKVLAKKRRLTSEDSFSAKTAAKAPEHSYSGVRKFASADKSEKILSGSNSLRKAKKNDAFRKTFKEKTKCNSTEVDRSATTTVNKMSLGDRLYSLMTKRSGQGKLQKQDEDKSVTVKTSARKLSSEIPALDAETEKRILALIKESASSITLDDVKKKHEVPSTHAYSSKTVVDKTITAGKVEGTVEAVRTALRKLEDGCSTEDAKAVCEPEVLNQVFRWKNKLRVYLAPFLYGMRYTSFGRHFTKVEKLKEIVNVLHWYVQDGDMVVDFCCGANDFSCLMKKKLEETGKKCSYKNYDVFQPKNDFNFEKRDWMTVRPDELPKRGSQLIMGLNPPFGVKAALANKFIDKALEFRPKLLILIVPPETERLDKKHTPYDLVWEDDHFLSGKSFYLPGSVDENDKQMEQWNVTAPPLYLWSRPDWTVRHKAISKKHDHLSMRKGESDLVKSCLETKIPDHTVEIHCHNADTAEVTGDLSVEHKEKSNHEGPVVGGRKECSHKSGDRESQDSHGPERSRSKEETSRKIKHSEDKTSKGAVEKLPSNRWKGGTSPGSDMYKVMPHCSPTNVVNGRSRQEVPPSRSVEMPMNGDVRENSLPNLESGMPSSRMPYGMACGGSASYIHEDVGRKYSMDSIEYSHSIHGFSHANMEEQSTGNMRESAESFSYRSYMTELERGPDMRSQIRAYGQDVDSSVQRNYLGGHEPGYGQMGSLSSIPYGHMGPAVESSYRMNMSAMQRYAPRLDELNHTRMNDFGPDPSMLNRNNALYDPRAPRPGVPGNHGDSMGFAPGPQHLYPHNSAGWLNE >Manes.15G064900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4950896:4963485:1 gene:Manes.15G064900.v8.1 transcript:Manes.15G064900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEADAGPRSVSNYYFVDDEDAPTSFSVLPFDWSESESVKEVEKQQIFLQGSVDNGLQTIHKEVKAWKFDLLNEIPLISVLTKENNWIKLEKPRKSFEEIIRTVLITVHCLHFTRRNPEASGKSVWDHLCRVFSLYEVRPSLNDLVDHMALISEAVKRDDWLGKSKFLLSLVEEKPKKRKLSDEFLLSLVEEKPKKRKLSDEVIYSFALDVKSTALSAFIVDDDILEDAVENESDEDEELFDSVCTFCDNGGNLLCCEGSCMRSFHPTFNDGVESKCESLGFTQREVDAIERFLCKNCEYNKHQCFACGELGSSDKSSGAEVFRCANATCGYFYHPRCIAKLVQQEDEVAVEELEKKVARGEPFTCPIHKCCVCRKGENKKIKELQFAVCRRCPTSYHRKCLPKEIVFEKKEAAKGEGEEEEGEEEEEEEGEEEEEEGEAARAWEDLLPNRILIYCLKHEIVEHLGTPVRDIRFPDVEGKNKKRMLELPGSSRKVLAKKRRLTSEDSFSAKTAAKAPEHSYSGVRKFASADKSEKILSGSNSLRKAKKNDAFRKTFKEKTKCNSTEVDRSATTTVNKMSLGDRLYSLMTKRSGQGKLQKQDEDKSVTVKTSARKLSSEIPALDAETEKRILALIKESASSITLDDVKKKHEVPSTHAYSSKTVVDKTITAGKVEGTVEAVRTALRKLEDGCSTEDAKAVCEPEVLNQVFRWKNKLRVYLAPFLYGMRYTSFGRHFTKVEKLKEIVNVLHWYVQDGDMVVDFCCGANDFSCLMKKKLEETGKKCSYKNYDVFQPKNDFNFEKRDWMTVRPDELPKRGSQLIMGLNPPFGVKAALANKFIDKALEFRPKLLILIVPPETERLDKKHTPYDLVWEDDHFLSGKSFYLPGSVDENDKQMEQWNVTAPPLYLWSRPDWTVRHKAISKKHDHLSMRKGESDLVKSCLETKIPDHTVEIHCHNADTAEVTGDLSVEHKEKSNHEGPVVGGRKECSHKSGDRESQDSHGPERSRSKEETSRKIKHSEDKTSKGAVEKLPSNRWKGGTSPGSDMYKVMPHCSPTNVVNGRSRQEVPPSRSVEMPMNGDVRENSLPNLESGMPSSRMPYGMACGGSASYIHEDVGRKYSMDSIEYSHSIHGFSHANMEEQSTGNMRESAESFSYRSYMTELERGPDMRSQIRAYGQDVDSSVQRNYLGGHEPGYGQMGSLSSIPYGHMGPAVESSYRMNMSAMQRYAPRLDELNHTRMNDFGPDPSMLNRNNALYDPRAPRPGVPGNHGDSMGFAPGPQHLYPHNSAGWLNE >Manes.05G057500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4802456:4811755:-1 gene:Manes.05G057500.v8.1 transcript:Manes.05G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSRADKSETQYRKPGRSGGSNQQRTSLGAYGKGGGGPAPSPSSSSVSSNRSFKKSNNVQGEQSRVNLPAVSSSDSANVSASRNVQNGAHAQSSLHGASDAPVSSGTAKPNETPITQRSSRAVPKAPTSQSATLISDTASPMTPAKAPGDASKAFPFQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQALHDTLRSVPPLPTPAPKQQVPRKDIGVVDHSSAGEAHPMAKAKKDVQVSTTLPVSQTQKPSVHPLPMSSMQMPFHQQPVPVQFGSPNPQIQSQGVTPSSLQMPMPMAALPMGNAPQVQQPMFVQGLQPHPMQPQGIMHQGQGLGFAPPMGPQMPPQLGNLGIGMSSQYPQQQGGKFGGPRKTTVKITDPKTHEELRLDKRTDTYADGGSSALRPHPNMPPQTQPIPSFAPAHPINYYSNSYNPNNLFFQTSSSLPLTSGQIAPNSQPPRFNYTVSQGPQNVSYVNPPVLNSLPVNKSGTSMHGVVETSNLDHTRDAHSFVSSASSGTVQVKVKPTATFIGEKAVDSLSCKSSSAIEKDGYGKPSRPSLEANLSDVQKDSEKVPEISLQHSKPGSEPSASKLLPVESRQAVAGAGDNMPSNSSLPPASVQSEELMLAGGNTEGKRNSTLSRSNSIKDHQVKQGKKGYIQTQNQIGGQSSSIASFPSHNIEQGISSNSGALSSSIVGEGLTELRQESVPVTSASTFDVSEDKFDEYGKGFNGIPSEVSGSQGIVDVADVARPAKVDDAFLLERLNNGTPGSERQGEKGLPKGPEQDGNRLDISSESICKRSIDLISQSKRGSAMTGTAFGNEIQNLETMQELDESVTCHAENDRLSNNVGASTSRNLESADVGKFSADGNLTLDASSSKSDNLGDKEVAVVKSGALDHQFVPVTTLDLSEATSKNEVVENSGIGLVSLAVSSSKDKAVDLTRTKGTSAKLKKKRREILQKADAAGTTSDLYMAYKGPEEKKESVASPEVVENISTSSNMTQALVRAPELDSLTSETSIQNKAELDDWEDAADISTPNLETSDDGEQAFGGFMQHGKVENANTAKKYSRDFLLKFSEHFTDLPDNFEITSDIAEVFSLSHFVDRDSYPSPGRVVDRSNSGSRMDRRGSGLADDDRWSKLPGPFGMGRDLRVDIGFGGSAGFRPGQGGNYGVLRNVRAQTPQYAGGILSGPMHSLGPQGGMQRNSPDADRWQRATNFFQQKGLIPSPQTPLQMMHRAEKKYEVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKEVKIDNTTTLTGVISQIFDKALMEPTFCEMYAHFCYHLAAELPDFTEDNEKKNFKRILLNKCQEEFERGEREQEEANKADVDGEMKQSAEEREEKRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDVEALCKLMSTIGEMIDHNKAKEHMDFYFDMMTKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQHQSGRLARNPSINPPARRTPMDFGPRGSAMLSSPNSQMGGFHGLPSQVRGYGTQDVRFEERQSYEGRTLSVPLSRPLGDDSITLGPQGGLARGMSIRGPPVMTSAPAADISSSPGDSRRIAAGSNGFSAVSERPVYSSREEFVPRYAPDRIAVPAAYDQVSAQDRNMSYVNRDPRNQDHTFDRPLATSPPARAQVQSFGQNIPSEKVWPEEHLRDKSMAAIKEFYSARDEKEVALCIKELNFSSFHPAMIALWVTDSFERKDMERDVLAKLLVNLARSPDGILSPPQLVKGFESVLTTLEDAVNDAPRAAEFLGRMFAKVVLENVVSLEEIGLLLYEGGEEPGRLLEFGLAGDVLGSSLEMIKAEKGEGFLNELLMSSKLHLEDFRPLDPFRSRILENFI >Manes.05G057500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4802456:4811365:-1 gene:Manes.05G057500.v8.1 transcript:Manes.05G057500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNQSRADKSETQYRKPGRSGGSNQQRTSLGAYGKGGGGPAPSPSSSSVSSNRSFKKSNNVQGEQSRVNLPAVSSSDSANVSASRNVQNGAHAQSSLHGASDAPVSSGTAKPNETPITQRSSRAVPKAPTSQSATLISDTASPMTPAKAPGDASKAFPFQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQALHDTLRSVPPLPTPAPKQQVPRKDIGVVDHSSAGEAHPMAKAKKDVQVSTTLPVSQTQKPSVHPLPMSSMQMPFHQQPVPVQFGSPNPQIQSQGVTPSSLQMPMPMAALPMGNAPQVQQPMFVQGLQPHPMQPQGIMHQGQGLGFAPPMGPQMPPQLGNLGIGMSSQYPQQQGGKFGGPRKTTVKITDPKTHEELRLDKRTDTYADGGSSALRPHPNMPPQTQPIPSFAPAHPINYYSNSYNPNNLFFQTSSSLPLTSGQIAPNSQPPRFNYTVSQGPQNVSYVNPPVLNSLPVNKSGTSMHGVVETSNLDHTRDAHSFVSSASSGTVQVKVKPTATFIGEKAVDSLSCKSSSAIEKDGYGKPSRPSLEANLSDVQKDSEKVPEISLQHSKPGSEPSASKLLPVESRQAVAGAGDNMPSNSSLPPASVQSEELMLAGGNTEGKRNSTLSRSNSIKDHQVKQGKKGYIQTQNQIGGQSSSIASFPSHNIEQGISSNSGALSSSIVGEGLTELRQESVPVTSASTFDVSEDKFDEYGKGFNGIPSEVSGSQGIVDVADVARPAKVDDAFLLERLNNGTPGSERQGEKGLPKGPEQDGNRLDISSESICKRSIDLISQSKRGSAMTGTAFGNEIQNLETMQELDESVTCHAENDRLSNNVGASTSRNLESADVGKFSADGNLTLDASSSKSDNLGDKEVAVVKSGALDHQFVPVTTLDLSEATSKNEVVENSGIGLVSLAVSSSKDKAVDLTRTKGTSAKLKKKRREILQKADAAGTTSDLYMAYKGPEEKKESVASPEVVENISTSSNMTQALVRAPELDSLTSETSIQNKAELDDWEDAADISTPNLETSDDGEQAFGGFMQHGKVENANTAKKYSRDFLLKFSEHFTDLPDNFEITSDIAEVFSLSHFVDRDSYPSPGRVVDRSNSGSRMDRRGSGLADDDRWSKLPGPFGMGRDLRVDIGFGGSAGFRPGQGGNYGVLRNVRAQTPQYAGGILSGPMHSLGPQGGMQRNSPDADRWQRATNFFQQKGLIPSPQTPLQMMHRAEKKYEVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKEVKIDNTTTLTGVISQIFDKALMEPTFCEMYAHFCYHLAAELPDFTEDNEKKNFKRILLNKCQEEFERGEREQEEANKADVDGEMKQSAEEREEKRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYQNPDEEDVEALCKLMSTIGEMIDHNKAKEHMDFYFDMMTKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQHQSGRLARNPSINPPARRTPMDFGPRGSAMLSSPNSQMGGFHGLPSQVRGYGTQDVRFEERQSYEGRTLSVPLSRPLGDDSITLGPQGGLARGMSIRGPPVMTSAPAADISSSPGDSRRIAAGSNGFSAVSERPVYSSREEFVPRYAPDRIAVPAAYDQVSAQDRNMSYVNRDPRNQDHTFDRPLATSPPARAQVQSFGQNIPSEKVWPEEHLRDKSMAAIKEFYSARDEKEVALCIKELNFSSFHPAMIALWVTDSFERKDMERDVLAKLLVNLARSPDGILSPPQLVKGFESVLTTLEDAVNDAPRAAEFLGRMFAKVVLENVVSLEEIGLLLYEGGEEPGRLLEFGLAGDVLGSSLEMIKAEKGEGFLNELLMSSKLHLEDFRPLDPFRSRILENFI >Manes.14G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4009323:4017073:-1 gene:Manes.14G046200.v8.1 transcript:Manes.14G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISGLNGFACRPHFSLRLPLFHCIRKPRFGAVAAIETLDEPDRIIDEKRHSLGERVGKKDHKVPEWKKLNSKELGISTSMIAKPTKKVLNGLKQRGYEVYLVGGCVRDLILKRIPKDFDIITSAELKEVVRAFSRCEIVGKRFPICHVHIGTTIVEVSSFSTTGRKFNGNVSYDVQSPIDCDEKDYIRWRNCLQRDFTINGLLFDPYAKIVYDYIGGLEDIKKAKVKTVVPASASFQDDCARILRAVRIAARLGFRFSRETAHFLKNFACSILRLDKGRLLMEMNYMLAYGSAEASLRLLWKFGLLEVLLPIQAAYFVHHGFRRRDKGTNMLLSLLSNLDKLLAPDRPCHSSLWLGILAFHKALSDRPRDPLVVAIFCLAVHNGGDMSEALNIARRITKPHDIRFHELSEPGDKDSESLNDEVKDFAVSVKRVLSMMTDEYCVSQAMAKYPQAPHSDLVFIPLPLYLKVCRMFDCVRHGAEKGFVPKQGSEINYEMLALGSLQEVRHTFARIVFDTVYPLNLT >Manes.10G035700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3517020:3517541:1 gene:Manes.10G035700.v8.1 transcript:Manes.10G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLMFLFLFYFSTSTSVQGADIIEETCKKTEYYDLCVKTLRSDPRSSNADLKGLTQITLEASLVFGRGAFIKIKKMYNETKDKGLKSCLDVCVDNYELAVVRNLPGAIKLLGQNKFNDVNSYLSAAYNAPETCLDSFSEEPKTDVPPELAAWNDHFEQLCTIALDMLNNLGN >Manes.07G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3473109:3476046:-1 gene:Manes.07G031800.v8.1 transcript:Manes.07G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERCSWCGVQLLVPPEAQAIRCAVCQAITRVQSSAAPLTQVRDSINQAATRIINMVSSTVNVPISSSYPGVAAVGTAGYNCYVQPPRPVIYPLPSVHGRKRAVLCGVSYRGKSYKMKGSINDVKCMRYFLVEKLGFPNDSILMLTEDEMCPLRIPTKENMRLAMRWLVQGCQPGDSLVFHFSGHGSKVPDNDMDEVDGFDQTLCPLDYETQGMIIDDEINDTIVRPLPKGAMLHAIIDACYSGTILDLPFVCKMDREGYYTWEDQTCSPDTYKGTSGGLALCFSACNDNQTSVDTNALSGNASTGALTYSFIQAVENEPGLKYGRLLNAMRQAIRGAKTGGLRLSGPIASLINRAFFYTEITQEPQLSSSEKFDIYSKQFLL >Manes.07G031800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3473109:3476046:-1 gene:Manes.07G031800.v8.1 transcript:Manes.07G031800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERCSWCGVQLLVPPEAQAIRCAVCQAITRVQSSAAPLTQVRDSINQAATRIINMVSSTVNVPISSSYPGVAAVGTAGYNCYVQPPRPVIYPLPSVHGRKRAVLCGVSYRGKSYKMKGSINDVKCMRYFLVEKLGFPNDSILMLTEDEMCPLRIPTKENMRLAMRWLVQGCQPGDSLVFHFSGHGSKVPDNDMDEVDGFDQTLCPLDYETQGMIIDDEINDTIVRPLPKGAMLHAIIDACYSGTILDLPFVCKMDREGYYTWEDQTCSPDTYKGTSGGLALCFSACNDNQTSVDTNALSGNASTGALTYSFIQAVENEPGLKYGRLLNAMRQAIRGAKTDEIYLCTCWSCRNLNCLRPRNLTFIPNNFYCNICLLQILIEFLYGFESLP >Manes.07G031800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3473109:3476046:-1 gene:Manes.07G031800.v8.1 transcript:Manes.07G031800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERCSWCGVQLLVPPEAQAIRCAVCQAITRVQSSAAPLTQVRDSINQAATRIINMVSSTVNVPISSSYPGVAAVGTAGYNCYVQPPRPVIYPLPSVHGRKRAVLCGVSYRGKSYKMKGSINDVKCMRYFLVEKLGFPNDSILMLTEDEMCPLRIPTKENMRLAMRWLVQGCQPGDSLVFHFSGHGSKVPDNDMDEVDGFDQTLCPLDYETQGMIIDDEINDTIVRPLPKGAMLHAIIDACYSGTILDLPFVCKMDREGYYTWEDQTCSPDTYKGTSGGLALCFSACNDNQTSVDTNALSGNASTGALTYSFIQAVENEPGLKYGRLLNAMRQAIRGAKTGGLRLSGPIASLINRAFFYTEITQVY >Manes.04G126101.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32619953:32628589:1 gene:Manes.04G126101.v8.1 transcript:Manes.04G126101.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGCCFCGRGNRRKSEESSIPIEATVNKEQEIKEKTAIPIEANISTEKGESTIPIEAAANRKKGVRTFSHEELAKAARYFSISDNNRLGDGLTGEVFKGELPNGEVVAIKRFKHQANPEHEKLARNQYEMEAEILSRIEPHQNIVKVIGYCDDASNRLLVYEFVPNNSLKSCLHGKEEHTIKWSDRLKIALGIAKGLAYLHEICKPRIIHRDIKSANILLGDEFIPKIGDFGLAKEFMSSHTHVSTGPRGTISYEPPEYYIADLRGKLTEKSDVFSFGVVLLELITGKFAILGDNERLVNWALSPLKQVLETDNKEDLDMEKYNNLVDFKLQKDNGKKEISRMIYCAAACVYKPMKLRPKMSQIVEVLEGNKEPMDYIWLRNDTQYLYQGSPYALPEALRPAVP >Manes.04G046272.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7668089:7676669:1 gene:Manes.04G046272.v8.1 transcript:Manes.04G046272.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRYLIRILGFFSLFFPAFESSTSDKAKVPNFAFSWLNDNNTFQAGDTAAIKIIVLGEFDSKGNASLDKNAFNPTLTVNGKKGNSSFVSGVFLDTAGDTSTWRITFAPIRVGVFNVFINDDPFKVFDSSLHYEALPGKIYPSVCIASWMGFLNEFEAGERATIFIVPRDAFGNDVSSTGEELNSYNFTVSVLYANGSLANVPNITHVGWNELGIISIEFIAEKAGDLLLHVKGGKQTLNGSPLPLKVNPGPLDISNCLPKWKFETNAWQIFSKMEIFIHQQDQYGNLVSGLYEFDADIVERETNLTIPVADLHFEDVVPGIQLFSFSLLEPGNFLLTISDLEHNRSISNMPFAYTVFIGYCDGSASTVNGSGLNDSIAGEISQFSVYLFDIFQYPAFVELGSIKVQIVRENDSYYVQPSIVPIINGNGPAQELSQTEISPAPSDVTMNISAGHFEVAASVFHVIYTAEKSGIYEIYVFCGNILLSGVQSFRKEVKAGEYFPKAYDDKISVWEGESIAFDVLANDYFAGHNASIVEFSKPNCGSLLQDGQFFRYTPYQNYYGNDSFMYTISDVNGNLAFATVSIDVLNIPPQFISFPSQLQATEDMISPRYGGFSGFEIRSSDPMENISVTLRADFGTLFLSPLLMQFWDPIWGKFLVKREDDEAKSLNLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEIAVPAFVQSINDPPFINVPKFIILKGKEDKLLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVEVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKHFMVKAHGIRFRGTINDCNLVMQQLSYHGGENGAVLTLKVNDMGNYGCYADCTDNISMPLHVKATVNLIRKRPMSSLAVHTLGSVVIIEFLMVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNFQKESL >Manes.05G172600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28737119:28737949:1 gene:Manes.05G172600.v8.1 transcript:Manes.05G172600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLSFTKSRFHTNSEKASVALCENEIREIFMLFDTDHNYVLSKEELENAFVYLGAMIPALRAWRGINHADTNRNGQVEFGDELDKLVKYAFKFGYTVM >Manes.03G201200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31712798:31714399:1 gene:Manes.03G201200.v8.1 transcript:Manes.03G201200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQMISFFLVVFLIILPSTNPSPIPKSRILYQIACTMCSSCCNQTPPSPPPPPPPPSISNCPPPPSPPTSSGSYYYSPPPPSTYTYSSPPPPSTYTYSSPPPPPQGGGGGGGSNYYYPPPNYNNYAAPPPPNPIVPYFPFYYYNPPPPSMSGAVKLMGSIIYSVAAIFLLSLF >Manes.18G069400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6301019:6304193:1 gene:Manes.18G069400.v8.1 transcript:Manes.18G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPSLCLIAFLHFTSLLLISVQSARPPFACDPSNELTKSFPFCKRSLPISERVKDLVSRLTLDEKVLQLVDTAPAIPRLGIPAYEWWSEALHGVAFLPHVATRQGIHFNGTITAATNFPQVILTAATFDPLLWYRIGQVAGKEARAIYNAGQATGLTFWSPNINIFRDPRWGRGQETAGEDPLVSGKYAVSFVRGLQGDSYEGGALGEHLQASACCKHFTAYDLDHWKDMHRFIFNAKVTKQDMADTFQPPFQSCVQEGKASGIMCAYNQVNGIPNCADYNLLSKTARGEWGFQGYITSDCDAVAVMHENQMYAKTPEDAAADVLKAGMDMDCGYYLKYYTKSAVEKKKVKEFDVDRALHNLFTMRMRLGLFNGDPVKLPYGNIGADQVCSQKHQAIALEAARDGIVLLKNSDQLPLSKSNIKSLAVIGPNADNATILLGNYAGPPCQAITPLQGLQNYIKNTKYHKGCNTVACSSAELDEAVKVAEAADQVVLVMGLDQEQEREERDRIDLLLPGKQQELITSVTKAAKKPVVLLLLCGGPVDVSFAKTNEKIGSILWAGYPGQYGGTALAEIIFGDHNPGGRLPMTWYPQQFTQVPMTDMRMRANSSSGYPGRTYRFYQGKKVFEFGYGLSYSNYSYEIVSVTPDKINLRSSTKDEKSNHKPVSNLGADLCEKSTLSVVVRVKNQGVMTGKHPVLLFMRPAKGEYGTPMKKLVGFQSIKLKSGETAEIEYKLNPCEHLSRADEDGLMVMYEGLQYLLVGDKESPIYVIV >Manes.01G076000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27902477:27907779:1 gene:Manes.01G076000.v8.1 transcript:Manes.01G076000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKIAAKRIDLDVIKDVDLYKIEPWDLQELCKIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKKMPTIRKVGEYESPCWYDEQVCFMPEMDSPRRITQPYASYLHHYPCKQEFETLQYNMPHDPLLLQLPQLESPKVPQSAASANCNSRLPYGGYDTNNGSTLQSSTLTQDEQHHQNLSSLYHNNGEQAVDQVTDWRVLDKFVAYQLSHEDSSKEVSYSNAAAFHVAEQVNVLASESKRPENVQEYASTSTSSCQIDLWK >Manes.01G076000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27902477:27907795:1 gene:Manes.01G076000.v8.1 transcript:Manes.01G076000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKIAAKRIDLDVIKDVDLYKIEPWDLQELCKIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKKMPTIRKVGEYESPCWYDEQVCFMPEMDSPRRITQPYASYLHHYPCKQEFETLQYNMPHDPLLLQLPQLESPKVPQSAASANCNSRLPYGGYDTNNGSTLQSSTLTQDEQHHQNLSSLYHNNGEQAVDQVTDWRVLDKFVAYQLSHEDSSKEVSYSNAAAFHVAEQVNVLASESKRPENVQEYASTSTSSCQIDLWK >Manes.01G076000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27902477:27907779:1 gene:Manes.01G076000.v8.1 transcript:Manes.01G076000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKIAAKRIDLDVIKDVDLYKIEPWDLQELCKIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKKMPTIRKVGEYESPCWYDEQVCFMPEMDSPRRITQPYASYLHHYPCKQEFETLQYNMPHDPLLLQLPQLESPKVPQSAASANCNSRLPYGGYDTNNGSTLQSSTLTQDEQHHQNLSSLYHNNGEQAVDQVTDWRVLDKFVAYQLSHEDSSKEVSYSNAAAFHVAEQVNVLASESKRPENVQEYASTSTSSCQIDLWK >Manes.04G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2858395:2860360:1 gene:Manes.04G024900.v8.1 transcript:Manes.04G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSNKRKKPNILITGTPGTGKTTTSSALAEATQLRHINIGDLVKEKNLHDGWDEEFDCHIINEDLVCDELEDIMEEGGNIVDYHGCDFFPQRWFDRVVVLQTDNSVLYDRLNKRGYSQNKISNNIECEIFQVLLEEAKESYAEDIVVALRSDSIEDISRNVATLTDWVRGWQPAS >Manes.05G019100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1771972:1774024:-1 gene:Manes.05G019100.v8.1 transcript:Manes.05G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSAPPRPWFRLPSIARPVPEPAPTPAPEPAAPQPRPPLARPAFRPAGESPSPPTQPQGPTPARPGGGVGSVPTSPALRASAPSASLPTSPARTTTARVPSPEPSPRTIKPSVQSPPQVTKPKPTTPPPSPLILPSAKIRAEAGNEAMIPLEAEQKTVLVQKTIDKPKPLPKDSDSERNLVDALKTSISQDRKQEASKDAQTKEKGQRKKTSPDSKDRSMRVITIAGENKGAFMEVTRSPNKKHVFEGNQWRSYSSSGEEGKPKMKDEGHKGRTVAYTPMGAFINSNVQGVNNSILYESSCTLHDPGVHLAISTKPPRGWFHIKDDGH >Manes.04G136900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33683015:33683992:1 gene:Manes.04G136900.v8.1 transcript:Manes.04G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKEQSSGKKKSIVVGSWGGNGGTGWDDGIYNGVREITIVFDHCIDSIQVVYDKNGKPVTAEKHGGVGGSKTAEIKLQYPEEFLVSASGHYSSVVPGWSPVIRSLTLKSNRRTYGPYGVEEGTPFNLSMDGCSIVGFTGRSGWYLDSIGFRLSRSQSPKLLQKFQQRLQRLTSSVSKSNKDNDQRQKPYY >Manes.15G048100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3733324:3738682:-1 gene:Manes.15G048100.v8.1 transcript:Manes.15G048100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVICKLSLPIISSSSFASPSKDANSTSSNKSVKLREDWRQRSRPIPPGGTYPAKDHCSRCGLCDTYYIAHVKNACAFLGDGMSRIEGLEPVVHGRGRNVDSFDEMYMGVHEELLYARKIQPVEGAQWTGIVTTIAIEMLKSGMVEAVICVQSDPEDRFSPRPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLEKLYVLGTNCVDNGTREGLDKFLKAASDEPETVLHYEFMQDYKVHLKHLDGRIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADLVVGYMGVPKYPGLSMTQHPQYVTVRNERGREMLGLVKDLLEITPTISSGDRRPFVMETVKADDNAKLGKGPSQPAPKFVGNLIAFLLNLIGPKGLEFARYSLDYHTIRNYLYTNRTWGKERADRYTPSYAKKIVELYNQNGQIDQMLQNK >Manes.14G112900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13958779:13963445:-1 gene:Manes.14G112900.v8.1 transcript:Manes.14G112900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSTNSHKEMAVRRRIASIFNKREEDFPSLKEYNDYLEEVEDMIFDLVAGVDVPAIEEKIAKYQKENAEQIMINQARKAEELAAALAASKGQPAQADTDASFQGGFSVEPGQYAPSVPGGQPRPTGLAPQPVPLGGPDMQGYPMDDEEMMRLRIERGSRAGGWSIELSKKRALEEAFASIWI >Manes.14G112900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13958779:13963440:-1 gene:Manes.14G112900.v8.1 transcript:Manes.14G112900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSTNSHKEMAVRRRIASIFNKREEDFPSLKEYNDYLEEVEDMIFDLVAGVDVPAIEEKIAKYQKENAEQIMINQARKAEELAAALAASKGQPAQADTDASFQGGFSVEPGQYAPSVPGGQPRPTGLAPQPVPLGGPDMQGYPMDDEEMMRLRIERGSRAGGWSIELSKKRALEEAFASIWI >Manes.13G137900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34611680:34616624:1 gene:Manes.13G137900.v8.1 transcript:Manes.13G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEKAPNDQVTEEAEAIELILFQVSECYVYLIPPRKSAASYRADEWDVNKWAWEGTLKVISKGEECIIRLEDKTTGELYARAFLRNGEPHPVEPVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQHFQKTSSVDYSLKEGETLVLQIKNKGGCSTKSKILEQGPNNLSLEEKGDRKEPVLTIRPPPGPLSPVASVQNSPNLPPKLSLGETSTDEFPNPRKEDPIEQNSTMNESAPDIPDDDFGDFQAAG >Manes.03G054200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5260139:5264614:-1 gene:Manes.03G054200.v8.1 transcript:Manes.03G054200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAHSVQLLSMALSFLSPIPLYASYLRLCFTNAGLSRQALDIDNETTLHFWSPHQTSTHKPSLVFIHGFGPVSLWQWRRQVQFFSPHFNLYVPDLVFFGESTTKSSERSEIFQAKSVASLLEKLGVEKYSVVGTSYGGFVAYHMARMWPERVEKVVIASSGANMRRSDNEQLMRKSKFENLGDLMLPRDSSQLRALIGLAVFRQGRVHMIPDFFLNDFISKLYTENRREKLELLKGLTLGQDETDVLLIWGEHDKIFPLDMAIQLKELIGKNVKLESIKEASHVPQIERPKKFNYTVYDFLCGSS >Manes.03G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5260139:5264614:-1 gene:Manes.03G054200.v8.1 transcript:Manes.03G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYAHSVQLLSMALSFLSPIPLYASYLRLCFTNAGLSRQALDIDNETTLHFWSPHQTSTHKPSLVFIHGFGPVSLWQWRRQVQFFSPHFNLYVPDLVFFGESTTKSSERSEIFQAKSVASLLEKLGVEKYSVVGTSYGGFVAYHMARMWPERVEKVVIASSGANMRRSDNEQLMRKSKFENLGDLMLPRDSSQLRALIGLAVFRQGRVHMIPDFFLNDFISKLYTENRREKLELLKGLTLGQDETVNISPLQQDVLLIWGEHDKIFPLDMAIQLKELIGKNVKLESIKEASHVPQIERPKKFNYTVYDFLCGSS >Manes.15G096800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7551973:7563792:-1 gene:Manes.15G096800.v8.1 transcript:Manes.15G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHFLSNAPSAPSTPSSDLPNDAVSLPSASASSSASRFPDMWNYLWIPVLVSLSKEISLAKAAQSNILLPSQVDDESSSPVLRCPAPDTKLNYRPVIGILSHPGDGASGRLNNAANASYIAASYVKFVESAGARVIPLIYNEPPEVLFEKLNLVNGVLFTGGWAKSGLYYDIVKAVFKKVLAKNDAGYHFPLYAICLGFELLTMIISKDNNILESFNATDQASTLQFMKNINMEGTVFQRFPPDLLKKLSTDCLVMQNHHYGISPERLVENEDLSSFFKILTTSADADNKVYVSTVRARNYPVTAFQWHPEKNAFEWGLSMIPHSEDAIHVTQHIANFFVSEARKSLNRPPARKVLDNLIYNYSPTYCGKAGKGYDEVYIFTEP >Manes.09G029900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6247229:6252468:-1 gene:Manes.09G029900.v8.1 transcript:Manes.09G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSLRFSMEADNSEGKSKMEDYEVIEQIGRGAFGSAFLVLHKAEKKKYVLKKIRLAKQTEKFKATAHQEMDLISKLSNPYIVEYKDSWVDKGSCICIVTAYCEGGDMAEIIKKARGIFFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKENNIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEMAAHHPAFRAPDMAGLINKINRSSISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQSYLLRCRNASSVFLPIKPINNSKEKTKRKSLSGKPNGGKANKDREAGPHNQPENYHPFERNGVVQQSNQPHIGIPTSTSSAEDNLETKRVDPTSCAVEISDSMTVPKDSPTGSETSICNGDKQVDSSSLLQKDSTEVEFTLKSSWNSEREGEIASGHFQQLQDVKIKVVTAKDQPTFCIQKVAEEAEAEGQAVTAVDSKGLAILALNCIDKDASLDDKSTVSPINKPCEDSECRLKKPESPDVYKEGIHMEYLSSESNDILSCKDEIGAKQDKNKRSMQMEKYDAYEMKVPTPGEISLLNKLAAMHIDETKSEWENAGLQRADALESLLELCARLLKQDKIDELAGVLNPFGEEVVSSRETAIWLTKSLMSQHKFNGGT >Manes.02G077300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5874675:5879834:1 gene:Manes.02G077300.v8.1 transcript:Manes.02G077300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDCDSHGTKVNSNLSDQSSLGGSVSSSFGATVEALKLKINNSNDSVDDLDHIVLKERLRMLLSRKMLRMEKPELEEISLELNSCDKLDNAPASINTVSGSTVLNLVKVKAEPLDNNESDTQGRNAMGNFSFNIVHAKSELELSDELNRDSVDHMQLRNRMNLQIPRADYELNSSGNYECLMKIGPSVDNDPAPMEASNTVRINRPRKRKKTATNSIETALEEDAPGLLQVLVEQGVSVDEIKLYGEADNDEAIDESFIEDGFSELEDVMSKIFFQRNSFLKFATFRCTKGTKPSYCLACLFSLVEQTRYLQFRNWPAEWGWCRDVQSFIFVFEKHRRIVLERPEYGYATYFFELVDSLSTDWQIKRLVTAMKLTNCGRITLIENKTLLVGEDLTEGEARVLMQYGWTPNSGIGTMLNYRDRVVHDRKNEKESSEWKSKIGKLLMDGYNGGTLVSSNVQENVIQCGVDEGPQIKMEP >Manes.02G077300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5872805:5879834:1 gene:Manes.02G077300.v8.1 transcript:Manes.02G077300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCDSNGFSSKADCETSDIDWVCELDYIPLAFRRQLLMARKLNSCSPDSQSTPQKIVTKKGNEERDSQGCSTAPVSSVKKEIDQQLVQSQSHETNVSLGVDPVVACNKIQADCDAAGKCSQNVTARQQLAMQGDCDSHGTKVNSNLSDQSSLGGSVSSSFGATVEALKLKINNSNDSVDDLDHIVLKERLRMLLSRKMLRMEKPELEEISLELNSCDKLDNAPASINTVSGSTVLNLVKVKAEPLDNNESDTQGRNAMGNFSFNIVHAKSELELSDELNRDSVDHMQLRNRMNLQIPRADYELNSSGNYECLMKIGPSVDNDPAPMEASNTVRINRPRKRKKTATNSIETALEEDAPGLLQVLVEQGVSVDEIKLYGEADNDEAIDESFIEDGFSELEDVMSKIFFQRNSFLKFATFRCTKGTKPSYCLACLFSLVEQNSAGTP >Manes.02G077300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5872805:5879834:1 gene:Manes.02G077300.v8.1 transcript:Manes.02G077300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCDSNGFSSKADCETSDIDWVCELDYIPLAFRRQLLMARKLNSCSPDSQSTPQKIVTKKGNEERDSQGCSTAPVSSVKKEIDQQLVQSQSHETNVSLGVDPVVACNKIQADCDAAGKCSQNVTARQQLAMQGDCDSHGTKVNSNLSDQSSLGGSVSSSFGATVEALKLKINNSNDSVDDLDHIVLKERLRMLLSRKMLRMEKPELEEISLELNSCDKLDNAPASINTVSGSTVLNLVKVKAEPLDNNESDTQGRNAMGNFSFNIVHAKSELELSDELNRDSVDHMQLRNRMNLQIPRADYELNSSGNYECLMKIGPSVDNDPAPMEASNTVRINRPRKRKKTATNSIETALEEDAPGLLQVLVEQGVSVDEIKLYGEADNDEAIDESFIEDGFSELEDVMSKIFFQRNSFLKFATFRCTKGTKPSYCLACLFSLVEQTRYLQFRNWPAEWGWCRDVQSFIFVFEKHRRIVLERPEYGYATYFFELVDSLSTDWQIKRLVTAMKLTNCGRITLIENKTLLVGEDLTEGEARVLMQYGWTPNSGIGTMLNYRDRVVHDRKNEKESSEWKSKIGKLLMDGYNGGTLVSSNVQENVIQCGVDEGPQIKMEP >Manes.02G077300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5872803:5879834:1 gene:Manes.02G077300.v8.1 transcript:Manes.02G077300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCDSNGFSSKADCETSDIDWVCELDYIPLAFRRQLLMARKLNSCSPDSQSTPQKIVTKKGNEERDSQGCSTAPVSSVKKEIDQQLVQSQSHETNVSLGVDPVVACNKIQADCDAAGKCSQNVTARQQLAMQGDCDSHGTKVNSNLSDQSSLGGSVSSSFGATVEALKLKINNSNDSVDDLDHIVLKERLRMLLSRKMLRMEKPELEEISLELNSCDKLDNAPASINTVSGSTVLNLVKVKAEPLDNNESDTQGRNAMGNFSFNIVHAKSELELSDELNRDSVDHMQLRNRMNLQIPRADYELNSSGNYECLMKIGPSVDNDPAPMEASNTVRINRPRKRKKTATNSIETALEEDAPGLLQVLVEQGVSVDEIKLYGEADNDEAIDESFIEDGFSELEDVMSKIFFQRNSFLKFATFRCTKGTKPSYCLACLFSLVEQTRYLQFRNWPAEWGWCRDVQSFIFVFEKHRRIVLERPEYGYATYFFELVDSLSTDWQIKRLVTAMKLTNCGRITLIENKTLLVGEDLTEGEARVLMQYGWTPNSGIGTMLNYRDRVVHDRKNEKESSEWKSKIGKLLMDGYNGGTLVSSNVQENVIQCGVDEGPQIKMEP >Manes.02G077300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5872810:5879834:1 gene:Manes.02G077300.v8.1 transcript:Manes.02G077300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCDSNGFSSKADCETSDIDWVCELDYIPLAFRRQLLMARKLNSCSPDSQSTPQKIVTKKGNEERDSQGCSTAPVSSVKKEIDQQLVQSQSHETNVSLGVDPVVACNKIQADCDAAGKCSQNVTARQQLAMQGDCDSHGTKVNSNLSDQSSLGGSVSSSFGATVEALKLKINNSNDSVDDLDHIVLKERLRMLLSRKMLRMEKPELEEISLELNSCDKLDNAPASINTVSGSTVLNLVKVKAEPLDNNESDTQGRNAMGNFSFNIVHAKSELELSDELNRDSVDHMQLRNRMNLQIPRADYELNSSGNYECLMKIGPSVDNDPAPMEASNTVRINRPRKRKKTATNSIETALEEDAPGLLQVLVEQGVSVDEIKLYGEADNDEAIDESFIEDGFSELEDVMSKIFFQRNSFLKFATFRCTKGTKPSYCLACLFSLVEQNSAGTP >Manes.02G077300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5872803:5879834:1 gene:Manes.02G077300.v8.1 transcript:Manes.02G077300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCDSNGFSSKADCETSDIDWVCELDYIPLAFRRQLLMARKLNSCSPDSQSTPQKIVTKKGNEERDSQGCSTAPVSSVKKEIDQQLVQSQSHETNVSLGVDPVVACNKIQADCDAAGKCSQNVTARQQLAMQGDCDSHGTKVNSNLSDQSSLGGSVSSSFGATVEALKLKINNSNDSVDDLDHIVLKERLRMLLSRKMLRMEKPELEEISLELNSCDKLDNAPASINTVSGSTVLNLVKVKAEPLDNNESDTQGRNAMGNFSFNIVHAKSELELSDELNRDSVDHMQLRNRMNLQIPRADYELNSSGNYECLMKIGPSVDNDPAPMEASNTVRINRPRKRKKTATNSIETALEEDAPGLLQVLVEQGVSVDEIKLYGEADNDEAIDESFIEDGFSELEDVMSKIFFQRNSFLKFATFRCTKGTKPSYCLACLFSLVEQNSAGTP >Manes.02G077300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5872810:5879834:1 gene:Manes.02G077300.v8.1 transcript:Manes.02G077300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCDSNGFSSKADCETSDIDWVCELDYIPLAFRRQLLMARKLNSCSPDSQSTPQKIVTKKGNEERDSQGCSTAPVSSVKKEIDQQLVQSQSHETNVSLGVDPVVACNKIQADCDAAGKCSQNVTARQQLAMQGDCDSHGTKVNSNLSDQSSLGGSVSSSFGATVEALKLKINNSNDSVDDLDHIVLKERLRMLLSRKMLRMEKPELEEISLELNSCDKLDNAPASINTVSGSTVLNLVKVKAEPLDNNESDTQGRNAMGNFSFNIVHAKSELELSDELNRDSVDHMQLRNRMNLQIPRADYELNSSGNYECLMKIGPSVDNDPAPMEASNTVRINRPRKRKKTATNSIETALEEDAPGLLQVLVEQGVSVDEIKLYGEADNDEAIDESFIEDGFSELEDVMSKIFFQRNSFLKFATFRCTKGTKPSYCLACLFSLVEQTRYLQFRNWPAEWGWCRDVQSFIFVFEKHRRIVLERPEYGYATYFFELVDSLSTDWQIKRLVTAMKLTNCGRITLIENKTLLVGEDLTEGEARVLMQYGWTPNSGIGTMLNYRDRVVHDRKNEKESSEWKSKIGKLLMDGYNGGTLVSSNVQENVIQCGVDEGPQIKMEP >Manes.02G032722.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2695438:2697677:-1 gene:Manes.02G032722.v8.1 transcript:Manes.02G032722.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSLRRRLPASGSRKSRPPHPSPSPSRKTPPPRRSVKHPRPIKILKRSLSEPMLWSCSESGGISEAEVQRQRGLWSTGESSGVLPRPHTFTDGFASSPSLMNFSPRSFESYNKDAKVVVNVTVEGSPGPVRTMVKLGTSVEDTIKLVVDKYSEEGRTPKLVKDSASFCELHHSYFSLQRFILVFNRFR >Manes.02G032722.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2695438:2697677:-1 gene:Manes.02G032722.v8.1 transcript:Manes.02G032722.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSLRRRLPASGSRKSRPPHPSPSPSRKTPPPRRSVKHPRPIKILKRSLSEPMLWSCSESGGISEAEVQRQRGLWSTGESSGVLPRPHTFTDGFASSPSLMNFSPRSFESYNKDAKVVVNVTVEGSPGPVRTMVKLGTSVEDTIKLVVDKYSEEGRTPKLVKDSASFCELHHSYFSLQSLDKSELIGDIGSRSFYLRRSCSNRSSNGGSSPSISDNAVVRDSSPPPQFLFSSFVARKLGKIVRRSRRIWNVLVCWK >Manes.13G031100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4016810:4019516:-1 gene:Manes.13G031100.v8.1 transcript:Manes.13G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANQRGPARRILTFPAVQPCESISPSTLLTSLTNLALQICDYKSKSFSTNARNAREIIRLINNITIFLEEIRTGFRSDQSDSIVLSLSELHLTLQKVLYLLEDCTREDARLWMLMMSERVENHFQVLVRAISASLDVLPLRLLDVPSEVKELIELVTRQAHKSRFQMDPNDKLVIKDVFLLLNQFENGVVPDRSNIKRVLDYIGIQKWSDCNKELKFMDAKIGFLHSNEKRSELSLLSSLMGFLSYSRCVVFDSIDTKKAQQQQIDGRRGSELLSCLNSDDFRCPISLEVMKEPVTIETGHTYDRSSILKWFRSGNPTCPKTGKRLGTTELVPNLVLKELIQQYCFQNGIPFAESKHKNRDITRTVVAGSLAAEGAMRLVADFLAGKFTNGDNVERNKAAYEIRILSKANIFNQACFVEAGVIPYLLKLLLSKESLSQENAIAGLLNLSKHSKSKALIVENGGLELIVEVLKKGLQVQAKQHAAATLFYLASVKEYRKLIGEITEAIPALVNLISEGHDHAKKNSLVSIYMLLMHPDNFWRVLATGAVPLLLNLLTSCEREELVTDSLAVLAKLAEKQDGAKVILRSVALPKIVRILDSSNYSRATKEQCVALLLGLCINGGTDVVAHLVKSPSHIGSLYSQLSEGTARASKKAGDLIRILHGFYERNLSGSKNPILPQERFIHVW >Manes.16G088900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29521080:29535902:-1 gene:Manes.16G088900.v8.1 transcript:Manes.16G088900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGVVGILSESVNKWERRVPLTPSHCARLLHSGREKTGVARIIVQPSTKRIHHDAMYEDVGCEISEDLSECGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYEVIVGDHGKRLLAFGKYAGRAGLVDFLHGLGQRYLSLGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEISTLGLPSGICPLVFIFTASGNVSQGAQEIFKLLPHTFIDPSRLPELFAQARGCSPPSRTSKRVYQVYGCVVTSQDMVKHIDPSKTFDKADYYAHPEHYKPVFHEKIAPYASVIVNCMYWEKRFPQLLSTQQLQDLTMKGCPLVGIADITCDIGGSIEFINQNTSIDSPFFRYDPLNDSYHHDMEGNGVICSSVDILPTEFAKEASQHFGDILSQFIGSLASTTDISKLPSHLRRACIAHGGKLTPSFEYIPRMRSSDKEDMPENSANSHFSKKKFNILVSLSGHLFDQFLINEALDIIETAGGSFHLVKCQVGQSSAATSYSELEVGADDKGVLDQIIDSLTSLANLDENQGILDKEANKISLKIGKVQENGIKVFDKKWKTAVLIIGAGRVCRPAAEFLASIGSFSSNEWYKACLDTDFEEENNVQVIVSSLYLKDAEEVIDGIPNATAVQLDVMDHESLCKYISQVDVVVSLLPPSCHNTVADACIKLKKHLVTASYVEDSMSALDERAKAADITILGEMGLDPGIDHMLAMKMINQAHVRKGIVKSFTSYCGALPSPAAANNPLAYKFSWSPAGAIRAGRNPATYKSHGEIVHVDGDSLYDSVIKVRIPDLPAFALECLPNRNSLVYGKVYGIEDEASTIFRGTLRYEGFGEIMGTLARIGFFGTESHPVLRCEQRPTFKTFLCELLKIHGQNLDGILLREHEITEKIVTLGYCKEKRTAVKAAKTIIFLGFHEQTEIPASCQSAFDVTCFRMEERLVYSSAEQDMVLLHHEIEVEFPDGQRPECHRGTLLEFGTTENGKTITSMALTVGLPAAIGALLLLENKIKTRGVVRPIEPEVYVPALDILQALGIKFIEKID >Manes.16G088900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29520883:29535902:-1 gene:Manes.16G088900.v8.1 transcript:Manes.16G088900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNGVVGILSESVNKWERRVPLTPSHCARLLHSGREKTGVARIIVQPSTKRIHHDAMYEDVGCEISEDLSECGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYEVIVGDHGKRLLAFGKYAGRAGLVDFLHGLGQRYLSLGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEISTLGLPSGICPLVFIFTASGNVSQGAQEIFKLLPHTFIDPSRLPELFAQARGCSPPSRTSKRVYQVYGCVVTSQDMVKHIDPSKTFDKADYYAHPEHYKPVFHEKIAPYASVIVNCMYWEKRFPQLLSTQQLQDLTMKGCPLVGIADITCDIGGSIEFINQNTSIDSPFFRYDPLNDSYHHDMEGNGVICSSVDILPTEFAKEASQHFGDILSQFIGSLASTTDISKLPSHLRRACIAHGGKLTPSFEYIPRMRSSDKEDMPENSANSHFSKKKFNILVSLSGHLFDQFLINEALDIIETAGGSFHLVKCQVGQSSAATSYSELEVGADDKGVLDQIIDSLTSLANLDENQGILDKEANKISLKIGKVQENGIKVFDKKWKTAVLIIGAGRVCRPAAEFLASIGSFSSNEWYKACLDTDFEEENNVQVIVSSLYLKDAEEVIDGIPNATAVQLDVMDHESLCKYISQVDVVVSLLPPSCHNTVADACIKLKKHLVTASYVEDSMSALDERAKAADITILGEMGLDPGIDHMLAMKMINQAHVRKGIVKSFTSYCGALPSPAAANNPLAYKFSWSPAGAIRAGRNPATYKSHGEIVHVDGDSLYDSVIKVRIPDLPAFALECLPNRNSLVYGKVYGIEDEASTIFRGTLRYEGFGEIMGTLARIGFFGTESHPVLRCEQRPTFKTFLCELLKIHGQNLDGILLREHEITEKIVTLGYCKEKRTAVKAAKTIIFLGFHEQTEIPASCQSAFDVTCFRMEERLVYSSAEQDMVLLHHEIEVEFPDGQRPECHRGTLLEFGTTENGKTITSMALTVGLPAAIGALLLLENKIKTRGVVRPIEPEVYVPALDILQALGIKFIEKID >Manes.13G067000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8553314:8556850:1 gene:Manes.13G067000.v8.1 transcript:Manes.13G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSNHGDKDGAHQDTALDFHGNPADKSKTGGWLAAGLILGTELSERICVMGIAMNLVTYLVGDLHISSAKSATIVTNFLGTLNLLGLLGGFLADAKLGRYLTVAIFASITALGVTLLTLATTISSMRPPPCSDYRRQHHECVEANGKQLALLYAALYTIALGGGGIKSNVSGFGSDQFDSTDPKEEKAMIFFFNRFYFCISIGSLFAVIVLVYIQDNVGRGWGYGISAGTMVIAVAVLICGTAWYRFKKPRGSPLTVIWRVLLLSWKKRNLPYPSHPSLLNDYHNSRVPHTQKFRCLDKASVIEDNISAGGNKNNPWIVSTVMEVEEVKMVLKLIPIWSTCILFWTVYSQMTTFTIEQATFMERKLGSLLVPSGSFSAFLIITILLFTSLNERLFVPLARNLTHNPQGLTSLQRIGIGLVFSVAAMVAAAVIEKERRIIGVQRNSQISAFWLVPQFFLVGAGEAFVYVGQLEFFIREAPERMKSMSTGLFLSTLSMGFFVSSLLVSIVDRVTNKTWLRSNLNKGRLNNFYWLLALLGTLNFFVFLMFSMRHQYKVRLTPGDSGEKDIKASNDLIAVEMEKVSDGKEGALV >Manes.11G014400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1557045:1559723:-1 gene:Manes.11G014400.v8.1 transcript:Manes.11G014400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAPTRTLSQKELDIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESSLGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPQGHKWDVMVDLFFYREPEETKEQEEEEAVPVADYALPPADYGLPAADWGAQIADGQWTAEAAPPPIAAVPAANFYPEQGGFTTEWDAAAPPPQFAGAAAAAAATPQFAGAAAPTPALAPTGWDE >Manes.09G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31628065:31629138:1 gene:Manes.09G114000.v8.1 transcript:Manes.09G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQGTCISNSCTHSVHIFLVAVAWLKIFLLSFSDCELVLHVPIFPIMTTTPGYNIYHSKTTICFYPFGFLVKISSNSVKKAPFQINKPNQ >Manes.10G041200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4259913:4266738:-1 gene:Manes.10G041200.v8.1 transcript:Manes.10G041200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSIDSHLKAIRLPVHSILLSQSNSCCHLPLPKPHSYHFFLPKPLTVCSISTSFSPIVSFTGRPHCGSSSTTSFGILRCVSSISSASAPQQPTIDWNESFSASEIESGGNRAIEEDSKPSIPVRAYFFSTSVDLKSLVEQNKPNFIPPTSRMTNYVVLKFGNLSETSGLGTCISGSNSCFMVVFQYGSIVLFNVREPEVDDYLKIVRTHASGLLPEMRKDEYEVREKPTLNTWMQGGLDYIMLQFLNIDGIRIIGSVLGQSIALDYYGRQVDGMVAEFTDINRGMEKTGTFSMDSKKLFQLVGKANSNLADVILKLGLFESFYSFQQIRYCLERCQICSDMGISKG >Manes.10G041200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4259913:4266738:-1 gene:Manes.10G041200.v8.1 transcript:Manes.10G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSIDSHLKAIRLPVHSILLSQSNSCCHLPLPKPHSYHFFLPKPLTVCSISTSFSPIVSFTGRPHCGSSSTTSFGILRCVSSISSASAPQQPTIDWNESFSASEIESGGNRAIEEDSKPSIPVRAYFFSTSVDLKSLVEQNKPNFIPPTSRMTNYVVLKFGNLSETSGLGTCISGSNSCFMVVFQYGSIVLFNVREPEVDDYLKIVRTHASGLLPEMRKDEYEVREKPTLNTWMQGGLDYIMLQFLNIDGIRIIGSVLGQSIALDYYGRQVDGMVAEFTDINRGMEKTGTFSMDSKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEIIISVFDLSQRSGFKFFKFF >Manes.15G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12403949:12409224:1 gene:Manes.15G149500.v8.1 transcript:Manes.15G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRIVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Manes.12G076400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9150915:9157970:1 gene:Manes.12G076400.v8.1 transcript:Manes.12G076400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKFVNAFVLVIILLCSFAIGSTQGVRRQEKMLFGNGFSETNEEIAELACIHCRKALIDRKGCFEGFDFYLPQEATTNSKSTYICEAICILPSFLKQEFLDCLWKNKLIFVVSAQGDSPTSWVTKYSGLQSDGRRFLAGKSHGQIAQGHAPYLVPELASTSPFYIPAPSNSEPTHSPSSNPLPHPLFRKRLLQKREMNNSTRHSPRRATREHSPPGSHARSHKSPQASNDKTKIIFIAVAATAATTFCFFAALFCCFLYCMQRRNNKVGPRIGQRDDSPLLHLSDLSPCSSPHSSGSNSYFKEHSPNSKMTSPLRSNLSMKNGNYDPWLVEITSPTFSAEAFPPLKPPPGGLVPPPGRLVPPPERPVPPAPGPSPPVPPTPGPSPPVPPPPGPSPPPGLCPPPPPPKVARGPPAPPPKANLKPSPLGPHCRNDNGFSSSGSSKAKLKPFFWDKVVASPGQSVVWHEISSGSFQFDEEMIESLFGYNAVDKSKSDSQKNSSEPSIQYIQIIDPRKAQNLSILLRALDVSTEEVVNALQNGTELPAELLQTLLRMAPTSDEELKLRLFTGDISQLGPAEHFLKILVDIPFAFKRMESLVFMSSLQEEISILKESFAALEVASDKLRNSRLFLKLLEAVLKTGNRMNDGTYRGGARAFKLDTLLKLSDVKGTDGKTTLLHFVIQEIIRSEGKRALRSIKASQSTCSLKSEDLVEDTNQSSEHYRNLGLKVISGLSNELEDVKNAAAVDADVLTSTVSKLKQSLTKAKAFLNSEMKTSGEDSEFHVALATFVDHASSQISWLSEEENRIMARVQSTADYFHGNAGKNEGLHLFTVVRDFLIMLDKACKDVRDDTATELRKSKETPEVSDSPENRQQSDNGRQQLFPTITGRQIDYSSSDDESPSA >Manes.12G076400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9150915:9157970:1 gene:Manes.12G076400.v8.1 transcript:Manes.12G076400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKFVNAFVLVIILLCSFAIGSTQGVRRQEKMLFGNGFSETNEEIAELACIHCRKALIDRKGCFEGFDFYLPQEATTNSKSTYICEAICILPSFLKQEFLDCLWKNKLIFVVSAQGDSPTSWVTKYSGLQSDGRRFLAGKSHGQIAQGHAPYLVPELASTSPFYIPAPSNSEPTHSPSSNPLPHPLFRKRLLQKREMNNSTRHSPRRATREHSPPGSHARSHKSPQASNDKTKIIFIAVAATAATTFCFFAALFCCFLYCMQRRNNKVGPRIGQRDDSPLLHLSDLSPCSSPHSSGSNSYFKEHSPNSKMTSPLRSNLSMKNGNYDPWLVEITSPTFSAEAFPPLKPPPGGLVPPPGRLVPPPERPVPPAPGPSPPVPPTPGPSPPVPPPPGPSPPPGLCPPPPPPKVARGPPAPPPKANLKPSPLGPHCRNDNGFSSSGSSKAKLKPFFWDKVVASPGQSVVWHEISSGSFQFDEEMIESLFGYNAVDKSKSDSQKNSSEPSIQYIQIIDPRKAQNLSILLRALDVSTEEVVNALQNGTELPAELLQTLLRMAPTSDEELKLRLFTGDISQLGPAEHFLKILVDIPFAFKRMESLVFMSSLQEEISILKESFAALEVASDKLRNSRLFLKLLEAVLKTGNRMNDGTYRGGARAFKLDTLLKLSDVKGTDGKTTLLHFVIQEIIRSEGKRALRSIKASQSTCSLKSEDLVEDTNQSSEHYRNLGLKVISGLSNELEDVKNAAAVDADVLTSTVSKLKQSLTKAKAFLNSEMKTSGEDSEFHVALATFVDHASSQISWLSEEENRIMARVQSTADYFHGNAGKNEGLHLFTVVRDFLIMLDKACKDVRDDTATELRKSKETPEVSDSPENRQQSDNGRQQLFPTITGRQIDYSSSDDESPSA >Manes.13G012100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1529916:1532108:-1 gene:Manes.13G012100.v8.1 transcript:Manes.13G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACASSAIAAVAISPSSQKSGSIVGATKASFLSGKKLRLRNYTSPVASRSVTVCAAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYDAGKLEYFTDTTTLFIIELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >Manes.02G097100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7585009:7592238:1 gene:Manes.02G097100.v8.1 transcript:Manes.02G097100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDTTLRVFCVGTADTKLDELRFLSDSVRSSLTSLSSNSSSKVEVVAVDVSVSQKEVNGIGDFSFVSRNDVLACLKDSVEVLPDDRGQAVAIMSKALEHFMKKVQENNVVGGAIGLGGSGGTSLLSPAFRSLPFGMPKVIVSTVASGQTEPYVGTSDLILFPSVVDVCGVNSVSKVVLSNAGAAFAGMVIGRLERDGGSFGEREKLTVGITMFGVTTPCVNAVKERLAREGYETLVFHSTGVGGRAMESLVREGFIKGVLDITTTEVADYVVGGVMACDSSRFDAILEKKIPLVLSVGALDIVNFGTVDTIPPNFQQRKIHIHNEQVSLMRTTVEENKKFAGFIADKLNQSSSKVRVCLPQEGISALDAPGKPFYDPEATATLIGELQKLIQINEDRQVKVYPYHVNDPEFADALVDSFLEISLKNPTDSSPSHVAVDEPSQDHSISTVKPSSSGTICYSPSNCPDARPETLQKTWTILQQLKDQIEKGLPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVIEMANEVLPIVKGVPVLAGVCGTDPFRRMDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIEKAHKMGLLTTPYAFNQNEAREMAKAGADIIVAHMGLTTSGSIGAKTAVSLEESVFRVQAIADAAHNINSNIIVLCHGGPISGPIEAEFVLKRTKGVHGFYGASSMERLPVEQAIRSTMQQYKSISIK >Manes.02G097100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7585009:7592238:1 gene:Manes.02G097100.v8.1 transcript:Manes.02G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDTTLRVFCVGTADTKLDELRFLSDSVRSSLTSLSSNSSSKVEVVAVDVSVSQKEVNGIGDFSFVSRNDVLACLKDSVEVLPDDRGQAVAIMSKALEHFMKKVQENNVVGGAIGLGGSGGTSLLSPAFRSLPFGMPKVIVSTVASGQTEPYVGTSDLILFPSVVDVCGVNSVSKVVLSNAGAAFAGMVIGRLERDGGSFGEREKLTVGITMFGVTTPCVNAVKERLAREGYETLVFHSTGVGGRAMESLVREGFIKGVLDITTTEVADYVVGGVMACDSSRFDAILEKKIPLVLSVGALDIVNFGTVDTIPPNFQQRKIHIHNEQVSLMRTTVEENKKFAGFIADKLNQSSSKVRVCLPQEGISALDAPGKPFYDPEATATLIGELQKLIQINEDRQVKVYPYHVNDPEFADALVDSFLEISLKNPTDSSPSHVAVDEPSQDHSISTVKPSSSGTICYSPSNCPDARPETLQKTWTILQQLKDQIEKGLPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVIEMANEVLPIVKGVPVLAGVCGTDPFRRMDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIEKAHKMGLLTTPYAFNQNEAREMAKAGADIIVAHMGLTTSGSIGAKTAVSLEESVFRVQAIADAAHNINSNIIVLCHGGPISGPIEAEFVLKRTKGVHGFYGASSMERLPVEQAIRSTMQQYKSISIK >Manes.02G097100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7585008:7592239:1 gene:Manes.02G097100.v8.1 transcript:Manes.02G097100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDTTLRVFCVGTADTKLDELRFLSDSVRSSLTSLSSNSSSKVEVVAVDVSVSQKEVNGIGDFSFVSRNDVLACLKDSVEVLPDDRGQAVAIMSKALEHFMKKVQENNVVGGAIGLGGSGGTSLLSPAFRSLPFGMPKVIVSTVASGQTEPYVGTSDLILFPSVVDVCGVNSVSKVVLSNAGAAFAGMVIGRLERDGGSFGEREKLTVGITMFGVTTPCVNAVKERLAREGYETLVFHSTGVGGRAMESLVREGFIKVSLMRTTVEENKKFAGFIADKLNQSSSKVRVCLPQEGISALDAPGKPFYDPEATATLIGELQKLIQINEDRQVKVYPYHVNDPEFADALVDSFLEISLKNPTDSSPSHVAVDEPSQDHSISTVKPSSSGTICYSPSNCPDARPETLQKTWTILQQLKDQIEKGLPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVIEMANEVLPIVKGVPVLAGVCGTDPFRRMDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIEKAHKMGLLTTPYAFNQNEAREMAKAGADIIVAHMGLTTSGSIGAKTAVSLEESVFRVQAIADAAHNINSNIIVLCHGGPISGPIEAEFVLKRTKGVHGFYGASSMERLPVEQAIRSTMQQYKSISIK >Manes.02G097100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7585009:7592238:1 gene:Manes.02G097100.v8.1 transcript:Manes.02G097100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDTTLRVFCVGTADTKLDELRFLSDSVRSSLTSLSSNSSSKVEVVAVDVSVSQKEVNGIGDFSFVSRNDVLACLKDSVEVLPDDRGQAVAIMSKALEHFMKKVQENNVVGGAIGLGGSGGTSLLSPAFRSLPFGMPKVIVSTVASGQTEPYVGTSDLILFPSVVDVCGVNSVSKVVLSNAGAAFAGMVIGRLERDGGSFGEREKLTVGITMFGVTTPCVNAVKERLAREGYETLVFHSTGVGGRAMESLVREGFIKGVLDITTTEVADYVVGGVMACDSSRFDAILEKKIPLVLSVGALDIVNFGTVDTIPPNFQQRKIHIHNEQVSLMRTTVEENKKFAGFIADKLNQSSSKVRVCLPQEGISALDAPGKPFYDPEATATLIGELQKLIQINEDRQVKVYPYHVNDPEFADALVDSFLEISLKNPTDSSPSHVAVDEPSQDHSISTVKPSSSGTICYSPSNCPDARPETLQKTWTILQQLKDQIEKGLPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVIEMANEVLPIVKGVPVLAGVCGTDPFRRMDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIEKAHKMGLLTTPYAFNQNEAREMAKAGADIIVAHMGLTTSGSIGAKTAVSLEESVFRVQAIADAAHNINSNIIVLCHGGPISGPIEAEFVLKRTKGVHGFYGASSMERLPVEQAIRSTMQQYKSISIK >Manes.02G097100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7585008:7592239:1 gene:Manes.02G097100.v8.1 transcript:Manes.02G097100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLDTTLRVFCVGTADTKLDELRFLSDSVRSSLTSLSSNSSSKVEVVAVDVSVSQKEVNGIGDFSFVSRNDVLACLKDSVEVLPDDRGQAVAIMSKALEHFMKKVQENNVVGGAIGLGGSGGTSLLSPAFRSLPFGMPKVIVSTVASGQTEPYVGTSDLILFPSVVDVCGVNSVSKVVLSNAGAAFAGMVIGRLERDGGSFGEREKLTVGITMFGVTTPCVNAVKERLAREGYETLVFHSTGVGGRAMESLVREGFIKVSLMRTTVEENKKFAGFIADKLNQSSSKVRVCLPQEGISALDAPGKPFYDPEATATLIGELQKLIQINEDRQVKVYPYHVNDPEFADALVDSFLEISLKNPTDSSPSHVAVDEPSQDHSISTVKPSSSGTICYSPSNCPDARPETLQKTWTILQQLKDQIEKGLPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVIEMANEVLPIVKGVPVLAGVCGTDPFRRMDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIEKAHKMGLLTTPYAFNQNEAREMAKAGADIIVAHMGLTTSGSIGAKTAVSLEESVFRVQAIADAAHNINSNIIVLCHGGPISGPIEAEFVLKRTKGVHGFYGASSMERLPVEQAIRSTMQQYKSISIK >Manes.07G058900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8748902:8752203:-1 gene:Manes.07G058900.v8.1 transcript:Manes.07G058900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYSTEPSQGKVDYSVPDAMLQFAKKNNIQVRGHNVFWEDPKFQPWWVKSLSPTDLSKAATNRINSIMSRYKGQVIGWDVVNENLHHDFFESKLGNTASAVFYNLAQKIDGGSTLFLNEYNTIEDGRDGKSTPAKYLQKLRDIKAFPGNQNLKLGIGLESHFSRSAPNLAYIRASIDTLGATNLPIWLTELDVQSNPNQANYLEQILREAHSHPKVAGIVIWAAWKPQGCYAMCLTDNNFKNLPTGDVVDKLLAGRFATKSVVGRTDGNGFFEASLIHGDYSVKIHHSSAENSSLVQSLNVVSSIGTAKETLLVQFSG >Manes.07G058900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8748902:8752313:-1 gene:Manes.07G058900.v8.1 transcript:Manes.07G058900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQDQALEKTRRTKVRIQAVDSEGKPLSNATIIVEQKRASFPFGCAINKNILSNNAYQNWFNSRFTVTVFEDEMKWYSTEPSQGKVDYSVPDAMLQFAKKNNIQVRGHNVFWEDPKFQPWWVKSLSPTDLSKAATNRINSIMSRYKGQVIGWDVVNENLHHDFFESKLGNTASAVFYNLAQKIDGGSTLFLNEYNTIEDGRDGKSTPAKYLQKLRDIKAFPGNQNLKLGIGLESHFSRSAPNLAYIRASIDTLGATNLPIWLTELDVQSNPNQANYLEQILREAHSHPKVAGIVIWAAWKPQGCYAMCLTDNNFKNLPTGDVVDKLLAGRFATKSVVGRTDGNGFFEASLIHGDYSVKIHHSSAENSSLVQSLNVVSSIGTAKETLLVQFSG >Manes.07G058900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8748902:8752313:-1 gene:Manes.07G058900.v8.1 transcript:Manes.07G058900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQDQALEKTRRTKVRIQAVDSEGKPLSNATIIVEQKRASFPFGCAINKNILSNNAYQNWFNSRFTVTVFEDEMKWYSTEPSQGKVDYSVPDAMLQFAKKNNIQVRGHNVFWEDPKFQPWWVKSLSPTDLSKAATNRINSIMSRYKGQVIGWDVVNENLHHDFFESKLGNTASAVFYNLAQKIDGGSTLFLNEYNTIEDGRDGKSTPAKYLQKLRDIKAFPGNQNLKLGIGLESHFSRSAPNLAYIRASIDTLGATNLPIWLTELDVQSNPNQANYLEQILREAHSHPKVAGIVIWAAWKPQGCYAMCLTDNNFKNLPTGDVVDKLLAGRFATKSVVGRTDGNGFFEASLIHGDYSVKIHHSSAENSSLVQSLNVVSSIGTAKETLLVQFSG >Manes.07G058900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8748902:8752313:-1 gene:Manes.07G058900.v8.1 transcript:Manes.07G058900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQDQALEKTRRTKVRIQAVDSEGKPLSNATIIVEQKRASFPFGCAINKNILSNNAYQNWFNSRFTVTVFEDEMKWYSTEPSQGKVDYSVPDAMLQFAKKNNIQVRGHNVFWEDPKFQPWWVKSLSPTDLSKAATNRINSIMSRYKGQVIGWDVVNENLHHDFFESKLGNTASAVFYNLAQKIDGGSTLFLNEYNTIEDGRDGKSTPAKYLQKLRDIKAFPGNQNLKLGIGLESHFSRSAPNLAYIRASIDTLGATNLPIWLTELDVQSNPNQANYLEQILREAHSHPKVAGIVIWAAWKPQGCYAMCLTDNNFKNLPTGDVVDKLLAGRFATKSVVGRTDGNGFFEASLIHGDYSVKIHHSSAENSSLVQSLNVVSSIGTAKETLLVQFSG >Manes.02G137100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10413573:10419036:1 gene:Manes.02G137100.v8.1 transcript:Manes.02G137100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAINLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGELNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKHDKKALEMIGRKLKKNSVALDIVDFGEEDDGKAEKLEALLAAVNNNDSSHIVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEEASKQEKGGEQPTSSQDATMTESASVTASEADNKKNDLMDEENALLQQALAMSMDNPASSHELRDTDMSEAAADDPDLALVIATSISVNAPGGSAALQLSVQDGTKDSGSQTDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQSQSEPQEKKEEDKPKEEEK >Manes.02G137100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10413564:10419328:1 gene:Manes.02G137100.v8.1 transcript:Manes.02G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAINLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGELNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKHDKKALEMIGRKLKKNSVALDIVDFGEEDDGKAEKLEALLAAVNNNDSSHIVHVPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEEASKQEKGGEQPTSSQDATMTESASVTASEADNKKNDLMDEENALLQQALAMSMDNPASSHELRDTDMSEAAADDPDLALALQLSVQDGTKDSGSQTDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQSQSEPQEKKEEDKPKEEEK >Manes.01G170100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35248508:35249799:1 gene:Manes.01G170100.v8.1 transcript:Manes.01G170100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACVFLTPPPPLLSITASKSHHSSLITTHSAILPFTSSSTPLRPKTAFHFHKILLRETHVWRTYATPEEALPSDTVTPLESSQQIVFSTDDGTANIISALLFVAFAVLSILTVGVIYLGVTDFLEKREKEKFEKEEKATKKKNGKKRRVRARAGPRGFGQKINEDDDDD >Manes.16G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1091938:1095190:-1 gene:Manes.16G010700.v8.1 transcript:Manes.16G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMISSLNHSHSTFTSSDFLPRNRSALKPQFSPLPIIQNSQKGHNFVSSLTSEKPLHISSVENLSFSAKPLLKKPVMVCNAYEADGSRPMDINIELPDEQAAQKIKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMMISWATRVADAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPVPVYLSLAPIIGGCALAAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGNSVSGMNYYACLSILSLLILTPFAIAMEGPQMWAAGWQNALAQIGPNFVWWVAAQSIFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >Manes.03G138000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26455679:26471956:1 gene:Manes.03G138000.v8.1 transcript:Manes.03G138000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPGRPNFPMTPSSTPFSAVPPTTTPFSSSGPVGSETPGFRATSPMVPQPTIPSILPGPASGTQTSGFRPAPPASYMPSNVGPFQRFPTPQFPTPPQASTAGAPPVGQPPLQPPAGQVSSALLFRPQAPQMPSVPMGSPPPSNVNVPQSSSDSSFFPSRPNFQPSFPPADSSFPPARATLQPPLPGYIKQSTVVTQAPPIQSPFQAQQGSYVPPASTPSPPFPSHQGGFGLPPSVAAPYGLHSRDQIQQSGSVPPIGSIQGLLEDFSSLSVGSMPGSIDPGLDPKALPRPLDGDVGPTPSPEAYSMNCNPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPDGEEVPVLNFVSTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFAHLDATGRRVDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSISAARSGMIEVVAQTIKSCLDDLPGFPRTQIGFITYDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNMNVESAFGPAFKAAFIVMNQLGGKLLIFQNTMPSLGVGHLRLRGEDLRVYGTDKEHALRIPEDLFYKQMAADLTKYQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSAHHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTNQTVYFQVALLYTASCGERRIRVHTAAAPVVADLGEMYRHADTGAIVSLFCRLAIEKTLSHKLEDARNSVQLRIVKALREYRNLYAVQHRLGGRMIYPESLKFLPLYGLALCKSTPLRGGYADVQLDERCAAGFTMMALPVKKLLKLLYPSLIRVDDYLLKPLVKADEFKNIMRRLPLTMESLDSRGLYIYDDGFRFVLWFGRMISPDIAMSLLGPDAAAELSKVTLSERDTEMSRKLVEMLKKLRENDHSYYQLCNLVRQGEQPREGFLVLTNLVEDAIGGTNGYVDWMLQIHRQVQQNA >Manes.03G138000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26455679:26471038:1 gene:Manes.03G138000.v8.1 transcript:Manes.03G138000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPGRPNFPMTPSSTPFSAVPPTTTPFSSSGPVGSETPGFRATSPMVPQPTIPSILPGPASGTQTSGFRPAPPASYMPSNVGPFQRFPTPQFPTPPQASTAGAPPVGQPPLQPPAGQVSSALLFRPQAPQMPSVPMGSPPPSNVNVPQSSSDSSFFPSRPNFQPSFPPADSSFPPARATLQPPLPGYIKQSTVVTQAPPIQSPFQAQQGSYVPPASTPSPPFPSHQGGFGLPPSVAAPYGLHSRDQIQQSGSVPPIGSIQGLLEDFSSLSVGSMPGSIDPGLDPKALPRPLDGDVGPTPSPEAYSMNCNPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPDGEEVPVLNFVSTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFAHLDATGRRVDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSISAARSGMIEVVAQTIKSCLDDLPGFPRTQIGFITYDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNMNVESAFGPAFKAAFIVMNQLGGKLLIFQNTMPSLGVGHLRLRGEDLRVYGTDKEHALRIPEDLFYKQMAADLTKYQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSAHHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTNQTVYFQVALLYTASCGERRIRVHTAAAPVVADLGEMYRHADTGAIVSLFCRLAIEKTLSHKLEDARNSVQLRIVKALREYRNLYAVQHRLGGRMIYPESLKFLPLYGLALCKSTPLRGGYADVQLDERCAAGFTMMALPVKKLLKLLYPSLIRVDDYLLKPLVKADEFKNIMRRLPLTMESLDSRGLYIYDDGFRFVLWFGRMISPDIAMSLLGPDAAAELSKVTLSERDTEMSRKLVEMLKKLRENDHSYYQLCNLVRQGEQPREGFLVLTNLVEDAIGGTNGYVDWMLQIHRQVQQNA >Manes.03G138000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26455679:26471956:1 gene:Manes.03G138000.v8.1 transcript:Manes.03G138000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPGRPNFPMTPSSTPFSAVPPTTTPFSSSGPVGSETPGFRATSPMVPQPTIPSILPGPASGTQTSGFRPAPPASYMPSNVGPFQRFPTPQFPTPPQASTAGAPPVGQPPLQPPAGQVSSALLFRPQAPQMPSVPMGSPPPSNVNVPQSSSDSSFFPSRPNFQPSFPPADSSFPPARATLQPPLPGYIKQSTVVTQAPPIQSPFQAQQGSYVPPASTPSPPFPSHQGGFGLPPSVAAPYGLHSRDQIQQSGSVPPIGSIQGLLEDFSSLSVGSMPGSIDPGLDPKALPRPLDGDVGPTPSPEAYSMNCNPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPDGEEVPVLNFVSTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFAHLDATGRRVDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSISAARSGMIEVVAQTIKSCLDDLPGFPRTQIGFITYDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNMNVESAFGPAFKAAFIVMNQLGGKLLIFQNTMPSLGVGHLRLRGEDLRVYGTDKEHALRIPEDLFYKQMAADLTKYQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSAHHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTNQTVYFQVALLYTASCGERRIRVHTAAAPVVADLGEMYRHADTGAIVSLFCRLAIEKTLSHKLEDARNSVQLRIVKALREYRNLYAVQHRLGGRMIYPESLKFLPLYGLALCKSTPLRGGYADVQLDERCAAGFTMMALPVKKLLKLLYPSLIRVDDYLLKPLVKADEFKNIMRRLPLTMESLDSRGLYIYDDGFRFVLWFGRMISPDIAMSLLGPDAAAELSKVTLSERDTEMSRKLVEMLKKLRENDHSYYQLCNLVRQGEQPREGFLVLTNLVEDAIGGTNGYVDWMLQIHRQVQQNA >Manes.03G138000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26455673:26473183:1 gene:Manes.03G138000.v8.1 transcript:Manes.03G138000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENPGRPNFPMTPSSTPFSAVPPTTTPFSSSGPVGSETPGFRATSPMVPQPTIPSILPGPASGTQTSGFRPAPPASYMPSNVGPFQRFPTPQFPTPPQASTAGAPPVGQPPLQPPAGQVSSALLFRPQAPQMPSVPMGSPPPSNVNVPQSSSDSSFFPSRPNFQPSFPPADSSFPPARATLQPPLPGYIKQSTVVTQAPPIQSPFQAQQGSYVPPASTPSPPFPSHQGGFGLPPSVAAPYGLHSRDQIQQSGSVPPIGSIQGLLEDFSSLSVGSMPGSIDPGLDPKALPRPLDGDVGPTPSPEAYSMNCNPRYLRLTTSAIPNSQSLVSRWHLPLGAVVCPLAEAPDGEEVPVLNFVSTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICSLLNDVPGEYFAHLDATGRRVDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSISAARSGMIEVVAQTIKSCLDDLPGFPRTQIGFITYDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNMNVESAFGPAFKAAFIVMNQLGGKLLIFQNTMPSLGVGHLRLRGEDLRVYGTDKEHALRIPEDLFYKQMAADLTKYQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPSFQSAHHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLTNQTVYFQVALLYTASCGERRIRVHTAAAPVVADLGEMYRHADTGAIVSLFCRLAIEKTLSHKLEDARNSVQLRIVKALREYRNLYAVQHRLGGRMIYPESLKFLPLYGLALCKSTPLRGGYADVQLDERCAAGFTMMALPVKKLLKLLYPSLIRVDDYLLKPLVKADEFKNIMRRLPLTMESLDSRGLYIYDDGFRFVLWFGRMISPDIAMSLLGPDAAAELSKVTLSERDTEMSRKLVEMLKKLRENDHSYYQLCNLVRQGEQPREGFLVLTNLVEDAIGGTNGYVDWMLQIHRQVQQNA >Manes.07G082282.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:25686068:25687055:-1 gene:Manes.07G082282.v8.1 transcript:Manes.07G082282.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFFFYISDDFPYPIPYWFHYWWNKFGIDSSIIPESVHLAHDQFFDNAQLPDHIITSPKWLIYSHLFHIPWIYMSEYQIKDQTLDNFQIPNLVRKYKIKWWTKTDPNNCSPKAVDQFLSSQPQYCKTLSPIQITKQETFLARKQQVMAQMAKCTSEEEYDKLLEEIKETKSSVSSPIDLSNDNDDFFTQAEI >Manes.09G044600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7857191:7860199:-1 gene:Manes.09G044600.v8.1 transcript:Manes.09G044600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRFRSEEASPDHHVIQMSEMPHTGGVNLDKFFGDVESVKDELKDLERLNESLQSAHEQSKTLHNAKAVKDLRSKMDADVAQALKKAKLIKVRLEALDRSNAANRSLPGCGPGSSSDRTRTSVVNGLRKKLKDSMDSFNSLREKISGEYRETVQRRYFTVTGENPDERTLDRLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDMEKNLKELHQVFLDMAVLVQAQGEQLDDIESNMQRASSFVRGGTQQLNTARVYQKNTRKWTCYAIIILLVIILFVVLFTVRPWENNGGGGGGGSQPAPAQTPPSPPPPPQ >Manes.09G044600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7857112:7860199:-1 gene:Manes.09G044600.v8.1 transcript:Manes.09G044600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRFRSEEASPDHHVIQMSEMPHTGGVNLDKFFGDVESVKDELKDLERLNESLQSAHEQSKTLHNAKAVKDLRSKMDADVAQALKKAKLIKVRLEALDRSNAANRSLPGCGPGSSSDRTRTSVVNGLRKKLKDSMDSFNSLREKISGEYRETVQRRYFTVTGENPDERTLDRLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDMEKNLKELHQVFLDMAVLVQAQGEQLDDIESNMQRASSFVRGGTQQLNTARVYQKNTRKWTCYAIIILLVIILFVVLFTVRPWENNGGGGGGGSQPAPAQTPPSPPPPPQ >Manes.09G091133.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27205656:27208962:-1 gene:Manes.09G091133.v8.1 transcript:Manes.09G091133.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVTKVSHCCAVSGDISRRHDIAVYVSDHLHRGLGHSFCYIQSNPSRLSNSKIHSDETTTFVSISGASVSANTSTTLSDACLYSSSLDEASAFESSDSFFSVPLQPIPRGQLQSGSGKLVPGSCPIERGFLSGPIERGFLSAPIERGFVSAPLDRGLYSGEKEGDNSGKLQRSISHGSIVFDQIQVKTKKKSLIKTLKKAISSTISRGKKSIVASIKGVKESVSGRNGENLSGDSANLSAQVSSNNDVDEDSLFSMESQNLQWAQGKAGEDRVHMVISEQHGWIFVGIYDGFNGPDAPDYLLTNLYTNVNEELKGLLWNDKFESPSKTEETEEASTSTDKENHLFGIRDEEKQYSKRNQGKNPRHRSKGAAKRWEESQRRWRCKWNRERMELDRKLKEKLNCKGSNSNGVNHFHVLKALSQALRKTEESFFEIANKMAAEKPELALMGSCVLVMLMKGEDVYLMNVGDSRAVLAQRCETDLGIGKINQDLEIINEESLRDLEVSNGDEIYRFSSLSSLQLTMDHSTYVHKEVQRIKKEHPDDPSAVMNERVKGYLKVTRAFGVGFLKQVIQSRSGMLYC >Manes.09G091133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27205657:27208962:-1 gene:Manes.09G091133.v8.1 transcript:Manes.09G091133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVTKVSHCCAVSGDISRRHDIAVYVSDHLHRGLGHSFCYIQSNPSRLSNSKIHSDETTTFVSISGASVSANTSTTLSDACLYSSSLDEASAFESSDSFFSVPLQPIPRGQLQSGSGKLVPGSCPIERGFLSGPIERGFLSAPIERGFVSAPLDRGLYSGEKEGDNSGKLQRSISHGSIVFDQIQVKTKKKSLIKTLKKAISSTISRGKKSIVASIKGVKESVSGRNGENLSGDSANLSAQVSSNNDVDEDSLFSMESQNLQWAQGKAGEDRVHMVISEQHGWIFVGIYDGFNGPDAPDYLLTNLYTNVNEELKGLLWNDKFESPSKTEETEEASTSTDKENHLFGIRDEEKQYSKRNQGKNPRHRSKGAAKRWEESQRRWRCKWNRERMELDRKLKEKLNCKGSNSNGVNHFHVLKALSQALRKTEESFFEIANKMAAEKPELALMGSCVLVMLMKGEDVYLMNVGDSRAVLAQRCETDLGIGKINQDLEIINEESLRDLEVSNGDEIYRFSSLSSLQLTMDHSTYVHKEVQRIKKEHPDDPSAVMNERVKGYLKVTRAFGVGFLKQPKWNALLLEMFQIDYVGTSPYITCLPSLHHHRLSSRDRFLILSSDGLYQYFTNEEAVSEVELFISAFPEGDPAQHLIEQVLFRAAKRAGMDFHELLAIPQGERRRYHDDVSVIIISLEGRIWRSSV >Manes.08G159650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39583766:39584676:-1 gene:Manes.08G159650.v8.1 transcript:Manes.08G159650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLELSFWFLMNDYDKHEVALMQFDMDMARAGAMGPCSAFRSEPNREIEGFFEGWKVMFNEFADGNGVIILVDNCTL >Manes.09G042700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7719868:7723809:-1 gene:Manes.09G042700.v8.1 transcript:Manes.09G042700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENAAKLKDNGVIEETHTEDLAVTPTEEEKIAANGQGPSNTNETSENVAKDDGHHSSGGAINAKAKVSQSKLSNSSKELAISGSKNNKLSKDKANLKTLGSLSRNQRPSLSQSLSFPARGIRPDNMRKSIDGHPSKTVIKQAEDDGRKANGSITSVPRLTQPSRRTSTGMNSKESNVNGGKATPRRTSLATVPSKQQTPHVKSSSLNESTNCPPSEASELADQSSQNITTAMLSKEEDDIHSTTSATPRARRASGSVFSFRLDERAEKRKEFFSKLEEKINAKEIEKSNLQAKSKENQEAEIKQLRKSLTFKATPMPCFYKDPPPKVELKKIPTTRPISPKLGRHKSLTTSVNGSTEGGGCSLSPQSPLTLKPESRNATKGAQRNGNKETVASKTTTKKSQPKLQQQQSSSTGKEGKTSKTKPKPAEAESENPAGSVGKAEENHMNPVNLLSCENQNTMSEKNSAEDDGLMLSPPNYETMPPEVTVGG >Manes.09G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7719868:7723809:-1 gene:Manes.09G042700.v8.1 transcript:Manes.09G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENAAKLKDNGVIEETHTEDLAVTPTEEEKIAANGQGPSNTNETSENVAKDDGHHSSGGAINAKAKVSQSKLSNSSKELAISGSKNNKLSKDKANLKTLGSLSRNQRPSLSQSLSFPARGIRPDNMRKSIDGHPSKTVIKQAEDDGRKANGSITSVPRLTQPSRRTSTGMNSKESNVNGGKATPRRTSLATVPSKQQTPHVKSSSLNESTNCPPSEASELADQSSQNITTAMLSKEEDDIHSTTSSATPRARRASGSVFSFRLDERAEKRKEFFSKLEEKINAKEIEKSNLQAKSKENQEAEIKQLRKSLTFKATPMPCFYKDPPPKVELKKIPTTRPISPKLGRHKSLTTSVNGSTEGGGCSLSPQSPLTLKPESRNATKGAQRNGNKETVASKTTTKKSQPKLQQQQSSSTGKEGKTSKTKPKPAEAESENPAGSVGKAEENHMNPVNLLSCENQNTMSEKNSAEDDGLMLSPPNYETMPPEVTVGG >Manes.14G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:725124:727326:1 gene:Manes.14G011100.v8.1 transcript:Manes.14G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSLLRILSGYKDDRTVANEIVAGKSTALITRDLLGGGVEASAKDEPQELDLDLRVPTGWEKRLDLKSGKVYLQRCNSSNSVSPSPSPSSSSDLRQQTNQTVAKLQDLNFPPSPSKMKLNLFDESDLELKLVSSLSIAKTTSSSPTTKYQSVCTLDKVKYALERAEKGPMKKRSTLWKSPLSPSYSSSSSSIREEENEENPVSEPVAAGCPGCLSYVLILKNNPKCPRCNSVVPVPTVKKPRLDLNISI >Manes.04G076600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28196921:28197220:1 gene:Manes.04G076600.v8.1 transcript:Manes.04G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILSAKKILQRSPSASQTAPVAMEVPKGYLAVYVGEKPMKRFVIPVSYLNAPSFQDLLIQAEEEFGYDHPMGGLTIPCSENMFIDVISGLNCS >Manes.12G091951.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17874165:17875109:-1 gene:Manes.12G091951.v8.1 transcript:Manes.12G091951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCGILLGRLWQFDVDALHKGKENSYMFTWNQKKITILPSGSAKHSKVEGKHTVAVSTGVQKLSGAVEKSGGTLALLVRAKGIMEDESSKLPPLRDIQHQIDLIP >Manes.01G263700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41840232:41844750:-1 gene:Manes.01G263700.v8.1 transcript:Manes.01G263700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK6 MSLLILVLKNVFDAVYVNLESYYIGSSRVPDTRTKVSVQALQVESSLVVCFGEILIDFVPTVAGVSLAEAPGFKKAPGGAPANVAVGIARLGGNSAFIGKVGDDEFGHMLADILKQNKVNNSGMRFDSHARTALAFVTLRADGEREFMFYRNPCADMLLSETELDKNLIRKASIFHYGSISLINEPCKSAHLAAMDIAKRAGCILSYDPNLRLPLWPSANAARDGIMSIWNQADIIKISGEEMEFLIEGDNSFDDMMVLEKLFHPNLKLLLVTEGSGGCQYYTKAFKGKVLGVKVDAVDTTGAGDAFMGGLLSKLASDLNLYKDEKKLREALLFANACGAITVTQKGAIPSLPTKEAVLQILSKELLEKI >Manes.01G263700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41841704:41844750:-1 gene:Manes.01G263700.v8.1 transcript:Manes.01G263700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK6 MSLLILVLKNVFDAVYVNLESYYIGSSRVPDTRTKVSVQALQVESSLVVCFGEILIDFVPTVAGVSLAEAPGFKKAPGGAPANVAVGIARLGGNSAFIGKVGDDEFGHMLADILKQNKVNNSGMRFDSHARTALAFVTLRADGEREFMFYRNPCADMLLSETELDKNLIRKASIFHYGSISLINEPCKSAHLAAMDIAKRAGCILSYDPNLRLPLWPSANAARDGIMSIWNQADIIKISGEEMEFLIEGDNSFDDMMVLEKLFHPNLKLLLVTEGSGGCQYYTKAFKGKVLGVKVDAVDTTGAGDAFMGGLLSKLASDLNLYKVE >Manes.01G263700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41841734:41844750:-1 gene:Manes.01G263700.v8.1 transcript:Manes.01G263700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK6 MDFHFQFYALGSKKGIKMASCCFPIRRSHTSPSSKSCSKGSSRVPDTRTKVSVQALQVESSLVVCFGEILIDFVPTVAGVSLAEAPGFKKAPGGAPANVAVGIARLGGNSAFIGKVGDDEFGHMLADILKQNKVNNSGMRFDSHARTALAFVTLRADGEREFMFYRNPCADMLLSETELDKNLIRKASIFHYGSISLINEPCKSAHLAAMDIAKRAGCILSYDPNLRLPLWPSANAARDGIMSIWNQADIIKISGEEMEFLIEGDNSFDDMMVLEKLFHPNLKLLLVTEGSGGCQYYTKAFKGKVLGVKVDAVDTTGAGDAFMGGLLSKLASDLNLYKVE >Manes.01G263700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41840232:41844750:-1 gene:Manes.01G263700.v8.1 transcript:Manes.01G263700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK6 MDFHFQFYALGSKKGSSRVPDTRTKVSVQALQVESSLVVCFGEILIDFVPTVAGVSLAEAPGFKKAPGGAPANVAVGIARLGGNSAFIGKVGDDEFGHMLADILKQNKVNNSGMRFDSHARTALAFVTLRADGEREFMFYRNPCADMLLSETELDKNLIRKASIFHYGSISLINEPCKSAHLAAMDIAKRAGCILSYDPNLRLPLWPSANAARDGIMSIWNQADIIKISGEEMEFLIEGDNSFDDMMVLEKLFHPNLKLLLVTEGSGGCQYYTKAFKGKVLGVKVDAVDTTGAGDAFMGGLLSKLASDLNLYKDEKKLREALLFANACGAITVTQKGAIPSLPTKEAVLQILSKELLEKI >Manes.01G263700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41840232:41844750:-1 gene:Manes.01G263700.v8.1 transcript:Manes.01G263700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK6 MDFHFQFYALGSKKGIKMASCCFPIRRSHTSPSSKSCSKGSSRVPDTRTKVSVQALQVESSLVVCFGEILIDFVPTVAGVSLAEAPGFKKAPGGAPANVAVGIARLGGNSAFIGKVGDDEFGHMLADILKQNKVNNSGMRFDSHARTALAFVTLRADGEREFMFYRNPCADMLLSETELDKNLIRKASIFHYGSISLINEPCKSAHLAAMDIAKRAGCILSYDPNLRLPLWPSANAARDGIMSIWNQADIIKISGEEMEFLIEGDNSFDDMMVLEKLFHPNLKLLLVTEGSGGCQYYTKAFKGKVLGVKVDAVDTTGAGDAFMGGLLSKLASDLNLYKDEKKLREALLFANACGAITVTQKGAIPSLPTKEAVLQILSKELLEKI >Manes.01G263700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41841734:41844750:-1 gene:Manes.01G263700.v8.1 transcript:Manes.01G263700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK6 MDFHFQFYALGSKKGSSRVPDTRTKVSVQALQVESSLVVCFGEILIDFVPTVAGVSLAEAPGFKKAPGGAPANVAVGIARLGGNSAFIGKVGDDEFGHMLADILKQNKVNNSGMRFDSHARTALAFVTLRADGEREFMFYRNPCADMLLSETELDKNLIRKASIFHYGSISLINEPCKSAHLAAMDIAKRAGCILSYDPNLRLPLWPSANAARDGIMSIWNQADIIKISGEEMEFLIEGDNSFDDMMVLEKLFHPNLKLLLVTEGSGGCQYYTKAFKGKVLGVKVDAVDTTGAGDAFMGGLLSKLASDLNLYKVE >Manes.03G020400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1729982:1731938:-1 gene:Manes.03G020400.v8.1 transcript:Manes.03G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKALRELLPSVQKSDTSDDRRNKRPIITSFKDNAVSVEVGGIVIDVDHGVSVDLRPPFMTDTSPFLYDYGASKDEFRTNRHAAFFFLDKDLHPGTKINLYFTRRTTKVKFLPRQVADMMPFSSNKFSEILHTFSIKRNSTKAEIMRKTIKECEKPALQGEHKYCATSLEAMVDFSASKLGKNIQVLVTEIDKDSTQPQQFVITEGVKKVGSKIVACHVQDYVYPIFYCHATHTAKAYMVPLVGTNDTNVKAVAVCHTDTSKWNPRHLAFQVLKVKPGAVPICHFLPTDHFVWVAN >Manes.03G020400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1729982:1731938:-1 gene:Manes.03G020400.v8.1 transcript:Manes.03G020400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHFGMVLFLTSIHLAISGVPPAEVYWQSTLPTTPMPKALRELLPSVQKSDTSDDRRNKRPIITSFKDNAVSVEVGGIVIDVDHGVSVDLRPPFMTDTSPFLYDYGASKDEFRTNRHAAFFFLDKDLHPGTKINLYFTRRTTKVKFLPRQVADMMPFSSNKFSEILHTFSIKRNSTKAEIMRKTIKECEKPALQGEHKYCATSLEAMVDFSASKLGKNIQVLVTEIDKDSTQPQQFVITEGVKKVGSKIVACHVQDYVYPIFYCHATHTAKAYMVPLVGTNDTNVKAVAVCHTDTSKWNPRHLAFQVLKVKPGAVPICHFLPTDHFVWVAN >Manes.02G126400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9590776:9591003:1 gene:Manes.02G126400.v8.1 transcript:Manes.02G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLSALVRPKNPNLAFSTAASSSLASSCAGAFSSSHSKRPVLTNDTKKYESEILAEDLQEPKFSIDLSWP >Manes.09G180200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36923278:36928899:1 gene:Manes.09G180200.v8.1 transcript:Manes.09G180200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFARKSSSPTTTTTTAPLFPKISVWFLRKIRIERQRSANHYYSGLLLFGIVIFLTDKLSPFSEQDRAMDDSCAVCAETLEWVAYGPCGHREVCSTCTIRLRFICNDRCCCICKSESSIVFVTKALGDYTRMINDFSAFPANPIEGQVGQYWFHEGAQAYFDDLDHYKMIKAMCRLSCNFCDRKDEHRSRRTKRTGDFNSIEQLMSHLFHQHRLFMCSLCLEGRKIFISEQKLYNRAQLNQHVKTGDSVVDGSESERGGFMGHPICEFCQNPFYGDNELYLHMSTEHFTCHICQRQHPGQFEYYKDYDDLEIHFRQGHFLCEDEGCLAKKFIVFAAESEMKRHDATEHGGRRSRAKRNAALQIPTSFWYRRSSEQENGNYSCSSDIQFSMVQDSLETFNAVRFNDSSLNAQTISSHRERSEIKSSVNPFELLASTDSEPSSRNCKALGQRPASILLEESSFPPLPNAPSCSVQRPKIATNGLSGNTMAAHLHHRNAVKVLNSSWASRAANHYPNYLSSSSYYSRPVLDSGLLSSSISQSSSIKLATTNEHVLSSWESSIQSKPSAPNNLVSSSNFASSSRFQSSTTKVCCSSSSQNLVNRETLDISFSDPHVKKVTSSSKLLLKEDAQCANKALVEKIRASLDFDKDKYAAFKVISVEYRQDLIDTGEYLAYVHQFGLSHLVRELAMLCPNAQKQRELVEIYMYNTRRNGSNENGHSKSKKSSKKGKEKCEDNGIYHPENALAETISRETMNLQLNHKPLLDEEKILSEAVCHSVKGKSKILVDEQGNLNSSTEPRNKNDARSVNGCPEKNVGARGGNKSYKKLPKFLKNRFGDISAAQLPDKGTPDAGPGGADEKTCWKKNPPETLPIRGVWQNGGGRRLVMMTQRDRKR >Manes.09G180200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36923500:36928899:1 gene:Manes.09G180200.v8.1 transcript:Manes.09G180200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCAETLEWVAYGPCGHREVCSTCTIRLRFICNDRCCCICKSESSIVFVTKALGDYTRMINDFSAFPANPIEGQVGQYWFHEGAQAYFDDLDHYKMIKAMCRLSCNFCDRKDEHRSRRTKRTGDFNSIEQLMSHLFHQHRLFMCSLCLEGRKIFISEQKLYNRAQLNQHVKTGDSVVDGSESERGGFMGHPICEFCQNPFYGDNELYLHMSTEHFTCHICQRQHPGQFEYYKDYDDLEIHFRQGHFLCEDEGCLAKKFIVFAAESEMKRHDATEHGGRRSRAKRNAALQIPTSFWYRRSSEQENGNYSCSSDIQFSMVQDSLETFNAVRFNDSSLNAQTISSHRERSEIKSSVNPFELLASTDSEPSSRNCKALGQRPASILLEESSFPPLPNAPSCSVQRPKIATNGLSGNTMAAHLHHRNAVKVLNSSWASRAANHYPNYLSSSSYYSRPVLDSGLLSSSISQSSSIKLATTNEHVLSSWESSIQSKPSAPNNLVSSSNFASSSRFQSSTTKVCCSSSSQNLVNRETLDISFSDPHVKKVTSSSKLLLKEDAQCANKALVEKIRASLDFDKDKYAAFKVISVEYRQDLIDTGEYLAYVHQFGLSHLVRELAMLCPNAQKQRELVEIYMYNTRRNGSNENGHSKSKKSSKKGKEKCEDNGIYHPENALAETISRETMNLQLNHKPLLDEEKILSEAVCHSVKGKSKILVDEQGNLNSSTEPRNKNDARSVNGCPEKNVGARGGNKSYKKLPKFLKNRFGDISAAQLPDKGTPDAGPGGADEKTCWKKNPPETLPIRGVWQNGGGRRLVMMTQRDRKR >Manes.09G180200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36923113:36928899:1 gene:Manes.09G180200.v8.1 transcript:Manes.09G180200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCAETLEWVAYGPCGHREVCSTCTIRLRFICNDRCCCICKSESSIVFVTKALGDYTRMINDFSAFPANPIEGQVGQYWFHEGAQAYFDDLDHYKMIKAMCRLSCNFCDRKDEHRSRRTKRTGDFNSIEQLMSHLFHQHRLFMCSLCLEGRKIFISEQKLYNRAQLNQHVKTGDSVVDGSESERGGFMGHPICEFCQNPFYGDNELYLHMSTEHFTCHICQRQHPGQFEYYKDYDDLEIHFRQGHFLCEDEGCLAKKFIVFAAESEMKRHDATEHGGRRSRAKRNAALQIPTSFWYRRSSEQENGNYSCSSDIQFSMVQDSLETFNAVRFNDSSLNAQTISSHRERSEIKSSVNPFELLASTDSEPSSRNCKALGQRPASILLEESSFPPLPNAPSCSVQRPKIATNGLSGNTMAAHLHHRNAVKVLNSSWASRAANHYPNYLSSSSYYSRPVLDSGLLSSSISQSSSIKLATTNEHVLSSWESSIQSKPSAPNNLVSSSNFASSSRFQSSTTKVCCSSSSQNLVNRETLDISFSDPHVKKVTSSSKLLLKEDAQCANKALVEKIRASLDFDKDKYAAFKVISVEYRQDLIDTGEYLAYVHQFGLSHLVRELAMLCPNAQKQRELVEIYMYNTRRNGSNENGHSKSKKSSKKGKEKCEDNGIYHPENALAETISRETMNLQLNHKPLLDEEKILSEAVCHSVKGKSKILVDEQGNLNSSTEPRNKNDARSVNGCPEKNVGARGGNKSYKKLPKFLKNRFGDISAAQLPDKGTPDAGPGGADEKTCWKKNPPETLPIRGVWQNGGGRRLVMMTQRDRKR >Manes.09G077807.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21504092:21519264:1 gene:Manes.09G077807.v8.1 transcript:Manes.09G077807.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRRIGSDKGNCGSGVEVGEFWPYVIAESPIFQLHVLALIDTSHLLISFILSSQFNIIINSKKILTTCFLSYFVWSASIMGCAAFVGNPSHAFCVEHLTDLYVFLRSTCSAPSSTTYHQFLTQFGPEEPRPPVVR >Manes.15G149200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12312303:12320603:-1 gene:Manes.15G149200.v8.1 transcript:Manes.15G149200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATASSAGPRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPTISAHSSKSSSVPISSSVQVQQSSRYGYSPVKEDDRYGRGSNGGSKFDAGVKSGQIARGGAVQSQNFPGGTDNGPAGSSARGHGSSAGGSVLPVEAYRRRHEITVTGDEVPPPLTSFEDTGFPSEILREVHNAGFSAPTPIQAQSWPVALQSRDIVAIAKTGSGKTLGYLIPGFMHLKRCRNDAQLGPTVLVLSPTRELATQIQDEAVKFGKSSRISCTCLYGGAPKGPQLKELDRGADIVVATPGRLNDILEMRRISLSQVSYLVLDEADRMLDMGFEPQIRKIVKEVPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNVDELVANKSITQYIEVLAPMEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLARNLTRQFGAAAIHGDKSQGERDYVLNQFRTGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFGDQDSKYASDLIKVLEGANQRVPPEIRDMASRGGGGMGRPRRWGSAPGGRDGGRGGRSDFGYGGRDGGRGSSWGMSNSSSSRPEKGGGRGYEHVSRDRYGGYSDSYDRGRGHSRSPDRHDRVPPTRERSPVQSFHQAMIERGRATSPAQYQRERSRSPRGGGNNSHKVPNRERSPACSFHQAMMERSQASPPSHTQSGRSRSPYGGGSSSQKVSTREQSPVRSFHQAMMERNRASPPSHSQSGRSRSPSNGSGSSFHTAMAEKGRSSSNDVQQDRGRSTGSEHEDRAYNDASRSRFGEEEEEGMIPADEDGIIPPDDEGMYGGADGNFHCS >Manes.12G108400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29863395:29865103:-1 gene:Manes.12G108400.v8.1 transcript:Manes.12G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIISSPTVFPLSPFRRRSRYTERIPRISSIRCSSSPSPSMDGHLKRVVVCGGGVIGVCTAYFLAKKGAAVTLVEKASVACAASGKAGGFLALDWCDGGPLSSLARASFHLHRSLAEELNGPESYGYRPLHTLSLTITESQAQKKPSTSRNKNLPSWIDGPARDPRTIGSTETTAQVHPQLFTQTLLSKATENDGVEVVKGKVERVGVEGGRVDSVVLAGGRVINSDLVVLTLGPWSGKFEMLSSLFRVYGLKAHSIILEPKEPAAITPHALSLRYYPAQGGRPMDPEVYPRPTGEVYVCGMSSTVEVPEDPEEIVGDPESIRVLKRVARTVSSHLEEGEAAVKAEQACFLPCTDDDMPVIGEIPGVKGCYVATGHSCWGILNGPATGAAMAELVLDGKSSIVDLSRFSPARFVGLPRV >Manes.10G056100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:12636785:12638840:1 gene:Manes.10G056100.v8.1 transcript:Manes.10G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISFLEFQYKLSRSKLLRRPSRLFSSRSIQSSSKLPAILQPNLSEMRQIFNKFDTNKDGKISQQEYKETLRALGLEKMTEEVPKIFQVVDSDGDGFIDFTEFVEAQKKGGSINITDIQSAFQAFDLNGDGKITAEEVMEVLRCLGERCSPEDCRRMVRAVDGDGDGMINMDEFKTMMTQT >Manes.08G164400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39942227:39951119:-1 gene:Manes.08G164400.v8.1 transcript:Manes.08G164400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKRCRFFAEANKFTLSDHGVSWFSKHQNGIPSIGRARSLHCLQLQRGWLPAENDGLWAADVDSLQRLILGSNMLVKYRLSPTSSLWLKQGRMSASRGYAHGLENDPHLSRDFLAQLWIAERKLEKTRKKSRRNGCYGNMPHNRHRWFLNPTGRQFSDGTWTEERSCDHGETVLKQPPPSQSVSGFLKPSSPEEALVAPLLARSNLLITRDIEWANLVLGFEQENRYAVVDVCYPQAPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEIGGKEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEILTDAGQYVIRFGSSDPRSKSGRAASIQDLEVARPLTLSERAVAVALAISLDNDYFSRHSGWGIPFVAVGE >Manes.08G164400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39942227:39951119:-1 gene:Manes.08G164400.v8.1 transcript:Manes.08G164400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKRCRFFAEANKFTLSDHGVSWFSKHQNGIPSIGRARSLHCLQLQRGWLPAENDGLWAADVDSLQRLILGSNMLVKYRLSPTSSLWLKQGRMSASRGYAHGLENDPHLSRDFLAQLWIAERKLEKTRKKSRRNGCYGNMPHNRHRWFLNPTGRQFSDGTWTEERSCDHGETVLKQPPPSQSVSGFLKPSSPEEALVAPLLARSNLLITRDIEWANLVLGFEQENRYAVVDVCYPQAPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEIGGKEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEILTDAGQYVIRFGSSDPRSKSGRAASIQDLEVARPLTLSERAVAVALAISLDNDYFSRHSGWGIPFVAVGE >Manes.08G164400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39942227:39951119:-1 gene:Manes.08G164400.v8.1 transcript:Manes.08G164400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKRCRFFAEANKFTLSDHGVSWFSKHQNGIPSIGRARSLHCLQLQRGWLPAENDGLWAADVDSLQRLILGSNMLVKYRLSPTSSLWLKQGRMSASRGYAHGLENDPHLSRDFLAQLWIAERKLEKTRKKSRRNGCYGNMPHNRHRWFLNPTGRQFSDGTWTEERSCDHGETVLKQPPPSQSVSGFLKPSSPEEALVAPLLARSNLLITRDIEWANLVLGFEQENRYAVVDVCYPQAPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEIGGKEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEILTDAGQYVIRFGSSDPRSKSGRAASIQDLEVARPLTLSERAVAVALAISLDNDYFSRHSGW >Manes.08G164400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39942227:39951119:-1 gene:Manes.08G164400.v8.1 transcript:Manes.08G164400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKRCRFFAEANKFTLSDHGVSWFSKHQNGIPSIGRARSLHCLQLQRGWLPAENDGLWAADVDSLQRLILGSNMLVKYRLSPTSSLWLKQGRMSASRGYAHGLENDPHLSRDFLAQLWIAERKLEKTRKKSRRNGCYGNMPHNRHRWFLNPTGRQFSDGTWTEERSCDHGETVLKQPPPSQSVSGFLKPSSPEEALVAPLLARSNLLITRDIEWANLVLGFEQENRYAVVDVCYPQAPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEIGGKEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEILTDAGQYVIRFGSSDPRSKSGRAASIQDLEVARPLTLSERAVAVALAISLDNDYFSRHSGWGIPFVAVGE >Manes.08G164400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39942227:39951119:-1 gene:Manes.08G164400.v8.1 transcript:Manes.08G164400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKRCRFFAEANKFTLSDHGVSWFSKHQNGIPSIGRARSLHCLQLQRGWLPAENDGLWAADVDSLQRLILGSNMLVKYRLSPTSSLWLKQGRMSASRGYAHGLENDPHLSRDFLAQLWIAERKLEKTRKKSRRNGCYGNMPHNRHRWFLNPTGRQFSDGTWTEERSCDHGETVLKQPPPSQSVSGFLKPSSPEEALVAPLLARSNLLITRDIEWANLVLGFEQENRYAVVDVCYPQAPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEIGGKVFGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEILTDAGQYVIRFGSSDPRSKSGRAASIQDLEVARPLTLSERAVAVALAISLDNDYFSRHSGWGIPFVAVGE >Manes.08G164400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39942227:39951119:-1 gene:Manes.08G164400.v8.1 transcript:Manes.08G164400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKRCRFFAEANKFTLSDHGVSWFSKHQNGIPSIGRARSLHCLQLQRGWLPAENDGLWAADVDSLQRLILGSNMLVKYRLSPTSSLWLKQGRMSASRGYAHGLENDPHLSRDFLAQLWIAERKLEKTRKKSRRNGCYGNMPHNRHRWFLNPTGRQFSDGTWTEERSCDHGETVLKQPPPSQSVSGFLKPSSPEEALVAPLLARSNLLITRDIEWANLVLGFEQENRYAVVDVCYPQAPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEIGGKVFGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEILTDAGQYVIRFGSSDPRSKSGRAASIQDLEVARPLTLSERAVAVALAISLDNDYFSRHSGWGIPFVAVGE >Manes.08G164400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39942227:39951168:-1 gene:Manes.08G164400.v8.1 transcript:Manes.08G164400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKRCRFFAEANKFTLSDHGVSWFSKHQNGIPSIGRARSLHCLQLQRGWLPAENDGLWAADVDSLQRLILGSNMLVKYRLSPTSSLWLKQGRMSASRGYAHGLENDPHLSRDFLAQLWIAERKLEKTRKKSRRNGCYGNMPHNRHRWFLNPTGRQFSDGTWTEERSCDHGETVLKQPPPSQSVSGFLKPSSPEEALVAPLLARSNLLITRDIEWANLVLGFEQENRYAVVDVCYPQAPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWITSSIYAEIGGKEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEILTDAGQYVIRFGSSDPRSKSGRAASIQDLEVARPLTLSERAVAVALAISLDNDYFSRHSGWGIPFVAVGE >Manes.03G181900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30382900:30384358:1 gene:Manes.03G181900.v8.1 transcript:Manes.03G181900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVCQGLQSCLESQLVEQRTLRLRLSSPKPHFPQSLELALKPSSFDRRQDEDKHISSVPNPDIGGWSFLQALSNSFQGPKEATEKENIYVHPLMKRNSSKLSEKSLELCTENLGSETGSDTISLCLTESEAGNLPTREQQKPRQLLGDRKANSRSFPPPLTTMSGSESLRVRPHREDGRLIIKAIKAPSTHTYFQAERSDGRLRLSFVKYSTSNFDSTEIGSAEENEASSETKNEKEEIETDVNQEEEEEDEEEDDDEESDFEEEVVKGSVASSKEGEENGGYVEKDVEGNHLNVGAELGIENFQRPRRCKEGELENKALLNWEPFLVATS >Manes.11G089400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16702995:16705860:1 gene:Manes.11G089400.v8.1 transcript:Manes.11G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVMSCLQFSALTLMLLIVCCTFSDCYDPLDPNGRITVTFDIHEWRTDGYLARVTIQNYYQYRHVDKPGWSIGWAWTQKEIIWSISGAFATVQGDCSAFKHQIPHSCQPKPVIADLTPDASPENTSENCCRGGLLSAWAIDPSNSFSSFEITVGNLDINATLHPPANLTLSAPGPGYTCGPVTDTNPTESLDIGGRRKVQVYKTWKSTCTYSSFLANKKPVCCVSLSTFYNRKVTTCPECSCGCKETNQNAVECISEGSSSSLSDLNSLDMVQCTDHMCPVRVHWHVKNNYMSHWRVKITVSNYNYKRNYSNWNVLVQHPGFSEKVITYSFNSTTLPTFGFTDKIALFWGLQFYNNELLQADEEEQGSVTTDILLEKDLRIFTLSNGWALPRRIYFSGEECEMPLPDTFPVLPNISSSVKHPHCLMLILLVYLTCKMLIT >Manes.11G089400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16702995:16705911:1 gene:Manes.11G089400.v8.1 transcript:Manes.11G089400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVMSCLQFSALTLMLLIVCCTFSDCYDPLDPNGRITVTFDIHEWRTDGYLARVTIQNYYQYRHVDKPGWSIGWAWTQKEIIWSISGAFATVQGDCSAFKHQIPHSCQPKPVIADLTPDASPENTSENCCRPGYTCGPVTDTNPTESLDIGGRRKVQVYKTWKSTCTYSSFLANKKPVCCVSLSTFYNRKVTTCPECSCGCKETNQNAVECISEGSSSSLSDLNSLDMVQCTDHMCPVRVHWHVKNNYMSHWRVKITVSNYNYKRNYSNWNVLVQHPGFSEKVITYSFNSTTLPTFGFTDKIALFWGLQFYNNELLQADEEEQGSVTTDILLEKDLRIFTLSNGWALPRRIYFSGEECEMPLPDTFPVLPNISSSVKHPHCLMLILLVYLTCKMLIT >Manes.11G089400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16702995:16705911:1 gene:Manes.11G089400.v8.1 transcript:Manes.11G089400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVMSCLQFSALTLMLLIVCCTFSDCYDPLDPNGRITVTFDIHEWRTDGYLARVTIQNYYQYRHVDKPGWSIGWAWTQKEIIWSISGAFATVQGDCSAFKHQIPHSCQPKPVIADLTPDASPENTSENCCRGGPGYTCGPVTDTNPTESLDIGGRRKVQVYKTWKSTCTYSSFLANKKPVCCVSLSTFYNRKVTTCPECSCGCKETNQNAVECISEGSSSSLSDLNSLDMVQCTDHMCPVRVHWHVKNNYMSHWRVKITVSNYNYKRNYSNWNVLVQHPGFSEKVITYSFNSTTLPTFGFTDKIALFWGLQFYNNELLQADEEEQGSVTTDILLEKDLRIFTLSNGWALPRRIYFSGEECEMPLPDTFPVLPNISSSVKHPHCLMLILLVYLTCKMLIT >Manes.11G095780.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:19086624:19087004:1 gene:Manes.11G095780.v8.1 transcript:Manes.11G095780.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEAGHHRKLQLQELEEIRRDAYENSWNYKTKTKASHDSHLSRKQFEVGDKVLLFDSRLKLFPGKLRSRWIGPFIVEHVYPHGAVDIKSIETAKIFKVNGHRLKPYYEGFAVQVVEEIPLSRSE >Manes.01G057300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:24425304:24427217:1 gene:Manes.01G057300.v8.1 transcript:Manes.01G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVFLVCSLNLWGKEMPATEYSSSFLGRITIRRNQVISMDGTHELELEDIEVFKKNVADRFTDLLSPPDEVICTEPLMSISWLRKLLDVFLSCEAEFKAVFIMGRDPSQICKPPLDRLIPEFMERAVKALDICNAVSSGIDSVQQCQKLAEIVVSALEQKPIGEGQVNRAKKALSSLLTAMMVDDKENHCKGAERTWSFGRRGNTAGASKERATGNFRSLSMIVAKNWSASKQIQAMCSNLVAPRGVEPTGLAAPVYIMSTVMVFVMWTLVAAVPCQDRGGLASHFQIPRNLVWGQSMSGLQEKIAEEWKKKEKKCSAGLLQEMQRMEMLGQSLFEFADGFQFPTETQKMDEVRTQVAELAGICRRMEEGLVPLQMQIREVFHRIVGSRSQVLELVDADKVSQLVV >Manes.18G049100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4230869:4231805:1 gene:Manes.18G049100.v8.1 transcript:Manes.18G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSQDPIHFQYPVNETGFTASEIQELLSLFESPTHSPNSGLGSNSNSNSNSNSNRVGYSVDEKKRRRMISNRESARRSRWRKKQHLENLEVQVNRLEIQNWELKKRLGSILEQCHVLWRENEQLTTEYLVLQARLSDLRHALVAMQLPQ >Manes.09G102200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30079261:30082494:1 gene:Manes.09G102200.v8.1 transcript:Manes.09G102200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTEPSQLQPPQPPQPHRPSTSCDRHPDEHFTGFCPLCLCERLAVLEPSSSSASSSRKPPIPTTSTATAALKAIFKPSGGSGSSKSSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRSTLWSLFYQDEDRNPSKRDSFKGPEIEFESRISSSSVRGPVFEFKEEDEIETDTDSESAVLDEPILTARNSNANPIEEIIHEEEAIVIEPEKVHEEEFKPMKDHIDLDSQTKKPSGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRRNGGPGSATLPVEKPISRQCRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVNVALRSDTQIPVEEPQPIPMNSIHEDETVPGGSAQTRDYYSDSSSRRRRSLDRSNSIRKTAAAVVAEIDELKSASASNAKVSPTTVDYFPGPKLVVPDRDSYSNSLRDDCSETFEMGFRDQASIVGNGERKEAKKSRRWSKAWNIWGFIHRRSVNKDEDEDRYSRANGVERSFSESWPELRGERNGEVRGAFNPKLLRSNSSVSWRNSNGFGGGQFGSARKSSVESNGHGRKKRDEIVLERNRSARYSPNNIDNGLLRFYLTPLRSSRRGGWGKSKSSHAQSIARSVLRLY >Manes.09G102200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30079174:30082494:1 gene:Manes.09G102200.v8.1 transcript:Manes.09G102200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTEPSQLQPPQPPQPHRPSTSCDRHPDEHFTGFCPLCLCERLAVLEPSSSSASSSRKPPIPTTSTATAALKAIFKPSGGSGSSKSSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRSTLWSLFYQDEDRNPSKRDSFKGPEIEFESRISSSSVRGPVFEFKEEDEIETDTDSESAVLDEPILTARNSNANPIEEIIHEEEAIVIEPEKVHEEEFKPMKDHIDLDSQTKKPSGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRRNGGPGSATLPVEKPISRQCRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVNVALRSDTQIPVEEPQPIPMNSIHEDETVPGGSAQTRDYYSDSSSRRRRSLDRSNSIRKTAAAVVAEIDELKSASASNAKVSPTTVDYFPGPKLVVPDRDSYSNSLRDDCSETFEMGFRDQASIVGNGERKEAKKSRRWSKAWNIWGFIHRRSVNKDEDEDRYSRANGVERSFSESWPELRGERNGEVRGAFNPKLLRSNSSVSWRNSNGFGGGQFGSARKSSVESNGHGRKKRDEIVLERNRSARYSPNNIDNGLLRFYLTPLRSSRRGGWGKSKSSHAQSIARSVLRLY >Manes.09G102200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30079111:30082577:1 gene:Manes.09G102200.v8.1 transcript:Manes.09G102200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTEPSQLQPPQPPQPHRPSTSCDRHPDEHFTGFCPLCLCERLAVLEPSSSSASSSRKPPIPTTSTATAALKAIFKPSGGSGSSKSSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRSTLWSLFYQDEDRNPSKRDSFKGPEIEFESRISSSSVRGPVFEFKEEDEIETDTDSESAVLDEPILTARNSNANPIEEIIHEEEAIVIEPEKVHEEEFKPMKDHIDLDSQTKKPSGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRRNGGPGSATLPVEKPISRQCRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVNVALRSDTQIPVEEPQPIPMNSIHEDETVPGGSAQTRDYYSDSSSRRRRSLDRSNSIRKTAAAVVAEIDELKSASASNAKVSPTTVDYFPGPKLVVPDRDSYSNSLRDDCSETFEMGFRDQASIVGNGERKEAKKSRRWSKAWNIWGFIHRRSVNKDEDEDRYSRANGVERSFSESWPELRGERNGEVRGAFNPKLLRSNSSVSWRNSNGFGGGQFGSARKSSVESNGHGRKKRDEIVLERNRSARYSPNNIDNGLLRFYLTPLRSSRRGGWGKSKSSHAQSIARSVLRLY >Manes.09G102200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30079146:30082577:1 gene:Manes.09G102200.v8.1 transcript:Manes.09G102200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTEPSQLQPPQPPQPHRPSTSCDRHPDEHFTGFCPLCLCERLAVLEPSSSSASSSRKPPIPTTSTATAALKAIFKPSGGSGSSKSSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRSTLWSLFYQDEDRNPSKRDSFKGPEIEFESRISSSSVRGPVFEFKEEDEIETDTDSESAVLDEPILTARNSNANPIEEIIHEEEAIVIEPEKVHEEEFKPMKDHIDLDSQTKKPSGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRRNGGPGSATLPVEKPISRQCRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVNVALRSDTQIPVEEPQPIPMNSIHEDETVPGGSAQTRDYYSDSSSRRRRSLDRSNSIRKTAAAVVAEIDELKSASASNAKVSPTTVDYFPGPKLVVPDRDSYSNSLRDDCSETFEMGFRDQASIVGNGERKEAKKSRRWSKAWNIWGFIHRRSVNKDEDEDRYSRANGVERSFSESWPELRGERNGEVRGAFNPKLLRSNSSVSWRNSNGFGGGQFGSARKSSVESNGHGRKKRDEIVLERNRSARYSPNNIDNGLLRFYLTPLRSSRRGGWGKSKSSHAQSIARSVLRLY >Manes.09G102200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30079110:30082494:1 gene:Manes.09G102200.v8.1 transcript:Manes.09G102200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTEPSQLQPPQPPQPHRPSTSCDRHPDEHFTGFCPLCLCERLAVLEPSSSSASSSRKPPIPTTSTATAALKAIFKPSGGSGSSKSSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRSTLWSLFYQDEDRNPSKRDSFKGPEIEFESRISSSSVRGPVFEFKEEDEIETDTDSESAVLDEPILTARNSNANPIEEIIHEEEAIVIEPEKVHEEEFKPMKDHIDLDSQTKKPSGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRRNGGPGSATLPVEKPISRQCRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVNVALRSDTQIPVEEPQPIPMNSIHEDETVPGGSAQTRDYYSDSSSRRRRSLDRSNSIRKTAAAVVAEIDELKSASASNAKVSPTTVDYFPGPKLVVPDRDSYSNSLRDDCSETFEMGFRDQASIVGNGERKEAKKSRRWSKAWNIWGFIHRRSVNKDEDEDRYSRANGVERSFSESWPELRGERNGEVRGAFNPKLLRSNSSVSWRNSNGFGGGQFGSARKSSVESNGHGRKKRDEIVLERNRSARYSPNNIDNGLLRFYLTPLRSSRRGGWGKSKSSHAQSIARSVLRLY >Manes.09G102200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30079074:30082577:1 gene:Manes.09G102200.v8.1 transcript:Manes.09G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTEPSQLQPPQPPQPHRPSTSCDRHPDEHFTGFCPLCLCERLAVLEPSSSSASSSRKPPIPTTSTATAALKAIFKPSGGSGSSKSSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRSTLWSLFYQDEDRNPSKRDSFKGPEIEFESRISSSSVRGPVFEFKEEDEIETDTDSESAVLDEPILTARNSNANPIEEIIHEEEAIVIEPEKVHEEEFKPMKDHIDLDSQTKKPSGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRRNGGPGSATLPVEKPISRQCRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVNVALRSDTQIPVEEPQPIPMNSIHEDETVPGGSAQTRDYYSDSSSRRRRSLDRSNSIRKTAAAVVAEIDELKSASASNAKVSPTTVDYFPGPKLVVPDRDSYSNSLRDDCSETFEMGFRDQASIVGNGERKEAKKSRRWSKAWNIWGFIHRRSVNKDEDEDRYSRANGVERSFSESWPELRGERNGEVRGAFNPKLLRSNSSVSWRNSNGFGGGQFGSARKSSVESNGHGRKKRDEIVLERNRSARYSPNNIDNGLLRFYLTPLRSSRRGGWGKSKSSHAQSIARSVLRLY >Manes.12G063302.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6153147:6154776:1 gene:Manes.12G063302.v8.1 transcript:Manes.12G063302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASKLTLLVGILLALVLLTHAQSCSNFTFPNNQVFDSCIDLPSLQAQLHWNYSASTRSIHIAYKTNQAPTGWIAWAINPTGTGMVGSQAVVAFQNSDGSMTAYPTPVTSMNPSMQPDTLSFKVSNISATYYNNEMTIFAIVGPLENGTTVNHVWQAGDSVSNGIPQAHALSGPNLQSMGRISFLS >Manes.09G148300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34599845:34604651:-1 gene:Manes.09G148300.v8.1 transcript:Manes.09G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERQNSKMVTRTVDLRSDTVTKPTEAMRAAMANAEVDDDVLGYDPTASILETEMAEIMGKEAALFVPSGTMGNLISVLVHCDIRGSEVILGHNSHIHIYENGGISTLGGVHSRTVQNNKDGTMDIDLIEAAIRDPRGSLVYPTTRLICLENSQANCGGRCLSIEYTDRVGELAKKHGLKLHIDGARIFNAAVALGVAVDRLVQAADSVSVCLSKGLGAPVGSVVAGSKSFIAKARILRKTLGGGMRQVGILCAAALVAIQENVRKLEDDHKKAKTLAEGLNQIKGLRVDVAAVETNIVYLEIEEGSKYTAETLRKSLEQHGILVMLAGRLRIRIVLHHQISASDVQFALSCFQQASTGVQGENGK >Manes.02G209475.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194092:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194094:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194094:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20228435:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWKATINRD >Manes.02G209475.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194085:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIFQNHQH >Manes.02G209475.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194053:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWKATINRD >Manes.02G209475.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194053:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194091:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194053:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194094:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194085:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194085:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194094:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194091:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194053:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194085:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWKATINRD >Manes.02G209475.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194085:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWKATINRD >Manes.02G209475.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194085:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194085:20234962:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHDFFFLDLLAIHPSILNITREMEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIFQNHQH >Manes.02G209475.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194053:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWLVDLPNLKHLWFKIPPEITAFQNLRKLIVIDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLDNLPNLNSFCNTIYALEFPFLETLEFWKWKRMVTFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQ >Manes.02G209475.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20194053:20235691:1 gene:Manes.02G209475.v8.1 transcript:Manes.02G209475.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTKNMLALLEEARNFDEIAYPDPCQKIELWFSDERIKNFKSRESILNDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDCQLQSLPINVLEGMKELKVLSIASLIPSLPQSIDVLKNLQPLCLLNDRLNEMHTIGALVELEILQIRSYHLKELPAEIGLLKNLRLLNLRRVKNLRYIPPGVLLRLSKLEELYLPPGYMMKWEWKEDEEKNNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSKVHIVRKDSENILYLEGDASDIKGSGICVLLRKVEVLYLVEVKNLKKIVNEIEDNSFADLKRDECDALVKIPESPKSLLPYLSNLRKVDIRGCDKLKYFISLSMARELRQLHSMTVESCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEQLSASEMNNRMEIVQSKTEPMEKISILFSSLWLRLSKLQKLTLCNCGLVKVLFHPSVAQQFTQLKELNILACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGNLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSFDQSDLKVMFPTSSIAQRLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPNSPLSNLRELNIFECHFQEAAFSLSVAQQLVQLKDLTIWSCEKMEYIVAKDKGRSKIVLFPSLTYLHLSDLPNLMGFCKDNNVSLEWSLLEKLWFRECRKMKTFCVSVPESSTLSTSAEVDHLDTTFCATLIPRKRKKQDNNFSKEVSLIKTQRDPSVSNIDESCAFPSKLIQQLQNVKDLWIEGSDSVEVIFSFEGLINGVLNSVEKIWKATINRD >Manes.06G042051.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13041492:13042532:-1 gene:Manes.06G042051.v8.1 transcript:Manes.06G042051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKILDLSNNDISGSLPSDFSCSNMVGVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLGYMILGHNNIEGEIPIHLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQKSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIHVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKDCATMESSPSISRSSNDTEESNCFIDMEDFCVSFGVAYAMVLVTIAGVLFINPYWRQVWFYFVEISIDKCYYFLIDNIGCLS >Manes.01G153600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34082242:34088348:-1 gene:Manes.01G153600.v8.1 transcript:Manes.01G153600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSIPVLKKLLSSSHTPSPCSRFTSRRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFEKFEVHGDMKKVPAEVIESIRKNKVCLKGGLATPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHQNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERVAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVSPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGNEKILEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGQYMTKDLGGRSSTQEVVDAVIAALD >Manes.01G153600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34082242:34088348:-1 gene:Manes.01G153600.v8.1 transcript:Manes.01G153600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSIPVLKKLLSSSHTPSPCSRFTSRRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFEKFEVHGDMKKVPAEVIESIRKNKVCLKGGLATPMGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHQNVDIVVIRENTEGEYAGLEHEVVPGVVESLKFCSERVAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVSPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGNEKILEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGQYMTKDLGGRSSTQEVVDAVIAALD >Manes.01G049510.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:15265193:15265399:1 gene:Manes.01G049510.v8.1 transcript:Manes.01G049510.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSIFKYSWETLPKKWVKKMERSEHGNRSDTNSDYLFQLVCFLKLHTYTRVQVSIDICGVDHPSRK >Manes.10G034800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3577062:3580856:-1 gene:Manes.10G034800.v8.1 transcript:Manes.10G034800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVSLSLVSSTLSQKLNVPCSNELPRPFPLAGVDSVSYTTEATFNEESNCRRRLLVLGVGALSASLLPVNPLLAEEAPKNYRAFADSKDGYSYYYPADWIDFDFRGHDSAFKDRVLQLQNVRVRFIPTDKKDIHDLGPMEQVVSDLVKHVYAAPNQIPTIIEMQERSIDGKNYYTFEYQLTSPNYSRRYYTLIVGANERRWKRVRNKLKVVADSFKMLDI >Manes.10G034800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3577062:3580856:-1 gene:Manes.10G034800.v8.1 transcript:Manes.10G034800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVSLSLVSSTLSQKVFSLNVPCSNELPRPFPLAGVDSVSYTTEATFNEESNCRRRLLVLGVGALSASLLPVNPLLAEEAPKNYRAFADSKDGYSYYYPADWIDFDFRGHDSAFKDRVLQLQNVRVRFIPTDKKDIHDLGPMEQVVSDLVKHVYAAPNQIPTIIEMQERSIDGKNYYTFEYQLTSPNYSRRYYTLIVGANERRWKRVRNKLKVVADSFKMLDI >Manes.10G034800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3577062:3580856:-1 gene:Manes.10G034800.v8.1 transcript:Manes.10G034800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVSLSLVSSTLSQKLNVPCSNELPRPFPLAGVDSVSYTTEATFNEESNCRRRLLVLGVGALSASLLPVNPLLAEEAPKNYRAFADSKDGYSYYYPADWIDFDFRGHDSAFKDRVLQLQNVRVRFIPTDKKDIHDLGPMEQVVSDLVKHVYAAPNQIPTIIEMQERSIDGKNYYTFEYQLTSPNYSSTSFATIGIGNGRYYTLIVGANERRWKRVRNKLKVVADSFKMLDI >Manes.10G034800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3577062:3580856:-1 gene:Manes.10G034800.v8.1 transcript:Manes.10G034800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSVSLSLVSSTLSQKVFSLNVPCSNELPRPFPLAGVDSVSYTTEATFNEESNCRRRLLVLGVGALSASLLPVNPLLAEEAPKNYRAFADSKDGYSYYYPADWIDFDFRGHDSAFKDRVLQLQNVRVRFIPTDKKDIHDLGPMEQVVSDLVKHVYAAPNQIPTIIEMQERSIDGKNYYTFEYQLTSPNYSSTSFATIGIGNGRYYTLIVGANERRWKRVRNKLKVVADSFKMLDI >Manes.09G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8565893:8571024:1 gene:Manes.09G050700.v8.1 transcript:Manes.09G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMFHYLIPFTCLCFFILLPACNPISAPENRSESELSSLTRDLLESAREPDFFDWLKRIRRRIHEYPELAFEEYNTSELIRSELDSIGIEYLWPIAKTGVVGSIGSGVEPWFGLRADMDALPVQELVEWDYKSKNNGKMHACGHDAHVTMLLGAAKLLQGKKHKLKGTVKLVFQPAEEGRAGAYHMLKEGALDNLQAIFGLHIAPEMPVGSIASRPGAMAAGAARFIAVIKGKGGHAARPQDTRDPILAASFAIQALQQLISRETDPLEPRVLSLGFVEAGKAANVIPEIVKFGGTIRSFTTEGLSYLQKRIIEVVENQAAVHQCTASIDFMEEKMMPYPATVNDESMYEHAKQVGEALLGESNVKFSPLIMGAEDFSFYAQKMKAAFFIIGVRNKNETSAPGLHTPYLFLDEEVLPVGAALHAAVAISYLDANAVETH >Manes.07G059533.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:8675227:8676543:1 gene:Manes.07G059533.v8.1 transcript:Manes.07G059533.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFFIAWLANFKLFLYAFGKGPLSSSDNNPSISFGRFLLVACFPIKIKRESHPNGEDKQNPAPSKVHKSIPNYAVKGLLLAMVVRVYNYREFIHPNVILLLYFLHIYFFLELILAMVGAMARALLGLELEPQFNEPYLSTSLQDFWGRRWNLMVTSILRPTAYEPFLRTSAPIIGRRWAPIPAIFGTFVVSAVMHELMFYYVCLEKPTWDITWFFLLHGVCSMVEVTFKKAVAGKWSLPRLISTPLTVGFLLVSGCWLFFPQFLRCKVDVRVLEEYSAVSAFLKNADRAFSYLNRSSNWSIVNTIKD >Manes.01G247800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40772645:40774252:-1 gene:Manes.01G247800.v8.1 transcript:Manes.01G247800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQKQPTHVALLPSPGMGHLIPLVELAKRLVFQHNLSVTFIVPTDGPPSEAQKSVLESLPTTISYTFLPAVDMSDLPTDAKIETIISLTVARSLPSLRQALKSLVESRRLVALVVDLFGTDAFDVAREFNISPYIFFPSTAMALSLFFWLPKLDGMVSCEYRELEEPVNIPGCKPIHGKELLDPVQDRKNDAYKWLLHHTKRYRLAEGVMVNSFVDMEGGAIKALQEEEAGAKPPVYPVGPLVNMGSSSEGEESECLKWLDEQPHGSVLYVSFGSGGTLSYDQINELANGLEMSQQRFLWVVRSPSDGVANATFFSVQSQKDPYDFLPKGFLDRTKGRGLVVPSWAPQAQVLSHGSTGGFLTHCGWNSILESVVNGVPLIAWPLYAEQKMNAVMLTEDIKVALRPTRNSQNGLIERQEISKVVRSLMEGEEGKKVRNRMKDLKEAADRVLTQEGSSAKALSALALKWKNQICN >Manes.08G133600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37052698:37055131:-1 gene:Manes.08G133600.v8.1 transcript:Manes.08G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRLVIIPRVKLGNQGLEVSKLGFGCMELSGGYNAPLPEEVGISIIKEAFNRGITFFDTADIYGSNANEVLIGKALKQLPREKIQLATKFGVIFKKNYDYRTASFNGKPEYVRACCEASLKRLDVDYIDLYYQHRIDPSVPIEETMGELKKLVEEGKIKYIGLSEPSPDTIKRAHAVHPITALQIEWSLWSRDLEEQIIPLCRELGIGIVPYSPLGQGFFAGKAVVESVPSDTLLKFFPRFTEENLKQNKVLYRRVENLAKKYGCSPAQLALAWVLNQGDDVVPIPGTTKIKNLHDNIGALRVKLTKDEFKEVSDAVPADQVAGLRFFDIRYTWKFGNTPHRNG >Manes.03G041400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3652590:3655691:1 gene:Manes.03G041400.v8.1 transcript:Manes.03G041400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIKSLCFHLDSLQEVIKLGEGILQGPEDVIVDREGLLYTAVRDGWIKRLHKNGSWENWKRIESDSLLGITISKEGALVVCDAEMGLLKVSEDGVTVLASHVNGSEIRFADEVIEASDGNLYFSVPSTKFGLHDWYLDVLEARPHGQLLKYDPSLNETSILLDGLCFPNGVALSREEDFLVFCETWKFRCLKFWLKGNKKGKTEIFVENLPGGPDNINLAPDGSFWIGLLQLVQDKLGFVHTSISSKYLVASFPKLVKLVNGVYGKAMVVNVAADGKITRKFDDADGRVMSFVTSAFEYEDHLYLGSLNTNFIGKIPLKVT >Manes.03G041400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3652590:3655691:1 gene:Manes.03G041400.v8.1 transcript:Manes.03G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCVPACSGFLLACFLAFLFQIFFFSPISPDLLLLPTPSSNFLPTNNKLQEVIKLGEGILQGPEDVIVDREGLLYTAVRDGWIKRLHKNGSWENWKRIESDSLLGITISKEGALVVCDAEMGLLKVSEDGVTVLASHVNGSEIRFADEVIEASDGNLYFSVPSTKFGLHDWYLDVLEARPHGQLLKYDPSLNETSILLDGLCFPNGVALSREEDFLVFCETWKFRCLKFWLKGNKKGKTEIFVENLPGGPDNINLAPDGSFWIGLLQLVQDKLGFVHTSISSKYLVASFPKLVKLVNGVYGKAMVVNVAADGKITRKFDDADGRVMSFVTSAFEYEDHLYLGSLNTNFIGKIPLKVT >Manes.04G093500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29906318:29907486:1 gene:Manes.04G093500.v8.1 transcript:Manes.04G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDYVPKDLVRDILLRLPGKSFIRFRSVCKSWCALFSDSNFIYKTLLSHSEDLNPNLRVLVKSEKRLDFVFFFLSSDRFAMSTTQEIPYPRDIIDKSSSFIDIVGSCSNGLICLRDESNIILWNPKTSETKMLPQSNLLHPPHTFISLGILEFGFDKRTSDYKVLRIFCYLNPPDQSIDYIVEIYSLRDDSWRKIDFCLNDWQLLCHKHDTVYYYYSRGHTGADGVFHWWARDINRNYAIVSFDLSNEVIKTTALPEGIGYSCCRTLFSLNDYVAFSHCNDGNQVELWVLLEYGVKESWTKLYTIPCPQNLCQPVGFSSNGELFFSTWTGQLIVWNPVTKAIVHVKVDGSHVPLPLNGGNKFAGERKGNSSEANQS >Manes.02G202959.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21050887:21092179:-1 gene:Manes.02G202959.v8.1 transcript:Manes.02G202959.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKHLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILDDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGTQRNFLMKVLNNEEALVLFKETAGNSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKTKVFSAIELSYNFLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASTNKQWHTLQSQARINEWQYDDRYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIGDLVKLEILEIRSYRLEELPAEIGNLKNLRLLNLRRVRILRYIPPGVLLRLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHIAVVNAYISPKDSVFRNLIRFHIFVGTSKFHIVHKDSENVLHLKGDASDIKGSGICVLLREVEVLYLQEVKNLKKIVNEIEDNSFADLKRDECVDALVRILESPKSPLPFLSNLRKIEIDGCDELKYFIPLSMARELRQLHSMIVSLCKKMEGIFYRNKVNDEIEGIFYRNKVNDEIESPLTILCLDDLPNFIGFIYKDIKESSASEMNNRMEIVQSKTEPVKKISILFSSLWLRLSNLQKLSLDNCGLEKALFSPSVAQQFVQLKKLNISACCKMEYIVAEAKEEEKNKGIRKIAFPNLTNLVLLDLPELVAFFADNDISFELYSLVYLQIFSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMGQPSTSQMKSGPMEMISTLFFPPSSPLLNLRALYIGHCDFQEAAFPLSVAQQLVQLKDLHIWSCEKMEYIVAKDKGRSNIVLFPSLTYLKLLYLPNLMGFCEDNTVSLEWSLLERLRFVECQKMKTFCVSIPKSSTLNTSAEIDHLDTTFCATLIPRRRKKQDNNFNKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNLKDLEIEGSDSVEVIFSFEGLINGVLNSVEVISLVSLPNLKHVWFKIPPEITAFQNLRNLIVQDCDNLINLFSICSAKLVGKLQLIEIRRCKRMEEIIGKEDEEINMQKIVFPQLRSLTLKDLPNLISFCNTTYALEFPFLETLEFSNWKRMETFSYGSLSMPKLQVVMINGRWHQLMRSDLNLNAKMSELLKMNQYEESDEEESDETAFNGE >Manes.02G202959.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21050887:21092179:-1 gene:Manes.02G202959.v8.1 transcript:Manes.02G202959.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKHLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILDDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGTQRNFLMKVLNNEEALVLFKETAGNSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKTKVFSAIELSYNFLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASTNKQWHTLQSQARINEWQYDDRYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIGDLVKLEILEIRSYRLEELPAEIGNLKNLRLLNLRRVRILRYIPPGVLLRLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHIAVVNAYISPKDSVFRNLIRFHIFVGTSKFHIVHKDSENVLHLKGDASDIKGSGICVLLREVEVLYLQEVKNLKKIVNEIEDNSFADLKRDECVDALVRILESPKSPLPFLSNLRKIEIDGCDELKYFIPLSMARELRQLHSMIVSLCKKMEGIFYRNKVNDEIEGIFYRNKVNDEIESPLTILCLDDLPNFIGFIYKDIKESSASEMNNRMEIVQSKTEPVKKISILFSSLWLRLSNLQKLSLDNCGLEKALFSPSVAQQFVQLKKLNISACCKMEYIVAEAKEEEKNKGIRKIAFPNLTNLVLLDLPELVAFFADNDISFELYSLVYLQIFSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNLKDLEIEGSDSVEVIFSFEGLINGVLNSVEVISLVSLPNLKHVWFKIPPEITAFQNLRNLIVQDCDNLINLFSICSAKLVGKLQLIEIRRCKRMEEIIGKEDEEINMQKIVFPQLRSLTLKDLPNLISFCNTTYALEFPFLETLEFSNWKRMETFSYGSLSMPKLQVVMINGRWHQLMRSDLNLNAKMSELLKMNQYEESDEEESDETAFNGE >Manes.02G202959.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21050887:21092179:-1 gene:Manes.02G202959.v8.1 transcript:Manes.02G202959.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKHLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILDDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGTQRNFLMKVLNNEEALVLFKETAGNSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKTKVFSAIELSYNFLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASTNKQWHTLQSQARINEWQYDDRYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIGDLVKLEILEIRSYRLEELPAEIGNLKNLRLLNLRRVRILRYIPPGVLLRLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHIAVVNAYISPKDSVFRNLIRFHIFVGTSKFHIVHKDSENVLHLKGDASDIKGSGICVLLREVEVLYLQEVKNLKKIVNEIEDNSFADLKRDECVDALVRILESPKSPLPFLSNLRKIEIDGCDELKYFIPLSMARELRQLHSMIVSLCKKMEGIFYRNKVNDEIEGIFYRNKVNDEIESPLTILCLDDLPNFIGFIYKDIKESSASEMNNRMEIVQSKTEPVKKISILFSSLWLRLSNLQKLSLDNCGLEKALFSPSVAQQFVQLKKLNISACCKMEYIVAEAKEEEKNKGIRKIAFPNLTNLVLLDLPELVAFFADNDISFELYSLVYLQIFSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNLKDLEIEGSDSVEVIFSFEGLINGVLNSVEVISLVSLPNLKHVWFKIPPEITAFQNLRNLIVQDCDNLINLFSICSAKLVGKLQLIEIRRCKRMEEIIGKEDEEINMQKIVFPQLRSLTLKDLPNLISFCNTTYALEFPFLETLEFSNWKRMETFSYGSLSMPKLQVVMINGRWHQLMRSDLNLNAKMSELLKMNQYEESDEEESDETAFNGE >Manes.02G202959.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21050886:21092180:-1 gene:Manes.02G202959.v8.1 transcript:Manes.02G202959.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKHLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILDDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGTQRNFLMKVLNNEEALVLFKETAGNSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKTKVFSAIELSYNFLEDEEAKSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASTNKQWHTLQSQARINEWQYDDRYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKELKVLSIASRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIGDLVKLEILEIRSYRLEELPAEIGNLKNLRLLNLRRVRILRYIPPGVLLRLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHIAVVNAYISPKDSVFRNLIRFHIFVGTSKFHIVHKDSENVLHLKGDASDIKGSGICVLLREVEVLYLQEVKNLKKIVNEIEDNSFADLKRDECVDALVRILESPKSPLPFLSNLRKIEIDGCDELKYFIPLSMARELRQLHSMIVSLCKKMEGIFYRNKVNDEIEGIFYRNKVNDEIESPLTILCLDDLPNFIGFIYKIFSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMGQPSTSQMKSGPMEMISTLFFPPSSPLLNLRALYIGHCDFQEAAFPLSVAQQLVQLKDLHIWSCEKMEYIVAKDKGRSNIVLFPSLTYLKLLYLPNLMGFCEDNTVSLEWSLLERLRFVECQKMKTFCVSIPKSSTLNTSAEIDHLDTTFCATLIPRRRKKQDNNFNKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLQNLKDLEIEGSDSVEVIFSFEGLINGVLNSVEVISLVSLPNLKHVWFKIPPEITAFQNLRNLIVQDCDNLINLFSICSAKLVGKLQLIEIRRCKRMEEIIGKEDEEINMQKIVFPQLRSLTLKDLPNLISFCNTTYALEFPFLETLEFSNWKRMETFSYGSLSMPKLQVVMINGRWHQLMRSDLNLNAKMSELLKMNQYEESDEEESDETAFNGE >Manes.10G130433.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29396895:29400102:1 gene:Manes.10G130433.v8.1 transcript:Manes.10G130433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSILFPFSLKCIVLLLFMQTSLESTPSNIDAVTTGGGNKTDHLALLEFKAKIVHDPQNVMRSWNDSAHFCNWEGVVCGRKHRRVTILNLEDKGLVGSLSPYIGNMSFLRQIILRNNSLQGKIPAEVGRLFRLQAFDLYYNHVEGKIPWNLSLCSNLRLLILQNNKLEGQIPTELANLRKLWVLDLGVNYLTGGLPPSIANMSLLEMLAVPNNFLTGSIPDVLGQLSHLSSIGLPLNNFSGIIPPCMYNISSIKIFSVAINSLHGSVPSDTGILLPRLQLFELDNNYFSGSIPLSISNASELQVLTLSGNNFNGEVLVQFGLLKQLRILLLQGNNFNGGLQFIASMANCSNLIYLELSQNQFTGALPNSVANLSSNLRFLAIADNRISGSLPLGLFDLVNLPRIILQRNQITGAIPTEIGKLQKLQELFLDQNRLSGKIPSSIGNLSSLINLQLDINMLQGTIPSSLGNCRNLLRLGLSRNNLSGFIPKQLFPIASMLISISLFQNHLVGPLPLEICNLFNLNGLSISQNMLSGEIPSNLGQCSSLEFLFMDNNNFQGAIPMSLESLRGLRQFDISNNNMSGPIPKYLGKLALEYLNFSFNNLEGEVPTEGVFANMSSISLEGNKMVCGGIQELRLPRCSFEVSKKRKLRQVIQVAVITIPCILGVLILSASLCCWYKRQKRMQSPSSLELKSFPKLSYQKILKATDGFSTANLLGAGSFGSVYKGTLEEDGVIIAVKVLNPQRRGAAKSFKAECKVLQNIRHRNLVRTITSCSSIDFQGNDFKALVYEYMPNGNLDKWLHPSSEIYVEPTEQWSLSFLQRINIAIDVGSAVDYLHHGCQKPVIHCDLKPSNILLDNEMVAHIGDFGLAKFLSQLSGPIHSSSVGVRGTIGYAAPEYGLGSDPSTSGDVYSYGILLLEMMTSKKPTDNIFVEGLNLHDFARMALPDHAVEIVDPILLQEDEEEEARLNRNEGPTQVRYGEKIECLIRMMKVGAGCSMESPQDRMAISDAVNELQSIRKYYM >Manes.05G198100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32242072:32250669:1 gene:Manes.05G198100.v8.1 transcript:Manes.05G198100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGISSLKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQDSLGGNAKLAMVCAVSLAKSCKSETFSTLRFAQRAKAIKNKAVVNEEMEDDVNHLREVIRQLRDELHRVKANSNNPTGWDPRKSLNILKSLIHPHQRLTQVDEDGDEEMEIDEEAVENLCNEVGLQPAGTKGCSSINKEQSAVADVEMEEGTVEQDEKHENVKIVDCAEPVRNAQGCSDVNDKEPVHLLIHTLDEDPSRKPCNNDDNEKRNFSSSVSKLSTEESPSRMVEVRTSCVVSDSLTGPSVGILVVGAANDSQNNAMNCESPSSLSIVPCEASPILKSPTPCVSPRINSSRKSLRTSSMLTASQKDSKNETNSNQEDVKGSLKKSMKSSSSNALTTRASKSFLAPMEHLAASLHRGLEIIDSHRKSSAFMGSSFRFSCRPAESKKILLVEKVDAGVQTFPQDNGITEEDGGAFLCKSCKSKKQLEDKDADDSSNLQLIHINGSESADKSKNQVPKAVEKVLAGAIRREMALEEFCAKQNSEIMQLKRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELASLMHEHKLLKEKYENHPEVLRTNIELKRVQDELEHYRNFCDLGEREVLLEEIQDLRNQLQYYIDSSSASALKRNSVLQLTYSSEPSVAPSLSTIPEAVEGSAEVKLEQERVQWTEAESKWISLAEELRAELDATRVVAEKRRQELEMETRCAEEWKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRKIQEGIDDVKKAASKAGVRGAESKFINALAAEISALKVEREKERRYLRDENKALQVQLRDTAEAVQAAGELLVRLKEAEEAVATAQKRAADAEQETAKACQQIDKLKRKHEYEINTLNDLLAESRLPKEALQSVHNDGERAKYDTVEHLSEGERWREEFEPFCNKDDYGELSKLAEPSSWFSGYDRCNI >Manes.05G198100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32234573:32250669:1 gene:Manes.05G198100.v8.1 transcript:Manes.05G198100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFMQPRNTILREAHANGESPSQSPNPSSNKSKPSPPPSSSRRQMVSKENAPPSDLNSMPPPPDQKPSQSPATKMKCSLPPRPPSSNPLKRKLCMETFPENALPDSGVKIIVRMRPLNKDEEEGETIIQKVSDDSLSINGQTFTFDSVADAQSTQLDIFNLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANALLEENLSSDQQGLTPRVFQRLFARINEEQIKHADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLREEYVFTMKDVTQLLMKGLSNRRTGATSINAESSRSHSVFTCLVESRCKSMSDGISSLKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQDSLGGNAKLAMVCAVSLAKSCKSETFSTLRFAQRAKAIKNKAVVNEEMEDDVNHLREVIRQLRDELHRVKANSNNPTGWDPRKSLNILKSLIHPHQRLTQVDEDGDEEMEIDEEAVENLCNEVGLQPAGTKGCSSINKEQSAVADVEMEEGTVEQDEKHENVKIVDCAEPVRNAQGCSDVNDKEPVHLLIHTLDEDPSRKPCNNDDNEKRNFSSSVSKLSTEESPSRMVEVRTSCVVSDSLTGPSVGILVVGAANDSQNNAMNCESPSSLSIVPCEASPILKSPTPCVSPRINSSRKSLRTSSMLTASQKDSKNETNSNQEDVKGSLKKSMKSSSSNALTTRASKSFLAPMEHLAASLHRGLEIIDSHRKSSAFMGSSFRFSCRPAESKKILLVEKVDAGVQTFPQDNGITEEDGGAFLCKSCKSKKQLEDKDADDSSNLQLIHINGSESADKSKNQVPKAVEKVLAGAIRREMALEEFCAKQNSEIMQLKRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELASLMHEHKLLKEKYENHPEVLRTNIELKRVQDELEHYRNFCDLGEREVLLEEIQDLRNQLQYYIDSSSASALKRNSVLQLTYSSEPSVAPSLSTIPEAVEGSAEVKLEQERVQWTEAESKWISLAEELRAELDATRVVAEKRRQELEMETRCAEEWKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRKIQEGIDDVKKAASKAGVRGAESKFINALAAEISALKVEREKERRYLRDENKALQVQLRDTAEAVQAAGELLVRLKEAEEAVATAQKRAADAEQETAKACQQIDKLKRKHEYEINTLNDLLAESRLPKEALQSVHNDGERAKYDTVEHLSEGERWREEFEPFCNKDDYGELSKLAEPSSWFSGYDRCNI >Manes.04G116300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31859477:31866239:-1 gene:Manes.04G116300.v8.1 transcript:Manes.04G116300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNDIRTWIGRRQPQYAGLTVSYKPNKVFNVNCGPTLETETATNRVDEVKEIKSSGLTSQLIPNLSEVESLVTEMCNTASIAEFELKVAGFKLYMMRDTTEKNKLPSLHTLAPSTASFPSPAPASVTVDATFKAPDSNISASSTSLTIFKPVPFSGGIKSFLDRAADEGLVILQSPRVGFFRRSLTIKGKRAPPSCDEKQMVKEGQVICYIEQLGGELPIESDVSGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Manes.04G116300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31859477:31866239:-1 gene:Manes.04G116300.v8.1 transcript:Manes.04G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSLGATNFKLSKLDFGGAKRENLMQWNDIRTWIGRRQPQYAGLTVSYKPNKVFNVNCGPTLETETATNRVDEVKEIKSSGLTSQLIPNLSEVESLVTEMCNTASIAEFELKVAGFKLYMMRDTTEKNKLPSLHTLAPSTASFPSPAPASVTVDATFKAPDSNISASSTSLTIFKPVPFSGGIKSFLDRAADEGLVILQSPRVGFFRRSLTIKGKRAPPSCDEKQMVKEGQVICYIEQLGGELPIESDVSGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Manes.02G095600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7460864:7464096:-1 gene:Manes.02G095600.v8.1 transcript:Manes.02G095600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDDCMVNPKISPSNTYGAVVLGGTFDRLHDGHRLFLKASAELARDRIVIGVCDGPMLTNKQFVCLIRPIKERMGNVENYIKSIKPELVVQVEPIIDPYGPSIVDESLEAIVVSKETVPGGLSVNKRRADKGLPLLKIEVVDLLSEESSGDKISSTTLRSLEAEKAKEQPVALK >Manes.02G095600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7460893:7464096:-1 gene:Manes.02G095600.v8.1 transcript:Manes.02G095600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDDCMVNPKISPSNTYGAVVLGGTFDRLHDGHRLFLKASAELARDRIVIGVCDGPMLTNKQFVCLIRPIKERMGNVENYIKSIKPELVVQVEPIIDPYGPSIVDESLEAIVVSKETVPGGLSVNKRRADKGLPLLKIEVVDLLSEESSGDKISSTTLRSLEAEKAKEQPVALK >Manes.02G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7460893:7463874:-1 gene:Manes.02G095600.v8.1 transcript:Manes.02G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDDCMVNPKISPSNTYGAVVLGGTFDRLHDGHRLFLKASAELARDRIVIGVCDGPMLTNKQFVCLIRPIKERMGNVENYIKSIKPELVVQVEPIIDPYGPSIVDESLEAIVVSKETVPGGLSVNKRRADKGLPLLKIEVVDLLSEESSGDKISSTTLRSLEAEKAKEQPVALK >Manes.02G095600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7460893:7464096:-1 gene:Manes.02G095600.v8.1 transcript:Manes.02G095600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPKISPSNTYGAVVLGGTFDRLHDGHRLFLKASAELARDRIVIGVCDGPMLTNKQFVCLIRPIKERMGNVENYIKSIKPELVVQVEPIIDPYGPSIVDESLEAIVVSKETVPGGLSVNKRRADKGLPLLKIEVVDLLSEESSGDKISSTTLRSLEAEKAKEQPVALK >Manes.16G129400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33065661:33071747:1 gene:Manes.16G129400.v8.1 transcript:Manes.16G129400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESMDDMEEDMGTSSKGEKLPNEFDMEIDFDGDDDEDDGEFMDLTSLGDLGEGFLEDFCKKAATLFFNEYGLISHQINSYNDFIHNGLQMAFDSFDDLVVEPGYDPSKKGENEWRYASVRFGKVTVDRPSFWAGSDGGEHNMFPRHARLQNMTYSARMKVNVTVEVYTQKVVSSDEFRTGKDHFVDKVVLSTDSRDIIIGRMPVMVKSDLCWMQTVEKGDCDFDHGGYFLIKGAEKVLIAQEQSCLKRLWISNTQGWTVAYKSEVKRNRLIVRLEPVGPSKDENLKEGKKGLTVYFLSTEIPLWILFFALGIKSDKEVIDLIDYNMEDASIMNIIFGSIHDADDKCEGFRKERNALDYLVKQIQGTKFPPGEDEDCISLYLFPTLHSPRQKARFLGYMVKCLLQAYSGKRKCDNRDSFRNKRFELAAELLDRELKVHIAHARRRMSKVLQKDLYGDRDVRPIEHYLDASIVTNGLSRAFSSGAWSHPFKRMERISGVVANLGRTNPLQTMVDLRKTRQQVLYTGKVGGARYPHPSHWGRVCFLSTPDGENCGLVKNLAATGLVSTNISEPLIDILFDCGMEKIVDDAHTKLNGKHIVFLNGEWVGVCEDSHLFVTELRRLRRRKRLPQQVEIKRDDQQREIRIFSDAGRILRPLLVVENLTKIKAFKGGNYTFQSLLDKGIIEFVGTEEEEDCNTAWSVKLLLAGADGKQPVQYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHCQQAIGFYTTNPNIRVDTVSHQLHYPQRPLFRTVTSDCLGKSRHQRGYNGMLPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRSSLERGLFRSEHSRSYKADVDNKELLDKWRKFDDTINFGKVQSKFGRVDSLDDDGFPFIGANLQSGDIVIGRCAESGADHSIKLKHTERGMVQKVVLSSNDEGKNFAVVSLRQVRSPSLGDKFSSMHGQKGVLGFLESQENFPFTRQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSMRYATPFSTLSVEAITNQLHRAGFSRWGNEKVCDGRTGEMVHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASGNLHERLFTLSDSSQMHVCQSCKNVANVIQRGVRGGHKIRGPYCRICESADDIVKVNVPYGAKLLYQELFSMGINLKFETQLC >Manes.15G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7825456:7832437:-1 gene:Manes.15G099900.v8.1 transcript:Manes.15G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDHPKKDLKDLDFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKIHDVFEHNSDAIRILREVKLLRLLRHPDIVEIKRIMLPSSKREFKDIFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLDLITDLLGTPSPETISGVRNEKARKYLTEMRKKKPVPCTLKFPNADPLALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKIEREPSCQPISKLEFEFERRRVTKEDIRELLYREILEYHPQLLKDYMNGNEGTNFLYPSAIGQFRKQFAYLEENSGRSAPVIPLERKHVSLPRSTVHTNTIPPNMHPSSTAFDHRHVAEDACKNYRAADAISGNAMKVSRPPPRVPTGCTAKPGRVVGSVVPYENGRNIKDAYDARIFYRNAVLPPQQTVSPHCFFMNNTLTRGKSTESEKDTSQAKQPECKMAAKPAPVMAIEMNANPYYQPKAKVEQLNERIAIDAKLLQAQSQFGAAAVAVAAHRNVGTVQYGLS >Manes.10G087300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22330895:22333154:1 gene:Manes.10G087300.v8.1 transcript:Manes.10G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDGEDEEY >Manes.11G098200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21200828:21204854:-1 gene:Manes.11G098200.v8.1 transcript:Manes.11G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAEPELARDPSDNPNEVVSDESSPETDLTLSPSQPQNQINDPQNSSENHLPHSSETQSPPPNTTLDAPVLDSQDDDSSDPIPEDTIEEQPQNPNSVDPPPPQKRRRRRKRFFTEINGNPSFRRHRIAGGLSEEVNVEAFIAISVGFPVDSLTEEEIEANVVSTIGGTEQANYIVVRNHILARWRSNVSTWLTRDHALVSIRAEYKNLVDSAYNFLLEHGYINFGLAPAVKEAQMMLHERADKANVVVVGAGLAGLVAARQLVAMGFKVVVLEGRARPGGRVKTMKMKGDAVVAAADLGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYLPDGKAVDSEIDSRVEVSFNKLLDRVCKLRQVMIEEVKSVDVNLGIALDAFRHAYKVAEDLQERMLLNWHLANLEYANASLMSNLSMAYWDQDDPYEMGGDHCFIPGGNDTFVRELAMDLPIFYERTVESIRYGVDGVIVYASGQVFRGDMALCTVPLGVLKKGTIEFVPELPQRKKDAIQRLGYGLLNKVALLFPYNFWGGELDTFGHLTEDSSRRGEFFLFYSYSSVSGGPLLIALVAGDAAVKFETMSPVESVKRVLEILRGIFHPKGIVVPDPVQAVCTRWGQDCFTYGSYSYVAVGSSGDDYDILAESIGDGRVFFAGEATNKQYPATMHGAFLSGMREAANILRVAKRRSLALTSKSNNDIEESDDLTELFNSPDLTFGSFSILFDPRSNDLESLSLLRVKFQGPKLDSCLLCLYGLISRKQAITLSELGDDGKRMEVLSQNFQVRLVGRKGLSDAGDSLFKHIKAARSRLSVGI >Manes.05G006200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1194296:1197693:-1 gene:Manes.05G006200.v8.1 transcript:Manes.05G006200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTHNNYNNGNPYLQNAPVPAANGYGPYGRRPMSGICDVLNRYGKRVEDVTRRAEVYADNIWHHLKVSSSFTDAAMARIAQGTKVLTEGGHDKVFQQTFGTLPGEKLAKAYVCYLSTSSGPVIGTLYISTRRLAFCSDYPYCYYSPTGQQQWMYYKVVVQLDKLRTVSPSSSRMNPSEKYIQIVTTDGHDFWFMGFISYDKALKQLTEASRHPRDSSGEIPVSMG >Manes.05G006200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1194296:1197692:-1 gene:Manes.05G006200.v8.1 transcript:Manes.05G006200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTHNNYNNGNPYLQNAPVPAANGYGPYGRRPMSGICDVLNRYGKRVEDVTRRAEVYADNIWHHLKVSSSFTDAAMARIAQGTKVLTEGGHDKVFQQTFGTLPGEKLAKAYVCYLSTSSGPVIGTLYISTRRLAFCSDYPYCYYSPTGQQQWMYYKVVVQLDKLRTVSPSSSRMNPSEKYIQIVTTDGHDFWFMGFISYDKALKQLTEASRHPRDSSGEIPVSMG >Manes.05G006200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1194296:1197692:-1 gene:Manes.05G006200.v8.1 transcript:Manes.05G006200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTHNNYNNGNPYLQNAPVPAANGYGPYGRRPMSGICDVLNRYGKRVEDVTRRAEVYADNIWHHLKVSSSFTDAAMARIAQGTKVLTEGGHDKVFQQTFGTLPGEKLAKAYVCYLSTSSGPVIGTLYISTRRLAFCSDYPYCYYSPTGQQQWMYYKVVVQLDKLRTVSPSSSRMNPSEKYIQIVTTDGHDFWFMGFISYDKALKQLTEASRHPRDSSGEIPVSMG >Manes.18G048300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4186647:4188917:-1 gene:Manes.18G048300.v8.1 transcript:Manes.18G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMYVPNSHTRLGNMKLDVGSGGQVLDLETAVKDGVLGGTGAGGLISSAGSEKLDLKKMIEELESMEVPSVFICPISLDPMQDPVTLCTGQTYERSNILKWLSLGHYTCPTTMQELWDDAVTPNRTLQQLIYSWFSQKYLAMKKRSEDVQGRVVELLETLKKVKGQSRVQALRELRQIVAEHATAKKAVLDNGGVALVSSLLGPFTMHAVGSEVIGILVNLDLDLTSTANLLQPAKISLMVDMLNEGSIETKINSTKLISMLIEGKDFDSENVSSLSLLAGLLRLVKDKRHPNGIKIGLGLLKTLCSFESVRNSVLSIGAIPHLVELLPSLNNECLEFALYILEILSTLSDGILALKDCPKTIPNMVRLLMKVSEKCTRLALSILCAVCQLAPEECAAVAVEAGLAAKLLLVIQSGCSPELKQRSAELLKLCSLNYTATIFISKCKLTKTIQ >Manes.12G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35077407:35078960:-1 gene:Manes.12G144400.v8.1 transcript:Manes.12G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGACSVLLWSFCMLNIVQVAVSAVVPGVGVNWGNLASHPLPPKIVVKMLKDNGITKVKLFDADSWTLNSLAGSNLEVMVGIPNNMLDYISDSLDNAKDWVKENVTSHLKPKGDKNGVDIRYVAVGNEPFLESYEGKFDDTTFPAIQNVQKALDEAGVGDKIKASTALNADVYAGDKPSQGYFRSDVRDVMLKIVKHLKKNKAPFIVNIYPFLSVYQTTGFPLEYAFFEGSSRKVHDKNVSYSNVFDANYDTLVWALHKAGAPDLKIIIGEVGWPTDASKYATPKYAQKFYDGLLKKLAEKKGTPLRPGMLSVYLFGLLDEDMKSILPGFFERHWGLFRYDGQPKFPMDLSGKGHDKMLIGAKGVQYMTKQWCVLNDEVKEESLIPSALSYACYHSDCTSLSPGSSCGNFNLRGNASYAFNMYFQMSSQDVDACDFNGLGLIVTRNASRGTCLFPVQVVSQGERVLLGYGVNIFLVFVMSFFIFM >Manes.04G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28113135:28119258:-1 gene:Manes.04G079000.v8.1 transcript:Manes.04G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSRSTEKTKKSGEEHGQVLDGSDIMELVENEEVFRSFVDHKFQELDRDKDGELSLKELEPAVADIGAALGLPAQGSSPDSDHIYSEVLNEFTHGKQEKVTKTEFKEVLSDILLGMATGLKRDPVVILRMDGEDLLDFINGPSYEPELVSIFSQLQSADGSLHDFIVKAFEKLTVDQGMPPSSDSWVTSNIVEPALESCTRQDHDKPISQETFLVEFKKAAELMAQRLKEQPVIVAHSENTFDGSGIKRLLTNKFELEKTLNAALENVPRDRNGKMSKEYLRVALDSLAPSAGLPPIGAVDEIDKVVDQVFKMIDADDGKLVKEDEFKRILTEILGSVMLQLEGNSISVSSNSVVHEPLASSSKLLQPTS >Manes.02G006800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:850513:854464:-1 gene:Manes.02G006800.v8.1 transcript:Manes.02G006800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTLSSSHSGPQEEASNHDEFFMQQSLLFSDTVKDLKILREQLYSAAEYFENSYSKEDQKQLVVETLKDYAIKALINTIDHLGSVAYKVNSFSEEKINQVSALELRFSCLEQRVRTCQEYINHGGLSQQFLMVETPKYHKRYIFPVEETLDSKSRFHRRSCSPEYNSCQFKNAVQATMKGTSPSTLGEGHPRLQSPQFSSRPGTFTFPNTLINKKPDKRASSPQRFPFIRSGSLLPKRAISLNTNVLQRHPPESWRSVSLSTYPDRDGVNEIEQYSSKSKQLFKALLGMRKSRKDHTLYKYLDDA >Manes.02G006800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:850547:853713:-1 gene:Manes.02G006800.v8.1 transcript:Manes.02G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTLSSSHSGPQEEASNHDEFFMQQSLLFSDTVKDLKILREQLYSAAEYFENSYSKEDQKQLVVETLKDYAIKALINTIDHLGSVAYKVNSFSEEKINQVSALELRFSCLEQRVRTCQEYINHGGLSQQFLMVETPKYHKRYIFPVEETLDSKSRFHRRSCSPEYNSCQFKNAVQATMKGTSPSTLGEGHPRLQSPQFSSRPGTFTFPNTLINKKPDKRASSPQRFPFIRSGSLLPKRAISLNTNVLQRHPPESWRSVSLSTYPDRDGVNEIEQYSSKSKQLFKALLGMRKSRKDHTLYKYLDDA >Manes.02G006800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:850580:853708:-1 gene:Manes.02G006800.v8.1 transcript:Manes.02G006800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTLSSSHSGPQEEASNHDEFFMQQSLLFSDTVKDLKILREQLYSAAEYFENSYSKEDQKQLVVETLKDYAIKALINTIDHLGSVAYKVNSFSEEKINQVSALELRFSCLEQRVRTCQEYINHGGLSQQFLMVETPKYHKRYIFPVEETLDSKSRFHRRSCSPEYNSCQFKNAVQATMKGTSPSTLGEGHPRLQSPQFSSRPGTFTFPNTLINKKPDKRASSPQRFPFIRSGSLLPKRAISLNTNVLQRHPPESWRSVSLSTYPDRDGVNEIEQYSSKSKQLFKALLGMRKSRKDHTLYKYLDDA >Manes.07G091751.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28559831:28560490:1 gene:Manes.07G091751.v8.1 transcript:Manes.07G091751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSSMESDPSEWESEPFPLARSGGEDRARDPERSVEVCREVMVQRRDVSLQVNMDEESMEKSKDSKSSSSGEVDPSMLSTATKRGRKWGRARAPKQWGKTRKGRLWKRFRLDAEDGSSFGRGPTRCLRCGRLHRGPCRVGTTACFRCGQEGHFARDCPTAPRRVWSQQRAAGDVAQASVPGRGADTSNAVMPGTLTCSCSDVCVCALVCFPFLLLL >Manes.01G231250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39546053:39546373:1 gene:Manes.01G231250.v8.1 transcript:Manes.01G231250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHSWNPNRPLFACVSTRLGTRNSVVVYRNLGIEREYPTSDVHICWWVAGGAQRQISHRNSKPRLAHLKLKPSSPDQLISSCSPLAFSDYGFPRMEVGLSSLAFI >Manes.12G155001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36295533:36296263:1 gene:Manes.12G155001.v8.1 transcript:Manes.12G155001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGKSDYSRELNPPALRKYSYEELSKATQHFSNNNWLGSGGFGDVFRGSLDSECVAIKKLKHKKYGEPEEIKCHKRVSQPILVKLIGYCHEGADKLLVLEYVPNKTLRHHLDGQSFFIYLFLFCPEIFIIHM >Manes.17G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25942577:25944472:-1 gene:Manes.17G061000.v8.1 transcript:Manes.17G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEIGSRRRQRKKSPAAILASIKKTICSCKSRFAEIFSKLARIRTPSSHHKGYQILMKELKDQRQGLLQENDLEKDNICRAVFFSKRLPPLISPTKRTIFLDLDETLVHSKTDPPPQKFDFMVRPNIDGEFMNLYVLKRPGVDAFLEALAEKYELVVFTAGLKEYASLVLNKLDVKGLISHRFYRDSCKQIDGKFVKDLSEMGRDLNRVVIVDDNPNCYIFQPENAVPVRPFIDDLDDGELGSWGSWLSFLMVAIVTRI >Manes.14G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6539502:6544219:-1 gene:Manes.14G078200.v8.1 transcript:Manes.14G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLLLCADRLITSESLQSMEGDKELGSSGDCSSSHTADEHACVINVEEAAGLGACEEEEPLIQTMECRICQEEDSINNFEAPCACSGSLKFAHRKCVQRWCNEKGDITCEICHQPYQPGYTAPPPPPPIEDTTIDIREGWTIAGTPLDLHDPRILAMAAAERHFLEAEYDDYTESSASGAAFCRSVALILMALLLLRHAMSLTGDGDEDASAFFSLFLIRAAGFLLPCYIMAWAISILQQRRQRQEAAALAAAEVAFMVQAGQRRSLQVTLAPRPGVTSQQEPLHPSQ >Manes.11G142000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30718589:30723045:-1 gene:Manes.11G142000.v8.1 transcript:Manes.11G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVKEAGSEPSQLLGPESASERTLYPYVTGTSVVALKYKDGILMAADMGASYGSTLRYKSVERMKSIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGMVSMIGVNFEDNHVATGFGNHFARPILRDEWHENLSFEDGVKLLEKCMRVLLYRDRSAVNKLQIAKITEEGVTISQPYALKTFWGFSAFQNPTVGAEGSW >Manes.08G110850.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35018343:35023654:1 gene:Manes.08G110850.v8.1 transcript:Manes.08G110850.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEGFGFSDASSAVRKRRSTTSRRPRNDSQLPSDYHDISSLSSTPPSDSNTVKPEDGGFGESDEASNNGSFRGSNEQRLSGVDSRRCSEGVLAPANWKSTSSLGQSRVVSDGVGNDNKVKKVKLKVGGVTRTINAKSASDGASAVGSSSTRSSRFPDPQQKLIEDNLEDDHSLASEKGSGLRGVPWKDFSRSGLTVGKADGLRGRMPEENISSKQTDKYEPVRKSKRVPKKRLLDGVLDDGYEDDDEIRYLEKVKTSKITADYGAEYEDEQEERSRKQRKISKVLKRNVDGLYDADVGDNSSSRFGKEVKKSKTGRAFDDTDYVEEEEPGSDGEPTTKRKKPRKELVDLMEDSKKEMTVTTRQRALQTGKDGSSSYGASLIEFPNGLPPAPPKKQKEKLTEVEQQLKRAEALQRRRMQVERAARESEAEAIRKILGQDSSRKKREDKMKKRQEELAQEKAANAMILTSDHVRWVIGPSGTVVTFPNEMGLPSIFDPKPCRYPPPREKCAGPSCTNPYKYRDSKSKLPLCSLQCYKAIHEKMRSVSAC >Manes.08G110850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35017948:35024414:1 gene:Manes.08G110850.v8.1 transcript:Manes.08G110850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEGFGFSDASSAVRKRRSTTSRRPRNDSQLPSDYHDISSLSSTPPSDSNTVKPEDGGFGESDEASNNGSFRGSNEQRLSGVDSRRCSEGVLAPANWKSTSSLGQSRVVSDGVGNDNKVKKVKLKVGGVTRTINAKSASDGASAVGSSSTRSSRFPDPQQKLIEDNLEDDHSLASEKGSGLRGVPWKDFSRSGLTVGKADGLRGRMPEENISSKQTDKYEPVRKSKRVPKKRLLDGVLDDGYEDDDEIRYLEKVKTSKITADYGAEYEDEQEERSRKQRKISKVLKRNVDGLYDADVGDNSSSRFGKEVKKSKTGRAFDDTDYVEEEEPGSDGEPTTKRKKPRKELVDLMEDSKKEMTVTTRQRALQTGKDGSSSYGASLIEFPNGLPPAPPKKQKEKLTEVEQQLKRAEALQRRRMQVERAARESEAEAIRKILGQDSSRKKREDKMKKRQEELAQEKAANAMILTSDHVRWVIGPSGTVVTFPNEMGLPSIFDPKPCRYPPPREKCAGPSCTNPYKYRDSKSKLPLCSLQCYKAIHEKMRSVSAC >Manes.08G110850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35017882:35024898:1 gene:Manes.08G110850.v8.1 transcript:Manes.08G110850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEGFGFSDASSAVRKRRSTTSRRPRNDSQLPSDYHDISSLSSTPPSDSNTVKPEDGGFGESDEASNNGSFRGSNEQRLSGVDSRRCSEGVLAPANWKSTSSLGQSRVVSDGVGNDNKVKKVKLKVGGVTRTINAKSASDGASAVGSSSTRSSRFPDPQQKLIEDNLEDDHSLASEKGSGLRGVPWKDFSRSGLTVGKADGLRGRMPEENISSKQTDKYEPVRKSKRVPKKRLLDGVLDDGYEDDDEIRYLEKVKTSKITADYGAEYEDEQEERSRKQRKISKVLKRNVDGLYDADVGDNSSSRFGKEVKKSKTGRAFDDTDYVEEEEPGSDGEPTTKRKKPRKELVDLMEDSKKEMTVTTRQRALQTGKDGSSSYGASLIEFPNGLPPAPPKKQKEKLTEVEQQLKRAEALQRRRMQVERAARESEAEAIRKILGQDSSRKKREDKMKKRQEELAQEKAANAMILTSDHVRWVIGPSGTVVTFPNEMGLPSIFDPKPCRYPPPREKCAGPSCTNPYKYRDSKSKLPLCSLQCYKAIHEKMRSVSAC >Manes.04G106100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31113268:31120521:1 gene:Manes.04G106100.v8.1 transcript:Manes.04G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADLYRASSSIRRSSSIWRNNSADVFSRSSREEDDEEALKWAVLEKLPTYDRLRKGILISGSKGEANEVEIDSLGFQERKSLLERLVRVTEEDNEKFLLKLKDRIDRVGIEVPTIEVRFEHLNIEAEALVGTSALPTFFNFLINILEGFLNNLHVFPSRKKPFTILKDISGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPNLKFSGSVTYNGHGLNEFIAQRTAAYISQHDLHIGEMTVRETLGFSARCQGVGCLQDMLAELSRREKAANIKPDPDIDVFMKAAATEGQEASLVTDYILKILGLDVCADTLVGDEMLRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTYQIVNSLKQSIHILNGTAVISLLQPAPETYDLFDDIILLADGQIVYQGPREDVLGFFEYMGFKCPERKGVADFLQEVTSRKDQQQYWANRDQPYSFISVQEFSEAFQSYDVGQRLGQELSTPFDKAKSHPAALPTVKYGVGMVELLKACFSREYLLMKRNSFVYIFKLIQLTTMAIIGMTLFLRTNMHRDNLIDGGIYLGALFFSVVMIMFNGMSELSMTIAKLPVFYKQRDLLFYPSWAYSLPSWILKIPISFLEVAVWVFITYYVMGFDPNVGRLFKQYILLFLVNQMASALFRFIAAVGRNMIVANTFGSFALLILFALGGVVLSREEIKKWWIWGYWLSPMMYGQNAIVVNEFLGKSWSHIPPNSTESLGVLLMKSRGFFPHAYWYWIGVGASAGFVLLFNLCFTLALTFLNPFEKPQAVISDEPETSGRLESRHTTNTENEMSDIDESNHKKKKGMVLPFEPHSITFDNVIYSVDMPQEMRNQGIAEDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKISGYPKKQETFARISGYCEQNDIHSPHVTVYESLIYSAWLRLPPEVDSETRKMFVDEVMELVELNPLRQALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRHSSRLINYFEEINGVSKITDGYNPATWMLEVTSSAQELSLGVDFAVIYKNSELYRRNKATIEALSTPAPGSKDLYFPTQYSQSFFTQCLACLWKQRLSYWRNPPYTAVRFLFTTFISLMFGTMFWDLGTKTSKQQDIFNSLGSMYAAVLFIGIQNAASVQPVVAVERTVFYRERAAGMYSAMPYAYAQVLIELPYIFIQAAVYGLITYAMIGFEWTAAKFFWYLFFMYFTLLYFTYYGMMTVAVTPNQHIASIISSAFYAIWNLFSGFIIPRTRMPVWWRWFYWVCPVSWTLYGLIASQFADIKDPIEGGVTVEQFVKAYYGVKHDFLGVVAAMIVGFTVLFAFIFAVSVRSFNFQKR >Manes.12G057900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5551813:5553514:1 gene:Manes.12G057900.v8.1 transcript:Manes.12G057900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLFLSEPNRKEDGVRGDSVVAKQWISHLNQLESLIWSLMTAGGGAEARLWLCSTISGITSLTSRQQRDLFVNLLRTRPTNHSLASQLLQMIFEKQPRKAGPIIAKRSYMLEKFFAGNPKRIMQWFSNFANGELEWKGKHGQSPALVATRPHYFLDLDVQRTVENFLDNVPEFWSSTEFAESLRDGDILFLDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHAYLSMNMEPVDFGNSSCWLEFALSRFNDCESFEQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQTCTISSTGNILDPLLNECFKMKTTEAIMFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSYKYAMLHHVGLSEESDYELDNLLDLDTSNNQLGLQSKAGSWLLSTDGFSASWTDVS >Manes.15G081300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6249472:6253651:-1 gene:Manes.15G081300.v8.1 transcript:Manes.15G081300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQNSVDPDHPQLPTIKIHHPSSPRHPHHLAATPTPTAGARRKIGVAVDLSDESAYAVRWAVHHYIRPGDAVILLHVSPTSVLFGADWGPLPLPSPTQTPTHSSQHDLNKDNFNSSHEEHSDDSTQKQRQLEDDFDAFTSSKVADLAKPLKEAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAAKRGSDGRLGSVSDYCVHHCVCPVVVVRYPDDKDAGGNGEGEPIVNVNVPVEEEDEEDANRKDV >Manes.15G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6249544:6253642:-1 gene:Manes.15G081300.v8.1 transcript:Manes.15G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQQNSVDPDHPQLPTIKIHHPSSPRHPHHLAATPTPTAGARRKIGVAVDLSDESAYAVRWAVHHYIRPGDAVILLHVSPTSVLFGADWGPLPLPSPTQTPTHSSQHDLNKDNFNSSHEEHSDDSTQKQRQLEDDFDAFTSSKVADLAKPLKEAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAAKRGSDGRLGSVSDYCVHHCVCPVVVVRYPDDKDAGGNGEGEPIVNVNVPVEEEDEEDANRKDNVAVFNHQRLGGAW >Manes.08G123950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36421547:36422916:-1 gene:Manes.08G123950.v8.1 transcript:Manes.08G123950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSYKVLFKKCASKLPTIKLEMAFPCFVECKEPEREWETRTTESPNKQTRGGKHRSTLPSKEDICLTSKLIYTSLVSILSHPIKVEICFLRDSFWTAPSLKLHGWKTRPAPRPKENQPCNDILIKRLSISSGYVIVKILEMCGLCCARDLLLYR >Manes.13G120800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32789519:32795896:-1 gene:Manes.13G120800.v8.1 transcript:Manes.13G120800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQYIMKNREMFEPFIEDEIPFDEYCQSMEKDGTWAGHMELQAASLVTRSNICIHQYMSPRWYIRNFDQRGARMVHLSYHDEEHYNSVRLKEDPCDGPARPVIIKADADLSATSDKAKAAASKCKRGAAKDIIDAGSIKLVMAGSGCESAEKVEQVLLQVDGDADAAIEFLVAEREADDFSAENDTLQCHVDTSHGDGEDGNCEQQKEESLKETKHNPSNNRIKQSYDNSSSQAHDKKIPRNKTCPCGSKKKYKACCGAVKARPAAKVIVNQTIGSRKSRKERKQGNKGGPSESESESYCGPDCGLPDVGALCI >Manes.13G120800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32789519:32795896:-1 gene:Manes.13G120800.v8.1 transcript:Manes.13G120800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRQQTSTKPKRNPHVKKQGKQADITQFRSQLDVLGLKIIQVTADGNCFFRALADQLEGSEEEHGKYRSMVVQYIMKNREMFEPFIEDEIPFDEYCQSMEKDGTWAGHMELQAASLVTRSNICIHQYMSPRWYIRNFDQRGARMVHLSYHDEEHYNSVRLKEDPCDGPARPVIIKADADLSATSDKAKAAASKCKRGAAKDIIDAGSIKLVMAGSGCESAEKVEQVLLQVDGDADAAIEFLVAEREADDFSAENDTLQCHVDTSHGDGEDGNCEQQKEESLKETKHNPSNNRIKQSYDNSSSQAHDKKIPRNKTCPCGSKKKYKACCGAVKARPAAKVIVNQTIGSRKSRKERKQGNKGGPSESESESYCGPDCGLPDVGALCI >Manes.13G120800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32787828:32795896:-1 gene:Manes.13G120800.v8.1 transcript:Manes.13G120800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQYIMKNREMFEPFIEDEIPFDEYCQSMEKDGTWAGHMELQAASLVTRSNICIHQYMSPRWYIRNFDQRGARMVHLSYHDEEHYNSVRLKEDPCDGPARPVIIKADADLSATSDKAKAAASKCKRGAAKDIIDAGSIKLVMAGSGCESAEKVEQVLLQVDGDADAAIEFLVAEREADDFSAENDTLQCHVDTSHGDGEDGNCEQQKEESLKETKHNPSNNRIKQSYDNSSSQAHDKKIPRNKTCPCGSKKKYKACCGAVKARPAAKVIVNQTIGSRKSRKERKQGNKGGPSESESESYCGPDCGLPDVGALCI >Manes.13G120800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32787828:32795896:-1 gene:Manes.13G120800.v8.1 transcript:Manes.13G120800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRQQTSTKPKRNPHVKKQGKQADITQFRSQLDVLGLKIIQVTADGNCFFRALADQLEGSEEEHGKYRSMVVQYIMKNREMFEPFIEDEIPFDEYCQSMEKDGTWAGHMELQAASLVTRSNICIHQYMSPRWYIRNFDQRGARMVHLSYHDEEHYNSVRLKEDPCDGPARPVIIKADADLSATSDKAKAAASKCKRGAAKDIIDAGSIKLVMAGSGCESAEKVEQVLLQVDGDADAAIEFLVAEREADDFSAENDTLQCHVDTSHGDGEDGNCEQQKEESLKETKHNPSNNRIKQSYDNSSSQAHDKKIPRNKTCPCGSKKKYKACCGAVKARPAAKVIVNQTIGSRKSRKERKQGNKGGPSESESESYCGPDCGLPDVGALCI >Manes.13G120800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32791545:32795896:-1 gene:Manes.13G120800.v8.1 transcript:Manes.13G120800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRQQTSTKPKRNPHVKKQGKQADITQFRSQLDVLGLKIIQVTADGNCFFRALADQLEGSEEEHGKYRSMVVQYIMKNREMFEPFIEDEIPFDEYCQSMEKDGTWAGHMELQAASLVTRSNICIHQYMSPRWYIRNFDQRGARMVHLSYHDEEHYNSVRLKEDPCDGPARPVIIKADADLSATSDKAKAAASKCKRGAAKDIIDAGSIKLVMAGSGCESAEKVEQVLLQVDGDADAAIEFLVAEREADDFSAENDTLQCHVDTSHGNV >Manes.09G086732.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25762249:25763738:-1 gene:Manes.09G086732.v8.1 transcript:Manes.09G086732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAEVILLDFWPSPFGMRIRIALAEKGIRYEYREEDLKNKSDLLLQMNPVHKKIPVLIHNGKPVAESLIAVQYIDEVWKDKAPLLPSDPYQRAQANFWADFVDKKLFELGRKIWATKGEEQEAARQGFIESLKLLEGELGEKPFFGGENLGYVDVALVPFYSWFYVYEVCGNFSIEAECPKLIEWTKRCLAKESVFNSLPDHKKVYGFMLELKKRFGIE >Manes.11G122800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28374169:28378984:-1 gene:Manes.11G122800.v8.1 transcript:Manes.11G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKLVSSPVLWKLSDLLLNESVPFLGLEHQLESIESKLRDIVNSDFFDCKRDLAYDIEEVIDFLLQKAAHRSQTGTLLDYFSGFFDSIDQRKLRKKLDRIRIEIFRSTDKLSRRKVMEPWPASSSSSTRKQLDVGESIMSPVIRKVIALATHSQISPSVRRQARWVRDEMRFLHVFVKDLESKELRGEEMAWMEEVSLFSRSAEDVIGLFLSRWEQTKKSPFKHIASASCKFKSQRKLRKEMDQMKTKVQEIIKRFGKLPHQMYMPPPPRFPPNLPPPPPIPFQPNFPGRFVPNIPGDATSLSSFDDDDYFDEFDEIEDGTPPPSDEQAQQPGFNNFDGNAGASSSSSSRRSEQPDVTGFDRNMDDIMELLLRGDPDCLTVSLLGMEGIGKTKLGKSIYENPAIRDHFPHRAWISWVRDSNINRLMEQILGPQYLSIRLVNGDWDDYLCRLRRMLNDYLMDKRYLIVIDGLSSKVLWNQIGAAFNGLSNGTRIIFISSKLGVTPESSERNFTYSLQLWSDEDSWALFVRSLNVNIPLELLELKRGAILRICGGLPKAIVKLAELLARENTFAEDWSRVIEKFNKDEGPWSGTLQEINKNLPLYLRRCLFYFQLFPEHCEIPVRRLIGLWIAEGFGHPSNDKESPECVSDKCLIELANRNMIQVTRKKLNGKFRTCRLPDALRVHWLLKTKEAKFLQDNGHIGGNFSTCSGTTYRLVDHFDHRRACFDHIHGDNAAPSSLYSCYRDTVSFLSFDSRQGSRPGEDVGNFLQRCISSKCFSSLWVLDLEHVYKPKLPKAVGQLARLKYLGLRSTYLEMLPSFINKLPKLQTLDLKRTHINALPTSIWMMQELRHLFLDESSGCKFVPQPKDSCLVDLQTLWGAFINEDSPVKDGLDTFLNLTKLGLTCRISEPSQNEAMSSQLDAVANWVLKLNHLKSLKLKSFNELGQPSDLHLESLADHMDLSSIHLVGNLKTQYLVSEFPQNLIELTLSASGLVEDPMQALGKLPNLRNVRLFPGAYTGQKMVCSSGGFPKLQVLKVLELDQLEEWNVEEGALPSLKCLEIRSCRNLEMIPDGLQYVKTLSKLKLADMPVLSARIKDSQGEDWDKVAHVLHVYVES >Manes.16G111100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31666287:31675016:-1 gene:Manes.16G111100.v8.1 transcript:Manes.16G111100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHIHGDLTNTSSTSDQVRHESSKYYIVERHTHHRKQVNCDAVSNTSLLDDSFEFRLSVDGIISSLVTLTTYLRHRLIRFIEDLVLQDEGCSGSLVFCSSGPHSRSSSHNIHTFDREACSSSHKFYTADTSPGICGRTSDMREELLVNTSYEIIKSSYSHQGFSLVIKGLMLPLFGFRLAWRLALASLRFSFYYVRWAQLQVRSITSRVRKTLRGSSDDIGWLPRTPGMAPVEDGTARFLELLGKIRNGEHTLPNSFVYLLIPGLFSNHGPLYFVGTKRFFSKMGLACHIAKIHSEASVEHNACELKHYIEELYWGSGKRVMLLGHSKGGVDAAAALSLYWSDLEDKVAGLVLVQSPFGGSPIASDILREGQIADKETRKIMELLICKLIKGDIRALEDLTYDKRREFIMKHKLPEQIPLISFHSEASIAPGVLATMTQIAQAELPWLPLPRLGVQEPDGVVQAGCQVPVVMPISAAMAVCAFHLQLRYGEKSDGLVTCRDAEVPGSVVVRPDRKLDHAWMVYSSGKKDPNEPDCSEMCEALLTMLVELGKNKEVCSLHGYCE >Manes.16G111100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31666222:31675094:-1 gene:Manes.16G111100.v8.1 transcript:Manes.16G111100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHIHGDLTNTSSTSDQVRHESSKYYIVERHTHHRKQVNCDAVSNTSLLDDSFEFRLSVDGIISSLVTLTTYLRHRLIRFIEDLVLQDEGCSGSLVFCSSGPHSRSSSHNIHTFDREACSSSHKFYTADTSPGICGRTSDMREELLVNTSYEIIKSSYSHQGFSLVIKGLMLPLFGFRLAWRLALASLRFSFYYVRWAQLQVRSITSRVRKTLRGSSDDIGWLPRTPGMAPVEDGTARFLELLGKIRNGEHTLPNSFVYLLIPGLFSNHGPLYFVGTKRFFSKMGLACHIAKIHSEASVEHNACELKHYIEELYWGSGKRVMLLGHSKGGVDAAAALSLYWSDLEDKVAGLVLVQSPFGGSPIASDILREGQIADKETRKIMELLICKLIKGDIRALEDLTYDKRREFIMKHKLPEQIPLISFHSEASIAPGVLATMTQIAQAELPWLPLPRLGVQEPDGVVQAGCQVPVVMPISAAMAVCAFHLQLRYGEKSDGLVTCRDAEVPGSVVVRPDRKLDHAWMVYSSGKKDPNEPDCSEMCEALLTMLVELGKNKEVCSLHGYCE >Manes.16G111100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31666280:31675154:-1 gene:Manes.16G111100.v8.1 transcript:Manes.16G111100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHIHGDLTNTSSTSDQVRHESSKYYIVERHTHHRKQVNCDAVSNTSLLDDSFEFRLSVDGIISSLVTLTTYLRHRLIRFIEDLVLQDEGCSGSLVFCSSGPHSRSSSHNIHTFDREACSSSHKFYTADTSPGICGRTSDMREELLVNTSYEIIKSSYSHQGFSLVIKGLMLPLFGFRLAWRLALASLRFSFYYVRWAQLQVRSITSRVRKTLRGSSDDIGWLPRTPGMAPVEDGTARFLELLGKIRNGEHTLPNSFVYLLIPGLFSNHGPLYFVGTKRFFSKMGLACHIAKIHSEASVEHNACELKHYIEELYWGSGKRVMLLGHSKGGVDAAAALSLYWSDLEDKVAGLVLVQSPFGGSPIASDILREGQIADKETRKIMELLICKLIKGDIRALEDLTYDKRREFIMKHKLPEQIPLISFHSEASIAPGVLATMTQIAQAELPWLPLPRLGVQEPDGVVQAGCQVPVVMPISAAMAVCAFHLQLRYGEKSDGLVTCRDAEVPGSVVVRPDRKLDHAWMVYSSGKKDPNEPDCSEMCEALLTMLVELGKNKEVCSLHGYCE >Manes.16G111100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31666264:31675334:-1 gene:Manes.16G111100.v8.1 transcript:Manes.16G111100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHIHGDLTNTSSTSDQVRHESSKYYIVERHTHHRKQVNCDAVSNTSLLDDSFEFRLSVDGIISSLVTLTTYLRHRLIRFIEDLVLQDEGCSGSLVFCSSGPHSRSSSHNIHTFDREACSSSHKFYTADTSPGICGRTSDMREELLVNTSYEIIKSSYSHQGFSLVIKGLMLPLFGFRLAWRLALASLRFSFYYVRWAQLQVRSITSRVRKTLRGSSDDIGWLPRTPGMAPVEDGTARFLELLGKIRNGEHTLPNSFVYLLIPGLFSNHGPLYFVGTKRFFSKMGLACHIAKIHSEASVEHNACELKHYIEELYWGSGKRVMLLGHSKGGVDAAAALSLYWSDLEDKVAGLVLVQSPFGGSPIASDILREGQIADKETRKIMELLICKLIKGDIRALEDLTYDKRREFIMKHKLPEQIPLISFHSEASIAPGVLATMTQIAQAELPWLPLPRLGVQEPDGVVQAGCQVPVVMPISAAMAVCAFHLQLRYGEKSDGLVTCRDAEVPGSVVVRPDRKLDHAWMVYSSGKKDPNEPDCSEMCEALLTMLVELGKNKEVCSLHGYCE >Manes.16G111100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31666264:31675468:-1 gene:Manes.16G111100.v8.1 transcript:Manes.16G111100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHIHGDLTNTSSTSDQVRHESSKYYIVERHTHHRKQVNCDAVSNTSLLDDSFEFRLSVDGIISSLVTLTTYLRHRLIRFIEDLVLQDEGCSGSLVFCSSGPHSRSSSHNIHTFDREACSSSHKFYTADTSPGICGRTSDMREELLVNTSYEIIKSSYSHQGFSLVIKGLMLPLFGFRLAWRLALASLRFSFYYVRWAQLQVRSITSRVRKTLRGSSDDIGWLPRTPGMAPVEDGTARFLELLGKIRNGEHTLPNSFVYLLIPGLFSNHGPLYFVGTKRFFSKMGLACHIAKIHSEASVEHNACELKHYIEELYWGSGKRVMLLGHSKGGVDAAAALSLYWSDLEDKVAGLVLVQSPFGGSPIASDILREGQIADKETRKIMELLICKLIKGDIRALEDLTYDKRREFIMKHKLPEQIPLISFHSEASIAPGVLATMTQIAQAELPWLPLPRLGVQEPDGVVQAGCQVPVVMPISAAMAVCAFHLQLRYGEKSDGLVTCRDAEVPGSVVVRPDRKLDHAWMVYSSGKKDPNEPDCSEMCEALLTMLVELGKNKEVCSLHGYCE >Manes.04G131832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33299682:33301835:-1 gene:Manes.04G131832.v8.1 transcript:Manes.04G131832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGSFGGNRGLRPVPPEKGVFPLDHMHECDLEKKDYINCLKSSGHQSEKCRHFSKKYLECRMEKNLMARQDMSELGFGKETGLEASGEKNEKRIDN >Manes.14G102700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8512456:8515840:-1 gene:Manes.14G102700.v8.1 transcript:Manes.14G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGKGSTLVHLLVVVLSLVAFGFAIAAERRRSIGHIEKDPTNATYCVYDSDVATGYGVGAFLFLLSSESLLMGVTKCMCFGRSLAAGGNRAWSIVYFVLSWLTFLVAEACLIAGAAKNAYHTKYRGMIYAQNFSCETLRKGVFVAGAVFVVATMVLNVYYYMHLSKATAPQAEQKVNPTISNVGMTENA >Manes.16G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:483975:491095:-1 gene:Manes.16G003200.v8.1 transcript:Manes.16G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSIALSFCLFRSLLFELLFPLVFLASIFALPLLVQCNTDPSDVQALQVIYTSLNSPWQLTNWKSNGGDPCTESWKGVTCEGSAVVSIQVSGLGLSGTMGYLLSNLMSLRTFDISENSIHDSIPYQLPPNVTSLNLAKNNFSGNLPYSISTMFSLTYLNVSHNSLSQSVGDVFSNLSLFTTLDLSFNNFTGDLPSSISSLSNLSTLNVQNNQFTGSLDVLKGLPLTTLNVANNHFNGWIPRELNSIPNFIYDGNSFDNGPAPPPPPYTPPPPGRSHTNRSHSGSGPHSQPSSDGQSSESDKGISVGAIIGISMGCVFVLLIAVFAVVFCIRKNKRKDFGGIVSRGSRTAGTNDIRMDIQEQRVKNIAAVTDLKPPPAEKMAAERLQGNSGSIKRMKSPITATSYTVASLQTATNSFSQEFLIGEGSLGRVYKGEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVSLVGYCAEHGQRLLVYEYIGNGSLHDMLHFADDGSKTLAWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRHSSDESGFAYKTPDHEAIDMSF >Manes.17G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25182946:25187677:-1 gene:Manes.17G054100.v8.1 transcript:Manes.17G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCHFWVSFIFQVMAVFLLEEAEAQQHYYNVSRLLRGKKQVSVCNLFEGKWVVDASYPLYDSSHCPFIDAEFDCQKYGRPDTHYLKYSWRPDSCNLPRFNGVDFLRRWRGKKIMFVGDSLSLNMWESLSCMIHASVPHAKTTSLKQDSLHSVTFEDYGVTLSMYRTPYLVDIVRERVGRVLKLNSIEAGKAWKGMDLLIFNSWHWWTHTGKSQPWDYVSDGSKMYKDMNRLEAFYRGMSTWAKWVYLNVDASKTKVFFQGISPTHYEGRDWKQPKKNCYGEAEPLSGSSYPGGAPPAAAVLNKVLSSIKKPVYLLDITTLSQLRKDAHPSTYSGEGSGTDCSHWCLPGLPDTWNQLLYAALIM >Manes.15G141750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11493058:11495713:1 gene:Manes.15G141750.v8.1 transcript:Manes.15G141750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPTPIPPLLPPPPPQPPSLVPTTATTSTTNREYRKGNWTIQETLTLITAKKLDDERRSKPSTHSTSKPGEPRWKWVENYCWAHGCFRSQNQCNDKWDNLLRDYKKIREYQSRSNASDSFPSYWTMERQQRKFYNLPSNMSLEVFEALNEVVQRRCCTTQQNMSTTSQQQQQQQQLSVSVAASPPPETVREPPPEPEMDPPAVSGSESSATESGDKLDEAATKRRKFGNIGSSIKHSASILAQTIRSCQEKKERRHQQLVEFEQRRLQLEETRNEVNRQGMANLAVAITKLSTAIHSLISDQT >Manes.15G141750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11493057:11495714:1 gene:Manes.15G141750.v8.1 transcript:Manes.15G141750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPTPIPPLLPPPPPQPPSLVPTTATTSTTNREYRKGNWTIQETLTLITAKKLDDERRSKPSTHSTSKPGEPRWKWVENYCWAHGCFRSQNQCNDKWDNLLRDYKKIREYQSRSNASDSFPSYWTMERQQRKFYNLPSNMSLEVFEALNEVVQRRCCTTQQNMSTTSQQQQQQQQLSVSVAASPPPETVREPPPEPEMDPPAVSESSATESGDKLDEAATKRRKFGNIGSSIKHSASILAQTIRSCQEKKERRHQQLVEFEQRRLQLEETRNEVNRQGMANLAVAITKLSTAIHSLISDQT >Manes.06G088300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22444144:22449912:-1 gene:Manes.06G088300.v8.1 transcript:Manes.06G088300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGMQAETQLYFPGYCSTRDLNLNASCIIWPPTHVDKISKNGHYFNENLDPSSLVQYLTYKERLKQTMLQHEAIFRDQIHELHRLYNRQRELMEEMKRIKFHGHHLQLETPHTNQTSLECFHRTHEVSALPWLNPAFSLSSISGAENQQNHLKYVEEKIIQDVFSTFKTEGSRKETLSIESKHKKFGKRILDLELPADEYIGTEQEESLGGGTAPSEVSVYPMKAIAKDLQKSVVESLHGVNDGNLVFQGYNMSQASSSRGTKCLADLNEPIKLEEETDPESNVFLGPVPDLRLVPGQDLSAKRNSDFGAQPKELIQNTQTGADPDSFSSMLHLDRDEGQQECRSDNDDAVKGSSEVNSFPEKIFTETLSEDVETEQLHEKHIKRSADPKFTRDIDLNSMYCSDVATHSTSITNGEEKCKESTGGLPLYRTNPAQLVKPNKTLHLDCNSMPDSGEQQTTNDRVLGSKHDYMSSGFVFHVDLNSCINDDDSSPVTTLSKEIDLQAPASPENKETSPPRGESDENRLEMPSELPKQETRDLLDDLITVAAETIISISSSQVQTFSEIMTSKPSGASSHNDSLYWFSKIASSVVGDPESELGVVLSFRNTDCHDEYLSDGLDYFEAMTLKLKDTEVEQYCCKTKILNEEAASPPSFPIQGGTRRRRQQRKDFQSEILPSLASLSRYEVTEDLQAIGGLIEASHQNTGARRTGRNGWTRGRRRRPSTSSAKAETSLCALLKQQTSNGEPSIEESGLIGWGKITRRRRGQRCPASNPGIILSQV >Manes.06G088300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22444144:22449912:-1 gene:Manes.06G088300.v8.1 transcript:Manes.06G088300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGMQAETQLYFPGYCSTRDLNLNASCIIWPPTHVDKISKNGHYFNENLDPSSLVQYLTYKERLKQTMLQHEAIFRDQIHELHRLYNRQRELMEEMKRIKFHGHHLQLETPHTNQTSLECFHRTHEVSALPWLNPAFSLSSISGAENQQNHLKYVEEKIIQDVFSTFKTEGSRKETLSIESKHKKFGKRILDLELPADEYIGTEQEESLGGGTAPSEVSVYPMKAIAKDLQKSVVESLHGVNDGNLVFQGYNMSQASSSRGTKCLADLNEPIKLEEETDPESNVFLGPVPDLRLVPGQDLSAKRNSDFGAQPKELIQNTQTGADPDSFSSMLHLDRDEGQQECRSDNDDAVKGSSEVNSFPEKIFTETLSEDVETEQLHEKHIKRSADPKFTRDIDLNSMYCSDVATHSTSITNGEEKCKESTGGLPLYRTNPAQLVKPNKTLHLDCNSMPDSGEQQTTNDRVLGSKHDYMSSGFVFHVDLNSCINDDDSSPVTTLSKEIDLQAPASPENKETSPPRGESDENRLEMPSELPKQETRDLLDDLITVAAETIISISSSQVQTFSEIMTSKPSGASSHNDSLYWFSKIASSVVGDPESELGVVLSFRNTDCHDEYLSDGLDYFEAMTLKLKDTEVEQYCCKTKILNEEAASPPSFPIQGGTRRRRQQRKDFQSEILPSLASLSRYEVTEDLQAIGGLIEASHQNTGARRTGRNGWTRGRRRRPSTSSAKAETSLCALLKQQTSNGEPSIEESGLIGWGKITRRRRGQRCPASNPGIILSQV >Manes.06G088300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22444144:22449912:-1 gene:Manes.06G088300.v8.1 transcript:Manes.06G088300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGMQAETQLYFPGYCSTRDLNLNASCIIWPPTHVDKISKNGHYFNENLDPSSLVQYLTYKERLKQTMLQHEAIFRDQIHELHRLYNRQRELMEEMKRIKFHGHHLQLETPHTNQTSLECFHRTHEVSALPWLNPAFSLSSISGAENQQNHLKYVEEKIIQDVFSTFKTEGSRKETLSIESKHKKFGKRILDLELPADEYIGTEQEESLGGGTAPSEVSVYPMKAIAKDLQKSVVESLHGVNDGNLVFQGYNMSQASSSRGTKCLADLNEPIKLEEETDPESNVFLGPVPDLRLVPGQDLSAKRNSDFGAQPKELIQNTQTGADPDSFSSMLHLDRDEGQQECRSDNDDAVKGSSEVNSFPEKIFTETLSEDVETEQLHEKHIKRSADPKFTRDIDLNSMYCSDVATHSTSITNGEEKCKESTGGLPLYRTNPAQLVKPNKTLHLDCNSMPDSGEQQTTNDRVLGSKHDYMSSGFVFHVDLNSCINDDDSSPVTTLSKEIDLQAPASPENKETSPPRGESDENRLEMPSELPKQETRDLLDDLITVAAETIISISSSQVQTFSEIMTSKPSGASSHNDSLYWFSKIASSVVGDPESELGVVLSFRNTDCHDEYLSDGLDYFEAMTLKLKDTEVEQYCCKTKILNEEAASPPSFPIQGGTRRRRQQRKDFQSEILPSLASLSRYEVTEDLQAIGGLIEASHQNTGARRTGRNGWTRGRRRRPSTSSAKAETSLCALLKQQTSNGEPSIEESGLIGWGKITRRRRGQRCPASNPGIILSQV >Manes.08G138000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37428208:37432034:-1 gene:Manes.08G138000.v8.1 transcript:Manes.08G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGGGGRGRSHGNYTNPCLTMHQPWASLLVYGIKRIEGRSWPAPIRGRLWIHAASKVPEEATIKAMEDFYKEIYAVNGITEIKFPKHYPVSRLLGCVEVVGCVRREELASWEAVPEGARLEGQTDFCWLCEEPQKLLVPFEMRGYQGVYNLEKKIYEAAVRGLAPVKGPMPVKFPLPNPRNRFSLKPGSVLMQLPEKASEVEKSSSLTAAIAGARAAANQFNKKVQEPLVNTVQNTNSNSGRSDQVNRKALEVHREPSVSNETEQSNCIKCEKSRCGQTRPDMKRLHGGPAKIFAAAVRELKFS >Manes.11G122300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28360239:28360631:-1 gene:Manes.11G122300.v8.1 transcript:Manes.11G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTKVCPVIVSNWPDMSPAGQPLQLSHGYWQDNYGSGHRSNRDYWNARRAFLSSYHFQEKSGVKMKLKRTVKELSEAAMGVALVLRKEIEERRMGIRVFRFTLSLPCFVHASVRCFIPWIAEREIMYSE >Manes.17G119571.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34538955:34539848:-1 gene:Manes.17G119571.v8.1 transcript:Manes.17G119571.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKYSYLLIVFLLFSIQSSMSQSKTYKPQKQAFKFLQNLKEIHKGQTVVGLDEVKKFLVGFGYYLNPCSTRNLNNNFDDQLESALKRYQNFFHLNITGILNFETIQKMMIPRCGVPDVTDITSLNQSINSNIGPHYAFPARMPKWNKFSLTYLFLPNVPNSQDVRAAFSGAFQSWQIVSKFHFHEAAAGEIQDLHIGFYTGAHGDGHPFDGPGKVWAHSFYPRDGRSHYDASENWTTNPAGNQVDVQSIAVHEIGHLLGLAHSQDPNAVMYPTIGSGVIKRVLSPDDIGGIQTLYP >Manes.12G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3548426:3559377:1 gene:Manes.12G040300.v8.1 transcript:Manes.12G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRPTDPLPLPSIYESPPSLAATATTSSSFSHNKRKVEESDEDLFTVPDVEAPPSTAIPNTCTNKNTPEVQRRSRSRRGRNPVDKEHRRLKRLLRNRVSAQQARERKKVYVNELESRAKELQDSNLKLEEKISTLINENAMLRKVLLNTRPKADENNEP >Manes.12G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33052784:33056438:1 gene:Manes.12G124300.v8.1 transcript:Manes.12G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSFIANQSLSPFFKAPRSNICGRKQFCLRASAGHPDEEGKMMIRKEKDGWKIDFSGEKPPTPLLDTINYPVHMKNLSTQDLEQLAAELRADIVYSVSKTGGHLSSSLGVVELSVALHHVFNTPDDKIIWDVGHQAYPHKILTGRRSRMHTMRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVARDLLGKKNNVISVIGDGAMTAGQAYEAMNNAGFLDANLIVILNDNKQVSLPTATLDGPATPVGALSSALTKLQASTQFRKLREAAKSITKQIGGQTHQVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNIEDLVTIFHKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDVQTGKQFKPKSPTLSYTQYFAEALIKEAEADNKIVAIHAAMGGGTGLNYFQKRFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGIGATLPPNNKGTPVEIGKGRILMEGDRVAILGYGSIVQQCIEAASMLRTRDISVTVADARFCKPLDTDLIRRLAKEHEFLITVEEGSIGGFSSHVSHFLSLSGILDGPLKLRAMVLPDRYIDHGSPQDQIQEAGLSSNHITATVLSLLGKPKEALQFK >Manes.02G073200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5612214:5616250:-1 gene:Manes.02G073200.v8.1 transcript:Manes.02G073200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGVKEQEQVDGNVPEDKSSMSSSKQEEEVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGGVEKPKGPLEALRPKLQPTQQQTRYRKSPYAPSDGEDTGSSPRDDAPANE >Manes.02G073200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5612212:5616250:-1 gene:Manes.02G073200.v8.1 transcript:Manes.02G073200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGVKEQEQVDGNVPEDKSSMSSSKQEEEVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGGVEKPKGPLEALRPKLQPTQQQTRYRKSPYAPSDGEDTGSSPRDDAPANE >Manes.02G073200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5612214:5616250:-1 gene:Manes.02G073200.v8.1 transcript:Manes.02G073200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGVKEQEQVDGNVPEDKSSMSSSKQEEEVVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGGVEKPKGPLEALRPKLQPTQQQTRYRKSPYAPSDGEGMPDTGSSPRDDAPANE >Manes.14G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3960035:3964017:-1 gene:Manes.14G045600.v8.1 transcript:Manes.14G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLNGTVWMGEKEAQDSTANNNINNGCDVIESKQEMGSLSTFKSMLEVEDDWYVTNTSIHNHQDTIRDITFSPNLADPDNLLLHSVDSSSSCSPTSSIFNNLDPSQVHHFMHSKPTLSSLLNVVSNNPLEHGFDLGEIGFLENQATTTTTTNAAGVLANYIGFSSNNQINTSNLCSDPPFSTTRMLQLPQNGPDFTGFRGFDESSGNTLFSSRSKLLRPLETFPSIGAQPTLFQKRAALRKNLGALSGIEIDKGKREMTQFGGENEKKRKLGNVDDVLEDVSIDGSGLNYDSDELTENTKMEEIGKNGGNSSNANSSITGCGVGVGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTPPGSSLTPTSFHPLTPTPSTLPSHIKDKLCPSSLPSPNGQPARVEVRVREGRAVNIHMFCGRRPGVLLSTMRALDNLGLDIQQAVISCFNGFAMDIFQAEQIKGQDVHPEEIKAILLDSAGFHGMI >Manes.13G077640.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000574:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVNKFFRETTGKDANVLMNMTLEVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000738:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCFPLQGE >Manes.13G077640.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000521:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000574:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVNKFFRETTGKDANVLMNMTLEVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000654:18032304:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVNKFFRETTGKDANVLMNMTLEVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000751:18032248:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLAHLCPWCHHNLVHNFDIAANIVKSLANRVDSFHVLRKSDPPFVPSAIFINQGLASFVCLVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000751:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLAHLCPWCHHNLVHNFDIAANIVKSLANRVDSFHVLRKSDPPFVPSAIFINQGLASFVCLVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCFPLQGE >Manes.13G077640.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000520:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000751:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000520:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000650:18032535:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVNKFFRETTGKDANVLMNMTLEVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWVSFVSLSVSPPPLPPFNLFRRT >Manes.13G077640.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000751:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLAHLCPWCHHNLVHNFDIAANIVKSLANRVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCFPLQGE >Manes.13G077640.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000521:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000574:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000751:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLAHLCPWCHHNLVHNFDIAANIVKSLANRVDSFHVLRKSDPPFVPSAIFINQGLASFVCLVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000521:18032371:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000751:18032288:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLAHLCPWCHHNLVHNFDIAANIVKSLANRVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000654:18032275:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVNKFFRETTGKDANVLMNMTLEVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000751:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLAHLCPWCHHNLVHNFDIAANIVKSLANRVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000751:18032288:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLAHLCPWCHHNLVHNFDIAANIVKSLANRVDSFHVLRKSDPPFVPSAIFINQGLASFVCLVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.13G077640.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:18000520:18034382:1 gene:Manes.13G077640.v8.1 transcript:Manes.13G077640.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGMRVGGLSSGLAILLSGEDVKENSSKTHLVSYCDDFGCQPVERALEYIFGLPNKSVGPLTGPVDTDLVRSIIKNKFSKFHVNSDTLASNREGICIFDDGCWPHKVGLEESSICGDIRIVKPPFLLESLAMFSSTRANVFVWKGKWMYEVILETSGVQQLGWATLSCPFTDHKGVGDADDSYAFDGKRVKKWNKEPEPYGQSWVVGDVIGCCIDLDQDEILFYRNGVSLGVAFRGIRKMGPGFGYYPAISLSQGERCELNFGARPFKYPIRGFLPLQDPPSVNLLATQLLRCLSTMFDMQYMDRSDSSSVGKLRRLKRFVSLEELFYPVCHGVCEELFCILEADAGNIEYVAWGPLISFMMEVFRLQPDHDYSSLDRFIDVFLEFQESCGMFECVINALSSCCRTAALVLTECPYSGSYSYLALACHILRREELMVLWWKLPDFEFLFEGFLSQKSPSKQDLSILMPSVWWPGSCEDISYESSMFLTTTALSEAVNKIEEKHRDLCLLVIQFRPPTTPPQLPCSVFRTFLQNLLLKNRGADRNLPPPGVSSKSVLVSLYTVILHFLSEGFAMRDICGWLKSCETNNYNVGFLHRGGEQSFLVDLFLKNDSYRTDISRLGGSFSHLSKSHPVHDQESEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVEYSKMSKHPIRYTAKGSRVHCTPMPERSAHIGAECSAGNLNDEIADKPSTSDQSESEFGFCPVQDIRIVPRESNISSATLREEELLDTLLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVHNDYREEVINCVRHCAWYRISLFSRWKQRGMYATCMWIVQLLLVLSKVDSLFIYIPEFYLETLVDSFHVLRKSDPPFVPSAIFINQGLASFVTFIVTHFNDPRILSADLKDLLLQSISVLVQYKEYVAVLESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKYGESSSSVVFQNLLREACANDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKHQVSEFQQRKCCVVFDLSCNLARLLEFCTCEIPQAFISGTDTNLRRLTELIVFILNHITSAADAEFFDLSFRRHGQLLEKVSRCMILAPLMGIILNLLDANVETESGGQNDIVDVFASMDCPDTMHCGFQYLLEYNWQAGSLRGDAYLGKLGQLENFLSLLISRIEVQQIEKMRCGETDGDDGICCICYTCKADAKFIPCSHISCYGCITRHLLNCHRCFFCNATVLKVIKMDENRI >Manes.02G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5038633:5044720:1 gene:Manes.02G066200.v8.1 transcript:Manes.02G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRAPRTVSDPKVRQVGFFAPGAAVPERSQSGPTDHTSSSPPTIADLSPSGANSLSPVMIPPPRHASTPLSPLRRDSVPVGSYNASDFMPATSPTANTSISDDVAWVGRGGRAGAASSSFPGGGFDMPAASSVPASGLTTVSVVNLPPRISEKTGVTAEVQNDQPTHSKSLKEKTTKAERRALQEAQRAAKAAAKAEGGKGPPAASGAVSSANAKSAKAAKPPSQKADNVTVAASEKKGSDRPPEKDRKKDVPQPRMQYDDKSRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLESKFFQLDPMHPAVYKVGLQYLSGDLCGGNARCIAMLLAFQEVIKDYSTPPEKTLVRDLTAKIGSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTSSESEAKATLHSDIDRFINEKIILADKVIVKHAVTKIRDGDVLLTYCSSSVVEMILLHAHELGKQFRVVVVDSRPKLEGQLLLRRLVGKGISCTYTHINAVSYIMHEVTRVFLGSSSVLSNGTVYSRVGTACVAMVAHAFHVPVLVCCEAYKFHERVQLDSICSNELGDPDAISKIGGRGEINFLDCCANSENLQLLNLIYDATPSDYVSMIITDYGMVPPTSVPVIVREYRREHLWI >Manes.14G162975.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22878353:22878844:1 gene:Manes.14G162975.v8.1 transcript:Manes.14G162975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERLSSGRTQVRPPKCLTFVSGEGLSAAEGAAERARVSSLERGFGRRRCRRKCPVQPFHGCFLCMLW >Manes.S002943.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:11351:11509:1 gene:Manes.S002943.v8.1 transcript:Manes.S002943.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.17G029048.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19974305:19977223:-1 gene:Manes.17G029048.v8.1 transcript:Manes.17G029048.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLYTLLFSEMAFIMVLLFKSPLRKLVLMGLDRVKRGHGPIMVKTVAGTVFVVLMSSVYSIMKIQKRSIDEGSVNPTDQVLMAKNLLEATLMGSSLFLGLMIDRLHHYIRELRIRRKSMEAVKKQNQVFEDGKVKESKALEKEVTTLQAKLKQVESELEVKTKEAHASEANAVALRKQSEGFLLEYDRLLEENQTLRNQLQFLDLRLSRSGSKKNT >Manes.18G104300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10094900:10097950:-1 gene:Manes.18G104300.v8.1 transcript:Manes.18G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVEVLDASGLMPKDGQGSANPFVQVNFDDQRQRTQTKPNDLNPCWNEKLVFNVRDLKNLPEKIIEVVLYHDRKGEGVHDKNFLGRVRISGVSVPSSESEANVQRCPLEKRSIISNVKGDVALKIYAVHDGNYYTSTTQPSKAASVETKGTPLREINSNKLEEDFMADEKKTKKKKEKEVRTFHSIGTTPSGGPGPSPPPTTSGFGFGFETHAMKEKAPPVQARTDYAQVGPPTVMHMQIPKQNPEFVLVETRPPVAALMRYRAGDKTASTYDLVEQMHYLYVSVVKARDLPIMDVSGSVDPYVEVKLGNYKGRTKHLEKNQNPVWNQIFAFSKDRLQSNLLEVTVKDKDFVKDDFVGRYFFDLSEVPLRVPPDSPLAPQWYKLEDRRGDKISKGEIMLAVWMGTQADESFPEAWHSDAHDIGHTNLANTRSKVYFSPKLYYLRVHVIEAQDLFPSEKGRAPDFYVKVQLGNQGRITKPSPMRTFNPVWNEELMFVASEPFEDFILLSVEDRVGPGKDEIMGGVRIPVRDVPPRRETAKLPDPRWFNLMKPSLAEEGEKKKDKFSSKILLCLCLETGYHVLDESTHFSSDLQPSSKFLRKERIGILELGIISARNLLPMKSKVGRTTDPYCAAKYGNKWVRTRTLLDNLHPRWNEQYTWDVYDPCTVITIGVFDNCQINGSKEEARDQRIGKVRIRLSTLETDRIYSHYYPLLVLQPYGLKKHGEIQLALRFTCTAWVNMVTQYGKPLLPKMHYLQPISIRHIDWLRHQAMQIVAARLGRAEPPLRREAVEYMLDVDYHMWSLRRSKANLGRIMKLLGGVAAVCQWFNAICTWRNAITTCLVHVLFLILICYPELLLPTIFLYLFVIGIWNYRYRPRHPPHMDTRLSQADNVHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRVQTVVGDLASQGERAQAILSWRDPRATAIFIIFSLIWAVFIYVTPFQVVAVLVGLYLLRHPRFRSKMPSVPVNFFKRLPSKSDMLL >Manes.13G121250.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32987920:32989197:1 gene:Manes.13G121250.v8.1 transcript:Manes.13G121250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSITLISKCRVFPDQKSTMEDLKLSVSDLYLLPRHYIQKGCLFTRPSIPIESLVFLLKNSLSQTLSHFPPLAGRFKTDPNGYIYITCNDAGVDFLHATATHLSIRDILSPVHVPECVKSFFAFDRTVSYDGHFKPILAVQVTELADGVFIGCALNYSVTDGTSFWNFFNTFADISRGIKKICRLPDFSRNSVLISPAVLQVPEGGPKVTFDENEPLSERIFSFSREAILKLKARANNKKWIQNSGIDTVELMGEQSNDLLCNQINGKTMTIILENLSKNAVSKPQEVESNRTPPAAATAEISSFQSLCALGFLLFQVKKFFFSQILIHKKIFP >Manes.04G043400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6476405:6479853:1 gene:Manes.04G043400.v8.1 transcript:Manes.04G043400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLDEGIEIAESSAGQELVVQESDSNVQGNDSIVEPYEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGNVRKPRPSTREGCKAMIHVKFDKSGKWVITKFVKDHNHPLVVAPREARQTMSEKDKKIQELSMELRNKKRLCAMYQEQLNAFIKIVEEHSDRLTKKVQKVVGNLKEFESIEQELLQHR >Manes.04G043400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6475767:6479957:1 gene:Manes.04G043400.v8.1 transcript:Manes.04G043400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGNVRKPRPSTREGCKAMIHVKFDKSGKWVITKFVKDHNHPLVVAPREARQTMSEKDKKIQELSMELRNKKRLCAMYQEQLNAFIKIVEEHSDRLTKKVQKVVGNLKEFESIEQELLQHR >Manes.04G043400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6475575:6480275:1 gene:Manes.04G043400.v8.1 transcript:Manes.04G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLDEGIEIAESSAGQELVVQESDSNVQGNDSIVEPYEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGNVRKPRPSTREGCKAMIHVKFDKSGKWVITKFVKDHNHPLVVAPREARQTMSEKDKKIQELSMELRNKKRLCAMYQEQLNAFIKIVEEHSDRLTKKVQKVVGNLKEFESIEQELLQHR >Manes.01G145200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33549844:33552943:-1 gene:Manes.01G145200.v8.1 transcript:Manes.01G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSVLASIAIIRSSFNEFVPQELRSYLWEFSRRFSSELTVVVKESHEGSTNHLFNALVTYLGSNAFCTSSASGPRRLTVGKNESMKILTYGLDRNSEIVDVFHGVPMKWGYYTDFNSTLHYELRWYELRFHKRYTDMVKNKYLPYILEMAKRIKDQNRVVKFFTTRGGRDGWSSKGINLDHPMTFETLAMDGDLKQKVVEDLNTFIRGKEYYKKIGKVWKRGYLLYGPPGTGKSSLIAAMANFLNFDIYNLNLSAVNSDSSLEHLLLHMSNRSILVLEDIDCSIMLQNRQAGDHQPDHNNQIPRPQVTLSGLLNAIDGLLSCCGDERVIVFTTNYKDRIDPALLRAGRMDMHIYLSYCTFSTFKQLAANYLDIWEHDLFNCVDKLIKEVQVSPADVAGELMKTKDPTTSLKGLIKFLEIKKSESKSASSPLGLEGHENNSELDSNSLSQMDEHQLQKSCKITDSGDNTFQDKKDVKSHAAQSTPLKEKEYTVKEEFEPILEAILSKHGDIAANCSFHSLQCRSSFLEIVCGIVQTLQTTEIKDLSEFQIKSMLSSIRDLESAQLDVGWVHQRLEKIIQAMPLAEQCATLKEVKSDNMQGIVEDRKPKACNAGTLMPN >Manes.12G149800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35826957:35830400:1 gene:Manes.12G149800.v8.1 transcript:Manes.12G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQHSQNAFGWAAKDTSGILSPLHFSRRENGDEDVTIKILYCGVCHSDLHSCRNEWGNAHYPMVPGHEIVGTVTKIGNNVQKFKVGERVGVGVIVGSCKSCEYCNQDLENYCPKLIFTYNSIDNDGTRTYGGYSDMIVVNQHFVIHFPDSLPSDAGAPLLCAGITVYSPMKYYGMTEPGKHLGVVGLGGLGHVAVRIAKAFGLKVTVISSSPGKEDEAINKFGADAFLVSSDPAKMKGAIGTMDFIIDTVSAVHALAPLLILLRANGKLITLGLPNKPLELPIFPLVLGRKLVGGSDIGGIKETQEMLEFCAKHNITADVEVIKMDEINTAMDRLAKNDVKYRFVIDVGSSLSQL >Manes.02G203201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17402525:17413011:1 gene:Manes.02G203201.v8.1 transcript:Manes.02G203201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLVDKVFSWSLSDVLNEELYKEKVKKIPESFQAVDQYFESFVYPLLEETRAELCSCLENLSRAPYAHVTSFKIIKQNGKLFYDIEVDYWRNKYGVDGERPYRTFAGHFFVFTDAKPDAVSDLRQLENRWALLSNITDTKNEHGLSVTCLGVQTNKEIQDKLSSGRPLFIVFLMNMRTNERIWNSLQMGGNMNVIKQILCSDTQQDEKNCALCRARGDFLVFEESSRILPSELNKSQRKVVTDILCKIECNHRSFVELILGLPGTGKTRTLSILIYILLRMNCRTLICASTDVAVAELASRVLKLVKESSEASYLLGRILYFGDEGLWRVNADIGEIYMDHQVESLNSSQLLTASLIFCTASSSHALHSVLENTLDLLMVDDAAHMRECELSIPLQLPGVRHIVLFGDPCQLTATVTSNVSARADFGRSLFERLNSIGHSKQNLDMQYRMHPLISLLPNSEFYGNQILDAPNVKNKRYRKYFLLGPLFGPYSFINVSCGREETVSFTYSYKNMVEVAMVTKIVRKLFKAWGESKEKLSVGILAPYTAQVVAIKEKVGQKYDSFGRFSLKVSTIDGFQGGEEDVIILTTVRSNAEGSVGIISDWQITNVALTRARCCLWIVGDGRTLSSSNTVWKSIVQDAKLRNCFYNAEEDEDLADVVLEVKKETDQIDDFLNADSLLFKNARWKVLFSENFRKSFEKLKSSETKKLVMNLLLRLSCGWPPKRRNTNLVCDNFSQMLKQFKVKDLHIICSIDVVKDSCYIQVLKVWDMLPLEDIPLLAVRLSAVFQSYTDDFVKRCKQKCLEGDLEVPMSWDTFIARFKKDCAQGGNTSSTFDEIGCVESCKTSDSFLLMKFYSLSAGAVSHLLSSCDGNEIDLPFELTDEEKDIIQLCGSSFILGRSGTGKTTVLIMKLFHKEQLYHLASEGFHKVESSSSISNENGGSEVENKGNILRQIFVTVNVKLCYAVRQYILLLKRSTCGWNSSAEVPQSDLDDVDEGSQFSDIPDSFSDIPLSSYPLVITYNKFLMMLNGTVGSSFFGRFPEFREHSQLKRRSSRSMALKTFIRTKEVHYAKFCSAYWPCFSIQLTKKLDPSTIFAEIVSHIKGGSSVCVQDDKLSREEYISYSGRRLSHLSEQERSTIYDIFLQYEKKKKARGEFDISDLVIDLHHRLRDEKYDGEIMDFVYVDEVQDLTMRQISLFKYICRNFKEGFVFSGDTAQTIVRGVDFRFKDIKALFYREFMACERNGKGQISDIFNLSQNFRSHAGVLKLANSVLNLLYHFFPFSVDKLQPETSLVNGEQPVWVQMRNEDNTLCSFFKSNENFDRGIVGFGAEQVILVRDDSLKNEVLSHVGKQALVLTIMECKGLEFKDVLLYNFFSSSPLRNQWDVIYGYMIEQNLFHSPHPKSFPIFNEGKHITLCLELKQLYVAITRTRERLWIFENQLCSMFNYWEKLHLVQIREFEYNFLHEIQVQSSQREWKDRGMKFFYQQNYDKARFCFEKAGESYLEKWAMAAGHVSTADQMRDSNPKMADNHLTEAAHIYESIGKNESAAQCFFELHEYEKAGIIYLEKCGASKLEEAGDCFYLAGCHERAANIYARCSLFSKCLSACADGILFKVGYDFIQLWKENNFLIGEGFLGSIEIQSFLERGALYFLKLQDSESMMKFVKEFQNEKLMRIFLFSKCLSACADGILFEVGYDFIQLWKENNFLIGQGFLGCKEIQSFLERGALYFLKLQDSESMMKFVKEFQNEKLMRTFLKNVDCLDELMLLEKESGNFFEAANVANLKGDILLEADLLEMAGRFEAASNVILFYVTYNSIWKHRSKGWPLKQFENKQELLIKAKTYGKEVSQEFHNFVCIEADILSHGKGSLSMMKKNWMDSSSLGSFTGKILGARKILDAYLCASASQHEREIHLVKDLIEYSQKKISWEQTSVENMVYYWWFWKKEMENVLSSLQGSEVDSYSEFCFSYFGISKQLKNEKTVCLLLYPDAEWIKGIHLQNVKRNGNQIWIDADQFARAATRYWSSEMLTVGVKVLEILEAFYVFSLDNALCLNCQAMLLIQIFKVSNSLIKSKSLNCSDFSNILRRFLEIPIENFFGLVCPLDCRMPMTKDMIYLRETWSSRNLLKEVIERIIRSRAITNGQLGMLMTIILSGKFSIDLYVQIAASLCRSVSWQEFMEIFILTLSNDNPIEHPGELALVWKFYIALANTDYTDFSPSFYLYLVDRLVILVSYLKGYFFTTKSPLVEWLMCSGWNTLPNLDYLSDVQMQPLVEKTVGFVADTVNKIICNEPQKWLKRFNLKMDFYPHLVLRLIVTLCLLCINFDGYYGLLFTLLDKREVTSLLPWMFYKSLWRLRENDEEHIKIRVVSEALKCIGNPLVVVNLGIHCAEFSFPDAIFLYLTVEQGRQSILRRLFLKNDLVSSKRTRSSKWVREDSFGRAILSPSAPTFISVSDPVNAQQSNRNFWVLLGTVEFDLLTDAPWFKETILEHILLVNAAMQSFCQSNHCQSSGNAVGDVNEFLDELQQLYSALDSREWEVQENRMIEELLKKLLLKRERMESLLDELLMCDNNKRNESTSC >Manes.01G196500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37051164:37052892:1 gene:Manes.01G196500.v8.1 transcript:Manes.01G196500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEFKGHVVVLPYPSQGHINPLLQFAKRLASKGVKATLATTHYTLKSICAPNVTAEPISDGFDEAGFAQAKDVALFLKSFKANGSRSLSLLIQKFKKSSVPVNCIVYDAFLPWALDVARQHGIYGASFFTNSATVCSIFCCIHNGLLTLPLELEDNKPLSLPGLPSLYHSDLPTFLRLPESYPAYLAMKLSQFSNLEKADWIFANTFEELESKEAGGISKLWPAKLIGPMVPSSYLDGQIEGDIGYGASLWSPLGEKCLRWLKTKAPQSVVYISFGSMVSLTAKQTEELACGLIESNLDFLWVVRESEMDKLPTGFIDSTNDKGLVVTWCNQLEILAHKTVGCFVTHCGWNSTLEALSLGVPMVCMPQWTDQIPNAKFVEDIWKVGIRAKEDEKGVVRKEEVSRCLKQVMEGNKSCEIKKNVKKWSQAAMKTVGEGGSSDKHINDFIEHLIKSRQSF >Manes.15G180360.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22963527:22967096:1 gene:Manes.15G180360.v8.1 transcript:Manes.15G180360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSLSTTPHLLRLSSHSLLRRSLHFQSYGFPFSFKHLTLKTISKSENFFSHITKLKSVEEETQIPDEQPQPQPQPQEQGQVQEGAEQQTVSVPVSPADKLTMFFQADGTMNEAAIPTVTKALEEIDGITDLKVKIEEGIASVELTKQTTVQATGVASSLVELIQGSGFKLQTLNLSFIDEEDMLV >Manes.13G042400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5000739:5001868:-1 gene:Manes.13G042400.v8.1 transcript:Manes.13G042400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSMASAASGFLLTPNVAANTNSGSRSSNMVFFPSKSNNNNNSRLVVRAAEEGAAAATPATTTATAEAQAEAPKPKPPPIGPKRGTKVKILRRESYWFNGIGSVVAVDQDPKTRYPVVVRFDKVNYANVSTNNYALDEIEEVK >Manes.07G060866.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10234576:10252298:-1 gene:Manes.07G060866.v8.1 transcript:Manes.07G060866.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLFKNISSVQEARNKVYSLIDSLKMQSLLLDGDMYGFVKIHDVVRDTALSIASREQHAFIVTSGKELMKFPNKDCTRISLSYCDIENLPEGWVCPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFSGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCSKLKMIPANTLSKLSELEELYMINSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKYLFSNGRLQSFRIVIGDNWDWDWDDNYKTSRTLKLKLKTSIHSGIKVLLSETEYLCLDEVREAENLLYDIDGDGFPKLKYLKVQNNHVIQHIIDPTKLTACDAFPILVSLILKNLMKLEKICYGQLAGGSFNKLEILQVTNCQRLTHLFSLSTVKCLLQLQKMKVEDCPKMEAIVVDESENSNEVFEFNRLHSLNLQNLPNLRTFHSKMKAPSKIEEFLSERENNTQLSLFSITVSFPNLEHLELISVSCEKIWHDQLSAISPKLESLSVVFCNELKHLFTTSIVKRLLQLKTLHISSCTSMEEIILTEEFIEEEDERMNQILFPKLDRLTLVYLPKLIRFCTGYQIEFQSLRDLNIDSCDALMCLVPNVPHRGMIEKQDDTKMNQNQNQNQNAETQSLFNGMVGFPNLEKLRLFSINELKRIWHSPLAANSFFKLKSLDVSHCQKLMTVFSSNVSERFRRMEELNVSSCASLQEIYQLEGFNVDESFELRILNIALLRSLKHVWRKDPQEVFSFQNLKSIRVSECDVLNYMFPASIAESLLQLEQLTITGCGVVEIIAKAEDMEQAPYYCFKFPQLTSLELRDLSELRSWYRVTHIFECQKLKSLDVRNCHKIMKFSSQEIDKKGRRTLLCLKKMSPNLEELTLEHKDLIAIHQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLYSVETILVEGYNTLEELFSCEGLAAEEEEHARTLARVKNLKLDHVYKLKHIWDPESGLKPLLQYLETLTVSGCDSLIKVAPSSSSFQNLATLEVIYCEGLANLITASTAKSMVQLTKMKVGDCGMMTEIVTSDGDDHTEDEIINFHKLKCLELDGLPGLISFCSGNNAFNFPALENVTVNGCSRMKIFAFGDVNTPKLRGILLQYQQRWEGNLNATLAQMTLYQYFKASEFPELWHDGMQGRLLRNVKRLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLQLTSLPMLRHLWNKDPREIFEFKNLKRLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIEKEEAADKMILLPSLKSIVLKCLPGFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTPVRLQDIPNTIDEVSQQRLHSEELHSPFLHKMFPDLEKFSLDKKSTITILGFQFPTGFFAKVKVLELSFFPNKYHVPLFSLLPIFPNLQRFEVFDSALNELLPFEGLVGDQEDITIIPHIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIESCADLIVLAPSCVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVQEDGSTDEIVFSRVKTLELEDLQNLTCFSLGSYTFKFPSLEQVTVLKCPKLRIFTVRKLSTPKIHGVFIGHRFNRTFHWEGDLNATIEQIYMKYVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFEGLPILKKLVMSDASFEDIIFYEEIIGEEIHLQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSISFQNLTALQVSNCQGLVNLLSSSTARRLERLEKMKIEECELIQEVIMAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSGNLTFSFPSMEEVLIVECPNVKIFAQEVSTPQLWRVQTGPHIYEWEWKGSLNNTIQALFMEMKAEDTGIGQCSYG >Manes.07G060866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10234576:10252298:-1 gene:Manes.07G060866.v8.1 transcript:Manes.07G060866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLFKNISSVQEARNKVYSLIDSLKMQSLLLDGDMYGFVKIHDVVRDTALSIASREQHAFIVTSGKELMKFPNKDCTRISLSYCDIENLPEGWVCPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFSGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLARLKVLDVSNCSKLKMIPANTLSKLSELEELYMINSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKYLFSNGRLQSFRIVIGDNWDWDWDDNYKTSRTLKLKLKTSIHSGIKVLLSETEYLCLDEVREAENLLYDIDGDGFPKLKYLKVQNNHVIQHIIDPTKLTACDAFPILVSLILKNLMKLEKICYGQLAGGSFNKLEILQVTNCQRLTHLFSLSTVKCLLQLQKMKVEDCPKMEAIVVDESENSNEVFEFNRLHSLNLQNLPNLRTFHSKMKAPSKIEEFLSERENNTQLSLFSITVSFPNLEHLELISVSCEKIWHDQLSAISPKLESLSVVFCNELKHLFTTSIVKRLLQLKTLHISSCTSMEEIILTEEFIEEEDERMNQILFPKLDRLTLVYLPKLIRFCTGYQIEFQSLRDLNIDSCDALMCLVPNVPHRGMIEKQDDTKMNQNQNQNQNAETQSLFNGMVGFPNLEKLRLFSINELKRIWHSPLAANSFFKLKSLDVSHCQKLMTVFSSNVSERFRRMEELNVSSCASLQEIYQLEGFNVDESFELRILNIALLRSLKHVWRKDPQEVFSFQNLKSIRVSECDVLNYMFPASIAESLLQLEQLTITGCGVVEIIAKAEDMEQAPYYCFKFPQLTSLELRDLSELRSWYRVTHIFECQKLKSLDVRNCHKIMKFSSQEIDKKGRRTLLCLKKMSPNLEELTLEHKDLIAIHQGQFFSKLKMLTLTNLQNKSRPFIIGFLQRLYSVETILVEGYNTLEELFSCEGLAAEEEEHARTLARVKNLKLDHVYKLKHIWDPESGLKPLLQYLETLTVSGCDSLIKVAPSSSSFQNLATLEVIYCEGLANLITASTAKSMVQLTKMKVGDCGMMTEIVTSDGDDHTEDEIINFHKLKCLELDGLPGLISFCSGNNAFNFPALENVTVNGCSRMKIFAFGDVNTPKLRGILLQYQQRWEGNLNATLAQMTLYQYFKASEFPELWHDGMQGRLLRNVKRLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVVFDLEGVSADDGLLPRLKKLQLTSLPMLRHLWNKDPREIFEFKNLKRLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIEKEEAADKMILLPSLKSIVLKCLPGFSRLCSGWSNVECPLLEEMSIHECPSLKNIFATQTPVRLQDIPNTIDEVSQQRLHSEELHSPFLHKMFPDLEKFSLDKKSTITILGFQFPTGFFAKVKVLELSFFPNKYHVPLFSLLPIFPNLQRFEVFDSALNELLPFEGLVGDQEDITIIPHIRDLKLKNLPDLKHIWNPDGQLHDPLFQSLETFEIESCADLIVLAPSCVSLGNLKTLKVYGCNTLANIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVQEDGSTDEIVFSRVKTLELEDLQNLTCFSLGSYTFKFPSLEQVTVLKCPKLRIFTVRKLSTPKIHGVFIGHRFNRTFHWEGDLNATIEQIYMKYIEFKETYDIQLSNFPMLKEKWHGQFPFENLSDVRRLVVDECAFFSNAISSNLLKHLSYLNELAVERCDSVEDLFDLEGLNDDEGHDGLLKSLEELRLIDLPRLRHVWNKDPQGILSFKNLTLLKVENCSSLTNIFTLSMASGLVKLKHLELKRCNLVEHIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEANGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFEGLPILKKLVMSDASFEDIIFYEEIIGEEIHLQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSISFQNLTALQVSNCQGLVNLLSSSTARRLERLEKMKIEECELIQEVIMAKVDKEEEENEICFSRLKCLELQRLPSLSSFCSGNLTFSFPSMEEVLIVECPNVKIFAQEVSTPQLWRVQTGPHIYEWEWKGSLNNTIQALFMEMKAEDTGIGQCSYG >Manes.08G009400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1183078:1183254:1 gene:Manes.08G009400.v8.1 transcript:Manes.08G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKERKTSFNNHAVCEPCRSFGQKCSHLVKKQRAKFYILRRCIAMLICWHERERGEP >Manes.02G151000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11572442:11575609:-1 gene:Manes.02G151000.v8.1 transcript:Manes.02G151000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSANFFLHLLLFVSLFHFNLAARLLTHSTETQQNLLFQYHNGPLLTGKISINLIWYGKFKPSQRAIVSDFIASLSSPMSAIAQPSVATWWKATEKYYHLIKSHKGSPLALSLGTQILDESYLFGKSLSNKQILQLASKGSQKDAINVVLTSADVAVDGFCSSRCGTHGSSMSAKKINGKNSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLISPNNDVGLDGLVINLASLLAGTATNPFGNGYYQGPKEAPLEAASACPGIYGKGAYPGYAGDLLVDSATGASYNAHGANGRKYLLPALFDPSTSACSSLV >Manes.10G106100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595189:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKIKQSPNPTAQTLTSTPSSQEARLLVRETLRISANLASAPASPSPAMSQPPPSSTSYSFVSPAQSVSLLDNTRRLGLVEDEFIDSSLRLICCEEIDGRRWKYVAENDSFGGYKKNSIRAVSLHTPQTPVEEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595052:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595052:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKIKQSPNPTAQTLTSTPSSQEARLLVRETLRISANLASAPASPSPAMSQPPPSSTSYSFVSPAQSVSLLDNTRRLGLVEDEFIDSSLRLICCEEIDGRRWKYVAENDSFGGYKKNSIRAVSLHTPQTPVEEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHVRYSCIILYAFLLVPTSLNTLQDMSYCFTGSCAFAFHTFVN >Manes.10G106100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595052:25603788:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKIKQSPNPTAQTLTSTPSSQEARLLVRETLRISANLASAPASPSPAMSQPPPSSTSYSFVSPAQSVSLLDNTRRLGLVEDEFIDSSLRLICCEEIDGRRWKYVAENDSFGGYKKNSIRAVSLHTPQTPVEEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595189:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKIKQSPNPTAQTLTSTPSSQEARLLVRETLRISANLASAPASPSPAMSQPPPSSTSYSFVSPAQSVSLLDNTRRLGLVEDEFIDSSLRLICCEEIDGRRWKYVAENDSFGGYKKNSIRAVSLHTPQTPVEEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595052:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25597030:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595052:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595052:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595052:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKIKQSPNPTAQTLTSTPSSQEARLLVRETLRISANLASAPASPSPAMSQPPPSSTSYSFVSPAQSVSLLDNTRRLGLVEDEFIDSSLRLICCEEIDGRRWKYVAENDSFGGYKKNSIRAVSLHTPQTPVEEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595189:25603772:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEKERYIVTYNPSKDQVYALLKDQAKPDDILKAAFHAHVLLHFIHLSIDSHSSSWKQRPVGHSNHILSANDLEARIADSCKMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVETC >Manes.10G106100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25595052:25603773:-1 gene:Manes.10G106100.v8.1 transcript:Manes.10G106100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIKIKQSPNPTAQTLTSTPSSQEARLLVRETLRISANLASAPASPSPAMSQPPPSSTSYSFVSPAQSVSLLDNTRRLGLVEDEFIDSSLRLICCEEIDGRRWKYVAENDSFGGYKKNSIRAVSLHTPQTPVEEMMSFIRTYVVPEGFPHSVTPSYVPYMTWRALKHFFGGAMGVFTTKALLSSVGASESKAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQMRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMITKRNPSMVASFALLSCAYVFSSFQEVKSVVLHTLNRARFSVAVDSFLKTGRVPSLQEGNMKENIFSFPWLKERLVLGPRFKDAFQDPSAYLAIEPLFEVCNFSKGKKISCGQSRLIVNEVILLFIY >Manes.06G071900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20834891:20837281:1 gene:Manes.06G071900.v8.1 transcript:Manes.06G071900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFRPLFFVILLLATAATITAHSLSNALYFEQLTVFREAPAFRNGLECPQATWSSTIKGQSQHGLSSIIHIAMTLDSTYLRGSIAGVLSVLQHATCPENIVFHFLSTHRRAELRRTITLTFPYLNFHLYHFDADLVKGKISFSIRRALDQPLNYARFYLADLLPASVGRIIYFDSDLIVVDDVAKLWSFNLGAHVLGAPEYCHANFTNYFNSRFWSNPAYAASFRGRKPCYFNTGVMVIDVLKWRNGKYTQKLEYWMRIQKKYRIYELGSLPPFLLVFAGNVEGVEHRWNQHGLGGDNLHGLCRDLHPGPASLLHWSGKVEAEDASLLRVGVFSRFSEVN >Manes.07G135801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33852697:33853565:1 gene:Manes.07G135801.v8.1 transcript:Manes.07G135801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLTSSEEFDLEQIKLEWRLENEEMARFIKNCGGRRAFAIRNGSSDKECNIPWFVIGFLCYFFRRFFFILSNNAFRIFDNNYPLVSIFPLISILFPMYYFMVVYHICDIGPVVDYHEMGRGRKTAMVVISSCAALILRLLLEDIYYGYMLVTVTAELQAYFQLLYSPRDHSFWDVFFVASLQIIIINLKDGNILIFISALLLIGKLLLEKFRSYSTPISKKED >Manes.07G039822.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4287914:4289024:1 gene:Manes.07G039822.v8.1 transcript:Manes.07G039822.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIGGRVTFGTAGMVGKLGSGGKVGLGREGWVVGKVGNDGCGRIGIEGIGGTASFGKFGTEGKGGNCRRLRAAKLTSMLKSDKETKKARMKQLKEAMVKKMLL >Manes.06G137800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26549217:26553739:1 gene:Manes.06G137800.v8.1 transcript:Manes.06G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADKDLSLYDEKPLQDVEMDDTDEETSEPESESEEEDVKLSIPSQNAIYNRDGLNDKLQDICWPENVGWIHKLSIDIDQEQEVDVNDDLARELAFYTQALGGTRQAFERLESMGLPFLRPPDYYAEMVKSDAHMQKIKGRLLAEKKNIEESEERRKAREAKRLSKEIQAQKMKERAMQKKMEIETVKKWRKQRQQSGFAVGDKDGEMNLSFEDGKVFERSKKKRPGVSPGDRSGGKVKQDWKKGGKNRVEKKQVKRDARNSKFGYGGRKGLKKQNTADTTDNLRGFNKGGAPGSKKRKR >Manes.08G059300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6927561:6927752:1 gene:Manes.08G059300.v8.1 transcript:Manes.08G059300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWYHSTKSIIMIMLTLLLLVLPPFLPSLPPPPMIFLFLPVLIMSVLIILALSCPPQVNMSQT >Manes.06G156100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28242127:28245192:1 gene:Manes.06G156100.v8.1 transcript:Manes.06G156100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLFTLSVILFFGVVSLPAIAEPVEDKQALLDFLHNIHQSNSLNWKQSSSVCREWTGVTCNGDQSRVIALRLQGEGIQGPIPPNTLSRLSAVQILSLRSNGISGSFPSDFSKLGNLIDLHLQFNNFSGPLPSDFSEWKNLSIIDLSNNGFNGSIPNSFSNLTHLTSLNLANNLLSGFIPNIEIPSLQSLNLTNNNLTGSVPRSLLRFPSRVFSGNNLSSETALPPAFPPEPPRKNKKLSEPAILGIVLGGCVLGFAVIALLMVCCYLKKDRRGGLPTKSQKREGSSKKNTLKSQEKSNRFVFFEGCSLAFDLEDLLRASAEVLGKGTFGTTYKAAFDDATAVVVKRLKEVPVVKEFEQHMEVIGSIRHPNICALRAYYCSKDEKLTIIDYYEQRSVSAMLHDTIGEGRIPLDWETRLRIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSEGYGCISDIGLAALMSPVPPSVMRAAGYRAPEITDSRKATHASDVYSYGVLLLELLTGKSPIHSTGGDEVVHLARWVHSVVREEWTAEVFDVDLLRYPNIEEEIVEMLKIGMSCVVRMPEQRPKMTDVVRMVEDVRRGSTENPPSSETNLEISVSNPTP >Manes.06G156100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28242045:28245192:1 gene:Manes.06G156100.v8.1 transcript:Manes.06G156100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLFTLSVILFFGVVSLPAIAEPVEDKQALLDFLHNIHQSNSLNWKQSSSVCREWTGVTCNGDQSRVIALRLQGEGIQGPIPPNTLSRLSAVQILSLRSNGISGSFPSDFSKLGNLIDLHLQFNNFSGPLPSDFSEWKNLSIIDLSNNGFNGSIPNSFSNLTHLTSLNLANNLLSGFIPNIEIPSLQSLNLTNNNLTGSVPRSLLRFPSRVFSGNNLSSETALPPAFPPEPPRKNKKLSEPAILGIVLGGCVLGFAVIALLMVCCYLKKDRRGGLPTKSQKREGSSKKNTLKSQEKSNRFVFFEGCSLAFDLEDLLRASAEVLGKGTFGTTYKAAFDDATAVVVKRLKEVPVVKEFEQHMEVIGSIRHPNICALRAYYCSKDEKLTIIDYYEQRSVSAMLHDTIGEGRIPLDWETRLRIAIGAARGIAHIHTQNGGKLVHGNIKASNIFLNSEGYGCISDIGLAALMSPVPPSVMRAAGYRAPEITDSRKATHASDVYSYGVLLLELLTGKSPIHSTGGDEVVHLARWVHSVVREEWTAEVFDVDLLRYPNIEEEIVEMLKIGMSCVVRMPEQRPKMTDVVRMVEDVRRGSTENPPSSETNLEISVSNPTP >Manes.08G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35310460:35317442:-1 gene:Manes.08G114400.v8.1 transcript:Manes.08G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFAKPENALKRAEELINVGQKQDALQALHDLITSKRYRAWQKTLEKIMFKYVELCVDMRRGRFAKDGLIQYRIVCQQVNVNSLEEVIKHFMHLSTEKAEQARSQAQALEEALDVDDLEADKRPEDLMLSYVTGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELELWQEAFRSIEDIHGLMCMVKKTPKPSLMVVYYAKLTEIFWISSSHLYHAYAWFKLFTLQKSFNKNLSQKDLQLIASSVVLAALAVAPYDRAKGASHLELENEKERNLRMANLIGFNLDPKPESREVLSRSFLLSELVSKGVLSCVTQEVKDLYHLLEHEFLPLDLAAKVQPLLSKISKLGGKLASVSSLPEVQVSQYIPALEKLATLRLLQQVSQVYQMMKIESLSQMIPFFDFSVVEKISVDAVKHNFISMKVDHMKNVILFTNAGLESDGLRDHLAIFAESLNKARSMIYPPAEHSSKLGEILPGLAEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLQLQKKREEAEQKRLAAEIEQRKNQRILQEIEQRELEEAQALLEDVDKRSKRKGGKKPILEGEKVTKLTIMERALNEQLRERHEMEKKLQKLAKTMDYLERAKREEAAPLIEAAFQRRLVEEKALHEREQQLETELSRQRHDGDLREKNRLSRMLDNKIIFQERVVSQRQTDFDRQRAEREERINQIILARKQEREAKRKKIFYVRSEEERLRKLHEEEEARKREEAERRRKEEAERKAKLDEIAEKQRQRERELEEKERLRREALLGRTADGPPRPSELSAGSRLEPGAAAPAAAAAPSPGKYVPRFRREGVDQAPDPDRWSGGSSRQAPSDPEQRSSGSTRQPPADVDRWGSGGTRQAPSDTDRWGSGSARQPAADSDRWGSGARPEDRNPPGDRWRSSRSSSRPFGR >Manes.08G004132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:874684:878739:1 gene:Manes.08G004132.v8.1 transcript:Manes.08G004132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNHTQINQLWQLRYRPPNSSLSLPLLFTSLYSQPAPTTTFKKMWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNECGYDSNFQQPPDGTASSSSGKKTRISRNKSGITGRRLNFGAENYKADKRSGGSEKSARRFAVCLKKRRTAKSVPSKCGSRSSKDSSLFGCGLGIGIMYMMSAEKAEISKLSNAMDDKRRSAKVAASSKELSSNNELEFYRAGTGHNNDPKAIKVSGIPMIDDVECPSSVLTEEPEPQLLEMDQLEAELASELQKLLWSYPEASGNEGVGPNMDKNETFSGGLHKLEGQSTISCQCHGVLPSELDRKLSHLLIEQQKNQIEELESELHSAQSKLHEKEAELQALKDCVKRLTEFSLSIVSDDEAVTYTEQECTSEWDNESNMGSELRKSTVGMKRSIGTA >Manes.06G074500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21033117:21033828:-1 gene:Manes.06G074500.v8.1 transcript:Manes.06G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKVEKPVPRVAVVVFLLNGKSVLLGRRRSSFGDSMFALPGGHLEFGESFEECGAREMKEETGLDISKIEFLTVTNNVWPEEPRPSHYVTIFLRAILADPNQLPQNLEPHKCYGWDWYDWDNLPKPLFSPLEKMAQSGFNPFPTDHNI >Manes.08G155800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39268644:39291907:1 gene:Manes.08G155800.v8.1 transcript:Manes.08G155800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQSSRLNRLLTLLDTGSTQATRFTAARQIGDIAKSHPQDLSSLLKKVSQYLRSKNWDTRVAAAHAIGAIAQNVKHTSLAELFGCIETKISEAGISGVVEDLVAWPDFHSKIISSGSFRSFDINKVLEFGALLASGGQEYDIANDNSKNPRERLARQKQNLRRRLGLDVCEQFMDVNDVIRDEDLVVQKLNPQGNGLEHRFYMPPSAHNIQRLVASMVPNVVSKRPSARELNLLKRKAKINSKDQAKGWTEEADTEVSFAQSTTPKASIQDSFNSNKVDADEDNFEHDGDGRWPFRGFVEQIILDMFDPIWEVRHGSVMALREILTHHGGSAGVLMPDLSLDGAVDDLKDLDYSSTVKREREIDLNMQVSAEELEPHLKRPKLEEGTSLSTGTMSSTACGGNFDITVKVEDGGWNIPAGQVNGQVDVNAVKMEECDNYTDGISCSSKGAAVVMEPKGHCEDKGSIVKSDILNNLAENSDLTNFVKLARHSWMKNSEFLQDCAIRFLCILSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHRSLILETLNILLQMQCRPEWEIRHGSLLGIKYLVAVRQEMLPDLLGYVLPACKAGLEDPDDDVRSVAADALIPTAGAIVSLKGRALHSIIMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMIPKMISKEKQELDLNEVLNVDDAGEGRDLQENPYMLSTLAPRLWPFMRHTITSVRYSAIRTLERLLEASYKRNISEPSGTSFWPSFILGDTLRIVFQNLLLESNEEILLCSERVWRLLVQCPVEDLEAAANSYMSSWMELATTPFGSPLDSAKMFWPVAPPRKSHFKAAAKMRAVKLENESCKIIGMDSGKETIPQERNGDASASTVKIIVGADGEMSVTNTRVITASALGIFASKLREGSFPYVTDALWNALASLSGVQRQVASMVLISWFKEIKSNDLSEKHGVLPVFPNHIKSWLLDLLSGTDPTFPTKDSVLPYSELSRTYAKMRSEASQLLHAIESSGMFENILSSIKVDVESLSTDKAINLASKLPPLCNDSTGNESIGRNIVDDIESSKHRLLTTASYLKCVQSNLHITVSALVAAAVVWMSELPARLNPIILPLMASIKREQEEILQHKAAEALAELICRCVARKPSPNDKLVKNICSLTCADPLETPQVGVISSMEIIDDQDFLSFGNNMGKQKSKVHTLAGGEDRSRVEGFISRRGAELALKHLCEKFGACLFDKLPKLWDCLTEVLMPGSPADEQLIAQSIESVKDPQVLVNNIQVVRSVAPLLDETLKPKLLTLLPCIFKCVRHSHIAVRLAASRCITSMAKSMITNVMAAIVENAIPMLGDATSIHARQGAGMLVSFLVQGLGVDLVPYAPLLVVPLLRCMSDIDHSVRQSVTRSFAALVPLLPLARGLPSPSGLNEGLTRNAEDAQFLEQLLDNSHIDDYKLFTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIAERRSSHEDIQPSLIVCPSTLVGHWAFEIEKYIDVSVICTLQYAGSTQERTSLREQFNKHNVIITSYDVVRKDIDFLGHFLWNYCILDEGHIIKNAKSKITAAVKQLKAQHRLILSGTPIQNNVMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDAKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSPARQEISSMVKLGESTHSEGHSASPKASSHVFQALQYLLKLCSHPLLVVGEKMPEILASQLSELFPASSDIISELHKLHHSPKLVALQEILEECGIGIDTSSSENAMNVGQHRVLIFAQHKALLDIIERDLFHSHMKNVTYLRLDGSVEPDKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMNLQKFKVSVANAVINAENASLKTMNTDQLLDLFASAETNTKGTMTSKHADGKTDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLTQFLAKLNG >Manes.08G155800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39268644:39291907:1 gene:Manes.08G155800.v8.1 transcript:Manes.08G155800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQSSRLNRLLTLLDTGSTQATRFTAARQIGDIAKSHPQDLSSLLKKVSQYLRSKNWDTRVAAAHAIGAIAQNVKHTSLAELFGCIETKISEAGISGVVEDLVAWPDFHSKIISSGSFRSFDINKVLEFGALLASGGQEYDIANDNSKNPRERLARQKQNLRRRLGLDVCEQFMDVNDVIRDEDLVVQKLNPQGNGLEHRFYMPPSAHNIQRLVASMVPNVVSKRPSARELNLLKRKAKINSKDQAKGWTEEADTEVSFAQSTTPKASIQDSFNSNKVDADEDNFEHDGDGRWPFRGFVEQIILDMFDPIWEVRHGSVMALREILTHHGGSAGVLMPDLSLDGAVDDLKDLDYSSTVKREREIDLNMQVSAEELEPHLKRPKLEEGTSLSTGTMSSTACGGNFDITVKVEDGGWNIPAGQVNGQVDVNAVKMEECDNYTDGISCSSKGAAVVMEPKGHCEDKGSIVKSDILNNLAENSDLTNFVKLARHSWMKNSEFLQDCAIRFLCILSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHRSLILETLNILLQMQCRPEWEIRHGSLLGIKYLVAVRQEMLPDLLGYVLPACKAGLEDPDDDVRSVAADALIPTAGAIVSLKGRALHSIIMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMIPKMISKEKQELDLNEVLNVDDAGEGRDLQENPYMLSTLAPRLWPFMRHTITSVRYSAIRTLERLLEASYKRNISEPSGTSFWPSFILGDTLRIVFQNLLLESNEEILLCSERVWRLLVQCPVEDLEAAANSYMSSWMELATTPFGSPLDSAKMFWPVAPPRKSHFKAAAKMRAVKLENESCKIIGMDSGKETIPQERNGDASASTVKIIVGADGEMSVTNTRVITASALGIFASKLREGSFPYVTDALWNALASLSGVQRQVASMVLISWFKEIKSNDLSEKHGVLPVFPNHIKSWLLDLLSGTDPTFPTKDSVLPYSELSRTYAKMRSEASQLLHAIESSGMFENILSSIKVDVESLSTDKAINLASKLPPLCNDSTGNESIGRNIVDDIESSKHRLLTTASYLKCVQSNLHITVSALVAAAVVWMSELPARLNPIILPLMASIKREQEEILQHKAAEALAELICRCVARKPSPNDKLVKNICSLTCADPLETPQVGVISSMEIIDDQDFLSFGNNMGKQKSKVHTLAGGEDRSRVEGFISRRGAELALKHLCEKFGACLFDKLPKLWDCLTEVLMPGSPADEQLIAQSIESVKDPQVLVNNIQVVRSVAPLLDETLKPKLLTLLPCIFKCVRHSHIAVRLAASRCITSMAKSMITNVMAAIVENAIPMLGDATSIHARQGAGMLVSFLVQGLGVDLVPYAPLLVVPLLRCMSDIDHSVRQSVTRSFAALVPLLPLARGLPSPSGLNEGLTRNAEDAQFLEQLLDNSHIDDYKLFTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDIAERRSSHEDIQPSLIVCPSTLVGHWAFEIEKYIDVSVICTLQYAGSTQERTSLREQFNKHNVIITSYDVVRKDIDFLGHFLWNYCILDEGHIIKNAKSKITAAVKQLKAQHRLILSGTPIQNNVMDLWSLFDFLMPGFLGTERQFQATYGKPLLAARDAKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSPARQEISSMVKLGESTHSEGHSASPKASSHVFQALQYLLKLCSHPLLVVGEKMPEILASQLSELFPASSDIISELHKLHHSPKLVALQEILEECGIGIDTSSSENAMNVGQHRVLIFAQHKALLDIIERDLFHSHMKNVTYLRLDGSVEPDKRFDIVKAFNSDPTIDALLLTTHGKIVLFYFVVFNL >Manes.15G060001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4600323:4603509:1 gene:Manes.15G060001.v8.1 transcript:Manes.15G060001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVVTFFSRAQVLWGVSIVVFVVIGHMNLNGCDEDENSGGKKDLLHPHRWRQLMSLKGRGKGRGSTNVQISEATGWNQTRRQLGKESCRTGNPIDASGRRDSEFYVVTDSENDDPVNPIPRTLRYGVIQEELLWIIFDHDMVMKLKAELIMNSHKTIDGRGYNIQIAGGACIAIQYVSNIIIHNIYIRDCIPDGNTMVRDSPKHVGIRGYSDGDGISIFGARDGWIDHCTLSNCRDGLIDAVAGSTSITISNSYMLHHNEVMLMGHGDDYLDDKNMQVTIAFNFFGEGLTQRMPRCRHGYFHIVNNIYTGWEMYAIGGSANPTINNGDVMLNGAFFTPSGETGPGSYMKASSMVARPASFLTNMSPPGALYCQRHIMLATSRLNIYPLFFFLL >Manes.16G073500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27588037:27592810:-1 gene:Manes.16G073500.v8.1 transcript:Manes.16G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHQEFQQGLREANLASFKVGDIADLDDDGKSRRTGTVWTASAHIITAIIGSGVLSLAWAMAQLGWITGIATLCIFSCITLYTSGFLADSYRSPDPVTGNRNHTYMEAVKANLGGNMYKICGLIQYTYMGGLAVGYTITVSISMVSILKSNCFRERGRGAPCKYSLNPYMIGMGIIEILLSQIPNLHKMSWLSFIAAIMSFGYASIGLGLSLAKISSGKGERTTLTGVEIGVNLSEADKLWTMLRAIGDVAFACSYSGVLIEIQDTLKSSPPENKTMKKASMIAILISTAFYMMSGCFGYAALGNNAPGNMLTDFGFLEPFWLIDLANIFVIVHLVGAYQVLSQPVLNAVESWARMRWPESRFVTNEYTLDIIGKKTIRVNLLRLTWRTVYVVIITVVAMGFPFFNDVLALLGAVGYWPMSVYFPVEMHIARKKIQRQTVRWFSLQLMNLVCLLVSLAAVCGAIQGLSHGLQTYKPFSF >Manes.02G017600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1626357:1628054:1 gene:Manes.02G017600.v8.1 transcript:Manes.02G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVLKLDLHDDKDKKKALKAVSSLQGIDSIAMDMKDRKLTVVGDVDPVTIVSKLRKGWHTEILSVGPAKEEKKDDAKKGDAKKEDEKKNPNDQIAELVKAYKAYNPHMTQYYRVVSVEENPNACVIF >Manes.02G017600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1627468:1627758:1 gene:Manes.02G017600.v8.1 transcript:Manes.02G017600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKDRKLTVVGDVDPVTIVSKLRKGWHTEILSVGPAKEEKKDDAKKGDAKKEDEKKNPNDQIAELVKAYKAYNPHMTQYYRVVSVEENPNACVIF >Manes.12G045400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4036865:4056418:-1 gene:Manes.12G045400.v8.1 transcript:Manes.12G045400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGSGSALLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDHHTEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFDGENEILEGKRKSKHPSRSNIAPSEQSSQMDGTQNAKESEDSAFVRPYARRNRSRTNRDGARSSSTDVVQSSGGHGSFFKVHGGLRDVKGSMSETNDQKDKIIPSVSYTKSTTSNGDMVSQIEITTTQSNMELDGAVAHEVIAKLPKGSRSGNRLDVSETNISKHNQRDQLSEVDAQKMPSFMTSGECNNVEEKENVISAAPECQPGAAAPKTENENGSSQLNGFVDLKRDGNEGHTGNGTIGTKGLDSESSCTQSSLCLDTNNESGLCINGRNDDINVVPIKKTSKYGETQNSLAGDMGDEKNETKAMDGSPVVMEDNNFVHQNNSNTDHVDEMEEEIQRSSELQKELKCPNSEGVEQNDLAASEADKKLCNVLGDDSNLNREIICPGGPQGSVDIPIQELPESNLSEKNSSAAPDPKFCPGSHLIVSDKAHEDSFLEEARIIEAKRKRIAELSTGIVPLESRRKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHRVAFTSRLRVEEQSQHWKLKKIAYTLAKAVMQFWHSAEMLLNKDDQSVGLTHLKQDSRTFDGNEFPKYKLMKFDKETCEELEMQNAGKNFECPIQGYAVRFLKCNSSAVPSLQAEAPATPDRIADSGFIGTSWEPHLTEESLFYAVPSGAMESYRISIESHLVQCEKSGSSMQEEVDTSMYDAATEFGYCENAYDEEEGETSTYYLQGVFEDSKQAKHDQKNRKNFMKSYSGRSYEVGPDLSYGYCTTGSQQNTLVGKRPVNNLHVGPVPPKRVRSVASRHRFTSPFSAGVLTPAKTDASSGDTSSFQDDQSTLNGSQIQKSVEVESVGDFEKQLPYDYAETSTKPQKKKKKIKHHVGSAYEPVWHLDSSVHNDQRDNSKKRLDTHHFDSNGASGLLYGQHTAKKPKTLKSVDGTFDNMTPMSGSIPSPAASQMSNMPSKIMKFIVGRDRGRKPKSLKVPAGQPCFGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIMIGKKHLYRRCQTDNQDPKQIVVVHNSHVSAIDQVPTNQNGGVLTPLDLCDATASSSDLLPIGHQSSHASGLPMPNQGAVGSMLPNSGPNSSLQVSPGVGLGNSASPSGPLNAPIRDGRYSVPRTSLTVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGSDRVRMLPGGNPVGMMSGMNRNMPLARPGFQAMSSSSMMNSSSMLSSGMVGMPNPGSSSGQGNSMMRSREGLHMMRTGQNSEHQRQMMVPELQMQVTQGNSQGIPAFSGLSSAFANQTTPPAVQAYPGLPQQHQMPPQQSHVMGNPHHPHLQGANNATGPQQQAYAIRFAKERQMQQRILQQHQQQQFASSGTLMSHVQAQPQLPVSSSMQNSSQIPPQTSSQPVSLPPLTPSSPMTPLPAQQQQKHALPHQGISRNSQTVATGLTNQIGKQRQRQQQQFQQSGRLHPQQRQQSQSPQQAKLLKGMGRGNMVVHQNLPTDHSHLNGLSVPPGNQSAEKGEHIMHLIQGQGLYSGSGLSSKQPSKPLVVSQSSNQSQPQQKLFSATASPSSNQAQQIVSHSDNDTQGQVPPVPSGHALSAAHQALPATIIASNHQRMQPQPQPQSHQKQTGQVQPTVQRMLQQNRLLNSNLATKSQTDQSRLEQQPASNASQMGTSTTTSISQACNDSANVVASFSVASQRKASEPPCDPAMTNSASQVGSIGSPPLTNSAGSEAVASVNQGLVQRQLSGGLPQHGSAGAQWQQQLQPPPQPPSSQQLFQAQEQQTQQEQQSPRKQLPLQQHSQQQTHLQSAQGTLYIRSANSQLE >Manes.12G045400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4031596:4056418:-1 gene:Manes.12G045400.v8.1 transcript:Manes.12G045400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGSGSALLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDHHTEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFDGENEILEGKRKSKHPSRSNIAPSEQSSQMDGTQNAKESEDSAFVRPYARRNRSRTNRDGARSSSTDVVQSSGGHGSFFKVHGGLRDVKGSMSETNDQKDKIIPSVSYTKSTTSNGDMVSQIEITTTQSNMELDGAVAHEVIAKLPKGSRSGNRLDVSETNISKHNQRDQLSEVDAQKMPSFMTSGECNNVEEKENVISAAPECQPGAAAPKTENENGSSQLNGFVDLKRDGNEGHTGNGTIGTKGLDSESSCTQSSLCLDTNNESGLCINGRNDDINVVPIKKTSKYGETQNSLAGDMGDEKNETKAMDGSPVVMEDNNFVHQNNSNTDHVDEMEEEIQRSSELQKELKCPNSEGVEQNDLAASEADKKLCNVLGDDSNLNREIICPGGPQGSVDIPIQELPESNLSEKNSSAAPDPKFCPGSHLIVSDKAHEDSFLEEARIIEAKRKRIAELSTGIVPLESRRKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHRVAFTSRLRVEEQSQHWKLKKIAYTLAKAVMQFWHSAEMLLNKDDQSVGLTHLKQDSRTFDGNEFPKYKLMKFDKETCEELEMQNAGKNFECPIQGYAVRFLKCNSSAVPSLQAEAPATPDRIADSGFIGTSWEPHLTEESLFYAVPSGAMESYRISIESHLVQCEKSGSSMQEEVDTSMYDAATEFGYCENAYDEEEGETSTYYLQGVFEDSKQAKHDQKNRKNFMKSYSGRSYEVGPDLSYGYCTTGSQQNTLVGKRPVNNLHVGPVPPKRVRSVASRHRFTSPFSAGVLTPAKTDASSGDTSSFQDDQSTLNGSQIQKSVEVESVGDFEKQLPYDYAETSTKPQKKKKKIKHHVGSAYEPVWHLDSSVHNDQRDNSKKRLDTHHFDSNGASGLLYGQHTAKKPKTLKSVDGTFDNMTPMSGSIPSPAASQMSNMPSKIMKFIVGRDRGRKPKSLKVPAGQPCFGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIMIGKKHLYRRCQTDNQDPKQIVVVHNSHVSAIDQVPTNQNGGVLTPLDLCDATASSSDLLPIGHQSSHASGLPMPNQGAVGSMLPNSGPNSSLQVSPGVGLGNSASPSGPLNAPIRDGRYSVPRTSLTVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGSDRVRMLPGGNPVGMMSGMNRNMPLARPGFQAMSSSSMMNSSSMLSSGMVGMPNPGSSSGQGNSMMRSREGLHMMRTGQNSEHQRQMMVPELQMQVTQGNSQGIPAFSGLSSAFANQTTPPAVQAYPGLPQQHQMPPQQSHVMGNPHHPHLQGANNATGPQQQAYAIRFAKERQMQQRILQQHQQQQFASSGTLMSHVQAQPQLPVSSSMQNSSQIPPQTSSQPVSLPPLTPSSPMTPLPAQQQQKHALPHQGISRNSQTVATGLTNQIGKQRQRQQQQFQQSGRLHPQQRQQSQSPQQAKLLKGMGRGNMVVHQNLPTDHSHLNGLSVPPGNQSAEKGEHIMHLIQGQGLYSGSGLSSKQPSKPLVVSQSSNQSQPQQKLFSATASPSSNQAQQIVSHSDNDTQGQVPPVPSGHALSAAHQALPATIIASNHQRMQPQPQPQSHQKQTGQVQPTVQRMLQQNRLLNSNLATKSQTDQSRLEQQPASNASQMGTSTTTSISQACNDSANVVASFSVASQRKASEPPCDPAMTNSASQVGSIGSPPLTNSAGSEAVASVNQGLVQRQLSGGLPQHGSAGAQWQQQLQPPPQPPSSQQLFQAQEQQTQQEQQSPRKQLPLQQHSQQQTHLQSAQGTLYIRSANSQLE >Manes.12G045400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4034907:4056418:-1 gene:Manes.12G045400.v8.1 transcript:Manes.12G045400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGSGSALLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDHHTEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFDGENEILEGKRKSKHPSRSNIAPSEQSSQMDGTQNAKESEDSAFVRPYARRNRSRTNRDGARSSSTDVVQSSGGHGSFFKVHGGLRDVKGSMSETNDQKDKIIPSVSYTKSTTSNGDMVSQIEITTTQSNMELDGAVAHEVIAKLPKGSRSGNRLDVSETNISKHNQRDQLSEVDAQKMPSFMTSGECNNVEEKENVISAAPECQPGAAAPKTENENGSSQLNGFVDLKRDGNEGHTGNGTIGTKGLDSESSCTQSSLCLDTNNESGLCINGRNDDINVVPIKKTSKYGETQNSLAGDMGDEKNETKAMDGSPVVMEDNNFVHQNNSNTDHVDEMEEEIQRSSELQKELKCPNSEGVEQNDLAASEADKKLCNVLGDDSNLNREIICPGGPQGSVDIPIQELPESNLSEKNSSAAPDPKFCPGSHLIVSDKAHEDSFLEEARIIEAKRKRIAELSTGIVPLESRRKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHRVAFTSRLRVEEQSQHWKLKKIAYTLAKAVMQFWHSAEMLLNKDDQSVGLTHLKQDSRTFDGNEFPKYKLMKFDKETCEELEMQNAGKNFECPIQGYAVRFLKCNSSAVPSLQAEAPATPDRIADSGFIGTSWEPHLTEESLFYAVPSGAMESYRISIESHLVQCEKSGSSMQEEVDTSMYDAATEFGYCENAYDEEEGETSTYYLQGVFEDSKQAKHDQKNRKNFMKSYSGRSYEVGPDLSYGYCTTGSQQNTLVGKRPVNNLHVGPVPPKRVRSVASRHRFTSPFSAGVLTPAKTDASSGDTSSFQDDQSTLNGSQIQKSVEVESVGDFEKQLPYDYAETSTKPQKKKKKIKHHVGSAYEPVWHLDSSVHNDQRDNSKKRLDTHHFDSNGASGLLYGQHTAKKPKTLKSVDGTFDNMTPMSGSIPSPAASQMSNMPSKIMKFIVGRDRGRKPKSLKVPAGQPCFGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIMIGKKHLYRRCQTDNQDPKQIVVVHNSHVSAIDQVPTNQNGGVLTPLDLCDATASSSDLLPIGHQSSHASGLPMPNQGAVGSMLPNSGPNSSLQVSPGVGLGNSASPSGPLNAPIRDGRYSVPRTSLTVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGSDRVRMLPGGNPVGMMSGMNRNMPLARPGFQAMSSSSMMNSSSMLSSGMVGMPNPGSSSGQGNSMMRSREGLHMMRTGQNSEHQRQMMVPELQMQVTQGNSQGIPAFSGLSSAFANQTTPPAVQAYPGLPQQHQMPPQQSHVMGNPHHPHLQGANNATGPQQQAYAIRFAKERQMQQRILQQHQQQQFASSGTLMSHVQAQPQLPVSSSMQNSSQIPPQTSSQPVSLPPLTPSSPMTPLPAQQQQKHALPHQGISRNSQTVATGLTNQIGKQRQRQQQQFQQSGRLHPQQRQQSQSPQQAKLLKGMGRGNMVVHQNLPTDHSHLNGLSVPPGNQSAEKGEHIMHLIQGQGLYSGSGLSSKQPSKPLVVSQSSNQSQPQQKLFSATASPSSNQAQQIVSHSDNDTQGQVPPVPSGHALSAAHQALPATIIASNHQRMQPQPQPQSHQKQTGQVQPTVQRMLQQNRLLNSNLATKSQTDQSRLEQQPASNASQMGTSTTTSISQACNDSANVVASFSVASQRKASEPPCDPAMTNSASQVGSIGSPPLTNSAGSEAVASVNQGLVQRQLSGGLPQHGSAGAQWQQQLQPPPQPPSSQQLFQAQEQQTQQEQQSPRKQLPLQQHSQQQTHLQSAQGTLYIRSANSQLE >Manes.12G045400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4036865:4056418:-1 gene:Manes.12G045400.v8.1 transcript:Manes.12G045400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGSGSALLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDHHTEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFDGENEILEGKRKSKHPSRSNIAPSEQSSQMDGTQNAKESEDSAFVRPYARRNRSRTNRDGARSSSTDVVQSSGGHGSFFKVHGGLRDVKGSMSETNDQKDKIIPSVSYTKSTTSNGDMVSQIEITTTQSNMELDGAVAHEVIAKLPKGSRSGNRLDVSETNISKHNQRDQLSEVDAQKMPSFMTSGECNNVEEKENVISAAPECQPGAAAPKTENENGSSQLNGFVDLKRDGNEGHTGNGTIGTKGLDSESSCTQSSLCLDTNNESGLCINGRNDDINVVPIKKTSKYGETQNSLAGDMGDEKNETKAMDGSPVVMEDNNFVHQNNSNTDHVDEMEEEIQRSSELQKELKCPNSEGVEQNDLAASEADKKLCNVLGDDSNLNREIICPGGPQGSVDIPIQELPESNLSEKNSSAAPDPKFCPGSHLIVSDKAHEDSFLEEARIIEAKRKRIAELSTGIVPLESRRKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHRVAFTSRLRVEEQSQHWKLKKIAYTLAKAVMQFWHSAEMLLNKDDQSVGLTHLKQDSRTFDGNEFPKYKLMKFDKETCEELEMQNAGKNFECPIQGYAVRFLKCNSSAVPSLQAEAPATPDRIADSGFIGTSWEPHLTEESLFYAVPSGAMESYRISIESHLVQCEKSGSSMQEEVDTSMYDAATEFGYCENAYDEEEGETSTYYLQGVFEDSKQAKHDQKNRKNFMKSYSGRSYEVGPDLSYGYCTTGSQQNTLVGKRPVNNLHVGPVPPKRVRSVASRHRFTSPFSAGVLTPAKTDASSGDTSSFQDDQSTLNGSQIQKSVEVESVGDFEKQLPYDYAETSTKPQKKKKKIKHHVGSAYEPVWHLDSSVHNDQRDNSKKRLDTHHFDSNGASASQMSNMPSKIMKFIVGRDRGRKPKSLKVPAGQPCFGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIMIGKKHLYRRCQTDNQDPKQIVVVHNSHVSAIDQVPTNQNGGVLTPLDLCDATASSSDLLPIGHQSSHASGLPMPNQGAVGSMLPNSGPNSSLQVSPGVGLGNSASPSGPLNAPIRDGRYSVPRTSLTVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGSDRVRMLPGGNPVGMMSGMNRNMPLARPGFQAMSSSSMMNSSSMLSSGMVGMPNPGSSSGQGNSMMRSREGLHMMRTGQNSEHQRQMMVPELQMQVTQGNSQGIPAFSGLSSAFANQTTPPAVQAYPGLPQQHQMPPQQSHVMGNPHHPHLQGANNATGPQQQAYAIRFAKERQMQQRILQQHQQQQFASSGTLMSHVQAQPQLPVSSSMQNSSQIPPQTSSQPVSLPPLTPSSPMTPLPAQQQQKHALPHQGISRNSQTVATGLTNQIGKQRQRQQQQFQQSGRLHPQQRQQSQSPQQAKLLKGMGRGNMVVHQNLPTDHSHLNGLSVPPGNQSAEKGEHIMHLIQGQGLYSGSGLSSKQPSKPLVVSQSSNQSQPQQKLFSATASPSSNQAQQIVSHSDNDTQGQVPPVPSGHALSAAHQALPATIIASNHQRMQPQPQPQSHQKQTGQVQPTVQRMLQQNRLLNSNLATKSQTDQSRLEQQPASNASQMGTSTTTSISQACNDSANVVASFSVASQRKASEPPCDPAMTNSASQVGSIGSPPLTNSAGSEAVASVNQGLVQRQLSGGLPQHGSAGAQWQQQLQPPPQPPSSQQLFQAQEQQTQQEQQSPRKQLPLQQHSQQQTHLQSAQGTLYIRSANSQLE >Manes.12G045400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4031596:4056418:-1 gene:Manes.12G045400.v8.1 transcript:Manes.12G045400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGSGSALLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDHHTEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFDGENEILEGKRKSKHPSRSNIAPSEQSSQMDGTQNAKESEDSAFVRPYARRNRSRTNRDGARSSSTDVVQSSGGHGSFFKVHGGLRDVKGSMSETNDQKDKIIPSVSYTKSTTSNGDMVSQIEITTTQSNMELDGAVAHEVIAKLPKGSRSGNRLDVSETNISKHNQRDQLSEVDAQKMPSFMTSGECNNVEEKENVISAAPECQPGAAAPKTENENGSSQLNGFVDLKRDGNEGHTGNGTIGTKGLDSESSCTQSSLCLDTNNESGLCINGRNDDINVVPIKKTSKYGETQNSLAGDMGDEKNETKAMDGSPVVMEDNNFVHQNNSNTDHVDEMEEEIQRSSELQKELKCPNSEGVEQNDLAASEADKKLCNVLGDDSNLNREIICPGGPQGSVDIPIQELPESNLSEKNSSAAPDPKFCPGSHLIVSDKAHEDSFLEEARIIEAKRKRIAELSTGIVPLESRRKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHRVAFTSRLRVEEQSQHWKLKKIAYTLAKAVMQFWHSAEMLLNKDDQSVGLTHLKQDSRTFDGNEFPKYKLMKFDKETCEELEMQNAGKNFECPIQGYAVRFLKCNSSAVPSLQAEAPATPDRIADSGFIGTSWEPHLTEESLFYAVPSGAMESYRISIESHLVQCEKSGSSMQEEVDTSMYDAATEFGYCENAYDEEEGETSTYYLQGVFEDSKQAKHDQKNRKNFMKSYSGRSYEVGPDLSYGYCTTGSQQNTLVGKRPVNNLHVGPVPPKRVRSVASRHRFTSPFSAGVLTPAKTDASSGDTSSFQDDQSTLNGSQIQKSVEVESVGDFEKQLPYDYAETSTKPQKKKKKIKHHVGSAYEPVWHLDSSVHNDQRDNSKKRLDTHHFDSNGASGLLYGQHTAKKPKTLKSVDGTFDNMTPMSGSIPSPAASQMSNMPSKIMKFIVGRDRGRKPKSLKVPAGQPCFGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDSGSSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIMIGKKHLYRRCQTDNQDPKQIVVVHNSHVSAIDQVPTNQNGGVLTPLDLCDATASSSDLLPIGHQSSHASGLPMPNQGAVGSMLPNSGPNSSLQVSPGVGLGNSASPSGPLNAPIRDGRYSVPRTSLTVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGSDRVRMLPGGNPVGMMSGMNRNMPLARPGFQAMSSSSMMNSSSMLSSGMVGMPNPGSSSGQGNSMMRSREGLHMMRTGQNSEHQRQMMVPELQMQVTQGNSQGIPAFSGLSSAFANQTTPPAVQAYPGLPQQHQMPPQQSHVMGNPHHPHLQGANNATGPQQQAYAIRFAKERQMQQRILQQHQQQQFASSGTLMSHVQAQPQLPVSSSMQNSSQIPPQTSSQPVSLPPLTPSSPMTPLPAQQQQKHALPHQGISRNSQTVATGLTNQIGKQRQRQQQQFQQSGRLHPQQRQQSQSPQQAKLLKGMGRGNMVVHQNLPTDHSHLNGLSVPPGNQSAEKGEHIMHLIQGQGLYSGSGLSSKQPSKPLVVSQSSNQSQPQQKLFSATASPSSNQAQQIVSHSDNDTQGQVPPVPSGHALSAAHQALPATIIASNHQRMQPQPQPQSHQKQTGQVQPTVQRMLQQNRLLNSNLATKSQTDQSRLEQQPASNASQMGTSTTTSISQACNDSANVVASFSVASQRKASEPPCDPAMTNSASQVGSIGSPPLTNSAGSEAVASVNQGLVQRQLSGGLPQHGSAGAQWQQQLQPPPQPPSSQQLFQAQEQQTQQEQQSPRKQLPLQQHSQQQTHLQSAQGTLYIRSANSQLE >Manes.S029816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:658681:659340:-1 gene:Manes.S029816.v8.1 transcript:Manes.S029816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGRSPPRPRMFVNTFAGRAAEQVST >Manes.10G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:586570:588314:1 gene:Manes.10G000900.v8.1 transcript:Manes.10G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVFSVIPLLLFVINLCLKGAFGDYGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYEMRCDNDPKWCLPGTITVTATNFCPPNNALPNDNGGWCNPPLQHFDLAEPAFLQIAQYRSGIVPISFRRVPCVKKGGIRFTVNGHSYFNLVLVTNVGGAGDVQAVSMKGSRTGWQAMSRNWGQNWQSNAILNGQSLSFQVTTSDGRTVTSYDVAPANWQFGQTFEGGQF >Manes.12G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1879763:1882336:1 gene:Manes.12G021500.v8.1 transcript:Manes.12G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGLTNSISERWKSPWRISKTVAISMAIGIILLSSSLLVFSPSSACQVPAIYNYGDSNSDTGSVSATFGRLPSPFGETFFGKPSGRCSDGRLIIDFIAVELGLPYLSAYLDSIESDFRHGANFAASGSTIQPVDGKLFDAGFNPLSLSIQLSQFQQFKDRTIELYTQDRNLWRQGRLPRPEDFSKALYTLDCGQNDLHFGITSMGDEEKAVASIPSLINQFALAIEELYQEGARIFWIHNTGPIGCLPFFVINYPPKPDNADQIGCVKSYNAVAQEFNKQLKGKVSKLRTQLSDAMLILVDIYSAKYSLISQAKKYGFDDPLSYCCGHYEDYRVDCGKTLQLVNGTNVYGGSCSDPSKYISWDGIHYTEAANKWVANRIIDGSLSDPPAPLIKACR >Manes.05G168800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28250118:28251373:-1 gene:Manes.05G168800.v8.1 transcript:Manes.05G168800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAAFTPARVAGEVVSFSSQTQKRMNKVVHIRGLNPYGGLKAHNGVVSLGMPVSTEQCFANVVSLIKTSSNGKGRGGGAVSSKCSKVGEIFEIAALMNGLVLVGVAVGFVLLRIEAFVEESE >Manes.01G160900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34613261:34617171:1 gene:Manes.01G160900.v8.1 transcript:Manes.01G160900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLSHLTNVFIIIAIITSLLYAAAVVDGLGSASTIAVTYSTATVCGIIAGEATQRIQCYQNGQIIYIQPNVSFESISGGQTFFCGLLSGGFSVLCWETLAATNSSFQPKRIYCSNSIQLTDLTVGDNQVCAREVNSGIAKCWRGKGRGRSPFPSPGVDLRFSTLTSGSGFTCGILRNNSRVYCWGNNDVGDEIQTQFGNLTMLNLVAGESHACGLTTSGFLVCKGSNSAGQLDVPFSSGFAFSGLALGSDFSCAIKQSDGLLSCWGGANKFQLNSNILKNFPFELIVAGLDFTCGLTTRNLSIICWGLGWSNVLHHGNELALGMIIPGPCVQSSCGTCGVYPNSESLCDGYGNICKSCQTELPIAVPLLPRKSPPSQQVQPLSPSRDKNNLPLAFVIVGSVGVFAGISSIIYCLHRVLHHNSVQPSSINASLNENTAATAHNASTTPSLRTFSAKHCSSRRLGRQRSGSSSKHTDKTQKFSLSELAAATNNFSMENKIGAGSFGIVYKGKLANGREVAIKRGETGTKIKKFQDKESAFDSEIALLSRLHHKHLVELVGFCDEKDERLLVYEYMSNGALHNHLHYSQNVEQNSSILNSWKMRIKIALDAARGINYLHNYAVPPIIHRDIKTSNILIDANWTARVSDFGLSLMGPEFDQEFMSTKAVGTVGYIDPEYYVLNVLTAKSDVYGLGVVLLELLTGKRAVFKSEEEGAGPMGLVEYATQFISAGQLKKVLDKRVCPPEMLEAEAVELMAYTAMHCVNLEGKERPDIVDVVSALERALALCDVTPASFSTPSN >Manes.15G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11011433:11015483:-1 gene:Manes.15G136100.v8.1 transcript:Manes.15G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGESPSFGRMTRRIPRIMTVAGIISDLDDDPSASVCSDPSSSSIQKDRIIIVANQLPIRAQRKSDGSKSWIFTWDENSLLLQLKDGLGDDDIEVIYVGCLREDIHPSEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPELGGRFNRSLWQAYVSANKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESRRGYIGLDYCGRTVSIKILPVGIHMGQLQSVLRLPETETKVMKLIKQFGDRGRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGRGKDVKEVQAETYSTVKRINEMFGKPGYDPVVLIDAPLKFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNEQLDKVLRLEACTPKKSMLVISEFIGCSPSLSGAIRVNPWNIDAVADAMDYALEMAEPEKQLRHDKHYKYVSTHDVGYWARSFLQDLERTCRDHARRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSPKSIDILNSLCRDKNNMVFLVSARSRKTLTEWFSQCEKLGLAAEHGYFRRLTRDAEWETCVPVTDTAWKQIAEPVMHLYTETTDGSTIEDKETAVVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNTVEVKPQGVSKGLVAKRLLSTMQEKGMSPDFVLCIGDDRSDEDMFEVITSSMAGPSIAPRAEVFACTVGRKPSKAKYYLDDTAEIVRLMQGLASVSEQTVNNVS >Manes.05G086322.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7602712:7603050:1 gene:Manes.05G086322.v8.1 transcript:Manes.05G086322.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPCKNAWPELVGVDGNCAVAIIEKENKHVEATILKDGTPVTKDFRCDRVWVWVNKIMWSFELLECVKQ >Manes.18G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9887746:9892142:-1 gene:Manes.18G103200.v8.1 transcript:Manes.18G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNSVRSASKLLSAADSCFFKSVNRGFHSTGAKRMGGGHAHGHDEPFYLHAKHMYNLDRMKHQKIKMPLAVFTVFSIGVIVPIYAVIFQQKKAASA >Manes.09G072900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17287871:17302449:-1 gene:Manes.09G072900.v8.1 transcript:Manes.09G072900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSQMASEVPVLKADTEEKADTVNVTNGDLPQVEKAGKKEEDETDGEFIKVEKESLDVKDASPTVEPANADEEKPSATDGSLSSSTRELLEAQEKVRDLELELERVADALKHSESENTKMKEEVLLANEKLEIGEKKYVELELDHRKLQEQLIDAEEKYSSQLGTLNEALQAQDMKHKELTEVKEAFDSLSLEVESSRKSLQELEQKLQFSEGEAKRFEELHKQSGSHAESETQRAVEFERLLEEAKSSAKEIEGQMASLQEEVKSLYEKIAENQKVEQALKDTTAELATVNEELALSKSQLMDMEQRFSSKEVLISELTQELDLRKASESQVKEDILTLENLLTTTKDDLQAKVSELEGTRLKLQEEVNARELVEAAIKDHQGQVSAIREELAKVIKEKEALEATVTDLTSNAAQMKELCNDLEDKLKVSDENFSKADLLLSQALSNNAELEQKLKSLEEVHIAAGAAAASATEKNLELEDSIRASHEAVENVNSQLRELETCLIAAEQRNVELEQQLNLTELKSSDAERELREFSLKITDLSAALKELEEEKQKLSHQMQEYQEKINHLESSLNQSSTRSTELEVELRIATEKCAEHEDRANMNHQRSLELEDLFQLSNSKVEDASKKVNDLELLLEAEKYRIQELEEQISTLENKCVDAEAESNRYFNRVSELTAELEAFQAKASSLEIALQTANEKERELMESLNSATDEKKKLEDASIDSSQKLADAENLVEVLRNELTVMQEKLEGIENDLKAAGLKESDAMVKLKSAEEQLERQEKLLEQATARHSELESLQESLATDSELKLQEAIEKFTSKESEAKTLVEKQMILEDQVKLYEEQVAEATRKSAFLKEELDLCLLKVASMETSNEELKKQIIEVENRASNTSSDNELLVETNNQLKSKVNELQELLNSAVSEKEASSQQLASHMNTITEISDTHSRALELHSATEARMVQAEAELQEAIQKLTQKDAETNNLNEKLNALEGQMKLYEEQAHEASAIAETRKLELEETCLKLKHLESIVEELQNRSSHFEKESAALAEANLKLTQDLASNESQLSDLQSKLSAAESEKDETVEQLHNSKKALENLTQQLTDEGQKLQSQISSVMEENNLLSDTYQNAKKELESVIIQLEGQLKDQKANEEALKSEIENLKMEVAEKSALQTRVKEFEEKLAAAEARLKEEVESIQASAAGREAELTLKLEDHAKKVHDREMLNDQVLQLQSELQLAQSIVTAQKEANSQKDLEREAAMRKSLEDLDAKNKAIILLENKVKELEQKLQLADEKLLHKDDGGNLAEHNDGTEIKSRDIGSMISAPTKRKSKKKLEAAATAQTSSSSSEMHVQTKEVSQGMNIKFILGVGVVSIIIGIILGKRY >Manes.15G013700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1163075:1164314:1 gene:Manes.15G013700.v8.1 transcript:Manes.15G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTGAASASTEDGGVKEQERLLPIANVGRIMKKILPPNAKISKEAKETMQECVSEFISFVTSEASEKCKKERRKTVNGDDVCWAMGALGFDEYAGPLTGYLQRYRELEGDRSANQEKANTEEKEDSSSYRNHFNQRNHQDVVVPPPPLLKFDKKRN >Manes.01G047900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12903826:12916057:-1 gene:Manes.01G047900.v8.1 transcript:Manes.01G047900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLGDEVAPVDVAEGAHLHSKENEYSLKPSESPTKLESREMVITRESDYPEGSFQALADILDATNVNRGANLVDACAQPCASPCYMDDVGNMVEELTVRNYDNTNFSIVGTSNSRERIQTWQSQWQHLYQLGGASGIGSSRTNTLYRDNGQGSGWEEVRYSSSPVLLGQKTSSTECNEIMEQPNAEHKEVSPNIISHGGIRTKILSKSGFSEFFVKNTLKGKGIIFRGPHHESPRFVPKDENNGKAAIGAVAASNSSLTLGAKTAMPSSSAMAGPRPACHDGVGLRHWLNARQHKVNKVERLHVFRQIVDLVDHYHSQGVTLPDLRPSSFKLLQSNQVIYVGFTVQRDVLESAMDRDIPSSENQVVRRRPAEQAILPFVGIFAKKQKFSESRNHIRQWPQFTAKYGIKTETASDGNLNVASAQYSLNELTEDNSNVEHGIQGKNSRPLSNAAQQQLASIGDGSEDKWYASPEELSEGICSISSNIYSLGVLLFELLGRFDSERGHATSMSDLRHRILPPHFLSENPKEAGFCLWLLHPEPSSRPATREILQSEVLNGLQEVSAKELSSSIDQDDAESELLLHFLVSLKEHKQKHASKLADGIKCVEADIEEVERRNFSKNILGTRLSNIANTNEMRLTNNMSQLESAYFSMRTKIQLLESDATTHQDKNLLRNRENYYLAEGEEKQNPTDRLGAFFDGLCRYARYSKFEVRGQLRTGDFNNSANVICSLSFDRDVDYFATAGVSKKIKIFEFNALLNDSVDIHYPAIEMLNKSKLSCVCWNNYIKNYLASTDYDGIVKLWDASTGQGIFQCNEHERRAWSVDFSQVYPTKLASGSDDCSVKLWSINEKNSLGTIRNIANVCCVQFSSHSTHLLAFGSADYRTYCYDLRNLRTPWCVLAGHEKAVSYVKFLDPETLVTASTDNSLKLWDLYKASSNGLSNNACSLTLSGHTNEKNFVGLSVADGYIACGSETNEVYAYYRSLPMPITAHKFGSIDPITGKETDDDNGQFVSSVCWRGKSDMVVAANSTGCIKVLQMV >Manes.01G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12903762:12916063:-1 gene:Manes.01G047900.v8.1 transcript:Manes.01G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLGDEVAPVDVAEGAHLHSKENEYSLKPSESPTKLESREMVITRESDYPEGSFQALADILDATNVNRGANLVDACAQPCASPCYMDDVGNMVEELTVRNYDNTNFSIVGTSNSRERIQTWQSQWQHLYQLGGASGIGSSRTNTLYRDNGQGSGWEEVRYSSSPVLLGQKTSSTECNEIMEQPNAEHKEVSPNIISHGGIRTKILSKSGFSEFFVKNTLKGKGIIFRGPHHESPRFVPKDENNGKAAIGAVAASNSSLTLGAKTAMPSSSAMAGPRPACHDGVGLRHWLNARQHKVNKVERLHVFRQIVDLVDHYHSQGVTLPDLRPSSFKLLQSNQVIYVGFTVQRDVLESAMDRDIPSSENQVVRRRPAEQAILPFVGIFAKKQKFSESRNHIRQWPQFTAKYGIKTETASDGNLNVASAQYSLNELTEDNSNVEHGIQGKNSRPLSNAAQQQLASIGDGSEDKWYASPEELSEGICSISSNIYSLGVLLFELLGRFDSERGHATSMSDLRHRILPPHFLSENPKEAGFCLWLLHPEPSSRPATREILQSEVLNGLQEVSAKELSSSIDQDDAESELLLHFLVSLKEHKQKHASKLADGIKCVEADIEEVERRNFSKNILGTRLSNIANTNEMRLTNNMSQLESAYFSMRTKIQLLESDATTHQDKNLLRNRENYYLAEGEEKQNPTDRLGAFFDGLCRYARYSKFEVRGQLRTGDFNNSANVICSLSFDRDVDYFATAGVSKKIKIFEFNALLNDSVDIHYPAIEMLNKSKLSCVCWNNYIKNYLASTDYDGIVKLWDASTGQGIFQCNEHERRAWSVDFSQVYPTKLASGSDDCSVKLWSINEKNSLGTIRNIANVCCVQFSSHSTHLLAFGSADYRTYCYDLRNLRTPWCVLAGHEKAVSYVKFLDPETLVTASTDNSLKLWDLYKASSNGLSNNACSLTLSGHTNEKNFVGLSVADGYIACGSETNEVYAYYRSLPMPITAHKFGSIDPITGKETDDDNGQFVSSVCWRGKSDMVVAANSTGCIKVLQMV >Manes.06G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28327119:28329990:-1 gene:Manes.06G157300.v8.1 transcript:Manes.06G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMGDWEQTKNLVNELTLGRELARQLQIHLNVPSSSRETREVLVQKILASFEKSLSVLNLSSSIRETNPTGFAIGMSESPPSLSGSPRSEDSDRDFKDHDPKDGSRKRKGTPRWTQQVRVNPGMGLEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTHRIVQGCLATKQVQRSDEDPTIFEITYRGRHTCNQASHMLPPSQPLENQEPNSGMEQPQQQQENQQQSQDLLNIRSGLKVITEGLDSHEQSVPPSHFLSGSNFKAENQVFSPPTVDKSFKGIYSQSNFISPTTSGKSYFSASSSGMQHYLGGNQNFQTSEFELNDIISAATSTTDSPTVGLDFPFGNVEFDPNFTFDNSGFLP >Manes.12G113600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31422753:31423193:-1 gene:Manes.12G113600.v8.1 transcript:Manes.12G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKNRKAHFTAPSSVRRILMSAPLSTDLRQKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFTAEDIMQSVD >Manes.10G112700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26434587:26438399:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAAAPIKPSDHQLSLADLEAIKVIGKGSSGIVQLVRHKWTEQFFALKVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLTHAFMNKYDYLQVDLSSYFTNEVSQETSGK >Manes.10G112700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26433309:26438392:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLTHAFMNKYDYLQVDLSSYFTNEVSQETSGK >Manes.10G112700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26434589:26438392:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLTHAFMNKYDYLQVDLSSYFTNEVSQETSGK >Manes.10G112700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26434589:26438402:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAAAPIKPSDHQLSLADLEAIKVIGKGSSGIVQLVRHKWTEQFFALKVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLTHAFMNKYDYLQVDLSSYFTNEVSQETSGK >Manes.10G112700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26434589:26438392:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAAAPIKPSDHQLSLADLEAIKVIGKGSSGIVQLVRHKWTEQFFALKVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLVCM >Manes.10G112700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26433309:26438402:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAAAPIKPSDHQLSLADLEAIKVIGKGSSGIVQLVRHKWTEQFFALKVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLTHAFMNKYDYLQVDLSSYFTNEVSQETSGK >Manes.10G112700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26434589:26438392:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLVCM >Manes.10G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26433309:26438392:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAAAPIKPSDHQLSLADLEAIKVIGKGSSGIVQLVRHKWTEQFFALKVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLTHAFMNKYDYLQVDLSSYFTNEVSQETSGAFNLQIL >Manes.10G112700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26434587:26438400:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLTHAFMNKYDYLQVDLSSYFTNEVSQETSGK >Manes.10G112700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26433309:26438392:-1 gene:Manes.10G112700.v8.1 transcript:Manes.10G112700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGKGAALNGRKADLQLSLPSSPHGVSIADFLTESGTFVDGDLRVNRDGIRIVSQTETKAVIQLRIEEKARKAIARELRINLTSQCPYVVKFYDSFYNNGDISTVLEYMDGGSLAEFLKRNTRIAEPYLAAICKQVLQGLSYLHHEKHIIHRDLKPSNILINHKGEVKIADFGVSAIMASTSGQANTYVGTYHYMSPERISSEISEGSHDYKSDIWSMGIVMLECATGQFPYSSPDQGEVWANLFELMEAIVEQPEPCAPSDQFSSEFCSFISSCLQKDPKKRRSTSELLTHAFMNKYDYLQVDLSSYFTNEVSQETSGAFNLQIL >Manes.09G122000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32465678:32471214:-1 gene:Manes.09G122000.v8.1 transcript:Manes.09G122000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMQCLFRKALLFFLFSIVFFGGILAHSESEIPKRLRSAPHKNAGSNVIDGTGVESAIDFESSIDFESMSSRIGDRKGSYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGENHGAGNWVVIGILSGGIFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGTKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSQVASAATISVAFMEALSTMFESFSHDYNAEDASGFFVSLLFGLGPLLGGIILVTFALAFHLQHALLMGAACGIAFVLGAWRPLQLLVSSKMGVIPLIILLAFGAVFVHICSSRILSLAGRKRALANNLPTITGFPMSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHNALAAAALIGFVGPISAIGAILAGIDYSGLDHIMVLACGGLLPSFGRIIRRALSLDTRKGISGLVIGVGFATLCLTCTKLVCLHTPYCNSAPEAVR >Manes.09G122000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32465678:32471216:-1 gene:Manes.09G122000.v8.1 transcript:Manes.09G122000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMQCLFRKALLFFLFSIVFFGGILAHSESEIPKRLRSAPHKNAGSNVIDGTGVESAIDFESSIDFESMSSRIGDRKGSYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGENHGAGNWVVIGILSGGIFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGTKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSQVASAATISVAFMEALSTMFESFSHDYNAEDASGFFVSLLFGLGPLLGGIILVTFALAFHLQHALLMGAACGIAFVLGAWRPLQLLVSSKMGVIPLIILLAFGAVFVHICSSRILSLAGRKRALANNLPTITGFPMSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHNALAAAALIGFVGPISAIGAILAGIDYSGLDHIMVLACGGLLPSFGRIIRRALSLDTRKGISGLVIGVGFATLCLTCTKLVCLHTPYCNSAPEAVR >Manes.09G122000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32465678:32471214:-1 gene:Manes.09G122000.v8.1 transcript:Manes.09G122000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMQCLFRKALLFFLFSIVFFGGILAHSESEIPKRLRSAPHKNAGSNVIDGTGVESAIDFESSIDFESMSSRIGDRKGSYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGENHGAGNWVVIGILSGGIFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGTKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSQVASAATISVAFMEALSTMFESFSHDYNAEDASGFFVSLLFGLGPLLGGIILVTFALAFHLQHALLMGAACGIAFVLGAWRPLQLLVSSKMGVIPLIILLAFGAVFVHICSSRILSLAGRKRALANNLPTITGFPMSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHNALAAAALIGFVGPISAIGAILAGIDYSGLDHIMVLACGGLLPSFGRIIRRALSLDTRKGISGLVIGVGFATLCLTCTKLVCLHTPYCNSAPEAVR >Manes.09G122000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32465678:32471216:-1 gene:Manes.09G122000.v8.1 transcript:Manes.09G122000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMQCLFRKALLFFLFSIVFFGGILAHSESEIPKRLRSAPHKNAGSNVIDGTGVESAIDFESSIDFESMSSRIGDRKGSYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGENHGAGNWVVIGILSGGIFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGTKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSQVASAATISVAFMEALSTMFESFSHDYNAEDASGFFVSLLFGLGPLLGGIILVTFALAFHLQHALLMGAACGIAFVLGAWRPLQLLVSSKMGVIPLIILLAFGAVFVHICSSRILSLAGRKRALANNLPTITGFPMSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHNALAAAALIGFVGPISAIGAILAGIDYSGLDHIMVLACGGLLPSFGRIIRRALSLDTRKGISGLVIGVGFATLCLTCTKLVCLHTPYCNSAPEAVR >Manes.09G122000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32465678:32471214:-1 gene:Manes.09G122000.v8.1 transcript:Manes.09G122000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMQCLFRKALLFFLFSIVFFGGILAHSESEIPKRLRSAPHKNAGSNVIDGTGVESAIDFESSIDFESMSSRIGDRKGSYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGENHGAGNWVVIGILSGGIFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGTKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSQVASAATISVAFMEALSTMFESFSHDYNAEDASGFFVSLLFGLGPLLGGIILVTFALAFHLQHALLMGAACGIAFVLGAWRPLQLLVSSKMGVIPLIILLAFGAVFVHICSSRILSLAGRKRALANNLPTITGFPMSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHNALAAAALIGFVGPISAIGAILAGIDYSGLDHIMVLACGGLLPSFGRIIRRALSLDTRKGISGLVIGVGFATLCLTCTKLVCLHTPYCNSAPEAVR >Manes.09G122000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32465678:32471214:-1 gene:Manes.09G122000.v8.1 transcript:Manes.09G122000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTMQCLFRKALLFFLFSIVFFGGILAHSESEIPKRLRSAPHKNAGSNVIDGTGVESAIDFESSIDFESMSSRIGDRKGSYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWAGLCNGMAAGVMLAASFDLIQEGENHGAGNWVVIGILSGGIFILLCKKFLEQYGEVSMLDIKGADATKVVLVIGIMTLHSFGEGSGVGVSFAGTKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPSQVASAATISVAFMEALSTMFESFSHDYNAEDASGFFVSLLFGLGPLLGGIILVTFALAFHLQHALLMGAACGIAFVLGAWRPLQLLVSSKMGVIPLIILLAFGAVFVHICSSRILSLAGRKRALANNLPTITGFPMSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHNALAAAALIGFVGPISAIGAILAGIDYSGLDHIMVLACGGLLPSFGRIIRRALSLDTRKGISGLVIGVGFATLCLTCTKLVCLHTPYCNSAPEAVR >Manes.16G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31253382:31256760:-1 gene:Manes.16G106900.v8.1 transcript:Manes.16G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEDLEDLECDLGQNDSVDAKQLVKVDAKRALVGAGARILFYPTLLYNVFRNKIQAEFRWWDEVDQYLLLGAVPFPKDVPRLKQLGVGGVITLNEPYETLVSSSLYHAHGIEHLVIPTRDYLFAPSFVDISRAVDFIHENASCGRTTYVHCKAGRGRSTTIVLCYLVKYKHMTPTTALEYVRARRPRVLLAPSQWKAVQEYSRRRLPPTAQSPSGDAVLITKADLEGYHSACDDETVHKELAVVPKIKGRPMMAMLSCLFASLKVSGVSGPVTSRLPEARAC >Manes.10G111600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26285135:26285551:-1 gene:Manes.10G111600.v8.1 transcript:Manes.10G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVGKATKIFIFVVTVLIVLGLTLGFGLFRHKSHKCSGDSCHSSPPITFPNPNTPVNPSPPDSNPFYTDTQPTPPGSNPTSPPPPPPPDPTLAPPPPAPLVLSPPPPPIGPSITGSPPPSYSPPSNTVLVTPGPVHA >Manes.10G145300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31280188:31280382:1 gene:Manes.10G145300.v8.1 transcript:Manes.10G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAIIFTAASMSAVMAVEVPSPSPSPAPAPTSAATANLPVVGSLIGASLASLIALYLQ >Manes.02G044900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3697424:3699101:-1 gene:Manes.02G044900.v8.1 transcript:Manes.02G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVFFFFIFLAWHFNSSFAQTNYYNVLRYGAKPNGVTDSTNALFDAWLAACGSKGSSMIYVPKGRYLVGSLLFKGPCKSPDITIQIDGTLVAPTGYQHLGQAGNWLSFYGVAGVSIVGGALDAKGRHLWDCKAAGSNCPTGASTLSFTNAKNIKIKRLFSLNSQMFHIVLNGCHNVKIEGVKVKAPGNSPNTDGIHVQFATDVVILNSSIKTGDDCISIGPGTKNLWIEGIQCGPGHGISIGSLANEMDEEGVQNVTIKRTIFRNASNGFRIKSWARPSNGFVERIRFKRAIMYNVQNPIIIDQNYCPHNLNCPNQVSGIKINDVIYRGIRGISATPVAIKFDCSSKFPCSKIRLYNVNLTYPNQTAHSSCVNVLGDTIGIVKPSGCL >Manes.16G064500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25133757:25169829:-1 gene:Manes.16G064500.v8.1 transcript:Manes.16G064500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMERKQAIMEDLFFALCTEESLKLSKLLRIPPIRQSSQTWICRRQTLLPPILHHLTWILPLLFAKTKTATSMVGVSLSVDSSQTLSIIEYIKQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNVPGVGLGIGPKGGLGDHGDAVSRQFILTKASLVERRPENYEAITVRPLSAVRSLIRFAEEPQMFAIEYNDGCPIHVYASTSRDSLLAAVRDVLQTEVQNPVPVLPRLTMPGHCIDPPCGRVHLLLGTRRPTVDVESASMHLKHLAAAAKDAVAEGGSMPGSRAKLWRRIREFNACIPFSGVPPNIEVPEVALMALITMLPATPNLPPESPPLPPSSPKAAATVMGFISCLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAALIGSGPIDPSLLIDSKGEQHATIMHTKSVLFAHNGYVIILANRLKPMSVSPLLSMAVVEVLEAMICEPHGETTEYTVFVELLRQVAGLKRRLFALFAHPAESVRETVAVIMRTIAEEDAIAAESMRDASLRDASLLRHLLHAFYLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVQLEDANQEVSLISRRKRRSLKQQRGHFGRGITSQDPVNHEGGDPVRQANAGGLKGSENYQPYALDPHCGQSSTFHTVENLTSDAPSVVALQNEHSPASADRPSTNIHETAEPDVCNSVDSDTHVADFQNTGLLAPAQVVVEKTPVGSGRLLCNWHEFWRAFSLDHNRPDLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGGTIIETMTGQDSVPQISWNYSEFNVSYPSLSKEVCVGQYYLRLLLDSGSSGRAQDFPLRDSVAFFRALYHQFLCDADTGLTVDGAVPDELGASDDRCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYITIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVVVGGCVLAVDLLTVVHEASERTSIPLQSNLLAATAFMEPLKEWMFIGKDGAQVGPVEKDAIRGFWSKKEIDWTTKCWASGMVEWKRLLDIRELRWALAVRVPVLTSSQVGDAALSIVQSMVAAHSDLDDAGEIVTPTPRVKHILSSPRCFPHIAQAMLSGEPSIVEAAAALLKAVVTINPKAMIRLYSTGAFYFALSYPGSNLLSIAQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFTAAMVSDSDTPEIIWTHKMRADNLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEICFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEGDACSILEMSPGDVSSEDARKKYAFETSKEITSISQQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGNVLEPFKYAGYPMLLNAVTVDNDDNNFLSSDRARLLVAASELTWLTCASSSLNGGELVRDGGIKLLATLLSRCMCVVQLTTSASEPSAVIVTNVMHTFSVLSQFESARAEMLELSGLVDDIVHCTELELVPDAVDAALQTIAHVSVSSEFQDALLRTGVLWYLLPLLLQYDATAEESDKTESHGVGSSVQIAKNMHAIRASQALSRLSGLCIDGSSTPYNAPAADALRALLTPKLASMLKDQLPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDEQRASIGPNGSYSLKDSQVFSYEALSKEIFVGNVYLRVYNDQPEFEISEPEAFCVALIDFISFLVRNQFPVVSDAQSKLSSSGSSLETSETQNNTTDVSINGLDLDDSSAVSDGKSTDEEEMKLVKNLKLGLTALKNLLTSNPNLASIFSSKEKLLPLFECFSMPISSDGNILHLCLDVLSLLTAYARCLEAMVADGSSLLLLLQMLHSAPSCREGALHVLYTLASTPELAWAAAKHGGVVYILELLLPLQKDIPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSIIRDGPGEAVVSVIEQTTETPELVWTPAMAASLSDQIATMASDLYHEQMKGRIVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDTQPMDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSEEVKNGNYAEKTYASDDSSTSPAQIPKERGRLSCLRVLHQLAASTICAEAMAATSAGTLQVVPLLMRAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRSGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCNKVSEILNASDVWSAYKDQRHDLFLPWSVQSAAAGVADLIENSSPTLTYALTAPPPQAPAPTTLDSNGKESLIQ >Manes.16G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25133757:25169829:-1 gene:Manes.16G064500.v8.1 transcript:Manes.16G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNNLSSSSSANSASPLQEESEYLARYLVVKHSWRGRYKRILCISNVLIITLDPNTLNVTNSYDVGRDFEGASPILGRDENSNEFNLSLRTDGKGKFKAIKFSSGYRASLLTELHRIRWDRISAVAEFPVMHLRRRNGEWVPFKLKVTYVGVELVELKTGDPRWCLDFRDMNSPAVITLSDAYGKKASDYGGFVLCPLYGRKSKAFQAASDTTNSTIISNLTKTATSMVGVSLSVDSSQTLSIIEYIKQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNVPGVGLGIGPKGGLGDHGDAVSRQFILTKASLVERRPENYEAITVRPLSAVRSLIRFAEEPQMFAIEYNDGCPIHVYASTSRDSLLAAVRDVLQTEVQNPVPVLPRLTMPGHCIDPPCGRVHLLLGTRRPTVDVESASMHLKHLAAAAKDAVAEGGSMPGSRAKLWRRIREFNACIPFSGVPPNIEVPEVALMALITMLPATPNLPPESPPLPPSSPKAAATVMGFISCLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAALIGSGPIDPSLLIDSKGEQHATIMHTKSVLFAHNGYVIILANRLKPMSVSPLLSMAVVEVLEAMICEPHGETTEYTVFVELLRQVAGLKRRLFALFAHPAESVRETVAVIMRTIAEEDAIAAESMRDASLRDASLLRHLLHAFYLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVQLEDANQEVSLISRRKRRSLKQQRGHFGRGITSQDPVNHEGGDPVRQANAGGLKGSENYQPYALDPHCGQSSTFHTVENLTSDAPSVVALQNEHSPASADRPSTNIHETAEPDVCNSVDSDTHVADFQNTGLLAPAQVVVEKTPVGSGRLLCNWHEFWRAFSLDHNRPDLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGGTIIETMTGQDSVPQISWNYSEFNVSYPSLSKEVCVGQYYLRLLLDSGSSGRAQDFPLRDSVAFFRALYHQFLCDADTGLTVDGAVPDELGASDDRCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYITIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVVVGGCVLAVDLLTVVHEASERTSIPLQSNLLAATAFMEPLKEWMFIGKDGAQVGPVEKDAIRGFWSKKEIDWTTKCWASGMVEWKRLLDIRELRWALAVRVPVLTSSQVGDAALSIVQSMVAAHSDLDDAGEIVTPTPRVKHILSSPRCFPHIAQAMLSGEPSIVEAAAALLKAVVTINPKAMIRLYSTGAFYFALSYPGSNLLSIAQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFTAAMVSDSDTPEIIWTHKMRADNLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEICFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEGDACSILEMSPGDVSSEDARKKYAFETSKEITSISQQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGNVLEPFKYAGYPMLLNAVTVDNDDNNFLSSDRARLLVAASELTWLTCASSSLNGGELVRDGGIKLLATLLSRCMCVVQLTTSASEPSAVIVTNVMHTFSVLSQFESARAEMLELSGLVDDIVHCTELELVPDAVDAALQTIAHVSVSSEFQDALLRTGVLWYLLPLLLQYDATAEESDKTESHGVGSSVQIAKNMHAIRASQALSRLSGLCIDGSSTPYNAPAADALRALLTPKLASMLKDQLPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDEQRASIGPNGSYSLKDSQVFSYEALSKEIFVGNVYLRVYNDQPEFEISEPEAFCVALIDFISFLVRNQFPVVSDAQSKLSSSGSSLETSETQNNTTDVSINGLDLDDSSAVSDGKSTDEEEMKLVKNLKLGLTALKNLLTSNPNLASIFSSKEKLLPLFECFSMPISSDGNILHLCLDVLSLLTAYARCLEAMVADGSSLLLLLQMLHSAPSCREGALHVLYTLASTPELAWAAAKHGGVVYILELLLPLQKDIPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSIIRDGPGEAVVSVIEQTTETPELVWTPAMAASLSDQIATMASDLYHEQMKGRIVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDTQPMDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSEEVKNGNYAEKTYASDDSSTSPAQIPKERGRLSCLRVLHQLAASTICAEAMAATSAGTLQVVPLLMRAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRSGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCNKVSEILNASDVWSAYKDQRHDLFLPWSVQSAAAGVADLIENSSPTLTYALTAPPPQAPAPTTLDSNGKESLIQ >Manes.16G064500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25133757:25169829:-1 gene:Manes.16G064500.v8.1 transcript:Manes.16G064500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNNLSSSSSANSASPLQEESEYLARYLVVKHSWRGRYKRILCISNVLIITLDPNTLNVTNSYDVGRDFEGASPILGRDENSNEFNLSLRTDGKGKFKAIKFSSGYRASLLTELHRIRWDRISAVAEFPVMHLRRRNGEWVPFKLKVTYVGVELVELKTGDPRWCLDFRDMNSPAVITLSDAYGKKASDYGGFVLCPLYGRKSKAFQAASDTTNSTIISNLTKTATSMVGVSLSVDSSQTLSIIEYIKQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNVPGVGLGIGPKGGLGDHGDAVSRQFILTKASLVERRPENYEVQNPVPVLPRLTMPGHCIDPPCGRVHLLLGTRRPTVDVESASMHLKHLAAAAKDAVAEGGSMPGSRAKLWRRIREFNACIPFSGVPPNIEVPEVALMALITMLPATPNLPPESPPLPPSSPKAAATVMGFISCLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAALIGSGPIDPSLLIDSKGEQHATIMHTKSVLFAHNGYVIILANRLKPMSVSPLLSMAVVEVLEAMICEPHGETTEYTVFVELLRQVAGLKRRLFALFAHPAESVRETVAVIMRTIAEEDAIAAESMRDASLRDASLLRHLLHAFYLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVQLEDANQEVSLISRRKRRSLKQQRGHFGRGITSQDPVNHEGGDPVRQANAGGLKGSENYQPYALDPHCGQSSTFHTVENLTSDAPSVVALQNEHSPASADRPSTNIHETAEPDVCNSVDSDTHVADFQNTGLLAPAQVVVEKTPVGSGRLLCNWHEFWRAFSLDHNRPDLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGGTIIETMTGQDSVPQISWNYSEFNVSYPSLSKEVCVGQYYLRLLLDSGSSGRAQDFPLRDSVAFFRALYHQFLCDADTGLTVDGAVPDELGASDDRCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYITIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVVVGGCVLAVDLLTVVHEASERTSIPLQSNLLAATAFMEPLKEWMFIGKDGAQVGPVEKDAIRGFWSKKEIDWTTKCWASGMVEWKRLLDIRELRWALAVRVPVLTSSQVGDAALSIVQSMVAAHSDLDDAGEIVTPTPRVKHILSSPRCFPHIAQAMLSGEPSIVEAAAALLKAVVTINPKAMIRLYSTGAFYFALSYPGSNLLSIAQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFTAAMVSDSDTPEIIWTHKMRADNLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEICFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEGDACSILEMSPGDVSSEDARKKYAFETSKEITSISQQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGNVLEPFKYAGYPMLLNAVTVDNDDNNFLSSDRARLLVAASELTWLTCASSSLNGGELVRDGGIKLLATLLSRCMCVVQLTTSASEPSAVIVTNVMHTFSVLSQFESARAEMLELSGLVDDIVHCTELELVPDAVDAALQTIAHVSVSSEFQDALLRTGVLWYLLPLLLQYDATAEESDKTESHGVGSSVQIAKNMHAIRASQALSRLSGLCIDGSSTPYNAPAADALRALLTPKLASMLKDQLPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDEQRASIGPNGSYSLKDSQVFSYEALSKEIFVGNVYLRVYNDQPEFEISEPEAFCVALIDFISFLVRNQFPVVSDAQSKLSSSGSSLETSETQNNTTDVSINGLDLDDSSAVSDGKSTDEEEMKLVKNLKLGLTALKNLLTSNPNLASIFSSKEKLLPLFECFSMPISSDGNILHLCLDVLSLLTAYARCLEAMVADGSSLLLLLQMLHSAPSCREGALHVLYTLASTPELAWAAAKHGGVVYILELLLPLQKDIPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSIIRDGPGEAVVSVIEQTTETPELVWTPAMAASLSDQIATMASDLYHEQMKGRIVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDTQPMDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSEEVKNGNYAEKTYASDDSSTSPAQIPKERGRLSCLRVLHQLAASTICAEAMAATSAGTLQVVPLLMRAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRSGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCNKVSEILNASDVWSAYKDQRHDLFLPWSVQSAAAGVADLIENSSPTLTYALTAPPPQAPAPTTLDSNGKESLIQ >Manes.16G064500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25133757:25169829:-1 gene:Manes.16G064500.v8.1 transcript:Manes.16G064500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNNLSSSSSANSASPLQEESEYLARYLVVKHSWRGRYKRILCISNVLIITLDPNTLNVTNSYDVGRDFEGASPILGRDENSNEFNLSLRTDGKGKFKAIKFSSGYRASLLTELHRIRWDRISAVAEFPVMHLRRRNGEWVPFKLKVTYVGVELVELKTGDPRWCLDFRDMNSPAVITLSDAYGKKASDYGGFVLCPLYGRKSKAFQAASDTTNSTIISNLTKTATSMVGVSLSVDSSQTLSIIEYIKQRAKEAVGAEETPCGGWSVTRLRSAAHGTLNVPGVGLGIGPKGGLGDHGDAVSRQFILTKASLVERRPENYEAITVRPLSAVRSLIRFAEEPQMFAIEYNDGCPIHVQNPVPVLPRLTMPGHCIDPPCGRVHLLLGTRRPTVDVESASMHLKHLAAAAKDAVAEGGSMPGSRAKLWRRIREFNACIPFSGVPPNIEVPEVALMALITMLPATPNLPPESPPLPPSSPKAAATVMGFISCLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAALIGSGPIDPSLLIDSKGEQHATIMHTKSVLFAHNGYVIILANRLKPMSVSPLLSMAVVEVLEAMICEPHGETTEYTVFVELLRQVAGLKRRLFALFAHPAESVRETVAVIMRTIAEEDAIAAESMRDASLRDASLLRHLLHAFYLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVQLEDANQEVSLISRRKRRSLKQQRGHFGRGITSQDPVNHEGGDPVRQANAGGLKGSENYQPYALDPHCGQSSTFHTVENLTSDAPSVVALQNEHSPASADRPSTNIHETAEPDVCNSVDSDTHVADFQNTGLLAPAQVVVEKTPVGSGRLLCNWHEFWRAFSLDHNRPDLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGGTIIETMTGQDSVPQISWNYSEFNVSYPSLSKEVCVGQYYLRLLLDSGSSGRAQDFPLRDSVAFFRALYHQFLCDADTGLTVDGAVPDELGASDDRCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYITIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVVVGGCVLAVDLLTVVHEASERTSIPLQSNLLAATAFMEPLKEWMFIGKDGAQVGPVEKDAIRGFWSKKEIDWTTKCWASGMVEWKRLLDIRELRWALAVRVPVLTSSQVGDAALSIVQSMVAAHSDLDDAGEIVTPTPRVKHILSSPRCFPHIAQAMLSGEPSIVEAAAALLKAVVTINPKAMIRLYSTGAFYFALSYPGSNLLSIAQLFAVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFTAAMVSDSDTPEIIWTHKMRADNLIRQVLQHLGDFPQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEICFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEGDACSILEMSPGDVSSEDARKKYAFETSKEITSISQQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGNVLEPFKYAGYPMLLNAVTVDNDDNNFLSSDRARLLVAASELTWLTCASSSLNGGELVRDGGIKLLATLLSRCMCVVQLTTSASEPSAVIVTNVMHTFSVLSQFESARAEMLELSGLVDDIVHCTELELVPDAVDAALQTIAHVSVSSEFQDALLRTGVLWYLLPLLLQYDATAEESDKTESHGVGSSVQIAKNMHAIRASQALSRLSGLCIDGSSTPYNAPAADALRALLTPKLASMLKDQLPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDEQRASIGPNGSYSLKDSQVFSYEALSKEIFVGNVYLRVYNDQPEFEISEPEAFCVALIDFISFLVRNQFPVVSDAQSKLSSSGSSLETSETQNNTTDVSINGLDLDDSSAVSDGKSTDEEEMKLVKNLKLGLTALKNLLTSNPNLASIFSSKEKLLPLFECFSMPISSDGNILHLCLDVLSLLTAYARCLEAMVADGSSLLLLLQMLHSAPSCREGALHVLYTLASTPELAWAAAKHGGVVYILELLLPLQKDIPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSIIRDGPGEAVVSVIEQTTETPELVWTPAMAASLSDQIATMASDLYHEQMKGRIVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDTQPMDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSEEVKNGNYAEKTYASDDSSTSPAQIPKERGRLSCLRVLHQLAASTICAEAMAATSAGTLQVVPLLMRAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRSGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCNKVSEILNASDVWSAYKDQRHDLFLPWSVQSAAAGVADLIENSSPTLTYALTAPPPQAPAPTTLDSNGKESLIQ >Manes.18G144100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27575516:27578387:-1 gene:Manes.18G144100.v8.1 transcript:Manes.18G144100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEEDESLIIYLKKELEASLIRNESLEKGNQELRQEIIRLKAQISSLKAHDNERKSMLWKKLQNANESSKNDECQQKPSEFLKVSKQSADLSSPLPKMQESVPRKESQLPNLPPKLISPSVSSSPFSGVNKLPPTFAPPPPPPPTKMSPLSKSVRRVPEVAEFYRLLTRKSAHMENKSNSTATSGTAFTLNMIGEIENRSTHLSAIKSDVEKRKEFINTLIKEVECAAFKDISEVESFVKWLDVELSSLVDERAVLKHFAQWPERKADALREAAFNYRDLRTLDSEASSFEDNPKEPLMKALGKMQSLQDRLEGSINNTERTRESTVKKYRDFQIPWEWLLDSGFIGQMKLNSLKLAKEYMKRITKELLQHSESLDEENLLLQGARFAYRVHQFAGGFDTGTINAFQELKAAGMRIHN >Manes.18G144100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27575516:27578387:-1 gene:Manes.18G144100.v8.1 transcript:Manes.18G144100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEEDESLIIYLKKELEASLIRNESLEKGNQELRQEIIRLKAQISSLKAHDNERKSMLWKKLQNANESSKNDECQQKPSEFLKVSKQSADLSSPLPKMQESVPRKESQLPNLPPKLISPSVSSSPFSGVNKLPPTFAPPPPPPPTKMSPLSKSVRRVPEVAEFYRLLTRKSAHMENKSNSTATSGTAFTLNMIGEIENRSTHLSAIKSDVEKRKEFINTLIKEVECAAFKDISEVESFVKWLDVELSSLVDERAVLKHFAQWPERKADALREAAFNYRDLRTLDSEASSFEDNPKEPLMKALGKMQSLQDRRASWKEALTILKGQGKVRSRSIGISRSHGNGCWTQASLVR >Manes.18G092500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8544742:8549259:1 gene:Manes.18G092500.v8.1 transcript:Manes.18G092500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSASFGRSILSLRRDQVHSMEATHEASGHELELEAFQRNVTERFVDLFSVGSDDLLSLSWIRKLLDCFLGCQEEFRVILLNNRSHVCKPPVDRLIHDFFERCVKALDLCNAIRDGIEQIREWKKLIEIVLCALGNQKMLGEGQFRRAKKALVDLAISMLDERESTAAMAQRNRSFGRQNAASSRDQHHRNFGHFRSLSWSVSRSWSAARQLQAIGNNLAAPKANEIVATNGIAVTVYTMNSILLFVMWALVAAIPCQDRGLQVHFSIPRHFQWAAAMLSLHERVLEESRKRDRKNACGLLREIYLVDKCTKSLAELADSIQYPLTEEREAEVRLRVQELGRVCEVINEGLDPLERQIREVFHRIVHSRTEGLEALGRVNHND >Manes.06G035533.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10343394:10353527:1 gene:Manes.06G035533.v8.1 transcript:Manes.06G035533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPIYNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVVGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNNSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRM >Manes.05G090000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8197468:8198597:-1 gene:Manes.05G090000.v8.1 transcript:Manes.05G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKLDVEVHIKSSPEKFWDSIRSSTTLFPKAFPDQYKSIQVLEGDGKAPGSIRLFSYAEGSPLVKVSKERIDAVDEATKHVAYSVIDGDLLKYYKTFKGFISVGSKENGESLVKWSCEYEKASDDVEVPHVIKDFVVKNFKEVDEYILDHKA >Manes.01G155200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34175711:34179107:-1 gene:Manes.01G155200.v8.1 transcript:Manes.01G155200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGPSGFQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIIKFFAGLDIVDVLLVNKNGRFTGEAFVVFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAIAAEVNYEGIYDTDYHGSPPPSKAKRFSDKDQLEYTDILKMRGLPFSVKKPEIIEFFKEFKLVEERIHIACRPDGKATGEAYVEFVSVEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Manes.01G155200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34175712:34179106:-1 gene:Manes.01G155200.v8.1 transcript:Manes.01G155200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPRGAMLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGPSGFQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIIKFFAGLDIVDVLLVNKNGRFTGEAFVVFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAIAAEVNYEGIYDTDYHGSPPPSKAKRFSDKDQLEYTDILKMRGLPFSVKKPEIIEFFKEFKLVEERIHIACRPDGKATGEAYVEFVSVEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Manes.01G155200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34175711:34179107:-1 gene:Manes.01G155200.v8.1 transcript:Manes.01G155200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGPSGFQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIIKFFAGLDIVDVLLVNKNGRFTGEAFVVFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAIAAEVNYEGIYDTDYHGSPPPSKAKRFSDKDQLEYTDILKMRGLPFSVKKPEIIEFFKEFKLVEERIHIACRPDGKATGEAYVEFVSVEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Manes.01G155200.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34175712:34179106:-1 gene:Manes.01G155200.v8.1 transcript:Manes.01G155200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGPSGFQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIIKFFAGLDIVDVLLVNKNGRFTGEAFVVFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAIAAEVNYEGIYDTDYHGSPPPSKAKRFSDKDQLEYTDILKMRGLPFSVKKPEIIEFFKEFKLVEERIHIACRPDGKATGEAYVEFVSVEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Manes.01G155200.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34175712:34179106:-1 gene:Manes.01G155200.v8.1 transcript:Manes.01G155200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGPSGFQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIIKFFAGLDIVDVLLVNKNGRFTGEAFVVFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAIAAEVNYEGIYDTDYHGSPPPSKAKRFSDKDQLEYTDILKMRGLPFSVKKPEIIEFFKEFKLVEERIHIACRPDGKATGEAYVEFVSVEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Manes.01G155200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34175712:34179106:-1 gene:Manes.01G155200.v8.1 transcript:Manes.01G155200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPRGAMLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGPSGFQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIIKFFAGLDIVDVLLVNKNGRFTGEAFVVFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAIAAEVNYEGIYDTDYHGSPPPSKAKRFSDKDQLEYTDILKMRGLPFSVKKPEIIEFFKEFKLVEERIHIACRPDGKATGEAYVEFVSVEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Manes.01G155200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34175712:34179106:-1 gene:Manes.01G155200.v8.1 transcript:Manes.01G155200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFCPKTKTLSSFFLSLGIAIAAPLSSRSWSSPPHFVVSSVNPEISALSDETAEHFKDPKPCTDPEVSSGPSGFQPYSYGGGFQPPAFPVVRLRGLPFNCTDIDIIKFFAGLDIVDVLLVNKNGRFTGEAFVVFAGSMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAIAAEVNYEGIYDTDYHGSPPPSKAKRFSDKDQLEYTDILKMRGLPFSVKKPEIIEFFKEFKLVEERIHIACRPDGKATGEAYVEFVSVEEAKRAMSKDKMTIGSRYVELFPSTPDEARRAESRSRQ >Manes.06G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21898669:21899796:-1 gene:Manes.06G083700.v8.1 transcript:Manes.06G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKPLPSAAKTVSSRKNSTVFPLGEQGPRNSPLATSPPIKLLTRVEQLKLLSKAEKAGLLSAAEKFGLSLSTIEKLGLLSKAEELGVLSAATDPGTPGALLSLSLGLLLLGPFCVYLVPEDYPWQVALQVVVALVSVVGGSASFAASNFVSNLQKSN >Manes.14G164000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25909051:25910019:1 gene:Manes.14G164000.v8.1 transcript:Manes.14G164000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFKSLAAISMLLAMSLTVSESRVQRKDLSVDLGGIGLGVGAGLGIGLGGGSGSGAGAGSGSGSGSGSSSSSSSASSSSSSSSGSGAGSEAGSYAGSYAGSRAGSRSGGNQGQGSGRGHGSGSGSGYGKGSGGGGGSGQGEGYGEGHGEGYGSGDGGN >Manes.05G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23239860:23243003:1 gene:Manes.05G131000.v8.1 transcript:Manes.05G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGTRVINHHSPSTTNPPTPETSGNSNKPPGEPSSASSNNNSPENVGAGVENGSSKKETTTYQHSGKVISPNLKIFTLTELKSATRNFRPDTVLGEGGFGRVFKGWIDEKTYAPSKVGVGMAVAVKKSNPDSLQGLEEWQSEVKFLGKFSHPNLVKLLGYCWEEKQFLLVYEYMQKGSLENHLFRKGAEPLSWEVRLKVAIGAAQGLAFLHTSEKSVIYRDFKTSNILLDGDYNAKLSDFGLAKLGPINGNSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTNRPTGEHNLIDWARPSLSDKRKLKKIMDPRLEEQYPIKGAMQAAELIIQCLGSDPKTRPSMEEVLESLEKINAIKEKPKESKVKAKKLCSNRRQEQRSTHPNRSPLRTRGLIPLE >Manes.04G051301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:16612324:16613367:-1 gene:Manes.04G051301.v8.1 transcript:Manes.04G051301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVSAIQSLNQRVVLLDISRSNSETQGKLPAQTETNPRQNVSAITLRSGKELQNASYEQEKQVAQEPIDLEASPAHSEEPVAQRADQKIRSHIPPPFPKRFKRTQKEKEEKEILETFRKVEINIPLLDAVKQIPRYAKFLKELCTNRRKLAEREKVSVGEVVTAVIKRELPTKCKDKGMFAIFCKIGNVGIKKAMCDLGASINVMPLSIYKSLNACALKDTRVVIQLADRSVVYPIGVLEDVLVQVDELVFSADFYVIDTKEDSSNASSDILLGRPFLSTARTKIDVHDGTLTMEFEGEVIKFNVYDVMKYPHDMSPVYGLDIIDCLSQ >Manes.05G079400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6500245:6501402:1 gene:Manes.05G079400.v8.1 transcript:Manes.05G079400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGVELSLLLVLALMLCHGATAQSGCTNVVMSLAPCLNYVTGNSSTPSSSCCSKLANVVQSQPQCLCALLNDGGSSVGVNINQTLALSLPGACDVQTPPVSRCNSANGPASSPASPPADSSDDTPSIPSIPGGSGSKTVPTADGTSDASITRIQLQLTLFIISIVLCASNAMGF >Manes.11G010400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1081896:1085363:-1 gene:Manes.11G010400.v8.1 transcript:Manes.11G010400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPIVKWAQRSDKLYLTVELPDAKDVKLKLEPEGKFSFSATKDDIPYEADIELFDKVNVEESKYNFGIRSIVYVIKKAEQKWWSRLVKQEGKPPVFLKVDWDKWVEEDDENDAGRLDFDGMDFSKLNMGGDDFDEEELKDEEEGAKVKEAEEGGQAAEAKEAESSTSAAQEAKA >Manes.11G010400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1082005:1085363:-1 gene:Manes.11G010400.v8.1 transcript:Manes.11G010400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPIVKWAQRSDKLYLTVELPDAKDVKLKLEPEGKFSFSATKDDIPYEADIELFDKVNVEESKYNFGIRSIVYVIKKAEQKWWSRLVKQEGKPPVFLKVDWDKWVEEDDENDAGRLDFDGMDFSKEQKSRKQRKAAKQQKRKKQNLAHQLLRKLRLDCFARRPA >Manes.11G010400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1083627:1085206:-1 gene:Manes.11G010400.v8.1 transcript:Manes.11G010400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPIVKWAQRSDKLYLTVELPDAKDVKLKLEPEGKFSFSATKDDIPYEADIELFDKVNVEESKYNFGIRSIVYVIKKAEQKWWSRLVKQEGKPPVFLKVDWDKWVEEDDENDAGRLDFDGMDFSKLNMGGDDFDEEELKDEEG >Manes.11G010400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1082005:1085363:-1 gene:Manes.11G010400.v8.1 transcript:Manes.11G010400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPIVKWAQRSDKLYLTVELPDAKDVKLKLEPEGKFSFSATKDDIPYEADIELFDKVNVEESKYNFGIRSIVYVIKKAEQKWWSRLVKQEGKPPVFLKVDWDKWVEEDDENDAGRLDFDGMDFSKLNMGGDDFDEEELKDEEEGAKVKEAEEGGQAAEAKEAESSTSAAQEAKA >Manes.11G010400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1081896:1085363:-1 gene:Manes.11G010400.v8.1 transcript:Manes.11G010400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPIVKWAQRSDKLYLTVELPDAKDVKLKLEPEGKFSFSATKDDIPYEADIELFDKVNVEESKYNFGIRSIVYVIKKAEQKWWSRLVKQEGKPPVFLKVDWDKWVEEDDENDAGRLDFDGMDFSKEQKSRKQRKAAKQQKRKKQNLAHQLLRKLRLDCFARRPA >Manes.10G103100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25093781:25099184:-1 gene:Manes.10G103100.v8.1 transcript:Manes.10G103100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRDVLEPETGIFAVALRRHENEAKKKLDTVQGWKTSLIKAANLISPSFRVIRDARTEKDFREKLDKVKRWKIALTKVANLAGHDSHIIRQESELVDKIVNDVWKRVKQVSPSVSDSLVGIDSQVEHIKALLLIGFSDVRILGIWGMGGIGKTTVAGAVFKQIACQFEGCCFLANIGKESEKSGGLTRLGEELLSKVLQEREVRINTPDIESSYFKDMLHRKKVLIVLDDVNNTEQLEFLAGDHCWFGTGSRIIITSRDKQVLRKRVDVMYEVKELNYSEALHLFSRNAFKQSNPLDDFVFLSNLVVRYARGNPLALKVLGSMLFDKSKIEWESALKKLEKGPQMNIQHVLKLSYDNLDEEEQHIFLHIACLFEGEDRDSVVRALDGCGFSTDIGIGLDSLPRELRYLYWDGYPLASLPANLPTNLVELNLPCSKVEMPWEGAKVPSTISQLNKLTVMSLKHSKNISSLPTNVDLPSLKTLDLYGCSNLNKFPEVSRNVRYLYLNETAIEEVPLSIECLSKLVILNMKSCTKLKCLPSTICKLKSLEIFILSGCTSLDTFPEILETMDHLQHLDLDETPIVNLSESICNLKALQVLDLSDCSKLENLPKNIKNLHFLEELRARGCNLLKLPAELKYLSSIVELNLSGNSFDRISADIEHLSKLRWLNVSSCKQLQSLPELPPRIKYVNARDCVSLESISGLKQLFEQGYSNSLTDETFVFTNCYKLDHEKWGDIVARAQLKIQHFAMGGKCYNRELYPASSVCFTYPASEIPEWFTGKSIGNSVTIQHLPPHWLNDRFLGFAVCVIVAFDDHFICDFPRGVISCKCNFENNYAGDSSHIFTLESWKYFPARSNGSKHVFLWYDCRWYDTIVAKSDWLNECWCNKVSFEFSAEILDCYIGANVQEEGNANCPQVEKCGVHPLYYKDE >Manes.10G103100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25093932:25099184:-1 gene:Manes.10G103100.v8.1 transcript:Manes.10G103100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSASASTQNCKYDVFLSFRGADTRNNFISHLHSALGRKSIRTFIDDELRRGEEITSTLMRIIEESKSSVIIFSKNYASSTYCLDELEKIMECHECYGQIVIPIFFHVNPSDVLEPETGIFAVALRRHENEAKKKLDTVQGWKTSLIKAANLISPSFRVIRDARTEKDFREKLDKVKRWKIALTKVANLAGHDSHIIRQESELVDKIVNDVWKRVKQVSPSVSDSLVGIDSQVEHIKALLLIGFSDVRILGIWGMGGIGKTTVAGAVFKQIACQFEGCCFLANIGKESEKSGGLTRLGEELLSKVLQEREVRINTPDIESSYFKDMLHRKKVLIVLDDVNNTEQLEFLAGDHCWFGTGSRIIITSRDKQVLRKRVDVMYEVKELNYSEALHLFSRNAFKQSNPLDDFVFLSNLVVRYARGNPLALKVLGSMLFDKSKIEWESALKKLEKGPQMNIQHVLKLSYDNLDEEEQHIFLHIACLFEGEDRDSVVRALDGCGFSTDIGIGTLVDKSLVTIKNNKLKMHDLLREVGREIVRRESKRPSERSRLWNHDDIYKVFEENNGTEAIEGIILDTSRIQKLELNPNAFARMSNLKFLIFRMSSNHGDFEEECKLQLPTGLDSLPRELRYLYWDGYPLASLPANLPTNLVELNLPCSKVEMPWEGAKVPSTISQLNKLTVMSLKHSKNISSLPTNVDLPSLKTLDLYGCSNLNKFPEVSRNVRYLYLNETAIEEVPLSIECLSKLVILNMKSCTKLKCLPSTICKLKSLEIFILSGCTSLDTFPEILETMDHLQHLDLDETPIVNLSESICNLKALQVLDLSDCSKLENLPKNIKNLHFLEELRARGCNLLKLPAELKYLSSIVELNLSGNSFDRISADIEHLSKLRWLNVSSCKQLQSLPELPPRIKYVNARDCVSLESISGLKQLFEQGYSNSLTDETFVFTNCYKLDHEKWGDIVARAQLKIQHFAMGGKCYNRELYPASSVCFTYPASEIPEWFTGKSIGNSVTIQHLPPHWLNDRFLGFAVCVIVAFDDHFICDFPRGVISCKCNFENNYAGDSSHIFTLESWKYFPARSNGSKHVFLWYDCRWYDTIVAKSDWLNECWCNKVSFEFSAEILDCYIGANVQEEGNANCPQVEKCGVHPLYYKDE >Manes.10G103100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25093933:25099184:-1 gene:Manes.10G103100.v8.1 transcript:Manes.10G103100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRDVLEPETGIFAVALRRHENEAKKKLDTVQGWKTSLIKAANLISPSFRVIRDARTEKDFREKLDKVKRWKIALTKVANLAGHDSHIIRQESELVDKIVNDVWKRVKQVSPSVSDSLVGIDSQVEHIKALLLIGFSDVRILGIWGMGGIGKTTVAGAVFKQIACQFEGCCFLANIGKESEKSGGLTRLGEELLSKVLQEREVRINTPDIESSYFKDMLHRKKVLIVLDDVNNTEQLEFLAGDHCWFGTGSRIIITSRDKQVLRKRVDVMYEVKELNYSEALHLFSRNAFKQSNPLDDFVFLSNLVVRYARGNPLALKVLGSMLFDKSKIEWESALKKLEKGPQMNIQHVLKLSYDNLDEEEQHIFLHIACLFEGEDRDSVVRALDGCGFSTDIGIGTLVDKSLVTIKNNKLKMHDLLREVGREIVRRESKRPSERSRLWNHDDIYKVFEENNGTEAIEGIILDTSRIQKLELNPNAFARMSNLKFLIFRMSSNHGDFEEECKLQLPTGLDSLPRELRYLYWDGYPLASLPANLPTNLVELNLPCSKVEMPWEGAKVPSTISQLNKLTVMSLKHSKNISSLPTNVDLPSLKTLDLYGCSNLNKFPEVSRNVRYLYLNETAIEEVPLSIECLSKLVILNMKSCTKLKCLPSTICKLKSLEIFILSGCTSLDTFPEILETMDHLQHLDLDETPIVNLSESICNLKALQVLDLSDCSKLENLPKNIKNLHFLEELRARGCNLLKLPAELKYLSSIVELNLSGNSFDRISADIEHLSKLRWLNVSSCKQLQSLPELPPRIKYVNARDCVSLESISGLKQLFEQGYSNSLTDETFVFTNCYKLDHEKWGDIVARAQLKIQHFAMGGKCYNRELYPASSVCFTYPASEIPEWFTGKSIGNSVTIQHLPPHWLNDRFLGFAVCVIVAFDDHFICDFPRGVISCKCNFENNYAGDSSHIFTLESWKYFPARSNGSKHVFLWYDCRWYDTIVAKSDWLNECWCNKVSFEFSAEILDCYIGANVQEEGNANCPQVEKCGVHPLYYKDE >Manes.10G103100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25093781:25099184:-1 gene:Manes.10G103100.v8.1 transcript:Manes.10G103100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRDVLEPETGIFAVALRRHENEAKKKLDTVQGWKTSLIKAANLISPSFRVIRDARTEKDFREKLDKVKRWKIALTKVANLAGHDSHIIRQESELVDKIVNDVWKRVKQVSPSVSDSLVGIDSQVEHIKALLLIGFSDVRILGIWGMGGIGKTTVAGAVFKQIACQFEGCCFLANIGKESEKSGGLTRLGEELLSKVLQEREVRINTPDIESSYFKDMLHRKKVLIVLDDVNNTEQLEFLAGDHCWFGTGSRIIITSRDKQVLRKRVDVMYEVKELNYSEALHLFSRNAFKQSNPLDDFVFLSNLVVRYARGNPLALKVLGSMLFDKSKIEWESALKKLEKGPQMNIQHVLKLSYDNLDEEEQHIFLHIACLFEGEDRDSVVRALDGCGFSTDIGIGTLVDKSLVTIKNNKLKMHDLLREVGREIVRRESKRPSERSRLWNHDDIYKVFEENNGTEAIEGIILDTSRIQKLELNPNAFARMSNLKFLIFRMSSNHGDFEEECKLQLPTGLDSLPRELRYLYWDGYPLASLPANLPTNLVELNLPCSKVEMPWEGAKVPSTISQLNKLTVMSLKHSKNISSLPTNVDLPSLKTLDLYGCSNLNKFPEVSRNVRYLYLNETAIEEVPLSIECLSKLVILNMKSCTKLKCLPSTICKLKSLEIFILSGCTSLDTFPEILETMDHLQHLDLDETPIVNLSESICNLKALQVLDLSDCSKLENLPKNIKNLHFLEELRARGCNLLKLPAELKYLSSIVELNLSGNSFDRISADIEHLSKLRWLNVSSCKQLQSLPELPPRIKYVNARDCVSLESISGLKQLFEQGYSNSLTDETFVFTNCYKLDHEKWGDIVARAQLKIQHFAMGGKCYNRELYPASSVCFTYPASEIPEWFTGKSIGNSVTIQHLPPHWLNDRFLGFAVCVIVAFDDHFICDFPRGVISCKCNFENNYAGDSSHIFTLESWKYFPARSNGSKHVFLWYDCRWYDTIVAKSDWLNECWCNKVSFEFSAEILDCYIGANVQEEGNANCPQVEKCGVHPLYYKDE >Manes.04G055244.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:16037824:16100729:1 gene:Manes.04G055244.v8.1 transcript:Manes.04G055244.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIQFGKKSYLVFAVNGQRFELSTVDPTMTLLEFLRTQTPFKSVKLGCGEGGCGACTVLLSKYDPLLDQVEDFTASSCLTLLCSINGCSITTTEGLGNSKVGFHSIHKRFSGFHASQCGFCTPGMCMSLFGALVNAEKTDRPEPSPRFSKMTVVEAEKAIAGNLCRCTGYRPIADACKSFAFNVDIEDLGFNSFWKKGERQEVNISGIPLYNHEICTFPAFLKREITPPFMLINSKRCSWHQPSSFEELQSLLKCCDADNLVRMKLVVGNTSMGYHRELEHYDRYIDLRYIPELSMIRRDHTGIEIGAAVTISKAIEALREENKAEFISAAASKLAFEKIADHMEKIAAKFIRNIGSIGGNLVMAQGKHFPSDIATVLLAASSFVHIITGSLHEKLSLEEFLERPPLDSKSVLVSVRIPNCRSIEDVYPERDSKLLFETYRAAPRPLGNALPFMNAAFLAEVSYSKSSSGSMLNSCKLAFGAFGTKHAIRAREVEEFLTGKLLTTVVLYEAIKLVKAIVVPADGTLHPAYRSSLAVGFLFDFLGQLVESNSNGRLNGYSSNSIFEDVKLKEKSDNLDHVKYPALLSSSKQVIPLNQEYHPIGRPITKSGSALQASGEAVYVDDIPSPGNCLYGSFIYSTKPFARVKGIKFSSKSLPDGVTAVISFKDIPKGGQNVGLEFSFGPEPLFAEELTQYAGEPVAFVLADTQKHADIAAKLAVVDYDLENLEPPILTVEEAVKRSSLFEIPPVLYPKQVGDFSKGMAEADHRVHSAEIKLGSQYYFYMENQTALAVPDEDNCMVVYSSIQCPEHAQVAIAKCLGVPEHNVRVLTRRVGGGFGGKVMKSMLVATACALAAHKCQRPVRIYMDRKTDMIMVGGRHPMKITYSVGFKSNGKITALHLDILVNAGISLDISPLMPNAILTSLKKYDWGALSFDIKLCKTNLSSKSAMRAPGDLQGSYIAEAVIEQVASFLSMDPDSLRAINFHTYNSLKLFYDVSAGDPLEYTLITIWDKLATSSSFNQRTKMIKEFNRYNMWKKRGISRIPCVYAVSLRPTPGKVSILRDGSIVVEVGGIELGQGLWTKVKQMAAFTLSSIKCDGDADFLDKVRVIQADTLSLIQGGITGGSTTSESSCEAIRLCCEVLVERLMPLKKRLLMQTDSIRWEMLIQKAYLEAVNLSVNSYFVPDFASECYLNYGAAVSEVEVDLLTGQTTILRSDILYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYTTNSDGLVDIEGTWTYKIPTIDTIPKQFNVELLSSGYNSKRVLSSKASGEPPLLLAASVHCATRAAIREARKQLHSWGGLDSSYSTFELGVPATMPIVKELCGLDGVERYLLCKVGSN >Manes.14G065400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5471391:5475885:1 gene:Manes.14G065400.v8.1 transcript:Manes.14G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHKTPETLEVKLPDVKTLKAQNPDSMNLEAASGLSKLFGSSENSAVVESNFEVPVEENEKDNVELMNKCDGLEAQNSDASDSVDTVVAADVNNVGIEKASFLDDGIDGAIEKGKDSTLVELNENLVALGIILCEEVEVENSVKDEELKEDEELGFSDPVPGRKLEVCGGNISLYVDFSDSLSRVNFNNLKDMDGSGLFVSQKESKEMGDEEMEELTSKFLIGDIVWVKTKNQSWWPGKIYDPLDAGKYAMGEKQRNCRLVGHLGSSHVAWCLPSQLKPFHESFEQMTGRNKARSFLRAVDKAADEFGKCLKLEITCPCIREKVQQSSSIVEIPERVSLPESRFGEFSCSQVEPMKFLVQIKILAQAISNLDMLELTVAKSFLSAFYQSIGHSQLPMEQLWESTDHHEKSGDKLMAKSQIDAQVGGRNSGPAKGESQSIEDVVLLQKKNKDLATISGADLDTMADICKGNLLEGNGAPNDLASNSSKRKRKRCFEVKVEGEEVSLSASPSKEKMCILGSPTMVERSSELRERKKSKYLTYPYINWEHKDMPSDTEDPKAQKASQEKEGEKADAGQFVGSLLFSKSNATRFQKKWFNKFIVRNDASSNPDLINASVATLLSKLCFTAVDCLYPTESKNFDLIEWFFSRFRISVFHDESIYEMHCKNVMGSSNEALLGKDTQETSQTLSDVKTEQKMQKKKNENSARSKTKSLDRLSDVNVNMATDGLFVKHSCEMDPPTLNGKSGSKKKKKKQGRNPTDLHTNKTANIPDLNGNGTTTNLWVENSEQTSHVELEPNVREKPVDVNLNNATPTLLVDLQVTGPFSINAIPEQSMREEKITVSVPTSNGNSAVPSLLANGPSFIGSLAAEGKHSPKKRKRKDKSTSERATVVASVAGLDGNPAEPSVLAESEKRGRKKGASSRKPRKKSIARVPDINMNYNNANGEAPGTALLLTFTPGASLPSKEVLVATFGRFGSLKESEMQLSKDSGTAQVVFVRSIDAAEAVRSLEKCSPFGATLTNYQLHLLSTGAATEGFRTPANSYGSMPIPAEAPPIDFIRQNLEMMTSMLEKSGDNLSLEMRAKLETEIKGLLKKVTSMPSSSSS >Manes.05G130401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21990115:21991095:1 gene:Manes.05G130401.v8.1 transcript:Manes.05G130401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPFDCWLIWIHVNDDKAHHAPSKNKRRLKSLWSNL >Manes.12G002301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:442736:443068:-1 gene:Manes.12G002301.v8.1 transcript:Manes.12G002301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIPIPTSASIGTVTEISFISWQGLANSIKQRHEQPLHYLTHKLLREWDESRIGSNDENKALEDIIDPAKAEATIWVVEQFHRQFSSPQHLTKLWLSDPLHQDFVDSII >Manes.01G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30423386:30428048:1 gene:Manes.01G104700.v8.1 transcript:Manes.01G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTSTMAAKFAFFPPTPPCYQVAMDEASGKLMMMEMGTRENVDILKLDTKRGNRVVAVYFKNPAASLTLLYSHGNAADLGQMFDLFNELSLHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYRCLEERYGVKEEDVILYGQSVGSGPTLDLAARLMNLRAVILHSPIASGFRVMYPVKRTYWFDIYKNIDKMPMVNCPVLVIHGTADDVVDWSHGKQLWDLCKEKYEPLWVKGGNHCDLELYPQYIKHLKKFVSAVEKSPQLRNGPGPVADQMETPRKSTDCRETYTPNMDQKEKFTLNTEQREKPRVSTDCREKPRASIDRREKSRKSIDCPEKENHISDQPEKARNSIDRFGDMIRSVQLCNIACFKPATKAL >Manes.08G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1852689:1856135:1 gene:Manes.08G018100.v8.1 transcript:Manes.08G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGLVFCLLSGFSLAVLSAILFAEQNGPNPSKSTLLSSPYMSTTEKVWPKLELNWRIVLATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPSKEVPIIDYDLALLFQPMLMLGITIGVSLSVVFPYWLITVLIIILFLGTSSRSVFKGVEIWKEETILKKELAKQQETVVNSSVELLIDAEYEPLIAKEQKSEFQIICFNLNWRRLLVLLLVWVSFLLLQILKNDVAVCSAWYWVLFCLQFPIALAVFGYEAVKLYKEHKKRISTGNTESVCEASIEWTPMHIAFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMLFSSSLSVVEFYLLKRFPIPYALYLMAVSVLAGFWGQFFVRKLITILGRASLIVFILSGVIFASALTMGVVGIENSIAMIKNQEFMGFLGFCSSQ >Manes.S035416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:900219:900593:1 gene:Manes.S035416.v8.1 transcript:Manes.S035416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.02G041700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3416944:3418153:1 gene:Manes.02G041700.v8.1 transcript:Manes.02G041700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVKPQPLHNFPLSLKWGQTAALSAPSNHHHHRSSNSSLPTSALAPDTETESDPEQTTIRHPHRVGSRSARAHRFSFTSCTPLLPKPKNSSTENQQKQTTCLETEVAEKPQKQVTVLENCNGADAEEDEEEDKHKQEEEEGNSRPWKLRPRRGILAAKSRGELKEAVMVHVNEKKEREDTPQFQPKSMRLRGLVESTGGGAGTCLEKKEKRKFWIALSREEIEEDIFALTGSRPARRPRKRPKNVQKVLDNVFPGLWLVGTTADSYRVADPPVKR >Manes.02G041700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3416646:3419024:1 gene:Manes.02G041700.v8.1 transcript:Manes.02G041700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVKPQPLHNFPLSLKWGQTAALSAPSNHHHHRSSNSSLPTSALAPDTETESDPEQTTIRHPHRVGSRSARAHRFSFTSCTPLLPKPKNSSTENQQKQTTCLETEVAEKPQKQVTVLENCNGADAEEDEEEDKHKQEEEEGNSRPWKLRPRRGILAAKSRGELKEAVMVHVNEKKEREDTPQFQPKSMRLRGLVESTGGGAGTCLEKKEKRKFWIALSREEIEEDIFALTGSRPARRPRKRPKNVQKVLDNVFPGLWLVGTTADSYRVADPPVKR >Manes.02G041700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3416696:3421856:1 gene:Manes.02G041700.v8.1 transcript:Manes.02G041700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVKPQPLHNFPLSLKWGQTAALSAPSNHHHHRSSNSSLPTSALAPDTETESDPEQTTIRHPHRVGSRSARAHRFSFTSCTPLLPKPKNSSTENQQKQTTCLETEVAEKPQKQVTVLENCNGADAEEDEEEDKHKQEEEEGNSRPWKLRPRRGILAAKSRGELKEAVMVHVNEKKEREDTPQFQPKSMRLRGLVESTGGGAGTCLEKKEKRKFWIALSREEIEEDIFALTGSRPARRPRKRPKNVQKVLDNVFPGLWLVGTTADSYRVADPPVKR >Manes.02G041700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3416671:3421856:1 gene:Manes.02G041700.v8.1 transcript:Manes.02G041700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPVKPQPLHNFPLSLKWGQTAALSAPSNHHHHRSSNSSLPTSALAPDTETESDPEQTTIRHPHRVGSRSARAHRFSFTSCTPLLPKPKNSSTENQQKQTTCLETEVAEKPQKQVTVLENCNGADAEEDEEEDKHKQEEEEGNSRPWKLRPRRGILAAKSRGELKEAVMVHVNEKKEREDTPQFQPKSMRLRGLVESTGGGAGTCLEKKEKRKFWIALSREEIEEDIFALTGSRPARRPRKRPKNVQKVLDNVFPGLWLVGTTADSYRVADPPVKR >Manes.08G061200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7762598:7781448:-1 gene:Manes.08G061200.v8.1 transcript:Manes.08G061200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSWMIIKAVICIILMQSCRGLSSKGDDKIVRLPGQPKVSFQQYAGYITIDEKQKRALFYYFVEAETEPASKPLLLWLNGGPGCSSVGAGGFSEHGPFRTTDGKTLIRHQYSWNKEANILYLDSPAGVGFSYSVDTSFYNTVNDTITAQDSLKFLQNWLVKFPEYKSRDLFIAGESYGGHYVPQLAELIVLSGLHFNLKRIALGNPLLDFNTDANSRGDFFWSHGLISDSTYKLITETCSTSQLLREKLITGSLSVACKAVTDQLSKEIPDEINIQDVIADVCVSDGQSELKVYNHPLSKRFQPKSAENIDVCVEEKTSAYMNRKDVREALHAQLVGVSNWSICSEVLNYDKRNFEIPMIDVVASLIRSGIQVLVYSGDLDAVVPFRGSRTLVSGLATKLGLNATVSHRPWLHDKQVCRYRVD >Manes.08G061200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7777723:7781448:-1 gene:Manes.08G061200.v8.1 transcript:Manes.08G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSWMIIKAVICIILMQSCRGLSSKGDDKIVRLPGQPKVSFQQYAGYITIDEKQKRALFYYFVEAETEPASKPLLLWLNGGPGCSSVGAGGFSEHGPFRTTDGKTLIRHQYSWNKEANILYLDSPAGVGFSYSVDTSFYNTVNDTITAQDSLKFLQNWLVKFPEYKSRDLFIAGESYGGHYVPQLAELIVLSGLHFNLKRIALGNPLLDFNTDANSRGDFFWSHGLISDSTYKLITETCSTSQLLREKLITGSLSVACKAVTDQLSKEIPDEINIQDVIADVCVSDGQSELKVYNHPLSKRFQPKSAENIDVCVEEKTSAYMNRKDVREALHAQLVGVSNWSICSEVLNYDKRNFEIPMIDVVASLIRSGIQVLVYSGDLDAVVPFRGSRTLVSGLATKLGLNATVSHRPWLHDKQVGGWTQVYGDNLTFTTIRGGSHLVPFSSPKRSLAMFKAFVAGKPLA >Manes.05G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5757287:5771000:-1 gene:Manes.05G069900.v8.1 transcript:Manes.05G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPWLNPAPTYRPLETYWDTDEDAPGPRCGHTLTAVAPTNAHGPRLILFGGATAIEGGGSSPVPGIRLAGVTNSVHSYDVLTRKWTRIRPAGDPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDFTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRFLVSVSGNDGKRVLSDAWALDTAQKPYAWQQLNPEGDRPSARMYATASARSDGMFLLCGGRDASGTALGDAYGLLMHRNGQWEWNLAPGVSPSPRYQHAAVFVGAQLHVTGGALKGGRSVETETAVAVLDTAAGVWLGKNGIVSSPKTSKGHSDNDPSLELMRRCRHASASVGVRIYVYGGLRGDVLLDDFLAAESPTLQSDVHSPVLTSERASTITSPIINHSNANACEAFSDDRPELPSSVGLSMNKKSMEKLREASAAEAEAANAVWQAAQAASAISAEETSVSDGNSRAAVATSNGSDAEADVHLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMVPMNNDTPYTARKFTRQKSPQGLHKKIISMLLRPRNWKAPVNRRFFLDSYEVGELCFAAEQVFRNEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGEGDGIWAWTRFNQLFNVLPLAALIEKKIICMHGGIGRSIHSVEQIEKIERPITMDAGSVILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQMIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPEHVMDDTWMQELNIQRPPTPTRGRPQPDHDRNSLAYI >Manes.01G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32413550:32417415:-1 gene:Manes.01G131000.v8.1 transcript:Manes.01G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICALLKREIRLISRSVLRFIDRTVSRAMGCFFGCFRVRDDGRRHHLVSGSSRSKPTNANQDAVESKNRLSSLFLSEEREDFVYDDRKNHYSGSPQINKELINEAKFLKACGTLPETPSEIRKASEKLKVSAPLGKDLESSDFHSWLPNASIKKLQLDKETDQPPTPVKLYEERGNLSASSVHTPSSCMPNAQNIGRISISSVEDGEMGSPQTATNVPTKNKSVRFHCNLDTSSSKGSSSENGGQIFRKFESPADPSVSKPSPKPTPLKLSDEMQTPGTAFPANIELLANGKSRIRSQYVYPVLNPVENASQWKVLKEDDSSSLQLSSQTSEHLENSTPKSVGVKETSSVPQLKTEATLSSWFKPQQFAHANDGPNDGIASSKNNPCDRTIMDRPIIGMVAAHWNENEPSQIPPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESFISQRKQVTGRPIDFDEHDESDTALSKLQASTHSKSVVSF >Manes.01G131000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32413226:32417415:-1 gene:Manes.01G131000.v8.1 transcript:Manes.01G131000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICALLKREIRLISRSVLRFIDRTVSRAMGCFFGCFRVRDDGRRHHLVSGSSRSKPTDAVESKNRLSSLFLSEEREDFVYDDRKNHYSGSPQINKELINEAKFLKACGTLPETPSEIRKASEKLKVSAPLGKDLESSDFHSWLPNASIKKLQLDKETDQPPTPVKLYEERGNLSASSVHTPSSCMPNAQNIGRISISSVEDGEMGSPQTATNVPTKNKSVRFHCNLDTSSSKGSSSENGGQIFRKFESPADPSVSKPSPKPTPLKLSDEMQTPGTAFPANIELLANGKSRIRSQYVYPVLNPVENASQWKVLKEDDSSSLQLSSQTSEHLENSTPKSVGVKETSSVPQLKTEATLSSWFKPQQFAHANDGPNDGIASSKNNPCDRTIMDRPIIGMVAAHWNENEPSQIPPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESFISQRKQVTGRPIDFDEHDESDTALSKLQASTHSKSVVSF >Manes.06G164800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29133856:29136409:-1 gene:Manes.06G164800.v8.1 transcript:Manes.06G164800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEACGKNNNNNNKLRKGLWSPEEDDKLMNYMLNNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNLSSTASPNTSDSSSEPSKEATAASIGGGFISMQEQGMTPMYIYPSLSSSSSSNTSMQAMFLNQMMDPLPTFDHGLSMYGASVYFNNDASPCMTQIGVSGDHDFYGNQGILGSVNIGIEGELHIPPLESISIEENTKTEDMYDTNNNSKDPYSHVNRNNSICSNNNKAQNMAAGVGNLWQAGEELKVGDWDLEDLMKDVPSFPFLDFSS >Manes.11G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15096310:15098673:-1 gene:Manes.11G081500.v8.1 transcript:Manes.11G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.14G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12205684:12211149:1 gene:Manes.14G139200.v8.1 transcript:Manes.14G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPPKKHFLPLIFLISLFILFFFSHYHSPLPITPLSPNLNPNFSPINNDNKQLSPQNTPTFTFVIKVLAFNRPDSLSRCLHSLANATYDGDTVHLHVYIDHFPFANESLEVLDQQLENSHKILEFVDGFHWIFGNKVVHYRTGNMGLQAQWLEAWWPSSDDEFAFVVEDDLEVSPLFYKFVKAVILNYYYNINSSSPSIYGVSLQRPRFVPGKHGNKIQLGNGTRIFLYQLVGTWGQILFPKPWKEFRLWYDKHKAKGMKPFLDGMVTTGWYKRMGERIWTPWFIKFIHYSGYFNIYTNLGHERALSVSHRDAGVNYGKTAGPDSQLVHESSFDYNFLEMEPLSNLKWFDFCFREVLPGRVVRSVDNLESVLGSVQKQQTVLLVNIYGTSETITRNLLCHFESLNIKNYIFMGPHSDFLYDLARRGHPVIDGSQLLDNMRAQKIIRLQDSSTKLVDVLVKAYVTKKCLEYKYHSWIVDSNMLFVSNDLFHEFVNTCNYDFCAGKSLEISFVRSSSSAQKIWADGFLKQIATAIGQASLPAESRNFIYIVENLLEQNGLRINRVDETRFGMKIGANSVNQSSLEARKMVYWSMDMDLNLVQKQLEELGMWALDGDSSCKAVVCHQS >Manes.04G072000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27196245:27199616:-1 gene:Manes.04G072000.v8.1 transcript:Manes.04G072000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFQDSMERLRPLVGLKGWDYCVLWKLSDDQRFLEWIDCCCGGNENMQNGAEELQFPVSSSSAMACRDVIFQHPRTKSCELLAQLPSSMPLDSGVHAQTLLSNQPRWLNFSNSCDSSVLEGTVGTRALIPVAGGIIELFVTKQVSEDQNVINFITSQCSILMEQEAIINSTNMETGFSANVNMISEQQSRPFIADGHDTEHKDHQMNQFQAPVSPATALENLNLPYDISADRIHLCDMKFLQQFNYNDQENKNKNDMFFEGVQDMDALQKSMVMNNTENMHMKFTESSANKEQQGNDKDSVKQENGRSDSISDCSDQNDDENDAKYRRRPGRGPQAKNLFAERRRRKRLNGRLYDLRALVPKISNLNKAAILGDAIEFVKELQKQAKELQDELEEHSDDERAKNGNHNNNIPQEILNQNGGFVNGFDVGASEVSCSKLNHKASEISHDKGQQMEVQVEVAQIDGNEFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVTSFRGLVSNVLKVEKDSEMVQADYVRDSLLELTRDPPTGIWSEMAKASENGSGMDYHHHQHHHHHNHHHQLHNGHVNSNHHHLHS >Manes.04G072000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27196245:27199616:-1 gene:Manes.04G072000.v8.1 transcript:Manes.04G072000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFQDSMERLRPLVGLKGWDYCVLWKLSDDQRFLEWIDCCCGGNENMQNGAEELQFPVSSSSAMACRDVIFQHPRTKSCELLAQLPSSMPLDSGVHAQTLLSNQPRWLNFSNSCDSSVLEGTVGTRALIPVAGGIIELFVTKQVSEDQNVINFITSQCSILMEQEAIINSTNMETGFSANVNMISEQQSRPFIADGHDTEHKDHQMNQFQAPVSPATALENLNLPYDISADRIHLCDMKFLQQFNYNDQENKNKNDMFFEGVQDMDALQKSMVMNNTENMHMKFTESSANKEQQGNDKDSVKQENGRSDSISDCSDQNDDENDAKYRRRPGRGPQAKNLFAERRRRKRLNGRLYDLRALVPKISNLNKAAILGDAIEFVKELQKQAKELQDELEEHSDDERAKNGNHNNNIPQEILNQNGGFVNGFDVGASEVSCSKLNHKASEISHDKGQQMEVQVEVAQIDGNEFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVTSFRGLVSNVLKVEKKDSEMVQADYVRDSLLELTRDPPTGIWSEMAKASENGSGMDYHHHQHHHHHNHHHQLHNGHVNSNHHHLHS >Manes.04G072000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27196245:27199616:-1 gene:Manes.04G072000.v8.1 transcript:Manes.04G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFQDSMERLRPLVGLKGWDYCVLWKLSDDQRFLEWIDCCCGGNENMQNGAEELQFPVSSSSAMACRDVIFQHPRTKSCELLAQLPSSMPLDSGVHAQTLLSNQPRWLNFSNSCDSSVLEGTVGTRALIPVAGGIIELFVTKQVSEDQNVINFITSQCSILMEQEAIINSTNMETGFSANVNMISEQQSRPFIADGHDTEHKDHQMNQFQAPVSPATALENLNLPYDISADRIHLCDMKFLQQFNYNDQENKNKNDMFFEGVQDMDALQKSMVMNNTENMHMKFTESSANKEQQGNDKDSVKQENGRSDSISDCSDQNDDENDAKYRRRPGRGPQAKNLFAERRRRKRLNGRLYDLRALVPKISNLNKAAILGDAIEFVKELQKQAKELQDELEEHSDDERAKNGNHNNNIPQEILNQNGGFVNGFDVGASEVSCSKLNHKASEISHDKGQQMEVQVEVAQIDGNEFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVTSFRGLVSNVLKVEKKDSEMVQADYVRDSLLELTRDPPTGIWSEMAKASENGSGMDYHHHQHHHHHNHHHQLHNGHVNSNHHHLHS >Manes.13G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1268277:1270508:1 gene:Manes.13G009100.v8.1 transcript:Manes.13G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNFTSFFPISSSEAAAMSLNMGSSQGFTDYFQAKEENGFLGLIHQMEDHHPAVAGSYGNSSNSNGNLSSSQNKISENEEEKLLLGMKKKDTAKKIRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTRDEGIVVTTYEGMHSHPIEKSNDNFEHILTQMQIYTTSF >Manes.05G102100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9569993:9571406:-1 gene:Manes.05G102100.v8.1 transcript:Manes.05G102100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSVPALQFKTCDIFGNHSKAFNFLPIQTTKFQMMTLVANQRANTRKESAKTINIRRRKKFNGTSTKPRLSVFCSTKQLYAMLVDDQNKKCLFYGSTLQKSLRDNPPRSKMEAAECLGEELVKACVNLNINEISSYDCNGYPRGERIEAFEIAISRHGFLPR >Manes.05G102100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9569343:9573783:-1 gene:Manes.05G102100.v8.1 transcript:Manes.05G102100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLVANQRANTRKESAKTINIRRRKKFNGTSTKPRLSVFCSTKQLYAMLVDDQNKKCLFYGSTLQKSLRDNPPRSKMEAAECLGEELVKACVNLNINEISSYDCNGYPRGERIEAFEIAISRHGFLPR >Manes.05G102100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9569343:9573783:-1 gene:Manes.05G102100.v8.1 transcript:Manes.05G102100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSVPALQFKTCDIFGNHSKAFNFLPIQTTKFQMMTLVANQRANTRKESAKTINIRRRKKFNGTSTKPRLSVFCSTKQLYAMLVDDQNKKCLFYGSTLQKSLRDNPPRSKMEAAECLGEELVKACVNLNINEISSYDCNGYPRGERIEAFEIAISRHGFLPR >Manes.05G102100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9569343:9573783:-1 gene:Manes.05G102100.v8.1 transcript:Manes.05G102100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSVPALQFKTCDIFGNHSKAFNFLPIQTTKFQMMTLVANQRANTRKESAKTINIRRRKKFNGTSTKPRLSVFCSTKQLYAMLVDDQNKKCLFYGSTLQKSLRDNPPRSKMEAAECLGEELVKACVNLNINEISSYDCNGYPRGERIEAFEIAISRHGFLPR >Manes.05G102100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9569343:9573783:-1 gene:Manes.05G102100.v8.1 transcript:Manes.05G102100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSVPALQFKTCDIFGNHSKAFNFLPIQTTKFQMMTLVANQRANTRKESAKTINIRRRKKFNGTSTKPRLSVFCSTKQLYAMLVDDQNKKCLFYGSTLQKSLRDNPPRSKMVSAPSRLEKQAMRGSCRMPWRRTC >Manes.05G102100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9570231:9573783:-1 gene:Manes.05G102100.v8.1 transcript:Manes.05G102100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSVPALQFKTCDIFGNHSKAFNFLPIQTTKFQMMTLVANQRANTRKESAKTINIRRRKKFNGTSTKPRLSVFCSTKQLYAMLVDDQNKKCLFYGSTLQKSLRDNPPRSKMVSAPSRLEKQAMRGSENIEIQKCLNLLLENLS >Manes.05G102100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9569343:9573783:-1 gene:Manes.05G102100.v8.1 transcript:Manes.05G102100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSVPALQFKTCDIFGNHSKAFNFLPIQTTKFQMMTLVANQRANTRKESAKTINIRRRKKFNGTSTKPRLSVFCSTKQLYAMLVDDQNKKCLFYGSTLQKSLRDNPPRSKMVSAPSRLEKQAMRGSCRMPWRRTC >Manes.10G140401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30468701:30471989:-1 gene:Manes.10G140401.v8.1 transcript:Manes.10G140401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVELSNLGGAWIEPLNKLPLLSELHLQFCGLSGFIYSLPSVNFTSLKVLSLTGGRFKAKLPSWFVNISSLVSVDISYSRLTGRIPLGFGELPNLQSLKLDYNVELSASCFQLFTRSWKKIRVLDLSINEIHGRLPADLGNMTSLTDFDLHSNNIEGSLPNSIGQLKNLVELRLDNNLLQGSIPYSIGNLQHLTILKLSSNNINGSLPDSIGLLSELSTLDVSLNNLTGIISEAHFHRLENLEQIILSDNSVTLNVSSHWVPPFQVIFLELSSCHVGPSFPYWLRSQKKIEVLEFSRAGVSGSIPNWFWNMTSILAFLNVSFNSLEGHIPNPFNITPYATVDLSSNQLKGPIPLPNVVLLDLSNNQFSGSLPENISQGMRYLSFLSLSSNKLIGEIPTSMGELSLDVLDLSKNNLTGSIPSSIGHCSSLTVLDLQKNNLSGAIPNSIGQITGLQTLHLSNNKFSGKIPSSLQNLSKLETLDLGNNMLTGNLPGWFGEAFPRLRILSLRSNKFSGELPLVVSNLSSLQILDLAENQLNGSIPDSLGNLKAMTQQQNINHYLLYGTLSEHHNYQENVYVTINGLGLTYTRTISLLTSIDLSGNNLSGRFPEAITRLVGLEVLNLSGNHINGQIPDRISALRQLLSLDVSGNRLSGPIPQSMTSLTFLGNLNVSNNNLSGKIPSANQMSTFNASSFAGNPGLCGDPLAVKCANDSNDGGDNYPDAGKKPDQDDNGNGFADNWFYMSIGVGFAVGLLLPYLVFAMKRSWGGIYFAFVDGTAYRLSSEKMKAAMRRRTG >Manes.18G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12592472:12594797:1 gene:Manes.18G119400.v8.1 transcript:Manes.18G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSHLTSSLAQNYSFNPPRIPFSRRHAPCISKVSGLPIKYRLSSLWTPKPRPKIACEAAEVSVSEQTPTSGQGGGGENWVPVVPLAALPKGERRVIIQDRETILLLWYKDEVFAIENRSPAEGAYTEGLINAKLTQDGCIVCPTTDSTFDLRTGAIKDWYPKNPVLRVLTPALRTLYVYSVKTDEENIYISLRGAVKSDASAEIVFSGKAQPGVTASDVNVDEVRMVVDEGQEGFGFTGKNELINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFLYAVSDAFK >Manes.08G015600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1604705:1606455:1 gene:Manes.08G015600.v8.1 transcript:Manes.08G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSQLHFILFPYMAQGHMIPMIDIARLLAQHGVIITIITTPLNSKRFASTLARAVESGLQIHLLEVPFPSEESGLPKGCENLDMLPTLGLGIDFVSATNFLQEPVERLLEKIQPRPNCIISDMCLPYTSRVASKFQTPRIAFNGFCCFTMLCILRLYTSQILESVTSKSEYFQLPNLPDHIEVTKEQLPDATHQNMQIFNDQVMAAETVSYGIIYNSFEELEPAYVQEFKKARGDKVWCIGPVSLCNKDDLDKLQRGDKASVDEHKCFKWLNSQTQESVLYVCFGSLCNLITSQLIELGLALEESKKPFIWVLRGGGKSTQIEKWIEEDGFEERIKGRGLLIRGWAPQVAILSHAAVGGFLTHCGWNSSLEGICAGKPMVTWPLFADQFLNERLVVDVLKIGVRAGTEVTVPWGEEDKIGVVLKRKDIKRAIDRLMDGGEESEEMKKRANELSRMAKEAIEPGGSSHVNMEMLIQDIMRQTFVMESA >Manes.16G093800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNYFHGSCVCHRVSKIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVYATESIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023276:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACELVLYQAVMLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNYFHGSCVCHRVSKIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023276:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACELVLYQAVMLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDISAYKDTYGYLHQQAVIIGGIFATVAVGLSIFLICQHLRSYTNPAIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNYFHGSCVCHRVSKIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028573:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNYFHGSCVCHRVSKIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNYFHGSCVCHRVSKIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDISAYKDTYGYLHQQAVIIGGIFATVAVGLSIFLICQHLRSYTNPAEQKWIVAIIFMVPVYATESIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.16G093800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30023349:30028572:1 gene:Manes.16G093800.v8.1 transcript:Manes.16G093800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVYATESIIALWNSRLSLMCDTLRNCYEAFALYAFGSYLVACLGGEKRVIEFLENEKQKWLNQPLLEGSHENQGLHQRSFINFFCRPYVIGSDVLTIEKFGLVQYMILKTLCAFLAFLLELFGVYGDGEFKWYYGYPYITIVLNFSQMWALYCLVQFYSVMHERLYPIKPLAKFVSFKAIVFATWWQGVGIALLCSFGVLPNEGRFQTGLQDFLICIEMAIAAVAHVFVFSAEPYHFVPASDPGRITTRTTKEELKLEEDDEANRAMLEKKETREASGTSVTESVQDIFLKGGQCVVKDVVLTINQAIGPVEKGVTKIQETFHRRSGCSEDEEDSK >Manes.08G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14437313:14441644:1 gene:Manes.08G073400.v8.1 transcript:Manes.08G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSAAFLYLLVLVSGSCLVAESAIGVNWGTLSFRKLKPSTVVDLLKDNKIEKVKLFDADPDVLRALMGSGIQVMVGIPNEMLAAISSSTAVSDLWVRQNVSRYVVKDGVDIRYVAVGNEPFLSSYSGQFQSYVVPALQNLQQSLAKANLSGYVKLVVPCNADAYESSLPSQGAFRSDLTEIMTQLVSFLNSNGSPFVVNIYPFLSLYGSTDFPQDYAFFEGTAHSVTDGPNVYYNAFDGNFDTLVSALNKLGYGQMPIVIGEVGWPTDGAISANLTAARVFNQGLIDHVLSKQGTPLRPGAPPMDIYLFSLLDEGAKSTLPGNFERHWGVFSFDGQAKYPLNLGLGNKLLKNAKNVEYLPSRWCVADPTKDLSGVTNHMRIACNFADCTTLNYGGSCNGIGAKGNISFAFNSYYQLQDQNAQSCDFDGLGMVTFLDPSVGDCRFLVGVTDTGSSGFGTGPRWIIIWILIIGGMWVFLI >Manes.05G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2182974:2186849:1 gene:Manes.05G024700.v8.1 transcript:Manes.05G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLNAAGLTPISVLSIKRTKPRKLLCHLADSSSVKFSNSASLSTTPHTIQDCLSSTFHGSLLLLSSVLSTDLVKALTYEEALQQSTSTASSDFDASGVLDNVISFATENPAAIAGGAAFLAVPLVLSRILKKPKPWGVVSAAKAYAALGDDANAQLIDIRAPVELRTVGTPDIRGFKKRPVSVFYKGEDKPGFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTFNGFKAAYAIKDGAEGPQGWLNNSLPWKVPSKGWSFDLSSLIDILSGALGDASGALPLIIGIAAAVGLGVLVFSQVDKILQLLGLAALVQLAAKLLFAEEREKTLQQVEELLNTKVAPGELVDDVKLIGKAFLPPVGSSKALPPPVEPSSEAAADSTVLKAEPASEPASQKDSVSVSGFQRPLSPYASYPDLKPPTSPTPSQP >Manes.14G139700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12238961:12248393:1 gene:Manes.14G139700.v8.1 transcript:Manes.14G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSLSSLHATASSSVPSLSPLPTSSPYPSSSTSSASAFSLSFQPSSHQPPRLLSFSPNANTHFRRNSKTKVLKVNCSTSEPLKVMISGAPASGKGTQCELIVNKFGLVHISTGDILRAEVSAGTDIGKKAKEFMNAGRLVPDEIVTDMVTARLSREDVKQKGWLLDGYPRSFAQAESLEKLKIRPDVYVVLDVPDEILIDRCIGRRLDPVTGKIYHIKNFPPETEEIKARLITRPDDTEEKVKSRLEIYKLNAEAIFSTYSNIMKKIDGSRQKEVVFGDIYSLLSEVQNDKVKRIKSEKSTAKSETSSNQAPVYQNKWRGIPTRLNNIPHSREIRNYFYDDVLQATQRAVNDGRIRLKVEIAIPELNPEMDVYRIGTLMELVRVLALSFADDGKRVKVCVQGSMGQGALAGMPLQLSGTRKILEFMDWGDYGALGTFVRIGSIGAKEVEEQDDIFILVAPQNAVGNCIIDDLRAMTDAAGNRPVILVNPRLKDLPGSSGIMQTMGRDKRLEYAASFENCYSFRLLYYAGTQYPIMGALRMSYPYHYEIYKRVDQPSGAEKYEILETFSEKPSSDDVNNAFLGKPRNQAKKASGFWGFLSGVL >Manes.15G022800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1792606:1795229:-1 gene:Manes.15G022800.v8.1 transcript:Manes.15G022800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASVQKQIQPLVNFQRAINMEPFFRRKDKVVFVVGPTGTGKSRLAIDLAARIPAEVVNCDKMQVYKGLNIVTNKVTEEECHGVPHHLLGFVDPDANFNPNDFCHHASEVIKSIIARDRLPIVAGGSNSFIEALVNDDPEFRLRYECCFLWVDVSLPVLHSFVSQRVDRMVEAGLVSEARSIFDPSNTNYSQGLRRAIGVPELDHYFRNEATADAKTRAKLLDAALAKIKENTCVLACRQLQKIHRLYNQWSWNMHRINATEVFLKSGEDAWEKLVAGPSSMIVEQFLYYEDHMGTTVPSKTAPVMASTVMPIPIPIPIPAMASAVPMTR >Manes.15G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11403082:11408369:1 gene:Manes.15G140500.v8.1 transcript:Manes.15G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNREGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMRLITGSADQTAKLWDVQTGTQLYSFNFDSPARAVDLSVGDKLAVITTDPFMELPSAIHVKRIARDPSDQTGESVLILKGPQGRINRAVWGPLNRTIISAGEDAVIRIWDSETGKLLKESDREIGHKKTITSLVKSSDGSHFITGSLDKSAKLWDIRTLTLIKTYVTERPVNAVTMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >Manes.15G140500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11403082:11408161:1 gene:Manes.15G140500.v8.1 transcript:Manes.15G140500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLKYNREGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMRLITGSADQTAKLWDVQTGTQLYSFNFDSPARAVDLSVGDKLAVITTDPFMELPSAIHVKRIARDPSDQTGESVLILKGPQGRINRAVWGPLNRTIISAGEDAVIRIWDSETGKLLKESDREIGHKKTITSLVKSSDGSHFITGSLDKSAKLWDIRTLTLIKTYVTERPVNAVTMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKRLVSFTLVKIHKLVCSVSESDTPFSILQRSQQTLVYS >Manes.17G040800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23253016:23261939:-1 gene:Manes.17G040800.v8.1 transcript:Manes.17G040800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLPLMGVLFTVMLFIVYRTTIYQYQHTQMESKLYPFDTLKESALASGMLGALPTGIIRASSDLELKPLWLTSSSRSKVDNYSRRNLLAIPVGIKQKHNVDVIVQKFLPENFTVILFHYDGNVDGWWDLTWSNKAIHIVAQNQTKWWFAKRFLHPAVVSIYDYIFLWDEDLGVANFHPGRYLEIVRSEGLEISQPALDPNSTDIHHRITIRSRMKKFHRRVYDSRGSTKCSDASEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVVDSEYIVHQGIQTLGGGGPPAKKTSTSEELTKRSGASPMDPRMEIRRQSTWELQIFKKRWNEAVKEDKVWVDPFEGNQKYRRRIWQHHHYL >Manes.17G040800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23253016:23261938:-1 gene:Manes.17G040800.v8.1 transcript:Manes.17G040800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRPSLKRNAFFDGGKCGLKMKRLPLMGVLFTVMLFIVYRTTIYQYQHTQMESKLYPFDTLKESALASGMLGALPTGIIRASSDLELKPLWLTSSSRSKVDNYSRRNLLAIPVGIKQKHNVDVIVQKFLPENFTVILFHYDGNVDGWWDLTWSNKAIHIVAQNQTKWWFAKRFLHPAVVSIYDYIFLWDEDLGVANFHPGRYLEIVRSEGLEISQPALDPNSTDIHHRITIRSRMKKFHRRVYDSRGSTKCSDASEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVVDSEYIVHQGIQTLGGGGPPAKKTSTSEELTKRSGASPMDPRMEIRRQSTWELQIFKKRWNEAVKEDKVWVDPFEGNQKYRRRIWQHHHYL >Manes.17G040800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23253016:23261736:-1 gene:Manes.17G040800.v8.1 transcript:Manes.17G040800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRPSLKRNAFFDGGKCGLKMKRLPLMGVLFTVMLFIVYRTTIYQYQHTQMESKLYPFDTLKESALASGMLGALPTGIIRASSDLELKPLWLTSSSRSKVDNYSRRNLLAIPVGIKQKHNVDVIVQKFLPENFTVILFHYDGNVDGWWDLTWSNKAIHIVAQNQTKWWFAKRFLHPAVVSIYDYIFLWDEDLGVANFHPGRYLEIVRSEGLEISQPALDPNSTDIHHRITIRSRMKKFHRRVYDSRGSTKCSDASEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVVDSEYIVHQGIQTLGGGGPPAKKTSTSEELTKRSGASPMDPRMEIRRQSTWELQIFKKRWNEAVKEDKVWVDPFEGNQKYRRRIWQHHHYL >Manes.17G040800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23252144:23261877:-1 gene:Manes.17G040800.v8.1 transcript:Manes.17G040800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRPSLKRNAFFDGGKCGLKMKRLPLMGVLFTVMLFIVYRTTIYQYQHTQMESKLYPFDTLKESALASGMLGALPTGIIRASSDLELKPLWLTSSSRSKVDNYSRRNLLAIPVGIKQKHNVDVIVQKFLPENFTVILFHYDGNVDGWWDLTWSNKAIHIVAQNQTKWWFAKRFLHPAVVSIYDYIFLWDEDLGVANFHPGRYLEIVRSEGLEISQPALDPNSTDIHHRITIRSRMKKFHRRVYDSRGSTKCSDASEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVVDSEYIVHQGIQTLGGGGPPAKKTSTSEELTKRSGASPMDPRMEIRRQSTWELQIFKKRWNEAVKEDKVWVDPFEGNQKYRRRIWQHHHYL >Manes.15G069000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5244600:5246247:1 gene:Manes.15G069000.v8.1 transcript:Manes.15G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNRGPIIGRGSTATVSLATSVSSGELFAVKSTDQSKSMFLQKEQYFLSKLSCPYVVKYIGYDITNEDSKPMYNICMEYVPGGSLQDAIQRHGGQLDEPMIRLYARDILRGLEYLHKNGLAHCDIKSQNVLISTEGAKIADFGCAKFVEKVDDAMSEFSGTPAFMAPEVARGEEQGFPADLWALGCTVIEMATGNIPWAEQQNDPVSALYQIGFSGEVPKFPSSLTETGQDFLSKCLRRDPKERWTAKELLDHPFLDDLDLELKEVEEFTSSSPSCVLDQDFWSSMEALESPQGLTTEGFSNSNSPAERIKKLVECTSPVDEPNWTWEEDDWITVRSTFEEWDVISVDAPSVPTAFLASDSIAYDEELESSIFYENMLMESLLGNENISTNEGYDITSENVNFEIDNDKSCFLQSHFVLVLCFSYLFLQICSLTS >Manes.01G158800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34405054:34416214:-1 gene:Manes.01G158800.v8.1 transcript:Manes.01G158800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTSIPPIFTLMSQSVAQSVRSSMSSFGSSFGNEDTPLHTSASISNGDGYDSDGSNFATPTQATLSVAIPAELAGAIPLIDKFQVEGFLRMMQKQIQSTGKRGFFLKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLISRATKLFQIILKYMGVDSSDRVAPVSLDERIELVGRLYKHTLKRAELRDELFAQISKQTRNNPDRQYLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAYSVSTDSEVQVLAVNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELAGIIKLSAYSSFSLFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLTFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVGSPESCTDWTSLLERFLPRQIAITRGKREWELDILSRYRSMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAASGIVNGDLSNSFKPPLNVEVYEKRVQELSKSIEESQRNTDRLSEELHEKQMQEVKLQEELEGLKDTLRFEKQKLAEVSSDRDRLRSICAEKDTALEAALLEKRNMEMRLATLGNLAAENNAKKDLVGTNNQVPHKLQDELKLRNEELHITKENMKRLADEKVSLEQKISRLEKKKAEEMEFLEQNLEQERKMLKQRVIELEKKLEGVTRELAAAESTLAIREADLATLQNNMKELEELREMKEDIDRKNEQTAAILKMQGAQLAELEVLYKEEQVLRKRYYNTIEDMKGKIRVFCRLRPLSEKEIVEKERGIITSTDEFTVEHQWKDDKAKQHVYDRVFDGNATQDDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATAELFKILRRDSKKFSFSLKAYMVELYQDTLVDLLLPKNVKPLKLDIKKDSKGMVSIDNVTIISISTLEELQGIIQRGSERRHTSGTQMNEESSRSHLILSIVIESTNLQTQSVAKGKLSFVDLAGSERVKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLMYASRVRSIVNDPSKNVSSKEIARLKKLIAYWKEQAGRRGDDEEYEEIQEERLMKDRTDGRHSM >Manes.01G158800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34404821:34416215:-1 gene:Manes.01G158800.v8.1 transcript:Manes.01G158800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKQIQSTGKRGFFLKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLISRATKLFQIILKYMGVDSSDRVAPVSLDERIELVGRLYKHTLKRAELRDELFAQISKQTRNNPDRQYLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAYSVSTDSEVQVLAVNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELAGIIKLSAYSSFSLFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLTFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVGSPESCTDWTSLLERFLPRQIAITRGKREWELDILSRYRSMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAASGIVNGDLSNSFKPPLNVEVYEKRVQELSKSIEESQRNTDRLSEELHEKQMQEVKLQEELEGLKDTLRFEKQKLAEVSSDRDRLRSICAEKDTALEAALLEKRNMEMRLATLGNLAAENNAKKDLVGTNNQVPHKLQDELKLRNEELHITKENMKRLADEKVSLEQKISRLEKKKAEEMEFLEQNLEQERKMLKQRVIELEKKLEGVTRELAAAESTLAIREADLATLQNNMKELEELREMKEDIDRKNEQTAAILKMQGAQLAELEVLYKEEQVLRKRYYNTIEDMKGKIRVFCRLRPLSEKEIVEKERGIITSTDEFTVEHQWKDDKAKQHVYDRVFDGNATQDDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATAELFKILRRDSKKFSFSLKAYMVELYQDTLVDLLLPKNVKPLKLDIKKDSKGMVSIDNVTIISISTLEELQGIIQRGSERRHTSGTQMNEESSRSHLILSIVIESTNLQTQSVAKGKLSFVDLAGSERVKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLMYASRVRSIVNDPSKNVSSKEIARLKKLIAYWKEQAGRRGDDEEYEEIQEERLMKDRTDGRHSM >Manes.01G158800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34404800:34416332:-1 gene:Manes.01G158800.v8.1 transcript:Manes.01G158800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGSSFGNEDTPLHTSASISNGDGYDSDGSNFATPTQATLSVAIPAELAGAIPLIDKFQVEGFLRMMQKQIQSTGKRGFFLKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLISRATKLFQIILKYMGVDSSDRVAPVSLDERIELVGRLYKHTLKRAELRDELFAQISKQTRNNPDRQYLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAYSVSTDSEVQVLAVNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELAGIIKLSAYSSFSLFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLTFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVGSPESCTDWTSLLERFLPRQIAITRGKREWELDILSRYRSMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAASGIVNGDLSNSFKPPLNVEVYEKRVQELSKSIEESQRNTDRLSEELHEKQMQEVKLQEELEGLKDTLRFEKQKLAEVSSDRDRLRSICAEKDTALEAALLEKRNMEMRLATLGNLAAENNAKKDLVGTNNQVPHKLQDELKLRNEELHITKENMKRLADEKVSLEQKISRLEKKKAEEMEFLEQNLEQERKMLKQRVIELEKKLEGVTRELAAAESTLAIREADLATLQNNMKELEELREMKEDIDRKNEQTAAILKMQGAQLAELEVLYKEEQVLRKRYYNTIEDMKGKIRVFCRLRPLSEKEIVEKERGIITSTDEFTVEHQWKDDKAKQHVYDRVFDGNATQDDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATAELFKILRRDSKKFSFSLKAYMVELYQDTLVDLLLPKNVKPLKLDIKKDSKGMVSIDNVTIISISTLEELQGIIQRGSERRHTSGTQMNEESSRSHLILSIVIESTNLQTQSVAKGKLSFVDLAGSERVKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLMYASRVRSIVNDPSKNVSSKEIARLKKLIAYWKEQAGRRGDDEEYEEIQEERLMKDRTDGRHSM >Manes.01G158800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34404821:34416215:-1 gene:Manes.01G158800.v8.1 transcript:Manes.01G158800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKQIQSTGKRGFFLKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLISRATKLFQIILKYMGVDSSDRVAPVSLDERIELVGRLYKHTLKRAELRDELFAQISKQTRNNPDRQYLIKAWELMYLCASSMPPSKDIGGYLSEYVHNVAYSVSTDSEVQVLAVNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELAGIIKLSAYSSFSLFECRKVVTGSKSPDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLTFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVGSPESCTDWTSLLERFLPRQIAITRGKREWELDILSRYRSMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAASGIVNGDLSNSFKPPLNVEVYEKRVQELSKSIEESQRNTDRLSEELHEKQMQEVKLQEELEGLKDTLRFEKQKLAEVSSDRDRLRSICAEKDTALEAALLEKRNMEMRLATLGNLAAENNAKKDLVGTNNQVPHKLQDELKLRNEELHITKENMKRLADEKVSLEQKISRLEKKKAEEMEFLEQNLEQERKMLKQRVIELEKKLEGVTRELAAAESTLAIREADLATLQNNMKELEELREMKEDIDRKNEQTAAILKMQGAQLAELEVLYKEEQVLRKRYYNTIEDMKGKIRVFCRLRPLSEKEIVEKERGIITSTDEFTVEHQWKDDKAKQHVYDRVFDGNATQDDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGAENNPGLTPRATAELFKILRRDSKKFSFSLKAYMVELYQDTLVDLLLPKNVKPLKLDIKKDSKGMVSIDNVTIISISTLEELQGIIQRGSERRHTSGTQMNEESSRSHLILSIVIESTNLQTQSVAKGKLSFVDLAGSERVKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLMYASRVRSIVNDPSKNVSSKEIARLKKLIAYWKEQAGRRGDDEEYEEIQEERLMKDRTDGRHSM >Manes.17G057800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25677629:25682243:1 gene:Manes.17G057800.v8.1 transcript:Manes.17G057800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKEMSARVVDGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQLMRVMDHPNVISLKHCFFSTTNNNELFLNLVMEYVPESMYRVLKHYSNAKQTMPLVYVKLYMYQIFRGLAYIHTVPGVCHRDLKPQNILVDPLTHQVKLCDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFRQELNGASPELVNKLIPDHVKRQMGLNFLQLAGTS >Manes.13G089900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:20171505:20172557:1 gene:Manes.13G089900.v8.1 transcript:Manes.13G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIWSSSSLLLLLGLLSLFHPFTTMVGCIRVGTVIRKPSPELPTFLEAPSFRNGNACGSQEIDIIHIAMTLDVNYLRGTMAAVLSMLQHSTCPENLSFHFLSVHYELELSSSINSTFPFLNFTIYRFDSKRVQGKISRSIRQALDQPLNYARNYLSDIIPIHVKRVIYLDSDIIVVDDVGKLWDVDMKGKVVAAPEYCHANFTQYFTGTFWADPALSKTFEGRIPCYFNTGVMVVDVDKWRKGEYTQRVEKWMAVQKQKRIYQLGSLPPFLLVLAGNIEPVDHRWNQHGLGGDNFQGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSKHYLEE >Manes.11G160000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32551050:32555349:1 gene:Manes.11G160000.v8.1 transcript:Manes.11G160000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQGKKKKQNKNQTPESQFNYNLNFFSKSKDLRSAVSLYDSAVSSDTRLSQHHFNTLLYLCSISLSDPSTKELALQYGVRVFDHMVANGIKPNEASITAVARLAAAKGDGDYAFDLVKNMGVHNELPRLRTYDPVLLCFCDKLEADKAYEVEVHMESMGVSLEETELAALLKVSAETGNEERFYGYLQKLRKTVRCVREETAKIIEDWFQNFEHNGQELNVGLVREAVTRNGGGWHGIGWIGKGRWVVRRGNVDNDGKCFCCGEHLARIDIDDTETERFAASVAGLAMEREVKAYFNEFQDWLDKHPNYEAIVDGANVGLYQQNFAEGGFSVSQLDAVVKELYGQSGNWPLIVLHNKRVRALLENPSHSKLIQEWIEKEVLYTTPHGSNDDWYWLYAAVKLRCLLVTNDEMRDHIFELLGSNFFLRWKERHQVRYTFMKGNLKLHMPGPFSVVIQESEKGAWHVPIAGDGNEDSKQSWLCITRPSAWGEPGEDAVSMETCENGDSVWNGCKLLSPCKPKCISSCDSEPERQDSFQESDNKPATMTGKRKERSPSPSIS >Manes.17G002200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1871243:1879742:1 gene:Manes.17G002200.v8.1 transcript:Manes.17G002200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKAYSGGASSSPSSSSSSSESGGGGRSGRKGKCTGSRVFQSSCLGAPSGSRDTNNDDNNVSDHVSKETGGNTSSTSQREGESDQVKIECYRKVKVEQSDETCVSSNLELDEWNQASITNTTSRMGNSSARAASTPSLTSQSSFLSRFSFVPGNVSFRLSRATSLGSSRPYRIPSTSLQMLNDEEEIRFRPRSANGIIDGNETRQSSNLLPTSLINRTHTQQCEGSSANLGCHYRASGLDNNEGNLNSSVHDQCRDRGGTRVGIDLTSHSPRILSDSDSFETRISDRRIGAQEPVDRNVRFSRTLSVGRLRDRVLRRSSLSDVTFCPLQQERQVRDAVQVSGRQTLGGEMRPSESEGNTLRSPTTSGYPPPGTSSSLFSINDHDLETTRSRDARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCISSGHHRTGHCTCRVTNRDANLNDDTSARASISRIVMLAEALFEQSVVLSSRPSMSSLGSVPAPTEVVESLPVKLYSKLQKQQHEEAAQCYICLVEYEEGDSMRLLPCHHEFHRACIDKWLKEIHRVCPLCRGDVCRSDSLQSAS >Manes.17G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1871243:1879742:1 gene:Manes.17G002200.v8.1 transcript:Manes.17G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKAYSGGASSSPSSSSSSSESGGGGRSGRKGKCTGSRVFQSSCLGAPSGSRDTNNDDNNVSDHVSKETGGNTSSTSQREGESDQVKIECYRKVKVEQSDETCVSSNLELDEWNQASITNTTSRMGNSSARAASTPSLTSQSSFLSRFSFVPGNVSFRLSRATSLGSSRPYRIPSTSLQMLNDEEEIRFRPRSANGIIDGNETRQSSNLLPTSLINRTHTQQCEGSSANLGCHYRASGLDNNEGNLNSSVHDQCRDRGGTRVGIDLTSHSPRILSDSDSFETRISDRRIGAQEPVDRNVRFSRTLSVGRLRDRVLRRSSLSDVTFCPLQQERQVRDAVQVSGRQTLGGEMRPSESEGNTLRSPTTSGYPPPGTSSSLFSINDHDLETTRSRDARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCISSGHHRTGHCTCRVTNRDANLNDDTSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSMSSLGSVPAPTEVVESLPVKLYSKLQKQQHEEAAQCYICLVEYEEGDSMRLLPCHHEFHRACIDKWLKEIHRVCPLCRGDVCRSDSLQSAS >Manes.17G002200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1871243:1879743:1 gene:Manes.17G002200.v8.1 transcript:Manes.17G002200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSKAYSGGASSSPSSSSSSSESGGGGRSGRKGKCTGSRVFQSSCLGAPSGSRDTNNDDNNVSDHVSKETGGNTSSTSQREGESDQVKIECYRKVKVEQSDETCVSSNLELDEWNQASITNTTSRMGNSSARAASTPSLTSQSSFLSRFSFVPGNVSFRLSRATSLGSSRPYRIPSTSLQMLNDEEEIRFRPRSANGIIDGNETRQSSNLLPTSLINRTHTQQCEGSSANLGCHYRASGLDNNEGNLNSSVHDQCRDRGGTRVGIDLTSHSPRILSDSDSFETRISDRRIGAQEPVDRNVRFSRTLSVGRLRDRVLRRSSLSDVTFCPLQQERQVRDAVQVSGRQTLGGEMRPSESEGNTLRSPTTSGYPPPGTSSSLFSINDHDLETTRSRDARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCISSGHHRTGHCTCRVTNRDANLNDDTSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSMSSLGSVPAPTEVVESLPVKLYSKLQKQQHEEAAQCYICLVEYEEGDSMRLLPCHHEFHRACIDKWLKEIHRYECICNCIILKILNPEL >Manes.05G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9731396:9733460:-1 gene:Manes.05G103900.v8.1 transcript:Manes.05G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALVGVFFVALLAMVSCVNSYGGGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIRCANDPKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQHIAQYRAGIVPVAYRRVPCRRTGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTGWQPMSRNWGQNWQSNTLLNGQSLSFKVTTSDGRSVVSSNVAPPNWSFGQTFSGGQFR >Manes.12G062600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6004120:6005289:-1 gene:Manes.12G062600.v8.1 transcript:Manes.12G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMESLPREIMLNILSKLPTPSLLNAKLVSRSWKNLAEDPILIDLHFTHMASNNTNPCLILHGDYPIQNQLYALYLYPHNNNVGLVKSISSPPVPDFNVAASCNGWLCLSNSSKNTFHLYNPFNSDFMELPKSAHDQSSDFCTVLGFGFQAETKEYKILKLSRVSGNVRGHRICGYGPPPNAEILTLGSLTWRSLGQINYDPVQSASQVMVNGRLHWVNWPLRHHHNHRLISFDLSEEKFRLVPCPNSAAGFEGHGYHRLMLVNRGGCLSVVSNINYGSFEIWVMKEYGVRQSWRKEFNISSEIPRELEEEVDPSFKISRLYRRSFTRVVCSMKNGEILLQYKCRTLVAYDPRHGTFTNIKIPGMPNMFEAVAHGGNLNGIDRLLTGL >Manes.12G075500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598692:8601914:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598699:8601977:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598699:8601913:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598699:8601913:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598634:8601977:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598699:8601913:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598634:8600747:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598753:8599346:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598634:8601977:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G075500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8598699:8601913:1 gene:Manes.12G075500.v8.1 transcript:Manes.12G075500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFTTLIDVVLLLFFLVIAVAAPLLDAQTCLPSSYFPEVLIDLKSWYSEEYGDYLMSEKPHFFVGFIWLELLFQWPLALFNLYGILASKPWFNTTCLIYGSSLLTSMSAVLAELMGSGKASDKLMMIYAPFMGFGVLAILRGLMPVSAKSISSMGKRPLLPRKKRV >Manes.12G122600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32950344:32952504:1 gene:Manes.12G122600.v8.1 transcript:Manes.12G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKQHPQSQECFGWAARDSSGVLSPFKFSRRAIAEKDVKIKILYCGICHSDLHCVKNDWGGSIYPFLPGHEIVGIATEVGSKVEKFKAGDKVGVGCLVGSCGSCNDCTNNLENYCPKIIPTYNGVDRDGTITYGGYSDFIIVDQHFVFRYPENFPLDAGAPLFCAGITVYSPLKYYALDQPGMKLGVVGLGGLGHIAVKFAKAMGLEVTVISTSPNKKEEAIEHLGADAFLLSNDENAMKAATGTLDGIIDTVSAFHALLPLIGLLNSHGKLVLLGLPNKPLELPVFPLLMGRKMVAGSIIGGIKETQEMIDFAAKHNITADVEVVPIDYVNKAMERLARADVKYRFVIDIANTLAAGV >Manes.04G049785.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5297313:5304112:-1 gene:Manes.04G049785.v8.1 transcript:Manes.04G049785.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAQLISGLTLPLPPPHTSTSSSSSSNHNSSNTLSVVNRPHLTTSFFNGGVEALKVRIKTNPSSRSQCYRQGGGALGTRMNLFDRFARVVKSYANAILSSFEDPEKILDQTVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASQDWYRKAQLALQKGEEDLAREALKRRKSFADNANSLKAQLDQQKGVVENLVSNTRLLESKIQEAKSKKDTLKARAQSAKTQTKMNEMLGNVNTSNALSAFEKMEEKVMAMESEAEALGQLATSELDEKFALLESSSVDDDLDNLKKELYGSKVKGELPPGRTTVSSSIRDPEIEMELNELRQKRREF >Manes.04G059500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:20268539:20272005:-1 gene:Manes.04G059500.v8.1 transcript:Manes.04G059500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSVPAITFVMAAALGLEQVNIARRDGLAKVVGTIASIAGATVITLYKGPPLLQQTHEQTPAANAFEEELSSKKLQNWTWGCVYLLGHCFSWAAWMVLQAPVLKKYPAKLTVTSFTCFFGLIQFLIISAFVETDFNNWKIQSGEELFTILYAGIVASGIVFSLQTWCIQKGGPVFVAVFQPMQTLLVAILDSLILGDQLYAGGIIGAILIMLGLYSVLWGKSEEKRVVAAEDKLETLTKSLLEDEIRGAKEGSDIP >Manes.04G059500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:20268539:20272005:-1 gene:Manes.04G059500.v8.1 transcript:Manes.04G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESVSSERMKLLLALFALQFCFSGFHIVSRVALNIGISKVVYPVYRNIIALLLLGPFAYFLEKKERPPLTFHLLVQFFLLALLGITANQGFYLLGLNYGSPTFASAMQNSVPAITFVMAAALGLEQVNIARRDGLAKVVGTIASIAGATVITLYKGPPLLQQTHEQTPAANAFEEELSSKKLQNWTWGCVYLLGHCFSWAAWMVLQAPVLKKYPAKLTVTSFTCFFGLIQFLIISAFVETDFNNWKIQSGEELFTILYAGIVASGIVFSLQTWCIQKGGPVFVAVFQPMQTLLVAILDSLILGDQLYAGGIIGAILIMLGLYSVLWGKSEEKRVVAAEDKLETLTKSLLEDEIRGAKEGSDIP >Manes.08G004131.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:811650:814532:1 gene:Manes.08G004131.v8.1 transcript:Manes.08G004131.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGATETQEPSSPKDTCIGQVRVKRSKQAKTQPSKIKCFCKWVRNTLFCQHLNRATRRPKCTLLSWRKWVMFFKVGVRRESKIREDSSKVEPKFGNTSEDAGQESEVEDEENKMYVSSSISPPKNALLLTRSRSAPCRSSSVACRFWGSPLESEETEQN >Manes.15G180500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23331149:23333751:-1 gene:Manes.15G180500.v8.1 transcript:Manes.15G180500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQASSCSFGGISSLTCPPKTFRLRRVPPSVIRAKVEPSEKSVEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLAEHKDSLGAPLCPCRHYDDKAAEAGQGFWNCPCVPMRERKECHCMLFLTPENDFAGKDQKISLEEIRETTANM >Manes.07G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30143583:30155220:-1 gene:Manes.07G097200.v8.1 transcript:Manes.07G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRKGTDVNNSLTPKSRPSRSASAANPSSSLSSSSSSNYPSFNYPSSSVNYSSSGFFNHNKDTSKSSSSSISSKLSLKSLKEAFLPENPHIYEFADICRATNNFLAKPFSSSSTSTSWRCQIRRKEVIIFQRKFRRRDPIELPELQQRLSIICRSHHSSLIKLLGAATSGNCIYLVYEFVNGANLATCLRNPQNPSYTILSNWLSRMQVATDIAHGLDYIHHCAASNSGFIHNHIKSSSILVAEDSLNAKICHFGTADLCGELEGSERSEARTLGRSNSKGMKIEGTRGYMSPEFQANGIVTQKCDVYAFGVVVLELVSGEEALRYVFDESSGGFSRVSVIEKAREAVDSGAGGVRSWVDRRLKDSYPVDVAEKMVVVGLECVVEDPEKRPDMEQVAVRVSKLYLESKNWAEKIGKKLHATAGPGSKMD >Manes.05G073500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6054479:6064738:-1 gene:Manes.05G073500.v8.1 transcript:Manes.05G073500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSAVTYLLSATAFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRATISKFINMDFKTYIRFLNWMPAALRMPEPELIDHAGLDSVVYIRIYLMGLKVFVPITLLAFAVLVPVNWTGGALEQIKDLTFSDIDKMSISNIPPGSKRFWAHVAMSYVFTFWTFYIMYKEYKTAASMRLQFLASESRSPDQFTVLVRNVPPDPDESVTEHVEHFFCVNHPDHYLNHQVVYNANKLAKLVAKKKSLENWLTYHTNKYERNPSSRPTTKTGFWGLWGTRVDAIDYYTAEIGRLSEEEDKERERVISDPNAIVPAAFVSFKSRWGAAVCAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLIMAVVLFFLIFFFMIPITIVQSFASIEGIEKVLPFLKPLIETGSVKSLIQGILPGIALKIFLIVLPTILMIMSKIEGFTSLSSLDRRSASKYHLFLLVSVFIGSIATGVALGQLKTLLNQSPTEILKTFGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIVFHLKNIFLVKTEQDRDQAMDPGCVDFATSEPRIQFYFLLGLVYAAEAPLLLPFIIVFFAFSYVVFRHQIINVYNQKYESGAAFWPDVHRRLIIGLLISQLFLLGLISTKDAEELTPLLIALPVLTIWFHKFCNGRFESAFVKFPLQDAMVRDTLERVTDPKLNLKLYLQDAYLHPVFRGGELERPQIINEEEGNPLVATRRNPEKSSKHSYDVAGS >Manes.05G073500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6054479:6064738:-1 gene:Manes.05G073500.v8.1 transcript:Manes.05G073500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIVYSAVTYLLSATAFLLAFAILRLQPINDRVYFPKWYLKGIRASPTHSRATISKFINMDFKTYIRFLNWMPAALRMPEPELIDHAGLDSVVYIRIYLMGLKVFVPITLLAFAVLVPVNWTGGALEQIKDLTFSDIDKMSISNIPPGSKRFWAHVAMSYVFTFWTFYIMYKEYKTAASMRLQFLASESRSPDQFTVLVRNVPPDPDESVTEHVEHFFCVNHPDHYLNHQVVYNANKLAKLVAKKKSLENWLTYHTNKYERNPSSRPTTKTGFWGLWGTRVDAIDYYTAEIGRLSEEEDKERERVISDPNAIVPAAFVSFKSRWGAAVCAQTQQTSNPTIWLTERAPEPRDVYWDNLAIPYVELTIRRLIMAVVLFFLIFFFMIPITIVQSFASIEGIEKVLPFLKPLIETGSVKSLIQGILPGIALKIFLIVLPTILMIMSKIEGFTSLSSLDRRSASKYHLFLLVSVFIGSIATGVALGQLKTLLNQSPTEILKTFGVSIPLKATFFITYIMVDGWAAIAAEILRLVPLIVFHLKNIFLVKTEQDRDQAMDPGCVDFATSEPRIQFYFLLGLVYAAEAPLLLPFIIVFFAFSYVVFRHQIINVYNQKYESGAAFWPDVHRRLIIGLLISQLFLLGLISTKDAEELTPLLIALPVLTIWFHKFCNGRFESAFVKFPLQDAMVRDTLERVTDPKLNLKLYLQDAYLHPVFRGGELERPQIINEEEGNPLVATRRNPEKSSKHSYDVAGS >Manes.02G175000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13819548:13821521:-1 gene:Manes.02G175000.v8.1 transcript:Manes.02G175000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSLHLNNHQLPWTVQSRHRLRPPSPSAVRIRPLPSITAVAAPPTPPVAIKHHKTHSMPPEKVEIFKSLENWAAQNVLPFLKPVDLCWQPQNFLPDPAMPFDDFTDQVRELRNRTSELPDEYFLVLVGDMITEEALPTYQTMINTLDGVRDETGASRNPWAVWTRSWTAEENRHGDLLKTYLYLSGRVDMAMIEKTVQYLIGAGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHENAYAGIVEKLVEVDPNGAMLAIADMMRKKITMPAHLMYDGRDPNLFEHFSAVAQRMGVYTADDYADILEFLIGRWKLEKLEGLTGEGRRAQDFVCGLAPRIRKLQERADEKAKKLGPKGVKFSWIFNREIPL >Manes.04G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6907636:6922455:-1 gene:Manes.04G041500.v8.1 transcript:Manes.04G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMMDANDVDSVDDDFYSGDMQEDYYSDGDGNGGENDDDDDGPDYDFMAEAADDLDDSVFSRTQQSYTILKEDDIRKCQEDDITRVSTVLSISRSDASILLRHYNWSISKVHDEWFADEETVRKSVGLLETPVVHFSNARDLTCGICFESFPHDKITSAACGHPFCRSCWSGYISTTINDGPGCLMLRCPDPSCQAAIGPDMINLLASHEVKDKYSHYLLRSYIEDNRKTKWCPAPGCKYAVDFAAGGGSFDVSCLCSYSFCWNCTEEAHRPVDCGTVAKWILKNGAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLDAWSDHGERTGGFYACNRYEAAKQEGAYDEAERRREMAKNSLERYTHYYERWASNQLSRQKALADLHQMQTAHLEKLSNIHCTPESQLKFITDAWLQIVDCRRVLKWTYAYGYYLPEQEHAKRQFFEYSQGEAEYGLERLHQCAEKELLQFLNVKGPSKEFDEFQTKLAGLTSVTKNYFENLVRALENGLADVDSHGA >Manes.04G041500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6907636:6922455:-1 gene:Manes.04G041500.v8.1 transcript:Manes.04G041500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMMDANDVDSVDDDFYSGDMQEDYYSDGDGNGGENDDDDDGPDYDFMAEAADDLDDSVFSRTQQSYTILKEDDIRKCQEDDITRVSTVLSISRSDASILLRHYNWSISKVHDEWFADEETVRKSVGLLETPVVHFSNARDQLTCGICFESFPHDKITSAACGHPFCRSCWSGYISTTINDGPGCLMLRCPDPSCQAAIGPDMINLLASHEVKDKYSHYLLRSYIEDNRKTKWCPAPGCKYAVDFAAGGGSFDVSCLCSYSFCWNCTEEAHRPVDCGTVAKWILKNGAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLDAWSDHGERTGGFYACNRYEAAKQEGAYDEAERRREMAKNSLERYTHYYERWASNQLSRQKALADLHQMQTAHLEKLSNIHCTPESQLKFITDAWLQIVDCRRVLKWTYAYGYYLPEQEHAKRQFFEYSQGEAEYGLERLHQCAEKELLQFLNVKGPSKEFDEFQTKLAGLTSVTKNYFENLVRALENGLADVDSHGA >Manes.01G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33879034:33879505:1 gene:Manes.01G149600.v8.1 transcript:Manes.01G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSRALLKEAGNGINKTLSEILVCPLSKQPLRYCEKTKTLISDAICVSYPIKDGIPCLVPMDGKIIEADNDTKPDRASDSSAKN >Manes.01G186700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36366392:36370826:-1 gene:Manes.01G186700.v8.1 transcript:Manes.01G186700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTSHLKEISKSIEASQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTHKTPVHELGLNLWRDNIIHSSKIQTRLLNTLLELVHRERTGEVIDRGLMRNIIKMLMDLGSSVYQEDFEKPFLEVSSEFYKGESQEFIECCDCGDYLKKAEKRLNEEIERVTHYLDLKSEVKITNVVEKEMIANHMLRLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLLTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIISLAFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGVSEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTISDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELGDGPTLVVQVLTTGSWPTQPSVTCNLPAEMSSLCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSADRLSYKEIEQATEIPASDLKRCLQSMACVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAVVRIMKSRRVLDHNNIIAEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Manes.05G198600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32295354:32299946:-1 gene:Manes.05G198600.v8.1 transcript:Manes.05G198600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFEFPTAANEEMDEMDVPEDDPVAPIIKAGEEKEIGKNGLKKKLVKEGEGWETPSTGDEVEVHYTGTLLDGTQFDSSRERGIPFKFKLGQGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLRFDVELLSWTSVKDICKDGGIFKKVLVEGEKWDNPKDLDEVLVKYEAQLEDGTVISKSDGTEFTVEDGFFCPALAKAVKTMKKGEKVQLTVKPKYGFGENGRQALGDEGAVPPNATLEIMLEVVSWKSVTDVTKDKKVLKKTLKEGEGYERPKDGTTVQVKLIGKLHDGTIFVKKGHDEEPPLEFKIDEELVIDGLDKAVKHMKKGEIALITVQPEYAFGTFESQQELATVPANSTVYYEVEMISFTKEKESWEMNTQEKIEAAGKKKEEGNIFFKAGKYERASRRYEKAVNFIEYDSSFSEEEKKQTRALKITCKLNDAACKLKLKDYKQAEKLCTKVLELDSRNVKALYRRAQAYMQLVDLDLAEMDIKKALEIDPDNRDVKLEYKILKEKVREYNKKDAQFYGNIFAKMNKNASAKQDPMPMAIDSKA >Manes.16G122650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32475268:32476772:1 gene:Manes.16G122650.v8.1 transcript:Manes.16G122650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLCFKGNNTFMVYARRLKGNNRIDDSAGHVREASSRGVVRLAGVSPCRGPEWSRVSRSGGEAHDSLEDAFESFRLEQAAYCSKQDQRFTKLESLVTSFIWVSLWLWMIWVRISIFFKIGFEDRISPDFVTIRKPDRYRR >Manes.08G002900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:596924:600676:1 gene:Manes.08G002900.v8.1 transcript:Manes.08G002900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPMSPGRGSHETGEQSPRSNSNSNVREQDRYLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTLNGDDLLWAMGTLGFEDYIDPLKVYLSRYREVDAKGSVKGGETSVKKDFQSSSNVQISHQGSFSQGVVYANSQLQVQHMMVPMQGAE >Manes.08G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:596924:600676:1 gene:Manes.08G002900.v8.1 transcript:Manes.08G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPMSPGRGSHETGEQSPRSNSNSNVREQDRYLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTLNGDDLLWAMGTLGFEDYIDPLKVYLSRYREMEVDAKGSVKGGETSVKKDFQSSSNVQISHQGSFSQGVVYANSQLQVQHMMVPMQGAE >Manes.08G002900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:596924:600676:1 gene:Manes.08G002900.v8.1 transcript:Manes.08G002900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPMSPGRGSHETGEQSPRSNSNSNVREQDRYLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTLNGDDLLWAMGTLGFEDYIDPLKVYLSRYREVDAKGSVKGGETSVKKDFQSSSNVQISHQGSFSQGVVYANSQVQHMMVPMQGAE >Manes.12G015000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1447893:1449631:1 gene:Manes.12G015000.v8.1 transcript:Manes.12G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVLWTLNLPTSLIMFLSMYLLAYFVIFRNWTSKLRAEVSSCFISLVHGTPAVIFAVQALLHSQNSHSYASPNSDLHNRVLEFSMAYFLMDLFHYIVFFPTDILFILHHLATLYVIVTCRYMVHYGAYGILVLLTLAEITSLCQNMWTIAGFRRADVSVAARLYVSLSPPFLAFYSVVRGILGPLFVFKMVMFHLSEEASLVPGWAWISWMVVIVTGILVSILWVSNHWIEWLRERSHLAQKKIR >Manes.04G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33908736:33914078:-1 gene:Manes.04G139800.v8.1 transcript:Manes.04G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQIGIMLVIICVASCASSVLGSAEPAASPREAPPSFSRSRYGKLSTSPHVQASHISSSVDHFPSEAPPNTNKPSSIALPPSMSSIGSPAKMWMHGPADSPLISSNRNLHHHQHARNHHSAPGPSYLIPPPSYYQIAPSVPSFRSPSPSSMSWGSMPSALSPTASKSHFYMHIHHPAASPMGSNLKKMKAPPPSQILSLPPPPPNGDCASVTCTEPLTYTPPGTFCGCVWPIQVKLRLGVAIYSFFTLVTELAEEIAASVTLNHSQVRIMGANAASQQLEKSTVLINLVPQGVKFADNTALSIYKKFWNRQVLIKSSLFGSYEVLYVHYPGLPPSPPSPLSISTIDDEPYPGHDNNGITNKPLGVDVRRMRKDGLGGRMIAVIVFSSFSALVICMAIAWLFLLKRGNCVHQPQQVPHASMTSPAKPSGSAGPMIFGGNPSSSSMSFSPGAMTHTGPSKLFTLNDMERATNMFDSSRILGEGGFGVVYSGQLDDGRKVAVKVLKRDDQHGGREFLAEVEMLGRLHHRNLVKLIGICTEEHIRCLVYELIPNGSVESHLHGVDKETDPLNWDARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARAAMEEENKHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKSVDLSQPPGQENLVAYARPLLTNKEGLEAIIDPSIKFTVSFDTIVKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDETKVQRTSSQSYENHLTDADSKNMRVSDGIMEVSQSQIPLPLSTSGLLTGSVGLEGQEFGSFRRHSSSGPLRTGRRRQFWQRLRSLSRGSMSEHGFSLKLWSGTS >Manes.18G044500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3951155:3954180:-1 gene:Manes.18G044500.v8.1 transcript:Manes.18G044500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQELFVTAIVAVLFSFIIAKLVSIAMAGGDSNRDSQLSKSQIINQNESISGNVDDSIVEDLQHFESLKVQGIKSEKRVELVEEVFQQVDEFVEPVEVEKVGKLVNRDEAIETDCRELPPESTEEGLKKEEELSEDRLGKSVAEIKLSREVGDDKNAIEQSDNVIEGRCFNDIENREIEPIGVEFSVEKDVVEESEEIRVVKSRGTEKAEVKKIEIDSDEDDWEGIERSELEQVFAKAVKFVEHRDKDGGLTSAGNDVQMELYGLHKIATEGPCREQPPMALKVTARAKWVDSCYV >Manes.18G044500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3951155:3954180:-1 gene:Manes.18G044500.v8.1 transcript:Manes.18G044500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQELFVTAIVAVLFSFIIAKLVSIAMAGGDSNRDSQLSKSQIINQNESISGNVDDSIVEDLQHFESLKVQGIKSEKRVELVEEVFQQVDEFVEPVEVEKVGKLVNRDEAIETDCRELPPESTEEGLKKEEELSEDRLGKSVAEIKLSREVGDDKNAIEQSDNVIEGRCFNDIENREIEPIGVEFSVEKDVVEESEEIRVVKSRGTEKAEVKKIEIDSDEDDWEGIERSELEQVFAKAVKFVEHRDKDGGLTSAGNDVQMELYGLHKIATEGPCREQPPMALKVTARAKWNAWQRLGNMNQEVAMEKYIDLVSDKVPGWMEYKSTADSNPGPGSSEATSTSAVASELITPSSYHPNIAEERNPEVLPDIEKNNFTGGPNS >Manes.18G044500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3950830:3954180:-1 gene:Manes.18G044500.v8.1 transcript:Manes.18G044500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQELFVTAIVAVLFSFIIAKLVSIAMAGGDSNRDSQLSKSQIINQNESISGNVDDSIVEDLQHFESLKVQGIKSEKRVELVEEVFQQVDEFVEPVEVEKVGKLVNRDEAIETDCRELPPESTEEGLKKEEELSEDRLGKSVAEIKLSREVGDDKNAIEQSDNVIEGRCFNDIENREIEPIGVEFSVEKDVVEESEEIRVVKSRGTEKAEVKKIEIDSDEDDWEGIERSELEQVFAKAVKFVEHRDKDGGLTSAGNDVQMELYGLHKIATEGPCREQPPMALKVTARAKWNAWQRLGNMNQEVAMEKYIDLVSDKVPGWMEYKSTADSNPGPGSSEATSTSAVASELITPSSYHPNIAEERNPEVLPDIEKNNFTGGPNS >Manes.06G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23406181:23407310:1 gene:Manes.06G099900.v8.1 transcript:Manes.06G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRWIRPEVYPLFAAVGVAVGICGMQLVRNICINPEVRVNKQGRAAGVLENFAEGEKYAEHTLRKFVRNRAPEIMPSLNSFFTDPE >Manes.03G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27125555:27128995:-1 gene:Manes.03G143400.v8.1 transcript:Manes.03G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLASHTNLKPRKEGQDTQDELQKRNLREELDDRERRHFSSKDKSYNDDRDRRKNNQLFLEGTRRDTEDRIIPRSVDADDSDVEVNNDDESDDDDDDDDEDDTEALMAELERIKKERAEEQLRQEQQRAAEELKVKEEQLLRGNPLLNNPTSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRNDFHRKFLQKYMK >Manes.05G119232.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12661145:12662589:1 gene:Manes.05G119232.v8.1 transcript:Manes.05G119232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPTKTCLQSPCGKHSSPSFLPSTPCSAPLLFLSPRVFFFFPVSTRICFFRSMQGFGFSFSLSRISHQLPHVHDVFTVSETSELGVYRFISFRVLLVTPFISLPHLLF >Manes.03G125400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25349295:25352782:1 gene:Manes.03G125400.v8.1 transcript:Manes.03G125400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHILNPHFLFSPMFQFSQFMASKLQKSLCLFSSLLFHVIPLLVISFHVLATAPTAAAVQSEAVEADALLRWKASLDNHSQSFLSSWSTTAGSHCFWFGIHCNEAGNVSNISLTDSGLKGTLQSFSFPSFPNLVKLNLSNNSFHGNIPSHIGNLSKLNILDFSVNELSGPIPQEFGMLNSLIYVDLSNSFLTGTIPASIGNLTTLPILYIHMNQLSGSIPQELGMMKFATAIDFSVNNLTGGIPTSIGNLTNLRVLSLYGNQLSGSIPEEIGMLSSLTELALSQNNLTGPIPASIGNLTELSYLYLTDNRLSNSLPREIGKLTKLTRLFLEMNELSGTLPSEMNNFTLLEVFIIYSNRFTGQLPQDICTGGGLKSFAINGNGFTGHIPKSMRNCSSLVRLHLEANQLTGNISEDFGTYPQLTFMDLSDNRFYGELSWKWEGFSNLSTLKISDNNISGTIPADIGMAAQLHSLDLSSNHIAGMIPKELGQLRLFQLSLGDNELSGGIPEEIGLLTELESLNLAANNLSGPIPKKLGDCSKLLFLNLSKNKLTESIPVELGNLGSLEILDLSQNSLTAGIPPQLGKLQRMEILNLSHNLLSGSIPTTFDYLSSLTVVNISYNELEGPIPHNKAFQQAPFEAFQNNRHLCGNNTGLEACVSVAINKTIRKKDSKPVRVIVIPLVCSLLLLGVLVGGFFVLCKRIRNRKTNSEEGEKNGEDIYAMWGRDEDMKYENIVKATEGFDSKYCIGEGGYGVVYKAVLPTGRVVAVKKLHQAQNGDLTDFKAFKSEICVLMNIRHRNIVKLHGFCSHAKHSFLVYKFIERGSLRNILSNEEQAVELNWFRRLNVVKGIANALSYMHHDCSPSIIHRDISSNNVLLDSEFEAHVSDFGTARILMPDSSHWTSFAGTFGYSAPELAYTMMVNEKCDVYSFGVVTIEILMGRHPGDFISSLSSSFSIPSSSPMDENTPLEDVIDQRLPTPRNKAAEGVVHVARIAHACLSANPQFRPTMKQVSSHLMDKWHPLTKPFSELKLEEIIFLPISHQ >Manes.09G060002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9611771:9613151:1 gene:Manes.09G060002.v8.1 transcript:Manes.09G060002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLPLLWYCNTHISIELPDKTGEIVVLEIPRQYDSSKLHRVPNHEALPCVSPRDNLVQRLIIHEIMSFREKWRYWILL >Manes.07G109600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31514389:31522836:1 gene:Manes.07G109600.v8.1 transcript:Manes.07G109600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLEILRCILCCVEDYGDENEYETFYVNTTREPRVSRSVIPFNFKAIFGSRSSDSVNSVESIYDVSSSFDSNRRYVRIPSHSPETYSSWLPPSSQTTTRASSSYRPQSLTTDVTSSKPSQASLLSPASQTSSRSSPQAPKLPLSSKKAQPLIPEIPSSKPSPVSLTSPTSSLKPSPQTPSYSLNPSVSLPKPSTADSKPSPLSSATSAFSFKPVPKAPPSSLDASLSSSKPSLTSSSNVIKIPDIQNSCSESSPSPSKPLPSFKPTLAPASSNITGQQTKANYVWVRKDTLPIYMTPKDIQDLIKKDMVPEVLKKPLTLSTYKDYFAALLYAEDFYIEKWSKFKLVDITLKLQKASVFKLSYFTESHEKEDKTFVTFEIDACRERRPFLISRDFVFARPSGNKTEPFQGVIYRVVRSTTVLVEFGDDFDAQHHSSRKYDVSFSFNRVCLKRAHQAIEAASDPLFKDYIFPDCNFRKRCSESTPSYCNYKLDADQMSAVCQILSFQGPPPYLIEGPLCVTKATRHEISQLSRTGLVVQEAVLEFYRSSQKHRILICAPNNSTCDLLTRSLKKHIPKSDMFRANAAFREIDGVPTDIRSSCVYKGECFSCPRLQELRKFRVILSTYVSSFRLCNEGIAAGHFSHIFLVDASSATEPEVMVALANLANKNTAVIVTGAPGNYPGWVRSDIARENGLMHSYFERLHERNPYARLDPMFITKLVSFESKSDDNQSFDPFFFV >Manes.09G081700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19959139:19982992:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWARSQIGQALDNDDYTDLVDSKLEDMYNKKEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHDAGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKISGFELGQVLSNFVARISTKAMENRGPEILKDGKISEKADVFSLGMVLHELISGKPGKATFPWTWLVGYVYTFVPLSRVLIYNG >Manes.09G081700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19959139:19982992:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWARSQIGQALDNDDYTDLVDSKLEDMYNKKEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKISGFELGQVLSNFVARISTKAMENRGPEILKDGKISEKADVFSLGMVLHELISGKPGKATFPWTWLVGYVYTFVPLSRVLIYNG >Manes.09G081700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19961840:19981770:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKDNTAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWARSQIGQALDNDDYTDLVDSKLEDMYNKKEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHDAGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKVSFKRDKT >Manes.09G081700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19959139:19981795:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWARSQIGQALDNDDYTDLVDSKLEDMYNKKEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKVSFKRDKT >Manes.09G081700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19959139:19982992:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHDAGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKISGFELGQVLSNFVARISTKAMENRGPEILKDGKISEKADVFSLGMVLHELISGKPGKATFPWTWLVGYVYTFVPLSRVLIYNG >Manes.09G081700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19959139:19995266:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKDNTAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWARSQIGQALDNDDYTDLVDSKLEDMYNKKEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKISGFELGQVLSNFVARISTKAMENRGPEILKDGKISEKADVFSLGMVLHELISGKPGKATFPWTWLVGYLAPEFLKDGKISEKVDVFSFGVVLLELITGKPSVIREGNFSMNLVAWVAPQLAEAFNTHNYNSIIDVKLQNNCEIIEMIQMIHCAAACVYKPAKTRPKLSQIVEVLQGNMKSESIWIHSDNTYLKDGPQY >Manes.09G081700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19959139:19982992:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKDNTAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKISGFELGQVLSNFVARISTKAMENRGPEILKDGKISEKADVFSLGMVLHELISGKPGKATFPWTWLVGYVYTFVPLSRVLIYNG >Manes.09G081700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19961840:19981795:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWARSQIGQALDNDDYTDLVDSKLEDMYNKKEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHDAGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKVSFKRDKT >Manes.09G081700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19961840:19981770:1 gene:Manes.09G081700.v8.1 transcript:Manes.09G081700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADRLNDIKDNTAPLPQQSLVTDPAEYRPYSDKNGSRDAPATSENAPRYAPAASEIAQSYGFKRYEYKKLAIATNYFSNKCSIGEGGFGIVYKAFLDDDDVAIKKLKIIKLENKLEEIEYLSVVRHPNIVKMIGYCSEGDDRLLVLEFVPNKSLRHHLHDEDKFLEWPKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLNDNFEPKIADFSLANFLPDTGNINHISSILRGTNIYADPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDEQGNTIVNWARSQIGQALDNDDYTDLVDSKLEDMYNKKEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDRKDIETIQGRPTTSLESLLGIERAQIFSPRMFSFEELAIATQFFSNNCMLRDDNYARVYRGELDGMAVAINKLYLWEGEQMEVEQMVNPINHNYQYLNKLIGYCDEEYDKFIVYEFVPNKSLRFHLHGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPMVIHGNITSHNILLDNNFEPKVSFKRDKT >Manes.12G004801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:621764:623000:1 gene:Manes.12G004801.v8.1 transcript:Manes.12G004801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLALEVRFRITRTLPPKDIEHLHIVETMICVPFTGTQAWTRSLGYKIVDEWRSWISNDQVARYLQGYDDNFTFLTIKGYNSRIQAKRIIELL >Manes.16G017966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1560888:1562462:-1 gene:Manes.16G017966.v8.1 transcript:Manes.16G017966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSKNKYRFVDGTLPAPPITDSMRVAWQRYLQERFSQGDIFRISNLQEEIYAFKQGDRTVTEYFTELKILYDELMNFRPIPVCTCTDPCACGAVAKFTTYQKNDYAIRFLKGLNDRFTHVRSQIMLIDPLPSINKVFSLVVQQERQLDLGENVKVFVSAKASNLSQNRNAFYKKPSSNAKVCTYCGKQRHTVDTCYKKHGFPPGFKFRNSSINQIAVHDAPKTNESVQSYQMPATINSGGSCAPFTQEQIQQLLALIQPSDLAVSHTTNQVSTNNASVSIPTGNSVSLSCFSKSHFWLLDTGATDHICFSLSLFSSYKRIHPIHVKLPNGEQLISHFSGTISLNDDLCLTNVLYIPSFTFNLISVTKLIAALKCCLVFGNNYCLIQAMKPWRMIGTAKVEEGLYVLHQKNINQVSQAASSIGSHSFSVWHYRFGHPSTSRLKVLHSKCPSILVDHISDSCDICHLAKQKRIPFPVSQS >Manes.04G126412.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32707106:32708091:-1 gene:Manes.04G126412.v8.1 transcript:Manes.04G126412.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQNIGIIRNLAYPSFGDFRPSVVRSRVNANNFELKPSLVQMNPHLHLSNFIEINNMIEINEVSEDAIRLRHFQFSLRDRAREWLNAFFPGSITTWEQLSQAFIKQYIPPSKTTKLRIELNSFIQREDESLHEAWERYKELQRKLQHFYNVVSPILRSAIDVAAGGDVMEIIEDEAYTCLDKIVYNNYHCNGERANVKSEAKKPAGMFEIDAMSMINAKFDALARRIDKMTMGMEAKNINAVNDMSYGASFAYDNQSWGQDFSAELLNYLGLSNRSKIRIFSSNFFLHNNTFLRHKIGDHRVPS >Manes.04G140000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33919661:33924263:1 gene:Manes.04G140000.v8.1 transcript:Manes.04G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAAAFQRLASIPSRIHNFPPNPSSTISLLLHHQTQSKEYQPSNELLDFVDHLLQQCTGVDRCKQIHTHVIITGSCCSAFLAARIIFVYARFGLLFEAQKVFNSAPFEGVSNLLLWNSILRANVSNEYYENALKLYVRMRRTGVLGDGFTFPLVLRACASMGNSSFCKIVHGHALEMGFQNHLHVANELIGMYAKLGQMGDAHNVFDRMGVRSHISWNTMVSGYALNYDCNGALEIFKRMQSEGVEPNLVTWTSLMSSHARSGWHKEAMELFDLMRMRGIEVSAEALAVALSVCADLGAFCRGKVIHGYVIKGGFEDYSFVKNALLCMYGKHGDVNSANNLFLEIKNKSSASWNALITSHADAGLCDEALEIFSQMERLEDCPTLRPNVVSWSAVIDGFASQGRGEEALELFRRMQFAKVVANAVTISTILSVCAELPALHLGREIHGHVIRALMDKNILVKNGLVNMYTKCGCLREGHTVFEKIEKKDIISWNSMITGYGMHGLGVNALETFDQMIKLGFKPDKVTFVAIISACSHAGLVPEGRRLFDLMLREYQIEPQMEHYACMVDLLGRAGLLKEASEIVKNMSLEPNACVWGALLNSCRMHKNTEVAEEIASYMFSLNLHETTGNYMLLSNIYAASGRWKDSARVRTSARTKGLNKYPGQSWIKVKKNVHVFSAGNNMQNGLEQVSGILEALTLQMESEEHVYGNNIIPQDVDEVTRLMMWG >Manes.03G169000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29448770:29454874:1 gene:Manes.03G169000.v8.1 transcript:Manes.03G169000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETEVAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFRRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Manes.03G169000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29448724:29453482:1 gene:Manes.03G169000.v8.1 transcript:Manes.03G169000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETEVAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFRRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Manes.03G169000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29448888:29452111:1 gene:Manes.03G169000.v8.1 transcript:Manes.03G169000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETEVAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFRRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Manes.02G141800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10913646:10917332:1 gene:Manes.02G141800.v8.1 transcript:Manes.02G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAVAAASHAGKYGAQAWHAFKTRSPAAGKGSAIGIRESTAMVKEANKAPRQLTLHVGKTGGRNSAGRITSFHRGGGSKRLHRRIDLKRSTSSMGVIERVEYDPNRSSRIALVRWVEGGPLHFQKKFNAAEEFVPPQKILEPITTNTLGRFSLAFLSKEGDREKLAYSPSGRAAAYAVVGLQTGMSPGLKSLSTSKGTETQKTCARDVFLSAFSTPRAKGETASFSLCGLHNLPRIAVAGAKATFFAPQMYEKHGGKNTVSLNEVQKWNSRSSIWAHRMKRKAAVSWQSFKWRDTLGFVGVADSNDSKTGSNHVSLPSS >Manes.07G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11445358:11446869:1 gene:Manes.07G064400.v8.1 transcript:Manes.07G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGIAKAKMLILREARRINNQFVNLSTTERHYHHYHYHRYRSEELGDSVMEAKTEDESCWLPHPRTGIYMPKGHEWVMDDVPEGAASFNQTYWLRNVDGVERPDPDVPSDHYLAKDFY >Manes.08G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14483594:14485104:-1 gene:Manes.08G073700.v8.1 transcript:Manes.08G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHSTTGYSRFHSPDSLQKPLLSHANKEDRLDSALEKEVADLNLRVANLESLLRKKEKGTEAIKQKNAALEKKVLELASTIDEIIKRSAAESFMKNSNLIPDLIDMDHVSMVAASELDIQNPITEISSKQDFPEMNNPVNDLVGCLIVLIFLLAVLIGVLL >Manes.11G120500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27974636:27998975:1 gene:Manes.11G120500.v8.1 transcript:Manes.11G120500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGCSKRSTLDDYDTDYAYTSIAQPSASSSLYFTAGSPSSLPKTDKGYGQRRSMQAFVSQNYEGFGQSQSASSTSLPKEPHSHTPVSQNRQGHGHTPKETDDRYKSPAVESVASSSSSFPEESKINHASGFELKKYSFKELAEATEHFSNNKFLGEGAFGQVFKANLDGKEVAIKKLKMVLMDTEVDHSDEQPKNLEKLLEELDVLRIVNHPNVVKMVGYCNEQKNKLLVLEYVANKSLRFHLNGKKPLVWSNRMKIAIGSAKGLQYLHKECDIRIIHRDIKADNILLTNDFEPKVADFSLAKFLPNATNVSHITSILRGTNVQRIKLPKLWVVETIQFLLTP >Manes.05G019500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1788350:1789287:1 gene:Manes.05G019500.v8.1 transcript:Manes.05G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSSPVSTIKVPALHLAHREFYHFKTLSTSYQLPPHLTTKYNPISNKTTTQFPYLKTLHSPQSYTSQSSSLRSSPNIHRNPASGYAAALVDISQCNNSLETVQKDVERLLKLLQSEQAQAVLANPLVGEKNKGQLVKELAKKGKMNRLLVRLLKMLINRNKVTMVSEVLMEFLRIYDELSGTKVVLVSSKKKMEEGELFGIARTVQKLSGAVKVKIMNLIGVVGDKLPSFAV >Manes.06G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1804779:1808370:-1 gene:Manes.06G011100.v8.1 transcript:Manes.06G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKCFPLLFILMIIHFAVSASIDTIAINQTFGDGSLLISKEKNFVLGFFSPGNSNYRYLGIWYYKVREQTVVWVANRNHPINGSSGVLSVNQYGNLLLCSNHSGKVPVWSANVSREVTKTDTCCVAQLLDSGNLILVQERSGRVLWESFDHPTDTFLPGMKLGLNRETGIHQFLTSWRSVDDPGTGDYSLELNPKGSPQVILYKGRKLNWRSLPWPIKIYNDMRNISFVNNQKETSVTYFLVDASVILTTKLDYSGFLMHLIWHETEGKWKEFWSAPKYLCESYGHCGAYSKCDPLNFNRKFECDCLPGYEPQSPRDWNILKDGSGGCVKKRQESSSVCKQGDGFMKVATVKVPDTSEAVWLGLNTSPVDCEMECKRNCTCSAYSSAVISGKETGCVTWYGKLMDIVISNDEGSDIYVRVDAIELAEIAQKSKGFMEKKHILAILAVSVVSAWFVITLFAYLWFKKKRRIVRNNWNERLLDTINDAYYKGNESEGRMSHPEIVFFNLSTILVATNNFSQANRLGQGGFGLVYKGQLPNGIEVAVKKLSKNSGQGVEEFKNEVMLIAKLQHKNLVKLIGCCIQGEQPILVYEYLPNKSLDTFLFDEMRSFVLDWRKRLDIITGIARGILYLHQDSRLRIIHRDLKAGNILLDAEMNPKISDFGLARIFKDEQIKEKTNKIVGTFGYMPPEYVVFGKFSTKSDVFSFGVILLEIITGKKNNSYSQDGSDLCLIGQIWHSWRENKPLEIVDSSLKESYPSLEVLRCIQIGLLCVQEDVRERPLMSAVVLMLSSELALPSPKQPAFILNKCCNNSNSLLGKGGTCSVDNKTITKVLCR >Manes.09G060752.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9855070:9857482:-1 gene:Manes.09G060752.v8.1 transcript:Manes.09G060752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKESTVSGSPGDSETNSPPVSRAEAPQVMNINMNMAAEDMSVEGSFVAATTIPPALTSDAGSGNQASSSLDLFGNKKKRGRPRKYDSDGNLRVQPFHHPHHQAGPGGALTLQPGFSFSPSSPPSDGLKSPLKRGRGRPPGSGNWQLLASLGELYANTAGGDFTPHVITVNTGEDVAGKILSLAHKGPRGICILSANGAVSNVTIRQPGSSGGILTYEGRFEILSLSGSFTVSETGGVRRSGGLSVSLAGTDGRVIGGGVAGLLLAASPIQMVVGSFMPNGYKAQKRRNHSDNATGSRTPAAQHVLTEARTIFQPKLEGETCLISSSSLQEERPRGKAHCASDQQIPNATSPLTLSLNGSQHI >Manes.01G237800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39946230:39947974:1 gene:Manes.01G237800.v8.1 transcript:Manes.01G237800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSPKFRSLASLCLAVAIFGLCWPVSQSKPEDGMVIQQITEKEDSVCAGVTAPATCPINCFRVDPVCGVDGVTYWCGCADAMCAGTPVTKLGACELENGGSASLPRQALLLVHLIWLILLGFSLLFGLF >Manes.07G038794.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4659813:4661417:-1 gene:Manes.07G038794.v8.1 transcript:Manes.07G038794.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHALSTSLVAFCLIREIAYSIRSASQVSVSGPSLCNPNSSSAMLNRSLMISLLRYSRGRRKRFLSVEYTTKWPFSAIDEVSILPMFCDDVILLRLTAAIFCHFLANFRSFLVLIILLVYKNGRL >Manes.01G086700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28848680:28853964:1 gene:Manes.01G086700.v8.1 transcript:Manes.01G086700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGYSSNNSIVVANQSKPLLGIRIENPFTFKVFQVFTGFGFGCGVGIGVGRPVNLGISPFSLCICAIFSRFLSLICSLRIHICGNMKFWGLFKPKDGETHLKSLAISSCALPMVGQFMSAARGAIDPLSGASRHVNYALRKMGAKGIEVGIGCGVGFGHGFGIGLAVKPGVVQKIQLCLMQVMTKMMVKFGMTPNLSIGQGALPMSLQSGLSSVNGPSIQNPLGSITQLATTRPESMQGYSNMSPHPSYESSMQTSSLVDTPFGRTEKVISSFLHNPILKQDDKNLDQVDRRLHSENNLLQMVLKHQQVIEELMQENEKLRQILVEDLKISPSKLHSSYSPRNKSACADCFECRRKQRKSR >Manes.01G086700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28848679:28853964:1 gene:Manes.01G086700.v8.1 transcript:Manes.01G086700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGYSSNNSIVVANQSKPLLGIRIENPFTFKVFQVFTGFGFGCGVGIGVGRPVNLGALPMVGQFMSAARGAIDPLSGASRHVNYALRKMGAKGIEVGIGCGVGFGHGFGIGLAVKPGVVQKIQLCLMQVMTKMMVKFGMTPNLSIGQGALPMSLQSGLSSVNGPSIQNPLGSITQLATTRPESMQGYSNMSPHPSYESSMQTSSLVDTPFGRTEKVISSFLHNPILKQDDKNLDQVTLAF >Manes.01G086700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28848679:28853964:1 gene:Manes.01G086700.v8.1 transcript:Manes.01G086700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGYSSNNSIVVANQSKPLLGIRIENPFTFKVFQVFTGFGFGCGVGIGVGRPVNLGALPMVGQFMSAARGAIDPLSGASRHVNYALRKMGAKGIEVGIGCGVGFGHGFGIGLAVKPGVVQKIQLCLMQVMTKMMVKFGMTPNLSIGQGALPMSLQSGLSSVNGPSIQNPLGSITQLATTRPESMQGYSNMSPHPSYESSMQTSSLVDTPFGRTEKVISSFLHNPILKQDDKNLDQVDRRLHSENNLLQMVLKHQQVIEELMQENEKLRQILVEDLKISPSKLHSSYSPRNKSACADCFECRRKQRKSR >Manes.01G086700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28848680:28853964:1 gene:Manes.01G086700.v8.1 transcript:Manes.01G086700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSGYSSNNSIVVANQSKPLLGIRIENPFTFKVFQVFTGFGFGCGVGIGVGRPVNLGALPMVGQFMSAARGAIDPLSGASRHVNYALRKMGAKGIEVGIGCGVGFGHGFGIGLAVKPGVVQKIQLCLMQVMTKMMVKFGMTPNLSIGQGALPMSLQSGLSSVNGPSIQNPLGSITQLATTRPESMQGYSNMSPHPSYESSMQTSSLVDTPFGRTEKVISSFLHNPILKQDDKNLDQVVCTMIFVYPLVCLFDGFL >Manes.08G152800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38992092:38995674:1 gene:Manes.08G152800.v8.1 transcript:Manes.08G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLKIGSSIGLPISSSTVKLNQCHKNKVGYGFRFDGSRSIGKICAITPNGSVSPSSSHEAESRAVGNAHRQRSSLESLFCYDKPIPEERIEKPVGTSLAEKAIGNNPRCIDCEAKGAVLCTTCSGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCSECGGRGHLGPR >Manes.05G009400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1019531:1025420:1 gene:Manes.05G009400.v8.1 transcript:Manes.05G009400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGLNGVAKTHEASTSKTHEEKSAINGNSQETEKSKGDEKTNTVPFHKLFSFADSLDILLMIVGTIGAVGNGISLPLMTIFLGDTINAFGENQNKDVVHVVSKVSLKFVYLAVGSAVASFLQVACWIVTGERQAARIRGLYLQTILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFFGGFVVAFIKGWLLTLVLLSSIPLLVLAGAAMSITIAKMASRGQTAYAKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVHEGLATGLGLGVVMLIVFCSYALAIWFGGKMILEKGYSGGNVINVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFETISRKPEIDAYDTRGKKLDDIRGDIELRDIYFSYPARPDEQIFSGFSLSIPSGTTAALVGQSGSGKSTVVSLIERFYDPQAGEVLIDGVNLKEFQLKWIREKIGLVSQEPALFTASIRDNIAYGKDGATIEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKLVEKGSHSELLSDPEGAYSQLIRLQEVNKGSEHAAENHKRSDLSSESFRQSSQKISLQRSISRGSSGVGNSSRHSFSAPFGLPTGINVAENSQEETEVSPSQEKAPEVPISRLAYLNKPEIPVLTLATIAASLNGVIFPIFGILLSRVIKSFFDPTPHELRKDTKFWAIIFMILGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPQHSSGAIGARLSADAALVRALVGDALAQLVQNIATAVAGLVIAFTASWQLAFIILALIPLIGVNGYVQVKFMQGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQMYKKKCEGPLKTGVRQGLISGIGFGVSFFLLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMTALGISQSSSFAPDSSKAKNAAASIFSIIDRKSKIDPSDESGMILENVRGEIELRHISFKYPSRPDIQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVEIQRLQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKDEDATEAEILAASEMANAHKFISSLQQGYDTIVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVVVEKGKHETLINIKDGFYASLVALHMTASTA >Manes.05G009400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1018568:1025239:1 gene:Manes.05G009400.v8.1 transcript:Manes.05G009400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGLNGVAKTHEASTSKTHEEKSAINGNSQETEKSKGDEKTNTVPFHKLFSFADSLDILLMIVGTIGAVGNGISLPLMTIFLGDTINAFGENQNKDVVHVVSKVSLKFVYLAVGSAVASFLQVACWIVTGERQAARIRGLYLQTILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFFGGFVVAFIKGWLLTLVLLSSIPLLVLAGAAMSITIAKMASRGQTAYAKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVHEGLATGLGLGVVMLIVFCSYALAIWFGGKMILEKGYSGGNVINVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFETISRKPEIDAYDTRGKKLDDIRGDIELRDIYFSYPARPDEQIFSGFSLSIPSGTTAALVGQSGSGKSTVVSLIERFYDPQAGEVLIDGVNLKEFQLKWIREKIGLVSQEPALFTASIRDNIAYGKDGATIEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKLVEKGSHSELLSDPEGAYSQLIRLQEVNKGSEHAAENHKRSDLSSESFRQSSQKISLQRSISRGSSGVGNSSRHSFSAPFGLPTGINVAENSQEETEVSPSQEKAPEVPISRLAYLNKPEIPVLTLATIAASLNGVIFPIFGILLSRVIKSFFDPTPHELRKDTKFWAIIFMILGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPQHSSGAIGARLSADAALVRALVGDALAQLVQNIATAVAGLVIAFTASWQLAFIILALIPLIGVNGYVQVKFMQGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQMYKKKCEGPLKTGVRQGLISGIGFGVSFFLLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMTALGISQSSSFAPDSSKAKNAAASIFSIIDRKSKIDPSDESGMILENVRGEIELRHISFKYPSRPDIQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVEIQRLQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKDEDATEAEILAASEMANAHKFISSLQQGYDTIVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVVVEKGKHETLINIKDGFYASLVALHMTASTA >Manes.05G009400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1018495:1025420:1 gene:Manes.05G009400.v8.1 transcript:Manes.05G009400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGLNGVAKTHEASTSKTHEEKSAINGNSQETEKSKGDEKTNTVPFHKLFSFADSLDILLMIVGTIGAVGNGISLPLMTIFLGDTINAFGENQNKDVVHVVSKVSLKFVYLAVGSAVASFLQVACWIVTGERQAARIRGLYLQTILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFFGGFVVAFIKGWLLTLVLLSSIPLLVLAGAAMSITIAKMASRGQTAYAKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVHEGLATGLGLGVVMLIVFCSYALAIWFGGKMILEKGYSGGNVINVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFETISRKPEIDAYDTRGKKLDDIRGDIELRDIYFSYPARPDEQIFSGFSLSIPSGTTAALVGQSGSGKSTVVSLIERFYDPQAGEVLIDGVNLKEFQLKWIREKIGLVSQEPALFTASIRDNIAYGKDGATIEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKLVEKGSHSELLSDPEGAYSQLIRLQEVNKGSEHAAENHKRSDLSSESFRQSSQKISLQRSISRGSSGVGNSSRHSFSAPFGLPTGINVAENSQEETEVSPSQEKAPEVPISRLAYLNKPEIPVLTLATIAASLNGVIFPIFGILLSRVIKSFFDPTPHELRKDTKFWAIIFMILGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPQHSSGAIGARLSADAALVRALVGDALAQLVQNIATAVAGLVIAFTASWQLAFIILALIPLIGVNGYVQVKFMQGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQMYKKKCEGPLKTGVRQGLISGIGFGVSFFLLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMTALGISQSSSFAPDSSKAKNAAASIFSIIDRKSKIDPSDESGMILENVRGEIELRHISFKYPSRPDIQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVEIQRLQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKDEDATEAEILAASEMANAHKFISSLQQGYDTIVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVVVEKGKHETLINIKDGFYASLVALHMTASTA >Manes.05G009400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1019531:1025279:1 gene:Manes.05G009400.v8.1 transcript:Manes.05G009400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGLNGVAKTHEASTSKTHEEKSAINGNSQETEKSKGDEKTNTVPFHKLFSFADSLDILLMIVGTIGAVGNGISLPLMTIFLGDTINAFGENQNKDVVHVVSKVSLKFVYLAVGSAVASFLQVACWIVTGERQAARIRGLYLQTILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFFGGFVVAFIKGWLLTLVLLSSIPLLVLAGAAMSITIAKMASRGQTAYAKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVHEGLATGLGLGVVMLIVFCSYALAIWFGGKMILEKGYSGGNVINVIIAVLSGSMSLGQASPCMSAFAAGQAAAYKMFETISRKPEIDAYDTRGKKLDDIRGDIELRDIYFSYPARPDEQIFSGFSLSIPSGTTAALVGQSGSGKSTVVSLIERFYDPQAGEVLIDGVNLKEFQLKWIREKIGLVSQEPALFTASIRDNIAYGKDGATIEEIRAAAELANAAKFIDKLPQGLDTMAGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTIRNADVIAVIHRGKLVEKELLSDPEGAYSQLIRLQEVNKGSEHAAENHKRSDLSSESFRQSSQKISLQRSISRGSSGVGNSSRHSFSAPFGLPTGINVAENSQEETEVSPSQEKAPEVPISRLAYLNKPEIPVLTLATIAASLNGVIFPIFGILLSRVIKSFFDPTPHELRKDTKFWAIIFMILGVASFLVLPSQFYFFGVAGNRLIQRIRTICFEKVVHMEVGWFDDPQHSSGAIGARLSADAALVRALVGDALAQLVQNIATAVAGLVIAFTASWQLAFIILALIPLIGVNGYVQVKFMQGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQMYKKKCEGPLKTGVRQGLISGIGFGVSFFLLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALTMTALGISQSSSFAPDSSKAKNAAASIFSIIDRKSKIDPSDESGMILENVRGEIELRHISFKYPSRPDIQIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVEIQRLQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKDEDATEAEILAASEMANAHKFISSLQQGYDTIVGERGVQLSGGQKQRVAIARAIIKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVVVEKGKHETLINIKDGFYASLVALHMTASTA >Manes.16G001500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:369720:375071:-1 gene:Manes.16G001500.v8.1 transcript:Manes.16G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCFHAFRLRRSKSKPFTIPSSSKTRFNSDMENMEKRRFDSLESWSMILDSENVEAWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQNEETRALLEQQFKSEVALLSRLFHPNIVQFIAACKRPPVYCIITEYMSQGNLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCRETKGNKGTYRWMAPEMIKEKSYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWAANPSKRPDFSYIVSALEKYDECVKEGLPLTSHPGLVSRNVILERLKGCVSMSSSIPVHA >Manes.16G001500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:372176:375070:-1 gene:Manes.16G001500.v8.1 transcript:Manes.16G001500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCFHAFRLRRSKSKPFTIPSSSKTRFNSDMENMEKRRFDSLESWSMILDSENVEAWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQNEETRALLEQQFKSEVALLSRLFHPNIVQFIAACKRPPVYCIITEYMSQGNLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCRETKGNKGTYRWMAPEMIKEKSYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKN >Manes.16G001500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:369843:375070:-1 gene:Manes.16G001500.v8.1 transcript:Manes.16G001500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCFHAFRLRRSKSKPFTIPSSSKTRFNSDMENMEKRRFDSLESWSMILDSENVEAWEASKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQNEETRALLEQQFKSEVALLSRLFHPNIVQFIAACKRPPVYCIITEYMSQGNLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCRETKGNKGTYRWMAPEMIKEKSYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWAANPSKRPDFSYIVSALEKYDECVKEGLPLTSHPGLVSRNVILERLKGCVSMSSSIPVHA >Manes.18G109500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10842497:10843474:-1 gene:Manes.18G109500.v8.1 transcript:Manes.18G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNLPWKARIPLFIFSTAVSASCRSNFTVNRRIWSFFDFKVPASQTPRNGVKTSDIIIDASRDLWFRLYVPYSVSTSTISPIIIYMHGGGFSFFTADSTATEICCQSLASELEAIVVSVNYRLAPEHRFPCQYEDCFDFLKFLDENFGCFPPSADRNRCFLVGDSAGGNLIHHSAVRASGYDFQKLKIIGLVSLQPFFGGEERTGSEIRLVGSPVLNFKLTDWFWKAFLPEGSDRDHPASNVFGPKSDDISGVKFPDMLLVIGGFDILQDWQRRYYQGMKKEGKQVYLVEYPNAIHGFWGFPDLPEYSLFFKEMKDFIQKQSAK >Manes.14G075800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6330140:6333890:1 gene:Manes.14G075800.v8.1 transcript:Manes.14G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVMGRSGHGALLKEDKPAGDDDHHHEGACKEEATAKVIPAKDKNERSTTETDSKASSSMQKEQDSSLKAVRAEMGEVREENKRLKMHLGQMMKDYQALHMKFYDIIQQDETKKSTSTVDNSHQAFEEPELVSLSLGRFSSESKNDGKSKTYSHGKDEEDEKANNEGDLSLRLDCKFEVSKFRNANETLPNPSSPVNIFKDKPKEEVEETWPPPKVLKTMPSGGEDEVAQQCPLKKARVYVRMRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTLASSCPVRKQVQRCAEDMSILITSYEGTHNHPLPLSATFMASTTSSAASMLLSGSLSSSFRTNPNPSATSSSTSADLHGLNFYLAKDSNSNQFYLHNSSLSASPSHSTITIDLTSNPSSSQFNRFNSSYAPNPKFAPASLNFSSSESNVIPWGNGLLIHGSTSQLYSRNQLGKLNLGGRPPMEHSLFQPYMQQKTPVTSQQPLPDTIAAATKAITTDPSFQSALVAALKSIIGNESGSDNGGSSDGASMGGGDHLAQKLKWGEHFPLASGYLHLSSPTPKGNKIACTTSYLNKTTSANSQPESMFLPTSLPFPSPKSASAFPGDDRDHSN >Manes.14G075800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6331052:6333891:1 gene:Manes.14G075800.v8.1 transcript:Manes.14G075800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEQDSSLKAVRAEMGEVREENKRLKMHLGQMMKDYQALHMKFYDIIQQDETKKSTSTVDNSHQAFEEPELVSLSLGRFSSESKNDGKSKTYSHGKDEEDEKANNEGDLSLRLDCKFEVSKFRNANETLPNPSSPVNIFKDKPKEEVEETWPPPKVLKTMPSGGEDEVAQQCPLKKARVYVRMRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTLASSCPVRKQVQRCAEDMSILITSYEGTHNHPLPLSATFMASTTSSAASMLLSGSLSSSFRTNPNPSATSSSTSADLHGLNFYLAKDSNSNQFYLHNSSLSASPSHSTITIDLTSNPSSSQFNRFNSSYAPNPKFAPASLNFSSSESNVIPWGNGLLIHGSTSQLYSRNQLGKLNLGGRPPMEHSLFQPYMQQKTPVTSQQPLPDTIAAATKAITTDPSFQSALVAALKSIIGNESGSDNGGSSDGASMGGGDHLAQKLKWGEHFPLASGYLHLSSPTPKGNKIACTTSYLNKTTSANSQPESMFLPTSLPFPSPKSASAFPGDDRDHSN >Manes.14G075800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6330933:6333891:1 gene:Manes.14G075800.v8.1 transcript:Manes.14G075800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEQDSSLKAVRAEMGEVREENKRLKMHLGQMMKDYQALHMKFYDIIQQDETKKSTSTVDNSHQAFEEPELVSLSLGRFSSESKNDGKSKTYSHGKDEEDEKANNEGDLSLRLDCKFEVSKFRNANETLPNPSSPVNIFKDKPKEEVEETWPPPKVLKTMPSGGEDEVAQQCPLKKARVYVRMRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTLASSCPVRKQVQRCAEDMSILITSYEGTHNHPLPLSATFMASTTSSAASMLLSGSLSSSFRTNPNPSATSSSTSADLHGLNFYLAKDSNSNQFYLHNSSLSASPSHSTITIDLTSNPSSSQFNRFNSSYAPNPKFAPASLNFSSSESNVIPWGNGLLIHGSTSQLYSRNQLGKLNLGGRPPMEHSLFQPYMQQKTPVTSQQPLPDTIAAATKAITTDPSFQSALVAALKSIIGNESGSDNGGSSDGASMGGGDHLAQKLKWGEHFPLASGYLHLSSPTPKGNKIACTTSYLNKTTSANSQPESMFLPTSLPFPSPKSASAFPGDDRDHSN >Manes.07G023200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2516867:2520685:1 gene:Manes.07G023200.v8.1 transcript:Manes.07G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPASFLRFLSLLLLILSSSYVAADSHFEGFEGEEDDVVEEPIDSHSFKPTDLSLTRSDSPPSPVTDSLSENSELNVKSNSDSQSSKLESDQSKPSYPPSTTTFDYWDEDEFEGLPVQQPQVETPKPTESTAPADDQSTDPKPKTIFNKQQSYTVEIVCVSFLIMFIINYFTGKRENENLALSWAAKFATKDSIFEKNFSLLGVGEGDDSPLLLKEGQNVFKFYASGRRYCQGLLATMELKSRHDLIARIYNMIVPCKDEITFEVYMNDDAMDHVVFALAKKKAAKTMQKEVRDLQRFAGIVTQPPSGRKWVSEELGVISESKEVAGDLITDAVLEQVFGEKAFEKLGKGFISMHFSDQHPGKHKKMLLFKFALPDANNMADMTRLVALVPYYIDLIGRYKLSSQARSKTEAARSKAAQETYKELQNARQEALQKKKAERKKMLEEAEAKLNAEAIRKKEAKERARQMKKGMPKIKMTRAH >Manes.14G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3186376:3187947:1 gene:Manes.14G036400.v8.1 transcript:Manes.14G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASLNARFKHSVTPDALRSYLAEFISTFFYVFAVIGSAMASRKLMPGADPSSLVAVAIANAFALSSVVYVAANISGGHVNPAVTFSLAVGGHISLPTALFYWISQMLASVMACLLLRVATVGQSLPTYMIAEEMTGFGATIIEGVLTFGLVYTIYAAGDPRRSLLGATGPLAIGLMAGANVLAAGPFSGGSMNPACAFGSAVIAGRFKNQAVYWVGPLIGGAVAGLLYDNVVFPIQVPDSIRGISDNTGL >Manes.01G261600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41721419:41727234:-1 gene:Manes.01G261600.v8.1 transcript:Manes.01G261600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSFLTFKSKKANLYKLTLTIILCTLFYLIGFYQNSRGSIPLVNQSSAAYAIFSCAPPIRNTTLDFSPHHYIPDPPPVVARVSHLPPCDPQFSEYTPCEDVQRSLKFDRDRLIYRERHCPEKNELLKCRVPAPFGYRVPFRWPVSRDFGWYANVPHKELTVEKKNQNWVRFEGDRFRFPGGGTMFPRGADAYIDDIGKLINLKDGSIRTAIDTGCGVASFGAYLLSRNILTVSFAPRDTHEAQVQFALERGVPALIGVLASIRLPYPSRAFDMAHCSRCLIPWGQYDGLYLIEVDRVLRPGGYWVLSGPPVNWENHWKGWNRSPEDLKAEESTIETVAKSLCWKKLVQKDDLSIWQKPTNHIHCIANRKVYKKPVFCEPQDPDKAWYTKMETCLTPLPEVSDIREVAGGQLAKWPERLTAIPPRISSGSVEGITAEIFTENTELWKGRVAHYKEVDRQLAEQGRYRNLLDMNAYLGGFAAALVDDPVWVMNVVPVEADVNSLGVIYERGLIGTYQNWCEAMSTYPRTYDLIHADSIFSLYKDRCEMEDILLEMDRILRPEGSVIIRDDVDILLKVKRIVDVMQWDARIADHESSAHEREKILFAVKQYWTAPDPNQIHQESETAS >Manes.09G179100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36865120:36867359:1 gene:Manes.09G179100.v8.1 transcript:Manes.09G179100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNLTTSTKTTTSLVKQASLSSRAYVTFLAGNGDYVKGVVGLAKGLRKVDSKYPLVVAILPDVPEEHRKILVSQGCIVKEIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFELEDGYFYGVMDCFCEQTWSNSPQYKIGYCQQCPDRVQWPAELGPKPPLYFNAGMFVFEPNLSTYHDLLTTVKVTTPTLFAEQDFLNMFFRDKYRPIPPIYNLVLAMLWRHPENVELDKLKVVHYCAAGSKPWRYTGKDANMDREDIKMLVKKWWDIYNDESLDYKNTVGAAGGGGIEGGLQLPLAALSEGGVVHYISAPSAA >Manes.15G116766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9324844:9328140:-1 gene:Manes.15G116766.v8.1 transcript:Manes.15G116766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMETKLGSSLPVPSVQELAKKSPNKVPSKYVRPDLEPSIASKAALHQVPVIDIQKEWDFFQLINHGANKSSIENMKRELQALFNLPMEEKKKLWPKPGNMEGFGQHFVMSEDQKLDWADLFFLNMLPIHMRKPHPFSALPTCFREAVEDYSAELRDLAMRILEQMARALCMDFNEIKENYQEGWQAMRMNYYPPCPQPDLPHCDCPTPNAFIINIGDMLEIVTNGIYPSVEQRATISSTKERPSIAPFHNREFDGELCAAGSLVTPEI >Manes.03G160000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28724470:28726423:1 gene:Manes.03G160000.v8.1 transcript:Manes.03G160000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:eIF MATETATEGSATEATATGVEKPLQHKLERKWTFWFDNQSKPKQGAAWGSSLRKVYTFDTVEEFWCLYDQIFKPSKLPGNADFHLFKAGVEPKWEDPECANGGKWSVTCGRKAILDTIWLETLMALIGEQFDEADEICGVVASVRQRQDKLALWTKTATNEAAQMSIGKKWKEIIDVTEKITYSFHDDSRRERSVKGRYNV >Manes.09G157000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35247281:35248719:1 gene:Manes.09G157000.v8.1 transcript:Manes.09G157000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTRLFFSFHLSQGSPPKANTHFCKLSSFISPHKPLKSHFTIRSVDVSKEVNPPNSNQPSDPPSSESPPAAESPPQDLEPTFDKRRLEEKFAVLNTGIYECRSCGYKYDESVGDPSYPIPPGLQFDKLPEDWRCPTCGAAKTFFESKSVEIAGFAQNQQFGLGGLTYFWAKGYSDIWKLIVLLCTVLVRVLSAIM >Manes.14G126200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10010803:10015501:-1 gene:Manes.14G126200.v8.1 transcript:Manes.14G126200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLLNYRAFLSTPVALTSVRRAFSAAAAPFSASKWEGGVAMVQGASRGIGLEFVKQLLEKNEKGHVIATCRNPNGAMGLLDLKNKFPERLDILQLDLTIESSIEATTMSIREKYGSLNLLINASGILSIPNVLQPETTLNKVEKSSLMLAYEVNAVGPILIIRHMWPLLKAGGGSGTQRDVAVVANLSARVGSIGDNRLGGWHSYRSSKAALNQLTKTVSVEFARKKDPIICILLHPGTVDTDLSRPFQRNVPEGKLFTKEFSVQKLLNIINNAKSHDNGKFFAWDGQEIPW >Manes.14G009500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:535541:539095:-1 gene:Manes.14G009500.v8.1 transcript:Manes.14G009500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEQTQQQRSQQQQQPVMGVVAGAVQMSYTSTPYQTAGMMASGTPATAVPSPTQPPSTFSNSTHQLTYQQSQHFHHQQQQQQLQMFWANQMEEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPMVGSPADIPYYYVPPQHPVGPPGMIMGKPIDQAAVYGSQQPRQPVAFKPWPQTQPPLEAQQQPSDT >Manes.14G009500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:535629:539063:-1 gene:Manes.14G009500.v8.1 transcript:Manes.14G009500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEQTQQQRSQQQQQPVMGVVAGAVQMSYTSTPYQTAGMMASGTPATAVPSPTQPPSTFSNSTHQLTYQQSQHFHHQQQQQQLQMFWANQMEEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPMVGSPADIPYYYVPPQHPVGPPGMIMGKPIDQAAVYGSQQPRQPVAFKPWPQTQPPLEAQQQPSDT >Manes.10G100733.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24926199:24926570:1 gene:Manes.10G100733.v8.1 transcript:Manes.10G100733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNDFILVLFIAFSFSSINIALAARHLLQLSPMPSVPNLPNPTVPPLPKATLQPLPSLPTLPTLPTAQPSLPKPTLPPLPSLPTMPTAPQVTLPAVPIMPSIPTIPTTIPSIPFLSPPPGN >Manes.01G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30987107:30992697:-1 gene:Manes.01G111400.v8.1 transcript:Manes.01G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTVSTANPCISCPRNSLFQQRHLVSTRLSVRASLPDNSNGVKVEYTPWLIVGLGNPGNKYCGTRHNIGFEMVDQIAQAEGVMMDTIQSKALIGIGCIKEVPIILAKPQAYMNFSGESVGPLAAHYQVPLRHILLIYDEMSLPNGVVRIQPKGGHGHHNGVKNVINHLDDCRGFPRMCIGIGNPPGTMDMKAFLLQKFSSTEREQIDAALKQGIEAVRNLVLNGFNQSITRFNLGQKYKFHKV >Manes.06G017250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2853967:2854653:-1 gene:Manes.06G017250.v8.1 transcript:Manes.06G017250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHHMGVRISGSNTLKLHFYRRLRRRRNLLLELKSSSGRPAGSRRSQIIGALAGSSQRTSIVDRRVRDQALLACEPFRRCRRRAGCREPNSSSPS >Manes.02G072400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5541467:5544127:-1 gene:Manes.02G072400.v8.1 transcript:Manes.02G072400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAGRSREERKWAITRDGFVKEVKKTSCIAAPMVAVSVLQYLLQVVSVIMVGHLGDQLALSSVAIATSLTNVVGFSLLSGMAGALETLCGQAYGAEEHHKLGTYTYSAIISLVMICPPICLLWIFLDRLLPLIGQDPLISREACKYSIWLIPALFGSAILKPLTRFLQTQSVILPMLLSSLLILFFHSTACWTFVYKLGLGYKGTALAFGLSIWLNVFLLGFYVKCSSACQKTRTPISKDAFLGIGEIFRLGVPSAVMVCLKWWSMELLTLLSGLLPNAKLETSVLSICLTISTLHFTIPYGFGAAASTRVSNEVGAGNPHSARLAVVVAMCVAGAEAVIVSTSLFFCRHFLGYAYSNDKQVVDYVSIMTPLICLSVIMDSLQAVLSGVARGCGWQHIGAYINLAAFYLCGLPVGAVLGFVAHLRGKGLWIGIVAGSMVQSALLSLITAFINWEKQVKRARERILQRSSMENDEN >Manes.02G072400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5541467:5544127:-1 gene:Manes.02G072400.v8.1 transcript:Manes.02G072400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPPICLLWIFLDRLLPLIGQDPLISREACKYSIWLIPALFGSAILKPLTRFLQTQSVILPMLLSSLLILFFHSTACWTFVYKLGLGYKGTALAFGLSIWLNVFLLGFYVKCSSACQKTRTPISKDAFLGIGEIFRLGVPSAVMVCLKWWSMELLTLLSGLLPNAKLETSVLSICLTISTLHFTIPYGFGAAASTRVSNEVGAGNPHSARLAVVVAMCVAGAEAVIVSTSLFFCRHFLGYAYSNDKQVVDYVSIMTPLICLSVIMDSLQAVLSGVARGCGWQHIGAYINLAAFYLCGLPVGAVLGFVAHLRGKGLWIGIVAGSMVQSALLSLITAFINWEKQVKRARERILQRSSMENDEN >Manes.02G214360.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:28144806:28164924:-1 gene:Manes.02G214360.v8.1 transcript:Manes.02G214360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEESQRAIDEEVGSHAPSEPIEPAVAPAPHGEDRQGQDAFLQQLADMFRRVSGAAPQVPPPVARVLQQLQCTPPDSVACAVALLQEEVYQWWDTTSQTVQSEQQTWKLFLAEFRKKYIGDLYMDEKKREFLYLRQGRMTVSEYEKDFIRLSKYAREMVPTEEAKCKKFEQGLHNDIRVLLAAHSIKEFSTLVNAALNIEKIKEEEQSWRQKGQQKRGQTQMQGQSSASQAPMKRQIGAQLSGQSQVQRQRQPLAQSFAGRFGQQTSTSVASSGSVGRGQYPICEHCGRRHLGPCRKLTGACFRCGSTEHLMRDCPRGHVSSAPPVERPIPAGFRGRGRGRGNQTGAASASQRVSETVDRPDFRTPARAYAIRAKEDIDSPDVIVEQTQREEGTSKGKGKEKE >Manes.17G013900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6672694:6676172:-1 gene:Manes.17G013900.v8.1 transcript:Manes.17G013900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAMGKEKWEKNIHILDKKIRRFPGFIWQTTWRVGRDDPRRVIHAFKVGFALTLVSLLYLLEPLFESIGQNAIWAVMTVVVVLEFTAGATLCKGLNRGLGTLIAGSLAFAIEYIAQETGHVFRAIFIGAAVFVIGSAATYIRFFPYVKKNYDYGVVIFLLTFNLITVSSFRVSNVLKIAHQRFYTIAIGCGVCLLMSLLVFPIWSGEDLHNSTVSKLEGLAKSIEACVKEYFSEVEKEAPEDQSEDPIYKGYKNVLDSKPQDETLALHASWEPRHSRHCKYPWQQYVKLGAVLRSFGYTVVALHGCLQTEIQTPRSCRALFKDPCIRVASEVSKALMELANSIRNHRHCSPGILSDHLHEALHDLNRAIKSQPRLFLGSNHNQATNMLALAAAHARQKQEKDHGVSLASVKTDSCALFEWKSKRTNEQSREAERKVLRPQLSKIAITSLEFSEALPFAAFASLLVETVARLDNVIEEVEELGRIACFKEYKPGDEIVVNCETPKVNVSHNHFHSSDGAE >Manes.02G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9963651:9969367:1 gene:Manes.02G131400.v8.1 transcript:Manes.02G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKSSKLNNQQLHQHQNGHLSPFKFAKLLDPEASWDKDQLGDVLHWIRQVVALLCGLLWGAIPLVGGIWIAVFLVISSGIVYVYYSMILKVDEEEFGGHGALLQEGLFASITLFLLSWTLVYSLAHF >Manes.06G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24605045:24611877:1 gene:Manes.06G115300.v8.1 transcript:Manes.06G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAKRKRREIGSRVLDKVGEVVSAIKTAKHVEQVICSLHCLAVLLFPIDPSLISGGLDEVYREQVINANIPSAEERKEWWQAFYRGAAFPTLARVLLLDVASNWLPCFPYSAKEHVYDAFFVSGLSTEVVQILVPCLQPNGNDGLDVSAVQSNSERLLLLFLENNGVVQMAREFGIAHQSPDFTKAQLQLFVSRIAQIVASVPDKARPRAPASLSSHLFFKQITIQLLGDVQERVKNLTNKEDFFNESDSDGRMLFVGEIFSRICRRGSSDVLLGEVIPRVLGDIRWCLSSCSGSATKEVFEANPRSQFWLRMMEAIKDPYAVERMSEQLLHQLAIEHATNIEAYWILWMLFNHVLKTHPSIRSMFVDKFLFWKVFPIRCLQWIIQFVVLECPPVANSLTKGLETHILLDTVQRLVAVWSKREFVQSAPVEQQAYVTAAIGLCMEQMSKEELEKSKDVMHSILHGVGCRLESPTHLIRKMASNVALVFSKVIDPQNPLYLDDSCIDETIDWELGLAKPEKRNLPASDENDKAKILTISEPEKDSNFSGSNGMDKKNKGESKKSSPFELVDPDEIIDPGTLNYGSASDGEEDDDASENSDSSSDSSLQPYDLTDDDTDLQKKFTQLVDVVGALRKSNDADGVERALDVAEKLVRASPDELTHVAGDLARTLVQVRCSDSAVEGEEESAEEKRQRALVALLVTCPFQSLDTLNKLLYSPNVDVSQRIMILDVMTEAAQELANAKIMKPKRQSTVLISTISENQPWFLPSSSGPPGAGAWKEVSETGTLLNYSNRYERALPSKPGQIRKGKTRRWSLRQANIQESHLEWTQNKFPVYAAAFMLPAMQGFDKKRHGVDLLGRDFIVLGKLIYMLATCMRCVSMHPEASALAPPLLDMLRSREICHHKEVYVRKAVLFAASSILVSLHPSFVALAITEGNLEVSKGLEWIRMWALNIVESDVDKECYMMAMSCLQLHAEMALQASRALEAAETTFNAKNVGLPSILSKGTIRIPYSNVEYQLN >Manes.01G084300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28680060:28684476:1 gene:Manes.01G084300.v8.1 transcript:Manes.01G084300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLVMATAPVKPQPLHNFPLSLKWGQTTALSAPTNHHPHHRSTSTGRITSALAPDSETESDQELTTVRNPPRVGSRSARVHRFSFTSCSSLIPKPKNSSMENMQKQTTVLETEVAEKPRKQVTVLENNGADAEEEEVEEKQKQEEEEGNSRPWKLRPRKGILAAKNGGELKEAVMLHVNEQRERDNTPQLQPKSMRLRGFVESAGGGGGLCLEKKEKRKFWIALSREEIEEDIFALTGSRPARRPRKRPKNVQKVLDNVFPGLWLVGTTADSYRVADPPVKR >Manes.01G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28679986:28684476:1 gene:Manes.01G084300.v8.1 transcript:Manes.01G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLLVMATAPVKPQPLHNFPLSLKWGQTTALSAPTNHHPHHRSTSTGRITSALAPDSETESDQELTTVRNPPRVGSRSARVHRFSFTSCSSLIPKPKNSSMENMQKQTTVLETEVAEKPRKQVTVLENNGADAEEEEVEEKQKQEEEEGNSRPWKLRPRKGILAAKNGGELKEAVMLHVNEQRERDNTPQLQPKSMRLRGFVESAGGGGGLCLEKKEKRKFWIALSREEIEEDIFALTGSRPARRPRKRPKNVQKVLDNVFPGLWLVGTTADSYRVADPPVKR >Manes.03G041000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3606399:3609749:-1 gene:Manes.03G041000.v8.1 transcript:Manes.03G041000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETCRVLAAAASKSRCSTSVPSLPPPFPKSPPEYPDLYGKRKEIVKVQMLDREIGFLEEELKSVDSLQPASRCCKEVTDYVVANPDPLIPTNRKNRRSCRFWKWLCGIPCFNFSWICCCCYTGCSFHIHLPRCCDCNCDCDPCGLCNCCSCTRCPAPKCHCCSCSSCFPCHCHCPCSCSCPKSSCCRNISCCSNCCTCRFPSCPDCSCCRFSCPDCSCCRCSCPDCSNCSCCTWFCCCPRWSCSAPRCPKGPKCPEVRLCSNCTKNCCNPCCLFF >Manes.03G041000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3606983:3609403:-1 gene:Manes.03G041000.v8.1 transcript:Manes.03G041000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETCRVLAAAASKSRCSTSVPSLPPPFPKSPPEYPDLYGKRKEIVKVQMLDREIGFLEEELKSVDSLQPASRCCKEYVGFCICLCFAKLLIHQNIVVTDYVVANPDPLIPTNRKNRRSCRFWKWLCGIPCFNFSWICCCCYTGCSFHIHLPRCCDCNCDCDPCGLCNCCSCTRCPAPKCHCCSCSSCFPCHCHCPCSCSCPKSSCCRNISCCSNCCTCRFPSCPDCSCCRFSCPDCSCCRCSCPDCSNCSCCTWFCCCPRWSCSAPRCPKGPKCPEVRLCSNCTKNCCNPCCLFF >Manes.03G041000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3606983:3609403:-1 gene:Manes.03G041000.v8.1 transcript:Manes.03G041000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETCRVLAAAASKSRCSTSVPSLPPPFPKSPPEYPDLYGKRKEIVKVQMLDREIGFLEEELKSVDSLQPASRCCKEVTDYVVANPDPLIPTGIPCFNFSWICCCCYTGCSFHIHLPRCCDCNCDCDPCGLCNCCSCTRCPAPKCHCCSCSSCFPCHCHCPCSCSCPKSSCCRNISCCSNCCTCRFPSCPDCSCCRFSCPDCSCCRCSCPDCSNCSCCTWFCCCPRWSCSAPRCPKGPKCPEVRLCSNCTKNCCNPCCLFF >Manes.03G041000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3606537:3609750:-1 gene:Manes.03G041000.v8.1 transcript:Manes.03G041000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETCRVLAAAASKSRCSTSVPSLPPPFPKSPPEYPDLYGKRKEIVKVQMLDREIGFLEEELKSVDSLQPASRCCKEVTDYVVANPDPLIPTNRKNRRSCRFWKWLCGIPCFNFSWICCCCYTGCSFHIHLPRCCDCNCDCDPCGLCNCCSCTRCPAPKCHCCSCSSCFPCHCHCPCSCSCPKSSCCRNISCCSNCCTCRFPSCPDCSCCRFSCPDCSCCRCSCPDCSNCSCCTWFCCCPRWSCSAPRCPKGPKCPELPSVVDLANKQSQVLFNWILILGAEALRHDDPFHPHP >Manes.18G109800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10924156:10925830:-1 gene:Manes.18G109800.v8.1 transcript:Manes.18G109800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGRPDKQMVGPMTKQSKGRPDNAQVSMKDQLFAANQSDKKWNHRYIIDVQENNVVSTELTLHCGFPSMIKKPRTTPISLSSFFHSSIVVSTRPAKEEEPDGVSKESSELKTLARDTANERIYSPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNHCRLLVASALVKNHIFPFMGSEIVEKIRGEGAEFCFWDCDTNTGLNLVLKYWHTSKSYIFNKGWLNNFVKRRNLVEGDLIGIYWDSTKKIFNFAVIERASEVYP >Manes.11G071500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10347136:10377073:-1 gene:Manes.11G071500.v8.1 transcript:Manes.11G071500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFNVPSSSLFKPQTFFSRIPSISSHNRISPLPFTLSCSTSKSSSPDVNDENTDKKRPNRRLSEQSSWEAKDAEGRDYLYRLGKEADNMNIAVGARDGIIDPLFAGNFLGRDSDIVFDYRQKVTRSFEYLQGDYYIAPVFLDKVVCFIVKNYIAHLLNTKVPLILGIWGGKGQGKSFQTELIFQAMGIEPVIMSAGELESERAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQEWRESDVTSRIPIIVTGNDFSTIYAPLIRDGRMEKFYWQPNREDIVNIVHRTYEKDGISRDEVVSIVNTFPNQALDFYGALRSRTYDKSISKWIDDIGGVEKLGDTLLKRRKNEELPVFNPPTQTLEALIESGYSLIKEQQLIMENKLSKEYMKNIDD >Manes.17G065200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26531437:26545702:-1 gene:Manes.17G065200.v8.1 transcript:Manes.17G065200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEDPSDVHRLFRRAMSFVGKDYLCHTLWDKYIEFAFSLKHWSSLADIYIQALRFPTKKLHRYYNSFEKLVEIWEEEMESHSKSNMTTSVEPVLDNEVSICYKEDDISCIIKDLLDPSIGSARRKALQKYMSIGEHLYQQASQLNEKINHFETRIKRSYFHVKPVDISQLENWHQYLDFAESHGDFDWAVKLYERCLIPCANYPEFWIRYTEFVESKGGREIAHYALDRARTIFLKRVSVIHLFNARFKEHVGDVFNARAAFLQCDTESDSDFVENVVMRSNMEKRHGNFEAASTVYKEAIEKAATKEKWHVLPTLYVNFSQLKYMTSDSEDAARDILIDGIKQLPSCKLLIEELIKFGITHGRSRHVNVIHTIVANAISPGPDVSQGFSPTEREQISRLYLEFVDLCGTILDVRKAWNQHIRLFPESVRASSFCPAMGTKQWKITLEAEEETLVSMPHQPSGDTGSQCLIQSSVQDKILSPLKNYDTQDTPAADQVSDQKLPLQANHDMLSNEVSHQDVLLLGNSDDLSENNPENVFQAKVDLLQLGEPDNNVHESVHIASPKVSEPIGTDVLEPNLSLDFKNQVANVTESTPASLEFSEDNNVRKEHGNEPEPDLKLPSLEGLSLNIRDAKSPGPISPTACDSGATDGTILLDGNVLKSDAPKNIMEKENISESGQNAVDHIISSPVSTQATASAQTDTGHVSPTFSASNQKSMADTLLQPQKLANNGKNWHQRSGSDRLHRDSKFGFRGHSHKRMHKQLQSSPQRMHPRAEKDMIRDHPSQPQFSQNLQVQQGGQLQSQNPASAVQTNQTTLQAWQMNNLQQQNLSHTFQFQPLVHPTTNPQLQMSQHPIQSNEQQGNVQNNQAYDQMWQYYYYQQQQQQQLLWQQQQMLQQQQPQQQQMLQHQQPQQQQMLQHQQSQQQQLLQQQYQQQLLQMQYFQQQQLQMQQQQPHQMQQQPYQQQHLLYLQQQQQLQLQQPQHQQSLQQQKHHLQQQTASSQQHPHEQEQGQPVQQTNTLQVQEPDTGTIESSASPRPVSPKLMT >Manes.17G065200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26531437:26545701:-1 gene:Manes.17G065200.v8.1 transcript:Manes.17G065200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEDPSDVHRLFRRAMSFVGKDYLCHTLWDKYIEFAFSLKHWSSLADIYIQALRFPTKKLHRYYNSFEKLVEIWEEEMESHSKSNMTTSVEPVLDNEVSICYKEDDISCIIKDLLDPSIGSARRKALQKYMSIGEHLYQQASQLNEKINHFETRIKRSYFHVKPVDISQLENWHQYLDFAESHGDFDWAVKLYERCLIPCANYPEFWIRYTEFVESKGGREIAHYALDRARTIFLKRVSVIHLFNARFKEHVGDVFNARAAFLQCDTESDSDFVENVVMRSNMEKRHGNFEAASTVYKEAIEKAATKEKWHVLPTLYVNFSQLKYMTSDSEDAARDILIDGIKQLPSCKLLIEELIKFGITHGRSRHVNVIHTIVANAISPGPDVSQGFSPTEREQISRLYLEFVDLCGTILDVRKAWNQHIRLFPESVRASSFCPAMGTKQWKITLEAEEETLVSMPHQPSGDTGSQCLIQSSVQDKILSPLKNYDTQDTPAADQVSDQKLPLQANHDMLSNEVSHQDVLLLGNSDDLSENNPENVFQAKVDLLQLGEPDNNVHESVHIASPKVSEPIGTDVLEPNLSLDFKNQVANVTESTPASLEFSEDNNVRKEHGNEPEPDLKLPSLEGLSLNIRDAKSPGPISPTACDSGATDGTILLDGNVLKSDAPKNIMEKENISESGQNAVDHIISSPVSTQATASAQTDTGHVSPTFSASNQKSMADTLLQPQKLANNGKNWHQRSGSDRLHRDSKFGFRGHSHKRMHKQLQSSPQRMHPRAEKDMIRDHPSQPQFSQNLQVQQGGQLQSQNPASAVQTNQTTLQAWQMNNLQQQNLSHTFQFQPLVHPTTNPQLQMSQHPIQSNEQQGNVQNNQAYDQMWQYYYYQQQQQQQLLWQQQQMLQQQQPQQQQMLQHQQPQQQQMLQHQQSQQQQLLQQQYQQQLLQMQYFQQQQLQMQQQQPHQMQQQPYQQQHLLYLQQQQQLQLQQPQHQQSLQQQKHHLQQQTASSQQHPHEQEQGQPVQQTNTLQVQEPDTGTIESSASPRPVSPKLMT >Manes.17G065200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26531437:26545701:-1 gene:Manes.17G065200.v8.1 transcript:Manes.17G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKERDSTVVFKKVKLHEAVAEGSLDFDEWTSLISETEKIYPDNIEKICLVYDSFLSEYPLCYGYWRKYINHKIRLCTIHKVVEAFERAVVSATYSVEVWADYCSFARMVFEDPSDVHRLFRRAMSFVGKDYLCHTLWDKYIEFAFSLKHWSSLADIYIQALRFPTKKLHRYYNSFEKLVEIWEEEMESHSKSNMTTSVEPVLDNEVSICYKEDDISCIIKDLLDPSIGSARRKALQKYMSIGEHLYQQASQLNEKINHFETRIKRSYFHVKPVDISQLENWHQYLDFAESHGDFDWAVKLYERCLIPCANYPEFWIRYTEFVESKGGREIAHYALDRARTIFLKRVSVIHLFNARFKEHVGDVFNARAAFLQCDTESDSDFVENVVMRSNMEKRHGNFEAASTVYKEAIEKAATKEKWHVLPTLYVNFSQLKYMTSDSEDAARDILIDGIKQLPSCKLLIEELIKFGITHGRSRHVNVIHTIVANAISPGPDVSQGFSPTEREQISRLYLEFVDLCGTILDVRKAWNQHIRLFPESVRASSFCPAMGTKQWKITLEAEEETLVSMPHQPSGDTGSQCLIQSSVQDKILSPLKNYDTQDTPAADQVSDQKLPLQANHDMLSNEVSHQDVLLLGNSDDLSENNPENVFQAKVDLLQLGEPDNNVHESVHIASPKVSEPIGTDVLEPNLSLDFKNQVANVTESTPASLEFSEDNNVRKEHGNEPEPDLKLPSLEGLSLNIRDAKSPGPISPTACDSGATDGTILLDGNVLKSDAPKNIMEKENISESGQNAVDHIISSPVSTQATASAQTDTGHVSPTFSASNQKSMADTLLQPQKLANNGKNWHQRSGSDRLHRDSKFGFRGHSHKRMHKQLQSSPQRMHPRAEKDMIRDHPSQPQFSQNLQVQQGGQLQSQNPASAVQTNQTTLQAWQMNNLQQQNLSHTFQFQPLVHPTTNPQLQMSQHPIQSNEQQGNVQNNQAYDQMWQYYYYQQQQQQQLLWQQQQMLQQQQPQQQQMLQHQQPQQQQMLQHQQSQQQQLLQQQYQQQLLQMQYFQQQQLQMQQQQPHQMQQQPYQQQHLLYLQQQQQLQLQQPQHQQSLQQQKHHLQQQTASSQQHPHEQEQGQPVQQTNTLQVQEPDTGTIESSASPRPVSPKLMT >Manes.18G017100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1768842:1775220:1 gene:Manes.18G017100.v8.1 transcript:Manes.18G017100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRLRVTSSISKMAEELGSSAAATTATSTSSTSSSWAKTRSLWPSILRWIPTSTDRIIAAEKRLLSLVKTPYVQEQVNIGSGPPGSKVRWFRSASNEPRFINTVTFDSKEDSPTLVMVHGYAASQGFFFRNFDALASRFKVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKGLNNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSSESEAKSEWLTRFRATWKGAILNHLWESNFTPQKVIRGLGPWGPGLVHRYTTARFGAYSSGEVLTEEEAKLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLQRFDEYLF >Manes.18G017100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1768842:1775220:1 gene:Manes.18G017100.v8.1 transcript:Manes.18G017100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRLRVTSSISKMAEELGSSAAATTATSTSSTSSSWAKTRSLWPSILRWIPTSTDRIIAAEKRLLSLVKTPYVQEQVNIGSGPPGSKVRWFRSASNEPRFINTVTFDSKEDSPTLVMVHGYAASQGFFFRNFDALASRFKVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKGLNNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSSESEAKSEWLTRFRATWKGAILNHLWESNFTPQKVIRGLGPWGPGLVHRYTTARFGAYSSGEVLTEEEAKLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLQSASEWKVPTTFIYGFQDWMNYQGAQEARKHMNVPCEIIRVPQGGHFVFIDNPNGFHSAVLYACRRFLSPNPHSESLPEGLVSA >Manes.18G017100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1768842:1775220:1 gene:Manes.18G017100.v8.1 transcript:Manes.18G017100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRLRVTSSISKMAEELGSSAAATTATSTSSTSSSWAKTRSLWPSILRWIPTSTDRIIAAEKRLLSLVKTPYVQEQVNIGSGPPGSKVRWFRSASNEPRFINTVTFDSKEDSPTLVMVHGYAASQGFFFRNFDALASRFKVIAVDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKGLNNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSSESEAKSEWLTRFRATWKGAILNHLWESNFTPQKVIRGLGPWGPGLVHRYTTARFGAYSSGEVLTEEEAKLLTDYVYHTLAAKASGELCLKYIFSFGAFARMPLLQSASEWKVPTTFIYGFQDWMNYQGAQEARKHMNVPCEIIRVPQGGHFVFIDNPNGFHSAVLYACRRFLSPNPHSESLPEGLVSA >Manes.05G124730.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14736708:14740117:1 gene:Manes.05G124730.v8.1 transcript:Manes.05G124730.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLMDTLFQRSLDDIIKGLRHQQTGESPFISKVVEEIRREIKSTDLHTKSIALQKLTYLNSIHFVDMSWAAFHAIECISSPNFSHKKIGYLAISLSFNESTSVILLITNQLRKDLKSNNEFEVSLALDCLSRIGTADLCRDLTPEVFTLMTSSKVFVRKKAIGVILRVFGKYPDAVRVCFKRLVECLDGTDSQIVSAVIGVFCELASKDPRSYLPLAPEFYRILVDSRNNWVLIKVLKIFSKLAPLEPRLAKRVVEPICEHMRRTGAKSLMFECIRTVVTSFTDFESAVKLAVAKIRDFLVDDDSNLKYLGLHALSIIAPKHLWAVLENKEVVIKSLSDDDPNVKLESLRLVVAMVSESNVVEICRVLVNYSLKADPEFCNEILGSILSKCSQNVYEIIVDFDWYVSLLEEMSRIPHCQKGEEIENQLIDIGMRVKDVRPELVRVGRSLLIDPALLGNSFLCRILCAAAWVCGEYVEFSRNPVELVEALLQPRTSLLPPSIRTVYIQSAFKILIFCLHSYLLQKENIGNDVASEVLGLKSQGECIGNSALVTGKAPACQEQDEGFTPRDPNKSYEDLSIINDGDGQTSALLEKGFTHESVINLLNLIEVALGPLSGSYDVELQERAQNVLGFVDLIKQEIPNHLVSKRASKIVDLVHDAFNEELGPVSVNAQEKVPIPDGLMLKENLSDLEAICGNVQLPSTISFSLGSPFGESVVASVSIPQSKEESETSSESTSLLAEHRKRHGLYYLPSDNKESLSNDYPPANDPKSSDNTNDDAQDLVKLTGQSLLTKKRTSHAKPRPVVVKLDEGVVAPIIAKKPDTNDDLLSDAVRDILLVVEKPDLGNPSSRRSKHRSHGKDKSRKSPDKKKSDEIGDHGDKGKQKSRHRHSKHKSKQRAEGPLNVVAQTPVIPDFLL >Manes.16G023200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2528933:2530382:1 gene:Manes.16G023200.v8.1 transcript:Manes.16G023200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSATHGRGTSTKSQNFQVGSEPLKPKSTLESRAPPPSVEEETVKEVLSEIPKLKPPPTPPLQLPQLLQPIKNEQKEIHLQETNKKKIHIEPPFLDEKIEPNRKKNEFIFQEEEISEQLSEVCSLSCSETVSTTTFNNDKRDDADDDDGEEVKQRAKKSPAAKLAPRNRGVSSDFGPRRDRIVGKSPDKRNNASRTVRSVQSRESVNYQVGRRGLNRPEANGRDPGESSGRRSRSPATTRSTMGRSPSSRRVNRSPSGGRTNLPQSGGIMDMEGKWPSTSTTNDTTTNESLENPLVSLECFIFL >Manes.05G063400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5309459:5312266:-1 gene:Manes.05G063400.v8.1 transcript:Manes.05G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRSDRRPPGVGRGRGRGREDGGRPVKGIGRGLDDAKAAGGGRGRGGSGGKTGGSRGAGRGRG >Manes.09G158800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35447509:35452324:1 gene:Manes.09G158800.v8.1 transcript:Manes.09G158800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPAFCSTLRQAEHAAAEVALTTLASRGPSRALAARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHVPVFSCTVELAGMNFTGEPARTKKQAQKNAAMAAWSALKRLVQHGSSSSSSSSSVENKKGGEEQEQVVIARFLASLQPSELKNSKQSDCHRGKEKFIPVCKDLTPPTPSLYPMQCQNWAYPGFSHEMAIYQMWQQEQLLQLQSRLLTLHVPPAPPPGPQILPYMQSILPQDSRLFVPVREREPVPVGPRITISTSGPLLYCSDNVASDPIREKSKVTIQEIHEEKSEELSECSPSLVPDPPVLSNFNTEARFDDSSHEDEKPKSDAIESKLENVQLGGHHTEQFEKASFRSMASGYTHVDFRVQSLRGSNSSHSTSQYPRRSSLTSCRPPLSVAPPVTIRTMEPRPSSAPPVRIRNMGPVCSVPRARDLAAQVPAPPRMRTGGSLYSGRPQPQRMDFGGLHPCSMAPAVRIRSVVPVCSAPPARKMPTAGQEGASPSKEKEDTVPEDVSAASSELGKLRT >Manes.09G067397.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11040975:11041697:1 gene:Manes.09G067397.v8.1 transcript:Manes.09G067397.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVKVTKNELSIDFVMPQDATIFEVKQMVESLMNFEVHSQALFCNGQMLEENRSLESYNFREIVCFELDTVPPQLKFYILAKSPVKETRMKVKSTTTVLELREKVERKWAIFSHILSLKYNGEMMSDDLPLSHYEVRSNSVIEVVVGLEPR >Manes.09G112300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31432951:31435494:-1 gene:Manes.09G112300.v8.1 transcript:Manes.09G112300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNHTWMPAWENLTFICEGKQLNNTLQFRVGESPANWPFQLMILQIGLVNSFRLILECVLRPFGKHTFVPQILGAIALGPSLLGQNDTFYTQVLYSPKGVLMINMFKAMGYMFLLFLLSVRLELSIMKECGKLAVILGVASLVVPMVITITFSLFLRDFFQFSGELYKTLPSVAALVSTTSFHVILEVLTDLKLLNSELGRLALSSSMISGISSWLFLAVVFDVQEQLLMGMPEGIVLGQLSKIMVVFIVMFIFRPIMIWMVRKTPDGKPLKEPFVCGIVLMLLCVAMFGEYSGQHFYFAPAVFVPCFVIDAGRRTNINLMKLKNFVVVELLMTVSTLAKFVSIIVPSLYFKMPFLDALSLGFILNCKGLFDVQFFSRGNKLRLISNDNFAALVIGSAFQSAMCTWLVKLIYDPSRRYFAYARHTIEHINQEDSELRVLACVYQQDNVPSIISVIEDSNPTKEDPIEVYVLNLKQSVGGTVPLLISHQVKSSSSSYKPNEVDHVINAFCQIERRNQGLSMVQCYTSCAPYPTLHDAVCSMAQEKTTSLIILPVTNSNDPSTRIVNRNILKYAPCSVGILFDPRKTGRSILPHQAMKKVCVIFLGGSDDRETLAYGARMAMNPYIVLALIRLVDENQISDADLIEKRHDLNMITEFKHFTANSSNKVQFIEYATTEGSETAMLLRSICKRFDLILVGRRHDNSSALLSGLSEWNEKEELGVIGDMLASSDFDCRAHVLVIQQQASVVQEMIESPRRSSCRMSISDRPSLSISSIY >Manes.09G187800.60.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.60.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGREVFMQSSKRVTVSMLLVSSCLREHA >Manes.09G187800.57.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.57.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAEMYFELPP >Manes.09G187800.50.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.50.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.48.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.48.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.59.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.59.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGREVFMQSSKRVTVSMLLVSSCLREHA >Manes.09G187800.51.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.51.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.46.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.46.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.62.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.62.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGREVFMQSSKRVTVSMLLVSSCLREHA >Manes.09G187800.56.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.56.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQCYWS >Manes.09G187800.52.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.52.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.53.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.53.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQCYWS >Manes.09G187800.55.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.55.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQCYWS >Manes.09G187800.45.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.47.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.47.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.61.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.61.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGREVFMQSSKRVTVSMLLVSSCLREHA >Manes.09G187800.44.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.63.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.63.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAEMYFELPP >Manes.09G187800.64.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.64.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAEMYFELPP >Manes.09G187800.54.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.54.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQCYWS >Manes.09G187800.49.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.49.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAESESEFCIDGVSCGNVTRFINHSCEPNLFVQCILSSHHDIRLARIVLFAADDIPPMQELTYDYGFELDSVIGPDGKIKKLPCYCGTSECRGRLY >Manes.09G187800.58.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37433087:37442809:-1 gene:Manes.09G187800.v8.1 transcript:Manes.09G187800.58.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSRRSNISTKTSKEQETQRKILQFPVTNKFAQNVQKIKYKEFLLERRVSPRLKAIPKDKRPYYGSIRRRKLCASKDDVCSGKTEDDDKRRQFDSPEDNAVMNKAKDGGRKNLSDHVSKKRSEGVFLERRVSPRLKNISADKRPYYGSVQRRKFGSSTDYACHKKTEGNEWKKQLDSPKDNAGKEKTKADITFTNTVQRVPGLNLKQRGSSRLKNTPVDKRLFHVRDDLCGRKNKNDHQIILPHYPDGSVSEKKRKADLLDLASKDGEDRGGKIDFALGIEPLEHDDTAIMDLKAEAHLEHTSSESFPAEGMHQISSAKVMVVSPLMLMCKVINNRVQNSSKQESEKDVFGVNIVQQFQKLALLERRVSPRLKGIPKDRRPYYGSTWKGQLNTAKGYVWNNNDPKKQLHSSEDNTKKKKTEVNHSMQQSLSKAAAKDICDRDAESLLASGFLPLKCEDTEIMGLENGAYVKSMDHKKLLLNCIRHKAGAKDCFEQERVSKDSSKLPGLVCEDISYGEEDIPIPVTNMIDPPIAPTGLKYTNSIHIATNLSIPSSPSGCNCKGNCTNPKSCSCALLNGSDFPYVRKDGGRLIEPKDVVFECGPRCRCGPKCINRISQRGLKYRLEVYRTLKKGWAVRSWDFIPSGAPVCEYTGILRRSSELDNVSENEFIFQIDCWHTMNGIGGRERRQGGVSRHARNLMKKLDKAEMYFELPP >Manes.S050316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1684819:1684980:1 gene:Manes.S050316.v8.1 transcript:Manes.S050316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.15G178236.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:22278182:22279800:1 gene:Manes.15G178236.v8.1 transcript:Manes.15G178236.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFPILSFTLIVFISLLPFAALANFNDKKSLPFDFLKHLQGCHKGDKLKGIHKLKTYLEHFGYLHYKNQSHANDDDFDELLEFALKTYQLNYHLKVTGSLDSQTVSKMIMPRCGVPDIVNDTTRMDSGKKNHHHSSTILHTVSHYTFFRGNPKWPASKYSLTYGFLPQTPTRAMNPVAKAFQTWAANTHFRFSRVQDYTTADIKIGFHRGNHGDSNSFDGRGGILAHAFAPQNGRFHFDADERWAVGAIQGAYDLETVALHEIGHLLGLGHSSVEGAIMFPSISSGMTKGLHSDDIQGIRALYNV >Manes.09G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1075109:1078629:-1 gene:Manes.09G003900.v8.1 transcript:Manes.09G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLWIFLFSFIFFFNSFSFKPPPPMKSEWRLLLSPLFWEELSRFLLSWFHKNWLSIGVHQVPMKMPLKKINLGLKPAEYVEEESPSLLDLPELTLESILERLSPSGLCSMAGVCSALRDRCTSDHLWKRHLKQKWGGLIGDAAFKEWQCHIASSKRPSLVDQRNHKNFFKSLGTVWSFSWIKPKFETRNHPRTALPVDSIMAMYLSLERGKFWFPAQVYNRENGHVGFLLSCYDAQISYDSRTDTFQARYSPYGRRMIEESIHWDRLRAPPVDTPAHDLHISDCLNDLKPGDHIEIQWRRSKEFPYGWWYATVGHVETCDGNENRCPCQYNDMVALDFNQYPPGSRWRRTTVNRKEHREEGNEADGFYGGIRKLYKQEEISTWKKLWPNQVFE >Manes.05G033500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2815746:2823190:-1 gene:Manes.05G033500.v8.1 transcript:Manes.05G033500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT4 MALGSLISGRNFGYFIGSEPTISYDKGERSGIAARKFANGGIFYRNLHSRAAIAFNSRFSHSPFIQVTNHSGEKASKSLATHPHQRSLGRCECSSYPSVGNCSQLNRRQWQHFEHVKLNRTRAYYKSEEYDITEAALDSLKSAEGSTEAVLIEGNLQPWWQQFPKRWVIVLLCFMAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLMTQILGGIWADKIGGKLVLGFGVVWWSIATVLTPIAARIGLPFLLMMRAFMGIGEGVAMPAMNNILSKWIPVAERSRSLALVYSGMYLGSVTGLAISPILIHKFGWPSVFYSFGSLGSVWFALWLRKAYSSPKEDPELSAQEKILILHGSTSKEPAHVIPWKLILTKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAIFANVGGWIADTLVSKGLSITTVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVVLYIIGTLVWNIFSTGEKVLD >Manes.05G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2815746:2823190:-1 gene:Manes.05G033500.v8.1 transcript:Manes.05G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT4 MALGSLISGRNFGYFIGSGNVCQTEPTISYDKGERSGIAARKFANGGIFYRNLHSRAAIAFNSRFSHSPFIQVTNHSGEKASKSLATHPHQRSLGRCECSSYPSVGNCSQLNRRQWQHFEHVKLNRTRAYYKSEEYDITEAALDSLKSAEGSTEAVLIEGNLQPWWQQFPKRWVIVLLCFMAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLMTQILGGIWADKIGGKLVLGFGVVWWSIATVLTPIAARIGLPFLLMMRAFMGIGEGVAMPAMNNILSKWIPVAERSRSLALVYSGMYLGSVTGLAISPILIHKFGWPSVFYSFGSLGSVWFALWLRKAYSSPKEDPELSAQEKILILHGSTSKEPAHVIPWKLILTKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAIFANVGGWIADTLVSKGLSITTVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVVLYIIGTLVWNIFSTGEKVLD >Manes.08G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35130058:35134186:1 gene:Manes.08G112000.v8.1 transcript:Manes.08G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSHSRSTALILTMVNPNSLLSLILLSLLAIASAKVFFEERFDDGWETRWVKSDWKKDENTAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYTTKKVHAILNRNGENHLIKKEVLCETDQLTHLYTFIIRPDATFSILVDNVEKHTGSLYSDWNFLPPKKIKDPEAKKPEDWDDNEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIANPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPDLYVYPNLKYVGIELWQVKSGTLFDNVLITDDPEYAKKLAEETWEKNKDAEKAAFEAAEKKREEEESKGDPADSDAEEEEDDADDSEGDDDGEIKTGAAEDNADSKDDMHDEL >Manes.15G081401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6255317:6258088:-1 gene:Manes.15G081401.v8.1 transcript:Manes.15G081401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPFFLLEQNQGTSKIHTESGSSKPEPTSNRGRKPSKGPPACKKQPQRGMGIAKLENLRSQERLKQMKETQLESFNFQPAQSLVPDPIHSVPIQFGPVSYGVPMFNGGSFLGLDQGLLVKRMENGALAELKGNPSSGQFLVNPYVFGAPDTRVCGGTTAAVFGTSKELSSMPKLMQHHEHAPSHVCFKKKRFIIGENIGYNNGAREKFGEISSTNNGSDSLGLNLENHIDFNDQLGGFSNRAARSAFHANHNLSDGVEVVAIHRKGNQTGGSVLMEYEFFPEKKSGKSSTCFKEMEFPAEASVALVGSGEASCVTTSDYSGYSASNAASNSVDLSLKLSY >Manes.13G005900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1097716:1102406:1 gene:Manes.13G005900.v8.1 transcript:Manes.13G005900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGCDPNVVTCSAIIDKLCKDKLAVEALGFFSQMRNKGISPNVVTYTSLIHGLCNLGKQNQGLTLLKEMMGHNISPNIFTFNILIDSLCKEGMISKARIMIKTMIQKGVEPDVVTYSSLIDGYCMCSQIDEARKVFDLMGRNAIADVFSYNILINGYCQSKRIDEAMKLFDEMPQKGLVPDSITYTTLIKGLWEVGRPQTALEFLKNMCSHGQPLNRITLSTLLHGLCKQGDLDEALAIFEAMEKSKLKPNCVTYNILIDGLCQVGKLNDAKQLFSRLFEKGLGTDVYTYSIFIKGLCKDGLLDEAYKVFRGMEDGGCLPDSCCYNVIIQGFLNHEDVPKALQLIDEMVDKGFSADATTTKLLIHLCRHNDLILRELRNRSKGSKGVM >Manes.13G005900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1097716:1100964:1 gene:Manes.13G005900.v8.1 transcript:Manes.13G005900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGCDPNVVTCSAIIDKLCKDKLAVEALGFFSQMRNKGISPNVVTYTSLIHGLCNLGKQNQGLTLLKEMMGHNISPNIFTFNILIDSLCKEGMISKARIMIKTMIQKGVEPDVVTYSSLIDGYCMCSQIDEARKVFDLMGRNAIADVFSYNILINGYCQSKRIDEAMKLFDEMPQKGLVPDSITYTTLIKGLWEVGRPQTALEFLKNMCSHGQPLNRITLSTLLHGLCKQGDLDEALAIFEAMEKSKLKPNCVTYNILIDGLCQVGKLNDAKQLFSRLFEKGLGTDVYTYSIFIKGLCKDGLLDEAYKVFRGMEDGGCLPDSCCYNVIIQGFLNHEDVPKALQLIDEMVDKGFSADATTTKLLIHLCRHNDLILRELRNRSKGSKGVM >Manes.13G005900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1097716:1100908:1 gene:Manes.13G005900.v8.1 transcript:Manes.13G005900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGCDPNVVTCSAIIDKLCKDKLAVEALGFFSQMRNKGISPNVVTYTSLIHGLCNLGKQNQGLTLLKEMMGHNISPNIFTFNILIDSLCKEGMISKARIMIKTMIQKGVEPDVVTYSSLIDGYCMCSQIDEARKVFDLMGRNAIADVFSYNILINGYCQSKRIDEAMKLFDEMPQKGLVPDSITYTTLIKGLWEVGRPQTALEFLKNMCSHGQPLNRITLSTLLHGLCKQGDLDEALAIFEAMEKSKLKPNCVTYNILIDGLCQVGKLNDAKQLFSRLFEKGLGTDVYTYSIFIKGLCKDGLLDEAYKVFRGMEDGGCLPDSCCYNVIIQGFLNHEDVPKALQLIDEMVDKGFSADATTTKLLIHLCRHNDLILRELRNRSKGSKGVM >Manes.13G005900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1097716:1100920:1 gene:Manes.13G005900.v8.1 transcript:Manes.13G005900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGCDPNVVTCSAIIDKLCKDKLAVEALGFFSQMRNKGISPNVVTYTSLIHGLCNLGKQNQGLTLLKEMMGHNISPNIFTFNILIDSLCKEGMISKARIMIKTMIQKGVEPDVVTYSSLIDGYCMCSQIDEARKVFDLMGRNAIADVFSYNILINGYCQSKRIDEAMKLFDEMPQKGLVPDSITYTTLIKGLWEVGRPQTALEFLKNMCSHGQPLNRITLSTLLHGLCKQGDLDEALAIFEAMEKSKLKPNCVTYNILIDGLCQVGKLNDAKQLFSRLFEKGLGTDVYTYSIFIKGLCKDGLLDEAYKVFRGMEDGGCLPDSCCYNVIIQGFLNHEDVPKALQLIDEMVDKGFSADATTTKLLIHLCRHNDLILRELRNRSKGSKGVM >Manes.13G005900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1097716:1100908:1 gene:Manes.13G005900.v8.1 transcript:Manes.13G005900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGCDPNVVTCSAIIDKLCKDKLAVEALGFFSQMRNKGISPNVVTYTSLIHGLCNLGKQNQGLTLLKEMMGHNISPNIFTFNILIDSLCKEGMISKARIMIKTMIQKGVEPDVVTYSSLIDGYCMCSQIDEARKVFDLMGRNAIADVFSYNILINGYCQSKRIDEAMKLFDEMPQKGLVPDSITYTTLIKGLWEVGRPQTALEFLKNMCSHGQPLNRITLSTLLHGLCKQGDLDEALAIFEAMEKSKLKPNCVTYNILIDGLCQVGKLNDAKQLFSRLFEKGLGTDVYTYSIFIKGLCKDGLLDEAYKVFRGMEDGGCLPDSCCYNVIIQGFLNHEDVPKALQLIDEMVDKGFSADATTTKLLIHLCRHNDLILRELRNRSKGSKGVM >Manes.13G005900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1097716:1102406:1 gene:Manes.13G005900.v8.1 transcript:Manes.13G005900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGCDPNVVTCSAIIDKLCKDKLAVEALGFFSQMRNKGISPNVVTYTSLIHGLCNLGKQNQGLTLLKEMMGHNISPNIFTFNILIDSLCKEGMISKARIMIKTMIQKGVEPDVVTYSSLIDGYCMCSQIDEARKVFDLMGRNAIADVFSYNILINGYCQSKRIDEAMKLFDEMPQKGLVPDSITYTTLIKGLWEVGRPQTALEFLKNMCSHGQPLNRITLSTLLHGLCKQGDLDEALAIFEAMEKSKLKPNCVTYNILIDGLCQVGKLNDAKQLFSRLFEKGLGTDVYTYSIFIKGLCKDGLLDEAYKVFRGMEDGGCLPDSCCYNVIIQGFLNHEDVPKALQLIDEMVDKGFSADATTTKLLIHLCRHNDLILRELRNRSKGSKGVM >Manes.13G005900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1097716:1100908:1 gene:Manes.13G005900.v8.1 transcript:Manes.13G005900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGCDPNVVTCSAIIDKLCKDKLAVEALGFFSQMRNKGISPNVVTYTSLIHGLCNLGKQNQGLTLLKEMMGHNISPNIFTFNILIDSLCKEGMISKARIMIKTMIQKGVEPDVVTYSSLIDGYCMCSQIDEARKVFDLMGRNAIADVFSYNILINGYCQSKRIDEAMKLFDEMPQKGLVPDSITYTTLIKGLWEVGRPQTALEFLKNMCSHGQPLNRITLSTLLHGLCKQGDLDEALAIFEAMEKSKLKPNCVTYNILIDGLCQVGKLNDAKQLFSRLFEKGLGTDVYTYSIFIKGLCKDGLLDEAYKVFRGMEDGGCLPDSCCYNVIIQGFLNHEDVPKALQLIDEMVDKGFSADATTTKLLIHLCRHNDLILRELRNRSKGSKGVM >Manes.10G087400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22336402:22342859:-1 gene:Manes.10G087400.v8.1 transcript:Manes.10G087400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFHLTLLLLFILLITFSLSLLLYKHMSQYKNHNLPPGKPGLPYVGESLEFLSTGRKGHPEKFVFDRTTKYSSNVFKTNLFGHPMSVFCGAEGNKFIFSKEDKLVVSWWPDSVNKVFPSSLKSSSIEEAKKMRKFISQFLKPDALQRYVGIMDSIAQRHFASGWENKDEVVVYPLAKNYTFWIACRLFLSLEDPNHIAKFADPFQYLVSGILSIPIDLPGTPFRRAINSSKLIRKELLGIIRQRKVDLAEGNASDDILSHMLITSDENGEFMNEMDIADKIIGLLIGGYDTASSACTSIVKYLAELPHIFQGVYKEQMEIAKRKGKGEMLNWEDIQKMKYSWNVACEVMRVAPPLQGAFREVINEFNFNGFFIPKGWKLYWSANSTHKCSEYFPEPENFDPSRFEGKGPKPYTYVPFGGGPRMCPGKEYARLEILVFMHNLIKRFTFQKIIPDEKIIVNPFSAPAKELPLRLFPHKD >Manes.07G125800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32877715:32879095:1 gene:Manes.07G125800.v8.1 transcript:Manes.07G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRPTPPKALDLELTLVSAKHLKNVNWKNGDLKAYAVFWVDPDRRLATKSDDSGSTRPVWNERFTLPLLLPPQESHLTLEIFHSKPSDTPKPLVGTLRVPLKDLPDPDDTTRLRTFELSRPSGRPQGKIRIKLGLRERPMPPPVLDYHHLAPPQNYYYNTAHMPPPPAPRDYRYGPTMPPPPSLSPPPPPPQYSSYHDGYPPYYPGYYSSVPAPAPAQPRPFFDRMGSYGGPSAPVDYSSYDQRQKGGGKMGFGTGVAVGAVAGALGGLALEEGLKYEEEKIAERVENELAGRDDYSDYRVDY >Manes.15G005700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:637885:648669:1 gene:Manes.15G005700.v8.1 transcript:Manes.15G005700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSFSLSFSQPTTVPRSNHTFDSLPFAPSKPINLRFCGLRRETLGFFSLSRSHSCRGLQLPSPTRSKTISASLADNGSTPKSFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHLKALGLQVSAAGYDRQGVADHANNLASKIRNNLTNSLKALGVDILTGVGTILGPQKVKYGKAGFPETIVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIVIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRVLINPRKIDFHTGVFASKITPAKDGKPVTIELIDAKTKEHRDTLEVDAALIATGRAPFTNGLGLENVNVVTRGFVPVDECMRVIDAHGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAETEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDTGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKLKTAPSCSS >Manes.15G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:637885:648669:1 gene:Manes.15G005700.v8.1 transcript:Manes.15G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSFSLSFSQPTTVPRSNHTFDSLPFAPSKPINLRFCGLRRETLGFFSLSRSHSCRGLQLPSPTRSKTISASLADNGSTPKSFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHLKALGLQVSAAGYDRQGVADHANNLASKIRNNLTNSLKALGVDILTGVGTILGPQKVKYGKAGFPETIVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIVIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRVLINPRKIDFHTGVFASKITPAKDGKPVTIELIDAKTKEHRDTLEVDAALIATGRAPFTNGLGLENVNVVTRGFVPVDECMRVIDAHGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAETEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDTGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVEARVSSQVSEPVAV >Manes.07G090200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28256235:28257299:1 gene:Manes.07G090200.v8.1 transcript:Manes.07G090200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHSAKRKQLDRNCQILQPLSSTTVNSQFSNNLQILPIKKPKTMSNSNLQPSRRPPELLHLPEGYPRIGYDDDAFSLVGSGEGMVEKGKSSADSRLLMLLEFFRQLYIRRSEVFKKIFEESHDEFVEMFKEVGAKLSRHGRSRRNETTATLKRSLSVGSQRIRSIDGDDQFPLRLERFKVRTVVPGGGGAGSGAQGDKGDKSGTKSK >Manes.S021910.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:216342:216500:1 gene:Manes.S021910.v8.1 transcript:Manes.S021910.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.17G097600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30444103:30444589:1 gene:Manes.17G097600.v8.1 transcript:Manes.17G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGMFMRKPDKAAALKQLKTHVSMFGVWVAVVRVTPYILHYLSDEKDELKLEF >Manes.04G004201.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629246:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPWPIRFQQTPRVGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629246:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGVGETGLSDSGPSNHHLPYAALHGINAPSTSFFNQEGSPFDFGELEEAVLQGVKIRNDEAKAPLFRPAATLEMFPPWPIRFQQTPRVGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKHPLQQEIPELLPTVSRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629246:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPWPIRFQQTPRVGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629246:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGVGETGLSDSGPSNHHLPYAALHGINAPSTSFFNQEGSPFDFGELEEAVLQGVKIRNDEAKAPLFRPAATLEMFPPWPIRFQQTPRGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629094:636535:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGVGETGLSDSGPSNHHLPYAALHGINAPSTSFFNQEGSPFDFGELEEAVLQGVKIRNDEAKAPLFRPAATLEMFPPWPIRFQQTPRGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629246:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPWPIRFQQTPRGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:631447:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDDFAYPFHVDDYHFQEQHPLQQEIPELLPTVSRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629246:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPWPIRFQQTPRGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629246:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGVGETGLSDSGPSNHHLPYAALHGINAPSTSFFNQEGSPFDFGELEEAVLQGVKIRNDEAKAPLFRPAATLEMFPPWPIRFQQTPRVGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:630625:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.04G004201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:629246:636527:1 gene:Manes.04G004201.v8.1 transcript:Manes.04G004201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGVGETGLSDSGPSNHHLPYAALHGINAPSTSFFNQEGSPFDFGELEEAVLQGVKIRNDEAKAPLFRPAATLEMFPPWPIRFQQTPRVGSSKSGGESTDSGSAVNTLSSKAEAQLDSDSPISKKASSSDHHQAFDQKHLQLQQHQQQMEMASNTSRTGAPSELNPSPAKLPQEKRKGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQDLQRARQQGLFLGGCSGVGGNISPGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDRYISHYDEIFRLKGVAAKSDVFHLVTGMWSTPAERCFLWMGGFRPSELIKMLTSQLDPLTDQQIVGICSLQHSSQQAEEALSQGLEQLQQSLVDTIASGQIVDGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRESLMGEENACQTGSDLQMVEPPPNHFSNF >Manes.03G010600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:918724:920551:1 gene:Manes.03G010600.v8.1 transcript:Manes.03G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRKEQSLSEASVIDSAAEASPLLNSNENRQQLFEEEEVQLNLQLTRNETNDECNQELNLIDCFTTDSSRTSSETPQATHDDEQRLYSCNYCQRKFYSSQALGGHQNAHKRERTLAKRNKTWTHNVARAFEHHHYYSNSGSLGIQVHSTIHKPYHVSNSANGFANIYGNGSWSRPLIDQQPAIGRLFTDSYRINASSLPTVTSRAGVGRFNLEKSSFVGSPADQGIVDWWLPTDQDELQKLDLSLKL >Manes.01G026800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5500823:5507564:-1 gene:Manes.01G026800.v8.1 transcript:Manes.01G026800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGVHKDRGELRIHECLEELGTDLADYIAELSEASVKERGAFAVALSGGSLIGLMGKLCEAPYNKTVDWAKWHIFWADERGVAKNHADSNYKLVKDGLLSKVPLVPSHVHSINDSLSAEEAANDYEFVIRQLVRTRTIGVSDVSDCPKFDLILLCMGPDGHVASLFPNHPVLDAKDEWVTFITDSPKPPPERITFTLPVINSASNVAVVVAGEDKAEAVHLAIDDVGPDSAPLPARMVQPTKGKLVWFLDKLAASKLDGSQSSE >Manes.01G026800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5501673:5507564:-1 gene:Manes.01G026800.v8.1 transcript:Manes.01G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGVHKDRGELRIHECLEELGTDLADYIAELSEASVKERGAFAVALSGGSLIGLMGKLCEAPYNKTVDWAKWHIFWADERGVAKNHADSNYKLVKDGLLSKVPLVPSHVHSINDSLSAEEAANDYEFVIRQLVRTRTIGVSDVSDCPKFDLILLCMGPDGHVASLFPNHPVLDAKDEWVTFITDSPKPPPERITFTLPVINSASNVAVVVAGEDKAEAVHLAIDDVGPDSAPLPARMVQPTKGKLVWFLDKLAASKLDGSQSSE >Manes.05G044050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3579439:3580611:1 gene:Manes.05G044050.v8.1 transcript:Manes.05G044050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGLQAYRFKTCTHKIKSIQKEQKKNMKIKNSKLYMKNKSIIEENEKLRKKPFLLHQENHALYFSSKRGYLPMI >Manes.11G132250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29682434:29686353:1 gene:Manes.11G132250.v8.1 transcript:Manes.11G132250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKRQLQHSVEEHGEDWEDSVSSNSKQGKVASSPADNKEDEHNSATCSFLPKGVHQYKIAAMAESVANKNLAMFILSNNIAFDVVEAPFFVDFVRGVAEFGSGYKLPSPLMLQRKAMPEVELEIEEYVKKVRQSWVVKGCTIMLGLWNKFMSIIAYSAEGAEFLKLQEIPKSQKSKLDLEDIVSSVIEDIGVDHVVQVITNSDRIYKSIENKPLSSHSQIFRIRCVAHEIHSLLKAIYNEVGWVQETIDNARFLVKCMYEDGIILSKVKYPCKSKFASNYQMLQSILSSKNELQEEAAFRKFNPQQNNKRAAKITNIIVNGNFWSRVPEVLNAMEPLIRVLCLVEDDRPTLGYLYEALEKARERFERQCCKDRTKYGKILTLFQEWRSNKIIHPIHAAAAFLNPAYMCRDSFEFNVEMKTGIDFMLSTMVISQEKENFIEDMMLYKAKTSKMFNALAYQLMKVSHPCAWWDTAGVYHPVLKKYAIRILSQPCKCSCDIKPSAFEVAQRNIMNKAVPITQDNSAYTIMNAKIMEKFGSSEEQILTPIDLDNCNELPDYADPQQTWWDDQMELISENTDDDDDDQMDSSHLDGKLKDYTGRPCHVKARRRWRKSMIVICTSIYLGLPRKIWSNNPGLAQPFITDSVIKVSHVCSAMTRAQRRWTKILSVVKWLFIYLEARKR >Manes.14G026700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2450554:2456451:-1 gene:Manes.14G026700.v8.1 transcript:Manes.14G026700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRIINKRICIDLSCWMVQLQRVNKSHCSIQDKLYLKSLFHRLRALIALNCSLIFVTDGSIPAIKLATYRKRLNSGFEVAEDETNSTKTCSLRRNMGSEFSRMIKEAKSIGLALGIPCLDGIEEAEAQCALLNSESLCDGCFTSDSDVFLFGARTVYRDICLGEGGHVVCYEMVDIESKLGFGRNSLIVLALLLGSDYSHGVHGLGPESACQIVKSVGDNNILQRIASEGLSFAKKTKSSRKQTQRNSFHPEVNAYGTDNNLDRENQVLHVIDAYLKPECHTADSDAVYRVLAQLPFQRVKLQEICVQFFGWPPEKTDEYILPKIAERDLRRFANLRSTSSEVGVNPPLCKMPVKCPVSAIVKQRKVQGRECFEVLWEGVYGLETSIVPADLIERACPEKIVEFEEKRALGKKQNHRKPRTKKSENRSSSTVAEVDLRLQTLLLDIESGSNDGFKFSYPSTAVTSEDNKTATATAVQSENQDPLFAEIQGDNYCNAALPCDIDTGLAKKHEIIDLLSPSPPVITRKLSSCNVISDQRNLVIDLSESETEMSPEHVRKSRELRLFLASIRDDIS >Manes.14G026700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2450554:2456451:-1 gene:Manes.14G026700.v8.1 transcript:Manes.14G026700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDIVESCKKTLPLHYLQNKRICIDLSCWMVQLQRVNKSHCSIQDKLYLKNGSIPAIKLATYRKRLNSGFEVAEDETNSTKTCSLRRNMGSEFSRMIKEAKSIGLALGIPCLDGIEEAEAQCALLNSESLCDGCFTSDSDVFLFGARTVYRDICLGEGGHVVCYEMVDIESKLGFGRNSLIVLALLLGSDYSHGVHGLGPESACQIVKSVGDNNILQRIASEGLSFAKKTKSSRKQTQRNSFHPEVNAYGTDNNLDRENQVLHVIDAYLKPECHTADSDAVYRVLAQLPFQRVKLQEICVQFFGWPPEKTDEYILPKIAERDLRRFANLRSTSSEVGVNPPLCKMPVKCPVSAIVKQRKVQGRECFEVLWEGVYGLETSIVPADLIERACPEKIVEFEEKRALGKKQNHRKPRTKKSENRSSSTVAEVDLRLQTLLLDIESGSNDGFKFSYPSTAVTSEDNKTATATAVQSENQDPLFAEIQGDNYCNAALPCDIDTGLAKKHEIIDLLSPSPPVITRKLSSCNVISDQRNLVIDLSESETEMSPEHVRKSRELRLFLASIRDDIS >Manes.14G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2450554:2456451:-1 gene:Manes.14G026700.v8.1 transcript:Manes.14G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDIVESCKKTLPLHYLQNKRICIDLSCWMVQLQRVNKSHCSIQDKLYLKSLFHRLRALIALNCSLIFVTDGSIPAIKLATYRKRLNSGFEVAEDETNSTKTCSLRRNMGSEFSRMIKEAKSIGLALGIPCLDGIEEAEAQCALLNSESLCDGCFTSDSDVFLFGARTVYRDICLGEGGHVVCYEMVDIESKLGFGRNSLIVLALLLGSDYSHGVHGLGPESACQIVKSVGDNNILQRIASEGLSFAKKTKSSRKQTQRNSFHPEVNAYGTDNNLDRENQVLHVIDAYLKPECHTADSDAVYRVLAQLPFQRVKLQEICVQFFGWPPEKTDEYILPKIAERDLRRFANLRSTSSEVGVNPPLCKMPVKCPVSAIVKQRKVQGRECFEVLWEGVYGLETSIVPADLIERACPEKIVEFEEKRALGKKQNHRKPRTKKSENRSSSTVAEVDLRLQTLLLDIESGSNDGFKFSYPSTAVTSEDNKTATATAVQSENQDPLFAEIQGDNYCNAALPCDIDTGLAKKHEIIDLLSPSPPVITRKLSSCNVISDQRNLVIDLSESETEMSPEHVRKSRELRLFLASIRDDIS >Manes.01G238101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39976495:39977315:1 gene:Manes.01G238101.v8.1 transcript:Manes.01G238101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGYRFHPTDEELVDHYLRLKMLGYDHEVQAIPVVNVLDFEPWELPHIEHPEVVISKIPNDQVWYFFCPRNYKYSYSHRANRTTNAGYWKVTGKDRKINDNGIKKNLVFYQGRPKGAKTNWIVHEYNPTFNFPTQVTFC >Manes.14G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11338135:11340894:-1 gene:Manes.14G117900.v8.1 transcript:Manes.14G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSSFVDEEGVRRACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTFYINVALKKLEGCRTLAEGTKAIINLGLEKVPVPGEPEFPFPGLFVHPESQKEAELFRDYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNVIAL >Manes.13G074400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11027721:11042818:1 gene:Manes.13G074400.v8.1 transcript:Manes.13G074400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACMPLQHNSIMERFPIQDNTTTLCSGGKTGNTTATTTATTNNSSNNNSNGNGTTSNFNSKPKKVLKKVIKVKKIVTVKKTVAEKSELGSEKAAKVSKEKEAKSSKEKEAKITKETVAKSSKEKEAKISKEADNGSSSVDNKVQNTKEEVEEGELGTLKWPPKAEVENGEFVPSEKARRNEIEKGEIVVEKWRKVDVEKGEVVSGTGRWRKGEFGREDIEKGEFIPDRWPNKDDYSYNKSSRGRYDNSKERTPPSGKYSSEDIYRRKELSRSGSNQHSKNTFRWEGGLERNIRISSKIVDEEGSYKGEYSNGKNHGKEYTSGNRLKRYGTESESNERKHYGDYGDYACSKSRRLSEDCARTAHSDHYSRHSLERFYRNSSSSSSSRISSLEKYPSRHHEPTLSSKVVYDRHGRSPVFSERSPRDRVRYYDYRDRSPVRRERSPYRRERSPYGRERSPYGREKSPYGRERSPYGRDKSPYERSRHHDYRRSPTHSERSSQDQYHDRRDRTPNFVERSPHDRARPSNHREAIRKGGGASEKRNSQFVNKGHEDKLGQRDSVARDSKLAAKESQDKNEVHDNNVLEEKKENSESHKEEQSQSPTMNKEESPRVDGPPPEELLSMEEDMDICDTPPHVPVMTDSSTGKWFYLDYFGMECGPSKLCDLKTLVDEGVLVSDHLIKHVDSDRWVTIENAVSPLVTANFPSITSDTITQLVSPPEAPGNLLADTGGIGKSGIQSGEEVPVTLRQSLVSISDSSCLSESLEDLNIDERVGALLGGFTVVPGRELETIGEVLQMTFEHAPWEKWEKSEGFTWNQACISEQHGEDNDELSGYSEMKAKDAVEMRSSAISEKDQGSVCLVDAADWFSGRWSCKGGDWKRNDDTVQDRFSRRKLVLNDGFPLCQMAKSGSEDPRWHRKDDLYYPSQSRRLDLPPWAFSCPDERNECGGVSRLTVAKPPIPVVRGVKGTMLPVVRINACVVKDHGSFVSEPRTKVRGKDRYPLRSARAYSAANDGKRLTAEGDFHSKTDQDSHGSWKSISSINIPKDRLCTVDDLQLHLGEWYYFDGSGHERGPSSFLELQVLADQGSIQKCSSAFRKFDRVWVPITPATETSESTVKLQKENLAVCGDSSASLLQLQSAATNESNSNSISFHSLHPQFIGYTRGKLHELVMKSYKSRDFAAAINDVLDPWINAKQPKKETDNHIYRKSEIDARAGKRARLQLDGSDYDYDMDEDLQTIQRDDASFEELCGDATFNGESSAPSETELGSWGLLDGHMLARVFHFLRSDMKSLVFASLTCKHWRAAASFYKDISRQVDLSHLGPNCSDLIMWNIMNGYNKERINSMVLLGCTNITSGLVEDILRTFPCLSSVDIRGCSQLKELPLKFPDLSWIRTRGSRGIDVSEDSYSKIRSLKQISETPTFCSDADDFGELKEYFNSVNKRDSANQLFRRSLYKRSKLFDARKSSSILSRDARIRRWAMKKSESGYRRMEGFLASGLKDIMKENTFEFFVPKVAEIEDRMKNGYYIGHGLRSVKEDISRMCRDAIKAKNRGAGDMNHIITLFLKLASRLEDSSKFSYERDQLMKSWKDDLSAGLGYTPMKYKKKLIMEKKNTIRSNGTGYANGSCYYGEYASDREIRRRLSKLNRKSIDSGSETSDDFDKSSEDGRSDSESTASYTGSDLDFRSEARSMESIGEGFFMGDEGLDSITDDREWGARMTKASLVPPVTRKYEVIDQYVIVADEEDVQRKMCVSLPDDFAEKLDAQKNGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEELDWPLSEKHSFIEDMLLQTLNKQVRNFTGSGNTPMMYPLLPVIEDIEKAAEEECDVRTMKMCHGILKAIASRPDDNYVAYRKGLGVVCNKQGGFGEDDFVVEFLGEVYPAWKWFEKQDGIRSLQKDNKDPAPEFYNINLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYTVREIQYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHAMLDRHHLMLEACELNSVSEEDYLDLGRAGLGSCLLGGLPDWVVAYSARLVRFINLERTKLPEEILRHNLEEKRKYFSDICLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCLFGDPKKAPPPLVRLSPEETVSFLWKGEGSLVEELLQCMASHVEADMLNDLKSKIRARDLSESDNIQKELQKSLLWLRDEVRALPCTYKCRHDAAADLIHVYAHTKCFFKVQEYKTFTSPPVHISPLDLGPKYADKLGAGIHEYRKTYGENYCLGQLIYWHIQTNAEPDCSLAKASRGCLSLPEIGSCYAKVQKPSQQRIYGPKTVKLLLERMEKYPHKPWPKDQIWSFKSCPKVIGSPMLDAVLSNCPLDRELVHWLKHRPTIYQAVWDR >Manes.13G074400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11027720:11042819:1 gene:Manes.13G074400.v8.1 transcript:Manes.13G074400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACMPLQHNSIMERFPIQDNTTTLCSGGKTGNTTATTTATTNNSSNNNSNGNGTTSNFNSKPKKVLKKVIKVKKIVTVKKTVAEKSELGSEKAAKVSKEKEAKSSKEKEAKITKETVAKSSKEKEAKISKEADNGSSSVDNKVQNTKEEVEEGELGTLKWPPKAEVENGEFVPSEKARRNEIEKGEIVVEKWRKVDVEKGEVVSGTGRWRKGEFGREDIEKGEFIPDRWPNKDDYSYNKSSRGRYDNSKERTPPSGKYSSEDIYRRKELSRSGSNQHSKNTFRWEGGLERNIRISSKIVDEEGSYKGEYSNGKNHGKEYTSGNRLKRYGTESESNERKHYGDYGDYACSKSRRLSEDCARTAHSDHYSRHSLERFYRNSSSSSSSRISSLEKYPSRHHEPTLSSKVVYDRHGRSPVFSERSPRDRVRYYDYRDRSPVRRERSPYRRERSPYGRERSPYGREKSPYGRERSPYGRDKSPYERSRHHDYRRSPTHSERSSQDQYHDRRDRTPNFVERSPHDRARPSNHREAIRKGGGASEKRNSQFVNKGHEDKLGQRDSVARDSKLAAKESQDKNEVHDNNVLEEKKENSESHKEEQSQSPTMNKEESPRVDGPPPEELLSMEEDMDICDTPPHVPVMTDSSTGKWFYLDYFGMECGPSKLCDLKTLVDEGVLVSDHLIKHVDSDRWVTIENAVSPLVTANFPSITSDTITQLVSPPEAPGNLLADTGGIGKSGIQSGEEVPVTLRQSLVSISDSSCLSESLEDLNIDERVGALLGGFTVVPGRELETIGGFTWNQACISEQHGEDNDELSGYSEMKAKDAVEMRSSAISEKDQGSVCLVDAADWFSGRWSCKGGDWKRNDDTVQDRFSRRKLVLNDGFPLCQMAKSGSEDPRWHRKDDLYYPSQSRRLDLPPWAFSCPDERNECGGVSRLTVAKPPIPVVRGVKGTMLPVVRINACVVKDHGSFVSEPRTKVRGKDRYPLRSARAYSAANDGKRLTAEGDFHSKTDQDSHGSWKSISSINIPKDRLCTVDDLQLHLGEWYYFDGSGHERGPSSFLELQVLADQGSIQKCSSAFRKFDRVWVPITPATETSESTVKLQKENLAVCGDSSASLLQLQSAATNESNSNSISFHSLHPQFIGYTRGKLHELVMKSYKSRDFAAAINDVLDPWINAKQPKKETDNHIYRKSEIDARAGKRARLQLDGSDYDYDMDEDLQTIQRDDASFEELCGDATFNGESSAPSETELGSWGLLDGHMLARVFHFLRSDMKSLVFASLTCKHWRAAASFYKDISRQVDLSHLGPNCSDLIMWNIMNGYNKERINSMVLLGCTNITSGLVEDILRTFPCLSSVDIRGCSQLKELPLKFPDLSWIRTRGSRGIDVSEDSYSKIRSLKQISETPTFCSDADDFGELKEYFNSVNKRDSANQLFRRSLYKRSKLFDARKSSSILSRDARIRRWAMKKSESGYRRMEGFLASGLKDIMKENTFEFFVPKVAEIEDRMKNGYYIGHGLRSVKEDISRMCRDAIKAKNRGAGDMNHIITLFLKLASRLEDSSKFSYERDQLMKSWKDDLSAGLGYTPMKYKKKLIMEKKNTIRSNGTGYANGSCYYGEYASDREIRRRLSKLNRKSIDSGSETSDDFDKSSEDGRSDSESTASYTGSDLDFRSEARSMESIGEGFFMGDEGLDSITDDREWGARMTKASLVPPVTRKYEVIDQYVIVADEEDVQRKMCVSLPDDFAEKLDAQKNGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEELDWPLSEKHSFIEDMLLQTLNKQVRNFTGSGNTPMMYPLLPVIEDIEKAAEEECDVRTMKMCHGILKAIASRPDDNYVAYRKGLGVVCNKQGGFGEDDFVVEFLGEVYPAWKWFEKQDGIRSLQKDNKDPAPEFYNINLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYTVREIQYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHAMLDRHHLMLEACELNSVSEEDYLDLGRAGLGSCLLGGLPDWVVAYSARLVRFINLERTKLPEEILRHNLEEKRKYFSDICLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCLFGDPKKAPPPLVRLSPEETVSFLWKGEGSLVEELLQCMASHVEADMLNDLKSKIRARDLSESDNIQKELQKSLLWLRDEVRALPCTYKCRHDAAADLIHVYAHTKCFFKVQEYKTFTSPPVHISPLDLGPKYADKLGAGIHEYRKTYGENYCLGQLIYWHIQTNAEPDCSLAKASRGCLSLPEIGSCYAKVQKPSQQRIYGPKTVKLLLERMEKYPHKPWPKDQIWSFKSCPKVIGSPMLDAVLSNCPLDRELVHWLKHRPTIYQAVWDR >Manes.13G074400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11027720:11042819:1 gene:Manes.13G074400.v8.1 transcript:Manes.13G074400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACMPLQHNSIMERFPIQDNTTTLCSGGKTGNTTATTTATTNNSSNNNSNGNGTTSNFNSKPKKVLKKVIKVKKIVTVKKTVAEKSELGSEKAAKVSKEKEAKSSKEKEAKITKETVAKSSKEKEAKISKEADNGSSSVDNKVQNTKEEVEEGELGTLKWPPKAEVENGEFVPSEKARRNEIEKGEIVVEKWRKVDVEKGEVVSGTGRWRKGEFGREDIEKGEFIPDRWPNKDDYSYNKSSRGRYDNSKERTPPSGKYSSEDIYRRKELSRSGSNQHSKNTFRWEGGLERNIRISSKIVDEEGSYKGEYSNGKNHGKEYTSGNRLKRYGTESESNERKHYGDYGDYACSKSRRLSEDCARTAHSDHYSRHSLERFYRNSSSSSSSRISSLEKYPSRHHEPTLSSKVVYDRHGRSPVFSERSPRDRVRYYDYRDRSPVRRERSPYRRERSPYGRERSPYGREKSPYGRERSPYGRDKSPYERSRHHDYRRSPTHSERSSQDQYHDRRDRTPNFVERSPHDRARPSNHREAIRKGGGASEKRNSQFVNKGHEDKLGQRDSVARDSKLAAKESQDKNEVHDNNVLEEKKENSESHKEEQSQSPTMNKEESPRVDGPPPEELLSMEEDMDICDTPPHVPVMTDSSTGKWFYLDYFGMECGPSKLCDLKTLVDEGVLVSDHLIKHVDSDRWVTIENAVSPLVTANFPSITSDTITQLVSPPEAPGNLLADTGGIGKSGIQSGEEVPVTLRQSLVSISDSSCLSESLEDLNIDERVGALLGGFTVVPGRELETIGGFTWNQACISEQHGEDNDELSGYSEMKAKDAVEMRSSAISEKDQGSVCLVDAADWFSGRWSCKGGDWKRNDDTVQDRFSRRKLVLNDGFPLCQMAKSGSEDPRWHRKDDLYYPSQSRRLDLPPWAFSCPDERNECGGVSRLTVAKPPIPVVRGVKGTMLPVVRINACVVKDHGSFVSEPRTKVRGKDRYPLRSARAYSAANDGKRLTAEGDFHSKTDQDSHGSWKSISSINIPKDRLCTVDDLQLHLGEWYYFDGSGHERGPSSFLELQVLADQGSIQKCSSAFRKFDRVWVPITPATETSESTVKLQKENLAVCGDSSASLLQLQSAATNESNSNSISFHSLHPQFIGYTRGKLHELVMKSYKSRDFAAAINDVLDPWINAKQPKKETDNHIYRKSEIDARAGKRARLQLDGSDYDYDMDEDLQTIQRDDASFEELCGDATFNGESSAPSETELGSWGLLDGHMLARVFHFLRSDMKSLVFASLTCKHWRAAASFYKDISRQVDLSHLGPNCSDLIMWNIMNGYNKERINSMVLLGCTNITSGLVEDILRTFPCLSSVDIRGCSQLKELPLKFPDLSWIRTRGSRGIDVSEDSYSKIRSLKQISETPTFCSDADDFGELKEYFNSVNKRDSANQLFRRSLYKRSKLFDARKSSSILSRDARIRRWAMKKSESGYRRMEGFLASGLKDIMKENTFEFFVPKVAEIEDRMKNGYYIGHGLRSVKEDISRMCRDAIKAKNRGAGDMNHIITLFLKLASRLEDSSKFSYERDQLMKSWKDDLSAGLGYTPMKYKKKLIMEKKNTIRSNGTGYANGSCYYGEYASDREIRRRLSKLNRKSIDSGSETSDDFDKSSEDGRSDSESTASYTGSDLDFRSEARSMESIGEGFFMGDEGLDSITDDREWGARMTKASLVPPVTRKYEVIDQYVIVADEEDVQRKMCVSLPDDFAEKLDAQKNGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEELDWPLSEKHSFIEDMLLQTLNKQVRNFTGSGNTPMMYPLLPVIEDIEKAAEEECDVRTMKMCHGILKAIASRPDDNYVAYRKGLGVVCNKQGGFGEDDFVVEFLGEVYPAWKWFEKQDGIRSLQKDNKDPAPEFYNINLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYTVREIQYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHAMLDRHHLMLEACELNSVSEEDYLDLGRAGLGSCLLGGLPDWVVAYSARLVRFINLERTKLPEEILRHNLEEKRKYFSDICLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCLFGDPKKAPPPLVRLSPEETVSFLWKGEGSLVEELLQCMASHVEADMLNDLKSKIRARDLSESDNIQKELQKSLLWLRDEVRALPCTYKCRHDAAADLIHVYAHTKCFFKVQEYKTFTSPPVHISPLDLGPKYADKLGAGIHEYRKTYGENYCLGQLIYWHIQTNAEPDCSLAKASRGCLSLPEIGSCYAKVQKPSQQRIYGPKTVKLLLERMEKYPHKPWPKDQIWSFKSCPKVIGSPMLDAVLSNCPLDRELVHWLKHRPTIYQAVWDR >Manes.13G074400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11027721:11042818:1 gene:Manes.13G074400.v8.1 transcript:Manes.13G074400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACMPLQHNSIMERFPIQDNTTTLCSGGKTGNTTATTTATTNNSSNNNSNGNGTTSNFNSKPKKVLKKVIKVKKIVTVKKTVAEKSELGSEKAAKVSKEKEAKSSKEKEAKITKETVAKSSKEKEAKISKEADNGSSSVDNKVQNTKEEVEEGELGTLKWPPKAEVENGEFVPSEKARRNEIEKGEIVVEKWRKVDVEKGEVVSGTGRWRKGEFGREDIEKGEFIPDRWPNKDDYSYNKSSRGRYDNSKERTPPSGKYSSEDIYRRKELSRSGSNQHSKNTFRWEGGLERNIRISSKIVDEEGSYKGEYSNGKNHGKEYTSGNRLKRYGTESESNERKHYGDYGDYACSKSRRLSEDCARTAHSDHYSRHSLERFYRNSSSSSSSRISSLEKYPSRHHEPTLSSKVVYDRHGRSPVFSERSPRDRVRYYDYRDRSPVRRERSPYRRERSPYGRERSPYGREKSPYGRERSPYGRDKSPYERSRHHDYRRSPTHSERSSQDQYHDRRDRTPNFVERSPHDRARPSNHREAIRKGGGASEKRNSQFVNKGHEDKLGQRDSVARDSKLAAKESQDKNEVHDNNVLEEKKENSESHKEEQSQSPTMNKEESPRVDGPPPEELLSMEEDMDICDTPPHVPVMTDSSTGKWFYLDYFGMECGPSKLCDLKTLVDEGVLVSDHLIKHVDSDRWVTIENAVSPLVTANFPSITSDTITQLVSPPEAPGNLLADTGGIGKSGIQSGEEVPVTLRQSLVSISDSSCLSESLEDLNIDERVGALLGGFTVVPGRELETIGEVLQMTFEHAPWEKWEKSEGFTWNQACISEQHGEDNDELSGYSEMKAKDAVEMRSSAISEKDQGSVCLVDAADWFSGRWSCKGGDWKRNDDTVQDRFSRRKLVLNDGFPLCQMAKSGSEDPRWHRKDDLYYPSQSRRLDLPPWAFSCPDERNECGGVSRLTVAKPPIPVVRGVKGTMLPVVRINACVVKDHGSFVSEPRTKVRGKDRYPLRSARAYSAANDGKRLTAEGDFHSKTDQDSHGSWKSISSINIPKDRLCTVDDLQLHLGEWYYFDGSGHERGPSSFLELQVLADQGSIQKCSSAFRKFDRVWVPITPATETSESTVKLQKENLAVCGDSSASLLQLQSAATNESNSNSISFHSLHPQFIGYTRGKLHELVMKSYKSRDFAAAINDVLDPWINAKQPKKETDNHIYRKSEIDARAGKRARLQLDGSDYDYDMDEDLQTIQRDDASFEELCGDATFNGESSAPSETELGSWGLLDGHMLARVFHFLRSDMKSLVFASLTCKHWRAAASFYKDISRQVDLSHLGPNCSDLIMWNIMNGYNKERINSMVLLGCTNITSGLVEDILRTFPCLSSVDIRGCSQLKELPLKFPDLSWIRTRGSRGIDVSEDSYSKIRSLKQISETPTFCSDADDFGELKEYFNSVNKRDSANQLFRRSLYKRSKLFDARKSSSILSRDARIRRWAMKKSESGYRRMEGFLASGLKDIMKENTFEFFVPKVAEIEDRMKNGYYIGHGLRSVKEDISRMCRDAIKAKNRGAGDMNHIITLFLKLASRLEDSSKFSYERDQLMKSWKDDLSAGLGYTPMKYKKKLIMEKKNTIRSNGTGYANGSCYYGEYASDREIRRRLSKLNRKSIDSGSETSDDFDKSSEDGRSDSESTASYTGSDLDFRSEARSMESIGEGFFMGDEGLDSITDDREWGARMTKASLVPPVTRKYEVIDQYVIVADEEDVQRKMCVSLPDDFAEKLDAQKNGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEELDWPLSEKHSFIEDMLLQTLNKQVRNFTGSGNTPMMYPLLPVIEDIEKAAEEECDVRTMKMCHGILKAIASRPDDNYVAYRKGLGVVCNKQGGFGEDDFVVEFLGEVYPAWKWFEKQDGIRSLQKDNKDPAPEFYNINLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYTVREIQYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHAMLDRHHLMLEACELNSVSEEDYLDLGRAGLGSCLLGGLPDWVVAYSARLVRFINLERTKLPEEILRHNLEEKRKYFSDICLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCLFGDPKKAPPPLVRLSPEETVSFLWKGEGSLVEELLQCMASHVEADMLNDLKSKIRARDLSESDNIQKELQKSLLWLRDEVRALPCTYKCRHDAAADLIHVYAHTKCFFKVQEYKTFTSPPVHISPLDLGPKYADKLGAGIHEYRKTYGENYCLGQLIYWHIQTNAEPDCSLAKASRGCLSLPEIGSCYAKVQKPSQQRIYGPKTVKLLLERMEKYPHKPWPKDQIWSFKSCPKVIGSPMLDAVLSNCPLDRELVHWLKHRPTIYQAVWDR >Manes.05G181500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29840941:29843166:1 gene:Manes.05G181500.v8.1 transcript:Manes.05G181500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIEGESFSWLLCEETDSCLIENVVDDYTFIDMTRNTCGVLGVTEKDEGEDGGYLDILFEREIDFWFRRNQYMGFDNRIKCARLEEISWILETILILGFRFQTAYLSITYFDRFLSKMPIDCEKSWTVRLLSVACVSLAAKLEERGRRPLSQFQIEDYNFDNKSIQRMELLVLNTLEWRMISITPFPFLHYLIIKFCKNSPSKNIMSRTVGFILALMREINLMDHRPSSIAAAAILMAMDHSLTRQALE >Manes.05G181500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29840941:29844396:1 gene:Manes.05G181500.v8.1 transcript:Manes.05G181500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIEGVTEKDEGEDGGYLDILFEREIDFWFRRNQYMGFDNRIKCARLEEISWILETILILGFRFQTAYLSITYFDRFLSKMPIDCEKSWTVRLLSVACVSLAAKLEERGRRPLSQFQIEDYNFDNKSIQRMELLVLNTLEWRMISITPFPFLHYLIIKFCKNSPSKNIMSRTVGFILALMREINLMDHRPSSIAAAAILMAMDHSLTRQALECKINSVSYSGFLELEDVFQCYNLIQKLETKNLKQQKLVNSQVVSPTQSRP >Manes.05G181500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29840941:29843166:1 gene:Manes.05G181500.v8.1 transcript:Manes.05G181500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIEGESFSWLLCEETDSCLIENVVDDYTFIDMTRNTCGVLGVTEKDEGEDGGYLDILFEREIDFWFRRNQYMGFDNRIKCARLEEISWILETILILGFRFQTAYLSITYFDRFLSKMPIDCEKSWTVRLLSVACVSLAAKLEERGRRPLSQFQIEDYNFDNKSIQRMELLVLNTLEWRMISITPFPFLHYLIIKFCKNSPSKNIMSRTVGFILALMREINLMDHRPSSIAAAAILMAMDHSLTRQALECKINSVSYSGFLELEDVFQCYNLIQKLETKNLKQQKLVNSQVVSPTQSRP >Manes.17G022500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13587161:13597119:1 gene:Manes.17G022500.v8.1 transcript:Manes.17G022500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGYLEAIVRGHRSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLEYCTYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMTDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDLDQVRAVMEKYPPYQSIFSKLSYGESQLLDKAFYEEEVKRLCLAFEQQFHYAVFFAYIRLREQEIRNLMWVSECVAQNQKSRVHDSVVFIF >Manes.08G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11741525:11743108:-1 gene:Manes.08G070400.v8.1 transcript:Manes.08G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDKPDQPLLSDAKDACINAHEKKSLQRKRLVQSNNGDTSDVRHQERNGIHSLKWLESFLEKQKFSFKQVLILFSVYLGVGTSGFFFTMNQIDGKKTHGFVDAMYLCVVTMTTVGYGDLVPHSVLAKLIASVYVFFGMAMVGIILSKAADYIVEKQEVLLVRAINIRGKLGSTQILKEVENHKVEYKLLSAVAILLVLIVVGTAFLCLIENFEVVDAFYCVCSTMTTLGYGDESFSTTTGRLFAVFWILSSTLCLAQFFCYLTELYTELRQKLLVKRVLTRNMTSSDLESADLDHDKVVSAAEFIVYTLKEMGKIDDNDISLVMERFKKLDIDHSGTLTESDIVQSHSSRS >Manes.01G085550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28764545:28782157:-1 gene:Manes.01G085550.v8.1 transcript:Manes.01G085550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETEFHTQFVISLIVSLMLASLRKSATDSASFFLPMISISKALLEVHTILVEVQFEQVLEKLRMLEDIGIF >Manes.03G209800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32455786:32508613:1 gene:Manes.03G209800.v8.1 transcript:Manes.03G209800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRSYLGEYVHGLSSEALRISVWKGDVVLKDLRLKAEALNALKLPLTVRAGFVGTITLKVPWKSLGKEPVIVLIDRVFILAHPASVGRALNDEDRRKLFESKLLQIEEAESAILEAKSRSKLGNPPPGNSWLGSLIATIIGNLKISISNVHVRFEDAFSNPGHPFSCGVTLAKLAAVTTDEQGNETFDTSGALDRLRKSVQLERLSVYHDFNSLPWKIEKRWEDLSPKEWIEIFEDGINEPSVGSRMVSKWAVNRNYVLSPINGVLKYHRLGKQERNDPEIPFEKASLVLSDVSLTITEAQYHDWIKLLEVFSRYKTYVEISHLRPEVTLSKNPRLWWRYAAQAVLQRKQMCYRLSWDRIQHLCQLRRHYVHLYAGSLKQSSNANVSELREMEKDLDPKVILLWRLLAHAKVESVKSKEAAEQRRLKKKSWFPFRWYADSEDANNVDVSEGSRLTEERLTKEEWRTINNLLSYQPDEEIMPHTGKDMQNMILYLVTVSVRQAAARIIDVNQTEIICGRFEQLHVSTKFKNRSTHCDVLLKFYGLSAPGGSLAQSVSSEQKANALFASFVRSPVGENVDWKLSATISPCHVTVFMESFDHVFEFVKRSNAVSPTVTLETANALQMKLEKVTRRAQEQFQMVLEEQSRFALDIDLDAPKVRVPIRTVGSSNCDVHFILDFGHFTLQTAESDSDEQRQSLYYRCYISGRDIAAFFTNCNSGCQDPTGVILNDNSQAITSHIQERNENFYSLIDRCGMAVIVDQIKVPHPSYPSTRVSVQVPNLGIHLSPARYYRIMELLSMLYDTMENCGQSTVDNFQAQFAPWSSADIATDARILVWKGIGNSMATWQPCFLVLSGLYLYAMESQSSQSYQRYMSMASRQVNEVPLSNVGGSPFCIAVCSRGMDIQQALESSSTWILEFRNEEEKNLWFKGLIQATYQASVPPSIDVLGEMRGAAAGFGEPQTPNMRTADLVINGALVETKLCVYGKSGVEVDEKHKETLIVEVLAGGGKVHMIRSEGDLTVKMKLHSLIIKDELQGCQSMSPQYLACSVLKNDKTLATPSPSPRSIEGREISVAPHDDDDTFTDALPDFSSASDPGVFSPRINVSHSGKVGTIGDSSEFESAEALILEQELLQGNSISNETFYEAQGGDTLDFVSMTFSTRSSSSSAYDGVDTQMSICMSKLEFFCNRPTLVALIGFGCDLSSGNPVQSNVDVDGISDDKSLMNKEKAEDKGLVKGLLGYGKHRVVFYLNMKIDSVTVFLNKEDGSQLAMLVQERFLLDLKIHPGSLSIEGTLGNFRLCDMSLGDDHSWSWLCDIRNPGLESLIKIKFSSYCAEDDDYEGYDYSLSGRLSAVRIIFLYRFVQEITVYFMELATPHTEEAIKLVDKVGDFEWLIQKCEIDGATALKLDLSLDTPIIIVPRNSVSKDFIQLDLGQLEITNEFSWHGCPEKDPSAVHMDVLHAKILGINMSVGVDGCVGKPMIQEGKGFDIYVRRSLRDVFRKVPTFSLEVKVDFLHGVMSDKEYNVILNCTSMNLNEEPRLPPSFRGSKDGTGDTMRMLVDKVNMNSQILLSQTVNIMAVDINYALLELCNGIREAPLAHIALEGLWVSYRTSSLSETDLYITIPKFSILDNRPDTKPEMRLMLGSSTDVSKQVSSGNFPHLPNRASFRRMQSVSVLDMDVPYSTMFLMDYRWRLSSQSCVVRVQQPLVLVVPDFLLAIGEFFVPALGTITGREEAMDPKKDPICRSNSIVLSESVYKQREDVVHLSPSRQLIVDAKGVDEYTYDGCGKVICLSEETNMKFNSVRSQPIIIIGRGKRLKFVNIKIENGSLLRKYVYLSNDSSYSVSMEDGVDILLVDNSSTGDDKNVLDDMHRPSDILNISDTQNDSNGMQSFTFEAQLVSPEFTFYDGTKSSLDDSYGEKLLRAKMDFSFMYATKDNDTWIRAVVKDLTVEAGSGLMILDPVDISGGYTSVKEKTNMSLMSTDICFHLSLSAISLLLNLQTQAAAALQFGNAVPLAPCINYDRIWVSPKENGPRNNLTFWRPQAPPNYVILGDCVTSRPNPPSQAVMAVSNTYGRVRKPIGFNLIGLFSEIQGFGGEGHSDSDSDCSLWMPVAPAGYTALGCVVNVGSQPPPNHVVYCLRSDLVASATYSECLFSVQPNPLSVSGFSIWRMDNVIASFFAHSSTEHPPRVSSCNLNHLLLWSSMRHHSLSKESDLTVDHGRKNKQKVSEAENSSGWDVVRSVSKASNCYLTTPNFERIWWDKGSDVRRPVSIWRPIARPGYAILGDCITEGLEPPALGLIFRTDNPELSSRPAQFTKVAHIVGKGFHEVFFWYPVAPPGYASLGCVVTRTDEAPRIASFCCPRMDLVNQVNIVEVPISRFSSSKASNCWSIWKVENQASTFLARSDLKKPSSRLAFAIGDSVKPKSRENITAELKLRCFSVTVLDSLCGMMTPLFDTTITNIKLATHGRMEAMNAVLISSIAASTFNTQLEAWEPLVEPFDGIFKFETYDTNGHQPSRLAKRVHIAATSILNVNVSAANLETFIGTILSWRKQLELDQKAIKLNEEAGNHNINEEDPTYSALDEDDFQTVTIENELGCDMHLKKVDDDVNVVEQIHPGGRTYVWIPPPRFSDRLKVADESREARCYVVIQIIEAKGLPVVDDGNSHNFFCALRLVVDSQGTDQQKYFPQSARTKCVKPVILKGKEVNHAIAKWNEVFIFEIPRKGLTKLEVEVTNLAAKAGKGEVVGALSLPVGNGSAMLKKVASARMLHQPANMQNIVSYPLRRRTLQHNVKQLHDIGCLLVSATYFERNMVSNFLGDKETEYSHDRDIGFWVRLSPDGDWVGVRSLLPLSVFPKFLETDFIAVEVVMKNGKKHAIFRGLATIVNDSDIKLDVSVCHSSSVSSSGRSNINVVIDEIFENQCYHPISGWGNKGPSFRSNDPGRWSTRDFSYSSNDFFEPSAPPGWQWTSSWIIDKSSPVDDEGWAYGPDFNSLKWPPTSKSCTKSNLDVVRRRRWIRRRQQVTGPGSYNMTGNLISIKPGSSAVLPWRSTSKDSDHFLQVRPSVDHSQPATCSWGRHVTFGSGYAFGKEQAFVDHGLLTRQNTLKQGSKMPNTFKLNQLEKKDALFCCTSSSGSKLFWLSIGADASILHTELNSPIYDWRISINSPLKLENQLPCSAEFTIWEKTRDEGCIERQHGIISSRSGVHIYAADIHKPVYLTLLVQGGWILEKDPVLVLDLPSNDHVSSFWMVHQQSKRRLRVSIEQDMGGTIAAPKTIRLFVPYWIVNDSSLPLAYRVVEIEPSDNADADSVFLSRAVKSTKTTLRNPTMERRHSVSKRNIQVLELIEDTSPLPSMLSPQDSAGKSGLMLFPSQKDAYMCPRVGLAVAIRHSDSYSPGISLLELEKKERVDVKAFSSDGSYYKLSVLLKTSERTKVVHFQPHILFINRVGFSLCLRQCDSQLFEWIHPTDPPKSFAWQSSAKVELLKLRLDGYDWSTPFSVCSEGMMRISLKKDTGGGQMQLRVQVRSGAKSSRYEVIFRPNSSSSPYRIENRSMFLPIRFRQVDGVGDSWKLILPSAAASFLWEDLGRRQLLELFVDGTDSSKSLIYNIDEISDNLPIHMGGGPTRALRVTIVKEDKVNVVKISDWMPENEHTAITNTRVPLQLSQVEGNDSQKHVFPSTTDGEFHVVLELAELGISVIDHTPEEILYLSVQNLLLAYSTGLGSGFSRFKLRMHGIQVDNQLPLTPMPVLFRPQKVGHETDYILKFSMTLQSNGSLDLCVYPYIGFSGPDNSAFLINIHEPIIWRLHEMIQQVNLSRLQDTQTTAVSVDPIIHIGVLNISEVRFKVSMAMSPGQRPRGVLGFWSSLMTALGNTENMPVKINQKFHENICMRQSAMISIAISNVKKDLLGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAITSEEQLLRRRLPRVISGDNLLRPYNEYRAQGQVILQLAESGSFFSQVDLFKVRGKFALSDAYEDHFVLPKGKIVMVTHRRVMLLQQPYIIGQRKFTPARDPCSVLWDVLWNDLLTMELTNGKKDHPKAPPSRLMLFLRSRPTDAKEQARKIKCNRETDQALEVYCSIERAMNTFGRNLPKEMLKHKVMKPYAPGVEGSNLEMISREGVVSWSPQHMPASVPMNSTFGSSSN >Manes.03G209800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32455786:32508613:1 gene:Manes.03G209800.v8.1 transcript:Manes.03G209800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRSYLGEYVHGLSSEALRISVWKGDVVLKDLRLKAEALNALKLPLTVRAGFVGTITLKVPWKSLGKEPVIVLIDRVFILAHPASVGRALNDEDRRKLFESKLLQIEEAESAILEAKSRSKLGNPPPGNSWLGSLIATIIGNLKISISNVHVRFEDAFSNPGHPFSCGVTLAKLAAVTTDEQGNETFDTSGALDRLRKSVQLERLSVYHDFNSLPWKIEKRWEDLSPKEWIEIFEDGINEPSVGSRMVSKWAVNRNYVLSPINGVLKYHRLGKQERNDPEIPFEKASLVLSDVSLTITEAQYHDWIKLLEVFSRYKTYVEISHLRPEVTLSKNPRLWWRYAAQAVLQRKQMCYRLSWDRIQHLCQLRRHYVHLYAGSLKQSSNANVSELREMEKDLDPKVILLWRLLAHAKVESVKSKEAAEQRRLKKKSWFPFRWYADSEDANNVDVSEGSRLTEERLTKEEWRTINNLLSYQPDEEIMPHTGKDMQNMILYLVTVSVRQAAARIIDVNQTEIICGRFEQLHVSTKFKNRSTHCDVLLKFYGLSAPGGSLAQSVSSEQKANALFASFVRSPVGENVDWKLSATISPCHVTVFMESFDHVFEFVKRSNAVSPTVTLETANALQMKLEKVTRRAQEQFQMVLEEQSRFALDIDLDAPKVRVPIRTVGSSNCDVHFILDFGHFTLQTAESDSDEQRQSLYYRCYISGRDIAAFFTNCNSGCQDPTGVILNDNSQAITSHIQERNENFYSLIDRCGMAVIVDQIKVPHPSYPSTRVSVQVPNLGIHLSPARYYRIMELLSMLYDTMENCGQSTVDNFQAQFAPWSSADIATDARILVWKGIGNSMATWQPCFLVLSGLYLYAMESQSSQSYQRYMSMASRQVNEVPLSNVGGSPFCIAVCSRGMDIQQALESSSTWILEFRNEEEKNLWFKGLIQATYQASVPPSIDVLGEMRGAAAGFGEPQTPNMRTADLVINGALVETKLCVYGKSGVEVDEKHKETLIVEVLAGGGKVHMIRSEGDLTVKMKLHSLIIKDELQGCQSMSPQYLACSVLKNDKTLATPSPSPRSIEGREISVAPHDDDDTFTDALPDFSSASDPGVFSPRINVSHSGKVGTIGDSSEFESAEALILEQELLQGNSISNETFYEAQGGDTLDFVSMTFSTRSSSSSAYDGVDTQMSICMSKLEFFCNRPTLVALIGFGCDLSSGNPVQSNVDVDGISDDKSLMNKEKAEDKGLVKGLLGYGKHRVVFYLNMKIDSVTVFLNKEDGSQLAMLVQERFLLDLKIHPGSLSIEGTLGNFRLCDMSLGDDHSWSWLCDIRNPGLESLIKIKFSSYCAEDDDYEGYDYSLSGRLSAVRIIFLYRFVQEITVYFMELATPHTEEAIKLVDKVGDFEWLIQKCEIDGATALKLDLSLDTPIIIVPRNSVSKDFIQLDLGQLEITNEFSWHGCPEKDPSAVHMDVLHAKILGINMSVGVDGCVGKPMIQEGKGFDIYVRRSLRDVFRKVPTFSLEVKVDFLHGVMSDKEYNVILNCTSMNLNEEPRLPPSFRGSKDGTGDTMRMLVDKVNMNSQILLSQTVNIMAVDINYALLELCNGIREAPLAHIALEGLWVSYRTSSLSETDLYITIPKFSILDNRPDTKPEMRLMLGSSTDVSKQVSSGNFPHLPNRASFRRMQSVSVLDMDVPYSTMFLMDYRWRLSSQSCVVRVQQPLVLVVPDFLLAIGEFFVPALGTITGREEAMDPKKDPICRSNSIVLSESVYKQREDVVHLSPSRQLIVDAKGVDEYTYDGCGKVICLSEETNMKFNSVRSQPIIIIGRGKRLKFVNIKIENGSLLRKYVYLSNDSSYSVSMEDGVDILLVDNSSTGDDKNVLDDMHRPSDILNISDTQNDSNGMQSFTFEAQLVSPEFTFYDGTKSSLDDSYGEKLLRAKMDFSFMYATKDNDTWIRAVVKDLTVEAGSGLMILDPVDISGGYTSVKEKTNMSLMSTDICFHLSLSAISLLLNLQTQAAAALQFGNAVPLAPCINYDRIWVSPKENGPRNNLTFWRPQAPPNYVILGDCVTSRPNPPSQAVMAVSNTYGRVRKPIGFNLIGLFSEIQGFGGEGHSDSDSDCSLWMPVAPAGYTALGCVVNVGSQPPPNHVVYCLRSDLVASATYSECLFSVQPNPLSVSGFSIWRMDNVIASFFAHSSTEHPPRVSSCNLNHLLLWSSMRHHSLSKESDLTVDHGRKNKQKVSEAENSSGWDVVRSVSKASNCYLTTPNFERIWWDKGSDVRRPVSIWRPIARPGYAILGDCITEGLEPPALGLIFRTDNPELSSRPAQFTKVAHIVGKGFHEVFFWYPVAPPGYASLGCVVTRTDEAPRIASFCCPRMDLVNQVNIVEVPISRFSSSKASNCWSIWKVENQASTFLARSDLKKPSSRLAFAIGDSVKPKSRENITAELKLRCFSVTVLDSLCGMMTPLFDTTITNIKLATHGRMEAMNAVLISSIAASTFNTQLEAWEPLVEPFDGIFKFETYDTNGHQPSRLAKRVHIAATSILNVNVSAANLETFIGTILSWRKQLELDQKAIKLNEEAGNHNINEEDPTYSALDEDDFQTVTIENELGCDMHLKKVDDDVNVVEQIHPGGRTYVWIPPPRFSDRLKVADESREARCYVVIQIIEAKGLPVVDDGNSHNFFCALRLVVDSQGTDQQKYFPQSARTKCVKPVILKGKEVNHAIAKWNEVFIFEIPRKGLTKLEVEVTNLAAKAGKGEVVGALSLPVGNGSAMLKKVASARMLHQPANMQNIVSYPLRRRTLQHNVKQLHDIGCLLVSATYFERNMVSNFLGDKETEYSHDRDIGFWVRLSPDGDWVGVRSLLPLSVFPKFLETDFIAVEVVMKNGKKHAIFRGLATIVNDSDIKLDVSVCHSSSVSSSGRSNINVVIDEIFENQCYHPISGWGNKGPSFRSNDPGRWSTRDFSYSSNDFFEPSAPPGWQWTSSWIIDKSSPVDDEGWAYGPDFNSLKWPPTSKSCTKSNLDVVRRRRWIRRRQQVTGPGSYNMTGNLISIKPGSSAVLPWRSTSKDSDHFLQVRPSVDHSQPATCSWGRHVTFGSGYAFGKEQAFVDHGLLTRQNTLKQGSKMPNTFKLNQLEKKDALFCCTSSSGSKLFWLSIGADASILHTELNSPIYDWRISINSPLKLENQLPCSAEFTIWEKTRDEGCIERQHGIISSRSGVHIYAADIHKPVYLTLLVQGGWILEKDPVLVLDLPSNDHVSSFWMVHQQSKRRLRVSIEQDMGGTIAAPKTIRLFVPYWIVNDSSLPLAYRVVEIEPSDNADADSVFLSRAVKSTKTTLRNPTMERRHSVSKRNIQVLELIEDTSPLPSMLSPQDSAGKSGLMLFPSQKDAYMCPRVGLAVAIRHSDSYSPGISLLELEKKERVDVKAFSSDGSYYKLSVLLKTSERTKVVHFQPHILFINRVGFSLCLRQCDSQLFEWIHPTDPPKSFAWQSSAKVELLKLRLDGYDWSTPFSVCSEGMMRISLKKDTGGGQMQLRVQVRSGAKSSRYEVIFRPNSSSSPYRIENRSMFLPIRFRQVDGVGDSWKLILPSAAASFLWEDLGRRQLLELFVDGTDSSKSLIYNIDEISDNLPIHMGGGPTRALRVTIVKEDKVNVVKISDWMPENEHTAITNTRVPLQLSQVEGNDSQKHVFPSTTDGEFHVVLELAELGISVIDHTPEEILYLSVQNLLLAYSTGLGSGFSRFKLRMHGIQVDNQLPLTPMPVLFRPQKVGHETDYILKFSMTLQSNGSLDLCVYPYIGFSGPDNSAFLINIHEPIIWRLHEMIQQVNLSRLQDTQTTAVSVDPIIHIGVLNISEVRFKVSMAMSPGQRPRGVLGFWSSLMTALGNTENMPVKINQKFHENICMRQSAMISIAISNVKKDLLGQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEDFGDVIREGGGALAKGLFRGVTGILTKPLEGAKTSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAITSEEQLLRRRLPRVISGDNLLRPYNEYRAQGQVILQLAESGSFFSQVDLFKVRGKFALSDAYEDHFVLPKGKIVMVTHRRVMLLQQPYIIGQRKFTPARDPCSVLWDVLWNDLLTMELTNGKKDHPKAPPSRLMLFLRSRPTDAKEQARKIKCNRETDQALEVYCSIERAMNTFGRNLPKEMLKHKVMKPYAPGVEGSNLEMISREGVVSWSPQHMPASVPMNSTFGSSSN >Manes.01G159700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34504231:34508684:-1 gene:Manes.01G159700.v8.1 transcript:Manes.01G159700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPHTLRLNSKTPLYLWNLKILNSTNDGLFSETLYLYYSMQQSGVHGNGFTFPFVLKACSHINSILHGTMVHAHVLQLGFHHHVFVQTALLDMYSKCYDLQSSRKVFDKMPVRTSVSWNSIISAYCRCSLVDEATSLLHEMRVLDFRPTSTTFLSFLAICDLQQGLLIKCCAYKFGLLSSREISLTNAFMKMYVKYGQVHEARAIFDMMHEKSVISWTTVIDGYVAIGNVSEAFSLFNRMRTSVKPDFIVFMSLISGCAQERNLLFASSIHSLSLKGGFDNGDPIDSFLVKMYANCGDLVSARRVFDMTREKSVFLWTSMIRGYTHLGYPVEALELFKALLDMAIKPDEETVATVLLACADLGSLEMGEGIEKYILSNGLHSNLQVQTSLIHMLCRCGNLEKAKAVFDRISTKDLAAWSSMINGYAIHGMGQEALSLFHKMLKTELIKPDEVIYTIILLACSHSGLVEDGLKIFQSMQIDFGIEPSIEHYTCLVDLLGRAGQLELALKTINELPVKVQAEAWAPFLSACRKYGKLELGEFAARKLFDLNPGRVGNYILMSNLYAQAGKWKEAAVTRRLVDERGLVKESGWSYIEINASVHVFLAGDRSHYKSGEIYNKLEELNGKLLEAGYIPETDDAIA >Manes.09G184300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37181814:37185921:-1 gene:Manes.09G184300.v8.1 transcript:Manes.09G184300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQFAMVEELAFLVRDNLPCKHLVLSMEEALVNFLQDDTCADGILELEPMDPYSRLLLHRLADIFGFAHVSVGEGDERHLILERCPETSIPSILVSDILFQHEESQPLTTSHQLLRRNNAPPVLKAKSPSSLTLEQREAAYLAARERIFSIDVEEMKEPQKQKPRSVPVVARRMIAHALGQKISPWNQDVSIKNCKGFEAQTTELNVQDKDKIDLNSGLEEFNEARVQPGQDINPLGKGSNNHDCNASSPAQRNLPHDAAGKSSTNISTACNGSSRNRVKEYSKEEHMGAAKRMFANALGLQSAKGGLMRCSAAKQPDLE >Manes.09G184300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37181814:37185921:-1 gene:Manes.09G184300.v8.1 transcript:Manes.09G184300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDGILELEPMDPYSRLLLHRLADIFGFAHVSVGEGDERHLILERCPETSIPSILVSDILFQHEESQPLTTSHQLLRRNNAPPVLKAKSPSSLTLEQREAAYLAARERIFSIDVEEMKEPQKQKPRSVPVVARRMIAHALGQKISPWNQDVSIKNCKGFEAQTTELNVQDKDKIDLNSGLEEFNEARVQPGQDINPLGKGSNNHDCNASSPAQRNLPHDAAGKSSTNISTACNGSSRNRVKEYSKEEHMGAAKRMFANALGLQSAKGGLMRCSAAKQPDLE >Manes.18G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6853769:6860269:1 gene:Manes.18G075000.v8.1 transcript:Manes.18G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATEQSSAVVAERIEDGGDKDKEKKKNKRRSNRRSKQNSPNPASNLVNGTCGELSHSCGNGVQTKNFVSSLNCSSSREPELGVHFLSEPGLTSASNVAFNSMPTMHIIEEVEHALQSDLEGEPLSNSCPEPIVGGVPHRRCSNKDVFPFDQFGGGAQGKIFAPHWSVEAINEALEKGNAFKALFHVNAHNRFEAYCRIEGVTTDVLISGISAQNRAVEGDRVVIKVDPLAFWTKMKGPNGQSANVSLAEERNFVVEAGETSGGSCKGKSKVDVDYEHSDPGSSSLPQKGIYYEDSSCSGEGVHHEVNGPVGCNSVNGYNLPYSDSLQFGHSTGKDEDMNGVDMLCKIISSYPSKRPTGKVVGIVEKSLRRDAVVGFLNVKQWFCYREGFTKDTKKKKNSPSMSAHEYIHLTPTDPKFPKMMVLVRSLPDSIKKRLEEGDTTVEMELVAAQIDNWDEEFPLPYAHVSHIFGRGSEMEPQLRAILYENAICSSDFSSESLSCVPCNAWEVPAEELKIRRDIRNLCIFTIDPSTATDLDDALSVERLPNGIFRVGVHIADVSYFVLPDTALDKEAQSRSTSVYMLRRKLPMLPALLSENLGSLNPGVDRLAFSIFWDFNSAGDVMDHWIGRTVIRSCCKLSYEDAQAMIDGMTSEETTDSFGNRLPLLHGPFKWPDVIRSVKTLNVISKSLREKRFNDGALELESSKVVFLFDEDGIPYDSMLSEQKDSNFLVEEFMLLANRTAAEVISRVFPDSALLRRHPEPNMRKLREFEAFCCKHGLELDTSSSGHFHQSLQNIREKLKDDTVLFDILMSYASRPMQLATYFCTGVMKDNMNDWGHYALAVPLYTHFTSPLRRYPDIVVHRTLAAAIEAENLYMRSRRMSHDMISGEEVTRCFTGVYFDKDAAESLEGREALTAAAIKHGVPCAELLAVVASYCNDRKLASRHVKDACDKLYMWVMLKKKEILLSEARVLGLGPKFMSIYIQKLAVERRIYYEDVEGLTVEWLEATSTLVLNSCAYKRTFRRAGPGTYRVLNEIAWVVNPCNLNMELGTVGKIANKCSATHLDKNGFASQHEPVSAWEIDPVVFPLTVRLLSTIPVALHAVGGDDGPIDIGVRLFVSSYFS >Manes.18G075000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6853769:6860269:1 gene:Manes.18G075000.v8.1 transcript:Manes.18G075000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATEQSSAVVAERIEDGGDKDKEKKKNKRRSNRRSKQNSPNPASNLVNGTCGELSHSCGNGVQTKNFVSSLNCSSSREPELGVHFLSEPGLTSASNVAFNSMPTMHIIEEVEHALQSDLEGEPLSNSCPEPIVGGVPHRRCSNKDVFPFDQFGGGAQGKIFAPHWSVEAINEALEKGNAFKALFHVNAHNRFEAYCRIEGVTTDVLISGISAQNRAVEGDRVVIKVDPLAFWTKMKGPNGQSANVSLAEERNFVVEAGETSGGSCKGKSKVDVDYEHSDPGSSSLPQKGIYYEDSSCSGEGVHHEVNGPVGCNSVNGYNLPYSDSLQFGHSTGKDEDMNGVDMLCKIISSYPSKRPTGKVVGIVEKSLRRDAVVGFLNVKQWFCYREGFTKDTKKKKNSPSMSAHEYIHLTPTDPKFPKMMVLVRSLPDSIKKRLEEGDTTVEMELVAAQIDNWDEEFPLPYAHVSHIFGRGSEMEPQLRAILYENAICSSDFSSESLSCVPCNAWEVPAEELKIRRDIRNLCIFTIDPSTATDLDDALSVERLPNGIFRVGVHIADVSYFVLPDTALDKEAQSRSTSVYMLRRKLPMLPALLSENLGSLNPGVDRLAFSIFWDFNSAGDVMDHWIGRTVIRSCCKLSYEDAQAMIDGMTSEETTDSFGNRLPLLHGPFKWPDVIRSVKTLNVISKSLREKRFNDGALELESSKVVFLFDEDGIPYDSMLSEQKDSNFLVEEFMLLANRTAAEVISRVFPDSALLRRHPEPNMRKLREFEAFCCKHGLELDTSSSGHFHQSLQNIREKLKDDTVLFDILMSYASRPMQLATYFCTGVMKDNMNDWGHYALAVPLYTHFTSPLRRYPDIVVHRTLAAAIEAENLYMRSRRMSHDMISGEEVTRCFTGVYFDKDAAESLEGREALTAAAIKHGVPCAELLAVVASYCNDRKLASRHVKDACDKLYMWVMLKKKEILLSEARVLGLGPKFMSIYIQKLALSGRAKDIL >Manes.03G010200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:868698:871711:-1 gene:Manes.03G010200.v8.1 transcript:Manes.03G010200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCHRNSIGSLILDHSPSTNSSGGSHFRLWTAFSASAFRRKIFDAVSCGGSSRYRNHEDEFSSSITASSDTSNITTSATVKSGNINVSEKKPGKARNVKSGKLSDLLSIAEAESEIEMKKKVEALEELKLVVKELQVESETKRKEAACRVRLLSKEDASARANLALLGAIPPLVGMLDAEDVESQIASLYALLNLGIGNDANKAAIVKAGAVHKMLKIIESPSPPNPSVSEAIVANFLGLSALDANKPIIGSSGAIPFLVNALEDLDHKSSLQSKQDALRALYNLSIFSSNVSFMLETNLIPFLMNMLGDMEISERILSILSNVASTPEGRKAISSMPDAFSILIDVLNWNDSPGCQEKASYILMVMAHKAYGDRQAMIEAGIVSSLLELTLLGSTLAQKRASRILEFLRVDKGKQVSENYGGNLCAAVSAPIYGSSSSSTNPNGVSRESLEEAEGMMSEEKKAVKQLVQQSLQHNMRKIVKRANLPQDFVPSEHFKALTSSSTSKSLPF >Manes.03G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:867454:871711:-1 gene:Manes.03G010200.v8.1 transcript:Manes.03G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCHRNSIGSLILDHSPSTNSSGGSHFRLWTAFSASAFRRKIFDAVSCGGSSRYRNHEDEFSSSITASSDTSNITTSATVKSGNINVSEKKPGKARNVKSGKLSDLLSIAEAESEIEMKKKVEALEELKLVVKELQVESETKRKEAACRVRLLSKEDASARANLALLGAIPPLVGMLDAEDVESQIASLYALLNLGIGNDANKAAIVKAGAVHKMLKIIESPSPPNPSVSEAIVANFLGLSALDANKPIIGSSGAIPFLVNALEDLDHKSSLQSKQDALRALYNLSIFSSNVSFMLETNLIPFLMNMLGDMEISERILSILSNVASTPEGRKAISSMPDAFSILIDVLNWNDSPGCQEKASYILMVMAHKAYGDRQAMIEAGIVSSLLELTLLGSTLAQKRASRILEFLRVDKGKQVSENYGGNLCAAVSAPIYGSSSSSTNPNGVSRESLEEAEGMMSEEKKAVKQLVQQSLQHNMRKIVKRANLPQDFVPSEHFKALTSSSTSKSLPF >Manes.06G177600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:30070221:30071544:1 gene:Manes.06G177600.v8.1 transcript:Manes.06G177600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMALAQCSFKFPNIICSFKPKKPPTSSTSKWHTTPARDRVIDFGKYKGKMLGALPSTYLKWVSNNLRAGDFEHWAKLADQVLEDPVYQDRLEWEFADSVLNGNKNASLSMEDSAVSTLLEISERFGWDNDDKVGWSKLNFELLGTSKGGRIPRICSTVKEMKDLGTEKEEKDRILSENEIRRKERRERAKLRSKDEKKQEKLGILRRTKDSFVNGVREEMEQRGDQEEWTAEIKNPFPGREALLKKVLQNKKLF >Manes.10G081200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18871545:18874462:1 gene:Manes.10G081200.v8.1 transcript:Manes.10G081200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGMPSNVKNTAKTSPKLTLLPLVALIFYEVSGGPFGIEDSVKAGGGPLLSLLGFLIFPLIWSVPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFNQLIFRIPALLAITVGLTYLNYRGLHIVGFSAVSLAIFSLCPFAVMGILSIPQVSPKQWLAVDFRKVDWRGYFNSMFWNLNYWDKASTLAGEIENPSTGALKASSSEWTDGFFAEVGMLIGGVWLKWWIQAASAMSNLGLFEAEMSGDAFQLLGMSEMGLLPAIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLETFGATLLCLPPAALLLLVMCLASLRTFLVSGAVIVLGFILYLTLGHAKDRKWVQFDTEHSAVFSAAGVRSNSDVRLLHQEVVDEASIMLLSDASTAKTGQESYEILVEGKLE >Manes.10G081200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18871545:18874462:1 gene:Manes.10G081200.v8.1 transcript:Manes.10G081200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGMPSNVKNTAKTSPKLTLLPLVALIFYEVSGGPFGIEDSVKAGGGPLLSLLGFLIFPLIWSVPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFNQLIFRIPALLAITVGLTYLNYRGLHIVGFSAVSLAIFSLCPFAVMGILSIPQVSPKQWLAVDFRKVDWRGYFNSMFWNLNYWDKASTLAGEIENPSTGALKASSSEWTDGFFAEVGMLIGGVWLKWWIQAASAMSNLGLFEAEMSGDAFQLLGMSEMGLLPAIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLETFGATLLCLPPAALLLLVMCLASLRTFLVSGAVIVLGFILYLTLGHAKDRKWVQFDTEHSAVFSAAGVRSNSDVRLLHQEVVDEASIMLLSDASTAKTGQESYEILVEGKLE >Manes.10G081200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18871545:18874462:1 gene:Manes.10G081200.v8.1 transcript:Manes.10G081200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGMPSNVKNTAKTSPKLTLLPLVALIFYEVSGGPFGIEDSVKAGGGPLLSLLGFLIFPLIWSVPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFNQLIFRIPALLAITVGLTYLNYRGLHIVGFSAVSLAIFSLCPFAVMGILSIPQVSPKQWLAVDFRKVDWRGYFNSMFWNLNYWDKASTLAGEIENPSKTFPKALFGAVALVVSSYLIPLLAGTGALKASSSEWTDGFFAEVGMLIGGVWLKWWIQAASAMSNLGLFEAEMSGDAFQLLGMSEMGLLPAIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLETFGATLLCLPPAALLLLVMCLASLRTFLVSGAVIVLGFILYLTLGHAKDRKWVQFDTEHSAVFSAAGVRSNSDVRLLHQEVVDEASIMLLSDASTAKTGQESYEILVEGKLE >Manes.10G081200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18871522:18874462:1 gene:Manes.10G081200.v8.1 transcript:Manes.10G081200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGMPSNVKNTAKTSPKLTLLPLVALIFYEVSGGPFGIEDSVKAGGGPLLSLLGFLIFPLIWSVPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFNQLIFRIPALLAITVGLTYLNYRGLHIVGFSAVSLAIFSLCPFAVMGILSIPQVSPKQWLAVDFRKVDWRGYFNSMFWNLNYWDKASTLAGEIENPSKTFPKALFGAVALVVSSYLIPLLAGTGALKASSSEWTDGFFAEVGMLIGGVWLKWWIQAASAMSNLGLFEAEMSGDAFQLLGMSEMGLLPAIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLETFGATLLCLPPAALLLLVMCLASLRTFLVSGAVIVLGFILYLTLGHAKDRKWVQFDTEHSAVFSAAGVRSNSDVRLLHQEVVDEASIMLLSDASTAKTGQESYEILVEGKLE >Manes.10G081200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18871522:18874462:1 gene:Manes.10G081200.v8.1 transcript:Manes.10G081200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGMPSNVKNTAKTSPKLTLLPLVALIFYEVSGGPFGIEDSVKAGGGPLLSLLGFLIFPLIWSVPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFNQLIFRIPALLAITVGLTYLNYRGLHIVGFSAVSLAIFSLCPFAVMGILSIPQVSPKQWLAVDFRKVDWRGYFNSMFWNLNYWDKASTLAGEIENPSKTFPKALFGAVALVVSSYLIPLLAGTGALKASSSEWTDGFFAEVGMLIGGVWLKWWIQAASAMSNLGLFEAEMSGDAFQLLGMSEMGLLPAIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLETFGATLLCLPPAALLLLVMCLASLRTFLVSGAVIVLGFILYLTLGHAKDRKWVQFDTEHSAVFSAAGVRSNSDVRLLHQEVVDEASIMLLSDASTAKTGQESYEILVEGKLE >Manes.10G081200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18871545:18874462:1 gene:Manes.10G081200.v8.1 transcript:Manes.10G081200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGMPSNVKNTAKTSPKLTLLPLVALIFYEVSGGPFGIEDSVKAGGGPLLSLLGFLIFPLIWSVPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFNQLIFRIPALLAITVGLTYLNYRGLHIVGFSAVSLAIFSLCPFAVMGILSIPQVSPKQWLAVDFRKVDWRGYFNSMFWNLNYWDKASTLAGEIENPSKTFPKALFGAVALVVSSYLIPLLAGTGALKASSSEWTDGFFAEVGMLIGGVWLKWWIQAASAMSNLGLFEAEMSGDAFQLLGMSEMGLLPAIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLETFGATLLCLPPAALLLLVMCLASLRTFLVSGAVIVLGFILYLTLGHAKDRKWVQFDTEHSAVFSAAGVRSNSDVRLLHQEVVDEASIMLLSDASTAKTGQESYEILVEGKLE >Manes.10G081200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18871545:18874462:1 gene:Manes.10G081200.v8.1 transcript:Manes.10G081200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGMPSNVKNTAKTSPKLTLLPLVALIFYEVSGGPFGIEDSVKAGGGPLLSLLGFLIFPLIWSVPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSLPIFNQLIFRIPALLAITVGLTYLNYRGLHIVGFSAVSLAIFSLCPFAVMGILSIPQVSPKQWLAVDFRKVDWRGYFNSMFWNLNYWDKASTLAGEIENPSKTFPKALFGAVALVVSSYLIPLLAGTGALKASSSEWTDGFFAEVGMLIGGVWLKWWIQAASAMSNLGLFEAEMSGDAFQLLGMSEMGLLPAIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLETFGATLLCLPPAALLLLVMCLASLRTFLVSGAVIVLGFILYLTLGHAKDRKWVQFDTEHSAVFSAAGVRSNSDVRLLHQEVVDEASIMLLSDASTAKTGQESYEILVEGKLE >Manes.06G066700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20291263:20293415:1 gene:Manes.06G066700.v8.1 transcript:Manes.06G066700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLTENLQNLKPSFSGLDSSNLLLIDHKALENFRSTDDQDQFVDFSYGRSFTNHQQQSYLPMNLANNIQSSLHVSPAAAVSGTGQSENIKSFGGRKRKRKDEKDLQKPTEVVHVRAKRGQATDSHSLAERVRREKINEKLKNLQNLVPGCYKTMGMAVMLDVIINYVQSLQNQIEFLSMKLSAASMYYDFNLENDNIIETTQGTNAYEVQQMERIGREGYGEASNYFHSAWFL >Manes.06G066700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20291263:20293415:1 gene:Manes.06G066700.v8.1 transcript:Manes.06G066700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLTENLQNLKPSFSGLDSSNLLLIDHKALENFRSTDDQDQFVDFSYGRSFTNHQQQSYLPMNLANNIQSSLHVSPAAAVSGTGQSENIKSFGGRKRKRKDEKDLQKPTEVVHVRAKRGQATDSHSLAERVRREKINEKLKNLQNLVPGCYKFLSMKLSAASMYYDFNLENDNIIETTQGTNAYEVQQMERIGREGYGEASNYFHSAWFL >Manes.11G006350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:740963:742844:-1 gene:Manes.11G006350.v8.1 transcript:Manes.11G006350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKMHQVLICSGRTGSCFKVCLLIFLVFYFFDSYCYNVNAESKFLKLDTARDFSFLPWELISKVGSSRFYYKNYKIKRI >Manes.11G006350.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:740963:742844:-1 gene:Manes.11G006350.v8.1 transcript:Manes.11G006350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKMHQVLICSGRTGSCFKVCLLIFLVFYFFDSYCYNVNAESKFLKLDTARDFSFLPWELISKVGSSRFYYKNYKIKRI >Manes.11G006350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:740963:742844:-1 gene:Manes.11G006350.v8.1 transcript:Manes.11G006350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKMHQVLICSGRTGSCFKVCLLIFLVFYFFDSYCYNVNAESKFLKLDTARDFSFLPWELISKVGSSRFYYKNYKIKRI >Manes.10G032900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3317242:3318787:-1 gene:Manes.10G032900.v8.1 transcript:Manes.10G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKRGNIYILTLTGSGERRLNPTLIDSIRSALRRLRAEPTSPCSALITTAHGKFFSNGFDLAWAQSSQPRLNLMDSKLQSLVSELISLPMPTIAAVTGHASAAGMILALSHDHVLMRKDRGFLYMSEMDIRLVIPDWFVVLLKCKIGDTNVRHEVIMTAAKLTAEMAVVRGIVHSAHDSAEETVDAAVRLGEALVKRGWDGDVYGKNRMVLLSEVLEKIGSENATVEEEKTQSKL >Manes.13G117402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32384744:32424237:-1 gene:Manes.13G117402.v8.1 transcript:Manes.13G117402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIECRVIEKITEIIVVEPIADEEIGLELNEENVEKCKSSKLPKFEGGCKLQSYTKCNRGVMDKYI >Manes.03G167400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29300762:29306313:-1 gene:Manes.03G167400.v8.1 transcript:Manes.03G167400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPAAPGLLFLFLLLISVSADDNGFSRCNCDDEGSLWSIENILDCQKVGDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMVALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNQIRTEMNLTHPLNEGNYSSMDNRSIPISDPDVVRIKGSDGVNILRPESALAAASSGGSGEPGPVAAIRMPMLRVCNFKGGTPEIIQACYAILVLVLPGGQPRSWTNQELEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASQARTAFQKVMSDGMKRPMHSILGLISMIQDGNLSTEQQILVDAMMKTSNVLATLINDVMEISTKDSGRFPLEVRSFRLHATIKEAACLAKCLCVYRGFGFSINVDKSLPDHVMGDERRVFQVILHMVGNLLDGNNRKGSVALRFLLDNGSQERNDHKWAAWRHSTIDGDVYIRFEITMNNDASESDGSSSVTPVGARSYASDGIDEGLSFSVCKKLVQLMHGKIWAVPNSQGFPQSMGLILRFQLRPSLSIAISESGESSEHPHSNSLFRGLQVLLADADDVNRAVTRRLIEKLGCCVTTVSSGFECLSIIGPTTSTFQVVLLDLQMPELDGFEVASRIRKFRSRSWPLIVALTASADELLWERCSQIGINGVIQKPLMLQGIANELQRVLVQANKVI >Manes.03G167400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29301436:29306313:-1 gene:Manes.03G167400.v8.1 transcript:Manes.03G167400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPAAPGLLFLFLLLISVSADDNGFSRCNCDDEGSLWSIENILDCQKVGDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMVALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNQIRTEMNLTHPLNEGNYSSMDNRSIPISDPDVVRIKGSDGVNILRPESALAAASSGGSGEPGPVAAIRMPMLRVCNFKGGTPEIIQACYAILVLVLPGGQPRSWTNQELEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASQARTAFQKVMSDGMKRPMHSILGLISMIQDGNLSTEQQILVDAMMKTSNVLATLINDVMEISTKDSGRFPLEVRSFRLHATIKEAACLAKCLCVYRGFGFSINVDKSLPDHVMGDERRVFQVILHMVGNLLDGNNRKGSVALRFLLDNGSQERNDHKWAAWRHSTIDGDVYIRFEITMNNDASESDGSSSVTPVGARSYASDGIDEGLSFSVCKKLVQLMHGKIWAVPNSQGFPQSMGLILRFQLRPSLSIAISESGESSEHPHSNSLFRGLQVLLADADDVNRAVTRRLIEKLGCCVTTVSSGFECLSIIGPTTSTFQVVLLDLQMPELDGFEVASRIRKFRSRSWPLIVALTASADELLWERCSQIGINGVIQKPLMLQGIANELQRVLVQANKVI >Manes.03G167400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29301436:29306313:-1 gene:Manes.03G167400.v8.1 transcript:Manes.03G167400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPAAPGLLFLFLLLISVSADDNGFSRCNCDDEGSLWSIENILDCQKVGDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMVALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNQIRTEMNLTHPLNEGNYSSMDNRSIPISDPDVVRIKGSDGVNILRPESALAAASSGGSGEPGPVAAIRMPMLRVCNFKGGTPEIIQACYAILVLVLPGGQPRSWTNQELEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASQARTAFQKVMSDGMKRPMHSILGLISMIQDGNLSTEQQILVDAMMKTSNVLATLINDVMEISTKDSGRFPLEVRSFRLHATIKEAACLAKCLCVYRGFGFSINVDKSLPDHVMGDERRVFQVILHMVGNLLDGNNRKGSVALRFLLDNGSQERNDHKWAAWRHSTIDGDVYIRFEITMNNDASESDGSSSVTPVGARSYASDGIDEGLSFSVCKKLVQLMHGKIWAVPNSQGFPQSMGLILRFQLRPSLSIAISESGESSEHPHSNSLFRGLQVLLADADDVNRAVTRRLIEKLGCCVTTVSSGFECLSIIGPTTSTFQVVLLDLQMPELDGFEVASRIRKFRSRSWPLIVALTASADELLWERCSQIGINGVIQKPLMLQGIANELQRVLVQANKVI >Manes.03G167400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29300762:29306313:-1 gene:Manes.03G167400.v8.1 transcript:Manes.03G167400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPAAPGLLFLFLLLISVSADDNGFSRCNCDDEGSLWSIENILDCQKVGDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMVALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNQIRTEMNLTHPLNEGNYSSMDNRSIPISDPDVVRIKGSDGVNILRPESALAAASSGGSGEPGPVAAIRMPMLRVCNFKGGTPEIIQACYAILVLVLPGGQPRSWTNQELEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASQARTAFQKVMSDGMKRPMHSILGLISMIQDGNLSTEQQILVDAMMKTSNVLATLINDVMEISTKDSGRFPLEVRSFRLHATIKEAACLAKCLCVYRGFGFSINVDKSLPDHVMGDERRVFQVILHMVGNLLDGNNRKGSVALRFLLDNGSQERNDHKWAAWRHSTIDGDVYIRFEITMNNDASESDGSSSVTPVGARSYASDGIDEGLSFSVCKKLVQLMHGKIWAVPNSQGFPQSMGLILRFQLRPSLSIAISESGESSEHPHSNSLFRGLQVLLADADDVNRAVTRRLIEKLGCCVTTVSSGFECLSIIGPTTSTFQVVLLDLQMPELDGFEVASRIRKFRSRSWPLIVALTASADELLWERCSQIGINGVIQKPLMLQGIANELQRVLVQANKVI >Manes.03G167400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29301436:29306313:-1 gene:Manes.03G167400.v8.1 transcript:Manes.03G167400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPAAPGLLFLFLLLISVSADDNGFSRCNCDDEGSLWSIENILDCQKVGDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMVALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNQIRTEMNLTHPLNEGNYSSMDNRSIPISDPDVVRIKGSDGVNILRPESALAAASSGGSGEPGPVAAIRMPMLRVCNFKGGTPEIIQACYAILVLVLPGGQPRSWTNQELEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASQARTAFQKVMSDGMKRPMHSILGLISMIQDGNLSTEQQILVDAMMKTSNVLATLINDVMEISTKDSGRFPLEVRSFRLHATIKEAACLAKCLCVYRGFGFSINVDKSLPDHVMGDERRVFQVILHMVGNLLDGNNRKGSVALRFLLDNGSQERNDHKWAAWRHSTIDGDVYIRFEITMNNDASESDGSSSVTPVGARSYASDGIDEGLSFSVCKKLVQLMHGKIWAVPNSQGFPQSMGLILRFQLRPSLSIAISESGESSEHPHSNSLFRGLQVLLADADDVNRAVTRRLIEKLGCCVTTVSSGFECLSIIGPTTSTFQVVLLDLQMPELDGFEVASRIRKFRSRSWPLIVALTASADELLWERCSQIGINGVIQKPLMLQGIANELQRVLVQANKVI >Manes.03G167400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29300762:29306313:-1 gene:Manes.03G167400.v8.1 transcript:Manes.03G167400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPAAPGLLFLFLLLISVSADDNGFSRCNCDDEGSLWSIENILDCQKVGDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLLNGWTYGPHPFQLMVALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNQIRTEMNLTHPLNEGNYSSMDNRSIPISDPDVVRIKGSDGVNILRPESALAAASSGGSGEPGPVAAIRMPMLRVCNFKGGTPEIIQACYAILVLVLPGGQPRSWTNQELEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASQARTAFQKVMSDGMKRPMHSILGLISMIQDGNLSTEQQILVDAMMKTSNVLATLINDVMEISTKDSGRFPLEVRSFRLHATIKEAACLAKCLCVYRGFGFSINVDKSLPDHVMGDERRVFQVILHMVGNLLDGNNRKGSVALRFLLDNGSQERNDHKWAAWRHSTIDGDVYIRFEITMNNDASESDGSSSVTPVGARSYASDGIDEGLSFSVCKKLVQLMHGKIWAVPNSQGFPQSMGLILRFQLRPSLSIAISESGESSEHPHSNSLFRGLQVLLADADDVNRAVTRRLIEKLGCCVTTVSSGFECLSIIGPTTSTFQVVLLDLQMPELDGFEVASRIRKFRSRSWPLIVALTASADELLWERCSQIGINGVIQKPLMLQGIANELQRVLVQANKVI >Manes.11G086200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12745268:12746465:1 gene:Manes.11G086200.v8.1 transcript:Manes.11G086200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGYIFAMILAHTALLGSFMAATASIDLSTAVLIRVDQSGKGDFKKIQDAIDSVPPNNSQLVFISGKPGVYREKVVVPADKPFITLSGTKASNTIITWSHGGNIFESPTFSVLASDFVGRFLTIQNTYGSGDKAVALRVSGERAAFYGCRILSYQDTLLDDTGSHYYSNCYIEGATDFICGSAASIFESCHVHSISKNNGSITAQHRDSPTQNSGFTFLGCKITGTGSAYLGRPWGDHSTVVFALSYMSSAIVPAGWDSLGFPNQAKVHTYKNPEEKKFAKIRFI >Manes.11G086200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12745268:12746809:1 gene:Manes.11G086200.v8.1 transcript:Manes.11G086200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGYIFAMILAHTALLGSFMAATASIDLSTAVLIRVDQSGKGDFKKIQDAIDSVPPNNSQLVFISGKPGVYREKVVVPADKPFITLSGTKASNTIITWSHGGNIFESPTFSVLASDFVGRFLTIQNTYGSGDKAVALRVSGERAAFYGCRILSYQDTLLDDTGSHYYSNCYIEGATDFICGSAASIFESCHVHSISKNNGSITAQHRDSPTQNSGFTFLGCKITGTGSAYLGRPWGDHSTVVFALSYMSSAIVPAGWDSLGFPNQAKYSFLRGIQVLWSGC >Manes.09G138500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33815867:33817058:1 gene:Manes.09G138500.v8.1 transcript:Manes.09G138500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHTGFVIFVLFAAIVPSTTLAKDYIVGDDSGWTTNYDYQAWAQGKNFMVGDTLVFKYPVGIHNVFKVNGTGFQNCSVPPLNEALTSGNDTIVLATPGRKWYICGVGKHCEVGNQKLAITVQSQALPPSLAPSPRSSLGQKKMDANKRSFINFHW >Manes.09G138500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33815867:33817058:1 gene:Manes.09G138500.v8.1 transcript:Manes.09G138500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHTGFVIFVLFAAIVPSTTLAKDYIVGDDSGWTTNYDYQAWAQGKNFMVGDTLVFKYPVGIHNVFKVNGTGFQNCSVPPLNEALTSGNDTIVLATPGRKWYICGVGKHCEVGNQKLAITVQSQALPPSLAPSPRSSLGQKKMDANKRSFINFHW >Manes.09G099300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29766183:29767383:-1 gene:Manes.09G099300.v8.1 transcript:Manes.09G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISRKVYRAYDPQSFDVWDPYHHGFHYGGTTLHAPHSSAYTHEAAPFANAKIEWKENPEAFVVKADLPGLKKEEVKVELEEGNLLCISGERKIEKEERVDNWYHVERSRGRFVQRFRLPENVKADQMKACMENGVLTVTVPKMEKICHSRPIRIF >Manes.15G136500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11050599:11054276:1 gene:Manes.15G136500.v8.1 transcript:Manes.15G136500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPVEYSSRTSWEIPFIPFSRSIPSLHGCVLFYGCLTPKTSHSIHTIFRFFLPRNSLNFLGFVTIINSMVKSGDDGSLAVAPFLKKSYDMVDDESTDSIISWSQSNDSFIIWDMTEFSIRLLPKYFKHSNSSSFIRQLNIYGFRKIDTDRWEFANDGFIRDQKQLLKNISRRKHPQVTDNRKALPLQDSLVEPCETTENEGLWKEIEILKTDKNALMQELIKLRQLQENADNKLLLLRDRIQGMEKNQQQMLSFLVMVMQNPGFLVQLLHPKENNWRMAEPGSIVEQGADEEQLAYDGMIIRYQPPQMNEVATPMVSGEPEESNPFSDGTKDSFLSPDFMKLLMDENLSSFENHIPFIPPELYDDGAWEKLLLASPFLQNVEDTKQDAERPTDTENEIGAAVNGTLVEQMEIAESTGFLIEEIDKPKSLEYKINNRPHSEKSEKLETLT >Manes.15G136500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11050496:11054276:1 gene:Manes.15G136500.v8.1 transcript:Manes.15G136500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDESTDSIISWSQSNDSFIIWDMTEFSIRLLPKYFKHSNSSSFIRQLNIYGFRKIDTDRWEFANDGFIRDQKQLLKNISRRKHPQVTDNRKALPLQDSLVEPCETTENEGLWKEIEILKTDKNALMQELIKLRQLQENADNKLLLLRDRIQGMEKNQQQMLSFLVMVMQNPGFLVQLLHPKENNWRMAEPGSIVEQGADEEQLAYDGMIIRYQPPQMNEVATPMVSGEPEESNPFSDGTKDSFLSPDFMKLLMDENLSSFENHIPFIPPELYDDGAWEKLLLASPFLQNVEDTKQDAERPTDTENEIGAAVNGTLVEQMEIAESTGFLIEEIDKPKSLEYKINNRPHSEKSEKLETLT >Manes.15G136500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11050486:11054732:1 gene:Manes.15G136500.v8.1 transcript:Manes.15G136500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDTDRWEFANDGFIRDQKQLLKNISRRKHPQVTDNRKALPLQDSLVEPCETTENEGLWKEIEILKTDKNALMQELIKLRQLQENADNKLLLLRDRIQGMEKNQQQMLSFLVMVMQNPGFLVQLLHPKENNWRMAEPGSIVEQGADEEQLAYDGMIIRYQPPQMNEVATPMVSGEPEESNPFSDGTKDSFLSPDFMKLLMDENLSSFENHIPFIPPELYDDGAWEKLLLASPFLQNVEDTKQDAERPTDTENEIGAAVNGTLVEQMEIAESTGFLIEEIDKPKSLEYKINNRPHSEKSEKLETLT >Manes.15G136500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11050496:11054276:1 gene:Manes.15G136500.v8.1 transcript:Manes.15G136500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDTDRWEFANDGFIRDQKQLLKNISRRKHPQVTDNRKALPLQDSLVEPCETTENEGLWKEIEILKTDKNALMQELIKLRQLQENADNKLLLLRDRIQGMEKNQQQMLSFLVMVMQNPGFLVQLLHPKENNWRMAEPGSIVEQGADEEQLAYDGMIIRYQPPQMNEVATPMVSGEPEESNPFSDGTKDSFLSPDFMKLLMDENLSSFENHIPFIPPELYDDGAWEKLLLASPFLQNVEDTKQDAERPTDTENEIGAAVNGTLVEQMEIAESTGFLIEEIDKPKSLEYKINNRPHSEKSEKLETLT >Manes.15G136500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11050487:11054700:1 gene:Manes.15G136500.v8.1 transcript:Manes.15G136500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDESTDSIISWSQSNDSFIIWDMTEFSIRLLPKYFKHSNSSSFIRQLNIYGFRKIDTDRWEFANDGFIRDQKQLLKNISRRKHPQVTDNRKALPLQDSLVEPCETTENEGLWKEIEILKTDKNALMQELIKLRQLQENADNKLLLLRDRIQGMEKNQQQMLSFLVMVMQNPGFLVQLLHPKENNWRMAEPGSIVEQGADEEQLAYDGMIIRYQPPQMNEVATPMVSGEPEESNPFSDGTKDSFLSPDFMKLLMDENLSSFENHIPFIPPELYDDGAWEKLLLASPFLQNVEDTKQDAERPTDTENEIGAAVNGTLVEQMEIAESTGFLIEEIDKPKSLEYKINNRPHSEKSEKLETLT >Manes.06G050300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:17424957:17425349:-1 gene:Manes.06G050300.v8.1 transcript:Manes.06G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLIPLAPVAIRASAAPVSHRSDPNRRKISSSSSSANWWTPLFGWSAEPDYIGSESKPGDLGEKKEGRSEPDLEPKSARSRFSPGCFTEEKAKQLRMLTNDTSSYHEVMYHSAIASRLASDFKQRSDQ >Manes.15G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8226468:8228004:1 gene:Manes.15G103900.v8.1 transcript:Manes.15G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTSYQCLSVFVTVLTLCVAVRTQLTADFYSKTCPTLPQIVRRVVLNAIKTEMRMAASLLRLHFHDCFVNGCDGSVLLDGTDVEKFSAANLNSARGFEVVDAIKSAVESQCSGVVSCADILALAARDSTLLSGGPSWKVLLGRRDGTVSSKAQANISLPSPFDNLDAIIAKYAAVGLNITDVVSLSGGHTIGLAKCATFSNRLFNFSGTGAPDNTLESSMLSDLQNLCPINGDGNKTTVFDRDSADLFDNHYFKNLVNNRGLLGSDQVLFSSSLADSTTKSIVESYSSNNKLFFDDFANSMIKMGNISPLTGSSGEIRKNCRVVNS >Manes.03G199700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31629075:31632035:1 gene:Manes.03G199700.v8.1 transcript:Manes.03G199700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFPSLFDGLARTVSIKKGRNSQKDVGKEAADALAKDARKSELMLSSSGTVKSNKSNNFTSVCSKRGQKGINQDRFIVWEEFGCQEDMIFCGIFDGHGPWGHVVAKRVKESVPSSLLCNWQESLALTSPDVDFGMELDRDLQQFDIWKQSYLKTYAAVDQDLKQNPDIDAFSSGTTALTIVKQGEQLIIANVGDSRAVLGTTSDDGSLVPVQLTIDFKPNLPEEAERITQSNGRVFCLHDEPGVHRVWMPNGKRPGLALSRAFGDYCVKDFGLISIPAVSQRSVTRRDRFVILATDGVWDVISNQEAVQIVSSTPDREKSAKTLVQCAARAWKYKKRGIAIDDISAICLFFHDSPSQKIDPLMVLKQA >Manes.03G199700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31629043:31632283:1 gene:Manes.03G199700.v8.1 transcript:Manes.03G199700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFPSLFDGLARTVSIKKGRNSQKDVGKEAADALAKDARKSELMLSSSGTVKSNKSNNFTSVCSKRGQKGINQDRFIVWEEFGCQEDMIFCGIFDGHGPWGHVVAKRVKESVPSSLLCNWQESLALTSPDVDFGMELDRDLQQFDIWKQSYLKTYAAVDQDLKQNPDIDAFSSGTTALTIVKQGEQLIIANVGDSRAVLGTTSDDGSLVPVQLTIDFKPNLPEEAERITQSNGRVFCLHDEPGVHRVWMPNGKRPGLALSRAFGDYCVKDFGLISIPAVSQRSVTRRDRFVILATDGVWDVISNQEAVQIVSSTPDREKSAKTLVQCAARAWKYKKRGIAIDDISAICLFFHDSPSQKIDPLMVLKQA >Manes.17G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29958763:29960938:-1 gene:Manes.17G091400.v8.1 transcript:Manes.17G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKIASQSDVSVHSTFASRYVRESLPRYKMAENSIPKEAAFQIINDELMLDGKPRLNLASFVTTWMEPECDKLMMDAMNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKVTGWDTPIHVDAASGGFIAPFLWPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGYEGYRNVMENCHQNAQVLKQGLEETGRFNIVSKDIGVPLVAFSLKDNSQHNEFEIAETLRRFGWIVPAYTMPADAQHVTVLRVVIREDFSRTLAERLVLDIVKVLNELDSLPAKINAKMAVVAEQKNGKLIKKTAIETQREITTYWKNFVMANKNNKNTIC >Manes.05G018200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1673754:1675541:-1 gene:Manes.05G018200.v8.1 transcript:Manes.05G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELIISPSSSSSLVPSSQETPSTLQQRLQFLLQSEPDWWTYAIFWQKSHDETGRIFLSWGDGYFQGFADISPKLNTMNNHLQHRIPSFNSEGKRAVKGIQPLIGEPHDIDVSVMDDNNVNDVEWFYVMSLTRSFSAGDGIPGKALTTGTMVWLTGGQELQFYNCERAKEARMHGLQTFVCVPTCNGVLELGSSDMVRENWGLVKQAKSLFGSDVSCMIPKDPYPTTPPIQLFDMNISFADIGIIAGIQGGESTPKEKFAQEGEAKKENAKADLSYVDSEHSGSDCTLVESNIKERTPKKRGRKPGIGRDTPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVCYINELKAKIDELESHLQRESKRVKLEVADNIDNQSTTTCVDQDKPNNSGGAGFALEVDVKIMGNDAMIRVQSENVNYPGARLLSALRDLDFKVHHASMSTVNELMLQDLVVRVPNGLITDENLKSALLGRLEQ >Manes.05G182000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29981453:29984981:-1 gene:Manes.05G182000.v8.1 transcript:Manes.05G182000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKNHDNGREDKVEYDLDVEHAESNNNICKNPGREEEEEGKDETGGGELSVEQIFESQGVPSWREQLTWRAFWVSFVLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVRTWTKILEKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGNYLFGMSKLVAQQSAEDNGRDTKDPALGWIIAFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPEGAKLAKKQVRELGKFFSFSFLWAFFQWFFKTEDYCGFSNFPTFGLKARDNMFYFDFSATYVGVGMICPYIINISVLLGGIISWGLMWPLIDTRKGHWYPADLSPKSLHGLQGYKVFIAIALILGDGLYNFCKVLSQTLTSLYTQFHAKSNRIDLPVADNPSPKMKKLSFDEQRRTQLFLKDQIPTWFAVTGYVTVAAISTAILPHIFSQLKWYYVIVIYVFAPTLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVISPSVFWLFYKAFHDLGLPDSEYPVPYAVVYRNMAILGVEGFSSLPKNCLLLCYCFFSAAILINLMKDWLGKKWGRFVPLPMAMAIPFYLGPYFAIDMCVGSLILFIWEKINKAKADAFAPAVASGLICGDGIWALPSSILALAGVNAPICMRFLSRRTNSKIDQIIGPQ >Manes.03G009801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:808274:814655:1 gene:Manes.03G009801.v8.1 transcript:Manes.03G009801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSFIFFDFHKCNLADELEKQSKKKGFKQAKLAFHDEVQYNSNANSVPDLSLSQLSLCVLFVFISTFFNSFCEDHVMMHSHDPQNLEGGLSSHLEEILFAHTLSQTKVNLKITGCQQFASILMKYLRNTKSTMMLGIVNEHITFQVSPGQASSISDDAEVVTIIGSCQDAANQIKNKDFERYSYLQIGSERMAKFFGGTPREGRGLLSASEKTEGEAKEGDLDETKKRSVESGKQGASVKKFKKDKAASATANPTEVEQSILEDLRNCVQLSSANLLASTHLSAHTMDVLPQILDMAKIGQQSGNSVLQSENDTAAMTLNWMIYISVLCVHWHANCTTLPLAPVTKRFDCGRYRAGNVSGFSLSIRTMVSLAMSPDGRHMPSADEDGTIMMWDLSSGRCVSPLMGQNSRVWTLAFSCEEGSVLASGSADCTVKIWNVTTSTKVTSVKESANGLK >Manes.13G055700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6449953:6461767:1 gene:Manes.13G055700.v8.1 transcript:Manes.13G055700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEQPSMSEDGDVNHIVESSSGKEVTTSEGSSDIEPYVGMEFESEEAAKVFYDAYATRLGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAITREGCKAMIVVKKEKTGKWVVTRFVKEHNHPLVAIPSNGRRSVLLSQTPDEKDVKIRELTAELQRERKRSAAYQEQLYMVLREMEEHSNHLSRNIEDIVQSVRDIESKR >Manes.S009810.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:73114:73236:1 gene:Manes.S009810.v8.1 transcript:Manes.S009810.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.01G086900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28858919:28864180:-1 gene:Manes.01G086900.v8.1 transcript:Manes.01G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLDFRRKTPPGILLIRSIRGRNWGLNTYRYVILLVTFIAYTCYHASRKPSSIVKSVLDPEPNKSLGEYPWPIGNIFIKEEFVGVNKVRLENTGWYPFNGADGTSKLGLIDVAFLSCYSLGMYVAGHLGDTLDLRLFLTFGMICSGIFVGLFGMGYFWQIHVFGFYLIMQMFAGLFQATGWPSVVAVIGNWFGKRKRGLIMGVWNAHTSVGNISGSLLAASVLDHGWGWSFILPGAFIVAGGILVFLFLAAYPEDVGFPCPNCPTEKVEAVYSDTETQAQKESRVQEANNNVVRSGSAFKKSVGLIEACLIPGVIPFALCLFFSKLVAYTFLYWLPFYLSQTEIGGEYVSVKSAGNLSTLFDVGGIVGGILAGYISDKLRARAITATSFMYAAIPSMFLYRTYGSVSKTTNIVLMMIAGLFVNGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSIGAALGPLFTGFLSTLGWDTVFIMLMVCALIAGLLLSRQVLAEVAEKTTKLRPSSSERQCHEAPASQPLLSDQR >Manes.16G098500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30433892:30450745:-1 gene:Manes.16G098500.v8.1 transcript:Manes.16G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVNIIVGSHVWVEDAAEAWIDGEVFKINGEEVHAHTTKGKTVVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLATRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAQFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLARLGGRAGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEEREKYKLGDPKSFHYLNQSNCYKLDGVNDAEEYLATRRAMDIVGISEEEQEAIFRVVAAVLHLGNIEFAKGAEIDSSIIKDDKCRSHLNMTAELLKCDAKSLEDALIKRVMVTPEEIITRTLDPVGAVVSRDALAKTIYSRLFDWLVDKINSSIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFTKNKRFIKPKLSRTSFTISHYAGEVTYLADQFLDKNKDYVVAEHQDLLTASKCSFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNVLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLEGNHDDKVACQMILDKMGLKGYQIGKTKVFLRAGQMAELDARRAQVLGNAARTIQRQIRTYIARKEFIALRQTAICLQSHCRGVLARKIFEQLRREAAALKIQRNFKRYTARKSYLTLYLSAVTLQTGLRAMTARDEFRFRKQTKAAIAIQAQLRRHIAYSYYKKLQRAALTSQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLQDALHAMQMQVEEANARVIKEREAARKAIEEAPPVIKETPVLVQDTLKVEQLMAEVESLKASLLSERQAAEEARKACKDAEARNSELSKKLIDSQKKVDQLQESVQRLEDKLSNSESENQVLRQQALTISPTGKSLYGRPKSIILQRTPENGNVANGEPKDMVVAVSNVREPESEEKPQKSLNEKQQENQDLLIKCISQNLGFSGGKPVAACIIYKCLLHWRSFEVERTSVFDRIIQTVASAIEVPDNNDILAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTASASLFGRMSQGLRASPQSAGLSFLNGRALSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSCLMIMKANYVPPFLMRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEQWCYEATSEFAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISRMRVMMTEDSNNAVSSSFLLDDDSSIPFTVDDISKSMQQVEIADIDPPPLIRENSSFGFLLPRSE >Manes.02G155000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12049171:12051459:-1 gene:Manes.02G155000.v8.1 transcript:Manes.02G155000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLLLALCVLPALVSAARPARNPFEVEGRVFCDNCRAGFETPKTTYIAGAKVRLECKNRKTMDLVYSKEGTTDSTGTYKIYVDEDHQDQLCDAMVVSSPIKDCNSPTSGRDRARVILTNYNGLASNKRFANAMGFTKEEALSGCAELLRQYQEYED >Manes.01G032400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6310695:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6310695:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6310695:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312040:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQVRISAKIFLCLVTIYNFTHGKLILKGIKLH >Manes.01G032400.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312057:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312040:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312058:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6310695:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312057:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312057:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312058:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6314250:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6310695:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDANMKNSCIFGRFGRLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6314250:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312058:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6314253:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312040:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVCSYPILFHPMPKWIIFFTVLALEFLLLVD >Manes.01G032400.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6310695:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312058:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312058:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.01G032400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6312058:6329184:-1 gene:Manes.01G032400.v8.1 transcript:Manes.01G032400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLTSLGINSGLCVLFFLLYSIISKQPSNYEVYVPRLLAKGNSRRRSHFNLERLIPSTGWIKRAWKRSEEDILSSSGLDALVFMRIISFSLRVFSFAGIIGVFVLLPVNCMGTQLHEIDFTDLSNNSLDLFTISNVNIGSKWLWIHFSAVYIVTIFICYQLYNEYKYISSERIAYFYSSKPQPHQFTILVRGIPVSVCSSVSESVETFFTEFHPTTYLSHMVVHRTSKLRNLINEAKTLSKRLLHLQSEPSQHTYRHIGLFGQRVDLVDHYGKKLVDIEQSVRLEQSNVSLAEETRAAFVSFKSRYGAAIAFHLPQSTNPTQWVTEQAPEPDDVYWPFFSSSFMRTWISKLVVIVACILLTILFLIPVVVVQGLTNLSQLETWLPFLKSILTITFFGQVITGYLPNLILQLFLKIVPPIMEFLSSIQGYISHSDIEKSACKKVLWFTIWNIFFATVFSGSVLSKVSIFLEPKNIPAKLAVAVPAQASFFIAYVVTSGWTSISSELFRIIPLIFSLVTRCCKNTDELEVPSLPYHRDIPKILFFGLLGITYFFLAPLILPFLLAYLCLAYIIFSNQFINVYMPKYETAGKFWPIVHNSMIFSLVLMHAIAIGIFTLKKLSIASTLLFPLPVLTLLFNAYCRKRFLPIFIAYPAEVLIKKDKEEASVPAMAEFYDKLVTAYRDPALMPIQYSVNSESLNRPLMSSADF >Manes.09G119000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32177901:32185317:-1 gene:Manes.09G119000.v8.1 transcript:Manes.09G119000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKEEAPVVFLDRLSRSTRGKRMNKLLGDEIEEDDLFWNQDALKEEENDNNYEEEPEVADEFDSDFDDDEPEPEEEAETVADERTQTKKRLIFPGKPSTKKKKKKKVLSKLDRPSSDEKSAEQSTAPEQHDAPDDVEAERIVRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIVHKAVYNGPQIRYFSKDGLSYLEFRGVSFQSEISTASVPYPEKAVCAVTGLPAKYRDPKTGLPYATKEAFEIIRQRYCFFLSLDFVADNLGIHWSFCINLA >Manes.09G119000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32177901:32185317:-1 gene:Manes.09G119000.v8.1 transcript:Manes.09G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKEEAPVVFLDRLSRSTRGKRMNKLLGDEIEEDDLFWNQDALKEEENDNNYEEEPEVADEFDSDFDDDEPEPEEEAETVADERTQTKKRLIFPGKPSTKKKKKKKVLSKLDRPSSDEKSAEQSTAPEQHDAPDDVEAERIVRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKRAIVHKAVYNGPQIRYFSKDGLSYLEFRGVSFQSEISTASVPYPEKAVCAVTGLPAKYRDPKTGLPYATKEAFEIIRQRLADENNMKKEMDMGALFDSLNGKGFMGRRKRSPISNRSKMPNFSYLSQFGRISSSTIEIDSSD >Manes.11G059572.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7204037:7223332:1 gene:Manes.11G059572.v8.1 transcript:Manes.11G059572.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFPNQGFQRLEELDLSWNIFNNSILSSLAALPSLNTLILRDNDMKGSFPNQGFQRLEELDISGNSFNNNILSSLAALPSLNTLILSYNLMEGSFPNQGFERLEKLDISRNGFNKSILSSLGALTSLNTLIFSGMFYSMDGSFPIQELKNLKNLTSLDISNNRFNGILSFKGLCGLKSLVELHLQGNHFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLHGNEFEGSFSFSALANHSKLEAFILSPGNSRLEVETENPTWFPAFQLKYIQLSNCSLNVRTRAIPSFLHYQYDIRFIDLSHNTLVGTFPTWILQNNSKLVVMNLRNNSFTGTFQLPNFKHDLVELDISSNNLTGMLPKEFGEVLPRLEYINMSRNNFGGNVPSSISETTSLSILDLSHNNFSGELPRSLFANCTMNCALILSNNNFQGNVFPQGMNLRSMTVLDMKNNNFSAMVGADLLNSRSLSSLKFFDISNNKVSGPIPRLLCNLTDLVFLDLSKNRLYGSMPSCFNSSSLLFLFLQKNNLSGPIPHELLRSPNLVALDLRDNNFSGNIPSWIGQFCELQVLSLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPACFSNISFGNNIPFGMMEVFDIPYFVGFLLSNPDEIAYLNLPWVDWYSSEIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHIIGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGERIHKSCKSDEAPQTPPPSADVEEEDEGSIDMVWFYWSFSGAYVTILLVLAVILRINRSEEPEWSAVSTATEPAEFIQSQPEFCRFRVQKRVSAGYREKEELCNSLAGHVNTKR >Manes.11G059572.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7204037:7223332:1 gene:Manes.11G059572.v8.1 transcript:Manes.11G059572.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFPNQGFERLEKLDISRNGFNKSILSSLGALTSLNTLIFSGMFYSMDGSFPIQELKNLKNLTSLDISNNRFNGILSFKGLCGLKSLVELHLQGNHFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLHGNEFEGSFSFSALANHSKLEAFILSPGNSRLEVETENPTWFPAFQLKYIQLSNCSLNVRTRAIPSFLHYQYDIRFIDLSHNTLVGTFPTWILQNNSKLVVMNLRNNSFTGTFQLPNFKHDLVELDISSNNLTGMLPKEFGEVLPRLEYINMSRNNFGGNVPSSISETTSLSILDLSHNNFSGELPRSLFANCTMNCALILSNNNFQGNVFPQGMNLRSMTVLDMKNNNFSAMVGADLLNSRSLSSLKFFDISNNKVSGPIPRLLCNLTDLVFLDLSKNRLYGSMPSCFNSSSLLFLFLQKNNLSGPIPHELLRSPNLVALDLRDNNFSGNIPSWIGQFCELQVLSLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPACFSNISFGNNIPFGMMEVFDIPYFVGFLLSNPDEIAYLNLPWVDWYSSEIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHIIGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGERIHKSCKSDEAPQTPPPSADVEEEDEGSIDMVWFYWSFSGAYVTILLVLAVILRINRSEEPEWSAVSTATEPAEFIQSQPEFCRFRVQKRVSAGYREKEELCNSLAGHVNTKR >Manes.08G039200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3841869:3843256:1 gene:Manes.08G039200.v8.1 transcript:Manes.08G039200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >Manes.08G039200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3842611:3842886:1 gene:Manes.08G039200.v8.1 transcript:Manes.08G039200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYTVMCLNWSFLEFPFDLHLVSCRMDAQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >Manes.08G039200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3842689:3842886:1 gene:Manes.08G039200.v8.1 transcript:Manes.08G039200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >Manes.02G155400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12092343:12095780:-1 gene:Manes.02G155400.v8.1 transcript:Manes.02G155400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLFFLCPLAIHFLVLTAQSQPEMLYKACLPDKGNYAIKSTYQANLKQLLTSIYTNTEINNGFYNFSYGQDPDVVKSIALCRPDITPQACRDCIKNASDSLLVLCPTSKEAIGGLDDCMVRYSYRDIFRLNEGRRLFFFVHSNDRMKNVSESKISGFNQSRMTLLDSLRDRAAAGDSRYKFDYNQTLDPNFQTIYALVQCTPDLTESECRDCLHFASGLIPECCEFNTGGRVINPSCNFRYETDRFYSPENMPSAPSPPPPGKSLVVIIVIVTVAVSTIVAICVFIFLRARNKKKEFKRTEAMNKKEGFNGIVDSEIEDAESLQFDFGTVRTATNDFSEENKLGQGGFGAVYKGELPNGQHIAVKRLSKESKQGQLEFKNEVLLVAKLQHKNLVRLLGFCLERKERLLIYEFVPNSSLDHFIFDPIKRTHLDWERRYKIIVGIARGLLYLHEDSRLRIIHRDLKASNVLLDEEMNPKISDFGMARLFEVDQTQEETSRIVGTFGYMAPEYIHHGRFSVKSDVFSFGVLVLEIASGQKSSGAGIGEEEEDLLTYSWKNWNEGTALNLIDPTMRVAPRSEMMRSIHIGLLCVQEHEANRPTMAQVFTLLGGYSITLPVPSKPAFFMQSALRTEASLSTNERSNEDVQQSRNEVSTSELYPR >Manes.02G155400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12092343:12095780:-1 gene:Manes.02G155400.v8.1 transcript:Manes.02G155400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLFFLCPLAIHFLVLTAQSQPEMLYKACLPDKGNYAIKSTYQANLKQLLTSIYTNTEINNGFYNFSYGQDPDVVKSIALCRPDITPQACRDCIKNASDSLLVLCPTSKEAIGGLDDCMVRYSYRDIFRLNEGRRLFFFVHSNDRMKNVSESKISGFNQSRMTLLDSLRDRAAAGDSRYKFDYNQTLDPNFQTIYALVQCTPDLTESECRDCLHFASGLIPECCEFNTGGRVINPSCNFRYETDRFYSPENMPSAPSPPPPGKSLVVIIVIVTVAVSTIVAICVFIFLRARNKKKEFKRTEAMNKKEGFNGIDAESLQFDFGTVRTATNDFSEENKLGQGGFGAVYKGELPNGQHIAVKRLSKESKQGQLEFKNEVLLVAKLQHKNLVRLLGFCLERKERLLIYEFVPNSSLDHFIFDPIKRTHLDWERRYKIIVGIARGLLYLHEDSRLRIIHRDLKASNVLLDEEMNPKISDFGMARLFEVDQTQEETSRIVGTFGYMAPEYIHHGRFSVKSDVFSFGVLVLEIASGQKSSGAGIGEEEEDLLTYSWKNWNEGTALNLIDPTMRVAPRSEMMRSIHIGLLCVQEHEANRPTMAQVFTLLGGYSITLPVPSKPAFFMQSALRTEASLSTNERSNEDVQQSRNEVSTSELYPR >Manes.01G116500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31364107:31364361:-1 gene:Manes.01G116500.v8.1 transcript:Manes.01G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAQEKAEHDRIFKRFDLNGDGRISAAELGDCLKTLGSVTPDEIQRMMAEIDTDGDGYISYEEFTDFAMANRGLMKDVAKIF >Manes.07G024600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2688823:2697034:-1 gene:Manes.07G024600.v8.1 transcript:Manes.07G024600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAEVAIESIGRGYDITTDLRLKYCKGGAKDSQLIEIDEGVGREIVLPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKTLASDGVFITLYTVALEKSQMVLRDHVKKAVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVICMKQQHSSILQPADLQKRLKEMADKRFLDASGQYTMASERVYQNNKSSLSQLEIREQLPRFADTGSSSSYSQKEDIMIIYKRRGGSDNKVLSHSDWLHTVQFEPDVISMSFIPITSLLNGVPGNGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSLMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLADDPHGNFCQEFYDHRYYEKVQWKNFSHVCTAPVESEEELSIVTGAQLQVEKYGFKNILFLRLRFSTVSGATAVKHPEWDGSPGLAPKSGIISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVVLPDEDLEPEH >Manes.07G024600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2688823:2697083:-1 gene:Manes.07G024600.v8.1 transcript:Manes.07G024600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAEVAIESIGRGYDITTDLRLKYCKGGAKDSQLIEIDEGVGREIVLPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKTLASDGVFITLYTVALEKSQMVLRDHVKKAVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVICMKQQHSSILQPADLQKRLKEMADKRFLDASGQYTMASERVYQNNKLEIREQLPRFADTGSSSSYSQKEDIMIIYKRRGGSDNKVLSHSDWLHTVQFEPDVISMSFIPITSLLNGVPGNGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSLMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLADDPHGNFCQEFYDHRYYEKVQWKNFSHVCTAPVESEEELSIVTGAQLQVEKYGFKNILFLRLRFSTVSGATAVKHPEWDGSPGLAPKSGIISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVVLPDEDLEPEH >Manes.07G024600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2688823:2697034:-1 gene:Manes.07G024600.v8.1 transcript:Manes.07G024600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAEVAIESIGRGYDITTDLRLKYCKGGAKDSQLIEIDEGVGREIVLPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKTLASDGVFITLYTVALEKSQMVLRDHVKKAVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVICMKQQHSSILQPADLQKRLKEMADKRFLDASGQYTMASERVYQNNKSSLSQLEIREQLPRFADTGSSSSYSQKEDIMIIYKRRGGSDNKVLSHSDWLHTVQFEPDVISMSFIPITSLLNGVPGNGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSLMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLADDPHGNFCQEFYDHRYYEKVQWKNFSHVCTAPVESEEELSIVTGAQLQVEKYGFKNILFLRLRFSTVSGATAVKHPEWDGSPGLAPKSGIISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVVLPDEDLEPEH >Manes.07G024600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2688732:2697083:-1 gene:Manes.07G024600.v8.1 transcript:Manes.07G024600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAEVAIESIGRGYDITTDLRLKYCKGGAKDSQLIEIDEGVGREIVLPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKTLASDGVFITLYTVALEKSQMVLRDHVKKAVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVICMKQQHSSILQPADLQKRLKEMADKRFLDASGQYTMASERVYQNNKLEIREQLPRFADTGSSSSYSQKEDIMIIYKRRGGSDNKVLSHSDWLHTVQFEPDVISMSFIPITSLLNGVPGNGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSLMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLADDPHGNFCQEFYDHRYYEKVQWKNFSHVCTAPVESEEELSIVTGAQLQVEKYGFKNILFLRLRFSTVSGATAVKHPEWDGSPGLAPKSGIISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVVLPDEDLEPEH >Manes.07G024600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2688823:2697083:-1 gene:Manes.07G024600.v8.1 transcript:Manes.07G024600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPAPKAAEVAIESIGRGYDITTDLRLKYCKGGAKDSQLIEIDEGVGREIVLPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEMSLTGKIPSGLFNSMFEFTGCWQKDAANTKTLASDGVFITLYTVALEKSQMVLRDHVKKAVPSSWEPAALAKFIEMFGTHIIVGVKMGGKDVICMKQQHSSILQPADLQKRLKEMADKRFLDASGQYTMASERVYQNNKLEIREQLPRFADTGSSSSYSQKEDIMIIYKRRGGSDNKVLSHSDWLHTVQFEPDVISMSFIPITSLLNGVPGNGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSLMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLADDPHGNFCQEFYDHRYYEKVQWKNFSHVCTAPVESEEELSIVTGAQLQVEKYGFKNILFLRLRFSTVSGATAVKHPEWDGSPGLAPKSGIISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPVPVQVPKLLKFVDTTEMTRGPQETPGYWVVSGARLVVEKGRISLRVKYSLLTVVLPDEDLEPEH >Manes.04G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27726268:27727724:-1 gene:Manes.04G073400.v8.1 transcript:Manes.04G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVADECKNSFKEMKWKKVHRFIVFKIDEKSRLVTVDKVGGPGEGYDELAASLPNDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPAASRIRAKMLYATSKAGLRRVLDGIHYELQATDPTEMGFDVIRDRAK >Manes.07G101600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30710405:30723309:1 gene:Manes.07G101600.v8.1 transcript:Manes.07G101600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEDEYRVAAEPQAKQEDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMDELRNVNLSKFVSEAVTAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLVQGLLKVFFPGKSGEDLEVDRNSKAMKKRSTLKLLLELYFVGVIEDSSIFINIIKDLTLTSVEHLKDRDATQTNLTLLASFARQGRIFLGLPLSGQEIYEEFFKGLNITADQKKIFRKAFNAYFDAVSELLQSEHASLRQMEHENAKILNAKGELSDENVASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTTGEDSSSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKVNEQSAKAQEQPSEVAPESDQGQPSQDTAESSADSGTLQEGKGTEKGKDKEEKDKEKAKDPEKDKGKEKDAERKGDVEKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVKALFNVPRTSLELLPYYSRMVATLSTCMKDVSSILVQMLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFTCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWNECEAYLLKCFMKVHKGKYGQINLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLEINDYGMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHDTPEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYVLSKGALPLDIEFDLQDLFAELRPSMTRHSSIDEVNSALIELEENERSGSSSTDKLNSEKHSDTEKPSSRHPSNALSANGENLVNGGEENGGIHEDIGDSDTDSGSGTLEQEGHDDDELDEENHDDGSETEDVDDDDDGGEPVSEEDDEVHVRQKVAEVDPVEAANFEQELRAVMQESMEQRRQELRGRPTLNMVIPMSVFEGSTRDHGRGVGGESGDEALDDETGGSKEVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENYGLGTQTLNWMPSGSNRVGSRGSMWEGSSGRGTGSRHRHQHQHQHHSGSGVYHGRRR >Manes.07G101600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30710406:30724676:1 gene:Manes.07G101600.v8.1 transcript:Manes.07G101600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRNVNLSKFVSEAVTAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLVQGLLKVFFPGKSGEDLEVDRNSKAMKKRSTLKLLLELYFVGVIEDSSIFINIIKDLTLTSVEHLKDRDATQTNLTLLASFARQGRIFLGLPLSGQEIYEEFFKGLNITADQKKIFRKAFNAYFDAVSELLQSEHASLRQMEHENAKILNAKGELSDENVASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTTGEDSSSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKVNEQSAKAQEQPSEVAPESDQGQPSQDTAESSADSGTLQEGKGTEKGKDKEEKDKEKAKDPEKDKGKEKDAERKGDVEKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVKALFNVPRTSLELLPYYSRMVATLSTCMKDVSSILVQMLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFTCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWNECEAYLLKCFMKVHKGKYGQINLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLEINDYGMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHDTPEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYVLSKGALPLDIEFDLQDLFAELRPSMTRHSSIDEVNSALIELEENERSGSSSTDKLNSEKHSDTEKPSSRHPSNALSANGENLVNGGEENGGIHEDIGDSDTDSGSGTLEQEGHDDDELDEENHDDGSETEDVDDDDDGGEPVSEEDDEVHVRQKVAEVDPVEAANFEQELRAVMQESMEQRRQELRGRPTLNMVIPMSVFEGSTRDHGRGVGGESGDEALDDETGGSKEVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENYGLGTQTLNWMPSGSNRVGSRGSMWEGSSGRGTGSRHRHQHQHQHHSGSGVYHGRRR >Manes.07G101600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30710406:30724676:1 gene:Manes.07G101600.v8.1 transcript:Manes.07G101600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRNVNLSKFVSEAVTAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLVQGLLKVFFPGKSGEDLEVDRNSKAMKKRSTLKLLLELYFVGVIEDSSIFINIIKDLTLTSVEHLKDRDATQTNLTLLASFARQGRIFLGLPLSGQEIYEEFFKGLNITADQKKIFRKAFNAYFDAVSELLQSEHASLRQMEHENAKILNAKGELSDENVASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTTGEDSSSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKVNEQSAKAQEQPSEVAPESDQGQPSQDTAESSADSGTLQEGKGTEKGKDKEEKDKEKAKDPEKDKGKEKDAERKGDVEKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVKALFNVPRTSLELLPYYSRMVATLSTCMKDVSSILVQMLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFTCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWNECEAYLLKCFMKVHKGKYGQINLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLEINDYGMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHDTPEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYVLSKGALPLDIEFDLQDLFAELRPSMTRHSSIDEVNSALIELEENERSGSSSTDKLNSEKHSDTEKPSSRHPSNALSANGENLVNGGEENGGIHEDIGDSDTDSGSGTLEQEGHDDDELDEENHDDGSETEDVDDDDDGGEPVSEEDDEVHVRQKVAEVDPVEAANFEQELRAVMQESMEQRRQELRGRPTLNMVIPMSVFEGSTRDHGRGVGGESGDEALDDETGGSKEVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENYGLGTQTLNWMPSGSNRVGSRGSMWEGSSGRGTGSRHRHQHQHQHHSGSGVYHGRRR >Manes.07G101600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30710406:30724676:1 gene:Manes.07G101600.v8.1 transcript:Manes.07G101600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEDEYRVAAEPQAKQEDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMDELRNVNLSKFVSEAVTAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLVQGLLKVFFPGKSGEDLEVDRNSKAMKKRSTLKLLLELYFVGVIEDSSIFINIIKDLTLTSVEHLKDRDATQTNLTLLASFARQGRIFLGLPLSGQEIYEEFFKGLNITADQKKIFRKAFNAYFDAVSELLQSEHASLRQMEHENAKILNAKGELSDENVASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTTGEDSSSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKVNEQSAKAQEQPSEVAPESDQGQPSQDTAESSADSGTLQEGKGTEKGKDKEEKDKEKAKDPEKDKGKEKDAERKGDVEKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVKALFNVPRTSLELLPYYSRMVATLSTCMKDVSSILVQMLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFTCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWNECEAYLLKCFMKVHKGKYGQINLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLEINDYGMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHDTPEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYVLSKGALPLDIEFDLQDLFAELRPSMTRHSSIDEVNSALIELEENERSGSSSTDKLNSEKHSDTEKPSSRHPSNALSANGENLVNGGEENGGIHEDIGDSDTDSGSGTLEQEGHDDDELDEENHDDGSETEDVDDDDDGGEPVSEEDDEVHVRQKVAEVDPVEAANFEQELRAVMQESMEQRRQELRGRPTLNMVIPMSVFEGSTRDHGRGVGGESGDEALDDETGGSKEVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENYGLGTQTLNWMPSGSNRVGSRGSMWEGSSGRGTGSRHRHQHQHQHHSGSGVYHGRRR >Manes.07G101600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30710406:30724676:1 gene:Manes.07G101600.v8.1 transcript:Manes.07G101600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEDEYRVAAEPQAKQEDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMDELRNVNLSKFVSEAVTAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLVQGLLKVFFPGKSGEDLEVDRNSKAMKKRSTLKLLLELYFVGVIEDSSIFINIIKDLTLTSVEHLKDRDATQTNLTLLASFARQGRIFLGLPLSGQEIYEEFFKGLNITADQKKIFRKAFNAYFDAVSELLQSEHASLRQMEHENAKILNAKGELSDENVASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTTGEDSSSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKVNEQSAKAQEQPSEVAPESDQGQPSQDTAESSADSGTLQEGKGTEKGKDKEEKDKEKAKDPEKDKGKEKDAERKGDVEKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVKALFNVPRTSLELLPYYSRMVATLSTCMKDVSSILVQMLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFTCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWNECEAYLLKCFMKVHKGKYGQINLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLEINDYGMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHDTPEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYVLSKGALPLDIEFDLQDLFAELRPSMTRHSSIDEVNSALIELEENERSGSSSTDKLNSEKHSDTEKPSSRHPSNALSANGENLVNGGEENGGIHEDIGDSDTDSGSGTLEQEGHDDDELDEENHDDGSETEDVDDDDDGGEPVSEEDDEVHVRQKVAEVDPVEAANFEQELRAVMQESMEQRRQELRGRPTLNMVIPMSVFEGSTRDHGRGVGGESGDEALDDETGGSKEVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENYGLGTQTLNWMPSGSNRVGSRGSMWEGSSGRGTGSRHRHQHQHQHHSGSGVYHGRRR >Manes.07G101600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30710406:30724676:1 gene:Manes.07G101600.v8.1 transcript:Manes.07G101600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRNVNLSKFVSEAVTAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLVQGLLKVFFPGKSGEDLEVDRNSKAMKKRSTLKLLLELYFVGVIEDSSIFINIIKDLTLTSVEHLKDRDATQTNLTLLASFARQGRIFLGLPLSGQEIYEEFFKGLNITADQKKIFRKAFNAYFDAVSELLQSEHASLRQMEHENAKILNAKGELSDENVASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTTGEDSSSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKVNEQSAKAQEQPSEVAPESDQGQPSQDTAESSADSGTLQEGKGTEKGKDKEEKDKEKAKDPEKDKGKEKDAERKGDVEKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVKALFNVPRTSLELLPYYSRMVATLSTCMKDVSSILVQMLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFTCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWNECEAYLLKCFMKVHKGKYGQINLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLEINDYGMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHDTPEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYVLSKGALPLDIEFDLQDLFAELRPSMTRHSSIDEVNSALIELEENERSGSSSTDKLNSEKHSDTEKPSSRHPSNALSANGENLVNGGEENGGIHEDIGDSDTDSGSGTLEQEGHDDDELDEENHDDGSETEDVDDDDDGGEPVSEEDDEVHVRQKVAEVDPVEAANFEQELRAVMQESMEQRRQELRGRPTLNMVIPMSVFEGSTRDHGRGVGGESGDEALDDETGGSKEVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENYGLGTQTLNWMPSGSNRVGSRGSMWEGSSGRGTGSRHRHQHQHQHHSGSGVYHGRRR >Manes.07G101600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30710405:30723309:1 gene:Manes.07G101600.v8.1 transcript:Manes.07G101600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEDEYRVAAEPQAKQEDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMDELRNVNLSKFVSEAVTAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLVQGLLKVFFPGKSGEDLEVDRNSKAMKKRSTLKLLLELYFVGVIEDSSIFINIIKDLTLTSVEHLKDRDATQTNLTLLASFARQGRIFLGLPLSGQEIYEEFFKGLNITADQKKIFRKAFNAYFDAVSELLQSEHASLRQMEHENAKILNAKGELSDENVASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTTGEDSSSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKVNEQSAKAQEQPSEVAPESDQGQPSQDTAESSADSGTLQEGKGTEKGKDKEEKDKEKAKDPEKDKGKEKDAERKGDVEKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVKALFNVPRTSLELLPYYSRMVATLSTCMKDVSSILVQMLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFTCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWNECEAYLLKCFMKVHKGKYGQINLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLEINDYGMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHDTPEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYVLSKGALPLDIEFDLQDLFAELRPSMTRHSSIDEVNSALIELEENERSGSSSTDKLNSEKHSDTEKPSSRHPSNALSANGENLVNGGEENGGIHEDIGDSDTDSGSGTLEQEGHDDDELDEENHDDGSETEDVDDDDDGGEPVSEEDDEVHVRQKVAEVDPVEAANFEQELRAVMQESMEQRRQELRGRPTLNMVIPMSVFEGSTRDHGRGVGGESGDEALDDETGGSKEVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENYGLGTQTLNWMPSGSNRVGSRGSMWEGSSGRGTGSRHRHQHQHQHHSGSGVYHGRRR >Manes.07G101600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30710623:30724676:1 gene:Manes.07G101600.v8.1 transcript:Manes.07G101600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHEDEYRVAAEPQAKQEDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMDELRNVNLSKFVSEAVTAICDAKLRTSDIQAAVQICSLLHQRYKDFSPCLVQGLLKVFFPGKSGEDLEVDRNSKAMKKRSTLKLLLELYFVGVIEDSSIFINIIKDLTLTSVEHLKDRDATQTNLTLLASFARQGRIFLGLPLSGQEIYEEFFKGLNITADQKKIFRKAFNAYFDAVSELLQSEHASLRQMEHENAKILNAKGELSDENVASYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDGHTTRVTTGEDSSSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKVNEQSAKAQEQPSEVAPESDQGQPSQDTAESSADSGTLQEGKGTEKGKDKEEKDKEKAKDPEKDKGKEKDAERKGDVEKEKVKGLEGTSLDALLQRLPGCVSRDLIDQLTVEFCYLNSKSNRKKLVKALFNVPRTSLELLPYYSRMVATLSTCMKDVSSILVQMLEEEFNFLLNKKDQMNIETKIRNIRFIGELCKFRIAPAGLVFTCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWNECEAYLLKCFMKVHKGKYGQINLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLEINDYGMQQRRIAHMRFLGELYNYELVDSSVIFETLYLILVFGHDTPEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYVLSKGALPLDIEFDLQDLFAELRPSMTRHSSIDEVNSALIELEENERSGSSSTDKLNSEKHSDTEKPSSRHPSNALSANGENLVNGGEENGGIHEDIGDSDTDSGSGTLEQEGHDDDELDEENHDDGSETEDVDDDDDGGEPVSEEDDEVHVRQKVAEVDPVEAANFEQELRAVMQESMEQRRQELRGRPTLNMVIPMSVFEGSTRDHGRGVGGESGDEALDDETGGSKEVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENYGLGTQTLNWMPSGSNRVGSRGSMWEGSSGRGTGSRHRHQHQHQHHSGSGVYHGRRR >Manes.06G116300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24744115:24744327:-1 gene:Manes.06G116300.v8.1 transcript:Manes.06G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQQQEQQKGNKEAYNYHHQHFQQQMQKQIQCNKANVCKFKRSSSNLEDDGASSAILLLACIACTPSY >Manes.06G079700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21553082:21554882:-1 gene:Manes.06G079700.v8.1 transcript:Manes.06G079700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIGHNLTHANLSQNPESNSSFLNRSFSSNSPIHFPLHMLSPHNSAISTDSTVNETGDQLGITYERRLKRMISNRESARRSRMRKKKQIEELQYQVNHLQTMNHQLSEKVIRLLESNHQILQENSQLKERVSSFQIVLSDLLTPIRNVEESICNTNRPRGEASN >Manes.04G120500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32194410:32201301:-1 gene:Manes.04G120500.v8.1 transcript:Manes.04G120500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGKLAKRALETEMPVMVQIQELARGAKNAMSLAQGVVYWQPPKQALKKVKELVWEPSISRYGADEGISELREALTLKLQKENKLLKSSVMVTAGANQAFVNLVLALCDAGDSVVMFAPYYFNAYMSFQMTGVTNILVGPCNPNTLHPDAEWLERTLSETRPVPKVVTVVNPGNPTGTYIPEALLKRISEICRDAGSWLIVDNTYEYFMYDGLQHSCVEGDHVVNIFSFSKAYGMMGWRVGYIAYPSEVEGFATQLLKIQDNIPICASLLSQYLALYSLEMGPEWVTERVKDLVRNREIILEALSPLGEDAVKGGEGAIYLWAKLPDKYLDDFKVVRWLATRHGVVLIPGGACGCPGHIRISFGGLVEADCKAAAERLRRGLEELVNHGMME >Manes.04G120500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32194410:32201301:-1 gene:Manes.04G120500.v8.1 transcript:Manes.04G120500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGKLAKRALETEMPVMVQIQELARGAKNAMSLAQGVVYWQPPKQALKKVKELVWEPSISRYGADEGISELREALTLKLQKENKLLKSSVMVTAGANQAFVNLVLALCDAGDSVVMFAPYYFNAYMSFQMTGVTNILVGPCNPNTLHPDAEWLERTLSETRPVPKVVTVVNPGNPTGTYIPEALLKRISEICRDAGSWLIVDNTYEYFMYDGLQHSCVEGDHVVNIFSFSKAYGMMGWRVGYIAYPSEVEGFATQLLKIQDNIPICASLLSQYLALYSLEMGPEWVTERVKDLVRNREIILEALSPLGEDAVKGGEGAIYLWAKLPDKYLDDFKVVRWLATRHGVVLIPGGACGCPGHIRISFGGLVEADCKAAAERLRRGLEELVNHGMME >Manes.02G210300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24600567:24608197:1 gene:Manes.02G210300.v8.1 transcript:Manes.02G210300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDWMLRPADNSDRRPAVNVDNQPEKPHIEEMNRVNPRELNPYLKDNGSGYPDDSEEKVGGGHQLPSSSLVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGRLAVSAVSHTAAPARAHLHAIKSRKQGSAEQHQTSADDQSERDVEENAGRDYLKDVSVRHPEMRAPKVRDSLSWGKRKSQKISTKDSGLINAAISSLNKFPDDGSFMSKVLSQQNSDITGSIGSHKNQYECVDAVSELKRPSQDTTAVNETLSANQLAAKALQLRMKGKHEVADKLMQEAENIKVKQGSGENSSGPQKIRNTNRYAVQDVSARRKEDDADRHLAQKIMQNKQYSFSGRADDEYDFEDGPSRKARKKGVVSDPNFKERNVVAKRILTQQERCPFCFENPNRPKHLVVSIANFTYLMLPQWQPVVPGHCCILPMQHEPSTRTVDNNVWEEIRNFKKCLIMMFSQQEKDVVFLETVMGLAQQRRHCLIECVPLPREVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPKDFPYFHVEFGLDKGFVHVIDDETQFKNSLGLNVIRGMLRLPEEDMYRCRRHEPVEMQKQSLANFARDWEHFDWTKQLD >Manes.02G210300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24600568:24608197:1 gene:Manes.02G210300.v8.1 transcript:Manes.02G210300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGIKFIPRDQAEDENEDSSTRKSINSGSMSEKHRRKKKSSSYSSSSDDDVRRIKKGGRKKKKWYSSDENSSPDSSDSHSTDDSSDQFEKKQRNKRKNKRGKHCSSKNDDSNMSKKRSRRGEKNCSVMGYSSSVSEDEGKDGSKRNEERGEKEVVDDFHENVEITRKEMGLDWMLRPADNSDRRPAVNVDNQPEKPHIEEMNRVNPRELNPYLKDNGSGYPDDSEEKVGGGHQLPSSSLVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGRLAVSAVSHTAAPARAHLHAIKSRKQGSAEQHQTSADDQSERDVEENAGRDYLKDVSVRHPEMRAPKVRDSLSWGKRKSQKISTKDSGLINAAISSLNKFPDDGSFMSKVLSQQNSDITGSIGSHKNQYECVDAVSELKRPSQDTTAVNETLSANQLAAKALQLRMKGKHEVADKLMQEAENIKVKQGSGENSSGPQKIRNTNRYAVQDVSARRKEDDADRHLAQKIMQNKQYSFSGRADDEYDFEDGPSRKARKKGVVSDPNFKERNVVAKRILTQQERCPFCFENPNRPKHLVVSIANFTYLMLPQWQPVVPGHCCILPMQHEPSTRTVDNNVWEEIRNFKKCLIMMFSQQEKDVVFLETVMGLAQQRRHCLIECVPLPREVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPKDFPYFHVEFGLDKGFVHVIDDETQFKNSLGLNVIRGMLRLPEEDMYRCRRHEPVEMQKQSLANFARDWEHFDWTKQLD >Manes.02G210300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24600568:24608197:1 gene:Manes.02G210300.v8.1 transcript:Manes.02G210300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGIKFIPRDQAEDENEDSSTRKSINSGSMSEKHRRKKKSSSYSSSSDDDVRRIKKGGRKKKKWYSSDENSSPDSSDSHSTDDSSDQFEKKQRNKRKNKRGKHCSSKNDDSNMSKKRSRRGEKNCSVMGYSSSVSEDEGKDGSKRNEERGEKEVVDDFHENVEITRKEMGLDWMLRPADNSDRRPAVNVDNQPEKPHIEEMNRVNPRELNPYLKDNGSGYPDDSEEKVGGGHQLPSSSLVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGRLAVSAVSHTAAPARAHLHAIKSRKQGSAEQHQTSADDQSERDVEENAGRDYLKDVSVRHPEMRAPKVRDSLSWGKRKSQKISTKDSGLINAAISSLNKFPDDGSFMSKVLSQQNSDITGSIGSHKNQYECVDAVSELKRPSQDTTAVNETLSANQLAAKALQLRMKGKHEVADKLMQEAENIKVKQGSGENSSGPQKIRNTNRYAVQDVSARRKEDDADRHLAQKIMQNKQYSFSGRADDEYDFEDGPSRKARKKGVVSDPNFKERNVVAKRILTQQERCPFCFENPNRPKHLVVSIANFTYLMLPQWQPVVPGHCCILPMQHEPSTRTVDNNVWEEIRNFKKCLIMMFSQQEKDVVFLETVMGLAQQRRHCLIECVPLPREVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPKDFPYFHVEFGLDKGFVHVIDDETQFKNSLGLNVIRGMLRLPEEDMYRCRRHEPVEMQKQSLANFARDWEHFDWTKQLD >Manes.02G210300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24600567:24608197:1 gene:Manes.02G210300.v8.1 transcript:Manes.02G210300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDWMLRPADNSDRRPAVNVDNQPEKPHIEEMNRVNPRELNPYLKDNGSGYPDDSEEKVGGGHQLPSSSLVGDGGASWRLKALKRAKEQAAREGRKLEEVVEERWGSLGRLAVSAVSHTAAPARAHLHAIKSRKQGSAEQHQTSADDQSERDVEENAGRDYLKDVSVRHPEMRAPKVRDSLSWGKRKSQKISTKDSGLINAAISSLNKFPDDGSFMSKVLSQQNSDITGSIGSHKNQYECVDAVSELKRPSQDTTAVNETLSANQLAAKALQLRMKGKHEVADKLMQEAENIKVKQGSGENSSGPQKIRNTNRYAVQDVSARRKEDDADRHLAQKIMQNKQYSFSGRADDEYDFEDGPSRKARKKGVVSDPNFKERNVVAKRILTQQERCPFCFENPNRPKHLVVSIANFTYLMLPQWQPVVPGHCCILPMQHEPSTRTVDNNVWEEIRNFKKCLIMMFSQQEKDVVFLETVMGLAQQRRHCLIECVPLPREVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPKDFPYFHVEFGLDKGFVHVIDDETQFKNSLGLNVIRGMLRLPEEDMYRCRRHEPVEMQKQSLANFARDWEHFDWTKQLD >Manes.08G172900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41126803:41132316:1 gene:Manes.08G172900.v8.1 transcript:Manes.08G172900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFIAKRLFSARNSNSLPTPARCFSQLAQKKKNDLLIPRLPPFDYSPPPYTGPSADDIMAKRKQFLSPSFFHFYENPLNVVDGRMQYLFDENGRRYLDAFGGIATVSCGHCHPDVVESIVNQIKRLQHTSILYLNHVIADFAEALASKMPGNLKVVFFTNSGTEANELAMMIARLYTGYNDIISLRNGYHGNAAGTMGATAQSIWKFNITQSGVHHALNPDPYRGVFGSDGEKYAKDVQDIIDFGTTGHVAGFISEAIQGVGGIVELAPGYLPAAYKSIKKAGGLCIADEVQAGFARTGSHFWGFEAQDVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTCRSYFNTFGGNPVCTAAGLAVLKVIEKENLQENSLVVGSYLKDRLIELKDKYEIIGDVRGRGLLLGVELVTDRRQKTPAKSETLHVMEQMKEMGVLIGKGGFYGNVFRITPPLCFTKEDADFLLDVMDDTISKI >Manes.08G172900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41126803:41132316:1 gene:Manes.08G172900.v8.1 transcript:Manes.08G172900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFIAKRLFSARNSNSLPTPARCFSQLAQKKKNDLLIPRLPPFDYSPPPYTGPSADDIMAKRKQFLSPSFFHFYENPLNVVDGRMQYLFDENGRRYLDAFGGIATVSCGHCHPDVVESIVNQIKRLQHTSILYLNHVIADFAEALASKMPGNLKVVFFTNSGTEANELAMMIARLYTGYNDIISLRNGYHGNAAGTMGATAQSIWKFNITQSGVHHALNPDPYRGVFGSDGEKYAKDVQDIIDFGTTGHVAGFISEAIQGVGGIVELAPGYLPAAYKSIKKAGGLCIADEVQAGFARTGSHFWGFEAQDVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTCRSYFNTFGGNPVCTAAGLAVLKVIEKENLQENSLVVGSYLKDRLIELKDKYEIIGDVRGRGLLLGVELVTDRRQKTPAKSETLHVMEQMKEMGVLIGKGGFYGNVFRITPPLCFTKEDAGTSHFFSSTDYLTNMYI >Manes.08G162300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39759324:39765182:-1 gene:Manes.08G162300.v8.1 transcript:Manes.08G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGVEEDQALPTSDDSSQQNAQRRSFGCCGCGFKGIFSLIGLRCVVVLLLSVALFLSAVFWLPPFLHFVDQGDLDLDPRFKDHDIVASFNVEKSSPFLEDNILQLEDDIFDEISFPSIKVVILSLEPSAGPNTTKVVFGVDADAKYSKLSSTTESLIRASFEFLVVNQSFHLTKPLFGDPFSFEVLKFPGGITIIPPQSAFLLQKAQIRFNFTLNFSIYQIQVNFAELTSQLKSGLHLAPYENLYISLSNSKGSTVAPPTTVQSSVVLAIGNTPSMRRLKQLAQTIAGHSRNLGLNNTVFGKVKQVRLSSILQHSLHGGEGSPSPSPAPLPHPQYHHHHHHHHHHHHHNTYMAPSISPAPATQNGAPAPLEHLPGSPKNSPAPHYSKAKPPGCQLGGNRRYPGSGRKRSHLTPTVPPNISPYISPASAEPHISRPALSPTPPPISRTIPASSPLPNVVFAHVQPPKAKSGEAHSDTLPPVSPLPSQSPLSSSAGFAAVGWALSLSVAVVLHL >Manes.08G162300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39759305:39765208:-1 gene:Manes.08G162300.v8.1 transcript:Manes.08G162300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGVEEDQALPTSDDSSQQNAQRRSFGCCGCGFKGIFSLIGLRCVVVLLLSVALFLSAVFWLPPFLHFVDQGDLDLDPRFKDHDIVASFNVEKSSPFLEDNILQLEDDIFDEISFPSIKVVILSLEPSAGPNTTKVVFGVDADAKYSKLSSTTESLIRASFEFLVVNQSFHLTKPLFGDPFSFEVLKFPGGITIIPPQSAFLLQKAQIRFNFTLNFSIYQIQVNFAELTSQLKSGLHLAPYENLYISLSNSKGSTVAPPTTVQSSVVLAIGNTPSMRRLKQLAQTIAGHSRNLGLNNTVFGKVKQVRLSSILQHSLHGGEGSPSPSPAPLPHPQYHHHHHHHHHHHHHNTYMAPSISPAPATQNGAPAPLEHLPGSPKNSPAPHYSKAKPPGCQLGGNRRYPGSGRKRSHLTPTVPPNISPYISPASAEPHISRPALSPTPPPISRTIPASSPLPNVVFAHVQPPKAKSGEAHSDTLPPVSPLPSQSPLSCFAAVGWALSLSVAVVLHL >Manes.08G162300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39759305:39765208:-1 gene:Manes.08G162300.v8.1 transcript:Manes.08G162300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKDHDIVASFNVEKSSPFLEDNILQLEDDIFDEISFPSIKVVILSLEPSAGPNTTKVVFGVDADAKYSKLSSTTESLIRASFEFLVVNQSFHLTKPLFGDPFSFEVLKFPGGITIIPPQSAFLLQKAQIRFNFTLNFSIYQIQVNFAELTSQLKSGLHLAPYENLYISLSNSKGSTVAPPTTVQSSVVLAIGNTPSMRRLKQLAQTIAGHSRNLGLNNTVFGKVKQVRLSSILQHSLHGGEGSPSPSPAPLPHPQYHHHHHHHHHHHHHNTYMAPSISPAPATQNGAPAPLEHLPGSPKNSPAPHYSKAKPPGCQLGGNRRYPGSGRKRSHLTPTVPPNISPYISPASAEPHISRPALSPTPPPISRTIPASSPLPNVVFAHVQPPKAKSGEAHSDTLPPVSPLPSQSPLSCFAAVGWALSLSVAVVLHL >Manes.08G162300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39759281:39765232:-1 gene:Manes.08G162300.v8.1 transcript:Manes.08G162300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGVEEDQALPTSDDSSQQNAQRRSFGCCGCGFKGIFSLIGLRCVVVLLLSVALFLSAVFWLPPFLHFVDQGDLDLDPRFKDHDIVASFNVEKSSPFLEDNILQLEDDIFDEISFPSIKVVILSLEPSAGPNTTKVVFGVDADAKYSKLSSTTESLIRASFEFLVVNQSFHLTKPLFGDPFSFEVLKFPGGITIIPPQSAFLLQKAQIRFNFTLNFSIYQIQVNFAELTSQLKSGLHLAPYENLYISLSNSKGSTVAPPTTVQSSVVLAIGNTPSMRRLKQLAQTIAGHSRNLGLNNTVFGKVKQVRLSSILQHSLHGGEGSPSPSPAPLPHPQYHHHHHHHHHHHHHNTYMAPSISPAPATQNGAPAPLEHLPGSPKNSPAPHYSKAKPPGCQLGGNRRYPGSGRKRSHLTPTVPPNISPYISPASAEPHISRPALSPTPPPISRTIPASSPLPNVVFAHVQPPKAKSGEAHSDTLPPVSPLPSQSPLSSSAGFAAVGWALSLSVAVVLHL >Manes.08G162300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39759324:39765242:-1 gene:Manes.08G162300.v8.1 transcript:Manes.08G162300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKDHDIVASFNVEKSSPFLEDNILQLEDDIFDEISFPSIKVVILSLEPSAGPNTTKVVFGVDADAKYSKLSSTTESLIRASFEFLVVNQSFHLTKPLFGDPFSFEVLKFPGGITIIPPQSAFLLQKAQIRFNFTLNFSIYQIQVNFAELTSQLKSGLHLAPYENLYISLSNSKGSTVAPPTTVQSSVVLAIGNTPSMRRLKQLAQTIAGHSRNLGLNNTVFGKVKQVRLSSILQHSLHGGEGSPSPSPAPLPHPQYHHHHHHHHHHHHHNTYMAPSISPAPATQNGAPAPLEHLPGSPKNSPAPHYSKAKPPGCQLGGNRRYPGSGRKRSHLTPTVPPNISPYISPASAEPHISRPALSPTPPPISRTIPASSPLPNVVFAHVQPPKAKSGEAHSDTLPPVSPLPSQSPLSSSAGFAAVGWALSLSVAVVLHL >Manes.14G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2251638:2255368:-1 gene:Manes.14G023700.v8.1 transcript:Manes.14G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPRGRGNGGGFRGGRGEGGRGRGGGRGGGRGFGDRGSAMKSRGGGRGGRGGGRGRGGRGGGMKGGSKVVVEPHRHEGVFIAKGGKEDALVTKNLVPGEAVYNEKKIAVQNEDGTKVEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVAMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFESEVKKMVQEQFKPSEQVTLEPFERDHACVIGGYRMPKKQKTAA >Manes.01G011700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3615211:3623894:1 gene:Manes.01G011700.v8.1 transcript:Manes.01G011700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSDNLIKQYGRANSPKFSGTSGVFESTIIIKDDTEAKQQIILIEWMNSIVPNLNLPLKSSSEELRICLFDGTVLLEILKKLRPGSVSEDASDHSTPSCSENIKKFLAAMGELGINQFEVSDLEKGSMKPVVDCLLALKAQVANEGDNFSVTSTTTRGGSPCESVSSRGLESPQSGEGRMRALQYLKFQRALSSPGMTEASTALMHHIGHKFHEVFQLKQGRYADLPAAKISEMMRSNSLDNAPTQSLLSVVNGILDESIERKNGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLETLASGTGEETLIVKGQLQQIKLEKSRMDEKKKGEEEDIIKLIREKEETNLELSTLKQALERARRMLDLEMSEMDERRKTEEEDVAKLRQEKEQTNLELSTLKQELEMVKKTLGHEKPTMDEKRKHMGKDVMKLMKEKERTDNELSKLKQELEMAKTTPEPRKSKMDEKGTQGEYVVRLMKEKEQTNIELSTLKNELEIAKRTLELEKSKMDEKRKVEEENMVKLAKEKEQINNELSALKQELEITKKTCELRCLQMETEANDAKADLEKRLQELVQLLEESRNKVKVLESYSKSQKQSWNKKDLIFQSLAEFQFGALQELRSSSQCIKREISKAQKRHSEDFNCLELKFKSLAVESENYHLVLAENRKLFNELQDLKGNIRVYCRIRPLNPGQAEKQTTIEYVGQNGELVVANPSKPGKDGNRLFRFNKVYGPNSTQADVFSDTQPLIRCVLDGYNVCIFAYGQTGSGKTYTMTGPNGATKEEWGVNYRALNDLFNISQNRSTSFMYEVGVQMFEIYNEQLRDLLVTDGSQKKFGIKSSTQQHGLAVPDASLHPVRSPSDVIELMQIGFNNRAVSATALNERSSRSHSVVSINVRGKDLHTGDTSQGNLHLVDLAGSERVDRSEVTGDRLREAQHINKSLSALGDVIFALAQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQLNPDVSSYAETMSTLKFAERVSGVELGAARSSKDGNVRELMDQVASLKDTISKKDGEIEQLQLLKDLKSSYPSAHGEKQGTGPLKHGYSSHDALQKQSSKAIYDYLENSDYHSEVDSQQSLDDFKQQRKFLKHSRYFAGDVSQDAESLRSAEGDHDDRLSDCSESYHSVGAEPEIPVEKATKTVDRKRMLPRPRSLQKLGQLTVSMGRDTSKAPTNVRKTTTTSSSIKPPRRWT >Manes.01G011700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3615675:3623893:1 gene:Manes.01G011700.v8.1 transcript:Manes.01G011700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSDNLIKQYGRANSPKFSGTSGVFESTIIIKDDTEAKQQIILIEWMNSIVPNLNLPLKSSSEELRICLFDGTVLLEILKKLRPGSVSEDASDHSTPSCSENIKKFLAAMGELGINQFEVSDLEKGSMKPVVDCLLALKAQVANEGDNFSVTSTTTRGGSPCESVSSRGLESPQSGEGRMRALQYLKFQRALSSPGMTEASTALMHHIGHKFHEVFQLKQGRYADLPAAKISEMMRSNSLDNAPTQSLLSVVNGILDESIERKNGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLETLASGTGEETLIVKGQLQQIKLEKSRMDEKKKGEEEDIIKLIREKEETNLELSTLKQALERARRMLDLEMSEMDERRKTEEEDVAKLRQEKEQTNLELSTLKQELEMVKKTLGHEKPTMDEKRKHMGKDVMKLMKEKERTDNELSKLKQELEMAKTTPEPRKSKMDEKGTQGEYVVRLMKEKEQTNIELSTLKNELEIAKRTLELEKSKMDEKRKVEEENMVKLAKEKEQINNELSALKQELEITKKTCELRCLQMETEANDAKADLEKRLQELVQLLEESRNKVKVLESYSKSQKQSWNKKDLIFQSLAEFQFGALQELRSSSQCIKREISKAQKRHSEDFNCLELKFKSLAVESENYHLVLAENRKLFNELQDLKGNIRVYCRIRPLNPGQAEKQTTIEYVGQNGELVVANPSKPGKDGNRLFRFNKVYGPNSTQADVFSDTQPLIRCVLDGYNVCIFAYGQTGSGKTYTMTGPNGATKEEWGVNYRALNDLFNISQNRSTSFMYEVGVQMFEIYNEQLRDLLVTDGSQKKFGIKSSTQQHGLAVPDASLHPVRSPSDVIELMQIGFNNRAVSATALNERSSRSHSVVSINVRGKDLHTGDTSQGNLHLVDLAGSERVDRSEVTGDRLREAQHINKSLSALGDVIFALAQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQLNPDVSSYAETMSTLKFAERVSGVELGAARSSKDGNVRELMDQVASLKDTISKKDGEIEQLQLLKDLKSSYPSAHGEKQGTGPLKHGYSSHDALQKQSSKAIYDYLENSDYHSEVDSQQSLDDFKQQRKFLKHSRYFAGDVSQDAESLRSAEGDHDDRLSDCSESYHSVGAEPEIPVEKATKTVDRKRMLPRPRSLQKLGQLTVSMGRDTSKAPTNVRKTTTTSSSIKPPRRWT >Manes.01G011700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3615211:3623894:1 gene:Manes.01G011700.v8.1 transcript:Manes.01G011700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSDNLIKQYGRANSPKFSGTSGVFESTIIIKDDTEAKQQIILIEWMNSIVPNLNLPLKSSSEELRICLFDGTVLLEILKKLRPGSVSEDASDHSTPSCSENIKKFLAAMGELGINQFEVSDLEKGSMKPVVDCLLALKAQVANEGDNFSVTSTTTRGGSPCESVSSRGLESPQSGEGRMRALQYLKFQRALSSPGMTEASTALMHHIGHKFHEVFQLKQGRYADLPAAKISEMMRSNSLDNAPTQSLLSVVNGILDESIERKNGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLETLASGTGEETLIVKGQLQQIKLEKSRMDEKKKGEEEDIIKLIREKEETNLELSTLKQALERARRMLDLEMSEMDERRKTEEEDVAKLRQEKEQTNLELSTLKQELEMVKKTLGHEKPTMDEKRKHMGKDVMKLMKEKERTDNELSKLKQELEMAKTTPEPRKSKMDEKGTQGEYVVRLMKEKEQTNIELSTLKNELEIAKRTLELEKSKMDEKRKVEEENMVKLAKEKEQINNELSALKQELEITKKTCELRCLQMETEANDAKADLEKRLQELVQLLEESRNKVKVLESYSKSQKQSWNKKDLIFQSLAEFQFGALQELRSSSQCIKREISKAQKRHSEDFNCLELKFKSLAVESENYHLVLAENRKLFNELQDLKGNIRVYCRIRPLNPGQAEKQTTIEYVGQNGELVVANPSKPGKDGNRLFRFNKVYGPNSTQADVFSDTQPLIRCVLDGYNVCIFAYGQTGSGKTYTMTGPNGATKEEWGVNYRALNDLFNISQNRSTSFMYEVGVQMFEIYNEQLRDLLVTDGSQKKFGIKSSTQQHGLAVPDASLHPVRSPSDVIELMQIGFNNRAVSATALNERSSRSHSVVSINVRGKDLHTGDTSQGNLHLVDLAGSERVDRSEVTGDRLREAQHINKSLSALGDVIFALAQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQLNPDVSSYAETMSTLKFAERVSGVELGAARSSKDGNVRELMDQVASLKDTISKKDGEIEQLQLLKDLKSSYPSAHGEKQGTGPLKHGYSSHDALQKQSSKAIYDYLENSDYHSEVDSQQSLDDFKQQRKFLKHSRYFAGDVSQDAESLRSAEGDHDDRLSDCSESYHSVGAEPEIPVEKATKTVDRKRMLPRPRSLQKLGQLTVSMGRDTSKAPTNVRKTTTTSSSIKPPRRWT >Manes.01G011700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3615675:3623893:1 gene:Manes.01G011700.v8.1 transcript:Manes.01G011700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSDNLIKQYGRANSPKFSGTSGVFESTIIIKDDTEENIKKFLAAMGELGINQFEVSDLEKGSMKPVVDCLLALKAQVANEGDNFSVTSTTTRGGSPCESVSSRGLESPQSGEGRMRALQYLKFQRALSSPGMTEASTALMHHIGHKFHEVFQLKQGRYADLPAAKISEMMRSNSLDNAPTQSLLSVVNGILDESIERKNGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLETLASGTGEETLIVKGQLQQIKLEKSRMDEKKKGEEEDIIKLIREKEETNLELSTLKQALERARRMLDLEMSEMDERRKTEEEDVAKLRQEKEQTNLELSTLKQELEMVKKTLGHEKPTMDEKRKHMGKDVMKLMKEKERTDNELSKLKQELEMAKTTPEPRKSKMDEKGTQGEYVVRLMKEKEQTNIELSTLKNELEIAKRTLELEKSKMDEKRKVEEENMVKLAKEKEQINNELSALKQELEITKKTCELRCLQMETEANDAKADLEKRLQELVQLLEESRNKVKVLESYSKSQKQSWNKKDLIFQSLAEFQFGALQELRSSSQCIKREISKAQKRHSEDFNCLELKFKSLAVESENYHLVLAENRKLFNELQDLKGNIRVYCRIRPLNPGQAEKQTTIEYVGQNGELVVANPSKPGKDGNRLFRFNKVYGPNSTQADVFSDTQPLIRCVLDGYNVCIFAYGQTGSGKTYTMTGPNGATKEEWGVNYRALNDLFNISQNRSTSFMYEVGVQMFEIYNEQLRDLLVTDGSQKKFGIKSSTQQHGLAVPDASLHPVRSPSDVIELMQIGFNNRAVSATALNERSSRSHSVVSINVRGKDLHTGDTSQGNLHLVDLAGSERVDRSEVTGDRLREAQHINKSLSALGDVIFALAQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQLNPDVSSYAETMSTLKFAERVSGVELGAARSSKDGNVRELMDQVASLKDTISKKDGEIEQLQLLKDLKSSYPSAHGEKQGTGPLKHGYSSHDALQKQSSKAIYDYLENSDYHSEVDSQQSLDDFKQQRKFLKHSRYFAGDVSQDAESLRSAEGDHDDRLSDCSESYHSVGAEPEIPVEKATKTVDRKRMLPRPRSLQKLGQLTVSMGRDTSKAPTNVRKTTTTSSSIKPPRRWT >Manes.01G011700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3615674:3623894:1 gene:Manes.01G011700.v8.1 transcript:Manes.01G011700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSDNLIKQYGRANSPKFSGTSGVFESTIIIKDDTEAKQQIILIEWMNSIVPNLNLPLKSSSEELRICLFDGTVLLEILKKLRPGSVSEDASDHSTPSCSENIKKFLAAMGELGINQFEVSDLEKGSMKPVVDCLLALKAQVANEGDNFSVTSTTTRGGSPCESVSSRGLESPQSGEGRMRALQYLKFQRALSSPGMTEASTALMHHIGHKFHEVFQLKQGRYADLPAAKISEMMRSNSLDNAPTQSLLSVVNGILDESIERKNGEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLETLASGTGEETLIVKGQLQQIKLEKSRMDEKKKGEEEDIIKLIREKEETNLELSTLKQALERARRMLDLEMSEMDERRKTEEEDVAKLRQEKEQTNLELSTLKQELEMVKKTLGHEKPTMDEKRKHMGKDVMKLMKEKERTDNELSKLKQELEMAKTTPEPRKSKMDEKGTQGEYVVRLMKEKEQTNIELSTLKNELEIAKRTLELEKSKMDEKRKVEEENMVKLAKEKEQINNELSALKQELEITKKTCELRCLQMETEANDAKADLEKRLQELVQLLEESRNKVKVLESYSKSQKQSWNKKDLIFQSLAEFQFGALQTGPNGATKEEWGVNYRALNDLFNISQNRSTSFMYEVGVQMFEIYNEQLRDLLVTDGSQKKFGIKSSTQQHGLAVPDASLHPVRSPSDVIELMQIGFNNRAVSATALNERSSRSHSVVSINVRGKDLHTGDTSQGNLHLVDLAGSERVDRSEVTGDRLREAQHINKSLSALGDVIFALAQKSSHVPYRNSKLTQLLQTSLGGQAKTLMFVQLNPDVSSYAETMSTLKFAERVSGVELGAARSSKDGNVRELMDQVASLKDTISKKDGEIEQLQLLKDLKSSYPSAHGEKQGTGPLKHGYSSHDALQKQSSKAIYDYLENSDYHSEVDSQQSLDDFKQQRKFLKHSRYFAGDVSQDAESLRSAEGDHDDRLSDCSESYHSVGAEPEIPVEKATKTVDRKRMLPRPRSLQKLGQLTVSMGRDTSKAPTNVRKTTTTSSSIKPPRRWT >Manes.05G150104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25442277:25444062:-1 gene:Manes.05G150104.v8.1 transcript:Manes.05G150104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIFLLLLPIFLLFLLKIHIRKPRLPPGPKGLPLVGNLFQLDNSNIQKHLWQLSKQYGPLMSLRLGFKQTLIVSSAKMAKEVLKTQDLEFCSRPSLLGLQRLSYNGLDLAFAPYDAYWREMRKICVVYLFNSNRVQGFRPIREDEVSRMLENILKVADASKPVNLTEAMMALTSAAICRVAFGKRFEEGGNEAKRFHELLNETQAMFVGFFFSDYFPYIGRIVDKFSGLLSRLEKNFHDFDAFYQELIDEHLDPKRQKPQHEDILDVLLQLWRDRSFKVQLTFEHIKAILMNVFVAGTDTSAAAVIWAMSFLMKNPKTMKKVQDEIRSLIGKRGFVDEDDIQQLP >Manes.08G156700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39335965:39340002:1 gene:Manes.08G156700.v8.1 transcript:Manes.08G156700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRIPGTALNTAKSGIHAFSSPCSCEIRLRGFPVQTTSVPLVSSPDAMPDIHSIASSFYLEESDLKALLTPGCFYTHHACLQIVVFTGRKGSHCGVGTKRQQIGKFKLEVGPEWGEGKPAILFSGWTGIGKKKQQSRKPGAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRTPQVDPLSTYRSTSLDSIDLETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDVCRPESWQPWGKLEAWRERGIRSDSICCRFHLLSESQDGGEFLMSEIFISAEKGGEFFIDTDRLLRAASTPIPSPQSSGDFSGLGPTGGFVMSCRVQGEGKRSKPLVQLAMRHVTCVEDAAIFLALAVAVDLSIVACRPFRRRHRRGSRHSL >Manes.08G156700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39335926:39340100:1 gene:Manes.08G156700.v8.1 transcript:Manes.08G156700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRIPGTALNTAKSGIHAFSSPCSCEIRLRGFPVQTTSVPLVSSPDAMPDIHSIASSFYLEESDLKALLTPGCFYTHHACLQIVVFTGRKGSHCGVGTKRQQIGKFKLEVGPEWGEGKPAILFSGWTGIGKKKQQSRKPGAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRTPQVDPLSTYRSTSLDSIDLETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDVCRPESWQPWGKLEAWRERGIRSDSICCRFHLLSESQDGGEFLMSEIFISAEKGGEFFIDTDRLLRAASTPIPSPQSSGDFSGLGPTGGFVMSCRVQGEGKRSKPLVQLAMRHVTCVEDAAIFLALAVAVDLSIVACRPFRRRHRRGSRHSL >Manes.08G156700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39335926:39340152:1 gene:Manes.08G156700.v8.1 transcript:Manes.08G156700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIHSIASSFYLEESDLKALLTPGCFYTHHACLQIVVFTGRKGSHCGVGTKRQQIGKFKLEVGPEWGEGKPAILFSGWTGIGKKKQQSRKPGAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRTPQVDPLSTYRSTSLDSIDLETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDVCRPESWQPWGKLEAWRERGIRSDSICCRFHLLSESQDGGEFLMSEIFISAEKGGEFFIDTDRLLRAASTPIPSPQSSGDFSGLGPTGGFVMSCRVQGEGKRSKPLVQLAMRHVTCVEDAAIFLALAVAVDLSIVACRPFRRRHRRGSRHSL >Manes.08G156700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39335965:39340004:1 gene:Manes.08G156700.v8.1 transcript:Manes.08G156700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRIPGTALNTAKSGIHAFSSPCSCEIRLRGFPVQTTSVPLVSSPDAMPDIHSIASSFYLEESDLKALLTPGCFYTHHACLQIVVFTGRKGSHCGVGTKRQQIGKFKLEVGPEWGEGKPAILFSGWTGIGKKKQQSRKPGAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRTPQVDPLSTYRSTSLDSIDLETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDVCRPESWQPWGKLEAWRERGIRSDSICCRFHLLSESQDGGEFLMSEIFISAEKGGEFFIDTDRLLRAASTPIPSPQSSGDFSGLGPTGGFVMSCRVQGEGKRSKPLVQLAMRHVTCVEDAAIFLALAVAVDLSIVACRPFRRRHRRGSRHSL >Manes.08G156700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39335926:39340152:1 gene:Manes.08G156700.v8.1 transcript:Manes.08G156700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRIPGTALNTAKSGIHAFSSPCSCEIRLRGFPVQTTSVPLVSSPDAMPDIHSIASSFYLEESDLKALLTPGCFYTHHACLQIVVFTGRKGSHCGVGTKRQQIGKFKLEVGPEWGEGKPAILFSGWTGIGKKKQQSRKPGAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRTPQVDPLSTYRSTSLDSIDLETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDVCRPESWQPWGKLEAWRERGIRSDSICCRFHLLSESQDGGEFLMSEIFISAEKGGEFFIDTDRLLRAASTPIPSPQSSGDFSGLGPTGGFVMSCRVQGEGKRSKPLVQLAMRHVTCVEDAAIFLALAVAVDLSIVACRPFRRRHRRGSRHSL >Manes.08G156700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39335926:39340152:1 gene:Manes.08G156700.v8.1 transcript:Manes.08G156700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRIPGTALNTAKSGIHAFSSPCSCEIRLRGFPVQTTSVPLVSSPDAMPDIHSIASSFYLEESDLKALLTPGCFYTHHACLQIVVFTGRKGSHCGVGTKRQQIGKFKLEVGPEWGEGKPAILFSGWTGIGKKKQQSRKPGAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRTPQVDPLSTYRSTSLDSIDLETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDVCRPESWQPWGKLEAWRERGIRSDSICCRFHLLSESQDGGEFLMSEIFISAEKGGEFFIDTDRLLRAASTPIPSPQSSGDFSGLGPTGGFVMSCRVQGEGKRSKPLVQLAMRHVTCVEDAAIFLALAVAVDLSIVACRPFRRRHRRGSRHSL >Manes.08G156700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39335965:39340002:1 gene:Manes.08G156700.v8.1 transcript:Manes.08G156700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRIPGTALNTAKSGIHAFSSPCSCEIRLRGFPVQTTSVPLVSSPDAMPDIHSIASSFYLEESDLKALLTPGCFYTHHACLQIVVFTGRKGSHCGVGTKRQQIGKFKLEVGPEWGEGKPAILFSGWTGIGKKKQQSRKPGAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRTPQVDPLSTYRSTSLDSIDLETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDVCRPESWQPWGKLEAWRERGIRSDSICCRFHLLSESQDGGEFLMSEIFISAEKGGEFFIDTDRLLRAASTPIPSPQSSGDFSGLGPTGGFVMSCRVQGEGKRSKPLVQLAMRHVTCVEDAAIFLALAVAVDLSIVACRPFRRRHRRGSRHSL >Manes.15G039700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3108656:3110107:1 gene:Manes.15G039700.v8.1 transcript:Manes.15G039700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIFHSPISDFSSESSFGSPESLYSQSFNQYPLPFNENDSEEMLLYGLISEATQETSKLALCNRIIKEEEVSSVTKENPKKETKSYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAALAYDQAAFSMRGAGAILNFPVERVRESLKDMKYSQEEGCSPVVALKRKHSMRRKMVSRNKRENVVVLEDLGADYLEELLTSSENC >Manes.08G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32285824:32288315:-1 gene:Manes.08G095700.v8.1 transcript:Manes.08G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCPLFAFFLLVCISSPSISQKVTLSLYYETLCPYCADFIVNHLVKVFDGGLTSIVDLRMIPWGNAFIQSDGTFVCQHGPNECFLNAIEACAITIYPDVERHFSFIYCMESLALQNRLNEWVNCFETARFGKEPIDCYTSGYGNVLEQKFAAETAQLNPPHRFVPWVLVNNQPIQEDFKNFVSYVCNAYQGTQVPETCKSLPTESNSFQKEIPTSPVCSANKTGNFISSSRAINKSPVINP >Manes.16G005401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:621551:622741:-1 gene:Manes.16G005401.v8.1 transcript:Manes.16G005401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFSAWNGVGSGRDVEDESSYGGPNGGNSDHDYIDDYCYRNWRSCGSFFGKGGGGFGNQRERGGLGSGGVGEGIGQAAGGVGGGGGGGGGGGEAGDGYGHGEGYGAGAGAGLGGNGGGGGGGEGGGSGDGIGGGSGHGSGHGAGGGIGGGDNAGIGGGGGGGGGEGGGGGNAGSGEGFGHGSGFGVGAGVGGAGGGGGGGGGSGGGSGSASNGGYGHGSGFGAGGGVGGNKGGGGGGGGGGGGGGGFSKGYGHGSGYGAGGGLGGSGGGGGGGGGGGGGGYGGAGYGRGYGGGFGTGSFGGGGGGGGGGGGGGGGGGGGEGYGHGEGGGGGGGGVGEGYGHGEGGGGGFGAGSGENGEGAGISGGRGHNGIGMGFGMGIGFGFGLGNDAATGNSDHP >Manes.03G082700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20317902:20329996:1 gene:Manes.03G082700.v8.1 transcript:Manes.03G082700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSKYSCGSASETLEWINAILQFIRPYNSIINAHVVNFFKDRLWESLDQHWIQCLRCEPVQNLLLIPSGVVQDHWPDSLKQFVSTLRSLVFSREQVDLDKVFPGLNITVLNSVLAQGMNIKKKHEVEFLSAVVSFIANSVRAQTIVDVGSGQGYLAQVLSFQYHHSVVAIDACSHHGRVTETRAERIKKHYAAKMHKFDSGNRVLNVPKTITCRVMSIDMLKALTDMSIHDDVKKPELIGQVADNKTSLVLAGLHACGDLSVTMLKTFLECKEVKSVVSIGCCYNLLSEECPDAADSHFGFPMSSGVKSAGISLGKNSRDLACQVLCKYYPELVKTSPSIGRQGKALRRQQQRRAFHSAVHNGENKHSTLPQSISKMEETCLSLQPAESKTDDNSTLELGLDTSSCNGIMRCEGNASVDKYLLFEKFSQSGLSRLGLQPLGEMYLREIWKEAEPFAELIGLYWSLRAAFGPLLETLLLLDRLLFLQEQGSSVEAIMLPIFDPVLSPRNVAIIAKRT >Manes.03G082700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20317902:20329996:1 gene:Manes.03G082700.v8.1 transcript:Manes.03G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSKYSCGSASETLEWINAILQFIRPYNSIINAHVVNFFKDRLWESLDQHWIQCLRCEPVQNLLLIPSGVVQDHWPDSLKQFVSTLRSLVFSREQVDLDKVFPGLNITVLNSVLAQGMNIKKKHEVEFLSAVVSFIANSVRAQTIVDVGSGQGYLAQVLSFQYHHSVVAIDACSHHGRVTETRAERIKKHYAAKMHKFDSGNRVLNVPKTITCRVMSIDMLKALTDMSIHDDVKKPELIGQVADNKTSLVLAGLHACGDLSVTMLKTFLECKEVKSVVSIGCCYNLLSEECPDAADSHFGFPMSSGVKSAGISLGKNSRDLACQSAERWSCLDKDVGLQNFDLHTFRAAFQMVLCKYYPELVKTSPSIGRQGKALRRQQQRRAFHSAVHNGENKHSTLPQSISKMEETCLSLQPAESKTDDNSTLELGLDTSSCNGIMRCEGNASVDKYLLFEKFSQSGLSRLGLQPLGEMYLREIWKEAEPFAELIGLYWSLRAAFGPLLETLLLLDRLLFLQEQGSSVEAIMLPIFDPVLSPRNVAIIAKRT >Manes.08G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3510037:3513588:-1 gene:Manes.08G036500.v8.1 transcript:Manes.08G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHVYGVSKFFSFLSCFLFLFFTISAFPQHPSSNNTGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGNHNITIFAPRNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHIIPQRVGSSDWPSEKSKPARHSTLCNDHLHLISKSSGKKVVDSAEIIRPDDVIRPDGVIHGIERLLIPQSVQEDFNRRRNLRSISAVLPEGAPEVDPRTHRLKKPAAPVPVGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKIKYDTLRLPHKVVALEADGSVKFGSGDTSAYLFDPDIYTDGRISVQGIDGVLFPEEEKETVKKPTAAVKVVTKARRGKLMEVACRMLGAFGQDSHFNTCQ >Manes.07G087651.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27772917:27777612:1 gene:Manes.07G087651.v8.1 transcript:Manes.07G087651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNQGIKQIYDLKLIHTQALQLLYCICDGAISTLDYTKVEEYGIYEAYFIAIRNGIIEIIIKAHPPVLIFKELASNKNILLTAVMFRQEKVFSLVYGLDTTKNLLLLGSDNDSNNMLHMAAMLAPPHRLAHISGAALQMQRELQWYKEVESILKLSYKEYVNKFGIKPPQMFTNTHKDLMTEGEKWMKETATSCTVVGALIITIMFTAAFTVPGGNIQDTGYPIFLHEKSFLVFIIADAVSLFSSSTSVLMFLGILISRHAEDDFLKSLPTKLIIGELNLIIPLVLLVSIPVTLFIFLQFPLLVEIFIFDRKSKKYKYKLI >Manes.01G229400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39427061:39431566:1 gene:Manes.01G229400.v8.1 transcript:Manes.01G229400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPHCSITGTKPYQNQPYPQNHLNNHRQSHHQNPQRRWTNHKVSLAKPLLSPSPRSAPKPGASTGAAPHTQNPTFTSLSTLQPKKSELTADFSGRCSTRFVSKLHIGRQKSIPNARHTSAAEEALHQVVLYAKDDQALENVLLNFESRFCGSDDYTFLLRELGNRGDSSKAIRCFEFAVRREKRKSEQGKLASAMISILGRLGKVELAKAVFETALREGYGSTVYAFSALISAYGRSGYCNDAIKVFYSLKAYGLKPNLVTYNAVIDACGKGGVEFKKVVEIFDEMLKNGVRPDRITFNSLLAVCSKGGLWEAARSLFTEMINRRIDQDIFTYNTLLDAVCKGGQMDMAFEIMSEMPAKNILPNVVTYSTVIDGYAKVGRFDDALNLFNEMKFLGIGLDRVSYNTLLSVYAKLGRFEEALDVCKEMENSGIRKDVVTYNALLGGYGKQCKFDEVRRVFKEMKEACISPNLLTYSTLIDVYSKGGLYKEALEIFREFKNAGLKADVVLYTALIDALCKNGLVESAVTLLDEMTKEGIRPNIVTYNSIIDAFRGSGITQCVVDDAGVACILQVKSSSISVFQQATESNVTDREDDRIIKIFGQLAAEKATQENNIGRQEILCILDVFQKMHELEIKPNVVTFSSILNACSRCDSFEDASILLEQLQLFDGQVYGVAHGLLMGYRENVWVQAQSIFDELKLMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENVWTDSCLDLHLMSSGAARAMIHAWLLNIRSIVFDGHELPKLLSILTGWGKHSKVVGDSALKRAVEALLTGMGAPFRLAKCNIGRFISSGPVVAAWLRESGTLKLLVLHDDRTHPENVSFGQISNLQMLPL >Manes.01G229400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39427073:39431566:1 gene:Manes.01G229400.v8.1 transcript:Manes.01G229400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPHCSITGTKPYQNQPYPQNHLNNHRQSHHQNPQRRWTNHKVSLAKPLLSPSPRSAPKPGASTGAAPHTQNPTFTSLSTLQPKKSELTADFSGRCSTRFVSKLHIGRQKSIPNARHTSAAEEALHQVVLYAKDDQALENVLLNFESRFCGSDDYTFLLRELGNRGDSSKAIRCFEFAVRREKRKSEQGKLASAMISILGRLGKVELAKAVFETALREGYGSTVYAFSALISAYGRSGYCNDAIKVFYSLKAYGLKPNLVTYNAVIDACGKGGVEFKKVVEIFDEMLKNGVRPDRITFNSLLAVCSKGGLWEAARSLFTEMINRRIDQDIFTYNTLLDAVCKGGQMDMAFEIMSEMPAKNILPNVVTYSTVIDGYAKVGRFDDALNLFNEMKFLGIGLDRVSYNTLLSVYAKLGRFEEALDVCKEMENSGIRKDVVTYNALLGGYGKQCKFDEVRRVFKEMKEACISPNLLTYSTLIDVYSKGGLYKEALEIFREFKNAGLKADVVLYTALIDALCKNGLVESAVTLLDEMTKEGIRPNIVTYNSIIDAFRGSGITQCVVDDAGVACILQVKSSSISVFQQATESNVTDREDDRIIKIFGQLAAEKATQENNIGRQEILCILDVFQKMHELEIKPNVVTFSSILNACSRCDSFEDASILLEQLQLFDGQVYGVAHGLLMGYRENVWVQAQSIFDELKLMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENVWTDSCLDLHLMSSGAARAMIHAWLLNIRSIVFDGHELPKLLSILTGWGKHSKVVGDSALKRAVEALLTGMGAPFRLAKCNIGRFISSGPVVAAWLRESGTLKLLVLHDDRTHPENVSFGQISNLQMLPL >Manes.15G186400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26740936:26749424:-1 gene:Manes.15G186400.v8.1 transcript:Manes.15G186400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSGKKEEKEEERKKQQKVPLLKLFAFADLYDYVLMGLGSLGACVHGASVPVFFIFFGKLINIIGLAYLFPKQASHRVAKYSLDFVYLSIVILFSSWTEVACWMHTGERQASKMRKAYLRSMLNQDISLFDTEASTGEVIAAITSDIIVVQDAISEKVGNFMHYISRFLSGFIIGFVRVWQISLVTLSIVPLIALAGGCYAYVTIGLIAKMRKSYIKAGEIAEEVIANVRTVQAFAGEERAVGSYTEALRNTYQYGRKAGLAKGLGLGTLHSVLFLSWALLVWFTSVVVHKDIANGGESFTTMLNVVIAGLSLGQAAPDISAFVRAKAAAYPIFEMIERDTMTKTSSKTGKKLDKLEGHIEFKDVRFSYPSRPDVMIFDGLCLDFPSGKIVALVGGSGSGKSTVISLIERFYEPLSGQILLDGNDIRHLDLKWLRQQIGLVNQEPALFATSIRENILYGKDDATLDEIVTAAKLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRAMVGRTTVVVAHRLSTIRNADMIAVVQEGKIVEIGSHEELISNQNSAYASLIHLQEAASYQRQSSLGPTMGQPLSIKYSRELSHKKSSFGGSFRSEKESVSHAVAVADAMEPVKPTHVSAKRLYSMVGPDWGYGLLGTFCAFIAGSQMPLFALGVSQALVAYYMDWDTTRHEVKKISILFCCGSVISVIAYAIEHLCFGIMGERLTFRARENMFSAILKNEIGWFDDLNNTSSMLASRLESDATLLRTIVVDRTTILLQNVGLVVTSFVIAFILNWRITLVVLATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYSRELVDPSKRSFTRGQIAGIFYGVSQFFIFSSYGLALWYGSVLMGKGLAGFKSVMKSFMVLIVTALAMGETLAMAPDLLKGNQMVASVFELLDRRTRIIGDTGEELKNVEGNIELRDVAFSYPSRPDVSIFKDFDLKVHSGKSVALVGQSGSGKSTVLSLILRFYDPTTGKVMIDGTDIKKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASEAEVIEAAKLANAHNFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADEISVIQGGKIIEQGTHSSLLENKDGAYFKLISLQQQQQKQSR >Manes.15G186400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26740936:26745953:-1 gene:Manes.15G186400.v8.1 transcript:Manes.15G186400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVGNFMHYISRFLSGFIIGFVRVWQISLVTLSIVPLIALAGGCYAYVTIGLIAKMRKSYIKAGEIAEEVIANVRTVQAFAGEERAVGSYTEALRNTYQYGRKAGLAKGLGLGTLHSVLFLSWALLVWFTSVVVHKDIANGGESFTTMLNVVIAGLSLGQAAPDISAFVRAKAAAYPIFEMIERDTMTKTSSKTGKKLDKLEGHIEFKDVRFSYPSRPDVMIFDGLCLDFPSGKIVALVGGSGSGKSTVISLIERFYEPLSGQILLDGNDIRHLDLKWLRQQIGLVNQEPALFATSIRENILYGKDDATLDEIVTAAKLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRAMVGRTTVVVAHRLSTIRNADMIAVVQEGKIVEIGSHEELISNQNSAYASLIHLQEAASYQRQSSLGPTMGQPLSIKYSRELSHKKSSFGGSFRSEKESVSHAVAVADAMEPVKPTHVSAKRLYSMVGPDWGYGLLGTFCAFIAGSQMPLFALGVSQALVAYYMDWDTTRHEVKKISILFCCGSVISVIAYAIEHLCFGIMGERLTFRARENMFSAILKNEIGWFDDLNNTSSMLASRLESDATLLRTIVVDRTTILLQNVGLVVTSFVIAFILNWRITLVVLATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYSRELVDPSKRSFTRGQIAGIFYGVSQFFIFSSYGLALWYGSVLMGKGLAGFKSVMKSFMVLIVTALAMGETLAMAPDLLKGNQMVASVFELLDRRTRIIGDTGEELKNVEGNIELRDVAFSYPSRPDVSIFKDFDLKVHSGKSVALVGQSGSGKSTVLSLILRFYDPTTGKVMIDGTDIKKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASEAEVIEAAKLANAHNFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADEISVIQGGKIIEQGTHSSLLENKDGAYFKLISLQQQQQKQSR >Manes.15G186400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26740936:26749424:-1 gene:Manes.15G186400.v8.1 transcript:Manes.15G186400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSGKKEEKEEERKKQQKVPLLKLFAFADLYDYVLMGLGSLGACVHGASVPVFFIFFGKLINIIGLAYLFPKQASHRVAKYSLDFVYLSIVILFSSWTEVACWMHTGERQASKMRKAYLRSMLNQDISLFDTEASTGEVIAAITSDIIVVQDAISEKVIANVRTVQAFAGEERAVGSYTEALRNTYQYGRKAGLAKGLGLGTLHSVLFLSWALLVWFTSVVVHKDIANGGESFTTMLNVVIAGLSLGQAAPDISAFVRAKAAAYPIFEMIERDTMTKTSSKTGKKLDKLEGHIEFKDVRFSYPSRPDVMIFDGLCLDFPSGKIVALVGGSGSGKSTVISLIERFYEPLSGQILLDGNDIRHLDLKWLRQQIGLVNQEPALFATSIRENILYGKDDATLDEIVTAAKLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRAMVGRTTVVVAHRLSTIRNADMIAVVQEGKIVEIGSHEELISNQNSAYASLIHLQEAASYQRQSSLGPTMGQPLSIKYSRELSHKKSSFGGSFRSEKESVSHAVAVADAMEPVKPTHVSAKRLYSMVGPDWGYGLLGTFCAFIAGSQMPLFALGVSQALVAYYMDWDTTRHEVKKISILFCCGSVISVIAYAIEHLCFGIMGERLTFRARENMFSAILKNEIGWFDDLNNTSSMLASRLESDATLLRTIVVDRTTILLQNVGLVVTSFVIAFILNWRITLVVLATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYSRELVDPSKRSFTRGQIAGIFYGVSQFFIFSSYGLALWYGSVLMGKGLAGFKSVMKSFMVLIVTALAMGETLAMAPDLLKGNQMVASVFELLDRRTRIIGDTGEELKNVEGNIELRDVAFSYPSRPDVSIFKDFDLKVHSGKSVALVGQSGSGKSTVLSLILRFYDPTTGKVMIDGTDIKKLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASEAEVIEAAKLANAHNFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADEISVIQGGKIIEQGTHSSLLENKDGAYFKLISLQQQQQKQSR >Manes.03G174800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29851109:29873300:1 gene:Manes.03G174800.v8.1 transcript:Manes.03G174800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSESKRLYSWWWDSHNSPKNSKWLQENLTGMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYGHATIELRQAHRTMAEAFPNQVPYVLADDSPSSSSGPEGEPHTPRMPHPIHALLHSDDLHEDALGSLSNLHARKSNNQHPEEGSDSGTSKRGLKQLNDMFESQVMVSKVSEGKMKICPNIQEMVGDQTEVENLKKTLAEIQTEKEAVFLQYQQNLQKLSSLERELEEAGGLDERASRAEIEGKILKETLIKLESERDICLLQYNKCLERISSLENTISQAQEDAKGLNQRASKAEIEAHNLKQELSALEADKEVVVLQYNQCLEMISVLENKILLSEANAKMLNEQIERTETEVKALKEALASLNKEKEDAELRYEQCLDRIAKMEGEISHAQGDVKRLNSEILMGAAKLKDVEEQYFLLERSNQSLQLEADNLAQKIATNDQELLEKEDELEKLQTSLQNEHSRFVQIEAAFQTLQKLHSQSQEEQRVLAQELQKKLQLLKDLEIGNIELQEDLQWVKEENQSLNELNNSSRSSIMSLQNEIFSLKEVKEKLEQDLSVQVAQSNSLQQEIQHLKEDIKCLNRRYQDLIEQLCSVGLDPKSLNSEVKDLQDENLKLKEVCEKDRDEKEALYEKLRDMSELLERNVALEGSLSELNSKLQGSMERVKELQESCQFLQGEKSGIVAEKAILLSQLQTMTENMQKLLDRDAMLGDSLAHANIEVEGLRAKSKGLEEFCLMLKDEKSNLQNERSTLTSQLENVEQRLGNLERRFTRLEEKCTDLEMEKKSTIHEVRELQSYFGIEKQERVCYIQSSESRLADLENQVLLLKEESKLSKKEYEEELDKVANSQVEIFILQKFIQDLEEKNLSLFIECKKHVEASKFSNKLISELETENLEQQVEVEFLLDEIEKLRMRVHQVFRAVQFDPVNEHEDGIEEGQIPLLLILNHIEGLKGSLLRNEDEKQQLVVENLVLLTLLGELRSEGAEIESEKEIFKQEFDIMAEHCTVLQNDKHELLEMNRQLKLEASNGEQQEEVLKAELEIQYANLANLQGSYRALQEENFKALRENRGLLEKFSDLEKEMCILEEENSECLQEVLALSSVSSVFKCFGTEKVEELEALSKDLSCLHVLNNDLKEKVEVLGQELEIKEKESLRLSQTIEGLHQELQEGKDLTDQLNFQILIGQDFLRQKAADLLQVEQKLKTAHDLNAELSITVEELKRECEESKMARENIEKQILELSKGSISQTKEIEYLKQANENLESEVSSLCKAVEERRIKEENLSLELQEISYEFELFEAEASSFYFDLQTSSVCEVLLVNKVHELTAVCESLENENAHKDFKIEQMKERFGFLEIEIGDMKAQLSAYAPVIASITNNVESLEHNLCTRSFAACNQGEMSVEVAIQPQEMHQQEQTCDETMPDGISDLLKIQNRIKAVENAVIKEMDRLVTRERENANVNLESSMKGAEQLEFRGQKEKVELGTEPSKAESSEGKIGILMKDIPLDQVSNCPMYRSKMENSEAENQMLKLWESAEQESNLDPVASVSQRQVAAQLEIVNPPHQFNHAGQKSRNPSLELQVEREVGIDKLEVSTSINKGGGSRRKIFEQLASDTQKLLSLQTSVTDLKKKLEMTKRSKKGNDFEFERVKRQLKEVEEAVMLLVDVNDLLTKDIEKSPSSSEGNTSIASEGTGNVHRNSLTEQARKRYEQIGRLQFEVQSIHYSLLKLEDEKQSKGKGRFSGSRTGIFLRNFIYSGGRRSIKKRKKAACFCGYARPSTHED >Manes.03G174800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29864513:29873413:1 gene:Manes.03G174800.v8.1 transcript:Manes.03G174800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSESRRLYSWWWDSHNSPKNSKWLQENLTGMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYGHATIELRQAHRTMAEAFPNQVPYVLADDSPSSSSGPEGEPHTPRMPHPIHALLHSDDLHEDALGSLSNLHARKSNNQHPEEGSDSGTSKRGLKQLNDMFESQVMVSKVSEGKMKICPNIQEMVGDQTEVENLKKTLAEIQTEKEAVFLQYQQNLQKLSSLERELEEAGGLDERASRAEIEGKILKETLIKLESERDICLLQYNKCLERISSLENTISQAQEDAKGLNQRASKAEIEAHNLKQELSALEADKEVVVLQYNQCLEMISVLENKILLSEANAKMLNEQIERTETEVKALKEALASLNKEKEDAELRYEQCLDRIAKMEGEISHAQGDVKRLNSEILMGAAKLKDVEEQYFLLERSNQSLQLEADNLAQKIATNDQELLEKEDELEKLQTSLQNEHSRFVQIEAAFQTLQKLHSQSQEEQRVLAQELQKKLQLLKDLEIGNIELQEDLQWVKEENQSLNELNNSSRSSIMSLQNEIFSLKEVKEKLEQDLSVQVAQSNSLQQEIQHLKEDIKCLNRRYQDLIEQLCSVGLDPKSLNSEVKDLQDENLKLKEVCEKDRDEKEALYEKLRDMSELLERNVALEGSLSELNSKLQGSMERVKELQESCQFLQGEKSGIVAEKAILLSQLQTMTENMQKLLDRDAMLGDSLAHANIEVEGLRAKSKGLEEFCLMLKDEKSNLQNERSTLTSQLENVEQRLGNLERRFTRLEEKCTDLEMEKKSTIHEVRELQSYFGIEKQERVCYIQSSESRLADLENQVLLLKEESKLSKKEYEEELDKVANSQVEIFILQKFIQDLEEKNLSLFIECKKHVEASKFSNKLISELETENLEQQVEVEFLLDEIEKLRMRVHQVFRAVQFDPVNEHEDGIEEGQIPLLLILNHIEGLKGSLLRNEDEKQQLVVENLVLLTLLGELRSEGAEIESEKEIFKQEFDIMAEHCTVLQNDKHELLEMNRQLKLEASNGEQQEEVLKAELEIQYANLANLQGSYRALQEENFKALRENRGLLEKFSDLEKEMCILEEENSECLQEVLALSSVSSVFKCFGTEKVEELEALSKDLSCLHVLNNDLKEKVEVLGQELEIKEKESLRLSQTIEGLHQELQEGKDLTDQLNFQILIGQDFLRQKAADLLQVEQKLKTAHDLNAELSITVEELKRECEESKMARENIEKQILELSKGSISQTKEIEYLKQANENLESEVSSLCKAVEERRIKEENLSLELQEISYEFELFEAEASSFYFDLQTSSVCEVLLVNKVHELTAVCESLENENAHKDFKIEQMKERFGFLEIEIGDMKAQLSAYAPVIASITNNVESLEHNLCTRSFAACNQGEMSVEVAIQPQEMHQQEQTCDETMPDGISDLLKIQNRIKAVENAVIKEMDRLVTRERENANVNLESSMKGAEQLEFRGQKEKVELGTEPSKAESSEGKIGILMKDIPLDQVSNCPMYRSKMENSEAENQMLKLWESAEQESNLDPVASVSQRQVAAQLEIVNPPHQFNHAGQKSRNPSLELQVEREVGIDKLEVSTSINKGGGSRRKIFEQLASDTQKLLSLQTSVTDLKKKLEMTKRSKKGNDFEFERVKRQLKEVEEAVMLLVDVNDLLTKDIEKSPSSSEGNTSIASEGTGNVHRNSLTEQARKRYEQIGRLQFEVQSIHYSLLKLEDEKQSKGKGRFSGSRTGIFLRNFIYSGGRRSIKKRKKAACFCGYARPSTHED >Manes.03G174800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29864307:29873413:1 gene:Manes.03G174800.v8.1 transcript:Manes.03G174800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSESRRLYSWWWDSHNSPKNSKWLQENLTGMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYGHATIELRQAHRTMAEAFPNQVPYVLADDSPSSSSGPEGEPHTPRMPHPIHALLHSDDLHEDALGSLSNLHARKSNNQHPEEGSDSGTSKRGLKQLNDMFESQVMVSKVSEGKMKICPNIQEMVGDQTEVENLKKTLAEIQTEKEAVFLQYQQNLQKLSSLERELEEAGGLDERASRAEIEGKILKETLIKLESERDICLLQYNKCLERISSLENTISQAQEDAKGLNQRASKAEIEAHNLKQELSALEADKEVVVLQYNQCLEMISVLENKILLSEANAKMLNEQIERTETEVKALKEALASLNKEKEDAELRYEQCLDRIAKMEGEISHAQGDVKRLNSEILMGAAKLKDVEEQYFLLERSNQSLQLEADNLAQKIATNDQELLEKEDELEKLQTSLQNEHSRFVQIEAAFQTLQKLHSQSQEEQRVLAQELQKKLQLLKDLEIGNIELQEDLQWVKEENQSLNELNNSSRSSIMSLQNEIFSLKEVKEKLEQDLSVQVAQSNSLQQEIQHLKEDIKCLNRRYQDLIEQLCSVGLDPKSLNSEVKDLQDENLKLKEVCEKDRDEKEALYEKLRDMSELLERNVALEGSLSELNSKLQGSMERVKELQESCQFLQGEKSGIVAEKAILLSQLQTMTENMQKLLDRDAMLGDSLAHANIEVEGLRAKSKGLEEFCLMLKDEKSNLQNERSTLTSQLENVEQRLGNLERRFTRLEEKCTDLEMEKKSTIHEVRELQSYFGIEKQERVCYIQSSESRLADLENQVLLLKEESKLSKKEYEEELDKVANSQVEIFILQKFIQDLEEKNLSLFIECKKHVEASKFSNKLISELETENLEQQVEVEFLLDEIEKLRMRVHQVFRAVQFDPVNEHEDGIEEGQIPLLLILNHIEGLKGSLLRNEDEKQQLVVENLVLLTLLGELRSEGAEIESEKEIFKQEFDIMAEHCTVLQNDKHELLEMNRQLKLEASNGEQQEEVLKAELEIQYANLANLQGSYRALQEENFKALRENRGLLEKFSDLEKEMCILEEENSECLQEVLALSSVSSVFKCFGTEKVEELEALSKDLSCLHVLNNDLKEKVEVLGQELEIKEKESLRLSQTIEGLHQELQEGKDLTDQLNFQILIGQDFLRQKAADLLQVEQKLKTAHDLNAELSITVEELKRECEESKMARENIEKQILELSKGSISQTKEIEYLKQANENLESEVSSLCKAVEERRIKEENLSLELQEISYEFELFEAEASSFYFDLQTSSVCEVLLVNKVHELTAVCESLENENAHKDFKIEQMKERFGFLEIEIGDMKAQLSAYAPVIASITNNVESLEHNLCTRSFAACNQGEMSVEVAIQPQEMHQQEQTCDETMPDGISDLLKIQNRIKAVENAVIKEMDRLVTRERENANVNLESSMKGAEQLEFRGQKEKVELGTEPSKAESSEGKIGILMKDIPLDQVSNCPMYRSKMENSEAENQMLKLWESAEQESNLDPVASVSQRQVAAQLEIVNPPHQFNHAGQKSRNPSLELQVEREVGIDKLEVSTSINKGGGSRRKIFEQLASDTQKLLSLQTSVTDLKKKLEMTKRSKKGNDFEFERVKRQLKEVEEAVMLLVDVNDLLTKDIEKSPSSSEGNTSIASEGTGNVHRNSLTEQARKRYEQIGRLQFEVQSIHYSLLKLEDEKQSKGKGRFSGSRTGIFLRNFIYSGGRRSIKKRKKAACFCGYARPSTHED >Manes.03G174800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29864523:29873413:1 gene:Manes.03G174800.v8.1 transcript:Manes.03G174800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSESRRLYSWWWDSHNSPKNSKWLQENLTGMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYGHATIELRQAHRTMAEAFPNQVPYVLADDSPSSSSGPEGEPHTPRMPHPIHALLHSDDLHEDALGSLSNLHARKSNNQHPEEGSDSGTSKRGLKQLNDMFESQVMVSKVSEGKMKICPNIQEMVGDQTEVENLKKTLAEIQTEKEAVFLQYQQNLQKLSSLERELEEAGGLDERASRAEIEGKILKETLIKLESERDICLLQYNKCLERISSLENTISQAQEDAKGLNQRASKAEIEAHNLKQELSALEADKEVVVLQYNQCLEMISVLENKILLSEANAKMLNEQIERTETEVKALKEALASLNKEKEDAELRYEQCLDRIAKMEGEISHAQGDVKRLNSEILMGAAKLKDVEEQYFLLERSNQSLQLEADNLAQKIATNDQELLEKEDELEKLQTSLQNEHSRFVQIEAAFQTLQKLHSQSQEEQRVLAQELQKKLQLLKDLEIGNIELQEDLQWVKEENQSLNELNNSSRSSIMSLQNEIFSLKEVKEKLEQDLSVQVAQSNSLQQEIQHLKEDIKCLNRRYQDLIEQLCSVGLDPKSLNSEVKDLQDENLKLKEVCEKDRDEKEALYEKLRDMSELLERNVALEGSLSELNSKLQGSMERVKELQESCQFLQGEKSGIVAEKAILLSQLQTMTENMQKLLDRDAMLGDSLAHANIEVEGLRAKSKGLEEFCLMLKDEKSNLQNERSTLTSQLENVEQRLGNLERRFTRLEEKCTDLEMEKKSTIHEVRELQSYFGIEKQERVCYIQSSESRLADLENQVLLLKEESKLSKKEYEEELDKVANSQVEIFILQKFIQDLEEKNLSLFIECKKHVEASKFSNKLISELETENLEQQVEVEFLLDEIEKLRMRVHQVFRAVQFDPVNEHEDGIEEGQIPLLLILNHIEGLKGSLLRNEDEKQQLVVENLVLLTLLGELRSEGAEIESEKEIFKQEFDIMAEHCTVLQNDKHELLEMNRQLKLEASNGEQQEEVLKAELEIQYANLANLQGSYRALQEENFKALRENRGLLEKFSDLEKEMCILEEENSECLQEVLALSSVSSVFKCFGTEKVEELEALSKDLSCLHVLNNDLKEKVEVLGQELEIKEKESLRLSQTIEGLHQELQEGKDLTDQLNFQILIGQDFLRQKAADLLQVEQKLKTAHDLNAELSITVEELKRECEESKMARENIEKQILELSKGSISQTKEIEYLKQANENLESEVSSLCKAVEERRIKEENLSLELQEISYEFELFEAEASSFYFDLQTSSVCEVLLVNKVHELTAVCESLENENAHKDFKIEQMKERFGFLEIEIGDMKAQLSAYAPVIASITNNVESLEHNLCTRSFAACNQGEMSVEVAIQPQEMHQQEQTCDETMPDGISDLLKIQNRIKAVENAVIKEMDRLVTRERENANVNLESSMKGAEQLEFRGQKEKVELGTEPSKAESSEGKIGILMKDIPLDQVSNCPMYRSKMENSEAENQMLKLWESAEQESNLDPVASVSQRQVAAQLEIVNPPHQFNHAGQKSRNPSLELQVEREVGIDKLEVSTSINKGGGSRRKIFEQLASDTQKLLSLQTSVTDLKKKLEMTKRSKKGNDFEFERVKRQLKEVEEAVMLLVDVNDLLTKDIEKSPSSSEGNTSIASEGTGNVHRNSLTEQARKRYEQIGRLQFEVQSIHYSLLKLEDEKQSKGKGRFSGSRTGIFLRNFIYSGGRRSIKKRKKAACFCGYARPSTHED >Manes.03G174800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29867135:29873312:1 gene:Manes.03G174800.v8.1 transcript:Manes.03G174800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYGHATIELRQAHRTMAEAFPNQVPYVLADDSPSSSSGPEGEPHTPRMPHPIHALLHSDDLHEDALGSLSNLHARKSNNQHPEEGSDSGTSKRGLKQLNDMFESQVMVSKVSEGKMKICPNIQEMVGDQTEVENLKKTLAEIQTEKEAVFLQYQQNLQKLSSLERELEEAGGLDERASRAEIEGKILKETLIKLESERDICLLQYNKCLERISSLENTISQAQEDAKGLNQRASKAEIEAHNLKQELSALEADKEVVVLQYNQCLEMISVLENKILLSEANAKMLNEQIERTETEVKALKEALASLNKEKEDAELRYEQCLDRIAKMEGEISHAQGDVKRLNSEILMGAAKLKDVEEQYFLLERSNQSLQLEADNLAQKIATNDQELLEKEDELEKLQTSLQNEHSRFVQIEAAFQTLQKLHSQSQEEQRVLAQELQKKLQLLKDLEIGNIELQEDLQWVKEENQSLNELNNSSRSSIMSLQNEIFSLKEVKEKLEQDLSVQVAQSNSLQQEIQHLKEDIKCLNRRYQDLIEQLCSVGLDPKSLNSEVKDLQDENLKLKEVCEKDRDEKEALYEKLRDMSELLERNVALEGSLSELNSKLQGSMERVKELQESCQFLQGEKSGIVAEKAILLSQLQTMTENMQKLLDRDAMLGDSLAHANIEVEGLRAKSKGLEEFCLMLKDEKSNLQNERSTLTSQLENVEQRLGNLERRFTRLEEKCTDLEMEKKSTIHEVRELQSYFGIEKQERVCYIQSSESRLADLENQVLLLKEESKLSKKEYEEELDKVANSQVEIFILQKFIQDLEEKNLSLFIECKKHVEASKFSNKLISELETENLEQQVEVEFLLDEIEKLRMRVHQVFRAVQFDPVNEHEDGIEEGQIPLLLILNHIEGLKGSLLRNEDEKQQLVVENLVLLTLLGELRSEGAEIESEKEIFKQEFDIMAEHCTVLQNDKHELLEMNRQLKLEASNGEQQEEVLKAELEIQYANLANLQGSYRALQEENFKALRENRGLLEKFSDLEKEMCILEEENSECLQEVLALSSVSSVFKCFGTEKVEELEALSKDLSCLHVLNNDLKEKVEVLGQELEIKEKESLRLSQTIEGLHQELQEGKDLTDQLNFQILIGQDFLRQKAADLLQVEQKLKTAHDLNAELSITVEELKRECEESKMARENIEKQILELSKGSISQTKEIEYLKQANENLESEVSSLCKAVEERRIKEENLSLELQEISYEFELFEAEASSFYFDLQTSSVCEVLLVNKVHELTAVCESLENENAHKDFKIEQMKERFGFLEIEIGDMKAQLSAYAPVIASITNNVESLEHNLCTRSFAACNQGEMSVEVAIQPQEMHQQEQTCDETMPDGISDLLKIQNRIKAVENAVIKEMDRLVTRERENANVNLESSMKGAEQLEFRGQKEKVELGTEPSKAESSEGKIGILMKDIPLDQVSNCPMYRSKMENSEAENQMLKLWESAEQESNLDPVASVSQRQVAAQLEIVNPPHQFNHAGQKSRNPSLELQVEREVGIDKLEVSTSINKGGGSRRKIFEQLASDTQKLLSLQTSVTDLKKKLEMTKRSKKGNDFEFERVKRQLKEVEEAVMLLVDVNDLLTKDIEKSPSSSEGNTSIASEGTGNVHRNSLTEQARKRYEQIGRLQFEVQSIHYSLLKLEDEKQSKGKGRFSGSRTGIFLRNFIYSGGRRSIKKRKKAACFCGYARPSTHED >Manes.03G174800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29864307:29873413:1 gene:Manes.03G174800.v8.1 transcript:Manes.03G174800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHSESRRLYSWWWDSHNSPKNSKWLQENLTGMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYGHATIELRQAHRTMAEAFPNQVPYVLADDSPSSSSGPEGEPHTPRMPHPIHALLHSDDLHEDALGSLSNLHARKSNNQHPEEGSDSGTSKRGLKQLNDMFESQVMVSKVSEGKMKICPNIQEMVGDQTEVENLKKTLAEIQTEKEAVFLQYQQNLQKLSSLERELEEAGGLDERASRAEIEGKILKETLIKLESERDICLLQYNKCLERISSLENTISQAQEDAKGLNQRASKAEIEAHNLKQELSALEADKEVVVLQYNQCLEMISVLENKILLSEANAKMLNEQIERTETEVKALKEALASLNKEKEDAELRYEQCLDRIAKMEGEISHAQGDVKRLNSEILMGAAKLKDVEEQYFLLERSNQSLQLEADNLAQKIATNDQELLEKEDELEKLQTSLQNEHSRFVQIEAAFQTLQKLHSQSQEEQRVLAQELQKKLQLLKDLEIGNIELQEDLQWVKEENQSLNELNNSSRSSIMSLQNEIFSLKEVKEKLEQDLSVQVAQSNSLQQEIQHLKEDIKCLNRRYQDLIEQLCSVGLDPKSLNSEVKDLQDENLKLKEVCEKDRDEKEALYEKLRDMSELLERNVALEGSLSELNSKLQGSMERVKELQESCQFLQGEKSGIVAEKAILLSQLQTMTENMQKLLDRDAMLGDSLAHANIEVEGLRAKSKGLEEFCLMLKDEKSNLQNERSTLTSQLENVEQRLGNLERRFTRLEEKCTDLEMEKKSTIHEVRELQSYFGIEKQERVCYIQSSESRLADLENQVLLLKEESKLSKKEYEEELDKVANSQVEIFILQKFIQDLEEKNLSLFIECKKHVEASKFSNKLISELETENLEQQVEVEFLLDEIEKLRMRVHQVFRAVQFDPVNEHEDGIEEGQIPLLLILNHIEGLKGSLLRNEDEKQQLVVENLVLLTLLGELRSEGAEIESEKEIFKQEFDIMAEHCTVLQNDKHELLEMNRQLKLEASNGEQQEEVLKAELEIQYANLANLQGSYRALQEENFKALRENRGLLEKFSDLEKEMCILEEENSECLQEVLALSSVSSVFKCFGTEKVEELEALSKDLSCLHVLNNDLKEKVEVLGQELEIKEKESLRLSQTIEGLHQELQEGKDLTDQLNFQILIGQDFLRQKAADLLQVEQKLKTAHDLNAELSITVEELKRECEESKMARENIEKQILELSKGSISQTKEIEYLKQANENLESEVSSLCKAVEERRIKEENLSLELQEISYEFELFEAEASSFYFDLQTSSVCEVLLVNKVHELTAVCESLENENAHKDFKIEQMKERFGFLEIEIGDMKAQLSAYAPVIASITNNVESLEHNLCTRSFAACNQGEMSVEVAIQPQEMHQQEQTCDETMPDGISDLLKIQNRIKAVENAVIKEMDRLVTRERENANVNLESSMKGAEQLEFRGQKEKVELGTEPSKAESSEGKIGILMKDIPLDQVSNCPMYRSKMENSEAENQMLKLWESAEQESNLDPVASVSQRQVAAQLEIVNPPHQFNHAGQKSRNPSLELQVEREVGIDKLEVSTSINKGGGSRRKIFEQLASDTQKLLSLQTSVTDLKKKLEMTKRSKKGNDFEFERVKRQLKEVEEAVMLLVDVNDLLTKDIEKSPSSSEGNTSIASEGTGNVHRNSLTEQARKRYEQIGRLQFEVQSIHYSLLKLEDEKQSKGKGRFSGSRTGIFLRNFIYSGGRRSIKKRKKAACFCGYARPSTHED >Manes.04G061300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18470514:18491980:-1 gene:Manes.04G061300.v8.1 transcript:Manes.04G061300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTERLGGSNFMKMGISIHRNASDLGTQRLEDRAKNIVLSKRVRSSVAELRADGRSNALPRQLLVMGKDRDMHRDGGEASDLPVEKSQRMSAAGEVWDRKMKRKRSVGSVFARSTDSDGEVKRIMHHKFNNEPGLQSNDAQGFSTGPFNGNSGINKSDTGALSASSNSRSIPKKESEKVSLTRESADGMNRERLVAKANNNLVMKGKASRAPRTGSGMAANSSSNFSHTSVAPDGWEQTPTINKVSSIGGPNNRERSMPAGSSSPPMAQWVGQRPQKISRTRRANVVSPVSNRDELQMSSDGGHPSEFAARLNTTGSNGSLLAKDASNGIHLVKVKNENVSSPSRLSESEESGGGANHDCRPKEKGMGGGGVEEIPQNHNIGPVVLMKKNKVLNEESSGDGLRRQGRIGRGASSSRASIFAGRAKLESPASTKPARSTRPVSDKNGSKSGRPPLKKISDRKAFTRVQTATSGSPDFTGGIDDDREEIVEAANFACNASYLSCPNSFWKNMELVFASICKEDSSYLKQQLKSAKDLHESLFEIFGHGNNTLGDLVHQEDFQSQLIYEESEKSWQKKLMTVSLAHQGMDNGTSCGNVEERNQPTPLYQRVLSALIMEDEYEEIEENNEGRNMSFQNGRDRSPSDACLPIDFGPRSSDRREFEYFRSNKPFPCNGSANINGATSFHIQSCNDDSSQEVQGFLQSKVGLFPAHSGNNERKLAAQANASGISALDCDCVQTLEGRLLMELQSIGLYPEIVPDLADGEEEALNQDIIELQKRLHQQMGKKKGHLSKLIKAVEESKEVEGGGFEQVAMNRLVELAYKKLLATRGSLASKFGVPKVSKQVAHAFMKKTLARCRKFKDTGKTCFNDPALRDIILAASPRGNHTEPGGGFGSAVKSNMPHGASNFQHDPGASGLLLNRGKKKELLLDDVGGNTSLRATFSLSNAPRAGTKGKRSDRERDKDTLAKSSVIKAGRALQANVKGDRKTKSKPKQKTAQLSTSGDGTDNKFKEASSSKKREVGLNSYSYNRGTTDITDLQDLSLELGITSDIGGHQDLSNLFNFDEDGLPENDLMGLDLPLDGLEIPMDDLSELNMLL >Manes.04G061300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18470514:18491980:-1 gene:Manes.04G061300.v8.1 transcript:Manes.04G061300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMKFESSSANLEELGFTGSYSNVQRGNYPNATLDRPGSFREGSETRMLGSGPSTPRGSALSDMASPSHYLLLDPITMGDQKYTRSGELRRVLGISLGSAIEDNSFGAAHSKPPPPVATEELKRFKASVSEATLKARVRIKKLNESLLKLNKYSEALNWKKQQRNEMLMTERLGGSNFMKMGISIHRNASDLGTQRLEDRAKNIVLSKRVRSSVAELRADGRSNALPRQLLVMGKDRDMHRDGGEASDLPVEKSQRMSAAGEVWDRKMKRKRSVGSVFARSTDSDGEVKRIMHHKFNNEPGLQSNDAQGFSTGPFNGNSGINKSDTGALSASSNSRSIPKKESEKVSLTRESADGMNRERLVAKANNKLNILEDNHLSGSSLVMKGKASRAPRTGSGMAANSSSNFSHTSVAPDGWEQTPTINKVSSIGGPNNRERSMPAGSSSPPMAQWVGQRPQKISRTRRANVVSPVSNRDELQMSSDGGHPSEFAARLNTTGSNGSLLAKDASNGIHLVKVKNENVSSPSRLSESEESGGGANHDCRPKEKGMGGGGVEEIPQNHNIGPVVLMKKNKVLNEESSGDGLRRQGRIGRGASSSRASIFAGRAKLESPASTKPARSTRPVSDKNGSKSGRPPLKKISDRKAFTRVQTATSGSPDFTGGIDDDREEIVEAANFACNASYLSCPNSFWKNMELVFASICKEDSSYLKQQLKSAKDLHESLFEIFGHGNNTLGDLVHQEDFQSQLIYEESEKSWQKKLMTVSLAHQGMDNGTSCGNVEERNQPTPLYQRVLSALIMEDEYEEIEENNEGRNMSFQNGRDRSPSDACLPIDFGPRSSDRREFEYFRSNKPFPCNGSANINGATSFHIQSCNDDSSQEVQGFLQSKVGLFPAHSGNNERKLAAQANASGISALDCDCVQTLEGRLLMELQSIGLYPEIVPDLADGEEEALNQDIIELQKRLHQQMGKKKGHLSKLIKAVEESKEVEGGGFEQVAMNRLVELAYKKLLATRGSLASKFGVPKVSKQVAHAFMKKTLARCRKFKDTGKTCFNDPALRDIILAASPRGNHTEPGGGFGSAVKSNMPHGASNFQHDPGASGLTIVKQREEEGTAT >Manes.04G061300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18470514:18491980:-1 gene:Manes.04G061300.v8.1 transcript:Manes.04G061300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMKFESSSANLEELGFTGSYSNVQRGNYPNATLDRPGSFREGSETRMLGSGPSTPRGSALSDMASPSHYLLLDPITMGDQKYTRSGELRRVLGISLGSAIEDNSFGAAHSKPPPPVATEELKRFKASVSEATLKARVRIKKLNESLLKLNKYSEALNWKKQQRNEMLMTERLGGSNFMKMGISIHRNASDLGTQRLEDRAKNIVLSKRVRSSVAELRADGRSNALPRQLLVMGKDRDMHRDGGEASDLPVEKSQRMSAAGEVWDRKMKRKRSVGSVFARSTDSDGEVKRIMHHKFNNEPGLQSNDAQGFSTGPFNGNSGINKSDTGALSASSNSRSIPKKESEKVSLTRESADGMNRERLVAKANNNLVMKGKASRAPRTGSGMAANSSSNFSHTSVAPDGWEQTPTINKVSSIGGPNNRERSMPAGSSSPPMAQWVGQRPQKISRTRRANVVSPVSNRDELQMSSDGGHPSEFAARLNTTGSNGSLLAKDASNGIHLVKVKNENVSSPSRLSESEESGGGANHDCRPKEKGMGGGGVEEIPQNHNIGPVVLMKKNKVLNEESSGDGLRRQGRIGRGASSSRASIFAGRAKLESPASTKPARSTRPVSDKNGSKSGRPPLKKISDRKAFTRVQTATSGSPDFTGGIDDDREEIVEAANFACNASYLSCPNSFWKNMELVFASICKEDSSYLKQQLKSAKDLHESLFEIFGHGNNTLGDLVHQEDFQSQLIYEESEKSWQKKLMTVSLAHQGMDNGTSCGNVEERNQPTPLYQRVLSALIMEDEYEEIEENNEGRNMSFQNGRDRSPSDACLPIDFGPRSSDRREFEYFRSNKPFPCNGSANINGATSFHIQSCNDDSSQEVQGFLQSKVGLFPAHSGNNERKLAAQANASGISALDCDCVQTLEGRLLMELQSIGLYPEIVPDLADGEEEALNQDIIELQKRLHQQMGKKKGHLSKLIKAVEESKEVEGGGFEQVAMNRLVELAYKKLLATRGSLASKFGVPKVSKQVAHAFMKKTLARCRKFKDTGKTCFNDPALRDIILAASPRGNHTEPGGGFGSAVKSNMPHGASNFQHDPGASGLTIVKQREEEGTAT >Manes.04G061300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18469947:18491980:-1 gene:Manes.04G061300.v8.1 transcript:Manes.04G061300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMKFESSSANLEELGFTGSYSNVQRGNYPNATLDRPGSFREGSETRMLGSGPSTPRGSALSDMASPSHYLLLDPITMGDQKYTRSGELRRVLGISLGSAIEDNSFGAAHSKPPPPVATEELKRFKASVSEATLKARVRIKKLNESLLKLNKYSEALNWKKQQRNEMLMTERLGGSNFMKMGISIHRNASDLGTQRLEDRAKNIVLSKRVRSSVAELRADGRSNALPRQLLVMGKDRDMHRDGGEASDLPVEKSQRMSAAGEVWDRKMKRKRSVGSVFARSTDSDGEVKRIMHHKFNNEPGLQSNDAQGFSTGPFNGNSGINKSDTGALSASSNSRSIPKKESEKVSLTRESADGMNRERLVAKANNKLNILEDNHLSGSSLVMKGKASRAPRTGSGMAANSSSNFSHTSVAPDGWEQTPTINKVSSIGGPNNRERSMPAGSSSPPMAQWVGQRPQKISRTRRANVVSPVSNRDELQMSSDGGHPSEFAARLNTTGSNGSLLAKDASNGIHLVKVKNENVSSPSRLSESEESGGGANHDCRPKEKGMGGGGVEEIPQNHNIGPVVLMKKNKVLNEESSGDGLRRQGRIGRGASSSRASIFAGRAKLESPASTKPARSTRPVSDKNGSKSGRPPLKKISDRKAFTRVQTATSGSPDFTGGIDDDREEIVEAANFACNASYLSCPNSFWKNMELVFASICKEDSSYLKQQLKSAKDLHESLFEIFGHGNNTLGDLVHQEDFQSQLIYEESEKSWQKKLMTVSLAHQGMDNGTSCGNVEERNQPTPLYQRVLSALIMEDEYEEIEENNEGRNMSFQNGRDRSPSDACLPIDFGPRSSDRREFEYFRSNKPFPCNGSANINGATSFHIQSCNDDSSQEVQGFLQSKVGLFPAHSGNNERKLAAQANASGISALDCDCVQTLEGRLLMELQSIGLYPEIVPDLADGEEEALNQDIIELQKRLHQQMGKKKGHLSKLIKAVEESKEVEGGGFEQVAMNRLVELAYKKLLATRGSLASKFGVPKVSKQVAHAFMKKTLARCRKFKDTGKTCFNDPALRDIILAASPRGNHTEPGGGFGSAVKSNMPHGASNFQHDPGASGLLLNRGKKKELLLDDVGGNTSLRATFSLSNAPRAGTKGKRSDRERDKDTLAKSSVIKAGRALQANVKGDRKTKSKPKQKTAQLSTSGDGTDNKFKEASSSKKREVGLNSYSYNRGTTDITDLQDLSLELGITSDIGGHQDLSNLFNFDEDGLPENDLMGLDLPLDGLEIPMDDLSELNMLL >Manes.04G061300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18470514:18491980:-1 gene:Manes.04G061300.v8.1 transcript:Manes.04G061300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMKFESSSANLEELGFTGSYSNVQRGNYPNATLDRPGSFREGSETRMLGSGPSTPRGSALSDMASPSHYLLLDPITMGDQKYTRSGELRRVLGISLGSAIEDNSFGAAHSKPPPPVATEELKRFKASVSEATLKARVRIKKLNESLLKLNKYSEALNWKKQQRNEMLMTERLGGSNFMKMGISIHRNASDLGTQRLEDRAKNIVLSKRVRSSVAELRADGRSNALPRQLLVMGKDRDMHRDGGEASDLPVEKSQRMSAAGEVWDRKMKRKRSVGSVFARSTDSDGEVKRIMHHKFNNEPGLQSNDAQGFSTGPFNGNSGINKSDTGALSASSNSRSIPKKESEKVSLTRESADGMNRERLVAKANNNLVMKGKASRAPRTGSGMAANSSSNFSHTSVAPDGWEQTPTINKVSSIGGPNNRERSMPAGSSSPPMAQWVGQRPQKISRTRRANVVSPVSNRDELQMSSDGGHPSEFAARLNTTGSNGSLLAKDASNGIHLVKVKNENVSSPSRLSESEESGGGANHDCRPKEKGMGGGGVEEIPQNHNIGPVVLMKKNKVLNEESSGDGLRRQGRIGRGASSSRASIFAGRAKLESPASTKPARSTRPVSDKNGSKSGRPPLKKISDRKAFTRVQTATSGSPDFTGGIDDDREEIVEAANFACNASYLSCPNSFWKNMELVFASICKEDSSYLKQQLKSAKDLHESLFEIFGHGNNTLGDLVHQEDFQSQLIYEESEKSWQKKLMTVSLAHQGMDNGTSCGNVEERNQPTPLYQRVLSALIMEDEYEEIEENNEGRNMSFQNGRDRSPSDACLPIDFGPRSSDRREFEYFRSNKPFPCNGSANINGATSFHIQSCNDDSSQEVQGFLQSKVGLFPAHSGNNERKLAAQANASGISALDCDCVQTLEGRLLMELQSIGLYPEIVPDLADGEEEALNQDIIELQKRLHQQMGKKKGHLSKLIKAVEESKEVEGGGFEQVAMNRLVELAYKKLLATRGSLASKFGVPKVSKQVAHAFMKKTLARCRKFKDTGKTCFNDPALRDIILAASPRGNHTEPGGGFGSAVKSNMPHGASNFQHDPGASGFEPVTSVVEFLFIHVNLIFAKTGLLLNRGKKKELLLDDVGGNTSLRATFSLSNAPRAGTKGKRSDRERDKDTLAKSSVIKAGRALQANVKGDRKTKSKPKQKTAQLSTSGDGTDNKFKEASSSKKREVGLNSYSYNRGTTDITDLQDLSLELGITSDIGGHQDLSNLFNFDEDGLPENDLMGLDLPLDGLEIPMDDLSELNMLL >Manes.04G061300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18470514:18491980:-1 gene:Manes.04G061300.v8.1 transcript:Manes.04G061300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMKFESSSANLEELGFTGSYSNVQRGNYPNATLDRPGSFREGSETRMLGSGPSTPRGSALSDMASPSHYLLLDPITMGDQKYTRSGELRRVLGISLGSAIEDNSFGAAHSKPPPPVATEELKRFKASVSEATLKARVRIKKLNESLLKLNKYSEALNWKKQQRNEMLMTERLGGSNFMKMGISIHRNASDLGTQRLEDRAKNIVLSKRVRSSVAELRADGRSNALPRQLLVMGKDRDMHRDGGEASDLPVEKSQRMSAAGEVWDRKMKRKRSVGSVFARSTDSDGEVKRIMHHKFNNEPGLQSNDAQGFSTGPFNGNSGINKSDTGALSASSNSRSIPKKESEKVSLTRESADGMNRERLVAKANNNLVMKGKASRAPRTGSGMAANSSSNFSHTSVAPDGWEQTPTINKVSSIGGPNNRERSMPAGSSSPPMAQWVGQRPQKISRTRRANVVSPVSNRDELQMSSDGGHPSEFAARLNTTGSNGSLLAKDASNGIHLVKVKNENVSSPSRLSESEESGGGANHDCRPKEKGMGGGGVEEIPQNHNIGPVVLMKKNKVLNEESSGDGLRRQGRIGRGASSSRASIFAGRAKLESPASTKPARSTRPVSDKNGSKSGRPPLKKISDRKAFTRVQTATSGSPDFTGGIDDDREEIVEAANFACNASYLSCPNSFWKNMELVFASICKEDSSYLKQQLKSAKDLHESLFEIFGHGNNTLGDLVHQEDFQSQLIYEESEKSWQKKLMTVSLAHQGMDNGTSCGNVEERNQPTPLYQRVLSALIMEDEYEEIEENNEGRNMSFQNGRDRSPSDACLPIDFGPRSSDRREFEYFRSNKPFPCNGSANINGATSFHIQSCNDDSSQEVQGFLQSKVGLFPAHSGNNERKLAAQANASGISALDCDCVQTLEGRLLMELQSIGLYPEIVPDLADGEEEALNQDIIELQKRLHQQMGKKKGHLSKLIKAVEESKEVEGGGFEQVAMNRLVELAYKKLLATRGSLASKFGVPKVSKQVAHAFMKKTLARCRKFKDTGKTCFNDPALRDIILAASPRGNHTEPGGGFGSAVKSNMPHGASNFQHDPGASGLLLNRGKKKELLLDDVGGNTSLRATFSLSNAPRAGTKGKRSDRERDKDTLAKSSVIKAGRALQANVKGDRKTKSKPKQKTAQLSTSGDGTDNKFKEASSSKKREVGLNSYSYNRGTTDITDLQDLSLELGITSDIGGHQDLSNLFNFDEDGLPENDLMGLDLPLDGLEIPMDDLSELNMLL >Manes.04G061300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18470514:18491980:-1 gene:Manes.04G061300.v8.1 transcript:Manes.04G061300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTERLGGSNFMKMGISIHRNASDLGTQRLEDRAKNIVLSKRVRSSVAELRADGRSNALPRQLLVMGKDRDMHRDGGEASDLPVEKSQRMSAAGEVWDRKMKRKRSVGSVFARSTDSDGEVKRIMHHKFNNEPGLQSNDAQGFSTGPFNGNSGINKSDTGALSASSNSRSIPKKESEKVSLTRESADGMNRERLVAKANNKLNILEDNHLSGSSLVMKGKASRAPRTGSGMAANSSSNFSHTSVAPDGWEQTPTINKVSSIGGPNNRERSMPAGSSSPPMAQWVGQRPQKISRTRRANVVSPVSNRDELQMSSDGGHPSEFAARLNTTGSNGSLLAKDASNGIHLVKVKNENVSSPSRLSESEESGGGANHDCRPKEKGMGGGGVEEIPQNHNIGPVVLMKKNKVLNEESSGDGLRRQGRIGRGASSSRASIFAGRAKLESPASTKPARSTRPVSDKNGSKSGRPPLKKISDRKAFTRVQTATSGSPDFTGGIDDDREEIVEAANFACNASYLSCPNSFWKNMELVFASICKEDSSYLKQQLKSAKDLHESLFEIFGHGNNTLGDLVHQEDFQSQLIYEESEKSWQKKLMTVSLAHQGMDNGTSCGNVEERNQPTPLYQRVLSALIMEDEYEEIEENNEGRNMSFQNGRDRSPSDACLPIDFGPRSSDRREFEYFRSNKPFPCNGSANINGATSFHIQSCNDDSSQEVQGFLQSKVGLFPAHSGNNERKLAAQANASGISALDCDCVQTLEGRLLMELQSIGLYPEIVPDLADGEEEALNQDIIELQKRLHQQMGKKKGHLSKLIKAVEESKEVEGGGFEQVAMNRLVELAYKKLLATRGSLASKFGVPKVSKQVAHAFMKKTLARCRKFKDTGKTCFNDPALRDIILAASPRGNHTEPGGGFGSAVKSNMPHGASNFQHDPGASGLLLNRGKKKELLLDDVGGNTSLRATFSLSNAPRAGTKGKRSDRERDKDTLAKSSVIKAGRALQANVKGDRKTKSKPKQKTAQLSTSGDGTDNKFKEASSSKKREVGLNSYSYNRGTTDITDLQDLSLELGITSDIGGHQDLSNLFNFDEDGLPENDLMGLDLPLDGLEIPMDDLSELNMLL >Manes.04G061300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18470514:18491980:-1 gene:Manes.04G061300.v8.1 transcript:Manes.04G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNMKFESSSANLEELGFTGSYSNVQRGNYPNATLDRPGSFREGSETRMLGSGPSTPRGSALSDMASPSHYLLLDPITMGDQKYTRSGELRRVLGISLGSAIEDNSFGAAHSKPPPPVATEELKRFKASVSEATLKARVRIKKLNESLLKLNKYSEALNWKKQQRNEMLMTERLGGSNFMKMGISIHRNASDLGTQRLEDRAKNIVLSKRVRSSVAELRADGRSNALPRQLLVMGKDRDMHRDGGEASDLPVEKSQRMSAAGEVWDRKMKRKRSVGSVFARSTDSDGEVKRIMHHKFNNEPGLQSNDAQGFSTGPFNGNSGINKSDTGALSASSNSRSIPKKESEKVSLTRESADGMNRERLVAKANNKLNILEDNHLSGSSLVMKGKASRAPRTGSGMAANSSSNFSHTSVAPDGWEQTPTINKVSSIGGPNNRERSMPAGSSSPPMAQWVGQRPQKISRTRRANVVSPVSNRDELQMSSDGGHPSEFAARLNTTGSNGSLLAKDASNGIHLVKVKNENVSSPSRLSESEESGGGANHDCRPKEKGMGGGGVEEIPQNHNIGPVVLMKKNKVLNEESSGDGLRRQGRIGRGASSSRASIFAGRAKLESPASTKPARSTRPVSDKNGSKSGRPPLKKISDRKAFTRVQTATSGSPDFTGGIDDDREEIVEAANFACNASYLSCPNSFWKNMELVFASICKEDSSYLKQQLKSAKDLHESLFEIFGHGNNTLGDLVHQEDFQSQLIYEESEKSWQKKLMTVSLAHQGMDNGTSCGNVEERNQPTPLYQRVLSALIMEDEYEEIEENNEGRNMSFQNGRDRSPSDACLPIDFGPRSSDRREFEYFRSNKPFPCNGSANINGATSFHIQSCNDDSSQEVQGFLQSKVGLFPAHSGNNERKLAAQANASGISALDCDCVQTLEGRLLMELQSIGLYPEIVPDLADGEEEALNQDIIELQKRLHQQMGKKKGHLSKLIKAVEESKEVEGGGFEQVAMNRLVELAYKKLLATRGSLASKFGVPKVSKQVAHAFMKKTLARCRKFKDTGKTCFNDPALRDIILAASPRGNHTEPGGGFGSAVKSNMPHGASNFQHDPGASGFEPVTSVVEFLFIHVNLIFAKTGLLLNRGKKKELLLDDVGGNTSLRATFSLSNAPRAGTKGKRSDRERDKDTLAKSSVIKAGRALQANVKGDRKTKSKPKQKTAQLSTSGDGTDNKFKEASSSKKREVGLNSYSYNRGTTDITDLQDLSLELGITSDIGGHQDLSNLFNFDEDGLPENDLMGLDLPLDGLEIPMDDLSELNMLL >Manes.08G135400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37233636:37238491:1 gene:Manes.08G135400.v8.1 transcript:Manes.08G135400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLGVMLLLCLVASAAAQPHCGRQAGNRKCPGRMCCSRWGYCGTTAEYCGPGCQSNCRPSSDDLSESISASNVKATSRSYNSEQNAWNLNAANAFCSTWDGDKPLEWRSKHAWTAFCGPVGPQGKDACGQCLKVTNAETGAEATVRIVDKCSNGGLDMDAAAFKQLDTDGKGNAQGFLMVNYEFINCTAN >Manes.08G135400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37233636:37238491:1 gene:Manes.08G135400.v8.1 transcript:Manes.08G135400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLGVMLLLCLVASAAAQPHCGRQAGNRKCPGRMCCSRWGYCGTTAEYCGPGCQSNCRPSSDDLSESISASNVKATSRSYNSEQNAWNLNAANAFCSTWDGDKPLEWRSKHAWTAFCGPVGPQGKDACGQCLKVTNAETGAEATVRIVDKCSNGGLDMDAAAFKQLDTDGKGNAQGFLMVNYEFINCTAN >Manes.09G165000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35852040:35856574:1 gene:Manes.09G165000.v8.1 transcript:Manes.09G165000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGHPPATGIMSTAGQMPYGTNPYQMNQMSSAQNPGSVGTVQSAGQPAGSQLAQHQLAYQQLHHQQQQQLQQQLQTFWVNQYQKLDKVVDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIANETMPVGGPADALPYGYIPPQHVAQDGTPGMIIGRPMMDSAIYAQHSHSYMAQQMWPHQGSEEQQSPSGH >Manes.09G165000.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35851869:35855059:1 gene:Manes.09G165000.v8.1 transcript:Manes.09G165000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGHPPATGIMSTAGQMPYGTNPYQMNQMSSAQNPGSVGTVQSAGQPAGSQLAQHQLAYQQLHHQQQQQLQQQLQTFWVNQYQKLDKVVDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIANETMPVGGPADALPYGYIPPQHVAQDGTPGMIIGRPMMDSAIYAQHSHSYMAQQMWPHQGSEEQQSPSGH >Manes.09G165000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35851773:35857313:1 gene:Manes.09G165000.v8.1 transcript:Manes.09G165000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGHPPATGIMSTAGQMPYGTNPYQMNQMSSAQNPGSVGTVQSAGQPAGSQLAQHQLAYQQLHHQQQQQLQQQLQTFWVNQYQKLDKVVDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIANETMPVGGPADALPYGYIPPQHVAQDGTPGMIIGRPMMDSAIYAQHSHSYMAQQMWPHQGSEEQQSPSGH >Manes.09G165000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35852040:35856574:1 gene:Manes.09G165000.v8.1 transcript:Manes.09G165000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGHPPATGIMSTAGQMPYGTNPYQMNQMSSAQNPGSVGTVQSAGQPAGSQLAQHQLAYQQLHHQQQQQLQQQLQTFWVNQYQKLDKVVDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIANETMPVGGPADALPYGYIPPQHVAQDGTPGMIIGRPMMDSAIYAQHSHSYMAQQMWPHQGSEEQQSPSGH >Manes.09G165000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35851773:35857313:1 gene:Manes.09G165000.v8.1 transcript:Manes.09G165000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGHPPATGIMSTAGQMPYGTNPYQMNQMSSAQNPGSVGTVQSAGQPAGSQLAQHQLAYQQLHHQQQQQLQQQLQTFWVNQYQKLDKVVDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIANETMPVGGPADALPYGYIPPQHVAQDGTPGMIIGRPMMDSAIYAQHSHSYMAQQMWPHQGSEEQQSPSGH >Manes.09G165000.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35851869:35855059:1 gene:Manes.09G165000.v8.1 transcript:Manes.09G165000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGHGHPPATGIMSTAGQMPYGTNPYQMNQMSSAQNPGSVGTVQSAGQPAGSQLAQHQLAYQQLHHQQQQQLQQQLQTFWVNQYQKLDKVVDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIANETMPVGGPADALPYGYIPPQHVAQDGTPGMIIGRPMMDSAIYAQHSHSYMAQQMWPHQGSEEQQSPSGH >Manes.14G124700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9869858:9873378:1 gene:Manes.14G124700.v8.1 transcript:Manes.14G124700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPWKKAKVSRISRLVADLQPPRPNSLVVETGFPTSLVDLFVKNRDRLKKPIKKRKKQQHQHQQYSEPRVVEEVAISDPVGFSNSRELTVEPVIIDENCQSQDNLELQYNNVDRCEVLAREDLILDSNQSLMGCVVVDGNSGSNRKEKRLLFSVLKMFVVIALALSTTRIAVGITMSAFLLIFLEYVGQRPICWLKTCLLAFPTLIQRVLSLLRLRRDSKRERINAEEYASASDHCHLVESLESDSPVKEIQVGEPRLNMVGGVEANGKIENQTMYELLNHDKRWESEAGAVDEGGNLICEKEHSRSCKIRRKIFKKLMPKKFHTIRKGKKEEREADFSSEVSGCRGEDKLIKGEGTEQQYNFDHQGLVCKGKSTPWQLLEDEKEEEGERYRTEAKEEFEHRSSTSSQTVHTKPEMIELEEKMGTERGGNSGYLILFLIVLAGLVGGRVLALVITVASCFMVKLVWRRRKCEK >Manes.01G267700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42183037:42189794:-1 gene:Manes.01G267700.v8.1 transcript:Manes.01G267700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDVAEATAALSCVQCGKPAHLQCPKCMELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSSLGTGSTGEQDLAVLNEGWLYCLRRGQSRTPKLPHFDWTGKLRPYPISPTRVVPAHIDRPDWAVDGIPKIEPNSELQHIVEIKTPDQIQRMRETCRIAREVLDAAARIIRPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASRQLVQCTYECLEKAISMVKPGIRFREIGEVINRHATMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINSGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLSSSPSVFPWLNA >Manes.01G267700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42184062:42189794:-1 gene:Manes.01G267700.v8.1 transcript:Manes.01G267700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDVAEATAALSCVQCGKPAHLQCPKCMELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSSLGTGSTGEQDLAVLNEGWLYCLRRGQSRTPKLPHFDWTGKLRPYPISPTRVVPAHIDRPDWAVDGIPKIEPNSELQHIVEIKTPDQIQRMRETCRIAREVLDAAARIIRPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASRQLVQCTYECLEKAISMVKPGIRFREIGEVINRHATMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINSGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLSSSPSVFPWLNA >Manes.01G267700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42183037:42189794:-1 gene:Manes.01G267700.v8.1 transcript:Manes.01G267700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDVAEATAALSCVQCGKPAHLQCPKCMELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSSLGTGSTGEQDLAVLNEGWLYCLRRGQSRTPKLPHFDWTGKLRPYPISPTRVVPAHIDRPDWAVDGIPKIEPNSELQHIVEIKTPDQIQRMRETCRIAREVLDAAARIIRPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASRQLVQCTYECLEKAISMVKPGIRFREIGEVINRHATMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINSGISLIFLIGERFCFC >Manes.01G267700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42184062:42189794:-1 gene:Manes.01G267700.v8.1 transcript:Manes.01G267700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDVAEATAALSCVQCGKPAHLQCPKCMELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSSLGTGSTGEQDLAVLNEGWLYCLRRGQSRTPKLPHFDWTGKLRPYPISPTRVVPAHIDRPDWAVDGIPKIEPNSELQHIVEIKTPDQIQRMRETCRIAREVLDAAARIIRPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASRQLVQCTYECLEKAISMVKPGIRFREIGEVINRHATMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINSGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLSSSPSVFPWLNA >Manes.01G267700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42183037:42189794:-1 gene:Manes.01G267700.v8.1 transcript:Manes.01G267700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSDVAEATAALSCVQCGKPAHLQCPKCMELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSSLGTGSTGEQDLAVLNEGWLYCLRRGQSRTPKLPHFDWTGKLRPYPISPTRVVPAHIDRPDWAVDGIPKIEPNSELQHIVEIKTPDQIQRMRETCRIAREVLDAAARIIRPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASRQLVQCTYECLEKAISMVKPGIRFREIGEVINRHATMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINSGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLSSSPSVFPWLNA >Manes.13G084400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14137179:14149647:-1 gene:Manes.13G084400.v8.1 transcript:Manes.13G084400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGESNFDYPRDDISQQPTYYSGSSMDDYYHQGEQPQQTTSSAGSSIDHHYQHRHEQPASFSGSSMDNNYQHSKHPTYIADNFNSLDQVISALRDAGLESSNLILGIDFTKSNEWTGRHSFHRKSLHAIGSTPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDATTHDKYVFSFYPDHRQCHGFEEALARYREIVPYLKLSGPTAFAPIIDAAIDIVENSSGQYHVLVIIADGQVTRSPDTPLGSYSPQEQATVNSIVAASQYPLSIILIGVGDGPWDAMQQFDDNIPQRAFDNFQFVNFTKIMSENTQTSKKEAAFALAALMEIPFQYRATQRLHYINRESSLAQMRSHPRTRPLPPPREVVDHDNAVKSIPTMRTFDAAEPTAPVEPVCPICLTNPKDMAFGCGHMTCKDCAATISTCPLCREPITTRLRLYT >Manes.13G084400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14137179:14149647:-1 gene:Manes.13G084400.v8.1 transcript:Manes.13G084400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGESNFDYPRDDISQQPTYYSGSSMDDYYHQGEQPQQTTSSAGSSIDHHYQHRHEQPASFSGSSMDNNYQHSKHPTYIADNFNSLDQVISALRDAGLESSNLILGIDFTKSNEWTGRHSFHRKSLHAIGSTPNPYEQAISIIGRTLSPFDEDNLIPCFGFGDATTHDKYVFSFYPDHRQCHGFEEALARYREIVPYLKLSGPTAFAPIIDAAIDIVENSSGQYHVLVIIADGQVTRSPDTPLGSYSPQEQATVNSIVAASQYPLSIILIGVGDGPWDAMQQFDDNIPQRAFDNFQFVNFTKIMSENTQTSKKEAAFALAALMEIPFQYRATQRLHYIKESSLAQMRSHPRTRPLPPPREVVDHDNAVKSIPTMRTFDAAEPTAPVEPVCPICLTNPKDMAFGCGHMTCKDCAATISTCPLCREPITTRLRLYT >Manes.18G095200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8793349:8798834:1 gene:Manes.18G095200.v8.1 transcript:Manes.18G095200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAYRNSSIEWKPSPVVALATSVDDSQVAAARDDGSLEIWLVSPGSVGWHCQLTIHGDHLSRVSSLVWCRVGLKGLPCGRLFSSSIDGSVSEWDLFHLKQKTVLASIGVSIWQMAVEPSRDPPSQKDIGSKHLGNGYLNDKHNDINDYPSSESEDDSDSDELHEQSIVQDPLVAIACDDGCVRIYTIPDSDELIYNKTLPRVSGRILSVTWSSDASKIYSGSSDGFIRCWDSKVGHEIYRITVGLGGLGSGPELCVWSLLALRCGTLVSADSTGSVQFWDSQHATLLQAHSSHKGDVNALAAAPSHNRVFSAGADGQVILYKLSSETVGSSEDLSAKMMKKWIYVGFVRAHTHDVRALTVALPISQEDPLPDEKVKRVGRRKRTIDFSYRKWAHLGVPMLISAGDDTKLFAYSAKEFTKFSPYDVCPAPQRVPIQLVLSTVFNQNSLLLVQGSYWLDILCVHMRSGIMPDIGPGPSRGHANTDLVARIKTKSSRKIICSTISNAGTLFAYSDHVKPNLFELKKHSGRTAWTVNKRLLPQRLPYAHSMVFSSDSSRLMIAGHDRRIYVVDVGSFELLHAFTPRHGEYDEKVSPAEPPITKMFTSGDGQWLAAVNCFGDLYIFNLETQRQHWYISRLDGASVTAGDFSPQNNNMLVITTSLNEVYAFDVEAKQLGEWSMRHKFVLPRRYQEFPGEVIGLSFLPLSNPPSVIIYSARCCSPNFIT >Manes.18G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8793349:8798833:1 gene:Manes.18G095200.v8.1 transcript:Manes.18G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAYRNSSIEWKPSPVVALATSVDDSQVAAARDDGSLEIWLVSPGSVGWHCQLTIHGDHLSRVSSLVWCRVGLKGLPCGRLFSSSIDGSVSEWDLFHLKQKTVLASIGVSIWQMAVEPSRDPPSQKDIGSKHLGNGYLNDKHNDINDYPSSESEDDSDSDELHEQSIVQDPLVAIACDDGCVRIYTIPDSDELIYNKTLPRVSGRILSVTWSSDASKIYSGSSDGFIRCWDSKVGHEIYRITVGLGGLGSGPELCVWSLLALRCGTLVSADSTGSVQFWDSQHATLLQAHSSHKGDVNALAAAPSHNRVFSAGADGQVILYKLSSETVGSSEDLSAKMMKKWIYVGFVRAHTHDVRALTVALPISQEDPLPDEKVKRVGRRKRTIDFSYRKWAHLGVPMLISAGDDTKLFAYSAKEFTKFSPYDVCPAPQRVPIQLVLSTVFNQNSLLLVQGSYWLDILCVHMRSGIMPDIGPGPSRGHANTDLVARIKTKSSRKIICSTISNAGTLFAYSDHVKPNLFELKKHSGRTAWTVNKRLLPQRLPYAHSMVFSSDSSRLMIAGHDRRIYVVDVGSFELLHAFTPRHGEYDEKVSPAEPPITKMFTSGDGQWLAAVNCFGDLYIFNLETQRQHWYISRLDGASVTAGDFSPQNNNMLVITTSLNEVYAFDVEAKQLGEWSMRHKFVLPRRYQEFPGEVIGLSFLPLSNPPSVIIYSARAMCLINLGMPLDREEDKALVKLHPSPLKKLQNNLINGRLKRKLKDCHTEAKHQKNFEFLAFRDPVLFIGNLSEKSILIIDKPWIDVVKGLDAPPVHRHIYGT >Manes.10G145800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31317176:31321068:-1 gene:Manes.10G145800.v8.1 transcript:Manes.10G145800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEYLRDPDDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFMQPDGA >Manes.11G061500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8614211:8614783:1 gene:Manes.11G061500.v8.1 transcript:Manes.11G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLAKTGLEPSLVYEYGLAFSHNELEEDDIGYFNHEFLQSMGISIAKHRLEILKLARKEKGASPHPMTRVLVAIKRTKRCLAKYIRAWSRREESALVVVPRPGYATRWRGAMLKRNKKLMLANQGRLLLTNGSPVVVSGPRLDSFSSPVVYDLHKEAKMDGDDDGYWSTGVEEIRWDTMFQNLKPT >Manes.16G018640.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1910201:1911295:-1 gene:Manes.16G018640.v8.1 transcript:Manes.16G018640.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLIFHNIFYNHFNLCCSKTVKNRSNRRLFDSINGPHYHKDNFEADAIQTSRSHTDLAFFNLSTISAATDNFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLIAKLQHRNLVKLLGCCIQEDERILIYEYLSNGSLDLFLFGEFSNFSIALLAS >Manes.08G012600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1412955:1415064:1 gene:Manes.08G012600.v8.1 transcript:Manes.08G012600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHRDHYLFFTAALIFVFLLLLLSCHAAQENEVEDEREFDYTPGSEKGPERWGELNKDWASCNSGNLQSPIDLSNRRVKVIRKSLRLKKNYKPFSSVLKNRGHDISLQWEAHNAGSIEINGNEYFLQQCHWHSPSEHSINGRRYDMELHMVHVNTDPNVKYNITVIGQLYKIGPPDVFLSKLLREISSMSDQKQEREMGVIDPRKIKMGGKKYYRYLGSLTVPPCTEAVIWTINSKIRTVSEDQVKALREAVHDHAERNARPVQPINKREIKLFGAEG >Manes.08G012600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1412955:1415065:1 gene:Manes.08G012600.v8.1 transcript:Manes.08G012600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHRDHYLFFTAALIFVFLLLLLSCHAAQENEVEDEREFDYTPGSEKGPERWGELNKDWASCNSGNLQSPIDLSNRRVKVIRKSLRLKKNYKPFSSVLKNRGHDISLQWEAHNAGSIEINGNEYFLQQCHWHSPSEHSINGRRYDMELHMVHVNTDPNVKYNITVIGQLYKIGPPDVFLSKLLREISSMSDQKQEREMGVIDPRKIKMGGKKYYRYLGSLTVPPCTEAVIWTINSKVLSIKLPTFTNLIYFDFFASK >Manes.03G095300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16475156:16494734:1 gene:Manes.03G095300.v8.1 transcript:Manes.03G095300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKDFEDDEIPTSTRNSQLLRIAFVEPENEVHHIPTKKLAPEIPTPQFVIVDTYERDYARTFAQPTSYLRARGARAELGDFVEYDLDNEDEDWLQDLNKDRKNLSPERFESFIFKLEVLDHKARERAGVITPTLGSPIPVLLQLDYAIEALQAQAQSTRYAVFQSVFNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALIKREEKKREAMESEVSLQRIQMKYKHETELLEDSLALPGFASLSSKFASSEDEFVDSDDLANSRPRAQPAAAQNPPLTDAIRTGPAGSMKQEFRRRHTPYNWLNKMDPLEPVLLFTKPLVPEKLAASGIVPPVDSSKNCSSAAPYRFHGRIGRGGRIVFDRRNPFLQTSIDVGNSFYLPPRPRPSVYN >Manes.03G095300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16470850:16494723:1 gene:Manes.03G095300.v8.1 transcript:Manes.03G095300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKDFEDDEIPTSTRNSQLLRIAFVEPENEVHHIPTKKLAPEIPTPQFVIVDTYERDYARTFAQPTSYLRARGARAELGDFVEYDLDNEDEDWLQDLNKDRKNLSPERFESFIFKLEVLDHKARERAGVITPTLGSPIPVLLQLDYAIEALQAQAQSTRYAVFQSVFNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALIKREEKKREAMESEVSLQRIQMKYKHETELLEDSLALPGFASLSSKFASSEDEFVDSDDLANSRPRAQPAAAQNPPLTDAIRTGPAGSMKQEFRRRHTPYNWLNKMDPLEPVLLFTKPLVPEKLAASGIVPPVDSSKNCSSAAPYRFHGRIGRGGRIVFDRRNPFLQTSIDVGNSFYLPPRPRPSVYN >Manes.03G095300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16470850:16494723:1 gene:Manes.03G095300.v8.1 transcript:Manes.03G095300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPIVKSVKDFEDDEIPTSTRNSQLLRIAFVEPENEVHHIPTKKLAPEIPTPQFVIVDTYERDYARTFAQPTSYLRARGARAELGDFVEYDLDNEDEDWLQDLNKDRKNLSPERFESFIFKLEVLDHKARERAGVITPTLGSPIPVLLQLDYAIEALQAQAQSTRYAVFQSVFNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTILEALIKREEKKREAMESEVSLQRIQMKYKHETELLEDSLALPGFASLSSKFASSEDEFVDSDDLANSRPRAQPAAAQNPPLTDAIRTGPAGSMKQEFRRRHTPYNWLNKMDPLEPVLLFTKPLVPEKLAASGIVPPVDSSKNCSSAAPYRFHGRIGRGGRIVFDRRNPFLQTSIDVGNSFYLPPRPRPSVYN >Manes.12G039400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3442789:3449036:1 gene:Manes.12G039400.v8.1 transcript:Manes.12G039400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLNVTPQCHGEACWNPSNFRCFLDQAAVQLQARLDSMTAPFTQSQPPQMHMFNPSVAPALPPAYQASASGPHGVPLPRHHGHHHHRHRVKPVVVTPSPSKDQSCDQVCIEPLTAAPFGSPCGCVFPMKVRLLLDVAPYSIFPVMDQLEIEVAAGTYLEQSQVKIMGASADSQNQGKTVVDINLVPLGDKFDNTTAILTYERLWHKKVPLNKTLFGDYEVLSISYPAGIPSSPPYPNYMGSGPSGSAGDLPISAHFVSKSQKMNLRTIAIIALSAFVVLLVFIGAVFIFIRWRNFGRPSSAVGPTFTSSINKRSGIGSFLSGSIASSTSMSLMSTMATCMLSVKTFSYTELEKATEKFSSKRILGEGGFGRVYCGIMEDGSEAAVKVLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPNGSVESHLHGFDKRSGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEEDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQRPGEENLVTWARPLLSSREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTNRPFMGEVVQALKLIYNDMDETCGDYCSQKDSSALDSDIKGDLAPSDSSWWNAAGVSPRITYGQASSFITMDYSSGPLDETENRPFSASSLFGDRLSLAVKQENRSGPLRTVRSKPAFYRLRGSMSEHGGLLSRRTSNDGYWV >Manes.12G039400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3442677:3449036:1 gene:Manes.12G039400.v8.1 transcript:Manes.12G039400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFSRPMLMFLCLLSFVFTCLARLDSMTAPFTQSQPPQMHMFNPSVAPALPPAYQASASGPHGVPLPRHHGHHHHRHRVKPVVVTPSPSKDQSCDQVCIEPLTAAPFGSPCGCVFPMKVRLLLDVAPYSIFPVMDQLEIEVAAGTYLEQSQVKIMGASADSQNQGKTVVDINLVPLGDKFDNTTAILTYERLWHKKVPLNKTLFGDYEVLSISYPAGIPSSPPYPNYMGSGPSGSAGDLPISAHFVSKSQKMNLRTIAIIALSAFVVLLVFIGAVFIFIRWRNFGRPSSAVGPTFTSSINKRSGIGSFLSGSIASSTSMSLMSTMATCMLSVKTFSYTELEKATEKFSSKRILGEGGFGRVYCGIMEDGSEAAVKVLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPNGSVESHLHGFDKRSGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEEDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQRPGEENLVTWARPLLSSREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTNRPFMGEVVQALKLIYNDMDETCGDYCSQKDSSALDSDIKGDLAPSDSSWWNAAGVSPRITYGQASSFITMDYSSGPLDETENRPFSASSLFGDRLSLAVKQENRSGPLRTVRSKPAFYRLRGSMSEHGGLLSRRTSNDGYWV >Manes.12G039400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3442650:3449036:1 gene:Manes.12G039400.v8.1 transcript:Manes.12G039400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLNVTPQCHGEACWNPSNFRCFLDQAAVQLQARLDSMTAPFTQSQPPQMHMFNPSVAPALPPAYQASASGPHGVPLPRHHGHHHHRHRVKPVVVTPSPSKDQSCDQVCIEPLTAAPFGSPCGCVFPMKVRLLLDVAPYSIFPVMDQLEIEVAAGTYLEQSQVKIMGASADSQNQGKTVVDINLVPLGDKFDNTTAILTYERLWHKKVPLNKTLFGDYEVLSISYPGIPSSPPYPNYMGSGPSGSAGDLPISAHFVSKSQKMNLRTIAIIALSAFVVLLVFIGAVFIFIRWRNFGRPSSAVGPTFTSSINKRSGIGSFLSGSIASSTSMSLMSTMATCMLSVKTFSYTELEKATEKFSSKRILGEGGFGRVYCGIMEDGSEAAVKVLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPNGSVESHLHGFDKRSGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEEDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQRPGEENLVTWARPLLSSREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTNRPFMGEVVQALKLIYNDMDETCGDYCSQKDSSALDSDIKGDLAPSDSSWWNAAGVSPRITYGQASSFITMDYSSGPLDETENRPFSASSLFGDRLSLAVKQENRSGPLRTVRSKPAFYRLRGSMSEHGGLLSRRTSNDGYWV >Manes.12G039400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3442648:3449036:1 gene:Manes.12G039400.v8.1 transcript:Manes.12G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFSRPMLMFLCLLSFVFTCLARLDSMTAPFTQSQPPQMHMFNPSVAPALPPAYQASASGPHGVPLPRHHGHHHHRHRVKPVVVTPSPSKDQSCDQVCIEPLTAAPFGSPCGCVFPMKVRLLLDVAPYSIFPVMDQLEIEVAAGTYLEQSQVKIMGASADSQNQGKTVVDINLVPLGDKFDNTTAILTYERLWHKKVPLNKTLFGDYEVLSISYPGIPSSPPYPNYMGSGPSGSAGDLPISAHFVSKSQKMNLRTIAIIALSAFVVLLVFIGAVFIFIRWRNFGRPSSAVGPTFTSSINKRSGIGSFLSGSIASSTSMSLMSTMATCMLSVKTFSYTELEKATEKFSSKRILGEGGFGRVYCGIMEDGSEAAVKVLTRDNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVPNGSVESHLHGFDKRSGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEEDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQRPGEENLVTWARPLLSSREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTNRPFMGEVVQALKLIYNDMDETCGDYCSQKDSSALDSDIKGDLAPSDSSWWNAAGVSPRITYGQASSFITMDYSSGPLDETENRPFSASSLFGDRLSLAVKQENRSGPLRTVRSKPAFYRLRGSMSEHGGLLSRRTSNDGYWV >Manes.11G123400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28505401:28507961:1 gene:Manes.11G123400.v8.1 transcript:Manes.11G123400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWSLRMKFLGLNLWSLIALVVVLTLGLLMDVLLDGWERQLDGKHLLLLPQTEKHCGRGVDSTTSKQWKHEKQCGRPLGLRFDKKTGNLYIADAYYGLLVVGPEGGVAVPLATHAAGDPILFSNDLDIHDNGSIFFTDTSKRYDRANHFFILLEGEATGRLLRYDPPTKTTHVILDGLAFPNGVQLSKDQRFLLFTETTSCRLMKLWLEGPKAGRVELVANLPGFPDNVRINDKGQFWVAIDCCRTQAEEILTNNPWMRNIYFRIPMPMKLLARVMGMRMYTVISLFSENGEILEVLEDPRGAVMKLVSEVREVDGKLWIGTVAHNHIATLPYPYN >Manes.11G123400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28505401:28507961:1 gene:Manes.11G123400.v8.1 transcript:Manes.11G123400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSFLRREGLFLQHPLFFFLALVLGFVVMDPFKLGPIGRHDFRPVKHHIAPYRQVMDSWPRDQEGRLGNGKLEFEDEVFGPESLEFDSFGRGPYTGLADGRIVRWMGEAVGWETFAVVTTNWSEKHCGRGVDSTTSKQWKHEKQCGRPLGLRFDKKTGNLYIADAYYGLLVVGPEGGVAVPLATHAAGDPILFSNDLDIHDNGSIFFTDTSKRYDRANHFFILLEGEATGRLLRYDPPTKTTHVILDGLAFPNGVQLSKDQRFLLFTETTSCRLMKLWLEGPKAGRVELVANLPGFPDNVRINDKGQFWVAIDCCRTQAEEILTNNPWMRNIYFRIPMPMKLLARVMGMRMYTVISLFSENGEILEVLEDPRGAVMKLVSEVREVDGKLWIGTVAHNHIATLPYPYN >Manes.03G109300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23185775:23196887:1 gene:Manes.03G109300.v8.1 transcript:Manes.03G109300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAAVSGGGKVTSQAYLESMAVKDTRVLIADLCRQFYNLGWVSGTGGSITIKVHDDSIPKPQQLILMSPSGVQKERMEPEDMYVLAENGSILSSPSPKPYPNKPPKCSDCAPLFLKAYEMRNAGAVIHSHGIESCLVTMLKPLSKEFRITHMEMIKGIKGHGYYDELVVPIIENTAHENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLHQLGLDWSTPNHGPLQDARAILGSGINTSVKAGLKDSNHGTEPLPRCIVLDIEGTTTPISFVADVLFPYARDNVGRHLSATYETAETQEDIKLLHSQVEDDLAQGVDGAVPIPPDDTGKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGFEKNELEGVVFEDVPEALEKWHSLGIKVYIYSSGSRLAQRLVFGKTKYGDLRKYLSGFFDTAVGNKKETRSYVEISESLGVDTPSEILFITDVLQEAVAAKGAGLEVAVSIRQGNAPLPDNHGFKTVNSFAEI >Manes.03G109300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23185775:23196887:1 gene:Manes.03G109300.v8.1 transcript:Manes.03G109300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPAAVSGGGKVTSQAYLESMAVKDTRVLIADLCRQFYNLGWVSGTGGSITIKVHDDSIPKPQQLILMSPSGVQKERMEPEDMYVLAENGSILSSPSPKPYPNKPPKCSDCAPLFLKAYEMRNAGAVIHSHGIESCLVTMLKPLSKEFRITHMEMIKGIKGHGYYDELVVPIIENTAHENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLHQLGLDWSTPNHGPLQDARAILGSGINTSVKAGLKDSNHGTEPLPRCIVLDIEGTTTPISFVADVLFPYARDNVGRHLSATYETAETQEDIKLLHSQVEDDLAQGVDGAVPIPPDDTGKEEGHIWRTGFEKNELEGVVFEDVPEALEKWHSLGIKVYIYSSGSRLAQRLVFGKTKYGDLRKYLSGFFDTAVGNKKETRSYVEISESLGVDTPSEILFITDVLQEAVAAKGAGLEVAVSIRQGNAPLPDNHGFKTVNSFAEI >Manes.16G061300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24100486:24111136:-1 gene:Manes.16G061300.v8.1 transcript:Manes.16G061300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLKNHLFATHMIAAAGSVTLSTALTYPFDNIKVLIQVGSSSNKPLTLYQVLNRVQSLSGISGLYSGFGWLTSARILGMGARFGIYEVLTAFYKDGREDNYVYVSEALMAGVAAGSMESLISSPFELIKLRAQVASVSRFPRLISTAESKAVSPLIDKLLCGYSPDKVALNNSVALLSTLSAKHPNLVGALREYPWMMTGSGKAPSVCDVQKPSNIISLEGWGALWRGLRPGVVRDSVYGGIFFSTWQFLHRAMLDWKAVGMDPIPRSDEEIGPLSPLSIGLAAGFSGSVAAAASHCFDTAKSRSQCTVLPKYISMERRLLKWRRPGNWFERVTGIHPADRNLLFRGIWLRMTRSGLASCLIVGGYYLAVDHLVSE >Manes.16G061300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24101588:24112035:-1 gene:Manes.16G061300.v8.1 transcript:Manes.16G061300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLKNHLFATHMIAAAGSVTLSTALTYPFDNIKVLIQVGSSSNKPLTLYQVLNRVQSLSGISGLYSGFGWLTSARILGMGARFGIYEVLTAFYKDGREDNYVYVSEALMAGVAAGSMESLISSPFELIKLRAQVASVSRFPRLISTAESKAVSPLIDKLLCGYSPDKVALNNSVALLSTLSAKHPNLVGALREYPWMMTGSGKAPSVCDVQKPSNIISLEGWGALWRGLRPGVVRDSVYGGIFFSTWQFLHRAMLDWKAVGMDPIPRSDEEIGPLSPLSIGLAAGFSGSVAAAASHCFDTAKSRSQCTVLPKYISMERRLLKWRRPGNWFERVTGIHPADRNLLFRGIWLRMTRSGLASCLIVGGYYLAVDHLVSE >Manes.16G061300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24100229:24112056:-1 gene:Manes.16G061300.v8.1 transcript:Manes.16G061300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLKNHLFATHMIAAAGSVTLSTALTYPFDNIKVLIQVGSSSNKPLTLYQVLNRVQSLSGISGLYSGFGWLTSARILGMGARFGIYEVLTAFYKDGREDNYVYVSEALMAGVAAGSMESLISSPFELIKLRAQVASVSRFPRLISTAESKAVSPLIDKLLCGYSPDKVALNNSVALLSTLSAKHPNLVGALREYPWMMTGSGKAPSVCDVQKPSNIISLEGWGALWRGLRPGVVRDSVYGGIFFSTWQFLHRAMLDWKAVGMDPIPRSDEEIGPLSPLSIGLAAGFSGSVAAAASHCFDTAKSRSQCTVLPKYISMERRLLKWRRPGNWFERVTGIHPADRNLLFRGIWLRMTRSGLASCLIVGGYYLAVDHLVSE >Manes.16G061300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24100229:24112056:-1 gene:Manes.16G061300.v8.1 transcript:Manes.16G061300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLKNHLFATHMIAAAGSVTLSTALTYPFDNIKVLIQVGSSSNKPLTLYQVLNRVQSLSGISGLYSGFGWLTSARILGMGARFGIYEVLTAFYKDGREDNYVYVSEALMAGVAAGSMESLISSPFELIKLRAQVASVSRFPRLISTAESKAVSPLIDKLLCGYSPDKVALNNSVALLSTLSAKHPNLVGALREYPWMMTGSGKAPSVCDVQKPSNIISLEGWGALWRGLRPGVVRDSVYGGIFFSTWQFLHRAMLDWKAVGMDPIPRSDEEIGPLSPLSIGLAAGFSGSVAAAASHCFDTAKSRSQCTVLPKYISMERRLLKWRRPGNWFERVTGIHPADRNLLFRGIWLRMTRSGLASCLIVGGYYLAVDHLVSE >Manes.16G061300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24100486:24111137:-1 gene:Manes.16G061300.v8.1 transcript:Manes.16G061300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLKNHLFATHMIAAAGSVTLSTALTYPFDNIKVLIQVGSSSNKPLTLYQVLNRVQSLSGISGLYSGFGWLTSARILGMGARFGIYEVLTAFYKDGREDNYVYVSEALMAGVAAGSMESLISSPFELIKLRAQVASVSRFPRLISTAESKAVSPLIDKLLCGYSPDKVALNNSVALLSTLSAKHPNLVGALREYPWMMTGSGKAPSVCDVQKPSNIISLEGWGALWRGLRPGVVRDSVYGGIFFSTWQFLHRAMLDWKAVGMDPIPRSDEEIGPLSPLSIGLAAGFSGSVAAAASHCFDTAKSRSQCTVLPKYISMERRLLKWRRPGNWFERVTGIHPADRNLLFRGIWLRMTRSGLASCLIVGGYYLAVDHLVSE >Manes.16G061300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24101942:24105907:-1 gene:Manes.16G061300.v8.1 transcript:Manes.16G061300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQVSLFTIASCLNEFGQTLDLQALIHKVGVSITFVVDGREDNYVYVSEALMAGVAAGSMESLISSPFELIKLRAQVASVSRFPRLISTAESKAVSPLIDKLLCGYSPDKVALNNSVALLSTLSAKHPNLVGALREYPWMMTGSGKAPSVCDVQKPSNIISLEGWGALWRGLRPGVVRDSVYGGIFFSTWQFLHRAMLDWKAVGMDPIPRSDEEIGPLSPLSIGLAAGFSGSVAAAASHCFDTAKSRSQCTVLPKYISMERRLLKWRRPGNWFERVTGIHPADRNLLFRGIWLRMTRSGLASCLIVGGYYLAVDHLVSE >Manes.02G173500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13693187:13698334:-1 gene:Manes.02G173500.v8.1 transcript:Manes.02G173500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYWWTRTRNSPRVQRDNKQHNHEKKKEQEDKYRKKPKSFDETILFTNNSSRSSMDLKASSLSISGSSEFSGFDSDIGIEVAEKKAYPLPKPACSPEQLINFDKRLDSEAALLSNSSLSLSFSSSISSNDEGVDATVDDHGDFGSFRAVEETNHNSWLRTSSPGLKASTAQTSSPTPLLLESPSEKQDNRRHPCHPLPLPPASPNKSSQDMQSNWKKGKLIGRGTFGHVYAGFNSENGQMCAIKEVRIISDDQNSTECLKQLNQEIALLSELCHPNIVQYYGSKMVDNKLSVYLEYVSGGSIQRLLNEYGPFAEPVIRSYTKQILSGLAYLHKRNTVHRDIKGANILVDPNGEIKLADFGMAKHMKSNSSILSFKGSPYWMAPEVIMNNRSYNLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIANSAENPEVPTYLSEDAQNFVKICLHRDPSARPTAMQLLNHPFIQNQRNC >Manes.11G000300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:94593:100909:1 gene:Manes.11G000300.v8.1 transcript:Manes.11G000300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRTMEPDGINRRGEITIMQVREGDPRKKSWAEMLVMRTGAFCHHSRGNQP >Manes.08G004127.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:790786:793583:1 gene:Manes.08G004127.v8.1 transcript:Manes.08G004127.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGATETQEPSSPKDTCIGQVRVKRSKQAKTQPSKIKCFCKWVRNTLFCQHLNRATRRPKCTLLSWRKWVMFFKVGVRRESKIREDSSKVEPKFGNTSEDAGQESEVEDEENKMYVSSSISPPKNALLLTRSRSAPCRSSSVACRFWGSPLESEETEQN >Manes.08G135600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37240894:37247108:1 gene:Manes.08G135600.v8.1 transcript:Manes.08G135600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVVKVKRELIQTCMTCPLCKKLLRDATTISLCLHTFCRKCIYEKFSDEEVDCCPVCHIDLGCLPAEKLRPDHNMQDIRAKIFPFKRRKIKAPEVMPSIALPAKRKERSLSSLVVSTPKVPMQIGLTGRRSKASARKASAFQCSFTVDESSKKEDSAEEHPRSSSSPGSPNKILQNKRHKEEQEDGEEIIEGKADLWTPLNCLVEAANRSKSCKSNLQGISHAKSELLSAPGCEIQSPITKFRPESPNGHDMIYMPKTRNKERGQDMKVQDDKNLANPLHASVKRRRLTASRKRAMAEQLSASALVTLDAAGAKNNRRNCPIWFSLVASEDEKGDASLPQISACYLRIKWS >Manes.08G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37240894:37247108:1 gene:Manes.08G135600.v8.1 transcript:Manes.08G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVVKVKRELIQTCMTCPLCKKLLRDATTISLCLHTFCRKCIYEKFSDEEVDCCPVCHIDLGCLPAEKLRPDHNMQDIRAKIFPFKRRKIKAPEVMPSIALPAKRKERSLSSLVVSTPKVPMQIGLTGRRSKASARKASAFQCSFTVDESSKKEDSAEEHPRSSSSPGSPNKILQNKRHKEEQEDGEEIIEGKADLWTPLNCLVEAANRSKSCKSNLQGISHAKSELLSAPGCEIQSPITKFRPESPNGHDMIYMPKTRNKERGQDMKVQDDKNLANPLHASVKRRRLTASRKRAMAEQLSASALVTLDAAGAKNNRRNCPIWFSLVASEDEKGDASLPQISACYLRIKDGKMPVSLIQKYLVKKLDLRSEAEVELICRGQPVLPSLQLHNLVDLWFKTASTSKKVPTSVGSSAKDFVMVLSYCRKVQAP >Manes.12G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3105007:3119731:1 gene:Manes.12G035300.v8.1 transcript:Manes.12G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTASSRFRFREHHQQEDATESDVTSDISSSSGDIDEDSELESMTGKGIRRLCTELLEIKETSDEDFHRNIFASYSAFVGVFEEVKEMEKELMQLRTHVSMQRRLVNYLTDSEYLKVLSEETTESFTEELKCDEYAPQNELEAHISNVSDTLDMLLSENRAGDAIAILEMEQENFQEMQLEDGIPPDVLMLYNSAISERKAMLVLQLTLVAENSRITAPELQKALVGICRLGECHLANQLLLKYYHSRLATGINKLQSSKSFLQGLYNKELSKFVFSMISQAARSFVMLYGETSPYASEFTLWVQEEIEVFAVSFAKYVKSTSEVSGGLSAAVEAVQFAISYCSLLKAQRLVLQPCLVKHLRTCMEDVFYTHIGHFKKVISIFTASDAWVLGRYLVSGTLNKDCSYMVVGQQPEYCILTNSGRKFVTLLQAITKDVIPLNVLQMESYILFGLSNLFIEYIAILEKAITSKIDMSEKRGSRIILAESVPQQVSILANLSTLEHFFCSTVISIFKGINCGDSELTENQSVGSQQLEFDSCVTVIQQASAQLRSKFFQQFIDRVLTSEVCIRTHEIFVDGDTCSSLDNGLMPSAVFQVLFLELRKFDKLAEFNVFEADWLKELVRELIESIFVWISNNKEIWETKEESSIFQHSDVLNQFFLDMHFLEEITRYGEYFSKSPLVPATLMKSVFISAGFDHIRDDDDGWATNAAIKAIQRLLEIEETRSHTNDEIGNDLVEPPENHSDHANETLQDDARSSLEVFSASEEDLVAAGESEVAINNAEVTSNTEPNGLGWSSDAVKDEVYSIERSTTHLPDIFGEVNSTESENAECDKIHLAPETILPNLLLSVGTDAASEKGFPSEGIGSPRNSS >Manes.18G120601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12793443:12796334:-1 gene:Manes.18G120601.v8.1 transcript:Manes.18G120601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLATKVHQKEQNLNITNMSAQSPTIPLLTPYKMGKFDLSHRIVLAPLTRQRSYNNVPQPHAILYYSQRTTKGGLLISEATGVSDTAQGYTYTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIYHVGRVSNSGFQPNGQAPISSTNKPLAPRLKANGIDIAQFTPPMQLRTDEIPQVVNDFRIAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDEYGGSLENRCRFALEIVEAIANEIGADKVGIRLSPFADFMESGDSNPEALGLHMAESLNKYGILYCHMVEPRVKTTGEKSECPESLQPMRKAFKGNFLVAGGYGREDGNQAIAENLADLIVYGRIFLANPDLPRRFELNAPLNKYNRDTFYTSDPVIGYTDYPFLESTT >Manes.17G077833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27762140:27769602:-1 gene:Manes.17G077833.v8.1 transcript:Manes.17G077833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNAVPSSSQNHNTLLTDDRYEHIMNNLKASVFSKISPSGNIAPQLKTHIERRLHEFFPSFRTPSHPPYASMIQRGISELSNEEGSTQEEISAFIKREYGHLPWGHESFLSHHLGKLCGNMELACVNNERYILLVEEDCELKEMAGSSTKKEGCRGRQGRRKKGRVTKKKKKQGQAEKQQIEVLEDTLQAMELKRQKDQMTTLERGVQVGFNKQDEVQAIVTHNLGVVEEEKQLNITSPCEQKELICDLLSEQHPQPRILSNEQMEAKLHSVESPAFLEWHKGPEQQLDLSNMERSPKPKAPVNKDPQHHQDEQQPSSKPRGRGRPRKLKSYTDMIRNSLLPSSDQDYNEQQQQQSKRRGRPPKRKTDCY >Manes.17G006300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2025535:2026872:1 gene:Manes.17G006300.v8.1 transcript:Manes.17G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHGKFEVYLTERSIVKAVHHLPKPQVLALSNLDLLSGRFPVTYFYFFRNPQGVSFPIIIESLKNSLSQTLSYFYPFAGRIVENPHTSEPEIICDNSGALLVEGHANIPLIKLNFYNLDQCLKGKLVSINPDFPLQVQVTTYTCSAVSLTISFDHALGDASAFGKFLLSWSEVAQNKPLSCIPDHRRNLHPRCPPTYHPLLDQLFAKCTIEDILHMPMTNIMLKRLYHVDVSSINRLQHVACENGNKRTKIEAFSAYIWKILVTAIDKKHTKCKMGWLVDGRGRLCGTQSSMSNYIGNVLSLAVGEATVAELKQGSISDIATNVHDAISKVTNEKHFLDLIDWIECHRPGLMLANIVLGRGGPALVLSSGRRFPVSELNFGFGNPVLGTVCSTIEKIGVAYVNQRPSARGDGSWTVSAILWPQLASALESDTIFEPMSESHLQL >Manes.11G026200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2580062:2582426:-1 gene:Manes.11G026200.v8.1 transcript:Manes.11G026200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVYPSSKPPINGNATTTNPSFPATKAQLYGASRPAYLPQPQRKRSRRSCCCVCFFWVFFIIFVILLLAAIAGAIIYVIYRPHRPTFSVSGFKISSLNLTSSSHLTTNINMNITARNPNKKLVYIYNPVTIVVTTDKDDILIGNGLLPSFVHGTKNTTLLKATITSSGQQLDDASSSRLKTDLKSKNGLDLKIELETKVKLKMGGLKTPKIRIRVACDGIKATVPSGKTATTASVSKAKCKVDLRIKIWKWTF >Manes.10G051600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5828499:5829923:1 gene:Manes.10G051600.v8.1 transcript:Manes.10G051600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHCSPLSLAYFYQDEEIEELRHCLLYITELEATTFSAKEEITRRELEILHINDLLNRTIEERNEAQEECQKLMLEKLALQQQLGLKNQQEPHNLQNQQLQQQLLLQEEMQTLNQEAPPPLSGSSSSEDDESNNHIPSQFSDSILQQPKLILKLAADRPLPKKGKLLQAVKEAGPLLQNLLLAGPLPQWQHPPPQLDSIDIPPVIISSPTARLIHYDSFNNLNACFSKKRSLDLSESLPDSSSSSPNNKHQKLALH >Manes.09G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30937654:30943063:1 gene:Manes.09G106900.v8.1 transcript:Manes.09G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSTVLSISASNIHNRRVRTRSLLPPLSISGTRRRLAIRAAETDANEVKPQAPDKAPAGGGSSFNQLLGIKGAKQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFQWNLEDVAKSIVCMMMSGPFLTGYTQTLNDYYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLSLAGILDVWAGHDFPTVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGAETAKWICVGAIDITQISVAGYLLWSGKPYYALALLALIAPQIVFQFQYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >Manes.09G106900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30937654:30943063:1 gene:Manes.09G106900.v8.1 transcript:Manes.09G106900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSTVLSISASNIHNRRVRTRSLLPPLSISGTRRRLAIRAAETDANEVKPQAPDKAPAGGGSSFNQLLGIKGAKQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFQWNLEDVAKSIVCMMMSGPFLTGYTQTLNDYYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLSLAGILDVWAGHDFPTVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGAETAKWICVGAIDITQISVAGYLLWSGKPYYALALLALIAPQIVFQFQYFLKDPVKYDVKYQVNHIIPPFEDFPSTRMTLLK >Manes.11G097000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20354182:20356363:1 gene:Manes.11G097000.v8.1 transcript:Manes.11G097000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRFRELLKKYGKVALGVHLSVSAASITGLYIAIKNNVDVESFFDKWHLPGLSTDDSTVSNPPTQQQEQVKSDDGFVIEQRDNSKILQEVTKRNRTAELAASTGGALALAVLCNKALFPIRVPITIALTPPVARFLARRKIIKNNVPHFVGYYLASFVSMNAE >Manes.09G108500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31062443:31066334:1 gene:Manes.09G108500.v8.1 transcript:Manes.09G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPLFFWFPLLLLFGFHAVLGSAVCQSDQQSLLLQLRNSLKFNQSKSTRLVRWDSSADCCDWAGVTCDESGLGHVSGLNLSNESISGGLEKSRAFFSLQYLRSLDLSFNNFNTSLPAGFANLTRLISLNLSNAGFMGQIPIEIARMRELVTLDMSILYFPGVPTLKLEKPNLATLAQNLIMLRELNLDGVNISANGNEWCQALSSSLPNLQVLSLSNCFLSGPIDPSLSKLQSLRVIRLDGNNLSGPVPEFFANFSNLRILRLSNCNLRGTFPPEVFQVSTLEILDLSYNLELRGYLPDRLQNASLKTLVLSNTTFAGSLPHSIGRLGSLSRIELAYCKFNGSLPISMANLTELVYLDLTSNNFSGPIPSFGWSKKLVHIDLSHNQLSGEIPSIQSKGLWNLTYVDLRFNSLTGNIPSSLFTVPSLQKVQLSFNQFTGRIPNISSASSSLDTLDLSSNKLEGPIPRWVFDVSRLNVLLLSSNKFSGTIKLDWIQKLHKLTSLDLSYSNLTVDANVTRCSFPQMRTMKLASCNLRVFPDLRNQWKLIYLDLSDNQISGVVPPWIGEVGSGTLLHLNLSHNLLARLPEPLSLPTSLAVLDLHNNLLQGNIPLPPTSVTYVDCSNNNFTSSIPPNIGMSLSFTIFFSLSKNGLVGVIPQSICNASYLQVLDLSNNSLSGTIPPCLIERSKTLGVLNLRRNNFGGNVPDKFPSTCELKTLDLNENLLEGKMPKSLANCTKLEVLDLGNNKMNDVFPCLVKNISSLRVLVLRNNTFYGKIGCPKNHGPWPTLQIVDLASNNFSDVLPNKCLSTWEAMIGNGNEMHDRLSFEPLYLSGLYYQDSITVTSKGFQMELVKILTLFTSIDFSDNHFVGPIPDVIGKFSALYVLNLSHNALTGPIPPFLGNLSQLESLDLSVNQLNGTIPQELVDLTFLSFLNVSDNHLVGNIPKGNQFSTFENTSFRGNGGLCGPPLSKSCSSTNSLPPAGQTIHKRNGFDWQFLVPGMGFGVGAGAVVAPIIFWKKPNEWCDDRIDKILMVLLPMLGLVYYTSNDWRIAPEENFEEDSTNAEDEESEADESEDDIGGRFCVLCTKLDIARKRAIHDLKCTCYHSPPLSSSSSCSSLSSSASL >Manes.12G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10594445:10596094:1 gene:Manes.12G085600.v8.1 transcript:Manes.12G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPFFDKNGLKKGAWSPEEDYKLRTYIVRYGHWNWRELPKFAGLQRCGKSCRLRWMNYLRPGVKHGKYSKEEEDLIIKLHNQLGNKWSRIAAELPGRTDNEIKNHWHTHLKKRSKESQRESGLKEKYSIEQSSETSQLNGDLKVESFVPNTPSHAILESFPLSPAPSSSEISHWTSDSNLATLSSTSTSSDWIAAEDSLPSFETFENTSEDFWTQPFVADQDGYKFPMLDEGLISSFLTSYEDSIDLFYKVMQELPGN >Manes.13G088960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22964476:22966772:1 gene:Manes.13G088960.v8.1 transcript:Manes.13G088960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVHYIKCLIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.02G205200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17854784:17857243:-1 gene:Manes.02G205200.v8.1 transcript:Manes.02G205200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEATGMDDMFLCVVLALLFLLLALNFCLRKCMQYRNLPPSPPALPIIGHLHLVNLPLHRSLDALSLKYGPIISLRFGSRRVMVISSPSAVEECLTTNDIVFANRPPLTIQKYVGYNNTTLATASYGDHWRNLRRISSLEVFSSSRLNAFKDIRRDEIKIFLKKLYSVSSHDFAKVELKPMLTELTFNIIMRMVAGKRYYGEEVAGKDKAEAEQFREMIAEVFAYAGASYLGDFLPFLKWIDYQGFVKRMMRLAKRTDRFLQNLIDEHRRDDKTRPERRKDTMIGHLISMQESQPEYYTDEVIKGLVLDIVFGGTESSAVTLEWAMSNLLNHPQVLEKGKDELDSHIGEESLMDESDISKLPYLQNIITETMRLHPAGPLLIPHLSSQECSVGGYHVEADTMLLVNVWAIHRDPEFWEDASEFKPERFESNAGQGSEAYKYLPFGLGRRSCPGMGLANRVVGFALGSMIQCFEWKRVSDQEIEMSEGRGLTMPKAEPLEALCKARNNMKNVLSSSFQS >Manes.16G057275.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:20813864:20814431:-1 gene:Manes.16G057275.v8.1 transcript:Manes.16G057275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVFEMTDLGEMTFFLGLEVHQTSHGTFICQEKYANKVLKKFGMENCSSINTPLAQNEKLSKEDDSEKIDSRIYRSVIGCLLYLAASRPDIMFITSQLSRFMHNPSQRHFKATKRVLRYVKGTSNLGIWFKASQEVKLIGYTDSDWGGSVDDMKSTSRFMFSIGSGAVSWSSRKQEVVAQCTAEA >Manes.08G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35677628:35682726:-1 gene:Manes.08G117200.v8.1 transcript:Manes.08G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVEDSSFEDDQLASMTTEDILRASRLLDNEIRILKEELQRTNLELDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >Manes.06G073300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20921437:20933680:-1 gene:Manes.06G073300.v8.1 transcript:Manes.06G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSASAPPSPALFLCATSTRSLSGRLNHSPYPLFRSNRPTILRLSASVAGNHLDLSWFSPAITIIYYLCSRISGFSTILLAGGTCTIALIAAIAYFSLSREDYFNIGDSDENAVGAEQSSGSMPEESGETITSVYVQKLERVKVPVPVDSAQLESLLIIEDYARAEELCTRREYARWLVRLSSLLERNPKHRIVPSMLLSGSVVAAFDDVSVEDSDFDSIQALAEAGIASTNNYCSDSSKGDLSSCFYPDRYGCIDLINWKAQLEYIFMSRIIEQMSRIKVDYMDVKDISSDASPELLIDMLAGDKGIIRKVFGQSRRFQPNKPLTKAQAAVALTSGRMTETVNNEILRLEAENSSRQVAMKEIRSEVLDKGDIERFWDEKMKEERARGLEIQNLYIAALRDLEFEKSVEEKALADHLKGKAAMDCQRQLLLGLKEEVDEMSERLTSERSTYVDEQCNLQELLSELQTKQEVMRDKKSVAEAEIEALRILRSWVEDEARKCQARAEVLEEIGRRWKPDNQA >Manes.09G161700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35631097:35632968:-1 gene:Manes.09G161700.v8.1 transcript:Manes.09G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKPTRLSQPLKQDVSQVNSHSHDMTRQPSTMEDTEEKLQNLKSLNSMLLKETLERRQQVESLMQAKEGLESQLARTGAEMGDLENLLTRATEDRLSLEIENTLFCVVIRMRMNEMGVVVEGLVNEKAEKENEIGFLKTEVNGILANLENEREKSSRVCRERDLLRFDMDNWEKEANRLKEKIIEMVEKEIKTEEEIEKLKIHYAKSIEQNKKTEEEIEKVKDLRDLAEKKLAEKVKEIEGLNREMKEIVRKNTGIEMENSEQKLKITELEKDASELNEIILSLRKEEGVLREKVLELEKSCYEAIEKAKVIAMEFDALMDEKQNKERTIESLMEQTDSSDKLIKTLKFEVKEKDGLIEKLMRKKVELDDVKLSKESEIVKLHEELAGFRDAMFAMQESIKNHEDKNKQLAYEVNHHRDAFERVRVERENTQTNLDEEKKNTINLKAKVLEMEKRIEETLDEFAKVKNERENLLEQKKEMECQVDLLKKEKDLVQRNLYEAQQEINDLRTKMESTTINSERALSMLKNASALICRSDDGEEEVTITQKKLDGVTEPYATELESIKSAFRKKETVVEEMKQQLKFLQNSLTDAHKKKGLWAIVSSATTFLAAVSVAYAARVR >Manes.04G055820.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:16497416:16498851:-1 gene:Manes.04G055820.v8.1 transcript:Manes.04G055820.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNFDPHIKHKIRYCKVRIIRVLTISDWGQEPHKSKDISLSHGQVTKFNYYDYQTAWERTFLKQNDQLSISFFFYISDDFSYPIPYWFHQWWNKFGLDLTIIPEPIVSAQDQFFENSQLPENILLSPKWLIYSHLFHIPWIYMSEYQIKDYTLNNFQIPNLVRKHKIKWWPKTDLANCGPKAVDHFFNSQPQYAKKLSPMQVTKQETFFARKQQMMAQMAKCVSEEEYDKLIEEIKETRSSVSSPVDLSIDNDDFFTQAEP >Manes.03G073416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12029627:12031133:1 gene:Manes.03G073416.v8.1 transcript:Manes.03G073416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVECSSRRHSVAARHRRHCLSRPFNFEEGKPKGSQPKLHLNPHSWSKVSNIIYLDSPCGVGLSYSINTTKYITGDQQTAVNSHAFLLKNLSKIYFIFLESLMLEFTCLPLHLR >Manes.10G136000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30333459:30335285:1 gene:Manes.10G136000.v8.1 transcript:Manes.10G136000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATIDDLLAMQACNLLCLPENYQMKYYFYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMSAAQTAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQIHHHGHHHHHHHHGGGCCAGDTKPVEARADTKSEAKAGTKSESKAG >Manes.10G136000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30333348:30335285:1 gene:Manes.10G136000.v8.1 transcript:Manes.10G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATIDDLLAMQACNLLCLPENYQMKYYFYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMSAAQTAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQIHHHGHHHHHHHHGGGCCAGDTKPVEARADTKSEAKAGTKSESKAG >Manes.05G169300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28312669:28314687:1 gene:Manes.05G169300.v8.1 transcript:Manes.05G169300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIRLRFLLSFPLFFLFQFVQFHTLPFALAFALLHPNDTHILQGVLKEISKRRNWDFEGITTSKLQVAKVRFGTAQRYEFRIRFGKNDLILKFPDEVSSWNKLNRKRLDFGNFIREVGSTAVLDTFKVEGPFDLRVGGQEDLSLLLPLNFSHSGLKRILVGEGITVEVKGAQELSLFHTFDHSFIVNGSFKISKWKTGFCSFWQSLCMPLLPIHVIGSASLIAYRTGNYDSPVKTKFLSEGTIELLPAKCYSNNEYKNNVRLNHSLSLKINMLGKSLRSFLSNRMGRNWVSGSLRANAKASIIICFQLEIEKNVGINETFHDVLEDWRTTPTVERVLFEVRARIEAEKLRLLMVKKVRPFIAVDSVSWSNLMSNISFTKFPSILVPPESLTLGVKW >Manes.03G081800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15035109:15040201:-1 gene:Manes.03G081800.v8.1 transcript:Manes.03G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMASANFLLQSKSKVLESQSFTFGSDATPVHIIYQKTKRRSSSYKTIVVPRAAPVTTIEDESYSESDTIPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVLKANVFLDSSGKHNKFAITKADTGRKVEDPELLEAIRLTIINNLLQYHPESSSQLAMGVAFGVEPPKQQVDVDIATHISVSDDGPDRSLLYVETADRPGLLVDLVKIITDIDIAVESGEFDTEGLLAKAKFHVSYKGKAIIKPLQQVLANSLRYFLRRPTTEEGSF >Manes.09G118425.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32136122:32136397:1 gene:Manes.09G118425.v8.1 transcript:Manes.09G118425.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLAFCFGSWLCDGCCGCLLKIDCGVSATGGSGTVGRWWKSIYGLSGLGFYFFIYFR >Manes.09G118425.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32136224:32136397:1 gene:Manes.09G118425.v8.1 transcript:Manes.09G118425.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFCFGSWLCDGCCGCLLKIDCGVSATGGSGTVGRWWKSIYGLSGLGFYFFIYFR >Manes.09G118425.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32132516:32136477:1 gene:Manes.09G118425.v8.1 transcript:Manes.09G118425.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLAFCFGSWLCDGCCGCLLKIDCGVSATGGSGTVGRWWKSIYGLSGLGFYFFIYFR >Manes.09G118425.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32132537:32136477:1 gene:Manes.09G118425.v8.1 transcript:Manes.09G118425.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLAFCFGSWLCDGCCGCLLKIDCGVSATGGSGTVGRWWKSIYGLSGLGFYFFIYFR >Manes.13G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7010183:7011960:-1 gene:Manes.13G060400.v8.1 transcript:Manes.13G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVAALKQRWQEFAFNPVLVFSLLLLSFVHLFRLSRTRKLKLPPSPPKLPIIGNLHQLGAFPYRSLKKLSDKYGPLMLMHFGGVPTLVVSTAEMAYEITKNHDVTFASRPKTSAGDVLFFGRQDIAFCPYGEYWRQVKKVCVLELLSQKRVQCFEFVRREETAKLVEKLHDACNEGSPVNLSEMLVTISNNIISRSALGTVYDNESGLQSSSGDLVRLTIDLMGSFSFKDSFPYLGWLDVLTGFSRKVKKTCKELHGFLDQVIEEHQESKSQSNVEDTNDIVDILLHLEKNGMLSANFTRESMKAILVDMFIAGTDTTATTMDWTMAELMKNPNIMKKAQEEVRRVVGNRLKVDESDLDQMTYLKCIVKETLRHHSGMLPRQTTTRSELAGYDIPPNTTVLINMWGIQRDPRLWENPDDFIPERFIDNPVDFNGKDKKYIPFGFGRRLCPGISFAVKEVEYVLANLLFLFDWKLPDGQGPEDLDMREVFYLVNRKKIPLMIMPTEH >Manes.09G086083.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25648657:25650098:1 gene:Manes.09G086083.v8.1 transcript:Manes.09G086083.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSMNETDEVVQMQEETLEHTPQALGGQANASSSSSVRIRDSIVIRSITNDIKMCYTAPWKTWSEIPLKTKDKLFKLFRSRYVWDASEEDIVICRVRSELLRKHKKTDVAYLYNLGPDWMETEIWNELVAYWSTPEWRKKSEAGKTYRNVEKHGTITKHSGGLIKLEVHENRLAKKLGRQPTQLELFRATHTKKGSQCVFIDGKSRQVDSAFDLNKWIEISGSSKGRVYGFGSSDIAKSGTPTTSFSCTSAHPEGPSQTMFSLEEVEQILEQNRIKMKQDMEQMQE >Manes.01G269900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42328375:42332587:1 gene:Manes.01G269900.v8.1 transcript:Manes.01G269900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPYRRIPNSKLNPNPNPNPRSLPASKRSKALSLPDVWFKHQSLKHATLKMHLRSLSASPPSDTDFSFLSGLKSVTLDPPGPDHTALLSDELLLQIFSRLPISCYISNSLVCKRWLYLHGRLVQSLKLTDWSFLKSGRIFRRFPNLTEIDIVNACVRTPLNSGILVTHKNLSIHVGTEFAGTEFIEPIYLLPSDLVDSGLEMIAKGYPNLRRIFAIGASETGLSSISNECDTLQELQLHCCGDLSLKGISRCRNLQVVKLIGRVDEFYNSVVSDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTLFDHRMDGGWLAALSFCGNLKTLRLQSCKSIDSDPGPDEHLGSCPTLEELHLQQCQMRDKPGVKALFLVCEAVREIVLQNCWGLEDEVFAFASVCRRVKLVSLEGCSLLTTGGLEAVIVNWKELQRLKVISCNKIKDSEISPALASLFSVLKELKWRPDSRFLLSSCLAGTGVGSKGGRFFKGLKG >Manes.01G269900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42328670:42332586:1 gene:Manes.01G269900.v8.1 transcript:Manes.01G269900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPYRRIPNSKLNPNPNPNPRSLPASKRSKALSLPDVWFKHQSLKHATLKMHLRSLSASPPSDTDFSFLSGLKSVTLDPPGPDHTALLSDELLLQIFSRLPISCYISNSLVCKRWLYLHGRLVQSLKLTDWSFLKSGRIFRRFPNLTEIDIVNACVRTPLNSGILVTHKNLSIHVGTEFAGTEFIEPIYLLPSDLVDSGLEMIAKGYPNLRRIFAIGASETGLSSISNECDTLQELQLHCCGDLSLKGISRCRNLQVVKLIGRVDEFYNSVVSDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTLFDHRMDGGWLAALSFCGNLKTLRLQSCKSIDSDPGPDEHLGSCPTLEELHLQQCQMRDKPGVKALFLVCEAVREIVLQNCWGLEDEVFAFASVCRRVKLVSLEGCSLLTTGGLEAVIVNWKELQRLKVISCNKIKDSEISPALASLFSVLKELKWRPDSRFLLSSCLAGTGVGSKGGRFFKGLKG >Manes.01G269900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42328445:42332587:1 gene:Manes.01G269900.v8.1 transcript:Manes.01G269900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPYRRIPNSKLNPNPNPNPRSLPASKRSKALSLPDVWFKHQSLKHATLKMHLRSLSASPPSDTDFSFLSGLKSVTLDPPGPDHTALLSDELLLQIFSRLPISCYISNSLVCKRWLYLHGRLVQSLKLTDWSFLKSGRIFRRFPNLTEIDIVNACVRTPLNSGILVTHKNLSIHVGTEFAGTEFIEPIYLLPSDLVDSGLEMIAKGYPNLRRIFAIGASETGLSSISNECDTLQELQLHCCGDLSLKGISRCRNLQVVKLIGRVDEFYNSVVSDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTLFDHRMDGGWLAALSFCGNLKTLRLQSCKSIDSDPGPDEHLGSCPTLEELHLQQCQMRDKPGVKALFLVCEAVREIVLQNCWGLEDEVFAFASVCRRVKLVSLEGCSLLTTGGLEAVIVNWKELQRLKVISCNKIKDSEISPALASLFSVLKELKWRPDSRFLLSSCLAGTGVGSKGGRFFKGLKG >Manes.01G269900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42328375:42332586:1 gene:Manes.01G269900.v8.1 transcript:Manes.01G269900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPYRRIPNSKLNPNPNPNPRSLPASKRSKALSLPDVWFKHQSLKHATLKMHLRSLSASPPSDTDFSFLSGLKSVTLDPPGPDHTALLSDELLLQIFSRLPISCYISNSLVCKRWLYLHGRLVQSLKLTDWSFLKSGRIFRRFPNLTEIDIVNACVRTPLNSGILVTHKNLSIHVGTEFAGTEFIEPIYLLPSDLVDSGLEMIAKGYPNLRRIFAIGASETGLSSISNECDTLQELQLHCCGDLSLKGISRCRNLQVVKLIGRVDEFYNSVVSDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTLFDHRMDGGWLAALSFCGNLKTLRLQSCKSIDSDPGPDEHLGSCPTLEELHLQQCQMRDKPGVKALFLVCEAVREIVLQNCWGLEDEVFAFASVCRRVKLVSLEGCSLLTTGGLEAVIVNWKELQRLKVISCNKIKDSEISPALASLFSVLKELKWRPDSRFLLSSCLAGTGVGSKGGRFFKGLKG >Manes.07G020700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2308446:2309220:1 gene:Manes.07G020700.v8.1 transcript:Manes.07G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIIPINDPNTVVLFSKLLTKTDLELQLIVPSDVLQRYPILDQNGHVSKFIISFDKNGKRWEFPLATRNTGPHPKPTVPPASWHPFVAEYGLRAGDSVLFYTRRDDLPDKIQVRGLRKTILFRGEESWVEV >Manes.16G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2663900:2671028:-1 gene:Manes.16G025200.v8.1 transcript:Manes.16G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRNRGSTGTFSDSLDDDVPENPFRDSFTLSSSQENPSQDLYSLPFSSEESSSLWPSLDPDPYNFNSSQENAFSNGVVSRKSKKPRNGKLDRPAPAGKSSNSKSLVPVTSTLMEAQEFGEMMEHVDEVNFGLDGLRKGQPVRIRRASLSSLLSICGTTQQRRLLRTQGLAKTIIDAILGLSFDDSSSNLAAATLFYVLMGDGQDDHLLESPSCIRFLIKLLKPVISTAAEDKTRNIGNKLLSLRKDSDILRDTTKLVDSSSADIVSKVQRILVSSKDLNSYSEDDNGMERPELSPKWIALLTIEKACLSKISFEDTSGMIRKTGGNFKEELRELGGLDAVFEVALKCHSVMETWKGRASATIQDAREESGLQSLVLLLKCLKIMENATFLSKDNQSHLLGMKRHLDSHGYQFSFTKLIISVIKILSGLYLLKSAARVSNNGKHCSLSDGSNHASDSALITEYKVSADAISADAISRNCSGAERTSSKKNFNPSQKSISQFSFSASSSESSSTFMNDACQLRMRVHSSTSSSCSGNGTLRRSTNSGTPTTSNELRTRFGLPERTNCTKITKCELLEDDQDPYAFDEDEFQLTKWDLLSGRKKKSRPRNRRAISKDIEDEYQCQLTALEESSNGANYQQKSRQLEHHPSQENSHVSAAEKEYSTLLADCLLTAVKVLMNLTNDNPIGCEQIAACGGLETMSSLIAGHFPSFSSPLPSFSEIKEDASGVELENQNDNHLTDQELDFLVAILGLLVNLVEKDGHNRSRLAATTVSLPSSEGLHEENRRDVIPLLCSIFLANQGAGDASGEGNTAEWNDEAAVLQGEKEAEKMIVEAYSALLLAFLSTESKSIRDSIAHCLPNQSLAVLVPVLERFVAFHLTLNMISPETHKAVSEVIESCRIP >Manes.01G089700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29131515:29136868:-1 gene:Manes.01G089700.v8.1 transcript:Manes.01G089700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFHEHIITDLLEDPAGGLVILSSGLSLPKLLSSLLLLHHPSQGTLLILSTSPSLKSQILYHLKTHHHYSPENHQITEITAELPSHYRLSLYSSGQICFITPRILIVDLLTNKIPVTSLSGLILLNVHSLSETSTEAFIARIVKTLTQSIYIRAFTDKPQAMVAGFSKTERILKSLYIKKLHLWPRFQVYVSEELERAPPEVVDVRVPMTKYMVGIQKAIVEVMDACLKEIRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHILGKKTKQLVSDLKTLRKLLDYLVRYDAVSYLKYLDTLRVSESFRSVWIFAESSFKIFDYAKKRVYRLARSNDAKVNEPSKSTSGKKRKLKRDDDNEEKVVDGASSTGKNGGVILEEVLEAAPKWKVLREILEEIEEERQKQALPREDLVESEEVDSGIVVVACKDECSCMQLEDFIANGPQKVLREEWQKYLLSKVELRGLPMPQKKKPKPRESKGFGILDGVVPVTATHNAEPTSVNKLEHDALFAAASEIRNQCRRDYIVEDEPQVLVDSKRQKGRGKGQNKRGQRKNAQNSGNKVDDANNKVAASDKPEISSSEHKGQTADNDSAVTDGYPENKLKGAREILRRHTQQPGFSYSNVKQIPPVYFYAQESDQPILDILKPSVIIVYHPDMTFVREIEVYKAENPSKNLKVYFLFYEDSTEVQKFEASISRENGAFESLIRQKSLMMIPVDQNANSLGLNSSMESQPSSSQNSITRRAGGRKEVEKEMQVIVDMREFMSSLPNVLHQKGMRIIPVTLEVGDYILSPLICVERKSIQDLFMSFTSGRLYHQVETMVCYYRIPVLLIEFSQDKCFSFQSASDIGEDVTPTSIISKLSLLVMHFPRLRIIWSRSLHATAEIFASLKANQDEPDEAKSIRIGVPSEEGIVENDVRAENYNTSAVEFLRRLPGVTDSNYRAIMDGCKSLSELALLPVERLTELMGGQKAARTLRDFLDAKYPTLL >Manes.01G089700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29131515:29136868:-1 gene:Manes.01G089700.v8.1 transcript:Manes.01G089700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFHEHIITDLLEDPAGGLVILSSGLSLPKLLSSLLLLHHPSQGTLLILSTSPSLKSQILYHLKTHHHYSPENHQITEITAELPSHYRLSLYSSGQICFITPRILIVDLLTNKIPVTSLSGLILLNVHSLSETSTEAFIARIVKTLTQSIYIRAFTDKPQAMVAGFSKTERILKSLYIKKLHLWPRFQVYVSEELERAPPEVVDVRVPMTKYMVGIQKAIVEVMDACLKEIRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHILGKKTKQLVSDLKTLRKLLDYLVRYDAVSYLKYLDTLRVSESFRSVWIFAESSFKIFDYAKKRVYRLARSNDAKVNEPSKSTSGKKRKLKRDDDNEEKVVDGASSTGKNGGVILEEVLEAAPKWKVLREILEEIEEERQKQALPREDLVESEEVDSGIVVVACKDECSCMQLEDFIANGPQKVLREEWQKYLLSKVELRGLPMPQKKKPKPRESKGFGILDGVVPVTATHNAEPTSVNKLEHDALFAAASEIRNQCRRDYIVEDEPQVLVDSKRQKGRGKGQNKRGQRKNAQNSGNKVDDANNKVAASDKPEISSSEHKGQTADNDSAVTDGYPENKLKGAREILRRHTQQPGFSYSNVKQIPPVYFYAQESDQPILDILKPSVIIVYHPDMTFVREIEVYKAENPSKNLKVYFLFYEDSTEVQKFEASISRENGAFESLIRQKSLMMIPVDQNANSLGLNSSMESQPSSSQNSITRRAGGRKEVEKEMS >Manes.02G054800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4367280:4370671:-1 gene:Manes.02G054800.v8.1 transcript:Manes.02G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCGSVIPELEGEEDLIAAAKHIARALGSKKNLTDNAKKILADLSSQLSNISTVDEIKVDTRGELEGQLNVIQEKIMRWETDESMIWDSGLDEATQYLNATEEARKLAERLETSSLNKDAGEKELLMRAHDILQIAMARLEEEFKHMLVQNRQPFEPEQMSFRSSEEDATELGSVISLGDGSVEESINRDNVSRTSEDFIIDLVNPEVISHLRNIANIMFISGYGHECSQAYISVRRDALDECLFILEMEKLSIEDVLKLEWASLNSKIKRWVRAMKIFVSVYLPSEKWLTEQLFVDLGTANLVCFAEASKAAMLQLLNFGEAISIGPHKPEKLFPLLDMYEVLADLLQDIDSLYSEAGFGVRNDCREVLRRLGDSVKAAFLEFENAIATSVSPNPFAGGGIHHLTRYVMNYINTLTDYRETLNFLLKDRDIKDPISLSPNSSPRTEEENISGRTYAASSMALHFRSVASILECNLDDKAKLYKDPSLQHIFMMNNIHYMAQKVKNSELRHIFGDDWIRKRNWKFQQHAMNYERTTWSSILSLLKDEGNSGSDSVSKTLLKERFRSFYLAFEEVYRTQTSWLIPDAELREDLQISTSVKVIQAYRTFVGRQNNNISDKHIKYSADDLQNYLLDLFQGSQRSLHNPCRR >Manes.18G091500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8403912:8407570:1 gene:Manes.18G091500.v8.1 transcript:Manes.18G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNFSQGGLIPGGASFGGLALRGSIRLGHQAQYQHAVHQQQHLSRRQGSSIHPSVHEEVPLTIGTVNNSDKTISLINYNKGDKGKNSPSDEDEANYAEDGDDDHNEASRGNKGLPWQRIKWSDKTVRLLITAVSYIGEDISSECDGVLRRKLAVLQKKGKWKLVSNVMAERGFHVSPQQCEDKFNDLNKRYKKLNEMLGRGTSCQVVENPELLDVIHYLTEKEKDEVRKILSSKHLFYEEMCSYHNGNRLHLPHDPPLQHSLKLALRSKDDHDNHDMKRNQHDDLDENNQEVETDDHDEFEENHASHGESRGIYGVSRGSMKRLRQGQAHDDACFRNSSQDGNKGSYSHLQIGQVDMNQMSSESTRAAWLQKQWIESRTLQLEEQKLQIQLEMLELEKQRMKWKTFSRKRDHELEKMRMENERMKLENKRMAMELKGKEIGADFN >Manes.07G073200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21682157:21691164:-1 gene:Manes.07G073200.v8.1 transcript:Manes.07G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLGSEMPMLFSQSSSNLASRYWCLTILFLVVLETQIASSALILSLRNHHKKQHNAMPKFQANQSTCALFVGTWVRDDTYPLYESSNCPFIEPQFNCQMYGRPDSDYLKYRWQPLNCELPRFNGIVFLENMKGKTMTFVGDSLGRNQWESLICMILADNPSTSTQMSRGLPLSIFKFLDYGISMMYYKAPYLVDIDVVQGKRILKLEEISGNGNAWHNADVLVFNTGHWWIHQGGDQGWDYMESGGTYYKDMDRLVALEKALRTWAQWVDSNIDSSSTRVFFQSISPTHYDPSEWSSVVSGTATTKNCYGETLPMTGTMNPSAYPDQMRVLDEVIRDMHSPAYLLDITMLSELRKDCHPSIYSGDLTPDQRANPYRSADCSHWCLPGLPDTWNQLFYTALFF >Manes.01G268000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42201714:42205800:1 gene:Manes.01G268000.v8.1 transcript:Manes.01G268000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSLELQESGWEELRREARKLEGDLDVKLSSYAKLGARFTQGGYVDTGSPTVGSSRSWKSMEMEIQSLLEKLLDTNDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFKRIKGNINSMREHAELLSSVRDDISEYKASGSMSPRMQLLRERAAIHGSIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKILSDKFPIIRGLLGT >Manes.01G268000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42201714:42207057:1 gene:Manes.01G268000.v8.1 transcript:Manes.01G268000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSLELQESGWEELRREARKLEGDLDVKLSSYAKLGARFTQGGYVDTGSPTVGSSRSWKSMEMEIQSLLEKLLDTNDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFKRIKGNINSMREHAELLSSVRDDISEYKASGSMSPRMQLLRERAAIHGSIAHIDDVINQAQTTRAVLGSQRALFGDVQGKVKILSDKFPIIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >Manes.09G110300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31280372:31285286:1 gene:Manes.09G110300.v8.1 transcript:Manes.09G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINFNFNFFDNWFNKPPNPLPPINLVPLIHSLSNKTSRTTNFASISFSNPFKKPKMPEPESKEPGYWQQMLDQYFWESENQPDYRHAPEVEKILNDHPYLEKKENPTEEEIKENERFWEEIRSNPVVQFLARAEEIADKMNELEVNANPLPYRWEDRKMWQAVPNVIGPDGRPMPRKAIMTKQESDDKFWDFAKQFFFGLWGFRRRPYPSSRPIDVAQAIGYKRLEKRYYDFIMRSGGFYYKDRLGRTRGPMELIQLKTAWGAGIIDKDTFIWGEDLDEWAPIHMIYGMERAIATWEVRLAAAATAFLHKLQKGTPPWVPLKGREKKTYKQLQQEAIESKRRDLAVLQANDGVWPGVRIPSHALFLWASGTELTNVLEADHMPNKYIPKDLRLQLADIIPGLRPWEVLSVEQAMDQITYGGKWYREPLGSYTTGPPYIRDWNEDVKRILGVFYTLSFQVCEYLENTIPGFSTIMEKAEADAAAREARFKEREAQKKAREERMVRRRYMKKYP >Manes.06G049600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16090322:16095095:-1 gene:Manes.06G049600.v8.1 transcript:Manes.06G049600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPVGGGYMRQRHSQGYASGGDDLEDDACSRPQPFTPPSPRVRSWVEIVENVLWIASAIFIVYFGDRHSNLICLLWHDERIRRLPLYLGMIGAGLNVVIFLYTSMFAWSVRRFDEKWELSSISALPFVTLLGLVSFCLFAFALWPIWSFLTLPLLFTLFMACMVIIPHIMIVTFRQQNDTFRID >Manes.16G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32349626:32354587:-1 gene:Manes.16G120600.v8.1 transcript:Manes.16G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRAIEVFDDKKDGFFSVSYLGSQWSFQDGQYLPGGGLFASVNQMGMGFPNPSDNSSIKSLYADLCEKYLSFVGVQEEEGTLKKKKKGGLKLKLKVKNPMVRRLISGGIAGAVSRTAVAPLETIRTHLMVGSSGHTTTEVFHNIMKTDGWKGLFRGNLVNVIRVAPSKAIELFAYDTVNKNLSPKPGEQPKLPIPASLIAGACAGVSSTLVTYPLELVKTRLTIQRGVYNGILDAFLKILHEEGPAELYRGLAPSLIGVIPYAAANYFAYDTLRKAYRKVFKQEKIGNIETLLIGSAAGAISSSATFPLEVARKHMQVGALSGRKVYKNVLHALASILEQEGIQGLYKGLGPSCMKLVPAAGIAFMCYEASKRILVEDDEEQ >Manes.05G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25114779:25118638:-1 gene:Manes.05G148500.v8.1 transcript:Manes.05G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLVMVFGYAYPAYECYKTVEKNKPEIEHLRFWCQYWILVAVLTVCERIGDAFISWVPMYSEAKLAFFIYLWYPKTKGTTYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMAIIYWQRAASYGQTRVFEILQYIASQSTPRSQPQRQGARAHPPAVAPNLQPSGNRPPAAAEPETEEPPSPTSSTSSSQHPMETAEDVAPSQVQEATPPAAASNAQNAPPLASNAQKANATTSGTSSQSSATKAEAMQVEAAPSSTNENANPPVKETNMDEAIRVTRARLRKSRSGPKS >Manes.09G024786.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4982176:4986682:1 gene:Manes.09G024786.v8.1 transcript:Manes.09G024786.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLEKLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERREGISSEMFIKTCCSKFQCLRVFDLTYSSFEELPASIGKLKHLKYLSLWMNSNIKRLPNSICKLQSLQILLLYQCRNLQELPKDIRCMINLRILWITTRQKYFPTGGIGCLKSLRFLFITGCLNLEYLFEDMQGLKKLRRLVISGCRRLISLPQSIKCLTTLDTLCIARCENLELRMEEGEETQFSLLRLELGWLPKIVDFPEWLIRGSTNSLKVLEVQRCNNLRELPNCLQNIASHPEVRIIDCPELINDPL >Manes.18G079700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7307418:7308011:-1 gene:Manes.18G079700.v8.1 transcript:Manes.18G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQDGKRPMDDEGRKQAAMRGLLQEQERAFNNLTSIGSDSDEGEDYYSSEEEINGNDYEYFETLELELLEGQDSNSDNQDMEEDELYEDEEDDIDPDDLSYEELIALGEFIGEEKRGLTSEEICKCLRPWTFEHTEKRNEIERCVICQVEYGGGDDESLVVIPCGHAYHSECITNWLQIKKICPICSSEVYSPKN >Manes.18G056822.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4987121:5030446:-1 gene:Manes.18G056822.v8.1 transcript:Manes.18G056822.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLIRRTSSLQTGESSGSSAERFPPPAPKIRFSEVGEEGILHALWEKYENTMEKVEKKRLFHVFLKHFLVVYKNWEPINAGQLLEATSVADYPLGVDDVIVGCVVGHPAEVILILTEEIVKLTTLVTDLNITMVPSKTDLSETSTSLALPSEGLQVLDVLTVITRSMHNCKVFGYYGGIQKLTALMKGCVVQFKSLTGALSAQLYRGSVEFSSSGWFASSIDSSTSLKIPTETRLYWHQKAVVSVMEAGGLNWLVELLRVIRRFSLKEQLMDVSLQYLTMRALHLALSENPRGQNHFKSIGGLEVLLDGLGVPSVNVLFLKNAFYVDEKREDNLLLKIFQLHILSLTVLREAVFGNLNNLQFLCENGRIHKFANSFCSLAFMLQEYRQKTKDLSIQDDIQLPVFDSSENHVKMELSFSFPAGASYSQFWNEYVDKLSRVLCSFIATPESNKPHHVPASTGRITMPISSLYSELSIKWTMRVLVTVFPCIKACSVRTELPSYLRVFVNVLQHSVLDAFRKVLASSPASLEVFRGEGIWDLLFSENFFYFGLCSEEISGEHCNDSRGFMEKLETASCSSTDGQTKASGIEILQMEIISFSEFAATCNGSVHNLPELSGLLDALEQCACHPEISSVLAKSLLRILQLSSEKTVASFKSLGAVPRVLKVASIQAKESRRSGKTSPSLGKVPQPCSGLTSDSPDPAQIRLECMEICMRLFTEFFSIAEDAKTFVLHDSTCIDCLFDLFWEEGMRNVVLGHILDLMKIVPSSAEDQKAKLQLCSKYLETFTQIKEREKRFAQLSIDLLVGMREMLLTDSEYYQALFRDGECFLHVVSLLNGNLDEESGENLVLNVLQTLTCLLASNDSSKASFRALVGKGYQTIQRLLLDFCQWRPSEALLTALLDMLVDGKFDIKANPLIKNEDVIILYLSVLQKSSDSLQNNGLNLFLQLLRDSISNRASCFRAGMLNFLLDWFAEEDNDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGSRQQYCSLLLTTVLSMLNEKGPTAFFDLNGRDSGITIKTPVQWPLNKGFSFSCWLRVESFPRNGAMGLFSFLTENGKGCSAVLGNDRLIFESNNLKRHYVQLHINLARKKWHFLCITHSIGRAFSGGSLLKCYVDGDLVSSERCRYAKITELLTKCRIGTKINLPRSEEDGFLDSVQEIFSFHGQIGPVYLFCDAISSEHVQGIYSLGPSYMYSFLDNQSAPFCDNPVPSGILDVKDGLASKIVFGLSAQANHGKKLFNVSPVLDHASDKKSFDFEAIAMVGTELCSRRLLQQIIYCVGGVSVFFPLIAQSDRYENEESGSFEYTLLTPITKEHLTAEVIKLIASVLDENLANQQQMHLLSGFSILGFLLQSVPPEQLNLETLSALKHLFNVAANGGLAEILVKDAISSIFLNPFIWVYTAYKVQRELYMFLIQQFDNDPRLLSSLCRLPRVIDVIRQFYWDSTKSRFTIGSKPLLHPITKQVIGERPNKEEIHKIRLLLLSLGEMSLRQSISAADIKALIAFFETSQDMTCIEDVLHMVIRALSQKPLLIAFLEQVNVIGGCHIFVNLLQREHEPIRLLGLQFLGRLLVGLPSEKKGPRFFSLSVGRPRSLLESQKRNSLRMQPIFSAMIDRLFRFPLTDYLCASLFDVLLGGASPKQVLQKHSQVEKPRSKGNVSHFFLPQILVLIFGFLSSCEDVSARIKIIRDLLDLLDSNSSNIEALMEHGWHAWLTASLKLDVLKEYKLDSRNHSESDLLEQNLVRSLYCVVLCHYLHSVKGGWQQLEETVNFLLMHCEHGGISYSYFLRDVYEELIQKLVDFSYEENIFTTQPCRDNTLYLLRLVDEMLVSEIDHKILFPANGSEMSPDSLESENQKEHAALYEILQGEFDYRTSRNPWACKRPITHEDEVDLIDDKWWNLYDNLWVIIGEMNGKGPSRMLPKSSPAVGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALGGKPNKTVDKAMLLRGERCPRIVFRLVFVYLSKSSLERASRCVQQVILLLPSLLAADDEQSKSRLQLFLWFLLAIRFQYGMLDDGARTHVISHLIRETVNCGKAMLATAIVGKDDSPDLGSNSKDTGSIQNLIQKDRVLAALHDELKYLKTSISDRSRQLLELRARMDENTSAEAANKKAFEDEINSSLNTILAVDDNRRAVFQFSHEEDQQNVAEKWIHMFRTLIDERGPWSANPFPNSVVRHWKLDKTEDAWRRRPKLRQNYHFDDKLCHPPSTASRTEDSLLVHEIKDGFVSHIPEQMKRFLLKGVRRITDEGSSEAGENDAEPSVQKASISEDLSESQCSELAKGSSDQKDVIQDKKDAPSSSQEADTSEVLLSVPCILVTPKKKLAGKLAVMKNFLHFFGEFLVEGTGGSSVFKKFDASSKSDVTKLEQRSKSLKWPMDVDFCALKGVSADNVETVNENMHQRQLKHVKRHRRWNIGKIKAVYWTRYLLRYTAIEIFFGNSVAPVFLNFASLKDAKEVGTLIVATRNEFLFPKGSSKDKSGTIVLVDRRVALEMAEIARERWRRRDITNFEYLMVLNTLAGRSYNDLTQYPIFPWVLADYSSDVLDFNKSSTFRDLTKPVGALDLKRFEMFEDRYRNFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQGIKGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGIKQDGEPLDDVCLPPWAKGSPELFISKNREALESEYVSSNLHNWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLETMEDELQRAAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPDSINLTSIVSNTSYAPSAVLYIGILDSHIVLVNQGLTLSVKLWLTTQLQSGGNFTFSSVQEPFFGVGSDVLSARRIGSPLAENIELGAQCFATMQTPTENFLISCGNWENSFQVISLNDGRIVQSIRQHKDVVSCVAVSADGSILATGSYDTTVMVWEVIRVRGTEKRVRNAQSDLPRKEYVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLREGRYIRSLRHPSGSALSKLVASRHGRIVIYADDDLSLHLYSINGKHLAASESNGRLNCIELSGCGEFLVCAGDQGQIVVRSMNTLEVVKKYNGIGKVITCLTVTPEECFLAGTKDGNLLVYSIENPQLRKSSAPRHVKSKSTATGHIYVQQPESSN >Manes.18G056822.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4987121:5030446:-1 gene:Manes.18G056822.v8.1 transcript:Manes.18G056822.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLIRRTSSLQTGESSGSSAERFPPPAPKIRFSEVGEEGILHALWEKYENTMEKVEKKRLFHVFLKHFLVVYKNWEPINAGQLLEATSVADYPLGVDDVIVGCVVGHPAEVILILTEEIVKLTTLVTDLNITMVPSKTDLSETSTSLALPSEGLQVLDVLTVITRSMHNCKVFGYYGGIQKLTALMKGCVVQFKSLTGALSGDESLPNLIVEKAQLLQKILTYVVSIICGFIDLNTAQLYRGSVEFSSSGWFASSIDSSTSLKIPTETRLYWHQKAVVSVMEAGGLNWLVELLRVIRRFSLKEQLMDVSLQYLTMRALHLALSENPRGQNHFKSIGGLEVLLDGLGVPSVNVLFLKNAFYVDEKREDNLLLKIFQLHILSLTVLREAVFGNLNNLQFLCENGRIHKFANSFCSLAFMLQEYRQKTKDLSIQDDIQLPVFDSSENHVKMELSFSFPAGASYSQFWNEYVDKLSRVLCSFIATPESNKPHHVPASTGRITMPISSLYSELSIKWTMRVLVTVFPCIKACSVRTELPSYLRVFVNVLQHSVLDAFRKVLASSPASLEVFRGEGIWDLLFSENFFYFGLCSEEISGEHCNDSRGFMEKLETASCSSTDGQTKASGIEILQMEIISFSEFAATCNGSVHNLPELSGLLDALEQCACHPEISSVLAKSLLRILQLSSEKTVASFKSLGAVPRVLKVASIQAKESRRSGKTSPSLGKVPQPCSGLTSDSPDPAQIRLECMEICMRLFTEFFSIAEDAKTFVLHDSTCIDCLFDLFWEEGMRNVVLGHILDLMKIVPSSAEDQKAKLQLCSKYLETFTQIKEREKRFAQLSIDLLVGMREMLLTDSEYYQALFRDGECFLHVVSLLNGNLDEESGENLVLNVLQTLTCLLASNDSSKASFRALVGKGYQTIQRLLLDFCQWRPSEALLTALLDMLVDGKFDIKANPLIKNEDVIILYLSVLQKSSDSLQNNGLNLFLQLLRDSISNRASCFRAGMLNFLLDWFAEEDNDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGSRQQYCSLLLTTVLSMLNEKGPTAFFDLNGRDSGITIKTPVQWPLNKGFSFSCWLRVESFPRNGAMGLFSFLTENGKGCSAVLGNDRLIFESNNLKRHYVQLHINLARKKWHFLCITHSIGRAFSGGSLLKCYVDGDLVSSERCRYAKITELLTKCRIGTKINLPRSEEDGFLDSVQEIFSFHGQIGPVYLFCDAISSEHVQGIYSLGPSYMYSFLDNQSAPFCDNPVPSGILDVKDGLASKIVFGLSAQANHGKKLFNVSPVLDHASDKKSFDFEAIAMVGTELCSRRLLQQIIYCVGGVSVFFPLIAQSDRYENEESGSFEYTLLTPITKEHLTAEVIKLIASVLDENLANQQQMHLLSGFSILGFLLQSVPPEQLNLETLSALKHLFNVAANGGLAEILVKDAISSIFLNPFIWVYTAYKVQRELYMFLIQQFDNDPRLLSSLCRLPRVIDVIRQFYWDSTKSRFTIGSKPLLHPITKQVIGERPNKEEIHKIRLLLLSLGEMSLRQSISAADIKALIAFFETSQDMTCIEDVLHMVIRALSQKPLLIAFLEQVNVIGGCHIFVNLLQREHEPIRLLGLQFLGRLLVGLPSEKKGPRFFSLSVGRPRSLLESQKRNSLRMQPIFSAMIDRLFRFPLTDYLCASLFDVLLGGASPKQVLQKHSQVEKPRSKGNVSHFFLPQILVLIFGFLSSCEDVSARIKIIRDLLDLLDSNSSNIEALMEHGWHAWLTASLKLDVLKEYKLDSRNHSESDLLEQNLVRSLYCVVLCHYLHSVKGGWQQLEETVNFLLMHCEHGGISYSYFLRDVYEELIQKLVDFSYEENIFTTQPCRDNTLYLLRLVDEMLVSEIDHKILFPANGSEMSPDSLESENQKEHAALYEILQGEFDYRTSRNPWACKRPITHEDEVDLIDDKWWNLYDNLWVIIGEMNGKGPSRMLPKSSPAVGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALGGKPNKTVDKAMLLRGERCPRIVFRLVFVYLSKSSLERASRCVQQVILLLPSLLAADDEQSKSRLQLFLWFLLAIRFQYGMLDDGARTHVISHLIRETVNCGKAMLATAIVGKDDSPDLGSNSKDTGSIQNLIQKDRVLAALHDELKYLKTSISDRSRQLLELRARMDENTSAEAANKKAFEDEINSSLNTILAVDDNRRAVFQFSHEEDQQNVAEKWIHMFRTLIDERGPWSANPFPNSVVRHWKLDKTEDAWRRRPKLRQNYHFDDKLCHPPSTASRTEDSLLVHEIKDGFVSHIPEQMKRFLLKGVRRITDEGSSEAGENDAEPSVQKASISEDLSESQCSELAKGSSDQKDVIQDKKDAPSSSQEADTSEFQVLLSVPCILVTPKKKLAGKLAVMKNFLHFFGEFLVEGTGGSSVFKKFDASSKSDVTKLEQRSKSLKWPMDVDFCALKGVSADNVETVNENMHQRQLKHVKRHRRWNIGKIKAVYWTRYLLRYTAIEIFFGNSVAPVFLNFASLKDAKEVGTLIVATRNEFLFPKGSSKDKSGTIVLVDRRVALEMAEIARERWRRRDITNFEYLMVLNTLAGRSYNDLTQYPIFPWVLADYSSDVLDFNKSSTFRDLTKPVGALDLKRFEMFEDRYRNFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQGIKGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGIKQDGEPLDDVCLPPWAKGSPELFISKNREALESEYVSSNLHNWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLETMEDELQRAAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPDSINLTSIVSNTSYAPSAVLYIGILDSHIVLVNQGLTLSVKLWLTTQLQSGGNFTFSSVQEPFFGVGSDVLSARRIGSPLAENIELGAQCFATMQTPTENFLISCGNWENSFQVISLNDGRIVQSIRQHKDVVSCVAVSADGSILATGSYDTTVMVWEVIRVRGTEKRVRNAQSDLPRKEYVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLREGRYIRSLRHPSGSALSKLVASRHGRIVIYADDDLSLHLYSINGKHLAASESNGRLNCIELSGCGEFLVCAGDQGQIVVRSMNTLEVVKKYNGIGKVITCLTVTPEECFLAGTKDGNLLVYSIENPQLRKSSAPRHVKSKSTATGHIYVQQPESSN >Manes.18G056822.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4987121:5030446:-1 gene:Manes.18G056822.v8.1 transcript:Manes.18G056822.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLIRRTSSLQTGESSGSSAERFPPPAPKIRFSEVGEEGILHALWEKYENTMEKVEKKRLFHVFLKHFLVVYKNWEPINAGQLLEATSVADYPLGVDDVIVGCVVGHPAEVILILTEEIVKLTTLVTDLNITMVPSKTDLSETSTSLALPSEGLQVLDVLTVITRSMHNCKVFGYYGGIQKLTALMKGCVVQFKSLTGALSAQLYRGSVEFSSSGWFASSIDSSTSLKIPTETRLYWHQKAVVSVMEAGGLNWLVELLRVIRRFSLKEQLMDVSLQYLTMRALHLALSENPRGQNHFKSIGGLEVLLDGLGVPSVNVLFLKNAFYVDEKREDNLLLKIFQLHILSLTVLREAVFGNLNNLQFLCENGRIHKFANSFCSLAFMLQEYRQKTKDLSIQDDIQLPVFDSSENHVKMELSFSFPAGASYSQFWNEYVDKLSRVLCSFIATPESNKPHHVPASTGRITMPISSLYSELSIKWTMRVLVTVFPCIKACSVRTELPSYLRVFVNVLQHSVLDAFRKVLASSPASLEVFRGEGIWDLLFSENFFYFGLCSEEISGEHCNDSRGFMEKLETASCSSTDGQTKASGIEILQMEIISFSEFAATCNGSVHNLPELSGLLDALEQCACHPEISSVLAKSLLRILQLSSEKTVASFKSLGAVPRVLKVASIQAKESRRSGKTSPSLGKVPQPCSGLTSDSPDPAQIRLECMEICMRLFTEFFSIAEDAKTFVLHDSTCIDCLFDLFWEEGMRNVVLGHILDLMKIVPSSAEDQKAKLQLCSKYLETFTQIKEREKRFAQLSIDLLVGMREMLLTDSEYYQALFRDGECFLHVVSLLNGNLDEESGENLVLNVLQTLTCLLASNDSSKASFRALVGKGYQTIQRLLLDFCQWRPSEALLTALLDMLVDGKFDIKANPLIKNEDVIILYLSVLQKSSDSLQNNGLNLFLQLLRDSISNRASCFRAGMLNFLLDWFAEEDNDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGSRQQYCSLLLTTVLSMLNEKGPTAFFDLNGRDSGITIKTPVQWPLNKGFSFSCWLRVESFPRNGAMGLFSFLTENGKGCSAVLGNDRLIFESNNLKRHYVQLHINLARKKWHFLCITHSIGRAFSGGSLLKCYVDGDLVSSERCRYAKITELLTKCRIGTKINLPRSEEDGFLDSVQEIFSFHGQIGPVYLFCDAISSEHVQGIYSLGPSYMYSFLDNQSAPFCDNPVPSGILDVKDGLASKIVFGLSAQANHGKKLFNVSPVLDHASDKKSFDFEAIAMVGTELCSRRLLQQIIYCVGGVSVFFPLIAQSDRYENEESGSFEYTLLTPITKEHLTAEVIKLIASVLDENLANQQQMHLLSGFSILGFLLQSVPPEQLNLETLSALKHLFNVAANGGLAEILVKDAISSIFLNPFIWVYTAYKVQRELYMFLIQQFDNDPRLLSSLCRLPRVIDVIRQFYWDSTKSRFTIGSKPLLHPITKQVIGERPNKEEIHKIRLLLLSLGEMSLRQSISAADIKALIAFFETSQDMTCIEDVLHMVIRALSQKPLLIAFLEQVNVIGGCHIFVNLLQREHEPIRLLGLQFLGRLLVGLPSEKKGPRFFSLSVGRPRSLLESQKRNSLRMQPIFSAMIDRLFRFPLTDYLCASLFDVLLGGASPKQVLQKHSQVEKPRSKGNVSHFFLPQILVLIFGFLSSCEDVSARIKIIRDLLDLLDSNSSNIEALMEHGWHAWLTASLKLDVLKEYKLDSRNHSESDLLEQNLVRSLYCVVLCHYLHSVKGGWQQLEETVNFLLMHCEHGGISYSYFLRDVYEELIQKLVDFSYEENIFTTQPCRDNTLYLLRLVDEMLVSEIDHKILFPANGSEMSPDSLESENQKEHAALYEILQGEFDYRTSRNPWACKRPITHEDEVDLIDDKWWNLYDNLWVIIGEMNGKGPSRMLPKSSPAVGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALGGKPNKTVDKAMLLRGERCPRIVFRLVFVYLSKSSLERASRCVQQVILLLPSLLAADDEQSKSRLQLFLWFLLAIRFQYGMLDDGARTHVISHLIRETVNCGKAMLATAIVGKDDSPDLGSNSKDTGSIQNLIQKDRVLAALHDELKYLKTSISDRSRQLLELRARMDENTSAEAANKKAFEDEINSSLNTILAVDDNRRAVFQFSHEEDQQNVAEKWIHMFRTLIDERGPWSANPFPNSVVRHWKLDKTEDAWRRRPKLRQNYHFDDKLCHPPSTASRTEDSLLVHEIKDGFVSHIPEQMKRFLLKGVRRITDEGSSEAGENDAEPSVQKASISEDLSESQCSELAKGSSDQKDVIQDKKDAPSSSQEADTSEFQVLLSVPCILVTPKKKLAGKLAVMKNFLHFFGEFLVEGTGGSSVFKKFDASSKSDVTKLEQRSKSLKWPMDVDFCALKGVSADNVETVNENMHQRQLKHVKRHRRWNIGKIKAVYWTRYLLRYTAIEIFFGNSVAPVFLNFASLKDAKEVGTLIVATRNEFLFPKGSSKDKSGTIVLVDRRVALEMAEIARERWRRRDITNFEYLMVLNTLAGRSYNDLTQYPIFPWVLADYSSDVLDFNKSSTFRDLTKPVGALDLKRFEMFEDRYRNFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQGIKGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGIKQDGEPLDDVCLPPWAKGSPELFISKNREALESEYVSSNLHNWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLETMEDELQRAAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPDSINLTSIVSNTSYAPSAVLYIGILDSHIVLVNQGLTLSVKLWLTTQLQSGGNFTFSSVQEPFFGVGSDVLSARRIGSPLAENIELGAQCFATMQTPTENFLISCGNWENSFQVISLNDGRIVQSIRQHKDVVSCVAVSADGSILATGSYDTTVMVWEVIRVRGTEKRVRNAQSDLPRKEYVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLREGRYIRSLRHPSGSALSKLVASRHGRIVIYADDDLSLHLYSINGKHLAASESNGRLNCIELSGCGEFLVCAGDQGQIVVRSMNTLEVVKKYNGIGKVITCLTVTPEECFLAGTKDGNLLVYSIENPQLRKSSAPRHVKSKSTATGHIYVQQPESSN >Manes.18G056822.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4987121:5030446:-1 gene:Manes.18G056822.v8.1 transcript:Manes.18G056822.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLIRRTSSLQTGESSGSSAERFPPPAPKIRFSEVGEEGILHALWEKYENTMEKVEKKRLFHVFLKHFLVVYKNWEPINAGQLLEATSVADYPLGVDDVIVGCVVGHPAEVILILTEEIVKLTTLVTDLNITMVPSKTDLSETSTSLALPSEGLQVLDVLTVITRSMHNCKVFGYYGGIQKLTALMKGCVVQFKSLTGALSGDESLPNLIVEKAQLLQKILTYVVSIICGFIDLNTAQLYRGSVEFSSSGWFASSIDSSTSLKIPTETRLYWHQKAVVSVMEAGGLNWLVELLRVIRRFSLKEQLMDVSLQYLTMRALHLALSENPRGQNHFKSIGGLEVLLDGLGVPSVNVLFLKNAFYVDEKREDNLLLKIFQLHILSLTVLREAVFGNLNNLQFLCENGRIHKFANSFCSLAFMLQEYRQKTKDLSIQDDIQLPVFDSSENHVKMELSFSFPAGASYSQFWNEYVDKLSRVLCSFIATPESNKPHHVPASTGRITMPISSLYSELSIKWTMRVLVTVFPCIKACSVRTELPSYLRVFVNVLQHSVLDAFRKVLASSPASLEVFRGEGIWDLLFSENFFYFGLCSEEISGEHCNDSRGFMEKLETASCSSTDGQTKASGIEILQMEIISFSEFAATCNGSVHNLPELSGLLDALEQCACHPEISSVLAKSLLRILQLSSEKTVASFKSLGAVPRVLKVASIQAKESRRSGKTSPSLGKVPQPCSGLTSDSPDPAQIRLECMEICMRLFTEFFSIAEDAKTFVLHDSTCIDCLFDLFWEEGMRNVVLGHILDLMKIVPSSAEDQKAKLQLCSKYLETFTQIKEREKRFAQLSIDLLVGMREMLLTDSEYYQALFRDGECFLHVVSLLNGNLDEESGENLVLNVLQTLTCLLASNDSSKASFRALVGKGYQTIQRLLLDFCQWRPSEALLTALLDMLVDGKFDIKANPLIKNEDVIILYLSVLQKSSDSLQNNGLNLFLQLLRDSISNRASCFRAGMLNFLLDWFAEEDNDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGSRQQYCSLLLTTVLSMLNEKGPTAFFDLNGRDSGITIKTPVQWPLNKGFSFSCWLRVESFPRNGAMGLFSFLTENGKGCSAVLGNDRLIFESNNLKRHYVQLHINLARKKWHFLCITHSIGRAFSGGSLLKCYVDGDLVSSERCRYAKITELLTKCRIGTKINLPRSEEDGFLDSVQEIFSFHGQIGPVYLFCDAISSEHVQGIYSLGPSYMYSFLDNQSAPFCDNPVPSGILDVKDGLASKIVFGLSAQANHGKKLFNVSPVLDHASDKKSFDFEAIAMVGTELCSRRLLQQIIYCVGGVSVFFPLIAQSDRYENEESGSFEYTLLTPITKEHLTAEVIKLIASVLDENLANQQQMHLLSGFSILGFLLQSVPPEQLNLETLSALKHLFNVAANGGLAEILVKDAISSIFLNPFIWVYTAYKVQRELYMFLIQQFDNDPRLLSSLCRLPRVIDVIRQFYWDSTKSRFTIGSKPLLHPITKQVIGERPNKEEIHKIRLLLLSLGEMSLRQSISAADIKALIAFFETSQDMTCIEDVLHMVIRALSQKPLLIAFLEQVNVIGGCHIFVNLLQREHEPIRLLGLQFLGRLLVGLPSEKKGPRFFSLSVGRPRSLLESQKRNSLRMQPIFSAMIDRLFRFPLTDYLCASLFDVLLGGASPKQVLQKHSQVEKPRSKGNVSHFFLPQILVLIFGFLSSCEDVSARIKIIRDLLDLLDSNSSNIEALMEHGWHAWLTASLKLDVLKEYKLDSRNHSESDLLEQNLVRSLYCVVLCHYLHSVKGGWQQLEETVNFLLMHCEHGGISYSYFLRDVYEELIQKLVDFSYEENIFTTQPCRDNTLYLLRLVDEMLVSEIDHKILFPANGSEMSPDSLESENQKEHAALYEILQGEFDYRTSRNPWACKRPITHEDEVDLIDDKWWNLYDNLWVIIGEMNGKGPSRMLPKSSPAVGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALGGKPNKTVDKAMLLRGERCPRIVFRLVFVYLSKSSLERASRCVQQVILLLPSLLAADDEQSKSRLQLFLWFLLAIRFQYGMLDDGARTHVISHLIRETVNCGKAMLATAIVGKDDSPDLGSNSKDTGSIQNLIQKDRVLAALHDELKYLKTSISDRSRQLLELRARMDENTSAEAANKKAFEDEINSSLNTILAVDDNRRAVFQFSHEEDQQNVAEKWIHMFRTLIDERGPWSANPFPNSVVRHWKLDKTEDAWRRRPKLRQNYHFDDKLCHPPSTASRTEDSLLVHEIKDGFVSHIPEQMKRFLLKGVRRITDEGSSEAGENDAEPSVQKASISEDLSESQCSELAKGSSDQKDVIQDKKDAPSSSQEADTSEVLLSVPCILVTPKKKLAGKLAVMKNFLHFFGEFLVEGTGGSSVFKKFDASSKSDVTKLEQRSKSLKWPMDVDFCALKGVSADNVETVNENMHQRQLKHVKRHRRWNIGKIKAVYWTRYLLRYTAIEIFFGNSVAPVFLNFASLKDAKEVGTLIVATRNEFLFPKGSSKDKSGTIVLVDRRVALEMAEIARERWRRRDITNFEYLMVLNTLAGRSYNDLTQYPIFPWVLADYSSDVLDFNKSSTFRDLTKPVGALDLKRFEMFEDRYRNFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQGIKGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGIKQDGEPLDDVCLPPWAKGSPELFISKNREALESEYVSSNLHNWIDLVFGYKQRGKPAVEAANVFYYLTYEGAVDLETMEDELQRAAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPDSINLTSIVSNTSYAPSAVLYIGILDSHIVLVNQGLTLSVKLWLTTQLQSGGNFTFSSVQEPFFGVGSDVLSARRIGSPLAENIELGAQCFATMQTPTENFLISCGNWENSFQVISLNDGRIVQSIRQHKDVVSCVAVSADGSILATGSYDTTVMVWEVIRVRGTEKRVRNAQSDLPRKEYVIAETPFHILCGHDDIITCLYVSVELDIVISGSKDGTCVFHTLREGRYIRSLRHPSGSALSKLVASRHGRIVIYADDDLSLHLYSINGKHLAASESNGRLNCIELSGCGEFLVCAGDQGQIVVRSMNTLEVVKKYNGIGKVITCLTVTPEECFLAGTKDGNLLVYSIENPQLRKSSAPRHVKSKSTATGHIYVQQPESSN >Manes.12G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27399152:27401038:1 gene:Manes.12G102900.v8.1 transcript:Manes.12G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDMYNSEQKGYCPPMSPRISFSNDFVDSQQIIKQERGSRSETPVSTDFEFSVSNYSMMSADELFFKGRLLPFKDNGNNNQMSRTIRDELLVDDDEDFEDHEVSLRPPKGSTRWKGLLGLKRSYIGSKKVEKTDGSESRKPGFFHEEMHIGKSSQELLSEGGSSHHRDVEIGI >Manes.10G029900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3053228:3069432:-1 gene:Manes.10G029900.v8.1 transcript:Manes.10G029900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKDKDFWQYVEKTGSSGKDLKCKFCEKVFKGHPSISRIKLHFAGIQGRDIRICDKVPSHVKARAHEASCGRNKKRKTVPSSSTNEEYNLISEQQNHQVENPVGDEDMMEMQVREIEQLGEVGMGNYEETHVGQPLQFVESKGEELLTTKLKGAEFETHIKQIYSCLRDDDNVSKVGIYGMGGVGKTELAKHIYNKLVTAASPFDHVYWVNVSEHFSIYGLQNTIARMVDLDLSSVDNEDIRAAKLSEALNKNKSFVLFLDDVWNYIPFDRIGIPGCKLVITTRSLDVCRSMDCQKNIKVEPLGYGAAWELFLQKLGHQLVPNVEPIAREIVLECQGLPLGIEIMARNMKRKDDIHEWRDALHKLTRGIPKQENSEIFDNLKRSYDCLNDPSLQQCFLYFVLFVPPDQKLESLDIKEVIDFLIDVGVIERSSRKQAFDEGHTMLNKLENLCLFNGGHTYSGSRWVSMHGLVRKVAIQLMGTDAQVWEKLFKMPKWGNWSKDLMRISLIYNEIKEIPSGYSPNCPNLSCILLRGSFLISIGDSFFELLCGLKVLDLSETSITKLPSSISHLVNLSSLLLGRCEKLSHVPSLALLSALKKLDLDGSVVKEFPEGIEMLSNLKYLNLRGTEIVLPPGILPKLSQLEVLLLSNSFAVAAKEIASLSMLQELECCFHDIGELKTYVHTTRTPSSDLMRWSFHVGEIDLEDEDELFEDNELADNFKVCLWNCSISEGDIPLSHLEDVKYLRFINCSIEIENCDDLQRLLSFSSSPSVFKSLEEISIDSNDLLFLFDNGDIPIAVPSSPVHVTFSLLKSIRIWECSSMKKLFPQGLMSNLQNLEDISISSCDNMEELISMEVGKESYNSNSFSLLKRIEIRKCPSMKKLFPQGLMSNLQNLEEIEVNFCDNMEELISREEGKESYNSNSFSLLKRIQIWKCPSMKKLFPQGLMSNLQNLEEIKVWHCDNMKELIAVEEREREESCNSSNGTTFIFTLPKLRSVGLVKLPQLKSICSQEIVCDSLEYIEVRHCVNLERIALSLFLPDQSQLSPLPSLKAIHIYPQHWWELLVDFDHPDAKNVLLPLCSFEDQFMVDSIF >Manes.17G042200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23369568:23374595:1 gene:Manes.17G042200.v8.1 transcript:Manes.17G042200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGLCGLKPLLLGCRPSRIEHLLRIGHLPKHHKKDAKGPKMERARRFTGNFILMMKLRKKILTFRDAIDLPPCDGTESITELVSRTLKDLHEFHPDVISRSQLSEIKGASIDKVLVYYCEALKAFGDSWTKNQEWMDKLTSDKFSNIEKFNTEKLLQMALAMLNCVIKITKEKSDISDEDEQNKDSLPDEQIKDSLPASLFRKILMESSESSTSCCASPVTPTSVLPTQFMTSPNSDVFANISCSSPVLRSLRVQAVGKLNPIHIKRLSFQIPPSEGAQGYGSWNLKNNENDEVMEEVEAESKCLNPKSNADEEMMFEMEASSNSEVTEVAKEDDARDCCAENGSPEIEMLETTETSEVTELITPTSPPTPLLIPTMTQAPPAPTPLLIPSMSQVNVGETLPPPVLQSNVVAPSLLSPPPPPFVLQPNLKAQQPPSTQPLPPPVLQPNKPTAEVLLPPPTPESQPNVAAAGAPKVLPPPPPPMTSGTVNAALPGSATAAPAPPPPNMTSSKGSMPSPPPTPSIAQGDAPPPPQPKAQGDAAPPPPPTAQGGAPPPLSPTAQGGAPPPPSATAQGGAPPPLSPTAQGGAPPPLSPTAQGGAPPPLSPTAQGGAPPPLSPTAQGGAPPPPAMAQENRGASPPPPPGAGRSLRPKKAQTKLRRSSQMGNLYRVLKGKVEGGNPNVKQASGRKGSAPANSGGKQGMADALAEITKKSAYFQQIEEDVQKYSNSITALKSSISTFKNKDMNELITYHKYVESILENLADETQVLARFEGFPQKKLEAIRTAAALYAKLNGIVTELQNWKIVTPLGQLLDRTERYLNKIKGELDALERTKDEESKRFQSQNINFDFQILVQIKEAIVDVSSNCMELALKERKSGKTTDSKILWGTFQFAFKVYSFAGGQDDRADALTRELAQEIEADSPQQYH >Manes.17G042200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23369568:23374595:1 gene:Manes.17G042200.v8.1 transcript:Manes.17G042200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGLCGLKPLLLGCRPSRIEHLLRIGHLPKHHKKDAKGPKMERARRFTGNFILMMKLRKKILTFRDAIDLPPCDGTESITELVSRTLKDLHEFHPDVISRSQLSEIKGASIDKVLVYYCEALKAFGDSWTKNQEWMDKLTSDKFSNIEKFNTEKLLQMALAMLNCVIKITKEKSDISDEDEQNKDSLPDEQIKDSLPASLFRKILMESSESSTSCCASPVTPTSVLPTQFMTSPNSDVFANISCSSPVLRSLRVQAVGKLNPIHIKRLSFQIPPSEGAQGYGSWNLKNNENDEVMEEVEAESKCLNPKSNADEEMMFEMEASSNSEVTEVAKEDDARDCCAENGSPEIEMLETTETSEVTELITPTSPPTPLLIPTMTQAPPAPTPLLIPSMSQVNVGETLPPPVLQSNVVAPSLLSPPPPPFVLQPNLKAQQPPSTQPLPPPVLQPNKPTAEVLLPPPTPESQPNVAAAGAPKVLPPPPPPMTSGTVNAALPGSATAAPAPPPPNMTSSKGSMPSPPPTPSIAQGDAPPPPQPKAQGDAAPPPPPTAQGDAAPPPPPTAQGGAPPPLSPTAQGGAPPPLSPTAQGGAPPPPAMAQENRGASPPPPPGAGRSLRPKKAQTKLRRSSQMGNLYRVLKGKVEGGNPNVKQASGRKGSAPANSGGKQGMADALAEITKKSAYFQQIEEDVQKYSNSITALKSSISTFKNKDMNELITYHKYVESILENLADETQVLARFEGFPQKKLEAIRTAAALYAKLNGIVTELQNWKIVTPLGQLLDRTERYLNKIKGELDALERTKDEESKRFQSQNINFDFQILVQIKEAIVDVSSNCMELALKERKSGKTTDSKILWGTFQFAFKVYSFAGGQDDRADALTRELAQEIEADSPQQYH >Manes.05G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4654865:4658204:-1 gene:Manes.05G055800.v8.1 transcript:Manes.05G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPCLHLCKTPFISNHRLGFHHTFKSTLSIRDVSIGSDFQKLNSKIFTTQCQNKPLHRQNVSRKEGVEVKGKKENVWSIDNDKAKAAAEKEKGRAKQRRRGRRVVREKQNRNGKIMVSGAMLMEIDTVLQTQEPVIRPAWNTFASSVSGIWKGVGAVFSPITAEMEPIEIGSRNEHLYDCYTLSHIETVPSPSGEQTSQIQRKIKWVTLNPYGEVLQQVGSNRLKDNEDGNASLPRNHTGNVGTSHILPDFESFDFELSDLMEEDVMGNEPGLVFFEDGSYSRGPVNIPVGVDDDSKYYLTPTFKFEQCLVKGCHKRLRIVHTIEFNNGGSDIQIMRVAIYEEQWVSPANIRDYSDMELDVKPFSQRKRTQPSELTGSWKVFEVNATPVFGDELVTEDSNSTPYVYLCAETLKKRSLPENPIYFGEEEIVDMQDVTVLWLPGGVTGYVDVSKDGILCIGVGWYSDEGINLVMERDYGLDGMLKEVRWKSEVKRRWSDPDGV >Manes.14G090800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7501467:7505691:1 gene:Manes.14G090800.v8.1 transcript:Manes.14G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNKILATALGRKPKIAANKGTNVTDKKIDQLLIRDMEEILESWELPSLLGSEEEEEEEEEEEEEEEEEEDNVGGGSENLRCIHVKDEDSWQILVSKSSASEQSHMFHEVLSFWRKKEKEESELKSKEESELKSKENGRFSSSMASSELPTQKVGSENSTNEAVVVFVLGGPGSGKGTQCPKIVEHFGFTNICAGELLQAEVESGSENGEMILKFREEGKIVPSEITMKLLQQAMQQSEKKKFLIDGFPRNEENRTAFENTMKIEPDLVLFFDCPSEVLTKRLLSRNQGRVDDNIYTIQKRLEVYFESTLPVINYYSSKGKVEKIDAQRSIDEVFEDVKGVFSKLKPKSVVGLKNECNILQIGAQVSSII >Manes.14G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1682572:1687777:1 gene:Manes.14G005900.v8.1 transcript:Manes.14G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLAAVRLREIRQVFRQAPQISRSFSTALNYHIDTPDNNPNLPWEFTDVNKEKVKEIISHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMDAVAKVIEVAPIRVYEVVTFYSMFNRSPVGKYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRTGEKLPPGTQNPKRIKCGPEGGNTTLHGEPKPPPCRDLDAC >Manes.08G025300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2497456:2499983:1 gene:Manes.08G025300.v8.1 transcript:Manes.08G025300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNSLSSELKFQVRKLEKSDKSKGFIELLQQLTVCDSVSDKEFEERFQEISSYGNDHLICVIEDDQSGKIIATGSVFIEKKFIRNCGKVGHIEDVVVDSTARGQQLGKKIIEFLTDHAHSMGCYKIILDCSNENKAFYEKCGFKQKEIQMVRYFI >Manes.08G025300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2497383:2499983:1 gene:Manes.08G025300.v8.1 transcript:Manes.08G025300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNSLSSELKFQVRKLEKSDKSKGFIELLQQLTVCDSVSDKEFEERFQEISSYGNDHLICVIEDDQSGKIIATGSVFIEKKFIRNCGKVGHIEDVVVDSTARGQQLGKKIIEFLTDHAHSMGCYKIILDCSNENKAFYEKCGFKQKEIQMVRYFI >Manes.11G129700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29313665:29317201:1 gene:Manes.11G129700.v8.1 transcript:Manes.11G129700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVASPLLWMLSDRLISLIDNSSLVKNLHLTLAEIQTLVLDAENRSEDDAALRRWLTEVKDAAYEADDVLDEFINSLSSSKIHSLFSPFQWLLRFNCQRKMKEIEGRLDALLKRRIQFQKAVPLVNWDGFQSFESSASIKVPHRETRSFISESEIFGRKEDKQILLDLLLGDSDVEFKIIPIVGMGGLGKTTLAQLIFNDERIHYLFERRYWVCVSQNFDVRMIGKALVETKTEASRFLMNTEETVDSIVREELNGRRFFIVLDDVWNEDQEKWNVLQRWFSVGARGSAVLVTTRSANVAALMGTVDPYRLKLLSDDDVWLLFKNVAFSSKGEENINSRLVEIGKRLVARCKGLPLAIKTLAGLMRFKGEESEWLQIKDDDIWNLREFESSVLPALRLSYNHLPSHLKQCFAYCSIFPQGVTIGKEQLIQLWVAEGFIQSIEGSRSLEDIGNDYFMELFRRSFFVEIWRDEYGEIVKCQMHYLIHDLARFVASIGCSIMEGNTLQNVPEEVRHSSLVYDSYQLPTTLESLQKAKKLRTLLLFSNNLEKIPGLFLKLRSLRALDLSGSQIQKLSRSVGNLTHLRYLNLSCTRIETLPNSISKLQSLNTLELIECYNLQELPNSISELIKLRHLDIQSCCSLSHMPARIGKLRLLQKLPLFILSDIDGCGSISELSELDLRGKLEIKNLEFVDNPTDAENAKLLNKQNLRTLKLSWSHDAVASAEMSFQVLEKLMPPQELKVLHIIDYKGTSYPSWLINSLLQNVAEVSLVNCSCKKLPPFGQLPNLKYLYIKGMLKVEKIDNEFYGDGTIKAFPSLRQLELYDMPYLKEWWNLKSDEQSSMIETEESSSCKQIEEFTCLEYLTIKGCSNLSRLPELPNLKNLALWNCNEELLLSLDQLASLSTLVINEFRKPLSIPAGNLTSLRKMTIYDCDDLLAQLVDDMKPLSSLEHLRILYCDALKSLPTGLRYLTSLQKLEIAECRELVEVPDVMDKLSSLKELTIDGCPMLKSLPDSIRYLSRLEKLVIGRCPELIKQLHIEESDEWSKTAHIPRIEKEIEEYLTEEDSLSEPSY >Manes.S095219.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251204.1:10985:11766:1 gene:Manes.S095219.v8.1 transcript:Manes.S095219.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFERKRPRRQLPGSAAESEVRPPNMGRFREHFWPPKALFKRTKVRPPNMHEFRRHVRLPKVVDQAAYKEPSDRKWASFLPILELRVLKLESKF >Manes.08G159500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39573276:39579424:1 gene:Manes.08G159500.v8.1 transcript:Manes.08G159500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRSWSRLLHFHSLRKPKKPPIPQLFRQVSTFPRFPTAQTSPFSNSSTTFFCTAAGYSNRVVQDLLADLEREKQQEREARKRAGLDTKDIDEEDNEDYMGVGPLIEKLEKERLKPVVGDLHLREEPSDSDSDEDDERFGRETLTKGYEVFQKKHERHEELLRHFVDSETLEDAFKWMNKIDKFEQKHFHLRPEYRVIGELINRLKIAEGKDKFILQQKLNRAMRLVDWKEAYDPNNPANYGVIQHAQVGSNVDDLDNAGFEKQKQMIQGGSDEDEEEFDDMKERDDILLEKLNAIDKKLEEKLAELDHTFGKKGKVLEEEIRDLAEERNALTEKKRRPMYRKGFDVKLIDVNRTCKVTKGGQVVKYTAILACGNYHGVVGFAKAKGPAVPIALQKAYEKCFQNLHYVERHEEHTIAHAIQTEYKKTKVYLWPASTRTGMKAGRIVQTVLNLAGFKNVKSKVIGSRNPHNTVKALFKALNAIETPKDVQEKFGRTVVEKYLL >Manes.12G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4459540:4461619:-1 gene:Manes.12G048500.v8.1 transcript:Manes.12G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSNFIAILGVVALLLLYNQWRAKKYSSKGRSLPPQVPGALPIIGHLHQLGARKPFARILGDIADKHGPIFSINMGIHRTVVISNQHIMKEFYTTNDKFLASRPPSRSTTYLSYNGAAFGFTPYSSYWRDMRKLTMVEVLSPQRLKLFKDVRTSEVSHLVKDLFKQFIENKNNPIKVNISELYEHLVLNIMTRMVAGKRYFEGDNNGEDEKGRPFGRIMRDFMYAAGAFVPSDMSPLLGWTDFFGPVKSMKKISKEFDSIFEVWIQEHELRRLNGEVETPRDFIDVLLSAVKEDSTFNRETVIKATILTLIVGGSDTTSVTMTWMLANLLNNRRELELAQKEIDEKIGRDRPVEESDAENLVYLKAIMKETLRLYPAGPLAVPREAMEDCTLSGYHIPKGTRLLTNLWKLHRDESVWPNPDEFKPDRFLTTHADVDIWGQNFELVPFGSGRRSCPGLNFAMQVILLGTARLLQGFNFTTPNNEPVDMTESLNLTLDKETPLEVMVTPRLAPEFYQY >Manes.09G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31973764:31980468:1 gene:Manes.09G117200.v8.1 transcript:Manes.09G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAIGNSRADAAAMHTAMNAVQALGRGFDVNFDTRLLYCKGVVGSRVVQIDEEHTKNLFLYDDIELANVSRDINKSSDPKTRQSSGVCTFYEMVEYFNQKANISGVLPLGSFNSAFSFTGSKHIDAASTKTLSMDGFYIPLVKVQLMKSPLVLQDNVKQAVPNFWDPASLASFIENFGTHVITSVTIGGKDMIYVKQHQSSPLSTMEIKNYVQDIGNQRFFDTESHTSSGPMKIKDKGGDSGIFNSQGIYPQPTSAPYLTGKEDVTIIFRRRGGDDLEQNHSRWERTVRYSPDVIEMTFVPITDLLNGAPGKEHLTRAIGLYLEYKPPIEELRYFLEFQIPRVWAPVQENIPGHQRKEPVCPSLQFSMMGPKLYVSQEQISVGKKPVTGMRLSLEGAKQNRLCIHIEHVASLPKILLPYWDTHVPIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPVENPESFIGDFSGVYIVTGAQLGVWDFGSRNVLYMKLLYSRLPGCTIRRSLWDHAPNDKSKKVPSVNNTNPGGSSSGLTENTVGKKLAKFIDVSEMSKGPQDPPGHWLVTGGKLGVEKGKIVLRMKYSLLNY >Manes.09G117200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31974664:31980468:1 gene:Manes.09G117200.v8.1 transcript:Manes.09G117200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYFNQKANISGVLPLGSFNSAFSFTGSKHIDAASTKTLSMDGFYIPLVKVQLMKSPLVLQDNVKQAVPNFWDPASLASFIENFGTHVITSVTIGGKDMIYVKQHQSSPLSTMEIKNYVQDIGNQRFFDTESHTSSGPMKIKDKGGDSGIFNSQGIYPQPTSAPYLTGKEDVTIIFRRRGGDDLEQNHSRWERTVRYSPDVIEMTFVPITDLLNGAPGKEHLTRAIGLYLEYKPPIEELRYFLEFQIPRVWAPVQENIPGHQRKEPVCPSLQFSMMGPKLYVSQEQISVGKKPVTGMRLSLEGAKQNRLCIHIEHVASLPKILLPYWDTHVPIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPVENPESFIGDFSGVYIVTGAQLGVWDFGSRNVLYMKLLYSRLPGCTIRRSLWDHAPNDKSKKVPSVNNTNPGGSSSGLTENTVGKKLAKFIDVSEMSKGPQDPPGHWLVTGGKLGVEKGKIVLRMKYSLLNY >Manes.06G062400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19695948:19697036:-1 gene:Manes.06G062400.v8.1 transcript:Manes.06G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLATPLIKNSKAARVVLPTGEVRQFRHQPIKAAELMLECPNFFLVNSQSLHIGRRFSALSADEEVEFGNVYIMFPMKRVNSVATAADMAALFMAANSAAKRITGGKNNNNSNKVRVLPETCSDVPVEGSSLQGSEDGGSRLSSCEEEIEGFPRPEFNYRLSVCRSKKPMLETIKEEPVRSR >Manes.02G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:508260:509629:-1 gene:Manes.02G003600.v8.1 transcript:Manes.02G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNPSPLHSPILKLKSLLAKPLCIISPTYPGFLRRATTSLPKPLLSKCSSSNSSRESETSNNLKDALSGMVDKQVEDLLNREDNRALFDGLEKASQRVERAKRDLAEIERQELEAKQLRNYINQLESRASEIAECQQEILEAKTKVEEAEQFLSESIGREEGTNIEPERLESIKAASISAVVGTLAGLPFFFTQVTDIVQLALPLATTFISCALFGVTFRYAVRRDLENFQLKSGTCAAFGFVKGLGTLAGGPPLELNPASLLSRGFDGGVYVSENLLIFAFAAVSLDLCFKMGLLSPFPMQQQNS >Manes.02G003600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:508260:509663:-1 gene:Manes.02G003600.v8.1 transcript:Manes.02G003600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNNPSPLHSPILKLKSLLAKPLCIISPTYPGFLRRATTSLPKPLLSKCSSSNSSRESETSNNLKDALSGMVDKQVEDLLNREDNRALFDGLEKASQRVERAKRDLAEIERQELEAKQLRNYINQLESRASEIAECQQEILEAKTKVEEAEQFLSESIGREEGTNIEPERLESIKAASISAVVGTLAGLPFFFTQVTDIVQLALPLATTFISCALFGVTFRYAVRRDLENFQLKSGTCAAFGFVKGINFRLCSRGMELSANMRY >Manes.09G115100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31727749:31730218:1 gene:Manes.09G115100.v8.1 transcript:Manes.09G115100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGQRLNVATRLTSFPSNSSSFYSFEIQHEKIALYLNSGDWNYSYWEFKPSMNRNINYVELGSRGLELFNDKHHKMAQISLSKKIQHPLRFLALGNKTGNLGLYFFSPDKQRFEAAFQALNTTCDLPLSCKPYSICTFDSTCSCIQLLTKENGMGSDCKNDFSGGFCGRDKVEMLELYGISSVLRAASIELNTSKEDCAKFCLGNCKCVAALYSDELRECYIYGVVMGVKQVERGRGWTFMVKIQKGSHFDGGNSGLKKWVVALVGVIDGLVILLVLGGLSYYLIRKRRKNISSITDNTS >Manes.09G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31727749:31730216:1 gene:Manes.09G115100.v8.1 transcript:Manes.09G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCILCFLLLLFAYAHCKSDIHLGYRVTLAIPVEYSMGFIGRAFLMETNQMEPNFKAALSVEPIDGKYSCSLEVFLGDVKVWNSGHYSPFFTSERCVLELTKQGDLQLKGAKEMVGWRTGTSGQGVEILQILGTGNLVLVDALNFIKWQSFNFPTNVMLWGQRLNVATRLTSFPSNSSSFYSFEIQHEKIALYLNSGDWNYSYWEFKPSMNRNINYVELGSRGLELFNDKHHKMAQISLSKKIQHPLRFLALGNKTGNLGLYFFSPDKQRFEAAFQALNTTCDLPLSCKPYSICTFDSTCSCIQLLTKENGMGSDCKNDFSGGFCGRDKVEMLELYGISSVLRAASIELNTSKEDCAKFCLGNCKCVAALYSDELRECYIYGVVMGVKQVERGRGWTFMVKIQKGSHFDGGNSGLKKWVVALVGVIDGLVILLVLGGLSYYLIRKRRKNISSITDNTS >Manes.09G115100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31727749:31730218:1 gene:Manes.09G115100.v8.1 transcript:Manes.09G115100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILLWSMGFIGRAFLMETNQMEPNFKAALSVEPIDGKYSCSLEVFLGDVKVWNSGHYSPFFTSERCVLELTKQGDLQLKGAKEMVGWRTGTSGQGVEILQILGTGNLVLVDALNFIKWQSFNFPTNVMLWGQRLNVATRLTSFPSNSSSFYSFEIQHEKIALYLNSGDWNYSYWEFKPSMNRNINYVELGSRGLELFNDKHHKMAQISLSKKIQHPLRFLALGNKTGNLGLYFFSPDKQRFEAAFQALNTTCDLPLSCKPYSICTFDSTCSCIQLLTKENGMGSDCKNDFSGGFCGRDKVEMLELYGISSVLRAASIELNTSKEDCAKFCLGNCKCVAALYSDELRECYIYGVVMGVKQVERGRGWTFMVKIQKGSHFDGGNSGLKKWVVALVGVIDGLVILLVLGGLSYYLIRKRRKNISSITDNTS >Manes.09G115100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31727749:31730218:1 gene:Manes.09G115100.v8.1 transcript:Manes.09G115100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGQRLNVATRLTSFPSNSSSFYSFEIQHEKIALYLNSGDWNYSYWEFKPSMNRNINYVELGSRGLELFNDKHHKMAQISLSKKIQHPLRFLALGNKTGNLGLYFFSPDKQRFEAAFQALNTTCDLPLSCKPYSICTFDSTCSCIQLLTKENGMGSDCKNDFSGGFCGRDKVEMLELYGISSVLRAASIELNTSKEDCAKFCLGNCKCVAALYSDELRECYIYGVVMGVKQVERGRGWTFMVKIQKGSHFDGGNSGLKKWVVALVGVIDGLVILLVLGGLSYYLIRKRRKNISSITDNTS >Manes.05G094300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8634901:8640251:1 gene:Manes.05G094300.v8.1 transcript:Manes.05G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDTMPSVLVSTPPAALRLHWDVFLSFRGEDTRHTIIKNVYDSLAEHGVRVFRDDVGMSQGDEIAPSLLEAIEDSAASIIMLSPRYADSHWCLEELTTICQLRRLILPVFYKVDPSHVRKQTGPFENDFRIHTERFGEEKVGRWREAMKKVGGISGLPYNISKEPQLIQQLVKRVLTELRRTVGLATYTVGLDSRAEELMDLLNVKSNHIKVLGLHGMGGIGKTTLSKALYNKLLNYFEYRCFIPNVRETATEDGGLVSLQNKFLSALSPVNMSSVHELEAGVSMIKRMLHEKRVLAVLDDVDNVSQLNALAGNREWFSEGSRIIITTRNKDVLVEHLVNEVYEVRELYSTEALQLFSYHALRREKPTNDYLNLAKNIVSLTGGLPLALEVFGSYLFHKRTVKEWEDALKKLQQIRPNNLQDVLRISFDALDEEEKCIFLDIACLFVKIEMKREEAIDIFKGCGFRGETAITVLTEKSLIKLREELGGHILWMHDQLREMGKQIVLLENLTDPGTHSRLWNYDEIMTVLKHNKGTRNVQGIILELRKKQLVEDDRSVRTIFHNNFLSALNLTSVFEYLKEKFAYLPKEEKEGEIILNTNSFESMVNLRLLQINGVKLEGKFEHFPRELKWLQWKKCPFRNLPSDYRPSQLAILDLSESGIDRVCGWRSNMVAEKLMVLNLRYCYNLVAIPDLSGCKTLEKLDLEMCNRLPKIHKSVGNLRTLLKLNLKDCSNLIELPRDVSGLKQLQKLVLSGCKKLKELPEDIGSMKSLEELLLDQTAILQLPESIYRLTKLEKLSLNGCQFIKQLPKCLGNLNSLKQLSLNETALEELPDSVGSLSNLETLSLMWCNSLSFLPASIGKLESLTEIFVDSSAIEELPSSIGSLSYLKQLSAGGCRFLSKLPDSIGGLSSITELQLDRTPITNLPDQIGALRLTEKLYLRKCALIRSLPEALGSMYALTVLNLSGANITELPESIGMLENLIQLTLRDCKQLQKLPASIGNLKSLHRLLMERTGVTELPESFGMLSNLMILIMRKKPLKSLSAQEKLFEMPTSFPNLSLLKELDARAWGISGKIPDDFEKLSKLEILDLGYNKFDSLPSSLQGLSLLKNLCLKHCEKLISLPPLPSSLEELDISNCIALRIISDTSNLESLKQLNLTNCDEVLDIPGFECMKSLVRLYMSGCRACSVPIKRKLSKDFLRNIRYLSIPGSKIPDWFSQAEVSYSERRNYEIKAVLVCAVISLDNQIPDDLRDEIPVLPAIQARMSKPNKPLCVSTLDSMGVPKTNADQIHLCRYPDCHPLVFRLKDGFKVEVMAPDPPIIKGVQVKKCGIHLVFENDDDYIGNEELLDESQLSLSAKLAKFFQSNEEDGHEAC >Manes.15G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3859875:3865684:1 gene:Manes.15G050400.v8.1 transcript:Manes.15G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGSGPNDKGLSRKHIVEGTKASLKRLDMDYVDVIYCHRSDSSTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGIAERLDLMGPVVEQPEYNLLSRHKVESEYLPLYTNYGLGLTTWSPLASGVLTGKYGKGAIPSDSRFALENYKNLASRSLVDDVLKKVNGLKPIADELGVPLSQLAIAWCAANPNVSSVITGATKESQIQENMKAIDVIPLLTPAVMEKIEAVVQSKPKRPDSFR >Manes.10G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28987070:28998207:1 gene:Manes.10G122800.v8.1 transcript:Manes.10G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNLSNSGQDNKCNEKLPEVNWSQHANAHDNFSSQKKFLSSNFLFSLEGQKPCIEGSMAMRLTCCQIQSLQRLQSQEVEKAWHTLCTLQISCRNYLQPGKTGPLKNARNDSLQDVGKPTLHSSSNRRKDSEDMHANQNFRDSSLRNNESTRYTGNVFPQDNARAAEAGSDMGRQNNIKGSAINNTQSKAFVGLMSNHIVHTKQSKESPEALADFIDDDDLLGNIDVDQIVMEHYQSTSTPQPSVSKFPPITPTADKNNFMRSEETFLPSELCQNCNHGFKLGLCPEAANHLQEMKDMLISVSNELLDNTANLSPAQIEKLRQDRLQLNKQIQQLEKYLCDGERQNSHFSASTATRNFQYETPQSAACRIDPPRFNAQFQLPNGPGGHENWNLPSVSFSSVDRFGLSSGPVDREPYIPKFIEVNYIEGSNDPKWSSTNFSWTKKLEAYNKKVFGNHSFRPNQREVINASMSGFDVFVLMPTGGGKSLTYQLPALISPGITLVISPLVSLIQDQIMHLLQANISATYLSANMDWTEQQEILRELCSDYCKYKLLYVTPEKVAKSDVLLRHLDSLNARGLLARIVIDEAHCVSQWGHDFRPDYKELGILKKKFGKTPVLALTATATASVKEDVVQALGLVDCIVFRQSFNRPNLWYSVIPKTKKCLDDIDQFIKGNHFDECGIIYCLSRMDCEKVAKKLQECGHKAAFYHGNMDSAQRAYIQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMIIQGQIEQNPWTPGYNRTNMTNSERVLEKNTENVLRMVSYCENDVDCRRLLQLLHFGEKFDSGNCKKTCDNCSKIKTLVEKDVTEIAKQLVELVKLTGQQFSSSHILEVYRGSLNQYVKRYKHETLSLHGAGKHLAKGEASRILRHLVTEDFLVEDVKKSDIYGSVSSVLKVNESKAYNLCSSGQTIVLRFPSAVKVSKLSKYDATPAKGTLTYGEQSPLVDAPAQPQSEVDLSLSAKLYSALRMLRTILVKEAGDGVMAYHIFGNATLQHLCKRIPRTKEELLEINGIGKAKVSKYGDRLLETIESTIREHHNTDKNSSGSNDSTDSIKRRRDATRAAKLNVEEEDDFTKSTGRSKKRAAKLQNKDTEVYNARETDQNQCLDDDLDFEDSCYDHETNGSAVEADKNGTGRVLPSWSTPGNKIKSSNHNLFQEYAMNS >Manes.07G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22486133:22488600:-1 gene:Manes.07G076800.v8.1 transcript:Manes.07G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHHDEQQSSSFVLDSSLYCEEERWEEEAEEVCQNEILHVICDNNGTRKNPFFFPLSSMLKQDLSWEDGELHSLFSKEEEEEQSQVSFYNTEENADSCLSIARQQAVEWMLKVNAHYGFSALTAILAVNYLDRFLSSYYQRDKPWMIQLVAVTCLSLAAKVEETQVPLLLDLQVEDAKYVFEAKTIQRMELLLLSTLKWKMHPVTPLSFLDHIIRRLGLKTHLHWEFHKRCESLLLTVVSDSRSTTFLPSLLATATMMHVIDQVEPLNRMDCQNQLLGVLKVCKEKVNDCYELILELSKNKTNASAVKSHKRKYEPIPSSPSGVIDATVFSSDSSNDSWALSSSVTSSPEPLFKKNRAQDQRVFVDMVGSPR >Manes.07G032300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3539705:3547665:-1 gene:Manes.07G032300.v8.1 transcript:Manes.07G032300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDEIMDQSQILQVARGYICGRCRQRLHPNTTNVAEIQCSACERIASTRTNYKGNSSSNNKADVRFRSIVSQVVQSFKTTFLGSRHHRPDSNSEKPESLNCNPSPLESSSSTSRSDHRPRKRALLIGVTYKNWKHKLKGTVNDVRNMRSFLIDNLHFPPQNILVLTEDETKPDLTPTKKNIEISLNWLVEDCRDGDSLVFYYSGHGLRQPDFDSDERDGFDETICPVDFLKEGMIIDNYINSTIVQPLPKGVTLHAIVDACHSGTILDLIHVYNREKRKWEDNSPPNGNRKHTDGGFAISITACEDSQLAADTSAFKEKGMNGALTYVLIEIVKKYPGPTYGDLLDLIHETIEDINNSGCQFSRFLRSKFNNMLLQVRTRGTEDLSSESAASVSVRASQRFAGTG >Manes.07G032300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3545481:3547665:-1 gene:Manes.07G032300.v8.1 transcript:Manes.07G032300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDEIMDQSQILQVARGYICGRCRQRLHPNTTNVAEIQCSACERIASTRTNYKGNSSSNNKADVRFRSIVSQVVQSFKTTFLGSRHHRPDSNSEKPESLNCNPSPLESSSSTSRSDHRPRKRALLIGVTYKNWKHKLKGTVNDVRNMRSFLIDNLHFPPQNILVLTEDETKPDLTPTKKNIEISLNWLVEDCRDGDSLVFYYSGHGLRQPDFDSDERDGFDETICPVDFLKEGMIIDNYINSTIVQPLPKGVTLHAIVDACHSGTILDLIHVYNREKRKWEDNSPPNGNRKHTDGGFAISITACEDSQLAADTSAFKEKGMNGALTYVLIEIVKKYPGPTYGDLLDLIHETIEDINNSGCQFSRFLRSKFNNMLLQVILVSCNIWLNLTEKLIIKIFKFLEIV >Manes.07G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3545481:3547665:-1 gene:Manes.07G032300.v8.1 transcript:Manes.07G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDEIMDQSQILQVARGYICGRCRQRLHPNTTNVAEIQCSACERIASTRTNYKGNSSSNNKADVRFRSIVSQVVQSFKTTFLGSRHHRPDSNSEKPESLNCNPSPLESSSSTSRSDHRPRKRALLIGVTYKNWKHKLKGTVNDVRNMRSFLIDNLHFPPQNILVLTEDETKPDLTPTKKNIEISLNWLVEDCRDGDSLVFYYSGHGLRQPDFDSDERDGFDETICPVDFLKEGMIIDNYINSTIVQPLPKGVTLHAIVDACHSGTILDLIHVYNREKRKWEDNSPPNGNRKHTDGGFAISITACEDSQLAADTSAFKEKGMNGALTYVLIEIVKKYPGPTYGDLLDLIHETIEDINNSGCQFSRFLRSKFNNMLLQKPQLSASETFDVYSKHFIL >Manes.07G032300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3540635:3547665:-1 gene:Manes.07G032300.v8.1 transcript:Manes.07G032300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDEIMDQSQILQVARGYICGRCRQRLHPNTTNVAEIQCSACERIASTRTNYKGNSSSNNKADVRFRSIVSQVVQSFKTTFLGSRHHRPDSNSEKPESLNCNPSPLESSSSTSRSDHRPRKRALLIGVTYKNWKHKLKGTVNDVRNMRSFLIDNLHFPPQNILVLTEDETKPDLTPTKKNIEISLNWLVEDCRDGDSLVFYYSGHGLRQPDFDSDERDGFDETICPVDFLKEGMIIDNYINSTIVQPLPKGVTLHAIVDACHSGTILDLIHVYNREKRKWEDNSPPNGNRKHTDGGFAISITACEDSQLAADTSAFKEKGMNGALTYVLIEIVKKYPGPTYGDLLDLIHETIEDINNSGCQFSRFLRSKFNNMLLQVRTRGTEDLSSESAASVSVRASQR >Manes.12G007400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:841041:850245:1 gene:Manes.12G007400.v8.1 transcript:Manes.12G007400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKFDLPDDLLSSKPSDHSWTPKDQLASESSIPLSPQWLYSKPSETKMDMRTLTSASIGNSNDPSQKDGWRLDGSEDKKDWRRIATENESSRRWREEERETGLLGSRRDRRKTDRRGDNVSIRETADNRVLPSPDRWHEGSNRNSGHEARRDSKWSSRWGPEDKEKESRIEKRIDADKEKDDAHYDNQSAIVSNRSADSDSRDKWRPRHRMEGHSTGSTSYRAAPGFGLERGRGEGSNLGFALGRGRSNAIGRSSSAGIITAPHTHRSGNVIGKPNLSIETFCYPRGKLLDIYRRQKLDSSFSAMPDEMEESLPITQADIVEPLAFVAPDAEEEVTLTDIWKGKLTSSGVVYNSFRKGRSTENVSGAGDDEYVEGKLGILSSVQSEVTADTFQGAANDGAYQTDGDHSLWNHDSRLNALDEKHHNHDANKVTTDTAIGLECDVAGTIKEIFTSSDGASQLNISENGQIVNSALTRHFHSDDIDLASSFDVKSKLPDDSNSLFTLPSPDQDNSSSLLHLVSKSEAKDLEMSTPHEDLYFYYIDPHGNTQGPFLGADIILWFEEGYFGTDLPVRLADAPEGTSFQSLGDVMPHLKLRGVYPSSEQEQSSALGGKLEPGLPPAAPDNTDSSAVNELRQPLSDLSSLSAHHVQSRISEPENPLQLPHSEGQSFHDFVAHDEEIVFPGRPGSSSYPIMQPSGNAGDPLVKSNGQPSLLHELTEPGLPYQSDNKLHPFGLLWSELEGSQTRQLDSPNIPSNVGRPSPFGAMTDRGVEKWSDVYRQEMLSVPNSFQDATAARHLSRIEQEPNHFGLAEQHMSRQFQQQQQQIQQRNMLSSHSHLNESLLEHVPGQNLIHHQQLANHPVPDLEHLLALQLQQQQQQQQQQQQQRQLQLQQQQQQLHQQQKLLQERQQSHARQVLLEQLLHGQMADPGPVQSRVDPLRANNVLDQVLLEQQLLHELQQRSHHPQRNFVPSVEQLAQAKFGQASQQDHHRDLIELLSRAQHGQIQSLEHQILQEQLQARQLPMGLRQRMNLEEERHIDSMWPPVNENDQFFRSLNQRAHSSGLSPLDFYQQQQRPPHEDQLSHLERNLSFQDRLLLGLYEPGSMLFERSLSLPAGASGMNMDIVNAMVHAHGLDMQDLSSQMQSSGQVGTLSSGTHPHNPHHHMVPNQFHVSHLDAIEGHWPESNGPLTNDWMESRIQQLHINSERHKRGSDIKLTAEDSSLWMSDGLNDEKSRRLLMELLNQKSGHQSSETLQMSEGMPFEKKSPSGIYSGSSSSDHPFAVVSEWEASQNNSFAIGAYGSNACESAEVSSAGEKASTEKLLFRSESGAACEGNLSLLGISHSAQAVLTDPKFVDKSSINREYLEVEGRKHGSKAQVMAKNSVTEIHNGVADQAQLSNADRGEVPVNSLSRHSSLGVSGCYDDKIGQQNFTEDININRVAVPSKGQENILLRRPPVSRASSSQEGLSELAADTVVRGKSSSGVEGGNLVNQGADSTTFGKKDVRFRRTSSCGDADVSEPSFIDMLKSNAKKTTASEVQMTAAGSESSDGTQGGRSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.12G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:841041:850245:1 gene:Manes.12G007400.v8.1 transcript:Manes.12G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKFDLPDDLLSSKPSDHSWTPKVEALGIDAEKVSAGLHDETKDQLASESSIPLSPQWLYSKPSETKMDMRTLTSASIGNSNDPSQKDGWRLDGSEDKKDWRRIATENESSRRWREEERETGLLGSRRDRRKTDRRGDNVSIRETADNRVLPSPDRWHEGSNRNSGHEARRDSKWSSRWGPEDKEKESRIEKRIDADKEKDDAHYDNQSAIVSNRSADSDSRDKWRPRHRMEGHSTGSTSYRAAPGFGLERGRGEGSNLGFALGRGRSNAIGRSSSAGIITAPHTHRSGNVIGKPNLSIETFCYPRGKLLDIYRRQKLDSSFSAMPDEMEESLPITQADIVEPLAFVAPDAEEEVTLTDIWKGKLTSSGVVYNSFRKGRSTENVSGAGDDEYVEGKLGILSSVQSEVTADTFQGAANDGAYQTDGDHSLWNHDSRLNALDEKHHNHDANKVTTDTAIGLECDVAGTIKEIFTSSDGASQLNISENGQIVNSALTRHFHSDDIDLASSFDVKSKLPDDSNSLFTLPSPDQDNSSSLLHLVSKSEAKDLEMSTPHEDLYFYYIDPHGNTQGPFLGADIILWFEEGYFGTDLPVRLADAPEGTSFQSLGDVMPHLKLRGVYPSSEQEQSSALGGKLEPGLPPAAPDNTDSSAVNELRQPLSDLSSLSAHHVQSRISEPENPLQLPHSEGQSFHDFVAHDEEIVFPGRPGSSSYPIMQPSGNAGDPLVKSNGQPSLLHELTEPGLPYQSDNKLHPFGLLWSELEGSQTRQLDSPNIPSNVGRPSPFGAMTDRGVEKWSDVYRQEMLSVPNSFQDATAARHLSRIEQEPNHFGLAEQHMSRQFQQQQQQIQQRNMLSSHSHLNESLLEHVPGQNLIHHQQLANHPVPDLEHLLALQLQQQQQQQQQQQQQRQLQLQQQQQQLHQQQKLLQERQQSHARQVLLEQLLHGQMADPGPVQSRVDPLRANNVLDQVLLEQQLLHELQQRSHHPQRNFVPSVEQLAQAKFGQASQQDHHRDLIELLSRAQHGQIQSLEHQILQEQLQARQLPMGLRQRMNLEEERHIDSMWPPVNENDQFFRSLNQRAHSSGLSPLDFYQQQQRPPHEDQLSHLERNLSFQDRLLLGLYEPGSMLFERSLSLPAGASGMNMDIVNAMVHAHGLDMQDLSSQMQSSGQVGTLSSGTHPHNPHHHMVPNQFHVSHLDAIEGHWPESNGPLTNDWMESRIQQLHINSERHKRGSDIKLTAEDSSLWMSDGLNDEKSRRLLMELLNQKSGHQSSETLQMSEGMPFEKKSPSGIYSGSSSSDHPFAVVSEWEASQNNSFAIGAYGSNACESAEVSSAGEKASTEKLLFRSESGAACEGNLSLLGISHSAQAVLTDPKFVDKSSINREYLEVEGRKHGSKAQVMAKNSVTEIHNGVADQAQLSNADRGEVPVNSLSRHSSLGVSGCYDDKIGQQNFTEDININRVAVPSKGQENILLRRPPVSRASSSQEGLSELAADTVVRGKSSSGVEGGNLVNQGADSTTFGKKDVRFRRTSSCGDADVSEPSFIDMLKSNAKKTTASEVQMTAAGSESSDGTQGGRSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Manes.15G000400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:452285:454079:1 gene:Manes.15G000400.v8.1 transcript:Manes.15G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFSATPFIFLKANFGLAIILIIAIFFTSNIHAISSKTVIDSPLLTQKIGTNRTIKVDLNGDGDFTSIQEAINAVPENNSQWIIIHVRKGVYREKVHIPKNKPYIFMRGNGKGKTALVWSMSSIDNKESATFTVESPHFIAFGISFKNEAPTGIAFTSQNQSVAAFVGADKIAFYNCAFYSTHNTLFDYKGRHYYYKCYIQGSIDFIFGRARSIFQSCEIFVLVDMRVKILGSITAQNRESDDNSGFVFVKGKVYGIGNVYLGRARGAYSRTVFAKTYLSNSITAKGWTNWSCVGSTEHIFQAEYKCYGPGAYTIARAPWSKQLTEEEAERFMSIDFIDGQQWLPIWM >Manes.11G138100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30344120:30347649:-1 gene:Manes.11G138100.v8.1 transcript:Manes.11G138100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKEVSAMIKQGFISDPTLSFSPSRTSSTATFSRVLNSPNSKTVLSPPHFNSTESSNPPTRSGHHPTLFQMMSEEQTLEQNRQRSQSKISKIVKEFEDLNNCGLGLGDVRLTVIGRDGYRVSVNAHKRVLIEKSGFFREKLRDREKGVMHSVEISECDDVEVYLETLLLMYFDDLKKRLMGEEVYKVLALLQVSAAIMFDAGIMSCLKYLEAVPWSEDEEEKVIFHLSQLQLHDSATEVLQRVSSELSTSTRADDIVLKLLNGVLQAKDDKARREMKTVISRLFREDPSDHNNRLDVSKDTLYSLCHGCLSSLVLCLSEAACMDDTQHDRGGLMSDIAREAENLQWIVDILIDKKSADEFVKLWAEQKELAVLHSKIPTIYRHEISKITAQLCIAIGRGQILVPKETRFSLLSTWLDALYEDFGWIRRACKSVDRKLVEDGLGRTILTLPLLQQQAILLNWFDRFLNKGDDCPNIQRAFEVWWRRAFIRQYAGEQDSSQLQITVCDYPN >Manes.11G138100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30343893:30347821:-1 gene:Manes.11G138100.v8.1 transcript:Manes.11G138100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKEVSAMIKQGFISDPTLSFSPSRTSSTATFSRVLNSPNSKTVLSPPHFNSTESSNPPTRSGHHPTLFQMMSEEQTLEQNRQRSQSKISKIVKEFEDLNNCGLGLGDVRLTVIGRDGYRVSVNAHKRVLIEKSGFFREKLRDREKGVMHSVEISECDDVEVYLETLLLMYFDDLKKRLMGEEVYKVLALLQVSAAIMFDAGIMSCLKYLEAVPWSEDEEEKVIFHLSQLQLHDSATEVLQRVSSELSTSTRADDIVLKLLNGVLQAKDDKARREMKTVISRLFREDPSDHNNRLDVSKDTLYSLCHGCLSSLVLCLSEAACMDDTQHDRGGLMSDIAREAENLQWIVDILIDKKSADEFVKLWAEQKELAVLHSKIPTIYRHEISKITAQLCIAIGRGQILVPKETRFSLLSTWLDALYEDFGWIRRACKSVDRKLVEDGLGRTILTLPLLQQQAILLNWFDRFLNKGDDCPNIQRAFEVWWRRAFIRQYAGEQDSSQLQITVCDYPN >Manes.18G066300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5976329:5979203:1 gene:Manes.18G066300.v8.1 transcript:Manes.18G066300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLLHCKNTQPKTLISPFFQNPNPSPFTLLFTSQFSTSFLVTKTPKKYKKKRKKSESPRTKPVQHASNRNPYFESLVERDSYFRFLTKSKQFLSHQPEHVLRLEDAGKLYRELGFSRGRKVTRFIQRHPLIFQTYRHTDNKMWLGFTGFMEDLLEEEKSIVDSMEGDRVNKVRKLLMMSKNNRIPLSKIHHCRLLFGIPDDFRDRVIKYPDYFRIVVEDDGKRVLELVNWEPKLAISELEKDFMVNEDKVKKTFKFPVKHAKDLDLDEEDSRKLNLLNTLPLVSPYSDGERLELWSLEAEKYRLGVLHEFLSLTLEKRASIHHIVEFKEEFCLTRHTYDMLKRQLRTFYLAGTEMNWVVFLKDAYDESGNLVNKDPQVVFNEKLFKYAQMKDRELDCGLGGK >Manes.18G066300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5976329:5979203:1 gene:Manes.18G066300.v8.1 transcript:Manes.18G066300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLLHCKNTQPKTLISPFFQNPNPSPFTLLFTSQFSTSFLVTKTPKKYKKKRKKSESPRTKPVQHASNRNPYFESLVERDSYFRFLTKSKQFLSHQPEHVLRLEDAGKLYRELGFSRGRKVTRFIQRHPLIFQTYRHTDNKMWLGFTGFMEDLLEEEKSIVDSMEGDRVNKVRKLLMMSKNNRIPLSKIHHCRLLFGIPDDFRDRVIKYPDYFRIVVEDDGKRVLELVNWEPKLAISELEKDFMVNEDKVKKTFKFPVKHAKDLDLDEEDSRKLNLLNTLPLVSPYSDGERLELWSLEAEKYRLGVLHEFLSLTLEKRASIHHIVEFKEEFCLTRHTYDMLKRQLRTFYLAGTEMNWVVFLKDAYDESGNLVNKDPQVVFNEKLFKYAQMKDRELDCGLGGK >Manes.01G044700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8994664:8998083:-1 gene:Manes.01G044700.v8.1 transcript:Manes.01G044700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLSSLPLGFRFRPTDEELVNFYLRLKINGNDEDVRVIRELDVCKWEPWDLPDLSIIKTKDQEWFFFCPLDRKYPNGHRLNRATSKGYWKATGKDRKIKSGNNLIGMKKTLVFYTGRAPRGTRTHWVIHEYRATEDDLDGTKPGQSPFVICRLFKKQDDSVEGLNSEEPEATLSSPTAAQSSPEVAESDLALPQASPVNASPSNILSFNKCLNPHQSVVQTVDATTLEEDTQLEEDLNWFVQPPEPLDDKLFSPLHAQVQAEAGCSYYNVNDWNSCNSGVQSHHITNETDAAYVTSFLNDILQQPVEHSCEGAGSIKNVAFDEYPESYVGLDAKITRTLLEEELQSPVWLEEEIDKKPPLHVETIPEDNRSVTFLQLPLHNETYGGRSFASSSGTDQLTNFQQISNHMNQIGSSESVGTGIRIRARNPQNLPNAGNSAMQGLASRRLRLQCKLQVQPLQFGEKLKEHKLEQRVPEELKNRDKDASVGIDSCSTKDEHEQWRFFLSENKEVSEELMPNPGSNVRLRSHASMLKKVVA >Manes.01G044700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8994664:8998083:-1 gene:Manes.01G044700.v8.1 transcript:Manes.01G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLSSLPLGFRFRPTDEELVNFYLRLKINGNDEDVRVIRELDVCKWEPWDLPDLSIIKTKDQEWFFFCPLDRKYPNGHRLNRATSKGYWKATGKDRKIKSGNNLIGMKKTLVFYTGRAPRGTRTHWVIHEYRATEDDLDGTKPGQSPFVICRLFKKQDDSVEGLNSEEPEATLSSPTAAQSSPEVAESDLALPQASPVNASPSNILSFNKCLNPHQSVVQTVDATTLEEDTQLEEDLNWFVQPPEPLDDKLFSPLHAQVQAEAGCSYYNVNDWNSCNSGVQSHHITNETDAAYVTSFLNDILQQPVEHSCEGAGSIKNVAFDEYPESYVGLDAKITRTLLEEELQSPVWLEEEIDKKPPLHVETIPEDNRSVTFLQLPLHNETYGGRSFASSSGTDQLTNFQQISNHMNQIGSSESVGTGIRIRARNPQNLPNAGNSAMQGLASRRLRLQCKLQVQPLQFGEKLKEHKLEQRVPEELKNRDKDASVGIDSCSTKDEHEQWRFFLSENKEVSEELMPNPGSNVRLRSHASMLKKVPSMFLKASSTHSPIWSIIMFGVVFIAILLLILVSKWNCLVFETA >Manes.01G205500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37852651:37855571:-1 gene:Manes.01G205500.v8.1 transcript:Manes.01G205500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQIKIYHNLTPEIQKGKRLHEFVEKSGTHSSIYKVTMNPGTHQTPVTGKQRHGSLPILRSSLPLSKPPNPKSSPPVVSKKRSRVGQSQSLGDITLLNQQHSLELRWDPATPVNIPDQSRSNILRVSAPPLMYEMESRNRDCFLKRCYHCKKRLDQSKNIYMYGDSAFCTHECREAQIAIDKATAKTDTRTVGRETNR >Manes.11G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3269656:3276215:-1 gene:Manes.11G034000.v8.1 transcript:Manes.11G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKSNFLSNTGISGSCSPFSAKQRGLVPKAPTSLKIVALNPNREANGSVLMENTLQEIRDGAAVLNTVAGGVRDVYGEDTATEDQFVTPWSLSVASGYSLLRDPRYNKGLGFSDKERDAHYLRGLLPPAVVSQELQVKKMMHIIRQYQLPLQKYMAMMDLQESNEKLFYKLLIDNVEEMLPIVYTPTVGEACQKYGSIFGRPQGLYISLKEKGRILEVLRNWPEKDIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLRQRRATGQEYSELLYEFMSAVKQNYGERILVQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKLVGGTLADHRFLFLGAGEAGTGIAELIALEMSKQTNKPAEETRKNIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPLKTLLDAVNDIKPTVLIGTSGVGRTFTKEVVEAMASFNERPIILALSNPTSQSECTAEEAYTWTKGRAIFASGSPFAPVEYEGEVHAPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASQALAAQVTQENFDKGLIYPPFSNIRKISAQIAANVAAKAYELGLATRLPQPKDLVKYAESCMYSPAYRNYR >Manes.10G010100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1100047:1108556:1 gene:Manes.10G010100.v8.1 transcript:Manes.10G010100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLAQSSSEKQTTNWSHHIQESMECEFLGIHAPNVVDLSKNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLPFCGRTLLEGLIRDLQAREFLHYKLYGKQCIIPVAIMTSSAKNNHERITSLCEKLSWFGRGRSSFQLFQQPLVPAVSAEDGQWLITKPFSPVSKPGGHGVIWKLAYDKGIFEWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLRHGKKLGFASCKRNSGATEGINVLIEKKTLDGKWAYGLSCIEYTEFDKFGITSGACYSNSLQAEFPANTNILYVDLSSVESVASSNSEKSLPGMVLNTKKQIAYEDSFGNCHSVSGSRLECTMQNIADNFLNTYLSRFYQGVEDKLDTFIVYNERRKVTSSAKRKRKHVDQSLHQTPDGALLDILRNAYDLLSCCDIELPKVEGNDRYVNSGPPFLIFLHPSLGPLWEVTRQKFYGGSISEGSELQIEVAEFMWRNVELDGSLIVIAGNVMGSTRIDANGEPMLQYGRRCGRCRLQNVKVLNKGIDWSCGENAYWKHSVQRFEALKVILHGNAEFEANNVILEGNHVFEVPDGYKMKIISGNSGLEVQLNPIEPNMMDTGSWFWNYKINGTHILLELVEL >Manes.10G010100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1101393:1108556:1 gene:Manes.10G010100.v8.1 transcript:Manes.10G010100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELLAQSSSEKQTTNWSHHIQESMECEFLGIHAPNVVDLSKNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLPFCGRTLLEGLIRDLQAREFLHYKLYGKQCIIPVAIMTSSAKNNHERITSLCEKLSWFGRGRSSFQLFQQPLVPAVSAEDGQWLITKPFSPVSKPGGHGVIWKLAYDKGIFEWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLRHGKKLGFASCKRNSGATEGINVLIEKKTLDGKWAYGLSCIEYTEFDKFGITSGACYSNSLQAEFPANTNILYVDLSSVESVASSNSEKSLPGMVLNTKKQIAYEDSFGNCHSVSGSRLECTMQNIADNFLNTYLSRFYQGVEDKLDTFIVYNERRKVTSSAKRKRKHVDQSLHQTPDGALLDILRNAYDLLSCCDIELPKVEGNDRYVNSGPPFLIFLHPSLGPLWEVTRQKFYGGSISEGSELQIEVAEFMWRNVELDGSLIVIAGNVMGSTRIDANGEPMLQYGRRCGRCRLQNVKVLNKGIDWSCGENAYWKHSVQRFEALKVILHGNAEFEANNVILEGNHVFEVPDGYKMKIISGNSGLEVQLNPIEPNMMDTGSWFWNYKINGTHILLELVEL >Manes.10G010100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1100047:1108556:1 gene:Manes.10G010100.v8.1 transcript:Manes.10G010100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFCGRTLLEGLIRDLQAREFLHYKLYGKQCIIPVAIMTSSAKNNHERITSLCEKLSWFGRGRSSFQLFQQPLVPAVSAEDGQWLITKPFSPVSKPGGHGVIWKLAYDKGIFEWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLRHGKKLGFASCKRNSGATEGINVLIEKKTLDGKWAYGLSCIEYTEFDKFGITSGACYSNSLQAEFPANTNILYVDLSSVESVASSNSEKSLPGMVLNTKKQIAYEDSFGNCHSVSGSRLECTMQNIADNFLNTYLSRFYQGVEDKLDTFIVYNERRKVTSSAKRKRKHVDQSLHQTPDGALLDILRNAYDLLSCCDIELPKVEGNDRYVNSGPPFLIFLHPSLGPLWEVTRQKFYGGSISEGSELQIEVAEFMWRNVELDGSLIVIAGNVMGSTRIDANGEPMLQYGRRCGRCRLQNVKVLNKGIDWSCGENAYWKHSVQRFEALKVILHGNAEFEANNVILEGNHVFEVPDGYKMKIISGNSGLEVQLNPIEPNMMDTGSWFWNYKINGTHILLELVEL >Manes.10G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1100047:1108556:1 gene:Manes.10G010100.v8.1 transcript:Manes.10G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTAKPISYNNTLFSSFGSKTLRFSFVNSTHFSKSLPPPLLSFSCSSSQPSWSCFSITRVSTAPVEYAPPAPDDNFQQEISRLKSLRLKLACANSLHQKLLVLDSDYRVMMFFNAHGNGVSKALSLLNLSSREWFLVKCLVAAGQEHVLSLGFELVGSEVESAKGALKSALYALVEMIERFDLKDNGEYGLEKSDNGVYLNDEDIGDLKKLLKTLAEIEQFYDCIGGIIGYQIMVLELLAQSSSEKQTTNWSHHIQESMECEFLGIHAPNVVDLSKNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLPFCGRTLLEGLIRDLQAREFLHYKLYGKQCIIPVAIMTSSAKNNHERITSLCEKLSWFGRGRSSFQLFQQPLVPAVSAEDGQWLITKPFSPVSKPGGHGVIWKLAYDKGIFEWFYDHGRKGATVRQVSNVVAATDLTLLALAGIGLRHGKKLGFASCKRNSGATEGINVLIEKKTLDGKWAYGLSCIEYTEFDKFGITSGACYSNSLQAEFPANTNILYVDLSSVESVASSNSEKSLPGMVLNTKKQIAYEDSFGNCHSVSGSRLECTMQNIADNFLNTYLSRFYQGVEDKLDTFIVYNERRKVTSSAKRKRKHVDQSLHQTPDGALLDILRNAYDLLSCCDIELPKVEGNDRYVNSGPPFLIFLHPSLGPLWEVTRQKFYGGSISEGSELQIEVAEFMWRNVELDGSLIVIAGNVMGSTRIDANGEPMLQYGRRCGRCRLQNVKVLNKGIDWSCGENAYWKHSVQRFEALKVILHGNAEFEANNVILEGNHVFEVPDGYKMKIISGNSGLEVQLNPIEPNMMDTGSWFWNYKINGTHILLELVEL >Manes.10G133680.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29983427:29984848:1 gene:Manes.10G133680.v8.1 transcript:Manes.10G133680.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAMDDAVVMFPSPAIGHFISMVELGKLILTFQPSLSIHTLIVSAPYSAGSTASYVADVAATTPSVSFHRLPTITLPSSTNTHYETLIFEVLRLSNPHVHQALLSISKTHKIKAFIMDFFYFFSLSIASQLNIPGYFFFTSGAGSLAASMYFPTLHQTTTKSFKDMNTFLNLPGLPPISSSNFSSAVSDRNNKAYEYFLDMAYCFPKSAGVIVNTFAVLEARALKAMSDGLCIPDSTTPPVYCTGPLVVTNNQTDGDTECLNWLESQLSQSVIFLCFGSLGLFSMEQLREIASGLERSGQRFLWVVRNPPSDSQSLDISALPEPDLNSLLPDGFLDRTKEKGLVVKSWAPQVAVLNHNAGVPMVAWPLYAEQKFNRLMLVEEMKIALPMKEDEKGFVTGLEVEKRVNELMQSDSGKSVRERTIAVKNSAKAALSEGGSSRVAMSRLVESWKH >Manes.05G118800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12395824:12396141:-1 gene:Manes.05G118800.v8.1 transcript:Manes.05G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLSSLFRIIICLSLLFIFFHGWCFLFSNNGEDNIHVSLYQVQTLSNRRMLSTKFDFTPFLKKSHRIHHWHLPVHPHPAGTEIDPRYGAEKRLVPTGPNPLHH >Manes.02G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8742184:8752723:1 gene:Manes.02G113400.v8.1 transcript:Manes.02G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAESGQKLYTRMRLWEFPDEYVIEPTDGSSSSPLAVSRVDGSMKLIDGVPECSALRVPKIKTIFGVVGMLKLVAGSYLVVISEGESVGSYLGHPIFKISSLKILPCDHSLKDSSVEQKKVETEFSGLLRVAERTSGLYFSYHTNLTLSAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEALIDNKLDPYLLPVVQGSFQNFQAAIGKDIVEVTLIARRCTRRNGTRMWRRGADPDGYVANFVESEQIVHMNGFTTSFVQVRGSMPFIWEQIVDLTYKPKFEIVKPEDASQVAERHFLDLRKKYGAVIAVDLVNEHGGEGRLSEKFAKATQHIISDDVRYLHFDFHKICGHIHFERLSILYDQIAEFLDKSGYLLLNEKGEKIKEQIGVVRTNCIDCLDRTNVTQSMIGRRMLEIQLRRIGVFSAEETISSHPNFDDSFKILWANHGDDISIQYSGTPALKGDFVRCGQRTAQGILKDGWNALARYYFNNFSDGVKQDAIDLLHGHYIVSVNRDMTPPSQTGGLENIASFPLALSLVMTGFFLSMMSLCRVPYDLRHLFFLLIWASLTAAIAAFVKANGRIFCNRPRLHKPRR >Manes.14G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13340433:13347599:-1 gene:Manes.14G144400.v8.1 transcript:Manes.14G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGAAYTLKWTFHNELGLVFVAVYQRILHLLYVDELLAMVKHEFSEIYDPKRMNYNDFDETFRQLRKEAEARAEELKKSKQVSKPVNDGKKQGQVKKGGFEGGNKKKGEANDGGDGDTGKSYKLENGHSNGNHVNIEGSRTGVSNGKENASSNVGAFDVSKLQKLKSKGGKKTNTVVSKGSKVDVDPKKKITKKNRVWDDSPRESKLDFTDPLENVNENIEVVATDHGESMMDKEEIISSDSEAEDEEVGKDSKPDTKKKGWFSSMFQSIAGKANLEKSDLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNISVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPSPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Manes.08G021200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2129461:2131859:1 gene:Manes.08G021200.v8.1 transcript:Manes.08G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFTESLSFCIISPLSSEMGKRINSVNRLRATHLLFIVFVIFTSRWSYVVAVATQELLVGFKATPSPSVSSFQSLLNDSTGNFSLGFLRVNRVQLSISVIHLPSLQSLWQVNPTSLARWSDHTQLFFNGSLVISDPHSGLFWSTGTRGDKVVLLNNSNLQIQKLEGSPSSVLWQSFDFPTDTLVEKQNLTSNMSLVSSNGLYSLRLGDTFMALYVKFEEKMQQMYWKHKALEARAVIIEGQGPILARVESSGYLGMFQTGNSPVDVQAFNSYQQPFNRFLLVRLESDGNLKGYFLDGSTWVLDYQAISDMCDLPSPCGSYGLCKSGSGCSCLDNRTEFSSGECLAVQSGDLCSDGEAKTQNDFRVLRRQGVELPFKELMGYKTVSSLQQCEGLCESNCSCWGAVYNNASGFCYLVDYPIRTLLSVGDESKAGYFKVREGTGKKMKVGVWILSGAIAVMIGAIGFMSCRFWKRRGVKRILVEEDGISPGPYKDLGSASFRSIEMGTV >Manes.01G196000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37010304:37014408:1 gene:Manes.01G196000.v8.1 transcript:Manes.01G196000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKESSTILSSTLDGENCHSFESCVEKKLKLFGFELNPCRNDESCLKVSAEGDESVNSSNVVSSERDKPVKEKSLTADPDDKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQARKASISCYLQPFQKNLSYNCHGSKWFYDPSCYTPEFNLCEESQISFIPYDQDSHINASQVPNWYAIPAQVPFQQETCMFTLTRPDRSREHRPVIKKRSPLPASKQTCKSLDLQLGLGLQSNIRSSTRTGI >Manes.10G084333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21637573:21641644:-1 gene:Manes.10G084333.v8.1 transcript:Manes.10G084333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMNQYFNTYDVFSGQPLPNASSSWFKNLMQVIFSSPPTTATIFASLFDLILPRPSVEEGEEKKEDAKEPPKPKMPEKPKETEKPIDGAKPKVRVMLPIVPAPPEVRETTISEPQKSLPLPKKEVMEPPLAEDLKSFIDENHSASFVLKKLFS >Manes.14G080200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6648717:6650783:1 gene:Manes.14G080200.v8.1 transcript:Manes.14G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAELAGFLQVFSDGSVKRFAPEIAPASMESKGYKFKDVVIDENKPITGRLFLPESSARKLPVMVYFHGGGFCFGSTTWLGFHQFLADFSITSKVIVLSIDYRLAPENRLPIAYDDGYSSLEWLSHQASVEPWLKFANLSLVFLSGDSAGGNIAHHVAVRATRNKVPRVKIKGLLLIHPYFGSERRTEKEMDNPADKEVIQNDMFWRLSIPEGSNLDYFGCNFEIQDLSPKEWSLFPATMVNVARQDCLNERGEMYAEFLLTQGVKRVDLVEAEEESHAFHVLHPESRATYLLQQQMFVFIHSECIQAF >Manes.12G116700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31822470:31826892:1 gene:Manes.12G116700.v8.1 transcript:Manes.12G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIANSLKLDDDDDDDDDRSPNSNVDSNSNSPSNPQSDDHAQSPSPPSPSSTVAATTPRGVKEDLSELTKTLTRQFWGVASFLAPPPDPSSPSSPHSRQISDEPTDNPQPNQLSDSEESDEDLIAGIRSDFAEIGGKFKSGISKLSSNVTVSEITKIASNLLQIGPERDLNDEFLIGSAVGVTEDVVTFARDIAMHPQTWLDFPVPDDEDFDDFDMSDAQKEHALAVEHLVPRLSALRIEFCPGHMSEGCFWKIYFVLLHPRLSKHDAELLSTPQIMKVRAMLSQELHDKAKATKADWSETGTFRSKGTADLPHEESLPVPSHSEFGSVPLRTSIIEVVPPNTAAKTSGNEAIPSGFTDEQETEKHPVQSVEMQIIDKAVVKEESVDHAKHRHLSGSTSKIEDDADDWLREESSEMVGTSGTTMHIRNDEDVSFSDLEEDDGDEPTSYKEVILGSDASTKDSRDWVQFKKGSSDSV >Manes.13G002100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:767332:772346:-1 gene:Manes.13G002100.v8.1 transcript:Manes.13G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCIALPWKYDVFLSFSGEDTRKNFSSHLFAALSQKGVTTFKDDQELEGGKSLSQELINVIQQSKISIVIFSRNFASSTCCLDQLVEIFQSMKTKGQVVLPVFYGVDPSDVRKQSGNFGESFAKHQEYFKENIGKVQRWRVAATQLANLSGWDLQDRHESELIEEIVEEVLKKLRKSSDRFTSAAKNFVGMSSRLKEMNLHLVEAQLDDVRFVGICGMGGIGKTTIARAIYGYLSSQFEGSCFLANIREVEEKHGLIPLQEQLLSEILMERNITIWDANSGTCEIRNKLRHRRVLIVLDDVNRLEQLKSLAGRPDWFGCGSRIIITTRDEHLLLCHGVEKIYRVEGLDHDQALQLFCLRAFRSDYPADDYLEISNHFVNYANGLPLALDVLGSFLLGRSINEWRSALDRLKEIPNKEILDKLYISFDGLEELEKKIFLDIACFFKGDDKDYVIKVLESCGFCPDIGIRVLLNKSLITISDDRIWMHDLLQEMGQEIVRRSCYEEQGNQSRLWLYKDVYHVLMNNTGTEHIEGIVLDSCEQDDEVLSAKAFVKMKNLRLLILKNLHLPEGLEHLSNKLRYLDWDRYPFKSLPSTFQPDELVEMHLRYSNIKELWKGIKPLKTLKVIDLSYSVNLIKTMDFREVPNLEKLNLEGCVRLVEVHPSVGVLKRLVILNLKDCKGLIRLPSSICELKSLKVLILQGCSKLDELPESLGNMTGLEKLKLGGIANRQLPYSKLWDLLLPSWLLPRKNPNLMAFMPSLSVLCSLRTLDLSYCNLKEGALPDDLSCFPLLQTLNLSGNDFVSIPTSINRLSKLEDLWFVNCKRLQSLPSLPSSILYLSTDGCSSLGTSLPNTISRHYKLENLCFATCERLQSLPALASSIVHLKVDGLTAQETFPNSFEKDDSKHPSLTFVSNLQLVEIQGKNCSAFARLTSYLHFLLKHSSQGFFNPSSHISMRLAGSEVPEWFNYHGMGTSLEVQLPPHWFNNKWMGFSICVVFEFLDLLSSEPPTLFCDLHAQISPDQLLFLSRPATEISGDTGSASNQLWFNFIPRSSLNCVDGWQACGSLKASFFSNGLKVKRCGLRIIYDHDVGELIQCNRASESLGLLCHDNSGTSKRSHEDCSSDSNVEPNSENDNSVKELHSKRLKMTVDSELKPDGKNL >Manes.S026552.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2227930:2228052:1 gene:Manes.S026552.v8.1 transcript:Manes.S026552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.11G132300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29686657:29687970:-1 gene:Manes.11G132300.v8.1 transcript:Manes.11G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLNPAFAYTVVYVKDVAKSIDFYAKAFGYHVRRLDNSHRWGELDSGPTTIAFTPIHQRETDQRSGAVQTSQTVSERPPLEVCFNYADVDAAYKRAVENGAVAVSQPEDEEWGQRVGYVRDIDGIVVRMGSYVAKPVKED >Manes.01G249800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40883288:40887732:-1 gene:Manes.01G249800.v8.1 transcript:Manes.01G249800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAALCDHRAAIEITKDWNSIEQVVLRNPQGASARVSLHGGQVVSWRNEQGKELLFTSSKAKPSKAMRGGINICFPQFGNCGSLEQHGFARNKIWTVDSNPPPLQSNESQGKSFIDLLLKPNEEDLKCWPHSFEFRLRVLLATTGDLALISRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCNRERFTEQGDAITFESEVDRVYLSCPNIVAVLDHERKRTFVIRKEGLPDVVVWNPWEKKSRATVDFGDEYKHMVCVDGAAIEKPITLKPGEEWTGRLELSVVPSSFCSEGLDFQRGF >Manes.01G249800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40883288:40887732:-1 gene:Manes.01G249800.v8.1 transcript:Manes.01G249800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSAALCDHRAAIEITKDWNSIEQVVLRNPQGASARVSLHGGQVVSWRNEQGKELLFTSSKAKPSKAMRGGINICFPQFGNCGSLEQHGFARNKIWTVDSNPPPLQSNESQGKSFIDLLLKPNEEDLKCWPHSFEFRLRVLLATTGDLALISRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCNRERFTEQGDAITFESEVDRVYLSCPNIVAVLDHERKRTFVIRKEGLPDVGKPPFAFLNIIVHKAY >Manes.S092301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251175.1:13459:13996:1 gene:Manes.S092301.v8.1 transcript:Manes.S092301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRLRFVAERARFLAMRNGPPMSASQMNIAGVSPSMVNNNVGSNRQQVMPTTSSQPSVSGYGNNQQAHPRMPFMQLGQPQPMLPLGPRQPLTAMQPSSSVPSNAMFNASGNSQSSLNQMLRSVSGRSSGLC >Manes.11G118550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27751741:27755544:1 gene:Manes.11G118550.v8.1 transcript:Manes.11G118550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLAKILSKLFQALLVLIFLSTSASTATSALTDGPTKVGMEAYCLLRWKASLHNQSQSVLDSWVGRGPCKWIGVTCDSSGSITNLSLINLGLRGTLHSFNFSCFPNLTRLNISNNSLHGTLPSQISNLSKITYLNLYGNHLTGNIPSEIGMLSTLSELYLSRNNFTGLIPTSMTKLKNLSILILWGNKLSGSIPSEIGFLKSLKELDLSGNKLSGSISSEIGLLKSLKKLSLSSNKISGLIPPSIGKLRELSLLYLYDNKLSSSIPSEIGMLGSLSELCLQKNNLTGSIPVSMTKLENLSILYLWGNKFSGFIPSEIRFLKSLKELDLSSNKLLGLIPPSIGKLRELSLLHLFDNKLSGSIPSEIGFLKFLKKLDLSSNNLTGAIPSSIGLLRNLSDLVLLENKLSGSLPLEFNNLTRLKSLQLSGNGFTGHLPEDVCLGGLLEKFATAFNHFSGSIPKTLRNCTSLFRLRLDWNQLTGNISEQLGIYPHLDYMDLSNNRFHGEIPWKLGQWKNITSLKFSNNNISGSIPLDLGNATQLHLIDLSWNHLQGQVPKELAKLKLLIKLCLNNNNLFGVVPLDFKVLSNLDHLNLAANNLSGPIPGQLGEVANLLILNLSCNEFTAGIPFELGNLHFLQVLDLSHNLLMGNIPQQLGQLRTLEVLNLSNNMLSGSIPTTFDNLWSLTVVDISYNELEGSIPDVKAFREAPFEAYRNNTGLCGNASSLKACTSIKSGKTSRAKREKVVIVIVLPVLAALFLVFSIGGLLILLPLRRRQARSRELQDKDILVIPGHDQELQYETIIEATENFNSNYCIGVGGCGVVYKAVLPSGRVFAVKKLHSLQESDKSKNLKAFEREIQVLLEIRHRNIVKLHGFCSHSKDSFLVYEFVEKGSLRSILNSDEEAAELDWIKRQNIVKGVANALSYMHHNCPFPIIHRDISSNNILLDSEYEPRISDFGTAMLLLSDSSTKASFAGTFGYTAPELAYTTQVNEKCDVYSFGVITLELVMGTHPCNLISSLWSSISSSSLSSSDDHDKLLKDVIDQRLLLPQNQVAERVVYITMLAFSCLHLNPKSRPTMQQISSKLTSKHPLVSKSFSTIKLEELLSNNIANI >Manes.01G260300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41637085:41639630:-1 gene:Manes.01G260300.v8.1 transcript:Manes.01G260300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNKVLIIGGTGYLGQHLLQRLSQIKDTPFDLAFTHYSTSTPPQPLLDAIPHSLAFHVDLQSGEGFQAISRQFGQPHVVVNCAAISVPRACEKDPATAMSINVPRSLINWLSSFQERYTLLIHLSTDQVYEGVKSFYKEEDETVPVNVYGKSKVAAEQFIYKKCSNFAILRSSIIFGPQTISPVPKSLPIQWIDAVLSKGEQVEFFHDEFRCPVYVKDVVAIILSLTNIWISEHKQMQLLLNVGGPDRVSRVQMAEAVADIRGHNAALIKPVSSSSVDRGVISPADISMDISKLVQTLNIVPTSFKDGVKLTLENA >Manes.06G034717.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10111037:10112559:1 gene:Manes.06G034717.v8.1 transcript:Manes.06G034717.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLLFPLLLCSVFLFLLLPISNAHLLKACEFEAIYNLGDSISDTGNLIQEDPASVFSRLPYGQNLYRNPTGRCSNGLLIIDFIAKSAGIPLLEAYLNASSSKTHGVNFAVAGSTALPVEFLAEKGVIAPVTNSSLTKQLNWMHTHFNTTCHSSKECVEKHKRSLFMVGEIGGNDYNYAFFQGKSIDELKSMVSDVVKAIKEAVMRVIGFGAARVIVPGNFPIGCMPIYLSGFHSNDSSEYDEFHCLKGLNNFAMYHNEQLQQAIKELQEENPKVNIVYGDYYNAYKWILSKAALLGFDPKSLQKACCGSGGDYDFSLNRMCGAPNVPVCPTPQEHISWDGVHSTEKAYFFMARWIIRNIFQKLKCIA >Manes.05G141953.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13372056:13373404:-1 gene:Manes.05G141953.v8.1 transcript:Manes.05G141953.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLAKLRTHKSNNRLLLPPPHASSVKEVGLAADLGCGICQKRVADAISRIDNIESVVVHVAEKKVILACKSMAECSSSAAPAKASRPISSFKHIRKTENIGNSLY >Manes.01G262300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41769095:41771771:-1 gene:Manes.01G262300.v8.1 transcript:Manes.01G262300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGPFFTAIFLQTNESPARHRLDQVFLTKIQIGNLVNYSVIGTDREIEMADPTLHHETHPIKQIAIDYTPEACTHCPVSNSITLTYDHRGGARWRSTTRFLYGTFSSLIQCPQGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQTNYFTTGTGNREQIHDLGFDCSDGFHEYVIKWSPNSIEWLIDGKVVRKEERKDGVTFPEKPMFLYASIWDASCIDEGRWSGTYVGCDEPYVCLYKDIHVPLGTAVECSSES >Manes.01G262300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41769772:41771832:-1 gene:Manes.01G262300.v8.1 transcript:Manes.01G262300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGPFFTAIFLQTNESPARHRLDQVFLTKIQIGNLVNYSVIGTDREIEMADPTLHHETHPIKQIAIDYTPEACTHCPVSNSITLTYDHRGGARWRSTTRFLYGTFSSLIQCPQGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQTNYFTTGTGNREQIHDLGFDCSDGFHEYVIKWSPNSIEWLIDGKVVRKEERKDGVTFPEKPMFLYASIWDASCIDEGRWSGTYVGCDEPYVCLYKDIHVPLGTAVECSSES >Manes.01G262300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41769095:41771785:-1 gene:Manes.01G262300.v8.1 transcript:Manes.01G262300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGPFFTAIFLQTNESPARHRLDQVFLTKIQIGNLVNYSVIGTDREIEMADPTLHHETHPIKQIAIDYTPEACTHCPVSNSITLTYDHRGGARWRSTTRFLYGTFSSLIQCPQGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQTNYFTTGTGNREQIHDLGFDCSDGFHEYVIKWSPNSIEWLIDGKVVRKEERKDGVTFPEKPMFLYASIWDASCIDEGRWSGTYVGCDEPYVCLYKDIHVPLGTAVECSSES >Manes.01G262300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41770093:41771771:-1 gene:Manes.01G262300.v8.1 transcript:Manes.01G262300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGPFFTAIFLQTNESPARHRLDQVFLTKIQIGNLVNYSVIGTDREIEMADPTLHHETHPIKQIAIDYTPEACTHCPVSNSITLTYDHRGGARWRSTTRFLYGTFSSLIQCPQGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQTNYFTTGTGNREQIHDLGFDCSDGFHEYVIKWSPNSIEWLIDGKVVRKEERKDGVTFPEKPMFLYASIWDASCIDEGRWSGTYVGCDEPYVCLYKDIHVPLGTAVECSSES >Manes.01G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31359328:31363760:1 gene:Manes.01G116400.v8.1 transcript:Manes.01G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFQGSCSDTREPPNSMRPLCACSSTDTDHYCDHNELQIRTSLRKMVGQLGLACFLPSESNKSSKIKNANLEHNKAWLLAESGGCGGAELTNADPQSVHSSFRFSFCSQVELESMNVNSSASATVLMVNLDNGLNETRAKELKWRRIQSLERSIYPVANSLVRFSYNEILAATCNFSKDKVLGRGALSFVFRGRVGLLRTAVAIKRLDKEDKESPKAFCRELMIASSLHNPNIVPLVGFCIDPEEGLFLVYKYVSGGSLERHLHEKKRGVKGGSALPWSVRYKVALGIAQAIAYLHNGTERCVVHRDIKPSNILLSSKKIPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIEAKRPPGEENLVLWAKPLLNKGKGAIEELLDPRLKCTLRKTTQITQMIQAAAACISNEESRRPGIAQIIAILRGEEEPVYYNKKKSNFSGIIDCYPQLQQTKSEMSNHLALAMLGVSEFEDEDHLYCR >Manes.10G141533.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30917556:30920210:1 gene:Manes.10G141533.v8.1 transcript:Manes.10G141533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIGSRILVTTRSKRVALAMGVDSPYALQGLTEDQSWDLFEKLAFREGTGRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSVLENELWKVFESDGDISQVLKLSYDVLPYHLKQCFAYCTMFPKDYEFRKDRLIQLWMAQGYVQSRGQNENENAEEIGEGYFNELLFRSFFQEYNYCYKMHDLIHDLAQSIAGDSCFVANDNTKFPDRVQHVFSGNLSFEECFRQLKNKGLRTLFCPCFCDGLRLNLDSIFSNCRSIRALSFGRNIYGLPDSIGKLKYLRYLEFSSSKISSLPNCICSLYNLQTLILWECWGLKELPTDMRRLICLRQLINIGCSRLEFMPLGLGRLTNLQTLSTFVVGSDQGRRCSSLNELKA >Manes.13G055300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6417721:6418209:1 gene:Manes.13G055300.v8.1 transcript:Manes.13G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTLQRLSSQLNRLPSLTPFTKTVLVRSSASAAASTSSSAKVADRIVKLFAVDLDGKKREIVGLSGQTLLKALANSGLIDPASHRLEEIDACSAECEVSIAQEWLNKLPPRSYDEEYVLKRNSRARVLNKHARLGCQVVLTPDLQGMVVAVPEPKPWDIP >Manes.05G136900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:17210619:17210801:1 gene:Manes.05G136900.v8.1 transcript:Manes.05G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILGFMNLSPKNKNLVVAGGLSVFVFGVYFYTMRAVGGTDELQVAIDKFEGQKSKQDA >Manes.11G054200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6671450:6675822:1 gene:Manes.11G054200.v8.1 transcript:Manes.11G054200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVSVKPLFIAIFTLSLFLAGILLSPSTPFSSSLVSSSSSSKRKLDIWSVRRIVEWRPCRWWLQGHLTALPAESNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEAAAYWNESSGFADVFDVDYFIQHINGFVKVVKELPLDIASKEPFQVDCSKRKGQFDYIESVLPSLLEHRYISITPAMSQRRDRYPKYAKAALCQACYSALRLTRSLEKKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYSGLSIASTEAIEAARGDRKPWTGELARIWRKRGKCPLTPNETAIILKALSIPTNTSIYLAAGDGLMEIEGLTSVYTNVYKKSALLSGEDFTSMHGNTKAALDYYVSINSDSYMATYFGNMDKMVAAMRAYKGLYKTLFLSRRAFAELTFQGLKGKELMQALWTAHKEDFVMGRGSALPDCFCEFKF >Manes.11G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6671450:6675822:1 gene:Manes.11G054200.v8.1 transcript:Manes.11G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDFCDGVGIARLLNATLVLPKFEAAAYWNESSGFADVFDVDYFIQHINGFVKVVKELPLDIASKEPFQVDCSKRKGQFDYIESVLPSLLEHRYISITPAMSQRRDRYPKYAKAALCQACYSALRLTRSLEKKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYSGLSIASTEAIEAARGDRKPWTGELARIWRKRGKCPLTPNETAIILKALSIPTNTSIYLAAGDGLMEIEGLTSVYTNVYKKSALLSGEDFTSMHGNTKAALDYYVSINSDSYMATYFGNMDKMVAAMRAYKGLYKTLFLSRRAFAELTFQGLKGKELMQALWTAHKEDFVMGRGSALPDCFCEFKF >Manes.08G003300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:621215:624900:1 gene:Manes.08G003300.v8.1 transcript:Manes.08G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANLDRIDLNSDVVSVEPENDVRGVSILEPIVKVGLSDANTLTDPQGESHQPVVDQVRDFEEANGLKEEIVDGLSNGGLEREAESVEKEMGSVGRESNAVFDVSSGEAVQVTETRPGEIEGNRLVQVEKEEEEGIPTSSACEDSVQVKNAGNSVPLARVVDVEVKEASTNCLLPHKDVIQVPKGITSECTGYEAVELDDQANTSEGISEENDENSKSGALNLVVDLNPYVSMHGNDSGNVNVKTSASKLEFSVSDLVWGKVRSHPWWPGQIFDPSDASEKAKKYFKRNSYLIAYFGDQTFAWNEASTIKPFRSHFSQMEKQNNSEEFHYAIDCALDEISRRIEFGLACPCISEFGKNNTQLIVNAGIREDSSRRVGGDSFTSADAFEPTKLVDYVKTLGQLPFGEVDRLEIVTAKAQLLAFSRWKGYSQLPEFQILGTLLLCDTEVAQLVSIDGKCGNQLNENSGAEIRDDEHLSPVKVLSKGRDHSTPKRKYISGDSVYPRKKEKSLADLMAERRISVRNGKRGLGIKATGKLISPSSGEKRKAVDSMFHDHDSVQKHSKILSSSEGGINSSSQPKRTFRVGDSILRAASQLNEPIPMLKSINGAFPESAMKDMSNEKSPAKSQGKELFKIEDSLSDEMVYKLCLVARDPMNECSFLKSVVSFFVGFRNSVCLEHLNPLLHKPSFLEFVLSDNIVEESSKSETETCESEYAKDSCSKDEIIRSVPQELASLEIQNGAVDIPPETTTKNDNPPIELRPAAQSSPNLDFAPAYEILNMESMKPVDHLEENCNDDHTPTALILKFSHLDSIPSESDLNKIFSRFGRVKESETEVLKKSNSAKVVFCRRDDAEAAFSSAGKYRIFGPSLVSYRLKYMPSLRSKSTPNKTKSGKKKANSVEGNAT >Manes.05G055900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4665708:4670304:1 gene:Manes.05G055900.v8.1 transcript:Manes.05G055900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLERGNTCHTTSAEVVAGSAAWLGRGLSCVCAQRQENDARPSFDLTPAQEECLQKLQSRIDVAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLQKREGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRSMVGATFLKFLAENESAFDLLYCITFKLMDHQWLTMRASYMDFNVCLLTIVSLEIS >Manes.05G055900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4665708:4670683:1 gene:Manes.05G055900.v8.1 transcript:Manes.05G055900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLERGNTCHTTSAEVVAGSAAWLGRGLSCVCAQRQENDARPSFDLTPAQEECLQKLQSRIDVAYDSSIPEHQEALRALWNAAFPEEELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLQKREGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRSMVGATFLKFLAENESAFDLLYCITFKLMDHQWLTMRASYMDFNTVMKSTRRELERELLLEDITRLEDLPSYRLLTR >Manes.09G152800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34958689:34968797:1 gene:Manes.09G152800.v8.1 transcript:Manes.09G152800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGQQTVDFSTLVSRAAEESFLSLKELVDKSKSSDQSDSDKKLSLLKYLVKTQQRMLRLNVLAKWCQQVPLIQYCQQLQSTLSSHEACFTQTADSLFFLHEGLQQARAPIYDVPSAIEVLLTGSYQRLPKCIEDVGMQSTLTEEQQKPALKKLDTLVRSKLLEVTLPKEISEVKISDGTALLRVNGEFKVLVTLGYRGHLSMWRILHLELLVGERSGPVKLEELRRHALGDDLERRMAGAENPFMILYSVLHELCISLIMDTVIRQVQVLRQGRWKDAIRFELISDGSSGSTQLNQDGETDSAGLRTPGLKIVYWLDLDKNSGTSDSGTCPFIKIEPGSDLQIKCVHSTFVIDPINGREAEFSLDQSCIDVEKLLLRTICCNRYTRLLEIQKELGKNAQVFRAAGDVVLQSHMEEPDVDSKKKEIKNDGREYEGQEALLVRAYGSSFFTLGINIRTGRFLLRSSQSMLSPATLVEYEEALNQGSMTAAEVFINLRSKSILHLFASIGRFLGLEVYEQGFTIVKMPKTLLSGSTMLIMGFPDCGNLYFLHVQLDKDFKPLFKLLETQADPSAKSHSFNDSNHVMRIKKVDVSQMQIFEDELNLSLLDSGKLTGCLPAGGSSQTSEHGLLSEFSLEGPMQIAGCPPSSFSSVVDEIFEHEKGAAAPSFPLQNLTSYNASPASRFGSVPMNLHTAKAGTPSPKWEGGLQVSQMNNVVKVSNVASHYNGSLYPSNNVKGPMHSNSYSSLSSGLGRGTTVKKLSASKSDQDLASLRSPHSVEVGSSSSVDEDHLRLLNDTSMDALSGSRSSRLLSPSQSTGSRASTPGGKHSGLRSSPTGPLAGSVRGTGSSSLATTPVSQAAGDTAVFHGSGHNVSKPDKNPRKRTVSDMLNLIPSLQDTDSKAGFSKRKKTSESALSQQHSSQMLLASEMMFKNEGYSYGNLIAEANKGNAPSNIYVSALLHVVRHCSVCIKHARLTSQMDALEIPYVEEVGLRNASSNIWFRLPFARGDSCQHICLRLGRPGSMYWDVKINDQHFRDLWELQKGSSTTPWGSGVRIANTSDVDSHIRYDPEGVVLSYQSVEADSIKKLVADIRRLSNARMFALGMRKILGVRPDEKSDENSANSDVKLPAGCKSGVEATDKLSEQMRRAFKIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARAGPAPGVPGVTSAIASMPKQAGYVQSQGVLGSSSANNVSQPTSVPVGNAVASSGTVPMGNISLHGSAMLAGAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKHFAVDMRCFAGDQVWLQPATPPKEGPKVGGSLPCPQFRPFIMEHVAQELNGLDPGFTGGQQTAGLANSNPSNPGSGSQLSGANGNRVNLPSSAVLSRAATQVAALNRVGNAVPGSSNLAVVSSGLPIRRPPGAGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQNSATSQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLTQVQGGEIAPGQKPRIELCLENHAGINENDNSENSSAAKSNIHYDRPHSCVDFALTVVLDPAHIPHVNAAGGAAWLPYCVSVRLRYSFGENTNVTFLGMEGSHGGRACWLRVDDWEKCKQRVIRTVEINGCSTGDVTQGRLKMVADSVQRTLHLCLQGLRDGSGVAASSGAA >Manes.09G152800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34958503:34968856:1 gene:Manes.09G152800.v8.1 transcript:Manes.09G152800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSFVLLVMLCFSPTWRNLMLTPKRTGRFLLRSSQSMLSPATLVEYEEALNQGSMTAAEVFINLRSKSILHLFASIGRFLGLEVYEQGFTIVKMPKTLLSGSTMLIMGFPDCGNLYFLHVQLDKDFKPLFKLLETQADPSAKSHSFNDSNHVMRIKKVDVSQMQIFEDELNLSLLDSGKLTGCLPAGGSSQTSEHGLLSEFSLEGPMQIAGCPPSSFSSVVDEIFEHEKGAAAPSFPLQNLTSYNASPASRFGSVPMNLHTAKAGTPSPKWEGGLQVSQMNNVVKVSNVASHYNGSLYPSNNVKGPMHSNSYSSLSSGLGRGTTVKKLSASKSDQDLASLRSPHSVEVGSSSSVDEDHLRLLNDTSMDALSGSRSSRLLSPSQSTGSRASTPGGKHSGLRSSPTGPLAGSVRGTGSSSLATTPVSQAAGDTAVFHGSGHNVSKPDKNPRKRTVSDMLNLIPSLQDTDSKAGFSKRKKTSESALSQQHSSQMLLASEMMFKNEGYSYGNLIAEANKGNAPSNIYVSALLHVVRHCSVCIKHARLTSQMDALEIPYVEEVGLRNASSNIWFRLPFARGDSCQHICLRLGRPGSMYWDVKINDQHFRDLWELQKGSSTTPWGSGVRIANTSDVDSHIRYDPEGVVLSYQSVEADSIKKLVADIRRLSNARMFALGMRKILGVRPDEKSDENSANSDVKLPAGCKSGVEATDKLSEQMRRAFKIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARAGPAPGVPGVTSAIASMPKQAGYVQSQGVLGSSSANNVSQPTSVPVGNAVASSGTVPMGNISLHGSAMLAGAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKHFAVDMRCFAGDQVWLQPATPPKEGPKVGGSLPCPQFRPFIMEHVAQELNGLDPGFTGGQQTAGLANSNPSNPGSGSQLSGANGNRVNLPSSAVLSRAATQVAALNRVGNAVPGSSNLAVVSSGLPIRRPPGAGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQNSATSQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLTQVQGGEIAPGQKPRIELCLENHAGINENDNSENSSAAKSNIHYDRPHSCVDFALTVVLDPAHIPHVNAAGGAAWLPYCVSVRLRYSFGENTNVTFLGMEGSHGGRACWLRVDDWEKCKQRVIRTVEINGCSTGDVTQGRLKMVADSVQRTLHLCLQGLRDGSGVAASSGAA >Manes.09G152800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34957359:34968856:1 gene:Manes.09G152800.v8.1 transcript:Manes.09G152800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGQQTVDFSTLVSRAAEESFLSLKELVDKSKSSDQSDSDKKLSLLKYLVKTQQRMLRLNVLAKWCQQVPLIQYCQQLQSTLSSHEACFTQTADSLFFLHEGLQQARAPIYDVPSAIEVLLTGSYQRLPKCIEDVGMQSTLTEEQQKPALKKLDTLVRSKLLEVTLPKEISEVKISDGTALLRVNGEFKVLVTLGYRGHLSMWRILHLELLVGERSGPVKLEELRRHALGDDLERRMAGAENPFMILYSVLHELCISLIMDTVIRQVQVLRQGRWKDAIRFELISDGSSGSTQLNQDGETDSAGLRTPGLKIVYWLDLDKNSGTSDSGTCPFIKIEPGSDLQIKCVHSTFVIDPINGREAEFSLDQSCIDVEKLLLRTICCNRYTRLLEIQKELGKNAQVFRAAGDVVLQSHMEEPDVDSKKKEIKNDGREYEGQEALLVRAYGSSFFTLGINIRTGRFLLRSSQSMLSPATLVEYEEALNQGSMTAAEVFINLRSKSILHLFASIGRFLGLEVYEQGFTIVKMPKTLLSGSTMLIMGFPDCGNLYFLHVQLDKDFKPLFKLLETQADPSAKSHSFNDSNHVMRIKKVDVSQMQIFEDELNLSLLDSGKLTGCLPAGGSSQTSEHGLLSEFSLEGPMQIAGCPPSSFSSVVDEIFEHEKGAAAPSFPLQNLTSYNASPASRFGSVPMNLHTAKAGTPSPKWEGGLQVSQMNNVVKVSNVASHYNGSLYPSNNVKGPMHSNSYSSLSSGLGRGTTVKKLSASKSDQDLASLRSPHSVEVGSSSSVDEDHLRLLNDTSMDALSGSRSSRLLSPSQSTGSRASTPGGKHSGLRSSPTGPLAGSVRGTGSSSLATTPVSQAAGDTAVFHGSGHNVSKPDKNPRKRTVSDMLNLIPSLQDTDSKAGFSKRKKTSESALSQQHSSQMLLASEMMFKNEGYSYGNLIAEANKGNAPSNIYVSALLHVVRHCSVCIKHARLTSQMDALEIPYVEEVGLRNASSNIWFRLPFARGDSCQHICLRLGRPGSMYWDVKINDQHFRDLWELQKGSSTTPWGSGVRIANTSDVDSHIRYDPEGVVLSYQSVEADSIKKLVADIRRLSNARMFALGMRKILGVRPDEKSDENSANSDVKLPAGCKSGVEATDKLSEQMRRAFKIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARAGPAPGVPGVTSAIASMPKQAGYVQSQGVLGSSSANNVSQPTSVPVGNAVASSGTVPMGNISLHGSAMLAGAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKHFAVDMRCFAGDQVWLQPATPPKEGPKVGGSLPCPQFRPFIMEHVAQELNGLDPGFTGGQQTAGLANSNPSNPGSGSQLSGANGNRVNLPSSAVLSRAATQVAALNRVGNAVPGSSNLAVVSSGLPIRRPPGAGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQNSATSQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLTQVQGGEIAPGQKPRIELCLENHAGINENDNSENSSAAKSNIHYDRPHSCVDFALTVVLDPAHIPHVNAAGGAAWLPYCVSVRLRYSFGENTNVTFLGMEGSHGGRACWLRVDDWEKCKQRVIRTVEINGCSTGDVTQGRLKMVADSVQRTLHLCLQGLRDGSGVAASSGAA >Manes.10G100955.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24935831:24936190:-1 gene:Manes.10G100955.v8.1 transcript:Manes.10G100955.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIVVGIVGMEGMIGSGGRVTWGTVGMVGRLGRGALGKVGSVGCGSVGKEGNGGNVALGKGGTVGFGKFGTEGSGDNWRRWRAARAILMLENEKAMKSTRMKSLKEAILK >Manes.15G098800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7714707:7727596:1 gene:Manes.15G098800.v8.1 transcript:Manes.15G098800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNGNSTPYPSRTQRLLRERELKKSSRASHSNEVTDNHGGTEPCEHDLRLRERDNSNNSYIDQYVEGAIAATRQLAEGCEKQDGRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEAPQVQEHIKDLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHHHNFAHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIKRYLQSNNRLLILGFNATLTEPVDTPGRRVDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSDSNVLDKNFGEFDMWLAAEHGMFLRFTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPVLPSDGIGIARAKQTDGLKSPGERRPSLKLPASKSGSKSSQGKTQRPSPNPDKKLNNHSCGSGRRQSPEKISWNVLDLKGDNYFSCSVGRTRTNARYLLHSSDDVVSFLKKLARASS >Manes.15G098800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7714729:7727596:1 gene:Manes.15G098800.v8.1 transcript:Manes.15G098800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNGNSTPYPSRTQRLLRERELKKSSRASHSNEVTDNHGGTEPCEHDLRLRERDNSNNSYIDQYVEGAIAATRQLAEGCEKQDGRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEAPQVQEHIKDLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHHHNFAHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIKRYLQSNNRLLILGFNATLTEPVDTPGRRVDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSDSNVLDKNFGEFDMWLAAEHGMFLRFTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPVLPSDGIGIARAKQTDGLKSPGERRPSLKLPASKSGSKSSQGKTQRPSPNPDKKLNNHSCGSGRRQSPEKISWNVLDLKGDNYFSCSVGRTRTNARYLLHSSDDVVSFLKKLARASS >Manes.15G098800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7708991:7727644:1 gene:Manes.15G098800.v8.1 transcript:Manes.15G098800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNGNSTPYPSRTQRLLRERELKKSSRASHSNEVTDNHGGTEPCEHDLRLRERDNSNNSYIDQYVEGAIAATRQLAEGCEKQDGRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEAPQVQEHIKDLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHHHNFAHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIKRYLQSNNRLLILGFNATLTEPVDTPGRRVDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSDSNVLDKNFGEFDMWLAAEHGMFLRFTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPVLPSDGIGIARAKQTDGLKSPGERRPSLKLPASKSGSKSSQGKTQRPSPNPDKKLNNHSCGSGRRQSPEKISWNVLDLKGDNYFSCSVGRTRTNARYLLHSSDDVVSFLKKLARASS >Manes.15G098800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7709029:7727596:1 gene:Manes.15G098800.v8.1 transcript:Manes.15G098800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNGNSTPYPSRTQRLLRERELKKSSRASHSNEVTDNHGGTEPCEHDLRLRERDNSNNSYIDQYVEGAIAATRQLAEGCEKQDGRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEAPQVQEHIKDLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHHHNFAHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIKRYLQSNNRLLILGFNATLTEPVDTPGRRVDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSDSNVLDKNFGEFDMWLAAEHGMFLRFTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPVLPSDGIGIARAKQTDGLKSPGERRPSLKLPASKSGSKSSQGKTQRPSPNPDKKLNNHSCGSGRRQSPEKISWNVLDLKGDNYFSCSVGRTRTNARYLLHSSDDVVSFLKKLARASS >Manes.15G098800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7709029:7727596:1 gene:Manes.15G098800.v8.1 transcript:Manes.15G098800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNGNSTPYPSRTQRLLRERELKKSSRASHSNEVTDNHGGTEPCEHDLRLRERDNSNNSYIDQYVEGAIAATRQLAEGCEKQDGRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEAPQVQEHIKDLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHHHNFAHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIKRYLQSNNRLLILGFNATLTEPVDTPGRRVDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSDSNVLDKNFGEFDMWLAAEHGMFLRFTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPVLPSDGIGIARAKQTDGLKSPGERRPSLKLPASKSGSKSSQGKTQRPSPNPDKKLNNHSCGSGRRQSPEKISWNVLDLKGDNYFSCSVGRTRTNARYLLHSSDDVVSFLKKLARASS >Manes.15G098800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7709024:7727816:1 gene:Manes.15G098800.v8.1 transcript:Manes.15G098800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNGNSTPYPSRTQRLLRERELKKSSRASHSNEVTDNHGGTEPCEHDLRLRERDNSNNSYIDQYVEGAIAATRQLAEGCEKQDGRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEAPQVQEHIKDLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHHHNFAHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIKRYLQSNNRLLILGFNATLTEPVDTPGRRVDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSDSNVLDKNFGEFDMWLAAEHGMFLRFTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPVLPSDGIGIARAKQTDGLKSPGERRPSLKLPASKSGSKSSQGKTQRPSPNPDKKLNNHSCGSGRRQSPEKISWNVLDLKGDNYFSCSVGRTRTNARYLLHSSDDVVSFLKKLARASS >Manes.15G098800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7708992:7727816:1 gene:Manes.15G098800.v8.1 transcript:Manes.15G098800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNGNSTPYPSRTQRLLRERELKKSSRASHSNEVTDNHGGTEPCEHDLRLRERDNSNNSYIDQYVEGAIAATRQLAEGCEKQDGRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEAPQVQEHIKDLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHHHNFAHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIKRYLQSNNRLLILGFNATLTEPVDTPGRRVDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSDSNVLDKNFGEFDMWLAAEHGMFLRFTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPVLPSDGIGIARAKQTDGLKSPGERRPSLKLPASKSGSKSSQGKTQRPSPNPDKKLNNHSCGSGRRQSPEKISWNVLDLKGDNYFSCSVGRTRTNARYLLHSSDDVVSFLKKLARASS >Manes.15G098800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7708992:7727816:1 gene:Manes.15G098800.v8.1 transcript:Manes.15G098800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNQYNGNSTPYPSRTQRLLRERELKKSSRASHSNEVTDNHGGTEPCEHDLRLRERDNSNNSYIDQYVEGAIAATRQLAEGCEKQDGRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNMLWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNKHYEEGDVVWCHDYHLMFLPKCLKEYNSNMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALEAPQVQEHIKDLKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSCEEREKRHHHNFAHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPILPEEDAIKRYLQSNNRLLILGFNATLTEPVDTPGRRVDQIKEMELKLHPELKETLVALCSDPKTTIVVLSGSDSNVLDKNFGEFDMWLAAEHGMFLRFTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGGRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPVLPSDGIGIARAKQTDGLKSPGERRPSLKLPASKSGSKSSQGKTQRPSPNPDKKLNNHSCGSGRRQSPEKISWNVLDLKGDNYFSCSVGRTRTNARYLLHSSDDVVSFLKKLARASS >Manes.09G034600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6731579:6731924:1 gene:Manes.09G034600.v8.1 transcript:Manes.09G034600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPKTLLFLFCIMLLAVSSVAIGDVTWCPVEEKLQGGCEAIGGEFVCFVHFSRKYGLEMMPKNCKCSPSGSDQKLCTCDIIC >Manes.11G022700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2367959:2370801:1 gene:Manes.11G022700.v8.1 transcript:Manes.11G022700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLQYPHFTSKPRSSSHVKPTPHFLLIKASSSDNPSNATASSPTPATYKGCNIREEARRHSLTHPQPDNNFSAKYVPFNAGPTCSESYSLDEIVYRSQSGGLLDVQHDMSALKAFPGSYWRSLFDSRVGKTTWPYGSGVWSKKEWVLPEISSDDIVSAFEGNSNLFWAERFGKQFLNMNELWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLYYKSGWKDFKPVKANSTFASAIQIGDPVSIDRAVYALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRDSGVIGPTDRTVVEGT >Manes.11G022700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2367945:2370801:1 gene:Manes.11G022700.v8.1 transcript:Manes.11G022700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLQYPHFTSKPRSSSHVKPTPHFLLIKASSSDNPSNATASSPTPATYKGCNIREEARRHSLTHPQPDNNFSAKYVPFNAGPTCSESYSLDEIVYRSQSGGLLDVQHDMSALKAFPGSYWRSLFDSRVGKTTWPYGSGVWSKKEWVLPEISSDDIVSAFEGNSNLFWAERFGKQFLNMNELWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLYYKSGWKDFKPVKANSTFASAIQIGDPVSIDRAVYALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRDSGVIGPTDRTVVEGT >Manes.11G022700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2367959:2370801:1 gene:Manes.11G022700.v8.1 transcript:Manes.11G022700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLQYPHFTSKPRSSSHVKPTPHFLLIKASSSDNPSNATASSPTPATYKGCNIREEARRHSLTHPQPDNNFSAKYVPFNAGPTCSESYSLDEIVYRSQSGGLLDVQHDMSALKAFPGSYWRSLFDSRVGKTTWPYGSGVWSKKEWVLPEISSDDIVSAFEGNSNLFWAERFGKQFLNMNELWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLYYKSGWKDFKPVKANSTFASAIQIGDPVSIDRAVYALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRDSGVIGPTDRTVVVVSFKDLWYWMVVERISHSLF >Manes.05G017400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1637225:1642173:1 gene:Manes.05G017400.v8.1 transcript:Manes.05G017400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTEVEERVDLDEDNYIEEMDDDVEDQLDDDAEDDNGDARAEEIAEEDYEDPKTGVSRKDHPPEMDSSHSNAEPLEDEEKPTASVNEEEKEKHVQLLALPPHGSEVFIGGLPKDALEDDLRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVAQNAIEELHSKELKGKTLRCSLSETKNRLFIGNVPKSWTEDEFRKVIEEVGPGAEVIELIKDPQNSARNRGFAFILYYNNACADYSRQKMLDANFKLDGNTPTVSWADPKGTPDHSAAAAQVKALYVKNIPENTSTEQLKELFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAIKDNEKYEIDGQVLEVVLAKPQADKKPDGVYPYTAGLQPNHLTHPSYGGFAGSPYGSASAGFGVSASFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRADRNNGPSGPARGGSSGDDGNRSRRYRPY >Manes.05G017400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1636765:1643080:1 gene:Manes.05G017400.v8.1 transcript:Manes.05G017400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTEVEERVDLDEDNYIEEMDDDVEDQLDDDAEDDNGDARAEEIAEEDYEDPKTGVSRKDHPPEMDSSHSNAEPLEDEEKPTASVNEEEKEKHVQLLALPPHGSEVFIGGLPKDALEDDLRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVAQNAIEELHSKELKGKTLRCSLSETKNRLFIGNVPKSWTEDEFRKVIEEVGPGAEVIELIKDPQNSARNRGFAFILYYNNACADYSRQKMLDANFKLDGNTPTVSWADPKGTPDHSAAAAQVKALYVKNIPENTSTEQLKELFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAIKDNEKYEIDGQVLEVVLAKPQADKKPDGVYPYTAGLQPNHLTHPSYGGFAGSPYGSASAGFGVSASFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRADRNNGPSGPARGGSSGDDGNRSRRYRPY >Manes.05G017400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1637375:1642237:1 gene:Manes.05G017400.v8.1 transcript:Manes.05G017400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTEVEERVDLDEDNYIEEMDDDVEDQLDDDAEDDNGDARAEEIAEEDYEDPKTGVSRKDHPPEMDSSHSNAEPLEDEEKPTASVNEEEKEKHVQLLALPPHGSEVFIGGLPKDALEDDLRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVAQNAIEELHSKELKGKTLRCSLSETKNRLFIGNVPKSWTEDEFRKVIEEVGPGAEVIELIKDPQNSARNRGFAFILYYNNACADYSRQKMLDANFKLDGNTPTVSWADPKGTPDHSAAAAQVKALYVKNIPENTSTEQLKELFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAIKDNEKYEIDGQVLEVVLAKPQADKKPDGVYPYTAGLQPNHLTHPSYGGFAGSPYGSASAGFGVSASFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRADRNNGPSGPARGGSSGDDGNRSRRYRPY >Manes.05G017400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1636783:1642173:1 gene:Manes.05G017400.v8.1 transcript:Manes.05G017400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTEVEERVDLDEDNYIEEMDDDVEDQLDDDAEDDNGDARAEEIAEEDYEDPKTGVSRKDHPPEMDSSHSNAEPLEDEEKPTASVNEEEKEKHVQLLALPPHGSEVFIGGLPKDALEDDLRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVAQNAIEELHSKELKGKTLRCSLSETKNRLFIGNVPKSWTEDEFRKVIEEVGPGAEVIELIKDPQNSARNRGFAFILYYNNACADYSRQKMLDANFKLDGNTPTVSWADPKGTPDHSAAAAQVKALYVKNIPENTSTEQLKELFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAIKDNEKYEIDGQVLEVVLAKPQADKKPDGVYPYTAGLQPNHLTHPSYGGFAGSPYGSASAGFGVSASFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRADRNNGPSGPARGGSSGDDGNRSRRYRPY >Manes.11G143800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30835948:30837595:-1 gene:Manes.11G143800.v8.1 transcript:Manes.11G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEKNKETTSTAESPVEKTPPKSPKEDEEKQEKNNKPSRVVHVTISEPPEVFIIPSPCYESQEPQCESGEEHGKKKEPNNKRSKWIKPIKIKKRKEKREIDNEDGEEGAFCRFSLIRIKRVIRRWFSTHSGCCVSCQ >Manes.17G024084.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:19106736:19109155:-1 gene:Manes.17G024084.v8.1 transcript:Manes.17G024084.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFSIHGRKIATLLTLSTGIIWVSLVSSVIGEDDVKCLQGVKTSLSDPQGKLNSWNFANSSSGFLCNFVGVSCWNDQENRIINLELRDMDLAGQLPESLKYCKSLQNLDLSSNALSGTIPSQICTWLPYLVTLDLSNNDLSGSIPPDLVNCTYLNNLILSNNRLSGPIPFEFSSLARLKKFSVANNDLTGTIPSFFSNFDSGDFAGNDLCGEPLGSKCGGLSKKNLAIIIAAGIFGAAASLLLGFGVWWWYHLRYSRGRARGYGIGRGDDSSWVDRLRAHKLVQVSLFQKPIVKVKLADLMAATNNFSPENIIISTRTGTTYKAVLPDGSALAVKRLSTCKLGEKQFRLEMNRLGQLRHPNLTPLLGFCVVEDEKLLVYKHMSNGTLYALLHESGALMDWPTRFRIGLGAARGLAWLHHGCQPSFLHQNICSNVILVDEDFDARIVDFGLARLMTCSDSNESSYVNGDLGAFGYVAPEYSSTMVASLKGDVYGFGVVLLELVTGQKPLDISTAEEGFKGNLVDWLNYLSSSGRIKDAIDKTLCGKGHDEEILQFLKIALNCVAARPKDRCSMYQVYQSLKACGKDLGFSEQDEEFPLIFVKQDSY >Manes.12G117700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31900409:31901599:1 gene:Manes.12G117700.v8.1 transcript:Manes.12G117700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFKIVLVTGSAKGGIGYEYCKAFSEQNCHVIASDIPQRLDDMIDFQAKNIETLGLDVSSDESVSSAVNTIISKYGRIDVLINNAGVGSTGPLAELELDTIRKAWEINTLGQLRLVQQVVPHMAAQRSGSIVNVGSVVGMVPTPWAGSYCASKAAVHAMSNTLRVELKPFGINVVLVVPGAIRSNFGRATSEKLANYDWKLYKDFKEAIAERARASQAAKATDATVFARHVAAKVLSPKPPRQIVFGHMTGLFAVLSWSPLWVRDLFFSARFNVNKRI >Manes.03G084500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21068474:21081672:-1 gene:Manes.03G084500.v8.1 transcript:Manes.03G084500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTNVINTKDYTLLEDFRMEIELNAWNFSFSFWVYLIDSTTAFPATIINQVYSNTTSSSPFIALNENKLMTLSPMSLLQKEAPDPSNSTLLTEASHASIENEFPLENWVHVGCGVFTDVFRLHINGEIVGEWSLSSFNKDSMSNGFGKITLVGASGDDGLQGYVYDAEVLTLSSSIKDHYSKDPPLQLCIDDSSTSDIEEGIDGIWSIVGGKASCRRIFSVDVVLSNAVSQAMDKEVEVVASLLYADSGFPVEKTSDDEAPLLISYDGIEFAASDRPSKLLHGRASFKLKISQLSSKCDNRLFRIKFEMPEFGGFQFLQAFSHPIRCISRSRNPRTPFHTWKRPTSASCSLNGSSELKHNSVHAVKPNTSSKRVKMGQENKTTVEQPDEECNSHAWTANQVENELRSKLEGSSDNLEEVGNSTSDSESTEERDSDVKVVSSSGHSISDLAIFKYCLGGLTDRALLLKEVATSASEEDLLRLAHEVSLYSGCYHHRHQIMIAKRLIMEGTKVWNSIAQNNHAVHWETVVFEIEEQFMKIACCSSRSLMEQDFELLRRIAGCHEYIAQENFEKMWCWLYPVAFTLSREWINTMWNSKSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTVHHRLLRLDHIYSCQEGQTQTNMKPLQEMLLAEPELSRLGRTIRSKQPC >Manes.03G084500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21068474:21081672:-1 gene:Manes.03G084500.v8.1 transcript:Manes.03G084500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTNVINTKDYTLLEDFRMEIELNAWNFSFSFWVYLIDSTTAFPATIINQVYSNTTSSSPFIALNENKLMTLSPMSLLQKEAPDPSNSTLLTEASHASIENEFPLENWVHVGCGVFTDVFRLHINGEIVGEWSLSSFNKDSMSNGFGKITLVGASGDDGLQGYVYDAEVLTLSSSIKDHYSKDPPLQLCIDDSSTSDIEEGIDGIWSIVGGKASCRRIFSVDVVLSNAVSQAMDKEVEVVASLLYADSGFPVEKTSDDEAPLLISYDGIEFAASDRPSKLLHGRASFKLKISQLSSKCDNRLFRIKFEMPEFGGFQFLQAFSHPIRCISRSRNPRTPFHTWKRPTSASCSLNGSSELKHNSVHAVKPNTSSKRVKMGQENKTTVEQPDEECNSHAWTANQVENELRSKLEGSSDNLEEVGNSTSDSESTEERDSDVKVVSSSGHSISDLAIFKYCLGGLTDRALLLKEVATSASEEDLLRLAHEVSLYSGCYHHRHQIMIAKRLIMEGTKVWNSIAQNNHAVHWETVVFEIEEQFMKIACCSSRSLMEQDFELLRRIAGCHEYIAQENFEKMWCWLYPVAFTLSREWINTMWNSKSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTVHHRLLRLDHIYRPRRI >Manes.03G084500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21070715:21081672:-1 gene:Manes.03G084500.v8.1 transcript:Manes.03G084500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTNVINTKDYTLLEDFRMEIELNAWNFSFSFWVYLIDSTTAFPATIINQVYSNTTSSSPFIALNENKLMTLSPMSLLQKEAPDPSNSTLLTEASHASIENEFPLENWVHVGCGVFTDVFRLHINGEIVGEWSLSSFNKDSMSNGFGKITLVGASGDDGLQGYVYDAEVLTLSSSIKDHYSKDPPLQLCIDDSSTSDIEEGIDGIWSIVGGKASCRRIFSVDVVLSNAVSQAMDKEVEVVASLLYADSGFPVEKTSDDEAPLLISYDGIEFAASDRPSKLLHGRASFKLKISQLSSKCDNRLFRIKFEMPEFGGFQFLQAFSHPIRCISRSRNPRTPFHTWKRPTSASCSLNGSSELKHNSVHAVKPNTSSKRVKMGQENKTTVEQPDEECNSHAWTANQVENELRSKLEGSSDNLEEVGNSTSDSESTEERDSDVKVVSSSGHSISDLAIFKYCLGGLTDRALLLKEVATSASEEDLLRLAHEVSLYSGCYHHRHQIMIAKRLIMEGTKVWNSIAQNNHAVHWETVVFEIEEQFMKIACCSSRSLMEQDFELLRRIAGCHEYIAQENFEKMWCWLYPVAFTLSREWINTMWNSKSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTVHHRLLRLDHIYRPRRI >Manes.03G084500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21070712:21081672:-1 gene:Manes.03G084500.v8.1 transcript:Manes.03G084500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGFGKITLVGASGDDGLQGYVYDAEVLTLSSSIKDHYSKDPPLQLCIDDSSTSDIEEGIDGIWSIVGGKASCRRIFSVDVVLSNAVSQAMDKEVEVVASLLYADSGFPVEKTSDDEAPLLISYDGIEFAASDRPSKLLHGRASFKLKISQLSSKCDNRLFRIKFEMPEFGGFQFLQAFSHPIRCISRSRNPRTPFHTWKRPTSASCSLNGSSELKHNSVHAVKPNTSSKRVKMGQENKTTVEQPDEECNSHAWTANQVENELRSKLEGSSDNLEEVGNSTSDSESTEERDSDVKVVSSSGHSISDLAIFKYCLGGLTDRALLLKEVATSASEEDLLRLAHEVSLYSGCYHHRHQIMIAKRLIMEGTKVWNSIAQNNHAVHWETVVFEIEEQFMKIACCSSRSLMEQDFELLRRIAGCHEYIAQENFEKMWCWLYPVAFTLSREWINTMWNSKSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTVHHRLLRLDHIYSCQEGQTQTNMKPLQEMLLAEPELSRLGRTIRSKQPC >Manes.03G084500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21070681:21081683:-1 gene:Manes.03G084500.v8.1 transcript:Manes.03G084500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTNVINTKDYTLLEDFRMEIELNAWNFSFSFWVYLIDSTTAFPATIINQVYSNTTSSSPFIALNENKLMTLSPMSLLQKEAPDPSNSTLLTEASHASIENEFPLENWVHVGCGVFTDVFRLHINGEIVGEWSLSSFNKDSMSNGFGKITLVGASGDDGLQGYVYDAEVLTLSSSIKDHYSKDPPLQLCIDDSSTSDIEEGIDGIWSIVGGKASCRRIFSVDVVLSNAVSQAMDKEVEVVASLLYADSGFPVEKTSDDEAPLLISYDGIEFAASDRPSKLLHGRASFKLKISQLSSKCDNRLFRIKFEMPEFGGFQFLQAFSHPIRCISRSRNPRTPFHTWKRPTSASCSLNGSSELKHNSVHAVKPNTSSKRVKMGQENKTTVEQPDEECNSHAWTANQVENELRSKLEGSSDNLEEVGNSTSDSESTEERDSDVKVVSSSGHSISDLAIFKYCLGGLTDRALLLKEVATSASEEDLLRLAHEVSLYSGCYHHRHQIMIAKRLIMEGTKVWNSIAQNNHAVHWETVVFEIEEQFMKIACCSSRSLMEQDFELLRRIAGCHEYIAQENFEKMWCWLYPVAFTLSREWINTMWNSKSPKWIEGFITKEEAELSLQGPRGLQEPGTFILRFPTSRSWPHPDAGSLIVTYVGSDYTVHHRLLRLDHIYSCQEGQTQTNMKPLQEMLLAEPELSRLGRTIRSKQPC >Manes.03G032400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITVSLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVSMHLALCSQ >Manes.03G032400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITVSLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVSMHLALCSQ >Manes.03G032400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPGVIHLGVLLRWFPPDSPLEIGYKGDSFRADSAKRIALMVQGSHDS >Manes.03G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITVSLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPGVIHLGVLLRWFPPDSPLEIGYKGDSFRADSAKRIALMVQGSHDS >Manes.03G032400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITVSLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVSMHLALCSQ >Manes.03G032400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITVSLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVSMHLALCSQ >Manes.03G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITVSLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPGVIHLGVLLRWFPPDSPLEVLKSAKEVD >Manes.03G032400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITVSLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVSMHLALCSQ >Manes.03G032400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2720473:2731792:-1 gene:Manes.03G032400.v8.1 transcript:Manes.03G032400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLALLFVSAFLLSLSATESDSAVEMNATTESNGTRSRSREDSFADMIDRALEKEFNETDQNESTDPGSFNNSVAGQQAILETVARVKSKKNDTKEEKSFQFHDVFNLDNENRAEDMPTLIDRKVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAILGGLLQIFLFMCLCGITVSLCGGKSSDGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVMSMTKSLMLLVIFLAILTILSRTCVPWFLKLMISLSSETNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLAAVLLVIIIKTAVVATVVKAFRYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPGVIHLGVLLRWFPPDSPLEVLKSAKEVD >Manes.14G011600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:775365:776489:1 gene:Manes.14G011600.v8.1 transcript:Manes.14G011600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGVKHTNIHDNLTLYVYAVARAAVDQTMAFIRNFMLLLLALIPAFLLHDIDTHKLVSANNELIELECHNTETPELCMECLKSDPKSQYSDEIEIARIITNCLSNHSKHLAANMSGLVVVVSEERLKAACEACSGGYSRAYKHLSEVLSYLKRGDYDKAGHSVLIALKFQLSCQKSIKSQKWSLPESIAYEMKVYEDLSQDAMRIIDRL >Manes.09G155300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131213:35135003:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131213:35135003:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131719:35134848:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131641:35134912:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131719:35134848:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131213:35135003:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131718:35134912:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131641:35134848:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131641:35134848:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.09G155300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35131719:35134912:-1 gene:Manes.09G155300.v8.1 transcript:Manes.09G155300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGMDDSANRCLCKVDIKPWLFSKRKGSKSLESYSCKIDIYWDLSSAKFGSGPVPLEGFYVGVVVDRQMVLLLGDMRKEAFKKTSATPISSDAVFVSKREHVFGKKAFSTKAQFCDNGQIHDLVIECDTIGTSDPCLLIRLDCKTVMQVKRLRWKFRGNHTILVDGMAVEVLWDVHNWLFGTSVGNAVFMFKTCLSAEKLWSSQLLTDPNVLPWSFSHRFLDSKSQNLDFSLILYAWKNE >Manes.04G117700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31955096:31956639:1 gene:Manes.04G117700.v8.1 transcript:Manes.04G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDYDLFMEILSQLPIETLLRFRCLSKKCCSCIDSPDFIYFHLNRSIKTCTNRSLIIDDVFPEGSIYAVDLDSSESDRSLVELHRPYKPFVNTVESVLSDTTDRYIVRPRKFYSDVFGSCNGLLAMYNCSGVTLWNPSTKKHRNIPEFWSDIESNTCDRILEGFGYDPINNDYKVIMIYQRNELHYKAKLHEIKSVVYSLKGNCSTRIEDLNGYYISFSGHSAGVSVGGSLHWVVSREEKRYQFDKSILAFDLVNEKFYELPKPRIKGELFVSLSELGGSLAITYSSSTRMFIEIWVMKEYGIIDSWTKIIRIDGEENLLKSDRYAANLRPLCYSKTGGEILVGYPYDEYFVSYDLEEKIAKRGVVFRSPERESIHSDIPNKISANICMRSLVPVNFSSGIAESSSEIQSMERKRT >Manes.09G153000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34973298:34979369:-1 gene:Manes.09G153000.v8.1 transcript:Manes.09G153000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPPPEGLGDDFFEQILAVQPGYCGGTGDTGGGEVVGSTMPMMGLQLGTPAAGGLRTNSMGMMPLGLNLEHHGFLRQQEDGGGALDKNNHTNNNASTTSGINERDSVHIASLFPTFGQLQTQSIRPTPPPPPPGPPQLHQPFHGQPTPGAVSAVSQPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPTANKSDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLPSAEFNVIQGRKHGRDKSARLGEVVK >Manes.09G153000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34973298:34979383:-1 gene:Manes.09G153000.v8.1 transcript:Manes.09G153000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPPPEGLGDDFFEQILAVQPGYCGGTGDTGGGEVVGSTMPMMGLQLGTPAAGGLRTNSMGMMPLGLNLEHHGFLRQQEDGGGALDKNNHTNNNASTTSGINERDSVHIASLFPTFGQLQTQSIRPTPPPPPPGPPQLHQPFHGQPTPGAVSAVSQPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPTANKSDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLPSAEFNVIQGRKHGRDKSARLGEVVK >Manes.09G153000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34973298:34979369:-1 gene:Manes.09G153000.v8.1 transcript:Manes.09G153000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPPPEGLGDDFFEQILAVQPGYCGGTGDTGGGEVVGSTMPMMGLQLGTPAAGGLRTNSMGMMPLGLNLEHHGFLRQQEDGGGALDKNNHTNNNASTTSGINERDSVHIASLFPTFGQLQTQSIRPTPPPPPPGPPQLHQPFHGQPTPGAVSAVSQPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPTANKSDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLPSAEGESMEGTNQQDWEKWSNDGTEQQVAKLMEEDIGAAMQFLQSKALCIMPISLASAIFLTHPPDAPSIVKPESNTPS >Manes.09G153000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34973272:34979428:-1 gene:Manes.09G153000.v8.1 transcript:Manes.09G153000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPPPEGLGDDFFEQILAVQPGYCGGTGDTGGGEVVGSTMPMMGLQLGTPAAGGLRTNSMGMMPLGLNLEHHGFLRQQEDGGGALDKNNHTNNNASTTSGINERDSVHIASLFPTFGQLQTQSIRPTPPPPPPGPPQLHQPFHGQPTPGAVSAVSQPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPTANKSDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLPSAEGESMEGTNQQDWEKWSNDGTEQQVAKLMEEDIGAAMQFLQSKALCIMPISLASAIFLTHPPDAPSIVKPESNTPS >Manes.10G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22159881:22163793:-1 gene:Manes.10G085900.v8.1 transcript:Manes.10G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSTLSFGTTTPSAMAALVQRLTSASTCFGLLTWLLFANVAFSGQIVKQLPGFDGELPFKLETGYINVGDSELFYYFIESQGDPERDPLFLWLTGGPGCSSFSGLILEIGPLEFDVDNYKAGLPELKYYKYAWTKAASFIFLDAPVGSGFSYARTPEGWNMSDSEYAEQSYQFLKKWLFEHPQYLKLQLFIGGDSYSGIPVPLITKKVIDGNEARVHPHLNLQGYIVGNPLTDSIIDGNSRIVLAHRLSFISDELYEKLKTSCNEMYVDVDPSNSECLSALAYYQVCVKDINSKQVLEPNCLSWKANAEAGRRSVKENPSNFTHPSPPRISKFQCQVNCFTSISFITSLPLLVIGFFMQNFGMISEWVRCNRSLSYKADVRSVVDVHQYLSTKGLQLLVETGDHDMIVPHIGTEKWIVSLNLTIADDWRPWFIDGQVAGYTRKYAEHGFQLTFATVKGGGHPAPEFNRRECYEMFQSSTFISPSSKKSNFSLPGAHPIEYSCHFVNQLLME >Manes.05G013300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:746855:747925:-1 gene:Manes.05G013300.v8.1 transcript:Manes.05G013300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLFLSLIESIVKTLFLWISVHQLHIHFFHMKDYGFFPTVESCNAYLSSLLDLHRVDIALGFHKEMCRCRISPNVYTLNMVMSAFCKSGKLDKAVKVFEEMQNLRISPNDTSYNTLITGYCRKGLLNSAVKLKNMMRERGVEANVVTFNTLIDGFCKEGKIREASKVFSEMKVLNVPPNTITYNTLINGYSQVGNSEMGSRLYEEMSWNGVKTDILTYDALISGLCNEGKTKKATYMVKELDKLYKSMLRSGCHPNKQTFNILISAFCKNDDYEGAFTVLIEMFERCMAPESDVLLEIYHGLCLRGKDQARNIMPEGYEKAKQAKFC >Manes.11G124500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28604635:28608767:-1 gene:Manes.11G124500.v8.1 transcript:Manes.11G124500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGTRLALNMSVSLLPLNAQLGMFRFQSLFVIISLSSSISSNLNKHSLVVSYLVNSCGLTLKSAQSATNNKNISFFFQSAERLDSVLRFLKEHGFTNSQISKIVGDRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPYLLVRSTERFLIPRYEIIKSVLVSNEKVVLVLKRMGRTFPVNRFSNNLSYLRGIGVPQSLISHLVTQCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVEAVRVFYTLSNKTWEHKMKMYRRWCFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCFSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKRFLEMFVIKYQEQVPQLWDLFKRKVDLTEPGFGFDDKSGILG >Manes.11G124500.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28604638:28608767:-1 gene:Manes.11G124500.v8.1 transcript:Manes.11G124500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGTRLALNMSVSLLPLNAQLGMFRFQSLFVIISLSSSISSNLNKHSLVVSYLVNSCGLTLKSAQSATNNKNISFFFQSAERLDSVLRFLKEHGFTNSQISKIVGDRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPYLLVRSTERFLIPRYEIIKSVLVSNEKVVLVLKRMGRTFPVNRFSNNLSYLRGIGVPQSLISHLVTQCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVEAVRVFYTLSNKTWEHKMKMYRRWCFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCFSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKRFLEMFVIKYQEQVPQLWDLFKRKVDLTEPGFGFDDKSGILG >Manes.11G124500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28604371:28608884:-1 gene:Manes.11G124500.v8.1 transcript:Manes.11G124500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGTRLALNMSVSLLPLNAQLGMFRFQSLFVIISLSSSISSNLNKHSLVVSYLVNSCGLTLKSAQSATNNKNISFFFQSAERLDSVLRFLKEHGFTNSQISKIVGDRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPYLLVRSTERFLIPRYEIIKSVLVSNEKVVLVLKRMGRTFPVNRFSNNLSYLRGIGVPQSLISHLVTQCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVEAVRVFYTLSNKTWEHKMKMYRRWCFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCFSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKRFLEMFVIKYQEQVPQLWDLFKRKVDLTEPGFGFDDKSGILG >Manes.11G124500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28604612:28608768:-1 gene:Manes.11G124500.v8.1 transcript:Manes.11G124500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGTRLALNMSVSLLPLNAQLGMFRFQSLFVIISLSSSISSNLNKHSLVVSYLVNSCGLTLKSAQSATNNKNISFFFQSAERLDSVLRFLKEHGFTNSQISKIVGDRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPYLLVRSTERFLIPRYEIIKSVLVSNEKVVLVLKRMGRTFPVNRFSNNLSYLRGIGVPQSLISHLVTQCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVEAVRVFYTLSNKTWEHKMKMYRRWCFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCFSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKRFLEMFVIKYQEQVPQLWDLFKRKVDLTEPGFGFDDKSGILG >Manes.11G124500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28604510:28608767:-1 gene:Manes.11G124500.v8.1 transcript:Manes.11G124500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGTRLALNMSVSLLPLNAQLGMFRFQSLFVIISLSSSISSNLNKHSLVVSYLVNSCGLTLKSAQSATNNKNISFFFQSAERLDSVLRFLKEHGFTNSQISKIVGDRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPYLLVRSTERFLIPRYEIIKSVLVSNEKVVLVLKRMGRTFPVNRFSNNLSYLRGIGVPQSLISHLVTQCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVEAVRVFYTLSNKTWEHKMKMYRRWCFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCFSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKRFLEMFVIKYQEQVPQLWDLFKRKVDLTEPGFGFDDKSGILG >Manes.04G132001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33315286:33319560:1 gene:Manes.04G132001.v8.1 transcript:Manes.04G132001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSHAVQNNDEVAFETLVKEDKRMLQQRSARSSNTALHRASRIGHIEIARAIVELCPDLVSAENALGDTPLHEACRGGNADVVMLLLETIPGVATILNSMKESPFSIACSRGKLDVVKLLLNESWLMDIEEARFPSNALHESVSRKNPSIVKAILEARPNFAWKRDKEGCLPLHRACENSDLEITRTILDYAPKSCFLLNDKGYAPLHLAAMIGEASMLHEFISRVPESCNLFTKEGDSVFHLAVKSGNFAAFISMENIFKNSFHLRLPDNHGNTVLHIAVSTACYDIAEYLINEQILELNAANHSGLTALDILEQAGDSDARKRVLTALLIEAGGKRSNQLLIGFASASIREGTGDNNNHQVDHSLPIAQKNKMKKSNNAQKPMPQKLDRETSSHLKHMQIEALQNARNTIIVVAVLIATVSYSAGISPPGGVYQDGPLKGKSMASKTAAFKVFEISNTIALCTSLSVVFILVRIIPFRRKPLMRMLKMADRVMWVAVSFVVTSYLAGTWVIMPHSQGTEWLISVLVIALSGGTLGITLTVIGVILANQWQRKNQWRKSRSMNKDLGSAASAIEPNYRPGFHSF >Manes.04G132001.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33315286:33319536:1 gene:Manes.04G132001.v8.1 transcript:Manes.04G132001.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSHAVQNNDEVAFETLVKEDKRMLQQRSARSSNTALHRASRIGHIEIARAIVELCPDLVSAENALGDTPLHEACRGGNADVVMLLLETIPGVATILNSMKESPFSIACSRGKLDVVKLLLNESWLMDIEEARFPSNALHESVSRKNPSIVKAILEARPNFAWKRDKEGCLPLHRACENSDLEITRTILDYAPKSCFLLNDKGYAPLHLAAMIGEASMLHEFISRVPESCNLFTKEGDSVFHLAVKSGNFAAFISMENIFKNSFHLRLPDNHGNTVLHIAVSTACYDIAEYLINEQILELNAANHSGLTALDILEQAGDSDARKRVLTALLIEAGGKRSNQLLIGFASASIREGTGDNNNHQVDHSLPIAQKNKMKKSNNAQKPMPQKLDRETSSHLKHMQIEALQNARNTIIVVAVLIATVSYSAGISPPGGVYQDGPLKGKSMASKTAAFKVFEISNTIALCTSLSVVFILVRIIPFRRKPLMRMLKMADRVMWVAVSFVVTSYLAGTWVIMPHSQGTEWLISVLVIALSGGTLGITLTVIGVILANQWQRKNQWRKSRSMNKDLGSAASAIEPNYRPGFHSF >Manes.04G132001.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33315286:33319536:1 gene:Manes.04G132001.v8.1 transcript:Manes.04G132001.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSHAVQNNDEVAFETLVKEDKRMLQQRSARSSNTALHRASRIGHIEIARAIVELCPDLVSAENALGDTPLHEACRGGNADVVMLLLETIPGVATILNSMKESPFSIACSRGKLDVVKLLLNESWLMDIEEARFPSNALHESVSRKNPSIVKAILEARPNFAWKRDKEGCLPLHRACENSDLEITRTILDYAPKSCFLLNDKGYAPLHLAAMIGEASMLHEFISRVPESCNLFTKEGDSVFHLAVKSGNFAAFISMENIFKNSFHLRLPDNHGNTVLHIAVSTACYDIAEYLINEQILELNAANHSGLTALDILEQAGDSDARKRVLTALLIEAGGKRSNQLLIGFASASIREGTGDNNNHQVDHSLPIAQKNKMKKSNNAQKPMPQKLDRETSSHLKHMQIEALQNARNTIIVVAVLIATVSYSAGISPPGGVYQDGPLKGKSMASKTAAFKVFEISNTIALCTSLSVVFILVRIIPFRRKPLMRMLKMADRVMWVAVSFVVTSYLAGTWVIMPHSQGTEWLISVLVIALSGGTLGITLTVIGVILANQWQRKNQWRKSRSMNKDLGSAASAIEPNYRPGFHSF >Manes.04G132001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33315286:33319560:1 gene:Manes.04G132001.v8.1 transcript:Manes.04G132001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSHAVQNNDEVAFETLVKEDKRMLQQRSARSSNTALHRASRIGHIEIARAIVELCPDLVSAENALGDTPLHEACRGGNADVVMLLLETIPGVATILNSMKESPFSIACSRGKLDVVKLLLNESWLMDIEEARFPSNALHESVSRKNPSIVKAILEARPNFAWKRDKEGCLPLHRACENSDLEITRTILDYAPKSCFLLNDKGYAPLHLAAMIGEASMLHEFISRVPESCNLFTKEGDSVFHLAVKSGNFAAFISMENIFKNSFHLRLPDNHGNTVLHIAVSTACYDIAEYLINEQILELNAANHSGLTALDILEQAGDSDARKRVLTALLIEAGGKRSNQLLIGFASASIREGTGDNNNHQVDHSLPIAQKNKMKKSNNAQKPMPQKLDRETSSHLKHMQIEALQNARNTIIVVAVLIATVSYSAGISPPGGVYQDGPLKGKSMASKTAAFKVFEISNTIALCTSLSVVFILVRIIPFRRKPLMRMLKMADRVMWVAVSFVVTSYLAGTWVIMPHSQGTEWLISVLVIALSGGTLGITLTVIGVILANQWQRKNQWRKSRSMNKDLGSAASAIEPNYRPGFHSF >Manes.04G132001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33315286:33319536:1 gene:Manes.04G132001.v8.1 transcript:Manes.04G132001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSHAVQNNDEVAFETLVKEDKRMLQQRSARSSNTALHRASRIGHIEIARAIVELCPDLVSAENALGDTPLHEACRGGNADVVMLLLETIPGVATILNSMKESPFSIACSRGKLDVVKLLLNESWLMDIEEARFPSNALHESVSRKNPSIVKAILEARPNFAWKRDKEGCLPLHRACENSDLEITRTILDYAPKSCFLLNDKGYAPLHLAAMIGEASMLHEFISRVPESCNLFTKEGDSVFHLAVKSGNFAAFISMENIFKNSFHLRLPDNHGNTVLHIAVSTACYDIAEYLINEQILELNAANHSGLTALDILEQAGDSDARKRVLTALLIEAGGKRSNQLLIGFASASIREGTGDNNNHQVDHSLPIAQKNKMKKSNNAQKPMPQKLDRETSSHLKHMQIEALQNARNTIIVVAVLIATVSYSAGISPPGGVYQDGPLKGKSMASKTAAFKVFEISNTIALCTSLSVVFILVRIIPFRRKPLMRMLKMADRVMWVAVSFVVTSYLAGTWVIMPHSQGTEWLISVLVIALSGGTLGITLTVIGVILANQWQRKNQWRKSRSMNKDLGSAASAIEPNYRPGFHSF >Manes.13G044700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5277284:5279366:1 gene:Manes.13G044700.v8.1 transcript:Manes.13G044700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLASSSFSFSSLHSNNHNPIFSYRLYPLNPEIPSLPVAKLKKQLGFRFETPTRQPHGISVRCNSSTRPGGPGSGDNESRSVMDAFFLGKALAEAVNERIESTVGEFLSAIGRLQAEQQRQIQDFQVDVLERAKKAKESAAREAMEAQGLVPNATAVDLKSGNNGVNSETSSTTTNVATPANSSSSSNSTVVTPAETGRGPAAKGPAFGVTDDD >Manes.09G162100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35654622:35657797:1 gene:Manes.09G162100.v8.1 transcript:Manes.09G162100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSDQDVENRDESLLQPFVAQQKETQHDSDSLGMVLFSTGIAVSGSFVFGCAVGYSAPTQFGIMNELSLSSSEYSVFGSILNIGAMIGAITSGRITDFFGRKGAMRISSIICIAGWIGIYLAQGAFLLDFGRFLTGYGIGILSYVVPVFIAEITPKNLRGALATSNQFFIVFGILLIYSVGAFVKWRTLALTVTLPCLVMLAGLCFIPESPRWLAMVGRQREFEDSLRKIRGPKVDISREETDIQNSLALLRQLPKVTLLDLVHKRNIRFVIVGVGLMVFQQFGGINGIIFYADQIFASAGVPPSTGSILYSGLQVLLTAFAATLIDKAGRRPLLMVSAHGLLLGNILIGTSFLFKEHYLFLDLVPILAITGVMIYIASFSIGMGAIPWVLMSELFPLQLKGIAGSLVTLVNWFGSWFISFTFNFLMEWSPYGTFYLYGCVSIFSIIFIFKMVPETKGRTLEEIQASVN >Manes.01G176300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35693016:35696304:1 gene:Manes.01G176300.v8.1 transcript:Manes.01G176300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIEAQRVVVIQDASREVSSSAVRWALQGLSLKPGDMLTLLSVLHQVDTPSKSPNAGTKELLGYRSKVDSNSILGANEKIVNREATRKKEEYEKNAELVQISKLYQTQKVEFKIQVVTGHSPKVVALTAAENLKATWVILDRQMKKNRKYFLVKLSCGISRMKRNNKIEQLRGPKTITTNKSVEEKTRKDVASYDEMVPGSPDDLFSIEIRPSVTADPENQVQEITRSISTEEAKEELEANQTFQNSMCSLCKNRRPNFGWQRDFLYADLHAATDGFSVQNSLSEGGTGSAFRGQLKSNNMKIVVKQHKIVYPQGEIDFQSEVQLLMKARHKNVLMLLGSCVEGCLKLLVYEYACNGSVYNHLSKHCPLPLTWEERMKVAIGTARGLNYLHENGIVHRNLRTSNIALTHDFQPRLGDFGFTWEHKVLETLGYMAPEYPGNWKLSLETDVYAFGVVLLELVTGRMVTDKIPGGKSLVVWARPLLKERRLLEIVDPRISNSHDAEQLYWMGRVIQNCLSKIPKKRLTMDKVVSALECIADRKARQLREDLYAVKSYLARTRSDINGRSSYDKRFEGEMVTSREFYVENQMSRSFSLTSSSSRTSTSFSRSSFSSVKSEKLKQRVQAGIEIPVLYAEMNN >Manes.10G110000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27049653:27062822:-1 gene:Manes.10G110000.v8.1 transcript:Manes.10G110000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELQQLSESMRQASSLLADEDIDETTTSSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRAPISIDLNRDSSLSSKSIVLQIDNKSQQVSASALRHSLQDRLSKGSSGRSRDEIYLKLRTSTAPPLKLTDLPGLDQRIMDDSLISEYVEHNDAILLVVIPAVQAPEISSSRALRIAKEYDAESTRTVGVISKIDQAATESKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASAQSGSASSENSLETAWRAESESLKSILTGAPQSKLGRLALVEALAGQIRNRIKLRLPNLLSGLQGKSQIVQDELVRLGEQMVSSSEGTRALALELCREFEDKFLQHLAGGEGNGWKVVASFEGNFPNRVKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPARLCVDEVHRVLVDIVSAAANATPGLGRYAPFKREIVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREEEIKNKSSKKANEAEQSILNRATSPQTGVQQSGGSLKSMKEKSDKDSQEGPALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNIEEVSGEEETSSKSSKDKKANGPSPEKGASLVFKITSRVPYKTVLKAHSAVVLKAESVADKVEWLNKLRNVIQSKGGQVVGESGLTMRQSHSDGSLDTMTRRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSTARIEELLQEDQNVKRKRERYQKQSSLLSKLVRQLSIHDNRAAAASSWSNDGGGAESSPRTSGPAGGEDWRTAFDAAANGRADPLRSHSRRYSDPPQNGEASSGTNSSRRTPNRMPPAPPPSGSSYRY >Manes.10G110000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27049550:27062824:-1 gene:Manes.10G110000.v8.1 transcript:Manes.10G110000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELQQLSESMRQASSLLADEDIDETTTSSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRAPISIDLNRDSSLSSKSIVLQIDNKSQQVSASALRHSLQDRLSKGSSGRSRDEIYLKLRTSTAPPLKLTDLPGLDQRIMDDSLISEYVEHNDAILLVVIPAVQAPEISSSRALRIAKEYDAESTRTVGVISKIDQAATESKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASAQSGSASSENSLETAWRAESESLKSILTGAPQSKLGRLALVEALAGQIRNRIKLRLPNLLSGLQGKSQIVQDELVRLGEQMVSSSEGTRALALELCREFEDKFLQHLAGGEGNGWKVVASFEGNFPNRVKQLPLDRHFDMNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPARLCVDEVHRVLVDIVSAAANATPGLGRYAPFKREIVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREEEIKNKSSKKANEAEQSILNRATSPQTGVQQSGGSLKSMKEKSDKDSQEGPALKTAGPGGEITAGFLLKKSGKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNIEEVSGEEETSSKSSKDKKANGPSPEKGASLVFKITSRVPYKTVLKAHSAVVLKAESVADKVEWLNKLRNVIQSKGGQVVGESGLTMRQSHSDGSLDTMTRRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSTARIEELLQEDQNVKRKRERYQKQSSLLSKLVRQLSIHDNRAAAASSWSNDGGGAESSPRTSGPAGGEDWRTAFDAAANGRADPLRSHSRRYSDPPQNGEASSGTNSSRRTPNRMPPAPPPSGSSYRY >Manes.01G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28067501:28072151:1 gene:Manes.01G077400.v8.1 transcript:Manes.01G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFRDQDPRIHGIQTKIRVVPNFPREGIMFQDITTLLLDPKAFKDTIDLFVERYKGENISVVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVIREEYVLEYGSDCLEMHVGAVNSGERALVVDDLIATGGTLRAAMNLLERAGAEVIECACVIEIPELKGRERLNGKPLFVLVESH >Manes.01G077400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28068660:28072152:1 gene:Manes.01G077400.v8.1 transcript:Manes.01G077400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVIREEYVLEYGSDCLEMHVGAVNSGERALVVDDLIATGGTLRAAMNLLERAGAEVIECACVIEIPELKGRERLNGKPLFVLVESH >Manes.01G077400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28067501:28072152:1 gene:Manes.01G077400.v8.1 transcript:Manes.01G077400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRFALSPISPEKDITTLLLDPKAFKDTIDLFVERYKGENISVVAGIEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVIREEYVLEYGSDCLEMHVGAVNSGERALVVDDLIATGGTLRAAMNLLERAGAEVIECACVIEIPELKGRERLNGKPLFVLVESH >Manes.18G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7520568:7523632:-1 gene:Manes.18G081900.v8.1 transcript:Manes.18G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGSSMLYSFLLFTVILSLQEMYRGKLASTELFTILGGFISSLLFLVLLTFIGNFQETCGMKTGWGTVVLAEAVALTAAGTVHRVCITTCFLFSVGLLYEVNKLSGMLLFKSESKTRRH >Manes.13G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3374219:3377884:1 gene:Manes.13G024900.v8.1 transcript:Manes.13G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVGNLALLLNMASPWAVIPDRKTRPVAVDVVLNLPKRDPHTYATSLASKSFESDGEARSQRVVARGKANSKMNGVDFDSHEENGNGNGNGERGEEEFDWEKEMRKRVKEIEEMRELEKKAEELQNKAEDSESEDREETEEEKKMRVRKELEKVAQEQAERRATAQLMFELGQKAYGKGMYARAIEFLEAALTIIPRPTFFGGEIQIWLAMAYEANNRHADCIALYQQLEKQHPSLSIRRQAADLRYILQAPKLKISQEEMVTIPLIGSSYDSYAATWTDKNKDKGQRRSGSTTNQLPSSRDYLGDFLVWRPPAGLEKSRAFWVALTLWMVLVGATLFLQ >Manes.14G070900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5885811:5888487:-1 gene:Manes.14G070900.v8.1 transcript:Manes.14G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLLRRNYSHLRLHPPKHSNFTTTTATATQPLEATTIVTLVLNSSNPQTLAQSLHSSTIQWTPQLVNAVLKHLWNHGPKALHFFNLLSHHPSYTHHASSFDHAIDISARLRDYRTLWALVSRMRSRRLGPSPKTFAIIAERYAAAGKAHRAVKVFMSMHEYGCFQDLSSFNTILDVLCKSKRVEMAYNLFKALRGRFKADCVSYNIIVNGWCLIKRTPKALEVLKEMVERGLTPNLTTYNIMLKGYFRAGQINEAWDFFLEMKKRNCEIDVVTYTSVIHGLGVAGEIKRARKVFDQMVKQGVLPSVPTYNALIQVLCKKDSVDNALLVFEEMVKMGYVPNSTTYNLVIRGLCHAGEMQRALAFMGRMKDDECEINVQTYNILIRYFCDSGEIEKGLDLFQEMGTGGCLPNLDTYNILIGAMFVRKKSDDLLVAGKLLVEMVDRGFLPRKITFNRVLDGLLLTGNQGFAKEILRLQSRCGRLPSKIKL >Manes.11G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1795721:1798306:-1 gene:Manes.11G017200.v8.1 transcript:Manes.11G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSWMQNKLNGNKQGIIRKPNAASSTHHEKQGSREEFSDWPHGLLAIGTFGNNELRSENLEIQDVEEIHQQVEEKEEEEEEEEEDPSSSEDLQDFTPEEIGKLQKELTKLLSKKPTSDKEKEVAKTLPLDRFLNCPSSLEVDRRISNTATSDIDDNEENIERTISVILGRCKDIREKNKKKSIGKKSISFLLKKVFVCRGGFAPQPSLRDTFQESRMEKLLRTLLHKKINHQNSSQTSSMKKYLEDNKQITMEENEKEGKREKTCDGGKWVKTDSEYIVLEI >Manes.01G077700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082529:28092483:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082529:28092483:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082529:28092483:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082529:28092483:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082529:28092483:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082529:28092483:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082564:28092444:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKQRLEKRQRMSRKLQERGWKPRWFQREGEDGPFRYGGGYWEAREQGNWEGCPNIFGEFSKDISQSSEES >Manes.01G077700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082529:28092483:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.01G077700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28082529:28092483:1 gene:Manes.01G077700.v8.1 transcript:Manes.01G077700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKMRVKEMHPLCCISLESPGIGDQSPEALTRARSLPAGFSGESDGNAGRSIAGSEATVAGVLYKWTNYSKGWRSRWFLLKNGVLSYSKIKPPENLNLLTPNDDVKLIGEISTNRLSRMDSGSYRRKQQKSVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVSWIQALVSTRSLFPSRSLNDSFSLVPKDLSISTERLKRRLLEEGINENLVKDCEQIMLSEFSEIKGQVKLLCEDRSYLLDTLRQLEAANIEAETSGITDGEFQLTKHEFSSLGRGKFSGTECSTTESSDDIEKQELEEVSDDEASFYDTKDYFPERSVVHGSIKEAASQCEKRREHNQIDNEEKMLAKEQICHSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDKAYEYGKGGNSLQRILHVAAFAVSGYASSEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGRGWKFSGDSNLHTKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIHGNRQYSCKLKFKEQSLLDRNPHQVNGFVEDVLGKKVATLFGKWDDSMYYSEGDGTSKTKDCNSSSNATLLWKCTKPPPNVTRYNLTSFAITLNELTPGLQPCLIPGI >Manes.14G074300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6222754:6224634:1 gene:Manes.14G074300.v8.1 transcript:Manes.14G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPAKSYVTPTLPIQSTPMSLLLFPSPISPFLAIKKTTFERSVGLSWCRERKRKYGIVASSNVALPFWDAWKPEKTSSAPSFSDIIWPSAGAFAAMAIFGKMDQLLAPKGISMTIAPLGAVCAVLFATPSSPAARKYNVFMAQIGCAAIGVLAFSIFGPGWLARSAALAASVAFMIYARAAHPPAASLPLLFIDGIKLHHLNFWYTLFPGAAGCIILCLIQEIVCYLKDNLKF >Manes.14G158000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22032301:22036047:-1 gene:Manes.14G158000.v8.1 transcript:Manes.14G158000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLSRALLRHCNLSSTLPIKYPPLVPLSFYHRHRSSKPQLTELDLASLSSSKGSSSSSNSASSSSSDGGDDDDEEMLMMQKLEDMIHQVMLKKSTPDWLPFLPGSSFWVAPKNRPLRLGELVVKLFDQLNHEESLSLTTSRGWPCSAFLIPDSESGKALEFKVGEMEVKVEIEEQVNGEEKVQCVEDSDSNDQKG >Manes.14G150500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17050569:17054295:-1 gene:Manes.14G150500.v8.1 transcript:Manes.14G150500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDTIPLHASSQSDIDEIENLINASVQSGPATVLPARPPSPPRIPVSSSPFIQSKLPPLPSTNQKPSPAPSVPAAPPPPPANSHSGIGASGFGSATNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLVLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALICMLKDNVIVKMVVVCVTLFWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAID >Manes.08G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4796984:4804112:1 gene:Manes.08G047500.v8.1 transcript:Manes.08G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLFQLVILLILLPLLQSRPHASQSTNIYLPLQITTLSVADFGATGDGLHYDTRAIQSTIDACPTTSCRVSFPPGTYLTATIHLKSNVILDIQEGATLLGGTKIRDYPKEFDRWYVVLAENATDVGITGGGVVDGQGLKFVQRFNEVKNVMVSWNQTGACLGDECRPRLVGFIGCRNVRVWNIRLRDPAYWCLHIVRCHNTSIFDVSIFGDFNTPNNDGMDIEDSNNTVITRCHIDTGDDAICPKTYNTPLHNLTATNCWIRTKSSAIKFGSASSFDFKNLVFDNITIVDSHRGLALQIRDGGNVSNITFSNINITTRYYDPSWWGRAEPIYVTTCPRDSNAKEGSISDLLFINITATSENGVFLSGSKGGLLSNLRFINMNLTYRRWTKYTGGLVDYRPGCQGLVNHSAAGIIMEHLEGLEVENVSMRWSDNKKEQWDNPLDFRPSTVNNISFFNFHSGLYKE >Manes.04G067400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25473756:25477077:-1 gene:Manes.04G067400.v8.1 transcript:Manes.04G067400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQKVMERENNKGGVSSSSYDGDDVNDMVRDMMEIIETVGSYSGYRRTQRKECLNLVRRLKLLAPLWEEIKENVKLVSSENLNCLVNLKKALLSAKKLLKKCSCGSKIYLALEIDAVMARFHAVYDKLNRAMDAMPYDEFGISVEVKEQVELMHMQLKRAKRRTDTQDIELAMDIMVVFSEKDDRNVDIAILERLANKLELRNIPDLKAETVAVRKLITGKDVRNAESICQITNLLGKLKRIAGVDETIELDGPISSKILQRNQSLLIPHEFLCPITLEIMVDPVIVATGQTYERESIQKWLNSNHRTCPKTGQTLDHLSLAPNFALRNLILQWCGKNNFELPRRDACVGCDGSNAELVEQISCLVQNLSCTELDVQREAIAKIRMLSKENPENRILIGNIGAIPPLVHLLSHQDSVVQEQTVTALLNLSIDEANKRIIAREGAIPAIIEILQSGTEEAKENSAAALFSLSMLDENKVLVGTLNGIPPLINLLQNGTIRGKKDAATALFNLSLNQTNKFRAIKAGVIPPLLHLLDDKNIGMIDEALSILLLLSSHPEGRNEIGKLSFIRTLVEIIRSGTPKNKECATSVLLELGLNNSSFILAALQYGVYEDLVEIARCGTNRAQRKANSLLQHMSKCEHIP >Manes.18G005400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:904914:909521:1 gene:Manes.18G005400.v8.1 transcript:Manes.18G005400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHVKKANILCFLLTFMASLLCFFLFFVFAGFSPANAEFNSTFTPINRDLYHSSTALLEQIKALAHRHPDKLTVETIKTENKGYRAEITVATFCSSSRGSDDRSKFRILISFGQHGRELITSELALRILSILSEEQFLPNTNPTSLNSTLDKLVIKVVPLENLNGRKLVEGGDLCERRNGRGVDLNRNWSVDWGKKEKDFDPNEENPGIAPFSEPETQIMRKLALSFDPHIWVNVHSGMEALFMPYDHRNTTPDGSPSQRMKTLLSELNQVHCHKHCMIGSGGGSVGYLAHGTATDYMYDIVKVPMAFTFEIYGDPTASSKDCFKMFNPVDLAAFNRVLNDWSAAFFTIFKLGPLQLDEVGSKASTANLDKWVSIDEYLDGYLMERRNRYGKKMEVLDLGMQEIRTYFRLFLLSSVLLFIMFCSRISKGKSGRPIVSAIAL >Manes.S004578.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:31006:32234:1 gene:Manes.S004578.v8.1 transcript:Manes.S004578.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.11G072550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10528791:10530193:1 gene:Manes.11G072550.v8.1 transcript:Manes.11G072550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKVMSHQ >Manes.17G100900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821820:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.17G100900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821820:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.17G100900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821869:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.17G100900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821820:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.17G100900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821820:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.17G100900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821869:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.17G100900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821869:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.17G100900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821820:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.17G100900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30818207:30821820:-1 gene:Manes.17G100900.v8.1 transcript:Manes.17G100900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYETSNGNGLLDNGHTTTRHSSYQPSIKISTPWLDLRVFYVRVSKCEIDDSTPEYLTVNHIPLHPDMLLEVNGIRVSVNSDGPSIILRRDRLDKKSEEATFVSTDSIRISGSVKFEVFNKDVLILSGVVEMCNSNGLMGKSRGHGQRWSMNCESDVTEGTSFLKAKQYLGSDSALPTVEVYIAGSFSGTPIILSKTLQLNFRKKQIRKGMLDSIPEYEATEGQDYVSSRIPLRMSEYSNHKPENEYYNHLYSGTEYLEGEDGELSWFNAGVRVGVGIGLSVCLGIGIGVGLLVQTYQGTTRNFRRRLL >Manes.06G022500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:4330024:4333847:1 gene:Manes.06G022500.v8.1 transcript:Manes.06G022500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLFPNKPAYPIQKNRPPRPNPSPKFSSAKLPPTLPPSHLSFQFDSLLQHLLHLSSTPNSTAHKLNDTKFSSSLRISDDSDQKQLQPAPKGNPISVLEFEVDKEEDVSDNGSLDYLTRKGKLILDSILEQPLHCLSSFFDTCKYELLQVDLISLLKALDYSGNWEKALYLFQWTLLNLGTANEKIDNNAIELMARILGRESQHSIASKLFDAIPLDKYLLDVRAYTTILHTYSRTGKYKRAIEIFEKMTESGLSPTLVTYNVMLDVYGKMGRSWNKIVGLLDEMRSRGLEYDEFTCSTVISACGREGLLNEAKGFFAVLKSKGYVPGTVTYNALLQVFGKAGIYSEALTILKEMEDNNCPPDAVTYNELVAAYVRAGLYEEGVTLIDSMTCKGIMPNAVTYTTVINAYGRAGEMDRALKLFDQMKKLGCVPNVCTYNAVLGMLGKKSQSEEMLKILSDMKINGCAPNRITWNTMLAMCGNEGEHKYVNRVLREMKSCGFEPDKDTFNTLISAYGRCGSDIDAAKMHEEMIKAGFTPCVTTYNALLNALARRGDWKAAESVILDMKKKGFKPSETSYSLILHAYAKGGNVKGMERIEKDIYGGHIFPSWMLLRTLVLANFRCRSLMGMERAFKALQTHGYKPDLVLYNSMLSIFAKNNMHKRAHEMLQLIHESGLQPDLITYNSLMDMYARGGDCWKAEEILRMLETSGGKPDLVSYNTVIKAFCRQGNMQEALRILSEMTTRGIGPCIFTYNTFITGYAAQEMFTEINEVISYMIEHDCRPNELTYKIVVDGYCKARRYKESMDFVSKIKDIDGSFDNESVQRLASRVRNNLESR >Manes.06G022500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:4330024:4333847:1 gene:Manes.06G022500.v8.1 transcript:Manes.06G022500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLFPNKPAYPIQKNRPPRPNPSPKFSSAKLPPTLPPSHLSFQFDSLLQHLLHLSSTPNSTAHKLNDTKFSSSLRISDDSDQKQLQPAPKGNPISVLEFEVDKEEDVSDNGSLDYLTRKGKLILDSILEQPLHCLSSFFDTCKYELLQVDLISLLKALDYSGNWEKALYLFQWTLLNLGTANEKIDNNAIELMARILGRESQHSIASKLFDAIPLDKYLLDVRAYTTILHTYSRTGKYKRAIEIFEKMTESGLSPTLVTYNVMLDVYGKMGRSWNKIVGLLDEMRSRGLEYDEFTCSTVISACGREGLLNEAKGFFAVLKSKGYVPGTVTYNALLQVFGKAGIYSEALTILKEMEDNNCPPDAVTYNELVAAYVRAGLYEEGVTLIDSMTCKGIMPNAVTYTTVINAYGRAGEMDRALKLFDQMKKLGCVPNVCTYNAVLGMLGKKSQSEEMLKILSDMKINGCAPNRITWNTMLAMCGNEGEHKYVNRVLREMKSCGFEPDKDTFNTLISAYGRCGSDIDAAKMHEEMIKAGFTPCVTTYNALLNALARRGDWKAAESVILDMKKKGFKPSETSYSLILHAYAKGGNVKGMERIEKDIYGGHIFPSWMLLRTLVLANFRCRSLMGMERAFKALQTHGYKPDLVLYNSMLSIFAKNNMHKRAHEMLQLIHESGLQPDLITYNSLMDMYARGGDCWKAEEILRMLETSGGKPDLVSYNTVIKAFCRQGNMQEALRILSEMTTRGIGPCIFTYNTFITGYAAQEMFTEINEVISYMIEHDCRPNELTYKIVVDGYCKARRYKESMDFVSKIKDIDGSFDNESVQRLASRVRNNLESR >Manes.11G052160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5617365:5623436:-1 gene:Manes.11G052160.v8.1 transcript:Manes.11G052160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEYIVSSVSAVVEYTVVPIKRRIGYVLNYKSKVEDLELHYEKLRYSMERMHHSIDEAKRNGEDIQMDVIKWMTSAKETALETEKFIADENQAKRCFIGLCPNLMKRYQFNKRAEKKIFAIVKLLDEGQFEKISYRPPLQLSLATSSDGSNSLHSRKIILEEVLGSLADPNINLIGVYGMGGVGKTTLAKEVCRQALERRQFDVAVMAVVSPEADYRRMQAQIADGLGLKFDEMTLLGRAARLSLRIKRETKILLVLDDIWQPINFEELGIPNHKGCKILLTTRNRDVLRRMGADINFALEVLREEEAMRLFETMVGDANKDLELQPIATEVVKRCAGLPLLIVAVARSLRSKDLYAWKDAAEQLSRVEEPGIYLTVYRAVELSYHYLDGDEVKSVFLLCGLLRHKNIWIPDLLKYSIGLGLLKNTHTIDAARNRLHKLISDLKARCLLLDGTMNGFVTMHDVLQDVAVSIASKNGQVFMVRSESRPVEWPEDTLKSCTGISLRSCDRLELPEKLECPKLEFLLLHAKDLSLSIPDFFFEGIQKLRVLDFSGISFSHLPASLVFLTNLRTLRLDHCILLDVCIIGELKNLEILTFVGSDIVELPREMAQLNRLKLLDLSHCSKLKVIPANVISWMVRLEELYVGNSFNGWEIEGLSDRGNASLAEVKSLPHLTALEIHIQDANTIPKDLFSERLERFRILIGGEWDWDIGNYEVLRLLKLKLNTSIHLEHGLKMLLNRTEDLYLDEIKGIKSLLYDLNIEGFPQLKHLHVQNNSEVKHVVDSVKWVSYAAFPILQSLSLENLVNLKKICHGELAASSFSKLRSLKIKSCHKLKNLFAFNIIRGLLQLQEMEVTNCKNMEEIVADEDAHSSNVEYEAVEFSQFRSLALKGLPTLRSFCSIAKVPSTVHSRFKDIVEEDELSIPPPLFTVMVPNLEELKVSSIPCKRIWQSHSQFPTASSHLTSLIVHGCHNLKYVFTFSMAKCAPRLKKLEISDCELMNEIIATDEFAEEPGMSRLLFTDLEVLKLQNLPNVSSFCNACDLIECPSLRNLLIKKCPAMETLISNSIANNKATDEAKLEESHRSKTRSFFNEKVVLPNLEEMELSHISQVENIWLSQLAPDSFPKLKSIRIKYCENLRILFPFNALLAFRSLEKLGVIDCCCLEEIYQLQQFNGEGINEEAEFQLTELYLSGLHKLRTIWSNDPRGIFNFDNIHLIHVSHCQVLKHLFPASIARRLPLLKQLAIRSCGVEEIVAEEGDMGEIPRFVFPRLTSSELQNLPNLRSFYRGMHTLEWPVLKYLKFFGCDKVMKFSLEDASSQEDIPIQQSLFVAAKVFPNLEELSIDGKDMMMILEWQLPKKIFYMLKLLELRSFEEELPVSLFGFLGRLQNLEKIVLSDSSVKELFLCEGIDVAPEGLPRIRYLELNRLFDLKNKGKQDSQLLQNVEILKVQFCENLVNLVSSSASFHNLTYLEVCHCNELRKLVTSSVAKTMLNLEKLRVEECAMLTEIVAEEQEETYDEIVFSKLKTVALVGLRNLTSFCSAGHTFNFPSLKKVTLARCPKLRIFTHGILCTPNLERVLTEFPGDKRRRVESSLNATIEQMYAEMNA >Manes.10G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29591987:29596435:-1 gene:Manes.10G129800.v8.1 transcript:Manes.10G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLMGSSLSSSPPQPLWWVPLQQPGPSSVNLITTASSLHLGFLLHSSFKKLSHLCNVPRLKELWCEKASQFKGINFFELSNDFLASTCSPCLFFFNGGETGRGRGIDGSLSGTSRRNSFDGRKWTNVLLAINVLVFVAQMATQGKMLLWGAKINSLIDKGQLWRLATSSLLHANFGHLMINCYSLNSIGATIENLSGPRRFLAIYFTSAIASSATSYWFCKAPAVGASGAIFGLVGSLAVFIIRHRGMIRGGKDDLQNIARVILLNMVIGILSRGIDNWGHLGGLLGGVATSWLVGPAWKYETLANDGRKIFVDRAPIKFLSSKRRDDRHFN >Manes.02G056900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4480060:4481070:1 gene:Manes.02G056900.v8.1 transcript:Manes.02G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALVITCILLFSFIIIPSPIVARELTHHGKIGGGEPEVVFATKIPICKGKQSKKYNHCRCPTYKPNC >Manes.15G037700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2953689:2958590:1 gene:Manes.15G037700.v8.1 transcript:Manes.15G037700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPLAPGLLLLSLLLISVSANDNGFSRCNCDDEGSLWSIENILDCQKVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGMTHLLNGWTYGPHPFQLMLSLTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQREAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEMRTEMSLTHELNGGNYSDMDNYPIPTTDPDVARIKGSDGVNILRPESAIAAASIGDSSEPGPIAAIRMPMLRVCNFKGGTPEVIQACYAILVLVLPGGQPRSWTNQELEIVKVVADQVAVALSHAAILEESQLMREKLEEQNRALQQAKMNAMMASQARTAFQKVMSDGMKRPMHSILGLISMMQDGNLSTEQRILVDAMMKTSNVLSTLINDVMEISTKDSGRFPLEMRSFRLHAAIKEVACLAKCLCVCRGFGFSIEVDKCLPDHVLGDERRVFQVILHMVGNLLDGTNRRGSVLLRFSLENGSQERNDHKWSAWRHSSSDGDVYIRFEITVNNDGSESEGSSSVMQVGGRRYASDGIDEDLSFSICKKLVQLMQGKIWVVPDSQGFPQSMGLVLRFQLRPSISIAISESVDSLDHPHSNSLFRGMQVLLADADDVNRAVTRKLLEKLGCCVATVSSGYECLSIIGLNTSSFQIVLLDLQMPELDGFEVASRIRKFRSRSWPLIVALTASADEEMWDRCLEIGMNGVIQKPVMLQGIANELRRVLVHANKVI >Manes.05G195500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31942121:31952655:1 gene:Manes.05G195500.v8.1 transcript:Manes.05G195500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQLGEFNYMAEEGEMADLVDELDQDNYGGEGNIEIEADEYDMLTKVTDTSSAQARKGKDIQGIPWERLNITRDKYRLTRLEHYKNYENIPLSGQAVDKECKQMEKGGNYYEFFYNTRLVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSLSRNLSEVINFAGHVAPNERLDKEGISFCARTTYDDNAITNAVEIYDSIRGGVHFMASNNDCSVREYDMERFQLLNHFQFSWPVNHTSLSPDRRLIVVVGDHQDGLLVDAHNGKVAASVRGHQDYSFASAWHPDGHVFATGNQDKTCRVWDVRNLSSPIAILKGNLGAVRSIRFSSDGQFMAVAEPADFVHVYSTKADYRRRQEIDFFGEISGVALSPDDESLYIGIWDRTYASLLQYNRRHTYGYLDSYL >Manes.05G195500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31942121:31952655:1 gene:Manes.05G195500.v8.1 transcript:Manes.05G195500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQLGEFNYMAEEGEMADLVDELDQDNYGGEGNIEIEADEYDMLTKVTDTSSAQARKGKDIQGIPWERLNITRDKYRLTRLEHYKNYENIPLSGQAVDKECKQMEKGGNYYEFFYNTRLVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSLSRNLSEVINFAGHVAPNEKHADSLLEGFTQTQISTLAVKDNFLVAGGFQGELTCKRLDKEGISFCARTTYDDNAITNAVEIYDSIRGGVHFMASNNDCSVREYDMERFQLLNHFQFSWPVNHTSLSPDRRLIVVVGDHQDGLLVDAHNGKVAASVRGHQDYSFASAWHPDGHVFATGNQDKTCRVWDVRNLSSPIAILKGNLGAVRSIRFSSDGQFMAVAEPADFVHVYSTKADYRRRQEIDFFGEISGVALSPDDESLYIGIWDRTYASLLQYNRRHTYGYLDSYL >Manes.12G138500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34489279:34491831:1 gene:Manes.12G138500.v8.1 transcript:Manes.12G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSYSTRSATNFFFFFFLFLLSLTSVPVAEARVRYYKWEVKYEFKSPDCYKKLVITINGRSPGPTIIAQQNDTIIVEVKNSLLTENLAIHWHGIRQIGTPWFDGTEGVTQCPIVPGDTFKYQFVVDRPGTYLYHAHYGMQREAGLYGSIRVSPPAGQPEPFAYNYDRSIILTDWYHNSTYEQSVGLSSIPFVWVGEPHSLLIQGKGKFNCSTPGIPAGVCNASNPECSPYSMTIVPGKTYRLRVSSLTALSALSFQIEGHNMTVVEADGHYVQPFVVQNLFIYSGETYSVLIKADQDPSRNYWMTTNVVSRKPSTPPGQAIFNYYPNHPQRFPPTTSPSGPAWDDVEPRFAQSLAIKAHKDHIHKPPQIAHRSIVMLNTQNRVNGFTRWSVNNVSFNLPHTPYLISLKYKLNHVFSQVPPPDGYDFKTYNIYNVSDNPNATTSNAVYRLAFNTTVDVILQNANSMNNNTSETHPWHLHGHDFWVLGYGKGKFDIYNDQNKFNLVDPIMKNTVPVHPYGWTALRFQADNPGAWLFHCHIESHFFMGMGVVFEEGIDKVGKLPSSIMGCGESKGFNRP >Manes.06G143800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27113851:27117111:-1 gene:Manes.06G143800.v8.1 transcript:Manes.06G143800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMKTRPTGMSPMNGGLRVGGAAGGGETGSEWELRPGGMLVQKRTPDSDGNSIPPPTIRVRVKYGSTYHEISISSQATFGELKKILAGPTGLHHQDQKLIYKDKERDSNAFLDISGVKDKSKIVLVEDPISQEKRLLEMRRNAKMEKASKSISEISLEVDRLAGQVSALESIISKGGKVAEKTVLNLIDLLMNQLLKLDGIVGDGDVKLQRKTQVRRVQKYVETLDILKIKNSMPNHNGNHIPMRNQQKHNSNEQRLAPIQEQPSRHSNGQTLITIEEEQPRHSVVPHHQKQNSTSGAVVFTTQWETFDSSPALMPVPSTSTSATNNNSVQQPKFPWDFFD >Manes.03G024700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2012223:2013602:-1 gene:Manes.03G024700.v8.1 transcript:Manes.03G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTSSTPAGHVAVCAFPFTSHPLALCSLVCRLAEEAPQLHFSFLCTSRANSKISTKTTILPTNIKISDIEDGLPEGFEPEDLAQEASNFYKQFPENFKRGVDAAVEETGGKRVTSLIVDGLFSFDLGNMAEEMNVPWVAFTVPAPYDLAAWLQKDLIQQLYANAQMDHDHPEDQLIDIVPGLPPSPFKDFPHELLERNPSNQLLAQVLLSMIRKIQEASAVVMNSYEELNPLLLTNYLKSKFLNIFYVSSVTPSKLASGTDATRCLSWLDEQKSASVAYISFGTTAPLNGEEVKALAEALEEGGVPFLWSINDKFKEYLPDGFTERIGTRGKLVPWAPQRQVLEHPSIGVHVTHGGYNAVLESIMGGVPMICRSEWADNHLNAKMVEEVWGIGVRVENRLITKTGMLKCLEMILHQEEGKVRQASTALKQVFEKAAGPDGVAANHVKTLLHIISEER >Manes.08G004101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:685638:686309:1 gene:Manes.08G004101.v8.1 transcript:Manes.08G004101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTELLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.14G060250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5027139:5028724:-1 gene:Manes.14G060250.v8.1 transcript:Manes.14G060250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFFWGNGNDTGGGIRWFRWKRLAVPKVAGGLGYRDLRQFNLALVAKQGRSICASRSILTYGCRQQIGDRHSTHIWTDPWILEACDPYIRTEVAENMPFVMVFDLILNRTMILTNILRIPLSLRECDDDWCWVLNRKGEYVVKEGYRCCPVCGVGLETNFHVLYCCFFARSCWLLSNLGWLARLHFRDSGTKSLVAAVWQPPPLGQYKCNIDISVQANGQLMVVPGLMDPLLGEVLCFREALSWLKSKNYFPICVETDCELIVNALNSPHTDSSYFELMINDCKALLQELQYVSFAFVRRSANQVAHTVARAASSMSDFDWVCPPLFLYDTLSFDVNNMS >Manes.02G144100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11058348:11067440:1 gene:Manes.02G144100.v8.1 transcript:Manes.02G144100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLRSKTSKETASLRASQFRNFLLNYMFAGGMSTCYSTHRRSLDDYFGNSPSHSTAFNPVSLRGEFFEKGTQLLDNRRSGRDSSKDFGRNWTEKLNGSDSGSNYGDPPEVWQPPGDGIATVRVNGASMDVVRGGRGGSGSNSKDGCWGGSNLGNNFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYKRIYHDSIQKWSAGDSGNNKTEAIDDDGVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILGKLLLAADYNVAAAQQGIVYIDEVDKITKKAESVNISRDVSGEGVQQALLKMLEGTVVNVPEKGGRKHPRGDNIQMDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPVRANMRMGTVTSAAVTSSLLETVESSDLISYGLIPEFVGRFPILVSLSALTEDQLVQVLIEPKNALGKQYKKMFQMNDVKLHFTENAFRLIAKKAIKKNTGARGLRSILETILMDAMYEIPDVRTGEDIIDAVVVDEESVGAEGPGTGAKILYGKGALDRYLSKHKSKNLETSADGSDGEPEPETEVSSIVASM >Manes.04G049800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8228681:8232060:1 gene:Manes.04G049800.v8.1 transcript:Manes.04G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKVRSNREAKHIEKRMKGKKSKEQREAAKELEQSIHMVKPPLVLAQEPSLTLPKIKAKVSQQQADENHAMEE >Manes.07G000550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:280789:281256:1 gene:Manes.07G000550.v8.1 transcript:Manes.07G000550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTPTQESNMSKKLQLREGARVHPVFHVSLLKKAIGDSLASVQIPQDFKSENLPFEPFAILQFRELKEGAGAKQQVLVQWKGFSEEEATWVELEEFQNQFPNYDLEDKAKVNEGSIDRDCLQLGCPVATDGLGQMKVYSRRKLKGIVSTAEIFQ >Manes.15G176212.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19596115:19598683:1 gene:Manes.15G176212.v8.1 transcript:Manes.15G176212.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEEKSAPSTAEDGIKYRGIKAMPFIIGNETFEKLGTIGTLANLLVYLTSVFNMKNITATLLINVFNGTSNVAPLVGAFLSDSYFGRYKSLAFASICSLLGMTVLTLTAAISKLHPPKCDAKQSGTCVEPTACQFAFLLSGFGFLVLGAGGIRPCNLAFGADQFNPATESGKQGINSFFNWYYFTFTFAMMISATFIVYIQSNISWTIGLAIPACLMFMSCALFFLGSKLYVKVKPEGSPITSLLQVLVAAAKKRRLDLPDNPALSLFDYIPANSINSRLLYTNQFRWLSKSAITTSEDQINFNGSAANPWKLCSIQQVEEAKCVLRVIPIWASAIIYFVAIIQQQTYVVFQALQSDRRLGNTGFQVPAASFIVFSMLSLTIWIPIYDRILVPSCRKLTGKEGGITILQRMGIGIVLSIITMLVSAVVEERRRHLALTTLTLGTAPKGGAISSMSALWLAPQLTLAGLTEAFNSIGQMEFYYKQFPENMRSVAGSFLFLGIAGSSYVSGFLVSIVHHITARSPGEDWLAEDLNKGKLDRFYYMIAALGVVNFGYFLTFAKWYRYKDSNCSSFELSLEAKQQSQKHLV >Manes.06G004501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:885373:885828:-1 gene:Manes.06G004501.v8.1 transcript:Manes.06G004501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCGVRWLTSTRSSDAAAHRSVMRWWCWRDVLLLRGATGPLAEDAMMATA >Manes.07G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5101300:5107031:-1 gene:Manes.07G043800.v8.1 transcript:Manes.07G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPSLFFFFKLVICCHHLLLHSNISSFYNQTKTPKMADGVLSNVVIDIITKLGSRALHEIGLWWGVKGELKKLEATVSSIRNVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVSLFFSSSNKLVYGFKMGHKVKAIRERLADIEADRKFNLEVRTDQESIVWRDQTTSSLPEVVIGREGDKKAITQLVLSSNGEECVSVLSIVGIGGLGKTTLAQIILNDELIKNSFEPRIWVCVSEPFDVKMTVGKILESATGNRSEDLGLEALKSRLEKIFSGKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKMVADISGTIAPHVLEGLSPDESWSLFLHVALEGQEPKHANVREMGKEILKKCHGVPLAIKTIASLLYAKNPETEWLPFLTKELSRISQDGNDIMPTLKLSYDHLPSHLKHCFAYCAIYPKDYVIDVKRLIHLWIAQGFIESPSWSDCLEDIGLEYFMKLWWRSFFQEVKRDRCGNVESCKMHDLMHDLATTVGGKRIQLVNSDASNIDDKIHHLALNLDVASKEILNNTKRLRSLLFLEKYDYDQLFIYKNLKFLRVFTMHSYKTVDNSIKMLKYIRYLDVSDNKGLKALSHSITDLLNLQVFDVSYCVQLKELPKDIKKLVNLRHLYCEGCYSLTHMPRGLGQLTSLQTLSLFVVAKGHISSKDVGKINELNKLSNLRGRLAIRNLGCVDNEIVNVNLKEKPLIQSLKLSWEESWEDSNVDRDEMAFQNLQPHPNLKELRVLNYGGRRFPSWFSSLTNLVYLCIWNCKRYQHLPPMDQIPSLQYLEIWGVDDLEYMEIEEQPTSFFPSLKTLELYRCPKLKGWQKKKEDDSTALELLQFPCLSYFVCEDCPNLTSIPQFPSLDHSLSLRYASPQLVHQIFTPSISSSSSIVPPLSKLKILRIRDIKELESLPPDGLRNLTCLQRLTIEICPAIKCLPQEMRSLTSLRELNINDCPQLKERCGNRKGADWACISHIPNIEVDDQRIQREGRYLLDDEASINEG >Manes.03G066460.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7341089:7343710:-1 gene:Manes.03G066460.v8.1 transcript:Manes.03G066460.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLREIEGKQAHDPIFIDKMNKSSKRCVCVPGPLIVGAGPSGLAVAACLKERGVPITVIERSNCVASLWQLKTYDRLRLHLPKQFCELPLMGFPTEFPTYPTKQQFVDYLEKYADKFDIRPRFNETVSHAEFDRVIGFWRVRTVGPKVEEKEYVCRWLVVATGENAEAVVPNIEGMGEFEGDIRHTSLYSSGEEFRGKRVLVVGCGNSGMEVCLDLCNHSAKPSVVVRDTVHVLPKEMLGKSTFGLSMWLLKWLPMRVVDGLLLIVSRMMLGDTAKLGFERPQLGPLELKTVSGKTPVLDVGTLARIKSGDIKVCPGIKRLKRHSVEFVNWKTENFDAILLATGYKSNVPSWLKEGDMFSEKDGLPRRPFPNGWKGERGLYAVGFTKRGILGASMDAKRVAEDIERCWKAEAKHWMVFARSLLPQSSS >Manes.03G066460.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7341089:7343745:-1 gene:Manes.03G066460.v8.1 transcript:Manes.03G066460.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLREIEGKQAHDPIFIDKMNKSSKRCVCVPGPLIVGAGPSGLAVAACLKERGVPITVIERSNCVASLWQLKTYDRLRLHLPKQFCELPLMGFPTEFPTYPTKQQFVDYLEKYADKFDIRPRFNETVSHAEFDRVIGFWRVRTVGPKVEEKEYVCRWLVVATGENAEAVVPNIEGMGEFEGDIRHTSLYSSGEEFRGKRVLVVGCGNSGMEVCLDLCNHSAKPSVVVRDTVHVLPKEMLGKSTFGLSMWLLKWLPMRVVDGLLLIVSRMMLGDTAKLGFERPQLGPLELKTVSGKTPVLDVGTLARIKSGDIKVLSITFHSFALSGSYVLDESLPLVTVSNNFIGCFSYPGPILFFLLKV >Manes.11G046600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4965487:4966698:1 gene:Manes.11G046600.v8.1 transcript:Manes.11G046600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVQKMADEEVVLLAAKFSTFAERAKIALAEKGIKYELKEEDLNNKSHLLLEMNPIHKQVPVLIHNGKPICESMIIVQYIDEVWKDTAPLLPSDPYQRAHARFWADYIDKKIYPNGRMLWKSEGEVKETHAKNLIESFQTLEKELGDKPFFAGESFGYVDLALIPFYSMFYTYESLGNFSMTVDCPGIVAWAAKCLEKETVSKSISDPQMLHEAIMEMIKKVDS >Manes.07G009913.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1152404:1153035:-1 gene:Manes.07G009913.v8.1 transcript:Manes.07G009913.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKFAEESGIDIVTIHPGFVIGPFLQPTLNVTVEVILNYINGETFPNEIYRFVDVRDVASAHIQAFEQASANGRYCLVGRVVHFSEFLKIVHEQYPALQLPEKCEDEKAFALKYEVSKEKAKSLGINFIPLEVSVVDTIECLKDKGFLGV >Manes.12G025300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2207794:2211341:1 gene:Manes.12G025300.v8.1 transcript:Manes.12G025300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSPCGYYVISLLFCSCFLVKFSASDPRATEAALVCSNRTATMQVRQIFVANFLATMDAVTPLIARQQYGTVINGTGNNTVYTFGECMKDLDQTDCNLCFAQCKTQILRCLPFQRGIRGGKLFYDGCYLRYDDYNFFNETLSSRDETVCANGDFSGGNKTVFSANAMELVRNLSVQAVRNDGFFVGSVDGRNVSVYGLAQCWELVNGSGCETCLANAVSKIANCTPKEEGRVLNAGCYLRYSINKFYNNSSSQDAESGGRSHLAVILAVTSSVVAVVLFVTTAIFFIKKRIAEKRRQRKELGALLATVNNSKLNFSYESLERATNYFHLSNKLGQGGSGSVYKGVLADGKVVAIKRLLFNTRQWVDHFFNEVNLISNIDHKNLVKLLGCSITGPESLLVYEYVPNQSLHDHLFARNNVPPLTWEMRYNIILGTAEGLAYLHEESDLRIIHRDIKLSNILLDEDFTPKIADFGLARLFPQDKTHITTAIAGTLGYMAPEYVVRGKLTEKADVYSFGVLVIEIVYGKRNNAFDQDSCSILQMVWNLYGTGRLSEAVDPTLDGNFQEEEASQLLQIGLLCVQASAELRPAMSVVVKMLTNSHEIPQPTQPPPFLNPGSSSEISSHSKPVNYSSQAESCTQSSGNSMTQSWIEPR >Manes.16G022700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2476406:2477729:1 gene:Manes.16G022700.v8.1 transcript:Manes.16G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTCLLLMATLLLSGTGSLSSAARTEPNSSKAKHTNPKHKAGGNDAGIGGFFGPGSGFGMPGFGNGIIGGGYGAGYGGPNGGYSKGGIIRPTVICKERGPCYKKKLTCPAKCFTSYSRSGKGFGAGGGGGGCTMDCKKKCIAYC >Manes.03G141250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26930242:26931404:-1 gene:Manes.03G141250.v8.1 transcript:Manes.03G141250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVNFAFLLTLWLSAFYLVHADSRKLSSDVNQTLCEYPCHPLAPPPPPPSLPDNPSYGTPPPPYPIYGYPPYGTPPSQINCTQFPQLCCFQPPPYPLGYQPYESYATVCSPFHFILVGSLVFSISVLL >Manes.12G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34413672:34416542:-1 gene:Manes.12G137400.v8.1 transcript:Manes.12G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSRRARERDTSPDRAKVSMQTQMQPRLKTIKKVQVVYYLSRNGQLEHPHYMEVTHFANQHLRLRDVVERLTVLRGKGMPSLYSWSCKRSYKNGYVWNDLAENDIIHPSDGAEYVLKGSELVEGCSERFQQLQVTNNRQLIQEPILQAKGKQLQAPSQPKQLEETHNAKYEFEDYEEEEEEQEQESQEEYEDEEKTSYTTSTTPHSRCSRGVSTDELEEQPPKNPTTESTHHGSSPPSTALVLSADKTHVNSSNSTSKRFEDGDPIAPESAAPRNSVLLQLISCGNLAVAKAKGNAPSFKQSAKANITNEVKRSESNLHKGVLYKSAVKVAEEDMIIKYMSENPRFGNLQAEEKEYFSGSIVESMSENRVTAEPGLKRSNSYNEERRTKNRLEEEEVQEECKEKAGRGKCIPRMKASSSLKQTKK >Manes.04G005200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:698035:701754:1 gene:Manes.04G005200.v8.1 transcript:Manes.04G005200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYKLFTLLLFTTTLLVHGAISNKKHYIVYMGENSQSHLSSESLSKANHKVLASVTRSFKEAQGVTLHHYTKSFRGFSAMLTQEQAQKLAESDSVVSVFESRMNKLHTTHSWEFLGVSSLHQYNNQLPVASSSSDIIIGVIDSGVWPESESFNDRGLGPVPKKFKGTCVPGEKFSSANCNRKIIGARFYYKGFEAENGPLESFGATFFRSARDSDGHGSHTASTIGGNLVSNTSLFGMATGTARGGVPNARLAIYKACWFNLCSDADILSAMDDATNDGVDILSLSLGPDPPQPTYFEDAISIGAFHAFRNGVVVSCSGGNSFFPRTATNVAPWIITVAASSLDREFNSNIYLGNSIVLKGFSLNPLKMETSYGLISGSDAAAPGIPAKNASFCKNNTLDNTKIKGKIVVCTTEVVKDNRREKALTIQQGGGVGMILIDPLIKEVGFQFVIPATLIGQEEAQQLQAYMNTDKYPTARIAPTVTVLNTKPAPEMTVFSSRGPNIVTPDIIKPDVTAPGLNILAAWSPVAADATGGRSVNYNIISGTSMSCPHVAAVAAILKSHQPSWSPAAIKSAIMTTATVMDNTGEVIKRDPSGTPTTPFDYGSGHINPISALNPGLVYDFSSYDVIDFLCSTSASPAQLKNLTGQPTYCQNPTTQSYDFNYPSIGVSNMHGSVSVHRTVTYYGEGPSVYTAEVDYPDGVEVRVTPSALKFTRAGEKMSFRIDFKPFKTSNGNFVFGLLVWSNGVHKVRSPIGLNVLSL >Manes.10G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6180120:6184259:-1 gene:Manes.10G053100.v8.1 transcript:Manes.10G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSMSFALHPDEERGDHHQYHRGVKYLCEKGITKVPAKYILPVIERPNTGELNGNFSNDHDLRLPVIDFAELQGSNRVQALQSLSRACEEYGFFQLINHGISRETITSMVEEGRKFFELPFEKRSKYMSKDMFSPVRYGTSFNQNKDRVFCWRDFLKLNCHPLSEMLPFWPSSPSELREAAVNYSKETKYLYMMLVKAILESLGVVENNRSCNEKDSCLKEFEDGSQIIVVNCYPSCPEPDLTLGMLPHSDYGFLTLLLQDELVKGLQIHHRGKWVTVEPIPNSFVVNVGDHLEIFSNGRYKSVLHRVLVNPAKSRISIASLHSLPFSSTIRPSPKLVNEDNPKRYKDTDFASFMEYITTCEHKSKNFLESRKLTT >Manes.12G149900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35830562:35831591:1 gene:Manes.12G149900.v8.1 transcript:Manes.12G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTFTKTAAPIILLLSLSLSILVTTTVATVTGQELDAALLALRTRGYSLFPNAITTTDLRPLLLSLNSTFTLFSPPDSLVYSLDISCTAPLYFRSILHHVAPFRLSMSDLRSIRGSPYLDTLVTSNPIFVDNSLVHDNGIVSESVLLDGVRVSVPDLFLGSSIAVHGLEGILVAEVGSNSNEHIDRLGDQPFVSPVASWSEQNSPASAPSAKVGLRGTSTLESMPKKRNGMRGQRTRNQRGFHGELSHENGHSNFAKYGHQFGARLRA >Manes.17G090100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29821261:29824326:1 gene:Manes.17G090100.v8.1 transcript:Manes.17G090100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNTTSSLPSPSSDPIPAFDNGAVTNKRKRKPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQDVKKRVYVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDFKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDSCTVRRSQPELQALQPACSSRTASSTSPSSDANFSIALLPGLPIPKPSEPVFLYSSSDRYDASTSSQQEHNLELQLLPSSIIPRLSQNPDDRYPTNLKLSIGSSGRSEENESSQQASLKSSRDKSAGDPTAEAEKMKEFANEQLRLAMAEKAYAEEARQQAKRQLEIAELEFANAKRIRQQAQTEVEKAQALRDQATKKISSTIMQITCQTCKQQFHSTVVAAPADETSLAMSYMSSATTEGEGE >Manes.17G090100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29821807:29824326:1 gene:Manes.17G090100.v8.1 transcript:Manes.17G090100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRHKVPWKLLKRETQDVKKRVYVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDFKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDSCTVRRSQPELQALQPACSSRTASSTSPSSDANFSIALLPGLPIPKPSEPVFLYSSSDRYDASTSSQQEHNLELQLLPSSIIPRLSQNPDDRYPTNLKLSIGSSGRSEENESSQQASLKSSRDKSAGDPTAEAEKMKEFANEQLRLAMAEKAYAEEARQQAKRQLEIAELEFANAKRIRQQAQTEVEKAQALRDQATKKISSTIMQITCQTCKQQFHSTVVAAPADETSLAMSYMSSATTEGEGE >Manes.14G163700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25677631:25681580:1 gene:Manes.14G163700.v8.1 transcript:Manes.14G163700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSNNLVGILNFLTFLLSIPILGAGIWLRNHGSSECEKFLDTPVIVIGVFLMVVSLAGLIGACCRVSLLLWLYLFVMFLLIVLLFCFTIFAFVVTNKGAGQVVSGRGYKEYRLGDYSNWLQKRVNNAKNWNKIKSCLIDGKVCSDFNEKYLNDTLTEFYAEHLSAVQSGCCKPADECGFQYVSPINWTPSTTSSTNPDCAAWSNNPDTLCFNCNSCKAGLLDNIKRDWKKVAVVNIIFLVFLIIVYSVGCCAFRNNRSDNAYFSGWKQQP >Manes.15G097500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7620770:7621768:1 gene:Manes.15G097500.v8.1 transcript:Manes.15G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLRNCFLLAHNARSVAQPNAAHACYHQQPKHSTSHNISTANSIFHHRELKFEADEQMGSYIYKSCFGPQHPLCKLQLYQTQKFLWVDLTAYFRKYLYICA >Manes.01G136300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32913629:32921111:1 gene:Manes.01G136300.v8.1 transcript:Manes.01G136300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDVADVVETLPYLYHFKAHRSMCTELMKLVDRIGHVFPEIEAARPRCSSGIQALCLLNGTVEKAKQILRYCCECSKLYLVITGDVIVSRCQRSRNNFEQSLGQIQTMVPTMLAAEISQIIDDLNAATFMLDSSDEEAGKAVRELIQQGSNVSDSAEYSEMKALQLAAFKLHITSPKALLIEKRSIKKQLDKVGDSDPAKKKILKYLLYLLKKYGNLIMEEQTETPKSERVGSVAPANPSNTSVCSQSVDVESDMGIPQNEAQADILDRGTPPEEFKCPISKRFMYDPVVIASGQTFERMWIQKWFDDGNDTCPKTKVKLPHCSMVPNTAMKDLISKWCEKYGITIPDPSMKAVHSWDISSTSIASLGSSMNDLHLPLDISNISLGSLDASYSSDSSRTRFSDGSNAILASKNDDCHRFQSYPSIRETDSEFLTRVSELNWDAQCKMIEDVKSHLQNNLQSCHSVSSDNFVDPLIRFLKDACNRHDARAQKAGSQLLLVFVSKSRSGLSYLHEDAFSLLVSFLDSEVTEETLAILEVLSGHPYCRTKITASGALVPILKILDSQCKEHQEKAIKILQNLSSNGDICSQIVYLECIPKLVPFINEGRIATHCMVLLKNLCDMEEARVAIAETNGCISSIAELLESGSREEQEYAVAVLLSLCSQRVQYCQLVMDEGVIPSLVDISINGNDKAKVTALELLRQLRDVEYGKGQECFQSDVDVSRDGSQHTKEKKPSKTSGFFRSFFPKPSSLAPKKKR >Manes.17G017708.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9610744:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQACLQVKGV >Manes.17G017708.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9610744:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQVLAILMLSDFISGSKISILEEAFDRNLLGTFLLANVLTGLVNISVDTLFVTSLTALFILLVYAYVLSIITGILHFYGVRLKFW >Manes.17G017708.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9610744:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQVKGV >Manes.17G017708.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9610744:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQVLAILMLSDFISGSKISILEEAFDRNLLGTFLLANVLTGLVNISVDTLFVTSLTALFILLVYAYVLSIITGILHFYGVRLKFW >Manes.17G017708.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9610628:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQVLAILMLSDFISGSKISILEEAFDRNLLGTFLLANVLTGLVNISVDTLFVTSLTALFILLVYAYVLSIITGILHFYGVRLKFW >Manes.17G017708.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9612730:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQFQPNLHQYVLPPVHGSQTRDLYLEFPSSTS >Manes.17G017708.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9615489:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQGS >Manes.17G017708.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9607819:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQVLAILMLSDFISGSKISILEEAFDRNLLGTFLLANVLTGLVNISVDTLFVTSLTALFILLVYAYVLSIITGILHFYGVRLKFW >Manes.17G017708.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9610650:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQVLAILMLSDFISGSKISILEEAFDRNLLGTFLLANVLTGLVNISVDTLFVTSLTALFILLVYAYVLSIITGILHFYGVRLKFW >Manes.17G017708.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9612730:9643494:-1 gene:Manes.17G017708.v8.1 transcript:Manes.17G017708.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSKSFNMKKHLKEEFVSNLGGSSMIEIAALSAAVPLLFVLRHSICFSYKTGSAFVRTSAKKDGDALSASKSLGNYLATVTVDFMFIVLPILLFLTVLAEWIFILPIFLMVLTFSITAKRVVISTTCSEGSLSLRAIISSYRVVVMVVTCLCILAVDFRIYPRRYAKTETYGYSLMDLGVGSFVLANSLVSRQARNASLVNLKAAVQSASPLLLLGFGRLLSTTTVDYQVHTGEYGVHWNFFFTLAAVSVLTSIINVPPQYSGFLGSAVLIGYQSWLNSGLNAYLLSDNRASDMISKNKEGVFSILGYWGMYLIGVQLGYYLFFGNHSSTKMKSNKWARIRVLSFAVIFWLITMILDSHVERVSRRMCNMAYVTLVLAQNFQFQPNLHQYVLPPVHGSQTRDLYLEFPSSTS >Manes.10G144000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31197204:31202589:-1 gene:Manes.10G144000.v8.1 transcript:Manes.10G144000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVLSGITVEIIKKLGSLVHQKTKLYLGVKEELEKLRRTVSTIQAVLLDAEEQYWQSHQVKDWVDSLKEAFYDADDLLDEFSTDVLLKQMMIGDRMVKEVGFFFSSSNPFAYGLKMAYKIEKIRNKLDEIAANRRFHLNERNEIPTPRVEEREQTHSSLPQGVVGREDDNEKIIDFLLDSSYEENVSIISIVGIGGQGKTTLAQLAFNDEKVKSNFELKMWVHVSEKFDVKEIVEKILESPYVGKHGNLNTLKDILHEKINRKKYLLVLDDLWNQDSEKWFKLKDLLAGGASGSKIIVTARYQMVSEIIRSEETHYLEGLPVDESWSLLKKMAFKPGQVPSLQHEKVGREIVEMCGRVPLAISVIGRVLYFTNTIDEWQLLKAKGFSNLNEGENKIMQTLKLSYTHLPSHLKRCFAYYSLLPKGFQIDVTYLVSLWMAQGFIKSSDLTRSLRDKGLEYLKDLVWRSFFQIVEKDDLGNVIFVEMHDLMYDLAKLVAKEENVSLNSDANRFEGVRHLLIDSDVESWRKVTERLPSATKLRSFISWSTKQDEEECHEIFSQLSCVRVLILWGMRFERLPPSIVKLIHIRFLDLSYSEGIEILPDSIIKLQNLHILILIDCKRLKQLPKHIKKLVNLQRLNLGGCVALTHMPLGIGQLTSLERLSMFMVAKDNSIHKRSGGLSELRYLNNLREDLEILNLLYVKNPASEFEAANLKEKQHLPSLKLAWKLGEPYEDDDSDAENDREISLEELHPHFNLKGLRVYGSGRLVFPSWISSLTNLVELVLDNCIRCQHFPPLDQFPSLKTLCIRDFTDLEYIESEINCDSALFFPSLEKLWLFNCPNLKGWRSHTSTSQSLQFHCLLYLEVKSCPNLTTMPLIPSVQELALTNASKKSLEDILKMNISVSPSTSSCSSVSPSELQLLHIEGIEDLELLPEELLTNLTSVQRLDIRYCPRLTKVSSALRHLASLETLVFVACEELDLLYLEDHSDMPWQCLGRLQRLAFSNLTKLASVPKGLQHLPTLSRLTITSCPNLTSLPDWIKSITGLQYFCILECPQISERCKNNMGADWPKIAHIPNIIIDKIWIQEDGCYKL >Manes.01G154100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34111548:34119685:-1 gene:Manes.01G154100.v8.1 transcript:Manes.01G154100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLRAKNGLLSPLKSLTNLQRFNSTLTEQARLYPGMGGSDSGSQRSSIIDMSRWKKINAGRVGVTRSMIPASLWVVLKTLRGKGFQVYLVGGCVRDLLLHRIPKDFDVVTTAKLKQVRKQFHLSEIVGRRFPICRVHIKSSLFEVSSFGTVAKHAKEKVRLYQMPSGCDEKDFICWRNSMHRDFTINSLFFDPFMNQICDYANGLADLRSLKLRTILPAHLSFQEDCARILRGLRIAGRLGLSISKETEIAMLKLSSSLMSLDKGRIIMELNYMLSYGAAESTICLLQRFNLLGIFLPFHAAYLNQQAAEIYQSSTMLMKLFFNLDMLVGCDRPCDCSLWVGLLAFHQALVTNPQDGFVVCVFASVLYHGKWKEGVKFARENAKLQVKFIPEISGISEFKSDKELAKEVSHLAALVRDSVGALIDRDILVHSMSKYPVSPCSGLVFVPKKTGNSVAQLFDVLLNDVESYKSGRENFMIDYFLLGKGNEDETRFVLGKVILETLGSGLTEGGTKVGREEPIAIEQKSDAKPSYSEKHQIEVWKDRKRKKEVALKDKFLEESKKHQKPDETSQLPEEELNMMRENMLEEKKHHLQGQAMNDDRYETVQEKCQDTGREHIKVINKMKHHLLHDYESKQSNVLSGVSNLSNNEKMKWEEKKDCHLLLQEVVKEKTEKLEKILVKGKCGQPSLSSLFRR >Manes.01G154100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34111404:34119685:-1 gene:Manes.01G154100.v8.1 transcript:Manes.01G154100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLRAKNGLLSPLKSLTNLQRFNSTLTEQARLYPGMGGSDSGSQRSSIIDMSRWKKINAGRVGVTRSMIPASLWVVLKTLRGKGFQVYLVGGCVRDLLLHRIPKDFDVVTTAKLKQVRKQFHLSEIVGRRFPICRVHIKSSLFEVSSFGTVAKHAKEKVRLYQMPSGCDEKDFICWRNSMHRDFTINSLFFDPFMNQICDYANGLADLRSLKLRTILPAHLSFQEDCARILRGLRIAGRLGLSISKETEIAMLKLSSSLMSLDKGRIIMELNYMLSYGAAESTICLLQRFNLLGIFLPFHAAYLNQQAAEIYQSSTMLMKLFFNLDMLVGCDRPCDCSLWVGLLAFHQALVTNPQDGFVVCVFASVLYHGKWKEGVKFARENAKLQVKFIPEISGISEFKSDKELAKEVSHLAALVRDSVGALIDRDILVHSMSKYPVSPCSGLVFVPKKTGNSVAQLFDVLLNDVESYKSGRENFMIDYFLLGKGNEDETRFVLGKVILETLGSGLTEGGTKVGREEPIAIEQKSDAKPSYSEKHQIEVWKDRKRKKEVALKDKFLEESKKHQKPDETSQLPEEELNMMRENMLEEKKHHLQGQAMNDDRYETVQEKCQDTGREHIKVINKMKHHLLHDYESKQSNVLSGVSNLSNNEKMKWEEKKDCHLLLQEVVKEKTEKLEKILVKGKCGQPSLSSLFRR >Manes.01G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29145897:29146776:-1 gene:Manes.01G089900.v8.1 transcript:Manes.01G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMANMKATQPLASLVIVLVVAGAMSIWEVKTASADLSAAQCKEERRLGLNACKPVVYGRPPSPQCCERIRVTHMECVCPDVTPKVAALIDVNRAIRIIEGCGRRVPRHSKCGSITVP >Manes.05G027800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2396026:2405255:-1 gene:Manes.05G027800.v8.1 transcript:Manes.05G027800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFWVTSNFDDLFCSFDGILVNSLEAKVALSCFMQEPFKSIPLIWTIHERILAVRSRQYTANGQIALVNDWKRYFNRATVVVFPNYFLPMMYSAFDAGNHYVIPGSPAPVWEANAMAALYKDNVRVKMGYGPDYVVIAIVGSQFLYRGLWLEHALVLQALLPLFSNFPFSDNTNFHLKIVVLTGNSTSNYSLAVEAIAVNLRYPRGAVKHIAIDRDADNELNAADIVVYGSFHEEQSFPEILLKAMCIGKPIIAPDLYMIRKHVDDRVNGFLFPKENIGVLTEIVMQVISNGKLSPLASNVASLGKGAAKNLMVAETLEGYASLLGKVIKFPSEVSLPKAFVQIPSKLKEEWRWHLFGPFFNLTYGDRTLRSSRFLDKVEEQWNHSYGSITSNDESFSYEIWKEEKENEILNVRKRREEEELKDRSDQPHGTWEEVYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGQGTWSFLHLSSLYRGVGLSTKGRRPGTDDVDGPSRLPLLSNPYYRDILGEYGAFFAIANRIDRIHKNAWIGFQSWRATARKASLSRTAEKALLDAIQTRRHEDTLYFWVRMDMDPRNQLQQDFWSFCDAINAGNCKSAFSEAFRNMYGVEQNLDYLPPMPGDGDTWSVMLSWALPTRSFLEFVMFSRMFVDALDAHMYDAHHQSGHCYLSLSKDKHCYSRVLELLINVWAYHSARHMVYINPETGLMQEQHKMKSRRGKMWVKWFSYTTLKSMDEDLAEEADSDHPKRRWLWPSTGEVIWQGVFEKERNLRNRQKEKKKQQSKDKLSRMRRKRHQKVIGKYVKPPPEDIDNSNSTTLTSVFL >Manes.05G027800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2396026:2405255:-1 gene:Manes.05G027800.v8.1 transcript:Manes.05G027800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESALTLKRDSLLRSASAGRSERYPFLLRPRSRFSRFLLSKKLDYLQWICMVAVFLFFVVIFQMFLPGSVIEKSQDSIKLLDMVSGDLLYLKQMGSFDFGEDIKFEPLKLMEKFEKEAREVNVSSTFNITQHRFGYRKPQLALVFADLLADPQQLLMVTVATALQEIGYTIQVFSVEDGPAHAIWTSIGVPVSISPTNNSMEIAVDWLIFDGILVNSLEAKVALSCFMQEPFKSIPLIWTIHERILAVRSRQYTANGQIALVNDWKRYFNRATVVVFPNYFLPMMYSAFDAGNHYVIPGSPAPVWEANAMAALYKDNVRVKMGYGPDYVVIAIVGSQFLYRGLWLEHALVLQALLPLFSNFPFSDNTNFHLKIVVLTGNSTSNYSLAVEAIAVNLRYPRGAVKHIAIDRDADNELNAADIVVYGSFHEEQSFPEILLKAMCIGKPIIAPDLYMIRKHVDDRVNGFLFPKENIGVLTEIVMQVISNGKLSPLASNVASLGKGAAKNLMVAETLEGYASLLGKVIKFPSEVSLPKAFVQIPSKLKEEWRWHLFGPFFNLTYGDRTLRSSRFLDKVEEQWNHSYGSITSNDESFSYEIWKEEKENEILNVRKRREEEELKDRSDQPHGTWEEVYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGQGTWSFLHLSSLYRGVGLSTKGRRPGTDDVDGPSRLPLLSNPYYRDILGEYGAFFAIANRIDRIHKNAWIGFQSWRATARKASLSRTAEKALLDAIQTRRHEDTLYFWVRMDMDPRNQLQQDFWSFCDAINAGNCKILADFFFYG >Manes.05G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2396026:2405255:-1 gene:Manes.05G027800.v8.1 transcript:Manes.05G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESALTLKRDSLLRSASAGRSERYPFLLRPRSRFSRFLLSKKLDYLQWICMVAVFLFFVVIFQMFLPGSVIEKSQDSIKLLDMVSGDLLYLKQMGSFDFGEDIKFEPLKLMEKFEKEAREVNVSSTFNITQHRFGYRKPQLALVFADLLADPQQLLMVTVATALQEIGYTIQVFSVEDGPAHAIWTSIGVPVSISPTNNSMEIAVDWLIFDGILVNSLEAKVALSCFMQEPFKSIPLIWTIHERILAVRSRQYTANGQIALVNDWKRYFNRATVVVFPNYFLPMMYSAFDAGNHYVIPGSPAPVWEANAMAALYKDNVRVKMGYGPDYVVIAIVGSQFLYRGLWLEHALVLQALLPLFSNFPFSDNTNFHLKIVVLTGNSTSNYSLAVEAIAVNLRYPRGAVKHIAIDRDADNELNAADIVVYGSFHEEQSFPEILLKAMCIGKPIIAPDLYMIRKHVDDRVNGFLFPKENIGVLTEIVMQVISNGKLSPLASNVASLGKGAAKNLMVAETLEGYASLLGKVIKFPSEVSLPKAFVQIPSKLKEEWRWHLFGPFFNLTYGDRTLRSSRFLDKVEEQWNHSYGSITSNDESFSYEIWKEEKENEILNVRKRREEEELKDRSDQPHGTWEEVYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGQGTWSFLHLSSLYRGVGLSTKGRRPGTDDVDGPSRLPLLSNPYYRDILGEYGAFFAIANRIDRIHKNAWIGFQSWRATARKASLSRTAEKALLDAIQTRRHEDTLYFWVRMDMDPRNQLQQDFWSFCDAINAGNCKSAFSEAFRNMYGVEQNLDYLPPMPGDGDTWSVMLSWALPTRSFLEFVMFSRMFVDALDAHMYDAHHQSGHCYLSLSKDKHCYSRVLELLINVWAYHSARHMVYINPETGLMQEQHKMKSRRGKMWVKWFSYTTLKSMDEDLAEEADSDHPKRRWLWPSTGEVIWQGVFEKERNLRNRQKEKKKQQSKDKLSRMRRKRHQKVIGKYVKPPPEDIDNSNSTTLTSVFL >Manes.05G027800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2396026:2405255:-1 gene:Manes.05G027800.v8.1 transcript:Manes.05G027800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFWVTSNFDDLFCSFDGILVNSLEAKVALSCFMQEPFKSIPLIWTIHERILAVRSRQYTANGQIALVNDWKRYFNRATVVVFPNYFLPMMYSAFDAGNHYVIPGSPAPVWEANAMAALYKDNVRVKMGYGPDYVVIAIVGSQFLYRGLWLEHALVLQALLPLFSNFPFSDNTNFHLKIVVLTGNSTSNYSLAVEAIAVNLRYPRGAVKHIAIDRDADNELNAADIVVYGSFHEEQSFPEILLKAMCIGKPIIAPDLYMIRKHVDDRVNGFLFPKENIGVLTEIVMQVISNGKLSPLASNVASLGKGAAKNLMVAETLEGYASLLGKVIKFPSEVSLPKAFVQIPSKLKEEWRWHLFGPFFNLTYGDRTLRSSRFLDKVEEQWNHSYGSITSNDESFSYEIWKEEKENEILNVRKRREEEELKDRSDQPHGTWEEVYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGQGTWSFLHLSSLYRGVGLSTKGRRPGTDDVDGPSRLPLLSNPYYRDILGEYGAFFAIANRIDRIHKNAWIGFQSWRATARKASLSRTAEKALLDAIQTRRHEDTLYFWVRMDMDPRNQLQQDFWSFCDAINAGNCKSAFSEAFRNMYGVEQNLDYLPPMPGDGDTWSVMLSWALPTRSFLEFVMFSRMFVDALDAHMYDAHHQSGHCYLSLSKDKHCYSRVLELLINVWAYHSARHMVYINPETGLMQEQHKMKSRRGKMWVKWFSYTTLKSMDEDLAEEADSDHPKRRWLWPSTGEVIWQGVFEKERNLRNRQKEKKKQQSKDKLSRMRRKRHQKVIGKYVKPPPEDIDNSNSTTLTSVFL >Manes.05G027800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2395919:2405255:-1 gene:Manes.05G027800.v8.1 transcript:Manes.05G027800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESALTLKRDSLLRSASAGRSERYPFLLRPRSRFSRFLLSKKLDYLQWICMVAVFLFFVVIFQMFLPGSVIEKSQDSIKLLDMVSGDLLYLKQMGSFDFGEDIKFEPLKLMEKFEKEAREVNVSSTFNITQHRFGYRKPQLALVFADLLADPQQLLMVTVATALQEIGYTIQVFSVEDGPAHAIWTSIGVPVSISPTNNSMEIAVDWLIFDGILVNSLEAKVALSCFMQEPFKSIPLIWTIHERILAVRSRQYTANGQIALVNDWKRYFNRATVVVFPNYFLPMMYSAFDAGNHYVIPGSPAPVWEANAMAALYKDNVRVKMGYGPDYVVIAIVGSQFLYRGLWLEHALVLQALLPLFSNFPFSDNTNFHLKIVVLTGNSTSNYSLAVEAIAVNLRYPRGAVKHIAIDRDADNELNAADIVVYGSFHEEQSFPEILLKAMCIGKPIIAPDLYMIRKHVDDRVNGFLFPKENIGVLTEIVMQVISNGKLSPLASNVASLGKGAAKNLMVAETLEGYASLLGKVIKFPSEVSLPKAFVQIPSKLKEEWRWHLFGPFFNLTYGDRTLRSSRFLDKVEEQWNHSYGSITSNDESFSYEIWKEEKENEILNVRKRREEEELKDRSDQPHGTWEEVYRGAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGQGTWSFLHLSSLYRGVGLSTKGRRPGTDDVDGPSRLPLLSNPYYRDILGEYGAFFAIANRIDRIHKNAWIGFQSWRATARKASLSRTAEKALLDAIQTRRHEDTLYFWVRMDMDPRNQLQQDFWSFCDAINAGNCKSAFSEAFRNMYGVEQNLDYLPPMPGDGDTWSVMLSWALPTRSFLEFVMFSRMFVDALDAHMYDAHHQSGHCYLSLSKDKHCYSRVLELLINVWAYHSARHMVYINPETGLMQEQHKMKSRRGKMWVKWFSYTTLKSMDEDLAEEADSDHPKRRWLWPSTGEVIWQGVFEKERNLRNRQKEKKKQQSKDKLSRMRRKRHQKTRFYHSS >Manes.12G106911.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:26303187:26304080:-1 gene:Manes.12G106911.v8.1 transcript:Manes.12G106911.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTVTHNIETELSCWVFNVGRELRISYALSGSTAAGTIIDQRDPLPASSASGGTSAAGFKLEEKVSASASDAATVAALDEEESKLEELQAAIEVEFEVLDPEINRIFIKLFC >Manes.01G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7257915:7274527:1 gene:Manes.01G037700.v8.1 transcript:Manes.01G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASALEYINQMFPTEASLSGVEPLMQKIHSEIRHVDAGILAAVRQQSNSRTKAKEDLAAATHAVEELMYKIREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKESEEPNLLQHLSDACLVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRIRTNEEIWKIFPSSWHVPYRLCIQFCKKTRKQLEGILENLKEKPDVGTLLLALQRTLEFEDELAEKFGGGSTSREIGNEIEEIGRDSNSQTVSDIRKKYEKKLAANQGEPEEKDESKDLSVPGAGFNFRGIISSCFEPHLTIYVELEQKTLMENLDKLVQEETWDIEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKNQTLYNLFKVFERILKAYVVKLKARLPKGGMGIVAAATGMDGQIKMSDRDEKVICYIINSAEYCRKTSGELAESVSKIIDPQLAAGVDMSAVEEEFSGLITEALMTLVHGLETKFDTEMVTMTRVPWGTLESVGDQSEYVNGINMILTSSIPVLGNLLSPIHFQYFLDKLASSLGPRFYANIFKCKHISETGAQQMLLDTQAVKTILLEIPSLGRQTSSAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILDDFNKQGPAITQPSIAPSVVPAAAAAPAPAITNPTAGFITSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Manes.01G037700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7263716:7274527:1 gene:Manes.01G037700.v8.1 transcript:Manes.01G037700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVLQILWLLGTGKESEEPNLLQHLSDACLVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRIRTNEEIWKIFPSSWHVPYRLCIQFCKKTRKQLEGILENLKEKPDVGTLLLALQRTLEFEDELAEKFGGGSTSREIGNEIEEIGRDSNSQTVSDIRKKYEKKLAANQGEPEEKDESKDLSVPGAGFNFRGIISSCFEPHLTIYVELEQKTLMENLDKLVQEETWDIEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKNQTLYNLFKVFERILKAYVVKLKARLPKGGMGIVAAATGMDGQIKMSDRDEKVICYIINSAEYCRKTSGELAESVSKIIDPQLAAGVDMSAVEEEFSGLITEALMTLVHGLETKFDTEMVTMTRVPWGTLESVGDQSEYVNGINMILTSSIPVLGNLLSPIHFQYFLDKLASSLGPRFYANIFKCKHISETGAQQMLLDTQAVKTILLEIPSLGRQTSSAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILDDFNKQGPAITQPSIAPSVVPAAAAAPAPAITNPTAGFITSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Manes.01G037700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7257915:7274527:1 gene:Manes.01G037700.v8.1 transcript:Manes.01G037700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASALEYINQMFPTEASLSGVEPLMQKIHSEIRHVDAGILAAVRQQSNSRTKAKEDLAAATHAVEELMYKIREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQIMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKESEEPNLLQHLSDACLVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRIRTNEEIWKIFPSSWHVPYRLCIQFCKKTRKQLEGILENLKEKPDVGTLLLALQRTLEFEDELAEKFGGGSTSREIGNEIEEIGRDSNSQTVSDIRKKYEKKLAANQGEPEQEKDESKDLSVPGAGFNFRGIISSCFEPHLTIYVELEQKTLMENLDKLVQEETWDIEEGSQNNVLSSSMQLFLIIKRSLKRCSALTKNQTLYNLFKVFERILKAYVVKLKARLPKGGMGIVAAATGMDGQIKMSDRDEKVICYIINSAEYCRKTSGELAESVSKIIDPQLAAGVDMSAVEEEFSGLITEALMTLVHGLETKFDTEMVTMTRVPWGTLESVGDQSEYVNGINMILTSSIPVLGNLLSPIHFQYFLDKLASSLGPRFYANIFKCKHISETGAQQMLLDTQAVKTILLEIPSLGRQTSSAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQSILDDFNKQGPAITQPSIAPSVVPAAAAAPAPAITNPTAGFITSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Manes.05G076300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6228608:6231628:1 gene:Manes.05G076300.v8.1 transcript:Manes.05G076300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPKFWLAEKLQKHESTEMEPESNNPSLEKVDVQLESKNPTTEKVEPQQASSSRTSLREKAKKIPPKVRRSGRLRAVMASENRDAETQDIERILEEITIIESEKEDEPADEKMPDPTLNRKNLDEKLDYVVELLEAQQKTMDSLNYGAIGKTFFNEGSGMGDITYKSLYLDSQKKVQALTEENRQLNRKLEYALGKIEVV >Manes.05G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6228608:6231628:1 gene:Manes.05G076300.v8.1 transcript:Manes.05G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPKFWLAEKLQKHESTEMEPESNNPSLEKVDVQLESKNPTTEKVEPQQASSSRTSLREKAKKIPPKVRRSGRLRAVMASENRDAETQDIERILEEITIIESEKEDEPADEKMPDPTLNRKNLDEKLDYVVELLEAQQKTMDSLNYGAIGKTFFNEGSGMGDITYKSLYLDSQKKVQALTEENRQLNRKLEYALGKIEVYENRNHVAPEVLEKLKDLFKDALWLSGLTRVTEATRNSSAPENGHDCNTSAKRKRLNKDKN >Manes.05G076300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6228608:6231628:1 gene:Manes.05G076300.v8.1 transcript:Manes.05G076300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPKFWLAEKLQKHESTEMEPESNNPSLEKVDVQLESKNPTTEKVEPQQASSSRTSLREKAKKIPPKVRRSGRLRAVMASENRDAETQDIERILEEITIIESEKEDEPADEKMPDPTLNRKNLDEKLDYVVELLEAQQKTMDSLNYGAIGKTFFNEGSGMGDITYKSLYLDSQKKVQALTEENRQLNRKLEYALGKIEVVCFLISLIHYIFSFSCSFIHCFDNFSYKYAAYRESS >Manes.04G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1704473:1712233:1 gene:Manes.04G012900.v8.1 transcript:Manes.04G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNPNPPSMRVLTRPPPSAPIPASSPDAQSSSQSHPRSLEGVVVVGFVSRSPDHSSQLINRVLDSNTFGSGNLDKLLLIDKEEVRDWFSRRRISYYHEEEKGILFLQFGSTRCPAVHGCSGPDSGLSSCLEEREFEDLQGLLFMFSVCHVIIYIQEGSCFDPCILKKFRVLQAAKHALAPYVRSRTTPPLPSRSHASSSSSRPTPSTGSSPGRSSVTNRGASAISLMSGLGFYTSLFPGHCTPVILFVFVDDFFEIPNPNSNTEELKDLSSLNKSSSSVSRPNLPTKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGAESGHAGSRSGTVSSSAPLFSLDASRAVVLLDRSINQRGESLEFVTDLVEDVLNGKATSDSLLLESHGQSGNKEEILSIKEFIYRQSDILRGRGGLVTGTNSGPAAGVGMVAVAAAAAAASAASGKTFSTPELPSLEIWLSSSQLILHGILSAKRGCLDETEAVKRKPRQRNSGSTQVDGFAPKGMDPLDAAVSLLESGRGLNAKFSTSWCERTLPTAKDIYLKDLPACYPTSEHEAHLEKALNAFHSMVRGPAVPLFAKRLEDECTAIWKSGRQLCDAVSLTGKPCMHQRHDIGSGETESPLGAPVKAHSSGYFFLHACACGRSRQLRSDPFEFESANVSSNCFQNCDKLLPAVQLPKLDNVGPVHSSSWRLIRVGGARYYEPSRGLLQSGFSASRKFLSKWTIILEKPESPNVLPAKTVQQGSMTRQGTDPQVEVNAETDRKKTAAARLYSGDMHVGVENQRKLSENSKIDDKKISFGRGMANFTMRKPFSEVVAGSSATDSGFPPLQQRRQPASGSERSSKQNRARDRNAEQVHTIVDQGSQTSEDVISGKEALNEISSSGGKHGDPYVQIGSNVVPVNINGGEMVNLNPTLKHAIVYIGFEHECPHGHRFLLSLDLLDELGSPYSFPKEYQVPYVETSDHNVASPSKLGWNSGHGRIHRSSKGTNFVGANKFRNVDKLNGVNVGLYIDGLIQLTGAEKEQNQASTPEPTYQDFVKNLEVDFHSISLDDGGGAFSMLNRNLPIYMNCPYCRRSRNKKDPQKIKFAGTISQLQRIFLVTPAFPVVLATCPVVQFEVSCLPPSVADRERKLQFSLGCRVVLPPESFLTLRLPFVYGVQLEDGTPLPINAFEHQPEKTAWIMKGTALQVISKQSSLNKEAQT >Manes.09G154100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35061074:35062299:-1 gene:Manes.09G154100.v8.1 transcript:Manes.09G154100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSAPLPFLSLLLVSLPVLALADDPLTPFLQKICDEVECGKGTCVADIKYPLGYMCQCDRGWTRTTNDDINTNLTFLPCVIPNCTLNYGGCQEAPKPPTEKSIPLNSSAFDPCYWTYCGGGTCTRNATYTHMCTCNSGFFNLLNISYYPCYSECTIGSDCSNLGIKVSNTQATNNTAGGAGNHGSSIMPGKFHWMIILLMSMLVVLRK >Manes.18G070100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6336409:6337161:1 gene:Manes.18G070100.v8.1 transcript:Manes.18G070100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFCISILAALGMLVNCDELPAPSIDEDEDCSTVIYDLIDCMPYMSLDSDVKLDPLCCEGLKIVFAINSKCICEGLKASTQFGILVNMTRAVLLPSACGVDVPVTDNCDLALPPAGEPVGLPDLSSPQPAPSEETPTSPAPSDVDVVAPTPPTSASDGDVVAPAASKAGGNSIYVPFIVIISLLLVLSSAMC >Manes.07G049600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5788536:5791912:1 gene:Manes.07G049600.v8.1 transcript:Manes.07G049600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTQNVYSEFPLLSCFLLFTYGVINHIKTLNLSTVLRMRNSTIANLNGVFVQIQSNIEAGRRKIAISREVVKCETLKSSENGVKQAEKPAYSDIIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLEGLDSNVCGRSFHNGRFIQRMREKAASLPNVRLEEGTVTSLLEVKGTIKGVQYKTRTGQELTACAPLTIVCDGCFSNLRRSLCNPKVDIPSCFVALILENCELPYPNHGHVILADPSPILFYRISSLEIRCLVDIPACHQMPSISNGEMTNYLKSKVAPQIPPELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLCDFSDAKALCEYLKSFYTLRKPVASTINTLAGALYKVFSTSHDPAQKEMREACFDYLSLGGVFSNGPIALLSGLNPRPLNLVIHFFAVAIYGVGRLIFPLPSAKRMWMGARMISVALGIIFPIIRDEGAQQMFFPRTIPAFCRSLVV >Manes.07G049600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5788536:5791912:1 gene:Manes.07G049600.v8.1 transcript:Manes.07G049600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTQNVYSEFPLLSCFLLFTYGVINHIKTLNLSTVLRMRNSTIANLNGVFVQIQSNIEAGRRKIAISREVVKCETLKSSENGVKQAEKPAYSDIIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLQGLDSNVCGRSFHNGRFIQRMREKAASLPNVRLEEGTVTSLLEVKGTIKGVQYKTRTGQELTACAPLTIVCDGCFSNLRRSLCNPKVDIPSCFVALILENCELPYPNHGHVILADPSPILFYRISSLEIRCLVDIPACHQMPSISNGEMTNYLKSKVAPQIPPELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLCDFSDAKALCEYLKSFYTLRKPVASTINTLAGALYKVFSTSHDPAQKEMREACFDYLSLGGVFSNGPIALLSGLNPRPLNLVIHFFAVAIYGVGRLIFPLPSAKRMWMGARMISVALGIIFPIIRDEGAQQMFFPRTIPAFCRSLVV >Manes.07G049600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5788536:5791912:1 gene:Manes.07G049600.v8.1 transcript:Manes.07G049600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYVIGGIMAFLLGFIFFYKSAGRRKIAISREVVKCETLKSSENGVKQAEKPAYSDIIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLQGLDSNVCGRSFHNGRFIQRMREKAASLPNVRLEEGTVTSLLEVKGTIKGVQYKTRTGQELTACAPLTIVCDGCFSNLRRSLCNPKVDIPSCFVALILENCELPYPNHGHVILADPSPILFYRISSLEIRCLVDIPACHQMPSISNGEMTNYLKSKVAPQIPPELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLCDFSDAKALCEYLKSFYTLRKPVASTINTLAGALYKVFSTSHDPAQKEMREACFDYLSLGGVFSNGPIALLSGLNPRPLNLVIHFFAVAIYGVGRLIFPLPSAKRMWMGARMISVALGIIFPIIRDEGAQQMFFPRTIPAFCRSLVV >Manes.07G049600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5788531:5791970:1 gene:Manes.07G049600.v8.1 transcript:Manes.07G049600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFKFRVTLRRKIAISREVVKCETLKSSENGVKQAEKPAYSDIIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLEGLDSNVCGRSFHNGRFIQRMREKAASLPNVRLEEGTVTSLLEVKGTIKGVQYKTRTGQELTACAPLTIVCDGCFSNLRRSLCNPKVDIPSCFVALILENCELPYPNHGHVILADPSPILFYRISSLEIRCLVDIPACHQMPSISNGEMTNYLKSKVAPQIPPELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLCDFSDAKALCEYLKSFYTLRKPVASTINTLAGALYKVFSTSHDPAQKEMREACFDYLSLGGVFSNGPIALLSGLNPRPLNLVIHFFAVAIYGVGRLIFPLPSAKRMWMGARMISVALGIIFPIIRDEGAQQMFFPRTIPAFCRSLVV >Manes.07G049600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5788506:5791916:1 gene:Manes.07G049600.v8.1 transcript:Manes.07G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYVIGGIMAFLLGFIFFYKSAGRRKIAISREVVKCETLKSSENGVKQAEKPAYSDIIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLMELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLEGLDSNVCGRSFHNGRFIQRMREKAASLPNVRLEEGTVTSLLEVKGTIKGVQYKTRTGQELTACAPLTIVCDGCFSNLRRSLCNPKVDIPSCFVALILENCELPYPNHGHVILADPSPILFYRISSLEIRCLVDIPACHQMPSISNGEMTNYLKSKVAPQIPPELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLCDFSDAKALCEYLKSFYTLRKPVASTINTLAGALYKVFSTSHDPAQKEMREACFDYLSLGGVFSNGPIALLSGLNPRPLNLVIHFFAVAIYGVGRLIFPLPSAKRMWMGARMISVALGIIFPIIRDEGAQQMFFPRTIPAFCRSLVV >Manes.14G000300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:143247:147783:1 gene:Manes.14G000300.v8.1 transcript:Manes.14G000300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPTNTRDPSTISQSKNKYRLYNYFQPHTSIPRTQQEEQEDEDRSAFIIPNKMADGSARYTVDEALLAMDFGKFQYLVLLYAGMGWISEAMEMMLLSFVGPAVQHKWGLSSHQESLITSVVFIGMLVGAYSWGMVSDKYGRRKGFLVTAIITSGSGFLSSFAPNYIALILSRCLVGVGLGGGPVLLAWFLEFIPAPKRGTWMVIFQAFWTIGTILEAALAWIIMPKLGWRWLLALSALPSLLLLIFYTATPESPRYLCLKGRKNDALKILEKIGKLNGKGLPPGVLVTDHEIELQERSLPPDGQRDDYDVIIPPPPRWRDSDMGAFRSLLMLLSPKLIRSTLLLWVVFFGNAFSYYGLVLLTTQLNNGNNKCHPNEMQSQKSGSINYKDVFITSFAELPGLILSALTVDRFGRKLSMAVMFFICCIFLLPLVVHQSRTLTTVLLFGARICIAETFTVVYIYAPEIYPTSVRTTGIGVASSMGRIGGMLCPLVAVSLVQGCHQAAAIVLFVGVIFLSGICVTFFPFETKGRELTDSVSSTKLEKQEAMSQEEL >Manes.S027652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2313380:2313541:1 gene:Manes.S027652.v8.1 transcript:Manes.S027652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.11G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22180977:22187234:-1 gene:Manes.11G100000.v8.1 transcript:Manes.11G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTGILAGLFLLLALYCGIDPFKHSAISEFPDFKAFKVDLADGSLVPAEKDKDNLLQRSEIKFLNQVQGPESIAFDPLGRGPYTGLADGRIVFWDGHKWIDFAYTSPNRSGLCDPKPSPLSYLKNEHICGRPLGLRFDKKTGDLYIADAYFGLLKVGPEGGLATSLTTEAEGIPLRFTNDLDMDDEGNIYFTDSSTRYQRRNFMQLVFSGEDSGRVLKYNPITKETTVLLRDLQFPNGLSLSKDGTFFVFCEGSMGRLLKYWLKGEKAGTSEVFAILPGFPDNVRTNAEGNFWVAVHCRRNFYSYLCAIYPKLRMFLLKLPIPTKIQYLLHIGGRPHAVAVKYSPEGKLLQVLEDSQGKVVKAISEIEEKDGKLWMGSVLMPFIGVYSLA >Manes.01G225200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39101279:39106489:-1 gene:Manes.01G225200.v8.1 transcript:Manes.01G225200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTDLVKETSESGMDSCADDIGTVEEIAEDTILSRQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGITRRDFTCHRGGYPQIKASDDGKMQRNRKSSRCGCQAYMRIVKKADFDVPEWRITGFSNIHNHELLKSNEVQLLPAHSTMSADDKSRICMYAKAGMSVRQMLRLMELEKGVKLGCLPFTEMDVRNLLQSFRNVNRDNDAINLLQMCKYKKDKDPNFKYNFQIDANNRLERIAWSYALSIQSYEAFGDAVIFDTTHRLDAYDMIMGIWIGVDNHGSNCFFGCVLLRDENMESFSWALKTFLEFVDGKAPETILTDQNIWLKEAVAVEMPRTKHAFCIWHIIAKFSDWFSILLGSQYDKWKAEFHRLYNLQSVEDFEVGWGEMVDTYGLHGNKHIVSLYALRTFWALPFLRCYFFAGMTSTFQSDSINAFIQRILSAQSLDNFVEKVAVAVGYKEDAGPKQKVPRKVHKISLKTGSPIESHAATVLTPYAFGKLQEELVLAPQYASLMVDESYFIVRHHIDMDGGCKVLWIPHDEFISCSCHLFEFSGILCRHVLRVLSTNNCFHIPDRYLPVRWRDVGTSLTKPFPAFTSDEHAGKVQLLQSMVSTLIAESMGTEERLDVACDQVAHVLSSIKEFPGPAHDDNDITYTSPSDSLILPEVEDSVGFVQSFAAGSTHDPMTLGKMKERRLRDGININRKRRRCSLPCCGQFGHDATDCPMMGSDDLNEDGLGFI >Manes.01G225200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39101279:39106489:-1 gene:Manes.01G225200.v8.1 transcript:Manes.01G225200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCADDIGTVEEIAEDTILSRQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGITRRDFTCHRGGYPQIKASDDGKMQRNRKSSRCGCQAYMRIVKKADFDVPEWRITGFSNIHNHELLKSNEVQLLPAHSTMSADDKSRICMYAKAGMSVRQMLRLMELEKGVKLGCLPFTEMDVRNLLQSFRNVNRDNDAINLLQMCKYKKDKDPNFKYNFQIDANNRLERIAWSYALSIQSYEAFGDAVIFDTTHRLDAYDMIMGIWIGVDNHGSNCFFGCVLLRDENMESFSWALKTFLEFVDGKAPETILTDQNIWLKEAVAVEMPRTKHAFCIWHIIAKFSDWFSILLGSQYDKWKAEFHRLYNLQSVEDFEVGWGEMVDTYGLHGNKHIVSLYALRTFWALPFLRCYFFAGMTSTFQSDSINAFIQRILSAQSLDNFVEKVAVAVGYKEDAGPKQKVPRKVHKISLKTGSPIESHAATVLTPYAFGKLQEELVLAPQYASLMVDESYFIVRHHIDMDGGCKVLWIPHDEFISCSCHLFEFSGILCRHVLRVLSTNNCFHIPDRYLPVRWRDVGTSLTKPFPAFTSDEHAGKVQLLQSMVSTLIAESMGTEERLDVACDQVAHVLSSIKEFPGPAHDDNDITYTSPSDSLILPEVEDSVGFVQSFAAGSTHDPMTLGKMKERRLRDGININRKRRRCSLPCCGQFGHDATDCPMMGSDDLNEDGLGFI >Manes.08G048300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4874338:4894448:-1 gene:Manes.08G048300.v8.1 transcript:Manes.08G048300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVAVILPLGLLFFSSGLIVNLIQAICFVLIRPLSKSTYRTINRALAELLWLELVWVFDWWAGVKVQLFTDKETFSLMGKEHALLVPNHRSDVDWLVGWVLAQRAGCLGSALAVMKKSSKVLPVIGWSMWFSEYLFLERSWAKDESTLKSGLQQLKDFPRPFWLALFVEGTRFTQAKLLAAQEYATSAGLPIPRNVLIPRTKGFVSAVSNMRSFVPAIYDVTVALPKSSPQPTLLRMFRGQSSVVHVHIKRRLMKDLPETDDAIAQWCRDIFVAKDALLDKHIADGTFSDQEMQDIGRPIKSLVVVMSWSCLLVLGVLKFLHWSSLLSSWKGIAISSSALAIITILMQILIRFTQSERSTPAKVVPAKPNKGEAPITGQDKQH >Manes.08G048300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4874338:4894448:-1 gene:Manes.08G048300.v8.1 transcript:Manes.08G048300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVAVILPLGLLFFSSGLIVNLIQAICFVLIRPLSKSTYRTINRALAELLWLELVWVFDWWAGVKVQLFTDKETFSLMGKEHALLVPNHRSDVDWLVGWVLAQRAGCLGSALAVMKKSSKVLPVIGWSMWFSEYLFLERSWAKDESTLKSGLQQLKDFPRPFWLALFVEGTRFTQAKLLAAQEYATSAGLPIPRNVLIPRTKVHVHIKRRLMKDLPETDDAIAQWCRDIFVAKDALLDKHIADGTFSDQEMQDIGRPIKSLVVVMSWSCLLVLGVLKFLHWSSLLSSWKGIAISSSALAIITILMQILIRFTQSERSTPAKVVPAKPNKGEAPITGQDKQH >Manes.08G048300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4874338:4894448:-1 gene:Manes.08G048300.v8.1 transcript:Manes.08G048300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAVAVILPLGLLFFSSGLIVNLIQAICFVLIRPLSKSTYRTINRALAELLWLELVWVFDWWAGVKVQLFTDKETFSLMGKEHALLVPNHRSDVDWLVGWVLAQRAGCLGSALAVMKKSSKVLPVIGWSMWFSEYLFLERSWAKDESTLKSGLQQLKDFPRPFWLALFVEGTRFTQAKLLAAQEYATSAGLPIPRNVLIPRTKGFVSAVSNMRSFVPAIYDVTVALPKSSPQPTLLRMFRGQSSVVHVHIKRRLMKDLPETDDAIAQWCRDIFVAKDALLDKHIADGTFSDQEMQDIGRPIKSLVVVMSWSCLLVLGVLKFLHWSSLLSSWKGIAISSSALAIITILMQILIRFTQSERSTPAKVVPAKPNKGEAPITGQDKQH >Manes.16G025400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2689226:2692972:1 gene:Manes.16G025400.v8.1 transcript:Manes.16G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDSFGIDGEEIHTSNHRSFQEEEDDSYSNFGSYSNFTTGEFPSADVPVDHASASSPDVFGFGSSSVDVDPNASYSQSPFSPIHVENGNGNGYNVAGDDVFASDGPVLPPPSEMEPEEGYALREWRRQNAILLEEKEKREKEMRRQIIEEAEVFIHAFYEKRKLNIETNKTNNREREKVYLANQEKFHKEADKQYWKAIAELIPHEVPNIEKKRGKKDQEKKPSITVIQGPKPGKPTDLSRMRHILVKLKHTPPPHMMPPPPAPKKDAKDGKDGKDAKTGKDAAASNATGSAAKEPVASVKDATSNGSSDTPKEEVPPAGDQPAKEPESTPTA >Manes.07G109300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31484197:31485570:-1 gene:Manes.07G109300.v8.1 transcript:Manes.07G109300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHNFLFITNKAAAAAAAAAYLLFLTFTIAIASSAPTLDEEPPLPVVPPQPSAQPNPGVAAATSASTGNLGHTLTFFMHDILGGSNPTARAVTGIVSNPAANGQVPFAKPNGAVLPVGNGVPQNNNNNGLINNNNLPFLTGLGGTTANVVQNNGNNNLFNNGFNVPVVNGDQLPSTLQQLMFGTITVIDDELTEAHDLRSGFVGRAQGFYVASSVDGTSQTMAFTTLFQNGHYEDSLTFFGVHRTAMSESQLAIMGGTGKYVNAKGFAIVKTFPGNNQHETDGVETLLEFTVYISY >Manes.01G126800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32137709:32148931:-1 gene:Manes.01G126800.v8.1 transcript:Manes.01G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDHFDPSLLQPLSRVASTIDAVLLSHSDTLHLGALPYAMKQLGLSAPVYSTEPVYRLGLLTMYDQYLSRKAVSEFDLFTLDDIDSAFQNFTRLTYSQNHHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTVLESFVRPAVLITDAYNALSNQPPRQQRDKEFLEKTILKTLEAGGNVLLPVDSAGRVLELILILEQFWAQSLLNYPIFFLTYVSSSTIDYVKSFLEWMSDSIAKSFETSRDNAFLLKHVTLLINKSELDNAPNGPKVVLASMASLEAGFSHDIFVEWAADAKNQVLFTERGQFGSLARMLQADPPPKAVKVTMSRRVPLVGDELIAYEEEQKRLKKEEELKASMIKEEELKASLGPDSNTSDPMVIDASNNRASLEAVGSHGTGYRDIFIDGFVPPSTSVAPMFPFYENTTEWDDFGEVINPDDYVTKDDDMDQAAMHVGGDIDGKLDEGSASLILDTKPSKVVSNELTVQVKCSLVYIDYEGRSDGRSIKSILAHVAPLKLVLVHGSAESTEHLKQHCLKHVCPQVFAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWVDAEVGRTESGTLSLLPITTAAPPHKSVLVGDLKMADFKQFLASKGVQVEFAGGALRCGEYVTLRKVGNVSQKGGGSGTQQIVIEGPLCEDYYKIREYLYSQFYLL >Manes.01G126800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32137709:32148931:-1 gene:Manes.01G126800.v8.1 transcript:Manes.01G126800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDHFDPSLLQPLSRVASTIDAVLLSHSDTLHLGALPYAMKQLGLSAPVYSTEPVYRLGLLTMYDQYLSRKAVSEFDLFTLDDIDSAFQNFTRLTYSQNHHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTVLESFVRPAVLITDAYNALSNQPPRQQRDKEFLEKTILKTLEAGGNVLLPVDSAGRVLELILILEQFWAQSLLNYPIFFLTYVSSSTIDYVKSFLEWMSDSIAKSFETSRDNAFLLKHVTLLINKSELDNAPNGPKVVLASMASLEAGFSHDIFVEWAADAKNQVLFTERGQFGSLARMLQADPPPKAVKVTMSRRVPLVGDELIAYEEEQKRLKKEEELKASMIKEEELKASLGPDSNTSDPMVIDASNNRASLEVGSHGTGYRDIFIDGFVPPSTSVAPMFPFYENTTEWDDFGEVINPDDYVTKDDDMDQAAMHVGGDIDGKLDEGSASLILDTKPSKVVSNELTVQVKCSLVYIDYEGRSDGRSIKSILAHVAPLKLVLVHGSAESTEHLKQHCLKHVCPQVFAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEIAWVDAEVGRTESGTLSLLPITTAAPPHKSVLVGDLKMADFKQFLASKGVQVEFAGGALRCGEYVTLRKVGNVSQKGGGSGTQQIVIEGPLCEDYYKIREYLYSQFYLL >Manes.05G160900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27394340:27394744:1 gene:Manes.05G160900.v8.1 transcript:Manes.05G160900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPPCPPPPPSTPPFPYVAFSALVLISVAISFLIIDLIEHNEDNNGRTLDREQGYSGLSLQDAEALPWFAYEANAMACCVICLDYFRKGERCRSFPVCKHNFHARCIDSWLVRRLTCPTCRSPFIFQRRLDIV >Manes.11G147050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31156238:31157348:1 gene:Manes.11G147050.v8.1 transcript:Manes.11G147050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSWFSYVNLVQNRALDAPSLPIAASSWNIEFCIIGEYNSWRCFRYFFFRPLSLLKINSQRTLLTTHNSIGSNPLTRMRCFFTFFFGSPQMIDADVEKHFRLDIW >Manes.10G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1176249:1177309:1 gene:Manes.10G010700.v8.1 transcript:Manes.10G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQQQSREELDARARQGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRKEQLGTEGYQEMGRKGGLSTTDEAGGERAEKEGVSIDETKFRTRS >Manes.10G067900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10186880:10199664:-1 gene:Manes.10G067900.v8.1 transcript:Manes.10G067900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSLIHMEKMGPLVGSRYSDFTKSFKLAIRSLLTSCSKEEFIKAFSNFSSAEQESLHRLFVQVITSLHKMIEVGTTLDTVDQLVEEQSLDPLFSNKTNVMDVACNLSIAKKNEIQCLTSILERAEEQNSLIQARLEQLKKRRQNPTGTADVDKLRSGTLNYWTSRDGL >Manes.10G067900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10186879:10199664:-1 gene:Manes.10G067900.v8.1 transcript:Manes.10G067900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGPLVGSRYSDFTKSFKLAIRSLLTSCSKEEFIKAFSNFSSAEQESLHRLFVQVITSLHKMIEVGTTLDTVDQLVEEQSLDPLFSNKTNVMDVACNLSIAKKNEIQCLTSILERAEEQNSLIQARLEQLKKRRQNPTGTADVDKLRSGTLNYWTSRDGL >Manes.10G067900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10186880:10199664:-1 gene:Manes.10G067900.v8.1 transcript:Manes.10G067900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGPLVGSRYSDFTKSFKLAIRSLLTSCSKEEFIKAFSNFSSAEQESLHRLFVQVITSLHKMIEDEFESLSLETLVGTTLDTVDQLVEEQSLDPLFSNKTNVMDVACNLSIAKKNEIQCLTSILERAEEQNSLIQARLEQLKKRRQNPTGTADVDKLRSGTLNYWTSRDGL >Manes.10G067900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10186880:10199664:-1 gene:Manes.10G067900.v8.1 transcript:Manes.10G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSLIHMEKMGPLVGSRYSDFTKSFKLAIRSLLTSCSKEEFIKAFSNFSSAEQESLHRLFVQVITSLHKMIEDEFESLSLETLVGTTLDTVDQLVEEQSLDPLFSNKTNVMDVACNLSIAKKNEIQCLTSILERAEEQNSLIQARLEQLKKRRQNPTGTADVDKLRSGTLNYWTSRDGL >Manes.10G067900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10186880:10199664:-1 gene:Manes.10G067900.v8.1 transcript:Manes.10G067900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGPLVGSRYSDFTKSFKLAIRSLLTSCSKEEFIKAFSNFSSAEQESLHRLFVQVITSLHKMIEDEFESLSLETLVGTTLDTVDQLVEEQSLDPLFSNKTNVMDVACNLSIAKKNEIQCLTSILERAEEQNSLIQARLEQLKKRRQNPTGTADVDKLRSGTLNYWTSRDGL >Manes.10G067900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10186878:10199664:-1 gene:Manes.10G067900.v8.1 transcript:Manes.10G067900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGPLVGSRYSDFTKSFKLAIRSLLTSCSKEEFIKAFSNFSSAEQESLHRLFVQVITSLHKMIEDEFESLSLETLVGTTLDTVDQLVEEQSLDPLFSNKTNVMDVACNLSIAKKNEIQCLTSILERAEEQNSLIQARLEQLKKRRQNPTGTADVDKLRSGTLNYWTSRDGL >Manes.03G126800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25248727:25249113:1 gene:Manes.03G126800.v8.1 transcript:Manes.03G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSSSSTTSTKTPEDMAAQTPVDIGTRGTVGSLIMQEIRYFSQLESSYPGSSQKPKFHTTGIASTSSHQSKPTVRSAPTTPKKKKKGSSRLLPSMCSVVEVSNNNRRIGISEFSYRNLKSDVKNLQV >Manes.15G127500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10153847:10154901:1 gene:Manes.15G127500.v8.1 transcript:Manes.15G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLIPILSFLFFTSILSMAMSDDTCPYPCYPPPTGTGTTTTPPASQTGSLPPPGNYPTPTGNFPYYPSPPSGNNNYYGHPPPDPILPYFPFYYRKPPHQTDVSSASTIVLRSSLVVATSFTLLLGFSSIF >Manes.11G065700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9171756:9173103:-1 gene:Manes.11G065700.v8.1 transcript:Manes.11G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSCTLNMAIPKIEKQEPVVFDASIIQHQNNIPSEFIWPEHEKPCLESPELVIPPIDLGSFLSGDPSAVSKAFQLINEACRKHGFFLIVNHGVDSGLIAKAHEYMDKFFGLSLAEKQRAQRKLGEHCGYTSSFTGRFSSKLPWKETLSFRYSDDDQLSNIVQEYFFNVMGEEFEDFGLGAGREYFKEFFKGNDSIMRLNNYPPCQQPHLTLGTGPHCDPTSLTILHQDEVGGLQVLVDEKWHFVRPDSQAFVVNIGDTFMALSNGIFRSCLHRAVVNNKKVRKSIAFFLCPNMDKVVKPPNNLIDSNNPRLYPDFTWSELLEFTQTHYRADMNTLDVFGSWVLQKNT >Manes.10G016400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1731810:1735016:-1 gene:Manes.10G016400.v8.1 transcript:Manes.10G016400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLTNRRGLQRFRQLAITAAGSIKIKLLLCCCIVFTLLALAGRAPDFMRWTKHGVYADQFSVPGKGYAILINTWKRYDLLKQSISHYSSCAGLDSIHIVWSEPNPPSESLVKYLNHVLQSKSKGAKQAELKFDINKEDSLNNRFKEIKDLRTDAVFSIDDDVIFPCSSVEFAFNVWQSAPDTMVGFVPRAHWFDRLEGKKDYFTYGGWWSVWWTGTYSMVLSKAAFFHKKYLTLYTNEMPASIREYITKNRNCEDIAMSFLIANATGAPPIWVKGRLFI >Manes.10G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1728723:1735016:-1 gene:Manes.10G016400.v8.1 transcript:Manes.10G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLTNRRGLQRFRQLAITAAGSIKIKLLLCCCIVFTLLALAGRAPDFMRWTKHGVYADQFSVPGKGYAILINTWKRYDLLKQSISHYSSCAGLDSIHIVWSEPNPPSESLVKYLNHVLQSKSKGAKQAELKFDINKEDSLNNRFKEIKDLRTDAVFSIDDDVIFPCSSVEFAFNVWQSAPDTMVGFVPRAHWFDRLEGKKDYFTYGGWWSVWWTGTYSMVLSKAAFFHKKYLTLYTNEMPASIREYITKNRNCEDIAMSFLIANATGAPPIWVKGKIFEIGSTGISSLGGHSERRTTCINRFVAEYGRMPLVYASVKAVDSRRTWFW >Manes.01G150500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33921604:33926034:1 gene:Manes.01G150500.v8.1 transcript:Manes.01G150500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSKQDEEDDVVSLCGERKRLLKLAVERRYAFADAQFKYNESLHAVALALRLFVARHSSPSSPFLITYPSATSANVDTNENLPTTPIVLQQRPTETTLETVACQRSDSKLVSSKLETKLQETHEYNNDNGHHEEQVSEEEYNESESEEGEGLCGHFYDEDGPPVPSPQREFGWDYFYPFDEMSQMRSEVLNGFSLSSDEDLRAAREKEGIPELEEDGEKVMNEDEDANVKSGDVDHEENGITGVRNGDNACVAVQGESTGLRVIDEPTSGRELLEALKNIEDHFFRAYDSGLDISRMLEANRVQLQSGLEEIKESSNKIIRSITWNRSTLSRSSSSKSLLTSSSISSSMWTEFKTDLFDDYGLDAGSHSLTLERLYAWEKKLHQELKAGDQTRKIYERKCSHLRQPGATGDDFCYMDKPTTELKELYSRISVAIRSVESISDRINKLRDEELQPQLLELLHGLMRNWKIMLESHKSQSQIMLEVKFFNCPAYGKFCNDSHRLATLHLEAELDNWHSCFAAYVSTQKAYIEALRGWLSKFIAPEVEFYSRRKSSLPPCRINGPPLLVTCHGWLTWLDKLPDKAVTYAMKSFAKDIHALWNQQGKEQQQKRKVDGLAKELDRKSLAFQRAERRILGSKISEQEALVTIRDRIEYLAEGRKLLDMFSERLDEEKEKHLTNIQETQQMALSGFQTGFSSVFESLAEFSKASVEMYADLVTYSADAKAADKNYIKLSCMDGLGSEVPTREEQMKI >Manes.05G012000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:815727:817804:1 gene:Manes.05G012000.v8.1 transcript:Manes.05G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GolS3 MAPELLQSAMKPVGFTKPATLPSRAYVTFLAGNGDYIKGVVGLAKGLRKVKTAYPLVVAVLPDVPEEHRRILESQGCLVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFDLPDGYLYAVMDCFCEKTWSHTAQYKIGYCQQCPDRVKWPAEMGQPPSLYFNAGMFVFEPSVSTYHDLLKTVKITPPTSFAEQDFLNMYFKDIYKPIPLVYNLVLAMLWRHPENVELDEVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVKKWWDIYNDESLDYKKPAGDGDAEPVKLQPFIAALSEAGALQYVTAPSAA >Manes.04G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3132188:3137031:-1 gene:Manes.04G026700.v8.1 transcript:Manes.04G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEFPAVPTHGGQFIQYDIFGNLFEITSKYQPPIMPIGRGAYGIVCSVLNSETNEMVAIKKIANAFDNHMDAKRTLREIKLLRHFDHENVVAIRDVIPPPLRREFTDVYIATELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANIIHRDLKPSNLLLNANCDLKICDFGLARPTAENEFMTEYVVTRWYRAPELLLNSTDYTDAIDVWSVGCIFMELMNRRPLFPGNDHVHQLRLLTELLGTPTEADLGFVRSEDARRYIRQLSQYPRQPLAQVFPRVNPLAIDLIDRMLTFDPTRRISVEEALAHPYLARLHDVADEPVCPVPFTFDFEQQPLGEEQMKEMIYREALALNPEYA >Manes.05G119500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12824294:12830458:1 gene:Manes.05G119500.v8.1 transcript:Manes.05G119500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPAIHRNSQTTEVSSSSATVRYLDWNSGIVVSTEENQIQDRMCGLQDIGGHILKIPLIGFQVLLCMHLEGTPTAARNIPLPVLFSPLFLIQGAGMLFAASKLVEKLVLLLRNEAGTGVYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHEGASGYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYSQQEFERLQNEKVLCRVCFERDISVVLLPCRHRILCSVCCDKCKKCPICRISVEERLPVYDV >Manes.05G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12815611:12831032:1 gene:Manes.05G119500.v8.1 transcript:Manes.05G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWRRVFKSVQALAAHSLLFFFTLLLVFKLDHVVSYSWWLIFFPLWLFHGVVARGRFSLPAPSVPYNRHWAPCHAVVATPLLIAFELLLCIYLESVYVHGVAAVNLKIVFIPLLAFEIIILIDNVRMCRALMPGEAEGMSDESIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPAIHRNSQTTEVSSSSATVRYLDWNSGIVVSTEENQIQDRMCGLQDIGGHILKIPLIGFQVLLCMHLEGTPTAARNIPLPVLFSPLFLIQGAGMLFAASKLVEKLVLLLRNEAGTGVYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHEGASGYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYSQQEFERLQNEKVLCRVCFERDISVVLLPCRHRILCSVCCDKCKKCPICRISVEERLPVYDV >Manes.10G016915.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1766179:1766508:1 gene:Manes.10G016915.v8.1 transcript:Manes.10G016915.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGMIAMLAEELASTVFIPLDRAFKHDLWLQFNNSLQRKSDIHEVASQVLGFSTIPRTLTSDITSTSKKISGCTLYFSKDVDGVLVAYRIPSKRLTRSMDGIIMDADF >Manes.15G063300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4804626:4805890:1 gene:Manes.15G063300.v8.1 transcript:Manes.15G063300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIFAWSSSHSLLLTSPTNSKSLSRNRLITKSSKSAGSVRLIRNRGSSARRIAAINDVAVAADSGQVEVTWQIVVGAIAGVTPFVVAGIEFSKRIIAQRRCEVCGGSGLVLREKSYFKCPGCGYFLAGGFLPWQSWRRFFSG >Manes.15G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4804626:4805918:1 gene:Manes.15G063300.v8.1 transcript:Manes.15G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIFAWSSSHSLLLTSPTNSKSLSRNRLITKSSKSAGSVRLIRNRGSSARRIAAINDVAVAADSGQVEVTWQIVVGAIAGVTPFVVAGIEFSKRIIAQRRCEVCGGSGLVLREKSYFKCPGCGGFLPWQSWRRFFSG >Manes.15G063300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4804626:4805890:1 gene:Manes.15G063300.v8.1 transcript:Manes.15G063300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIFAWSSSHSLLLTSPTNSKSLSRNRLITKSSKSAGSVRLIRNRGSSARRIAAINDVAVAADSGQVEVTWQIVVGAIAGVTPFVVAGIEFSKRIIAQRRCEVCGGSGLVLREKSYFKCPGCGMTSSSSSSGFLPWQSWRRFFSG >Manes.06G072600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20872641:20875651:-1 gene:Manes.06G072600.v8.1 transcript:Manes.06G072600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFKEEDQGECSQTIHNFQGYQEQMLLQHHQQMQQQQSNDIYGGARGSGLIFPEVSPILTWSLPPVHSFNPAQFSSNPVRGHDPFLIPPPVPSSYGNLFNRRAPALQFAYDGPSSDHLRIISDTLGPVVHPGSAPFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEIDELTVDTSDEDGKFVIKASLCCEDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITGEEDSSSNSNDEEQQQQEQQQPPYSISSIQEALKAVMEKTSGDVSSSGSVKRQRTNISILEQQQQQNS >Manes.06G072600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20872538:20875667:-1 gene:Manes.06G072600.v8.1 transcript:Manes.06G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFKEEDQGECSQTIHNFQGYQEQMLLQHHQQMQQQQSNDIYGGARGSGLIFPEVSPILTWSLPPVHSFNPAQFSSNPVRGHDPFLIPPPVPSSYGNLFNRRAPALQFAYDGPSSDHLRIISDTLGPVVHPGSAPFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEIDELTVDTSDEDGKFVIKASLCCEDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFITGEEDSSSNSNDEEQQQQEQQQPPYSISSIQEALKAVMEKTSGDVSSSGSVKRQRTNISILEQQQQQNRSI >Manes.03G045800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4022123:4028349:1 gene:Manes.03G045800.v8.1 transcript:Manes.03G045800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSELLLLRILPSLALLGLLSFIFHICRTILLRSYTVKRKLHMQGIKGPSPVFLYGNLVEMQQLQLKARKHVSPSHAQIVAQDYNSIVFPYFDHWRKEYGPIYTYSTGFKQHLYITDPELVKTMSLCNTLDLGKPPYVTKRFAPMFGNGIMRSNGHFWALQRKIVAPEFFMAKVKQTMVGLMVDSTQPLLREWEERIEIQGGLQAEITVDDDLKGLSANVIAKACFGSSYFKGNEIFSKLRTLHKALAHRSTPFGFTCFRKNYKEINRLEREIESLIWDTVQERQKQGSMKKDLMQLILEEAVNNSNGGKLSPRKFLVDNCKSLYFAGHDTTAISASWCLVLLALHPEWQSRIREEITQICNEGSVDANSLPNFKMVTMVIQEAMRLFPPAGFVVREALEEVQIGNITIPKGVCIWTLISTLHRDPTMWGPDANEFRPERFADGVSKACKFPQAYIPFGLGTRLCVGRNFAMVELKIVISSIVSKFKFSLSPNYVHSPVFRMLVEPQHGLQLLIQKA >Manes.03G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4022123:4028349:1 gene:Manes.03G045800.v8.1 transcript:Manes.03G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSELLLLRILPSLALLGLLSFIFHICRTILLRSYTVKRKLHMQGIKGPSPVFLYGNLVEMQQLQLKARKHVSPSHAQIVAQDYNSIVFPYFDHWRKEYGPIYTYSTGFKQHLYITDPELVKTMSLCNTLDLGKPPYVTKRFAPMFGNGIMRSNGHFWALQRKIVAPEFFMAKVKTMVGLMVDSTQPLLREWEERIEIQGGLQAEITVDDDLKGLSANVIAKACFGSSYFKGNEIFSKLRTLHKALAHRSTPFGFTCFRKNYKEINRLEREIESLIWDTVQERQKQGSMKKDLMQLILEEAVNNSNGGKLSPRKFLVDNCKSLYFAGHDTTAISASWCLVLLALHPEWQSRIREEITQICNEGSVDANSLPNFKMVTMVIQEAMRLFPPAGFVVREALEEVQIGNITIPKGVCIWTLISTLHRDPTMWGPDANEFRPERFADGVSKACKFPQAYIPFGLGTRLCVGRNFAMVELKIVISSIVSKFKFSLSPNYVHSPVFRMLVEPQHGLQLLIQKA >Manes.16G024400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2619463:2622170:1 gene:Manes.16G024400.v8.1 transcript:Manes.16G024400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLLWIVFVFDLVAFALAVAAEQRRNTAEVITQGDYRYCQYDSDIATGLGVGALVALMASQILIMVASRCLCCGKAMRPSGSRAWAIVLFITCWVFFFIAEVCLLAGSVRNAYHTKYYLSPERKLSCRQLRKGVFGAGAAFVVLTGIVSELYYVSYTRANDGQASYGRDTGVRMGNL >Manes.16G024400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2619235:2622170:1 gene:Manes.16G024400.v8.1 transcript:Manes.16G024400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLLWIVFVFDLVAFALAVAAEQRRNTAEVITQGDYRYCQYDSDIATGLGVGALVALMASQILIMVASRCLCCGKAMRPSGSRAWAIVLFITCWVFFFIAEVCLLAGSVRNAYHTKYYLSPERKLSCRQLRKGVFGAGAAFVVLTGIVSELYYVSYTRANDGQASYGRDTGVRMGNL >Manes.03G185250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30603506:30603628:-1 gene:Manes.03G185250.v8.1 transcript:Manes.03G185250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMGSRRFGKLKRMVRQQRGKLYVMRVCITMLLCWHKYS >Manes.14G065100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5402077:5404699:1 gene:Manes.14G065100.v8.1 transcript:Manes.14G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLASKRLLGQVTPRSALILPRFYHENVIDHFNNPRNVGSFDKSDPTVGTGLVGAPACGDVMKLQIKVDEKTGEIVDARFKTFGCGSAIASSSVATEWVKGKHMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYQTKRAKANGSSDV >Manes.10G086300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22227331:22228856:1 gene:Manes.10G086300.v8.1 transcript:Manes.10G086300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPDKMDKQWMKRSIYNIPASVSDLNKQAYRPQVVSFGPFCVYDCYIRPTQAHKHRAAVHFLRRSGKPISLLVESLAEAVDDLKESYGYGVNDWSQYNLLEMMIVDGCFMLEILHFNSDGLNDYGPDDPIFSKHGKLFIMPYIRRDMLVPENQLPMLVLERLLPVERGAETSAEYINKLILNFFFPNTAIPVREKCVHVLDLYRRSLLQPQASEKTHYSISEDVHKDHDNVYIRSATELNLAGIQFQKSETRCLDDISFQGFILSLRLSKYF >Manes.17G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29242096:29243605:-1 gene:Manes.17G084900.v8.1 transcript:Manes.17G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLKMGVLPTIHCRQRSYAEYIKEVIDETIMEKLEIQPFLSASKAFCIADMGCSVGPNTFYSVQNILDAVEKKFISEGFAPDQIPQFQVFFNDLPFNDFNTLFKSLHPEKRYFSAGVPGSFHGRLFPDSSLHFVHSSYSLQWLSEVPPEVLDKDSPAWNQGRIHYTNAPEVVVEAFAAQFAKDLGMFLDAREKELVEGSMMVLILPSSPDGIPFSDVPTGVLFNHLGSCLVDLAKEGLVSEALVDSFNIPMYSVTPREMRQLVERNGCFSIERMELADCRTDPDNTVTGYSIAMHFRAGLEGVIKRHFGAEIINELFERFLERMDDVIPSLLSSNAEGSQLTVILKRKCYH >Manes.04G061700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19754138:19763415:1 gene:Manes.04G061700.v8.1 transcript:Manes.04G061700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMWAIWRFAFFRLILLFYIVYRVSGNAEGDALNALKTNLADPNNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVTQLGQLSNLQYLELYSNNISGKIPDELGNLTNLVSLDLYLNNLSGPIPVTLGKLQRLRFLRLNNNTLSETIPMSLTTIGTLQVLDLSNNRLTGDIPVNGSFSLFTPISFNNNQLNKLPASAPPPLTPSTPTPSGNSATGAIAGGVAAGAALLFAAPALALAYWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVASDNFSNKQILGRGGFGKVYKGRLADGSLVAIKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMVNGSVASCLRERQESQPPLNWPIRKRIALGAARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLETLVDADLRGNYVNDEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQEFNHIHHPNTNWIVDSTSHIPPDELSGPR >Manes.S022390.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2013348:2013773:1 gene:Manes.S022390.v8.1 transcript:Manes.S022390.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.18G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11157931:11167176:-1 gene:Manes.18G111500.v8.1 transcript:Manes.18G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSSTPQSKYEVFLSFRGEDTRDNFTSHLYSALCRKQIKTFIDNELHRGEEISPTLLKFIEESMVSVIIFSENYADSPWCLDELVKILECRKVADQVVLPVFYRVNPMDVAEQKGAFGDAFVKLEEGFKESIHKVQKWRAALNEAASLSGWDSLVIRPESKLIEEIVLDILKKLNYKSSCDFRGLVGIYSRIQRIESLLGIGSMDICILGIWGMGGIGKTTIAGAVFDKISRQFDGYYFLANVREQSGKYGLYQLQRQLFLKVLGEENLDIDAYDIGTSFIRRRLQRMKVLVVLDDVDNFRQIEFLAGEREWYGPGSKIIITTRDKDVLKSVVNEVYEVEGLDYHEALQLFNLNAFRQDQLRQDQLKLSETIISYANGNPLALKVLGSFLHRRGTQEWKSALHKLERNLNLEIQNILRISYDGLDLEEKDVFLDIACFFKGEDKDFAERILNGCGFSFDIVTSVLIDKSLVTISNNKLEMHNLLQRMGWEIVRQESIKEPGKRSRLWTAEDVFHILKKNTGTETIEGIFFDMSKMGDILLNPKAFSRMDNLRLLKFYDSSTFYWLNSRVYLRQSPEFLPDKLSYLQWDACPLRSLPLNFCAEELVELKMPYSQVESLWEGNQNLEKLNSIDLSHSRNFIRLPDLSQALNLECINLEGCISLLQVPSSIKCFKKLTVLNLKNCRELTSIPSCIYLQSLHRLNLSGCSKLKNLPEISRCVEELSLDGTAIEELPASIEHLSELYFWSMRNCKLLKGLPSNICSMKYLTSLLISGCLKLESIPVSIRKLSQLQKLDISSCERLTSLPELPPNLRLLNAKGCISLETISSSVTLFKEKMLPKCPNNQRYCYINCPRLNQNSLHIIEDDSQWRIQHTAAAAVRDYYQGLHSLPSICFTYPANEIPNWFGCRKKGSHITIKLPSNWRNPKFLGFAVCAVVECTGSADVSDIYVVCKCNLTTNHGDHHVVSCTLRGLANTSDELGPCFVRSNHVFVGYDFSLYLRAIEENGPEKSCYYSEATFTFYSRKEYGHSYTLRVIGACGVHLLYAQDEGAQISAVDQVNQ >Manes.18G111500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11163010:11167176:-1 gene:Manes.18G111500.v8.1 transcript:Manes.18G111500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSSTPQSKYEVFLSFRGEDTRDNFTSHLYSALCRKQIKTFIDNELHRGEEISPTLLKFIEESMVSVIIFSENYADSPWCLDELVKILECRKVADQVVLPVFYRVNPMDVAEQKGAFGDAFVKLEEGFKESIHKVQKWRAALNEAASLSGWDSLVIRPESKLIEEIVLDILKKLNYKSSCDFRGLVGIYSRIQRIESLLGIGSMDICILGIWGMGGIGKTTIAGAVFDKISRQFDGYYFLANVREQSGKYGLYQLQRQLFLKVLGEENLDIDAYDIGTSFIRRRLQRMKVLVVLDDVDNFRQIEFLAGEREWYGPGSKIIITTRDKDVLKSVVNEVYEVEGLDYHEALQLFNLNAFRQDQLRQDQLKLSETIISYANGNPLALKVLGSFLHRRGTQEWKSALHKLERNLNLEIQNILRISYDGLDLEEKDVFLDIACFFKGEDKDFAERILNGCGFSFDIVTSVLIDKSLVTISNNKLEMHNLLQRMGWEIVRQESIKEPGKRSRLWTAEDVFHILKKNTGTETIEGIFFDMSKMGDILLNPKAFSRMDNLRLLKFYDSSTFYWLNSRVYLRQSPEFLPDKLSYLQWDACPLRSLPLNFCAEELVELKMPYSQVESLWEGNQNLEKLNSIDLSHSRNFIRLPDLSQALNLECINLEGCISLLQVPSSIKCFKKLTVLNLKNCRELTSIPSCIYLQSLHRLNLSGCSKLKNLPEISRCVEELSLDGTAIEELPASIEHLSELYFWSMRNCKLLKGLPSNICSMKYLTSLLISGCLKLESIPVSIRKLSQLQKLDISSCERLTSLPELPPNLRLLNAKGCISLETISSSVTLFKEKMLPKCPNNQRYCYINCPRLNQNSLHIIEDDSQWRIQHTAAAAVRDYYQVSLSHI >Manes.18G111500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11160008:11167176:-1 gene:Manes.18G111500.v8.1 transcript:Manes.18G111500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSSTPQSKYEVFLSFRGEDTRDNFTSHLYSALCRKQIKTFIDNELHRGEEISPTLLKFIEESMVSVIIFSENYADSPWCLDELVKILECRKVADQVVLPVFYRVNPMDVAEQKGAFGDAFVKLEEGFKESIHKVQKWRAALNEAASLSGWDSLVIRPESKLIEEIVLDILKKLNYKSSCDFRGLVGIYSRIQRIESLLGIGSMDICILGIWGMGGIGKTTIAGAVFDKISRQFDGYYFLANVREQSGKYGLYQLQRQLFLKVLGEENLDIDAYDIGTSFIRRRLQRMKVLVVLDDVDNFRQIEFLAGEREWYGPGSKIIITTRDKDVLKSVVNEVYEVEGLDYHEALQLFNLNAFRQDQLRQDQLKLSETIISYANGNPLALKVLGSFLHRRGTQEWKSALHKLERNLNLEIQNILRISYDGLDLEEKDVFLDIACFFKGEDKDFAERILNGCGFSFDIVTSVLIDKSLVTISNNKLEMHNLLQRMGWEIVRQESIKEPGKRSRLWTAEDVFHILKKNTGTETIEGIFFDMSKMGDILLNPKAFSRMDNLRLLKFYDSSTFYWLNSRVYLRQSPEFLPDKLSYLQWDACPLRSLPLNFCAEELVELKMPYSQVESLWEGNQNLEKLNSIDLSHSRNFIRLPDLSQALNLECINLEGCISLLQVPSSIKCFKKLTVLNLKNCRELTSIPSCIYLQSLHRLNLSGCSKLKNLPEISRCVEELSLDGTAIEELPASIEHLSELYFWSMRNCKLLKGLPSNICSMKYLTSLLISGCLKLESIPVSIRKLSQLQKLDISSCERLTSLPELPPNLRLLNAKGCISLETISSSVTLFKEKMLPKCPNNQRYCYINCPRLNQNSLHIIEDDSQWRIQHTAAAAVRDYYQGLHSLPSICFTYPANEIPNWFGCRKKGSHITIKLPSNWRNPKFLGFAVCAVVECTGSADVSDIYVVCKCNLTTNHGDHHVVSCTLRGLANTSDELGPCFVRSNHVFVGYDFSLYLRAIEENGCVWCPPAICSG >Manes.18G111500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11160008:11167176:-1 gene:Manes.18G111500.v8.1 transcript:Manes.18G111500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSSTPQSKYEVFLSFRGEDTRDNFTSHLYSALCRKQIKTFIDNELHRGEEISPTLLKFIEESMVSVIIFSENYADSPWCLDELVKILECRKVADQVVLPVFYRVNPMDVAEQKGAFGDAFVKLEEGFKESIHKVQKWRAALNEAASLSGWDSLVIRPESKLIEEIVLDILKKLNYKSSCDFRGLVGIYSRIQRIESLLGIGSMDICILGIWGMGGIGKTTIAGAVFDKISRQFDGYYFLANVREQSGKYGLYQLQRQLFLKVLGEENLDIDAYDIGTSFIRRRLQRMKVLVVLDDVDNFRQIEFLAGEREWYGPGSKIIITTRDKDVLKSVVNEVYEVEGLDYHEALQLFNLNAFRQDQLRQDQLKLSETIISYANGNPLALKVLGSFLHRRGTQEWKSALHKLERNLNLEIQNILRISYDGLDLEEKDVFLDIACFFKGEDKDFAERILNGCGFSFDIVTSVLIDKSLVTISNNKLEMHNLLQRMGWEIVRQESIKEPGKRSRLWTAEDVFHILKKNTGTETIEGIFFDMSKMGDILLNPKAFSRMDNLRLLKFYDSSTFYWLNSRVYLRQSPEFLPDKLSYLQWDACPLRSLPLNFCAEELVELKMPYSQVESLWEGNQNLEKLNSIDLSHSRNFIRLPDLSQALNLECINLEGCISLLQVPSSIKCFKKLTVLNLKNCRELTSIPSCIYLQSLHRLNLSGCSKLKNLPEISRCVEELSLDGTAIEELPASIEHLSELYFWSMRNCKLLKGLPSNICSMKYLTSLLISGCLKLESIPVSIRKLSQLQKLDISSCERLTSLPELPPNLRLLNAKGCISLETISSSVTLFKEKMLPKCPNNQRYCYINCPRLNQNSLHIIEDDSQWRIQHTAAAAVRDYYQGLHSLPSICFTYPANEIPNWFGCRKKGSHITIKLPSNWRNPKFLGFAVCAVVECTGSADVSDIYVVCKCNLTTNHGDHHVVSCTLRGLANTSDELGPCFVRSNHVFVGYDFSLYLRAIEENGPEKSCYYSEATFTFYSRKEYGHSYTLRVIGACGVHLLYAQDEGAQISAVDQLLSPRIPFHSYKYLLWR >Manes.18G111500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11157934:11167176:-1 gene:Manes.18G111500.v8.1 transcript:Manes.18G111500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSSTPQSKYEVFLSFRGEDTRDNFTSHLYSALCRKQIKTFIDNELHRGEEISPTLLKFIEESMVSVIIFSENYADSPWCLDELVKILECRKVADQVVLPVFYRVNPMDVAEQKGAFGDAFVKLEEGFKESIHKVQKWRAALNEAASLSGWDSLVIRPESKLIEEIVLDILKKLNYKSSCDFRGLVGIYSRIQRIESLLGIGSMDICILGIWGMGGIGKTTIAGAVFDKISRQFDGYYFLANVREQSGKYGLYQLQRQLFLKVLGEENLDIDAYDIGTSFIRRRLQRMKVLVVLDDVDNFRQIEFLAGEREWYGPGSKIIITTRDKDVLKSVVNEVYEVEGLDYHEALQLFNLNAFRQDQLRQDQLKLSETIISYANGNPLALKVLGSFLHRRGTQEWKSALHKLERNLNLEIQNILRISYDGLDLEEKDVFLDIACFFKGEDKDFAERILNGCGFSFDIVTSVLIDKSLVTISNNKLEMHNLLQRMGWEIVRQESIKEPGKRSRLWTAEDVFHILKKNTGTETIEGIFFDMSKMGDILLNPKAFSRMDNLRLLKFYDSSTFYWLNSRVYLRQSPEFLPDKLSYLQWDACPLRSLPLNFCAEELVELKMPYSQVESLWEGNQNLEKLNSIDLSHSRNFIRLPDLSQALNLECINLEGCISLLQVPSSIKCFKKLTVLNLKNCRELTSIPSCIYLQSLHRLNLSGCSKLKNLPEISRCVEELSLDGTAIEELPASIEHLSELYFWSMRNCKLLKGLPSNICSMKYLTSLLISGCLKLESIPVSIRKLSQLQKLDISSCERLTSLPELPPNLRLLNAKGCISLETISSSVTLFKEKMLPKCPNNQRYCYINCPRLNQNSLHIIEDDSQWRIQHTAAAAVRDYYQGLHSLPSICFTYPANEIPNWFGCRKKGSHITIKLPSNWRNPKFLGFAVCAVVECTGSADVSDIYVVCKCNLTTNHGDHHVVSCTLRGLANTSDELGPCFVRSNHVFVGYDFSLYLRAIEENGCVWCPPAICSG >Manes.09G186200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37330521:37333512:1 gene:Manes.09G186200.v8.1 transcript:Manes.09G186200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVELDFFGMEKQISANSRFPKILPRQRSFRDIQSAISKINPELLKSVIASGSANQRTPENGYQLDSIKLCSVPSTPKQELTPFRPLPVYSPLQRPAFESTPETAPLTIFYNGTVAVFDVPRDKAETILKLAENGLSKSVESTNEKQLLHTLDGDLPIARRKSLQRFLEKRKERLTLAFPHASSSICRLLNKAEVGRP >Manes.17G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24653670:24667685:-1 gene:Manes.17G049200.v8.1 transcript:Manes.17G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPITLPLSQSKLLFPSFTGVSLSSVSASSNKHSSISIPYNASHFNFPRLASTSGSLRAPSAASTTTITTSVVDGTEDDHSDLGGGATAFVIHSRKRIGLLQVIIRVFKVLGLIVEKATVEYEGDYFTKTFYVTDSSGNRIEDAESLDKIKKVLMQAIESGERGAAEVKTGSTGRGIVVRRPGLRLGENRAKVERMFGLMDRFLKNDPATLQNDIVNHVEYTVARSRFSFDDFEAYQALTHSVRDRLIERWHDTQICFRRKDPKRLYFLSLEYLMGRSLSNSVINLGIRDQYADALSQLGFDFEVLAEQEGDAALGNGGLARLSACQMDSLATLDYPAWGYGLRYQYGLFRQVILDGYQHEQPDYWLNFGNPWEIERIHVTYTVKFYGTVEEENFKGGKHKVWVPNETVEAVAYDNLIPGYGTRNTITLRLWAAKPSDQNDMESYNTGDYINAVVNRQRAETISSILYPDDRSHQGKELRLKQQYFFVSASLQDIIRRFKDTHSNFDDFPEKVALQLNDTHPSLAIAEVMRVLVDEENLSWSRAWDISCKIFTFTTHTVLPEASEKIPVDLLGSLLPRHLQIIYEINFRFLEELKKRIGLDYDRLSRMSIIEEGAVKSIRMANLSIVCSHTVNGVSKMHAELLKTRVFKDFYELWPHKFHYKTNGVTQRRWVVVSNPSLCALISKWLGTEAWIRDMDLLVGLQEYATNGDLHEEWKMVRKVNKMRLAEYIEAMSGVKVSVDAMFDVQLKRIHEYKRQFLNILGIIHRYDCIKNMEKKDRRNVVPRVCIIGGKAAPGYEIAKKIIKLCHAVAEKINNDPDIGDLLKLVFIPDYNITVAELVIPGADLSQHLSTAGHEASGTGCMKFLMNGCLLLATADGSTIEIIEEIGADNMFLFGAKVSEVPVLRKKAPNLKVPLQFARVVRMVRNGYFGFEDYFESLCDSVEVDNDFYLLGADFESYLEAQAAADKAFIDEEKWTQMSILSTAGSGRFSSDRTIDDYAEKTWGIERCRCPA >Manes.09G077733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18693724:18695192:-1 gene:Manes.09G077733.v8.1 transcript:Manes.09G077733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASQAIADAWKEACAAAGSSKILIPPGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.14G026000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2400469:2401962:1 gene:Manes.14G026000.v8.1 transcript:Manes.14G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKAVLKVLTMTDDKTKQKAIEAAADIYGVDSIAADMKEQKLTVIGQMDPVAVVKKLKKVGKVDIISVGPAKEQKKEEKKGEKKEDKKEDKKEEKK >Manes.15G166000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14061767:14065679:-1 gene:Manes.15G166000.v8.1 transcript:Manes.15G166000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVETLPEALCESPSLSQEPCKKPDHDTKLSKDASKTSPAKSSKENGLRVSVNGKEDFGSSDLGGGVVGTEVSVERGRDTVDLGDNEVGLEDSEINGASSLLKMHESSESLLGLGSFLDVIDRTEKRGFESVDGISLVADICGNVHRSDMKAERKFGRSVQRDSFDANEGCPDGKDGELSDSKNWDGEDNTGDEGHEFRVGDFVWGKIRSHPWWPGRIYDPLDASDSAKKVKQRDKILVAYFGDGTFAWCSPSQLKPLDDNFLEMSKQSSSKNFFNAVEKAMDEVGRLVDLKMTCSCVPKENLIGFGRTLAVNAGIKEGLLVPEGGIDKFSTSLFQPAEFLPALKDIAQVATVTNMLEFTVLKSWLSAFYRAKGGHQLPSYYEPKPIPGLDDDTRNLIGDSSNCNNGVEGRIQGPVEEDWLSSPRGLNHSQTSQSTLHKCQGVSEDAHYQRRKQKSLAEIMEEHPDTEAEDRDDVLAEEGTKARKAVSSAKRKKRKLMGEGMNKEGTNEITDVTKVASLDKDASSSGRKRRKVSDKADGDGKNEMEDILAKEGENLGKPSSRGKKRKGNGEAEVSSSGSSDLVSKPRTRKGKFSESHGAANKQDSSLGADGSRVKMENLKSPPSRGRKKKETSNIEDSDGGGKIKERRENTVSAEKNVVGDLGDNGKAKEELMKGSSPRERKRSKYLSPPYTNLNKAERKKGIEEESMKISSESQLGEQGTEAADHLIESPIMKFSGERKPSKEPGSGHETYQNNYGLMIVKASASELLSKIRSAALNPQYLTETSSHAMIWEFFSEFRSSVYCNESDYEMYVEHLPGRKRKPQKSETGQDQSDQSLPEHKSQRAKTKNNEEAKLDKPKVKQAVGAPEMKTKEKQAEGEGPGAALYVTFGPGSSLPSKNDLLKIYGKFGALNKDETDMLYTNYCAKVVFLKSSEAEEAFNDSQLSSPFGSANVTFRLRYLSAETKTRELKEISRSKQPSSLAKEGTATAEMASASQSSGSEVSQLNYIKQKLEMVTSLVETSEGKISQDLKSILESEMKVLLEKV >Manes.15G166000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14061767:14066077:-1 gene:Manes.15G166000.v8.1 transcript:Manes.15G166000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVETLPEALCESPSLSQEPYICGNVHRSDMKAERKFGRSVQRDSFDANEGCPDGKDGELSDSKNWDGEDNTGDEGHEFRVGDFVWGKIRSHPWWPGRIYDPLDASDSAKKVKQRDKILVAYFGDGTFAWCSPSQLKPLDDNFLEMSKQSSSKNFFNAVEKAMDEVGRLVDLKMTCSCVPKENLIGFGRTLAVNAGIKEGLLVPEGGIDKFSTSLFQPAEFLPALKDIAQVATVTNMLEFTVLKSWLSAFYRAKGGHQLPSYYEPKPIPGLDDDTRNLIGDSSNCNNGVEGRIQGPVEEDWLSSPRGLNHSQTSQSTLHKCQGVSEDAHYQRRKQKSLAEIMEEHPDTEAEDRDDVLAEEGTKARKAVSSAKRKKRKLMGEGMNKEGTNEITDVTKVASLDKDASSSGRKRRKVSDKADGDGKNEMEDILAKEGENLGKPSSRGKKRKGNGEAEVSSSGSSDLVSKPRTRKGKFSESHGAANKQDSSLGADGSRVKMENLKSPPSRGRKKKETSNIEDSDGGGKIKERRENTVSAEKNVVGDLGDNGKAKEELMKGSSPRERKRSKYLSPPYTNLNKAERKKGIEEESMKISSESQLGEQGTEAADHLIESPIMKFSGERKPSKEPGSGHETYQNNYGLMIVKASASELLSKIRSAALNPQYLTETSSHAMIWEFFSEFRSSVYCNESDYEMYVEHLPGRKRKPQKSETGQDQSDQSLPEHKSQRAKTKNNEEAKLDKPKVKQAVGAPEMKTKEKQAEGEGPGAALYVTFGPGSSLPSKNDLLKIYGKFGALNKDETDMLYTNYCAKVVFLKSSEAEEAFNDSQLSSPFGSANVTFRLRYLSAETKTRELKEISRSKQPSSLAKEGTATAEMASASQSSGSEVSQLNYIKQKLEMVTSLVETSEGKISQDLKSILESEMKVLLEKV >Manes.15G166000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14061767:14066518:-1 gene:Manes.15G166000.v8.1 transcript:Manes.15G166000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAERKFGRSVQRDSFDANEGCPDGKDGELSDSKNWDGEDNTGDEGHEFRVGDFVWGKIRSHPWWPGRIYDPLDASDSAKKVKQRDKILVAYFGDGTFAWCSPSQLKPLDDNFLEMSKQSSSKNFFNAVEKAMDEVGRLVDLKMTCSCVPKENLIGFGRTLAVNAGIKEGLLVPEGGIDKFSTSLFQPAEFLPALKDIAQVATVTNMLEFTVLKSWLSAFYRAKGGHQLPSYYEPKPIPGLDDDTRNLIGDSSNCNNGVEGRIQGPVEEDWLSSPRGLNHSQTSQSTLHKCQGVSEDAHYQRRKQKSLAEIMEEHPDTEAEDRDDVLAEEGTKARKAVSSAKRKKRKLMGEGMNKEGTNEITDVTKVASLDKDASSSGRKRRKVSDKADGDGKNEMEDILAKEGENLGKPSSRGKKRKGNGEAEVSSSGSSDLVSKPRTRKGKFSESHGAANKQDSSLGADGSRVKMENLKSPPSRGRKKKETSNIEDSDGGGKIKERRENTVSAEKNVVGDLGDNGKAKEELMKGSSPRERKRSKYLSPPYTNLNKAERKKGIEEESMKISSESQLGEQGTEAADHLIESPIMKFSGERKPSKEPGSGHETYQNNYGLMIVKASASELLSKIRSAALNPQYLTETSSHAMIWEFFSEFRSSVYCNESDYEMYVEHLPGRKRKPQKSETGQDQSDQSLPEHKSQRAKTKNNEEAKLDKPKVKQAVGAPEMKTKEKQAEGEGPGAALYVTFGPGSSLPSKNDLLKIYGKFGALNKDETDMLYTNYCAKVVFLKSSEAEEAFNDSQLSSPFGSANVTFRLRYLSAETKTRELKEISRSKQPSSLAKEGTATAEMASASQSSGSEVSQLNYIKQKLEMVTSLVETSEGKISQDLKSILESEMKVLLEKVSTMISSSS >Manes.15G166000.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14061767:14065915:-1 gene:Manes.15G166000.v8.1 transcript:Manes.15G166000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAERKFGRSVQRDSFDANEGCPDGKDGELSDSKNWDGEDNTGDEGHEFRVGDFVWGKIRSHPWWPGRIYDPLDASDSAKKVKQRDKILVAYFGDGTFAWCSPSQLKPLDDNFLEMSKQSSSKNFFNAVEKAMDEVGRLVDLKMTCSCVPKENLIGFGRTLAVNAGIKEGLLVPEGGIDKFSTSLFQPAEFLPALKDIAQVATVTNMLEFTVLKSWLSAFYRAKGGHQLPSYYEPKPIPGLDDDTRNLIGDSSNCNNGVEGRIQGPVEEDWLSSPRGLNHSQTSQSTLHKCQGVSEDAHYQRRKQKSLAEIMEEHPDTEAEDRDDVLAEEGTKARKAVSSAKRKKRKLMGEGMNKEGTNEITDVTKVASLDKDASSSGRKRRKVSDKADGDGKNEMEDILAKEGENLGKPSSRGKKRKGNGEAEVSSSGSSDLVSKPRTRKGKFSESHGAANKQDSSLGADGSRVKMENLKSPPSRGRKKKETSNIEDSDGGGKIKERRENTVSAEKNVVGDLGDNGKAKEELMKGSSPRERKRSKYLSPPYTNLNKAERKKGIEEESMKISSESQLGEQGTEAADHLIESPIMKFSGERKPSKEPGSGHETYQNNYGLMIVKASASELLSKIRSAALNPQYLTETSSHAMIWEFFSEFRSSVYCNESDYEMYVEHLPGRKRKPQKSETGQDQSDQSLPEHKSQRAKTKNNEEAKLDKPKVKQAVGAPEMKTKEKQAEGEGPGAALYVTFGPGSSLPSKNDLLKIYGKFGALNKDETDMLYTNYCAKVVFLKSSEAEEAFNDSQLSSPFGSANVTFRLRYLSAETKTRELKEISRSKQPSSLAKEGTATAEMASASQSSGSEVSQLNYIKQKLEMVTSLVETSEGKISQDLKSILESEMKVLLEKVSTMISSSS >Manes.15G166000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14061767:14065915:-1 gene:Manes.15G166000.v8.1 transcript:Manes.15G166000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVETLPEALCESPSLSQEPCKKPDHDTKLSKDASKTSPAKSSKENGLRVSVNGKEDFGSSDLGGGVVGTEVSVERGRDTVDLGDNEVGLEDSEINGASSLLKMHESSESLLGLGSFLDVIDRTEKRGFESVDGISLVADICGNVHRSDMKAERKFGRSVQRDSFDANEGCPDGKDGELSDSKNWDGEDNTGDEGHEFRVGDFVWGKIRSHPWWPGRIYDPLDASDSAKKVKQRDKILVAYFGDGTFAWCSPSQLKPLDDNFLEMSKQSSSKNFFNAVEKAMDEVGRLVDLKMTCSCVPKENLIGFGRTLAVNAGIKEGLLVPEGGIDKFSTSLFQPAEFLPALKDIAQVATVTNMLEFTVLKSWLSAFYRAKGGHQLPSYYEPKPIPGLDDDTRNLIGDSSNCNNGVEGRIQGPVEEDWLSSPRGLNHSQTSQSTLHKCQGVSEDAHYQRRKQKSLAEIMEEHPDTEAEDRDDVLAEEGTKARKAVSSAKRKKRKLMGEGMNKEGTNEITDVTKVASLDKDASSSGRKRRKVSDKADGDGKNEMEDILAKEGENLGKPSSRGKKRKGNGEAEVSSSGSSDLVSKPRTRKGKFSESHGAANKQDSSLGADGSRVKMENLKSPPSRGRKKKETSNIEDSDGGGKIKERRENTVSAEKNVVGDLGDNGKAKEELMKGSSPRERKRSKYLSPPYTNLNKAERKKGIEEESMKISSESQLGEQGTEAADHLIESPIMKFSGERKPSKEPGSGHETYQNNYGLMIVKASASELLSKIRSAALNPQYLTETSSHAMIWEFFSEFRSSVYCNESDYEMYVEHLPGRKRKPQKSETGQDQSDQSLPEHKSQRAKTKNNEEAKLDKPKVKQAVGAPEMKTKEKQAEGEGPGAALYVTFGPGSSLPSKNDLLKIYGKFGALNKDETDMLYTNYCAKVVFLKSSEAEEAFNDSQLSSPFGSANVTFRLRYLSAETKTRELKEISRSKQPSSLAKEGTATAEMASASQSSGSEVSQLNYIKQKLEMVTSLVETSEGKISQDLKSILESEMKVLLEKV >Manes.15G166000.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14061767:14066518:-1 gene:Manes.15G166000.v8.1 transcript:Manes.15G166000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVETLPEALCESPSLSQEPCKKPDHDTKLSKDASKTSPAKSSKENGLRVSVNGKEDFGSSDLGGGVVGTEVSVERGRDTVDLGDNEVGLEDSEINGASSLLKMHESSESLLGLGSFLDVIDRTEKRGFESVDGISLVADICGNVHRSDMKAERKFGRSVQRDSFDANEGCPDGKDGELSDSKNWDGEDNTGDEGHEFRVGDFVWGKIRSHPWWPGRIYDPLDASDSAKKVKQRDKILVAYFGDGTFAWCSPSQLKPLDDNFLEMSKQSSSKNFFNAVEKAMDEVGRLVDLKMTCSCVPKENLIGFGRTLAVNAGIKEGLLVPEGGIDKFSTSLFQPAEFLPALKDIAQVATVTNMLEFTVLKSWLSAFYRAKGGHQLPSYYEPKPIPGLDDDTRNLIGDSSNCNNGVEGRIQGPVEEDWLSSPRGLNHSQTSQSTLHKCQGVSEDAHYQRRKQKSLAEIMEEHPDTEAEDRDDVLAEEGTKARKAVSSAKRKKRKLMGEGMNKEGTNEITDVTKVASLDKDASSSGRKRRKVSDKADGDGKNEMEDILAKEGENLGKPSSRGKKRKGNGEAEVSSSGSSDLVSKPRTRKGKFSESHGAANKQDSSLGADGSRVKMENLKSPPSRGRKKKETSNIEDSDGGGKIKERRENTVSAEKNVVGDLGDNGKAKEELMKGSSPRERKRSKYLSPPYTNLNKAERKKGIEEESMKISSESQLGEQGTEAADHLIESPIMKFSGERKPSKEPGSGHETYQNNYGLMIVKASASELLSKIRSAALNPQYLTETSSHAMIWEFFSEFRSSVYCNESDYEMYVEHLPGRKRKPQKSETGQDQSDQSLPEHKSQRAKTKNNEEAKLDKPKVKQAVGAPEMKTKEKQAEGEGPGAALYVTFGPGSSLPSKNDLLKIYGKFGALNKDETDMLYTNYCAKVVFLKSSEAEEAFNDSQLSSPFGSANVTFRLRYLSAETKTRELKEISRSKQPSSLAKEGTATAEMASASQSSGSEVSQLNYIKQKLEMVTSLVETSEGKISQDLKSILESEMKVLLEKVSTMISSSS >Manes.15G166000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14061767:14066076:-1 gene:Manes.15G166000.v8.1 transcript:Manes.15G166000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAERKFGRSVQRDSFDANEGCPDGKDGELSDSKNWDGEDNTGDEGHEFRVGDFVWGKIRSHPWWPGRIYDPLDASDSAKKVKQRDKILVAYFGDGTFAWCSPSQLKPLDDNFLEMSKQSSSKNFFNAVEKAMDEVGRLVDLKMTCSCVPKENLIGFGRTLAVNAGIKEGLLVPEGGIDKFSTSLFQPAEFLPALKDIAQVATVTNMLEFTVLKSWLSAFYRAKGGHQLPSYYEPKPIPGLDDDTRNLIGDSSNCNNGVEGRIQGPVEEDWLSSPRGLNHSQTSQSTLHKCQGVSEDAHYQRRKQKSLAEIMEEHPDTEAEDRDDVLAEEGTKARKAVSSAKRKKRKLMGEGMNKEGTNEITDVTKVASLDKDASSSGRKRRKVSDKADGDGKNEMEDILAKEGENLGKPSSRGKKRKGNGEAEVSSSGSSDLVSKPRTRKGKFSESHGAANKQDSSLGADGSRVKMENLKSPPSRGRKKKETSNIEDSDGGGKIKERRENTVSAEKNVVGDLGDNGKAKEELMKGSSPRERKRSKYLSPPYTNLNKAERKKGIEEESMKISSESQLGEQGTEAADHLIESPIMKFSGERKPSKEPGSGHETYQNNYGLMIVKASASELLSKIRSAALNPQYLTETSSHAMIWEFFSEFRSSVYCNESDYEMYVEHLPGRKRKPQKSETGQDQSDQSLPEHKSQRAKTKNNEEAKLDKPKVKQAVGAPEMKTKEKQAEGEGPGAALYVTFGPGSSLPSKNDLLKIYGKFGALNKDETDMLYTNYCAKVVFLKSSEAEEAFNDSQLSSPFGSANVTFRLRYLSAETKTRELKEISRSKQPSSLAKEGTATAEMASASQSSGSEVSQLNYIKQKLEMVTSLVETSEGKISQDLKSILESEMKVLLEKV >Manes.15G166000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14061767:14065915:-1 gene:Manes.15G166000.v8.1 transcript:Manes.15G166000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVETLPEALCESPSLSQEPCKKPDHDTKLSKDASKTSPAKSSKENGLRVSVNGKEDFGSSDLGGGVVGTEVSVERGRDTVDLGDNEVGLEDSEINGASSLLKMHESSESLLGLGSFLDVIDRTEKRGFESVDGISLVADICGNVHRSDMKAERKFGRSVQRDSFDANEGCPDGKDGELSDSKNWDGEDNTGDEGHEFRVGDFVWGKIRSHPWWPGRIYDPLDASDSAKKVKQRDKILVAYFGDGTFAWCSPSQLKPLDDNFLEMSKQSSSKNFFNAVEKAMDEVGRLVDLKMTCSCVPKENLIGFGRTLAVNAGIKEGLLVPEGGIDKFSTSLFQPAEFLPALKDIAQVATVTNMLEFTVLKSWLSAFYRAKGGHQLPSYYEPKPIPGLDDDTRNLIGDSSNCNNGVEGRIQGPVEEDWLSSPRGLNHSQTSQSTLHKCQGVSEDAHYQRRKQKSLAEIMEEHPDTEAEDRDDVLAEEGTKARKAVSSAKRKKRKLMGEGMNKEGTNEITDVTKVASLDKDASSSGRKRRKVSDKADGDGKNEMEDILAKEGENLGKPSSRGKKRKGNGEAEVSSSGSSDLVSKPRTRKGKFSESHGAANKQDSSLGADGSRVKMENLKSPPSRGRKKKETSNIEDSDGGGKIKERRENTVSAEKNVVGDLGDNGKAKEELMKGSSPRERKRSKYLSPPYTNLNKAERKKGIEEESMKISSESQLGEQGTEAADHLIESPIMKFSGERKPSKEPGSGHETYQNNYGLMIVKASASELLSKIRSAALNPQYLTETSSHAMIWEFFSEFRSSVYCNESDYEMYVEHLPGRKRKPQKSETGQDQSDQSLPEHKSQRAKTKNNEEAKLDKPKVKQAVGAPEMKTKEKQAEGEGPGAALYVTFGPGSSLPSKNDLLKIYGKFGALNKDETDMLYTNYCAKVVFLKSSEAEEAFNDSQLSSPFGSANVTFRLRYLSAETKTRELKEISRSKQPSSLAKEGTATAEMASASQSSGSEVSQLNYIKQKLEMVTSLVETSEGKISQDLKSILESEMKVLLEKVSTMISSSS >Manes.01G196100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37022836:37036288:1 gene:Manes.01G196100.v8.1 transcript:Manes.01G196100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRSTPDKQDEAKQLRRDPYEVLGVSRSSTDQEIKSAYRKMALKYHPDKNANDPEAADMFKEVTFSYNILSDPDKRRQYDSAGFEAVESEGQELELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGAVSICPLTLGQPISKKVERQCAHFYSVTITEEEARAGIVCQVHSSDKSKFKLLYFDQEGNGLGLALQEDSAKTGKVTSAGMYFLCFPVYRLDQTVNAIAVAKDADAAFFKKLDGFQPCEITELKAGTHVFAVYGDNFFRSANYSIEALCAAPFVEEKEKLRGVEAQLLTKRVEISKFETEYREVLAQFTDMTSRYTKEMQEIDELLKQWNEIHASYTIAPPMKRSISKGRSKGPSRETKEEGPLGDKKASMRDRTKKKKWFNIHLKVDKRKSC >Manes.01G196100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37022836:37036288:1 gene:Manes.01G196100.v8.1 transcript:Manes.01G196100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRSTPDKQDEAKQLRRDPYEVLGVSRSSTDQEIKSAYRKMALKYHPDKNANDPEAADMFKEVTFSYNILSDPDKRRQYDSAGFEAVESEGQELELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGAVSICPLTLGQPISKKVERQCAHFYSVTITEEEARAGIVCQVHSSDKSKFKEDSAKTGKVTSAGMYFLCFPVYRLDQTVNAIAVAKDADAAFFKKLDGFQPCEITELKAGTHVFAVYGDNFFRSANYSIEALCAAPFVEEKEKLRGVEAQLLTKRVEISKFETEYREVLAQFTDMTSRYTKEMQEIDELLKQWNEIHASYTIAPPMKRSISKGRSKGPSRETKEEGPLGDKKASMRDRTKKKKWFNIHLKVDKRKSC >Manes.10G123000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28968935:28972872:1 gene:Manes.10G123000.v8.1 transcript:Manes.10G123000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGHKIDENVAREIINHRSLSHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSLQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSRIFVASPSRRITIKDIKSHPWFLKNLPRELTETAQAMYYRRGNNTFSLQTDEEIMKIVEEAKIPPPVSRSIAGFGWGGEEDGDGKEDDTEAEEEEEEDEYEKRVKEAQASGEFHVS >Manes.10G123000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28969181:28972872:1 gene:Manes.10G123000.v8.1 transcript:Manes.10G123000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGHKIDENVAREIINHRSLSHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSLQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSRIFVASPSRRITIKDIKSHPWFLKNLPRELTETAQAMYYRRGNNTFSLQTDEEIMKIVEEAKIPPPVSRSIAGFGWGGEEDGDGKEDDTEAEEEEEEDEYEKRVKEAQASGEFHVS >Manes.10G123000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28968902:28972872:1 gene:Manes.10G123000.v8.1 transcript:Manes.10G123000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGHKIDENVAREIINHRSLSHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSLQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSRIFVASPSRRITIKDIKSHPWFLKNLPRELTETAQAMYYRRGNNTFSLQTDEEIMKIVEEAKIPPPVSRSIAGFGWGGEEDGDGKEDDTEAEEEEEEDEYEKRVKEAQASGEFHVS >Manes.10G080900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18998936:19027106:1 gene:Manes.10G080900.v8.1 transcript:Manes.10G080900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLFCLLSPAPSKLSLIRPSSSVVASATSFSSLSFASMACPPQRKTSTTRQSSSKLAKAPSPTLPASNEDPAALSSVPPKKVLVPIGFGTEEMEAVIIIDVLRRAGAEVIVASVEPQLEIEAASRMRLVADTSIANCSNEIFDLVALPGGMPGSARLRDCEVLQKITSKQAADKRLYGAICAAPAVTLLPWGLLRRKQTTCHPAFVDKLPTFWAVKSNVQVSGELTTSRGPGTSFEFALSLAEQLFGESIANEVGELLLLRTADDLCRKDEFNEVEWSIDHKPRVLIPVANGSEEIEIVTIVDILRRAKLEVVVASIEKSVQILASRGTKIIADKLIGDAAESIYDLIILPGEIAGAKRLQKSKVLKKLLKEQDAAGRIYGAVCSSPAVLHSQGLLKDKKATAHPSVVSQLTNEVVNGAKVVIDGKLITSKGLATVTDFAMAIVRKLFGHARARSIAEGLVFDYPWT >Manes.11G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10402984:10407707:1 gene:Manes.11G071700.v8.1 transcript:Manes.11G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQAFDLFPLNDAHFPTFLGRNEEDETLLHLDAAFAEELQFQEALKASLFTCQMATSEPSLQVEVKGESSLYFCEICRERKENDQLIKNGNCGHPFCLECISKHVEAKIKLGLKIFTCPGLNCECVLQLETFKHFLSKDVLSQWEEALSTELAPNFTCSKGFYAKPGSENGNGKHFPARTSKILSPPEVNKTVQFSHCIAGTNDSAEADSKQIVHKRKYEETASSNAPNKFLLRPRAVRTVLHKWYDSHSALSFRRGNKGPKSACSSFKDIPATFDGIPPMGPILNRQCSNDTAADDYDNDSDSDTLPDTDDYDSEASPRSPRSCKKSKWFKKFLKGFEYLSAEEINDPARQWHCPACQGGAGAIKRYLGLQILIKHAKTKGSRRMRLHRELAQLLEEKLHSNLATSAAIGDEACGKWKGLKEENKDHEIVWPPMVLITNTSHKKNENNKWIGMSNQQLLDLFSSYNAVVKVQHLYNSNGHCGKSILIFESSLRGYLEAEWLHMHFAKEGVGRSAWNNQPVYFLPSGERQLHGFMAVKEDVDTFNQYYSKGKPKLKFEMRSYQEMVVNQIRQMIRDNLQLPLLHNRIIEQQNHAKGLEESNGMLKMKLDKATKDMEILRRKAKYQHEQYMEEVEFIEQFYEDRIKNFLEATKENDGEFENAQQKELQENAEQSNAKIAMERAARLELSGESSSNNV >Manes.13G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30210089:30212120:1 gene:Manes.13G103100.v8.1 transcript:Manes.13G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKLLPLQFFQLVLFLLLLDLANSQVLQVGVQVGLGITTSQGLQLGFYGQTCPNAEKTIHDMVQQFIDKDPTLAAPLLRMHFHDCFVRGCDGSVLLNSTNTNQAEKDAVPNQTLRGFNVIDTIKSAIEKDCPGVVSCADILALVARDSVAMVGGPSWVVRTGRKDGRISSASEASAQLPSPFASINQLKQNFAAKGLSVKDLVVLSGGHTIGIGHCFVIANRLYNFSGRGDTDPSLDPAYAAQLKTKCKPGDTTTVVDMDPGSPKLFDDDYYTVVARRRGLFQSDAALLNDIQTRAYVTLQAATNGVTFARDFGASMVKLGNVGVLTGSQGEIRKQCALVN >Manes.09G095967.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28977128:28977725:1 gene:Manes.09G095967.v8.1 transcript:Manes.09G095967.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCPNVVHLLAVKRIFRYLKGTSDFLILYKRKAVGNLLGYTESDYAGDPDDRKSTSGYVFMLGFGVISWSLKKQPIVILSTIEAEYVAATSCACQAIWFRNILEELQFKQEGPTTIYLDNTSAINLSKKLVLHKRSKHIDVKYHFVRDLIKEEKSILFIAEARNKFRYVYEALDFSIILEGM >Manes.13G115500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32219873:32232538:-1 gene:Manes.13G115500.v8.1 transcript:Manes.13G115500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLRTLLNNISSFLCLSSVENFSSNLVQKYCQKAKEILKLLKPILCAFVDFEIASDEVLDNIFRELCESVDELRELFENWQPLSSKVYFVLQIESLISKIRNLGLDFFQLLKSSREHFPDELSSSSLEYCTLKIKPMGYEQTSSIVREAIRIQAESVESSSEILVKVAESLNLRSNQDILIEVVALEKLKENAEQVENTMEPELFDQMISLVTCMRDRLVLIKQSQTNGPVPIPGDFCCPLSLELMSDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLDRSNLIPNYTVKALIANWCESNNVKPCDPVKSLSFNQPSPLLVHAESGAISRDSHVFPQSRGDEPMSPESTQSTGSPRMNWISSSGICQEGTSPLHPCSTSENSLLGVVENKLGLDMIISLTSSEERSANLDERSVDSIVYHPVSPSRKQVLNAVRADGPITQNHHRNASASSAIANGNFPQGVHADANECSAMSNHLAPCTSDTSGEIETEPVEIETEPQASTTLNTPHREPEFSPRVVETRSRSQTIWRQPIDRLVPRVVSSSAVGTRANLSGVETNVRKLVEDLKSNSVDSQREATAELRTLAKHNTDNRIVIANCGAINLLVNLLRSTDMKIQENAVTALLNLSINDNNKTAITNVDAIEPLIHVLETGAPEAKENSAATLFSLSVIEDNKVRIGRSGAVRPLVDLLGNGRPRGKKDAAAALFNLSILHENKAQIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPDGRSAIDQEGGIPFLVEIVELGSARGKENAAAALWQLCINDKKFCYIVLQEGAVPPLVALSRFGTPRAKEKARSLLQFLRIQRHGNGRE >Manes.13G115500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32219873:32224545:-1 gene:Manes.13G115500.v8.1 transcript:Manes.13G115500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLRTLLNNISSFLCLSSVENFSSNLVQKYCQKAKEILKLLKPILCAFVDFEIASDEVLDNIFRELCESVDELRELFENWQPLSSKVYFVLQIESLISKIRNLGLDFFQLLKSSREHFPDELSSSSLEYCTLKIKPMGYEQTSSIVREAIRIQAESVESSSEILVKVAESLNLRSNQDILIEVVALEKLKENAEQVENTMEPELFDQMISLVTCMRDRLVLIKQSQTNGPVPIPGDFCCPLSLELMSDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLDRSNLIPNYTVKALIANWCESNNVKPCDPVKSLSFNQPSPLLVHAESGAISRDSHVFPQSRGDEPMSPESTQSTGSPRMNWISSSGICQEGTSPLHPCSTSENSLLGVVENKLGLDMIISLTSSEERSANLDERSVDSIVYHPVSPSRKQVLNAVRADGPITQNHHRNASASSAIANGNFPQGVHADANECSAMSNHLAPCTSDTSGEIETEPVEIETEPQASTTLNTPHREPEFSPRVVETRSRSQTIWRQPIDRLVPRVVSSSAVGTRANLSGVETNVRKLVEDLKSNSVDSQREATAELRTLAKHNTDNRIVIANCGAINLLVNLLRSTDMKIQENAVTALLNLSINDNNKTAITNVDAIEPLIHVLETGAPEAKENSAATLFSLSVIEDNKVRIGRSGAVRPLVDLLGNGRPRGKKDAAAALFNLSILHENKAQIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPDGRSAIDQEGGIPFLVEIVELGSARGKENAAAALWQLCINDKKFCYIVLQEGAVPPLVALSRFGTPRAKEKARSLLQFLRIQRHGNGRE >Manes.13G115500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32219873:32228502:-1 gene:Manes.13G115500.v8.1 transcript:Manes.13G115500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLRTLLNNISSFLCLSSVENFSSNLVQKYCQKAKEILKLLKPILCAFVDFEIASDEVLDNIFRELCESVDELRELFENWQPLSSKVYFVLQIESLISKIRNLGLDFFQLLKSSREHFPDELSSSSLEYCTLKIKPMGYEQTSSIVREAIRIQAESVESSSEILVKVAESLNLRSNQDILIEVVALEKLKENAEQVENTMEPELFDQMISLVTCMRDRLVLIKQSQTNGPVPIPGDFCCPLSLELMSDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLDRSNLIPNYTVKALIANWCESNNVKPCDPVKSLSFNQPSPLLVHAESGAISRDSHVFPQSRGDEPMSPESTQSTGSPRMNWISSSGICQEGTSPLHPCSTSENSLLGVVENKLGLDMIISLTSSEERSANLDERSVDSIVYHPVSPSRKQVLNAVRADGPITQNHHRNASASSAIANGNFPQGVHADANECSAMSNHLAPCTSDTSGEIETEPVEIETEPQASTTLNTPHREPEFSPRVVETRSRSQTIWRQPIDRLVPRVVSSSAVGTRANLSGVETNVRKLVEDLKSNSVDSQREATAELRTLAKHNTDNRIVIANCGAINLLVNLLRSTDMKIQENAVTALLNLSINDNNKTAITNVDAIEPLIHVLETGAPEAKENSAATLFSLSVIEDNKVRIGRSGAVRPLVDLLGNGRPRGKKDAAAALFNLSILHENKAQIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPDGRSAIDQEGGIPFLVEIVELGSARGKENAAAALWQLCINDKKFCYIVLQEGAVPPLVALSRFGTPRAKEKARSLLQFLRIQRHGNGRE >Manes.13G115500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32219873:32232538:-1 gene:Manes.13G115500.v8.1 transcript:Manes.13G115500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLRTLLNNISSFLCLSSVENFSSNLVQKYCQKAKEILKLLKPILCAFVDFEIASDEVLDNIFRELCESVDELRELFENWQPLSSKVYFVLQIESLISKIRNLGLDFFQLLKSSREHFPDELSSSSLEYCTLKIKPMGYEQTSSIVREAIRIQAESVESSSEILVKVAESLNLRSNQDILIEVVALEKLKENAEQVENTMEPELFDQMISLVTCMRDRLVLIKQSQTNGPVPIPGDFCCPLSLELMSDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLDRSNLIPNYTVKALIANWCESNNVKPCDPVKSLSFNQPSPLLVHAESGAISRDSHVFPQSRGDEPMSPESTQSTGSPRMNWISSSGICQEGTSPLHPCSTSENSLLGVVENKLGLDMIISLTSSEERSANLDERSVDSIVYHPVSPSRKQVLNAVRADGPITQNHHRNASASSAIANGNFPQGVHADANECSAMSNHLAPCTSDTSGEIETEPVEIETEPQASTTLNTPHREPEFSPRVVETRSRSQTIWRQPIDRLVPRVVSSSAVGTRANLSGVETNVRKLVEDLKSNSVDSQREATAELRTLAKHNTDNRIVIANCGAINLLVNLLRSTDMKIQENAVTALLNLSINDNNKTAITNVDAIEPLIHVLETGAPEAKENSAATLFSLSVIEDNKVRIGRSGAVRPLVDLLGNGRPRGKKDAAAALFNLSILHENKAQIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPDGRSAIDQEGGIPFLVEIVELGSARGKENAAAALWQLCINDKKFCYIVLQEGAVPPLVALSRFGTPRAKEKARSLLQFLRIQRHGNGRE >Manes.13G115500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32219871:32232538:-1 gene:Manes.13G115500.v8.1 transcript:Manes.13G115500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLLRTLLNNISSFLCLSSVENFSSNLVQKYCQKAKEILKLLKPILCAFVDFEIASDEVLDNIFRELCESVDELRELFENWQPLSSKVYFVLQIESLISKIRNLGLDFFQLLKSSREHFPDELSSSSLEYCTLKIKPMGYEQTSSIVREAIRIQAESVESSSEILVKVAESLNLRSNQDILIEVVALEKLKENAEQVENTMEPELFDQMISLVTCMRDRLVLIKQSQTNGPVPIPGDFCCPLSLELMSDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLDRSNLIPNYTVKALIANWCESNNVKPCDPVKSLSFNQPSPLLVHAESGAISRDSHVFPQSRGDEPMSPESTQSTGSPRMNWISSSGICQEGTSPLHPCSTSENSLLGVVENKLGLDMIISLTSSEERSANLDERSVDSIVYHPVSPSRKQVLNAVRADGPITQNHHRNASASSAIANGNFPQGVHADANECSAMSNHLAPCTSDTSGEIETEPVEIETEPQASTTLNTPHREPEFSPRVVETRSRSQTIWRQPIDRLVPRVVSSSAVGTRANLSGVETNVRKLVEDLKSNSVDSQREATAELRTLAKHNTDNRIVIANCGAINLLVNLLRSTDMKIQENAVTALLNLSINDNNKTAITNVDAIEPLIHVLETGAPEAKENSAATLFSLSVIEDNKVRIGRSGAVRPLVDLLGNGRPRGKKDAAAALFNLSILHENKAQIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPDGRSAIDQEGGIPFLVEIVELGSARGKENAAAALWQLCINDKKFCYIVLQEGAVPPLVALSRFGTPRAKEKARSLLQFLRIQRHGNGRE >Manes.17G016300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8368869:8373889:-1 gene:Manes.17G016300.v8.1 transcript:Manes.17G016300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSLENERKTASVPVEEREWNFVEQMPLAGKNINEWLSELDTIAREVEAELVSRDIGCHLVEVLDAINVVLFELRGFKRSPVVVDSKYSYLHSVLSTGTGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTENLEELFKVTSGHNLFAIVNGKCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSNHGLMLTSPLRHVHSADKNHNMIPNSNVPLLRPRDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGEAVQELSICMAFAPEEEAEVLEPFVEKLHLLKLESSWKAMGHASHLKVP >Manes.17G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8368869:8373889:-1 gene:Manes.17G016300.v8.1 transcript:Manes.17G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASLTPLFCNPLMACTATSSTTSSTTMPAIPYDLRPIRYDHDQHWMWMRRRTLFPWTKNGTAHVVSVSASASSLSTNEMTRDSSRLNVYKEVVKSAREKFNREISFQSKDKDISLAKALLFIAAEDEAFIAFNKEMDACSLENERKTASVPVEEREWNFVEQMPLAGKNINEWLSELDTIAREVEAELVSRDIGCHLVEVLDAINVVLFELRGFKRSPVVVDSKYSYLHSVLSTGTGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTENLEELFKVTSGHNLFAIVNGKCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSNHGLMLTSPLRHVHSADKNHNMIPNSNVPLLRPRDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGEAVQELSICMAFAPEEEAEVLEPFVEKLHLLKLESSWKAMGHASHLKVP >Manes.17G016300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8368869:8373889:-1 gene:Manes.17G016300.v8.1 transcript:Manes.17G016300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASLTPLFCNPLMACTATSSTTSSTTMPAIPYDLRPIRYDHDQHWMWMRRRTLFPWTKNGTAHVVSVSASASSLSTNEMTRDSSRLNVYKEVVKSAREKFNREISFQSKDKDISLAKALLFIAAEDEAFIAFNKEMDACSLENERKTASVPVEEREWNFVEQMPLAGKNINEWLSELDTIAREVEAELVSRDIGCHLVEVLDAINVVLFELRGFKRSPVVVDSKYSYLHSVLSTGTGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTENLEELFKVTSGHNLFAIVNGKCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSNHGLMLTSPLRHVHSADKNHNMIPNSNVPLLRPRDLRKTLDVAVSSCLLEKLFSKDHLVVAGNFILGFYL >Manes.17G016300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8368869:8373889:-1 gene:Manes.17G016300.v8.1 transcript:Manes.17G016300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACSLENERKTASVPVEEREWNFVEQMPLAGKNINEWLSELDTIAREVEAELVSRDIGCHLVEVLDAINVVLFELRGFKRSPVVVDSKYSYLHSVLSTGTGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTENLEELFKVTSGHNLFAIVNGKCVEDPRSKASDLTSNSLLGLEIATKRDIIGISLANLIRLHWKRASRSNHGLMLTSPLRHVHSADKNHNMIPNSNVPLLRPRDLRLAIMASERLLILQPHNWALRRDHGMMLYYNREYGEAVQELSICMAFAPEEEAEVLEPFVEKLHLLKLESSWKAMGHASHLKVP >Manes.14G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12253361:12256706:1 gene:Manes.14G139800.v8.1 transcript:Manes.14G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSFGPAGVAKERAEQYQGRVTLYVIIACIVAAVGGSIFGYDIGISGGVTSMDEFLEKFFHRVYLKKQHAHENNYCKYDDQRLAAFTSSLYLAGMAASVVAGPVTRMYGRRTSIICGGISFLIGAALNAAAINLGMLILGRIMLGIGIGFGNQAVPVYLSEIAPTHLRGGLNIMFQLATTTGIFTANMVNYGTHKLKPWGWRLSLGLAAAPALLMTVGGILLPETPNSLIEQGFKEKGRKVLEKIRGTKNVDAEFEDMLDASELANSIKHPFRNILERRNRPQLVMAIFMPTFQILTGINSILFYAPVLFLSMGFEGTDSLYSSAVTGAVLWSSTFISILTVDRLGRRILLISGGIQMITCQVIVAIILGLKFGDNQQLSKGFSVLVVVVICLFVAAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQSFLALLCAFKFGFFLFFAGWITIMTIFVYMFLPETKGVPIEEMIFLWRKHWFWKRIVPGDPAEVEDSRQSHGIE >Manes.11G114200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26829168:26829769:1 gene:Manes.11G114200.v8.1 transcript:Manes.11G114200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSISIRKLLCLIVIMVIFVSAKCRQPVLHRVGGGKYTWKPSINFTEWASHEQFYVGDWLYFGFNISRYNVLEVNKTSYEECIDKDFIKNITRGGRDVFNLTEAKPFYFINSGSYCFKGMKLAVYVENPPHEPAAAYSVPRNCSPTNTYGNIVLLPLLGYLAVWIFLQ >Manes.17G030300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22514684:22519593:1 gene:Manes.17G030300.v8.1 transcript:Manes.17G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAFSGSTMTLKDFHGGSIPSDLPLPSAPGVIVRSSEWSGYDRPNSWGGSMGRPDHRARPNSSPATRHFDDKAPFLSHTAQIGRHFDEDERKPLDGVSAPRRTVGDESFRFPPSRMELKPEPVLSGRVSGSASASGVQVASSYSGRVSDGAHVGASVQNAGVNTGQSVGGSYPNVWAARKEMVVDVNEPAQSAWSGASAVSKLALASALEKVSSGRWQTKPAIHYQPDVEVIEHLETEKGVASIVDDGYACNKMDAVGGGEYPDVTLARHVERNMVIQDGIQNDRKEYVDHEKARAASFSELRDRNPSVHGERVQSPRPDVRFSRSEMQPPVQSEPSERPKVKLLPRTKPSENLDSPTLDHKQGYQKLSNSANGHFETSEWHGSVSAAKSGLANLESDYQVIERPKLNLKPRSQPVDKSEGNTERFALFGGARPREVVLKERGIDSAAISNHSVGQQPDRVMLNVSKIERVPEHAVPIRHGERTDNIHPDQRTGKKIEKKDQKMDVERVDKQRRNWRSENWRNSRETERQQQPQLQQERPPSPETWRKPVEQPKPASPDATGLRYGKTASALELAQAFSRSFSDPKTTDRYSGQRGLPGKTQMPFSRLTGPTPRHQINGY >Manes.14G148375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16929925:16932005:-1 gene:Manes.14G148375.v8.1 transcript:Manes.14G148375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSINLQSKAYDVFISFKGTDIRDGFLSHFQKELKQNQIDVFVDEELEKGEEISSSLLAKIEESYLSVVIFSENYAYSPWCLDELVKILECNIEMEQMILPVFYGVDPADVQELKGSYGGALGKYKEESNYDSNKVDTWINALKKISNLSGWDSRTIKSESKLIEKIINHISKKLDDYVILSDFCKDGLVGINSRVKDVHELLCQELEDVRCIGICGMGGIGKTTIASKLFEQICNQFQGGCLIANVREKLKRYTPDNLQSEILSKVFQSEGLNMGILPISSAAIKRRLSRQKFLIVLDDVSDLEPIEFLIGKQVVFGPGSRIIVTSRDKQLLKNTGAKIYEVKKLNDDEALQLFSFHAFKQNLVKKEYMQLARKAIGFADGNPLALKVLGSNLFDKTTEAWEDKLEKLKDIPDRKIQEILRISYDDLDQDEREIFLDIACFFKRWDKNRAISILEGCGFFAKCGISRLIDKSLISISKGNRLEMHDLIQQMGKDIVGEAKEIGKRSRLYNSKDIYKVLTKDTGTKRIESISANMYEIGFMELSSTAFVKMCNLRLLKFYSFGHDQVKIVLNKGLQSLPVGKISFESFAIKILSAKSC >Manes.06G105000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23726419:23779942:-1 gene:Manes.06G105000.v8.1 transcript:Manes.06G105000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRDVQEIVSKLSSDKAKTREDGMKLLNTWLEGERSIKFCKFLGYNTAKLKPSEIPHSETWPFLVTLLIQCVSSEISTSKRRLPKASFAKTLRIVVQRAEDAKFSGKILPLLPVVKTLFSHIWDILSNVPSFQSEYGIILRQLLAVRDYRFHLRNRIYCCLVRMYIQKVETGVEGKNDNQVNLKEETFRCILTLHSLLENPPGDFPHELREDIVKGFVQIFSFIREEGKLSRKLIECINTYLLKDGSNLVCQSLKIHNSVQQFVFHHWLSTHDRGLKDAIIFYARLQLNLTRCAVDGNSLVDQFLEVVCKELDQSSISSMAVPWTDATKDDKLGTLSGSQSGLVQLAALVFYQACVSPAKAQSTEKRVKREHAAVLLKEALMKGKWLWNAAFCYLIHYYYSRMNKDLFLYWFEGISTSFERIWCDANTGHAYDGLLWTLRSLQELSTVLLSETQVEISSRSSSILNQLDSGWQLIWSCIMHWLPLFSNLTAIADAALMLLGSIISIDLKNTFVVPQDVWDLKLFKETPSKSVLYFITCYFSHKGSQGDLRDCLQLRKNLLTAVLGYLNLKESLILNEHLVLLLPAAVYALCAGCAPSTHCYKVLLSSHAFIYNLVAADDCAKTDENEHERLYEFFECSLDILAKVGLDSQVEIVPFRCNQSLRLPSQLRDPLLHEVETHILGALADKQAEKRTVSDINFICALLSNFIHGSLVTRKRDEMLTFVSKMGQYLLELLDHAVNVIKETGCDFQSLGCMGSGLDCIARSCLLASFRSLVSCPIFSRRGDENVMDTVLYGAIVQSMERLLTELAKLYEQFSERIRNPYSETMLSDSSDTSLQISSLLDSSRSRIVDMELDVNEDSKDVDILNFGGKITIDTSFSMVKWKLGMVSLISSFFSVLDFVTWDVLFELLGKEGETKVCENVLYLLCQHPHWSSAAKIMDLVKSMFDMVETRVIVKLDCASILVSTCQLLCTLLSLGAVGKNGDSLIHLGDLVSRVAEFGLLDWMGRVKLIDCICDFVLLSPQIGQTMVQRLFLLLQDPDYRVRFSLAQRIGVLFETWDGHDELFQDICSNFGVVMVFHSKGRLVTAKEVLAAGPQPRPTMETIIFTLMHVALHSEKIELEAIFMMCVVAAIDPCHRDLVIAVVDNLSRQLQYATRFKYLEELIGTILFFWVACGVSLVALVEIRQLFVPDAEPSYFMQYCCRWLLPALILHEDISNLNLVARIACQPSTVLVKNHFVQIFSVCMALHCSKRLDSDKGALVLQSSILHLSEISENERDKLIKRHMVSIVSHILSLTSCASDPAVPFFSRETVARAVQTVVDGFLETEDYPTSVAVLDKIKIFRPDRVFMFIVEMHYKIAGAVHHRHRCHKLAGIEVLIDILGHRAAVSSTSNYLLSLIGLLIDCKDLQDQCFRVISALLKTFKSNPSKEVVRVLGEQFQFLVSKLVEIASDTVGELSGTTSSQVMSLLLQLIVECDPSLHDYIKELEPFPETDIFDKVRAFHQELCQAYSPRDHLLKFVKRSCYLPPRLLLCSVQAMHKKLLMREYFELERNKRDTVVDINWHSDSEIVSAVWTLVRMCSSDDSNCIRTLMSDFISRVGIGDPHCVVFHLPGESGDINVCKLTADDSPTDISFSVDTAITEKLLIMLLNLLKKYLMDDSVRIVDLASQALRGILSTERGQRAILSFDSYERSLIEIHSKGVNAELVENFLLDLEKRFRAEAIPLEEPTLWETQNKTFETWICPLVHSLIGYNNDVILRLCQDIVLLKAEVAEFLLPSVIEDLAGRKNMDVDLHKLISLQVQEHILTDSNKLIKSIQVFLNALNKLRLCYVFERSSIPSKRESLKYAKPSSYGSKSRSTSGKARDYMATSSAMSMLTSSWDKVYWLSIDYLLVAKSAVICGSYFTAMMYVEYWCEEHFSSLTLGRPDLAHIEVLPDHIEVLVSAVTQINEPDSLYGIIRSHKLTSQVVTFEHEGNWSKALEYYDLQVRANAMLETNGGSRTFFVDHTQPAAHIFVPESKDEMKLRKPYKGLIKSLQKIGCTHVLESYCQGLTSRKGQLQQDLEFTELQYEAAWRAGNWDFSLLVHGGSSSSGQNVKSDRFNENLYSCLRALKEGDFDEINRKLKDSKQELVWSVSCSSEESTEYIYSTIIKLQILYHLGMAWNIRWTASTHEMTAKKQKNLPEPVFPTMEQLSWLNKDWNDILERTQLHMNLLEPFIAFRRVLLQILSCNECSMKHLLQSASVLRKGSRFSQAAAALHEFKFLSVGTGEQHLSLYWLGKLEEAKLLRAEGQNEMAISLAKYISQNCHSNEGASDVYRLVGKWLAETRSSNSRTILEKYLKPAVSLAEDQKTAHKKSIERQSQTHFNLAHYADALFRSYEERLTSSEWQAAMRLRKHKTLELEALVRRLKSSSKGDKTDYSVKIQELQKQLTMDKEEAEKLQIDRDNFLSLALEGYKHCLILGDKYDVRVVFRLVSLWFSLSSTQNIINNMLNTINEVQSYKFVPLVYQIASRMGSSKDGVGPHNFQFALISLVKKMAVDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDTDKIISARALLDELSSYHGPVIGQMRRMVEIYIKLAELETRREDTNKRVALPREIRSVRQLELVPVVTSSFPVDRSCTYHDGSFPYFKGLADSIMIMNGINAPKVVECLGSDGKRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLKNNRDTWKRRLGVRTYKVIPFTPSAGVLEWVNGTLPLGEYLIGSTRNGGAHGRYGIGDWSFPKCREHMSNEKDKRKAFQEICENFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVAGVEGVFRRCCEETLAVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKDLDDDLETCLEDSQDQYEGNKDAARALMRVKQKLDGYEEGELRSINGQVQQLIQDAIDPERLCQMFPGWGAWM >Manes.06G105000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23726419:23767589:-1 gene:Manes.06G105000.v8.1 transcript:Manes.06G105000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIVVSFPACLHAICVRQSLDMLNSLQSFIVFLGICCRIWCDANTGHAYDGLLWTLRSLQELSTVLLSETQVEISSRSSSILNQLDSGWQLIWSCIMHWLPLFSNLTAIADAALMLLGSIISIDLKNTFVVPQDVWDLKLFKETPSKSVLYFITCYFSHKGSQGDLRDCLQLRKNLLTAVLGYLNLKESLILNEHLVLLLPAAVYALCAGCAPSTHCYKVLLSSHAFIYNLVAADDCAKTDENEHERLYEFFECSLDILAKVGLDSQVEIVPFRCNQSLRLPSQLRDPLLHEVETHILGALADKQAEKRTVSDINFICALLSNFIHGSLVTRKRDEMLTFVSKMGQYLLELLDHAVNVIKETGCDFQSLGCMGSGLDCIARSCLLASFRSLVSCPIFSRRGDENVMDTVLYGAIVQSMERLLTELAKLYEQFSERIRNPYSETMLSDSSDTSLQISSLLDSSRSRIVDMELDVNEDSKDVDILNFGGKITIDTSFSMVKWKLGMVSLISSFFSVLDFVTWDVLFELLGKEGETKVCENVLYLLCQHPHWSSAAKIMDLVKSMFDMVETRVIVKLDCASILVSTCQLLCTLLSLGAVGKNGDSLIHLGDLVSRVAEFGLLDWMGRVKLIDCICDFVLLSPQIGQTMVQRLFLLLQDPDYRVRFSLAQRIGVLFETWDGHDELFQDICSNFGVVMVFHSKGRLVTAKEVLAAGPQPRPTMETIIFTLMHVALHSEKIELEAIFMMCVVAAIDPCHRDLVIAVVDNLSRQLQYATRFKYLEELIGTILFFWVACGVSLVALVEIRQLFVPDAEPSYFMQYCCRWLLPALILHEDISNLNLVARIACQPSTVLVKNHFVQIFSVCMALHCSKRLDSDKGALVLQSSILHLSEISENERDKLIKRHMVSIVSHILSLTSCASDPAVPFFSRETVARAVQTVVDGFLETEDYPTSVAVLDKIKIFRPDRVFMFIVEMHYKIAGAVHHRHRCHKLAGIEVLIDILGHRAAVSSTSNYLLSLIGLLIDCKDLQDQCFRVISALLKTFKSNPSKEVVRVLGEQFQFLVSKLVEIASDTVGELSGTTSSQVMSLLLQLIVECDPSLHDYIKELEPFPETDIFDKVRAFHQELCQAYSPRDHLLKFVKRSCYLPPRLLLCSVQAMHKKLLMREYFELERNKRDTVVDINWHSDSEIVSAVWTLVRMCSSDDSNCIRTLMSDFISRVGIGDPHCVVFHLPGESGDINVCKLTADDSPTDISFSVDTAITEKLLIMLLNLLKKYLMDDSVRIVDLASQALRGILSTERGQRAILSFDSYERSLIEIHSKGVNAELVENFLLDLEKRFRAEAIPLEEPTLWETQNKTFETWICPLVHSLIGYNNDVILRLCQDIVLLKAEVAEFLLPSVIEDLAGRKNMDVDLHKLISLQVQEHILTDSNKLIKSIQVFLNALNKLRLCYVFERSSIPSKRESLKYAKPSSYGSKSRSTSGKARDYMATSSAMSMLTSSWDKVYWLSIDYLLVAKSAVICGSYFTAMMYVEYWCEEHFSSLTLGRPDLAHIEVLPDHIEVLVSAVTQINEPDSLYGIIRSHKLTSQVVTFEHEGNWSKALEYYDLQVRANAMLETNGGSRTFFVDHTQPAAHIFVPESKDEMKLRKPYKGLIKSLQKIGCTHVLESYCQGLTSRKGQLQQDLEFTELQYEAAWRAGNWDFSLLVHGGSSSSGQNVKSDRFNENLYSCLRALKEGDFDEINRKLKDSKQELVWSVSCSSEESTEYIYSTIIKLQILYHLGMAWNIRWTASTHEMTAKKQKNLPEPVFPTMEQLSWLNKDWNDILERTQLHMNLLEPFIAFRRVLLQILSCNECSMKHLLQSASVLRKGSRFSQAAAALHEFKFLSVGTGEQHLSLYWLGKLEEAKLLRAEGQNEMAISLAKYISQNCHSNEGASDVYRLVGKWLAETRSSNSRTILEKYLKPAVSLAEDQKTAHKKSIERQSQTHFNLAHYADALFRSYEERLTSSEWQAAMRLRKHKTLELEALVRRLKSSSKGDKTDYSVKIQELQKQLTMDKEEAEKLQIDRDNFLSLALEGYKHCLILGDKYDVRVVFRLVSLWFSLSSTQNIINNMLNTINEVQSYKFVPLVYQIASRMGSSKDGVGPHNFQFALISLVKKMAVDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDTDKIISARALLDELSSYHGPVIGQMRRMVEIYIKLAELETRREDTNKRVALPREIRSVRQLELVPVVTSSFPVDRSCTYHDGSFPYFKGLADSIMIMNGINAPKVVECLGSDGKRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLKNNRDTWKRRLGVRTYKVIPFTPSAGVLEWVNGTLPLGEYLIGSTRNGGAHGRYGIGDWSFPKCREHMSNEKDKRKAFQEICENFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVAGVEGVFRRCCEETLAVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKDLDDDLETCLEDSQDQYEGNKDAARALMRVKQKLDGYEEGELRSINGQVQQLIQDAIDPERLCQMFPGWGAWM >Manes.06G105000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23726419:23779942:-1 gene:Manes.06G105000.v8.1 transcript:Manes.06G105000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRDVQEIVSKLSSDKAKTREDGMKLLNTWLEGERSIKFCKFLGYNTAKLKPSEIPHSETWPFLVTLLIQCVSSEISTSKRRLPKASFAKTLRIVVQRAEDAKFSGKILPLLPVVKTLFSHIWDILSNVPSFQSEYGIILRQLLAVRDYRFHLRNRIYCCLVRMYIQKVETGVEGKNDNQVNLKEETFRCILTLHSLLENPPGDFPHELREDIVKGFVQIFSFIREEGKLSRKLIECINTYLLKDGSNLVCQSLKIHNSVQQFVFHHWLSTHDRGLKDAIIFYARLQLNLTRCAVDGNSLVDQFLEVVCKELDQSSISSMAVPWTDATKDDKLGTLSGSQSGLVQLAALVFYQACVSPAKAQSTEKRVKREHAAVLLKEALMKGKWLWNAAFCYLIHYYYSRMNKDLFLYWFEGISTSFERIWCDANTGHAYDGLLWTLRSLQELSTVLLSETQVEISSRSSSILNQLDSGWQLIWSCIMHWLPLFSNLTAIADAALMLLGSIISIDLKNTFVVPQDVWDLKLFKETPSKSVLYFITCYFSHKGSQGDLRDCLQLRKNLLTAVLGYLNLKESLILNEHLVLLLPAAVYALCAGCAPSTHCYKVLLSSHAFIYNLVAADDCAKTDENEHERLYEFFECSLDILAKVGLDSQVEIVPFRCNQSLRLPSQLRDPLLHEVETHILGALADKQAEKRTVSDINFICALLSNFIHGSLVTRKRDEMLTFVSKMGQYLLELLDHAVNVIKETGCDFQSLGCMGSGLDCIARSCLLASFRSLVSCPIFSRRGDENVMDTVLYGAIVQSMERLLTELAKLYEQFSERIRNPYSETMLSDSSDTSLQISSLLDSSRSRIVDMELDVNEDSKDVDILNFGGKITIDTSFSMVKWKLGMVSLISSFFSVLDFVTWDVLFELLGKEGETKVCENVLYLLCQHPHWSSAAKIMDLVKSMFDMVETRVIVKLDCASILVSTCQLLCTLLSLGAVGKNGDSLIHLGDLVSRVAEFGLLDWMGRVKLIDCICDFVLLSPQIGQTMVQRLFLLLQDPDYRVRFSLAQRIGVLFETWDGHDELFQDICSNFGVVMVFHSKGRLVTAKEVLAAGPQPRPTMETIIFTLMHVALHSEKIELEAIFMMCVVAAIDPCHRDLVIAVVDNLSRQLQYATRFKYLEELIGTILFFWVACGVSLVALVEIRQLFVPDAEPSYFMQYCCRWLLPALILHEDISNLNLVARIACQPSTVLVKNHFVQIFSVCMALHCSKRLDSDKGALVLQSSILHLSEISENERDKLIKRHMVSIVSHILSLTSCASDPAVPFFSRETVARAVQTVVDGFLETEDYPTSVAVLDKIKIFRPDRVFMFIVEMHYKIAGAVHHRHRCHKLAGIEVLIDILGHRAAVSSTSNYLLSLIGLLIDCKDLQDQCFRVISALLKTFKSNPSKEVVRVLGEQFQFLVSKLVEIASDTVGELSGTTSSQVMSLLLQLIVECDPSLHDYIKELEPFPETDIFDKVRAFHQELCQAYSPRDHLLKFVKRSCYLPPRLLLCSVQAMHKKLLMREYFELERNKRDTVVDINWHSDSEIVSAVWTLVRMCSSDDSNCIRTLMSDFISRVGIGDPHCVVFHLPGESGDINVCKLTADDSPTDISFSVDTAITEKLLIMLLNLLKKYLMDDSVRIVDLASQALRGILSTERGQRAILSFDSYERSLIEIHSKGVNAELVENFLLDLEKRFRAEAIPLEEPTLWETQNKTFETWICPLVHSLIGYNNDVILRLCQDIVLLKAEVAEFLLPSVIEDLAGRKNMDVDLHKLISLQVQEHILTDSNKLIKSIQVFLNALNKLRLCYVFERSSIPSKRESLKYAKPSSYGSKSRSTSGKARDYMATSSAMSMLTSSWDKVYWLSIDYLLVAKSAVICGSYFTAMMYVEYWCEEHFSSLTLGRPDLAHIEVLPDHIEVLVSAVTQINEPDSLYGIIRSHKLTSQVVTFEHEGNWSKALEYYDLQVRANAMLETNGGSRTFFVDHTQPAAHIFVPESKDEMKLRKPYKGLIKSLQKIGCTHVLESYCQGLTSRKGQLQQDLEFTELQYEAAWRAGNWDFSLLVHGGSSSSGQNVKSDRFNENLYSCLRALKEGDFDEINRKLKDSKQELVWSVSCSSEESTEYIYSTIIKLQILYHLGMAWNIRWTASTHEMTAKKQKNLPEPVFPTMEQLSWLNKDWNDILERTQLHMNLLEPFIAFRRVLLQILSCNECSMKHLLQSASVLRKGSRFSQAAAALHEFKFLSVGTGEQHLSLYWLGKLEEAKLLRAEGQNEMAISLAKYISQNCHSNEGASDVYRLVGKWLAETRSSNSRTILEKYLKPAVSLAEDQKTAHKKSIERQSQTHFNLAHYADALFRSYEERLTSSEWQAAMRLRKHKTLELEALVRRLKSSSKGDKTDYSVKIQELQKQLTMDKEEAEKLQIDRDNFLSLALEGYKHCLILGDKYDVRVVFRLVSLWFSLSSTQNIINNMLNTINEVQSYKFVPLVYQIASRMGSSKDGVGPHNFQFALISLVKKMAVDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDTDKIISARALLDELSSYHGPVIGQMRRMVEIYIKLAELETRREDTNKRVALPREIRSVRQLELVPVVTSSFPVDRSCTYHDGSFPYFKGLADSIMIMNGINAPKVVECLGSDGKRYRQLAKSGNDDLRQDAVMEQFFGLVNTFLKNNRDTWKRRLGVRTYKVIPFTPSAGVLEWVNGTLPLGEYLIGSTRNGGAHGRYGIGDWSFPKCREHMSNEKDKRKAFQEICENFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHAMNILIDQTTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVAGVEGVFRRCCEETLAVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKVSTLTLRMKLQATYHLNTFDILQ >Manes.06G123200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25345740:25347589:-1 gene:Manes.06G123200.v8.1 transcript:Manes.06G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTWAFVFGLLGNIISSLVCLAPVPTFYQICKKKTSEGFQSIPYVIALLSAMLWLFYAVFAEDATLLITVNTFTFLLEIAYLALYFFYATKKDKILTAKLVFLFNVFGFGIISIVAMFILHGKQRVNVLGWICMIFALCVFVAPLAIVRKVIKTKSVEFMPISLSFFLTLSAVMWFLYGFLRKDYFVAIPNVLGFIFGMLQMLLYAIYRKPRKSLEKPTLNNESFEHVIEVAKLGAEACCELNTEAKDENDHEAEDKHVKEQPKQINQDNDLSDTV >Manes.06G123200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25345740:25347590:-1 gene:Manes.06G123200.v8.1 transcript:Manes.06G123200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLFYAVFAEDATLLITVNTFTFLLEIAYLALYFFYATKKDKILTAKLVFLFNVFGFGIISIVAMFILHGKQRVNVLGWICMIFALCVFVAPLAIVRKVIKTKSVEFMPISLSFFLTLSAVMWFLYGFLRKDYFVAIPNVLGFIFGMLQMLLYAIYRKPRKSLEKPTLNNESFEHVIEVAKLGAEACCELNTEAKDENDHEAEDKHVKEQPKQINQDNDLSDTV >Manes.12G011200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1093311:1095845:-1 gene:Manes.12G011200.v8.1 transcript:Manes.12G011200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCASSAIAAVAISSPSSQKTGSIVGATKASFLNGKKLRLRNHTSPVASRSVTVCVAAEPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYDAGKLEYFTDTTTLFIIELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >Manes.03G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25970256:25981024:1 gene:Manes.03G133200.v8.1 transcript:Manes.03G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQSLSMNSPFGVPSMSTPTAAGAPPNKDRKMASAEHLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGVNRVPGLQAGGGFDHMLVN >Manes.03G133200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25970227:25981024:1 gene:Manes.03G133200.v8.1 transcript:Manes.03G133200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPQSLSMNSPFGVPSMSTPTAAGAPPNKDRKMASAEHLVLDLSNPDLRENALLELSKNKELFQDLAPFVWNSFGTIAALMQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGVNRVPGLQAGGGFDHMLVN >Manes.12G000200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:281705:304336:1 gene:Manes.12G000200.v8.1 transcript:Manes.12G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRKRSLFSFLNQPACLVRSFSSFEHGQPPQSSVLEGSSWITSHPSENNDSRYKGNRKADFDSSSGIRWFSRSVRFSSTVTAGQPKFGSGNSRKADVDSSSGIRWCLQSVHFSSTAADGQPKFGSGNDRNEQQAAKQVKEASPEECDEAVEDLSEVKAKAKAKQVQELQKSYKSVMQRMWAMLLGIGPALKAVASMGREDWAEKLHHWRDEFKSTMQHYWLGIKLLWADIRISSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARMEYAKFLQDTVKEMAKEVQSSRSGETKKTAEDLDEFMNNVRTGARVSNEEILGFAKLFNDELTLDNVSRPRLVNMCKYMGISPYGTDAYLRYMLRRRLQEIKNDDKMIRAEGMESLSESELRHACRDRGLLGVLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVKPEEAVQATISSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKIKESVGSQKDVALEEMTIPTAREAQEQARANTLEKHEHLCELSRALAVLASASSVSREREEFLKLVKKEIVLYNSMVDKEGTEEEEEAKKAYKAAREESDHAVETAIADKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQDLISNLSKDREGKISVEDIVKLGSEMEDDETTEEGKEKHRI >Manes.12G000200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:281705:304336:1 gene:Manes.12G000200.v8.1 transcript:Manes.12G000200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRKRSLFSFLNQPACLVRSFSSFEHGQPPQSSVLEGSSWITSHPSENNDSRYKGNRKADFDSSSGIRWFSRSVRFSSTVTAGQPKFGSDGQPKFGSGNDRNEQQAAKQVKEASPEECDEAVEDLSEVKAKAKAKQVQELQKSYKSVMQRMWAMLLGIGPALKAVASMGREDWAEKLHHWRDEFKSTMQHYWLGIKLLWADIRISSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARMEYAKFLQDTVKEMAKEVQSSRSGETKKTAEDLDEFMNNVRTGARVSNEEILGFAKLFNDELTLDNVSRPRLVNMCKYMGISPYGTDAYLRYMLRRRLQEIKNDDKMIRAEGMESLSESELRHACRDRGLLGVLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVKPEEAVQATISSLPDEVVDTVGVTALPSEDSVSERRRKLEFLEMQEELIKEEEEEEEEEQAKIKESVGSQKDVALEEMTIPTAREAQEQARANTLEKHEHLCELSRALAVLASASSVSREREEFLKLVKKEIVLYNSMVDKEGTEEEEEAKKAYKAAREESDHAVETAIADKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQDLISNLSKDREGKISVEDIVKLGSEMEDDETTEEGKEKHRI >Manes.12G000200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:281704:304336:1 gene:Manes.12G000200.v8.1 transcript:Manes.12G000200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRKRSLFSFLNQPACLVRSFSSFEHGQPPQSSVLEGSSWITSHPSENNDSRYKGNRKADFDSSSGIRWFSRSVRFSSTVTAGQPKFGSGNSRKADVDSSSGIRWCLQSVHFSSTAADGQPKFGSGNDRNEQQAAKQVKEASPEECDEAVEDLSEVKAKAKAKQVQELQKSYKSVMQRMWAMLLGIGPALKAVASMGREDWAEKLHHWRDEFKSTMQHYWLGIKLLWADIRISSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARMEYAKFLQDTVKEMAKEVQSSRSGETKKTAEDLDEFMNNVRTGARVSNEEILGFAKLFNDELTLDNVSRPRLVNMCKYMGISPYGTDAYLRYMLRRRLQEIKNDDKMIRAEGMESLSESELRHACRDRGLLGVLSVEEMRQQEEEEEEEEEQAKIKESVGSQKDVALEEMTIPTAREAQEQARANTLEKHEHLCELSRALAVLASASSVSREREEFLKLVKKEIVLYNSMVDKEGTEEEEEAKKAYKAAREESDHAVETAIADKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQDLISNLSKDREGKISVEDIVKLGSEMEDDETTEEGKEKHRI >Manes.16G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3147543:3148922:1 gene:Manes.16G028500.v8.1 transcript:Manes.16G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARMRIPIYSHDFSGDGRELQFHDQPAATFSDMVFGFLEDSYEESFRASPGSEEGRENEEVDEENEERSANESVEDDKNFWEKQHQLLQATLCRTSSLESGIRNVTKEAVKEIQMAGTICGCGRPMVGGCRNCLMTEISGRLRNAGYNSAICKSKWRSSPDIPSGEHAFLDVIDNSNSKKGEVRVIIELNFRAEFEMAKASEEYNRLVLRLPEIFVGKEERLNTVIKILCSAAKKCMKEKKMHLGPWRKQRYMQAKWLGTCKRTTVMPAFSMGDTGRLPKPKASMLTVDLLEMLPNMHCTAVEVV >Manes.03G070720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10821079:10822998:1 gene:Manes.03G070720.v8.1 transcript:Manes.03G070720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESNNTNNNIKGSGVSTGGLLVETTRADKAVWLMKCPALVSHSLNNPSSDDLSRPIAKVILSIDPLNSSDDNSSRQFTMELADNESGNTPKSYSMDMSEDFIPMSVFSESSQGKISVEGKILFKFDMRPHNENLENYAKICRERTKKYMTKGRQIQVIDNDNGSHMRPMPLMITSGSSEKKKPPPKATDTKRTRRDRGEMEDIMFKLFERQSNWTLRQLIQETDQPEQFLKDILKELCVYNNKGTNQGSYQLKPEYKRTCEESGPK >Manes.13G148500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36448053:36450895:-1 gene:Manes.13G148500.v8.1 transcript:Manes.13G148500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFLFGWRKASKCKKLIKRVQCRLKLLKSKRCSIVRQIREDLAQLIKSGYEDIAFNRAEHLFRDETIMEIYELLDNFCEFILVHLSYIRRHKDCPNDINEAVSSLIFASARCGDLPELRSIRKLFGERYGHRFEMTAVELFPGNLVNLQVKEKLSIKSVPDDVKQKVLAEIARDYCLKPEIFSLEYTSELLQQAVDIDFITYDKEGKAIYSDPASTAMSFTIPVTAHQDDIMSTSVTSSIVEQSSSDIMEPQMNGHKFEAVALGTNESQETGRDNISIPDHKEDRKTTASSSESLPQLPEEMIIYLDDVEEVQQSVTKEVSCQDQRIFKFKSSVVPTDGIAEDSCDFSSSCSTCKKKKPVRKRTRRKSFSQQNSSVKDIEYLIYYNKAYTRSRSPGSHHHYKHLRKNAIQKSEHTFHEEKRQKQPHSCCCFNLEAECCCHPYYFYTDEDDQESPYPKQNDTIASAFSPVTNSDCQADKQSKVMKGKVEEFDALGSHFASNNSSPKERKAPKPPYLRAVTMPQERCKGNQTENMSRSSSFPIQSPNHVHPKLPNYEDIAAKFMALKKEHLQNRQQ >Manes.02G151200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11578709:11580483:-1 gene:Manes.02G151200.v8.1 transcript:Manes.02G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAPNCVLKLFLLVSVFQVSFGARKLNELVQDQPQLLSYHNGPLLSGKISINLIWYGKFKTSQKAIISDFITSLSAPSPQIVQPSVATWWKTIGKYYHLTSKKSTLSTALGNQILDETYSLGKSLTNEQIVQLASKGDQMNAINVVLTSSDVVVEGFCLNRCGTHGSASGSKSGHVKGKNYKFAYIWVGNSETQCPGFCAWPFHQPIYGPQSPSLVAPNNDVGIDGMIINLASLLAGTTTNPFGNGYFQGPKEAPLEAASACPGVYGKGAYPGYAGNLLVDSSTGASYNANGENGRKFLLPALYDPSTSSCSTLV >Manes.03G027000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2164107:2165924:-1 gene:Manes.03G027000.v8.1 transcript:Manes.03G027000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSFLRLARKLFHLLPTCRTHPTLSSPLRFHHSMANTTTDSVTPSNTRVGWIGTGVMGRSMCAHLIKAGYTLTVFTRTVSKAQPLLDMGANLAQSPVAVASQSDVVFSIVGFPSDVRHVMLDPTAGALQGLRPGGVLVDMTTSDPSLASEISAAASAKYCHSIDAPVSGGDRGAKNGTLAIFAGGDEGVVNRLNPLFSLMGKVNYMGAPGKGQFAKLANQITIASAMVGLVEGIVYAYKAGLNVEQYLNAISTGAAGSKSLDLYGARILKRDFEAGFYVNHFVKDMGICLKECQNMGLALPGLALAQQLYLSLKAHGEGNLGTQALILALERLNNVSLENLASQNPSLAGLYILAQKSR >Manes.16G063200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24633293:24646510:-1 gene:Manes.16G063200.v8.1 transcript:Manes.16G063200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSQSASSSSTKRKEGLGWIEWLRGWMYVIHEMLFQRIMASHLQNPLPLPPVNDLTCIVTGSTSGIGSEIARQLAEAGAHVVMAVRNTKGAHELIRKWQNEWSGMGLPLNIEVMELDLLSLESVAKFAEAWNARSGPLHVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPALLSILLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNVVSGKRKYTSLVGYASSKLAQARDLPRIVQAAYHLIPYFIFSAQEGSRSSLFSATDPQIPEYCELLKSDDWPVCAFISQDCRPTNPSEEAHSIETSHKLWEKTLDMIGLPADAVERLIEGEEITCRYGNQQE >Manes.16G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24633293:24646496:-1 gene:Manes.16G063200.v8.1 transcript:Manes.16G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSQSASSSSTKRKEGLGWIEWLRGWMYVIHEMLFQRIMASHLQNPLPLPPVNDLTCIVTGSTSGIGSEIARQLAEAGAHVVMAVRNTKGAHELIRKWQNEWSGMGLPLNIEVMELDLLSLESVAKFAEAWNARSGPLHVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPALLSILLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNVVSGKRKYTSLVGYASSKLAQVMFSSVLHRRLPAEAGVSVVCVSPGVVHTNVARDLPRIVQAAYHLIPYFIFSAQEGSRSSLFSATDPQIPEYCELLKSDDWPVCAFISQDCRPTNPSEEAHSIETSHKLWEKTLDMIGLPADAVERLIEGEEITCRYGNQQE >Manes.06G158000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28353457:28365086:1 gene:Manes.06G158000.v8.1 transcript:Manes.06G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNALQASLLCQPSLSLICYSPSKQRLHCSRRYYCSSLSLSHNNNCINNNYSNNNNISLFTFLNSRFRPLPLPISCTLHPENANSNPELTSFRLNSNSDSTEPNINEFSGGDSSDVSGLGESGINGLDGESSESEAREMRTENEGESERNNENLVKKHGVHSKIPLLVFLFDLWTTARRGLEKLLASHWFTWWPFWQREKHLGRLIAEADANPKDADKQSALLAELNKHSPESVIKRFEQRDHAVGSKGVAEYLRALVVTNAIADYLPDEQSGKPSSLPALLQELKQRATRNVDEPFLNPGISEKQPLHVVMVDPKVSNKSRFFQELISTILFTVAVGLVWVMGATALQKYIGSLGGIGTSGVGSSSSFAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVQGRQEILELYLQDKPLADDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGAENLNAAQLEFAKDRIIMGTERKTMFISEESKKLTAYHESGHAIVAFNTDGAHPIHKATVMPRGSALGMVTQLPSNDEISISKKQLLARLDVCMGGRVAEELIFGHDHITTGASSDLHTATELAHYMVSNCGMSDVIGPVHIKERPSSELQSRVDAEVVKLLREAYDRVKALLRKHEKALHELANALLEYETLGAEEIKRILLPYREEQQAVQQEQEEEGELVLA >Manes.12G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2821671:2837716:-1 gene:Manes.12G032800.v8.1 transcript:Manes.12G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMISSGKILQLEMENFKSYKGLQTIGPFKDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAYDDREKEQKGRRAFVRLVYLLANGSELHFTRTITSSGGSEYRIDGKVVNWDEYNARLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSEELKREYEDLEEKKASAEEKSALVYQNKRTVVMERKQKKEQKEEAEKHLRLQDQLKALKKEHFLWQLFIIDKDIKKTTDDLEAERRNREGVMQELEKFELEASKKKKEQVKYLKEIAQCEKKIAEKSSKLDKNQPELVKLNGETSRINSKIKSSRKELDKKREERRKHSDEIDELQKGIQDLAAKLEDLHEKSRDGGEKLPLADSQLTEYFRIKEDAGMKTIKLRDEKEVLDRQQHADMEAQKNLEENLQQLINREHELDAQEAQMRTRQKKIQDTSTKNKKELADLKKELREMQDKHRDSRNKYENLKSRIGEVEIQLREVKADRHENERDAKLSQAVETLKRLFPGVHGRMTGLCRPTQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIVERLRTLGGTAKLVFDVIQFDPVLEKAILFAVGNTLVCDDLDEAKVLSWSGERFKVVTVDGILLSKSGTMTGGTSGGMEARSKQWDDKKIEESILKLKKKKEQLEKELEELGSIREMHLKESEASGKISGLEKKIQYAEIEKRSIEDKLENLKREKHIIKEEIDRLKPELQKVKDAVDKRATVISKLEKRINEIVDRIYKDFSKTVGVANIREYEENHVKAAQHMAEERLSLSNQLAKLKYQLEYEQKRDMDSRIKKLESSIGALENELKQIQNKDAEVKLATEKAAGDINKWKEEVREWKSKSEECEKEMLEWKKQASAAATSISKLNRQINSKEGQIEQLLSRKQDIVEKCELEHISLPTISDPMEIDSEIPGPYFDFSELNRSLIQDRRPSDREKIEADFKQKMDAIMSEIEKTAPNLKALDQYEALLEKERAVTEEFEAARKEEKQVADAYNSVKQRRYELFMEAFNHISNNIDKIYKQLTKSNTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDGGRSIQDAEGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTGYRAS >Manes.12G032800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2829027:2837697:-1 gene:Manes.12G032800.v8.1 transcript:Manes.12G032800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMISSGKILQLEMENFKSYKGLQTIGPFKDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAYDDREKEQKGRRAFVRLVYLLANGSELHFTRTITSSGGSEYRIDGKVVNWDEYNARLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSEELKREYEDLEEKKASAEEKSALVYQNKRTVVMERKQKKEQKEEAEKHLRLQDQLKALKKEHFLWQLFIIDKDIKKTTDDLEAERRNREGVMQELEKFELEASKKKKEQVKYLKEIAQCEKKIAEKSSKLDKNQPELVKLNGETSRINSKIKSSRKELDKKREERRKHSDEIDELQKGIQDLAAKLEDLHEKSRDGGEKLPLADSQLTEYFRIKEDAGMKTIKLRDEKEVLDRQQHADMEAQKNLEENLQQLINREHELDAQEAQMRTRQKKIQDTSTKNKKELADLKKELREMQDKHRDSRNKYENLKSRIGEVEIQLREVKADRHENERDAKLSQAVETLKRLFPGVHGRMTGLCRPTQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIVERLRTLGGTAKLVFDVIQFDPVLEKAILFAVGNTLVCDDLDEAKVLSWSGERFKVVTVDGILLSKSGTMTGGTSGGMEARSKQWDDKKIEESILKLKKKKEQLEKELEELGSIREMHLKESEASGKISGLEKKIQYAEIEKRSIEDKLENLKREKHIIKEEIDRLKPELQKVKDAVDKRATVISKLEKRINEIVDRIYKDFSKTVGVANIREYEENHVKAAQHMAEERLSLSNQLAKLKYQVRF >Manes.07G120704.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32528635:32531723:-1 gene:Manes.07G120704.v8.1 transcript:Manes.07G120704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHTESFASWSFDVGYVNIAACAIYRYAIVVPLAFYFLLPYLRTNASLVLFCCIWGYSLFLFILSSISACHNCSVPLICFFCLFFFFTFLMKKLICTIWNIFSFCWLFQWRHSAKSLYSMLGLTRHVLLLSTSSLVLMGIFQLWCLQQSSSGNLHQSLVLFLRLLLLPRASATSKH >Manes.02G150500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11545323:11547581:1 gene:Manes.02G150500.v8.1 transcript:Manes.02G150500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIAEKKKRIAKAKAEAAEYQKLLATRLKEQRERRSESLAKKRSRLSAASKPSVAA >Manes.15G170300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14863847:14868873:-1 gene:Manes.15G170300.v8.1 transcript:Manes.15G170300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEDDPYRDEDGEPLMDYDEMQSDGGQSPEPHHDDFLEDDVDGWGEQARERSQTPVYDVDKVGKPRKRLVKKSDSGMGTKENFVVPELVDEDEDGEFGRDYAMEAKKRKKLGKEGSGSIGKDRKKFSKGEKKYSSNGGKGGSSSKSGLMKKGMYSGKLAGKEDGEVKEMWDTIAGGDSEDDQDGPRTMDDDNFIDDSGLDPADRYGSDNEPRSPGDAPQAEEGEEDEEIKELFKMGKKRKKNEKSPAEIALLVENVMAELEVTAEEDAELNRRGKPAINKLKKLPLLTEVLSKKQLQQEFIDHGVLTLLKNWLEPLPDGSLPNINIRAAILKILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNIDDDRAYRRPTVRRPANSSAGMESRDGDLDLDISRERRSGQSSARQQHASRPEATPLDFVVRPQSKIDPEEIRNRAKQVVQDQRRLKMNRKLQQLKAPKKKQLQATKLSVEGRGMLKYL >Manes.02G145700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11188720:11202689:-1 gene:Manes.02G145700.v8.1 transcript:Manes.02G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPGDLGFSSLVLLLCVVLPVIGFVIRRKWRLSAARKEEIKRLLILASEEAARAELEATVSYGAVSVSRNSYQCAVCYCPTTTRCARCKAVRYCSGKCQIIHWRQGHKEECCPPSAAYHINDDGGCSSQNVAKENQYDMHGDIYGNAPVQTSSEGPVLSDTGSDQGVPCIKGDDIKVGSVADTEGTSSISESLDTSFSGFSTSPIGSESSDDVSVGGSMSSNGVSVNDSISSNESEGSDIQISSDAAPDVVESGLKKVDHTKPLSPKFVRLINSVDSFNKFSKLNSSKSLSNDGESQCASTDIPGPSSNTIHDGSITRPGKVSPGFWDRSLRFVVTSNAVQDDPEMSTSRKAANINSESFLQFKFDLSGSNIPSSNAQSSEMKGIRSDNAHQAVMESSSPVDGAVVSEDTCDNTPKVRRCASVSCGKSSHMDNESNHDLNVAKTTTLESVPSSSSYAHLPLSRGGAQHTVDSNVSESCDLKSPPLSSNQSNTIVKDIGCTSHVPKSRVSSSALQTRLGVKDNAHSVLSVKSEQVDNVEVVDAVTTSPTTSGLKSSMMQKVVDQLRGPSCGKYNNKGLFSYDLFVKLYTSNKVEMRPCGLINCGNSCYANAVLQCLVFTPPLTAYFVQGVHSKECVNKGWCFTCEFEGLILEAKEGKSPLSPIGILSQLQNLGSQLGSGREEDAHEFLRYSIDAMQSVCLKEAGVNALGSFEEETTLIGLTFGGYLHSKIRCMRCHYKSERHERMMDLTVEIEGDIGKLEDALRRFTSTEILDGENKYQCSRCKSYERAKKKLTILEAPNVLTIALKRFQSGKFGKLNKSIRFPEILDLAPYMSGTSDKSPIYRLYGVVVHLDIMNASFSGHYVCYVKNIQNKWFKIDDSTVTPVELERVLTKGAYMLLYTRCSPRAPRLIRNRIVSPDPKMKGSPSRIGEKNTALNSISTSPHSSFVQLPPNLIPPDNLASVESFYLKFHRLQRILEEDSSSDNYSFTSSNSDEGSCSTESTRDSTSTDDLSDYIFGGWNNSWRNASDSDACSSSSSSPLYSGHSPERSRSRTDYADSGMEGGDWDRVPIGSSRLVDLEANGGDPFVHSEGSKQCRKIGSSSNRGTDSAKLGRVNPVKSGVSLRRSTSKITD >Manes.09G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34717072:34720027:-1 gene:Manes.09G149600.v8.1 transcript:Manes.09G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDAAEAEYFSKDFEWESLKQETENNPSYEYHLLPFNRQLQSQEEEEEESGDSKAWQMFHIRHSSGKFFKERRYLLKEFPELVSCDDFSKVLEVGCGSGSSVIPILRGNKSIIVYACDCSIETLEKAKQIVDATDIILVQNRFKPFYCGFGFTGFPKWLLCDSCSLIPTLNQQECFSSDGSYCLKEGGCCIGGVDFVTLIFTLSAVPFNRMPTAILECFFVLKPGGLLLFRDYGLYDMTMLRFEADKRVGFREYMRSDGTRSYFFSLETVRDLFVGAGFIELELEYCCVKSVNRRKERSMRRVWVHGKFQKPASRKGVSCQTI >Manes.18G012400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1435209:1435547:-1 gene:Manes.18G012400.v8.1 transcript:Manes.18G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCDAVIRNLTTIYVAVIAGIKAYGLVTGRSFSGGFVLILSTATVGLILIGSLAWDLSRKVACTISRDHIHVHEMCKGGICWHGVAVRSPASQVCFRLPQHQQQQHHYGPA >Manes.13G114000.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31938079:31940709:1 gene:Manes.13G114000.v8.1 transcript:Manes.13G114000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAEDKWENSDCHKEEEEGEGEEEEALSLCDLPVNLIKEETQPSKEVAQETEASQEDFDFVPWGGSLSTSSEMCAADDIFFQGQILPFRLSVSSESGLTKLRQDSLNLSRCASMSESMDRSSVGGFTSFSSRSSSSRSQFSSSSSCSVTTSTRISKPRIQNQFHTHPSPKPQIKLPTNSLGNAGSNRNRKSTIWEFFRLGLVHTPEIELQDLKVRTSVSRNSSSSSSNSNSSFRIRSNSRVRISSSSQNQENKDKQKKQSFLEKKSGAGGLLSGCSCTVSAVKSVPLNIIVIKPINSSGNSNNYKSKEKGSAEGVPQELKIKNKKKMVEKQQQQGKQAISRHRTFEWIKELSHASFLVDNEEEGLDS >Manes.13G114000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31938079:31940713:1 gene:Manes.13G114000.v8.1 transcript:Manes.13G114000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAEDKWENSDCHKEEEEGEGEEEEALSLCDLPVNLIKEETQPSKEVAQETEASQEDFDFVPWGGSLSTSSEMCAADDIFFQGQILPFRLSVSSESGLTKLRQDSLNLSRCASMSESMDRSSVGGFTSFSSRSSSSRSQFSSSSSCSVTTSTRISKPRIQNQFHTHPSPKPQIKLPTNSLGNAGSNRNRKSTIWEFFRLGLVHTPEIELQDLKVRTSVSRNSSSSSSNSNSSFRIRSNSRVRISSSSQNQENKDKQKKQSFLEKKSGAGGLLSGCSCTVSAVKSVPLNIIVIKPINSSGNSNNYKSKEKGSAEGVPQELKIKNKKKMVEKQQQQGKQAISRHRTFEWIKELSHASFLVDNEEEGLDS >Manes.13G114000.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31938079:31940709:1 gene:Manes.13G114000.v8.1 transcript:Manes.13G114000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAEDKWENSDCHKEEEEGEGEEEEALSLCDLPVNLIKEETQPSKEVAQETEASQEDFDFVPWGGSLSTSSEMCAADDIFFQGQILPFRLSVSSESGLTKLRQDSLNLSRCASMSESMDRSSVGGFTSFSSRSSSSRSQFSSSSSCSVTTSTRISKPRIQNQFHTHPSPKPQIKLPTNSLGNAGSNRNRKSTIWEFFRLGLVHTPEIELQDLKVRTSVSRNSSSSSSNSNSSFRIRSNSRVRISSSSQNQENKDKQKKQSFLEKKSGAGGLLSGCSCTVSAVKSVPLNIIVIKPINSSGNSNNYKSKEKGSAEGVPQELKIKNKKKMVEKQQQQGKQAISRHRTFEWIKELSHASFLVDNEEEGLDS >Manes.13G114000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31938059:31940714:1 gene:Manes.13G114000.v8.1 transcript:Manes.13G114000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAEDKWENSDCHKEEEEGEGEEEEALSLCDLPVNLIKEETQPSKEVAQETEASQEDFDFVPWGGSLSTSSEMCAADDIFFQGQILPFRLSVSSESGLTKLRQDSLNLSRCASMSESMDRSSVGGFTSFSSRSSSSRSQFSSSSSCSVTTSTRISKPRIQNQFHTHPSPKPQIKLPTNSLGNAGSNRNRKSTIWEFFRLGLVHTPEIELQDLKVRTSVSRNSSSSSSNSNSSFRIRSNSRVRISSSSQNQENKDKQKKQSFLEKKSGAGGLLSGCSCTVSAVKSVPLNIIVIKPINSSGNSNNYKSKEKGSAEGVPQELKIKNKKKMVEKQQQQGKQAISRHRTFEWIKELSHASFLVDNEEEGLDS >Manes.13G114000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31938079:31940270:1 gene:Manes.13G114000.v8.1 transcript:Manes.13G114000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAEDKWENSDCHKEEEEGEGEEEEALSLCDLPVNLIKEETQPSKEVAQETEASQEDFDFVPWGGSLSTSSEMCAADDIFFQGQILPFRLSVSSESGLTKLRQDSLNLSRCASMSESMDRSSVGGFTSFSSRSSSSRSQFSSSSSCSVTTSTRISKPRIQNQFHTHPSPKPQIKLPTNSLGNAGSNRNRKSTIWEFFRLGLVHTPEIELQDLKVRTSVSRNSSSSSSNSNSSFRIRSNSRVRISSSSQNQENKDKQKKQSFLEKKSGAGGLLSGCSCTVSAVKSVPLNIIVIKPINSSGNSNNYKSKEKGSAEGVPQELKIKNKKKMVEKQQQQGKQAISRHRTFEWIKELSHASFLVDNEEEGLDS >Manes.13G114000.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31938079:31940270:1 gene:Manes.13G114000.v8.1 transcript:Manes.13G114000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAEDKWENSDCHKEEEEGEGEEEEALSLCDLPVNLIKEETQPSKEVAQETEASQEDFDFVPWGGSLSTSSEMCAADDIFFQGQILPFRLSVSSESGLTKLRQDSLNLSRCASMSESMDRSSVGGFTSFSSRSSSSRSQFSSSSSCSVTTSTRISKPRIQNQFHTHPSPKPQIKLPTNSLGNAGSNRNRKSTIWEFFRLGLVHTPEIELQDLKVRTSVSRNSSSSSSNSNSSFRIRSNSRVRISSSSQNQENKDKQKKQSFLEKKSGAGGLLSGCSCTVSAVKSVPLNIIVIKPINSSGNSNNYKSKEKGSAEGVPQELKIKNKKKMVEKQQQQGKQAISRHRTFEWIKELSHASFLVDNEEEGLDS >Manes.13G114000.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31938060:31940780:1 gene:Manes.13G114000.v8.1 transcript:Manes.13G114000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAEDKWENSDCHKEEEEGEGEEEEALSLCDLPVNLIKEETQPSKEVAQETEASQEDFDFVPWGGSLSTSSEMCAADDIFFQGQILPFRLSVSSESGLTKLRQDSLNLSRCASMSESMDRSSVGGFTSFSSRSSSSRSQFSSSSSCSVTTSTRISKPRIQNQFHTHPSPKPQIKLPTNSLGNAGSNRNRKSTIWEFFRLGLVHTPEIELQDLKVRTSVSRNSSSSSSNSNSSFRIRSNSRVRISSSSQNQENKDKQKKQSFLEKKSGAGGLLSGCSCTVSAVKSVPLNIIVIKPINSSGNSNNYKSKEKGSAEGVPQELKIKNKKKMVEKQQQQGKQAISRHRTFEWIKELSHASFLVDNEEEGLDS >Manes.13G114000.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31938060:31940780:1 gene:Manes.13G114000.v8.1 transcript:Manes.13G114000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSAEDKWENSDCHKEEEEGEGEEEEALSLCDLPVNLIKEETQPSKEVAQETEASQEDFDFVPWGGSLSTSSEMCAADDIFFQGQILPFRLSVSSESGLTKLRQDSLNLSRCASMSESMDRSSVGGFTSFSSRSSSSRSQFSSSSSCSVTTSTRISKPRIQNQFHTHPSPKPQIKLPTNSLGNAGSNRNRKSTIWEFFRLGLVHTPEIELQDLKVRTSVSRNSSSSSSNSNSSFRIRSNSRVRISSSSQNQENKDKQKKQSFLEKKSGAGGLLSGCSCTVSAVKSVPLNIIVIKPINSSGNSNNYKSKEKGSAEGVPQELKIKNKKKMVEKQQQQGKQAISRHRTFEWIKELSHASFLVDNEEEGLDS >Manes.03G012100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1013823:1015279:-1 gene:Manes.03G012100.v8.1 transcript:Manes.03G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMSMVDECHSPSLKDRLKSSICCFAAPDDLHESLDSVDDGNRRPQMPRSSYAWLKSRNQDLEIRDKCWRLIGRRGKNRRRYHSADFKYDSTSYSLNFDDDNKRKVELPLNNFMARLPVTPDRLPAVSTTRCELVAWT >Manes.02G017434.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1618638:1619628:1 gene:Manes.02G017434.v8.1 transcript:Manes.02G017434.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMLLFLVSLNKHTLMMVLGHPHAPPSIFSWTLPWFLLSIILTWVSFLSIEVWLQVSPCL >Manes.12G054601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5474607:5476805:-1 gene:Manes.12G054601.v8.1 transcript:Manes.12G054601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEETNFKAHVLILPYPAQGHINPMLQFSKRLVSKGVKATLANTIAINKSMHAHPTSKIDIETYSDGFDDGGFQQAESTEVYLSTLELVGSKTLADLIKRLEVSGRPVSAIVYDGFMPWAYDVAKQFGLLKVLFFTQSCAVNSVYYHVQRGLLPLPVQESSVSVPGLPLLHVSETSSFVSNLGSYPGFFNLVQSQFRNIDEADWVLFNSFYKMEEEVVDWMAKRWRLGTIGPTLPSMYLDKRLKDDKDYGINLFKPDTSTCMNWLKNKPRGSVVYVAFGSMAELGAEQMEELACGLKASNYYFLWVVRASEKAKLPENFIKETSEKSLVISWCPQLEVLAHEATGCFVTHCGFNSVLEALSLGVAIVGMAQWTDQPTNAKFVEDVWKMGKRAQPDEKGVVRREIVELCIREVMEGEKGKEMKENAKKWKNLAREAIDEGGSSDRNIDEFVAQLIN >Manes.01G164000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34802744:34803670:-1 gene:Manes.01G164000.v8.1 transcript:Manes.01G164000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASKHLDLDITIISAKHLKNVNWRKGDLKPYATLYLDNSDHRLATDSDDSGSTKPVWNERFTLPITRPKSDSILTLEILHCEPSETPKPLVGSVKFPLSQLLDSDNSNNSVRTLDLIRPSGRPQGKVRVKLAVKEQSFHPVMQDYHFPPMYSHHYNPAPTPPSPPSRDYRDSPPSPYPYFDHYGYYSSYYPQQQHPSRPLYNRASNYNLPTGPSAPVDPSSSSSYDHRRLPSPPASLQKSYNYCVPSGPSAPIDYSSAYERISGGNQLSRTMEGLKQVQEEESNNEKEKVAGWESHSYRDYRRGY >Manes.05G053900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4475714:4476066:-1 gene:Manes.05G053900.v8.1 transcript:Manes.05G053900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTSLAFCIPFLARGGGFPSPACCLGVRNLQVLTLTTEDKRAACECIKAVGARIPFINEDAASSLPQKCGVDLNIPISRTADCQSIN >Manes.09G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9994146:10001086:1 gene:Manes.09G061100.v8.1 transcript:Manes.09G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSLGDPEYHQETLENLKAAVKSTKKLCAVMLDTVGPELQVINKSEKSISLLADAFVVLTPNQEKEASSEVLPINFDGLSKAVNPGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHASQIRIDLPTLSDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREYLSKLGDLYQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNVAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDLYFKKTVKFVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVVKPRDRVVVCQKLGDASVVKIIELED >Manes.09G061100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9994146:10001086:1 gene:Manes.09G061100.v8.1 transcript:Manes.09G061100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSIEVISGCLKAGMSVARFDFSLGDPEYHQETLENLKAAVKSTKKLCAVMLDTVGPELQVINKSEKSISLLADAFVVLTPNQEKEASSEVLPINFDGLSKAVNPGDTIFIGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHASQIRIDLPTLSDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRQAREYLSKLGDLYQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNVAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNQDLYFKKTVKFVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFSGAFEV >Manes.02G090800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7100405:7115523:1 gene:Manes.02G090800.v8.1 transcript:Manes.02G090800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQDDSGPTEQGPSNSMWWGSDFMEKFESVSLLSQEESLNHKESPRNYEEDGLSSQTASQILWSTGMLSERIPNGFYSVMPDKRLKELFDSIPTLDELRALDGEGIKADIIFVDAKKDKKLSMLKQLIGALVKGLNSNPAAMIKKIAGLVSDFYKRANVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADSVGLESRLMVGLPNDGTAECTDSYKHISVIVVLNSVELLVDLMRFPGQLIPRSTRAIFLTHISAAGESDSAENDSCDSPLEPNSPLCGFSERVDHDSAEKDESLQFHRKLEAAANVSGPALRNMMLRSTTCIDRKLNLSHSEPNIANNFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIRDYADDEVASSYKSEGASTSEARRIRRRSISMTPEVGDDIVRAVRAMNESLKQNRILRQRGDDGSFANSPDDKDNGPDLQKNVSNFDLDCHDEISGRRSALYAVQRDHMNSQKAISLPSSPHQYRSQTSQRRGPSGYVTDEFVSTWNKVLESPMFNNKALLPFQEWNINFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACVKPPHLSMVTEYMEMGSLYYMIHLSGQKKRLSWRRRLKMLCDICRGLMCIHRMKIVHRDLKSANCLVNKHSTVKICDFGLSRIMTETPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISGIHDN >Manes.02G090800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7100405:7115523:1 gene:Manes.02G090800.v8.1 transcript:Manes.02G090800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQDDSGPTEQGPSNSMWWGSDFMEKFESVSLLSQEESLNHKESPRNYEEDGLSSQTASQILWSTGMLSERIPNGFYSVMPDKRLKELFDSIPTLDELRALDGEGIKADIIFVDAKKDKKLSMLKQLIGALVKGLNSNPAAMIKKIAGLVSDFYKRANVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADSVGLESRLMVGLPNDGTAECTDSYKHISVIVVLNSVELLVDLMRFPGQLIPRSTRAIFLTHISAAGESDSAENDSCDSPLEPNSPLCGFSERVDHDSAEKDESLQFHRKLEAAANVSGPALRNMMLRSTTCIDRKLNLSHSEPNIANNFWRRSRKKVIAEQRTASSSYKSEGASTSEARRIRRRSISMTPEVGDDIVRAVRAMNESLKQNRILRQRGDDGSFANSPDDKDNGPDLQKNVSNFDLDCHDEISGRRSALYAVQRDHMNSQKAISLPSSPHQYRSQTSQRRGPSGYVTDEFVSTWNKVLESPMFNNKALLPFQEWNINFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACVKPPHLSMVTEYMEMGSLYYMIHLSGQKKRLSWRRRLKMLCDICRGLMCIHRMKIVHRDLKSANCLVNKHSTVKICDFGLSRIMTETPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISGIHDN >Manes.02G090800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7100405:7115523:1 gene:Manes.02G090800.v8.1 transcript:Manes.02G090800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQDDSGPTEQGPSNSMWWGSDFMEKFESVSLLSQEESLNHKESPRNYEEDGLSSQTASQILWSTGMLSERIPNGFYSVMPDKRLKELFDSIPTLDELRALDGEGIKADIIFVDAKKDKKLSMLKQLIGALVKGLNSNPAAMIKKIAGLVSDFYKRANVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADSVGLESRLMVGLPNDGTAECTDSYKHISVIVVLNSVELLVDLMRFPGQLIPRSTRAIFLTHISAAGESDSAENDSCDSPLEPNSPLCGFSERVDHDSAEKDESLQFHRKLEAAANVSGPALRNMMLRSTTCIDRKLNLSHSEPNIANNFWRRSRKKVIAEQRTASSSYKSEGASTSEARRIRRRSISMTPEVGDDIVRAVRAMNESLKQNRILRQRGDDGSFANSPDDKDNGPDLQKNVSNFDLDCHDEISGRRSALYAVQRDHMNSQKAISLPSSPHQYRSQTSQRRGPSGYVTDEFVSTWNKVLESPMFNNKALLPFQEWNINFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACVKPPHLSMVTEYMEMGSLYYMIHLSGQKKRLSWRRRLKMLCDICRGLMCIHRMKIVHRDLKSANCLVNKHSTVKICDFGLSRIMTETPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISGIHDN >Manes.02G090800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7100405:7115523:1 gene:Manes.02G090800.v8.1 transcript:Manes.02G090800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQDDSGPTEQGPSNSMWWGSDFMEKFESVSLLSQEESLNHKESPRNYEEDGLSSQTASQILWSTGMLSERIPNGFYSVMPDKRLKELFDSIPTLDELRALDGEGIKADIIFVDAKKDKKLSMLKQLIGALVKGLNSNPAAMIKKIAGLVSDFYKRANVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADSVGLESRLMVGLPNDGTAECTDSYKHISVIVVLNSVELLVDLMRFPGQLIPRSTRAIFLTHISAAGESDSAENDSCDSPLEPNSPLCGFSERVDHDSAEKDESLQFHRKLEAAANVSGPALRNMMLRSTTCIDRKLNLSHSEPNIANNFWRRSRKKVIAEQRTASSSYKSEGASTSEARRIRRRSISMTPEVGDDIVRAVRAMNESLKQNRILRQRGDDGSFANSPDDKDNGPDLQKNVSNFDLDCHDEISGRRSALYAVQRDHMNSQKAISLPSSPHQYRSQTSQRRGPSGYVTDEFVSTWNKVLESPMFNNKALLPFQEWNINFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACVKPPHLSMVTEYMEMGSLYYMIHLSGQKKRLSWRRRLKMLCDICRGLMCIHRMKIVHRDLKSANCLVNKHSTVKICDFGLSRIMTETPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.02G090800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7100405:7115523:1 gene:Manes.02G090800.v8.1 transcript:Manes.02G090800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQDDSGPTEQGPSNSMWWGSDFMEKFESVSLLSQEESLNHKESPRNYEEDGLSSQTASQILWSTGMLSERIPNGFYSVMPDKRLKELFDSIPTLDELRALDGEGIKADIIFVDAKKDKKLSMLKQLIGALVKGLNSNPAAMIKKIAGLVSDFYKRANVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADSVGLESRLMVGLPNDGTAECTDSYKHISVIVVLNSVELLVDLMRFPGQLIPRSTRAIFLTHISAAGESDSAENDSCDSPLEPNSPLCGFSERVDHDSAEKDESLQFHRKLEAAANVSGPALRNMMLRSTTCIDRKLNLSHSEPNIANNFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIRDYADDEVASSYKSEGASTSEARRIRRRSISMTPEVGDDIVRAVRAMNESLKQNRILRQRGDDGSFANSPDDKDNGPDLQKNVSNFDLDCHDEISGRRSALYAVQRDHMNSQKAISLPSSPHQYRSQTSQRRGPSGYVTDEFVSTWNKVLESPMFNNKALLPFQEWNINFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACVKPPHLSMVTEYMEMGSLYYMIHLSGQKKRLSWRRRLKMLCDICRGLMCIHRMKIVHRDLKSANCLVNKHSTVKICDFGLSRIMTETPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISGIHDN >Manes.02G090800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7100405:7115523:1 gene:Manes.02G090800.v8.1 transcript:Manes.02G090800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQDDSGPTEQGPSNSMWWGSDFMEKFESVSLLSQEESLNHKESPRNYEEDGLSSQTASQILWSTGMLSERIPNGFYSVMPDKRLKELFDSIPTLDELRALDGEGIKADIIFVDAKKDKKLSMLKQLIGALVKGLNSNPAAMIKKIAGLVSDFYKRANVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADSVGLESRLMVGLPNDGTAECTDSYKHISVIVVLNSVELLVDLMRFPGQLIPRSTRAIFLTHISAAGESDSAENDSCDSPLEPNSPLCGFSERVDHDSAEKDESLQFHRKLEAAANVSGPALRNMMLRSTTCIDRKLNLSHSEPNIANNFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIRDYADDEVASSYKSEGASTSEARRIRRRSISMTPEVGDDIVRAVRAMNESLKQNRILRQRGDDGSFANSPDDKDNGPDLQKNVSNFDLDCHDEISGRRSALYAVQRDHMNSQKAISLPSSPHQYRSQTSQRRGPSGYVTDEFVSTWNKVLESPMFNNKALLPFQEWNINFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACVKPPHLSMVTEYMEMGSLYYMIHLSGQKKRLSWRRRLKMLCDICRGLMCIHRMKIVHRDLKSANCLVNKHSTVKICDFGLSRIMTETPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.02G090800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7100405:7115523:1 gene:Manes.02G090800.v8.1 transcript:Manes.02G090800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQDDSGPTEQGPSNSMWWGSDFMEKFESVSLLSQEESLNHKESPRNYEEDGLSSQTASQILWSTGMLSERIPNGFYSVMPDKRLKELFDSIPTLDELRALDGEGIKADIIFVDAKKDKKLSMLKQLIGALVKGLNSNPAAMIKKIAGLVSDFYKRANVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADSVGLESRLMVGLPNDGTAECTDSYKHISVIVVLNSVELLVDLMRFPGQLIPRSTRAIFLTHISAAGESDSAENDSCDSPLEPNSPLCGFSERVDHDSAEKDESLQFHRKLEAAANVSGPALRNMMLRSTTCIDRKLNLSHSEPNIANNFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIRDYADDEVASSYKSEGASTSEARRIRRRSISMTPEVGDDIVRAVRAMNESLKQNRILRQRGDDGSFANSPDDKDNGPDLQKNVSNFDLDCHDEISGRRSALYAVQRDHMNSQKAISLPSSPHQYRSQTSQRRGPSGYVTDEFVSTWNKVLESPMFNNKALLPFQEWNINFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACVKPPHLSMVTEYMEMGSLYYMIHLSGQKKRLSWRRRLKMLCDICRGLMCIHRMKIVHRDLKSANCLVNKHSTVKICDFGLSRIMTETPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.02G090800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7100405:7115523:1 gene:Manes.02G090800.v8.1 transcript:Manes.02G090800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMQDDSGPTEQGPSNSMWWGSDFMEKFESVSLLSQEESLNHKESPRNYEEDGLSSQTASQILWSTGMLSERIPNGFYSVMPDKRLKELFDSIPTLDELRALDGEGIKADIIFVDAKKDKKLSMLKQLIGALVKGLNSNPAAMIKKIAGLVSDFYKRANVESPAKAALEETSHMFDNRGVQLLGQIKHGSCRPRAILFKVLADSVGLESRLMVGLPNDGTAECTDSYKHISVIVVLNSVELLVDLMRFPGQLIPRSTRAIFLTHISAAGESDSAENDSCDSPLEPNSPLCGFSERVDHDSAEKDESLQFHRKLEAAANVSGPALRNMMLRSTTCIDRKLNLSHSEPNIANNFWRRSRKKVIAEQRTASSSYKSEGASTSEARRIRRRSISMTPEVGDDIVRAVRAMNESLKQNRILRQRGDDGSFANSPDDKDNGPDLQKNVSNFDLDCHDEISGRRSALYAVQRDHMNSQKAISLPSSPHQYRSQTSQRRGPSGYVTDEFVSTWNKVLESPMFNNKALLPFQEWNINFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACVKPPHLSMVTEYMEMGSLYYMIHLSGQKKRLSWRRRLKMLCDICRGLMCIHRMKIVHRDLKSANCLVNKHSTVKICDFGLSRIMTETPMRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEIPEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.06G028500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6600673:6605920:-1 gene:Manes.06G028500.v8.1 transcript:Manes.06G028500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAASAPAKILIAIPLDPDDSKELLSWAISVLARPNDEIIAIHVLVGKETKKHHELIKRKRSQIRQAKAHVISVLGEFARTCQSKQINLEARVGISSSISKGLILEAKSISANYLVLRGLRSRSNRTWLEVLKYCFKHAPEDCTVVSIGKHEQPLQDSDSKEIKSSSRWLCNRSGRGSASPVEKEIESKVKSQKPSPRSVLDGVDGESHSTEDDSISFEGSSITTDSPQLPSKFKTESKTRKQISACKIISAIFTSPLRKRQSSFPNKGKQQPLLKCFTYEEIANATNNFHTDNIVGRGGYSEVYRGDLSDGKRIAVKSLAKDNKDATKEKEFLTELGIIGHVCHPNTAKLVGCCIENGLYLIFDFSQNGNLASAIHGKTSKSLEWAVRFKIILGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFSFGVLLLEIISGRRPVDSSKQNLLLWAKPLMESGKISELADPKLEGRFDEDQMYRAVLTASYCVRQSSVWRPSMSEVLELLTSGHDSQVARSWRMPKFTSDELNDYSMVFGYEVPLDIALEDYL >Manes.06G028500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6600673:6605909:-1 gene:Manes.06G028500.v8.1 transcript:Manes.06G028500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAASAPAKILIAIPLDPDDSKELLSWAISVLARPNDEIIAIHVLVGKETKKHHELIKRKRSQIRQAKAHVISVLGEFARTCQSKQINLEARVGISSSISKGLILEAKSISANYLVLRGLRSRSNRTWLEVLKYCFKHAPEDCTVVSIGKHEQPLQDSDSKEIKSSSRWLCNRSGRGSASPVEKEIESKVKSQKPSPRSVLDGVDGESHSTEDDSISFEGSSITTDSPQLPSKFKTESKTRKQISACKIISAIFTSPLRKRQSSFPNKGKQQPLLKCFTYEEIANATNNFHTDNIVGRGGYSEVYRGDLSDGKRIAVKSLAKDNKDATKEKEFLTELGIIGHVCHPNTAKLVGCCIENGLYLIFDFSQNGNLASAIHGKTSKSLEWAVRFKIILGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFSFGVLLLEIISGRRPVDSSKQNLLLWAKPLMESGKISELADPKLEGRFDEDQMYRAVLTASYCVRQSSVWRPSMSEVLELLTSGHDSQVARSWRMPKFTSDELNDYSMVFGYEVPLDIALEDYL >Manes.07G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26835823:26837436:1 gene:Manes.07G085500.v8.1 transcript:Manes.07G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPSSIVSLSFPLSLLCLPLLAYSECTCDQDPEENDKTKTIRYKLVAISSILIASALGVTIPICGKKISSLNPEKSFFFLIKAFSAGVILSTGFVHIFPDAYESLTSPCLKEKPWGEFPFSGFVAMVSAVMTMMIDSFATSYYRRLHFEKALPVSGNEEMERKHEGHVHVHTHASHGHAHGSALIADASSTSSHFRHRIVSQVLELGILVHSVIIGISLGVSESINTIKPLIAALTFHQFFEGMGLGGCISQAKFKAQSMVVMVIFYSLTTPIGIGIGMGITQSYNENSPTALIVEGVLNSAAAGILIYMALVDLLAEDFMNPKFQSNFRLQLGASISVLVGMGCMSLLAKWA >Manes.05G008800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1057912:1062276:1 gene:Manes.05G008800.v8.1 transcript:Manes.05G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFTLVGVLLVLALFSNVPWLITGSSHCKRTHSAAIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFVDKGGAELFVPAGKWLTGSFDLISHLTLWLDKDAVILGSTNPDDWPVVDPLPSYGQGRELPGGRHRSLIYGRNLTDVVITGDNGTIDGQGTIWWNWFLTKTLTHTRPHLVELMNSSGIVISNLTFINSPFWTIHPVYCSHVIVQNVTIRAPLESPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGISYGRPCRNITIHRLVGQTRTSAGIAIGSEMSGGVSEVHAENIQFYNSNTGIRIKTSPGRGGYVRNIHISNVRLTDVKIAIRFTGLYGEHPDGHYDPEALPIIERITIENVTGDDIEYAGILEGIEADTFLDICLLNVTLNVTSNSPWNCSYIQGYSDSVSPETCESLRESISPHHHSDCYHLSSHLLNSSNQNRGSRLLSW >Manes.05G008800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1058932:1062048:1 gene:Manes.05G008800.v8.1 transcript:Manes.05G008800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHCPTQLFLEKLVGVLLVLALFSNVPWLITGSSHCKRTHSAAIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFVDKGGAELFVPAGKWLTGSFDLISHLTLWLDKDAVILGSTNPDDWPVVDPLPSYGQGRELPGGRHRSLIYGRNLTDVVITGDNGTIDGQGTIWWNWFLTKTLTHTRPHLVELMNSSGIVISNLTFINSPFWTIHPVYCSHVIVQNVTIRAPLESPNTDGIDPDSSDNVCIEDCYISTGDDLIAIKSGWDEYGISYGRPCRNITIHRLVGQTRTSAGIAIGSEMSGGVSEVHAENIQFYNSNTGIRIKTSPGRGGYVRNIHISNVRLTDVKIAIRFTGLYGEHPDGHYDPEALPIIERITIENVTGDDIEYAGILEGIEADTFLDICLLNVTLNVTSNSPWNCSYIQGYSDSVSPETCESLRESISPHHHSDCYHLSSHLLNSSNQNRGSRLLSW >Manes.15G064000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4853587:4858588:1 gene:Manes.15G064000.v8.1 transcript:Manes.15G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKNLRDIQWLLQAITSQTLNLQSISFYLSQPASGCYQETEHSLNVNISKDSISNFSQILSDLGTAKTIQSSLTNIEFHRADWGPEQVLYLGILLQSSPNIKQLVFRQNIFNTECLSELCEILKRNSVIKEVTFSESGVGSVGAGLIAAALKLNESLEELQIWEDSIGSKGAEELSKMIEVNSTLKLLTIFDSHYITATPLISAVLARNRTMEVHVWTGENGGKISKVVEFMPESHTLRIYRLDICGSCRVACSLGWNSTVKSLDMTGVRLKSRWAKEFRWVLEQNRRLKEVRLTKTCLKDKGVVYVAAGLFKNQSLESLYVDGNWFSGIGTEHLLCPLSRFSALQIQANTTLKTLTFGGGKTKIGRDGLTAIIQMLTTNETLTKLGICDDESLKPDDFVKIFRSLERNASLRHLSLQGCRGVQGEFVLKTIMETLQVNPWIEDIDLTRTPLQNSGKADGIYQRLGQNGKTEPEADTADLLKDMPLTEPKSCRVFLCGQEYAGKTTLCNSISQNFSSSKLPYMDQVRTLVNPVEQAVRTNGMKIKTFKDEDTKISIWNLAGQHEFYSLHDLMFPGHGSASCFLIISSLFRKPSNREPKTAEEIEEDLQYWLRFVVSNSRRAIQQCMLPNVTIVLTHCDKINQPSQNLQLMVTSIQRLRDKFQGFVDFYPTVFTIDARSSASVSKLTHHLRRTSKTILERVPRVYQLCNDLIQILSDWRAENYNKPAMKWKEFGELCQVKVPPLRIRSRHDNKEKVEMRRRAVASCLHHIGELIYFDELGFLILDCEWFCSEVLSQLIKLDVRKQSSMENNGFISRKELEKILKGSLQSQIPGMGSKVFENLEASDLVRMMLKLELCCEQDTSDPNSPLLIPSILDEGRGRPQRWQLSSPDCIYAGRHLECDDSSHMFLTPGFFPRLQVHLHNKIMALKNQHGATYSLEKYLISININGIYIRIELGGQLGYYIDVLACSTKNLTETLRLIHQLIIPAIQSLCHGVTLTESVMRPECVQNLTPPRYRKAQNVPVQQLKEALLSVPADSLYDYQHTWDPVMDSGRPILRAGFDLARNLLSDDDFREVLHRRYHDLYNLAAELEVPPERNPDGTDQPSNELDTVDPSFAGIAKGVEQVLQRLKIIEQEIRDLKQEIQGLRYYEHRLLMELHRKVNYLVNYNVQLEERKVPNMFFFVRTENYSRRLVTNLISGMTALRLHMLCEYRREMHVVEDQIGCEIMQVDNRAVKSLAPHIKNFMKLVTFALKIGAHLAAGMGEMIPDLSREVAHLTGSSIMYGAAGAVAAGAVGAAAMGRMEGIRNRSRSAQSSRDIQQEIRAAQQWVMDFLRDRRCSTGKDIAEKFGLWRVRYRDDGQIAWICRRHMSIRANEIIEVPI >Manes.13G092500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27376955:27378181:-1 gene:Manes.13G092500.v8.1 transcript:Manes.13G092500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHFVLIHTICHGAWIWYKLKPVLEAAGHKVTALDLAASGVDPRQIEQINSFDEYSEPLLTFLEELPQGEKVILVGESCGGLNIAIAADKYPEKIAAAVFQNSLLPDTKHKPSYVVDKLLEVFPDWKDTEYFEFSNSNGETITGMVLGLNLMRENLYTVCPPEDYELAKMLTRKGSLFQNILAQREKFTEKGYGSIKKIYVWNEEDKIFLPEFQLWQIANYKPDMVFRVIGGDHKLQLTKTNEIAQILQQVADIYA >Manes.16G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1927895:1931980:-1 gene:Manes.16G018800.v8.1 transcript:Manes.16G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRLFLHPLLMVHHLFILCSSSVDTIARNQTIKEGQLLISKENHFALGFFSPGSSRHRYLGIWFHKIPEQTVVWVANRNNPITGSSGVLSVNDNGDLVLYGDHEQIFPVWSANVSVEVADGCEAQLLDTGNLILFQGTRRNKRILWQSFDYPTNTLLQGMKIGLNKKTGFEWFLTSWKSPHDPATGNYSFKLNPSGSPEFYLYKGGNQYWRSIPWPWRTNADAYNNSFINNLEDKYYTFSVDYSSVIIRLVMEDSGSLKRLTWHENDSQWKEYWSAPKHKCDSFGQCGPYSLCDPYNFTNYECACLPGYEPKFPWDWRLRDGSGGCVRKRLKSSSVCGHEEGFVKLSNVKTPVASAAVWVDMSMSRMNCEKNCKNNCSCSAYASIPIAGKGTGCLAWYGELMDTIDHTYYGYDLYVRVDAMELAERAKKSYPSLKMKGRLPIFVLSIAPVWFFLTIFTCLWLKRRVKKRSRKSVDSVSGCKDIFTTNELLDSNKHQDLAFLSLNTILVATNNLSSANKIGQGGFGSVYKGRLSNGQDIAVKRLSKNSGQGKEEFKNEVMLIAKLQHRNLVKLLGCCIQGEERILIYEYLPNGSLDLFLFDQVRKSYLNWNKRFSIIVGIARGILYLHEDSRLRVIHRDLKGSNILLDAEMNPKISDFGLAKVLKGDQTQCKTSRVVGTYGYMSPEYAIFGRFSIKSDVFSFGVVLLEIVSGKKNNGFTQDVSQSLIGHVWELWREDRPLETVDWSLKDSYNLDEALRCIHVGLLCVQENALDRPTMLQVVLMLSSEIALPSPKQPAFIFRKSCSNSNSSVVGEDGSACSINDVTITAVTTR >Manes.14G165000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26039623:26040444:-1 gene:Manes.14G165000.v8.1 transcript:Manes.14G165000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKYEQWMIRYGRIYEDSDEQHRRFQIFKHNVALVEAFNAVENKSFNININQFADLTQEEFKKYYLGARLPSQSSLNNVQTLNDENIIDLPPSINQEPRPMCNLLKMEINAASCWAFAVAAAIESAYKIKYGRLIALSEQQLLDCVSPGSLKYDYCGYGYPLHAYSYIMANGGLTTEYNYPYQEKKQYCANWRAYQIAVGIQNYYTLPSYSEASIMQALMRQPVVVIIDAYNSGFMFYNEGVFDGKLPDG >Manes.07G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31004706:31012329:-1 gene:Manes.07G104300.v8.1 transcript:Manes.07G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAIPGGTRFCSGFTRLCKGLAVVLVAGHIVVQILPSAVSYLALIPAKTIPFAWNLITAGYIEQSIHGVVVSTLCLLVMGKLLEPVWGSKEFLKFIFIVNFLTSVCVFITTIALYYIMRQENYLYLPISGFQGVLSGFLVGMKQIIPDQELSLLRIKAKWLPSIAVLLATAVSLFTPDSTAYLPTIIFGTYMSWIYLRYLQRKPEAKLRGDPNDDFAFSTFFPEFLRPVIDPIASIFHRMLCGISETSTEAHGYTLGGAPLPGSDPIEASRRRERGARALEERLAAERLAAAARSEEESKKDALENV >Manes.15G173400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15189181:15193884:-1 gene:Manes.15G173400.v8.1 transcript:Manes.15G173400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGGKAAASSKAAASADNGSVDKLSSGVGAIQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSLLELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPDHMDIYHLSREIEASDMSALQAVISCDEERLKLEKEAEILSAQDDGGGETLERIYERLEAIDASTAEKRAAEILYGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKRFDRILVVVSHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFVDVGKLPPPVLQFVEVKFGYTPDNLIYRNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPSDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMIKEYPGNEEERMRAAIGKFGLTGKAQVMPMKNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAEEIWVCENQAVTRWEGDIMDFKEHLKKKAGLSD >Manes.02G221381.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22897431:22951869:-1 gene:Manes.02G221381.v8.1 transcript:Manes.02G221381.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKANLDISEETSPDLLKNTPSNIARLEDVIEHSKGRQKYLAQTRSPSDGTDVRWYFCKVPLAGNELAASIPRTEIVGKGDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPRSRPASSKKFGSAHSIESAEGNQLYEVEEERVGVPVKGGLYEVDLVKRLCFPVYWNGENRRVLRGHWFARKLGLDWLPLREDVAEQLEVAYCRKIWRRRTFQPTGLFAARIDLQGSTPGLHALFTGEDDTWEAWLNIDASGFSSFISLSGNGINLRRGYSASRSAKPTQDELRQQQEEEMDDYCSQVPVRHVVFMVHGIGQRLEKSNLVDDVGDFRHITAGLAERHLTAHQRGAQRVLYIPCQWRKGLKLSGEAAVEKITLEGVRGLRIMLSATVHDVLYYMSPIYCQDIINSVSNQLNCLYLKFIKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMDWMYKEHDRSDQSSLDIKNQPSVIDFPTNQEGDKSSVLDGTMENVDPVHKECPDGHASKFSTIKNQLVDLEQLPAVVMEAKAKQRSDEKGDHELGSDSSDRLTQERGQSVEASEVKCDDHINGFEKMVAEGHNDTSNKDEAIKFLREEIDSLKAKIAELESQCDSKDTSDGMHQVNVATTTKQPICENLPSGSDDTPKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNVRIGIGKGQEYWREENINEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYTTKRPVIIPYHKGGRRLHIGFQEFVEDLAARSQAITDHLNFIKVKVLTVCQSKSIDGIEEGVENVQEKEERSYGSLMMERLTGTEGRIDHMLQAKTFEHPYLQAIGSHTNYWRDCDTSLFILKHLYKDIPEDADLPEGSSEHNSKDESTSARWTDRREIKEEEELPLTFSDRMMVKNFSRKAKKHMKKT >Manes.02G221381.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22897431:22951838:-1 gene:Manes.02G221381.v8.1 transcript:Manes.02G221381.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKANLDISEETSPDLLKNTPSNIARLEDVIEHSKGRQKYLAQTRSPSDGTDVRWYFCKVPLAGNELAASIPRTEIVGKGDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPRSRPASSKKFGSAHSIESAEGNQLYEVEEERVGVPVKGGLYEVDLVKRLCFPVYWNGENRRVLRGHWFARKLGLDWLPLREDVAEQLEVAYCRKIWRRRTFQPTGLFAARIDLQGSTPGLHALFTGEDDTWEAWLNIDASGFSSFISLSGNGINLRRGYSASRSAKPTQDELRQQQEEEMDDYCSQVPVRHVVFMVHGIGQRLEKSNLVDDVGDFRHITAGLAERHLTAHQRGAQRVLYIPCQWRKGLKLSGEAAVEKITLEGVRGLRIMLSATVHDVLYYMSPIYCQDIINSVSNQLNCLYLKFIKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMDWMYKEHDRSDQSSLDIKNQPSVIDFPTNQEGDKSSVLDGTMENVDPVHKECPDGHASKFSTIKNQLVDLEQLPAVVMEAKAKQRSDEKGDHELGSDSSDRLTQERGQSVEASEVKCDDHINGFEKMVAEGHNDTSNKDEAIKFLREEIDSLKAKIAELESQCDSKDTSDGMHQVNVATTTKQPICENLPSGSDDTPKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNVRIGIGKGQEYWREENINEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYTTKRPVIIPYHKGGRRLHIGFQEFVEDLAARSQAITDHLNFIKVYIGLHLQTFILSNFF >Manes.02G221381.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22897431:22951836:-1 gene:Manes.02G221381.v8.1 transcript:Manes.02G221381.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKANLDISEETSPDLLKNTPSNIARLEDVIEHSKGRQKYLAQTRSPSDGTDVRWYFCKVPLAGNELAASIPRTEIVGKGDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPRSRPASSKKFGSAHSIESAEGNQLYEVEEERVGVPVKGGLYEVDLVKRLCFPVYWNGENRRVLRGHWFARKLGLDWLPLREDVAEQLEVAYCRKIWRRRTFQPTGLFAARIDLQGSTPGLHALFTGEDDTWEAWLNIDASGFSSFISLSGNGINLRRGYSASRSAKPTQDELRQQQEEEMDDYCSQVPVRHVVFMVHGIGQRLEKSNLVDDVGDFRHITAGLAERHLTAHQRGAQRVLYIPCQWRKGLKLSGEAAVEKITLEGVRGLRIMLSATVHDVLYYMSPIYCQDIINSVSNQLNCLYLKFIKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMDWMYKEHDRSDQSSLDIKNQPSVIDFPTNQEGDKSSVLDGTMENVDPVHKECPDGHASKFSTIKNQLVDLEQLPAVVMEAKAKQRSDEKGDHELGSDSSDRLTQERGQSVEASEVKCDDHINGFEKMVAEGHNDTSNKDEAIKFLREEIDSLKAKIAELESQCDSKDTSDGMHQVNVATTTKQPICENLPSGSDDTPKSYTPYIRYTKLEFKVDTFFAVGSPLGVFLALRNVRIGIGKGQEYWREENINEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYTTKRPVIIPYHKGGRRLHIGFQEFVEDLAARSQAITDHLNFIKVKVLTVCQSKSIDGIEEGVENVQEKEERSYGSLMMERLTGTEGRIDHMLQAKTFEHPYLQAIGSHTNYWRDCDTSLFILKHLYKDIPEDADLPEGSSEHNSKDESTSARWTDRREIKEEEELPLTFSDRMMVKNFSRKAKKHMKKT >Manes.06G169800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29475495:29478442:-1 gene:Manes.06G169800.v8.1 transcript:Manes.06G169800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVNNISMSPENFSPASYPSYGWLSPRISFSREEDASKQPGSSKSASCDTPAEDPSEILDPVDFEFRLDDPVTMLPADELFSDGMLMPLQVTSIKPVNAVSEIRSPETAKSCRRMEMEISSTDPYFSPKAPRCSSRWKELLGLKKLYQNANGKAEAHKTTSASSSNPNPKSLKHFLHRSSKSCSCNSSSLDHSLSLPLLKDSDCESVSISSSRLSLSSSSSSHEHEDLPRLSLDSEKPNPSLNSLQNPNPDPNPFVINRNPNQNPPRMRMVKPRSDSNCSNNSNGSNNPTAGTRVGRSPMRRAVGESSGVTSRGVSVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRFKQRGMERSYSANVRVTPVLNVPVCSLRGTSKSVSVFGFGQLFSSSPQKREGSNKGQQQQQQQQQQMNRRNRTDRT >Manes.16G059700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22633055:22636147:-1 gene:Manes.16G059700.v8.1 transcript:Manes.16G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPHHRAIPKEVEIQKDPLKWVLRPRALNIAWGNDSRNWEMPKAGEKDPAKLWMVSWFEVTGTIKDTNIVKGKSFEISFKVDMEEAFEWTDLPVFMMAKLGKQGKCKWEKVPLSDGQEEAPVINMLIQVEDDNHEHTLYFGLYEIWSAKCKVGMKIHHVEVTSK >Manes.16G111900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31722272:31732216:-1 gene:Manes.16G111900.v8.1 transcript:Manes.16G111900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSRACIGEVCVPRDPRIKHQQQQSQTLQAPQNARGTGLPAFSPASTSPETETRDQVNQLSVTRDPELGITRLSRVSSQFLPPDGSRTVKVPSGNYELRYSYLSQRGYYPDALDKANQDSFCIHTPFGSSPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRSTKFHVDAVEACHSAFLTTNSQLHADSVDDSMSGTTAITVLVRGRSIYVANSGDSRAVIAERRGKEIAAIDLSIDQTPFRADELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVSNPEIVVFELTPHHPFFVLASDGVFEFLSSQAVVEMVEKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHVDGLTDSVVSQSTGPDSVLRAPIPQVVEVAGSESPATFSWNSRNHRVRHDISRARLRAIESSLENGKIWVPPSPAHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGEVVVKQGGEGDCFYVVGSGEFEVFATQEEKNGEVPKVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRTVDLLSRLTILQLSHIADSLSEVSFSDGQTVVDGNEVSSALYIIQRGQVRLTFDAHILGSPNVGSLKSDNQKEDNNPISGKMLSLVKTEGSYFGEWALLGEHIGSLSAIAVGDCTCSILTKEKFDSVVGPLTKLSQDVEKSRGSSSDFSKESMESTDIPAPLKVCLFDLEWRTCLYSTDCSEIGLVLLKDTENLFSLKRFSKQKVKRLGKEAQVLKEKNLIKSLNPSACVPQVLCTCADRTHAGILLNACLACPLASILHTALDESSARFCAASVVIALEDLHKNGVLYRGVSPDVLMLDQTGFLQLVDFRFGKKLSGERTFTICGMADSLAPEIVQGKGHGLPADWWALGVLIYFMLQGEMPFGSWRESELDTYAKVAKGQLTLPPTFSPEAADLITKLLEVDEDKRLGCLGADSVKNHPWFAGTDWKGIRDRSLPVPDDITVRVNQHLESHYEDRTVPLASPSRDIGDLNVPEWLDDW >Manes.16G111900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31722272:31732216:-1 gene:Manes.16G111900.v8.1 transcript:Manes.16G111900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSRACIGEVCVPRDPRIKHQQQQSQTLQAPQNARGTGLPAFSPASTSPETETRDQVNQLSVTRDPELGITRLSRVSSQFLPPDGSRTVKVPSGNYELRYSYLSQRGYYPDALDKANQDSFCIHTPFGSSPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRSTKFHVDAVEACHSAFLTTNSQLHADSVDDSMSGTTAITVLVRGRSIYVANSGDSRAVIAERRGKEIAAIDLSIDQTPFRADELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVSNPEIVVFELTPHHPFFVLASDGVFEFLSSQAVVEMVEKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHVDGLTDSVVSQSTGPDSVLRAPIPQVVEVAGSESPATFSWNSRNHRVRHDISRARLRAIESSLENGKIWVPPSPAHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGEVVVKQGGEGDCFYVVGSGEFEVFATQEEKNGEVPKVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRTVDLLSRLTILQLSHIADSLSEVSFSDGQTVVDGNEVSSALYIIQRGQVRLTFDAHILGSPNVGSLKSDNQKEDNNPISGKMLSLVKTEGSYFGEWALLGEHIGSLSAIAVGDCTCSILTKEKFDSVVGPLTKLSQDVEKSRGSSSDFSKESMESTDIPAPLKVCLFDLEWRTCLYSTDCSEIGLVLLKDTENLFSLKRFSKQKVKRLGKEAQVLKEKNLIKSLNPSACVPQVLCTCADRTHAGILLNACLACPLASILHTALDESSARFCAASVVIALEDLHKNGVLYRGVSPDVLMLDQTGFLQLVDFRFGKKLSGERTFTICGMADSLAPEIVQGKGHGLPADWWALGVLIYFMLQGEMPFGSWRESELDTYAKVAKGQLTLPPTFSPEAADLITKLLEVDEDKRLGCLGADSVKNHPWFAGTDWKGIRDRSLPVPDDITVRVNQHLESHYEDRTVPLASPSRDIGDLNVPEWLDDW >Manes.16G111900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31722272:31732216:-1 gene:Manes.16G111900.v8.1 transcript:Manes.16G111900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSRACIGEVCVPRDPRIKHQQQQSQTLQAPQNARGTGLPAFSPASTSPETETRDQVNQLSVTRDPELGITRLSRVSSQFLPPDGSRTVKVPSGNYELRYSYLSQRGYYPDALDKANQDSFCIHTPFGSSPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRSTKFHVDAVEACHSAFLTTNSQLHADSVDDSMSGTTAITVLVRGRSIYVANSGDSRAVIAERRGKEIAAIDLSIDQTPFRADELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVSNPEIVVFELTPHHPFFVLASDGVFEFLSSQAVVEMVEKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHVDGLTDSVVSQSTGPDSVLRAPIPQVVEVAGSESPATFSWNSRNHRVRHDISRARLRAIESSLENGKIWVPPSPAHRKTWEEEAHIERALHDHFLFRKLTDSQCHVLLDCMQRVEVQPGEVVVKQGGEGDCFYVVGSGEFEVFATQEEKNGEVPKVLQRYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNLSSLKLLRTVDLLSRLTILQLSHIADSLSEVSFSDGQTVVDGNEVSSALYIIQRGQVRLTFDAHILGSPNVGSLKSDNQKEDNNPISGKMLSLVKTEGSYFGEWALLGEHIGSLSAIAVGDCTCSILTKEKFDSVVGPLTKLSQDVEKSRGSSSDFSKESMESTDIPAPLKVCLFDLEWRTCLYSTDCSEIGLVLLKDTENLFSLKRFSKQKVKRLGKEAQVLKEKNLIKSLNPSACVPQVLCTCADRTHAGILLNACLACPLASILHTALDESSARFCAASVVIALEDLHKNGVLYRGVSPDVLMLDQTGFLQLVDFRFGKKLSGERTFTICGMADSLAPEIVQGKGHGLPADWWALGVLIYFMLQGEMPFGSWRESELDTYAKVAKGQLTLPPTFSPEAADLITKLLEVDEDKRLGCLGADSVKNHPWFAGTDWKGIRDRSLPVPDDITVRVNQHLESHYEDRTVPLASPSRDIGDLNVPEWLDDW >Manes.01G018500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4369774:4383438:1 gene:Manes.01G018500.v8.1 transcript:Manes.01G018500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPACSTMIHYLDRSPSRSRSCASNSSLRVCFSSTKPASLTFSKPTSDHYQHPRKLTVSATAADNRSNISNTSLSANGPAPSLPISKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLQQVVEQLQKLVNVLKVEDLSSEPQVERELMLIKVNADPKYRAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGECAPFWRFSAASYPDLGEARLDDALLGTKSKAVIGEDTSAGGDVYPVEPSDSFTVTQVLDAHWGVLNEDDTTGLRSHTLSMLVNDSPGVLNVVTGVFARRGYNIQSLAVGHAETEGLSRITTVVPGTDESISKLVQQLYKLIELHEVKDLTHLPFAERELMLIKIAVNAAARRDVLDIASIFRARAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGRIALVRESGVDSKYLRGYSFPI >Manes.01G018500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4369766:4383479:1 gene:Manes.01G018500.v8.1 transcript:Manes.01G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPACSTMIHYLDRSPSRSRSCASNSSLRVCFSSTKPASLTFSKPTSDHYQHPRKLTVSATAADNRSNISNTSLSANGPAPSLPISKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLQQVVEQLQKLVNVLKVEDLSSEPQVERELMLIKVNADPKYRAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGECAPFWRFSAASYPDLGEARLDDALLGTKSKAVIGEDTSAGGDVYPVEPSDSFTVTQVLDAHWGVLNEDDTTGLRSHTLSMLVNDSPGVLNVVTGVFARRGYNIQSLAVGHAETEGLSRITTVVPGTDESISKLVQQLYKLIELHEVKDLTHLPFAERELMLIKIAVNAAARRDVLDIASIFRARAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGRIALVRESGVDSKYLRGYSFPI >Manes.01G018500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4369773:4383514:1 gene:Manes.01G018500.v8.1 transcript:Manes.01G018500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPACSTMIHYLDRSPSRSRSCASNSSLRVCFSSTKPASLTFSKPTSDHYQHPRKLTVSATAADNRSNISNTSLSANGPAPSLPISKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDRVLQQVVEQLQKLVNVLKVEDLSSEPQVERELMLIKVNADPKYRAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGECAPFWRFSAASYPDLGEARLDDALLGTKSKAVIGEDTSAGGDVYPVEPSDSFTVTQVLDAHWGVLNEDDTTGLRSHTLSMLVNDSPGVLNVVTGVFARRGYNIQSLAVGHAETEGLSRITTVVPGTDESISKLVQQLYKLIELHEVKDLTHLPFAERELMLIKIAVNAAARRDVLDIASIFRARAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGRIALVRESGVDSKYLRGYSFPI >Manes.06G171000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29551242:29552952:1 gene:Manes.06G171000.v8.1 transcript:Manes.06G171000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYILMLSLLFYSGCAKMCELGRVSGMIVHSDEPCIKSSDSTYNFTRLYLIFQQAIFYFEPCNI >Manes.03G053565.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5162448:5174220:1 gene:Manes.03G053565.v8.1 transcript:Manes.03G053565.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLRLSVFPRQKFSFAYTTRHRLDDAFDYNAAHLRKRRRFIRRPRAIPYLTLSSSKPQLQDSPLKVHERPKDSPVLLDVSGMMCGGCVSRVKSLLAADDRIESVVVNMLTETAALRLKTEAVESETSEDIADSLARRLTDCGFEAKRRVSGPGVKENVNKWREMVQKKQELLVKSRNRVAFAWTLVALCCGSHASHILHSLGIHVAHGLFWEVLHNSYVKGGLALSALIGPGRDLLFDGIRAFKKGSPNMNSLVGFGSAAAFIISAISLLNPELKWGASFFDEPVMLLGFVLLGRSLEEKARIKASSDMNELLSLMSTQSRLVITSSDSNSPADSVLCSDAICVEVPTDDVRVGDTLLVLPGETIPVDGRVLAGRSVVDESMLTGESLPVFKEEGLEVSAGTINWDGPLRIEASSIGSNSTISRIFRMVEEAQGHEAPIQRLADSIAGPFVYSVMTISAVTFAFWYYIGSHVFPDVLLNDIAGPDGDALLLSLKLAIDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDILERFSTIDYIALDKTGTLTEGKPAVSAVASIAYKESEILQIAAAVEKTALHPIAKAIVNEAESLKLTIPETRGQLTEPGFGTLAEIDGSLVAVGSLDWVHERFQTRTNLSDLRNLENAVTCESSKGILSSNYSRTIVYVGREGEGIIGAIAISDSLRRDAESTVHR >Manes.03G053565.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5162448:5174220:1 gene:Manes.03G053565.v8.1 transcript:Manes.03G053565.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLRLSVFPRQKFSFAYTTRHRLDDAFDYNAAHLRKRRRFIRRPRAIPYLTLSSSKPQLQDSPLKVHERPKDSPVLLDVSGMMCGGCVSRVKSLLAADDRIESVVVNMLTETAALRLKTEAVESETSEDIADSLARRLTDCGFEAKRRVSGPGVKENVNKWREMVQKKQELLVKSRNRVAFAWTLVALCCGSHASHILHSLGIHVAHGLFWEVLHNSYVKGGLALSALIGPGRDLLFDGIRAFKKGSPNMNSLVGFGSAAAFIISAISLLNPELKWGASFFDEPVMLLGFVLLGRSLEEKARIKASSDMNELLSLMSTQSRLVITSSDSNSPADSVLCSDAICVEVPTDDVRVGDTLLVLPGETIPVDGRVLAGRSVVDESMLTGESLPVFKEEGLEVSAGTINWDGPLRIEASSIGSNSTISRIFRMVEEAQGHEAPIQRLADSIAGPFVYSVMTISAVTFAFWYYIGSHVFPDVLLNDIAGPDGDALLLSLKLAIDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDILERFSTIDYIALDKTGTLTEGKPAVSAVASIAYKESEILQIAAAVEKTALHPIAKAIVNEAESLKLTIPETRGQLTEPGFGTLAEIDGSLVAVGSLDWVHERFQTRTNLSDLRNLENAVTCESSKGILSSNYSRTIVYVGREGEGIIGAIAISDSLRRDAESTVHRLQLKGINTLIISGDREEAVANIGKRVGIGTEFINASLTPRQKSGVISSLQAAGHRVAMVGDGINDAPSLALADVGIALQNEAQENAASNVASIILLGNRLSQAVDALDLARATMAKVYQNLSWAIAYNIVAIPIAAGALLPQYDFAMTPSLSGGLMALSSIFVVTNSLLLQLHQPERSSR >Manes.03G053565.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5162448:5174221:1 gene:Manes.03G053565.v8.1 transcript:Manes.03G053565.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLLRLSVFPRQKFSFAYTTRHRLDDAFDYNAAHLRKRRRFIRRPRAIPYLTLSSSKPQLQDSPLKVHERPKDSPVLLDVSGMMCGGCVSRVKSLLAADDRIESVVVNMLTETAALRLKTEAVESETSEDIADSLARRLTDCGFEAKRRVSGPGVKENVNKWREMVQKKQELLVKSRNRVAFAWTLVALCCGSHASHILHSLGIHVAHGLFWEVLHNSYVKGGLALSALIGPGRDLLFDGIRAFKKGSPNMNSLVGFGSAAAFIISAISLLNPELKWGASFFDEPVMLLGFVLLGRSLEEKARIKASSDMNELLSLMSTQSRLVITSSDSNSPADSVLCSDAICVEVPTDDVRVGDTLLVLPGETIPVDGRVLAGRSVVDESMLTGESLPVFKEEGLEVSAGTINWDGPLRIEASSIGSNSTISRIFRMVEEAQGHEAPIQRLADSIAGPFVYSVMTISAVTFAFWYYIGSHVFPDVLLNDIAGPDGDALLLSLKLAIDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDILERFSTIDYIALDKTGTLTEGKPAVSAVASIAYKESEILQIAAAVEKTALHPIAKAIVNEAESLKLTIPETRGQLTEPGFGTLAEIDGSLVAVGSLDWVHERFQTRTNLSDLRNLENAVTCESSKGILSSNYSRTIVYVGREGEGIIGAIAISDSLRRDAESTVHRLQLKGINTLIISGDREEAVANIGKRVGIGTEFINASLTPRQKSGVISSLQAAGHRVAMVGDGINDAPSLALADVGIALQNEAQENAASNVASIILLGNRLSQAVDALDLARATMAKVYQNLSWAIAYNIVAIPIAAGALLPQYDFAMTPSLSGE >Manes.18G015150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1596424:1597771:1 gene:Manes.18G015150.v8.1 transcript:Manes.18G015150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLSQTAVLKQILKRCSSLGKKQGGYHDQEGLPLDVPKGHFVVYVGENRSRYIVPISFLNRPEFQSLLQQAEEEFGFDHDMGLTIPCQEEVFQSLTSMLR >Manes.11G007200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:814322:817048:-1 gene:Manes.11G007200.v8.1 transcript:Manes.11G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLRSVKVPPNSASLEEARARVFDFFRTACRSIPQIMDIYNLQDVVTVSQLRSTIASEIRKNSQITNPKVIDLLLFKGMEELNNITEHAKQRHHIIGQYVVGQQGLVQDLDTKDQGISEFLKNFYKSNYF >Manes.09G116700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31930975:31943835:-1 gene:Manes.09G116700.v8.1 transcript:Manes.09G116700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRACSPDLNGTVLEEIPPPPPPPPPPPPLPRPSISNQAGISAETWQGAEAVTKGIIDQVQPTFVSEQRRKAVIDYVQRLIRNSLGCEVFPFGSVPLKTYLPDGDIDLTAFGGMNIEEALANDVCSVLEREDQSRTAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGKDHLFKCSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFNLFHSSLNGPLAVLYKFLDYFSKFDWENYCISLNGPVRISSLPEIVVEAPENGGFDLLLSNDFLKECLEMFSVPARAYEANVRTFSLKHLNVVDPLKENNNLGRSVSKGNFYRIKSAFTYGARKLGRILSQPEESITDELSKFFSNTLDRHGSGQRPDVQDPVPSDRYPGFAGTTTFPGAELYEEDHTICESESLDSSGVTGECRLNHEQPLHRGHVKVSGGKVNGTIDELQNSANGATFSDNRLSGDAKDLATSRLQSLSISNDAGKSSAPSAEENESLMAKAYHTPHLYFSSSVTGDGEMINGSPELQHQESSGFTMKRVSSGIMPVPAEDTVYPVCNDIDNKQLVTSPEVLSPVRSTSHPLRFSSMAWSSEDLFPSLSSNQGSASTAGNPEAFKSLSDLSGDYESHLNSLHHGRWWYEYAFSSSLPSMSPQLLTQFQSKNSWDVIRRSGQFRKDVFSQMNVNGVVPRSVFYPMNPPMLPGGAFGMEEMPKPRGTGTYFPNTNHYKDRTLTARGRSQAPVRSPRSNSNGRIATSLEKILPDRNCRERELSQAQFHSHQGSGKFGFSDLRYASSPENKHYSNVNGSMHLSERMVEYGSIGHPPLVVSTTGGGGHPNSGSAPAHNSSVSPAIPGMQGPKPVPAIDHDRIAIQSYQLKDEGDFPPLSESSGKGLSICMVKKLERSEQ >Manes.09G116700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31930975:31943772:-1 gene:Manes.09G116700.v8.1 transcript:Manes.09G116700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRACSPDLNGTVLEEIPPPPPPPPPPPPLPRPSISNQAGISAETWQGAEAVTKGIIDQVQPTFVSEQRRKAVIDYVQRLIRNSLGCEVFPFGSVPLKTYLPDGDIDLTAFGGMNIEEALANDVCSVLEREDQSRTAEFVVKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGLCTLCFLEQVDRLIGKDHLFKCSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFNLFHSSLNGPLAVLYKFLDYFSKFDWENYCISLNGPVRISSLPEIVVEAPENGGFDLLLSNDFLKECLEMFSVPARAYEANVRTFSLKHLNVVDPLKENNNLGRSVSKGNFYRIKSAFTYGARKLGRILSQPEESITDELSKFFSNTLDRHGSGQRPDVQDPVPSDRYPGFAGTTTFPGAELYEEDHTICESESLDSSGVTGECRLNHEQPLHRGHVKVSGGKVNGTIDELQNSANGATFSDNRLSGDAKDLATSRLQSLSISNDAGKSSAPSAEENESLMAKAYHTPHLYFSSSVTGDGEMINGSPELQHQESSGFTMKRVSSGIMPVPAEDTVYPVCNDIDNKQLVTSPEVLSPVRSTSHPLRFSSMAWSSEDLFPSLSSNQGSASTAGNPEAFKSLSDLSGDYESHLNSLHHGRWWYEYAFSSSLPSMSPQLLTQFQSKNSWDVIRRSGQFRKDVFSQMNVNGVVPRSVFYPMNPPMLPGGAFGMEEMPKPRGTGTYFPNTNHYKDRTLTARGRSQAPVRSPRSNSNGRIATSLEKILPDRNCRERELSQAQFHSHQGSGKFGFSDLRYASSPENKHYSNVNGSMHLSERMVEYGSIGHPPLVVSTTGGGGHPNSGSAPAHNSSVSPAIPGMQGPKPVPAIDHDRIAIQSYQLKDEGDFPPLSESSGKGLSICMVKKLERSEQ >Manes.11G007300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:835317:838990:1 gene:Manes.11G007300.v8.1 transcript:Manes.11G007300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMIGLETDIPYMKRNFRQASVIACGGLIACSAFGAAITIFVIRMLIIKEHEFIFAHMIMIILASSAPPVVIRLINELKFDTADVGRLAISSSLINEMSCMIWYDIVIAFTSGKMFGNGMVCLFFTLVATILNRFLVIWYNGRRQDLMYLPGTDVLTILSPTIFFSFLIEEFGYNSTISCFFMGVMFPREGKTTRTLLHKLTYSVNNFILPIYFGFNGFRFDISYLGSFRNLIVVVLVTVLSIAGKIIGTLAACHYLKIPRNEAVILAFILNLKGHGELLLIDVVPKSNAFGWWDDNFHNLVIIVVVLNTFIAGPVVSCILKRGEKYSQGHNSLEIDDGPQSELRMLLCVYSSRHISAKIGLVSALNSFQKVPIRPYLIHLIELPKKRCKTNLMYHELEDGDQFSDEEDYGGNDVVEISDAVDNFSTDNKVVVYHKRIISSFESMFQDVCNIAEDLRVSILILTYHKHQRLDAKMETSKEGIRMNNQKILRHARCSIGIFVDKGQTGFQQPNPELEQNVLTLFFGGPDDREALAVSKRIACHPRINLTVTRFIQATLEDQDKATISSSDEVLLTISTTSEAEDATDNAFIDDFRNRYVSSGNVRYVEKHVNNGVETLEGLKELKEIYSLIIIGKSSRGCSSMTTGLSDQEECTELGAVGDFLASSEFNISSSILVIQQNRHSKNHPHCLGCD >Manes.11G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:835317:838990:1 gene:Manes.11G007300.v8.1 transcript:Manes.11G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARRAVCTDDFLNPLTSTFMQSCVMLLISQFFHVILKPLGQPGPVAQILAGLVLGPSLLCRIKKVRDLFDQRDFSDYTVIVSFVFRILFMFMIGLETDIPYMKRNFRQASVIACGGLIACSAFGAAITIFVIRMLIIKEHEFIFAHMIMIILASSAPPVVIRLINELKFDTADVGRLAISSSLINEMSCMIWYDIVIAFTSGKMFGNGMVCLFFTLVATILNRFLVIWYNGRRQDLMYLPGTDVLTILSPTIFFSFLIEEFGYNSTISCFFMGVMFPREGKTTRTLLHKLTYSVNNFILPIYFGFNGFRFDISYLGSFRNLIVVVLVTVLSIAGKIIGTLAACHYLKIPRNEAVILAFILNLKGHGELLLIDVVPKSNAFGWWDDNFHNLVIIVVVLNTFIAGPVVSCILKRGEKYSQGHNSLEIDDGPQSELRMLLCVYSSRHISAKIGLVSALNSFQKVPIRPYLIHLIELPKKRCKTNLMYHELEDGDQFSDEEDYGGNDVVEISDAVDNFSTDNKVVVYHKRIISSFESMFQDVCNIAEDLRVSILILTYHKHQRLDAKMETSKEGIRMNNQKILRHARCSIGIFVDKGQTGFQQPNPELEQNVLTLFFGGPDDREALAVSKRIACHPRINLTVTRFIQATLEDQDKATISSSDEVLLTISTTSEAEDATDNAFIDDFRNRYVSSGNVRYVEKHVNNGVETLEGLKELKEIYSLIIIGKSSRGCSSMTTGLSDQEECTELGAVGDFLASSEFNISSSILVIQQNRHSKNHPHCLGCD >Manes.15G171966.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15339224:15344181:-1 gene:Manes.15G171966.v8.1 transcript:Manes.15G171966.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIAIFTQKILTNSNRITTTVTTILCSQSNTLNPRANGFALFRLLSFAPMQRRAPDPDDPSTLMKEDGVSVCSRMWIENFREPDRVANNLTSYLRRFELWVLAYQKVCTDEMGAYMPRSAIQRSALEDLLALRNAVLDDRFKWGARLQFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKIVQEVLLMILEPIYEARFSQKSFAFRPGRNAHTALRVIRRSFAGYLWYIKGDFSTLLDGMKVGLVISALMRDVRDKKVIDMVKAALTTPVITSSVEEPKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKVPSWGHCGILSPLLANICLDELDRWMEGKVKEFYHPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGVRGPRADAATLRKQLIEFVDQRYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQSIKQFRKLNFIKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTIVEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPEYHNLLRMGLVESVDGLQYTRMSLVPETDYTPFPSNWRPNHEKALFEYIRLDDTKTLEEQRCCIREQGLVSPQDYISMLVWNYKRNAIVIDRTSHSSGNYTEKDKQLLLSNKHENDDQKSKEGEEHEDGFYAVQL >Manes.15G171966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15339190:15344392:-1 gene:Manes.15G171966.v8.1 transcript:Manes.15G171966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIAIFTQKILTNSNRITTTVTTILCSQSNTLNPRANGFALFRLLSFAPMQRRAPDPDDPSTLMKEDGVSVCSRMWIENFREPDRVANNLTSYLRRFELWVLAYQKVCTDEMGAYMPRSAIQRSALEDLLALRNAVLDDRFKWGARLQFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKIVQEVLLMILEPIYEARFSQKSFAFRPGRNAHTALRVIRRSFAGYLWYIKGDFSTLLDGMKVGLVISALMRDVRDKKVIDMVKAALTTPVITSSVEEPKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKVPSWGHCGILSPLLANICLDELDRWMEGKVKEFYHPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGVRGPRADAATLRKQLIEFVDQRYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQSIKQFRKLNFIKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTIVEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPEYHNLLRMGLVESVDGLQYTRMSLVPETDYTPFPSNWRPNHEKALFEYIRLDDTKTLEEQRCCIREQGLVSPQDYISMLVWNYKRNAIVIDRTSHSSGNYTEKDKQLLLSNKHENDDQKSKEGEEHEDGFYAVQL >Manes.15G171966.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15339065:15344410:-1 gene:Manes.15G171966.v8.1 transcript:Manes.15G171966.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIAIFTQKILTNSNRITTTVTTILCSQSNTLNPRANGFALFRLLSFAPMQRRAPDPDDPSTLMKEDGVSVCSRMWIENFREPDRVANNLTSYLRRFELWVLAYQKVCTDEMGAYMPRSAIQRSALEDLLALRNAVLDDRFKWGARLQFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKIVQEVLLMILEPIYEARFSQKSFAFRPGRNAHTALRVIRRSFAGYLWYIKGDFSTLLDGMKVGLVISALMRDVRDKKVIDMVKAALTTPVITSSVEEPKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKVPSWGHCGILSPLLANICLDELDRWMEGKVKEFYHPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGVRGPRADAATLRKQLIEFVDQRYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQSIKQFRKLNFIKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTIVEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPEYHNLLRMGLVESVDGLQYTRMSLVPETDYTPFPSNWRPNHEKALFEYIRLDDTKTLEEQRCCIREQGLVSPQDYISMLVWNYKRNAIVIDRTSHSSGNYTEKDKQLLLSNKHENDDQKSKEGEEHEDGFYAVQL >Manes.15G171966.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15339224:15344409:-1 gene:Manes.15G171966.v8.1 transcript:Manes.15G171966.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIAIFTQKILTNSNRITTTVTTILCSQSNTLNPRANGFALFRLLSFAPMQRRAPDPDDPSTLMKEDGVSVCSRMWIENFREPDRVANNLTSYLRRFELWVLAYQKVCTDEMGAYMPRSAIQRSALEDLLALRNAVLDDRFKWGARLQFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKIVQEVLLMILEPIYEARFSQKSFAFRPGRNAHTALRVIRRSFAGYLWYIKGDFSTLLDGMKVGLVISALMRDVRDKKVIDMVKAALTTPVITSSVEEPKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKVPSWGHCGILSPLLANICLDELDRWMEGKVKEFYHPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGVRGPRADAATLRKQLIEFVDQRYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQSIKQFRKLNFIKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTIVEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPEYHNLLRMGLVESVDGLQYTRMSLVPETDYTPFPSNWRPNHEKALFEYIRLDDTKTLEEQRCCIREQGLVSPQDYISMLVWNYKRNAIVIDRTSHSSGNYTEKDKQLLLSNKHENDDQKSKEGEEHEDGFYAVQL >Manes.15G171966.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15339224:15344181:-1 gene:Manes.15G171966.v8.1 transcript:Manes.15G171966.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSIAIFTQKILTNSNRITTTVTTILCSQSNTLNPRANGFALFRLLSFAPMQRRAPDPDDPSTLMKEDGVSVCSRMWIENFREPDRVANNLTSYLRRFELWVLAYQKVCTDEMGAYMPRSAIQRSALEDLLALRNAVLDDRFKWGARLQFFIKSPKDKTDYESLSKRKIKAILTTTQPAPFQDKIVQEVLLMILEPIYEARFSQKSFAFRPGRNAHTALRVIRRSFAGYLWYIKGDFSTLLDGMKVGLVISALMRDVRDKKVIDMVKAALTTPVITSSVEEPKKKKKRKYQKKRVLAEDEPKPDPYWLETFFGFAPEEAEKVPSWGHCGILSPLLANICLDELDRWMEGKVKEFYHPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGVRGPRADAATLRKQLIEFVDQRYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQSIKQFRKLNFIKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTIVEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPEYHNLLRMGLVESVDGLQYTRMSLVPETDYTPFPSNWRPNHEKALFEYIRLDDTKTLEEQRCCIREQGLVSPQDYISMLVWNYKRNAIVIDRTSHSSGNYTEKDKQLLLSNKHENDDQKSKEGEEHEDGFYAVQL >Manes.02G018500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1684756:1687185:-1 gene:Manes.02G018500.v8.1 transcript:Manes.02G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRLANTHFSRIKTLIFQNSYPQALKSSLTLSSSSHLLTDQIYALFIKSGNILDSYLSTALISHFSKLNDLSRAFTFLLDTYNPDIITYNTLISGFARCSQPGPVFELFNDLRHLGLVPDEFTFSSLIKGCCSLGENWVAHGVCLRLGFESRAFIVSGLIENYTKNGDVGSAERCFKECFCVDNVVYTAMVCGYVWNGEFEKGKEVFVEMRGLGFELNEFSITGVIGAVFDIKEGEQIHGFAIKMGLLYGCSLHLNNAVMSMYSRCGSKADAIKMFDEIPEPDVVSWTERIGAACDGEKSLEYFRVLHSMGSDINEYTLINVISAIGRVKFLKAAKQIQALCHKTGYLQVVSVGNALVSMFGKSGHLHDARLIFYNMSHRDSVSWNSMISACSENGFVNEALEVFSHMCDIALQPTIYTLISILEAVSNLKCTKRAMQIHSHAIKCGFMVDDSLASSLIITYGRCNNMDESKKVFSEINEVNLVHIKAMMTILVNSGRYADALNFFLTLWRSCLEVDGKTFGIVFKACGAMTDIEQGRTIHSLSLKYGFDQDSFVESALIDLYCKCGNIGDAEKIFKSMSTDNLAGWNAMVMGYAQHGCCRKAFKIFYEMSEFGVEPDEITYLGVLTSCCHAGLVKEARYYFKSMFELHRIVPSLEHYACMVDLLGRVGLLEDAKEIIDHMPIQPDVHLWQILLSACNMHRHVELGRVAASKLLELQPENGSTYILLSNLYASVGMWDAVGKLRKEMKEKVLHKEPGSSWIQVGGTIHNFLVDDISHPQKKEVYMELMRLYEQMLTLPELEQDSLFQ >Manes.11G152120.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31657908:31658636:-1 gene:Manes.11G152120.v8.1 transcript:Manes.11G152120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPQEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPRRPSIFHRASPLHGHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNRRRMST >Manes.07G067738.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17400359:17403472:1 gene:Manes.07G067738.v8.1 transcript:Manes.07G067738.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKGISPNVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVVTFNILIDTLCKKGLVSNAQNIFKIMIQRGVEPTVITYSSLMDGYCLGSQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKQIFDEMSHKGLVPDAVTYHTLIKAMFQAGRPQNAKEFFKDMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELVSSHFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDSTTKLVVHLSRNNNLILRLLKVRNEGSTN >Manes.04G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3274380:3278333:1 gene:Manes.04G027500.v8.1 transcript:Manes.04G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCTGESSKRSERSNSSSIKRNDQTRPTSGSLKVKPVANLKKEETSNDDQLSLDVKNLDLVDEVSNSARNNGKLAQTFTFEELAAATGNFRSDCFLGEGGFGKVYKGHLDKINQVVAIKQLDPNGLQGTREFVIEVLTLSLADHPNLVKLIGYCAEGDQRLLVYEYMPLGSLENHLFDLPRDRQPLDWNTRMKIAAGAAKGLEYLHDKMKPPVIYRDLKCSNILVGEGYHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDVYSFGVVLLELITGRKAIDQTRDRSEQNLVAWARPMFKDRRNFSRMVDPLLQGQYPVRGLYQALAISAMCVQEQPNMRPAISDVVMALNYLASQKYDPETDPVQGSHKNSSRSGQKKNNDRSAGDDSPDRNAEGEING >Manes.08G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38712643:38716098:-1 gene:Manes.08G145600.v8.1 transcript:Manes.08G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSFHTSNRLSISQPTENSGASHFPVSSLITQRERWRREGECTSVTGISINGGLMNCNVTSSDLRSYIDGKGVGRSTTIEEQDKNITQIR >Manes.06G019800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3458276:3487385:1 gene:Manes.06G019800.v8.1 transcript:Manes.06G019800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPKCDECSHIGSTHIAPCTEGKSADYSCVLTFATSPHLSTSNTMSESSAPTYVYRRRKLRGNSVAIFSAQVPESVKRSGDDCPSVASSDASPIAVKEQYVVSQDEHAIGATIITTNGCSLAERVSDESSKNSGQGIIEVDSMNDSCSSSKSDMELVSAHMQTVVDNAGECSSSSVMVVEVPREGLSEKDLCVSIMRSQGVLEGVWPNRTRASAEDVGDSGASSNCRSCKICAYLESTLKMIICDNCEDAFHLSCCNPRLKRIPPDDWFCHSCSKKCRKILKESISRSPNMIGEKGRCGNYSTAVSNPIALMLRDTGLYTSGVRIGKGFQAEVPDWSGPITNDEDMFGEALEMDPSDFVSTTELKSNKPSKLGSIGNWLQCKELIDGEGESANGTICGKWRRAPLFEVQTDDWECFCSFLWDPIHADCAVPQELDTDKILQQLKYIQMLRPRLCAKRRKLNSTKKEDDSMDNEDDVRNIRTKK >Manes.06G031750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8358273:8358758:1 gene:Manes.06G031750.v8.1 transcript:Manes.06G031750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQCPAKESLILLVDCFLDLTEWFWVGRGRSLSAIKGGFSNDLAIVVILF >Manes.01G167401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35045396:35046500:-1 gene:Manes.01G167401.v8.1 transcript:Manes.01G167401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKLFGMWASPFSHAIELALKLKGVQYEYIEEDLSSKSPLLLQYNPVHKKIPVLVHNGKPIAESLVILEYIDETWKNNPLLPNDPYHRAIARFWTKFVHEQILQTALKTITAQGTEQEQMIEEVYQKLNFLENELKGKDLFGGESIGYLDIVVFFIARAFQVNQEVTQVKLISSEKFPAICKWIEKLLKIDVMNECLPPREKHIAFIRARLEAAKSSSN >Manes.07G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6058300:6059879:1 gene:Manes.07G051200.v8.1 transcript:Manes.07G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACSAAISIAKRLEGKVGLITGGASGIGAATARLFLKHGAKVIVADVQDDLGHSLCQELGSEEIITYAHCDVTRDSQVQNAVDLAVSKYGKLDIMYSNAGLPANMDGILSSDNEEFKRVLDVNVFGGFLAAKHAARVMIPAKKGSIIFTASNLSVTCFQCAHAYIASKHAVVGLAKNLCVELGQYGIRVNCVSPYAVVTPLLKSGLGLMGMENEKIQEAVSAAGNLKQAVLKAEDIAEAALYLGSDESKYVSGLNLVVDGGYNLTNPSIEMAIKRLHSS >Manes.02G219300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:34390693:34393549:1 gene:Manes.02G219300.v8.1 transcript:Manes.02G219300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPYERVKGGRLTFKGGSVATSSKAIDKKKKKKKNKIKDEMEPPSAVAVDTEHTETGGDGGGGAGEIYTIDAAKRLQYEELFPVETKKFGYNEKSNFKSVEDALDDRVKKKADRYCK >Manes.02G219300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:34390721:34391077:1 gene:Manes.02G219300.v8.1 transcript:Manes.02G219300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPYERVKGGRLTFKGGSVATSSKAIDKKKKKKKNKIKDEMEPPSAVAVDTEHTETGGDGGGGAGEIYTIDAAKRLQYEELFPVETKKFGYNEKSNFKSVEDALDDRVKKKADRYCK >Manes.16G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32525549:32528306:1 gene:Manes.16G123300.v8.1 transcript:Manes.16G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFALVARGSVVLAEFTATATNASAIARQILEKIPGDNDSHVSYSQDRYIFHVKRTDGLTVLCMADDTAGRRIPFAFLEDIHQRFVRTYGRAVHSAQAYAMNDEFSRVLSQQIEYYSNDPNADRINRLKGEMTQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQARRFRNTVWWRNVKLTVALIVLLLVIVYVVLAFVCHGLTLPTCL >Manes.03G091400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21900855:21903114:-1 gene:Manes.03G091400.v8.1 transcript:Manes.03G091400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNQDMFATLTNDLLVLIVSNLPFKEAARTSILSKQWRNVWPETTTLEFNENFFVKFQENEETQIIQRIVFFDFVWSFIANYPQRAIQSFALACSQPQDFLADIQNFVIFAVSLNVKELELDFSDPRWNEDDLDNHLAVAELPFQAYHHVGLESLKMFSCSIDVSRVSNFTTLKDVSLGWIKISITFIKSFLVTCPLIESLSLKKCWNVIEHFEISLPNLKLKNLILDKCNFIHDMVWIDGPKIKFLKYSGKIGYFHLLDQRDMAEVDLDFGMENEFEEVGAVLYDILQELYSARILTVCSVFLQTVAQGAEPLGLHAPIDVRHLILKTAMHLNEFHGIRFMLCSCPLLEVLTFDIGPRKIFPNYVPPSELNPHEFWSNNIRVQECIRSSLQVVNVKGFKGTSSELYVLKYIICYGRQLQQLNLYISNEEGENSENRETYMERVEQLRQSRNSSPNLQIFVF >Manes.13G018200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1688165:1694319:1 gene:Manes.13G018200.v8.1 transcript:Manes.13G018200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQASPAFGTPSSMPAFGTPSATPAFGTPTSTPAFGTPSSMPAFGTPSSTPAFGAPSSTPAFGTPSSTPAFGTPSTPSFAAGFGSSLFSTPFSSQTQQQQQTPFFLQPSTGLGFQTPYAASQATPFPNAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKYLLFSVTDPQHRVKPAGVSDIMWAEAMAKLEGMESSDRERLWPQLVQGFKDLSHRLKLQDEVIVSDAERLRMTQSNVKMLQRHFQAETLPWIQRMRQKEQSLQRRLLRIMRIMEALEGKGHRMPLMKGEAELAEKLAAITRQLKGSGAELSRRVQNLLTISRVQANAIGAGGSIYLPGSTKIHDQSLADMQEVLQQQTEAIARLGNVLKRDMRDMEIIMAEDTEMAEDVN >Manes.13G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1688044:1692848:1 gene:Manes.13G018200.v8.1 transcript:Manes.13G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQASPAFGTPSSMPAFGTPSATPAFGTPTSTPAFGTPSSMPAFGTPSSTPAFGAPSSTPAFGTPSSTPAFGTPSTPSFAAGFGSSLFSTPFSSQTQQQQQTPFFLQPSTGLGFQTPYAASQATPFPNAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKYLLFSVTDPQHRVKPAGVSDIMWAEAMAKLEGMESSDRERLWPQLVQGFKDLSHRLKLQDEVIVSDAERLRMTQSNVKMLQRHFQAETLPWIQRMRQKEQSLQRRLLRIMRIMEALEGKGHRMPLMKGEAELAEKLAAITRQLKGSGAELSRRVQNLLTISRVQANAIGAGGSIYLPGSTKIHDQSLADMQEVLQQQTEAIARLGNVLKRDMRDMEIIMAEDTEMAEDVN >Manes.01G082000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28473901:28474364:1 gene:Manes.01G082000.v8.1 transcript:Manes.01G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRRIMEVEPPSPLRYIIGAAIMMIGVVLPVGYMMFRTRRVPSSSSYSKQTFELWY >Manes.14G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2603967:2606881:1 gene:Manes.14G028600.v8.1 transcript:Manes.14G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDFNDMDMGYEDEPPEPEIEEGAEDDVDNNNNEDVPGEPIETEDKEEQEPVEHPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPGGSYEDWGVDELIVEDSWKRQVGGD >Manes.01G156000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34240312:34241592:-1 gene:Manes.01G156000.v8.1 transcript:Manes.01G156000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDKEASSSSHVLHVPSFPREDTPLLGKKTPLSSTFKTFANLLVAIVGAGVLGLPYTFKKTGWVMGSMMLFSVAFLTCYCMILLVRTRRKLESLRGFSKVASFGDLGFAVCGPVGRFAVDAMIVLAHAGFCVSYLIFIANTFAYAFNRQSNEKILGFLSPKSLYLWGCFPFQLGLNSIPTLTHLAPLSIFADVAQLGAMGVVMVEDVVAFSKNKPPLEAFGGFSVFFYGLGVAVYAFEGIGMVLPLESEAKDKDKFGKVLGLCMAFISLLYGVFGILGYFAFGEATKDIITTNLGPGLVSNLVQFGLSVNLFFTFPLMMNPVYEAVERRFCDSRYCLWLRWVVVFAVTMVAMSIPNFADFLSLVGSSVCCTLAFVLPALFHLMVFKEELDWSGLVIDSAIVVFGVVVAVAGTWFSLLEIFGPKSS >Manes.02G207300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18585847:18606707:-1 gene:Manes.02G207300.v8.1 transcript:Manes.02G207300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNMKTLTQALAKTAAVIEKTVQTTVQEVTGPKPLQDYELIDQIGSAGPGLAWKLYSAKAAREATRAHQYPTVCVWVLDKRTLSEARVRAGLSKAVEDSFLDVIRADASRLVRLRHPGVVHVVQALDENKNAMAMVTEPLFASVANALGNLENVPKVPKELQGMEMGLLEVKHGLLQIAETLDFLHNHARLIHRAISPENILITSSGAWKLGGFGFTITTDQATGELPNSQAFHYAEYDVEDSILPLEPSLNYTAPELVRSKSPSAGCSSDIFSFGCLAYHLIARKPLFNCHNNVKMYMNTLNYLSSEAFSSIPQELVPDLQRMISANESFRPTAMDFTGSPFFRNDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIADSQDKNDFEQSTLPALIPVLNTAAGETLLLLVKRAEIIINKTSKENLISHVLPLLVQAYADTDPRIQEEVLKKSSSLAKQLDAQLVKQSILPRVHGLALKTTVAAVRVNALLCFGDLVHTLDKHAVLEILETIQRCTAVDHSAPTLMCTLGVANSILKQYGVEFVAEHVLPLLVPLLTAQQLNVQQFAKYMLFVKDILRKIEEKKGVTITDSGIPEVKMTPIPNGLQSLASSKTSGTVAPASKSSPSWDEDWGPVSKGPTARNQPSTSKPLPTPSVLNNQPIQLASLQSESSLISSIPGQQPTASCPPVDIEWPPRASSGVTPQLGNVDKQPNTVASSSSSFDDLDPFANWPPRPSGTSTASGTSNNGSLGSLVNNYSTSLNASKPNNMNFQANGNSSWAFNNLSSSEPLKSNQGISTLNAGNPQNSIGFMKQNQGMPALGSYNDKNSTDLGSIFGSSKNDQLAPKLAPPPSIAVGRGRGRGRGATSTSRSSHAKSQSEQPPLLDLL >Manes.15G099300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7753499:7763173:-1 gene:Manes.15G099300.v8.1 transcript:Manes.15G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFETTSSWMWDGVYHYPHFFGGIMLTAALLGLSTSYFGGIGISSFPCMCWDLRIFYKKRCEKKTKNIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIISNKGPPVLPMEERLALVSGLKWVDEVIANAPYAITEQFMKTLFNEHKIDYIIHGDDPCLLPDGTDAYALAKRAGRYKQIKRTEGVSSTDIVGRILAVNDTKVCEDPDDKLSSPGDSFNGHQSNSAHVSQFLPTSRRIVQFSNGKGPAPNARVVYIDGAFDLFHAGHVEILRCARQLGDFLLVGIHTDQIVSEHRGKHYPIMHLHERSLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTIAECNSLLAGTPDPYAVPKSMGLFHIVESPKNITTTSVAQRIVANHEAYMKRNAKKAESEKKYYAAKVYVSGD >Manes.08G130000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37944389:37945226:1 gene:Manes.08G130000.v8.1 transcript:Manes.08G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLAASAVLVLALAFSMASAYDPSPLQDFCVAMNDPKNAVFVNGKFCKNPNLTVADDFSFSGLNIPGNTENRVRSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVIEGTLYVGFVTSNPNRLITKVLYPRDVFVFPIGLIHFQFNVGKTNAVAFARLSSQNPGVITIANAVFGSNPSINPDVLAKAFQLDKNVVTSLQKLFWDSI >Manes.15G175412.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19346248:19346766:1 gene:Manes.15G175412.v8.1 transcript:Manes.15G175412.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTYDQSHRPLVGDKVLLRLQPYRQTSVAKRRNQKLSARFYGPFVIVERVGSMAYKLDLPADSKLHSVFHVSSLKPYHEDQSAPTPLLPSFLGSHKVLVHWNHSSPADASWEKVQAFSAKYPDFQLEDKLPLGAGSNVTKPLQVYTRFSHGSKSK >Manes.S022751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251212.1:16918:18383:1 gene:Manes.S022751.v8.1 transcript:Manes.S022751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVLAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.03G098896.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:18443424:18448193:1 gene:Manes.03G098896.v8.1 transcript:Manes.03G098896.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETNPATDPIGQTLIKLISNLCFSVFVFSVLIFTVIAITYQPPDPWLESAPALTKFFTQSENATFKIDNSILKTGEDLQTVSAPGVPPALGIEPITEQVIVKSEEKVANMTIQSSGCEDFQVVNCSDSRILITVEKFNLKRFKSIVFLEYQTPVNGSKPDECDVAWRFRNKKEKSWRKYRDFRRFEFGIGENCIYKIVHASGWHSGINARRQRSRDNPTKGGGNNPKIASTFRDEEINDTIPSLGSEMNFRKGKYLYYSRGGDYCKGMNHYMWSFLCGLGEAMYLNRTFVMDLSICLAGSYNPSGKDEEGKDFRYYFDFEHLKEMASIVEESEFLRDWKKWDRAHKKKVPVRKVVTHKMTPMQLSKDKSTIIWRQFDAPEPENYWYRVCEGQAAKYIQRPWHALWKSKRLMNIVTEISGQMDWDFDAVHVVRGEKAQNKELWPHLDADTSPDALVVKLQGMIQPWRNLYIATNEPFYNYFDKLRSHYKVHLLDDYNALWGNTSDWYNETLLLNNGRPVEFDGYMRVAVDTEVLYRAKTRVETFYNLTSDCKDGINTC >Manes.11G152190.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31953737:31955357:1 gene:Manes.11G152190.v8.1 transcript:Manes.11G152190.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLFEAGLKIDARAKADDVGFHQMDAKWQSKGWSELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPQSQIVMAVQLLMFLGTRDM >Manes.06G179200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:30360936:30361905:-1 gene:Manes.06G179200.v8.1 transcript:Manes.06G179200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYPSYIYFPSLDFSAIIHIYPYTIPVLCVSFSHSFSCQPEPPQMSMLRQFMKQWKTITLKCSRRALLSPRRHIPSGFLAVYVGPNRMRFLVPVRILHLPIFVALLQRAEEEYGFKFNGGIILPCEVDFFKQVLRFLDKDEKKYGRLELHEFLKVISEMGFDSSSCKDEEGNFSRSHHDLIPLMQKARV >Manes.03G085816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16980351:16980845:1 gene:Manes.03G085816.v8.1 transcript:Manes.03G085816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKYLRGTTNSCLEFGRNNENMVGFVDSDYADSLDRRISNTVYLPLVIMLSFEKLLCSLYMAMVEAVKKVIWLRDLFVIHCDSKNIIHLIKDYIFHERTEHIDIKYYFILYIICKGNIIVKKIGIINDLTDTMIEPILVSKFKNCLDVIEHYC >Manes.07G028900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3163762:3168497:1 gene:Manes.07G028900.v8.1 transcript:Manes.07G028900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLEREKKLEAYSIKDAACLELIIGCDDTSEPLQEIHQLSSKICRMCRDKSVSEDPNSDDIETIAKLLAPEAAEKSTILMISYSIPATLVMLYRSEIKQHQSHANDLIRRSMHQILNCPNDFDHRCITLALEFCVPLRDQASYEDTLYRSCRTTVKQLLDRGNLSVLNIEILSQILLFLYELAMSLGKGLYQMPGSESLKFHLSEFQAFSCVLRNAVFGKEYANEDNKHRIDFLSTEFKYILNDLLTKMEEHLSRLPELAEMFHTIGSFHSVKIAYLDILKELNSQLWEDYHDQFRLVLKTQQISLRLILEKTTRKDDYHWLLEHNDVIDAESRMHLVTMMMIPEEKFLDSEFYKPLIHWSRFLDEELFQAFKDKNLTSPKELQDWLYKLCQAIFKPQNLLFLSSPNDPTKFYPNPELKLEPLHLDCYEFSGKIIALALMHELQVGVAFHRVFLLQVAGRNISTEDVRDAYPSFYNNKAKEQFPDDDQIRNDFLNSISEQISFFKEGFDSVFAQSIVDLLSFKGIELEDVNLVLKGNLNLEFGEITHVTQCNNESDPLMSQFLKINRQGLDIKKSGWQMDRNKTLGGGISGNVYKGYADGGFFFAIKEIQIKNKAQLAKIQIQQEVNLLCQFSHPNIVKYYGTEEDQSKIYIFLELVSTGSLRQVYKSFQLKNSQVSRYTKQILEGLKYLHDRKVVHRDIKCANILVDEKGCVKITDFGLAKVTELVHLLKSCHGTIDWIAPEVMKKDKEYGVEADIWSLGCTVLEMLTRKYPYSHVSDFNANLEMEVQNGTLRNHLPNYSLSEKARSFIMDCLQVDPKKRPTASQLLNHSFVKDSFSFFTS >Manes.07G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3163762:3168481:1 gene:Manes.07G028900.v8.1 transcript:Manes.07G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSESNSLQLSVKLPKGETIEIQWYSSHLVKSIHEKILMKSMIPVTEQKLYYQGIQLEREKKLEAYSIKDAACLELIIGCDDTSEPLQEIHQLSSKICRMCRDKSVSEDPNSDDIETIAKLLAPEAAEKSTILMISYSIPATLVMLYRSEIKQHQSHANDLIRRSMHQILNCPNDFDHRCITLALEFCVPLRDQASYEDTLYRSCRTTVKQLLDRGNLSVLNIEILSQILLFLYELAMSLGKGLYQMPGSESLKFHLSEFQAFSCVLRNAVFGKEYANEDNKHRIDFLSTEFKYILNDLLTKMEEHLSRLPELAEMFHTIGSFHSVKIAYLDILKELNSQLWEDYHDQFRLVLKTQQISLRLILEKTTRKDDYHWLLEHNDVIDAESRMHLVTMMMIPEEKFLDSEFYKPLIHWSRFLDEELFQAFKDKNLTSPKELQDWLYKLCQAIFKPQNLLFLSSPNDPTKFYPNPELKLEPLHLDCYEFSGKIIALALMHELQVGVAFHRVFLLQVAGRNISTEDVRDAYPSFYNNKAKEQFPDDDQIRNDFLNSISEQISFFKEGFDSVFAQSIVDLLSFKGIELEDVNLVLKGNLNLEFGEITHVTQCNNESDPLMSQFLKINRQGLDIKKSGWQMDRNKTLGGGISGNVYKGYADGGFFFAIKEIQIKNKAQLAKIQIQQEVNLLCQFSHPNIVKYYGTEEDQSKIYIFLELVSTGSLRQVYKSFQLKNSQVSRYTKQILEGLKYLHDRKVVHRDIKCANILVDEKGCVKITDFGLAKVTELVHLLKSCHGTIDWIAPEVMKKDKEYGVEADIWSLGCTVLEMLTRKYPYSHVSDFNANLEMEVQNGTLRNHLPNYSLSEKARSFIMDCLQVDPKKRPTASQLLNHSFVKDSFSFFTS >Manes.07G028900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3163762:3168481:1 gene:Manes.07G028900.v8.1 transcript:Manes.07G028900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSESNSLQLSVKLPKGETIEIQWYSSHLGIQLEREKKLEAYSIKDAACLELIIGCDDTSEPLQEIHQLSSKICRMCRDKSVSEDPNSDDIETIAKLLAPEAAEKSTILMISYSIPATLVMLYRSEIKQHQSHANDLIRRSMHQILNCPNDFDHRCITLALEFCVPLRDQASYEDTLYRSCRTTVKQLLDRGNLSVLNIEILSQILLFLYELAMSLGKGLYQMPGSESLKFHLSEFQAFSCVLRNAVFGKEYANEDNKHRIDFLSTEFKYILNDLLTKMEEHLSRLPELAEMFHTIGSFHSVKIAYLDILKELNSQLWEDYHDQFRLVLKTQQISLRLILEKTTRKDDYHWLLEHNDVIDAESRMHLVTMMMIPEEKFLDSEFYKPLIHWSRFLDEELFQAFKDKNLTSPKELQDWLYKLCQAIFKPQNLLFLSSPNDPTKFYPNPELKLEPLHLDCYEFSGKIIALALMHELQVGVAFHRVFLLQVAGRNISTEDVRDAYPSFYNNKAKEQFPDDDQIRNDFLNSISEQISFFKEGFDSVFAQSIVDLLSFKGIELEDVNLVLKGNLNLEFGEITHVTQCNNESDPLMSQFLKINRQGLDIKKSGWQMDRNKTLGGGISGNVYKGYADGGFFFAIKEIQIKNKAQLAKIQIQQEVNLLCQFSHPNIVKYYGTEEDQSKIYIFLELVSTGSLRQVYKSFQLKNSQVSRYTKQILEGLKYLHDRKVVHRDIKCANILVDEKGCVKITDFGLAKVTELVHLLKSCHGTIDWIAPEVMKKDKEYGVEADIWSLGCTVLEMLTRKYPYSHVSDFNANLEMEVQNGTLRNHLPNYSLSEKARSFIMDCLQVDPKKRPTASQLLNHSFVKDSFSFFTS >Manes.15G110400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8806018:8808217:-1 gene:Manes.15G110400.v8.1 transcript:Manes.15G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSPAATEASMETEEENREEQAVEGESHRLVLPEDGYEWRKYGQKFIKNISKFRSYFKCRKQNCNAKKRAEWSEDKPDNVRIVYDGVHSHSSSSSSSSSSSSSANQYNLLTQVSGNQPPTSQ >Manes.10G113940.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27947597:27948389:-1 gene:Manes.10G113940.v8.1 transcript:Manes.10G113940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAATLAAAFIGAVPVAAALIYKLGRDASTPIIRKGSSIKNLHHNYEVLDKELQKLLALATDIDHGRVNSKEIKNTGTYKLWITRVWEIQAEVEALVNEYERIKEKLRREINVIAKGKLSKKMVNKHQEVRQHIEEGKFLIANL >Manes.09G147600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34504074:34510575:-1 gene:Manes.09G147600.v8.1 transcript:Manes.09G147600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIFKYSHSPAHFAVARRDYAALKRIISTLPSLAKAGEVNTEAESLAAEERADAVSAVIDRRDVPGRETPLHLAVKLRDPVSAEILMAAGADWSLQNENGWSALQEAVCTREEQIALIIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEIAFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLPPGSLIVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKVYDMFHVMVSVKSRRVPGAMTDEELFAADDEERVANGADNDEFDDVLTAEERKQLDTALRMGNADGLGEDEESGVVEYQENGSGGSYENGEANGSSKEKKSWFGWKNKGSKNNNDDPEDSKILKKFSKLAPEGGNQKSVDNRKSSEFSREDLGDSKKGKDKGSKKKKKKGVSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAVRRLRELLTTKLPQGTFPVKVAIPIVPTIRVLVTFTKFEELQPVEEFSTPLSSPAHFQDAKSKEAEGSTSWISWMRGSRGGQSSDSDSHRYKDEIDPFDIPSDYTWVDANEKKRRMKAKKSKSKKHRKQAAARGGDGGALQLSEDVEE >Manes.09G147600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34504074:34510575:-1 gene:Manes.09G147600.v8.1 transcript:Manes.09G147600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADWSLQNENGWSALQEAVCTREEQIALIIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEIAFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLPPGSLIVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKVYDMFHVMVSVKSRRVPGAMTDEELFAADDEERVANGADNDEFDDVLTAEERKQLDTALRMGNADGLGEDEESGVVEYQENGSGGSYENGEANGSSKEKKSWFGWKNKGSKNNNDDPEDSKILKKFSKLAPEGGNQKSVDNRKSSEFSREDLGDSKKGKDKGSKKKKKKGVSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAVRRLRELLTTKLPQGTFPVKVAIPIVPTIRVLVTFTKFEELQPVEEFSTPLSSPAHFQDAKSKEAEGSTSWISWMRGSRGGQSSDSDSHRYKDEIDPFDIPSDYTWVDANEKKRRMKAKKSKSKKHRKQAAARGGDGGALQLSEDVEE >Manes.14G061300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5105421:5107834:1 gene:Manes.14G061300.v8.1 transcript:Manes.14G061300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKPYLPANSLPYCSARIMSSEIVEIGEDSRSGAFVNSIDAGANDVYVAVGKDDLDVLKWALDHVLSSGARVFLVHVFPPITYISTPVGKLSRSQLSQDQVRFYINEEHNRRKNILQKYIRLCNDAKVTVDTMLLESSETAKAILDLIPVLNITHLVMGTTRRPRTRLLKKKLAKAEFVKKNAPDYCEVTIVPDGKKAVDEQQATELVHPSLPSNPARSNISRSNSEKKFLECVCFSVCRSKVKHS >Manes.13G093300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:24184460:24186248:1 gene:Manes.13G093300.v8.1 transcript:Manes.13G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDFYSSSTTPGFSIIDPCREELMKALEPFMKTISLSPSASPSSPYDSPISFQPNLCSDFCSPSSTHMVSQEFCKNNHMGLEPRGSIGLNHLTPSQILQIQAQIHLQQQQQQQQIANLTSTSHLLYNQRPSYLSPKPVLMKQSASTPKPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLHHQGAHVCGEFGDYKPLHSSVDAKLQAICESLANSQKQGKTGERIYADDSKTILSASSQAKKEDDYSMKGELKREFDTYGVEDSKVEISSASPAPASSDESSAGSSSPQSEISFWDFSDSSQWDETENFSLEKYPSAEIDWAAL >Manes.13G093300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:24184407:24186248:1 gene:Manes.13G093300.v8.1 transcript:Manes.13G093300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDFYSSSTTPGFSIIDPCREELMKALEPFMKTISLSPSASPSSPYDSPISFQPNLCSDFCSPSSTHMVSQEFCKNNHMGLEPRGSIGLNHLTPSQILQIQAQIHLQQQQQQQQIANLTSTSHLLYNQRPSYLSPKPVLMKQSASTPKPTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLHHQGAHVCGEFGDYKPLHSSVDAKLQAICESLANSQKQGKTGERIYADDSKTILSASSQAKKEDDYSMKGELKREFDTYGVEDSKVEISSASPAPASSDESSAGSSSPQSEISFWDFSDSSQWDETENFSLEKYPSAEIDWAAL >Manes.10G022675.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2208568:2209758:-1 gene:Manes.10G022675.v8.1 transcript:Manes.10G022675.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPSLPLAIKWLRDSVYQNRSVRIQVLVTGSLHLVGDVLRLVK >Manes.09G181200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36983421:36987254:1 gene:Manes.09G181200.v8.1 transcript:Manes.09G181200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVLWLAFCFWVLTSLLLPATSDGLVRISLKKRPLDLESINAARLLRQEGKLRVGAHSRLHISDMDVVPLKNYLDAQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSKCHFSLACYFHSKYKSSRSTTYTRNGTTCEIQYGSGSIAGFFSQDNVEVGNLIVEDQVFIEATREGSLTFVLAKFDGILGLGFQEISVGNAVPVWYNMMQQRLVGDDVFSFWLNKDPEAAEGGEIVFGGVDEKHYKGKHTYVPVTQKGYWQFNMGDFLIGDHSTGVCQEGCAAIVDSGTSLLVGPTAIVTEINHAIGAEGIVSAECKEVITQYGELIWDLLISGVQPGKACSQVGLCMFNGTKHVSTGIESVLEKGNKEESSIGDDLLCTACEMLVIWVQNQLKQKKTKEAALDYVNKLCDRLPSPMGEAVIDCESVLSMPNITFTIGNKPFNLTPDQYILRTGEGTVAVCISGFMAFDMPPPRGPLWILGDAFMRVYHTVFDFGELQLGFAEAV >Manes.09G181200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36983256:36987297:1 gene:Manes.09G181200.v8.1 transcript:Manes.09G181200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVLWLAFCFWVLTSLLLPATSDGLVRISLKKRPLDLESINAARLLRQEGKLRVGAHSRLHISDMDVVPLKNYLDAQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSKCHFSLACYFHSKYKSSRSTTYTRNGTTCEIQYGSGSIAGFFSQDNVEVGNLIVEDQVFIEATREGSLTFVLAKFDGILGLGFQEISVGNAVPVWYNMMQQRLVGDDVFSFWLNKDPEAAEGGEIVFGGVDEKHYKGKHTYVPVTQKGYWQFNMGDFLIGDHSTGVCQEGCAAIVDSGTSLLVGPTAIVTEINHAIGAEGIVSAECKEVITQYGELIWDLLISGVQPGKACSQVGLCMFNGTKHVSTGIESVLEKGNKEESSIGDDLLCTACEMLVIWVQNQLKQKKTKEAALDYVNKLCDRLPSPMGEAVIDCESVLSMPNITFTIGNKPFNLTPDQYILRTGEGTVAVCISGFMAFDMPPPRGPLWILGDAFMRVYHTVFDFGELQLGFAEAV >Manes.09G181200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36983256:36988714:1 gene:Manes.09G181200.v8.1 transcript:Manes.09G181200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVLWLAFCFWVLTSLLLPATSDGLVRISLKKRPLDLESINAARLLRQEGKLRVGAHSRLHISDMDVVPLKNYLDAQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSKCHFSLACYFHSKYKSSRSTTYTRNGTTCEIQYGSGSIAGFFSQDNVEVGNLIVEDQVFIEATREGSLTFVLAKFDGILGLGFQEISVGNAVPVWYNMMQQRLVGDDVFSFWLNKDPEAAEGGEIVFGGVDEKHYKGKHTYVPVTQKGYWQFNMGDFLIGDHSTGVCQEGCAAIVDSGTSLLVGPTAIVTEINHAIGAEGIVSAECKEVITQYGELIWDLLISGVQPGKACSQVGLCMFNGTKHVSTGIESVLEKGNKEESSIGDDLLCTACEMLVIWVQNQLKQKKTKEAALDYVNKLCDRLPSPMGEAVIDCESVLSMPNITFTIGNKPFNLTPDQYILRTGEGTVAVCISGFMAFDMPPPRGPLWILGDAFMRVYHTVFDFGELQLGFAEAV >Manes.09G181200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36983421:36987297:1 gene:Manes.09G181200.v8.1 transcript:Manes.09G181200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVLWLAFCFWVLTSLLLPATSDGLVRISLKKRPLDLESINAARLLRQEGKLRVGAHSRLHISDMDVVPLKNYLDAQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSKCHFSLACYFHSKYKSSRSTTYTRNGTTCEIQYGSGSIAGFFSQDNVEVGNLIVEDQVFIEATREGSLTFVLAKFDGILGLGFQEISVGNAVPVWYNMMQQRLVGDDVFSFWLNKDPEAAEGGEIVFGGVDEKHYKGKHTYVPVTQKGYWQFNMGDFLIGDHSTGVCQEGCAAIVDSGTSLLVGPTAIVTEINHAIGAEGIVSAECKEVITQYGELIWDLLISGVQPGKACSQVGLCMFNGTKHVSTGIESVLEKGNKEESSIGDDLLCTACEMLVIWVQNQLKQKKTKEAALDYVNKLCDRLPSPMGEAVIDCESVLSMPNITFTIGNKPFNLTPDQYILRTGEGTVAVCISGFMAFDMPPPRGPLWILGDAFMRVYHTVFDFGELQLGFAEAV >Manes.09G181200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36983420:36988714:1 gene:Manes.09G181200.v8.1 transcript:Manes.09G181200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVLWLAFCFWVLTSLLLPATSDGLVRISLKKRPLDLESINAARLLRQEGKLRVGAHSRLHISDMDVVPLKNYLDAQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSKCHFSLACYFHSKYKSSRSTTYTRNGTTCEIQYGSGSIAGFFSQDNVEVGNLIVEDQVFIEATREGSLTFVLAKFDGILGLGFQEISVGNAVPVWYNMMQQRLVGDDVFSFWLNKDPEAAEGGEIVFGGVDEKHYKGKHTYVPVTQKGYWQFNMGDFLIGDHSTGVCQEGCAAIVDSGTSLLVGPTAIVTEINHAIGAEGIVSAECKEVITQYGELIWDLLISGVQPGKACSQVGLCMFNGTKHVSTGIESVLEKGNKEESSIGDDLLCTACEMLVIWVQNQLKQKKTKEAALDYVNKLCDRLPSPMGEAVIDCESVLSMPNITFTIGNKPFNLTPDQYILRTGEGTVAVCISGFMAFDMPPPRGPLWILGDAFMRVYHTVFDFGELQLGFAEAV >Manes.09G181200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36983421:36987286:1 gene:Manes.09G181200.v8.1 transcript:Manes.09G181200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVLWLAFCFWVLTSLLLPATSDGLVRISLKKRPLDLESINAARLLRQEGKLRVGAHSRLHISDMDVVPLKNYLDAQYFGEIGIGSPPQKFTVIFDTGSSNLWIPSSKCHFSLACYFHSKYKSSRSTTYTRNGTTCEIQYGSGSIAGFFSQDNVEVGNLIVEDQVFIEATREGSLTFVLAKFDGILGLGFQEISVGNAVPVWYNMMQQRLVGDDVFSFWLNKDPEAAEGGEIVFGGVDEKHYKGKHTYVPVTQKGYWQFNMGDFLIGDHSTGVCQEGCAAIVDSGTSLLVGPTAIVTEINHAIGAEGIVSAECKEVITQYGELIWDLLISGVQPGKACSQVGLCMFNGTKHVSTGIESVLEKGNKEESSIGDDLLCTACEMLVIWVQNQLKQKKTKEAALDYVNKLCDRLPSPMGEAVIDCESVLSMPNITFTIGNKPFNLTPDQYILRTGEGTVAVCISGFMAFDMPPPRGPLWILGDAFMRVYHTVFDFGELQLGFAEAV >Manes.12G031800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2761564:2765122:-1 gene:Manes.12G031800.v8.1 transcript:Manes.12G031800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKIDKQGWKHQIACRTRFKDLYKAIDLPRMILQIVAATDGDAIIVSVRHPRATIGRFSFGMNIHLLVGFFFFASEIFYILILNEHLDPENRTLLILFLISGYMMYFLMLELSLRIPRRLPQHERRRAHLIGWLQEGLMILVLVPIVCWMLQEPVVTLMPTPT >Manes.12G031800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2761564:2765122:-1 gene:Manes.12G031800.v8.1 transcript:Manes.12G031800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKIDKQGWKHQIACRTRFKDLYKAIDLPRMILQIVAATDGDAIIVSVRHPRATIGRFSFGMNIHLLVGFFFFASEIFYILILNEHLDPENRTLLILFLISGYMMYFLMLELSLRIPRRLPQHERRRAHLIGWLQEGLMILVLVPIVCWMLQEPVVTLMPTPT >Manes.09G176500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36722651:36725477:-1 gene:Manes.09G176500.v8.1 transcript:Manes.09G176500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELAGPSKSYPWSSNGDRNDNGGFSLAGEFQNQLQYEFVHQENVHNCSFFLPQCHEAANKRIMDTRKENSEQEQLAGVLLKLPPFGLKLNLAPNFLDSLEKMLNHYSSAAKKYDFGSQPMSEKLKASSFPVFLLKIGNWERRSTNEGDLVAKCYYAKKRLVWEILERRLKSKIEIQWNDIIAMRAIIRENQPGILEIELNQPPTFHEETDPQPRKHTMWKPTSDFTGGEASKCRRHQLMFPPGSLDKHYEKLIHCDRRFYELCQKPFPSLRSPCFESNIYRYTNFTLDNHMDRPNANHGLQFNHGTPSPQVAVQHVPSNYHACQPSFQGTPSPISVMEFSHSNEIIKRLHDNPRMALWGEGTSNNLAAAPIVVPFNQVDSVVSFQNYSNPLPYYGQGGNPNNHVNQMLSNVNHPLFSDTMVEGYNETNQMASVDSLNGLVNLFQEGSSQQTFYGQGMVTGNNGLGLDYSVNPSMQNFGGQVFQQPDSSIPSQVHPSMQNFGPFNNDINQFKH >Manes.14G168600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27360582:27367016:-1 gene:Manes.14G168600.v8.1 transcript:Manes.14G168600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDIQLPCDGDGVCMRCKAVPTAEETLTCNTCVTPWHVTCLSSPPETLASTLQWECPDCSGEPAAPAVAVTGGRSELVAAIREIEADATLTEKAKARKRQELLSGKVEEEDVKKKGKGKETESGGDVLDILDESFKCSFCMQLPERPVTTPCGHNFCLKCFQKWIGQGKLTCAKCRSTIPSKMASQPRINSSLVAAIRMAKLSKTIVAGGLPKVYHFVHNQNRPDKAYTTERAQRAGKANACSGKIFVTVPPDHFGPIPAENDPERNQGVLVGECWEDRLECRQWGVHLPHVAGIAGQANYGAQSVALSGGYVDDEDHGEWFLYTGRSHKEKRSSYAPESGVRYDGVYRIEKCWRKVGIQGHKVCRYLFVRCDNEPAPWTSDEHGDRPRSLPVIKELAKATDITERKESPSWDYDEEDGSWKWKIPPPPSQKPVDTGNPEDRKRSQKAIKRARHMNVKEKLLKEFSCQICGNVMNQPITTPCAHNFCKSCLEGAFAGKTIIRERSSGGRTLRAQKNVMKCPKCPTDISDFLQDPKINRELMAVIESLQRQIEENLDNVKDVSDDEADGSEENPTEAGENDCENAAPNTSEGPSIKPTEKKVDHRENPVNNEVVTNVSEKAGVANLEGPKGNYKLQRVNKKRKVSFEGSSVNKDERENGNGIGEGDSVNPQVPAAKRAKMNVADSVVQPLEEPAAGVKSRSSVQEATANGNDSPSSPLIVRSSDDDFE >Manes.14G168600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27360583:27367016:-1 gene:Manes.14G168600.v8.1 transcript:Manes.14G168600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDIQLPCDGDGVCMRCKAVPTAEETLTCNTCVTPWHVTCLSSPPETLASTLQWECPDCSGEPAAPAVAVTGGRSELVAAIREIEADATLTEKAKARKRQELLSGKVEEEDVKKKGKGKETESGGDVLDILDESFKCSFCMQLPERPVTTPCGHNFCLKCFQKWIGQGKLTCAKCRSTIPSKMASQPRINSSLVAAIRMAKLSKTIVAGGLPKVYHFVHNQNRPDKAYTTERAQRAGKANACSGKIFVTVPPDHFGPIPAENDPERNQGVLVGECWEDRLECRQWGVHLPHVAGIAGQANYGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQTFEKYNQALRVSCKKGYPIRVVRSHKEKRSSYAPESGVRYDGVYRIEKCWRKVGIQGHKVCRYLFVRCDNEPAPWTSDEHGDRPRSLPVIKELAKATDITERKESPSWDYDEEDGSWKWKIPPPPSQKPVDTGNPEDRKRSQKAIKRARHMNVKEKLLKEFSCQICGNVMNQPITTPCAHNFCKSCLEGAFAGKTIIRERSSGGRTLRAQKNVMKCPKCPTDISDFLQDPKINRELMAVIESLQRQIEENLDNVKDVSDDEADGSEENPTEAGENDCENAAPNTSEGPSIKPTEKKVDHRENPVNNEVVTNVSEKAGVANLEGPKGNYKLQRVNKKRKVSFEGSSVNKDERENGNGIGEGDSVNPQVPAAKRAKMNVADSVVQPLEEPAAGVKSRSSVQEATANGNDSPSSPLIVRSSDDDFE >Manes.02G096800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7535123:7536487:1 gene:Manes.02G096800.v8.1 transcript:Manes.02G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWVIIKSSCNLKPNAQTKTGRLSLSELDQIGIITHAPAIFFYKPSLSNGHQEAFTSIITTLKDSLSRVLVPFYPLAGRVHWIGHGRLELHCNAMGIQFLEAESQSKLEDFGDFSEFSKFKNLIPHVDYTIPMHEIPLLFVQVTKFQCGGFSICFHVSHVVIDGQSALHFIAEWARIARGEPLGVRPVFDRKLLRAGDPPISQKLFHHKEFDELPLLLGQSNNYEERRKKTTALSLKLTKAQVEMLKNKANERKSMDNGGGYTRYETLAAHVWRSACKAREHKPEQPTAMGILIDARKRMEPQLPDSYFGNAIHEVIAVSTSGELVSKPLGFACGKIRDAIQNSTSDYMNSAIDFCKNQQDLTKFQDIYTLRGTDEGPSYGNPNLSLVSWLTLPIYGVDFGCGKEIYMGPGSLDCDGDAYLMQSHDSDGSLVLAICLQVAHIKAFQRYFYEDIM >Manes.06G017100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2840002:2844011:-1 gene:Manes.06G017100.v8.1 transcript:Manes.06G017100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPEESDKEAANSENHHVNSREDSEFIRLVISNEPGVAHLEDTQVLQTEANARTEPYIQGIRALALCILLIILLFILLKWGAPFFFQKVLLPFMEWESTAFGHPVLAIVLVASLALFPVFLLPSGPSMWLAGMIFGYGIGFIIIMVGTTIGMLLPYLIGLQFQYRIHQWLKRWPQQAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVITSMRFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVQYGNYRITTLEIIYNVISCIIAIITTVAFTVYAKRALQELGRAGTTEEEVPAHSPGSYEMGKFSLERSDRIGLPSSPS >Manes.06G017100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2840832:2844011:-1 gene:Manes.06G017100.v8.1 transcript:Manes.06G017100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPEESDKEAANSENHHVNSREDSEFIRLVISNEPGVAHLEDTQVLQTEANARTEPYIQGIRALALCILLIILLFILLKWGAPFFFQKVLLPFMEWESTAFGHPVLAIVLVASLALFPVFLLPSGPSMWLAGMIFGYGIGFIIIMVGTTIGMLLPYLIGLQFQYRIHQWLKRWPQQAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVITSMRFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVQYGNYRITTLEIIYNELGRAGTTEEEVPAHSPGSYEMGKFSLERSDRIGLPSSPS >Manes.06G017100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2840833:2844011:-1 gene:Manes.06G017100.v8.1 transcript:Manes.06G017100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPEESDKEAANSENHHVNSREDSEFIRLVISNEPGVAHLEDTQVLQTEANARTEPYIQGIRALALCILLIILLFILLKWGAPFFFQKVLLPFMEWESTAFGHPVLAIVLVASLALFPVFLLPSGPSMWLAGMIFGYGIGFIIIMVGTTIGMLLPYLIGLQFQYRIHQWLKRWPQQAAMIRLAGEGSWFHQFRVVALFRVSPFPYTIFNYAIVITSMRFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVQYGNYRITTLEIIYNVISCIIAIITTVAFTVYAKRALQELGRAGTTEEEVPAHSPGSYEMGKFSLERSDRIGLPSSPS >Manes.13G049600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5664040:5671685:-1 gene:Manes.13G049600.v8.1 transcript:Manes.13G049600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKLLGLCAGRSSFLSPLPPIFNNGTAPLSSTQNSRSVSVHPLPSDDVKLPGEKIVSRFGAGSSNLSASSSSKEQQSQPYPGQPVKRRKRHRRKHVQNQEPCVMRGVYFKNLKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKYKWDEFLAITRSAINNKKHKRHNGAGLQKRSEPESELQNVGEWDDKQGVNSFSASEDGEPDSSTS >Manes.13G049600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5664029:5671803:-1 gene:Manes.13G049600.v8.1 transcript:Manes.13G049600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKLLGLCAGRSSFLSPLPPIFNNGTAPLSSTQNSRSVSVHPLPSDDVKLPGEKIVSRFGAGSSNLSASSSSKEQQSQPYPGQPVKRRKRHRRKHVQNQEPCVMRGVYFKNLKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKYKWDEFLAITRSAINNKKHKRHNGAGLQKRSEPESELQNVGEWDDKQGVNSFSASEDGEPDSSTS >Manes.13G049600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5664040:5671623:-1 gene:Manes.13G049600.v8.1 transcript:Manes.13G049600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKLLGLCAGRSSFLSPLPPIFNNGTAPLSSTQNSRSVSVHPLPSDDVKLPGEKIVSRFGAGSSNLSASSSSKEQQSQPYPGQPVKRRKRHRRKHVQNQEPCVMRGVYFKNLKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKYKWDEFLAITRSAINNKKHKRHNGAGLQKRSEPESELQNVGEWDDKQGVNSFSASEDGEPDSSTS >Manes.13G049600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5664031:5671937:-1 gene:Manes.13G049600.v8.1 transcript:Manes.13G049600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKLLGLCAGRSSFLSPLPPIFNNGTAPLSSTQNSRSVSVHPLPSDDVKLPGEKIVSRFGAGSSNLSASSSSKEQQSQPYPGQPVKRRKRHRRKHVQNQEPCVMRGVYFKNLKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKYKWDEFLAITRSAINNKKHKRHNGAGLQKRSEPESELQNVGEWDDKQGVNSFSASEDGEPDSSTS >Manes.13G049600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5664031:5671736:-1 gene:Manes.13G049600.v8.1 transcript:Manes.13G049600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRKLLGLCAGRSSFLSPLPPIFNNGTAPLSSTQNSRSVSVHPLPSDDVKLPGEKIVSRFGAGSSNLSASSSSKEQQSQPYPGQPVKRRKRHRRKHVQNQEPCVMRGVYFKNLKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKYKWDEFLAITRSAINNKKHKRHNGAGLQKRSEPESELQNVGEWDDKQGVNSFSASEDGEPDSSTS >Manes.14G026900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2485853:2487049:1 gene:Manes.14G026900.v8.1 transcript:Manes.14G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYSLPLLVVFTIILCTLHLCSCRHISWATHQQTDQTMSTKFSFPFPHHLSAISRFLQSGDDKASTVYGVSHKLVPGGPNPLHN >Manes.11G082969.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18538804:18541153:1 gene:Manes.11G082969.v8.1 transcript:Manes.11G082969.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPSQAAAQPHQSISLNPSFIPFSTFCNLLSRTRFPPNPYSLSRIPIPPPGLSFSFTSIVNLFLVDLRPPTVDHQPLSRRFGEFRRRPWTDLYQLLKASLNESDAFAFFKADEPGDYITYPAFCEGLRLVHSYFLLYYIRYKHKMALHIETVLALFIYLFLGHAASMFCKSRLPECKASNGAVS >Manes.11G082969.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18538804:18541212:1 gene:Manes.11G082969.v8.1 transcript:Manes.11G082969.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQPSQAAAQPHQSISLNPSFIPFSTFCNLLSRTRFPPNPYSLSRIPIPPPGLSFSFTSIVNLFLVDLRPPTVDHQPLSRRFGEFRRRPWTDLYQLLKASLNESDAFAFFKADEPGDYITYPAFCEGLRLEKEPGY >Manes.09G141800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34012920:34018405:1 gene:Manes.09G141800.v8.1 transcript:Manes.09G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYNKGINKTIEILDELVEKGSDTMDVRNKEQVISRMKAAVASKQFGQEDTLSNLIADACIQVCPKNPANFNVDNVRVAKLVGGGLHDCTIVRGMILKNDTVGTIKRVEKAKVAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAILKLSQPNPDDLGYVDSISIEEIGGARVAIVKNEAGGNSVCTVVLRGSTDSILEDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFAESFEMVPKTLAENAGLNAMEIISSLYAEHASGNTKVGIDLEEGVCKDMSTMNIWDLYVTKFFALKYAADAACTVLRVDQIIMAKPAGGPKRDPAAGMDED >Manes.01G153700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092730:34104906:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGKVAEISDIHMEGSSVNPEKQLSDTRHGSNGNFAKENGLHDDNPLTETSHEQLVQMVFELKLQNEFLKSQFEGLKNLQSADDESRQRTEASDRKTVASAVAEGLHQRIESLSRELHEEKQTRRAAEEALKHLREAHSEADAKAQELSAKLAEAQQKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092730:34104906:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRSSQQNLLKKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092744:34104408:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRSSQQNLLKKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQEDQNENNITALKIQESPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092731:34104905:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGKVAEISDIHMEGSSVNPEKQLSDTRHGSNGNFAKENGLHDDNPLTETSHEQLVQMVFELKLQNEFLKSQFEGLKNLQSADDESRQRTEASDRKTVASAVAEGLHQRIESLSRELHEEKQTRRAAEEALKHLREAHSEADAKAQELSAKLAEAQQKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQEDQNENNITALKIQESPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092756:34104408:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRSSQQNLLKKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQSTLSQEDQNENNITALKIQESPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092740:34104856:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGKVAEISDIHMEGSSVNPEKQLSDTRHGSNGNFAKENGLHDDNPLTETSHEQLVQMVFELKLQNEFLKSQFEGLKNLQSADDESRQRTEASDRKTVASAVAEGLHQRIESLSRELHEEKQTRRAAEEALKHLREAHSEADAKAQELSAKLAEAQQKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQEDQNENNITALKIQESPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092744:34104408:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGKVAEISDIHMEGSSVNPEKQLSDTRHGSNGNFAKENGLHDDNPLTETSHEQLVQMVFELKLQNEFLKSQFEGLKNLQSADDESRQRTEASDRKTVASAVAEGLHQRIESLSRELHEEKQTRRAAEEALKHLREAHSEADAKAQELSAKLAEAQQKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQEDQNENNITALKIQESPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092756:34104408:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGKVAEISDIHMEGSSVNPEKQLSDTRHGSNGNFAKENGLHDDNPLTETSHEQLVQMVFELKLQNEFLKSQFEGLKNLQSADDESRQRTEASDRKTVASAVAEGLHQRIESLSRELHEEKQTRRAAEEALKHLREAHSEADAKAQELSAKLAEAQQKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQSTLSQEDQNENNITALKIQESPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092731:34104905:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRSSQQNLLKKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQEDQNENNITALKIQESPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.01G153700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34092740:34104856:1 gene:Manes.01G153700.v8.1 transcript:Manes.01G153700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRSSQQNLLKKLDQEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRELNDTAERASSLQSTLQQELERTRQQANDALRAMDAERQQLRSANNKLRDKIEELRRSFQPKENALEALQQTLSEKEQMLEDMRRSLQSAEEKRQASIAELSAKHQKNIENLEGQLADALADRAKATETISSLQVLIAEKESRIAEMDAASSGEAARLRAALESVKGELAQQKQEHEKEKESWEAASQALKMKLEIAEGNCIRSEIEAAKMRSQLEQEVSVQKQMLNKKDGELVAAKEEINRLESEFSSYKVRAHALLQKKDAELAAARDSEQLKALEEAINEAERELALVSSERDKVVQDLQDALANHAKELAERDVALNSSKHQIKSTEIKLDSANARYQLEKEAWETNLKNLEESWQLRFEALKAEKEATSGQDIHKELEVLKLQYKKLKEEHDSFRGLVDRMIEDKDKEISKLLDDNKNLRSSLQSRPQEDQNENNITALKIQESPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQETMLKAELRNMERTQKREGVDMTYLKNVILKLLETGEVEALLPVIAMLLQFSPEELLKCQQAYRTSTDVPPGPASDTSGSTLSLFSRFSFS >Manes.03G150300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27782511:27785293:-1 gene:Manes.03G150300.v8.1 transcript:Manes.03G150300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNLESYPPVFRQQSTSPEVQAHSHSDDVAKEFIQEFHSIPVVDLQCLNLDKLAEACKNWGLFRLVNHGIPLTLLRQLQDHSKKIFSLSFESKKALVISSPMSYFWGTPALTPSGDSLSRGSQNINWVEGFNVPVSQLSQFQARDPTLDSFRILLEEYGRHLTRIATTIFDAMAKTLNLNPEQSKTYLSESTGSIRVYRYPRCSSANETFGMEAHTDSSVLSILNQEQVGGFELLKDDKWLQIEPIPETLVLNLGDMLQAISNDEYKSVKHRVKPNKYGERYSICYFVFPSEGSVIQSSKYKPFTYNDFRAQVQQDIRTMGFKVGLDRFKFKQIEAEPAAS >Manes.03G150300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27783304:27785213:-1 gene:Manes.03G150300.v8.1 transcript:Manes.03G150300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNLESYPPVFRQQSTSPEVQAHSHSDDVAKEFIQEFHSIPVVDLQCLNLDKLAEACKNWGLFRLVNHGIPLTLLRQLQDHSKKIFSLSFESKKALVISSPMSYFWGTPALTPSGDSLSRGSQNINWVEGFNVPVSQLSQFQARDPTLDSFRILLEEYGRHLTRIATTIFDAMAKTLNLNPEQSKTYLSESTGSIRVYRYPRCSSANETFGMEAHTDSSVLSILNQEQVGGFELLKDDKWLQIEPIPETLVLNLGDMLQAISNDEYKSVKHRVKPNKYGERYSICYFVFPSEGSVIQSSKYKPFTYNDFRAQVQQDIRTMGFKVGLDRFKFKQIESQLQAETSNGEDEGV >Manes.11G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12701440:12703239:1 gene:Manes.11G086400.v8.1 transcript:Manes.11G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGYIIAMTLAHTALFGSLMAAAASIDLSTAVLIRVDQSGKGDFKKIQDAIDSVPPNNSQLVFISVKPGVYREKVVVPADKPFITLSGTKASNTIITWSDGGNIFESPTFSVLASDFVGRFLTIQNTYGSGDKAVALRVSGDRAAFYGCRILSYQDTLLDDTGSHYYSNCYIEGATDFICGSAASIFESCHVHSISKNNGSITAQHRDSPTQNSGFTFLGCKITGTGSAYLGRPWGDYSTVVFALSYMSSAIVPAGWDSWASQTKQSTVFYAEYKCYGPGANRVQRVEWSQSLSKEEAAPFLTKAMIGGQSWLRGAPTYFRRSSTIVKSHSGNN >Manes.12G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27949668:27953392:1 gene:Manes.12G103800.v8.1 transcript:Manes.12G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGISEMKGSNSFPIFHIQLLFIGFCLFVARCYSLKLGETCMPGSACDHGLRCETCPANGNTRPRCIRIQPLNPISKVKGLAFNKYSWLTTHNSYARTDAKSPAGTAIISPKNQEDTVTSQLDNGVRGLMLDLYDFQNDIWLCHSFGGQCYDYTAFQPAINVLKEVEAFLAANPSEIVTIFIEDYVASPLGLTKVFNASGLSKYFFPASKMPKGGEDWPTVDAMVQKNERLVVFTSKAAKEASEGFAFLWKYAIENKYGDPGLKARSCTNRAESPPLNTPTVSLFVLNYFPTSPNISEACSANSSPLSAMAINCYEAAGKRWPNFIAVDFYQKNDGGGAPEVVDEMNGHLTCGCGNIAYCRANAPSGTCDVPPISPPPPASSEIFSPPPLSLDINYSNTRKVQLRWLLGTILTVLLILRL >Manes.16G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2557502:2560930:1 gene:Manes.16G023700.v8.1 transcript:Manes.16G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFFCCHLFSLESKMAAVFLTWVLVSAIFFEPFVNARPSPVASDKRLNSSDNEHLVTNLPGQPDVDFRHYAGYVTVNEKNGRALFYWFYEATTQPDDKPLVLWLNGGPGCSSVGYGATQEIGPFLVDNDGRGIIYNPYSWNREANMLFLESPVGVGFSYSNTTCDYSALGDDFTANDAYAFLQKWFLRFPLYRKRAFYIAGESYAGKYVPELAELILDRNSADPSLHIDLRGVLMGNPETSDAEDWTGMVDYAWSHAVISDETHQIIRKSCNFNSNDTWSNDDCNRAVDELFRQYNEIDIFSLYTSICIGDAASSDDKALQLMFTRSSTMMPRIMGGYDPCLDDYAKAFYNRPDVQKALHVSDGHRLKNWSICNHKIFKEWSDSKPSVLPIYKKLIAAGLRIWVYSGDTDGRVPVLSTRYSLGSLGLPVTKAWRPWYHQKQVSGWFQEYEGLMFATFRGAGHAVPIFKPSESLAFFSAFLQGESPPSSR >Manes.14G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6973010:6981979:-1 gene:Manes.14G084300.v8.1 transcript:Manes.14G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDREIGMLLPGWNNPTESRIFILSCFTAALVGILTIAYTAFQWRRNISLNWMKAIARSKKNPKARHKVPVASHNWVLESLSRGKNLNCCVCLKSMSPSQTLGPMVASDSFLHHCSICGSAAHLSCSSSAQKDCKCVSMVGLENVMHQWAVRWTEMTDQPDETSFCSFCEEPCSGSFLSGSPIWCCLWCQRLLHVDCHSSMSNETGDFCDLGPFRRLILSPLHVKELNSSGGFLSSITHGANEIASTVRASIRSQSKKYKHGNESSVDTGNIGSTCDPTTESTADAYPTVNGSHVVEESCNGSLHVESPRHGGSVARLESKPSFKRSGSLNQKDEYQYQMLGMKQRYEIIDLPPDARPLLVFINKKSGAQRGDSLRQRLNFLLNPVQVFELSSTQGPEVGLYFFRKVRHFRVLVCGGDGTVGWVLNAIDKQNFISPPPVAILPAGTGNDLARVLSWGGGLGVVERQGGLCTLLHHIEHAAVTILDRWKVAIVNQGKQLQSHKFMNNYLGVGCDAKVALDIHNLREENPEKFYNQFMNKVLYAREGARSIMDRTFADFPWQVRVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWQNEDESYDNLDPQSMHDKLLEVVSISGTWHLGKLQVGLSRARRLAQGRSIKILLLAPLPVQIDGEPWFQQPCSLIVSHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNHVINASQKKALLQEMALRLG >Manes.03G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3311354:3315870:1 gene:Manes.03G037600.v8.1 transcript:Manes.03G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKAAVRKKTVENSGDVKLLNEIENISKALYLEKNPSRASISAPGNRSKPTRKSQLLDPKSKIKRGNEDSSQKDKKSFWNWKPLKALSNVRNRKFNCCFSLLVHSIEGFPLSFENISVCVHWKRRDGELVTRPVKVFEGIAEFEEKLTLTCLVYGSRSGPHHSAKYEAKHFLLYASVIGVPELDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFKLSGEAKGAMMNVSFGYTVVGDGQIPLGNNQNFPDSINLNQNNARAVKPIPKFGQGDGKSTIRHAGSLPGALKHQRHASSGSVKDIKELHEVLPTSKSELACQVLMLYQKVNEEKLDSSFNYKPELDVFTESLDPMKSKLCIEPKSSQDNAEKECEDGEPSVIEQGVELSKGEGAKPVEVAVKADNVSLLEDKIDDSFHSSSEEGGRLHPHDAGNDSIKDELMVHDCSFKEDEICSKESVMKELESALSNVANLEIEAFESPEEKENYMEIKKDYESNTDGPSLSLDDVTESVANEFLDMLGIELGPLGLSSESEPESPRERLLRQFEKDALAGGYSMFDFGFDIEDQTECELNTSIVSDWGNLSENFDLSSVIQDPEEQQMGSQAESGKTRAKMLEDLETEALMHEWGLNDEAFQYSPSKNSGGFGSPIDMPPEEPFELPPLGEGLGSFMQTNNGGFLRSMNPSLFRNAKSGGSLIMQVSSPVVVPAEMGSGVMDVLQQLAAVGIEKLSMQANKLMPLEDITGKTMQQVAWEAAACLEGPERLNSLQHELKKEEPGEPKNVKGRSSTLRSNRLKSSKVGNEMGTEYVSLEDLAPLAMDKIEALAFEGLRVQSGLSDEDGPSSISAQSIGEISGFQGKGINFSGSLGLEGAAGLQLLDIKDSGDDIDGLMGLSLTLDEWMRLDSGDVGDEAQISERTSKVLAAHHASSLDMIRGGSKGERRHSKGSGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYCKVSEVRNDNEDDDDDESEIVMKAKLGEKTSEEEEIPQFCINEVHVAGLKTEPGKKKLWGTTAQQQSGSRWLLANGMGKSNNQTFMKSKTISNKPATLSTTNAKRGDKIWSISSRLFGTGAK >Manes.07G076428.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:18165141:18165925:1 gene:Manes.07G076428.v8.1 transcript:Manes.07G076428.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDPLTLQSSDHPLETFDLRNRAIRIALGAKQKLDFIEGTVPLSRKDTKLYEQWKRCDYVVTSWILNSISKDLVDGFIYTTSSRDMWNEISKRFEKSNESQIYELHRKISLITQENGLDEIGPIEVLPLYSCGGSKAIDDVNNRNRFIQFLMGLNKNFNSIRDQILYLDPLPLINNAYSTALKHESQKEILSKRNYESTEILKYDPKKGPCSHCNMDDHVRDT >Manes.10G125500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29305686:29307019:1 gene:Manes.10G125500.v8.1 transcript:Manes.10G125500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGETILHLCVKYNRLEALKLLVETVRDDEFVSAADDNGNTILHLAAILKHVQITKYLLLETSIKENANTLNKNGFTALDALEHSPMDSKGLEIKIILLEAEACHAQRNEERNSKVRSSTVQRKRGLAARTCKWWNNYLKKVGKRFEEARGNILIAATLTATIAFQAGVNPPQFNKDQNATTASAPSYIGDLLQGSETNFWYCNTVSLMLSLIIIMLMFSGIPFKNKILGVFLVIIMCIAILYISQTYFFAAASNMRLGENGWTLAEKTLLIIPTIIYFLLCFIILIHFASFLIWLFQKIYGCISKLISSLCF >Manes.14G158566.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21034534:21048634:-1 gene:Manes.14G158566.v8.1 transcript:Manes.14G158566.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALRLVSRKLGSRFFSIPSSSSQLHSHATSFGFKEVKEEDKSQLVSNVFSNVASNYDLMNDLMSGGLHRLWKDRLVSKLNPFPQMKHLDVAGGTGDVAFRILETVKSIKYRAIQDPLDDHLLEETQIYVCDINPNMLNVGKKRAIERGYGEDKSLVWVEGDAEALGFQDQSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVEVPVFKDIYDYYSFSIIPALGELVAGDRESYQYLVESIRRFPPQEKFASMMAEAGFQKVEYENLVGGVVAIHSGLKF >Manes.14G158566.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21034534:21048634:-1 gene:Manes.14G158566.v8.1 transcript:Manes.14G158566.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALRLVSRKLGSRFFSIPSSSSQLHSHATSFGFKEVKEEDKSQLVSNVFSNVASNYDLMNDLMSGGLHRLWKDRLVSKLNPFPQMKHLDVAGGTGDVAFRILETVKSIKYRAIQDPLDDHLLEETQIYVCDINPNMLNVGKKRAIERGYGEDKSLVWVEGDAEALGFQDQSMDGYTIAFGIRNVTHIEKVLSEAYRYDYYSFSIIPALGELVAGDRESYQYLVESIRRFPPQEKFASMMAEAGFQKVEYENLVGGVVAIHSGLKF >Manes.14G158566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21034534:21048624:-1 gene:Manes.14G158566.v8.1 transcript:Manes.14G158566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALRLVSRKLGSRFFSIPSSSSQLHSHATSFGFKEVKEEDKSQLVSNVFSNVASNYDLMNDLMSGGLHRLWKDRLVSKLNPFPQMKHLDVAGGTGDVAFRILETVKSIKYRAIQDPLDDHLLEETQIYVCDINPNMLNVGKKRAIERGYGEDKSLVWVEGDAEALGFQDQSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVEVPVFKDIYDYYSFSIIPALGELVAGDRESYQYLVESIRRFPPQEKFASMMAEAGFQKVEYENLVGGVVAIHSGLKF >Manes.03G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22617968:22622854:1 gene:Manes.03G102200.v8.1 transcript:Manes.03G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >Manes.18G070800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6381112:6381985:1 gene:Manes.18G070800.v8.1 transcript:Manes.18G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVISKGSSPSSRICKNNEKQQQQQQFRSVIKVLRPKVYITDTSNFKKLVQELTGNNARTGTAPSLHCDTPRTDQVNKQEDFQIQGDKECCMDMEVASIDSLDSWNQLVINDEMNQSWNQIYEEANAADTTHAAFDASDNKHVDLSAARELESWLLDMEPCSFDKNGNLHPVEQDISAFDYYQLCELI >Manes.04G013200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1769012:1774844:1 gene:Manes.04G013200.v8.1 transcript:Manes.04G013200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANNPSSVVVLEVGMHCESCASKVVECARRLKGVENVTVDIDSNKLTVEGEVDPSQIQEELSRKTNKKVDVVSSHHKIDDNGAIADKNKEDKKTIREENSDVDKKQPKQALETTVVVLKLGHYCQGCRPKIRKIVQETKGVQEMALDEENETITVKGTMDVKVLLEKLNRPVQILSPKKEKYSNGGDKDSGTGNDDRKKKKGSAQENGNRDTEMKGSLLELKPIEAPAIMAVFKVPLHCDGCIGRIRKIIRKIRGVQEVRINKEKETVTVKATIDVNTLTETMKKRLKKLVEERKIVIQKEAEVVKSTSQYSFRKQDHKQEIVPSTALQLAEQQQEIIQIICVQDSNDGRDQNQSLVSSTPLQLAEQQQEIIQTICVQDSNDGRDQNQSLVSSPDAHTKLDSEIGKSTPTTEKAGWLFILASLFLEGVSAVLDQMGHLKASMVLSFVALLLCMFDLFDSARREGLIKMERRGCSPCFCSHSTNGSPTSELFMVVQCFGFTSAVWQCIFSTVQYICALRHSDNPIKMTLLPCIFLLCVLISKLIRKMEELNCQDLEMGKLEPQRSGSSRKMEKDDQTSKTMETTSEAPTHASEDAFADARELGSGHIGCSHYRRRCKIRAPCCNEIFDCVHCHNEAKNSVETSSHDQHNIPSHEVIKVICTRCHTEQDVQQNCVSCGVCMGKYFCAKCIFFDDNVSKNPYHCNECRICRDRGRENYSHCKKCGSCYPKLMEDVHQD >Manes.01G231750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39581351:39581948:1 gene:Manes.01G231750.v8.1 transcript:Manes.01G231750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNSDRHLHKRTQILHVGIIQYRLTTTPYSIKYKPYTHRLDWRINPAASEHCPIQMKPKPLLQPIISMRTMYIKTRANRMDKGCASLEKLSQKTLC >Manes.15G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:889630:891251:-1 gene:Manes.15G010400.v8.1 transcript:Manes.15G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAITKKLLPNAILLFCVALASCDKRSSIRPGRRRYSNEKIFNVVQFGAKTDPEKDNALAFIQAWNAACNWKGKARVLVPKGAFVASSMVFGGPCKNKAPTVFQLVGTLKAVSNLSSYAEDFWMIFRNVNGLVITGTGTVDGQGPNVWKYNDHGDGGRVFPASIKFHRVRDGVIRQVSSVNPMGFHIGIVLSKNITAKSLHLTAPEDSPNTDGFHISQSNQVKIATSVIATGDDCVGMIQGSTDISIKEVTCGPGHGISIGSLGKYPDEKDVKGILVTNCTLRNTENGIRIKTWAGSPPSQATALTFQDIVMHNVRNPIIIDQSYGSNSNKPSKVRISDVKYINIKGTTSSEVGVNFECSKQFPCQRVHLANIKLEYTGDKKQALSATCQNARVSYAGIQFPPPCR >Manes.09G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6832317:6835932:1 gene:Manes.09G035500.v8.1 transcript:Manes.09G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLARVAMACWRPVSRYARMSKDDSINNDDDDSSIGDSLLWSKDLEKHSYGEFSFAVVQANEIIEDHSQVEIGRDATFVGVYDGHGGPEASRFICDHLFKNLMRLSRERGTMSEEILRSAFSATEDGFLTLVRRTCGIKPLIAAVGSCCLVGVIWRGTLYVANLGDSRAVIGCLGRSNKIVAEQLTKDHNACLEEVRQELKSLHPDDSHIVVMKNGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLPDPIRRPVLTSDPSICSRVLRADDKFLIFASDGLWEHLTNKEAVEIVYNYPRAGIAKRLVKTALKEAAKKREIMYDDLKKIDKGSRRLFHDDITVIVIFIDHELLSENISVPEVSIRGFVDSVGPSNFNILHGI >Manes.02G162600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12772728:12778315:-1 gene:Manes.02G162600.v8.1 transcript:Manes.02G162600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMLFQWTAFRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYIVIKVLKLKPLIVVDPEDRWRRIFPMSFVFCINIVLGNISLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSVTELSFNMFGFFAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPAMLLEGNGVVDWFYTHQSVWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVMVSWLIFRNPISALNAVGCGITLVGCTFYGYVRHMLSQQPPPPGTPRTPRTPRNRMELLPLVTDKLDDKV >Manes.18G073608.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6599897:6602141:1 gene:Manes.18G073608.v8.1 transcript:Manes.18G073608.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLATPVAPSLSLICNGRRSNSLSTFVSLPISNPPKYIHGVGRSRARQILSDLSMQNKITKDLSEDELITLRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKRVTVAGKKKAPR >Manes.18G073608.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6599897:6602141:1 gene:Manes.18G073608.v8.1 transcript:Manes.18G073608.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLATPVAPSLSLICNGRRSNSLSTFVSLPISNPPKIHGLSIQCARVGGVEIPNNKRIEYSLQYIHGVGRSRARQILSDLSMQNKITKDLSEDELITLRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKRVTVAGKKKAPR >Manes.09G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31645477:31647119:1 gene:Manes.09G114200.v8.1 transcript:Manes.09G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGQSNPTPAMTSSQPLEKPVVVIGPQFLAQYPVDLTIASKLLTLGENNFSVTDVNGTLIFKLKSKLLSIHDRRFLQDAAGKTLATLRQKIATAHRRWEVFRGESTDAKDLLFSAKKSSIIQFKTGLDVFLGSNTSENVPDFKIKGTWKERSCTIYLGESNTIIAQMYRRHTVKTALLDADNFAVTVYPNVDYAFVVALVVVLDEINDDRRGDD >Manes.05G111501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11416699:11418012:-1 gene:Manes.05G111501.v8.1 transcript:Manes.05G111501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCSKSLRIIIFLHLCFFFFFYLKGSLCADNFSYVPIQNTALDCGSHALQILSFDGRNWTGDVGSEIVAFSRHANSTVSIASSMDPGIPEVPYKTARLFYSEFTYIFNVIPGPKFVRLHFYPYSYSGLDPSKAFLSVTCGHYTLLSNFSASLAANYNNVHTFFKEFIIHVQNNSLHLTFSPSSNGSDAFAFVNGIEVVSMPLHLYVRGENVHLPFVGHPATPITLENTSALETLYRINVGGADVSPESDTGMFRTWTRDDSFIFGSAVGELAFDYDLNVRYTAAVPAYTAPAVVYRTGRFMGIHGAINLNYNLSWFFPVETGFIYLVRLHFCELDRNITKINQKVFSIYINNQTAEGQADVIAWSDGQGVPVYKDYITMFPQVGEMIQDLWLELHPNTATMPQYYDAFLWGGDIQNKQLWWRSRRTQSTAKAGIGR >Manes.05G117000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12016795:12017754:1 gene:Manes.05G117000.v8.1 transcript:Manes.05G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAITSLPGQQPPAAGDFQQPSIPQVVSTSSNSAHSSGSIGPFFGVISVLAFLAILSCVVGRICSRRAVAPLATFNGRGCLRWVKRRRSQWCRGGDAEMGANKVMAIGNQEKKDGNKVKESDEVDQQLPPQA >Manes.04G087400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29262261:29268407:-1 gene:Manes.04G087400.v8.1 transcript:Manes.04G087400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSDHLGISIMVVAVVFTVYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGTADVGAGQTPQLRLPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFVFCWVYIRRIMSSEHTSILKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLIGTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCSAIPLSKNNFRAKVPREPALPTRPIGGGFMSPNMGKAVDDIEMGRKTVWGDMGAMADNVEGQVTNNDRLNIKDGNLSELSPEVRTAVEEGDHGGIHPRRSSWGRKSGSWDMSPEVLALAARVREPNHVGGSSSGSLTTEKRVS >Manes.04G087400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29262261:29264884:-1 gene:Manes.04G087400.v8.1 transcript:Manes.04G087400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGTADVGAGQTPQLRLPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFVFCWVYIRRIMSSEHTSILKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLIGTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCSAIPLSKNNFRAKVPREPALPTRPIGGGFMSPNMGKAVDDIEMGRKTVWGDMGAMADNVEGQVTNNDRLNIKDGNLSELSPEVRTAVEEGDHGGIHPRRSSWGRKSGSWDMSPEVLALAARVREPNHVGGSSSGSLTTEKRVS >Manes.04G087400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29262261:29268407:-1 gene:Manes.04G087400.v8.1 transcript:Manes.04G087400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSDHLGISIMVVAVVFTVYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGTADVGAGQTPQLRLPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFVFCWVYIRRIMSSEHTSILKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLIGTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCSAIPLSKNNFRAKVPREPALPTRPIGGGFMSPNMGKAVDDIEMGRKTVWGDMGAMADNVEGQVTNNDRLNIKDGNLSELSPEVRTAVEEGDHGGIHPRRSSWGRKSGSWDMSPEVLALAARVREPNHVGGSSSGSLTTEKRVS >Manes.04G087400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29262261:29268407:-1 gene:Manes.04G087400.v8.1 transcript:Manes.04G087400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPQRSDLGSAGGASGDLRVYQTWKGSNIFFLQGRFIFGPDVRSLVLTIFLIVAPVAVFCIFVARKLMDDFSDHLGISIMVVAVVFTVYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGTADVGAGQTPQLRLPRIKEVEVNGAVVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFVFCWVYIRRIMSSEHTSILKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLIGTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCSAIPLSKNNFRAKVPREPALPTRPIGGGFMSPNMGKAVDDIEMGRKTVWGDMGAMADNVEGQVTNNDRLNIKDGNLSELSPEVRTAVEEGDHGGIHPRRSSWGRKSGSWDMSPEVLALAARVREPNHVGGSSSGSLTTEKRVS >Manes.05G034800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2933689:2941046:1 gene:Manes.05G034800.v8.1 transcript:Manes.05G034800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGVIDMNCELVDPEGLQNELKTLKSANVDGVMVDCWWGIVEGNAPQLYNWSGYKKLFQIVREHKLKLQVVMSFHKCGGNVGDDVHIPLPKWVTEIGQTNPDIYFTDREGRRNTECLTWGIDKERVLKGRTAVEAYFDYMRSFRVEFDEFFEAGIISEIEVGLGPCGELRYPSYPAEHGWKYPGIGEFQCYDKYLMKSLSKAAELRGHLFWAKCPNNAGSYNSAPYETRFFCDGGDYDSYYGRFFLNWYSRVLIDHGDRVLALANLAFEGTCISAKISGIHWWYKTASHAAELTAGFYNPSNRDGYAPIAAMLSKHGVGLNFTCVEMRTLDQNEDFPEALADPEGLVWQVLNAAWDACIPVASENALPCYDREGYNKILENAKPRHDPDGRHLSVFTYLRLSPALMERHNFIEFERFVKRMHGEAVK >Manes.05G034800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2933689:2941046:1 gene:Manes.05G034800.v8.1 transcript:Manes.05G034800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGVIDMNCELVDPEGLQNELKTLKSANVDGVMVDCWWGIVEGNAPQLYNWSGYKKLFQIVREHKLKLQVVMSFHKCGGNVGDDVHIPLPKWVTEIGQTNPDIYFTDREGRRNTECLTWGIDKERVLKGRTAVEAYFDYMRSFRVEFDEFFEAGIISEIEVGLGPCGELRYPSYPAEHGWKYPGIGEFQCYDKYLMKSLSKAAELRGHLFWAKCPNNAGSYNSAPYETRFFCDGGDYDSYYGRFFLNWYSRVLIDHGDRVLALANLAFEGTCISAKISGIHWWYKTASHAAELTAGFYNPSNRDGYAPIAAMLSKHGVGLNFTCVEMRTLDQNEDFPEALADPEGLVWQVLNAAWDACIPVASENALPCYDREGYNKILENAKPRHDPDGRHLSVFTYLRLSPALMERHNFIEFERFVKRMHGEAVK >Manes.05G034800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2933689:2941046:1 gene:Manes.05G034800.v8.1 transcript:Manes.05G034800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVVMSFHKCGGNVGDDVHIPLPKWVTEIGQTNPDIYFTDREGRRNTECLTWGIDKERVLKGRTAVEAYFDYMRSFRVEFDEFFEAGIISEIEVGLGPCGELRYPSYPAEHGWKYPGIGEFQCYDKYLMKSLSKAAELRGHLFWAKCPNNAGSYNSAPYETRFFCDGGDYDSYYGRFFLNWYSRVLIDHGDRVLALANLAFEGTCISAKISGIHWWYKTASHAAELTAGFYNPSNRDGYAPIAAMLSKHGVGLNFTCVEMRTLDQNEDFPEALADPEGLVWQVLNAAWDACIPVASENALPCYDREGYNKILENAKPRHDPDGRHLSVFTYLRLSPALMERHNFIEFERFVKRMHGEAVK >Manes.05G034800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2933689:2941162:1 gene:Manes.05G034800.v8.1 transcript:Manes.05G034800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSIRFLHCLRPCNVASSAIVSSGGLVSCGARSRNFARVRDRVHRTEDDPILGDSMDDIEGKKDADISLQVQERDFTGTAYVPVYVMLPLGVIDMNCELVDPEGLQNELKTLKSANVDGVMVDCWWGIVEGNAPQLYNWSGYKKLFQIVREHKLKLQVVMSFHKCGGNVGDDVHIPLPKWVTEIGQTNPDIYFTDREGRRNTECLTWGIDKERVLKGRTAVEAYFDYMRSFRVEFDEFFEAGIISEIEVGLGPCGELRYPSYPAEHGWKYPGIGEFQCYDKYLMKSLSKAAELRGHLFWAKCPNNAGSYNSAPYETRFFCDGGDYDSYYGRFFLNWYSRVLIDHGDRVLALANLAFEGTCISAKISGIHWWYKTASHAAELTAGFYNPSNRDGYAPIAAMLSKHGVGLNFTCVEMRTLDQNEDFPEALADPEGLVWQVLNAAWDACIPVASENALPCYDREGYNKILENAKPRHDPDGRHLSVFTYLRLSPALMERHNFIEFERFVKRMHGEAVK >Manes.05G034800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2933689:2941046:1 gene:Manes.05G034800.v8.1 transcript:Manes.05G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSIRFLHCLRPCNVASSAIVSSYVSHTLSFVASMSRFHSSSSECSFCCFSGGLVSCGARSRNFARVRDRVHRTEDDPILGDSMDDIEGKKDADISLQVQERDFTGTAYVPVYVMLPLGVIDMNCELVDPEGLQNELKTLKSANVDGVMVDCWWGIVEGNAPQLYNWSGYKKLFQIVREHKLKLQVVMSFHKCGGNVGDDVHIPLPKWVTEIGQTNPDIYFTDREGRRNTECLTWGIDKERVLKGRTAVEAYFDYMRSFRVEFDEFFEAGIISEIEVGLGPCGELRYPSYPAEHGWKYPGIGEFQCYDKYLMKSLSKAAELRGHLFWAKCPNNAGSYNSAPYETRFFCDGGDYDSYYGRFFLNWYSRVLIDHGDRVLALANLAFEGTCISAKISGIHWWYKTASHAAELTAGFYNPSNRDGYAPIAAMLSKHGVGLNFTCVEMRTLDQNEDFPEALADPEGLVWQVLNAAWDACIPVASENALPCYDREGYNKILENAKPRHDPDGRHLSVFTYLRLSPALMERHNFIEFERFVKRMHGEAVK >Manes.05G034800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2933689:2941046:1 gene:Manes.05G034800.v8.1 transcript:Manes.05G034800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVVMSFHKCGGNVGDDVHIPLPKWVTEIGQTNPDIYFTDREGRRNTECLTWGIDKERVLKGRTAVEAYFDYMRSFRVEFDEFFEAGIISEIEVGLGPCGELRYPSYPAEHGWKYPGIGEFQCYDKYLMKSLSKAAELRGHLFWAKCPNNAGSYNSAPYETRFFCDGGDYDSYYGRFFLNWYSRVLIDHGDRVLALANLAFEGTCISAKISGIHWWYKTASHAAELTAGFYNPSNRDGYAPIAAMLSKHGVGLNFTCVEMRTLDQNEDFPEALADPEGLVWQVLNAAWDACIPVASENALPCYDREGYNKILENAKPRHDPDGRHLSVFTYLRLSPALMERHNFIEFERFVKRMHGEAVK >Manes.05G034800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2933689:2941046:1 gene:Manes.05G034800.v8.1 transcript:Manes.05G034800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLVRFGGLVSCGARSRNFARVRDRVHRTEDDPILGDSMDDIEGKKDADISLQVQERDFTGTAYVPVYVMLPLGVIDMNCELVDPEGLQNELKTLKSANVDGVMVDCWWGIVEGNAPQLYNWSGYKKLFQIVREHKLKLQVVMSFHKCGGNVGDDVHIPLPKWVTEIGQTNPDIYFTDREGRRNTECLTWGIDKERVLKGRTAVEAYFDYMRSFRVEFDEFFEAGIISEIEVGLGPCGELRYPSYPAEHGWKYPGIGEFQCYDKYLMKSLSKAAELRGHLFWAKCPNNAGSYNSAPYETRFFCDGGDYDSYYGRFFLNWYSRVLIDHGDRVLALANLAFEGTCISAKISGIHWWYKTASHAAELTAGFYNPSNRDGYAPIAAMLSKHGVGLNFTCVEMRTLDQNEDFPEALADPEGLVWQVLNAAWDACIPVASENALPCYDREGYNKILENAKPRHDPDGRHLSVFTYLRLSPALMERHNFIEFERFVKRMHGEAVK >Manes.05G034800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2933689:2941046:1 gene:Manes.05G034800.v8.1 transcript:Manes.05G034800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLGVIDMNCELVDPEGLQNELKTLKSANVDGVMVDCWWGIVEGNAPQLYNWSGYKKLFQIVREHKLKLQVVMSFHKCGGNVGDDVHIPLPKWVTEIGQTNPDIYFTDREGRRNTECLTWGIDKERVLKGRTAVEAYFDYMRSFRVEFDEFFEAGIISEIEVGLGPCGELRYPSYPAEHGWKYPGIGEFQCYDKYLMKSLSKAAELRGHLFWAKCPNNAGSYNSAPYETRFFCDGGDYDSYYGRFFLNWYSRVLIDHGDRVLALANLAFEGTCISAKISGIHWWYKTASHAAELTAGFYNPSNRDGYAPIAAMLSKHGVGLNFTCVEMRTLDQNEDFPEALADPEGLVWQVLNAAWDACIPVASENALPCYDREGYNKILENAKPRHDPDGRHLSVFTYLRLSPALMERHNFIEFERFVKRMHGEAVK >Manes.18G044800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3965114:3966524:1 gene:Manes.18G044800.v8.1 transcript:Manes.18G044800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREGSDIPSSFFLLSPLAVGEPTLQRKMEWWDKVIHPVQRVWKGVALRIGIRKRGLLKLHHDVRACEYEDVRVMWEMLKRNETENAKLSGKKKKRCLWDCFSWARCTPYFGHSC >Manes.18G044800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3965312:3966524:1 gene:Manes.18G044800.v8.1 transcript:Manes.18G044800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWDKVIHPVQRVWKGVALRIGIRKRGLLKLHHDVRACEYEDVRVMWEMLKRNETENAKLSGKKKKRCLWDCFSWARCTPYFGHSC >Manes.15G083300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6434990:6436862:-1 gene:Manes.15G083300.v8.1 transcript:Manes.15G083300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTISNVVLSLKLALISTGILSIAVALKLCVPLVTDFAVSELPVIYGSFLSWLQPPYLYLVINGIIISIVASSKLHKPEEPSKQHEIIPPPTLAVAAPNASASGDTPSDYINSVAVGVSGYQDLNLVDKVVPVDYRSMNGAYKREEGEVVEKEITVTEGADEALVSSKLVQPAQRSDSMEFLIEKEETKKPLVSARFGSKSKKSAKASPEAGKAVLLGVSKPKRNDTLESTWKMITDGRPMPLTRHLKKFDRWDTHLRRDGATSSPPLPGKMNKSETCSENKSKLSDENRQGSGKLKKEPSLSQDELNRRVEAFIKKFNEEMRMQRQESLNRYQEMISRGAY >Manes.12G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31282319:31284257:1 gene:Manes.12G113000.v8.1 transcript:Manes.12G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSLLTVALMCLVVASVGGQAPTSAPTATPVTPAAPKASPVTPAGPVAAPAKAPVKPQTPAPVVTPVSSPPASSPTKQTVPAPVQTPLATPPPAVAPVSSPPAAVPVSSPPAKSPSAPAPVTPPTSSPTENAPPAPVAAPTAEVPAPTPSKKKSKKHNAAPAPAPELSSPPAPPTEAPGPSAEANSPGPSVSDDQSGAETIKSLHKMAGILALGWAIVSLMF >Manes.18G145866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32147549:32147869:-1 gene:Manes.18G145866.v8.1 transcript:Manes.18G145866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMEKYIPELHGMLKTAEVNIKKRPTQILNGRGKPKWKTKAKVPKEIVPKEGICFHCKEPGHWKRNCKLYLDESKKKKSSETTT >Manes.04G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35074325:35095675:1 gene:Manes.04G155900.v8.1 transcript:Manes.04G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMQADHSTGNQEPNVGEFIDKQKLTAPIKSAVDKFALLPEFLKVRGLVKQHLDSFNYFVNIGIKKIVRANDRIVSTVDPGIYLRFKDVRIGTPSVMVDTISEQLSPHMCRLSDMTYAAPIMVNVEYIQGSHDQKTRVEKNDVVIGRMPIMLRSRSCVLYGKDEAELARLGECPLDPGGYFVIKGTEKVILIQEQLSKNRIIIDTDKKGNINASVTSSTEATKSKTVIQMEKEKIYLCLNQFAKKIPIMVVIKAMGMESDQEVVQMLGRDPRYGALLLPSIEECSGLNIYTQQKALEYLEGKVKMSTYASYSSGKENRALGILRDVFVANVPVHKNNFRPKCIYVAVMLRRMMEAMLNKDALDDKDYVGNKRLELSGQLISLLFEDLFKTMITEVQRTIDNVLTKQNRSSRFDLSQYLVRDSITNGLERTLSTGNFDVKRFKMHRKGMTQVLVRLSFIASLGHMTRVSPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEEGPLISLCYCLGVEDLELLSGEELHSPNSFLVIFNGLILGKHRRPQHFATAMRKLRRAGKVGEFVSVFVNEKQCTVYIASDGGRVCRPLVIADKGVSRIKEHHMKELLDGVRTFDDFIREGLIEYLDVNEENNSLIALYEAEATAETTHIEIEPFTILGVIAGLIPFPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRMDSLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIVMKKYSDTINYKYENGAADRILRPPRTEERERVLDDDGLAAPGEIIRPGDIYINKESPVETRGQLKSAAALADIKYRPNRSTFKGPEGESCVVDRVALSSDRNNNLSIKFIIRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADTVEAISETLVNHRFSYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMLTRQPTEGRARNGGLRVGEMERDCLIAYGASMLLYERLMLSSDPFEVQVCRVCGLLGYYNQKLRTGICSTCKNGDNISTMKLPYACKLLIQELQSMNVVPRLKLAEA >Manes.14G145000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13443659:13444516:1 gene:Manes.14G145000.v8.1 transcript:Manes.14G145000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCAICCEILIAVLIPPLAVCLRYGCCSVEFFIALVLTILGYFPGVIYALCVIVYVNRDTYFDESRLPLYSPIYS >Manes.08G023700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2409471:2413228:-1 gene:Manes.08G023700.v8.1 transcript:Manes.08G023700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQKLLFSFFLHFLLSGVHGSRGHLFNFRPSKLFVFGDSYADTGNNRKTIANSWKVPYGITFPGKPTGRFSDGRVLTDCFAESIGVKSPIPYRWRKFAVNRLKNGINFAYGGTGVFDTLCPAPNMTTQIDFFQQIITDKVYTTADLRSSVALVTLSGNDYSAYAARNGSAQGWQAFITQVVNQLTVNLKRIKGLGVKKIAVCGLQPLGCLPPSTFASSFQQCNATQNDLVGFHNLLLQQAVAKLNNETKDTSLLIFDLYSAFMTVLNNKGGDSKFENPLKPCCIGISKEYSCGSVDENGAKKYTVCENPVAAFFWDDVHPSQEGWRSVYLALQPTLQQL >Manes.08G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2409981:2414813:-1 gene:Manes.08G023700.v8.1 transcript:Manes.08G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMMFFLAWLLRLPLLIIGVHGSRGHLFNFRPSKLFVFGDSYADTGNNRKTIANSWKVPYGITFPGKPTGRFSDGRVLTDCFAESIGVKSPIPYRWRKFAVNRLKNGINFAYGGTGVFDTLCPAPNMTTQIDFFQQIITDKVYTTADLRSSVALVTLSGNDYSAYAARNGSAQGWQAFITQVVNQLTVNLKRIKGLGVKKIAVCGLQPLGCLPPSTFASSFQQCNATQNDLVGFHNLLLQQAVAKLNNETKDTSLLIFDLYSAFMTVLNNKGGDSKFENPLKPCCIGISKEYSCGSVDENGAKKYTVCENPVAAFFWDDVHPSQEGWRSVYLALQPTLQQL >Manes.01G227900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39330753:39331184:1 gene:Manes.01G227900.v8.1 transcript:Manes.01G227900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAANSGSDFDPFHANIDERKRKRMLSNRESARRSRMRKQKQMEDLVNEASLLKNENERLRQNINRNTQRYVEIESANNVLKAQTKELTERLRSLNTVLQIAEEVSGLEVEIPEIPDPLLKPWQPLCPLQPIMASADMFQY >Manes.17G087500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29522704:29532266:1 gene:Manes.17G087500.v8.1 transcript:Manes.17G087500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIFAAFIICFCSFSSLAELQSFQQPLKSDGSLSFLVIGDWGRRGLYNQSEVALQMGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILAQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSTSNAKWKLVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSSHSNIQFITSGGGSKAWRGDIRKWDPEELKLYYDGQGFMSVQMTDSTATFAFYDAFGSVLHQWSISKESHAAA >Manes.14G121100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11710140:11713783:1 gene:Manes.14G121100.v8.1 transcript:Manes.14G121100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDVGENTGLLPGIACNKFPPWSILLIGSFACFFGYGVLWLALSRTIQSMPYWLLWLALCVATNSSAWLSTAVLVTNMRNFPLSRGTVAGILKGYGGISAAVFTAIYSMLLHSSSSKLLMFLALGVPVLCFLVMYFVKACAPASGEDSSENGHFLFIQAVLITLSLYILITTILDHTLHLSAPISYTLPVIMFVLLMAPFAIPIKMTLCRTMTRKSGMLDRSIVSSDNLIQVEASADKTEPFLKTSSSAQILGSFQESDETSEAANMLLAEGEGAVKKKRKPKRGEDFRFSEAIIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVQDTTVLLSLFSFCNFVGRLGGGTISEHFVRSKTVPRTMWMTCTQIIMIIIYLLFASAIDGTLYAATSLLGICYGVQFSIMIPTVSELFGLKHFGIFYNFMSLGNPLGAFLFSGLLAGNVYDTEAAKQHGLDMLLGSSISCTGPHCFRLTFLVLAGACGVGSILSLILTMRIWPVYEMLYAGGSFSLPQTSAH >Manes.14G121100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11709678:11713783:1 gene:Manes.14G121100.v8.1 transcript:Manes.14G121100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDVGENTGLLPGIACNKFPPWSILLIGSFACFFGYGVLWLALSRTIQSMPYWLLWLALCVATNSSAWLSTAVLVTNMRNFPLSRGTVAGILKGYGGISAAVFTAIYSMLLHSSSSKLLMFLALGVPVLCFLVMYFVKACAPASGEDSSENGHFLFIQAVLITLSLYILITTILDHTLHLSAPISYTLPVIMFVLLMAPFAIPIKMTLCRTMTRKSGMLDRSIVSSDNLIQVEASADKTEPFLKTSSSAQILGSFQESDETSEAANMLLAEGEGAVKKKRKPKRGEDFRFSEAIIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVQDTTVLLSLFSFCNFVGRLGGGTISEHFVRSKTVPRTMWMTCTQIIMIIIYLLFASAIDGTLYAATSLLGICYGVQFSIMIPTVSELFGLKHFGIFYNFMSLGNPLGAFLFSGLLAGNVYDTEAAKQHGLDMLLGSSISCTGPHCFRLTFLVLAGACGVGSILSLILTMRIWPVYEMLYAGGSFSLPQTSAH >Manes.14G121100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11710226:11713588:1 gene:Manes.14G121100.v8.1 transcript:Manes.14G121100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARILDSSLLWLALCVATNSSAWLSTAVLVTNMRNFPLSRGTVAGILKGYGGISAAVFTAIYSMLLHSSSSKLLMFLALGVPVLCFLVMYFVKACAPASGEDSSENGHFLFIQAVLITLSLYILITTILDHTLHLSAPISYTLPVIMFVLLMAPFAIPIKMTLCRTMTRKSGMLDRSIVSSDNLIQVEASADKTEPFLKTSSSAQILGSFQESDETSEAANMLLAEGEGAVKKKRKPKRGEDFRFSEAIIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVQDTTVLLSLFSFCNFVGRLGGGTISEHFVRSKTVPRTMWMTCTQIIMIIIYLLFASAIDGTLYAATSLLGICYGVQFSIMIPTVSELFGLKHFGIFYNFMSLGNPLGAFLFSGLLAGNVYDTEAAKQHGLDMLLGSSISCTGPHCFRLTFLVLAGACGVGSILSLILTMRIWPVYEMLYAGGSFSLPQTSAH >Manes.14G121100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11709678:11713743:1 gene:Manes.14G121100.v8.1 transcript:Manes.14G121100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDVGENTGLLPGIACNKFPPWSILLIGSFACFFGYGVLWLALSRTIQSMPYWLLWLALCVATNSSAWLSTAVLVTNMRNFPLSRGTVAGILKGYGGISAAVFTAIYSMLLHSSSSKLLMFLALGVPVLCFLVMYFVKACAPASGEDSSENGHFLFIQAVLITLSLYILITTILDHTLHLSAPISYTLPVIMFVLLMAPFAIPIKMTLCRTMTRKSGMLDRSIVSSDNLIQVEASADKTEPFLKTSSSAQILGSFQESDETSEAANMLLAEGEGAVKKKRKPKRGEDFRFSEAIIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVQDTTVLLSLFSFCNFVGRLGGGTISEHFVS >Manes.14G121100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11709678:11713549:1 gene:Manes.14G121100.v8.1 transcript:Manes.14G121100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDVGENTGLLPGIACNKFPPWSILLIGSFACFFGYGVLWLALSRTIQSMPYWLLWLALCVATNSSAWLSTAVLVTNMRNFPLSRGTVAGILKGYGGISAAVFTAIYSMLLHSSSSKLLMFLALGVPVLCFLVMYFVKACAPASGEDSSENGHFLFIQAVLITLSLYILITTILDHTLHLSAPISYTLPVIMFVLLMAPFAIPIKMTLCRTMTRKSGMLDRSIVSSDNLIQVEASADKTEPFLKTSSSAQILGSFQESDETSEAANMLLAEGEGAVKKKRKPKRGEDFRFSEAIIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVQDTTVLLSLFSFCNFVGRLGGGTISEHFVS >Manes.14G121100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11710226:11713783:1 gene:Manes.14G121100.v8.1 transcript:Manes.14G121100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDVGENTGLLPGIACNKFPPWSILLIGSFACFFGYGVLWLALSRTIQSMPYWLLWLALCVATNSSAWLSTAVLVTNMRNFPLSRGTVAGILKGYGGISAAVFTAIYSMLLHSSSSKLLMFLALGVPVLCFLVMYFVKACAPASGEDSSENGHFLFIQAVLITLSLYILITTILDHTLHLSAPISYTLPVIMFVLLMAPFAIPIKMTLCRTMTRKSGMLDRSIVSSDNLIQVEASADKTEPFLKTSSSAQILGSFQESDETSEAANMLLAEGEGAVKKKRKPKRGEDFRFSEAIIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVQDTTVLLSLFSFCNFVGRLGGGTISEHFVS >Manes.04G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33625946:33627481:-1 gene:Manes.04G136200.v8.1 transcript:Manes.04G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETNLCLPVIDFSSKDLKPGTPVWERAKSQVRQAAEDYGCFEALFKNIPQELRKAMDEALEEVFALPIENKKRNVSEKPFHGYIGSSSPMSLYESIGFDDPDDYKEVERFANIMWPEGNTNFSKTVHCFSKTLSELDQTIRRMIVESFGIEKYLEEHMNSTYNFLRVTKYEAPKTTEKKTGLRAHTDKNTTSILYQNQTDGLEVQTRDGEWINVKFSPCSFIVIVGESLSAWTNGRLHSPHHRVMMSGEKKRYSAVLFTVPRDGYVIKAVEELVDEEHPLQFKPFEYSEYLKLRSAEIGKSCELPLKAYFGA >Manes.14G016900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:925852:930460:1 gene:Manes.14G016900.v8.1 transcript:Manes.14G016900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLMGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDPYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIKSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEDGLAEAIDDDRIGPRDDPKARAKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVADIRKRKGLKEQMTPLSEYEDKL >Manes.14G016900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:925852:930460:1 gene:Manes.14G016900.v8.1 transcript:Manes.14G016900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLMGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDPYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIKSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEDGLAEAIDDDRIGPRDDPKARAKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVADIRKRKGLKEQMTPLSEYEDKL >Manes.14G016900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:925852:930460:1 gene:Manes.14G016900.v8.1 transcript:Manes.14G016900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLMGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDPYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIKSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEDGLAEAIDDDRIGPRDDPKARAKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVADIRKRKGLKEQMTPLSEYEDKL >Manes.14G016900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:925852:930460:1 gene:Manes.14G016900.v8.1 transcript:Manes.14G016900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRQDEAERGITIKSTGISLYYEMSDEALKSYKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSEEKDLMGKALMKRVMQTWLPASNALLEMMIFHLPSPSKAQRYRVENLYEGPLDDPYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIKSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEDGLAEAIDDDRIGPRDDPKARAKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSTLRAATSGQAFPQCVFDHWDMMSSDPLEAGSQAAQLVADIRKRKGLKEQMTPLSEYEDKL >Manes.15G024800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1942487:1942714:1 gene:Manes.15G024800.v8.1 transcript:Manes.15G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPDAAKTARESLDLAFHMSNIMDTGLDRHLLSVLIALCDLGLNPEALAAVVKELSRECSSSSSPTPSAPSPIP >Manes.03G019100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1607197:1616488:-1 gene:Manes.03G019100.v8.1 transcript:Manes.03G019100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQKSILSFFQKPSPANQNSGHRDRLDGPKAHLLAKRQKQEITSSSEPEIRSHGSLQSSLEVGGIDTPPEKVPRKILAESFKPNEDNCGSSLFSSIKHKFVKVDDKEKPLERFIDPEGLTKQGAAPQHPEKDNAFCVNGAVDNGSILLAKSNGDVPGPETPGVQPLVPRLKRIQEDGSKFDGKNGCSLLNAGKRVKLLLDSTAPSKKHDGLSDSTIKFEWLDPSKIRDANGRRPSDPLYDKRTLHIPPDALKKMSASQKQYWSVKSQNMDVVLFFKVGKFYELYELDAEIGHKELDWKITLSGVGKCRQVGISESGIDDAVEKLVARGYKVGRIEQLETSDQAKARGANSVIQRKLVQVVTPSTATDGNIGPDAVHLLAIKEGNYGLDNGATVYGFAFVDCAALKFWVGSFNDDTSSAALGALLMQVSPREVIFESRGMSKEAQKALKKYSLTGSTTLQLTPVPPTSDFLDASEVRNLIQSKGYLRGSSSPWNSALDSVTNHDIALCALGGLIDHLSRLMLDDVLRNGDIFPYQVYRGCLRMDGQTLINLEIFNNSADGGVSGTLFNYLDNCVTSSGKRLLRKWICHPLKCVEGINNRLNVVEDLMKQSEIMSIITQHLRKLPDIERMLGRVKASFQASASLVLPFIGKKVLKQRVKVFGSLVKGLQIGMDLLVLLQEEGKIFSSLSKNFSLPELSGSAGLGKFLSQFEAAVDSEFPNYQNHDVTESEAETLCVLIELFIKKATQWSEVIHAINCIDVLRSFAITASMSSGSMSRPVILLESKTAAFTQETRGPVLKIKGLWHPFALGENGGLPVPNDLHLGEDSDGYHPRTLLLTGPNMGGKSTLLRATCLAVILAQLGCFVPSEKCVLSVVDVIFTRLGATDRIMTGESLPGSKCNA >Manes.03G019100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1604549:1616488:-1 gene:Manes.03G019100.v8.1 transcript:Manes.03G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQKSILSFFQKPSPANQNSGHRDRLDGPKAHLLAKRQKQEITSSSEPEIRSHGSLQSSLEVGGIDTPPEKVPRKILAESFKPNEDNCGSSLFSSIKHKFVKVDDKEKPLERFIDPEGLTKQGAAPQHPEKDNAFCVNGAVDNGSILLAKSNGDVPGPETPGVQPLVPRLKRIQEDGSKFDGKNGCSLLNAGKRVKLLLDSTAPSKKHDGLSDSTIKFEWLDPSKIRDANGRRPSDPLYDKRTLHIPPDALKKMSASQKQYWSVKSQNMDVVLFFKVGKFYELYELDAEIGHKELDWKITLSGVGKCRQVGISESGIDDAVEKLVARGYKVGRIEQLETSDQAKARGANSVIQRKLVQVVTPSTATDGNIGPDAVHLLAIKEGNYGLDNGATVYGFAFVDCAALKFWVGSFNDDTSSAALGALLMQVSPREVIFESRGMSKEAQKALKKYSLTGSTTLQLTPVPPTSDFLDASEVRNLIQSKGYLRGSSSPWNSALDSVTNHDIALCALGGLIDHLSRLMLDDVLRNGDIFPYQVYRGCLRMDGQTLINLEIFNNSADGGVSGTLFNYLDNCVTSSGKRLLRKWICHPLKCVEGINNRLNVVEDLMKQSEIMSIITQHLRKLPDIERMLGRVKASFQASASLVLPFIGKKVLKQRVKVFGSLVKGLQIGMDLLVLLQEEGKIFSSLSKNFSLPELSGSAGLGKFLSQFEAAVDSEFPNYQNHDVTESEAETLCVLIELFIKKATQWSEVIHAINCIDVLRSFAITASMSSGSMSRPVILLESKTAAFTQETRGPVLKIKGLWHPFALGENGGLPVPNDLHLGEDSDGYHPRTLLLTGPNMGGKSTLLRATCLAVILAQLGCFVPSEKCVLSVVDVIFTRLGATDRIMTGESTFFIECTETASVLQNATLDSLVILDELGRGTSTFDGYAIAYAVFRHLVEKVNCRLLFATHYHPLTKEFTSHPHVTLQHMACAFKSRSESYSKGDQDLVFLYRLASGACPESYGLQVAVMAGIPEMVVEAASQAGQLMKKSIGESFKSSEKRSEFSTLHEDWLKTLVSVSQIGNCNFENDDVYDTLFCLWHELKSSYQSCN >Manes.13G124300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33190921:33195135:1 gene:Manes.13G124300.v8.1 transcript:Manes.13G124300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEKNRQWIMFLQLFVSLLNLLLLLWSIGKIKDGANIVKFINSASDCPVNLNSNTSVKKDVPGTAFHATYEQDQRFMNPKSKELKQTISMQTGFSTSQHCHGSFNSLTSSITDPNVPRFRRLENQAFNSVRNDFQANERSMIPKSLPMKHMNAHKASAFGQKQLNNNFYGKKFPMSKGKLLEVGVPMRSTLKQFVEVTHDEKNITGFSIDVFEAAVRLLPYKLRYKMVPFNGSIDDLLKEVSLKTFDAAAGDIVIKAEGYELVEFSQPYVEAGLTMLVKARTNKSHAWFFINPFTPGMWFTMAVMSVFTGFVICFIERQNHEENGGSPARPIRTALWISFATLYSGQTPWLFLLLVVSSTFTASLTSLLTNPHPGPSILDVDVLKRTDAMVGCDGSSLVIQYLVKVLRFKPQNIRAIASSDDYAKALANGDIKAAFILMPHAKIFHAKYCRGFTITGPTYKLGGFGFAFQKGSSWASDMSQAIYILNESGEMQRREEDMLSSSSCSVSTSDANVTPSLGPEPFIGLFIISGGASTVALLITLIRLLRRHWKRIINVQATLGGTNFVHGWLTTNLRTELQFARKSSSSTPQPEG >Manes.13G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33190921:33195135:1 gene:Manes.13G124300.v8.1 transcript:Manes.13G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEKNRQWIMFLQLFVSLLNLLLLLWSIGKIKDGANIVKFINSASDCPVNLNSNTSVKKDVPGTAFHATYEQDQRFMNPKSKELKQTISMQTGFSTSQHCHGSFNSLTSSITDPNVPRFRRLENQAFNSVRNDFQANERSMIPKSLPMKHMNAHKASAFGQKQLNNNFYGKKFPMSKGKLLEVGVPMRSTLKQFVEVTHDEKNITGFSIDVFEAAVRLLPYKLRYKMVPFNGSIDDLLKEVSLKTFDAAAGDIVIKAEGYELVEFSQPYVEAGLTMLVKARTNKSHAWFFINPFTPGMWFTMAVMSVFTGFVICFIERQNHEENGGSPARPIRTALWISFATLYSGQRETPKNNLSIFVLAPWLFLLLVVSSTFTASLTSLLTNPHPGPSILDVDVLKRTDAMVGCDGSSLVIQYLVKVLRFKPQNIRAIASSDDYAKALANGDIKAAFILMPHAKIFHAKYCRGFTITGPTYKLGGFGFAFQKGSSWASDMSQAIYILNESGEMQRREEDMLSSSSCSVSTSDANVTPSLGPEPFIGLFIISGGASTVALLITLIRLLRRHWKRIINVQATLGGTNFVHGWLTTNLRTELQFARKSSSSTPQPEG >Manes.12G078200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9047244:9063574:1 gene:Manes.12G078200.v8.1 transcript:Manes.12G078200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVSWVPKGVAKTVPAVADPPSKEEIEEMIKAGALERSGDTGSEEDDEDMGVDTAKQTDEVTHALAVADALGRTLRNKNSMTKFDDITDGLKELDMDHYDEEDEGIELFSTGLGDLYYPSNDLDPYLKDKDDDDSEELEDMTIKPKDAVIVCARNEDEFSHLEVWIFEESDDNDSNMYVHHDIVLSAFPLCAAWLDCPLKGEEKGNFIAVGSMEPSIEIWDLDIIDEVQPSVVLGGVAEEKKKKKGKKTSTKYKEGSHTDSVLGLAWNKQFRNILASASADRQVKLWDVTTGKCDITMEHHTDKVQAVAWNHHEPQVLLSGSFDHSVVMKDGRIPTHPGFKWSVTADVESLAWDPHSNHSFVVSLEDGTVQGFDIRAAKSDSTSHSKPSFILHAHDKAVCTVSYNPHLPNLLATGSTDKMVKLWDLSNNQPSCVASKNPKAGAIFSISFSEDSPSLLAIGGSKGKLEVWDTLSDAGMSKRFGSRSKQNGPQPEA >Manes.12G078200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9047244:9063574:1 gene:Manes.12G078200.v8.1 transcript:Manes.12G078200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVSWVPKGVAKTVPAVADPPSKEEIEEMIKAGALERSGDTGSEEDDEDMGVDTAKQTDEVTHALAVADALGRTLRNKNSMTKFDDITDGLKELDMDHYDEEDEGIELFSTGLGDLYYPSNDLDPYLKDKDDDDSEELEDMTIKPKDAVIVCARNEDEFSHLEVWIFEESDDNDSNMYVHHDIVLSAFPLCAAWLDCPLKGEEKGNFIAVGSMEPSIEIWDLDIIDEVQPSVVLGGVAEEKKKKKGKKTSTKYKEGSHTDSVLGLAWNKQFRNILASASADRQVKLWDVTTGKCDITMEHHTDKVQAVAWNHHEPQVLLSGSFDHSVVMKDGRIPTHPGFKWSVTADVESLAWDPHSNHSFVVSLEDGTVQGFDIRAAKSDSTSHSKPSFILHAHDKAVCTVSYNPHLPNLLATGSTDKMVKLWDLSNNQPSCVASKNPKAGAIFSISFSEDSPSLLAIGGSKGKLEVWDTLSDAGMSKRFGSRSKQNGPQPEA >Manes.03G150400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27800732:27802851:1 gene:Manes.03G150400.v8.1 transcript:Manes.03G150400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLHHFSISASSLSSDRPSTLKQIHKPYIACRIVINPSKKLFPRKQLPLSPPPLPEPAPPLFRHEPVVISPQKANCSIGLNPIQKFAASALDKIEALLLVPLEKSHVLPRTVDPAVQISGNFAPVQECPVHHGLEVVGQIPDTLRGVYLRNGANPMYAPTGGHHLFDGDGMIHAVTLGSGNRASYGCRYTRTSRLEQEAALARSLFPRPIGELHGHLGLARLMIFMARAGLGLVNTSRGTGVANAGLVYFNGRLLALSEDDLPYHVKIKGDGDLETIERFNFHDQLDSSLIAHPKVDPITGELHALSYNVIKKPYLKYFKFDRHGKKSRDLSITLDQSTMIHDFAVTRNFVVIPDHQVVFKLSEMLRGGSPVIYDRNKISRFGILLKSDENESRIRWIDVPNCFCFHLWNAWEEVSNNGEKIIVVIGSCMNPPDAIFNESDIPIKSELSEIRLNLNTGESTRVTIVSRMNLEAGQVNRKLLGEKTRFVYLAIAEPWPKCSGIARVDLESREVTKFMYGEGRFGGEPFLVAKNEQVDEGYMMGFVRDEIKERSELVIVNASSMEQVASVRLPTRVPYGFHGTFVSEDELRRQALC >Manes.08G103900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34341599:34342936:-1 gene:Manes.08G103900.v8.1 transcript:Manes.08G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLWASFAWRGKQATATQKDAVGSSIACPNPQIFVVILNQAFQEVSLKPCVCVGLDSCFSPCMPWKSYFLLFLLVITLNFAFYSLVKKYVLCTMYTYICLPSTLSCNNLSPIII >Manes.14G073600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6102655:6103735:-1 gene:Manes.14G073600.v8.1 transcript:Manes.14G073600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGGTSQACAACKYQRRKCSSECPLAPYFPPDQPQLFQNAHKLFGVKKITKLLENLEASQREEAMRSVIYQANVRDRFPVHGCWGIICQLHYQIRQAEEELHAVHAQLEMYRQHQHHQISSLTDDVPSQLQLGMAPPSNALSLFGHNAPQPYNSLPLSQQHSYSNSSNAGYSSGYLDSKEHLGNSLWAQQPYASTNNNNNNNNINPMAIQSHFVSSQPLAIQQEVVQDYDEIHPFFDTIDDRQSYIDSKEAYESSSEESLKDTTQSIEHVAENELKSAAACFSLTSVN >Manes.13G089110.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23071323:23074582:-1 gene:Manes.13G089110.v8.1 transcript:Manes.13G089110.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSFLERMTRVMIMFEVSCILIYLVNEVPSAEGRVHNYTWEVSYQYKYLDCYKKLAIAINGITPGPAIYAVQGDTIIVNVVNNLVMENVAIHWHGIRQIGTQWSDGTDGVTQCATMPGDTFTYKFVVDRPGTYMYHSHYGMQRESGLYGIIRVFLPKGQPEPFPFPYHYDRHIILSDWYHRNPYERAANLSSIPFQWVGEPQSLLINGRGKYNCSLLGSTADSEVCNLKNPACFPAVLTVIPGKIYRYRIASLTSLSSFSFQIEGHSMTVVEADGTYVEPFVTQNLYIYSGETYSVLVKATQDPTRNYWATVNVVSRRPATPDGLAIFNYYPNHFHKFPPTKPPPGPLWNDAESRINQSLTIKALKGRVESPPKTTDRVIVLLNTQNTIDGYYRWSLNNVSHSLPATPYLIALKKSISDVFDQTPAPESYSPDYDIFSVAKNTNATSSTSIHRLVFNSTVDIILQNANTMTKNNSETHPWHLHGHDFWVLGYGSGKFNNETDVGKYNLDNPIMKNTVPLHSYGWTALRFRADNPGIWLFHCHIESHFFMGMMVLFESGSEMVSEPPQANMGCGATKRFIDNTTPA >Manes.13G089110.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23071323:23074582:-1 gene:Manes.13G089110.v8.1 transcript:Manes.13G089110.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSFLERMTRVMIMFEVSCILIYLVNEVPSAEGRVHNYTWEVSYQYKYLDCYKKLAIAINGITPGPAIYAVQGDTIIVNVVNNLVMENVAIHWHGIRQPGTYMYHSHYGMQRESGLYGIIRVFLPKGQPEPFPFPYHYDRHIILSDWYHRNPYERAANLSSIPFQWVGEPQSLLINGRGKYNCSLLGSTADSEVCNLKNPACFPAVLTVIPGKIYRYRIASLTSLSSFSFQIEGHSMTVVEADGTYVEPFVTQNLYIYSGETYSVLVKATQDPTRNYWATVNVVSRRPATPDGLAIFNYYPNHFHKFPPTKPPPGPLWNDAESRINQSLTIKALKGRVESPPKTTDRVIVLLNTQNTIDGYYRWSLNNVSHSLPATPYLIALKKSISDVFDQTPAPESYSPDYDIFSVAKNTNATSSTSIHRLVFNSTVDIILQNANTMTKNNSETHPWHLHGHDFWVLGYGSGKFNNETDVGKYNLDNPIMKNTVPLHSYGWTALRFRADNPGIWLFHCHIESHFFMGMMVLFESGSEMVSEPPQANMGCGATKRFIDNTTPA >Manes.01G072801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27465531:27489626:-1 gene:Manes.01G072801.v8.1 transcript:Manes.01G072801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSDFSSYGADYKNFRQISRERLLLEMLQSAKAGDSKSTWKVLIMDKITVKIMSYACKMADITQAGVSLVEDIFRRRQPLPSMDAIYFIQPTKENVIIFLSDMSGKSPLYKKAFVFFSSPISKELVAHIRKDTIALPRIGALREMNLEYFAIDSQGFITDNERALEELFGDEEDSRKCDASLNVMATQIATVFASLKEFPFVRYRAAKSLDVTTMTTLRDLIPTKLAARVWDHLMHYKQKIENFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKDVLLEELDPVWLELRHAHIADASEQLHEKMTNFVSKNKAAQLQHTSRDGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRDLGLRDIGQLEQDLVFGDAGMKDVIKFLTTKEDVTRENKLRLLMILATIYPEMFDTEQGLNVMKLANLPQDDMNAVSNMRLLGGSLESKSSSTGAFSLKFDIRKKKRAFRKDRTTEEVTWQLSRFYPMIEEIVEKLSKGELSKDEYPCLNDPSATFHGTSHAAAMHEPPAPHSMRSRRTPSWARPRNSDDGYSSDSVLRHSSSDLKRMGRRIFVFIAGGATRSELRVCHKLTSKLQREVVLGSSSLDDPPQFITKLKLLAAHELSLDDIQI >Manes.01G072801.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27465551:27489626:-1 gene:Manes.01G072801.v8.1 transcript:Manes.01G072801.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSPLYKKAFVFFSSPISKELVAHIRKDTIALPRIGALREMNLEYFAIDSQGFITDNERALEELFGDEEDSRKCDASLNVMATQIATVFASLKEFPFVRYRAAKSLDVTTMTTLRDLIPTKLAARVWDHLMHYKQKIENFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKDVLLEELDPVWLELRHAHIADASEQLHEKMTNFVSKNKAAQLQHTSRDGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRDLGLRDIGQLEQDLVFGDAGMKDVIKFLTTKEDVTRENKLRLLMILATIYPEMFDTEQGLNVMKLANLPQDDMNAVSNMRLLGGSLESKSSSTGAFSLKFDIRKKKRAFRKDRTTEEVTWQLSRFYPMIEEIVEKLSKGELSKDEYPCLNDPSATFHGTSHAAAMHEPPAPHSMRSRRTPSWARPRNSDDGYSSDSVLRHSSSDLKRMGRRIFVFIAGGATRSELRVCHKLTSKLQREVVLGSSSLDDPPQFITKLKLLAAHELSLDDIQI >Manes.01G072801.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27465551:27489626:-1 gene:Manes.01G072801.v8.1 transcript:Manes.01G072801.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSPLYKKAFVFFSSPISKELVAHIRKDTIALPRIGALREMNLEYFAIDSQGFITDNERALEELFGDEEDSRKCDASLNVMATQIATVFASLKEFPFVRYRAAKSLDVTTMTTLRDLIPTKLAARVWDHLMHYKQKIENFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKDVLLEELDPVWLELRHAHIADASEQLHEKMTNFVSKNKAAQLQHTSRDGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRDLGLRDIGQLEQDLVFGDAGMKDVIKFLTTKEDVTRENKLRLLMILATIYPEMFDTEQGLNVMKLANLPQDDMNAVSNMRLLGGSLESKSSSTGAFSLKFDIRKKKRAFRKDRTTEEVTWQLSRFYPMIEEIVEKLSKGELSKDEYPCLNDPSATFHGTSHAAAMHEPPAPHSMRSRRTPSWARPRNSDDGYSSDSVLRHSSSDLKRMGRRIFVFIAGGATRSELRVCHKLTSKLQREVVLGSSSLDDPPQFITKLKLLAAHELSLDDIQI >Manes.01G072801.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27465639:27489626:-1 gene:Manes.01G072801.v8.1 transcript:Manes.01G072801.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSDFSSYGADYKNFRQISRERLLLEMLQSAKAGDSKSTWKVLIMDKITVKIMSYACKMADITQAGVSLVEDIFRRRQPLPSMDAIYFIQPTKENVIIFLSDMSGKSPLYKKAFVFFSSPISKELVAHIRKDTIALPRIGALREMNLEYFAIDSQGFITDNERALEELFGDEEDSRKCDASLNVMATQIATVFASLKEFPFVRYRAAKSLDVTTMTTLRDLIPTKLAARVWDHLMHYKQKIENFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKDVLLEELDPVWLELRHAHIADASEQLHEKMTNFVSKNKAAQLQHTSRDGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRDLGLRDIGQLEQDLVFGDAGMKDVIKFLTTKEDVTRENKLRLLMILATIYPEMFDTEQGLNVMKLANLPQDDMNAVSNMRLLGGSLESKSSSTGAFSLKFDIRKEIVEKLSKGELSKDEYPCLNDPSATFHGTSHAAAMHEPPAPHSMRSRRTPSWARPRNSDDGYSSDSVLRHSSSDLKRMGRRIFVFIAGGATRSELRVCHKLTSKLQREVVLGSSSLDDPPQFITKLKLLAAHELSLDDIQI >Manes.01G072801.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27465527:27489629:-1 gene:Manes.01G072801.v8.1 transcript:Manes.01G072801.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSDFSSYGADYKNFRQISRERLLLEMLQSAKAGDSKSTWKVLIMDKITVKIMSYACKMADITQAGVSLVEDIFRRRQPLPSMDAIYFIQPTKENVIIFLSDMSGKSPLYKKAFVFFSSPISKELVAHIRKDTIALPRIGALREMNLEYFAIDSQGFITDNERALEELFGDEEDSRKCDASLNVMATQIATVFASLKEFPFVRYRAAKSLDVTTMTTLRDLIPTKLAARVWDHLMHYKQKIENFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKDVLLEELDPVWLELRHAHIADASEQLHEKMTNFVSKNKAAQLQHTSRDGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRDLGLRDIGQLEQDLVFGDAGMKDVIKFLTTKEDVTRENKLRLLMILATIYPEMFDTEQGLNVMKLANLPQDDMNAVSNMRLLGGSLESKSSSTGAFSLKFDIRKKKRAFRKDRTTEEVTWQLSRFYPMIEEIVEKLSKGELSKDEYPCLNDPSATFHGTSHAAAMHEPPAPHSMRSRRTPSWARPRNSDDGYSSDSVLRHSSSDLKRMGRRIFVFIAGGATRSELRVCHKLTSKLQREVVLGSSSLDDPPQFITKLKLLAAHELSLDDIQI >Manes.01G072801.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27465527:27489629:-1 gene:Manes.01G072801.v8.1 transcript:Manes.01G072801.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSDFSSYGADYKNFRQISRERLLLEMLQSAKAGDSKSTWKVLIMDKITVKIMSYACKMADITQAGVSLVEDIFRRRQPLPSMDAIYFIQPTKENVIIFLSDMSGKSPLYKKAFVFFSSPISKELVAHIRKDTIALPRIGALREMNLEYFAIDSQGFITDNERALEELFGDEEDSRKCDASLNVMATQIATVFASLKEFPFVRYRAAKSLDVTTMTTLRDLIPTKLAARVWDHLMHYKQKIENFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKDVLLEELDPVWLELRHAHIADASEQLHEKMTNFVSKNKAAQLQHTSRDGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRDLGLRDIGQLEQDLVFGDAGMKDVIKFLTTKEDVTRENKLRLLMILATIYPEMFDTEQGLNVMKLANLPQDDMNAVSNMRLLGGSLESKSSSTGAFSLKFDIRKKKRAFRKDRTTEEVTWQLSRFYPMIEEIVEKLSKGELSKDEYPCLNDPSATFHGTSHAAAMHEPPAPHSMRSRRTPSWARPRNSDDGYSSDSVLRHSSSDLKRMGRRIFVFIAGGATRSELRVCHKLTSKLQREVVLGSSSLDDPPQFITKLKLLAAHELSLDDIQI >Manes.01G072801.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27465639:27489626:-1 gene:Manes.01G072801.v8.1 transcript:Manes.01G072801.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSDFSSYGADYKNFRQISRERLLLEMLQSAKAGDSKSTWKVLIMDKITVKIMSYACKMADITQAGVSLVEDIFRRRQPLPSMDAIYFIQPTKENVIIFLSDMSGKSPLYKKAFVFFSSPISKELVAHIRKDTIALPRIGALREMNLEYFAIDSQGFITDNERALEELFGDEEDSRKCDASLNVMATQIATVFASLKEFPFVRYRAAKSLDVTTMTTLRDLIPTKLAARVWDHLMHYKQKIENFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKDVLLEELDPVWLELRHAHIADASEQLHEKMTNFVSKNKAAQLQHTSRDGELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIRDLGLRDIGQLEQDLVFGDAGMKDVIKFLTTKEDVTRENKLRLLMILATIYPEMFDTEQGLNVMKLANLPQDDMNAVSNMRLLGGSLESKSSSTGAFSLKFDIRKEIVEKLSKGELSKDEYPCLNDPSATFHGTSHAAAMHEPPAPHSMRSRRTPSWARPRNSDDGYSSDSVLRHSSSDLKRMGRRIFVFIAGGATRSELRVCHKLTSKLQREVVLGSSSLDDPPQFITKLKLLAAHELSLDDIQI >Manes.02G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6255008:6257609:1 gene:Manes.02G080300.v8.1 transcript:Manes.02G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQRTIGIGMDYSPTSKAALRWAAENLIDPGDRIILVQVQPPKADHTRKELFEDTGSPLVPLEEFREINYSKQYGLSHDPEVLDILDTVSNTKGAKVAAKVYWGDPREKLCEAVDDLKLDSLVIGSRGLGPIKRVLLGSVSNYEVTNASCPVTVVKGTSSSSSSSSSSTKPTIK >Manes.11G061450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8609990:8610677:1 gene:Manes.11G061450.v8.1 transcript:Manes.11G061450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYLNKGYSSLIIWEPAAAFGNPCFSLRLQ >Manes.01G002901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1904164:1944819:-1 gene:Manes.01G002901.v8.1 transcript:Manes.01G002901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVLMVAEKPSIALSIASVLSGGRMFTKRGSTEVHEFDGTFLGFPAHYKVTSVIGHIFSVDFPSKYQDWTVTDPTDLFQAQIVKTETNPKAHICRHLSQEARGCGHLVLWLDCDREGENICFEVIECTGFRVNDARRRVYRARFSSVTEKDIFKALDNLAQPNRDEALAVDARQEIDLKVGVAFTRFQTSFFQGKYGNLDSRVISYGPCQTPTLGYCVQRYLQIATFKPEKFWAVQPHIIVDGYELQLEWERHKLFDLDVAMMFQKQVIQDGILEVIDISEKQENYSRPPGLNTVNLLKVASSALGIGPQTAMQLAERLYTQGFISYPRTESTAYPSSFDFKSTLGAMSNNSTWGNYVRRLLADGYHKPRLGTDVGDHPPITPIQLASENMLGKDAWRLYQYVCQHFIASVSPDCKYVRTKVEFSVGGEFFHCIGKRVTVEGFTCIMPWLAVSEKNLPQFTIGEKIEVSKVDLYEGKTVPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVQAGRRLVPTTLGITLIRGYQCIDPDLCLPDIRSFIEQQITLIAKGQVDHSRLVQHVLQQFKQKYCYFVKQIDKMDSLFEAQFSQLFDSGRVLSKCGKCLRYMKYISALPSRLYCGTCEDVYFLPQKGTIKLYKELTCPLDNFELLLFSMGGPEGKTFPLCPYCYNSPPFEGIDKLFGASESGNFGKLGKGAGMPCFLCPHPTCRHSLIAQAVCACPECSGTLVLDPVSAPKWRLYCNMCNCLVLLPQGAHRIATTRERCPECDSTIIEVDFNKNTTPLEDGATLHIGCILCDDLLHSLVEVKHGKSFFKRMGRGGRGRGRGGRGRASARRGDPRMSFRDF >Manes.02G120200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9192266:9205742:-1 gene:Manes.02G120200.v8.1 transcript:Manes.02G120200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSKDIDSAFDGAGAKPGLEIWCVENLRLVPLPTSLHGRFYSGSAYIVLNVESALASDKALELDAALGSCTVQYREVQGQETEKFLSYFKPCIIPSEGVYLSQLGQLDSNTYKVSLLKCKGDHVVSVKEVPFSRSSLNHNDVFILDTASKIFLFSGCNSSIQERAKALEVVQYVKENKHGGKCEVATIEDGKFVGDSDVGEFWSFFGGYAPIPRDIAFDVQKECDTSPVQLSWITTQGKLCPKASNSLNKEMLDSNKCYMLDGGAEIFVWMGRNTSLTERKMSISVIEDFLRNQGRSTATHLTFLTEGLETSLFRSFFESWPQVEPNLYEEGRGKVAAIFKKQGYEVKELPDAEDCQPYINCQGKLKVWRVDGDELILVPAQEQIKLFSGDCYVVQYTYAGDGRDENLFYAWLGRASALEDRADAITHMTAIADSTKGDPVLARVMQDKEPLQLLLIFQTVIILKGGLSKRYRTFIAENGILDETYEEGKPALFRVQGTSPSSMQAIQVDQVSSSLNSSYCYILQTGRSTLTWMGNLSSTIDHDLLDRMLELINPTWQPISVREGSEPDIFWEALGGKTEYPREQEIKQHIEDPHLFAFMFADGDFKVKEIYNFTQDDLTTEDVLLLDCHEEIYVWIGCHANVKSKQQALMFGLNFLENAQLVGGLSIETPIYVVTEGKEPLFFTRFFEWDSSKANMLGNSFERKLAILKGKKPILDIPIISSRKASCRETTPDNSRSNSVSSNGRGSSLSNTSSVSGSKSKSANNHLFSGPTPIARMLFPGSPDGSAGSPQAEAKSPPDANLMQVDSSITTANTLTYPYSRLIVGSSDPATDIDVTRREAYLSDEEFLEKFGMMRKAFYQFAKWRQNKLKLSLQLF >Manes.02G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9192266:9205742:-1 gene:Manes.02G120200.v8.1 transcript:Manes.02G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSKDIDSAFDGAGAKPGLEIWCVENLRLVPLPTSLHGRFYSGSAYIVLNTVLLKNGSPLHEIHYWLGKDANEVESALASDKALELDAALGSCTVQYREVQGQETEKFLSYFKPCIIPSEGVYLSQLGQLDSNTYKVSLLKCKGDHVVSVKEVPFSRSSLNHNDVFILDTASKIFLFSGCNSSIQERAKALEVVQYVKENKHGGKCEVATIEDGKFVGDSDVGEFWSFFGGYAPIPRDIAFDVQKECDTSPVQLSWITTQGKLCPKASNSLNKEMLDSNKCYMLDGGAEIFVWMGRNTSLTERKMSISVIEDFLRNQGRSTATHLTFLTEGLETSLFRSFFESWPQVEPNLYEEGRGKVAAIFKKQGYEVKELPDAEDCQPYINCQGKLKVWRVDGDELILVPAQEQIKLFSGDCYVVQYTYAGDGRDENLFYAWLGRASALEDRADAITHMTAIADSTKGDPVLARVMQDKEPLQLLLIFQTVIILKGGLSKRYRTFIAENGILDETYEEGKPALFRVQGTSPSSMQAIQVDQVSSSLNSSYCYILQTGRSTLTWMGNLSSTIDHDLLDRMLELINPTWQPISVREGSEPDIFWEALGGKTEYPREQEIKQHIEDPHLFAFMFADGDFKVKEIYNFTQDDLTTEDVLLLDCHEEIYVWIGCHANVKSKQQALMFGLNFLENAQLVGGLSIETPIYVVTEGKEPLFFTRFFEWDSSKANMLGNSFERKLAILKGKKPILDIPIISSRKASCRETTPDNSRSNSVSSNGRGSSLSNTSSVSGSKSKSANNHLFSGPTPIARMLFPGSPDGSAGSPQAEAKSPPDANLMQVDSSITTANTLTYPYSRLIVGSSDPATDIDVTRREAYLSDEEFLEKFGMMRKAFYQFAKWRQNKLKLSLQLF >Manes.04G043409.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6481663:6482031:1 gene:Manes.04G043409.v8.1 transcript:Manes.04G043409.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHKKSLFFGKTSIFHNFSHPSPITFSPLLVSKRHATWHLDFTLYLPFLSQYHNKRSWQAFKLREMLRIALNSLKLDKLITFFTSHLLSPSTTSSHACMFGEINSLLSSTPKLWSMGNQDA >Manes.01G230600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39512851:39517105:-1 gene:Manes.01G230600.v8.1 transcript:Manes.01G230600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLAQVEEGKEGKDGNPSVGPVYRNVLSKDGFPPLDSDMITAWDIFSVSVKKHPGNKMLGWRNFVDGKVGPYVWKTYKEVYEEVLQIGSALRANGAKTGCRVGIYGANCPQWIVAMEACSGHSLVCVPLYDTLGPRAVNFIIDHAEIDFVFIQDKKVKELLNPDCESASRLKVIVCFTSLTEEEKDKAAQMQIKAYSWEEFLYLGKENPSEIVPPQPLNICTIMYTSGTSGDPKGVVLTHETISNFVRGVDLFLEQMEDKMTADDVYLSFLPLAHILDRVTEEYCFRKGASVGYYHGDLNALRDDLMELKPTFLAGVPRVFEKIHEGIKKALEELNPVRRRIFEALYKYKLAWLNRGYKQKYASPLADLLAFRKVKAKLGGRIRLIVSGGAPLSPEVEEFLRVTTCAFMLQGYGLTETCGPITISFPDEMCMMGSVGSLGLYNEIRLEEVPEMGYNPLGNPSCGEICVRGQTLFSEYYKNPELTRASIKDGWFHTGDIGEILPIGVLKIIDRRKNLVKLSQGEYVAIEYLENVYGITPIVEDIWVYGDSFKSMLVAVVVLHEENTKQWAAFNGHTGSFHELCSLDQLQQHVLAELKSTAQKNKLRGFEYIKGVILESRPFDMERDLVTATLKKKRNKLLNYYQGDIDELYRKLAVRRAQS >Manes.07G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3433172:3445055:-1 gene:Manes.07G031400.v8.1 transcript:Manes.07G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSMEEFLKQCQQSGDAAYAAFRSLLERLEDSKTRVQARIFLSDLHKHVSDSDQCLQKYHFRIQDVLLEQYEGYQGRKKLTMMVIPSIFIPEDWSFTFYEGLNRHPDSIFKDKTVAELGCGNGWISIAIAEKWLPSKVYGLDINPRAVKVSWINLYLNALDENGQPIYDAEKKTLLDRVEFYESDLLAYCRDHDIQLERIVGCIPQILNPNPDAMSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQAVCKRLFERRGFRVDKLWQTKVIQAADTDISALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGKAGGHIAHALSVYSCQLRQPNQVKKIFEFLKNGFHEVSSSLDLSFEDDSVADEKIPFLAYLSDELKECSGFPYESPAGRKRFRNLIARFMKIYHHIPLNSNNVVIFPSRAVAIENALRLFSPRLAIVDEHLTRHLPRQWLTSLALEGTENYDPSKDAITVIEAPRQSDLMVELIKKLKPQVVITGMAQFEAVTSSAFVHLLDITREIGSRLFLDISDQLELSSLPGPNGVLKYLAGTRLPAHAAILCGLVKNQVYSDLEVAFVISEEEAIFRALSKTVEVLEGDTAPIKQFYYGCLFHELLAFQLADRHPPAERECEKANSVDAIGFASSAISVLSDSELSISEEEKSSLIHMDVDQSFLPIPSPVKAAIFESFARQNLAESETDVTPSIQQFIKSNYGFPSNNSTEFIYTDFSQALFNRLILCCIQEGGTCCFPAGSNGNYVSAAKFLKANIVSIPTDSGSGFKLTDKLLTGVLETVNKPWVYIAGPTINPSGLLYSNKEMENILTTCAKYGARVVIDTSFSGLEYDLEGWGGWNLEETLSKLNSCGNPSFCVSLLGGLSLGVLKFGFLVLNHPSLVNAFYSFPGLSKPHSTVKYAIKKLLGLNEKRADLMVAVAEQTKKLKSRSQRMKETLEKCGWEVLQPCGGVSMMAKPSAYLNKVMKIKHSADGDVKNAPTYEAKLDDSNIREAIVKSTSLCINSGLWTGIPGYCRFTFALEDGDFERALNCIIKFKDLINN >Manes.07G031400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3433171:3444075:-1 gene:Manes.07G031400.v8.1 transcript:Manes.07G031400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIPSIFIPEDWSFTFYEGLNRHPDSIFKDKTVAELGCGNGWISIAIAEKWLPSKVYGLDINPRAVKVSWINLYLNALDENGQPIYDAEKKTLLDRVEFYESDLLAYCRDHDIQLERIVGCIPQILNPNPDAMSKMITENASEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQAVCKRLFERRGFRVDKLWQTKVIQAADTDISALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGKAGGHIAHALSVYSCQLRQPNQVKKIFEFLKNGFHEVSSSLDLSFEDDSVADEKIPFLAYLSDELKECSGFPYESPAGRKRFRNLIARFMKIYHHIPLNSNNVVIFPSRAVAIENALRLFSPRLAIVDEHLTRHLPRQWLTSLALEGTENYDPSKDAITVIEAPRQSDLMVELIKKLKPQVVITGMAQFEAVTSSAFVHLLDITREIGSRLFLDISDQLELSSLPGPNGVLKYLAGTRLPAHAAILCGLVKNQVYSDLEVAFVISEEEAIFRALSKTVEVLEGDTAPIKQFYYGCLFHELLAFQLADRHPPAERECEKANSVDAIGFASSAISVLSDSELSISEEEKSSLIHMDVDQSFLPIPSPVKAAIFESFARQNLAESETDVTPSIQQFIKSNYGFPSNNSTEFIYTDFSQALFNRLILCCIQEGGTCCFPAGSNGNYVSAAKFLKANIVSIPTDSGSGFKLTDKLLTGVLETVNKPWVYIAGPTINPSGLLYSNKEMENILTTCAKYGARVVIDTSFSGLEYDLEGWGGWNLEETLSKLNSCGNPSFCVSLLGGLSLGVLKFGFLVLNHPSLVNAFYSFPGLSKPHSTVKYAIKKLLGLNEKRADLMVAVAEQTKKLKSRSQRMKETLEKCGWEVLQPCGGVSMMAKPSAYLNKVMKIKHSADGDVKNAPTYEAKLDDSNIREAIVKSTSLCINSGLWTGIPGYCRFTFALEDGDFERALNCIIKFKDLINN >Manes.09G188200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37466993:37470971:1 gene:Manes.09G188200.v8.1 transcript:Manes.09G188200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATEDSLRTALAEKQSAVEAQGNAVRALKAAKVAKAEIDAAIETLNALKLDKSSIEKQLQAAVSGNGPSSSVNREAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEKLQKDLNITAEKAAELKYVLAVLDDLSAEELGTKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFGAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVAALEFLMFPREEQISGQSAKRIRLGEAVSKGTVNNETLGYFIGRVYLFLTHLGIDKERLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHTEKSGVPLVAHEKFSEPREVEKLVIAPVKKELGLAFKGSQKMVIEALEAMNEKEAMEMKASLETKGEVEFYVCTLEKNVCIKKNMVTISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYMRPSKAGDEQLNVFRFPPIVAPIKCTVFPLVQNQQYEEVAKVISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTSSVTIRERDSKDQIRVNVEEAASVVKSVTDGQSTWDDVWLTYPHHSSGSTED >Manes.04G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26682508:26687194:1 gene:Manes.04G068800.v8.1 transcript:Manes.04G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMMSGIKSWQLLVFGLLCSCNFISVLTEDPYRFFDWNITYGDIYPLGIKQQGILINGQFPGPDIYSVTNNNLIINVHNSLPEPFLISWNGVQQRRNSYQDGVYGTTCPIPPGKNFTYTLQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPLIPVPFPEPADDFTVLIGDWYLTNHTKLKAVLDRGHRLPFPNAILINGRGPNATTFTFEQGKTYRLRISNVGLQNSLNFRIQGHKMKLVEVEGTHTIQTTYSSLDVHVGQSYSVLVTADQPAQDYYIAVSTRFTTKVLTSTAILHYSNSGKTVSGPVPGGPTTQIDWSLEQARSIRTNLTASGPRPNPQGSYHYGLINISRTIKLESSAAQVNRKQRYAVNSVSFVPADTPLKLADYFKIGGVFKVGSISDYPTRKQMYLDTSVMGADFRAFVEIVFQNHENIIQSWHLDGYSFWVVGMDGGVWTPASRDQYNLRDAVSRCTTQVYPKSWTAIYVALDNVGMWNVRSEFWARQYLGQQFYLRVYSPVESTRDEYPIPKNALLCGRAIGKTTRPL >Manes.11G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3148452:3150406:1 gene:Manes.11G032500.v8.1 transcript:Manes.11G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFKTRTLMFMGEGEEQKLDQWMIMEADDDDDDIYNTSSLDNSSTSNGSSSSSDVVDDDDDASSPSSTLSTNDPLFEFSELMAHLPIKRGLSKYYQGKSQSFTSLSRVMSIEDLPKKETPYRRKMKVSKSYGNGLDAYKPYTLPKAIISKKVSRGSLSSLSFPGRKGSFLNSSRPPPAPPPQKKI >Manes.11G032500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3148452:3150377:1 gene:Manes.11G032500.v8.1 transcript:Manes.11G032500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGEGEEQKLDQWMIMEADDDDDDIYNTSSLDNSSTSNGSSSSSDVVDDDDDASSPSSTLSTNDPLFEFSELMAHLPIKRGLSKYYQGKSQSFTSLSRVMSIEDLPKKETPYRRKMKVSKSYGNGLDAYKPYTLPKAIISKKVSRGSLSSLSFPGRKGSFLNSSRPPPAPPPQKKI >Manes.08G128600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36939196:36944668:1 gene:Manes.08G128600.v8.1 transcript:Manes.08G128600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNESVSIDIDVLCLEGKEFVVKTSRGSISVYVCGDQEKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHIDAPGHELGADVISSDVPLLSVDDLADQVAEVLDFFRLKEVLCLGVTAGAYILTLFAMKYKERVLGLILVSPICKAPSWTEWLYNKVLLNLLYLYGMCGVLKECLIQRYFSKEIRCGMYGAESDIIQGCRRSLLTCGHSC >Manes.08G128600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36939196:36944668:1 gene:Manes.08G128600.v8.1 transcript:Manes.08G128600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESNESVSIDIDVLCLEGKEFVVKTSRGSISVYVCGDQEKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHIDAPGHELGADVISSDVPLLSVDDLADQVAEVLDFFRLKEVLCLGVTAGAYILTLFAMKYKERVLGLILVSPICKAPSWTEWLYNKVLLNLLYLYGMCGVLKECLIQRYFSKEIRCGMYGAESDIIQGCRRLLNERQSLNVMRFLQAINERHDLTDGLKELQCKTLIFVGESSQFRDESVYMSAKMSKKSCALVEVRACGSLVTEEHPYAMIIPMEFFLMGFGYHRPHFASSLSNGSKPASPSTHSCIAPELLSLESLGIKLKPIKTRVSTEV >Manes.11G110800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25632627:25639387:1 gene:Manes.11G110800.v8.1 transcript:Manes.11G110800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTKLTKALLKNTHDPRLAWHLFKRILSLPISPIHLRQSVPIIARILIRAKMLKELDHLHQLLLNSPLLENLDPSLESLVSILAKSCLFDKAISHFKSLRLRFPEKPPSIFLYNVLLRSCIRENRVDFVSWLYKDMAVAGVSPETYTFNILIGLLCDSGRLDDARELFDKMPEKGCKPNEFSFGILVRGYCRAGLASKGLEFLNEMRSSGLLPNRIVYNTLISSFCREGKISAAEELVDRMREDGLSPDVSTFNSRISALCSEGKILEASRIFRDMQIDEELGLPRPNVITYNLVLMGFCKEGMLEEAKTLVEAMKRNNNLMNLESYNIWLLGLVRNGKLLDAQSVLKEMLGVGLEPDIYSYNIVMDGLCKSGMLSDARMLMYLMIHNGISPDAVTYSTLLHGYCRKGKVFEAKNILHDMIRNNCSPNTYTCNILLHSLWKEGRISEAEELLQKMNEKGYGVDTVTCNIVIDGLCNNGQLDKAIEIVSGMWIHGSAALGNLGNSFIGLVDSSNSRKKCIPDLITYSTIISGLCKAGRLDEAKKKFIEMMGKSLQPDSAIYDTFIHSLCREGKISSAFRVLKDMEKKGCSKTLQTYNSLILGLGSKNQIFEIYGLLDEMKEKGISPNVYTYNNVLNCLCEGGRIKDAPSVLDEMLQKGIPHNISSFRILIRAFCKACDFTAAQEIFEIALNIYGHKEVLYSLMFNELLVGGYVSEAKDLLETALDKHFNLGNFLYKDLIDRLCKYDKLEAASDVIRRLIATGYHFDPASFMPVIDGFSKIGNKREADELAERMMEMASQSRTLNKAYHNASNFIHDKKNKDGGTDWQTIVHRDDCCGIALKALKQVEKGCGQGSVSNLRRQKDEFFDYWDGSN >Manes.11G110800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25632627:25639387:1 gene:Manes.11G110800.v8.1 transcript:Manes.11G110800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTKLTKALLKNTHDPRLAWHLFKRILSLPISPIHLRQSVPIIARILIRAKMLKELDHLHQLLLNSPLLENLDPSLESLVSILAKSCLFDKAISHFKSLRLRFPEKPPSIFLYNVLLRSCIRENRVDFVSWLYKDMAVAGVSPETYTFNILIGLLCDSGRLDDARELFDKMPEKGCKPNEFSFGILVRGYCRAGLASKGLEFLNEMRSSGLLPNRIVYNTLISSFCREGKISAAEELVDRMREDGLSPDVSTFNSRISALCSEGKILEASRIFRDMQIDEELGLPRPNVITYNLVLMGFCKEGMLEEAKTLVEAMKRNNNLMNLESYNIWLLGLVRNGKLLDAQSVLKEMLGVGLEPDIYSYNIVMDGLCKSGMLSDARMLMYLMIHNGISPDAVTYSTLLHGYCRKGKVFEAKNILHDMIRNNCSPNTYTCNILLHSLWKEGRISEAEELLQKMNEKGYGVDTVTCNIVIDGLCNNGQLDKAIEIVSGMWIHGSAALGNLGNSFIGLVDSSNSRKKCIPDLITYSTIISGLCKAGRLDEAKKKFIEMMGKSLQPDSAIYDTFIHSLCREGKISSAFRVLKDMEKKGCSKTLQTYNSLILGLGSKNQIFEIYGLLDEMKEKGISPNVYTYNNVLNCLCEGGRIKDAPSVLDEMLQKGIPHNISSFRILIRAFCKACDFTAAQEIFEIALNIYGHKEVLYSLMFNELLVGGYVSEAKDLLETALDKHFNLGNFLYKDLIDRLCKYDKLEAASDVIRRLIATGYHFDPASFMPVIDGFSKIGNKREADELAERMMEMASQSRTLNKAYHNASNFIHDKKNKDGGTDWQTIVHRDDCCGIALKALKQVEKGCGQGSVSNLRRQKDEFFDYWDGSN >Manes.11G110800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25632627:25639387:1 gene:Manes.11G110800.v8.1 transcript:Manes.11G110800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTKLTKALLKNTHDPRLAWHLFKRILSLPISPIHLRQSVPIIARILIRAKMLKELDHLHQLLLNSPLLENLDPSLESLVSILAKSCLFDKAISHFKSLRLRFPEKPPSIFLYNVLLRSCIRENRVDFVSWLYKDMAVAGVSPETYTFNILIGLLCDSGRLDDARELFDKMPEKGCKPNEFSFGILVRGYCRAGLASKGLEFLNEMRSSGLLPNRIVYNTLISSFCREGKISAAEELVDRMREDGLSPDVSTFNSRISALCSEGKILEASRIFRDMQIDEELGLPRPNVITYNLVLMGFCKEGMLEEAKTLVEAMKRNNNLMNLESYNIWLLGLVRNGKLLDAQSVLKEMLGVGLEPDIYSYNIVMDGLCKSGMLSDARMLMYLMIHNGISPDAVTYSTLLHGYCRKGKVFEAKNILHDMIRNNCSPNTYTCNILLHSLWKEGRISEAEELLQKMNEKGYGVDTVTCNIVIDGLCNNGQLDKAIEIVSGMWIHGSAALGNLGNSFIGLVDSSNSRKKCIPDLITYSTIISGLCKAGRLDEAKKKFIEMMGKSLQPDSAIYDTFIHSLCREGKISSAFRVLKDMEKKGCSKTLQTYNSLILGLGSKNQIFEIYGLLDEMKEKGISPNVYTYNNVLNCLCEGGRIKDAPSVLDEMLQKGIPHNISSFRILIRAFCKACDFTAAQEIFEIALNIYGHKEVLYSLMFNELLVGGYVSEAKDLLETALDKHFNLGNFLYKDLIDRLCKYDKLEAASDVIRRLIATGYHFDPASFMPVIDGFSKIGNKREADELAERMMEMASQSRTLNKAYHNASNFIHDKKNKDGGTDWQTIVHRDDCCGIALKALKQVEKGCGQGSVSNLRRQKDEFFDYWDGSN >Manes.11G110800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25632627:25639387:1 gene:Manes.11G110800.v8.1 transcript:Manes.11G110800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTKLTKALLKNTHDPRLAWHLFKRILSLPISPIHLRQSVPIIARILIRAKMLKELDHLHQLLLNSPLLENLDPSLESLVSILAKSCLFDKAISHFKSLRLRFPEKPPSIFLYNVLLRSCIRENRVDFVSWLYKDMAVAGVSPETYTFNILIGLLCDSGRLDDARELFDKMPEKGCKPNEFSFGILVRGYCRAGLASKGLEFLNEMRSSGLLPNRIVYNTLISSFCREGKISAAEELVDRMREDGLSPDVSTFNSRISALCSEGKILEASRIFRDMQIDEELGLPRPNVITYNLVLMGFCKEGMLEEAKTLVEAMKRNNNLMNLESYNIWLLGLVRNGKLLDAQSVLKEMLGVGLEPDIYSYNIVMDGLCKSGMLSDARMLMYLMIHNGISPDAVTYSTLLHGYCRKGKVFEAKNILHDMIRNNCSPNTYTCNILLHSLWKEGRISEAEELLQKMNEKGYGVDTVTCNIVIDGLCNNGQLDKAIEIVSGMWIHGSAALGNLGNSFIGLVDSSNSRKKCIPDLITYSTIISGLCKAGRLDEAKKKFIEMMGKSLQPDSAIYDTFIHSLCREGKISSAFRVLKDMEKKGCSKTLQTYNSLILGLGSKNQIFEIYGLLDEMKEKGISPNVYTYNNVLNCLCEGGRIKDAPSVLDEMLQKGIPHNISSFRILIRAFCKACDFTAAQEIFEIALNIYGHKEVLYSLMFNELLVGGYVSEAKDLLETALDKHFNLGNFLYKDLIDRLCKYDKLEAASDVIRRLIATGYHFDPASFMPVIDGFSKIGNKREADELAERMMEMASQSRTLNKAYHNASNFIHDKKNKDGGTDWQTIVHRDDCCGIALKALKQVEKGCGQGSVSNLRRQKDEFFDYWDGSN >Manes.11G110800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25632627:25639387:1 gene:Manes.11G110800.v8.1 transcript:Manes.11G110800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTKLTKALLKNTHDPRLAWHLFKRILSLPISPIHLRQSVPIIARILIRAKMLKELDHLHQLLLNSPLLENLDPSLESLVSILAKSCLFDKAISHFKSLRLRFPEKPPSIFLYNVLLRSCIRENRVDFVSWLYKDMAVAGVSPETYTFNILIGLLCDSGRLDDARELFDKMPEKGCKPNEFSFGILVRGYCRAGLASKGLEFLNEMRSSGLLPNRIVYNTLISSFCREGKISAAEELVDRMREDGLSPDVSTFNSRISALCSEGKILEASRIFRDMQIDEELGLPRPNVITYNLVLMGFCKEGMLEEAKTLVEAMKRNNNLMNLESYNIWLLGLVRNGKLLDAQSVLKEMLGVGLEPDIYSYNIVMDGLCKSGMLSDARMLMYLMIHNGISPDAVTYSTLLHGYCRKGKVFEAKNILHDMIRNNCSPNTYTCNILLHSLWKEGRISEAEELLQKMNEKGYGVDTVTCNIVIDGLCNNGQLDKAIEIVSGMWIHGSAALGNLGNSFIGLVDSSNSRKKCIPDLITYSTIISGLCKAGRLDEAKKKFIEMMGKSLQPDSAIYDTFIHSLCREGKISSAFRVLKDMEKKGCSKTLQTYNSLILGLGSKNQIFEIYGLLDEMKEKGISPNVYTYNNVLNCLCEGGRIKDAPSVLDEMLQKGIPHNISSFRILIRAFCKACDFTAAQEIFEIALNIYGHKEVLYSLMFNELLVGGYVSEAKDLLETALDKHFNLGNFLYKDLIDRLCKYDKLEAASDVIRRLIATGYHFDPASFMPVIDGFSKIGNKREADELAERMMEMASQSRTLNKAYHNASNFIHDKKNKDGGTDWQTIVHRDDCCGIALKALKQVEKGCGQGSVSNLRRQKDEFFDYWDGSN >Manes.11G110800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25632627:25639387:1 gene:Manes.11G110800.v8.1 transcript:Manes.11G110800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTKLTKALLKNTHDPRLAWHLFKRILSLPISPIHLRQSVPIIARILIRAKMLKELDHLHQLLLNSPLLENLDPSLESLVSILAKSCLFDKAISHFKSLRLRFPEKPPSIFLYNVLLRSCIRENRVDFVSWLYKDMAVAGVSPETYTFNILIGLLCDSGRLDDARELFDKMPEKGCKPNEFSFGILVRGYCRAGLASKGLEFLNEMRSSGLLPNRIVYNTLISSFCREGKISAAEELVDRMREDGLSPDVSTFNSRISALCSEGKILEASRIFRDMQIDEELGLPRPNVITYNLVLMGFCKEGMLEEAKTLVEAMKRNNNLMNLESYNIWLLGLVRNGKLLDAQSVLKEMLGVGLEPDIYSYNIVMDGLCKSGMLSDARMLMYLMIHNGISPDAVTYSTLLHGYCRKGKVFEAKNILHDMIRNNCSPNTYTCNILLHSLWKEGRISEAEELLQKMNEKGYGVDTVTCNIVIDGLCNNGQLDKAIEIVSGMWIHGSAALGNLGNSFIGLVDSSNSRKKCIPDLITYSTIISGLCKAGRLDEAKKKFIEMMGKSLQPDSAIYDTFIHSLCREGKISSAFRVLKDMEKKGCSKTLQTYNSLILGLGSKNQIFEIYGLLDEMKEKGISPNVYTYNNVLNCLCEGGRIKDAPSVLDEMLQKGIPHNISSFRILIRAFCKACDFTAAQEIFEIALNIYGHKEVLYSLMFNELLVGGYVSEAKDLLETALDKHFNLGNFLYKDLIDRLCKYDKLEAASDVIRRLIATGYHFDPASFMPVIDGFSKIGNKREADELAERMMEMASQSRTLNKAYHNASNFIHDKKNKDGGTDWQTIVHRDDCCGIALKALKQVEKGCGQGSVSNLRRQKDEFFDYWDGSN >Manes.11G110800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25632627:25639387:1 gene:Manes.11G110800.v8.1 transcript:Manes.11G110800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTKLTKALLKNTHDPRLAWHLFKRILSLPISPIHLRQSVPIIARILIRAKMLKELDHLHQLLLNSPLLENLDPSLESLVSILAKSCLFDKAISHFKSLRLRFPEKPPSIFLYNVLLRSCIRENRVDFVSWLYKDMAVAGVSPETYTFNILIGLLCDSGRLDDARELFDKMPEKGCKPNEFSFGILVRGYCRAGLASKGLEFLNEMRSSGLLPNRIVYNTLISSFCREGKISAAEELVDRMREDGLSPDVSTFNSRISALCSEGKILEASRIFRDMQIDEELGLPRPNVITYNLVLMGFCKEGMLEEAKTLVEAMKRNNNLMNLESYNIWLLGLVRNGKLLDAQSVLKEMLGVGLEPDIYSYNIVMDGLCKSGMLSDARMLMYLMIHNGISPDAVTYSTLLHGYCRKGKVFEAKNILHDMIRNNCSPNTYTCNILLHSLWKEGRISEAEELLQKMNEKGYGVDTVTCNIVIDGLCNNGQLDKAIEIVSGMWIHGSAALGNLGNSFIGLVDSSNSRKKCIPDLITYSTIISGLCKAGRLDEAKKKFIEMMGKSLQPDSAIYDTFIHSLCREGKISSAFRVLKDMEKKGCSKTLQTYNSLILGLGSKNQIFEIYGLLDEMKEKGISPNVYTYNNVLNCLCEGGRIKDAPSVLDEMLQKGIPHNISSFRILIRAFCKACDFTAAQEIFEIALNIYGHKEVLYSLMFNELLVGGYVSEAKDLLETALDKHFNLGNFLYKDLIDRLCKYDKLEAASDVIRRLIATGYHFDPASFMPVIDGFSKIGNKREADELAERMMEMASQSRTLNKAYHNASNFIHDKKNKDGGTDWQTIVHRDDCCGIALKALKQVEKGCGQGSVSNLRRQKDEFFDYWDGSN >Manes.09G075219.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18834958:18836682:-1 gene:Manes.09G075219.v8.1 transcript:Manes.09G075219.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEFTMSLMGELTFFLGLQIKQINDGIFINQSKYIKDMLKKFKMNELKGIKTLMDSSIKLDKDEKGKDVDQKSYRGIISSLLYLTASKPEIHFSFLGHALVYWFSKKQISVALSIVDAEYIAAESYVAQIFWMKQQLNDYGIEVDHIPIRCDNTSTINLTKNPIQHSRTKHIKIKHHFIRDHVQNGDIELEFVSTQKQLADIFTKPLNEDTFCRIRRELGMIDLE >Manes.13G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5020303:5024834:-1 gene:Manes.13G042700.v8.1 transcript:Manes.13G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKFGHISLAFLLKFFNFVQAFLGVSIILDSVWMLNQWNRRIPFSPPPFASPSPDPSLSLLPDSQSHSLRVLNLVSHLAYGMDDDGLDLGLNSFKLPAPWFIYCFMGVGIVLCTIPLIGCIAAEAINGFCLCVYTILKTVLILLEVALVAYIAIDHRWEKDLPFDPTGELQSLRSFIEENVDVCKWLGITIITIQVLSLLLAIILRALVSTPGADSECEDHIENVGGGTWEPLLNQSSQTSGSGTHSESWIARIREKYGLNISDKTNALNQNNGS >Manes.12G077100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9347136:9348750:1 gene:Manes.12G077100.v8.1 transcript:Manes.12G077100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLFRAAVSCSPSVFGGSSLNKRKDVFAEQGKESMCLQWTSIKLLFFAKSVNIATELNKLLVENNFPSICSILACPRRKTGCDGIKGSDDLQHIQR >Manes.05G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9947578:9951531:-1 gene:Manes.05G105500.v8.1 transcript:Manes.05G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFKAQMVPEWQEAYMDYDFLKTLLKEIQRFKQRNKPPATPGSGLKRKLTLYRAFSGLTQRNNHNPESPSSSDIESQAILVNSVNRDGSQNYETTFLMSSDEGGEYELVYFRRLDDELNKVNKFYKDKVEEVMKEAAMLNTQMDALIAFRIKVENPTGWSDRTGDMTRLASDVAASAATLAASTPSGARATRRVHILDSIEEGPGLHDDEQSVKSTDDREEENGGIKVEAVEKQKQKKVRGTRPAPLEILNHVKMNNTLATPRSTIKGFLKVPHNTELKFTRENLRRVEEQLKRAFVEFYQKLRLLKSYSFLNTLAFSKILKKYDKITSRGASKSYMKMVDNSYLGSSDEVTKVMERVEATFIKHFSNSNRSKGMSILRPTAKRERHRTTFSTGFFSGCTAALIIALVLIIRARNIMDKPGKEAYMKTMFPLYSLFGFIVLHLLMYAANIYFWRRYRVNYSFIFGFKRGTEMGYRQVLLVGFVVAVLALISVLSNLDMEMDPKTKQYKQFTELLPLFLVILLLVLLFLPFNVLYRSARFFLLTCLFHCIAAPLYKVALADFFLADQLTSQVQAIRSLEFYICYYGWGDYKRRENTCKTSGVYNTFYFIVAVIPYWARLLQCLRRLFEEKDPMQGYNGLKYFVTIVAVSLRTAYSLSKGTAWQVIAWVFSVIAAISGTYWDLVIDWGLLQRHSKNRWLRDKLLVPNKIVYYVAIVLNVLLRFAWLQTVLNFRFFSLHRETSIAIVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDDDKDE >Manes.05G184600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30312681:30315421:-1 gene:Manes.05G184600.v8.1 transcript:Manes.05G184600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSSSSLHNLVQSVRLGSLSQLGAFLCYSSQAASSLQQVDKPLPYCADGEGEFANVDWDNLGFGIMPTDYMFTMRCSKDGCFEQGQLTRYGNIELSPSAGVLNYGQGLYEGTKAYRKEDGRLLLFRPDQNAIRMKMGADRLCMPCPSIDQFVDAVKGVALANKRWVPPPGKGTLYIRPLLMGSGPVLGLAPAPEYTFLTYASPVGNYFKEGSAPLNLYIEEEFHRASRGGAGGVKSITNYAPVLKAIARAKSRGFSDVLYLDSVNKRYLEEVSSCNIFIVKGNVICTPAANGTILQGVTRRSVIEIAHDHGYQVEERAISVDELMDADEVFCTGTAVGVAPVGSIKYQDRRVEYKIRVESVAQELYSTLEGIKRGLIEDKKGWIIEI >Manes.05G184600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30312681:30315422:-1 gene:Manes.05G184600.v8.1 transcript:Manes.05G184600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSSSSLHNLVQSVRLGSLSQLGAFLCYSSQAASSLQQVDKPLPYCADGEGEFANVDWDNLGFGIMPTDYMFTMRCSKDGCFEQGQLTRYGNIELSPSAGVLNYGQGLYEGTKAYRKEDGRLLLFRPDQNAIRMKMGADRLCMPCPSIDQFVDAVKGVALANKRWVPPPGKGTLYIRPLLMGSGPVLGLAPAPEYTFLTYASPVGNYFKVLKAIARAKSRGFSDVLYLDSVNKRYLEEVSSCNIFIVKGNVICTPAANGTILQGVTRRSVIEIAHDHGYQVEERAISVDELMDADEVFCTGTAVGVAPVGSIKYQDRRVEYKIRVESVAQELYSTLEGIKRGLIEDKKGWIIEI >Manes.05G184600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30312710:30315385:-1 gene:Manes.05G184600.v8.1 transcript:Manes.05G184600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSSSSLHNLVQSVRLGSLSQLGAFLCYSSQAASSLQQVDKPLPYCADGEGEFANVDWDNLGFGIMPTDYMFTMRCSKDGCFEQGQLTRYGNIELSPSAGVLNYGQGLYEGTKAYRKEDGRLLLFRPDQNAIRMKMGADRLCMPCPSIDQFVDAVKGVALANKRWVPPPGKGTLYIRPLLMGSGPVLGLAPAPEYTFLTYASPVGNYFKEGSAPLNLYIEEEFHRASRGGAGGVKSITNYAPVLKAIARAKSRGFSDVLYLDSVNKRYLEEVSSCNIFIVKGNVICTPAANGTILQGVTRRSVIEIAHDHGYQVEERAISVDELMDADEVFCTGTAVGVAPVGSIKYQDRSAKNLCMYRVEYKIRVESVAQELYSTLEGIKRGLIEDKKGWIIEI >Manes.02G205100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17810940:17814946:1 gene:Manes.02G205100.v8.1 transcript:Manes.02G205100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPFHGFRVRGTTKMEETAEIERPRPIFRDIRRYFCDYCGICRSKKSLITSHIQKHHKEEMDKEKAHGDEKIEGVRSNTCQECGASFKKPAYLKQHMQSHSLMRPYVCSADDCHASYRRKDHLTRHLLTHVGKLFRCPIENCSLEFVFQGNVKRHVKELHNENCPSTNVGQKQYVCHETGCGKVFKYPSKLQKHEDSHVKLESVEAFCAEPGCMKHFSNAQCLKAHIYSCHRYMSCEICGTKQLKKNLKRHLRTHEAGGQSMEKIECHFEGCCHVFSSKTNLNLHIKAVHLEGRPFTCGVPGCDMRFAYKHVRDKHEKSGCHIYTPGDFVESDEQFRSRPRGGRKRKCPTVEMLIRKRVNAPIDLDGCHAFFQSMES >Manes.15G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8823774:8830100:-1 gene:Manes.15G110500.v8.1 transcript:Manes.15G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWCRGEPSVYRVSSNAKSESPKDQSPSGKPSKDIKKLPSNPEEVEDLRRDSAANPLIAFTYDELKVITANFRQDSVLGGGGFGCVYKGFITEDLREGLQPLPVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEHRVLIYEFMARGSVENNLFSRVLLPLPWYIRMKIAFGAAKGLAFLHEADKPVIYRDFKTSNILLDLEYNAKLSDFGLAKDGPMGDKTHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLADWALPLLKEKKKMLNIVDPRLEGDYPIKGLHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQVPEETWNGKSMFTVINDVADG >Manes.12G043301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3988736:3994492:1 gene:Manes.12G043301.v8.1 transcript:Manes.12G043301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINCFWVVFILFSGLWILGFCEGQDGFLSLSCGASKNYTDSFNIQWVLDSAYTSTGNTTTVDYAVGTFSSTVPVRFFPETDQGRKCYKLPVKNVSSVVIVRAQFVYKNYDKLGKPPAFSVSLGTAIVTTVNLTTSDPWNEEFVWPVKRDTLSFCLHAIPDGGSPVISSIEVRPLPQGAYQSGLGEFLDKSLRKSHRINSGYTNGSLRYPLDPHDRVWDADENYTPFHVSSGFNMLHSFNLSSLPENPPLAVLQTARVLARRNALTYNLALDTLGDYYIVLYFAGILPLSPSFDIFINGDIAQSNYTVTMSEPSALHLTREGISSLNITLKSINFYPQINAIEVYEVVDIPLEASSTTVSALQVIQQSTGLDLGWEDDPCSPKSWDHIECEENLVTSLDLSDINLRSISPTFGDLLDLKALDLHNTSLAGEIQNLGSLQHLEKLNLSFNHLTSFGTELDGLISLQILDLQNNSLQGIVPDGLGELVDLHLLNLENNKLQGSLPHSLNRESLEVRTRGNPCLSFSTMSCNDVASNPSIETPQVTIVTDKKPNKISHMAIILSAAGGTILALLVISLSVFLYTKKQSSGITYSDRAATDMRNWNSARIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSEGKLVAVKVRFDKTQLGADSFINEVYLLSQIRHQNLVCLEGFCHESKQQILVYEYLPGGSLVDHLYGPNSQRVSLSWVRRLKIAVDAAKGLDYLHNGNEPRIIHRDVKCSNILLDKDMNAKVCDFGLSKQVMQADASHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLRHSGTPDSFNLVLWAKPYLQAGAFEIVDDNLKGTFDVESMRKAAIVAVRSVERDASQRPNIAEVLADLKEAYNMQLSYLAARDM >Manes.03G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3185728:3190769:1 gene:Manes.03G036500.v8.1 transcript:Manes.03G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAVAVNGGEGVGGRGSRRAVKWAVENLLPQTQRFILVHVIPAITFIPTPSGDRIPIEELDENVVALYVLEVKVKFEEIFIPFKKLCKAQKVETVVLMDDNPASAILNYVSQSGINSLVLGSWSPNCIIRKLKGPGVPTTVLNGSPEMCNVHVVSKRKIITKSTYSSFISEASSRNRMSHERDHKKSSSSINNLVSEPEIYSPYVESKVQKSFGESSLSHRSVKGSEASMKTESSYVQAEIEQLRLELQNTISLYKRACEELVHTQSQVELLSSDIVEEAGRVNAALEREEALRKIAAEEKAKYLQAKTELEEAKELLANEAYERQMAELRANKESSEKQRIVDALFMSDRRYKKYTQDEIELATNFFSQSNVIGEGGYGKVYKCILDHTPVAVKALRSDAVTKKEEFLREVEVLSQLHHPHLVLLLGACPESGCLVYEYLENGSLEEHIFHRNEKSPLPWFVRFRVVFEVACALAFLHNSKPEPVVHRDLKPGNILLDRNYVSKIGDVGLAKLITDIVPDNITEYKDSIIAGTLCYMDPEYMRTGTIRPKSDLYAFGIIILQLLTAHQPNGLASIVENAFRKGCFEDILDTSVADWPLAETEELAKIALQCSQLRCRDRPDLDTEVLPVLRRLADSAATRGKVERANTHAPSHYFCPILQEIMDDPYIASDGFTYEYRAIKAWLQRHSVSPVTKLRLQHSMLTPNHTLRSAIQEWGSSKHCQEP >Manes.03G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3185649:3190769:1 gene:Manes.03G036500.v8.1 transcript:Manes.03G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAVAVNGGEGVGGRGSRRAVKWAVENLLPQTQRFILVHVIPAITFIPTPSGDRIPIEELDENVVALYVLEVKVKFEEIFIPFKKLCKAQKVETVVLMDDNPASAILNYVSQSGINSLVLGSWSPNCIIRKLKGPGVPTTVLNGSPEMCNVHVVSKRKIITKSTYSSFISEASSRNRMSHERDHKKSSSSINNLVSEPEIYSPYVESKVQKSFGESSLSHRSVKGSEASMKTESSYVQAEIEQLRLELQNTISLYKRACEELVHTQSQVELLSSDIVEEAGRVNAALEREEALRKIAAEEKAKYLQAKTELEEAKELLANEAYERQMAELRANKESSEKQRIVDALFMSDRRYKKYTQDEIELATNFFSQSNVIGEGGYGKVYKCILDHTPVAVKALRSDAVTKKEEFLREVEVLSQLHHPHLVLLLGACPESGCLVYEYLENGSLEEHIFHRNEKSPLPWFVRFRVVFEVACALAFLHNSKPEPVVHRDLKPEYKDSIIAGTLCYMDPEYMRTGTIRPKSDLYAFGIIILQLLTAHQPNGLASIVENAFRKGCFEDILDTSVADWPLAETEELAKIALQCSQLRCRDRPDLDTEVLPVLRRLADSAATRGKVERANTHAPSHYFCPILQEIMDDPYIASDGFTYEYRAIKAWLQRHSVSPVTKLRLQHSMLTPNHTLRSAIQEWGSSKHCQEP >Manes.03G036500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3185728:3190769:1 gene:Manes.03G036500.v8.1 transcript:Manes.03G036500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAVAVNGGEGVGGRGSRRAVKWAVENLLPQTQRFILVHVIPAITFIPTPSGDRIPIEELDENVVALYVLEVKVKFEEIFIPFKKLCKAQKVETVVLMDDNPASAILNYVSQSGINSLVLGSWSPNCIIRKLKGPGVPTTVLNGSPEMCNVHVVSKRKIITKSTYSSFISEASSRNRMSHERDHKKSSSSINNLVSEPEIYSPYVESKVQKSFGESSLSHRSVKGSEASMKTESSYVQAEIEQLRLELQNTISLYKRACEELVHTQSQVELLSSDIVEEAGRVNAALEREEALRKIAAEEKAKYLQAKTELEEAKELLANEAYERQMAELRANKESSEKQRIVDALFMSDRRYKKYTQDEIELATNFFSQSNVIGEGGYGKVYKCILDHTPVAVKALRSDAVTKKEEFLREVEVLSQLHHPHLVLLLGACPESGCLVYEYLENGSLEEHIFHRNEKSPLPWFVRFRVVFEVACALAFLHNSKPEPVVHRDLKPGNILLDRNYVSKIGDVGLAKLITDIVPDNITEYKDSIIAGTLCYMDPEYMRTGTIRPKSDLYAFGIIILQLLTAHQPNGLASIVENAFRKGCFEDILDTSVADWPLAETEELAKIALQCSQLRCRDRPDLDTEVLPVLRRLADSAATRGKVERANTHAPSHYFCPILQVRTHAL >Manes.17G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2157004:2164126:-1 gene:Manes.17G005700.v8.1 transcript:Manes.17G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVDVLRYLSKDDFRVLTAVEMGMRNHEIVPTELIDRIASLKHGGTYKVLKNLLKHKLLHHDSSKYDGFRLTYLGYDFLAIKTMVNRGVFAAVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRISFRAVKSKRDYLRHRSSYNWLYLSRLAGLKEFAFMKALEEHGFPVPKAVDCNRHCVVMSLVQGYPLVQVKQLQNPETVFETILGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVSHRNAQMYFDRDVECIFKFFGKRFNLSFKEDTDENDGLEVDSDENGRPTFSSISKSAGFLDRELAASGFSRKDQDDIEKFIEGGIEKDRNSDSEGTEDEENESESNETINRTLDTLHLLEKEEHSSNSVEDGKVDENQQSCETSQNIGSESEEASDKEEESRTLDTGDDAELVKRLNKQRRRAIASARGGRRSLASRNSYKDKGGKSSHNSKLKKQLSNW >Manes.06G030300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:7897821:7898273:1 gene:Manes.06G030300.v8.1 transcript:Manes.06G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITAFLGNRRPNAFDPFSLDIWDPFDGFPFPSITSNDRPSTRIDWKETPTAHVFKADVPGLRKEELKVEVEDGKVLQISGERSKEEKSAGDTWHRIERSSGKFSWRFKLPDDAIVQDVKASMESGVLTVTLPKEAEKKNADVRSIQISD >Manes.02G057800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4532167:4536068:1 gene:Manes.02G057800.v8.1 transcript:Manes.02G057800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNQYAWKPNAGHKINETEVGGKLRPLSEITGVCTRCKDQIDWKRRYGKYKPLSEPAKCQRCSKRAVRQAYHNLCSACAKEQNVCAKCCCRVNQIIGRDSAEVEAEQKMLEEAIKNARERDRRTLLRAMNKGKIKSSEKNVTNEGNKVGDLFPSASLEEYAAKSRGLNRDLNGHNDLDHSGEEEEEEDDDDGETGEAQDVDKIKDEDECKGEDG >Manes.04G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33681188:33681787:-1 gene:Manes.04G136800.v8.1 transcript:Manes.04G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRQRIEEVIKMQASVQRCTASVDFLENEKPFFPPTVNNEQFHEHFKIVAGGLLGTDRVNDMPPLMESKNFAFYQELIPGYFFFIGMQNKTHKQLQSPHSHLFEINEDVLPHGAVLYASLAAKYLVEFLPDVPLPDGKHHDEL >Manes.04G136800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33680127:33682943:-1 gene:Manes.04G136800.v8.1 transcript:Manes.04G136800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRQRIEEVIKMQASVQRCTASVDFLENEKPFFPPTVNNEQFHEHFKIVAGGLLGTDRVNDMPPLMESKNFAFYQELIPGYFFFIGMQNKTHKQLQSPHSHLFEINEDVLPHGAVLYASLAAKYLVEFLPDVPLPDGKHHDEL >Manes.04G136800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33680127:33682943:-1 gene:Manes.04G136800.v8.1 transcript:Manes.04G136800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRQRIEEVIKMQASVQRCTASVDFLENEKPFFPPTVNNEQFHEHFKIVAGGLLGTDRVNDMPPLMESKNFAFYQELIPGYFFFIGMQNKTHKQLQSPHSHLFEINEDVLPHGAVLYASLAAKYLVEFLPDVPLPDGKHHDEL >Manes.09G170401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36192932:36195649:1 gene:Manes.09G170401.v8.1 transcript:Manes.09G170401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRYDRKPPGVGRGRGRGREEGTGGRQAKGIGRGIDDGGAKGSGGGRGKGGPAGKTGPNKGGGRGRG >Manes.18G027000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2352414:2358442:1 gene:Manes.18G027000.v8.1 transcript:Manes.18G027000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSTPARLTLLALLSASTFYCFYKSRRLKLLKVSLNAKDNLDANPVSSSNKGKLFFITQTGTSKTLAQRLHNLLKLNDLPFDLIDIKDYEPEDLSKETLVIIIASTWEDGKPPSNASFFGNWLAESADDFRVGSLLLSKCKFSVFGVGSAAYGDTFNAVAKGFSRRLRDLGANEIVEVGEGDVDGGELDLIFEEWSGKVLRILKGGSVQNGTVFSNGCVGGESDNENIEDGFESDNDGNGFAESGIVDLEDIAGKGPSRRSTAVAEVNEKVNGQKEMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKNWQWKMDDPLEIVNSAIDQHAKMIKQMKGVPGVTLERLTEGLSPRHCALSLVGEPIMYPEINKLVDELHQRRISTFLVTNAQFPEKIKMLKPVTQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLKALKEKQQRTVYRLTLVKGWNTEDVDAYFNLFSIGQPDFIEIKGVTYCGTSATSKLTMENVPWHSDVKAFSEALALKSKGEYEVACEHVHSCCVLLAKTEKFKVNGQWFTWIDYDKFHDLVASGKPFNGKDYMATTPSWAVYGAQEGGFDPDQSRYRKERHHRTSH >Manes.18G027000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2352414:2358334:1 gene:Manes.18G027000.v8.1 transcript:Manes.18G027000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSTPARLTLLALLSASTFYCFYKSRRLKLLKVSLNAKDNLDANPVSSSNKGKLFFITQTGTSKTLAQRLHNLLKLNDLPFDLIDIKDYEPEDLSKETLVIIIASTWEDGKPPSNASFFGNWLAESADDFRVGSLLLSKCKFSVFGVGSAAYGDTFNAVAKGFSRRLRDLGANEIVEVGEGDVDGGELDLIFEEWSGKVLRILKGGSVQNGTVFSNGCVGGESDNENIEDGFESDNDGNGFAESGIVDLEDIAGKGPSRRSTAVAEVNEKVNGQKEMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKNWQWKMDDPLEIVNSAIDQHAKMIKQMKGVPGVTLERLTEGLSPRHCALSLVGEPIMYPEINKLVDELHQRRISTFLVTNAQFPEKIKMLKPVTQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLKALKEKQQRTVYRLTLVKGWNTEDVDAYFNLFSIGQPDFIEIKGVTYCGTSATSKLTMENVPWHSDVKAFSEALALKSKGEYEVACEHVHSCCVLLAKTEKFKVNGQWFTWIDYDKFHDLVASGKPFNGKDYMATTPSWAVYGAQEGGFDPDQSRYRKERHHRTSH >Manes.12G159300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36670085:36673656:1 gene:Manes.12G159300.v8.1 transcript:Manes.12G159300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTCFALSTQAPYHQSNGPISCFPKTLLSISLPKASLFTGNDDPRPLSFCSSKRKLNLAILALIITESLPNTSKTILAVELEQLQRYTDPKEGFTLLVPSSYAKVDKAGATVLFEEINKASNNVGVVVSPVRLTSLGEFGTPQFVADKLIQAEKRKESTKEAEVIRVAERTGHGSLQVYEFEYKVDSTRGGMKRIFSAAFVTSKKLYLLNISHADKPENPLDTQTRMMLEEVLHSFNTA >Manes.10G015714.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1570604:1573810:1 gene:Manes.10G015714.v8.1 transcript:Manes.10G015714.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNSLSGEIPGDISNCSELRVMSLIKNNLAGNIPSQLGSLKKLVVLYLGGNKLTGEIPHSLGNLSSLQDFFLSDNHLQGKIPTGLGQLRNLTVFAVGANNLSGTIPPALYNISSITTFETTNNQFTGSLPANLGLTLPNLQELFFAQNEYFGSIPESLANASRLRLIDISNNSFTGQFPTDLGYLKGLESLHLEFNFFGSNTSQDLSFVPSLANCSNLQQLYFDGNNFGGALPSSIGNLSNLVQLGFGRNPISGTIPEEVGNLVNLYRLDMDRNLFSGSIPISFGKLQKLERLTLNQNLLSGEIPASLGNITTLYWLELEGNKFQGNITPSLGRCRNLRFLDVSRNKLTGFIPKEILGLSSLSETLNLSQNSLTGPLPIEVGSLRSINALDVSENKLSGEIPRTIGDLSRLEILNMQGNFLQGSIPSIFDSLRGLQRIDLSRNNLSGNIPNELEKLMFLQYLNLSFNNFEGEVPKTGVFSNANAFSLVGNKNLCGGIPELQLPACPGKEEKRRRPSIVIVLTTTISSFILVVIATSFYLFYRRKSKRNPISSPFMVDKLPQISYGELLKATDGFSSENLIGQGSFGSVYKGSLVQQGEGLVAVKVLNLQQHGASKSFISECNALKNIRHRNLVKILTYCSSIDFKGNDFKALVFTYLANGSLEMRLHPQENGNSQTKELNFLQRLCIAIDVASALHYLHDLCETPIVHCDLKPSNILLDNDMTAHVGDFGLARLISESTSNSSQSQIFSTGIKGTIGYMAPEYGVGSNVTTYGDVYSYGILLLEMFTGKRPTHEIFTDGLDLHNFVKAKLPGQVRQVVDPTLFTPGEVEGATTAAAENMDDCECIEDSVEECVVSVLQIGLACSAEVPQDRMNMRDVTSKLNSIRVSFTGTRN >Manes.06G156500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28278219:28285379:-1 gene:Manes.06G156500.v8.1 transcript:Manes.06G156500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDMEIEEIEAVLEKIWDLHDKLSDAIHSVSRSHFLNSIRNLRKSNQKKLYNDAVEENRDGFVYAKDFRLDDNESAIQEAKSLNAIRTALENLEDQLEFFHTVQIQQQAERDAAIARLEQSRIVLAMRLAEHHGKKYKVIEEALTFLGDVHEASRIVSPESQCPSGENVVRPKEKGSNIAIKFLISSFDFVRKSLKSDHVGGLLCNAAIFSISVIALLRLHQVAYKEHRYKQEELISNNRNVRKAPWVEGFSSNVNLNHLDVMLARG >Manes.06G156500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28279156:28285379:-1 gene:Manes.06G156500.v8.1 transcript:Manes.06G156500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDMEIEEIEAVLEKIWDLHDKLSDAIHSVSRSHFLNSIRNLRKSNQKKLYNDAVEENRDGFVYAKDFRLDDNESAIQEAKSLNAIRTALENLEDQLEFFHTVQIQQQAERDAAIARLEQSRIVLAMRLAEHHGKKYKVIEEALTFLGDVHEASRIVSPESQCPSGENVVRPKEKGSNIAIKFLISSFDFVRKSLKSDHVGGLLCNAAIFSISVIALLRLHQVAYKEHRYKQEELISNNRNVRKAPWVEGFSSNVNLNHLDVMLARG >Manes.06G156500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28278200:28285379:-1 gene:Manes.06G156500.v8.1 transcript:Manes.06G156500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDMEIEEIEAVLEKIWDLHDKLSDAIHSVSRSHFLNSIRNLRKSNQKKLYNDAVEENRDGFVYAKDFRLDDNESAIQEAKSLNAIRTALENLEDQLEFFHTVQIQQQAERDAAIARLEQSRIVLAMRLAEHHGKKYKVIEEALTFLGDVHEASRIVSPESQCPSGENVVRPKEKGSNIAIKFLISSFDFVRKSLKSDHVGGLLCNAAIFSISVIALLRLHQVAYKEHRYKQEELISNNRNVRKAPWVEGFSSNVNLNHLDVMLARG >Manes.05G086328.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7237702:7238839:1 gene:Manes.05G086328.v8.1 transcript:Manes.05G086328.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFIIPLLVTKSSINLTFRELRIEKRIELDCPGKKAWPELVGIDANCAAVIIEKENKHVKAIMMEDGKLVPRDLRCNRVWVFVDKNNVVTKIPRVG >Manes.12G159500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36679220:36683044:-1 gene:Manes.12G159500.v8.1 transcript:Manes.12G159500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFLTSTPLLSESWRLCDLANTMPSQGFVAEQTGSLGYLAFSGVQLVAGPDPTSARNLEPLLTSTNDLFSPLQHQIDGEEEEGQEPVMVHAGLLRVFLSVYSNPSFQNQMLKILEKSKSIVISGHGIGGTTAGLCALWLLSYLQSISSTISVLCITFGSPLLGNESLSRAILRQGWAGNFCHVVSKHDLVPRLLFAPLAPLTPQLHSLLQFWQLSMTSPHFALLAAKLPDESKAEIFHTVLASLNGLTQAEQGKKVNCGFSPFGNYFFCSEDGAICIDNATSIIKMMHLLLLSSSPSCSIEDHLKYGYYVRKLTLQVLMRTSFPPRELPESSYEAGVALALQSSGIACQDEHVAPPAKACLKLERRTGRTPNLNCANLAIKLSKIAPYRAEIEWYKASCDQSDDQMGYYDSFKQRGASKRDSKVNMNRLKLARFWDNVINMLENNDLPRDFRRRAKWVNASQFYMLLVEPLDIAEYYRTGMHRKKGHYIAHGRERRYHIFERWWKERPIKEEEQKTRSKFASSTQDTCFWARVEEAKEWLDNVRDESDPRNQARLWSDLDNFARCASELVERKEVSKDVVAKNSSYCLWVRDYEELKSQFVGAPQFPSIMDREVVS >Manes.12G159500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36678452:36683044:-1 gene:Manes.12G159500.v8.1 transcript:Manes.12G159500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFLTSTPLLSESWRLCDLANTMPSQGFVAEQTGSLGYLAFSGVQLVAGPDPTSARNLEPLLTSTNDLFSPLQHQIDGEEEEGQEPVMVHAGLLRVFLSVYSNPSFQNQMLKILEKSKSIVISGHGIGGTTAGLCALWLLSYLQSISSTISVLCITFGSPLLGNESLSRAILRQGWAGNFCHVVSKHDLVPRLLFAPLAPLTPQLHSLLQFWQLSMTSPHFALLAAKLPDESKAEIFHTVLASLNGLTQAEQGKKVNCGFSPFGNYFFCSEDGAICIDNATSIIKMMHLLLLSSSPSCSIEDHLKYGYYVRKLTLQVLMRTSFPPRELPESSYEAGVALALQSSGIACQDEHVAPPAKACLKLERRTGRTPNLNCANLAIKLSKIAPYRAEIEWYKASCDQSDDQMGYYDSFKQRGASKRDSKVNMNRLKLARFWDNVINMLENNDLPRDFRRRAKWVNASQFYMLLVEPLDIAEYYRTGMHRKKGHYIAHGRERRYHIFERWWKERPIKEEEQKTRSKFASSTQDTCFWARVEEAKEWLDNVRDESDPRNQARLWSDLDNFARCASELVERKEVSKDVVAKNSSYCLWVRDYEELKSQFVGAPQFPSIMDREVVS >Manes.08G171500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40526829:40529383:-1 gene:Manes.08G171500.v8.1 transcript:Manes.08G171500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMHRRLLDTELTLAPANWNRTHDSYISETNFDTNMVIILAALLCALIGALGLNSIVRCALRCSRRFTLETPGQTAARLATTGLKKRHLRQIPVAVYGTGMNVPATECPICLGEFADGEKVRVLPKCNHGFHVRCIDTWLLSHSSCPNCRLSLLEHTSGGSDEARSQPENDNRRQGNAVVIEGAS >Manes.08G171500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40526829:40529383:-1 gene:Manes.08G171500.v8.1 transcript:Manes.08G171500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMHRRLLDTELTLAPANWNRTHDSYISETNFDTNMVIILAALLCALIGALGLNSIVRCALRCSRRFTLETPGQTAARLATTGLKKRHLRQIPVAVYGTGMNVPATECPICLGEFADGEKVRVLPKCNHGFHVRCIDTWLLSHSSCPNCRLSLLEHTSGGSDEARSQPENDNRRQGNAVVIEGAS >Manes.13G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32542591:32545789:1 gene:Manes.13G118600.v8.1 transcript:Manes.13G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDSAYFVGRSEILSWINSTLQLNLSKVEEACSGAVHCQLMDSVHPGIVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVNKLTKGRPLDNLEFMQWMKRYCDSVNGGALNYNPLERREACKGGKEASKKCGASQPSAKTSTAAAPKSQSSHNPRRNDLSSVNSANQSVKASKPPPSSVPAYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQSPEIENLTVVAAIKRILYAADDDPSVVAEAQAMVSLQQKEALSPIVETSSEEKMNSDSQKRKCIVNYEVDSTLIAALSPRQRLSEATDVHCSGSPLVTY >Manes.08G012400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1401047:1404584:-1 gene:Manes.08G012400.v8.1 transcript:Manes.08G012400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQMLQISEKDQSTCLTGNPIDDCWKCDPDWANNRQRLADCAIGFGQYALGGKNGEYYIVTDSSDDDAVNPKPGTLRYAVIQEEPLWIVFPSNMLIRLSQELIFNSFKTLDGRGANVHIVGGGCITLQYITNVIIHNIHIHHCVPSGNTNVRSSPTHYGYRTKSDGDGISIFGSKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNYFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGEELVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGNPTINSQANRYTAPADRNAKEVTKRVDTAEGGWKDWNWRSEGDILVNGAFFVASGEGLEVKYEKAYSVEPKSAAFIDLITMHAGVLGVGGRDNNLGMWSTGANGVGGGGTGEDSGYYYLDDYSGSSSPLLPPSTYGLFTIFIAMFCLISFHIMIALVSML >Manes.08G012400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1401047:1405315:-1 gene:Manes.08G012400.v8.1 transcript:Manes.08G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMNCIVPILLLSLFSPLGLAMLNLTFPNPHPNPEEVAQEVQRRVNASMSRRQMLQISEKDQSTCLTGNPIDDCWKCDPDWANNRQRLADCAIGFGQYALGGKNGEYYIVTDSSDDDAVNPKPGTLRYAVIQEEPLWIVFPSNMLIRLSQELIFNSFKTLDGRGANVHIVGGGCITLQYITNVIIHNIHIHHCVPSGNTNVRSSPTHYGYRTKSDGDGISIFGSKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNYFSHHNEVMLLGHSDDYLPDSGMQVTIAFNHFGEELVQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGNPTINSQANRYTAPADRNAKEVTKRVDTAEGGWKDWNWRSEGDILVNGAFFVASGEGLEVKYEKAYSVEPKSAAFIDLITMHAGVLGVGGRDNNLGMWSTGANGVGGGGTGEDSGYYYLDDYSGSSSPLLPPSTYGLFTIFIAMFCLISFHIMIALVSML >Manes.07G022000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2444805:2445450:1 gene:Manes.07G022000.v8.1 transcript:Manes.07G022000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFNEKGANHFAHQSVYKSNARTCYYLVSKIKQLLCCSSFPRPSMSTVLFSKLITRADLEKSLLIPTSLSLQPFEDGVHWEMDMNVHDDCGQEWIFPCSIQRNEELGHVLSIGWLEFAKYGDIRVGDKVIFLEEPSLNDQANGDRIKIKVERKIRLFGKDIWAALM >Manes.05G076137.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6216784:6227089:-1 gene:Manes.05G076137.v8.1 transcript:Manes.05G076137.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLSLRVSTPSLFLSPSLPFFTPLPLFKSPPHRTHHFPILSLANNSFGQPVNQDFSTEQPQLQPRTLFPGGYKRPEVKVPNIVLQLVPDDVLSGGDALDLIDKVVSKWVGIVVLNGGDGTGKTLYEAACLLKSVVRDRAYLLIGERVDIAAAVNANGVVLSDQGLPAIVARNMMMDSKSESIVLPLVGRNVQTSRAALSASNSEGADFLIYGLEQEKYFDAKMYSGIADVKIPIFVIYSSHREAKSIMKASQLLKSGAGGLVMSLEDLRLFDDESFSQLFNTASAAEKKTESEPRSFNKFKPVDVENDTKGDKRVAGFVKLEDREKQLIEAERSILFECINVIHKAAPQMKEVSLLIDAVSQIDEPFLLAIVGEFNSGKSTVINALLGERYLKEGVVPTTNEITFLCYSKYNSEDPQRCERHPDGQYICYLPAPILKEMNIVDTPGTNVILQRQQSLTEEFVPRADLLLFVISADRPLTESEVAFLRYTQQWKKKVVFVLNKSDLYQSSSELEEAVSFIKENTRNLLNTENVTLYPVSARSALEAKLSASSDIKVDYNKSLVSESQWKINSFYELERFLHSFLDGSTETGMERMKLKLETPIAIADRILCTCETLVEQERQYAEQDLTNVTELIDSVKELTMKMEKESISWRRKTSLLIEKTKSRVQELVESTLQISNINLVTAYVFKGENSALTPAAIRVENDIIGPAVVDAKKELEEYGLWLQSNSAREGKLYQESFEKRWPSFINSNTQMHLETYDLIEKVNVLSLKVIENFSAGGASKLFEQEIRELYVGTFGGLGAAGLSASLLTSVLPTTLEDLLALGLCSAGGFIAISNFPSRKQGLIDKISRIADGLAREIEEAMQKDLLETVTNLENFVRQIGKPYKDASQQRLDKLLDVQNELSDVKEKLRTLQVEVQNLHVS >Manes.18G026480.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2802334:2804535:-1 gene:Manes.18G026480.v8.1 transcript:Manes.18G026480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSPFSATVIVTTLSFITWFIYSLFWTSSKACKKRAPPELAGAWPVIGHLRLLAGSQPPHVILGKLADKYGPIFTIKLGVHRAVIVSDWKIAKECFTSNDKAFASRPKGLAMEILGYDYSMLGFSPYGEYWRQMRKIVTVELLSNHRLEMLKHVRDAEVKAAIKGLYQEWIKNKNNTGKLKVEMKRWFCDITLNVIFKIIVGKRYVEYANVDEDQESDAWRESMREFMELSGAFAISDALPYLRRLDLGGVERKMKKIFKNLDPIVEEWLEERKQKKGAGIAKGEEDFMEALLSILNDAKELSSRDVDTINKATCLNLILAASDTTAITMTWALSLLLNNRDVLKKAQNEIDAHVGRERQMKGSDTQSLIYLQAIIKETFRLYPAAPLLLPHESMEECVINGYHIQPETRLFINASKIHKDPNVWLDPEKFFPERFLTTHKDVDFKGHNFELIPFGSGRRICPGISFSLQILNLTLASFLHAFEIETLSDSPIDMSESAGLTNSKATPVEVVLTPRLPANLY >Manes.13G060600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7099131:7106598:1 gene:Manes.13G060600.v8.1 transcript:Manes.13G060600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEATFSSSPAVENLGSSDHLSQEKGYGTYGIVGAAIVAIVLPMLLSIVFMGKKKVKQRGVPVEVGGEAGYAIRSGQSSHLIEVPWEGANTMASLFEQSCKKHSQYRFLGTRKLISREFVTASDGRKFEKLHLGDYEWQTYGQVFDRACNFASGLIRLGHNEDTRAAIFSETRAEWFIAFQGCMRQNITVVTIYASLGEDALIHSLNETQVSTLICDSKQLKRLAAISTRLTTIKNVIYFEDDGTATADDSISGSMSHWTVSSFSEVEKLGKHSPLPPNLPSRNGIAVIMYTSGSTGLPKGVMITHSNIIATAAAVMTVIPNLGSNDVYLAYLPLAHVLELAAESIMLTAGCAIGYGSALTLTDTANKIKKGTKGDASVLNPTLMAAVPAILDRVRDGVLKKVQEKGGFAKKLFNIVYKRRLAAIEGSWFGAWGLERMLWDAIVFKSIRDVLGGRIRFILCGGAPLSAESQRFVNICMGAPIAQGYGLTETCAGAAFSGWDDTSVGRVGPPLPCCYIKLVSWEEGGYKISDKPMPRGEIVVGGFCVTRGYFNNKEKTDEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALMSSNYVDNIMAYADSFKNYCVALVVPSHQALEKWAQQVGIKYRDFAELCNKDETIAEVQQSLSKVGKAAKLDKFEIPEKIKLLPDPWTPESGLVTAALKIKREQLKSKFKDELQKLYD >Manes.13G060600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7098533:7107452:1 gene:Manes.13G060600.v8.1 transcript:Manes.13G060600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEATFSSSPAVENLGSSDHLSQEKGYGTYGIVGAAIVAIVLPMLLSIVFMGKKKVKQRGVPVEVGGEAGYAIRSGQSSHLIEVPWEGANTMASLFEQSCKKHSQYRFLGTRKLISREFVTASDGRKFEKLHLGDYEWQTYGQVFDRACNFASGLIRLGHNEDTRAAIFSETRAEWFIAFQGCMRQNITVVTIYASLGEDALIHSLNETQVSTLICDSKQLKRLAAISTRLTTIKNVIYFEDDGTATADDSISGSMSHWTVSSFSEVEKLGKHSPLPPNLPSRNGIAVIMYTSGSTGLPKGVMITHSNIIATAAAVMTVIPNLGSNDVYLAYLPLAHVLELAAESIMLTAGCAIGYGSALTLTDTANKIKKGTKGDASVLNPTLMAAVPAILDRVRDGVLKKVQEKGGFAKKLFNIVYKRRLAAIEGSWFGAWGLERMLWDAIVFKSIRDVLGGRIRFILCGGAPLSAESQRFVNICMGAPIAQGYGLTETCAGAAFSGWDDTSVGRVGPPLPCCYIKLVSWEEGGYKISDKPMPRGEIVVGGFCVTRGYFNNKEKTDEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALMSSNYVDNIMAYADSFKNYCVALVVPSHQALEKWAQQVGIKYRDFAELCNKDETIAEVQQSLSKVGKAAKLDKFEIPEKIKLLPDPWTPESGLVTAALKIKREQLKSKFKDELQKLYD >Manes.13G060600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7098533:7107452:1 gene:Manes.13G060600.v8.1 transcript:Manes.13G060600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEATFSSSPAVENLGSSDHLSQEKGYGTYGIVGAAIVAIVLPMLLSIVFMGKKKVKQRGVPVEVGGEAGYAIRSGQSSHLIEVPWEGANTMASLFEQSCKKHSQYRFLGTRKLISREFVTASDGRKFEKLHLGDYEWQTYGQVFDRACNFASGLIRLGHNEDTRAAIFSETRAEWFIAFQGCMRQNITVVTIYASLGEDALIHSLNETQVSTLICDSKQLKRLAAISTRLTTIKNVIYFEDDGTATADDSISGSMSHWTVSSFSEVEKLGKHSPLPPNLPSRNGIAVIMYTSGSTGLPKGVMITHSNIIATAAAVMTVIPNLGSNDVYLAYLPLAHVLELAAESIMLTAGCAIGYGSALTLTDTANKIKKGTKGDASVLNPTLMAAVPAILDRVRDGVLKKVQEKGGFAKKLFNIVYKRRLAAIEGSWFGAWGLERMLWDAIVFKSIRDVLGGRIRFILCGGAPLSAESQRFVNICMGAPIAQGYGLTETCAGAAFSGWDDTSVGRVGPPLPCCYIKLVSWEEGGYKISDKPMPRGEIVVGGFCVTRGYFNNKEKTDEVYKVDEKGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALMSSNYVDNIMAYADSFKNYCVALVVPSHQALEKWAQQVGIKYRDFAELCNKDETIAEVQQSLSKVGKAAKLDKFEIPEKIKLLPDPWTPESGLVTAALKIKREQLKSKFKDELQKLYD >Manes.01G206100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37878093:37878740:-1 gene:Manes.01G206100.v8.1 transcript:Manes.01G206100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVVLAQHRNQYDSRVKSCKQARFGSLPSPHFREINCRTFQSGSGILPTPFKTCTTPVTKGTLSTPAFSSQKTPSPTIKVHSNSHPVDNTENKTAPKSSPIPIIIPTRDTPFDDELGISSENSLFSELWAGPAYSNSPPPSSLPIPKFSMRPKRTVSLELPVSDSGIKVHPIAKSAPASPIREHSPSTKDLFLNIDSATKTLRRILNLDLADD >Manes.02G037752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3121980:3122488:-1 gene:Manes.02G037752.v8.1 transcript:Manes.02G037752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVASIALLLSLNLLFFSAVSATTCPVDALKFKVCANVLGLIKIPPDAPCCSLIENLVDLEAALCLCTAIKANILGIDLKVPLDLSLVLNKCGKKVPQGFKCP >Manes.10G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26402408:26404578:1 gene:Manes.10G113100.v8.1 transcript:Manes.10G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFICGFLVWASLFLSLAVIVCRRGKILGQGTKNLPPGPPGWPILGNIFDLGIAPHQALEELKFKYGPVLWLRLGSMDTVVIQSAKTAAELLKNHDTSFCDRKSLEVFNCLNYRDGSLAVGQFSPYWRMIRRLCSVEMMTVKRVNDTASIRRKCILQMIRSIEDDTAAATARGESGVVNLPHYLFLMSFNIVGNLMLSRDVVDSQCKEGYEFFQAMGMVSVWAGKPNLADFFPFLKWLDPQGLKRNMTRDMGRALEIVEGFVKERIEEYKFGDKEKASKDFLDTLLEFEGDGKDWHEKIPYERLIILVLEMFFAGSETTSTVIEWAMAELLRQPEAMRKVKEELIEVVGENRNVEESDIEKLPYLQVVVKETLRLHPPLPLLLPRNTIQDTKFVGYDVPKDTQVLVNAWAIGRDPDSWEDPLSFKPERFLGLNIDYKGQNFELIPFGSGRRICVGMLFAQRVILLGLASLIHCFNWELDKDSTHETLDMREKVGISVRKLIPLNVIPKRCSRGMAG >Manes.18G122000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13146369:13153264:1 gene:Manes.18G122000.v8.1 transcript:Manes.18G122000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPFPPGLVSIMDTVGPTWLTSVFSGIIMSIIVYKLTGFLSLLCFKGYDKLSKAEQVEWNNRGFSTFHALIVASASFYLLLLSGLFDEGSQDDLIINRNSIVSNSVLGISVGYFLADMSMILRNFPALGGLEYVLHHGLSMYSIFLSLISGQAQIYILMVLFSEATTPFVNLRWYLDVAGKKSSKMYVCNGILLFLGWLVKKIFPLGFYSIISVPPLLAVMNLVWFWKIAKGLIKTLSKAKRQ >Manes.18G122000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13146802:13153038:1 gene:Manes.18G122000.v8.1 transcript:Manes.18G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIDSTLGYLLIYPVCFQYIIWLIFLAFQQTNMVGLPFPPGLVSIMDTVGPTWLTSVFSGIIMSIIVYKLTGFLSLLCFKGYDKLSKAEQVEWNNRGFSTFHALIVASASFYLLLLSGLFDEGSQDDLIINRNSIVSNSVLGISVGYFLADMSMILRNFPALGGLEYVLHHGLSMYSIFLSLISGQAQIYILMVLFSEATTPFVNLRWYLDVAGKKSSKMYVCNGILLFLGWLVARILLFIYFFTHMFIHFDQVKKIFPLGFYSIISVPPLLAVMNLVWFWKIAKGLIKTLSKAKRQ >Manes.18G122000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13146369:13153264:1 gene:Manes.18G122000.v8.1 transcript:Manes.18G122000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPFPPGLVSIMDTVGPTWLTSVFSGIIMSIIVYKLTGFLSLLCFKGYDKLSKAEQVEWNNRGFSTFHALIVASASFYLLLLSGLFDEGSQDDLIINRNSIVSNSVLGISVGYFLADMSMILRNFPALGGLEYVLHHGLSMYSIFLSLISGQAQIYILMVLFSEATTPFVNLRWYLDVAGKKSSKMYVCNGILLFLGW >Manes.18G122000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13146369:13153264:1 gene:Manes.18G122000.v8.1 transcript:Manes.18G122000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPFPPGLVSIMDTVGPTWLTSVFSGIIMSIIVYKLTGFLSLLCFKGYDKLSKAEQVEWNNRGFSTFHALIVASASFYLLLLSGLFDEGSQDDLIINRNSIVSNSVLGISVGYFLADMSMILRNFPALGGLEYVLHHGLSMYSIFLSLISGQAQIYILMVLFSEATTPFVNLRWYLDVAGKKSSKMLQGFFCSYTSLLTCSSTLIR >Manes.18G122000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13146591:13153067:1 gene:Manes.18G122000.v8.1 transcript:Manes.18G122000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPFPPGLVSIMDTVGPTWLTSVFSGIIMSIIVYKLTGFLSLLCFKGYDKLSKAEQVEWNNRGFSTFHALIVASASFYLLLLSGLFDEGSQDDLIINRNSIVSNSVLGISVGYFLADMSMILRNFPALGGLEYVLHHGLSMYSIFLSLISGQAQIYILMVLFSEATTPFVNLRWYLDVAGKKSSKMYVCNGILLFLGWLVLSTFPNS >Manes.18G122000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13146369:13153264:1 gene:Manes.18G122000.v8.1 transcript:Manes.18G122000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPFPPGLVSIMDTVGPTWLTSVFSGIIMSIIVYKLTGFLSLLCFKGYDKLSKAEQVEWNNRGFSTFHALIVASASFYLLLLSGLFDEGSQDDLIINRNSIVSNSVLGISVGYFLADMSMILRNFPALGGLEYVLHHGLSMYSIFLSLISGQAQIYILMVLFSEATTPFVNLRWYLDVAGKKSSKMYVCNGILLFLGWLVARILLFIYFFTHMFIHFDQVKKIFPLGFYSIISVPPLLAVMNLVWFWKIAKGLIKTLSKAKRQ >Manes.18G122000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13146802:13153038:1 gene:Manes.18G122000.v8.1 transcript:Manes.18G122000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIDSTLGYLLIYPVCFQYIIWLIFLAFQQTNMVGLPFPPGLVSIMDTVGPTWLTSVFSGIIMSIIVYKLTGFLSLLCFKGYDKLSKAEQVEWNNRGFSTFHALIVASASFYLLLLSGLFDEGSQDDLIINRNSIVSNSVLGISVGYFLADMSMILRNFPALGGLEYVLHHGLSMYSIFLSLISGQAQIYILMVLFSEATTPFVNLRWYLDVAGKKSSKMLQGFFCSYTSLLTCSSTLIR >Manes.09G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16880657:16882386:-1 gene:Manes.09G073600.v8.1 transcript:Manes.09G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Manes.14G034600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3095168:3096103:1 gene:Manes.14G034600.v8.1 transcript:Manes.14G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALLVSLLFSLLCIFSTATSAQSTSSGTNFIKSSCKTTTYPALCIQSLSAYATSIQQSPRQLTETALSVSLERAQSTKTFVYKLTKFKGVKPREMAAIKDCLEEINDTVDRLSKSFKELKSMGQSKGKDYQWHISNVETWVSAALTDENTCVDGFGGRALNGRVKSSIKARIVNVAQVTSNALALINKFASKN >Manes.09G018520.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3933335:3935695:1 gene:Manes.09G018520.v8.1 transcript:Manes.09G018520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNIFKSASFTRLHDAIASFNHVIHMHPLPSRAQFCRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLNILINCFCRLHLVDFGFSVFGKMLKFGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPNVRTFNVIVNGLCKFGKTNVAIGLLKGMTDRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTSLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALSLLKEMVGRNISPDVFTFNILIDTLCKKGLVSNSESIIKIMIQRGVEPDVVTYNSLMDGYCLGSQIDKARKLFDLMVTNEIAGIFSYNILINGYCKCKMIDDAKQIFYEISHKGLVPDAFTYHTLIKGMFQAGRPQTAKVLFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSELKPNFVIYSSLINGMCKVGKINDAKELFYSLFEIGLQPDVYVYNAIMKGLCQQGLTDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSSNDATTELVVHLSRNNDLILRLLKVRNEGSTN >Manes.01G107100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAESSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIEGMETVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAEQSSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIEGMETVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAESSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAEQSSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAESSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIEGMETVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAESSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIEGMETVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAESSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIEGMETVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAEQSSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAEQSSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIEGMETVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAEQSSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIEGMETVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAEQSSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.01G107100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30615501:30621980:1 gene:Manes.01G107100.v8.1 transcript:Manes.01G107100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPIEGMETVVATVSGYHGLERFNLIKLISQAGASYVGAMSRSTTHLVCWKFEGRKYDLAKKFKTIIVNHQWVEDCIKQGKRVPEQPYMLRSGKEVEPLLLDVPVPGKVGFLNKNRKGLADKSNNSQDHERLLNGVGYGDSGLATWTSSFLLDENLFPEDNRKNTSAFKSKPKRERRLSGRFCFEDPPLSGLVTLEHEGPVREKGRISVHEGPSSCSDKQLMRGKRKIFDNTGTTSLAEPSRNGRRLVKKNVSRDNIEIVPLDSDQECSPVRLHDMSSKTAALSESEDCERNVNTFETGKTSYAALNNSRASLLEFSDDIEEIRDQNDLPASKNSHSHTEEAQTTSIERSNNFPGIENFDGKDKDVSQSESITGLPTSMQLSCVICWTEFSSTRGVLPCGHRFCYSCIQNWADHLISSGKFSRCPLCKASFVSITKFEDAATSDQKIYSQTIPSASSITDIFLLNDQERDRIGAESSLASVCCECSCLEPEDLLVNCHCCQTRRIHIYCLDPPLLPWTCIHCKDLQRLYYQAR >Manes.08G016100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1634133:1635866:-1 gene:Manes.08G016100.v8.1 transcript:Manes.08G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSPAPPTRSTGSFFTPLLFSLAGVVGASLALLAYHFFFVKYCISRRTRMAMAASSISTPVQGVEIGTGVEEQVLNAIPILLYSQNNIQLAKIDQSECVICLGELEDGDKVRSLPNCGHVFHVPCIDDWFLAHTNCPICRAPIVASIDIACVLEDSINDRREIRTQNLAQFLEQGEEDDGGGGGGGDGGGGNGDDNNNASTSGQSSNGFIRHSLSLVLPMDAKPQHFMALKRSLSMDQRFVIIDIQGEREKASSPSSSSSSYLKHLIMENRSGRSMRQLDLVSSRWLRSLSQMRFSQSGLPTEPLPC >Manes.07G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28606059:28617881:-1 gene:Manes.07G091800.v8.1 transcript:Manes.07G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTNSGDGFSTPQGRGVELMGSWVPPTPEKPIPARYNSMPIDRDGNHQLSRGNWQELATIPTGYAQEMLNSGRVAQHLGHNQIGQLGGNGCLAERNRMINHIAGSYTQTLRQESGNLNTNSFVDLLTMNNSVSFVSPHINVNRSMSMGERSLIPNFHSQVNSVIESRPGGLLFPHQTHCSSSNQASSHSSLLKIPQYGFHIPYNHNYGLNSLPSIEADAATTTTNSFQFAPVTPQQTKKLETQLPAAVNSPQEKASSEGTDKFCDLFASVNYIPEQHNSDVLLHNIVDTSSAVVSTPFNEPKDSGKLSDQVIDLNKTPQQKQPKRKKHRPKVVKEGKQTKTPKTATAKMADPKEKTTEKRKYVRKKDSKESITQHPDSVGETSNLEKNLKKRKYVQNDLQELATLNADDIGETADPTSGTAATSCRRALNFEKLENIREGKRNSFAQQEMLNKKGSCNSGKSFQAADSINKTNMKFRTKSDLQMRQDGETLLENHHSRATHNLASSSNQFLCNDNTISNRIGARAIPKSDRREAAVQLASTKEVQIGNSNVNAKATDIRMQHPCAEGIDQISFPANIICKNLERTRQMMPQNTQSVAEISQHLIDGRGYKKEFGHFEQTSHCTANPPDYQLYSCIKSNAICADTQKKRKIENGILTNINGLLPSDAAVNHSMLNISSRKNLHTTESTAYRNRETWNSHTESYNFRTKENSGSTRFPVDLYIHQVASGQDVSKQPVLSGSTACMEKIEDTKRSMNIQNLAALATVENFNMLPPSPQKTGPQPVDQLHPKTSNINVSIKQAVGSSQSKSVPFREGKMRKIRMDILQDHQSPAKRRGGPPKSTSIEEITYRMECLHLNERSNDMDGKEQNAVVPYKGAGTLVSYERSDFVKKHKPRPKVDLDPETERVWKLLMWKEGCEDVEGIDEVKRKWWEEERRIFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPRKSTRNITCERDGAIILFDSIKWNEKLLQHPFYKQNSTTHCESTEYQRDSETSWTDRTSIVESRSHSPPEVFSSQDSFDSSVIQSNGGVRSYSGSNSEAEDHANEYRHSKSPSTFLTKHPQVETTTLYEELYRPVSGRTLFHMQSRHGQMQPEYTGNRQQQCPGLERLDKSLHGSSAFYQQIIYNNPQMQVPVLPSCNYQLCTTAQSEMVEVDCVGPNREKSISSWPSSASRFNKEKDASCTSKRVVQGAQDAAVSTTQKYRSSSCQETQTMDFYSFLRMQMMHEQSNPETCHGFQQPKVTKNSQLKSKSMVETANLADAQFNGQSSYKQHNSNVPIPTGKAFDAEERIALVDEQAHSENELAESNLKEEVHFTNKENLKTTSSRARKAKARGQKKDATDWDSLRKQVLANGRRKERSQNTMDSLDYEALRCANVNEIAKTIKGRGMNNMLAERIQEFLNRLFRVHGSIDLEWLRDVPPDKAKDYLLSMKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQIHLLELYPVLESIQKYLWPRLCKLDQQTLYELHYQLITFGKVFCTKKKPNCNACPMRAECRHFASAFASARLALPGPEEKSMLTSTVPLEMEKGSGIVINPMPLPPSEKNPPKTGVSDIVSCVPIIEEPATPEQEHTEVSESDIEDIFNDNSDEIPIIKLNIEEWTMNLQNYMQANMEVQECDMSKALVALNPEAAYIPAIKLKYISHLRTEHQVYELPDLHPLLKEMDRREPDDHSPYLLAIWKPGETANSIQPPEQHCQSQELDKLCNKKTCSSCNSIREANSQTVRGTFLIPCRTAMRGSFPLNGTYFQVNEKIFPCRYLQTMNLA >Manes.07G091800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28606059:28617881:-1 gene:Manes.07G091800.v8.1 transcript:Manes.07G091800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTNSGDGFSTPQGRGVELMGSWVPPTPEKPIPARYNSMPIDRDGNHQLSRGNWQELATIPTGYAQEMLNSGRVAQHLGHNQIGQLGGNGCLAERNRMINHIAGSYTQTLRQESGNLNTNSFVDLLTMNNSVSFVSPHINVNRSMSMGERSLIPNFHSQVNSVIESRPGGLLFPHQTHCSSSNQASSHSSLLKIPQYGFHIPYNHNYGLNSLPSIEADAATTTTNSFQFAPVTPQQTKKLETQLPAAVNSPQEKASSEGTDKFCDLFASVNYIPEQHNSDVLLHNIVDTSSAVVSTPFNEPKDSGKLSDQVIDLNKTPQQKQPKRKKHRPKVVKEGKQTKTPKTATAKMADPKEKTTEKRKYVRKKDSKESITQHPDSVGETSNLEKNLKKRKYVQNDLQELATLNADDIGETADPTSGTAATSCRRALNFEKLENIREGKRNSFAQQEMLNKKGSCNSGKSFQAADSINKTNMKFRTKSDLQMRQDGETLLENHHSRATHNLASSSNQFLCNDNTISNRIGARAIPKSDRREAAVQLASTKEVQIGNSNVNAKATDIRMQHPCAEGIDQISFPANIICKNLERTRQMMPQNTQSVAEISQHLIDGRGYKKEFGHFEQTSHCTANPPDYQLYSCIKSNAICADTQKKRKIENGILTNINGLLPSDAAVNHSMLNISSRKNLHTTESTAYRNRETWNSHTESYNFRTKENSGSTRFPVDLYIHQVASGQDVSKQPVLSGSTACMEKIEDTKRSMNIQNLAALATVENFNMLPPSPQKTGPQPVDQLHPKTSNINVSIKQAVGSSQSKSVPFREGKMRKIRMDILQDHQSPAKRRGGPPKSTSIEEITYRMECLHLNERSNDMDGKEQNAVVPYKGAGTLVSYERSDFVKKHKPRPKVDLDPETERVWKLLMWKEGCEDVEGIDEVKRKWWEEERRIFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPRKSTRNITCERDGAIILFDSIKWNEKLLQHPFYKQNSTTHCESTEYQRDSETSWTDRTSIVESRSHSPPEVFSSQDSFDSSVIQSNGGVRSYSGSNSEAEDHANEYRHSKSPSTFLTKHPQVETTTLYEELYRPVSGRTLFHMQSRHGQMQPEYTGNRQQQCPGLERLDKSLHGSSAFYQQIIYNNPQMQVPVLPSCNYQLCTTAQSEMVEVDCVGPNREKSISSWPSSASRFNKEKDASCTSKRVVQGAQDAAVSTTQKYRSSSCQETQTMDFYSFLRMQMMHEQSNPETCHGFQQPKVTKNSQLKSKSMVETANLADAQFNGQSSYKQHNSNVPIPTGKAFDAEERIALVDEQAHSENELAESNLKEEVHFTNKENLKTTSSRARKAKARGQKKDATDWDSLRKQVLANGRRKERSQNTMDSLDYEALRCANVNEIAKTIKGRGMNNMLAERIQEFLNRLFRVHGSIDLEWLRDVPPDKAKDYLLSMKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQIHLLELYPVLESIQKYLWPRLCKLDQQTLYELHYQLITFGKVFCTKKKPNCNACPMRAECRHFASAFASARLALPGPEEKSMLTSTVPLEMEKGSGIVINPMPLPPSEKNPPKTGVSDIVSCVPIIEEPATPEQEHTEVSESDIEDIFNDNSDEIPIIKLNIEEWTMNLQNYMQANMEVQECDMSKALVALNPEAAYIPAIKLKYISHLRTEHQVYELPDLHPLLKEMDRREPDDHSPYLLAIWKPGETANSIQPPEQHCQSQELDKLCNKKTCSSCNSIREANSQTVRGTFLIPCRTAMRGSFPLNGTYFQVNEVFADNESSLNPIDVPRAWIWNLPRRIVYFGTSVSTIFRGLSTERIQHCFWKGFVCVRGFDQKTRAPRPLVRRFHCPASKAKTE >Manes.07G091800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28606059:28616082:-1 gene:Manes.07G091800.v8.1 transcript:Manes.07G091800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKEKTTEKRKYVRKKDSKESITQHPDSVGETSNLEKNLKKRKYVQNDLQELATLNADDIGETADPTSGTAATSCRRALNFEKLENIREGKRNSFAQQEMLNKKGSCNSGKSFQAADSINKTNMKFRTKSDLQMRQDGETLLENHHSRATHNLASSSNQFLCNDNTISNRIGARAIPKSDRREAAVQLASTKEVQIGNSNVNAKATDIRMQHPCAEGIDQISFPANIICKNLERTRQMMPQNTQSVAEISQHLIDGRGYKKEFGHFEQTSHCTANPPDYQLYSCIKSNAICADTQKKRKIENGILTNINGLLPSDAAVNHSMLNISSRKNLHTTESTAYRNRETWNSHTESYNFRTKENSGSTRFPVDLYIHQVASGQDVSKQPVLSGSTACMEKIEDTKRSMNIQNLAALATVENFNMLPPSPQKTGPQPVDQLHPKTSNINVSIKQAVGSSQSKSVPFREGKMRKIRMDILQDHQSPAKRRGGPPKSTSIEEITYRMECLHLNERSNDMDGKEQNAVVPYKGAGTLVSYERSDFVKKHKPRPKVDLDPETERVWKLLMWKEGCEDVEGIDEVKRKWWEEERRIFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPRKSTRNITCERDGAIILFDSIKWNEKLLQHPFYKQNSTTHCESTEYQRDSETSWTDRTSIVESRSHSPPEVFSSQDSFDSSVIQSNGGVRSYSGSNSEAEDHANEYRHSKSPSTFLTKHPQVETTTLYEELYRPVSGRTLFHMQSRHGQMQPEYTGNRQQQCPGLERLDKSLHGSSAFYQQIIYNNPQMQVPVLPSCNYQLCTTAQSEMVEVDCVGPNREKSISSWPSSASRFNKEKDASCTSKRVVQGAQDAAVSTTQKYRSSSCQETQTMDFYSFLRMQMMHEQSNPETCHGFQQPKVTKNSQLKSKSMVETANLADAQFNGQSSYKQHNSNVPIPTGKAFDAEERIALVDEQAHSENELAESNLKEEVHFTNKENLKTTSSRARKAKARGQKKDATDWDSLRKQVLANGRRKERSQNTMDSLDYEALRCANVNEIAKTIKGRGMNNMLAERIQEFLNRLFRVHGSIDLEWLRDVPPDKAKDYLLSMKGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQIHLLELYPVLESIQKYLWPRLCKLDQQTLYELHYQLITFGKVFCTKKKPNCNACPMRAECRHFASAFASARLALPGPEEKSMLTSTVPLEMEKGSGIVINPMPLPPSEKNPPKTGVSDIVSCVPIIEEPATPEQEHTEVSESDIEDIFNDNSDEIPIIKLNIEEWTMNLQNYMQANMEVQECDMSKALVALNPEAAYIPAIKLKYISHLRTEHQVYELPDLHPLLKEMDRREPDDHSPYLLAIWKPGETANSIQPPEQHCQSQELDKLCNKKTCSSCNSIREANSQTVRGTFLIPCRTAMRGSFPLNGTYFQVNEVFADNESSLNPIDVPRAWIWNLPRRIVYFGTSVSTIFRGLSTERIQHCFWKGFVCVRGFDQKTRAPRPLVRRFHCPASKAKTE >Manes.09G100784.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29890375:29893587:1 gene:Manes.09G100784.v8.1 transcript:Manes.09G100784.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLLFPHLHCSCSLVLNPNGPTTQFAFSRKPPPFGKILKLNYQLKATKASINGDSNNGALESPKPSRRGRKKKPSSAATPTTTKRSKSSKKIEAENGTADSNHAKQIAVAELNNDIEDYDDGIDFPYDNPPLVCCFGAVQKEFLPTVRMHDNQMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGEELVLMMNKERVQTRAVKFDENVKTACSFMKIKFEDGKMKMETVKEAAEDSLLASELNLAVLKEARIFHFNSEVLTSTSMQSTLFRAIKISKKFGGLIFFDINLPLPLWRSRDETREIIKKAWNEADIIEVSRTELEFLLDEDYYERRRNYRPQYYAENYEQTKKWRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYSNLFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTNPEMFQNQDVLERELRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Manes.09G100784.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29890375:29893587:1 gene:Manes.09G100784.v8.1 transcript:Manes.09G100784.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLLFPHLHCSCSLVLNPNGPTTQFAFSRKPPPFGKILKLNYQLKATKASINGDSNNGALESPKPSRRGRKKKPSSAATPTTTKRSKSSKKIEAENGTADSNHAKQIAVAELNNDIEDYDDGIDFPYDNPPLVCCFGAVQKEFLPTVRMHDNQMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGEELVLMMNKERVQTRAVKFDENVKTACSFMKIKFEDGKMKMETVKEAAEDSLLASELNLAVLKEARIFHFNSEVLTSTSMQSTLFRAIKISKKFGGLIFFDINLPLPLWRSRDETREIIKKAWNEADIIEVSRTELEFLLDEDYYERRRNYRPQYYAENYEQTKKWRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYSNLFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTNPEMFQNQDVLERELRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Manes.09G100784.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29890312:29893587:1 gene:Manes.09G100784.v8.1 transcript:Manes.09G100784.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLLFPHLHCSCSLVLNPNGPTTQFAFSRKPPPFGKILKLNYQLKATKASINGDSNNGALESPKPSRRGRKKKPSSAATPTTTKRSKSSKKIEAENGTADSNHAKQIAVAELNNDIEDYDDGIDFPYDNPPLVCCFGAVQKEFLPTVRMHDNQMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGEELVLMMNKERVQTRAVKFDENVKTACSFMKIKFEDGKMKMETVKEAAEDSLLASELNLAVLKEARIFHFNSEVLTSTSMQSTLFRAIKISKKFGGLIFFDINLPLPLWRSRDETREIIKKAWNEADIIEVSRTELEFLLDEDYYERRRNYRPQYYAENYEQTKKWRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYSNLFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTNPEMFQNQDVLERELRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Manes.09G100784.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29890325:29893730:1 gene:Manes.09G100784.v8.1 transcript:Manes.09G100784.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLLFPHLHCSCSLVLNPNGPTTQFAFSRKPPPFGKILKLNYQLKATKASINGDSNNGALESPKPSRRGRKKKPSSAATPTTTKRSKSSKKIEAENGTADSNHAKQIAVAELNNDIEDYDDGIDFPYDNPPLVCCFGAVQKEFLPTVRMHDNQMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGEELVLMMNKERVQTRAVKFDENVKTACSFMKIKFEDGKMKMETVKEAAEDSLLASELNLAVLKEARIFHFNSEVLTSTSMQSTLFRAIKISKKFGGLIFFDINLPLPLWRSRDETREIIKKAWNEADIIEVSRTELEFLLDEDYYERRRNYRPQYYAENYEQTKKWRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYSNLFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTNPEMFQNQDVLERELRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Manes.09G100784.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29890375:29893587:1 gene:Manes.09G100784.v8.1 transcript:Manes.09G100784.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLLFPHLHCSCSLVLNPNGPTTQFAFSRKPPPFGKILKLNYQLKATKASINGDSNNGALESPKPSRRGRKKKPSSAATPTTTKRSKSSKKIEAENGTADSNHAKQIAVAELNNDIEDYDDGIDFPYDNPPLVCCFGAVQKEFLPTVRMHDNQMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGEELVLMMNKERVQTRAVKFDENVKTACSFMKIKFEDGKMKMETVKEAAEDSLLASELNLAVLKEARIFHFNSEVLTSTSMQSTLFRAIKISKKFGGLIFFDINLPLPLWRSRDETREIIKKAWNEADIIEVSRTELEFLLDEDYYERRRNYRPQYYAENYEQTKKWRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYSNLFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTNPEMFQNQDVLERELRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Manes.09G100784.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29890325:29893730:1 gene:Manes.09G100784.v8.1 transcript:Manes.09G100784.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLLFPHLHCSCSLVLNPNGPTTQFAFSRKPPPFGKILKLNYQLKATKASINGDSNNGALESPKPSRRGRKKKPSSAATPTTTKRSKSSKKIEAENGTADSNHAKQIAVAELNNDIEDYDDGIDFPYDNPPLVCCFGAVQKEFLPTVRMHDNQMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGEELVLMMNKERVQTRAVKFDENVKTACSFMKIKFEDGKMKMETVKEAAEDSLLASELNLAVLKEARIFHFNSEVLTSTSMQSTLFRAIKISKKFGGLIFFDINLPLPLWRSRDETREIIKKAWNEADIIEVSRTELEFLLDEDYYERRRNYRPQYYAENYEQTKKWRDYYHYTREEISPLWHDGLKFLFVTDGTLRIHYYSNLFDGVVVGTEDVLITPFTCDRTGSGDAVVAAIMRKLTTNPEMFQNQDVLERELRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Manes.05G022000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1963708:1967225:1 gene:Manes.05G022000.v8.1 transcript:Manes.05G022000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEIGMGGGAWNDEDRAMVAAVLGTNALNYLISNSVSNENLLMAIGSDENLQNKLSDLVDNPNAWNFSWNYAIFWQISCSKSGDCVLGWGDGSCREPKEGEESEGTRILNLRFQDETQQRMRKRVLQKLHTLSGESDDDNYALGLDRVTDTEMFFLASMYFSFASGEGGPGKCLASGKHVWIADALKSGNDYCVRSFLAKSAGIQTIVLVPTDAGVVELGSVRSVYESMDIVQSIRSTFSTNSTVIRAKSMVPVAAPALPVVNTKKDDNSLFSNVGIVERVEGIPKIFGQELNHGHGQGYREKLAVRKMEERPSWDVYQNGNRLAFSGNRNGLHGSSWPHGFGLKQGSPVEVYGSQATTNNIQDLVNGARNQFQPQKQVQMQIDFSGATSGPSVIGLPVSVESEHSDVEASCKEERPGTAEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKLMEAEREKFGSTSRESSALEVNSNGKNHCQTSEVDIQASHDEVIVRVSCPLDSHPASRVIKAFKEAQVSVIDSKLAAANDTVFHTFVIKSQGSEQLTKEKLMAAFSHESNSLQQVSSVG >Manes.05G022000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1963708:1967225:1 gene:Manes.05G022000.v8.1 transcript:Manes.05G022000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEIGMGGGAWNDEDRAMVAAVLGTNALNYLISNSVSNENLLMAIGSDENLQNKLSDLVDNPNAWNFSWNYAIFWQISCSKSGDCVLGWGDGSCREPKEGEESEGTRILNLRFQDETQQRMRKRVLQKLHTLSGESDDDNYALGLDRVTDTEMFFLASMYFSFASGEGGPGKCLASGKHVWIADALKSGNDYCVRSFLAKSAGIQTIVLVPTDAGVVELGSVRSVYESMDIVQSIRSTFSTNSTVIRAKSMVPVAAPALPVVNTKKDDNSLFSNVGIVERVEGIPKIFGQELNHGHGQGYREKLAVRKMEERPSWDVYQNGNRLAFSGNRNGLHGSSWPHGFGLKQGSPVEVYGSQATTNNIQDLVNGARNQFQPQKQVQMQIDFSGATSGPSVIGLPVSVESEHSDVEASCKEERPGTAEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKLMEAEREKFGSTSRESSALEVNSNGKNHCQTSEVDIQASHDEVIVRVSCPLDSHPASRVIKAFKEAQVSVIDSKLAAANDTVFHTFVIKSQGSEQLTKEKLMAAFSHESNSLQQVSSVG >Manes.06G129300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25899834:25901197:1 gene:Manes.06G129300.v8.1 transcript:Manes.06G129300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHCIIAAHCVLIVILVVATTVSSDDTTPIPADDSKVSNWFKTMVKPLVSRKGTLDPALEAAEAKSRTITLSKDGRGEFKTLTDAIKSIPSDNKQRVIIKIGPGVYTEKLQIERNKPFITLLGDPKAMPILAFGGTAHQYGTLYSATIAVESEYFMAVNIIFKNTAPGPITKNPGAQAVALRVSGDKAAFYNCKMLGFQDTLCDDNGRHFFKNCYIEGTVDFIFGKGRSLYLESQINVIDNKGVTFITAHSKEKKSDVVGYSFVQCKITGSASGAYLGRAWRAMPEVVFSYTEMGSVVNPLGWSNNNKPERERTVFFAEYENSGPGSNPKRRVKFDKQLTDKEAKNFLTLGYIQGSKWLLPPPM >Manes.13G001200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:705182:713578:1 gene:Manes.13G001200.v8.1 transcript:Manes.13G001200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCHSKFSPTSKTVSRAYDRHRIDVSSKTRALNVLLVVGDCILVGLQPILVYMSKVDGQFKFSPISVNFLTEGAKVLFAIVMLFIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMKRRFSIIQCEALALLLIGISVNQLRSLPEGTTAMGLPVATGAYLYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILVTAIFKGPSSLDILKGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPPKGGQEMVDGENNQRSKDASFINMAAGANEDASHRVEHDEKAPLLPI >Manes.13G001200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:705114:713593:1 gene:Manes.13G001200.v8.1 transcript:Manes.13G001200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCHSKFSPTSKTVSRAYDRHRIDVSSKTRALNVLLVVGDCILVGLQPILVYMSKVDGQFKFSPISVNFLTEGAKVLFAIVMLFIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMKRRFSIIQCEALALLLIGISVNQLRSLPEGTTAMGLPVATGAYLYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILVTAIFKGPSSLDILKGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPPKGGQEMVDGENNQRSKDASFINMAAGANEDASHRVEHDEKAPLLPI >Manes.13G001200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:705182:713578:1 gene:Manes.13G001200.v8.1 transcript:Manes.13G001200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCHSKFSPTSKTVSRAYDRHRIDVSSKTRALNVLLVVGDCILVGLQPILVYMSKVDGQFKFSPISVNFLTEGAKVLFAIVMLFIQARHKKVGEKPFLSFSIFIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMKRRFSIIQCEALALLLIGISVNQLRSLPEGTTAMGLPVATGAYLYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILVTAIFKGPSSLDILKGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPPKGGQEMVDGENNQRSKDASFINMAAGANEDASHRVEHDEKAPLLPI >Manes.13G001200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:705112:713776:1 gene:Manes.13G001200.v8.1 transcript:Manes.13G001200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSVCHSKFSPTSKTVSRAYDRHRIDVSSKTRALNVLLVVGDCILVGLQPILVYMSKVDGQFKFSPISVNFLTEGAKVLFAIVMLFIQARHKKVGEKPFLSFSIFIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKIIMKRRFSIIQCEALALLLIGISVNQLRSLPEGTTAMGLPVATGAYLYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILVTAIFKGPSSLDILKGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPPKGGQEMVDGENNQRSKDASFINMAAGANEDASHRVEHDEKAPLLPI >Manes.05G157600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27048999:27054364:-1 gene:Manes.05G157600.v8.1 transcript:Manes.05G157600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYSVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPVVDKDAFVAPSASIIGDVQVGRAASIWYGCVLRGDVNSISIGCGTNIQDNTLVHVAKSNLSGKVLPTIVGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTKIPAGEVWGGNPAKFLRKLTDEERAFIAQSATNYSNLAQVHATENAKPFDEIEFEKVLRKKFARRDEEYDSMLGVVRETPPELILPDNVLPDKAPKAT >Manes.05G157600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27050325:27053803:-1 gene:Manes.05G157600.v8.1 transcript:Manes.05G157600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYSVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPVVDKDAFVAPSASIIGDVQVGRAASIWYGCVLRGDVNSISIGCGTNIQDNTLVHVAKSNLSGKVLPTIVGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTKIPAGEVWGGNPAKFLRKLTDEERAFIAQSATNYSNLAQVHATENAKPFDEIEFEKVLRKKFARRDEEYDSMLGVVRETPPELILPDNVLPDKAPKAT >Manes.05G157600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27050722:27054752:-1 gene:Manes.05G157600.v8.1 transcript:Manes.05G157600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYSVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPVVDKDAFVAPSASIIGDVQVGRAASIWYGCVLRGDVNSISIGCGTNIQDNTLVHVAKSNLSGKVLPTIVGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTKIPAGEVWGGNPAKFLRKLTDEERAFIAQSATNYSNLAQVHATENAKPFDEIEFEKVLRKKFARRDEEYDSMLGVVRETPPELILPDNVLPDKAPKAT >Manes.14G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:523504:527717:-1 gene:Manes.14G009200.v8.1 transcript:Manes.14G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFRADILKGKVALITGGGSGIGFEISAQFGRHGASVAIMGRRKQVLDSAVSNLQSLGIVAAGFEGDVRKQEDAKRVLESTFKHFGRIDILVNAAAGNFLVSPEDLSPNGFRTVMDIDAVGTFTMCHEALKYLKKGGLGRSSSGGGTILNISATLHYTASWYQIHVSAAKAAVDALARNLALEWGTDYDIRVNGIAPGPIGDTPGMSKLVPKEISSKAKEYMPLYKLGEKWDIAMAALYLTSDAGKFVNGTTVIVDGGLWLSRPRHLPKDAVKKLSRAVEKRSREAPIGVPSSKL >Manes.15G190475.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28170133:28181369:-1 gene:Manes.15G190475.v8.1 transcript:Manes.15G190475.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLHKLQNLKVENIKLKEILGKDHWSFKGHYDADNTQNVDIGGTDYHGISYGIQSCYKGSGIVFEDLMLCKDAWHGEGKREAELFNFWRSGSKATTSIDSFPYCIVSKSLNMNDDLNQRRDVAVSRSLFSAMLSLLIGIIIWEAGDPCMPLVVALFPVVGISLKSVVQFFSTIINKPASDAVALLSFNWFILGTLTYPMLPRVVRIFAPLTTNFGLWGFSISSIINLL >Manes.13G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33642416:33645154:-1 gene:Manes.13G128300.v8.1 transcript:Manes.13G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLDLSLVYVPKTISEYLMEISGIKDSRRKLSKLDDYIKKLEDEMRKIDAFKRELPLCMLLLNDAIVRLKQEALQCKELEGEDRTGKQEFVSVKENSGGDGGGNMGNDLSDKKNWMSSVQLWNTNNINSDSKQHDSKSETKQRSEEDDDQSTCENPVQLCNYKSKGGAFMPFKALSVFEGTERKEEKEVVSRVTDLSLMTPVSQLGSCNLISKCNANIQTKMHNKPQQLQQHQQEHPSYKKQRRCWSPELHRRFVDALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHIRKLPASSAACQASGLWMAQDHCKDPSKPSISESNSPQGPFHACGYAKGISSTGGNSGEAEDDDKSESHSWTGRLHKAGEVDV >Manes.13G128300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33642416:33645154:-1 gene:Manes.13G128300.v8.1 transcript:Manes.13G128300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLDLSLVYVPKTISEYLMEISGIKDSRRKLSKLDDYIKKLEDEMRKIDAFKRELPLCMLLLNDAIVRLKQEALQCKELEGEDRTGKQEFVSVKENSGGDGGGNMGNDLSDKKNWMSSVQLWNTNNINSDSKQHDSKSETKQRSEEDDDQSTCENPVQLCNYKSKGGAFMPFKALSVFEGTERKEEKEVVSRVTDLSLMTPVSQLGSCNLISKCNANIQTKMHNKPQQLQQHQQEHPSYKKQRRCWSPELHRRFVDALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQVCSSIQRTLFAVCFCYTRTILTMFYV >Manes.13G128300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33642416:33645154:-1 gene:Manes.13G128300.v8.1 transcript:Manes.13G128300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLDLSLVYVPKTISEYLMEISGIKDSRRKLSKLDDYIKKLEDEMRKIDAFKRELPLCMLLLNDAIVRLKQEALQCKELEGEDRTGKQEFVSVKENSGGDGGGNMGNDLSDKKNWMSSVQLWNTNNINSDSKQHDSKSETKQRSEEDDDQSTCENPVQLCNYKSKGGAFMPFKALSVFEGTERKEEKEVVSRVTDLSLMTPVSQLGSCNLISKCNANIQTKMHNKPQQLQQHQQEHPSYKKQRRCWSPELHRRFVDALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHIRKLPASSAACQASGLWMAQDHCKDPSKPSISESNSPQGPFHACGYAKGISSTGGNSGEAEDDDKSESHSWTDTNIRGE >Manes.09G186300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37340808:37347095:1 gene:Manes.09G186300.v8.1 transcript:Manes.09G186300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPAQTLSKQKQQQQQQNHPFYGGYGGCGGGGYSDSSFGLMSRLEEGYDYCISEACLGSDLVPSNPPMAEDESRTNSLNEPALSNSKDNIQQQRDEGWLQLSIGGHTTSHESKHHQVDPTTTRVGLMELDLLPGSSTSQQGRPFSAPIFHVPADEFRASTRPLMSIAGGSSSYTTTSLFFQHQPGTSTYPPYRHQEINWAFRPMAQNIATMASSQSSSSPLMPMGSYLSRPFQVHSGIDVAGPSSDFRIIDPPRSPHSGIWFTLQASQIQNQEPLLPQISKSYLRIKDGRMTVRLLMKYLVNKLKLDSESEVEIRCRGQQLQPYLTMQHVRDSIWSQSPRDEQLTLLPHSSTADHVMVLHYSRTPLHNSSS >Manes.09G186300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37341319:37342509:1 gene:Manes.09G186300.v8.1 transcript:Manes.09G186300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPAQTLSKQKQQQQQQNHPFYGGYGGCGGGGYSDSSFGLMSRLEEGYDYCISEACLGSDLVPSNPPMAEDESRTNSLNEPALSNSKDNIQQQRDEGWLQLSIGGHTTSHESKHHQVDPTTTRVGLMELDLLPGSSTSQQGRPFSAPIFHVPADEFRASTRPLMSIAGGSSSYTTTSLFFQHQPGTSTYPPYRHQEINWAFRPMAQNIATMASSQSSSSPLMPMGSYLSRPFQVHSGIDVAGPSSDFRIIDPPRSPHSGIWFTLQASQIQNQEPLLPQISKSYLRIKDGRMTVRLLMKYLVNKLKLDSESEVFITITASL >Manes.09G186300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37340808:37349165:1 gene:Manes.09G186300.v8.1 transcript:Manes.09G186300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPAQTLSKQKQQQQQQNHPFYGGYGGCGGGGYSDSSFGLMSRLEEGYDYCISEACLGSDLVPSNPPMAEDESRTNSLNEPALSNSKDNIQQQRDEGWLQLSIGGHTTSHESKHHQVDPTTTRVGLMELDLLPGSSTSQQGRPFSAPIFHVPADEFRASTRPLMSIAGGSSSYTTTSLFFQHQPGTSTYPPYRHQEINWAFRPMAQNIATMASSQSSSSPLMPMGSYLSRPFQVHSGIDVAGPSSDFRIIDPPRSPHSGIWFTLQASQIQNQEPLLPQISKSYLRIKDGRMTVRLLMKYLVNKLKLDSESEATTGESSPSL >Manes.09G186300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37340808:37349081:1 gene:Manes.09G186300.v8.1 transcript:Manes.09G186300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPAQTLSKQKQQQQQQNHPFYGGYGGCGGGGYSDSSFGLMSRLEEGYDYCISEACLGSDLVPSNPPMAEDESRTNSLNEPALSNSKDNIQQQRDEGWLQLSIGGHTTSHESKHHQVDPTTTRVGLMELDLLPGSSTSQQGRPFSAPIFHVPADEFRASTRPLMSIAGGSSSYTTTSLFFQHQPGTSTYPPYRHQEINWAFRPMAQNIATMASSQSSSSPLMPMGSYLSRPFQVHSGIDVAGPSSDFRIIDPPRSPHSGIWFTLQASQIQNQEPLLPQISKSYLRIKDGRMTVRLLMKYLVNKLKLDSESEVEIRCRGQQLQPYLTMQHVRDSIWSQSPRDEQLTLLPHSSTADHVMATTGESSPSL >Manes.09G186300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37340808:37347095:1 gene:Manes.09G186300.v8.1 transcript:Manes.09G186300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVPAQTLSKQKQQQQQQNHPFYGGYGGCGGGGYSDSSFGLMSRLEEGYDYCISEACLGSDLVPSNPPMAEDESRTNSLNEPALSNSKDNIQQQRDEGWLQLSIGGHTTSHESKHHQVDPTTTRVGLMELDLLPGSSTSQQGRPFSAPIFHVPADEFRASTRPLMSIAGGSSSYTTTSLFFQHQPGTSTYPPYRHQEINWAFRPMAQNIATMASSQSSSSPLMPMGSYLSRPFQVHSGIDVAGPSSDFRIIDPPRSPHSGIWFTLQASQIQNQEPLLPQISKSYLRIKDGRMTVRLLMKYLVNKLKLDSESEQVEIRCRGQQLQPYLTMQHVRDSIWSQSPRDEQLTLLPHSSTADHVMVLHYSRTPLHNSSS >Manes.11G001501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:288760:289140:1 gene:Manes.11G001501.v8.1 transcript:Manes.11G001501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSGILQRPIVAAAAVALASVSTDLSDKFPSLKSLNTDSALEQSHSKLSNSVQEPNFSWVSHISVSKLTDLSFVTKIRVPIPNANFPVANSIQKFVPCTLASSSPLLLNSYQSAELVKVIEIYY >Manes.01G112600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31073360:31073977:-1 gene:Manes.01G112600.v8.1 transcript:Manes.01G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSGSFLCVVVMVAAMMLMTEVRLSNAATCNPAALSSCLPAISSSTPPSSTCCTNLKQQKPCFCEYLKNPSMKQYLNSPSARKVVSTCGVAIPAC >Manes.02G026150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2230899:2232401:-1 gene:Manes.02G026150.v8.1 transcript:Manes.02G026150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSVLFTGFMTFLIMTLCSLPSQAQLSDETFYALSCPAAVATISTTVSLAVLNDTRMAASLIRLHFHDCFVQGCDASVLLDDTPSMIGEKTSIFNDKSLRGFEVIDDIKSQLQSLCPGVVSCADIVTVAARDASVAVGGPTWSVNLGRRDSLTASKTMADSSLPRFTDSVQQLTDSFASKNLTQRDMVALSGSHTVGQAHCATFRDRVNSNTSDIDSEFGRSLREDLPCPADGSGDGNLAPLDLATPNTFDNSFFKNLVDKKGLLQSDQVLFSGGATDSIVMEYADNTLTFRSDFAAAMVQMGKISPLTGTQGQIRTFCNVVN >Manes.16G097100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30297228:30298732:1 gene:Manes.16G097100.v8.1 transcript:Manes.16G097100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSGIGNGTQIDGKILQTFQKNFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFSKSMEASSEGDSSGALRSDGKAGHKRNRPA >Manes.16G097100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30297211:30298758:1 gene:Manes.16G097100.v8.1 transcript:Manes.16G097100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSGIGNGTQIDGKILQTFQKNFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFSKSMEASSEGDSSGALRSDGKAGHKRNRPA >Manes.16G097100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30298178:30298522:1 gene:Manes.16G097100.v8.1 transcript:Manes.16G097100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSGIGNGTQIDGKILQTFQKNFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFSKSMEASSEGDSSGALRSDGKAGHKRNRPA >Manes.02G117611.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9010029:9012671:-1 gene:Manes.02G117611.v8.1 transcript:Manes.02G117611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLFSCNSNSIRASYSTIFLGVRPRLLHLDQPTMGITYASRKCSHRLLVVAATEGSANSSKSEETVPSWARPDSDEPPPWAQDEANANANSANQNFEIPFFVYLLASAITAIAAIGSVFEYVNQNPVFGILKSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQVANKEAEEQDRRDGYL >Manes.05G050100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4158013:4159915:1 gene:Manes.05G050100.v8.1 transcript:Manes.05G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGTGPPRGSAAAAASMRRRRTTSGGASGGAAGTMLQFYTDDAPGLKISPNVVLMMSIGFIAFVSILHVVGKLYFFRRED >Manes.13G036650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4460978:4469936:1 gene:Manes.13G036650.v8.1 transcript:Manes.13G036650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLYRRRLPSPPAIDFASSEGKQLFVEAIQNGTMEGFYRLISYFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKATGISFGKLVCLGLCAGAKVEAYRTNQSDIDDFRKHVIQCSISDDCHIISSYHRGIFKQTGTGHFSPIGGYHAGTDMALILDVARFKYPPHWVPLKLLWEAMDSIDETTGQHRGFMLISRPHTEPGLLYTLSCKHESWAGISKYLVDDVPVLLKSEDVKDINKLLYVVFSSLPSNFEELIKWVAEVRRHEDGGASLSQEEKGRLAVKEEVLKQVQETGLFKHVAEFLSSANSCCRSMPILSPEDDLPRIAAHICCQGAEILAGKFSSSGGYCCRETCVRCLKTTDEKSVTLVSGTVVDGGSEQGVDVLVPSAQMKPSCCGCGPSRRIGMHPAGNDVLTALLLALPPETWSGIKDGKLLQEMNTLVSTQSLPMLLQEEVLHLRRQLHLLKRCQENKVDEDLGAPLA >Manes.17G026082.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:15506761:15508040:1 gene:Manes.17G026082.v8.1 transcript:Manes.17G026082.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANKNSTLSLRSILEKDKLKENGTNFVDWFRNLRIVLKQEKKSYVLDEAIPEPPPADATNAVKNKHKKHMDDSNDIGCLMLATMCPELQKDLEHLEAYEMSVHLKQAFQQQARQDRYETTIALHYCKMAEGESVSAHVLKMKGYIDHLARLGYPLSLELSMDLILHSLPSSFSQFVMNYNMNNMEKFIPERHRMLKIAGVNIKKRHTQILNVNEGKPMKNKGKPKSKGGNGPKGRGKPKWKSKAKVPKEIVPKEGICFHCKEPGHWKRNCKLYLDECKKKKSSETTTSERRDQTFSALGVLEPEDCATYCLTVPWMMALASIFRAASTIVWKLYLSTARIKEEYIEWSLMVYLLAFFWSVSYA >Manes.18G136208.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22966650:22969280:-1 gene:Manes.18G136208.v8.1 transcript:Manes.18G136208.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLWWDIILSIIAVGAEAISAALEQTSPHKARAARYGVIMAIISVLLTFADLAFKKYMLIRDKNTMPNNKHHKHHKLRWVFVDSFGSISSILTLISSCLHYNFLINGKQQPIQFSMIPVAFSVCMFCSRVLRQHSHKRKPIFILNCKHLGFINLDIESSGDEPTQFGCPAYQKE >Manes.S031416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:755712:755834:1 gene:Manes.S031416.v8.1 transcript:Manes.S031416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.11G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2795287:2796380:-1 gene:Manes.11G029300.v8.1 transcript:Manes.11G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLLNQIMDLKFTSKSLQRQARKCEKEEKAEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLSSRLDAVVARLDTQAKMTTINKSMANIVKSLESSLATGNLQKMSETMDQFEKQFVNMEVQAEFMENAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVATKSQEKVDEDDLSRRLAELKARG >Manes.09G156300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35169166:35173279:1 gene:Manes.09G156300.v8.1 transcript:Manes.09G156300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLSHLQPDYSEFVEVDPTGRYGRYNEILGRGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGRKPDALYKVKDPEVRQFVEKCLATVSLRLSARELLNDPFLQIDDCESNFKPVDYGSEFDGMSTLIRQPYLEFHENTYPYSGGYSNGYSYEAQDELEYHPVEFEHSGIELFKYHDEEHSANVDISIKGKRQDDGGIFLRLRITDRDGRIRNIYFPFDIETDTALSVATEMVAELDITDQDVTKIADMIDGEIASLVPEWRPGPGIEETPRFANQTFCHNCASNRTSNGSLVDFLSNNPCCRNGCASMHGRFEEITFQADEPEHHLSENAPNLLSHSDSLQYQEIWGQHESRELTPVGSGRSHSDEEYERTDQSISTKDENNVKMENDFQSGAGPLHLSFGSLSLCSDLSDSNENKVQQELRWLKAKYQIELGKLRDQQLTIVSKSSSSNIECKQGNGIFSPAVTNSFQETSNGNLFKSLGHEKLYGPTLHTDLNKRARNCRAIKESSRTGDMVPAKSFYNSSLLPHSLHRTTSLPVDAVDV >Manes.09G116000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31841129:31844382:1 gene:Manes.09G116000.v8.1 transcript:Manes.09G116000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGHVLMRKYEMGKLLGQGTFARVHHARNLQTGVSVAIKIIDKEKVLKVGMMEQIKREISVMRLIRHPNVVELYEVMATKSKIYFVMEYVKGGELFNKVAKGKLKEDIGRKYFQQLISAVDYCHSRGVSHRDLKPENLLLDENGNLKVSDFGLSALAECKQQDGLLHTTCGTPAYVAPEVINRKGYDGSKADIWSCGVILYVLLAGYLPFHDTNLMEMYRKIGKAEFRFPNWFAPEVRKLLSKILDPKPSTRISMVKIMENSWFCKGLEPKSLIVETNREEHAFADCDAVFNVDESNSAVTQSKQESAKPCNLNAFDIISYSAGFDLSGLFEEKEKKKEVRFTANKPSSIIISKLEDIGKRLKLKMKKKDAGMLKFEGSKAGRKGALGIDAEIFEITPCFHLVEMKKSSGDTLEYQTVLNQEIRPALKDIVWTWQGEQQQQQQQQQILKQQQEQQELQPSHVCALQAASPYATS >Manes.09G116000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31841129:31845207:1 gene:Manes.09G116000.v8.1 transcript:Manes.09G116000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGHVLMRKYEMGKLLGQGTFARVHHARNLQTGVSVAIKIIDKEKVLKVGMMEQIKREISVMRLIRHPNVVELYEVMATKSKIYFVMEYVKGGELFNKVAKGKLKEDIGRKYFQQLISAVDYCHSRGVSHRDLKPENLLLDENGNLKVSDFGLSALAECKQQDGLLHTTCGTPAYVAPEVINRKGYDGSKADIWSCGVILYVLLAGYLPFHDTNLMEMYRKIGKAEFRFPNWFAPEVRKLLSKILDPKPSTRISMVKIMENSWFCKGLEPKSLIVETNREEHAFADCDAVFNVDESNSAVTQSKQESAKPCNLNAFDIISYSAGFDLSGLFEEKEKKKEVRFTANKPSSIIISKLEDIGKRLKLKMKKKDAGMLKFEGSKAGRKGALGIDAEIFEITPCFHLVEMKKSSGDTLEYQTVLNQEIRPALKDIVWTWQGEQQQQQQQQQILKQQQEQQELQPSHVCALQAASPYATS >Manes.05G128648.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22306116:22306511:-1 gene:Manes.05G128648.v8.1 transcript:Manes.05G128648.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLNNEYDPIRVQVLGRNPFASLEEAHAHVQQEESRRHAMLHTAPVEKAGLTTSLSTPQPPTSEKDHLHCEYCGKPRHTKETCWKLHGRPTRGRGGKRGTSRNQAKLAETVEEPFQETTTTEFLSPNEL >Manes.06G044802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13997123:13997503:-1 gene:Manes.06G044802.v8.1 transcript:Manes.06G044802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGFVKNIRNKDINFLSQFELIGYGEDYGYSNICRIWFKIAGLTENESYKETVSDENVNNMIDYNKRQYHISIYYVGIVNQLVVDEGNVVLEEVIHENDIVNDDDYLLEDIIHDSDSVNESAQRG >Manes.03G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1819200:1821011:-1 gene:Manes.03G021700.v8.1 transcript:Manes.03G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAKSDSDQGTVTSSSPRSPKPTMYYVQSPSRDSRDEDKSLSMQATPAFNSPIESPSHPSYGRHSRSSSSSRVSGAYGSSSAALTTLGRKAENKKNYKGWRQCNMIKEEGDYGEMYRNDGLSTRCRVLFVVLGFVVIFSVFCLVIWGASRPYKLQISVKSLIVHDLNFGQGSDFTGVPTSLLTTNCSVKMNVYNPATFFGIHVSSVPVNLMYSEITVATGELKKYYQSRKSHHNVYVNLEGIRVPLYGAGASLKISDNNGGVQMAMILVFDIHSRGNVVGELVKSRHTRHVSCALSIDSRSKKPIKFNKDSCAYV >Manes.13G082356.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17172034:17172655:-1 gene:Manes.13G082356.v8.1 transcript:Manes.13G082356.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYVLYADYCDTAFQLLFYITNCTYSHANFRSFDKLSKLFILGTSVCFCPKL >Manes.07G054622.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7463846:7464793:-1 gene:Manes.07G054622.v8.1 transcript:Manes.07G054622.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISYYLQNPSNPYFLHHNENLELVLASPALTESNYHSWARAMKMAIASKNKLRFIDRTLPTLAKTDPMFSTWECYNNTILSWLTKSLSPCIAQSILWLDKAVDVWNDLKDKFSQCDIIRILDLQEKNFTIDAIQTYINNDYGIRFLKDLNEQYAHVKSLIMIMEPLPFINKVFSSVVQQERQMISRVNIESKAFFNTRYILVGKGQSNGLINFCTYCDKFRHTIETCYKKHGYPPNFKFRNSNGSNVNVVNVAAV >Manes.05G026800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2342013:2345539:-1 gene:Manes.05G026800.v8.1 transcript:Manes.05G026800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERRSSHIICAIFYIWFLLFSSVYGSIGNDPESLDASIYNYAMETLAKRRTGTLLNVSLPANFSGIRASVIRLRSSTLWDRGANFESFYIPTRVVTFPFVRRIAIVYHNLGNWSSYYYKVPGYTLVTPVVGFMAYDASNLSTSANGTLKFIVLDSPIVVSFPNSTIAELKHGNAEAKCVKFSDGGLVEFRNLTEGRCVTQGDGHFSIAIPSPESKKKKSWARWVIGFGAGFIGLILVVLIIIIACKIVRSEKMRKMEEESEKGVAFDTKWIGRSKMPSASMVRTQPVLEHEPLFVIW >Manes.05G026800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2343079:2345539:-1 gene:Manes.05G026800.v8.1 transcript:Manes.05G026800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERRSSHIICAIFYIWFLLFSSVYGSIGNDPESLDASIYNYAMETLAKRRTGTLLNVSLPANFSGIRASVIRLRSSTLWDRGANFESFYIPTRVVTFPFVRRIAIVYHNLGNWSSYYYKVPGYTLVTPVVGFMAYDASNLSTSANGTLKFIVLDSPIVVSFPNSTIAELKHGNAEAKCVKFSDGGLVEFRNLTEGRCVTQGDGHFSIAIPSPESKKKKSWARWVIGFGAGFIGLILVVLIIIIACKIVRSEKMRKMEEESEKGVAFDTKWIGRSKMPSASMVRTQPVLEHEPLFVIW >Manes.05G026800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2343079:2345539:-1 gene:Manes.05G026800.v8.1 transcript:Manes.05G026800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERRSSHIICAIFYIWFLLFSSVYGSIGNDPESLDASIYNYAMETLAKRRTGTLLNVSLPANFSGIRASVIRLRSSTLWDRGANFESFYIPTRVVTFPFVRRIAIVYHNLGNWSSYYYKVPGYTLVTPVVGFMAYDASNLSTSANGTLKFIVLDSPIVVSFPNSTIAELKHGNAEAKCVKFSDGGLVEFRNLTEGRCVTQGDGHFSIAIPSPESKKKKSWARWVIGFGAGFIGLILVVLIIIIACKIVRSEKMRKMEEESEKGVAFDTKWIGRSKMPSASMVRTQPVLEHEPLFVIW >Manes.05G026800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2343079:2345539:-1 gene:Manes.05G026800.v8.1 transcript:Manes.05G026800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERRSSHIICAIFYIWFLLFSSVYGSIGNDPESLDASIYNYAMETLAKRRTGTLLNVSLPANFSGIRASVIRLRSSTLWDRGANFESFYIPTRVVTFPFVRRIAIVYHNLGNWSSYYYKVPGYTLVTPVVGFMAYDASNLSTSANGTLKFIVLDSPIVVSFPNSTIAELKHGNAEAKCVKFSDGGLVEFRNLTEGRCVTQGDGHFSIAIPSPESKKKKSWARWVIGFGAGFIGLILVVLIIIIACKIVRSEKMRKMEEESEKGVAFDTKWIGRSKMPSASMVRTQPVLEHEFVGFGLITEPLFVIW >Manes.05G026800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2343079:2345539:-1 gene:Manes.05G026800.v8.1 transcript:Manes.05G026800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERRSSHIICAIFYIWFLLFSSVYGSIGNDPESLDASIYNYAMETLAKRRTGTLLNVSLPANFSGIRASVIRLRSSTLWDRGANFESFYIPTRVVTFPFVRRIAIVYHNLGNWSSYYYKVPGYTLVTPVVGFMAYDASNLSTSANGTLKFIVLDSPIVVSFPNSTIAELKHGNAEAKCVKFSDGGLVEFRNLTEGRCVTQGDGHFSIAIPSPESKKKKSWARWVIGFGAGFIGLILVVLIIIIACKIVRSEKMRKMEEESEKGVAFDTKWIGRSKMPSASMVRTQPVLEHEFVGFGLITEPLFVIW >Manes.16G056500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:20850275:20850571:1 gene:Manes.16G056500.v8.1 transcript:Manes.16G056500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAESTAIPVECQRLHQALCECHRRVSPGLGREMSCRHLNRALAQCVVSVACPEELEVVRSLCASGGTALKRSQCQRAQLSLSVCLASHQHDPSPDS >Manes.14G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6403876:6404474:1 gene:Manes.14G076600.v8.1 transcript:Manes.14G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRPSGASKLTEDEINELILKLQALLQQLDQRQTSRVSASKILKETCSYIRKLQSEVDDLSERLSQLMDSMNINTVDIESLITSILPQ >Manes.16G118600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32212929:32220049:1 gene:Manes.16G118600.v8.1 transcript:Manes.16G118600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACGCLDLWLWLLGSYYTMMLRHWSFNFFEWREVHHGFVRRIYSVPDLDDIFWEKVPTPILDVVEKPIHLKNLTSKELKQLADEIRAELSSIVSRTHKSIKASLAVVELTVALHYVFHAPVDKILWDVGEQAYAHKILTGRRSLMHTLRQKNGLSGFTSRSESEYDPFGAGHGCNSISAGLGMAIARDMKGKRERVVTVISNGTTMAGQVYEAMSNAGYLDSNMIVILNDSHHSLHRKIEEGPKTTINALSSTLSKLQSNKSFRKLREVAKGVTKRIGGSMHEIAAKVDEFARGLMGPPGATLFEELGLYYIGPVDGHNIEDLVCVLQEVASLDSMGPVLVHVITEENREREDKQKDGKLENLQEGSFMSDSSLCNIHTRTYSDCFVETLIMEAEKDKDIVTVHAGMEMETAFQVIQERFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVIHDVDQQKIPVRFVISSAGLVGSDGPMQCGAFDITYMSCLPNMIVMAPSDEDELVDMVATAVHIDDRPVCFRYPRGAIIGTDHYVRSGIPIEVGKGKVLIEGKDVALLGYGAMVQNCLKARHLLSKLGIEVTVADARFCKPLDMKLLRQLCENHAFLVTVEEGSVGGFGSHVAQFMALDGQLDGRVKWRPIVLPDSYIEHALPKEQLTLAGLTGHHIAATVLRLLGRTREALLLMS >Manes.16G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32212929:32220049:1 gene:Manes.16G118600.v8.1 transcript:Manes.16G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAIEYPYGITAHSRGKFGQKLLDTSSSKFPYKVGFSRTNLYESSASITNSKGFVRRIYSVPDLDDIFWEKVPTPILDVVEKPIHLKNLTSKELKQLADEIRAELSSIVSRTHKSIKASLAVVELTVALHYVFHAPVDKILWDVGEQAYAHKILTGRRSLMHTLRQKNGLSGFTSRSESEYDPFGAGHGCNSISAGLGMAIARDMKGKRERVVTVISNGTTMAGQVYEAMSNAGYLDSNMIVILNDSHHSLHRKIEEGPKTTINALSSTLSKLQSNKSFRKLREVAKGVTKRIGGSMHEIAAKVDEFARGLMGPPGATLFEELGLYYIGPVDGHNIEDLVCVLQEVASLDSMGPVLVHVITEENREREDKQKDGKLENLQEGSFMSDSSLCNIHTRTYSDCFVETLIMEAEKDKDIVTVHAGMEMETAFQVIQERFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVIHDVDQQKIPVRFVISSAGLVGSDGPMQCGAFDITYMSCLPNMIVMAPSDEDELVDMVATAVHIDDRPVCFRYPRGAIIGTDHYVRSGIPIEVGKGKVLIEGKDVALLGYGAMVQNCLKARHLLSKLGIEVTVADARFCKPLDMKLLRQLCENHAFLVTVEEGSVGGFGSHVAQFMALDGQLDGRVKWRPIVLPDSYIEHALPKEQLTLAGLTGHHIAATVLRLLGRTREALLLMS >Manes.16G118600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32212929:32220049:1 gene:Manes.16G118600.v8.1 transcript:Manes.16G118600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGLKFSNSVITGDRLVQELKQLADEIRAELSSIVSRTHKSIKASLAVVELTVALHYVFHAPVDKILWDVGEQAYAHKILTGRRSLMHTLRQKNGLSGFTSRSESEYDPFGAGHGCNSISAGLGMAIARDMKGKRERVVTVISNGTTMAGQVYEAMSNAGYLDSNMIVILNDSHHSLHRKIEEGPKTTINALSSTLSKLQSNKSFRKLREVAKGVTKRIGGSMHEIAAKVDEFARGLMGPPGATLFEELGLYYIGPVDGHNIEDLVCVLQEVASLDSMGPVLVHVITEENREREDKQKDGKLENLQEGSFMSDSSLCNIHTRTYSDCFVETLIMEAEKDKDIVTVHAGMEMETAFQVIQERFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVIHDVDQQKIPVRFVISSAGLVGSDGPMQCGAFDITYMSCLPNMIVMAPSDEDELVDMVATAVHIDDRPVCFRYPRGAIIGTDHYVRSGIPIEVGKGKVLIEGKDVALLGYGAMVQNCLKARHLLSKLGIEVTVADARFCKPLDMKLLRQLCENHAFLVTVEEGSVGGFGSHVAQFMALDGQLDGRVKWRPIVLPDSYIEHALPKEQLTLAGLTGHHIAATVLRLLGRTREALLLMS >Manes.16G118600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32212929:32220233:1 gene:Manes.16G118600.v8.1 transcript:Manes.16G118600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLRQKNGLSGFTSRSESEYDPFGAGHGCNSISAGLGMAIARDMKGKRERVVTVISNGTTMAGQVYEAMSNAGYLDSNMIVILNDSHHSLHRKIEEGPKTTINALSSTLSKLQSNKSFRKLREVAKGVTKRIGGSMHEIAAKVDEFARGLMGPPGATLFEELGLYYIGPVDGHNIEDLVCVLQEVASLDSMGPVLVHVITEENREREDKQKDGKLENLQEGSFMSDSSLCNIHTRTYSDCFVETLIMEAEKDKDIVTVHAGMEMETAFQVIQERFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVIHDVDQQKIPVRFVISSAGLVGSDGPMQCGAFDITYMSCLPNMIVMAPSDEDELVDMVATAVHIDDRPVCFRYPRGAIIGTDHYVRSGIPIEVGKGKVLIEGKDVALLGYGAMVQNCLKARHLLSKLGIEVTVADARFCKPLDMKLLRQLCENHAFLVTVEEGSVGGFGSHVAQFMALDGQLDGRVKWRPIVLPDSYIEHALPKEQLTLAGLTGHHIAATVLRLLGRTREALLLMS >Manes.17G111700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31805342:31810500:1 gene:Manes.17G111700.v8.1 transcript:Manes.17G111700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEMLEAILGFLAIVTFILWVWWWNANEILVNWPVVGMLPQLSWNMSRLHDFLTCILQQSQGTFLFKGHWFGDYDFLLTSDSMNIRHVLSKNFDNYHKGEDFKEIFEPMGDGIFTSDSDNWRFLRRIFHYVLRTKSFELAARSSMEQKILKGLFPILENASILATEVDLQDVLKRFMFDNICLLVLGFDPNSLSIEFPQIPCAKAYDDMSIAAIHRHTMPKGIWKLQRWLRIGIEKRVRKARDVFDDFAEQCITRKRQQLDESSRNHEEQEEFNLLTYFLVNDDDSKGDLGEGVIIRTKSNKFLRDTAFNLMAAGRDAIAAELDWFFWLVGTHPSVEKKTLEEMEANLRPGTDSKWKIFNVEEVRKLVYLHAVVFETLRLYPPIPLLHKDSFGPDILPSGHKIPGDMKIIYS >Manes.03G135200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26234665:26235681:-1 gene:Manes.03G135200.v8.1 transcript:Manes.03G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVARFIKAWIIAIICLCYCYYTTAKIPKGILRLLSLLPVVYIFIILPFNLTSVHLCSATTFPLVWLANFKLLLFSLDHGPLSPPPPKLLQFILLACLPIQLKTKNNSNPSPQISLFTRSILLVVKILLMAIILHSYYYIHLMHPYVVFALYCAHTYLELEFVLAIFGVLARALFGFELDRQFDEPYLSSSLQDFWSRRWNLAVTSILRSTVYFPTRYFFKRIIGSTGATLVAVMASFLVSGLMHEILICYVTHVSPTWEVTGFFVLHGFCLAIEVAVKKVVRDRWRMPVIVSMPFTMGFIATTSFWLFFPQILKNGIDDKIIRELLNIVDFIKGTN >Manes.04G028900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3401440:3403043:1 gene:Manes.04G028900.v8.1 transcript:Manes.04G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTGVPLLPATYMSLRKWLESDPEFGRSGSYKGCRPAVVHGQPRVVDSRSCRQMYLRSYTFSRKESVSEKTKKCIGKVKERIKNRSSLSSSSSSKASHVEKKRFVKGESLRRVSCAALFSMFKRLLSCTAKVDVVEYGDQ >Manes.12G105811.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28780554:28784128:-1 gene:Manes.12G105811.v8.1 transcript:Manes.12G105811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFNALSIPQIVEKMMISLPKKFESKVSVIEKTCDLEKLSVAELISKLQAQEQRVSISSVDLPTKKTKLLAGVYESCSLALIEPACYEEATKYKMGMSLKLSFMRSETEATLYVKGYECDQQLVVSVYVDDLLITDGNSQLVQQFKQLMMDEFAMSDLEKLWKEDGEHKTDATIFRSLIGVFTWSFKKQEVVAQSTAESEYILAAAAANHAILLRKILSELNMIQLKPTTIYVDNKSAIAIANNPVQHRRSKHIKVKFHFLQEAEKNQEIHL >Manes.08G030400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2951891:2952653:1 gene:Manes.08G030400.v8.1 transcript:Manes.08G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEVSAIKTDQIEVDKETIDMLSALGMGDIPGIVQVDPVAVPVTQFGFGRGGGAGRPGGRF >Manes.07G004300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:678541:681932:-1 gene:Manes.07G004300.v8.1 transcript:Manes.07G004300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRLEKLYLEAKREAEDSWKEKEKNLLLLIGRLQFEKQEILEENRCLKLEKEKSLGELDEKTNFLFLRERSEQVRIDELEKEVRKQSKEFDKGMVLHDRLLQLLQRKSFMTLDKGKQLKEYEEMTNGLLATVKSLEKKVEVLEDELRRTTINVVEKKELAEVLLTRIAFLLSHLTDISQLSTEHEKEKKKLVEKLERFEEDVSELQRKLEKKTQELEYGRVLQAELLQQIVVNKLEILKQKQQLEESENRKKLLLEGVNALEEKINELNENIRGSNNFAEGKDSYEKLLQQTELKDSQLLAEKKICTEITWLVKMVRVVALLNQQAKEEQRCKILDGKMVDKSQCSPIPCH >Manes.01G013130.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3335873:3337018:-1 gene:Manes.01G013130.v8.1 transcript:Manes.01G013130.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSPPFILAILVALLVSFLFNLRATSFWSRRHPKNDRKLPPGPPALPIIGNLYMLGNLPHRSLYHLFKKYGPIMSMRLGSIPAIVVSSPQAAELFLKTHDVVFASRPIIQASVYMCYGNKGMAFSEYGPYWRSIRKLCTLQLLSPSKIEYFAPMRIEEVRLLVNSLKKAAAAREAVDISLGVGDLIRNMSCKLVFGEANIDEFDLKLLIKEALNLTGAFNIADYVPFLGAFDLQGLKKRMKAFSKKMDKILDKIIDEHERKAQWQKQQQIRDFVDVLLSLMNQPTASNDESLSTLDRTNPPHPMMNHSSHWIEPT >Manes.03G000200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:87420:89053:-1 gene:Manes.03G000200.v8.1 transcript:Manes.03G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFIPFAVFLITLSFLFIMYKQKSNVSRGKGLPPGNTGWPLIGESIEFLSTGRKGQPEKFIFNRMEKFSSKVFKTSLFCEPAAVFCGAAGNKFLFSNENKLVTAWWPNSVNKIFPSSNQTSSQEESKRMRKLLPQFLKPEALQRYISIMDDIAQKHLAFDWDNKEQVIVFPLAKMYTFSLACRLFLSMEDREEVEKFAKPFEVLASAIIAIPINFPGTPFNRGIKASNLVRKELIKMIKQRKIDDILSHMLTTADENGQYMNEMDIADKILGLLIGGHDTASAAITFVVKYLAELPHVYNKVLEEQMEIARAKAPGELLNWEDIQKMKYSWNVACEVMRLAPPLQGAFREAMTDFTYADYTIPKGWKLYWSANSTHRNPEYFPDPENFEPSRFDGIGPAPYTFVPFGGGPRMCPGKEYARLEILVFIHNVVKKFKWEKLLPQENIIIDPLPIPAKGLPIRLHPHNP >Manes.17G007266.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2857388:2858470:-1 gene:Manes.17G007266.v8.1 transcript:Manes.17G007266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKERIENLETGLGQLQDNLSRIEKGIGEDLQHIKAAITKLSELSSSNREESSGVNDRASQIRATPEISRDGGKPLFSAKLAKLEFPKYTGDDPTEWFTRVDQFFEYQGTPDTEKVSLASYHLRGEANEWWKWLRRTYTEAGKGVTWEIFSKELWSRFGPTDCEDFDESLSKIRQTGDLRDYQREFERLGNRVKGWTQKALVGTFMGGLKSEIAEGIRMFKPKTLKDAISLARMKDEQLLRNKKAIRPSFQTGNFAPSKSKPSAPVKRLTWDEMQKRRAQGLCFNCDEKFTLGHRCTKSQLLILDGGCDLADYEDDTEAEISLHALTGWTSTGTMRVEIQFNSCQLIKIATRLKIEKQ >Manes.16G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33973927:33987182:1 gene:Manes.16G137600.v8.1 transcript:Manes.16G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEESEGVSASRNHLVFAYYVTGHGFGHATRVVEVVRNLILAGHDVHVVTGAPDFVFTSEIQSPRLFIRKVLLDCGAVQADALTVDRLASLEKYSETAVKPRDSILATETQWLHSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRQEVRKELGISDDVKLVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDSQELPPNFIKLAKDAYTPDLIAASDCMLGKIGYGTCSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAVSLKPCYEGGINGGEVAAHILQETAIGKAYASDKLSGARRLRDAIVLGYQLQRVAGRDISIPDWYANAENELSKSTGSPVVHTNVGGQQTCARSEDFEILHGDLQGLSDTTSFLKSLDELDAVYDSKNNTEKRQMREHKAAAGLFNWEEDIFVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPGKHRLWKHAEARQNAKGQGPTPVLQIVSYGSELSNRGPTFDMDLSDFMDGENPMSYEKARKYFAQDPSQKWAAYVAGSILVLMTELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAVAAAHGLNISPRDMALLCQKVENHIVGAPCGVMDQMTSVCGEANKLLAMVCQPAEVIGLVEIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGREMIKSTASAILSRSLPSINGSIPDELEDYGAELLKCESSLDYLCNLSPHRYEALYAKMLPESILGEAFLAKYADHNDPVTVIDQKHTYGVRAAAKHPIYENFRVKAFKALLSSATSDDQLTALGELLYQCHYSYSACGLGSDGTDRLVRLVQEKQHSKSSKSEDGTLYGAKITGGGSGGTVCVIGRNCLRSSQQILEIQQKYKDATGYLPFIFEGSSPGAVKFGYLKIRRRISLRLD >Manes.16G137600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33975633:33987182:1 gene:Manes.16G137600.v8.1 transcript:Manes.16G137600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRQEVRKELGISDDVKLVILNFGGQPAGWKLKEEYLPSGWLCLVCGASDSQELPPNFIKLAKDAYTPDLIAASDCMLGKIGYGTCSEALAYKLPFVFVRRDYFNEEPFLRNMLEFYQSGVEMIRRDLLTGHWKPYLERAVSLKPCYEGGINGGEVAAHILQETAIGKAYASDKLSGARRLRDAIVLGYQLQRVAGRDISIPDWYANAENELSKSTGSPVVHTNVGGQQTCARSEDFEILHGDLQGLSDTTSFLKSLDELDAVYDSKNNTEKRQMREHKAAAGLFNWEEDIFVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPGKHRLWKHAEARQNAKGQGPTPVLQIVSYGSELSNRGPTFDMDLSDFMDGENPMSYEKARKYFAQDPSQKWAAYVAGSILVLMTELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAVAAAHGLNISPRDMALLCQKVENHIVGAPCGVMDQMTSVCGEANKLLAMVCQPAEVIGLVEIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGREMIKSTASAILSRSLPSINGSIPDELEDYGAELLKCESSLDYLCNLSPHRYEALYAKMLPESILGEAFLAKYADHNDPVTVIDQKHTYGVRAAAKHPIYENFRVKAFKALLSSATSDDQLTALGELLYQCHYSYSACGLGSDGTDRLVRLVQEKQHSKSSKSEDGTLYGAKITGGGSGGTVCVIGRNCLRSSQQILEIQQKYKDATGYLPFIFEGSSPGAVKFGYLKIRRRISLRLD >Manes.05G044200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3595701:3601708:-1 gene:Manes.05G044200.v8.1 transcript:Manes.05G044200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAHGRPLPPPFHIRDLHLHPFHQFQQHQRQNSDEEQQSGNGSLNRGQKREHDDITNPTATATNTAEGKELVPATAGGDDEITRRPRGRPSGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMETLSTFARRRLRGVSVLSGAGTVTNVTLRQPGSPGAVVTLHGRFEILSLSGSFLPPPAPPVASGLTIYLAGGQGQVIGGSVVGPLLASGPVVIMAASFGNAAYERLPLEEDEEQAPVPGSGPLGSPGIVGQPQQLPQQQQQQQLMQDPNPSLFQGLPPNLLSSVQLPAEGYWGTSRPPF >Manes.05G044200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3597214:3601708:-1 gene:Manes.05G044200.v8.1 transcript:Manes.05G044200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAAHGRPLPPPFHIRDLHLHPFHQFQQHQRQNSDEEQQSGNGSLNRGQKREHDDITNPTATATNTAEGKELVPATAGGDDEITRRPRGRPSGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMETLSTFARRRLRGVSVLSGAGTVTNVTLRQPGSPGAVVTLHGRFEILSLSGSFLPPPAPPVASGLTIYLAGGQGQVIGGSVVGPLLASGPVVIMAASFGNAAYERLPLEEDEEQAPVPGSGPLGSPGIVGQPQQLPQQQQQQQLMQDPNPSLFQGLPPNLLSSVQLPAEGYWGTSRPPF >Manes.02G113900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8770437:8775293:-1 gene:Manes.02G113900.v8.1 transcript:Manes.02G113900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQDYSLTALRIFQNTINREFIRSLHVYRLKDGTDKEVEREFLFSDDGPYVEMVANPLLRLDRFRVLELFEGQVIGVWHCIFAFNAHHSPHLSRIPSLLSISRNPKLKSVPTLANDLQLIFKLISRTADEEPLQFLSEEKCRMIKASVMAKKKKRAATEDIARIALEDLVKYFDLPIAEASRNLKVGLTVLKRKCREFGIPRWPHRKIKSLDSLIRNLQEEAERQKQENEDAAMAVAKRQKMLEREKESIERKPFMEIQSETKRFRQDVFKRRHRARALKTQGLRVSQASA >Manes.02G113900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8770437:8775293:-1 gene:Manes.02G113900.v8.1 transcript:Manes.02G113900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQDYSLTALRIFQNTINREFIRSLHVYRLKDGTDKEVEREFLFSDDGPYVEMVANPLLRLDRFRVLELFEGQVIGVWHCIFAFNAHHSPHLSRIPSLLSISRNPKLKSVPTLANDLQLIFKLISRTADEEPLQFLSEEKCRMIKGCFQSKRNLPVLDQDLNCLPYSVATSQVPKSQQIEPSEPASVMAKKKKRAATEDIARIALEDLVKYFDLPIAEASRNLKVGLTVLKRKCREFGIPRWPHRKIKSLDSLIRNLQEEAERQKQENEDAAMAVAKRQKMLEREKESIERKPFMEIQSETKRFRQDVFKRRHRARALKTQGLRVSQASA >Manes.02G113900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8771704:8775483:-1 gene:Manes.02G113900.v8.1 transcript:Manes.02G113900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQDYSLTALRIFQNTINREFIRSLHVYRLKDGTDKEVEREFLFSDDGPYVEMVANPLLRLDRFRVLELFEGQVIGVWHCIFAFNAHHSPHLSRIPSLLSISRNPKLKSVPTLANDLQLIFKLISRTADEEPLQFLSEEKCRMIKASVMAKKKKRAATEDIARIALEDLVKYFDLPIAEASRNLKVGLTVLKRKCREFGIPRWPHRKIKSLDSLIRNLQEEAERQKQENEDAAMAVAKRQKMLEREKESIERKPFMEIQSETKRFRQDVFKRRHRARALKTQGLRVSQASA >Manes.02G113900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8771704:8775483:-1 gene:Manes.02G113900.v8.1 transcript:Manes.02G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQDYSLTALRIFQNTINREFIRSLHVYRLKDGTDKEVEREFLFSDDGPYVEMVANPLLRLDRFRVLELFEGQVIGVWHCIFAFNAHHSPHLSRIPSLLSISRNPKLKSVPTLANDLQLIFKLISRTADEEPLQFLSEEKCRMIKGCFQSKRNLPVLDQDLNCLPYSVATSQVPKSQQIEPSEPASVMAKKKKRAATEDIARIALEDLVKYFDLPIAEASRNLKVGLTVLKRKCREFGIPRWPHRKIKSLDSLIRNLQEEAERQKQENEDAAMAVAKRQKMLEREKESIERKPFMEIQSETKRFRQDVFKRRHRARALKTQGLRVSQASA >Manes.13G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5112617:5116959:-1 gene:Manes.13G043600.v8.1 transcript:Manes.13G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRQLFNIYELRPMLRSFAIHYHHLFVVILALACAASAANNEVDTLISWLHSSPSSSPSSVFSSWNHLDSNPCKWSYITCSSNNFVTEINIQFVDIALPFPSNFSNLIFLEKLVLSGVNLTGTIAPDIGDCSHLTLLDVSSNSLVGIIPSSVGKLKNLQDLILNSNQITGMIPVEIGNCTNLKNLVIYDNSLSGNLPAELGRISNLEVIRAGGNKNIEGKIPDELGDCKNLQVLGLADTKISGPIPVSLGNLSNLQTLSVYTTMLSGEIPPHLGNCSELVNLFLYENDLSGSLPPQFGKLQKLEKMLLWQNNFHGTIPGEIGNCKSLIVIDLSLNFFSGAIPQSFGNLSNLEELMLSNNNISGSIPPVLSNATKLLQLQLDTNEISGTIPAELGKLTQLTVFFAWENKLEGSIPAELGGCRSLEALDLSQNALTGSLPPSLFQLQNLTKLLLIANDISGSIPPEVGNCVSLIRLRLVNNRISGEIPKEIGLLKNLSFLDLSENHIGGTLPAEIGNCNELQMLNLSNNTLRGTLPSSLSSLTRLEVLDLSVNQFVGEIPSGFGKLSSLNRLILSKNTLFGAIPSSLGHCLSLQLLDLSSNALSGMIPVELFDIEGLDIALNLSWNALTGTIPPQISALNKLSILDLSHNELEGDLMALAGLENLVSLNISHNNFTGYLPDNKLFRQLSETEMAGNQGLCSKGRDSCFLRKATSMSMSNNSKLKRSHRLKLVIALLITLTIAMAIFGAIAVFRARKLMRDDCESEMGGDSWPWHFTPFQKLNFSVEQVLKCLVEANVIGKGCSGIVYRAELENGEVIAVKKLWPTTMAAEKDSQNDRLDIKGVRDSFSTEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYNYMPNGSLGSLLHERSGGCLEWEVRYRIILEAAQGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDCDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHIVDWVRQKRGGIEVLDPSLRARPESEIEEMLQSLGVALLCVNPCPDDRPTMKDVSAMLKEIRLENREECLKMDMLLNGSSANNQQENCNTKQHSYPQSNNTSFSGSSILHSSSSMAKTTFK >Manes.07G014700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1773142:1781828:1 gene:Manes.07G014700.v8.1 transcript:Manes.07G014700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKFPVTHLKTSVAVAPSSLILFKSDFCKFICCQFNSSERRLRFKLVGQSLGDRWKLKDIDAKAVQERVNSWLSKTQNLLNEVSLPLVKSGHSGKPDPGKALDAPELEEIFVTEQTIHSSTPNGILSLAAIVSIEQFSRMNGLTGYKMQKIFKALVAESIYNDARNLVEYCCFRFLSRDNSAIHPCLKEPAFQQLIFITMLAWENPYHKEDSEKPSLRGKLVGEEAFVRIAPAISGMADHSTAHNLFRALAGNEQGITLGLWLTYVDELLKVHEGRKSYQIREFPKLSEEKILCIGSSRKRPVLKWENNMAWPGKVILTDKALYFEAVGLAGQKDPIRFDLTRKGVRVEKTKVGPLGYVLFDSAVSISSGPKSETWVLEFVDLGGDSRRDVWHAFINEVISLHKFICEFGPEDNDQSTFQVYGAQKGKERAIISAINSIARLQALQFMRKLLDDPIKLVQFSFLQKAPYGDIVYQTLAVNYWGGPLVKKVTMAEYQPAQGGRPSDELLENRNHVFDIDGSVYLQKWMRSPSWISTASVNFWKNSSTKQGVVLSKDLVVADITLVERAAITCKEKCQVVEKTQATIDAAMLQGIPSNIDLFKELILPLTMAAKNFGKLRRWEEPHLTISFLAFAYSVIFRNLLPYVFPMTLMVLAAGMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIQKIIAVKDAMRDVENYLQNLNVTLLKFRTIILSGHPQITTEVALVLLASATILLIVPFKYVAAFLLFDLFTRELEFRREMVKRFITFLKDRWDTVPAAPVVVLPFEYNESTPTNQKEKDNDKQSKE >Manes.07G014700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1773142:1781828:1 gene:Manes.07G014700.v8.1 transcript:Manes.07G014700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTGYKMQKIFKALVAESIYNDARNLVEYCCFRFLSRDNSAIHPCLKEPAFQQLIFITMLAWENPYHKEDSEKPSLRGKLVGEEAFVRIAPAISGMADHSTAHNLFRALAGNEQGITLGLWLTYVDELLKVHEGRKSYQIREFPKLSEEKILCIGSSRKRPVLKWENNMAWPGKVILTDKALYFEAVGLAGQKDPIRFDLTRKGVRVEKTKVGPLGYVLFDSAVSISSGPKSETWVLEFVDLGGDSRRDVWHAFINEVISLHKFICEFGPEDNDQSTFQVYGAQKGKERAIISAINSIARLQALQFMRKLLDDPIKLVQFSFLQKAPYGDIVYQTLAVNYWGGPLVKKVTMAEYQPAQGGRPSDELLENRNHVFDIDGSVYLQKWMRSPSWISTASVNFWKNSSTKQGVVLSKDLVVADITLVERAAITCKEKCQVVEKTQATIDAAMLQGIPSNIDLFKELILPLTMAAKNFGKLRRWEEPHLTISFLAFAYSVIFRNLLPYVFPMTLMVLAAGMLTLKGLKEQGRLGRSFGKVTIRDQPPSNTIQKIIAVKDAMRDVENYLQNLNVTLLKFRTIILSGHPQITTEVALVLLASATILLIVPFKYVAAFLLFDLFTRELEFRREMVKRFITFLKDRWDTVPAAPVVVLPFEYNESTPTNQKEKDNDKQSKE >Manes.07G014700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1773142:1781828:1 gene:Manes.07G014700.v8.1 transcript:Manes.07G014700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKFPVTHLKTSVAVAPSSLILFKSDFCKFICCQFNSSERRLRFKLVGQSLGDRWKLKDIDAKAVQERVNSWLSKTQNLLNEVSLPLVKSGHSGKPDPGKALDAPELEEIFVTEQTIHSSTPNGILSLAAIVSIEQFSRMNGLTGYKMQKIFKALVAESIYNDARNLVEYCCFRFLSRDNSAIHPCLKEPAFQQLIFITMLAWENPYHKEDSEKPSLRGKLVGEEAFVRIAPAISGMADHSTAHNLFRALAGNEQGITLGLWLTYVDELLKVHEGRKSYQIREFPKLSEEKILCIGSSRKRPVLKWENNMAWPGKVILTDKALYFEAVGLAGQKDPIRFDLTRKGVRVEKTKVGPLGYVLFDSAVSISSGPKSETWVLEFVDLGGDSRRDVWHAFINEVISLHKFICEFGPEDNDQSTFQVYGAQKGKERAIISAINSIARLQALQFMRKLLDDPIKLVQFSFLQKAPYGDIVYQTLAVNYWGGPLVKKVTMAEYQPAQGGRPSDELLENRNHVFDIDGSVYLQKWMRSPSWISTASVNFWKNSSTKQGVVLSKDLVVADITLVERAAITCKEKCQVVEKTQATIDAAMLQGIPSNIDLFKELILPLTMAAKNFGKLRRWEEPHLTISFLAFAYSVIFSWDANTEGA >Manes.12G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4299434:4302515:-1 gene:Manes.12G048000.v8.1 transcript:Manes.12G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNDCAMMKNRGSSALKEEEIELELGLSIGGSYKRQTGTNSISDTNIDMGSREKHPSCLRSSVSRCFNGDIVQEIDPKRKREIHALRRKEAKKKREEKQLRKGFCKGYLNGGQLINGDIIAGNNNGMWLKANAFQAKEKVADSEERQCKKSKTYDMGSNHNDTKKMNLNLCVDRTSSPVQPNQPLPVQNGLPENGFVFPGANVNVVQVQPVVSYGFVPFQAASNQGHNLRNGYESEQNGSRDGRNKNAGSNGSAICTSSTGSGQRSYSHEGGGGNDNRSHSSPEPEQPQLKCSNENNVKGWFEHTATSHLTDSAQVTNPSKRIEKTIHSSTPQSNAEPKEEAELETEPDPSRSQISTPESPKLVENKAEVVGKSPKPPTTQTSSLPYMPCVSTTGNGPNGKTINGFLYRYTKTEVSIICVCHGTSFSPAEFVQHSGGTDVSHPLRHITVIPSDF >Manes.12G048000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4299424:4302515:-1 gene:Manes.12G048000.v8.1 transcript:Manes.12G048000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLKANAFQAKEKVADSEERQCKKSKTYDMGSNHNDTKKMNLNLCVDRTSSPVQPNQPLPVQNGLPENGFVFPGANVNVVQVQPVVSYGFVPFQAASNQGHNLRNGYESEQNGSRDGRNKNAGSNGSAICTSSTGSGQRSYSHEGGGGNDNRSHSSPEPEQPQLKCSNENNVKGWFEHTATSHLTDSAQVTNPSKRIEKTIHSSTPQSNAEPKEEAELETEPDPSRSQISTPESPKLVENKAEVVGKSPKPPTTQTSSLPYMPCVSTTGNGPNGKTINGFLYRYTKTEVSIICVCHGTSFSPAEFVQHSGGTDVSHPLRHITVIPSDF >Manes.02G071000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5430612:5431352:-1 gene:Manes.02G071000.v8.1 transcript:Manes.02G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMYYFYLCMAVILMVSMETQVARAVTCSPSEVTICLPAVAMAMLPTTACCRKVREQRPCLCGYLKDPNQKQFLSSVGDRRVARACGVPYPTC >Manes.17G071900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27186277:27187348:1 gene:Manes.17G071900.v8.1 transcript:Manes.17G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPIPKFVQSSVTINPYKSKDHYPKKAKGMSFFAFVLSIFIYISIFYIFNLSLSKLFKNSKFWFFISNTLIIIILVDYGAFSSSKDYNKQHDLYQDYIMRRQTSFPSSCRQYYSQIIRTCIPEEEALVDLQEKTKVTHQRNKVPAKVSLAVATNKKKLESCLKQENVNGGGQAKTFHRSKSDITKRVVIDESKNIITRVETEKYDSPPEPVVEENNKNDYANMSNEELNRRVEEFIQRFNRQIRLQRDVY >Manes.01G014600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3911126:3920320:1 gene:Manes.01G014600.v8.1 transcript:Manes.01G014600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHQLFFLISFCLAKYLGSLSIIFPISCSSSNGDLFIKCLFAHYKCGDIEGTEYPFWGSHVPEVCGHHAFHLNCQRNKYPFVIIGKQRFLILKIDQETFSMKIVRWDLWQGACLAEAENLQDVALNHPLYGYTSTSRNLTLIYGCPMVDSMLSYAFTCDLQATHVYNYYADEITWELQQPQLVKYCRAHLTSPVSQAALMELYGGSKTVNEALSEGFDVEYQAHRHSCVSCQDSGGICGSNLTTHDFVCLRRDQPRKNASSPGTISGHESNLKKYLMGIIIGFTLAGMSLLCFLLYCFWSFKRVTASNQMAIPFRRLEYNQKIEKVIRQTIAPKRYSYSDVKKMTASFKDKLGQGGYGIVYKGKLLDGRPVAVKILMKNIPKGKRNGEEFINEVAIISRTSHVNVVSLLGFCFEGHKRALIYEFMANGSLEKFMYNGNTWKAGCCLEWEILYEIAIGIAKGLEYLHGGCNTRILHLDIKPQNILLDEHFLPKIADFGLSKLCSRKESTASMENVRGTIGYIAPEVYSRCFGRVSHKSDVYSYGMMILEMVSGRKNANVKANDASKIYFPHWIYHRLQQDSDLGLCSVRTKEEDEIARRLVLVGLWCIQTNLSHRPSMSEVLVMLEVCSEALEIPPRPFNVSPQSSSGNLSPTSV >Manes.06G005400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:980781:985705:-1 gene:Manes.06G005400.v8.1 transcript:Manes.06G005400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTYIHKSELFFHLVIGIRASRLDLQARLIQIPFSVILKQPGEESLERFYETFHGTDISIQYLVTVDISRGYLHKSLSTTMEFIVESDKADLLERSVSSEMAIFYITQDTQRHPLLPELKSGGFLVTGRISTQCSLLDPISGELTVERSAVPICSIDIHLLRVESILLGEKIVTETSLIQTTQIADGDVCRSMTLPIYVILPRLLTCPTVLAGPFSIEFKVSIVISFQSELSKLHTKSDPRTPRLWLAMETLPLELVRTKG >Manes.06G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:980781:985747:-1 gene:Manes.06G005400.v8.1 transcript:Manes.06G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDLKLSRSNRIYRSSEPLQGKIVIKSPSSLSHYGIRLTINGSVNMQIRGGSAGVIESFYGVIKPITIVNKSFEIRSSGKIDSGTSEIPFSVILKQPGEESLERFYETFHGTDISIQYLVTVDISRGYLHKSLSTTMEFIVESDKADLLERSVSSEMAIFYITQDTQRHPLLPELKSGGFLVTGRISTQCSLLDPISGELTVERSAVPICSIDIHLLRVESILLGEKIVTETSLIQTTQIADGDVCRSMTLPIYVILPRLLTCPTVLAGPFSIEFKVSIVISFQSELSKLHTKSDPRTPRLWLAMETLPLELVRTKG >Manes.06G005400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:980781:985705:-1 gene:Manes.06G005400.v8.1 transcript:Manes.06G005400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDLKLSRSNRIYRSSEPLQGKIVIKSPSSLSHYGIRLTINGSVNMQIRGGSAGVIESFYGVIKPITIVNKSFEIRSSGKIDSGTSEIPFSVILKQPGEESLERFYETFHGTDISIQYLVTVDISRGYLHKSLSTTMEFIVESDKADLLERSVSSEMAIFYITQDTQRHPLLPELKSGGFLVTGRISTQCSLLDPISGELTVERSAVPICSIDIHLLRVESILLGEKIVTETSLIQTTQIADGDVCRSMTLPIYVILPRLLTCPTVLAGPFSIEFKVSIVISFQSELSKLHTKSDPRTPRLWLAMETLPLELVRTKG >Manes.06G005400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:980781:985747:-1 gene:Manes.06G005400.v8.1 transcript:Manes.06G005400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDLKLSRSNRIYRSSEPLQGKIVIKSPSSLSHYGIRLTINGSVNMQIRGGSAGVIESFYGVIKPITIVNKSFEIRSSGKIDSGTSEIPFSVILKQPGEESLERFYETFHGTDISIQYLVTVDISRGYLHKSLSTTMEFIVESDKADLLERSVSSEMAIFYITQDTQRHPLLPELKSGGFLVTGRISTQCSLLDPISGELTVERSAVPICSIDIHLLRVESILLGEKIVTETSLIQTTQIADGDVCRSMTLPIYVILPRLLTCPTVLAG >Manes.11G103100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23284171:23291747:-1 gene:Manes.11G103100.v8.1 transcript:Manes.11G103100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQIFSLCPLYLLLVVALLLLMVLGNVAYEFTDDIAAEQEADRVVRLPGQPEVSFKQYAGYVTVNVSHGRALFYWFFEAAETPEAKPLLLWLNGGPGCSSIGYGEAEEIGPFFPQKRQPKLKINPYSWNKAANLLFVESPVGVGFSYTNTTQDISDLGDTITAQDSYTFLVKWFRRFPQFKSHDFYISGESYAGHYVPQLAEVIYDSNKKVSGKDYINFKGFMIGNALLDDETDQEGMIDYAWDHAVISDRVYHNVKSKCNFSQKPEPDDCTKALNDYFDVYNIIDMYSLYVPHCVNTNFTFNRQLPLLQGVAPQLFSKIEGWHKKPAGYDPCASGYTEIYMNRPDVQKALHANTTNIPYPWTHCSGDTDGRIPVTATRYTLNKLGLKTIEEWSPWYFKKQVGGWTIVYDGLLFVTVRGAGHQVPTFKPKQSLQLIKHFLSNKKLPSAPF >Manes.11G103100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23284171:23291747:-1 gene:Manes.11G103100.v8.1 transcript:Manes.11G103100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQIFSLCPLYLLLVVALLLLMVLGNVAYEFTDDIAAEQEADRVVRLPGQPEVSFKQYAGYVTVNVSHGRALFYWFFEAAETPEAKPLLLWLNGGCSSIGYGEAEEIGPFFPQKRQPKLKINPYSWNKAANLLFVESPVGVGFSYTNTTQDISDLGDTITAQDSYTFLVKWFRRFPQFKSHDFYISGESYAGHYVPQLAEVIYDSNKKVSGKDYINFKGFMIGNALLDDETDQEGMIDYAWDHAVISDRVYHNVKSKCNFSQKPEPDDCTKALNDYFDVYNIIDMYSLYVPHCVNTNFTFNRQLPLLQGVAPQLFSKIEGWHKKPAGYDPCASGYTEIYMNRPDVQKALHANTTNIPYPWTHCSKTITFWDDSPASVLPIIKKLIAGGIRIWVYSGDTDGRIPVTATRYTLNKLGLKTIEEWSPWYFKKQVGGWTIVYDGLLFVTVRGAGHQVPTFKPKQSLQLIKHFLSNKKLPSAPF >Manes.11G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23284171:23291747:-1 gene:Manes.11G103100.v8.1 transcript:Manes.11G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQIFSLCPLYLLLVVALLLLMVLGNVAYEFTDDIAAEQEADRVVRLPGQPEVSFKQYAGYVTVNVSHGRALFYWFFEAAETPEAKPLLLWLNGGPGCSSIGYGEAEEIGPFFPQKRQPKLKINPYSWNKAANLLFVESPVGVGFSYTNTTQDISDLGDTITAQDSYTFLVKWFRRFPQFKSHDFYISGESYAGHYVPQLAEVIYDSNKKVSGKDYINFKGFMIGNALLDDETDQEGMIDYAWDHAVISDRVYHNVKSKCNFSQKPEPDDCTKALNDYFDVYNIIDMYSLYVPHCVNTNFTFNRQLPLLQGVAPQLFSKIEGWHKKPAGYDPCASGYTEIYMNRPDVQKALHANTTNIPYPWTHCSKTITFWDDSPASVLPIIKKLIAGGIRIWVYSGDTDGRIPVTATRYTLNKLGLKTIEEWSPWYFKKQVGGWTIVYDGLLFVTVRGAGHQVPTFKPKQSLQLIKHFLSNKKLPSAPF >Manes.07G005100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:733450:734952:-1 gene:Manes.07G005100.v8.1 transcript:Manes.07G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTYYLSQALHYKPSNVLIIMSSSKLDETKPNIPQIQTTKKKRCLRCNTLYLDKDNSPIACSFHGHTTGEKGLFSLAPPHQGIDGEWSDRSGVIVYKWNEKSNRPNTGIANWKKRWSCCAEYDENAPPCRRGWHVSYDDGFTLY >Manes.02G163400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12803502:12805568:-1 gene:Manes.02G163400.v8.1 transcript:Manes.02G163400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAVVTENYLNGNKFHSFSSSSASLRHVTYSCGNCGYELNLNSSNRNTSTIGSKYGKSIKRGIISFTDIDESRFTQAEEFQCIPYISKNSWGLFRRRTKLLCRKCGNNIGIAYDDETSAYPLVSDGSDSSSVNEQKLRKYDVKIRALQPSSADRFGIPLYL >Manes.03G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16990827:16994042:-1 gene:Manes.03G086200.v8.1 transcript:Manes.03G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGVKIKINAKVIEVEGPRGKLTRNFKHLNLDFHLIKDEATGKRKLKIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKRVRKVDMLEGVTVIRSDKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVVEEE >Manes.09G011871.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2744940:2746900:1 gene:Manes.09G011871.v8.1 transcript:Manes.09G011871.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIEGMFQAGRPQTAQELFKDMCSHGQQPNIVTFSIMINGLCSQGNLDDALTLLKKMEESQLKPNLVTYCILINGMCKAGKINDAKELFSSLFENGLQPDVYVYSAIMKGLCQ >Manes.13G000036.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:103616:104938:1 gene:Manes.13G000036.v8.1 transcript:Manes.13G000036.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRRFGWPPIKAPQTENGRDFSPFSSSGVFMSSFGHFHVFSSSPSYFYEFHGCFEEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.12G078400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9067987:9075292:1 gene:Manes.12G078400.v8.1 transcript:Manes.12G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSKEELLQLIKRFGAYITVKMSNLFSISFHNLDSRSVGAIAGLAVAIVFTWRLLRSPGGPQQRRPKRQAPTTSSSVVGTQSNSTLIPSGVRSSSEDLRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESVPEELQKQATVRSSVLEVLLEITKFCDLYLMERVLDDESEQKVLAALENAGFFTSGGLVKDKVLFCSTENGRSSFVRQLEPDWHIDTNPEIVSQLARFIKYQLHVSPIRPERTAANVFSSPSLEQFFGCM >Manes.13G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6061653:6066537:1 gene:Manes.13G052100.v8.1 transcript:Manes.13G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTVAKKETSEEGEGAAVEETKKSNHVQRKLEKRQKDRKLDPHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAGAA >Manes.02G163450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12807333:12818109:1 gene:Manes.02G163450.v8.1 transcript:Manes.02G163450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGLENIVSLNISHNNFTGYLPDNKLFRQLSETEMAGNQGLCSKGRDSCFLRKATSMSMSNNSKLKRSHRLKLVIALLITLTIAMAIFRAIAVFRARKLMRDDCESEMGGDSWPWHFTPFQKLNFSVEQVLKCLVEANVIGKGCSGIVYRAELENGEVIALRSCGQQQWL >Manes.08G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8451635:8457764:1 gene:Manes.08G062800.v8.1 transcript:Manes.08G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFDYLPIPPLDPLFLSAQDSSTTTIIAGENFVSDLPLSLDDNYDFDITFDDLDSFYFPSENEHFFIPNPDVDVSPLDVSRHSGSPDYLSADFTAHQANPSSLESGNSGICINDGSDVAKSLNCSLSESICCNSNDLCSNSASVLNFPSPVSSNGFGNDLSGVSEAMNVPSPDSGAFVVDQKIKLEEVNAKNGSLQKRKKETASEEVNGETGNQKNLMSENADPTTKANNANSQCDSLLELNQAEKKKRARLMRNRESAHLSRQRKKHYVEELEDKVRTMHSTIAELNSKISFFMAENASLKQQLSGNGMCLPPMYPPMTPMPYPWVQCAPYVVKPQGSQVPLVPIPRLKTQQPVSSGKAKKAEGKKAGGKTKKVASVSFLGLLFFVLLFGGLVPILNVKFGGIKGNSANGFGCVSEKFYYQQNGRVFGGHGHSNGSHENIGVVFSNGNFHVNSRIPCGRGSDGCMAYDADIKGGLKHLPDSDKFVRLANTSNKPLAASLFVPRNDELVKIDGNLIIHSVLASERAMASREDPVVNKSKETGLAIPRGLFPGPALPDIGTNRGSHSHLYRTGNELQKALASGSSDTLKDHLKSTAVDGKVQQWFHEGHAGPMLSSGMCNEVLQFDTSPAPGAILSASSATNITAEREQNATKHINGKNRRILHSLTIPLTGPDMNITGEHIGSAQKDNFQGNKTASPMVVSVLIDPREAGYSEVDGVISPKSISRIFVVVLLDSVKYVTYSCVLPRTGPHLVTT >Manes.01G131200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32423108:32437708:1 gene:Manes.01G131200.v8.1 transcript:Manes.01G131200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPASKRMKLACGELRGLSNGGSLTETVVGPPRDLMARPLQSEGDEDVVGSKGVIKRVEFVRIIAKALDSLGYKKSSAHLEEESGIPLHSSAVNLFMQQILDGNWDESVATLHSIGLKDENIVKSASFLILEQKFFELLEREKIMDALKTLRTEIAHLCINSSRIRELSSCIVSPSLCASVGSSNQGNARMKSRSKLLEELQKLLPPTVIIPERRLEYLVEQALTLQRDACIFHNSSDKGMSLYTDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSRDQSVIIWEVDKNGVVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGTEEAVRRWDVSSGECLHVYEKAGLGLTSCGWFPDGKWIFSGINDKSICMWDLDGNELECWRGQRTMKISDLEITSDGKQIISMCRESAILLHDREAKIERLIEEDQIITSFSLSRDNRFLLVNLLNQEIHLWNIDGGIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELVEALPGHTGAVNCVSWNPKNPHILASASDDRTVRIWGLNGIQMKHKGAHSNGIHYCNGGT >Manes.01G131200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32423109:32437708:1 gene:Manes.01G131200.v8.1 transcript:Manes.01G131200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPASKRMKLACGELRGLSNGGSLTETVVGPPRDLMARPLQSEGDEDVVGSKGVIKRVEFVRIIAKALDSLGYKKSSAHLEEESGIPLHSSAVNLFMQQILDGNWDESVATLHSIGLKDENIVKSASFLILEQKFFELLEREKIMDALKTLRTEIAHLCINSSRIRELSSCIVSPSLCASVGSSNQGNARMKSRSKLLEELQKLLPPTVIIPERRLEYLVEQALTLQRDACIFHNSSDKGMSLYTDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSRDQSVIIWEVDKNGVVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGTEEAVRRWDVSSGECLHVYEKAGLGLTSCGWFPDGKWIFSGINDKSICMWDLDGNELECWRGQRTMKISDLEITSDGKQIISMCRESAILLHDREAKIERLIEEDQIITSFSLSRDNRFLLVNLLNQEIHLWNIDGGIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELVEALPGHTGAVNCVSWNPKNPHILASASDDRTVRIWGLNGIQMKHKGAHSNGIHYCNGGT >Manes.01G131200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32423128:32437019:1 gene:Manes.01G131200.v8.1 transcript:Manes.01G131200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPASKRMKLACGELRGLSNGGSLTETVVGPPRDLMARPLQSEGDEDVVGSKGVIKRVEFVRIIAKALDSLGYKKSSAHLEEESGIPLHSSAVNLFMQQILDGNWDESVATLHSIGLKDENIVKSASFLILEQKFFELLEREKIMDALKTLRTEIAHLCINSSRIRELSSCIVSPSLCASVGSSNQGNARMKSRSKLLEELQKLLPPTVIIPERRLEYLVEQALTLQRDACIFHNSSDKGMSLYTDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSRDQSVIIWEVDKNGVVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGTEEAVRRWDVSSGECLHVYEKAGLGLTSCGWFPDGKWIFSGINDKSICMWDLDGNELECWRGQRTMKISDLEITSDGKQIISMCRESAILLHDREAKIERLIEEDQIITSFSLSRDNRFLLVNLLNQEIHLWNIDGGIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELVEALPGHTGAVNCVSWNPKNPHILASASDDRTVRIWGLNGIQMKHKGAHSNGIHYCNGGT >Manes.01G131200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32423100:32437048:1 gene:Manes.01G131200.v8.1 transcript:Manes.01G131200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPASKRMKLACGELRGLSNGGSLTETVVGPPRDLMARPLQSEGDEDVVGSKGVIKRVEFVRIIAKALDSLGYKKSSAHLEEESGIPLHSSAVNLFMQQILDGNWDESVATLHSIGLKDENIVKSASFLILEQKFFELLEREKIMDALKTLRTEIAHLCINSSRIRELSSCIVSPSLCASVGSSNQGNARMKSRSKLLEELQKLLPPTVIIPERRLEYLVEQALTLQRDACIFHNSSDKGMSLYTDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSRDQSVIIWEVDKNGVVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGTEEAVRRWDVSSGECLHVYEKAGLGLTSCGWFPDGKWIFSGINDKSICMWDLDGNELECWRGQRTMKISDLEITSDGKQIISMCRESAILLHDREAKIERLIEEDQIITSFSLSRDNRFLLVNLLNQEIHLWNIDGGIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELVEALPGHTGAVNCVSWNPKNPHILASASDDRTVRIWGLNGIQMKHKGAHSNGIHYCNGGT >Manes.01G131200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32423128:32437708:1 gene:Manes.01G131200.v8.1 transcript:Manes.01G131200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEEPASKRMKLACGELRGLSNGGSLTETVVGPPRDLMARPLQSEGDEDVVGSKGVIKRVEFVRIIAKALDSLGYKKSSAHLEEESGIPLHSSAVNLFMQQILDGNWDESVATLHSIGLKDENIVKSASFLILEQKFFELLEREKIMDALKTLRTEIAHLCINSSRIRELSSCIVSPSLCASVGSSNQGNARMKSRSKLLEELQKLLPPTVIIPERRLEYLVEQALTLQRDACIFHNSSDKGMSLYTDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSRDQSVIIWEVDKNGVVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGTEEAVRRWDVSSGECLHVYEKAGLGLTSCGWFPDGKWIFSGINDKSICMWDLDGNELECWRGQRTMKISDLEITSDGKQIISMCRESAILLHDREAKIERLIEEDQIITSFSLSRDNRFLLVNLLNQEIHLWNIDGGIKLVAKYKGHKRTRFVIRSCFGGLEQAFIASGSEDSQVYIWHRGSRELVEALPGHTGAVNCVSWNPKNPHILASASDDRTVRIWGLNGIQMKHKGAHSNGIHYCNGGT >Manes.05G074800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6135244:6137763:-1 gene:Manes.05G074800.v8.1 transcript:Manes.05G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQFFLCFFSFFGILSPVLAQTAILAPIHKDPDALLYTVSVYLKTPLQPTKLHLDLGASFTWVDCSKDYNSTTYQHIPCGSSLCNSFHTFSCANCYEPPGPACSNNSCSIYPENPVTRQATLATALIDSLALPTTNGSGPGQMGLIPEFVFSCSRTFLLNGLAKEVVGLAALGRSNHSLPAQISNAFSSPRCFALCLSGSISAPGVAFFGTSGPYIFSPGVDLSKSLVYTELILNPVGSTVISYNQQPSDEYYINLTSIKVNGKPIEINISQLSIDENGYGGTKLSTDTSYSTLESSIYKAFVEAFVNESAGLNLTGTSRIKPFDVCYQASDIVNTLVGPGVPTVDLVMGNEDVFWRIFGSNSMVRIERDGVDAWCLGFLDGGVNARTSIVIGGHQMEDNLLQFDLEAKKLGFSSSVLLKRTTCASFNLGSKFI >Manes.15G073200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5530188:5532534:1 gene:Manes.15G073200.v8.1 transcript:Manes.15G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPQHKYLTRSLTHVPKYHQSCLRRLVICSATTATTKSSTKPTQLVNEPRLVNPPALVSPIAPPFLNDPSLQSTWSHRLWVATGCTTVLISLAKAIVAAADSHVWLQPILAGYIGYILADLGSGVYHWGIDNYGDASTPIFGGQIEAFQGHHKWPWTITRRQFANNLHALARAVTFCVLPIDLACNDPTIHAFVGVCSGCIMFSQQFHAWAHGTKSKLPPLVVALQDAGLLVSRAQHAAHHRPPYNNNYCIVSGIWNKLLDKQKTFEALEMILYFQLGVRPRSWSEPTSDWTEEVEAPAQVTVQ >Manes.02G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7780431:7789050:1 gene:Manes.02G100000.v8.1 transcript:Manes.02G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLAILMLSAFAIFFSLQHEGDFSFREAWFHLSDEYPIKYEADRLPPPVVADLNGDGKKEVLVATHDAKIQVLEPHSRRVDEGFSEARVLAEVSLLPDKIRVASGRRAVAMASGVIDKTYKQGQPLKQVLVVVTSGWSVMCFDHNLKKLWETNLQEDFPHNAHHREIAISISNYTVKHGDTGLVIVGGRMEMQPHMYLDPFEEIGIAERNAEQHRRSASEKEASENSGSMNLRHFAFYAFAGRTGALRWSRKNENIEPQSSDASQLIPQHNYKLDVHALNSRHPGEYECREFRESILGVMPHHWDRREDTRLKLSHFRRHKRKALKKVPGKTSNYPFHKPEENHPPGKDSTKRISNIIGKAADYAGSAKSKKPLPYIPTITNYTQLWWVPNVVVAHQKEGIEAVHLPSGRTVCKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVVSGSMEVLRPCWAVATSGVPVREQLFNASICHHSPFNLFQHGEFSRNFGRTSDVSSLEVATPILIPRADGHKHRKGSHGDVVFLTNRGEVTSYSPGLHGHDAIWQWQLLTDATWSNLPSPSGMMEGGMVVPTLKAFSLRLHDNQQMVLAAGDQEAVVISPGGSIQTSIDLPAPPTHALICEDFSNDGLTDLIVVTSNGVYGFVQTRTPGALFFSTLVGCLLIVMGVIFVTQHLNSMKGKPRSSSGPR >Manes.12G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35243624:35247506:1 gene:Manes.12G145800.v8.1 transcript:Manes.12G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYQVWKGRNIFLFSGRLIFGPDAKSLVVTILLIVVPVIIFCTNVARNLINEFPAYNTGCVILAVAILFTIYVLVLLLLTSARDPGIVPRNAHPPEEDIYDTSVSVEVGGRQTPTPRLPRTKDVLVNGMHVKVKYCETCMLYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSSALLCIFVFAMSAVNIKLLMDDHGTIWKAMKESPASVILMGYCFFFLWFVGGLTCFHLYLIGRNQTTYENFRYGARNGPNVYNQGCLNNFLEVFCTKMKPSRNNFPAYVREQAMMPSMCLPREVNVDDLDGYRRTKVEDNLEIDNDLSKISERRNVEEADNI >Manes.08G051700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5506230:5516162:1 gene:Manes.08G051700.v8.1 transcript:Manes.08G051700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPKIRIGIGAVNSHRLAMSSTPLSLPPHSLIVLRNGTVPSLRRSLCLNSLPSTHSSPLRVKASSAEPPPSPAKGVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELFQEDVESVDVKHSPFTVRSGERQVKCHSVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASKAMQDRVFSNPNVTVHFNTETVDVVSNTKGQMSGILTRKVDTGEESVLEAKGLFYGIGHLPNSHLLEGQVELDNAGYVLVEEGSAKTSVEGVFAAGDLQDHEWRQAITAAGSGCVAALSVERYLVSNDLLVEFHQPPTEEVKKEITDRDTREGFDITFTKHKGQYALRKLYHESARLICVLYTAPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDQEIAEAAGIMGTPCVQFFKNKDMLRLAIFCYLHMFFLIIIHLMVEKLYHITMIPCIYVYME >Manes.08G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5506230:5516162:1 gene:Manes.08G051700.v8.1 transcript:Manes.08G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPKIRIGIGAVNSHRLAMSSTPLSLPPHSLIVLRNGTVPSLRRSLCLNSLPSTHSSPLRVKASSAEPPPSPAKGVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELFQEDVESVDVKHSPFTVRSGERQVKCHSVIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASKAMQDRVFSNPNVTVHFNTETVDVVSNTKGQMSGILTRKVDTGEESVLEAKGLFYGIGHLPNSHLLEGQVELDNAGYVLVEEGSAKTSVEGVFAAGDLQDHEWRQAITAAGSGCVAALSVERYLVSNDLLVEFHQPPTEEVKKEITDRDTREGFDITFTKHKGQYALRKLYHESARLICVLYTAPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDQEIAEAAGIMGTPCVQFFKNKDMLRTVSGVKMKKEYREFIEANK >Manes.07G133128.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29635118:29638774:1 gene:Manes.07G133128.v8.1 transcript:Manes.07G133128.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVNKTTSVYVNHAANAWLENRRKWIGDKSKKSKTLAKDPIISWSTTYDELLSTNEPFPKPIPLPEMVDFLVDIWHDEGLFD >Manes.07G133128.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29633595:29639436:1 gene:Manes.07G133128.v8.1 transcript:Manes.07G133128.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEVNKTTSVYVNHAANAWLENRRKWIGDKSKKSKTLAKDPIISWSTTYDELLSTNEPFPKPIPLPEMVDFLVDIWHDEGLFD >Manes.16G111600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31695625:31700106:1 gene:Manes.16G111600.v8.1 transcript:Manes.16G111600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLELSLGLGCGGSSAKSKGKNGCSSETRTEEGDRGNKLADDFKDFLHAGIQKQDSSAVSQISDSVKPQENLFNDLSKAKADANASINLNNRGLWDSSSKRPSEVEDDKRPEVGNKRKILFDEINNQKKHERDAYHSDVHDKKASHISMTEDGSTAENEDVAESEVEGSSSQVVSHHDDGSKRFIGAGGPQVPKEVHGFSDSNVLQGQKRPNGSSETEIKHGNLNYGLPFSVQPANIMNLTYSFPFKESNTVGVPSSSGNSLPAMMQVMPTSSSEQRTGTQTVSPGNLPVMFGYSSAQLPTLDNDNSHGLFSHLQQFQSSYAGRGPSNSDKQTDIMKIAPGMQVISRNSSEATLYDGRSLERVKGDGKQHITEEGFTPQTEDEVRGRSMNLGAKDASGLSTAEGFSIDFSAIKPGVASDIKFGGCGSYPNLPWVTTTGSGPNGRTISGVTYRYSANQIRIVCACHGSHMFPEEFVRHASEENVNPDNGSGLASFPSTNPAASAQS >Manes.16G111600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31696622:31699506:1 gene:Manes.16G111600.v8.1 transcript:Manes.16G111600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLELSLGLGCGGSSAKSKGKNGCSSETRTEEGDRGNKLADDFKDFLHAGIQKQDSSAVSQISDSVKPQENLFNDLSKAKADANASINLNNRGLWDSSSKRPSEVEDDKRPEVGNKRKILFDEINNQKKHERDAYHSDVHDKKASHISMTEDGSTAENEDVAESEVEGSSSQVVSHHDDGSKRFIGAGGPQVPKEVHGFSDSNVLQGQKRPNGSSETEIKHGNLNYGLPFSVQPANIMNLTYSFPFKESNTVGVPSSSGNSLPAMMQVMPTSSSEQRTGTQTVSPGNLPVMFGYSSAQLPTLDNDNSHGLFSHLQQFQSSYAGRGPSNSDKQTDIMKIAPGMQVISRNSSEATLYDGRSLERVKGDGKQHITEEGFTPQTEDEVRGRSMNLGAKDASGLSTAEGFSIDFSAIKPGVASDIKFGGCGSYPNLPWVTTTGSGPNGRTISGVTYRYSANQIRIVCACHGSHMFPEEFVRHASEENVNPDNGSGLASFPSTNPAASAQS >Manes.16G111600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31695862:31699506:1 gene:Manes.16G111600.v8.1 transcript:Manes.16G111600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLELSLGLGCGGSSAKSKGKNGCSSETRTEEGDRGNKLADDFKDFLHAGIQKQDSSAVSQISDSVKPQENLFNDLSKAKADANASINLNNRGLWDSSSKRPSEVEDDKRPEVGNKRKILFDEINNQKKHERDAYHSDVHDKKASHISMTEDGSTAENEDVAESEVEGSSSQVVSHHDDGSKRFIGAGGPQVPKEVHGFSDSNVLQGQKRPNGSSETEIKHGNLNYGLPFSVQPANIMNLTYSFPFKESNTVGVPSSSGNSLPAMMQVMPTSSSEQRTGTQTVSPGNLPVMFGYSSAQLPTLDNDNSHGLFSHLQQFQSSYAGRGPSNSDKQTDIMKIAPGMQVISRNSSEATLYDGRSLERVKGDGKQHITEEGFTPQTEDEVRGRSMNLGAKDASGLSTAEGFSIDFSAIKPGVASDIKFGGCGSYPNLPWVTTTGSGPNGRTISGVTYRYSANQIRIVCACHGSHMFPEEFVRHASEENVNPDNGSGLASFPSTNPAASAQS >Manes.S040316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1162951:1163763:-1 gene:Manes.S040316.v8.1 transcript:Manes.S040316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G059566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8667073:8668188:1 gene:Manes.07G059566.v8.1 transcript:Manes.07G059566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELYNFFKVWLSVLASLIYCYAIGTMVPKGSARFFCLLPILCLFLLLPLNLSSIHFRGMTAFFIAWLVNFKLLLYAFDKGPLSSSYDNNPSISFSRFLPVACFPIKIKRESHPNGDKPNPVRTKVQKSMTNYAVKGLLLAMVVRVYNYREFIHPNVILLLYFLHMYFFLELILAMVGVMARALLGLELEPQFNEPYLSTSLQDFWGRRWNLMVTSILRPTAYEPFLRISASVIGRRWAPIPAIFGTFVVSAVMHELMFYYVCLEKPTWEITWFFLLHGVCLMVEVALKKAVAGKWSLSRLISTPLTVGFLLATGLWLFFPQLLRCKVDVRAFEEYSALSAFLKNVGRASMNTIKS >Manes.13G117100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32367801:32370155:1 gene:Manes.13G117100.v8.1 transcript:Manes.13G117100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEDSILYLLFSFLILFFVVKIFRSRFYHRNLPPSPPALPVIGHLHLVKPPMHRTLQSLSLKYGPIFSLRFGRRLIVVISSSSAVEECFTKNDIILANRPLSLVGKYICYNNTTISQSSYGDHWRNLRRIAAIEIFSTHRLNMFLGIRKEEIKRLITKLSDESLQDFTKFELKSMFKELAFNVMVRMIAGKTYYGEDVSDDEEARQFREITGEIVSNAGAANRGDYFPILNWIDGGRFEKKLMRLGKRTDELLQRLIDEHRRKKEENSESMNTMIDHLLSLQESDPDYYTDEIIKGLVLNLLFAGSDTSAVTLEWAMSNLLNYPDTLKKARDEIDNQVGQECLLDELHLSSLPYLQNIIFETLRLYPAAPLLLPHVSSNNCSIGGYDLPRDVMLLVNAWAMHRDPSLWDDPLSFKPERFDEEGDSNGFKFIAFGLGRRACPGAVLAHRLLGSTLGTLIQCFEWKRVADEEIDMLEGKGLTMPKVEPLEALCKARPIMNKNLS >Manes.13G117100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32367802:32370155:1 gene:Manes.13G117100.v8.1 transcript:Manes.13G117100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEDSILYLLFSFLILFFVVKIFRSRFYHRNLPPSPPALPVIGHLHLVKPPMHRTLQSLSLKYGPIFSLRFGRRLIVVISSSSAVEECFTKNDIILANRPLSLVGKYICYNNTTISQSSYGDHWRNLRRIAAIEIFSTHRLNMFLGIRKEEIKRLITKLSDESLQDFTKFELKSMFKELAFNVMVRMIAGKTYYGEDVSDDEEARQFREITGEIVSNAGAANRGDYFPILNWIDGGRFEKKLMRLGKRTDELLQRLIDEHRRKKEENSESMNTMIDHLLSLQESDPDYYTDEIIKGLVLNLLFAGSDTSAVTLEWAMSNLLNYPDTLKKARDEIDNQVGQECLLDELHLSSLPYLQNIIFETLRLYPAAPLLLPHVSSNNCSIGGYDLPRDVMLLVNAWAMHRDPSLWDDPLSFKPERFDEEGDSNGFKFIAFGLGRRACPGAVLAHRLLGSTLGTLIQCFEWKRVADEEIDMLEGKGLTMPKVEPLEALCKARPIMNKNLS >Manes.13G117100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32367801:32370156:1 gene:Manes.13G117100.v8.1 transcript:Manes.13G117100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEDSILYLLFSFLILFFVVKIFRSRFYHRNLPPSPPALPVIGHLHLVKPPMHRTLQSLSLKYGPIFSLRFGRRLIVVISSSSAVEECFTKNDIILANRPLSLVGKYICYNNTTISQSSYGDHWRNLRRIAAIEIFSTHRLNMFLGIRKEEIKRLITKLSDESLQDFTKFELKSMFKELAFNVMVRMIAGKTYYGEDVSDDEEARQFREITGEIVSNAGAANRGDYFPILNWIDGGRFEKKLMRLGKRTDELLQRLIDEHRRKKEENSESMNTMIDHLLSLQESDPDYYTDEIIKGLVLNLLFAGSDTSAVTLEWAMSNLLNYPDTLKKARDEIDNQVGQECLLDELHLSSLPYLQNIIFETLRLYPAAPLLLPHVSSNNCSIGGYDLPRDVMLLVNAWAMHRDPSLWDDPLSFKPERFDEEGDSNGFKFIAFGLGRRACPGAVLAHRLLGSTLGTLIQCFEWKRVADEEIDMLEGKGLTMPKVEPLEALCKARPIMNKNLS >Manes.13G117100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32367801:32370155:1 gene:Manes.13G117100.v8.1 transcript:Manes.13G117100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEDSILYLLFSFLILFFVVKIFRSRFYHRNLPPSPPALPVIGHLHLVKPPMHRTLQSLSLKYGPIFSLRFGRRLIVVISSSSAVEECFTKNDIILANRPLSLVGKYICYNNTTISQSSYGDHWRNLRRIAAIEIFSTHRLNMFLGIRKEEIKRLITKLSDESLQDFTKFELKSMFKELAFNVMVRMIAGKTYYGEDVSDDEEARQFREITGEIVSNAGAANRGDYFPILNWIDGGRFEKKLMRLGKRTDELLQRLIDEHRRKKEENSESMNTMIDHLLSLQESDPDYYTDEIIKGLVLNLLFAGSDTSAVTLEWAMSNLLNYPDTLKKARDEIDNQVGQECLLDELHLSSLPYLQNIIFETLRLYPAAPLLLPHVSSNNCSIGGYDLPRDVMLLVNAWAMHRDPSLWDDPLSFKPERFDEEGDSNGFKFIAFGLGRRACPGAVLAHRLLGSTLGTLIQCFEWKRVADEEIDMLEGKGLTMPKVEPLEALCKARPIMNKNLS >Manes.13G117100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32367767:32370155:1 gene:Manes.13G117100.v8.1 transcript:Manes.13G117100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEDSILYLLFSFLILFFVVKIFRSRFYHRNLPPSPPALPVIGHLHLVKPPMHRTLQSLSLKYGPIFSLRFGRRLIVVISSSSAVEECFTKNDIILANRPLSLVGKYICYNNTTISQSSYGDHWRNLRRIAAIEIFSTHRLNMFLGIRKEEIKRLITKLSDESLQDFTKFELKSMFKELAFNVMVRMIAGKTYYGEDVSDDEEARQFREITGEIVSNAGAANRGDYFPILNWIDGGRFEKKLMRLGKRTDELLQRLIDEHRRKKEENSESMNTMIDHLLSLQESDPDYYTDEIIKGLVLNLLFAGSDTSAVTLEWAMSNLLNYPDTLKKARDEIDNQVGQECLLDELHLSSLPYLQNIIFETLRLYPAAPLLLPHVSSNNCSIGGYDLPRDVMLLVNAWAMHRDPSLWDDPLSFKPERFDEEGDSNGFKFIAFGLGRRACPGAVLAHRLLGSTLGTLIQCFEWKRVADEEIDMLEGKGLTMPKVEPLEALCKARPIMNKNLS >Manes.13G117100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32367767:32370155:1 gene:Manes.13G117100.v8.1 transcript:Manes.13G117100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEDSILYLLFSFLILFFVVKIFRSRFYHRNLPPSPPALPVIGHLHLVKPPMHRTLQSLSLKYGPIFSLRFGRRLIVVISSSSAVEECFTKNDIILANRPLSLVGKYICYNNTTISQSSYGDHWRNLRRIAAIEIFSTHRLNMFLGIRKEEIKRLITKLSDESLQDFTKFELKSMFKELAFNVMVRMIAGKTYYGEDVSDDEEARQFREITGEIVSNAGAANRGDYFPILNWIDGGRFEKKLMRLGKRTDELLQRLIDEHRRKKEENSESMNTMIDHLLSLQESDPDYYTDEIIKGLVLNLLFAGSDTSAVTLEWAMSNLLNYPDTLKKARDEIDNQVGQECLLDELHLSSLPYLQNIIFETLRLYPAAPLLLPHVSSNNCSIGGYDLPRDVMLLVNAWAMHRDPSLWDDPLSFKPERFDEEGDSNGFKFIAFGLGRRACPGAVLAHRLLGSTLGTLIQCFEWKRVADEEIDMLEGKGLTMPKVEPLEALCKARPIMNKNLS >Manes.13G117100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32367801:32370155:1 gene:Manes.13G117100.v8.1 transcript:Manes.13G117100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMEDSILYLLFSFLILFFVVKIFRSRFYHRNLPPSPPALPVIGHLHLVKPPMHRTLQSLSLKYGPIFSLRFGRRLIVVISSSSAVEECFTKNDIILANRPLSLVGKYICYNNTTISQSSYGDHWRNLRRIAAIEIFSTHRLNMFLGIRKEEIKRLITKLSDESLQDFTKFELKSMFKELAFNVMVRMIAGKTYYGEDVSDDEEARQFREITGEIVSNAGAANRGDYFPILNWIDGGRFEKKLMRLGKRTDELLQRLIDEHRRKKEENSESMNTMIDHLLSLQESDPDYYTDEIIKGLVLNLLFAGSDTSAVTLEWAMSNLLNYPDTLKKARDEIDNQVGQECLLDELHLSSLPYLQNIIFETLRLYPAAPLLLPHVSSNNCSIGGYDLPRDVMLLVNAWAMHRDPSLWDDPLSFKPERFDEEGDSNGFKFIAFGLGRRACPGAVLAHRLLGSTLGTLIQCFEWKRVADEEIDMLEGKGLTMPKVEPLEALCKARPIMNKNLS >Manes.03G083500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:20461063:20463862:1 gene:Manes.03G083500.v8.1 transcript:Manes.03G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPAAAAISMSSLDRTSRKPYVSCSLHTPSILHFPKQSTRTTAFPPSPSISTRNPQKIDSPAPPASPSVNKSLAQEQQQWNFLQRAAAMALDAVETALVSHERQHPLPKTADPRVQISGNFAPVQEQAVVHNLHVTGKIPDSIRGVYLRNGANPLHEPVAGHHFFDGDGMVHAVRFEQGSVSYACRFTETNRLVQEQELGRPVFPKAIGELHGHSGIARLLLFYARSLFGIVDPSHGTGVANAGLVYFDGHLLAMSEDDLPYHVRVLPSGDLKTVGRYNFNGQLKTTMIAHPKVDPVSGELFALSYDVVQKPYLKYFRFSPEGKKSPDVEISLDQPTMMHDFAITERFVVIPDQQVVFKLSEMIRGGSPVIYDNNKVSRFGILDKQANDASKIKWIEASDCFCFHLWNAWEEPETDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNMKTGKSTRRPIISDTEQVNLEAGMVNRNLLGRKTQFAYLALAEPWPKVSGFAKADLSTGEVHTYIYGDNKYGGEPLFLPSDPNSSSGKEDSGYILCFVHDEKQWKSELQIVNAMTLQLEATVKLPSRVPYGFHGTFVSAKDLEKQAV >Manes.08G162000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39740756:39741591:1 gene:Manes.08G162000.v8.1 transcript:Manes.08G162000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTDTATTQTPSKTQDSGEDPPLLLTSTTHKTKNEANSEEDTISKRRKICPSALEKIEEISKSNQNFSFTFDTKLSGNSTQFTPKFGSFNLEKIASTQEKESGRLPERLSD >Manes.05G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4139173:4144227:-1 gene:Manes.05G049900.v8.1 transcript:Manes.05G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPSWDLHDNPSLAKQSLRSHSNSIAPDVLMLDYEVAELTWENGQLAMHGLGPPRLLAKPMASTSPSKCTWDKPRANGTLESIVNQATRLPKRKPGLENCGCGSQELVPWFEHNPARTAASPTMTMDATVPCSNRPEDRSTHVMDSVPGSSNCVVGTSTDVGSCSGRAATQDEEATVHVKRPRVARVSVVPEWSSRQSVSGSENFGRDSQQENTSCGRPVTKTATEDENDSVYHSGPFQRDAGDEEEDAKKGNGKSSVSTKRSRAAAIHNQSERKRREKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQSQVQMMSRMPLMLPMAMQQQLQMSMLSPMNMGMGVMDMNSIACLNLAGISPVLHPSAFMPMASWDASADRLQSACTTAMPDALSAFLACQSQPMTMDAYSRMAAIYQQLQQQPPASSSKS >Manes.05G049900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4139168:4144227:-1 gene:Manes.05G049900.v8.1 transcript:Manes.05G049900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPSWDLHDNPSLAKQSLRSHSNSIAPDVLMLDYEVAELTWENGQLAMHGLGPPRLLAKPMASTSPSKCTWDKPRANGTLESIVNQATRLPKRKPGLENCGCGSQELVPWFEHNPARTAASPTMTMDATVPCSNRPEDRSTHVMDSVPGSSNCVVGTSTDVGSCSGRAATQDEEATVHVKRPRVARVSVVPEWSSRQSVSGSENFGRDSQQENTSCGRPVTKTATEDENDSVYHSGPFQRDAGDEEEDAKKGNGKSSVSTKRSRAAAIHNQSERKRREKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQSQVQMMSRMPLMLPMAMQQQLQMSMLSPMNMGMGVMDMNSIACLNLAGISPVLHPSAFMPMASWDASADRLQSACTTAMPDALSAFLACQSQVNM >Manes.12G039700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3471774:3487935:1 gene:Manes.12G039700.v8.1 transcript:Manes.12G039700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGLVVGSHVWLEDPVEAWVDGEVVEIKGGDIKVLCTSGKTVVVKASNVYAKDTEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRKLPHLYDSHMMAQYKGAAFGELSPHPFAVADAAYRLMINDGVSQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPSEDLQRYKLGNPRSFHYLNQSNCYELDGVDDSKEYLETRRAMEIVGISSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSMPKDEKSRFHLKTAAELLMCDVRALEDSLCKRVIVTRDETITKCLDPASAAVSRDALAKIVYSRLFDWLVDNINSSIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIDFVDNKDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLNASKCSFVSGLFPPLAEESSKQSKFSSIGSRFKQQLQALLETLNATEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRRSFVEFVDRFSILAPDVLDESSNEVTACKSLLEKVGLKGYQIGKTKVFLRAGQMAELDARRSEVLGRSASIIQRKVRSYLSRRSFIMARRSAIQIQAACRGQLARQVYENMRREAASLRIQRCLRMYFARKVYTELRCSAISIQTGMRGMAARNDLRFRRQTKAAIVIQSQCRKYLARLHYMELKKAAIVTQCAWRGRVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADMEEAKTQENAKLQSALQEMQIQFKETKEILIKEREAAKKAKEIVPVIQEVPVVDHEMLDKLKTENEKLKALVGSLEQKIDETEKKFEETTKISEERLKQALEAESRIVELKTAMYRLEEKFSDMENENQILRQKSLLQTPVKKTSERPPIPATQSAENGHHVNEEHKAKEPQSATPVKVFGSDSDSKLRRSHIERQHENIDALINCVTNNIGFSHGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENEDNNDHMAYWLSNTSTLLFLLQRSLKAANASGTTPNRKPSSATSLFGRMTMGFRSSSSSSNLAASLSVVRQVEAKYPALLFKQQLAAFVEKIYGIIRDNLKKELSSLLSLCIQAPRTSKGGVLRSGRSFGKDSPASHWQNIIDSLNILLSTLKQNFVPPVLIQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGASWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPGVISSMRVLMIEDSNDAASSSFLLDDNSGIPFSIEDLSNSLQEKDFMDVEPAEELIGNPAFQFLQE >Manes.07G067000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17726012:17727256:-1 gene:Manes.07G067000.v8.1 transcript:Manes.07G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYLCTRTIIHTSLFFVLCFLGVIGQAPQSPSPLASPPPLETQALTFLDQRLATVYPIIQNFKSIVTSDPLNVTQSWVGPDICNYTGFYCDHPPDNDSATALAAIDFNGFHLSASTLDGFIDQLPDLAFFHANSNNFTGTISPKIANLPYFYELDLSNNNFFGNFPMAILGIRDLYFLDIRFNSFTGSVPPQIFTQRLDVLFLNNNNFMQKLPDALGSTPVLYLTLANNKFTGPIPRSIFNVSSTLTEILLLNNQLTGCIPYELGLLRQLVLFDASNNLLTGPLPCSLGCLTKIELLNLAGNLLYGQVPEVLCALENLSNLSLSGNYFSKLGPLCRKLVKSGVLDIRKNCIHDLPEQRSFHECFAFFMHPRYCPYWPSFFNFNPCKVHPPIHPPMGPKRNLISYKSLSRHRM >Manes.12G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35256393:35258405:-1 gene:Manes.12G146000.v8.1 transcript:Manes.12G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVKVANSGEKDRENSEEEWVFHRNPLLMRPENQLQTVPAIPMLSGLCREREMSAIVSALTHVVTGEVPDSADYFLTLSNESNSSSSSSAGAAGAAKRAREEEGCQDFARLCRPFGDEFSYGARLCRPVGDEFSYGESSKIATTTAIFPPASATFTTVYEHNEIFREEPRRKYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDTAEAAARAYDEAALRFRGSKAKLNFPENVKLQPSTSNPMTTQLIISDSPNTLLSVPTSTQPVVHSQAVSGDIGCYQRQAMSLHDQMVLSSSSTASHHFHSSSPQSSFPLPFPAPPAGELTDPTSQGGGAHISIPAWSDYTPSSSS >Manes.12G146000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35254173:35258405:-1 gene:Manes.12G146000.v8.1 transcript:Manes.12G146000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVKVANSGEKDRENSEEEWVFHRNPLLMRPENQLQTVPAIPMLSGLCREREMSAIVSALTHVVTGEVPDSADYFLTLSNESNSSSSSSAGAAGAAKRAREEEGCQDFARLCRPFGDEFSYGARLCRPVGDEFSYGESSKIATTTAIFPPASATFTTVYEHNEIFREEPRRKYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDTAEAAARAYDEAALRFRGSKAKLNFPENVKLQPSTSNPMTTQLIISDSPNTLLSVPTSTQPVVHSQAVSGDIGCYQRQAMSLHDQMVLSSSSTASHHFHSSSPQSSFPLPFPAPPAGELTDPTSQGGGAHISIPITK >Manes.15G129687.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10383012:10385017:1 gene:Manes.15G129687.v8.1 transcript:Manes.15G129687.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLWKIWKNRNMKVLALETIQEGDKLDFHQPSLPFLEVLLSAKHHLHDFLKTQEDNFYLNSEFVPSDSSAWCPPKRDFVKINFDAAINNKREYGTIATLDKNYDCIPLGWQSKHIPSILDPLILEILASKEVIFLAKTKQFSHIIVEGDSKSLMNTLLGRVNQQDIAGIFQFIKRNCNIRAHRLGSKVNWDDNFLCNIMIQVMYVSSLLLGL >Manes.S021256.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:209140:211790:-1 gene:Manes.S021256.v8.1 transcript:Manes.S021256.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.14G141800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12478882:12483534:-1 gene:Manes.14G141800.v8.1 transcript:Manes.14G141800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDKRSGSVNEDKFPVGMRILAVDDDPICLKVLENLLRKCQYQVTTTNQAITALKMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDKELVYKGVTHGAVDYLLKPVRIEELKNIWQHVIRRKKLQPKDQNRSPNQADKSLDGAGEGGQGVSSSSSADQNGKVNRKRKDQDEEDEEEGEENLHDNEEPGTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISSVASQQANMVAAFGGKDSSYLRMGSLDGFGDFRTLSGPGRLSSTSISSYPAGGMLGRLNSPAGLTLRGIASSGLLQSGHSQTLNTSANTLGKLHQPALLPASQGTNLFQGVPLSVEPNQLQGKSSTLIGDFNRSDDAAGFTLATSFSDARTTVGSLNNTVSTPTSNPLMLQVNPQQSQNRGAFATQSSLSVPPLYQESFDVGVHGTSNFLDHSRCTENWQGAVQLSKFQTNSLPLSEPFSHDPLSNSNLRDNISSTSSQIGNSPNDFSSSNMLATPLDSRVDMQGQTDLIGNVVQNLNFNSRQRWEDHSQDYNPNLINSFGNANSMVSSNGVVVSWNQGVEQRKKFDMPLAGQLNNVTPSIFQHAEVEKSALDPKMRPNENYLLEQTKSQNGFAQNNYDSLDDIMNAMIKRSL >Manes.14G141800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12478882:12483534:-1 gene:Manes.14G141800.v8.1 transcript:Manes.14G141800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDKRSGSVNEDKFPVGMRILAVDDDPICLKVLENLLRKCQYQVTTTNQAITALKMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDKELVYKGVTHGAVDYLLKPVRIEELKNIWQHVIRRKKLQPKDQNRSPNQADKSLDGAGEGGQGVSSSSSADQNGKVNRKRKDQDEEDEEEGEENLHDNEEPGTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISSVASQQANMVAAFGGKDSSYLRMGSLDGFGDFRTLSGPGRLSSTSISSYPAGGMLGRLNSPAGLTLRGIASSGLLQSGHSQTLNTSANTLGKLHQPALLPASQGTNLFQGVPLSVEPNQLQGKSSTLIGDFNRSDDAAGFTLATSFSDARTTVGSLNNTVSTPTSNPLMLQVNPQQSQNRGAFATQSSLSVPPLYQESFDVGVHGTSNFLDHSRCTENWQGAVQLSKFQTNSLPLSEPFSHDPLSNSNLRDNISSTSSQIGNSPNDFSSSNMLATPLDSRVDMQGQTDLIGNVVQNLNFNSRQRWEDHSQDYNPNLINSFGNANSMVSSNGVVVSWNQGVEQRKKFDMPLAGQLNNVTPSIFQHAEVEKSALDPKMRPNENYLLEQTKSQNGFAQNNYDSLDDIMNAMIKRSL >Manes.14G141800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12478882:12483533:-1 gene:Manes.14G141800.v8.1 transcript:Manes.14G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDKRSGSVNEDKFPVGMRILAVDDDPICLKVLENLLRKCQYQVTTTNQAITALKMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDKELVYKGVTHGAVDYLLKPVRIEELKNIWQHVIRRKKLQPKDQNRSPNQADKSLDGAGEGGQGVSSSSSADQNGKVNRKRKDQDEEDEEEGEENLHDNEEPGTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISSVASQQANMVAAFGGKDSSYLRMGSLDGFGDFRTLSGPGRLSSTSISSYPAGGMLGRLNSPAGLTLRGIASSGLLQSGHSQTLNTSANTLGKLHQPALLPASQGTNLFQGVPLSVEPNQLQGKSSTLIGDFNRSDDAAGFTLATSFSDARTTVGSLNNTVSTPTSNPLMLQVNPQQSQNRGAFATQSSLSVPPLYQESFDVGVHGTSNFLDHSRCTENWQGAVQLSKFQTNSLPLSEPFSHDPLSNSNLRDNISSTSSQIGNSPNDFSSSNMLATPLDSRVDMQGQTDLIGNVVQNLNFNSRQRWEDHSQDYNPNLINSFGNANSMVSSNGVVVSWNQGVEQRKKFDMPLAGQLNNVTPSIFQHAEVEKSALDPKMRPNENYLLEQTKSQNGFAQNNYDSLDDIMNAMIKRQEQNESMLMDGEFGFDAYYS >Manes.14G141800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12478855:12483659:-1 gene:Manes.14G141800.v8.1 transcript:Manes.14G141800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDKRSGSVNEDKFPVGMRILAVDDDPICLKVLENLLRKCQYQVTTTNQAITALKMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDKELVYKGVTHGAVDYLLKPVRIEELKNIWQHVIRRKKLQPKDQNRSPNQADKSLDGAGEGGQGVSSSSSADQNGKVNRKRKDQDEEDEEEGEENLHDNEEPGTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISSVASQQANMVAAFGGKDSSYLRMGSLDGFGDFRTLSGPGRLSSTSISSYPAGGMLGRLNSPAGLTLRGIASSGLLQSGHSQTLNTSANTLGKLHQPALLPASQGTNLFQGVPLSVEPNQLQGKSSTLIGDFNRSDDAAGFTLATSFSDARTTVGSLNNTVSTPTSNPLMLQVNPQQSQNRGAFATQSSLSVPPLYQESFDVGVHGTSNFLDHSRCTENWQGAVQLSKFQTNSLPLSEPFSHDPLSNSNLRDNISSTSSQIGNSPNDFSSSNMLATPLDSRVDMQGQTDLIGNVVQNLNFNSRQRWEDHSQDYNPNLINSFGNANSMVSSNGVVVSWNQGVEQRKKFDMPLAGQLNNVTPSIFQHAEVEKSALDPKMRPNENYLLEQTKSQNGFAQNNYDSLDDIMNAMIKRSL >Manes.14G141800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12478864:12483658:-1 gene:Manes.14G141800.v8.1 transcript:Manes.14G141800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDKRSGSVNEDKFPVGMRILAVDDDPICLKVLENLLRKCQYQVTTTNQAITALKMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDKELVYKGVTHGAVDYLLKPVRIEELKNIWQHVIRRKKLQPKDQNRSPNQADKSLDGAGEGGQGVSSSSSADQNGKVNRKRKDQDEEDEEEGEENLHDNEEPGTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISSVASQQANMVAAFGGKDSSYLRMGSLDGFGDFRTLSGPGRLSSTSISSYPAGGMLGRLNSPAGLTLRGIASSGLLQSGHSQTLNTSANTLGKLHQPALLPASQGTNLFQGVPLSVEPNQLQGKSSTLIGDFNRSDDAAGFTLATSFSDARTTVGSLNNTVSTPTSNPLMLQVNPQQSQNRGAFATQSSLSVPPLYQESFDVGVHGTSNFLDHSRCTENWQGAVQLSKFQTNSLPLSEPFSHDPLSNSNLRDNISSTSSQIGNSPNDFSSSNMLATPLDSRVDMQGQTDLIGNVVQNLNFNSRQRWEDHSQDYNPNLINSFGNANSMVSSNGVVVSWNQGVEQRKKFDMPLAGQLNNVTPSIFQHAEVEKSALDPKMRPNENYLLEQTKSQNGFAQNNYDSLDDIMNAMIKREQNESMLMDGEFGFDAYYS >Manes.05G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23356696:23359795:-1 gene:Manes.05G130500.v8.1 transcript:Manes.05G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKFIPSSANNTPFWTTDAGAPVWNNNSSMTVGTRGPILLEDYHMIEKLANFTRERIPERVVHARGMSAKGFFEVTNDFTHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFATKFYTREGNFDIVGNNFPVFFIRDGIKFPDVIHAFKPNPKSHIQEYWRIFDFLSHHPESLSTFAWFFDDVGIPQDYRHMEGFGVHTFTFINKAGKVTYVKFHWKPTLGVKCLMDDEAQKIGGVNHSHATQDLYDSIAAGNYPEWKLFIQTMDPADEDKFDFDPLDMTKIWPEDMFPLQQLGRLVLNRNIDNWFAENEMLAFDPGHVVPGIHYSNDKLFQLRTFAYADTQRHRLGPNYKMLPVNAPKCNYHNNHYDGFMNFMHRDEEVDYFPSRYDPVRHAERTPLPHAIISGRREKCVIEKENNFKQPGDRYRSWTPDRQERFLCRLVNALSDPRITHEIRSIWVSYWSQCDKSLGQKLASRLNVRPNF >Manes.14G124800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9880667:9883814:1 gene:Manes.14G124800.v8.1 transcript:Manes.14G124800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGEAYEEWVHQPIVSKEGPRFFESDFWEFLTRTVWWAIPVIWLPVICWCISMSVRMGHTPSEIALMVGFGIFIWTLLEYSLHRFVFHIKTKSYWWNTIHYLIHGCHHKHPMDGLRLVFPPAATAVLCLPFWNLVQLVATPSTAPALFGGGLLGYVMYDCTHYYLHHGQPSSGVPKYLKKYHLNHHFRIQNLGFGITSSLWDKLFGTYPAGKVVEKSR >Manes.14G124800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9879625:9883825:1 gene:Manes.14G124800.v8.1 transcript:Manes.14G124800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGEAYEEWVHQPIVSKEGPRFFESDFWEFLTRTVWWAIPVIWLPVICWCISMSVRMGHTPSEIALMVGFGIFIWTLLEYSLHRFVFHIKTKSYWWNTIHYLIHGCHHKHPMDGLRLVFPPAATAVLCLPFWNLVQLVATPSTAPALFGGGLLGYVMYDCTHYYLHHGQPSSGVPKYLKKYHLNHHFRIQNLGFGITSSLWDKLFGTYPAGKVVEKSR >Manes.14G124800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9880667:9883814:1 gene:Manes.14G124800.v8.1 transcript:Manes.14G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGEAYEEWVHQPIVSKEGPRFFESDFWEFLTRTVWWAIPVIWLPVICWCISMSVRMGHTPSEIALMVGFGIFIWTLLEYSLHRFVFHIKTKSYWWNTIHYLIHGCHHKHPMDGLRLVFPPAATAVLCLPFWNLVQLVATPSTAPALFGGGLLGYVMYDCTHYYLHHGQPSSGVPKYLKKYHLNHHFRIQNLGFGITSSLWDKLFGTYPAGKVVEKSR >Manes.14G124800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9879623:9884155:1 gene:Manes.14G124800.v8.1 transcript:Manes.14G124800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGEAYEEWVHQPIVSKEGPRFFESDFWEFLTRTVWWAIPVIWLPVICWCISMSVRMGHTPSEIALMVGFGIFIWTLLEYSLHRFVFHIKTKSYWWNTIHYLIHGCHHKHPMDGLRLVFPPAATAVLCLPFWNLVQLVATPSTAPALFGGGLLGYVMYDCTHYYLHHGQPSSGVPKYLKKYHLNHHFRIQNLGFGITSSLWDKLFGTYPAGKVVEKSR >Manes.15G056900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4390563:4393211:-1 gene:Manes.15G056900.v8.1 transcript:Manes.15G056900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNAWGNSPLHTVDPEIHDFIEKEKLRLSTGIELVASDNFTSFAVIEALGSPLTCVGGLFEDIHNLCRSRALQAFRLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLTSGGHLTHGYYTPDGKKISATSIYFESLPYMVNSQTGYIDYDKLEEKALDFRPKLILCGGCAYPREWDYARFRSVADKCGALLLCDMAHDSGLIAAEEAGNPFEYCDIVTTNTHKTLRGPRAGMIFYRKGPKPGKKGQPEDAVYDLEDKINFTVCPTLQGAPHNNNIGALAVALKQVMSPGFKAYAKQVKANAVALGNYLMSKGYKLATGGTDNHLVLWDLRPLGLTGNEVEELFYLCNIIVNKHPVFGDSNPLAPGGVRIGTPAMTSRGLVEADFEQIGEFLHRALTIILSSDKQHGELSKDFNILSIEKEHDEVLKDLNKEIEPLKADVEKFASSFDMPGFLMSEMKYKN >Manes.15G056900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4390741:4411230:-1 gene:Manes.15G056900.v8.1 transcript:Manes.15G056900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNAWGNSPLHTVDPEIHDFIEKEKLRLSTGIELVASDNFTSFAVIEALGSPLTCVGGLFEDIHNLCRSRALQAFRLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLTSGGHLTHGYYTPDGKKISATSIYFESLPYMVNSQTGYIDYDKLEEKALDFRPKLILCGGCAYPREWDYARFRSVADKCGALLLCDMAHDSGLIAAEEAGNPFEYCDIVTTNTHKTLRGPRAGMIFYRKGPKPGKKGQPEDAVYDLEDKINFTVCPTLQGAPHNNNIGALAVALKQVMSPGFKAYAKQVKANAVALGNYLMSKGYKLATGGTDNHLVLWDLRPLGLTGNEVEELFYLCNIIVNKHPVFGDSNPLAPGGVRIGTPAMTSRGLVEADFEQIGEFLHRALTIILSSDKQHGELSKDFNILSIEKEHDEVLKDLNKEIEPLKADVEKFASSFDMPGFLMSEMKYKN >Manes.12G128400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33553472:33558038:-1 gene:Manes.12G128400.v8.1 transcript:Manes.12G128400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPTEHTDSTSQDSKPKSEPDPNTGDNHLHDANGDENKGSVATESVAETEAPGDADQLQAPSCTSPRKKTVRWRSALVTEPRFLPDSNGSDKDLFDGSNPYVSSSPAHPSLSFSLKDTMGSVRDTLGVLGKKMGEATKMVEDLAENTWQHLKTAPSVADAALGRIAQGTKILAEGGYEKVFRQTFETVPEEQLQNSYACYLSTSAGPVMGILYVSTAKLAFCSDNPLQHQFDGQTEWSYCKVAIPLHQLKSVNPSSSKTNTAEKYIEIISVDTHEFWFMGFLNYDGAVKFLQDALQSHNLQSA >Manes.01G049815.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17731098:17731947:-1 gene:Manes.01G049815.v8.1 transcript:Manes.01G049815.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFIDNRDIIRPDITRFATNFIALESIVRYRVGLRNIFESEQWMTSKYGQATSGPAHEAKKIVLGLDGDDKPTMGFIYEAIKRAKSAIQKNSKSYLKYWRIIDHRWNFQLHHDLHAVGYFLNPQYQYGSHDVGNNNEIMLSFKNVIQRLKEDLVNQGKALNQGLLFRDKIESFGTVLAQKAIKFTNP >Manes.15G163600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13649927:13653510:1 gene:Manes.15G163600.v8.1 transcript:Manes.15G163600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGTVDSSYNDTDNSLPLKFASKTSFLNLNLYILIAIISTCALLVVLLVFLCIRLKRASRKRNKVLANQSSGLIPLVSKEIVEIKALDRKGNDLKEEVKMGNVNLTKEEEIEAVVDLEIGEGKQKQKKSGESDDASCSASSDASSAEAQQNIGWGRWYSLKELEVATRGFVEENVIGEGGYGVVYRGVLEDGSVVAVKNLLNNKGQAEKEFRVEVEAIGKVRHKNLVGLVGYCAEGARRMLVYEYVDNGNLEQWLHGDEGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSESTYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGVLLMEIITGRSPIDYSRPAGEMNLVDWFKGMVASRRVEEVLDPLIEVQPSPRVLKRTLLVCLRCIDLDANKRPKMGQVVHMLEADDFPFRSVSSPIPLTPSIILNNNV >Manes.15G163600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13649927:13654652:1 gene:Manes.15G163600.v8.1 transcript:Manes.15G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGTVDSSYNDTDNSLPLKFASKTSFLNLNLYILIAIISTCALLVVLLVFLCIRLKRASRKRNKVLANQSSGLIPLVSKEIVEIKALDRKGNDLKEEVKMGNVNLTKEEEIEAVVDLEIGEGKQKQKKSGESDDASCSASSDASSAEAQQNIGWGRWYSLKELEVATRGFVEENVIGEGGYGVVYRGVLEDGSVVAVKNLLNNKGQAEKEFRVEVEAIGKVRHKNLVGLVGYCAEGARRMLVYEYVDNGNLEQWLHGDEGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSESTYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGVLLMEIITGRSPIDYSRPAGEMNLVDWFKGMVASRRVEEVLDPLIEVQPSPRVLKRTLLVCLRCIDLDANKRPKMGQVVHMLEADDFPFRSELRSIREKDPPPCHAAVSNKVPHPTKYAWGNDAERPTRR >Manes.10G075466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14066035:14069057:1 gene:Manes.10G075466.v8.1 transcript:Manes.10G075466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMQKKAGQDTFGGRFGGRMSSPETKLKHLRRPNPPKQSRTCKTRGGKLWQPKPPCKRFGGRMNLRLSNLSSSRTQLQQQTISSFPSISPNMPTSSTQFTYTQVYVHRGPKLSNNPKQQNNHNTETFHWTTEIPVPESSRVLQLLNIVKGPKNFKEIRKINGVIYQMYQAICFAKVPMNHEHYFEVIDKIFRDILSDDLCLDNKPFGGKTLLLGGDFRQILPVVVSSRDGITKTFKLLDVDKENNWIEVPNELIVSNDVINFTNIICTVYKNFCKKYDDSLYLKERMIVNPTNEILDEINKKCCICFYFICKNSFNFDELEILYPFEFLNTLEFNCFPQYELNLKLYVALSRVTSKKGIKIALPSNLKIIKSKRIMCIKNVVYLEIFNEMFQCFHP >Manes.01G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27498543:27500905:-1 gene:Manes.01G073000.v8.1 transcript:Manes.01G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSPSSSMSPISSPAPLPPHLSPRFTAIQECEIEEYEDACSEERSRGKATSVTTATDCVDKRETPKHLPTPLHDKNGKPNSKKRQESSESCGEDGSVSCNNCRPHSREKISVVSLDNNGSNKHSSFTASPNGLFKSIFSSFTRRSPKSIDSSPAREEQWRIAVAELSHKLIQARKRRDEALLEASRLKHSMSELEKKLNKLEVYCHNLKTGLDECAINSPYRAGKGFRIHHHHQNSVVEQFLVSVSEARSSVRLLSRSLTKQLRHMGLGARVYERISVLFQPYDIKISFSNNSKSVLFYLEALLNKAFFEDFESVGFQKSSTNQILNPIDRCEANYASFNVLNELTWEEVLNKGTRHFSEEFSKFCDRKMNEIVAMLGWNRAWPEPLLQAFFGASKSVWLVHLLANSVHPGLQIFRVDKWVRFDSVYMEDMGGDRAEKLVPTMVRIMVAPGFYVYGNMVKCKVLCRYNNNNSNNITP >Manes.02G022800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2016184:2020457:-1 gene:Manes.02G022800.v8.1 transcript:Manes.02G022800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGIDVVSAHNLLAKDGQGSSSAFVELYFDGQRFRTTIKEKDLNPVWNESFYFNISDPTNLHYLTLDVYVYNHIRATNSRSFLGKVCLNGNSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDPSIKSSTPLPAVESLPPKDPGLTHRQAYTVHPVSNSVPPNRVERHTFHHLPNPNHQQQQQPSSAPPVNHHVPKYVADEMKAEAQPPKLVRMHSASSSQPVDYALKETSPLLGGGRVVGGRVIHGDKTASTYDLVERMFFLYVRVVKARDLPAMDVTGSIDPFVEVKIGNYKGITKHFEKKQNPEWNQVFAFSRERMQASVLEVVIKDKDLVKDDFVGIVRFDINEIPLRVPPDSPLAPEWYRLGDKKGEKIKGELMLAVWIGTQADEAFSEAWHSDAATPVDSIPAASTVIRSKVYHAPRLWYVRVNVVEAQDLVPSEKNRFPDVYVKVQIGNQVFKTKTCQARTFSAFWNEDLLFVAAEPFEDHLVLSVEDRVGPGKDEIIGRVIIPLSSVEKRADDRMIHSRWFNLEKPVAVDVDQLKKEKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGLLELGILNAVGLHPMKTRDGRGTSDTYSVAKYGHKWVRTRTIIDNLHPKYNEQYTWEVFDPATVLTVGVFDNSQLGEKGGKDLKIGKVRIRISTLETGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCTSFVNMLYQYSRPLLPKMHYVRPFTVMQLDMLRHQAVNIVALRLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTVFSGLFSAGKWFGEICMWKNPITTVLVHVLYLMLACFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISQAETVHPDELDEEFDTFPTSRSPELVRMRYDRLRSVAGRIQTVVGDIATQGERFQSLLSWRDPRATAIFILFCLVAALVLFVTPFQVIAALAGFYVMRHPRFRYRTPSVPINFFRRLPARTDSML >Manes.02G022800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2016184:2021676:-1 gene:Manes.02G022800.v8.1 transcript:Manes.02G022800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGIDVVSAHNLLAKDGQGSSSAFVELYFDGQRFRTTIKEKDLNPVWNESFYFNISDPTNLHYLTLDVYVYNHIRATNSRSFLGKVCLNGNSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDPSIKSSTPLPAVESLPPKDPGLTHRQAYTVHPVSNSVPPNRVERHTFHHLPNPNHQQQQQPSSAPPVNHHVPKYVADEMKAEAQPPKLVRMHSASSSQPVDYALKETSPLLGGGRVVGGRVIHGDKTASTYDLVERMFFLYVRVVKARDLPAMDVTGSIDPFVEVKIGNYKGITKHFEKKQNPEWNQVFAFSRERMQASVLEVVIKDKDLVKDDFVGIVRFDINEIPLRVPPDSPLAPEWYRLGDKKGEKIKGELMLAVWIGTQADEAFSEAWHSDAATPVDSIPAASTVIRSKVYHAPRLWYVRVNVVEAQDLVPSEKNRFPDVYVKVQIGNQVFKTKTCQARTFSAFWNEDLLFVAAEPFEDHLVLSVEDRVGPGKDEIIGRVIIPLSSVEKRADDRMIHSRWFNLEKPVAVDVDQLKKEKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGLLELGILNAVGLHPMKTRDGRGTSDTYSVAKYGHKWVRTRTIIDNLHPKYNEQYTWEVFDPATVLTVGVFDNSQLGEKGGKDLKIGKVRIRISTLETGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCTSFVNMLYQYSRPLLPKMHYVRPFTVMQLDMLRHQAVNIVALRLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMTVFSGLFSAGKWFGEICMWKNPITTVLVHVLYLMLACFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISQAETVHPDELDEEFDTFPTSRSPELVRMRYDRLRSVAGRIQTVVGDIATQGERFQSLLSWRDPRATAIFILFCLVAALVLFVTPFQVIAALAGFYVMRHPRFRYRTPSVPINFFRRLPARTDSML >Manes.14G018401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1898880:1899509:1 gene:Manes.14G018401.v8.1 transcript:Manes.14G018401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCHREQAQSKQEVDLIYRTHRLVGERQLDLIAARIPVRKAEEIERFWIMRHHEAFADKSKTASN >Manes.16G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23773400:23780788:1 gene:Manes.16G060700.v8.1 transcript:Manes.16G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKDPFEAAYEEQEETPPDSPVGPDELDTQGPVASQDPAVVPEDDDVGSSGVHPADPSTSAPMLAAARSKPKNKEDDEDEEEDNMEVELSKFPSSADPDKMAKMQSILSQFTEDQMSRYESFRRSALQKANMRRLLVSITGSQKISLPMTIVVCGIAKMFVGELIETARMVMTERKESGPIRPCHIREAYRRLKLEGKVPRRSVPRLFR >Manes.01G114800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31211136:31212774:-1 gene:Manes.01G114800.v8.1 transcript:Manes.01G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTISDCGLSRLSIFNRYESFSPQFLHRRPTLIPSTQSPRLPVIQCSLTNSTGGKTLKTCKNCKTQFDPSLNHPSACRFHTAHFGGETKRKFESVYSGGTMDTPDSGRVFQYWHCCGSEDPFDPGCTAAPHSSYDE >Manes.08G014107.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1589214:1591203:1 gene:Manes.08G014107.v8.1 transcript:Manes.08G014107.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSHQLHFILFPYMAQGHMIPMVDIARLLPQHGVIITIITSPLNSKRLASTLARTVESGLQIHHVKIPFPSEEAGLPKGLLEEIQPRPNCIISDMCLPYTSRVASKFQIPIIVFNGVCCFTVPGLPDHIEVTKEQLPEAMHQNLQIMNEQLMAAESVTYGIIYNSFEELEPAYVQEFKKARGDKLQRGDKSSVDGHKCFKWLNSQKPDSVLYVCFEKWIEEDGFEERIKERGFLIRGWAPQVAILSHAAVGGFLTHYQFLNERLVVDVLKIGVRAGTEVPIGVLVKRKDVKRAIERLMDGGEEGDEMRERAIELSRLANGAMEPEGSSYVNMEMLIQDIMQQTFREQVKKAIEIVMDKEKEGEERRKRARELGEMAKRTIDEGGSSYLDMEMMIHYVPGKGPSRA >Manes.01G055708.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23881986:23886112:1 gene:Manes.01G055708.v8.1 transcript:Manes.01G055708.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISETNLPAELYPQYTTVQYTLSNPNVNPNILGNAAPAPSGSPVFLFVLDTCMIEEEFGFVKSALKRVIGLLPDNALVGFVSFGMQAQVHELGFSDMSKVYVFRGNKEISKDQIMEQLGLGVSGRRAPVGYRQKGMQNGFPILGVTRFLLPASEGEYTLNFLLDELQTDQWPVAPGNRASRCTGVALSVASGLLGACLPGTSARIIALVGGPCTEGPGTCFRRHPILISFESMNSVVNEFRGS >Manes.09G180800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36968619:36970832:1 gene:Manes.09G180800.v8.1 transcript:Manes.09G180800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLTLSKRIPRWVILKVYLSSVSCTNSIQINPEIDQNLPNPMDFESKIQFLRNKLFPDGLIKVLQSTSDINSSVNVFKWASLQKRFHHTSDTYYWIILKLGMAGYVEEMNGFCQNLVKDAFPGVERTLVSLIESFVRHCRLSEAICVLVNMSLGGYKPSINVYNIVFGALVEERRGLQDVVFVYKEMVKTGVVPNVDTLNYLLEVLFECKRVDSAFDQYRRMDKKRCNPNSRTFEIVMKGLIVNNQVDDSIIILDEMLEHRCSPELSFYTCIIPLFCKEHKLEEAIRLFRMMRTANLVPSSFIYEALIHCFCKNLQLDDAINLIEEMMESCLTPGNDVLVDVVNVFCKLGKIAEAVKFLEDKHVLETSPYNALLGGCCDACKFSVAKDLLETMHKKSIANSDSWNILIRWLCEKAEIRKSHELIGRMIISSLVPNFATYSALILGYCRLSKIEDALELFLQFCAKCWVLDSIAYSELIESLCRAEKLLEATEVFHYMFASGCSLQPFSLNMLIKGLCDKGMVNEAVRLQQMAYDSSTSCANAPYSYILLGLSKSDNGRNILAFLSQMLVKGCNIDAGAYSILIQSMIAQNRIKDSALFFNIMVKEGLIPDSDILHDLFSCLANHSQLHLILTTIDNLVPDSQVLDSAMYNILVNGLWKEGHKDEACRLLDLMLEKGWVPDSCTHRLLIGSVNGVENEQKMLASDNFTIEDNVLDILAEGLGENMNVSTPKMHFDQ >Manes.08G117900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35733779:35736622:-1 gene:Manes.08G117900.v8.1 transcript:Manes.08G117900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFPSLAFVHAFMILLLLPSSYCFPSIRAENRSATLPDSDTDLLEFSLNWKFLEAEFFLHGALGHGLDMFAPNLTFGGPQPIGARMANLDPFSRDIILQFAWHHVGHLRAINRIVKGFPRPLLDLSAESFAEVIDLAFERPLSPPFDPCASGLNFLIASYLIRYVGLTGFVGINPKLQASHSKKIVAGILAVEAGQDAVI >Manes.07G086801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27740116:27741013:1 gene:Manes.07G086801.v8.1 transcript:Manes.07G086801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKSKKLACVAGTTYIPVNVACGKGHRDVTCFLYSVTPLDFLLPEAGAFGSLLLHAAICNHFFDIALDLVQHCPLLAITRNHLGTTPLIEFSCLTRLFPESCRLSFWQRWIYSCINVHQLASSRDVRIYIPQNGRKEDGNFLKRGMLHSFSMFLSSVTATHSLQQWHGYT >Manes.06G157200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28322867:28325839:1 gene:Manes.06G157200.v8.1 transcript:Manes.06G157200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTLSDFLEDPEFGDTTLDGDDLFAIFESLDRATEFSPFTPLDEVAVSSKESEEATRLVSQKSTSSSAPLESETELETSPKNKRQKTIVSSEEMVNPDGQQRMSHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRLVSSPRPSPLSPRKPPVRSPRLNLPISPRTPQPGSPYRPRLQQGYLSPTIASPLEPSPTSSSSSINDNINELVANSKSAIADVEVKFSGPNLLLKTVSPRIPGQAVKIISALEDLSLEILHVSINSLDETMLNSFTIKIGIECQLSAEELAQQVQQTFCQ >Manes.12G117100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31843852:31844190:-1 gene:Manes.12G117100.v8.1 transcript:Manes.12G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLNVATLFILFTLLLFSTALTYAARRDPPFTSESLAKDQQQDVDEAEVVEESCKGAGEEECLMRRTLAAHIDYIYTQKHNP >Manes.18G139250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:16370263:16370403:1 gene:Manes.18G139250.v8.1 transcript:Manes.18G139250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERYHFSRAVVEMAWIMLRIDYSRLFWLLFGVFSYENHEGKPRI >Manes.08G096300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32503623:32507100:1 gene:Manes.08G096300.v8.1 transcript:Manes.08G096300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRWSRKRSHFPLAAFVLLVFLACSVLYNETSIPQIHEHPDHVSRKHETTVTYIKPNLASYSKRAPEYLDRFVSCNSTREYSGKKIQWGDPKPNLGRRREDLESCDVFSGKWVFDNESYPLYNESDCPYMSDQLACHKHGRSDLGYQYWRWQPHNCNLKRWNITEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSAIPANKRSMSPNAPLTIFRAEEYNATVEFLWAPLLVDSNSDDPVNHRLNERIIRPDSVLKHSSKWEHADILVFNSYLWWRQGPVKLLWSNEDNGACEELDGLGAMELAMESWADWAASKANSHEKQVFFVTMSPTHLWSREWEPGSEGNCYSEKSPIDLEGYWGSGSDLPTMRMVEKIISRLGSKVSVLNITQLSEYRKDGHPSIYRKFWETLSPKQLSDPKTFSDCIHWCLPGVPDVWNELLFHFL >Manes.17G066800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26672201:26673353:1 gene:Manes.17G066800.v8.1 transcript:Manes.17G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSLAGKAVKLTPSAPELMGNGRFSMRKTASKAVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Manes.03G061500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6847713:6851067:-1 gene:Manes.03G061500.v8.1 transcript:Manes.03G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAFLPIPAWQRSHISSRIPDHLHTSCLISPNLSFFRLGFQRLQRGSITEPMIVRSSHQENSVVSTIQAFDVPILTCSEAIERLRENREKHKGKQKYLAMYSSVFGGITTDPSAMVLPMDDHMVHRGHGVFDTAAIVDGYLYELDQHLDRILRSASMAKISLPFDRESIRRILIETVSASKCQKGSLRYWLSAGPGDFQISSSGCHQSALYAIVIQDQSPRVSKGIKVVTSSIPIKHPKFATVKSVNYLPNVLSKMEAEENGAFAAIWLDNDGLIAEGPNMNVAFVTKEKELLMPRFDKILSGCTAKRILNLAEGLVKEGKLHGVKVANLTVEQGKNADEMMLIGSGVLVRPVVQWDEQIIGNGKEGAITLALLDLLLEDMKSGPSTVRTPVS >Manes.11G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19202921:19212536:1 gene:Manes.11G095300.v8.1 transcript:Manes.11G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDEPNKSTSLFDYSSPSEPFLSKTPFSSSPPIEEASPSLADESEPTQYPQISYNYGPRSFKDLPFLILFAFCVLCTFAFGIFSVFHRNPNYSKLSSYTYDSNSTSCVKDSLSSSDDGFFETHVYFYFLSSSNSGVWKALIWSLVITFILSAPICFLLLLLLKHYTKQIVYMSLPFFIVIPIFFNVYWFVACTVSSSCSDGLPLVYRILVLIFVFLVIGVIVWIFVANWHRIELTVMIIGVASDALSKNLGLFVALPLLILCLVVYYAPIVVFLVFSRLNGKIVPKETSAGYTCVWKQDSWVPAYYALAILTMLWSLAAMIEAQVYVISGTVAQWYFTKEDSAPKRSIRSSLRNAFGPSSGTVCLSGLLIFVVRMVRGAVDSAKQEDIPGVVNLVLQCCVNALLSAVDFLNKFTINFAAITGEAYCTSARMTYELLKRNLLSAVFVETVSSRVLAGIAFVLSAVYAIVVCAILKGACNLGVDSYFVAVLSWVLLFVMLVFFVHVLDNVIDTVYICYAIDRDRGEVYKQEVHEVYVQLPISRNHRSPIVPKTPDV >Manes.11G095300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19202921:19212536:1 gene:Manes.11G095300.v8.1 transcript:Manes.11G095300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDEPNKSTSLFDYSSPSEPFLSKTPFSSSPPIEEASPSLADESEPTQYPQISYNYGPRSFKDLPFLILFAFCVLCTFAFGIFSVFHRNPNYSKLSSYTYDSNSTSCVKDSLSSSDDGFFETHVYFYFLSSSNSGVWKALIWSLVITFILSAPICFLLLLLLKHYTKQIVYMSLPFFIVIPIFFNVYWFVACTVSSSCSDGLPLVYRILVLIFVFLVIGVIVWIFVANWHRIELTVMIIGVASDALSKNLGLFVALPLLILCLVVYYAPIVVFLVFSRLNGKIVPKETSAGYTCVWKQDSWVPAYYALAILTMLWSLAAMIEAQVYVISGTVAQWYFTKEDSAPKRSIRSSLRNAFGPSSGTVCLSGLLIFVVRMVRGAVDSAKQEDIPGQ >Manes.18G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3897348:3898240:-1 gene:Manes.18G043700.v8.1 transcript:Manes.18G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPPSLYLTILTFPLIIVIQKMKPYLATLLLICLVLTSSFFELTMAGSAFCDSKCGERCAKASVKDRCLKYCGICCEKCKCVPSGTYGNKHECPCYRDMKNSKGKPKCP >Manes.02G014750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1401903:1407910:1 gene:Manes.02G014750.v8.1 transcript:Manes.02G014750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTLSQMALKPHSLPPCPKPHKPHSTFSLLPLNSLSKKLSSKFFFRNQSLIFSRYPKKWCENPIEEAFRHVLPTASKNLDGLEVRGLDCQNVQATTESMLDLDDFCGSLAKYNSTREYQKAASTKSYGGWLKFVLLFGYLTLQGSHQALAGTDFASGFQSIPYLGDFGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAAIVFTGTFGALAAMTIISVVLGRSFHYIDEILPFKLGETDLPVDDIAAVCLLVYFGVSTLIDASSSDSLKAEDEQKEAELAVSEFSGNGAGILAAANTIVSTFLLVFVAEWGDKSFFSTVALAAASSPIGVIGGALAGHGVATLIAVLGGSLLGTYLSEKVYLKTFNAI >Manes.02G014750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1401906:1407910:1 gene:Manes.02G014750.v8.1 transcript:Manes.02G014750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTLSQMALKPHSLPPCPKPHKPHSTFSLLPLNSLSKKLSSKFFFRNQSLIFSRYPKKWCENPIEEAFRHVLPTASKNLDGLEVRGLDCQNVQATTESMLDLDDFCGSLAKYNSTREYQKAASTKSYGGWLKFVLLFGYLTLQGSHQALAGTDFASGFQSIPYLGDFGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAAIVFTGTFGALAAMTIISVVLGRSFHYIDEILPFKLGETDLPVDDIAAVCLLVYFGVSTLIDASSSDSLKAEDEQKEAELAVSEFSGNGAGILAAANTIVSTFLLVFVAEWGDKSFFSTVALAAASSPIGVIGGALAGHGVATLIAVLGGSLLGTYLSEKPGNSRNISYHIMKIFLV >Manes.02G014750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1401906:1407910:1 gene:Manes.02G014750.v8.1 transcript:Manes.02G014750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTLSQMALKPHSLPPCPKPHKPHSTFSLLPLNSLSKKLSSKFFFRNQSLIFSRYPKKWCENPIEEAFRHVLPTASKNLDGLEVRGLDCQNVQATTESMLDLDDFCGSLAKYNSTREYQKAASTKSYGGWLKFVLLFGYLTLQGSHQALAGTDFASGFQSIPYLGDFGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAAIVFTGTFGALAAMTIISVVLGRSFHYIDEILPFKLGETDLPVDDIAAVCLLVYFGVSTLIDASSSDSLKAEDEQKEAELAVSEFSGNGAGILAAANTIVSTFLLVFVAEWGDKSFFSTVALAAASSPIGVIGGALAGHGVATLIAVLGGSLLGTYLSEKTIAYTGGILFLVFAAVTLIEIVS >Manes.02G014750.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1401906:1407911:1 gene:Manes.02G014750.v8.1 transcript:Manes.02G014750.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDDFCGSLAKYNSTREYQKAASTKSYGGWLKFVLLFGYLTLQGSHQALAGTDFASGFQSIPYLGDFGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAAIVFTGTFGALAAMTIISVVLGRSFHYIDEILPFKLGETDLPVDDIAAVCLLVYFGVSTLIDASSSDSLKAEDEQKEAELAVSEFSGNGAGILAAANTIVSTFLLVFVAEWGDKSFFSTVALAAASSPIGVIGGALAGHGVATLIAVLGGSLLGTYLSEKTIAYTGGILFLVFAAVTLIEIVS >Manes.02G014750.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1401906:1407910:1 gene:Manes.02G014750.v8.1 transcript:Manes.02G014750.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVTLSQMALKPHSLPPCPKPHKPHSTFSLLPLNSLSKKLSSKFFFRNQSLIFSRYPKKWCENPIEEAFRHVLPTASKNLDGLEVRGLDCQNVQATTESMLDLDDFCGSLAKYNSTREYQKAASTKSYGGWLKFVLLFGYLTLQGSHQALAGTDFASGFQSIPYLGDFGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAAIVFTGTFGALAAMTIISVVLGRSFHYIDEILPFKYFPQDLLILDTYELGNIPCMTWCWMLEMLRYIGGCIQLILPGGFAMQRRTSGNFSFIHPTELTIPFNLYLKLFYQSVNNFSNIVHLHM >Manes.15G075000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5679447:5680882:1 gene:Manes.15G075000.v8.1 transcript:Manes.15G075000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVNSMRELVSSSSNERSCFVGDDYDDDEGGGGGGGHSFHEDSGWTTYLEDFFAKNNNNKQSNDINGENNCCLSYDNEATASLVSDAASLVMKKNDSVDYNDNNSEQEAAAAVGSRFSYSRLSFKKRKTKGALVGDDALEDTASSPVNSPKLNKNTTQRENLGISSPSLQVIKIKQLHNY >Manes.15G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5679447:5680882:1 gene:Manes.15G075000.v8.1 transcript:Manes.15G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVNSMRELVSSSSNERSCFVGDDYDDDEGGGGGGGHSFHEDSGWTTYLEDFFAKNNNNKQSNDINGENNCCLSYDNEATASLVSDAASLVMKKNDSVDYNDNNSEQEAAAAVGSRFSYSRLSFKKRKTKGALVGDDALEDTASSPVNSPKLNKNTTQRENLGISSPSLQDKGRGSTRQMEDKSEDLGFIGRESDSTELKKRGLCLVPLSMVVNYFG >Manes.15G075000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5679447:5680882:1 gene:Manes.15G075000.v8.1 transcript:Manes.15G075000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVNSMRELVSSSSNERSCFVGDDYDDDEGGGGGGGHSFHEDSGWTTYLEDFFAKNNNNKQSNDINGENNCCLSYDNEATASLVSDAASLVMKKNDSVDYNDNNSEQEAAAAVGSRFSYSRLSFKKRKTKGALVGDDALEDTASSPVNSPKLNKNTTQRENLGISSPSLQGVCELG >Manes.15G075000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5679447:5680882:1 gene:Manes.15G075000.v8.1 transcript:Manes.15G075000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVNSMRELVSSSSNERSCFVGDDYDDDEGGGGGGGHSFHEDSGWTTYLEDFFAKNNNNKQSNDINGENNCCLSYDNEATASLVSDAASLVMKKNDSVDYNDNNSEQEAAAAVGSRFSYSRLSFKKRKTKGALVGDDALEDTASSPVNSPKLNKNTTQRENLGISSPSLQEEAPQGRWKIKVRIWVLLGEKVTAQN >Manes.13G020000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2925487:2929155:1 gene:Manes.13G020000.v8.1 transcript:Manes.13G020000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDIVRFPGSLDPRAQEFRPRNNPNNVNFIQQMPLFGPTPPPHQVYYAYSSPAAPFSDGGGVVGYSQYASAPPPVAYVSTVMPLPPQSAAPTRTLVLSSVQSDVSEWMIRRELEVFGEVRGIQMDRICDGIVTVHFYDLRHAEKALKEIREQHMQQQSRLRSLFAALERSSGFLGMGENLVAPPPPAARGLIAGCAVWAQFIIPSCNAVPEGHNQGTVVVFNLDPNVSTSSLKEIFQAFGSVKELRETPLKKQQRFVEFYDIRDAAKALREMNGKEIHGKQVVIEFSRPGGYGRKFFNAAATTTTTASPKATSISNAFVNAKISSYAPPQPPPPPSPLPAPQLPRNFSSRSAPNIPPRVFLAQTQSSSTKKASNFSKGNTNNEIVNDKDSLEASMGGLSIHGGDEDGVAVKVGDGPVKRNAKKSQNSESCAPSTKQQHRSRPWKSRQAKKFDTRFLINEDAMVESNSSDSRTTVMIKNIPNNQKLLLTMLDSHCLYCNEQIANGEDQPLSAYDFVYLPIDFNNKCNVGYGFVNMTSPQATWRLYKAFHHQPWEVFNSRKICEVTYARVQGLEALKEHFKNSKFPCEMDHYLPVVFSPARDGRQLTEPQPIVGQKQQLPMILGLPMKSTDLESKNNKGDADQEEEGDTTAFAAETAATWVMMTETAAMAAE >Manes.13G020000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2925487:2929155:1 gene:Manes.13G020000.v8.1 transcript:Manes.13G020000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDIVRFPGSLDPRAQEFRPRNNPNNVNFIQQMPLFGPTPPPHQVYYAYSSPAAPFSDGGGVVGYSQYASAPPPVAYVSTVMPLPPQSAAPTRTLVLSSVQSDVSEWMIRRELEVFGEVRGIQMDRICDGIVTVHFYDLRHAEKALKEIREQHMQQQSRLRSLFAALERSSGFLGMGENLVAPPPPAARGLIAGCAVWAQFIIPSCNAVPEGHNQGTVVVFNLDPNVSTSSLKEIFQAFGSVKELRETPLKKQQRFVEFYDIRDAAKALREMNGKEIHGKQVVIEFSRPGGYGRKFFNAAATTTTTASPKATSISNAFVNAKISSYAPPQPPPPPSPLPAPQLPRNFSSRSAPNIPPRVFLAQTQSSSTKKASNFSKGNTNNEIVNDKDSLEASMGGLSIHGGDEDGVAVKVGDGPVKRNAKKSQNSESCAPSTKQQHRSRPWKSRQAKKFDTRFLINEDAMVESNSSDSRTTVMIKNIPNKYSQKLLLTMLDSHCLYCNEQIANGEDQPLSAYDFVYLPIDFNNKCNVGYGFVNMTSPQATWRLYKAFHHQPWEVFNSRKICEVTYARVQGLEALKEHFKNSKFPCEMDHYLPVVFSPARDGRQLTEPQPIVGQKQQLPMILGLPMKSTDLESKNNKGDADQEEEGDTTAFAAETAATWVMMTETAAMAAE >Manes.11G019400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2118347:2127290:-1 gene:Manes.11G019400.v8.1 transcript:Manes.11G019400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGTIDSPLKSQAVAFCQQIKETPTICRICIEKLCFCNLIQVQFWCLQTLHEVIRVKYALLSLEEKDFVRKSVFSMCCFDVIDDSNAVRVLEGPTFIKNKLAQVLVTLIYFEYPAIWSSVFVDFLHRLSKGAVVIDMFCRVLNALDDELISLDYPRTPEELGVAGQVKDAMRQQCVAQIVRAWFDIVSMYRNSDPDLCSSVLDSMRRYISWIDIGLIVNDMFVPLLFELMLVDGEFEQLQGAAAGCVLAVVSKRMDPQSKLAILRSLQVSRVFALVTGDSDSELVSKIAALITGYAVEVLECYKRASTEDAKAVSLELLNEVLPSVFYVMQNCEVDTAFSIVQFLSGYVATMKSLSPLREKQAHYVGQILEVIRTQIRYDPMYRDNLDSFDKIGREEEDRMVEYRKDLFVLLRSVGRVAPDTTQVFIRNSLASAVASSAEINVEEVEAALSLLYALGESLSDEAMRAGSGLLSELVPMLLSTRFPCHSNRLVALVYLETMTRYMKFVLENTQYIPLALAAFLDERGIHHPNIHVSRRASYLFMRVVKLLKAKLVPFIETILQSLQDTVARFTSMDYNYTPYEFSGPEDGSHIFEAIGLLVGMEDVPLQKQADYLSSLLTPLCQQVEILLMNAKLADAEESPAKIVNIQQIIVAINALSKGFSERLVTASRPAIGLMFKQTLDVLLQILVVFPKVEPLRIKVTSFIHRMVDTLGASVFPYLPKALEQLLVECEPKEMVGFLVLLNQLICKFNISVHDILEEIFPAIAGRICNVIPKDAYPSGPGGNTEEIRELQELQKTVYTFLHVITTHDLSSIFLSPKSRGYLDSLMQLLLYAACNHKDILVRKSCVQIFNRLIKDWCAKPYGEEKVPGFQSFIIEAFATNCCLYSVLDKSFDFQDANTLVLFGEIVQAQKLLYEKFGNDFVLHFVSKGFPSAHCPQDLAQQYCQRLQGSDFKALKSFYQSLVENLRLQQNGSLVFR >Manes.11G019400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2119111:2127222:-1 gene:Manes.11G019400.v8.1 transcript:Manes.11G019400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGTIDSPLKSQAVAFCQQIKETPTICRICIEKLCFCNLIQVQFWCLQTLHEVIRVKYALLSLEEKDFVRKSVFSMCCFDVIDDSNAVRVLEGPTFIKNKLAQVLVTLIYFEYPAIWSSVFVDFLHRLSKGAVVIDMFCRVLNALDDELISLDYPRTPEELGVAGQVKDAMRQQCVAQIVRAWFDIVSMYRNSDPDLCSSVLDSMRRYISWIDIGLIVNDMFVPLLFELMLVDGEFEQLQGAAAGCVLAVVSKRMDPQSKLAILRSLQVSRVFALVTGDSDSELVSKIAALITGYAVEVLECYKRASTEDAKAVSLELLNEVLPSVFYVMQNCEVDTAFSIVQFLSGYVATMKSLSPLREKQAHYVGQILEVIRTQIRYDPMYRDNLDSFDKIGREEEDRMVEYRKDLFVLLRSVGRVAPDTTQVFIRNSLASAVASSAEINVEEVEAALSLLYALGESLSDEAMRAGSGLLSELVPMLLSTRFPCHSNRLVALVYLETMTRYMKFVLENTQYIPLALAAFLDERGIHHPNIHVSRRASYLFMRVVKLLKAKLVPFIETILQSLQDTVARFTSMDYNYTPYEFSGPEDGSHIFEAIGLLVGMEDVPLQKQADYLSSLLTPLCQQVEILLMNAKLADAEESPAKIVNIQQIIVAINALSKGFSERLVTASRPAIGLMFKQTLDVLLQILVVFPKVEPLRIKVTSFIHRMVDTLGASVFPYLPKALEQLLVECEPKEMVGFLVLLNQLICKFNISVHDILEEIFPAIAGRICNVIPKDAYPSGPGGNTEEIRELQELQKTVYTFLHVITTHDLSSIFLSPKSRGYLDSLMQLLLYAACNHKDILVRKSCVQIFNRLIKDWCAKPYGEEKVPGFQSFIIEAFATNCCLYSVLDKSFDFQDANTLVLFGEIVQAQKLLYEKFGNDFVLHFVSKGFPSAHCPQDLAQQYCQRLQGSDFKALKSFYQSLVENLRLQQNGSLVFR >Manes.11G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2118347:2127290:-1 gene:Manes.11G019400.v8.1 transcript:Manes.11G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGTIDSPLKSQAVAFCQQIKETPTICRICIEKLCFCNLIQVQFWCLQTLHEVIRVKYALLSLEEKDFVRKSVFSMCCFDVIDDSNAVRVLEGPTFIKNKLAQVLVTLIYFEYPAIWSSVFVDFLHRLSKGAVVIDMFCRVLNALDDELISLDYPRTPEELGVAGQVKDAMRQQCVAQIVRAWFDIVSMYRNSDPDLCSSVLDSMRRYISWIDIGLIVNDMFVPLLFELMLVDGEFEQLQGAAAGCVLAVVSKRMDPQSKLAILRSLQVSRVFALVTGDSDSELVSKIAALITGYAVEVLECYKRASTEDAKAVSLELLNEVLPSVFYVMQNCEVDTAFSIVQFLSGYVATMKSLSPLREKQAHYVGQILEVIRTQIRYDPMYRDNLDSFDKIGREEEDRMVEYRKDLFVLLRSVGRVAPDTTQVFIRNSLASAVASSAEINVEEVEAALSLLYALGESLSDEAMRAGSGLLSELVPMLLSTRFPCHSNRLVALVYLETMTRYMKFVLENTQYIPLALAAFLDERGIHHPNIHVSRRASYLFMRVVKLLKAKLVPFIETILQSLQDTVARFTSMDYNYTPYEFSGPEDGSHIFEAIGLLVGMEDVPLQKQADYLSSLLTPLCQQVEILLMNAKLADAEESPAKIVNIQQIIVAINALSKGFSERLVTASRPAIGLMFKQTLDVLLQILVVFPKVEPLRIKVTSFIHRMVDTLGASVFPYLPKALEQLLVECEPKEMVGFLVLLNQLICKFNISVHDILEEIFPAIAGRICNVIPKDAYPSGPGGNTEEIRELQELQKTVYTFLHVITTHDLSSIFLSPKSRGYLDSLMQLLLYAACNHKDILVRKSCVQIFNRLIKDWCAKPYGEEKVPGFQSFIIEAFATNCCLYSVLDKSFDFQDANTQLVLFGEIVQAQKLLYEKFGNDFVLHFVSKGFPSAHCPQDLAQQYCQRLQGSDFKALKSFYQSLVENLRLQQNGSLVFR >Manes.14G149400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16837445:16838347:1 gene:Manes.14G149400.v8.1 transcript:Manes.14G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGGRRTNVFDPFSLDFWDPFHDFPFPSTSLSVSAPRSESANETSAFANTRIDWKETPEAHVFKSDLPGIKKEEVKVEVEEGRVLQISGERSKEKEEKNDKWHRVERSRGKFLRRFRLPENAKVDKVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Manes.12G141900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34820825:34824750:1 gene:Manes.12G141900.v8.1 transcript:Manes.12G141900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNIPFVGAGPKAISVRTSLLLLLGSGSGGELGDFNTNMFTALKLHISYPHCTSYSSFLQSVSRRRLYDLRLPIRRHSSLPVLSHYGSTPPPPESEPSISSEYIASVGTSPLSHSTLQLSQWNFTQRHILMLQVIACAVAVSATWLFFSAIPTLFAFKRAAESLEKLMDATREELPDTMAAIRLSGMEISDLTMELSDLGQEITQGVRSSTKAVRLAEERLRRLTNMAPPASLQRVASPKTDTGPALARTARGIKEGIVKGRAIWQMFFTLTQFSRIAFNYFAKRAKQKSRSPRA >Manes.10G045000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4799921:4809659:-1 gene:Manes.10G045000.v8.1 transcript:Manes.10G045000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCVDPQWPPEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAIVMTVAKVLTAVVSCATALMLVHIIPDLLSVKTRELILKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVVNKIFSSNRAVKISPNCPLARIRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHAYELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELAPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLHSVFWEVLNLIKPIASVKRLAVTLNLAPDLPEYAIGDEKRLMQAILNVVGNAVKFSKEGNISITAFVAKPDSLRDLHFPDFFPVPSDNHFYLRVQVKDEGLGINPQDIPKLFTKFAQSQSLATRNSSGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFVVKLGILERSNESKLPFLPKMPPIHGQTTFAGLKVILMDDNGVSRTITKGLLVHLGCDVTTVSSSDECLRVVSQDHNVVFVDVCMPDGFEVAIRIHEKFTKRHERPLIVALTANTNKVTKENCMRVGMDGVILKPVSVDKMRGVLSDLLEHRVLFEAM >Manes.10G045000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4801250:4809695:-1 gene:Manes.10G045000.v8.1 transcript:Manes.10G045000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCNCVDPQWPPEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTMHSRTVAIVMTVAKVLTAVVSCATALMLVHIIPDLLSVKTRELILKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVVNKIFSSNRAVKISPNCPLARIRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHAYELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELAPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLHSVFWEVLNLIKPIASVKRLAVTLNLAPDLPEYAIGDEKRLMQAILNVVGNAVKFSKEGNISITAFVAKPDSLRDLHFPDFFPVPSDNHFYLRVQVKDEGLGINPQDIPKLFTKFAQSQSLATRNSSGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTAIFVVKLGILERSNESKLPFLPKMPPIHGQTTFAGLKVILMDDNGVSRTITKGLLVHLGCDVTTVSSSDECLRVVSQDHNVVFVDVCMPDGFEVAIRIHEKFTKRHERPLIVALTANTNKVTKENCMRVGMDGVILKPVSVDKMRGVLSDLLEHRVLFEAM >Manes.02G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7150575:7155319:1 gene:Manes.02G091500.v8.1 transcript:Manes.02G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYSKLPSQESIADSLATRFRDSLTFTNPTKKLDSKELDLGSPVSPLTVPASLNNATTTTPSTSTSSSSGSVSSTKTINTSTKLPKKPENGSPNHSGELSGLSDTSPARHNNLRSRNSHPGHRRSVSAGVPLIYSGSSFGSTNNNGATSVSTSSASNLLPSGNICPSGKILKAGLTGRVANKTDTLGTGTANYGHGSIVRGGSGGGGATKLGSGGVNGACGDPEELKKAGNEMYRRGNFVEALGLYDKAISLAPENAPYRSNRAAALTALGRLGEAVRECKEAVRLDPGYARAHQRLASLYLRLGQAENARQHLCFHGQQPGPTELQKLQSLEKHIKRCADARKIGDWKGALRETDAALTIGADSCPQLIACKAEAFLKLHQLEDADSTIANIPKFDYCSPQTKFFGMVADAYVLYVQAQIEMALGRFENAVSVAEKAALIDYSNADVAMLLSNVKMAARARNRGNDLFSSGKYSEASSAYGEGLKYDASNPVLYCNRAVCWSKLGLWEKSVEDCNQALRIQPNYTKALFRRAASNGKLGRWAEAVRDYEVLRKELPGDKEVLESLHRAQITLKKSLGEVNYTETGGEVEDISSIDKFKAAISSHGVKVVHFKAASDEQSEDISPFINMLCVRYPSVHFFKVDVEESLAVAKAESIKTVPTFKIYKNGEKVKEIIRPSHQFLEDSVRSYSL >Manes.02G091500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7150575:7155319:1 gene:Manes.02G091500.v8.1 transcript:Manes.02G091500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYSKLPSQESIADSLATRFRDSLTFTNPTKKLDSKELDLGSPVSPLTVPASLNNATTTTPSTSTSSSSGSVSSTKTINTSTKLPKKPENGSPNHSGELSGLSDTSPARHNNLRSRNSHPGHRRSVSAGVPLIYSGSSFGSTNNNGATSVSTSSASNLLPSGNICPSGKILKAGLTGRVANKTDTLGTGTANYGHGSIVRGGSGGGGATKLGSGGVNGACGDPEELKKAGNEMYRRGNFVEALGLYDKAISLAPENAPYRSNRAAALTALGRLGEAVRECKEAVRLDPGYARAHQRLASLYLRLGQAENARQHLCFHGQQPGPTELQKLQSLEKHIKRCADARKIGDWKGALRETDAALTIGADSCPQLIACKAEAFLKLHQLEDADSTIANIPKFDYCSPQTKFFGMVADAYVLYVQAQIEMALGRFENAVSVAEKAALIDYSNADVAMLLSNVKMAARARNRGNDLFSSGKYSEASSAYGEGLKYDASNPVLYCNRAVCWSKLGLWEKSVEDCNQALRIQPNYTKALFRRAASNGKLGRWAEAVRDYEVLRKELPGDKEVLESLHRAQITLKKSLGEVNYTETGGEVEDISSIDKFKAAISSHGVKVVHFKAASDEQSEDISPFINMLCVRYPSVHFFKVSIYVSVSI >Manes.08G084431.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:24647091:24648847:-1 gene:Manes.08G084431.v8.1 transcript:Manes.08G084431.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFQEFKTQLLEPGLVDRIDISNKSVAKVYVRSSPKNGNQTSDDIVQVPANGTPARRSTSHYKYYFNIGNIESFEEKLEEAQEALGIDPHDYVPVTYVNEVNWYHELLKFAPSALLLGTLWFMGRRMQSGLGIGGSGGRGGRGIFNMGKAHFTKLDKNTKDKVLFKDVAGCDEAKKEIMEFVHFLKNPKKYEELGAKIPKGALLVALLAQGRHFLLRQQLVNLLCPFYLYLGQILLKCLFQQARQCAPIFVFIDEIDAIGRARGRGGFSGGNDERESILNQLPVEMDGFGTTSGVVVLAGTNRPDILDKAL >Manes.06G075001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21062258:21066728:-1 gene:Manes.06G075001.v8.1 transcript:Manes.06G075001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMVKDASQGISFVCNNIAQYGGDPNRIYLMGQSAGAHIAACALVEQAIKEASEGESVTWRASQIMTYFGLSGGYNLLNLVDYFHSRGLYRSIFLGIMEGEESLERFSPEVIVQDPNLKNAVSLLPPIVLFHGTADYSIPCDASKNFAETLQRVGVRAESILYEGKTHTDVFLQDPMRGGNDQMFEDLVAIVHSGDPEAQAKDAVAPPRRRLVPELMLQVARRVSPF >Manes.06G075001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21062272:21077359:-1 gene:Manes.06G075001.v8.1 transcript:Manes.06G075001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMVKDASQGISFVCNNIAQYGGDPNRIYLMGQSAGAHIAACALVEQAIKEASEGESVTWRASQIMTYFGLSGGYNLLNLVDYFHSRGLYRSIFLGIMEGEESLERFSPEVIVQDPNLKNAVSLLPPIVLFHGTADYSIPCDASKNFAETLQRVGVRAESILYEGKTHTDVFLQDPMRGGNDQMFEDLVAIVHSGDPEAQAKDAVAPPRRRLVPELMLQVARRVSPF >Manes.11G094100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19570647:19574194:1 gene:Manes.11G094100.v8.1 transcript:Manes.11G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLQDDKQFFIDHPGATPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIKVVLQPPKQRRRKRRKAQKACSIL >Manes.01G173000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35452344:35458280:1 gene:Manes.01G173000.v8.1 transcript:Manes.01G173000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLVAADNNGGTRSALIFLGTGCSNSIPFASCLIQPSDPPCHVCSMALSVPPEQNPNYRCNTSLLIDYCEKDEKHSYILIDAGKTFREQVLRWFTHYKIPRVDSIILTHEHADAVLGLDDIRAVQPFTANNDIDPTPVFLSQSAMDSITLKFPYLVRKKLREHQERRRVAQLDWKIIEEDFQRPFVASGIQFVPLPVMHGEDYVCLGFLFGKRCRVAYISDVSRLPASTEFVISKSGAGQLDLLILDSLLRNGSHNVHFCLPQSLEAVKRLCPKQALLIGMNHEFDHYRDNDFLAEWSGREGIPVQLARDGLRVPIDL >Manes.12G095200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:20753129:20757562:1 gene:Manes.12G095200.v8.1 transcript:Manes.12G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCAALILDKKSRKKDGSESNFELKKNSSVLRKLQEHKLREALEEASEDGSLFKSQGMESESLENQDESLGRSRSLARLHAQREFLRATALAAERIFESEDSIPDLHQAFSKFLTMYPKYQSSERIDQLRSDEYAHLTPKVCLDYCGFGLFSYLQTLHYWESSTFSLSEITANLSNHALYGGAEKGTVEHDIKARIMDHLNIPEDEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDYESQSVSWMAQSAKEKGAKVNSAWFKWPTLKLCSTDLRKQISSKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGHDPTGFGCLLIKKSVMGSLQNQCGSTGSGMVKITPEYPVYLSDSVDGLDRLVGIEDDEVAGNAETTTEARPGSQLPAFSGAFTSAQVRDVFEAEMEQDNSSDRDGTSTIFEETESVSVGEVMKSPIFSEDESSDNSFWIDLGQSPLGSDAAVQLNKQKVSSPLPPFWFSGKNSNKRPSPKRTSKIYGSPLYDDKGVNMGPHDDHHMLSFDAAVMCVSQELDRVKEVPEEEQFAETNCTLQNGKKGSDHPHVHEIEEEPANNNLHSDGSLLSTAINRSQLNSSTSAAMNHSLANGSTSAICSEMKESAIRRETEGEFRLLGRREGNRYAGGRFFGLEEKEHPSRGRRVSFSMEDSNRECLSRTLEPGEVSVTSLDDEEYTSDGEYGDGQEWDRREPEVICRHLDHVNMLGLNKTTLRLRFLVNWLVTSLLQLRFPCPDGEGRVHLVHIYGPKIKYERGAAVAFNIRDRNRGLLNPEVVQKLAEREGISLGIGFLSHIRILESPKQQRGNLKLEDTTLCRPMENEQHNGKSGFIRIEVVTASLGFLSNFEDVYKLWGFVSKFLNPAFIKEGGNLPRVEERTET >Manes.12G095200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:20753257:20757535:1 gene:Manes.12G095200.v8.1 transcript:Manes.12G095200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLNIPEDEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDYESQSVSWMAQSAKEKGAKVNSAWFKWPTLKLCSTDLRKQISSKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGHDPTGFGCLLIKKSVMGSLQNQCGSTGSGMVKITPEYPVYLSDSVDGLDRLVGIEDDEVAGNAETTTEARPGSQLPAFSGAFTSAQVRDVFEAEMEQDNSSDRDGTSTIFEETESVSVGEVMKSPIFSEDESSDNSFWIDLGQSPLGSDAAVQLNKQKVSSPLPPFWFSGKNSNKRPSPKRTSKIYGSPLYDDKGVNMGPHDDHHMLSFDAAVMCVSQELDRVKEVPEEEQFAETNCTLQNGKKGSDHPHVHEIEEEPANNNLHSDGSLLSTAINRSQLNSSTSAAMNHSLANGSTSAICSEMKESAIRRETEGEFRLLGRREGNRYAGGRFFGLEEKEHPSRGRRVSFSMEDSNRECLSRTLEPGEVSVTSLDDEEYTSDGEYGDGQEWDRREPEVICRHLDHVNMLGLNKTTLRLRFLVNWLVTSLLQLRFPCPDGEGRVHLVHIYGPKIKYERGAAVAFNIRDRNRGLLNPEVVQKLAEREGISLGIGFLSHIRILESPKQQRGNLKLEDTTLCRPMENEQHNGKSGFIRIEVVTASLGFLSNFEDVYKLWGFVSKFLNPAFIKEGGNLPRVEERTET >Manes.18G145032.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:30301941:30302464:1 gene:Manes.18G145032.v8.1 transcript:Manes.18G145032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVFIVSSLLFTSFWLPTSAEQCGTQAGGALCPGGLCCSKWGWCGTTSDYCCEGCQSQCNCPSGRRAGILRGGGGEMDEINSEKAFDKILGQKPYGLYT >Manes.02G053800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4299047:4301822:1 gene:Manes.02G053800.v8.1 transcript:Manes.02G053800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQSTMSMTSLSVPCPKTSLAACVGSNSQNLRPNSTICFPPHSPTLVGFQWPDRKQSPVTKVQAQLNEVVATKPSNSAPIPSSEKKDEPAESILGASSISTFMAQVSDLVKLVDSRDITELQLKQLDCELIIRKKEALQQPPPTAPVIATLPHGQHATLASPTPAAPAFAPPSSLPPAPAPALPSPAKTSTSSHPPLKCPMAGTFYRSPAPGEPAFVKVGDKVQKGQVVCIIEAMKLMNEIEADQSGTIAEILVEDGKPVSVDTVSFLSSLLLFIIFAPLSHILKPFCPLYAASTCHCTLNHFQGSIILGSRLYLQRNNIKL >Manes.14G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8086384:8088825:-1 gene:Manes.14G097300.v8.1 transcript:Manes.14G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPAASPTVKSRVLIAGATGFIGHFVADACLDSGRPTYVLVRSIPKCPSAAKVLRSLEEKGAIVLHGLINEKEKMEEILKEHKIDVVISAVGGESILDQLSLLQAIKAVGTIKRFLPSEFGHDVDRADPVEPGLRMYDEKRKIRRFIEEYGIPYTYICCNSIASWPYFDNCHPSDVRPPLDKLQIYGDGNTKAYFVAGTDIGKFTMQAVDDVRTLNKSLHFRPSCNFYNMNELAALWEKKIGKTLPRATVTEEDLLAVAAENRIPESIVASFTHDIFIKGCQVNFSVDGPNDVEVSTLYPDEAFRTLDECFEDFVHGLNEKHLSPIDENTPPNPMVESLIVTATCA >Manes.11G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31976885:31978851:1 gene:Manes.11G152300.v8.1 transcript:Manes.11G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDFHLMNNANNNDFELYDFTDEANFDQFIDLIRGENEDQITAGFDSELINGLMVDNQFSNPNQENVFDFSAPYITSSSMVPDDQSFVPINMTLPSFEDDGKEVEEDNDGEEGSSGTTTTTTPRKAKTDRSRTLISERRRRGRMKEKLYALRSLVPNITKMDKASIIGDAVQYVQELQMQAKKLKTEISGLEASLAGSDRCQGSGKNLRKIQIANNKNPIYKRIVQMDVFQVEERGFYVRLICNRGDGVAVSLYRTLESLTSFKIQSSNLTTASETLTLTFTLNVKESEKDVNLPNLKLWITGALFNQGFELFTSLSA >Manes.07G026450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2838130:2839029:1 gene:Manes.07G026450.v8.1 transcript:Manes.07G026450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISAVQIITRKLNHVKSKERFLLFWSQIVYRHHLIHHLHPNTMVMDSIGFSTNHIPEVSETFS >Manes.02G114400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8825229:8831385:1 gene:Manes.02G114400.v8.1 transcript:Manes.02G114400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANIYDLNHLDAEVLLPPRKRLLAGFKKQNSDGDAALAPPTVASSSSSASPSSPPPTPSPSPPCPSPPSPTPSSPSSSEFQSRLNNLLTSHFNNNHNLSPEEIVEASKSAADAAVKAAEAARAAAQEKAIIAAKAVTAAKSALALVASYPEEAANKERYLQRNKLKKHVQVQLLYKKHQPIENHRDDEELARKLHRVMNSSPRISKNPSNSVLKGHKNKKPKSSPASERTRISNGSVAFGGKLPSICNGRAVAGEMDSEGSVGEVCTSTADEKTSKYEKATQLEIDNGEAESSHSKEKMQGDASSPGKKRGRQKLKKLPLSICSSRDRAKPKDGIFPRNSPLTGDNMGNPTTRNKPLFSVEPSGDNPMSIDVAPMRKCQEFKAQACIKQNKVIQS >Manes.02G114400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8825204:8828364:1 gene:Manes.02G114400.v8.1 transcript:Manes.02G114400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANIYDLNHLDAEVLLPPRKRLLAGFKKQNSDGDAALAPPTVASSSSSASPSSPPPTPSPSPPCPSPPSPTPSSPSSSEFQSRLNNLLTSHFNNNHNLSPEEIVEASKSAADAAVKAAEAARAAAQEKAIIAAKAVTAAKSALALVASYPEEAANKERYLQRNKLKKHVQVQLLYKKHQPIENHRDDEELARKLHRVMNSSPRISKNPSNSVLKGHKNKKPKSSPASERTRISNGSVAFGGKLPSICNGRAVAGEMDSEGSVGEVCTSTADEKTSKYEKATQLEIDNGEAESSHSKEKMQGDASSPGKKRGRQKLKKLPLSICSSRDRAKPKDGIFPRNSPLTGDNMGNPTTRNKPLFSVEPSGDNPMSIDVAPMRKCQEFKAQACIKQNKVIQS >Manes.02G114400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8825199:8828734:1 gene:Manes.02G114400.v8.1 transcript:Manes.02G114400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANIYDLNHLDAEVLLPPRKRLLAGFKKQNSDGDAALAPPTVASSSSSASPSSPPPTPSPSPPCPSPPSPTPSSPSSSEFQSRLNNLLTSHFNNNHNLSPEEIVEASKSAADAAVKAAEAARAAAQEKAIIAAKAVTAAKSALALVASYPEEAANKERYLQRNKLKKHVQVQLLYKKHQPIENHRDDEELARKLHRVMNSSPRISKNPSNSVLKGHKNKKPKSSPASERTRISNGSVAFGGKLPSICNGRAVAGEMDSEGSVGEVCTSTADEKTSKYEKATQLEIDNGEAESSHSKEKMQGDASSPGKKRGRQKLKKLPLSICSSRDRAKPKDGIFPRNSPLTGDNMGNPTTRNKPLFSVEPSGDNPMSIDVAPMRKCQEFKAQACIKQNKVIQS >Manes.03G209200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32442723:32445936:-1 gene:Manes.03G209200.v8.1 transcript:Manes.03G209200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSKKMVAEAEIICQQSIPPVIEVQYHLHNLNVDPVDVTAPSTPIFETISTDISRFESVVSCTETMKEAAINSSAVKSFPSIRSGSYADIGTRPSMDDAHIRIDDLSARLGSFFKWPSAFYAVFDGHGGPDAAAYIKRNAMRLFFEDARLPQTSDINDVFIEALVDSHRKAFLQADIALAEESSVSNSCGTTALTALVLGRHLLVANAGDCRAVLCRKGVAVDMSQDHRPSYLPERKRVEKLGGYIEDEYLNGYLSVTRSLGDWDLKLPLGASSPLIAEPDVQQLVLTEDDEFLIIGCDGIWDVISSQDAVSFVRRGLRRHDDPELCARELVTEASRLHSTDNLTVVIICFSSPSAVESCPPRRRRLRCCSLSEEARNRLRSLLEGN >Manes.03G209200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32442723:32445947:-1 gene:Manes.03G209200.v8.1 transcript:Manes.03G209200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSKKMVAEAEIICQQSIPPVIEVQYHLHNLNVDPVDVTAPSTPIFETISTDISRFESVVSCTETMKEAAINSSAVKSFPSIRSGSYADIGTRPSMDDAHIRIDDLSARLGSFFKWPSAFYAVFDGHGGPDAAAYIKRNAMRLFFEDARLPQTSDINDVFIEALVDSHRKAFLQADIALAEESSVSNSCGTTALTALVLGRHLLVANAGDCRAVLCRKGVAVDMSQDHRPSYLPERKRVEKLGGYIEDEYLNGYLSVTRSLGDWDLKLPLGASSPLIAEPDVQQLVLTEDDEFLIIGCDGIWDVISSQDAVSFVRRGLRRHDDPELCARELVTEASRLHSTDNLTVVIICFSSPSAVESCPPRRRRLRCCSLSEEARNRLRSLLEGN >Manes.17G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4177684:4178619:-1 gene:Manes.17G010400.v8.1 transcript:Manes.17G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSLGSPVSKFYSHGGAAKDDALTAADDSRHRDFISSSLSGYDDDDEEHRKSSKLVRRFSSSSPSFSLSSTPPNPEKLVHFIPLLTLLCFLVLYLVSHNPSQSDLAQFHGFKPSSKHIDSTENLGVGAGLSDVRRSDILAIRSLKNLQEIKRASPKSRSHRKIGDF >Manes.01G066633.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26730085:26731414:-1 gene:Manes.01G066633.v8.1 transcript:Manes.01G066633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTDFLVLNEKEVSFEKKRPRRFLPGSAAESEVRPPNMSWFRKCFWPPKAMFEQTRFGRRTWGCFACMLGRRRRFGWPPIKAPQTENGRDFSPFSSSGVFMSSFGHFHVFSSSPSYF >Manes.08G059900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7023016:7029142:1 gene:Manes.08G059900.v8.1 transcript:Manes.08G059900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDKEREAAAEFFSDDEDAGESLSGDLEGNKVIDIGGRNSSTSSDGEAADNGDECGTFNSQQWPQSFRETVDSFSISVYPSLGSLGRGQSYLHSSFENCSKSYLEQDGKSPLLSDQENGRQKEESVRISAAHLSFSRGSFASGEFPIAHGCSVTQTVFNLVNVMVGAALLCTPSTVKEAGWAGLVVLACFAFVCCYTANLMRHCFESKEGVLTYPDMGEAAFGKYGRLAISIILYTELYSYCVEFITLEGDNLTRLFPGTALHWAGFHLDSMHFFGILTALVVLPTFLLRDLRVISYLSACGIVATIVIVLSVLLLGTAGGVGFHQTSPMVKWRGLPFATGVAGFCYSGHSVFPNIYQSMADKRKFTKAIIICYILCLMLYGGVAVMGFLMFGENTLSQFTLNMPPHAFSSKVALWTTVINPLTKSLLY >Manes.13G124600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33225482:33229402:1 gene:Manes.13G124600.v8.1 transcript:Manes.13G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFCHVLRLPSPPKSSLFSPPLKSSRIKLSAASAPSPPPSSQMDLHNQGRAKFLEFPFVSAPHRALMVNLLSKVEDRLGSQLLPCTLPLDVQHCQNESGTSQASLHIRSGLQSSPVDFILGSWLHCKLPTGAALNITSLIAYLNLSTDAPNFVAELIQSSPTSLILLLDLPPRKDLVLYPDYLQTFYEDSQLDTHRQMLQKLPEVQPYVSSSLYLRSLFSPTRIMIRIETEEGRMEEILKDHIEPVAVEVLGFWLDHCACGGGREVKEEEKAYLKKRDELVKKKSIEIDLGSNLPKLFGPELAERVMGAINDVYNL >Manes.13G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32362841:32365215:1 gene:Manes.13G117000.v8.1 transcript:Manes.13G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVSFFFLSLLFLFVVFKIFRSRISYRSLPPNPPALPVIGHLHLLKPLMYRTLQSLSQKYGPIFSLRFGCRLVIVVSSASAVEECFTKNDVILANRPKFLAGKHIAYNNTTIPQSSYGDHWRNLRRIVAIEIFSNARLNKFLSIRKEEIKRLIIKLSHGSLQDFTKVELKSLFKELTFNMTVRMIAGKRYYGDDVSDEEEARQFKELMVEVASYVGASNPGDFLPILNWIDGCRFEKKLISLGKRTDEFVQRLVDEHRSKKDHLESRNTMIDHLLALQETEPDHHTDETIKGLALSLIFAGTDTSATTLEWAMSNLLNNPQILMKARDEIDTEVGSECLLDEPHLSKLPYLQNIFHETLRLYPATPLLAPHEASDDCIIGGYDVPRGTIVLVNAWAMHRDPTLWDDPLSFKPERFDNGGGEGFNNYKFTPFGMGRRACPGAGLAQRVVCLALGTLIQCFEWKRATDEEIDMTEGRGITMPKLEPLEAMCKARPIVKKIVA >Manes.16G059850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:22745436:22746845:1 gene:Manes.16G059850.v8.1 transcript:Manes.16G059850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHQRAMALCMAATPSAASQSAIAQHVHEAKATVAVDSRGDLEPRLSRPSSSMRVEKENDFSSRFHRRREVKPRHGAHRPSHTTGMACRFPIHGDLQNKPDHDLFHDHRVSAVSFVS >Manes.03G201300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31715769:31717300:-1 gene:Manes.03G201300.v8.1 transcript:Manes.03G201300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQSEKGSEPEDMSSKSTEGGENQQKKTCTDCGTTKTPLWRGGPAGPKSLCNACGIRSRKKKRDNLGLNRAANEKKSKKGNNHNSSSNNSSRIGDGLKQRLLALGREVLMQRSTVEKQRRKLGEEEQAAVLLMALSYGSVYA >Manes.05G188200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31000619:31005658:1 gene:Manes.05G188200.v8.1 transcript:Manes.05G188200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDQRIEGRIIGFDEYMNLVLDDAEEVNVKKKTRKSLGRILLKGDNITLMMNTGK >Manes.18G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10282541:10285362:1 gene:Manes.18G105400.v8.1 transcript:Manes.18G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETQKSAAPAPETPSTEEVVVEKPVAEKDHPPAPEPEPEAPEKPAPIVEEVVAVEADKSKETEDVKITQSASFKEETNVVGELPDAQKKALDELKQLVQEALNKHEFTAPPPPPPAKEEEKPAEPEKAEEKAEENAEVKEDVKVEVKEEEQTPDAPSTSEEPKAEEQPKSAEAETVSPPPPPPVEAKEKEKVEVQEEEKVEEKEEKKDEPLAEAVVVAEEVVAKVTTVDEDGAKTVEAIEETVVAVSSTPTAAEEPAPAKEAEAAPVEEPKAEETPAPPPPPPEEVFIWGIPLLGDERSDVILLKFLRARDFKVKDAFAMIKNTVRWRKEFGIDSLLEEDLGNELQKAVFMHGFDKEGHPVCYNVFGAFQDKELYQNCFADEEKRVKFLRWRIQFLEKSIRKLDFSPNGICTIVQVNDLKNSPGPFKRELRQATNQALALLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFAGPSKSAETLFKYVAPEQVPVQYGGLSREGELEFSVADSVTEVIVKPATKYTVEFSFSERCLLLWELRVVGSEVSYGAEFVPSAEDGYTVIISKTRKISPSDEPVICDSFKISESGEVVLTIDNQTSKKKKLLYRTKTKPLSE >Manes.17G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29445810:29449356:1 gene:Manes.17G087100.v8.1 transcript:Manes.17G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSHLPTCFLLILSLSAVFVSGSRRTLPIIPFDEGYTQLFGDNNLVIHKDGNKVHLSLDERTGSGFVSQDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDTYVKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSIGREERYSLWFDPAEDFHQYSILWTDSQIIFYVDNVPIREVKRTVSMGGDFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVTEFRDFVLHGCAVDPVEQISRCDIAESSQAIPTGVSTSQRIKMNSFRTKYMTYSYCYDQARYKVPPSECVINPQEAARLKSFDPVTFGGSRRHRGKHHRRSRSSQSEASI >Manes.10G028801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2938035:2938651:1 gene:Manes.10G028801.v8.1 transcript:Manes.10G028801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVFVLPPPDLARYVCPLANFCAIRYHFAAFISWILWGLFASLFLNFSLDLDFLFFHFVMESYSTFIKISDTISSFSFSLVGKMYMICLEYATKSRLQSFCFCLCSCTR >Manes.05G099033.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9200546:9202377:-1 gene:Manes.05G099033.v8.1 transcript:Manes.05G099033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGGYTVEVTGLSPKVTEKVLCDCFSFSGTIEHVEIVRSIDFPCTGYVTFKDAYDQETAVLLGGNIGRIIGATIMDQCVCITRWGHYADEFDFWRGSSFIKTSREEYETESTQPPQRSKYVPSAGEAVTASQEVAWKLLKAVDHKYHVSDMTKSAISATGRTAAAAAAANTR >Manes.09G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33819340:33820086:-1 gene:Manes.09G138700.v8.1 transcript:Manes.09G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDNEAPITCSYDDFEPFCKWRREQARDLLEVHGLQGFKKEQLKVRINNGTVLIITGERPLKNNIRCRFRKEIKVSKHCKADEIRAKFGSAGILRLSFPMKTSSASTKLRNESLPSNYLVDPETSACYRLKLAVAVSVPVLVMAIAAFLFKYCRCVQVET >Manes.01G004910.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2242781:2243957:1 gene:Manes.01G004910.v8.1 transcript:Manes.01G004910.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPENLLHYPFPSQKCTLGCPILDRCLNGGIPCNSITEIFAESGSARHSSAYNFPLRSTPSLPRRPFCFRSLLPTPNSLFPFAASTSSPIVSNLYSQAVIDEANCSSNYNNYNPCDNIYVQSVHSADQLLDIMPKIESFLVNSKTHFPVRADCD >Manes.01G004910.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2242781:2243957:1 gene:Manes.01G004910.v8.1 transcript:Manes.01G004910.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPENLLHYPFPSQKCTLGCPILDRCLNGGIPCNSITEIFAESGSARHSSAYNFPLRSTPSLPRRPFCFRSLLPTPNSLFPFAASTSSPIVSNLYSQAVIDEANCSSNYNNYNPCDNIYVQSVHSADQLLDIMPKIESFLV >Manes.18G083251.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7661283:7670999:1 gene:Manes.18G083251.v8.1 transcript:Manes.18G083251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTEALSKLGINGDPISSVPNLQKNLGLLSPEQIELAKMLVEMGQSHLFQHWPEPGVDDEEKKALFDQVARLNSSYPGGLASYIKTARELLADSKAGKNPFDGFTPSVPTGENLTFGDENFIKFEEAGVREAQNAAFVLVAGGLGERLGYNGIKVALPMETTTGTCFLQHYIESVLALQEASFRLTQGKCQRDIPFAIMTSDDTHARTLQLLESNSYFGMKSSQVKLLKQEKVACLEDNDARLALDPQNKYSIQTKPHGHGDVHSLLYSSGLLKIWEDAGLRWVLFFQDTNGLLFKAIPASLGVSATKQYHVNSLAVPRKAKEAIGGITRLTHADGRSMVINVEYNQLDPLLRATGHPDGDVNSETGYSPFPGNINQLILELGPYIEELKKTGGVIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPSARVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILKKVGVKVDDPVHQVFNGQEVEVWPRITWKPTWGLTFSEVKNKVTGSCSISQRSTMAIKGRNVFIKDLSLDGALVIESVDEAEVKVGGSVQNKGWILENVDYKDTSVPEEIRIRGFRINKIEQIEKHYGEPGKFGLTV >Manes.10G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29074468:29075902:-1 gene:Manes.10G121700.v8.1 transcript:Manes.10G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDICRQAEAELLCCADEAVLCKRCDEEVHAANKLSQKHQRLFLLKDSSSCSQLPLCDTCQEKKGYLFCLEDRALLCKQCDISTHSTSPYAMSHQRFLISGIKVTLESSAEHSRDQHSRMQMSMESSFYSPPAKESLAAIMMDSEAPSTETMNEIFENTDFSFYDFSEVGSSKIN >Manes.01G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30098880:30101321:-1 gene:Manes.01G100300.v8.1 transcript:Manes.01G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEWRFRRQLVLVPLPLQGHLNPMLQLGAILHSKGFSITIAHTHFNSPNPSDHPNFIFLPLSVGFSSFTPFRDDFIAFLSILNTNFETPLRELLTQMIQKQGQDDKLPCIIYDGLMYCVAEITQSLKLPGIVLRTSCAANLLAYYAFPGLRNEGYLPVQDSSLLNIVPGFHPLRFKDLPAHSFSLDVMLQLMATLRDTGSSSAIIWNTMDFLEESSLEQIQKQFQIPVFPIGPMHKIVTAASTSLQEEETSCVKWLDRQSQNTVIYISLGSVVIIDAEELGEISWGLAKSNQPFLWVVRPGSIQGSNWIELLPEGFREAIGERGCIIKWAPQKEVLAHAAVGGFWSHCGWNSTLESISEGVPMICRPCFGDQRVVARYVIHVWRVGLALENKLEKGEIERTIRSLMVDREGEEMRQRAMDLKEMAQLSINKGGSCYNSLNELVEFIASS >Manes.16G075800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:27955968:27956762:-1 gene:Manes.16G075800.v8.1 transcript:Manes.16G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHTQNSETESSSNSSLSSSSSSSPPSPSSSSNPNSDPVPSKLQRKLELQDPQSPSKKIKRLRDSNKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAILNFPELANSLPRPASLAPRDVQAAAAKAAQMDTFDQKFDSKTSSSMSSASTTSLSSLVSAIDLSTESEEELSEIVKLPSLETSYESSELRSDFLFDDSVDEWVYPPPWLQSAEDCYVGGCLFDELTPNIGSFGQGLLWDY >Manes.02G148800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11427794:11430025:-1 gene:Manes.02G148800.v8.1 transcript:Manes.02G148800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSFVTLILFALFACSALAQAPGAAPTSSPRKSPSAAPAPKTATPTPAPTQAPTQTPPTSAPAPAATVPAAAAVPTSAPTSSPPAPSAPTPTSGPSVALPPNALTPAENAPSGAGLNRNGAVLAVVGAACVWSLLL >Manes.03G151800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27984060:27985305:1 gene:Manes.03G151800.v8.1 transcript:Manes.03G151800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDCVTIRINEKLTGESLIFSDHCIFKKDVSKYVQTIRSLEERARKCYHDEFIEMMLIDGCFIIEFICKLRQGDKEDPLLRSNHMFSRFMLDLLLLENQLPFFILRELFVTSNVIPNQESTFINNILKIYESFLPGPLCDSSRAPENMIQIKNLLGLLHDHWQPSPARLEVYKKMRQAEERGFTRCATELKDVGIKFKSAVERNNLFDINFVNSTIKIPKIQIADKTECVLRNLIAYEQLTSSTSPKYFTDYMVFMDSLINSKKDVELLCRQGIIDNWKGDDETIAILFNKLGEEVFCERDIYADIVNNVNEHCKKRRNLWMAKLRHDYFQSPWSLISILAAIMLLLLAMTQTVYSVLFYYK >Manes.12G006800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:717353:723993:-1 gene:Manes.12G006800.v8.1 transcript:Manes.12G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSREEETLNPQKESDDRKQSLPTESSNISKLSSGSDRLKSRNNGRSKRELPVPKDGLPAGNIAAQTFTFRELAAATKNFRPESFIGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNRRMRIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTRPHGEQNLVTWARPLFNDRRKFSKLADPQLQGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYEPSSGGHGYRGSGDKDEKRREERGGQLPKNEEGGVSGHRWDLDGSEKEDSPRETARMLNRDLEREKAVAEAKMWGENWREKRRQSAQGSFDGSNG >Manes.13G102800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30163359:30166768:-1 gene:Manes.13G102800.v8.1 transcript:Manes.13G102800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDQVEVSFSKKWRPSMDKFTVPLNIDKRYIVRRGSSGLYLYTILERLEGWPDVDMDQIRVVFKLQNAKFHFMAVSDDRQRIMPMPLDRITGQPLAYPEAVLLTNPINPKLRGEVDDKYQYSCEDKDNKVHGWISNDPPVGFWMITPSNEFRAGGPIKQDLTSHVGPVVLNMFTSTHYAGKDLNTKYRNGEPWKKVLGPAYVYLNSISPSEDPQALWQDAKEQMSIEVKRWPYNFPQSEDFPSSDQRGSVLGELRVRDRYISERLIYASYAYVGLAAPGDVGSWQMEAKGYQFWTQANKKGIFSIENVRAGKYSLYAWVPGIIGDYKYSVDIIIQPGSKIELGVLVYDPPRNGPTLWEIGIPDRTATEFYVPEAYPTLMNKLYTDLPTDKFRQYGLWEKYADLYPKNDVIYNVGVSNYNQDWFFAHVTREIGNRTYEATTWQIIFELDNVNPIGDYTLQVALASATHSELQVRVNAHRRLFTTGLIGKDNAIARHGIHGLYWLFSIQIPYFQLLEGNNTIYLTQKRSESPFQGIMYDYIRLEVPAET >Manes.13G102800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30163359:30167024:-1 gene:Manes.13G102800.v8.1 transcript:Manes.13G102800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFSMCRKIPRTTSNSSALGVQLQVTNHQRVVIDNGIIQVTFSSPDGEVIGIKYKEIDNLLEIANKEQNRGYWDVVWNRPGEHIIIDKLQATDFEIIMENEDQVEVSFSKKWRPSMDKFTVPLNIDKRYIVRRGSSGLYLYTILERLEGWPDVDMDQIRVVFKLQNAKFHFMAVSDDRQRIMPMPLDRITGQPLAYPEAVLLTNPINPKLRGEVDDKYQYSCEDKDNKVHGWISNDPPVGFWMITPSNEFRAGGPIKQDLTSHVGPVVLNMFTSTHYAGKDLNTKYRNGEPWKKVLGPAYVYLNSISPSEDPQALWQDAKEQMSIEVKRWPYNFPQSEDFPSSDQRGSVLGELRVRDRYISERLIYASYAYVGLAAPGDVGSWQMEAKGYQFWTQANKKGIFSIENVRAGKYSLYAWVPGIIGDYKYSVDIIIQPGSKIELGVLVYDPPRNGPTLWEIGIPDRTATEFYVPEAYPTLMNKLYTDLPTDKFRQYGLWEKYADLYPKNDVIYNVGVSNYNQDWFFAHVTREIGNRTYEATTWQIIFELDNVNPIGDYTLQVALASATHSELQVRVNAHRRLFTTGLIGKDNAIARHGIHGLYWLFSIQIPYFQLLEGNNTIYLTQKRSESPFQGIMYDYIRLEVPAET >Manes.13G102800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30163359:30168536:-1 gene:Manes.13G102800.v8.1 transcript:Manes.13G102800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSCHGLGKTCLYFFFCSVTSHSNNNKSACSHNYTFSQILTSAHSAIILDHLLILYIFFFYKREIKIPRTTSNSSALGVQLQVTNHQRVVIDNGIIQVTFSSPDGEVIGIKYKEIDNLLEIANKEQNRGYWDVVWNRPGEHIIIDKLQATDFEIIMENEDQVEVSFSKKWRPSMDKFTVPLNIDKRYIVRRGSSGLYLYTILERLEGWPDVDMDQIRVVFKLQNAKFHFMAVSDDRQRIMPMPLDRITGQPLAYPEAVLLTNPINPKLRGEVDDKYQYSCEDKDNKVHGWISNDPPVGFWMITPSNEFRAGGPIKQDLTSHVGPVVLNMFTSTHYAGKDLNTKYRNGEPWKKVLGPAYVYLNSISPSEDPQALWQDAKEQMSIEVKRWPYNFPQSEDFPSSDQRGSVLGELRVRDRYISERLIYASYAYVGLAAPGDVGSWQMEAKGYQFWTQANKKGIFSIENVRAGKYSLYAWVPGIIGDYKYSVDIIIQPGSKIELGVLVYDPPRNGPTLWEIGIPDRTATEFYVPEAYPTLMNKLYTDLPTDKFRQYGLWEKYADLYPKNDVIYNVGVSNYNQDWFFAHVTREIGNRTYEATTWQIIFELDNVNPIGDYTLQVALASATHSELQVRVNAHRRLFTTGLIGKDNAIARHGIHGLYWLFSIQIPYFQLLEGNNTIYLTQKRSESPFQGIMYDYIRLEVPAET >Manes.13G102800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30163359:30168536:-1 gene:Manes.13G102800.v8.1 transcript:Manes.13G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMHLFAESRWLAIVLWFFFFFLVASSTKTQARKIPRTTSNSSALGVQLQVTNHQRVVIDNGIIQVTFSSPDGEVIGIKYKEIDNLLEIANKEQNRGYWDVVWNRPGEHIIIDKLQATDFEIIMENEDQVEVSFSKKWRPSMDKFTVPLNIDKRYIVRRGSSGLYLYTILERLEGWPDVDMDQIRVVFKLQNAKFHFMAVSDDRQRIMPMPLDRITGQPLAYPEAVLLTNPINPKLRGEVDDKYQYSCEDKDNKVHGWISNDPPVGFWMITPSNEFRAGGPIKQDLTSHVGPVVLNMFTSTHYAGKDLNTKYRNGEPWKKVLGPAYVYLNSISPSEDPQALWQDAKEQMSIEVKRWPYNFPQSEDFPSSDQRGSVLGELRVRDRYISERLIYASYAYVGLAAPGDVGSWQMEAKGYQFWTQANKKGIFSIENVRAGKYSLYAWVPGIIGDYKYSVDIIIQPGSKIELGVLVYDPPRNGPTLWEIGIPDRTATEFYVPEAYPTLMNKLYTDLPTDKFRQYGLWEKYADLYPKNDVIYNVGVSNYNQDWFFAHVTREIGNRTYEATTWQIIFELDNVNPIGDYTLQVALASATHSELQVRVNAHRRLFTTGLIGKDNAIARHGIHGLYWLFSIQIPYFQLLEGNNTIYLTQKRSESPFQGIMYDYIRLEVPAET >Manes.10G106200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25614574:25627061:1 gene:Manes.10G106200.v8.1 transcript:Manes.10G106200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLKRAKPALFYATTKQFTTKIFTPLILTGPRLPFSSPSRKSVNVGFCFVRHVSDGTPTDYDHIRANVNCPRCSSQMSILFSNRPLSITGREAGIYQAVNFCPNCKTAFYFRPFKLEPLQGSFIELGRVKGFNNSSSGNSSCKDSVGIADKDCDTNGKVEEVSDDMRLEKELPTPKEICKGLDEFVIGQDKAKKVLSVAVYNHYKRIYHSSRQKESGAHMGFNDIQNDDNEFVELEKSNVLLMGPTGSGKTLLAKSLARIVNVPFVIADATTLTQAGYVGEDVESILYKLLGASEFNVESAQRGIVYIDEVDKITKKAESSNIGRDVSGEGVQQALLKMLEGTVVNVPFPDKGHRKHHHGDGIQIDTKDILFICGGAFVDLEKTISERRQDASIGFGAPVRANMRTGRMTDAVVTSSLLESVESGDLVAYGLIPEFVGRFPVLVSLSALNEDQLVQVIMEPKNALGKQYKKMFNMNNVKLLFTDGALRLIAKKAMAKNTGARGLRAILENILTEAMYEK >Manes.10G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25614575:25627060:1 gene:Manes.10G106200.v8.1 transcript:Manes.10G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLKRAKPALFYATTKQFTTKIFTPLILTGPRLPFSSPSRKSVNVGFCFVRHVSDGTPTDYDHIRANVNCPRCSSQMSILFSNRPLSITGREAGIYQAVNFCPNCKTAFYFRPFKLEPLQGSFIELGRVKGFNNSSSGNSSCKDSVGIADKDCDTNGKVEEVSDDMRLEKELPTPKEICKGLDEFVIGQDKAKKVLSVAVYNHYKRIYHSSRQKESGAHMGFNDIQNDDNEFVELEKSNVLLMGPTGSGKTLLAKSLARIVNVPFVIADATTLTQAGYVGEDVESILYKLLGASEFNVESAQRGIVYIDEVDKITKKAESSNIGRDVSGEGVQQALLKMLEGTVVNVPFPDKGHRKHHHGDGIQIDTKDILFICGGAFVDLEKTISERRQDASIGFGAPVRANMRTGRMTDAVVTSSLLESVESGDLVAYGLIPEFVGRFPVLVSLSALNEDQLVQVIMEPKNALGKQYKKMFNMNNVKLLFTDGALRLIAKKAMAKNTGARGLRAILENILTEAMYEIPDKKTGNNCVSAVLVDEEAVGSVDAPGCGAKILHEDGALGCKLHEIKLKESMDNGGVAQDELSEGEVEFESRALSL >Manes.04G062900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:22262276:22265466:-1 gene:Manes.04G062900.v8.1 transcript:Manes.04G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCHASSFPNSSLILHFLSSLKISPSMYSSPFVLTFSLLLSLPILFLLAPRILPPRLSPISPSDEQDDLALFRRAAAAASSHPSSFSHLSSSKHSKLKIAFLFLTNTDLYFAPLWEKFFKSNEDLYNIYVHADPSVNITRPDGVFKNQFIQHAKRTYRASPTLVSAMRRLLATAILDDPANAYFAVLSQFCIPLHSFSYVYNSLISSKSFDLTSADSESTQYGVRVQYKSFIEINSKEPRLWKRYVARGRYSLMPEVPFEKFRVGSQFFVLTRRHAVMVVKDQSLWKKFKQPCYRADECYPEEHYFPTLLSMSDPKGCTHYTLTRVNWTGTTNGHPYTYRPVEISPVLIHELRKSNYSSSYLFSRKFSPDCLKPLMKIANKVIFQD >Manes.07G056000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6355527:6365241:-1 gene:Manes.07G056000.v8.1 transcript:Manes.07G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINGEISPQEDEEIGEVKTTPLSVQQLQKLVVVGYALTSKKRKSFLQPKLEVLARNKGILFVAIDLNRPLSDQGPFDVILHKLSGKGWCDAIEDYQQKHPEVVVLDPPDAIENLHNRQSMLQDVADLDLSDCQGKVCVPRQTVVNKDPLSIPHEVMKAGLKLPLVAKPLVVDGTAKSHELFLAYDEFSLSELEPPLVLQEFVNHGGVLFKIYIVGEAIKVVRRFSLPNISKRELAKVAGVFRFPRVSSAAASADDADLDPSVGELPPRSLLERLARELRLRLGLQLFNIDMIREHGTKDVFYVIDINYFPGYGKIPDYEHTFTDFLVGLVQSKYRKRPTT >Manes.07G056000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6356571:6359170:-1 gene:Manes.07G056000.v8.1 transcript:Manes.07G056000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVADLDLSDCQGKVCVPRQTVVNKDPLSIPHEVMKAGLKLPLVAKPLVVDGTAKSHELFLAYDEFSLSELEPPLVLQEFVNHGGVLFKIYIVGEAIKVVRRFSLPNISKRELAKVAGVFRFPRVSSAAASADDADLDPSVGELPPRSLLERLARELRLRLGLQLFNIDMIREHGTKDVFYVIDINYFPGYGKIPDYEHTFTDFLVGLVQSKYRKRPTT >Manes.07G056000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6356571:6359350:-1 gene:Manes.07G056000.v8.1 transcript:Manes.07G056000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHGYSFLLSSGLYLPHLKCPSLSCLFCYFVLKDYQQKHPEVVVLDPPDAIENLHNRQSMLQDVADLDLSDCQGKVCVPRQTVVNKDPLSIPHEVMKAGLKLPLVAKPLVVDGTAKSHELFLAYDEFSLSELEPPLVLQEFVNHGGVLFKIYIVGEAIKVVRRFSLPNISKRELAKVAGVFRFPRVSSAAASADDADLDPSVGELPPRSLLERLARELRLRLGLQLFNIDMIREHGTKDVFYVIDINYFPGYGKIPDYEHTFTDFLVGLVQSKYRKRPTT >Manes.09G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6600095:6610587:-1 gene:Manes.09G032800.v8.1 transcript:Manes.09G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSGCLTQSGNSNASSPPAESINGLKFGQKIYFENAGAGTPAKSAPGSSSSGSGAPARKVHGGQQQPPRCQVEGCKVDLSDAKAYYSRHKVCGMHSKSPKVTVAGLEQRFCQQCSRFHQLPEFDQGKRSCRRRLAGHNERRRKPPPGSVLSSRHSRLSSTIFDNSGRGGGFLVDFSAYSRLNGRDAWPAARSCERAPGNQASAAGRSIPHPAWQNNSQNPPSSIYMQGSASGPGFSGSGIPSGECFTGVSIANSSCALSLLSNQPWGPRNQASGLGMKDLVNPQGVPMAQSTAPHGAAVNQYPNPSWGFKASEASSSSHDLCPDLGLGQISQPLDSQFSGDLELSQQNTRQYMELDHTRAYNSSTQHMHWSL >Manes.16G134900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33574227:33577568:1 gene:Manes.16G134900.v8.1 transcript:Manes.16G134900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVSANGDHNDRIQNLIAARKSLKLSLDRSKALGFALEKAGPRLDEIKQRLPSLEAAVRPIRADKDALAAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPKNDLPGYLSVLKRLEEALRFLGDNCGLAIQWLEDIVEYLEDNTVADDRYLTNLKKSLKSLREFQSDDQKARLDGGLLDAALDKLEGEFRRLLTEHSVPLPMSSPSSLGQQAVIAPSPLPVTVIQKLQAILGRLIANNRLEKCISIYVEVRGSNVRASLQALDLDYLEISIAEFNDVQSIEGYIAQWGKHLEFAVKHLFEAEYKLCNDVFERIGLDVWMGCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFKSLNKLRLDFNRLFGGEACMEIQNLTRDLIKRVIDGASEIFWELLVQVELQRQIPPPLDGGVPRLVSFITDYCNKLLGDDYKPILTQVLVIHRSWKHERFQERLLVTEVLNVIKAIELNVETWTKAYEDTILSNLFAMNNHYHLYKHLKGTKLGDLLGDSWLREHEQYKDYYATIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKTFNEAFDEMYKKQSNWVMPERDLREKTCQLIVQAVLPVYRSYMQNYGPLVEQDGSSSKYTKYSVQVLEQMLASLFQPRPGRYGSFKGRQSNEKFNNGVADLRRTASAVV >Manes.16G134900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33573968:33579309:1 gene:Manes.16G134900.v8.1 transcript:Manes.16G134900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVSANGDHNDRIQNLIAARKSLKLSLDRSKALGFALEKAGPRLDEIKQRLPSLEAAVRPIRADKDALAAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPKNDLPGYLSVLKRLEEALRFLGDNCGLAIQWLEDIVEYLEDNTVADDRYLTNLKKSLKSLREFQSDDQKARLDGGLLDAALDKLEGEFRRLLTEHSVPLPMSSPSSLGQQAVIAPSPLPVTVIQKLQAILGRLIANNRLEKCISIYVEVRGSNVRASLQALDLDYLEISIAEFNDVQSIEGYIAQWGKHLEFAVKHLFEAEYKLCNDVFERIGLDVWMGCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFKSLNKLRLDFNRLFGGEACMEIQNLTRDLIKRVIDGASEIFWELLVQVELQRQIPPPLDGGVPRLVSFITDYCNKLLGDDYKPILTQVLVIHRSWKHERFQERLLVTEVLNVIKAIELNVETWTKAYEDTILSNLFAMNNHYHLYKHLKGTKLGDLLGDSWLREHEQYKDYYATIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKTFNEAFDEMYKKQSNWVMPERDLREKTCQLIVQAVLPVYRSYMQNYGPLVEQDGSSSKYTKYSVQVLEQMLASLFQPRPGRYGSFKGRQSNEKFNNGVADLRRTASAVV >Manes.16G134900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33574227:33577568:1 gene:Manes.16G134900.v8.1 transcript:Manes.16G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVSANGDHNDRIQNLIAARKSLKLSLDRSKALGFALEKAGPRLDEIKQRLPSLEAAVRPIRADKDALAAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPKNDLPGYLSVLKRLEEALRFLGDNCGLAIQWLEDIVEYLEDNTVADDRYLTNLKKSLKSLREFQSDDQKARLDGGLLDAALDKLEGEFRRLLTEHSVPLPMSSPSSLGQQAVIAPSPLPVTVIQKLQAILGRLIANNRLEKCISIYVEVRGSNVRASLQALDLDYLEISIAEFNDVQSIEGYIAQWGKHLEFAVKHLFEAEYKLCNDVFERIGLDVWMGCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFKSLNKLRLDFNRLFGGEACMEIQNLTRDLIKRVIDGASEIFWELLVQVELQRQIPPPLDGGVPRLVSFITDYCNKLLGDDYKPILTQVLVIHRSWKHERFQERLLVTEVLNVIKAIELNVETWTKAYEDTILSNLFAMNNHYHLYKHLKGTKLGDLLGDSWLREHEQYKDYYATIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKTFNEAFDEMYKKQSNWVMPERDLREKTCQLIVQAVLPVYRSYMQNYGPLVEQDGSSSKYTKYSVQVLEQMLASLFQPRPGRYGSFKGRQSNEKFNNGVADLRRTASAVV >Manes.16G134900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33574227:33579309:1 gene:Manes.16G134900.v8.1 transcript:Manes.16G134900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVSANGDHNDRIQNLIAARKSLKLSLDRSKALGFALEKAGPRLDEIKQRLPSLEAAVRPIRADKDALAAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPKNDLPGYLSVLKRLEEALRFLGDNCGLAIQWLEDIVEYLEDNTVADDRYLTNLKKSLKSLREFQSDDQKARLDGGLLDAALDKLEGEFRRLLTEHSVPLPMSSPSSLGQQAVIAPSPLPVTVIQKLQAILGRLIANNRLEKCISIYVEVRGSNVRASLQALDLDYLEISIAEFNDVQSIEGYIAQWGKHLEFAVKHLFEAEYKLCNDVFERIGLDVWMGCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFKSLNKLRLDFNRLFGGEACMEIQNLTRDLIKRVIDGASEIFWELLVQVELQRQIPPPLDGGVPRLVSFITDYCNKLLGDDYKPILTQVLVIHRSWKHERFQERLLVTEVLNVIKAIELNVETWTKAYEDTILSNLFAMNNHYHLYKHLKGTKLGDLLGDSWLREHEQYKDYYATIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKTFNEAFDEMYKKQSNWVMPERDLREKTCQLIVQAVLPVYRSYMQNYGPLVEQDGSSSKYTKYSVQVLEQMLASLFQPRPGRYGSFKGRQSNEKFNNGVADLRRTASAVV >Manes.S011118.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:83954:84766:-1 gene:Manes.S011118.v8.1 transcript:Manes.S011118.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.14G135600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9465441:9467485:1 gene:Manes.14G135600.v8.1 transcript:Manes.14G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLISSFFFLSLFSVSSSISIETLLEASDILSNSGYISMSLTLQLVSSSMIPHSPSLTIFAPSDSAFARSGQPSLSLLLFHFSPLPFHLRSLKFFGSGSKIPTLFANHTLIVTSGPSDGEGIFLNGVMINGSPIYDYESLVILGIDKFLDPDFEVSRQSQGTGSNLGCSFEAGGSFYSFREATEVLRLNGYSVIASFLDLQLLGFKDRPSLTIFAPVDEVMKGFVGNVDGYSSIFLRHVVPCKILWKDLVTVDDGVVFDTYLEGFQIRISRSGDILMLNEVPVSFPDMYENDWLVVHGVRGIY >Manes.07G024250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2632306:2635285:1 gene:Manes.07G024250.v8.1 transcript:Manes.07G024250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGFEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGQESHTAITEYDRTAKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRRSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.07G024250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2631419:2635285:1 gene:Manes.07G024250.v8.1 transcript:Manes.07G024250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGFEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGQESHTAITEYDRTAKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRRSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.07G024250.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2631368:2635580:1 gene:Manes.07G024250.v8.1 transcript:Manes.07G024250.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGFEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKTGQESHTAITEYDRTAKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRRSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.03G198600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31554793:31557136:-1 gene:Manes.03G198600.v8.1 transcript:Manes.03G198600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGYDQAEESAKKKKKIAVIGVSSIILVAMVVAVAVGVNGSSSEESGATGEISTSSKSIVAICQPTDYRETCETSLSKAASNTSDPQKLVQAGFQAAIDSLNEAIKKSETLQEVAKDPMAKQALDDCKELMDDAIDDLKTSFKEVGDFDASKLHEYVDNLKIWLSATITYQQTCLDGFENTTGPAGQKMKEILTLSSQLTSNGLAMVSGLADIIRDLNLAEFTGRRLLNDKGLPSWVTTEKQRLLAETAATIKADITVAQDGSGQFKTINEAVKNIPKKSNTTFVVYIKEGIYEEQVTISRSMTHVMMIGDGANKTKITGSLSYAGGVQTFKTATVSISGNHFIAKDIGFENSAGAIGHQAVALKVQSDMSIFYNCQMDGYQDTLYSHTYRQFYRDCTITGTIDFIFGDAAAVYQNCKLVVRKPLESQRCIITAQGRNNSREATGFVIQNCTISADPAYFRLRFRNAAYLGRPWRQFSRTIIMQSEIDDLIHPEGWMPWMGSVGLDTCSYSEFENRGPGADTTKRVTWKGIKKVTPEEAADFTAAKFIDGDLWIPATGVPYTPGMIKE >Manes.05G111600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11410598:11414252:1 gene:Manes.05G111600.v8.1 transcript:Manes.05G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTCVMNPRNFYIFTLLYLFSAFESISCASSSSDKIVLNCGSAGSFSFNGKTWTGDVGSKFLPAESRGSTISVASTLNNHQVPKIPYSTARFFRSHFTYSFPLSPGFKYIRLHFYPASYSILKGKTHVDFTVVSGPYTLLNSFSPSFMSDALELVYFSKVFVINLKENLLNLSFIPSPGAYAFVNGIEIQNFPTNFYNYTDNHLSLYHEPAFQMLHRVEVGSSGHPDWMDDSNYILGSMSGTVIFGPYGIKRAPWNMSEYAVSENFYLSARTMGSDDAINMSYNLTWTFLVDSGFKYLVRLHLCEISHEITGVNQRVFTVFINNKTAENSLDVIALAGAPLVAIYRDYIVAVPKGIGGRQQLWLALHPNPESNPMFKNAILNGVEIIKVSDENNNLALHFEPRIGERKKIRLSVILGAVLGCFGGIFLFFLAAYQWKKRNSCCYKVSQLRPVSRDPKKSNMAVLRSASICCQFTLADIRVATSNFSEAHVVGVGGFGKVYKGSIDGGVTQVAIKRKSPESHQGVQEFQTEIDLLSTFRHLNLVSLLGFCQENSELILVYDYMARGTLRDHLYKRCHPPLSWNQRLKICIGAARGIHYLHTGTKHSIIHRDIKSTNILLDEDMVAKVSDFGLSRLAPTTGSSSCVNTEVKGTIGYLDPEYYRSRKLSKKSDVYSFGVVLLEVLCARPLVVEEEEYKVSLAEWALRSHRSGNTGCIIDPFLRGKIDSQSLITFIEITVKCLADRRTQRPSMGDVLHSLELSLHLQDNTDARVDLEDQQVL >Manes.01G093300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29459217:29461728:1 gene:Manes.01G093300.v8.1 transcript:Manes.01G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWSNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNDALLSSDGQTKDAANLSHMAQWESARLEAEARLVRESKLRSHSFQHQISSTGYVSSSGSASTSASASAQPQRSLDVLKAWNGGWSKSSEGNTVGGPNIAGIGGDLESPTSSLTFSENAPPQIINSSGTGGQNSISLIELVGTSGSSETGIIKEEGEHDWKTLGNSDHHMPENSVSFTSSLHHPDMSISMEGPWTPESLKLNSSHLHIGNVMEEGFTNLLLSDTGVRTLSESGKDSDNSGSDYYEDNKNYWNSILNLVNSSPADSPIF >Manes.10G064901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9142768:9147471:-1 gene:Manes.10G064901.v8.1 transcript:Manes.10G064901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEDLEKKLNLAEPMELETHHQSNNKSSQTIILLRRFLLIQQRRAQAYAKLKRGFDEYMVSGGELAYQQLCSEVTLEFNDCSKQVLEMESLFLNPDCSRVDLAQLLRAVQTQEKQKLHLTATIQVLKKAGRPSERLVSHENCRFTKPMEHECVHVHEITEAAGTEEAEADAEYDNALKEAIRGVQDAVTAINEHLEEVKYEIDALEDE >Manes.08G160111.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39600080:39600470:-1 gene:Manes.08G160111.v8.1 transcript:Manes.08G160111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNKFYGGETLGLVDVMLGWISLWLGVIEEAAAVQVFDPEKFPVIAKWIQDFLQVSAVKESLPQGAFAWILCEFSQL >Manes.04G093000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29802519:29817180:-1 gene:Manes.04G093000.v8.1 transcript:Manes.04G093000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVAFYYYLTIFLAGIFCVESQYIAYNTTGSIVPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVLDSVMSALFEDKNRKFIYVEIAFFQRWWRQQSEVMKAKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHQFIKDEFGQLPRVGWQIDPFGHSSVQAYLLGAELGFDSLFFARIDYQDRAKRLREKSLEVIWQGSKSLGSTSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQERVNDFVAAALAQVNVTRTNHIMWLMGTDFRYQYANSWFRQMDKFIHYVNKDGRVNAFYSTPSIYTDAKYAADERWPLKTEDFFPYADHPNAYWTGYFTSRPAFKGYVRMISGYYLAARQLEFFKGRSASGPNTNKLADALAIAQHHDAVSGTERQHVAADYSLRLSIGYMEAEKLVASSLAFLAESGSSTRQEKTVTSFQQCPLLNISYCPPSEAILSDKKSLVIVVYNPLGWKREEVIRIPVSTEKVVVQDSSGREIESQLLPISSTTFNLRNKYVKAYLGRFPSETPRYWLAFSASIPPLGFSTYLVSSARQTGPSSTTSLVQTLEESTSNTIEVGQGSLKLLYSADVGKLTHYVNTRSLVTATIEQSYGYYSGNDGTDKDPQVASGAYVFRPNGTFPIKSENQVPLTFVRGPLLDEVHQQLNPWISQITRVYKGKEHAEVEFTIGPIPVDDGIGKEITTQITTTMRTNKTFYTDSNGRDFIKRIRDFRTDWDLQVNQPVAGNYYPINLGIYVQDNSSELSVLVDRSVGGSSLVDGQIELMLHRRLLHDDSRGVGEVLNETVCFSNGCEGLTIQGKYFVRIDHLGEGAKWRRTTGQEIYSPVLLAFTEQDGSNWMNSHTTTFSGIDPSYSLPDNVAIITLQELENGKVLLRLAHLYETEEDKDYSVVASVELKKLFPNKKISKVTELSLSANQERADMEKKRLVWKVAGAAEERKVARGGPVDPAKLVVELAPMEIRTFLIDLIHLQTFDS >Manes.04G093000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29802519:29817180:-1 gene:Manes.04G093000.v8.1 transcript:Manes.04G093000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVAFYYYLTIFLAGIFCVESQYIAYNTTGSIVPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVLDSVMSALFEDKNRKFIYVEIAFFQRWWRQQSEVMKAKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHQFIKDEFGQLPRVGWQIDPFGHSSVQAYLLGAELGFDSLFFARIDYQDRAKRLREKSLEVIWQGSKSLGSTSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQERVNDFVAAALAQVNVTRTNHIMWLMGTDFRYQYANSWFRQMDKFIHYVNKDGRVNAFYSTPSIYTDAKYAADERWPLKTEDFFPYADHPNAYWTGYFTSRPAFKGYVRMISGYYLAARQLEFFKGRSASGPNTNKLADALAIAQHHDAVSGTERQHVAADYSLRLSIGYMEAEKLVASSLAFLAESGSSTRQEKTVTSFQQCPLLNISYCPPSEAILSDKKSLVIVVYNPLGWKREEVIRIPVSTEKVVVQDSSGREIESQLLPISSTTFNLRNKYVKAYLGRFPSETPRYWLAFSASIPPLGFSTYLVSSARQTGPSSTTSLVQTLEESTSNTIEVGQGSLKLLYSADVGKLTHYVNTRSLVTATIEQSYGYYSGNDGTDKDPQASGAYVFRPNGTFPIKSENQVPLTFVRGPLLDEVHQQLNPWISQITRVYKGKEHAEVEFTIGPIPVDDGIGKEITTQITTTMRTNKTFYTDSNGRDFIKRIRDFRTDWDLQVNQPVAGNYYPINLGIYVQDNSSELSVLVDRSVGGSSLVDGQIELMLHRRLLHDDSRGVGEVLNETVCFSNGCEGLTIQGKYFVRIDHLGEGAKWRRTTGQEIYSPVLLAFTEQDGSNWMNSHTTTFSGIDPSYSLPDNVAIITLQELENGKVLLRLAHLYETEEDKDYSVVASVELKKLFPNKKISKVTELSLSANQERADMEKKRLVWKVAGAAEERKVARGGPVDPAKLVVELAPMEIRTFLIDLIHLQTFDS >Manes.04G093000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29802519:29817180:-1 gene:Manes.04G093000.v8.1 transcript:Manes.04G093000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFEDKNRKFIYVEIAFFQRWWRQQSEVMKAKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHQFIKDEFGQLPRVGWQIDPFGHSSVQAYLLGAELGFDSLFFARIDYQDRAKRLREKSLEVIWQGSKSLGSTSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQERVNDFVAAALAQVNVTRTNHIMWLMGTDFRYQYANSWFRQMDKFIHYVNKDGRVNAFYSTPSIYTDAKYAADERWPLKTEDFFPYADHPNAYWTGYFTSRPAFKGYVRMISGYYLAARQLEFFKGRSASGPNTNKLADALAIAQHHDAVSGTERQHVAADYSLRLSIGYMEAEKLVASSLAFLAESGSSTRQEKTVTSFQQCPLLNISYCPPSEAILSDKKSLVIVVYNPLGWKREEVIRIPVSTEKVVVQDSSGREIESQLLPISSTTFNLRNKYVKAYLGRFPSETPRYWLAFSASIPPLGFSTYLVSSARQTGPSSTTSLVQTLEESTSNTIEVGQGSLKLLYSADVGKLTHYVNTRSLVTATIEQSYGYYSGNDGTDKDPQVASGAYVFRPNGTFPIKSENQVPLTFVRGPLLDEVHQQLNPWISQITRVYKGKEHAEVEFTIGPIPVDDGIGKEITTQITTTMRTNKTFYTDSNGRDFIKRIRDFRTDWDLQVNQPVAGNYYPINLGIYVQDNSSELSVLVDRSVGGSSLVDGQIELMLHRRLLHDDSRGVGEVLNETVCFSNGCEGLTIQGKYFVRIDHLGEGAKWRRTTGQEIYSPVLLAFTEQDGSNWMNSHTTTFSGIDPSYSLPDNVAIITLQELENGKVLLRLAHLYETEEDKDYSVVASVELKKLFPNKKISKVTELSLSANQERADMEKKRLVWKVAGAAEERKVARGGPVDPAKLVVELAPMEIRTFLIDLIHLQTFDS >Manes.04G093000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29802519:29817180:-1 gene:Manes.04G093000.v8.1 transcript:Manes.04G093000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFEDKNRKFIYVEIAFFQRWWRQQSEVMKAKVKELVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHQFIKDEFGQLPRVGWQIDPFGHSSVQAYLLGAELGFDSLFFARIDYQDRAKRLREKSLEVIWQGSKSLGSTSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDILLFDYNVQERVNDFVAAALAQVNVTRTNHIMWLMGTDFRYQYANSWFRQMDKFIHYVNKDGRVNAFYSTPSIYTDAKYAADERWPLKTEDFFPYADHPNAYWTGYFTSRPAFKGYVRMISGYYLAARQLEFFKGRSASGPNTNKLADALAIAQHHDAVSGTERQHVAADYSLRLSIGYMEAEKLVASSLAFLAESGSSTRQEKTVTSFQQCPLLNISYCPPSEAILSDKKSLVIVVYNPLGWKREEVIRIPVSTEKVVVQDSSGREIESQLLPISSTTFNLRNKYVKAYLGRFPSETPRYWLAFSASIPPLGFSTYLVSSARQTGPSSTTSLVQTLEESTSNTIEVGQGSLKLLYSADVGKLTHYVNTRSLVTATIEQSYGYYSGNDGTDKDPQASGAYVFRPNGTFPIKSENQVPLTFVRGPLLDEVHQQLNPWISQITRVYKGKEHAEVEFTIGPIPVDDGIGKEITTQITTTMRTNKTFYTDSNGRDFIKRIRDFRTDWDLQVNQPVAGNYYPINLGIYVQDNSSELSVLVDRSVGGSSLVDGQIELMLHRRLLHDDSRGVGEVLNETVCFSNGCEGLTIQGKYFVRIDHLGEGAKWRRTTGQEIYSPVLLAFTEQDGSNWMNSHTTTFSGIDPSYSLPDNVAIITLQELENGKVLLRLAHLYETEEDKDYSVVASVELKKLFPNKKISKVTELSLSANQERADMEKKRLVWKVAGAAEERKVARGGPVDPAKLVVELAPMEIRTFLIDLIHLQTFDS >Manes.03G201600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31756987:31762958:1 gene:Manes.03G201600.v8.1 transcript:Manes.03G201600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKEGSKNGSYVGGFFQLFDWTAKSRKKLFSSKSDLPVPSKQGKRSNGNLPMTRLHLMDEDETGAGSSIRGSSDYSCASSVTDDDGCGAKAPGVVARLMGLDSLPTSSSDPNATPFLDTQSLMEASQRRKHSEYYHDPQIMYSGNLVKKEDGCPLNFLDSKPQVLSRRIEKFQTEILPPKSAKSIPITHHKLLSPIKGPGFVPSKTAAHIMEAAARIIEPSPQATKSKVSIGSSSVPLKVRDLKEKLEVAQKTPLVGSSAASLKARNLKEKVEAPNKTSRLAEPSTRRPVESNAAKYLKGQSLNKSWNSSVETKSIRAFPETEEGSGLKNKGKSVSLAIQAKVNVQKRESTNSGGNRGLVGHGDHCEVMSSQTFTSQPNSHRRFPKNSTGHVASGVLRQNNQKQNGHIDKDKPSKPAVGSHLQGRKALTGNSSSVPYNTSAKTVRSKIGTRKLGSDVTDSEMGLSNYSTKHIPRKKRSIDRNLHLEKNHAVDNKLIDKNQKEIESNRTIDRHFNCAEDSKSKGMDVVSFTFTAPLRYMPDYETSGQLKESGSFCMDKRSKRLLLDTDSIKSSSVGHNVIGGDALSALLEQKLRELTNSMESSRHNSGKIGSTFSPSFLQDLSPTINSGSTTPRLHNNTDQNMLLVGKLSSSGDSNVFSTDPMALRSKQMFQGVDELEECSSKSDDSRNLLDRTRPSPVSVLETFFSTESSSSLDSTECSSAEDLSDSASSKSAGTTAWKHANTLPVMNLVKSTKWEIEYVQKILFNLEFTFQEFALGWAREITSPLLFNQLESQKGGLVSDGVEARLERKVFFDCVNECLDKRCRRYVGGGYKAWTKGVTMVRRKDRLAEEVLKEISAWKDMGDCMVDELVDKDMSSQHGRWLDFEVDASILGMDIEGQIYSSLLDEVVADVFQS >Manes.03G201600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31756987:31762958:1 gene:Manes.03G201600.v8.1 transcript:Manes.03G201600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKEGSKNGSYVGGFFQLFDWTAKSRKKLFSSKSDLPVPSKQGKRSNGNLPMTRLHLMDEDETGAGSSIRGSSDYSCASSVTDDDGCGAKAPGVVARLMGLDSLPTSSSDPNATPFLDTQSLMEASQRRKHSEYYHDPQIMYSGNLVKKEDGCPLNFLDSKPQVLSRRIEKFQTEILPPKSAKSIPITHHKLLSPIKGPGFVPSKTAAHIMEAAARIIEPSPQATKSKVSIGSSSVPLKVRDLKEKLEVAQKTPLVGSSAASLKARNLKEKVEAPNKTSRLAEPSTRRPVESNAAKYLKGQSLNKSWNSSVETKSIRAFPETEEGSGLKNKGKSVSLAIQAKVNVQKRESTNSGGNRGLVGHGDHCEVMSSQTFTSQPNSHRRFPKNSTGHVASGVLRQNNQKQNGHIDKDKPSKPAVGSHLQGRKALTGNSSSVPYNTSAKTVRSKIGTRKLGSDVTDSEMGLSNYSTKHIPRKKRSIDRNLHLEKNHAVDNKLIDKNQKEIESNRTIDRHFNCAEDSKSKGMDVVSFTFTAPLRYMPDYETSGQLKESGSFCMDKRSKRLLLDTDSIKSSSVGHNVIGGDALSALLEQKLRELTNSMESSRHNSGKIGSTFSPSFLQDLSPTINSGSTTPRLHNNTDQNMLLVGKLSSSGDSNVFSTDPMALRSKQMFQGVDELEECSSKSDDSRNLLDRTRPSPVSVLETFFSTESSSSLDSTECSSAEGSKQCSSIQAQEILGSSFSNKFHYVDADTDLSDSASSKSAGTTAWKHANTLPVMNLVKSTKWEIEYVQKILFNLEFTFQEFALGWAREITSPLLFNQLESQKGGLVSDGVEARLERKVFFDCVNECLDKRCRRYVGGGYKAWTKGVTMVRRKDRLAEEVLKEISAWKDMGDCMVDELVDKDMSSQHGRWLDFEVDASILGMDIEGQIYSSLLDEVVADVFQS >Manes.03G201600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31757070:31762958:1 gene:Manes.03G201600.v8.1 transcript:Manes.03G201600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKEGSKNGSYVGGFFQLFDWTAKSRKKLFSSKSDLPVPSKQGKRSNGNLPMTRLHLMDEDETGAGSSIRGSSDYSCASSVTDDDGCGAKAPGVVARLMGLDSLPTSSSDPNATPFLDTQSLMEASQRRKHSEYYHDPQIMYSGNLVKKEDGCPLNFLDSKPQVLSRRIEKFQTEILPPKSAKSIPITHHKLLSPIKGPGFVPSKTAAHIMEAAARIIEPSPQATKSKVSIGSSSVPLKVRDLKEKLEVAQKTPLVGSSAASLKARNLKEKVEAPNKTSRLAEPSTRRPVESNAAKYLKGQSLNKSWNSSVETKSIRAFPETEEGSGLKNKGKSVSLAIQAKVNVQKRESTNSGGNRGLVGHGDHCEVMSSQTFTSQPNSHRRFPKNSTGHVASGVLRQNNQKQNGHIDKDKPSKPAVGSHLQGRKALTGNSSSVPYNTSAKTVRSKIGTRKLGSDVTDSEMGLSNYSTKHIPRKKRSIDRNLHLEKNHAVDNKLIDKNQKEIESNRTIDRHFNCAEDSKSKGMDVVSFTFTAPLRYMPDYETSGQLKESGSFCMDKRSKRLLLDTDSIKSSSVGHNVIGGDALSALLEQKLRELTNSMESSRHNSGKIGSTFSPSFLQDLSPTINSGSTTPRLHNNTDQNMLLVGKLSSSGDSNVFSTDPMALRSKQMFQGVDELEECSSKSDDSRNLLDRTRPSPVSVLETFFSTESSSSLDSTECSSAEGSKQCSSIQAQEILGSSFSNKFHYVDADTDLSDSASSKSAGTTAWKHANTLPVMNLVKSTKWEIEYVQKILFNLEFTFQEFALGWAREITSPLLFNQLESQKGGLVSDGVEARLERKVFFDCVNECLDKRCRRYVGGGYKAWTKGVTMVRRKDRLAEEVLKEISAWKDMGDCMVDELVDKDMSSQHGRWLDFEVDASILGMDIEGQIYSSLLDEVVADVFQS >Manes.04G151500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34733338:34734180:-1 gene:Manes.04G151500.v8.1 transcript:Manes.04G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWDDSGTVQITGKIMVLGIIILFIVVVFVLFLHLYAKWFWWRIEDPTPPSPSRRNRRRFVFTPGQDQVRRGLELSVLRSLPMVIFQSKDFKDGLECAVCLSEVAEGEKTRLLPKCNHGFHVDCIDMWFQSHSTCPLCRNSVAPLSECFSVDANDLQENIQSPDEILASVLSTESPNFPTNVLFWGDQTQVSTGGGGAGGGLEEGTSTEGPSFSSPSSAASSARQDEMMVIDIPVQASDNFPEEESKSPMPAQRLRSLKRLLSREKRVAPSSSSSSVDV >Manes.13G071000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10205898:10212335:-1 gene:Manes.13G071000.v8.1 transcript:Manes.13G071000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGQLHHLDMTQNTSESDFARIRDDELDSINTTSENHDGGSGDDQGPRSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQIKFWFQNKRTQMKTQHERQENNRLRSENEKFKADNMRYREALANASCPTCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPAVNYPLLSSPLPPRSLELGVGNFGALTEIGGEMYGVGDLLRSIGAHSDIDKPMIVELAVAAMEELFRMAQMGDPLWMSSLDGTDDVLNEDEYIRIFPRGIGPRPIGFKCEASRETAIVMMDYRNLVECLMDVNQWSTVFSGIVSRAMTVEVLSTGVAGNCNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVALDHIPTSAGVRCRRRPSGCLIQEMSSGYSKVTWVEHVEVDDRDVHSLYKQLVSSGHAFGAKRWVATLNRQCERLASSMATNIPTGDIGVITSEEGRKSMLKLAERMAKSFCSGVSASTAHTWTTLSGTGADDVRVMTRKSIDDLGRPPGIVLSAATSFWLPIPPKRVFDFLRDENSRSQWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDVVSMNVVLNGGDPDYVALLPSGFAILPDGTTTNTVHGGGIGEFNCASGSLLTVAFQILVDSVPTAKLSLGSIATVNNLIACSVERIKAALSGESV >Manes.13G071000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10205897:10212335:-1 gene:Manes.13G071000.v8.1 transcript:Manes.13G071000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVMIPARNMPSMIETHGNISGFGSSSGITLGQPNMMEGQLHHLDMTQNTSESDFARIRDDELDSINTTSENHDGGSGDDQGPRSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQIKFWFQNKRTQMKTQHERQENNRLRSENEKFKADNMRYREALANASCPTCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPAVNYPLLSSPLPPRSLELGVGNFGALTEIGGEMYGVGDLLRSIGAHSDIDKPMIVELAVAAMEELFRMAQMGDPLWMSSLDGTDDVLNEDEYIRIFPRGIGPRPIGFKCEASRETAIVMMDYRNLVECLMDVNQWSTVFSGIVSRAMTVEVLSTGVAGNCNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVALDHIPTSAGVRCRRRPSGCLIQEMSSGYSKVTWVEHVEVDDRDVHSLYKQLVSSGHAFGAKRWVATLNRQCERLASSMATNIPTGDIGVITSEEGRKSMLKLAERMAKSFCSGVSASTAHTWTTLSGTGADDVRVMTRKSIDDLGRPPGIVLSAATSFWLPIPPKRVFDFLRDENSRSQWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNDLLFFLMEPPPTLCMEEALANSIALVDLF >Manes.13G071000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10205898:10211904:-1 gene:Manes.13G071000.v8.1 transcript:Manes.13G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVMIPARNMPSMIETHGNISGFGSSSGITLGQPNMMEGQLHHLDMTQNTSESDFARIRDDELDSINTTSENHDGGSGDDQGPRSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQIKFWFQNKRTQMKTQHERQENNRLRSENEKFKADNMRYREALANASCPTCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPAVNYPLLSSPLPPRSLELGVGNFGALTEIGGEMYGVGDLLRSIGAHSDIDKPMIVELAVAAMEELFRMAQMGDPLWMSSLDGTDDVLNEDEYIRIFPRGIGPRPIGFKCEASRETAIVMMDYRNLVECLMDVNQWSTVFSGIVSRAMTVEVLSTGVAGNCNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVALDHIPTSAGVRCRRRPSGCLIQEMSSGYSKVTWVEHVEVDDRDVHSLYKQLVSSGHAFGAKRWVATLNRQCERLASSMATNIPTGDIGVITSEEGRKSMLKLAERMAKSFCSGVSASTAHTWTTLSGTGADDVRVMTRKSIDDLGRPPGIVLSAATSFWLPIPPKRVFDFLRDENSRSQWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDVVSMNVVLNGGDPDYVALLPSGFAILPDGTTTNTVHGGGIGEFNCASGSLLTVAFQILVDSVPTAKLSLGSIATVNNLIACSVERIKAALSGESV >Manes.13G071000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10205898:10212335:-1 gene:Manes.13G071000.v8.1 transcript:Manes.13G071000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVMIPARNMPSMIETHGNISGFGSSSGITLGQPNMMEGQLHHLDMTQNTSESDFARIRDDELDSINTTSENHDGGSGDDQGPRSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQIKFWFQNKRTQMKTQHERQENNRLRSENEKFKADNMRYREALANASCPTCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPAVNYPLLSSPLPPRSLELGVGNFGALTEIGGEMYGVGDLLRSIGAHSDIDKPMIVELAVAAMEELFRMAQMGDPLWMSSLDGTDDVLNEDEYIRIFPRGIGPRPIGFKCEASRETAIVMMDYRNLVECLMDVNQWSTVFSGIVSRAMTVEVLSTGVAGNCNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVALDHIPTSAGVRCRRRPSGCLIQEMSSGYSKVTWVEHVEVDDRDVHSLYKQLVSSGHAFGAKRWVATLNRQCERLASSMATNIPTGDIGVITSEEGRKSMLKLAERMAKSFCSGVSASTAHTWTTLSGTGADDVRVMTRKSIDDLGRPPGIVLSAATSFWLPIPPKRVFDFLRDENSRSQWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDVVSMNVVLNGGDPDYVALLPSGFAILPDGTTTNTVHGGGIGEFNCASGSLLTVAFQILVDSVPTAKLSLGSIATVNNLIACSVERIKAALSGESV >Manes.03G121416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24782508:24783045:-1 gene:Manes.03G121416.v8.1 transcript:Manes.03G121416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYPCSVFCLFFFLFWFGLVWFFLCSFLQILLAKELLIFKISWNGPHDLDSSSGGMPRSDSYAILLGTTRVSYKNVLCKDNLWIMALS >Manes.09G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16296531:16304867:-1 gene:Manes.09G074600.v8.1 transcript:Manes.09G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILRRSSSQAPRWAMSALTSIETVLHHQFSSAATCVVVPRNGAVSGESRPRSSSGFYNDNKWRGFHVQSRPLDFKASPTSQAEFAVDDYGFEEEKGYGGKGTASMNSGEEGLEIGKLGISEEIVSALSKRGITTLFPIQKAVLEPAMEGRDLFGRARTGTGKTLAFGIPIIDRIIQFNQKHGRGRNPLALVMAPTRELARQVEKEFRESAPSLDSICLYGGSPIMRQMKELDYGVDVVVGTPGRIIDLMKRGSLNLSEVQFVVLDEADQMLGVGFVDDIETIFQRLPQNRQSMLFSATMPSWIKNLIRNYLKDPLTIDLVGDSDKKLADGITLYSIASDMYEKASILGPLITEHAKGGKCIIFTETKREADRLAYAMARNFRCEALHGDISQSQRERTLSGFRNGNFNILVATDVAARGLDVPNVDLVIHYALPNCSETFVHRSGRTGRAGKKGTAILIYTEDQTRQVKIYEREIGCRFTQLPRIMVESGSMGMMNDIGSGGRFGGVRDRRFGDTGFSRAGGHGDYGSFGGARDRRFGDTGFSRVGGRGDYGSNHPRNPGFGHSSGRGQFSGQLNGSGSFGFNRNQPGNFSGSGFSERGRSDRSSTFADFGSGRSSGFGDSNSSRTSDGLNDPRSSRFGSFGDFQSDNSKNGRR >Manes.01G178900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35836804:35838170:-1 gene:Manes.01G178900.v8.1 transcript:Manes.01G178900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGTTISLSRELSHTSDASSSDHSPRSVAAVLSTPPSSSSSKRRTLNKPSPDNHHCIRSMVEVQRKPRGRPPGSKNKPKPPIIITKDTESAMKPAILEISAGSDIIDSIISFARRNRTGISIISATGSVSNVTLRQPIPHAPSLSLHGPFNLLDLSGSFLGSLALKQCSSAGSSSLHPSCCFGISLAGAQGQVFGGIVSGKVLAASQVVIVAATFLNPTFHRLPSDNDEAEETKPNVGGPANESCISSGMAVHGVSNPSLMNCQISPDIMHWGPPPRPHY >Manes.01G178900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35836736:35838170:-1 gene:Manes.01G178900.v8.1 transcript:Manes.01G178900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYGTTISLSRELSHTSDASSSDHSPRSVAAVLSTPPSSSSSKRRTLNKPSPDNHHCIRSMVEVQRKPRGRPPGSKNKPKPPIIITKDTESAMKPAILEISAGSDIIDSIISFARRNRTGISIISATGSVSNVTLRQPIPHAPSLSLHGPFNLLDLSGAQGQVFGGIVSGKVLAASQVVIVAATFLNPTFHRLPSDNDEAEETKPNVGGPANESCISSGMAVHGVSNPSLMNCQISPDIMHWGPPPRPHY >Manes.10G004400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:257838:260273:1 gene:Manes.10G004400.v8.1 transcript:Manes.10G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVAAQLNCAAGSYKAMEIRWATSKDYQKRVSSKLKSRRIPIFISTNPSDLNPRDLKELCITCNHSFHRFPTLDHNGKLVEAVDLQKLRVALSHSSVLVSVFCNLQDASVDDNQPEEHPLASPLMGLRDLLRTLPLPAVSPSNSQLVGFGRAVSDFGLTASIYDVMVVPSLRRMGIGKMIVKRIIRMLTNKEIYDIAAICSANERLFFKACGFGDDILGSTTMMYTRSVSTYPQSDQMAKRAGRKLLILPPVRKAP >Manes.10G004400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:257838:260273:1 gene:Manes.10G004400.v8.1 transcript:Manes.10G004400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVAAQLNCAAGSYKAMEIRWATSKDYQKRVSSKLKSRRIPIFISTNPSDLNPRDLKELCITCNHSFHRFPTLDHNGKLVEAVDLQKLRVALSHSSVLVSVFCNLQDASVDDNQPEEHPLASPLMGLRDLLRTLPLPAVSPSNSQLVGFGRAVSDFGLTASIYDVMNAHKQRNL >Manes.10G004400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:257838:260273:1 gene:Manes.10G004400.v8.1 transcript:Manes.10G004400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVAAQLNCAAGSYKAMEIRWATSKDYQKRVSSKLKSRRIPIFISTNPSDLNPRDLKELCITCNHSFHRFPTLDHNGKLVEAVDLQKLRVALSHSSVLVSVFCNLQDASVDDNQPEEHPLASPLMGLRDLLRTLPLPAVSPSNSQLVGFGRAVSDFGLTASIYDVMVVPSLRRMGIGKMIVKRIIRLFFKACGFGDDILGSTTMMYTRSVSTYPQSDQMAKRAGRKLLILPPVRKAP >Manes.10G004400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:257838:260273:1 gene:Manes.10G004400.v8.1 transcript:Manes.10G004400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVAAQLNCAAGSYKAMEIRWATSKDYQKRVSSKLKSRRIPIFISTNPSDLNPRDLKELCITCNHSFHRFPTLDHNGKLVEAVDLQKLRVALSHSSVLVSVFCNLQDASVDDNQPEEHPLASPLMGLRDLLRTLPLPAVSPSNSQLVGFGRAVSDFGLTASIYDVMVVPSLRRMGIGKMIVKRIIRMLTNKEIYDIAAICSANERSKTFDITTSQKSTMIL >Manes.10G004400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:257838:260273:1 gene:Manes.10G004400.v8.1 transcript:Manes.10G004400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAVAAQLNCAAGSYKAMEIRWATSKDYQKRVSSKLKSRRIPIFISTNPSDLNPRDLKELCITCNHSFHRFPTLDHNGKLVEAVDLQKLRVALSHSSVLVSVFCNLQDASVDDNQPEEHPLASPLMGLRDLLRTLPLPAVSPSNSQLVGFGRAVSDFGLTASIYDVMVVLQSMWFWR >Manes.03G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22605274:22613481:1 gene:Manes.03G102000.v8.1 transcript:Manes.03G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRQRRPLPSDNTSSSSEPYTKVSKPRRSSVGDEQDKGLGWFLPLLALGILRYMSATSNIIHDCDEVFNYWEPLHYLLYNSGFQTWEYSSQFALRSYLYINFHELVGLPASWLNGEEKVRVFYAVRLFLGLISVIADATLVVALSRKYGKRLASYALAMLCLTSGCFFASTSFLPSSFSMYAVSLSSGLFLLGKPAMAVVVAAVGVILGWPFSILAFLPVTVYSLARRFKQTFLAGAVTSIALLVLSVLVDYHYYGRWTSSVLNLLIYNVLGGGESHLYGIEGPLFYMRNGFNNFNFCFILALLFLGFLPIARRKYAPDMLIVISPLYIWLGFMSLQPHKEERFLYPIYPLVCVAASAVIESFPDLFRDRYNPYDNSMIVMIAKFLRPAFLGLILCASHARTFSLVNGYGAPIEVYKILEHHDDAGKGSVLCVGSEWHRFPSSFFVPDYISEIRWIDDGFRGLLPLPFNSTMGGTAAAPPYFNNKNKAADEQYLLDLETCTFLVELQLDRPYPSRGSDLSTWEPIAAYPYLDRELSPPMYRSFFIPHLWQEKNVFGLYKLYKRIPK >Manes.02G220000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34743151:34745950:-1 gene:Manes.02G220000.v8.1 transcript:Manes.02G220000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVTSQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTSGIQDSYALCRVFKKNGICNEMEDQHVQCSISLMESSSHGGSLINQDYETMSPDVPIPSSSCLEEEDKDDSWMQFITDDPWCSSNPLNMSAEEVSHHVTFTD >Manes.02G220000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34743151:34745950:-1 gene:Manes.02G220000.v8.1 transcript:Manes.02G220000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVTSQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTSGIQVDSYALCRVFKKNGICNEMEDQHVQCSISLMESSSHGGSLINQDYETMSPDVPIPSSSCLEEEDKDDSWMQFITDDPWCSSNPLNMSAEEVSHHVTFTD >Manes.15G030201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2386107:2386622:-1 gene:Manes.15G030201.v8.1 transcript:Manes.15G030201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARISLRPFKLSDVDDFLKWASDDRVTWNLRWNSITTREEALDHLEKVAIPHTWRRSICLDDRCRVNIKYTLAIEYWGHGIATIAVKMTVSSVFQDLPYLIRLEALVDVKNKGSQRVVEKVGFIKEGVLRKYAYFKGEFRDLVVYSFLLSDKIL >Manes.17G031300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22592954:22596933:-1 gene:Manes.17G031300.v8.1 transcript:Manes.17G031300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFPDDFKCPISLEIMSDPVILSSGHTFDRSSIQRWLDSGHRTCPISKLPLPDHPPLIPNHALRSLISNYTLISLPKSQPNPSPQLQPQTLISSLVSPSSDFNSKLDSLSQLTRLTKLDHALRRQLTDSGAVSAVLNCVNSPESVLQEKALSLLLNLSLDDDNKVGLVAEGAIGRVVTVLRVGSPDSRALACTILTSLAVVEVNKATIGAYPNAIQALVALLNYGKGREVKEAATALYAICSFPDNRRRAVKCGAVPILIRIGGMGLERAVEVLSVLVKCKEGREEIGRIGGCLRILVKVIKNGSERGIQCGLFTLNSLCCYSEEMCEGAKREGVLEICLALVEDDNEKVRRNASSLVRTLSGCRLVA >Manes.17G031300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22592954:22596933:-1 gene:Manes.17G031300.v8.1 transcript:Manes.17G031300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQFPDDFKCPISLEIMSDPVILSSGHTFDRSSIQRWLDSGHRTCPISKLPLPDHPPLIPNHALRSLISNYTLISLPKSQPNPSPQLQPQTLISSLVSPSSDFNSKLDSLSQLTRLTKLDHALRRQLTDSGAVSAVLNCVNSPESVLQEKALSLLLNLSLDDDNKVGLVAEGAIGRVVTVLRVGSPDSRALACTILTSLAVVEVNKATIGAYPNAIQALVALLNYGKGREVKEAATALYAICSFPDNRRRAVKCGAVPILIRIGGMGLERAVEVLSVLVKCKEGREEIGRIGGCLRILVKVIKNGSERGIQCGLFTLNSLCCYSEEMCEGAKREGVLEICLALVEDDNEKVRRNASSLVRTLSGCRLVA >Manes.08G140700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37697856:37698386:1 gene:Manes.08G140700.v8.1 transcript:Manes.08G140700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSKSKQLHEESTETTIKYRECRRNHAVLIGGYAADGCGEFTPKGDQGTKEALLCEACDCHRNFHRKELIKNGTAFPGSQHFPSPYGLRCPMGKERNVSGFYYPLPAVSSQPSPSPYCHHCWQRNVQSLVSDEESVIYNGSENEMQTKTGKRPKKGTHINAEQEKSGHYDLHR >Manes.09G083000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21915166:21919206:1 gene:Manes.09G083000.v8.1 transcript:Manes.09G083000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFSGCSNLVIFIFIFFFSRQTSMAEGYKNINPIYDLSSREEMVRLAGYGEDKLSTVLITGTVLCEACDEPHIQTWPVSGAMVTINCDTKEKWSKTTSAQVITDEYGDFQIDLPSHLHAIPNLDRICSVKVVRMPKNSACFPAFATKQMALKLSSDTNGVRSYTAGNITFLHLRSRPLLACTNRERSDEQLA >Manes.02G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1766247:1768947:1 gene:Manes.02G019300.v8.1 transcript:Manes.02G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEEAQLRRHSHPTNAEILITRPELNPSSTCPESTPDLDEPNLTESKPALNHSQIFKALEVVERDSLAIAESFTSLFASLRLALSELQVTSNSVDHMDCFSDASGRLQESVLDAATKGNRYINSCLRLNEEMKGIDSLAAQLKILRRNVDMLDTAVNRLLRFP >Manes.02G019300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1766303:1766861:1 gene:Manes.02G019300.v8.1 transcript:Manes.02G019300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEEAQLRRHSHPTNAEILITRPELNPSSTCPESTPDLDEPNLTESKPALNHSQIFKALEVVERDSLAIAESFTSLFASLRLALSEVTSNSVDHMDCFSDASGRLQESVLDAATKGNRYINSCLR >Manes.02G019300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1766126:1769852:1 gene:Manes.02G019300.v8.1 transcript:Manes.02G019300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEEAQLRRHSHPTNAEILITRPELNPSSTCPESTPDLDEPNLTESKPALNHSQIFKALEVVERDSLAIAESFTSLFASLRLALSEVTSNSVDHMDCFSDASGRLQESVLDAATKGNRYINSCLRLNEEMKGIDSLAAQLYPFYFLLVCFSFG >Manes.02G019300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1765613:1769851:1 gene:Manes.02G019300.v8.1 transcript:Manes.02G019300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEEAQLRRHSHPTNAEILITRPELNPSSTCPESTPDLDEPNLTESKPALNHSQIFKALEVVERDSLAIAESFTSLFASLRLALSEVTSNSVDHMDCFSDASGRLQESVLDAATKGNRYINSCLRLNEEMKGIDSLAAQLKILRRNVDMLDTAVNRLLRFP >Manes.02G019300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1766119:1769034:1 gene:Manes.02G019300.v8.1 transcript:Manes.02G019300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEEAQLRRHSHPTNAEILITRPELNPSSTCPESTPDLDEPNLTESKPALNHSQIFKALEVVERDSLAIAESFTSLFASLRLALSEVTSNSVDHMDCFSDASGRLQESVLDAATKGNRYINSCLRKILRRNVDMLDTAVNRLLRFP >Manes.14G166100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26516606:26521044:-1 gene:Manes.14G166100.v8.1 transcript:Manes.14G166100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDYRATMDFQKLFLQFLLLIFHFTFSSSKDTLAINQTIQDGHGHILISRENNFALGFFSPGSSRYRYLGIWYHKVREKTVIWVANRNHPINGSSGVLSINQYGNLILYSNHNQTVPVWSTNVSVEVADTSVAQLLDSGNLILVEDRNKIVWQSFDYPTDTLLPGMKVGMNQKTSHHFSLESWKSADDPRIGDYKLKLNPAGSPQLFLYSDIKYYWRSIPWPLKSYAGVWNYSFINNEDEIYMNYVIADASVILRIVLDSAGFLKHLVWHESAGKWKECLSEPINRCDVYGHCGAYGKCNSEHINRDFECACLPGYEPKSPRDWHILRDGSSGCVRKRLDSSPVCGLGEGFIKVPYVKIPDTSAAAWVALNMAPTDCEQECRRNCSCSAYANIDIAGKGTGCLAWYGELMDTVDNEDAGYDIYVRVDALELAEIARQSNGYLETKGMLAILVVSVVSAWFIIILFAYMWLKKKKKRSMRNKWSERLRDTIDDAYHKVNLAANEVGGSINHPDIAFFDFNIIIDATNNFSSANKLGQGGFGLVYKGQLSNGQEIAVKRLSKNSSQGIEEFKNEVILIAKLQHKNLVKLLGCCIQAEELMLIYEYLPNKSLDSLLFDESRSILDWGRRFNIIIGIARGILYIHQDSRLQIIHRDLKTSNILLDAEMNPKISDFGLARIFERDQIQEKTKRIVGTLGYMSPEYVVFGKFSTKSDVFSFGVILFEIITGKKSNGFCQEGWNLSLIGYIWQLWREERPLEIIDSSLKESYPTHEILRCIQIGLLCVQEDAMDRPTMSAVLLMLNSEITLPSPKQPAFVFIKSSNNSCKAVAEQFGSVNELTISEVVSR >Manes.08G042800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4198194:4201716:-1 gene:Manes.08G042800.v8.1 transcript:Manes.08G042800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEERPDGANVHNWHWAETDCLEWSRNLLSKLLSNLVILDGESNLFLKIKKLEKVDGEAYVNVRKGKIIPGYELNVSLSWEGEAKDSDGKSLLKVDGSVEIPYISDENADEDPEIKVIVKDDGPVGKVLKEAMVAKGKPVIEEKVRIYVQSMAEGGPAKDELETKKVAPKRQSTGVKEDAGSTALAGGEKEVKKESKKGFKTISLTEKFSCRARDMYEILMDENRWKGFTQSNAKISKEVGGEFSIFDGSVTGTNVDLQDGKLIVQKWRFGSWPDGIVSTVRLTLDEPEPGFTVVKLLHTDIPEEDRYGNATVVENTERGWRDLILHKIRAVFGFGI >Manes.08G042800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4198194:4201716:-1 gene:Manes.08G042800.v8.1 transcript:Manes.08G042800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYGEGDKRWIVEERPDGANVHNWHWAETDCLEWSRNLLSKLLSNLVILDGESNLFLKIKKLEKVDGEAYVNVRKGKIIPGYELNVSLSWEGEAKDSDGKSLLKVDGSVEIPYISDENADEDPEIKVIVKDDGPVGKVLKEAMVAKGKPVIEEKVRIYVQSMAEGGPAKDELETKKVAPKRQSTGVKEDAGSTALAGGEKEVKKESKKGFKTISLTEKFSCRARDMYEILMDENRWKGFTQSNAKISKEVGGEFSIFDGSVTGTNVDLQDGKLIVQKWRFGSWPDGIVSTVRLTLDEPEPGFTVVKLLHTDIPEEDRLVFIKKKKE >Manes.09G006100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1532874:1533062:-1 gene:Manes.09G006100.v8.1 transcript:Manes.09G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVVIMVLLALSLLLLPVVLPPLSPPPLLFLFVPVFIMSVLVLLALSPSHEPNIAVDNTV >Manes.13G084200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:14295807:14296484:-1 gene:Manes.13G084200.v8.1 transcript:Manes.13G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTSTTQLFQDFLGDFYSRRLLLQNPLHQSSTAAAPPAPVTSQDSSETYTGDNTFDANVVMVLSVLLCALVCSLGLNSIIRCALRCSNLAASQTSGDSSTRLANKGVNRKALKTFPTVNYSPDLKLPGLDTECVICLSEFTPGERVRLLPKCNHGFHVRCIDKWLSSHSSCPTCRNCLIETCQKIVGCNQATSSEPTRPVQETIVNIAPLEPEDLVRTYRGVS >Manes.12G123400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32845451:32847984:1 gene:Manes.12G123400.v8.1 transcript:Manes.12G123400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLHPSASFDAADTSFIYEGGAAVFVGAIELNNDAYQCQVGRATYIEKVQIWDSKTQKLSDFTTRFTSTVDIQRRLIYGSGFTFFLAPVGFQVPTNSAGGFLGLYNVTTINSPNQILHIEFDTNPDPDWDPQFEHVAININSLYSSTYTRWNVTLHSGDNTDVLINYNSRTKNLSVSWRFLLTGTSQEITSLSTIVDLREVLPEWVTIGFSSATRDYVERHAILSWEFTSSLDTDDGNQTNAKKIRLVVLLVLAACVLIGAVVIALSIWWTKKMMVGIKDTINLTSLNDDLERGRGLQRFSYNELALATNNFSTDTKLGEGEYYYSVHKGHLPRLKMSVAVTKYLGDLTQTKKEYITGMKIISRLRDQNLLQLLGWCHEEGKLLLVYEFLPKGSLDSHLFGNRTTTLPCDVRYRATLALASALVFLHEELEQCLVHGDVNASNITLDSNFNAKLCHFGLARLLNHELVSQNTGEASRESDVYGFGVVALEIATGRRVLDPIEDRPEISLVEWVWDHYRNKTLRLAVDRRLNMDFDEKQMEYLMIVGLWCAQQDHGSRPSMRQAIHVLNFNAVLPNLPIN >Manes.13G129900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33851299:33853181:-1 gene:Manes.13G129900.v8.1 transcript:Manes.13G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQRHFLLIHGACHGAWCWYKVATLLKSAGYKVTALDMAASGIHPMQADDIHSLSEYFEPLMQFMMSLQPQERVILVGHSMGGLCISSAMERFPEKISAGVFAAAFMPGPDLSLTTLSEEYARQVDSYMDTKYYFADGPNNPPSSILFGPKFLETKLYQLSPAEDLILANLLVRSVPFRRHADAQNIEVTREKYGSIPRIYIVCNQDKIIKEELQRWMIKNNPTDEVKVIADSDHMVMFSKPQELCSCLLEIAKNYL >Manes.05G152700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26300610:26301823:-1 gene:Manes.05G152700.v8.1 transcript:Manes.05G152700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCSKDEASVFCTADEAALCDACDHRVHHANKLASKHQRFSLLHPSSKNFPLCDEKRAFLFCQQDRAILCRDCDVPIHKANEHTQKHSRFLLTGVKLSATSALYIPSSSSAVTNGGDLVPDSKSQKQPSTNKRVPVSLPVSHHPSTAKTSTPNSTVNKTLSSNTTVTKALSSTTTGNKALFSDTTVNKAGDNLLINEGIGSTSSISEYLIETLPGWHVEDFLDIPSTAFGFCKPDDGILPFVGNDLGSNITPFSSETMGIWVPTTPTPLYPSQHYPQMGGQIGLKETKEATNMKANNRSWADDAFTVPQISPQSTGSKRSTPFW >Manes.17G067300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26777261:26781538:1 gene:Manes.17G067300.v8.1 transcript:Manes.17G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKNISLCIFIVCSVLVHVLSAELSLEAENQALKAFKNGITQDPLGALANWTDATHHCNWSGVACDPSSNLVISISLVDKQLHGQISPFLGNLSALQVLDLTLNSFTGNIPPQLGLCSQLTELTLYENSLSGPIPEELGNLQNLISVDLGDNTLSGNIPESICSCTSLQALGVIFNNLTGPIPRNIGNLVNLQLFVAYGNNLEGSIPVSVGGLQALQALDLSVNHLSGRIPGEIGNLSNLEYLQLFGNSLVGEIPAELGRCKSLAHLELYSNQLSGAIPSELGDLVYLETLRLYKNRLNSTIPLSLFNLTSLTHLGLSENQLTGIVPSEIGSLRSMEVLTLHSNKFTGEIPFTITSLSNLTYLSMGMNFLTGKLPSNIGVLYNLRNLSLNYNLLQGSIPSSISNCTQLLHIDLSYNRITGKIPWGLGQLHNLTKLSLGPNLMSGEIPDDLYNCSNLEILSLGENNFSGLLKSGIGKLYNLRTLKDGFNSFTGQIPPEIGNLSQLITLSLSGNRFSGFIPPTLSKLSSIQGLALQGNDLEGAIPETISELKNLTVLFLGLNRFTGPIPDVISKLEMLSDLDLHSNMLNGSIPKSMAALNRLISLDISHNHLTGSIPGSMLSSMKSMQIFLNLSYNLLEGDIPAELGSLEAVQAIDLSNNNLSGVIPETLGGCRNLFSLDLSGNKLSGPIPDKPLSHMRVLTSMNLSRNDLDGPIPESLAELKHLTTLDLSQNQLKGKIPESFANLSSLKQLNLSFNQLEDQVPETGIFKSISASSLIGNPGLCGSKSLQPCRKRSSLSLSKKTVWILISIGVVFTLLILAVLISILLRSARKPKAERIEDAEPEFTSKLKLTRFEPIELENATSFFSEDNIIGASSLSTVYKGQLEDGGIVAVKKLNLQQFPEESDRSFYREVKTLSQLRHNNLVKVIGYAWESTKLKALVLEYMHNGSLDSIIHDPHVDQSRWTLSERINVCISIASGLDYLHSGYDFPIVHCDLKPSNILLDSNWAAHVSDFGTARILGVHLQDGSSLSSVSSAFQGTIGYLAPEFAYMRKATTKVDVFSFGIIVMEFLTKRRPTQITEEDGLPISLSQVIEKALGNGIDGLLQVVDPMIHAPKDQERVAELFKLSLSCTNPNPDNRPNMNEVLSSLKKLS >Manes.05G102000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9577210:9578192:1 gene:Manes.05G102000.v8.1 transcript:Manes.05G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQLAELSSAFERMLRRRDLSLFLPLILGITGTNPDQETPQTTNLHERIILINPFTQGMMVIEGAGDLGSLLRELATKNGQPPASKASIEALPSVEISEIGDRDCECVICLEEWELGGLAKEMPCKHRFHAHCIEKWLGIHGSCPVCRYKMPVDEVDLGKQREEEEEEEEGRERRRFEREIWVGFSFNRNRRSEESNQPSANSNDDSFSSPGLDQVMEG >Manes.03G088200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19188156:19191162:-1 gene:Manes.03G088200.v8.1 transcript:Manes.03G088200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQIPISTKISLYLLSLLFLSKAHLSFSLPNSSVLQSVTVTDVHDLLPLYGLPRGLLPDNVKSYTLSPSGSFSIQLTTPCYVHFDQLVYYDKEMKGKLSYGAVHDVSGIQAKKLFIWLSVSGIEADYDDGMIEFFVGSLSQKLPAKQFEDIPACKSKASLRTNLESM >Manes.03G088200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19188158:19191162:-1 gene:Manes.03G088200.v8.1 transcript:Manes.03G088200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQIPISTKISLYLLSLLFLSKAHLSFSLPNSSVLQSVTVTDVHDLLPLYGLPRGLLPDNVKSYTLSPSGSFSIQLTTPCYVHFDQLVYYDKEMKGKLSYGAVHDVSGIQAKKLFIWLSVSGIEADYDDGMIEFFVGSLSQKLPAKQFEDIPACKSKASLRTNLESM >Manes.03G088200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19188158:19191162:-1 gene:Manes.03G088200.v8.1 transcript:Manes.03G088200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQIPISTKISLYLLSLLFLSKAHLSFSLPNSSVLQSVTVTDVHDLLPLYGLPRGLLPDNVKSYTLSPSGSFSIQLTTPCYVHFDQLVYYDKEMKGKLSYGAVHDVSGIQAKKLFIWLSVSGIEADYDDGMIEFFVGSLSQKLPAKQFEDIPACKSKASLRTNLESM >Manes.03G088200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19188156:19191162:-1 gene:Manes.03G088200.v8.1 transcript:Manes.03G088200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQIPISTKISLYLLSLLFLSKAHLSFSLPNSSVLQSVTVTDVHDLLPLYGLPRGLLPDNVKSYTLSPSGSFSIQLTTPCYVHFDQLVYYDKEMKGKLSYGAVHDVSGIQAKKLFIWLSVSGIEADYDDGMIEFFVGSLSQKLPAKQFEDIPACKSKASLRTNLESM >Manes.16G030901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3723054:3729173:1 gene:Manes.16G030901.v8.1 transcript:Manes.16G030901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSIFRIFKMSTFNSSEDVASSKATTVSQPSSTSQPVSSSTHSQLEPSTPINPSHSLPATTNPTASLSPISNLGKKRKLTSTVWDHFEKVHHSGNDWAICNHCKTSLKANSKNGTKSLHNHVEKCAKKGNQDIVKCLEKQKQISMDIRNDGKVHFGNFTFDQEKSRRELACAIILHEYPLRITEHVGFRKFVTSLQPLFKMVSRNTIRKDILDIYDLEFNKLYKSLEKLKSRIAITTDMWTSNQKKGYMSITAHYIDDSWVLQNRILRFVYVPTPHTKEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIIIDKLFGDLLCDGACKDGLATIESSLSRIRDSIVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFPRLKNREKSYKDVHTYDDWEMAKRVAEKLETFHSITEIFFGRKYPTSNCFFISICQLRNSIVEWMSSDDDVIKSMSARMFENFEKYWSVVHIVLAVAVILDPRYKIKVVEYYFPMIYGDDASNEIEQVKVTCYNLLNDYQSRAFKPKSQSSSSVPPILVSENQGSLKKDFSNLVAFLNSSSTSVHVKSELDHYLEEPVLPWMQEFDILNWWKTNGIKYPTLQMIARDFFAVHVSSVASEFAFSTGGRVVSIHRSRLHEDTLEALMCSQNWLWLEIEAGCSNESISCLWDAEDDVN >Manes.05G155800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26798015:26803266:1 gene:Manes.05G155800.v8.1 transcript:Manes.05G155800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGPVICPAVRAKQAGVYTLPVNVPLVNARLVRNGLWRFKGINGYKSKVTIFSPQLNAQGRYAVQCCLSSSSNNGGTSENFNENDGDYVNSSIVEAVEVKSGADGFMIKMRDGRHLRCVHNNPQCGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAALRNVQISRPTMYQVVKEMIEKMGYEVRLVRVTRRVHEAYFAQLYLTKVGNEKECVSFDLRPSDAINIAVRCKVPIQVNRYLAYSDGMRVIESGKLAQSPASDGLLFTELDRPTGQPCLDTKEFNLVRNMISAVIEERYKDAAQWRDELGQFRAKRNLKKYT >Manes.05G155800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26798413:26802891:1 gene:Manes.05G155800.v8.1 transcript:Manes.05G155800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGPVICPAVRAKQAGVYTLPVNVPLVNARLVRNGLWRFKGINGYKSKVTIFSPQLNAQGRYAVQCCLSSSSNNGGTSENFNENDGDYVNSSIVEAVEVKSGADGFMIKMRDGRHLRCVHNNPQCGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAALRNVQISRPTMYQVVKEMIEKMGYEVRLVRVTRRVHEAYFAQLYLTKVGNEKECVSFDLRPSDAINIAVRCKVPIQVNRYLAYSDGMRVIESGKLAQSPASDGLLFTELDRPTGQPCLDTKEFNLVRNMISAVIEERYKDAAQWRDELGQFRAKRNLKKYT >Manes.05G155800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26798031:26802891:1 gene:Manes.05G155800.v8.1 transcript:Manes.05G155800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGPVICPAVRAKQAGVYTLPVNVPLVNARLVRNGLWRFKGINGYKSKVTIFSPQLNAQGRYAVQCCLSSSSNNGGTSENFNENDGDYVNSSIVEAVEVKSGADGFMIKMRDGRHLRCVHNNPQCGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAALRNVQISRPTMYQVVKEMIEKMGYEVRLVRVTRRVHEAYFAQLYLTKVGNEKECVSFDLRPSDAINIAVRCKVPIQVNRYLAYSDGMRVIESGKLAQSPASDGLLFTELDRPTGQPCLDTKEFNLVRNMISAVIEERYKDAAQWRDELGQFRAKRNLKKYT >Manes.05G155800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26798030:26802892:1 gene:Manes.05G155800.v8.1 transcript:Manes.05G155800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGPVICPAVRAKQAGVYTLPVNVPLVNARLVRNGLWRFKGINGYKSKVTIFSPQLNAQGRYAVQCCLSSSSNNGGTSENFNENDGDYVNSSIVEAVEVKSGADGFMIKMRDGRHLRCVHNNPQCGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAALRNVQISRPTMYQVVKEMIEKMGYEVRLVRVTRRVHEAYFAQLYLTKVGNEKECVSFDLRPSDAINIAVRCKVPIQVNRYLAYSDGMRVIESGKLAQSPASDGLLFTELDRPTGQPCLDTKEFNLVRNMISAVIEERYKDAAQWRDELGQFRAKRNLKKYT >Manes.05G155800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26798023:26803141:1 gene:Manes.05G155800.v8.1 transcript:Manes.05G155800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQGPVICPAVRAKQAGVYTLPVNVPLVNARLVRNGLWRFKGINGYKSKVTIFSPQLNAQGRYAVQCCLSSSSNNGGTSENFNENDGDYVNSSIVEAVEVKSGADGFMIKMRDGRHLRCVHNNPQCGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAALRNVQISRPTMYQVVKEMIEKMGYEVRLVRVTRRVHEAYFAQLYLTKVGNEKECVSFDLRPSDAINIAVRCKVPIQVNRYLAYSDGMRVIESGKLAQSPASDGLLFTELDRPTGQPCLDTKEFNLVRNMISAVIEERYKDAAQWRDELGQFRAKRNLKKYT >Manes.09G186700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37365956:37367335:1 gene:Manes.09G186700.v8.1 transcript:Manes.09G186700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKHDFSSSFSWWSGTHNRPLQSPWLQATLSDLDEKIQSMVNLIQDDGDSFVERAERFYKRRPELLKIVQDMYNSYLRLAEKYDQLRSAESIPASYFRPSPSSSSTLKLLRNTNKENTSQSQPVHKEGSDPETQASSFEQYSFHEPVSYKQCKTEEDKNGSNLNEGGLNQIITGGDSEENEKIWNQTRQKLSKLIEDNLKEQNELIRRNEEKREVIKQLGAQIIRLMEENRALKSCLPGYKMDMRRSESRASKLKGLNCIGKFQS >Manes.18G144860.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21569942:21582775:1 gene:Manes.18G144860.v8.1 transcript:Manes.18G144860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVLECYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGRASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.07G038600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4573865:4581272:1 gene:Manes.07G038600.v8.1 transcript:Manes.07G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSRVSFKEMTRQKIQIKKIDNNTARQVTFSKRRRGLFKKAYELSTLCDVEIALMVFSATGKLFEYASSSMNQVIERRNLHPKNVGKLDQTSLELQLKNGNIAALSKEIEERIRQLRQMRGEELHGLNIEELQQLENLLERSLKRVSETKGEILANEINALKSEGAQLKEENERLKKQMMKERGGTMHLFEAGGQSSDSMITNTSSSVDPAQDFEDSYTLLRLGLPFSD >Manes.15G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2808871:2811694:1 gene:Manes.15G035500.v8.1 transcript:Manes.15G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSSLSVFLANSVPQFRSYQVETRIRVLNQKTLRFYERLGSRYSSTRLNAAGLSEIEPDLNEDPIDRWATPGIDAEDFKYGEYDEHHTFYEGEEKRTFWELIAEDIKAVEPPTGFQGFISWLFLPAVAAGMYFNVPGEYLFIGAGLFALIFCIIEMDKPDKPHHFEPQIYNMERGARDKLINDYNTMSIWDFNEKYGDLWDFTIQKDDITKR >Manes.05G034000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2868880:2875944:1 gene:Manes.05G034000.v8.1 transcript:Manes.05G034000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPPHSRRLSAIPSGLQTSQLRSQPSQQSLSQGISSQHGVFSQLSQTSFDEALANDQRFSSQERENSVKKTSFLPPVSNACEESQMPISRSTSTLMRKWNPVSIPHHKCQILEELEHRVGMMETSLNKFGMILGSVQSDVMQVNKGTKEVSLEMEGIRQKLIVLDNSLQLMIKGQEETKFSLDGNLKSISDRLSKDIYQDKSQQIFSVLSALKKQMEASLLKLQNDLCMTFTKVMQATACSLKIPSQGSPSITVLPPKVTGCYTIPQRKQEPLRNPAMPPKACGQAIVCPKIEVGAWNTVRPEKATQRAYHKEEKCKGVSSFQKEKQCSVIIESDEEIDGGFSCLIAEKETGIGKYMIEATEESERILRRARRRKRKYCNTIIIN >Manes.05G034000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2868950:2875760:1 gene:Manes.05G034000.v8.1 transcript:Manes.05G034000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPPHSRRLSAIPSGLQTSQLRSQPSQQSLSQGISSQHGVFSQLSQTSFDEALANDQRFSSQERENSVKKTSFLPPVSNACEESQMPISRSTSTLMRKWNPVSIPHHKCQILEELEHRVGMMETSLNKFGMILGSVQSDVMQVNKGTKEVSLEMEGIRQKLIVLDNSLQLMIKGQEETKFSLDGNLKSISDRLSKDIYQDKSQQIFSVLSALKKQMEASLLKLQNDLCMTFTKATACSLKIPSQGSPSITVLPPKVTGCYTIPQRKQEPLRNPAMPPKACGQAIVCPKIEVGAWNTVRPEKATQRAYHKEEKCKGVSSFQKEKQCSVIIESDEEIDGGFSCLIAEKETGIGKYMIEATEESERILRRARRRKRKYCNTIIIN >Manes.05G034000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2868950:2875760:1 gene:Manes.05G034000.v8.1 transcript:Manes.05G034000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPPHSRRLSAIPSGLQTSQLRSQPSQQSLSQGISSQHGVFSQLSQTSFDEALANDQRFSSQERENSVKKTSFLPPVSNACEESQMPISRSTSTLMRKWNPVSIPHHKCQILEELEHRVGMMETSLNKFGMILGSVQSDVMQVNKGTKEVSLEMEGIRQKLIVLDNSLQLMIKGQEETKFSLDGNLKSISDRLSKDIYQDKSQQIFSVLSALKKQMEASLLKLQNDLCMTFTKVMQATACSLKIPSQGSPSITVLPPKVTGCYTIPQRKQEPLRNPAMPPKACGQAIVCPKIEVGAWNTVRPEKATQRAYHKEEKCKGVSSFQKEKQCSVIIESDEEIDGGFSCLIAEKETGIGKYMIEATEESERILRRARRRKRKYCNTIIIN >Manes.05G034000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2868925:2875940:1 gene:Manes.05G034000.v8.1 transcript:Manes.05G034000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPPHSRRLSAIPSGLQTSQLRSQPSQQSLSQGISSQHGVFSQLSQTSFDEALANDQRFSSQERENSVKKTSFLPPVSNACEESQMPISRSTSTLMRKWNPVSIPHHKCQILEELEHRVGMMETSLNKFGMILGSVQSDVMQVNKGTKEVSLEMEGIRQKLIVLDNSLQLMIKGQEETKFSLDGNLKSISDRLSKDIYQDKSQQIFSVLSALKKQMEASLLKLQNDLCMTFTKVMQATACSLKIPSQGSPSITVLPPKVTGCYTIPQRKQEPLRNPAMPPKACGQAIVCPKIEVGAWNTVRPEKATQRAYHKEEKCKGVSSFQKEKQCSVIIESDEEIDGGFSCLIAEKETGIGKYMIEATEESERILRRARRRKRKYCNTIIIN >Manes.05G034000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2868950:2875760:1 gene:Manes.05G034000.v8.1 transcript:Manes.05G034000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPPHSRRLSAIPSGLQTSQLRSQPSQQSLSQGISSQHGVFSQLSQTSFDEALANDQRFSSQERENSVKKTSFLPPVSNACEESQMPISRSTSTLMRKWNPVSIPHHKCQILEELEHRVGMMETSLNKFGMILGSVQSDVMQVNKGTKEVSLEMEGIRQKLIVLDNSLQLMIKGQEETKFSLDGNLKSISDRLSKDIYQDKSQQIFSVLSALKKQMEASLLKLQNDLCMTFTKATACSLKIPSQGSPSITVLPPKVTGCYTIPQRKQEPLRNPAMPPKACGQAIVCPKIEVGAWNTVRPEKATQRAYHKEEKCKGVSSFQKEKQCSVIIESDEEIDGGFSCLIAEKETGIGKYMIEATEESERILRRARRRKRKYCNTIIIN >Manes.05G034000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2868950:2874440:1 gene:Manes.05G034000.v8.1 transcript:Manes.05G034000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKINKACDLNSISVLPPHSRRLSAIPSGLQTSQLRSQPSQQSLSQGISSQHGVFSQLSQTSFDEALANDQRFSSQERENSVKKTSFLPPVSNACEESQMPISRSTSTLMRKWNPVSIPHHKCQILEELEHRVGMMETSLNKFGMILGSVQSDVMQVNKGTKEVSLEMEGIRQKLIVLDNSLQLMIKGQEETKFSLDGNLKSISDRLSKDIYQDKSQQIFSVLSALKKQMEASLLKLQNDLCMTFTKATACSLKIPSQGSPSITVLPPKVTGCYTIPQRKQEPLRNPAMPPKACGQAIVCPKIEVGAWNTVRPEKATQRAYHKEEKCKGVSSFQKEKQCSVIIESDEEIDGGFSCLIAEKETGIGKYMIEATEESERILRRARRRKRKYCNTIIIN >Manes.S025216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:346444:347818:-1 gene:Manes.S025216.v8.1 transcript:Manes.S025216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.17G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3637238:3644241:-1 gene:Manes.17G006500.v8.1 transcript:Manes.17G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLASSQYLSLFLTTNHYRSKQPFPPSLPNGVQNIRNHYRHNLQKSFAPIRVFKPDTRFLPMDSQESCTTRIISAKSIGSDGPVASSSTPSQSVFGILHLLVSLGIILATDKLLKKAFVAAAIKFPSALFGMFCIFSVLIILDSTIPAAATSLMNFFQPALLFIQRWLPLFYVPSLVVLPLSVKDIPAASGAKICFIIAGGWFASLCVAGFTAIAVRKIVKTEMTGAEPMEKPSPFSSIEIWSWSGIFLLSFIAALFYPTSLGTTARTCLPFLLSSTVLGYMVGSGLPSSVKNVFHPIICCTLSAELAALAFGYLSKSGLDPVLGYYLTKASSNPGAGDVLMGFLGSVILSFAFSMFKQRRLVKRHAAEIFTSVIVSTIFSLYSTALVGRLVGLEPTLTVSILPRCITVALALSIVSLFEGANSSLTAAVVVVTGLIGANFVQTTLDKLKFQDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSVPAVRQSLIAIVG >Manes.S032716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:806935:807360:1 gene:Manes.S032716.v8.1 transcript:Manes.S032716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.10G102800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25080492:25083966:-1 gene:Manes.10G102800.v8.1 transcript:Manes.10G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGTSNNQESGEEEKMSKSLKKFLLIVNSALLATGCCVGPLVQRLYFLKGGKSVWISCFLETAGWPFIIFPLIVSYFFRRRKNSSMTKLFYITPHLFLACAVIGVLTGLDDFLAAYGVSLLPVSTYSLIIATQLGFTAAFAFILVKQKFTPFTINAIFLLTIGAVILVLHASSDRPAHETNKQYFIGFFMTLGASVLYGFVLPLMELTYKKAKQTITYTLVMEMQMVLSFFATAFCAIGMLLHKDFAAIPREAREFELGKATYYLVLICTAVFWQFFFLGAIGVVFCHSSLLSGIIIAALLPVTEILAVLFYHEKFHAEKAISLGLSLWGFISYFYGEFQQNKKLRIRLQN >Manes.04G127100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32953202:32959367:-1 gene:Manes.04G127100.v8.1 transcript:Manes.04G127100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGDSINVNYKSLVDSTLKEDYDQIEMERIIYCAAASLYSPSPKLRPTMGQIVRTLEGKMPHKELWVVEGTQSASNDDYKAYELKTYKFSELAKATGHFTNGRLLGGGGFGSVYRGSLPRGKDVAIKKLNYEISGQEQEEFEKEVNAVGIVRHGNLVKLVGYCNEDSDRLLVLEFVTNKSLRYHLNDELRRSNLKWSERMKIAKGSAKGLAYLHEGCNSKIIHRDIKAENILLDENCKPKIADFGLAKFFPITNSVTHISSHWKGTYVYADPENYNTQQGESIQQLSDKSDVYSFGVVLLELISGRKINDEHKVDIVKWAKPLMIKGDSVEINYSSLVDSTLKGHYDEKEIEIMICCAAASVYRPAKLRPRMKQIVEALEGNMSPSELWAVEDVESGSRKPNELELERPSLLKKFDFGFLAKAADSFSGKHLLRQHGLCPVYEGVLPGIDQSKVAIKRLMYEFSQQNKQEFEKEIMAISNVNHRNIVNLIGYCSDEEDNRLLVFEFVANNSLKFHLHENGGSTIDWTSRMEIAKGSARGLKYMHEDSGHRILHLYVKSDNILLCDKFIPKLAEFGSAKIFPDSVTHLSISKIMQNSGYMAPEYQSTSKLTDKLDIYSFGVILLELITRKQPVGHFSGHKNMVKWAKPLLSQSLLEGKDKFDFVDKKLQKYNTEQMDRMVACVLACVDDDPQRRPRMSQILDVLEGNKSLEETILFLNLNL >Manes.13G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1691935:1701968:-1 gene:Manes.13G018100.v8.1 transcript:Manes.13G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRSSARSRIKSTTDSGDDGALRSTKPNFKKRRNRSEDHSLIRILNVDLKIILGIGVCSFLVIFFLINNLMKPVEQAQRPRVVTPFPAPKLMDLPQFQGEHKESLYWGTYRPHVYLGIRARAPRSLVAGLMWIGLKDGRYFMRHVCQDSDELSTYGWTQHNGRDFGHQVLVDHGLRLETSFLKSKSEGSGYGGDWAVRIDAQSEKSDWNDEMQQKGHLFFYLANEDGSPLSLRKDDIDIHENSLLASGSRSDIGDWQLHLESKDVLEIHYSGFRTPHIHNLSDLVQQNLGDQARKLGLLLLSDSSEDSSNILVFQLSAGIPFKTDIAFVSGTGLKDSRVKERVNSLTGTLLTNQLLGKQSEFDAKFDRCFNIAGKLDSESRIVGKVAVANMLGGIGYFYGQSKIAYARNSNHKNHDNFIKYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDIHICLDIVGHWLDLMNIDGWIPREQILGSESLSKVPEEFVLQHPSNGNPPTLFLVIRDLLHGIEKNKFTSDESNEIISFLERAFVRLEAWFQWFNTTQSGKEIGSYFWHGRDNSSTRELNPKTLSSGLDDYPRASHPSEEERHLDLRCWMLLAANCMHSITQLLKKEYRSGKDYGSTAKLLSNFETLNQMHLDPAYGAYFDFGNHTEKVRLSWKETTVENSYVKRELVREVLEKPELRLVPHVGYVGLFPFMEKIIPPDSWILEKQLDLILNRSILWTDYGLRSLAKTSSLYMKRNTEHDPPYWRGPIWMNMNYRILSALFHYSKEDGPYRDKARAIYDELRGNLIRNVVQNYHQTGFLWEQYDQKKGKGKGARLFTGWTSLVLLIMAEAYS >Manes.09G139000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33832943:33837733:1 gene:Manes.09G139000.v8.1 transcript:Manes.09G139000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKNCFVNMATLRGIYYNLHSFSLAYLSLNLSVCFFSLFLSLATTCRRKRPLQEDLAMQTRTVQVKNLSDLASEREIHEFFSFSGEIEHIEILRENGQSKTAFVTFKDPKALEIALLLSGATLVDQIVGITPAENYVSDRELQEVRSVDNALSAVPAESTPSNVEAKNIPPSNGRMYVNRAQEVVSSVLAKGSAFRQDAVNKAKAFDEKHRLTASASAKVSSFDRRVGLTEKLTVGISAVNEKVKSVDQRLQVSDKTMAAIFAAERKINDTGSAVKSSRYVTAGTAWLNGAFSKVARAGQVAGTKTREKFNLAVSNLTAKDSPIAV >Manes.08G033700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3196053:3203710:1 gene:Manes.08G033700.v8.1 transcript:Manes.08G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVAQPSTSTNKAFSFISKGWREVRDSADADLQLMRARANSFKNLANSFDRELENFLNSASTSFSVQSFRSSTSTELDFVKKLQPKISEFRRVYSAPEISKKVLEKWRPRAKLGIDLSAIRNAIVAEVDDGDRDGIVEFRRRRKPVRFREFWGEWKDEGGQFEEWEPIRAFKRRLRELERKSESVEIFGGFKNNEFVEKLKASLKAIREPQDSKEVPPLDVPELLAYFVRQSGPFLDQLGVRRDICDKIVESLCSKRKNQFLLRSLSAGESTFFDNENVNDELDLRIASVLQSTGHRYEGGFWTDLSKHNPSDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLSKSEKQKVTLLVPWLCKSDQELVYPNNLTFSSPEEQENYIRNWLEDRVGFKADFKISFYPGKFSKARRSIIPAGDTSQFIPSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKRERNGALQAFFVKHINNWVTRAYCHKVLRLSAATQNLPKSVICNVHGVNPKFLKIGEKIAVERELGQPAFSKGAYFLGKMVWAKGYRELIDLLAKHKNELDGFNLDVFGNGEDAHEVQIAAKRLDLKVNFLKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFRSFPNCLTYKTSEDFVAKVKEALANEPQPLTPEQRYNLSWEAATERFMQYSELDKVLNDDNGNTTFSKTNGKSIAKAVSLPKMSEVVDGGLAFAHYCLTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGW >Manes.04G074600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27677831:27678178:-1 gene:Manes.04G074600.v8.1 transcript:Manes.04G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSILFNLVIDAFVLSSHSLAREDGGWTRLQKSLFSFYCIHRSSLNLARAACVFLLGAILCFYCFSCRKRTTVRKKKTYFLLSAMATEFLGLRVSMCLSCLQIKV >Manes.15G150900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12525120:12529385:1 gene:Manes.15G150900.v8.1 transcript:Manes.15G150900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQDQDAITGGEADHNADEIKSQSHTGDGASPGKIFIGGLARETTSAQFVKHFGKYGEITDSVIMKDRKTGQPRGFGFVTYADPSVVDQVIQDVHIINGKQVEIKRTIPKGAIGSKDFKTKKIFVGGIPAAVTEDEFKEFFAQYGEVIEHQIMRDHSTNRSRGFGFITFDSEQSVDDLLAKGNKLELAGSQVEIKKAEPKKANPPPPPSKRYNDSRPGFGGGYGDGYDGYGGSSFGGGAYRSGGVYGGRASAYSEYNGGEFGGYGGYGGGGIGAYRGDPSYGYSGRYGGGFTRGYDLRGGYGGPGEGYGGYGSGIGGGGGYGSGGSSSGGGSGMGYGGGSYDTGLGGGYGGSSGGSFYGSRGGYGGAGGSRYHPYGR >Manes.10G048700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5332706:5343791:-1 gene:Manes.10G048700.v8.1 transcript:Manes.10G048700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSEKRCFKLTSCKANSTSQNIHAYGIMLIAALTTVLLIIYNCSDQVITTRERRLAKSRETAARSARETARAHQRWKAAKDAAKKHASGLQAHLSRTFSRKKYGKHPEQLKILNQDKSDMEDDLYPPSHSSTFSVSTSLPSSAPSKGKKKEPGDLMQVMHEIEYDPDGYEGINLEIADPNATGHMPKGEQMTTNTQIFKYAYAQIEKEKAMELANKNLTFSGVVKMATNSEIKRRPLIEISFKDLTLTLKAKNKHLLRGVTGIIKPGRITAVMGPSGAGKTTFLSALAGKPTGCRMTGLILINGKNQSIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAHCRLSADLPKPDKVLIVERVIESLGLRTVRDCLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLKALRREALEGVNICMVVHQPSYTLFKMFDDLVLLAKGGLIVYHGPARKVEEYFAGLGINVPERVNPPDHYIDILEGIVVPSASSGVNYKELPVRWMLHNGYPIPPDMLQFAAGLESPVNPAHESNLGDAGMEEQSFAGELWQDMKSHVELHKDKIRHNFLKSRDLSNRRTPGVFRQYKYFLGRVGKQRLREAKIQATDYLILLLAGACLGSLAKVNDQNFGAAGYTYTIIAVSLLCKIAALRSFSLDKLQYWRESASGISSLAYFLAKDTIDHFNTLIKPVLYLSMFYSFTNPRSSFTDNYIVLLCLIYCVTGIAYAMAIFFEPGPAQLWSVLLPVVLTLIATQANQSNTLKNIANLCYPKWALEAFVIANAERYYGVWLITRCGMLLKSGYNLHHWGLCISILILIGMVTRFLAFFGMITLKKK >Manes.10G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5332707:5343790:-1 gene:Manes.10G048700.v8.1 transcript:Manes.10G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEMNIKKIKFSSFWSLVLWVIFALGLLHFVHCQDVGDYNEIDNPAIRPLITQLVYSRLSNLTAVLSREISKRSDFCVKDPEADWNQAFNYSSNLDFLSACIQKSKGDITRRICTAAEMRFYFNSFFEPSTQDNYLKPNKNCNLTSWFSGCEPGWACSIGQDQPVDLENSRNIPARTHNCHACCEGFFCPHGITCMIPCPLGSYCPLAKLNKTTGVCEPYHYQLPPRQPNLTCGGANIWADVGSSSEIFCSAGSYCPTTVQKNSCSSGNYCRMGSTSEKRCFKLTSCKANSTSQNIHAYGIMLIAALTTVLLIIYNCSDQVITTRERRLAKSRETAARSARETARAHQRWKAAKDAAKKHASGLQAHLSRTFSRKKYGKHPEQLKILNQDKSDMEDDLYPPSHSSTFSVSTSLPSSAPSKGKKKEPGDLMQVMHEIEYDPDGYEGINLEIADPNATGHMPKGEQMTTNTQIFKYAYAQIEKEKAMELANKNLTFSGVVKMATNSEIKRRPLIEISFKDLTLTLKAKNKHLLRGVTGIIKPGRITAVMGPSGAGKTTFLSALAGKPTGCRMTGLILINGKNQSIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAHCRLSADLPKPDKVLIVERVIESLGLRTVRDCLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLKALRREALEGVNICMVVHQPSYTLFKMFDDLVLLAKGGLIVYHGPARKVEEYFAGLGINVPERVNPPDHYIDILEGIVVPSASSGVNYKELPVRWMLHNGYPIPPDMLQFAAGLESPVNPAHESNLGDAGMEEQSFAGELWQDMKSHVELHKDKIRHNFLKSRDLSNRRTPGVFRQYKYFLGRVGKQRLREAKIQATDYLILLLAGACLGSLAKVNDQNFGAAGYTYTIIAVSLLCKIAALRSFSLDKLQYWRESASGISSLAYFLAKDTIDHFNTLIKPVLYLSMFYSFTNPRSSFTDNYIVLLCLIYCVTGIAYAMAIFFEPGPAQLWSVLLPVVLTLIATQANQSNTLKNIANLCYPKWALEAFVIANAERYYGVWLITRCGMLLKSGYNLHHWGLCISILILIGMVTRFLAFFGMITLKKK >Manes.10G048700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5332706:5343791:-1 gene:Manes.10G048700.v8.1 transcript:Manes.10G048700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYFNSFFEPSTQDNYLKPNKNCNLTSWFSGCEPGWACSIGQDQPVDLENSRNIPARTHNCHACCEGFFCPHGITCMIPCPLGSYCPLAKLNKTTGVCEPYHYQLPPRQPNLTCGGANIWADVGSSSEIFCSAGSYCPTTVQKNSCSSGNYCRMGSTSEKRCFKLTSCKANSTSQNIHAYGIMLIAALTTVLLIIYNCSDQVITTRERRLAKSRETAARSARETARAHQRWKAAKDAAKKHASGLQAHLSRTFSRKKYGKHPEQLKILNQDKSDMEDDLYPPSHSSTFSVSTSLPSSAPSKGKKKEPGDLMQVMHEIEYDPDGYEGINLEIADPNATGHMPKGEQMTTNTQIFKYAYAQIEKEKAMELANKNLTFSGVVKMATNSEIKRRPLIEISFKDLTLTLKAKNKHLLRGVTGIIKPGRITAVMGPSGAGKTTFLSALAGKPTGCRMTGLILINGKNQSIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAHCRLSADLPKPDKVLIVERVIESLGLRTVRDCLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLKALRREALEGVNICMVVHQPSYTLFKMFDDLVLLAKGGLIVYHGPARKVEEYFAGLGINVPERVNPPDHYIDILEGIVVPSASSGVNYKELPVRWMLHNGYPIPPDMLQFAAGLESPVNPAHESNLGDAGMEEQSFAGELWQDMKSHVELHKDKIRHNFLKSRDLSNRRTPGVFRQYKYFLGRVGKQRLREAKIQATDYLILLLAGACLGSLAKVNDQNFGAAGYTYTIIAVSLLCKIAALRSFSLDKLQYWRESASGISSLAYFLAKDTIDHFNTLIKPVLYLSMFYSFTNPRSSFTDNYIVLLCLIYCVTGIAYAMAIFFEPGPAQLWSVLLPVVLTLIATQANQSNTLKNIANLCYPKWALEAFVIANAERYYGVWLITRCGMLLKSGYNLHHWGLCISILILIGMVTRFLAFFGMITLKKK >Manes.03G041200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3638373:3640271:-1 gene:Manes.03G041200.v8.1 transcript:Manes.03G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPNGFYGIRNSQFRGIFSGIWFLMLLFLLYNQGNSLQNPFLLNSPWPLPLTQQWSLRGRSISESNVTTSLTDAKVIPHTDPKVCSGLFEHKGYSNHCEYLIAHPECTSGGFFNYIRFYFCDCHKFAILGYVVLGLWLVALFYLLGNTAADYFCCSLEKLSNLLKLPPTVAGVSLLPLGNGAPDVFASIAAFSGNDTGEVGLNSVLGGAVFVSCVVVGTVSLCVSERRIQIDRTCFVRDVCFFLFALLSLSIVMLVGKMTVEGAVAFVSIYVVYAFAVAANEIFKKNSWRLRLGSVTPLLPVTESAFSHGSEDDESVYASLLKSDSKFDVPQLQDEVPQWMWASHLAIYSNEIVKESSENEWGWNEIQTVRNQSSCSCSNLCCLLEMPLTLLRRLTIPVVEEQRWSKGYAVASATLAPLLLSLLWNTRDEVSILNRQISYFLGVSSGGVLGVLAYIYTKEDEPPRKFLLPWILGGFLMSIIWFYMVANELVALLVALGVIFGINPSLLGSTVLAWGNSMGDLMSNFALAMNSGDSVQIAMSGCYAGPMFNTIVGLGLSMMLGALSRRPNSYKIPEDRSLFYTMGFLVSGLIWSLIVLPRNDMRPNKMLGIGLIAIYLMFLVFRVSISIGDD >Manes.15G119500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9521364:9522002:1 gene:Manes.15G119500.v8.1 transcript:Manes.15G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKQTKGRQKITMKRIEKEDDRLITFSKRRSGIYKKGSELVTLCGAEVAVLVFSPAGKPFSFGHPSIERVTNRFLDKNPPPSDTTHPLVEAHRKMRINELTQHYNELLSRGEAEKDRGNLLKQMMTGSGRQQQLQQKQQSYWWETPIDELGFEELLQMNATLEELHGNLCRKISGASSSVNPSLASNNANLQKDPSASFPHGFGYGRMHF >Manes.12G023300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2000612:2002195:1 gene:Manes.12G023300.v8.1 transcript:Manes.12G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSMIPQEAKDKSSKDNVPLVDVTQFGYFKVLGKGALPENQPIVVKAKLVSKIAEKKIKEAGGAVVLTA >Manes.12G047800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4278201:4281962:1 gene:Manes.12G047800.v8.1 transcript:Manes.12G047800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMEERIAYPEKYTDEGKPQPPELEDPKLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRSEKCTEQYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISVDEIRNAGVVHFNGNMKPWLDIAMTQFKPLWTKHVDYDLDFVQACNFGL >Manes.12G047800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4278140:4281975:1 gene:Manes.12G047800.v8.1 transcript:Manes.12G047800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMEERIAYPEKYTDEGKPQPPELEDPKLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQRFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRSEKCTEQYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISVDEIRNAGVVHFNGNMKPWLDIAMTQFKPLWTKHVDYDLDFVQACNFGL >Manes.13G122700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33064107:33064331:-1 gene:Manes.13G122700.v8.1 transcript:Manes.13G122700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSLKSKGKSSSGKGSKGMEEKSTTQCLKEWSTWAMKKAKVVTHYGFIPLVIIIGMNSEPKPQLYQLLSPV >Manes.08G073200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14222732:14224173:-1 gene:Manes.08G073200.v8.1 transcript:Manes.08G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENPNNTTCKTQAILAASHGTTCWKISLRCRLLHDLSLSSSMDFLLSLSHLLFTFSFFINHSFKSILLLFFPSNDMQRQRENSQFLQTWNNNSLSSCPISYLLYIQLVQTGDSVNMGELLSEIVPAWLPECHLRSLSKDVGNLPTWHEGSHAGSNNQII >Manes.01G184000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36201565:36209905:1 gene:Manes.01G184000.v8.1 transcript:Manes.01G184000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRITNGRLCPSFSKSANTHLCFSPIKQLGFVYLCGERCKRASLTLGRSEIGGLGSSRDEDEEKSHILGAERDDSGSIIGFNLISQSGDGGIESHEDVATEIAEETENTEGREEAQVREKLNIVFVAAEAAPYSKTGGLGDVCGSLPIVLAARGHRVMVVSPRYLHFIHQDKVFANALDLDRHIKVHCFGGAQDVSFFHEYREGVDWVFVDHPSYHRPGNPYGDSRGAFGDNQFRFTLLCHAACEAPLVLPLGGYTYGEKCLFLANDWHAGLVPVLLAAKYRPHGVYKDARCLLVIHNLAHQGVEPAVTYKNFGLPSEWYGALEWVFPNWARAHALDKGEAVNVLKGAIVTADRILTVSKGYAWEVTTVEGGYGLHELLSSRRSVLDGITNGIDVSEWDPSADEHIAAHYSVDDLSGKVQCKIALQKELGLPIRPMCPLIGFIGRLDYQKGIDLIRWAIPELMEDDVQFVMLGSGDPLYEEWMRVTESSYRDKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLFAMRYGTVPVVHSTGGLRDTVQTFNPYADGGIDEGTGWTFSPLSKDSMLTAIRLAVKTYRDYKSSWEGIMKRGMERDNTWENAAIHYEQVFEWAFIDSPYIS >Manes.05G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9313088:9314706:-1 gene:Manes.05G100000.v8.1 transcript:Manes.05G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSENFLQVLAKNFDVLALPLVTLVYPLYASIKAIETKSRTDDQQWLTYWVLYSMMTIFELTFSKILECIPIWPFAKLILSCWLVLPQFNGAAHVYQRFIRPVYLNPQTAQKIWYVPRKKDIFSKPDDILTAAEKYMEDHGTEAFERLITKADREERARRSGNYMIFDDDYIY >Manes.16G097500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30315192:30315728:1 gene:Manes.16G097500.v8.1 transcript:Manes.16G097500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVPSPYIEPPPPAQKSNFPMLYYGLVIIGTAVIVLFTYNLIIIKWCANRRRHDLQSGQRPTRFTDSAAAGRSFDLSTFKYRKDENMSTQGQGSDSECAVCLSVFEEGEEIRKLPGCNHSFHAPCIDMWLYSHSDCPLCRSRVAASYSFCRRHSAASTLENSQENLLGGNVHFVPLV >Manes.03G012400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1031723:1046394:1 gene:Manes.03G012400.v8.1 transcript:Manes.03G012400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIEFSFQMEINSGHNVYSHVRQELRSQIHGRMLDPSFSNISNLSSCSSSHAALGNSFLALLSGPASLLQFDIQEPLNSSSKLPIEFGSVIISPSGSKAPQASSALLSENGSYQNMPSGADLYSIVSSRAVANSICGSNFVFQNGLPAPAEKIRSQGSDLPKPVVHHIGLGNEQVKDFSSLRGEWGSMPVANALKLPTANNQIPQKVPVEAESSSYQKSSTSAKGCPHVFCLDRSGDLLLSNTGLLGILCSCHCFHMSVSKFCEHSGLWNVNPGDAVRMDSGETIAQWRRIYFQKFGIRVPEDESGWDWPEGLSLTASLVKSGVSKTNMLKNSASNNLWSGQPLGDVFPKNFLAGQNLVIDSLCDKQQRNSQDGNKFYLRGLVANLQNSTCGVGDNHVNDYSISRCSTAPKFAGGEPENMCQSMYIDSIVKTGSLATTHPSLQNCRNLVKDSDVSCSKDARVGAITEKDASSSVELKLGQPYQQNQSPGNSVLPVIGQSFYNALVNSQKPFPQEQMSHNVTSFRGEKESRIFLNRSAGLSNSTTREQDHLNYGNCAINNAMDGAKLETLKATMAKTSVVSLFKNYTMPEGSSNPNATNNLFNMSEHVIPEKQHCETRTFKSDPVNVTWKSDNGLDKQCMLPDLGFLKPEDKGKGVGCLAGNSYIETAPGSKMHKWMAYPSSFTGAVSGNGFSTVMHDKNRYSHHLSNVPTDVSAGKFSNCLEKVPCFGSSHIDQLFHKSRRLSTGSGQILSSQAVPVGSHSSLPTSIPGLTPATFNQQSIGVSPHLLDDNLRLLAVGQILELSKQQLALSSLGKNLEQGKCNNSSINSFVKPSTSEEQRLVPKLNGKQDVSKVAMKLDQPGPTTTMTNEVDKFASVTGWNRWGNFSMLTQGMPLQCKEIGMQCQLSCSPLQNEHPSLRGNRNQNDITLSNEHDNCCQRTHHFHYNCSCAAHTCPGGKCNFSGNPSNSLGGQTGSFSGKTPILIASQFAKDHIIPKENSISIGQCGTLKGQLSKNISYNASQWKDVPSKVRVCEVASIKGPADALDRRGLEDSAAKFSNEAINRAECFNDRDMSNISSGCSTPAVTQASVGVTNVDSSTVVGNTGYVDNLVVDEGSGIDKCGSSDDAFESERSAYYGYTCKSTMKKKESCKVSGNKSSRTLLDEVKLMDSLTWRRSRNKKHSCFTVCGKTDQSQESERGLKTGKRKREMKLKMLDVSLRTSPPVVHDNYPECDADWPCSSNNVLMVSSGPESSQTSGAHYGKINAKHGNSALSITKSLSHKRNLQEIYNSRDGENNHDREMNRSDNSCKIPEISDRKKLRSTQTADICMELKMQELTPAVGEKILMYDSVNYLKASSSRQVKSCYRKAKPVVCGNYGEITNCNMSGDVTRSFKIVSLDRILKTARRCSLKDSKPGLTSSREWKREDVSWGNAGFDRCSYLKEGKENGSNVNLESQDMNTNTSLEERDVAFSSEDEQSADEFSVLEKREETSGKVQIMLGTNAYCQSKTKYKETRKRSIYELTLKGKNPSPKMVSQRQVFKCKPNPKIKLQQTGKDTSKNHIGRSRKVAAKRYVREQKHLSITDVDSFCCVCGSSKIDDVNCLLECGRCYIRVHQACYGVSRVPKGHWYCRPCRTSSENIVCVLCGYGGGAMTRALRSCTIVKSLLKIWNLDTGCRHKNAISSAETMQDKSNLFYSSEYATENSSYPVLRPLKIEPSTSTICNMDVHKQLDILQNSLCCISHLKVHNSITAGVLNSTVKQWVHVVCGLWTPGTRCPNVDTMSAFDVSGASCPRANVACSMCNRPGGSCIQCRDMNCSVQFHPWCAHQKGLLQSEAEGIDNENVGFYGTCVLHATGPANDDAANIEAGYTGEKEGSCARTEGYMGRKRDGFWHSVNNQSNRRGGCLVPQEQLNAWVYINGQKSCAQGLLKLPISEKEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRLADKRENEYQSGKRLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVQSEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >Manes.03G012400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1031723:1046394:1 gene:Manes.03G012400.v8.1 transcript:Manes.03G012400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIEFSFQMEINSGHNVYSHVRQELRSQIHGRMLDPSFSNISNLSSCSSSHAALGNSFLALLSGPASLLQFDIQEPLNSSSKLPIEFGSVIISPSGSKAPQASSALLSENGSYQNMPSGADLYSIVSSRAVANSICGSNFVFQNGLPAPAEKIRSQGSDLPKPVVHHIGLGNEQVKDFSSLRGEWGSMPVANALKLPTANNQIPQKVPVEAESSSYQKSSTSAKGCPHVFCLDRSGDLLLSNTGLLGILCSCHCFHMSVSKFCEHSGLWNVNPGDAVRMDSGETIAQWRRIYFQKFGIRVPEDESGWDWPEGLSLTASLVKSGVSKTNMLKNSASNNLWSGQPLGDVFPKNFLAGQNLVIDSLCDKQQRNSQDGNKFYLRGLVANLQNSTCGVGDNHVNDYSISRCSTAPKFAGGEPENMCQSMYIDSIVKTGSLATTHPSLQNCRNLVKDSDVSCSKDARVGAITEKDASSSVELKLGQPYQQNQSPGNSVLPVIGQSFYNALVNSQKPFPQEQMSHNVTSFRGEKESRIFLNRSAGLSNSTTREQDHLNYGNCAINNAMDGAKLETLKATMAKTSVVSLFKNYTMPEGSSNPNATNNLFNMSEHVIPEKQHCETRTFKSDPVNVTWKSDNGLDKQCMLPDLGFLKPEDKGKGVGCLAGNSYIETAPGSKMHKWMAYPSSFTGAVSGNGFSTVMHDKNRYSHHLSNVPTDVSAGKFSNCLEKVPCFGSSHIDQLFHKSRRLSTGSGQILSSQAVPVGSHSSLPTSIPGLTPATFNQQSIGVSPHLLDDNLRLLAVGQILELSKQQLALSSLGKNLEQGKCNNSSINSFVKPSTSEEQRLVPKLNGKQDVSKVAMKLDQPGPTTTMTNEVDKFASVTGWNRWGNFSMLTQGMPLQCKEIGMQCQLSCSPLQNEHPSLRGNRNQNDITLSNEHDNCCQRTHHFHYNCSCAAHTCPGGKCNFSGNPSNSLGGQTGSFSGKTPILIASQFAKDHIIPKENSISIGQCGTLKGQLSKNISYNASQWKDVPSKVRVCEVASIKGPADALDRRGLEDSAAKFSNEAINRAECFNDRDMSNISSGCSTPAVTQASVGVTNVDSSTVVGNTGYVDNLVVDEGSGIDKCGSSDDAFESERSAYYGYTCKSTMKKKESCKVSGNKSSRTLLDEVKLMDSLTWRRSRNKKHSCFTVCGKTDQSQESERGLKTGKRKREMKLKMLDVSLRTSPPVVHDNYPECDADWPCSSNNVLMVSSGPESSQTSGAHYGKINAKHGNSALSITKSLSHKRNLQEIYNSRDGENNHDREMNRSDNSCKIPEISDRKKLRSTQTADICMELKMQELTPAVGEKILMYDSVNYLKASSSRQVKSCYRKAKPVVCGNYGEITNCNMSGDVTRSFKIVSLDRILKTARRCSLKDSKPGLTSSREWKREDVSWGNAGFDRCSYLKEGKENGSNVNLESQDMNTNTSLEERDVAFSSEDEQSADEFSVLEKREETSGKVQIMLGTNAYCQSKTKYKETRKRSIYELTLKGKNPSPKMVSQRQVFKCKPNPKIKLQQTGKDTSKNHIGRSRKVAAKRYVREQKHLSITDVDSFCCVCGSSKIDDVNCLLECGRCYIRVHQACYGVSRVPKGHWYCRPCRTSSENIVCVLCGYGGGAMTRALRSCTIVKSLLKIWNLDTGCRHKNAISSAETMQDKSNLFYSSEYATENSSYPVLRPLKIEPSTSTICNMDVHKQLDILQNSLCCISHLKVHNSITAGVLNSTVKQWVHVVCGLWTPGTRCPNVDTMSAFDVSGASCPRANVACSMCNRPGGSCIQCRDMNCSVQFHPWCAHQKGLLQSEAEGIDNENVGFYGTCVLHATGPANDDAANIEAGYTGEKEGSCARTEGYMGRKRDGFWHSVNNQSNRRGGCLVPQEQLNAWVYINGQKSCAQGLLKLPISEKEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGG >Manes.03G012400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1030693:1046394:1 gene:Manes.03G012400.v8.1 transcript:Manes.03G012400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWQVKCGSTVPSSVPPMASSTSQEARDKMEINSGHNVYSHVRQELRSQIHGRMLDPSFSNISNLSSCSSSHAALGNSFLALLSGPASLLQFDIQEPLNSSSKLPIEFGSVIISPSGSKAPQASSALLSENGSYQNMPSGADLYSIVSSRAVANSICGSNFVFQNGLPAPAEKIRSQGSDLPKPVVHHIGLGNEQVKDFSSLRGEWGSMPVANALKLPTANNQIPQKVPVEAESSSYQKSSTSAKGCPHVFCLDRSGDLLLSNTGLLGILCSCHCFHMSVSKFCEHSGLWNVNPGDAVRMDSGETIAQWRRIYFQKFGIRVPEDESGWDWPEGLSLTASLVKSGVSKTNMLKNSASNNLWSGQPLGDVFPKNFLAGQNLVIDSLCDKQQRNSQDGNKFYLRGLVANLQNSTCGVGDNHVNDYSISRCSTAPKFAGGEPENMCQSMYIDSIVKTGSLATTHPSLQNCRNLVKDSDVSCSKDARVGAITEKDASSSVELKLGQPYQQNQSPGNSVLPVIGQSFYNALVNSQKPFPQEQMSHNVTSFRGEKESRIFLNRSAGLSNSTTREQDHLNYGNCAINNAMDGAKLETLKATMAKTSVVSLFKNYTMPEGSSNPNATNNLFNMSEHVIPEKQHCETRTFKSDPVNVTWKSDNGLDKQCMLPDLGFLKPEDKGKGVGCLAGNSYIETAPGSKMHKWMAYPSSFTGAVSGNGFSTVMHDKNRYSHHLSNVPTDVSAGKFSNCLEKVPCFGSSHIDQLFHKSRRLSTGSGQILSSQAVPVGSHSSLPTSIPGLTPATFNQQSIGVSPHLLDDNLRLLAVGQILELSKQQLALSSLGKNLEQGKCNNSSINSFVKPSTSEEQRLVPKLNGKQDVSKVAMKLDQPGPTTTMTNEVDKFASVTGWNRWGNFSMLTQGMPLQCKEIGMQCQLSCSPLQNEHPSLRGNRNQNDITLSNEHDNCCQRTHHFHYNCSCAAHTCPGGKCNFSGNPSNSLGGQTGSFSGKTPILIASQFAKDHIIPKENSISIGQCGTLKGQLSKNISYNASQWKDVPSKVRVCEVASIKGPADALDRRGLEDSAAKFSNEAINRAECFNDRDMSNISSGCSTPAVTQASVGVTNVDSSTVVGNTGYVDNLVVDEGSGIDKCGSSDDAFESERSAYYGYTCKSTMKKKESCKVSGNKSSRTLLDEVKLMDSLTWRRSRNKKHSCFTVCGKTDQSQESERGLKTGKRKREMKLKMLDVSLRTSPPVVHDNYPECDADWPCSSNNVLMVSSGPESSQTSGAHYGKINAKHGNSALSITKSLSHKRNLQEIYNSRDGENNHDREMNRSDNSCKIPEISDRKKLRSTQTADICMELKMQELTPAVGEKILMYDSVNYLKASSSRQVKSCYRKAKPVVCGNYGEITNCNMSGDVTRSFKIVSLDRILKTARRCSLKDSKPGLTSSREWKREDVSWGNAGFDRCSYLKEGKENGSNVNLESQDMNTNTSLEERDVAFSSEDEQSADEFSVLEKREETSGKVQIMLGTNAYCQSKTKYKETRKRSIYELTLKGKNPSPKMVSQRQVFKCKPNPKIKLQQTGKDTSKNHIGRSRKVAAKRYVREQKHLSITDVDSFCCVCGSSKIDDVNCLLECGRCYIRVHQACYGVSRVPKGHWYCRPCRTSSENIVCVLCGYGGGAMTRALRSCTIVKSLLKIWNLDTGCRHKNAISSAETMQDKSNLFYSSEYATENSSYPVLRPLKIEPSTSTICNMDVHKQLDILQNSLCCISHLKVHNSITAGVLNSTVKQWVHVVCGLWTPGTRCPNVDTMSAFDVSGASCPRANVACSMCNRPGGSCIQCRDMNCSVQFHPWCAHQKGLLQSEAEGIDNENVGFYGTCVLHATGPANDDAANIEAGYTGEKEGSCARTEGYMGRKRDGFWHSVNNQSNRRGGCLVPQEQLNAWVYINGQKSCAQGLLKLPISEKEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRLADKRENEYQSGKRLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVQSEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >Manes.03G012400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1030693:1046394:1 gene:Manes.03G012400.v8.1 transcript:Manes.03G012400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWQVKCGSTVPSSVPPMASSTSQEARDKMEINSGHNVYSHVRQELRSQIHGRMLDPSFSNISNLSSCSSSHAALGNSFLALLSGPASLLQFDIQEPLNSSSKLPIEFGSVIISPSGSKAPQASSALLSENGSYQNMPSGADLYSIVSSRAVANSICGSNFVFQNGLPAPAEKIRSQGSDLPKPVVHHIGLGNEQVKDFSSLRGEWGSMPVANALKLPTANNQIPQKVPVEAESSSYQKSSTSAKGCPHVFCLDRSGDLLLSNTGLLGILCSCHCFHMSVSKFCEHSGLWNVNPGDAVRMDSGETIAQWRRIYFQKFGIRVPEDESGWDWPEGLSLTASLVKSGVSKTNMLKNSASNNLWSGQPLGDVFPKNFLAGQNLVIDSLCDKQQRNSQDGNKFYLRGLVANLQNSTCGVGDNHVNDYSISRCSTAPKFAGGEPENMCQSMYIDSIVKTGSLATTHPSLQNCRNLVKDSDVSCSKDARVGAITEKDASSSVELKLGQPYQQNQSPGNSVLPVIGQSFYNALVNSQKPFPQEQMSHNVTSFRGEKESRIFLNRSAGLSNSTTREQDHLNYGNCAINNAMDGAKLETLKATMAKTSVVSLFKNYTMPEGSSNPNATNNLFNMSEHVIPEKQHCETRTFKSDPVNVTWKSDNGLDKQCMLPDLGFLKPEDKGKGVGCLAGNSYIETAPGSKMHKWMAYPSSFTGAVSGNGFSTVMHDKNRYSHHLSNVPTDVSAGKFSNCLEKVPCFGSSHIDQLFHKSRRLSTGSGQILSSQAVPVGSHSSLPTSIPGLTPATFNQQSIGVSPHLLDDNLRLLAVGQILELSKQQLALSSLGKNLEQGKCNNSSINSFVKPSTSEEQRLVPKLNGKQDVSKVAMKLDQPGPTTTMTNEVDKFASVTGWNRWGNFSMLTQGMPLQCKEIGMQCQLSCSPLQNEHPSLRGNRNQNDITLSNEHDNCCQRTHHFHYNCSCAAHTCPGGKCNFSGNPSNSLGGQTGSFSGKTPILIASQFAKDHIIPKENSISIGQCGTLKGQLSKNISYNASQWKDVPSKVRVCEVASIKGPADALDRRGLEDSAAKFSNEAINRAECFNDRDMSNISSGCSTPAVTQASVGVTNVDSSTVVGNTGYVDNLVVDEGSGIDKCGSSDDAFESERSAYYGYTCKSTMKKKESCKVSGNKSSRTLLDEVKLMDSLTWRRSRNKKHSCFTVCGKTDQSQESERGLKTGKRKREMKLKMLDVSLRTSPPVVHDNYPECDADWPCSSNNVLMVSSGPESSQTSGAHYGKINAKHGNSALSITKSLSHKRNLQEIYNSRDGENNHDREMNRSDNSCKIPEISDRKKLRSTQTADICMELKMQELTPAVGEKILMYDSVNYLKASSSRQVKSCYRKAKPVVCGNYGEITNCNMSGDVTRSFKIVSLDRILKTARRCSLKDSKPGLTSSREWKREDVSWGNAGFDRCSYLKEGKENGSNVNLESQDMNTNTSLEERDVAFSSEDEQSADEFSVLEKREETSGKVQIMLGTNAYCQSKTKYKETRKRSIYELTLKGKNPSPKMVSQRQVFKCKPNPKIKLQQTGKDTSKNHIGRSRKVAAKRYVREQKHLSITDVDSFCCVCGSSKIDDVNCLLECGRCYIRVHQACYGVSRVPKGHWYCRPCRTSSENIVCVLCGYGGGAMTRALRSCTIVKSLLKIWNLDTGCRHKNAISSAETMQDKSNLFYSSEYATENSSYPVLRPLKIEPSTSTICNMDVHKQLDILQNSLCCISHLKVHNSITAGVLNSTVKQWVHVVCGLWTPGTRCPNVDTMSAFDVSGASCPRANVACSMCNRPGGSCIQCRDMNCSVQFHPWCAHQKGLLQSEAEGIDNENVGFYGTCVLHATGPANDDAANIEAGYTGEKEGSCARTEGYMGRKRDGFWHSVNNQSNRRGGCLVPQEQLNAWVYINGQKSCAQGLLKLPISEKEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRLADKRENEYQSGKRLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISVQSEKKVTSKFCLDTYA >Manes.03G012400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1030693:1046394:1 gene:Manes.03G012400.v8.1 transcript:Manes.03G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSWQVKCGSTVPSSVPPMASSTSQEARDKMEINSGHNVYSHVRQELRSQIHGRMLDPSFSNISNLSSCSSSHAALGNSFLALLSGPASLLQFDIQEPLNSSSKLPIEFGSVIISPSGSKAPQASSALLSENGSYQNMPSGADLYSIVSSRAVANSICGSNFVFQNGLPAPAEKIRSQGSDLPKPVVHHIGLGNEQVKDFSSLRGEWGSMPVANALKLPTANNQIPQKVPVEAESSSYQKSSTSAKGCPHVFCLDRSGDLLLSNTGLLGILCSCHCFHMSVSKFCEHSGLWNVNPGDAVRMDSGETIAQWRRIYFQKFGIRVPEDESGWDWPEGLSLTASLVKSGVSKTNMLKNSASNNLWSGQPLGDVFPKNFLAGQNLVIDSLCDKQQRNSQDGNKFYLRGLVANLQNSTCGVGDNHVNDYSISRCSTAPKFAGGEPENMCQSMYIDSIVKTGSLATTHPSLQNCRNLVKDSDVSCSKDARVGAITEKDASSSVELKLGQPYQQNQSPGNSVLPVIGQSFYNALVNSQKPFPQEQMSHNVTSFRGEKESRIFLNRSAGLSNSTTREQDHLNYGNCAINNAMDGAKLETLKATMAKTSVVSLFKNYTMPEGSSNPNATNNLFNMSEHVIPEKQHCETRTFKSDPVNVTWKSDNGLDKQCMLPDLGFLKPEDKGKGVGCLAGNSYIETAPGSKMHKWMAYPSSFTGAVSGNGFSTVMHDKNRYSHHLSNVPTDVSAGKFSNCLEKVPCFGSSHIDQLFHKSRRLSTGSGQILSSQAVPVGSHSSLPTSIPGLTPATFNQQSIGVSPHLLDDNLRLLAVGQILELSKQQLALSSLGKNLEQGKCNNSSINSFVKPSTSEEQRLVPKLNGKQDVSKVAMKLDQPGPTTTMTNEVDKFASVTGWNRWGNFSMLTQGMPLQCKEIGMQCQLSCSPLQNEHPSLRGNRNQNDITLSNEHDNCCQRTHHFHYNCSCAAHTCPGGKCNFSGNPSNSLGGQTGSFSGKTPILIASQFAKDHIIPKENSISIGQCGTLKGQLSKNISYNASQWKDVPSKVRVCEVASIKGPADALDRRGLEDSAAKFSNEAINRAECFNDRDMSNISSGCSTPAVTQASVGVTNVDSSTVVGNTGYVDNLVVDEGSGIDKCGSSDDAFESERSAYYGYTCKSTMKKKESCKVSGNKSSRTLLDEVKLMDSLTWRRSRNKKHSCFTVCGKTDQSQESERGLKTGKRKREMKLKMLDVSLRTSPPVVHDNYPECDADWPCSSNNVLMVSSGPESSQTSGAHYGKINAKHGNSALSITKSLSHKRNLQEIYNSRDGENNHDREMNRSDNSCKIPEISDRKKLRSTQTADICMELKMQELTPAVGEKILMYDSVNYLKASSSRQVKSCYRKAKPVVCGNYGEITNCNMSGDVTRSFKIVSLDRILKTARRCSLKDSKPGLTSSREWKREDVSWGNAGFDRCSYLKEGKENGSNVNLESQDMNTNTSLEERDVAFSSEDEQSADEFSVLEKREETSGKVQIMLGTNAYCQSKTKYKETRKRSIYELTLKGKNPSPKMVSQRQVFKCKPNPKIKLQQTGKDTSKNHIGRSRKVAAKRYVREQKHLSITDVDSFCCVCGSSKIDDVNCLLECGRCYIRVHQACYGVSRVPKGHWYCRPCRTSSENIVCVLCGYGGGAMTRALRSCTIVKSLLKIWNLDTGCRHKNAISSAETMQDKSNLFYSSEYATENSSYPVLRPLKIEPSTSTICNMDVHKQLDILQNSLCCISHLKVHNSITAGVLNSTVKQWVHVVCGLWTPGTRCPNVDTMSAFDVSGASCPRANVACSMCNRPGGSCIQCRDMNCSVQFHPWCAHQKGLLQSEAEGIDNENVGFYGTCVLHATGPANDDAANIEAGYTGEKEGSCARTEGYMGRKRDGFWHSVNNQSNRRGGCLVPQEQLNAWVYINGQKSCAQGLLKLPISEKEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGG >Manes.08G081811.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:22164053:22164827:1 gene:Manes.08G081811.v8.1 transcript:Manes.08G081811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTQESHLRSDTSITYESPYPLYAMAFSSSPSPHHNHHRIAVGSFIEDYNNRIDILSFDLETLSVKTHPTISLDHPYPPTKLMFHPGAPSSLRKSSDLLASSGDFLRLWEVRENSIEPVSVLNNSKTSEFCAPLMSFDWNEIEPKRIRTCSIDTACTIWDIEKGAIETQLIAHDKEVHDIAWGEARVFASVSANGSVRIFDLRDKEHSTIIYESPQPDTPLLRLA >Manes.05G094900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8685864:8687795:-1 gene:Manes.05G094900.v8.1 transcript:Manes.05G094900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQLFWVVVLLAITRVGEAKIAGGQCNSNDLKGLIGFKAGIRADTSGRLAKWVGHSCCNWEGIRCHNQTGRVTEIRLSVFISTNDFDFQPEMRGSLSPSIALLTSIEVIDLGGLIGLAGTIPPEIGFRLPELRKLYLYGNRLSGPVPDSIGKLSKLEKLHLHENRLSGSLPPSLGNLTNLHQLFLYSNNFTGSIPDSFTNLKNLMRLDLHSNALTGHLPVKIGEMQALETLDLSNNLLEGNIPASITNLNSISELYLNGNHLEGAIPFPSSSGQMSSLGFLRLNDNHLTGRIPPNFGYLVSLQRASLANNKLEGAIPSSLGGLSALTELYLKGNLISGQIPKSISQLSRLILLSIPHNLIQGPLPSELSSLQKLQSLDLSFNRLKLVSFPKLLSEMPSLTKIYLGGCGIQGKIPEFLRATPSPMQELDLSANHLSGSLPAWLGSLTQLYSLNLSRNFLVSGIPDSVTNLQQLGVLDLHSNRLTGPISKVFEIGNAFPDGSLTYIDLSNNNFSGGIEQIGVGGQTGMKYVNLSRNSLIGGVPTSIGGLKLLETLDLSSNKFGSRIPDALANVSSLERLKLQKNQFTGNIPVEFLKLKRLMELDLSDNFLEGEIPTGKPLSEFPESSYSGNRGLCGKPLAPCNA >Manes.16G042900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6504545:6514732:-1 gene:Manes.16G042900.v8.1 transcript:Manes.16G042900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCYSFKQSSPYPSSFILNLQGSSSSIVGKLSRHSPCLLSSPLSKPFLQINDRLQYQKKSTFFTWKTYRGPVVAETGRQGWDFGRFIKTLYFFNGPPSPAKFFELLVGRLSSQSSSEPKSAMDSSGIVLVVGATGGVGKRIVDILRKKGQQVRVLVRNEEKARKMLGSDIDLIVGDITKESTLVPEYFKGVKKVFNAASVIVGPKEGDTPERAKYSQGIKFFEPEIKGDSPEMVEYVGMENLINAVKGSVGLRGGKLLFGCEDNSFKDLPWGALDDVVMGGVSESNFQIDTNGGENGGPTGIFRGVVSTANNGGFTSIRTRNFSTPEDLSAYDGLELCLKGDGRRYKLIVRTSSDWDTVGYTASFDTVEGWQSIRLPFSSLRPIFRARTVSDAPPFDQSNIVSLQLMFSKFEYDGKLNPTFVEGPFQLPLSSIRAYINDPITPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELGFILTFKLKGEDLIRESGMPYAIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAAAESPYACDKTFEVKSVIPFSEPFTVDPENPPPEKDYNEYFKTLKDGITGKEYLERSPVPV >Manes.16G042900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6504381:6514732:-1 gene:Manes.16G042900.v8.1 transcript:Manes.16G042900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCYSFKQSSPYPSSFILNLQGSSSSIVGKLSRHSPCLLSSPLSKPFLQINDRLQYQKKSTFFTWKTYRGPVVAETGRQGWDFGRFIKTLYFFNGPPSPAKFFELLVGRLSSQSSSEPKSAMDSSGIVLVVGATGGVGKRIVDILRKKGQQVRVLVRNEEKARKMLGSDIDLIVGDITKESTLVPEYFKGVKKVFNAASVIVGPKEGDTPERAKYSQGIKFFEPEIKGDSPEMVEYVGMENLINAVKGSVGLRGGKLLFGCEDNSFKDLPWGALDDVVMGGVSESNFQIDTNGGENGGPTGIFRGVVSTANNGGFTSIRTRNFSTPEDLSAYDGLELCLKGDGRRYKLIVRTSSDWDTVGYTASFDTVEGWQSIRLPFSSLRPIFRARTVSDAPPFDQSNIVSLQLMFSKFEYDGKLNPTFVEGPFQLPLSSIRAYINDPITPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELGFILTFKLKGEDLIRESGMPYAIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAAAESPYACDKTFEVKSVIPFSEPFTVDPENPPPEKDYNEYFKTLKDGITGKEYLERSPVPV >Manes.16G042900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6504545:6514719:-1 gene:Manes.16G042900.v8.1 transcript:Manes.16G042900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCYSFKQSSPYPSSFILNLQGSSSSIVGKLSRHSPCLLSSPLSKPFLQINDRLQYQKKSTFFTWKTYRGPVVAETGRQGWDFGRFIKTLYFFNGPPSPAKFFELLVGRLSSQSSSEPKSAMDSSGIVLVVGATGGVGKRIVDILRKKGQQVRVLVRNEEKARKMLGSDIDLIVGDITKESTLVPEYFKGVKKVFNAASVIVGPKEGDTPERAKYSQGIKFFEPEIKGDSPEMVEYVGMENLINAVKGSVGLRGGKLLFGCEDNSFKDLPWGALDDVVMGGVSESNFQIDTNGGENGGPTGIFRGVVSTANNGGFTSIRTRNFSTPEDLSAYDGLELCLKGDGRRYKLIVRTSSDWDTVGYTASFDTVEGWQSIRLPFSSLRPIFRARTVSDAPPFDQSNIVSLQLMFSKFEYDGKLNPTFVEGPFQLPLSSIRAYINDPITPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELGFILTFKLKGEDLIRESGMPYAIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAAAESPYACDKTFEVKSVIPFSEPFTVDPENPPPEKDYNEYFKTLKDGITGKEYLERSPVPV >Manes.16G042900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6504545:6514719:-1 gene:Manes.16G042900.v8.1 transcript:Manes.16G042900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCYSFKQSSPYPSSFILNLQINDRLQYQKKSTFFTWKTYRGPVVAETGRQGWDFGRFIKTLYFFNGPPSPAKFFELLVGRLSSQSSSEPKSAMDSSGIVLVVGATGGVGKRIVDILRKKGQQVRVLVRNEEKARKMLGSDIDLIVGDITKESTLVPEYFKGVKKVFNAASVIVGPKEGDTPERAKYSQGIKFFEPEIKGDSPEMVEYVGMENLINAVKGSVGLRGGKLLFGCEDNSFKDLPWGALDDVVMGGVSESNFQIDTNGGENGGPTGIFRGVVSTANNGGFTSIRTRNFSTPEDLSAYDGLELCLKGDGRRYKLIVRTSSDWDTVGYTASFDTVEGWQSIRLPFSSLRPIFRARTVSDAPPFDQSNIVSLQLMFSKFEYDGKLNPTFVEGPFQLPLSSIRAYINDPITPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELGFILTFKLKGEDLIRESGMPYAIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAAAESPYACDKTFEVKSVIPFSEPFTVDPENPPPEKDYNEYFKTLKDGITGKEYLERSPVPV >Manes.06G091700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22705164:22706482:1 gene:Manes.06G091700.v8.1 transcript:Manes.06G091700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTTLFRLLVIFLGISHLICLNAIPITRVGRLLHGSHQDLQVPEAIHMRVAKERNWEEDMNRGGRMAVELNDYPGSGANNRHTPRPPQFNRCGDC >Manes.10G126200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29367971:29368372:1 gene:Manes.10G126200.v8.1 transcript:Manes.10G126200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKKEIIGDIPNGTKVFSKKLGKTDREAQLIIPTKVLKQFPIQNGYYERDFTACDAQDRQWEFILAIRQTGEHEKPFLRPPKWHEFVMAHGLSRDDADYGVVFYSDNNGPLQVRGLRKNQNSLFGQPLWEQV >Manes.13G093600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26496067:26497809:-1 gene:Manes.13G093600.v8.1 transcript:Manes.13G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPSPVHSPKRTVSIDLSKIEEKMANTPSQDSTAEVTVFPINAHKIMAELMGTYVIIFIGCGSLMIEVKYGISPVGVAVAWGLVVMVMIYALGHVSGGHFNPAITIAFAIQCKYSWRKVLGYVASQIAGSTLAILTLSVMLHGRADIKFTVTQYSGQATDLEGFIWEFITSFILMLTICGVATDSRAINELSGVAVGAAMLFDIIIAGKITGASMNPARSIGAALVAKQFECLWVYIVAPILGMITASTMYCFIWLPSSENIVDKDNAKTV >Manes.10G135100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30263498:30277610:-1 gene:Manes.10G135100.v8.1 transcript:Manes.10G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSVNRSASIALAPDAPYLAAGTMAGAVDLSFSSSASLEIFKLDFQSDDRDLPLVGEFQSSERFNRLAWGKNGSGSDQYSLGLIAGGLVDGSIDIWNPLNLIRPETSESALVGHLSNHKGPVRGLEFNSFTPNLLASGADDGEIFIWDLAAPSEPSHFPPLKGSGSAAQGEISYVSWNSKVQHILASTSLNGITVVWDLKKQKPVISVEDSVRRRCSVLQWHPDVATQLIIASDDDSSPALRLWDMRNTMTPVKEFVGHTKGVIAMSWCPSDSSYLLTCAKDNRTICWNIITGEIVRELPAGANWNFDVHWYPKIPGVITASSFDGKIGIYNIEGCSQYSTGENDFGAVTLRAPKWYKRPAGVSFGFGGKLVAFHPRSPPASASEVLLHNLVTEHSLVSRSSEFDAAIQNGEKSSLKALCEKKSQESESEDERETWGFLKVMFEEDGTARTKMLTHLGFSVPVEEKDDVQNDISQQIDSVQLDDTTTDKVGYESVKEATVFSADDGEDFFNNLPSPKADTPKFTNSNNIGPGHSAPHAEEITQEPDGLEESADPSFDDSIQSALVVGDYKGAVAQCISANKIADALVIAHVGGSSLWENTRDQYLKMNRSPYLKIVSAMVNNDLMSLVNTRPLKYWKETLALLCTFAQNEEWSLLCNSLAAKLLAAGNTLAATLCFICAGNIDKTVEIWSRNLITEREGKSYVELLQDLMEKTIVLALASGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDELSPELIILRDRIALSTEPDKDAQTTAFQNSQQQGGLTYGEQHSFGMTDATQHYYPENAPSQVHQSVPGSPYSENYQQTLGPYGRGYGAPTPYQPAPQAPAYPSASQPGMFIPSQAPQANFAPPQVATQQAVRTFVPSNVPILRNAEQYQQPTLGSQLYPGTANATYHPAQPPAGSQGPVTSQVGPVPGHKIPQVVAPTSTPMGFRPVTNSGFVQRPGMSSSQPPSPTQSAPVQPAVAPAAPPPTIQTVDTSNVPAHHKPVIATLTRLFKETSEALGGSRANPARKREIEDNSRKIGALFAKLNSGDISKNASDKLVQLCQALDKNDFSTALQIQVLLTTSEWDECNFWLATLKRMIKTRQGAGVRSS >Manes.18G084900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7861566:7867465:1 gene:Manes.18G084900.v8.1 transcript:Manes.18G084900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYTYSLDHQSHSFFLSFFFFFFSAVPPHITLSHARNLGNTNNLSLVFGFFFLLFFLLRWWAGEFSSLVSFLMENLLSHSYIVIAYDATKDRGMHELKRTINEVRLRGDILHTGDRLIVLGVLHKVPNSLGYMKACPDSFGGASASVLEEEVTKKINVYLNMLLESAEVCEDEGVTIEVKITAGTPMKQVILQEVVSYKATWVILDRHLRRDLKFYLKQIPSKLALIHDNLSVELKRPHFANETDPIEQKPFYSMSKPVPLLNRQGGENEGQCVISCRSFSLSINSLESSDMHSSSSLPSSSYGSREHSFLLDFGASSKQHKAGTYNKPDSNYPPSTQIAHHKNVSRRQTSEAPILCSACGARTEFYIKDAMRFTFSEIQLATQDFSKENLLGEGGYGHVYKGELKDKQLIAAKVRKEASTQGFAEFQSEVYVLNFARHKNIVMLLGFCCKENLNILVYEYICNKSLDWHLFDKAAIVLDWHQRYSIAIGTAKGLRFLHEECRSGPIIHRDLRPGNILLTHDFVPMLGDFGLARWKTTDEVQTRVLGTLGYLAPEYAENGIVSVRTDVYAFGIVLLQLISGQKVVDLKRVEGQQSLRQWAEPLIERLALHELIDQRIVDSYDTYELYLMAKAAYLCIQRNPERRPSMGEIVRLLEGENNRIHHLGEQVLPHYHARR >Manes.18G084900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7861566:7867465:1 gene:Manes.18G084900.v8.1 transcript:Manes.18G084900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYTYSLDHQSHSFFLSFFFFFFSAVPPHITLSHARNLGNTNNLSLVFGFFFLLFFLLRWWAGEFSSLVSFLMENLLSHSYIVIAYDATKDRGMHELKRTINEVRLRGDILHTGDRLIVLGVLHKVPNSLGYMKACPDSFGGASASVLEEEVTKKINVYLNMLLESAEVCEDEGVTIEVKITAGTPMKQVILQEVVSYKATWVILDRHLRRDLKFYLKQIPSKLALIHDNLSVELKRPHFANETDPIEQKPFYSMSKPVPLLNRQGGENEGQCVISCRSFSLSINSLESSDMHSSSSLPSSSYGSREHSFLLDFGASSKQHKAGTYNKPDSNYPPSTQIAHHKNVSRRQTSEAPILCSACGARTEFYIKDAMRFTFSEIQLATQDFSKENLLGEGGYGHVYKGELKDKQLIAAKVRKEASTQGFAEFQSEVYVLNFARHKNIVMLLGFCCKENLNILVYEYICNKSLDWHLFDKAAIVLDWHQRYSIAIGTAKGLRFLHEECRSGPIIHRDLRPGNILLTHDFVPMISCSRVCRKWYCFCENRCLCIWHCSLTTNIRTKSS >Manes.18G084900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7861565:7867465:1 gene:Manes.18G084900.v8.1 transcript:Manes.18G084900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYTYSLDHQSHSFFLSFFFFFFSAVPPHITLSHARNLGNTNNLSLVFGFFFLLFFLLRWWAGEFSSLVSFLMENLLSHSYIVIAYDATKDRGMHELKRTINEVRLRGDILHTGDRLIVLGVLHKVPNSLGYMKACPDSFGGASASVLEEEVTKKINVYLNMLLESAEVCEDEGVTIEVKITAGTPMKQVILQEVVSYKATWVILDRHLRRDLKFYLKQIPSKLALIHDNLSVELKRPHFANETDPIEQKPFYSMSKPVPLLNRQGGENEGQCVISCRSFSLSINSLESSDMHSSSSLPSSSYGSREHSFLLDFGASSKQHKAGTYNKPDSNYPPSTQIAHHKNVSRRQTSEAPILCSACGARTEFYIKDAMRFTFSEIQLATQDFSKENLLGEGGYGHVYKGELKDKQLIAAKVRKEASTQGFAEFQSEVYVLNFARHKNIVMLLGFCCKENLNILVYEYICNKSLDWHLFDKAAIVLDWHQRYSIAIGTAKGLRFLHEECRSGPIIHRDLRPGNILLTHDFVPMLGDFGLARWKTTDEVQTRVLGTLGYLAPEYAENGIVSVRTDVYAFGIVLLQLISGQKVVDLKRVEGQQSLRQWAEPLIERLALHELIDQRIVDSYDTYELYLMAKAAYLCIQRNPERRPSMGEVYGSDPLTFVH >Manes.09G011835.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2720983:2723746:1 gene:Manes.09G011835.v8.1 transcript:Manes.09G011835.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNHCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLNILINCFSHLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGCQPDVYTYNTIINGMCKFGKTNVAIGLLKRMADRGCEPNVVTYSAITDALCKDELVGEALELFSQMRNRGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTIVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPNAVTYSTLIKGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINVMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFRDMEKGGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATKELVVHLSLNNDLILRLLKVRNEGSAN >Manes.16G014200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1407451:1415081:-1 gene:Manes.16G014200.v8.1 transcript:Manes.16G014200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSISHINFSSLLFHFLLIIYLTNAALEICYNTGNFTANSTYAENRGLLLSYLASNVTENGGFYAATAGQGTDKVYGLVLCRGDTPSEQCPKCVNTAISKLIEKCPNQKEALLSVGDPPCFTRYANCSIVGLLELNPTDAGYNVNNIASNMEEFDEIWSSLMCRMVARASRGSSKVKFATEEANLTPTQKIYALMQCTPDISERNCSYCLRETVGYYRSCCYGKQGGYVNKPSCIFRWELYPFYNSIADAPTLSPSPPPPPPLSIFPPPANNTTTKDNGATAARTVVIITVPTSFFAALVGLACSFFYYRSCKKKTESEDLEEISSTECLKFNFETIRLATNDFSDNNKLGQGGFGAVYKGVLPDGQVVAIKRLARKSKQGEVDFKNEVMLVARLQHRNLVRLLGFCFERNERLLIYEFLTNSSLDHFIYDQEKRLLMDWNTRYKIIVGIARGILYLHQDSQLRVIHRDLKVGNILLDEQMNPKISDFGTARLFPTNQSQYATSKIMGTFGYMAPEYVFHGIVSAKSDIFSFGVLILEIISGQSINKFRNDEGEGEGNLLTYAWKNWNAGTASKIIDPVLVGAASTNEILRCVQIGLLCIQEDAAKRPTNYGFGDSHA >Manes.11G031000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2924459:2928811:1 gene:Manes.11G031000.v8.1 transcript:Manes.11G031000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDGRKAVVSVTLWLIFVLLSATFRNLKLAAAKDDKLAEKRKLADSNSGFLSIDCGVDQDYFDEKMEIFYKSDKDFTSTGENKYVSPGYDDDDDSSYTGRILHSLRFFPKGRKNCYKLRPENGKNQNYLIRAFFKYGNYDAQYQIPKFDVYLEANFWMTVYLPNASYPLMYEIIYFSLTDIINICLVNTLSGTPFISALELRLLNNSVYKIESKSLNTLRRDDLGNSTNRMVRYKNDVYDRIWYTSAATDYISLNTTSNIEVQSINNTLKTPVDVLRTALQLRSPLRSLGRSFPGLNNYGNENEYYACFHFAEILPISQGKGKRPREFTINFNGANYGRTTLQYLNPLTTCYGPRKSRVNGLVDFFINQTVRSDLPPILNAFELFYVMPPLVSPTDPADVDAMTVIQQMYNINKDDSWQGDPCLPRDYSWAGLNCSYDTNSPRIISLDLSGSKLTGKISSSFSNLTAIRFLDLSGNELTGTVPEFLAQLPNLTVLNLSGNKLTGSVPQSLVQKANNRLLQLSLEGNPSLCQTDSCEKKKHNNVLLPVVISFATVMVLLFLSSIFFFWRMKRQEATSQSKKEGLVISTNRSFSYSEIVSITNNFETIIGEGGFGKVYFGTLKDNVQVAVKLLSQNSRQGYKEFQSEAQLLMIVHHRNLVSLIGYCDDRHNKALIYEYMVNGNLREHLSETSGSTLNWNERLHIAADAAHGLEYLHNGCKPPIIHRDLKTSNILLNEKLRAKISDFGLSRAFANESGSHITTRPAGTIGYLDPQAQSSGNFNKKSDIYSFGIILLELITGQPAIRRDVNGEIIRIQEWVTPIIENGDVRSIVDPRLQGDLDTNSAWKAVEIALSCVLNTATRRPDMTDVLIELKECLGMVTAVVGSQRIDRGRTRSINSLEMRSLETYTETAPSPR >Manes.10G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4402689:4405391:-1 gene:Manes.10G042700.v8.1 transcript:Manes.10G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSGESKSTPATINLKPIEATPESFQEFGQVIEPSADGEEFGPQDAQLDLSQGIPRFYIMHLENRPLKFSTITHHASVTQCLGSIGGHFWYLGVAKPSIFGSEKPEGGVELVKSRCGHFYAPPAPDEVRVFRFSGPKFVKLHLGTWHAGPLFKGDSMDFYNLELSNTNVVDHTTHNFKNRDGVVFSVEE >Manes.11G069000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9792437:9797836:1 gene:Manes.11G069000.v8.1 transcript:Manes.11G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKKKNKKKSYIAKASTAENSSRSVSALQAFFVHLICGLGLAIALWVAHNFYAINLVSDPSRTLRLIWIVQSPIVTLLYSWFRLDPERCSYLKAVGRGMLALPAGALVNALGAIVLGAPVGIEYLPKTINWSVLMSLCTFVPAASVFGSSWSHWQRMFAHTKPNEPLEYMICIPAHGAVIGAWLGAWPMPLDWERPWQEWPVCVTYGAMTGYLVAMVVSLGFVVVHGRRQNLKRD >Manes.01G220601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38867757:38869381:1 gene:Manes.01G220601.v8.1 transcript:Manes.01G220601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVVGQDYGTGVLSSSKHGVKTDRFELKGQSWYVATDIPSDLLVQIGVVYFHLHKYPLHSRCGKMNRLIYESRDPDLSKIALDDLPGGAEGFELVAKFCYGIAVDLTATNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKL >Manes.14G161401.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23415002:23429834:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23414508:23429834:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23411691:23429830:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23411691:23429830:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23410719:23429830:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23411691:23429832:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23410719:23429830:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23410766:23429833:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23414858:23429869:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23411691:23429832:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23415326:23429327:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23410922:23429830:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23414858:23429912:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23411691:23429831:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23411691:23429831:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23410766:23429832:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23414508:23429834:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23410922:23429830:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23410766:23429833:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.14G161401.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23410766:23429832:-1 gene:Manes.14G161401.v8.1 transcript:Manes.14G161401.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRLDFNSTYPDSDQIPYIESGVYVAYNNESGGVIQHLKEDGILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLREQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKTNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKQEKTEEEKTFKRRAKYFLATQVVAILLFLSVMGGYDFSEVDVGDNDEGYGYD >Manes.09G031700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6411299:6418779:-1 gene:Manes.09G031700.v8.1 transcript:Manes.09G031700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKVINHSTRLEHQQQQQQQNQHHQQQHQQQHQIQEHHPHHQQIPYGMMQSSSSSTIPGNFIRSKDAGAYDLGELDQALFLYLDGQDPSTVQDQRQSGGMRPPTLNIFPSQPMHVEPTSAKTNTPGLVSSATSGSKRPSEPSMELANARNAASASGPEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARAQGIFFGGGGLLGGDQGLPAGNISAVFDMEYTRWLEEHHRLTCELRAAVQEHLPENELRLFVDNCLAHYDVVMNLKGMVAKVDVFHLVSGMWKTPAERCFMWIGGFRPSELIKIILNQIEPLTEQQILGIYGLQQSTQEAEEALSQGLEALNQSLSDTIASDSLSCPPNMTNYMGQMAVAINKLSTLEGFVRQADNLRHQTLHRLHQILTIRQAAKCFLAIAEYFHRLRALSSLWLARPRQE >Manes.09G031700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6411289:6418885:-1 gene:Manes.09G031700.v8.1 transcript:Manes.09G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKVINHSTRLEHQQQQQQQNQHHQQQHQQQHQIQEHHPHHQQIPYGMMQSSSSSTIPGNFISKDAGAYDLGELDQALFLYLDGQDPSTVQDQRQSGGMRPPTLNIFPSQPMHVEPTSAKTNTPGLVSSATSGSKRPSEPSMELANARNAASASGPEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARAQGIFFGGGGLLGGDQGLPAGNISADAAVFDMEYTRWLEEHHRLTCELRAAVQEHLPENELRLFVDNCLAHYDVVMNLKGMVAKVDVFHLVSGMWKTPAERCFMWIGGFRPSELIKIILNQIEPLTEQQILGIYGLQQSTQEAEEALSQGLEALNQSLSDTIASDSLSCPPNMTNYMGQMAVAINKLSTLEGFVRQADNLRHQTLHRLHQILTIRQAAKCFLAIAEYFHRLRALSSLWLARPRQE >Manes.09G031700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6411299:6418779:-1 gene:Manes.09G031700.v8.1 transcript:Manes.09G031700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKVINHSTRLEHQQQQQQQNQHHQQQHQQQHQIQEHHPHHQQIPYGMMQSSSSSTIPGNFIRSKDAGAYDLGELDQALFLYLDGQDPSTVQDQRQSGGMRPPTLNIFPSQPMHVEPTSAKTNTPGLVSSATSGSKRPSEPSMELANARNAASASGPEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARAQGIFFGGGGLLGGDQGLPAGNISADAAVFDMEYTRWLEEHHRLTCELRAAVQEHLPENELRLFVDNCLAHYDVVMNLKGMVAKVDVFHLVSGMWKTPAERCFMWIGGFRPSELIKIILNQIEPLTEQQILGIYGLQQSTQEAEEALSQGLEALNQSLSDTIASDSLSCPPNMTNYMGQMAVAINKLSTLEGFVRQADNLRHQTLHRLHQILTIRQAAKCFLAIAEYFHRLRALSSLWLARPRQE >Manes.09G031700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6411289:6418885:-1 gene:Manes.09G031700.v8.1 transcript:Manes.09G031700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKVINHSTRLEHQQQQQQQNQHHQQQHQQQHQIQEHHPHHQQIPYGMMQSSSSSTIPGNFISKDAGAYDLGELDQALFLYLDGQDPSTVQDQRQSGGMRPPTLNIFPSQPMHVEPTSAKTNTPGLVSSATSGSKRPSEPSMELANARNAASASGPEPAKAIKREGNRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIRLTQLEQELQRARAQGIFFGGGGLLGGDQGLPAGNISAVFDMEYTRWLEEHHRLTCELRAAVQEHLPENELRLFVDNCLAHYDVVMNLKGMVAKVDVFHLVSGMWKTPAERCFMWIGGFRPSELIKIILNQIEPLTEQQILGIYGLQQSTQEAEEALSQGLEALNQSLSDTIASDSLSCPPNMTNYMGQMAVAINKLSTLEGFVRQADNLRHQTLHRLHQILTIRQAAKCFLAIAEYFHRLRALSSLWLARPRQE >Manes.07G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3414378:3429040:-1 gene:Manes.07G031200.v8.1 transcript:Manes.07G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVEEDNQTQIQNPKPMDASYRDSIAENPNSPASSDSPDDSDADSEDESHQSDELKTLEAELSNNPSNYYAHVQYIKLLRKMGEIEKLRQARETMSAIFPLTPAMWQEWAKDEASLSSGDEVFSVVEKLYERGLLDYLSIPLWCDYLNYLQECDPSVRECSSAAISKARNVFERALTAAGLHVAEGNKIWEGYREFEQALLCTIEEADTKAKEAQVQRIRGIFHRQLSVPLINMGSTLLAYKAWEVEQGNALDAESSDMDGIPYHVASAYQKAMEMYNARVQYEEQISKQDISETEKLQNFMTYLNFEKSAGDPARVQVLYERAITDFPISNDLWLDYTRYLDKTLKVGNVVRDVYFRATRNCPCVGELWVRYLLTLERGRASEKEISSVFEKSLQCTFSTLEEYLDLFLTRIDGLRRRILFGSETEDILDYSLIKETFQFASDYLSPQLKNSDGLLRLHAYWSHLELNLGKDLVAARGVWESLLKISGSMLEAWQGYIAMEIELGHINEARSIYKRCYSKRFPGTGSEDICHSWLRFEREFGTLEDFDHAARKVSPRLEELQLYRIQQESKAFAASTDQKETNIKKSVREKRKGGPEFTDEQSPAKRQKPISQTLKKEYEKHKDQAQNLAEVTEEKEVKANIGKTESIHENQRRDSDSGRNKVYTDQCTAFVSNINLKANYEDLRKFFSDVGGVVSIRILRDKFTGKSRGLAYVDFSDDKHLAAAISKNKQMLLGKKLSIARSDPNPRKKDGHNVSKQHEHSTDRSGAPGRTKSVALNQDDNIQLKGKSTFLVPRNVKMNKPKTVEERDEQPKSNDEFRKLLIKG >Manes.S095204.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251162.1:15904:18864:-1 gene:Manes.S095204.v8.1 transcript:Manes.S095204.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENDNHYLPLYKAALHGDWITAKRIFDSDSNALTAKILGLHETALHVSISAGHSIEFVQKLVDRMSADELGIKNKYGNTPLHYAGVAGNTAAAKLLVNKNPKLPQERNSDNDTPLHRAAAYAHEDTVQYLLLVTEDEEDPIHSSPFTDEDGVRLLNLLIIADFYSIALSVLKRYPGLARKRDYNGFSALDRLVEKPHAFLSGSRLGFFQRFLYHYFAVTSADKASVHQGKDVETPDGSFDEYKNESLQFQFLQHIQKTKVMHKQAMELLRLLISEALKGSVLEADNLLGPPTRIAAILGIQEFVTEMIKSYPGTVWLRNMAGQNIFLLAVKHRQEKIFNLLYQMGTHNIFAASLADDLGNNMLHLAGKLEPSIKISGAALQMQRELQWFKEVEKVVQPSYKEMKNNDRQTPRMVFTAEHKDLVEKGEKWMKDTATSCATVAALVVTVVFAAAFTVPGGNDSDVGIPIYLKETSFMIFAVSDTLGLFSSSTSLLMFLGILTSRYSEEDFLRALPMRLSIGLIALFFSIASMLTAFTAAFHLVLFHRVRWITIPIGLLACAPVTLFALLQFPLLVEIVSSTFGPSIFYKESEEIIF >Manes.S095204.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251162.1:15904:18864:-1 gene:Manes.S095204.v8.1 transcript:Manes.S095204.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKLKHESHLYFFFLGVQEMGNENDNHYLPLYKAALHGDWITAKRIFDSDSNALTAKILGLHETALHVSISAGHSIEFVQKLVDRMSADELGIKNKYGNTPLHYAGVAGNTAAAKLLVNKNPKLPQERNSDNDTPLHRAAAYAHEDTVQYLLLVTEDEEDPIHSSPFTDEDGVRLLNLLIIADFYSIALSVLKRYPGLARKRDYNGFSALDRLVEKPHAFLSGSRLGFFQRFLYHYFAVTSADKASVHQGKDVETPDGSFDEYKNESLQFQFLQHIQKTKVMHKQAMELLRLLISEALKGSVLEADNLLGPPTRIAAILGIQEFVTEMIKSYPGTVWLRNMAGQNIFLLAVKHRQEKIFNLLYQMGTHNIFAASLADDLGNNMLHLAGKLEPSIKISGAALQMQRELQWFKEVEKVVQPSYKEMKNNDRQTPRMVFTAEHKDLVEKGEKWMKDTATSCATVAALVVTVVFAAAFTVPGGNDSDVGIPIYLKETSFMIFAVSDTLGLFSSSTSLLMFLGILTSRYSEEDFLRALPMRLSIGLIALFFSIASMLTAFTAAFHLVLFHRVRWITIPIGLLACAPVTLFALLQFPLLVEIVSSTFGPSIFYKESEEIIF >Manes.04G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32368535:32369015:1 gene:Manes.04G122100.v8.1 transcript:Manes.04G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRARSLMVIFVVLGMAVGQSAASFGGCYKSCFLKCIITPPGNSPISCGVKCLKDCIIPSSLTTSTAKEQTHYFCNFGCASSLCTNFSTKQDPGEENVTKCVDSCSTRCSKNFSP >Manes.11G006900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:772845:777945:1 gene:Manes.11G006900.v8.1 transcript:Manes.11G006900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVARTGRHQQRYEAGCRLVAGCIPFRYRNYVENDDADADAEKIVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGELMDFIGNYHFKSKTHQDECCPEGLCKASMFALFVKEELESWPEQSTRTRSWLTLSEAVENCRHKWMEEALKQFSIWLADKM >Manes.11G006900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:772845:777945:1 gene:Manes.11G006900.v8.1 transcript:Manes.11G006900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKTHFFISRVTKSTGYIGRCIPFRYRNYVENDDADADAEKIVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGELMDFIGNYHFKSKTHQDECCPEGLCKASMFALFVKEELESWPEQSTRTRSWLTLSEAVENCRHKWMEEALKQFSIWLADKM >Manes.11G006900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:772845:777945:1 gene:Manes.11G006900.v8.1 transcript:Manes.11G006900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAKTHFFISRVTKSTGYIGRCIPFRYRNYVENDDADADAEKIVEVLMINSTSGPGLLFPKGGWENDETVEEAAVREAIEEAGVRGELMDFIGNYHFKSKTHQDECCPEGLCKASMFALFVKEELESWPEQSTRTRSWLTLSEAVENCRHKWMEEALKQFSIWLADKM >Manes.04G139100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33842278:33850705:1 gene:Manes.04G139100.v8.1 transcript:Manes.04G139100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLSAMSSMRVRASWDTQQRLPYNPNAPRNPNKKPLSKPNSLSPTTSPLASPSISLTAQTVSDLLKRNTKAQGVLTKLEETYLGYERWMPSPPKVEKPRSMYNAASLAYIGDSIYELYARRHFLFPPLSMEEYNDRVMAVVRCEAQDALLQKLLNDNCLSAEERDVLRWGKNIGSAKTRTKKRAGAAVYNRASSLETLIGYLYLTNADRLEEIMLKLGFSTDSSAQVIPEEVG >Manes.05G091350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8324292:8333739:-1 gene:Manes.05G091350.v8.1 transcript:Manes.05G091350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTSTLSPYFQPKISLSQQSDAFGRPHGVLVSFSNTALSFTSRRTCFRYRTLVVRAVDGGSRRQSNARRVYRQSQGESAFRSAPVQQIASFVVPAGAFMAFTFVLWKLVEKIVMPKPKRPTLVENKSSAPAKGMNWSFAAGTNLFPGLTAKIDRESKQKLNEFAKEIRSFSIVDMSGCNFGDDGLFFLAESLAYNQTLEEVSFAANGITAEGIKAFDRVLQSNIVLKTLNLSGNPIGDEGAKSLCDILVDNAGIEKLQMNSVDLGDEGAKAIADLLKKNSNLRVIELNNNMIDYSGFTSLAGAILENATVRSIYLNGNYGGALGANALAKGLEGNKSLWELHLQGNSIGDEGVRALMSGLSDSKAKLTHLDLGNNSISAKGAFHVAEYVKKSKSLFWMNMYMNDIGDEGAEKIAEALKQNRSLAIIDLGGNNIHAKGISEIAQVLKDNSVITTLEVGYNPIGPDGAKALSEVLKFHGNVKALKLGWCQIGAKGAEYIADTLKYNNTITILDLRGNGLRDEGAMCLARSLKVVNEVLTELDLGFNEIRDDGAFAIAQALKANEDVKITSLNLANNFLTKFGQSALTDARDHVYEMNEMEVNIVF >Manes.18G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1534046:1535752:1 gene:Manes.18G014100.v8.1 transcript:Manes.18G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGDRVMVIKKADIDTRAPFRSVREACSLFGEKVLAGELYSDKIKEMQFGAVENGQDSSKLGTVTAELEETKLSLQKAKEESMIMASYLSSLREELERTKRELQQLKVREAEMESEIEDVKVVEDSTKFEVKMLPKAQEEEEGGEIEFQNKRYVTFANPPSLAQGIVPQGVEALGRHPCLSKKTKKKNPLIPLIGGILSKTKATHKLYSS >Manes.18G136600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22426517:22441126:-1 gene:Manes.18G136600.v8.1 transcript:Manes.18G136600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHILRAALRKPQTNPPWNPKSNSLVPPISSSFNHNHFCYFSSNSPKSNLGKAKKTDQKSKKKSTDESAADLSAAGGEVDAAAFDGRASRVRQLVEDEKDPSLDVGPNGRPLFTSTSSLSQLTRKDTFSYVKFSEEEWNEVLPEGLPIGMVKEFEDSMRTALLVRESFLDLRDNFRRIVHPSLHSSDGKGPKVRKQIVLDGPVSCGKSIALAMLVHWARDEGWLVFYVPRGREWTHGGFFYKHPDTGLWDTPVQAQNILKDFLKYNDRHLKKLPCHILDPVPLGEGAGIGWMKGIDSMAVPEGSTLFDLIQIGMKHTHAAVGVVVRLRKELSLVKDMPVLIAIDQYNNWFTFSEYEEPVTIRSCRPIHARELATVNAFRSMMHNDMMVGAFSHSTAVGKLRQDLPDVPVDARVNLARYDLNEAAAVCHYYLRQRLVRREAFSEENWKKVYYLSNGNGAEMRWLAPLMQ >Manes.18G026500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2321271:2325926:1 gene:Manes.18G026500.v8.1 transcript:Manes.18G026500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARRALLRLVLVLLLIHCSLAQQSFLNSPSERIALLDLRSSLGLRGADWPIKSDPCNNWKGVQCKNGRVAGINISGFKRTHVGRSNPSFNVDSLVNLTFLEYFNASGFSLPGSIPSWFGYGLGSLQVLDLRSSSVSGPVPESLGNLSRLGALHLSDNNLAGSIPSALGQLMQLSVLDLSRNSLTGQIPPNFALLSNLSRLDLSSNYLTGPIPPGLGNIDSLKFLNLSDNNLAASIPVELGNLSQLVELNLTKNSLSGSLPAELAGLKDLVRMEIGENGLEGELPDSLFSSLEKLQVVMLSGNKFDGALPVALLSLPSIRVLDASSNNFTGVLPTFSSNINASGVLFNLSNNMFYGTLSSSFGNVSLIDLSANYIQGKVPDGSQSNISLDRNCLQAVTNQRSLSDCKLFYAERGLSFDNFGTPELSEPPLPGHEAAPAPKKRRKRWIYILVGLLGGLGFIVVLVLIMIFVLRKCDKTIANQRGSSNVGPVPEGHIPSVPKDPVILSNLKDSFTYEQLLCATGEFGDANFIKSGHSGDLFRGFLDGGSPVVVKKVNFLSGKMKESYMTELEFFSKYSHTRLVPLLGHCSENDNVKFLVYKYMPHGDLASSLYSVSDSEDDSVQSLDWITRLKIAIGAAEGLSYLHHECNPPIVHRDVQASSILLDDKFEVRLGSLGEVCIQEGDSCHNVLTRLLRKPQSSEPGPSGSSSVTCAYDVYCFGKVLLELVTGKLGISRSDDETTKEWLEQTLGYISIYDKELVTKIIDPSLIIDEDLLEEVWAMAIVARSCLNPRSSKRPPMKYILKALENPLKVSREDSYSSGRLRTTSSRRSWNAAIFGGRRHSSSENAVPGHTNREGVSGSKQPGRIGSHGSGGIEHSYSNKRLSSEIFPEPLEMQDVEQQYGH >Manes.18G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2321218:2325926:1 gene:Manes.18G026500.v8.1 transcript:Manes.18G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARRALLRLVLVLLLIHCSLAQQSFLNSPSERIALLDLRSSLGLRGADWPIKSDPCNNWKGVQCKNGRVAGINISGFKRTHVGRSNPSFNVDSLVNLTFLEYFNASGFSLPGSIPSWFGYGLGSLQVLDLRSSSVSGPVPESLGNLSRLGALHLSDNNLAGSIPSALGQLMQLSVLDLSRNSLTGQIPPNFALLSNLSRLDLSSNYLTGPIPPGLGNIDSLKFLNLSDNNLAASIPVELGNLSQLVELNLTKNSLSGSLPAELAGLKDLVRMEIGENGLEGELPDSLFSSLEKLQVVMLSGNKFDGALPVALLSLPSIRVLDASSNNFTGVLPTFSSNINASGVLFNLSNNMFYGTLSSSFGNVSLIDLSANYIQGKVPDGSQSNISLDRNCLQAVTNQRSLSDCKLFYAERGLSFDNFGTPELSEPPLPGHEAAPAPKKRRKRWIYILVGLLGGLGFIVVLVLIMIFVLRKCDKTIANQRGSSNVGPVPEGHIPSVPKDPVILSNLKDSFTYEQLLCATGEFGDANFIKSGHSGDLFRGFLDGGSPVVVKKVNFLSGKMKESYMTELEFFSKYSHTRLVPLLGHCSENDNVKFLVYKYMPHGDLASSLYSVSDSEDDSVQSLDWITRLKIAIGAAEGLSYLHHECNPPIVHRDVQASSILLDDKFEVRLGSLGEVCIQEGDSCHNVLTRLLRKPQSSEPGPSGSSSVTCAYDVYCFGKVLLELVTGKLGISRSDDETTKEWLEQTLGYISIYDKELVTKIIDPSLIIDEDLLEEVWAMAIVARSCLNPRSSKRPPMKYILKALENPLKVSREDSYSSGRLRTTSSRRSWNAAIFGGRRHSSSENAVPGHTNREGVSGSKQPGRIGSHGSGGIEHSYSNKRLSSEIFPEPLEMQDVEQQYGH >Manes.09G056000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9013752:9016686:1 gene:Manes.09G056000.v8.1 transcript:Manes.09G056000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLPVEVIGNILSRLKAARDVVIASTTCKKWREAWRIHLHTLSFNSNDWPVYHDLTTSRLEILITQTIFQTTGLQSLSIFMDDADEFSAAPVIAWLMYTRETLRELHYNVRTTPNINIIEKCGRHKLEVLALAHNSISGVEPSYQKFPCLRSLALSFVSICALDLSLLLTACPRIETLTLINPDVVMSDAQATMELTSSSLKEIYVEAISLDKFILEADSLEKLHLKDCTLELFELIGKGTLRVLKIDDVSVLQLDIGESTANLEVVDVSNFTITGPKFYNMISKSSKLMRLRLWGVVFDDEDEVVDLENMPVCFPRLTHLSLNYDLRDEVLHYGLHGSYQFNNVAVLELGWSVINDQFTDWVAGLLARCPNLRKLVIYGVVSEAKTHVECQILANFTSSIVRLMRKYMHVEVQFEYE >Manes.08G173900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40819085:40822499:1 gene:Manes.08G173900.v8.1 transcript:Manes.08G173900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTRLGGSVGGAKEQLGYVETDPSGRYGRFRDVLGKGAMKTVYKAFDEVLGMEVAWNQVALNVVVRSPEELQRLYSEVHLLKNLKHDCIIKFYTSWIDIDRRTFNFITEMFASGTLREYRKKYQRVDIRAVKNWARQILHGLSYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQHAHSVIGTPEFMAPELYEEEYNELVDIYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPEAFYRIKDVEAQKFVGKCLETASKRLPARELLLDPFLASDESKLLPVPKIPFQMSSLNGTEEIIPSLLADPTKATEMTITGTLNLEDDTIFLKVQISDKDGSTRNVYFPFDTMNDTAIDVAIEMVKELEIIDWEPMEIAEMIEEQITSLIPGWNLSQVYHRQNSFNYDDEEEDRNHHPFYSISSRSSSQASLLALNSSFGKQQHQLGSNHEWLHGEMFMNDDTSSQSSFDCSNLNYCSGNEDDGEISGGERLCFTKAHKSTRFCPADSLSAKQSRQSNWQLDSWEGSSSKPQRKLSRIRSFVDVRSQLLHRSLLEEIHKRRMFNTVGAVENIGFQEPGFPDDDDKQRFKW >Manes.05G035000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2953887:2956650:1 gene:Manes.05G035000.v8.1 transcript:Manes.05G035000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGQNKGHVVTKKELAPRPSDRKGRTSKRVSFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAGGAGEKKK >Manes.05G035000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2953695:2956650:1 gene:Manes.05G035000.v8.1 transcript:Manes.05G035000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLFVGQNKGHVVTKKELAPRPSDRKGRTSKRVSFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAGGAGEKKK >Manes.10G020100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1945892:1947169:-1 gene:Manes.10G020100.v8.1 transcript:Manes.10G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGGRRSNIFDPWSLDIWDPFEGFPLFTGPQTNVPSTQRETAAIATTRVDWRETPESHIFTADLPGLKKEEVKVEIEDGRVLQISGERSREQEDVNDKWHRLERSTGKFLRRFRLPENANMDQIRANMENGVLKVVVPKEAAAKKPDVKSIQISG >Manes.12G027401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2413098:2413770:1 gene:Manes.12G027401.v8.1 transcript:Manes.12G027401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFCEKTWSHTLLATANSALIRSNGLQRQALLLPCISTPDFLNMFFEKIYKPLPQVLAMLWRRHPENVEVEQVRVAHYCAAVSIMILYLLIQFLWLILCLVAVGFVLQLIYIKRKLCIYRAQNHGKEANMEREDIKMVVKKWWDIHNDESLADLKAENSVPAEEETFSRLKTQ >Manes.01G274100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42744540:42745949:1 gene:Manes.01G274100.v8.1 transcript:Manes.01G274100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGVCSQTSFTSAMSLTNSGASNVPDSYILPSSARPNATLTPSTTLPIVDLSILHHPSLRSRVVNEIRSACNEIGFFQVINHGIPLHVMKDALDAVMGFFDLPLEEKMLLMSGNVHAPVRYGTSLNHSRDKVHFWRDFIKHYSHPISEWIHLWPANPPSYREKMAKYATAVQNLQKQLMRVVLESLGLNPNYLRNEIEEGSQVMTMNCYPAGPEPQLTLGMPPHSDYGSLTMLHWSSDHGPEQELDPSSSD >Manes.10G024026.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2350455:2353650:1 gene:Manes.10G024026.v8.1 transcript:Manes.10G024026.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVWLASFLLLFHFHFQASVSFSLNSNSSAMLCQRDQSLALLQLKETFSIAKAVFVPPYYPKPYLKTKYWKEGTDCCWWDGITCDMETGNVIGLHLSNSLLYGPIYSNNPLFSLRHLRKLDLSFNDFNHSRIVPQFGQFSKLTHLNLSYSGFVGQIPSEITYLSGLLSLDLSSNDGLISETTIFTKLVQNLTQLRELDLSYVNMSVVAPSSLMNLSSSLTSLQLNSCEMQGKIPDISRLSKLVSLDLFWNGGLTIEPMVFDKLVRNLTKIRDLDFGDVNMSMVEPSSLTNISSHLSSLALGKCELKGKFPDNIIQRTNLQLLDLFGNEDLNGSLPRHNWNNSLRSLILSFTQFPIYLDYDFISNLKSLEILELRSCNFRVSNLKLLGKLTRLIMLDISSNNFSGQIPSSLGSLKRLSYLYLSNNNFSGEIPSSFKNLKQLQILWLENNLLNATIPPSVFTLPELWRLILNNNQLTGHLGPFQDNSLSYIDLSNNKLYGFIPSSIFELADLTVLILSSNKLVGEVSSAVCNLNSLQILDLSNNNLNGFIPQCLGNFSNDLSVLHLGMNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMRAPNSSYDYSVSLTFKGVEIELGRIQTLLTTIDLSGNKFTGEIPQSIGKLKALKLLNLSHNQLTGNIQPSLRELSNLESLDLSSNFLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDKSSYEGNLGLCGFPLEKCNNGERQKPEISKEDDSNSKFGFGWQPVVAGYGCGVIFGIAMGYRVFKTRKPIWFVRIVEGQRRPKPKRFKN >Manes.09G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31089125:31092824:1 gene:Manes.09G108800.v8.1 transcript:Manes.09G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTSLNEDWEVRPGGMLVQRRESAVADGSDDAGPLIKINVCYGPSHHEIHIPAQSTFGYMKEIIESKIGLEPKQQKILFRGQEKEDGEYLHEAGVKDKSKVLVLENLVSKEKPAEMKEHEGTSKSEVKENEEISKREMKEREETSKAFQAIAEVREEVDKLAERVSALQVAVNGGTRIAEEEFGMSSELLMRQLLKLDAIEAEGEAKVQRKAEVRRVQNYHDLLDNLKSSNSKPFSNSSNTVSVTTEWETFDSGLESSSPPPASSSTRITQDWERFD >Manes.09G108800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31089168:31092724:1 gene:Manes.09G108800.v8.1 transcript:Manes.09G108800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTSLNEDWEVRPGGMLVQRRESAVADGSDDAGPLIKINVCYGPSHHEIHIPAQSTFGYMKEIIESKIGLEPKQQKILFRGQEKEDGEYLHEAGVKDKSKVLVLENLVSKEKPAEMKEHEGTSKSEVKENEEISKREMKEREETSKAFQAIAEVREEVDKLAERVSALQVAVNGGTRIAEEEFGMSSELLMRQLLKLDAIEAEGEAKVQRKAEVRRVQNYHDLLDNLKSSNSKPFSNSSNTVSVTTEWETFDSGLESSSPPPASSSTRITQDWERFD >Manes.09G108800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31089169:31092657:1 gene:Manes.09G108800.v8.1 transcript:Manes.09G108800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSTSLNEDWEVRPGGMLVQRRESAVADGSDDAGPLIKINVCYGPSHHEIHIPAQSTFGYMKEIIESKIGLEPKQQKILFRGQEKEDGEYLHEAGVKDKSKVLVLENLVSKEKPAEMKEHEGTSKSEVKENEEISKREMKEREETSKAFQAIAEVREEVDKLAERVSALQVAVNGGTRIAEEEFGMSSELLMRQLLKLDAIEAEGEAKVQRKAEVRRVQNYHDLLDNLKSSNSKPFSNSSNTVSVTTEWETFDSGLESSSPPPASSSTRITQDWERN >Manes.08G078900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22467689:22470690:-1 gene:Manes.08G078900.v8.1 transcript:Manes.08G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVTKVTHCCAVSGDISRRHEIAVYVGEHLHKGLGHSFCYIPVDQDRLSNSKIHSEETTAFFSISGASVSANTSTSLSDACLYSSSLDEASAFESSDSFAFVPLQPVPRGHLQSSSTLLVPGSSPIERGFLSGPIERGFLSGPVERGFVSAPIDSSLYSGSMEKEKEKESGSGKIQRSFSHGSIGIEEIQVKTKKQNFIKTLKRVISNTISRGKKSIMAPIKGVNKPVPVSCSPNLSAQLSSSNELDDDSLFSMESQNLQWAQGKAGEDRVHIVISEEHGCVFVGIYDGFNGPDAPDFLLSNLYTNVNEELKGLLWSDKFEPTSKTEKSEEATPSTDLNHHPKIKQGKNSRHRSKAPAKRWEESQSRWRCEWDRERMKLDRKLKDELNRKGSNSNGVNHFDVLKALSQALRKTEESYFEIADKMAEAKPELALMGSCVLVMLMKGEDVYLMNVGDSRAVLAQKCENQDLKVITEETMRDLEVFNGDELCKFNSLSSVQLTMDHSTYVHKEVQRIKKRHPDDPSAVMNDRVKGYLKVTRAFGVGFLKQPKWNDLLLEMFRIDYVGNSPYISCFPSLYHHRLSSRDRFLILSSDGLYQYFTNEEAVSEVELFISAFPEGDPAQHLIEELLFRAAKRAGMDFHELLAIRQGDRRRYHDDVSVIIISLEGRIWRSSV >Manes.06G013500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2244746:2245120:-1 gene:Manes.06G013500.v8.1 transcript:Manes.06G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHSQSESQSNSIQDIFESSLNLEDTHYGEGYSHGVVAGKEEARQVGLKTGFEVGEELGFYRGCVDVWNSAIRIDPTALSTRVQKSIKQMEELIEKYPRLDPEDESVEEIMDSYEYKCVLVPY >Manes.15G176704.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:18569609:18571385:-1 gene:Manes.15G176704.v8.1 transcript:Manes.15G176704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIKFMQVLRRFGGCGHKGAKFLPFGRNSGSAAEVTFGRRTPLWRQFRLPKPAPETQGSSLSGRFGRRKCRRTCMTFGCRGTFGRRTCRRKCPVQPFLACFHVMFSGCFRGFLGSFSESRSCMFGASFESTCVGSDPRNRDPRL >Manes.13G081700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16916784:16918229:-1 gene:Manes.13G081700.v8.1 transcript:Manes.13G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAPTVLSAVSLILLFNCVVCAEDDPTQGFVQLPFNSSFYYIQKPYDLDVSKRYSFVNGTHKLWVYSTDKPLARSSPTRPRTEVIINGYNYTSGVWQFEGTAYVPTGTSGVCIMQVFGADPNATITTTLMLRVYSGNLTYYTDPVIVPQIYDRWFRVNVIHDMDASYVSAYIDGVLSYEGSGRGGAFHFFKFGVYAQKNDSDYMESRWKDIKIFKKIVKDSHKKHHRHRNKISGFH >Manes.18G084700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7847468:7849113:-1 gene:Manes.18G084700.v8.1 transcript:Manes.18G084700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPAAKFARVTDSYGFQAQKTPQNYVNHKTLNGFPEIGAERKLIDDEDSGMSSPPLWRTSPQHRQNHYRCLSPSSRAQAIARGQKELMEMVSQMPEGCYELSLRDIVEKNMVDQTKEESFSREMKMNARERAEKRTNDKKLQMSRSGSVDNGGFLLKMVFPISWGSRKKKKKKNSNNVVMNNSVRDGRVSPKPLLFDGSAKSVENEWWKNRFLEPGESESGGFSSNSGSSKSSGRSSRSSSRNSTARNGGDGCWAFIFRKRGKKE >Manes.18G025364.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5742443:5743183:1 gene:Manes.18G025364.v8.1 transcript:Manes.18G025364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIITWNCHGAASSTFRNAFQEYKRLYHPNIFCLVEPRISGEAADEVCGLLGYENWIRVEAVGFSGGIWLLWSEDGFRIELVVTDPQFITVAINFSTGEKWLFSVVYASPDIYLRRKLWQSLSGENSLSISKWIVAGNFNSVVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVGSGFTWQRSGENVPYQAARLDRCFVSTDWRLDYVDAIVEHPPKLHSDHVLIVIKCQGVLAFGVRFLFGF >Manes.16G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33083452:33089655:-1 gene:Manes.16G129800.v8.1 transcript:Manes.16G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDALQAEGKSIRYVSSELATDVTINVGEVKFYLHKFPLLSKSNHLQKLALKASEENADEINMLDFPGGPKAFETCAKFCYGMTVTLNAYNVVAARCAAEYLEMTEDMDRGNLIFKIEVFLNSSIFRSWKDSIIALQTTKSVLPWSENLKIVGRCIDSIASKTSVDPANITWSYTYNRKLSVPDKIVEDGMQFQEKNESVPRDWWVEDICELNIDLYKRVIVAVKSKGRMDSKVIGEALKTYAVRWLPDTFEDFVSDSRAWKYKYVVETLVSLLPSDKGVGCSCSFLLKLLKIAMLVGVGDLPREDLVERISLKLHEASVKDLLISAQSPQTTLYNVELVQCLVTRYMTNEKHSEYLSVEKNDETDDFVLRHGHGYMLSVGKIIDGYLSEIARDPNLTLTSFIDLSQTIPETARPTHDALYEAIDTYLKEHPSLTKAERKKICSLMDVKKLTKDASMHAAQNERLPLRVVVQVLFFEQVRASAGVQALRNNTNDVPYSMTNTDEECEKTAVDDNKSVERQTSQLKIQDEFLENEKIMKKNSKNSKSGMQLLPSRSRRIFDKLWVIGKGHGENKSSETSGSSQSPTSMVPGDTKSSGSSSRHRRHSIS >Manes.08G087100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29228185:29238668:1 gene:Manes.08G087100.v8.1 transcript:Manes.08G087100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSHSPAALTGTSASDLLRSSSNGVSGIPLSTLGKARFSSKTRDFSVIAKIRKVKKHEYPWPDNPDPNVKGGVLTHLSPLKPLKDKPKPVTLDFEKPLVDLEKKIIDVQKMANETGLDFTDQIKSLENKYKQALKDLYKHLTPIQRVNIARHPNRPTFLDHVFSITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIITFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITATELCKLQIADGVIPEPLGGAHADPSWTSQQIKNAINETMDELRKMDTQELLKHRMLKFRKIGGFQEGIPIDPKRKINMKKKEGPVAGKTPVPGLKDEVEKLKRQISKAKKSSRKSSELALNKMIAKLKREVDFEFAEAVKAMGYKERFEILREEFLKEKSEDQLVHPVLMDKIEKLKNEFTQNLSAAPNFASLKYKLDMLEELSKAKNISEKNIKGVKLKQDINKKLKEVMDRPDMKEKIEALEAEVQKVGASNEGELDEETKERIVKMRKEIKMEVANVLKSMGLDVEIVSSKAKELGEQTPFLDFKSKVESLKEQTNKKIEDLINSSDLKNMIELLKLEIAKAGKKPDVATKNKIESLEQQIKQRLSAAINSSELKEKHEELKVEISEAVEFFEGSDASLKNDDSKEGSSKHHGSRVEINMGANQSFA >Manes.08G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29227640:29238668:1 gene:Manes.08G087100.v8.1 transcript:Manes.08G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSHSPAALTGTSASDLLRSSSNGVSGIPLSTLGKARFSSKTRDFSVIAKIRKVKKHEYPWPDNPDPNVKGGVLTHLSPLKPLKDKPKPVTLDFEKPLVDLEKKIIDVQKMANETGLDFTDQIKSLENKYKQALKDLYKHLTPIQRVNIARHPNRPTFLDHVFSITDKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIITFIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITATELCKLQIADGVIPEPLGGAHADPSWTSQQIKNAINETMDELRKMDTQELLKHRMLKFRKIGGFQEGIPIDPKRKINMKKKEGPVAGKTPVPGLKDEVEKLKRQISKAKKSSRKSSELALNKMIAKLKREVDFEFAEAVKAMGYKERFEILREEFLKEKSEDQLVHPVLMDKIEKLKNEFTQNLSAAPNFASLKYKLDMLEELSKAKNISEKNIKGVKLKQDINKKLKEVMDRPDMKEKIEALEAEVQKVGASNEGELDEETKERIVKMRKEIKMEVANVLKSMGLDVEIVSSKAKELGEQTPFLDFKSKVESLKEQTNKKIEDLINSSDLKNMIELLKLEIAKAGKKPDVATKNKIESLEQQIKQRLSAAINSSELKEKHEELKVEISEAVEFFEGSDASLKNDDSKEGSSKHHGSRVEINMGANQSFA >Manes.03G176900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30007782:30010744:1 gene:Manes.03G176900.v8.1 transcript:Manes.03G176900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRSRVTSSKQALMADFSTILSPTNKYRKPSSFPRLFTGFTFKNFSETAEAVMSPTSILDSKPFSGLKNPFLPDLPTPKTPESETRRTWDKLDSKGIGLGIVDALNDDKTDPNFSKPESRMILFGSQLKIQVPPLPPPFHSPTESPKSPADFGIKTRNSQLGSFSSGFSHSPAKKSACSSANSGMDTPNSPRVFNGCLSASEMELSEDYTCVISYGPNPRTTHIFDDCIVETCCGIVEFSDSRAETTRFLDEGSNYSSDNFLSFCYACKKNLGHGRDIYMYRGEKAFCSRECRYQEMLLEEGLDKLEPEDVYGTCS >Manes.12G094601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:19503481:19504368:1 gene:Manes.12G094601.v8.1 transcript:Manes.12G094601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVNTINTTEINKKNRSSFDKILINNSNMNKERAILNHCDCNKIFSSYSNTFSHTFSHTYRAYSKHIYIPKRVKDSPNKKTIVLGLHEKQNIWRKRVVGPS >Manes.07G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1423172:1427267:1 gene:Manes.07G010400.v8.1 transcript:Manes.07G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATFCSLSPRSLHFRSPNHHHHYHKSFTVINPRISTSKFSTRSLTWSTSGNRFHTILVFSSGHGGGNSGSVGGGGGGGGGGDGRNNDEDGDAGSRNRTEAILALAEVGRSLESLPKDLAGAIETGRVPGSIVRRYFELEKSPLFRWLLQFGGFKERLLADDLFLTKVGIECGVGIFTKTAAELEKRREKFTKELDFVFADVVMAIIADFMLVWLPAPTVALRPPIAVSAGPIAKFFYSCPDNAFQLALAGTSYSFLQRVGAIVRNGGKLFAVGTSASLVGVGVTNVLINARKALDKSFAAEAEDVPILSTSAAYGVYMAVSSNLRYQILAGVIEQRILEPLLHQHKVILSAACFAVRTGNTFLGSLMWVDYARWIGIQKSRE >Manes.12G137500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34419347:34422305:1 gene:Manes.12G137500.v8.1 transcript:Manes.12G137500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLQKFLCSENGGGSILVANRSSASGWETFRLWRINETFFNLRAFNKQFVGLESEGNQVTAVSNTTGDRQTIQIIRNNGDPNRVRLQASNGQFLQAQSETLVTADYGGSAWEDSDPTIFKMIILADRTLRGEYQITNGYGPEKAHKVLQDHRNSYITEKDFRFMSANGLNAVRVPVGWWIASDPTPKPFVHGSLEALDKAFTWAEKYGMKVIVDLHAIRASQNGNEHSGARDGYQEWGDSNIQETVAVIDFIAARYAENPSLAAIELMNEPMAPGINLDILKKYYQAGYNAVRKHTQSAYVILSNRLGPADAKELLSFASTLNRVAIDVHYYNLFSDSFNNMNPQQNIDFVYNQRAGDLSAVTNANGPLSFVGEWTGEWALKGASKEDYKKFAKAQEEVYGRATFGWAYWAYKCEDHKWSLKWMIRNNYIKL >Manes.12G137500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34419347:34422305:1 gene:Manes.12G137500.v8.1 transcript:Manes.12G137500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLQKFLCSENGGGSILVANRSSASGWETFRLWRINETFFNLRAFNKQFVGLESEGNQVTAVSNTTGDRQTIQIIRNNGDPNRVRLQASNGQFLQAQSETLVTADYGGSAWEDSDPTIFKMIILADRTLRGEYQITNGYGPEKAHKVLQDHRNSYITEKDFRFMSANGLNAVRVPVGWWIASDPTPKPFVHGSLEALDKAFTWAEKYGMKVIVDLHAIRASQNGNEHSGARDGYQEWGDSNIQETVAVIDFIAARYAENPSLAAIELMNEPMAPGINLDILKKYYQAGYNAVRKHTQSAYVILSNRLGPADAKELLSFASTLNRVAIDVHYYNLFSDSFNNMNPQQNIDFVYNQRAGDLSAVTNANGPLSFVGEWTGEWALKGASKEDYKKFAKAQEEVYGRATFGWAYWAYKCEDHKWSLKWMIRNNYIKL >Manes.12G137500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34419347:34422305:1 gene:Manes.12G137500.v8.1 transcript:Manes.12G137500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHYLLFACFLCSCVPSVSFAQPYKAVSLGNWLVIEGWMKPSLFDHIPNKDLLDGTQVQFMSTKLQKFLCSENGGGSILVANRSSASGWETFRLWRINETFFNLRAFNKQFVGLESEGNQVTAVSNTTGDRQTIQIIRNNGDPNRVRLQASNGQFLQAQSETLVTADYGGSAWEDSDPTIFKMIILADRTLRGEYQITNGYGPEKAHKVLQDHRNSYITEKDFRFMSANGLNAVRVPVGWWIASDPTPKPFVHGSLEALDKAFTWAEKYGMKVIVDLHAIRASQNGNEHSGARDGYQEWGDSNIQETVAVIDFIAARYAENPSLAAIELMNEPMAPGINLDILKKYYQAGYNAVRKHTQSAYVILSNRLGPADAKELLSFASTLNRVAIDVHYYNLFSDSFNNMNPQQNIDFVYNQRAGDLSAVTNANGPLSFVGEWTGEWALKGASKEDYKKFAKAQEEVYGRATFGWAYWAYKCEDHKWSLKWMIRNNYIKL >Manes.06G059201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17407571:17411618:-1 gene:Manes.06G059201.v8.1 transcript:Manes.06G059201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLDLLVYRSGGEQVVGQAKSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G059201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17407571:17411618:-1 gene:Manes.06G059201.v8.1 transcript:Manes.06G059201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYRSGGEQVVGQAKSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.06G059201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17407571:17411618:-1 gene:Manes.06G059201.v8.1 transcript:Manes.06G059201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVVVGQAKSGELCGEIGVLCYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHEEIKFIFQTCKEPKAQPVVTIPENNEPGIRYLGRFTSEPTIRPVSRDGSFSATDGSWSQSRPRRRTNNYHNSLFGMMSAAHKGEKESPFPVGHIIAADNYGANPPRVIISCPEKVEIKGKLVLLPKSLRELAEIGAKKFGLTNAKVLSKERAEIDDIEVIRDGDHILIASDQTKET >Manes.07G064200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11400361:11404875:-1 gene:Manes.07G064200.v8.1 transcript:Manes.07G064200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICFLVLQNHGIESQSPDNRRPSGASLLILANKQDLKGALTPDEIAKVLNLENMDKTRH >Manes.03G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26771757:26773469:-1 gene:Manes.03G140100.v8.1 transcript:Manes.03G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFLLFLSLFLSSLLLAAAHGGGHDDEDADAAGGDVHVNLREKSLILVKIWCLILVFVGTFVAGVSPYFMKWDEVFLVLGTQFAGGVFLGTALMHFLSDANETFEELTKKQYPFAFMLASAGYLMTMLADCVISYVYGKKTGEANGDLERQDTIEHGKTSHHGTSQPHFQMHNGSDAASAKSSLASVSSFGDSVLLIVALCFHSIFEGIAIGVAETKADAWKALWTICLHKIFAAIAMGIALLRMIPDRPFLSCVAYAFAFAISSPVGVAIGIVIDATTQGPVADWIYAISMGLACGVFIYVAINHLLAKGYRPQQAASVDTPHHKFLAVLLGVAVIAVVMIWDT >Manes.03G170300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29564304:29566222:-1 gene:Manes.03G170300.v8.1 transcript:Manes.03G170300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRAQPSPPSPLPLRTTSSSIPTTLLNRYELGKLLGRGSFAKVYAARSLTDDKLVAIKVIDKTRMVDAAMEPRILCEISAMCRLKHHPNILKIHEVMATRTKIYLVIELALGGELFSKVLHRVKLKESKARRYFQQLVSALHFCHQNGVTHRDLKPQNLLLDENGNIKVSDFGLSALAEAQKGDVLQTACGTPAFTAPEVMAQRGYDGAKADAWSCGVILFFLLSAQLPFDDTNLVAMYKKIRRREYQMPSPISKSAKSIITRLLDPNPNTRMSIQELMNHSWFLKSYELPTQNSMFETEHTKFCKYDPSSVSAFDIISLSSGLDLSGLFDVTSRRTKSFTSRETMERMAERVREVGRQLGYRVEEGKGGAIGLGKGRVVVVVEAVEVAEKLLVVELKVVQGGGVEFGEAQWGELKDGLQDVVLQ >Manes.05G184900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30430883:30438428:-1 gene:Manes.05G184900.v8.1 transcript:Manes.05G184900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYNHINDNTNPRGNFLYASALLAPNSSPYGRTNSGSNVSNQQTQMPLSPFHLQSSECFQSEAHPIVKTEASTSHHVQKFHYPLLRGHHQALNQHQGNESSSDVEAIKAKIIAHPQYFKLLEAYMDCQKVGAPPEVVARLAAARQDFEAKQRSSVTSRDASKDPELDQFMEAYCDMLVKYREELTRPIQEAMDFMRRIETQLNTICNGPLRIFNSDEKSEGVGSSEEDQENSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLNWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQSAALYMDGHYMGDGPYRLGP >Manes.05G022100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1970350:1975012:-1 gene:Manes.05G022100.v8.1 transcript:Manes.05G022100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEIQLKIQETVLHILRKADMNEMTEFKVRAAASERLGIDLSDIHCKRFIRGLVESFLLSTLEVGAEEGKEAGSSNGGREDTPEMAREGQQEIPRKEFDSEGNRVICKLSNKRNVVIQKFKGKSFVSIWEFYHKDGRQIRSNKGISLTGEQWLAFRKSVPLIEEGIIKLKSKSRSNLHDDSNEQISNLATASTPCELNRQVFNMVTASSHVLSGEAPNLVTASSPCELNRQISNMTTSSTHRLNGEASNLVTASRLHEQVSTSVNDSTPNEHTSQVSQLVNTPSFHEFVPFEINRFDGKNYQLWAPFMESFLDKLKIAYVLTDPCPSVDIRPEASAEEIAQAKAAEQKWYNDDHLCRHNILTSLSDALYYQYSKKTKSARELWEELKLVYLYEEFGKKRSQVRNYIEFQIVDERPVLDQVKELNNIADSIVASGMFFDEKFHVSAIISKLPPSWKDFCIKLMCEEYLPFRKLMERIRAVEESRNQDKQVEPTNSLFFNHAKNEGPGMKDMQKPRMNGKKRETETDDKAVICYSCGKRGHISKHCRNRKLDKEANE >Manes.16G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4617236:4618109:1 gene:Manes.16G036300.v8.1 transcript:Manes.16G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSDDCECRPLGFLLGLPFAFLSLLLSLVGVVVWIVGLILSCICPCCFCVTVIVEFALGLIKAPILIMKWFTSKIPC >Manes.14G086100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7099630:7104138:-1 gene:Manes.14G086100.v8.1 transcript:Manes.14G086100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSNAGYVLIIFLSITLVSTARPFYPLPSKISHGTKQPLQTSRPFNVAHRGSNGEIPEETAAAFMRAIEEGADFIEADILSSKDGVLICFHDVTLDDTTDIADHKEFSNRNWTYDVQGVNTTGFFPVDFTFEELKTLRVKQRFAFRDQQYNGKFSIISFEEFISIALDAPRVVGIYPEIKNPVLINQHVKWPGGKRFEDKFVETLKKYGYKGSYMSKDWLKQPIFIQSFAPTSLVYISNQIDSPKIFLIDDITIPTQDTNQSYWEITSDAYLDYIKDYVVGIGPWKDTVVPVKINYMQISTDLIAKAHSHNLQVHPYTYRNDGSFLHYDFHQDPYEEYHYWINTVGVDGLFTDFPGTLHNFQEWTSPLSKEESASKLLHEIALLTTSYKN >Manes.16G135600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33619665:33621764:-1 gene:Manes.16G135600.v8.1 transcript:Manes.16G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAKDSNGTPKKEKKVTLSSNSHHPTTHKQSNLKSATASSTAKDKSCNSAPNYLRPTFSSKSESLNPVRKTINEDAAQKLLRRRSFDRPPSAARTQKSLISPDPKERLASRDRQLPNRSSSFTASKTPSSTKPVLERSSRSFKPVKSQPSAAGNVKKSSNLSRTSNLSKTGSNASRSPKVQNSRGSTQTSDLKNEQKRCEESTVQEHEETANVERVVEVPSDTPKAETKEDKDVVQDTQVNDREDEKVKSPAVSTVVSKAAQVEDVEPEFQEDENKHEGEKNHRSDSHPEEGIADGAKVESDEDKGEEEEDEIVKVACTSEEPIDEKEEEKTDQGNENERSEELKSKEGEEVEEGVEGEGNEEVANATQKQQGEQGKKEAPAAYNDVIEETKNKLLEKRKNKVKALVGAFETVIDYETAGSNK >Manes.17G040300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23208738:23217797:1 gene:Manes.17G040300.v8.1 transcript:Manes.17G040300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTTLVLALLIVLIFTCYCFEWGLCGTVSYDHRALVIDGKRRVLQSGSIHYPRTTPEVWPDIIRKSKEGGLDVIETYVFWNYHEPVRGQYYFEGRFDLVKFVKTVQEAGLLVHLRIGPYACAEWNYGGFPVWLHFIPGIHFRTTNEPFKEEMKRFLGKIVNLMKEENLFASQGGPIILAQVENEYGNVEWAYGAAGELYVKWAAETAVSMNTSVPWVMCQQKDAPDPVINTCNGFYCDQFTPNSPSKPKIWTENYSGWFLSFGYAVPYRPVEDLAFAVARFFEYGGTFQNYYMYFGGTNFGRTVGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHKAIKQCEEYLISSDPVHKQLGNNLEAHIYYKSFNDCAAFLANYDSRSDANVTFNGNLYFLPAWSVSILPDCKNVIFNTAKIVSQRSLGDASFAQSTSVNEISLEPTVWSWYKEKVGIWGNNSFMEPVLLEQVNTTKDTSDFLWYSTSVNADQEKDVVLNIESLGHAALLFVNKRLIAFGYGYHDDASFSLTQKISLNEGNNTLDLLSMMIGLQNFGPWFDVQGAGINSVVLIGSNHGKNNISSGKWTYQVGLEGEYLGLDKVSLANSSLWNQGTPLPVNKSLIWYKGVFVPPEGKGPLALNLSGMGKGQAWVNGQSIGRYWPAYLSPAKGCTEKCDYRGSYNPFKCLKKCGQPAQTLYHVPRTWVHPGENLLVLHEELGGDPSKISLLTRTGQEICSIVSEDDPPSTDSWKPNSEFKSQSPEVKLTCEKGWRITSINFASFGTFSGNCGTFTPGNCNADMLSIVQKVCIGEEGCSISVSAANLGDPCPGVLKSFAVEALCSD >Manes.17G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23209199:23217797:1 gene:Manes.17G040300.v8.1 transcript:Manes.17G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTTLVLALLIVLIFTCYCFEWGLCGTVSYDHRALVIDGKRRVLQSGSIHYPRTTPEVWPDIIRKSKEGGLDVIETYVFWNYHEPVRGQYYFEGRFDLVKFVKTVQEAGLLVHLRIGPYACAEWNYGGFPVWLHFIPGIHFRTTNEPFKEEMKRFLGKIVNLMKEENLFASQGGPIILAQVENEYGNVEWAYGAAGELYVKWAAETAVSMNTSVPWVMCQQKDAPDPVINTCNGFYCDQFTPNSPSKPKIWTENYSGWFLSFGYAVPYRPVEDLAFAVARFFEYGGTFQNYYMYFGGTNFGRTVGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHKAIKQCEEYLISSDPVHKQLGNNLEAHIYYKSFNDCAAFLANYDSRSDANVTFNGNLYFLPAWSVSILPDCKNVIFNTAKIVSQRSLGDASFAQSTSVNEISLEPTVWSWYKEKVGIWGNNSFMEPVLLEQVNTTKDTSDFLWYSTSVNADQEKDVVLNIESLGHAALLFVNKRLIAFGYGYHDDASFSLTQKISLNEGNNTLDLLSMMIGLQNFGPWFDVQGAGINSVVLIGSNHGKNNISSGKWTYQVGLEGEYLGLDKVSLANSSLWNQGTPLPVNKSLIWYKGVFVPPEGKGPLALNLSGMGKGQAWVNGQSIGRYWPAYLSPAKGCTEKCDYRGSYNPFKCLKKCGQPAQTLYHVPRTWVHPGENLLVLHEELGGDPSKISLLTRTGQEICSIVSEDDPPSTDSWKPNSEFKSQSPEVKLTCEKGWRITSINFASFGTFSGNCGTFTPGNCNADMLSIVQKVCIGEEGCSISVSAANLGDPCPGVLKSFAVEALCSD >Manes.05G181400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29831168:29835744:-1 gene:Manes.05G181400.v8.1 transcript:Manes.05G181400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNGQRKNIVMLDSDDDENSSVNSSATIISDSMSMLETQEVQLDKKCVLEQALDDLYEKRGSTREKALANIIDAFNRNMEHEFVEKRFATLLHQCLNCIKKGSSEEIALASHAVGLLALTVGSGDKACEILQKSVTPILQALNSGSESKKTVSLLECLAVVTFVGGNEPADTERSLQIMWKLVHPKLGSKVVAVEPSAPVIAAVLSAWAFLLTTVDGWTLDPKNWQESISYLSGLLDKYERLTRIAAGEALAVIFEMGCLEKIAAKAKGYTDSTVQEGEELKAKILNQIRNLSAEAGGKGSTKKDLNSQHKLFKNILEFLESGNCPETSMKIGGDSLQTTTWSQLIQLNFLKHFLGSGFLKHMQDNDLLHSIFDFMPKKKSVKVVERKMSSTEKRMYKSPNSALNKARTRFLNKERMSSNARKAGYFAANIRDD >Manes.05G181400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29831167:29835916:-1 gene:Manes.05G181400.v8.1 transcript:Manes.05G181400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRNGQRKNIVMLDSDDDENSSVNSSATIISDSMSMLETQEVQLDKKCVLEQALDDLYEKRGSTREKALANIIDAFNRNMEHEFVEKRFATLLHQCLNCIKKGSSEEIALASHAVGLLALTVGSGDKACEILQKSVTPILQALNSGSESKKTVSLLECLAVVTFVGGNEPADTERSLQIMWKLVHPKLGSKVVAVEPSAPVIAAVLSAWAFLLTTVDGWTLDPKNWQESISYLSGLLDKYERLTRIAAGEALAVIFEMGCLEKIAAKAKGYTDSTVQEGEELKAKILNQIRNLSAEAGGKGSTKKDLNSQHKLFKNILEFLESGNCPETSMKIGGDSLQTTTWSQLIQLNFLKHFLGSGFLKHMQDNDLLHSIFDFMPKKKSVKVVERKMSSTEKRMYKSPNSALNKARTRFLNKERMSSNARKAGYFAANIRDD >Manes.13G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8987901:8992789:1 gene:Manes.13G068200.v8.1 transcript:Manes.13G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVLSPSSPTRVFASATLRKPPATFSRLWPFSSSLCASRFQVRAMADSASAPFKKIQIQRDDTTFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHAVKISQLEPGFKALIPDLYRGKVGLDVAEAQHLMEGLDWQGAVKDIRASVNWLKANGSKKVGVTGFCMGGALSVATSVLVPEVDAVVAFYGVPSSELADPAQAKAPVQAHFGELDNFVGFSDVTAAKALEEKLKASGMPYEVHIYPGNAHAFMNRSEEGIKRRKGMGIPDEDEAAVELAWSRFKTWMTRYLSA >Manes.10G025825.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2574502:2574912:1 gene:Manes.10G025825.v8.1 transcript:Manes.10G025825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGLSMLFMEEERRRIESSQVKRPKEQKKRATMMSSSQEFSGSKTFKIPLHYPRYTKKQYEHMPEWKLDSLLQDYGLPIDQGDLAYKRELAISTFIWPEPHSEDGAVDSDKDFTSQGKKNKASRVVELVLLGFS >Manes.06G086700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22328518:22345629:1 gene:Manes.06G086700.v8.1 transcript:Manes.06G086700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDREIGMLLPGWNNPTESRIFILSCFIAALVGILTIAYTAFQWRRNINLNWMKAIARSKKNPKARHKVPVAPHNWVLESVSRGKNLNCCVCLKSMSPSQTLGPMVASDSFIHHCSICGAVAHLSCSSGAQKDCKCVSMVGFKNVMHQWAVRWTEMIDQPDETSFCSYCEEPCSGSFLSGSPIWCCMWCQRLVHVDCHSSMSNETGGICDLGPFRRLILSPLHVKELNSSGGFLSSITHGANEIASSVRASIRSQSKKYKHNNESSVDTGNSGSTCCDPCTEDTADAHPILNGPIGVEESCNGSLNVGSPRHGGSVGRMDSKPSFKRSGSLNQKDESQILGMKQRYEIIDLPPDARPLLVFINKKSGAQRGDSLRQRLNFLLNPVQVFELSSTQGPEVGLYFFRKVPHFRVLVCGGDGTVGWVMNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSFERQGGLCTLFHHIEHAAVTILDRWKVAIVNQGKQLQSLKFMNNYLGVGCDAKVALDIHNLREENPEKFYSQFMNKVLYAREGAKIIMDRTFEDFPWQVRVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWHNEDEHYDNFDPQSMHDKVLEVVSISGTWHLGKLQVGLSRAQRLAQGQSIKIQLLAALPVQIDGEPWFQQPCTLAVSHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNHVIDASQKRALLQEMALRLS >Manes.17G026100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20209000:20213040:-1 gene:Manes.17G026100.v8.1 transcript:Manes.17G026100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKFSFLVVVIIFVFYTALLRFSQAKSLPLAVTQIKQQKQDQDREPFVGFNIGTDVSDILSATDLVSFLQVQKITHVRLYDADPDILKALAKTKIRVIISVPNNQLIAIGSSNTTAASWIGRNVVAYYPETLITAIAVGDEVLTTVPSSAPLLMPAIESLYSALVASNLHTQIKISTPHAASIILDPFPPSQSFFNQSWSSVMLPLLEFLSTTGSPLMMNLYPYYVFMQNKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYFSMKNLNITDVVVLVTETGWPSKGDSKEPYATIDNADTYNSNLIKHVLDHSGTPFHPEVTSSVYIYELFNEDLRSPPVSEANWGLFYGNSTPVYLLHVYGSGTFLAIDTTNQTYCIAMDGVDSRTLQAALDWACGPGRANCSEIQPGEACYQPNNVKNHAAYAFDSYYQKEGKAPGSCDFKGVAMITTTDPSHGSCIFPGSKKIRNKTRTVTNTTISSSAAHGLIAFDKCLGAFLAVILSILLQIPFVDKF >Manes.17G026100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20209000:20213040:-1 gene:Manes.17G026100.v8.1 transcript:Manes.17G026100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKFSFLVVVIIFVFYTALLRFSQAKSLPLAVTQIKQQKQDQDREPFVGFNIGTDVSDILSATDLVSFLQVQKITHVRLYDADPDILKALAKTKIRVIISVPNNQLIAIGSSNTTAASWIGRNVVAYYPETLITAIAVGDEVLTTVPSSAPLLMPAIESLYSALVASNLHTQIKISTPHAASIILDPFPPSQSFFNQSWSSVMLPLLEFLSTTGSPLMMNLYPYYVFMQNKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYFSMKNLNITDVVVLVTETGWPSKGDSKEPYATIDNADTYNSNLIKHVLDHSGTPFHPEVTSSVYIYELFNEDLRSPPVSEANWGLFYGNSTPVYLLHVYGSGTFLAIDTTNQTYCIAMDGVDSRTLQAALDWACGPGRANCSEIQPGEACYQPNNVKNHAAYAFDSYYQKEGKAPGSCDFKGVAMITTTDPSHGSCIFPGSKKIRNKTRTVTNTTISSSAAHGLIAFDKCLGAFLAVILSILLQIPFVDKF >Manes.17G026100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20209000:20213040:-1 gene:Manes.17G026100.v8.1 transcript:Manes.17G026100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKFSFLVVVIIFVFYTALLRFSQAKSLPLAVTQIKQQKQDQDREPFVGFNIGTDVSDILSATDLVSFLQVQKITHVRLYDADPDILKALAKTKIRVIISVPNNQLIAIGSSNTTAASWIGRNVVAYYPETLITAIAVGDEVLTTVPSSAPLLMPAIESLYSALVASNLHTQIKISTPHAASIILDPFPPSQSFFNQSWSSVMLPLLEFLSTTGSPLMMNLYPYYVFMQNKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYFSMKNLNITDVVVLVTETGWPSKGDSKEPYATIDNADTYNSNLIKHVLDHSGTPFHPEVTSSVYIYELFNEDLRSPPVSEANWGLFYGNSTPVYLLHVYGSGTFLAIDTTNQTYCIAMDGVDSRTLQAALDWACGPGRANCSEIQPGEACYQPNNVKNHAAYAFDSYYQKEGKAPGSCDFKGVAMITTTDPSHGSCIFPGR >Manes.17G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28875991:28878408:-1 gene:Manes.17G082800.v8.1 transcript:Manes.17G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTILSLSFFSFLFLSSSLLSSNSMDSTYSIISDKQEKGKFKPDRGNPLQRHSFFFDRNQDGTVYPWETYQGFRAIGAGVLLSTLGAIVINIGFSHSTRPGKFPSLLFPIELRNIVLAKHGSDTDVYDKKGRFIKNNFEDIFRNYARTNSDALTKSELREMRRANKEHKDYFGWVAAWFEWFFSYHLFKDERGLMKKDTVRGIFYETAFEEREEELKEKRNALAEKKAYV >Manes.18G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14893539:14901368:1 gene:Manes.18G139800.v8.1 transcript:Manes.18G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETSNDVKDEVAELAPFDPTKKKKKKKVVIQDSADDSVDKLTEKTESLSVSDGLEGTFTGLKKKKKKPIETSILNEESVDTGEDLNDHAGEDEEGEGIELQQQLYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >Manes.14G112712.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13174751:13178114:1 gene:Manes.14G112712.v8.1 transcript:Manes.14G112712.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMVLFLITPLISGSLTNNIGNFAVLESLDLSSNNFSGEIPAAMSSLSRLRVLKLDGNGFQGSIPLEILNCRSLTLIDISLNKLEGSLPDGFAAAFPKLKTLNLAGNEIKGQDSDFSQMKSITSLNISGNLFQGSVMGMFLEMLEVIDLSRNQFQGHISQVQFNSSYNWSHLIYLDLSENQLSGDIFPHINQAQNLKYLNLAFNRFARQEFPRIFMLQDLEFLNLSKASLAGHVPSEIAELSNLHTLDLSENHLSGQIPLLPTKNLQVLDVSHNNLSGEIPLPLLQKLQWMERFNFSYNNLTLCASEFSSETFQAEFYGSLNSCPIAANLGLIKRKATKHKGLKLALALTLSMVCLLAGLLFLAIGCRRKSSTWTVKQTSYKEEQNISDLLSATSSFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTDHEAARELEYLGRIKHPNLVPLTGYCVAGDQRIAIYDYMENGNLQNLLHDLPLGVQTTEDWSMDTWEEDDNNGIQNVGSEGLLTTWRFRHKIALGTARALAFLHHGCSPPLIHRDVKASSVYLDYNLEPRLSDFGLAKVFGSGLDEEIARGSPGYVPPEFSDPDNNCPTTKSDVYCFGVVLFELITGKKSVGDDYPEDKDATLVSWVRGLVRKNQGPRAIDSKIRDTGPEHEMVEALKIAYLCTADVPSKRPSMQQIVGLLKDIESAVHQ >Manes.14G112712.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:13174589:13178114:1 gene:Manes.14G112712.v8.1 transcript:Manes.14G112712.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGFFGSILVLSMFFKPLPCQQPNTDAFYVSQFLKKMNFTSSPLYNFSAPVCSWQGLLCDAKENVLGLVTSGYGLYGPIPDNTIGKLNKLQTLDLSNNKITALPSDFWSLGSLTTLNLSSNQISGSLTNNIGNFAVLESLDLSSNNFSGEIPAAMSSLSRLRVLKLDGNGFQGSIPLEILNCRSLTLIDISLNKLEGSLPDGFAAAFPKLKTLNLAGNEIKGQDSDFSQMKSITSLNISGNLFQGSVMGMFLEMLEVIDLSRNQFQGHISQVQFNSSYNWSHLIYLDLSENQLSGDIFPHINQAQNLKYLNLAFNRFARQEFPRIFMLQDLEFLNLSKASLAGHVPSEIAELSNLHTLDLSENHLSGQIPLLPTKNLQVLDVSHNNLSGEIPLPLLQKLQWMERFNFSYNNLTLCASEFSSETFQAEFYGSLNSCPIAANLGLIKRKATKHKGLKLALALTLSMVCLLAGLLFLAIGCRRKSSTWTVKQTSYKEEQNISGPFSFHTDSTTWVADVKQATSVPVVIFEKPLLNITFADLLSATSSFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTDHEAARELEYLGRIKHPNLVPLTGYCVAGDQRIAIYDYMENGNLQNLLHDLPLGVQTTEDWSMDTWEEDDNNGIQNVGSEGLLTTWRFRHKIALGTARALAFLHHGCSPPLIHRDVKASSVYLDYNLEPRLSDFGLAKVFGSGLDEEIARGSPGYVPPEFSDPDNNCPTTKSDVYCFGVVLFELITGKKSVGDDYPEDKDATLVSWVRGLVRKNQGPRAIDSKIRDTGPEHEMVEALKIAYLCTADVPSKRPSMQQIVGLLKDIESAVHQ >Manes.13G103800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30354946:30361938:1 gene:Manes.13G103800.v8.1 transcript:Manes.13G103800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALRRLSSSIDKPIRPLFNASSLYYMSSLPNEAVYEKEKSHVPWPKQLNAPLEAVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAGGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKEVNKQGKEVLYDYEDKINQAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCSKFAQTLVEKGYALVSGGTENHLVLVNLKNKGIDGSRVEKVMEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEFFDAAVKLAVKIKGQTKGTKLKDFAATIPHFQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >Manes.13G103800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30354946:30361938:1 gene:Manes.13G103800.v8.1 transcript:Manes.13G103800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALRRLSSSIDKPIRPLFNASSLYYMSSLPNEAVYEKEKSHVPWPKQLNAPLEAVDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSIMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAGGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKEVNKQGKEVLYDYEDKINQAVFPGLQGGPHNHTIAGLAVALKQATTPEYKAYQEQVLSNCSKFAQTLVEKGYALVSGGTENHLVLVNLKNKGIDGSRVEKVMEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEFFDAAVKLAVKIKGQTKGTKLKDFAATIPHFQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >Manes.06G016900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2815104:2818838:1 gene:Manes.06G016900.v8.1 transcript:Manes.06G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYGRDPWGGPLEINAADSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPAEQKKKKKYVDLGCIIVSRKIFVWTVGTLLVSGLLAGLITLIVKTVPRNHHSKSPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSCVNDGKSATGTIFKDLAGGYYDAGDAIKFNFPASFAMTMLSWSVIEYSAKYEAAGELNHVKDIIKSGNDYFLKCFNHTADTINTIAAQVGVGDTSGGSTSPNDHYCWMRPEDIDYPRPVTECHSCSDLAAEMAASLASASIVFKDNKAYSQKLVHGARTLFKFAREQRGRYSAGGSDAAIFYNSTSYWDEFIWGGTWLYYATGNSSYLQLATTPGLAKHAGAFWGGPFYGVLSWDNKLTGAQVLLSRLRLFLSPGYPYEEILWTFHNQTSIIMCSYLPIFTSFNRTRGGLIQLNHGAPQPLQYVVNAAFLATLFSDYLEAADTPGWYCGPNFYSTDVLREFAKTQIDYILGKNPRKMSYVVGFGDHYPRHVHHRGASIPKNKIKYNCKGGWKWRDSKKPNPNTLVGAMVAGPDKHDGFHDVRTNYNYTEPTLAGNAGLVAALVALSGDKSTGIDKNTIFSAVPPMFPTPPPPPAPWKP >Manes.01G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6936902:6938135:-1 gene:Manes.01G040300.v8.1 transcript:Manes.01G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNHIFRIKTLVLFSLLILFLILFSSGFREGWSPNHLLHKDGIQMTGSSSSSSRRKLLMDLQDYDTGPNPRHDPRRKYGGKP >Manes.05G098175.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9088061:9093340:1 gene:Manes.05G098175.v8.1 transcript:Manes.05G098175.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSLILGKKSSKSKLSRENDVSKSADKGEVLISSEAPVTDTTVEHSTISQLAPAIGARSGADSEHVAAANLQNEGLQNEIFTFSFAQRDENAEEGTKVGSEEDPERIRHETAITKAQAAVRGYLARRAFRTLKGIIRLQALIRGHLVRRQAIATLHCLHAIVKFQALVRGQKVRCSTVGIEVQKACNIGKVQGAICSDSSGIPASTPLEKLMKNVFVQKLLASLPGEIPLSVHYSSVEPNSSWEWLERWTRSHCQESQLQPKINSEYVGVKKVESEQRKQKRSVRKVPRANSENSSGRSTKVSERPKHNPRKLPSHPMDLVQEHPQNEFEKVNRNVRKASDSTKDARERLVDSGKPKRNMKSSTAATPEVSESANGMAEVVMKESDDDTSPKHIAVAGALQEHHDLDLQPMSNNSKVRDAQGTIKQSNPKDYHTGNENQKISDRRASFPPNIENQENGIHNTPKVPSYMAPTESARAKLRGQGSPRFSQDAIENIGTTRRHSLPTSTSGKFPSMSPRVQKLVHSAGKGVTRSDVSLSSSRDDTVSDKVIKAEWRR >Manes.05G098175.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9087604:9093340:1 gene:Manes.05G098175.v8.1 transcript:Manes.05G098175.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSLILGKKSSKSKLSRENDVSKSADKGEVLISSEAPVTDTTVEHSTISQLAPAIGARSGADSEHVAAANLQNEGLQNEIFTFSFAQRDENAEEGTKVGSEEDPERIRHETAITKAQAAVRGYLARRAFRTLKGIIRLQALIRGHLVRRQAIATLHCLHAIVKFQALVRGQKGAICSDSSGIPASTPLEKLMKNVFVQKLLASLPGEIPLSVHYSSVEPNSSWEWLERWTRSHCQESQLQPKINSEYVGVKKVESEQRKQKRSVRKVPRANSENSSGRSTKVSERPKHNPRKLPSHPMDLVQEHPQNEFEKVNRNVRKASDSTKDARERLVDSGKPKRNMKSSTAATPEVSESANGMAEVVMKESDDDTSPKHIAVAGALQEHHDLDLQPMSNNSKVRDAQGTIKQSNPKDYHTGNENQKISDRRASFPPNIENQENGIHNTPKVPSYMAPTESARAKLRGQGSPRFSQDAIENIGTTRRHSLPTSTSGKFPSMSPRVQKLVHSAGKGVTRSDVSLSSSRDDTVSDKVIKAEWRR >Manes.05G098175.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9087182:9094670:1 gene:Manes.05G098175.v8.1 transcript:Manes.05G098175.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSLILGKKSSKSKLSRENDVSKSADKGEVLISSEAPVTDTTVEHSTISQLAPAIGARSGADSEHVAAANLQNEGLQNEIFTFSFAQRDENAEEGTKVGSEEDPERIRHETAITKAQAAVRGYLARRAFRTLKGIIRLQALIRGHLVRRQAIATLHCLHAIVKFQALVRGQKVRCSTVGIEVQKACNIGKVQGAICSDSSGIPASTPLEKLMKNVFVQKLLASLPGEIPLSVHYSSVEPNSSWEWLERWTRSHCQESQLQPKINSEYVGVKKVESEQRKQKRSVRKVPRANSENSSGRSTKVSERPKHNPRKLPSHPMDLVQEHPQNEFEKVNRNVRKASDSTKDARERLVDSGKPKRNMKSSTAATPEVSESANGMAEVVMKESDDDTSPKHIAVAGALQEHHDLDLQPMSNNSKVRDAQGTIKQSNPKDYHTGNENQKISDRRASFPPNIENQENGIHNTPKVPSYMAPTESARAKLRGQGSPRFSQDAIENIGTTRRHSLPTSTSGKFPSMSPRVQKLVHSAGKGVTRSDVSLSSSRDDTVSDKVIKAEWRR >Manes.05G098175.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9087183:9094670:1 gene:Manes.05G098175.v8.1 transcript:Manes.05G098175.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSLILGKKSSKSKLSRENDVSKSADKGEVLISSEAPVTDTTVEHSTISQLAPAIGARSGADSEHVAAANLQNEGLQNEIFTFSFAQRDENAEEGTKVGSEEDPERIRHETAITKAQAAVRGYLARRAFRTLKGIIRLQALIRGHLVRRQAIATLHCLHAIVKFQALVRGQKGAICSDSSGIPASTPLEKLMKNVFVQKLLASLPGEIPLSVHYSSVEPNSSWEWLERWTRSHCQESQLQPKINSEYVGVKKVESEQRKQKRSVRKVPRANSENSSGRSTKVSERPKHNPRKLPSHPMDLVQEHPQNEFEKVNRNVRKASDSTKDARERLVDSGKPKRNMKSSTAATPEVSESANGMAEVVMKESDDDTSPKHIAVAGALQEHHDLDLQPMSNNSKVRDAQGTIKQSNPKDYHTGNENQKISDRRASFPPNIENQENGIHNTPKVPSYMAPTESARAKLRGQGSPRFSQDAIENIGTTRRHSLPTSTSGKFPSMSPRVQKLVHSAGKGVTRSDVSLSSSRDDTVSDKVIKAEWRR >Manes.05G098175.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9087604:9093340:1 gene:Manes.05G098175.v8.1 transcript:Manes.05G098175.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSLILGKKSSKSKLSRENDVSKSADKGEVLISSEAPVTDTTVEHSTISQLAPAIGARSGADSEHVAAANLQNEGLQNEIFTFSFAQRDENAEEGTKVGSEEDPERIRHETAITKAQAAVRGYLARRAFRTLKGIIRLQALIRGHLVRRQAIATLHCLHAIVKFQALVRGQKVRCSTVGIEVQKACNIGKVQGAICSDSSGIPASTPLEKLMKNVFVQKLLASLPGEIPLSVHYSSVEPNSSWEWLERWTRSHCQESQLQPKINSEYVGVKKVESEQRKQKRSVRKVPRANSENSSGRSTKVSERPKHNPRKLPSHPMDLVQEHPQNEFEKVNRNVRKASDSTKDARERLVDSGKPKRNMKSSTAATPEVSESANGMAEVVMKESDDDTSPKHIAVAGALQEHHDLDLQPMSNNSKVRDAQGTIKQSNPKDYHTGNENQKISDRRASFPPNIENQENGIHNTPKVPSYMAPTESARAKLRGQGSPRFSQDAIENIGTTRRHSLPTSTSGKFPSMSPRVQKLVHSAGKGVTRSDVSLSSSRDDTVSDKVIKAEWRR >Manes.05G098175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9087182:9094670:1 gene:Manes.05G098175.v8.1 transcript:Manes.05G098175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSLILGKKSSKSKLSRENDVSKSADKGEVLISSEAPVTDTTVEHSTISQLAPAIGARSGADSEHVAAANLQNEGLQNEIFTFSFAQRDENAEEGTKVGSEEDPERIRHETAITKAQAAVRGYLARRAFRTLKGIIRLQALIRGHLVRRQAIATLHCLHAIVKFQALVRGQKVRCSTVGIEVQKACNIGKVQGAICSDSSGIPASTPLEKLMKNVFVQKLLASLPGEIPLSVHYSSVEPNSSWEWLERWTRSHCQESQLQPKINSEYVGVKKVESEQRKQKRSVRKVPRANSENSSGRSTKVSERPKHNPRKLPSHPMDLVQEHPQNEFEKVNRNVRKASDSTKDARERLVDSGKPKRNMKSSTAATPEVSESANGMAEVVMKESDDDTSPKHIAVAGALQEHHDLDLQPMSNNSKVRDAQGTIKQSNPKDYHTGNENQKISDRRASFPPNIENQENGIHNTPKVPSYMAPTESARAKLRGQGSPRFSQDAIENIGTTRRHSLPTSTSGKFPSMSPRVQKLVHSAGKGVTRSDVSLSSSRDDTVSDKVIKAEWRR >Manes.05G098175.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9087182:9094670:1 gene:Manes.05G098175.v8.1 transcript:Manes.05G098175.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSLILGKKSSKSKLSRENDVSKSADKGEVLISSEAPVTDTTVEHSTISQLAPAIGARSGADSEHVAAANLQNEGLQNEIFTFSFAQRDENAEEGTKVGSEEDPERIRHETAITKAQAAVRGYLARRAFRTLKGIIRLQALIRGHLVRRQAIATLHCLHAIVKFQALVRGQKVRCSTVGIEVQKACNIGKVQGAICSDSSGIPASTPLEKLMKNVFVQKLLASLPGEIPLSVHYSSVEPNSSWEWLERWTRSHCQESQLQPKINSEYVGVKKVESEQRKQKRSVRKVPRANSENSSGRSTKVSERPKHNPRKLPSHPMDLVQEHPQNEFEKVNRNVRKASDSTKDARERLVDSGKPKRNMKSSTAATPEVSESANGMAEVVMKESDDDTSPKHIAVAGALQEHHDLDLQPMSNNSKVRDAQGTIKQSNPKDYHTGNENQKISDRRASFPPNIENQENGIHNTPKVPSYMAPTESARAKLRGQGSPRFSQDAIENIGTTRRHSLPTSTSGKFPSMSPRVQKLVHSAGKGVTRSDVSLSSSRDDTVSDKVIKAEWRR >Manes.05G098175.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9087183:9094670:1 gene:Manes.05G098175.v8.1 transcript:Manes.05G098175.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWIKSLILGKKSSKSKLSRENDVSKSADKGEVLISSEAPVTDTTVEHSTISQLAPAIGARSGADSEHVAAANLQNEGLQNEIFTFSFAQRDENAEEGTKVGSEEDPERIRHETAITKAQAAVRGYLARRAFRTLKGIIRLQALIRGHLVRRQAIATLHCLHAIVKFQALVRGQKGAICSDSSGIPASTPLEKLMKNVFVQKLLASLPGEIPLSVHYSSVEPNSSWEWLERWTRSHCQESQLQPKINSEYVGVKKVESEQRKQKRSVRKVPRANSENSSGRSTKVSERPKHNPRKLPSHPMDLVQEHPQNEFEKVNRNVRKASDSTKDARERLVDSGKPKRNMKSSTAATPEVSESANGMAEVVMKESDDDTSPKHIAVAGALQEHHDLDLQPMSNNSKVRDAQGTIKQSNPKDYHTGNENQKISDRRASFPPNIENQENGIHNTPKVPSYMAPTESARAKLRGQGSPRFSQDAIENIGTTRRHSLPTSTSGKFPSMSPRVQKLVHSAGKGVTRSDVSLSSSRDDTVSDKVIKAEWRR >Manes.18G138354.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:17607631:17608572:1 gene:Manes.18G138354.v8.1 transcript:Manes.18G138354.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSTLEHILTHISFSVMSIVITIYLITLLADEFVELYDSSEKGMITTFFCIAGLLVTRWIFLGHLPLSDLYESLIFLSWSFSIIHMVLYFKKHKKKF >Manes.12G009500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:970630:971643:-1 gene:Manes.12G009500.v8.1 transcript:Manes.12G009500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFVALVLFVLLTPGLLFQVPGRHRCVEFGNFQTSGASIMIHSLLYFALVCVFFLAVKVHLYLG >Manes.05G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5708514:5711999:-1 gene:Manes.05G069300.v8.1 transcript:Manes.05G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVMMTKGGGCAGGVRGAKRSRGSEEEQNQLSMVEILLAAIRKSLVSCRFEEREDVIPTVHHMEIGWPTNVKHITHVTFDRFNGFLGLPVEFEVEIPCRVPSASASVFGVSAESMQCSFDSKGNSVPTIILLMQERLYSQGGLKAEGIFRINPENGQEEHVRDQLNRGIVPEDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEECVELVKQLKPTEYALLNWAIDLMADVVQEEDSNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLITKVLREREENGTGGYSPMSSHSSGQQTDEDFDSQQEMDTSCELRQSPSDYDEDQAHYSPHTEDDDDGEVESLCEIEDCFLRQLNENKSTSNMFLQQSPSDSPREFASPRAYSGLKAEAAISFTDSKNENSSSATRDEDSRASIISLGQEIDRSNPSQGCENSDDMEVVDKLPKSVLPTQSII >Manes.15G019900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1651001:1651561:1 gene:Manes.15G019900.v8.1 transcript:Manes.15G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSSKKSVQDRTLTGVGNLIKLLPTGTVFIFQFLNPVLTNNGHCHTINKYLSGILMGLCGFSCAFSSFTDSYVGSDGITHYGIATVKGLWTSADAESMNMSGYKLQFGDFVHAFFSVIVFAVLSLLDSNTVECFYPSFESSQKTLLMVLPPVIGVISGSIFMFFPNKRHGIGYPPSSSDSSQQS >Manes.11G147601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31249638:31251869:1 gene:Manes.11G147601.v8.1 transcript:Manes.11G147601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELQTHCTVINYVCTLDFFYISRQASLILGRHKHSQLLLLCNFIHLGLKIFYFPLKDMGNLGIKLFCSVFLVALLLHVLPARSQEVEDEREFDYKKYSEKGPARWGEIHPEWGVCSNGSMQSPIDLLHERVHVVSHLGRLNRSYKPSNATLKNRGHDMMLKWETGAGTLEINGTEYVLKQCHWHSPSEHTINGKKFALELHMVHESQDGKAAVVGILYTIGRPDSFLSSLTDRLRLVAGTGENETIAGIVNPKEIKIGSRKYYRYMGSLTTPPCTENVIWTIVRKVRTVTKEQVRLLRVAVHDESNTNARPVQLTNGRPVQLYRPDEKEDD >Manes.13G070800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10184228:10188213:-1 gene:Manes.13G070800.v8.1 transcript:Manes.13G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRPVPRRESPWGMPEGEHRQPKPHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWRCMRSNPGEEPTEPYTYLQIDPPKREAKLQ >Manes.04G087125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28852095:28854088:-1 gene:Manes.04G087125.v8.1 transcript:Manes.04G087125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVNGDDVDGIEFQEEEIEEEEDYSLCLVGRFFTDSQIKFQFMKQTMAALWKPSEGMSVKEVAEGLFHFRFYHTVDRERVLSMGPWTFNNHLLLLEHVLERIGNEMGVCLECDENNFAKAKNFSSSWNSYMRMRVKLDVRKPLWKEHYLRRKGGQWFKVEFLYERVPNFCYICGIIGHGEKFCTRLLDMEGKPVQNFGPEMRAGSRAKQFNIGARWLRDEDGVFPVAKGGGRWRCGGAGGSNDFGIPKNHGDNSGGKGGDATGANVGENNGDGDNEGESNSVSSFSSEAEKEGITINDPKRRRTGQGKQPEVGLSNSSSSKNGPAVGLKEKLDEIKVLLGYDGVFAVSNVGRSGGLAFLWKSAQTVSLLSSSFWHIDVLVEVALIGKWRITGFYGRPNRNERQASWVLLKDLSRQYSYPWVCCGDFNAILTQDEKRGGNAQPNNLIRDFRDGVMEAGLSDLHMSGYRYTWDNGREDEDWTEAKLDRFLVNDDWRRRFSMSTASVLDYSSSDHLPIFLQVRLFLPRSTPRLFRFENSWSLEPGCREIVQSVWSDPNLGSVLDKLKVCSSRLGDWGSTMRQQF >Manes.11G122600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28366944:28369376:1 gene:Manes.11G122600.v8.1 transcript:Manes.11G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLFYEILEKPATSCIIGICSAIWFYIQKKNIGYSHVGLSYETAIEGHHWRIITSAFSHISVLHLVFNMSALWSLGVVEQLGHIGLGVAYYLQYTLVLVILSGLLVLGMYHILIQRFKVEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIAWGLIHGMNNFWALSMLGWIVVIFVFSLKQSGAYDFKFLDIESVTDPSMPSVRFLENGRTLQMSALPLEGVEVV >Manes.05G124300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23008000:23010426:-1 gene:Manes.05G124300.v8.1 transcript:Manes.05G124300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVYCFFHCLFVCVASFLVLCVFKSQTQACLVSVECPEIIVRQRGEKGRENSRNPRKKKVEMEEEEQYKNGVLGGGLGVKVMTDEQMEMLRKQISVYATICESLVQLHKTISAQQDFAGMRSANLYSDPFLSYAFHKVPSRQRWAPKPAQLEILESMFEQSNTTPDRQRIREITIQLAEHGPISETNVYNWFQNRRARSKRKQAALPPIPNHHDSAKDKETKPDETQVDENLAIMLNHMYFQSPDIGGIDQLIGHTEYPLSYSSCLQVEQHDLSG >Manes.05G124300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23009062:23010426:-1 gene:Manes.05G124300.v8.1 transcript:Manes.05G124300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVYCFFHCLFVCVASFLVLCVFKSQTQACLVSVECPEIIVRQRGEKGRENSRNPRKKKVEMEEEEQYKNGVLGGGLGVKVMTDEQMEMLRKQISVYATICESLVQLHKTISAQQDFAGMRSANLYSDPFLSYAFHKVPSRQRWAPKPAQLEILESMFEQSNTTPDRQRIREITIQLAEHGPISETNVYNWFQNRRARSKRKQAALPPIPNHHDSAKDKETKPDETQVDENLAIMLNHMYFQSPDIGGGAT >Manes.05G124300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23008000:23010593:-1 gene:Manes.05G124300.v8.1 transcript:Manes.05G124300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVYCFFHCLFVCVASFLVLCVFKSQTQACLVSVECPEIIVRQRGEKGRENSRNPRKKKVEMEEEEQYKNGVLGGGLGVKVMTDEQMEMLRKQISVYATICESLVQLHKTISAQQDFAGMRSANLYSDPFLSYAFHKVPSRQRWAPKPAQLEILESMFEQSNTTPDRQRIREITIQLAEHGPISETNVYNWFQNRRARSKRKQAALPPIPNHHDSAKDKETKPDETQVDENLAIMLNHMYFQSPDIGGIDQLIGHTEYPLSYSSCLQVEQHDLSG >Manes.16G047550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8737545:8738289:1 gene:Manes.16G047550.v8.1 transcript:Manes.16G047550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRKTDKEVRWTSKLNPEKADRRDKNKYCHFHKDHKHTTEECRQLKDEIERLIRDSTLRNFTRKDREKRRPELEIRTLKNTTDSEPMGLYCDCRCPNDGKGKNKRVAEDEIKLKPADKVMRFFHTDPLVISIHLNTYDVRQVLINTGLNKNNLAKISYSLVGLGDKTVAVLGTINLSLVLGDDKYKQKLYAEFTVVDIPLAYNVILGCPVLNCHCIVIK >Manes.14G013236.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1258629:1260082:1 gene:Manes.14G013236.v8.1 transcript:Manes.14G013236.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGRTSTEQIKTINDVSLDIYELAKSVRSELEILHPLSDDCCIYRVPKLLRQLNEKTYTPRAVSVRPLHHGKPELRPMEGHKTRYNDFLEWSEKDLEDYIELKQIGLSSEDFVRMILLNSAFIVMVLLKHSLKEFGGKKDRIFSKLRMIGDLCTISHHEGHQQLSMILLTHKFFSDTFGSWVSNTILEKHDFSKVEHMIDFLRLYQQPPKLQNRKKIKKPTVPSVAELHQAGVPFELGSGKNLLNIKFQKGILEIPSLQIDDSTEILLRNLKAFEHDYISLVSMLVKGPKDVEIPATNGIIENWLVNSEGVSTLIQELEQENLLFSYDFYFSTLIET >Manes.12G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1888897:1892207:-1 gene:Manes.12G021700.v8.1 transcript:Manes.12G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQKMNANPTAELPSNPVVSCSLKRKRPPKIEIPNVLQEIQADKLKFKDLTPRNDPVCFSGMGVGVSAIKGNKKFMEDTHKIVSCLNGSSNKGFFGVYDGHGGRKAAEFVAENLHTNILEMMVNCTGNVSKEEAVKAGYLKTDQEFLKQGVVSGACCVTALIEGEEVVVSNLGDCRAVLCRGGVAEALTKDHRVEHEEERKRIETKGGYVEIHRGAWRVHGVLSVSRSIGDAHLKDWVMAEPDTMILQLTPDTEFLVLASDGLWEEVGNQEAVDTVISLCKNQKKLGSIRDIQREDDVCHGCVNVSPSSKLRRVSLVKHQKGSKQSPRNKQTVDSGKDLQDDYACENESPPTKSRRISLFKRKNTKVDSPNKENSAHKKGPASMGLGAACKELVNLAVRRGSLDDITVMIIDLNHFRCNN >Manes.17G081701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28659435:28660921:1 gene:Manes.17G081701.v8.1 transcript:Manes.17G081701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAELELERRSRFLNSLIEKKKAKEQQEQYDRLNVSVRASDMPIPLQTRAFKCAREQLDSMPGKLHSKRLALALKKEFDQHMVQLGIA >Manes.14G086800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7189525:7198004:-1 gene:Manes.14G086800.v8.1 transcript:Manes.14G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGSYNTQFGQGPQTPRAPPFLQQPPVLPPLPHNFQQGPPLPSSQVLPRPGQPGLPIYQHGPMAPHLAVRQGPLRLPTAGQPYLPPPPTVPGQLPHVYTTIQHSSYLAPGLPPPPPPGAPMHQLPRQPVGLQSLQQVPPPPPLPPTYSSLALSGSTSAETVGNSQMASTVLPPTPPPPPLPPSPPPIPPSSPPPVFSSSSVSMPLPAGSDISHVSNLADSNKLLKQTAHNIPVRNFSVGDGSLLLERRSGSDVNCPGKDVSSLKKIAASYDPCPPKPKEERIVQKIEDFCQLIAKDGSSYEEMARLKEYENPEFKFLFGGEPESEAAIAHEYFLWKKKQSLLACESDRIQPAMSTNRSMVTTEPHSPADSDMEMEDDITRSDVDQAVNPPTETSTQATDPVSSEFDKKKQLNALVSSAGSDAATMVLSERLGEDGSKLASSHGDLTFGRSVFRVQSPVINSTAATEYPFSSDRVKSSTFLTDDNSSSKAGAAAKCINSDSYLGQVIKRSSPFRHLQDYASNDSSENDDDPSLKDVNPETVSQLVAVGTENLHRDTRIDLEGDTGSRSLCKTKGGFGQLSESGISCKASDFSSYSQRQVKETVSLSTAGGLSTKLTDTKYENQPSINNAASQNIIPKEDASGGVQENVSFSGKYEDNADNVAKSTSNIQKIDRFGRLVREGATDSDSDDSHHAYRRSKRGRSRSHSPLDRRRRRRNLTRRIREKRSRSRSWSPRNQRSRSRSPSFKQAGEFSSVNGRREKGHLQECFDFLRGRCYRGAFCRYMHHDSEKNDGPKHRRSKQQEVQLPPSSKNYNTHEGNKNLSLNVSDHGQEWMNQEMQNNQDKPASSICATKDNTVVNSKEDSVCDALVDLEIIKADSFRVFVAEVPKTRAVEERSEDGKSCRDENFQEAMESDQPMVVDIFPSKPESDYNIFKSHGEASQDVISSLKNSVIQQSQSFQSDPALGGADYHVQQTDDSSISNTSPDETSSTSPKNIHISETVPNSADSLNNPSQQPPFHLYAPNSDGKDAPHMAQLSMDYSLMLQSAAFQSQSAPLENFSYMLPKQNSCFSLPSNTSSATLPMPPPLLLPAHGAAGNAVAAPANVNLQFQQSCFGSQIQVGEFQHRAYPPPQESHQPLLHLDDFRLKPLPGCNPSSQQIGDSALFGEDCLKQPSLQATSVLDSSSRSNNYPQPMPFLQDGSATKQSLPRDNLPTEDILKSYSRSDPYSQRRQAPYNFHHSVPEVVYSLPGNNISSSIYRPDLQDRNPPSHSLVSGLWRNSTHFNPYASTFEKPLSSRFSSGIFRQEKDTMYGGTHDRPLGMSHVSLDQQGVGSREATSPTSATGVRKIIPMSGGESGDQYDPLFDSIEPSSKSYKASDHIQKWEPSGDSGIVLRPKCSNQLLDVEENNKKKEVGGIMLATSIDNEEFGETADLEVGDIEDGSQNNPNALTNMNMGEMEIDQLSPRKSKDSRSMKLFKACLAHFVKEVLKPSWRQGNMSKETFKTVVKKTVDKVSEAMKSRRIPKSKAKINQYIDSSQQKLTKLVMGYVDKYAKG >Manes.14G086800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7190419:7197881:-1 gene:Manes.14G086800.v8.1 transcript:Manes.14G086800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQGSYNTQFGQGPQTPRAPPFLQQPPVLPPLPHNFQQGPPLPSSQVLPRPGQPGLPIYQHGPMAPHLAVRQGPLRLPTAGQPYLPPPPTVPGQLPHVYTTIQHSSYLAPGLPPPPPPGAPMHQLPRQPVGLQSLQQVPPPPPLPPTYSSLALSGSTSAETVGNSQMASTVLPPTPPPPPLPPSPPPIPPSSPPPVFSSSSVSMPLPAGSDISHVSNLADSNKLLKQTAHNIPVRNFSVGDGSLLLERRSGSDVNCPGKDVSSLKKIAASYDPCPPKPKEERIVQKIEDFCQLIAKDGSSYEEMARLKEYENPEFKFLFGGEPESEAAIAHEYFLWKKKQSLLACESDRIQPAMSTNRSMVTTEPHSPADSDMEMEDDITRSDVDQAVNPPTETSTQATDPVSSEFDKKKQLNALVSSAGSDAATMVLSERLGEDGSKLASSHGDLTFGRSVFRVQSPVINSTAATEYPFSSDRVKSSTFLTDDNSSSKAGAAAKCINSDSYLGQVIKRSSPFRHLQDYASNDSSENDDDPSLKDVNPETVSQLVAVGTENLHRDTRIDLEGDTGSRSLCKTKGGFGQLSESGISCKASDFSSYSQRQVKETVSLSTAGGLSTKLTDTKYENQPSINNAASQNIIPKEDASGGVQENVSFSGKYEDNADNVAKSTSNIQKIDRFGRLVREGATDSDSDDSHHAYRRSKRGRSRSHSPLDRRRRRRNLTRRIREKRSRSRSWSPRNQRSRSRSPSFKQAGEFSSVNGRREKGHLQECFDFLRGRCYRGAFCRYMHHDSEKNDGPKHRRSKQQEVQLPPSSKNYNTHEGNKNLSLNVSDHGQEWMNQEMQNNQDKPASSICATKDNTVVNSKEDSVCDALVDLEIIKADSFRVFVAEVPKTRAVEERSEDGKSCRDENFQEAMESDQPMVVDIFPSKPESDYNIFKSHGEASQDVISSLKNSVIQQSQSFQSDPALGGADYHVQQTDDSSISNTSPDETSSTSPKNIHISETVPNSADSLNNPSQQPPFHLYAPNSDGKDAPHMAQLSMDYSLMLQSAAFQSQSAPLENFSYMLPKQNSCFSLPSNTSSATLPMPPPLLLPAHGAAGNAVAAPANVNLQFQQSCFGSQIQVGEFQHRAYPPPQESHQPLLHLDDFRLKPLPGCNPSSQQIGDSALFGEDCLKQPSLQATSVLDSSSRSNNYPQPMPFLQDGSATKQSLPRDNLPTEDILKSYSRSDPYSQRRQAPYNFHHSVPEVVYSLPGNNISSSIYRPDLQDRNPPSHSLVSGLWRNSTHFNPYASTFEKPLSSRFSSGIFRQEKDTMYGGTHDRPLGMSHVSLDQQGVGSREATSPTSATGVRKIIPMSGGESGDQYDPLFDSIEPSSKSYKASDHIQKWEPSGDSGIVLRPKCSNQLLDVEENNKKKEVGGIMLATSIDNEEFGETADLEVGDIEDGSQNNPNALTNMNMGEMEIDQLSPRKSKDSRSMKLFKACLAHFVKEVLKPSWRQGNMSKETFKTVVKKTVDKVSEAMKSRRIPKSKAKINQYIDSSQQKLTKLVMGYVDKYAKG >Manes.13G059400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6917460:6918665:-1 gene:Manes.13G059400.v8.1 transcript:Manes.13G059400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKMVIKVFMNGNSKTRSKALQIAVSTDGVQSAALGEKDKDQLEVVGVGVDAVKLVASLRKKLAKWPCLTYILPKTKVHAELLDMNDVEEKKKEETKPVDCSYPYIYHHNPNPFYIYI >Manes.13G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6917460:6918665:-1 gene:Manes.13G059400.v8.1 transcript:Manes.13G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKMVIKVFMNGNSKTRSKALQIAVSTDGVQSAALGEKDKDQLEVVGVGVDAVKLVASLRKKLAKWPCLTYILPKTKVHAELLDMNDVEEKKKEETKPVDCSYPYIYHHNPNPFYIYI >Manes.10G096200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24407283:24412432:-1 gene:Manes.10G096200.v8.1 transcript:Manes.10G096200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVINMFNKLKEFYYEKYNVLVAMERDIKGNGPENLIALKVRPIKPDLLATEKKTFAYPEIKEMTAESVSDKGLLDVPPSTKIQKEWTCPICQVTTTSEAVFISHLQGRRHAAASEKLKANQMLQNKNSPASVERSAPKEMAAAVVADRDLPIKLPSENVEEWNCPICQVKTSSQTVFISHLQGMRHDAASKKLKYKNQISQSNTSAASVETEAPEKMATAGGGDLPDKSPSKSIHEWTCPICQITTPSETIFISHLQGSQHEDASEKLKSKNQQLESGNSLSSLDISAPKEMAAATISDVDCLDKQTSVNSKEWTCPLCQVTTVNETVFISHLQGRRHEAALKKLKAKNMLQSENSPASMETGAPKGMAAATVAGGDLPDDPPSKKVQKEWNCPICQVTTTSETIFISHLQEGRHEAASEKLKAKNQMLEGKNSPTSMETGEFKEMATMTVAGSILPDEPPSKNILKELTTTATDHSLHIQGRQHEDGCERLKAKDQTSMSKVCTSSVETNVPTERSEMANATAVSQDIPDKSCLKKAQNEWTCAICLVTTTSEADLVSHLQGQQHADAYEKLKAKDEMSKSKIATAFLEPCAPLEKKELITAKIEDGDVSNKPCSQIVLKPWTCSICQVIAASEGDLASHLQGHQHNVCDEKMPNMKQMMNNIVSPASMGTRAPLENEEMGAAKVDGDIPENPQPTNFQKLWTCALCDITACSETARISHFEGKRHRRACQKLTDQKQKSTNVVSPASVENKSNAPVEQPEKHPSDDKNTSCETEEQGKQENLKNIVEIRNSIWWCTICNKCGINEGNMDTHLNGKKHLARIKKLNSV >Manes.10G096200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24407286:24412432:-1 gene:Manes.10G096200.v8.1 transcript:Manes.10G096200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGILFKFAGDCFDVLAWPLFAMGYPLSLFWPCIKLILVGCLVTSHFDGSLYVYRHIIHPCLSIDQQTVINMFNKLKEFYYEKYNVLVAMERDIKGNGPENLIALKVRPIKPDLLATEKKTFAYPEIKEMTAESVSDKGLLDVPPSTKIQKEWTCPICQVTTTSEAVFISHLQGRRHAAASEKLKANQMLQNKNSPASVERSAPKEMAAAVVADRDLPIKLPSENVEEWNCPICQVKTSSQTVFISHLQGMRHDAASKKLKYKNQISQSNTSAASVETEAPEKMATAGGGDLPDKSPSKSIHEWTCPICQITTPSETIFISHLQGSQHEDASEKLKSKNQQLESGNSLSSLDISAPKEMAAATISDVDCLDKQTSVNSKEWTCPLCQVTTVNETVFISHLQGRRHEAALKKLKAKNMLQSENSPASMETGAPKGMAAATVAGGDLPDDPPSKKVQKEWNCPICQVTTTSETIFISHLQEGRHEAASEKLKAKNQMLEGKNSPTSMETGEFKEMATMTVAGSILPDEPPSKNILKELTTTATDHSLHIQGRQHEDGCERLKAKDQTSMSKVCTSSVETNVPTERSEMANATAVSQDIPDKSCLKKAQNEWTCAICLVTTTSEADLVSHLQGQQHADAYEKLKAKDEMSKSKIATAFLEPCAPLEKKELITAKIEDGDVSNKPCSQIVLKPWTCSICQVIAASEGDLASHLQGHQHNVCDEKMPNMKQMMNNIVSPASMGTRAPLENEEMGAAKVDGDIPENPQPTNFQKLWTCALCDITACSETARISHFEGKRHRRACQKLTDQKQKSTNVVSPASVENKSNAPVEQPEKHPSDDKNTSCETEEQGKQENLKNIVEIRNSIWWCTICNKCGINEGNMDTHLNGKKHLARIKKLNSV >Manes.10G096200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24407286:24412432:-1 gene:Manes.10G096200.v8.1 transcript:Manes.10G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGILFKFAGDCFDVLAWPLFAMGYPLCASIQAIETDSIWDTQKLITYWICFSLILLFENAFLMILEWSLFWPCIKLILVGCLVTSHFDGSLYVYRHIIHPCLSIDQQTVINMFNKLKEFYYEKYNVLVAMERDIKGNGPENLIALKVRPIKPDLLATEKKTFAYPEIKEMTAESVSDKGLLDVPPSTKIQKEWTCPICQVTTTSEAVFISHLQGRRHAAASEKLKANQMLQNKNSPASVERSAPKEMAAAVVADRDLPIKLPSENVEEWNCPICQVKTSSQTVFISHLQGMRHDAASKKLKYKNQISQSNTSAASVETEAPEKMATAGGGDLPDKSPSKSIHEWTCPICQITTPSETIFISHLQGSQHEDASEKLKSKNQQLESGNSLSSLDISAPKEMAAATISDVDCLDKQTSVNSKEWTCPLCQVTTVNETVFISHLQGRRHEAALKKLKAKNMLQSENSPASMETGAPKGMAAATVAGGDLPDDPPSKKVQKEWNCPICQVTTTSETIFISHLQEGRHEAASEKLKAKNQMLEGKNSPTSMETGEFKEMATMTVAGSILPDEPPSKNILKELTTTATDHSLHIQGRQHEDGCERLKAKDQTSMSKVCTSSVETNVPTERSEMANATAVSQDIPDKSCLKKAQNEWTCAICLVTTTSEADLVSHLQGQQHADAYEKLKAKDEMSKSKIATAFLEPCAPLEKKELITAKIEDGDVSNKPCSQIVLKPWTCSICQVIAASEGDLASHLQGHQHNVCDEKMPNMKQMMNNIVSPASMGTRAPLENEEMGAAKVDGDIPENPQPTNFQKLWTCALCDITACSETARISHFEGKRHRRACQKLTDQKQKSTNVVSPASVENKSNAPVEQPEKHPSDDKNTSCETEEQGKQENLKNIVEIRNSIWWCTICNKCGINEGNMDTHLNGKKHLARIKKLNSV >Manes.11G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29133283:29135069:-1 gene:Manes.11G128300.v8.1 transcript:Manes.11G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTHHLSLAVFRPISLKMVTQQRMMSHLFAITLAIILAGALPKNVMGQSVADLVTQDFFNGIINQAPAGCPGKNFYSRDTFLQALNSYSDFGKLGSPDDSKREVAAFFAHTTHETGFFCNKEEKDVPATKNYCNTNYQRYPCTPGKRYFGRGPIQLSWNYNYGAAGQDNRFDGLNNPEIVATDPVVSFRTALWYWMKNVRPSVSQGFGATIRAINPVECDGKEPVKVKARIDLYTKYCGQFGVAPGGNLKC >Manes.08G029100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2791074:2792975:1 gene:Manes.08G029100.v8.1 transcript:Manes.08G029100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVACRKIRDYIRYDLKEIAFPSSLPDPPHIKKRRKLTWHERFLVLKKASRLYAASWVRDIGPDLRPNDYKKEEGSEDRANGRKSASAQEKEPSVFEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIQGYQEGVQQVREKKEESNAQQGDDRAKK >Manes.01G039600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7039393:7039644:1 gene:Manes.01G039600.v8.1 transcript:Manes.01G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNMNCWSYEKLKWVGMSVASAFFASLERCACINLSTSDDADDAEEAQDRPLMFCNSISNSSVSSVSIGRHNNPNGVVSYSA >Manes.17G048500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24600697:24607574:1 gene:Manes.17G048500.v8.1 transcript:Manes.17G048500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLDPQSAAEKAVSVIGFGYDFTNDVRLSACKPGPSGSRLIELDSNLTRNLVIPGGVVVRNAPTGIKCDKGERTRFRSDILSFNQMSEKFNQDVSLSGKVPSGLFNAMFDFRGCWQKDAASAKSLAYDGWFITLYNIELERSHLTLAEHLKQEVPTSWDAAALAEFIEKYGTHVVVGVKMGGKDVIHIKQLQKSNVQLPEVQKLLTRLADERFSEEGNAAELSRKSKQDGHSVSWALHGFAPTPVIASIKKEDILSIAIRKGGADIGQSHYQWLSTISQSPNVISMSFVPITSLLSGVRGNGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVYGDLPLTLRRRKQSSPSLRFTLMGPKLYVNTSQVDSGNRPVTGIRLYLEGKRSDHLAIHLQHLSSLPSIVQLSDDHRHEPIEEPVERAYFEPVNWSIFSHVCTAPVQYNGGHIDDSAFIVTRAWFEVKIIGVKKVLFLRLGFSMVASARVRRSEWDGPSTLSRRSGVLSMLISTRFSASLNPPPEKPVKVDVNSAVFPGGPPSVTRAPKMSNFVDTNEMVRGPEHLPGYWVITGAKLCVEGGRISIKAKYSLLAIMSEESMMLM >Manes.03G080016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14181550:14183959:-1 gene:Manes.03G080016.v8.1 transcript:Manes.03G080016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSLLISSTATEVSNSADHLVGPPEDAFSPFFLHHFENHSSVVVMPEMIFPSGFLDGTVPKPSPVDPLYLPWTRCNNFILNGIWEEHRVYRPLPSCCCGKCNSEYFQKYIEVLQKDCVFRFLNGLNKTYPGLRSQLINMEPFPSLDQVYNMVLREEIKRSILLLTQSFTESSAVAIKKGKSFPLDFKFTKSKTISNMNSRQASVQQVFGGCSSNSAEGFSHIGLFKEQIYSNLISAQCVVKSFVFWPNGQKGQVIGVETVHLSHSLLLSNKTCPCDACSRANQRRLPFLEHVCATTSTFELVHMDVWGPYSQLDDFSKFQNEVVERKHQHLLNVSQALLFQSRLPSRFRKCVFIGYAVGVKGYKLYDLDTNSYFYLPGCLIS >Manes.07G018000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2084583:2087245:-1 gene:Manes.07G018000.v8.1 transcript:Manes.07G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVFGLVLTLVCCLVSPHHACNQFDHESLLSFSYNVSSSPPLNWSPSIDCCLWEGIGCDGDGQVTSLWLPSRGIVGVFSFSSIRNLKHLSQLNLSHNQFSGPLESFLSLVALETLDLSYNLLHGELPSLFSSKNIKLIDLSSNNFYGEIPSTFFQQAANLVSFNISNNSFTGSIPSSVCLNSSLSVKLLDFSGNDFGGLMPKTLGQCSQLQVFRAGFNNLSGPFPQDIFKVVSLQEISLPLNSLSGPISPGITNLTNLKILELYGNQLTGVISPDIGKLSNLEQLLLHINYFAGPLPTSLANCTNLFALNLRFNNLEGDLSTFNFSKLVKLRILDLGNNNFTGSLPLSLYSCWSLTAVRLSFNQFEGQILPKVVDLKYMSFLSLSHNNLTNATGAISILMRLKNLRILLLSRNFQNESMPNEDKIGQSGGFQNLQILALGGCLLNGQIPGWLAKLQKLQVLDLSFNRIIGSIPTWLISQPSLFYIDLSSNFISGEFPRELNLMPALASTEAQYAVDTTYLEAPVLVQTNNAINPNYQQYIKLSHLPPAIYIRNNNLRGNIPVELGQLKLLHVLDLSNNNFSGSIPSELSKLTNLEKLDLSGNHLTGEIPASFKGLNFLSSFSVADNNLEGPVPSGGQFDTFPVSSFSGNPGLCGSIVQYSCSDQPQTTSPVPEESSENTLVFGLIAGLTFGFVVGSTTGLLYPIRRLKFLEKFIRFLHRNERRNSHVSPLYSTS >Manes.08G137000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37372589:37372954:-1 gene:Manes.08G137000.v8.1 transcript:Manes.08G137000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSEQHLQHHQPMRQKSAKNKKKPLKITYISTPTMFRAANASEFRAIVQELTGKDSKVTDAWDFNEEAIQVPAERFKIDSESVDDVFSSYTSSSVEMEDSLLWKQVCESFFEFQSRVFA >Manes.01G213900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38380535:38383625:-1 gene:Manes.01G213900.v8.1 transcript:Manes.01G213900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKDTSHWWWFESHQNSKRSPWLQSTLGELERKTKAMLKLIEEDADSFAERAEMYYEKRPQLISMVEDFYRTHRSLAEKYDQLKSDSGNRLITTLGSPFSIKYQQQKLMGGMDETYGGHSETYDPEDSAESEVEDPEQEVEIVCEEEMGEVEDPEEKLETSEIEVSGAVFNDEVMRMQEELERLVEEKRIWREQLLQKDEEKREVIRQLSLAIEVLKLENVQLRKHVARGSTKKTSLFELKKLKEVFSWKLFNGFSESRGRGSVVAT >Manes.02G025740.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2261353:2271079:1 gene:Manes.02G025740.v8.1 transcript:Manes.02G025740.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTIVLILCCLGSYLCVGDVVGVEILSKSKLEKCEKGSDCDALNCTAKIVLNMAVPSGSSGGEASIVAEIVEVEENSTSNMRTLRIPPVITVNKSAAYALYELSYIRDVAYKPEEYYVKTRKCEPDAGGDIVKICERLRDENGHIIEHTEPTCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSIGFSVRIEVKSRSTVSEVIMGPENRSVTSKDNFLRANLIGDFVGYSSLPSFEDFYLVIPRQGKPGQPEDLGRNFSMWMLLERLRFTLDGFECNKIGVGYEAFNGQPNLCSSPFWSCLHNQLWNYRDADQNRIKRKQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSPGKILNVTIPTFEALTQFGVATVTTENTGKVEASYSLTFDCSRDVSLMEEQFFIMKPKELATRSFKLYPTTDQAAKYLCSAILKDSNFNEVDRAECQFSTTATVLDNGSQVWGSC >Manes.02G025740.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2261353:2271079:1 gene:Manes.02G025740.v8.1 transcript:Manes.02G025740.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTIVLILCCLGSYLCVGDVVGVEILSKSKLEKCEKGSDCDALNCTAKIVLNMAVPSGSSGGEASIVAEIVEVEENSTSNMRTLRIPPVITVNKSAAYALYELSYIRDVAYKPEEYYVKTRKCEPDAGGDIVKICERLRDENGHIIEHTEPTCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSIGFSVRIEVKSRSTVSEVIMGPENRSVTSKDNFLRANLIGDFVGYSSLPSFEDFYLVIPRQGKPGQPEDLGRNFSMWMLLERLRFTLDGFECNKIGVGYEAFNGQPNLCSSPFWSCLHNQLWNYRDADQNRIKRKQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSPGKILNVTIPTFEALTQFGVATVTTENTGKVEASYSLTFDCSRDVSLMEEQFFIMKPKELATRSFKLYPTTDQAAKYLCSAILKDSNFNEVDRAECQFSTTATVLDNGSQITPLPHPKTGIDGFVESIESIGMKFWEGLVDFITGKTCSRKCIRFFDFSCHIQYICMSWMVMFGLLLAIFPTVLVLLWLLHQKGLFDPLYDWWEDHVWSDNRRIRPMARHDSDVHHHYKHHDLRTRHHKHDAQHKRRSTRQDHGHKHSGRDTDRYLHHVHKNYSKHRSGRKSRIKQQVYVKAKGPHKDHFDEQSW >Manes.02G025740.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2264261:2269839:1 gene:Manes.02G025740.v8.1 transcript:Manes.02G025740.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPENRSVTSKDNFLRANLIGDFVGYSSLPSFEDFYLVIPRQGKPGQPEDLGRNFSMWMLLERLRFTLDGFECNKIGVGYEAFNGQPNLCSSPFWSCLHNQLWNYRDADQNRIKRKQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSPGKILNVTIPTFEALTQFGVATVTTENTGKVEASYSLTFDCSRDVSLMEEQFFIMKPKELATRSFKLYPTTDQAAKYLCSAILKDSNFNEVDRAECQFSTTATVLDNGSQITPLPHPKTGIDGFVESIESIGMKFWEGLVDFITGKTCSRKCIRFFDFSCHIQYICMSWMVMFGLLLAIFPTVLVLLWLLHQKGLFDPLYDWWEDHVWSDNRRIRPMARHDSDVHHHYKHHDLRTRHHKHDAQHKRRSTRQDHGHKHSGRDTDRYLHHVHKNYSKHRSGRKSRIKQQVYVKAKGPHKDHFDEQSW >Manes.02G025740.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2261353:2269839:1 gene:Manes.02G025740.v8.1 transcript:Manes.02G025740.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTIVLILCCLGSYLCVGDVVGVEILSKSKLEKCEKGSDCDALNCTAKIVLNMAVPSGSSGGEASIVAEIVEVEENSTSNMRTLRIPPVITVNKSAAYALYELSYIRDVAYKPEEYYVKTRKCEPDAGGDIVKICERLRDENGHIIEHTEPTCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSIGFSVRIEVKSRSTVSEVIMGPENRSVTSKDNFLRANLIGDFVGYSSLPSFEDFYLVIPRQGKPGQPEDLGRNFSMWMLLERLRFTLDGFECNKIGVGYEAFNGQPNLCSSPFWSCLHNQLWNYRDADQNRIKRKQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSPGKILNVTIPTFEALTQFGVATVTTENTGKVEASYSLTFDCSRDVSLMEEQFFIMKPKELATRSFKLYPTTDQAAKYLCSAILKDSNFNEVDRAECQFSTTATVLDNGSQITPLPHPKTGIDGFVESIESIGMKFWEGLVDFITGKTCRLYSHSNTYKFLNHIPVEELPSMSTCWDGCHVFPAPYSRSCSWKSASNTGMW >Manes.02G025740.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2261353:2269839:1 gene:Manes.02G025740.v8.1 transcript:Manes.02G025740.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTIVLILCCLGSYLCVGDVVGVEILSKSKLEKCEKGSDCDALNCTAKIVLNMAVPSGSSGGEASIVAEIVEVEENSTSNMRTLRIPPVITVNKSAAYALYELSYIRDVAYKPEEYYVKTRKCEPDAGGDIVKICERLRDENGHIIEHTEPTCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSIGFSVRIEVKSRSTVSEVIMGPENRSVTSKDNFLRANLIGDFVGYSSLPSFEDFYLVIPRQGKPGQPEDLGRNFSMWMLLERLRFTLDGFECNKIGVGYEAFNGQPNLCSSPFWSCLHNQLWNYRDADQNRIKRKQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSPGKILNVTIPTFEALTQFGVATVTTENTGKVEASYSLTFDCSRDVSLMEEQFFIMKPKELATRSFKLYPTTDQAAKYLCSAILKDSNFNEVDRAECQFSTTATVLDNGSQITPLPHPKTGIDGFVESIESIGMKFWEGLVDFITGKTCSRKCIRFFDFSCHIQYICMSWMVMFGLLLAIFPTVLVLLWLLHQKGLFDPLYDWWEDHVWSDNRRIRPMARHDSDVHHHYKHHDLRTRHHKHDAQHKRRSTRQDHGHKHSGRDTDRYLHHVHKNYSKHRSGRKSRIKQQVYVKAKGPHKDHFDEQSW >Manes.02G025740.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2261353:2271079:1 gene:Manes.02G025740.v8.1 transcript:Manes.02G025740.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTIVLILCCLGSYLCVGDVVGVEILSKSKLEKCEKGSDCDALNCTAKIVLNMAVPSGSSGGEASIVAEIVEVEENSTSNMRTLRIPPVITVNKSAAYALYELSYIRDVAYKPEEYYVKTRKCEPDAGGDIVKICERLRDENGHIIEHTEPTCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSIGFSVRIEVKSRSTVSVTAFGCLNGIEVIMGPENRSVTSKDNFLRANLIGDFVGYSSLPSFEDFYLVIPRQGKPGQPEDLGRNFSMWMLLERLRFTLDGFECNKIGVGYEAFNGQPNLCSSPFWSCLHNQLWNYRDADQNRIKRKQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSPGKILNVTIPTFEALTQFGVATVTTENTGKVEASYSLTFDCSRDVSLMEEQFFIMKPKELATRSFKLYPTTDQAAKYLCSAILKDSNFNEVDRAECQFSTTATVLDNGSQITPLPHPKTGIDGFVESIESIGMKFWEGLVDFITGKTCSRKCIRFFDFSCHIQYICMSWMVMFGLLLAIFPTVLVLLWLLHQKGLFDPLYDWWEDHVWSDNRRIRPMARHDSDVHHHYKHHDLRTRHHKHDAQHKRRSTRQDHGHKHSGRDTDRYLHHVHKNYSKHRSGRKSRIKQQVYVKAKGPHKDHFDEQSW >Manes.02G025740.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2264261:2269839:1 gene:Manes.02G025740.v8.1 transcript:Manes.02G025740.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPENRSVTSKDNFLRANLIGDFVGYSSLPSFEDFYLVIPRQGKPGQPEDLGRNFSMWMLLERLRFTLDGFECNKIGVGYEAFNGQPNLCSSPFWSCLHNQLWNYRDADQNRIKRKQIPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELSADDIEYVYQRSPGKILNVTIPTFEALTQFGVATVTTENTGKVEASYSLTFDCSRDVSLMEEQFFIMKPKELATRSFKLYPTTDQAAKYLCSAILKDSNFNEVDRAECQFSTTATVLDNGSQITPLPHPKTGIDGFVESIESIGMKFWEGLVDFITGKTCSRKCIRFFDFSCHIQYICMSWMVMFGLLLAIFPTVLVLLWLLHQKGLFDPLYDWWEDHVWSDNRRIRPMARHDSDVHHHYKHHDLRTRHHKHDAQHKRRSTRQDHGHKHSGRDTDRYLHHVHKNYSKHRSGRKSRIKQQVYVKAKGPHKDHFDEQSW >Manes.03G102100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22615582:22616082:1 gene:Manes.03G102100.v8.1 transcript:Manes.03G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTFTALVASALCFFSLLSNALAASGLNVEGKVYCDTCRVEFETKISEMISGATVKLECKNRENNTLTYAVEGVTDNKGIYRLPVEGDHEEDICEVRLIKSGRADCSEQFKIVDRARILLTKNVGMVQTTRYANPLGFMKKKASPQCAQVLKDMGFVPIDVEV >Manes.08G116800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35655149:35658370:-1 gene:Manes.08G116800.v8.1 transcript:Manes.08G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKFIFNFFIVSVFLLLVLPGACDAFLLKRHRHRCGFDAIYQLGDSISDTGNLIQEDPASLFARLPYGETFLKKPTGRCSNGLLIIDYIALSAGIPFLDGYLNPNATFGRGRGVNFAVAGSTALPVHVLAEKGIVAPATNSSLATQLDWMFSYFNGICYDEEDCVDKLKSSLFMVGEIGSNDYHYALSQGKSMDEVKAMVPDVVADIKEAIKIVIGYGGRWVVVPGNFPIGCLPIYLTRFKTNNSNAYDSFHCLKELNNLAIYHNQHLQQSIEDLRKQNPNTTIAYGDYYKAYQWILSHVQSLGFDAKSLQKTCCGIGGDYNFNPSKMCGAAGVPVCPEPNRHVNWDGIHLTQASYQLIARWLIHDMNKKHVCSFYY >Manes.01G222100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38935171:38944112:1 gene:Manes.01G222100.v8.1 transcript:Manes.01G222100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLSVSLECVNVCKLSKGDGSGRYDCSVLSCAWKAPRILTGFLASTAHSPQSSSFLSGRNGRRNQFKSKCEVLDIGSCYSIEAFGSALLGRLIKSRKHHVAGQRWQLFFSSSISSGAFDEVSPETLWEDLRPTVSYLSPKELELVHNALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGPTVRHIVEGETKVSKLGKLKCKNESDSVQDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPRKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPQDYAKVKRRVADLYKEHEKELLEANKILKKKIEDDQFLDLMTVKTEVRSACKEPYSIYKAVLKSKGSISEVNQIAQLRIIIKPKPCVGVGPLCTSQQICYHVLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAMPSGRSSRGKTVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYSALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAAEITADTVNDFVADSEEESEVEELPDNTEWNRTLWEKIFRNVVEKSSQGKYSEDLLMPSNNGSAWVPKVNGKNNKHLQHASLEAKGELLSQGNDVAKMIESNIPMYKEVLPGLESWHASKVASWHSLEGHSIQWLCVVCIDRRGMMAEVTTALASVGIAICSCVAEIDRGRGMAVMLFHIDGNLDNLVKACSSVDLILGVLGWSTGCSWTSSMENPQFLEC >Manes.01G222100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38935171:38944112:1 gene:Manes.01G222100.v8.1 transcript:Manes.01G222100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLSVSLECVNVCKLSKGDGSGRYDCSVLSCAWKAPRILTGFLASTAHSPQSSSFLSGRNGRRNQFKSKCEVLDIGSCYSIEAFGSALLGRLIKSRKHHVAGQRWQLFFSSSISSGAFDEVSPETLWEDLRPTVSYLSPKELELVHNALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGPTVRHIVEGETKVSKLGKLKCKNESDSVQDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPRKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPQDYAKVKRRVADLYKEHEKELLEANKILKKKIEDDQFLDLMTVKTEVRSACKEPYSIYKAVLKSKGSISEVNQIAQLRIIIKPKPCVGVGPLCTSQQICYHVLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAMPSGRSSRGKTVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYSALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAAEITADTVNDFVADSEEESEVEELPDNTEWNRTLWEKIFRNVVEKSSQGKYSEDLLMPSNNGSAWVPKVNGKNNKHLQHASLEAKGELLSQGNDVAKMIESNIPMYKEVLPGLESWHASKVASWHSLEGHSIQWLCVVCIDRRGMMAEVTTALASVGIAICSCVAEIDRGRGMAVMLFHIDGNLDNLVKACSSVDLILGVLGWSTGCSWTSSMENPQFLEC >Manes.01G222100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38935171:38944112:1 gene:Manes.01G222100.v8.1 transcript:Manes.01G222100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLSVSLECVNVCKLSKGDGSGRYDCSVLSCAWKAPRILTGFLASTAHSPQSSSFLSGRNGRRNQFKSKCEVLDIGSCYSIEAFGSALLGRLIKSRKHHVAGQRWQLFFSSSISSGAFDEVSPETLWEDLRPTVSYLSPKELELVHNALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGPTVRHIVEGETKVSKLGKLKCKNESDSVQDVKADDLRQMFLAMTEESSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPQDYAKVKRRVADLYKEHEKELLEANKILKKKIEDDQFLDLMTVKTEVRSACKEPYSIYKAVLKSKGSISEVNQIAQLRIIIKPKPCVGVGPLCTSQQICYHVLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAMPSGRSSRGKTVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPKGATVIDYAYMIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYSALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAAEITADTVNDFVADSEEESEVEELPDNTEWNRTLWEKIFRNVVEKSSQGKYSEDLLMPSNNGSAWVPKVNGKNNKHLQHASLEAKGELLSQGNDVAKMIESNIPMYKEVLPGLESWHASKVASWHSLEGHSIQWLCVVCIDRRGMMAEVTTALASVGIAICSCVAEIDRGRGMAVMLFHIDGNLDNLVKACSSVDLILGVLGWSTGCSWTSSMENPQFLEC >Manes.15G135200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10929731:10937585:1 gene:Manes.15G135200.v8.1 transcript:Manes.15G135200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTREIALIQMRKSVEKLGSCTQKYGDPTLMRFLIARSMDPEKAAKMFVQWQKWRAAFVPNGFIPESEVHDELETRKVYLQGLSKEGNPVLIGKLNKHFPSKDLLQFKKFVVHLLDKAIASGFKGREIGNEKLVAVLDLQHVTYKNVDVRAFIAGFQVLQGYYPERLAKLFILNMPRFFVSVWKMISRFLDKATLEKIVIVSKEEERRNLIKEIGEDTLPEEYGGRSNLVALQDVILPLLED >Manes.04G157400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35246207:35247100:1 gene:Manes.04G157400.v8.1 transcript:Manes.04G157400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDVLSVNVKSKKQPRRRSTKKGIKVVYISSPMKFETSASKFRALVQELTGKDSDAERLMDDVNGVENYSTEILDRRAADESGGSLFPLMNSYNESSSPDSDSMLEGFDGGFLPAMEGSFMSMFQSNLFHESLALELDVFN >Manes.15G083600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6456865:6459770:1 gene:Manes.15G083600.v8.1 transcript:Manes.15G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAVATRQTANLSRLSSPRVASAPQAASLIHRRGLAGGGDHHGPPKVNFWQDPMSPSKWKEEHFVIVSLTGWGLLFFGGYKFFTRGKADKKEEKVGEATH >Manes.07G085200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26710822:26724445:1 gene:Manes.07G085200.v8.1 transcript:Manes.07G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVTGMDSLSDRAAKMREALQKSQTITDNVVSILGSFDSRLSALETAMRPTQIRTHAIRKAHENIDKTLKAAEVILAQFDVSRQAEARILKGPHEDLESYLAAIDQLRSNIRFFSSNKNFKSSEAVLNNANNLLAKAISKLEEEFKQLLASYSKPVEPDRLFECLPESMQPSLGSPANQDGGKIHSAHNHSEQQTSEGYKNLTLIPPRILPLLHDLAKQMVQAGHQQQLLKIYGDTRSSVLEESLHKLGVEKLSKEDVQKMQWEVLEAKIGNWIHFMRIAVKVLFAGERRVCDQIFDGFDTLRDQCFAGCTSGSVSMLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELHSEIEAVFDGKACTEIRESTFGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFESGAETSSQLASVTMRIMQALQTNLDGKSKQYKDPALTYLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQYANQYKRSAWAKILQCLSAQGLTSSAGGSQVPGEGGSGSGASRGLVKDRFKTFNMQFEELHQKQSQWTVPDTELRESLRLAVAEVLLPAYRSFVKRFGPLVESGKNPQKYIKYSAEDLERMLGEFFEGKSLNEPRR >Manes.02G147400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11351711:11355473:1 gene:Manes.02G147400.v8.1 transcript:Manes.02G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKKPRSHNHTLDPNFMREDRTEMSEDDDRSLLSDSVTTTDSGSTSGSGLNSVPEFQAPYPDQVLENVLENVLCFLTSRRDRNAASLVCRSWYRAEALTRSELFIGNCYAVSPRRATSRFTRIRSVTLKGKPRFADFNLMPPNWGAHFAPWVSAMAKAYPWLEKVHLKRMTVTDDDLALLAESFFGFKELVLMCCDGFGTSGLAVVASRCRQLRVLDLLESEVSDDEVDWISFFPESEMCLESLIFDCVECHINFDALERLIARSPSLKKLRLNRNVSIGQLHRLMVRAPQLTHLGTGSFRPSEDVAEGEQEPDYVSAFSACESLVCLSGFRDIVPDYLPAIYPVCANLTSLNLSYANINAEQLKPIISNCHKLQIFWVLDSICDEGLQAVAATCKELRELRVFPIDAREDSEGPVSEVGLQAISEGCRKLQSILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDHITGEPMDEGFGAIVMNCKNLTRLAVSGLLTDRAFSYIGKYGKTVRTLSVAFAGDSDMGLKYVLEGCPRLQKLEIRDSPFGDAALLSGLHHYYNMRFLWMSSCKLTPAGCQQIARALPRLVVEVINHELDEDMGNFVNTLYMYRSLEGPRDDAPKFVSIL >Manes.01G240101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40142640:40143421:-1 gene:Manes.01G240101.v8.1 transcript:Manes.01G240101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEVACTYAALILHDDGIPITAEKIAQIVKAANVTVESYWPSLFAKLLEKINPEDLIMNVGSGGAAAPPPEEKKKEEPEEESDDDMGFSLFD >Manes.01G240101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40141591:40143786:-1 gene:Manes.01G240101.v8.1 transcript:Manes.01G240101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEVACTYAALILHDDGIPITAEKIAQIVKAANVTVESYWPSLFAKLLEKINPEDLIMNVGSGGGAAPVAAAAPAAGGGAAAAAAPPPEEKKKEEPEEESDDDMGFSLFD >Manes.09G016688.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3479524:3496332:-1 gene:Manes.09G016688.v8.1 transcript:Manes.09G016688.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFYLQPQGAIGTIQSPFLFLFTNYCHSSTSSLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFSHLHLVDLGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKMDKAVEFFHDMVASGYQPNVYTYSTIINGMCKFGKTNVAIGLLKGMADRGCGPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYSSLIDGLCISDQLKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSIAQNIIKIMIQRGVEPNVVTYNSLMDGYCLCKQIDKARKLFDLMVTNGIADIFSYNILINGYCKCKMIDDAKQIFDEMSHKGLVPNAVTYNTLIKGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGLCQQGLMDEAYKVFKDMEKVGCLPTNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLSQKNDLILRLLKVRNEG >Manes.11G040313.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3992500:3993141:1 gene:Manes.11G040313.v8.1 transcript:Manes.11G040313.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKVIASHTFEAWTEQFEKANRGKQLIVLDFSAAWCPHSRSMSPMLAELAKKMPNVTFLMVDANELCAVAMEWAVKVVPTFFFLKQGQLLDQFVGANVKQLISTIERHAGGAHGILGQCKKILGQWMIFANIFLLFFYKLIGDLITLVFHDTESILGTNYPQPQVLIGFPNYSILGTNNGFPVNIPATPSTSIDMPTTTDTSTHHCHYPY >Manes.10G131600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29707977:29715037:-1 gene:Manes.10G131600.v8.1 transcript:Manes.10G131600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIMDDNSDPFPQLGSVYRKFSGGHRVKSLSKNVSNINAKSSLDDGKISAEGRCPQIYTGAWGRPNLMHKLGMQSNSNGNARTNQIPFFQNFSISGSELSSQQNSYIEQGQSGIDSQVGSPQLNGGDEMKKDEHCNCQLGGVSDDKNGIVDNNNDDDDDEIFDSSDDEFFDDDFDSCTSERSHEMRKKNNWFKGFFEDLEKLTVEEMNSPGRKWHCPACKGGPGAIDWYRGLEPLMYHAMTRKTRRAKLHRVLAETLDEETRRRGISLAPLGVAFGRWQGLNEKVKDYEIVWPPMVVIVNTRYEQEENGKQWIGMGNQELLDHFKSYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEAARLHKHFKEQGRDRDAWNSNRVPFCSGGKRQLYGYMALKEDVDIFNLHSQGRSKLKYEIRSYQEMVERRIKQINENSQQLVKFKDKTTQEQKHSKVLAESVSRLSEELRKNIEKSHIMRQQTKLLLEENKGEMDSQEQFFKDQIKIIHEAIYAKEDNFEKLQQEKWEKVEQSNANLCTIKDTSRMEEIVSFIKFQDKEMEEFEADMKKVIKSHGNKKVSVMKKYWEELLELEKDLENDLDQLMGKYNKDHPEMKKSNN >Manes.10G131600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29707977:29715037:-1 gene:Manes.10G131600.v8.1 transcript:Manes.10G131600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIMDDNSDPFPQLGSVYRKFSGGHRVKSLSKNVSNINAKSSLDDGKISAEGRCPQIYTGAWGRPNLMHKLGMQSNSNGNARTNQIPFFQNFSISGSELSSQQNSYIEQGQSGIDSQVGSPQLNGGDEMKKDEHCNCQLGGVSDDKNGIVDNNNDDDDDEIFDSSDDEFFDDDFDSCTSERSHEMRKKNNWFKGFFEDLEKLTVEEMNSPGRKWHCPACKGGPGAIDWYRGLEPLMYHAMTRKTRRAKLHRVLAETLDEETRRRGISLAPLGVAFGRWQGLNEKVKDYEIVWPPMVVIVNTRYEQEENGKQWIGMGNQELLDHFKSYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEAARLHKHFKEQGRDRDAWNSNRVPFCSGGKRQLYGYMALKEDVDIFNLHSQGRSKLKYEIRSYQEMVERRIKQINENSQQLVKFKDKTTQEQKHSKVLAESVSRLSEELRKNIEKSHIMRQQTKLLLEENKGEMDSQEQFFKDQIKIIHEAIYAKEDNFEKLQQEKWEKVEQSNANLCTIKDTSRMEEIVSFIKFQDKEMEEFEADMKKVIKSHGNKKVSVMKKYWEELLELEKDLENDLDQLMGKYNKDHPEMKKSNN >Manes.10G131600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29706843:29715037:-1 gene:Manes.10G131600.v8.1 transcript:Manes.10G131600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIMDDNSDPFPQLGSVYRKFSGGHRVKSLSKNVSNINAKSSLDDGKISAEGRCPQIYTGAWGRPNLMHKLGMQSNSNGNARTNQIPFFQNFSISGSELSSQQNSYIEQGQSGIDSQVGSPQLNGGDEMKKDEHCNCQLGGVSDDKNGIVDNNNDDDDDEIFDSSDDEFFDDDFDSCTSERSHEMRKKNNWFKGFFEDLEKLTVEEMNSPGRKWHCPACKGGPGAIDWYRGLEPLMYHAMTRKTRRAKLHRVLAETLDEETRRRGISLAPLGVAFGRWQGLNEKVKDYEIVWPPMVVIVNTRYEQEENGKWIGMGNQELLDHFKSYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEAARLHKHFKEQGRDRDAWNSNRVPFCSGGKRQLYGYMALKEDVDIFNLHSQGRSKLKYEIRSYQEMVERRIKQINENSQQLVKFKDKTTQEQKHSKVLAESVSRLSEELRKNIEKSHIMRQQTKLLLEENKGEMDSQEQFFKDQIKIIHEAIYAKEDNFEKLQQEKWEKVEQSNANLCTIKDTSR >Manes.10G131600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29707977:29715037:-1 gene:Manes.10G131600.v8.1 transcript:Manes.10G131600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIMDDNSDPFPQLGSVYRKFSGGHRVKSLSKNVSNINAKSSLDDGKISAEGRCPQIYTGAWGRPNLMHKLGMQSNSNGNARTNQIPFFQNFSISGSELSSQQNSYIEQGQSGIDSQVGSPQLNGGDEMKKDEHCNCQLGGVSDDKNGIVDNNNDDDDDEIFDSSDDEFFDDDFDSCTSERSHEMRKKNNWFKGFFEDLEKLTVEEMNSPGRKWHCPACKGGPGAIDWYRGLEPLMYHAMTRKTRRAKLHRVLAETLDEETRRRGISLAPLGVAFGRWQGLNEKVKDYEIVWPPMVVIVNTRYEQEENGKWIGMGNQELLDHFKSYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEAARLHKHFKEQGRDRDAWNSNRVPFCSGGKRQLYGYMALKEDVDIFNLHSQGRSKLKYEIRSYQEMVERRIKQINENSQQLVKFKDKTTQEQKHSKVLAESVSRLSEELRKNIEKSHIMRQQTKLLLEENKGEMDSQEQFFKDQIKIIHEAIYAKEDNFEKLQQEKWEKVEQSNANLCTIKDTSRMEEIVSFIKFQDKEMEEFEADMKKVIKSHGNKKVSVMKKYWEELLELEKDLENDLDQLMGKYNKDHPEMKKSNN >Manes.10G131600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29706939:29715037:-1 gene:Manes.10G131600.v8.1 transcript:Manes.10G131600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIMDDNSDPFPQLGSVYRKFSGGHRVKSLSKNVSNINAKSSLDDGKISAEGRCPQIYTGAWGRPNLMHKLGMQSNSNGNARTNQIPFFQNFSISGSELSSQQNSYIEQGQSGIDSQVGSPQLNGGDEMKKDEHCNCQLGGVSDDKNGIVDNNNDDDDDEIFDSSDDEFFDDDFDSCTSERSHEMRKKNNWFKGFFEDLEKLTVEEMNSPGRKWHCPACKGGPGAIDWYRGLEPLMYHAMTRKTRRAKLHRVLAETLDEETRRRGISLAPLGVAFGRWQGLNEKVKDYEIVWPPMVVIVNTRYEQEENGKWIGMGNQELLDHFKSYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEAARLHKHFKEQGRDRDAWNSNRVPFCSGGKRQLYGYMALKEDVDIFNLHSQGRSKLKYEIRSYQEMVERRIKQINENSQQLVKFKDKTTQEQKHSKVLAESVSRLSEELRKNIEKSHIMRQQTKLLLEENKGEMDSQEQFFKDQIKIIHEAIYAKEDNFEKLQQEKWEKVEQSNANLCTIKDTSRDVAGLHKIVVDCCCVA >Manes.10G131600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29707942:29715037:-1 gene:Manes.10G131600.v8.1 transcript:Manes.10G131600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIMDDNSDPFPQLGSVYRKFSGGHRVKSLSKNVSNINAKSSLDDGKISAEGRCPQIYTGAWGRPNLMHKLGMQSNSNGNARTNQIPFFQNFSISGSELSSQQNSYIEQGQSGIDSQVGSPQLNGGDEMKKDEHCNCQLGGVSDDKNGIVDNNNDDDDDEIFDSSDDEFFDDDFDSCTSERSHEMRKKNNWFKGFFEDLEKLTVEEMNSPGRKWHCPACKGGPGAIDWYRGLEPLMYHAMTRKTRRAKLHRVLAETLDEETRRRGISLAPLGVAFGRWQGLNEKVKDYEIVWPPMVVIVNTRYEQEENGKWIGMGNQELLDHFKSYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEAARLHKHFKEQGRDRDAWNSNRVPFCSGGKRQLYGYMALKEDVDIFNLHSQGRSKLKYEIRSYQEMVERRIKQINENSQQLVKFKDKTTQEQKHSKVLAESVSRLSEELRKNIEKSHIMRQQTKLLLEENKGEMDSQEQFFKDQIKIIHEAIYAKEDNFEKLQQEKWEKVEQSNANLCTIKDTSRIKRWKNLKQI >Manes.10G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29706843:29715081:-1 gene:Manes.10G131600.v8.1 transcript:Manes.10G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIMDDNSDPFPQLGSVYRKFSGGHRVKSLSKNVSNINAKSSLDDGKISAEGRCPQIYTGAWGRPNLMHKLGMQSNSNGNARTNQIPFFQNFSISGSELSSQQNSYIEQGQSGIDSQVGSPQLNGGDEMKKDEHCNCQLGGVSDDKNGIVDNNNDDDDDEIFDSSDDEFFDDDFDSCTSERSHEMRKKNNWFKGFFEDLEKLTVEEMNSPGRKWHCPACKGGPGAIDWYRGLEPLMYHAMTRKTRRAKLHRVLAETLDEETRRRGISLAPLGVAFGRWQGLNEKVKDYEIVWPPMVVIVNTRYEQEENGKWIGMGNQELLDHFKSYAALKARHSYGPQGHRGMSMLIFDDTAAGYLEAARLHKHFKEQGRDRDAWNSNRVPFCSGGKRQLYGYMALKEDVDIFNLHSQGRSKLKYEIRSYQEMVERRIKQINENSQQLVKFKDKTTQEQKHSKVLAESVSRLSEELRKNIEKSHIMRQQTKLLLEENKGEMDSQEQFFKDQIKIIHEAIYAKEDNFEKLQQEKWEKVEQSNANLCTIKDTSRMEEIVSFIKFQDKEMEEFEADMKKVIKSHGNKKVSVMKKYWEELLELEKDLENDLDQLMGKYNKDHPEMKKSNN >Manes.02G160000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12509604:12516339:-1 gene:Manes.02G160000.v8.1 transcript:Manes.02G160000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEANLPVSAAPPPRNLVRPIINADTTSSSSSSFSSSSPPDFLKHVQAAFKRHRPLGTMPIHGIGPRRMLVQQRETSKAAVSDVDPKTGMKKCENLIPSSHAVKDSIIPTKNPVAVVRETQEESITTPSISGITTKTFDESFNPFDAQRNQSTSVIHCQENNPMSLSQVDGKRRVQFSMAKNSNSQEMEWDASNQIEALNAFNDESKHQNSQNMESDICLRTDGATSLLAKRTTVQDQLHQFRNFLGQPATQSSVAGSSCATTTSVHSTSAPMFNSTSYYSRSHPESGTHMAVEPLGDINMNSHHINQRNMEQLSYTSLKDTNGLRTDQKSVAAQTSTCAIDTQIKEHHLPREKQGKLANKNDTSKNPSFVVDNPTKEREPSEDIHDKQAQNPLSKNPSSDVQLVEPSKQEKQEKVTSGKGVSVPRKRNYDADMFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLKGKNNIIQLVDYEVTDKNLLREVMNGSASNKDGRVKDDGYIYMVLEYGEIDLAHMLSQKWKELDSTNQIIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGALKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWAKFKVITDPNHEITYGPVSNPWLLDLMKKCLAWDRNERWRIPQLLEHPFLVPPAPPPQQSLPQEQGYMLLQLVAEACGNNQEASKLCRDLQQLLRGPVTPLMYQTLTSRDQQCKLLSEMSKLCFQLRECLTKLERG >Manes.02G160000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12509604:12516339:-1 gene:Manes.02G160000.v8.1 transcript:Manes.02G160000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEANLPVSAAPPPRNLVRPIINADTTSSSSSSFSSSSPPDFLKHVQAAFKRHRPLGTMPIHGIGPRRMLVQQRETSKAAVSDVDPKTGMKKCENLIPSSHAVKDSIIPTKNPVAVVRETQEESITTPSISGITTKTFDESFNPFDAQRNQSTSVIHCQENNPMSLSQVDGKRRVQFSMAKNSNSQGAHDQMATGLENLSSHMDSLALTEMEWDASNQIEALNAFNDESKHQNSQNMESDICLRTDGATSLLAKRTTVQDQLHQFRNFLGQPATQSSVAGSSCATTTSVHSTSAPMFNSTSYYSRSHPESGTHMAVEPLGDINMNSHHINQRNMEQLSYTSLKDTNGLRTDQKSVAAQTSTCAIDTQIKEHHLPREKQGKLANKNDTSKNPSFVVDNPTKEREPSEDIHDKQAQNPLSKNPSSDVQLVEPSKQEKQEKVTSGKGVSVPRKRNYDADMFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLKGKNNIIQLVDYEVTDKNLLREVMNGSASNKDGRVKDDGYIYMVLEYGEIDLAHMLSQKWKELDSTNQIIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGALKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNIIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWAKFKVITDPNHEITYGPVSNPWLLDLMKKCLAWDRNERWRIPQLLEHPFLVPPAPPPQQSLPQEQGYMLLQLVAEACGNNQEASKLCRDLQQLLRGPVTPLMYQTLTSRDQQCKLLSEMSKLCFQLRECLTKLERG >Manes.08G064300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:8867659:8867856:-1 gene:Manes.08G064300.v8.1 transcript:Manes.08G064300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDVLTLLESEREARRLR >Manes.14G170100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28009091:28022221:1 gene:Manes.14G170100.v8.1 transcript:Manes.14G170100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLKKLHIMPSQSHDSEGSNSSRGNKSIDVSSPDRLLPSRSNEHKTFSGISNWLNSVANKKSPSPPSSSNVTRGERTEPSDSISSSGLDVVSDPARRDSGSSTSRDPEIEEEYQIQLALELSAREDPEAVQIEAVKQISLGSCAPENTPAEVVAYRYWNYNVLGYDDKILDGFYDLYGILTESTSERMPSLVDLQGTPIKDGVSWEAVLVNRAADANLLKLEQKALEMAVKSKSESLVFMDRDFVRKLAILVSDYMGGSVGDPDNMTRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALMFKVLADSIGVPCRLVKGHQYTGSDDVAMNFVKIDDGREYIVDLMADPGTLIPSDVAGSHVEYESSFFSASPLSRDIDSSHRASSSSGLASSFEEHSDAGTLEKRSRIRNVAAAGKQSDEKCEFHEFANVPSIVKGEEEAKSSLDDSKKPSNAEKVMVRESPGRPSYTHAHARSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPSLFTEIYHEQLDGSTTEAKSPIENKDDYKQKSEAWHMKDQDDLGPARFLPPLPHYRLHSKASPAFNQPEQLKPVEGLGVNYPFDMREVTGQTVSSQSEVTPVSYVQKVPVAAAAAAAAAVVASSMVAAAAKSSTDSNLPVAAAATATAAAVVATTAAVSKQYEQCARSDGDANNSGYEPKGSGDRGSGGQERDALGETSEGEKTLNRSVGSESSKSDAALDDVAECEIPWEEITLGERIGLGSYGEVYHGDWHGTEVAVKRFLDQGISVESLEEFRSEVRIMKRLRHPNVVLFMGAVTRAPNLSIVTEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELCTLQQPWGGMNPMQVVGAVGFQQRRLDIPDSMDPVIADIIRKCWQTDPRLRPTFAEIMAALKPLQKPITGAQALRPSSSTRGGHEKGQQSREAE >Manes.15G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6588295:6591544:1 gene:Manes.15G085600.v8.1 transcript:Manes.15G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLAREISDLCLGKPALRSLPLTATVADALSALKNSDDNFLSVWSCDHTPKKVAGFQGDVDEDDCKCVGKVSIVDILCYLCQDDHLLSSSSALKAPLSVLLPKIPGLVMHVEPSSSLLEAIDLILQGAQNLVVPIRPRHSSSSSRRKQQQKLLATTNTPTTIHAGGREFCWLTQEDIIRFLLSSIGLFSPIPALSIDSLGIISTDIITVDYHSPAASAVAAISSSLADQTSVAVVDGDEGILIGELSPLTLACCDETVAAAITTLSCGDLMAYIDCGGPPEDLVRVVMGRLKQRDLEVMLQNFTNSTTSLDPVSSSSSSDEESGRTMHRSGKYSRSMSYSARMVRRAEAIVCHPKSSLVAVMIQAIAHRVNYVWVIEEDCSLVGIVTFCNMLKVFREHLEPHPMA >Manes.02G165000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12910091:12916629:-1 gene:Manes.02G165000.v8.1 transcript:Manes.02G165000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVDDLPLVTRCRERKELIRAASDHRYALAAAHVLYFHSLKDVGEAIRRFVDEELVTASSSSPGSPVLTLPSREGKSKQKSKISSSSTSISHSVEDSTHNKGKNKEDAEIEDSHLHLSSSGSDLNSDSGHIHIHDTPEEEEVLQQREVPSTSYNFNDYPPQGNWGYNYPDDNSYPYPYPYPYPFSNPYPNMYYMKRSATPAKTVVYEDPTVNGYSSYYGNGGYFGYPVMGSPQKDPSPERPPPAPPSPPRVSTWDFLNVFDTYDNDTGGLPAYFSAGRYGYGSTTSSPDSKEVREREGIPDLEEETEQEVIKEVHKEKKKAKEHMDVNGKFKLHEEGSKKNYGEGTSKSVPVGSSSESVDSVKGKEIKSSTSPDTFRSPDSIISSKSPEEDSVRKKGVSFEVEEASTVDIESSKPSSLTTLSVHGTRDLEEVVKEIRDEFEIASSYGKEVASLLEVDRLPYQRRTTLLGVIFSRILYLVSSHPPTRPSVQISPRAMKIAKAYTGDPGNDFDMKARNLSSTLDKLYAWEKKLYKEVKDEERLRIDYEKQCKRLRSLDEHGAESSKIDAAQASIRKLLTKINVTIRTVDAISSKIHKLRDEELQPQISELIHGLIRMWKSMLRCHQKQFQAIMESKARSLKANTGLQRDSGLRATLELETELINWCTCFNNWINTQKSYVESLNEWLLRCLIIEPEETADGIAPFSPSRMGAPAIFVVCNDWYQAMVRISEKGVENAMLNFASSLHQLWERQDEEQRQRIKAEYLTKDFEKRLKTLRMERGRIMQEQEASSDKAMSKVPSESGVSPLDDLKVDLDSMRKKLEEERTRHKEAKKLVHDAASGSLQEGLVPIFEALGNFTSEVLKAHEQVRLENAGGL >Manes.02G165000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12910091:12916629:-1 gene:Manes.02G165000.v8.1 transcript:Manes.02G165000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVDDLPLVTRCRERKELIRAASDHRYALAAAHVLYFHSLKDVGEAIRRFVDEELVTASSSSPGSPVLTLPSREGKSKQKSKISSSSTSISHSVEDSTHNKGKNKEDAEIEDSHLHLSSSGSDLNSDSGHIHIHDTPEEEEVLQQREVPSTSYNFNDYPPQGNWGYNYPDDNSYPYPYPYPYPFSNPYPNMYYMKRSATPAKTVVYEDPTVNGYSSYYGNGGYFGYPVMGSPQKDPSPERPPPAPPSPPRVSTWDFLNVFDTYDNDTGGLPAYFSAGRYGYGSTTSSPDSKEVREREGIPDLEEETEQEVIKEVHKEKKKAKEHMDVNGKFKLHEEGSKKNYGEGTSKSVPVGSSSESVDSVKGKEIKSSTSPDTFRSPDSIISSKSPEEDSVRKKGVSFEVEEASTVDIESSKPSSLTTLSVHGTRDLEEVVKEIRDEFEIASSYGKEVASLLEVDRLPYQRRTTLLGVIFSRILYLVSSHPPTRPSVQISPRAMKIAKAYTGDPGNDFDMKARNLSSTLDKLYAWEKKLYKEVKDEERLRIDYEKQCKRLRSLDEHGAESSKIDAAQASIRKLLTKINVTIRTVDAISSKIHKLRDEELQPQISELIHGLIRMWKSMLRCHQKQFQAIMESKARSLKANTGLQRDSGLRATLELETELINWCTCFNNWINTQKSYVESLNEWLLRCLIIEPEETADGIAPFSPSRMGAPAIFVVCNDWYQAMVRISEKGVENAMLNFASSLHQLWERQDEEQRQRIKAEYLTKDFEKRLKTLRMERGRIMQEQEASSDKAMSKVPSESGVSPLDDLKVDLDSMRKKLEEERTRHKEAKKLVHDAASGSLQEGLVPIFEALGNFTSEVLKAHEQVRLENAGGL >Manes.02G165000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12910091:12916601:-1 gene:Manes.02G165000.v8.1 transcript:Manes.02G165000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVDDLPLVTRCRERKELIRAASDHRYALAAAHVLYFHSLKDVGEAIRRFVDEELVTASSSSPGSPVLTLPSREGKSKQKSKISSSSTSISHSVEDSTHNKGKNKEDAEIEDSHLHLSSSGSDLNSDSGHIHIHDTPEEEEVLQQREVPSTSYNFNDYPPQGNWGYNYPDDNSYPYPYPYPYPFSNPYPNMYYMKRSATPAKTVVYEDPTVNGYSSYYGNGGYFGYPVMGSPQKDPSPERPPPAPPSPPRVSTWDFLNVFDTYDNDTGGLPAYFSAGRYGYGSTTSSPDSKEVREREGIPDLEEETEQEVIKEVHKEKKKAKEHMDVNGKFKLHEEGSKKNYGEGTSKSVPVGSSSESVDSVKGKEIKSSTSPDTFRSPDSIISSKSPEEDSVRKKGVSFEVEEASTVDIESSKPSSLTTLSVHGTRDLEEVVKEIRDEFEIASSYGKEVASLLEVDRLPYQRRTTLLGVIFSRILYLVSSHPPTRPSVQISPRAMKIAKAYTGDPGNDFDMKARNLSSTLDKLYAWEKKLYKEVKDEERLRIDYEKQCKRLRSLDEHGAESSKIDAAQASIRKLLTKINVTIRTVDAISSKIHKLRDEELQPQISELIHGNTLLIYRLIRMWKSMLRCHQKQFQAIMESKARSLKANTGLQRDSGLRATLELETELINWCTCFNNWINTQKSYVESLNEWLLRCLIIEPEETADGIAPFSPSRMGAPAIFVVCNDWYQAMVRISEKGVENAMLNFASSLHQLWERQDEEQRQRIKAEYLTKDFEKRLKTLRMERGRIMQEQEASSDKAMSKVPSESGVSPLDDLKVDLDSMRKKLEEERTRHKEAKKLVHDAASGSLQEGLVPIFEALGNFTSEVLKAHEQVRLENAGGL >Manes.02G165000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12910091:12916601:-1 gene:Manes.02G165000.v8.1 transcript:Manes.02G165000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVDDLPLVTRCRERKELIRAASDHRYALAAAHVLYFHSLKDVGEAIRRFVDEELVTASSSSPGSPVLTLPSREGKSKQKSKISSSSTSISHSVEDSTHNKGKNKEDAEIEDSHLHLSSSGSDLNSDSGHIHIHDTPEEEEVLQQREVPSTSYNFNDYPPQGNWGYNYPDDNSYPYPYPYPYPFSNPYPNMYYMKRSATPAKTVVYEDPTVNGYSSYYGNGGYFGYPVMGSPQKDPSPERPPPAPPSPPRVSTWDFLNVFDTYDNDTGGLPAYFSAGRYGYGSTTSSPDSKEVREREGIPDLEEETEQEVIKEVHKEKKKAKEHMDVNGKFKLHEEGSKKNYGEGTSKSVPVGSSSESVDSVKGKEIKSSTSPDTFRSPDSIISSKSPEEDSVRKKGVSFEVEEASTVDIESSKPSSLTTLSVHGTRDLEEVVKEIRDEFEIASSYGKEVASLLEVDRLPYQRRTTLLGVIFSRILYLVSSHPPTRPSVQISPRAMKIAKAYTGDPGNDFDMKARNLSSTLDKLYAWEKKLYKEVKDEERLRIDYEKQCKRLRSLDEHGAESSKIDAAQASIRKLLTKINVTIRTVDAISSKIHKLRDEELQPQISELIHGNTLLIYRLIRMWKSMLRCHQKQFQAIMESKARSLKANTGLQRDSGLRATLELETELINWCTCFNNWINTQKSYVESLNEWLLRCLIIEPEETADGIAPFSPSRMGAPAIFVVCNDWYQAMVRISEKGVENAMLNFASSLHQLWERQDEEQRQRIKAEYLTKDFEKRLKTLRMERGRIMQEQEASSDKAMSKVPSESGVSPLDDLKVDLDSMRKKLEEERTRHKEAKKLVHDAASGSLQEGLVPIFEALGNFTSEVLKAHEQVRLENAGGL >Manes.05G115701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11831492:11833112:1 gene:Manes.05G115701.v8.1 transcript:Manes.05G115701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATPYYQKVSHATARKDYFSAYEIEKKKVKALLKDTDKVSVTTDLWKSGQHISYMVVTTHFMDSEWKLQKYTLNFCDVPPPHTGVVICDVLQKCLVEWGIEDKVWTISVDNVSYNDVAVRMLKYNPAYNNSLALHGKLFHVRCCAHILNLLVQDSLSEIVDIIKNVHESVKHLVASESHRLIFSEIAKQLKLPSKKLLLDSALEFKDVFPQYQQRDSSYTYLLSEDDWQKIKEVCSFLEEFNEVTNVISAMVGKMKCKFDKYWNVDCVIEQAKFELDVYLEEGVHICQDDSNFDVLEWWKMNNMKFMILSKIGRDILSIPITTVDSESAFSAGVKIIDPHRASLGAETVQDKEDIQDVILPKRLDP >Manes.01G155500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34188665:34193606:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNACKILQTETLRLSK >Manes.01G155500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34187421:34193606:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNELRRQLSQLESNEPEDSTIEEERSEFIVVDVEDKHEAYIRDLLVASGLYDGSCDKCFSRWDPLGKPISNLVFEKVEESQRNLAKDDQNTNRDDNEKKLDHKLLYHLLNEALSTVLGPPVTMSKFRRKIISSSMVPPLRGRKLLECVWEMIRVYLYLPDDKAYHSLDSLVGRNLECTPWLSLIDDEVNDLGKEMECMIVGDLIEEIVKDIQL >Manes.01G155500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34187421:34193606:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNELRRQLSQLESNEPEDSTIEEERSEFIVVDVEDKHEAYIRDLLVASGLYDGSCDKCFSRWDPLGKPISNLVFEKVEESQRNLAKDDQNTNRDDNEKKLDHKLLYHLLNEALSTVLGPPVTMSKFRRKIISSSMVPPLRGRKLLECVWEMIRVYLYLPDDKAYHSLDSLVGRNLECTPWLSLIDDEVNDLGKEMECMIVGDLIEEIVKDIQL >Manes.01G155500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34188809:34193606:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNELRRQLSQLESNEPEDSTIEEERSEFIVVDVEDKHEAYIRDLLVASGLYDGSCDKCFSRWDPLGKPISNLVFEKVEESQRNLAKDDQNTNRDDNEKKLDHKLLYHLLNEALSTVLGPPVTMSKFRRKIISSSMVPPLRGRKLLECVWEMIRVYLYLPDDKAYHSLDSLVGRNLECTPWLSLIDDEVNDLGKEMECMIVGDLIEEIVKDIQL >Manes.01G155500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34188665:34193606:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNELRRQLSQLESNEPEDSTIEEERSEFIVVDVEDKHEAYIRDLLVASGLYDGSCDKCFSRWDPLGKPISNLVFEKVEESQRNLAKDDQNTNRDDNEKKLDHKLLYHLLNEALSTVLGPPVTMSKFRRKIISSSMVPPLRGRKLLECVWEMIRVYLYLPDDKAYHSLDSLVGRNLECTPWLSLIDDEVNDLGKEMECMIVGDLIEEIVKDIQL >Manes.01G155500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34187421:34194000:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNELRRQLSQLESNEPEDSTIEEERSEFIVVDVEDKHEAYIRDLLVASGLYDGSCDKCFSRWDPLGKPISNLVFEKVEESQRNLAKDDQNTNRDDNEKKLDHKLLYHLLNEALSTVLGPPVTMSKFRRKIISSSMVPPLRGRKLLECVWEMIRVYLYLPDDKAYHSLDSLVGRNLECTPWLSLIDDEVNDLGKEMECMIVGDLIEEIVKDIQL >Manes.01G155500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34187421:34193606:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNELRRQLSQLESNEPEDSTIEEERSEFIVVDVEDKHEAYIRDLLVASGLYDGSCDKCFSRWDPLGKPISNLVFEKVEESQRNLAKDDQNTNRDDNEKKLDHKLLYHLLNEALSTVLGPPVTMSKFRRKIISSSMVPPLRGRKLLECVWEMIRVYLYLPDDKAYHSLDSLVGRNLECTPWLSLIDDEVNDLGKEMECMIVGDLIEEIVKDIQL >Manes.01G155500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34187505:34193606:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNELRRQLSQLESNEPEDSTIEEERSEFIVVDVEDKHEAYIRDLLVASGLYDGSCDKCFSRWDPLGKPISNLVFEKVEESQRNLAKDDQNTNRDDNEKKLDHKLLYHLLNEALSTVLGPPVTMSKFRRKIISSSMVPPLRGRKLLECVWEMIRVYLYLPDDKAYHSLDSLVGRNLECTPWLSLIDDEVNDLGKEMECMIVGDLIEEIVKDIQL >Manes.01G155500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34188665:34193606:1 gene:Manes.01G155500.v8.1 transcript:Manes.01G155500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFHFLNFNQSSMARKILAHKQHADGLEAPRNSLELQVETSQSCCVAGDVHGEEDWSEKSYYPIEGSVKSLINEEISKQSNTRKNAPSIVARLMGVDMLPLDTTSVVQPIDKKKEGVITKHSRREKNERSSVNHFSSLPNSSRHMEFDSLYPSKERDVDRWSNGQKLGKPRPREHPQEEELQKFKKEFEAWQAARFKECSKVVELSSNPCQLLAQENTNRQKMLLNTNPLISTSEEPIEHKGPALNARSLETSNWHHRHKLEIFPDEQKQSFSSRNRSINRNYEHSINYYQKMDKSPASSRIVILKPGPNRICDFEESWTSSPGTLEDRGSIEDFLEEVKERLKCELQGKTLKRGSVVRGSGIETPFREKPSDPKQIARHIAKHVRDSVTRDLGMNLLRSESTRSYRSEIQFSGPGSPEFINRDTRRFLSDRLRNVHKRETHSPAVPLVVRGSSALSLLDKEKIRLEEVEDTSPAGTLPSYWEIVKDDQEVQTRSFRHGDDDGVLHRELSPRNLIRSLSAPVSGTSFGKLLLEDRHVLTGAHIRRKHESLENVTMESKKLKNERFNIKEKVSNFRYSFALRGRLFGKKLQSMVELQGFEQDFVKDIMSGPTVVRNFGEIHVMENSTEVPPSPASVCSSTQEEFWRPVDYLSPVSTSDVTLGEDSTMPQLFREISSNLNELRRQLSQLESNEPEDSTIEEERSEFIVVDVEDKHEAYIRDLLVASGLYDGSCDKCFSRWDPLGKPISNLVFEKVEESQRNLAKDDQNTNRDDNEKKLDHKLLYHLLNEALSTVLGPPVTMSKFRRKIISSSMVPPLRGRKLLECVWEMIRVYLYLPDDKAYHSLDSLVGRNLECTPWLSLIDDEVNDLGKEMECMIVGDLIEEIVKDIQL >Manes.15G091500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7140579:7140839:1 gene:Manes.15G091500.v8.1 transcript:Manes.15G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKPSIVLVLIVVTTLMVVASAETPLSYHESSFMPRKIDSGHLLRELGYDGSRLEYHRRRFTQGAGPLRVTPAGPDPLHNNVHV >Manes.17G082000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28678001:28701613:1 gene:Manes.17G082000.v8.1 transcript:Manes.17G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNQRSIAATTAASRGYHFHPARVAVINLFDVYLGRSSRQKADDSTREPPNKAQKRILALNRELPPRNEQFLLDFEQLQSQFPDQDQLRPITESVLISLVIECCNHAPRAEFLLFALRSLCSIGYINWDTFLPSLLSSVSSAEMSAGQGVQPMPAISSMNLSQTGIPPSSAIPSSSNFQSSNPTSPLPSVHGIGSPAQSAVEPSSGATMSPVKSADIPVNGQQSTTRVNLSIRDNAISSLRQLCCKIILTGLEVDLKPVTHSDIFHHMLNWLVSWDQRQHGVEESDGMKSWRPDKALTEWLHSCLDVIWLLVDESKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILSQTTTNISVEAVANLRYSPITYPSVLGEPLHGEDLANSIQRGSLDWERALRCIRHALRTTPSPDWWKRVLLVAPCYRNPSHAPTPGAVFTSSMICEATIDRIVELLKLTNSEVNCWQDWLVFSDIFFFLMKSGCIDFVDFVDKLVSRLTEGDQHILRTNHVTWLLAQIIRVELVMNALTTDARKVETTRKIISFHREDRSSDPNNPQSILLDFISSCQNLRIWSLNTSTREYLNNEQLQKGKQIDEWWRNVTKGDRMIDYMNMDDRSIGMFWVVSYTMAQPACETVVNWLSSGGVSEFLPGANMQSNERLMVMREVSPLPMSLLSGLSLNLCLKLVFQLEDSLFAGQVIPSIAMVETYCRLLLLAPHSLFRSHFSHLAQRYPSLLSKPGFTLLVFEIVNYRLLPLYRYQGKSKSLMYDVTKIVSTLKGKRGDHRIFRLAENLCMNLILSLREFFSVKREGKGPTEFTETLNRVTVITLAIIIKTRGIADADHLVYLQTMLEQIMATSQHTWSEKTLRHFPPLLRDALIGRIDKRGQAIQAWQQAETTVIHQCTQLLSPSADPSYVTTYINHSFPQHRQYLCAGAWILMQGHPENINSEKLARVLREFSPEEVTANIYTMVDVLLHHIHMELQHGHSLQDLLLKTCANLAFFVWTHELLPLDILLLALTDRDDDPHALRIVISLLDRQELQQRLKLFCMNRGPPEHWLFSGIFKRLELQKALGNHLSWKDRYPTFFDDIAARLLPVIPLIVYRLIENDAIDQADRVLAMYSPFLAYHPFRFTFVRDILAYFYGHLPGKLIVRILNVLDLSKIPFSESFPQHISSSNPVMCPPPEYFATLLLGLVNNVLPPLNTNSKYGSVADSLCSSMRNPNPKTPATSQSGPTNTSEGQKAFYQIQDPGTYTQLVLETAVIELLSLPVTASQIVSSLVQIVVNIQPTLIQSSNGLHGAPNGVVQGSGLPTSPSGGSTDSLGASRSTPSVSGINTANFVSRSGYTCQQLSCLLIQACGLLLAQLPPDFHLQLYMEASRIIKESWWLTDGKRSLGELDSAVGYALLDPTWAAQDNTSTAIGNIVALLHAFFSNLPQEWLEGTHVIIKHLRPITSVAVLRIAFRIMGPLLPRLANARSLFSKTLLLLLNTMVDVFGRNSQPSTPAEASEIADLIDFLHHVVHYEGQGGPVQANSKPRPEVLAQCGRAAESLRPDVQHLLSHLKPDMNSSIYAATHPKLVQNPSSSLG >Manes.15G106700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8472628:8480796:-1 gene:Manes.15G106700.v8.1 transcript:Manes.15G106700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTGISIPGEGGGGGGGSTAATSSWVSPTSVSTSGKRIQREMEELNNDPPPDCSAGPKGDNLYHWVSTIIGPPGTPYQGGIFFLDITFPSGYPFKPPKVVFKTRIYHCNVDSAGNLSLDILKDGWSPALTINKVLLAIRSMFSHPDPYNPLVSGIARLYLADKAKHDELAAEWTMRFAK >Manes.11G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8478705:8485541:1 gene:Manes.11G060700.v8.1 transcript:Manes.11G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAVLSELGTEILVPVCAVVGIVFSLVQWYLVSRVTLTAERQGPGSSPSGANKNGYNDYLIEEEEGLNDPSVVAKCAEIQNAISEGATSFLFTEYQYVGVFMVAFAILIFLFLGSVEGFSTKSQPCTYDKQKTCKPALATAIFSTVSFLLGAFTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLFIAINLFKLYYGEDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTSMLYPLLISSVGILVCLITTLFATDFFEIKAVKEIEPALKKQLIISTVLMTVGIAIVTWIALPSSFSIYNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGHTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Manes.18G063378.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5602240:5612163:-1 gene:Manes.18G063378.v8.1 transcript:Manes.18G063378.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPVTVEEQLILKAIKEECPWENLSKRLQATLTSKEEWHRRIVEHCIKKRQQWNTSFARKVCREGEYYEDMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEENNAYILFDPDIIKGLYRRGLIYFDVPVYPDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLSQLQAAASFACRLGWAVKLIDPGSILQDTSIPGSPKIALSDEEDAAYASISSNVFNDGDVAQQEDISGIENYGLHSGHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCRDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGIPTVGTLASSNEEATNLIADISLTDKLGSGANEAEADIDRSTNSGMHQDDTHLAEYVTGSSAAETSNVLSEDSNYISEVSKSVQNDEKLNPVEGSDVGRETLRRKRKYRVDILRCESLAALAPETLDRLFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWTKLVLYSSVGSGPLSVVLMKGQGLRMLPAPLAGCEKALIWSWDGSTIGGLGGKFEGSLVKGGVLLHCLNSLLKYSAVLVQPLSRYDLDESGRIVTMDIPLPLNNSDGSIACMENELVLSEAERLKLNSLLTQLTKKLGLSTIGYVRMLKLFNERESDYFAPDDQNYEWVPLSVEFGMPLFSPKLCNAICKRVVSSELLQSDSFTGHHDAMQGLRKRLRDICAEYQATGPAAKLLYQKEQFKDSSRHLMNYASGRWNPLVDPSSPISGAMSEHQRLKLANRFRSRTEVLSFDGSILRSYALTPVYEAATGPIEETSLVNPIKADSDEADSKEVILPGVNLIFDGSELLPFDIGACLQARQPISLISEAAAASAAIK >Manes.18G063378.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5602240:5612163:-1 gene:Manes.18G063378.v8.1 transcript:Manes.18G063378.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEENNAYILFDPDIIKGLYRRGLIYFDVPVYPDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLSQLQAAASFACRLGWAVKLIDPGSILQDTSIPGSPKIALSDEEDAAYASISSNVFNDGDVAQQEDISGIENYGLHSGHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCRDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGIPTVGTLASSNEEATNLIADISLTDKLGSGANEAEADIDRSTNSGMHQDDTHLAEYVTGSSAAETSNVLSEDSNYISEVSKSVQNDEKLNPVEGSDVGRETLRRKRKYRVDILRCESLAALAPETLDRLFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWTKLVLYSSVGSGPLSVVLMKGQGLRMLPAPLAGCEKALIWSWDGSTIGGLGGKFEGSLVKGGVLLHCLNSLLKYSAVLVQPLSRYDLDESGRIVTMDIPLPLNNSDGSIACMENELVLSEAERLKLNSLLTQLTKKLGLSTIGYVRMLKLFNERESDYFAPDDQNYEWVPLSVEFGMPLFSPKLCNAICKRVVSSELLQSDSFTGHHDAMQGLRKRLRDICAEYQATGPAAKLLYQKEQFKDSSRHLMNYASGRWNPLVDPSSPISGAMSEHQRLKLANRFRSRTEVLSFDGSILRSYALTPVYEAATGPIEETSLVNPIKADSDEADSKEVILPGVNLIFDGSELLPFDIGACLQARQPISLISEAAAASAAIK >Manes.18G063378.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5602240:5612163:-1 gene:Manes.18G063378.v8.1 transcript:Manes.18G063378.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPVTVEEQLILKAIKEECPWENLSKRLQATLTSKEEWHRRIVEHCIKKRQQWNTSFARKVCREGEYYEDMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEENNAYILFDPDIIKGLYRRGLIYFDVPVYPDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLSQLQAAASFACRLGWAVKLIDPGSILQDTSIPGSPKIALSDEEDAAYASISSNVFNDGDVAQQEDISGIENYGLHSGHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCRDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGIPTVGTLASSNEEATNLIADISLTDKLGSGANEAEADIDRSTNSGMHQDDTHLAEYVTGSSAAETSNVLSEDSNYISEVSKSVQNDEKLNPVEGSDVGRETLRRKRKYRVDILRCESLAALAPETLDRLFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWTKLVLYSSVGSGPLSVVLMKGQGLRMLPAPLAGCEKALIWSWDGSTIGGLGGKFEGSLVKGGVLLHCLNSLLKYSAVLVQPLSRYDLDESGRIVTMDIPLPLNNSDGSIACMENELVLSEAERLKLNSLLTQLTKKLGLSTIGYVRMLKLFNERESDYFAPDDQNYEWVPLSVEFGMPLFSPKLCNAICKRVVSSELLQSDSFTGHHDAMQGLRKRLRDICAEYQATGPAAKLLYQKEQFKDSSRHLMNYASGRWNPLVDPSSPISGAMSEHQRLKLANRFRSRTEVLSFDGSILSLGSLKRVIFNLVQVVCSNSSL >Manes.18G063378.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5602240:5612163:-1 gene:Manes.18G063378.v8.1 transcript:Manes.18G063378.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEENNAYILFDPDIIKGLYRRGLIYFDVPVYPDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLSQLQAAASFACRLGWAVKLIDPGSILQDTSIPGSPKIALSDEEDAAYASISSNVFNDGDVAQQEDISGIENYGLHSGHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCRDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGIPTVGTLASSNEEATNLIADISLTDKLGSGANEAEADIDRSTNSGMHQDDTHLAEYVTGSSAAETSNVLSEDSNYISEVSKSVQNDEKLNPVEGSDVGRETLRRKRKYRVDILRCESLAALAPETLDRLFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWTKLVLYSSVGSGPLSVVLMKGQGLRMLPAPLAGCEKALIWSWDGSTIGGLGGKFEGSLVKGGVLLHCLNSLLKYSAVLVQPLSRYDLDESGRIVTMDIPLPLNNSDGSIACMENELVLSEAERLKLNSLLTQLTKKLGLSTIGYVRMLKLFNERESDYFAPDDQNYEWVPLSVEFGMPLFSPKLCNAICKRVVSSELLQSDSFTGHHDAMQGLRKRLRDICAEYQATGPAAKLLYQKEQFKDSSRHLMNYASGRWNPLVDPSSPISGAMSEHQRLKLANRFRSRTEVLSFDGSILSLGSLKRVIFNLVQVVCSNSSL >Manes.18G063378.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5602240:5612163:-1 gene:Manes.18G063378.v8.1 transcript:Manes.18G063378.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEENNAYILFDPDIIKGLYRRGLIYFDVPVYPDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLSQLQAAASFACRLGWAVKLIDPGSILQDTSIPGSPKIALSDEEDAAYASISSNVFNDGDVAQQEDISGIENYGLHSGHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCRDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGIPTVGTLASSNEEATNLIADISLTDKLGSGANEAEADIDRSTNSGMHQDDTHLAEYVTGSSAAETSNVLSEDSNYISEVSKSVQNDEKLNPVEGSDVGRETLRRKRKYRVDILRCESLAALAPETLDRLFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWTKLVLYSSVGSGPLSVVLMKGQGLRMLPAPLAGCEKALIWSWDGSTIGGLGGKFEGSLVKGGVLLHCLNSLLKYSAVLVQPLSRYDLDESGRIVTMDIPLPLNNSDGSIACMENELVLSEAERLKLNSLLTQLTKKLGLSTIGYVRMLKLFNERESDYFAPDDQNYEWVPLSVEFGMPLFSPKLCNAICKRVVSSELLQSDSFTGHHDAMQGLRKRLRDICAEYQATGPAAKLLYQKEQFKDSSRHLMNYASGRWNPLVDPSSPISGAMSEHQRLKLANRFRSRTEVLSFDGSILRSYALTPVYEAATGPIEETSLVNPIKADSDEADSKEVILPGVNLIFDGSELLPFDIGACLQARQPISLISEAAAASAAIK >Manes.18G063378.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5602240:5612163:-1 gene:Manes.18G063378.v8.1 transcript:Manes.18G063378.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEENNAYILFDPDIIKGLYRRGLIYFDVPVYPDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLSQLQAAASFACRLGWAVKLIDPGSILQDTSIPGSPKIALSDEEDAAYASISSNVFNDGDVAQQEDISGIENYGLHSGHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCRDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGIPTVGTLASSNEEATNLIADISLTDKLGSGANEAEADIDRSTNSGMHQDDTHLAEYVTGSSAAETSNVLSEDSNYISEVSKSVQNDEKLNPVEGSDVGRETLRRKRKYRVDILRCESLAALAPETLDRLFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWTKLVLYSSVGSGPLSVVLMKGQGLRMLPAPLAGCEKALIWSWDGSTIGGLGGKFEGSLVKGGVLLHCLNSLLKYSAVLVQPLSRYDLDESGRIVTMDIPLPLNNSDGSIACMENELVLSEAERLKLNSLLTQLTKKLGLSTIGYVRMLKLFNERESDYFAPDDQNYEWVPLSVEFGMPLFSPKLCNAICKRVVSSELLQSDSFTGHHDAMQGLRKRLRDICAEYQATGPAAKLLYQKEQFKDSSRHLMNYASGRWNPLVDPSSPISGAMSEHQRLKLANRFRSRTEVLSFDGSILSLGSLKRVIFNLVQVVCSNSSL >Manes.18G063378.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5601305:5612163:-1 gene:Manes.18G063378.v8.1 transcript:Manes.18G063378.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPVTVEEQLILKAIKEECPWENLSKRLQATLTSKEEWHRRIVEHCIKKRQQWNTSFARKVCREGEYYEDMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEENNAYILFDPDIIKGLYRRGLIYFDVPVYPDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLSQLQAAASFACRLGWAVKLIDPGSILQDTSIPGSPKIALSDEEDAAYASISSNVFNDGDVAQQEDISGIENYGLHSGHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCRDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGIPTVGTLASSNEEATNLIADISLTDKLGSGANEAEADIDRSTNSGMHQDDTHLAEYVTGSSAAETSNVLSEDSNYISEVSKSVQNDEKLNPVEGSDVGRETLRRKRKYRVDILRCESLAALAPETLDRLFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWTKLVLYSSVGSGPLSVVLMKGQGLRMLPAPLAGCEKALIWSWDGSTIGGLGGKFEGSLVKGGVLLHCLNSLLKYSAVLVQPLSRYDLDESGRIVTMDIPLPLNNSDGSIACMENELVLSEAERLKLNSLLTQLTKKLGLSTIGYVRMLKLFNERESDYFAPDDQNYEWVPLSVEFGMPLFSPKLCNAICKRVVSSELLQSDSFTGHHDAMQGLRKRLRDICAEYQATGPAAKLLYQKEQFKDSSRHLMNYASGRWNPLVDPSSPISGAMSEHQRLKLANRFRSRTEVLSFDGSILRSYALTPVYEAATGPIEETSLVNPIKADSDEADSKEVILPGVNLIFDGSELLPFDIGACLQARQPISLISEAAAASAAIK >Manes.S039816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1132457:1132615:1 gene:Manes.S039816.v8.1 transcript:Manes.S039816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.04G080072.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29163700:29166243:-1 gene:Manes.04G080072.v8.1 transcript:Manes.04G080072.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHISPLHNRGRGHRSRLASLSHGCRSRLESLPDGRRSHLSLAQSWFTLHGLWPVTLGGKSPDYSKCKKIPFNANQLIHSEIIHDLNNLWPSLEKNRANIKFWEHEWERHDKCTMWEQFRYFQTSIERVKHVNTLRNVESIRPIIECRTKTSDAHPVLYQVYFCLTQDGEQFQNCPPPKGQLGYGCGTALEVIFPSTEGILPSSAMAFESSWNLYLITYVIFNVIIYLL >Manes.15G090900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7096663:7101112:1 gene:Manes.15G090900.v8.1 transcript:Manes.15G090900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPAFSYIPLFFFIFTAPQWPPIFSIPPPPRNNMSRCCFSSGDWFRSLFSLKKAKVGRSKKVKVYSANEKTNESEENSNTHGESNSPACANGSPQRSNGVPEMSAEHKAAIQIQAAFRAYMAQKTKRRLKGAVRFNVLIHGNDTQKQASSTLSCIHAWSYIQSEIRARRHHMVTEGRLKQKKIENQLKLEAKLHELEVEWCGGSETMEEIVSRMQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSIGKENWGWSWKERWIAARPWEIRVHAQPSNPKKGQIMQDTKLTLTTKAALSNEKVTKN >Manes.15G090900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7096663:7101112:1 gene:Manes.15G090900.v8.1 transcript:Manes.15G090900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFRSLFSLKKAKVGRSKKVKVYSANEKTNESEENSNTHGESNSPACANGSPQRSNGVPEMSAEHKAAIQIQAAFRAYMAQKTKRRLKGAVRFNVLIHGNDTQKQASSTLSCIHAWSYIQSEIRARRHHMVTEGRLKQKKIENQLKLEAKLHELEVEWCGGSETMEEIVSRMQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSIGKENWGWSWKERWIAARPWEIRVHAQPSNPKKGQIMQDTKLTLTTKAALSNEKVTKN >Manes.15G090900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7097209:7101112:1 gene:Manes.15G090900.v8.1 transcript:Manes.15G090900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWFRSLFSLKKAKVGRSKKVKVYSANEKTNESEENSNTHGESNSPACANGSPQRSNGVPEMSAEHKAAIQIQAAFRAYMAQKTKRRLKGAVRFNVLIHGNDTQKQASSTLSCIHAWSYIQSEIRARRHHMVTEGRLKQKKIENQLKLEAKLHELEVEWCGGSETMEEIVSRMQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSIGKENWGWSWKERWIAARPWEIRVHAQPSNPKKGQIMQDTKLTLTTKAALSNEKVTKN >Manes.18G011800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1354272:1358271:1 gene:Manes.18G011800.v8.1 transcript:Manes.18G011800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELRHYAPGVPVILVGTKLDLREDKQFFIDHPGAVPITTAQGEELRKLIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKKGQKACSIL >Manes.03G137804.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26383095:26389471:1 gene:Manes.03G137804.v8.1 transcript:Manes.03G137804.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGFLGSNTYSMKVPSNALEERKYSCFEFRPHARSNLVPADMNHQRSECVQGQCQSQSHPPSPAVKNEMAVPSNEFSLTAPLPTVTSGVSAPTEIDSDELNQMGISNSGLQASQSDHKGGNGISMPSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYAAGAVLSMQEDRSDKISSLPGGDDKSSSAFGQVPNTIEPNNAPELSPVMTNDDSIEGAEDEDDSFSKRRKMDTGGFDVTPVIKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARSSSHDTVGPTLVNGSSRIRSDENETISLDLGVGISSTAENRSTDQQHGPHAELTKSQTQTSGSGFRIVPRIPIAPSYSVLNGGVNQYGSRQNPNEGRSVEIPALSHSSYPYPQNMGRLLTGP >Manes.03G137804.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26383095:26389471:1 gene:Manes.03G137804.v8.1 transcript:Manes.03G137804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSSESELQLCGPSHGVSDSGDPMRHESDAAGVAGSGGARYKLMSPAKLPISRSPCITIPPGLSPTSFLESPVLLSNVKVEPSPTTGSLTKPQMEHGFLGSNTYSMKVPSNALEERKYSCFEFRPHARSNLVPADMNHQRSECVQGQCQSQSHPPSPAVKNEMAVPSNEFSLTAPLPTVTSGVSAPTEIDSDELNQMGISNSGLQASQSDHKGGNGISMPSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYAAGAVLSMQEDRSDKISSLPGGDDKSSSAFGQVPNTIEPNNAPELSPVMTNDDSIEGAEDEDDSFSKRRKMDTGGFDVTPVIKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARSSSHDTVGPTLVNGSSRIRSDENETISLDLGVGISSTAENRSTDQQHGPHAELTKSQTQTSGSGFRIVPRIPIAPSYSVLNGGVNQYGSRQNPNEGRSVEIPALSHSSYPYPQNMGRLLTGP >Manes.03G137804.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26384600:26389471:1 gene:Manes.03G137804.v8.1 transcript:Manes.03G137804.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGFLGSNTYSMKVPSNALEERKYSCFEFRPHARSNLVPADMNHQRSECVQGQCQSQSHPPSPAVKNEMAVPSNEFSLTAPLPTVTSGVSAPTEIDSDELNQMGISNSGLQASQSDHKGGNGISMPSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIVYKGTHDHPKPQPSRRYAAGAVLSMQEDRSDKISSLPGGDDKSSSAFGQVPNTIEPNNAPELSPVMTNDDSIEGAEDEDDSFSKRRKMDTGGFDVTPVIKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARSSSHDTVGPTLVNGSSRIRSDENETISLDLGVGISSTAENRSTDQQHGPHAELTKSQTQTSGSGFRIVPRIPIAPSYSVLNGGVNQYGSRQNPNEGRSVEIPALSHSSYPYPQNMGRLLTGP >Manes.15G096500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7535429:7539363:1 gene:Manes.15G096500.v8.1 transcript:Manes.15G096500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKLSSMTPKKSRLARTVAKVLHLRAATGIAPVDGVQKVKSQEKVEDDSKIGNKSTVSLRQSFKISNDEERQKSLAMQALLAKLFASVSSVKAAYAQLQCAQSPYDVDGIQAADQLVVSELKNLSELKQCYIKKQFDSFSETTMLLAEVQEQKCISKTYGITGKKLESQLRLKDSEIIYLKEKLEESNRQNRLLEKRLNQSGQLSMPDNLHRSGLNPSHFLAVVRFTVKSIRSFVKLMIDQMKAADWNLDAAANSIVPEVVYWRADDKCFAFECFVCREMFDAFHLPFFSLPNESLTEKKNQQHHFFRRFTELKSAKAKEYLAEYPKSTFAKFCRTKYLQLVHPQMETSFFGNLSQRTLVSSGEFPDTSFFASFAEMVKRVWLLHCLAFSFKPEASIFQVRRGCRFSEVYMECVSEEALLSSENVPEADPPVAFTVVPGFRIGKTVIQCQVYLSHTQIKVNDDLQSHR >Manes.15G096500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7534843:7539687:1 gene:Manes.15G096500.v8.1 transcript:Manes.15G096500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKLSSMTPKKSRLARTVAKVLHLRAATGIAPVDGVQKVKSQEKVEDDSKIGNKSTVSLRQSFKISNDEERQKSLAMQALLAKLFASVSSVKAAYAQLQCAQSPYDVDGIQAADQLVVSELKNLSELKQCYIKKQFDSFSETTMLLAEVQEQKCISKTYGITGKKLESQLRLKDSEIIYLKEKLEESNRQNRLLEKRLNQSGQLSMPDNLHRSGLNPSHFLAVVRFTVKSIRSFVKLMIDQMKAADWNLDAAANSIVPEVVYWRADDKCFAFECFVCREMFDAFHLPFFSLPNESLTEKKNQQHHFFRRFTELKSAKAKEYLAEYPKSTFAKFCRTKYLQLVHPQMETSFFGNLSQRTLVSSGEFPDTSFFASFAEMVKRVWLLHCLAFSFKPEASIFQVRRGCRFSEVYMECVSEEALLSSENVPEADPPVAFTVVPGFRIGKTVIQCQVYLSHTQIKVNDDLQSHR >Manes.15G096500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7534843:7539627:1 gene:Manes.15G096500.v8.1 transcript:Manes.15G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKLSSMTPKKSRLARTVAKVLHLRAATGIAPVDGVQKVKSQEKVEDDSKIGNKSTVSLRQSFKISNDEERQKSLAMQALLAKLFASVSSVKAAYAQLQCAQSPYDVDGIQAADQLVVSELKNLSELKQCYIKKQFDSFSETTMLLAEVQEQKCISKTYGITGKKLESQLRLKDSEIIYLKEKLEESNRQNRLLEKRLNQSGQLSMPDNLHRSGLNPSHFLAVVRFTVKSIRSFVKLMIDQMKAADWNLDAAANSIVPEVVYWRADDKCFAFECFVCREMFDAFHLPFFSLPNESLTEKKNQQHHFFRRFTELKSAKAKEYLAEYPKSTFAKFCRTKYLQLVHPQMETSFFGNLSQRTLVSSGEFPDTSFFASFAEMVKRVWLLHCLAFSFKPEASIFQVRRGCRFSEVYMECVSEEALLSSENVPEADPPVAFTVVPGFRIGKTVIQCQVYLSHTQIKVNDDLQSHR >Manes.15G096500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7534846:7539542:1 gene:Manes.15G096500.v8.1 transcript:Manes.15G096500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKLSSMTPKKSRLARTVAKVLHLRAATGIAPVDGVQKVKSQEKVEDDSKIGNKSTVSLRQSFKISNDEERQKSLAMQALLAKLFASVSSVKAAYAQLQCAQSPYDVDGIQAADQLVVSELKNLSELKQCYIKKQFDSFSETTMLLAEVQEQKCISKTYGITGKKLESQLRLKDSEIIYLKEKLEESNRQNRLLEKRLNQSGQLSMPDNLHRSGLNPSHFLAVVRFTVKSIRSFVKLMIDQMKAADWNLDAAANSIVPEVVYWRADDKCFAFECFVCREMFDAFHLPFFSLPNESLTEKKNQQHHFFRRFTELKSAKAKEYLAEYPKSTFAKFCRTKYLQLVHPQMETSFFGNLSQRTLVSSGEFPDTSFFASFAEMVKRVWLLHCLAFSFKPEASIFQVRRGCRFSEVYMECVSEEALLSSENVPEADPPVAFTVVPGFRIGKTVIQCQVYLSHTQIKVNDDLQSHR >Manes.04G015100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1934511:1939392:1 gene:Manes.04G015100.v8.1 transcript:Manes.04G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSVPQSLTEQIPLVFSSEFSMERSGYGRDGIYRSLRPTLVVPKDPNLSMVSFLFRNSNSYPDKPALIDADLSLTLSFAQLKSIVIKVSNGLRHLGISKNDVVLIFAPNSYQFPICFLAITSIGAIVTTANPLYTATELSKQIKDSKPKLVITVPELWNKAKGSNLPAVFLGSKESSSVEPNSRVKSFHHLVELGASNSEFPVSNVKQTDTAALLYSSGTTGTSKGVILTHGNFIAASLMVTMDQEMAGEMHHVFLCFLPMFHVFGLSIITYSQLQKGNAIVSMGKFDFEMVLKNVEKYRVTHLWVVPPVILALAKQSLVKKYDLSSLQHVGSGAAPLGKELMEECAKSIPHAAVAQGYGMTETTGIVSVENPRIGVRHRGSAGILASGVEAQIVSVDTLKPLPPNQLGEIWVRGPNMMQGYLNNPQATKQTIDKKGWVHTGDLGYFNEDGQLYVVDRIKELIKFKGYQVAPAELEGLLVSHHEILDAVVIPFPDAEAGEVPVAYVVRSPNSSLTEEDVQKFIADQVAPFKRLRRVNFINSVPKSVSGKILRRELIEKAKSKI >Manes.07G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24135529:24143969:-1 gene:Manes.07G078200.v8.1 transcript:Manes.07G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNGETNDSVEGNLPKNGADDGTNIKGKDEEEIPIRLDSENKPDDQGELEQCSENEIKDGQIADNEDSTAEIPENIQILSPDTHMPEISDNTEISPQSSHVQNEEKIAVTSDGHLQIIKPLSQLPKPEAPPGLAPSPTPKEDGVVLNRSKSLSESLAVDMPSIGKFIKERSNSLSASIANRLSSLRNGSNSHEDDEETKNKMKTGDSDVTEFNISGLKVIVRLKNEDEFKGRISFFSRSNCRDCTAVRSFFRQKGLKFVEINIDVFPQREQELIERTGSSQVPQIFFNDKLFGGLVALNSLRNSGIFDQRLKEMLGSKCSGDAPAAPVYGFDDPEEESMDEMVEIVRVLRQRLPIQDRVMKLKIVKNCFAGSQMVEVLIQQLDCGRKKAVEIGKRLARKHFIHHVFGDNDFEDGNHFYRFLEHQPFIPKCYNFRGSTNDSEPKSAIKIGQKLNKIMSAILEFYASDDRFHVDYASISRSEEFRRYVNLVEDLHRVDLLELSTDEKLSFFLNLYNAMAIHAVIRLGCPEGVIDRSSFNSDFQYIVGGSAYSLNTIKNGILRNNRRSPYSLVKPLGAGDKRLQMALPKVNPLIHFGLCNGTRSSPTVRFFTAQGVEAELKYAAREFFQRSGMEVDLEKRTVHLTRIIKWFSVDFGQEREILKWSINYLDATKAGLLTHLLGDGGPVNIVYQNYDWSINS >Manes.15G078400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5966631:5972307:1 gene:Manes.15G078400.v8.1 transcript:Manes.15G078400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHQLFGYSVTGNSPSSPFSNHFDCDTITTLSDSREQYSTTDNLSGASPSCNSLLESGNHFHQLSPALLQDANSSQNVKYTLLQLETALMAPDDEDITMSDTSLGESSRPQTSGQTTRAWSQDHHGSCVIQPRQRPSVVSGQRQSDEDALIEEHQKQMEEAKFQSIPPGNLKQLLIACAKALAENNINDFDKLIGKARIAVSIGGEPIQRLGAYLVEGLVARKELSGNNIYRALRCREPESNDLLSYMRILYEICPYLKFGYMTANGAIAEACKNDERIHIIDFQIAQGTQWITLLQALAAKPDGAPHVRITGIDDPVNKHARGDGLEAVHRRLAAISEKFNIPVEFHGVPVFAPDVTPDMLDIRPGEALAVNFPLQLHHTPDESVDVSNPRDGLLRMVKSLNPKAVTLVEQESNTNTTPFLTRFIETLDYYLAMFESIVVTLPRDQKDRIGVEQHCLAKDIVNVIACEGKERVERHELFGKWKSRLIMAGFRQYPLSSYVNSVIRSLLRCYSEHYTLVEKDGAMLLGWKNRNLISASAWN >Manes.10G090200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23371178:23374730:1 gene:Manes.10G090200.v8.1 transcript:Manes.10G090200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATGSACELVATKSMKKKWTLKQSTKILFFGSWLQEVPMYGFEKHCTERIQGENSKLSVTISDAKCPRTVPAKPSKSRVSRLALISSISKRIVDPKLSKQNATPNAKTKQPSFIAKALTTPQTKKQLSNPDAFRSVRNPNTKATAVSKGRVVAKALVFHSPRKLARPKCSLELNTPVKTLYSGVKKLEITSAKKQVLGYNSPLPPDAAKKQFRGREVKSRVFDGLRSQNPKNQEAKHSKCSKKNDKEKTLKQCHYPVPPAGDENDLIEVGTENKIRNISNVLCSDTEGPSTNVEVSKALLDENKVEASSDTDADGSDSNLLSNSEGKSSEADDKNHTSTSDDKENDNEAIESDDKENASASDDNRESDLEASQHKTLNKNETPKSNQQTTEAKSKQSKENSITAATGSQGLKHKKPKPTNPKPFRLRTDERGILKEANQEKKLHPAPLGETSPVPRVLGGNLQKKCQNALQRNEKCIEQIENCIDTNESSGKEKNNAPINQLQNGTFSLKISKEKVGQKISTPLRHTISSQKKLVDSQQESSPDESGLKLRNKIRRSKSPSIRQLARPQEATPGRKEMISTMRAGQLGTIKETSPTILKAKEAAKPAESRASPATKGSVSPASTPSLMGRRSKTIPREPSFHTIHVPKSCTRRVA >Manes.10G090200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23371178:23374730:1 gene:Manes.10G090200.v8.1 transcript:Manes.10G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSESLPIIDPEENGDEFYEKIEAPKFVDLTAPDPYHPGDDRYWFCLRVGCDQKHEEEMDSEAIYKNFVLRVMAARSPNVRLRKALYRKDSGSDAKCPRTVPAKPSKSRVSRLALISSISKRIVDPKLSKQNATPNAKTKQPSFIAKALTTPQTKKQLSNPDAFRSVRNPNTKATAVSKGRVVAKALVFHSPRKLARPKCSLELNTPVKTLYSGVKKLEITSAKKQVLGYNSPLPPDAAKKQFRGREVKSRVFDGLRSQNPKNQEAKHSKCSKKNDKEKTLKQCHYPVPPAGDENDLIEVGTENKIRNISNVLCSDTEGPSTNVEVSKALLDENKVEASSDTDADGSDSNLLSNSEGKSSEADDKNHTSTSDDKENDNEAIESDDKENASASDDNRESDLEASQHKTLNKNETPKSNQQTTEAKSKQSKENSITAATGSQGLKHKKPKPTNPKPFRLRTDERGILKEANQEKKLHPAPLGETSPVPRVLGGNLQKKCQNALQRNEKCIEQIENCIDTNESSGKEKNNAPINQLQNGTFSLKISKEKVGQKISTPLRHTISSQKKLVDSQQESSPDESGLKLRNKIRRSKSPSIRQLARPQEATPGRKEMISTMRAGQLGTIKETSPTILKAKEAAKPAESRASPATKGSVSPASTPSLMGRRSKTIPREPSFHTIHVPKSCTRRVA >Manes.10G090200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23371836:23374730:1 gene:Manes.10G090200.v8.1 transcript:Manes.10G090200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAIYKNFVLRVMAARSPNVRLRKALYRKDSGSDAKCPRTVPAKPSKSRVSRLALISSISKRIVDPKLSKQNATPNAKTKQPSFIAKALTTPQTKKQLSNPDAFRSVRNPNTKATAVSKGRVVAKALVFHSPRKLARPKCSLELNTPVKTLYSGVKKLEITSAKKQVLGYNSPLPPDAAKKQFRGREVKSRVFDGLRSQNPKNQEAKHSKCSKKNDKEKTLKQCHYPVPPAGDENDLIEVGTENKIRNISNVLCSDTEGPSTNVEVSKALLDENKVEASSDTDADGSDSNLLSNSEGKSSEADDKNHTSTSDDKENDNEAIESDDKENASASDDNRESDLEASQHKTLNKNETPKSNQQTTEAKSKQSKENSITAATGSQGLKHKKPKPTNPKPFRLRTDERGILKEANQEKKLHPAPLGETSPVPRVLGGNLQKKCQNALQRNEKCIEQIENCIDTNESSGKEKNNAPINQLQNGTFSLKISKEKVGQKISTPLRHTISSQKKLVDSQQESSPDESGLKLRNKIRRSKSPSIRQLARPQEATPGRKEMISTMRAGQLGTIKETSPTILKAKEAAKPAESRASPATKGSVSPASTPSLMGRRSKTIPREPSFHTIHVPKSCTRRVA >Manes.15G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6624526:6624891:-1 gene:Manes.15G086200.v8.1 transcript:Manes.15G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFYLCLCLLTLLLLASTAEMREVKTEDGGRCFQVMDPDGCNLSSCRQRCLQLKNGNGVCVSNLKEGNYQCVCYINC >Manes.07G119602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32451811:32452239:-1 gene:Manes.07G119602.v8.1 transcript:Manes.07G119602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHYPRYSKKDYQNMPEWQLDMLLAEYGLPVNGDLGFKRDFAMGAFLWPDSSNHQEPRNSC >Manes.03G138853.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26672339:26674470:-1 gene:Manes.03G138853.v8.1 transcript:Manes.03G138853.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQFLAFPILFTSFIFFFMVLKVRRRSKTNLSTTNLPPGPSKLPIIGNMHQLIGSRPHYRLRDLANQYGSIMHLQLGEVSNIVISSPEAAKQVMKTHDIVFAQRPSLVAASIIFYNFSDIVFSPYSDNWRQLRKICILELLSAKRVQSYRSIREEEVSNLISTITTSAGQPVNFSRKLFSLTYGITARATFGKKCKDQEEFIPLVEQIIEVVGGFNIADLFPSMKLLHVISAPMSRLVRLHREADRIIENVIDDHRARKKTSKTDSKVKEDDLVDVLLRLQEQGNLEFPLTDNNIKAVIQEIFIAGSETSSTTVEWAMSEMLRNPRVMEKAQEEVRRVFDKKGYVDETEIHELKYLKSVIKETLRLHPSAPLIPREGRERCEINGYEIPAKTKVIVNAWAINRDPNHWTEAETFYPERFLDSSIDYKGNNFEFIPFGGGRRMCPGILFGIANVELPLAQFLYHFDWKLPNGLKAESLDMMESFGATVRRKNDLQLIPILYKQS >Manes.12G138800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34510397:34523370:1 gene:Manes.12G138800.v8.1 transcript:Manes.12G138800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVDGKLQDSEPPTPHSIMKMSVRDRSSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEYSLRQLLELIETRENAFSAVGSHSQAVPVLVSLLRSGSFGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGARDHVGSKIFSTEGVVPVLWELLRNGLKTDNLVDNLLTGALKNLSSSTEGFWPATIQAGGVDILVKLLTTGKSGTQANVCFLLACMMMEDETICSKVLAAEATKQLLKLLGPGNEPSVRAEAAGALKSLSAQCKEARREIANSNGIPALINATIAPSKEYMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPEVMEQTLVKQFKPRLPFLVQERTIEALASLYGNSILSIKLSNSEAKRLLVGLITMATNEVQDELIRALLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLGDILREGSAANDAIETMIKILSSTKEETQAKSASALAGIFEVRKDLRESGIAVKTLWSVMKFLNVESENILVESCHCLAAIFLSIKENRDVAAVARDALSSLVVLANSSSLEVAEQATCALANLILDGEASDKAIPEEIILPATRVLDEGTVSGKTHAAAAIARLLHSRRIDNAVTDCVNRAGTVLALVSFLESSNGGPVATSEALDALAILSRSEGASGHIKPAWAVLAECPRSITPIVSAIADAKPVLQDKAIEILSRLCRDQPVVLGDTVVTASECIPSVARRVINSTNPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCTNLIQSLVIMLNSSEASPLGSQGDDDKEVISICRHTKEEAGNGDSKTGTALIYGYNLAIWLLSILACHDEKSKTVIMEAGAVEVLTDRISNCFLQYSQSDFGEDGSIWVCALLLAILFQDRDIIRAHATMKSIPVLANLLKSEEGANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDISDLLELSSEFTLVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLAKDCPPNKIVMVESGALEALTKYLSLGPQDATEEAATELLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARYSAAKALESLFSADHIRNAENSRQAVQPLVEILNTGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVHALDKLVDDEQLAELVAAHGAVIPLVGLVYGRNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFICASFAELLRILTNNATIAKGPSAAKVVEPLFLLLTRPEFGPEGQHSALQVLVNILEHAQCRADYNLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQKDPLTQQVIGPLIRVLGSGIHILQQRAVKALVSIAFTWPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSESTVIGALNALLVLESDDGTSAEAMAESGAIEALLELLRGHQCEETAARLLEVLLNNVKIRESKATKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGAVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSLAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEWDESFLWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNKSTSNETATN >Manes.12G138800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34510805:34523081:1 gene:Manes.12G138800.v8.1 transcript:Manes.12G138800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVDGKLQDSEPPTPHSIMKMSVRDRSSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEYSLRQLLELIETRENAFSAVGSHSQAVPVLVSLLRSGSFGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGARDHVGSKIFSTEGVVPVLWELLRNGLKTDNLVDNLLTGALKNLSSSTEGFWPATIQAGGVDILVKLLTTGKSGTQANVCFLLACMMMEDETICSKVLAAEATKQLLKLLGPGNEPSVRAEAAGALKSLSAQCKEARREIANSNGIPALINATIAPSKEYMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPEVMEQTLVKQFKPRLPFLVQERTIEALASLYGNSILSIKLSNSEAKRLLVGLITMATNEVQDELIRALLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLGDILREGSAANDAIETMIKILSSTKEETQAKSASALAGIFEVRKDLRESGIAVKTLWSVMKFLNVESENILVESCHCLAAIFLSIKENRDVAAVARDALSSLVVLANSSSLEVAEQATCALANLILDGEASDKAIPEEIILPATRVLDEGTVSGKTHAAAAIARLLHSRRIDNAVTDCVNRAGTVLALVSFLESSNGGPVATSEALDALAILSRSEGASGHIKPAWAVLAECPRSITPIVSAIADAKPVLQDKAIEILSRLCRDQPVVLGDTVVTASECIPSVARRVINSTNPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCTNLIQSLVIMLNSSEASPLGSQGDDDKEVISICRHTKEEAGNGDSKTGTALIYGYNLAIWLLSILACHDEKSKTVIMEAGAVEVLTDRISNCFLQYSQSDFGEDGSIWVCALLLAILFQDRDIIRAHATMKSIPVLANLLKSEEGANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDISDLLELSSEFTLVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLAKDCPPNKIVMVESGALEALTKYLSLGPQDATEEAATELLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARYSAAKALESLFSADHIRNAENSRQAVQPLVEILNTGMEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQHSVVHALDKLVDDEQLAELVAAHGAVIPLVGLVYGRNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFICASFAELLRILTNNATIAKGPSAAKVVEPLFLLLTRPEFGPEGQHSALQVLVNILEHAQCRADYNLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEHLQKDPLTQQVIGPLIRVLGSGIHILQQRAVKALVSIAFTWPNEIAKEGGVNELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGSESTVIGALNALLVLESDDGTSAEAMAESGAIEALLELLRGHQCEETAARLLEVLLNNVKIRESKATKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGAVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSLAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEWDESFLWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNKSTSNETATN >Manes.11G131200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29580295:29581509:1 gene:Manes.11G131200.v8.1 transcript:Manes.11G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQFKSLTFIGVSNPEMFLSEKDLNSMEFNFLVRPALEFEDHECDIARLREGDDDQDELHLNNPKEEEKEGERKREEHKEGDQCKLLVSTLKIKLPSLGEFKLEEDVDEDDGFKTPTSLDNKIPVVLPCPPAPRKPKSLPSNKRKSHRRRVLLDLSNEIESLFPPALRADLGGKIKKIRQENDSNGIII >Manes.03G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25182497:25185704:-1 gene:Manes.03G127600.v8.1 transcript:Manes.03G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAPAASCRAHISSSSPAVSKPYSSSKFPFKFNKFTPRQFPASYSARFSVSCTLVGEPAVKMVGKEDSDPDLWQRPDSYGRFGKFGGKYVPETLMHALSELESAFNSLKDDPDFQSELAGILKDYVGRETPLYFAERLTERYRRADGEGPQIYLKREDLNHTGAHKINNAIGQVLLAKRLGKKRIIAETGAGQHGVATATVCARFGLRCVIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGTETRKQAMEKWGGKPDVLVACVGGGSNAMGLFEDFIKDKDVRLIGVEAAGLGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKEKGRAEYFSVTDEEALEAFKRLSRLEGIIPALETSHALAYLEKLCPTLADGTKVVVNCSGRGDKDVHTALKYLQV >Manes.01G055704.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:23865576:23866336:1 gene:Manes.01G055704.v8.1 transcript:Manes.01G055704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHNIIILLFLSLNRQMFSPSLPPASRYFRLSSPSNRRRIPLFLTKSYSHRANPLI >Manes.04G115000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31786131:31788304:-1 gene:Manes.04G115000.v8.1 transcript:Manes.04G115000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTPGGCARLSVAPTVNVRRRMTTFATLSPPRGETVDWVEATNSFFERDSRPIMLFDGVCNLCNGGVRFVRDNDRNRRIRYEALQSEAGSKLLRRSGRAPDDISSVVLVEQDRSYIKSEAVLKIMEYIDLPFPQLAFFLHFVPLFLRDFVYDNVANNRYTIFGRSESCEVYYD >Manes.05G006300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1187852:1192527:-1 gene:Manes.05G006300.v8.1 transcript:Manes.05G006300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLCFFTISLFLSTISSFYLQFIAAAPDSKLKLNSRILQESIIKKINENPNAGWEAAMNPQFSNYTVGEFKYLLGAKPTPKKELRGFPVISHPRSLKLPKEFDARKAWPQCSTIGRILGQGHCGSCWAFGAVESLSDRFCIHFGMNISLSVNDLLACCGFLCGAGCNGGYPIYAWRYFVHHGVVTEECDPYFDDIGCSHPGCTPEFPTPKCARKCVDKNQLWRRSKHYGVSAYRISSDPQDIMAEVHTNGPVEVAFTVYEDFAHYRSGVYKHITGDILGGHAVKLIGWGTSDEGEDYWLLANQWNRGWGDDGYFKIRRGTNECGIEDDVIAGLPSARNLDLVREVAGIDALGDASA >Manes.16G036000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4520435:4520659:1 gene:Manes.16G036000.v8.1 transcript:Manes.16G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRLVLCLIILVLVFFARSESRPLSSKAQKRNVRKLYEALNEFANSEANSRRDYPDRVSPGGPDPHHHAKDN >Manes.13G134900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34265147:34267454:-1 gene:Manes.13G134900.v8.1 transcript:Manes.13G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFLLSFLIVFFFETPNLYAQQSYSANLVMDCNISDSTGPSPAFLYSCNGKKQSCKTFLIYKSQPPYNKVSSISNLTSSNLVELSRINNISSSTVLPSDKEVLVPVICSCSGQYYQANASYTVSSAYDTYFTIANDTYEGLSTCNSLMRENSYGEFSWDVGMKLVVPLRCACPTSNQTANGTKYLLTYLVSWGDKLPAISQRFNANLESVIYANGFAEDSPTLFPFTTILVPLSTEPSSSQTIIHYSPPLDYSPFIPIHQIRGSKKGFHVWLILGFSLLVLLFVLFMVFFLHRKKIYLRAHKEEGGKKKSILAEDFLNRIAGIDLGLKIYTFEELKVATEDFSSDNRLSDSVYCGVIGGQVLAIKKMSRHISNEVHLLRKINHFNLISLYAACEHQGVYYLMYEFMENGSLRDWLCKRSCLEVQSWNRRIQIAMDVANGLHYLHNFTDPPYVHKDISSSNVLLSRHFRAKIANFSLARSAEAEEHVNSSLRLALGAKGYMAPEYIESGLVTTEIDTYAFGVILLELVTGKEAVFMENEREMQLSETIILIMEKEDAEARLGNLVDPILHSRYSMEVVLRMVRLSLACLQQEPESRPSMAEIVSSLSKIQLDVHRSESV >Manes.05G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18174102:18177114:-1 gene:Manes.05G131300.v8.1 transcript:Manes.05G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKIRLERCGCRNRPFYRIIAADSKSPRDGKLLQVLGFYDPLAGKEDNKKVRLKFDSVKYWLSVGAQPSDPVRNILFQAGLLSPPPMVVMGHKSGPRKTGPIDPMTGDA >Manes.07G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31735173:31745864:1 gene:Manes.07G112000.v8.1 transcript:Manes.07G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNKSFWMSSDVGCLTDGEIGYDSSSRIEPKRGHQWFMDATGPELFSNKRQAIEAVGNRPVLGTPHMNISPWHNASSFQSVSGQFSERLFGSEAVRTDNMVDKNVPSAAGGNMNINIGRKDFNDQYGSNSSMGLSVSHTIQDPSGYISFGGIRKIKINEVRDSNNDISSSMGHSYCQGDNSAISVGTTYSKHKSDAISLGPAYTNGEENTISVGPNFSKADGNFISMGHFFNKVDGNFMSMRNYNKGGDNILSMGLDKGDANFITMGLSYEKEDSNILSMAHSLSKGHENFISMGTTYDKANENFISVAPSYSKGDDSIISIGASYDKADSNMPSICSAEGKEVPNVLSMGHNYNKGESNNISFGGFHDELDANPSGTTISGYDVLMGNQNLAQTSGVPGLKDFVQSNADPTVINASTVNSKFDMVPKNKDAKTSKKVPPNNFPSNVKSLLSTGMLDGVPVKYVSWSREKNLKGIIKGTGYLCGCQECNFTKALNAYEFERHASCKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDAIQNVTGSPINQKNFRSWKASYQAATRELQRIYGKEEVAMPS >Manes.07G112000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31735508:31743900:1 gene:Manes.07G112000.v8.1 transcript:Manes.07G112000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNKSFWMSSDVGCLTDGEIGYDSSSRIEPKRGHQWFMDATGPELFSNKRQAIEAVGNRPVLGTPHMNISPWHNASSFQSVSGQFSERLFGSEAVRTDNMVDKNVPSAAGGNMNINIGRKDFNDQYGSNSSMGLSVSHTIQDPSGYISFGGIRKIKINEVRDSNNDISSSMGHSYCQGDNSAISVGTTYSKHKSDAISLGPAYTNGEENTISVGPNFSKADGNFISMGHFFNKVDGNFMSMRNYNKGGDNILSMGLDKGDANFITMGLSYEKEDSNILSMAHSLSKGHENFISMGTTYDKANENFISVAPSYSKGDDSIISIGASYDKADSNMPSICSAEGKEVPNVLSMGHNYNKGESNNISFGGFHDELDANPSGTTISGYDVLMGNQNLAQTSGVPGLKDFVQSNADPTVINASTVNSKFDMVPKNKDAKTSKKVPPNNFPSNVKSLLSTGMLDGVPVKYVSWSREKNLKGIIKGTGYLCGCQECNFTKALNAYEFERHASCKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDAIQNVTGSPINQKNFRSWKASYQAATRELQRIYGKEEVAMPS >Manes.17G006600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3618155:3637134:1 gene:Manes.17G006600.v8.1 transcript:Manes.17G006600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIFNSSLTLQHLYSPRLVCHRQIIRMSAVETKNPNVVFQSMTIKPPSHPTYDLNGVIKLALAEDAGDQGDVTCMATVPADMEVEAHFLAKEDGIIAGIALAEMTFHEVDPSLKVEWSRQDGDCVHKGLQFGKVSGRAHNIVVAERVVLNFMQRMSGIATLTKAMANAASPACILETRKTAPGLRLIDKWAVVIGGGRNHRMGLFDMVMIKDNHISIAGGIINAIKSVDEYLEQRNLQMDVEVETRTLEEVKEVLHYTSHAKTCLTRIMLDNMVIPLPNGDVDVSMLKNAVDLINGRFETEASGNVTLETVRKIGQTGVTFISSGALTHSVKALDISLKIDTELALQVGRRTKRA >Manes.09G130200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33221758:33223537:1 gene:Manes.09G130200.v8.1 transcript:Manes.09G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWFLILITISTAALLKALFNLVFSSTNSHRLPPGPFNFPIIGNILWLRKSFADIESIVRSLHKNLGPMVTLHIGSSPTIFIGDRSLAHQALVQNGAVFANRPEPTATVKIITNNQHNINSSFYGPTWRLLRRNLTSEILHSSRVKSYTHARKWVLEILINRFESQSKSGDPVLVVDNFQYAMFCLLVLMCFGDKLDQKQIEEIERVERSAILNNRKYVTLNFMPRLTKIVFRKRWSQFLQLQKDRQEVLIPLIKARKKANEEKQRNSNDKKDDHVLSYVDTLFDLQLPEEKRKLTDNEIVGLCNEFLNAGTDTTSTALQWIMANLVKYPDIQEKLFREIKGVVEEGEEEIKEEDLQKLPYLKAIILEGLRRHPPAHFVLSHAVTEDVILGKYLVPKKANINFMVADMGWDPDVWEDPMAFKPERFVGSDRSSGEVFDITGSKEIKMMPFGVGRRICPGIGLAMLHLEYFVANLVWKFEWKAVDGDEVDLSEKQQFTTVMKNPLQAFVSPRFK >Manes.10G135900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30323962:30333318:-1 gene:Manes.10G135900.v8.1 transcript:Manes.10G135900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTIGVAILSPVVAALFHQLASQDLLKYVRQGKVLAELNKWKEILEDIYEVLDDAEEQQMKRKNQRVTAWTNRLTDLAYDVEDILDEFQIEARRRELKAGASKVRKLIPASYSGLKFSAKMISKIKGITTRLAEIRSQKNLLDLKAIHGERTSRMGDRSTTCLVNEAEVYGREEDKKAILEFLNTESSDSGFSVISIIGIGGLGKTTLAQLVFNGAKLTFDLTAWVSIGEEFDIFRITKTVLLSFGGHFDDEDLNLLQVKLKEMLSEKKFLIVLDDVWNEKYEDWTLFCRPFGYGAKGSRIIVTTREQQVSKMMGSAQSYLLKELSYDDCLSVFAQHALGATNFDGHLDLKAIGERIVERCDGLPLAAKAIGGVLRGERNQKVWENVLSSDIWEDKTGILPALRLSYYHLPAPLKRCFAYCAIFPKDYEFDKNELVLQWMAEGCLQQKRDMKQMENLGHEYFKDLLLRSFFQRSTRNKSRYIMHDLINELAQSVAGVLCFNLDDKLKSLNSDSKVRHSAFTCDLHEKFETFEGFYGMNSLRTFLALPFFSSNEPFSHNFLPAKVVHDLVPKLKCLRVLSLASYQFGELPHSIGALQHLRYLDLSYTNVKRLPKSFSDLHNLQTLKLYMCMELVELNAGIGNLTNLMHLDLRGTYNLQEMPREIANLTNLQTLSKFIVGKGNGLGIKELMKFPHLEGKLQIEGLHNVVNIQDVGLADLKKREGLDELALLWSDNLHDSRSDENELMLLSLLHPHQKLGKLSVKFYGGKQFPSWIGDPSFTNMVDVELHCCQNVIRLPPLGGLPKLRKLCIEGMGAVKEVGVEFYGDNSSSVQPFPSLERLEIKNMLELEQWVCSDGLCEEADGKFPNLCELTIINCPKLVGKLPSCLPSIKKLNIEECQMMILESVPQLTSLTTLRLRRISGLESLSEVVTRALVALEDLEIVDCSQLIYLWQDFSDLDKLACLNHLKIEYCEKLLSLVGGEKGLRLVSFPPTGFPYNLKSFHIISCHSLESMPEGIMHDKSPGNETSHLEDLRVTGCPSLSSFSIGEFPQSVKCLEFCCWTTQLLESLNDRFSHLTELLICESPKLESFPESGLVLPNLSTLYIWYCVNLKSLPNHMQNLKSLVYLSISYCGGLVSLPEGGLPPNLNYLHIGDCKNLTQPMTEWGLQRLTSLSHFTITGTFPSADFVSFPDEEGQLLPSSLTLLWMEGHENLKSISRGLNNLTSLEFLWIEDCPKLRSLPMEDLARLKSLRISGCPLLKNRCTKVKGDYWRFIVNIPHLEISF >Manes.10G135900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30323794:30333347:-1 gene:Manes.10G135900.v8.1 transcript:Manes.10G135900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTIGVAILSPVVAALFHQLASQDLLKYVRQGKVLAELNKWKEILEDIYEVLDDAEEQQMKRKNQRVTAWTNRLTDLAYDVEDILDEFQIEARRRELKAGASKVRKLIPASYSGLKFSAKMISKIKGITTRLAEIRSQKNLLDLKAIHGERTSRMGDRSTTCLVNEAEVYGREEDKKAILEFLNTESSDSGFSVISIIGIGGLGKTTLAQLVFNGAKLTFDLTAWVSIGEEFDIFRITKTVLLSFGGHFDDEDLNLLQVKLKEMLSEKKFLIVLDDVWNEKYEDWTLFCRPFGYGAKGSRIIVTTREQQVSKMMGSAQSYLLKELSYDDCLSVFAQHALGATNFDGHLDLKAIGERIVERCDGLPLAAKAIGGVLRGERNQKVWENVLSSDIWEDKTGILPALRLSYYHLPAPLKRCFAYCAIFPKDYEFDKNELVLQWMAEGCLQQKRDMKQMENLGHEYFKDLLLRSFFQRSTRNKSRYIMHDLINELAQSVAGVLCFNLDDKLKSLNSDSKVRHSAFTCDLHEKFETFEGFYGMNSLRTFLALPFFSSNEPFSHNFLPAKVVHDLVPKLKCLRVLSLASYQFGELPHSIGALQHLRYLDLSYTNVKRLPKSFSDLHNLQTLKLYMCMELVELNAGIGNLTNLMHLDLRGTYNLQEMPREIANLTNLQTLSKFIVGKGNGLGIKELMKFPHLEGKLQIEGLHNVVNIQDVGLADLKKREGLDELALLWSDNLHDSRSDENELMLLSLLHPHQKLGKLSVKFYGGKQFPSWIGDPSFTNMVDVELHCCQNVIRLPPLGGLPKLRKLCIEGMGAVKEVGVEFYGDNSSSVQPFPSLERLEIKNMLELEQWVCSDGLCEEADGKFPNLCELTIINCPKLVGKLPSCLPSIKKLNIEECQMMILESVPQLTSLTTLRLRRISGLESLSEVVTRALVALEDLEIVDCSQLIYLWQDFSDLDKLACLNHLKIEYCEKLLSLVGGEKGLRLVSFPPTGFPYNLKSFHIISCHSLESMPEGIMHDKSPGNETSHLEDLRVTGCPSLSSFSIGEFPQSVKCLEFCCWTTQLLESLNDRFSHLTELLICESPKLESFPESGLVLPNLSTLYIWYCVNLKSLPNHMQNLKSLVYLSISYCGGLVSLPEGGLPPNLNYLHIGDCKNLTQPMTEWGLQRLTSLSHFTITGTFPSADFVSFPDEEGQLLPSSLTLLWMEGHENLKSISRGLNNLTSLEFLWIEDCPKLRSLPMEDLARLKSLRISGCPLLKNRCTKVKGDYWRFIVNIPHLEISF >Manes.10G135900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30323794:30333347:-1 gene:Manes.10G135900.v8.1 transcript:Manes.10G135900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTIGVAILSPVVAALFHQLASQDLLKYVRQGKVLAELNKWKEILEDIYEVLDDAEEQQMKRKNQRVTAWTNRLTDLAYDVEDILDEFQIEARRRELKAGASKVRKLIPASYSGLKFSAKMISKIKGITTRLAEIRSQKNLLDLKAIHGERTSRMGDRSTTCLVNEAEVYGREEDKKAILEFLNTESSDSGFSVISIIGIGGLGKTTLAQLVFNGAKLTFDLTAWVSIGEEFDIFRITKTVLLSFGGHFDDEDLNLLQVKLKEMLSEKKFLIVLDDVWNEKYEDWTLFCRPFGYGAKGSRIIVTTREQQVSKMMGSAQSYLLKELSYDDCLSVFAQHALGATNFDGHLDLKAIGERIVERCDGLPLAAKAIGGVLRGERNQKVWENVLSSDIWEDKTGILPALRLSYYHLPAPLKRCFAYCAIFPKDYEFDKNELVLQWMAEGCLQQKRDMKQMENLGHEYFKDLLLRSFFQRSTRNKSRYIMHDLINELAQSVAGVLCFNLDDKLKSLNSDSKVRHSAFTCDLHEKFETFEGFYGMNSLRTFLALPFFSSNEPFSHNFLPAKVVHDLVPKLKCLRVLSLASYQFGELPHSIGALQHLRYLDLSYTNVKRLPKSFSDLHNLQTLKLYMCMELVELNAGIGNLTNLMHLDLRGTYNLQEMPREIANLTNLQTLSKFIVGKGNGLGIKELMKFPHLEGKLQIEGLHNVVNIQDVGLADLKKREGLDELALLWSDNLHDSRSDENELMLLSLLHPHQKLGKLSVKFYGGKQFPSWIGDPSFTNMVDVELHCCQNVIRLPPLGGLPKLRKLCIEGMGAVKEVGVEFYGDNSSSVQPFPSLERLEIKNMLELEQWVCSDGLCEEADGKFPNLCELTIINCPKLVGKLPSCLPSIKKLNIEECQMMILESVPQLTSLTTLRLRRISGLESLSEVVTRALVALEDLEIVDCSQLIYLWQDFSDLDKLACLNHLKIEYCEKLLSLVGGEKGLRLVSFPPTGFPYNLKSFHIISCHSLESMPEGIMHDKSPGNETSHLEDLRVTGCPSLSSFSIGEFPQSVKCLEFCCWTTQLLESLNDRFSHLTELLICESPKLESFPESGLVLPNLSTLYIWYCVNLKSLPNHMQNLKSLVYLSISYCGGLVSLPEGGLPPNLNYLHIGDCKNLTQPMTEWGLQRLTSLSHFTITGTFPSADFVSFPDEEGQLLPSSLTLLWMEGHENLKSISRGLNNLTSLEFLWIEDCPKLRSLPMEDLARLKSLRISGCPLLKNRCTKVKGDYWRFIVNIPHLEISF >Manes.07G014400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1755600:1759539:-1 gene:Manes.07G014400.v8.1 transcript:Manes.07G014400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTKKANRASASSSISMAPSLSSLLPHPQSASSSSSFSFNPNPYLAHRYSLLPLRPQSLNLSVGCSSSSDNNKITYPPPPQPSPPEKKSFAVATGELFLGIASRLLKSGKGNKNGSLSSSGVSLFEKSNGNGNGNGSVDYDERIGAVMEDEIEPEVIWEQRVKDIEAEKERRVITSPGFSFSAAGLLFPYHLGVAQLLIEKGYIKETTPLAGSSAGAIVCAVIASGASMQEALQATKLLAADCRLRGTAFRLGAVLRDVLEKFLPDDVHIRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVFTSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSAAETVRVCAFPASRLGLQGIGISPDCNPENRASPRELFNWALEPAEEGILDRLFELGYMDAAVWAKENQVDNIVEEDGPSAQNGFAKSSS >Manes.07G014400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1755600:1759539:-1 gene:Manes.07G014400.v8.1 transcript:Manes.07G014400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTKKANRASASSSISMAPSLSSLLPHPQSASSSSSFSFNPNPYLAHRYSLLPLRPQSLNLSVGCSSSSDNNKITYPPPPQPSPPEKKSFAVATGELFLGIASRLLKSGKGNKNGSLSSSGVSLFEKSNGNGNGNGSVDYDERIGAVMEDEIEPEVIWEQRVKDIEAEKERRVITSPGFSFSAAGLLFPYHLGVAQLLIEKGYIKETTPLAGSSAGAIVCAVIASGASMQEALQATKLLAADCRLRGTAFRLGAVLRDVLEKFLPDDVHIRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVFTSSFIPGWICSNLKISCPKTCHNVPESTLH >Manes.05G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6162175:6167240:-1 gene:Manes.05G075500.v8.1 transcript:Manes.05G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQIEDVTVYFPYDNIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQSQVKLIYCTRTVHEMEKTLAELKLLHNYQIKHLGPAARILAIGLSSRKNLCVNPTVLAAENRDSVDAACRKLTASWVRAMAAENPNVPTCEFFENYERAASAAVLPPGVYTLQDLRAYGKETGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRTKTLDGASRNLSRITQEIERFKATDAGRLRAEYNRLVEGLAHRGNLGATDTWLANPALPDDILKEAVPGNIRRAEHFLHVLRRLVQYLRGRLDTENVEKESPINFVASLNTHAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLVGTYSRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVARNYGKLLVEMVSVVPDGIVCFFVSYSYMDGIINSWNETGVLKDIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGIPFQYTLSKILIARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDANLNLSTDMALHIAREFLRKMAQPYDKTGSGGRKTLLSQEDLEKMAENGVQEMLY >Manes.05G075500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6162175:6167240:-1 gene:Manes.05G075500.v8.1 transcript:Manes.05G075500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQIEDVTVYFPYDNIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQSQVKLIYCTRTVHEMEKTLAELKLLHNYQIKHLGPAARILAIGLSSRKNLCVNPTVLAAENRDSVDAACRKLTASWVRAMAAENPNVPTCEFFENYERAASAAVLPPGVYTLQDLRAYGKETGWCPYFLARHMVQFANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRTKTLDGASRNLSRITQEIERFKATDAGRLRAEYNRLVEGLAHRGNLATDTWLANPALPDDILKEAVPGNIRRAEHFLHVLRRLVQYLRGRLDTENVEKESPINFVASLNTHAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHIQTICDFATLVGTYSRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVARNYGKLLVEMVSVVPDGIVCFFVSYSYMDGIINSWNETGVLKDIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGIPFQYTLSKILIARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDANLNLSTDMALHIAREFLRKMAQPYDKTGSGGRKTLLSQEDLEKMAENGVQEMLY >Manes.08G024300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2448219:2449092:1 gene:Manes.08G024300.v8.1 transcript:Manes.08G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFLKDPSTKAMEDEEEEELFEIDLESVDRIPPPHYWESYFTATGSALLANCLLPIADVSNAVPTTSTLSLADHLLFFRIHSPCQNFHDLLGNTTKDVRT >Manes.06G118800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24938528:24943732:-1 gene:Manes.06G118800.v8.1 transcript:Manes.06G118800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPSRTYYSNSMATGASKFLSNLPSRGFLSSTVLSSNPGGMRVYICDHDTLPPEGQQIKTNQTNILIRSLQIKKQKGDSSSKDMKGVTVSEGSRKRPPERVQDGRASAKRSNSQTGHRQEGFDSQVVDRDFYSLTVERLRALLKERGLSPRGKKASFFLKKAKFI >Manes.06G118800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24938528:24943732:-1 gene:Manes.06G118800.v8.1 transcript:Manes.06G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPSRTYYSNSMATGASKFLSNLPSRGFLSSTVLSSNPGGMRVYICDHDTLPPEGQQIKTNQTNILIRSLQIKKQKGDSSSKDMKGVTVSEGSRKRPPERVQDGRASAKRSNSQTGHRQEGFDSQVVDRDFYSLTVERLRALLKERGLSPRGKKDELVARLRNVNG >Manes.04G069000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26700217:26707412:-1 gene:Manes.04G069000.v8.1 transcript:Manes.04G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWTFLPKDLLEQISKCLDTSTDLLRFRSVCTAWRSSVSPKPRRLSGAFRILPNDGICRTSFGFYLSKRTIFLIRPPDSDNQMVPGGWLVKIEEDIPDRKRLLNPLSRQQLNPLPSNFPRVMNLLNLRICELGQEYVLHHVNYKPNSSSFSDAGNLYMEKVVMILLNFESDFMLLTIHVSGKLALFRSGDRRWTIIKDMTSPYDDVIVYKGNFYAVDNTGRTVVVGLNSELSLIANPVFGGDKKYLVESNGELLLVDMYLSIDTGEESLSFGEEYLEHLAQYMSERTVRFKVYKLDEQAKSWIVLKSLGDRVLFLGDDSTFAATASDLSGCEGNCIFFVDNFFYARDESPAEGDDGILAGRDVGVYDFENGCIGPLVRYPEHARIFWPPPHWITMTSLEVQTQNKVEELSLKNDD >Manes.12G001300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:357336:361098:-1 gene:Manes.12G001300.v8.1 transcript:Manes.12G001300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMANMEISSQHLLLLSFYLYSLFVSPEAVKATSISNNFSAACSEIEVKALLEFKHGLEDPSGRLSSWVGENCCQWDGVACSNGKGNVIQIDLRNSYNVTYPEYIMFGREAEAYEWSSLGGKISPSLLNLKYLSHLDLSKNNFHGIQIPSFLGSLRSLKYLNLSHASFAGMVPPHLGNLSNLQHLDLFPYSYTNVDSESLWASDLNWLSGLTSLRYLNLGNVNLSLASNHWLQPINLLPSLVELHLPACDLRSLPKSLPNVNFTALRVLDLNNNYFLSSLPPWFFNISNLVDLRLSNSEINDTILDDAWRNFCNMQALDLSFNGFRGEFLGSLSKCSNTSLKVLRLRYNSFSGQIPESLGNFKSLRCLQLNGNSFTGSIPASIEKLSFLEELDISSNRLSGTIPENIGQLRALSYLDLSMNSWEGIVSEIHFLKLKHLKCLSLSSVNQSLAFSVRDEWVPPFSLQVIWIQDCRLGPAFPAWLSTQKELVSVTLIGGRISGTIPGWFWKLSPKIRWLGLQNNQLTGILPKSLNFSPGAIRVDLSSNLFRGTLPLCSNVQSVSLSNNKFSGPIPQTIGQEMSFSQILELSGNFLSGRIPSSVNKMKQLTTLDLSGNQLSGKIYTHWKGLDELNAIDLSRNNLSGGIPSSMCLLPQLQVMKLSSNNLSGELSLSLQHCTHLATLDLSDNKFTGRIPNWIGARLLSMSILNLRVNMFSGSIPEELCGLPALHILDLAQNNLSGPIPPCLGNLSAFSSLRPYFSVPYASPYSEEIELNVKGRQLEYIKILYLVNIIDLSGNNLRGEIPDEITRLSYLGTLNLSRNQLTGIIPENIGDLKLLETLDLSCNKLSGHIPPSMPSMTSLNYLNLSYNNLSGQIPSANQFLTLNDPSVYEGNPGLCGPPLPINCSMPNEDGAHKQDDQDEEDRSEKIWFYSGIAVGFVVGFWGVCGSLIVKKSWRYTYFRFVDRQKDRIYVMIKVNMARLRRKLTNGGR >Manes.01G071700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27333686:27337438:1 gene:Manes.01G071700.v8.1 transcript:Manes.01G071700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEWSACLDEYEKLVIRMNTPRVVIDNAVCPTATLVKVDSARKHGILLEAVQVLTDLNLSIKKAYISSDGRWFMDVFHVTDVNGNKLTDESVINYIEQSLGTIHYGRTLDFNGLTALELTGTDRVGLLSEVFAVLADLQCDVVDAKVWTHNGRIASFILVKDCNSGSPIEDSQQIDRIEARLRNVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFADRDYERKPILRLSADSPVVTVQNWVERGYSVVNVQCKDRMKLMFDVVCTLTDMEYVVFHATINTVGSRAYLEFYIKHTDGTPISSEPERQRVIQCLQAAVERRASEGVRLELCTPDRQGLLADVTRTFRENGLNVTRAEISTSRDMAVNVFYVTDVIGNPADSKIIDSVRQKIGLSNLKVKELPPLVYHQEAERERQEVGVAGTVLLSLGSLVRRNLYNLGLIRSYS >Manes.03G134706.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26276249:26277292:-1 gene:Manes.03G134706.v8.1 transcript:Manes.03G134706.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGMELKSFIKVWVLATTCLSYCYYITARLPKGVVRLLSILPVIYIFIILPTNLTSFNLCGPTAFFLVWLANFKLLLFSFDQGPLSPPPPKLFHFISLACLPIKLKQKTDNDTNPSPHFMPRSLLLALKTFVLVLLFHIYSYRQFMHPYVILTLYCLHVYLQLELVLVISAIPARALFGFEIEPQFNEPYLATSLQDFWGHRWNLMVTSILRPTVYHPVRQFSKRLIGSTWASLPAIIATFVASGLMHEVMYFYLTRVRPTWEVTWFFILHGICVAIEVALKKVVKDRWQLHRAISGPLAVIFASITAFWLFFPQLTRNKVDEQVIWECSILLNFIKQKVSSCFIS >Manes.15G112800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981306:8990552:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGMPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGCLSFQEKDD >Manes.15G112800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981518:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGMPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGTCLHKMIASLANN >Manes.15G112800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981306:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGMPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKTF >Manes.15G112800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981377:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKTF >Manes.15G112800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981518:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGMPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKNHEREDVLSIKNCFHSEGTCLHKMIASLANN >Manes.15G112800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981377:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGMPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKLQERKKN >Manes.15G112800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981305:8989765:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGMPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGNLQFFSVIYFLIASSGG >Manes.15G112800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981516:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGMPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKLQERKKN >Manes.15G112800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981353:8989765:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKLQERKKN >Manes.15G112800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981306:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKTF >Manes.15G112800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981306:8989765:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGNLQFFSVIYFLIASSGG >Manes.15G112800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981377:8990552:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGCLSFQEKDD >Manes.15G112800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981306:8990552:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGCLSFQEKDD >Manes.15G112800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981518:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGTCLHKMIASLANN >Manes.15G112800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981377:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKLQERKKN >Manes.15G112800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981518:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKNHEREDVLSIKNCFHSEGTCLHKMIASLANN >Manes.15G112800.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981353:8989765:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSALSRLSNIHGHLHPSDSNSMIGEGLVKVSPEVSEALSCGHAVVALESTIISHGMPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKLQERKKN >Manes.15G112800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8981516:8987838:1 gene:Manes.15G112800.v8.1 transcript:Manes.15G112800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPQNLETAKEVEAIVRKNGAVPATVAILDGKPCIGLSMEELERLATLGTRAQKTARRDIAHVVASRGNGATTVSATMYFASMVGISVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVATYKTNEFPAFFTEKSGCKVTCRVDTPEDCARLIDANMKLKLGTGILIAVPIPKEHSASGNMIESAIQSALREARDKNITGNAETPFLLARVNEITGGASLASNIALVKNNSLVGAKIAVALAQLREREHSNRGKLQERKKN >Manes.04G085000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28634507:28636382:-1 gene:Manes.04G085000.v8.1 transcript:Manes.04G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQSGLNPEAPEYVPNYCNPQIAQVVYCVNNLPRSSPIFSTNPLYYASVVPSNYVCYAGSSHPTNQQPMVIGPPAGPSSVAQTERTREPQLEPGPEAVTEVISPRDAKGECSRNRRGRCFINGRENQRKTCRARGDSGRNYNFRRHARAPAAASRQNRPVLPIRPEEHTTVMIRNIPNRYTRELLMEFLDKHCMVENQKAMLRKSDCEETFVSAFDFLYLPMDFGNHANKGYAFVNFTDSRAAWKFHAAVHNQRWELFQSTKICEIASARLQGKEGLVKHFRNSTFECGTDDYLPVCFSPPRDGSRVIVKQTIIGKRVEMGWALPPVPGQTMEGQVVGPTLLILWMNSS >Manes.13G011701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1494468:1498771:-1 gene:Manes.13G011701.v8.1 transcript:Manes.13G011701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTESWYRLSKDVRKDGKRTKRQVRNKAKSRRRLFFISQPLHHQNQALYLLPSRTAAAAGFSSLNSSYGFCRSRFRARDFHFVTPSHLKCSASAGDNTLASEDDQDQGPPQEAVLKAISGVSKTEGRVGQTINGIIGGTVTDDSTNEWLALDQKVNSYPTIRGFTAIGTGGDDFVQAMVVAVGSVIQQPIPEGHVKQKVSSRGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >Manes.13G124900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33254514:33266406:1 gene:Manes.13G124900.v8.1 transcript:Manes.13G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSGKSRKEKPRGRHQALSQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELMKAFRIIDQDNNGKISVDDIKRIAKELGENFTDREIQDMVDEADRDRDGEVSVEEFIRIMKRTTYGY >Manes.11G103400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23469146:23471182:1 gene:Manes.11G103400.v8.1 transcript:Manes.11G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKIRRALGAVKDQTSIGLAKIGSSTSLSDLDVAIVKATMHEEYPAEEKHIREILSLTSCSRVYISACVNTLSRRLNKTRNWIVALKTLVLIQRLLAEGDSAYEQEIFSATRRGARLLNMGDFRDGSLSTSWDYSAFVRTYSLYLDERLEFRMQGRRGKQSALVIDEEGEDVETTHSRVTPVREMKTDPLFYRVEYLQQLLERFLACQPTGGAKHNRVVMVALYPILKESFQLYYDITEILGILIDCFMELEIPDCIKVYDIFCRSSKQFDELDNFYSWCKTVGIARSSEYPEVLKIKQKKLDLMDEFIRDKTEVAQTRQVITYESNKVETKDDIKEPDENDIDMNSIVALPSPEDFTEAQAEAEAEVEAEAEAQVQGKPVEKEETQEKEADLLNLGDDALSAEEHGNQLASALFDGGATAGPATATATTTSLWEELSDNTTNWETTLIQSASNLHNKKTTLASGFDMLLLDGMYQQREANLAISTLANNVASGSASSVAFGSAGRPEMLALPALSSIIENNNTTLPNADPFAASLAVAPPSYVQMSDVEKKKQLLMEEQLMWQQYARDGMQGQLGLAKLQPNSYNIGGY >Manes.05G068800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5678760:5682519:-1 gene:Manes.05G068800.v8.1 transcript:Manes.05G068800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRAYYQQFEEQQTQSLIDQRIKEHLGQAAAYQQVGAAYNQHLLAQRPRLPVLPTPVMPIAGNPQLTANTSLVPGIRPPVLPRPVPGAPGYVSAPAMPQMVAPPGAPSIPGHVSGPRFPMGIPPTTAPGSMPAPTASSGAPSMVPPTYQANPAAPTSGSLDSFSNAPASEANH >Manes.05G068800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5678730:5682723:-1 gene:Manes.05G068800.v8.1 transcript:Manes.05G068800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRAYYQQFEEQQTQSLIDQRIKEHLGQAAAYQQVGAAYNQHLLAQRPRLPVLPTPVMPIAGNPQLTANTSLVPGIRPPVLPRPVPGAPGYVSAPAMPQMVAPPGAPSIPGHVSGPRFPMGIPPTTAPGSMPAPTASSGAPSMVPPTYQANPAAPTSGSLDSFSNAPASEANH >Manes.05G068800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5678730:5682652:-1 gene:Manes.05G068800.v8.1 transcript:Manes.05G068800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRAYYQQFEEQQTQSLIDQRIKEHLGQAAAYQQVGAAYNQHLLAQRPRLPVLPTPVMPIAGNPQLTANTSLVPGIRPPVLPRPVPGAPGYVSAPAMPQMVAPPGAPSIPGHVSGPRFPMGIPPTTAPGSMPAPTASSGAPSMVPPTYQANPAAPTSGSLDSFSNAPASEANH >Manes.05G068800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5678730:5682723:-1 gene:Manes.05G068800.v8.1 transcript:Manes.05G068800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRAYYQQFEEQQTQSLIDQRIKEHLGQAAAYQQVGAAYNQHLLAQRPRLPVLPTPVMPIAGNPQLTANTSLVPGIRPPVLPRPVPGAPGYVSAPAMPQMVAPPGAPSIPGHVSGPRFPMGIPPTTAPGSMPAPTASSGAPSMVPPTYQANPAAPTSGSLDSFSNAPASEANH >Manes.05G068800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5678730:5682579:-1 gene:Manes.05G068800.v8.1 transcript:Manes.05G068800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRAYYQQFEEQQTQSLIDQRIKEHLGQAAAYQQVGAAYNQHLLAQRPRLPVLPTPVMPIAGNPQLTANTSLVPGIRPPVLPRPVPGAPGYVSAPAMPQMVAPPGAPSIPGHVSGPRFPMGIPPTTAPGSMPAPTASSGAPSMVPPTYQANPAAPTSGSLDSFSNAPASEANH >Manes.05G177000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29337716:29339765:-1 gene:Manes.05G177000.v8.1 transcript:Manes.05G177000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGLPMLNCLLQQTLRSLCSSSDSSNSSKWVYAVFWRILPRNYPPPKWDYGGTTLDRSKGNKRNWILVWEDGFCDFYECERTGSGYMKGKFGADIFFKMSHEVYNYGEGLVGKVAADNSHKWVFRETPSESDPNFISSWNMSIEPQPRAWEFQFNSGIQTIAIIAVREGIIQLGSFEKILEDLNLVINVQRKFSYLQSIPGVFAMQRPYLPIQHPYMLKPNTRLIESQEGAFSIDDKRQISGVKRLFDERFDDFAIKSINLGWNSPQIGIRGPPIWSIPPLLPAMSCSLGALLSKLPSVIPSYDTTEAIDTNLLVSSNNKSTSQVAKVNNHGLVSQGHVASTTKVESSCQLDAGKPISLNPNSELENETVGFGNPSKRESSLNLS >Manes.01G123700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31927018:31939614:1 gene:Manes.01G123700.v8.1 transcript:Manes.01G123700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAFAITPHKVSVCVLLQMYALPTQASPPFSSVSQHNRLGLYILSLAKSYDDIFEPKLEELVSHLREIGGPLGPWLVDHLTSRVSSLSSPDDLFTFFTEMRGILGGSDSVVMEDNQVILDPNSILGMFLRRCVLAFNILSFEGVCHLLTNIGIYCKEVLSTCMPYELHHLDGSSNDLESLSECENMDLENLVFGKVNEEIEEGKEANGRVSFHLHAPQAICGLVEDVEVITDPRSKHCEKGTEGCSHVHHPGTESRDIDPYSEVFLRTNWQVQGYLMEQADAIEKHGSSFSFNAFELILRQIKKLAPELHRVHYLHYLNSLYHDDYFAAVENLHSYFDYSAGIEGFDFIPPSVSNNSARYEIALLCLGMMHFYFGHPKQALLVLTEAVRISQVQSNDSCLAYTLAAICNLSSEIGSSITAGILGTSYSPMTSMGSSVSVAQQLFVLLKESLKRAESLKLKRLIASNHLAMAKFDLMHVQRPLLSFGPKASMKLKTCPVNVCKELRLCSHLISEFASETSTVTTDGAFSTAWLKNLTKPMGSLVLTQDNESGENFHALQFSAQPSSIPRSVLQLLGSSYLLRASAWETYGSAPLARINALVYATCFADSSSSSDTALVHAKLIQHLAAFHGYKEAFSALKVAEGRFLSVSRSVLLLVKLQLLHERALHRGHLKLAQQVCDELGVIASSVKGVDMELKREASLRHARTLLAAKQFSEAAAVAHSLFCMCYKFNMQVENATVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLKASATLTLAELWLSLGSNHAKRALALIHGALPMILGHGGLELRARAQIAESKCYLSDPSYSGSPPYCLIFLWSCYDAIFLLYRMRNVNNL >Manes.01G123700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31929054:31944993:1 gene:Manes.01G123700.v8.1 transcript:Manes.01G123700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADAIEKHGSSFSFNAFELILRQIKKLAPELHRVHYLHYLNSLYHDDYFAAVENLHSYFDYSAGIEGFDFIPPSVSNNSARYEIALLCLGMMHFYFGHPKQALLVLTEAVRISQVQSNDSCLAYTLAAICNLSSEIGSSITAGILGTSYSPMTSMGSSVSVAQQLFVLLKESLKRAESLKLKRLIASNHLAMAKFDLMHVQRPLLSFGPKASMKLKTCPVNVCKELRLCSHLISEFASETSTVTTDGAFSTAWLKNLTKPMGSLVLTQDNESGENFHALQFSAQPSSIPRSVLQLLGSSYLLRASAWETYGSAPLARINALVYATCFADSSSSSDTALVHAKLIQHLAAFHGYKEAFSALKVAEGRFLSVSRSVLLLVKLQLLHERALHRGHLKLAQQVCDELGVIASSVKGVDMELKREASLRHARTLLAAKQFSEAAAVAHSLFCMCYKFNMQVENATVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLKASATLTLAELWLSLGSNHAKRALALIHGALPMILGHGGLELRARAQIAESKCYLSDPSYSVFGDPEVVLDPLRQASDELQVLEYHELAAEAFYLMAIVFDKLGKPEDREEAAASFKKHVMALENPEDEDPLLSLL >Manes.01G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31927018:31944993:1 gene:Manes.01G123700.v8.1 transcript:Manes.01G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAFAITPHKVSVCVLLQMYALPTQASPPFSSVSQHNRLGLYILSLAKSYDDIFEPKLEELVSHLREIGGPLGPWLVDHLTSRVSSLSSPDDLFTFFTEMRGILGGSDSVVMEDNQVILDPNSILGMFLRRCVLAFNILSFEGVCHLLTNIGIYCKEVLSTCMPYELHHLDGSSNDLESLSECENMDLENLVFGKVNEEIEEGKEANGRVSFHLHAPQAICGLVEDVEVITDPRSKHCEKGTEGCSHVHHPGTESRDIDPYSEVFLRTNWQVQGYLMEQADAIEKHGSSFSFNAFELILRQIKKLAPELHRVHYLHYLNSLYHDDYFAAVENLHSYFDYSAGIEGFDFIPPSVSNNSARYEIALLCLGMMHFYFGHPKQALLVLTEAVRISQVQSNDSCLAYTLAAICNLSSEIGSSITAGILGTSYSPMTSMGSSVSVAQQLFVLLKESLKRAESLKLKRLIASNHLAMAKFDLMHVQRPLLSFGPKASMKLKTCPVNVCKELRLCSHLISEFASETSTVTTDGAFSTAWLKNLTKPMGSLVLTQDNESGENFHALQFSAQPSSIPRSVLQLLGSSYLLRASAWETYGSAPLARINALVYATCFADSSSSSDTALVHAKLIQHLAAFHGYKEAFSALKVAEGRFLSVSRSVLLLVKLQLLHERALHRGHLKLAQQVCDELGVIASSVKGVDMELKREASLRHARTLLAAKQFSEAAAVAHSLFCMCYKFNMQVENATVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLKASATLTLAELWLSLGSNHAKRALALIHGALPMILGHGGLELRARAQIAESKCYLSDPSYSVFGDPEVVLDPLRQASDELQVLEYHELAAEAFYLMAIVFDKLGKPEDREEAAASFKKHVMALENPEDEDPLLSLL >Manes.01G098800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30003962:30008810:-1 gene:Manes.01G098800.v8.1 transcript:Manes.01G098800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCRARAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNSIQIPGHFVYRTRFSRTSGRSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGIFKSFSISKVRKMLIKRKAQLHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGAYEDCVEYYVCLNGHVLGICTLLPLSDSEEASELE >Manes.01G098800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30003962:30008810:-1 gene:Manes.01G098800.v8.1 transcript:Manes.01G098800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDLAKRVLWKEFCRARAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNSIQIPGHFVYRTRFSRTSGRSFLLPQCRTDVLYVSDPCEHLDQGEEGDVGFFRGIFKSFSISKVRKMLIKRKAQLHPTEVCPYCKAKLWSMLQAKMIPQSASCRLGAYEDCVEYYVCLNGHVLGICTLLPLSDSEEASELE >Manes.02G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9840636:9843433:-1 gene:Manes.02G129500.v8.1 transcript:Manes.02G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHQHRIGALSPLDGMHRPGEGPRSPSSQTVEQLLRGGDSRWSPSAMQSLGKEHDMEDDHTPHRKSVLAKVREKARKWRNTLSKKKHNEDGNATPSWGVSLEDEDDEDEDPEYLGAPMYESEMAPEGYKETARQHPRAIPVISERHVLTSSVNSGSPSQKSPDPSPPVNSPKTISETVAEKLAPAYASVTDATHALASKIQSLTVSNAEAQAHAPAPESNPATIPSLAPILTVKTSDPPTASPRAKVLTPPALGIVTKLAKQAPAKMEKAEPTSAPAAAAERNHPSTGEQIWDKGVSVKEYIMHKLEPGEDEKALSQVISDAISPRKTPGDVGVVEKVKVAVTSLLRNESYSERRVYHSAQNSSSQIPISTNAHEVIEEENHGRILQAN >Manes.01G261500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41717793:41722387:1 gene:Manes.01G261500.v8.1 transcript:Manes.01G261500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSRGILPLLSAARSFKPSLLLDPNYRRNLLNQFGASVFTSNEPLHSRRGEFDNGYDQPYHYGARPTFGDEDRTSSTHGHQFHGNVSRDQEAHLHGDDVEEQMIKYAIEASKQEDSSGAMQSQLHLEDDELAHAISLSLKTAEREKAIRAQTAENQKQLVVNNSTGRAEKTNDGRSQPGCSSFQGGAEDLQEQSLWGGISSKELDEAMLLEAAMFGENSEGTSSQHTPHPHAAVDKSKGLHPPQVPHQPSQSLMDWQLIREQQDNEYLASLLADREKETSALKKPETYLKKGESQKSVDGELETKRLIAAKEASLPQEPAVDDTNAVTLLVRMPDGSRHGRRFLKSDKLQYLFDFIDVGGTVKPGTYRVVRPYPRRTFCTGDSSLSLNELGLNNKQEALFLELI >Manes.01G261500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41717793:41722387:1 gene:Manes.01G261500.v8.1 transcript:Manes.01G261500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSPDAIDNFMRITGASHPHALRKLEEYGGNLDAAVNAHFNEVERQIANPASSVSPQSNYADTSNQMQPGSRGILPLLSAARSFKPSLLLDPNYRRNLLNQFGASVFTSNEPLHSRRGEFDNGYDQPYHYGARPTFGDEDRTSSTHGHQFHGNVSRDQEAHLHGDDVEEQMIKYAIEASKQEDSSGAMQSQLHLEDDELAHAISLSLKTAEREKAIRAQTAENQKQLVVNNSTGRAEKTNDGRSQPGCSSFQGGAEDLQEQSLWGGISSKELDEAMLLEAAMFGENSEGTSSQHTPHPHAAVDKSKGLHPPQVPHQPSQSLMDWQLIREQQDNEYLASLLADREKETSALKKPETYLKKGESQKSVDGELETKRLIAAKEASLPQEPAVDDTNAVTLLVRMPDGSRHGRRFLKSDKLQYLFDFIDVGGTVKPGTYRVVRPYPRRTFCTGDSSLSLNELGLNNKQEALFLELI >Manes.04G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5486121:5487260:1 gene:Manes.04G050900.v8.1 transcript:Manes.04G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLHPLAFSTQNAKSCKIWMATTAAREKVHVQVTHSLPPQKIEIFKSLEGWAEKNLLNLLKPVEKCWQPQDFLPEPDSEGFYDQIKELRERTQELSDEYFVVLVGDMITEEAVPTYPSILNSLDGIQDKTGASLSPWAIWTRAWTAEENRHGDLLNKYLYLSGRKTIQYLIGCGMDSKFESNPYFGFIYTSFQERATFISHGNTAKLAKELGDIKLAQICGTIAADEKRHETAYVKIVEKLFEIDPDTTILAFANMMRKKVSMPAELMYDGEDYNLFNHYSAVAQRLGVYTAKDYADILEFLVRRWKVDKLTDLSGEGRRAQDFVCGLAPRFRKLVDRAQERAKRV >Manes.04G007300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:944131:946693:1 gene:Manes.04G007300.v8.1 transcript:Manes.04G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSNFPTSHSQENGGISHQIIRIPEEADQEWITSVEKKIQEIPKLLSKSAGGSSCCIFRVPQSLVEVNTKAYQPHIVSMGPYHHGRDNLNMIQEHKWRFLGAVLARTQKHGVGLSDLFKAIASMEKKIRDCYSETIEFSSHDLIEMMVLDGCFVIELFCIVGRLVQINLDDPLFNMSWILYFLMRDFLRLENQIPFFVLQTLFGLSFSDSRKENIPSLTELTLGFFDYVVQRPSEVIDSCKNLNGKHLLDFFRLTFVSKLQDHQVPRKISPFLHLIQSANKLHLAGIKFKPRETETFLDIKFSRGILEIPPLTIDDFTSSFLLNCVAYEQCCSHCSKHITTYVTFMSCLINSPVDAGYLSDHRIIENYFGTDMEVAKFFNNIGKDIAFDIQRSYLAKLFEDVNEYYRNNWHVRWAGFKYTYFNTPWSFMSALAALVLLILTIIQAFFAVYGYVHPLGNGH >Manes.09G027501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5974548:5976345:-1 gene:Manes.09G027501.v8.1 transcript:Manes.09G027501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLMFICGVCANLVEAAPISPEDLDTNTREFIALRCLELYFGMDNEVENDVPSVTVPKRTFDFSDSCEHVLNTRIDELKDPILDGTHPNAVLLIELGVLSHINDENGRITGFWSS >Manes.12G067300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6961179:6962108:-1 gene:Manes.12G067300.v8.1 transcript:Manes.12G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPHPLRAFSVGAQSNTHLAEKIADDPPTNKASQSTVTCLYQTQMSTCCCNVTVLWCKNLMNHSLNLIFNNPKGGEVYYSCKIDLKPWLFWSKKGSKSFDLEGCHVDIHWDLRSARFSGSPEPCSDYYVALVSDGEVVLLLGDYSKKAYKRTKARPALVDPILFYKKENVFAKKSFATRAKFDEKKQEHDIVVESSTTGPKDPEMWISIDGIVVIHVKNLQWKFRGNQTVMVNKQQLQVLWDVHDWLFNSPGAGHGVFLFKPGAPEVDDDKDGSSQATSSDASEGYFSTKSNPPVPEFCLFLYAWKVD >Manes.15G124500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9897612:9905809:-1 gene:Manes.15G124500.v8.1 transcript:Manes.15G124500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTSKEKSVPVLPWMRSPVDISQFEDCPFDLIPCLDPRLKVALQNLGFSSLLPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQRLSTRAVKCLRALIVLPTRDLAVQVKEVFAAIAPAVGLSVGLAVGQSSVSREISELIKRPRFEAGICYDPEDLMQGFESSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRMLREEYQSWLPTVLEMTHPNSESIMPQVNSFFPSVFGRLKTIRRCGVERGFKGKCYPRLVKMVLSATLTRDPSKLAQLDLHHPLFLTSGESRYQLPEKLESYRVICESKMKPLFLVALLQNLGGEKCIVFTSSVESTHRLCTLLNFFGDLGVKIKEYSGLQRQSVRSKTLKAFREGEIQVLVSSDAMTRGMDVEGVRNVINYDKPPYIKTYIHRAGRTARAGQAGRCFTLLHRDEVQYSC >Manes.15G124500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9897502:9905981:-1 gene:Manes.15G124500.v8.1 transcript:Manes.15G124500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTSKEKSVPVLPWMRSPVDISQFEDCPFDLIPCLDPRLKVALQNLGFSSLLPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQRLSTRAVKCLRALIVLPTRDLAVQVKEVFAAIAPAVGLSVGLAVGQSSVSREISELIKRPRFEAGICYDPEDLMQGFESSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRMLREEYQSWLPTVLEMTHPNSESIMPQVNSFFPSVFGRLKTIRRCGVERGFKGKCYPRLVKMVLSATLTRDPSKLAQLDLHHPLFLTSGESRYQLPEKLESYRVICESKMKPLFLVALLQNLGGEKCIVFTSSVESTHRLCTLLNFFGDLGVKIKEYSGLQRQSVRSKTLKAFREGEIQVLVSSDAMTRGMDVEGVRNVINYDKPPYIKTYIHRAGRTARAGQAGRCFTLLHRDEIKRFKKLLQKVDNNSCPVYTVPSSSVESLHSIYVSALEKLKETVESETSRKHKIRFKSSRTGRGKDKSSTKE >Manes.15G124500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9897472:9905981:-1 gene:Manes.15G124500.v8.1 transcript:Manes.15G124500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTSKEKSVPVLPWMRSPVDISQFEDCPFDLIPCLDPRLKVALQNLGFSSLLPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQRLSTRAVKCLRALIVLPTRDLAVQVKEVFAAIAPAVGLSVGLAVGQSSVSREISELIKRPRFEAGICYDPEDLMQGFESSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRMLREEYQSWLPTVLEMTHPNSESIMPQVNSFFPSVFGRLKTIRRCGVERGFKGKCYPRLVKMVLSATLTRDPSKLAQLDLHHPLFLTSGESRYQLPEKLESYRVICESKMKPLFLVALLQNLGGEKCIVFTSSVESTHRLCTLLNFFGDLGVKIKEYSGLQRQSVRSKTLKAFREGEIQVLVSSDAMTRGMDVEGVRNVINYDKPPYIKTYIHRAGRTARAGQAGRCFTLLHRDEIKRFKKLLQKVDNNSCPVYTVPSSSVESLHSIYVSALEKLKETVESETSRKHKIRFKSSRTGRGKDKSSTKE >Manes.15G124500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9897639:9905780:-1 gene:Manes.15G124500.v8.1 transcript:Manes.15G124500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTSKEKSVPVLPWMRSPVDISQFEDCPFDLIPCLDPRLKVALQNLGFSSLLPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQRLSTRAVKCLRALIVLPTRDLAVQVKEVFAAIAPAVGLSVGLAVGQSSVSREISELIKRPRFEAGICYDPEDLMQGFESSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRMLREEYQSWLPTVLEMTHPNSESIMPQVNSFFPSVFGRLKTIRRCGVERGFKGKCYPRLVKMVLSATLTRDPSKLAQLDLHHPLFLTSGESRYQLPEKLESYRVICESKMKPLFLVALLQNLGGEKCIVFTSSVESTHRLCTLLNFFGDLGVKIKEYSGLQRQSVRSKTLKAFREGEIQVLVSSDAMTRGMDVEGVRNVINYDKPPYIKTYIHRAGRTARAGQAGRCFTLLHRDEIKRFKKLLQKVDNNSCPVYTVPSSSVESLHSIYVSALEKLKETVESETSRKHKIRFKSSRTGRGKDKSSTKE >Manes.15G124500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9897612:9905809:-1 gene:Manes.15G124500.v8.1 transcript:Manes.15G124500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTSKEKSVPVLPWMRSPVDISQFEDCPFDLIPCLDPRLKVALQNLGFSSLLPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQRLSTRAVKCLRALIVLPTRDLAVQVKEVFAAIAPAVGLSVGLAVGQSSVSREISELIKRPRFEAGICYDPEDLMQGFESSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRMLREEYQSWLPTVLEMTHPNSESIMPQVNSFFPSVFGRLKTIRRCGVERGFKGKCYPRLVKMVLSATLTRDPSKLAQLDLHHPLFLTSGESRYQLPEKLESYRVICESKMKPLFLVALLQNLGGEKCIVFTSSVESTHRLCTLLNFFGDLGVKIKEYSGLQRQSVRSKTLKAFREGEIQVLVSSDAMTRGMDVEGVRNVINYDKPPYIKTYIHRAGRTARAGQAGRCFTLLHRDEVQYSC >Manes.15G124500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9897613:9905817:-1 gene:Manes.15G124500.v8.1 transcript:Manes.15G124500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTSKEKSVPVLPWMRSPVDISQFEDCPFDLIPCLDPRLKVALQNLGFSSLLPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQRLSTRAVKCLRALIVLPTRDLAVQVKEVFAAIAPAVGLSVGLAVGQSSVSREISELIKRPRFEAGICYDPEDLMQGFESSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRMLREEYQSWLPTVLEMTHPNSESIMPQVNSFFPSVFGRLKTIRRCGVERGFKGKCYPRLVKMVLSATLTRDPSKLAQLDLHHPLFLTSGESRYQLPEKLESYRVICESKMKPLFLVALLQNLGGEKCIVFTSSVESTHRLCTLLNFFGDLGVKIKEYSGLQRQSVRR >Manes.14G018700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1914896:1918355:-1 gene:Manes.14G018700.v8.1 transcript:Manes.14G018700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFSDFLDRPEFCDANLHGDDLFAIFESLDSVTEFPPVAPSDEVVVSSKESEEKTRLLSQKSTCSSALLESETEIETSPKSKRQKTTATSPEELVNPDGQQKISHITVERNRRKQMNEHLSALRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRVVSSPRPSPLSPRKPPLSPRLNLPISPRTPQPGSPYRPRLQQGYLSPTMASSLEPSPTSSSSSINDNNELVANSKSAIAVVEVKFSGPNVLLKTVSPMIPGQAVKIVSALEDLSLEILQVSMNTVDETMLNSFTIKIGIECQLSAEELAQQIQQTFC >Manes.14G018700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1914896:1918355:-1 gene:Manes.14G018700.v8.1 transcript:Manes.14G018700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFSDFLDRPEFCDANLHGDDLFAIFESLDSVTEFPPVAPSDEVVVSSKESEEKTRLLSQKSTCSSALLESETEIETSPKSKRQKTTATSPEELVNPDGQQKISHITVERNRRKQMNEHLSALRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRVVSSPRPSPLSPRKPPLSPRLNLPISPRTPQPGSPYRPRLQQGYLSPTMASSLEPSPTSSSSSINDNNELVANSKSAIAVVEVKFSGPNVLLKTVSPMIPGQAVKIVSALEDLSLEILQVSMNTVDETMLNSFTIKYKFHRWVLQSIQKWRV >Manes.10G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3258331:3262394:1 gene:Manes.10G032100.v8.1 transcript:Manes.10G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQASLLLQKQLKDLCKKPVDGFSAGLVDENNIFEWSVSIMGPPDTLYEGGFFSAIMSFPQNYPISPPTVRFTTEVWHPNVYPDGKVCISILHPPGDDPNGYELAIERWSPVHTVESIVLSIISMLSSPNDESPANVDAAKQWRDSKEEFRKRVSRCVRKSQEML >Manes.10G032100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3258331:3260215:1 gene:Manes.10G032100.v8.1 transcript:Manes.10G032100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQASLLLQKQLKDLCKKPVDGFSAGLVDENNIFEWSVSIMGPPDTLYEGGFFSAIMSFPQNYPISPPTVRFTTEVWHPNVYPDGKVCISILHPPGDDPNGYELAIERWSPVHTVCDAVMFFCCSIITDISN >Manes.10G117300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28254398:28260685:-1 gene:Manes.10G117300.v8.1 transcript:Manes.10G117300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAQIHVLGGIGFSSSSSRKPNFSFSPKTVFFGQNVRSQTAAFLRHSSTTRRRYSNGPLRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDDESKQVSYKVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVENSLRDAKLSFKDLDEVILVGGSTRIPAVQELVKKLTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPSDEVEKMVKEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVEAKLKELKDAISDGSTQGIKDAMAALNQEVMQLGQSLYNQPGAGGEGAGPAPGSEAGPSDSSNKGPDGDVIDADFTDSK >Manes.10G117300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28255225:28260686:-1 gene:Manes.10G117300.v8.1 transcript:Manes.10G117300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAQIHVLGGIGFSSSSSRKPNFSFSPKTVFFGQNVRSQTAAFLRHSSTTRRRYSNGPLRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDDESKQVSYKVVRDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQANISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVENSLRDAKLSFKDLDEVILVGGSTRIPAVQELVKKLTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAVDKGTGKKQDITITGASTLPSDEVEKMVKEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVEAKLKELKDAISDGSTQGIKDAMAALNQEVMQLGQSLYNQPGAGGEGAGPAPGSEAGPSDSSNKGPDGDVIDADFTDSK >Manes.03G188800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30805514:30812608:1 gene:Manes.03G188800.v8.1 transcript:Manes.03G188800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKELGGSTFDLPEEVLQVLPSDPFEQLDVARKITSIALSTRVSSLESETSALRAQLAEKDDLIADLQSQIQSLDSSLSDIADKLDRAALEKENLLNENASLSITVKKLQRDVSKLEVFRKTLMQSLQEDEENSSGGQHIIAKPTPNEDDAPLPASRSSSMRSNFSEIGNSFAEERGPDVSRPGISHRILLASQTSTPRFTPPGSPPSFSASVSPTRTSKPVSPRRHSMSFSTSRGMPDDRSSMFSSLPPSQHGSMSSTDAGSQTGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTKEETLRKADEIFGPDNKDLYAIFEGLITRK >Manes.13G063928.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8009123:8011744:1 gene:Manes.13G063928.v8.1 transcript:Manes.13G063928.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSMLLTFSSTLLFLVTSPTNAQKGASPPAPILPPTPSPAPAPAPIPPYVNLTDLLSVAGPFHTFLNYLISTKVIDTFQNQANNTEEGITIFVPKDNAFSSLKKPSLSNLTQAQLKQVILFHALPHYYSLADFKNLSQLSPVSTFAGAGEFALNFTDVSGTVHLDSGWSKTKVSSSVHSTDPVAIYQVDRVLLPEAIFGTDIPPTPAPAPAPEISSAADSPSSELTDGRHAPATSPPNSAYRIINLGWIQFVLAVSGVLVLFL >Manes.02G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8021669:8025621:-1 gene:Manes.02G102500.v8.1 transcript:Manes.02G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNGDATKSVTPKRTPPERKCAAKTAPDPAAESATPKTAETKRGAAARAKQAKNNESTPSPIISSDSKPEQSIVDEETKASNDATLVTPEAKVAPRKKRVVRKVVKVVRKTSGKTKASTAKVVSCQTSKTNESVRDQDEKSAKEEILDAKDSDATKNHECATENIGESPKKEESIDEVGEPVENVAESIAKGKAIMELEESVTEAAEENQSIAQMVGEPENKEQTAVVVDFPSNGIDEVPKNEEPSGTGKEEEVKEVTTQKINDKEENKIEAIDTEENIKGELHVEDTQPVQDEYGGDEGYEEYGDRVDFEDHGEDDFVEDDPEEPVEEAEALEEERKELTAVAKERKLKKEYEIFVGGLDREATEDDVRKVFEKIGEVVEVRLHGNLSMNKSKGYAFVKFANKEHAKRALSEMKNPVICGKRCGTAPSEDNDTLFLGNICNTWTKEAIKQKLKDYGVEGVENITLVPDVQHEGRSRGFAFLEFSCHADAMHAYKRLQKPDVIFGHPERTAKVAFAEPIREPDPEIMAHVKTVFLDGLPFYWDEDRVREHLQGYGEIVRIVLARNMSTAKRKDFGFVDFSSHEAAVACIERINNKELGDGNSKTKVKARLSNPMPKTQAVKGGMCGGFLIDRAGNGTSSRFGRNFGLGVHHFNWANFQRDRDFYQRGPGYSTRMASNEYDFNNRHSVFRGRQFFGRGGRRGPLRGGYHPAGRGVAAAGPSRYNFNRSWFDASERAHGDQASSRRQPFSPEQAFDRPYVGRHFDDPYFYDDSAHGMKRPFFMTDRDDYAQPSRLRPRLDYNDPAVSFQGTHYHDTYEGRNDPYSHGYYGPEYGAYPPYYRNGRPYGGGYYY >Manes.12G050500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4717162:4722424:-1 gene:Manes.12G050500.v8.1 transcript:Manes.12G050500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRKLSGQCNGRSSLVPLCSLPDNIVREKTEGSGRPVSPNHIPPVSLNQQVEIEKEMEMIASPPAKKQKIHAQIDPENPMEVHAYLMKLKEDNKLELGPLQRSEEMSVKEWMEKCYPHIFRVFPQNPKVFFQFSDKSKQNELLYCHYWLFLFEVRNIVLMDYGVSPDYVESLGKVIRTLEMQGFDCRFMRSEMVMVGNMMKQQQEIMLAERNMIAKRTVNLEKELQDLAKQKGKEEQESDKRGKKIEEITPNIETLSKQVNKLQYKRQREPNKLPCADLEQEYVEVILARHKRMLVCKEEEGKRLYQVLEKINQLHQNKAVCSSL >Manes.12G050500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4717137:4722424:-1 gene:Manes.12G050500.v8.1 transcript:Manes.12G050500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRKLSGQCNGRSSLVPLCSLPDNIVREKTEGSGRPVSPNHIPPVSLNQQVEIEKEMEMIASPPAKKQKIHAQIDPENPMEVHAYLMKLKEDNKLELGPLQRSEEMSVKEWMEKCYPHIFRVFPQNPKVFFQFSDKSKQNELLYCHYWLFLFEVRNIVLMDYGVSPDYVESLGKVIRTLEMQGFDCRFMRSEMVMVGNMMKQQQEIMLAERNMIAKRTVNLEKELQDLAKQKGKEEQESDKRGKKIEEITPNIETLSKQVNKLQYKRQREPNKLPCADLEQEYVEVILARHKRMLVCKEEEGKRLYQVLEKINQLHQNKAVCSSL >Manes.12G050500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4717592:4722424:-1 gene:Manes.12G050500.v8.1 transcript:Manes.12G050500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPWFHFVAFQITLFVKKQKIEKEMEMIASPPAKKQKIHAQIDPENPMEVHAYLMKLKEDNKLELGPLQRSEEMSVKEWMEKCYPHIFRVFPQNPKVFFQFSDKSKQNELLYCHYWLFLFEVRNIVLMDYGVSPDYVESLGKVIRTLEMQGFDCRFMRSEMVMVGNMMKQQQEIMLAERNMIAKRTVNLEKELQDLAKQKGKEEQESDKRGKKIEEITPNIETLSKQVNKLQYKRQREPNKLPCADLEQEYVEVILARHKRMLVCKEEEGKRLYQVLEKINQLHQNKAVCSSL >Manes.12G050500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4717592:4722424:-1 gene:Manes.12G050500.v8.1 transcript:Manes.12G050500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRKLSGQCNGRSSLVPLCSLPDNIVREKTEGSGRPVSPNHIPPVSLNQQVEIEKEMEMIASPPAKKQKIHAQIDPENPMEVHAYLMKLKEDNKLELGPLQRSEEMSVKEWMEKCYPHIFRVFPQNPKVFFQFSDKSKQNELLYCHYWLFLFEVRNIVLMDYGVSPDYVESLGKVIRTLEMQGFDCRFMRSEMVMVGNMMKQQQEIMLAERNMIAKRTVNLEKELQDLAKQKGKEEQESDKRGKKIEEITPNIETLSKQVNKLQYKRQREPNKLPCADLEQEYVEVILARHKRMLVCKEEEGKRLYQVLEKINQLHQNKAVCSSL >Manes.12G050500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4717592:4722424:-1 gene:Manes.12G050500.v8.1 transcript:Manes.12G050500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRKLSGQCNGRSSLVPLCSLPDNIVREKTEGSGRPVSPNHIPPVSLNQQVEIEKEMEMIASPPAKKQKIHAQIDPENPMEVHAYLMKLKEDNKLELGPLQRSEEMSVKEWMEKCYPHIFRVFPQNPKVFFQFSDKSKQNELLYCHYWLFLFEVRNIVLMDYGVSPDYVESLGKVIRTLEMQGFDCRFMRSEMVMVGNMMKQQQEIMLAERNMIAKRTVNLEKELQDLAKQKGKEEQESDKRGKKIEEITPNIETLSKQVNKLQYKRQREPNKLPCADLEQEYVEVILARHKRMLVCKEEEGKRLYQVLEKINQLHQNKAVCSSL >Manes.12G050500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4717243:4722424:-1 gene:Manes.12G050500.v8.1 transcript:Manes.12G050500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRKLSGQCNGRSSLVPLCSLPDNIVREKTEGSGRPVSPNHIPPVSLNQQVEIEKEMEMIASPPAKKQKIHAQIDPENPMEVHAYLMKLKEDNKLELGPLQRSEEMSVKEWMEKCYPHIFRVFPQNPKVFFQFSDKSKQNELLYCHYWLFLFEVRNIVLMDYGVSPDYVESLGKVIRTLEMQGFDCRFMRSEMVMVGNMMKQQQEIMLAERNMIAKRTVNLEKELQDLAKQKGKEEQESDKRGKKIEEITPNIETLSKQVNKLQYKRQREPNKLPCADLEQEYVEVILARHKRMLVCKEEEGKRLYQVLEKINQLHQNKAVCSSL >Manes.12G050500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4717592:4722424:-1 gene:Manes.12G050500.v8.1 transcript:Manes.12G050500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRKLSGQCNGRSSLVPLCSLPDNIVREKTEGSGRPVSPNHIPPVSLNQQVEIEKEMEMIASPPAKKQKIHAQIDPENPMEVHAYLMKLKEDNKLELGPLQRSEEMSVKEWMEKCYPHIFRVFPQNPKVFFQFSDKSKQNELLYCHYWLFLFEVRNIVLMDYGVSPDYVESLGKVIRTLEMQGFDCRFMRSEMVMVGNMMKQQQEIMLAERNMIAKRTVNLEKELQDLAKQKGKEEQESDKRGKKIEEITPNIETLSKQVNKLQYKRQREPNKLPCADLEQEYVEVILARHKRMLVCKEEEGKRLYQVLEKINQLHQNKAVCSSL >Manes.02G072000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5499020:5500208:1 gene:Manes.02G072000.v8.1 transcript:Manes.02G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMSTPFTGNWRRYWRRKRYQKLDGGLTGRKNTKMVRFGGGRPRRSAWKIRPKLKILKIAAASPLKLVRKVKNAYVDMMLNLAGSVGFLNDDKVFGNKRIPKARQAAIAASYSNEEFEARLVYEIYKALKATK >Manes.01G179000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35848593:35851279:-1 gene:Manes.01G179000.v8.1 transcript:Manes.01G179000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNQASGFRYNPNSNTMGVGDGDSEEPGILEIYVHHARNIHNICIYDNQDVYAKFSLTYNPDETHSTRIINGGGKNPVFNEKLEIKVAQLDAVLKCEIWMLSRARNYMEDQLLGFALVPISQVVGKGKVTHDYSLSSTDLFHSPAGTVQLSLSVNTSLPLNPSAAAANSSISAEVVLLDRKISEVILDPVEYSRIEFPDINAVTENQQMVSEYFDGLGCRPGSFLHLGASPPVIDYEMAINSSEENQGGSTSPNGSIQNSGFLSSTTTSLSDDRNSSDSIDKKGRLGSNFSNSLNVSVTTDANHGSSTCPDTPTSKKGGEVREEKDDEEENKEGTINSGKFGQVFSSPLGNINLEAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKPESEETGDLIQSHSNKLELEKKQKKDGSRVFYGSRAFF >Manes.01G179000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35848593:35851203:-1 gene:Manes.01G179000.v8.1 transcript:Manes.01G179000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNQASGFRYNPNSNTMGVGDGDSEEPGILEIYVHHARNIHNICIYDNQDVYAKFSLTYNPDETHSTRIINGGGKNPVFNEKLEIKVAQLDAVLKCEIWMLSRARNYMEDQLLGFALVPISQVVGKGKVTHDYSLSSTDLFHSPAGTVQLSLSVNTSLPLNPSAAAANSSISAEVVLLDRKISEVILDPVEYSRIEFPDINAVTENQQMVSEYFDGLGCRPGSFLHLGASPPVIDYEMAINSSEENQGGSTSPNGSIQNSGFLSSTTTSLSDDRNSSDSIDKKGRLGSNFSNSLNVSVTTDANHGSSTCPDTPTSKKGGEVREEKDDEEENKEGTINSGKFGQVFSSPLGNINLEAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKPESEETGDLIQSHSNKLELEKKQKKDGSRVFYGSRAFF >Manes.07G110600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31648311:31648748:1 gene:Manes.07G110600.v8.1 transcript:Manes.07G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTKKLIKLARKWQKLAAIKRKRIALPQTTGTADTSSSPMAEKGHFAVYTVDKKRFLLPLEYLNNEMIQELFNMAEEEFGLQSKGPLSLPCDAELMEYAITLIKQKVTRDVENAFLMSISSHCFSPSFCHQDQVSSNQFPICSF >Manes.04G052500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10555582:10575221:-1 gene:Manes.04G052500.v8.1 transcript:Manes.04G052500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQSSKIEEDKALQLCRERKKFVRQALDGRCSLAAAHVSYVQSLKNTGTALRKFIEPEAPLESSLYTSTNATPEPLALTEKSISHFSISSRSLSHPVDATENLSPSPSPPSSSRFQANYMKFRGFSSKKVEEAPPVVVTGTVTSSSTPQNITPRSTEKPESSPFEASSVPPGTPPWDYFGLFHPIDHQFSSQEGREMKSGLENVDDIRQLREEEGIPELEDEEGEHSFHASEEFEDSEDEFDDPPADTLVRSFENLNRVQDHVAPSASPAMPAGSVNSETELVNGEKSNSPDLSPLRTPSPAVAVSSETKKKPVKEERSESKVSPKDFFSSIKDIEYLFIKASEAGKEVPRMLEANKLHFRPIFPGKENGSLASTFLKACFSCGEDPSQVQEEPAQNSVKYLTWHRTTSSRSSSSRNPLGSNAKDDSASADLTANIFENFCMISGSHASTLDRLYAWERKLYDEVKASWMVRREYDVKRTILRQLESKGENSNKIDKTRAVVKDLHSRIRVAIHRIDSISKRIEELRDKELQPQLEELIDGLSRMWEVMFECHKLQFNIISVAYTNGTAKISIQSESHRQVTILLENELDSLSSCFAKWIGSQKSYLQAINEWLFKCVLLPEKPTKKKRKQPNPSLTLRRNGPPIYVTCGAWLEKLEALPAKQVGDAMKGLVGETAHLLPRQEKNQGKNANLGSWKADNGSDSGINMLRDEASEDCISGFEHFQSSLEGFLGQLNNFAECSVRMYGELQKAIRDAKNPPPQYPLEPQVV >Manes.04G052500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10555582:10575231:-1 gene:Manes.04G052500.v8.1 transcript:Manes.04G052500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQSSKIEEDKALQLCRERKKFVRQALDGRCSLAAAHVSYVQSLKNTGTALRKFIEPEAPLESSLYTSTNATPEPLALTEKSISHFSISSRSLSHPVDATENLSPSPSPPSSSRFQANYMKFRGFSSKKVEEAPPVVVTGTVTSSSTPQNITPRSTEKPESSPFEASSVPPGTPPWDYFGLFHPIDHQFSSQEGREMKSGLENVDDIRQLREEEGIPELEDEEGEHSFHASEEFEDSEDEFDDPPADTLVRSFENLNRVQDHVAPSASPAMPAGSVNSETELVNGEKSNSPDLSPLRTPSPAVAVSSETKKKPVKEERSESKVSPKDFFSSIKDIEYLFIKASEAGKEVPRMLEANKLHFRPIFPGKENGSLASTFLKACFSCGEDPSQVQEEPAQNSVKYLTWHRTTSSRSSSSRNPLGSNAKDDSASADLTANIFENFCMISGSHASTLDRLYAWERKLYDEVKASWMVRREYDVKRTILRQLESKGENSNKIDKTRAVVKDLHSRIRVAIHRIDSISKRIEELRDKELQPQLEELIDGLSRMWEVMFECHKLQFNIISVAYTNGTAKISIQSESHRQVTILLENELDSLSSCFAKWIGSQKSYLQAINEWLFKCVLLPEKPTKKKRKQPNPSLTLRRNGPPIYVTCGAWLEKLEALPAKQVGDAMKGLVGETAHLLPRQEKNQGKNANLGSWKADNGSDSGINMLRDEASEDCISGFEHFQSSLEGFLGQLNNFAECSVRMYGELQKAIRDAKNPPPQYPLEPQVV >Manes.06G036850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:10940405:10941094:-1 gene:Manes.06G036850.v8.1 transcript:Manes.06G036850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESSLLNWGIKRVFTVIVDNASSNDVAISYLKKKINTWGFSILNCKYLHMRCIAHIINLVVVDGMKDGLTPIKKVRDAVRYIKQSPARLQRFKACCEMEGIQSKSSLCLDVSTRWNSTYLMLSSALKFENAFDRYATVDPYFKIDLQSCEGNGVPDSLEWEYIGKIVEFLGHFYELTLRIYGSRYVTSNIFFDEISSVDCLLQEWKSSNDLELSCMEEKMKLKFDKY >Manes.18G040600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3601344:3617194:1 gene:Manes.18G040600.v8.1 transcript:Manes.18G040600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSSPSPSPSPASAEDCCVKVAVHVRPLIGDERAQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSTGSSSSAMFEECIAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNVLFSKIENLKHQTEFQLHVSFIEILKEEVRDLLDPSSLNKSDAANGHTGKVNVPGKPPIQIRETSNGVITLAGSTEISVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPLFPGDNCTNESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLRMRQQLEYLQAELCSRGGGTSSDEVQVLKERIAWLEAANEDLCRELHEFRSSCTAVNQRETDAKDGSTCYVKSDGLKRSLHSIESTDYQMGETMPGDSREIDEEVAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGVDTVVLKQHFGKKIMELEDEKKAVQQERDRLLAEIENLSASSDGQTQKLQDIHAQKLKALEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAFANGNGTNGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPRGKNGFARASSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFTIRGRWNQLRSMGDAKNLLQYMFNSLADARLAMKLPTIH >Manes.18G040600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3601344:3617194:1 gene:Manes.18G040600.v8.1 transcript:Manes.18G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSSPSPSPSPASAEDCCVKVAVHVRPLIGDERAQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSTGSSSSAMFEECIAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNVLFSKIENLKHQTEFQLHVSFIEILKEEVRDLLDPSSLNKSDAANGHTGKVNVPGKPPIQIRETSNGVITLAGSTEISVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPLFPGDNCTNESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLRMRQQLEYLQAELCSRGGGTSSDEVQVLKERIAWLEAANEDLCRELHEFRSSCTAVNQRETDAKDGSTCYVKSDGLKRSLHSIESTDYQMGETMPGDSREIDEEVAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGVDTVVLKQHFGKKIMELEDEKKAVQQERDRLLAEIENLSASSDGQTQKLQDIHAQKLKALEAQILDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAFANGNGTNGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPRGKNGFARASSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFTIRGRWNQLRSMGDAKNLLQYMFNSLADARCHIWEKEMEIKEMKEQFKELVGLLRQSEMQRKEVEKELKLREQAVSIALASSASACNETPHNSLKHFADDMSGPLSPMSVPAQKQLKYTPGIANGSVRQSAAFIDQTRKMVPLGQLSMRKLAVAGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSEWIRHSDETIIRAKHRPHALARVI >Manes.15G176100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19147465:19150207:1 gene:Manes.15G176100.v8.1 transcript:Manes.15G176100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEEKSAPSTAEDGIKYRGIKAMPFIIGNETFEKLGTIGTLANLLVYLTSVFNMKNITATLLINVFNGTSNVAPLVGAFLSDSYFGRYKSLAFASICSLLGMTVLTLTAAISKLHPPKCDAKQSGTCVEPTACQFAFLLSGFGFLVLGAGGIRPCNLAFGADQFNPATESGKQGINSFFNWYYFTFTFAMMISATFIVYIQSNISWTIGLAIPACLMFMSCALFFLGSKLYVKVKPEGSPITSLLQVLVAAAKKRRLDLPDNPALSLFDYIPANSINSRLLYTNQFRWLSKSAITTSEDQINFNGSAANPWKLCSIQQVEEAKCVLRVIPIWASAIIYFVAIIQQQTYVVFQALQSDRRLGNTGFQVPAASFIVFSMLSLTIWIPIYDRILVPSCRKLTGKEGGITILQRMGIGIVLSIITMLVSAVVEERRRHLALTTLTLGTAPKGGAISSMSALWLAPQLTLAGLTEAFNSIGQIEFYYKQFPENMRSVAGSFLFLGIAGSSYVSGFLVSIVHHITARSPGEDWLAEDLNKGKLDRFYYMIAALGVVNFGYFLTFAKWYRYKDSNCSSFELSLEAKQQSQKHLV >Manes.16G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:812803:816779:-1 gene:Manes.16G008200.v8.1 transcript:Manes.16G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLANGISSFSPQPISDSAKLSKPFPFPLKSTVPRTPRPANLVKIRADVGFESKTIDTDSGNRASTSISSSDDKIREILRNRDYDKKFGFNMDIDSFSIPKGLSKETVRLISSLKEEPHWMLEFRLNAFDKFLKMKEPKWSDNMYPPIDFQDICYYSAPKKKPTLNSLDEADPELLMYFDRLGVPLNERNRLANVAVDAVLDSVSIATTHRQTLEKAGVIFCSISEAIKKYPDLVRKYLGRVVPSEDNYYAALNSAVFSDGSFCYIPKDTKCPMQISTYFRINAMETGQFERTLIVAEDRSFVEYLEGCTAPSYDTNQLHAAVVELYCAEGAEIKYSTVQNWYAGDEQGKGGIYNFVTKRGLCAGDRSKISWTQVETGSAITWKYPSVVLEGDDTVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISAGNSRNCYRGLVQIQSKADNARNSSQCDSMLIGDTAAANTYPYIQVKNPTARVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCQDVFNELPDEFGAEVNQLMSLKLEGSVG >Manes.01G166900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35028658:35030987:-1 gene:Manes.01G166900.v8.1 transcript:Manes.01G166900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRPNSAAVVAEADDLLIEILARLPVKPLLKFKCVSKRWLSLISNPQLSFSHSQFHRGLKPSPTAILINDFYYSTPDFRIIPLDPSATQKVELSLDFLNFPRISILQSCNGLLLLSSSFLVSPSEMFLPDYGRSVHRSSSVVTEDDRGIAYLLCNPTTRQFKKLSFPSPLKEKMRIPYPLLNYQHRVVFVCFAFDPKRSPYYKIIVMIKLNKSFVEIYIYSSETDTWTSRTTDVTDLCMDIEFSPIGFHNGFYCNGAIHWYRKVGHPSAVYFDLDNEIFKKMALPPELDVWYFGESDGHLYVIGGLVDLFLPLDYVVLEMAADYSEWFVRYHINLQSMREESSELSWKVCEVLSIICAKDEKESVVVVLVDGVVMSYKVSDGTSKRIFDLEWKSLFVACTQDHTNPYQYVETIHCF >Manes.01G166900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35028722:35030967:-1 gene:Manes.01G166900.v8.1 transcript:Manes.01G166900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRPNSAAVVAEADDLLIEILARLPVKPLLKFKCVSKRWLSLISNPQLSFSHSQFHRGLKPSPTAILINDFYYSTPDFRIIPLDPSATQKVELSLDFLNFPRISILQSCNGLLLLSSSFLVSPSEMFLPDYGRSVHRSSSVVTEDDRGIAYLLCNPTTRQFKKLSFPSPLKEKMRIPYPLLNYQHRVVFVCFAFDPKRSPYYKIIVMIKLNKSFVEIYIYSSETDTWTSRTTDVTDLCMDIEFSPIGFHNGFYCNGAIHWYRKVGHPSAVYFDLDNEIFKKMALPPELDVWYFGESDGHLYVIGGLVDLFLPLDYVVLEMAADYSEWFVRYHINLQSMREESSELSWKVCEVLSIICAKDEKESVVVVLVDGVVMSYKVSDGTSKRIFDLEWKSLFVACTQDHTNPYQYVETIHCF >Manes.01G166900.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35028744:35030967:-1 gene:Manes.01G166900.v8.1 transcript:Manes.01G166900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRPNSAAVVAEADDLLIEILARLPVKPLLKFKCVSKRWLSLISNPQLSFSHSQFHRGLKPSPTAILINDFYYSTPDFRIIPLDPSATQKVELSLDFLNFPRISILQSCNGLLLLSSSFLVSPSEMFLPDYGRSVHRSSSVVTEDDRGIAYLLCNPTTRQFKKLSFPSPLKEKMRIPYPLLNYQHRVVFVCFAFDPKRSPYYKIIVMIKLNKSFVEIYIYSSETDTWTSRTTDVTDLCMDIEFSPIGFHNGFYCNGAIHWYRKVGHPSAVYFDLDNEIFKKMALPPELDVWYFGESDGHLYVIGGLVDLFLPLDYVVLEMAADYSEWFVRYHINLQSMREESSELSWKVCEVLSIICAKDEKESVVVVLVDGVVMSYKVSDGTSKRIFDLEWKSLFVACTQDHTNPYQYVETIHCF >Manes.01G166900.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35028723:35030967:-1 gene:Manes.01G166900.v8.1 transcript:Manes.01G166900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRPNSAAVVAEADDLLIEILARLPVKPLLKFKCVSKRWLSLISNPQLSFSHSQFHRGLKPSPTAILINDFYYSTPDFRIIPLDPSATQKVELSLDFLNFPRISILQSCNGLLLLSSSFLVSPSEMFLPDYGRSVHRSSSVVTEDDRGIAYLLCNPTTRQFKKLSFPSPLKEKMRIPYPLLNYQHRVVFVCFAFDPKRSPYYKIIVMIKLNKSFVEIYIYSSETDTWTSRTTDVTDLCMDIEFSPIGFHNGFYCNGAIHWYRKVGHPSAVYFDLDNEIFKKMALPPELDVWYFGESDGHLYVIGGLVDLFLPLDYVVLEMAADYSEWFVRYHINLQSMREESSELSWKVCEVLSIICAKDEKESVVVVLVDGVVMSYKVSDGTSKRIFDLEWKSLFVACTQDHTNPYQYVETIHCF >Manes.01G166900.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35028723:35030967:-1 gene:Manes.01G166900.v8.1 transcript:Manes.01G166900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRPNSAAVVAEADDLLIEILARLPVKPLLKFKCVSKRWLSLISNPQLSFSHSQFHRGLKPSPTAILINDFYYSTPDFRIIPLDPSATQKVELSLDFLNFPRISILQSCNGLLLLSSSFLVSPSEMFLPDYGRSVHRSSSVVTEDDRGIAYLLCNPTTRQFKKLSFPSPLKEKMRIPYPLLNYQHRVVFVCFAFDPKRSPYYKIIVMIKLNKSFVEIYIYSSETDTWTSRTTDVTDLCMDIEFSPIGFHNGFYCNGAIHWYRKVGHPSAVYFDLDNEIFKKMALPPELDVWYFGESDGHLYVIGGLVDLFLPLDYVVLEMAADYSEWFVRYHINLQSMREESSELSWKVCEVLSIICAKDEKESVVVVLVDGVVMSYKVSDGTSKRIFDLEWKSLFVACTQDHTNPYQYVETIHCF >Manes.01G166900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35028744:35030908:-1 gene:Manes.01G166900.v8.1 transcript:Manes.01G166900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRPNSAAVVAEADDLLIEILARLPVKPLLKFKCVSKRWLSLISNPQLSFSHSQFHRGLKPSPTAILINDFYYSTPDFRIIPLDPSATQKVELSLDFLNFPRISILQSCNGLLLLSSSFLVSPSEMFLPDYGRSVHRSSSVVTEDDRGIAYLLCNPTTRQFKKLSFPSPLKEKMRIPYPLLNYQHRVVFVCFAFDPKRSPYYKIIVMIKLNKSFVEIYIYSSETDTWTSRTTDVTDLCMDIEFSPIGFHNGFYCNGAIHWYRKVGHPSAVYFDLDNEIFKKMALPPELDVWYFGESDGHLYVIGGLVDLFLPLDYVVLEMAADYSEWFVRYHINLQSMREESSELSWKVCEVLSIICAKDEKESVVVVLVDGVVMSYKVSDGTSKRIFDLEWKSLFVACTQDHTNPYHLHLLQRNFDSQVRRRGF >Manes.01G166900.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35028723:35030967:-1 gene:Manes.01G166900.v8.1 transcript:Manes.01G166900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRPNSAAVVAEADDLLIEILARLPVKPLLKFKCVSKRWLSLISNPQLSFSHSQFHRGLKPSPTAILINDFYYSTPDFRIIPLDPSATQKVELSLDFLNFPRISILQSCNGLLLLSSSFLVSPSEMFLPDYGRSVHRSSSVVTEDDRGIAYLLCNPTTRQFKKLSFPSPLKEKMRIPYPLLNYQHRVVFVCFAFDPKRSPYYKIIVMIKLNKSFVEIYIYSSETDTWTSRTTDVTDLCMDIEFSPIGFHNGFYCNGAIHWYRKVGHPSAVYFDLDNEIFKKMALPPELDVWYFGESDGHLYVIGGLVDLFLPLDYVVLEMAADYSEWFVRYHINLQSMREESSELSWKVCEVLSIICAKDEKESVVVVLVDGVVMSYKVSDGTSKRIFDLEWKSLFVACTQDHTNPYQYVETIHCF >Manes.06G162800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29025581:29027092:-1 gene:Manes.06G162800.v8.1 transcript:Manes.06G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFMNTLKATLPHVSKDLFPRYNNLNKSKAFLPITKKHFSPTPRRSSSSVSSLTPQLDQTLLDYQEDDRPLSEIWKEIQGFNDWEGLLDPMNSHLRKEIIRYGEFAQACYDSFDFDPHSKYCGACKYQGGHFFDKLDMQGHGYKISRYLYATSNINLPYFFQKSKLNSVWSVHANWMGYVAVTTDEGEIKRLGRRDIIIAWRGTVTYLEWIYDLKDFLCSANFTNDPSIKIESGFYDLYTKKENSCKYCSFSAREQVLAEIKRLLDYYRGEEISITITGHSLGAALAILSAYDIAEMRLNYMDHEESKNRIPITVYSFAGPRVGNLKFKERCEELGVKVLRVINVHDKVPTVPGIFANEKFQYQKYVEETMSFPWSYAHVGVELALDHTQSPFLKPTNDLGCAHNQEVHLHLVDGYHGKGRRFCLATKRDIALVNKSCDFLRSEYGVPPHWRQDENKGLVRNREGRWVVPERPRVEAHPEDTAHHLEQVLKIASSSQVEAI >Manes.01G010200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3244123:3253220:-1 gene:Manes.01G010200.v8.1 transcript:Manes.01G010200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKDGKVSGIIPGNEAFAVHYPGYPSSMSRAIQTLGGTQAILKARSSQSNKLELFFRPEDPYSHPTFGELRACSNLLLKISKKKSSSSINSPNSANKSEAESCVETEVCADIVARIPEAYHFDGMVDYQHVVAVHADAARRKKRNWTEMEEPHFEKAGLMDFDQEDVMILLPPLFTTKDFPVNLVLKPPAIASSKKKQEEAVESHVEMNLEPALAIDFNIKEIPKEINWKANIIQGTDLWGWQMAVSDLFEERPIWPKDALTDRLLDKNLKFTHQTLRRLLLAVAYYFSGGPFLRFWIKKGYDPRKDPESRIYQRIDFRVPPSLRSNSDANAANSLKQKWEDLCKFQVFPYKFQTSLQLSELDDDYIQQEIRKPPKQTTCTYGTGWFTQHVHDSFRHRVMVRFLSVYPKPGAAKLLKATSEDFEKSKRACIFKDGLKPDQQQQRISKEVIVDEANENQNNVDEANENQNNVDESEMDDIEVDDPEEELDAYEELDLAGEDDETSFQSHSFLYYLGIDLEDNSRSYLQELFDSFQPVDGSGDQLQDADTSDGEYQIYEQDDDCGNPDDDDD >Manes.01G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3244123:3253220:-1 gene:Manes.01G010200.v8.1 transcript:Manes.01G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKDGKVSGIIPGNEAFAVHYPGYPSSMSRAIQTLGGTQAILKARSSQSNKLELFFRPEDPYSHPTFGELRACSNLLLKISKKKSSSSINSPNSANKSEAESCVETEVCADIVARIPEAYHFDGMVDYQHVVAVHADAARRKKRNWTEMEEPHFEKAGLMDFDQEDVMILLPPLFTTKDFPVNLVLKPPAIASSKKKQEEAVESHVEMNLEPALAIDFNIKEIPKEINWKANIIQGTDLWGWQMAVSDLFEERPIWPKDALTDRLLDKNLKFTHQTLRRLLLAVAYYFSGGPFLRFWIKKGYDPRKDPESRIYQRIDFRVPPSLRSNSDANAANSLKQKWEDLCKFQVFPYKFQTSLQLSELDDDYIQQEIRKPPKQTTCTYGTGWFTQHVHDSFRHRVMVRFLSVYPKPGAAKLLKATSEDFEKSKRACIFKDGLKPDQQQQRISKEVIVDEANENQNNVDEANENQNNVDESEMDDIEVDDPEEELDAYEELDLAGEDDETSFQSHSYLEDNSRSYLQELFDSFQPVDGSGDQLQDADTSDGEYQIYEQDDDCGNPDDDDD >Manes.09G076357.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13121386:13126644:-1 gene:Manes.09G076357.v8.1 transcript:Manes.09G076357.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFRYQTIVTLKSEQEDCVLFSNIFSEQWDKYSKSLRILNFNPKVFSYRQFGSVSSIYWSSFHL >Manes.02G078400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6033853:6035992:-1 gene:Manes.02G078400.v8.1 transcript:Manes.02G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCIFTLFLFFSLLSLSLSTQETKYNTTSISLSFPLKSLSRSPNASPSFHSSFVSQTKQNPPIKTLPYNYRSTFKYSMALIVSLPIGTPPQTQQMVLDTGSQLSWIQCHHKAPKRPPPTTAFDPSLSSSFSVLPCTHPLCKPRIPDFTLSTSCDQNRLCHYSYFYADGTYAEGSLVREKFTFSRSQSTPPLILGCAQDAGDDKGILGMNLGPRSFVSQAKISKFSYCVPTRQTRTGLSTGSFYLGENPNSGGFQYLSLLTFTQSQKSSPNLDPLAYTVPMQGIRIGNRRLNIPVSAFRPDSSGSGQTMIDSGSEFTYLVDAAYNKVREEIVRLAGPKLKKNYVYGGVSDMCFDGNPMEIGRLIGNMVFEFEKGVEIVIDKERVLADVGGGVHCIGIGRSEMLNAASNIIGNFHQQNLWVEFDVANRRVGLGKADCSRLA >Manes.15G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6530557:6531524:-1 gene:Manes.15G084900.v8.1 transcript:Manes.15G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSVVKFQRQFLTRASQTQMTMNRVIKPCDVFINHRGIDTKRTVATLLYDHLSRLALRPFLDNKNMKPGDKLFENINTAIRQCKIGVAVLSPRYCDSYFCLHELALIMESKKKVIPIFCDIKPSQLRIVDKGLCSAKEMQRFNWALEETKYTVGLTFDSLKGNWSEVVTNASDIVIRSLIEMENEKQMSRRKIPMPA >Manes.17G113300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32030698:32040049:1 gene:Manes.17G113300.v8.1 transcript:Manes.17G113300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAFFHSSSLPRTILLPFFHRQTGLRTSIFCFKQLSSTPRFSSTSKPSTVVVHSQAKRGSLFKEGEVASPSDLHFEAPLKIVEYPDPILRAKSKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVNKYSKKMVLFNEGCLSFPRIYADVERPESVKIDARDINGARFTVNLSGLPARVFQHEFDHLQGILFFDRMTEEVLDSIRGDLQALENKYEDKTGLPSPERIETRKRKKVAVGFGKS >Manes.17G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32030698:32034171:1 gene:Manes.17G113300.v8.1 transcript:Manes.17G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAFFHSSSLPRTILLPFFHRQTGLRTSIFCFKQLSSTPRFSSTSKPSTVVVHSQAKRGSLFKEGEVASPSDLHFEAPLKIVEYPDPILRAKSKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVNKYSKKMVLFNEGCLSFPRIYADVERPESVKIDARDINGARFTVNLSGLPARVFQHEFDHLQGILFFDRMTEEVLDSIRGDLQALENKYEDKTGLPSPERIETRKRKKVAVGFGKS >Manes.17G113300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32030698:32034171:1 gene:Manes.17G113300.v8.1 transcript:Manes.17G113300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAAFFHSSSLPRTILLPFFHRQTGLRTSIFCFKQLSSTPRFSSTSKPSTVVVHSQAKRGSLFKEGEVASPSDLHFEAPLKIVEYPDPILRAKSKRIDTFDENLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPVGERGEGEEIVLVNPRVNKYSKKMVLFNEGCLSFPRIYADVERPESVKIDARDINGARFTVNLSGLPARVFQHEFDHLQGILFFDRMTEEVLDSIRGDLQALENKYEDKTGLPSPERIETRKRKKVAVGFGKS >Manes.18G087101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8024235:8027663:1 gene:Manes.18G087101.v8.1 transcript:Manes.18G087101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITHKRSLHHVSVLVTVVQFLVHINHGCIERERLALLSIKHDLIDDYGVLSSWGIEEDKGDCCKWTGISCSNRTGHITMLDLRFGLLKGKISHSLLELRHLTHLDLSFNNFGGTHFPADNNCSLSELRYLDLYHANFSGTISPLLANLSSLQYLNMSYNHFHDLTNIDWLGLSSLSYLVLSGNPLVNPSDWLQIVNKLPHLESLILSSCFSGDVIPPTLSPINSSSSLSSLVLSNNNLVIRSMHPWLSNISQNIVQLDLSSNLLQGPILAEIGNMISLELLDLANTSLVGGIPRSFGNMSRLAALDLSNNNLGVPLSHLIQNLSGYAEKSLVLLWVSGARLTGLLPDLTRFSSLRDLELGNNLLNGTIDKSIGRLSKLEILDLSWNSLNGLISEDHFSNLSILKELDLSGNFLIWNVSLNWVPPFHLGKINLRSNNLGPHFPKWLRSQKNYSSLDISDSGISDSIPWWFWDSYSTYVHSYLNISHNNFSGTLPHISFSRTYFSNYLVIDISSNHFNGPLPLPLSVDSPFIYLDLSRNLFSGIIPDRLIRGKGLVFLNLANNNLIGKIPAAIGSLSELETLNLGNNALSGPLPLALKNCTKLRFMDLSGNELSGNVPTWIGESLTSLQYLSLRSNQFYGSMPSQVCQLKHVQILDLSVNNITGTIPYCLKNLSAMAHRDSTKSIHHVYNYRKTFRLFSYIDTALVLWKGQNYKSDKNLGQFRIIDLSSNKIGGEIPREISSLSQIKQLNLSNNKLLGSIPEEIGCLKELESLDLSHNHLSGRLPASMADLNFLNTLNLSYNSLSGRIPSSTQLQSFNASSFSNNLALCGLPLPQNCTEDGIPDPQPNHNGRYNQEDGDDFWKWYYTGMGVGFVVGFWGVSSTLLLKRSCRHAFFKLLDKFGDWVYVKKAFCKRRLQQKFHG >Manes.09G088066.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24723737:24743275:1 gene:Manes.09G088066.v8.1 transcript:Manes.09G088066.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTPGKINHFLCPKVCVPMMQNKVVVAVSNAFFSYNSCKWPVPSKHILSNNNCWAPILTRRRLGPVGSRVRSCYGNSKFLNPQSEKHEVRVLKQKMELLGINCDDSCIPGNYGHLLCPKCEGGRSMEKSLSVHINRDADLAMWRCYRTCCGWTGQAFANGRVTNEGMNIIFKVSSPRQITAEGMVLEPLGEKLIAYFGDRMISEETLRRNSVMQMAGDQGIIAFTYRQKGVLIGCKYRTIEKNFWQDKGTEKWLYGLDDIKEVTEIVIVEGEIDKLSVEEAGFFNCVSVPGGAPQTVSTKDIPSLEKDKAYQYLWNCKEYLDKVSRIILATDGDASGQALAEELARRLGKERCWLVRWPKKDHSCCFKDANEVLKCLGPSALKEVIETAESYEVCTMNQVI >Manes.09G088066.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24723735:24743396:1 gene:Manes.09G088066.v8.1 transcript:Manes.09G088066.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTPGKINHFLCPKVCVPMMQNKVVVAVSNAFFSYNSCKWPVPSKHILSNNNCWAPILTRRRLGPVGSRVRSCYGNSKFLNPQSANGNGLEKHEVRVLKQKMELLGINCDDSCIPGNYGHLLCPKCEGGRSMEKSLSVHINRDADLAMWRCYRTCCGWTGQAFANGRVTNEGMNIIFKVSSPRQITAEGMVLEPLGEKLIAYFGDRMISEETLRRNSVMQMAGDQGIIAFTYRQKGVLIGCKYRTIEKNFWQDKGTEKWLYGLDDIKEVTEIVIVEGEIDKLSVEEAGFFNCVSVPGGAPQTVSTKDIPSLEKDKAYQYLWNCKEYLDKVSRIILATDGDASGQALAEELARRLGKERCWLVRWPKKDHSCCFKDANEVLKCLGPSALKEVIETAESYEVCTMNQVI >Manes.09G088066.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24723865:24743275:1 gene:Manes.09G088066.v8.1 transcript:Manes.09G088066.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTPGKINHFLCPKVCVPMMQNKVVVAVSNAFFSYNRRRLGPVGSRVRSCYGNSKFLNPQSANGNGLEKHEVRVLKQKMELLGINCDDSCIPGNYGHLLCPKCEGGRSMEKSLSVHINRDAWQWDLRRDLAMWRCYRTCCGWTGQAFANGRVTNEGMNIIFKVSSPRQITAEGMVLEPLGEKLIAYFGDRMISEETLRRNSVMQMAGDQGIIAFTYRQKGVLIGCKYRTIEKNFWQDKGTEKWLYGLDDIKEVTEIVIVEGEIDKLSVEEAGFFNCVSVPGGAPQTVSTKDIPSLEKDKAYQYLWNCKEYLDKVSRIILATDGDASGQALAEELARRLGKERCWLVRWPKKDHSCCFKDANEVLKCLGPSALKEVIETAESYEVCTMNQVI >Manes.09G088066.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24723735:24743396:1 gene:Manes.09G088066.v8.1 transcript:Manes.09G088066.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTPGKINHFLCPKVCVPMMQNKVVVAVSNAFFSYNRRRLGPVGSRVRSCYGNSKFLNPQSANGNGLEKHEVRVLKQKMELLGINCDDSCIPGNYGHLLCPKCEGGRSMEKSLSVHINRDADLAMWRCYRTCCGWTGQAFANGRVTNEGMNIIFKVSSPRQITAEGMVLEPLGEKLIAYFGDRMISEETLRRNSVMQMAGDQGIIAFTYRQKGVLIGCKYRTIEKNFWQDKGTEKWLYGLDDIKEVTEIVIVEGEIDKLSVEEAGFFNCVSVPGGAPQTVSTKDIPSLEKDKAYQYLWNCKEYLDKVSRIILATDGDASGQALAEELARRLGKERCWLVRWPKKDHSCCFKDANEVLKCLGPSALKEVIETAESYEVCTMNQVI >Manes.09G088066.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24723737:24743275:1 gene:Manes.09G088066.v8.1 transcript:Manes.09G088066.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTPGKINHFLCPKVCVPMMQNKVVVAVSNAFFSYNSCKWPVPSKHILSNNNCWAPILTRRRLGPVGSRVRSCYGNSKFLNPQSANGNGLEKHEVRVLKQKMELLGINCDDSCIPGNYGHLLCPKCEGGRSMEKSLSVHINRDADLAMWRCYRTCCGWTGQAFANGRVTNEGMNIIFKVSSPRQITAEGMVLEPLGEKLIAYFGDRMISEETLRRNSVMQMAGDQGIIAFTYRQKGVLIGCKYRTIEKNFWQDKGTEKWLYGLDDIKEVTEIVIVEGEIDKLSVEEAGFFNCVSVPGGAPQTVSTKDIPSLEKDKAYQYLWNCKEYLDKWASLG >Manes.09G088066.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24723865:24743275:1 gene:Manes.09G088066.v8.1 transcript:Manes.09G088066.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTPGKINHFLCPKVCVPMMQNKVVVAVSNAFFSYNSCKWPVPSKHILSNNNCWAPILTRRRLGPVGSRVRSCYGNSKFLNPQSANGNGLEKHEVRVLKQKMELLGINCDDSCIPGNYGHLLCPKCEGGRSMEKSLSVHINRDAWQWDLRRDLAMWRCYRTCCGWTGQAFANGRVTNEGMNIIFKVSSPRQITAEGMVLEPLGEKLIAYFGDRMISEETLRRNSVMQMAGDQGIIAFTYRQKGVLIGCKYRTIEKNFWQDKGTEKWLYGLDDIKEVTEIVIVEGEIDKLSVEEAGFFNCVSVPGGAPQTVSTKDIPSLEKDKAYQYLWNCKEYLDKVSRIILATDGDASGQALAEELARRLGKERCWLVRWPKKDHSCCFKDANEVLKCLGPSALKEVIETAESYEVCTMNQVI >Manes.15G175652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:21606194:21607278:1 gene:Manes.15G175652.v8.1 transcript:Manes.15G175652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFAIFSFTIIVFISLLPRAALAKFDDKKSPPFDFLKNLQGCHKGDKLKGIHELKTYLEHFGYLHYENQSHANGDDFDELLESALKTYQLNYHLNVTGSLDSQTVSKMIMPRCGVPDIVNGTTRMDSSKKNHHHRSTIFHTVSHYTFFPGNPKWPTSKYSLTYGFLPRTPTQAVDPVAKAFQTWAANTHFRFSRVQDYTTADIKIGFHKGNHGDGYSFDGRGGILAHAFAPQDGRFHYDADERWAIGAMQGAYDLETVALHEIGHLLGLGHSSVEGAIMFSSISSGTTKGLHNDDIQGIRTLYNV >Manes.13G123100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33102751:33106224:-1 gene:Manes.13G123100.v8.1 transcript:Manes.13G123100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNAFRLLFIGLGLFVAGCSSLKLGETCSSGNVCDPGLRCETCPANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSYAITSSKSGTGSALLSPTNQEDSVTSQLKNGVRGLMLDMYDFQNDIWLCHSFGGQCYNYTAFQPAINVLKEIEAFLAANPSEIVTIFIEDYVTSPMGLTKVFNASNLNKYWFPVSKMPKSGEDWPTVDDMVQQNERLVVFTSKSAKEASEGIAYNWKYVLENQYGNDGMKAGSCPNRAESPPMNTATVSLILQNYFPTNPNETGACLDNSAPLISMTNSCYEAAGKRWPNFIAVDFYQANATRGTCNVPPSAPPPPAAATGNSQTPPSTLTNIAYLDTKPIQLRWALGAIFTTSFLL >Manes.13G123100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33103593:33106224:-1 gene:Manes.13G123100.v8.1 transcript:Manes.13G123100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNAFRLLFIGLGLFVAGCSSLKLGETCSSGNVCDPGLRCETCPANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSYAITSSKSGTGSALLSPTNQEDSVTSQLKNGVRGLMLDMYDFQNDIWLCHSFGGQCYNYTAFQPAINVLKEIEAFLAANPSEIVTIFIEDYVTSPMGLTKVFNASNLNKYWFPVSKMPKSGEDWPTVDDMVQQNERLVVFTSKSAKEASEGIAYNWKYVLENQYGNDGMKAGSCPNRAESPPMNTATVSLILQNYFPTNPNETGACLDNSAPLISMTNSCYEAAGKRWPNFIAVDFYQRSDGGGAPEAVDEVNGQLTCGCANVAYCSVCHLCSIITLLFLRLFFPNLSSFHVLLLNFQD >Manes.13G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33102751:33106224:-1 gene:Manes.13G123100.v8.1 transcript:Manes.13G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNAFRLLFIGLGLFVAGCSSLKLGETCSSGNVCDPGLRCETCPANGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSYAITSSKSGTGSALLSPTNQEDSVTSQLKNGVRGLMLDMYDFQNDIWLCHSFGGQCYNYTAFQPAINVLKEIEAFLAANPSEIVTIFIEDYVTSPMGLTKVFNASNLNKYWFPVSKMPKSGEDWPTVDDMVQQNERLVVFTSKSAKEASEGIAYNWKYVLENQYGNDGMKAGSCPNRAESPPMNTATVSLILQNYFPTNPNETGACLDNSAPLISMTNSCYEAAGKRWPNFIAVDFYQRSDGGGAPEAVDEVNGQLTCGCANVAYCSANATRGTCNVPPSAPPPPAAATGNSQTPPSTLTNIAYLDTKPIQLRWALGAIFTTSFLL >Manes.18G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6463701:6470035:-1 gene:Manes.18G072100.v8.1 transcript:Manes.18G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQAQSGLSLGFHSHSSLNPIPLQLLDQKEENPVEKDEDQTEEVENFSILGHPMCIKRQRDCQSSSSSSSSPNPPKRVAMEPGLESRRAKVRGWGNQSLPMADSEIHEIMEKEKQRQVKGIELIASENFVCRAVMEALGSHLTNKYSEGLPGARYYTGNQYIDQIESLCCSRALAAFGLDSDKWGVNVQPYSCTSANFAVYTGLLLPGDRVMGLDSPSGGHLSHGYYTPGGKKVSASSIFFETLPYKVNPQTGYIDYDKMEEKATDFRPKILICGGSSYPREWDYARFRQVADKIGAVLMCDMAHISGLVAAKEAASPFDYCDIVTSTTHKSLRGPRGGIIFYRKGPKSRKQNMLLSHDSGSAQYDFEEKINFAVHPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALASALLRRKCRLVTGGTDNHLLLWDLTTLGLAGKNYEKVCEMCHITINKVAIFGDNGAICLGGVRIGTPAMTSRGCLEGDFETIADFLLRAAQITCAVQREYGKFQKDLPKGLQNSRDIVELRNRVETFASQFAMPGFDF >Manes.18G072100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6463701:6470035:-1 gene:Manes.18G072100.v8.1 transcript:Manes.18G072100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSQAQSGLSLGFHSHSSLNPIPLQLLDQKEENPVEKDEDQTEEVENFSILGHPMCIKRQRDCQSSSSSSSSPNPPKRVAMEPGLESRRAKVRGWGNQSLPMADSEIHEIMEKEKQRQVKGIELIASENFVCRAVMEALGSHLTNKYSEGLPGARYYTGNQYIDQIESLCCSRALAAFGLDSDKWGVNVQPYSCTSANFAVYTGLLLPGDRVMGLDSPSGGHLSHGYYTPGGKKVSASSIFFETLPYKVNPQTGYIDYDKMEEKATDFRPKILICGGSSYPREWDYARFRQVADKIGAVLMCDMAHISGLVAAKEAASPFDYCDIVTSTTHKSLRGPRGGIIFYRKGPKSRKQNMLLSHDSGSAQYDFEEKINFAVHPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVKKNAQALASALLRRKCRLVTGGTDNHLLLWDLTTLGLAGTPAMTSRGCLEGDFETIADFLLRAAQITCAVQREYGKFQKDLPKGLQNSRDIVELRNRVETFASQFAMPGFDF >Manes.18G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1295553:1298719:-1 gene:Manes.18G010800.v8.1 transcript:Manes.18G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVALAVDRIKEFTNSGQRILDGLLHRRDNFTRPNPIEILKRLQREAFSDLMKLRDRQDKVERMLSFYKTSRRSPSNTHMRGEIDVLGAVLLLGNVDQQHYDALGRAGIKTGVDSRFTFETTIREKDALLAELVGTRKYGDEVSPIALSLSKVSYKAKISDWFSAIAIPVGAQFRDLGITTNSSNQKKGLTDLSSVEPPLLNQRNGSAIGLTVRKSNVTASMAQSVSELGMQPCSDGIEQCFSTFGQIICQLPRGIKLSLLGVHHVQKSSSHHVKLGALNIPMAFQKHHKFPETMVEASTSLTETNTPQTFSTGSIAMKLETELDENTRIGSWIEMNNSNSKQLRWAVNLFDDSEDESGWGICVSGMRDDGSSNWTHLQAESYLKLNIGDKLSVKPGIAYAVESNARIFALMLRSNWSF >Manes.16G025500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2698694:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCFGAKERANGAYRDTDGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.16G025500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2698694:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCFGAKERANGAYRDTDGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.16G025500.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2698694:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCFGAKERANGAYRDTDGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.16G025500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2698694:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCFGAKERANGAYRDTDGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.16G025500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2697310:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.16G025500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2698694:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKFLADMRCSCFGAKERANGAYRDTDGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.16G025500.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2698694:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCFGAKERANGAYRDTDGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.16G025500.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2698694:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCFGAKERANGAYRDTDGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.16G025500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2692267:2698694:-1 gene:Manes.16G025500.v8.1 transcript:Manes.16G025500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADKFLADMRCSCFGAKERANGAYRDTDGDLICDVNRFSYNELRSATDDFHPTNKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITLISKVRHPNLVELIGCCAEGTNRILVYEYVENNSLDRALLGPKNTTIQLDWRKRSAICLGIARGLAFLHEELDPHIVHRDIKASNVLLDKEFNPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAMGGQLTMKADVYSFGVLILEIISGRSSAKASWGGMEKLLLELAWQLHEEGKLLELVDPDLGDFPEEEVIRYMKVAFFCTQAAASRRPLISQVVDMLSRNIRLNEKLLSAPGFFQDSVGSSGPPFSTKKLFAESSSTSIQMSSIPVTITEVTPR >Manes.06G124100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25435597:25438448:1 gene:Manes.06G124100.v8.1 transcript:Manes.06G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGTAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINMARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNFGFQLFMSDPSGNYGGWKAAAIGANNQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSDKLELAEVFLLPSGEVKYQVRSPDSLSKLLVKFGVTQAPAEAS >Manes.09G127900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33064621:33073302:1 gene:Manes.09G127900.v8.1 transcript:Manes.09G127900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQMKKNYRCVPSLQQFYSGGPFVVASDGAFIACACGEAIKIVDSANASIRATIEGDTEAITALALSPDDKLLFSAGHSRQIRVWDMSTMKSVRSWKGHDGPVMGIACHGSGGLLATAGADRKVLVWDVDGGFCTHYFKGHKGVVTSIMFHPDTNKMLLFSGSDDATVRVWDLVAKKCVATLERHFSSVTSLAVSEDGWTLLSAGRDKVVNLWDLHGYICKMTIPTYEVMEALCVIDSGTQISSFLDSYGQQIGKGRNGSSAIYFVTVGERGIVRIWNSECAVCIYEQKSSDVTATTPDTDEAKRGFTSATMLPLDQGLLCVTADQQFLYYLPVKHPEEKFKIELSKRLIGYNEEILDMRFLGEEEKFLAVATNLEQIRVYDLESMSCAYVLTGHTEIVLSLDTCVSTSGRTLIVTGSKDNTVRLWDTESRNCIGVGTGHMGGVGAVAFSKKWKNFFVSGSSDRTIKVWNFFGISDDVDQPVNLKAKAVVAAHDKDINSLAVAPNDSLVCSGSQDRTACVWRLPDLVSVVVLKGHKRGIWSVEFSPVDQCVITASGDKTIKIWAIADGSCLKTFEGHTSSVLRASFLTRGTQLVSCGADGLVKLWTVKTNECIATYDQHEDKVWALAIGKRTEMFATGGSDVVVNLWYDCTAADKEEAFRKEEEGVLKGQELENAVLDADYTKAIQIAFELHRPHKLFELFAELCRKRAADCHMEKALRAIGKEEIRLLFEYAREWNTKPKLCHVAQNVLFQVFKILPPTEILEIGGIGELLEGLIPYSQRHFSRIDRLVRSTFLVDYILTGMSVIEPNIQAAETKDGSHIPSNETVLLAEHAEEEQQQSPEVLKERTRSKKRKSKQANKARDSSHKKVKGEAYKNLAAIPLQA >Manes.01G049570.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:15565384:15568191:-1 gene:Manes.01G049570.v8.1 transcript:Manes.01G049570.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGPCGEQNPNCPSSIMPRGRTTHSQFNIPLSPTESSMCGISKQTPMTKRLTIEIVYRCLRDIMDTSQPFEGKIMVFGGDFIQVLLVVRKVLRQENVSASLVKSYLWSKMKVLKLTTNIRTRTYPYFGEFILKVGNGEELEIKTSNIRMPEEMIMKYENENNCEEYMTNRTILATKNEYVDSLNENMINMFPGERNIYTSFDEAIDYTNNHYQEEFLNTLLPNELPQHKLK >Manes.12G097620.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:22214094:22214959:1 gene:Manes.12G097620.v8.1 transcript:Manes.12G097620.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFHGHLFYIFSLHLASKSQLISKSIPVHSCFRTIFRLHSNYIRLRKNNSILTHGWLCTENRRQLAGQLRLVPSAWDIEERISA >Manes.13G059500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6924499:6927286:-1 gene:Manes.13G059500.v8.1 transcript:Manes.13G059500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRKKHREGSINSWTYHSRSQQNISNSHSLLHQFPYPENKLHFLSFFLFCLNTSRQEANTMKQKVVIRVPLNGEKSRSKAMKIAVSVSGVESAALGGQDKSQIEVVGDVDPVKLTTQLRKCVGQAELLSVSAVGEKKEEKKEEVKVQQMVWPYVGSVPHHQYMYEVVHPVEQYQDPFCSIM >Manes.07G115700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32124013:32127992:1 gene:Manes.07G115700.v8.1 transcript:Manes.07G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQIFGQNPHRIVEGYSEEFENGFLDLMRRSHRFSRVAATVVYNEYIHDRHHVHMNSTQWATLTEFVKYLGRTGKCKVEETPKGWFITYIDRDSETLFKEKMKNKRIRADLAEEEKQEREIQKQIEKAEQFLSTVRNGDVEKENIESAEPPFKELKLEGGVKIGFALGSKSNVGKEKGESSSRLVFEENEEKERMNTKIKENGSTGKSGKSTLEELMREEEKAKERSNRKDYWLCEGIIVKVMSKTLAEKGYYKQKGVVRKVIDKYVGEIEMLESKHVLRVDQEELETVIPHIGGLVRIVNGAYRGSNARLLGVDAEKFCAKVQVEKGIYDGRVLKAVEYEDICKLAQKQKKNKKSQL >Manes.18G055400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4725807:4729157:-1 gene:Manes.18G055400.v8.1 transcript:Manes.18G055400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSVALSGTLKLEPELKKLPSSSPATEKLHMVSQRPSISYDRSRVNTHLDGSLEPIKPLEFHEALSLIKEETKIKPSYYVPLLQRCIDKDSVSETQIIHAHMIKTGISEELFLMTFLVNVYAKSGDMGSAQKVFDNLPRRNVVAWTSLMTGYVQNSQPDVAILVFQDMLESGAFPSNFTLGIALNACTSFNSVKLGKQLHAYIIKYKIDYDPSIGNALCSLYSKFGSLESAVNVFQGIGEKNVISWTAVISACGENGEAAMGLGFFNKMLLEEIEPNEFTLTTVLSLCCVMLALDAGRQVHSLSVKLGYQSNLRVTNSVMYLYLKCGCMSEAQYLFNNMESTNLVTWNAMIAGHAQAMDLANDDFSAYQSGTEALSIFLKLNRTGLKPDLFTLSSILTVCSRLSALEQGEQLHAQTIKSGFLSDVVVGTALVNMYSKCGSIQRASKAFVEMPTRTMISWTTMINSFAQHGQYQQALQLFEDMRLAGFRPNQITFVGVLAACSHAGMVDEALRYFEMMQKEYRIKPVMDHYGCLIAMFVRLGRLEEAFDIVKKMDFDPSEFVWSLLIAGCRYHGKQELAFKAAEQLLKLKPKDTETCVLLLNMYISAEKWQDVSKMRKLMKEEKLGKLKDWSWISIKDKVHSFKTSHKLHPQNAEVYALLEELLDKAKGHGYQPLQRMEAIHDDEEEEETTTFSSAEYHSERLAIAFGFLNTQKDAPIRVTKSVSMCKNCHDFIKVISLLCSREIIIRDSRRLHRFVDGQCSCADFGALL >Manes.18G055400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4725807:4729157:-1 gene:Manes.18G055400.v8.1 transcript:Manes.18G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSVALSGTLKLEPELKKLPSSSPATEKRPSISYDRSRVNTHLDGSLEPIKPLEFHEALSLIKEETKIKPSYYVPLLQRCIDKDSVSETQIIHAHMIKTGISEELFLMTFLVNVYAKSGDMGSAQKVFDNLPRRNVVAWTSLMTGYVQNSQPDVAILVFQDMLESGAFPSNFTLGIALNACTSFNSVKLGKQLHAYIIKYKIDYDPSIGNALCSLYSKFGSLESAVNVFQGIGEKNVISWTAVISACGENGEAAMGLGFFNKMLLEEIEPNEFTLTTVLSLCCVMLALDAGRQVHSLSVKLGYQSNLRVTNSVMYLYLKCGCMSEAQYLFNNMESTNLVTWNAMIAGHAQAMDLANDDFSAYQSGTEALSIFLKLNRTGLKPDLFTLSSILTVCSRLSALEQGEQLHAQTIKSGFLSDVVVGTALVNMYSKCGSIQRASKAFVEMPTRTMISWTTMINSFAQHGQYQQALQLFEDMRLAGFRPNQITFVGVLAACSHAGMVDEALRYFEMMQKEYRIKPVMDHYGCLIAMFVRLGRLEEAFDIVKKMDFDPSEFVWSLLIAGCRYHGKQELAFKAAEQLLKLKPKDTETCVLLLNMYISAEKWQDVSKMRKLMKEEKLGKLKDWSWISIKDKVHSFKTSHKLHPQNAEVYALLEELLDKAKGHGYQPLQRMEAIHDDEEEEETTTFSSAEYHSERLAIAFGFLNTQKDAPIRVTKSVSMCKNCHDFIKVISLLCSREIIIRDSRRLHRFVDGQCSCADFGALL >Manes.07G134200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33553520:33555782:1 gene:Manes.07G134200.v8.1 transcript:Manes.07G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLILLCLLLFTLLTLVSPSAALNLQEFQEFFEVTPPLPSDHWTPSCTHPIIQHSFTNNTNTTNYLFSTPYSPPSDCSSPWTYIVLSFNAGCSNDTSYRISGLWLGGVELLRTITPKLTTDKSRNSWTVKKDITRYSSLLAKEDLNLTMILQSIVDNVSTGVYNVSVTVLFYKNSSITVSLNRNDLSLPILAEKEANGDGDSVLEGVLSFYDTPADLIIPISDDGDTGFWYRIKNEIDLPSKQILVPCNTHRAVLELYVSFHGNDESWYSNPPSSYLRMNNISLQGNGAYREVFVTIDGASVGSELPFPVVLTSEFNSLFWKPVVSIGAFNLPSYDFEVTPFLEKVLDGQVHEFGVGIGNVIPYWLVDANLHIWLDKGSSSVTAGTVVAHNPSLALKSRKEFKRLDGSFEVKGKGGSESKGWVISTAGNLTTLILQEFRFQSFIQFQKNATKKFVKLKIKVNKEIQVLNDRGELLKRVIVKRKYPLNMITTTIPGRIVANVSHAFLETWSNGNNMSRTIDNLQKTNGWMPIVEQQASFPGESNTNQRLIYRDISICYSRTIAVTHGILSADDLSYGCISSS >Manes.05G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1068904:1072259:-1 gene:Manes.05G008500.v8.1 transcript:Manes.05G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQGRELAFLHSGDFLHQNPGLSDRLTHNSVDPSNSMIKEVDFFSRDRTDDKFPRDQEIKDGSSSVLVHSVVNTGLNLLTSSSGLSETASGNKPNYELKKLQAELDSLYDENKKLRSLLDQITRSYKDLQLQLLMAMQKQAQGSRGEQKGELNNGISIPIMSAKQLVVPRPSAALDVNDNSVSEDKAQDISASPTIIPEAMSQINPGKQASTEDGLDQTSQSWGSPKSPRLEEGKGEQKVPEVPFRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCADDKTILITTYEGNHNHPLPPAATAMASTTSAAAAMLLSGSTTSKEGLPSNNSFFPSLPYASTMATLSASAPFPTITLDLTQSPNSTPFLRPPPPTTFPLPLHGCPQLLGHPMYAPPKLPAAAIPSLQLGQRHASMVETVTAAIASDPNFTAALAAAISSIIGTQRSNIDGSSNTNAPNGGLTGLPGSPQLPQSCTTFSTN >Manes.05G113577.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14136803:14145772:-1 gene:Manes.05G113577.v8.1 transcript:Manes.05G113577.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSKARNMLEGLVREGSFKWLLSKRSSFDDEFEEMGRSPSASRNWIAELSPLANVVIRRCSKILGVSATELQESFNLKASDSIKHPSRYARNFVEYCCFRALALSIQVTGHLTDKKFRRLTYDMMLAWETPAAASQPLLNVDEDLTVGLEAFLRIAPVVPIIADVVISENLFEVFTRSTGGRLQFSTYDKYLSGLERAIKKMKSQSESSLLSAVRLSRREKILEVDGTVTTQPVLEHVGISAWPGRLILTDHALYFEALRVVSYDKPKVYDLSDDLKQVVKPELTGPWGTRLFDKAVLYKSISLSEPVVMEFPELKGHSRRDYWLAIIREILYVHRFINKFQITGVEREEALSKAVLGILRLQAIQDISSVNTVRFESLLMFNLCDQLPGGDLILETLASMSSMRAVERTNDFKAGGGMYSISALAMLSNLGFVFGTSSSDENEAALAVGEIAVGEMSSLERAVKESRNSYKKAVLAQETVDGVKVDGIDTNLAVMKELLHPVMEVGKGLLSLAYWDDPMKSLVFCIIFSYVIWRGWLAYAFGIIFIFLSIFMVLTGFFNQGSPINELKVTAPPPMTTMEQLLAVQNAISQAEQLIQDGNIFLLKFRALLLSIFPQASEKFAAALVCIALILVFIPVKYLVLMSFLEAFTRYSPPRKASSERWERRLREWWFSIPAAPVALERGNEDKKKK >Manes.18G113500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11488372:11489557:1 gene:Manes.18G113500.v8.1 transcript:Manes.18G113500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKFVAVEEGSLDNHGVAWWRGPLLGKGGSASVYLAYLKKPKSRNAFYRRVMAVKSAEVSSSSLLQKEKEVFNRLHDCPYILECYGEETTVSQNGQMFYNLLLEYASGGTLADLIRRSGDCGLPELDVKRYTRSILKGIDYIHRHDYVHRDLKPENVLLVPSGSGDFVPKIADFGLAKKVQKTNRRMFDSSIAGTILYMAPETLVDNIQESPSDIWALGCIVYEMFTGKPLWGLNPKESTEELCKRIVDRFKLPEIPSGISKDGKDFLKGCLVKNHKFRFTTEMLLNHPFVSGILDDRVRLVVCQMLQKRVMAGCSEVSLKHQLQESKWYSKSLLLLTKVLLHLIQTLVSSPANIREMCYYIFTIPTCADWYSS >Manes.08G041900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4078953:4096387:-1 gene:Manes.08G041900.v8.1 transcript:Manes.08G041900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSERETHDFMNVESFSQLPFIRPAPVKEKAIRLFGIEFGGNVVDSHAVVDESASAETIEDTVKETESSGDNNRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHSSLSDAHIYGLVNYRIGSNPNPPMSHPSWNSHANGSRFYGGHGSYSQQPINGSPLGLWRIPAAHGSATLHRDRSVHPLPLFAGEELNPSQVGGSSAQGRYGYESKPNMQDHDRTRGTEEANSVSCSESARGL >Manes.08G041900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4094443:4096387:-1 gene:Manes.08G041900.v8.1 transcript:Manes.08G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSERETHDFMNVESFSQLPFIRPAPVKEKAIRLFGIEFGGNVVDSHAVVDESASAETIEDTVKETESSGDNNRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHSSLSDAHIYGLVNYRIGSNPNPPMSHPSWNSHANGSRFYGGHGSYSQQPINGSPLGLWRIPAAHGSATLHRDRSVHPLPLFAGEELNPSQVGGSSAQGRYGYESKPNMQDHVGFDPVQVLQITKMKKDD >Manes.01G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5063462:5068566:1 gene:Manes.01G023400.v8.1 transcript:Manes.01G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESEAVFESLNLKPRVVVNEILNTVENLFDDAFDFYHQEASTLLNAGDTDRSQHLSQGIDHIRNVIQSKVGRRLGMWQEYCLRHCFALPQGFSLPKSESLDEIMTCPDVLCDLDLDTQLDSLRDRLTSIVKESSDMNREIQALERQSASNDSCAALLNEAFQLYEQNSAHDMLEEMVRTASELRTKIENLRTRRIEDADHLRTKRFCDPNRDLLTSTHGLSSAKLEDLQEFLGDLKKI >Manes.01G261800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41733581:41737864:1 gene:Manes.01G261800.v8.1 transcript:Manes.01G261800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCVDFFSWLDYDMLMRILMCLEDPSDLVRVSSVSRSWREFVIANGLCKQLCLRMFPSLHIVDRIIEPNSCVKSSSEVGCSKSVEWETLEREHRVYAFLARGCTLFALRGCIADAISASSTDNYPEESIRNTLEPRDRVSRRASYWSSKGQSNPAVAETLTYKLVADICVITEISVRPFQAFFQLGSPIYSAKSVRFRMGHPKAAVDDPMGEPSDNCADDNFIWTYTSPEFAMVQENRLQKFELPEPVLCIGGILQVELLGRVQRQDMDGLFYICVSHVQVIGRPLSPAFSVDIIEPSGKFALKALSYTQPSLPENGSRPLPDELLEGRVRDLEQIVNLLRGQGVVVEYDWNEEDELDEGMAL >Manes.01G261800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41733490:41737915:1 gene:Manes.01G261800.v8.1 transcript:Manes.01G261800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCVDFFSWLDYDMLMRILMCLEDPSDLVRVSSVSRSWREFVIANGLCKQLCLRMFPSLHIVDRIIEPNSCVKSSSEVGCSKSVEWETLEREHRVYAFLARGCTLFALRGCIADAISASSTDNYPEESIRNTLEPRDRVSRRASYWSSKGQSNPAVAETLTYKLVADICVITEISVRPFQAFFQLGSPIYSAKSVRFRMGHPKAAVDDPMGEPSDNCADDNFIWTYTSPEFAMVQENRLQKFELPEPVLCIGGILQVELLGRVQRQDMDGLFYICVSHVQVIGRPLSPAFSVDIIEPSGKFALKALSYTQPSLPENGSRPLPDELLEGRVRDLEQIVNLLRGQGVVVEYDWNEEDELDEGMAL >Manes.01G261800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41733490:41737915:1 gene:Manes.01G261800.v8.1 transcript:Manes.01G261800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLHIVDRIIEPNSCVKSSSEVGCSKSVEWETLEREHRVYAFLARGCTLFALRGCIADAISASSTDNYPEESIRNTLEPRDRVSRRASYWSSKGQSNPAVAETLTYKLVADICVITEISVRPFQAFFQLGSPIYSAKSVRFRMGHPKAAVDDPMGEPSDNCADDNFIWTYTSPEFAMVQENRLQKFELPEPVLCIGGILQVELLGRVQRQDMDGLFYICVSHVQVIGRPLSPAFSVDIIEPSGKFALKALSYTQPSLPENGSRPLPDELLEGRVRDLEQIVNLLRGQGVVVEYDWNEEDELDEGMAL >Manes.01G261800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41733581:41737864:1 gene:Manes.01G261800.v8.1 transcript:Manes.01G261800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCVDFFSWLDYDMLMRILMCLEDPSDLVRVSSVSRSWREFVIANGLCKQLCLRMFPSLHIVDRIIEPNSCVKSSSEVGCSKSVEWETLEREHRVYAFLARGCTLFALRGCIADAISASSTDNYPEESIRNTLEPRDRVSRRASYWSSKGQSNPAVAETLTYKLVADICVITEISVRPFQAFFQLGSPIYSAKSVRFRMGHPKAAVDDPMGEPSDNCADDNFIWTYTSPEFAMVQENRLQKFELPEPVLCIGGILQVELLGRVQRQDMDGLFYICVSHVQVIGRPLSPAFSVDIIEPSGKFALKALSYTQPSLPENGSRPLPDELLEGRVRDLEQIVNLLRGQGVVVEYDWNEEDELDEGMAL >Manes.11G055436.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7653578:7654707:1 gene:Manes.11G055436.v8.1 transcript:Manes.11G055436.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRNLLFGSIPACFSNISFGNNISFGMMEEVNIMGFNPSAPDKIALNLHLPWVDWGSSEIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPFSFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGEPIHKSCKSDEAPQTPPPSADVEEEDEGGIDMVWFYWSFSGAYVTILLVLAAILRINGHWRMLWFYYVDVCIYSISIWVCQN >Manes.11G132500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29708031:29713233:1 gene:Manes.11G132500.v8.1 transcript:Manes.11G132500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSNGEHQTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTVKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVNGLIRLMEGEHTGPINIGNPGEFTMIELAENVKELINPAVEIKIVENTPDDPRQRKPDITTAQELLGWEPKVKLRDGLPLMEEDFRQRLGVPKIK >Manes.11G132500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29708260:29713227:1 gene:Manes.11G132500.v8.1 transcript:Manes.11G132500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSNGEHQTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTVKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVNGLIRLMEGEHTGPINIGNPGEFTMIELAENVKELINPAVEIKIVENTPDDPRQRKPDITTAQELLGWEPKVKLRDGLPLMEEDFRQRLGVPKIK >Manes.11G132500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29708031:29713303:1 gene:Manes.11G132500.v8.1 transcript:Manes.11G132500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSNGEHQTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTVKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVNGLIRLMEGEHTGPINIGNPGEFTMIELAENVKELINPAVEIKIVENTPDDPRQRKPDITTAQELLGWEPKVKLRDGLPLMEEDFRQRLGVPKIK >Manes.11G132500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29707989:29713227:1 gene:Manes.11G132500.v8.1 transcript:Manes.11G132500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSNGEHQTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTVKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVNGLIRLMEGEHTGPINIGNPGEFTMIELAENVKELINPAVEIKIVENTPDDPRQRKPDITTAQELLGWEPKVKLRDGLPLMEEDFRQRLGVPKIK >Manes.11G132500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29707989:29713227:1 gene:Manes.11G132500.v8.1 transcript:Manes.11G132500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSNGEHQTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTVKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVNGLIRLMEGEHTGPINIGNPGEFTMIELAENVKELINPAVEIKIVENTPDDPRQRKPDITTAQELLGWEPKVKLRDGLPLMEEDFRQRLGVPKIK >Manes.01G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33620723:33627207:1 gene:Manes.01G145800.v8.1 transcript:Manes.01G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFSTIKVSGSSSNNNTTASAGHHYHRKERTDTTQSTTTNKQSSDQKNNKDDNNSTNNGTPESRQLQTKPKQQQRQQQQQQQQHKVKEKQTSRRQSGVIPCGKRTDFGYAKDFDRRYMTGKLLGHGQFGYTYVATDKASGDRVAVKRIEKNKMVLPIAVEDVKREVKILRELAGHENVVQFYDAFEDDSYVYIVMELCEGGELLDRILAKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKVDSPLKATDFGLSDFIKPGKKFKDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPSISNSAKDFVKKLLVKDPRVRLTAAQALSHPWVREGGDASEIPIDISVLSNMRQFVKYSRLKQFALRALAGTLDDGELADLRDQFDAIDVDRNGSISLEEMRQALAKDLPWKLKDSRVLEILQAIDSNTDGLVDFSEFVAAALHVHQLEEHNSEKWHMRSQSAFEKFDLDKDGFITPEELRMHTGLKGSIDPLLEEADIDKDGKISLSEFRRLLRTASISSQNLPSPSAHRNSWKI >Manes.14G019032.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1936318:1937355:-1 gene:Manes.14G019032.v8.1 transcript:Manes.14G019032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSILCPTKYTEYRKVIKKFTKPSLKPKKVSGERNQHIPPRVVRISVTDPDATDSSSDEEGDLFGCKRVKRNVNEISIQATYKDTSATISNGRKRPVSVLPASHSLVRLNTANTTGRKFRGVRQRPWGKWAAEIRDPAKRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFITPPGREEQVLEEAEQVEAKRKINVTSTSGYESSDESHNLSSPTSVLNFRAHCSEALELQKLVVPAEKSKPVKEEYYQQEQKVSDESAEYLLPLDLPFLDDFFNFSSTGPSLFDDAVTTTTTTVSSEDFSDISLDTPQDFGSSSMCQDDDLFQDIGDLFFSEPLVALPDV >Manes.05G025400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2221019:2221766:-1 gene:Manes.05G025400.v8.1 transcript:Manes.05G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSESLENDEKTIPIWDLGSPLYDSYELVSLSHHIDRHLMILPSLGGSRKRPSMKLCAASDASNNTHVRSSMVNALSEFVKQRLWRRKSKNGVGEKKVKAGTLLCGFHKMSGL >Manes.12G025276.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2169234:2169893:-1 gene:Manes.12G025276.v8.1 transcript:Manes.12G025276.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLADSTNENAALIDCGCSAICFGCPYKINWIQTGRSLIGRHCTCTCNCPPNPFFSNPIKPTPSTSQIPVTPSIPQVPTIPSTPTPQIPSTPSTPHVPNTPSTPIPQIPNTPSTPHVPNTPFTPTPQIPTTPSIPQAPRAPSVPSTMSPTCAVASVNIGLCWARASVGTAFHNDQLAAGCCNMFTEWGEGCFGGDNEIPRIVSYWVPPALVQYCATHH >Manes.05G033200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2798689:2801184:1 gene:Manes.05G033200.v8.1 transcript:Manes.05G033200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEEGDENTSRGNEWEVVSLTASAYAAAPGPEEVELKDENKDNAQKEDEAETSRALFMSDHFVFPPSQHENLPLEADNSEILNEQIGESVASVLDVEEGDKSGGKNEENFKLKELNAPEEFPGIQFLDDKEQNIYSADPFSSLHSESGLGGSAAYGEKLVIPDVNEQVESGLDYSTDTSSPKSAKDGIYDGSVIPCEAWWKRRAASLYTHAKETNAFWSIFVAAAVMGLVILGQRWQQERWRALQLKWQASIHEKSGRMLGPISRLKDVIVGGHRPGSLIKGSSSNEN >Manes.05G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2797564:2801184:1 gene:Manes.05G033200.v8.1 transcript:Manes.05G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANEEGDENTSRGNEWEVVSLTASAYAAAPGPEEVELKDENKDNAQKEDEAETSRALFMSDHFVFPPSQHENLPLEADNSEILNEQIGESVASVLDVEEGDKSGGKNEENFKLKELNAPEEFPGIQFLDDKEQNIYSADPFSSLHSESGLGGSAAYGEKLVIPDVNEQVESGLDYSTDTSSPKSAKDGIYDGSVIPCEAWWKRRAASLYTHAKETNAFWSIFVAAAVMGLVILGQRWQQERWRALQLKWQASIHEKSGRMLGPISRLKDVIVGGHRPGSLIKGSSSNEN >Manes.12G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:555516:561333:-1 gene:Manes.12G004000.v8.1 transcript:Manes.12G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTTASASVSASAIAKTTANLPHYNTYALSRVSFTVSPTPNLRFFTKGLKLGHNSSTSVKAQLNEVAVDGSSKAAASPPTKSEVPPLEAKDAKSSNECSPPTLATEESISEFITQVASLVKLVDSRDIVELQLKQLDCELIIRKKEALAQPPSPAPVVMMQAPVPSPPQLMPPALPAAFPAASSPSSTPPPSPVPSAAKSLKPSLPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVLCIIEAMKLMNEIEADQSGTIVEIIAEDGKPVSVDTPLFVIEP >Manes.11G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8942023:8944700:-1 gene:Manes.11G064400.v8.1 transcript:Manes.11G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKKFISDEDSRGSVPVYLNVYDLTPMNGYAYWLGLGVYHSGVQVHGIEYAFGAHEYPTTGIFEGEPKQCDGFTFRKTILIGKTEIGPAEVRAVMEELAEKYRGNAYNLITKNCNHFCNDACIRLTGNPIPSWVNRLARIGFLCNCVLPANLNSTKVQHHKIEEKVDETEKKKLTSESKRFSSSSNSSSPSGNQIRGRSRSRRAIPPSSPLISSSP >Manes.11G064400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8942023:8944700:-1 gene:Manes.11G064400.v8.1 transcript:Manes.11G064400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKKFISDEDSRGSVPVYLNVYDLTPMNGYAYWLGLGVYHSGVQVHGIEYAFGAHEYPTTGIFEGEPKQCDGFTFRKTILIGKTEIGPAEVRAVMEELAEKYRGNAYNLITKNCNHFCNDACIRLTGFLCNCVLPANLNSTKVQHHKIEEKVDETEKKKLTSESKRFSSSSNSSSPSGNQIRGRSRSRRAIPPSSPLISSSP >Manes.13G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4198686:4200869:1 gene:Manes.13G033900.v8.1 transcript:Manes.13G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKTGLKKGPWTPEEDQILISYIQQYGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDTIIQLHEMLGSRWSAIAARLPGRTDNEIKNVWHTHLKKRLLKQNPGDPKIKRRSIDMSRVDKQLKTEPDAKLVNLSNHTGSESSDGLEYRPISPQQCSSSEISSVITGDYANNNMSSMKLELWDDFPEMDENFWSEVLSSDQSTSASDFPATAEAVQFHIPFSTLENAMEPAAQYACNSSMHDSMEFWYNLFTRAGKSPELSEI >Manes.10G087600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22408349:22414453:1 gene:Manes.10G087600.v8.1 transcript:Manes.10G087600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLDNLVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSENYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGSFGDSLAKHKEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYKVKKLNDDEASQLFCFHAFRRDISTEEYMKLSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGTSDPKIHGILKLSYDGLSKDDKEIFLDIACFFKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTPNAFEKMYNLKFLKFYCSILHWNRVKLPEGLNFLPDELRLLHWYEYPLESVPWSSCAENLVEIGMVRSKLKQLWNGDQHLGNLKYVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKQLYLRHCQSLCNLPSFLHLKNLEILSISGCSKIRVFPEVPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLLANDSGIKELPESICNLKKLTCLSTARCENLQSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFFNVGGCINLNELPECLGNLESLELLVVSHSGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELLFCIPGREVPKWIKYQNNSGSRLSFPFSQPKRAEFTRFIYCAVFDPKVYHPFPGRGSLQIGFEGINESGHGQYHFCNYWKNHIRISSHASYLRSEHVFLWSSYARHSHFREKNMTLQFFSEEIISRVDSNKRRRSYSGIIKCGFHLE >Manes.10G087600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22408371:22414431:1 gene:Manes.10G087600.v8.1 transcript:Manes.10G087600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLDNLVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSENYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGSFGDSLAKHKEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYKVKKLNDDEASQLFCFHAFRRDISTEEYMKLSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGTSDPKIHGILKLSYDGLSKDDKEIFLDIACFFKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTPNAFEKMYNLKFLKFYCSILHWNRVKLPEGLNFLPDELRLLHWYEYPLESVPWSSCAENLVEIGMVRSKLKQLWNGDQHLGNLKYVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKQLYLRHCQSLCNLPSFLHLKNLEILSISGCSKIRVFPEVPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLLANDSGIKELPESICNLKKLTCLSTARCENLQSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFFNVGGCINLNELPECLGNLESLELLVVSHSGIKKLPSSVNQLSNLRSLHLGGCKGLMIPALTGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELLFCIPGREVPKWIKYQNNSGSRLSFPFSQPKRAEFTRFIYCAVFDPKVYHPFPGRGSLQIGFEGINESGHGQYHFCNYWKNHIRISSHASYLRSEHVFLWSSYARHSHFREKNMTLQFFSEEIISRVDSNKRRRSYSGIIKCGFHLE >Manes.10G087600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22408370:22414507:1 gene:Manes.10G087600.v8.1 transcript:Manes.10G087600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLDNLVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSENYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGSFGDSLAKHKEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYKVKKLNDDEASQLFCFHAFRRDISTEEYMKLSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGTSDPKIHGILKLSYDGLSKDDKEIFLDIACFFKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTPNAFEKMYNLKFLKFYCSILHWNRVKLPEGLNFLPDELRLLHWYEYPLESVPWSSCAENLVEIGMVRSKLKQLWNGDQHLGNLKYVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKQLYLRHCQSLCNLPSFLHLKNLEILSISGCSKIRVFPEVPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLLANDSGIKELPESICNLKKLTCLSTARCENLQSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFFNVGGCINLNELPECLGNLESLELLVVSHSGIKKLPSSVNQLSNLRSLHLGGCKGLMIPALTGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELLFCIPGREVPKWIKYQNNSGSRLSFPFSQPKRAEFTRFIYCAVFDPKVYHPFPGRGSLQIGFEGINESGHGQYHFCNYWKNHIRISSHASYLRSEHVFLWSSYARHSHFREKNMTLQFFSEEIISRVDSNKRRRSYSGIIKCGFHLE >Manes.10G087600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22408371:22414568:1 gene:Manes.10G087600.v8.1 transcript:Manes.10G087600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLDNLVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSENYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGSFGDSLAKHKEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYKVKKLNDDEASQLFCFHAFRRDISTEEYMKLSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGTSDPKIHGILKLSYDGLSKDDKEIFLDIACFFKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTPNAFEKMYNLKFLKFYCSILHWNRVKLPEGLNFLPDELRLLHWYEYPLESVPWSSCAENLVEIGMVRSKLKQLWNGDQHLGNLKYVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKQLYLRHCQSLCNLPSFLHLKNLEILSISGCSKIRVFPEVPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLLANDSGIKELPESICNLKKLTCLSTARCENLQSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFFNVGGCINLNELPECLGNLESLELLVVSHSGIKKLPSSVNQLSNLRSLHLGGCKGLMIPALTGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELVYFSFFLWHYYYSISS >Manes.10G087600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22408304:22414493:1 gene:Manes.10G087600.v8.1 transcript:Manes.10G087600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLDNLVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSENYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGSFGDSLAKHKEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYKVKKLNDDEASQLFCFHAFRRDISTEEYMKLSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGTSDPKIHGILKLSYDGLSKDDKEIFLDIACFFKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTPNAFEKMYNLKFLKFYCSILHWNRVKLPEGLNFLPDELRLLHWYEYPLESVPWSSCAENLVEIGMVRSKLKQLWNGDQHLGNLKYVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKQLYLRHCQSLCNLPSFLHLKNLEILSISGCSKIRVFPEVPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLLANDSGIKELPESICNLKKLTCLSTARCENLQSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFFNVGGCINLNELPECLGNLESLELLVVSHSGIKKLPSSVNQLSNLRSLHLGGCKGLMIPALTGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELVYFSFFLWHYYYSISS >Manes.10G087600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22408349:22414453:1 gene:Manes.10G087600.v8.1 transcript:Manes.10G087600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLDNLVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSENYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGSFGDSLAKHKEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYKVKKLNDDEASQLFCFHAFRRDISTEEYMKLSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGTSDPKIHGILKLSYDGLSKDDKEIFLDIACFFKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTPNAFEKMYNLKFLKFYCSILHWNRVKLPEGLNFLPDELRLLHWYEYPLESVPWSSCAENLVEIGMVRSKLKQLWNGDQHLGNLKYVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKQLYLRHCQSLCNLPSFLHLKNLEILSISGCSKIRVFPEVPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLLANDSGIKELPESICNLKKLTCLSTARCENLQSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFFNVGGCINLNELPECLGNLESLELLVVSHSGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELLFCIPGREVPKWIKYQNNSGSRLSFPFSQPKRAEFTRFIYCAVFDPKVYHPFPGRGSLQIGFEGINESGHGQYHFCNYWKNHIRISSHASYLRSEHVFLWSSYARHSHFREKNMTLQFFSEEIISRVDSNKRRRSYSGIIKCGFHLE >Manes.10G087600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22408347:22414507:1 gene:Manes.10G087600.v8.1 transcript:Manes.10G087600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTIPQQKKYDVFISFRGADVRHNFLSHLNKALLDNLVNTFVDENLDRGEEISSSLLKTIEESCISIVIFSENYASSPWCLDELIKIIECSKTMEQMVLPVFYHVDPTIVQEVTGSFGDSLAKHKEEFKDSLHKVESWSQALKETGGMSGFVSHDIKNDSELIAKIVSWISEKVDLMFPSDPINDGLVGIDSRVKDFESLLGLEMADVRYVGIWGMAGIGKTTLAREVFNRIFYQFTIKCFVEDVRDNFHKCGPDGLRRLILSQALGRENSNVGMPIMLLSSIRRRLCREKILLVLDDVSDVREIELSIGKCAVFGPGSRIIITSRDQQLLKYMGAEIYKVKKLNDDEASQLFCFHAFRRDISTEEYMKLSKRAVEYAQGIPLALEVLGSNLYGRSVGEWEDELEKLKGTSDPKIHGILKLSYDGLSKDDKEIFLDIACFFKGQDRDYVEKMLDSPGSKIGISRLLDKSIISVIDNRVHMHDLLQQMGKDIICQEKQLGQRSRLWDPKDIYYLFTRAEGTEAIKGILLDMSKIKDLELTPNAFEKMYNLKFLKFYCSILHWNRVKLPEGLNFLPDELRLLHWYEYPLESVPWSSCAENLVEIGMVRSKLKQLWNGDQHLGNLKYVDLSYSKDLMSIPDLSTIPNLEVLRLSFCKSLIEIPLSIKYLSKLKQLYLRHCQSLCNLPSFLHLKNLEILSISGCSKIRVFPEVPCAIRDLDLEGTIVERVPLSIGYLPCLSNLALSSCTRLTSLPDSICNLKSLRHFSIYDSVNLLELPENLGNLESLRKLSVGKSGIKELPDSICNLKKLIFLSIEKCVNLHYLPENLGNLESLERLLANDSGIKELPESICNLKKLTCLSTARCENLQSLPENLGHLESLDELRAFGPGLKRLPHGICNVKELRFFNVGGCINLNELPECLGNLESLELLVVSHSGIKKLPSSVNQLSNLRSLHLGGCKGLMIPALTGLSHLFEVVLEFCGLLEFPNNICNLVSLRTLYIGGNDFESIPDTIKHLSNLIKLDLSHCKRLKYLPELPSLSMLYARNCTVLKSASSLFQLRSIKHLDFRDCLNLEDKIVDHLLASSWQRELVYFSFFLWHYYYSISS >Manes.14G120156.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11574086:11581675:-1 gene:Manes.14G120156.v8.1 transcript:Manes.14G120156.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMKVCIMVLAMTMVLLFQGVWCSNDCWETERIALLQLQSHFNYSLQNDYYSFQNNPYLFFYDYSIEIDIIKCCDWERVRCSATTGHVIQLNLMDIRDFSLETWYLNASLFLPFQHLNYLDLSGNYIAGYFKNEGFQRLSSLENLKFLNLGFNNFNNNILSSLTHLSSLKYLYLDYNLIKGRINIKELNSFTNLKSLSIGGNEIEGFNSFNGGEKLLNMDNLEFLGMHYNYLENGVISSLTGLFSLKILWMDYNQLKGSFNLKELDTLSNLEVLSLSGNNITKFVSSKGGEDLSNMSNLEFLDMSFNNLENDVLSSLRSLSFLKTLWMNYNQFEGPFDLKESDTMSNLEVLSLDGNNITKFIGSKGMRSLKNLKALYLRGIKGSNMLLESLGAFTNLETLYMGQSHLKGTRFTQGSNFTNLRELYLNGSWVDGNFLQSLETLPLLETLSMPDCELSGILPVNLGICKLKYLQMLNISHNDLSGNLPLCLVNLTSLRQLDLSSNHFTGNISSSPLEGLTNLEYLSVSDNLFQIPISLSPIFNHSKLKYVESRGNKIFAETNDQYLNPRFQLEKLVLSSGGYGEAFPKFLYHQHDLQFIDLSHIQMSEGFPYWLLQNNTKLEKLYLINNSLSGSLKLPIHSHMNLSVLDISDNFFQGFITPEIGTYLPKLSHLNMSGNGFSGSIPSSFGNMSLLERLDLSNNRLSGTIPEDLTIGCVSLQELILSNNSLQGQIFSETSNLRFLYELQLDDNQFTGSIPHSLSNSSFLEVLDLSHNNLYGRIPRWLGNMYFLRVLDLSMNNISGSLPSNFCPSIIQEIYLSRNGLQGSLEDAFYGCSELTVLDLGHNHMTGSIPSWIGKFSRLSYLILGHNYIDGEIPVQLCNLTQLSLLDLSHNHLFGPILPCLRSTSKTYRQQEGSYNASTEPLEFTTKSISYSYQGRMLSYISGIDLSCNHLTGQIPIEIGYLNEIHVLNLSHNSLTGKIPASFSNLRQIESLDLSYNNLEGNIPPQLTELTFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRNCTEMVSPPSKSRTSIENEESNGFMDMGVFYISFGVAYSVVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFIIDNLVVLFKFRFCSLRR >Manes.15G116800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9330054:9333901:-1 gene:Manes.15G116800.v8.1 transcript:Manes.15G116800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Manes.15G116800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9330054:9333878:-1 gene:Manes.15G116800.v8.1 transcript:Manes.15G116800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Manes.13G068088.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9163780:9171660:-1 gene:Manes.13G068088.v8.1 transcript:Manes.13G068088.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLYTVIFAEMALVMILLFKTPLRKIVIATLDRVKRGRGPVMVKTVAGTVFVVLLSSLYSIIEIQNRAIEAGSPNPTDQVLMSSHMLEASLMGFLLFLALMIDRLHHYIRELRLLRKTMEAAKKQSRSLDDGKNGGSEEIKALGEEIVNLKSKIKNLEFECEAKTKEANAAKAEAEAKRK >Manes.03G031196.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2559549:2575065:1 gene:Manes.03G031196.v8.1 transcript:Manes.03G031196.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDVVRTKTYQNVIYQNKFLFKNKIVLDVGAGTGILSLFCAKAGAAHVYAVECSDMADMAKEIVESNGFSEVVTVLKGEIEEIELPVAKVDIIISEWMGYFLLYENMLNTVLYARDKWLVSDGILLPDKASLYLTAIEDADYKEDKIEFWNNVYGFNMSCIKKQAIMEPLVDTVDQKQIVTDCQLLKIMDISQMVSGDASFTVPFKLVAERDDYIHALVVYFDVSFTKCRKLMGFSTGPRSRATHWKQTILYLEDVLTICEGEVLSGNMTVAPNQKDPRDIDIMIKYAFNGQRCVVSRTQYYKMW >Manes.08G045150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4500758:4501121:-1 gene:Manes.08G045150.v8.1 transcript:Manes.08G045150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRYSRMFSTIFDNSSRAEGFLVDFSACSRISGRAATWPPARSYARIPENQTTVVGRSVPHPPW >Manes.18G093900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8696149:8696868:-1 gene:Manes.18G093900.v8.1 transcript:Manes.18G093900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHSFLIISAIIFSSLSLYLPSKAEATLQVSRHPNKLLTEICKQSNDMGFCMALMNSKPQILSATNIRTVANFALAVARKQSIVKRNFFNRLASQAKYPASVEAFKDCAAHFNETVGMLNLDGLEGGTASLDVHYSLDNVQFCENGLARAKVDGGLISATIGDWKKYYSVAYASVEIVEDETETPSGL >Manes.06G093800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22860262:22862984:1 gene:Manes.06G093800.v8.1 transcript:Manes.06G093800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTDPRPCDLLLVFAPEQPSTQSLEMDNLSIPTVDLSHFFRDGDEDGKNKAIETISQACSEYGFFQIENHGVPVDLMNQALKLSKEFFDFPDEVKRLSSPGPDAPLPAGYSRQPDHSPDKNEYVLVFPPGSSFNVYPTNPPGFREVVEEIFSYLIKTSFIIESILNECLGLPPNFLKEFNHDRSWDFMVALRYFPATENENNGITEHEDGNWFTFVFQDEAGGLEVRKNGKWIPVTPAKHNIVVNVGDVIQVLSNKKFKSATHRVVRPEGKSRYSYAFFYNLQGDKWVEPLPQFAGEIGEAPKYRGFFFKEYQELRLRNKTHPPSRPEDVIHITHYAINN >Manes.06G093800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22860263:22862984:1 gene:Manes.06G093800.v8.1 transcript:Manes.06G093800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTDPRPCDLLLVFAPEQPSTQSLEMDNLSIPTVDLSHFFRDGDEDGKNKAIETISQACSEYGFFQIENHGVPVDLMNQALKLSKEFFDFPDEVKRLSSPGPDAPLPAGYSRQPDHSPDKNEYVLVFPPGSSFNVYPTNPPGFREVVEEIFSYLIKTSFIIESILNECLGLPPNFLKEFNHDRSWDFMVALRYFPATENENNGITEHEDGNWFTFVFQDEAGGLEVRKNGKWIPVTPAKHNIVVNVGDVIQVLSNKKFKSATHRVVRPEGKSRYSYAFFYNLQGDKWVEPLPQFAGEIGEAPKYRGFFFKEYQELRLRNKTHPPSRPEDVIHITHYAINN >Manes.12G089560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15195756:15196690:-1 gene:Manes.12G089560.v8.1 transcript:Manes.12G089560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNHYHSWFYLIFFFLLIGLAASSSIFYDALDSHGHSGRTLLQAKKQCNVSFENMDYTVLIQNCKGPQFPVKNCCDALKKFACPHADILNDRTNNCAETMFSYINLYGKYPPGLFANECREGKEGLVCEAEEDSSSTRVHITAAQSSFLVLMAGFLGLYFHLL >Manes.10G022500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2193239:2207891:-1 gene:Manes.10G022500.v8.1 transcript:Manes.10G022500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAIVTFAIERIADAVVREASSLYGVRQGIEQLQTELKRIRCFLKDADSKQDHDERVRNCIAEIRDIAYEAEDIIDTFILKADTFIDLAYDSEDVIDTFLLKVARGRGEGVRGFINKAFFMFTKASYLHSIGTQITSIGSKIEDINKSMQTYGIQLVEGEGSNYERQQRYRRPDPHVEEEYVNCLEAVISDLKARLMMEEEQVRVVSIVGMGGLGKTTLAKKIYNDVDVKQNFDFHSWIFISQQFSVKEVVVRILMDAASNEDKAKLLEDMKGGQPLKSKVGKMKEDEEFKCLLERMKEEDLIRTLHSTLIEKRYFVVLDDIWTTEAWDYLKRAFPNGKRGSKVLITTRNTVVASHADPQSSVVEPPLLKDDEGWELLKRKTFPRPPEFEKLGREMVKKCKGLPLAIVVLGGLLATKKSLTEWNSVHENIIAHFIKWEQHHQYGGVYGILGLSYDDLPFHLKPCFLYLSQFPEDWEFRKRELIRMWIAEGFILQPSIGGEEETMEDVGEEYLEELTSRCMVQVSERDHTGIGVKSCRLHDLIRDMCISKARSENFLGVIQHAEDAKANSSSSTLQLTSNNKWRRVAIHPRIFGKDGRKTDFYVPSLKSGDLYLRSLFYLIEPAIRKILKSGDFVYNMTRQQARFIFENFRMLRVLKIDYIWQYDRCLPGEVGYLIHLRYLGLVGGGMTDGVKCRCVAALPSSIGNLRSLYTLDLRRNGVIILPAAVSKLECLRHLLLDGELIWQFRLDKLRHLETLKVVSAKHLIKRDTIQKLTNLRSLAVEFKTTEEVMAVIGSPIFGLGRLRSLKMRMRADIPFPNLEPLSVIPEDPHSLHHNLKHLPASLAKLTLMESYLKRDPMGILEKLPSLRILLLRFNAYEGSKMVCSANGFPQLETLTLESLDTLEEWEIEGAMPCLKTLGLVFLEKLKMITEGLKSVATIQELKIDVNTAVKTRIKVIGGAEGEDFDNAVCKILCGYD >Manes.06G138800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26639187:26644586:1 gene:Manes.06G138800.v8.1 transcript:Manes.06G138800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDALFIESFFSEVVDIDYEFDAAKYYDFTRPETVFEAQEAERWFEITGYYPPSPLKVKPSWELSIPVQSGNSSSICKATENTNPTSGDLSTSMDFETTSADNKSRPESYNEATSSTKSPALKNSNFMNPTTSQLAKQNRPPQIHCDRLLRQSQKSVKFEECSQSSSMTGTQATKKQKLDASYSWKLALLKHRELLLQKARPKKVGQIDFHTTFAKPKATIAREPNLETACRAESRRSKTNLESIQTAKSTCNFRARPLNRKILEAPLFPLPKKSNKQLPEFQVFHLRTSERAVQHASAIAANAPNSGPIPQNETRSSTRLTPVAALKEKLETFDKFKDCCLKKKECNFPNDRRFPNEPPVEAFSKLSLTSKVDSNVNSRSKSHWMLRRMHQVLFIQDMR >Manes.06G138800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26639187:26647214:1 gene:Manes.06G138800.v8.1 transcript:Manes.06G138800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDALFIESFFSEVVDIDYEFDAAKYYDFTRPETVFEAQEAERWFEITGYYPPSPLKVKPSWELSIPVQSGNSSSICKATENTNPTSGDLSTSMDFETTSADNKSRPESYNEATSSTKSPALKNSNFMNPTTSQLAKQNRPPQIHCDRLLRQSQKSVKFEECSQSSSMTGTQATKKQKLDASYSWKLALLKHRELLLQKARPKKVGQIDFHTTFAKPKATIAREPNLETACRAESRRSKTNLESIQTAKSTCNFRARPLNRKILEAPLFPLPKKSNKQLPEFQVFHLRTSERAVQHASAIAANAPNSGPIPQNETRSSTRLTPVAALKEKLETFDKFKDCCLKKKECNFPNDRRFPNEPPVEAFSKLSLTSKVDSNVNSRSKSHWMLRRMHQVLFIQDMR >Manes.06G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26639187:26644629:1 gene:Manes.06G138800.v8.1 transcript:Manes.06G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDALFIESFFSEVVDIDYEFDAAKYYDFTRPETVFEAQEAERWFEITGYYPPSPLKVKPSWELSIPVQSGNSSSICKATENTNPTSGDLSTSMDFETTSADNKSRPESYNEATSSTKSPALKNSNFMNPTTSQLAKQNRPPQIHCDRLLRQSQKSVKFEECSQSSSMTGTQATKKQKLDASYSWKLALLKHRELLLQKARPKKVGQIDFHTTFAKPKATIAREPNLETACRAESRRSKTNLESIQTAKSTCNFRARPLNRKILEAPLFPLPKKSNKQLPEFQVFHLRTSERAVQHASAIAANAPNSGPIPQNETRSSTRLTPVAALKEKLETFDKFKDCCLKKKECNFPNDRRFPNEPPVEAFSKLSLTSKVDSNVNSRSKSHWMLRRMHQVLFIQDMSSE >Manes.06G138800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26639187:26644629:1 gene:Manes.06G138800.v8.1 transcript:Manes.06G138800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDALFIESFFSEVVDIDYEFDAAKYYDFTRPETVFEAQEAERWFEITGYYPPSPLKVKPSWELSIPVQSGNSSSICKATENTNPTSGDLSTSMDFETTSADNKSRPESYNEATSSTKSPALKNSNFMNPTTSQLAKQNRPPQIHCDRLLRQSQKSVKFEECSQSSSMTGTQATKKQKLDASYSWKLALLKHRELLLQKARPKKVGQIDFHTTFAKPKATIAREPNLETACRAESRRSKTNLESIQTAKSTCNFRARPLNRKILEAPLFPLPKKSNKQLPEFQVFHLRTSERAVQHASAIAANAPNSGPIPQNETRSSTRLTPVAALKEKLETFDKFKDCCLKKKECNFPNDRRFPNEPPVEAFSKLSLTSKVDSNVNSRSKSHWMLRAQRRMHQVLFIQDMR >Manes.06G138800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26639187:26647075:1 gene:Manes.06G138800.v8.1 transcript:Manes.06G138800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDALFIESFFSEVVDIDYEFDAAKYYDFTRPETVFEAQEAERWFEITGYYPPSPLKVKPSWELSIPVQSGNSSSICKATENTNPTSGDLSTSMDFETTSADNKSRPESYNEATSSTKSPALKNSNFMNPTTSQLAKQNRPPQIHCDRLLRQSQKSVKFEECSQSSSMTGTQATKKQKLDASYSWKLALLKHRELLLQKARPKKVGQIDFHTTFAKPKATIAREPNLETACRAESRRSKTNLESIQTAKSTCNFRARPLNRKILEAPLFPLPKKSNKQLPEFQVFHLRTSERAVQHASAIAANAPNSGPIPQNETRSSTRLTPVAALKEKLETFDKFKDCCLKKKECNFPNDRRFPNEPPVEAFSKLSLTSKVDSNVNSRSKSHWMLRAQRRMHQVLFIQDMR >Manes.06G138800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26639187:26644586:1 gene:Manes.06G138800.v8.1 transcript:Manes.06G138800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDALFIESFFSEVVDIDYEFDAAKYYDFTRPETVFEAQEAERWFEITGYYPPSPLKVKPSWELSIPVQSGNSSSICKATENTNPTSGDLSTSMDFETTSADNKSRPESYNEATSSTKSPALKNSNFMNPTTSQLAKQNRPPQIHCDRLLRQSQKSVKFEECSQSSSMTGTQATKKQKLDASYSWKLALLKHRELLLQKARPKKVGQIDFHTTFAKPKATIAREPNLETACRAESRRSKTNLESIQTAKSTCNFRARPLNRKILEAPLFPLPKKSNKQLPEFQVFHLRTSERAVQHASAIAANAPNSGPIPQNETRSSTRLTPVAALKEKLETFDKFKDCCLKKKECNFPNDRRFPNEPPVEAFSKLSLTSKVDSNVNSRSKSHWMLRRMHQVLFIQDMR >Manes.06G138800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26639187:26644628:1 gene:Manes.06G138800.v8.1 transcript:Manes.06G138800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMDALFIESFFSEVVDIDYEFDAAKYYDFTRPETVFEAQEAERWFEITGYYPPSPLKVKPSWELSIPVQSGNSSSICKATENTNPTSGDLSTSMDFETTSADNKSRPESYNEATSSTKSPALKNSNFMNPTTSQLAKQNRPPQIHCDRLLRQSQKSVKFEECSQSSSMTGTQATKKQKLDASYSWKLALLKHRELLLQKARPKKVGQIDFHTTFAKPKATIAREPNLETACRAESRRSKTNLESIQTAKSTCNFRARPLNRKILEAPLFPLPKKSNKQLPEFQVFHLRTSERAVQHASAIAANAPNSGPIPQNETRSSTRLTPVAALKEKLETFDKFKDCCLKKKECNFPNDRRFPNEPPVEAFSKLSLTSKVDSNVNSRSKSHWMLRAQRRMHQVLFIQDMSSE >Manes.11G060433.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9063591:9065892:1 gene:Manes.11G060433.v8.1 transcript:Manes.11G060433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNSSEDVASCKATTVSQPSSTTQPANSSTHSQLEPSTPINPSHSLPAASNPTVSLPPISNLGKKRKLTSTVWDHFEKVHHSGNDWAICSYCKTSLKANSKNGTKSLHNHIEKCAKKGNQDIVKCLEKQKQISMDIRNDGKVHFGNFTFVQEKSRRELACAIILHEYPLSITEHVGFRKFVASLQPLFKMVSRNSIKKDILNIYDVEFNKLYKSLEKLKSRIAITTDMWTSNQKKGYMSITAHYIDDFWVLQNQILRFVYVPTPHTKEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIVVDKLFGDLLCDGTVLHMRCCAHILNLVVKDGLATIESSLSRIRDSVVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFPRLKIREKSYKDVPTYDDWEMAKRVAEKLETFHSITEIFSGRKYPTSNCFFISICQLRNSIMEWMSSDDDVIKSMSARMFEKFEKYWSVVHIVLAVAVILDPRYKIKVVEYYFPMIYGDNASHEIEQVKVTCYNLLNDYQSRAFKPKSQSSSSVPPISISENQGSLKKDFSNLVAFLNSSSTSVHVKSELDHYLEELVLPWMQEFDILNWWKTNGIKYPTLQMIARYFFAVPVSSVASEFAYSTGGRVVSIHRSRLHEDTLEALMCSQNWLWSEIEAGCSNESKSCLRDAEDDVN >Manes.S027452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2298712:2299524:1 gene:Manes.S027452.v8.1 transcript:Manes.S027452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G179560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16873055:16875646:-1 gene:Manes.15G179560.v8.1 transcript:Manes.15G179560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLADVYHVVAATVPLYFAMILAYISMKWWRLFTPDQCAGINKFVAKFSIPLLSFHVISNNNPYKMNLKLIFADFLQKLLGLLVLTALTKISSRGRLNWIITGLSLSTLPNTLILGIPLLKAMYGDEAEALLSQIIGLQSLVWYNLLLFLFELNATYAAPVAPSSEITEDLEAHHDAQSKEGEEETHATKRVKTMLILFTVGRKLVRNPNFHATLLGLIWASIHFRWGVKMPEIVDNSILILSNGGLGMAMFSLGLFMASRTSIIACGVRLAVLAMAMKFIAGPALMAVASLAITVRGTVFKVAIVQAALPQGIVPFVFAKEYNIHPDILSTGVIFGMLIALPIALAYYSLLAL >Manes.15G179560.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16873762:16875646:-1 gene:Manes.15G179560.v8.1 transcript:Manes.15G179560.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLADVYHVVAATVPLYFAMILAYISMKWWRLFTPDQCAGINKFVAKFSIPLLSFHVISNNNPYKMNLKLIFADFLQKLLGLLVLTALTKISSRGRLNWIITGLSLSTLPNTLILGIPLLKAMYGDEAEALLSQIIGLQSLVWYNLLLFLFELNATYAAPVAPSSEITEDLEAHHDAQSKEGEEETHATKRVKTMLILFTVGRKLVRNPNFHATLLGLIWASIHFRWGVKMPEIVDNSILILSNGGLGMAMFSLGLFMASRTSIIACGVRLAVLAMAMKFIAGPALMAVASLAITVRGTVFKVAIVQAALPQGIVPFVFAKEYNIHPDILSTG >Manes.07G014600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1763571:1766617:-1 gene:Manes.07G014600.v8.1 transcript:Manes.07G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLNSPAIVTASQFSINLPIPKLTSTSTATATATLYSFSKPTNFLNLSGNDNSSIDKWRAKVSFFPAFLKKGKDAKVLKEELLEAIAPLDRGAQATPEDQQAVDEIARKLEAVNPTKQPLKSNLLNGKWELIYTTSQSILQTQRPKFLRSITNYQAINADTLRAQNMESWPFFNQVTADLTPLNAKKVAVKFDFFKIAGLIPVKAPGRARGELEITYLDEELRISRGDKGNLFILKMIDPSYRVPV >Manes.09G161401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35613446:35613990:1 gene:Manes.09G161401.v8.1 transcript:Manes.09G161401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSVRTVLQCYTVNNFSCMILGGLVVEHLVFGDFLFPFLIEEQMDKALKWLGFSKDEASFQVRWAALNTVFVLCRYHKARLKLVEAMAQGLSVGFCIDAIENAMDGEQI >Manes.03G103300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22716666:22717203:1 gene:Manes.03G103300.v8.1 transcript:Manes.03G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNNLRILLYLLLVLLLFSRLEARPIHDQLSNERRITSLSLIERAKEVLSESMKRQEMIGGFNQSLRRSPGGPDPRHH >Manes.09G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12277892:12280990:1 gene:Manes.09G072100.v8.1 transcript:Manes.09G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSKLVPLFLFFTLCFSFQTPVFAVKKSYVVYLGSHSHSAQVSEADLHSVTQYHHDFLGSFIGSTEKARDAIFYSYKYHINGFAAHLEEQEAAQIAKHPKVVSVILNEAKQLHTTHSWEFVLLEKNGTIHPSSLWTKAGFGEDVIIANLDTGVWPESKSFHDEGYGPVPSKWGKGKCKNETLVPCNRKLIGAQFFKDGYEADGNKLDPSLYTARDYEGHGSHTLSTVGGNFVPGASMLNVEAVNGTAKGGSPKARVAAYKVCWGEDGCYNADILAGFEAAIHDGVDVISVSLGGGVQDYNNDVIAIGAFHAMQKGIVVVCSAGNSGPGPSTVTNIAPWIITVGASTIDRQFQTWVELGNGHRFKGEGFTNSLPESRLYPLISGAQAKASNASAQDAELCKAGSLDHEKVRGKILACLRGGNARVDKGMQAFLAGAVGMILCNDKPDGNGLVADFHILPASHISYKDGLAVYSYINSTNEPVGYITPTQQVIGVTPAPVIASFSSVGPNPLTPEILKPDVTAPGVNIIAAFSLGTSVTGLDNDNRTTPYITMSGTSMSCPHVSGVVGLLKSLHPDWSPAAIRSAISTTARTRDNTQNPMLNGSSEKATPFSYGSGHIRPNRAMDPGLVYDVSVNDYLDFLCASGYNSTMIEQISESPYKCTKSASLLDFNYHSIAVTALSGSVSLSRKLKNVGSPGKYAVHIREPYGISVSVKPRMLKFQKVGEEKSFKVTLKPKWKGAAKKYEFGSLAWTDGFHYVRSPIVVSAAKI >Manes.07G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30604705:30608056:1 gene:Manes.07G101100.v8.1 transcript:Manes.07G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASRGQNGIQQLLAAEQEAQHIVNAARNAKMARLKQAKEEAEKDIAEFRAHVEAEFQRKVAESSGDSGANVKRLEQETEAKIHHLKTEAARISHDVVHILLKHVTTVKN >Manes.06G122000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25266808:25272948:-1 gene:Manes.06G122000.v8.1 transcript:Manes.06G122000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILCILFIFQGHSCCRYQDAPSGVKRCLKLSMTDGVQRVFGMEYRPIKDLQVLASAGLKVIISNVHIRRGLLMLVPEALEVLGGIVEELEAARQLLVNEVNKPPRGRRSRTGVVPSLATRATLAAWNRNAVNIPGNTNSSVDVHGNTNSSFSVLNHSNGYVNVLDDTTSVSVHGPANGSDVLRSNNSPTLQGATPFQANQGGAMVAPGSSRRSTEEFATPMSSGNTLPNSSSTVVSDVEEMHTDAFFNRENAVSDQLPNDISNHEDVHMVDELEHPLILSGDREIPFTYLASLSAKWAAMKEKAPFVQGKVKCFLTGVKGFQYKRRTKYELCVYVDDGSLISEILIDHDVVQKGIGHSPEEVTAALSSPDAKRVGDMKETLRQFQMFLVNFEGTLRIEMNGTSSLPLALEMNRGCSDSDAWLLMRRLKSSSAPGHIPPQDPIELSP >Manes.06G122000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25266808:25272947:-1 gene:Manes.06G122000.v8.1 transcript:Manes.06G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLMLRSYSDEDDNQEDQLQDQDHDNEVRSQIEPAANHLPPDPNPNIQEPVPISDDDDFVDVSENLTPPSPPSDPVPNQSLQRSTPVPPGISGCPVGDFLLRMGLKLKREWLDSCLRGLGSSVRDLDVAAKAKLCFQQFLFSDMNYCGGGVLPPNVDSMHLVHLPGPFVLQVDEIVNISCPLKGRYQDAPSGVKRCLKLSMTDGVQRVFGMEYRPIKDLQVLASAGLKVIISNVHIRRGLLMLVPEALEVLGGIVEELEAARQLLVNEVNKPPRGRRSRTGVVPSLATRATLAAWNRNAVNIPGNTNSSVDVHGNTNSSFSVLNHSNGYVNVLDDTTSVSVHGPANGSDVLRSNNSPTLQGATPFQANQGGAMVAPGSSRRSTEEFATPMSSGNTLPNSSSTVVSDVEEMHTDAFFNRENAVSDQLPNDISNHEDVHMVDELEHPLILSGDREIPFTYLASLSAKWAAMKEKAPFVQGKVKCFLTGVKGFQYKRRTKYELCVYVDDGSLISEILIDHDVVQKGIGHSPEEVTAALSSPDAKRVGDMKETLRQFQMFLVNFEGTLRIEMNGTSSLPLALEMNRGCSDSDAWLLMRRLKSSSAPGHIPPQDPIELSP >Manes.06G122000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25266808:25272947:-1 gene:Manes.06G122000.v8.1 transcript:Manes.06G122000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRLMLRSYSDEDDNQEDQLQDQDHDNEVRSQIEPAANHLPPDPNPNIQEPVPISDDDDFVDVSENLTPPSPPSDPVPNQSLQRSTPVPPGISGCPVGDFLLRMGLKLKREWLDSCLRGLGSSVRDLDVAAKAKLCFQQFLFSDMNYCGGGVLPPNVDSMHLVHLPGPFVLQVDEIVNISCPLKGRYQDAPSGVKRCLKLSMTDGVQRVFGMEYRPIKDLQVLASAGLKVIISNVHIRRGLLMLVPEALEVLGGIVEELEAARQLLVNEVNKPPRGRRSRTGVVPSLATRATLAAWNRNAVNIPGNTNSSVDVHGNTNSSFSVLNHSNGYVNVLDDTTSVSVHGPANGSDVLRSNNSPTLQGGAMVAPGSSRRSTEEFATPMSSGNTLPNSSSTVVSDVEEMHTDAFFNRENAVSDQLPNDISNHEDVHMVDELEHPLILSGDREIPFTYLASLSAKWAAMKEKAPFVQGKVKCFLTGVKGFQYKRRTKYELCVYVDDGSLISEILIDHDVVQKGIGHSPEEVTAALSSPDAKRVGDMKETLRQFQMFLVNFEGTLRIEMNGTSSLPLALEMNRGCSDSDAWLLMRRLKSSSAPGHIPPQDPIELSP >Manes.02G049900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4033762:4037688:-1 gene:Manes.02G049900.v8.1 transcript:Manes.02G049900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICHSLSFPSLSKPTSFLTPKSLTQVHFPRSISTARPCKTRPTDSPSSATTAGVQDDPKTNSLPMSVDNLHRFFDLNIGKWHGSFHQFDASGKLMQKVSTRLSVSSYGEDELISLIQTLYIKQPPTSTSISGYDEEPEWAEYKIKETNMFTVDKYQQIVFFPDERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRLPSIVCENCLYSQEKDRRARAFHIMDPKGVLEMLLIFLEDRGDGVLSHPSLDSITDITSTSDQRDVTTNVHWTGNIADNLITFDGGYQITLLPGGMYMGCPTDIAKSVAESKSFHLEFCWLEAPGKRQRLVRTYDVEGLAVSSTYFSETKL >Manes.02G049900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4033162:4037692:-1 gene:Manes.02G049900.v8.1 transcript:Manes.02G049900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICHSLSFPSLSKPTSFLTPKSLTQVHFPRSISTARPCKTRPTDSPSSATTAGVQDDPKTNSLPMSVDNLHRFFDLNIGKWHGSFHQFDASGKLMQKVSTRLSVSSYGEDELISLIQTLYIKQPPTSTSISGYDEEPEWAEYKIKETNMFTVDKYQQIVFFPDERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRLPSIVCENCLYSQEKDRRARAFHIMDPKGVLEMLLIFLEDRGDGVLSHPSLDSITRDANRILPFLGRWKGHSITKRSGVYGSTIAEADTVALLEMDDMGQLIQDITSTSDQRDVTTNVHWTGNIADNLITFDGGYQITLLPGGMYMGCPTDIAKSVAESKSFHLEFCWLEAPGKRQRLVRTYDVEGLAVSSTYFSETKL >Manes.02G049900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4033281:4037686:-1 gene:Manes.02G049900.v8.1 transcript:Manes.02G049900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICHSLSFPSLSKPTSFLTPKSLTQVHFPRSISTARPCKTRPTDSPSSATTAGVQDDPKTNSLPMSVDNLHRFFDLNIGKWHGSFHQFDASGKLMQKVSTRLSVSSYGEDELISLIQTLYIKQPPTSTSISGYDEEPEWAEYKIKETNMFTVDKYQQIVFFPDERAFALRYQTAGMLETVLRQGVLGEDDTGEESPRNLKLPSRLPSIVCENCLYSQEKDRRARAFHIMDPKGVLEMLLIFLEDRGDGVLSHPSLDSITRDANRILPFLGRWKGHSITKRSGVYGSTIAEADTVALLEMDDMGQLIQDITSTSDQRDVTTNVHWTGNIADNLITFDGGYQITLLPGGMYMGCPTDIAKSVAESKSFHLEFCWLEAPGKRQRLVRTYDVEGLAVSSTYFSETKLHLVRGAYEYDVILVVTPYLFKILN >Manes.14G053000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4499263:4502569:1 gene:Manes.14G053000.v8.1 transcript:Manes.14G053000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALSRPVTAAPVVSGLPLVYRLLTIYRFYTSGAAQPQLDPDYYYYNDNSSNYYEPSSNRLAKAPMADAESSVPTRGVHWAFIGYPRIKKRVYVERLSKLLEVPYISMASLVRQELKPGTSLYKQIANAVNRGQLVPEDIIFGLLSKRLEDGYYRGEIGFILDGIPRSQLQAEILDELAKIDLVVNFKCTDDFLIKHQEEGAWKDKLRAYVEKVNEQTTRRLLQETEKAS >Manes.14G053000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4499263:4502569:1 gene:Manes.14G053000.v8.1 transcript:Manes.14G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALSRPVTAAPVVSGLPLVYRLLTIYRFYTSGAAQPQLDPDYYYYNDNSSNYYEPSSNRLAKAPMADAESSVPTRGVHWAFIGYPRIKKRVYVERLSKLLEVPYISMASLVRQELKPGTSLYKQIANAVNRGQLVPEDIIFGLLSKRLEDGYYRGEIGFILDGIPRSQLQAEILDELAKIDLVVNFKCTDDFLIKHQEEGAWKDKLRAYVEKSKPLEDYYRKQKKLLDFQVGGAPGETWQGLLAALRLQHMNAVNCSKKLTSGYTLL >Manes.12G048302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4528411:4530311:-1 gene:Manes.12G048302.v8.1 transcript:Manes.12G048302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAQPFRTIMDFSSNLIAILGVVALLLLRNLWRAKNKKKIKGKLVPEAPGGLPIIGHLHQLGGKKSLARTLGEMADKYGSIFSLRLGVHRSVIITDHQAMKDCFTTNDKLFASRPHSIQAIHVGYDYASIGFAPYGTYWRNMRKLATIELLSSHRAKILNYVQISEVNYLVKDLYLHYKNNANAKINMSERIEHLILNMVTRMVAGKRFFDDNKEARSESGRPIGEIIREYMFVTGALVPGDLIPFLGWLDIGGIVKTMKRVTKEVDVIVESWIEEHKKKTENEAKKDFIDVMLSVVEDEPSMKLKRETIIKATTTAIILAGSDTTAITTIWALSSLVNNRQALERAQQEIDEKIGRDRCVQVSDVDKLEYLSAIIKETLRLYPPGPLGVPREAAEDCFISGYFIPKGTRIFTHLWKLHRDPKIWKDPEAFIPERFLTTNANLDVTGQNFEYLPFSAGRRSCPGMNLAMQVLHLTLARLIQAFDLKTPTNEPVDMTEAQGIVMPRLTPLEIVVVPRLDPEFYERE >Manes.18G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4834170:4845138:1 gene:Manes.18G057400.v8.1 transcript:Manes.18G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLQRFFSSLGDCGGCLRHSNVSHSTSTSQRPRPGGILNPDRHFHLGKQTLKDNNCCTMPPPAVRLYIQDHHVVMDNGIVQVTLSNPGGIVTGIRYNGIDNLLEVLNRETNRGYWDLVWNPPGGKGIFDVISGTSFKVIVENEEQVELSFTRMWDPSLEGKYIPLNIDKRFILLRGSSGFYSYAIYEHLKEWPGFELGETRITFKLRKDKFQYMAVGDNLQRLMPLPDDRMPGRCQTLAYPEAVLLVNPKHPELKGEVDDKYQYSCNNEDNQVHGWISFNPPVGFWQITPSNEFRTGGPLKQNLTSHVGPTTLAMFHSSHYAGKDLLPIYNPGEYWKKVFGPVFIYLNSASTGNDSLFLWQDAKIKMMAEVQSWPYSFPASADFQKSAERGNVFGRLLVQDRYMSEDYIVASGAYVGMAPPGDVGSWQRECKDYQFWTRADENGCFFIKNIRTGDYNLYAWVPGFIGDYQFEAVVTIISGCNIYMGDLVYNPPRDGPTLWEIGIPDRSAAEFYVPDPDPMHVNKLFINHPDRFRQYGLWSRYVEIYPEADLVYTVGVSDHHKDWFFAQVVRRKDDGTHVGTTWQIKFKLDNVDQRNTYKLRVALASATLAELQVRVNDPKAARPLFTTGLIGRDNSIARHGIHGLYWLYNVNIPGVRLVEGENTVFLTQPRCTSPFQGLMYDYIRLEGPPSS >Manes.01G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3236808:3241521:1 gene:Manes.01G010100.v8.1 transcript:Manes.01G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQLTLSLPPLPNHMVPPIPSADPPPPLVYSLQSPPPSTAPPPPPPPPIFFKRPSARVTSEFDSDSSLFLHKVSCKLFDSLVKLKVSFQNNNKGEISDSQISFTSKLLSIHYDPAEQNALIKGSFDVGPNLQLKAAHDVKAQRGEVSMVAKLADPGYALELSSPVPTIGLPRATFKFPIGEVSLEEREDEEVKRTLSIGGMVKGKMLNGLCAAQFNDEDLKLRYAYKDEELSFIPSISLPSNALSFALKRRISPNNKLSYWYNFDSNNWSAVYKHTYGKDYKFKAGYDSDVRLGWASLWVGDEGGKAKTAPMKMKVQFMLQVPQDDIRSSALMFRVKKRWDIL >Manes.15G043100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3303702:3306955:-1 gene:Manes.15G043100.v8.1 transcript:Manes.15G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLLLLPPTLLSHSSSPSSFPANCAIHGNIHSVTKPVLPVSLKLHRLPANHANRSAVVRSALDEVAVLDPPPFSENDKGKTELIASLKLKLLSIVSGLNRGLAASEADLQKADDAAKELEAAGGLVDLSNDIDKLQGRWKLIYSSAFSTRTLGGSRPGPPTGRLLPITLGQVFQRIDVLSTDFDNIVELELGAPWPLPPVEVIATLAHKFELIGSAKVKITFEKTTVKTTGNLSQLPPLEIPRIPDALRPPDNRGSGEFEVTYVDADTRITRGDRGELRIFVIS >Manes.18G005301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:902487:904394:-1 gene:Manes.18G005301.v8.1 transcript:Manes.18G005301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPLKMNSCISIKTAKSEKRKAENYPLHTFLLLHNSLVALFVFQFLSSMSNMKFDRKPPLAKSPIRIQPRSLLRPNTSSVQTPPGSLTKSQKPNPTRDMEESNLRPEYHNISWELRALARMVNDEFGNENLTGARMNNRMSGNSSPLFERGRFYELYSARRNERLKRKKGETGEEENITYGLGVTVESSKKRDSKKLENLRKSVSAAYYVERSENPRYSLRSLSKENKKPPLAVNYEKSVRASGRKIGGQRVRKI >Manes.02G145100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11132653:11135919:1 gene:Manes.02G145100.v8.1 transcript:Manes.02G145100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPWWYLLGAAIPAVVAGQAFRMKKKRAEEQRLKSARGREKSSDEIFVCERVCTSKRMLKKVGALSKDPILDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLHPDRLLLSSKVSF >Manes.02G145100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11134733:11135116:1 gene:Manes.02G145100.v8.1 transcript:Manes.02G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPWWYLLGAAIPAVVAGQAFRMKKKRAEEQRLKSARGREKSSDEIFVCERVCTSKRMLKKVGALSKDPILDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLRRCQNECLRLSNSSLTS >Manes.02G145100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11133005:11135116:1 gene:Manes.02G145100.v8.1 transcript:Manes.02G145100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGNIQIKGFILCFGRGLFGRKLLTQGVFGAMVDPWWYLLGAAIPAVVAGQAFRMKKKRAEEQRLKSARGREKSSDEIFVCERVCTSKRMLKKVGALSKDPILDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDVCLRRCQNECLRLSNSSLTS >Manes.05G171901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28539356:28540798:-1 gene:Manes.05G171901.v8.1 transcript:Manes.05G171901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFYHDEPPKISRKCKFLTATLKDAFSNCRARRRLPTSRPEVEHPSSDGDDEQEVVVSAIRSRAMENSRQRSFVLTDSFSWVISPRTGELLLAPKVLPENDDDDEDEEEEREEFVSVKSCFSCRSSALSNEPFVSVKTNFSRCSSFSEVLELEDFPRRSIFLDLCHCKGWPFGLCRKAVLLPPLPKSPSESWSWRKRTRIVKVARV >Manes.01G144100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33467355:33468167:-1 gene:Manes.01G144100.v8.1 transcript:Manes.01G144100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVRGKCLGKGSYGSVFLAHPTTPDWPLLAVKSAYFRKSSSLMKERRILLGLFGSEGIVKCYGSCLSLEFFTTVYNLLMEFAPGGCLLDLINKTYGGKIPENDVRQYAGMILKGLSSIHKNGYVHCDLKPANILVFPSEQGLSQLKIADFGLAKELGERDLGKPCSFRGTPLYMSPESVGISVIRPALDIWSLGCVVIEMITGNPPCKGLGMQGLLNRLVLESGSPDIPENMSREGKDFLSKCFMRKYYERWTADMLLNHPFIVEEKFT >Manes.09G011844.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2729468:2750876:1 gene:Manes.09G011844.v8.1 transcript:Manes.09G011844.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLPCRAPFNRFFSALVKMKQYHTVLSMSKTIELLGISHNVYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPTAVTFNTLINGLCMDSKIDKAVECFDDMVARGYQPNVRTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVDEALELFSQMRNKGISPDVITYTSLIHKVCKLGQKNQALALMNEMVQQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPDVVTYNSLMDGYCLCKRIDKARKVFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPNAVTYSTLIKGMFEAGRPQTAQELFKNMCSHGQQPNIVTFSIMIAGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKISDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNDLILRLLKVRNEGSAN >Manes.04G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27564033:27571167:1 gene:Manes.04G075000.v8.1 transcript:Manes.04G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTIFGFCGFGVGISMGLMIGYYLFIYFQPSDVKDPEIRPLVEQDSETLQRMLPEIPLWVKNPDYDRIDWLNKFLEYMWPYLDKAICKTVKNIAAPIIAEQIPKYKIDSVEFETLTLGSLPPTFTGMKVYVTDEKELIMEPCFKWAGNPNVTVAVKAFGLKVTAQVLDLQVFALPRITLKPLVPSFPCFAKIYVSLMEKPHVDFGLKLVGADLMSIPGLYRVIQEIIKDQVANMYLWPKTLEVQIMDPTKALKKPVGILSVKVLRALKLKKKDLLGASDPYVKIKLTEDKLPSKKTTVKHKNLNPEWNEEFNLVVKDPETQAVEFHVYDWEQVGKHDKMGMKVVPLKELPPEEPKFMTLDLLKNMDMNDSQNEKSRGQLEVELTYKPFKEEEMPSGFEESSNTVQKAPDGTPAGGGLLVVIVHEAQDVEGKHHTNPHARLIFRGEERKTKHIKKNRDPRWEEEFQFMLDEPPTNDRLHVEVVSTSKRMGLLHPKESLGYVDISLADVVSNKRINEKYHLIDSKNGRIQIEMQWRTSS >Manes.06G103900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23656796:23659637:1 gene:Manes.06G103900.v8.1 transcript:Manes.06G103900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLLAMVLVLLFHFLLAAASASPSPTVIVIGAGMSGISAAKTLHEAGIHDILILEATPKIGGRLKKTQFSGLTVELGANWLYGGGTVANPLLDMATKLKLRTSLNDFENITSNTYKQEGGLYPSKLVEAINKVATARNDFCSKLSERLSSKKKDVDVSILAAHRIYKQEPKTPLEMVIDYYYNDYQDAEPPKVTSLKHTYPRNEIVDHGDDAYFVADPRGFEILSQYLAKQFLSSLTSDPRLKLNKVVREIIYSSHGVTVKTEDGSTYNSRYVIVSVSLGVLQSGLIDFKPTLPLWKRIAISDFSMTIYTKIFLKFSYAFWPTGPGTEFFLYTHARRGYYPLWQHLENEYPGSNILFVTVTADESRRIEQLPDETIEAEIMEILKKLFGENVPKAESILVPRWGMDKFYKGSYSNWPDGYSQNRKDQLADPVGPVYFTGEHTNDKYIGYATGAYLAGSLSFSLSLSLIMCILLINW >Manes.06G103900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23656796:23659637:1 gene:Manes.06G103900.v8.1 transcript:Manes.06G103900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLLAMVLVLLFHFLLAAASASPSPTVIVIGAGMSGISAAKTLHEAGIHDILILEATPKIGGRLKKTQFSGLTVELGANWLYGGGTVANPLLDMATKLKLRTSLNDFENITSNTYKQEGGLYPSKLVEAINKVATARNDFCSKLSERLSSKKKDVDVSILAAHRIYKQEPKTPLEMVIDYYYNDYQDAEPPKVTSLKHTYPRNEIVDHGDDAYFVADPRGFEILSQYLAKQFLSSLTSDPRLKLNKVVREIIYSSHGVTVKTEDGSTYNSRYVIVSVSLGVLQSGLIDFKPTLPLWKRIAISDFSMTIYTKIFLKFSYAFWPTGPGTEFFLYTHARRGYYPLWQHLENEYPGSNILFVTVTADESRRIEQLPDETIEAEIMEILKKLFGENVPKAESILVPRWGMDKFYKGSYSNWPDGYSQNRKDQLADPVGPVYFTGEHTNDKYIGYATGAYLAGIDTANDLIECIKNKSCRGFNRNRWH >Manes.01G132100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32501088:32506472:1 gene:Manes.01G132100.v8.1 transcript:Manes.01G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTLSPALRYCLHKPSSPIHFSAFRWLSSDSKSIPNQGSESVPIQPVSYAVKPKDKTPSNEDETHSQQQVAAQSPPSQEQERQQFPTRTQEAPNQESRTRWTREDVRYIKDAPSISPVSYPIRVAPLPEDKVGAEEEVAQADMDRESRKIQEENRGIRRIFRVTEEEEKVVVPFPRLIMPEKKEKRPLFDLMDAIRQVKTSAKANFDETIEAHVRLGIAKSRSDMIVRGTLTLPHGGKKAIRIAVFAEGADAEEAKAAGADVVGGLELIDEIASADKIDVDKCFATIKLYPRVAKLARILNRYGLMPDSKQGTVVSDVSRAVKDAKKDQIKFKMDKTSIVHVGLGKVSLTEESLRENVGAFMNALLQAKPAGLKKTSKYAGYVNSFHICSSMGAGFPVSIQSLSKAVDHYNKALLK >Manes.17G113900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32082195:32083190:-1 gene:Manes.17G113900.v8.1 transcript:Manes.17G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPANFLLALAFLALATSFAIAYDPSPLQDFCVATDDASSRVLVNGKFCKDPDHVTADDFFYSGLNVARETSKQLGARTNLLTVDSIPGLNTNGLSIVRIDFEANGGLNPPHHHPRASEILTVLEGTLYAGFITSNPDHRVFSKVLKAGDVFVFPLGLIHFQLNIGKEPAVALAALNSQNPGVVTAANTVFGASPSINPDVLTRAFHLDKDLVTKLQKQEWVNPSDLNSYS >Manes.12G005200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:648139:652563:-1 gene:Manes.12G005200.v8.1 transcript:Manes.12G005200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVTSNLLSMYSGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIPKSIESSDLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGERHPYSIIDCEPKREIILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFMTDFFKEYLVDNSLDDLISILKRGKVEDNLLDFFPSAKRSAECFSEHFTKEGLIPLVEYNEKKTFEVKLKEMKSALTTQIAEEADITEVIETVKQKVKDAKLPDIEVVRVLWDVLMDAVQWSGKNQQQNANSALRQVKTWAELLNTFCTNGKLELELIYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >Manes.12G005200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:648139:652563:-1 gene:Manes.12G005200.v8.1 transcript:Manes.12G005200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKERPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIPKSIESSDLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGERHPYSIIDCEPKREIILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGLVLSFMTDFFKEYLVDNSLDDLISILKRGKVEDNLLDFFPSAKRSAECFSEHFTKEGLIPLVEYNEKKTFEVKLKEMKSALTTQIAEEADITEVIETVKQKVKDAKLPDIEVVRVLWDVLMDAVQWSGKNQQQNANSALRQVKTWAELLNTFCTNGKLELELIYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >Manes.18G134961.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23237136:23241953:-1 gene:Manes.18G134961.v8.1 transcript:Manes.18G134961.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQRSQSTVRIRDTSSSSSSTSSPFSFPTFKDIQHLCSDDPSPPTKKSSIFHRVRVATSIIRSFSAARPLTHSQSTTQTQLGYEAEVVSSPVSKTTPLQLPQPECQEPPPLISIPGADKRIVVYSTSLRIVRSTFEDCRTVQSILQGFRVSIDERDLSMDSRFLKELQQIFGGGQTTLTLPRVFIGGRYVGGAEEIRQLHEAGELKKFVEGLPAAEPGVCDTCSGYRFILCHDCNGSHKMYTEKSGFKSCAACNENGLIRVKDFGDSLVSDSSTTPLPLPKHYCLEDDVEL >Manes.03G132600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26024346:26025267:1 gene:Manes.03G132600.v8.1 transcript:Manes.03G132600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLFLFRHLSRPLIPPMPSTPHLYCHIKRYTQYTTGDPAQGIEERAPSLADEFRRVAEEKAKADQETTHVADQGVASHTVKKTFDGGEEASTGDGDTQSVKDRVWISWVYRLLNKVVKLVMKTDMNLG >Manes.14G124021.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9830572:9842875:1 gene:Manes.14G124021.v8.1 transcript:Manes.14G124021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHRVRSLLSVFNTKSILSVYAPVCRFSTSPLSSELESVGFIGLGNMGSRMANNLIKAGYKVVVHDINCDAIKMFTDKGVPAKRTPFEVAEASDVVITMLPSSAHVLDVYMGPNGLLHGGSLLRPQLFIDSSTIDPETSRKVSAAVSSFLLQEKKGSWEKPVMLDAPVSGGVFAAEAGSLTFMVGGSKDAYLTAKPLFLSMGKNTIYCGGAGTGSAAKICNNLVMAVSMLGVSEALALGQSLGIGADTLTKVFNSSSARCFCSDTYNPVPGVMEGVPASKDYTGGFASKLMAKDLNLAATSAKEVGLRCPLTSHALEIYTKLCQDGHEMKDFSCVFRHYYFGKDEQ >Manes.05G169801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28412829:28418759:1 gene:Manes.05G169801.v8.1 transcript:Manes.05G169801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFHKTVPSSSSSPSSSVSSKQSSGNAGNLSNNRSQSSFTQRRLTRQRKLRHVSDRELGLQLIDPSNSSPCSPDSARKPRSLGYSDRWFSSAVPQPLPLPEWHLTKRPEPLGSNFGQGLLGSPEEGPSSTLRRKSADHVIAKFATLSSDCHQRFSQDANDDGANYNLKLNIAARSAPTSGSSSPMASPRRSNAEELSPGDATDLFKSSSNCYKGKSHNLKVESSKYKLSQIASPRSAPTSGLSSPAVSPQRSNTGDFLPSFVASQACHKWSASEVPDFDRRAGHSSQGSSLKAMHSPDHSPFHSPSLQSPYLPKSHNKFSFPSHNKLLQGNSKEWPDSNSHFSAHPLPLPPGAAPPESFMPSPPAILHHTIENTNVSVRKTQWQKGKLIGRGTYGSVFVGTNRETGALCAMKEVDIIPDDPKSAECIKQLEQEIRFLQHLKHPNIVQYYGSEIADDHFYIYLEYVYPGSISKYVREHCGVMTESIVRNFTRHILSGLDYLHSTKTIHRDIKGANLLVDSSGVVKLADFGMAKHLTGLSYELSLKGSPHWMAPEVIKAVMQKDTNSDLALAVDIWSLGCTVIEMFTGKPPWGNLQGPQAMFKVLHKAPPIPEALSSEAKDFICCCFRRNPAERPSASKLLEHPFVRNSSELNVFACRQALSAINLMDKSQRSRDCAAQKVESMPTSPDTQIMNDKIPSSSESGQQGHVNTAVSHHSPCSPLEVLPRVSNTPPAYDSHNFSPSSRISSNMPLGAVNNHPLALLRSHGREVSHI >Manes.18G050100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4311471:4316611:1 gene:Manes.18G050100.v8.1 transcript:Manes.18G050100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGDNNDGMAFQNGGQSSGMTGNPFFAPAWDPVVSISQHENFGGSSMVSQSEFTNSSYPVVMENQGISTTSHLVHYPSDSSYVEMVPKFPSYGSGSFSEMVSSFGLSECSQIANTGCHPNYSSNKEAVIGRTISNCTESQEDHQLTEEPIIGASPDGKRRKRVAESSSPFDPNKNADGELQKDASGHSSDVQKEQDEKKPRTEQNTAANLRGKQAAKQPKDNSHSGEAPNENYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELSTDIERILSKDILHSRGSNAAFMGFSPGMNAYPYSHGIFPANMPIIPNTNPQYPPVPHAALDNDLQTLFQMGFDSSSAIDGLGPNGRLKPEL >Manes.15G129900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10392762:10393419:1 gene:Manes.15G129900.v8.1 transcript:Manes.15G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLKGQLETVVELKCTPDQFLKLWKSQGHQIPNHTPSNIQGVRVHEGDWETSGSIKVWDYTIEGRPEVFKEKVEIDEEKKIVKLIGLDGDVFKLYKVYNGMWHVMPKGQGSLAKTVIEYEKLNESVPTPHIYLDFIVGVTKDIDAGLVLA >Manes.05G126200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22785104:22795322:1 gene:Manes.05G126200.v8.1 transcript:Manes.05G126200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVLVAVAACIGSFLQGWDNATISGAIVYIKKDLDLQTTVEGLVVAMSLIGATAITTCSGAISDWLGRRPMLIISSTLYFVSGLIMVWSPNVYILCIARLLDGFAIGLAVTLVPVYISETAPSDIRGMLNTLPQFTGSGGMFLSYCMVFGMSLTPSPSWRLMLGILSIPSLLYFALTIFYLPETPRWLVSKGKMLEAKRVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDHEPTAEKDKIKLYGSEAGLSWVAKPVTGQSSLALVSRQGTMVNQSMPLMDPLVTLFGSVHEKLPETGSMLFPNFGSMFSTAEPHVKHDHWDEESLQREGEDYTSEAGGGDSDDNLQSPLISRQTTSMEKDMHPPPSHGSIFSMRHHSSLMHGEAVGATGIGGGWQLAWKWSEREGEDGKEGGFKRIYLHQEGVPGSRRGSLVSLPGGDVPAEGEYVQAAALVSQPALYSKELLDQHAVGPAMIHPAETAKKGIIWDALLDPGVKRALIVGVGIQILQQLSGIGGILYYTPQILEEAGVEVLLVNLGLTSTSASFLISAFTTFLMLPCIAVGMRLMDVSGRRTLLLTTIPVLIASLIILIIGQLVDLGTVANAAISTVCVVIYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIADIIVTYTLPVLLTSVGLKGIFIIFAVMCTISWVFVFLKVPETKGMPLEVISEFFAVGARQAAAAKSD >Manes.05G126200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22785104:22795322:1 gene:Manes.05G126200.v8.1 transcript:Manes.05G126200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVLVAVAACIGSFLQGWDNATISGAIVYIKKDLDLQTTVEGLVVAMSLIGATAITTCSGAISDWLGRRPMLIISSTLYFVSGLIMVWSPNVYILCIARLLDGFAIGLAVTLVPVYISETAPSDIRGMLNTLPQFTGSGGMFLSYCMVFGMSLTPSPSWRLMLGILSIPSLLYFALTIFYLPETPRWLVSKGKMLEAKRVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDHEPTAEKDKIKLYGSEAGLSWVAKPVTGQSSLALVSRQGTMVNQSMPLMDPLVTLFGSVHEKLPETGSMLFPNFGSMFSTAEPHVKHDHWDEESLQREGEDYTSEAGGGDSDDNLQSPLISRQTTSMEKDMHPPPSHGSIFSMRHHSSLMHGEAVGATGIGGGWQLAWKWSEREGEDGKEGGFKRIYLHQEGVPGSRRGSLVSLPGGDVPAEGEYVQAAALVSQPALYSKELLDQHAVGPAMIHPAETAKKGIIWDALLDPGVKRALIVGVGIQILQQLSGIGGILYYTPQILEEAGVEVLLVNLGLTSTSASFLISAFTTFLMLPCIAVGMRLMDVSGRRTLLLTTIPVLIASLIILIIGQLVDLGTVANAAISTVCVVIYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIADIIVTYTLPVLLTSVGLKGIFIIFAVMCTISWVFVFLKVPETKGMPLEVISEFFAVGARQAAAAKSD >Manes.05G126200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22785104:22795322:1 gene:Manes.05G126200.v8.1 transcript:Manes.05G126200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVLVAVAACIGSFLQGWDNATISGAIVYIKKDLDLQTTVEGLVVAMSLIGATAITTCSGAISDWLGRRPMLIISSTLYFVSGLIMVWSPNVYILCIARLLDGFAIGLAVTLVPVYISETAPSDIRGMLNTLPQFTGSGGMFLSYCMVFGMSLTPSPSWRLMLGILSIPSLLYFALTIFYLPETPRWLVSKGKMLEAKRVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDHEPTAEKDKIKLYGSEAGLSWVAKPVTGQSSLALVSRQGTMVNQSMPLMDPLVTLFGSVHEKLPETGSMLFPNFGSMFSTAEPHVKHDHWDEESLQREGEDYTSEAGGGDSDDNLQSPLISRQTTSMEKDMHPPPSHGSIFSMRHHSSLMHGEAVGATGIGGGWQLAWKWSEREGEDGKEGGFKRIYLHQEGVPGSRRGSLVSLPGGDVPAEGEYVQAAALVSQPALYSKELLDQHAVGPAMIHPAETAKKGIIWDALLDPGVKRALIVGVGIQILQQLSGIGGILYYTPQILEEAGVEVLLVNLGLTSTSASFLISAFTTFLMLPCIAVGMRLMDVSGRRTLLLTTIPVLIASLIILIIGQLVDLGTVANAAISTVCVVIYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIADIIVTYTLPVLLTSVGLKGIFIIFAVMCTISWVFVFLKVPETKGMPLEVISEFFAVGARQAAAAKSD >Manes.05G126200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22785104:22795322:1 gene:Manes.05G126200.v8.1 transcript:Manes.05G126200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVLVAVAACIGSFLQGWDNATISGAIVYIKKDLDLQTTVEGLVVAMSLIGATAITTCSGAISDWLGRRPMLIISSTLYFVSGLIMVWSPNVYILCIARLLDGFAIGLAVTLVPVYISETAPSDIRGMLNTLPQFTGSGGMFLSYCMVFGMSLTPSPSWRLMLGILSIPSLLYFALTIFYLPETPRWLVSKGKMLEAKRVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADDHEPTAEKDKIKLYGSEAGLSWVAKPVTGQSSLALVSRQGTMVNQSMPLMDPLVTLFGSVHEKLPETGSMLFPNFGSMFSTAEPHVKHDHWDEESLQREGEDYTSEAGGGDSDDNLQSPLISRQTTSMEKDMHPPPSHGSIFSMRHHSSLMHGEAVGATGIGGGWQLAWKWSEREGEDGKEGGFKRIYLHQEGVPGSRRGSLVSLPGGDVPAEGEYVQAAALVSQPALYSKELLDQHAVGPAMIHPAETAKKGIIWDALLDPGVKRALIVGVGIQILQQLSGIGGILYYTPQILEEAGVEVLLVNLGLTSTSASFLISAFTTFLMLPCIAVGMRLMDVSGRRTLLLTTIPVLIASLIILIIGQLVDLGTVANAAISTVCVVIYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIADIIVTYTLPVLLTSVGLKGIFIIFAVMCTISWVFVFLKVPETKGMPLEVISEFFAVGARQAAAAKSD >Manes.17G024482.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:19278923:19283390:1 gene:Manes.17G024482.v8.1 transcript:Manes.17G024482.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGGRRKKGSGGSNQASSGDSGSISISTTLPNANGVVDFDSSIFLKRAHELKEEGNRRFQNKDYAGALEQYDNALRLTPRTHPDRAVFHSNRAACLMQIKPTDYETVISECTMALQVQPRFVRALLRRARAFEATGKYDMAMQDVQVLLGAEPNHQDALEIARRLRTALGPRQEGQQDLQSRPSPAALGASAVRGAPIGGLGPCLPARPVSKKAATHPGGLATSNKLEKPQVNVVSENGPETKVQLPKLGLKPSKPAAYLAKDSQGEECLSSSVSFSSHVPGVAVQWRPLKLVYDHDIRLGQMPVNCTFKVLREIVSKRFPSSKSVLIKYKDNDDDLVTITCTSELRLAESSADNSLHKAPDMNKTEIIGMLRLHIVEVSPEQEPPLLEEEEEKPLETEGSKGDESVSHSSLGESVMEKTDGEIDKADKEAPKEKTEASENPESREAEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQNLFDKAALKFQEVAALAFFNWGNIHMCAARKRIPLDESAGKEVVSAQLQVAYDWVKDKYSLAREKYEEALLIKPDFYEGLLALGQQQFEMAKLHWSFALTKKIDLSSWDSTETLKLFDSAEEKMKAATEMWEKLEELRADELKDPHASKKEELLRRRKKQGSVEGDSSGSGVHGEISPEDAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDGWKKNLDTAVERFRLAGASEADISMVLKNHCSNGGAGEGDEKKDKNANANAVGEPEESKQDDKV >Manes.17G015151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7791369:7809114:1 gene:Manes.17G015151.v8.1 transcript:Manes.17G015151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSARKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWFDFVTNSIVEDMTSTDSKQFHVNLLGSKNLTFQRFSVKAPGHSLNTDGIHIGQSEEINIINSNIITGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGMRIKTWPALYGGSVSNIHFKDIVMHNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.02G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1759933:1764609:-1 gene:Manes.02G019200.v8.1 transcript:Manes.02G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEHLDKMQLRQNYRNLWHTDLVRAIQADTPYCCFSFWCGPCVSYLLRKRALYNDMSRYVCCAGYMPCSGRCGESKCPELCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQVACIFSIVAMIVGSEEIQEASQLLSCLADMVYCTVCACMQTQHKIEMDKRDGKFGPQPVMAVPPVQQMSRIDQPFPPSVGYPPQQAYGQPYGYPPPQAQGYPPSSYPPPGYPPSGYSR >Manes.12G141800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34806466:34820685:1 gene:Manes.12G141800.v8.1 transcript:Manes.12G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGIRVGGLSSGLAVILNGEDGKENSSKTHLVSYCDDFGNQPVERALEYIFGLPSKSIGPLTGPVDSDVIRSIIKGNFLKFHSNSDSLASNREGLVVLDNGCQPYKVGLEELSIYGDIRIVKPPLLLESLAIFSSARANVCVWKGKWMYEVTLETSGIQQLGWATLSCPFTEHKGVGDVDDSYAFDGKRVRKWNKEDEPYGQSWVAGDVIGCCIDLDHDEILFYRNGVSLGVAFHGIRKKGPGFGYYPALSLSQGECCELNFGARPFKYPIQGFLPLQEPPSINLFATRLLRCLSKFFDLQRMEGVDSSSVGKLRRLKRFVSLDELFYPVCHGICEELFCILEEDAGNTEYVAWGPLLSFMMEVFRLQPAHDYSSLDRLIDMLLEFQESRLMFECIFSALSSCCKTASLVLTECPYSGSYSYLAMVCHILRREELMVLWWKSPDFEFLFEGFLTQKSPSKQDLHTLMPSVWWPGACDDISCESSMLLTTVALSEAVSKIEEKHRDLCLLVMQFIPPTKPPQLPGSVFRTFLQNFLLKNRGADRNVPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLRSCESNNHNVGFLHRGGEHSFPVDLFLKNDSYQTDISRLGGSFSHLSKSHPAYDQEMEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVELSKTSKHPIMYTAKASRVHCTPLPERSVHVPAECSAGSLNDEIADKPSTGDHSESEFGYCPMRDMRILPRESDISSATLREEELLDTLLLLYHIGLAPNFKRASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVRNDYREEVIDCVRHCAWYRISLFSRWKQRGMYATCMWIVHLLLVLGKVDSLFVYVPEFYLETMVDCFHVLRKSDPPFVPSAIFVKQGLASFVTFIASHFNDPRIVSADLKDLLLQSISVLVQYKEYLAVFEGNEAATQRMPKELLSAFDNRSWIPVTNILLRLCKGSRIASSKQGESSSSSSSVVFQNLLREACINDEALFSAFLNRLFNTLSWTMTEFSVSIREMQEKYQLLEFQQRKCCVIFDLSCNLARLLEFCTREIPQAFLSGTDTNLRRLTELIVFILNHITSAADTEFFDLSLRRHGQSSDKGNRGTILAPLVGIILSLMDASVEMECGGKNDLVDVFASMECPSIMHCGFQYLLEYNWAGSCRGDPYLGKLGQLENFLSLLMSRIEVQQMERMRSGGETGADDGICCICYTSEADAKFVPCSHKSCYGCITRHLLNCHRCFFCNATVLEVIKIGV >Manes.12G141800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34806466:34820685:1 gene:Manes.12G141800.v8.1 transcript:Manes.12G141800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGIRVGGLSSGLAVILNGEDGKENSSKTHLVSYCDDFGNQPVERALEYIFGLPSKSIGPLTGPVDSDVIRSIIKGNFLKFHSNSDSLASNREGLVVLDNGCQPYKVGLEELSIYGDIRIVKPPLLLESLAIFSSARANVCVWKGKWMYEVTLETSGIQQLGWATLSCPFTEHKGVGDVDDSYAFDGKRVRKWNKEDEPYGQSWVAGDVIGCCIDLDHDEILFYRNGVSLGVAFHGIRKKGPGFGYYPALSLSQGECCELNFGARPFKYPIQGFLPLQEPPSINLFATRLLRCLSKFFDLQRMEGVDSSSVGKLRRLKRFVSLDELFYPVCHGICEELFCILEEDAGNTEYVAWGPLLSFMMEVFRLQPAHDYSSLDRLIDMLLEFQESRLMFECIFSALSSCCKTASLVLTECPYSGSYSYLAMVCHILRREELMVLWWKSPDFEFLFEGFLTQKSPSKQDLHTLMPSVWWPGACDDISCESSMLLTTVALSEAVSKIEEKHRDLCLLVMQFIPPTKPPQLPGSVFRTFLQNFLLKNRGADRNVPPPGVSSNSVLVSLYTVILHFLSEGFAMGDICGWLRSCESNNHNVGFLHRGGEHSFPVDLFLKNDSYQTDISRLGGSFSHLSKSHPAYDQEMEVIRWEEGCMDDEETRVTHKTTQKPCCCSSYDVELSKTSKHPIMYTAKASRVHCTPLPERSVHVPAECSAGSLNDEIADKPSTGDHSESEFGYCPMRDMRILPRESDISSATLREEELLDTLLLLYHIGLAPNFKRASYYMSHQSQSISLLEETDKQIRERGCSEQLRRLKEVRNDYREEVIDCVRHCAWYRISLFSRWKQRGMYATCMWIVHLLLVLGKVDSLFVYVPEFYLETMVDCFHVLRKSDPPFVPSAIFVKQGLASFVTFIASHFNDPRIVSADLKDLLLQSISVLVQYKEYLAVFEGNEAATQRMPKELLSAFDNRSWIPVTNILLRLCKGSRIASSKQGESSSSSSSVVFQLLEFQQRKCCVIFDLSCNLARLLEFCTREIPQAFLSGTDTNLRRLTELIVFILNHITSAADTEFFDLSLRRHGQSSDKGNRGTILAPLVGIILSLMDASVEMECGGKNDLVDVFASMECPSIMHCGFQYLLEYNWAGSCRGDPYLGKLGQLENFLSLLMSRIEVQQMERMRSGGETGADDGICCICYTSEADAKFVPCSHKSCYGCITRHLLNCHRCFFCNATVLEVIKIGV >Manes.15G038200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2999283:3000672:-1 gene:Manes.15G038200.v8.1 transcript:Manes.15G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISRNRTSASASSPAQPSRLQRRRPASLQINPPTSSCWNTAIPLLSPLVTSPIAIDQMAEMNQQQPLQHRNQGTEPDKPTVFKKWQHPAAPFCCEPAPFGPKFFVPV >Manes.11G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30933823:30941563:1 gene:Manes.11G144700.v8.1 transcript:Manes.11G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAVPASFTGLKTRDSSLGFGKSMDFVRVCDLKRIKSGRKKISIIRNSNPGPEMVELQPASEGSLLLVPRQKYCESVHKTVRRKTRTVMVGNVALGSEHPIRVQTMTTSDTKDVAGTVEQVMRIADKGADLVRITVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEEDYEKELEHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEKEIDPCRRLANLGMRASAVQQGVAPFEEKHRHYFDFQRRSGQLPVQKEGEEVDYRGALHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVEDNDARLALKRLIDISMGVITPLSEQLTKPLPNAMVLVNLKELSSGAHKLLPEGTRLVVSVRGDEPYEELEILKDSDATMILHDLPFTEDKIGRVHAARRLFEYLSDNALNFPVIHHIQFPNAIHRDDLVIGAGTNAGALLVDGLGDGILLEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVLKRGIAMEEATDALIQLIKDHGRWVDPPAEE >Manes.02G049500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4014281:4019964:1 gene:Manes.02G049500.v8.1 transcript:Manes.02G049500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCMSTPPKRVKTRKKHLGRFGKRHVKISSFHDENKIITSETGCVKDFAASQFVHKDFENGKIASFRRSGASNSTYHLKQVQWHLSQVDGDGVCQEEVWFDSVSILDLESESDDEFSSVLGDCFSTVGPAVGDISSRQVLQYESSSCLVDGWGKCQEHHKSYMKIDGHRISKEENKESKRFAVIGTQGYEVSLLGKAEENRKKKLLNGYGSFKGSIEDRRDSQDTILKSGLPLLVPSVSFNDKALSASAQKKKLAVFRLSFKRKSCDGTETSELCASKRFLCHPKAGFTIPPCSGEKSNAGFWCEIPPSNFKLRGATYFKDKRKCPAPNCSPYSPIGVDLFICPRKVNHIAQHLELPNVKAEGKIPPLLIVNIQLPTYPAAMFLGDSDGQGMSLVLYFKVSENWEKEISSQYQDNIKRLVDNEMEKVKGFAKESIVPFRERLKIMAGLVNPEDLNLSSAEKKLINAYNEKPVLSRPQHEFYKGSNYLEIDLDIHRFSYISRKGLESFRDRLKNGIIDLGLTIQAQKQEELPEQVLCCLRLNKIDFEDHGLIPTLMTLEE >Manes.02G049500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4014281:4019964:1 gene:Manes.02G049500.v8.1 transcript:Manes.02G049500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCMSTPPKRVKTRKKHLGRFGKRHVKISSFHDENKIITSETGCVKDFAASQFVHKDFENGKIASFRRSGASNSTYHLKQVQWHLSQVDGDGVCQEEVWFDSVSILDLESESDDEFSSVLGDCFSTVGPAVGDISSRQVLQYESSSCLVDGWGKCQEHHKSYMKIDGHRISKEENKESKRFAVIGTQGYEVSLLGKAEENRKKKLLNGYGSFKGSIEDRRDSQDTILKSGLPLLVPSVSFNDKALSASAQKKKLAVFRLSFKRKSCDGTETSELCASKRFLCHPKAGFTIPPCSGEKSNAGFWCEIPPSNFKLRGATYFKDKRKCPAPNCSPYSPIGVDLFICPRKVNHIAQHLELPNVKAEGKIPPLLIVNIQLPTYPAAMFLGDSDGQGMSLVLYFKVSENWEKEISSQYQDNIKRLVDNEMEKVKGFAKESIVPFRERLKIMAGLVNPEDLNLSSAEKKLINAYNEKPVLSRPQHEFYKGSNYLEIDLDIHRFSYISRKGLESFRDRLKNGIIDLGLTIQAQKQEELPEQVLCCLRLNKIDFEDHGLIPTLMTLEE >Manes.02G049500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4014939:4020005:1 gene:Manes.02G049500.v8.1 transcript:Manes.02G049500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCMSTPPKRVKTRKKHLGRFGKRHVKISSFHDENKIITSETGCVKDFAASQFVHKDFENGKIASFRRSGASNSTYHLKQVQWHLSQVDGDGVCQEEVWFDSVSILDLESESDDEFSSVLGDCFSTVGPAVGDISSRQVLQYESSSCLVDGWGKCQEHHKSYMKIDGHRISKEENKESKRFAVIGTQGYEVSLLGKAEENRKKKLLNGYGSFKGSIEDRRDSQDTILKSGLPLLVPSVSFNDKALSASAQKKKLAVFRLSFKRKSCDGTETSELCASKRFLCHPKAGFTIPPCSGEKSNAGFWCEIPPSNFKLRGATYFKDKRKCPAPNCSPYSPIGVDLFICPRKVNHIAQHLELPNVKAEGKIPPLLIVNIQLPTYPAAMFLGDSDGQGMSLVLYFKVSENWEKEISSQYQDNIKRLVDNEMEKVKGFAKESIVPFRERLKIMAGLVNPEDLNLSSAEKKLINAYNEKPVLSRPQHEFYKGSNYLEIDLDIHRFSYISRKGLESFRDRLKNGIIDLGLTIQAQKQEELPEQVLCCLRLNKIDFEDHGLIPTLMTLEE >Manes.02G049500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4014939:4020005:1 gene:Manes.02G049500.v8.1 transcript:Manes.02G049500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCMSTPPKRVKTRKKHLGRFGKRHVKISSFHDENKIITSETGCVKDFAASQFVHKDFENGKIASFRRSGASNSTYHLKQVQWHLSQVDGDGVCQEEVWFDSVSILDLESESDDEFSSVLGDCFSTVGPAVGDISSRQVLQYESSSCLVDGWGKCQEHHKSYMKIDGHRISKEENKESKRFAVIGTQGYEVSLLGKAEENRKKKLLNGYGSFKGSIEDRRDSQDTILKSGLPLLVPSVSFNDKALSASAQKKKLAVFRLSFKRKSCDGTETSELCASKRFLCHPKAGFTIPPCSGEKSNAGFWCEIPPSNFKLRGATYFKDKRKCPAPNCSPYSPIGVDLFICPRKVNHIAQHLELPNVKAEGKIPPLLIVNIQLPTYPAAMFLGDSDGQGMSLVLYFKVSENWEKEISSQYQDNIKRLVDNEMEKVKGFAKESIVPFRERLKIMAGLVNPEDLNLSSAEKKLINAYNEKPVLSRPQHEFYKGSNYLEIDLDIHRFSYISRKGLESFRDRLKNGIIDLGLTIQAQKQEELPEQVLCCLRLNKIDFEDHGLIPTLMTLEE >Manes.08G150900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39198918:39200941:-1 gene:Manes.08G150900.v8.1 transcript:Manes.08G150900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPDELWRQILEIGIRNSKFSYKDLCCISISCRRLHRLSSEDSLWSQFLFSDFPSTSQQQNPSSNYCRSTSTSAKSTYRIKFEKEKERKLAAHRRAVLRKESEIVERERKIKEIETQWRLETEKMRATSTELSNLHKVRQASVALNVWQPEVVRGRQKQIVEQCVVSVVSRVHSLEMELRLCKQQIAGFNKAHKDEMQRLETAKEELAYMKYHPLQDYRLTRKGDNEGDKKRKKLKRSVKLS >Manes.12G059833.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5143894:5146273:-1 gene:Manes.12G059833.v8.1 transcript:Manes.12G059833.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSEVWYRDVKKGKGAIATDDQILQLRIHYNLYNDAGDALFNSLKHKTSSVEVHLCHQTFGPGVVKAIKGMRVGGIRRIILPKDTIISSENIKAYGVMDVELVAVCASPVCCS >Manes.02G107300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8347059:8348319:1 gene:Manes.02G107300.v8.1 transcript:Manes.02G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKPTTSSYFLTSALITFLLFISNLENSSAAITSPTTTNTTKISSSTYKKYLKSACNSTTYPKLCYSSLSRYYSTIKTNDQTLCTTALNVSLQAASKTSSLAAALSKKRGLSHIEAEVVQDCVYEMRDSIDELNQSLDALGSLEFNSSYVRFQISNVKTWVSAAITDEDTCVDEIDDDEVSSSVKQKIRKSILNVAMVTSNALALINNKLSY >Manes.15G055000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4216033:4221042:-1 gene:Manes.15G055000.v8.1 transcript:Manes.15G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILRRVDNNLVLSRIISKTMRAVHALVKATASPAKTTVATSSSRKLTSFSNPPSQSPSEIHFISLIHSSKTTFQLHQIHAQILLHNLSSSSLISTQLISSSSLRKSVGYSLAVFNHYHPKNSFLFNALIRGLTYNSRFENSISHFILMLRSNFKPDQLTYPYILKSIACLCLQWLGRSLHGMILRCGFEFDSFVRIAMVDMYVKVEELGLALKLFDESPHKFNTESTLLWNVLINGYCKVGNMSKAVELFEAMPKRNTASWNCLINGCLRGGDLEKAKELFDRMPDKNVVSWTTMVNGFSKNGDHEKALSLFSKMLEESVKPNDFTIVSALSACAKIGALEAGLRIHSYLKNNGFRLNRVLGTALVDMYAKCGNIESANDVFRETKDKDILTWSVMIWGWAVHGHSEEAIQCFKQMMHAGIQPDEVVFLAILTACTHAGKVNLGLNFFNSMKFDYSIEPTMKHYALIVDLLGRAGLLKQALRFIERMPVTPDFVIWGALFCACRAHKNIKLAELASKKLLELEPKHPGSYVFLSNVYAAAGRWEDVERVRVLMQNKGIEKDPGWSYIEVEGQVHSFVAGDHIHQDAKDIYLKLEEIVAGAREHGYMPGTEWVLHNIEEEEKEDALGRHSEKLALAFGLLRTYPVADSEILVRRAQIKQVIKK >Manes.15G075900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5722280:5723320:1 gene:Manes.15G075900.v8.1 transcript:Manes.15G075900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLCFPINSPSSFHFSLIISVYPFPVSTMAKLTVLVATFIALLLVVDASIYRATVIIDDGGDDAENQPRKSCSREIQQAQNLRDCEEHIRRTVKGQRGAEDEIENKSDQFQRCCNQLQQMDSSQCRCEGLSQAVRRLQSKGKLQGQDVQKAYRTAETLTSQCHVSPRQCQMQPSWSV >Manes.11G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2144909:2147101:-1 gene:Manes.11G019600.v8.1 transcript:Manes.11G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEDLPRDAKIVKSLLKSMGVEDYEPRVIHQFLELWYRYVVDVLTDAQVYSEHAGKSAIDCDDVKLAIQSKVNFSFSQPPPREVLLELARKRNKIPLPKSITGPGIPLPPEQDTLISPNYQLAIPKKQPAQAVEETEEDEESADLNPSQEPKADVPQHNTPQRVSFPLTKRPK >Manes.11G019600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2144909:2147222:-1 gene:Manes.11G019600.v8.1 transcript:Manes.11G019600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEDLPRDAKIVKSLLKSMGVEDYEPRVIHQFLELWYRYVVDVLTDAQVYSEHAGKSAIDCDDVKLAIQSKVNFSFSQPPPREVLLELARKRNKIPLPKSITGPGIPLPPEQDTLISPNYQLAIPKKQPAQAVEETEEDEESADLNPSQEPKADVPQHNTPQRVSFPLTKRPK >Manes.07G060900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10201703:10203004:1 gene:Manes.07G060900.v8.1 transcript:Manes.07G060900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTANAGVKVQIKSQSNDFWDAITNNQFLLDAIPDLYESIIFPKPSNLQERKITYGSSSQNIKTSTERVVENSDEKFIYTVVAGDILTKYEVSDFRATISYPADKWVKWTWTYKYLKDGKRKALDLDVEIADIVLKSLSKLDTFIQKKQH >Manes.07G060900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10201051:10203004:1 gene:Manes.07G060900.v8.1 transcript:Manes.07G060900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTANAGVKVQIKSQSNDFWDAITNNQFLLDAIPDLYESIIFPKPSNLQERKITYGSSSQNIKTSTERVVENSDEKFIYTVVAGDILTKYEVSDFRATISYPADKWVKWTWTYKYLKDGKRKALDLDVEIADIVLKSLSKLDTFIQKKQH >Manes.03G052200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4842569:4844324:-1 gene:Manes.03G052200.v8.1 transcript:Manes.03G052200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEKKCCIFKKKEMSNTLVCVKKVKQETPEEWDENMPLPGDIVEGFAEDDNGDELFLPAKSRSELSSQLGKLSQHVDPIWLKVRRGDATLKLRVNVVQEKSSLLHKRFSFKAVTDYRHVAVLGDLTSQQCRELQEMSRKVVNAESGLFREKGVKYNWKMKTSTYLPDQQSSVVSSILFMPMQGENFIEATTGRCMAWFSAAVSSGIPLVFVNIQTELMFAGKESIWGEQQNHFATIQTMHGIRLWFLPGVAEVVLEMTLEPGELRFGMDIKRTDEGFICVYSVTKDSAADRAGIRQLYEEANKTDHLLTLASAIEQMDSIQVHFMSWPNQTIPCNIKAVGAAALQPPREY >Manes.07G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11602285:11605894:1 gene:Manes.07G063200.v8.1 transcript:Manes.07G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFYQRRSRVGRATTADLELDPSSSDRNFHLNYARRHHHHRNHTRHDLDGCDPLRRSPHVRQLCHRLPHADRASGQLDQGTSHSVPSSSTSSETLSGTSRPRLTGNERLPGTVLLARARLLERLRGVSLSGHRRSGRVSFGISSREHTFGDEARVVETGDWGTDIASRWSARQIPLADPTSQTERFQLVQEIYKKKPPGLTPEELASLPIELFSSLEKGFESENLVSWDCSICLESFKEGDKLIHLACEHRFHAACLNPWVRTCGDCPYCRRDIVVSRHTS >Manes.10G009600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1072156:1077727:1 gene:Manes.10G009600.v8.1 transcript:Manes.10G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRNWKFSRFGGLLLFLLHRSLILCWSLNDEGLALLKFKERIGSDPYGALKNWKDEDGVVDPCTWFGVGCSDGKVVILNLKDLCLGGTLAPELRNLVHIKSIILRNNSLTGKIPNGIGELKELEVLDFGYNNVSGPLPPDLGSNLSLTILLLDNNRLLGNLSPEVEQLKTLSEFQVDENQLATAAQGSSCNKRSTIWNSMQTENAINRRQLQVTGASRENEPPYLRGRFIFPPPPPDSGNGRFVPPPFLPSPPVNVPFPSPPPKSNPPSPSFPSPPQEPHLPSPSPSGSALNPPSIPTPSSNSPSKDVAIIAGTIGGSLFVVSIIIIYVCKIKKATVKPWVTGLSGQLQKAFITGVPKLKRSELEAGCEDFSNVIGSSPIGTLYKGTLSSGVEIAVASVAVTSSKDWPRHLEVQFRKKIETLSKVNHKNFVNLIGYCEEEEPFTRMLVFEYAPNGTLFEHLHIKESEHLDWGMRLRIAMGMAYCLEHMHQLNPPITHNNLNSSAISLAEDYAAKISDFSFSNVITTTEMESSGEKFLDTPMAHPDSNVYSFGVVLFEMITGRIPYSVDNGSLEDWASDYLRGDQPLKDMVDPTLDSVEEEKVERIGEVIKSCVDPDPERRPAMREVTARLREITGITPDAATPKLSPLWWAELEILSPDAS >Manes.10G050300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5599924:5611044:1 gene:Manes.10G050300.v8.1 transcript:Manes.10G050300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTPIPEDFSSLPLEFIPYPPLPDPTPAPAPTPNPNPNPNSISNPTLSLNSNPNHFFSFSVPKKRRRGRSQRTAATSTSSFQLPQLPQFHTKPDIRVLQTPNPNPNPYPSALTQQSVPDIAEEIIVINKESTSEALIALSAGFPADSLTDEEIEAGVVSVIGGIEQVNYIIIRNHIIAKWRENVNNWIAKEIFTNSVPKHCHGLLDSAYDYLVSRGYINFGVAQSIKEKIPNEFTKSNIIIIGAGLAGLAAARQLMRFGFKVTVLEGRKRAGGRVYTKKMEGGGVNKVSASADLGGSVLTGTLGNPLGILARQLGHSLHKVRDKCPLYSVDGKPVDLDTDMKVETAFNRLLDKASRLRQLMGEVSMDLSLGAALETFRQVYGDAVNKEEINLFNWHCANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFMPGGNGRLVQALAENVTILYEKTVHTIRYGSDGVQVISGTQVFEGDMALCTVPLGVLKSGSIKFIPELPQKKLDGIKRLGYGLLNKVAMLFPYVFWETDLDTFGHLTHDSSTRGEFFLFYSYATVSGEPLLIALVAGEAAHKFESMPPTDAVTQVLQILKGIYEPKGITVPEPIQTVCTRWGSDPFTLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLTGLREAANIARCVSARTLKMKVGRSPSKNAHNCASLLVDLFREPDLEFGSFATIFSQKNADPKSTAILRVTFNEPRRKSQESCGSDQQHSNKLLFQQLQSHFNQQQHLHVYTLLSKQQAFELREVRGGDEIRLNYLCEKLGVKLVGRKGLGPAGDSLIAAIKAERGSRKAMPSSLALKVGTLKGTSKLKTGTLKQKLIRRAKVVSNSNRLVPSPNLNAVNGQVTEENVTASQTHSDISGPGQSQVDGLKNEQMTPFG >Manes.05G054300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4498601:4499618:1 gene:Manes.05G054300.v8.1 transcript:Manes.05G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGENTSLPALLDSIQQYLLEDDFETLTTLPQLNFSDDSIFATPLSDDVSFSVQDLLDITSYSHEVNGEWSPVCHFDSTLVETTMPVVELQSQQSVVSPEEVTLTSNRNATSKGWQYKGVRRRPWGKYAAEIRDPKKNGARIWLGTYETPEDAAVAYDRAAFKMRGSKAKLNFPHLIGSSDYEPVRVTNKRCSPECSSSSSSSSLLSWESDDCSPAQKRRTK >Manes.03G200700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31676070:31680581:1 gene:Manes.03G200700.v8.1 transcript:Manes.03G200700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGVSIARARGENRFYVSPAMRKQQQLQQQQQQQKQRQGSLISKNCAVEMEKRMDSDQCGSMSSSSTASNCYCSISGRVEGECNSTNLDRFLEYSTPVVPAQYLPKTSIRRWRTSEAEHHPYFMLGDLWESFKEWSAYGAGVPLLLNGSETVMQYYVPYLSGIQLYIDPSRPSPVLRRPGEESDAESSRETSSDGSSEYGADRGVNNVLQWNWTQQNVVDANIKSLNRLSLRNKPFRGSSSDECEISNPSGQLVFEYMEHASPFTRQPLADKFPELETYRSCDLSPSSWLSVAWYPIYRIPMGPTLQNLDACFLTFHSLSTPFQSQYTDELPLYSVREVPCADMSFKLPLPTFGLASYKFKVSFWNPNGVYECQKVNSLLRAADNWLRLLQVNHPDFVFFITHNSSWR >Manes.03G200700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31676070:31680581:1 gene:Manes.03G200700.v8.1 transcript:Manes.03G200700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGVSIARARGENRFYVSPAMRKQQQLQQQQQQQKQRQGSLISKNCAVEMEKRMDSDQCGSMSSSSTASNCYCSISGRVEGECNSTNLDRFLEYSTPVVPAQYLPKTSIRRWRTSEAEHHPYFMLGDLWESFKEWSAYGAGVPLLLNGSETVMQYYVPYLSGIQLYIDPSRPSPVLRRPGEESDAESSRETSSDGSSEYGADRGVNNVLQWNWTQQNVVDANIKSLNRLSLRNKPFRGSSSDECEISNPSGQLVFEYMEHASPFTRQPLADKISVLALQFPELETYRSCDLSPSSWLSVAWYPIYRIPMGPTLQNLDACFLTFHSLSTPFQSQYTDELPLYSVREVPCADMSFKLPLPTFGLASYKFKVSFWNPNGVYECQKVNSLLRAADNWLRLLQVNHPDFVFFITHNSSWR >Manes.12G145900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35248959:35256351:1 gene:Manes.12G145900.v8.1 transcript:Manes.12G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKANLESAIEQLQNVEKQMRLAGDVAGTKKAVTDILQLCFEAKDWKMLNDQIVLLSKKRGQLKQAVAAMVQQAMQYIDETPDLDTRIELIKTLNTVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNVVEEAPADIPSLLELKRIYYELMIRYYSHNNDYIEICRCYKAIYEIPSVKENPAQWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDKNLSEIPKFKVLLKQLVTMEVILWTSLWNTYKDEFDNEKNLLGGSLGDKAAEDLKQRIIEHNILVVSRYYSRITVKRLAELLCLSIQEAEKHLSDMVVSKALVAKIDRPMGIVCFQVAKDSNDILNSWSMNLEKLLDLVEKSCHQIHKETMVHKTALKV >Manes.11G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6197211:6201253:1 gene:Manes.11G048700.v8.1 transcript:Manes.11G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRTPFGGIMNDVRGRAACYRQEWIGGIRSGLGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKGREELGQKLFLAWAGWVCVWTALLLFLLATYNACTIINRFTRIAGELFGMLIAVLFIQEAIKGMVSEFEIPKAEDPKLEKYQFQWLYTNGLLGIIFTFGLLYTALKSRKARSWFYGTGWFRSFIADYGVPLMVVVWTALSFSVPGKVPSGVPRRLFSPLPWESASLHHWTVIKDMGNVPPAYIFAAFIPALMVAGLYFFDHSVASQLAQQKEFNLKNPSSYHYDILLLGFMTLLCGLLGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVESAKESIKQKASNSEIYGKMQAVFLEIDSSPAITVAKELEDLKEAVMKAENKGENPKDSFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVFAVPAIKQIPTSVLWGYFAYMAIDSLPGNQFWERLLLLFIMPGRRYKVLEGVHASFVESVPFKYIAMFTILQLVYLLVCFGVTWIPIAGILFPLPFFILITIRQHILPKLFNLHHLRELDAAEYEEFAGDRRLSLSLSFKEKEAAGVRNEEGKVEVCDAEMLDELTTSRGEFKVRTVSFHEDNRGQVYPEEIVH >Manes.11G048700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6197211:6201253:1 gene:Manes.11G048700.v8.1 transcript:Manes.11G048700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRTPFGGIMNDVRGRAACYRQEWIGGIRSGLGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKGREELGQKLFLAWAGWVCVWTALLLFLLATYNACTIINRFTRIAGELFGMLIAVLFIQEAIKGMVSEFEIPKAEDPKLEKYQFQWLYTNGLLGIIFTFGLLYTALKSRKARSWFYGTGWFRSFIADYGVPLMVVVWTALSFSVPGKVPSGVPRRLFSPLPWESASLHHWTVIKDMGNVPPAYIFAAFIPALMVAGLYFFDHSVASQLAQQKEFNLKNPSSYHYDILLLGFMTLLCGLLGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVESAKESIKQKASNSEIYGKMQAVFLEIDSSPAITVAKELEDLKEAVMKAENKGENPKDSFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVFAVPAIKQIPTSVLWGYFAYMAIDSLPGNQFWERLLLLFIMPGRRYKVLEGVHASFVESVPFKYIAMFTILQLVYLLVCFGVTWIPIAGILFPLPFFILITIRQHILPKLFNLHHLRELDAAEYEEFAGDRRLSLSLSFKEKEAAGVRNEEGKVEVCDAEMLDELTTSRGEFKVRTVSFHEDNRGQVYPEEIVH >Manes.05G208100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33517631:33518275:1 gene:Manes.05G208100.v8.1 transcript:Manes.05G208100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTEIQEKKTKGRQRIEMKKIENQDVMFITFSKRRSGIYKKASELITLTGVKLAFTVFSPAGKPFSFAHPSVDAIANRFLSKQPQANTQSSTHPLVEAHCQVKIEELNRQNHELLCQLDSLKKKGKQLKQRMTGKEIKGWWDTPIEEMNVEQMLEVEAACKEIQTKLINKLKFKTDGGASSSPAYHQAQMLNPFSPVGVNNTNLPVFPPDFD >Manes.12G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32834207:32843132:1 gene:Manes.12G123500.v8.1 transcript:Manes.12G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVPKAISVHFNIPRFGPELSNLLYHGDAKPSSGGIVELISNYDYTCRVGWAIYAERIMLWDSTTGEFSDFTSHFSFNIDTRGLSRYGHGLAFFLGPVGFEIPPNSAGGFMGLFNTSTMDSPQSQIVMVEFDSFPNPEWDPKPLVEHVGINNNSLISAVHTPWNASFHSGDTADVWVTYNANTKNLSVFWTYKRTSNAQENTGLFYIIDLKEVLPEWVNIGFSAATGQFGERHQLQSWEFNSSLNFQGENRQSSKRTRIIVGVAVSVCVLAVSVCVLAGKRTKKQMMVRREGHTRNLTSINEDLERRAGPRRFSYEYLASATNNFSDERTLGKGGFGAVYKGYLIDMDLAIAVKKISRGSRQGKKEYITEVKTIGQLRHRNLVQLLGWCHDRGEFLLVYEFMPNGSLDAHLFGERRPLTWAVRYKISLGLASALLYLHEEWEQCVVHRDVKSSNVMLDSNFNAKLGDFGLARLTDHEVGPQTTGLAGTLGYLAPEYISTRRASKESDVYSFGVVALEIASGRRAIDHSDQDHEMNLVEWVWELYGHGNLQLAADKELHMDYEERQVECLMIIGLWCAHPDHNLRPSIRQAIQVLNFEAPLPKLPAKMPVPTFRAPSPSVSNGQSFTTSSSGLG >Manes.07G130800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33290977:33294397:-1 gene:Manes.07G130800.v8.1 transcript:Manes.07G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDVATFETIIPSRFLSFTIPHPALHTQLLRVAVLDSPVQPSDSPVVAALFVPENRESDWIFFTESGHLQLLLSSPGISRLILVGKNPTNVPDSIMISHKREKDTQFVKNLENSLKPLFIALSPRISVKNRIFDIPILHYEDNLICSVVLERSVGVLVGEMLVEDVEIESDNELDDNRRKREFRRRMRFKRMPNLIQTEIRIVPEAEFVLDRVRIGGEVEFRPDTGVLVHPYLIPMVAGMSIVGPHIEDRIQNGLKPKALCLGVGGGALLSFLRIQLGFEVFGVEMDEEVLRVAKQYFGLQDSEIQVFVGDAIEYLETLASGGRSSNLVHSGVGEDQLNLATGFATKFDVIMVDLDSCDARSGISAPPLELIQRHILLAASSIICEFGALIVNVIPPSRSFYDTLIHKFREFFHKLYEIDVGNGENFVLVATMQPVLLPASESQNTFLKELKGVVLEKYLDSIRKI >Manes.14G147300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16422297:16427176:-1 gene:Manes.14G147300.v8.1 transcript:Manes.14G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAVSVLNHSIAEASRRNHGQTTPLHVAATLLASPSGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNLSPGHDPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIVSILDDPSVSRVMREASFSSPAVKATIEQSLNSSTSNSSAAAAASNSNSFGFGFRTPGAVPVPAPATNRNLYVNPRLQQGSIDQSGQQRNDEIKRVVDILLKNKRRNPVLVGESEPEMVVRELLKRIENKEIADGLLKNVHVIHLEKDFLDKMQIPAKIIELGGLIDSRIANLDCGGVILDLGDLKWLVEQPLNFAGAGGVQQQQQQQKIVSEAGRAAVAEMGKLLAQFAERSASGGGRRIWLIGTATCETYLRCQVYHPSMENDWDLQAVPIAPRAPLPGMFPRLGTNGILSNSVESFSPLKGLQTITPTLPRRLTENLDPAQRMSCCPQCMQNYEQELAKLTPKESEVSSSEFKSETNQLLPPWLKNAKSDDTDAKSLDKTVAKDQESSFKHKSLELQKKWHDKCLLLHPVYHQSNVSSERITQPALPMMSLYNANLLSRQPLQPKLGLNRNLGGCPQLNPNLFPSQPPGQAISPPGSPVRTDLVLGRPKSSENTPEKGREECIKDFSGCVASEQQIKLHDLQANKLLSALDADSFKRLLKGLLEKVWWQEEVASAVATTVTQCKLGNGKPRGNATKGDIWLLFTGPDEVGKKKMASAISELVFGSNPVMVSLSSRRDAGDSDVNFRGKTALDRTVEAVRRNPFSVIMLEDIDKADMLVRGSIKRAMERGRLSDSHGREISLGNVIFILTANGMPDNLKFLSNGVSLDEKKLMNLASGGWQLRLSLSEKTAKRRANWLLDEERPAKPKKDSGSALSFDLNEAAGAEEDKADGSRNSSDLTIDHEDEHVPNNRLQTPTASSLPREFLSSVDDNIEFKPVDFSLICCEVSNSITKRFSTIISDKIPFEIQEQALEKIAGGLWLSQSSLEEWTEKVLVPSLRQLKLQIPTCTEESSVVVQLESDRDSGSRCHGDWLPESVRVVLDGL >Manes.11G017501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1833759:1834949:1 gene:Manes.11G017501.v8.1 transcript:Manes.11G017501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSKKRKGLTDLDGLPKQCEEGVVDKTTGEEDEDADSTIGEEAEDSSDGEESEEGSPIDPYKLGSEVIVFDGSEGNRDGYGSDDTDYEDEQRDVYLKYRRQYRESEGFDFDDYPKPIKGEMFFGVARHVNLEDEDGFYTKGCREALAYAVQEQNKKGANLRPLEIIKANAESIGLYHITFKAEDMKLGEIKVYQTRVFYSLVPDCDQNQVFIFRLKEGNLKNFF >Manes.S041316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1201296:1202108:1 gene:Manes.S041316.v8.1 transcript:Manes.S041316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G116700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24232287:24234046:-1 gene:Manes.03G116700.v8.1 transcript:Manes.03G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASSSTILRPTPFLGQKGSTANPLRDGVAMGNGKYIMGNELWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGKYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >Manes.09G011696.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2541129:2543532:-1 gene:Manes.09G011696.v8.1 transcript:Manes.09G011696.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWKKQASAAATSISKLNRQINSKEGQIEQLLSRKQDIVEKCELEHISLPTISDPMEIGSEIPGPYFDFSELNRSLTQDRRPSDREKIEADFKQKMDAIMSEIEKTAPNLKALDQYEALLEKERAATEEFEAARKEEKQVADAYNSVKQRRYELFMEAFNHISNNIDKIYKQLTKSNTHPLGGTAYLNLENEDDPFLHGIKYTAMPPTKRFRDME >Manes.03G000600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:142773:166093:1 gene:Manes.03G000600.v8.1 transcript:Manes.03G000600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQALLQQHHMYHPGVLAAAMSQMEPIPSGNLPPGFDSSTCRSVYVGNIHVNVTEKLLAEVFQTAGPLAGCKLIRKDKSSYGFVDYHDRSSAALAIMTLHGRQLYGQALKVNWAYANSQREDTSGHFHIFVGDLSPEVTDATLFACFSVYDSCSDARVMWDHKNGRTKGYGFVSFRNQQDAQSAINDLTGKWLGNRQIRCNWATKGSGSSEDKQISDNQNAVVLTIGSSEGAQENTNEDAPENNHAYTTVYVGNLSHEVTQAELHCQFHALGAGVIEEVRVQRDKGFGFVRYSTHEEAASAIQMANGKIIRGKPMKCSWGSKPTPPGTASNPLPPPTQPYQMLPSGINQGYSATDLLVYQRQLALSQATASGLSGQALVQLTGQHGLAASMGLNSGGSHGLYDGYPNSSSAQQLMYYR >Manes.02G136100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10337253:10346286:1 gene:Manes.02G136100.v8.1 transcript:Manes.02G136100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLFDINAELVEDRGFLESLKDLISDNNPMVVANAVASLAEIQENSSRPIFEITSTTLAKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLSNIATLSSVYHKPPEAFVTRVKTAAQKAEDDDYPDGSETGNSESPAHPADSAASPPTSSSNVPSAGAKQPAPAPASPIPAAPVPDLLGDLMGLDNSAIVPVDQASTPAGPPLPVLLPASAGHGLQISGQLTRRDGQIFYSILFENNSQIPLDGFMIQFNKNTFGLAAVGPLQVPQLQPGTSAATLLPMVLFQNMSTAPPNSLLQVAVKNNQQPVLYFSDKISLHVFFTEDGRMERGSFLETWRSLPDSNEVSKEFPGIVVNGVEATLDRLAASNMFFIAKRKHANQDVFYFSAKIPRGIPFLIELTTAVGSPGVKCAIKTPNPDMAPLFFEAVETLLTSY >Manes.02G136100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10337264:10345750:1 gene:Manes.02G136100.v8.1 transcript:Manes.02G136100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLFDINAELVEDRGFLESLKDLISDNNPMVVANAVASLAEIQENSSRPIFEITSTTLAKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLSNIATLSSVYHKPPEAFVTRVKTAAQKAEDDDYPDGSETGNSESPAHPADSAASPPTSSSNVPSAGAKQPAPAPASPIPAAPVPDLLGDLMGLDNSAIVPVDQASTPAGPPLPVLLPASAGHGLQISGQLTRRDGQIFYSILFENNSQIPLDGFMIQFNKNTFGLAAVGPLQVPQLQPGTSAATLLPMVLFQNMSTAPPNSLLQVAVKNNQQPVLYFSDKISLHVFFTEDGRMERGSFLETWRSLPDSNEVSKEFPGIVVNGVEATLDRLAASNMFFIAKRKHANQDVFYFSAKIPRGIPFLIELTTAVGSPGVKCAIKTPNPDMAPLFFEAVETLLTSY >Manes.08G045500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4535726:4536773:1 gene:Manes.08G045500.v8.1 transcript:Manes.08G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSNLKTLHSQVFSAKSPSSTLTSMKIKTLIHTLIISHVCRMVRALSKAKTMLLQILKESQPINLICATKETKRKHRQRKVFFGSFRLHYNWCSSHVLPVQEPAFYYDSTWNSLITMSDQENQESQLSGYLHWLENQKVQEKSCNGDDDGDHVNEIDRLADMFIANCHEKFRLEKQESYRRFQEMMTRSM >Manes.12G009400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:969069:969278:-1 gene:Manes.12G009400.v8.1 transcript:Manes.12G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIAVVLFVLLSPGLLFQLPGKGRIVEFGNMQTSGVSILVHTIIFFALITIFLIAIGVHIYSG >Manes.07G117100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32256568:32263872:1 gene:Manes.07G117100.v8.1 transcript:Manes.07G117100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMDQSSDGDTDMSESEMDEYEAQSYEELKNGNHSVKISDETFTCPYCPKKRKRDYLYKDLLQHASGVGKSSSKKRSAKEKANHLALVKYLEKDLADVGSPSKQKGDTDPLSGCNQNEKLVWPWTGIVVNIPTAMAQDGRCVGASGSKFRDELISRGFNPIRVHPLWNYRGHSGTAVVEFHKDWPGLHNALSFEKAYEADHHGKKAWFVSSGEKFGVYCWVARADDYKADNIIGEHLRKTGDLKTISEIMEEEARKQDKLISNLNNIIETKNKHLKEMEQKCSETSISLNKLMEEKDRLLHAYNEEIKKIQMSAREHFQKIFNEHEKLKLQLESQKQELEMRGSELEMREAKNEIDRRQLSEEIEKNAIRNSSLQLASLEQEKADENVLKLAEDQKRQKEELHNRIIQLEKKLDAKQALELEIERLRGSYNVMKHMGDDGDAEVLKRMELIIENLREKEIEFEELETLNQALIVKERKSNDELQEARKELINGLKEVSTRAHIGVKRMGELDSKPFLEVMKKKYTEDEAEVRASELCSLWVEYLKDPDWHPFKVVMVDGEHREVINNEDEKLKDLRDEMGDEVYKAVTDALMEINEYNPSGRYIISELWNYKEGQKATLKEGVSFLMKQWQIAKRKRLL >Manes.07G117100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32256579:32263872:1 gene:Manes.07G117100.v8.1 transcript:Manes.07G117100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMDQSSDGDTDMSESEMDEYEAQSYEELKNGNHSVKISDETFTCPYCPKKRKRDYLYKDLLQHASGVGKSSSKKRSAKEKANHLALVKYLEKDLADVGSPSKQKGDTDPLSGCNQNEKLVWPWTGIVVNIPTAMAQDGRCVGASGSKFRDELISRGFNPIRVHPLWNYRGHSGTAVVEFHKDWPGLHNALSFEKAYEADHHGKKAWFVSSGEKFGVYCWVARADDYKADNIIGEHLRKTGDLKTISEIMEEEARKQDKLISNLNNIIETKNKHLKEMEQKCSETSISLNKLMEEKDRLLHAYNEEIKKIQMSAREHFQKIFNEHEKLKLQLESQKQELEMRGSELEMREAKNEIDRRQLSEEIEKNAIRNSSLQLASLEQEKADENVLKLAEDQKRQKEELHNRIIQLEKKLDAKQALELEIERLRGSYNVMKHMGDDGDAEVLKRMELIIENLREKEIEFEELETLNQALIVKERKSNDELQEARKELINGLKEVSTRAHIGVKRMGELDSKPFLEVMKKKYTEDEAEVRASELCSLWVEYLKDPDWHPFKVVMVDGEHREVINNEDEKLKDLRDEMGDEVYKAVTDALMEINEYNPSGRYIISELWNYKEGQKATLKEGVSFLMKQWQIAKRKRLL >Manes.09G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33960351:33962343:1 gene:Manes.09G140500.v8.1 transcript:Manes.09G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEWEVLPHGGFLDYHEDGDNKSSAASRRSSSLKSVFDMNYFVCPSSSPPRNSRMVPNQLVPVPFQLEPTTIITGKEQEDKSKAIEIRVVPLPDVKGPDIASKEANQDPVSQVFFKKMKENEFVDMKIDSPKSPTSKSFTPPQIDAAKFNFDDKGETSESVSSPRLKNEKEILEVEEVSWEENSGGLNLWKWSLNGIGAICSFGVAAATVCIIIFGSHQRNKQQQNQRLRFQIYSDDKRIKQVVQHAAKFNEAITTVRGVPIARAHISFGGYYDGL >Manes.02G044100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3622478:3628252:1 gene:Manes.02G044100.v8.1 transcript:Manes.02G044100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGNQLISVHPDELKFILELEKPSYCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVVQPWDSCVIRVTLQAQREYPTDMQCKDKFLFQSTTVPPHTDVDELPPDTFNKDSSGKILEECKLRVVYVSPSTQENSEEEAKSSSQGPDANLALQRLKDERDEAIQQTEQLQKELVCTHSILQPALYI >Manes.02G044100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3622478:3628005:1 gene:Manes.02G044100.v8.1 transcript:Manes.02G044100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGNQLISVHPDELKFILELEKPSYCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVVQPWDSCVIRVTLQAQREYPTDMQCKDKFLFQSTTVPPHTDVDELPPDTFNKDSSGKILEECKLRVVYVSPSTQENSEEEAKSSSQGPDANLALQRLKDERDEAIQQTEQLQKELAMMKRRGYRKSDPGFSVTFAFVVGLIGILVGFILNLTLSSSPSTE >Manes.02G044100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3622464:3628075:1 gene:Manes.02G044100.v8.1 transcript:Manes.02G044100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGNQLISVHPDELKFILELEKPSYCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVVQPWDSCVIRVTLQAQREYPTDMQCKDKFLFQSTTVPPHTDVDELPPDTFNKDSSGKILEECKLRVVYVSPSTQENSEEEAKSSSQGPDANLALQRLKDERDEAIQQTEQLQKELAMMKRRGYRKSDPGFSVTFAFVVGLIGILVGFILNLTLSSSPSTE >Manes.02G044100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3622473:3628251:1 gene:Manes.02G044100.v8.1 transcript:Manes.02G044100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGGNQLISVHPDELKFILELEKPSYCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVVQPWDSCVIRVTLQAQREYPTDMQCKDKFLFQSTTVPPHTDVDELPPDTFNKDSSGKILEECKLRVVYVSPSTQENSEEEAKSSSQGPDANLALQRLKDERDEAIQQTEQLQKELAMMKRRGYRKSDPGFSVTFAFVVGLIGILVGFILNLTLSSSPSTE >Manes.09G038500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7194766:7195512:1 gene:Manes.09G038500.v8.1 transcript:Manes.09G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLHFLISSVLILLTLPTSKSESFSRKLSSQTLGLTNEKLTHLHFYFHDILTSKNPTAVPITNPGITNSSTHFGEAFMADDPLTVDPDINSKLVGRAQGIYASASQTDIGFLMVFNLVFLEGKYNGSTLSLLGHNAIFSGVREMPIVGGSKIFRFARGYALAKTHTIDLKTNNAIVEYNVYVFHY >Manes.17G056300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25418796:25421835:1 gene:Manes.17G056300.v8.1 transcript:Manes.17G056300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLSSDVHFHDIPDAIVSNIFSLITDTRTRNSMSLVCLKWHLIERSTRTSLTLRGNIRDLFLLPTCFRAVTDLDLSFLSPWGHPIFDSSSNPKLLAQLIHRAFPSVVSLTVYARNPSALQLLAPQWPGLSKVKLVRWHQRSPATPGSDFVAVFEHNHSLNSLDLSHFYCWTEDLPPALEAYPSVAASITHLNVLAHSSAEGFKSHELLAISAACPNLCELLATCVFDPRFIGFVGDETLLSLASNCPRLSLLHLVRTGSLCDARGDPDDEGYTSEDARISHSALADMFAGLPLLEELVLDVCHNVRDTWPAWEVLNSKCPRLKFLKLGRFHGICRGIDARPDGIAICSRLESLSIKNSADLTDSHLISISFGCPRLAKFEVQGCKRITEMGMNKLASILQKSLVDVKISCCKYLNAVCSLRALEPIQDRIQRLHIDCVWENVEQFGEVASFSGEAADLRHFSSEKRGGIWEDTSPWKRRKTFNDNGIDYQSRTWARLEFLSLWIPVGELLTPLTLSGLENCPVLEEIKIKVEGDCRHRPSSTNAFGLSSLACYPRLWKMSLNCGSAIGYALTAPSGLVDLSPWERFYLNGICNLNLTELDYWPPQDTDVNQRSLSLPAAGLLAQCGSLRKLFIHGTANEHFMMFLLKIPTLRDVQLREDYYPAPENDSCTEMRIDSCSRFEDALNRRHIPD >Manes.06G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27153974:27155852:1 gene:Manes.06G144600.v8.1 transcript:Manes.06G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTPSKEFSLLSPPIFLLLSVIFIIIKHITPLSPNRRPLPPGPRPWPIVGNIVHLDKKLHISMTRFAKLHGPLISLRLGTQVVIFGSSPTAAAEILKNHDRLLSARWTPKVIPRKIHELERISVIWNPTCDDQWKSLRALFRTELFSAKAIKSQATLREKKLSELVEFLTTQQGQVINIGEIVFATVFNTISNLIFSKDLIGLEDKGVASGLKSLFWRMMELGSAPNIAEFYPILEGLDPQGLRRKVSECIMQIFGVWEIYIKERREKHVNDAPKTDFLDVFLSSGFDDDLINWLIVELMSAGVETTTTTVEWAMAEILKNKRVMEKVGEELQRVINRGTIHESEVSQLTYVNAVVKETLRLHPPAPFLLPHRAPETCEVMNYTIPKDSQIFVNVWAIGRDPSVWEDPLSFKPERFLESSLDLKGHDFELIPFGSGRRICPGLTMAIRQTPMILASLVHYFEWSLENGEDPATIDMNDKFGVTLQKEKPLLVIPRRKL >Manes.13G107500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31045309:31049615:1 gene:Manes.13G107500.v8.1 transcript:Manes.13G107500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNLSTTLIPHLLLIIIVGVATASPPSVASRFSKFSLSKRAPRFLTKFSHPIKPHQHQEYRYETRYFYQQLDHFSFSDLPSFPQRYLINTEHWVGPDRLGPIFLYCGNEGDIEWFAVNTGFIWDIAPRFGAMVVFPEHRYYGESMPFGSGDVAYKNATTLAYLTAEQALADFAVLITDLKRNLSAEGCPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPETFYNIVSNDFKRESSSCFNTVKESWDALVSEGLKKNGLLQLTKTFRLCRELNSTEELANWLESAYSYLAMVDYPYPSAFMMPLPGHPIREVCKKIDGCPDGTSILECIFEGVSVYYNYTGDVDCFELDDDPHGLDGWNWQACTEMVMPMASSKDTSMFPTYDFNYTSFKEECWKQYRVVPRPQWITTEFGGHDIKAVLENFGSNIIFSNGLLDPWSGGSVLQNISETVVALVTEEGAHHIDLRPSTPEDPDWLVEQRTAEIKLIEGWINSYNQKKKTSFNM >Manes.09G118700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32153323:32155624:1 gene:Manes.09G118700.v8.1 transcript:Manes.09G118700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVDDVRQTDRRRKPAMLLLPKCLYEAHYKSITIHYKRHTERMRLIIFSLSYTFLIWGIFSSLTPTYASAEINVWPKPTSLSWPHPQAALLSPGFYISSPNHPYLSPAVNRYLLQILTEHYQPVVEPSVNLSASAPPLQTLAIIVADLSAPLHHGVNESYSLFIPSDGDTANLTAETVWGAMRGLETFSQLVWGNPSRVPVGLYLWDSPLFPHRGIMLDTSRNYYPVKDLLRTIGAMSANKLNVFHWHITDSHSFPLVLPSMPELAAKGSYGPTMQYSPADVAAIVRFGLEHGVRVLPEIDSPGHTGSWAEAYPDIVTCANMFWWPAGSEWADRLASEPGTGHLNPLNPKTYEVVKNVISDIVTLFPEPFYHAGADEIIPGCWKADPAIQSFLSDNGTLSQLLEIFVNSTFPYIVSLNRTVVYWEDILLDDNVKVDASFLPPEQTILQTWNNGPNNTKLIVSAGYRAIVSSSEFYYLDCGHGDFIGNDSQYNQPPTSGDSGNGSWCGPFKTWQAIYNYDITYGLSQEETKLVLGGEVALWSEQADPTVLDARIWPRASAMAETLWSGNRDESGMKRYAEAMDRLNEWRYRMVSRGIRAEPLQPLWCIKNPGMCNTVNPFV >Manes.05G065800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5467772:5476523:-1 gene:Manes.05G065800.v8.1 transcript:Manes.05G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRFLCKSHLFSALSSRHKTLHPALLLRSLDSHLHEPPNTFPDFPVYNIPRRWHLGHSHGHSDSHHHRASGAEGEKIFRLGLAADIGLAAGKALTGYLSGSTAIIADAAHSASDVVLSGVALWSFKAGNAPRDKEHPYGHGKFESLGALGISCMLLATAGGIAWHALDLLLGLLSASPEAVNHSLTHEHVHSQDGGHHHGIDMDHPILALSMTILSISIKEGLYWITKQAGEKQGSGLMKANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLLVSGMILKAGLETGYQSVLELVDAAIPAEHLNPIKQTILQVEGVKGCHRLRGRRAGSNLHLDVHIEVDPLSSVSAAHGIGEKVRQEIHKSYPEIAEVFIHIDPAFLHFSPSVMGWQDNIDSTTNNRNVSLEDEDVELIVSNIFLSRFPEKMEVERITRHFLQGKILLEVEVSMPPNILIRDAMEVAEAAEKLILRAASDVIQVSIQLRLGRPISKFGCVKCE >Manes.05G181100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29801170:29803883:1 gene:Manes.05G181100.v8.1 transcript:Manes.05G181100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGAFPDGEWDFSRMFSTQDQLDITSELLGQCSFPVGNEEGVQFANPTAFFSNPEANLNMADVNESLFYSWNTLNSDLNLISQENSSSSNCSSSVLIPSFRQETYLFSDSNSILTTNDDSMSMDFCIMDEKNSGSFVAMFPEIAMTETETVCINEPGANSVPARELQLKRMLDFPESEANPSGNKRSRVTRDVQKSKKKVTSRKNQKFIPGNNEENGQSSSSCSSEEDNASQDSNRGASSDSKVSETLNSNGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLNQKISMLL >Manes.05G181100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29801170:29803883:1 gene:Manes.05G181100.v8.1 transcript:Manes.05G181100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINMFLVFCLLQTQTSLNWQFLHRLRLLLVDMETLGAFPDGEWDFSRMFSTQDQLDITSELLGQCSFPVGNEEGVQFANPTAFFSNPEANLNMADVNESLFYSWNTLNSDLNLISQENSSSSNCSSSVLIPSFRQETYLFSDSNSILTTNDDSMSMDFCIMDEKNSGSFVAMFPEIAMTETETVCINEPGANSVPARELQLKRMLDFPESEANPSGNKRSRVTRDVQKSKKKVTSRKNQKFIPGNNEENGQSSSSCSSEEDNASQDSNRGASSDSKVSETLNSNGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLNQKISMLL >Manes.05G181100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29794926:29804029:1 gene:Manes.05G181100.v8.1 transcript:Manes.05G181100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGAFPDGEWDFSRMFSTQDQLDITSELLGQCSFPVGNEEGVQFANPTAFFSNPEANLNMADVNESLFYSWNTLNSDLNLISQENSSSSNCSSSVLIPSFRQETYLFSDSNSILTTNDDSMSMDFCIMDEKNSGSFVAMFPEIAMTETETVCINEPGANSVPARELQLKRMLDFPESEANPSGNKRSRVTRDVQKSKKKVTSRKNQKFIPGNNEENGQSSSSCSSEEDNASQDSNRGASSDSKVSETLNSNGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLNQKISMLL >Manes.05G181100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29794926:29804029:1 gene:Manes.05G181100.v8.1 transcript:Manes.05G181100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLGAFPDGEWDFSRMFSTQDQLDITSELLGQCSFPVGNEEGVQFANPTAFFSNPEANLNMADVNESLFYSWNTLNSDLNLISQENSSSSNCSSSVLIPSFRQETYLFSDSNSILTTNDDSMSMDFCIMDEKNSGSFVAMFPEIAMTETETVCINEPGANSVPARELQLKRMLDFPESEANPSGNKRSRVTRDVQKSKKKVTSRKNQKFIPGNNEENGQSSSSCSSEEDNASQDSNRGASSDSKVSETLNSNGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLNQKISMLL >Manes.05G181100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29794926:29804029:1 gene:Manes.05G181100.v8.1 transcript:Manes.05G181100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICGFVQTQTSLNWQFLHRLRLLLVDMETLGAFPDGEWDFSRMFSTQDQLDITSELLGQCSFPVGNEEGVQFANPTAFFSNPEANLNMADVNESLFYSWNTLNSDLNLISQENSSSSNCSSSVLIPSFRQETYLFSDSNSILTTNDDSMSMDFCIMDEKNSGSFVAMFPEIAMTETETVCINEPGANSVPARELQLKRMLDFPESEANPSGNKRSRVTRDVQKSKKKVTSRKNQKFIPGNNEENGQSSSSCSSEEDNASQDSNRGASSDSKVSETLNSNGKTRASRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLNQKISMLL >Manes.06G103500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23638198:23640321:-1 gene:Manes.06G103500.v8.1 transcript:Manes.06G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVISVILLFVGITVLVLIHVCIVGRAFRRRYENDQDMVAARISRSSSNRNGSKVIANEDLKDLPCFEFKATERGETSSADCVVCLENFNVGDKCRLLPNCKHSFHSQCIDSWLVKTPICPICRTSVNPPNTGVILSQESSVSLAVGVELA >Manes.03G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23698567:23707763:-1 gene:Manes.03G112400.v8.1 transcript:Manes.03G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAENTKEMRSLALTPTWSVATVLTIFVVVSLIVERSIHRLSNWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSTIANICIPSKFYDSTFAPCSRTEIDEELEENTSPGRKLLMLSFSPLRVQRMLNSLDKNTCKEGHEPFVSYEGLEQLHRFIFVMAVTHISYSCLTMLLAIVKIHSWRIWEEEAHMDRHDTLSEITREQTMRRQTTFVRYHASNPLARNSFLIWVTCFFRQFGRSVVRTDYLTLRKGFIMNHNLPLKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNVKGSNLYFWIAIIPITLVLLVGAKLQHVIATLALESAGISGYFVGAKLKPRDDLFWFKKPELLLSLIHFVLFQNAFELASFFWFWWQFGYNSCFIRNHLLVYIRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARRKRRHGIFTDDSTIHTDTSTVLSVEEDDNPFLDVVGENGATTHSEVELEPVSTVSNSPGPFPNENSSRVGTPLLLPSSSIPTSKATVVSRESVARSSSMPARRE >Manes.09G074619.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16327624:16329950:-1 gene:Manes.09G074619.v8.1 transcript:Manes.09G074619.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGNIYQQRNIITHFIGDLDLYTERNSEILQNLRCRKLENFRWYKDNFLRRVYALADPNAYHWKEKILTGLPRLFSTKVKETIEQKYGHISYDDLTYGDLITCVNLTGIRLCRDIKLQNKLKMENRQSRKELGNWCEQFGFGTMKKYKRRQYNNNKQRYKKPFNKKPFKRQYFKKTNYKKHNFKKPNKKDNITCYLCNQRGHYARECPARKKIHELGLELKIDNIDQLLEKLDQINLSSSDIDDDYNSDNSSQTINDSDSDHDCKGEICTCNNKINMLTDYTKILEQLEQVEDPSIKRKFFKKLNKIINEEIKIGTSIPTTFEDVEQMFTKKPIKTVSSMDLQFEIRQLKAEVKQLKTEVRQLQHKCEQIQNTKNKEKIEDIEETETETEEEQQLKFNGITRIKFQKWYVKINLTIKDFKLKTIAMLDSGADMNCIDIGIIPSKYFHKTKQTLSAANSTKVNIEYKIPKAHICNNNICFNTSFMLIKNLNTQVILGNPFLQMLYPFKVTELGIESNILGQNIIFEFITPKENISKINNLEKQIQYLKKDLHLIKIEEQLEKPTVQQQIKEIQEKFEQDLCSDLPSAFWDRKQHIVTLPYEPNFNEQNIPTKARPIQMNQEMLEFCKKEIQELLNKKLIRPSKSPCITMD >Manes.11G014600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1578658:1581670:-1 gene:Manes.11G014600.v8.1 transcript:Manes.11G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAPTRTLSQKELDIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVGIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESSLGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPQGHKWDVMVDLFFYREPEETKEQEEEEAVPVADYALPPADYGLPAADWGAQIADGQWTAEAAPPPIAAVPAANFYPEQGGFTTEWDAAAPPPQFAGAAAAPTPHIAGAAAAPTPQFAGAAAPTPALAPTGWDE >Manes.14G141700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12473625:12478319:1 gene:Manes.14G141700.v8.1 transcript:Manes.14G141700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRGRKAEKRGYEQSVEDEGHHLPDSKKAKMPALASVIVEALKLDSLQRLCSSLEPLLRRIVSEEVELALTRMGHAKLAARSPPNIEGPEGRNLQLHFRTRMPPHLFTCGKVEGEQGAAIHVVLLDASTGCVVQTGPESGAKLNVVVLEGDFNEEADEDWTAEHFEGYKVKEREGKRPLLTGDLQVTLEEGVGTLGELSFTDNSSWIRSRKFRLGVKVASGYCEGIHVREAKTEAFAVKDHRGELYKKHYPPALHDEIWRLDRIAKDGALHKKLVKAQIVTVEDFLRLLVRDAQKLRNILGSGMSNRMWENTVEHAKTCVLGEKLYIYYSNATHRAGVVFNHIYELQGLITDGQFLPLESLHHNQKISVDTLVRRAYENWHQVIEYDGKVLNTLTTTRNGKRTSVAPLVDNHDTTHYITSNNRQQQYISSEQRSQIQSVNNHTTIPQLIEFPLVSSDQNAVMTLNNQQAALASGGIDHVSLGTPGGGACFAGDWCRPRNGQGLEDFFAEEIRVRSSEMLESNDMQRLLKTFSVGVGVGIGNGLGHPDEACYSYSIQAYEPQMDQAYTRDHGRGSGKAVVGWLKLKAALRWGIFTRKRAAERRAQLAELD >Manes.05G202300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32701264:32702079:-1 gene:Manes.05G202300.v8.1 transcript:Manes.05G202300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPPPPSLQSPAPPPSNHHTSKQARSLQTAAAVTRNYDSKRLRKPILLHPQPRRTNPVVWFGAILCLLFSLILIFFGIATLIIYLAIKPRSPVFDTPGANLNVIYFDSPEYFNGDLTFLVNFSNPNQKIDIRFEYVEIELYFFDRLIGTQALQPFTQRQKEKRLESVHIISSLVYLPHNLATELQKQVQNNKVIYNIRGTFKVKATLGLFHYSYWLHGRCEIEMTAPPTGVLIARSCKTKR >Manes.16G092200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29836562:29839634:-1 gene:Manes.16G092200.v8.1 transcript:Manes.16G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQAVKQLARGTCTSLCRTEFMRTPYSQCRQPWIELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIARPELDGVRLAIPAATRNFAVDGFVPKPH >Manes.16G092200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29836148:29839945:-1 gene:Manes.16G092200.v8.1 transcript:Manes.16G092200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQAVKQLARGTCTSLCRTEFMRTPYSQCRQPWIELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIARPELDGVRLAIPAATRNFAVDGFVPKPH >Manes.16G092200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29834276:29839945:-1 gene:Manes.16G092200.v8.1 transcript:Manes.16G092200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSRFSRIGIQAVKQLARGTCTSLCRTEFMRTPYSQCRQPWIELYPERKVFQGTLFQKHYLFSTTASGSDIGVGSEGKDTISVTFVDKDGEEKHIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIARPELDGVRLAIPAATRNFAVDGFVPKPH >Manes.15G096300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7523207:7523844:1 gene:Manes.15G096300.v8.1 transcript:Manes.15G096300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRVTLIFVAALLFHFLPLEARKLAVMEKGLRTFHGESVDLSKTTDRVLQSVTSPGIGDYRVLQSVPSPGNGHYEVWRPVTSPVVEKSRHLHSVPGHEIGN >Manes.14G000200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:176818:184349:1 gene:Manes.14G000200.v8.1 transcript:Manes.14G000200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEKPVEPDTMTERTLSAKNETSVSPNSSRDAAIMGPRRENTGHLGPFGLHGDHTLYPSNVYAPQAQAFYYRGYNNAIGEWDEYPPYVNAEGLEIGSSGVYNDNGSIVFHPGFGYGPQMPYGPYSPVTTPLPSVGGDSQLYSSQQFPFSGPYYQQLGPPSTPYITSPTPISQPELSIPSVDQQGDHMLFGPRPSYPPILGSFGGGNISGNLGTLGFHDLQQGFDGLRSGGLWSDWSNSSDRHRPLTPLSPAVSPQPIGSFGSYGHNVGMASQQQRSFYGIVSGSNSYTSGYMHSGLSQGSGFGSASISSLATNSKGWLSHENSRSLGRASISVCSCNGSLDILSEQNRGPRASKPKSQNAVEHTSSIDNSKHGKSTANVHDALYNRPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRRLDAAYSEAKEKQVPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIAKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYENDMSILDDFDFYEDRQKAMQERKARQQLSPMAAGVAGANEHRSAITLPSDFIKQMSKSFAQVVSLEESSKEGPVTDKAGSVSDSSTRVKAEDAIAGVSSTKTG >Manes.14G000200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:176818:184228:1 gene:Manes.14G000200.v8.1 transcript:Manes.14G000200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEKPVEPDTMTERTLSAKNETSVSPNSSRDAAIMGPRRENTGHLGPFGLHGDHTLYPSNVYAPQAQAFYYRGYNNAIGEWDEYPPYVNAEGLEIGSSGVYNDNGSIVFHPGFGYGPQMPYGPYSPVTTPLPSVGGDSQLYSSQQFPFSGPYYQQLGPPSTPYITSPTPISQPELSIPSVDQQGDHMLFGPRPSYPPILGSFGGGNISGNLGTLGFHDLQQGFDGLRSGGLWSDWSNSSDRHRPLTPLSPAVSPQPIGSFGSYGHNVGMASQQQRSFYGIVSGSNSYTSGYMHSGLSQGSGFGSASISSLATNSKGWLSHENSRSLGRASISVCSCNGSLDILSEQNRGPRASKPKSQNAVEHTSSIDNSKHGKSTANVHDALYNRPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRRLDAAYSEAKEKQVPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIAKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYENDMSILDDFDFYEDRQKAMQERKARQQLSPMAAGVAGANEHRSAITLPSDFIKQMSKSFAQVVSLEESSKEGPVTDKAGSVSDSSTRVKAEDAIAGVSSTKTG >Manes.14G000200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:176818:184228:1 gene:Manes.14G000200.v8.1 transcript:Manes.14G000200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKENIFSDNCYLQTCIQTLSAKNETSVSPNSSRDAAIMGPRRENTGHLGPFGLHGDHTLYPSNVYAPQAQAFYYRGYNNAIGEWDEYPPYVNAEGLEIGSSGVYNDNGSIVFHPGFGYGPQMPYGPYSPVTTPLPSVGGDSQLYSSQQFPFSGPYYQQLGPPSTPYITSPTPISQPELSIPSVDQQGDHMLFGPRPSYPPILGSFGGGNISGNLGTLGFHDLQQGFDGLRSGGLWSDWSNSSDRHRPLTPLSPAVSPQPIGSFGSYGHNVGMASQQQRSFYGIVSGSNSYTSGYMHSGLSQGSGFGSASISSLATNSKGWLSHENSRSLGRASISVCSCNGSLDILSEQNRGPRASKPKSQNAVEHTSSIDNSKHGKSTANVHDALYNRPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRRLDAAYSEAKEKQVPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIAKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYENDMSILDDFDFYEDRQKAMQERKARQQLSPMAAGVAGANEHRSAITLPSDFIKQMSKSFAQVVSLEESSKEGPVTDKAGSVSDSSTRVKAEDAIAGVSSTKTG >Manes.14G000200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:176818:184228:1 gene:Manes.14G000200.v8.1 transcript:Manes.14G000200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEKPVEPDTMTERTLSAKNETSVSPNSSRDAAIMGPRRENTGHLGPFGLHGDHTLYPSNVYAPQAQAFYYRGYNNAIGEWDEYPPYVNAEGLEIGSSGVYNDNGSIVFHPGFGYGPQMPYGPYSPVTTPLPSVGGDSQLYSSQQFPFSGPYYQQLGPPSTPYITSPTPISQPELSIPSVDQQGDHMLFGPRPSYPPILGSFGGGNISGNLGTLGFHDLQQGFDGLRSGGLWSDWSNSSDRHRPLTPLSPAVSPQPIGSFGSYGHNVGMASQQQRSFYGIVSGSNSYTSGYMHSGLSQGSGFGSASISSLATNSKGWLSHENSRSLGRASISVCSCNGSLDILSEQNRGPRASKPKSQNAVEHTSSIDNSKHGKSTANVHDALYNRPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRRLDAAYSEAKEKQVPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIAKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYENDMSILDDFDFYEDRQKAMQERKARQQLSPMAAGVAGANEHRSAITLPSDFIKQMSKSFAQVVSLEESSKEGPVTDKAGSVSDSSTRVKAEDAIAGVSSTKTG >Manes.14G000200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:176818:184228:1 gene:Manes.14G000200.v8.1 transcript:Manes.14G000200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEKPVEPDTMTERTLSAKNETSVSPNSSRDAAIMGPRRENTGHLGPFGLHGDHTLYPSNVYAPQAQAFYYRGYNNAIGEWDEYPPYVNAEGLEIGSSGVYNDNGSIVFHPGFGYGPQMPYGPYSPVTTPLPSVGGDSQLYSSQQFPFSGPYYQQLGPPSTPYITSPTPISQPELSIPSVDQQGDHMLFGPRPSYPPILGSFGGGNISGNLGTLGFHDLQQGFDGLRSGGLWSDWSNSSDRHRPLTPLSPAVSPQPIGSFGSYGHNVGMASQQQRSFYGIVSGSNSYTSGYMHSGLSQGSGFGSASISSLATNSKGWLSHENSRSLGRASISVCSCNGSLDILSEQNRGPRASKPKSQNAVEHTSSIDNSKHGKSTANVHDALYNRPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRRLDAAYSEAKEKQVPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIAKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYENDMSILDDFDFYEDRQKAMQERKARQQLSPMAAGVAGANEHRSAITLPSDFIKQMSKSFAQVVSLEESSKEGPVTDKAGSVSDSSTRVKAEDAIAGVSSTKTG >Manes.14G000200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:179667:184228:1 gene:Manes.14G000200.v8.1 transcript:Manes.14G000200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGPYSPVTTPLPSVGGDSQLYSSQQFPFSGPYYQQLGPPSTPYITSPTPISQPELSIPSVDQQGDHMLFGPRPSYPPILGSFGGGNISGNLGTLGFHDLQQGFDGLRSGGLWSDWSNSSDRHRPLTPLSPAVSPQPIGSFGSYGHNVGMASQQQRSFYGIVSGSNSYTSGYMHSGLSQGSGFGSASISSLATNSKGWLSHENSRSLGRASISVCSCNGSLDILSEQNRGPRASKPKSQNAVEHTSSIDNSKHGKSTANVHDALYNRPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRRLDAAYSEAKEKQVPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIAKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYENDMSILDDFDFYEDRQKAMQERKARQQLSPMAAGVAGANEHRSAITLPSDFIKQMSKSFAQVVSLEESSKEGPVTDKAGSVSDSSTRVKAEDAIAGVSSTKTG >Manes.14G000200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:178818:184228:1 gene:Manes.14G000200.v8.1 transcript:Manes.14G000200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEKPVEPDTMTERTLSAKNETSVSPNSSRDAAIMGPRRENTGHLGPFGLHGDHTLYPSNVYAPQAQAFYYRGYNNAIGEWDEYPPYVNAEGLEIGSSGVYNDNGSIVFHPGFGYGPQMPYGPYSPVTTPLPSVGGDSQLYSSQQFPFSGPYYQQLGPPSTPYITSPTPISQPELSIPSVDQQGDHMLFGPRPSYPPILGSFGGGNISGNLGTLGFHDLQQGFDGLRSGGLWSDWSNSSDRHRPLTPLSPAVSPQPIGSFGSYGHNVGMASQQQRSFYGIVSGSNSYTSGYMHSGLSQGSGFGSASISSLATNSKGWLSHENSRSLGRASISVCSCNGSLDILSEQNRGPRASKPKSQNAVEHTSSIDNSKHGKSTANVHDALYNRPDFVTEYNDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRRLDAAYSEAKEKQVPCPVFLFFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIAKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYENDMSILDDFDFYEDRQKAMQERKARQQLSPMAAGVAGANEHRSAITLPSDFIKQMSKSFAQVVSLEESSKEGPVTDKAGSVSDSSTRVKAEDAIAGVSSTKTG >Manes.13G148700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36457500:36458641:-1 gene:Manes.13G148700.v8.1 transcript:Manes.13G148700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPPYQPKYVMLNSNNSTNLRAPPQRRNVPRYHSSDPGKSKGSCCLKCLCCCFIFWFLLIILLGGAVYYLYIVLQPEIPRYNVKGFEVNAFNIQHDFSLHTELAVTVKSENPNQHIGFLYGEDSSVLVTYNDSILCAGKLPTFLQPHANTTIIQILLKGNSEFGSSLQEALMQNREAGKIPLLVEVKAPVALVIQQFPTRLVTVLVNCSLVVDSLSAKKEAKILSSTYHYGVEL >Manes.09G016000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3336771:3345328:-1 gene:Manes.09G016000.v8.1 transcript:Manes.09G016000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKRPISNLSTISSSINEEKKSKKLKGLKLAIPDSEDNVVKENYQKIQSFKDDLSKIEAMTVQELRTTLRNVGISAKGRKPDLVSALKNFVSKHLDGGSSQEVEQISSNCTKNISLQNKAGNVLDETINTVSEVSSCKQIKRRGKHFPAEDETVKADSGIITTKKKITIKTAEVSVTMPQAEPWTILAHKKPQKGWIPYNPRAMRPPPPEDAKFMKLMSWNVNGLRALLKLEGFSAVELAQRENFDVLCFQETKLQEKDVESIKQCLIDGYENSFWTCSRAKLGYSGAAIISRVKPLSVSYGLGIPDHDSEGRIVTAEFDSFYLINAYVPNSGDGLKRLSYRVTQWDSSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNRRSAGFTDEERQSFGTNFLSRGFVDTFRKQHPNVVGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKAHDSYIVPDVGGSDHCPIGLILKV >Manes.09G016000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3339165:3345328:-1 gene:Manes.09G016000.v8.1 transcript:Manes.09G016000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQALQIGFRTFLNLSSFTARPGQLNIESLVSLRAGIMCSKRPISNLSTISSSINEEKKSKKLKGLKLAIPDSEDNVVKKIQSFKDDLSKIEAMTVQELRTTLRNVGISAKGRKPDLVSALKNFVSKHLDGGSSQEVEQISSNCTKNISLQNKAGNVLDETINTVSEVSSCKQIKRRGKHFPAEDETVKADSGIITTKKKITIKTAEVSVTMPQAEPWTILAHKKPQKGWIPYNPRAMRPPPPEDAKFMKLMSWNVNGLRALLKLEGFSAVELAQRENFDVLCFQETKLQEKDVESIKQCLIDGYENSFWTCSRAKLGYSGAAIISRVKPLSVSYGLGIPDHDSEGRIVTAEFDSFYLINAYVPNSGDGLKRLSYRVTQWDSSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNRRSAGFTDEERQSFGTNFLSRGFVDTFRKQHPNVVGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKAHDSYIVPDVGGSDHCPIGLILKV >Manes.09G016000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3339165:3345328:-1 gene:Manes.09G016000.v8.1 transcript:Manes.09G016000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKRPISNLSTISSSINEEKKSKKLKGLKLAIPDSEDNVVKENYQKIQSFKDDLSKIEAMTVQELRTTLRNVGISAKGRKPDLVSALKNFVSKHLDGGSSQEVEQISSNCTKNISLQNKAGNVLDETINTVSEVSSCKQIKRRGKHFPAEDETVKADSGIITTKKKITIKTAEVSVTMPQAEPWTILAHKKPQKGWIPYNPRAMRPPPPEDAKFMKLMSWNVNGLRALLKLEGFSAVELAQRENFDVLCFQETKLQEKDVESIKQCLIDGYENSFWTCSRAKLGYSGAAIISRVKPLSVSYGLGIPDHDSEGRIVTAEFDSFYLINAYVPNSGDGLKRLSYRVTQWDSSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNRRSAGFTDEERQSFGTNFLSRGFVDTFRKQHPNVVGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKAHDSYIVPDVGGSDHCPIGLILKV >Manes.09G016000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3338258:3345328:-1 gene:Manes.09G016000.v8.1 transcript:Manes.09G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQALQIGFRTFLNLSSFTARPGQLNIESLVSLRAGIMCSKRPISNLSTISSSINEEKKSKKLKGLKLAIPDSEDNVVKENYQKIQSFKDDLSKIEAMTVQELRTTLRNVGISAKGRKPDLVSALKNFVSKHLDGGSSQEVEQISSNCTKNISLQNKAGNVLDETINTVSEVSSCKQIKRRGKHFPAEDETVKADSGIITTKKKITIKTAEVSVTMPQAEPWTILAHKKPQKGWIPYNPRAMRPPPPEDAKFMKLMSWNVNGLRALLKLEGFSAVELAQRENFDVLCFQETKLQEKDVESIKQCLIDGYENSFWTCSRAKLGYSGAAIISRVKPLSVSYGLGIPDHDSEGRIVTAEFDSFYLINAYVPNSGDGLKRLSYRVTQWDSSLSNYMKELEKSKPVILTGDLNCAHQEIDIYNPAGNRRSAGFTDEERQSFGTNFLSRGFVDTFRKQHPNVVGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKAHDSYIVPDVGGSDHCPIGLILKV >Manes.08G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35663013:35669164:-1 gene:Manes.08G117000.v8.1 transcript:Manes.08G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNSENDVVVDDGDGGGGGAGCGGGGCGKSFGSVSCSICLEVVIDNGDRSWAKLQCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGCRSLPEFSMDDWAHDEDLYDLSYSEMSFGVHWCPFGSLARLPLSFEEGEFSSNAYHELLGQHPIFAEHTAAVSSATHPCPYIAYFGPIHPSSSNSSGSVSDSSNFNNHWNGPSVPSEIPSSYAFPAMDLHYHGWEHHSPPFSTASSRIGNPDQSSIPPVQRPARTSSDLPRSGSFMHPFIVGHSSSARAGSSVASSVIPPYQGSNARARDRVQALQAYYQQLPANSAAIRAPIMSGARRSSGHRGLSQVGAVASSSDQTGFYFIPPGTSGRSYQEAESPPTRFRAWERDHLTSYSLSQVDRESNWGAFHQAAGGSDAGIRLSGFRQRHGSERMSSQNRS >Manes.01G129900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32341244:32343668:1 gene:Manes.01G129900.v8.1 transcript:Manes.01G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQGSSSNAPAPFLIKTYEMIDDPLTNSVVSWSHGGCSFVVWNPPEFAQVLLPKYFKHNNFSSFVRQLNTYGFRKIDPDQWEFANEEFIRGQRHLLSNIRRRKPIHSHSMQNLVNNSPMTEAEKREYEEKIKRLKHDKSLLQLELQRHETEKQAFQGQILSLGERLQTMERRQIQLVSFLSQLAKKPGFASIFMQQSEYQSRKRRLLELDYFNVDFKMEESESSHSLKDSTSNLELVDKLDSSMKCIEEFFYGAGEAFTHDMQPSPIILRVLSASSIDGETCSPRSHQSSLHSMDIPSSPELPPCINHINSLNTPPESPQFDVNCEPISSAPVVEAVKESESELETTNSSTPQGANDHFWEYFLTEAPGGSSTQAVEHGFERRTSDERLWWNANTINDLTKHMEHLAPTERT >Manes.08G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23534502:23538306:-1 gene:Manes.08G080000.v8.1 transcript:Manes.08G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSNGIQASTPNIGDSNNISRDGKNLSCSSSKVSSASIPKTPRSVSEILQAVNLKNISFSELKAATRNFRPGALQESSSELVFKGWIDEHSLTATKPGSGIPIAVKSLNQGLESHIEWLAEINYLGQLQHPNLIKLIGYCFEGDHRLLVYEFMPRGSLDNHLFRRVSEPLSWNIRIKVALGAARGLAVLHSAEIKVIHRNFKSSNILLDSNHNAKLSDFGLARDGPTGDESHVSTRVMGTYGYAAPEYVATGHLSTKCDVYSFGVVLLELLSGQRVMDRNRPSGQQNLVDWAKPYLTNKRKVFRVFDVRLEGQYSLSRARKLANLVHQCLDAQPKFRPNMDEVVKALEQLQESNIEENNPITQGGNNGGQLACP >Manes.08G080000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23534502:23538306:-1 gene:Manes.08G080000.v8.1 transcript:Manes.08G080000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSNGIQASTPNIVGDSNNISRDGKNLSCSSSKVSSASIPKTPRSVSEILQAVNLKNISFSELKAATRNFRPGALQESSSELVFKGWIDEHSLTATKPGSGIPIAVKSLNQGLESHIEWLAEINYLGQLQHPNLIKLIGYCFEGDHRLLVYEFMPRGSLDNHLFRRVSEPLSWNIRIKVALGAARGLAVLHSAEIKVIHRNFKSSNILLDSNHNAKLSDFGLARDGPTGDESHVSTRVMGTYGYAAPEYVATGHLSTKCDVYSFGVVLLELLSGQRVMDRNRPSGQQNLVDWAKPYLTNKRKVFRVFDVRLEGQYSLSRARKLANLVHQCLDAQPKFRPNMDEVVKALEQLQESNIEENNPITQGGNNGGQLACP >Manes.05G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2309120:2312466:-1 gene:Manes.05G026400.v8.1 transcript:Manes.05G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRQSTKPVLQRPPGYRDPTNPGRPPVSRPPLRKGVLPPSFQPRRSRKIFDTRVCSCIICIFLLMMLFLLGILAGFIYLWFDPKFPVFHVQSFKIPTFEVSSKLDGTYLNAATVARMEVKNPNSRLTYRYSGYQVDMTLGHGQETDLGSTTLPGLIQVKGNTTSLKIETHVKNQLIDDGTASRLKARFKRRDLVVNLRVKTSVGMVVVKGFEIGMLGVDVLCDGITMKEIDGGHMPKCTIRTLNWINLN >Manes.15G188800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:30952864:30954831:1 gene:Manes.15G188800.v8.1 transcript:Manes.15G188800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMAKLSLTRTISLASAFRSFHSPLLMGIIHSATLLFTCSSYSSSSSRSTSKRAHKDASLRSKFYSASFRDVDDALASFNHIILMRPLPSIDKFGRFLSALVRIKQYHTVVSLFRKIELLGISHDVYSLNIFINCYCRLHNVDFAFSILGKFFKLGVKPDIVTFNTLINGLCKEGKINRGVELFNDVVARGFQPDINTYNVMINALCKCGKTNMAIGLVKGMVERGCEPDAVTYSTIIDKLCKDGLVAEGLDLFSQMRNKGVSPNVVTYTCLIHGLCNFGELNQALSLLDEMMVQNISPDVYTFSILIDNLFKEGMVSKVQDIFNMMVEWGIKPNVVTYCSLMNGYCLHNQVDEARKAFDLMVSRGFANVFSYNILINGYCKCKRIDEATEIFGQMSRKGLVPDSVTYNTLIKGMWQAGRSQTALELFKNMCNHGQQPDRITFKILLDGLYKQGPLDEALNNIQRELFTL >Manes.13G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3702702:3706448:1 gene:Manes.13G027800.v8.1 transcript:Manes.13G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEQWSHEAKQWLQRGIEYAQQIPPNQLYAAAAVLLITTLLLVIVRLFKRTKSNTIMLTGLTGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFILHSESSKKGKTKPVHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDALEFLPNLRAVSEYLYDILTKASVVKRKVPVLICCNKTDKVTAHTKDFIRKQLEKEIEKLRASRSGISEADVANEFTLGVHGEVFSFSHCSNKVSIAESSGLTGDISQLEQFIREHVEP >Manes.13G027800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3702702:3706448:1 gene:Manes.13G027800.v8.1 transcript:Manes.13G027800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWSHEAKQWLQRGIEYAQQIPPNQLYAAAAVLLITTLLLVIVRLFKRTKSNTIMLTGLTGSGKTVLFYQKGKTKPVHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDALEFLPNLRAVSEYLYDILTKASVVKRKVPVLICCNKTDKVTAHTKDFIRKQLEKEIEKLRASRSGISEADVANEFTLGVHGEVFSFSHCSNKVSIAESSGLTGDISQLEQFIREHVEP >Manes.13G027800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3702702:3706448:1 gene:Manes.13G027800.v8.1 transcript:Manes.13G027800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWSHEAKQWLQRGIEYAQQIPPNQLYAAAAVLLITTLLLVIVRLFKRTKSNTIMLTGLTGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFILHSESSKKGKTKPVHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDALEFLPNLRAVSEYLYDILTKASVVKRKVPVLICCNKTDKVTAHTKDFIRKQLEKEIEKLRASRSGISEADVANEFTLGVHGEVFSFSHCSNKVSIAESSGLTGDISQLEQFIREHVEP >Manes.13G027800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3702702:3706448:1 gene:Manes.13G027800.v8.1 transcript:Manes.13G027800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEQWSHEAKQWLQRGIEYAQQIPPNQLYAAAAVLLITTLLLVIVRLFKRTKSNTIMLTGLTGSGKTVLFYQKGKTKPVHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDALEFLPNLRAVSEYLYDILTKASVVKRKVPVLICCNKTDKVTAHTKDFIRKQLEKEIEKLRASRSGISEADVANEFTLGVHGEVFSFSHCSNKVSIAESSGLTGDISQLEQFIREHVEP >Manes.05G109500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11077932:11079240:1 gene:Manes.05G109500.v8.1 transcript:Manes.05G109500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGNGEAPKPSTNTQHASNDGQTMNNVPPSKPVSAPQPVDVTKQIPAGINSTSANNYMRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGGSN >Manes.09G171700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36257717:36258795:1 gene:Manes.09G171700.v8.1 transcript:Manes.09G171700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAEKGEGPMILFLHGFPQLWYSWRHQSLALSSLGYHAVAPDLRGCGDSDAPASSSNNTTPHYQVFLVAHDWGAIVGWYMCLFRPDMLKTFVCLSFPYRPTNPKMKLVQGLRLLHGDDYYVCRFRFEPGEIEAEIARVGTAEVLKKIWTIRKPGAPCMPKENAFEISPENPTTLPSWPKDEDLAYYVSKFTQKGFTGGLNYYRLALDLNWELTASWKEAEVKVPVKLAIGDMDMVYTTPGMKEYIHSSGFRHYVPLMEDIVVMEGVGHFINEEKAVEINTLVYDFIRKY >Manes.08G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10787430:10798770:1 gene:Manes.08G069100.v8.1 transcript:Manes.08G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNNFLRSALKTQFSSYTKCLTASGSSPKHLIQAPFSKFYSTETSLQKEDSTTDSHGGGFKGHDMLAPFTAGWQSTDLHPLVIEKSEGSYVYDINGKKYLDSLAGLWCTALGGNEPRLVAAATTQLNKLPFYHSFWNRTTKPSLDLAKELLETFTTTKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPDKKKFIAREKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLESLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKVQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSVAKALSSAYMPIGAVMVSPEVSDVIHSQSNKLGSFSHGFTYSGHPVSCAVAVEALKIYRERNIPEHVKQIAPKFQGGLKAFSASPIIGEIRGTGLILGTEFSDNKSPNDPFPPEWGVGAYFGAQCEKHGMLVRIAGDNIMMSPPLIITAEEVDELISIYGKALKATEERVNELKSKQKKQ >Manes.08G069100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10787430:10798770:1 gene:Manes.08G069100.v8.1 transcript:Manes.08G069100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPFTAGWQSTDLHPLVIEKSEGSYVYDINGKKYLDSLAGLWCTALGGNEPRLVAAATTQLNKLPFYHSFWNRTTKPSLDLAKELLETFTTTKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPDKKKFIAREKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLESLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKVQAVVKKYDILFIADEVICAFGRLGTMFGCDKYNIKPDLVSVAKALSSAYMPIGAVMVSPEVSDVIHSQSNKLGSFSHGFTYSGHPVSCAVAVEALKIYRERNIPEHVKQIAPKFQGGLKAFSASPIIGEIRGTGLILGTEFSDNKSPNDPFPPEWGVGAYFGAQCEKHGMLVRIAGDNIMMSPPLIITAEEVDELISIYGKALKATEERVNELKSKQKKQ >Manes.10G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3528457:3529613:1 gene:Manes.10G035300.v8.1 transcript:Manes.10G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQIASLIFLLILLSWTVQGQHKTTFDLIVATDGNGNYSKIMDAIAAAPKLSARKFIIKIEKGIYTENVLVEDDKTNIIFIGDGIDKTIISGSRSAGGGYKTYDTATLGIHGDGFMAMDMTIENTAGAANMQAVALRSSARSVFYRCKFSGYQDTLYTHQNKQFYRQCEIYGTIDFIFGDATVVLQNCAIYARLPPKGESNTITITAQGRNKSTKTTGIVIQNCTITAADDLKRSGSSLIKSYLGRPWKEYSTTIVMQSFIDNIIDPAGWLEWEDNKTNLATIFYAEYGNRGPGAATNRRVKWTSYHKINRREAMKFTVRNFISGNEWLPSLGIPFFLDLM >Manes.05G181300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29820708:29828922:1 gene:Manes.05G181300.v8.1 transcript:Manes.05G181300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRYSHNNNNKSQQESSSTHARNFPKNNKKFIPRNQNPNPTLSNSLRQSLSKQSDSAAAASTASSGAASSSRVRMGDNKAWVSSRTAAGPQDVGNFINYLPQDEAVAAGLGAEEGGLDPVESQRVVDLLNSELSRLLKLSPREFWREVASDNSLHEFLDSFLKYRSRWYDFPHRGVKGIVAGIIVGEHDLSRRVFIVLYRISSNRDPGARAADTLSSREHAVLLQDKKLLDLPKLLDICAIYGHENEELTQLLVANALQAQTGIHDNLTAAMSQFLEIVNTMFQRCISSLEALFSSASHEDRGSSSLHYDFLEVMDFINDAVVSMDAFINAYKPAAAFFSCPVETSYGIEELLVMLARLHDTLLPSLQRGFRIMLTGGDEESISNISVSLKMLSMRIFKLGWKLLDICYLSNEVFTDCLPLPAITKIFPAKVEDPVIRADILIQTFREISGVLLYTQDNQHRNTFLQNLDKNYHLMSKLQSLQDAGWIFIDDEQLQYLSGIMMCSLKGTVKEQPVMPIPVSNNKVEMDEDAAIKESKISQIKDLFPDYGKGFLAACLEVYNQDPEEVIQRILEGTLHEDLKCLDTSLQIMPTAKSALTKDKGKGKLVESTPFPSTTPTHSTNTGVAGERQFDSPSVSSSSTVGRFVRKSHDVQEHYTLNTRDDKDAARTMALISQYEYEDEYDDSFDDLGLSVADSGLEENEIFRSEVGSSLGKPSGTESVGSVQTASSTKWGSRKKPQYFVKDGKNYSYKVAGSVAVANSDEASLVNQAQGDLIYGLGRGGNLPIGAVKKLMEYQEQEQQRESDEPETEGRGNTRNPRGRGRRGGGGRPRESHEEQDNQSDGSEMQGRGNAGNPRGRGRRGRGNNNYRKDRAMHKHFSGLSGF >Manes.05G181300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29820708:29828922:1 gene:Manes.05G181300.v8.1 transcript:Manes.05G181300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKKLLDLPKLLDICAIYGHENEELTQLLVANALQAQTGIHDNLTAAMSQFLEIVNTMFQRCISSLEALFSSASHEDRGSSSLHYDFLEVMDFINDAVVSMDAFINAYKPAAAFFSCPVETSYGIEELLVMLARLHDTLLPSLQRGFRIMLTGGDEESISNISVSLKMLSMRIFKLGWKLLDICYLSNEVFTDCLPLPAITKIFPAKVEDPVIRADILIQTFREISGVLLYTQDNQHRNTFLQNLDKNYHLMSKLQSLQDAGWIFIDDEQLQYLSGIMMCSLKGTVKEQPVMPIPVSNNKVEMDEDAAIKESKISQIKDLFPDYGKGFLAACLEVYNQDPEEVIQRILEGTLHEDLKCLDTSLQIMPTAKSALTKDKGKGKLVESTPFPSTTPTHSTNTGVAGERQFDSPSVSSSSTVGRFVRKSHDVQEHYTLNTRDDKDAARTMALISQYEYEDEYDDSFDDLGLSVADSGLEENEIFRSEVGSSLGKPSGTESVGSVQTASSTKWGSRKKPQYFVKDGKNYSYKVAGSVAVANSDEASLVNQAQGDLIYGLGRGGNLPIGAVKKLMEYQEQEQQRESDEPETEGRGNTRNPRGRGRRGGGGRPRESHEEQDNQSDGSEMQGRGNAGNPRGRGRRGRGNNNYRKDRAMHKHFSGLSGF >Manes.09G048901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8351694:8352736:1 gene:Manes.09G048901.v8.1 transcript:Manes.09G048901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPLSNVISCHPKTTLQVMSSLYLEMSCRVLSSQSFPSFADVKKLLFNPDGSRFNHIALAIVQSRSACITFSMASEQTPQIVVALERLLARFLVTEQAFIHNQP >Manes.18G067100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6019775:6024104:1 gene:Manes.18G067100.v8.1 transcript:Manes.18G067100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFCCFGVSYSQIVGGRSASSSGKGKSHEGSIKYGFSLIKGKANHPMEDYHVAKFIQTQGHELGLFAIYDGHLGDRVPAYLQKHLFSNILQEEEFWVDPNRSISKAYERTDQAILSHGSNLGQGGSTAVTAILINGQRLWVANVGDSRAVLSRGGQAIQMSIDHEPNTERGSIEHRGGFVSNMPGDVPRVNGQLAVSRAFGDRNLKYHLRSDPDIQDTTIDNNVDILILASDGLWKVNYFMWCQIKRLLI >Manes.18G067100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6019775:6024104:1 gene:Manes.18G067100.v8.1 transcript:Manes.18G067100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFCCFGVSYSQIVGGRSASSSGKGKSHEGSIKYGFSLIKGKANHPMEDYHVAKFIQTQGHELGLFAIYDGHLGDRVPAYLQKHLFSNILQEEEFWVDPNRSISKAYERTDQAILSHGSNLGQGGSTAVTAILINGQRLWVANVGDSRAVLSRGGQAIQMSIDHEPNTERGSIEHRGGFVSNMPGDVPRVNGQLAVSRAFGDRNLKYHLRSDPDIQDTTIDNNVDILILASDGLWKVVSNQEAVDIAKGVKDPRKAAKQLTAEALKRDSKDDISCVVVRFRA >Manes.04G022500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2691016:2697655:-1 gene:Manes.04G022500.v8.1 transcript:Manes.04G022500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKVAYITGCSLLYVNLYNFEGRYDLVKFVKLAAEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMQRFTEKIVDMVKQEKLYASQGGPIILSQIENEYGNVGSAYGPAAKTYINWAAKMAVSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSNNKPKMWTENWSGWFLAFGGAVPYRPVEDLAFAVARFFQLGGTLQNYYMYHGGTNFGRTSGGPFISTSYDYDAPLDEYGLLRQPKWGHLKDLHKAVKLCEEAMIATEPTTTSPGPNLEATVYKTGSGLCAAFLANTATSDKTVTFNGNSYILPAWSVSILPDCKNVVLNTAKINSMTVIPSFTRQSLVGDVASSKALSSGWSWINEPVGISKKDAFVKPGLFEQINTTADKSDYLWYSLSTNINGDEPFLKDGTLTVLHVDSLGHGLHAFVNGKLAGSGTGKNGAKFSVDIPITVVPGKNTIDLLSLTAGLSNYGAFFDLVGAGITGPVKLMGQNGTTVDLSSQQWTYQIGLQGEDIGLTSGSSSQWISQPNLPTKQPLIWYKTSFDAPAGDEPVAIDFTGMGKGEAWVNGQSIGRYWPTNVSPNSGCTDSCNYRGAYNSNKCRTNCGKPSQTLYHVPRSWVKSSGNTLVLFEEVGGDPTQIAFATKQVGSLCSHVSESHPLPVDMWNTDSESGKRSGPVLSLACPHPNQVISSIKFASFGTPHGSCGNFSHGQCSSRSALSIVQKACVGSKSCSIGVSIKTFGDPCRGVEKSLAVEVSCK >Manes.04G022500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2691016:2697655:-1 gene:Manes.04G022500.v8.1 transcript:Manes.04G022500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREILVLVILFFDVLATTSFAANVTYDHRALLIDGKRRVLISGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNVHEPVRNQYNFEGRYDLVKFVKLAAEAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKAEMQRFTEKIVDMVKQEKLYASQGGPIILSQIENEYGNVGSAYGPAAKTYINWAAKMAVSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSNNKPKMWTENWSGWFLAFGGAVPYRPVEDLAFAVARFFQLGGTLQNYYMYHGGTNFGRTSGGPFISTSYDYDAPLDEYGLLRQPKWGHLKDLHKAVKLCEEAMIATEPTTTSPGPNLEATVYKTGSGLCAAFLANTATSDKTVTFNGNSYILPAWSVSILPDCKNVVLNTAKINSMTVIPSFTRQSLVGDVASSKALSSGWSWINEPVGISKKDAFVKPGLFEQINTTADKSDYLWYSLSTNINGDEPFLKDGTLTVLHVDSLGHGLHAFVNGKLAGSGTGKNGAKFSVDIPITVVPGKNTIDLLSLTAGLSNYGAFFDLVGAGITGPVKLMGQNGTTVDLSSQQWTYQIGLQGEDIGLTSGSSSQWISQPNLPTKQPLIWYKTSFDAPAGDEPVAIDFTGMGKGEAWVNGQSIGRYWPTNVSPNSGCTDSCNYRGAYNSNKCRTNCGKPSQTLYHVPRSWVKSSGNTLVLFEEVGGDPTQIAFATKQVGSLCSHVSESHPLPVDMWNTDSESGKRSGPVLSLACPHPNQVISSIKFASFGTPHGSCGNFSHGQCSSRSALSIVQKACVGSKSCSIGVSIKTFGDPCRGVEKSLAVEVSCK >Manes.04G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:503236:505109:-1 gene:Manes.04G001600.v8.1 transcript:Manes.04G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSERSGEATMTEPKGRGIASAPAAVVVTHKATKQQPQGGWKKGIAIFDFVLRLCAIATGLAATATMGTTEQTLPFFTQFFQFHAEYNDLPTFMFFVYANGIASGYLVLSLPFSIVCIVRPHAVGPRLLLIIFDTVAMALTIAAASAAAASAAAAIVYLAHNGNSNSNWNAICQQFNDFCQQTSTAVVASFVTSAIFLFLIVLSAFALRKK >Manes.06G067900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18806498:18807813:-1 gene:Manes.06G067900.v8.1 transcript:Manes.06G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSFASEASTATSTVPSHKPSQSSLTDYSEKIQSAIQSLSSFTTIPPSLISSQDPAFSLLHDPHVSSQISSLLRHPDSGAGDNNLCRWFYDTFQSTSPQLQLVVLRFLPIIAGLYLSRVPLRKPLAGFEAVLLALYAHETTSRNGQAITVNIPDLSISSVYHETKESSRNNSTDLNLAVISPSLEPHGTVRSTRRARIVGVALELYFSKISLIPVGSKIDFCKFCEVWAGQDGDMYKEIEGEAGDGGGGGEEKGKKERRIPLPWELLQPALRILGHCLLGPQKDKELWDATCAAIRSLYARSLHDVNPKAILATGSLLRLSKIGMNSQNEIDYTEISMSNVISL >Manes.07G105800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLISSSSSCRGPLISIRGGGGTPGNFIT >Manes.07G105800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNMVIISPGCCKKTAQSRPS >Manes.07G105800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNMVIISPGKQTEGDSTSGVVFISNGGAGYFSFFLYFLLEF >Manes.07G105800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLISSSSSCRGPLISIRGGGGTPGNFIT >Manes.07G105800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLISSSSSCRGPLISIRGGGGTPGNFIT >Manes.07G105800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNMVIISPGKQTEGCCKKTAQSRPS >Manes.07G105800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNMVIISPGKQTEGCCKKTAQSRPS >Manes.07G105800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLISSSSSCRGPLISIRGGGGTPGNFIT >Manes.07G105800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNMVIISPGCCKKTAQSRPS >Manes.07G105800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNMVIISPGKQTEGDSTSGVVFISNGGAGYFSFFLYFLLEF >Manes.07G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKTIHGAEFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLISSSSSCRGPLISIRGGGGTPGNFIT >Manes.07G105800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31210236:31217649:-1 gene:Manes.07G105800.v8.1 transcript:Manes.07G105800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTLMRIVAPCWKPSVEGDSSNRGGDASGRADGLLWYKDSGNHVNGEFSMAVIQANNLLEDCSQLESGPMSLLETGPQGTFVGIYDGHGGPEAARFVNQHLFENIKKFTSETHGMSADVINKAFLATEEAFLSLVQQQWQDKPQIASVGSCCLVGIVCSGHLYIANAGDSRAVLGRLDNKEVKAVQLSYEHNASIESVREELQSLHPDDPHIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPESFNKPILKAEPTISEQKLDPEDQFLIFASDGLWEHLNNQEAVDIVNNCPRCCKKTAQSRPS >Manes.18G139900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:14973459:14975589:1 gene:Manes.18G139900.v8.1 transcript:Manes.18G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKKISKRSLTTEMDRSVKRMLKLIEEDGDSFAKKAEMYYQKRPELISLVEDFYRMYRSLAERYDFVKGELRKNVPSDLQSQSSNVSEGVSEQSLVLPSSVNEQRLPPRKSGPRAAGFDFFLGSAGSCSDLQKEGDESSTLTYSDSESDDDFIDNDSGLLGNVGDHTQNRTIIELEIGLREMKEKLVINQEENVDGSFSELGNGNSESLLARIAVYEQELKIANQRTLLFEEEVSRLKIDLQKYKSPQSTSCSQPDFALSTEENVKTWEAEVVPEMVVSEESQLQENIDRQEDETEESDSKIKALADELKITKEKLQYAENEIASLKHQLESERSSEKVSNLQDQLASTNKDINTWKTKFNAEKNEIIKLQQRITMLKSSLSDRDHEIKDLKTAVSDAEQKVFPEKEQMKAEISILSEERKCLENQITEWESRSRSLEDETIRLQKEKSETQERLNAEISQLKKEVEERNETHDSLKLERDDLNMKVTALKAEVISKEERIAQMDKHLQELQMEHMKLITDAEGARKLAVELRSKANDLEEEVERQRVEILEGAEEKKEAIRQLCFSIEHYRNGNYSLR >Manes.06G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23642115:23647284:-1 gene:Manes.06G103700.v8.1 transcript:Manes.06G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENSDGFVRADQIDLKSLDEQLERHLNKVRTMDKSKRPDDAHLPLVASAAADSTKTSIPTFKKDRQEWEIDPSKLIIKTVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRTEAEIASLRAAFSQEVAVWHKLDHLNVTKFIGATMGSAELQIQTENGQIGMPSNICCVVVEYLPGGALKSYLIKNRRRKLAFKVVVELALDLARGLSYLHSEKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEVPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDISKGGGMIPLDQQGGCLCFRRYRGP >Manes.07G012800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1604959:1612711:1 gene:Manes.07G012800.v8.1 transcript:Manes.07G012800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLNRSKPKLDRRNAIKNIEYDPSSSSSSSSSSSSAAASFYVGESPTPRTLSLDLDPKTSFRIKGIDGEFDLLCQIFGFSGIEDFAIPTAVWEAQKARQSSFDSGLRLRNTAEPDVLPAPFEPRIVIAKADAGFKLENESSKLKIGADLLRFQNGASVSDEGNLVRVEATGNGVTDFSKHEGEFSNLRNGSNLAEFSRGAGFGRHRISVRTIRDRGSSVGINGERPPVLAPPPALLRLPVVDNMSSTWDILKSLAPQCVEDLNSIEENEKEDDDVDEGKGQLNRERIPENEVLSEVCSFPSNDGHNSAGGDDRYAASVTVMEAVYCISPNGKFTRSISSWQKGELLGSGSFGTVYEGFTDDGFFFAVKEVSLLDQGSQGKQSILQLEQEISLLRKFEHGNIIQYLGTDKDEAKLYIFLELATKGSLARLYQKYHLRDSQVSAYTRQILSGLKYLHDQNVVHRDIKCANLLVDANGSVKLADFGLAKATKLNDVKSCKGTAYWMAPEVVNLKNRGYGLAADIWSLGCTVLEMLTGGPPYSHLEGMQALFKIGRGEPPPVPDSLSRDAQDFIRQCLQVNANVRPTAKQLLSHPFVKRPLQTFSIPPSPRSS >Manes.07G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1604959:1613079:1 gene:Manes.07G012800.v8.1 transcript:Manes.07G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLNRSKPKLDRRNAIKNIEYDPSSSSSSSSSSSSAAASFYVGESPTPRTLSLDLDPKTSFRIKGIDGEFDLLCQIFGFSGIEDFAIPTAVWEAQKARQSSFDSGLRLRNTAEPDVLPAPFEPRIVIAKADAGFKLENESSKLKIGADLLRFQNGASVSDEGNLVRVEATGNGVTDFSKHEGEFSNLRNGSNLAEFSRGAGFGRHRISVRTIRDRGSSVGINGERPPVLAPPPALLRLPVVDNMSSTWDILKSLAPQCVEDLNSIEENEKEDDDVDEGKGQLNRERIPENEVLSEVCSFPSNDGHNSAGGDDRYAASVTVMEAVYCISPNGKFTRSISSWQKGELLGSGSFGTVYEGFTDDGFFFAVKEVSLLDQGSQGKQSILQLEQEISLLRKFEHGNIIQYLGTDKDEAKLYIFLELATKGSLARLYQKYHLRDSQVSAYTRQILSGLKYLHDQNVVHRDIKCANLLVDANGSVKLADFGLAKATKLNDVKSCKGTAYWMAPEVVNLKNRGYGLAADIWSLGCTVLEMLTGGPPYSHLEGMQALFKIGRGEPPPVPDSLSRDAQDFIRQCLQVNANVRPTAKQLLSHPFVKRPLQTFSIPPSPRSS >Manes.15G062200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4721923:4724076:-1 gene:Manes.15G062200.v8.1 transcript:Manes.15G062200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPFPIISAICAFLLFLVMLNILVKSKANKNSTLKLPPGPWKLPFIGNVHSLLGSLPHHKLADLAQKHGSLMHLQLGEVSTIVVSSAEVAEEVLKTHDIVFAQRPLLIGSSILFYDCVDVAFSPYGTYWRQLRKICTVELLSPKRVQSFRSIREEEVSNLIKTISLTGNSPINLSEKLFLLTLSIISRAAVGKKCRDQEEFIATMQQSLSLSSGFAIAEMYPSIKVLELMSGLRPKLEKLHRQIDRIIENIVQEHKSEATASQVNGGEVEEDLIHVLLKLQEQGSLEVPLSDAGLKAIILDVFTAGSETSSTTIEWAMTELLKNPKLMEEAQAEVRQVFNRKGTVDETGIHELKFLKSVIKETLRLHPPLSLIPRECRTSCEINGYNIPAKTKVVINAWAIGRDPKYWVQAERFRPERFLNSSIDYKGMDFEYLPFGSGRRMCPGISMALANVELPLAQLLYHFDWKLPSGLKPEDLDMTEAFGLTISKKEKLYLIPIPYHPFSVE >Manes.04G164700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35789005:35791745:-1 gene:Manes.04G164700.v8.1 transcript:Manes.04G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDSTLSSQRLIGKVALVTGGATGIGESIARVFHKHGAKVCIADLQGNLGQNVCESLGGDPNTCYFHCDVAIEDEVQSAIDFTVHKFGTLDIMVNNAGLTGPPCPDIRNLDLSDFEKVFDVNVKGTFLGMKHAARIMIPLRKGSIISLCSVAGTIGGLGPHAYTGSKHAVLGLTRNVAAELGKHGIRVNCVSPYAVPTGLALAHLPEEERTEDAMIGFRGFVGRIANLQGVELTADDVANAVLFLASEEARYISGADIVVDGGFTVANHLFRAFR >Manes.01G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32264681:32275818:1 gene:Manes.01G128800.v8.1 transcript:Manes.01G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMEFQDSSVSRAEEIKVLANEAFKAHKYGQAIDLYTQAIELNGQNAVYWANRAIAHTKLEEYGSAIQDASKAIEIDPKYSKGYYRRGAAFLAMGKFKEALKDFQQVKKISPNDPDATKKLKECEKAVMKLKFEEAIAAPESERHSVADSIDFHNIGTGPSSSSMPTQVAMAPVAAAVLAAAVILVGRETAAIVLAVLMVILVVIGACWWGGWRGRGVFSKRQILDLEVEPQYSGARIEGDTVTLDFVKKMMDDFKNQNCLHKRYAFQIVLQTREMLRALPSLVDINIPDGKHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLSRGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINDKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGGDVTKKFLQENNLDLVVRSHEVKDEGFEIEHDGKLITVFSAPNYCDQMGNKGAFIQFEAPELKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Manes.01G128800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32264671:32275818:1 gene:Manes.01G128800.v8.1 transcript:Manes.01G128800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMEFQDSSVSRAEEIKVLANEAFKAHKYGQAIDLYTQAIELNGQNAVYWANRAIAHTKLEEYGSAIQDASKAIEIDPKYSKGYYRRGAAFLAMGKFKEALKDFQQVKKISPNDPDATKKLKECEKAVMKLKFEEAIAAPESERHSVADSIDFHNIEVEPQYSGARIEGDTVTLDFVKKMMDDFKNQNCLHKRYAFQIVLQTREMLRALPSLVDINIPDGKHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAIYLSRGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINDKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGGDVTKKFLQENNLDLVVRSHEVKDEGFEIEHDGKLITVFSAPNYCDQMGNKGAFIQFEAPELKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Manes.04G050700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8574674:8586300:1 gene:Manes.04G050700.v8.1 transcript:Manes.04G050700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCEAAYFIVVLHHLRSVVVAVRGTETPEDLITDGLGRECLLSPEDLDGLINGKYIHPNVKQNVELSFPHYGHLGIVEAARDLYMQIEGNLEDQEAKSSGFLSSLLGAGCECDGYNIYVVGHSLGGAIATLLGLRLYGKYPKLRVYAYGPLPCVDSVIAEACSEFVTSIVHDNEFSTRLSVGSIIRLRAAALMALSEDSNTDTTLIFRLARRFLYISKCQRGIEVKNPPESHSPPAKVEDLHHEVYKGSNKLEDGYSFWKESDINSSSNDGDVDVNDDNFQNPFHKEARVENSLDNPVSQFLETVPGNKNRSAEDPPDVFLPGLVIHLLPEQSNTNVSLWKSWRFNEKPHKYNAYMAKREDFKDIVVSPNMFLDHLPWRCRYALQKILEAENAKELLDETQIV >Manes.03G206500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32225494:32238594:-1 gene:Manes.03G206500.v8.1 transcript:Manes.03G206500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRGDRYGGSTNNTSTTAYNQDSYHNRRPSRFSDGPPRYSDAPVNRYSTNGSNTSTNYDRRSPNDYRDGGGFRRAFDSPPRHPPPAGGAGGIGGFGPFGGAGAAGSGGFRPMVGGGGGFGSNYQALPPQPPSQHLSGQKRGFASRGSSPGPDRFDGGSFAKLFVGSVPRTVTEENIRPLFEQHGNVIEVALIKDKRTGQQQGCCFVKYASSEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLDKQATEKEVEEIFSPYGHVEDVYLMRDEMKQSRGCGFVKYSHREMAMAAINALNGTYRMRGCDQPLTVRFADPKRPRPGDSRGSPAFGGPGIGPRFQAPGPRPVPNFGDPMGDRGPPNAWHPMSAENMDPSSNAGFRGFGSRLLPKSGDLATPLNQGGPLAGASDGAPPGNVSSAAQQNFNQHLQQVPPAGQQISPLQKPLQSPQHLPPLQLHPQVTSYSQTQSSYVGQLHAPPTAGQTTFSQVPLSQQYHGISGQLSASQPQLQQGPSTGTPLQAPFNVNLQSHSVSAGTNQPQIPAPVQQQMLQPLHQSPSQLAQMLSQQTQTLQATFQSSQQAFSQLQQQLQMMQPSSQGSTLQQSPQPTKQQWPGIPPQTVASTPTTTQAADVPPSTSGPGAAVLAQPVAPVKCNWTEHTSLEGFKYYYNSATRESRWEKPEELTLFEQPQKQQLQQKPPIQQPQVLPTQQVPQAQQMLVQAQFQNQFRHQQQLQQPSFPSSYAASGVRVQQDAQELGYTQLPANSVTDPTRFQGLQAAQDWMWKSKAAGSGI >Manes.03G206500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32225494:32238594:-1 gene:Manes.03G206500.v8.1 transcript:Manes.03G206500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHRGDRYGGSTNNTSTTAYNQDSYHNRRPSRFSDGPPRYSDAPVNRYSTNGSNTSTNYDRRSPNDYRDGGGFRRAFDSPPRHPPPAGGAGGIGGFGPFGGAGAAGSGGFRPMVGGGGGFGSNYQALPPQPPSQHLSGQKRGFASRGSSPGPDRFDGGSFAKLFVGSVPRTVTEENIRPLFEQHGNVIEVALIKDKRTGQQQGCCFVKYASSEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLDKQATEKEVEEIFSPYGHVEDVYLMRDEMKQSRGCGFVKYSHREMAMAAINALNGTYRMRGCDQPLTVRFADPKRPRPGDSRGSPAFGGPGIGPRFQAPGPRPVPNFGDPMGDRGPPNAWHPMSAENMDPSSNAGFRGFGSRLLPKSGDLATPLNQGGPLAGASDGAPPGNVSSAAQQHLQQVPPAGQQISPLQKPLQSPQHLPPLQLHPQVTSYSQTQSSYVGQLHAPPTAGQTTFSQVPLSQQYHGISGQLSASQPQLQQGPSTGTPLQAPFNVNLQSHSVSAGTNQPQIPAPVQQQMLQPLHQSPSQLAQMLSQQTQTLQATFQSSQQAFSQLQQQLQMMQPSSQGSTLQQSPQPTKQQWPGIPPQTVASTPTTTQAADVPPSTSGPGAAVLAQPVAPVKCNWTEHTSLEGFKYYYNSATRESRWEKPEELTLFEQPQKQQLQQKPPIQQPQVLPTQQVPQAQQMLVQAQFQNQFRHQQQLQQPSFPSSYAASGVRVQQDAQELGYTQLPANSVTDPTRFQGLQAAQDWMWKSKAAGSGI >Manes.05G164701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27724613:27725557:1 gene:Manes.05G164701.v8.1 transcript:Manes.05G164701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRRKMSFDMLVIAASVFSGCGIVVFISAVLKHVTPPVLANTWPVSSPDKGGEVASANYLTFWSKHGRVMEHLRSLMKRKFTCKKFHIYSVLFEYFCEDRPFSRAKLSLWVD >Manes.06G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25328246:25330916:1 gene:Manes.06G122800.v8.1 transcript:Manes.06G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATITGVGIGLGLSCSSSENLSQRQRRNPSRRSSCCSVKMALSVEEKKIYTLKKSEEAFNVAKELMPGGVNSPVRAFKSVGGQPIVMDSVKGSRMRDIDGNEYIDYVGSWGPAIIGHADDQVLAALAETMKKGTSFGAPCLLENVLAEMVIKAVPSIEMVRFVNSGTEACMGVLRLARAFTGREKLIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYETLTAPFNDLAAVENLFEANKGEIAAIILEPVVGNSGFIAPKPDFLNAIREITKENDALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLQQPGSYEYLDKITGELVQGILDAGKRAGHALCGGHISGMFGFFFTEGPVYNFGDAKKSDTAKFAKFYRGMLEEGVYLAPSQFEAGFTSLAHTAEDIQHTIAAADKVFRRI >Manes.08G055900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6118699:6122797:-1 gene:Manes.08G055900.v8.1 transcript:Manes.08G055900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKLIFTLSITTLPVILSIFAILSFFSYESHWEGKGEVIPIMGGGGIGAESFAFDPQGGGPYTGVSDGRIIKWVEKERRWIDFAFISPPREDCKGPQDHDPTEHICGRPLGLDFDETNGNLYIADAYMGLLMVGPQGGLATSIATHLQGIPFTFTNALDIDQSSGLIYFTDSSSQYPRRNHISVILSGDKSGKLMKYNPKSKQVTMLLGNLSFPNGIALSQDGNSMLLAETTNCRILKYWLTTSKSGNLEVFAQLPGFPDNIKRSPRGGYWVGVHSKRDRVLKWIVSHPWIGKFLLKLPFDVMKVHSFLGKFRGSGLALRLSEDGEVLEMRDGRDYKFKSISEVMEKDGELWIGSINLPFVGRFMI >Manes.05G052700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4365750:4367512:-1 gene:Manes.05G052700.v8.1 transcript:Manes.05G052700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDNSAQQTQNPLNQLVIEIPANLETTFSDDVCVYRVPPTLRKINEAAYTPQVVSIGPIHHHKPDLKPMEIQKLRYLKEFCKRQTTKTQRDFSIDLINTVSEKDFRGFYDDSSEICSTELINMILLDSVFILELFLRNHKAENYAKDFIIGKPWLRTDVQQDLVLLENQLPFSFLEKIYDFARQKFVEKDYPSFLDLTCRYFSIYKPRKIELIPNPVDKKPLHFTDLVRYFWSFGHPPMKPKSIGNLRSITKLHQAGLKLKPAPNECFLKVKFEKGITCLWRAELQIPCFEIDDTTEFVVRNLMALEQCHYPYETYICNYIRLWDFLIDTAEDVDLFVGKKIIVNGLGNSAAVANLVNNLCNQIAECHSCYYSLSEQLNGYYENCCNHTMATLRSIYFGDLWRGTGTVAAVLLLALTLIQAICSVLAL >Manes.05G052700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4365694:4367515:-1 gene:Manes.05G052700.v8.1 transcript:Manes.05G052700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDNSAQQTQNPLNQLVIEIPANLETTFSDDVCVYRVPPTLRKINEAAYTPQVVSIGPIHHHKPDLKPMEIQKLRYLKEFCKRQTTKTQRDFSIDLINTVSEKDFRGFYDDSSEICSTELINMILLDSVFILELFLRNHKAENYAKDFIIGKPWLRTDVQQDLVLLENQLPFSFLEKIYDFARQKFVEKDYPSFLDLTCRYFSIYKPRKIELIPNPVDKKPLHFTDLVRYFWSFGHPPMKPKSIGNLRSITKLHQAGLKLKPAPNECFLKVKFEKGITCLWRAELQIPCFEIDDTTEFVVRNLMALEQCHYPYETYICNYIRLWDFLIDTAEDVDLFVGKKIIVNGLGNSAAVANLVNNLCNQIAECHSCYYSLSEQLNGYYENCCNHTMATLRSIYFGDLWRGTGTVAAVLLLALTLIQAICSVLAL >Manes.02G177100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13967184:13975129:-1 gene:Manes.02G177100.v8.1 transcript:Manes.02G177100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGNAERDIEQALIALKKGAQLLKYGRKGKPKFCPFRLSNDETTLIWISSSGERSLKLASVCKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLDDSRDLTSNSASDSSISVTRDISSPDISVSFNPSTSPRSFRPENSPNSDRSHVVSENTNMQVKGSGSDAFRVSVSSAPSTSSHGSAPDDCDALGDVYIWGEVICDNAVKVGADKNANYLSTRADVLLPRPLESNVVLDVHHIACGVRHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLIESLAVATVDFVACGEFHTCAVTMAGDLYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVTCGPWHTALVTSTGQLFTFGDGTFGVLGHGDRENVAYPREVESLSGLKTIAVACGVWHTAAVVEVIVTQSSASFSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKIACGHSLTVGLTTSGHVFTMGSTVYGQLGNPYADGKLPCLVEDKLSGESVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKTPTLVEALKDRHVKYIACGANYTAAICLHKWVSGAEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKATRAALAPNPGKPYRVCDSCFVKLNKVSESSNHTRRNSVPRLSGENKDRLDKAELRLSKSSLPSNMDLIKQLDTKAAKQGKKADTFSLVRSSQAPSLLQLKDVVLSSAVDLRAKVPKPVLTPSGVSSRSVSPFSRRPSPPRSATPVPTTSGLSFSKSITDSLKKTNELLNKEVLKLRAQVESLRQRCEFQELELQKSAKKVQEAMALATEESSKSKAAKDVIKSLTAQLKDMAERLPPGVYDSENMRPAYLTNGVEPNGVHYADANGERHSRSDSISGTSLASPMGIDSTLSNGAQGPAHSFRDPTPSNGRDYPPDARLPNGGEVQSISTVSESVDGKESRSLHDGENGVASRDSALIPSSNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYEKYNVRGSDKSSVSGQAARRSEGAMSTSSQP >Manes.02G017200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1607081:1610855:1 gene:Manes.02G017200.v8.1 transcript:Manes.02G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMSGTEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDSQPELFIRIVPDKVDKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAERVIVTTKHNDDDQYVWESQAGGSFTVRKDVDGEPLGRGTKITLFLKDDQLEYMEERRLKDLVKKHSEFISYPIYLWTEKTVEKEVSDDEDEETKKEEEGDVEEVDEDKEKDSKKKKVVKEVTHEWELINKQKPIWLRKADEVTKEEYASFYKSLTNDWEDPLAWKHFSVEGQLEFKALLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEYLGFIKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKSIEMFNEIAENKDDYKKFYEAFSKNIKLGIHEDSQNRAKLADLLRYYSTKSGDELTSLKDYVTRMKEGQQCIYYITGESKKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVTQLKEYDGKKLVSATKEGLQLEESEEEKQKKEEKMKSFENLCKTIKEILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDNSLSAYMSSKKTMEINPDNPIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFAARIHRMLKLGLGIDEDETSGEDVEMPAQEVEGNEESKMEEVD >Manes.16G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33100789:33102219:1 gene:Manes.16G130100.v8.1 transcript:Manes.16G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYHFSLSPHRSQRSCTLSLFKPISISMARFQRVVANSSLLLTVALLLGFSEAKEILVGGKTDAWKIPSSQSDSLNNWAESARFRIGDFLVWKYDSQKDSVLQVTREAYLSCNTSNPIEEYGDGNTKVKLDRSGAYYFISGAEGHCVKGQKMIVVVLSQRHRYTGISPAPSPAEFEGPAVAPTSAATSLKGSLVVSLGVLLWGLF >Manes.07G071600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13166027:13166808:1 gene:Manes.07G071600.v8.1 transcript:Manes.07G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLQLFYIFIVLATFAPIQAIQYQLINNATGTPGGTRFEYEIGIPCSKQTLEFATNFIWQTFKQSDEGDRKNYEEVTMVVESFVGAAAYVLSNTINVNSDYIANYQGDVKNEVIGLLYHETTHVWQWFSNNEAPSGLIEGIADYVRLKAALAPLNWVKRGSGARRDEGYAVTAYFLEYCNWLKEGFVAELNALMKDSYSDDFFMQLLGKSVDELWNDYKSLYGN >Manes.01G039036.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7448815:7451748:-1 gene:Manes.01G039036.v8.1 transcript:Manes.01G039036.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLNNSNSLPSWVGDDCCRWDGVTCDDINGHVVKLVLSRASIMGNISLHLGNLSNLHYLDLSLNRSLAIHSLHFPSSLKYLYLSYVVLDKCDNWLQSINMLPSLLELELRNCELSIIGDVSHVNFTSLEVLDLGLNNFHSTIPSWLYNITNLRRLDLYSGAFRGSLSTDISNLKSLASLSAGFNSLEGNIPNTLNGLCNLIELHLGYNKFGGEISGTFGNSSGCIKNSLENLILSNNSFSGSIPDNLGQFKRLKVLYLSENSFWGSIPVSIGQLYNLERLGFSQNSLQGEVSELHLLNLRSLIALSMDGNSLVFDIDPEWIPPFQLDRIGLSSCEVGPSFPQWLKTQKSIRFLQMSNASISGNIPDWFENISSNIVGLDLSYNQLFGTLPTFRKQNTTYGNEYRIILLKSNQFDGFLTCSHFDATILDISNNLLLGHIPQNLSEMMPSLQLLSLSNNYLNGTVPATLCRSGSLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSFGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNAFDGFIPSWIGESLSSLKVLSIHSNKFEGHWDYYTEDQPLGFVTASYGENVQVYVKGIELEYTRTLRFMYSIDLSGNNFVGEIPQELMNLSGLQILNLSTNKLYGHIPWNIGKLSSLESLDFHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTKRKNDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.02G025000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2157240:2158586:-1 gene:Manes.02G025000.v8.1 transcript:Manes.02G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGTLEVLLVGAKGLENTDFLNNMDPYVVLTCRSQEQKSSVASGKGSEPEWNENFVFTITDGVTELTLKILDSDFGTADDFVGKATIPLEPLFMEGKLPPTAYNVIKDQEYKGEIRVGLTFTPQENCNHGHEAEEESFGGWKQSSVAF >Manes.15G127302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10144671:10145554:1 gene:Manes.15G127302.v8.1 transcript:Manes.15G127302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGSSSKEQKATGALEKSQIANMRMGDPLSLQAFDHLGSNFRFWSRAIRIALGAKMKLGFVKSTSSATSKDSEGYEQWKMYDFMVTSWIFNSISKELVDGFIYTASARDLWQVICERFGECNAPMIYELYRKISFISQKNQSVLDELGSVETLPTCTCGASKAIAEITNRNRLIQFLMGLNEAFGSVRDQVLGMDSLSTVNKAYSMVVKFESQREILESMNDDSESLALLNKNQTQNLFRPRRYEIKKGHCTFRNMDGHTRKGCFKLIGYPD >Manes.04G051589.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:16757333:16758785:1 gene:Manes.04G051589.v8.1 transcript:Manes.04G051589.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDLAKILAAITTKSNETDPYQIHSSEAPGFSLVSVPLKGLNYISWSRAVQIALRAKKKLGFHGCILLLNAMSKDISDAFVFSKSAKALWDELKQRYGESNGPMIYQIERDIAGYKQGDKSVTEYYTKLKKKWDELLCLAPLPVCCETGDEYDNVKNQVLLQSPLPSINKAYSMVMSVEKHREVQTNNATSIKTAVVMMARRGNNNYSDNTSSSRNNNRYNSYPRKEDKKKEYCTKCKIGGHTIENCFQINGYPGWFIEMQKKRGVDVRKYYSANNVAQVAVSDSPLQQHVLSQKTKGGFPDPAAEDVRNVNFAGTLLNSVITGIDFNCKDNWIIDYGATDHITPKLSFFDQVVQLNPSKTIRLPDKTTRKLTHPDLSFVSALIP >Manes.02G011751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1176697:1177310:-1 gene:Manes.02G011751.v8.1 transcript:Manes.02G011751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLGAEANLILFQADIYNDNEFEPAIDGCESVFHVATPIHHGLESSQRLIYTATVLAASPLNEAGNGFNTCMDECCWTALHLFFSHGNDYFLLLSLRGFFLLKSNYLGSRHVYRTVLPSIQDRRQVRTLSI >Manes.05G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4025168:4026326:-1 gene:Manes.05G048600.v8.1 transcript:Manes.05G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSCLLLLLLCLSLDACIARRLPAVDQKFHIYNQKDEKNVSVAVPKESSSSNEPAGAAKEDSSTETHYLNGYGYTQKLKEPKAKKKVKAGENAKKSGSAKKKTLVSVPWRVPHSKRGDKHPGFNLDYSPPKTHPPSHN >Manes.17G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30321296:30323656:-1 gene:Manes.17G095300.v8.1 transcript:Manes.17G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPAFVQEPEHRPKLRTIEAEGIPIIDLSILSSSDANPDHSRALENLVKQVGNACRDWGFFQVINHGVSLEKREKIFNVSRKFYAQPLEERNKIRKDDKKMLGYNDAEHTKNVRDWKEVFDLAVQNPILMSASYKPDDKEITEWHNQWPEYPPELREVCEDYVKEMEKLAYKLLELIALSLSLQPDRFHGFYKDKTAYMRLNHYRPCPAPHLALGIGRHKDSGALTILAQDDVQGLEVKRKSDGEWTLVKPTPSSYIINVGDIMQVWSNDAYESVEHRVKVNAEKERFSVPFFFNPAYYTIVKPLEELTNEHNPAKYRPYIWGKFLANRKHSNFQKLDVENIQISHFRVSELGEKLEGTLSINN >Manes.07G061900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8957610:8963668:1 gene:Manes.07G061900.v8.1 transcript:Manes.07G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFPFLLLIFLLTGEYVCGGVAQLAQCNAADREALLDFKMGLNDSWNGLSSWQGTNCCQWSGISCDNTTGVVLSVDITPSSGYRLSGQIRPSLAELKFLKHLDLSSNDFNGTLPTFLGNMTSLLYLDLSRNDFKGEIPNSLGQLKNLTHLSLCYNSLQGLIPAFTGNLQQLAFLELNSNKLNGTLPDSLGQLSELIHLDVSSNELTGIISEAHFLQLNKLNYLSLSANSLSFNVSSNWVPPFQISGMDMDSCHFGSSFPAWLKSQKEMQYLVISNCSISGSIPNWFWGKSENLVFLNVSFNDLDGQLPNSLNLTLAKMIDFSHNHFQGLVPFILAPNAVLLDLSNNQFSGPMPSNISQMVPALSYLSLSSNQLNGEIPASIGEMKNLEKLDLSRNNLTGSIPSSIGNCPFLQVLDLQNNTFFGGIPTSLGQLSSLLTLRLSKNLFSGEIPSSLQNMSYLQTLDLSNNMLTGRIPPWIGETVFALIILSLRSNNFYGELPPALSNLTSLQILDLAENKFNGSFPASYGNIKAMTHLQNEAQRDLFLRDFYNEYMYAIKNGLELLYTKNLYLLTIMDLSGNNLSGELSSEITKLVGLKVLNLSRNHINGQIPENISELRELLSLDLSSNMLSGPIPQGMSSMSFLGSLNLSNNNLSDRIPYKGHMTTFDASSFAGNSGLCGEPLVLKCPDDDTNNHDDPGDGRKDEADDGNEFIDKWFYLSIGLGFAAEPGSFTSSGRLSE >Manes.03G047100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4243566:4247890:1 gene:Manes.03G047100.v8.1 transcript:Manes.03G047100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSDSVSIDMETLSLGGKEFLVRTCHGCVSVSVYGDQDKPALITYPDLALNHMSCFQGLFFCQEACSLLLHNFCIYHISPPGHELGAAASSPDDPVLSVDDLADQIAEILNYFGLGSVMCMGVTAGAYVLTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQACRRLLDERQSLNVWRFLDALNGRPDISEGLRKLNCRSLIFVGENSPFHTEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGMYRPPKLSVSPRSPLSPLCISPELLSPESMGLKLKPIKTRIPVEV >Manes.03G047100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4243553:4247890:1 gene:Manes.03G047100.v8.1 transcript:Manes.03G047100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSDSVSIDMETLSLGGKEFLVRTCHGCVSVSVYGDQDKPALITYPDLALNHMSCFQGLFFCQEACSLLLHNFCIYHISPPGHELGAAASSPDDPVLSVDDLADQIAEILNYFGLGSVMCMGVTAGAYVLTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQLLDERQSLNVWRFLDALNGRPDISEGLRKLNCRSLIFVGENSPFHTEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGMYRPPKLSVSPRSPLSPLCISPELLSPESMGLKLKPIKTRIPVEV >Manes.03G047100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4243566:4247939:1 gene:Manes.03G047100.v8.1 transcript:Manes.03G047100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSDSVSIDMETLSLGGKEFLVRTCHGCVSVSVYGDQDKPALITYPDLALNHMSCFQGLFFCQEACSLLLHNFCIYHISPPGHELGAAASSPDDPVLSVDDLADQIAEILNYFGLGSVMCMGVTAGAYVLTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQLLDERQSLNVWRFLDALNGRPDISEGLRKLNCRSLIFVGENSPFHTEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGMYRPPKLSVSPRSPLSPLCISPELLSPESMGLKLKPIKTRIPVEV >Manes.03G047100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4243566:4247913:1 gene:Manes.03G047100.v8.1 transcript:Manes.03G047100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSDSVSIDMETLSLGGKEFLVRTCHGCVSVSVYGDQDKPALITYPDLALNHMSCFQGLFFCQEACSLLLHNFCIYHISPPGHELGAAASSPDDPVLSVDDLADQIAEILNYFGLGSVMCMGVTAGAYVLTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQACRRLLDERQSLNVWRFLDALNGRPDISEGLRKLNCRSLIFVGENSPFHTEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGMYRPPKLSVSPRSPLSPLCISPELLSPESMGLKLKPIKTRIPVEV >Manes.03G047100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4243553:4247890:1 gene:Manes.03G047100.v8.1 transcript:Manes.03G047100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSDSVSIDMETLSLGGKEFLVRTCHGCVSVSVYGDQDKPALITYPDLALNHMSCFQGLFFCQEACSLLLHNFCIYHISPPGHELGAAASSPDDPVLSVDDLADQIAEILNYFGLGSVMCMGVTAGAYVLTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQACRRLLDERQSLNVWRFLDALNGRPDISEGLRKLNCRSLIFVGENSPFHTEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGMYRPPKLSVSPRSPLSPLCISPELLSPESMGLKLKPIKTRIPVEV >Manes.03G047100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4243566:4247890:1 gene:Manes.03G047100.v8.1 transcript:Manes.03G047100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSDSVSIDMETLSLGGKEFLVRTCHGCVSVSVYGDQDKPALITYPDLALNHMSCFQGLFFCQEACSLLLHNFCIYHISPPGHELGAAASSPDDPVLSVDDLADQIAEILNYFGLGSVMCMGVTAGAYVLTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQLLDERQSLNVWRFLDALNGRPDISEGLRKLNCRSLIFVGENSPFHTEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLMGYGMYRPPKLSVSPRSPLSPLCISPELLSPESMGLKLKPIKTRIPVEV >Manes.05G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2346909:2349514:1 gene:Manes.05G026900.v8.1 transcript:Manes.05G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSSSSMAATTAFLRPASGTTRRSALPYLPPRSSYQSFRPSIKHVAEARRFPLLQIKASSSEESSTVDAGELFTDLKAKWDALENKSTVVLYGGGAIVAVWLSSIVVGAINSVPVLPKLMELVGLGYTGWFVYRYLLFKSSRKELATDIEALKKKIAGTE >Manes.18G084335.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7820319:7823081:1 gene:Manes.18G084335.v8.1 transcript:Manes.18G084335.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPELVFIVGVNKISDAIGNFLHNSIQGKKKKADMRFQEMLMKFALTWVLVAAAAFAATQEFPIAKPGCRERCGNISIPYPFGLTKDCYLNRYFLIRCNETFDPPRAFLTNSSIIVTEITLDGKLHILQSVVRDCYNTTSGAAARDNNRWSMLRLSKFVVSDTDNMFAAIGCNTEATVLGSLKADSDYVYKVGCMSMCNSLEYVPNNTCSGIGCCQTSLAKGVRYLNVTVSSYQIKPPSTITGFSPCSYAFIIETRSFKFASKNFSDLRSVKRLPLVLDWNIGDSNCTTVINNTMKSACQGNSTCQNPGNGYGYICKCLDGYQGNPYLSNGCQDIDECENSTLNNCDKICNNTPGSFQCSCPEGYYGDGIKNGTGTGCIRRRSQVIKITVGIGAGLIGLLACSSWMYWCFRKRKLMKLKEKFFRQNGGLMLQQQLSKREGSTAETAKIFTARELEKATNNYDESKIIGTGGYGTVYEGTLMDGRIVAIKKSKMVDQSQIQQFINEVVVLWQINHRNVVKLLGCCLETEVPLLVYEFVTNGTLFDHIHNKSKALALTWEIRLRIAAETAGVLSYLHSAASVPIIHRDIKTTNILLDDNYVAKVSDFGTSRLVPLDQDELSTMVQGTLGYLDPEYLHTSQLTDKSDVYSFGVVLLELLSGEKALSFERPEGKRNLAIYFLHALKEDRLVNVVEDCIANEGNFKQLQEVANIAKRCLRVKGEERPAMKEVAMELEGLRMMAKHPWINDASNSEETRHLLAEPSMDVVHYEDITSSGAGYDSMRNQVVLPVRNGR >Manes.08G013800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1506614:1507658:1 gene:Manes.08G013800.v8.1 transcript:Manes.08G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSVFNLLLLLHLLVLFATGGNSDLIFYFENTCLETLWLASSPSDRGLDPTIEPGALEIYFMSDPWSGSLWVRTKCTTDLSGHFSCETGDCGSGEQDCQGGLPKYPITELNFNIQNNVVSYELSLIHGHNNIAVRIEPTGGSLIAGGSGPCPVVDCVEDISNICPAPLVAKNKNGVYVGCYNPCDVLHDPKYCKANEYSERFKQLCKFAHTFPGDNSPPLYKCSGATSYNITFCPV >Manes.10G030400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3109755:3114585:1 gene:Manes.10G030400.v8.1 transcript:Manes.10G030400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLSMIVELLAGLLLMVTSLLVFPIIFESYKRRFNDKHVDAPAIFEDPNSLKQVPCPHIHDPAEKYVSLIVPAFNEEHRLPATLDETMNYLQQRVAKDKSFTYEVVIVDDGSADATKSLAFGFVKKYTVDNVRVILLGRNHGKGEAIRKGMLNARGELLLMLDADGATKVNDLEKLENQIHAVAKKEFNWGATVTDSNFRISDFPIAAFGSCAYLEEKALATRMWYCNLLMVGFHLVVLLAAGPGIRDTQVWF >Manes.S023952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2065953:2066120:-1 gene:Manes.S023952.v8.1 transcript:Manes.S023952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.06G107800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23992835:23997061:1 gene:Manes.06G107800.v8.1 transcript:Manes.06G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSFSQPSSSSGPKSGKRDYSTAMSERKMSPNRLVVDEAINDDNSMVSMHPATMKKLQFFRGDTVLIKGKKRRDTVCIVLADEQCEESKIRINKVVRANLRLHIGDVVSVHQCPDVKYGKRVHILPIDDTIEGVAGNLFDAYLKAYFLESYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPIKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESEGNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLERVAKDTHGYVGADLAALCTEAALQCIREKMDGIDLEDDTIDVEVLNSMAVTNEHFQTALGSSNPSALRETVVEVPNVSWDDIGGLENVKKELQETVQYPVEHPDKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRFQIFKACLRKSPVSRNVDLAALARYTHGFSGADITEICQRACKYAIRENIEKDIERDKRNQENPEAMEEDGVDVVSEIKAAHFEESMKYARRSVSDADIRKYQLFAQTLQQSRGFGTEFRFSDRTETAEAGGASDPFASATTAGDDDDLYS >Manes.17G118700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33138531:33141965:1 gene:Manes.17G118700.v8.1 transcript:Manes.17G118700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALIELIRSEYSQPRKTLCAVWILDRGMKTVNSNLSGSQQPLSLSHNPSNTMATLSSLLISTPNSLTIPSHSLSLLLPNLHFQSHGVPYSFRHLTLKNSTKSKFPFSHVTKLRSVEEETQIPEEEEQQQAQEQEEEPVQEGPEQQTVSVPVSPSDILTMFFQAEGTMNETAIPTVTSALEETDGITNLKVQVLEGIASVELTKQTTVQATGVASSLVELIQGSGFKLQTLNLSFMDEEDVLV >Manes.16G048740.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14955758:15001280:-1 gene:Manes.16G048740.v8.1 transcript:Manes.16G048740.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFRGTLGCRRWLTRPPIKSPQIGNGRVFSPFSSSVLKLK >Manes.01G240800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40246258:40248472:1 gene:Manes.01G240800.v8.1 transcript:Manes.01G240800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENMSISVNGQSQVPPGFRFHPTEEELLHYYLKKKVSYEKIDLDVIREVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVSNVVGEAAQEEGWVVCRIFKKKNHHKSPDRPLSSSPITSEARTNQMFHSFNEGALDQIFQYMGWSCKEENEANSNTARFLSPTDRTINNGYRHDSNRCMKLPSLESPNSSSSQNVYAAMMNEGSISDQVISTDPSSVYPLDSGLTSWTALDRLVAFQLNGQSENSRHLGCFNDPNMGYCIPIEQPHHGLQFPTLRSSFSLSSSRPYQGSHQDYTSEVELWNFNTRSPSSTSDPICHVSKSTV >Manes.01G240800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40246258:40248472:1 gene:Manes.01G240800.v8.1 transcript:Manes.01G240800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENMSISVNGQSQVPPGFRFHPTEEELLHYYLKKKVSYEKIDLDVIREVDLNKLEPWDIQEKCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNGRRIGMRKTLVFYRGRAPHGQKSDWIMHEYRLDDNVADANVSNVVGEAAQEEGWVVCRIFKKKNHHKSPDRPLSSSPITSEARTNQMFHSFNEGALDQIFQYMGWSCKEENEANSNTARFLSPTDRTINNGYRHDSNRCMKLPSLESPNSSSSQNVYAAMMNEGSISDQVISTDPSSVYPLDSGLTSWTALDRLVAFQLNGQSENSRHLGCFNDPNMGYCIPIEQPHHGLQFPTLRSSFSLSSSRPYQGSHQDYTSEVELWNFNTRSPSSTSDPICHVSKSTV >Manes.08G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6434080:6441549:1 gene:Manes.08G057200.v8.1 transcript:Manes.08G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVEPKKVDASLSSSSSYWIGRVASLQHFVEKPMDERLMRFTDSEWKEVEKRFNRLASAGNGPEPVVKWSEFGFCIGMQQSPEFAKEVLMAIRGREYYKFDITRKELHSYWHRLTDPSFQSRVKIFFDMCNRNKDGKINEMDIKQAILLSAAANKLSLTHEEAHEYAALIMESLDTEEKGFIEPHQLETLLKASLSSSSSPPTGYHQTNEKDPRGKTMSRSEIIFRSYWRRGWIVVLWLVICFSLFTWKFIQYRHRTAFEVMGYCLCTAKGAAETLKFNMALILLPVCRNTITWLRRKPTLNSFIPFNDNINFHKVIAGGIVVGVILHGGTHLACDFPRISGSNQSIFRQTIAVRFGYHQPSYIQILATTEVATGIAMVMLMTVAFLLATKWPRRRSPSLPSSIRHVTGYNTFWYSHHLFILVYALLIVHSMFLFLTDNITEKTTWMYIAFPVTLYAAERFVRALRSGFYDAKIIKVMIHPGKVLSLKLQKPEGFKHKSGMYIFIQCPQISLFQWHPFSLTSGPEDDHLSVHIRTLGDWSYQIYSLFQEAVLSRTKEYPKIYIDGPYGAASQDHVKYDIVMLIGLGIGATPFISILKDVANGLHQKANCGECNIAKGPLKAYFYWVTREQSSFDWFRDVLWEISKTNQKQSVIEMHNFLSSVYEEGDARSALISAMQALYQAKKGIDIVSWTQARTQFGRPNWFNIFFKLAKKHRGERIGVFYCGPSTLARELKTLCAKFSTKTTTRFVFHKENY >Manes.09G089922.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26656266:26656998:1 gene:Manes.09G089922.v8.1 transcript:Manes.09G089922.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQIFDFCFFSILQQPSHHHQLGATGGLNSHQLPPLPPSQPHGSGGAGSIRPGSMADQARCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNLPIGGGCRRDKRTKGSSSNSPATGDRQMGSVSSSTLPSKSGISDILGLGPQVPSLMFMAPLHHLTEFSTGDIGLNYGSLSASAEGPSDLNFQAFVSAGITGGDGSLLSAGGCHIYDPCKIQKNQTQQIMSKQ >Manes.03G109032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23131193:23131697:-1 gene:Manes.03G109032.v8.1 transcript:Manes.03G109032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQRPKSIIDFLGEEIIRIITPVSICMFIVVILVSILNTDSSSASTTINTIATMAYTETTSDSFWDKLGGPIAARQVSSVCLLVCQ >Manes.01G269200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42287616:42288702:1 gene:Manes.01G269200.v8.1 transcript:Manes.01G269200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDHSAALRNHSTNEQTLNLTVALHGINFSVMMVLCPLLQIKYQSESAKTSLEKKSGIMVAFYVVLISYVLTVMKEINLLIQNSVHHAIVIRNLSLYFGSLANVLLVSIIEPLFGVIVFAIWFYCFVIAAYASHEEWYETLMGRGSTEEQNRPPV >Manes.07G131800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33367769:33369235:1 gene:Manes.07G131800.v8.1 transcript:Manes.07G131800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFSSGDRIKGSWSPQEDANLIKLVQQHGPRNWSLISTGIPGRSGKSCRLRWCNQLSPDVQHRPFTPAEDATIVQAHALHGNKWATIARLLPGRTDNAIKNHWNSTLRRKRAADLSSASSESNSVMKRPYVDVSVESGSGSDSGVKNKRQSLGASPEYSSFDGDARIMGPETSLTLSPPGDGFVSVATVGEKVEEVEVNGGEECGERRRENKCGVQIEETCLLTIMQRMIAAEVRSYIDRLRAEDGLDGPSTRKDL >Manes.15G183933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29234855:29237228:-1 gene:Manes.15G183933.v8.1 transcript:Manes.15G183933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAASTAAASLGVSEMLGNPLNFSGGAAAAPTSLTPTTLKTVALFSKKKAAPPPKPKPSAVSPANEELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQAFELIHARWAMLGAAGFVIPEALNKYGANCGPEAVWFKTGALLLDGGTLNYFGNKIPINLILAVVAEIVLVGGAEYYRIINGLDFEDKLHPGGPFDPLGLANDPDQAAILKVKEIKNGRLAMFAMLGFYFQAYVTGEGPVENLSKHLSDPFGNNLLTVLAGSAERAPTL >Manes.12G157100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36525680:36527413:1 gene:Manes.12G157100.v8.1 transcript:Manes.12G157100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMDIVASADQNTSLISRCITTRNLKLGMAVHSHLIKTALTFIPFFSNHLIDMYSKCNQIENAQKAFGDIPIKNNRSWNTLISAYSQVGLLEKARQLFDKIPQPNLVGYNSLISGLFHHGFYSESINVFKRMQKDSTCLCLDEFTVVSIVGSCACLGLLGLLRQVHGAAILIGLELNRIVYNALIDAYGKCGEPDTSYRIFNQLPERDVVSWTSMVGAYARASRMDDAFQIFMEMPVKNAVSWTSLIAGFAQNGQSHQALELFGRMLEEGSQPSAFTFVTILSACAGLALIGKGKQIHGHIIRRSSRSDLFNIYILNALIDMYSKCGDMKSSKTLFERMPEKDIVSWNSLITGLAQNGHAEDSLHTFRQMIEAKTMPNNVTFLGVLFACSHRGLIHEGLQILDMMEKDYGINPESEHYSVLIDLLGRKNRLKEAMELIESAPNGSNHVGMWGALLGACRVHGNSDLARCAAEVLFELEPTNAARYTMLSNIYVAADRWNDAHVVRRVMGERGLRKEAARSWIEVRNSRHEFVARAGDQHHIEEINELISQLDGHMKEAGYQPCNSSYFLAEVGDVS >Manes.04G023350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2745824:2746434:1 gene:Manes.04G023350.v8.1 transcript:Manes.04G023350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDEFCWTVVYGCNLCIERKRLWESIQAIANDMNIPWIIQGDFSAILNIQGVGGNIANGEFSQDFVECVAAASLIKLRYKGCFYTWTNNQEQESRISRKLDRCLVNLARLEKFALSEYEALPSRISKHSPLIVIVQRSWQKEVGGSATYKLWCKLKGLKQELKKLNRREFYEIQNRV >Manes.09G025144.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5469901:5473808:1 gene:Manes.09G025144.v8.1 transcript:Manes.09G025144.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLR >Manes.09G025144.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5469670:5474514:1 gene:Manes.09G025144.v8.1 transcript:Manes.09G025144.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.09G025144.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5469514:5473910:1 gene:Manes.09G025144.v8.1 transcript:Manes.09G025144.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLR >Manes.14G119728.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11514208:11521963:-1 gene:Manes.14G119728.v8.1 transcript:Manes.14G119728.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLAMIMVLLLQGIWCSADCWETERTALLQLQSHLNYSLQHDRYLSFYYYFYSDSTLSFYDSSMEIDVIKCCDWERVRCSATTGRVIQLNLENIKDFFAEMWYLNASLFLSFKHLNYLDLSENNIAGCLKNEGFQKLSSLENLEFLNLASNNFKTDILLSLTYLSSLKYLNLDDNDMKGRINIEELNSLTNLKNLSISGNEIEGFKSFNGGEELLNMSNLQHLDIGYNYIGNDVLSTLRGLSSLKTLWMYHNQLKGTFDLKELDTMSNLEVLFLDENNITKFIGSRGLSSLKTLSMDDNQLKGSFDLKELDTMSNLEELDLSRNNITKFIGSREMRSLRNLRALYLWGIITIKGSSTLLESLGALAHLEILDLSGSNFEGATLSLGASTNLKILHMSESHLKGTRFAQGSNLTNLKELYLDNSYVDGNFLQSLETLPFLETLSMHSCGLSGILPVNLGICKLKHLQMLDISYNDLSGNLPLCLANLTSLRQFDLSFNHFIGNISSSPLRSLTNLEHLSLSNNLFQIPISLNPFFNHSKLKYMESRGNKLFAETYVQYVNPKFQLERLVLSSGGYCGAFPKFLCHQHNLQFIDLSHNQMREGFPSWLLQNNTKLEELYLINNSLSGPLKLPIHSHMNLSALDISDNFFQGFITPEIGTYLPRLIYVNMSGNGLSGSIPSSLGNMSLLERLDLSNNRLSGNIPEDLTIGCVSLKELILANNSLQGQIFSETSYLRFLYELQLDGNQFTGSIPHSLSNSSFLRVLDLSHNNLYGRIPRWLGNMYFLRVLDLSMNNISGSLPSNFCPSNIQEIYLSRNGLQGSLEDAFFGCSELIVLDLGHNHMTGSIPSWIGKFFQLSYLILGHNHIDGEIPVQLCNLTQLSLLDLSHNHLSGPILPCLRSASNSYGQQGGLYNASTDEPLEFTTKSISYSYKGRMLSYISGIDLSCNHLTGQIPIEIGYLNEIHVLNLSHNSLTGKIPASFSNLRQIESLDLSYNNLEGNIPPQLTKLTFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRNCTEMVSPPSKSRTSIENEESNGFMDIGVFYISFGVAYSVVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFIIDNLVVLSKFRFCSLRR >Manes.02G199251.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16756175:16760206:-1 gene:Manes.02G199251.v8.1 transcript:Manes.02G199251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIFYFFFYFLLFSIFSFSFSFSLTFFYCFIIFIYFSHFSSLFFSLFLFFFSNFYHFSSPFFHLIFLPHYFFSFLNFLSSFTHFLSLLIIFLSLYLSLSHFNYILFGYFSILFLLFILFYFLFLSKKIIIIFIFKYFKRSYYI >Manes.17G015157.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7889220:7890681:1 gene:Manes.17G015157.v8.1 transcript:Manes.17G015157.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.01G149700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33880458:33882147:1 gene:Manes.01G149700.v8.1 transcript:Manes.01G149700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKLASFICNFIGLRRQLRIKDVIAYEAMALDVSENAKEGVLQSAGGSSSAKAMEAEGEHCCVCLSRMNGGLDMRVLPCLHKFHKVCIERWFNVCRKTCPICRFSMGEEERSHKREEQLTEEMVIWFSSFHVAGF >Manes.03G150000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27715337:27716944:-1 gene:Manes.03G150000.v8.1 transcript:Manes.03G150000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDEVRKAQRAEGPATVLAIGTATPTNCVDQSTYPDYYFRITNSEHKTELKEKFQRMCDKSMIKKRYMHLTEDILKENPNICAYMAPSLDARQDIVVVEIPKLGKEAAVKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAIIVGADPVPQVEKPLFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFQPLGISDWNSLFWIAHPGGPAILDQVEVKLGLKPEKLRATRHVLADYGNMSSACVLFILDEMRKKSTEDGLKTTGEGLEWGVLFGFGPGLTVETVVLHSVAT >Manes.11G026100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2571778:2580029:-1 gene:Manes.11G026100.v8.1 transcript:Manes.11G026100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIASRLASSISSSVSKKRVCGNIISSRSYVAKDISFGVGARAAMLQGVNEVAEAVKVTMGPQGRNVIIEKSHGAPKVTKDGVTVAKSIKFKEKAKNVGADLVKQVANATNTAAGDGTTCATVLTQAILTEGCKSVAAGVNVMDLRTGINMAVNSVVSLLKERALMISTPEEISQVATISANGEREIGELIARAMEKVGKEGVITVADGNTLENELEVVEGMKLARGYISPYFITDQKTQKCELENPLILIYEKKISDMKSLVRILELAVKKNKPLLVVAEDVESDSLAMLILNKHHAGVKVCAIKAPGFGDNRRANLDDLAILTGGEVISEDRGLTLDKVQIEMLGTAKKVTVSLDDTIILHGGGDKKLIEERCEQLRTAMEKSNAMFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYAAKALEDLQAENEDQKRGIQIIQNALKAPTYTILSNAGFDPDVTLGKLLEQDDHNLGYDAAKGEYVDMVKAGIIDPLKVVRTALVDAASVSLLLTTTEAAIVDNPKEKKPPSRMPDMDAMDY >Manes.11G026100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2571778:2580029:-1 gene:Manes.11G026100.v8.1 transcript:Manes.11G026100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIASRLASSISSSVSKKRVCGNIISSRSYVAKDISFGVGARAAMLQGVNEVAEAVKVTMGPQGRNVIIEKSHGAPKVTKDGVTVAKSIKFKEKAKNVGADLVKQVANATNTAAGDGTTCATVLTQAILTEGCKSVAAGVNVMDLRTGINMAVNSVVSLLKERALMISTPEEISQVATISANGEREIGELIARAMEKVGKEGVITVADGNTLENELEVVEGMKLARGYISPYFITDQKTQKCELENPLILIYEKKISDMKSLVRILELAVKKNKPLLVVAEDVESDSLAMLILNKHHAGVKVCAIKAPGFGDNRRANLDDLAILTGGEVISEDRGLTLDKVQIEMLGTAKKVTVSLDDTIILHGGGDKKLIEERCEQLRTAMEKSNAMFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYAAKALEDLQAENEDQKRGIQIIQNALKAPTYTILSNAGFDPDVTLGKLLEQDDHNLGYDAAKVWFSGDFL >Manes.11G026100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2571777:2580030:-1 gene:Manes.11G026100.v8.1 transcript:Manes.11G026100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGVNEVAEAVKVTMGPQGRNVIIEKSHGAPKVTKDGVTVAKSIKFKEKAKNVGADLVKQVANATNTAAGDGTTCATVLTQAILTEGCKSVAAGVNVMDLRTGINMAVNSVVSLLKERALMISTPEEISQVATISANGEREIGELIARAMEKVGKEGVITVADGNTLENELEVVEGMKLARGYISPYFITDQKTQKCELENPLILIYEKKISDMKSLVRILELAVKKNKPLLVVAEDVESDSLAMLILNKHHAGVKVCAIKAPGFGDNRRANLDDLAILTGGEVISEDRGLTLDKVQIEMLGTAKKVTVSLDDTIILHGGGDKKLIEERCEQLRTAMEKSNAMFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYAAKALEDLQAENEDQKRGIQIIQNALKAPTYTILSNAGFDPDVTLGKLLEQDDHNLGYDAAKGEYVDMVKAGIIDPLKVVRTALVDAASVSLLLTTTEAAIVDNPKEKKPPSRMPDMDAMDY >Manes.09G120000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32300555:32306320:1 gene:Manes.09G120000.v8.1 transcript:Manes.09G120000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGEFTWKLADHPKLPKGKTIAMVVLDGWGEAKPDQYNCIHVADTPTMDSFKKTAPEKWRLIRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIFDGEGFKYIKECFATGTLHLIGLLSDGGVHSRLDQLQLLLKGAAEHGAKRIRVHVLTDGRDVIDGTSVGFVEALENDLANLRGKGVDAQIASGGGRMYVTMDRYENDWNVVKRGWDAQVLGEAPHKFKNAVEAVKKLREEPKANDQYLPPFVIVDESGKPVGPIVDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNAEMEEYVEIPSDVGITFNVQPKMKAIEIAEKARDAILSLKFHQVRVNLPNSDMVGHTGDIDATVVACKAADDAVKMIIDAIEQVGGIYVVTADHGNAEDMVKRDKSGKPLLDKSGNIQILTSHTLQPVPIAIGGPGLAAGVRFRNDVPSGGLANVAATVMNLHGFEAPSDYETTLIEVVDN >Manes.09G120000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32300555:32306320:1 gene:Manes.09G120000.v8.1 transcript:Manes.09G120000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGEFTWKLADHPKLPKGKTIAMVVLDGWGEAKPDQYNCIHVADTPTMDSFKKTAPEKWRLIRAHGTAVGLPTEDDMGNSEVGHNALGAGRIYAQGAKLVDLALASGKIFDGEGFKYIKECFATGTLHLIGLLSDGGVHSRLDQLQLLLKGAAEHGAKRIRVHVLTDGRDVIDGTSVGFVEALENDLANLRGKGVDAQIASGGGRMYVTMDRYENDWNVVKRGWDAQVLGEAPHKFKNAVEAVKKLREEPKANDQYLPPFVIVDESGKPVGPIVDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNAEMEEYVEIPSDVGITFNVQPKMKAIEIAEKARDAILSLKFHQVRVNLPNSDMVGHTGDIDATVVACKAADDAVKMIIDAIEQVGGIYVVTADHGNAEDMVKRDKSGKPLLDKSGNIQILTSHTLQPVPIAIGGPGLAAGVRFRNDVPSGGLANVAATVMNLHGFEAPSDYETTLIEVVDN >Manes.15G022500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1774064:1779845:-1 gene:Manes.15G022500.v8.1 transcript:Manes.15G022500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVNAVMAARMVTMLGQSNGVGAGIPFGSVWWFIYAGISCILVLFAGIMSGLTLGLMSLGLVELEILQRSGTRSEKKQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYVAIILSVTFVLFFGEVIPQAICSRYGLAVGANFVWLVRILMIICYPIAYPIGKILDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKVLARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKTKTKVPMPNGEKLEEKAASGDSQLTTPLLAEQDEKSDTVVVDIDRVSRSSNINKQNSSQRNDATTNGLSQPSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTVNKAAGGQSKPGQTPRKSENDSSTPRLLGIAGEPLSGNRR >Manes.14G012100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:798109:799870:1 gene:Manes.14G012100.v8.1 transcript:Manes.14G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPHFYSDYQFSPQEFSDITSMIAQQHSMLGHELNVLPFLYDNNGGLEGFQVDSDILSRVPMMTTTTTTATSFPEQLGFSEVVVPSLLDYRMGFSDIPNIQNFGGGFQYSDACECGESCSGFVPSFKSVCPNSRENWGIQCNQMPAMEHTNMKVGRYTAEERKDRILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNNELCEDEKIDNPLQEKESYSNDTLEMKNDDDDDWLQEAVASLMYVPYIAG >Manes.02G016000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1489272:1489928:-1 gene:Manes.02G016000.v8.1 transcript:Manes.02G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTEQAKPFAHAALQSLSDEEEALSAHLKFGQRKYIKCCGCFTAFLLILAVTILVLFFTVFHVKEPVIRINTLTLNPERLLTNGAFRTNTNVTLVADISVKNPNYASFKFNNGTTTVFYGGKVVGEGRIPSEKAEGRRTTHMNITVNMNPDEILKVPSWVTDVISRVMTMNTSTAIDGKVKIFNNIKKKFAVQVNCTITYNLSSLNIQQNCTPHFL >Manes.09G050014.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10389050:10390013:-1 gene:Manes.09G050014.v8.1 transcript:Manes.09G050014.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGLARPWLEVVDEERIQGFALPPISHESKIPKCLKDFYEEKICVGEILLSIWHHKVTVDSKCCSLIDRVSEDCSETYFAGLTQSFFNIVLKNYCAHK >Manes.17G011801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:4821011:4821958:1 gene:Manes.17G011801.v8.1 transcript:Manes.17G011801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEPEYWAKNPNLNSYQICDSIFPKTHFYIPDNFGKSQQYYENILIQTNSIFIQNNYDPQYPNKIRYCKVRLLKVWTLAEWGQEPHQTKEFMMTNGQNTKYNYYDYQAAWERTFFKQNDHMSISFFFYIADNFEYPIPYWFYQWWNKFGIQTDIIPDQVQTAQVQFFDKTKLSDTIHCSPKWLIYSHYFHIPWILMIEYQIKDQTIDDFQVPILVRKYKTKWWSKTDLQACGPEALEPFFLKYPQLCKSPSPASISKQETFLAKRQMIISQMAACTNEAEYEKLIEELNETKNSTASPVDLSDDNDDFFTQVDM >Manes.13G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6174184:6175773:-1 gene:Manes.13G053300.v8.1 transcript:Manes.13G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEHEGEGGEEYLFKIVLIGDSAVGKSNLLSRFARNEFDSNSKATIGVEFQTQVVDIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGVLIVYDITRRTSFDSVKRWLDELTTHCDTTVARMLVGNKYDLENIREVSAEEGKSLAEEEGLFFMETSALDCTNVQTAFEVVIREIYNNLSKKILNSDSYKAELTANRVSLVKNGETSSKQNIFSCCST >Manes.07G038733.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4656991:4661028:1 gene:Manes.07G038733.v8.1 transcript:Manes.07G038733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHYFLLVTHKTEGCIYYMLMLQLDGLIIKHAKLVNNLPQPPITWFCFHAPLPCGSSMKWIVWPCKYITKSILSLIPIKRKAFNNFPLEYKGLFLYFPPNFQFLKKINKMISSNKLLKLARKWQKMAAIRRRRITSSQNVGSTDTASVAEKGHFVVYSTDKKRFLLPLEYLNNEIIKELLNMAEEEFGLQSEGPLTLPCDADLMEYAVALLRRRATKDVERALLVFIASNCCSSSLPFQHQATRHQLPICSC >Manes.06G082700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21802840:21805506:-1 gene:Manes.06G082700.v8.1 transcript:Manes.06G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPESVFECFPFLPGTGSSPFFSVEVVLCLILFVSVFSFWLAPGGLAWALYKTKFNVQSRTAIPGPSGWPFLGMVLAFTDSLTHRVLAKSSQLVKSKSLMAFSVGFTRFIISSHPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPFGEYWRNLRRISATHLFSPKRIASFGHFRSEIGLKMVDEIRGLVERDGEVEVRKVLHFGSLNNVMKSVFGRSYEFNDHKNIDACELEGLVSEGYELLGIFNWSDHFPFLGWLDLQGVRKRCRNLVSKVNVFVGKIVEEHRMRRAENGRGSDDNSGDFVDVLLDLQEENKLNDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPDVQSKVQSEIDTVVGSNRPVSDSDLPNLPYLRAIVKETLRMHPPGPLLSWARLAIHDTHIGPHFIPAGTTAMVNMWSITHDEQFWSEPEEFKPERFMDEDVAIMGSDLRLAPFGSGRRVCPGKAMGLATVELWLAQLLQKLNWVAGESAVDLSEKLKLSLEMKNSLVCKAMPRVSA >Manes.12G066303.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6666057:6668594:-1 gene:Manes.12G066303.v8.1 transcript:Manes.12G066303.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTEQTVVENEVEQIGLLNGVEDFYEGITVEIEEHMDSHVFVPLLRASISKWKNQGKRGVWIKLPIEFSNLVHHIVQEGFRYHHAEADYLMLVRWLPNTPDTLPVNASHRVGIGAFILNKKRQVLVVQEKSGGFKGTGVWKLPTGVVNEGEDICEAAVREVKEETGIDAEFMEILAFRQSHRSFFSKSDLFFVCMLRPNSFEIHKQDSEVEAAQWMEMEEYVNQPYNKEHKLFQYVAEICELKSQGGYVGFSAISTATDSDQNTYLYFNGSDFTKI >Manes.12G146500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35346517:35346894:-1 gene:Manes.12G146500.v8.1 transcript:Manes.12G146500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAELQSSHRTHKVLTELEMVAAQQLMQLSDEDNSNSINRNSKNKINNCDDNEEESFDRSSQDEITSKKKIEEIFGKEEEFIIIQRPKKRRFRSLQSIYEATKPLNVRHGKINHREVRHSKET >Manes.04G138400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33783843:33784325:1 gene:Manes.04G138400.v8.1 transcript:Manes.04G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLIPSFFGSRRSNVFDPFSLDIWDPFDGFFTSNLANAPPSAREASAFINARIDWKETPEAHIFKADLPGLKKEEVKVEVEEGRILQISGERSKEQEEKNDKWHRIERSCGKFLRRFRLPENAKIDQVKASMENGVLTVTVPKEEEKKPQVKSIDISG >Manes.10G020115.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1990773:2007317:1 gene:Manes.10G020115.v8.1 transcript:Manes.10G020115.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLAYWIPETASTIPTNASHRVGIGAIVINDKREVFSATSFTVSACSYSALLLFYVTYPYSL >Manes.14G140375.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9387621:9389934:-1 gene:Manes.14G140375.v8.1 transcript:Manes.14G140375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHNGGRPSVISSLESCMRKCILRVLSIGPIPSHIAFILDGNRRFAKKEKLEEGAGHRAGFTALIYILKYCYELGVKYASVYAFSIDNFKRRPDEVQYLMDLILETTEGLLKEESIVNAYGIGVHFVGNLKLLSEPVRVAAEKVMRATAKNTKCVLFICIAYTSTNEIVHAVQESCKDKLKKIAPSNPNKACNDGIEEVGEYINKTDGAITHGVRESCKDEAYDVVTKGAEGIDNSNTVIVNANRTRDSVISVEESDKVLSFSSINLGDIEKKLYLALAPDPDVLVRTSGSNRLSNFLLWQASKCSLYSPQALWPEIGLLQFVWTVIIFQRNRSYLEKKKKQL >Manes.14G140375.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9387621:9389934:-1 gene:Manes.14G140375.v8.1 transcript:Manes.14G140375.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHNGGRPSVISSLESCMRKCILRVLSIGPIPSHIAFILDGNRRFAKKEKLEEGAGHRAGFTALIYILKYCYELGVKYASVYAFSIDNFKRRPDEVQYLMDLILETTEGLLKEESIVNAYGIGVHFVGNLKLLSEPVRVAAEKVMRATAKNTKCVLFICIAYTSTNEIVHAVQESCKDKLKKIAPSNPNKACNDGIEEVGEYINKTDGAITHGVRESCKDEAYDVVTKGAEGIDNSNTVIVNANRTRDSVISVEESDKVLSFSSINLGDIEKKLYLALAPDPDVLVRTSGSNRLSNFLLWQASKCSLYSPQALWPEIGLLQFVWTVIIFQRNRSYLEKKKKQL >Manes.15G115900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9251425:9253650:-1 gene:Manes.15G115900.v8.1 transcript:Manes.15G115900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRSSWKWWWAVVSAVVLVMHGCASAQQVPCYFIFGDSLVDNGNNNQLTSLARADYLPYGIDFNPPGPTGRFSNGKSTVDVIAELLGFDDYIPPYVSVSGREILRGVNYASAAAGIREETGQQLGGRISFSGQVRNYQNTVSQMVNLLGDEDTTANYLSKCIFSIGLGSNDYLNNYFMTQFYSSSRQYTPEQWSDILIQQYTQQLRNLYDYGARKFVLIGVGQIGCSPSELAQNSPDGRTCVERINSANQIFNNKLKSIVDQFNGNTPDAKFIYINAYGIFQDITSNPARYGFTVTNAGCCGVGRNNGQITCLPMQNPCPNRNEYLFWDAFHPTEAANTIIGRRSYSAESPSDAYPFDIRHLAQL >Manes.17G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26623698:26625728:1 gene:Manes.17G066200.v8.1 transcript:Manes.17G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEHSDLYYHAPFHEDHYDVINEAGSYNHNNQKLPYSIVGSSNHGFDPSSSYMSFTECLHGSMDYNSLAKAFGMSPSSSEVFSSIEGNPKPVEIGDFGGGANSTENPVTPNSSVSFSSSEAGGEEDSAKPKKESPPKGTEQDGGECSKKEGKTKKKGEKKQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSFQDPSIVITTYEGQHNHQIPATLRGNAAAMFSHSMLTPNPLGSTRATFPQDFLAQMAPHIGSQLVAGPGSIYPQNQHPHHQYQVPDYGLLQDIVPSMVFKQEP >Manes.12G082216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11470873:11472057:1 gene:Manes.12G082216.v8.1 transcript:Manes.12G082216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSSITQQPLLTLKNQPIQLKTNFQEINALAQGFEKERVEKALITISICLDGCCNLFISKTHCIYSSVINMAVGGALNANRVVYVQK >Manes.10G054551.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6788634:6789096:-1 gene:Manes.10G054551.v8.1 transcript:Manes.10G054551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRPGQGTFGGRFGGRKSLQSRKSGRFGGTFGGRNSQTETKLMHVRRHFRRPKLPDRDESLLSGAGFGSRKACLPSHVRRPKVLRLPNLVSAKGQKLGSFCTFRLKTFQTCIKPILQHPYTSIHVPRGLKPS >Manes.11G047700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5109845:5113701:1 gene:Manes.11G047700.v8.1 transcript:Manes.11G047700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMADSASETHCQSDTSGVRHISSSIFNFPGFFVGFGSRGSSDYESIRSPTSALDFSLFSNLSNPFSLKSPRSPTLNGHQKKWDCCKVGLSIINLLVDETKPTGELLSSPKRKNIIFGSQVKTGYSVRSKSLPRDYMLLLPSQVETRNPKLGKSNSDAVFGNDRVQLEPIPFEISSPINLSPKSPLNSKKFCSGNRTTTVMSLPLFSGGDTQTDNSFETKSSSLPVPIGSSHGYIGSISAQEIELSEDYTCIISYGPNPKTTHIFGDCILECHTNELSNFDKTQNLGPELPQEADCLEGPTPYPSDEFLSFCYSCKKVLDKGDDIYIYRGEKAFCSSDCRSEEMFSEDETKKTCDDSPKSSPASSYHEDLFLTSAPIAI >Manes.11G047700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5109808:5113701:1 gene:Manes.11G047700.v8.1 transcript:Manes.11G047700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMADSASETHCQSDTSGVRHISSSIFNFPGFFVGFGSRGSSDYESIRSPTSALDFSLFSNLSNPFSLKSPRSPTLNGHQKKWDCCKVGLSIINLLVDETKPTGELLSSPKRKNIIFGSQVKTGYSVRSKSLPRDYMLLLPSQVETRNPKLGKSNSDAVFGNDRVQLEPIPFEISSPINLSPKSPLNSKKFCSGNRTTTVMSLPLFSGGDTQTDNSFETKSSSLPVPIGSSHGYIGSISAQEIELSEDYTCIISYGPNPKTTHIFGDCILECHTNELSNFDKTQNLGPELPQEADCLEGPTPYPSDEFLSFCYSCKKVLDKGDDIYIYRGEKAFCSSDCRSEEMFSEDETKKTCDDSPKSSPASSYHEDLFLTSAPIAI >Manes.11G090400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:17072032:17073586:1 gene:Manes.11G090400.v8.1 transcript:Manes.11G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDMAIGGQPAGRIVMELYADVVPRTAENFRALCTGEKGAGKSGKPLHYKGSTFHRVIPGFMCQGGDFTAGNGTGGESIYGSKFADENFVKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGQVVEGLDVVKAVEKVGSSSGKTSKPVMIADCGQL >Manes.01G082200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28475302:28484327:-1 gene:Manes.01G082200.v8.1 transcript:Manes.01G082200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALHARRLYDDKKTEEAREKGIELEFQPDVKATFLRMLPLRSFSRFWGSLTGIELPLWVRPYVYRAWARAFHSNLEEAALPLDQYASLKEFFVRALKEGSRPIDPDSCCLVSPVDGTILRFGELKGSGAMIEQVKGFSYSVYSLLGASSFLPMIDDGHVQENSSEQQSNLHENSKKSWWRFSLASPKVRDTVSACPTKGLYYCVIYLRPGDYHRIHSPAEWNVLVRRHFAGHLFPLNERATRTIRNLYVENERVVLEGLWEEGFMAIAAIGATNIGSIELFIEPEFRTNLPRKKLVNSEPPEERVYEPEGIGKVLKKGDEVAAFNMGSTVVLVFQAPTLKPLDNRDVPSSEFRFNIKRGDRIRVGEALGRWHNL >Manes.01G082200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28475302:28485244:-1 gene:Manes.01G082200.v8.1 transcript:Manes.01G082200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGALHARRLYDDKKTEEAREKGIELEFQPDVKATFLRMLPLRSFSRFWGSLTGIELPLWVRPYVYRAWARAFHSNLEEAALPLDQYASLKEFFVRALKEGSRPIDPDSCCLVSPVDGTILRFGELKGSGAMIEQVKGFSYSVYSLLGASSFLPMIDDGHVQENSSEQQSNLHENSKKSWWRFSLASPKVRDTVSACPTKGLYYCVIYLRPGDYHRIHSPAEWNVLVRRHFAGHLFPLNERATRTIRNLYVENERVVLEGLWEEGFMAIAAIGATNIGSIELFIEPEFRTNLPRKKLVNSEPPEERVYEPEGIGKVLKKGDEVAAFNMGSTVVLVFQAPTLKPLDNRDVPSSEFRFNIKRGDRIRVGEALGRWHNL >Manes.01G082200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28475302:28485244:-1 gene:Manes.01G082200.v8.1 transcript:Manes.01G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRFSYKVPIFPPNLRLNQHHHQRQFFTSFLKKVQTASQARASFNGNGSSNSQGSAFLLPGATVATLLMLGALHARRLYDDKKTEEAREKGIELEFQPDVKATFLRMLPLRSFSRFWGSLTGIELPLWVRPYVYRAWARAFHSNLEEAALPLDQYASLKEFFVRALKEGSRPIDPDSCCLVSPVDGTILRFGELKGSGAMIEQVKGFSYSVYSLLGASSFLPMIDDGHVQENSSEQQSNLHENSKKSWWRFSLASPKVRDTVSACPTKGLYYCVIYLRPGDYHRIHSPAEWNVLVRRHFAGHLFPLNERATRTIRNLYVENERVVLEGLWEEGFMAIAAIGATNIGSIELFIEPEFRTNLPRKKLVNSEPPEERVYEPEGIGKVLKKGDEVAAFNMGSTVVLVFQAPTLKPLDNRDVPSSEFRFNIKRGDRIRVGEALGRWHNL >Manes.01G105900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30543040:30543342:-1 gene:Manes.01G105900.v8.1 transcript:Manes.01G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASNSTAGAGFWHWKSPMLYLFGGLALMLGIITVALIILACSYRKSSNSGTQVAERKPVEQLDDCEPKIVVIMAGDENPTYLAKPKPVSCICHNEEQV >Manes.01G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3671357:3677250:-1 gene:Manes.01G011000.v8.1 transcript:Manes.01G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATAKLSELPLRNSLPFPLTCHSLFSSTLKPPFQSLRKSFRLSSSLQTNENPKPTNHIPSPKAPWLSKWGPDNPPPPPATASAPAPRKVTQSSFQDKTRYLNKDKGLNAIERIVLRLRNLGLTSDDEGDEEEEAAEEIEFRGGDLAPVTGEERLADLLQREWVRPDTIFSNQDDEEDDDMVLPWERKESERKGREEGEGGRERKRTVKAPTLAELTIEDEELRRLRRMGMFVRERVSIPKAGLTKDVLEKIHDKWRKQELVRLKFHEVLAHDMKTAHEITERRTGGLVIWRAGSVMVVYRGSNYEGPSSKSRTVDREGDALFVPDVSSADNAAVTSDNVALAASTPEKREPTMGMINRAENMTEEEAEFNHLLDGLGPRFEEWWGTGILPVDADLLPPKVPGYKTPFRLLPTGMRSRLTNAEMTNLRKLAKTLPCHFALGRNRNHQGLAAAILQLWEKSLVAKIAVKRGIQNTNNKLMAEEIKALTGGVLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKQIQDDEEKVRSKGVEAPLSGHNEGKALAGTLAEFYEAQARWGKDISSEEREKMIEDASRAKRARIVKRIEHKLAVAQAKKLRAERLLAKIEASMVPSGPDYDQETITDEERAMFRRVGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLAFVEDTARLLEYESGGILVAVERVPKGFALIYYRGKNYRRPINLRPRNLLTKAKALKRSVAMQRHEALSQHISELERTIEQMKKEIGLPLEEDDKNNWTSEEQGQLNHVSEFSQREDESAFFGSDEEGDHDDIDEDDDDDIDEDDDDDLDDDDEDDADWESSGDFEFLGLQDGDHPLDKNG >Manes.01G011000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3670606:3677250:-1 gene:Manes.01G011000.v8.1 transcript:Manes.01G011000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATAKLSELPLRNSLPFPLTCHSLFSSTLKPPFQSLRKSFRLSSSLQTNENPKPTNHIPSPKAPWLSKWGPDNPPPPPATASAPAPRKVTQSSFQDKTRYLNKDKGLNAIERIVLRLRNLGLTSDDEGDEEEEAAEEIEFRGGDLAPVTGEERLADLLQREWVRPDTIFSNQDDEEDDDMVLPWERKESERKGREEGEGGRERKRTVKAPTLAELTIEDEELRRLRRMGMFVRERVSIPKAGLTKDVLEKIHDKWRKQELVRLKFHEVLAHDMKTAHEITERRTGGLVIWRAGSVMVVYRGSNYEGPSSKSRTVDREGDALFVPDVSSADNAAVTSDNVALAASTPEKREPTMGMINRAENMTEEEAEFNHLLDGLGPRFEEWWGTGILPVDADLLPPKVPGYKTPFRLLPTGMRSRLTNAEMTNLRKLAKTLPCHFALGRNRNHQGLAAAILQLWEKSLVAKIAVKRGIQNTNNKLMAEEIKALTGGVLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKQIQDDEEKVRSKGVEAPLSGHNEGKALAGTLAEFYEAQARWGKDISSEEREKMIEDASRAKRARIVKRIEHKLAVAQAKKLRAERLLAKIEASMVPSGPDYDQETITDEERAMFRRVGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLAFVEDTARLLEYESGGILVAVERVPKGFALIYYRGKNYRRPINLRPRNLLTKAKALKRSVAMQRHEALSQHISELERTIEQMKKEIGLPLEEDDKNNWTSEEQGQLNHVSEFSQREDESAFFGSDEEGDHDDIDEDDDDDIDEDDDDDLDDDDEDDADWESSGDFEFLGLQDGDHPLDKNG >Manes.01G011000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3671357:3677250:-1 gene:Manes.01G011000.v8.1 transcript:Manes.01G011000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATAKLSELPLRNSLPFPLTCHSLFSSTLKPPFQSLRKSFRLSSSLQTNENPKPTNHIPSPKAPWLSKWGPDNPPPPPATASAPAPRKVTQSSFQDKTRYLNKDKGLNAIERIVLRLRNLGLTSDDEGDEEEEAAEEIEFRGGDLAPVTGEERLADLLQREWVRPDTIFSNQDDEEDDDMVLPWERKESERKGREEGEGGRERKRTVKAPTLAELTIEDEELRRLRRMGMFVRERVSIPKAGLTKDVLEKIHDKWRKQELVRLKFHEVLAHDMKTAHEITERRTGGLVIWRAGSVMVVYRGSNYEGPSSKSRTVDREGDALFVPDVSSADNAAVTSDNVALAASTPEKREPTMGMINRAENMTEEEAEFNHLLDGLGPRFEEWWGTGILPVDADLLPPKVPGYKTPFRLLPTGMRSRLTNAEMTNLRKLAKTLPCHFALGRNRNHQGLAAAILQLWEKSLVAKIAVKRGIQNTNNKLMAEEIKALTGGVLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKQIQDDEEKVRSKGVEAPLSGHNEGKALAGTLAEFYEAQARWGKDISSEEREKMIEDASRAKRARIVKRIEHKLAVAQAKKLRAERLLAKIEASMVPSGPDYDQETITDEERAMFRRVGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLAFVEDTARLLEYESGGILVAVERVPKGFALIYYRGKNYRRPINLRPRNLLTKAKALKRSVAMQRHEALSQHISELERTIEQMKKEIGLPLEEDDKNNWTSEEQGQLNHVSEFSQREDESAFFGSDEEGDHDDIDEDDDDDIDEDDDDDLDDDDEDDADWESSGDFEFLGLQDGDHPLDKNG >Manes.08G173200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40903022:40909306:-1 gene:Manes.08G173200.v8.1 transcript:Manes.08G173200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVGLLFLLVLGAGWASAARQMADTKLSYESVVNIDIAATQVKDHDQEREDQASNGTTGDNQVCTFCEEFASQALDYLTENKTRTEIIDVLHVVCSQVHSFKQQCITLVDYYVPLFFLEVSSVQPREFCQKVNLCQEMVFISSKLQQDKCRICHRAVSEVLLKLKNPDTQLEIIEILLKGCDSMEKYAAKCKKWVFEYGPIILTNAEQFLETRDICSILHACDSSIAGSEEAATVVKADS >Manes.05G184100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30258979:30264233:1 gene:Manes.05G184100.v8.1 transcript:Manes.05G184100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDISRDKNNPWQSSSRPHQEEADDIKLWGVFLFGLIGATATTFAVGQLRRTVEWFNAELKRSQSSWKGGTGSSFRSTFQEEAWKRYNRRMQEEYEEEMERIERIRRMQSVFNRERNKYKRSYESWRENDPGSYHQQFQRDDWYWKTDSSFRDQRTNFRTPRESASYALSHHYTVLGLDRSRKTPYTEAEIKKAFRAKAKEFHPDQNQDNKVIAEAKFKEVMISYEAIKQERKDTKL >Manes.05G184100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30258979:30264233:1 gene:Manes.05G184100.v8.1 transcript:Manes.05G184100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDISRDKNNPWQSSSRPHQEEADDIKLWGVFLFGLIGATATTFALKRSQSSWKGGTGSSFRSTFQEEAWKRYNRRMQEEYEEEMERIERIRRMQSVFNRERNKYKRSYESWRENDPGSYHQQFQRDDWYWKTDSSFRDQRTNFRTPRESASYALSHHYTVLGLDRSRKTPYTEAEIKKAFRAKAKEFHPDQNQDNKVIAEAKFKEVMISYEAIKQERKDTKL >Manes.13G075385.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11347673:11348854:-1 gene:Manes.13G075385.v8.1 transcript:Manes.13G075385.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMASSPLPESGFHFLPVRVRWRNFLALVFFLYAGEWGFNRSELLKVLFSIAMAFLFRRFPFSFGSRAGERTQSQKSLCSFSYIRRSSLILARVACVFPCLVSLLFLLLWLLEADKGPSLLFNLCFKAFKGYCSKKYLFLLIDDGNGVLDKGRRPCNRIEVLGQMAFWILEFLCTWAVPCLFWAL >Manes.04G036000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4737744:4739874:-1 gene:Manes.04G036000.v8.1 transcript:Manes.04G036000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDDDIMIETSYVVNNRPPIKEIALAVSLLVFGLVGIVLGLFMASNRIGGDRAHGLFFAILGVVLFIPGFYYTRIAYYAYKGYKGFSFANIPPV >Manes.04G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4739267:4739707:-1 gene:Manes.04G036000.v8.1 transcript:Manes.04G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDDDIMIETSYVVNNRPPIKEIALAVSLLVFGLVGIVLGLFMASNRIGGDRAHGLFFAILGVVLFIPGFYYTRIAYYAYKGYKGFSFANIPPV >Manes.07G000400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:257052:259196:1 gene:Manes.07G000400.v8.1 transcript:Manes.07G000400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLSFPPSSGSNVVSKKSKPLPIETSFKLPSPLPTWPQGEGFGYGTIDLGGLRVCQISSFNKVWATHEGGPDDLGASFFDPWQIPQGFFMLGSYCQPNNRSLYGWVLAGKDEVGGTLKTPLDYTLVWSSESLKIKQDGIGYIWLPTAPDGYTTVGVVVTNSPAKPSLEKIRCVRSDITDQCEVDTWVWGPGKQSDPNGFNVFNLRPSNRGMQTMGVCVGTFVAQNGSANSISVACLKNMHSNLSCMPNLNQIQAIFQAYSPRIYFHPDEEFFSSSISWYFNNGALLYKKGEESNPIPITATGSNLPQGGSNDDSYWLDFPLDENARERIKKGDLQETEVYLHIKPMLGATFTDIVLWMFCPFNGPAKAKVELINIPLGRIGEHVGDWEHLTLRVSNFNGELWSVYFSQHSGGSWLNASELQFESGNKTVGYASWHGHAMYSKPGLVLQGNSGIGIRNDTAKSKMVLDTGARFSVVAAEYLGTKVIEPPWLNYLRKWGPKTTYDIAEEIKQVEKILPGKLKSAFEKFINSLPNEVLGEEGPTGPKLKRNWTGDEV >Manes.10G120400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28521243:28527229:-1 gene:Manes.10G120400.v8.1 transcript:Manes.10G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTDTQSNKQNNTTQEPHQQHRHHHHHQVSFNGRSSSTGGGPSPPGPFMGSISIQAGLSHVHHHLHGLSSSVPINTGSAPSTSTSSSLSSSSSSSSSTSTSTSSAAPPRLVDASLAIATRSGPLLDSGKKNLQQQNLSIVTTTSTTTATTTTNTSVTATPAKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLRQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLLAPPSKSAPHSFHGALALAHHPHYEQGFAHSALLGFHHQQQQQQHLMTSDQIAVALPCGGGGGGGGGGGGESGRDARGDSGENYMRKRFREDLFKEDNQQQQEGESGSGGTSGGGVGGGGGGGGSPIKGFKSGLQLPKPQQQDTGSCGLLRPSNNISPATAMWAMAPAPSSGSTIWMLPVGAAGGGPGISIGTTGAGPSEAQPQMWPFPTATPAGGNSLQAPLNFMPRFNLSAGNLEFQGGRGTPLQLGSMLMQQQPSQHLGLGMAESNFGMLAALNAYSRGGLNMNSEQNNQLDQHHQHQQPQGTDSTGDEDPNSSQ >Manes.11G132601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29714213:29715152:-1 gene:Manes.11G132601.v8.1 transcript:Manes.11G132601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIDSSCIAVGDIESIVYLFFTCLRAAAIWITSPLRLRSALFTYSNAKSCWEDLASFLGKQPNSVALLQMATFILWHLWNNRNSFIFRKHYLDVNELLRVAMNHLDDFCTAMQMNSNTSFNYVQFLNVAVSWNPPSLDGESKL >Manes.13G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13603521:13614128:1 gene:Manes.13G078200.v8.1 transcript:Manes.13G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQMTLHSLFLLLLLLLLAPQTVLSWKKDEFRNCNQTPFCKRARSRKSGECSLIAHDVAISDGDLTARLLPKTLPEPGQGQEDQIKPLILTLSIYQDGVMRLKIDEDISLEPPKRRFQVPDVIIPEFEKKKLWLQRVSTKTIDGDSSPSSIVYLSHGYEAVLRHDPFEVYIRENESNDRRVVSLNSHQLFYFEQLGPKKEGDDWEEKFRVHTDSRPYGPQSISFDVSFYGADFVSGIPEHATSLALRPTRGPGVEFSEPYRLFNLDVYEYLHDSPFGLYGSIPFMFAHGKTGKSSGFFWLNAAEMQIDVLGDGWDAESGISLPSGQNRIDTLWMSEAGIVDTFFFVGPGPKDVVSQYTSVTGKPSMPQLFATAYHQCRWNYRDEEDVENVDLKFDEHDIPYDVLWLDIEHTDGKRYFTWDPMLFPHPEDMQRKLAVKGRHMVTIVDPHVKRDGSFQLHKQATEKGYYVKDASGNDYDGWCWPGSSSYLDMLNPEIRSWWGDKFSYKDYKGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHYGGIEHREMHNSYGYYFHMATSDGLLKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNMADWDHLRVSVPMILTLGLTGMSFSGADVGGFFGNPEPELLVRWYQLGAFYPFFRAHAHQDTKRREPWLFGERNTELIREAIHVRYMLLPYFYTLFREANLSGIPLMRPLWMEFPSDEATFSNDEAFMVGSSLLVQGIYAEQAKHASVYLPGNESWYDLRTGTAFKGGRTHKLEVSEESVPAFQRAGTIIPRKDRFRRSSTQMVNDPYTLVIALNSSQKAEGELYIDDGESFDFVQGAYIHRGFMFSDGKLTSVNLAPKNAKSQFSSKCVIERIMLLGLSPGPKTAVIEQANQKVEIELGPLRLQAGAHRALASIVTVRKPMVRIADDWTIKIL >Manes.11G129300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29404877:29407945:1 gene:Manes.11G129300.v8.1 transcript:Manes.11G129300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKRSPCSVDQASLTSLASKRHKADLSISSKERKEKLGERILALQQLVSPYGKTDTASVLLEAMEYIRFLHEQVKVLSAPYLQSIPAAELQEIEEYSLRSRGLCIVPISCTVGVAHSNGADLWAPIKTTSPKFEKAI >Manes.11G129300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29403110:29408113:1 gene:Manes.11G129300.v8.1 transcript:Manes.11G129300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKRSPCSVDQASLTSLASKRHKADLSISSKERKEKLGERILALQQLVSPYGKTDTASVLLEAMEYIRFLHEQVLSAPYLQSIPAAELQEIEEYSLRSRGLCIVPISCTVGVAHSNGADLWAPIKTTSPKFEKAI >Manes.11G129300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29403110:29408113:1 gene:Manes.11G129300.v8.1 transcript:Manes.11G129300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKRSPCSVDQASLTSLASKRHKADLSISSKERKEKLGERILALQQLVSPYGKTDTASVLLEAMEYIRFLHEQVKVLSAPYLQSIPAAELQEIEEYSLRSRGLCIVPISCTVGVAHSNGADLWAPIKTTSPKFEKAI >Manes.05G088051.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7959649:7960327:-1 gene:Manes.05G088051.v8.1 transcript:Manes.05G088051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTNSLLLQALKVAIILILTLTAANSLTAEARTLHHAKESIAVKKVEVAIPERNGDPKTSSPNPCTNLPVTDPGHCPKN >Manes.03G207400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32327881:32332841:1 gene:Manes.03G207400.v8.1 transcript:Manes.03G207400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPITPGQVSFFLGIVPVIAAWIYSEFLEYKKNAAALKARHSDIGLVEVGNDTVKEDDKAVLLEGGGLQSASPRAKSSPASSPIFRFLLMDEQFLIDNRLTLRAISEFCALLGYFYLCDRTGFFNSSKKSFNRDLFWFLYSLLIIVSAITSFKIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRLFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVIFCCIVLNNSYMLYYICPMHTLFTLMVYGALGIFNQYNEIGSVMALKIFSCFLVVVLIWEIPGVFELVWSPFTFFLGYTDPAKPDLPQLHEWHFRSGLDRYIWIIGMIHAYYHPTVERWMEKLEESEAKHRISIKTVVALICLSCVHMFEKSLSKLPQLHFDPFCMAWKDNAGNIHFTVPYLVEIKHSRWSTKIVAVYNPIF >Manes.03G207400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32327881:32332841:1 gene:Manes.03G207400.v8.1 transcript:Manes.03G207400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPITPGQVSFFLGIVPVIAAWIYSEFLEYKKNAAALKAHSDIGLVEVGNDTVKEDDKAVLLEGGGLQSASPRAKSSPASSPIFRFLLMDEQFLIDNRLTLRAISEFCALLGYFYLCDRTGFFNSSKKSFNRDLFWFLYSLLIIVSAITSFKIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRLFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVIFCCIVLNNSYMLYYICPMHTLFTLMVYGALGIFNQYNEIGSVMALKIFSCFLVVVLIWEIPGVFELVWSPFTFFLGYTDPAKPDLPQLHEWHFRSGLDRYIWIIGMIHAYYHPTVERWMEKLEESEAKHRISIKTVVALICLSCVHMFEKSLSKLPQLHFDPFCMAWKDNAGNIHFTVPYLVEIKHSRWSTKIVAVYNPIF >Manes.03G207400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32327881:32332841:1 gene:Manes.03G207400.v8.1 transcript:Manes.03G207400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPITPGQVSFFLGIVPVIAAWIYSEFLEYKKNAAALKARHSDIGLVEVGNDTVKEDDKAVLLEGGGLQSASPRAKSSPASSPIFRFLLMDEQFLIDNRLTLRAISEFCALLGYFYLCDRTGFFNSSKKSFNRDLFWFLYSLLIIVSAITSFKIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRLFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVIFCCIVLNNSYMLYYICPMHTLFTLMVYGALGIFNQYNEIGSVMALKIFSCFLVVVLIWEIPGVFELVWSPFTFFLGYTDPAKPDLPQLHEWHFRSGLDRYIWIIGMIHAYYHPTVERWMEKLEESEAKHRISIKTVVALICLSVGYLWYEHVYKLDKLAYNKYHPYTSWIPITVYICLRNLSQSFRNYTLTLFAWLGKITLETYISQFHIWLRSSIPDGQPKLLLSIIPSSEYPLLNFMLTSSIYVVVSYRLFDLTNTLKIAFVPSRDNKRLAHNIITGALLASVLYFMSFIFLKIPQILV >Manes.03G207400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32327881:32332841:1 gene:Manes.03G207400.v8.1 transcript:Manes.03G207400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSPITPGQVSFFLGIVPVIAAWIYSEFLEYKKNAAALKAHSDIGLVEVGNDTVKEDDKAVLLEGGGLQSASPRAKSSPASSPIFRFLLMDEQFLIDNRLTLRAISEFCALLGYFYLCDRTGFFNSSKKSFNRDLFWFLYSLLIIVSAITSFKIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRLFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVIFCCIVLNNSYMLYYICPMHTLFTLMVYGALGIFNQYNEIGSVMALKIFSCFLVVVLIWEIPGVFELVWSPFTFFLGYTDPAKPDLPQLHEWHFRSGLDRYIWIIGMIHAYYHPTVERWMEKLEESEAKHRISIKTVVALICLSVGYLWYEHVYKLDKLAYNKYHPYTSWIPITVYICLRNLSQSFRNYTLTLFAWLGKITLETYISQFHIWLRSSIPDGQPKLLLSIIPSSEYPLLNFMLTSSIYVVVSYRLFDLTNTLKIAFVPSRDNKRLAHNIITGALLASVLYFMSFIFLKIPQILV >Manes.12G018600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1664115:1668906:1 gene:Manes.12G018600.v8.1 transcript:Manes.12G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFISMVKPSSATNSDDTTYHTVFIDTDLDTHLAMIVSSTDTVSDLKQKIMLQHAQCFPQIGDIQILALKVKRRGCYYHLSDSMLVKSAFHGINKTWFISVAASSVNKHDNNQHFLIPDCNNMVVCYGISCNGSVHGVNLLHDGYPRKLSSVIDKQHLEIPVAARLILDVAGNGEDARKDLEIRVEQMGDNHGIAKSVDINNGSDSHTLEKVDQCDNENEIQKTPIGGSKTSSRTGIEDVPGSLLLEGALETGHHAKKKPKGKSKSKHPIYDLALKEDISLPESGKNESQPETVVPQSFLENTVGTRNKNSIEEPSMVSYSDANRGMDSIMQGTTVLSEETRMLGRHDGSIYDKSRRNCNDVQCFKLVEDASQSKPAAKKKRKNEEGKKGEDLLKDDQTLISDSNKKMLENPTVSQQFLFDKMKNINARLDGSSTDTPEVVHLQSVDPTNEKRKKKKKSSNTLNQKIAAPSGTNVGEENRGDLRDLCEEFKAEFFSGQHIQSPLISEHGGVSLTEKDGNPLQEANTTLSSQEFDGSNRAAGSVKDRNIETVGPSKSSKKRKKTKKAKDTLSGTPSTSVTEQVKGSDIGTSPTKPHKAADGDHSGDNINKQESDISPKDRKEVSIAPHKADNGDHFGANVNMQEININPEDRKEVSGMDTISTSFLATDKEIDNVIQNVVESVQQIRKGQVDSQLMDGTSRKTTRKKRSSYKKKSAESEKVNENVGDKPAPADNIGEVAEVMKQAKLANADSTIQLHGSNLEGEKEITFDNDPHSPQSSQVKPHRLERNREGSCVKAVVHVNPSDSGHTKGNTDAKEVSCESNGIDFNDYFLPSQNSHKNGDSAEVLVDKATETRRVDGKMKVKVDKSKHDAHYHGPSPDMHSSQRLNENHAVGVNTQGDNSSTGELLSSVSNTKSENVVLQPNEEPLNASRSVAKALPCSTSDKFDSVPEEARIPNAAKPSVTSAHAKSKRATPNSSLENSKSRVFLNTRVNGLQSRENHNHMDGKRTSRINTGEVVNNSQHKKSLIGASGSIFNDDSNESSMNESDNSDAGTRTPSDNSLSSDYSDGESNTDFNSSQNGFHSWKREGGGKAFTKQFSSGMTLDTILRSSSRYKKAKLTASQSQAEDTESQPVDFVPDSQPNP >Manes.04G156800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35189649:35194035:-1 gene:Manes.04G156800.v8.1 transcript:Manes.04G156800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRDPMEINQIYKTLIELESEEDGDDDEEEDNGDWEDWRDDDGEEEPESNFRCLFCDSMYGACIEVLDHCSSTHKFDFLRIRKQLSLDFYSSFKLINYVRSQVAENRCWSCGLTCKSNKDLQCHLHEAVNLEEIKLLWDDDKYLKPFIEDDSLLYSFAIDEEGEDDFATSVYKEEVMKDLANIEKICLEDDNAGQNSEASSNNFGRNETKEVAPLLKGPLNLVAKDIKKVNDNYFGAYSSFGIHREMISDKVRMDAYRQAILKNPSLLTGAVVMDVGCGTGILSLFAARGGASKVIAVEASEKMATVATQIAKDNGLWHDKDQCTGVIEVVQGMVEELDKYIQIKPHSVDVLLSEWMGYCLLYESMLSSVLLARDKWLKPGGAILPDTATIYAAGFGKGSTSLPFWEDVYGFDMSCIGKEIVQDAAQIPIVDVVDDCNLLTDTAVLQTFDLATMKPGEVDFTASIELKPKSGSLASNSTEMASKTIWCYGVVLWFDTGFTSRFCKETPAVLSTSPNTPKTHWSQTILTFRQPIAMASAELPADDRSAGVPAARIQLRISIVRASQHRSIDISMETSSVGPDGRKCSWPVQMFNLS >Manes.18G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3094685:3098488:1 gene:Manes.18G032400.v8.1 transcript:Manes.18G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLGGRYYWGRREKKREGIVVVFAWMSSQEKHVKSYVDLYSSLGWDSLVCHAQFLNMFFPEKAEALAFDIFNILVEELKMKACPLVLASFSGGPKACMYKVLQIIEGKCEFQLNLDDCRLIRDCISGYIYDSSPVDFTSDLGRRFLVHPSVLKISHTPSVLSWMANVISDGFDAVFLNRFESQRAEYWQTLYSSVSMGAPYLILCSESDELASYQVICNFTQRLQELGGDIKLVTMSGSPHVGHYRVYPIDYRVAVTELLGKATAVYSQRIQHLEGERMGVEGTHDEISEPIGDLRKAATSPNQSFWGVTIQPSDHFFTPSSVGYYEGRDVGSLQDEQKEGVIHLHSSPSTNAHGVLGQFLFDVCVPKNVEDWDIRSSTSSSNRPYTSSRRHASFNPIKCIRRSRL >Manes.12G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1508215:1512780:-1 gene:Manes.12G016400.v8.1 transcript:Manes.12G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSKDKGKPSQSAWQPPPAIEDLFTTLNKHIQRSDYEQAVKIADQVLGVAPADEDALRCKVVALIKADSIENALSTIQSAQKIPVDFSFFKAYCLYRQNKLDEALVSLKGQERISETMLLESQIFFRMGKMDTCVDVYQKLQKSKIDSLEINLAAALVLGGRASEVQGMMEANRIKASSSFELAYNTACSLIERNKYNEAEQLLLTARRIGQETLMDENLAEDDIEIELAPISVQLAYVNQLLGRPQEAMEAYTDIINRNLADESSFVVAVNNFVSLKGTRDVSDNLRKLDRLKEKDAQGFQLAHGLEKLSPKQRETIYANRVLLLLHANKMDQARDIVATLADTFADSVVPVLLQAAVLVRENKAGRAEEILGQFAEKFPAKSKILLLARAQIAAAAGHPQVAADSLVKIPDIQHMPATVATIVALKERAGDIDGASSILDSAIKWWSNAMTEHSKLDVIMQEAASFKIRHGREEDAAHLYEQLVKSHGSIEALAGLVSTVARVNVDKAEGYEKQLKPLPGLKGIDVDSLEKTSGAKHGEGSHLSVAEVQEEAKKEKPKKKRKRKPRFPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVREKHEAGASATNSSSSNSKSNQAANSNAAAEQSKPSFKSSRKKSRK >Manes.12G016400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1508215:1512780:-1 gene:Manes.12G016400.v8.1 transcript:Manes.12G016400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESQIFFRMGKMDTCVDVYQKLQKSKIDSLEINLAAALVLGGRASEVQGMMEANRIKASSSFELAYNTACSLIERNKYNEAEQLLLTARRIGQETLMDENLAEDDIEIELAPISVQLAYVNQLLGRPQEAMEAYTDIINRNLADESSFVVAVNNFVSLKGTRDVSDNLRKLDRLKEKDAQGFQLAHGLEKLSPKQRETIYANRVLLLLHANKMDQARDIVATLADTFADSVVPVLLQAAVLVRENKAGRAEEILGQFAEKFPAKSKILLLARAQIAAAAGHPQVAADSLVKIPDIQHMPATVATIVALKERAGDIDGASSILDSAIKWWSNAMTEHSKLDVIMQEAASFKIRHGREEDAAHLYEQLVKSHGSIEALAGLVSTVARVNVDKAEGYEKQLKPLPGLKGIDVDSLEKTSGAKHGEGSHLSVAEVQEEAKKEKPKKKRKRKPRFPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVREKHEAGASATNSSSSNSKSNQAANSNAAAEQSKPSFKSSRKKSRK >Manes.07G061000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10196739:10197935:-1 gene:Manes.07G061000.v8.1 transcript:Manes.07G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISTFTGFIKEPIAEFFAVPIKRHISYPFTYKSNVEKLHHEAEELKNRTVKLQQSVEESTRQGDEIHESVSKWLSDAGKAIEEAEECSKGEKQAKKRCFVGLCPDLKTRYQLSKKADKKALAIHELANEGDLDSISFHPPLQQIVAPSIYASEGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTHLAEQVHRKALVGKRFDVVAKVAVGQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKEKKVLIILDDIWKKLDLNAVGIPFGDGFKGCKILLTSRGQDVLSREMGTQKEFKLDVLQDEEARSLFEITVAGAKDSKLPPIAAEIAKKCAGLPLLLLTVATDLRNRELCAWNDKLKQLSEFDNEEIYSKVQAVRKSRYDNL >Manes.01G093550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29511550:29514207:-1 gene:Manes.01G093550.v8.1 transcript:Manes.01G093550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAITLLFLSLLFHHTLLISGNSLSSLIDSIALDCGSTIDKPAKDGRNWTADTSSKFFLLDPTNASKISSALKSPMSDPVPYITARLSRSEFTYAFPVTLGSKFIRLHFFPSSYPDFSISNDSFSVKANRYTLLSNFSSALNAQASGLDSFAREFCVNVEDGQMLNITFVPTPGVDAYAFVSGIEIVSMPTDLFYTEDIETAFKFVGSENISSLNRRTALELMHRINVGSRFIPPLEDTGMFRSWNNDDEYLTIAKPSAFLSNNTIQFEYRDNRTRYAAPDLLYRTARSMGMDMKTNENYNLTWEFRVDSNFTYLVRLHFCEIQPPITNKGDKVFMIYIATQIAEAEADVIEWSGGNGIPVFKDYVVTIEPKGNQKLQNISIALHPTDQTVYADAILNGLEIFKLSSSSDNLAAPNHEIDQISPPTAATPIPKTTKSNGNKTPIGAIIGGAVSGFLVLSFLFFFIFRRRLKVKKSDSSDAPSWWGPFSVSSTKSAKTQGSTLPSDICRRFSLVEVKEATHNFDSVFIIGVGGFGNVYKGLINDGATTVAIKRLNPESQQGANEFKTEIEMLSQLRYLHLVSLIGYCYEDREMILVYDYMAQGTLRDHLYKTDNPPLSWNQRLEICIGAARGLQYLHSGAKNTIIHRDVKTTNILLDEKWVAKVSDFGLSKIGPSSMSKPHISTVVKGSFGYLDPEYYRLQRLTEKSDVYSFGVVLCEVLSARPPVNRSAVKTPASLAEWARQCYRKGIFDEIIDPYLQGKIASDCLRKFAEVAVSCLRDNGVERPSMSDVVWGLEFALQLQETAIKHCRLPDEIDIDMKIPTKGTSVADSSDDVFSSGSELMIGSRLSGMTFTSSSGEQSFLNNNFEKVKSGAIFSEINNPTGR >Manes.03G047000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4226522:4230878:1 gene:Manes.03G047000.v8.1 transcript:Manes.03G047000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYPDLPSSFPVLNPRTIPHTAYLGFCISHFLLANLPQAHHQPKNLSILLTKSIHFPLILAINMNRTTPNPNPDSFHTMLKESIDRFFIEYRKGSTDFSNFTCIFSRLLQNLPDPPLEIVWFYAALTFRTTEFTAGNSSNQVLLAKDLFQLLVSCSSSCNAVKKIAVLAPVIYELHSAVSEGKNLTKEIESLVEVIVSYISICSGSNFCENEEFRALDSCFMDLIRVWVVEKLGESCKLGEELRVFFPLVCDGILEGITDCEGCEVGYLAGIVMSHTFLLRLCLKFRLGISRAELETELRGSAIQTVTAFRSYHFIDTILRMLLEPVLSVISLLNSEEEFILREILYDTAIMAEYSFLGPQKGNHLPDGRLKNLATTWLFVADSAIRFLWKNGKQTKVFSYVQAFTASCLPSQLIKWIMSLPGMQSKTSTPNVSNPIALIKSML >Manes.03G047000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4226522:4230869:1 gene:Manes.03G047000.v8.1 transcript:Manes.03G047000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYPDLPSSFPVLNPRTIPHTAYLGFCISHFLLANLPQAHHQPKNLSILLTKSIHFPLILAINMNRTTPNPNPDSFHTMLKESIDRFFIEYRKGSTDFSNFTCIFSRLLQNLPDPPLEIVWFYAALTFRTTEFTAGNSSNQVLLAKDLFQLLVSCSSSCNAVKKIAVLAPVIYELHSAVSEGKNLTKEIESLVEVIVSYISICSGSNFCENEEFRALDSCFMDLIRVWVVEKLGESCKLGEELRVFFPLVCDGILEGITDCEGCEVGYLAGIVMSHTFLLRLCLKFRLGISRAELETELRGSAIQTVTAFRSYHFIDTILRMLLEPVLSVISLLNSEEEFILREILYDTAIMAEYSFLGPQKGNHLPDGRLKNLATTWLFVADSAIRFLWKNGKQTKVFSYVQAFTASCLPSQLIKWIMSLPGMQSKTSTPNVSNPIALIKWLMILEDQGVRVFDTDISKICAKIKSDGKNLVENNFSYGGNVGKGAEKVDSDLEMVDFDDTKSLGGGGVVLKAIETNGTRKRKEGRKHEADKQVKFVKCHLNGNSMKEKILPLEDTDALTSGSEVDDPASDENTEYMEP >Manes.03G047000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4226522:4230869:1 gene:Manes.03G047000.v8.1 transcript:Manes.03G047000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYPDLPSSFPVLNPRTIPHTAYLGFCISHFLLANLPQAHHQPKNLSILLTKSIHFPLILAINMNRTTPNPNPDSFHTMLKESIDRFFIEYRKGSTDFSNFTCIFSRLLQNLPDPPLEIVWFYAALTFRTTEFTAGNSSNQVLLAKDLFQLLVSCSSSCNAVKKIAVLAPVIYELHSAVSEGKNLTKEIESLVEVIVSYISICSGSNFCENEEFRALDSCFMDLIRVWVVEKLGESCKLGEELRVFFPLVCDGILEGITDCEGCEVGYLAGIVMSHTFLLRLCLKFRLGISRAELETELRGSAIQTVTAFRSYHFIDTILRMLLEPVLSVISLLNSEEEFILREILYDTAIMAEYSFLGPQKGNHLPDGRLKNLATTWLFVADSAIRFLWKNGKQTKVFSYVQAFTASCLPSQLIKWIMSLPGMQSKTSTPNVSNPIALISEFLHYLCTYVFSPHLSEV >Manes.03G047000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4226522:4230869:1 gene:Manes.03G047000.v8.1 transcript:Manes.03G047000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYPDLPSSFPVLNPRTIPHTAYLGFCISHFLLANLPQAHHQPKNLSILLTKSIHFPLILAINMNRTTPNPNPDSFHTMLKESIDRFFIEYRKGSTDFSNFTCIFSRLLQNLPDPPLEIVWFYAALTFRTTEFTAGNSSNQVLLAKDLFQLLVSCSSSCNAVKKIAVLAPVIYELHSAVSEGKNLTKEIESLVEVIVSYISICSGSNFCENEEFRALDSCFMDLIRVWVVEKLGESCKLGEELRVFFPLVCDGILEGITDCEGCEVGYLAGIVMSHTFLLRLCLKFRLGISRAELETELRGSAIQTVTAFRSYHFIDTILRMLLEPVLSVISLLNSEEEFILREILYDTAIMAEYSFLGPQKGNHLPDGRLKNLATTWLFVADSAIRFLWKNGKQTKVFSYVQAFTASCLPSQLIKWIMSLPGMQSKTSTPNVSNPIALISEFLHYLCTYVFSPHLSEV >Manes.03G047000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4226522:4230878:1 gene:Manes.03G047000.v8.1 transcript:Manes.03G047000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKYPDLPSSFPVLNPRTIPHTAYLGFCISHFLLANLPQAHHQPKNLSILLTKSIHFPLILAINMNRTTPNPNPDSFHTMLKESIDRFFIEYRKGSTDFSNFTCIFSRLLQNLPDPPLEIVWFYAALTFRTTEFTAGNSSNQVLLAKDLFQLLVSCSSSCNAVKKIAVLAPVIYELHSAVSEGKNLTKEIESLVEVIVSYISICSGSNFCENEEFRALDSCFMDLIRVWVVEKLGESCKLGEELRVFFPLVCDGILEGITDCEGCEVGYLAGIVMSHTFLLRLCLKFRLGISRAELETELRGSAIQTVTAFRSYHFIDTILRMLLEPVLSVISLLNSEEEFILREILYDTAIMAEYSFLGPQKGNHLPDGRLKNLATTWLFVADSAIRFLWKNGKQTKVFSYVQAFTASCLPSQLIKWIMSLPGMQSKTSTPNVSNPIALIKSML >Manes.07G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34198812:34200006:-1 gene:Manes.07G140400.v8.1 transcript:Manes.07G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQDSCVYDGYVLPKLYVKMQYCVSCAIHSRVVRVRSRTERRNREPPQRFLRRRDDLPKPGQPGQPGQGIRPAGTGTAARA >Manes.11G055419.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7502729:7504191:1 gene:Manes.11G055419.v8.1 transcript:Manes.11G055419.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEHPASFDTLAMATKEKEEIMKDLVKFSKGKSYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMDNFLNYDVYDLELTTVKDNSELRKLLIETTSKSIIVIEDIDCSLDLTGQRKPKEKEEDKDGEGQDPISKKEKEEEAENKKSSKVTLSGLLNFIDGIWSACGGERIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCCFEAFKHLRF >Manes.17G006100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2070424:2074762:1 gene:Manes.17G006100.v8.1 transcript:Manes.17G006100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLCLLAGCSICWFNTVCFVLCIQNFPASRALALSLTVAFNGVSAALYTLAGNAIDPSSDDIYLLLNALVPLITSIVALLPILRQPSLDPLSPDGVRRDSIIFLILNFIAIFTGVYLLLFSSTSSNSTEASLLLGGALLLLMFPLCIPGVVYARDWFHHTIHSSFHLQGSGFILVDVDDLELHKELLTRELSNHENGDGGVAIQKSPREKEGCCETIVGKDRLIMLGEEHPASLLVRRLDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSKHTTTLLTMYSAFSFFGRLLSAAPDYLRVKMYFARTAWLTIALVPTPIAFFLLAISGSALALKIGTALVGLSSGFIFAAAVSITSELFGPNSIGVNHNILITNIPLGSLIYGLVAAIVYDSNASSVGLNIITDSVVCMGRKCYFLTFVWWGCLSVLGLGSSLLLFLRTRHAYDLFERNRISTQLY >Manes.17G006100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2070424:2074762:1 gene:Manes.17G006100.v8.1 transcript:Manes.17G006100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLVQHSLYTLAGNAIDPSSDDIYLLLNALVPLITSIVALLPILRQPSLDPLSPDGVRRDSIIFLILNFIAIFTGVYLLLFSSTSSNSTEASLLLGGALLLLMFPLCIPGVVYARDWFHHTIHSSFHLQGSGFILVDVDDLELHKELLTRELSNHENGDGGVAIQKSPREKEGCCETIVGKDRLIMLGEEHPASLLVRRLDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSKHTTTLLTMYSAFSFFGRLLSAAPDYLRVKMYFARTAWLTIALVPTPIAFFLLAISGSALALKIGTALVGLSSGFIFAAAVSITSELFGPNSIGVNHNILITNIPLGSLIYGLVAAIVYDSNASSVGLNIITDSVVCMGRKCYFLTFVWWGCLSVLGLGSSLLLFLRTRHAYDLFERNRISTQLY >Manes.17G006100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2070424:2074762:1 gene:Manes.17G006100.v8.1 transcript:Manes.17G006100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLCLLAGCSICWFNTVCFVLCIQNFPASRALALSLTVAFNGVSAALYTLAGNAIDPSSDDIYLLLNALVPLITSIVALLPILRQPSLDPLSPDGVRRDSIIFLILNFIAIFTGVYLLLFSSTSSNSTEASLLLGGALLLLMFPLCIPGVVYARDWFHHTIHSSFHLQGSGFILVDVDDLELHKELLTRELSNHENGDGGVAIQKSPREKEGCCETIVGKDRLIMLGEEHPASLLVRRLDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSKHTTTLLTMYSAFSFFGRLLSAAPDYLRVKMYFARTAWLTIALVPTPIAFFLLAISGSALALKIGTALVGLSSGFIFAAAVSITSELFGPNSIGVNHNILITNIPLGSLIYGLVAAIVYDSNASSVGLNIITDSVVCMGRKCYFLTFVWWGCLSVLGLGSSLLLFLRTRHAYDLFERNRISTQLY >Manes.17G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2070424:2074762:1 gene:Manes.17G006100.v8.1 transcript:Manes.17G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSQLKSVLGISQVQLNYLAVASDLGKVFGWSSGLALNHFPLWVVLFMSAFIGFFGYGFQWLVIRNVIVLPYILVFLLCLLAGCSICWFNTVCFVLCIQNFPASRALALSLTVAFNGVSAALYTLAGNAIDPSSDDIYLLLNALVPLITSIVALLPILRQPSLDPLSPDGVRRDSIIFLILNFIAIFTGVYLLLFSSTSSNSTEASLLLGGALLLLMFPLCIPGVVYARDWFHHTIHSSFHLQGSGFILVDVDDLELHKELLTRELSNHENGDGGVAIQKSPREKEGCCETIVGKDRLIMLGEEHPASLLVRRLDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSKHTTTLLTMYSAFSFFGRLLSAAPDYLRVKMYFARTAWLTIALVPTPIAFFLLAISGSALALKIGTALVGLSSGFIFAAAVSITSELFGPNSIGVNHNILITNIPLGSLIYGLVAAIVYDSNASSVGLNIITDSVVCMGRKCYFLTFVWWGCLSVLGLGSSLLLFLRTRHAYDLFERNRISTQLY >Manes.17G006100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2070424:2074762:1 gene:Manes.17G006100.v8.1 transcript:Manes.17G006100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSQLKSVLGISQVFLLCLLAGCSICWFNTVCFVLCIQNFPASRALALSLTVAFNGVSAALYTLAGNAIDPSSDDIYLLLNALVPLITSIVALLPILRQPSLDPLSPDGVRRDSIIFLILNFIAIFTGVYLLLFSSTSSNSTEASLLLGGALLLLMFPLCIPGVVYARDWFHHTIHSSFHLQGSGFILVDVDDLELHKELLTRELSNHENGDGGVAIQKSPREKEGCCETIVGKDRLIMLGEEHPASLLVRRLDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSKHTTTLLTMYSAFSFFGRLLSAAPDYLRVKMYFARTAWLTIALVPTPIAFFLLAISGSALALKIGTALVGLSSGFIFAAAVSITSELFGPNSIGVNHNILITNIPLGSLIYGLVAAIVYDSNASSVGLNIITDSVVCMGRKCYFLTFVWWGCLSVLGLGSSLLLFLRTRHAYDLFERNRISTQLY >Manes.13G039350.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4626488:4633537:-1 gene:Manes.13G039350.v8.1 transcript:Manes.13G039350.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLIKKPVVHASQSPSNSGVVGHLFSSSAGFSSDLQYSSVSPQEKHSRSIPFISQSSRNVTDLTLPQSSCPGLLQFTTSSQYAKETNASWCPESLPDFLDYPVNNPVQNNQIEGNSCGAIVSEEFSKRSDWQEWADQLITDDNALTSNWSELLIDTSVAEMKPKMAYQVSKSSSVPSHLPQVHQQLLAPSGEIQPVLTPTSSANSAPSKPRMRWTPELHEAFVEAINQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKLTPMDEISPLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGADKLKVSLSTMENPTALSTDAICESPAENETEGSQSDHGKTNIDAVDAKPLLEEGSHDTSEKRKTPQVEDSENPEPDSSKRLRADA >Manes.13G039350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4626488:4633537:-1 gene:Manes.13G039350.v8.1 transcript:Manes.13G039350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLIIFLEASYCKPLRVISEGLLQFTTSSQYAKETNASWCPESLPDFLDYPVNNPVQNNQIEGNSCGAIVSEEFSKRSDWQEWADQLITDDNALTSNWSELLIDTSVAEMKPKMAYQVSKSSSVPSHLPQVHQQLLAPSGEIQPVLTPTSSANSAPSKPRMRWTPELHEAFVEAINQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKLTPMDEISPLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGADKLKVSLSTMENPTALSTDAICESPAENETEGSQSDHGKTNIDAVDAKPLLEEGSHDTSEKRKTPQVEDSENPEPDSSKRLRADA >Manes.13G039350.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4626488:4633555:-1 gene:Manes.13G039350.v8.1 transcript:Manes.13G039350.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLIKKPVVHASQSPSNSGVVGHLFSSSAGFSSDLQYSSVSPQEKHSRSIPFISQSSRNVTDLTLPQSSCPGLLQFTTSSQYAKETNASWCPESLPDFLDYPVNNPVQNNQIEGNSCGAIVSEEFSKRSDWQEWADQLITDDNALTSNWSELLIDTSVAEMKPKMAYQVSKSSSVPSHLPQVHQQLLAPSGEIQPVLTPTSSANSAPSKPRMRWTPELHEAFVEAINQLGGSERATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYRPDSSEGSSEKKLTPMDEISPLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSGADKLKVSLSTMENPTALSTDAICESPAENETEGSQSDHGKTNIDAVDAKPLLEEGSHDTSEKRKTPQVEDSENPEPDSSKRLRADA >Manes.02G215600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35911384:35917679:-1 gene:Manes.02G215600.v8.1 transcript:Manes.02G215600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNQSVLSEMGVQRDFRLEVLEHKEAWNLFEKKVGDLKDSNLQSIAMEIAEKCAGLPILIVTAATALKNKQLFEWKDTLESLKKFDGKGYEEIIYSALELSYNYLRNDEKSLFLLLGQLRPIVLIQDLLKYVVGLGLYNELVTVEATRNRLLKVISDLKLSCLLLEDGDHKRVKLHDVVHNFAASFASKHHQVLTAANKIELKKWPNEDFFEQCTSISLPYCKIPKLPEVFECPKPKSFLLFNQDPSLKISGNLFSKMKELKVLDLTKINLSPLPSSLQSLENLQTLCLDFCDLEDIAAIGELKQLQVLSLRESTIIRLPNEVRKLTCLRLLDLSGCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMNTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIDWMKMNHFSAFPKLESLFLHNLNNLEKIYRGRHTVGPFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFTSFCSQVKVHSTSQRARNQEIATTASNEIVCEADVEVLVELFNDKIRFPNLADMKLVGINVEMIWRPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAHLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGKQLLEIFPSNLLEKFLVNLESLTVRHCDSVKEVFDLQAIVKEREAHVVTHSQLRTLEIKNLPNLIQIWNRDPHGILSFYNLREVEACDCPNLKKLFPFSVAQDLPHLELLSIIRCGMEEIVTKEERVEALAIIPKFAFRGLKYMTLWRLHELKYFYSGKHTLECPQLKMLKVHLCAKLQTFNFESQEIHMDKQEDELKLQIPQPLFSFREIIGNLERLAINDQDAAMIQQSQFPMDLFVKLKSLHLQCFGYSFLNLPLNLLQKFPNLENLF >Manes.09G168450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36090547:36092716:-1 gene:Manes.09G168450.v8.1 transcript:Manes.09G168450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCFRCNEDEIEREKAVMRNGRLLLEKKIAINDGRGNPIRSFSIQDLNNATNNYNRNQLVFHGALYKLYRGFLHRPVIVKRYNKGENFLEESINDIVFSSQLSVHKNVLKLLGCCLESPIPILVYEVAEKGTLHDYIIRDRSRKKFQPLSWRNRLKIAIDLANVIAYLHTAFPRPILHRNITSQHILLDEDYRAKLSEFSFSMLIPEGETHIRDAICCGTTGYIAPENFAEGLYFFEVLEKCVKENERIDNLMDPIIVEEGTWSGKEQQLKAYANLTLQCLQYRGEDRPEITDVGKQLSQIYQFLLISTCVRQA >Manes.13G108200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31112062:31116098:-1 gene:Manes.13G108200.v8.1 transcript:Manes.13G108200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIDKFIFGTFFASLFGFFVLCFLRRKHQNLENKQKFKEKAAFKTQNYNVQRPENSSGPDVIIVGAGVAGAALACTLGKDGRQVHVIERDLTEPDRIVGELLQPGGYLKLMELGLEDCVEEIDAQRVLGYALFKDGKVTRLSYPLENFHSDVAGRSFHNGRFIQRMREKAAKIPNVKLQQGTVTSLLEENGTINGVQYKTKDGQELRVHAPLTVVCDGCFSNLRRSLCNPKVDVPSYFVGLVLENCELPFANHGHVILADPSPILCYPISSTEVRCLVDVPGQKVPSIANGEMSKYLKDVVAPQIPPVLHDAFISAIDKGSIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLNPLRDLNDAASLTKYLESFYTLRKPVASTINTLAGALYKVFCASPDEARKEMREACFDYLSLGGVFSSGPVALLSGLNPSPLSLFLHFFAVAIYGVGRLLLPFPSPKAMFIGVRLISSASGIIFPIIKAEGVRQMFFPATVPAIYRHPPVNDKADDLLKSS >Manes.10G076975.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:17802003:17804055:-1 gene:Manes.10G076975.v8.1 transcript:Manes.10G076975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISCYPFFLLFIFIVHSCLIYEVAGDHDPMDGLQREALEIIIGGGGGYTPAPSPEEYCPPPPPPEPVCPPPEPPPPPPTLPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPNKLPPNIIRDYRTIQEFSQKIVMDPKGIRKTWNRADKNVCKFDGFACDYRPDTGVQSVAAADFNGYNFDGPFLQIKGFLNKLVDLAIFHANSNKFKGFVPSDIGVEKIKFFYELDLSNNAFNGSFPMTVLSATNLTFLDIRFNQFSGPVPSEVFKLDLDVLFLNNNKFTQQLPDNVGSTSAIYLTFANNKFTGQIPSSIGRAKNLREVLFLNNTFSGCLPYEIGFLKNATLFDASCNKLTGPIPHSFACLTKMEILNLANNEFYGPVPETVCKLPNLQNLTLSSNYFTQVGPECRKLIWKKRLDVRKNCILDLPNQRTPEQCREFFSKPRQCPNEKSLTIVPCSKKYRDAAAMFDQKSMAAAPSPRSYDALSPENNLRF >Manes.15G078700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6038387:6042332:1 gene:Manes.15G078700.v8.1 transcript:Manes.15G078700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDEDITMSDTSLGESSRPQTSGQTTRAWSQDHHGSCVIQPRQRPSVVSGQRQSDEGALIEEHQKQMEEAKFQSIPPGNLKQLLIACPKALAKNNINDFDKLIGKARIAVSIGGEPIQRLGAYLVEGLVARKELSGNNIYRALRCREPESNDLLSYMRILYEICPYLKFGYMTANGAIAEACKNDERIHIIDFQIAQGTQWITLLQALAAKPDGAPHVRITVFAPDVTPDMLDIRPGEALAVNFPLQLHHTPDESVDVSNPRDGLLRMVKSLNPKAVTLVEQESNTNTTPFLTRFIETLDYYLAMFESIVVTLPRDQKDRIGVEQHCLAKDIVNVIACEGKERVERHELFGKWKSRLIMAGFRQYPLSSYVNSVIRSLLRCYSEHYTLVEKDGAMLLGWKNRNLISASAWN >Manes.09G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6813131:6819486:-1 gene:Manes.09G035300.v8.1 transcript:Manes.09G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVHISFPAISAIGSRYSSSFNSHHATTSLVSFPRPLETHRSSRKMAFTAMVQQAVQGAPATYAKEMERLSAKESLLLAFKDSGGFEALVTGKTTDVQRIDVNERITGLERLNPTPRPTTSPFLEGRWNFEWFGSGSPGFFAARFLLERFPSNLANLSKMDVLIKDAYAKITASMKLLSSIESKFILSTKLSVEGPLRMREEYVEGLLETPKIIEESVPEQLKGALGQAVSVVQQLPVPIRDAVSSGLTIPLSSSLQRLFMISYLDEEILIIRDTAGVPEVLTRLDAPASPIAEPNIEYES >Manes.12G153500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36108681:36117159:1 gene:Manes.12G153500.v8.1 transcript:Manes.12G153500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGRRRSSKWDLKEESRIPFDSVHDNAWPGKAGLSFHDKESQRGWLSPEGASGTRAKWSALEPLSGRRGSRRDDSIDEERNRDLKAMPTWDGDENYGTRMSPGLDEWRQQNRHNSPKSERKRSRRSRSRSQSRSRSRSPARGFGRESVMHDRSRSRSGVSSQLCKDFAVGRCRRGNHCQFLHQDTQGYADGWERQRKTVTSKYPTHESREYPSGSGRSIDCCNDFLKGNCRRGASCRFSHHVGSVTVKGSSSEVTRERNNDRRHKDASPERRGEREIHRASDVPCKFFAAGNCRNGKYCRFSHQDLAHVSPDGSRDGRRSLDQNSDDLEKLWNGPKWGPASALDAGKLSGGKSETVGAPDQRRTARSVYDEWGHCLEDKTLDDAPIDPKMVQSEATLTWKTENASDNMLASEQRAGGEKWLGDMDMSPEWSYKVRHSNHIDKQDSASLISCNPSITREVSGHAGDATAVMPSLINEPSAKPQVYNLREVGANTLLRDDSVTGKMASSHSGVPANIISAQSFDHNGMNLNALPLPGLNGIGQGQVTISTSGGGDVNPQNQMLLQEGKTINKPDNREENTSKINSGVSMTQNMVSSEQLTQLTNISASIAQLLANGQQLPHLFVVHNAHNNTEISSSANSEELVKPDSAATTDSNQNVGLRKQYDPICDSLDTEKNDVNNNPTGFSQNLIMQKNVVDRKLEMSSKSLSPPVAGAPSAGDYKKFHTLQEPNDKSYQVNDMKPGANSKVTKENNGMVTEESRKVEEDKTAQENDPAENIDGDGKTDDGKQSKDVKGIRAFKFALVEFIKELLKPTWKEGQMSKDAYKNIVKKVVDKVTGTMQGATIPQTQEKIQQYLSFSKPKLTKLVQAYVEKFQKDK >Manes.12G153500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36108681:36117159:1 gene:Manes.12G153500.v8.1 transcript:Manes.12G153500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGRRRSSKWDLKEESRIPFDSVHDNAWPGKAGLSFHDKESQRGWLSPEGASGTRAKWSALEPLSGRRGSRRDDSIDEERNRDLKAMPTWDGDENYGTRMSPGLDEWRQQNRHNSPKSERKRSRRSRSRSQSRSRSRSPARGFGRESVMHDRSRSRSGVSSQLCKDFAVGRCRRGNHCQFLHQDTQGYADGWERQRKTVTSKYPTHESREYPSGSGRSIDCCNDFLKGNCRRGASCRFSHHVGSVTVKGSSSEVTRERNNDRRHKDASPERRGEREIHRASDVPCKFFAAGNCRNGKYCRFSHQDLAHVSPDGSRDGRRSLDQNSDDLEKLWNGPKWGPASALDAGKLSGGKSETVGAPDQRRTARSVYDEWGHCLEDKTLDDAPIDPKMVQSEATLTWKTENASDNMLASEQRAGGEKWLGDMDMSPEWSYKVRHSNHIDKQDSASLISCNPSITREVSGHAGDATAVMPSLINEPSAKPQVYNLREVGANTLLRDDSVTGKMASSHSGVPANIISAQSFDHNGMNLNALPLPGLNGIGQGQVTISTSGGGDVNPQNQMLLQEGKTINKPDNREENTSKINSGVSMTQNMVSSEQLTQLTNISASIAQLLANGQQLPHLFVVHNAHNNTEISSSANSEELVKPDSAATTDSNQNVGLRKQYDPICDSLDTEKNDVNNNPTGFSQNLIMQKNVVDRKLEMSSKSLSPPVAGAPSAGDYKKFHTLQEPNDKSYQVNDMKPGANSKVTKENNGMVTEESRKVEEDKTAQENDPAENIDGDGKTDDGKQSKDVKGIRAFKFALVEFIKELLKPTWKEGQMSKDAYKNIVKKVVDKVTGTMQGATIPQTQEKIQQYLSFSKPKLTKLVQAYVEKFQKDK >Manes.13G078050.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13619701:13686048:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVFLPTTLALDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDTNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRDGNFMVGCLGFPQCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQARGHAPNPTPGNLQQNNIRRGTCIHCQQLGHASSNCPSQFSASRHAQFNGMRPQTGESSVSCGTCGAQCVLRTANTANNRGRKFYSCPSQGCNFFVWEDNSNNGTYGTNANVSNSASNPSWSRRGGRGRGTQGGGTFVSATGDPVSGRCYMCGDPSHFANTCPNRGSRW >Manes.13G078050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13619702:13686048:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVKNYLDVYRFESWGSSMIPTYVQGQRFLPTTLALDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDTNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRDGNFMVGCLGFPQCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQARGHAPNPTPGNLQQNNIRRGTCIHCQQLGHASSNCPSQFSASRHAQFNGMRPQTGESSVSCGTCGAQCVLRTANTANNRGRKFYSCPSQGCNFFVWEDNSNNGTYGTNANVSNSASNPSWSRRGGRGRGTQGGGTFVSATGDPVSGRCYMCGDPSHFANTCPNRGSRW >Manes.13G078050.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13619702:13686048:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVKNYLDVYRFESWGSSMIPTYVQGQRFLPTTLALDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDTNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRDGNFMVGCLGFPQCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQGESSVSCGTCGAQCVLRTANTANNRGRKFYSCPSQGCNFFVWEDNSNNGTYGTNANVSNSASNPSWSRRGGRGRGTQGGGTFVSATGDPVSGRCYMCGDPSHFANTCPNRGSRW >Manes.13G078050.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13628545:13686068:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVKNYLDVYRFESWGSSMIPTYVQGQRFLPTTLALDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDTNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQGNKVPSQNCAVARGGSLVIERSCSIYICRSFNSKVTMFGIKKLFPWHRL >Manes.13G078050.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13628161:13686048:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVFLPTTLALDSGVTRPPPLLSEADLLSCMDKGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRDGNFMVGCLGFPQCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQGNKVPSQNCAVARGGSLVIERSCSIYICRSFNSKVTMFGIKKLFPWHRL >Manes.13G078050.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13628545:13686068:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVKNYLDVYRFESWGSSMIPTYVQGQRFLPTTLALDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDTNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRDGNFMVGCLGFPQCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQGNKVPSQNCAVARGGSLVIERSCSIYICRSFNSKVTMFGIKKLFPWHRL >Manes.13G078050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13619702:13686048:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVKNYLDVYRFESWGSSMIPTYVQGQRFLPTTLALDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDTNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRDGNFMVGCLGFPQCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQARGHAPNPTPGNLQQNNIRRGTCIHCQQLGHASSNCPSQFSASRHAQFNGMRPQTGESSVSCGTCGAQCVLRTANTANNRGRKFYSCPSQGCNFFVWEDNSNNGTYGTNANVSNSASNPSWSRRGGRGRGTQGGGTFVSATGDPVSGRCYMCGDPSHFANTCPNRGSRW >Manes.13G078050.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13619702:13686068:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVKNYLDVYRFESWGSSMIPTYVQGQRFLPTTLALDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDTNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQARGHAPNPTPGNLQQNNIRRGTCIHCQQLGHASSNCPSQFSASRHAQFNGMRPQTGESSVSCGTCGAQCVLRTANTANNRGRKFYSCPSQGCNFFVWEDNSNNGTYGTNANVSNSASNPSWSRRGGRGRGTQGGGTFVSATGDPVSGRCYMCGDPSHFANTCPNRGSRW >Manes.13G078050.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13628161:13686048:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVFLPTTLALDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDTNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRDGNFMVGCLGFPQCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQGNKVPSQNCAVARGGSLVIERSCSIYICRSFNSKVTMFGIKKLFPWHRL >Manes.13G078050.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13619702:13686048:-1 gene:Manes.13G078050.v8.1 transcript:Manes.13G078050.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLNVAEKPSVAKSVATILSRNQQHGMRVRDGRSRYNKIFEFNYVINGQPCHMLMTSVTGHLMELDFEDRFRKWHSCDPADLYHAPVRKYVPEDKIDIKRTLEEEARRCQWLILWLDCDREGENIAFEVMDVCGAANHNLTIWRARFSALIDREIHEAAQNLISPNQCFSDAVDARQEIDLRIGASFTRFQTMLLRDKFVIDSAQDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGIANFSWMRGHLFDYTSAVILYEMCVQEPTATVMKVHQQEKRKYPPHPLSTIELEKRASRYFRMSSELTMKVAEDLYQAGFISYPRTETDGFSSRTDLHTIVREQQEHPVWGSYAQRLLDPGTELWRNPGSGGHDDKAHPPIHPTKFSAGESAWSLDHHRVYELVVRHFLACVSQPAIGAETIVEIDIAGEQFSASGRVILVFLPTTLALDSGVTRPPPLLSEADLLSCMDKGEALVMGYDDMGYELWKPNLRSMMEFDMKQVSMGCKRKAEVLDTCLQQMKACFLDARVNKVKLLEAMAIFFGRSDRYGGNDHHVAAEIVRPCGLCQESNMVLRRSRDGNFMVGCLGFPQCRNAIWLPGPVLEATVSTNICNCCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQARGHAPNPTPGNLQQNNIRRGTCIHCQQLGHASSNCPSQFSASRHAQFNGMRPQTGESSVSCGTCGAQCVLRTANTANNRGRKFYSCPSQGCNFFVWEDNSNNGTYGTNANVSNSASNPSWSRRGGRGRGTQGGGTFVSATGDPVSGRCYMCGDPSHFANTCPNRGSRW >Manes.06G117400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24807765:24813135:-1 gene:Manes.06G117400.v8.1 transcript:Manes.06G117400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPEPPTGDANGTHSIDPNSGFCKETKIFHSLRPSAPLPPPNQPLSIAQLVFSLLHSSATDLTTDIFLVNSATGYSLTYAQFISQVRSLSLALSAHYSLSTNDVAFILCPTSVHLPILYFSLISLGVIISPANPLSSKSEVTHQLQLCKPKIAFATSQTAHKLPSLPLGTILIDSPAFLALLTRSKVTTHDRLNPVVVKQSDTAAILYSSGTTGRVKGVALTHRNLIALISGFYQNNKVDPNEPGPHPVSLFTLPMFHVYGFIMLISAVAVGFTVVLMERFDFEGMLRSVEKYKVNYMPVSPPLIVAFVKSELTQKYDLSSLMILGCGGAPLGKDVAYRFKEKFPNVEIVQGYGLTETGGGATRMSDPEECKILGSVGLLTENMEAKIVDPVTGEALSPDQRGELWLRGPTVMKGYVGDDKATAETLDSEGWLRTGDLCYFDSKGFLYIVDRLKELIKYKAYQVPPAELEQLLQSHPEIADAAVVPYPDEEAGQIPMACVVRRPGSNITEAQIMDFIAEQVAPYKKIRRVAFINSIPKSPAGKILRRELANHALAGASSKL >Manes.01G275200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42972611:42993447:-1 gene:Manes.01G275200.v8.1 transcript:Manes.01G275200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNESAFESARSQFWVVDAKGLITEERQNIDPEALPFARKIKEANRQGLREGASLVEVVREVKPDVLLGLSAVGGLFSNEVLEAFKGSTSTRPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFNDVDLGNGHVGHCNQGNNMYLFPGIGLGTLLSGARIVSDGMLQAAAECLAAYMTEDEVLQGIIYPSTSRIRNITEQVAAAVVKEAIKEDLAEGYREMDARELQKLNEEEILEFVKNNMWSPEYPTLVYKEG >Manes.13G014200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1951915:1956618:1 gene:Manes.13G014200.v8.1 transcript:Manes.13G014200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPSKSSENREFGSPSAPSLNTSTSSGSSDLVSNRPATVNSSSPPGSNSASHLGYGHGSKPTQSVNQKINGNLVVAPYETLPGFKDVPNSEKQNLFIRKLNLCCVVFDFIDPTKGLKEKDIKRQTLVELVDYVTSANGKFTETVLQEVIKMVSVNLFRSLNPQPRDNKVLQAFDLEEEEPLMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKSINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALVPLHKPKCLPIYHQQLSYCITQFVEKDCKLVDTVIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCVVPLFHQIACCLSSSHFQVAERALYLWNNDHIENLIRQNRKIILPIIFPALEKNGRNHWNQVVQSLTLNVHKIFADVDPELFEECLKQFEEHEAREENMKVKHEATWKRLEEIAATKSAIPGSIPTLTSSG >Manes.13G014200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1951915:1956618:1 gene:Manes.13G014200.v8.1 transcript:Manes.13G014200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRLPRKPSKSSENREFGSPSAPSLNTSTSSGSSDLVSNRPATVNSSSPPGSNSASHLGYGHGSKPTQSVNQKINGNLVVAPYETLPGFKDVPNSEKQNLFIRKLNLCCVVFDFIDPTKGLKEKDIKRQTLVELVDYVTSANGKFTETVLQEVIKMVSVNLFRSLNPQPRDNKVLQAFDLEEEEPLMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKSINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALVPLHKPKCLPIYHQQLSYCITQFVEKDCKLVDTVIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCVVPLFHQIACCLSSSHFQVAERALYLWNNDHIENLIRQNRKIILPIIFPALEKNGRNHWNQVVQSLTLNVHKIFADVDPELFEECLKQFEEHEAREENMKVKHEATWKRLEEIAATKSAIPGSIPTLTSSG >Manes.09G145500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34314894:34341722:-1 gene:Manes.09G145500.v8.1 transcript:Manes.09G145500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIEEAIAALSTFSLEDEQPELQGPAVLVSSERGTTSSPVEYSDVSAYRLSLSEDTKALNQLNTLIQEGKGMASVLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQQWQASAASKLAADMQRFSRPERRINGPTITHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSVHWQDIDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHILLRVLPVLVVLATSSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPAAILKELAMYFQKFSSQTRLLTLPAPHEFPPREAQDYQRHYLIINHIGAIRAEHDDFAIRFASSLNQLLLLKSTDGADVDWCREVKGNMYDMVVEGFQLLSRWTGRIWEQCAWKFSRPCKDAIPAESNGASATVSDYEKVVRYNYSAEERKALVELISYIKNVGSLMHRCDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTSKAESELQSHGREDSKGNFFSPRPVAPTAAQGHCLQFLIYEVVSGGNLRKPGGLFGNSGSEIPVNDLKQLETFFYKLSFFLHILDYSATVATLTDLGFLWFREFYLESSRVIQFPIKCSLPWMLVDHVLESQNAGLVESILMPFDIYNDSAQQALVMLRQRFLYDEIEAEVDHCFDLVVTKLSETILTYYKSWAASELLDPSFLFALDNGEKYSVQPMRFTALFKMTRVKLLGRTIDLRSLIAERMNKVFRDNIEFLYDRFESQDLCAIVELDKLLDILKHTHELLSKDISIDSFGLMLNEMQENLSIVSFSSRLASQIWSEMQNDFLPNFVLCNTTQRFVRSSRVPLVPVQKPSVPHAKPNFYCGTQELNSAHQSFARLHSGFFGIPHMFSVVRLLGSRSLPWLIRALLDHISNKLSTLEPMITGLQEALPKSIGLLPFDGGVAGCMRLIKENLNWGTKTELKAEVRRGIKEIGSVLYWMGLLDNVLREVDTTNFMQIAPWLGLVPGVDGQILHSQDGRDSPLVNLFKSSIAAIVSNPGCPNPSSFFTMSKQAEAADLLYKANMNTGSVLEYALAFTSAALDKYCTKWSVAPKTGFIDITTSKDFYRIYSGLQIGYLEESDKQSFNNHEVLGDSVAWGGCTIIYLLGQQLHFELFDFSYQILNVAEVEAGSLSKQRNPHLTQGWEALLEAMKKARRLNNHVFSMLKARCPLEDKIACAIKQSGAPLHRIKFENTVSAFETLPQKGA >Manes.10G045700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4950575:4953773:-1 gene:Manes.10G045700.v8.1 transcript:Manes.10G045700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRLMILIFFSILHLFRIYWFSPLSYLTLAILANDLPFIYHNRDSAGWNQRYRQKWSWKLRPGNIWPAVDEKDVVVLTDNNFSDFVAENHYVMINFYLHECKWCRKLAPEYAAAATMLKGKAVLAKIDSEERELAVKFNVDGWPTLYLLIGGGSHKILYDSNRTRDAIVNWVNRITNISVQNVTTIEEAETILLQAKSNTVLGMLDSLEGEDSEELAAISKVHVDVKFYQTNVDVGNLFSHQIKRPSLVLLKREGLTPTYFAYEGQFTRLAMGEFVSKHKLPSVIPFTLDNAQRIYNNPIKQLWLFAPQQFQDVISIFEEVEKAFKGKLLFVHVETSKKESYIRNICNQFGITEVFPTVVACHKADFGTKKFKYDGEFSLSGIKSFAEDFLADKFLGKLAAAAT >Manes.17G119514.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34518793:34519692:-1 gene:Manes.17G119514.v8.1 transcript:Manes.17G119514.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKISYFMIVFLLFSIPSSISQSQTFKPQEQAFKFLQNLKEVHKGQTVVGLDEVKKFLIRFGYYPNSYDTRHLNNYFDDQLKFALKKYQKFFRLNITGSLGSETIQKMMIPRCGVPDITDFTSLNQSRDSIIGPLYAFPAGMPKWNKFNLTYVFLPNVPNSKDVRAAFAQAFVNWQIGSPFRFHEAAAGEIQDLHIGFYTGDHGDGSPFDGPGNVLAHSFYPSDGRTHYDATENWSTNPASNQVDVESVAVHEIGHLLGLAHSQDPKAVMYPTIQYGVIKRVLSPDDVQGIQTLYPKP >Manes.18G076000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6933368:6935921:1 gene:Manes.18G076000.v8.1 transcript:Manes.18G076000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTCRTLCQWLNQAKPFIAMVSLQFGYSGMYIISMVSLKHGMSHYILALYRHVVATLVIAPFALVLERKIRPKLTLPIFLRIMVLGFLEPVLDQNLYYVGMKYTSATFASASVNVLPAITFILAIIFRLEKINVKKLHHVAKIVGTGITVAGAMVMTLYKGPIIDFLTSHGGNHHGSSTSEPNNQHWVTGTLMLLASCCGWASFFILQSFTLKLYPAELSLTSLICFMGMVQGAVVSLVMERDMTAWKIGFDSRLLAAAYSGVVCSGIAYYVQGKIIKQRGPVFVTSFSPLCMIITAALGTIILAEQLRLGSVIGAVLIVAGLYTVVWGKSKDQTTSTTIPPPPLSNEKNDNLEFATNFDSSSQQSKTSKVSANSTLPPV >Manes.18G076000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6933389:6935921:1 gene:Manes.18G076000.v8.1 transcript:Manes.18G076000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTCRTLCQWLNQAKPFIAMVSLQFGYSGMYIISMVSLKHGMSHYILALYRHVVATLVIAPFALVLERKIRPKLTLPIFLRIMVLGFLEPVLDQNLYYVGMKYTSATFASASVNVLPAITFILAIIFRLEKINVKKLHHVAKIVGTGITVAGAMVMTLYKGPIIDFLTSHGGNHHGSSTSEPNNQHWVTGTLMLLASCCGWASFFILQSFTLKLYPAELSLTSLICFMGMVQGAVVSLVMERDMTAWKIGFDSRLLAAAYSGVVCSGIAYYVQGKIIKQRGPVFVTSFSPLCMIITAALGTIILAEQLRLGSVIGAVLIVAGLYTVVWGKSKDQTTSTTIPPPPLSNEKNDNLEFATNFDSSSQQSKTSKVSANSTLPPV >Manes.18G076000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6933367:6935921:1 gene:Manes.18G076000.v8.1 transcript:Manes.18G076000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTCRTLCQWLNQAKPFIAMVSLQFGYSGMYIISMVSLKHGMSHYILALYRHVVATLVIAPFALVLERKIRPKLTLPIFLRIMVLGFLEPVLDQNLYYVGMKYTSATFASASVNVLPAITFILAIIFRLEKINVKKLHHVAKIVGTGITVAGAMVMTLYKGPIIDFLTSHGGNHHGSSTSEPNNQHWVTGTLMLLASCCGWASFFILQSFTLKLYPAELSLTSLICFMGMVQGAVVSLVMERDMTAWKIGFDSRLLAAAYSGVVCSGIAYYVQGKIIKQRGPVFVTSFSPLCMIITAALGTIILAEQLRLGSVIGAVLIVAGLYTVVWGKSKDQTTSTTIPPPPLSNEKNDNLEFATNFDSSSQQSKTSKVSANSTLPPV >Manes.18G076000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6933389:6935921:1 gene:Manes.18G076000.v8.1 transcript:Manes.18G076000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNTCRTLCQWLNQAKPFIAMVSLQFGYSGMYIISMVSLKHGMSHYILALYRHVVATLVIAPFALVLERKIRPKLTLPIFLRIMVLGFLEPVLDQNLYYVGMKYTSATFASASVNVLPAITFILAIIFRLEKINVKKLHHVAKIVGTGITVAGAMVMTLYKGPIIDFLTSHGGNHHGSSTSEPNNQHWVTGTLMLLASCCGWASFFILQSFTLKLYPAELSLTSLICFMGMVQGAVVSLVMERDMTAWKIGFDSRLLAAAYSGVVCSGIAYYVQGKIIKQRGPVFVTSFSPLCMIITAALGTIILAEQLRLGSVIGAVLIVAGLYTVVWGKSKDQTTSTTIPPPPLSNEKNDNLEFATNFDSSSQQSKTSKVSANSTLPPV >Manes.12G138200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34457808:34459692:-1 gene:Manes.12G138200.v8.1 transcript:Manes.12G138200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFVQCQEGVSEIVFFDLETTVPNRAGQRFWVLEFGAIVVCPRKLVELESFSTLIRPKDLSAVALRSGRCDGITRDAVANAPAFEEVAEKIFSILNGRIWAGHNIQRFDCVRIKEAFAEIGKPAPVPVGMIDSLGVLTEKFGRRAGNLKMATLASYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLESTVPTLLNRKWNNSPITTRSRSNGKLLFREETSRKSPPTTAANYQRTVPYARGSLGKQVSEGVKNLLCKAQETRSINRLLKHSHALLR >Manes.12G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34457808:34459692:-1 gene:Manes.12G138200.v8.1 transcript:Manes.12G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFVQCQEGVSEIVFFDLETTVPNRAGQRFWVLEFGAIVVCPRKLVELESFSTLIRPKDLSAVALRSGRCDGITRDAVANAPAFEEVAEKIFSILNGRIWAGHNIQRFDCVRIKEAFAEIGKPAPVPVGMIDSLGVLTEKFGRRAGNLKMATLASYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLESTVPTLLNRKWNNSPITTRSRSNGKLLFREETSRKSPPTTAANYQRTVPYARGSLGKVSEGVKNLLCKAQETRSINRLLKHSHALLR >Manes.08G128711.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36961677:36962906:-1 gene:Manes.08G128711.v8.1 transcript:Manes.08G128711.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVTSAPGHESFEFDVRSTILSSPPISSLSSPPSNTLVLLDITEISLLLSSADKNSLPPLDPPSSPTARILKRDWLHKHHTGVTAVVASLFISDHVYKFASLRNQ >Manes.11G078500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11691916:11697903:1 gene:Manes.11G078500.v8.1 transcript:Manes.11G078500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIANKDEPLLPSKRKLDSLPISEGKEELQEDQPNKNQKLQSLTHNGNSPVIEETTTGSQPLLLSNINTGANSSISKEKSSSKSVAEDKDTGEEEQEDDDDDGDYEDEEEEEEENGEASVVDKKGKGIMIEEVKDDDSDDEDDEDNDSSDAGSELDGNGSELEDDPLAEVDLDNILPSRTRRRTAQPGVYITDDHGNFDDDSDDSDA >Manes.11G078500.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11691913:11697936:1 gene:Manes.11G078500.v8.1 transcript:Manes.11G078500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIANKDEPLLPSKRKLDSLPISEGKEELQEDQPNKNQKLQSLTHNGNSPVIEETTTGSQPLLLSNINTGANSSISKEKSSSKSVAEDKDTGEEEQEDDDDDGDYEDEEEEEEENGEASVVDKKGKGIMIEEVKDDDSDDEDDEDNDSSDAGSELDGNGSELEDDPLAEVDLDNILPSRTRRRTAQPGVYITDDHGNFDDDSDDSDA >Manes.11G078500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11691914:11698207:1 gene:Manes.11G078500.v8.1 transcript:Manes.11G078500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIANKDEPLLPSKRKLDSLPISEGKEELQEDQPNKNQKLQSLTHNGNSPVIEETTTGSQPLLLSNINTGANSSISKEKSSSKSVAEDKDTGEEEQEDDDDDGDYEDEEEEEEENGEASVVDKKGKGIMIEEVKDDDSDDEDDEDNDSSDAGSELDGNGSELEDDPLAEVDLDNILPSRTRRRTAQPGVYITDDHGNFDDDSDDSDA >Manes.11G078500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11691916:11697903:1 gene:Manes.11G078500.v8.1 transcript:Manes.11G078500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIANKDEPLLPSKRKLDSLPISEGKEELQEDQPNKNQKLQSLTHNGNSPVIEETTTGSQPLLLSNINTGANSSISKEKSSSKSVAEDKDTGEEEQEDDDDDGDYEDEEEEEEENGEASVVDKKGKGIMIEEVKDDDSDDEDDEDNDSSDAGSELDGNGSELEDDPLAEVDLDNILPSRTRRRTAQPGVYITDDHGNFDDDSDDSDA >Manes.11G078500.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11691913:11697923:1 gene:Manes.11G078500.v8.1 transcript:Manes.11G078500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIANKDEPLLPSKRKLDSLPISEGKEELQEDQPNKNQKLQSLTHNGNSPVIEETTTGSQPLLLSNINTGANSSISKEKSSSKSVAEDKDTGEEEQEDDDDDGDYEDEEEEEEENGEASVVDKKGKGIMIEEVKDDDSDDEDDEDNDSSDAGSELDGNGSELEDDPLAEVDLDNILPSRTRRRTAQPGVYITDDHGNFDDDSDDSDA >Manes.11G078500.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11691916:11697903:1 gene:Manes.11G078500.v8.1 transcript:Manes.11G078500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIANKDEPLLPSKRKLDSLPISEGKEELQEDQPNKNQKLQSLTHNGNSPVIEETTTGSQPLLLSNINTGANSSISKEKSSSKSVAEDKDTGEEEQEDDDDDGDYEDEEEEEEENGEASVVDKKGKGIMIEEVKDDDSDDEDDEDNDSSDAGSELDGNGSELEDDPLAEVDLDNILPSRTRRRTAQPGVYITDDHGNFDDDSDDSDA >Manes.11G078500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11691913:11697923:1 gene:Manes.11G078500.v8.1 transcript:Manes.11G078500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIANKDEPLLPSKRKLDSLPISEGKEELQEDQPNKNQKLQSLTHNGNSPVIEETTTGSQPLLLSNINTGANSSISKEKSSSKSVAEDKDTGEEEQEDDDDDGDYEDEEEEEEENGEASVVDKKGKGIMIEEVKDDDSDDEDDEDNDSSDAGSELDGNGSELEDDPLAEVDLDNILPSRTRRRTAQPGVYITDDHGNFDDDSDDSDA >Manes.09G148000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34561395:34565625:-1 gene:Manes.09G148000.v8.1 transcript:Manes.09G148000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPSSTTTPSASSGSQFTYTAATATPNTSYFPMPFHLQQSVQPYVAPPAVQPVGASVYTAPVGPVYSLPQFQQAQQLFQRDAQTITPEALEGVKAALASSEIEHKQETKKKAIPRKAAGQAWEDPTLSDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPSDLAAALKEMNGKYVGNRPIKLRKSNWKERTDHDALERQKAVFVAYKCEIRRLGSFVCLSDTPFFLDVNRTIIRRNQNLPERVCCISKTIHPRNAGIWHLRDVQKWHLHMVVILVFILEPFRGH >Manes.09G148000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34560813:34565766:-1 gene:Manes.09G148000.v8.1 transcript:Manes.09G148000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPSSTTTPSASSGSQFTYTAATATPNTSYFPMPFHLQQSVQPYVAPPAVQPVGASVYTAPVGPVYSLPQFQQAQQLFQRDAQTITPEALEGVKAALASSEIEHKQETKKKAIPRKAAGQAWEDPTLSDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVSLCFNFLEFFFNIFFGCMFQHLHSLFRLYFEAPREHI >Manes.09G148000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34560813:34565766:-1 gene:Manes.09G148000.v8.1 transcript:Manes.09G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPSSTTTPSASSGSQFTYTAATATPNTSYFPMPFHLQQSVQPYVAPPAVQPVGASVYTAPVGPVYSLPQFQQAQQLFQRDAQTITPEALEGVKAALASSEIEHKQETKKKAIPRKAAGQAWEDPTLSDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPSDLAAALKEMNGKYVGNRPIKLRKSNWKERTDHDALERQKNHHQKKPKPPRKSVLHK >Manes.05G203450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32867155:32906731:1 gene:Manes.05G203450.v8.1 transcript:Manes.05G203450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVAGGAACAVPGSSSSNPLGALANTLLGSSSKTQERLKEIPTATATSSENRFFQDVEDPLRALPGAEFEQQQFSHPGAQGSEFLAGFRSADQNGLVDAWDEIQRSHVPPLSVANIPLLDHGYDRGPQLQPTLDGPPQRVLSSFLRSFVESSHGGVPFRPAPLPLLGLSEGEKQCIRDRSSIMARHFFADKSEDFINAQVNALLSSLDIDGDAHAKGPSPGRFQELEDYWNESQGSLRPGPHAADGWIAEFNQQRLKHSDPDGWAHAFERQHGANGWVSEFEQEQQLASMDQMRGANTPNLAAMEQTRMLAHTLARNNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPAVGPSDWATEYQQQHIGGTSWADEYVRDEVSHGPDRWVNEFWTEREQNGSIDDQWVNEFSKLHVNDWVEEFGQQVDAGALGASSTDDWANGYDEYLNEQVAVKQHSEASRGVYVFSDMNPYVGHLNPLKEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQAVALKYLYGWLSNHPKYGILASPELADSLYHANVARLFNEASQMSPEDADVHIVLGVLYNLSREYDKAIASFQMALKLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCASRKDMLEACASRNIDLLQKEFPL >Manes.01G048300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:11514528:11514935:1 gene:Manes.01G048300.v8.1 transcript:Manes.01G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPGRIGYTPVFVLVFCLCNIVLSDKVHTSIMNRLGNGRNLTIHCQSKDDDLGQQNISDGSEFGWDFSVNVGGTTLFYCDMEWEKVQQYHFDAYSFARDFTRCESQCLWLISTEGMYGLNGQTGYWEFMYYWPN >Manes.04G032900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4161498:4168875:-1 gene:Manes.04G032900.v8.1 transcript:Manes.04G032900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSSNGEHQTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPAVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVAKKK >Manes.04G032900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4161498:4168875:-1 gene:Manes.04G032900.v8.1 transcript:Manes.04G032900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSSNGEHQTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPAVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVAKKK >Manes.04G032900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4161498:4168875:-1 gene:Manes.04G032900.v8.1 transcript:Manes.04G032900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSSNGEHQTTTKPPPMPSPLRNSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPAVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVAKKK >Manes.17G021451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11436180:11444109:1 gene:Manes.17G021451.v8.1 transcript:Manes.17G021451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYTEIGKKARDLLYKDYQTDYKFTISTYSPTGVAITSTGFKKGELFLGDVNTQLKKKNITTDVKVDTDSNLFTTITFDEPTPGMKAILSFKVPDQRSGKVEIQYLHDYAAVSSSIGLTPNPIVNFSGVIGTNVASLGTELSFDTKTGNFTKCNAGVSYSNAELIASLNLNNKGDSLTASYYHIVNPVHAVGAEVSHSFSTNENTITVGSQHVLDPLTTVKARANNFGRVSALVQHEWRPKSFFTLSGEVDSKAIEKSAKIGLALALKP >Manes.04G046472.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7514460:7516945:1 gene:Manes.04G046472.v8.1 transcript:Manes.04G046472.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSLTSFLWLIVFLADHGLCFEDCNTTTCGSYGPAVRFPFRIRGRQPRHCGYPQPEFHLSCSAKNDTVLELTTSWKLFIQKIDYKSQVIYANDTEGCLPKGLLNFNISLSPFHFMGYSYERTLFNCSSSRSYGQIPCLSTPQYQVCAVYSDSSVGDLDLLSCTKIREVSPIPNRYLYSQESIIGLRWDNPKCKHCEVKGKYCRLNTNSTLSETQCYGRLTPRKGQSTKFIETGGILGSLLLVVASILLYRKYRFNKTEREYQSKIENFLDDYKSFKPTRYSYDDIKRMTNQFKDELGQGAYGTVYRGKLSDEILVAVKVLNSSTGNGEEFVNEVGTIGKIHHVNVVRLVGFCADGFRRALVYEYLPNDTLQKFISSADTKNHFLGWKRLKDIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDHDFNPKVSDFGLAKLCAKDQSAVSMTTARGTIGYIAPEVFSRNFGNVSCKSDVYSFGMLVLEMVGGRKIVDVTEENDEQIYFPEWIYNLLEEGEDLRFEIEEEGDDKIAKKLAIVGLWCIQWNPTDRPSMKVAVHMLEREGENLPIPPNPFSSAVPTRMNARRTRRQLHQELEAISEAE >Manes.07G085550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26942478:26943353:1 gene:Manes.07G085550.v8.1 transcript:Manes.07G085550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKEQVEEPSKIKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKRFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTEEEEDGDEIANMCFMALEESSDEVTTLDEFTLNDDDVEFSYDELVGALKLMNDELEKSHRKNKILKCELASFKKESENSPKEPLPSNDSL >Manes.06G144100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27129435:27136425:1 gene:Manes.06G144100.v8.1 transcript:Manes.06G144100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVAGGLAHSSSSSGIFFQGDGQSQAVVNSHLTSSFGNSSNSIPGTGRASLGLVSGDMNNTVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDLSTQQVQPNQQHQPGTSSATSLPSSQVAQASLPMGLRAPGAFLQDHNKLSQVQKKPRLDIKQEDILQQQVFQQLLQRQDTMQLQGRSPQLQTLLHQQRLRQQQQLFQSMPPLQRAQLLQQQQQQQQQQQQQQQMQLRQQLQQQTIQPMSAMKRPYDGGICARRLMQYLYHQRQRPAENSIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMEAWQCDICGSKSGRGFEATFEILPRLNEIKFGSGVIDELLFLDLPRELRFPSGIMVLEYGKAVQESVYEQLRVVREGQLRIIFTHDLKILSWEFCARRHEELLPRRVVAPQVNQLVQVAQKCQSTITESGADGVSQQDLQTNSNMVLTAGRQLAKTLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREHKVGPIEGLKNYPRHNTAAKLQMQKMQEMEQLVNVQGLPTDRNTLNKLMALHPGINNHISNNNHMVSRGALSGSAQAALALTNYQNLLMRQNSMNSNSNSLQQESPSSFNTSNQSPSSNFQGSSVFVPGSMQGLPASSFSSPQIPSQQQQQQQRTVSTNSLLQQNHQASSQGSQVLQQQMIRQLLQMSNNSGVHQNPLAGQNGNGSMARNGLGFGSNSSAAPPAAAALSGSVAGPAPSRSNSFKAASNSDSSAAGGNSGFNQKVPDLPQNLHLQEDIVPDIAHEFSENGFFNSDLDDTIGYGWKA >Manes.06G144100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27128910:27136425:1 gene:Manes.06G144100.v8.1 transcript:Manes.06G144100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVAGGLAHSSSSSGIFFQGDGQSQAVVNSHLTSSFGNSSNSIPGTGRASLGLVSGDMNNTVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDLSTQQVQPNQQHQPGTSSATSLPSSQVAQASLPMGLRAPGAFLQDHNKLSQVQKKPRLDIKQEDILQQQVFQQLLQRQDTMQLQGRSPQLQTLLHQQRLRQQQQLFQSMPPLQRAQLLQQQQQQQQQQQQQQQMQLRQQLQQQTIQPMSAMKRPYDGGICARRLMQYLYHQRQRPAEAWQCDICGSKSGRGFEATFEILPRLNEIKFGSGVIDELLFLDLPRELRFPSGIMVLEYGKAVQESVYEQLRVVREGQLRIIFTHDLKILSWEFCARRHEELLPRRVVAPQVNQLVQVAQKCQSTITESGADGVSQQDLQTNSNMVLTAGRQLAKTLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREHKVGPIEGLKNYPRHNTAAKLQMQKMQEMEQLVNVQGLPTDRNTLNKLMALHPGINNHISNNNHMVSRGALSGSAQAALALTNYQNLLMRQNSMNSNSNSLQQESPSSFNTSNQSPSSNFQGSSVFVPGSMQGLPASSFSSPQIPSQQQQQQQRTVSTNSLLQQNHQASSQGSQVLQQQMIRQLLQMSNNSGVHQNPLAGQNGNGSMARNGLGFGSNSSAAPPAAAALSGSVAGPAPSRSNSFKAASNSDSSAAGGNSGFNQKVPDLPQNLHLQEDIVPDIAHEFSENGFFNSDLDDTIGYGWKA >Manes.06G144100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27128911:27136425:1 gene:Manes.06G144100.v8.1 transcript:Manes.06G144100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVAGGLAHSSSSSGIFFQGDGQSQAVVNSHLTSSFGNSSNSIPGTGRASLGLVSGDMNNTVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDLSTQQVQPNQQHQPGTSSATSLPSSQVAQASLPMGLRAPGAFLQDHNKLSQVQKKPRLDIKQEDILQQQVFQQLLQRQDTMQLQGRSPQLQTLLHQQRLRQQQQLFQSMPPLQRAQLLQQQQQQQQQQQQQQQMQLRQQLQQQTIQPMSAMKRPYDGGICARRLMQYLYHQRQRPAENSIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQAAMEAWQCDICGSKSGRGFEATFEILPRLNEIKFGSGVIDELLFLDLPRELRFPSGIMVLEYGKAVQESVYEQLRVVREGQLRIIFTHDLKILSWEFCARRHEELLPRRVVAPQVNQLVQVAQKCQSTITESGADGVSQQDLQTNSNMVLTAGRQLAKTLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREHKVGPIEGLKNYPRHNTAAKLQMQKMQEMEQLVNVQGLPTDRNTLNKLMALHPGINNHISNNNHMVSRGALSGSAQAALALTNYQNLLMRQNSMNSNSNSLQQESPSSFNTSNQSPSSNFQGSSVFVPGSMQGLPASSFSSPQIPSQQQQQQQRTVSTNSLLQQNHQASSQGSQVLQQQMIRQLLQMSNNSGVHQNPLAGQNGNGSMARNGLGFGSNSSAAPPAAAALSGSVAGPAPSRSNSFKAASNSDSSAAGGNSGFNQKVPDLPQNLHLQEDIVPDIAHEFSENGFFNSDLDDTIGYGWKA >Manes.12G008100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:880845:885027:-1 gene:Manes.12G008100.v8.1 transcript:Manes.12G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWWCSPAPKPTPDHPTNIHDVGADENVYDSNGYSATSTNLTTWVSQSSSSFTTIWGNNATEQIEAFTNGPSIAYSNLRAFTYAQLKAATHNFRRDMVVGKGGFGSVYKGWLKEKMMPRGIKKTAIAIKILSPASAQGAKEWKAEVSLLGSLSHPNLVKLLGYCQEDGNFVLVYEFMKRGSLNRHLFGKRSVQPLPWNTRLKLAIGAARGLDYLHSLENPIIYRDFKSSNILLDEFYEAKIADFGLAFFGPLTENSHVTTRIMGTFGYADPEYIATGNLFVKSDVYSFGVVLVEMLTGLRAFDRKRLPGQQELVTWVKPQLTSKKALKRIMDSRLEGKYPTKEALQIAHLAVKCLRREPHLRPSMKEVAETLEQIEAVSLRTGSHNTHSTEQHD >Manes.04G141600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34013660:34017534:1 gene:Manes.04G141600.v8.1 transcript:Manes.04G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNASSGSPEETANPSSDGNAPSESDLALDTLARKVQESLTLGKRHKFWETQPVGQFKDIGDTSLPEGPIEPPTPLSEVKQEPYNLPNLYEWVTCNINSDEMCTEVYNLLTNNYVEDDENMFRFNYSKEFLRWALHPPGYFKSWHIGVRVKSSKKLVAFITGIPARIRVHNEIVMMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPISTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPESTVTPGFRKMELHDVPAVTRLIRNYLSQFAVAPDFDENDVEHWLLPKEDVVDSYLVESPETHEITDFCSFYTLPSSILGNQNYSTLKAAYSYYNVSTKTPLLQLMNDALIVAKRKDYDVFNALDVMHNESFLKELKFGPGDGKLHYYLYNYRIRQTLKAAELGLVLL >Manes.07G101802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30756488:30756703:1 gene:Manes.07G101802.v8.1 transcript:Manes.07G101802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSWSLKKVGINKDQLWPWRISISSFKWKRLDFQLKIIDTLVFKILYVVEAIVLVSTLCFFYLCCGCHF >Manes.09G006201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1549774:1551317:-1 gene:Manes.09G006201.v8.1 transcript:Manes.09G006201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNGWYMWLHRDDYQQQQPAMILMLKCFNLTSTMVMVVFTFFLLVLPLVLPPLPPPPLFLLFVPVLIMTVLIFLAFSPSKFPKAESISV >Manes.09G006201.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1550491:1551275:-1 gene:Manes.09G006201.v8.1 transcript:Manes.09G006201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNGWYMWLHRDDYQQQQPAMILMLKCFNLTSTMVMVVFTFFLLVLPLVLPPLPPPPLFLLFVPVLIMTVLIFLAFSPSKFPKAESISV >Manes.01G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3967609:3973099:1 gene:Manes.01G015300.v8.1 transcript:Manes.01G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFAESSSTIADQIKLRKPRNQFHHHHQQEENPISIQETDPNPHISRSSKSTISSIFLSPFSTNTAEPTTKKKSTTFRGLGCTAGAAQQVSVPAMIRSSAEWEGKRLKKKNNLQRQKRKKDNIRICGESNKPSLQGLGEGSNSNGNSDNNGNSGSLNPGSCLVIQDVWCGPGIGFSSDAVVGSVDCVVGRRNASGRGKIDGDNNKINQRERERSAYLGRRATVNPETLPFLDTDPAFVASYPESEVFGSRYYRHVRHPSPDGLAEIMMLQNSFMMGGRLDRYSDWRLDIDHMSYEQLLELGERIGHVSTGLKEDEMSQCVRKMKLSIINDLSSHLPIIPDKNCSICQEDYEADDELGKLDCGHGFHMQCIKQWLAQKNICPVCKTEPVARG >Manes.11G043850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4783480:4789797:1 gene:Manes.11G043850.v8.1 transcript:Manes.11G043850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCWSNRAGPDLPIDPRDGVSTFYNNYSSSPVHHLTTAYISSSSPERYQNNIASASQNYQGYEQRQTFVQELAQPAGDESSSYFDRRYGLKIYSYDELAEATDRFSNNELLGEGAFGQVFKGILDGEVVAIKKLKTIQDEEPENPEIQLGEIDFLSIVRHQNVVKLIGYCNEGRNWLLVLEYVPNKSLRSHLHGKSLLKWSNRIKIAIGSAEGLQYLHEGCGIKIIHRDIKADNILLDNNFESKVADFSLAKFLRNTSVSHITSILKGTNIYADPEFGDTQRVSEKSDVYSFGVVLLELISGRKLIDKNIDIVTWARTQIEKVLGNGEYRALVATILQSYNMEKIEQIITALVDPKLMENYNKEEIKRMIFCAMACINKNSKYRPRMQKIVGVLKGTITPPRKILDWEDNKSLYRNNNGNFLRPTVKKLSIISKIDDEDIVGIRLYVFTYKKLENATDCFSKNKLLSKGRFGEVYIGYIDFTIVTIKKFSSIDISGKQEDVFEEIKDIGSSVQHRNLVNLIGYCDDGFNKLLVYEFVHKESSLRCHLHGNGRSNLDWPTRLHIALSIARGLIKLQDTLWKIYEDYNDDCIFLGDNFEPKFAEYGHIHFFSKSATSSSTSSWPSIHDADHTYFFGLVLLELITGKQPVHDIINWAMPLLASSLRNGEGKNSFVDDKLKDYDNEEMHRMIACALACLRKMPPYPPQMNEVIEVLKGHRSLETLDALGEVYSWTAIFSSFAIQG >Manes.05G183300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30160642:30167825:1 gene:Manes.05G183300.v8.1 transcript:Manes.05G183300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICVAVRVRPPVAVSQEISNGNHWRVEDNHISLLKSDGTPISGVTYAFDHVFDESCTNARVYELLTKDIIHAAVEGFNGTAFAYGQTSSGKTFTMNGSETDPGIIHRAVKDIFNKIQMMSDREFLIRVSYMEIYNEEINDLFALENQKLQIHESLERGIFVAGLREEIVNNAEQVLELIAAGEVNRHFGETNMNVRSSRSHTIFRMVIESKEKDNNSSNYSCGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMALGNVINKLSDSPKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVDETKGTLQFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRKKLEGSRAEVLEQEILKLRNDMLKYEADREKLEMQLEEERKSHKERDQRIREQQMRIDNLSSLVTFPEHDRNSVQNSGSQFSKEEINDSSSKEDVFRTPSFKAAPNAFVAKRSNYSRRTDYSPLPDTLSNVADEETWLKMNKGYIADLDSIQMTPARKVQSFPLSDMTPGLSSDDYRQEVENLRRQLELITEEKNELEQKLSDQSLLNDHLKEEISELKQEASVIGEIPQRLCKSVANCKEIFKDILLTLQSFVPDGEYSTTKLLSSTSEIGISLLSNLESYLLVDKDNHDSFPRNDSLVQEHCKVLSESIRGTITSLVASEKLAIQNKEVENTICSCDYKDSTLEGETTSKDKLSYELDSIKEKYNDLEKKLDLNNQLLEVSREKYTSLERKLQLLKGERDSLLETVSESSQKLALVKDQKENVLKDLNSEVRRRKDLEEQIKQFSVAFASRQRSFTSFQSEFKSKIEKLRSLDTVSASKSLGC >Manes.05G183300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30160642:30167825:1 gene:Manes.05G183300.v8.1 transcript:Manes.05G183300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICVAVRVRPPVAVSQEISNGNHWRVEDNHISLLKSDGTPISGVTYAFDHVFDESCTNARVYELLTKDIIHAAVEGFNGTAFAYGQTSSGKTFTMNGSETDPGIIHRAVKDIFNKIQMMSDREFLIRVSYMEIYNEEINDLFALENQKLQIHESLERGIFVAGLREEIVNNAEQVLELIAAGEVNRHFGETNMNVRSSRSHTIFRMVIESKEKDNNSSNYSCGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMALGNVINKLSDSPKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVDETKGTLQFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRKKLEGSRAEVLEQEILKLRNDMLKYEADREKLEMQLEEERKSHKERDQRIREQQMRIDNLSSLVTFPEHDRNSVQGQNSGSQFSKEEINDSSSKEDVFRTPSFKAAPNAFVAKRSNYSRRTDYSPLPDTLSNVADEETWLKMNKGYIADLDSIQMTPARKVQSFPLSDMTPGLSSDDYRQEVENLRRQLELITEEKNELEEEISELKQEASVIGEIPQRLCKSVANCKEIFKDILLTLQSFVPDGEYSTTKLLSSTSEIGISLLSNLESYLLVDKDNHDSFPRNDSLVQEHCKVLSESIRGTITSLVASEKLAIQNKEVENTICSCDYKDSTLEGETTSKDKLSYELDSIKEKYNDLEKKLDLNNQLLEVSREKYTSLERKLQLLKGERDSLLETVSESSQKLALVKDQKENVLKDLNSEVRRRKDLEEQIKQFSVAFASRQRSFTSFQSEFKSKIEKLRSLDTVSASKSLGC >Manes.05G183300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30160642:30167825:1 gene:Manes.05G183300.v8.1 transcript:Manes.05G183300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICVAVRVRPPVAVSQEISNGNHWRVEDNHISLLKSDGTPISGVTYAFDHVFDESCTNARVYELLTKDIIHAAVEGFNGTAFAYGQTSSGKTFTMNGSETDPGIIHRAVKDIFNKIQMMSDREFLIRVSYMEIYNEEINDLFALENQKLQIHESLERGIFVAGLREEIVNNAEQVLELIAAGEVNRHFGETNMNVRSSRSHTIFRMVIESKEKDNNSSNYSCGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMALGNVINKLSDSPKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVDETKGTLQFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRKKLEGSRAEVLEQEILKLRNDMLKYEADREKLEMQLEEERKSHKERDQRIREQQMRIDNLSSLVTFPEHDRNSVQNSGSQFSKEEINDSSSKEDVFRTPSFKAAPNAFVAKRSNYSRRTDYSPLPDTLSNVADEETWLKMNKGYIADLDSIQMTPARKVQSFPLSDMTPGLSSDDYRQEVENLRRQLELITEEKNELEEEISELKQEASVIGEIPQRLCKSVANCKEIFKDILLTLQSFVPDGEYSTTKLLSSTSEIGISLLSNLESYLLVDKDNHDSFPRNDSLVQEHCKVLSESIRGTITSLVASEKLAIQNKEVENTICSCDYKDSTLEGETTSKDKLSYELDSIKEKYNDLEKKLDLNNQLLEVSREKYTSLERKLQLLKGERDSLLETVSESSQKLALVKDQKENVLKDLNSEVRRRKDLEEQIKQFSVAFASRQRSFTSFQSEFKSKIEKLRSLDTVSASKSLGC >Manes.05G183300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30160642:30167825:1 gene:Manes.05G183300.v8.1 transcript:Manes.05G183300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICVAVRVRPPVAVSQEISNGNHWRVEDNHISLLKSDGTPISGVTYAFDHVFDESCTNARVYELLTKDIIHAAVEGFNGTAFAYGQTSSGKTFTMNGSETDPGIIHRAVKDIFNKIQMMSDREFLIRVSYMEIYNEEINDLFALENQKLQIHESLERGIFVAGLREEIVNNAEQVLELIAAGEVNRHFGETNMNVRSSRSHTIFRMVIESKEKDNNSSNYSCGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMALGNVINKLSDSPKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHVDETKGTLQFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRKKLEGSRAEVLEQEILKLRNDMLKYEADREKLEMQLEEERKSHKERDQRIREQQMRIDNLSSLVTFPEHDRNSVQGQNSGSQFSKEEINDSSSKEDVFRTPSFKAAPNAFVAKRSNYSRRTDYSPLPDTLSNVADEETWLKMNKGYIADLDSIQMTPARKVQSFPLSDMTPGLSSDDYRQEVENLRRQLELITEEKNELEQKLSDQSLLNDHLKEEISELKQEASVIGEIPQRLCKSVANCKEIFKDILLTLQSFVPDGEYSTTKLLSSTSEIGISLLSNLESYLLVDKDNHDSFPRNDSLVQEHCKVLSESIRGTITSLVASEKLAIQNKEVENTICSCDYKDSTLEGETTSKDKLSYELDSIKEKYNDLEKKLDLNNQLLEVSREKYTSLERKLQLLKGERDSLLETVSESSQKLALVKDQKENVLKDLNSEVRRRKDLEEQIKQFSVAFASRQRSFTSFQSEFKSKIEKLRSLDTVSASKSLGC >Manes.13G136500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34458043:34461201:1 gene:Manes.13G136500.v8.1 transcript:Manes.13G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGCSGRLPTWKERENNKRRERRRRAIAAKIYAGLRAQGNFKLPKHCDNNEVLKALCLEAGWIVEDDGTTYRKGCKPPPSEIAGIPTNISASSSVQPSPQSSNFPSPVPSYHASPTSSSFPSPSRYDGNPSNYLLPFLRNIASIPTNLPPLRISNSAPVTPPLSSPTSRSSKRKADWESFSNGSLNSFHHPLFAVSAPSSPTRRQHLAPATIPECDESDASTVDSSRWVSFQAAASQVAPPSPTFNLVKPVAQQSGFLDGVDGHGGLGWGAAAERGRGSEFEFENGRVKPWEGERIHEIGVDDLELTLGCAKAH >Manes.02G036501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3026751:3031208:1 gene:Manes.02G036501.v8.1 transcript:Manes.02G036501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDIDLNPVQLYTDSFKEVLKHTMLNQDVVFRNQVHELHRLYSVQESLMNDFDWRNCNTCNSWNPKAQSSFLLTNPTRYEPPAKDIRFSSTAKVDSIPFISQELSEDCHGTNNKLQHKPLDLQLSADEFIDQVEKDFLKRGNFQNCLDDLRDTKLPLSSNFSDAVELKLSLSIGGNARIKGDTTRTCFTRKSYSYSQNVIDLEESVGRIPDGDAKCPPTLGHFFVETHSKSKHERQGYTFSNPVISTSVNKDLSNEIAESSSMHSECCQVQTFSSEGIRDFHDDVPPDDHSTPMEQFTSELGGQLDLNKVHLDDSICCSDDNMPACPPISNSKDGPDGCTGSMQDGTCPSTFRIKEPTEQSNECSEELDCCSGDEKSGNVELKPKFAGEVSYEKSEVENALVSCSHQNQNTFLEEHGNVSPASWKSCISYNDSSTAKRKYSGITSCLGSQLVDALTSEHDERISDSSDLKNGNYEKKEVSAQVDVFIQQAAELLIHISSDHSACDQVSFTKVGLKDMEDGKRERPQCSLDSFELITLNLAESNMDDNSVSSKPFEVNDMELKDFGLKLKRGRRMKDFQREILPSLASLSRREILEDINIMEGVLRSREYRKFRAKTAVHGENRSSPVRIRRSRLGYAGRKNIS >Manes.02G036501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3026119:3031269:1 gene:Manes.02G036501.v8.1 transcript:Manes.02G036501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDIDLNPVQLYTDSFKEVLKHTMLNQDVVFRNQVHELHRLYSVQESLMNDFDWRNCNTCNSWNPKAQSSFLLTNPTRYEPPAKDIRFSSTAKVDSIPFISQELSEDCHGTNNKLQHKPLDLQLSADEFIDQVEKDFLKRGNFQNCLDDLRDTKLPLSSNFSDAVELKLSLSIGGNARIKGDTTRTCFTRKSYSYSQNVIDLEESVGRIPDGDAKCPPTLGHFFVETHSKSKHERQGYTFSNPVISTSVNKDLSNEIAESSSMHSECCQVQTFSSEGIRDFHDDVPPDDHSTPMEQFTSELGGQLDLNKVHLDDSICCSDDNMPACPPISNSKDGPDGCTGSMQDGTCPSTFRIKEPTEQSNECSEELDCCSGDEKSGNVELKPKFAGEVSYEKSEVENALVSCSHQNQNTFLEEHGNVSPASWKSCISYNDSSTAKRKYSGITSCLGSQLVDALTSEHDERISDSSDLKNGNYEKKEVSAQVDVFIQQAAELLIHISSDHSACDQVSFTKVGLKDMEDGKRERPQCSLDSFELITLNLAESNMDDNSVSSKPFEVNDMELKDFGLKLKRGRRMKDFQREILPSLASLSRREILEDINIMEGVLRSREYRKFRAKTAVHGENRSSPVRIRRSRLGYAGRKNIS >Manes.10G090151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23354191:23356794:-1 gene:Manes.10G090151.v8.1 transcript:Manes.10G090151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRLTAKKKATTSRGVARYEKLESSENGIDQAEKDEKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENCELPYQNHGHVILADPSPILFYRISSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVCILTTLLSCMSHSFLFLFSLIFFFFFRLHQGLYFQ >Manes.13G028600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3770811:3777158:1 gene:Manes.13G028600.v8.1 transcript:Manes.13G028600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSFKNISFVLFIAFLVWSASFDTCIARRSRHWRQNRAASASLFQKGKSRDNNHNRHNGGSKPKPEPPSHQSPLPPASKPPKDDDPSSSSPVKGSAIFNVLDFGAKGDGKSDDTKLDGTIIAPTNSNVWGKGLLWWIEFTKLKGITIQGTGTIDGSGSVWWQDYPFDDPIDDETKLIIPLNHTVEEHPPIPIRNEFSKKMPSIKPTALRFYGSFNALVTGITIQNSPQCHLKFDNCIGVVVHDINISSPGDSPNTDGIHLQNSKDVLIHSSNLACGDDCVSIQTGCTNVYIRDVNCGPGHGISIGSLGKDNTKACVSNITVRDVVMHNTMTGVRIKTWQGGSGSVQGILFSNIQVSEVQLPIVIDQFYCDKSTCRNQTSAVSLSGINYEKIRGTYTVKPVHFACSDALPCIDVSLTTIELKPLQEQYHMYDPFCWQTFGELNTPTTPPIDCLQIGKPSSNRPQSDHDAC >Manes.13G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3770811:3777158:1 gene:Manes.13G028600.v8.1 transcript:Manes.13G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSFKNISFVLFIAFLVWSASFDTCIARRSRHWRQNRAASASLFQKGKSRDNNHNRHNGGSKPKPEPPSHQSPLPPASKPPKDDDPSSSSPVKGSAIFNVLDFGAKGDGKSDDTKAFQSAWAAACKVEASTMLVPAEFVFLVGPVSFSGPYCQENIVFQLDGTIIAPTNSNVWGKGLLWWIEFTKLKGITIQGTGTIDGSGSVWWQDYPFDDPIDDETKLIIPLNHTVEEHPPIPIRNEFSKKMPSIKPTALRFYGSFNALVTGITIQNSPQCHLKFDNCIGVVVHDINISSPGDSPNTDGIHLQNSKDVLIHSSNLACGDDCVSIQTGCTNVYIRDVNCGPGHGISIGSLGKDNTKACVSNITVRDVVMHNTMTGVRIKTWQGGSGSVQGILFSNIQVSEVQLPIVIDQFYCDKSTCRNQTSAVSLSGINYEKIRGTYTVKPVHFACSDALPCIDVSLTTIELKPLQEQYHMYDPFCWQTFGELNTPTTPPIDCLQIGKPSSNRPQSDHDAC >Manes.06G052800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349640:16353279:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRKLVHLTSLMKDSTGVCYIVLV >Manes.06G052800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349396:16353279:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRKLVHLTSLMKDSTGVCYIVLV >Manes.06G052800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349907:16352964:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEVRNLVSADNSIPS >Manes.06G052800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349907:16352964:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEVRNLVSADNSIPS >Manes.06G052800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349968:16353166:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRKLVHLTSLMKDSTGVCYIVLV >Manes.06G052800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349396:16352964:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEVRNLVSADNSIPS >Manes.06G052800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349396:16352964:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEVRNLVSADNSIPS >Manes.06G052800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16350286:16352964:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRKLVHLTSLMKDSTGVCYIVLV >Manes.06G052800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349640:16353279:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRKLVHLTSLMKDSTGVCYIVLV >Manes.06G052800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349411:16353279:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRKLVHLTSLMKDSTGVCYIVLV >Manes.06G052800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349640:16352964:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRKLVHLTSLMKDSTGVCYIVLV >Manes.06G052800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16349969:16353166:1 gene:Manes.06G052800.v8.1 transcript:Manes.06G052800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSRVEVLCKEGVTSGFWRCAEIICGNGHTYTVRYEGLASTIGGTVGERISRKAIRPCPLLSEVAENWVPGDVVEVFDDFSWKMATVSKVLGKEYFLVRILGSSLEFKVSKFDIRIRQSWQVDKWIVIGKGSGSCEDIGRVENSTLKRKRNSVNNVQMKKTRLNQQVKDDCFPLVNKEKLQESNKLSCKTLKRGPCAYKQVDTYDGNGQKFRTAEKEGRLHQVFATNGSSLPEQVDDVAFPKEMVGENYQTWFSEMDMERRKQTGAVGCSSAIELESNCGVSVTSSVGSCSITGNNFCKLDRCAGFFEDIDRYSSNAESFCQWEYEEENSNFPTKEELAAEIHRLELHAYRCTMEALYASGPLSWEQEALVTNLRLSLHISNDEHLMEGQSRKLVHLTSLMKDSTGVCYIVLV >Manes.08G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1647224:1654089:1 gene:Manes.08G016300.v8.1 transcript:Manes.08G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSCSLSPLSISLSKSIASSHTSKPQFLSIRSSIDNKTPKPTSNATKSSSWVSPDWLTSLTRTLTLGQNDDSGIPIASAKLDDVSELLGGALFLPLFKWMNEYGPIYRLAAGPRNFVVVSDPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKKYLSIIVDRVFCKCAQRLVEKLQPDALSGTAVNMEEKFSQLTLDVIGLSVFNYNFDSLTTDSPVIDAVYTALKEAEARSTDLLPYWKVKALCKIIPRQIKAEKAVTVIRQTVEELIAKCKEIVESEGERIDNEEYVNDTDPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDQVLQGRAPTYEDIKDLKFLTRCINESLRLYPHPPVLLRRAQVADVLPGNYKVNAGQDVMISVYNIHHSSKVWERAEEFVPERFDLDGPIPNETNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIFLQKMNFELVPDQKISMTTGATIHTTNGLYMKLSQREKIEAFVSSPAR >Manes.08G016300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1647224:1654089:1 gene:Manes.08G016300.v8.1 transcript:Manes.08G016300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSCSLSPLSISLSKSIASSHTSKPQFLSIRSSIDNKTPKPTSNATKSSSWVSPDWLTSLTRTLTLGQNDDSGIPIASAKLDDVSELLGGALFLPLFKWMNEYGPIYRLAAGPRNFVVVSDPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKKYLSIIVDRVFCKCAQRLVEKLQPDALSGTAVNMEEKFSQLTLDVIGLSVFNYNFDSLTTDSPVIDAVYTALKEAEARSTDLLPYWKVKALCKIIPRQIKAEKAVTVIRQTVEELIAKCKEIVESEGERIDNEEYVNDTDPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDSSSLAKAQEEVDQVLQGRAPTYEDIKDLKFLTRCINESLRLYPHPPVLLRRAQVADVLPGNYKVNAGQDVMISVYNIHHSSKVLLYCCF >Manes.01G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2853467:2856587:1 gene:Manes.01G008300.v8.1 transcript:Manes.01G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARLNLVSSVVGLLSTSLSTISRKVVMLANLKPRNMRGVKSSGMLMAASDASHENFELLEPPEGSIPGERIWFGAEEDQQNQPDPATPSQIQKKKIWESVQPHLKTDDSCVAMLGEHLMRCFTTWYKFFHGFLFFFLVLLGKVNVDIRVRLLQIPDAHGFINCVLSLW >Manes.03G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21045532:21047877:1 gene:Manes.03G084200.v8.1 transcript:Manes.03G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMETSSRSSAVAVAVAIILGIIVTLGWRVLNWVWFRPKKLERFLRKQGIAGNPYRFFHGDLKQSVEMIKQARSQPFDFSQPLALRVAPFLLQTLNHYGKNSFIWIGPAPRVNITNPEHIKEVFAKINEFQRVKMNPQFQVLAPGLASYEGHKWAKHRKIINPAFHLEKLKLMLPLFHECCIEMIEKWKKLISSMESCELDVWPYLQDLSRDCISRAAFGSNHEQGQRVFQLLDELAILIFQGAQSVYIPGWRFVPTKVNRRIKEIDIEIQTSLKRMINKRENRMKAGEAPLDDLLGLLIESNLKQTKERLSIQDVIDECKLFYFAGQETTSSLLVWTIILLSKYPHWQAQAREEVLQVFGGKRPQFDGLNRLKVVTMILYEVLRLYSPGTTLSRTVYEKTRLGDLILPAGVQITVPVCIVHQDPELWGKDALEFKPQRFFEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAMILQHFSFQLSSSYSHAPRAFATLRPEYGAQLIFRKI >Manes.03G084200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21045535:21047813:1 gene:Manes.03G084200.v8.1 transcript:Manes.03G084200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMETSSRSSAVAVAVAIILGIIVTLGWRVLNWVWFRPKKLERFLRKQGIAGNPYRFFHGDLKQSVEMIKQARSQPFDFSQPLALRVAPFLLQTLNHYGKNSFIWIGPAPRVNITNPEHIKEVFAKINEFQRVKMNPQFQVLAPGLASYEGHKWAKHRKIINPAFHLEKLKLMLPLFHECCIEMIEKWKKLISSMESCELDVWPYLQDLSRDCISRAAFGSNHEQGQRVFQLLDELAILIFQGAQSVYIPGWRFVPTKVNRRIKEIDIEIQTSLKRMINKRENRMKAGEAPLDDLLGLLIESNLKQTKERLSIQDVIDECKLFYFAGQETTSSLLVWTIILLSKYPHWQAQAREEVLQVFGGKRPQFDGLNRLKVTLLTWYDTFSNGL >Manes.03G084200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21045535:21047746:1 gene:Manes.03G084200.v8.1 transcript:Manes.03G084200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMETSSRSSAVAVAVAIILGIIVTLGWRVLNWVWFRPKKLERFLRKQGIAGNPYRFFHGDLKQSVEMIKQARSQPFDFSQPLALRVAPFLLQTLNHYGKNSFIWIGPAPRVNITNPEHIKEVFAKINEFQRVKMNPQFQVLAPGLASYEGHKWAKHRKIINPAFHLEKLKLMLPLFHECCIEMIEKWKKLISSMESCELDVWPYLQDLSRDCISRAAFGSNHEQGQRVFQLLDELAILIFQGAQSVYIPGWSKYPHWQAQAREEVLQVFGGKRPQFDGLNRLKVVTMILYEVLRLYSPGTTLSRTVYEKTRLGDLILPAGVQITVPVCIVHQDPELWGKDALEFKPQRFFEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAMILQHFSFQLSSSYSHAPRAFATLRPEYGAQLIFRKI >Manes.03G084200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21045534:21047797:1 gene:Manes.03G084200.v8.1 transcript:Manes.03G084200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPGLASYEGHKWAKHRKIINPAFHLEKLKLMLPLFHECCIEMIEKWKKLISSMESCELDVWPYLQDLSRDCISRAAFGSNHEQGQRVFQLLDELAILIFQGAQSVYIPGWRFVPTKVNRRIKEIDIEIQTSLKRMINKRENRMKAGEAPLDDLLGLLIESNLKQTKERLSIQDVIDECKLFYFAGQETTSSLLVWTIILLSKYPHWQAQAREEVLQVFGGKRPQFDGLNRLKVVTMILYEVLRLYSPGTTLSRTVYEKTRLGDLILPAGVQITVPVCIVHQDPELWGKDALEFKPQRFFEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAMILQHFSFQLSSSYSHAPRAFATLRPEYGAQLIFRKI >Manes.S051016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1739601:1739768:-1 gene:Manes.S051016.v8.1 transcript:Manes.S051016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.10G125800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29329577:29331044:1 gene:Manes.10G125800.v8.1 transcript:Manes.10G125800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQRSPTLDFYGIFSKNAAIKDMCKVYKSLITKKNSDKNPSNKAESQDKFHQINEDNTAINEKKIKENPIEYEPTTPPILRDVPSSEDSCNRHKSMDEIFFIRPSGLLKSLSKKRRTPSPSPTCQSRNASRSSSPARDIYRSKSTGRRRASDTAIPSVTNSTRKGNTTPIIYSQSTARKTPPPVERKLQCTLEELWHGCIKKIKINRNVIRNGIMDQEEEILMIKVEPGWKKGTKITFEGKGDERPGYHPADLILLIDEKRHPLFEREGDDLEIGLEIPLLQALTGCTISVPILGGEKMCLSFDDIIYPGFVKIIRGQGMPTKEEGRRGDLRIKFLVEFPFELSDEQRIEASSILQECS >Manes.01G181500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35970989:35977427:-1 gene:Manes.01G181500.v8.1 transcript:Manes.01G181500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKDNLKGFILALSSSAFIGASFIIKKKGLRRAAITSGVRAGVGGFSYLLEPLWWLGMLTMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNEKLHKLGILGCVMCIAGSIIIVIHAPQEHPITSVQEIWTMATQSAFLLYVASVIVLVFVLVFHFSPQCGHSNILVFTGICSLMGSLSVMSVKAVGTALKLTFEGNNQLLYPETWFFMFVVATCVITQMNYLNKALDTFNTAVVSPVYYVMFTSFTILASVIMFKGIINGYFKMLTKYFECSQKVY >Manes.01G181500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35970989:35977427:-1 gene:Manes.01G181500.v8.1 transcript:Manes.01G181500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKDNLKGFILALSSSAFIGASFIIKKKGLRRAAITSGVRAGVGGFSYLLEPLWWLGMLTMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNEKLHKLGILGCVMCIAGSIIIVIHAPQEHPITSVQEIWTMATQSAFLLYVASVIVLVFVLVFHFSPQCGHSNILVFTGICSLMGSLSVMSVKAVGTALKLTFEGNNQLLYPETWFFMFVVATCVITQMNYLNKALDTFNTAVVSPVYYVMFTSFTILASVIMFKDWDDQSMGSIISEICGFIVVLSGTVLLQTTREFERSSSFRGGYAPLSPTLSTGLCSGNGEFMRHDEEEDVPPTDEFCLQRQ >Manes.01G181500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35970989:35977427:-1 gene:Manes.01G181500.v8.1 transcript:Manes.01G181500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKDNLKGFILALSSSAFIGASFIIKKKGLRRAAITSGVRAGVGGFSYLLEPLWWLGMLTMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNEKLHKLGILGCVMCIAGSIIIVIHAPQEHPITSVQEIWTMATQSAFLLYVASVIVLVFVLVFHFSPQCGHSNILVFTGICSLMGSLSVMSVKAVGTALKLTFEGNNQLLYPETWFFMFVVATCVITQMNYLNKDWDDQSMGSIISEICGFIVVLSGTVLLQTTREFERSSSFRGGYAPLSPTLSTGLCSGNGEFMRHDEEEDVPPTDEFCLQRQ >Manes.15G001500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:342744:358235:1 gene:Manes.15G001500.v8.1 transcript:Manes.15G001500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHRGDGYGGTSNNPTAASDNQHSYHNRRSSRFSDGPSRFSDAPVNRYSTNGTNTSSNYDRRSPTNYSGGGGGHRLFDSLLRHPPSAGGAEGMGGFEPLGGGGAAGTGGIRAMGGGGGFGSNYQAPPPLIPHQPLSGQKRGYPFIGRGSSPDRFDGGSFAKLFVGSVPRTATEGDIRPLFEQHGNVIEVSLIKDKRTGQQQGCCFVKYATSEEADRAMRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEREVEEIFSPYGHVEDVYLMRDEMKQSRGCGFVKYSDKEMAMRAINALNGIYRMKGCDQPLIVRFADPKRPRPGDSRGGPALGGPGFGTRFQVPRPRSASNFSDPMGDGGPPNACLPISRQNMGPSSNAGVSGFGSQLLPRSGDLATPLNQGGPPDGAPSGHVFSAAQLNFNQHLHQFPPVGQHTSPPQKPLQSPQHLPSLQLNLQVTSCSQAQTSHVGQLHSPLTASQTPFSQAPPSQQYVGMSGQLSASQSQLQQGASTGIPLPAPLNINLHSHSVSAATNQQQMPAPVQQQPLQPLQQSPSQLAQMLSQQTQTLQATFQSSQQAFSQLQQQLQMMQPSNQGLTLQQSSQPTKQEGSGIPPKAFVSMPFTRVAADVPPSTSSAPVAPVISQPVAPAKCNWTEHTSVEGFKYYYNSVTRESRWEKPEELTLFEQPPQQQQLQQRTPLQQPQAQSNPQVLPIQQVPQVPRLQASFQTQFHQQQLLQQPSFPSLDAASGVGVQQDDQVAQEWMWKNKAAGNLVLYSI >Manes.16G079700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28428962:28444929:-1 gene:Manes.16G079700.v8.1 transcript:Manes.16G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRSLCLLQLVLFLLFFASLSDGIELTESDRLEVTNNTAVSDASLSQPKEGSFAKIIDDALRKEFPENEQNDDATDTGSFNNSVAEQQAVLETVARVKPKKNDTKEEKSFIFKLDNDNGAEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIVLFMFLCGITSMLCGGKPSEGIFVGAFLSMSSTAVVLKFLMEKNSTNSLHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGVISMTKLLVLLIAFLAVLSILSRTCVPWFLKLMMNLSSQTNELYQLASVAFCLLIAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAAFFLASIGMLIHVHFLWNHVDILLASVILVIIIKTTIITTVVKGFGYNNKTAMLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVMTPLLFKLIPAVAHLGVLLRWFPPDSSVEIGIKGDGFRSDSGKQRITLIVQGPHDS >Manes.07G134500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33561570:33566725:-1 gene:Manes.07G134500.v8.1 transcript:Manes.07G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFMASISFVIFWFSILLHSVAGQSFDVRQHLSTVTRYGVVKDEVNNSFEQFSIPEGCTPIHLNLVARHGTRSPTKKKMKELAWLASHLEELIRDAKERNLPLQKVPTWLQGWKSPWKGKSKGGELITKGEDELYDFGIRIRERFPNLFEEEYHPDVYLIKATQVPRASASAVAFGMGLFSKKGTLGPWNQRAFAVSSESRASDIMLRFHDCCENYKAFRKSQEPVVEKLKKPILDAITAALVSRYELNFTRQDTASLWFLCKQEASLLDITDQACGLFNPSEVALLEWTDDLALFILKGYGKSINYRMGVPLLEDVVQSMEQAIKVQEEVLASGHYEKARLRFAHAETLVPFTCLLGLFLEQSEFEKIQREQPLELPPRPPHSRNWWGSKVTPFAGNNMLVLHSCPANSSSKYFVEVLHNEYPIPMPGCNNSNFCPFEEFKEMVVAPHLKHNYNTLCAKKLEQPKQKIETIKGSSSF >Manes.09G019300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4060172:4065591:1 gene:Manes.09G019300.v8.1 transcript:Manes.09G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEERKKMGRGKIEIKRIENLNSRQVTFSKRRNGLLKKAKELSVLCDAEVAVIVFSSTGKLYEFSSTSMENTLSRYNKGLEFECLEHSPDEFAIENTQSAEVRALKDEVSKLRLTCSQMMGQQLDGLSLKDLQHLEHQLSEGMLSVKDKKEKVLLEQLRISKLQEQMAIQENETLRKQVERLERSSSLSKSEFLEFNPLERRLSVARTTKTISYGLIENEEEEEDLSETSLQLGLSCNVNRKRKASKIESMNNDSGSQVASE >Manes.06G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27241231:27243444:-1 gene:Manes.06G145800.v8.1 transcript:Manes.06G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFFQTYNNLIFQTSLSSSLTLLLIFLKIPILFLQGLHTYIHPENLGQQNGVKAAIRRPSNSDSPSGLDGYQNLSSKASTDFKRRNKSKEKFEFDENNAQIFRLSLDEAHLQSRLYFNDYWYSFIYSSVALSCLLLYKYLDVVEQRGIFVTGSLIPMILGFSGLSKVFLSLIRVSFEKSASTRSDKQLSALFGVLGFLFGLTICSGTVGPSVFDFDFGSVDGYGRAFVAFLMGCLAGILYMPAAKNARAFWLGTDQLRSNMIMISCGWFSRIILYANYFLAFFTALLWINPLADILIGKTIENGNRTHSNSSSSTGEADKLVRIVAFTRSEFTKFRLWCLLLSGLIQIMALRPNLQMYLNEALLSWYQRLHASKVPDLDFSRAKIDIPLKNLQLLCSLLPCTAFVKEVTLLMGWWVIFLWAIFTSASLFLYRRGILYIS >Manes.07G062202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9060898:9062240:1 gene:Manes.07G062202.v8.1 transcript:Manes.07G062202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSIGFFGCFVVHPHGHDGEKLGVRQKPDWLIMGFRQVLMDCSLVELGYNSGMFTWERGRNTLSWTQERLDRGVVTDEWKTHFPVAAVHNLLMVSSDHCSIYLNLNGESSSPSISQRLRFENAWLAVPQCQEIVEESWVDNSGLDFAAHLHVCSSALWVWGSDYRTQFGKLKSTCKQRMDQNRSGPSPLAYLFYLYVIL >Manes.14G169100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27582152:27587052:1 gene:Manes.14G169100.v8.1 transcript:Manes.14G169100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICLDLNGDKKLRYLDYFVHRINLLRHYKITPVVVFDGASIPCKAATEHERQRRRESNRALAMEKLKEGNVNAASELFQRAVSITPVMARKLIQILKSENVEFVVAPYEADAQLAYLSSLEAEEGGIAAVITEDSDLLAYGCQATIFKMDRYGNGEEIVLDKVFDVVACKPSFQYFSRELFTGMCVLAGCDFLPSVPGIGIVRAHSYVSKYRSLDRVLSVLKLEKGNQMPEDYCKSFKEALAVFQHARIYDAETKKLKHMKPLSQDLLASLDEKLDFLGPELPPSVATAIAEGKLNPMNMEAFDYFPSSECHLGLIVMQNPRKPEFTSHITGEKDIAGIVTKQDTAVSERKYTNEALALQKLILPQEIHTRTENKMVPDDISVKIPKNPFMILCSPPDSSPNDLSNNLSKKRKLNENEIDLHQRESIDEQASGITEVGNSDVLYVTKESQESVNSKPRKISDGRSRAKSDKSKRSNSRSAENKQNTILNFFSRV >Manes.14G169100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27582152:27587052:1 gene:Manes.14G169100.v8.1 transcript:Manes.14G169100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLRFMKPYIEPIHIKKYAGKRVGIDAYSWLHKGAYSCSMEICLDLNGDKKLRYLDYFVHRINLLRHYKITPVVVFDGASIPCKAATEHERQRRRESNRALAMEKLKEGNVNAASELFQRAVSITPVMARKLIQILKSENVEFVVAPYEADAQLAYLSSLEAEEGGIAAVITEDSDLLAYGCQATIFKMDRYGNGEEIVLDKVFDVVACKPSFQYFSRELFTGMCVLAGCDFLPSVPGIGIVRAHSYVSKYRSLDRVLSVLKLEKGNQMPEDYCKSFKEALAVFQHARIYDAETKKLKHMKPLSQDLLASLDEKLDFLGPELPPSVATAIAEGKLNPMNMEAFDYFPSSECHLGLIVMQNPRKPEFTSHITGEKDIAGIVTKQDTAVSERKYTNEALALQKLILPQEIHTRTENKMVPDDISVKIPKNPFMILCSPPDSSPNDLSNNLSKKRKLNENEIDLHQRESIDEQASGITEVGNSDVLYVTKESQESVNSKPRKISDGRSRAKSDKSKRSNSRSAENKQNTILNFFSRV >Manes.18G065900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5941835:5943602:1 gene:Manes.18G065900.v8.1 transcript:Manes.18G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLTFLEKPHAVCIPFPAQGHINPMLKLAKLLHHKGFHITFVNTEYNHKRLLKARGPDSLNGLPTFQFLTIPDGLPPTDGDATQDIPSLCQYTRKTCLAPFRNLLSNLNHTSSSNVPPVSCIVSDGVMSFTLDAAQELGIPEILFWTTSACGFMGYLHYHHLVKKGLTPFKDESYLTNGYLDTVIDWIPGMKDIRLRDLPSFIRTTDPEDVMLDFLQSETERCQKASAIILNTFDALEHDVLIALSTLVPPVYSIGPLHLLLNNVKDKDLKLIESNLWTEESECLEWLDSKGPNSVVYVNFGSITVMTTEQLVEFAWGLANSNITFFWVIRPDLVAGDNAILQPEFVTVTKERGICSSYCAQEKVLSHPSIGGFLTHSGWNSTLESISAGVPLICWPFFAEQQTNCRYSCKEWGIGMEINSDVKRDEVKRLVIELMEGEKGKEMKKKALEWKKMAEEATASLEGSSFQNFEKVIKALSPSAKA >Manes.09G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1845294:1850612:-1 gene:Manes.09G007900.v8.1 transcript:Manes.09G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKIKRFFDSVGSFFSGGDQIPWCDPDIILGCEREVIEAAKGDSEEFKSESIMRLSWALVHSKRPEDVQRGIAMVEASLANVGSPLQQREKVYLLAVGYYRSGEYSRSRQLVEQCLEVAPDWRQALVLKKTIEDRIAKDGVIGIGITATAVGLLAGGIAAALSRKK >Manes.09G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7270017:7280156:-1 gene:Manes.09G039500.v8.1 transcript:Manes.09G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSDIPIVGCELTPYVLLRRPDKTVTTDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEELFGRFNSSGSGVINTGLSGSASSASLTNGSAPLYPAAVAQRNGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDVETKSPIGHVNTMLTSRVIPAPSPTPRHLIPVSGVDMMGHLDSDGRISSSGTFTVLSYNILSDVYATSETYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYSGNTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEAVVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGADNLGKRQLLCVANTHVNVHQDLKDVKLWQVLTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHALLAMGKVDTLHPDLVVDPLGILRPHSKLTHQLPLVSAYSSFARLGVGLGLEQQRRRMDPTTNEPLFTNCTRDFIGSLDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRPRR >Manes.06G061300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19566782:19569771:1 gene:Manes.06G061300.v8.1 transcript:Manes.06G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESSHRYSSDGYLSFKTTNSSDSSMSSESCSSYSRLSFDIVTLRSSPENLSLKPHRASDLAYSAIRSATFRRKSGLTFRDFHLIRRIGSGDIGTVYLCRLAREHNKNYSKENDDEYEEEEEDERDQLCFYAMKVVDQEALAVKKKLHRADMEKKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHRQPQKRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENVLVRSDGHIMLSDFDLSLCSDAVPAVESPSFSPDSTSPSALPYTRSHSNKTFSCLLNRLFRSKKIQTLSPNRLFVAEPVAARSCSFVGTHEYVAPEVASGGSHGNAVDWWAMGIFIYELIYGRTPFAAPSNELTLRNIVEKPLSFPTHCAYSSLELHARDLISGLLNKDPSSRIGSKRGSADVKAHPFFKGLNFALIRTAIPPEIPGLRKQRTAKFYEEKPKSTAFDYF >Manes.02G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8134730:8141273:-1 gene:Manes.02G104100.v8.1 transcript:Manes.02G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTQLERGEEKVSLELTEEILQSMEIGMVYRDYNGRISSMDFHKTSSYLVTASDDESIRLYDVASATCLKTINSKKYGVDLVCFTSDPTTVIYSSKNGWDESLRLLSLNDNKYLRYFKGHHDRVVSLSLCSRKECFISGSLDRTVLLWDQRAEKCQGLLRVQGRPATAYDDQGLIFAISFGGYIRMFDSRKYEKGPFDIFSAGGDVSDANSVKFSNDGRLMLLTTMDGHIHVLDSFRGTLLSTYNVKPIPMNSTLEASFSPEGMFVVSGSGDGHVRAWSVRSGKEVASWMTYETEPHVIKWAPGSLMFATGSSELSFWIPDLSKLAAYVGRK >Manes.16G015400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1729278:1732366:1 gene:Manes.16G015400.v8.1 transcript:Manes.16G015400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVCPIIPFDLSTEFLVISLVVPFNLFIYINYTSSFTSKLPYIYRQRERREDRDIYIYRTLKVPTTRKKIKEKDKFSAMAEEFQTGICGGNWWNLSTSLFMGGGSSPCSTTGINAADMGNTYGSWMATDVMDLKPRSCKLDSNNIINCNSISEFLQENSQKPQQTDSDSVGSSILMDSSLQMMGFGLSSSSSSSTDWSQTLLRGNGRAESYNSILQEEMNSSSQIQKDWSPTVEDSSINGFKAINQDFSLEQQSVNPLSSSGNSTATTCEGLSIGFSMGSASYGYPSTLIQNLFDSDPQPQQSLFNNRTINCSSTSNYGTIFNEPSPTWPKLAPFLEPSLPKQQPPPPQPAGGLHFSNNTPFWNSSATAINDIRPSFVSSSQPQFLVPTFEEKRSCPNLNTKTNNEEVRDSGSVVKKGSEPAFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVLSTPYMKNGNPIQHQQGSENLNEPEGSKQDLKSRGLCLVPISSTFPVTNETTADFWTPTLGGTFR >Manes.16G015400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1729278:1732366:1 gene:Manes.16G015400.v8.1 transcript:Manes.16G015400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVCPIIPFDLSTEFLVISLVVPFNLFIYINYTSSFTSKLPYIYRQRERREDRDIYIYRTLKVPTTRKKIKEKDKFSAMAEEFQTGICGGNWWNLSTSLFMGGGSSPCSTTGINAADMGNTYGSWMATDVMDLKPRSCKLDSNNIINCNSISEFLQENSQKPQQTDSDSVGSSILMDSSLQMMGFGLSSSSSSSTDWSQTLLRGNGRAESYNSILQEEMNSSSQIQKDWSPTVEDSSINGFKAINQDFSLEQQSVNPLSSSGNSTATTCEGLSIGFSMGSASYGYPSTLIQNLFDSDPQPQQSLFNNRTINCSSTSNYGTIFNEPSPTWPKLAPFLEPSLPKQQPPPPQPAGGLHFSNNTPFWNSSATAINDIRPSFVSSSQPQFLVPTFEEKRSCPNLNTKTNNEEVRDSGSVVKKGSEPAFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSVLSTPYMKNGNPIQHQQGSENLNEPEGSKQDLKSRGLCLVPISSTFPVTNETTADFWTPTLGGTFR >Manes.15G077000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5800908:5805206:-1 gene:Manes.15G077000.v8.1 transcript:Manes.15G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENTNWLMEYGLIDDIPVPDANFSVPVTGFSWPVQNTNGPSNVGLEIDGPFGDSDAQKESSCKKRGRSELCSASSSKACREKLRRDRLNDKFLELGSILEPGRPPKTDKAAILIDAVRMVTQLRGEAQKLKDSNSSLQEKIKELKAEKNELRDEKQRLKAEKDKLEQQLKAVNAQPSFLPPPPAIPATFATQGQAPGNKLVPFISYPGVAMWQFMPPAAVDTSQDHVLRPPVA >Manes.05G179450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29617142:29626800:1 gene:Manes.05G179450.v8.1 transcript:Manes.05G179450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHLLLFLVLYAITKHFLDKIRNLPPSPFPTLPIIGHLHLLNKPLHRSLSIISNRYRPVLLLQFGCRRVLLVSSPSAVEECFTKNDIALANRPRLLAGKHLGYNFTSLAWAPYGDLWRNLRKLSSLEILSSYRLQLLSSIRSDEVKILLHRLFRNKDEMVDLKSAFFELMLNVMMRMIAGKRYYGENVEEVEAATRFREIVRETIQMTGTSNIGDFLPLLAKIGGTEKKLLDLQKKRDGFIQGLIEEHQNRMTTSPIEEKNKTLIEVLLTLQQSDPEYYTDQTIKSLILVLLAAGTDTTVATLEWAMSVLVNNPDILKKAQNEIDIVMGHDRLITESDTLKIPYLQCIISEVLRMYPAGPLAVHESSEECSIGGYRVPSGTMMLANIWSIHNDPLVWDEPQKFKPERFEGCEAVVSDGFRLMPFGSGRRRCPGEGLALRMVSLTLGSILQCFEWERIGEEMVDMTEGVGIIMSKAQPLLVKCRPRRSMVHLLSQV >Manes.05G179450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29617111:29626676:1 gene:Manes.05G179450.v8.1 transcript:Manes.05G179450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHLLLFLVLYAITKHFLDKIRNLPPSPFPTLPIIGHLHLLNKPLHRSLSIISNRYRPVLLLQFGCRRVLLVSSPSAVEECFTKNDIALANRPRLLAGKHLGYNFTSLAWAPYGDLWRNLRKLSSLEILSSYRLQLLSSIRSDEVKILLHRLFRNKDEMVDLKSAFFELMLNVMMRMIAGKRYYGENVEEVEAATRFREIVRETIQMTGTSNIGDFLPLLAKIGGTEKKLLDLQKKRDGFIQGLIEEHQNRMTTSPIEEKNKTLIEVLLTLQQSDPEYYTDQTIKSLILVLLAAGTDTTVATLEWAMSVLVNNPDILKKAQNEIDIVMGHDRLITESDTLKIPYLQCIISEVLRMYPAGPLAVHESSEECSIGGYRVPSGTMMLANIWSIHNDPLVWDEPQKFKPERFEGCEAVVSDGFRLMPFGSGRRRCPGEGLALRMVSLTLGSILQCFEWERIGEEMVDMTEGVGIIMSKAQPLLVKCRPRRSMVHLLSQV >Manes.01G163200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34761811:34765563:1 gene:Manes.01G163200.v8.1 transcript:Manes.01G163200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKVATTSFQCSQPIIPRSPSSSQTLASAISSPSSKSWCHSDGTGALALFCRYVHRLDRFALVGTSSTKLQRSRSFDHPKSRTHTIRRASSASLDAFSDEEFSKKIQELALRFQLSDDDDDYTSSKVDSGSELGSDSRDSHGVNRAESCNGVSEESLSLQKQRQFPLEPVEPPWPEIQQELLDWCGRDDTIPASIERKANSGDVPLSLRMIKKKMQWHEGFRDAGESAYCSVKKAFSSMVFIIRELHSYTLQMRELLFAEDLQAIIARVQKEMHASFVWLFQQVFSQTPTLMVYVMILLANFTVYSMGRNTAIAASPPIGSYAATTESVSVVQIQEQKNQKFDSSSVKKFSISSSGSKSTSIGGNNGGGGKVRPNASGTDGDGWFDRSDQFRTIVPDGASQLTSLGATGEAESISGQARREEELSAWNSIVDEASKMQGLDHKTMQSFVSPIKANIEPDDYADYFRTELLYQTGLTQDPNNPLLLANYAQFLYLVAHDYDRAEDYFKRAIGVEPADAEAYSKYASFLWRVRKDLWAAEETFLEAINADPTNSYYAANYAHFLWNTGAEDTCFPLSSQDNTREL >Manes.01G163200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34761781:34765563:1 gene:Manes.01G163200.v8.1 transcript:Manes.01G163200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKVATTSFQCSQPIIPRSPSSSQTLASAISSPSSKSWCHSDGTGALALFCRYVHRLDRFALVGTSSTKLQRSRSFDHPKSRTHTIRRASSASLDAFSDEEFSKKIQELALRFQLSDDDDDYTSSKVDSGSELGSDSRDSHGVNRAESCNGVSEESLSLQKQRQFPLEPVEPPWPEIQQELLDWCGRDDTIPASIERKANSGDVPLSLRMIKKKMQWHEGFRDAGESAYCSVKKAFSSMVFIIRELHSYTLQMRELLFAEDLQAIIARVQKEMHASFVWLFQQVFSQTPTLMVYVMILLANFTVYSMGRNTAIAASPPIGSYAATTESVSVVQIQEQKNQKFDSSSVKKFSISSSGSKSTSIGGNNGGGGKVRPNASGTDGDGWFDRSDQFRTIVPDGASQLTSLGATGEAESISGQARREEELSAWNSIVDEASKMQGLDHKTMQSFVSPIKANIEPDDYADYFRTELLYQTGLTQDPNNPLLLANYAQFLYLVAHDYDRAEDYFKRAIGVEPADAEAYSKYASFLWRVRKDLWAAEETFLEAINADPTNSYYAANYAHFLWNTGAEDTCFPLSSQDNTREL >Manes.01G163200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34761811:34765563:1 gene:Manes.01G163200.v8.1 transcript:Manes.01G163200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKVATTSFQCSQPIIPRSPSSSQTLASAISSPSSKSWCHSDGTGALALFCRYVHRLDRFALVGTSSTKLQRSRSFDHPKSRTHTIRRASSASLDAFSDEEFSKKIQELALRFQLSDDDDDYTSSKVDSGSELGSDSRDSHGVNRAESCNGVSEESLSLQKQRQFPLEPVEPPWPEIQQELLDWCGRDDTIPASIERKANSGDVPLSLRMIKKKMQWHEGFRDAGESAYCSVKKAFSSMVFIIRELHSYTLQMRELLFAEDLQAIIARVQKEMHASFVWLFQQVFSQTPTLMVYVMILLANFTVYSMGRNTAIAASPPIGSYAATTESVSVVQIQEQKNQKFDSSSVKKFSISSSGSKSTSIGGNNGGGGKVRPNASGTDGDGWFDRSDQFRTIVPDGASQLTSLGATGEAESISGQARREEELSAWNSIVDEASKMQGLDHKTMQSFVSPIKANIEPDDYADYFRTELLYQTGLTQDPNNPLLLANYAQFLYLVAHDYDRAEDYFKRAIGVEPADAEAYSKYASFLWRVRKDLWAAEETFLEAINADPTNSYYAANYAHFLWNTGAEDTCFPLSSQDNTREL >Manes.01G163200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34761596:34765563:1 gene:Manes.01G163200.v8.1 transcript:Manes.01G163200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKVATTSFQCSQPIIPRSPSSSQTLASAISSPSSKSWCHSDGTGALALFCRYVHRLDRFALVGTSSTKLQRSRSFDHPKSRTHTIRRASSASLDAFSDEEFSKKIQELALRFQLSDDDDDYTSSKVDSGSELGSDSRDSHGVNRAESCNGVSEESLSLQKQRQFPLEPVEPPWPEIQQELLDWCGRDDTIPASIERKANSGDVPLSLRMIKKKMQWHEGFRDAGESAYCSVKKAFSSMVFIIRELHSYTLQMRELLFAEDLQAIIARVQKEMHASFVWLFQQVFSQTPTLMVYVMILLANFTVYSMGRNTAIAASPPIGSYAATTESVSVVQIQEQKNQKFDSSSVKKFSISSSGSKSTSIGGNNGGGGKVRPNASGTDGDGWFDRSDQFRTIVPDGASQLTSLGATGEAESISGQARREEELSAWNSIVDEASKMQGLDHKTMQSFVSPIKANIEPDDYADYFRTELLYQTGLTQDPNNPLLLANYAQFLYLVAHDYDRAEDYFKRAIGVEPADAEAYSKYASFLWRVRKDLWAAEETFLEAINADPTNSYYAANYAHFLWNTGAEDTCFPLSSQDNTREL >Manes.15G040600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3145949:3149952:-1 gene:Manes.15G040600.v8.1 transcript:Manes.15G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGANASSGMGVAEHSKATFLELQRKKVHRYVIFKIDEKKKEVVVEKTGGPAESYDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPAVSRIRAKMLYATSKDRFRRELEGIHYAIQATDPTEMDLEVLRDRAH >Manes.01G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31499342:31503616:1 gene:Manes.01G118500.v8.1 transcript:Manes.01G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDMSLDDIIKRNRERGRGRGRPRRGRGPGGPPNGGRMAGAIRKGPLSVNARPSQYTIAKPPRRIRSLPWQYDLLEDSIRAAGITGAEGGAKLYVSNLDYGVSNEDIRELFSEIGGLKRYAVHYDKNGRPSGSAEVVYTRRSDAFAAFKKYNNVLLDGKPMKIEIMGTSAEMPFSARVNVTGINGRRKRTVVMTPGPGRTRGTAPPTNHGSSQNRRGALRSGRGRGRGSGRGRGRGRKQPFLKSADELDKELENYHADATQT >Manes.01G118500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31499342:31503616:1 gene:Manes.01G118500.v8.1 transcript:Manes.01G118500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNGDLVIVHKLTCSICFHQPPRRIRSLPWQYDLLEDSIRAAGITGAEGGAKLYVSNLDYGVSNEDIRELFSEIGGLKRYAVHYDKNGRPSGSAEVVYTRRSDAFAAFKKYNNVLLDGKPMKIEIMGTSAEMPFSARVNVTGINGRRKRTVVMTPGPGRTRGTAPPTNHGSSQNRRGALRSGRGRGRGSGRGRGRGRKQPFLKSADELDKELENYHADATQT >Manes.05G088275.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8018505:8018979:-1 gene:Manes.05G088275.v8.1 transcript:Manes.05G088275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPISSSCGLRAWDSPVQTQMAVAIFNSPLGGEYHENRRMEGKQPAGRRRVFVQTKTGCVLRTEVDRGDNAHTVKRRLQIALKVPTEESCLTFGDMVLSNDLSPVHKDSPLLLTRNIGGPTF >Manes.11G089878.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16811864:16813371:-1 gene:Manes.11G089878.v8.1 transcript:Manes.11G089878.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHLQYPSNSDFLHPNENPTLVLVSPALTESNYHSWARAMKIALASKNKLRFIDGMLPTPAKTDPIFTECDIIHILDMQEEIFSFKEAIDAIQTYIKNDHMIRFLKGLNEQYAHVKSQIMMIEHLSFINKVFLLVVQQERQMISGINIESKAFFNKSARFEANGSNIYNLIGRVNIPNETCYKKYGYPPSFKFKNSNGPNDAEPTINS >Manes.13G006800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1135781:1138077:1 gene:Manes.13G006800.v8.1 transcript:Manes.13G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSTHHPLAFAFGILGNIISIMVYLAPMPTFFRIYRKKSTEGFQSLPYLVALFSSMLWLDYAMLKKDAFLLININAFGCFIETVYIVLYIIYASKENRISTFRLLLSMNMGLFSFIILFTHFVMKDSVRVQVLGWICVAVSVSVFAAPLSIVAQVIKTRSVEFMPFNLSFFLTISAIMWFAYGLSTKDLCVALPNVLGFILGLLQMLLYAIYRKANKVIMEEKLQENLKTIVILSSEVHPVDVQPQSNEDHAQKVIIINDDEKNNERNLGTSNEHLQPNENAV >Manes.02G215445.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36124251:36138791:-1 gene:Manes.02G215445.v8.1 transcript:Manes.02G215445.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKLVVFPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDVKQRVNHTVEVAGRNPLEQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGVREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDADVNLIGVYGMGGVGKTTLVRHIATLVRELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFKLSAESIAVRAARLSERIKKEEKILIILDDIWAAVKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEGIRDLLKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLGYCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLQTLCLDGCDLEDIAAIGELKQLQVLSLLESTIIQLPNEVRKLTCLRLLDLSRCQRLKVISPNVLSALAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELMHLHVQNSLDIQYIIDSMKMNHFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLQSLTLENLPQFTSFCSQVKVHSTFQRARNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMNLVGINVEMIWPCQHKALSPNIENLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEIRDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCMAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLEDSFGRLKVLKVVNGKQLLEIFPSKLLEKFLVNLESLTVRHCDSVKEVFDLQEIVKEREAHVVRYSQLRTLDIRNLPNLIQIWNRDPHGILSFHNLRVVYAWDCPNLKKLFPFSVAQCLPDLQSLRIIRCGMEKIVTKEERVEALAIISKFAFRGLRTMVLWGLDELKYFYSRKHTLECPQLKHLYVYVGAKLQTFNFESQEIQEMLMDKQEDELKLQISQPLFSFREIIGNLEGLTINDQDAAMIQQSQFPMHLFVKLKFLHLQSFGYSFLNLPLNLLQKFPNLEILVLKDCYFKELLQHGHGHDPVPSQIRCLELNWLPNIRHVWNQDSPFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLIVRRCNGMSSLVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTKERDKWRWVGDLNSTIKQLYADKVGFNGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLRKLKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTRTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPHLNSIILESLPRLINFSSGGGTVHCPSLKEIAVVDCPTTFTCSFFWEADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWQAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKIMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSTLFDEKVAFPDLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCKELLKIFPSMLLRRFQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLDIRNLPNLKHVWNKDPMGLVLFDNLSSVVVLDCPNLKAIFPATIAKNLLQLETLYVESCGGVEEIVARDQGTEATIEFLFPCLKFLILGELNELKCFYSGIHTLESPLLKRLIVYHCEKLNIFCPESENLLETDKESQAMIQDPQPLFSFRKVVSNLENLTLTRKDAAMILEGQFPADLFHKLTNIGIRCFHDESAVFPFDLLQRFQPMEILQVGCSQFKKLFPCDGSIDGKKYAEVLRLVRVLMLSNLPNLTDIGNQDSQLDQILQSLELLHVHRCNSMVALAPSFTFQNLITLNVLKCNGLLSLVTSSTAKSLVRLTTMSIEECDGLKEIVANDGDKIELKEDIIFSKLKTLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMVCMC >Manes.02G215445.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36124251:36139752:-1 gene:Manes.02G215445.v8.1 transcript:Manes.02G215445.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKLVVFPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDVKQRVNHTVEVAGRNPLEQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGVREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDADVNLIGVYGMGGVGKTTLVRHIATLVRELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFKLSAESIAVRAARLSERIKKEEKILIILDDIWAAVKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEGIRDLLKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLGYCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLQTLCLDGCDLEDIAAIGELKQLQVLSLLESTIIQLPNEVRKLTCLRLLDLSRCQRLKVISPNVLSALAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELMHLHVQNSLDIQYIIDSMKMNHFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLQSLTLENLPQFTSFCSQVKVHSTFQRARNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMNLVGINVEMIWPCQHKALSPNIENLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEIRDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCMAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLEDSFGRLKVLKVVNGKQLLEIFPSKLLEKFLVNLESLTVRHCDSVKEVFDLQEIVKEREAHVVRYSQLRTLDIRNLPNLIQIWNRDPHGILSFHNLRVVYAWDCPNLKKLFPFSVAQCLPDLQSLRIIRCGMEKIVTKEERVEALAIISKFAFRGLRTMVLWGLDELKYFYSRKHTLECPQLKHLYVYVGAKLQTFNFESQEIQEMLMDKQEDELKLQISQPLFSFREIIGNLEGLTINDQDAAMIQQSQFPMHLFVKLKFLHLQSFGYSFLNLPLNLLQKFPNLEILVLKDCYFKELLQHGHGHDPVPSQIRCLELNWLPNIRHVWNQDSPFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLIVRRCNGMSSLVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTKERDKWRWVGDLNSTIKQLYADKVGFNGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLRKLKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTRTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPHLNSIILESLPRLINFSSGGGTVHCPSLKEIAVVDCPTTFTCSFFWEADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWQAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKIMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSTLFDEKVAFPDLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCKELLKIFPSMLLRRFQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLDIRNLPNLKHVWNKDPMGLVLFDNLSSVVVLDCPNLKAIFPATIAKNLLQLETLYVESCGGVEEIVARDQGTEATIEFLFPCLKFLILGELNELKCFYSGIHTLESPLLKRLIVYHCEKLNIFCPESENLLETDKESQAMIQDPQPLFSFRKVVSNLENLTLTRKDAAMILEGQFPADLFHKLTNIGIRCFHDESAVFPFDLLQRFQPMEILQVGCSQFKKLFPCDGSIDGKKYAEVLRLVRVLMLSNLPNLTDIGNQDSQLDQILQSLELLHVHRCNSMVALAPSFTFQNLITLNVLKCNGLLSLVTSSTAKSLVRLTTMSIEECDGLKEIVANDGDKIELKEDIIFSKLKTLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMNAREY >Manes.02G215445.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36076065:36138791:-1 gene:Manes.02G215445.v8.1 transcript:Manes.02G215445.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKLVVFPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDVKQRVNHTVEVAGRNPLEQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGVREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDADVNLIGVYGMGGVGKTTLVRHIATLVRELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFKLSAESIAVRAARLSERIKKEEKILIILDDIWAAVKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEGIRDLLKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLGYCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLQTLCLDGCDLEDIAAIGELKQLQVLSLLESTIIQLPNEVRKLTCLRLLDLSRCQRLKVISPNVLSALAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELMHLHVQNSLDIQYIIDSMKMNHFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLQSLTLENLPQFTSFCSQVKVHSTFQRARNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMNLVGINVEMIWPCQHKALSPNIENLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEIRDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCMAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLEDSFGRLKVLKVVNGKQLLEIFPSKLLEKFLVNLESLTVRHCDSVKEVFDLQEIVKEREAHVVRYSQLRTLDIRNLPNLIQIWNRDPHGILSFHNLRVVYAWDCPNLKKLFPFSVAQCLPDLQSLRIIRCGMEKIVTKEERVEALAIISKFAFRGLRTMVLWGLDELKYFYSRKHTLECPQLKHLYVYVGAKLQTFNFESQEIQEMLMDKQEDELKLQISQPLFSFREIIGNLEGLTINDQDAAMIQQSQFPMHLFVKLKFLHLQSFGYSFLNLPLNLLQKFPNLEILVLKDCYFKELLQHGHGHDPVPSQIRCLELNWLPNIRHVWNQDSPFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLIVRRCNGMSSLVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTKERDKWRWVGDLNSTIKQLYADKVGFNGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLRKLKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTRTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPHLNSIILESLPRLINFSSGGGTVHCPSLKEIAVVDCPTTFTCSFFWEADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWQAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKIMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSTLFDEKVAFPDLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCKELLKIFPSMLLRRFQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLDIRNLPNLKHVWNKDPMGLVLFDNLSSVVVLDCPNLKAIFPATIAKNLLQLETLYVESCGGVEEIVARDQGTEATIEFLFPCLKFLILGELNELKCFYSGIHTLESPLLKRLIVYHCEKLNIFCPESENLLETDKESQAMIQDPQPLFSFRKVVSNLENLTLTRKDAAMILEGQFPADLFHKLTNIGIRCFHDESAVFPFDLLQRFQPMEILQVGCSQFKKLFPCDGSIDGKKYAEVLRLVRVLMLSNLPNLTDIGNQDSQLDQILQSLELLHVHRCNSMVALAPSFTFQNLITLNVLKCNGLLSLVTSSTAKSLVRLTTMSIEECDGLKEIVANDGDKIELKEDIIFSKLKTLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMNTREY >Manes.02G215445.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36124251:36139752:-1 gene:Manes.02G215445.v8.1 transcript:Manes.02G215445.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKLVVFPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDVKQRVNHTVEVAGRNPLEQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGVREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDADVNLIGVYGMGGVGKTTLVRHIATLVRELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFKLSAESIAVRAARLSERIKKEEKILIILDDIWAAVKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEGIRDLLKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLGYCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLQTLCLDGCDLEDIAAIGELKQLQVLSLLESTIIQLPNEVRKLTCLRLLDLSRCQRLKVISPNVLSALAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELMHLHVQNSLDIQYIIDSMKMNHFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLQSLTLENLPQFTSFCSQVKVHSTFQRARNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMNLVGINVEMIWPCQHKALSPNIENLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEIRDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCMAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLEDSFGRLKVLKVVNGKQLLEIFPSKLLEKFLVNLESLTVRHCDSVKEVFDLQEIVKEREAHVVRYSQLRTLDIRNLPNLIQIWNRDPHGILSFHNLRVVYAWDCPNLKKLFPFSVAQCLPDLQSLRIIRCGMEKIVTKEERVEALAIISKFAFRGLRTMVLWGLDELKYFYSRKHTLECPQLKHLYVYVGAKLQTFNFESQEIQEMLMDKQEDELKLQISQPLFSFREVFFPNLKDLKLSSIDVEMMWQAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKIMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSTLFDEKVAFPDLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCKELLKIFPSMLLRRFQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLDIRNLPNLKHVWNKDPMGLVLFDNLSSVVVLDCPNLKAIFPATIAKNLLQLETLYVESCGGVEEIVARDQGTEATIEFLFPCLKFLILGELNELKCFYSGIHTLESPLLKRLIVYHCEKLNIFCPESENLLETDKESQAMIQDPQPLFSFRKVVSNLENLTLTRKDAAMILEGQFPADLFHKLTNIGIRCFHDESAVFPFDLLQRFQPMEILQVGCSQFKKLFPCDGSIDGKKYAEVLRLVRVLMLSNLPNLTDIGNQDSQLDQILQSLELLHVHRCNSMVALAPSFTFQNLITLNVLKCNGLLSLVTSSTAKSLVRLTTMSIEECDGLKEIVANDGDKIELKEDIIFSKLKTLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMNAREY >Manes.02G215445.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36124251:36138791:-1 gene:Manes.02G215445.v8.1 transcript:Manes.02G215445.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKLVVFPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDVKQRVNHTVEVAGRNPLEQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGVREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDADVNLIGVYGMGGVGKTTLVRHIATLVRELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFKLSAESIAVRAARLSERIKKEEKILIILDDIWAAVKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEGIRDLLKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLGYCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLQTLCLDGCDLEDIAAIGELKQLQVLSLLESTIIQLPNEVRKLTCLRLLDLSRCQRLKVISPNVLSALAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELMHLHVQNSLDIQYIIDSMKMNHFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLQSLTLENLPQFTSFCSQVKVHSTFQRARNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMNLVGINVEMIWPCQHKALSPNIENLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEIRDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCMAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLEDSFGRLKVLKVVNGKQLLEIFPSKLLEKFLVNLESLTVRHCDSVKEVFDLQEIVKEREAHVVRYSQLRTLDIRNLPNLIQIWNRDPHGILSFHNLRVVYAWDCPNLKKLFPFSVAQCLPDLQSLRIIRCGMEKIVTKEERVEALAIISKFAFRGLRTMVLWGLDELKYFYSRKHTLECPQLKHLYVYVGAKLQTFNFESQEIQEMLMDKQEDELKLQISQPLFSFREIIGNLEGLTINDQDAAMIQQSQFPMHLFVKLKFLHLQSFGYSFLNLPLNLLQKFPNLEILVLKDCYFKELLQHGHGHDPVPSQIRCLELNWLPNIRHVWNQDSPFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLIVRRCNGMSSLVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTKERDKWRWVGDLNSTIKQLYADKVGFNGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLRKLKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTRTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPHLNSIILESLPRLINFSSGGGTVHCPSLKEIAVVDCPTTFTCSFFWEADAAIDKIVERKVFFPNLKDLKLSSIDVEMMWQAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKIMEQVILREGLDEEIMLLHQLESLKLKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSTLFDEKVAFPDLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCKELLKIFPSMLLRRFQNLEDLIIGNCDSLEEVFDLQEIIKLKETVTIQLRTLDIRNLPNLKHVWNKDPMGLVLFDNLSSVVVLDCPNLKAIFPATIAKNLLQLETLYVESCGGVEEIVARDQGTEATIEFLFPCLKFLILGELNELKCFYSGIHTLESPLLKRLIVYHCEKLNIFCPESENLLETDKESQAMIQDPQPLFSFRKVVSNLENLTLTRKDAAMILEGQFPADLFHKLTNIGIRCFHDESAVFPFDLLQRFQPMEILQVGCSQFKKLFPCDGSIDGKKYAEVLRLVRVLMLSNLPNLTDIGNQDSQLDQILQSLELLHVHRCNSMVALAPSFTFQNLITLNVLKCNGLLSLVTSSTAKSLVRLTTMSIEECDGLKEIVANDGDKIELKEDIIFSKLKTLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNAAIQQLFAEMNAREY >Manes.02G215445.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36124251:36138791:-1 gene:Manes.02G215445.v8.1 transcript:Manes.02G215445.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAKLVVFPIVSKVFELLVNPVVRQIKYVFNYSANIHNLEEEVQKLSDVKQRVNHTVEVAGRNPLEQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGVREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDEILNALKDADVNLIGVYGMGGVGKTTLVRHIATLVRELGIFKLVVIATVTHSVVLTSVQQEIAEWLDFKLSAESIAVRAARLSERIKKEEKILIILDDIWAAVKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLKDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLTANEGIRDLLKYVVGFGLFNQLITLKATRNRLLTVISDLKLSCLLLEDEDHERVKMHDVVHSFAASFVSKHDQVLTAAYEAELEEWPNEDFFKQCTSISLGYCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSRMKELKVLDLTRIYLSPLPSSLQSLENLQTLCLDGCDLEDIAAIGELKQLQVLSLLESTIIQLPNEVRKLTCLRLLDLSRCQRLKVISPNVLSALAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVFIGDGWDWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELMHLHVQNSLDIQYIIDSMKMNHFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLQSLTLENLPQFTSFCSQVKVHSTFQRARNQEIATTASNEIVCEADAEVLVALFNDKIRFPNLADMNLVGINVEMIWPCQHKALSPNIENLTTLIVDGCGNLNFLFTSSIVGSLAQLKVLEIRDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCMAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLEDSFGRLKVLKVVNGKQLLEIFPSKLLEKFLVNLESLTVRHCDSVKEVFDLQEIVKEREAHVVRYSQLRTLDIRNLPNLIQIWNRDPHGILSFHNLRVVYAWDCPNLKKLFPFSVAQCLPDLQSLRIIRCGMEKIVTKEERVEALAIISKFAFRGLRTMVLWGLDELKYFYSRKHTLECPQLKHLYVYVGAKLQTFNFESQEIQEMLMDKQEDELKLQISQPLFSFREIIGNLEGLTINDQDAAMIQQSQFPMHLFVKLKFLHLQSFGYSFLNLPLNLLQKFPNLEILVLKDCYFKELLQHGHGHDPVPSQIRCLELNWLPNIRHVWNQDSPFFQNLETLQIWDCHGLTNLAPSSATFQNLTTLIVRRCNGMSSLVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSARCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNLTKERDKWRWVGDLNSTIKQLYADKVGFNGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLRKLKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTRTICCGLEQLQVLEVKSCAMVEEIITEESMDEIRFPHLNSIILESLPRLINFSSGGGTVHCPSLKEIAVVDCPTTFTCSFFWEADAAIDKIVERKVGSLFLIVKNFTLSML >Manes.15G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11173749:11176606:-1 gene:Manes.15G138200.v8.1 transcript:Manes.15G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMVSSLMLSALLGFSIINLCAAELQRFQNAAKGDGSLSLLVVGDWGRKGAYNQSHVALQMGIIGEKSDIDFVISTGDNFYENGLTGVDDPAFYESFTKIYTARSLQKQWYSVLGNHDYRGDVEAQLSPALRQIDSKWLCLRSFIVDTEIADFFFVDTTPFVDKYFKDKDHEYDWSGIVPRKSYLSNLLKDLDKSLKESKAKWKIVVGHHTIKSAGNHGNTQELNKQLLPILVENNVDLYINGHDHCLEHISSSESELQFLTSGGGSRAWIGDIDWWNPKEMKFYYDGQGFMSVEITETQVDVMFYDVSGHILHKWSRNKQPYSIV >Manes.06G154700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28167340:28170564:-1 gene:Manes.06G154700.v8.1 transcript:Manes.06G154700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQPLSIESFSYSWLVNLKPSLESLDNSLRASLDASDDASFIEMDPKMPPSKRFFRNSQDFKFDFPISQSPLTVVHADELFSNGYVMPLFVDPLKIENCEVSDSSSDFPASSHAPEVAVSAMKARCPSLRRCRTLSKRIFHKYFDFLRPLYRRIRGHRSSSRAENDKKVQVAKSWLYSSETSPRISVAYSVDDWRRSCDSESSIYEAVLHCKRSIGKKIN >Manes.12G122700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32928497:32933814:1 gene:Manes.12G122700.v8.1 transcript:Manes.12G122700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLVWSRWLEIVLCCFFFFIASYAKTPVRKNPRTTNNSSALGVQLRVTNHQRVIIDNGLVRVTFSSPGGDVVGIKYNGIDNVLEIINEDDNRGYWDVVWNRPGESNIYDKLQATDFNVIMENEDQVEISFSKKWSPSMGKSTVPLNIDKRYIIRRGNSGLYLYTILERLEGWPDVDMDQIRVVFKLQKEKFHFMAVSDDRQRVMPMPEDRLTGLPLAYPEAVLLTDPINPDLRGEVDDKYQYSSKVKDNAVHGWISENPPVGFWMITPSNEFRVGGPFKQELTSHVGPTVLNMFTSTHYAGKDLNTAYRNGEPWKKVLGPVYVYLNSISASEDPEALWENAKEQMSTEVRSWPYSFPQSEDFPTSYQRGNVLGQLIVRDRYMNERLMYANSAYVGLATPGDVGSWQMESKGYQFWTQADRKGIFSINNVRAGNYSLYAWVPGIIGDYKYNFNIIIEPGSNIKLGVLIYDPPRNGPTLWEIGIPDRTASEFYIPDTYPTLMNKLYTNHPTNKFRQYGLWERYADIYPKSDLIYNVGVNNYDQDWFFAHVTREKGNKTYEPTTWQIIFELKSVNQSGNYTLQVALASATMSELQVRVNTANSNRPLFTTGLIGRDNAIARHGIHGLYWLYSIQVPSSQLFQGNNIIYLTQSRSNGPFYGIMYDYIRLEAPEET >Manes.12G122700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32929049:32933814:1 gene:Manes.12G122700.v8.1 transcript:Manes.12G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLVWSRWLEIVLCCFFFFIASYAKTPVRKNPRTTNNSSALGVQLRVTNHQRVIIDNGLVRVTFSSPGGDVVGIKYNGIDNVLEIINEDDNRGYWDVVWNRPGESNIYDKLQATDFNVIMENEDQVEISFSKKWSPSMGKSTVPLNIDKRYIIRRGNSGLYLYTILERLEGWPDVDMDQIRVVFKLQKEKFHFMAVSDDRQRVMPMPEDRLTGLPLAYPEAVLLTDPINPDLRGEVDDKYQYSSKVKDNAVHGWISENPPVGFWMITPSNEFRVGGPFKQELTSHVGPTVLNMFTSTHYAGKDLNTAYRNGEPWKKVLGPVYVYLNSISASEDPEALWENAKEQMSTEVRSWPYSFPQSEDFPTSYQRGNVLGQLIVRDRYMNERLMYANSAYVGLATPGDVGSWQMESKGYQFWTQADRKGIFSINNVRAGNYSLYAWVPGIIGDYKYNFNIIIEPGSNIKLGVLIYDPPRNGPTLWEIGIPDRTASEFYIPDTYPTLMNKLYTNHPTNKFRQYGLWERYADIYPKSDLIYNVGVNNYDQDWFFAHVTREKGNKTYEPTTWQIIFELKSVNQSGNYTLQVALASATMSELQVRVNTANSNRPLFTTGLIGRDNAIARHGIHGLYWLYSIQVPSSQLFQGNNIIYLTQSRSNGPFYGIMYDYIRLEAPEET >Manes.17G056250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25414808:25415245:-1 gene:Manes.17G056250.v8.1 transcript:Manes.17G056250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIARTSLSSERFFPQRQLSLNIAFMTARNYFQQHQCIPNSLHAIFAFIMQVLNFLELMYQGKDCSPFDTHPVAMWSSLTCLLAYCLAYGVEISFVDILVLHFTSLHFVGACWSLVHCLATFSLYFLPRLCSADPILYSLAYFQ >Manes.16G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20990351:20999767:-1 gene:Manes.16G054700.v8.1 transcript:Manes.16G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSAVPSITRPLANFHPNIWGDHFLSYASHQSKKIDNDVEERVEKLKQEVQRMMLVASADGPSHVLNLVDLIQRLGVSYHFENEITEALQQIFMDDSGTYDDDLHNVALRFRLLRQQGFNVSCDVFNKFRDIEGKFNEKLKDDVEGMLSLYEAAYLRVHGEDILEEALAFTTTHLQSIASSDSHLSSRHVALVKRALKHPLRKSLSRLEARHYISIYEEDVSHDKTLLMFAKMDFNILQKLHQQELRRISEWWKNLNFTTKLPFARDRVVEGYFWVLGVYYEPQFSFARWFLTKVISIVTVIDDIYDAYGTLEELEVFTHAIERWDIKYINQLPQYMKLVYKTLLNIYEEIERELTKQGRSILFHYAKKEMKRLVQVYLVEAKWFNKNYTPTVDEYMSNALLSCGYPLLAITAFVGMVDIATTEALDWASNDPKILRAASMICRLMDDIVSHEFEQKRGHVASAIECYMKQNGVSEQEARIELNKQIVDAWKDINEEWLRPSNVPMPLFTRVINLARVIDYFYKDGDEYTHVGEFMKSSIKSILIDYVKIP >Manes.03G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2264435:2270046:1 gene:Manes.03G027800.v8.1 transcript:Manes.03G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKPLQQLKLSVPAQETPITSFLTASGTFHDGDLLLNQKGLRLISEQKKSRPSDSKELDFEFSLEDLETIKVIGKGSGGVVQLVRHKWVGRLFALKVIQMNIQEDIRKQIVQELKINQASQCSHVVVCHHSFYHNGAISLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVLQGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGLVVLECAIGRFPYMQSEDQQNWPSFYELLEAIVESPPPSAPPDQFSPEFCSFVSSCIQKNPRERASSLDLLNHPFIKKFEDKDIDLGILVGSLEPPVNYPR >Manes.06G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13904025:13912175:-1 gene:Manes.06G044200.v8.1 transcript:Manes.06G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVVEEVECNKGEKASVNGAKGIGNGGVASEESLRNDVYTAAAYGDLEKLQRLVESEGCSVSQPDSLGYYALQWAALNNRTAAAQYIIEHGGDVNAVDQTGQTALHWSAVRGAIQVAELLLQEGAQVSAADMYGYQTTHVAAQYGQTAFLYHVVSKWNADPDIPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLDKRFDVNSRLGRLSKLGLAPVLWSIILILLVTYIHSVIMDSNLPRLTAGFGLFAWLGVFLATAGLVMFYRCSRKDPGFIRMNVHDPQSMKDDEPLLRIEINDPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFILFLVLEVSAMLITGAVALTRVLTDPAAPSTFGAWINHAGTHHIGAIAFLIVDFFLFFGVAVLTVVQASQISRNITTNEMANAMRYSYLRGPGGRFRNPYDHGIRKNCSDFLLLGYNEDVEYIEDSADSEGIGMMHMARNSNSQKGDAHSHHVNGNGHVAINVNSDTKAHQGHHHSSHCCHNNHNHNHNHAKSKTDSIPLGLGLGLGRNTVRTVAAS >Manes.06G178900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30333015:30335615:1 gene:Manes.06G178900.v8.1 transcript:Manes.06G178900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGTRWALLFFIIIPLLSFMVGSEKIIIRGASKVTEFIHGDGDGDGKRWAVLVAGSRGYDNYRHQADVCHAYQILKKGGLKDENIVVFMYDDIAFDVNNPRPGILINKPNGNDVYNGVPKDYTGDNCTVDNLFAVILGNKTALTGGSGKVVDSAPNDHIFIYYSDHGSAGVVGMPFGDDLYANDLIDVLKKKHHSKTYKSMVIYVEACESGSMFEGLLPSNLSIYAVTASNAVENSWGTYCPGHYPYPPPDYDTCLGDLFSISWMEDRFYIYISIICSSSSNLFNIFFLFFFNFQLLYSDLHDLRKETLKKQYQVVRRRTAVDNFDRSHVMQYGDKKLSQNPVFVYMGTNPDNQNFTLTPQYYTSSSFSWSVVNQRDASLLHFWLKYHKAPRGSTEKAEAGKKLRDIISHTRHVDRSINQITKILFGDKKASQILTLIRPSSQPLVDDWSCLKMLVKAYEKRCGQLSWYGKKYTRAIANMCNAGVRVEQMIEASTQACMLYG >Manes.06G178900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30333015:30335614:1 gene:Manes.06G178900.v8.1 transcript:Manes.06G178900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGTRWALLFFIIIPLLSFMVGSEKIIIRGASKVTEFIHGDGDGDGKRWAVLVAGSRGYDNYRHQADVCHAYQILKKGGLKDENIVVFMYDDIAFDVNNPRPGILINKPNGNDVYNGVPKDYTGDNCTVDNLFAVILGNKTALTGGSGKVVDSAPNDHIFIYYSDHGSAGVVGMPFGDDLYANDLIDVLKKKHHSKTYKSMVIYVEACESGSMFEGLLPSNLSIYAVTASNAVENSWGTYCPGHYPYPPPDYDTCLGDLFSISWMEDSDLHDLRKETLKKQYQVVRRRTAVDNFDRSHVMQYGDKKLSQNPVFVYMGTNPDNQNFTLTPQYYTSSSFSWSVVNQRDASLLHFWLKYHKAPRGSTEKAEAGKKLRDIISHTRHVDRSINQITKILFGDKKASQILTLIRPSSQPLVDDWSCLKMLVKAYEKRCGQLSWYGKKYTRAIANMCNAGVRVEQMIEASTQACMLYG >Manes.02G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7132869:7137591:1 gene:Manes.02G091200.v8.1 transcript:Manes.02G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSYISVTSATTPISQNSSPTPPPQIPIPRPTKLILPKKKPLEGSTGTGAAPVEYAGPPTTSGLRKYWGGEEEDPLTSDEFIWNKDFMGRMAKLVQDPDVSSLEPTPVKEESSGYLSLNRVMSLDNLEVDLSKELTHIPKPMSGLPVEAAIKGRIGNSKKWRLVPTRREQEKWDKATKAATGGSDVILRETKRPEGDPVLLAAQSREQYFKLKKMLQILTLGIGGVGLVSAYISYSPEIAASFGAGLIGSLVYIHMLGSSVDSMADGAKGLIKGAVSQPRLLVPVILVMIYNRWNEILVPDYGLMHLELIPMLVGFFTYKIATLVQAMGDAFNVTVEKTSLEEF >Manes.05G079300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6489376:6491786:1 gene:Manes.05G079300.v8.1 transcript:Manes.05G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGDGFALVVADTSAVHSILVHKSNEDKIMVLDSHKLLGASGESGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANLTRGELATALRKNPYFVNILMAGYDKETGPSLYYIDYIATLHKIDKGAFGYGSYFSLSMMDRHYHSGMTVEAAIDLVDKCIVEIRSRLVVAPPNFVIKIVDKDGAREYAWRESVKDTGGAPKA >Manes.06G051600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18188789:18189133:-1 gene:Manes.06G051600.v8.1 transcript:Manes.06G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGFFSVLILLSFLFCLANVNEAAVPCSTVDAKAAACVGFATGKAPTPTPACCSGLQQLAQTVKTVDDKKAICRCLKAASGSLGIKDQYLNKIPGACHINVGFPVSTSVNCET >Manes.01G056100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23907139:23916608:-1 gene:Manes.01G056100.v8.1 transcript:Manes.01G056100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADSGCLMENGGSCLPCTPDEEKKIVKDLMDEAELDLKEGNFYYIVSTRWFARWERYVGLSSDDHLVDTQSSDSQDLAAVPTSIPDRPGPIDNFDIVENGNNGEGDDLELARTLLEGRDYVLVPQKVWEKLFQWYKGGPALPRKMISQGVFNKKQFSVEVYPLRLKLIDSRDDTEFTIRLSRKASLHELYERVCTLRGTEREKAILWDYFNKHKQLRLVDSNRTLEESNLQMDQEILLELQVHESYPSQFGKDSTGNELALVALEPSRTSTSIAGGPTLSNGHSTCSLNLRPGSVLNSGVTDTDDGFGASSGVRRVERGGLAGLQNMGNTCFMNSALQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGRTTIAPRAFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWCGRPDKEVADECWRNHKARNDSIIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTVSVLKNGHCRDLTQALSTACCLRSDENLLLAEVYDHRIYRLFENPYESLTSIKDEEYIVAYRLSQKETGKRKLEIVHQEKSTPDFLKGSGWKDFGAPLLAQLEDDSPSAADIELAASRLLSRLKRTSSSNVVHSDKENGFLSEVNGEPSNSCNGRFESMDQLMDNIESEPEDTSSQALSFHLLLTDDRYSSRKPIFKDSVIKSGANRIKVFLDWTEREHKLYDSSYIKDLPMVYHKTGFSAKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPGCKEHRQATKKLDLWTLPEILVFHLKRFSYSRYLKNKLDTFVDFPIHNLDLSKFVKQKDGRSYVYELYAISNHYGGLGGGHYTAFAKLIDDNRWYNFDDSRVSPVNEADIKTSAAYVLFYRRVEPERKVGLGETSQGHTGS >Manes.01G056100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23907139:23916608:-1 gene:Manes.01G056100.v8.1 transcript:Manes.01G056100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADSGCLMENGGSCLPCTPDEEKKIVKDLMDEAELDLKEGNFYYIVSTRWFARWERYVGLSSDDHLVDTQSSDSQDLAAVPTSIPDRPGPIDNFDIVENGNNGEGDDLELARTLLEGRDYVLVPQKVWEKLFQWYKGGPALPRKMISQGVFNKKQFSVEVYPLRLKLIDSRDDTEFTIRLSRKASLHELYERVCTLRGTEREKAILWDYFNKHKQLRLVDSNRTLEESNLQMDQEILLELQVHESYPSQFGKDSTGNELALVALEPSRTSTSIAGGPTLSNGHSTCSLNLRPGSVLNSGVTDTDDGFGASSGVRRVERGGLAGLQNMGNTCFMNSALQCLVHTPPLVEYFLQDYSDEINTENPLGMHGELALAFGELLRKLWSSGRTTIAPRAFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWCGRPDKEVADECWRNHKARNDSIIVDVCQGQYKSTLVCPVCSKISITFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTVSVLKNGHCRDLTQALSTACCLRSDENLLLAEVYDHRIYRLFENPYESLTSIKDEEYIVAYRLSQKETGKRKLEIVHQEKSTPDFLKGSGWKDFGAPLLAQLEDDSPSAADIELAASRLLSRLKRTSSSNVVHSDKENGFLSEVNGEPSNSCNGRFESMDQLMDNIESEPEDTSSQALSFHLLLTDDRYSSRKPIFKDSVIKSGANRIKVFLDWTEREHKLYDSSYIKDLPMVYHKTGFSAKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPGCKEHRQATKKLDLWTLPEILVFHLKRFSYSRYLKNKLDTFVDFPIHNLDLSKFVKQKDGRSYVYELYAISNHYGGLGGGHYTAFAKLIDDNRWYNFDDSRVSPVNEADIKTSAAYVLFYRRVEPERKVGLGETSQGHTGS >Manes.09G027033.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5938330:5939313:1 gene:Manes.09G027033.v8.1 transcript:Manes.09G027033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVQDQLAKLFELLLAEQQANKDRNEKVEQLHVKLDAMSQELESTKKGLQSSSTGSQAKSRRDKGILGASVFESSGGSSIVPKFTKLDFPCYDGLEDPLGWLARCQHFFRHQQTPEEEKVSLASYHLEWIAQLWYMQLLNDILDSNWDEFTHQCNLRFGPPIRSNKLGELAKLKQTGSVAEYQNRFEALVSRAGTLAQDQKVQLYLSGLQDSIVVEVALHHPKDLVNAMSISRLYERKLFPSSSAVRDTRHAAFISAPRANRLVKRLNREEMEERWKKGLCFNCDEQFVFSHQCKKLFWIDLVETEEIEDTNSDPEISFNTIIGI >Manes.10G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30829067:30832417:1 gene:Manes.10G140500.v8.1 transcript:Manes.10G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAKKAAVPQGTGQEQTCQNFITNNIKNGPGKVIGIVLTFVLSHVLHHLLRPLSQPRITSEIIIGILIGNIPWIRDSFDEKFITTLNFVAEFGMICYMFVLGMEMDPYVIFKPPTQPAIIAYAGMISTFVLACSITPFLSYSRSADIGFTLSLSITLSGSGSHILTRIITNLKIGKSDIGKLVIAAGVHSDMISMLIISIGYIFIYPNSKLADVSSQLTGILTMSSALLLQTIFAAKISPIFMNWVNNENPEGKPMKGSHLVLSIAFMVMICTASPIYGYSPILSAFLAGIFLPSEGRVSKWAVGKINYLLTTIYYPLFFFWMGFHANLQKFEPAQWGTWARFSVLTAIALFGKVVGTVICGALLGYHWRESAELGLLLSAKGHFHVFLAILLDIYEVTSTSTSTIMVIVIFFTIVHTPSIVMRIIERARRRAPTHRRALQWLDPTKELPVLLCVHGTHNVPSTINFMEISRGTADPGILVYITDMVELTDQIAATLVQSEGMDTVTVTDKQVTDMRDEITRAIQAYVDENGVGITLRRMLTLSTFNSMAHDMCVLAEDLMVSLIILPFHKNQFAGGTLDGGHPGFRYVNRKMLRNAPCSVGILVDRGLGLAENISTTPRSFQVAVIFIGGKDDREALAYAGRVARHPGVKLTVIRFLLEDNSENNRRTGNYRVNLAEQEAEMKLDDECFAHFYERYVAGGHVSYMEKHLANSAETYSTLRSLEGQYALIIVGRGGRVNSILTVGMNDWQQCPELGPIGDVLSGSGFSVNTSVLIIQQHHLKGELDGLDDEFTIM >Manes.09G025694.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5860973:5866482:-1 gene:Manes.09G025694.v8.1 transcript:Manes.09G025694.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVVLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTFRAYVGLLRIGTGTGPWSFLRK >Manes.10G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11747846:11749929:1 gene:Manes.10G072100.v8.1 transcript:Manes.10G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGKKANLMVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARCSGDYAIVISHNPDNGTTRIKLPSGAKKIVPSGCRAMVGQVAGGGRTEKPLLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKGA >Manes.14G161300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:23435849:23436346:-1 gene:Manes.14G161300.v8.1 transcript:Manes.14G161300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTLTDQTPITMDPIFHILKIVPFSVLRPPRLRLKLPTLTLPSPMTVFALVLLTYFMVVSGIVYDVIVEPPGIGSTQDPGTGSIRPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIVLMDLALDKNRAKSVKVSYASAGISSLIIAYVMSMLFIRIKIPAYLR >Manes.01G176050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35686989:35688331:1 gene:Manes.01G176050.v8.1 transcript:Manes.01G176050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHQPPMGDSASKISKLCIKIKIPNTPTSGTAKKPVGEGGSGSTDVQRQPTGYRVCEFCGKIFSSGKAWGGHKRHHLKIMKNNNGKRTQQVSHIKMKKQMHGGSNRCNTIKAGDVMITGGKPTCCLCGKTFLSMNSLFGHMRFHPDRDWKGIRPPSAPLPSSPKNQISSASEMGAYSSIDLLDSLSSSGSWQKKGKRGICAADLIAEAARSLLRLSRDVGLSTQPAYVDLETSGSATKSLGKDIRTETGSGSDDIIMNHSDEFCELETKKKRRKMNYINKLSNYETEPCEFKYSSCDKSFSTFHTLGVHSSSVNCKKKSNELESESALIDDDASATEEIPATESDDETEETAEDEPDLVSSMETSFHSDIRNQTDPTGQALGGHKKSLSNEAVSAEASNAGHGMIGIDLNKPYVMQDGEGNLNFQCGFDH >Manes.06G157100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28308956:28318217:1 gene:Manes.06G157100.v8.1 transcript:Manes.06G157100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQQFLSQSQWPAFLRRKAFYADGSRTLSLRKLDFKQLFSSYRDEPELKDFVDYLDSLKNYEKSGVPKDAGTDSDEGFDLGRMRRLMDRLGNPHAKFKAIHIAGTKGKGSTAAFLSNILRAEGYSVGCYTSPHIMTIRERMSMGKFGEPVSAMVLNCHFHQIRQKLDEAIRLENGCLSHFEDLSTKQIIGRGSESEGLYVLDQQLPRSPRSLVLTAFAFSLFAQENINIAVIEAGLGGARDATNIICSSGLAASVITSIGEEHLMALGGSLESIAMAKAGIIKHGRPLVLGGPFLPHIERILRNKASLMHSPVVSASDSGIRTIIKGLSMCNGRLCQLCDIMIQVEKDFQLFIEISDLKMHMLGSHQLQNAATAACAALCLRNQGWRISDGSIRFGLENTYLLGRSQFLASKEAEVLGLPGATILLDGAHTKESAKALVDTVRMTFPKARMVLVVAMASDKDHLEFARQFLLDKRLEAVFLTEADIAGGKSRTTTASLLRDYWLQASGELGINPLHDGMAEYQQLLKNQFIYPDKELEGRIILLTEKSLEHSLRVANDFLEGRTKNQSRVLVVTGSLHIVSSVLARLRG >Manes.01G213000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338906:38345246:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.01G213000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338952:38345246:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.01G213000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338952:38345246:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.01G213000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338952:38345246:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.01G213000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338951:38345246:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.01G213000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338951:38345246:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.01G213000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338906:38345246:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.01G213000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338952:38345186:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.01G213000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338951:38345186:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEVYLP >Manes.01G213000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38338952:38345186:-1 gene:Manes.01G213000.v8.1 transcript:Manes.01G213000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKKEKKSSEESVKLFVGQVPKHMTEAQLLAMFNEFALVDEVNIIKDKTTRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSELFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALAALAAVNGKHKMEGSSVPLVVKWADTEKERLARRAQKAQSQVSSLPTVDSQHPSLFGALPMGYVPPYNAYGYQTPGTYGIMPYRLPPLQNQPAFHSMLPPVNQGNALHGGIRPELAPAMGPRNYAMPPASYVGSAYPAMPGVQYPMAYPGGMPSHQPLSGSPSTVPAAVTSSNSAMSSSVSSSSGGQIEGPPGANLFIYHIPQEFGDRELANAFQPYGKVLSAKVFVDKATGVSKCFGFVSYDSPAAAQMAINMMNGCQLGGKKLKVQVKRDNKQSKPY >Manes.04G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32497862:32498999:-1 gene:Manes.04G124300.v8.1 transcript:Manes.04G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEQLLLLDLLASPFSARVKIALAEKGLNYESRIEDLANKSPLLLEMNPVHKQIPVFIHNGRPICESTVIVQYIDEVWNHNSPLLPSDPHQRAHARFWADYVDKKIYSIASVLFTSEGEAKEASRKDLIECFKILECEIGEKPYFGGESFGFVDLILIPFYSFFYAFETFGNLSMVEDFPKIMDWAQRCLQKESVSKTLVDQQKLYEIVLELRKKWGVE >Manes.06G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27041685:27050743:-1 gene:Manes.06G142900.v8.1 transcript:Manes.06G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGVLLETNPTRFPIRNCHGRSINKQKLRHSQLSISSSPCRFPPFSQTYVKQWSSRVFTISSAYVSGPPILSDSDPKVDASEATSEEVQQSPKLISWGLLWSLLLNHKLRLGVSVLTLVGCTTCTLSMPLFSGRFFEVLIGARPEPLWRLLSKVGLLYSLEPIFTVIFVVNMNAVWEKVMSTLRAHIFRRVVIQKVEFFDRYKVGELSALLTTDLGSIKDIVSENISRDRGFRAFSEVIGTICILFALAPQLAPILGILMLSVSVLVATYKRSTIPVFKAHGMAQASISDCVTETFSAIRTVRSFGGEKRQMLMFGSQVLAYQSSGIKLGTFKSLNESLTRIAVYISLMALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGAFAAVERINSVLSKVEIDEALAHGLEREIQEKEKHDEITKLFFVNGYLESNKYFNAHYMSALKSASNLSTYAWSGDVCLEDVHFSYPLRPDVEILNGLNLKLKCGTVTALVGPSGAGKSTIVQLLARFYEPTRGKITVAGEDVRTFEKTEWARVVSIVNQEPVLFSVSVGENIAYGLPDDNVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAVSERLVQDALNHLMKGRTTLVIAHRLSTVQNAHQIALCSGGRIAELGTHFELLAKKGQYASLVGTQRLAFE >Manes.06G142900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27041685:27050743:-1 gene:Manes.06G142900.v8.1 transcript:Manes.06G142900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGVLLETNPTRFPIRNCHGRSINKQKLRHSQLSISSSPCRFPPFSQTYVKQWSSRVFTISSAYVSGPPILSDSDPKVDASEATSEEVQQSPKLISWGLLWSLLLNHKLRLGVSVLTLVGCTTCTLSMPLFSGRFFEVLIGARPEPLWRLLSKVGLLYSLEPIFTVIFVVNMNAVWEKVMSTLRAHIFRRVVIQKVEFFDRYKVGELSALLTTDLGSIKDIVSENISRDRGFRAFSEVIGTICILFALAPQLAPILGILMLSVSVLVATYKRSTIPVFKAHGMAQASISDCVTETFSAIRTVRSFGGEKRQMLMFGSQVLAYQSSGIKLGTFKSLNESLTRIAVYISLMALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGAFAAVERINSVLSKVEIDEALAHGLEREIQEKEKHDEITKLFFVNGYLESNKYFNAHYMSALKSASNLSTYAWSGDVCLEDVHFSYPLRPDVEILNGLNLKLKCGTVTALVGPSGAGKSTIVQLLARFYEPTRGKITVAGEDVRTFEKTEWARVVSIVNQEPVLFSVSVGENIAYGLPDDNVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQVNNKLFREIEMFVIVTMCF >Manes.06G142900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27041685:27050743:-1 gene:Manes.06G142900.v8.1 transcript:Manes.06G142900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGVLLETNPTRFPIRNCHGRSINKQKLRHSQLSISSSPCRFPPFSQTYVKQWSSRVFTISSAYVSGPPILSDSDPKVDASEATSEEVQQSPKLISWGLLWSLLLNHKLRLGVSVLTLVGCTTCTLSMPLFSGRFFEVLIGARPEPLWRLLSKVGLLYSLEPIFTVIFVVNMNAVWEKVMSTLRAHIFRRVVIQKVEFFDRYKVGELSALLTTDLGSIKDIVSENISRDRGFRAFSEVIGTICILFALAPQLAPILGILMLSVSVLVATYKRSTIPVFKAHGMAQASISDCVTETFSAIRTVRSFGGEKRQMLMFGSQVLAYQSSGIKLGTFKSLNESLTRIAVYISLMALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGAFAAVERINSVLSKVEIDEALAHGLEREIQEKEKHDEITKLFFVNGYLESNKYFNAHYMSALKSASNLSTYAWSGDVCLEDVHFSYPLRPDVEILNGLNLKLKCGTVTALVGPSGAGKSTIVQLLARFYEPTRGKITVAGEDVRTFEKTEWARVVSIVNQEPVLFSVSVGENIAYGLPDDNVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRRGSRDLKDK >Manes.07G088350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27957165:27962607:1 gene:Manes.07G088350.v8.1 transcript:Manes.07G088350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSIVPEHLATHNYENWRIWMKNYLLAHGLWDVVEATAETPNPEQAEFKDWQKKNAAALYAIHVSCSLDVFLKIKEIDLASLCWNALADIKVECLPEPRPMLQSGTEGGISGGEKENVYLQFRPLCLAIENGDCKAVKEFLEECPEAVREKLTRFGNTALHLAASNGDVKLVEELVKLMKEEDLEILNNNNETALNIAAGSGILRLAECMINKNKKLACVTGTTHIPVIVACSTGHRDMTYYLYSVTPLDFLHPEVGAFGSLLLHEAIGNQFFDIALDLVQNCPFMAIRRNHLGTNPLIEFSNLTHLFPESSRLSFWQRCIYSCINVQQRASSKDVRIYIPQYGPNEDGNFLMRVLNQLRSLGSKFLELSGIKQIYDLKLIHTQALQLLDRICDGAISSLDDSKVEEYGIYEAYFTAIQNGIVEIVTKIIKAHPPLLTVQELASDNNILLNAVKFRQEKVFSLVYGLDTRKNVLLVGCDKNNNNMLHLAAMLAPPHRLARISGAALQMQRELQWYKEVESILKPPYKEYVNKFGVKPTQMFSDTHKFLMAEGEKWMKDTATSCTVVGALIITIMFTAAFTVPGGNIQDTGYPMFLHEKAFMVFIIADAISLFSSSTSVLMFLGILTSRYAEDDFLKSLPTKLIIGLSTLFFSIATMMVSFCATLILMLEGELNLIIPLVLLASIPVTLFIFLQFPLLVEIFISTYGPGIFDRKGKYLHK >Manes.01G063500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26199684:26201245:1 gene:Manes.01G063500.v8.1 transcript:Manes.01G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIHPLPLPPTELDQSSSCSSSSKPSTFTVWMKSLVMQANGCTVYNENGEIVYRVDNYDKKGSSEVYLMDLKGRVLFTIRKLWLFRQWKGYKSDGLKIKAQKPFFQVKKRSGIFTSDLSCEIAVRSGSAQHGCYKLKASAGKSAFKLTDSNGRIVAKATRKQTSSGVVLGDDVLTLMVEPQVDHSFIMAVVTVFGLIHHRL >Manes.14G155501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19875074:19899496:-1 gene:Manes.14G155501.v8.1 transcript:Manes.14G155501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDMYSLVDLIHLDSIWTLPSSSITATSSVELSASKIAWDWT >Manes.02G102900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8055741:8063797:1 gene:Manes.02G102900.v8.1 transcript:Manes.02G102900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRKSNRVTWAPGVNLCQVKLFLTEDCPSKVGTQSQDHLQKKTSGILRNSNSNEFSDLPPGFEGSHSWKHLKQEPSLIPRIKWECPPKLSMRCNWHVAAGEESQEAEAQKLREMKVLEAFFLRPSAIPHSPSISLAVEEEYYDDSHTPIIPLTPIEEEGAVDVPSDLNTSVQPPVLPQDLLLSGNLNPTEHNNLALEPPACEKPTVGIAPDMDADVVTAASAAATALMKSLEKGSLIDTNLLVKILSDPNMIEKLINNCQAPSNMTSATRITSKPATRTTPPSCPTPAKGNLHNVLGGGLQPTSKPPPSCLNSNSPVVPMPSNGSLHPTLGEILPMLTGMPAQPDTDPTSITKQVAPIYIPRAESISESAPTLKTEDGDKKFIDQK >Manes.02G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8055741:8061748:1 gene:Manes.02G102900.v8.1 transcript:Manes.02G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRKSNRVTWAPGVNLCQVKLFLTEDCPSKVGTQSQDHLQKKTSGILRNSNSNEFSDLPPGFEGSHSWKHLKQEPSLIPRIKWECPPKLSMRCNWHVAAGEESQEAEAQKLREMKVLEAFFLRPSAIPHSPSISLAVEEEYYDDSHTPIIPLTPIEEEGAVDVPSDLNTSVQPPVLPQDLLLSGNLNPTEHNNLALEPPACEKPTVGIAPDMDADVVTAASAAATALMKSLEKGSLIDTNLLVKILSDPNMIEKLINNCQAPSNMTSATRITSKPATRTTPPSCPTPAKGNLHNVLGGGLQPTSKPPPSCLNSNSPVVPMPSNGSLHPTLGEILPMLTGMPAQPDTDPTSITKQVAPIYIPRAESISESAPTLKVGNLYSTTNQVQSTVSTMKQNPVPNVVLPPLSMMNMQPNGAPQTNVAAVKTNPVKDFNYIKNLIREHGSEKKEMHDRNMSHDGPHTEHFQNLEFAQNMKQRETKPKFQKPCIFYRSSRGCRNGSNCPYQHDLTCQFQTGGTMEAPVAKRMKLGAEVSGRTVHLDLA >Manes.S006867.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:49121:49279:1 gene:Manes.S006867.v8.1 transcript:Manes.S006867.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.15G032300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2514941:2518243:1 gene:Manes.15G032300.v8.1 transcript:Manes.15G032300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACALAALLLLQPMIARSDFLSPILSPVFEDVCKKVECGKGTCKPSHNSTLFYECECDSGWKQTRSDHDDNLKFLPCIVPNCTLDYTCQAAPSPVQDKSTKSNASIVDPCFWTDCGGGSCNKTSTFTYRCECTEGYYNLLNVSAFPCFRECSIGMDCSRLGISLSNRSAAPAPVLTDSSQNQGEVVNLSFDTACKFLLLRLTFLCHIFALQVVQVLNKVSLG >Manes.15G032300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2514941:2518243:1 gene:Manes.15G032300.v8.1 transcript:Manes.15G032300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACALAALLLLQPMIARSDFLSPILSPVFDVCKKVECGKGTCKPSHNSTLFYECECDSGWKQTRSDHDDNLKFLPCIVPNCTLDYTCQAAPSPVQDKSTKSNASIVDPCFWTDCGGGSCNKTSTFTYRCECTEGYYNLLNVSAFPCFRECSIGMDCSRLGISLSNRSAAPAPVLTDSSQNQGGSSLKQSFPWLMVLIMSLAMIQWT >Manes.15G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2514941:2518243:1 gene:Manes.15G032300.v8.1 transcript:Manes.15G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACALAALLLLQPMIARSDFLSPILSPVFEDVCKKVECGKGTCKPSHNSTLFYECECDSGWKQTRSDHDDNLKFLPCIVPNCTLDYTCQAAPSPVQDKSTKSNASIVDPCFWTDCGGGSCNKTSTFTYRCECTEGYYNLLNVSAFPCFRECSIGMDCSRLGISLSNRSAAPAPVLTDSSQNQGGSSLKQSFPWLMVLIMSLAMIQWT >Manes.03G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5838223:5841520:-1 gene:Manes.03G058100.v8.1 transcript:Manes.03G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMATKHSFQLLGMLFVLITLLALTKLAMADDIDDVPADFNSAYFPDDFIFGTATSAYQIEGAANKSGAGPSVWDAFTHQFPDRIKDHSTGDVAVDFYDRFDEDLKNVKKMNFSAFRFSISWPRVIPSGRRSEGINQEGIDFYNRVINETIKNGLEPFVTIFHWDTPQALEDKYGGFLSSNIVNDYRDYADLLFEKFGDRVKYWMTFNEPWSLSGFSYDDGVFAPGRCSSWVNRKCQAGNSATEPYIVAHHLLLSHAAAVGVYRNQYQTTQAGKIGITLFTFWYEPLSNRSIDIQAARTALDFMFGLWMDPITYGRYPRTVQDLVGDRLLKFTYEESQMLRRSYDFLGLQYYTAYYAKPNAPVDPNYIRYKTDSHIIETPFDYEGNPIGPQAYSPWFYVFPKGIRHLLNYTKDTYNDPVIYITENGVDRYNDKNQTIEEVINDQFRIDYYKEHMWNALGSLKNYSVNLKGYFAWSYLDNFEWNIGYTSRFGLYYVNRSDNLTRVPKNSAGWFTTFLQANQSMIGKVKSSNSRKIGKYYIM >Manes.05G009600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:989546:992708:-1 gene:Manes.05G009600.v8.1 transcript:Manes.05G009600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHLHALVFLLTVILLSVSCARGKQYISAVGDPGMRRDGLRVAFEAWNFCNEVGQEAPGMGSPRAADCFDLSSSTLKHKVTEADNKLGVGKPFPGLPPGAISNADLYAAQKELYLGSLCEVEDKPNPWQFWMVMLKNGNYDTKSGLCPQNGKKVPPFSPGRFPCFGDGCMNQPILYHQPTELINGDTLRGSFNGTYDLGAEIGGGLGGISFYEVVWEKKVGAGSWVFSHKLKTSKKYPWLMLYLRADATKGFSGGYHYDTRGMLKILPESPNFKVKVTLDVKQGGGSKSQFYLLDIGSCWKNNGDPCDGDVLTDVTRYSEMIINPATPAWCSPKGLENCPPYHITPNDTKIYRNDTANFPYGAYHYYCAPGNAQFLEKPVSTCDPYSNPQAQEIVQLLPHPIWAEYGYPTKQGDGWVGDARTWELDVGGLSSRLYFYQDPGTTPARRIWTSIDMGTEIFVSDSEEVAEWNLSNFDVILT >Manes.15G010800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:908169:909911:1 gene:Manes.15G010800.v8.1 transcript:Manes.15G010800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKTPIFPMPEPHHYSDYGFDPQIDYFQFLEEARRHKRDTARPVDSLHFKLQKPISKDESNRKIHKNKKKRWWRKAFLFFKRRWNNDLADEQIHKVRARAFRGSISGPVYITESRSGSSTPYRTTSRPSSGPLAGTLTAASKGDLDIPYLSLRDLNMEHQQQRISTSAMPIYLVT >Manes.15G010800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:908255:909908:1 gene:Manes.15G010800.v8.1 transcript:Manes.15G010800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKTPIFPMPEPHHYSDYGFDPQIDYFQFLEEARRHKRDTARPVDSLHFKLQKPISKDESNRKIHKNKKKRWWRKAFLFFKRRWNNDLADEQIHKVRARAFRGSISGPVYITESRSGSSTPYRTTSRPSSGPLAGTLTAASKGDLDIPYLSLRDLNMEHQQQRISTSAMPIYLVT >Manes.03G149301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27652399:27667449:-1 gene:Manes.03G149301.v8.1 transcript:Manes.03G149301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNLFHYKKNSWPPEEYISKATLQLLDSDSAAPPKQAWRRRLNSHANILKEFSVTFKEAVKMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGTRDASPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKAGDQGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPDLKISCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHLSGDHVNEPFLGEDGVAGVLLHHKAAKGNPPVTFAIAACETQNVSVTVLPSFGLSEASCTTAKDIWCKMVQDGQFDQENFNCGPSMPSLPGETLCAAVSASTWVEPHGKCTVAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAQKLVHDALTRYKWWEEEIEKWQNPILEDERLPEWYKFTLFNELYFLVAGGTVWIDSPLMTGDVRDSHHQTKEIETMDVNVIEAPVSHTKGAVNHTSIPKYNVANVRSADNDETSNAECPSKDESAVFQEKGGLSHLKPSPLQEPWNDNDEVGRFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNVGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATRDMSFGVDVWPAVRIAMEYMEQFDRDDDALIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQLGDNYFAELCKSKFVKAKSAFEAKLWNDSYFNYDSGSSSNSKSIQADQLAGQWYTASAGLPPLFDEVKIRSSLQKIFDFNVMKVRGGRMGAVNGMHPNGKVDETCMQSREIWSGVTYAVAATMILAGMEDQAFTTAEGIFLAGWSEEGYGYWFQTPEAWTTDGHFRSLIYMRPLAIWGMQWALSLPKAILEAPKINIMDKLLLSPSTRFALHETGVRKIATKAKCFGASVFHCAC >Manes.03G149301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27651295:27667496:-1 gene:Manes.03G149301.v8.1 transcript:Manes.03G149301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNLFHYKKNSWPPEEYISKATLQLLDSDSAAPPKQAWRRRLNSHANILKEFSVTFKEAVKMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGTRDASPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKAGDQGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPDLKISCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHLSGDHVNEPFLGEDGVAGVLLHHKAAKGNPPVTFAIAACETQNVSVTVLPSFGLSEASCTTAKDIWCKMVQDGQFDQENFNCGPSMPSLPGETLCAAVSASTWVEPHGKCTVAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAQKLVHDALTRYKWWEEEIEKWQNPILEDERLPEWYKFTLFNELYFLVAGGTVWIDSPLMTGDVRDSHHQTKEIETMDVNVIEAPVSHTKGAVNHTSIPKYNVANVRSADNDETSNAECPSKDESAVFQEKGGLSHLKPSPLQEPWNDNDEVGRFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNVGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATRDMSFGVDVWPAVRIAMEYMEQFDRDDDALIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQLGDNYFAELCKSKFVKAKSAFEAKLWNDSYFNYDSGSSSNSKSIQADQLAGQWYTASAGLPPLFDEVKIRSSLQKIFDFNVMKVRGGRMGAVNGMHPNGKVDETCMQSREIWSGVTYAVAATMILAGMEDQAFTTAEGIFLAGWSEEGYGYWFQTPEAWTTDGHFRSLIYMRPLAIWGMQWALSLPKAILEAPKINIMDKLLLSPSTRFALHETGVRKIATKAKCFGASVFHCAC >Manes.03G149301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27652399:27667449:-1 gene:Manes.03G149301.v8.1 transcript:Manes.03G149301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNLFHYKKNSWPPEEYISKATLQLLDSDSAAPPKQAWRRRLNSHANILKEFSVTFKEAVKMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGTRDASPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKAGDQGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPDLKISCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHLSGDHVNEPFLGEDGVAGVLLHHKAAKGNPPVTFAIAACETQNVSVTVLPSFGLSEASCTTAKDIWCKMVQDGQFDQENFNCGPSMPSLPGETLCAAVSASTWVEPHGKCTVAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAQKLVHDALTRYKWWEEEIEKWQNPILEDERLPEWYKFTLFNELYFLVAGGTVWIDSPLMTGDVRDSHHQTKEIETMDVNVIEAPVSHTKECPSKDESAVFQEKGGLSHLKPSPLQEPWNDNDEVGRFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNVGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATRDMSFGVDVWPAVRIAMEYMEQFDRDDDALIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQLGDNYFAELCKSKFVKAKSAFEAKLWNDSYFNYDSGSSSNSKSIQADQLAGQWYTASAGLPPLFDEVKIRSSLQKIFDFNVMKVRGGRMGAVNGMHPNGKVDETCMQSREIWSGVTYAVAATMILAGMEDQAFTTAEGIFLAGWSEEGYGYWFQTPEAWTTDGHFRSLIYMRPLAIWGMQWALSLPKAILEAPKINIMDKLLLSPSTRFALHETGVRKIATKAKCFGASVFHCAC >Manes.03G149301.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27652221:27667495:-1 gene:Manes.03G149301.v8.1 transcript:Manes.03G149301.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNLFHYKKNSWPPEEYISKATLQLLDSDSAAPPKQAWRRRLNSHANILKEFSVTFKEAVKMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGTRDASPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKAGDQGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPDLKISCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHLSGDHVNEPFLGEDGVAGVLLHHKAAKGNPPVTFAIAACETQNVSVTVLPSFGLSEASCTTAKDIWCKMVQDGQFDQENFNCGPSMPSLPGETLCAAVSASTWVEPHGKCTVAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAQKLVHDALTRYKWWEEEIEKWQNPILEDERLPEWYKFTLFNELYFLVAGGTVWIDSPLMTGDVRDSHHQTKEIETMDVNVIEAPVSHTKECPSKDESAVFQEKGGLSHLKPSPLQEPWNDNDEVGRFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNVGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATRDMSFGVDVWPAVRIAMEYMEQFDRDDDALIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQLGDNYFAELCKSKFVKAKSAFEAKLWNDSYFNYDSGSSSNSKSIQADQLAGQWYTASAGLPPLFDEVKIRSSLQKIFDFNVMKVRGGRMGAVNGMHPNGKVDETCMQSREIWSGVTYAVAATMILAGMEDQAFTTAEGIFLAGWSEEGYGYWFQTPEAWTTDGHFRSLIYMRPLAIWGMQWALSLPKAILEAPKINIMDKLLLSPSTRFALHETGVRKIATKAKCFGASVFHCAC >Manes.03G149301.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27651295:27667495:-1 gene:Manes.03G149301.v8.1 transcript:Manes.03G149301.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNLFHYKKNSWPPEEYISKATLQLLDSDSAAPPKQAWRRRLNSHANILKEFSVTFKEAVKMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIIPGTRDASPVMANQFSIFISRDGGNKKYASVLAPGQHEGLGKAGDQGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPDLKISCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHLSGDHVNEPFLGEDGVAGVLLHHKAAKGNPPVTFAIAACETQNVSVTVLPSFGLSEASCTTAKDIWCKMVQDGQFDQENFNCGPSMPSLPGETLCAAVSASTWVEPHGKCTVAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAQKLVHDALTRYKWWEEEIEKWQNPILEDERLPEWYKFTLFNELYFLVAGGTVWIDSPLMTGDVRDSHHQTKEIETMDVNVIEAPVSHTKECPSKDESAVFQEKGGLSHLKPSPLQEPWNDNDEVGRFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNVGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNSKFVLQVYRDFAATRDMSFGVDVWPAVRIAMEYMEQFDRDDDALIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQLGDNYFAELCKSKFVKAKSAFEAKLWNDSYFNYDSGSSSNSKSIQADQLAGQWYTASAGLPPLFDEVKIRSSLQKIFDFNVMKVRGGRMGAVNGMHPNGKVDETCMQSREIWSGVTYAVAATMILAGMEDQAFTTAEGIFLAGWSEEGYGYWFQTPEAWTTDGHFRSLIYMRPLAIWGMQWALSLPKAILEAPKINIMDKLLLSPSTRFALHETGVRKIATKAKCFGASVFHCAC >Manes.13G029500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3842737:3846699:-1 gene:Manes.13G029500.v8.1 transcript:Manes.13G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASSPSSSQDPPPSAATNSTSTLSSETFPPPEGSTDSISGLFDEDVVASPPSESDEESSPPPPTPASPPPARSPPPSPPPSSPPPSSSPPNSPPPTISNSSAVSPPPESSSTSPSPNLSPPPSSTSPAAENNPSPPPPANKSSTKLSPASEFVPPPPSTRTVESPPSPTEFISPPSPVSDAASTASNSNATSSSTATSSSTASPQTNSTSSSTSRGSSSGNSSSTSSKQAYTTSTDSTGNTTTHVLLGAFLAGAVLLAIFAIIFFVTKRKKRHDHYAAHYIPPKNFAVQTDGYYYGQIGQANSYGSQILQSPDSSYESQNISGESGLISGTKTHFSYEEVMEMTNGFSRQNILGEGGFGCVYKGQVRDGKTVAVKQLKIGSGQGDREFKAEVEIISRVHHRHLVSLVGYCISDNQRLLLYEYVPNKTLEHHLHAKEAVLDWPKRVKIAIGAAKGLAYLHDDCNPKIIHRDIKSANILLEDNFEAQVADFGLARLNDTTQTHVSTRVMGTFGYLAPEYASSGKLTDRSDVYSFGVVLLELITGRKPVDQTQPLGEESLVEWARPRLLQAIDTSDLAELIDPRLEKHYVESEVFRMVETAAACVRHSAPKRPRMVQVVRALDSDEMSDLTNGVKYGQSTAYDSGLYNEEIIKFRKIALSNDSSELDTVSGEYSSRDVSRGPPISNSSTVDLETEARDGVDKR >Manes.09G032500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6589508:6598344:-1 gene:Manes.09G032500.v8.1 transcript:Manes.09G032500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKLQWFLTVIIFLAILDSGALALTDTNDVTALQSLYIALNKPPHLKGWKLGEGDPCQESWTGVSCFGSSVIYLKLQGLNLSGYLGTQLHNLPNLKHLDVSSNNIMGEIPYELPPNATRINLACNMLSQNLPNSLSSLQELRHLNLSNNSLYGTIGNVFSGLKSLKEMDLSYNYFSGDLPTSIGSLTNLTRLFLQNNQFTGSVIYLADLHLTELNIQSNHFSGVIPTQFQSIPNLWIDGNKFHMGVNYPLWNFPLENVSIEQNFNGPPTTESSVIENYFNPEAAEHKKKGLGPGGIACTVGAATLVVTCAAIYVAIRLRRSHIFPVTRDTSPTACEASPQLLPVKSPPTVGPSHINPASRTKTEKISRRRSLAKKFKAPASAKIYTVAELLSATNNFSEDNLLGEGSLGSVYKAKFPDGHIVVVKNISVVSLSFQEEEQFLEVIWTASRLRHPHIVTLLGYCVENGYHLLVYEYIKKLNLHDVLHGGAYKPLPWTIRVAIALGIARALHYLHSTFSPPIAHGNIKAANVLLDEELKPRLCDCGIAILRPLASNSVKIKAAEFAVGDTGYIAPEHGEPGKDGTKSDIYAFGVLLLELLTGRKPFDRSRPIEEQSLVKWASSRLHDAAHLTQMVDPDIRRTLTPKAASRFADVISLCIQPEKLFRPPMSEIAESLTSLLLKFSFAKSNTLDGPGGDTLDRSFHTTRSRFISSPTTSHHST >Manes.13G119200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32580193:32581749:1 gene:Manes.13G119200.v8.1 transcript:Manes.13G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASTVNSYTNRISSPAIFSSESQSLERADDVTADVDYTDYIPDECLAYIFQFLSSGDRKRCSLVCRRWLVVDGCSRQRLSLHAKVEIFSYVPSLFTRFDSVTKLALRCDRKSMSLNDDAFVMISVRCQNLERLKLRGCREITDDGMVAFAKNSKNLRKLSCGSCAFGAKGINAVLDHCKALEELSIKRLRGIHDGANTIRCGAAASSLKSICLKELVNGQSFEQLVIGAKNLKTLKIIRCLGDWDNVLQIIGNGNSLLIDVHLERIQVSDIGLAAISKWVNMEILRIVKTPECSNLGLVCVAENCKQLRKLHIDGWRTNRIGDEGLIAVAKQCPNLKELVLIGVNATHVSLAAIADNCQKLERLALCGSGSIGDHEIACIAAKCMGLKKLCIKGCAISDIAIQALAYGCPNLVKIKVKQCRGVTSEVVECLQQLKASLVVNFDVASDNEGFDVSLSDGGVQESGLEFAVMGGQVVVADGHGSSNAHGRLALLRAKLGLFASRNLVGCAFSRLSNH >Manes.01G266900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42120458:42128344:-1 gene:Manes.01G266900.v8.1 transcript:Manes.01G266900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MLSSLLTLSSSISRLNIRPKPSLHCALSSFKTLKPHKQPIRPSWSPRTSSIFSLSAHFSSSENSSSPGRQMQTIVSRDELVVLGIETSCDDTAAAVVRGNGEILSQVVSSQADLLERYGGVAPKMAEEAHLQVIDQVVQEALDKADLTARDLSAVAVTIGPGLSLCLRVGVQKAHKLASSFNLPIISIHHMEAHALVARLIEQELQFPFMALLISGGHNLLILARELGQYIQLGTTIDDAIGEAYDKTAKWLGLDMRRSGGPALEELAREGDAESIKFSTPMKQHKDCNFSYAGLKTQVRLAIESRNINAEIPISSASPQDRSSRADIAASFQRVAVLHLEERCERAIEWARKIEPSIKYLVVSGGVASNQYVQTRVDQIAKKKNLKLVCPPPSLCTDNGVMVAWTGIEHYCMGRFDPAPSADKAEEFVYDLRPRWPLGEEYAEGRSNARSVRRARVHPSLTSLIQASLREQ >Manes.01G266900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42120365:42128344:-1 gene:Manes.01G266900.v8.1 transcript:Manes.01G266900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MLSSLLTLSSSISRLNIRPKPSLHCALSSFKTLKPHKQPIRPSWSPRTSSIFSLSAHFSSSENSSSPGRQMQTIVSRDELVVLGIETSCDDTAAAVVRGNGEILSQVVSSQADLLERYGGVAPKMAEEAHLQVIDQVVQEALDKADLTARDLSAVAVTIGPGLSLCLRVGVQKAHKLASSFNLPIISIHHMEAHALVARLIEQELQFPFMALLISGGHNLLILARELGQYIQLGTTIDDAIGEAYDKTAKWLGLDMRRSGGPALEELAREGDAESIKFSTPMKQHKDCNFSYAGLKTQVRLAIESRNINAEIPISSASPQDRSSRADIAASFQRVAVLHLEERCERAIEWARKIEPSIKYLVVSGGVASNQYVQTRVDQIAKKKNLKLVCPPPSLCTDNGVMVAWTGIEHYCMGRFDPAPSADKAEEFVYDLRPRWPLGEEYAEGRSNARSVRRARVHPSLTSLIQASLREQ >Manes.01G266900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42120458:42128344:-1 gene:Manes.01G266900.v8.1 transcript:Manes.01G266900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MLSSLLTLSSSISRLNIRPKPSLHCALSSFKTLKPHKQPIRPSWSPRTSSIFSLSAHFSSSENSSSPGRQMQTIVSRDELVVLGIETSCDDTAAAVVRGNGEILSQVVSSQADLLERYGGVAPKMAEEAHLQVIDQVVQEALDKADLTARDLSAVAVTIGPGLSLCLRVGVQKAHKLASSFNLPIISIHHMEAHALVARLIEQELQFPFMALLISGGHNLLILARELGQYIQLGTTIDDAIGEAYDKTAKWLGLDMRRSGGPALEELAREGDAESIKFSTPMKQHKDCNFSYAGLKTQVRLAIESRNINAEIPISSASPQDRSSRADIAASFQRVAVLHLEERCERAIEWARKIEPSIKYLVVSGGVASNQYVQTRVDQIAKKKNLKLV >Manes.09G168400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36081859:36084064:-1 gene:Manes.09G168400.v8.1 transcript:Manes.09G168400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEMDTHTPLTLEERLKKIENAIARKKLLADGQCVPPFTTAENMEIYDCVFKLCTQKRTHYSEQFYEKYLNCLEERIMEKVIPRLLGKHGTALLKEVAHSWSEFKAFADSIYKFFQYLDRFYAPRRGLLLLADAPKHYYGRQVCESLYGKCQEAIINLIAEDREGKNIDRNLLNTVLGLFIALGGNGTTNYYEKFEQIMLAETAAYYCELSMQWWFWHDSLSSYLRKVDWCLVQEEARAEAYPCETTKAKVLEVMKYILLERNAKRWAGRQKANGVAAEDQELLSKYACLSLDMDSSASVSRATDL >Manes.08G042350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4160913:4161317:1 gene:Manes.08G042350.v8.1 transcript:Manes.08G042350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQNLLEKGIRWQVNDGASILYKVDNSIPRSFPTKPIVQANANPNIIWVSQLIDETSSAWNLQRLRDVFKEEEISNINIPLNLFRGEDSLVWHFSNLGIYSVKSGYALACNLKLNNRVDSSVNPGHVSNECTREL >Manes.05G066800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5560590:5563524:-1 gene:Manes.05G066800.v8.1 transcript:Manes.05G066800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQEMVEKPSETIPANASQKKQETRDEMLSRHRKEIAELQNKEIELKKAAAKGSKAEQKAKKKQVEVQISQLSTKLKEKHTEELASLGYSSSSSNGNEKSNLDNLVKAIAGVSVTNQPDHSKVSKGAKRRGKRAQQEAEREQRIQEEQNNLVSDRMIENEKLKGKLEPLGLSVNEIKPDGHCLYRAVEDQLAVLSGGSSPYTYQDLRKMVASYMREHASDFVPFFLSENVTEGESDNSLADRFENYCKDVESTSAWGGQLELGALTHCLRRHILIYSGSFPDVDMGKEYKSNGGSDSSSGSILLSYHKHAYGLGEHYNSVVPT >Manes.05G066800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5560590:5563524:-1 gene:Manes.05G066800.v8.1 transcript:Manes.05G066800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQEMVEKPSETIPANASQKKQETRDEMLSRHRKEIAELQNKEIELKKAAAKGSKAEQKAKKKQVEVQISQLSTKLKEKHTEELASLGYSSSSSNGNEKSNLDNLVKAIAGVSVTNQPDHSKVSKGAKRRGKRAQQEAEREQRIQEEQNNLVSDRMIENEKLKGKLEPLGLSVNEIKPDGHCLYRAVEDQLAVLSGGSSPYTYQDLRKMVASYMREHASDFVPFFLSENVTEGESDNSLADRFENYCKDVESTSAWGGQLELGALTHCLRRHILIYSGSFPDVDMGKEYKSNGGSDSSSGSILLSYHKHAYGLGEHYNSVVPT >Manes.05G066800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5560590:5563524:-1 gene:Manes.05G066800.v8.1 transcript:Manes.05G066800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQEMVEKPSETIPANASQKKQETRDEMLSRHRKEIAELQNKEIELKKAAAKGSKAEQKAKKKQVEVQISQLSTKLKEKHTEELASLGYSSSSSNGNEKSNLDNLVKAIAGVSVTNQPDHSKVSKGAKRRGKRAQQEAEREQRIQEEQNNLVSDRMIENEKLKGKLEPLGLSVNEIKPDGHCLYRAVEDQLAVLSGGSSPYTYQDLRKMVASYMREHASDFVPFFLSENVTEGESDNSLADRFENYCKDVESTSAWGGQLELGALTHCLRRHILIYSGSFPDVDMGKEYKSNGGSDSSSGSILLSYHKHAYGLGEHYNSVVPT >Manes.04G157900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35273798:35274001:1 gene:Manes.04G157900.v8.1 transcript:Manes.04G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCFGLVSVLVLAVLAASMVVLPLLLPPLPPPPLLLMFFPVGIMAALMFLAFSPAEADGNVVALSV >Manes.18G058900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5224742:5231642:1 gene:Manes.18G058900.v8.1 transcript:Manes.18G058900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHASSIQLSHELRIHNTRGCSSQHSFTCYPQCVTGKEKNRVHLVNFSLSAHGLRRDAWTLHFLSSTRGPIIAAPSRCNIFLCQSLLTPNGGNGIPVLKSASVVLTRSYDALGGNQLVLKLIPAVGIIAFAAWALGPLMRLGRVIFLHKSDNTWKKSRTNYIMSSYLQPLLLWTGAMLICRALDPLILQSEASQAVKQRLLNFVQSLSTVLAFAYCLSSLIQQAQKFFTETNDSDGARNMGFSFAGKAIYSAVWIAAVSLFMELLGFSTQRWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVGEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHQFTVNVVRNLSQKTHWRIKTHLAISHLDVKKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNVDPENQGLKILVSCFVKTSHIEEYLCVKEAVLLDLLRVISHHKARLATPIRTVQKMYSEADLDNVPFADTIFTRSGVATNRSLLLIEPSYKINSDDKTKDSAHSVRSEAASTSESKADSSSGSMPNVDSIKDKVTATNSDSNTTSKVSAIPVSEPQPSVGRPPLEENIVLGVALEGSKRTLPIEEEMAPSPFPPESMELTASRNGGGSPSVGKDKKDGQMPTVPSSSNID >Manes.18G058900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5225039:5231571:1 gene:Manes.18G058900.v8.1 transcript:Manes.18G058900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHASSIQLSHELRIHNTRGCSSQHSFTCYPQCVTGKEKNRVHLVNFSLSAHGLRRDAWTLHFLSSTRGPIIAAPSRCNIFLCQSLLTPNGGNGIPVLKSASVVLTRSYDALGGNQLVLKLIPAVGIIAFAAWALGPLMRLGRVIFLHKSDNTWKKSRTNYIMSSYLQPLLLWTGAMLICRALDPLILQSEASQAVKQRLLNFVQSLSTVLAFAYCLSSLIQQAQKFFTETNDSDGARNMGFSFAGKAIYSAVWIAAVSLFMELLGFSTQRWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVGEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHQFTVNVVRNLSQKTHWRIKTHLAISHLDVKKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNVDPENQGLKILVSCFVKTSHIEEYLCVKEAVLLDLLRVISHHKARLATPIRTVQKMYSEADLDNVPFADTIFTRSGVATNRSLLLIEPSYKINSDDKTKDSAHSVRSEAASTSESKADSSSGSMPNVDSIKDKVTATNSDSNTTSKVSAIPVSEPQPSVGRPPLEENIVLGVALEGSKRTLPIEEEMAPSPFPPESMELTASRNGGGSPSVGKDKKDGQMPTVPSSSNID >Manes.18G058900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5225039:5231571:1 gene:Manes.18G058900.v8.1 transcript:Manes.18G058900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHASSIQLSHELRIHNTRGCSSQHSFTCYPQCVTGKEKNRVHLVNFSLSAHGLRRDAWTLHFLSSTRGPIIAAPSRCNIFLCQSLLTPNGGNGIPVLKSASVVLTRSYDALGGNQLVLKLIPAVGIIAFAAWALGPLMRLGRVIFLHKSDNTWKKSRTNYIMSSYLQPLLLWTGAMLICRALDPLILQSEASQAVKQRLLNFVQSLSTVLAFAYCLSSLIQQAQKFFTETNDSDGARNMGFSFAGKAIYSAVWIAAVSLFMELLGFSTQRWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVVGEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHQFTVNVVRNLSQKTHWRIKTHLAISHLDVKKINNIVADMRKVLAKNPQVEQQRLHRRVFLDNVDPENQGLKILVSCFVKTSHIEEYLCVKEAVLLDLLRVISHHKARLATPIRTVQKMYSEADLDNVPFADTIFTRSGVATNRSLLLIEPSYKINSDDKTKDSAHSVRSEAASTSESKADSSSGSMPNVDSIKDKVTATNSDSNTTSKVSAIPVSEPQPSVGRPPLEENIVLGVALEGSKRTLPIEEEMAPSPFPPESMELTASRNGGGSPSVGKDKKDGQMPTVPSSSNID >Manes.07G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:381786:385574:1 gene:Manes.07G001400.v8.1 transcript:Manes.07G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQQPALLLFFLLFIQCCLWYSTEGFKPYLPTDLRRTSFPKGFLFGAATSSYQIEGAANTDGRTPSIWDTFTKEDPEKILDHSSGDVAEDFYHRYKEDIALMKEIGLNSFRFSISWSRILPYGRVSAGVNPEGVNFYNSLIDELLSNGIEPFITLFHWDFPQALQDEYGGFLSSRVVDDYRDYVEFCFEEFGDRVKYWVTINEPNYFSCFGYATGGTAPGRCSDYVGNCRLGNSATEPYIVVHNIILCHATAVKIYREKYQASQKGTIGIIVTTFWKVPKFQTAESRKAASRGLDFTIGWLLHPLTYGDYPKSMKSLVGNRLPKFSEEQSKMIKGSIEFVGVNYYTARYVDSSTSSANLNLSYTTDSHVIQSTEKNGIPIGQKSGSSWLYIYPEGLRDLILYIKRKYKNPPIFITENGIQDNSSMPINDALNDSLRIQYHYLHLSYLLQAIKEGAEVRGYYVWSFVDDFEWEFGYTVRFGLTYIDYKNGLKRIPKSSAFWFKSFLHGLNVTSASSSSSFFFSGMTSI >Manes.16G010500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1081580:1090589:-1 gene:Manes.16G010500.v8.1 transcript:Manes.16G010500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWIFYYAFLLFEIWFSVLMVPCNSISLKGSSDSSPFSSSFLFGTASSSYQFEGAYLSDGKGLNNWDTFTHKPGNILDGTNGDIAVDHYHKYLEDIDLMEYIGVNSYRFSISWARILPKGRFGNVNQAGINHYDKIINALLHKGIQPFVSLTHYDIPQELEDRYGAWLSPEIQEDFKYYADVCFRSFGDRVKYWSTFNEPNVAAIFGYRTGLFPPSRCSGSFGNCSNGDSGREPFIAAHNMILSHAAAVNVYRAKYQKEQGGSIGIAMNAMWLEPISSSLEDKLAVERAQAFYLNWFLDPIIHGKYPTEMHEILGDDLPAFSNYEQEKLKSGLDFIGINHYSSFYIKDCIFSSCDQGQGATKTEGFAWWTAQKDGVFIGERTSVDWLHAYPEGMEKMVTYVKERYNNTPMFITENGFGEKENCNTTTKSLLQDVDRAAYMSSYLEALAMAVRKGADVRGYFAWSLLDNFEWIDGYTIRFGLYHVDYSTLRRTQKQSATWYKDYISNHKARTTTYS >Manes.16G010500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1081580:1090834:-1 gene:Manes.16G010500.v8.1 transcript:Manes.16G010500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCNSISLKGSSDSSPFSSSFLFGTASSSYQFEGAYLSDGKGLNNWDTFTHKPGNILDGTNGDIAVDHYHKYLEDIDLMEYIGVNSYRFSISWARILPKGRFGNVNQAGINHYDKIINALLHKGIQPFVSLTHYDIPQELEDRYGAWLSPEIQEDFKYYADVCFRSFGDRVKYWSTFNEPNVAAIFGYRTGLFPPSRCSGSFGNCSNGDSGREPFIAAHNMILSHAAAVNVYRAKYQKEQGGSIGIAMNAMWLEPISSSLEDKLAVERAQAFYLNWFLDPIIHGKYPTEMHEILGDDLPAFSNYEQEKLKSGLDFIGINHYSSFYIKDCIFSSCDQGQGATKTEGFAWWTAQKDGVFIGERTSVDWLHAYPEGMEKMVTYVKERYNNTPMFITENGFGEKENCNTTTKSLLQDVDRAAYMSSYLEALAMAVRKGADVRGYFAWSLLDNFEWIDGYTIRFGLYHVDYSTLRRTQKQSATWYKDYISNHKARTTTYS >Manes.16G010500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1081580:1090589:-1 gene:Manes.16G010500.v8.1 transcript:Manes.16G010500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEDIDLMEYIGVNSYRFSISWARILPKGRFGNVNQAGINHYDKIINALLHKGIQPFVSLTHYDIPQELEDRYGAWLSPEIQEDFKYYADVCFRSFGDRVKYWSTFNEPNVAAIFGYRTGLFPPSRCSGSFGNCSNGDSGREPFIAAHNMILSHAAAVNVYRAKYQKEQGGSIGIAMNAMWLEPISSSLEDKLAVERAQAFYLNWFLDPIIHGKYPTEMHEILGDDLPAFSNYEQEKLKSGLDFIGINHYSSFYIKDCIFSSCDQGQGATKTEGFAWWTAQKDGVFIGERTSVDWLHAYPEGMEKMVTYVKERYNNTPMFITENGFGEKENCNTTTKSLLQDVDRAAYMSSYLEALAMAVRKGADVRGYFAWSLLDNFEWIDGYTIRFGLYHVDYSTLRRTQKQSATWYKDYISNHKARTTTYS >Manes.16G010500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1081580:1090834:-1 gene:Manes.16G010500.v8.1 transcript:Manes.16G010500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEDIDLMEYIGVNSYRFSISWARILPKGRFGNVNQAGINHYDKIINALLHKGIQPFVSLTHYDIPQELEDRYGAWLSPEIQEDFKYYADVCFRSFGDRVKYWSTFNEPNVAAIFGYRTGLFPPSRCSGSFGNCSNGDSGREPFIAAHNMILSHAAAVNVYRAKYQKEQGGSIGIAMNAMWLEPISSSLEDKLAVERAQAFYLNWFLDPIIHGKYPTEMHEILGDDLPAFSNYEQEKLKSGLDFIGINHYSSFYIKDCIFSSCDQGQGATKTEGFAWWTAQKDGVFIGERTSVDWLHAYPEGMEKMVTYVKERYNNTPMFITENGFGEKENCNTTTKSLLQDVDRAAYMSSYLEALAMAVRKGADVRGYFAWSLLDNFEWIDGYTIRFGLYHVDYSTLRRTQKQSATWYKDYISNHKARTTTYS >Manes.16G010500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1081580:1090834:-1 gene:Manes.16G010500.v8.1 transcript:Manes.16G010500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWIFYYAFLLFEIWFSVLMVPCNSISLKGSSDSSPFSSSFLFGTASSSYQFEGAYLSDGKGLNNWDTFTHKPGNILDGTNGDIAVDHYHKYLEDIDLMEYIGVNSYRFSISWARILPKGRFGNVNQAGINHYDKIINALLHKGIQPFVSLTHYDIPQELEDRYGAWLSPEIQEDFKYYADVCFRSFGDRVKYWSTFNEPNVAAIFGYRTGLFPPSRCSGSFGNCSNGDSGREPFIAAHNMILSHAAAVNVYRAKYQKEQGGSIGIAMNAMWLEPISSSLEDKLAVERAQAFYLNWFLDPIIHGKYPTEMHEILGDDLPAFSNYEQEKLKSGLDFIGINHYSSFYIKDCIFSSCDQGQGATKTEGFAWWTAQKDGVFIGERTSVDWLHAYPEGMEKMVTYVKERYNNTPMFITENGFGEKENCNTTTKSLLQDVDRAAYMSSYLEALAMAVRKGADVRGYFAWSLLDNFEWIDGYTIRFGLYHVDYSTLRRTQKQSATWYKDYISNHKARTTTYS >Manes.08G171300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40520460:40522951:-1 gene:Manes.08G171300.v8.1 transcript:Manes.08G171300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTFSASSAYSIFRTSLSSSAKTSPFFLSSKPSFLPMPIFPKTHSRPSFPRIYALSSNDIKVGTNIEVDGAPWRVLEFLHVKPGKGAAFVRTKIRNYITGNTVEKTFRAGSGLEEADVFKETKQFTYKDGAQFVFMDLNTFEEHRLNESDVGDKTKWLKEGMDCNLLFWKGKVIDFELPITVQLTVVDADPGLKGDTAQGGSKPVTLDTGAVVNVPLFINAGDEILVDTRNGQYMSRA >Manes.16G033700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4077932:4079670:1 gene:Manes.16G033700.v8.1 transcript:Manes.16G033700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKNIHVAMYPWFAFGHLTSFLHLANKLAQRGHRISFFLPQKTKPKFEPFNHHPDLITFIPVNVPEVDGLPPGAETTNDVNFSLHSLLMTAMDLTKPVIEEYLQDLKPHFVFFDFTYWLPEVSGRLGIKAVLYCTISPATVGYLMSPERNLLEKSRTGDDLTKPPASFPPSSIKLRAHEARTLAATAENEYGSGITFMERQMLSFNGSDVISFKTCREMEGPFCQYVEKQFGKPVILAGPVVPEPPDSVLDEHIAEFLDGFEPGTVVFCAFGSECILAKDQFQELVLGLELTGLPFLAALKAPIGAETIESALPEGFSERTKGRGWVYGSWIQQQLILKHPSVGCFVTHCGSGSLSEAMVKECQLVLLPYAGDQIINARLMGGDLKVGVEVEKGEEDGLFTRDGVWKAVKAVTDDDSEIAKEVRRNHTKWREFLSSDKLEDSYIDSFIQNLHALLD >Manes.09G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4866538:4873793:-1 gene:Manes.09G024300.v8.1 transcript:Manes.09G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPKISINDGNLVVHGKTILTGVPDNIVLTPGSGVGLVAGAFLGATASNSKSLHVFPVGVLEGLRFMCCFRFKLWWMTQRMGNCGRDIPLETQFMLVESRDGGEGVDQDDAQTIYTVFLPLLEGQFRAVLQGNEKNEMEICLESGDNAVETKQGLYLVYMHAGTNPFEVINQAVKAVEKHMKTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTPPRFLIIDDGWQQIETKAKEDANAVVQEGAQFASRLTGIKENAKFQKNGENAEEARGLKHVVEDAKRRHNVKFVYVWHALAGYWGGVNPAAAGMEHYDPALAYPVQSPGILGNQPDIVMDSLAVHGLGLVHPKKVFDFYNELHAYLASCGVDGVKVDVQNIIETLGSGHGGRVSLTRSYHQALEASVARNFPDNGCIACMCHNTDGIYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTVFLGEFMQPDWDMFHSLHPAADYHGAARAIGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDSLFVDPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCKVEKKTRIHDASPGTLTGSVRATDVDCIAQVAGADWNGETIVYAHKSGEVFRLPKGASVPVTLKVLDFELFHFCPIKEITSNISFAPIGLLDMFNTGGAVDQFEIKMASDKKPEHLDGEVSTDVTTSLSENRSPTATIALKVRGCGRFGAYSSQRPLKCIVGNAETNFNYDPDTGLVTLTLPVPEEEMYRWPVEIHV >Manes.18G050300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4322729:4326986:-1 gene:Manes.18G050300.v8.1 transcript:Manes.18G050300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMADHYLLGWILASVLGLFAFYYMVYLVVRREEENNRRAFSEQRSDSVKTIPATNGERRSDDDCHVDVIIVGAGVAGSALAHTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVFGYALFKDGKHTQLAYPLEKFHSDVAGRSFHNGRFIQRMREKAASLPNVQLEQGTVTSLLEEKGTIKGVLYKTKTGEELTAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLVLENCQLPYANHGHVILADPSPILFYPISTTEVRCLVDVPGQKVPSISSGEMANYLKNVVAPQVPPEIYSSFVAAVDRGNIRTMPNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLRDLHDAPTLCRYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMRQACFDYLSLGGIFSTGPVSLLSGLNPRPLTLVLHFFAVAIYGVGRLLLPFPSPQRIWIGARLVSGASGIIFPIIKAEGVRQVFFPATVPAYYRAPPIKHN >Manes.11G087500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13950062:13951673:-1 gene:Manes.11G087500.v8.1 transcript:Manes.11G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMREGGLLCGSRCQDCGNQAKKECIYMRCRTCCKSKGFQCETHVRSTWIPAYRRRRRPQSLSSSCATAAAAVLAMQQQHSSDQHNPKRLRENPLTAGLEVGNFPAQVNSIATFRCFRVSSADKAEDQFVYQTSVNIGGHIFKGILYDQGPEESSSGHLQDPNLTNAGGALSTATAALASTSSSVAADSLAASYTFPLNAFISGTQLFLHPKS >Manes.05G175300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29192171:29195196:-1 gene:Manes.05G175300.v8.1 transcript:Manes.05G175300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHYSIISCACFFFAAISIFIPTRAQKDNYIVHMDLSAMPKAFSSQHSWYLATLSSAFAVSASRSTIASTTSTSSKLIYTYTHAMNGFSAHLSPSELEALKNSPGYISSFKDLPVKLDTTHSPQFLGLTGNSGAWQATNYGEDVIIGVVDTGIWPESESYNDNGIYEIPKRWKGECESGTMFNSSLCNKKLIGARSFNKGLIARNITISMNSTRDTDGHGTHTSSTAAGNFVEGASFFGYAPGTASGVAPRAHVAMYKALWDEGATTSDIIAAIDQAIIDGVDVLSISLGLDGVPLYEDPIALASFAALEKNIFVSTSAGNQGPFLGTLHNGIPWVLTVAAGTIDREYDGVLRLGNGVSVTGLSLYPGNYTETEVPIVFLDACLSKQLNTVERKIVVCEDKNSSLGSQFNNVRQANVTGGIFITNFTDLEFLIETDFPAIFVNPKDGETIKDFIKSSSKPQASLEFQETKLGIETAPSLTSYSSRGPSPSCPFVLKPDIMAPGSLILAAWPQNIEVVRINSKPLFSNFNILSGTSMACPHAAGVAALLRKAHPDWSPAAIRSAMMTTADTMDHTHGPIKDIGNDNKPATSLDMGAGQVNPSKALDPGLIYDLSSTDYVKLLCALNFTENQIKAITKSPSNDCSVPSLDINYPAFVAFFNSNSSEMSSTTVREFHRTVTNVGEAMSTYTANITPMKGLKVSVMPEKLDFKSKNEKLNYKLVIEGPSQLEESVVFGYLSWVDVEGKRVVRSPIAAISLSSESLS >Manes.08G127900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36874436:36880870:1 gene:Manes.08G127900.v8.1 transcript:Manes.08G127900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLLESSQLKWPRSLPVRLCPSRPLPPAPYPLHLIPAKLGNNLRIKGHSFAKLIVKCSCMDKHLTNSDNNAPSSTSNSNPTSLGRSVSTNPFGIIYNTIIKSLEALKKPAIALILVGLLLLCDNSSAFAASGGRMGGRSFSRSSSSSSSSYSVPPTSSPGLSYSVPYHAPSPFGGGGGGIYVGSAVGFGVDAGSTLFFILAGFTAFMLVSGFLSDRNGDGVLTATEKNSVLKLQVGLLGMGRSLQRDLNRIAEIADTSSSDGLSYVLTETSLALLRYPDYCISGYSYADVKQSIEDGEKRFNQLSIEERGKFDEETLVNVNSVKKQSTTSQRANGFNNEYIVITILVAAEGVHKLPTINGSGDLKAALQKLGSIPTSKILAVEVLWTPQNENDTLTEQELLEDYPLLRPL >Manes.08G127900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36874436:36879674:1 gene:Manes.08G127900.v8.1 transcript:Manes.08G127900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLLESSQLKWPRSLPVRLCPSRPLPPAPYPLHLIPAKLGNNLRIKGHSFAKLIVKCSCMDKHLTNSDNNAPSSTSNSNPTSLGRSVSTNPFGIIYNTIIKSLEALKKPAIALILVGLLLLCDNSSAFAASGGRMGGRSFSRSSSSSSSSYSVPPTSSPGLSYSVPYHAPSPFGGGGGGIYVGSAVGFGVDAGSTLFFILAGFTAFMLVSGFLSDRNGDGVLTATEKNSVLKLQVGLLGMGRSLQRDLNRIAEIADTSSSDGLSYVLTETSLALLRYPDYCISGYSYADVKQSIEDGEKRFNQLSIEERGKFDEETLVNVNSVKKQSTTSQRANGFNNEYIVVRFHL >Manes.01G145500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33573353:33575209:1 gene:Manes.01G145500.v8.1 transcript:Manes.01G145500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFLPFNNSYPSLFLAPFHALFLSFLSVYSFLICFVASLCFLLLFQSIMEPQQQQQQQQNQQQPNDDNSSGAKGNFLCRQSSTRWTPTTDQIRILKDLYYNSGVRSPSAEQIQRISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTTDVPMQQRTVSNASSWKPEDYSFHNKYPNIAPGFSSASPSSAAGLPVGQMGNYGYGSVTMEKSFRDCSISATANGGVGGSMSPNCGWVGIDPYCSSYSLFDKQKSTNETLEDEEQDQDQEEEATPGIETLPLFPTQREDINGFCNMKHHPICYSENYCYGSDDGNNASRTSLELSLNSYSNGQARDSI >Manes.16G096500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30222534:30237200:-1 gene:Manes.16G096500.v8.1 transcript:Manes.16G096500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCYYKMGHTKKAWQAFERVLQLDPENVEALVALAILDLQTNEAAGIRRGVEKMQKAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYETASRYYWASVKEINKPSDFVFPYYGLGQVQLKLGDIKNALSNFEKVLEVYPDNCETLKVLGHIYVQLGQTEKSLELLRKATKIDPRDAQAFLDLGELLISSDTGAALDAFKTAYGLLKKGGQQVPIEVLNNIGVIYFEREELTLALETFKEALGDGIWRAFLDGQAKTYAVDAAASIFQYKDVQLFHRLQEDSFVVELPWDKVTPLFNLARLLEQMHSTETASVLYRLILFKYPDYVDAYLRLAAIAKARNNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDEWVKAKETFRAASEATDGKDSYAILALGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDLFTQVQEAASGSIFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFYNNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYILRFDAGVAMQKFSASTLQKTKRTVDEVRSTVDELENAVRLFSQLSASSNLQFHGFDEKKINTHVEYCKHLLEAAKVHREAAEREEQQNRQRQEVARQMALAEEARRKAEEQRKFQLERRKQEDELKRVRQQEEHFERVKEQWKSSTPGSKRRDRSEMDDDEGANSEKRRRKGGKKRRKEKSSKSHYEMEETEADMMDDQEELEDEDVNVNDGEHRNQRNDHDENENAEENAQELLAAAGLEDSDAEDEAPAPATSRRRRAWSESDDEEVLERKPQSSPVQENSTELQESDGEIREDVDKQHGDAVVDDED >Manes.16G096500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30223270:30232905:-1 gene:Manes.16G096500.v8.1 transcript:Manes.16G096500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCYYKMGHTKKAWQAFERVLQLDPENVEALVALAILDLQTNEAAGIRRGVEKMQKAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYETASRYYWASVKEINKPSDFVFPYYGLGQVQLKLGDIKNALSNFEKVLEVYPDNCETLKVLGHIYVQLGQTEKSLELLRKATKIDPRDAQAFLDLGELLISSDTGAALDAFKTAYGLLKKGGQQVPIEVLNNIGVIYFEREELTLALETFKEALGDGIWRAFLDGQAKTYAVDAAASIFQYKDVQLFHRLQEDSFVVELPWDKVTPLFNLARLLEQMHSTETASVLYRLILFKYPDYVDAYLRLAAIAKARNNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDEWVKAKETFRAASEATDGKDSYAILALGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDLFTQVQEAASGSIFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFYNNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYILRFDAGVAMQKFSASTLQKTKRTVDEVRSTVDELENAVRLFSQLSASSNLQFHGFDEKKINTHVEYCKHLLEAAKVHREAAEREEQQNRQRQEVARQMALAEEARRKAEEQRKFQLERRKQEDELKRVRQQEEHFERVKEQWKSSTPGSKRRDRSEMDDDEGANSEKRRRKGGKKRRKEKSSKSHYEMEETEADMMDDQEELEDEDVNVNDGEHRNQRNDHDENENAEENAQELLAAAGLEDSDAEDEAKPAPATSRRRRAWSESDDEEVLERKPQSSPVQENSTELQESDGEIREDVDKQHGDAVVDDED >Manes.16G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30222535:30237202:-1 gene:Manes.16G096500.v8.1 transcript:Manes.16G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKLEQFRQILEEGSSHEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEEYFIQATKYYNKASRIDMHEPSTWVGKGQLLLAKGELEQASNAFKIVLEGDRDNVSALLGQACVEYNRGHYSDSLASYKRALQVYPNCPGAVRLGMGHCYYKMGHTKKAWQAFERVLQLDPENVEALVALAILDLQTNEAAGIRRGVEKMQKAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYETASRYYWASVKEINKPSDFVFPYYGLGQVQLKLGDIKNALSNFEKVLEVYPDNCETLKVLGHIYVQLGQTEKSLELLRKATKIDPRDAQAFLDLGELLISSDTGAALDAFKTAYGLLKKGGQQVPIEVLNNIGVIYFEREELTLALETFKEALGDGIWRAFLDGQAKTYAVDAAASIFQYKDVQLFHRLQEDSFVVELPWDKVTPLFNLARLLEQMHSTETASVLYRLILFKYPDYVDAYLRLAAIAKARNNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDEWVKAKETFRAASEATDGKDSYAILALGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDLFTQVQEAASGSIFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFYNNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYILRFDAGVAMQKFSASTLQKTKRTVDEVRSTVDELENAVRLFSQLSASSNLQFHGFDEKKINTHVEYCKHLLEAAKVHREAAEREEQQNRQRQEVARQMALAEEARRKAEEQRKFQLERRKQEDELKRVRQQEEHFERVKEQWKSSTPGSKRRDRSEMDDDEGANSEKRRRKGGKKRRKEKSSKSHYEMEETEADMMDDQEELEDEDVNVNDGEHRNQRNDHDENENAEENAQELLAAAGLEDSDAEDEAPAPATSRRRRAWSESDDEEVLERKPQSSPVQENSTELQESDGEIREDVDKQHGDAVVDDED >Manes.16G096500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30223270:30237200:-1 gene:Manes.16G096500.v8.1 transcript:Manes.16G096500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKLEQFRQILEEGSSHEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEEYFIQATKYYNKASRIDMHEPSTWVGKGQLLLAKGELEQASNAFKIVLEGDRDNVSALLGQACVEYNRGHYSDSLASYKRALQVYPNCPGAVRLGMGHCYYKMGHTKKAWQAFERVLQLDPENVEALVALAILDLQTNEAAGIRRGVEKMQKAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYETASRYYWASVKEINKPSDFVFPYYGLGQVQLKLGDIKNALSNFEKVLEVYPDNCETLKVLGHIYVQLGQTEKSLELLRKATKIDPRDAQAFLDLGELLISSDTGAALDAFKTAYGLLKKGGQQVPIEVLNNIGVIYFEREELTLALETFKEALGDGIWRAFLDGQAKTYAVDAAASIFQYKDVQLFHRLQEDSFVVELPWDKVTPLFNLARLLEQMHSTETASVLYRLILFKYPDYVDAYLRLAAIAKARNNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDEWVKAKETFRAASEATDGKDSYAILALGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDLFTQVQEAASGSIFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFYNNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYILRFDAGVAMQKFSASTLQKTKRTVDEVRSTVDELENAVRLFSQLSASSNLQFHGFDEKKINTHVEYCKHLLEAAKVHREAAEREEQQNRQRQEVARQMALAEEARRKAEEQRKFQLERRKQEDELKRVRQQEEHFERVKEQWKSSTPGSKRRDRSEMDDDEGANSEKRRRKGGKKRRKEKSSKSHYEMEETEADMMDDQEELEDEDVNVNDGEHRNQRNDHDENENAEENAQELLAAAGLEDSDAEDEAKPAPATSRRRRAWSESDDEEVLERKPQSSPVQENSTELQESDGEIREDVDKQHGDAVVDDED >Manes.16G096500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30223270:30237200:-1 gene:Manes.16G096500.v8.1 transcript:Manes.16G096500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCYYKMGHTKKAWQAFERVLQLDPENVEALVALAILDLQTNEAAGIRRGVEKMQKAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYETASRYYWASVKEINKPSDFVFPYYGLGQVQLKLGDIKNALSNFEKVLEVYPDNCETLKVLGHIYVQLGQTEKSLELLRKATKIDPRDAQAFLDLGELLISSDTGAALDAFKTAYGLLKKGGQQVPIEVLNNIGVIYFEREELTLALETFKEALGDGIWRAFLDGQAKTYAVDAAASIFQYKDVQLFHRLQEDSFVVELPWDKVTPLFNLARLLEQMHSTETASVLYRLILFKYPDYVDAYLRLAAIAKARNNLQLSIELVNEALKVNDKCPNALSMLGDLELKNDEWVKAKETFRAASEATDGKDSYAILALGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDLFTQVQEAASGSIFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLRKFYNNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYILRFDAGVAMQKFSASTLQKTKRTVDEVRSTVDELENAVRLFSQLSASSNLQFHGFDEKKINTHVEYCKHLLEAAKVHREAAEREEQQNRQRQEVARQMALAEEARRKAEEQRKFQLERRKQEDELKRVRQQEEHFERVKEQWKSSTPGSKRRDRSEMDDDEGANSEKRRRKGGKKRRKEKSSKSHYEMEETEADMMDDQEELEDEDVNVNDGEHRNQRNDHDENENAEENAQELLAAAGLEDSDAEDEAPAPATSRRRRAWSESDDEEVLERKPQSSPVQENSTELQESDGEIREDVDKQHGDAVVDDED >Manes.01G000062.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:111679:113329:1 gene:Manes.01G000062.v8.1 transcript:Manes.01G000062.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISVDGFRGRKKSSSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDDAARALRGDNARTNFELPLPALNSGGGLAGAADKIEPFSFEDVCGSGIEEEGILGALKAKLFDGKGLRVLPPVSCSSGMQFAGNVKKDMASTGENVPHAIGLGTLNPNQGSCSGNPAGFSKADLLSDHGNMVTGHLPAQWNQLCQTSAPTNAVWSNEPAYEVASWPTQMNHIPESAAAFGSDAETTATTTSTWQLSVATEPTIDIPYSNNPCTVELQTSRIGGMNVMSLPISQIDGIADGVLLSEQQFVHCENSGWAGVNGSWDSLLYGSSVLGPG >Manes.14G057500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4858740:4860890:1 gene:Manes.14G057500.v8.1 transcript:Manes.14G057500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHLQHYGVISSKRVAEVMATIDRALFVPDGTPAYVDSPMAIGYNATISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAMMVGPQGRAVGVEHIPELVMSSIKDIEKSAAAPLLRESSLLMHVGDGRQGWPKFAPYDAIHVGAAAPEIPEPLIDQLKPGGRMVIPVGDMLQALEVIDKDQDGSISVRTETCVSYVPLTSRDAQLGDY >Manes.14G057500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4858740:4860890:1 gene:Manes.14G057500.v8.1 transcript:Manes.14G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSWAGSGINKNKAMVEHLQHYGVISSKRVAEVMATIDRALFVPDGTPAYVDSPMAIGYNATISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAMMVGPQGRAVGVEHIPELVMSSIKDIEKSAAAPLLRESSLLMHVGDGRQGWPKFAPYDAIHVGAAAPEIPEPLIDQLKPGGRMVIPVGDMLQALEVIDKDQDGSISVRTETCVSYVPLTSRDAQLGDY >Manes.14G057500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4858740:4860890:1 gene:Manes.14G057500.v8.1 transcript:Manes.14G057500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQSWAGSGINKNKAMVEHLQHYGVISSKRVAEVMATIDRALFVPDGTPAYVDSPMAIGYNATISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAMMVGPQGRAVGVEHIPELVMSSIKDIEKSAAAPLLRESSLLMHVGDGRQGWPKFAPYDAIHVGAAAPEIPEPLIDQLKPGGRMVIPVGDMLQALEVIDKDQDGSISVRTETCVSYVPLTSRDAQLGDY >Manes.09G016772.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3692569:3695746:-1 gene:Manes.09G016772.v8.1 transcript:Manes.09G016772.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHIDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLNILINCFCRLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCKGSKFDKAVEFFDDMVAHGYQPDVYTYSTMINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQRRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMVQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVNYNSLMDGYCLFNQIDKARKLFDLMVTNEIADIFSYSILINGYCKCKMIDDAKEIFDEMYHKGLVPDAVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCSQGNLDEALTLLKKIEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLRPNVYVYSAIMKGLCREGLIDEAYKVFRDMEKGGCLPNNCCYNIIIQGFLKHEDLPKAAELINEMVDKGFSADATTIELVVRLLCNDDLILRLLKVRNEGSAN >Manes.06G124400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25453932:25460861:1 gene:Manes.06G124400.v8.1 transcript:Manes.06G124400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVSSGSIAEEMAVSLSTLVPAPPQIVGVSCCDLGGMQGGANLGNRMQMDFQCPSLDDVQKKTILDHKNGRLTHKIGQNMQTPIPRIVGFESRGFNSPVSFFKENHSVSNAVSSTDNAIESTGSLVRKRLLSPLNGMLLPDQFNGDSLDIGDNFYNSNFRRGKDDYEVSESQEHKKAHIGNSSYFDSSNCCAPYLPEWKNSQDDSYGAKSIFFVDGPVLDNKVLTSHIPFVSPLGLNYSEETTKVRSQTGAIAIPVKKAVSSPLSLSPLGPKLPERINSAGLCHNVSEKLNDEYLTFKDIEQSLDGTFPGILSSQKHEDSRTLHESLQDFDNFHRSLDVLTPEITSNVVHSWGEDTNLPPCVKLSRTLGGLPVRRSLVGSFEESLLSGRLLSGKASQRIDGFLAVLNVTGGDFSPQSQKLPFSVISVDGDNYLLYYSSIDLAAGNSPTKNCSGTKMRRSLSIDDSQADQCRLRIPVKGRIQLVLSNPEKTPIHTFFCNYDLSDMPAGTKTFLRQKITLYSSGLNGRNRDSDIKSNVKQSFMPDSIHSLSHGREASNLNGLDAEHTIMSPNHSNQCINTSMEANCPLNSTGVFGSKSVHSPSKANENTAGAGVLRYALHLRFLCPLPRKSPRSVRRCKSDPLSVPTGNKTEMQGDRRFYLYSNMKVVFPQRHSDADEGKLHVEYDYPSDPKYFDI >Manes.11G087166.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13791299:13806881:1 gene:Manes.11G087166.v8.1 transcript:Manes.11G087166.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTESSYVSSPEAPRKRSPPPPKSLTSDYVEKPTYIRFLVSNAAAGSVIGKGGATISDFQSQSGARIQLSRNYEFFPGTSDRIIMISGALDDVIKAVELILAKLLSELHAEDGVNIDPRTKVRIIVPNSSCGSIIGKGGAIIKSFIEESQAGIKISPQDNNFYGLNDRLVTLTGTLEEQIRAIDLILSKLFEDPHYSQTMNAPFSYAVAYNSVNYGANGAAGKFQNNKEDRTNSVTIGVADEHIGLVVGRGGRNITEISQVSGARIKISDRGDFMSGTNDRKITITGSQRAIRAAEGMIMQKVAYASERGAD >Manes.03G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27405185:27413012:-1 gene:Manes.03G146600.v8.1 transcript:Manes.03G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFSESTEAVLNLVCTTCGKPCRSKTESDLHTKRTGHTEFADKTSEAAKPISLEVTKAPMEVDEPGDGSSSAQPEEMVAPEVDQKLLEELEGMGFPKARATRALHYSGNISLEAAVNWVVEHENDPDVDEMPLIPANTKAEAPKPTLTPEEMKLKAQELKERARKKKEEEEKRMEREREKERIRIGRELLEAKRIEEENERKRLLALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEEPATVKPSASVAEEKKSSLPVRPATKAEQMRECLRTLKQTHKDDDAKVKRAFETLLTYIGNVAKNPNEEKYRKIRVKNQTFLDRVGSLKGGIEFLEICGFEKIEGDEFLFLPRDKVEMAVLNSAGSELNSAISNPFFGVL >Manes.16G091900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29818896:29822840:-1 gene:Manes.16G091900.v8.1 transcript:Manes.16G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLPNYSLPSLLPAQKPTPQFYSSQHLPLLSKPSHSQFFGLKVSHSVSLSTPSSFPAKTAIFAKVNKGQVPPSFTLKDQDGKNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDTSSHKAFAKKYRLPFTLLSDEGNKVRKDWGVPSDLFGALPGRQTYVLDKKGVVQLIYNNQFQPEKHIDETLKLLQSL >Manes.10G015200.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495240:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495046:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495248:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495048:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495048:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495335:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495048:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495046:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495306:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495048:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1486642:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFSFTPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1487111:1488787:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFSFTPSSSAQTDSGISLFGSIPSFFAQSDSGFTFGGSKPSLSAQSDSGFTFGGSNPSLSGSLNLGPVTAKNGGRFFRCRKTGRQRLARATSLPYTDQTNTNSCEINAESGHGTTRTAEVLSNLQKVNIEAELGGKESNNTNEVSPLTPSFIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKMMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495046:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495046:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495048:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495048:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495046:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495334:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495240:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495046:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSNPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.10G015200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1495048:1498598:1 gene:Manes.10G015200.v8.1 transcript:Manes.10G015200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGVPLFRFPPSSSEQTDSGISLFGSIPSFPAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSTFGGSKPSLSARSDYGFTYGGSKPSLSAQSDSGSPFGGSKPSLSAQSDSGSPFGGSKPSLSAVSGSAFNLGGSKPSLSSVSGSAFNLGGSKPSLSSLSDSGFTFDGSKPSLSGVPYTDQTNTNSCEINAESGHGTTSTAEVLSNLQKVNIEEEIAGKESNNTNEVSPLTPSCIKKEEEAREANPEAAKTSSLMVISRILKGIPQDPHFYQLRNHSELARKIMICSWDQIFLETAEKIHSLQPNGFWVRARELWKTMEELQSMGYNVIPLRRRLVELTNVMTDLKLFKSNIKGLKIKAEDHRAEKRRLKFVILSLQEIIMGEEEGMERVVAEVMDLEKELPKFDEAFANLALEPL >Manes.03G125551.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25340209:25341413:1 gene:Manes.03G125551.v8.1 transcript:Manes.03G125551.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLFPLFALLLVLEGINSSLLPAEVYWRSKLPNTPLPKALQDLLKPAADERKKITYSFSEDAVGARICYEISYWEKMTKISNQNSIPSNTTTIFFLQDDLLPGKKMKLAFTKSTNGSNFLPREIAESIPFSTNKLSEILNHFSINPRSKEAEIMKITIEECEAPNVRGQDKYCATSLESLVDFAIGKYGKNVEAVLNEAEEENESQEYTILKGIIMVGEEQIVCHRERYVYAVFYCHTIKFTKVYSISMVGEDGSKAKAIVVCHTDTSAWSPKHYAFQVLKVKPGGPPICHFLNDDAIVWVPY >Manes.06G113400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24431081:24439798:1 gene:Manes.06G113400.v8.1 transcript:Manes.06G113400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSQDATVTVLRPKLLIPTKEPGLQWLIGSPFFPPFTVISAFRCIHYLENSSSPDYDKESDDARSLLLKGFDVIGALVAGSSDFEKSAREAINAACQLRKLLSDGRELRNEGTIGAVADLDTGHVHVFLSRSGDSTSVLSLASVVYKEHSEEYVWETGCLIRCQLPIKLPFFVPLGNPPERMFLRATEAAVAQLKDSQVVFMLERLNEGSSDVPEPIIIRGRELNFRVDLSDIKPVGEEASQDSDPKTLTCKNFCLKSKSSQTVISVENADIIQVSVLFNRSEKSPKSMAPVAEYFPALEEARLLVVDFELDVLCYAAKNLSLIHAVSELVIPALVHQLNSMKKAVLPKLLTECPQLHAYHFNPTGFVHPVTAIYELSYGETEMKQVEIRRSLHLRLGLPFDRPLLRVANALDFSKVKDNSGSNLRQKGLSLLKDVHIGIPSSGVSRGIVSLVQGSYEYHHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYTSIEVPSHREIQQSLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVTCKIINVRSGAELPEKCRELALHFENQGTPVMIGGGVLAYTLLGVDYNESTGDCAFLILDPHYTGNDEHKKIINGGWCGWKKAVDSKGKNFFLHDKFYNLLLPQRPNMV >Manes.06G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24431081:24439798:1 gene:Manes.06G113400.v8.1 transcript:Manes.06G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSQDATVTVLRPKLLIPTKEPGLQWLIGSPFFPPFTVISAFRCIHYLENSSSPDYDKESDDARSLLLKGFDVIGALVAGSSDFEKSAREAINAACQLRKLLSDGRELRNEGTIGAVADLDTGHVHVFLSRSGDSTSVLSLASVVYKEHSEEYVWETGCLIRCQLPIKLPFFVPLGNPPDAERMFLRATEAAVAQLKDSQVVFMLERLNEGSSDVPEPIIIRGRELNFRVDLSDIKPVGEEASQDSDPKTLTCKNFCLKSKSSQTVISVENADIIQVSVLFNRSEKSPKSMAPVAEYFPALEEARLLVVDFELDVLCYAAKNLSLIHAVSELVIPALVHQLNSMKKAVLPKLLTECPQLHAYHFNPTGFVHPVTAIYELSYGETEMKQVEIRRSLHLRLGLPFDRPLLRVANALDFSKVKDNSGSNLRQKGLSLLKDVHIGIPSSGVSRGIVSLVQGSYEYHHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYTSIEVPSHREIQQSLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVTCKIINVRSGAELPEKCRELALHFENQGTPVMIGGGVLAYTLLGVDYNESTGDCAFLILDPHYTGNDEHKKIINGGWCGWKKAVDSKGKNFFLHDKFYNLLLPQRPNMV >Manes.01G223700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39016618:39017241:-1 gene:Manes.01G223700.v8.1 transcript:Manes.01G223700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTIPTESHRPSNLCIISNAKEKIELSLGTRRSWGQMMQLQSFNLPITFSESVQKIKTNAAFFRYNYAIIILFILFLSLLWHPISLIVFIIMMAAWMFLYFLRDDPLVVVGSVISDKVVMVILLMATIIILSLTNVTENIIYAMLLGAVVILVHGAFRNIDDLMFVEDEEGFGSAGILGSRDNIATVPLKNAASPSFSTSQSSI >Manes.04G095000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30077704:30081050:1 gene:Manes.04G095000.v8.1 transcript:Manes.04G095000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLIYQIFSSSALLSLGLYHLYFTILTFLKSPPSFSARPHHPLPSSSSRLKHLHLYLLLLCLLIAISHQILISSDSDPLIKGHTPVHLFSSFQSAALLFFFFLVSVALLLSETTTLFPLPPDAFFALGSALFLLQYFVSSSAASVQTSDLQAKCDSVSAQISAVVAFLCSILAFQPKFFIADVGLAAAICLQGLWVLQTGLYLNVEAFIPQGCHRLLDVVSGVESSTECDLEESRLRAVAILDLLFVVHVTIVVLIVMVTYAVMARTNGTRRLGSYEALPTIASDSNLIQMKALTGTQA >Manes.15G146100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12010402:12012183:-1 gene:Manes.15G146100.v8.1 transcript:Manes.15G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETSSTMVAERKGLRRRPKFGALLHSDDPASFSNQEDDYSNQKTSHSPASPASPRYSNSSAPTSGEASPYPMSPWSQPSPYSKSPWIIPSPVINHNLSSNGLVGSIVREEGHVYSLAASGDLLYTGSDSKNIRVWKNLKEFSGFKSNSGLVKAIVISGDKIFTGHQDGKIRIWKTFPKNPSVHKRIGSLPTFKESIKKSINPNNYVEVRRHRNVLRIKHYDAVSCLSLNAEQGLLYSGSWDKTVKVWRISDYKCLESIDAHDDAINSVVAGFDSLVFTGSADGTVKVWRRELVGKGTKHFLVQTLLKQENAVTAVAVNQESAVIYCGSSDGLVNFWEREKHLSHGGVLRGHKMAVLCLATAGNLVLSGSADKSICVWRREPGGVHICLSVLTGHGGPVKCLTVEEDQDSNKGDQRWTIYSGSLDKSVRVWRVSEDAPELREYHSSSTSNYQSARLSNASPPKNKSRYQR >Manes.13G092600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27327046:27366401:-1 gene:Manes.13G092600.v8.1 transcript:Manes.13G092600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHFVLIHTICHGAWIWYKLKPVLEAAGHKVTALDLAASGVDPRQIEQINSFDEYSEPLLTFMEELPQGEKVILVGESCGGLNIAIAADKYPEKIAAAVFQNSLLPDTKHKPSYVVDKLMEVFPDWKDTEYFEFSNSNGETITGMVLGLKLMRENLYTICPPEDYELAKMLTRRGSLFQNILAQREKFTEKDYGSMKKIYVWTEEDKIFLPEFQNWQMNNYKPDMVYRVIGGDHKLQLTKTNEIAQILQQVADIYA >Manes.13G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27364031:27366404:-1 gene:Manes.13G092600.v8.1 transcript:Manes.13G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHFVLIHTICHGAWIWYKLKPVLEAAGHKVTALDLAASGVDPRQIEQINSFDEYSEPLLTFMEELPQGEKVILVGESCGGLNIAIAADKYPEKIAAAVFQNSLLPDTKHKPSYVVDKLMEVFPDWKDTEYFEFSNSNGETITGMVLGLKLMRENLYTICPPEDYELAKMLTRKGSLFQNILAQREKFTEKGYGSIKKIYVWTGDDKIFLPEFQLWQIKNYKPDLVFRVMGGDHKLQLTKTKEIAGILQKVADIYA >Manes.01G053000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20624490:20629953:1 gene:Manes.01G053000.v8.1 transcript:Manes.01G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHTANYPPKPHKFTPFSLSSCLYIIIHIRSSHIRILGDKSFSGKSISSSSSSSPPTATAVFSFVDEMPEQEDDKSKENQTVGGDKGETLMDLDLDLDTSWPLDQISYLSNPLSPLLLSSSDQPCSPLWAFSDADDDRLLPVSSASHATTPPLRFSDYPIFLACAPNSVAENHTENDDKRKFPSPLLGLMPFDNPDGYCVIKERMTLALRKFKESTEQHVLAQVWAPTKNGGRYVLTTSGQPFVIDPHSNGLHQYRMVSLMYMFSVDGDCEGELGLPGRVFRQKLPEWTPNVQYYSSKEYSRRDHALHYNVQGTLALPVFEPFGQSCVGVLELIMTSQKINYGPEVNKVCKALEAVNLRSSEILDHPSTQICNEGRRNALAEILEILTVVCETHKLALAQTWVPCMHRSVLAFDGGLKKSCTSFDGSCNGQVCMSTTDVAFYVVDPHMWGFREACLEHHLQKGQGVAGRAFLSHNACFCPDITQFCKTEYPLVHYARMFGLSGSFAICLRSSYTGNDNYVLEFFWPLSISDNYEQNALLGSLVATMKQHFQSLKVASGMDLEEEEGFVEIIQTSMSGRLDLRLECIRIPQSPKSPPNLNTSPKEGKTAQLDPLKHHLMVNLDAVDNGGNISQAEGSHFPTSLPENKGNKKPSERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGAFDLTPLTSPLPVTVGSISWPSNLNGCNQQNSLNSKSLEPIDEKNGSPICNAAGNDGQGGGEAQLLGGRILSREEAVLQNGFSPKIGLVSDRFKAGNASGEESTGTPTSHGSCQGSPANDSAPANDSPVSPVHEKCKNAGNTPELAFQTKGKLNLSAAYSVPDALVATEAQAPLGEMLIKDAGSSKDLRNLCPSVADTILEERVPESSWTNYPGPDFPTTEAVAALEHAMTQGTTRQEMMTITIKAAYREDIIRFRISLSSGIVELKEEVAKRLKLEVGTFDIKYLDDDHEWVLIACDADLQECIDISRSSGSSIIRLSVHDVNTNLGSSCESNGEL >Manes.01G053000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20624490:20629953:1 gene:Manes.01G053000.v8.1 transcript:Manes.01G053000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHTANYPPKPHKFTPFSLSSCLYIIIHIRSSHIRILGDKSFSGKSISSSSSSSPPTATAVFSFVDEMPEQEDDKSKENQTVGGDKGETLMDLDLDLDTSWPLDQISYLSNPLSPLLLSSSDQPCSPLWAFSDADDDRLLPVSSASHATTPPLRFSDYPIFLACAPNSVAENHTENDDKRKFPSPLLGLMPFDNPDGYCVIKERMTLALRKFKESTEQHVLAQVWAPTKNGGRYVLTTSGQPFVIDPHSNGLHQYRMVSLMYMFSVDGDCEGELGLPGRVFRQKLPEWTPNVQYYSSKEYSRRDHALHYNVQGTLALPVFEPFGQSCVGVLELIMTSQKINYGPEVNKVCKALEAVNLRSSEILDHPSTQICNEGRRNALAEILEILTVVCETHKLALAQTWVPCMHRSVLAFDGGLKKSCTSFDGSCNGQVCMSTTDVAFYVVDPHMWGFREACLEHHLQKGQGVAGRAFLSHNACFCPDITQFCKTEYPLVHYARMFGLSGSFAICLRSSYTGNDNYVLEFFWPLSISDNYEQNALLGSLVATMKQHFQSLKVASGMDLEEEEGFVEIIQTSMSGRLDLRLECIRIPQSPKSPPNLNTSPKEGKTAQLDPLKHHLMVNLDAVDNGGNISQAEGSHFPTSLPENKGNKKPSERKRGKTEKSISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGAEGAFDLTPLTSPLPVTVGSISWPSNLNGCNQQNSLNSKSLEPIDEKNGSPICNAAGNDGQGGGEAQLLGGRILSREEAVLQNGFSPKIGLVSDRFKAGNASGEESTGTPTSHGSCQGSPANDSAPANDSPVSPVHEKCKNAEAQAPLGEMLIKDAGSSKDLRNLCPSVADTILEERVPESSWTNYPGPDFPTTEAVAALEHAMTQGTTRQEMMTITIKAAYREDIIRFRISLSSGIVELKEEVAKRLKLEVGTFDIKYLDDDHEWVLIACDADLQECIDISRSSGSSIIRLSVHDVNTNLGSSCESNGEL >Manes.10G149325.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31722971:31726926:-1 gene:Manes.10G149325.v8.1 transcript:Manes.10G149325.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKCLGKCLTTQQYLRFPVLLGFDSYFAALLHAGAIKDNSSGKIADDSYHRYKEDVALMKGLGFDAYRFSISWPRILPFGHVSGGINQKGIDYYNNLINELLSNGIKPFVTLFHWDVPQALEDEYDSFLSPKIVKDFRDYAELCFSKFGDRVKHWITLNEPLSYAGTVYVVGRCSKSFSTNCSGGDSSTDPYTVGHYQLLAHAAAVEVYRKKFQKSQKGQIGITLNAGWFVPFTESSNDHKAASRAIAFQYDWFMEPLKSGSYPIDMVKLVGKRLPTFSEKEASLVEGSFDFIGVNYYTANYAKDVPCKNNSFSYLTDSCVNVSSCRNGVPIGNKSGSSWLYVYPRGIQDLLLYTKYKFDDPVIYITENGVSELNTGSVSLEDNLRVDYYREHLSYLENAMAIGVNVKGFFAWSLLDNFEWNYGYTVRFGLIFIDYKDGLKRHPKKSANWFKDFLAPGNHTA >Manes.10G149325.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31722973:31739241:-1 gene:Manes.10G149325.v8.1 transcript:Manes.10G149325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLTMKVMIFLSSLFLLVSVVFSEDSIEHLAPVHRTASSAYQYEGAAHEDGREASTWDIFTQKYPVAHSHQGTPATNTKGAYSLKRNSFPADFVFGTASSAYQYEGAASEDGKGPSIWDTFTRRYPGAIKDNSSGKIADDSYHRYKEDVALMKGLGFDAYRFSISWPRILPFGHVSGGINQKGIDYYNNLINELLSNGIKPFVTLFHWDVPQALEDEYDSFLSPKIVKDFRDYAELCFSKFGDRVKHWITLNEPLSYAGTVYVVGRCSKSFSTNCSGGDSSTDPYTVGHYQLLAHAAAVEVYRKKFQKSQKGQIGITLNAGWFVPFTESSNDHKAASRAIAFQYDWFMEPLKSGSYPIDMVKLVGKRLPTFSEKEASLVEGSFDFIGVNYYTANYAKDVPCKNNSFSYLTDSCVNVSSCRNGVPIGNKSGSSWLYVYPRGIQDLLLYTKYKFDDPVIYITENGVSELNTGSVSLEDNLRVDYYREHLSYLENAMAIGVNVKGFFAWSLLDNFEWNYGYTVRFGLIFIDYKDGLKRHPKKSANWFKDFLAPGNHTA >Manes.10G149325.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31722973:31739241:-1 gene:Manes.10G149325.v8.1 transcript:Manes.10G149325.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLTMKVMIFLSSLFLLVSVVFSEDSIEHLAPVHRTASSAYQYEGAAHEDGREASTWDIFTQKYPGLHYLFFSFLLACSVAHSHQGTPATNTKGAYSLKRNSFPADFVFGTASSAYQYEGAASEDGKGPSIWDTFTRRYPGAIKDNSSGKIADDSYHRYKEDVALMKGLGFDAYRFSISWPRILPFGHVSGGINQKGIDYYNNLINELLSNGIKPFVTLFHWDVPQALEDEYDSFLSPKIVKDFRDYAELCFSKFGDRVKHWITLNEPLSYAGTVYVVGRCSKSFSTNCSGGDSSTDPYTVGHYQLLAHAAAVEVYRKKFQKSQKGQIGITLNAGWFVPFTESSNDHKAASRAIAFQYDWFMEPLKSGSYPIDMVKLVGKRLPTFSEKEASLVEGSFDFIGVNYYTANYAKDVPCKNNSFSYLTDSCVNVSSCRNGVPIGNKSGSSWLYVYPRGIQDLLLYTKYKFDDPVIYITENGVSELNTGSVSLEDNLRVDYYREHLSYLENAMAIGVNVKGFFAWSLLDNFEWNYGYTVRFGLIFIDYKDGLKRHPKKSANWFKDFLAPGNHTA >Manes.01G202600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37534732:37543593:-1 gene:Manes.01G202600.v8.1 transcript:Manes.01G202600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISCWIFVISLILRLSASGSLSIDNFQQAFPIIEPDPGHTKLQLASSGLEAIRRITTPIAAVAVIGPYRSGKSFLLNQLLSLSCYGGFGVGHMRDTKTKGIWVWGTPIELDIDGVKTSVFYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQGVAFEPAKLLWLIQRDFLQGKSVQEMVNEALQRVPNNNGDKNIDQVNQIRESLAIMGDNSTAFSLPQPHIQRTKLCDMKDVELDPVYVKKREQLKELVASIVRPKIVQGKLLNGQEFVTFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSETMAKLMLPLPEQSLQEAHGRSRGEAVKSFDEQHFGRNHAKRSVMQLEEEIGKAYKNIMMANEYESAKLCEALYVRCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFEQECVGPSKANYEQRMMKMLGKSKSLFIKEYNQRLFNWLVAFSLVMVVVGRFIIKFILIEMGAWILFIFLETYTRMFWSAESLYYNPIWHFIVATWETVVYSPILDLDRWAVPICFVASILVIYWRCYGKRKHGSRWHLPVYNNSKGGSNRPRSD >Manes.07G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1527206:1527938:1 gene:Manes.07G011800.v8.1 transcript:Manes.07G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEEKRKDRSNEKEEEGKEESRRRRHDHRQQEEPPLMALNHVSRLCRNVKESIDFYTKVLGMVLIERPQAFDFEGAWLFNYGVGIHLVQAKDEDRLPDAHHDLDPMDNHISFQCEDMEEMEKRLKEMKVKYMKRTVEDESGTKIDQLFFNDPDGFMIEICNCENLKLVRASSLGTIKLPIDKHNPPVDVDDYAQPKTST >Manes.02G046500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3795066:3805617:1 gene:Manes.02G046500.v8.1 transcript:Manes.02G046500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKSGDEFMVNESHSGLASGSSRAPRLFIKEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNYQNLDSAGVSVHFQEIIDLDDGNYDAVPGSDFVITRVAFRDNSSKYYINDRPSNFTEVTKKLKGKGIDLDNNRFLILQGEVEQISLMKPKANGPHDEGFLEYLEDIIGTDKYVEKIEESYKELESLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWAKIQENHKTLKEIEVVHKKYIKRQEELGNDLLNCKEEFKEFERQDVKYREDLKHKKQKIKKLEDKLNKDSSKIEHLTKECEESTNMIPKLEDDIPKLQKLLLDEERVLEDIVENSKVETEGYRSELVKVRAALEPWEQQLIDHKGKLEVACTESKLLCEKHEASRAAFEDAHRQMDNILGRIETKTATIAKLQNDIEKHKFEASEARKVEQECIQEQESLIPIEQAARQKVAELKSVVDSEKSQGSVMKAILQAKESNKIQGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTTAAQACVELLRRENLGVATFMILEKQVDLLPKLKEKVRTPEGVSRLFDLVRVQDERMKLAFFAALGNTVVANDLDQATRIAYGGSTEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRATSVSTEAVVDAEKELSTIVDKLNDIRQRIVGAVRSYQASERAIAQLEMELAKSQKEIDSLNSEHGYLEKQLGSLEAASRPRKDELDRLDELKKTISTEEKEIERLVQGSKKLKDKATELQSKIENAGGETLKAQKSKVNEIQSDIDKTSTEINRHKVQIEANQKMIKKLIKGIEDSKREKDRLVEEKENMRSVFKEIEEKAFAVQENYKKTQKLIDEHNEVLGKAKSEYENMKKIVDELRASEVDADYKLQDMKKSYKELELKGKGYKKKLDDLQNALTRHLEQIQKDLVDPEKLQSTLEDETLDKSCGLKRALEMVALLEAQLKEMNPNLDSISEYRRKVSLYNERVEELNMVTEQRNDIKRQHDEWRKKRLDEFMAGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITVNPGCFVVCEKVA >Manes.02G046500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3795066:3805617:1 gene:Manes.02G046500.v8.1 transcript:Manes.02G046500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVFGKRAKQMRLNKVSELIHNSTNYQNLDSAGVSVHFQEIIDLDDGNYDAVPGSDFVITRVAFRDNSSKYYINDRPSNFTEVTKKLKGKGIDLDNNRFLILQGEVEQISLMKPKANGPHDEGFLEYLEDIIGTDKYVEKIEESYKELESLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKATKLAYEDTGTKMGEMQTNVSSLEENLKAERAKIQENHKTLKEIEVVHKKYIKRQEELGNDLLNCKEEFKEFERQDVKYREDLKHKKQKIKKLEDKLNKDSSKIEHLTKECEESTNMIPKLEDDIPKLQKLLLDEERVLEDIVENSKVETEGYRSELVKVRAALEPWEQQLIDHKGKLEVACTESKLLCEKHEASRAAFEDAHRQMDNILGRIETKTATIAKLQNDIEKHKFEASEARKVEQECIQEQESLIPIEQAARQKVAELKSVVDSEKSQGSVMKAILQAKESNKIQGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTTAAQACVELLRRENLGVATFMILEKQVDLLPKLKEKVRTPEGVSRLFDLVRVQDERMKLAFFAALGNTVVANDLDQATRIAYGGSTEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRATSVSTEAVVDAEKELSTIVDKLNDIRQRIVGAVRSYQASERAIAQLEMELAKSQKEIDSLNSEHGYLEKQLGSLEAASRPRKDELDRLDELKKTISTEEKEIERLVQGSKKLKDKATELQSKIENAGGETLKAQKSKVNEIQSDIDKTSTEINRHKVQIEANQKMIKKLIKGIEDSKREKDRLVEEKENMRSVFKEIEEKAFAVQENYKKTQKLIDEHNEVLGKAKSEYENMKKIVDELRASEVDADYKLQDMKKSYKELELKGKGYKKKLDDLQNALTRHLEQIQKDLVDPEKLQSTLEDETLDKSCGLKRALEMVALLEAQLKEMNPNLDSISEYRRKVSLYNERVEELNMVTEQRNDIKRQHDEWRKKRLDEFMAGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITVNPGCFVVCEKVA >Manes.02G046500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3795066:3805617:1 gene:Manes.02G046500.v8.1 transcript:Manes.02G046500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKSGDEFMVNESHSGLASGSSRAPRLFIKEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNYQNLDSAGVSVHFQEIIDLDDGNYDAVPGSDFVITRVAFRDNSSKYYINDRPSNFTEVTKKLKGKGIDLDNNRFLILQGEVEQISLMKPKANGPHDEGFLEYLEDIIGTDKYVEKIEESYKELESLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKATKLAYEDTGTKMGEMQTNVSSLEENLKAERAKIQENHKTLKEIEVVHKKYIKRQEELGNDLLNCKEEFKEFERQDVKYREDLKHKKQKIKKLEDKLNKDSSKIEHLTKECEESTNMIPKLEDDIPKLQKLLLDEERVLEDIVENSKVETEGYRSELVKVRAALEPWEQQLIDHKGKLEVACTESKLLCEKHEASRAAFEDAHRQMDNILGRIETKTATIAKLQNDIEKHKFEASEARKVEQECIQEQESLIPIEQAARQKVAELKSVVDSEKSQGSVMKAILQAKESNKIQGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTTAAQACVELLRRENLGVATFMILEKQVDLLPKLKEKVRTPEGVSRLFDLVRVQDERMKLAFFAALGNTVVANDLDQATRIAYGGSTEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRATSVSTEAVVDAEKELSTIVDKLNDIRQRIVGAVRSYQASERAIAQLEMELAKSQKEIDSLNSEHGYLEKQLGSLEAASRPRKDELDRLDELKKTISTEEKEIERLVQGSKKLKDKATELQSKIENAGGETLKAQKSKVNEIQSDIDKTSTEINRHKVQIEANQKMIKKLIKGIEDSKREKDRLVEEKENMRSVFKEIEEKAFAVQENYKKTQKLIDEHNEVLGKAKSEYENMKKIVDELRASEVDADYKLQDMKKSYKELELKGKGYKKKLDDLQNALTRHLEQIQKDLVDPEKLQSTLEDETLDKSCGLKRALEMVALLEAQLKEMNPNLDSISEYRRKVSLYNERVEELNMVTEQRNDIKRQHDEWRKKRLDEFMAGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITVNPGCFVVCEKVA >Manes.16G017400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1580082:1582911:1 gene:Manes.16G017400.v8.1 transcript:Manes.16G017400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSILPGLALSLAILITFCQSNAEQTQPLFTILKTDQFLNKVAHWRHHQIQSQESTLKLAPPTVLAGILCFIAASISSAGGIGGGGLFIPILTIVAGLDLKTASSFSAFMVTGGSIANVMCNLFSPKFGGEALIFDITLLSEPCMLLGVGIGVICNLVFPEWLITILFVVFLVWSTFKTFKNGVLHWKMESEEVKRNGYENLEGSLVKDRYRITSEVVENNKEALLGIEVEKKLGFPWQKLGVLLLVWLSFSLLYLIRGNRYGEGIAPMKPCGVEYWILSSLQIPLAIIFTAWILILLRKQNCQNQTENQQEMEDSTRSRMPNRFIFPIMALLAGILGGVFGIGGGMLISPLLLHVGIPPVVTAATCSFMVFFSSTMSAFQYLLSGMEHTDTALIFAAICFVASLLGLLVVQRTIQEYGRASIIVFSVGTVMALSTILITSFGAIDVLRDYESGNNMGFKSPC >Manes.07G129602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33150584:33151134:1 gene:Manes.07G129602.v8.1 transcript:Manes.07G129602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIEKADEDTQELSLTWVKRLHYLNTRQRWALNFPSMAQSLGLFLCGSHHSLNPNIVRIS >Manes.18G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8372775:8384457:-1 gene:Manes.18G091200.v8.1 transcript:Manes.18G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEEEDLRMALRMSMQNSPPEPKRSKPRDATGVSPEESRRLQRELMAAAAEKRMLEAKISSPSKPMDRSSGKSTDVARKEKDSGSTEVNMGQELTCEESNQLFSMVFGSVVSKDILAQWSNQGIRFSADPETSMGLVQHEGGPCGVLATIQAFVLKYLLFFPDELGKFAPNMPQNLGSGRLTKSQYVASSNFNSLTEDAKARALVRSMGEILFMCGSNKRAVIATLAAIGCDAEGSAQDDRIAQALEGLSIESASDLHKILRIETYTSQASAMQRLQVTIPVFQSRMGALLFLISALLSRGLDTVQADRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGICLKGISTSVEVGFLTLLESLNFCKVGQHLKCPKWPIWVVGSDSHYTVLFALDTTVQDENELEERESQIRKAFDAQDQSGGGGFISVEGFHQVLRETGIRLPPEKLDHLCSTGFIVWSEFWQVILDLDRSLGGLKDSSGLMGKKVFDLYHFNGIAKSDLNGSHMAIGGDTPVQRPRLTKLRVSVPPRWTPEEFMADVAGPSVSGGNESSSKDAEVSKPEPSQHAPLVDCIRTRWARAVCSWVGDPPSIV >Manes.17G015152.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7841521:7842376:1 gene:Manes.17G015152.v8.1 transcript:Manes.17G015152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVCPSCARFNFVTNSIVEDVTSIDSKQFHVNLLDSKNLTFQRFSVKVPGHSPNTNGIHIGRSEEINIINSNIITGDDCISIGRGSRQVQITNVRCGHGHGISIGSLGKYKKEEPVSGIYVKNCTIYDTDNGVRIKTWLALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPNNECNRKIPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLISRRC >Manes.05G084000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6943943:6948239:-1 gene:Manes.05G084000.v8.1 transcript:Manes.05G084000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLISTVASFLHLKKPETHLLLRCPYGKPTVVSTRRFAPMAALTEAPSLGLSETFSNLRKQGKVALISYITAGDPDLSTTAEALKVLDSCGSDIIELGIPYCDSLADGPVIQAAASRSLARGTKFDAITSMLKEVVPQLTCPIALFTYYNPILKCGIEKYMSTIKEIGVQGLIVPEVPLEETELLRKEAVKNNIELVLLTTPTTPIERMKAIVEASEGFVYLVSSVGVTGARASISDRVQALLQDIKEATTKPVAVGFGISKPEHVKQMAAWGADGVIVGSALVKLLGEAKSPQEGLKELENLTKSLKSALP >Manes.S048816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1556128:1556340:1 gene:Manes.S048816.v8.1 transcript:Manes.S048816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMSTTGAWRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKRLTLPEAPADVFRTP >Manes.11G098900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21091839:21095770:-1 gene:Manes.11G098900.v8.1 transcript:Manes.11G098900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLNVVPTVTMLAVVKARLIGATRGHALLKKKSDALTVQFRQILKKIVTTKESMGDIMKTSSFSLTEAKYVAGENIKHAVLENVQNASIKVRSRQENVAGVKLPKFEYFSDGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLVAARQFTEEQFQEKVSLQKGRSLKSGHNLLSARTGKDEDIIF >Manes.11G098900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21091845:21095761:-1 gene:Manes.11G098900.v8.1 transcript:Manes.11G098900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLNVVPTVTMLAVVKARLIGATRGHALLKKKSDALTVQFRQILKKIVTTKESMGDIMKTSSFSLTEAKYVAGENIKHAVLENVQNASIKVRSRQENVAGVKLPKFEYFSDGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLVAARQFTEEQFQEKVSLQKGRSLKSGHNLLSARTGKDEDIIF >Manes.11G098900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21091845:21095737:-1 gene:Manes.11G098900.v8.1 transcript:Manes.11G098900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLNVVPTVTMLAVVKARLIGATRGHALLKKKSDALTVQFRQILKKIVTTKESMGDIMKTSSFSLTEAKYVAGENIKHAVLENVQNASIKVRSRQENVAGVKLPKFEYFSDGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLVAARQFTEEQFQEKVSLQKGRSLKSGHNLLSARTGKDEDIIF >Manes.11G098900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21091839:21095766:-1 gene:Manes.11G098900.v8.1 transcript:Manes.11G098900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLNVVPTVTMLAVVKARLIGATRGHALLKKKSDALTVQFRQILKKIVTTKESMGDIMKTSSFSLTEAKYVAGENIKHAVLENVQNASIKVRSRQENVAGVKLPKFEYFSDGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLVAARQFTEEQFQEKVSLQKGRSLKSGHNLLSARTGKDEDIIF >Manes.11G098900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21091845:21095754:-1 gene:Manes.11G098900.v8.1 transcript:Manes.11G098900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLNVVPTVTMLAVVKARLIGATRGHALLKKKSDALTVQFRQILKKIVTTKESMGDIMKTSSFSLTEAKYVAGENIKHAVLENVQNASIKVRSRQENVAGVKLPKFEYFSDGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLVAARQFTEEQFQEKVSLQKGRSLKSGHNLLSARTGKDEDIIF >Manes.11G098900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21091845:21095754:-1 gene:Manes.11G098900.v8.1 transcript:Manes.11G098900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLNVVPTVTMLAVVKARLIGATRGHALLKKKSDALTVQFRQILKKIVTTKESMGDIMKTSSFSLTEAKYVAGENIKHAVLENVQNASIKVRSRQENVAGVKLPKFEYFSDGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLVAARQFTEEQFQEKVSLQKGRSLKSGHNLLSARTGKDEDIIF >Manes.11G098900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:21091845:21095754:-1 gene:Manes.11G098900.v8.1 transcript:Manes.11G098900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQGQRLNVVPTVTMLAVVKARLIGATRGHALLKKKSDALTVQFRQILKKIVTTKESMGDIMKTSSFSLTEAKYVAGENIKHAVLENVQNASIKVRSRQENVAGVKLPKFEYFSDGETKNDLTGLARGGQQVQACRAAYVKAIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIEKQLVAARQFTEEQFQEKVSLQKGRSLKSGHNLLSARTGKDEDIIF >Manes.02G083800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6564140:6569479:1 gene:Manes.02G083800.v8.1 transcript:Manes.02G083800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKREDNNVESEKRSPKRRRVVENHSSSASPSPQIIDNPLVPYNDMDDDEDDERMRRERNNNNRAGEYGEGVAGNGYQGPDVEDKEEEEEDDDDGQGSNQENRRNLIEPREDCPYLDTVNRQALDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLQTEKVYCLPDGYQIIDPSLDDIRHVLNPRFTRDQVDQLDKNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCRSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAIMKASKKRFRIGQQSDPVEFMAWLLNTLHADLRNSKKNNSIIYECFQGELEVVKEIPSKFIVDKKANGDDQNVDGGNEHDDVFTETSTMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGEMVTEVVRPRVARMKYRVTRLPQYLVLHMQRFKKNNFFIEKNPTLVNFPVKNLELKDYIPLPMPKENEKLRSKYDLIANIVHDGKPKEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYVQIYEQQK >Manes.02G083800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6563889:6569694:1 gene:Manes.02G083800.v8.1 transcript:Manes.02G083800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKREDNNVESEKRSPKRRRVVENHSSSASPSPQIIDNPLVPYNDMDDDEDDERMRRERNNNNRAGEYGEGVAGNGYQGPDVEDKEEEEEDDDDGQGSNQENRRNLIEPREDCPYLDTVNRQALDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLQTEKVYCLPDGYQIIDPSLDDIRHVLNPRFTRDQVDQLDKNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCRSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAIMKASKKRFRIGQQSDPVEFMAWLLNTLHADLRNSKKNNSIIYECFQGELEVVKEIPSKFIVDKKANGDDQNVDGGNEHDDVFTETSTMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGEMVTEVVRPRVARMKYRVTRLPQYLVLHMQRFKKNNFFIEKNPTLVNFPVKNLELKDYIPLPMPKENEKLRSKYDLIANIVHDGKPKEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYVQIYEQQK >Manes.14G116200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11025163:11026916:-1 gene:Manes.14G116200.v8.1 transcript:Manes.14G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKISALLLICILCISSATPIFGCGYCGKYPPKHKGHKGKSPKGPITIPPIVNPPINLPPVIPPIIKPPITIPPIVNPPVITNPPKGGSTPCPPPPGAKATCPIDTLKLGACVDLLGGLVHIGLGDPVVNECCPVLQGLVELEAAVCLCTTLKLKLLNLNIYVPIALQLLVTCGKTPPPGYTCSL >Manes.02G013700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1345992:1352593:1 gene:Manes.02G013700.v8.1 transcript:Manes.02G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFWNVFCNGSECSTDGKCASGFYELINPYSCINHTVIISVDILILLISIYKLMSRKTVEAPSQSLRFSPLLCVSAIFNGFLGLVYLGLGIWIIVEKLVKDHSVVLMHGWPVMLFQGFTWLLLNLIVSQQKLFLPHAGAVKFCSIIAFLLSGFIGILSLWEAIMDKALWVQLILEFLSFTGAMLFIFCCFKGHTYSSTDSGNDNDASYKPLPSKEANATDEMSSNENVTPFAKSGLFSQLSFWWLNPLMKKGKEKILDDADIPVLRQADQAKTCYLAYLKQMRRQKNKGSSESPSVLSVIISLHRREILISGLFALIRVLIRSSSPLFLKAFIEVFQGNKALSYESYALTVGLFLAKCLESLSERQWHFRTRLIGIQVRSMLSAAIYQKQLRLSNAAKVTYSSGEVVHYVTADAYRIGEFPYWFHQIWTTSVQLCLTLAIVYYTVGLATVAFVIAMIVVVLAGYPFIKFQVKYHRKLMAAQDKMLMAITEALANMKILKLYAWETHFKNHIDGVRKEETQWISGVLMQKAYHLILFWSYPVHVPAITFWICYFLGIPLSASSVFTFMSSLQNVQEPIRVIPDVVGIFIEAKVSLDRIVKFLEAPELNKNARKKFSSKELNQSIFIRATEISWETDLSTKATLRNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVSKVDGTVQVYGKIAYVSQTAWIQSGTIQENILFGSEMDPIRYHDVLKRCSLVKDLEMLPYGDLTQIGERGVNLSGGQKQRIQLARSLYQDADIYLLDDPFSAVDAHTATSLFNEYVLSALSQKTVLLVTHQVDFLPAFNYILLMSAGKIKREANYDQLFASSKEFQDLVKAHKNIVGYESQVDHPSQRSATTAKGEIQEIHVKEQLIESLGDQLIKQEEKETGDAGFKPYLQYLSHGKGFLYFCLSNISHIMFVLGQMAQNYWFAANIQNTRVSRILLLGVYTIIGCVVEIFLLFRFFPIVLLGCGASKSIFSTLLSSIFRAPISFYDSTPLGRILSRVSSDLSIIDLDVAFKISFTLGTTIIACSNFAVLILLTWPVVFVIIPIIYLSIRLQGCYFASTKELMRINGTTKSSVASHLAESIAGAMTIRAFGQEERFFSKNLDLIDRNASPYFHNFSASEWLILRLELLSAIVLSCSTFAMALLHLGASDSGFIGMNLSYGLSLNILLVMSIQSQCMLSNLIISVERLEQYMHIPSEAPEVIESNRPEPNWPAFGKVEICNLKVRYRPNAPQVLQGINCTIEGGQKIGIVGRTGSGKTTLISALFRLVEPTEGKIMIDDLNISTIGLHDLRSHVAIIPQDPTLFVGSVRYNLDPLSKHTDQEIWEVLEKCHLRATIQEKGEGLNSLVAQDGSNWSLGQRQLFCLGRALVKRSRILVLDEATASLDNATDSIIQKTIRTEFADCTVLTVAHRIPTVMDCDMVLAISDGKLAEYDEPLKLMNKEGSLFGQLVKEYWSRTTNSSSRSQD >Manes.02G013700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1345991:1352594:1 gene:Manes.02G013700.v8.1 transcript:Manes.02G013700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFWNVFCNGSECSTDGKCASGFYELINPYSCINHTVIISVDILILLISIYKLMSRKTVEAPSQSLRFSPLLCVSAIFNGFLGLVYLGLGIWIIVEKLVKDHSVVLMHGWPVMLFQGFTWLLLNLIVSQQKLFLPHAGAVKFCSIIAFLLSGFIGILSLWEAIMDKALWVQLILEFLSFTGAMLFIFCCFKGHTYSSTDSGNDNDASYKPLPSKEANATDEMSSNENVTPFAKSGLFSQLSFWWLNPLMKKGKEKILDDADIPVLRQADQAKTCYLAYLKQMRRQKNKGSSESPSVLSVIISLHRREILISGLFALIRVLIRSSSPLFLKAFIEVFQGNKALSYESYALTVGLFLAKCLESLSERQWHFRTRLIGIQVRSMLSAAIYQKQLRLSNAAKVTYSSGEVVHYVTADAYRIGEFPYWFHQIWTTSVQLCLTLAIVYYTVGLATVAFVIAMIVVVLAGYPFIKFQVKYHRKLMAAQDKMLMAITEALANMKILKLYAWETHFKNHIDGVRKEETQWISGVLMQKAYHLILFWSYPVHVPAITFWICYFLGIPLSASSVFTFMSSLQNVQEPIRVIPDVVGIFIEAKVSLDRIVKFLEAPELNKNARKKFSSKELNQSIFIRATEISWETDLSTKATLRNINLVVKAGEKVAICGEVGSGKSTLLAAVLGEVSKVDGTVQVYGKIAYVSQTAWIQSGTIQENILFGSEMDPIRYHDVLKRCSLVKDLEMLPYGDLTQIGERGVNLSGGQKQRIQLARSLYQDADIYLLDDPFSAVDAHTATSLFNEYVLSALSQKTVLLVTHQVDFLPAFNYILLMSAGKIKREANYDQLFASSKEFQDLVKAHKNIVGYESQVDHPSQRSATTAKGEIQEIHVKEQLIESLGDQLIKQEEKETGDAGFKPYLQYLSHGKGFLYFCLSNISHIMFVLGQMAQNYWFAANIQNTRVSRILLLGVYTIIGCVVEIFLLFRFFPIVLLGCGASKSIFSTLLSSIFRAPISFYDSTPLGRILSRVSSDLSIIDLDVAFKISFTLGTTIIACSNFAVLILLTWPVVFVIIPIIYLSIRLQGCYFASTKELMRINGTTKSSVASHLAESIAGAMTIRAFGQEERFFSKNLDLIDRNASPYFHNFSASEWLILRLELLSAIVLSCSTFAMALLHLGASDSGFIGMNLSYGLSLNILLVMSIQSQCMLSNLIISVERLEQYMHIPSEAPEVIESNRPEPNWPAFGKVEICNLKVRYRPNAPQVLQGINCTIEGGQKIGIVGRTGSGKTTLISALFRLVEPTEGKIMIDDLNISTIGLHDLRSHVAIIPQDPTLFVGSVRYNLDPLSKHTDQEIWEVLEKCHLRATIQEKGEGLNSLVAQDGSNWSLGQRQLFCLGRALVKRSRILVLDEATASLDNATDSIIQKTIRTEFADCTVLTVAHRIPTVMDCDMVLAISDGKLAEYDEPLKLMNKEGSLFGQLVKEYWSRTTNSSSRSQD >Manes.12G085200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10711234:10722962:1 gene:Manes.12G085200.v8.1 transcript:Manes.12G085200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPESSKQQLKVSIDAEHAKCSICLNIWHDVVTIAPCLHNFCNGCFSEWLRRSQEKHSTVLCPQCRAAVLFVGRNHFLHSIEEDMLQADSSLKRSDEEVALLDSYASIKSNLIIQSGKKLSQKRARTSSDVEIDGEESGEFPCLQCGTEFNGFRCSPNMVHLQCQACGGMMPSRTDINVPQHCLGCDRAFCSAYWHAQGVQRSNSHMVCSNEDFKPVSQHTISRIPFLAHEKNQHEQDITERCIRQMGKTLQDVVSDWISKLNNREIDRTRMPLNHAEMITAGTHVCNDCYDKLVSFVLYWFRVSLPRHFLPADAVNREDCWYGYACRTQHHNEDHARKRNHVCRPTRVNY >Manes.12G085200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10711234:10722962:1 gene:Manes.12G085200.v8.1 transcript:Manes.12G085200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQADSSLKRSDEEVALLDSYASIKSNLIIQSGKKLSQKRARTSSDVEIDGEESGEFPCLQCGTEFNGFRCSPNMVHLQCQACGGMMPSRTDINVPQHCLGCDRAFCSAYWHAQGVQRSNSHMVCSNEDFKPVSQHTISRIPFLAHEKNQHEQDITERCIRQMGKTLQDVVSDWISKLNNREIDRTRMPLNHAEMITAGTHVCNDCYDKLVSFVLYWFRVSLPRHFLPADAVNREDCWYGYACRTQHHNEDHARKRNHVCRPTRVNY >Manes.12G085200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10711234:10722962:1 gene:Manes.12G085200.v8.1 transcript:Manes.12G085200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPESSKQQLKVSIDAEHAKCSICLNIWHDVVTIAPCLHNFCNGCFSEWLRRSQEKHSTVLCPQCRAAVLFVGRNHFLHSIEEDMLQADSSLKRSDEEVALLDSYASIKSNLIIQSGKKLSQKRARTSSDVEIDGEESGEFPCLQCGTEFNGFRCSPNMVHLQCQACGGMMPSRTDINVPQHCLGCDRAFCSAYWHAQGVQRSNSHMVCSNEDFKPVSQHTISRIPFLAHEKNQHEQDITERCIRQMGKTLQDVVSDWISKLNNREIDRTRMPLNHAEMITAGTHVCNDCYDKLVSFVLYWFRVSLPRHFLPADAVNREDCWYGYACRTQHHNEDHARKRNHVCRPTRVNY >Manes.12G085200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10711234:10722962:1 gene:Manes.12G085200.v8.1 transcript:Manes.12G085200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPESSKQQLKVSIDAEHAKCSICLNIWHDVVTIAPCLHNFCNGCFSEWLRRSQEKHSTVLCPQCRAAVLFVGRNHFLHSIEEDMLQADSSLKRSDEEVALLDSYASIKSNLIIQSGKKLSQKRARTSSDVEIDGEESGEFPCLQCGTEFNGFRCSPNMVHLQCQACGGMMPSRTDINVPQHCLGCDRAFCSAYWHAQGVQRSNSHMVCSNEDFKPVSQHTISRIPFLAHEKNQHEQDITERCIRQMGKTLQDVVSDWISKLNNREIDRTRMPLNHAEMITAGTHVCNDCYDKLVSFVLYWFRVSLPRHFLPADAVNREDCWYGYACRTQHHNEDHARKRNHVCRPTRVNY >Manes.05G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6391583:6393257:-1 gene:Manes.05G078200.v8.1 transcript:Manes.05G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQARPPQSDRDPIKYGDVFNVKGDLASKTIAPRDAATMQAAESRVLGETRKGGPASTVQSAARVNMREGFIGGDDATDVARDPGTKVAERNIGDDRIITEKIAGQVVAQDIEPRVERTTPGSTLDPEVVTIGEALEAAAISAGEKPVDQSDAAAIQAAEVRATGINEVLPGGIGAEAQSAANLNLRAIRDEDKITLSDVLGDATSKLPADKAVTREDAEGVIAAEVRNKPDRQATPGGVAASLAAATRFYGNK >Manes.15G078325.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5886389:5886817:1 gene:Manes.15G078325.v8.1 transcript:Manes.15G078325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQRPFPYMGQSTFNALGHIHMDPLMMFHHSPKLTLMDPPSPTHMRSARARQSLHHPAYGHLHGPPLRHSFTGPASAHGPPSTPLHYSRNWTAHFTIKDQASTWTCTSIPLHPFAQRTKLVGHAARSRSLHQMTACVDNI >Manes.S039916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1133253:1137875:-1 gene:Manes.S039916.v8.1 transcript:Manes.S039916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFEGCSRLTFGGRGSEHVRPRPCPRVFQPPIAVAIAAEDSAFGPAACALHTGGQRPPPRPPPRGEGADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.05G115092.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14044654:14045304:1 gene:Manes.05G115092.v8.1 transcript:Manes.05G115092.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCQKLKDEIERLINDSTLWKFTREDREERRPEPKVRTTENTTDSEPMGVIHVIVRGPNDGKEKKAKQEIRFGPADKATRFFHNDPLVVSVSLYNFVNFITLDVFKKIGLKRNNFAKVSYPLVGLGDKTVAVLGTINLSLVLGVEKHKRKLYAKFAVVDIPLAYNVILGRPVLNYHGIVINTGAMCLKL >Manes.13G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4451900:4453971:1 gene:Manes.13G036400.v8.1 transcript:Manes.13G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQNNKMKGVLKGLRYISQIFDTEKEPEMQIGLPTDVKHVAHIGFDGPAVNSPSWMTEFKAPPGISSGSNGDPNDVKWVSDDSASRKNSMVASESSSRDLPELPKSSRRHSSMGGVGDSPPKEKSDKPKQSRRSSRNANKESGEVKSTRQKNSGPQKNSGQLADGESNADAPKKSRRKKSKESTASGSISKSSRSKAQASDGDCGSESVSKSLNIDNREEDAENGFTGIS >Manes.13G036400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4451901:4453745:1 gene:Manes.13G036400.v8.1 transcript:Manes.13G036400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGFKAASSNEINAQETNPAMANNQNNKMKGVLKGLRYISQIFDTEKEPEMQIGLPTDVKHVAHIGFDGPAVNSPSWMTEFKAPPGISSGSNGDPNDVKWVSDDSASRKNSMVASESSSRDLPELPKSSRRHSSMGGVGDSPPKEKSDKPKQSRRSSRNANKESGEVKSTRQKNSGPQKNSGQLADGESNADAPKKSRRKKSKESTASGSISKSSRSKAQASDGDCGSESVSKSLNIDNREEDAENGFTGIS >Manes.13G036400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4452808:4453971:1 gene:Manes.13G036400.v8.1 transcript:Manes.13G036400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKAPPGISSGSNGDPNDVKWVSDDSASRKNSMVASESSSRDLPELPKSSRRHSSMGGVGDSPPKEKSDKPKQSRRSSRNANKESGEVKSTRQKNSGPQKNSGQLADGESNADAPKKSRRKKSKESTASGSISKSSRSKAQASDGDCGSESVSKSLNIDNREEDAENGFTGIS >Manes.01G134300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32743762:32751996:-1 gene:Manes.01G134300.v8.1 transcript:Manes.01G134300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLPSNDDVFQSQGNDNILAHVLFCLVKSRRSERPLCLVTAHIYCLGYLHAMMRLASLTTMLTAPIVRVASLRKCSLQEREMDMCKSSYKIILGSSSMARRQILAEMGYEFSIMTADIDEKSIRKEKPEELVMALAHAKADAIITRLQSLGHLEENARATLLITADTVVLYKGMIREKPTSKEEAWNFIKGYSGGHAAVIGSVLVSNLTTGTRKGAWETAEVYFHEIPDEVIDSLIEEEVTFKVAGGLMLEHPLTSPFVEAVVGSTDTVMGLSTSLTEKLIAEAL >Manes.01G134300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32743762:32751996:-1 gene:Manes.01G134300.v8.1 transcript:Manes.01G134300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMCKSSYKIILGSSSMARRQILAEMGYEFSIMTADIDEKSIRKEKPEELVMALAHAKADAIITRLQSLGHLEENARATLLITADTVVLYKGMIREKPTSKEEAWNFIKGYSGGHAAVIGSVLVSNLTTGTRKGAWETAEVYFHEIPDEVIDSLIEEEVTFKVAGGLMLEHPLTSPFVEAVVGSTDTVMGLSTSLTEKLIAEAL >Manes.11G152182.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31878851:31881845:-1 gene:Manes.11G152182.v8.1 transcript:Manes.11G152182.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFFSFCSWKFIRFRVLLLFLLYRNFILCWSLNDEGLALLKFRERIVSDPYDALKNWKDEDGVVNPCYWFGVECSDGKVVELNLKDLYLGGTLAPDLRNLVRIKSIILHNNSFTGIIPEGIGELKELEVLDFGNNNFSGPLPPVLDSSLSLTILLLDNNRLLSNLSPEIHRLETHSEFQVDENQLASAAKGPSYNERSALRNAVQTENAINKRQLQVANAPRVNESPYLRSRFSVPEAPSESGKAPPRSVAPPFSLLPSPPVNNSIQSPPPEPNPAPSSPPAVVSLPTPLEPNPPSASPNGSASNPLLVPTPPSSNNPRKPSSSKKHVSVIAGAIGGALLAMSIVIFYVYKINKATVKPWATGLSGQLQKAFVTGNSSSQVEKSELEAGCEDFSSVIGSSPIGTLYKGTLSSGIEIAVASVAVTSSKDWPKHLEVQFRKKIETLSKVNHKNFINLFGYCEEEDILLHFSSLQFLLVNVNKLAWFHCFMAF >Manes.16G054132.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18198863:18236161:1 gene:Manes.16G054132.v8.1 transcript:Manes.16G054132.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSYSVLYSLRFSTTITNSNKFYYRIPPRSNYNFSLGPSFSFTPTRKFNFLRKYLQPSGFAPFCSPPSSPNQNPSPEFAVLLEVDGVLMDAYRIGNRQAFNIAFQKLGLDCANWTEPVYLDLRRKSAGDEERMLILYFNQIGWPTSLPTSEKGTFIKNVLREKKIAMDEFMMSESSPLRPGVVESIVDKLGHERTSKIKIVGNMEVEKSLYGQLVLGSGLLSGIDEELAKEARKAVSAEKQKIAEEVASMLKLSVQIDTSSTESLVKIVAALRAAAEYAGVPVNNCVLIAGSQSGVAAAGQIGMPCVVLRSSLTSRAEFPSAKAVMDGFGGTDLTISKLRAKLYS >Manes.16G054132.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18201601:18236161:1 gene:Manes.16G054132.v8.1 transcript:Manes.16G054132.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGDRSSSIYQACFGENKDVNQEIIQWHRRVLMDAYRIGNRQAFNIAFQKLGLDCANWTEPVYLDLRRKSAGDEERMLILYFNQIGWPTSLPTSEKGTFIKNVLREKKIAMDEFMMSESSPLRPGVVDFIDDASNDGIPVVILTAYGKSEENIARSIVDKLGHERTSKIKIVGNMEVEKSLYGQLVLGSGLLSGIDEELAKEARKAVSAEKQKIAEEVASMLKLSVQIDTSSTESLVKIVAALRAAAEYAGVPVNNCVLIAGSQSGVAAAGQIGMPCVVLRSSLTSRAEFPSAKAVMDGFGGTDLTISKLRAKLYS >Manes.16G054132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18198863:18236161:1 gene:Manes.16G054132.v8.1 transcript:Manes.16G054132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSYSVLYSLRFSTTITNSNKFYYRIPPRSNYNFSLGPSFSFTPTRKFNFLRKYLQPSGFAPFCSPPSSPNQNPSPEFAVLLEVDGVLMDAYRIGNRQAFNIAFQKLGLDCANWTEPVYLDLRRKSAGDEERMLILYFNQIGWPTSLPTSEKGTFIKNVLREKKIAMDEFMMSESSPLRPGVVDFIDDASNDGIPVVILTAYGKSEENIARSIVDKLGHERTSKIKIVGNMEVEKSLYGQLVLGSGLLSGIDEELAKEARKAVSAEKQKIAEEVASMLKLSVQIDTSSTESLVKIVAALRAAAEYAGVPVNNCVLIAGSQSGVAAAGQIGMPCVVLRSSLTSRAEFPSAKAVMDGFGGTDLTISKLRAKLYS >Manes.16G054132.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18198863:18236161:1 gene:Manes.16G054132.v8.1 transcript:Manes.16G054132.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSYSVLYSLRFSTTITNSNKFYYRIPPRSNYNFSLGPSFSFTPTRKFNFLRKYLQPSGFAPFCSPPSSPNQNPSPEFAVLLEVDGVLMDAYRIGNRQAFNIAFQKLGLDCANWTEPVYLDLRRKSAGDEERMLILYFNQIGWPTSLPTSEKGTFIKNVLREKKIAMDEFMMSESSPLRPGVVDFIDDASNDGIPVVILTAYGKSEENIARSIVDKLGHERTSKIKIVGNMEVEKSLYGQLVLGSGLLSGIDEELAKEARKAEYSTKGVCSCFLDQFLLKNKR >Manes.04G118200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32015241:32017447:-1 gene:Manes.04G118200.v8.1 transcript:Manes.04G118200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRISQSMHKTPFSFSLSGSFNSEMYRATVLLLLLCTTTNVIAFTVTDGLLPNGDFEYGPNPGQMKGTVVTAKNAIPNWEISGYVEYIKSGQKQGDMLLIVPDGAFAVRLGNEASIKQKINVTKNSFYSITFNVARTCAQEEKLNVSVSPNSEPNDWGILPMQTMYSSNGWDSYSWAFQADASEIEISFHNPGVEEDPACGPLIDSVAIKLLSNPRRTRVNMLKNGNFEEGPYVFPNTDWGVLIPPQIEDDHSPLPGWIIESLKAVKYIDLDHFSVPEGKRAIELVAGKESAIAQIVKTIPGKTYVLTFSVGDSNNSCEGSMVIEAYAGKDKIEVPYQSKGKGGFKRARFQFKAVSTRTRLMFLSSFYTMKSDNSGSLCGPVLDDVKLLSVRTPRRLL >Manes.02G069000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5274244:5278971:-1 gene:Manes.02G069000.v8.1 transcript:Manes.02G069000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEFNHHSHHGPLLRLRCSVQKYDWGKTGTDSQVARLFSLNSGSKIEVDRPYAELWMGTHESGPSFLLDAGMENGASIGSQCITLKEWIAKNPNVLGDKVFNKWGSDLPFLFKVLSVAKALSIQAHPDKELAKMLHKLQPDVYKDDNHKPEMALAITEFEALCGFISLKELKGVLHNVPEIVELIGRTEANQVLNMNEQDGEEKVKSLLRSIFTQLMSAGKEITTKVISKLKSRLHMESQVRELADKEWLVLKLEKQYPADIGIISAFFFNYVKLNPGEALYLGANEPHAYLYGDCIECMATSDNVVRAGLTPKHRDIQTLCSMLTYKQGFPEILKGFPLSPYITRYLPPFDEFEVDSCILPRGASTVFPAVPGPSIFVITAGEGRMRTASSKEVVSEGDVLFAPANIEITITTASELHLYRAGVNSRFFHIL >Manes.02G069000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5274244:5279138:-1 gene:Manes.02G069000.v8.1 transcript:Manes.02G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEFNHHSHHGPLLRLRCSVQKYDWGKTGTDSQVARLFSLNSGSKIEVDRPYAELWMGTHESGPSFLLDAGMENGASIGSQCITLKEWIAKNPNVLGDKVFNKWGSDLPFLFKVLSVAKALSIQAHPDKELAKMLHKLQPDVYKDDNHKPEMALAITEFEALCGFISLKELKGVLHNVPEIVELIGRTEANQVLNMNEQDGEEKVKSLLRSIFTQLMSAGKEITTKVISKLKSRLHMESQVRELADKEWLVLKLEKQYPADIGIISAFFFNYVKLNPGEALYLGANEPHAYLYGDCIECMATSDNVVRAGLTPKHRDIQTLCSMLTYKQGFPEILKGFPLSPYITRYLPPFDEFEVDSCILPRGASTVFPAVPGPSIFVITAGEGRMRTASSKEVVSEGDVLFAPANIEITITTASELHLYRAGVNSRFFHIL >Manes.15G002020.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:295734:296155:1 gene:Manes.15G002020.v8.1 transcript:Manes.15G002020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMYYVLQGLYSETIFKFFDSGWRVMGIRLQAEVRAIGFIKCPPWPILIGYARWSMPCWSNSNSPTRPISATILFNVIIIIFATLTIYFRNGNGFFLLASEC >Manes.05G045000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3747332:3748416:1 gene:Manes.05G045000.v8.1 transcript:Manes.05G045000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKVFYLLSSVNFPLLLLILFSCSFFSSVSHNHQNLLCLSLLFMLSFITITISLGSSSKPCFAGDFDSFFPSFALVSETNKMNQVVEDSDDCSDDNDDMCGHSYDGYEEDNDDDIEEEDVESSDEDEHKELENRAEEFIVKVKQKWREELLADRLLCWE >Manes.14G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6251363:6256048:1 gene:Manes.14G074700.v8.1 transcript:Manes.14G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAVSAPLSLSISSRNKIPSISLFSQRNSARCTVSSLHCPYGESSAEGLSSKTCGFPLRVDEKDIRYANQSYPAIRANKANPPIMPAVMTPGGPLDLSSILFRNRIIFIGQPINSQVAQRVISQLVTLATIDEKADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGAVLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQSRHKIDRMYAAFTGQPLEKVQEYTERDRFLSTSEAMEFGLIDGILETEY >Manes.08G076337.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:15295528:15295962:-1 gene:Manes.08G076337.v8.1 transcript:Manes.08G076337.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYLDTLKKLDVHVSRELATDLILGSLLDSYDSFIINYNMHGMDKSITELHGMLKSAEENIHKTNTMLMVQKGTRRGKARKRFHLSPRITRLGLNPKAIRKRHPSPSPSPSKKRAHGSTVTMLGIGKGTVLYTWRVEKGQLSY >Manes.05G194000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31736875:31737987:1 gene:Manes.05G194000.v8.1 transcript:Manes.05G194000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPEVPGDPTPTSTNLLETATAAVQGFGPTNKIHQHLCAFHFYGDDMTRQVEAHHFCGHQNEEMRQCLIYNSPESDARLIGVEYIISENLFLTLPDVEKPLWHSHVYEVKSGVLFMPGVPSLVQRQDLEKVAKTYGKTFHFWQVDKGDNLPLGLPQLMLSLTGEGQLYDDLARDVEKRYGISFEKERENRAYMEGPEHGIHPLANAEGKGRKTHLREVDCKPIDTVPRVFA >Manes.02G043500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3576920:3579767:-1 gene:Manes.02G043500.v8.1 transcript:Manes.02G043500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKSDLTANKVVPYETAKAFADEIGIPFMETSAKNSTNVEQAFMAMAAEIKNRMASNPAMNNARPPTVQIRGQPVNQKSGCCSS >Manes.06G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1476333:1488031:1 gene:Manes.06G009200.v8.1 transcript:Manes.06G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENDTEKKVADRYLKREVLGEGTYGVVYKAIDTKTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYFQMTLKGLAYCHKKWVLHRDMKPNNLLIASNGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGTKQYGSGVDVWAAACIFAELLLRRPFLQGTSDIDQLGKIFQAFGTPTPSQWPDLVYLPDYVEYQSVPAQPWRKLFPMATDDALDLLAKMFTYDPKVRISVEQALEHRYFSSAPLPTEPAKLPRPAPKRESVNPRASDFHPLEGPTVLSPPRKARRVMPDREGFDGNAYQVDKIDEHGEIRQAAGNYTGRNEQVPMSIDFSVFGSRPMSRPTINSADRSHLKRKLDLEFQHPE >Manes.05G151600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26087353:26088981:-1 gene:Manes.05G151600.v8.1 transcript:Manes.05G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRSLIVLFLLLISTHPFHRILTNAAGGRWQLLQKSIGITAMHMQLLYNDRVVIFDRTDFGLSNLSLPNKKCRNDPNELVIKNDCTAHSAEYDVLTNTFRPLMVLTDVWCSSGAVMSDGRLIQTGGFNDGERRVRIFSPCNYCDWEEQGNGLIATRWYATNHILPDGSQIIIGGRRQFNYEFYPKMGLRNLYGLPFLAQTNERDIENNLYPFVFLNIDGHLFIFANNRAILFDYKVGKVVKIYPTIPGGDPRSYPSTGSAVLLPLKNIQGRALQAEVLVCGGAPKGSHIQSKHGNFVKALDTCGRIKITDPNPQWTMERMPQARVMGDMILLPNGNVLIINGAGAGTAGWELGRNPVLNPVIYRPDVAVGSRFELQNPTTVPRMYHSAAILLRDGRVLVGGSNPHINYNFRTPLFPTELSLEAFYPPYLDSKNNNFRPRIISPPSKATIGYSQRLVIRFQVAIAAKTASVTMVAPSFTTHSFAMNQRLLVLGNEKLTNAGTATYDVQVTAPPSKNLAPSGYYMLFVVHQDIPSQGIWVQIK >Manes.03G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25176955:25181157:1 gene:Manes.03G127800.v8.1 transcript:Manes.03G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTVKQSAIAPTINGSDTIFRRKNPLTMQRSLFLPSLPTRENPKAVLCLSKPLHVSSIEAFAVSKPQKESLIACKAYEADRSQPIEAEGAKSEAARRVKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLIMLVSWATRIAEAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGESFPAGVYMSLIPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMSGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQMWAAGWKTAVSQIGPHFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >Manes.02G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11665096:11667476:1 gene:Manes.02G152300.v8.1 transcript:Manes.02G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSVTTTEVSPKLQLPTKYSVAAEAKARHSQNWLFTDDASPSIFQKVIAELLGTYILVFVGCGSALTDKIQQLTIVGIAIVWGFVLMALIYALGHISGAHFNPAVSIALAASRKFSWKNVPIYILAQVLGATLASLTLKVLFHDQHNIEATMTQYKDSTSDLEAIIWEFIITFILMLSICAVATDHRASKDLTGVAIGGTLLVNALLAGPITGASMNPARSLGPAIVSGVYKKLWVYIVAPIIGALAATLVYSVLRVPKPEKPEENNKNIFNHLYVPAEP >Manes.12G027900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2444734:2445873:1 gene:Manes.12G027900.v8.1 transcript:Manes.12G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQPQQQPVVVYPNTVSGQPPPASHSHSNGSFGTVFIVLAVIVVISAIACCLGRLCNKRQGNRQSKPSKQSQQNPSFRQKERGREREREGDVEFGFEKGFQTERPSGGNGDGRGGHKLSENGHMKAESYPADDAHLKPGA >Manes.07G073615.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20242344:20243711:-1 gene:Manes.07G073615.v8.1 transcript:Manes.07G073615.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEFEMSMMGELKFFLGLQIKQAKDGIFINQANYTKELIKRFGMENSNPSRTPVSTNTKLDKNEKGKPIDEKLYKGMIRSLLLGPYLLYLSASRPDIMFSVCLCARFQSCPKESHLHAVKRILRYLNGSLHLGLWYPRNTSFSLCFYSDADFAGSILDRKSTSSTCQLLGQSLISWCSKKQNSVALSTAEAEYVAAGLCCSQILWIKQQLRDFEVSLDHIPIKCDNTSAINLTKNPIQHSRTKHIDIRPHFIRDHVLNGDIVLEFVDTNNQLADIFTKPLNEERFNFIKRELGMLDGIAC >Manes.02G075400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5741410:5742673:1 gene:Manes.02G075400.v8.1 transcript:Manes.02G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDHTSLVGSMDEIRQIFNKFDKNGDGKISSSEVIDSLGELGTKISTEEVHCMMQEFDKDGDGHIDLDEFVDFIQRGGTGSGAEGTTQPDGDKELKDAFDLYDIDNNGLISASELHKVMRMLGLKSTLRDCTNMIHQVDQDGDGNVNFEEFKKMMTNGIV >Manes.11G127300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29016692:29024845:1 gene:Manes.11G127300.v8.1 transcript:Manes.11G127300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDNSSSSLDSRFNQTLKNVQELLKGRSIPGKILLTRRTDPPEDPGLRDPSPSSGRSFSENDAGTSDRVDMSREAEAWSSSKINNNATANKFKPTYSNTEPMSKDVQKFPMGSRATDSARVLKFTKELSATTVILERLRELAWSGVPPYMRPDVWRLVLGYAPSNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQISVDCPRTAPDITFFLQHQVQKSLERILYTLAIRHPASGYVQGINDLATPFLVVFLSEYLEGDIDNWSMYDLSPDKISDIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIYTSFLLTWSDKLQKLDFQEMVMFLQHLPTHNWTDQELEMVLSRAYMWHSMFNSSPSHLSC >Manes.11G127300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29016692:29024845:1 gene:Manes.11G127300.v8.1 transcript:Manes.11G127300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDNSSSSLDSRFNQTLKNVQELLKGRSIPGKILLTRRTDPPEDPGLRDPSPSSGRSFSENDAGTSDRVDMSREAEAWSSSKINNNATANKFKPTYSNTEPMSKDVQKFPMGSRATDSARVLKFTKELSATTVILERLRELAWSGVPPYMRPDVWRLVLGYAPSNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQISVDCPRTAPDITFFLQHQVQKSLERILYTLAIRHPASGYVQGINDLATPFLVVFLSEYLEGDIDNWSMYDLSPDKISDIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDDPFSSCYPPVGYIPC >Manes.11G127300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29016692:29024845:1 gene:Manes.11G127300.v8.1 transcript:Manes.11G127300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDNSSSSLDSRFNQTLKNVQELLKGRSIPGKILLTRRTDPPEDPGLRDPSPSSGRSFSENDAGTSDRVDMSREAEAWSSSKINNNATANKFKPTYSNTEPMSKDVQKFPMGSRATDSARVLKFTKELSATTVILERLRELAWSGVPPYMRPDVWRLVLGYAPSNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQISVDCPRTAPDITFFLQHQVQKSLERILYTLAIRHPASGYVQGINDLATPFLVVFLSEYLEGDIDNWSMYDLSPDKISDIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIYTSFLLTWSDKLQKLDFQEMVMFLQHLPTHNWTDQELEMVLSRAYMWHSMFNSSPSHLSC >Manes.11G127300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29016692:29024845:1 gene:Manes.11G127300.v8.1 transcript:Manes.11G127300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDNSSSSLDSRFNQTLKNVQELLKGRSIPGKILLTRRTDPPEDPGLRDPSPSSGRSFSENDAGTSDRVDMSREAEAWSSSKINNNATANKFKPTYSNTEPMSKDVQKFPMGSRATDSARVLKFTKELSATTVILERLRELAWSGVPPYMRPDVWRLVLGYAPSNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQISVDCPRTAPDITFFLQHQVQKSLERILYTLAIRHPASGYVQGINDLATPFLVVFLSEYLEGDIDNWSMYDLSPDKISDIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIYTSFLLTWSDKLQKLDFQEMVMFLQHLPTHNWTDQELEMVLSRAYMWHSGGQPTL >Manes.11G127300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29016692:29024845:1 gene:Manes.11G127300.v8.1 transcript:Manes.11G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDNSSSSLDSRFNQTLKNVQELLKGRSIPGKILLTRRTDPPEDPGLRDPSPSSGRSFSENDAGTSDRVDMSREAEAWSSSKINNNATANKFKPTYSNTEPMSKDVQKFPMGSRATDSARVLKFTKELSATTVILERLRELAWSGVPPYMRPDVWRLVLGYAPSNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQISVDCPRTAPDITFFLQHQVQKSLERILYTLAIRHPASGYVQGINDLATPFLVVFLSEYLEGDIDNWSMYDLSPDKISDIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIYTSFLLTWSDKLQKLDFQEMVMFLQHLPTHNWTDQELEMVLSRAYMWHSMFNSSPSHLSC >Manes.11G127300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29016692:29024845:1 gene:Manes.11G127300.v8.1 transcript:Manes.11G127300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDNSSSSLDSRFNQTLKNVQELLKGRSIPGKILLTRRTDPPEDPGLRDPSPSSGRSFSENDAGTSDRVDMSREAEAWSSSKINNNATANKFKPTYSNTEPMSKDVQKFPMGSRATDSARVLKFTKELSATTVILERLRELAWSGVPPYMRPDVWRLVLGYAPSNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQISVDCPRTAPDITFFLQHQVQKSLERILYTLAIRHPASGYVQGINDLATPFLVVFLSEYLEGDIDNWSMYDLSPDKISDIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSRHMEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIYTSFLLTWSDKLQKLDFQEMVMFLQHLPTHNWTDQELEMVLSRAYMWHSGGQPTL >Manes.11G127300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29016692:29022359:1 gene:Manes.11G127300.v8.1 transcript:Manes.11G127300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGDNSSSSLDSRFNQTLKNVQELLKGRSIPGKILLTRRTDPPEDPGLRDPSPSSGRSFSENDAGTSDRVDMSREAEAWSSSKINNNATANKFKPTYSNTEPMSKDVQKFPMGSRATDSARVLKFTKELSATTVILERLRELAWSGVPPYMRPDVWRLVLGYAPSNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQISVDCPRTAPDITFFLQHQVQKSLERILYTLAIRHPASGYVQGINDLATPFLVVFLSEYLEGDIDNWSMYDLSPDKISDIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDGKH >Manes.11G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26219573:26225639:1 gene:Manes.11G113100.v8.1 transcript:Manes.11G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPTWPWTLSASLTVTLTLTIVSLAYGNSEGDALYTLRKSLSDPDNVLQSWDPTLVNPCTWFHVTCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIQGSIPGELGNLKSLISLDLYNNNISGTIPSSLGKLKSLVFLRLNDNRLTGPIPRELVGVSSLKVVDVSNNDLCGTIPTSGPFERIPLNNFENNPRLEGPELLGLASYDTNCS >Manes.14G158930.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21175779:21193415:1 gene:Manes.14G158930.v8.1 transcript:Manes.14G158930.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLTLRVTAGFSLPSCRKMTSNPTFNTHRSCIAFAATSQNIDRKLPILLFDIMDTIVRDPFYNDVPPFFGMSFEELIESKHPTAWIEFEKGLIDEMQLFKKFFKDGRPFDLEGLKNCMRKGYSYIDGVPELLYDLRQNNYEMHAFTNYPIWYKMIEEKLKISTYLSWTFCSCISGKRKPDLDFYLEVVRHLSVDPASCVFIDDRLTNVKAATEVGIVGLHFKNAHTLREDLARIGVNVSADEHIRHD >Manes.16G060225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:23455980:23457349:1 gene:Manes.16G060225.v8.1 transcript:Manes.16G060225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PCaP1 MGYWKSKVLPKIKKVFEKDSAKKAAAAEACKSFDGSKEEINKEFEEKKTELQPKVLEIYEATSAEVKTLVKDPKEAGLKKHSALVQKFLEELAKIEFPGSKAVTEAATKYGTSYVSGPVFFILEKVSTFVVVEERAVEPPPAVEGEETSSKEKEIVVEEEKKEEAVVEADKTTETEEAEAVPPPAAAEPAAKVEEEKASAEPPKP >Manes.17G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32289671:32293314:1 gene:Manes.17G115500.v8.1 transcript:Manes.17G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLSKASSGAAGLVEPYIQSAISLHGSNFTANGHVFLSDVPENITVTPSPYTQTDKSLSTVGSFIGFDSMEAKDRHVVPIGKLKNIRFMSIFRFKVWWTTHWVGSNGRDLENETQMVILDKSSDSGHRPYVLLLPLLEGPFRASLQPGDDDNIDICVESGSTKVSATGFRSILYMHVGDDPFKLVKEAMKVVRHHLGTFRLLEEKNPPGIVDKFGWCTWDAFYLTVHPQGIMEGVKGLVEGGCPPGLVLIDDGWQSISHDEDPITKEGMNHTIAGEQMPCRLLKFQENYKFRDYMSAKSSATGATNKGMSAFIKDLKEEFNTVDYVYVWHALCGYWGGLRPNVPGLPETTVIKPKLSPGLQLTMEDLAVDKIVHTGVGLVPPEKVDEMYEGLHSHLEAVGIDGVKVDVIHLLEMVCENYGGRVDLAKAYFKALTASVRKHFNGNGVIASMEHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDSVGKHNFQLLKRLVLPDGSILRCQYYALPTRDCLFEDPLHDGKTMLKIWNFNKFTGVIGAFNCQGGGWCRETRRNQCASQFSHSVTTKTNAKEIEWNSGKNPISIEGVQVFAMYLSQAKKLILSKPHDNIEISLEPFNFELITVSPIIIPEGKSIQFAPIGLVNMLNAGGAIQSLAYSDTGNSFQIGVKGAGEMRVFASEKPRSCKIDGREVGFEYEECMVIVEVAWSTSSGVSIVEYLF >Manes.06G179000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30337589:30349708:1 gene:Manes.06G179000.v8.1 transcript:Manes.06G179000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDDDVEGGNLGPYQERPRTFPNMRSKSYTPFIVRVLMGINVRVLFILLLLGLGGVFYIGASTSPIIVFVFTICIISFLLSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFRTQYGTISKMAMLLALVILCIYLFRTTTPQQESSGLGRSTSAYITVAAFLLGALCSGMAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSAIVVVGMAVIGIAILYAAFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVIHSFDLVISSIGILSIRSTRDSSVNSPREDPMAILQKGYSVTIVLAVITFGASTRWMLYTEQAPSAWFNFALCGLVGIITAYDFVWITKYYTDYKHEPVRTLALASSTGHGTNIIAGVSLGLESTALPVLVISISIVSAFWLGHTSGLVDEAGKPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDLLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAHEPFNQVDIAVPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGILFRILGYYTGHPLLGAKVVASMLMFGTVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDCHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPVFL >Manes.10G058300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7972493:7982572:1 gene:Manes.10G058300.v8.1 transcript:Manes.10G058300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVVEDLGAPESWEVADLDETMSRLMLKDSKKDSGKPLLELSADVSVNSGSASGYGSSAPGTGSGEKLPDDIINQVDQFLREALQNPRERLSILRMEQDVEKFICDPTQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLPDGSGSRIIVRKTSECRLPLIRLADIPVNLPSEDSGVVKVAIKQRPQKRPQTVNNSNPNSMRSNSSKSVEERKEEYNRARARIFNSSGFSSGSSGKPEGEPRLQDGSQHSLLGITKTEEKPISGNSDINSGRGSIESSASSNRSARTRTEKEPISRYKPNNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFNGGPYTMQPLYTPALNYNTEFPQLGSSHRPQISTEHQPRPLPQNLPGPWAAPSTPAGMGYGHPETMMTPFNPNHVGARSSSAIYLHSSQYPCQRPGMPFIHPHEHVQPFSQSHQQQPDASFGLARPR >Manes.18G082000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYHEQKC >Manes.18G082000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529358:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHVKIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYHEQKC >Manes.18G082000.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529437:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHVKIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529437:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYHEQKC >Manes.18G082000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524197:7529437:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524197:7529437:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHVKIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHVKIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYHEQKC >Manes.18G082000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHVKIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHVKIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYYYGE >Manes.18G082000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7524231:7529342:1 gene:Manes.18G082000.v8.1 transcript:Manes.18G082000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQMESPDVPECPVCLQTYDGEYTIPRVLACGHTTCESCLKSLPQKYPLTIRCPACIQLVKFPSQGPSFLPKNIDLLRLIPTTSQLQNPPKPHNKAQNNLQHHHHQVDSVRLWSDEFYAMWKNWVLPNDSVLVEEKENGFGCLKEGNRKVRLFKFAGVSFPVSEKSSVFKLSYDAGIMNCLYGMKKEVREELSSILKVCSKNSRTCKVYGLWADLKYGVLYLVFERLNGILDQLPEFEDGLNKNGLSSFAMMGMEMCEAVIASHVEGLCMGCLGLSCFEMDDFGHVNLSLSEVLVTGGAVHEVIMETGFDGRRICDKEIGKLVSGSFEREAFLSPEMLFEILKKDAIEVDCVSFKHSVILSSDVWSLACILLRLLIGKQFTEELVDYVDNFISEVSEENSLDFSGLFMGLMEKVRALLGSKVGEECESLQKILCRCLNIDPESRPLVVEVWKCVRELITKLQLDSMLRLDGTVHEKSKVHCLALGEFSLLPKKISEILKKDEVLGADNSSAEKPNQGEGMRVDKDFFYGLLEGKVKLKDMQGHLDCVTGLAIGGGYLFSSSFDKSVQVWSLQDFSHLHTFKGHEHKVMAVIYVDEEQPLCVSGDSGGGIFIWSITIPLRQEPLKKWYEQKDWRYSGIHALATAGNGYLYTGSGDRSVKAWSLQDGILLSTMDGHRSVVSTLAACDGILYSGSWDGTIRLWSLSDHSLLTVLGEDVPGTVTSVLSLFVCQNQLVAAHENGHVKIWRNDVFLKSMQPHNGSIFAICMEGRYLFTGGWDKTINVQELSGDEFQVDARSIGSVPGGSAVTSLLYSQGKLFVGYGDRTIQVYHEQKC >Manes.11G129900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29271788:29286010:-1 gene:Manes.11G129900.v8.1 transcript:Manes.11G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEILSGLVRLLWQRGHIKHQEHDIEQLKLKRKFLDYMRDALKEEIMKELVRGKMPSREYHTWLHRGERIEHQINDMLDAQLKVSVIDTLTPEHSKYLVSSLENEIIKCLERSPFDHGPISDKPHKMMKKSTKRLERKLYRSFKKGQIVKSPAGAEKKATGKVKLAKSGSGISKPKKGQIHLVQKVEGDKATAEAHMQKEKYEKTDIPASSSSPSIEATKADLISSMKGNMSTAEAEPKEVIHEPATNPDSPSSTMAAPEVEPEVEDDRTIVPTSPSISNMEATQADLILEAKGSMAAAELEPMEVEDEIMIVPTSQSIPSIEAAKATLSSSASKEVTKSEMVPSSIEKLDNFPAAGDGEIRVCEEEERPLSPKSRLNTKKSIQHMVQKLNRLIAETNTKIIGLYGQGGIGKTSILKAFIDHSEVRYMFDAIIYVTVSRCWSIKKIQNVVMSQLAINQADYQTDSERAKKLFRALEKKKFLLILDDVWENIDLHAAGIPTSSLGKSCRLMLATRSLEVCHDMAAKEIEVGCLSKEEAWELFCEQAGQIVVSPNIQPYAQEIANECGRLPLHIIITARALTGENNVSVWKHALKDFLLAKTGNSDIDPQIQLLKFSYERLKIHVLKSETLMSCDIQNCFLYCALIPEDYEVYTSTLVDYWIEEGLLVGNADDARKKGHDIVQALIDAALLESIKHRTCIKMHDSIRDLASGILSAKVESCNFLGRTSPRLSQLPTQGSNSLSSLESVERYNIWRPVDHHEYLFRAGGRLAEPPPEKEWEPAKVMFLMDNELSSLPARPNCPNLLTLLLQRNFRLRVLPDSFFDLMPLLKVLNMSKTRITSLPKSISQLDNLKELYLRDCERLMKLPSEVGKLKHLQLLDLHGTVLYELTDAIGGLKSLRDLEVSFYGPVDHREYSKLSTELIPDGTISKLTSLTNLSIVVFPGDHRWHDTTQSVIKDVCDLKNLTSLRFYFPKVQQLQLFLQRSHSWKHNLLEKFNFIVGHDIKRMLSRVPHNVELDYNQQDRCLRLVNYKTIPEDAIMEVLARTTTFYLDHHLKIRSLSQLGIKNIIRLEFCIVRECPEIEYIVDGEELALPFLEHLSLYYLQKLQGIWKEQLPLGSFASLKCLCIHTCWQLKFVFTSSTSKHFRNLEKLVVEDCKAIKDIISVESDIKLDYVMLPKLKIMELRYLPNLVSIWKGPWPSLEHISFYHCPKLKNLHMDSVTEFRSSIKKIEAEKQWWNKLELGDSSLRDELQLQTCFFTLPEEDL >Manes.11G129900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29271788:29286010:-1 gene:Manes.11G129900.v8.1 transcript:Manes.11G129900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEILSGLVRLLWQRGHIKHQEHDIEQLKLKRKFLDYMRDALKEEIMKELVRGKMPSREYHTWLHRGERIEHQINDMLDAQLKVSVIDTLTPEHSKYLVSSLENEIIKCLERSPFDHGPISDKPHKMMKKSTKRLERKLYRSFKKGQIVKSPAGAEKKATGKVKLAKSGSGISKPKKGQIHLVQKVEGDKATAEAHMQKEKYEKTDIPASSSSPSIEATKADLISSMKGNMSTAEAEPKEVIHEPATNPDSPSSTMAAPEVEPEVEDDRTIVPTSPSISNMEATQADLILEAKGSMAAAELEPMEVEDEIMIVPTSQSIPSIEAAKATLSSSASKEVTKSEMVPSSIEKLDNFPAAGDGEIRVCEEEERPLSPKSRLNTKKSIQHMVQKLNRLIAETNTKIIGLYGQGGIGKTSILKAFIDHSEVRYMFDAIIYVTVSRCWSIKKIQNVVMSQLAINQADYQTDSERAKKLFRALEKKKFLLILDDVWENIDLHAAGIPTSSLGKSCRLMLATRSLEVCHDMAAKEIEVGCLSKEEAWELFCEQAGQIVVSPNIQPYAQEIANECGRLPLHIIITARALTGENNVSVWKHALKDFLLAKTGNSDIDPQIQLLKFSYERLKIHVLKSETLMSCDIQNCFLYCALIPEDYEVYTSTLVDYWIEEGLLVGNADDARKKGHDIVQALIDAALLESIKHRTCIKMHDSIRDLASGILSAKVESCNFLGRTSPRLSQLPTQGSNSLSSLESVERYNIWRPVDHHEYLFRAGGRLAEPPPEKEWEPAKVMFLMDNELSSLPARPNCPNLLTLLLQRNFRLRVLPDSFFDLMPLLKVLNMSKTRITSLPKSISQLDNLKELYLRDCERLMKLPSEVGKLKHLQLLDLHGTVLYELTDAIGGLKSLRDLEVSFYGPVDHREYSKLSTELIPDGTISKLTSLTNLSIVVFPGDHRWHDTTQSVIKDVCDLKNLTSLRFYFPKVQQLQLFLQRSHSWKHNLLEKFNFIVGHDIKRMLSRVPHNVELDYNQQDRCLRLVNYKTIPEDAIMEVLARTTTFYLDHHLKIRSLSQLGIKNIIRLEFCIVRECPEIEYIVDGEELALPFLEHLSLYYLQKLQGIWKEQLPLGSFASLKCLCIHTCWQLKFVFTSSTSKHFRNLEKLVVEDCKAIKDIISVESDIKLDYVMLPKLKIMELRYLPNLVSIWKGPWPSLEHISFYHCPKLKNLHMDSVTEFRSSIKKIEAEKQWWNKLELGDSSLRDELQLQTCFFTLPEEDL >Manes.11G129900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29271788:29280961:-1 gene:Manes.11G129900.v8.1 transcript:Manes.11G129900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILHQWNWRNFFIFLIILAVSERKSIKHLAGSMALIEILSGLVRLLWQRGHIKHQEHDIEQLKLKRKFLDYMRDALKEEIMKELVRGKMPSREYHTWLHRGERIEHQINDMLDAQLKVSVIDTLTPEHSKYLVSSLENEIIKCLERSPFDHGPISDKPHKMMKKSTKRLERKLYRSFKKGQIVKSPAGAEKKATGKVKLAKSGSGISKPKKGQIHLVQKVEGDKATAEAHMQKEKYEKTDIPASSSSPSIEATKADLISSMKGNMSTAEAEPKEVIHEPATNPDSPSSTMAAPEVEPEVEDDRTIVPTSPSISNMEATQADLILEAKGSMAAAELEPMEVEDEIMIVPTSQSIPSIEAAKATLSSSASKEVTKSEMVPSSIEKLDNFPAAGDGEIRVCEEEERPLSPKSRLNTKKSIQHMVQKLNRLIAETNTKIIGLYGQGGIGKTSILKAFIDHSEVRYMFDAIIYVTVSRCWSIKKIQNVVMSQLAINQADYQTDSERAKKLFRALEKKKFLLILDDVWENIDLHAAGIPTSSLGKSCRLMLATRSLEVCHDMAAKEIEVGCLSKEEAWELFCEQAGQIVVSPNIQPYAQEIANECGRLPLHIIITARALTGENNVSVWKHALKDFLLAKTGNSDIDPQIQLLKFSYERLKIHVLKSETLMSCDIQNCFLYCALIPEDYEVYTSTLVDYWIEEGLLVGNADDARKKGHDIVQALIDAALLESIKHRTCIKMHDSIRDLASGILSAKVESCNFLGRTSPRLSQLPTQGSNSLSSLESVERYNIWRPVDHHEYLFRAGGRLAEPPPEKEWEPAKVMFLMDNELSSLPARPNCPNLLTLLLQRNFRLRVLPDSFFDLMPLLKVLNMSKTRITSLPKSISQLDNLKELYLRDCERLMKLPSEVGKLKHLQLLDLHGTVLYELTDAIGGLKSLRDLEVSFYGPVDHREYSKLSTELIPDGTISKLTSLTNLSIVVFPGDHRWHDTTQSVIKDVCDLKNLTSLRFYFPKVQQLQLFLQRSHSWKHNLLEKFNFIVGHDIKRMLSRVPHNVELDYNQQDRCLRLVNYKTIPEDAIMEVLARTTTFYLDHHLKIRSLSQLGIKNIIRLEFCIVRECPEIEYIVDGEELALPFLEHLSLYYLQKLQGIWKEQLPLGSFASLKCLCIHTCWQLKFVFTSSTSKHFRNLEKLVVEDCKAIKDIISVESDIKLDYVMLPKLKIMELRYLPNLVSIWKGPWPSLEHISFYHCPKLKNLHMDSVTEFRSSIKKIEAEKQWWNKLELGDSSLRDELQLQTCFFTLPEEDL >Manes.11G129900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29271788:29286003:-1 gene:Manes.11G129900.v8.1 transcript:Manes.11G129900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEILSGLVRLLWQRGHIKHQEHDIEQLKLKRKFLDYMRDALKEEIMKELVRGKMPSREYHTWLHRGERIEHQINDMLDAQLKVSVIDTLTPEHSKYLVSSLENEIIKCLERSPFDHGPISDKPHKMMKKSTKRLERKLYRSFKKGQIVKSPAGAEKKATGKVKLAKSGSGISKPKKGQIHLVQKVEGDKATAEAHMQKEKYEKTDIPASSSSPSIEATKADLISSMKGNMSTAEAEPKEVIHEPATNPDSPSSTMAAPEVEPEVEDDRTIVPTSPSISNMEATQADLILEAKGSMAAAELEPMEVEDEIMIVPTSQSIPSIEAAKATLSSSASKEVTKSEMVPSSIEKLDNFPAAGDGEIRVCEEEERPLSPKSRLNTKKSIQHMVQKLNRLIAETNTKIIGLYGQGGIGKTSILKAFIDHSEVRYMFDAIIYVTVSRCWSIKKIQNVVMSQLAINQADYQTDSERAKKLFRALEKKKFLLILDDVWENIDLHAAGIPTSSLGKSCRLMLATRSLEVCHDMAAKEIEVGCLSKEEAWELFCEQAGQIVVSPNIQPYAQEIANECGRLPLHIIITARALTGENNVSVWKHALKDFLLAKTGNSDIDPQIQLLKFSYERLKIHVLKSETLMSCDIQNCFLYCALIPEDYEVYTSTLVDYWIEEGLLVGNADDARKKGHDIVQALIDAALLESIKHRTCIKMHDSIRDLASGILSAKVESCNFLGRTSPRLSQLPTQGSNSLSSLESVERYNIWRPVDHHEYLFRAGGRLAEPPPEKEWEPAKVMFLMDNELSSLPARPNCPNLLTLLLQRNFRLRVLPDSFFDLMPLLKVLNMSKTRITSLPKSISQLDNLKELYLRDCERLMKLPSEVGKLKHLQLLDLHGTVLYELTDAIGGLKSLRDLEVSFYGPVDHREYSKLSTELIPDGTISKLTSLTNLSIVVFPGDHRWHDTTQSVIKDVCDLKNLTSLRFYFPKVQQLQLFLQRSHSWKHNLLEKFNFIVGHDIKRMLSRVPHNVELDYNQQDRCLRLVNYKTIPEDAIMEVLARTTTFYLDHHLKIRSLSQLGIKNIIRLEFCIVRECPEIEYIVDGEELALPFLEHLSLYYLQKLQGIWKEQLPLGSFASLKCLCIHTCWQLKFVFTSSTSKHFRNLEKLVVEDCKAIKDIISVESDIKLDYVMLPKLKIMELRYLPNLVSIWKGPWPSLEHISFYHCPKLKNLHMDSVTEFRSSIKKIEAEKQWWNKLELGDSSLRDELQLQTCFFTLPEEDL >Manes.11G129900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29271788:29280961:-1 gene:Manes.11G129900.v8.1 transcript:Manes.11G129900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILHQWNWRNFFIFLIILAVSERKSIKHLAGSMALIEILSGLVRLLWQRGHIKHQEHDIEQLKLKRKFLDYMRDALKEEIMKELVRGKMPSREYHTWLHRGERIEHQINDMLDAQLKVSVIDTLTPEHSKYLVSSLENEIIKCLERSPFDHGPISDKPHKMMKKSTKRLERKLYRSFKKGQIVKSPAGAEKKATGKVKLAKSGSGISKPKKGQIHLVQKVEGDKATAEAHMQKEKYEKTDIPASSSSPSIEATKADLISSMKGNMSTAEAEPKEVIHEPATNPDSPSSTMAAPEVEPEVEDDRTIVPTSPSISNMEATQADLILEAKGSMAAAELEPMEVEDEIMIVPTSQSIPSIEAAKATLSSSASKEVTKSEMVPSSIEKLDNFPAAGDGEIRVCEEEERPLSPKSRLNTKKSIQHMVQKLNRLIAETNTKIIGLYGQGGIGKTSILKAFIDHSEVRYMFDAIIYVTVSRCWSIKKIQNVVMSQLAINQADYQTDSERAKKLFRALEKKKFLLILDDVWENIDLHAAGIPTSSLGKSCRLMLATRSLEVCHDMAAKEIEVGCLSKEEAWELFCEQAGQIVVSPNIQPYAQEIANECGRLPLHIIITARALTGENNVSVWKHALKDFLLAKTGNSDIDPQIQLLKFSYERLKIHVLKSETLMSCDIQNCFLYCALIPEDYEVYTSTLVDYWIEEGLLVGNADDARKKGHDIVQALIDAALLESIKHRTCIKMHDSIRDLASGILSAKVESCNFLGRTSPRLSQLPTQGSNSLSSLESVERYNIWRPVDHHEYLFRAGGRLAEPPPEKEWEPAKVMFLMDNELSSLPARPNCPNLLTLLLQRNFRLRVLPDSFFDLMPLLKVLNMSKTRITSLPKSISQLDNLKELYLRDCERLMKLPSEVGKLKHLQLLDLHGTVLYELTDAIGGLKSLRDLEVSFYGPVDHREYSKLSTELIPDGTISKLTSLTNLSIVVFPGDHRWHDTTQSVIKDVCDLKNLTSLRFYFPKDRCLRLVNYKTIPEDAIMEVLARTTTFYLDHHLKIRSLSQLGIKNIIRLEFCIVRECPEIEYIVDGEELALPFLEHLSLYYLQKLQGIWKEQLPLGSFASLKCLCIHTCWQLKFVFTSSTSKHFRNLEKLVVEDCKAIKDIISVESDIKLDYVMLPKLKIMELRYLPNLVSIWKGPWPSLEHISFYHCPKLKNLHMDSVTEFRSSIKKIEAEKQWWNKLELGDSSLRDELQLQTCFFTLPEEDL >Manes.11G129900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29271788:29286010:-1 gene:Manes.11G129900.v8.1 transcript:Manes.11G129900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIEILSGLVRLLWQRGHIKHQEHDIEQLKLKRKFLDYMRDALKEEIMKELVRGKMPSREYHTWLHRGERIEHQINDMLDAQLKVSVIDTLTPEHSKYLVSSLENEIIKCLERSPFDHGPISDKPHKMMKKSTKRLERKLYRSFKKGQIVKSPAGAEKKATGKVKLAKSGSGISKPKKGQIHLVQKVEGDKATAEAHMQKEKYEKTDIPASSSSPSIEATKADLISSMKGNMSTAEAEPKEVIHEPATNPDSPSSTMAAPEVEPEVEDDRTIVPTSPSISNMEATQADLILEAKGSMAAAELEPMEVEDEIMIVPTSQSIPSIEAAKATLSSSASKEVTKSEMVPSSIEKLDNFPAAGDGEIRVCEEEERPLSPKSRLNTKKSIQHMVQKLNRLIAETNTKIIGLYGQGGIGKTSILKAFIDHSEVRYMFDAIIYVTVSRCWSIKKIQNVVMSQLAINQADYQTDSERAKKLFRALEKKKFLLILDDVWENIDLHAAGIPTSSLGKSCRLMLATRSLEVCHDMAAKEIEVGCLSKEEAWELFCEQAGQIVVSPNIQPYAQEIANECGRLPLHIIITARALTGENNVSVWKHALKDFLLAKTGNSDIDPQIQLLKFSYERLKIHVLKSETLMSCDIQNCFLYCALIPEDYEVYTSTLVDYWIEEGLLVGNADDARKKGHDIVQALIDAALLESIKHRTCIKMHDSIRDLASGILSAKVESCNFLGRTSPRLSQLPTQGSNSLSSLESVERYNIWRPVDHHEYLFRAGGRLAEPPPEKEWEPAKVMFLMDNELSSLPARPNCPNLLTLLLQRNFRLRVLPDSFFDLMPLLKVLNMSKTRITSLPKSISQLDNLKELYLRDCERLMKLPSEVGKLKHLQLLDLHGTVLYELTDAIGGLKSLRDLEVSFYGPVDHREYSKLSTELIPDGTISKLTSLTNLSIVVFPGDHRWHDTTQSVIKDVCDLKNLTSLRFYFPKDRCLRLVNYKTIPEDAIMEVLARTTTFYLDHHLKIRSLSQLGIKNIIRLEFCIVRECPEIEYIVDGEELALPFLEHLSLYYLQKLQGIWKEQLPLGSFASLKCLCIHTCWQLKFVFTSSTSKHFRNLEKLVVEDCKAIKDIISVESDIKLDYVMLPKLKIMELRYLPNLVSIWKGPWPSLEHISFYHCPKLKNLHMDSVTEFRSSIKKIEAEKQWWNKLELGDSSLRDELQLQTCFFTLPEEDL >Manes.11G129900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29271788:29280986:-1 gene:Manes.11G129900.v8.1 transcript:Manes.11G129900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILHQWNWRNFFIFLIILAVSERKSIKHLAGSMALIEILSGLVRLLWQRGHIKHQEHDIEQLKLKRKFLDYMRDALKEEIMKELVRGKMPSREYHTWLHRGERIEHQINDMLDAQLKVSVIDTLTPEHSKYLVSSLENEIIKCLERSPFDHGPISDKPHKMMKKSTKRLERKLYRSFKKGQIVKSPAGAEKKATGKVKLAKSGSGISKPKKGQIHLVQKVEGDKATAEAHMQKEKYEKTDIPASSSSPSIEATKADLISSMKGNMSTAEAEPKEVIHEPATNPDSPSSTMAAPEVEPEVEDDRTIVPTSPSISNMEATQADLILEAKGSMAAAELEPMEVEDEIMIVPTSQSIPSIEAAKATLSSSASKEVTKSEMVPSSIEKLDNFPAAGDGEIRVCEEEERPLSPKSRLNTKKSIQHMVQKLNRLIAETNTKIIGLYGQGGIGKTSILKAFIDHSEVRYMFDAIIYVTVSRCWSIKKIQNVVMSQLAINQADYQTDSERAKKLFRALEKKKFLLILDDVWENIDLHAAGIPTSSLGKSCRLMLATRSLEVCHDMAAKEIEVGCLSKEEAWELFCEQAGQIVVSPNIQPYAQEIANECGRLPLHIIITARALTGENNVSVWKHALKDFLLAKTGNSDIDPQIQLLKFSYERLKIHVLKSETLMSCDIQNCFLYCALIPEDYEVYTSTLVDYWIEEGLLVGNADDARKKGHDIVQALIDAALLESIKHRTCIKMHDSIRDLASGILSAKVESCNFLGRTSPRLSQLPTQGSNSLSSLESVERYNIWRPVDHHEYLFRAGGRLAEPPPEKEWEPAKVMFLMDNELSSLPARPNCPNLLTLLLQRNFRLRVLPDSFFDLMPLLKVLNMSKTRITSLPKSISQLDNLKELYLRDCERLMKLPSEVGKLKHLQLLDLHGTVLYELTDAIGGLKSLRDLEVSFYGPVDHREYSKLSTELIPDGTISKLTSLTNLSIVVFPGDHRWHDTTQSVIKDVCDLKNLTSLRFYFPKVQQLQLFLQRSHSWKHNLLEKFNFIVGHDIKRMLSRVPHNVELDYNQQDRCLRLVNYKTIPEDAIMEVLARTTTFYLDHHLKIRSLSQLGIKNIIRLEFCIVRECPEIEYIVDGEELALPFLEHLSLYYLQKLQGIWKEQLPLGSFASLKCLCIHTCWQLKFVFTSSTSKHFRNLEKLVVEDCKAIKDIISVESDIKLDYVMLPKLKIMELRYLPNLVSIWKGPWPSLEHISFYHCPKLKNLHMDSVTEFRSSIKKIEAEKQWWNKLELGDSSLRDELQLQTCFFTLPEEDL >Manes.12G103911.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:27992340:28005836:1 gene:Manes.12G103911.v8.1 transcript:Manes.12G103911.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNSQEGQSVVRPPFFDGNDFLYWKNRMCYFLKSERVDLWDIIENGSFFPTRVIDENQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTAKEIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDETISQMYDRFIEIIGEMKSLGKTFTNEELVKKILRCLPKELLPKVTSLKDAKYFNKLQLDELLGNLIDYEMTLKRVQVEEPSKVKKNIALRFIPRKNFRKEKGESSKKEVVICYDCNKPGHYKVDCLKLKKPIKKFKKKAFKATWDESSDTEEEDAGDEIANMCFMALEECFDEVCLKSSKIESKWYLDSGCSRHMTKNSSRFISLEKKDGSGQVTFRDNGKVTFGDNGKSIIVGIGKVVDDCRVIFEPKSCVVSRISDNKILFVAMTNLDMKCFVSISDNSWIWHRRFSHANELVDGLPKIKYEKNKVCDACQMGKQVKSSFKSINKVITSRPLQLLHMDLFGPTRVASLGGMHYGFVIVDDYSRSFAKKVQNEKGFQISSIRSDHGREFENERFEIFCNKTPQQNDMGRTMLREVLIRSLLNKTPYELWNGRKPRVSYFRVFGCKFDSKTDEGIFLGYSTSSKSYRVFNKRTLIVE >Manes.03G190800.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHADQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKEDACQPLASQIHFQSTTF >Manes.03G190800.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHADQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKEDACQPLASQIHFQSTTF >Manes.03G190800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKKEPSACKPDQILAQSSELCLNNSGGCMPATSFSNPLPVNNILRPQTAPLGLLRSKYLSEPYSFTGNSGSLLIYIFL >Manes.03G190800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHADQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKKEPSACKPDQILAQSSELCLNNSGGCMPATSFSNPLPVNNILRPQTAPLGLLRSKYLSEPYSFTGNSGSLLIYIFL >Manes.03G190800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHADQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKKEPSACKPDQILAQSSELCLNNSGGCMPATSFSNPLPVNNILRPQTAPLGLLRSKYLSEPYSFTGNSGQSLDTRTMQQASGSVPVL >Manes.03G190800.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKVCAY >Manes.03G190800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKKEPSACKPDQILAQSSELCLNNSGGCMPATSFSNPLPVNNILRPQTAPLGLLRSKYLSEPYSFTGNSGQSLDTRTMQQASGSVPVL >Manes.03G190800.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHADQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKEDACQPLASQIHFQSTTF >Manes.03G190800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKKEPSACKPDQILAQSSELCLNNSGGCMPATSFSNPLPVNNILRPQTAPLGLLRSKYLSEPYSFTGNSGQSLDTRTMQQASGSVPVL >Manes.03G190800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKEDACQPLASQIHFQSTTF >Manes.03G190800.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHADQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKEDACQPLASQIHFQSTTF >Manes.03G190800.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKDNLWTPGPCSKQVEVFPFCKESSYRGGSFG >Manes.03G190800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKDNLWTPGPCSKQVEVFPFCKESSYRGGSFG >Manes.03G190800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKEDACQPLASQIHFQSTTF >Manes.03G190800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHADQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKKEPSACKPDQILAQSSELCLNNSGGCMPATSFSNPLPVNNILRPQTAPLGLLRSKYLSEPYSFTGNSGSLLIYIFL >Manes.03G190800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKEDACQPLASQIHFQSTTF >Manes.03G190800.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKVCAY >Manes.03G190800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKKEPSACKPDQILAQSSELCLNNSGGCMPATSFSNPLPVNNILRPQTAPLGLLRSKYLSEPYSFTGNSGSLLIYIFL >Manes.03G190800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHADQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKKEPSACKPDQILAQSSELCLNNSGGCMPATSFSNPLPVNNILRPQTAPLGLLRSKYLSEPYSFTGNSGQSLDTRTMQQASGSVPVL >Manes.03G190800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30991246:30999556:1 gene:Manes.03G190800.v8.1 transcript:Manes.03G190800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSIGSGRMAVMARLLAAGSLSQTIGEELGQQKLATQCIYRELHEADEANLLDEEDMHVFGLEPMTDPLDLVCCNACKKPVKTSQYAAHAELCRSLNSTEETTLELDGSVGHRKPPRKERKKLLTAYINQATPVAEQERYVPIDADDRAALESQLDGQPGRSSSFSVDKKRNPACADVAFMVDGKGESPEHTGYSACVMPPPTKRSKLVSSQHLLLSNDPEAASGLTKVMSAQDSLAIRDFQIQSTLCSNMPNECGVVSKFPGKANKKFLTKDIPVPLATKIYYSQRNTRLRLAVARMYHSASAKGINSNVVSPEVSQETIIQLQASSCKGNSLVQVDNSLSKKEDACQPLASQIHFQSTTF >Manes.02G217948.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30457645:30463697:-1 gene:Manes.02G217948.v8.1 transcript:Manes.02G217948.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHCVDATHCVLIAILVVATTISSDDTTPIPADDSKFQTNVKPWKSRKGTLDPALEAAEAKSKIITVSKDGKGKFKTVTDVIKSIPSQNKGRAIIKIGPGVYTEKIEIEKTKPFITFLGDSKAMPTLAFGGTARKYGTHYSGTVTVDSDYFMGVNIIFQNTAPKPQSNKPGGQAVALRINGDKAAFYNCKFLGFQDTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESQLNVVDNKDLTFITAQGREEKSENFGYSFVQCKITRSGSGSFLGRAWRKMPQVIFSYTEMGAVVNPLGWSDNRQPDRDSTVLFAEYKNSGPGSNPKGRVKFTKQLKDAQAKNFLSLGYIQGSKWLLPPPK >Manes.14G003000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1484391:1486500:1 gene:Manes.14G003000.v8.1 transcript:Manes.14G003000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSATTETKAKTTRKPRRTHYKKRLVKSKNIQETSLVEDISSSTTTTTSFNVCSKIDGLDLEGVDISTSSCSTPKAQRYRIPEIVTCPPAPKKQRVISNCSLQRRPIAFFAPPDLELFFFFALRDISV >Manes.14G003000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1484250:1486531:1 gene:Manes.14G003000.v8.1 transcript:Manes.14G003000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSATTETKAKTTRKPRRTHYKKRLVKSKNIQETSLVEDISSSTTTTTSFNVCSKIDGLDLEGVDISTSSCSTPKAQRYRIPEIVTCPPAPKKQRVISNCSLQRRPIAFFAPPDLELFFFFALRDISV >Manes.08G001804.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:464869:466211:1 gene:Manes.08G001804.v8.1 transcript:Manes.08G001804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLEEESEKIPIEEEIENQRAKVTTSTPMTPELFMQWKKKKIEERDAGLAAQRAERAKNDRMSGRELFLSDASVFVDDAEAYEEYQREEQYDVPEEKANVKSSADGPSTAATTVDEDEDDDDDELDIDELNELEASLAKTSIQIQEPGCVN >Manes.01G088500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28977488:28979598:1 gene:Manes.01G088500.v8.1 transcript:Manes.01G088500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMALFSPPPPTHLLRFLSSKPNFTHKPTLFLGPKIPFILLSLPKSSTDNGAGISAAVEELKPEENVAQNSGSAAEAEETSLPPKVAVADADVKLDSKFVEPRWIGGTWDLKQFQKDGKTDWDAVIDAEVRRRKWLEENPESSSNDDPVVFDTSIIPWWAWIKRSHLPEAELLNGRAAMIGFFMAYFVDSLTGIGLVDQTNNFFCKSLLFMAVAGVLLIRKNEDIETLKKLLEETTFYDKQWQATWQDEIPSSSKTE >Manes.01G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28977471:28979355:1 gene:Manes.01G088500.v8.1 transcript:Manes.01G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMALFSPPPPTHLLRFLSSKPNFTHKPTLFLGPKIPFILLSLPKSSTDNGAGISAAVEELKPEENVAQNSGSAAEAEETSLPPKVAVADADVKLDSKFVEPRWIGGTWDLKQFQKDGKTDWDAVIDAEVRRRKWLEENPESSSNDDPVVFDTSIIPWWAWIKRSHLPEAELLNGRAAMIGFFMAYFVDSLTGIGLVDQTNNFFCKSLLFMAVAGVLLIRKNEDIETLKKLLEETTFYDKQWQATWQDEIPSSSKTE >Manes.03G065500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7885146:7891327:1 gene:Manes.03G065500.v8.1 transcript:Manes.03G065500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLIMAQQGKAYNANTTLSISACISLLNSSTTKHHRHHHQLKAMFHDFLGLKPPTIDSPVVLASKNADASPSASISVGAASSGGARAPLSTTSDLASERQVGNHLEGIPYYGPRSDISCSEISNRLAGSKRSNSDTAFTGTSRDGIQQMGHDSLESLHLMKMLKNGGGGERPRRSNDDEVFYGMQTMRPTSSSLILQSSSVGRLDANVSKWERSIPMGSAIQYPPRAGQFVPFMHQVPANKFRDINAGPSIISQSAADEGSRTGIKGPGILSSINAGSVVSEKNSSGALPSGSKPKSGTHISEPESSTPGRQGLTSASRQMTIFYGGQAHVFDDVHPNKVCCTLSLHTLHGHTKNTNQKAYVACSRNPLAFIAFVTETYLDKSTWLMSLV >Manes.03G065500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7885146:7900439:1 gene:Manes.03G065500.v8.1 transcript:Manes.03G065500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLIMAQQGKAYNANTTLSISACISLLNSSTTKHHRHHHQLKAMFHDFLGLKPPTIDSPVVLASKNADASPSASISVGAASSGGARAPLSTTSDLASERQVGNHLEGIPYYGPRSDISCSEISNRLAGSKRSNSDTAFTGTSRDGIQQMGHDSLESLHLMKMLKNGGGGERPRRSNDDEVFYGMQTMRPTSSSLILQSSSVGRLDANVSKWERSIPMGSAIQYPPRAGQFVPFMHQVPANKFRDINAGPSIISQSAADEGSRTGIKGPGILSSINAGSVVSEKNSSGALPSGSKPKSGTHISEPESSTPGRQGLTSASRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKPTVKAGGETSGENEAVMAANTAFRWRSSGTAAVNTTQGVGSGDRIPTPTAGKQGSIITSEEIKNQGQAGEASTEEKREV >Manes.03G065500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7885146:7900480:1 gene:Manes.03G065500.v8.1 transcript:Manes.03G065500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLIMAQQGKAYNANTTLSISACISLLNSSTTKHHRHHHQLKAMFHDFLGLKPPTIDSPVVLASKNADASPSASISVGAASSGGARAPLSTTSDLASERQVGNHLEGIPYYGPRSDISCSEISNRLAGSKRSNSDTAFTGTSRDGIQQMGHDSLESLHLMKMLKNGGGGERPRRSNDDEVFYGMQTMRPTSSSLILQSSSVGRLDANVSKWERSIPMGSAIQYPPRAGQFVPFMHQVPANKFRDINAGPSIISQSAADEGSRTGIKGPGILSSINAGSVVSEKNSSGALPSGSKPKSGTHISEPESSTPGRQGLTSASRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKPTVKAGGETSGENEAVMAANTAFRWRSSGTAAVNTTQGVGSGDRIPTPTGKQGSIITSEEIKNQGQAGEASTEEKREV >Manes.10G031500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3188465:3192536:1 gene:Manes.10G031500.v8.1 transcript:Manes.10G031500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTEEPPSQPPVEWDQSSSDGEEPSPSRSPSQSFDRDRHVAYLEMMYQMLPSDYQSQEINRLTLAYFAISGLDILGALDRVDKDAVASWVLSFQAHPGDKDQLNNGLFYGFQGSRSSQFLSDSDGVLINNLSHLASTYCALAILKIVGYNLSNVDSKSLLTSMRNLQQPDGSLLPIHIGAETDLRFIYCAAAICFMLEDWSGMDKEKAKEYIIKCQSYDGGFGMVPGSESHGGGTYCAVASLRLMGFMEDDLLSTSRASSIINLPLLLEWCLQRQAADGGFQGRPNKPSDTCYAFWVGAVSRILGGYKLIDGKALREFLITCQSKYGGFGKFPGEWPDLYHSYYGYTAFSILEEPGLNSLSFELGITDVAAFGI >Manes.16G028200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3122981:3123862:-1 gene:Manes.16G028200.v8.1 transcript:Manes.16G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSSSAFSVICILHSLIAITSGTLMMFHMKEIYTFTHGLDIALKLMGSTPHDQLLIRTSDSFSGLLLFSIGLLIFMVSFVKDVEFQTFFAKGCTVLHVFMALWRVYFERRVDVLAWDWLRQTVGDILLAFSWVFFLVYSWREKYD >Manes.15G036900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2877539:2880923:-1 gene:Manes.15G036900.v8.1 transcript:Manes.15G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDSGHGKIILARILYVSFLGLVTAAATQYYRERLKAKRVEISIMPKLDRKGSGRVGSLERFSHYVARQLGFADPSQCPQLCKLAYDYLRKSKDCEDNIYEIFANETEAESLYVKLIEEFERCILSYFAFHWTQVSHLITQVINDESEKRHKLKDVVLAATRKQRFERVNKELKVTRVFSTLVEEMKAIGKSDSQRSDLMVPVALSQRSPVLLLMGGGMGAGKSTVIKDVLKESFWSGAAPVVVEADAFKESDVIYRAISAMGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHKYRYRMGVGYKVAADGTITENYWEKEGDEIRERKPYRIEMVGVVCDAYLAVARGIRRAIMTRRAVRVSSQLKSHKRFAAAFPRYCQLVDHARLYCTNAVGGPPSLIARKEGNSSLLVDPEQIKCLTDVSNLNPDAESIYELYSDSSRIYDSNSVWKGVILSPSRSAIQLELKMSIGKIEMPPSK >Manes.15G036900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2877539:2880771:-1 gene:Manes.15G036900.v8.1 transcript:Manes.15G036900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHDSGHGKIILARILYVSFLGLVTAAATQYYRERLKAKRVEISIMPKLDRKGSGRVGSLERFSHYVARQLGFADPSQCPQLCKLAYDYLRKSKDCEDNIYEIFANETEAESLYVKLIEEFERCILSYFAFHWTQVSHLITQVINDESEKRHKLKDVVLAATRKQRFERVNKELKVTRVFSTLVEEMKAIGKSDSQRSDLMVPVALSQRSPVLLLMGGGMGAGKSTVIKDVLKESFWSGAAPVVVEADAFKESDVIYRAISAMGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHKYRYRMGVGYKVAADGTITENYWEKEGDEIRERKPYRIEMVGVVCDAYLAVARGISFRNLNRRAIMTRRAVRVSSQLKSHKRFAAAFPRYCQLVDHARLYCTNAVGGPPSLIARKEGNSSLLVDPEQIKCLTDVSNLNPDAESIYELYSDSSRIYDSNSVWKGVILSPSRSAIQLELKMSIGKIEMPPSK >Manes.11G115800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27243555:27253623:1 gene:Manes.11G115800.v8.1 transcript:Manes.11G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAPPNFSVSQITSKSRHLSPSLLRFTSKAFHFPSNPSFSSQSSIRLIKSIISCSKSSSAAPSPAVPFPRRRPQYIPNHIPDPSYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLSKLGVDIIEAGFPAASKDDFEAVKTIAMEVGNAVDEDGYVPVICGLSRCNEKDIRTAWEAVKYAKRPRIHTFIATSEIHMQYKLRKSKEEVLEIARSMVRFARSLGCDDVEFSPEDAGRSEREFLYQILGEVIKAGATTLNIPDTVGITLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGAYAGARQLEVTVNGIGERAGNASLEEVVMAIKCRGENVLGGLYTGINPKHITMASKMVEEYTGLQLQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERTNDAGIVLGKLSGRHALKDRLKELGYELNDEQLGNIFWSFKAVAEQKKRVTDADLIALVSDEVFQPEIVWKLSDLQVTCGTLGLSTATVKLIDADGEEHIACSVGHGPVDAGYKAVDLIVKEAVELLEYSMNAVTEGIDAIATTRVVIRGENHHITNALTGQPHLRSFSGSGAGMDIVVSSVKAYIGALNKMLAFKRQLPTARVSADRTPPYPHDEAVCANPV >Manes.11G115800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27243554:27253629:1 gene:Manes.11G115800.v8.1 transcript:Manes.11G115800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAPPNFSVSQITSKSRHLSPSLLRFTSKAFHFPSNPSFSSQSSIRLIKSIISCSKSSSAAPSPAVPFPRRRPQYIPNHIPDPSYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLSKLGVDIIEAGFPAASKDDFEAVKTIAMEVGNAVDEDGYVPVICGLSRCNEKDIRTAWEAVKYAKRPRIHTFIATSEIHMQYKLRKSKEEVLEIARSMVRFARSLGCDDVEFSPEDAGRSEREFLYQILGEVIKAGATTLNIPDTVGITLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGAYAGARQLEVTVNGIGERAGNASLEEVVMAIKCRGENVLGGLYTGINPKHITMASKMVEEYTGLQLQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERTNDAGIVLGKLSGRHALKDRLKELGYELNDEQLGNIFWSFKAVAEQKKRVTDADLIALVSDEVFQPEIVWKLSDLQVTCGTLGLSTATVKLIDADGEEHIACSVGHGPVDAGYKAVDLIVKEAVELLEYSMNAVTEGIDAIATTRVVIRGENHHITNALTGQPHLRSFSGSGAGMDIVVSSVKAYIGALNKMLAFKRQLPTARVSADRTPPYPHDEAVCANPV >Manes.11G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27243561:27253623:1 gene:Manes.11G115800.v8.1 transcript:Manes.11G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAPPNFSVSQITSKSRHLSPSLLRFTSKAFHFPSNPSFSSQSSIRLIKSIISCSKSSSAAPSPAVPFPRRRPQYIPNHIPDPSYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLSKLGVDIIEAGFPAASKDDFEAVKTIAMEVGNAVDEDGYVPVICGLSRCNEKDIRTAWEAVKYAKRPRIHTFIATSEIHMQYKLRKSKEEVLEIARSMVRFARSLGCDDVEFSPEDAGRSEREFLYQILGEVIKAGATTLNIPDTVGITLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGAYAGARQLEVTVNGIGERAGNASLEEVVMAIKCRGENVLGGLYTGINPKHITMASKMVEEYTGLQLQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERTNDAGIVLGKLSGRHALKDRLKELGYELNDEQLGNIFWSFKAVAEQKKRVTDADLIALVSDEVFQPEIVWKLSDLQVTCGTLGLSTATVKLIDADGEEHIACSVGHGPVDAGYKAVDLIVKEAVELLEYSMNAVTEGIDAIATTRVVIRGENHHITNALTGQPHLRSFSGSGAGMDIVVSSVKAYIGALNKMLAFKRQLPTARVSADRTPPYPHDEAVCANPVYFCAGNQTKF >Manes.11G115800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27243554:27253623:1 gene:Manes.11G115800.v8.1 transcript:Manes.11G115800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISAPPNFSVSQITSKSRHLSPSLLRFTSKAFHFPSNPSFSSQSSIRLIKSIISCSKSSSAAPSPAVPFPRRRPQYIPNHIPDPSYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLSKLGVDIIEAGFPAASKDDFEAVKTIAMEVGNAVDEDGYVPVICGLSRCNEKDIRTAWEAVKYAKRPRIHTFIATSEIHMQYKLRKSKEEVLEIARSMVRFARSLGCDDVEFSPEDAGRSEREFLYQILGEVIKAGATTLNIPDTVGITLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGAYAGARQLEVTVNGIGERAGNASLEEVVMAIKCRGENVLGGLYTGINPKHITMASKMVEEYTGLQLQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERTNDAGIVLGKLSGRHALKDRLKELGYELNDEQLGNIFWSFKAVAEQKKRVTDADLIALVSDEVFQPEIVWKLSDLQVTCGTLGLSTATVKLIDADGEEHIACSVGHGPVDAGYKAVDLIVKEAVELLEYSMNAVTEGIDAIATTRVVIRGENHHITNALTGQPHLRSFSGSGAGMDIVVSSVKAYIGALNKMLAFKRQLPTARVSADRTPPYPHDEAVCANPV >Manes.02G185900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14898267:14902403:-1 gene:Manes.02G185900.v8.1 transcript:Manes.02G185900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDSFVSNEHQGSTQSASENADPFSVSPLQISVNTKSPKSPGPNSPRPPTSPRSPKAHSKFGTNKGSPSKGSPLMYDRHSHSLIDGRPKNGGKDTECNYSLDPSDPKDDSSEECGTGRKLTVDFEEYKKKTTVIVEEYFANDDIVSTANELSEIGMPGYNYYFVKKLVSMAMDRHDKEKEMAAVLLSALYADIIDPSQVYKGFSKLVEASDDLIVDIPDTVDVLALFIARAVVDDILPPAFLKKQMNSLPAESKGVDVLKRAEKGYLAAPLHAEIIERRWGGSKNKTVEDVKANINNLLVEYILSGDKKEAFRCIKDLNVPFFHHEIIKRAVTMAMERPQAEGRLLDLLKDAAEEGLLNTSQITKGFNRMIDAVDDLSLDIPNARGILQSLISKASSEGWLSASSLKSLSFAPVKQPLEDSAATIFKKKAQCIIQEYFLSGDISEVSRCLESDNGNSSAELNAIFVKRLITLAMDRKNREKEMASVLLSSLCFPADDVSNGFVMLIESADDTALDIPEVVEDLAMFLARAVVDEVLAPQHMEEIESQFLGLESIGSKVLRMAQSSLKARLAGERILRCWGGGGSSRPGWAVEDVKDKIGKLLEEYESGGDIREACRCIKELGMPFFHHEVIKKALVRMFEKKDERIWRLLKEGFCSGLLTPYQMMKGFSRVAESLDDLALDVPDAKKQFAHCVERAKVAGWLDSSFCFDKSGNAIANGTCP >Manes.02G185900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14898267:14903301:-1 gene:Manes.02G185900.v8.1 transcript:Manes.02G185900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDSFVSNEHQGSTQSASENADPFSVSPLQISVNTKSPKSPGPNSPRPPTSPRSPKAHSKFGTNKGSPSKGSPLMYDRHSHSLIDGRPKNGGKDTECNYSLDPSDPKDDSSEECGTGRKLTVDFEEYKKKTTVIVEEYFANDDIVSTANELSEIGMPGYNYYFVKKLVSMAMDRHDKEKEMAAVLLSALYADIIDPSQVYKGFSKLVEASDDLIVDIPDTVDVLALFIARAVVDDILPPAFLKKQMNSLPAESKGVDVLKRAEKGYLAAPLHAEIIERRWGGSKNKTVEDVKANINNLLVEYILSGDKKEAFRCIKDLNVPFFHHEIIKRAVTMAMERPQAEGRLLDLLKDAAEEGLLNTSQITKGFNRMIDAVDDLSLDIPNARGILQSLISKASSEGWLSASSLKSLSFAPVKQPLEDSAATIFKKKAQCIIQEYFLSGDISEVSRCLESDNGNSSAELNAIFVKRLITLAMDRKNREKEMASVLLSSLCFPADDVSNGFVMLIESADDTALDIPEVVEDLAMFLARAVVDEVLAPQHMEEIESQFLGLESIGSKVLRMAQSSLKARLAGERILRCWGGGGSSRPGWAVEDVKDKIGKLLEEYESGGDIREACRCIKELGMPFFHHEVIKKALVRMFEKKDERIWRLLKEGFCSGLLTPYQMMKGFSRVAESLDDLALDVPDAKKQFAHCVERAKVAGWLDSSFCFDKSGNAIANGTCP >Manes.02G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1674211:1675522:-1 gene:Manes.02G018200.v8.1 transcript:Manes.02G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSYGGGQSSLGYLFGSDDQPNAPPPSRIVNLPPYGVDTISEKPSDNSSSEKQKVSNNYHRAQGQNSGNFITDRPSTKVKSVPGGDSSLGYLFGDK >Manes.14G013600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1210082:1210492:-1 gene:Manes.14G013600.v8.1 transcript:Manes.14G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.12G054000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4990253:4990567:1 gene:Manes.12G054000.v8.1 transcript:Manes.12G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKGAGRWVVVTMVVMAAAIFEGTRSLSLCDMSDDGLLACKPSVTKPNPVDPPSEECCKALTGANLTCLCSYRNSLVLPALGIDPDLALALPAKCNLTTPADC >Manes.09G135000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33530658:33534416:-1 gene:Manes.09G135000.v8.1 transcript:Manes.09G135000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRISCNVSKSHTIWNVCGNTSIHWTFWGTTACRLCTHPDRHHSICKWDTGMSSATETLCGQAFGARQYHMMGIYLQRSCIINLITATILLPVFIFSGKIFRLLGEEEDIANTAGYISLWFIPMLYFFSLAFAIQKYLQTQLKNMIIGWVSAASFVLHVLLSWLFVSKLNWGIPGAMSAMSISSWLIVIGQLVYVFGGWCPETWKGFTLAAFFNLIPVIKLSISSGLMLCLELWYTASLVLLAGYMKNATTQVSALSICLNITAWELVLCVGFMTASSVRVSNELGRGDAKAAKFSVKVIFFTSLGIGVLFFIVCLAFDRQIAKIFTNEQQVIKAVSSLSLLVAFSVLLNSFQAVLTGVAVGAGRQSMVAYINISCYYIIGVPIGVILGYVFHLEIKGIWVGMIIGVVMQVMVLGYITSTTNWDEQVKKASERLDCWFLRPSEESSNGNSIQEILNG >Manes.09G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33530577:33534458:-1 gene:Manes.09G135000.v8.1 transcript:Manes.09G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLLRSEEKDHNNDLKGRIWEENKKIWKVGFPATLARVTQYGMFVVTQAFIGHFGELQLAGYALIQIVIIRFANGILLGMSSATETLCGQAFGARQYHMMGIYLQRSCIINLITATILLPVFIFSGKIFRLLGEEEDIANTAGYISLWFIPMLYFFSLAFAIQKYLQTQLKNMIIGWVSAASFVLHVLLSWLFVSKLNWGIPGAMSAMSISSWLIVIGQLVYVFGGWCPETWKGFTLAAFFNLIPVIKLSISSGLMLCLELWYTASLVLLAGYMKNATTQVSALSICLNITAWELVLCVGFMTASSVRVSNELGRGDAKAAKFSVKVIFFTSLGIGVLFFIVCLAFDRQIAKIFTNEQQVIKAVSSLSLLVAFSVLLNSFQAVLTGVAVGAGRQSMVAYINISCYYIIGVPIGVILGYVFHLEIKGIWVGMIIGVVMQVMVLGYITSTTNWDEQVKKASERLDCWFLRPSEESSNGNSIQEILNG >Manes.09G135000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33530694:33534675:-1 gene:Manes.09G135000.v8.1 transcript:Manes.09G135000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLLRSEEKDHNNDLKGRIWEENKKIWKVGFPATLARVTQYGMFVVTQAFIGHFGELQLAGYALIQIVIIRFANGILLGMSSATETLCGQAFGARQYHMMGIYLQRSCIINLITATILLPVFIFSGKIFRLLGEEEDIANTAGYISLWFIPMLYFFSLAFAIQKYLQTQLKNMIIGWVSAASFVLHVLLSWLFVSKLNWGIPGAMSAMSISSWLIVIGQLVYVFGGWCPETWKGFTLAAFFNLIPVIKLSISSGLMLCLELWYTASLVLLAGYMKNATTQVSALSICLNITAWELVLCVGFMTASSVRVSNELGRGDAKAAKFSVKVIFFTSLGIGVLFFIVCLAFDRQIAKIFTNEQQVIKAVSSLSLLVAFSVLLNSFQAVLTGVAVGAGRQSMVAYINISCYYIIGVPIGVILGYVFHLEIKGIWVGMIIGVVMQVMVLGYITSTTNWDEQVKKASERLDCWFLRPSEESSNGNSIQEILNG >Manes.02G131800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10003669:10013247:1 gene:Manes.02G131800.v8.1 transcript:Manes.02G131800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMNGVDALSSMPKPNNDNVEGEMEKEDKMEEVDKGKSGIVEIEIASSKTMKVDGEQSILILVDEEKEQFSKEFDKIVGCEEDKENMQVEMNDNKIENVETEITSLDPMNANGDESILILGGKEKEEFAKVSNVVIGHDKEKEHAMEDLNNKENPNEGVVLVEDAREEVKNEEQVEAIVKKKIDKPRKKGKKVLKKKMALKRSNEVIVKDTNDQEPTNKNLQTLNDKDNVDDNEMHEESIKEQAKSEDKVEDISQKKIGKWRKKRGKDSKKKMALKGMNEVIVKDKNDHEPSNIKAIKNAKLSGMIFMCSSKTKKDCYHYKVLGLPANKRDVVLQINEGMKLFLFDFDLKLLYGIYKATGPGGYNIEPKAFKSAFPSQVRFNVYEDCMPLPEEKFKKVIKENYYRKNKFDCRLTSKQVKNLCKLFQPVSKTSISKGLQRNPGAQTRTFTDRDKSRKRSRRTETSRFADQDRTRKRHRDTYRREDTYRREDTYKREDTYKREDTYKREIYASPVAPLQSRALLPSASAVAPPPRSYSYEGNLQMNDYRRDPLVEHQYVRLRDLEPRHRQQPERLRIHERRNEFSLGLEMRHQREIEHHDPYVLYRDRVLDHDVPYYASQPSEYQPYTRALLRPAAPPQNIGLYDRYIGTRSQY >Manes.02G131800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10003669:10013247:1 gene:Manes.02G131800.v8.1 transcript:Manes.02G131800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMNGVDALSSMPKPNNDNVEGEMEKEDKMEEVDKGKSGIVEIEIASSKTMKVDGEQSILILVDEEKEQFSKEFDKIVGCEEDKENMQVEMNDNKIENVETEITSLDPMNANGDESILILGGKEKEEFAKVSNVVIGHDKEKEHAMEDLNNKENPNEGVVLVEDAREEVKNEEQVEAIVKKKIDKPRKKGKKVLKKKMALKRSNEVIVKDTNDQEPTNKNLQTLNDKDNVDDNEMHEESIKEQAKSEDKVEDISQKKIGKWRKKRGKDSKKKMALKGMNEVIVKDKNDHEPSNIKAIKNAKLSGMIFMCSSKTKKDCYHYKVLGLPANKRDVVLQINEGMKLFLFDFDLKLLYGIYKATGPGGYNIEPKAFKSAFPSQVRFNVYEDCMPLPEEKFKKVIKENYYRKNKFDCRLTSKQVKNLCKLFQPVSKTSISKGLQRNPGAQTRTFTDRDKSRKRSRRTETSRFADQDRTRKRHRDTYRREDTYRREDTYKREDTYKREDTYKREIYASPVAPLQSRALLPSASAVAPPPRSYSYEGNLQMNDYRRDPLVEHQYVRLRDLEPRHRQQPERLRIHERRNEFSLGLEMRHQREIEHHDPYVLYRDRVLDHDVPYYASQPSEYQPYTRALLRPAAPPQNIGLYDRYIGTRSQY >Manes.02G131800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10003668:10013247:1 gene:Manes.02G131800.v8.1 transcript:Manes.02G131800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQGAMDEMNGVDALSSMPKPNNDNVEGEMEKEDKMEEVDKGKSGIVEIEIASSKTMKVDGEQSILILVDEEKEQFSKEFDKIVGCEEDKENMQVEMNDNKIENVETEITSLDPMNANGDESILILGGKEKEEFAKVSNVVIGHDKEKEHAMEDLNNKENPNEGVVLVEDAREEVKNEEQVEAIVKKKIDKPRKKGKKVLKKKMALKRSNEVIVKDTNDQEPTNKNLQTLNDKDNVDDNEMHEESIKEQAKSEDKVEDISQKKIGKWRKKRGKDSKKKMALKGMNEVIVKDKNDHEPSNIKAIKNAKLSGMIFMCSSKTKKDCYHYKVLGLPANKRDVVLQINEGMKLFLFDFDLKLLYGIYKATGPGGYNIEPKAFKSAFPSQVRFNVYEDCMPLPEEKFKKVIKENYYRKNKFDCRLTSKQVKNLCKLFQPVSKTSISKGLQRNPGAQTRTFTDRDKSRKRSRRTETSRFADQDRTRKRHRDTYRREDTYRREDTYKREDTYKREDTYKREIYASPVAPLQSRALLPSASAVAPPPRSYSYEGNLQMNDYRRDPLVEHQYVRLRDLEPRHRQQPERLRIHERRNEFSLGLEMRHQREIEHHDPYVLYRDRVLDHDVPYYASQPSEYQPYTRALLRPAAPPQNIGLYDRYIGTRSQY >Manes.02G131800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10003669:10013247:1 gene:Manes.02G131800.v8.1 transcript:Manes.02G131800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSLLHSKGIGPAFPSAYCFCFSQGAMDEMNGVDALSSMPKPNNDNVEGEMEKEDKMEEVDKGKSGIVEIEIASSKTMKVDGEQSILILVDEEKEQFSKEFDKIVGCEEDKENMQVEMNDNKIENVETEITSLDPMNANGDESILILGGKEKEEFAKVSNVVIGHDKEKEHAMEDLNNKENPNEGVVLVEDAREEVKNEEQVEAIVKKKIDKPRKKGKKVLKKKMALKRSNEVIVKDTNDQEPTNKNLQTLNDKDNVDDNEMHEESIKEQAKSEDKVEDISQKKIGKWRKKRGKDSKKKMALKGMNEVIVKDKNDHEPSNIKAIKNAKLSGMIFMCSSKTKKDCYHYKVLGLPANKRDVVLQINEGMKLFLFDFDLKLLYGIYKATGPGGYNIEPKAFKSAFPSQVRFNVYEDCMPLPEEKFKKVIKENYYRKNKFDCRLTSKQVKNLCKLFQPVSKTSISKGLQRNPGAQTRTFTDRDKSRKRSRRTETSRFADQDRTRKRHRDTYRREDTYRREDTYKREDTYKREDTYKREIYASPVAPLQSRALLPSASAVAPPPRSYSYEGNLQMNDYRRDPLVEHQYVRLRDLEPRHRQQPERLRIHERRNEFSLGLEMRHQREIEHHDPYVLYRDRVLDHDVPYYASQPSEYQPYTRALLRPAAPPQNIGLYDRYIGTRSQY >Manes.10G077117.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:16632643:16636026:-1 gene:Manes.10G077117.v8.1 transcript:Manes.10G077117.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAPWSRFQLQLQRALGTIHSPTLFLFANCFHSSSPNFTDAHKGALFFRYKFESASFTHLDDALACFDRIIHMHPLPSRVEFNRFLSALVRMKHHQTVISLSKIIESLGIYHSIYSLNILINCFCHLHLVDFGFSILGKILKSGLEPDIVTFSTLVKGLCVKGLTDQALDFLDDVVAAGYQPSVCTYNIMVNGICKFGKTSEAIGFLKGMVERGCEADVVTYNAVIDALCKDKLVVEALELFSQMRNKGILPDVSTYNCLIHGLCNLGKHNQALSLLKEMVGQNISPDSFTFNILINALCKKGLFSDAQNIIKIMVQRGVEPNLVTYQTLIHGLCYLHKGKEALALLNEMARKNISPDVLTFNILIDTLSKEGMVSEGREIVNMMIQRGIKPDVVTYNSLMDGYCLLNQMNEARKVFDSMIADGIVNVFSYSILINGYCKIKRIDEAIELFDEMPKKGLVPDIVTFNTVIQGLCAAGRTWTAQELFKNMYSHSQQPDKTTFSILLHGLCKNGHLDEALVLFKAIENSRLELDLMFYNILIDGMCKAGKIDDAKELFSRLVENGLQPDVYTYTSITKGLCRQGLLDEAYKAFREMEELKCLPNECSYNVIIQGFLRNKDVMRASEFINDMVGKGFSADATTFELVVHLLCSDDLMLTKLGNKYEVSKGVNLN >Manes.12G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31945738:31951726:1 gene:Manes.12G118300.v8.1 transcript:Manes.12G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSALRYRFNSLTQTLTAINQSLNPHTQSSILVPISILSSHYSSKQQSNDRNEDKNDVVSLFNRDPTSPPRLFVVQPRLRPDTFLQAKLNEALCLANSLEEQREGYFETDFFDKALPPHVVVQNPILRSSRARADTYFGPGTVETIKCHLYASESKGEVDAVFVNSILSGVQQRNLERAWGKPVLDRVGLIIEIFNAHAHTKEAKLQSELAALIYKKSRLVRVRGPDGRHTFGTTGEAEVVSARGRGSGGRGFISGAGETELQLQRRRILERRNHLLSQIEEVRRTRALQRAARKRHGGFQNKGLATVAVVGYTNAGKSTLVSALSESELYSDSRLFATLDPRLKSVILPSGRKVLFSDTVGFISDLPVQLVEAFHATLEEVVEADLLVHVIDCTAPNLEEHRTTVLQVLQQIGVSEEKLRSMIEVWNKIDYEEGEMGADDSVDDGGASSWPVDEDDNASEVSSELEDDRGNSNSSLVMESSKEDYEETIENMQENYSDGWLLSEDDQEKVGDHWLKSLDEQRGETLNNLGIELQSQAAQHGPHVKISAITGVGLQELLELIDEKLKTQDETLKAQNVVERGFFDRKWRPSRTDDAGMAVEQ >Manes.11G121566.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28270663:28271614:1 gene:Manes.11G121566.v8.1 transcript:Manes.11G121566.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGIANPGTSEATSLEAAASKIALIDCGCTAICFGCPYKINWIQIGQGLIGRYCTCTCNCPPNPFFPNPTKPTPSTSQVPSTPSIPQVPGTPTHPTKPTPSIPQVPSTPSILPVPSTPSTPQVPSTPSTPQVPSTPSTPTPSTPQVPSTPSTPQVPTTPSTPQVPRVPFIPPFSSLTCAETSVNLGLCWARASVGTAFHNYQLAAGCCDMFTQWSRGCFGGNDEIPRIVSNFVPPALVQYCANLH >Manes.15G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7219617:7238727:1 gene:Manes.15G092800.v8.1 transcript:Manes.15G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTIEDLCNNSFGGSQHEKRIFAEVFFGKDIGNTSKRSHVSELVNFECKNSKIADTSLCSNSENSALTSQCSSKSSLVDDSEMNENSGGASASCCFQERLDRDDQNTGIKRMKFSVDGPPSSTEPDTVKILTSTVVSKEIVDDVPAADRDTAGQEIVLYIVESSCQGAVSSCYPSKQQTNGDICNKDVPKCRLPNADGNAGKEVVICKAVASPVSQESFATRMFLTNPSAAIIEKSGSPIHAEERLKELDSPRLVVPDYLNIDSKKDPRPFLQSHIVRILLAAGWFIGRRKRPSRKYSETVYRSPTGRMFREFPKVWRLCGEILYADKYKLVQENNYKEWTNISQFWFDLSEALLNIEKEIDQTDVGNELAHQWSLLDPFVNVVFIDRKVGLLRKGDTIVALTSDDSALHQSSGRNLLSWHCDSSPATQIASTIWEGNPHGCIQQSSDICSTKCGELTVGFVKDQKDESIYADEREEMCSVDVAGEMGNQSFRMCKDKVTCLDIASLPPCGSESTCVQLSGCQCDVSVTDGNANMLVGSESVSPHQDSSLVDLDDGTGHLDFSYGHDGPTYTPSVNLDVAQETELSDEDGQCIEASSSQIKDKTVVVKRKVRRKSRKISEIRTTFYQSDHIHTEAKQLESKEVEENLVANSRITTSCNKLSSTSTFLHQVNGKGSKLNKTHSNLDGFRRGKKRQTRCLLKDDDLLVSAIIKNKDFSADATAYKSRAQMKLKNQKGGCSLLPRNLSKVGKQNIAGKWSIMGARTVLSWLFHIGVISVNDVIQYRNPKDDAVIKDGLVRKDGIMCKCCNTVLSVSKFKRHAGFKLSRSCLNLFMESGKPFTLCQLQAWSAEYKTRKRRTQVVRADDDDQNDDSCGRCGDGGELICCDNCPSTFHQTCLSTEELPEGSWYCPNCTCWICGDLVNDKEDSYSFGRFKCSQCEHKYHETCWRRKSISEQVASDTWFCGGSCQEVYSGLHSRVGISNQIADGFCWTLLRCIHEDQKVHSAQRFALKAECNSKLAVALTIMEECFQSMVDPRTGIDMIPHVLYNWGSEFARLDFHGFYTAVLEKDDVLLSVASIRVHGTTVAEMPLIATCSNYRRHGMCRRLMNAIEEMLISFKVEKLVISAIPDLVETWTKGFGFTPVSNSEKQSLNKINFMVFPGTILLKKTFA >Manes.17G038000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20919644:20924425:-1 gene:Manes.17G038000.v8.1 transcript:Manes.17G038000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPGAGGMPPPQPPMGPPQPPAIAPPPPQGHPQQYQQPPPQPYMMMMPQLPQSQPPPPALWAHQPTMPPAVAPPQLQQQFQHAQQQQPGQPASADEIRTLWIGDLQYWMDENYLHSCFAHTGEVVSVKVIRNKQTGQVEGYGFIEFTSHGAAERILQTYNGTPMPNGEQNFRLNWASFSGGDKRDDTPDFTIFVGDLAADVTDYQLQETFRVRYPSVKGAKVVIDRLTGRTKGYGFVRFGDESEQVRAMTEMNGAFCSTRPMRIGLATNKKAVAGQQYTKAASYQNTQTQSENENDPNNTTIFVGNLDSNVTDDHLRELFGQYGQLLHVKIPAGKRCGFVQFADRSCAEEALRMLNGAQLSGQNIRLSWGRSPSNKQPQPEAAQWNAGYYGYAQGYENYGYAPAPQDPNVYYGGYPGYGNYQQPQQQQQQQQQVGYS >Manes.17G038000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20919644:20924425:-1 gene:Manes.17G038000.v8.1 transcript:Manes.17G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPGAGGMPPPQPPMGPPQPPAIAPPPPQGHPQQYQQPPPQPYMMMMPQLPQSQPPPPALWAHQPTMPPAVAPPQLQQQFQHAQQQQPGQPASADEIRTLWIGDLQYWMDENYLHSCFAHTGEVVSVKVIRNKQTGQVEGYGFIEFTSHGAAERILQTYNGTPMPNGEQNFRLNWASFSGGDKRDDTPDFTIFVGDLAADVTDYQLQETFRVRYPSVKGAKVVIDRLTGRTKGYGFVRFGDESEQVRAMTEMNGAFCSTRPMRIGLATNKKAVAGQQYTKASYQNTQTQSENENDPNNTTIFVGNLDSNVTDDHLRELFGQYGQLLHVKIPAGKRCGFVQFADRSCAEEALRMLNGAQLSGQNIRLSWGRSPSNKQPQPEAAQWNAGYYGYAQGYENYGYAPAPQDPNVYYGGYPGYGNYQQPQQQQQQQQQVGYS >Manes.17G124600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33049697:33052103:-1 gene:Manes.17G124600.v8.1 transcript:Manes.17G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKQETNNEPAEEALNYAGIKAMPFIIGNETFEKLGTVGSSTNLAAYLTTVLGMDSVRATMLINIFNGSAALAILAGAFLSDAYFGRYRTMGFACVTSFLGTLVLTLTAAVSNLHPPKCVAGESNKCVGPTAWHFVLLLSASGFLVIGAGGIRACSLGFGADQFNPNTKSGKRGITSFFNLYYLNYTFAVMVSQTLIVFVQSDVSWAAGLAIPAFFMFLSCVVFFLGRKIYVVARPQGSPLTSVAQVLVAAARKRGLKLPKNPAFALFNYFPPKSVNSKLPHTDQFRFLDKAAIITVDDEINWDGSATNPWRLCSIQQVEEVKCWVRVVPIWASTIISNIPIIKQHTYAIRQALQLDRSLGTSGFKVPAAAYIIIPMLTVTIWLPIYGRILVPYLRNLTGKGGGLTLLQRMGIGNFLSILCTLVAGLVEEHRKKLAFTRPTLGTALKGGSISSMSGFWLVPQLVLAGLADSFNFVAQTEFYFKQFPENTRSIGRFFFFSSVAVTNYLSGFLVSIVHHATSGTKSGDWLAEDLNRGKLDCFYYMIAGMGVLNFIYYLLCAKWYRYRVAEENQMDLKSFIPSDL >Manes.16G096300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30199459:30218506:1 gene:Manes.16G096300.v8.1 transcript:Manes.16G096300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNEDTGSPGWRASLFLQTTEDVARTVAAAAAAATAPRSPRPSVVFSSKDDQGFSQFQKLQRHVSRVLKGFSSPPPEVKGGTYNPEVLTSQKRQWAKFQLQYLDHRSLKEPSRLFESMVVVGLHPNCDLQALHRQYVTRKSEVSGRLQGSLGSQNQSRVEPILEPQVLFVYPPEKQLPLKYKDLISFCFPGGLEVHAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVDEIVQKPSGLLSMISDKRPSCSSLSRYVLTTRRCYCILSRLPFFELHFGVLNSIFTEERLERLTKDIGVLDLESLEGYSEEENLEDNVDGISPHFRDSGEVLDGGAGIFQSSSSDSVPGGVVNDGNDLEHQIPEEQIQLSNKGNEDNVVLVDSETEIGIHKEESGGRKLEVCEIDVDDLSNKQAEERHLPNAVLPLLRYYQYESSESSSSFQGSPSEGQNFRSDIDDTETEDTSSSGQEDSNDHLDILEWAKANNYGSLQIVCKYYQLHCPSRGSTIRFQPLEHLNPLEYHRLDETVLHIAGSTIDLRSCNTSLEFAEAHSALSAEEEAIALSTWAVACICGSLRLENVLTLFAGALLEKQIVVVCSNLGILSALILSIVPLIRPYQWQSLLMPILPDDMLDFLDAPVPYIVGVKNKTSEVQSKLSNTILVDANKNQVKSPTLPQLPKHKELFSSLSPYHAKLVGESYLARKRPVYECTDVQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLKESFIDSFPYRDRPFMKLFVDTQLFSVQTDLVLSFFQKE >Manes.12G006900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:782930:785115:1 gene:Manes.12G006900.v8.1 transcript:Manes.12G006900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPPEKSVSFSRNADGFAGREQDPAMEANKPEEASPVPESALPQVLEDVDRFIDTLSKIKDKSLLKSNPSEIPVCVELLFKLSETKIANYDSNRFGQNQQDDDSFFECLTRISRLTNLFSEFTSNPIIVDPFNRSSNLLHLSIALLDSEFRTILESCIRIPIQNISDSKTPKASKQQSFGPNHQDPDRTEPEPTEDEQFPAYSQESISNMNKIATAMISWGYEKECCVAYNMVRRNVFNVELDKLGFINTNVEDVQKMQWETLEGEIVAWTDILTHCYSVLFAAELKLCNVVFSGYPSVSQRLFSELALVVTVRFLNFAEAVALTKRSAEKLFKFLDMYEALRDVIPANDNTYSKEIKSQLCTAKSLLGEAAASIFCDLENSIRRDHSRTPVPSGAVHPLTRYTMNYLKYACEYQNTLEQIFQQRMKNVGDAGGKNRQWDAEITEGANEDGTPKTSPFSVQLNGIMDLLDDNLEMKSKLYRDPALRYVFLMNNGRYILQKIKGSTEINDTMGATWCRKRSTDLRQYHKGYTRETWGKLLQCLNHEGLLVNGKAVKPVLKERFKMFNSMFDEIYKTQSTWVVSDEQLQSELRVSVSAVVIPAYRSFLGRFQQYLSAGRQTEKYIKFQAEDLENLIEQLFDGNPNSMSKRRA >Manes.01G017101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4184476:4187926:-1 gene:Manes.01G017101.v8.1 transcript:Manes.01G017101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLEQVRDRMEAEKANLCQKMKISTLGFEWSLERESCTNDGDVLEGLQPHSNIRSLEIWNYMGEKFPVWLLLMKIPSHGNTLLFNNLERLCLKKCNRCEEIPSLGHLPCLKILEVVGMETVRCIGNSFYSREGERTSGWKETMPLFPALKVLYLGNMESLVEWMAPVAGGGCDTVAFPCLEELSIERCHRLETIPISHFSSLVQLRINGCDELSNLSDELKAFTSLKYLTVSNCRNLASFPNLQCLTSLKQLRIEFCDKVTSFPRGLQSCTSLEQLVIRQCQELISVPRDLQGMCSLVLLQITECPSLTYFAEDSLCCLTRLKRLIIGPFSKELETFPGLTSLPALHTSLESVCIKGWERIKCLPDQLQHLTALKLLKIVDFSGVKAFPDWLGSLSSLRRLAIFRCKNLMCLPVSLQRLSNLRTLTIYGCPLYERSYDRKSVPEWSKISHIPQVCIFES >Manes.13G142700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35670681:35675495:1 gene:Manes.13G142700.v8.1 transcript:Manes.13G142700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAARSIFRSTSSARNAAARFASKPKASPASPFRASANKPLSQPIFRRPVEMSFGVESMLPYHTATASALMTSMLSISRRSYGWLPEDG >Manes.13G142700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35670756:35674459:1 gene:Manes.13G142700.v8.1 transcript:Manes.13G142700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAARSIFRSTSSARNAAARFASKPKASPASPFRASANKPLSQPIFRRPVEMSFGVESMLPYHTATASALMTSMLSISRRSYGWLPEDG >Manes.13G142700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35670756:35673130:1 gene:Manes.13G142700.v8.1 transcript:Manes.13G142700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAARSIFRSTSSARNAAARFASKPKASPASPFRASANKPLSQPIFRRPVEMSFGVESMLPYHTATASALMTSMLSISRRSYGWLPEACNDDV >Manes.13G142700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35670756:35671838:1 gene:Manes.13G142700.v8.1 transcript:Manes.13G142700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAARSIFRSTSSARNAAARFASKPKASPASPFRASANKPLSQPIFRRPVEMSFGVESMLPYHTATASALMTSMLSISRRSYGWLPEGQDETR >Manes.04G048673.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5291910:5292518:-1 gene:Manes.04G048673.v8.1 transcript:Manes.04G048673.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFSSKSTTVLAIFFILVAVFCQVNVEATRMLLEDFASENHLETYSSVYEKAKNTMACWLERLASGPSPRGPGH >Manes.01G253500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41143696:41148217:1 gene:Manes.01G253500.v8.1 transcript:Manes.01G253500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYDAAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLALFLLSLCLTSRRKSRLTSKHADTTPPISKEIQEIVHFPAQDHHHPVQVPEIQVEIGKIEHRVVFSDRPSSGESRGTASGCETASFGSGSVGPEVSHLGWGRWYTLRELEAATDGLCEENVIGEGGYGIVYRGVLSDGTKVAVKNLLNNRGQAEKEFKVEVEVIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGQVSPLTWDIRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMELISGRSPVDYSRPQGEVNLVDWLKAMVGNRKSEEIVDPKLPEMPASKALKRVLLVALRCVDPDATKRPKMGHVIHMLEADDLLFRDERRIGKESSHSRRYEQENPAVAKLGDKQLGAGTSDASEGDSSRNLSEQNRWR >Manes.04G076160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27415053:27418445:-1 gene:Manes.04G076160.v8.1 transcript:Manes.04G076160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDKIKYESTARSWTQKYAMG >Manes.04G076160.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27415053:27418445:-1 gene:Manes.04G076160.v8.1 transcript:Manes.04G076160.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKQVLLSICSLLTDPNPDDPLVPEIAHMCKTDKIKYESTARSWTQKYAMG >Manes.04G076160.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27417299:27418445:-1 gene:Manes.04G076160.v8.1 transcript:Manes.04G076160.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLNTKV >Manes.02G042500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3472553:3474064:-1 gene:Manes.02G042500.v8.1 transcript:Manes.02G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSQVSSALEIIKNHLLGDLLSPVRVSCSSSTSTSASTSTTFLIDNVNTELWSSYSEPDSSRASTSDSAFSIADYFRINNIDDGFFEFEAKPQINDIATTKSSDSTTHFEFHSQPQLFSQSSNEFFDFESKPQIVSQSNDDFFNLESEPRVPSVSSSSQINRKPSLKISLPKKPEWIQFANPKPQPEQRNLGVEEKRHYRGVRQRPWGKYAAEIRDPNRKGSRVWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGKLNTCVDDGNEGKRMRESEIVEGERKKVVKREEAEKDVSLTMSSWTAVCDYGDLKEIMPLSPLSPHPSLGYPQLTVI >Manes.12G013800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1346015:1350854:-1 gene:Manes.12G013800.v8.1 transcript:Manes.12G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRSLYTRASLVRRRYHPSFSFILHDDDKQLNCIDEGPSEQGMSYLMQQRAFGSGFSNSSSFGLFQDRRCMDFSLSPSIGASFCRFMSTTVGEGSEKIELMSDVTNVLTDTTVQAAAMQSPAVNEVAVAAADSFLPIQVLQHFIDAVHSFTGLNWWACIILTTLLIRGATLPLVINQLKATSKLTLMRPHLEEIKQRVQDNGADPTAVAEGQKQMQMLFKEYGVSPFTPLKGLFIQGPIFVSFFLAISNMAEKVPSFKSGGAYWFVDLSSPDTLYIFPLLTALTFLATVECNMQEGMEGNPAAGTMKNVSRVLAFVSVPLTMGFPKAIFCYWITSNLFSLAYGLVLRVPGVKKSLGVPEIPVTPASNSSPSAFSLLKQFVAARQAAATSSPAESSFKISDHKISSSSVMSQRIRSLEKQVKGRKKNKKR >Manes.01G025100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5354032:5357815:-1 gene:Manes.01G025100.v8.1 transcript:Manes.01G025100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGNLLVYTVSCLCLFLLVNLIKFFSKAWWIPIRIQSSMRSQGIRGPSYRFLHGNTREISNMRNRIMNGPMELSHQMLPRLQPHIYSWIKLYGTNFLNWYGFQAQLVVTDPELVQEVLINKEGAYQKKFIQNYVDKFLGDGLLASQGEKWLKMRKLANHAFHGESLKSMIPAMVASVETMLERWRQNDVKEIEVFQEFKILTSEIISRTAFGSSYLEGKNIFDMLARMASIVSRNNFKVGIPGIRTFLKTRDDTESEELEQGIRDSIIKMINKREEGLLMGEHDSYGNDFLGLLLNAHHDNDKAKKISVDDLIDECKTFYVAGHETTACSLTWTVLLLAIHSDWQDRARAEVLQLFGKQNPSPDGIGRLKIMSMIINESLRLYPPVFNITREVQKEVRLGKMIIPEKMAVCLPILAVHENSQVWGEDVHFFKPERFADGVAKATKDNTSGFFSFGSGPRTCVGLNFAVTEIKIALSMILQQYRVTVSPTYVHSPVHILTICPQFGLQIMLEAL >Manes.01G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5354032:5357815:-1 gene:Manes.01G025100.v8.1 transcript:Manes.01G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGNLLVYTVSCLCLFLLVNLIKFFSKAWWIPIRIQSSMRSQGIRGPSYRFLHGNTREISNMRNRIMNGPMELSHQMLPRLQPHIYSWIKLYGTNFLNWYGFQAQLVVTDPELVQEVLINKEGAYQKKFIQNYVDKFLGDGLLASQGEKWLKMRKLANHAFHGESLKSMIPAMVASVETMLERWRQNDVKEIEVFQEFKILTSEIISRTAFGSSYLEGKNIFDMLARMASIVSRNNFKVGIPGISRTFLKTRDDTESEELEQGIRDSIIKMINKREEGLLMGEHDSYGNDFLGLLLNAHHDNDKAKKISVDDLIDECKTFYVAGHETTACSLTWTVLLLAIHSDWQDRARAEVLQLFGKQNPSPDGIGRLKIMSMIINESLRLYPPVFNITREVQKEVRLGKMIIPEKMAVCLPILAVHENSQVWGEDVHFFKPERFADGVAKATKDNTSGFFSFGSGPRTCVGLNFAVTEIKIALSMILQQYRVTVSPTYVHSPVHILTICPQFGLQIMLEAL >Manes.11G098400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21340671:21343379:-1 gene:Manes.11G098400.v8.1 transcript:Manes.11G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVISPSPVLLLPRRLPNRRLSKPRLSIHSSSSSLSISGNSKSTQFDLKTYWTTLMSEINQKLDQAISIQYPEQIYEAMRYSVLAKGTKRAPPVMCVAACELFGGNRLAAFPTACALEMVHAASLIHDDLPCMDDASSRRGQPSNHVVYGVDMAILAGDALFPLGFRHIVYNTPSDLVPESRLLRVIVEIARAVGSTGMAAGQFLDLEGSPNSIEFAQEKKYGEMGECSAVCGGLLAGAEDHEIQRLRRYGRDVGVLYRVINDILEARTTSKEDENKKKKGKSYVSLYGIEKAMEVAEELRTKARKELDGFEKYGERVEPLYGFVDYAAERGFSLES >Manes.05G179700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29685218:29691559:1 gene:Manes.05G179700.v8.1 transcript:Manes.05G179700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRSSIIYCWLIVLIVQGFVCSALDFDNHKPPLIIPLHLSTPNVSSHRKAFSSDYNSRQLQNSDLPNARMRLHDDLLLNGYYTTRIYVGTPPQEFALIVDTGSTVTYVPCSTCEQCGMHQDPRFQPDASSTYKPLKCNPSCNCDDEGKQCTYERRYAEKSSSSGVLAEDVISFGNESELTPKRAVFGCENVETGDLFSQRADGIMGLGRGRLSIVDQLVEKDVISDSFSLCYGGMDVGGGAMVLGRISLPPNMVFTHSDPYRSPYYNIELKELHIAGKRLKLNPGVFDGKHGTVLDSGTTYAYLPEEAFLAFKDAIMKETQFLKRVHGPDPNYNDICFSGAGRDVSQLSKIFPEVNMVFSNGQKLSLSPENYLFRHTKVSGAYCLGIFQNGKDPTTLLGGIIVRNTLVTYDRENDKIGFWKTNCSELWKRLQVPGVPVPAPAYVVSPGGNKSEGMPPAQAPSRLPADFVPGEVRIGIITFDMLISVNSSYMRPNLSEVAEFIAHEFEIANLQVHMLNFSSEGNNYRVRWGIFPAESADYISNSTAMNIILRLKDHRLQFPEKFGSYQLVEWKIEPQRKQKWWQKHFFAVAIGVLSTLLVSLSCIGIWMVWRHRQRALGTYEPVGGIVPEQELQPL >Manes.01G218500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38736738:38745783:1 gene:Manes.01G218500.v8.1 transcript:Manes.01G218500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPTSSCSSSYASPFLQPEFSSLPVMSLRKKIVEKIRENRVTLIVGETGCGKSSQIPQFLLEENMEPIICTQPRRFAVVAVAKMVAKARNCELGGEVGYHIGHSKLFSERSKIIFKTAGVLLEEMKEKGLNALKYKVIILDEVHERSIESDLVLVCVKQFLLKNNDLRVVLMSATADFGRYRDYFKDLGRDERVEVLAIPSSNQQALFQKKVSYLEQITEFLGISSELLATRYCSGPDPSMAAADIKPEVHKLIHDLIVHIHDNEVDIEKGILVFLPTYRDLEQQWCLLKPLSSCFKVHILHRSVDTEQALMAMKIWKSRRKVILATNIAESSVTIPKVAYVIDSCRSLQVFWDSTKKMDAAELVWVSKSQANQRKGRTGRTCDGQIYRLVTGSFFNKLQEHESPAILRLSLRQQVLMMCCAVSKAINDPRGLLQKVLDPPHPQVVEDALDILVHISALARTSTRGRYYEPTFYGRLLASFSLSFDASVLLLKFGDIGLLREGILIAILMDAQPLPILHPFGEEHLFTEYTFRYFGGDCNNIVKIGRKEMVLIGNLCAYQFWQRVFKDKHRLEHLKRLSKFDEMKAVTPLLLKIEEEWCSFHNLVQSSLHQVSETYEDVLDSLHRFRPRFLAKCDGLPTYYDPYEFGHVCLLESQRHEDEVVIAADDEHNEPSNETKKCCAIPFVASGHFQTINVAEKLSLIVKEIRVQLTENASGKHSSYTEADASRVNGEAPLCVYFINGSCNKGSQCLFSHSLQAKVPTCKYFFSLQGCRNGESCFFSHDLGSSTSFSLTSTLPEEDDYSAASLLHLFPTSSDGCILLLDDIDLHFTSNIAHHYDASKIISTTCMSETAISYPSLEGARILWGLHHPQQTIVCSAGRNPIPWNEIKCILWFPNLDGNVENLERDRILVQNFFEHLAIRIIADSLYELQIIITMNNIRFSLLQVEKLGRDSFFFLRESFPFDEASFGELSDVLTTRTPMLASKPISYVFDLQPPTDIQFDDYAATLRKCLHDINGLTERIELLCL >Manes.10G089350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22834271:22850008:1 gene:Manes.10G089350.v8.1 transcript:Manes.10G089350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQHLSTSVSSSSSAFLASSGFTYRSRDVSFPSKNIEICRCVATPQEEKITYKTKVSRNANMAKLQAGYLFPEIARRRNAHLEKYPDSKVISLGIGDTTEPIPEVITSAMAKRAQALSTLEGYSGYGAEQGEKPLRAAIASTFYQDLGIEEDDIFVSDGAKCDISRLQLLFGSEITVAVQDPSYPAYVDSSVIIGQTGLYEKDAEKYGKIEYMRCNPENGFFPDLSKVSRADMIFFCSPNNPTGSAATREQLTQLVQFAKDNGSIIVYDSAYAMYITDDKPRSIFEIPGAKEVALETVSFSKYAGFTGVRLGWTVVPKELLFSDGFPVAKDFNRIVCTCFNGASNVVQAGGLACLSPEGRNAMQKVVGFYKENAEIIMDTFTSLGFNVYGGRNAPYVWVHFPGRSSWDVFSEILEKTHVVTTPGSGFGPGGEGFIRVSAFGHRENVLEACRRFKQLYK >Manes.07G127900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33023075:33029122:1 gene:Manes.07G127900.v8.1 transcript:Manes.07G127900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAKENKVTIMDHEEGSLTAEFVEDPMTIPRRISEGWKPQITSELPETFCGGWVGYFSYDTVRYVEKKKLPFSSAPEDDRNLADIHLGLYDDVIVFDHVEKKVHVIHWVRMERHSSVEDAYSDGTKRLGSLLARVQDIDPPRLSAGVVNLQTRQFGPSLTKSNMTCEEYKGAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMTYLQARGCILVASSPEILTRVNKNKIVNRPLAGTIRRGKTTEEDEMLERHLLNDPKQCAEHTMLVDLGRNDVGKVSKYGSVTVAKLMNIERYSHVMHISSTVTGELQDNLSCWDALRAALPVGTVSGAPKVKAMELIDQYEVNRRGPYSGGFGGISFTGDMDIALGLRTMVFPTGTHYDTMYLYKNADKRREWVAHLQAGAGIVADSDPDEEHRECQNKAAALARAIDLAESSFIDK >Manes.07G127900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33022204:33029122:1 gene:Manes.07G127900.v8.1 transcript:Manes.07G127900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLAFSQRLSPLPSSFTVVSTRRRPSSSVSFVRPVKCISLLSSLLVADGATFAEAAKHGNLVPLHTSIFSDQLTPVTAYRCLVKEDDRDAPSFLFESVEPGVRASSIGRYSVIGAQPAMEIVAKENKVTIMDHEEGSLTAEFVEDPMTIPRRISEGWKPQITSELPETFCGGWVGYFSYDTVRYVEKKKLPFSSAPEDDRNLADIHLGLYDDVIVFDHVEKKVHVIHWVRMERHSSVEDAYSDGTKRLGSLLARVQDIDPPRLSAGVVNLQTRQFGPSLTKSNMTCEEYKGAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMTYLQARGCILVASSPEILTRVNKNKIVNRPLAGTIRRGKTTEEDEMLERHLLNDPKQCAEHTMLVDLGRNDVGKVSKYGSVTVAKLMNIERYSHVMHISSTVTGELQDNLSCWDALRAALPVGTVSGAPKVKAMELIDQYEVNRRGPYSGGFGGISFTGDMDIALGLRTMVFPTGTHYDTMYLYKNADKRREWVAHLQAGAGIVADSDPDEEHRECQNKAAALARAIDLAESSFIDK >Manes.07G127900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33023312:33029122:1 gene:Manes.07G127900.v8.1 transcript:Manes.07G127900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAKENKVTIMDHEEGSLTAEFVEDPMTIPRRISEGWKPQITSELPETFCGGWVGYFSYDTVRYVEKKKLPFSSAPEDDRNLADIHLGLYDDVIVFDHVEKKVHVIHWVRMERHSSVEDAYSDGTKRLGSLLARVQDIDPPRLSAGVVNLQTRQFGPSLTKSNMTCEEYKGAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMTYLQARGCILVASSPEILTRVNKNKIVNRPLAGTIRRGKTTEEDEMLERHLLNDPKQCAEHTMLVDLGRNDVGKVSKYGSVTVAKLMNIERYSHVMHISSTVTGELQDNLSCWDALRAALPVGTVSGAPKVKAMELIDQYEVNRRGPYSGGFGGISFTGDMDIALGLRTMVFPTGTHYDTMYLYKNADKRREWVAHLQAGAGIVADSDPDEEHRECQNKAAALARAIDLAESSFIDK >Manes.10G058772.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13033340:13040073:1 gene:Manes.10G058772.v8.1 transcript:Manes.10G058772.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNSKVVALKKKIVANQSSCYVSLESNNLTSDSSPNLLSFSIHLNEIIAGIVHHWSLLEFFLTFSNYAAQLTENFTYTRSKLQKGIQIVAMCAQSWTKLLFLTHPMVQSPNLLSFSII >Manes.10G071000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11253643:11294654:1 gene:Manes.10G071000.v8.1 transcript:Manes.10G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPLDQQEDDEMLVPHNDFADGPQTMEAPAEAASAVDAQSVDDPPSARFTWTIDNFSRINTKKLYSDTFNVGGYKWRILIFPKGNNVDHLSIYLDVADSATLPYGWSRFAQFSLSVANQIHKKFSIRKDTQHQFNARESDWGFTSFMPLGDLYDPGRGYLVNDTCIVEADVAIRRIIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDVPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVEHLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKVNDRYEFPLQLDLDKENGKYLSPEADRSVRNLYALHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQANPGLNNSPLKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARREDLFEQIGKDIYFDLVDHVKVRSFRIQKQLPFNLFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEEAQSVGQIREVSNKANNPELKLFLEVELGQDLRPIPLPEKTKDDILLFFKLYDPLKEELRFVGCLFVKGSGKPVEILTKLNEMAGFSSDQEIELYEEIKFEPNVMCEHIDKKLTFRASQLEDGDIICFQKSPKVGSGEQCRYPDVPSFLDYVHNRQVVRFRYLEKPKEDEFSLELSKLHNYDDVVERVAHNLGLDDPSKIRLTSHNCYSQQPKPQPIKYQGVDHLSDMLVHYNQTSDILYYEVLDISLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTIGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLAPNDRLIHVYHFMKDPAQNQVQNFGEPFFLVIHEGETLAEVKIRMRKKLQVPDEEFAKWKFAFLSLGRPEYLQGSDIVSNRFQRRDVYGAWEQYLGLEHSDNAPKRSYAASQNRHTIEKPVKIYN >Manes.02G125950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9566637:9571076:1 gene:Manes.02G125950.v8.1 transcript:Manes.02G125950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKAGQGTFSGTFGGRKSLQSRKSGRFGGTFGGRNSQTEAKLMHVRRHLRRPKVSDRAETPTFGGKLRQPKAASQAMFGGRNSLRLPNLYYFLKSEGVDLWDIVENGPFFPTRFIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTAKEIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLTKVQLDELLGNLIDYEMTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKRFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDSEEEEESSDEVTTLDDFTLNDDDVEFSYDELIGALKLMNDELEKSHRKNKILKCELASFKKESENSPKEPLPSNDSLQKSLDELSLENKNLKNEILELKNSLSKFLKGKDKLDEILDSQRSPSIKYGLGYDKSTQANFSKTVFVKATNSHEPKVSSSNGNMPKDSSSDLSMRNAPTRNAHVHQSTSYNTHIRHTPRQAAYKRNDHYRTHTYSSQNHHSNHISCSHVFNKQRRNGHMRNGHMRTQTYSLTYGPRVCLKSSKIESKWYLDSGCSRHMTGNSNHFISLEKKDGSGQVTFGDNGKGKIVGIGKVVDGLKHNLLSVSQFCDKGCRVIFEPKSCFVSRMSDNKILFVAMTNQDMKCFVRLSHASMDLLKNLSKDELDKVCDACQMGKQVKSSFKAINKVISSRPLQLLHMDLFGPTRVASLGGMHYGFVIVDDYSRYTWVVFLAHKDDCFDAFKSFTKKVQNEKDFQISSIRNDHVFCNKLGITHNFSSPRTPQQNDMGRTMLREYNLPTYFWAEAINTDWYVSNRLWNGRKPRISYFKVFGCKCFILNNKDNLGKFDSKTDEGIFLGYSISSKSYRVFNKRTLIVEESMHVVFDESNPFAPRKEILNLMEIKVNPRRIQ >Manes.03G210100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32517103:32522522:-1 gene:Manes.03G210100.v8.1 transcript:Manes.03G210100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPFRGIKNDLKGRLMCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKSRPDLGSKLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVDEFCIPDRENPKSIQFEFSWRFANGMFALVLSFGLLLTALKSRKARSWRYGSGSLRGCIADYGVPLMVLVWTAVSYIPSGSIPKGIPRRLLSPNPWSTGAYENWTVMKDMLNVPVLYVIGAFVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMSENSSLGQVYESMQEAYQQMQTPLIYQEPSALGLKELKDLTIQIASVGNIDAPVDETVFDVEKEIDDLLPVEVKEQRVSNLLQAIMVGGCVAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAIFTVFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKCFKGAHLQDLDAAEYEEAPALSFNLATEIEMSRSASFADDGEILDGMITRSRGEIKSIRSPRVASSTSTPSKEFKTIHSPRFSDKVYSPRVSELKGELSPRQGGRGPFSPRTGEARPSNLGKTG >Manes.03G210100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32517103:32522522:-1 gene:Manes.03G210100.v8.1 transcript:Manes.03G210100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPFRGIKNDLKGRLMCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKSRPDLGSKLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVDEFCIPDRENPKSIQFEFSWRFANGMFALVLSFGLLLTALKSRKARSWRYGSGSLRGCIADYGVPLMVLVWTAVSYIPSGSIPKGIPRRLLSPNPWSTGAYENWTVMKDMLNVPVLYVIGAFVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMSENSSLGQVYESMQEAYQQMQTPLIYQEPSALGLKELKDLTIQIASVGNIDAPVDETVFDVEKEIDDLLPVEVKEQRVSNLLQAIMVGGCVAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAIFTVFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKCFKGAHLQDLDAAEYEEAPALSFNLATEIEMSRSASFADDGEILDGMITRSRGEIKSIRSPRVASSTSTPSKEFKTIHSPRFSDKVYSPRVSELKGELSPRQGGRGPFSPRTGEARPSNLGKTG >Manes.03G210100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32517103:32522522:-1 gene:Manes.03G210100.v8.1 transcript:Manes.03G210100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPFRGIKNDLKGRLMCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKSRPDLGSKLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVDEFCIPDRENPKSIQFEFSWRFANGMFALVLSFGLLLTALKSRKARSWRYGSGSLRGCIADYGVPLMVLVWTAVSYIPSGSIPKGIPRRLLSPNPWSTGAYENWTVMKDMLNVPVLYVIGAFVPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFMVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKCMSENSSLGQVYESMQEAYQQMQTPLIYQEPSALGLKELKDLTIQIASVGNIDAPVDETVFDVEKEIDDLLPVEVKEQRVSNLLQAIMVGGCVAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAIFTVFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKCFKGAHLQDLDAAEYEEAPALSFNLATEIEMSRSASFADDGEILDGMITRSRGEIKSIRSPRVASSTSTPSKEFKTIHSPRFSDKVYSPRVSELKGELSPRQGGRGPFSPRTGEARPSNLGKTG >Manes.02G206400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18270012:18271772:1 gene:Manes.02G206400.v8.1 transcript:Manes.02G206400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLPEEEHPKQAFGWAARDESGVLSPFTFSRRETGEKDVCFKVLYCGMCHSDLHMVKNEWGTSTYPLVPGHEIVGVVTEVGSKVEKFKAGDKVGVGCMVGSCHSCHNCTNNLENYCPEMILTYGAKYYDGTTTYGGYSNIMVADEHFIVRIPDTLPLDATAPLLCAGITVYSPLKHYGLNKPGMHVGVVGLGGLGHMAVKFAKAMGVKVTVISTSPSKKQEAVEHLGADSFLVSRDQYQMKTAMGTMDGIIDTVSAMHPLVPLIGLLKTSGKLVLVGAPEKPLELPAFPLLMGRKMVGGSCIGGMKETQEMIDFAAKHNIAADIEVIPIEDVNTAMERILKADVRYRFVIDIGNTISSAL >Manes.02G206400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18270012:18271772:1 gene:Manes.02G206400.v8.1 transcript:Manes.02G206400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKRRIWCPLSLHLLQEVPFLLIVPQISLLFLESLRTKLISELLTLCRETGEKDVCFKVLYCGMCHSDLHMVKNEWGTSTYPLVPGHEIVGVVTEVGSKVEKFKAGDKVGVGCMVGSCHSCHNCTNNLENYCPEMILTYGAKYYDGTTTYGGYSNIMVADEHFIVRIPDTLPLDATAPLLCAGITVYSPLKHYGLNKPGMHVGVVGLGGLGHMAVKFAKAMGVKVTVISTSPSKKQEAVEHLGADSFLVSRDQYQMKTAMGTMDGIIDTVSAMHPLVPLIGLLKTSGKLVLVGAPEKPLELPAFPLLMGRKMVGGSCIGGMKETQEMIDFAAKHNIAADIEVIPIEDVNTAMERILKADVRYRFVIDIGNTISSAL >Manes.18G031000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2602588:2607250:1 gene:Manes.18G031000.v8.1 transcript:Manes.18G031000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYLTSDDDYYQGYEDYDDDDEDSVNGFVDTEILAAKDSRPPTMVISKDSLLAAQREDIYRLMDLLSLEEHQARCLLIHHRWDVDKVLQLLIEDGKDKLYAEAGVTIVDHYGIIFPQLSSVVECNVCFDNISPSEVTTMDCGHLFCNSCWTHHFIVKINEGQSRRIRCMAPKCNTVCNDVKIRHLVSMHNSNLVEKFDRFLFESYVEDNRRVKWCPSVPHCGNAIRVQDDEPCEVECACGEHFCFSCLSEAHSPCSCKMWELWSKKCQDESETVNWIAVHTKPCPKCHKPVEKNGGCNLVCCVCGQAFCWLCGVATGREHTWTTIAYHSCGRYKEDYLKKTGRAKKNLARYVHYHNRYKAHLDSLKLESDLREFMKEKILILEERQSKYKDFTWIMNGVDILFRSRRILSATYPFAYYMFGDELLKDEMLDEEKEIAKNLFENQQQQFEGNVEKLSLFLGEEFDLYDDNKILDLRMRIIAVSVSTDNLCRNLYDHIENDLLGSLGWSDHRIAPYKSRGVLRSS >Manes.18G031000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2602588:2607250:1 gene:Manes.18G031000.v8.1 transcript:Manes.18G031000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSLEEHQARCLLIHHRWDVDKVLQLLIEDGKDKLYAEAGVTIVDHYGIIFPQLSSVVECNVCFDNISPSEVTTMDCGHLFCNSCWTHHFIVKINEGQSRRIRCMAPKCNTVCNDVKIRHLVSMHNSNLVEKFDRFLFESYVEDNRRVKWCPSVPHCGNAIRVQDDEPCEVECACGEHFCFSCLSEAHSPCSCKMWELWSKKCQDESETVNWIAVHTKPCPKCHKPVEKNGGCNLVCCVCGQAFCWLCGVATGREHTWTTIAYHSCGRYKEDYLKKTGRAKKNLARYVHYHNRYKAHLDSLKLESDLREFMKEKILILEERQSKYKDFTWIMNGVDILFRSRRILSATYPFAYYMFGDELLKDEMLDEEKEIAKNLFENQQQQFEGNVEKLSLFLGEEFDLYDDNKILDLRMRIIAVSVSTDNLCRNLYDHIENDLLGSLGWSDHRIAPYKSRGVLRSS >Manes.18G031000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2602588:2607250:1 gene:Manes.18G031000.v8.1 transcript:Manes.18G031000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYLTSDDDYYQGYEDYDDDDEDSVNGFVDTEILAAKDSRPPTMVISKDSLLAAQREDIYRLMDLLSLEEHQARCLLIHHRWDVDKVLQLLIEDGKDKLYAEAGVTIVDHYGIIFPQLSSVVECNVCFDNISPSEVTTMDCGHLFCNSCWTHHFIVKINEGQSRRIRCMAPKCNTVCNDVKIRHLVSMHNSNLVEKFDRFLFESYVEDNRRVKWCPSVPHCGNAIRVQDDEPCEVECACGEHFCFSCLSEAHSPCSCKMWELWSKKCQDESETVNWIAVHTKPCPKCHKPVEKNGGCNLVCCVCGQAFCWLCGVATGREHTWTTIAYHSCGRYKEDYLKKTGRAKKNLARYVHYHNRYKAHLDSLKLESDLREFMKEKILILEERQSKYKDFTWIMNGVDILFRSRRILSATYPFAYYMFGDELLKDEMLDEEKEIAKNLFENQQQQFEGNVEKLSLFLGEEFDLYDDNKILDLRMRIIAVSVSTDNLCRNLYDHIENDLLGSLGWSDHRIAPYKSRGVLRSS >Manes.18G031000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2602588:2607250:1 gene:Manes.18G031000.v8.1 transcript:Manes.18G031000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSLEEHQARCLLIHHRWDVDKVLQLLIEDGKDKLYAEAGVTIVDHYGIIFPQLSSVVECNVCFDNISPSEVTTMDCGHLFCNSCWTHHFIVKINEGQSRRIRCMAPKCNTVCNDVKIRHLVSMHNSNLVEKFDRFLFESYVEDNRRVKWCPSVPHCGNAIRVQDDEPCEVECACGEHFCFSCLSEAHSPCSCKMWELWSKKCQDESETVNWIAVHTKPCPKCHKPVEKNGGCNLVCCVCGQAFCWLCGVATGREHTWTTIAYHSCGRYKEDYLKKTGRAKKNLARYVHYHNRYKAHLDSLKLESDLREFMKEKILILEERQSKYKDFTWIMNGVDILFRSRRILSATYPFAYYMFGDELLKDEMLDEEKEIAKNLFENQQQQFEGNVEKLSLFLGEEFDLYDDNKILDLRMRIIAVSVSTDNLCRNLYDHIENDLLGSLGWSDHRIAPYKSRGVLRSS >Manes.01G195800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36996935:37003124:1 gene:Manes.01G195800.v8.1 transcript:Manes.01G195800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKGRVTITLGRTGQVVKRAAALSEVEYADPLLGAGNKRPVRDRLGSSSDSFSSHGTLANYKRQRGDNYLTGLMSSGVEDVRVGKDDLRFKLMQKNVCRRSQNDYNQKAMDLREKLSRAVHSSVPPVSTLDARRHMPDSKDASVLSRIPPTRSTDSLPRIDSSRNSYSPWTLDHIRRRSPDRIIGGSRGLSPPGNVEELQRRQLNRTGDNARTVSYMNKDVLDASRPVSSSTTYMTKSALPAVSSKPAVPLLGQLPPSSGILQKSPYVSEEQQTVESLLHSLGLGKYAIIFKAEEVDMTALKQMRETDLKELGIPML >Manes.01G195800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36996935:37003124:1 gene:Manes.01G195800.v8.1 transcript:Manes.01G195800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASKGRVTITLGRTGQVVKRAAALSEVEYADPLLGAGNKRPVRDRLGSSSDSFSSHGTLANYKRQRGDNYLTGLMSSGVEDVRVGKDDLRFKLMQKNVCRRSQNDYNQKAMDLREKLSRAVHSSVPPVSTLDARRHMPDSKDASVLSRIPPTRSTDSLPRIDSSRNSYSPWTLDHIRRRSPDRIIGGSRGLSPPGNVEELQRRQLNRTGDNARTVSYMNKDVLDASRPVSSSTTYMTKSALPAVSSKPAVPLLGQLPPSSGILQKSPYVSEEQQTVESLLHSLGLGKYAIIFKAEEVDMTALKQMRETDLKELGIPMGPRKKILLALQPRPKRQP >Manes.06G091900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22712111:22715903:1 gene:Manes.06G091900.v8.1 transcript:Manes.06G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAATFSVGSAISFGPKVSQLPQSKPFVVRFNSLNPLTNFCGLKASTLVNCESESSFLGKESVMALQGSFASKAHRSSQRPQYHLNPQASYKVAILGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGASELADSLKGVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVSTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTKPSVSFSDEEVQELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVETSLRALDGDDDVYECTFVQSDLTELPFFASRVKLGRNGVEALISSDLQGLTEYEQKALEALKPELKASIEKGIAFAQKQPVAA >Manes.06G091900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22713330:22715612:1 gene:Manes.06G091900.v8.1 transcript:Manes.06G091900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTNSPDLQIKYTWILKIYKMAATSAATFSVGSAISFGPKVSQLPQSKPFVVRFNSLNPLTNFCGLKASTLVNCESESSFLGKESVMALQGSFASKAHRSSQRPQYHLNPQASYKVAILGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGASELADSLKGVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVSTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTKPSVSFSDEEVQELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVETSLRALDGDDDVYECTFVQSDLTELPFFASRVKLGRNGVEALISSDLQGLTEYEQKALEALKPELKASIEKGIAFAQKQPVAA >Manes.09G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2068511:2073521:-1 gene:Manes.09G010200.v8.1 transcript:Manes.09G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSIHKMKKSSSLNLLLLAFLTVFFSSLFPISIAFDSETDNELAFTYNEGTGKGPKKWGLINKKWEACNNGKMQSPINILKDEVEVLPSLGKLKRDYKAAPATVQNRGHDITVRWKEDAGKIKINGTVFKLVQCHWHSPSEHIINGSRYEVELHMVHLSSEGGKAVVGILYKYGTPDSFLSKILHHIKSVGKEERDLGMVNPGEIKFGSRKYFRYIGSLTVPPCTEGVIWTIGKKVRTASREQVKALRDAVHDGFKANARPIQPLDGRSIYLYSHHKI >Manes.17G117300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503630:33508914:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATIHTLEGISQIQDEISSTGSEVERLQHEERTARDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDISEADQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRYPYKFCIENGWPELCSFFGIYD >Manes.17G117300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503917:33508914:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATIHTLEGISQIQDEISSTGSEVERLQHEERTARDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDISEADQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRFLNWDKFMLLLVSSCRRGVCVPFVIQIM >Manes.17G117300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503917:33508914:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATIHTLEGISQIQDEISSTGSEVERLQHEERTARDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDISEADQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRFLNWDKFMLLLVSSCRRGVCVPFVIQIM >Manes.17G117300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503917:33508914:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATIHTLEGISQIQDEISSTGSEVERLQHEERTARDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRFLNWDKFMLLLVSSCRRGVCVPFVIQIM >Manes.17G117300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503630:33508914:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATIHTLEGISQIQDEISSTGSEVERLQHEERTARDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRYPYKFCIENGWPELCSFFGIYD >Manes.17G117300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503630:33508914:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATIHTLEGISQIQDEISSTGSEVERLQHEERTARDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDISEADQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRYPYKFCIENGWPELCSFFGIYD >Manes.17G117300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503917:33507797:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFDVAPMMHSYCICRDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDISEADQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRFLNWDKFMLLLVSSCRRGVCVPFVIQIM >Manes.17G117300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503917:33508914:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATIHTLEGISQIQDEISSTGSEVERLQHEERTARDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDISEADQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRFLNWDKFMLLLVSSCRRGVCVPFVIQIM >Manes.17G117300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33503630:33508914:-1 gene:Manes.17G117300.v8.1 transcript:Manes.17G117300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATIHTLEGISQIQDEISSTGSEVERLQHEERTARDTFICQMFELNTRIRNFQDSIASNFHEANNVGSAEDQKVLMEVPMETDSRTLEDELALVVSQTTKEEQEYLAAQSFQKQVQQEHVDLQRKVSLMEVIMKETKALQDLIRYPYKFCIENGWPELCSFFGIYD >Manes.12G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4245311:4255014:1 gene:Manes.12G047400.v8.1 transcript:Manes.12G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGHLGPRHHVAMMPQFGYHSAGAERIGPVTVAGVKKGRREFYEDEYDDDEEIGGGSGGKKIRREGFERYGYGAGGGSGGKVGLAGALVPAEKKIDGRSRVDRDSDNHQLMQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHAELVEQYSNVWHHTRVRRYLTSEDWPGPEPKGKPWYGLLMLLRKYPEHFVINTRSKGRITLEFVSLVSLLS >Manes.14G013120.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3629911:3631334:-1 gene:Manes.14G013120.v8.1 transcript:Manes.14G013120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQLNFISFSQYIVFVIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFAGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.04G119800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32098206:32099655:1 gene:Manes.04G119800.v8.1 transcript:Manes.04G119800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELSLPVIDFCKVDLKPGTAEWDSLRSQVWKAIEEYGSFKVVFSKISQELRGTFFNELEKLFALPLQTKKSYVTEKPFRKYVGQSPSSPLNESFVIDDPLISQILEHVLWPPQGNPCFRKIMQSFSKEVFELEKIIRRMIVESLGVEKYLDEHMNSATYMLKLSKYGSPHTSEAESGLPAHTDKNLITILHQNHVDGLEVQTKDGEWIQVKFSPESFVILIGESFYAWTNGRLRSTYHRVRVNGSEARISAGFFSMFKEGYIMKAPEELVDEEHPLLFKPFDYSEFLKFFQTPAGRSSVSALEEYCGV >Manes.04G119800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32098206:32099864:1 gene:Manes.04G119800.v8.1 transcript:Manes.04G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELSLPVIDFCKVDLKPGTAEWDSLRSQVWKAIEEYGSFKVVFSKISQELRGTFFNELEKLFALPLQTKKSYVTEKPFRKYVGQSPSSPLNESFVIDDPLISQILEHVLWPPQGNPCFRKIMQSFSKEVFELEKIIRRMIVESLGVEKYLDEHMNSATYMLKLSKYGSPHTSEAESGLPAHTDKNLITILHQNHVDGLEVQTKDGEWIQVKFSPESFVILIGESFYAWTNGRLRSTYHRVRVNGSEARISAGFFSMFKEGYIMKAPEELVDEEHPLLFKPFDYSEFLKFFQTPAGRSSVSALEEYCGV >Manes.02G043400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3574091:3576698:1 gene:Manes.02G043400.v8.1 transcript:Manes.02G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIRRLLRSPPFATSPLTIRCKAFFSSSARQYPDATPGNESNSSSPTNRTHLVSSINISPRPTIFNKSTPLNGHKCNDVISSNQMISRYIKSGDLDSALTLFDSMTVKTTVSWNSILAGYSKKRGKLSEARELFDKIPKPDTVSYNTMLACYMQNSDMETARAFFDGMPNKDTASWNTMISGFAHNGQMDKARELFLIMPNKNVVTWNAMISGYIACDDLDSAVKLFEIAPVKSVVAWTAMITGNMNLGRIELAEKLFQDMSTENVVTWNAMIAGYIENSRAEDGVKLFRTMLGFGIRPNPSTLSSLLLGCSELSALQLGRQVHQLVCKSTLSSDTCAGTSLVSMYCKCGNLEDALKLFLEIPRKDIVTWNAMISGYAQHGAGEKALSLFNEMKMAGIRPDWITFVAVLLACNHAGFVDLGVKYFHSMVSDYGVETKPEHYTCMVDLLGRAGKLDEAVDLIKKMPFKPHVAIFGTLLGACRIHKNIEIAEFAAKNLLGLDPTSATGYVQLANVYASQNRWDHVARVRRSMKSSGVVKTPGYSWLEVNNVVHKFRSSDRIHPELACVHQKLIELEKKMKLAGYVPDLEYALHDVEEEQKEQLLLWHSEKLAITYGLIKLPWRTPIRVFKNLRVCGDCHRAIKYISAIERREIIVRDNIRFHHFKDGFCSCGDYW >Manes.11G080300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12274473:12277704:-1 gene:Manes.11G080300.v8.1 transcript:Manes.11G080300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIRSWNGKNKRLSVSNRVVEWRRYTWSDYEPLGKFKKFSWNRRRKTGNDGATISRYYKDSTSRYRHHQQTRERLDKDPYPHEFFRLLPEKREHFIELKENATQQQEEGCSEATQPDDVQLEAISGKKERIVHGVGSQTSAFKSSSSATSYSLTSKPTFKHDEYEQRKQQLEEKIEELTQSYEQSAKEILEMRDLLRRVIAQSPSSQTAAPTGNIGSST >Manes.11G080300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12271616:12277704:-1 gene:Manes.11G080300.v8.1 transcript:Manes.11G080300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIRSWNGKNKRLSVSNRVVEWRRYTWSDYEPLGKFKKFSWNRRRKTGNDGATISRYYKDSTSRYRHHQQTRERLDKDPYPHEFFRLLPEKREHFIELKENATQQQEEGCSEATQPDDVQLEAISGKKERIVHGVGSQTSAFKSSSSATSYSLTSKPTFKHDEYEQRKQQLEEKIEELTQSYEQSAKEILEMRDLLRRVIAQSPSSQTAAPTGKYYSFLHPDVGLHSPI >Manes.11G080300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12271616:12277704:-1 gene:Manes.11G080300.v8.1 transcript:Manes.11G080300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIRSWNGKNKRLSVSNRVVEWRRYTWSDYEPLGKFKKFSWNRRRKTGNDGATISRYYKDSTSRYRHHQQTEHFIELKENATQQQEEGCSEATQPDDVQLEAISGKKERIVHGVGSQTSAFKSSSSATSYSLTSKPTFKHDEYEQRKQQLEEKIEELTQSYEQSAKEILEMRDLLRRVIAQSPSSQTAAPTGKYYSFLHPDVGLHSPI >Manes.11G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12274473:12277704:-1 gene:Manes.11G080300.v8.1 transcript:Manes.11G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEARMYSIRSWNGKNKRLSVSNRVVEWRRYTWSDYEPLGKFKKFSWNRRRKTGNDGATISRYYKDSTSRYRHHQQTRERLDKDPYPHEFFRLLPEKREHFIELKENATQQQEEGCSEATQPDDVQLEAISGKKERIVHGVGSQTSAFKSSSSATSYSLTSKPTFKHDEYEQRKQQLEEKIEELTQSYEQSAKEILEMRDLLRRVIAQSPSSQTAAPTGNIGSST >Manes.11G080300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12274473:12277704:-1 gene:Manes.11G080300.v8.1 transcript:Manes.11G080300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIRSWNGKNKRLSVSNRVVEWRRYTWSDYEPLGKFKKFSWNRRRKTGNDGATISRYYKDSTSRYRHHQQTRERLDKDPYPHEFFRLLPEKREHFIELKENATQQQEEGCSEATQPDDVQLEAISGKKERIVHGVGSQTSAFKSSSSATSYSLTSKPTFKHDEYEQRKQQLEEKIEELTQSYEQSAKEILEMRDLLRRVIAQSPSSQTAAPTGNIGSST >Manes.02G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2512173:2515688:1 gene:Manes.02G028800.v8.1 transcript:Manes.02G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSVTTTIKTAIAASTVPAAFVLTSSQSSNLNPKPHNLSFLSISSSSLRPPTRFGLAKNLASPLSAVSMDAPSSDPKHTSQEDSVLPELLTEFMVDMKCEGCVNSVRNKLQTVNGVKNVEVDLGNQVVRVLGSSPVKTMIEALEQTGRNARLIGQGVPEDFLVSAAVAEFKGPNIFGVVRFAHVNMELVRVEANFSGLSPGKHGWTINEFGDLTRGAASTGKVYNPADQGSEKEPLGDLGTLEVDEKGEAFFVGVKQKLRVVDLIGRSVVVYETEDKSSNGGLTAAVIARSAGVGENYKKLCTCDGTIIWESSDKDFVTSKV >Manes.12G103600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:27859831:27861575:1 gene:Manes.12G103600.v8.1 transcript:Manes.12G103600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFRGDCIGCGSFSTVNLAIPRSISAPLMAVKSCDVFESSLLENEKDVLTQLGNCEHVIQCFGGDQSVENGKMLYNLLLEYASGGSLSHLVKKSGGCLPESDARRYTRSILKGLHYIHAKGFAHCDIKLQNILLFENGEAKIADFGLAKKTGQKQSGEQGRIEFRGTPLYMSPESVNENEYDSPCDIWALGCALVEMVTGKPAWNCKQETNVAALLIRIGVGDELPEIPQELSKEAKDFLSKCFVKDPKRRWTADMLLDHPFVANETTVPLTEQFSPPSSSPRCPFEFPEWVSVPSSSPKSELWSNKEVESRFDWSSLSYSPSPAERLRQLGSDQGCNWSFSESWVTVR >Manes.12G007500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:852601:856034:1 gene:Manes.12G007500.v8.1 transcript:Manes.12G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFTTMHNVSYLPGPRNASQVKALSPVCSAMKLPARVNVSPSSSSLFFSGSLLLFPLTLASSSQRKTHILSPIRASAEAADLQSKVTNKVYFDISIGNPEGKLAGRIVIGLYGDDVPQTAENFRALCTGEKGFGYKGSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLAHVGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVKLIESQETDRGDRPRKRVVISDCGELPMSEA >Manes.07G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30843876:30848865:1 gene:Manes.07G102500.v8.1 transcript:Manes.07G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTIKKVTDVAFKASKSIDWDGMAKLLVSDEARKEFSSLRRAFDEINSTLQTKFSQEPEPIDWEYYRKGIGSRLVDMYKEAYDSVEIPKYVDTVTPEYKPKFDALLVELKEAEEKSLKESERLEKEIAEVQELKKNLSTMTAEEYFAKHPELKKKFDDEIRNDYWGY >Manes.05G196600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32076028:32097603:-1 gene:Manes.05G196600.v8.1 transcript:Manes.05G196600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQHNLVLKEEEEEKEEEAALQRRQYRRSDDNNNAIAAVVLSTNGNGNGNGMFGSFGSSDSSIESDTANGTGHESPSKDVGEDGRLLNSVYFDQHQGTEPTDGVFKMKNGSVTSSIFGMNSRDTDVHIKNLEVQKSLIEETNDQHGANFDDKGFSGSSLTLSKESHGDIKSKPINNEARLGGDFDIIEEPDQEETEFDVERVLEKQNTHDLYCPNCSSCITRRVILHRRKPKIRHARRKPKNNKSETDFHPELDSVRSNSGNLQVHESVNNQSSGSPAIAADANNSDPEPEIFRCLSCFSFFIPTGDGFKLFRHFGDSSITEDRRMQNLQKTPTTNKNWFSSIFSTDKKKTTVDQARNETQIDQNDIVSSIVSPPMGLVNNFGSASVEVGEEIANSSKQENEFIENTSYSVQEETDNVIEKSEIGHAAPDNDLVDAFQQFNSKSSSDTVLHQQRLHSEASVTDIIGESSSRPLQGGVIFPLSTTCEPLVPGQSLIEAGKNVNKAMPEEQPVQNDHVPLVQGTPQPTLFGKREPLDDSFVVCHETPKDAIFSSSRGTQILDKLKIGVGETAYAAVGNKIPGGDVIVTVETKSGAPAAPQMAQNVDGSLEATSLLLSGSHMYINEERGAEVTVDILKSIVYGGLIESITSLGVVSSAAGAGSATWNILALGLANLIGGLFIIGHNLIELKNDTSGSSNQTNEHKDRYQETLGRRENFLLHATVSILSFLIFGILPPVIYGFSFRKSDNRDLKLAAVGGASLLCIIVLAIGKAYTRRQPKHYISTLLYYVSIGIVASGASYIVGDLIAKLVEKVGLSESILAVPETIPMDLPWASH >Manes.05G196600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32076028:32097603:-1 gene:Manes.05G196600.v8.1 transcript:Manes.05G196600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQHNLVLKEEEEEKEEEAALQRRQYRRSDDNNNAIAAVVLSTNGNGNGNGMFGSFGSSDSSIESDTANGTGHESPSKDVGEDGRLLNSVYFDQHQGIWKCHHCNWTYCMGSPLSYHSQNIKGHLHMLMNVKTFNQQGLSFIIETKGTEPTDGVFKMKNGSVTSSIFGMNSRDTDVHIKNLEVQKSLIEETNDQHGANFDDKGFSGSSLTLSKESHGDIKSKPINNEARLGGDFDIIEEPDQEETEFDVERVLEKQNTHDLYCPNCSSCITRRVILHRRKPKIRHARRKPKNNKSETDFHPELDSVRSNSGNLQVHESVNNQSSGSPAIAADANNSDPEPEIFRCLSCFSFFIPTGDGFKLFRHFGDSSITEDRRMQNLQKTPTTNKNWFSSIFSTDKKKTTVDQARNETQIDQNDIVSSIVSPPMGLVNNFGSASVEVGEEIANSSKQENEFIENTSYSVQEETDNVIEKSEIGHAAPDNDLVDAFQQFNSKSSSDTVLHQQRLHSEASVTDIIGESSSRPLQGGVIFPLSTTCEPLVPGQSLIEAGKNVNKAMPEEQPVQNDHVPLVQGTPQPTLFGKREPLDDSFVVCHETPKDAIFSSSRGTQILDKLKIGVGETAYAAVGNKIPGGDVIVTVETKSGAPAAPQMAQNVDGSLEATSLLLSGSHMYINEERGAEVTVDILKSIVYGGLIESITSLGVVSSAAGAGSATWNILALGLANLIGGLFIIGHNLIELKNDTSGSSNQTNEHKDRYQETLGRRENFLLHATVSILSFLIFGILPPVIYGFSFRKSDNRDLKLAAVGGASLLCIIVLAIGKAYTRRQPKHYISTLLYYVSIGIVASGASYIVGDLIAKLVEKVGLSESILAVPETIPMDLPWASH >Manes.05G196600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32076028:32097603:-1 gene:Manes.05G196600.v8.1 transcript:Manes.05G196600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSVTSSIFGMNSRDTDVHIKNLEVQKSLIEETNDQHGANFDDKGFSGSSLTLSKESHGDIKSKPINNEARLGGDFDIIEEPDQEETEFDVERVLEKQNTHDLYCPNCSSCITRRVILHRRKPKIRHARRKPKNNKSETDFHPELDSVRSNSGNLQVHESVNNQSSGSPAIAADANNSDPEPEIFRCLSCFSFFIPTGDGFKLFRHFGDSSITEDRRMQNLQKTPTTNKNWFSSIFSTDKKKTTVDQARNETQIDQNDIVSSIVSPPMGLVNNFGSASVEVGEEIANSSKQENEFIENTSYSVQEETDNVIEKSEIGHAAPDNDLVDAFQQFNSKSSSDTVLHQQRLHSEASVTDIIGESSSRPLQGGVIFPLSTTCEPLVPGQSLIEAGKNVNKAMPEEQPVQNDHVPLVQGTPQPTLFGKREPLDDSFVVCHETPKDAIFSSSRGTQILDKLKIGVGETAYAAVGNKIPGGDVIVTVETKSGAPAAPQMAQNVDGSLEATSLLLSGSHMYINEERGAEVTVDILKSIVYGGLIESITSLGVVSSAAGAGSATWNILALGLANLIGGLFIIGHNLIELKNDTSGSSNQTNEHKDRYQETLGRRENFLLHATVSILSFLIFGILPPVIYGFSFRKSDNRDLKLAAVGGASLLCIIVLAIGKAYTRRQPKHYISTLLYYVSIGIVASGASYIVGDLIAKLVEKVGLSESILAVPETIPMDLPWASH >Manes.05G196600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32076028:32097603:-1 gene:Manes.05G196600.v8.1 transcript:Manes.05G196600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSVTSSIFGMNSRDTDVHIKNLEVQKSLIEETNDQHGANFDDKGFSGSSLTLSKESHGDIKSKPINNEARLGGDFDIIEEPDQEETEFDVERVLEKQNTHDLYCPNCSSCITRRVILHRRKPKIRHARRKPKNNKSETDFHPELDSVRSNSGNLQVHESVNNQSSGSPAIAADANNSDPEPEIFRCLSCFSFFIPTGDGFKLFRHFGDSSITEDRRMQNLQKTPTTNKNWFSSIFSTDKKKTTVDQDNLAARNETQIDQNDIVSSIVSPPMGLVNNFGSASVEVGEEIANSSKQENEFIENTSYSVQEETDNVIEKSEIGHAAPDNDLVDAFQQFNSKSSSDTVLHQQRLHSEASVTDIIGESSSRPLQGGVIFPLSTTCEPLVPGQSLIEAGKNVNKAMPEEQPVQNDHVPLVQGTPQPTLFGKREPLDDSFVVCHETPKDAIFSSSRGTQILDKLKIGVGETAYAAVGNKIPGGDVIVTVETKSGAPAAPQMAQNVDGSLEATSLLLSGSHMYINEERGAEVTVDILKSIVYGGLIESITSLGVVSSAAGAGSATWNILALGLANLIGGLFIIGHNLIELKNDTSGSSNQTNEHKDRYQETLGRRENFLLHATVSILSFLIFGILPPVIYGFSFRKSDNRDLKLAAVGGASLLCIIVLAIGKAYTRRQPKHYISTLLYYVSIGIVASGASYIVGDLIAKLVEKVGLSESILAVPETIPMDLPWASH >Manes.05G196600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32076028:32097603:-1 gene:Manes.05G196600.v8.1 transcript:Manes.05G196600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQHNLVLKEEEEEKEEEAALQRRQYRRSDDNNNAIAAVVLSTNGNGNGNGMFGSFGSSDSSIESDTANGTGHESPSKDVGEDGRLLNSVYFDQHQGIWKCHHCNWTYCMGSPLSYHSQNIKGHLHMLMNVKTFNQQGLSFIIETKGTEPTDGVFKMKNGSVTSSIFGMNSRDTDVHIKNLEVQKSLIEETNDQHGANFDDKGFSGSSLTLSKESHGDIKSKPINNEARLGGDFDIIEEPDQEETEFDVERVLEKQNTHDLYCPNCSSCITRRVILHRRKPKIRHARRKPKNNKSETDFHPELDSVRSNSGNLQVHESVNNQSSGSPAIAADANNSDPEPEIFRCLSCFSFFIPTGDGFKLFRHFGDSSITEDRRMQNLQKTPTTNKNWFSSIFSTDKKKTTVDQGHAAPDNDLVDAFQQFNSKSSSDTVLHQQRLHSEASVTDIIGESSSRPLQGGVIFPLSTTCEPLVPGQSLIEAGKNVNKAMPEEQPVQNDHVPLVQGTPQPTLFGKREPLDDSFVVCHETPKDAIFSSSRGTQILDKLKIGVGETAYAAVGNKIPGGDVIVTVETKSGAPAAPQMAQNVDGSLEATSLLLSGSHMYINEERGAEVTVDILKSIVYGGLIESITSLGVVSSAAGAGSATWNILALGLANLIGGLFIIGHNLIELKNDTSGSSNQTNEHKDRYQETLGRRENFLLHATVSILSFLIFGILPPVIYGFSFRKSDNRDLKLAAVGGASLLCIIVLAIGKAYTRRQPKHYISTLLYYVSIGIVASGASYIVGDLIAKLVEKVGLSESILAVPETIPMDLPWASH >Manes.05G196600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32076028:32097603:-1 gene:Manes.05G196600.v8.1 transcript:Manes.05G196600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQHNLVLKEEEEEKEEEAALQRRQYRRSDDNNNAIAAVVLSTNGNGNGNGMFGSFGSSDSSIESDTANGTGHESPSKDVGEDGRLLNSVYFDQHQGTEPTDGVFKMKNGSVTSSIFGMNSRDTDVHIKNLEVQKSLIEETNDQHGANFDDKGFSGSSLTLSKESHGDIKSKPINNEARLGGDFDIIEEPDQEETEFDVERVLEKQNTHDLYCPNCSSCITRRVILHRRKPKIRHARRKPKNNKSETDFHPELDSVRSNSGNLQVHESVNNQSSGSPAIAADANNSDPEPEIFRCLSCFSFFIPTGDGFKLFRHFGDSSITEDRRMQNLQKTPTTNKNWFSSIFSTDKKKTTVDQDNLAARNETQIDQNDIVSSIVSPPMGLVNNFGSASVEVGEEIANSSKQENEFIENTSYSVQEETDNVIEKSEIGHAAPDNDLVDAFQQFNSKSSSDTVLHQQRLHSEASVTDIIGESSSRPLQGGVIFPLSTTCEPLVPGQSLIEAGKNVNKAMPEEQPVQNDHVPLVQGTPQPTLFGKREPLDDSFVVCHETPKDAIFSSSRGTQILDKLKIGVGETAYAAVGNKIPGGDVIVTVETKSGAPAAPQMAQNVDGSLEATSLLLSGSHMYINEERGAEVTVDILKSIVYGGLIESITSLGVVSSAAGAGSATWNILALGLANLIGGLFIIGHNLIELKNDTSGSSNQTNEHKDRYQETLGRRENFLLHATVSILSFLIFGILPPVIYGFSFRKSDNRDLKLAAVGGASLLCIIVLAIGKAYTRRQPKHYISTLLYYVSIGIVASGASYIVGDLIAKLVEKVGLSESILAVPETIPMDLPWASH >Manes.05G196600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32076028:32097603:-1 gene:Manes.05G196600.v8.1 transcript:Manes.05G196600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSVTSSIFGMNSRDTDVHIKNLEVQKSLIEETNDQHGANFDDKGFSGSSLTLSKESHGDIKSKPINNEARLGGDFDIIEEPDQEETEFDVERVLEKQNTHDLYCPNCSSCITRRVILHRRKPKIRHARRKPKNNKSETDFHPELDSVRSNSGNLQVHESVNNQSSGSPAIAADANNSDPEPEIFRCLSCFSFFIPTGDGFKLFRHFGDSSITEDRRMQNLQKTPTTNKNWFSSIFSTDKKKTTVDQGHAAPDNDLVDAFQQFNSKSSSDTVLHQQRLHSEASVTDIIGESSSRPLQGGVIFPLSTTCEPLVPGQSLIEAGKNVNKAMPEEQPVQNDHVPLVQGTPQPTLFGKREPLDDSFVVCHETPKDAIFSSSRGTQILDKLKIGVGETAYAAVGNKIPGGDVIVTVETKSGAPAAPQMAQNVDGSLEATSLLLSGSHMYINEERGAEVTVDILKSIVYGGLIESITSLGVVSSAAGAGSATWNILALGLANLIGGLFIIGHNLIELKNDTSGSSNQTNEHKDRYQETLGRRENFLLHATVSILSFLIFGILPPVIYGFSFRKSDNRDLKLAAVGGASLLCIIVLAIGKAYTRRQPKHYISTLLYYVSIGIVASGASYIVGDLIAKLVEKVGLSESILAVPETIPMDLPWASH >Manes.05G196600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32076028:32097603:-1 gene:Manes.05G196600.v8.1 transcript:Manes.05G196600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADQHNLVLKEEEEEKEEEAALQRRQYRRSDDNNNAIAAVVLSTNGNGNGNGMFGSFGSSDSSIESDTANGTGHESPSKDVGEDGRLLNSVYFDQHQGTEPTDGVFKMKNGSVTSSIFGMNSRDTDVHIKNLEVQKSLIEETNDQHGANFDDKGFSGSSLTLSKESHGDIKSKPINNEARLGGDFDIIEEPDQEETEFDVERVLEKQNTHDLYCPNCSSCITRRVILHRRKPKIRHARRKPKNNKSETDFHPELDSVRSNSGNLQVHESVNNQSSGSPAIAADANNSDPEPEIFRCLSCFSFFIPTGDGFKLFRHFGDSSITEDRRMQNLQKTPTTNKNWFSSIFSTDKKKTTVDQGHAAPDNDLVDAFQQFNSKSSSDTVLHQQRLHSEASVTDIIGESSSRPLQGGVIFPLSTTCEPLVPGQSLIEAGKNVNKAMPEEQPVQNDHVPLVQGTPQPTLFGKREPLDDSFVVCHETPKDAIFSSSRGTQILDKLKIGVGETAYAAVGNKIPGGDVIVTVETKSGAPAAPQMAQNVDGSLEATSLLLSGSHMYINEERGAEVTVDILKSIVYGGLIESITSLGVVSSAAGAGSATWNILALGLANLIGGLFIIGHNLIELKNDTSGSSNQTNEHKDRYQETLGRRENFLLHATVSILSFLIFGILPPVIYGFSFRKSDNRDLKLAAVGGASLLCIIVLAIGKAYTRRQPKHYISTLLYYVSIGIVASGASYIVGDLIAKLVEKVGLSESILAVPETIPMDLPWASH >Manes.15G133050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10724603:10726331:-1 gene:Manes.15G133050.v8.1 transcript:Manes.15G133050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGFVSKTLRSAANSDALWEKFLPSNCEILSSLSFSSKKELSLSLCNNPDLIHNNKKLGCGRETNTAAVCLQPDKELGRVIGGVTCMRRPNRRNDGRLEIELGEYFNHCHASARSQKWTHCSRD >Manes.01G155800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34224390:34227685:-1 gene:Manes.01G155800.v8.1 transcript:Manes.01G155800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELTLTGKNYVALKIQKSAVQFAEAALHEIEVLSAIAHGDPSNSKCVVRLIDHFKHAGPNGQHHCMVLEFLGDSLLRLIRHSRYKGLPLNKVREICKCILIGLDYLHRELGLIHTDLKPENILLYSTIDPAKDPIRSGLTPILQRPEGSLNGGSTMNLIEKKLKRRAKRAVAKIAERRGSTGGAGRKPGKCLDGVDVRCKVVDLGNACWADKQFTGEIQTRQYRAPEVILQSGYSLSADMWSFGCTAFELATGDMMFAPKAGQGFCEDEDHLALMMELLGKMPRKIAVGGARSKDLFNRYGDLKRIRRLKFRPLDRLLVDKYKFSDNEAREFAEFLFPLLDFAPEKRPTAQQCLQHTWLNLCSSAQTEMKNEGDIAKLHVGMSNLQIKGGK >Manes.01G155800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34224390:34227686:-1 gene:Manes.01G155800.v8.1 transcript:Manes.01G155800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSASESEDDEGIDSYRKGGYHAVRVGDQFSGGRYIAQRKLGWGHFSTVWLVYDTRSSNYVALKIQKSAVQFAEAALHEIEVLSAIAHGDPSNSKCVVRLIDHFKHAGPNGQHHCMVLEFLGDSLLRLIRHSRYKGLPLNKVREICKCILIGLDYLHRELGLIHTDLKPENILLYSTIDPAKDPIRSGLTPILQRPEGSLNGGSTMNLIEKKLKRRAKRAVAKIAERRGSTGGAGRKPGKCLDGVDVRCKVVDLGNACWADKQFTGEIQTRQYRAPEVILQSGYSLSADMWSFGCTAFELATGDMMFAPKAGQGFCEDEKIAIRGRLWLRKVGKKRKLLLETLLRITLL >Manes.01G155800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34224390:34227685:-1 gene:Manes.01G155800.v8.1 transcript:Manes.01G155800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSASESEDDEGIDSYRKGGYHAVRVGDQFSGGRYIAQRKLGWGHFSTVWLVYDTRSSNYVALKIQKSAVQFAEAALHEIEVLSAIAHGDPSNSKCVVRLIDHFKHAGPNGQHHCMVLEFLGDSLLRLIRHSRYKGLPLNKVREICKCILIGLDYLHRELGLIHTDLKPENILLYSTIDPAKDPIRSGLTPILQRPEGSLNGGSTMNLIEKKLKRRAKRAVAKIAERRGSTGGAGRKPGKCLDGVDVRCKVVDLGNACWADKQFTGEIQTRQYRAPEVILQSGYSLSADMWSFGCTAFELATGDMMFAPKAGQGFCEDEDHLALMMELLGKMPRKIAVGGARSKDLFNRYGDLKRIRRLKFRPLDRLLVDKYKFSDNEAREFAEFLFPLLDFAPEKRPTAQQCLQHTWLNLCSSAQTEMKNEGDIAKLHVGMSNLQIKGGK >Manes.01G155800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34224390:34226950:-1 gene:Manes.01G155800.v8.1 transcript:Manes.01G155800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEFLGDSLLRLIRHSRYKGLPLNKVREICKCILIGLDYLHRELGLIHTDLKPENILLYSTIDPAKDPIRSGLTPILQRPEGSLNGGSTMNLIEKKLKRRAKRAVAKIAERRGSTGGAGRKPGKCLDGVDVRCKVVDLGNACWADKQFTGEIQTRQYRAPEVILQSGYSLSADMWSFGCTAFELATGDMMFAPKAGQGFCEDEDHLALMMELLGKMPRKIAVGGARSKDLFNRYGDLKRIRRLKFRPLDRLLVDKYKFSDNEAREFAEFLFPLLDFAPEKRPTAQQCLQHTWLNLCSSAQTEMKNEGDIAKLHVGMSNLQIKGGK >Manes.16G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:859650:864881:1 gene:Manes.16G008500.v8.1 transcript:Manes.16G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTCIAGKIGELLVEPIGRQIGHFIHYTSNTVKLQEQVKILEGVRDDLQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLGKASKVRFHNLASRYQLSRKAEEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKDNKSNFIGIYGMGGVGKTTLVKQVVKRAQEDKLFPTIAMVVVSQTIEVKKIQDQIAESLGLKLDEVNEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGHDHAGCKIIVTTRRKQVCDTMVDTGSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILTECGGLPIALVTVGRAMRGKDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYVKDKKAKKVFKLCCLFPEDFNIPIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNQVSQFPDAWDSPNLRILLMDIEKTGFVHVWEKAMDMPATVLTGMKALQVFHRRDSSRNSTAFRFLGLEFSQLTNLRTLMLQYYTIVDTTPILELKMLEILSLRNCVFPKPFNTIGKLTNLRLLDVEFSALDDVFSSIFPINAMSTLSRLEELYFLSLDMLRPTKNPIFTTQLMLRNSLAIDDLDITVLKTLSRLTTLTIHIGTIPPEGFMFPELKVFKIHWGSRRRISVKEKLTNKFLSQVEGFNYLGLCGEFWGGSNITISSLVCMKPLMPRTNFLYLDSLEELKNINPFLLLGGLDALKILVIVKCPNFADLINAEEFLGRCALLPELEGLCFAELDTFKALCNGELPPGTSLSMRKLKCLTFFRCPELLNIFTLPNPQQEFEQLQVVEEKGMKNISKGPTELLHLPKLQIVCINGCQKLKVIFPASIAQGLEQLKELELEDCDQLEAIVAEREEEERRIDKVVFSQLIRIRLYKLYNLKAFCMDNLPLKWPSLEWLSVGSCPKMKTFAASDGNQITPKLKEIRINSNYIKLDGTNLNTIMKYHNKEEIQAMNN >Manes.17G015100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7373229:7375691:1 gene:Manes.17G015100.v8.1 transcript:Manes.17G015100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRMDCGPSSGSHLFVFLFSLIISVGNAAFNLTTISFDEGYAPLFGDGNLVRSPNGRSVRLLLDRFTGSGFISSKMYKYGFFSANIKLPAYYTAGICVAFYTSNADAFEKTHDELDFEFLGNIGGKPWRFQTNLYGNGSTSRGREERYRLWFDPSKEFHRYSILWTETHVIFFIDDVPIREVVRNDAMGSEYPSKPMSLYATIWDASNWATSGGKYKVNYKYSPFVSEYKDLVLEGCPIDAIQQISTVATCSEANARLKSADYNIITRKQRLAMRRFRQHFMYYSYCYDILRYPVPPPECVIDFDEKERFKDTGRLKFGGSHQSHKHRSRRRSKNHIVSNVDDPIM >Manes.09G040000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7325956:7330866:-1 gene:Manes.09G040000.v8.1 transcript:Manes.09G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITLWQGVTLTGIASWIVISSYFNVTHKIRYLVQPWVTHHVITDTALILQIQKYRHGFFDALFSGLSCVVSVPFYTASLPLLFWSGHVKLARQMTLLMAFCDYTGNCIKDVISAPRPKCPPVRRITATKDEEENALEYGLPSSHTLNTVCLSGYLLHYVLSYTQNQDIYAEFIGFAIVCLLVGFIGLGRVYLGMHSLIDVICGLFMGLAILAFWLTVHEDIDEFIVSGKNVTTFGASLSLLFLFAYPTPEFPTPSFEYHTAFDGVAFGIVAGIQQTYHQFHHEAVPRIFMQLSLPAIFYRALVGIPTILIVKFCSKTLAKWVLPVISNTLGIPIKSTTYIPMLNGSVGKKSDEIRQSSYIKKFFFFSHQHSFDVDTGIRFLKYAGLAWSVVDLVPSLFSHLNL >Manes.15G162600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13529705:13531081:-1 gene:Manes.15G162600.v8.1 transcript:Manes.15G162600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFQMLFPFSSAGPPSCSLSSTLTNSHGFNNFQGDNPNGFLGLKIDNQVPRTEEFKDLNSSSSQSINFSVGSETELKSGQKKGGKKIRKPRYAFQTRSRVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDEGTVITTYEGMHTHPIEKQTDNFEQILNQMQIYTPF >Manes.09G124200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32622000:32626573:1 gene:Manes.09G124200.v8.1 transcript:Manes.09G124200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLARKELQALCKKNKIPANMTNVAMADALKALEKVEGLDELNSEPRSDPQESPARTTNVEPRTACRTSTRRKPINVEPESSQSMTRNRRATRRTVAEETGQENKNVNLLETPAMPTTRRRVQAASATRKMDAQLTEIGDNEEQAGQENSDVPVTPAMRTSRRKVPAVSTRRKTEAQKDEKSVQRVYGTRQSVRLLEKSIEDLSLKEKKRVEAVKIEGLCEETEQKNDVPGEFLSWQNIDESLENENEMQHGVQEDSKTNDHEIDNKVVSFQNLDQLLENVSEIKDKLHEHQNNAEVEVQSDVPCQNIDQLHKNESETKLQHQEDKKGNDHEVVDYDAEIGSQSCTNLDNDSGLDEDDEENSDSSDESSFQQVETSGDVVDMNCEAMEEKGSDIVITDNSETLNAALKPEIEKELNGSQDSLIVEMSDDDSVIVMETIVNFPDEASSEVMDSLTLEVSGSEGKSYEKNSMEDEQHGSHLHLDAAIEEECEDDAIEELPEGNGSDEVQENVNADKIQHKLLEKSDSIKEEPESPRFILQHESYEMNSVEDERYGSDDLHLDAAIGEECDDNAIKELPEGNGSDEVQENVNADKIQHKLLEKSDSIKEEPESPRFILQHESYEMNSVEDERHGSDDLHLDAAIGEECDDNAIKELPEGNGSDEVQENVNADKIQHKLLEKSDSIKEEPESPRFILQHESYEMNSVEDERHGNDDAAIGEECDDNAIKELPEGNESDEVQENGNADKIQDKLLEKCEELPDSIKEELESPRFILQHEKCEKASDDLANEYNNVGVNVMEAKESDMIIHDQKSSFCCPLVSDSEVAIEIPLGDDHVVPVEAAYACIQTLNKSPTSLKQMSPYQTVVSGDQVTGGTVSTVISSPFAANTIQGQFPRPNESTARKSSTKKQATIQKIINADINKENVENSGRKVEPKKDKIKKKEAEEEKQYEDISLRQLTKMVKEKLQIANKNNDGRNISKVGTRPALQVLTENCKAAGEPENKN >Manes.01G089000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29067946:29079023:1 gene:Manes.01G089000.v8.1 transcript:Manes.01G089000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSMSSLHSLLLLPLISMMLSLVIGSTDGGFCSAPSTFDEEEASKSLYWKVTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPEWTNTLGAYLITPAMGSHFVMYLAKMQGKSRSGLPPHDVERFIFVVQGSVILTNASSASHKLMVDSYAYLPPNFEHFVECDGSATLAVFERRYAFLDNLSTELIVGSTDKQPLLETPGEVFELRKLLPPSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGHGIYRLGDSWYPVQSGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >Manes.01G089000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29067946:29084001:1 gene:Manes.01G089000.v8.1 transcript:Manes.01G089000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSMSSLHSLLLLPLISMMLSLVIGSTDGGFCSAPSTFDEEEASKSLYWKVTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPEWTNTLGAYLITPAMGSHFVMYLAKMQGKSRSGLPPHDVERFIFVVQGSVILTNASSASHKLMVDSYAYLPPNFEHFVECDGSATLAVFERRYAFLDNLSTELIVGSTDKQPLLETPGEVFELRKLLPPSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGHGIYRLGDSWYPVQSGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >Manes.01G089000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29067946:29084001:1 gene:Manes.01G089000.v8.1 transcript:Manes.01G089000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSMSSLHSLLLLPLISMMLSLVIGSTDGGFCSAPSTFDEEEASKSLYWKVTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPEWTNTLGAYLITPAMGSHFVMYLAKMQGKSRSGLPPHDVERFIFVVQGSVILTNASSASHKLMVDSYAYLPPNFEHFVECDGSATLAVFERRYAFLDNLSTELIVGSTDKQPLLETPGEVFELRKLLPPSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGHGIYRLGDSWYPVQSGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >Manes.01G089000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29068036:29072195:1 gene:Manes.01G089000.v8.1 transcript:Manes.01G089000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSMSSLHSLLLLPLISMMLSLVIGSTDGGFCSAPSTFDEEEASKSLYWKVTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPEWTNTLGAYLITPAMGSHFVMYLAKMQGKSRSGLPPHDVERFIFVVQGSVILTNASSASHKLMVDSYAYLPPNFEHFVECDGSATLAVFERRYAFLDNLSTELIVGSTDKQPLLETPGEVFELRKLLPPSLPYDFNIHVSHVLFPKIQSLFPYVLKEKSNKLFSALFMINLLIVANIVFY >Manes.13G085800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:15817413:15819747:-1 gene:Manes.13G085800.v8.1 transcript:Manes.13G085800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLVLRENHQISLGTQKPLKTNKASSMRKEGCEIEARGITYKISIQKRYYPFKIFKTDQQIKPNLEEKQVLKGVYCKAKPWEILAIVGPSGAGKSSFLEVLAGKLSPQNGSIFVNQNPVDRAQFRKISGYVTQRDTLFPLLTVEETLMFSVKLRLGLPQAQLRSRVKSLIQELGLEHVAMTRVGDERVRGISGGERRRVSIGVDVIHDPEVLILDEPTSGLDSTSALQIIDMLKVMAETRGRTIILSIHQPGFRIVKLFNSILLMANGSVLHHGTVDQLGIHLRTIGMQLPLHVNVVEFAIESIEAIQQQQLPESSPVSTTQQQIKKAEDGESRSGKLTLQQLFQQSKVVDEETVKVGIDFAHSFANSRFQETVILTHRFSKNIFRTKELFACRTIQMLISGFVLGSIFSNVKADLKGAEERVGLFAFILTFLLSCTTEALPIFLQEREILMKETSCGSYRVSSYAVANGLVYLPFLLIQAILFSIPLYWLVGLNPNFTAFIHFLLLIWLILYTANSVVVCISALVPNFIVGNSVISGVMGSFFLFSGYFISKHGIPNYWMFMHYISLFKYPFEGFLINEFSRSGKCLEYIFGTCMVRGEDILREEGYGEESRWRNVVIMVCFILVYRFISYVILRCRGCSLTSVKGALI >Manes.01G261100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41687446:41695995:1 gene:Manes.01G261100.v8.1 transcript:Manes.01G261100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDDRIRTMIENGVKARHRSMFVIIGDKSRDQIVNLHYMLSKAVIKARPNVLWCYKEKLELSSHKKKRTRQVKKLMQRGLLDPEKADPFSLFVESGAVSYCLYRDSERILGNTYAMCILQDFEALTPNILARTIETVEGGGLVVLLLSTLSSLTSLCTMVMDVHERYRTESHSEIVSRFNERFLLSLTSCKACLVMDDELNILPISSHVRSTIRPSEDPDSVGTKELKNLKEQLNDVLPAGPLVGMCKTLDQGRAVSTFLNAILDKAFESNIVLISSRGRGKSAALGLAIAGALAAGYSRIFVTAPSPENLKSLFDFACKGLQSLDYEEHSHYDIVRNANSEFRKTITKINVYKGHNQVVQYIKPHDHVKLSQAELLVIDEAAAIPLPVVKSLLGQYLVFISSTVNGYEGTGRSLSLKLLGHLEMKSQNPAFAANSSHSGNQFQKIELKEPIRYSSGDPIESWLNSLLCLDVMNSTPAISRFPHPKECDLYYVNRDTLFSFHKESEIFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDETRNILPDILCVIQVCLEGEISHKSALQSLQMGRLPSGDQIPWKFCEEFENTDFPNFSGVRIVRIAVHPSTLGQGYGSAALDLLTRYYEGKLTATAEINVEKNVTTLYDNVVQAAKKISLLEETFAPRENLPPLLIHPRERLPERVDYIGASFGLTRDLFHFWKKHKFTPFYICDNPNNTTGEHSCMVIKPLEIDDTEQLYKFLYPFYQCFKRKFVKRLPSCFRELDYKLAMSILDPKVNFSEATLCSEKDSILVKLICSPDEMILLESYANNRKDYGKVKHLVPLLAYYYFQEKLPIALSHLQASLLLCMGLQLHDITYIEEKMRIERQQVLHLFRKVMVKFYKYFYDVLTKELDMRFPQDNKVALKPHSVSVEEDLNDGAQRVVERMRMDNVDLLNPESIQQYSIEDREDEFKQVLKKCSRISASGSGLLSVKSNRTASEKRKRPERTLKSRKKKKVKGSDNVIQKMSRKTI >Manes.01G261100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41687446:41695995:1 gene:Manes.01G261100.v8.1 transcript:Manes.01G261100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDDRIRTMIENGVKARHRSMFVIIGDKSRDQIVNLHYMLSKAVIKARPNVLWCYKEKLELSSHKKKRTRQVKKLMQRGLLDPEKADPFSLFVESGAVSYCLYRDSERILGNTYAMCILQDFEALTPNILARTIETVEGGGLVVLLLSTLSSLTSLCTMVMDVHERYRTESHSEIVSRFNERFLLSLTSCKACLVMDDELNILPISSHVRSTIRPSEDPDSVGTKELKNLKEQLNDVLPAGPLVGMCKTLDQGRAVSTFLNAILDKAFESNIVLISSRGRGKSAALGLAIAGALAAGYSRIFVTAPSPENLKSLFDFACKGLQSLDYEEHSHYDIVRNANSEFRKTITKINVYKGHNQVVQYIKPHDHVKLSQAELLVIDEAAAIPLPVVKSLLGQYLVFISSTVNGYEGTGRSLSLKLLGHLEMKSQNPAFAANSSHSGNQFQKIELKEPIRYSSGDPIESWLNSLLCLDVMNSTPAISRFPHPKECDLYYVNRDTLFSFHKESEIFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDETRNILPDILCVIQVCLEGEISHKSALQSLQMGRLPSGDQIPWKFCEEFENTDFPNFSGVRIVRIAVHPSTLGQGYGSAALDLLTRYYEGKLTATAEINVEKNVTTLYDNVVQAAKKISLLEETFAPRENLPPLLIHPRERLPERVDYIGASFGLTRDLFHFWKKHKFTPFYICDNPNNTTGEHSCMVIKPLEIDDTEQLYKFLYPFYQCFKRKFVKRLPSCFRELDYKLAMSILDPKVNFSEATLCSEKDSILVKLICSPDEMILLESYANNRKDYGKVKHLVPLLAYYYFQEKLPIALSHLQASLLLCMGLQLHDITYIEEKMRIERQQVLHLFRKVMVKFYKYFYDVLTKELDMRFPQDNKVALKPHSVSVEEDLNDGAQRVVQERMRMDNVDLLNPESIQQYSIEDREDEFKQVLKKCSRISASGSGLLSVKSNRTASEKRKRPERTLKSRKKKKVKGSDNVIQKMSRKTI >Manes.10G153300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31973906:31978604:-1 gene:Manes.10G153300.v8.1 transcript:Manes.10G153300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKPIPKVGGEVRRLHIIYFLSHMGRIEHPHLIRVHHLNRNDVKRWMADLRGKEMPEAFSWSYKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEIFPTTHFDTTGSGENRASIFKNDKHVEVGNEDKQEEQERSSTKEDNQISPDTSIDISMKTSSEIYHESPVFSSERSTLTQDSLKRPDDSHEEEMEKFESLSSSSFYSNLLGKKKEKKKNKKKTNSEASSHNEEDIDRSSSSIEKMGSPSSSSSPQSQSQFAKSKSYSSEASKMLRNLMTCGAADTNDAALITINKSSLRKEEICKGGSSRALGTLWNQQQQCNARRSFDGMKDSKQHKSGFNNPKGVAAAYKPVGAPICSLCGKTFKPEKLHIHMKSCKGKRSYAKTAAASVGKNPSPSMNSMNQLMAIS >Manes.10G153300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31973795:31978775:-1 gene:Manes.10G153300.v8.1 transcript:Manes.10G153300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKPIPKVGGEVRRLHIIYFLSHMGRIEHPHLIRVHHLNRNGVYLRDVKRWMADLRGKEMPEAFSWSYKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEIFPTTHFDTTGSGENRASIFKNDKHVEVGNEDKQEEQERSSTKEDNQISPDTSIDISMKTSSEIYHESPVFSSERSTLTQDSLKRPDDSHEEEMEKFESLSSSSFYSNLLGKKKEKKKNKKKTNSEASSHNEEDIDRSSSSIEKMGSPSSSSSPQSQSQFAKSKSYSSEASKMLRNLMTCGAADTNDAALITINKSSLRKEEICKGGSSRALGTLWNQQQQCNASRRSFDGMKDSKQHKSGFNNPKGVAAAYKPVGAPICSLCGKTFKPEKLHIHMKSCKGKRSYAKTAAASVGKNPSPSMNSMNQLMAIS >Manes.10G153300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31973906:31978604:-1 gene:Manes.10G153300.v8.1 transcript:Manes.10G153300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKPIPKVGGEVRRLHIIYFLSHMGRIEHPHLIRVHHLNRNDVKRWMADLRGKEMPEAFSWSYKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEIFPTTHFDTTGSGENRASIFKNDKHVEVGNEDKQEEQERSSTKEDNQISPDTSIDISMKTSSEIYHESPVFSSERSTLTQDSLKRPDDSHEEEMEKFESLSSSSFYSNLLGKKKEKKKNKKKTNSEASSHNEEDIDRSSSSIEKMGSPSSSSSPQSQSQFAKSKSYSSEASKMLRNLMTCGAADTNDAALITINKSSLRKEEICKGGSSRALGTLWNQQQQCNASSRRSFDGMKDSKQHKSGFNNPKGVAAAYKPVGAPICSLCGKTFKPEKLHIHMKSCKGKRSYAKTAAASVGKNPSPSMNSMNQLMAIS >Manes.10G153300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31973906:31978780:-1 gene:Manes.10G153300.v8.1 transcript:Manes.10G153300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKPIPKVGGEVRRLHIIYFLSHMGRIEHPHLIRVHHLNRNGVYLRDVKRWMADLRGKEMPEAFSWSYKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEIFPTTHFDTTGSGENRASIFKNDKHVEVGNEDKQEEQERSSTKEDNQISPDTSIDISMKTSSEIYHESPVFSSERSTLTQDSLKRPDDSHEEEMEKFESLSSSSFYSNLLGKKKEKKKNKKKTNSEASSHNEEDIDRSSSSIEKMGSPSSSSSPQSQSQFAKSKSYSSEASKMLRNLMTCGAADTNDAALITINKSSLRKEEICKGGSSRALGTLWNQQQQCNARRSFDGMKDSKQHKSGFNNPKGVAAAYKPVGAPICSLCGKTFKPEKLHIHMKSCKGKRSYAKTAAASVGKNPSPSMNSMNQLMAIS >Manes.10G153300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31973906:31976595:-1 gene:Manes.10G153300.v8.1 transcript:Manes.10G153300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKPIPKVGGEVRRLHIIYFLSHMGRIEHPHLIRVHHLNRNGVYLRDVKRWMADLRGKEMPEAFSWSYKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEIFPTTHFDTTGSGENRASIFKNDKHVEVGNEDKQEEQERSSTKEDNQISPDTSIDISMKTSSEIYHESPVFSSERSTLTQDSLKRPDDSHEEEMEKFESLSSSSFYSNLLGKKKEKKKNKKKTNSEASSHNEEDIDRSSSSIEKMGSPSSSSSPQSQSQFAKSKSYSSEASKMLRNLMTCGAADTNDAALITINKSSLRKEEICKGGSSRALGTLWNQQQQCNASRRSFDGMKDSKQHKSGFNNPKGVAAAYKPVGAPICSLCGKTFKPEKLHIHMKSCKGKRSYAKTAAASVGKNPSPSMNSMNQLMAIS >Manes.10G153300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31973795:31978780:-1 gene:Manes.10G153300.v8.1 transcript:Manes.10G153300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKPIPKVGGEVRRLHIIYFLSHMGRIEHPHLIRVHHLNRNGVYLRDVKRWMADLRGKEMPEAFSWSYKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEIFPTTHFDTTGSGENRASIFKNDKHVEVGNEDKQEEQERSSTKEDNQISPDTSIDISMKTSSEIYHESPVFSSERSTLTQDSLKRPDDSHEEEMEKFESLSSSSFYSNLLGKKKEKKKNKKKTNSEASSHNEEDIDRSSSSIEKMGSPSSSSSPQSQSQFAKSKSYSSEASKMLRNLMTCGAADTNDAALITINKSSLRKEEICKGGSSRALGTLWNQQQQCNASSRRSFDGMKDSKQHKSGFNNPKGVAAAYKPVGAPICSLCGKTFKPEKLHIHMKSCKGKRSYAKTAAASVGKNPSPSMNSMNQLMAIS >Manes.10G153300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31973906:31976595:-1 gene:Manes.10G153300.v8.1 transcript:Manes.10G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKPIPKVGGEVRRLHIIYFLSHMGRIEHPHLIRVHHLNRNGVYLRDVKRWMADLRGKEMPEAFSWSYKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEIFPTTHFDTTGSGENRASIFKNDKHVEVGNEDKQEEQERSSTKEDNQISPDTSIDISMKTSSEIYHESPVFSSERSTLTQDSLKRPDDSHEEEMEKFESLSSSSFYSNLLGKKKEKKKNKKKTNSEASSHNEEDIDRSSSSIEKMGSPSSSSSPQSQSQFAKSKSYSSEASKMLRNLMTCGAADTNDAALITINKSSLRKEEICKGGSSRALGTLWNQQQQCNASSRRSFDGMKDSKQHKSGFNNPKGVAAAYKPVGAPICSLCGKTFKPEKLHIHMKSCKGKRSYAKTAAASVGKNPSPSMNSMNQLMAIS >Manes.05G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3003557:3004198:1 gene:Manes.05G036400.v8.1 transcript:Manes.05G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLARRKRQHEFELQKEKELKEKKEKKLLAKKNKMKVDSKNNTKKGSSGFSLKKKKLKTKLTALTKAKAAQAMEVDK >Manes.05G198800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32321202:32328292:1 gene:Manes.05G198800.v8.1 transcript:Manes.05G198800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEIMFVLYKLTLCQCAYEESDGAVSLLAFCPKLLHLSLEALLKTQDDTVRLNCVAFLTILAQKGFFGNAYANDINSMSSDEADKFMQTTEHGVDDHPLNLLFAEAIKGPLLSSDRQIQINTLDLIFHYLSREGAPGKQIQLLVDENIVDYVFEILRLSECKDPVVNSGILVLDLLSTAEKGFTERLLVGFQILISIVRYVSEVPFHPVQIQTMKLIWNGISDFPGIISISHIEELVHVLARLLQRHTDGEMGLSSDAFATVCSIFVALLKSPSFCGNLDLITSVEEATTYAILACLNISENDPSQLLHSLYLLKEAYGYGHEEMSTNNSTITGLLNCIVDLCTSHILPWFVTVIDEVDEEIVLGILETFHFILLQDSDNQATKFANRLVKSSWFSLAFGYLGVFPTEKMKLRVYVMLSSIVDVLLGNDTGQPIRDAAPNLPTDPIDLLFLLGQKSSHNSSLSTCQSAVLLILHTSSLYDERLADEKSILASLEQYILVNRDDATNTLTMIQLANLYGLCRSVTKMNCQIPYSPEAERILFHLLTENEWDLPSSRIHLVSLKWLFQQEKLFYALSYQILKFCRSNSSNGTQIAIHGKSSHVISAQVIAELAKSEDNYVARLLVCLLMQVAEEENQEGDLTLTVNLSAKIISISPAASNQLCLNGISNAIRNIYYNPNNFSSPKIFMATSLLVFNVLHSVHAEALSEDEAWLAVTMKLLDSICTMAGKCWSVEALLAFAIFSLILHQSTSKVLLGAANAILFNGSLASMIKNVIHEACLKGPALFDCNEGTSIGESLIFVLLLNYFSLRSLHVVLPGAVDWQNLLDLPNVMQPVSVISIYCHDLCRLMHFGSPTVKLVASYCLLEFITRLSEQRNTKKEELKCSMGYLMSMMTILEGLIFYSDTRVSINCSHCLSMILGWEKPDTKETIVNADNTWCRLIVEEMAMSLAVPSLASKSFTNYHKPAVHVAVALLKLQKSPQWLSTVFDDPCISGIIKNLMASNISAEMVLLFQQLVRSELLKAEQIASVNRVLQECRKHIYTRDVQNDHPDEQAEMRVTVADDLGEVCAYLIHLMLSEAPLDADSMGLQTEKRRLLEEIEMFFRTLTVEDDNQGNSSINSA >Manes.05G198800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32321202:32328292:1 gene:Manes.05G198800.v8.1 transcript:Manes.05G198800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFADSQDPDLDFEDLIEEETAQRLHPTTNPSLTLQTSHQCSKGHRSTLSLPTQHGGSICLLCLSNLITTARAPTFHVSYALSQLSLSLSHPPFLHSLLSFHPHFLISPLVSALSLFDDDSIARQLIDLITDLCGSCDATLCDEFLMRVADHISSRTLVWSRRQVYMLHCFGVLLNCSTNNPYAHIKDKDALLSNLVIGLQLPSEEMRGEIMFVLYKLTLCQCAYEESDGAVSLLAFCPKLLHLSLEALLKTQDDTVRLNCVAFLTILAQKGFFGNAYANDINSMSSDEADKFMQTTEHGVDDHPLNLLFAEAIKGPLLSSDRQIQINTLDLIFHYLSREGAPGKQIQLLVDENIVDYVFEILRLSECKDPVVNSGILVLDLLSTAEKGFTERLLVGFQILISIVRYVSEVPFHPVQIQTMKLIWNGISDFPGIISISHIEELVHVLARLLQRHTDGEMGLSSDAFATVCSIFVALLKSPSFCGNLDLITSVEEATTYAILACLNISENDPSQLLHSLYLLKEAYGYGHEEMSTNNSTITGLLNCIVDLCTSHILPWFVTVIDEVDEEIVLGILETFHFILLQDSDNQATKFANRLVKSSWFSLAFGYLGVFPTEKMKLRVYVMLSSIVDVLLGNDTGQPIRDAAPNLPTDPIDLLFLLGQKSSHNSSLSTCQSAVLLILHTSSLYDERLADEKSILASLEQYILVNRDDATNTLTMIQLANLYGLCRSVTKMNCQIPYSPEAERILFHLLTENEWDLPSSRIHLVSLKWLFQQEKLFYALSYQILKFCRSNSSNGTQIAIHGKSSHVISAQVIAELAKSEDNYVARLLVCLLMQVAEEENQEGDLTLTVNLSAKIISISPAASNQLCLNGISNAIRNIYYNPNNFSSPKIFMATSLLVFNVLHSVHAEALSEDEAWLAVTMKLLDSICTMAGKCWSVEALLAFAIFSLILHQSTSKVLLGAANAILFNGSLASMIKNVIHEACLKGPALFDCNEGTSIGESLIFVLLLNYFSLRSLHVVLPGAVDWQNLLDLPNVMQPVSVISIYCHDLCRLMHFGSPTVKLVASYCLLEFITRLSEQRNTKKEELKCSMGYLMSMMTILEGLIFYSDTRVSINCSHCLSMILGWEKPDTKETIVNADNTWCRLIVEEMAMSLAVPSLASKSFTNYHKPAVHVAVALLKLQKSPQWLSTVFDDPCISGIIKNLMASNISAEMVLLFQQLVRSELLKAEQIASVNRVLQECRKHIYTRDVQNDHPDEQAEMRVTVADDLGEVCAYLIHLMLSEAPLDADSMGLQTEKRRLLEEIEMFFRTLTVEDDNQGNSSINSA >Manes.05G198800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32321202:32328292:1 gene:Manes.05G198800.v8.1 transcript:Manes.05G198800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEIMFVLYKLTLCQCAYEESDGAVSLLAFCPKLLHLSLEALLKTQDDTVRLNCVAFLTILAQKGFFGNAYANDINSMSSDEADKFMQTTEHGVDDHPLNLLFAEAIKGPLLSSDRQIQINTLDLIFHYLSREGAPGKQIQLLVDENIVDYVFEILRLSECKDPVVNSGILVLDLLSTAEKGFTERLLVGFQILISIVRYVSEVPFHPVQIQTMKLIWNGISDFPGIISISHIEELVHVLARLLQRHTDGEMGLSSDAFATVCSIFVALLKSPSFCGNLDLITSVEEATTYAILACLNISENDPSQLLHSLYLLKEAYGYGHEEMSTNNSTITGLLNCIVDLCTSHILPWFVTVIDEVDEEIVLGILETFHFILLQDSDNQATKFANRLVKSSWFSLAFGYLGVFPTEKMKLRVYVMLSSIVDVLLGNDTGQPIRDAAPNLPTDPIDLLFLLGQKSSHNSSLSTCQSAVLLILHTSSLYDERLADEKSILASLEQYILVNRDDATNTLTMIQLANLYGLCRSVTKMNCQIPYSPEAERILFHLLTENEWDLPSSRIHLVSLKWLFQQEKLFYALSYQILKFCRSNSSNGTQIAIHGKSSHVISAQVIAELAKSEDNYVARLLVCLLMQVAEEENQEGDLTLTVNLSAKIISISPAASNQLCLNGISNAIRNIYYNPNNFSSPKIFMATSLLVFNVLHSVHAEALSEDEAWLAVTMKLLDSICTMAGKCWSVEALLAFAIFSLILHQSTSKVLLGAANAILFNGSLASMIKNVIHEACLKGPALFDCNEGTSIGESLIFVLLLNYFSLRSLHVVLPGAVDWQNLLDLPNVMQPVSVISIYCHDLCRLMHFGSPTVKLVASYCLLEFITRLSEQRNTKKEELKCSMGYLMSMMTILEGLIFYSDTRVSINCSHCLSMILGWEKPDTKETIVNADNTWCRLIVEEMAMSLAVPSLASKSFTNYHKPAVHVAVALLKLQKSPQWLSTVFDDPCISGIIKNLMASNISAEMVLLFQQLVRSELLKAEQIASVNRVLQV >Manes.05G198800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32321202:32328292:1 gene:Manes.05G198800.v8.1 transcript:Manes.05G198800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFADSQDPDLDFEDLIEEETAQRLHPTTNPSLTLQTSHQCSKGHRSTLSLPTQHGGSICLLCLSNLITTARAPTFHVSYALSQLSLSLSHPPFLHSLLSFHPHFLISPLVSALSLFDDDSIARQLIDLITDLCGSCDATLCDEFLMRVADHISSRTLVWSRRQVYMLHCFGVLLNCSTNNPYAHIKDKDALLSNLVIGLQLPSEEMRGEIMFVLYKLTLCQCAYEESDGAVSLLAFCPKLLHLSLEALLKTQDDTVRLNCVAFLTILAQKGFFGNAYANDINSMSSDEADKFMQTTEHGVDDHPLNLLFAEAIKGPLLSSDRQIQINTLDLIFHYLSREGAPGKQIQLLVDENIVDYVFEILRLSECKDPVVNSGILVLDLLSTAEKGFTERLLVGFQILISIVRYVSEVPFHPVQIQTMKLIWNGISDFPGIISISHIEELVHVLARLLQRHTDGEMGLSSDAFATVCSIFVALLKSPSFCGNLDLITSVEEATTYAILACLNISENDPSQLLHSLYLLKEAYGYGHEEMSTNNSTITGLLNCIVDLCTSHILPWFVTVIDEVDEEIVLGILETFHFILLQDSDNQATKFANRLVKSSWFSLAFGYLGVFPTEKMKLRVYVMLSSIVDVLLGNDTGQPIRDAAPNLPTDPIDLLFLLGQKSSHNSSLSTCQSAVLLILHTSSLYDERLADEKSILASLEQYILVNRDDATNTLTMIQLANLYGLCRSVTKMNCQIPYSPEAERILFHLLTENEWDLPSSRIHLVSLKWLFQQEKLFYALSYQILKFCRSNSSNGTQIAIHGKSSHVISAQVIAELAKSEDNYVARLLVCLLMQVAEEENQEGDLTLTVNLSAKIISISPAASNQLCLNGISNAIRNIYYNPNNFSSPKIFMATSLLVFNVLHSVHAEALSEDEAWLAVTMKLLDSICTMAGKCWSVEALLAFAIFSLILHQSTSKVLLGAANAILFNGSLASMIKNVIHEACLKGPALFDCNEGTSIGESLIFVLLLNYFSLRRLMHFGSPTVKLVASYCLLEFITRLSEQRNTKKEELKCSMGYLMSMMTILEGLIFYSDTRVSINCSHCLSMILGWEKPDTKETIVNADNTWCRLIVEEMAMSLAVPSLASKSFTNYHKPAVHVAVALLKLQKSPQWLSTVFDDPCISGIIKNLMASNISAEMVLLFQQLVRSELLKAEQIASVNRVLQV >Manes.05G198800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32321202:32328292:1 gene:Manes.05G198800.v8.1 transcript:Manes.05G198800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEIMFVLYKLTLCQCAYEESDGAVSLLAFCPKLLHLSLEALLKTQDDTVRLNCVAFLTILAQKGFFGNAYANDINSMSSDEADKFMQTTEHGVDDHPLNLLFAEAIKGPLLSSDRQIQINTLDLIFHYLSREGAPGKQIQLLVDENIVDYVFEILRLSECKDPVVNSGILVLDLLSTAEKGFTERLLVGFQILISIVRYVSEVPFHPVQIQTMKLIWNGISDFPGIISISHIEELVHVLARLLQRHTDGEMGLSSDAFATVCSIFVALLKSPSFCGNLDLITSVEEATTYAILACLNISENDPSQLLHSLYLLKEAYGYGHEEMSTNNSTITGLLNCIVDLCTSHILPWFVTVIDEVDEEIVLGILETFHFILLQDSDNQATKFANRLVKSSWFSLAFGYLGVFPTEKMKLRVYVMLSSIVDVLLGNDTGQPIRDAAPNLPTDPIDLLFLLGQKSSHNSSLSTCQSAVLLILHTSSLYDERLADEKSILASLEQYILVNRDDATNTLTMIQLANLYGLCRSVTKMNCQIPYSPEAERILFHLLTENEWDLPSSRIHLVSLKWLFQQEKLFYALSYQILKFCRSNSSNGTQIAIHGKSSHVISAQVIAELAKSEDNYVARLLVCLLMQVAEEENQEGDLTLTVNLSAKIISISPAASNQLCLNGISNAIRNIYYNPNNFSSPKIFMATSLLVFNVLHSVHAEALSEDEAWLAVTMKLLDSICTMAGKCWSVEALLAFAIFSLILHQSTSKVLLGAANAILFNGSLASMIKNVIHEACLKGPALFDCNEGTSIGESLIFVLLLNYFSLRRLMHFGSPTVKLVASYCLLEFITRLSEQRNTKKEELKCSMGYLMSMMTILEGLIFYSDTRVSINCSHCLSMILGWEKPDTKETIVNADNTWCRLIVEEMAMSLAVPSLASKSFTNYHKPAVHVAVALLKLQKSPQWLSTVFDDPCISGIIKNLMASNISAEMVLLFQQLVRSELLKAEQIASVNRVLQECRKHIYTRDVQNDHPDEQAEMRVTVADDLGEVCAYLIHLMLSEAPLDADSMGLQTEKRRLLEEIEMFFRTLTVEDDNQGNSSINSA >Manes.05G198800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32321202:32328292:1 gene:Manes.05G198800.v8.1 transcript:Manes.05G198800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFADSQDPDLDFEDLIEEETAQRLHPTTNPSLTLQTSHQCSKGHRSTLSLPTQHGGSICLLCLSNLITTARAPTFHVSYALSQLSLSLSHPPFLHSLLSFHPHFLISPLVSALSLFDDDSIARQLIDLITDLCGSCDATLCDEFLMRVADHISSRTLVWSRRQVYMLHCFGVLLNCSTNNPYAHIKDKDALLSNLVIGLQLPSEEMRGEIMFVLYKLTLCQCAYEESDGAVSLLAFCPKLLHLSLEALLKTQDDTVRLNCVAFLTILAQKGFFGNAYANDINSMSSDEADKFMQTTEHGVDDHPLNLLFAEAIKGPLLSSDRQIQINTLDLIFHYLSREGAPGKQIQLLVDENIVDYVFEILRLSECKDPVVNSGILVLDLLSTAEKGFTERLLVGFQILISIVRYVSEVPFHPVQIQTMKLIWNGISDFPGIISISHIEELVHVLARLLQRHTDGEMGLSSDAFATVCSIFVALLKSPSFCGNLDLITSVEEATTYAILACLNISENDPSQLLHSLYLLKEAYGYGHEEMSTNNSTITGLLNCIVDLCTSHILPWFVTVIDEVDEEIVLGILETFHFILLQDSDNQATKFANRLVKSSWFSLAFGYLGVFPTEKMKLRVYVMLSSIVDVLLGNDTGQPIRDAAPNLPTDPIDLLFLLGQKSSHNSSLSTCQSAVLLILHTSSLYDERLADEKSILASLEQYILVNRDDATNTLTMIQLANLYGLCRSVTKMNCQIPYSPEAERILFHLLTENEWDLPSSRIHLVSLKWLFQQEKLFYALSYQILKFCRSNSSNGTQIAIHGKSSHVISAQVIAELAKSEDNYVARLLVCLLMQVAEEENQEGDLTLTVNLSAKIISISPAASNQLCLNGISNAIRNIYYNPNNFSSPKIFMATSLLVFNVLHSVHAEALSEDEAWLAVTMKLLDSICTMAGKCWSVEALLAFAIFSLILHQSTSKVLLGAANAILFNGSLASMIKNVIHEACLKGPALFDCNEGTSIGESLIFVLLLNYFSLRSLHVVLPGAVDWQNLLDLPNVMQPVSVISIYCHDLCRLMHFGSPTVKLVASYCLLEFITRLSEQRNTKKEELKCSMGYLMSMMTILEGLIFYSDTRVSINCSHCLSMILGWEKPDTKETIVNADNTWCRLIVEEMAMSLAVPSLASKSFTNYHKPAVHVAVALLKLQKSPQWLSTVFDDPCISGIIKNLMASNISAEMVLLFQQLVRSELLKAEQIASVNRVLQV >Manes.05G198800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32321202:32328292:1 gene:Manes.05G198800.v8.1 transcript:Manes.05G198800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFADSQDPDLDFEDLIEEETAQRLHPTTNPSLTLQTSHQCSKGHRSTLSLPTQHGGSICLLCLSNLITTARAPTFHVSYALSQLSLSLSHPPFLHSLLSFHPHFLISPLVSALSLFDDDSIARQLIDLITDLCGSCDATLCDEFLMRVADHISSRTLVWSRRQVYMLHCFGVLLNCSTNNPYAHIKDKDALLSNLVIGLQLPSEEMRGEIMFVLYKLTLCQCAYEESDGAVSLLAFCPKLLHLSLEALLKTQDDTVRLNCVAFLTILAQKGFFGNAYANDINSMSSDEADKFMQTTEHGVDDHPLNLLFAEAIKGPLLSSDRQIQINTLDLIFHYLSREGAPGKQIQLLVDENIVDYVFEILRLSECKDPVVNSGILVLDLLSTAEKGFTERLLVGFQILISIVRYVSEVPFHPVQIQTMKLIWNGISDFPGIISISHIEELVHVLARLLQRHTDGEMGLSSDAFATVCSIFVALLKSPSFCGNLDLITSVEEATTYAILACLNISENDPSQLLHSLYLLKEAYGYGHEEMSTNNSTITGLLNCIVDLCTSHILPWFVTVIDEVDEEIVLGILETFHFILLQDSDNQATKFANRLVKSSWFSLAFGYLGVFPTEKMKLRVYVMLSSIVDVLLGNDTGQPIRDAAPNLPTDPIDLLFLLGQKSSHNSSLSTCQSAVLLILHTSSLYDERLADEKSILASLEQYILVNRDDATNTLTMIQLANLYGLCRSVTKMNCQIPYSPEAERILFHLLTENEWDLPSSRIHLVSLKWLFQQEKLFYALSYQILKFCRSNSSNGTQIAIHGKSSHVISAQVIAELAKSEDNYVARLLVCLLMQVAEEENQEGDLTLTVNLSAKIISISPAASNQLCLNGISNAIRNIYYNPNNFSSPKIFMATSLLVFNVLHSVHAEALSEDEAWLAVTMKLLDSICTMAGKCWSVEALLAFAIFSLILHQSTSKVLLGAANAILFNGSLASMIKNVIHEACLKGPALFDCNEGTSIGESLIFVLLLNYFSLRRLMHFGSPTVKLVASYCLLEFITRLSEQRNTKKEELKCSMGYLMSMMTILEGLIFYSDTRVSINCSHCLSMILGWEKPDTKETIVNADNTWCRLIVEEMAMSLAVPSLASKSFTNYHKPAVHVAVALLKLQKSPQWLSTVFDDPCISGIIKNLMASNISAEMVLLFQQLVRSELLKAEQIASVNRVLQECRKHIYTRDVQNDHPDEQAEMRVTVADDLGEVCAYLIHLMLSEAPLDADSMGLQTEKRRLLEEIEMFFRTLTVEDDNQGNSSINSA >Manes.05G198800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32321202:32328292:1 gene:Manes.05G198800.v8.1 transcript:Manes.05G198800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEIMFVLYKLTLCQCAYEESDGAVSLLAFCPKLLHLSLEALLKTQDDTVRLNCVAFLTILAQKGFFGNAYANDINSMSSDEADKFMQTTEHGVDDHPLNLLFAEAIKGPLLSSDRQIQINTLDLIFHYLSREGAPGKQIQLLVDENIVDYVFEILRLSECKDPVVNSGILVLDLLSTAEKGFTERLLVGFQILISIVRYVSEVPFHPVQIQTMKLIWNGISDFPGIISISHIEELVHVLARLLQRHTDGEMGLSSDAFATVCSIFVALLKSPSFCGNLDLITSVEEATTYAILACLNISENDPSQLLHSLYLLKEAYGYGHEEMSTNNSTITGLLNCIVDLCTSHILPWFVTVIDEVDEEIVLGILETFHFILLQDSDNQATKFANRLVKSSWFSLAFGYLGVFPTEKMKLRVYVMLSSIVDVLLGNDTGQPIRDAAPNLPTDPIDLLFLLGQKSSHNSSLSTCQSAVLLILHTSSLYDERLADEKSILASLEQYILVNRDDATNTLTMIQLANLYGLCRSVTKMNCQIPYSPEAERILFHLLTENEWDLPSSRIHLVSLKWLFQQEKLFYALSYQILKFCRSNSSNGTQIAIHGKSSHVISAQVIAELAKSEDNYVARLLVCLLMQVAEEENQEGDLTLTVNLSAKIISISPAASNQLCLNGISNAIRNIYYNPNNFSSPKIFMATSLLVFNVLHSVHAEALSEDEAWLAVTMKLLDSICTMAGKCWSVEALLAFAIFSLILHQSTSKVLLGAANAILFNGSLASMIKNVIHEACLKGPALFDCNEGTSIGESLIFVLLLNYFSLRRLMHFGSPTVKLVASYCLLEFITRLSEQRNTKKEELKCSMGYLMSMMTILEGLIFYSDTRVSINCSHCLSMILGWEKPDTKETIVNADNTWCRLIVEEMAMSLAVPSLASKSFTNYHKPAVHVAVALLKLQKSPQWLSTVFDDPCISGIIKNLMASNISAEMVLLFQQLVRSELLKAEQIASVNRVLQV >Manes.13G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8790704:8795249:1 gene:Manes.13G068300.v8.1 transcript:Manes.13G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRRAREKLEKEQRERKEKARLKLEREKKAKAEAKKQREAIEAAQRSRRLDAIEAQLKADEQMQENLLAGRGIMFSRILEAVSFHGYGDKIKLPPSCFTDLSDQGAFDKGPIHLQLSVIHQDGPSETKQQITHSGVLEFTADEGHVGLPPHVWNNLFPVGAPNAPLVELRYVWLPKGTYAKLQPEVVGFSDIPNHKAVLETSLRQHATLSQGDVITVNHGILTYKLRVLELKPSSSVSVLETDIEVDIVGSDSAPESTNQHVLKPLTLGISQSHMVEEGNYDYYKFSIDGDTWEKIASGDVRVEVKIDVEAGVGDTDLYMSKHPLIFPTRHQHEWSSHDVGSKILILSSDDKNLGMGTYSIGVYGFKATTKYKLLVSIEDNTSKMGQQAGSSSSVAINTVECRNCKHFIPARSIALHEAYCSRHNVVCQHAGCGIVLRIEEAENHVHCEKCGQASQRGEIEKHMKVFHEPLQCPCGVVLEKELMVQHQASDCPSRLITCRFCGDMVQAGSSAMDVRDRLRGLSEHESICGSRTAPCDSCGRSIMLKEMDIHQIAVHQKG >Manes.10G061101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8546231:8546988:1 gene:Manes.10G061101.v8.1 transcript:Manes.10G061101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFPLRASTMTSDGRIDQCAMLYLRTISLYSVIIAFVGFFWLDSSASRPSILFLSVDFIFQQSILLRFAYLYGGWSFVLYYCVCSFEILFRLLLPITFLPVIVVVIDVALAMWGSVWRFSLYSVVLFS >Manes.11G083500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12487964:12490585:-1 gene:Manes.11G083500.v8.1 transcript:Manes.11G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLCGSMGSIKSESSCNKIMQPTSPNGSSVSEFKKTPLSSDLELSSLTPPSLSFPAVKFELDGDVEVQSPDSSIWETFFSDHLDSDFMVLSPVRNLPSPQTSNCNYNYVNAMQVQSLSGCSPPRHLSQLGSFSSSHKGKGQSPLHRVFNSPNNQYMQIETLSSLPAFEDFLDDYQRDGLGGCQPSRFSSGSSSQLFDMPSTVPAVLDCLAATNPTRFGGSVSETSSAGSQLTQDRDVYQMGSLRNAPLSQQLQQEQKEKQHEQPPPRSTTPPPPSTTPSAEQQQQNLNHSLMVPLPIGSDEQEQDSGLQLVHLLLVCAEAVAKEDYMLARKYLHHLNRVVTPLGDSMQRVASCFTEALSARLAATLSTKPSTSTPKPFSPYPPNSMEVLKIYQILYQACPYVKFAHFTANQAIFEAFEVEERVHVIDLDILQGYQWPAFMQALAARPGGAPFLRITGVGSSIEGVRETGRCLTELAHSLHVPFEFHPVAEALEDLKPHMFNRRVGEALAVNSVNRLHLVPGNCLGNLLTMIRDQAPNIVTIVEQEASHNGPYFLGRFLEALHYYSALFDSLDATFPPDSAQRAKVEQYIFAPEIRNIVACEGIERTARHERLEKWRKIMEGKGFKGVPLSANAVTQSKILLGLYSCDGYRLTEDKGCLLLGWQDRAILAASAWRC >Manes.01G005100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGWIAGPLAMIVFASVTLVSAHLICDCYRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGWIAGPLAMIVFASVTLVSAHLICDCYRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGWIAGPLAMIVFASVTLVSAHLICDCYRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGTCNSRSHRSRRIITCVEHGSTRVDCRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299809:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGTCNSRSHRSRRIITCVEHGSTRVDCRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAQESPLLRSVSSSDESSGQALKRTGTIWTATAHVIAGVIGAGVLSLAWSMAQLGWIAGPLAMIVFASVTLVSAHLICDCYRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVRMKQSLRYRDGAWLVVCQLTSQDNMDGNGTCNSRSHRSRRIITCVEHGSTRVDCRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVRMKQSLRYRDGAWLVVCQLTSQDNMDGNGTCNSRSHRSRRIITCVEHGSTRVDCRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.01G005100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2291959:2299808:1 gene:Manes.01G005100.v8.1 transcript:Manes.01G005100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVRMKQSLRYRDGAWLVVCQLTSQDNMDGNGTCNSRSHRSRRIITCVEHGSTRVDCRFPDPESGPNRNRSFMEAVGFYLGKRSQQVCGIFAQESFYGCGIAYTITAATSVRAIQRSNCYHREGHDAVCKYGDTSFMLVFGIIQMVASQIPNFHDMEWLSILAAIMSFAYSFIGFGLGFAKVIENGRVEGSISGVPTVDVGDKLWLVFEALGDIAFAYPYSTILLEIQDTLKSPPAENKTMKKASRAAIFITTFFYLCCGCFGYAAFGNQTPGNLLSGFGFYEPYWLIDFANACVVLHLVGGYQIFSQPVFALVEGWLANRYPRSWFVNKPYTLKLPLFPPLHVNPLRLCFRTAYVASTTTIAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKNIGAWTRKWIVLKMFSLLCFLFTIMGLIGSIQGLIKARFS >Manes.18G120800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12860943:12863857:1 gene:Manes.18G120800.v8.1 transcript:Manes.18G120800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTRVCCLVVFGMVLGVGLGQNVDPVGPDEGGRREMVPAMFIFGDSLIDNGNNNDLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAELLGLPLIPPYSEASGDDVRHGLNYASAAAGILDITGRNFVSRIPFNQQIKNFQNTLDQITDNLGAIDVAKAIGNSIFFVGMGSNDYLNNYLMPNYPTKNQYNAQQYADLLVQQYTQQLQTLYNLGARKFILAGLGIMGCIPSILAQNPEGLCSEEVNQLVLPFNENVKTMMNNFNANLPGARLIYIDVARMFRDILTNSAAYGFSVVNRGCCGIGRNRGQVTCLPFQTPCPNREQYIFWDAFHPTEAVNILMGRKAFNGDTSIVYPINIEQLANLELESN >Manes.11G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24807172:24813175:-1 gene:Manes.11G106800.v8.1 transcript:Manes.11G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKRENSHSISAFVYRIFLITWRRHHSFPLLYAFSCCLLLLFVAFSLPLSPHPVNHYHYSISEEAVSVNSSSDKTKAFYVSEGEETTAHDIWSSRLSKFYYGCSKASDRFLTAEMKTHGNRYLLIATSGGLNQQRTGITDAVVVAYILNATLVVPKLDQKSFWKDTSDFSEIFDVDWFISFLSKDVKIIKQLPMKGGRVLTPYRMRVPRKCTPKCYENRVLPIFKKKHAIQLGKFDYRLSNKVDMDLQKLRCRVNYHALKFTDPILEMGKKLVERMRMKNNHFIALHLRFEADMLAFSGCYYGGGEKEWIELGAIRKRWSSLHQKNPDKERRQGRCPLTPEEVGLLLRSLGFRSDIHIYVASGEVYGGEETLRPLKALFPNFHSKETLASKEELAPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARMLAGRRRYFGHKPTIRPNAKKLYRLFLNRHNTTWEEFASKVHTYQIGFMGEPNEVKPGRGEFHENPSSCICEDSDAKSGGNPSPHSHANEDQEQNNSRNIASDATDELLAEDDYKQDWSDMDYVENRIGAQGNGSLYKSTLIKTDQPELEELFSD >Manes.04G141300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33998722:34002978:1 gene:Manes.04G141300.v8.1 transcript:Manes.04G141300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRIGRKIGSGSFGEIYLGTNIQTNEEVAIKLETAKTKHPQLLYESKLYKILQGGTGIPNIRWVGVEGEYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLLRDLFIREGFQFDYVFDWTILKYQQSQIANPPTRALGPGAGPSSGMSPAGANVDKQSGGEDGRPGWPSADPSRKRNSGPITSSVNLSKQKGPIATDLPVSKDATVA >Manes.04G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33998722:34002978:1 gene:Manes.04G141300.v8.1 transcript:Manes.04G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRIGRKIGSGSFGEIYLGTNIQTNEEVAIKLETAKTKHPQLLYESKLYKILQGGTGIPNIRWVGVEGEYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLLRDLFIREGFQFDYVFDWTILKYQQSQIANPPTRALGPGAGPSSGMSPAGANVDKQSGGEDGRPGWPSADPSRKRNSGPITSSVNLSKQKGPIATDLPVSKDATLSSSNFLPTIGSSRRPAISSSRDAALVGNDSEPTHSRITDVSPAVKIYGGQRSSAMVSSEQKHPPSGRNSSKVKTFESTLRGIESLHFNNEERIQY >Manes.05G053300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4427812:4432231:-1 gene:Manes.05G053300.v8.1 transcript:Manes.05G053300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCNSISKAPPSWFSQCWSSARRTKTLNFPFDTILAANPSDGLVRRLGLFDLILIGVGASVGAGIFVVTGTVARDAGPGVTISFILAGVSCIFNALCYAELASRFPAVVGGAYLYAYSAFNEITAFLVFGQLMLDYHIGAASIARSLASYVVTILELFPLFRDHIPSWIGHGGQEFLGGTLSINILAPILLALLTVILCWGVGESSMLNSLMTVTKVVIVIIVIFVGAFEVDVSNWSPFAPKGAKAILTGATVVFFAYVGFDAVANSAEEAKRPQRDLPLGIIGSLLICIVLYIGVCLVITGMVPYNLLGEDAPLAEAFKSKGLKYVSVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTHHTPIHSQVWVGIVASILGGLFNVHMLSHILSVGSLLV >Manes.05G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4427812:4432231:-1 gene:Manes.05G053300.v8.1 transcript:Manes.05G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCNSISKAPPSWFSQCWSSARRTKTLNFPFDTILAANPSDGLVRRLGLFDLILIGVGASVGAGIFVVTGTVARDAGPGVTISFILAGVSCIFNALCYAELASRFPAVVGGAYLYAYSAFNEITAFLVFGQLMLDYHIGAASIARSLASYVVTILELFPLFRDHIPSWIGHGGQEFLGGTLSINILAPILLALLTVILCWGVGESSMLNSLMTVTKVVIVIIVIFVGAFEVDVSNWSPFAPKGAKAILTGATVVFFAYVGFDAVANSAEEAKRPQRDLPLGIIGSLLICIVLYIGVCLVITGMVPYNLLGEDAPLAEAFKSKGLKYVSVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTHHTPIHSQVWVGIVASILGGLFNVHMLSHILSVGSLTGYSVVSACVVTLRWKDKAPSQVSSRWTSAWQEGVICLIIVACCGFGAGLFYRYGASIVFLVVAVVIAVLAAAALYLRQVYTDPPGFSCPWVPIVPVVGIFFNIFLFAQLHHEAWVRFVVLSIIMAAIYAFYGQYNAKPSSDDIVIYQRAPAEATHIH >Manes.10G088700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23104113:23106444:-1 gene:Manes.10G088700.v8.1 transcript:Manes.10G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGRIMASLLGFVLLYRLTANKKATTSRGVARYEKLESSENGIDQAEKDKKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENCELPYQNHGHVILADPSPILFYRISSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGSIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.02G025400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2185855:2186640:1 gene:Manes.02G025400.v8.1 transcript:Manes.02G025400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEGEVLVVACRPMAGMSPVSVNSLTQRPFPTPSPFTFSVASTRWSSRPSIHYSNLVLRFLALIFSFVSALSLAASSPKKKGQLPSSFTGYSELMYCFIVYTLVFVYSALQLFKGVCDMEHRGMIISDLISDYLSFILDQLLGYLLISSCSVAILDAQQISKTALLWKVMSFAAFLVTATCTILSGYKLCKRIIW >Manes.13G116500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32302374:32309620:-1 gene:Manes.13G116500.v8.1 transcript:Manes.13G116500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITSVELNYLVFRYLQESGFTHSAFALGYEAGINKCTIDGNMVPPGALITFVQKGIQYLEMEANSTCQSDADVDEDFSFLQPLDLITKDVYELRQMIKDKKKNLHKDRDKEKHKDKEFEREHERESARVREKDRHEREKESEKDRERVEKEKERDKQHENNADREMVPDQADKNNVNHEENGNSAAPEPMEVCTTSTSQACEIPSSDVMILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIAEGTSRSSVQNSPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTVLATGSYDGQARIWNTNGELKTTLSKHKGPIFSLKWNKKGDFLLTGSCDKTAIVWDVKTEEWRQQFEFHSGPILDVDWRNNLSFATSSTDNMIYVCKVGDTRPVKTFAGHQGEVNCVKWDPTGSLLASCSDDISAKIWSMKQDKHVHDLREHSKEIYTIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVELGKRICSLNGHRDPVYSLAFSPNGEYLASGSLDRCINIWSLKEVKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDLRM >Manes.12G036450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3230424:3230963:1 gene:Manes.12G036450.v8.1 transcript:Manes.12G036450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLISSPSSPPSINLSMALFTSFLFTSTPILSSSPPPPHGTGTSVPALTPIFNTSTTSLSFKNWSPKSGHVTIGLPAHIPSSIQFHTQCVKNPPTAACDNIATWGAQPRIKKPLSLILSSKPSSSIHFSIWVDFPPPLSTQMKGLFDASNAKPSSINCEVIRLQRLPKQTYNTESGF >Manes.04G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33968291:33970486:-1 gene:Manes.04G140800.v8.1 transcript:Manes.04G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLINYISTYGHGCWSSIPKHAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIVELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLICHDVPGLASFTDVHNPNGGSEEAFFSLTGNPNLILSTAQQDQIYLPSPASMLQNFGQGDFKFNQPNNYNLDLAHFTSSIVPPPLNNSTTSFDPMWALPYLTHHLDPNHQEDQILSNGAGTHYIGDHKFIQDQNIPIMPHYENQGMVPMMPKLCEIIEGSVCCNIQSSSSSSAGSQEVLIDPVARLPCFPSGSYNPQEPLVPTNQMEYIDAIMSSLPSSSSSSSLSAFSSGQFGANPNNNINNLPSCCSWDA >Manes.17G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31715488:31717540:1 gene:Manes.17G110600.v8.1 transcript:Manes.17G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPSATVVVGYLSLWATGFAYFVFILKVTGFMASWVINVDDLFSDRKIAVNSLPPCEFPAIYNFGDSNSDTGAISAAFRPLHPPYGESFFHKPSGRESDGRLIIDFIAERLKLPYLNAYLNSVGANYRHGANFATGGSTIRRQNETIFEYGISPFSLDVQIVQFNQFKSMIGDIYNQAKNTPEGDKLPRPEEFAKALYTFDIGQNDLYTGFRKMSFDQIRASLPDIINQLASAVQKVYQQGGRAFWIHNTGPIGCLPVSVLNVSGPPPGYLDENGCVKAQNDVALEFNSKLKDRILELRAELPESKITYVDVYAAKYELISNAKNLGLASPLKICCGYHENFDHIWCGLKGMINKTEVYGGSCEDPSSFISWDGIHYSQFANQWVADHTLNGFFTNPPIPITHACHPHWMIQRFFQLRSM >Manes.17G110600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31715648:31720559:1 gene:Manes.17G110600.v8.1 transcript:Manes.17G110600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPSATVVVGYLSLWATGFAYFVFILKVTGFMASWVINVDDLFSDRKIAVNSLPPCEFPAIYNFGDSNSDTGAISAAFRPLHPPYGESFFHKPSGRESDGRLIIDFIAERLKLPYLNAYLNSVGANYRHGANFATGGSTIRRQNETIFEYGISPFSLDVQIVQFNQFKSMIGDIYNQAKNTPEGDKLPRPEEFAKALYTFDIGQNDLYTGFRKMSFDQIRASLPDIINQLASAVQKVYQQGGRAFWIHNTGPIGCLPVSVLNVSGPPPGYLDENGCVKAQNDVALEFNSKLKDRILELRAELPESKITYVDVYAAKYELISNAKNLGLASPLKICCGYHENFDHIWCGLKGMINKTEVYGGSCEDPSSFISWDDLFSTE >Manes.17G110600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31715648:31720559:1 gene:Manes.17G110600.v8.1 transcript:Manes.17G110600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPSATVVVGYLSLWATGFAYFVFILKVTGFMASWVINVDDLFSDRKIAVNSLPPCEFPAIYNFGDSNSDTGAISAAFRPLHPPYGESFFHKPSGRESDGRLIIDFIAERLKLPYLNAYLNSVGANYRHGANFATGGSTIRRQNETIFEYGISPFSLDVQIVQFNQFKSMIGDIYNQAKNTPEGDKLPRPEEFAKALYTFDIGQNDLYTGFRKMSFDQIRASLPDIINQLASAVQKVYQQGGRAFWIHNTGPIGCLPVSVLNVSGPPPGYLDENGCVKAQNDVALEFNSKLKDRILELRAELPESKITYVDVYAAKYELISNAKNLGLASPLKICCGYHENFDHIWCGLKGMINKTEVYGGSCEDPSSFISWDGIHYSQFANQWVADHTLNGFFTNPPIPITHACHPHWMIQRFFQLRSILVLDRVIFDGASFLLEIHSVMLLDGVWAIVHWCKFGRIAGFLR >Manes.17G110600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31715492:31717477:1 gene:Manes.17G110600.v8.1 transcript:Manes.17G110600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPSATVVVGYLSLWATGFAYFVFILKVTGFMASWVINVDDLFSDRKIAVNSLPPCEFPAIYNFGDSNSDTGAISAAFRPLHPPYGESFFHKPSAERLKLPYLNAYLNSVGANYRHGANFATGGSTIRRQNETIFEYGISPFSLDVQIVQFNQFKSMIGDIYNQAKNTPEGDKLPRPEEFAKALYTFDIGQNDLYTGFRKMSFDQIRASLPDIINQLASAVQKVYQQGGRAFWIHNTGPIGCLPVSVLNVSGPPPGYLDENGCVKAQNDVALEFNSKLKDRILELRAELPESKITYVDVYAAKYELISNAKNLGLASPLKICCGYHENFDHIWCGLKGMINKTEVYGGSCEDPSSFISWDGIHYSQFANQWVADHTLNGFFTNPPIPITHACHPHWMIQRFFQLRSM >Manes.11G023800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2419418:2421395:1 gene:Manes.11G023800.v8.1 transcript:Manes.11G023800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRSKSCRDGRMQIEEFYGPGPTSMQDLRSYSVSYAQPNQFGKEVKIKKGKSNVGSSSKSWSFNDPELQRKKRVASYKVYTMEGKMKGSLRKSFRWIKDTCTQVVYGWR >Manes.12G066500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8252426:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKGLSSKYSPRDILPNNKKIHDSVTIVQSSIDTSEVDSPDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHR >Manes.12G066500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8251502:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKGLSSKYSPRDILPNNKKIHDSVTIVQSSIDTSEVDSPDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8252426:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225028:8252426:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8252426:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8251502:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKGLSSKYSPRDILPNNKKIHDSVTIVQSSIDTSEVDSPDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRI >Manes.12G066500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8251502:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKGLSSKYSPRDILPNNKKIHDSVTIVQSSIDTSEVDSPDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHSGKRDFGEFSVYNPAKNTSTAKG >Manes.12G066500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8252426:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHSGKRDFGEFSVYNPAKNTSTAKG >Manes.12G066500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8251502:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKGLSSKYSPRDILPNNKKIHDSVTIVQSSIDTSEVDSPDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225029:8252426:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8252426:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8251502:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKGLSSKYSPRDILPNNKKIHDSVTIVQSSIDTSEVDSPDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8251502:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKGLSSKYSPRDILPNNKKIHDSVTIVQSSIDTSEVDSPDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.12G066500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8225068:8251502:1 gene:Manes.12G066500.v8.1 transcript:Manes.12G066500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKDKVRIAVAVASLAAISIFFTRQYRRRGKQKCLTSKCYLHSDSKPQFAFKRVLADNSFSQFKHLKLPNSNNEMSSNLHPYEREITAFIENPRVEFEFDMYSSIDLKEMNDVYVWVETESQLKELADALSKERFFAVDTEQHSLRCFLGFTALIQISTQKEDYLLDTIALHDAMAILNPVFAEPNICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSVEMLHYARTDAHYLLYIAGCLIAELKQQDNDNSTHPDGKLHLTLEAIRRSNMTCLQLYTKEVEDFPGESAASSIFSRHLNGQGGSSVSCEIQDLVRRLCTWRELMARVHDESLRFVLSDQAIVSIADKFATEPTEICDTIAQADVNVDPMNLNSALPSPSPVVYSHLDDLCCLIQESKSDIDNIFSVLLQKCLGTNGSCPLSVYNYALLVNCDMRPTNRSVLKRNGVKNSRQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDKRKLEWYLNRELAKLVDDNPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHAAAEKHKKEVAAEFGIPLFVRKVVDSREAPVIPGPTSSVINAEEAGVSPLQLRTAAMALLRHGPRMPPRRREELTQIVAQYYGGREITEEDLKRALLVGMSPHERRRYEKKDGSRTTEAEPTGVQDDKDYVAVTDSDSSVCSNLQVAAVSATAYKDTNLDESEISAINTCENCERSPPKEAVGINYPRHEGSALPANNSKLSLLGHGPHGKQVVEHILQEYGDDGIHQFCQRWRQVFVEAVHPRFLPAGWDVRHRSFTASVVKH >Manes.18G117600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12182686:12186154:1 gene:Manes.18G117600.v8.1 transcript:Manes.18G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAVDHVIGTIMSAFQEEATLLVGIKDELDEISKELVSMRSFLRDAERNKVMSKGEETWVAEVRDTAHQIEDLIDEYMYYMYRKQYSTVAHRIFLTPKSFLEKRRIASKLRQINKNIIGMDERRKRFGNDHVEVSNDHCDLTLYPRDSAVFMKEDDVVGFVDESRLLKTWLIDGEKHLTLISVVGMGGSGKTTLVAKTCNNETAKSYFDCYAWVTVSQTYARDDLLRKLIKEFHESRKARVPNDLGTKDFKDLVEYLIGYLKHKKYLVILDDVWDVSLWENIKASLPNNEFGSRIIFTTRNEDVGSFSSNVRNHMLTIKPLKNEEAWDLFCMKAFLSNPDKSCPEELKPLALELVRKCDGLPLAIVALGGVMSSKKSTSEWSSVWDNLNWQLNNNPRLEIVKSILLLSFNYLPSPLKYCFLYCCLFPEDYKIKRERLIRLWIAEGFIQNIDRTTPSEVAESYFMELTLRSMLQVESRNARGRPKACKMHDFLREIGISMLEREKFGVVYDEKVKINKCQLHQARRLSIQTTNEDLQLFDNMTRLRSLLVFVDSSVSFSNKWLPNLKLMRSLDLENVAINSLPEVLGTLFNLRYLNLRGTQVEKLPKSIGKLRNLESLDISNTNIKELPSEVAELQNLYHLIMWRKGIANNWGDFLLYNGVQVPFKSSKLKKLQVLYYIEAKGDIIRRLGSMIQLRRMGISNLREADEQDLCSSIHNLKLLRTLRLFMNNESEFLRMDALETPPLQLEKLTLGGKLERVPHWICSLQNLTELWLHWSRLKEDQLPHVAALPNLIHLCLVNSFTGEYLHFCSGFAKLKLFWLINFPQLTEIIIEKGVMPDIQFLWIDSCFALNSVPQGVEFLTNLQTLGLTKFSSSLIDVDSIDRSKLQHIPNVKII >Manes.13G058300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6704491:6707243:1 gene:Manes.13G058300.v8.1 transcript:Manes.13G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGVMGVSSFPSTPSCFCRNGRTSCSTLSLQIASKSATSRRSITCFAVQESSTSTVEEKKVKKVAEKAAAKPKAAGKAPAKPLPQLMEEDVIPSLKAILEADEDITELELSFQDNRLDGSFKKKGNPYSFWAFFPSGNLTGPKGFSLSSYGTEASTVEPFLVDEKKITAKHVVFWVEKRLAAQGIIPVWKE >Manes.13G058300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6704491:6707243:1 gene:Manes.13G058300.v8.1 transcript:Manes.13G058300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGVMGVSSFPSTPSCFCRNGRTSCSTLSLIASKSATSRRSITCFAVQESSTSTVEEKKVKKVAEKAAAKPKAAGKAPAKPLPQLMEEDVIPSLKAILEADEDITELELSFQDNRLDGSFKKKGNPYSFWAFFPSGNLTGPKGFSLSSYGTEASTVEPFLVDEKKITAKHVVFWVEKRLAAQGIIPVWKE >Manes.15G096150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7512943:7513786:1 gene:Manes.15G096150.v8.1 transcript:Manes.15G096150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTRSFLLVLLLFVLMFQAPSSSEAIRNYKFIMSKDTKKEGPLPYLKTSLVTGVLPQGHYPTLPSSLVDYKTHKPKMLISENHLHSHQSLKLVRILESVPSPGAGH >Manes.16G132600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33280463:33284288:1 gene:Manes.16G132600.v8.1 transcript:Manes.16G132600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYFVSPLSFRLSFPLFLALCLFFSFTTSYALFQGRKLADHSHTLQLSSLLPSDSCKPYAIKVENKVSLKVVHRHGPCSQLNQGKAGKAPSHTKILLQDESRVKSIHSKLSGTNDVKLTDAATLPAHDGSSIGSGNYIVTVGLGTPKKDLSLIFDTGSDLTWTQCQPCARSCYKQKDPIFDPSQSTSYTNISCGSSLCDSLASATGNTLNCASSTCVYGIQYGDSSFSVGFFGKERLTLTPTDVFENFYFGCGQNNQGLFGGSAGLLGLGRDPLSLVSQTSQKYNKLFSYCLPSSSSSTGFLTFGGYSSKSVKFTPLSTISAGPSFYGLDFTGISVGGSKLSISQSVFSTAGAIIDSGTVITRLPPAAYSALRSAFRQLMTKYPTAPALSILDTCYDFTNYKTVTIPKIGLFFNGGIEVDIDATGILYVNKISQVCLAFAGNSDASDVAILGNVQQRSLEVVYDGAAGKVGFAAGGCS >Manes.16G132600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33280463:33284288:1 gene:Manes.16G132600.v8.1 transcript:Manes.16G132600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYFVSPLSFRLSFPLFLALCLFFSFTTSYALFQGRKLADHSHTLQLSSLLPSDSCKPYAIKAVENKVSLKVVHRHGPCSQLNQGKAGKAPSHTKILLQDESRVKSIHSKLSGTNDVKLTDAATLPAHDGSSIGSGNYIVTVGLGTPKKDLSLIFDTGSDLTWTQCQPCARSCYKQKDPIFDPSQSTSYTNISCGSSLCDSLASATGNTLNCASSTCVYGIQYGDSSFSVGFFGKERLTLTPTDVFENFYFGCGQNNQGLFGGSAGLLGLGRDPLSLVSQTSQKYNKLFSYCLPSSSSSTGFLTFGGYSSKSVKFTPLSTISAGPSFYGLDFTGISVGGSKLSISQSVFSTAGAIIDSGTVITRLPPAAYSALRSAFRQLMTKYPTAPALSILDTCYDFTNYKTVTIPKIGLFFNGGIEVDIDATGILYVNKISQVCLAFAGNSDASDVAILGNVQQRSLEVVYDGAAGKVGFAAGGCS >Manes.14G000733.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10468:14050:1 gene:Manes.14G000733.v8.1 transcript:Manes.14G000733.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKQFIG >Manes.14G000733.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10468:13993:1 gene:Manes.14G000733.v8.1 transcript:Manes.14G000733.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKQFIG >Manes.14G000733.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10468:13992:1 gene:Manes.14G000733.v8.1 transcript:Manes.14G000733.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKQFIG >Manes.17G085000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29245690:29248061:-1 gene:Manes.17G085000.v8.1 transcript:Manes.17G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSVLLFTWIIVALLVPTLIKILAKFFTRTRSPPGPPSLPIIGHLHLLSSNLPKSLQILASRYGPIMQIIMAGKPVVVVSDSKTAKEILKTHDVDFASKYVLGFGLSKFNIYDGNSFINSQYGTYWRFMKKLCRTQLFAGPQLDLFMHIREQETLKLLKSLVERSGEGDVCDLGMEISNLANNIICKMALGKRCAENPYLPVEIRKSIRAIMEYTAKLSFTQIFGPLKKFDLSGNGKKLISVTWEFDRLMEQLFKEYEESRVDDSGQEENDLISILLETYKDTTAELKITRNQIKTFFLEIFLAGVDTTAATIQWAIAELINNPNILKKLREEIDSVVGSNRLVKESDIQNLPYLEAIVKETLRKYPPGPLLRRECNTDTKLNNYEIKAGTKILVNAYAIMQDPDVYNEPDKFMPERFIVDHREMDFHGQDFHFLPFGSGRRACIGISHGLIVVNVTIASLIQCFDWKLKDGDKFDIKLTTGYSGAMALPLMCYPTTRFDPFKK >Manes.04G014600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1887717:1892815:1 gene:Manes.04G014600.v8.1 transcript:Manes.04G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDRLNSPSTSSITLEVLGHQLQFSQDPNSKHLGTTVWDASMVFVKFLEKNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCEVVATDQIEVVPLLMRNVERNTSRITQMDTNSVSFGSIKVAELDWGNQDQIRAVEPPFDYIIGTDVVYAEHLLEPLLQTVFALSGPKTTILMGYEIRSTSVHEQMHDMWKRNFEVKIVPKAKMDSKYQHPSIQLYIMGLKPPAGSIENMTRRIDQQANEVETDSETPKEDDNGGNSKDMNDTDYCSNEKAQEDCELAMRLPKAKLNDWEARRLGSMAARLLRDVKIS >Manes.09G131700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33311986:33314948:-1 gene:Manes.09G131700.v8.1 transcript:Manes.09G131700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGNQNPWVTYDTYKDCSQQICSIYCPQWCYLIFPPPPPFALAEDDSGTDFSPLIIAVIGILASAFILVSYYTLISKYCRRGAHADNSLELGEDHAQISNEACQGPAGGLDESVIKNITVCKFKKGDGFVEGSDCSVCLSEFQENENLRLLPKCNHAFHLPCIDTWLKSHASCPLCRANILPCQPPPPPSPPPQTQETPVNTVVSTLENHYRNNDAVIVIQDLQVGGDEETVISSVISGDNILPKPEIQDLGLSAQNMERESENNIEIREEAMEVIQQIRRSVSLNSSLCHTHVSIADILQLSEDEDGDHRMRDLEKNRIGILNLVRSPLALRRSTSTGRFMFTRYEKGRSSMIPN >Manes.15G020900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1692630:1696537:1 gene:Manes.15G020900.v8.1 transcript:Manes.15G020900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLPDMGFQPSSGLFTNIRSSDAKPNSEDCGTAIIVKAYPLGMVQVNNRVDEGNSRLEPCMGLDFDSADAAREFYGAYATRVGFRIRTGQLYRSRTDGSVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDSGTWMIDQIHKDHNHELGLADESHPPILQKRTPKAMKSSAEVSQTPKLKLTEVSQRPKFKLIEDVDNGHPCPSGVINVKRFKRGGDEGQFEAEPYAGLVFNSVDEAYQFYQIYADGAGFRIRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRQESGTWIVDRLQKDHNHNLDLQTGTHQKSYNVSKKFMDNVNGDENGGLDSLDVAKVTYGSPIRRARENNIASDWYQLLLDYFQTRQAEDTGFFYSVETDNGSCMSLFWADGRSRFSCSQFGDAIVFDTSFRKSNYLVPFASFVGVNHHKQPVLLACALIANISEESFTWLFQTWLRAMSGCRPKCIIADQDVAIQQAIMKVFPRTHHRFSMWQIRAMEQENLRSMTEEFKCEYEKCIYQSQTSVEFNTTWSALINRYALKENAWLREMSEKRESWVPLFLRGKFCAGIPINESLEPFFGTLLNAQTPIEEFISRFERGLARRHDEERKEDFNSYNLQAFLHTKEPVEEQCRRLYTLTVFKIFQNELLQCYNYLGIKTYEEGTISRYSVRRCGNEIEKHAVTFSASNITACCSCQMFEFEGILCRHILRVFNLLDIREIPPCYILHRWTKNAEYGTVRDIESGVSPQELKSLIMWSLRETACKFIEAGTTSLEKYKMAYEIMREGGIKLHWQR >Manes.15G020900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1692630:1696537:1 gene:Manes.15G020900.v8.1 transcript:Manes.15G020900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPLPDMGFQPSSGLFTNIRSSDAKPNSEDCGTAIIVKAYPLGMVQVNNRVDEGNSRLEPCMGLDFDSADAAREFYGAYATRVGFRIRTGQLYRSRTDGSVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDSGTWMIDQIHKDHNHELGLADESHPPILQKRTPKAMKSSAEVSQTPKLKLTEVSQRPKFKLIEDVDNGHPCPSGVINVKRFKRGGDEDGAGFRIRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRQESGTWIVDRLQKDHNHNLDLQTGTHQKSYNVSKKFMDNVNGDENGGLDSLDVAKVTYGSPIRRARENNIASDWYQLLLDYFQTRQAEDTGFFYSVETDNGSCMSLFWADGRSRFSCSQFGDAIVFDTSFRKSNYLVPFASFVGVNHHKQPVLLACALIANISEESFTWLFQTWLRAMSGCRPKCIIADQDVAIQQAIMKVFPRTHHRFSMWQIRAMEQENLRSMTEEFKCEYEKCIYQSQTSVEFNTTWSALINRYALKENAWLREMSEKRESWVPLFLRGKFCAGIPINESLEPFFGTLLNAQTPIEEFISRFERGLARRHDEERKEDFNSYNLQAFLHTKEPVEEQCRRLYTLTVFKIFQNELLQCYNYLGIKTYEEGTISRYSVRRCGNEIEKHAVTFSASNITACCSCQMFEFEGILCRHILRVFNLLDIREIPPCYILHRWTKNAEYGTVRDIESGVSPQELKSLIMWSLRETACKFIEAGTTSLEKYKMAYEIMREGGIKLHWQR >Manes.15G020900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1692630:1696537:1 gene:Manes.15G020900.v8.1 transcript:Manes.15G020900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVNNRVDEGNSRLEPCMGLDFDSADAAREFYGAYATRVGFRIRTGQLYRSRTDGSVSSRRFVCSKEGFQLNSRTGCPAFIRVQRRDSGTWMIDQIHKDHNHELGLADESHPPILQKRTPKAMKSSAEVSQTPKLKLTEVSQRPKFKLIEDVDNGHPCPSGVINVKRFKRGGDEGQFEAEPYAGLVFNSVDEAYQFYQIYADGAGFRIRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRQESGTWIVDRLQKDHNHNLDLQTGTHQKSYNVSKKFMDNVNGDENGGLDSLDVAKVTYGSPIRRARENNIASDWYQLLLDYFQTRQAEDTGFFYSVETDNGSCMSLFWADGRSRFSCSQFGDAIVFDTSFRKSNYLVPFASFVGVNHHKQPVLLACALIANISEESFTWLFQTWLRAMSGCRPKCIIADQDVAIQQAIMKVFPRTHHRFSMWQIRAMEQENLRSMTEEFKCEYEKCIYQSQTSVEFNTTWSALINRYALKENAWLREMSEKRESWVPLFLRGKFCAGIPINESLEPFFGTLLNAQTPIEEFISRFERGLARRHDEERKEDFNSYNLQAFLHTKEPVEEQCRRLYTLTVFKIFQNELLQCYNYLGIKTYEEGTISRYSVRRCGNEIEKHAVTFSASNITACCSCQMFEFEGILCRHILRVFNLLDIREIPPCYILHRWTKNAEYGTVRDIESGVSPQELKSLIMWSLRETACKFIEAGTTSLEKYKMAYEIMREGGIKLHWQR >Manes.04G110581.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31536836:31537699:1 gene:Manes.04G110581.v8.1 transcript:Manes.04G110581.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNNTAAMRHSSPSYGSDPSSPNTTFVLADPSTFRTIVQKLTGAPDDLSTQKLPLTDHPSRPSAIPGPKRPAVKLHERRQNAKNLQINLNSSSTINSGFEHPHDLLQFRQRAGFMVSPVSTLDFFGARTSPRSPCEEFCSRGSSPREEEERAIAEKGFYLHPSPLSTPRGAEPPELLPLFPLRSPRDDNGDQDDDRNSCS >Manes.01G256200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41328773:41334744:-1 gene:Manes.01G256200.v8.1 transcript:Manes.01G256200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWEAGTSSRRGSTSRGAEEDDDDTVYVAVGKDFEENKLNLVWAIENFPGKRLCILHVHQPAKMIHLVGGKFPASRLGQHELREFQELERNIMLKILDDYVLFCGQLDVHADKVSIEMDDIGKGIIELVYHYHIKKLVMGAAANKHYSEEMMDLKSKKAKYVQLRVPRSCQIWYICNGYLICEGDSTCSTTNGYRDSASFMQQKTDGSGLELELHEVSLSEENSYNLDQLEESSIDQLYCQLECAMLEAEKFKKQACEESLRRGEAEKTAIKAVRRATALESLYAKELRCRKEIEGALAREKEHHQRTKNQRDEERVISMDQKLLQHIQVSKFDEKLKEMNDERLSAVEQCKEYKSERDKLQVEHDNVLKLAKELEEELSRKQEEEASCSQMHPFLSDFSLVEIHEETFNFDPLLRIGEGGYGSTYRANLCHTPVAIKVLNPETTQGRLEFQHEVEILGKLRHPNLAILIGACSEACALVYEYLPNGSLEDRLNCKDNTAPLPWQARIRIATELCSVLMFLHSSKPHGTVHGGVKPGNILLDANLGCKLSGFGIYRALSLSENSRTTILPHVTDSMFTFPYLDPHFLETRELSPSSDTYSFGIILLQLLTGKSGFRIITEIIDEINETNLSSFLDPLAGDWPFVQASQLAQLALRCCARNRSGRPDLASDVWRVLEPMRTFCSEPVQFGSEESEQPPSYFFCPIMQEVMQDPHVAADGFTYEAEALTGWLQSGHNTSPMTNLVLGHLNLVPNRSLRSAIQDWRQQHPNAIF >Manes.01G256200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41328773:41334744:-1 gene:Manes.01G256200.v8.1 transcript:Manes.01G256200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPMYFLQSPMMFSSNSNQDVFTVQDGLLNLIHFGIYGFILKVHADKVSIEMDDIGKGIIELVYHYHIKKLVMGAAANKHYSEEMMDLKSKKAKYVQLRVPRSCQIWYICNGYLICEGDSTCSTTNGYRDSASFMQQKTDGSGLELELHEVSLSEENSYNLDQLEESSIDQLYCQLECAMLEAEKFKKQACEESLRRGEAEKTAIKAVRRATALESLYAKELRCRKEIEGALAREKEHHQRTKNQRDEERVISMDQKLLQHIQVSKFDEKLKEMNDERLSAVEQCKEYKSERDKLQVEHDNVLKLAKELEEELSRKQEEEASCSQMHPFLSDFSLVEIHEETFNFDPLLRIGEGGYGSTYRANLCHTPVAIKVLNPETTQGRLEFQHEVEILGKLRHPNLAILIGACSEACALVYEYLPNGSLEDRLNCKDNTAPLPWQARIRIATELCSVLMFLHSSKPHGTVHGGVKPGNILLDANLGCKLSGFGIYRALSLSENSRTTILPHVTDSMFTFPYLDPHFLETRELSPSSDTYSFGIILLQLLTGKSGFRIITEIIDEINETNLSSFLDPLAGDWPFVQASQLAQLALRCCARNRSGRPDLASDVWRVLEPMRTFCSEPVQFGSEESEQPPSYFFCPIMQEVMQDPHVAADGFTYEAEALTGWLQSGHNTSPMTNLVLGHLNLVPNRSLRSAIQDWRQQHPNAIF >Manes.08G168000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40262900:40264727:-1 gene:Manes.08G168000.v8.1 transcript:Manes.08G168000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMWLEAALPLGIIAGMISVMGNAQYYIHKAAHGRPKHIGDDICGMWPWREETTSSWTIFLPLHPISFFSMVPYLSIRMWLAYGTYWRLS >Manes.08G168000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40262141:40264884:-1 gene:Manes.08G168000.v8.1 transcript:Manes.08G168000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMWLEAALPLGIIAGMISVMGNAQYYIHKAAHGRPKHIGDDICGMWPWREETTSSWTIFLPLHPISFFSMVPYLSIRMWLAYGTYWRLS >Manes.04G149100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34580613:34581576:1 gene:Manes.04G149100.v8.1 transcript:Manes.04G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKASKLGKLIGARGVKRLGAPPLAPRGYVPICVGVNDDTRRFIVHRKALGDAEFLELLCKSAEEYGFCNEGVLRIQYEAKDFEEWIIRKAKLRIIRVNPL >Manes.03G138650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26696684:26700714:-1 gene:Manes.03G138650.v8.1 transcript:Manes.03G138650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADSLRQGILAFLSNSSSLMYSGTDFFFKPIFLRGFSGSLHLALLLVLIVSFVWKKLWVGDSEGSKDRFNKNKRVLFYKQTLVCCFGVSVFSLVWGLLSYFYWYRNGWSGDELATLLDLALRTLSWGTLSVYLYTQFSHSINTKFSFLLRGWWGFYFSVSCYCLLVDIVLYIKLVSSQVQYLASDAVSVFAGLFLCYVGFLKVEDENTLNKEPLLNGDASNDSESTKSRASDSVTPYSNAGFFSILTFSWIGTLIALGNKKTLDLEDVPQLYSGDSVVSVAPVFINKLQSNRVTTFKLVKILFYSAWKEIVWTALLAVFYTSATYVGPYLTDAFVQCLTGRGQLKNQGYLLASAFLVGKLIECLSQQHWFFRTRQTGFKMRAVLATVIYNKGLTLSCQEKQGRTSGEIINLMTVDTEQIVEFCWRMHDLWLLIVQVALALFILYRNLGLASLAAFVATVIVMLLNCPLGRLQENFQDNLMKCKDKRMKATSEIVRNMRILKLQAWEMKFLSRIEELRKTEAGWLKKFVYTSSIVSFVFQCAPTFVGVATFGACMLMGIPLESGKILSALATFRILQQPIYNLPDTISVIVQTKVSLDRIASFIRLDDLQSDAAEKFPRGSSATAIEIVDGNFSWDLSSPNPTLNDINVKVFHGMKVAVCGTVGSGKSSLLSCILGEVPKISGTLRLCGKKVYVAQSPWIQSGKIEENILFGEEMDRQKYERILETCSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLPAADLVLVMKDGRITQAGKYNDILNSGSDFMELVGAHNTALSALDSKPAGPAFGNESLRKDDDVMESTSGVPPKEETKDLQKGKADEISEPLGQLVQEEEREKGRVGFPLYWKYLTTAYGGALVPFILLAQFLFQILLIGSNYWMTWATPVSKNVKPVVSGYTIIVVYVALAVGSYFCILARVTLLATSGFKTATLLFNKMHSCIFRAPMSFFDSTPSGRILSRASADQRAVDMGIPSQVGAVAFSMIKLLGTIAVMSQVAWQLFILFIPLIAACIWYQVILLISPNTCFVFEVASYTNFFVMCVFQFFLKMNFCKY >Manes.03G138650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26694573:26700714:-1 gene:Manes.03G138650.v8.1 transcript:Manes.03G138650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADSLRQGILAFLSNSSSLMYSGTDFFFKPIFLRGFSGSLHLALLLVLIVSFVWKKLWVGDSEGSKDRFNKNKRVLFYKQTLVCCFGVSVFSLVWGLLSYFYWYRNGWSGDELATLLDLALRTLSWGTLSVYLYTQFSHSINTKFSFLLRGWWGFYFSVSCYCLLVDIVLYIKLVSSQVQYLASDAVSVFAGLFLCYVGFLKVEDENTLNKEPLLNGDASNDSESTKSRASDSVTPYSNAGFFSILTFSWIGTLIALGNKKTLDLEDVPQLYSGDSVVSVAPVFINKLQSNRVTTFKLVKILFYSAWKEIVWTALLAVFYTSATYVGPYLTDAFVQCLTGRGQLKNQGYLLASAFLVGKLIECLSQQHWFFRTRQTGFKMRAVLATVIYNKGLTLSCQEKQGRTSGEIINLMTVDTEQIVEFCWRMHDLWLLIVQVALALFILYRNLGLASLAAFVATVIVMLLNCPLGRLQENFQDNLMKCKDKRMKATSEIVRNMRILKLQAWEMKFLSRIEELRKTEAGWLKKFVYTSSIVSFVFQCAPTFVGVATFGACMLMGIPLESGKILSALATFRILQQPIYNLPDTISVIVQTKVSLDRIASFIRLDDLQSDAAEKFPRGSSATAIEIVDGNFSWDLSSPNPTLNDINVKVFHGMKVAVCGTVGSGKSSLLSCILGEVPKISGTLRLCGKKVYVAQSPWIQSGKIEENILFGEEMDRQKYERILETCSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLPAADLVLVMKDGRITQAGKYNDILNSGSDFMELVGAHNTALSALDSKPAGPAFGNESLRKDDDVMESTSGVPPKEETKDLQKGKADEISEPLGQLVQEEEREKGRVGFPLYWKYLTTAYGGALVPFILLAQFLFQILLIGSNYWMTWATPVSKNVKPVVSGYTIIVVYVALAVGSYFCILARVTLLATSGFKTATLLFNKMHSCIFRAPMSFFDSTPSGRILSRASADQRAVDMGIPSQVGAVAFSMIKLLGTIAVMSQVAWQLFILFIPLIAACIWYQQYYIPCARELSRMTGVCKAPVIQHFAETISGSITIRSFDKQSRFQETNMKLLDAYSRLRFHYAGAIEWLCFRLDMLSSIVFAFSLFFLISFPRGIDPAIAGLAVIYGLNLNSLLVELIWNICNMENKIISVERILQYMSIPSEPPLVIEENQPDHSWPSHGEIDIDNLQVRYAPHMPLVLRGLTCTFPGGKKTGIVGRTGSGKSTLIQTLFRIVDPAAGQIVIDGINISLIGVHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRKKEKKLDSTVTENGENWSMGQRQMVCLGRVLLKKSKVLVLDEATASVDTATDNLIQHTIRQHFSNCTVITIAHRITSVLDSDMVLLLGHGLIEEYDNPTRLLENKTSSFAQLVAEYSARSNSSIEKFTTLNYKAL >Manes.15G071150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5384371:5385976:-1 gene:Manes.15G071150.v8.1 transcript:Manes.15G071150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGKDCILSKSGEKEKNERRKRRMPSCSVASEFVDDPVVWVVVTSITRTRQKRSTCWTTFHGSIVSRNGFGSK >Manes.05G106100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10028422:10032697:-1 gene:Manes.05G106100.v8.1 transcript:Manes.05G106100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLPANTDSISQALEAKTPSESISIYYRILENPSSSPDSLRIKEQAITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVQWTRAEKRTFLRQRVEARLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVNQADDVAGVISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFDDPKTDAIYPASLETISNIGKVVDSLYVRSAKIMA >Manes.05G106100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10028407:10032858:-1 gene:Manes.05G106100.v8.1 transcript:Manes.05G106100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLPANTDSISQALEAKTPSESISIYYRILENPSSSPDSLRIKEQAITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVQWTRAEKRTFLRQRVEARLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVNQADDVAGVISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFDDPKTDAIYPASLETISNIGKVVDSLYVRSAKIMA >Manes.05G106100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10028422:10032734:-1 gene:Manes.05G106100.v8.1 transcript:Manes.05G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLPANTDSISQALEAKTPSESISIYYRILENPSSSPDSLRIKEQAITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVQWTRAEKRTFLRQRVEARLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVNQADDVAGVISSKAGLQYVGPELDAMKAVADAHAKRSLKLFEIALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFDDPKTDAIYPASLETISNIGKVVDSLYVRSAKIMA >Manes.11G015200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1612186:1615162:1 gene:Manes.11G015200.v8.1 transcript:Manes.11G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDKSKSKDFYQVLGLDKECTATELRNAYKKLALRWHPDRCSPSGNSEFVEEAKQNFQAIQQAYSVLSDTNKRFLYDVGAYDSDDDENGMGDFLSELATMMNQTKPDENGKESFEDLQELFEEMFQEDIDSFGGGGGFQAAAASTTSSSYASSYNESSSTNDKISNSCEMNFEEFKVEDSHGFGSRFQTFCLGVEHQQNFKKTKGTRGGIQGAAAGGSSRRRNTRKNKVSSGLDVSSQDIPHGISAS >Manes.11G015200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1612186:1615162:1 gene:Manes.11G015200.v8.1 transcript:Manes.11G015200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDKSKSKDFYQVLGLDKECTATELRNAYKKLALRWHPDRCSPSGNSEFVEEAKQNFQAIQQAYSVLSDTNKRFLYDVGAYDSDDDENGMGDFLSELATMMNQTKPDENGKESFEDLQELFEEMFQEDIDSFGGGGGFQAAAASTTSSSYASSYNESSSTNDKISNSCEMNFEEFKVEDSHGFGSRFQTFCLGTGGTPTKLQEDEGNKRRNSRSRRRW >Manes.11G015200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1612186:1615162:1 gene:Manes.11G015200.v8.1 transcript:Manes.11G015200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDKSKSKDFYQVLGLDKECTATELRNAYKKLALRWHPDRCSPSGNSEFVEEAKQNFQAIQQAYSVLSDTNKRFLYDVGAYDSDDDENGMGDFLSELATMMNQTKPDENGKESFEDLQELFEEMFQEDIDSFGGGGGFQAAAASTTSSSYASSYNESSSTNDKISNSCEMNFEEFKVEDSHGFGSRFQTFCLGVSTCT >Manes.01G181800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35993930:36011676:-1 gene:Manes.01G181800.v8.1 transcript:Manes.01G181800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRNYPNQTVSHGVLEEKGQGQRIGRMVGNDDIDVTSSEREFDINVDAQYESEGEDTGRMQGDAAPDNGVGASNSHVQSSGRRNATGKWGSTFWKDCQPLGAQAASDSGHDSKSDYKNAEGSEDNISDGRDDRLESEDEDGQKEVGKGQKGHSDVPADEMLSDEYYEQDGEDQSDSMHHKGFNHSTGLFSRAHSKPVPLNNNFSRSSRALCNNNDDDDDDNDDTNDDGDADYEEEDEEDEDDPDDADFDPDYGVASGHAANKDKDWDGEDSDEDDDIDDDINVSDEDDSCYMKKSKGRQQSKGTCNAKSSREIKSLHASSRQKRGKMSFEEDEYPAEDSDSDSDGDFKRMTRRVHIRKSNARSTMSMDLNGRNNEVRTSSRSVRKVSYVESEESEEIDEGKKKKPQKEEIEEEDGDSIERVLWHQPKGMAEDAIRNNQSTEPVLLSHLFDSEQDWNEMEFLIKWKGQSHLHCQWKSFAELQNLSGFKKVLNYMKKVNEDVKYRRMLTREEIEVNDVSKEMDLDIIKQNSQVERIISDRISNDSSGNVVPEYLVKWQGLSYAEATWEKDTDIEFAQDAIDEYKAREAAMAVQGKMVDLQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKAGRPIKFNALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTSLSEFSTKNKLLITGTPLQNSVEELWALLHFLDSDKFRSKEDFVQNYKNLSSFNESELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSGINDSSKLERIILSSGKLVILDKLLVRLHATKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSYFDKNELSAILRFGAEELFKEDRNDEESKKRLLSMDIDEILERAEKVEDKEAGGEEGNELLSAFKVANFGSAEDDRTFWSRWIKPEAVAQAEEALAPRAARNNKSYAEANQSERSNKRKKKNSELLEPQERVHKRRKADYSALSVPMIDGASAQVREWSQGNLSKRDALRFSRAVVKFGNANQIDLIVAEVGGSVAAAPPDAQIELFDALVDGCKEAVDGGNMDPKGPLLDFFGVPVKANDLLNRVQELQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLRDRANALLEMELSVVGGKSANAKVGRKASKKQKENVVNISVSRSRVKKRKPGSSMVGVHMGKNRPQKPQRAEQLVKEEGEMSDNEELCEQFKEVKWMEWCEDVMVDEIKTLRRLQRLQTTSADLPKEKVLLKIRNYLQLIGRRIDQIVLEYEEEFYRQDRMTMRLWNYVSTFSNLSGEKLYQIYSKLKQEQEQEEAGVGPFHMNGSASGTVDRDGDANYFPLTRHVERQRGYKNMNAYQMSESIQKGHDNGKFEAWKRRRRAEADAHPQLQPPLQRPTSNGNRLTDPNSLGILGAAPSDSRLFNSERPFRMRKTGFPPRQNFSSGIK >Manes.01G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8694403:8697800:-1 gene:Manes.01G043700.v8.1 transcript:Manes.01G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAETQMTPTQISDEEANLFAMQLTSASVLPMVLKSAIELDLLEIIGKAGPGAFLSPTDIASQLPTSNPDAPVMLDRILRLLASYSILKFSLRTLPDGKVERLYGLAPVCKFLTKNEDGVSIAALCLMNQDKVLMESWYHLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNKGMADHSTITMKKILETYEGFQGLSSIVDVGGGTGAVLSMIVSKYPSIKGINFDLPHVIDDAPPHPGVEHVGGDMFVSVPKGDAIFMKWICHDWSDEHCLKFLKNCYNALPPHGKVIVAECILPVAPDNSLATKTVVHIDCIMLAHNPGGKERTEKEFEALAKGAGFQGFRVVCRAFHTYVMEFLKSA >Manes.01G232600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39639990:39643561:1 gene:Manes.01G232600.v8.1 transcript:Manes.01G232600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVVCQQSVPVLDVQYFAKGNEIEDVVNISPRRLNQIRVSDSVPADLSTCQVDIKSAEKIPDVSFERAILQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSTQLGSLFKFPKPSAFYGVFDGHGGPEAAAYIRKNAIRLFFEDVNFPQTYEHEVDNIFLEEVENSLRKAFLLADLALADDHSVNSSSGTTAITAFIFGRQLLVANAGDCRAVLCRKGEAIDMSQDHRPVYPSERRRVEELGGYIDDGYLNGVLSVSRALGDWDMKNPIGAPSPLIAEPEFQQVVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEQCARDLVMEALRRNTFDNLTVIVVCFSTLDNQELSPPRQRRQRCCSLSAEALCSLRNLLDSSANH >Manes.08G039400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3851143:3860871:1 gene:Manes.08G039400.v8.1 transcript:Manes.08G039400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMELAFSPSSSFRISAITSQRQSKITIKPTSKSLSDSFSKCSQILTSSRLYTYKNHLNFPQNRSSRPNSIWACSKVGAAGSDPILKKISDFKDACWRFLRPHTIRGTALGSTALVARALLENPNLIKWSLLLKAFSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAVTGILMVGLNFGPFITALYCLGLFLGTIYSVPPFRMKRFAVVAFLIIATVRGFLLNFGVYHATRAALGLPFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKYKISTLATNLGVRNIAFLGTGLLLLNYIGAVCAAIYMPQAFRRNLMIPAHTILAACLIFQTSAVRLVGHDPTYETIRMLSFCYCHFLYKHGCWNEQITQR >Manes.08G039400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3850938:3861883:1 gene:Manes.08G039400.v8.1 transcript:Manes.08G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMELAFSPSSSFRISAITSQRQSKITIKPTSKSLSDSFSKCSQILTSSRLYTYKNHLNFPQNRSSRPNSIWACSKVGAAGSDPILKKISDFKDACWRFLRPHTIRGTALGSTALVARALLENPNLIKWSLLLKAFSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAVTGILMVGLNFGPFITALYCLGLFLGTIYSVPPFRMKRFAVVAFLIIATVRGFLLNFGVYHATRAALGLPFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKYKISTLATNLGVRNIAFLGTGLLLLNYIGAVCAAIYMPQAFRRNLMIPAHTILAACLIFQAWVLERANYTKEAIAAFYRFIWNLFYAEYIIFPFV >Manes.08G039400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3851235:3856104:1 gene:Manes.08G039400.v8.1 transcript:Manes.08G039400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMELAFSPSSSFRISAITSQRQSKITIKPTSKSLSDSFSKCSQILTSSRLYTYKNHLNFPQNRSSRPNSIWACSKVGAAGSDPILKKISDFKDACWRFLRPHTIRGTALGSTALVARALLENPNLIKWSLLLKAFSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAVTGILMVGLNFGPFITALYCLGLFLGTIYSVPPFRMKRFAVVAFLIIATVRGFLLNFGVYHATRAALGLPFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKYKISTLATNLGVRNIAFLGTGLLLLNYIGAVCAAIYMPQAFRRNLMIPAHTILAACLIFQVCFDLCIMIMQYLVCVSSFTFFYKTYCFHFLICLFFMSRILQCALFT >Manes.08G039400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3850937:3861883:1 gene:Manes.08G039400.v8.1 transcript:Manes.08G039400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMELAFSPSSSFRISAITSQRQSKITIKPTSKSLSDSFSKCSQILTSSRLYTYKNHLNFPQNRSSRPNSIWACSKVGAAGSDPILKKISDFKDACWRFLRPHTIRGTALGSTALVARALLENPNLIKWSLLLKAFSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAVTGILMVGLNFGPFITALYCLGLFLGTIYSVPPFRMKRFAVVAFLIIATVRGFLLNFGVYHATRAALGLPFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKYKISTLATNLGVRNIAFLGTGLLLLNYIGAVCAAIYMPQAFRRNLMIPAHTILAACLIFQAWVLERANYTKVMSISSCCIKLC >Manes.06G171100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29555431:29556304:-1 gene:Manes.06G171100.v8.1 transcript:Manes.06G171100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKDRRNCNPSEEISSNGNNNPSENNPQEQQSPLNTDNGNNKSSKALVALKSQDHLNCIIDRHTRELDQLLEDAPLPFVMQHTAKVSGVRTRVSSLNSLLKSIQRRIDNIDPILSVGSTQVCFQIISSLVIFKEANYRELLKTFGSMQFDHKLRKK >Manes.S095208.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:1052:1905:-1 gene:Manes.S095208.v8.1 transcript:Manes.S095208.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASNFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLVLLDFKAFVGSNGFDANHLLPSWIDDPISDCCKWERVMCNLHTGHSFSFYTDENIWYVNLSMFQQLKELKTLNLSYNYFDCSIDDQGCERLSKLKKLEVLDLTWNTFNNILPSLGALTSLKTLILSYNGIEGSFPIQGMFDF >Manes.06G086900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22348041:22350945:1 gene:Manes.06G086900.v8.1 transcript:Manes.06G086900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSFEVVVVDISVSRIAAWNSDQLPIYEPGLDEVVKQCRGKNLFFSTDIEKQVSEADIIFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILTHNGRGIKYQILSNPEFLAEGTAIQDLFHPDRVLIGGRETPDGQKAIQSLKDVYAHWVPEDRIISTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKKRFVNRLVSSMFNTVSGKKISILGFAFKKDTGDTRETPAIDVCKGLLGDKAHLCIYDPQVTEDQIRRDLSMNKFDLDHPVHLQPMSPSSVKQVSVVWDAYEAAKDAHGVCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNIVNVDKLREIGFIVYSIGKPLDPWLKDMPAVA >Manes.11G032900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3165090:3172038:1 gene:Manes.11G032900.v8.1 transcript:Manes.11G032900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKGLNLSPPPKQYGVTKPISMSGPMDADIQRSRELEKFLSDQGLYESKEEAAKREEVLGRIGQIVKDWVKQLTRLRGYTDQMIEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYINREEDFFFVLHNILSEMEEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPDDLDISDVSVLYDVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKLWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFEYGNKVCEEIELSKAQWGTLFEPYFFFESYKNYLQVDIVAADIDDLRSWKGWVESRLRQLTLMIERDTFGKLQCHPYPHEYVDISKPCAHCAFFMGLQRKQGEIIQEGQQFDIRGTVDEFRHSINMYMFWKPGMEIYVSHVRRKQIPSYVFPDGYRRPRHPRVQSQQQSDKPCCEDGGACRIGSGERCLKRKKDPDAVDVKNDMPEKRRSISPQRRDSISPEIISHKFGGTSPCLAFAEGSETCEVDTKRFCPDDVEGGCTSNSSVITFLSSEVGSCKDIGNESVAGSSKGNNGSAYGSVEGSNNLRSSQSDSGDAGSVCRLENVCGDGNGVCQDGLREELEVFDISFTSFT >Manes.11G032900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3165090:3172038:1 gene:Manes.11G032900.v8.1 transcript:Manes.11G032900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKGLNLSPPPKQYGVTKPISMSGPMDADIQRSRELEKFLSDQGLYESKEEAAKREEVLGRIGQIVKDWVKQLTRLRGYTDQMIEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYINREEDFFFVLHNILSEMEEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPDDLDISDVSVLYDVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKLWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFEYGNKVCEEIELSKAQWGTLFEPYFFFESYKNYLQVDIVAADIDDLRSWKGWVESRLRQLTLMIERDTFGKLQCHPYPHEYVDISKPCAHCAFFMGLQRKQGEIIQEGQQFDIRGTVDEFRHSINMYMFWKPGMEIYVSHVRRKQIPSYVFPDGYRRPRHPRVQSQQQSDKPCCEDGGACRIGSGERCLKRKKDPDAVDVKNDMPEKRRSISPQRRDSISPEIISHKFGGTSPCLAFAEGSETCEVDTKRFCPDDVEGGCTSNSSVITFLSSEVGSCKDIGNESVAGSVCRLENVCGDGNGVCQDGLREELEVKPNAAVGMLLKSVGGVEAEAVQKPVLRLSLTSTA >Manes.11G032900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3165090:3172038:1 gene:Manes.11G032900.v8.1 transcript:Manes.11G032900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKGLNLSPPPKQYGVTKPISMSGPMDADIQRSRELEKFLSDQGLYESKEEAAKREEVLGRIGQIVKDWVKQLTRLRGYTDQMIEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYINREEDFFFVLHNILSEMEEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPDDLDISDVSVLYDVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKLWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFEYGNKVCEEIELSKAQWGTLFEPYFFFESYKNYLQVDIVAADIDDLRSWKGWVESRLRQLTLMIERDTFGKLQCHPYPHEYVDISKPCAHCAFFMGLQRKQGEIIQEGQQFDIRGTVDEFRHSINMYMFWKPGMEIYVSHVRRKQIPSYVFPDGYRRPRHPRVQSQQQSDKPCCEDGGACRIGSGERCLKRKKDPDAVDVKNDMPEKRRSISPQRRDSISPEIISHKFGGTSPCLAFAEGSETCEVDTKRFCPDDVEGGCTSNSSVITFLSSEVGSCKDIGNESVAGSSKGNNGSAYGSVEGSNNLRSSQSDSGDAGSVCRLENVCGDGNGVCQDGLREELEVKPNAAVGMLLKSVGGVEAEAVQKPVLSRLSLTSTA >Manes.11G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3165090:3172038:1 gene:Manes.11G032900.v8.1 transcript:Manes.11G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKGLNLSPPPKQYGVTKPISMSGPMDADIQRSRELEKFLSDQGLYESKEEAAKREEVLGRIGQIVKDWVKQLTRLRGYTDQMIEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYINREEDFFFVLHNILSEMEEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPDDLDISDVSVLYDVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKLWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFEYGNKVCEEIELSKAQWGTLFEPYFFFESYKNYLQVDIVAADIDDLRSWKGWVESRLRQLTLMIERDTFGKLQCHPYPHEYVDISKPCAHCAFFMGLQRKQGEIIQEGQQFDIRGTVDEFRHSINMYMFWKPGMEIYVSHVRRKQIPSYVFPDGYRRPRHPRVQSQQQSDKPCCEDGGACRIGSGERCLKRKKDPDAVDVKNDMPEKRRSISPQRRDSISPEIISHKFGGTSPCLAFAEGSETCEVDTKRFCPDDVEGGCTSNSSVITFLSSEVGSCKDIGNESVAGSSKGNNGSAYGSVEGSNNLRSSQSDSGDAGSVCRLENVCGDGNGVCQDGLREELEVKPNAAVGMLLKSVGGVEAEAVQKPVLRLSLTSTA >Manes.11G032900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3165090:3172038:1 gene:Manes.11G032900.v8.1 transcript:Manes.11G032900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKGLNLSPPPKQYGVTKPISMSGPMDADIQRSRELEKFLSDQGLYESKEEAAKREEVLGRIGQIVKDWVKQLTRLRGYTDQMIEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYINREEDFFFVLHNILSEMEEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPDDLDISDVSVLYDVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKLWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFEYGNKVCEEIELSKAQWGTLFEPYFFFESYKNYLQVDIVAADIDDLRSWKGWVESRLRQLTLMIERDTFGKLQCHPYPHEYVDISKPCAHCAFFMGLQRKQGEIIQEGQQFDIRGTVDEFRHSINMYMFWKPGMEIYVSHVRRKQIPSYVFPDGYRRPRHPRVQSQQQSDKPCCEDGGACRIGSGERCLKRKKDPDAVDVKNDMPEKRRSISPQRRDSISPEIISHKFGGTSPCLAFAEGSETCEVDTKRFCPDDVEGGCTSNSSVITFLSSEVGSCKDIGNESVAGSSKGNNGSAYGSVEGSNNLRSSQSDSGDAGSVCRLENVCGDGNGVCQDGLREELEVKPNAAVGMLLKSVGGVEAEAVQKPVLSRLSLTSTA >Manes.11G032900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3165090:3172038:1 gene:Manes.11G032900.v8.1 transcript:Manes.11G032900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKGLNLSPPPKQYGVTKPISMSGPMDADIQRSRELEKFLSDQGLYESKEEAAKREEVLGRIGQIVKDWVKQLTRLRGYTDQMIEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYINREEDFFFVLHNILSEMEEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPDDLDISDVSVLYDVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKLWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFEYGNKVCEEIELSKAQWGTLFEPYFFFESYKNYLQVDIVAADIDDLRSWKGWVESRLRQLTLMIERDTFGKLQCHPYPHEYVDISKPCAHCAFFMGLQRKQGEIIQEGQQFDIRGTVDEFRHSINMYMFWKPGMEIYVSHVRRKQIPSYVFPDGYRRPRHPRVQSQQQSDKPCCEDGGACRIGSGERCLKRKKDPDAVDVKNDMPEKRRSISPQRRDSISPEIISHKFGGTSPCLAFAEGSETCEVDTKRFCPDDVEGGCTSNSSVITFLSSEVGSCKDIGNESVAGSVCRLENVCGDGNGVCQDGLREELEVKPNAAVGMLLKSVGGVEAEAVQKPVLSRLSLTSTA >Manes.01G100800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30145691:30146548:-1 gene:Manes.01G100800.v8.1 transcript:Manes.01G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAKIIRRSIFVLLKDFHYYITNPVILLLPFSASVLLFQSCSQTKSIFPLTRISLLDLNFSQVIFSYVISLPFALSSLVVAKASIIKNLHSHDQHKPAVSSLYKPLVSTYLCNTSLFLLLVVASNFVEKIYGLSSKSPLFVLAAGVVLYMVLTNAMIIGNLALVVAGMDDCSGYRAIHRAWLVKQGANSMALLLALPINLGFVAIESLFQYRVIRAYHLSRRANASMIVEGWLISIMFSLLIVLDTIACCLIVKSYESDRVQIEIVKEDRRISGSLQSLDELL >Manes.06G124900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25480384:25486430:1 gene:Manes.06G124900.v8.1 transcript:Manes.06G124900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWHDSNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAFIDVVERYSNDNNKTLKRTTKITRCLNLGSYNYLGFAAADEYCTPRVIDTLNRFSASTCSTRVDGGTTTVHTKLEECVANFVGKPAAIVFGMGYATNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKEVIQYLKYTCPAHLYATSISPPAAQQIISSIEVLLGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHTKEDLLKALEVISTVGDLVGIKYFPAEPNKQHQEQSTMKLE >Manes.06G124900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25481915:25487305:1 gene:Manes.06G124900.v8.1 transcript:Manes.06G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWHDSNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAFIDVVERYSNDNNKTLKRTTKITRCLNLGSYNYLGFAAADEYCTPRVIDTLNRFSASTCSTRVDGGTTTVHTKLEECVANFVGKPAAIVFGMGYATNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKEVIQYLKYTCPAHLYATSISPPAAQQIISSIEVLLGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHTKEDLLKALEVISTVGDLVGIKYFPAEPNKQHQEQSTMKLE >Manes.06G124900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25480383:25486937:1 gene:Manes.06G124900.v8.1 transcript:Manes.06G124900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWHDSNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAFIDVVERYSNDNNKTLKRTTKITRCLNLGSYNYLGFAAADEYCTPRVIDTLNRFSASTCSTRVDGGTTTVHTKLEECVANFVGKPAAIVFGMGYATNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKEVIQYLKYTCPAHLYATSISPPAAQQIISSIEVLLGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHTKEDLLKALE >Manes.06G124900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25481946:25486937:1 gene:Manes.06G124900.v8.1 transcript:Manes.06G124900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWHDSNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAFIDVVERYSNDNNKTLKRTTKITRCLNLGSYNYLGFAAADEYCTPRVIDTLNRFSASTCSTRVDGGTTTVHTKLEECVANFVGKPAAIVFGMGYATNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKEVIQYLKYTCPAHLYATSISPPAAQQIISSIEVLLGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHTKEDLLKALE >Manes.11G033900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3258509:3265227:-1 gene:Manes.11G033900.v8.1 transcript:Manes.11G033900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPTRSTGGSSSSASSAAGVRLFGVRLTDGSIIKKSASMGNLSAHYHSSSSAAASPNPGSPLSDHVRDSRNVPDGYLSDDPVHASCSTTRRGERKKGVPWTEEEHRLFLVGLQKLGKGDWRGIARNYVVSRTPTQVASHAQKYFIRQTNATRRKRRSSLFDMAAEPQTVPEEQELPSLGGTDKADTQPSLNLSLKPEYEPMQTASEEQVKEPDETVMDSSESSQTVPRLSESTPIVPQLSEFTPFVPGFFPAYVPIPYPFWPANTVPIQELKAAETSNHEVLKPIPIFPKEPVNVDDLVGMSHLSIGETERGQREPSPLSLKLIGEPSRQSAFHANAPAVG >Manes.11G033900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3258509:3265162:-1 gene:Manes.11G033900.v8.1 transcript:Manes.11G033900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPTRSTGGSSSSASSAAGVRLFGVRLTDGSIIKKSASMGNLSAHYHSSSSAAASPNPGSPLSDHVRDSRNVPDGYLSDDPVHASCSTTRRGERKKGVPWTEEEHRLFLVGLQKLGKGDWRGIARNYVVSRTPTQVASHAQKYFIRQTNATRRKRRSSLFDMAAEPQTVPEEQELPSLGGTDKADTQPSLNLSLKPEYEPMQTASEEQVKEPDETVMDSSESSQTVPRLSESTPIVPQLSEFTPFVPGFFPAYVPIPYPFWPANTVPIQELKAAETSNHEVLKPIPIFPKEPVNVDDLVGMSHLSIGETERGQREPSPLSLKLIGEPSRQSAFHANAPAVG >Manes.11G033900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3258506:3265227:-1 gene:Manes.11G033900.v8.1 transcript:Manes.11G033900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPTRSTGGSSSSASSAAGVRLFGVRLTDGSIIKKSASMGNLSAHYHSSSSAAASPNPGSPLSDHVRDSRNVPDGYLSDDPVHASCSTTRRGERKKGVPWTEEEHRLFLVGLQKLGKGDWRGIARNYVVSRTPTQVASHAQKYFIRQTNATRRKRRSSLFDMAAEPQTVPEEQELPSLGGTDKADTQPSLNLSLKPEYEPMQTASEEQVKEPDETVMDSSESSQTVPRLSESTPIVPQLSEFTPFVPGFFPAYVPIPYPFWPANTVPIQELKAAETSNHEVLKPIPIFPKEPVNVDDLVGMSHLSIGETERGQREPSPLSLKLIGEPSRQSAFHANAPAVG >Manes.13G080000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:19975646:19979465:1 gene:Manes.13G080000.v8.1 transcript:Manes.13G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGFFEIILAIISYSIGFWLSNRYELVMNWPVLGMLPGLLSNVHRFHDWAKDVMERCGGTYHFKGPWFSGMEMIGTVDPANVKHIMSTNFSNYPKGPEYKEIFDVFGDGIFNSDFDLWKHQRKTAVALINHDKFRGYLLKTVSEKVEKGLIPILENICKHGQTVDMQDLIHRFTLDVTFILITGYDPESLSIEFTEDEVAIALDYAEEVIFYRHVLPKIFWKSQRWLGFGKERKMRKAWETLDRVAAMYIERKREQLKQESIKSEDLLTSYITNKEEILISKTENEFLRDTVLNFLIAGRENSLAWFLWLISKNPRVEAKIREELKSTIAPETEAESGKLQLFDLEKVNKLFYLHGAFCETLRLYPPVAYEHKAPLQPDILPSGHKVDSKMKILLSTYVMGRMRSIWGDDCLEFKPERWISERGRIIQQSPYKFLAFNAGPRTCLGKEIAFTEMKAIAAVVIHNYKIEVVEEHPVAPNSTSIILHMKHGMKVRISRRLA >Manes.13G085878.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15858500:15859104:1 gene:Manes.13G085878.v8.1 transcript:Manes.13G085878.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGAAKKILGMEIQRNKTTCVLCLTQKSYIEKVLEGFGMKNAKPVSTLLGAHFILSVALSPQSKNGIDYISHIPYSNTVNSIIYAMIYTRSDISYVFSVVTRFMSNLRREHWQASTVVLLTTKAEYIVVYEVIKEAI >Manes.17G092200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30041981:30047124:-1 gene:Manes.17G092200.v8.1 transcript:Manes.17G092200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSVSLFRSREENQNQMMQQNSSTAAPTTAPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYFRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTSLSTIGTHLYGNNNMGIGLSQVGSQISSLQDQNHPTSNMLRLGSTGAAKFEHIIPPSNSSSLSTMPSSAFFMSDANQGSFPNKSLHGLMQLPDLQSSTDNSSTTNLFNLGFFPSNATAPNRMNDSDNANSSTVTTNLVNSRFLNSNPFHNGNGGQGTTTLFANNMGDHVGSAGISSLYSNSMQQENITPHMSATALLQKASQMGSTTSSNNPNLLRSLGSSPSTGIKSDRSPLVSTNFGSNSFGDATVGEVGLGIQMESDNQLQGLMNSLANGGSSIFGGGHGQDNSFGGFTSGGVSLEQHHNSTKFRNVEEAKLHQSLGVGSDKLTLDFLGVGGMVRSIGGGQHGINLSSLDSQSAQANNKRF >Manes.18G082200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7543878:7553013:-1 gene:Manes.18G082200.v8.1 transcript:Manes.18G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACLLSCHVSIVDMNRLSPVTGLLPCLCSYKLSRDAHLVGFRFGVDLQRKERLKRKPKLVVSADLSRAFSLSLGLDSQSHDPSQLPWIGPVPGDIAEVEAYCRIFRTSERLHTALMDALCNPVTGECSVSYDYEEKPLLEDKIVSVLGCMLSLLNKEKRDVLSGRSSVMNSFHATDVSMMEDKLPPLAIFRSEMKRCCESLHVALENYLTLDDDRSLDVWRKLQRLKNVCYDAGFPRYDDYPCHTLFANWNPVYLSTSKEETAARNSEVAFYRGGQVTEESLNWLVEKGFKTIIDLRAETIKDNFYQAAVDAAILSGKVELIKIPVEVRTAPSVEQVEKFASLVSDCSKRPIYLHSKEGAWRTSAMISRWRQYMTRSASYFSTPSDMVPKGTNETREFQAPSIAGEKSLLEQKNGSLQEVVDELNGSNGVSHEVISQIKDEMDQSLNGAYNDLVSDQGTTFIKTFDNVGRYSANICRETDPLKAQIPPCDIFSKAEMSRFFRTKRISPPTYSNYQFIKSNKLPFSREKRVRMVQTSEIVNTDDVSGLEKTKGSNGSVSIENLSSKLESSSVETQSHLKSGSLASIESDLYAFGEEKIYSVPETNVNATWKGSLNKHDSKYVEEVHTKNGVKSGFSDDEMGSIEGDMCASATGVVRVQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGQELMEEAKEVASYLCHQEKMNVLVEPEVHDIFARIPGFGFIQTFYSQDTSELHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHSFEDFKQDLRRVIHGNNTLDGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIIATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRIYMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >Manes.18G082200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7543878:7553013:-1 gene:Manes.18G082200.v8.1 transcript:Manes.18G082200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALCNPVTGECSVSYDYEEKPLLEDKIVSVLGCMLSLLNKEKRDVLSGRSSVMNSFHATDVSMMEDKLPPLAIFRSEMKRCCESLHVALENYLTLDDDRSLDVWRKLQRLKNVCYDAGFPRYDDYPCHTLFANWNPVYLSTSKEETAARNSEVAFYRGGQVTEESLNWLVEKGFKTIIDLRAETIKDNFYQAAVDAAILSGKVELIKIPVEVRTAPSVEQVEKFASLVSDCSKRPIYLHSKEGAWRTSAMISRWRQYMTRSASYFSTPSDMVPKGTNETREFQAPSIAGEKSLLEQKNGSLQEVVDELNGSNGVSHEVISQIKDEMDQSLNGAYNDLVSDQGTTFIKTFDNVGRYSANICRETDPLKAQIPPCDIFSKAEMSRFFRTKRISPPTYSNYQFIKSNKLPFSREKRVRMVQTSEIVNTDDVSGLEKTKGSNGSVSIENLSSKLESSSVETQSHLKSGSLASIESDLYAFGEEKIYSVPETNVNATWKGSLNKHDSKYVEEVHTKNGVKSGFSDDEMGSIEGDMCASATGVVRVQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGQELMEEAKEVASYLCHQEKMNVLVEPEVHDIFARIPGFGFIQTFYSQDTSELHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHSFEDFKQDLRRVIHGNNTLDGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIIATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRIYMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >Manes.16G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32288453:32290486:1 gene:Manes.16G119600.v8.1 transcript:Manes.16G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIPAPVPSPAPAPLLNLPSGLGFDESTPPWMSKADNAWQLTAATLVGLQSIPGLVILYGGGVKKKWAVNSAFMVIYAFACVLFCWVSWGYRMSFGEELVPFWGKANIALEQKFLLGPAFIGKFPSATMVFFQSVFASITLILIAGALLGRMNFYAWMMFVPLWLTFSYTFVAFSIWCPEGFLFKKGLIDYSGGFVIHLSAGVAGFTAAYWVGPRLTKDRERFPPNNIILMLAGAGFLWMGWTGFNGGDPYAASTDASLAVLNTHLCTATSLLTWLALDILYLGKASVIGAVQGMITGLVCITPAAGVVQGWAAVIMGLCSGSIPWFTMMVVHKKSKLLQKVDDTMAVFHTHAIAGSLGGILTGLFAEPHLCNLFAGSYGQFVGLFYGFQMRQVGTGLRQVGIQLLGILYVMTINVIVTSLICVVIQLVVPLRMSDEDMEIGDEAAHGEEAYAIWGDGYRHESYLGDELPATKRKAATGQVEMT >Manes.11G115100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27117154:27122913:-1 gene:Manes.11G115100.v8.1 transcript:Manes.11G115100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLLPSRAGGFSFLNGCRRGFNYQQKFNLVNVKLKWVKDKALDAVVAGQGDLRATCILISIISSAPKCCLPIYRLTPHRGQLGLPHDLKLSTFIRRYPTIFNESHILDSGGTRVPCFQLTSEALNIHQQELHILEQNQMDLIGRLSKLLMLTRDRTLPLQTIDQLKWDMGLPYDYYNTLVPHHPDLFSSIRLPDDRIGLKLQIWDDRLAVSQLQKNVAFQQKEDVKNGCLAFPIGFTRGFGLKRKCMEWLKEWQSLPYTSPYFDASHLDQRTDVSEKRIVGVFHELLHLTIEKKTERKNVSNLRKPLSLPQKFTKVFERHPGIFYISKKCDTQTVVLREAYDGQQLLHKHPLVDIREKYAGLMRSGFLDRSRGLYKDKTSPNLEGSSNIVGFEEKSDCNSHSEHVVNDRISDPF >Manes.11G115100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27117132:27122962:-1 gene:Manes.11G115100.v8.1 transcript:Manes.11G115100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLLPSRAGGFSFLNGCRRGFNYQQKFNLVNVKLKWVKDKALDAVVAGQGDLRATCILISIISSAPKCCLPIYRLTPHRGQLGLPHDLKLSTFIRRYPTIFNESHILDSGGTRVPCFQLTSEALNIHQQELHILEQNQMDLIGRLSKLLMLTRDRTLPLQTIDQLKWDMGLPYDYYNTLVPHHPDLFSSIRLPDDRIGLKLQIWDDRLAVSQLQKNVAFQQKEDVKNGCLAFPIGFTRGFGLKRKCMEWLKEWQSLPYTSPYFDASHLDQRTDVSEKRIVGVFHELLHLTIEKKTERKNVSNLRKPLSLPQKFTKVFERHPGIFYISKKCDTQTVVLREAYDGQQLLHKHPLVDIREKYAGLMRSGFLDRSRGLYKDKTSPNLEGSSNIVGFEEKSDCNSHSEHVVNDRISDPF >Manes.11G115100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27117132:27123014:-1 gene:Manes.11G115100.v8.1 transcript:Manes.11G115100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLLPSRAGGFSFLNGCRRGFNYQQKFNLVNVKLKWVKDKALDAVVAGQGDLRATCILISIISSAPKCCLPIYRLTPHRGQLGLPHDLKLSTFIRRYPTIFNESHILDSGGTRVPCFQLTSEALNIHQQELHILEQNQMDLIGRLSKLLMLTRDRTLPLQTIDQLKWDMGLPYDYYNTLVPHHPDLFSSIRLPDDRIGLKLQIWDDRLAVSQLQKNVAFQQKEDVKNGCLAFPIGFTRGFGLKRKCMEWLKEWQSLPYTSPYFDASHLDQRTDVSEKRIVGVFHELLHLTIEKKTERKNVSNLRKPLSLPQKFTKVFERHPGIFYISKKCDTQTVVLREAYDGQQLLHKHPLVDIREKYAGLMRSGFLDRSRGLYKDKTSPNLEGSSNIVGFEEKSDCNSHSEHVVNDRISDPF >Manes.06G110000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24233115:24233975:1 gene:Manes.06G110000.v8.1 transcript:Manes.06G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTNSPCACTCFPILTSHVAHQSNNAIANAIIINKTKPETHQETSYLFSLFLFFFFFCKSPVMNLSGTTTVTRTVLLFFFCVFLCSCIFVYYANNESATNWPAFCASTILVVGLLLTSTLVVVAARATVLAWITVLVLLAFAGKRRGVLVQQGRKITTDVVMYLFKDCA >Manes.07G027250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2970839:2973959:1 gene:Manes.07G027250.v8.1 transcript:Manes.07G027250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHYNFLQLSGMLVLFISLLALTKPAMGTDDDDDDIPGDFNRNYFPDDFIFGTATSAYQIEGEATAKGRAPSVWDIFSKETPDRILDGSNGDVAVDFYNRYIQDIKNVKKMGFNAFRMSISWSRVIPSGRRREGVNEEGIQFYNDVINEIISNGLEPFVTIFHWDTPQALQDKYGGFLSRDIVYDYLQYADLLFERFGDRVKRWMTFNEPSAYVGFAHDDGVFAPGRCSSWVNRQCLAGDSATEPYIVAHNLLLSHAAAVHQYRKYYQGTQKGKIGITLFTFWYEPLSDSKVDVQAAKTALDFMFGLWMDPMTYGRYPRTMVDLAGDRLIGFTDEESQLLRGSYDFVGLQYYTAYYAEPIPPVDPKFRRYKTDSGVNSTPYNLNGNLIGPQAYSSWFYIFPKGIRHFLNYTKDTYNDPVIYVTENGVDNYNNESQPIEEALQDDFRISYYKKHMWNALGSLKNYGVKLKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRYPKKSAHWFTKFLNISVNANNIYELTSKDSRKVGKFYVM >Manes.07G019700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2195171:2195539:-1 gene:Manes.07G019700.v8.1 transcript:Manes.07G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTHLFTKTLSSTDVKSALSVPCYALEFFPIPQGDHVMQFEAVDITGFIWRFRLSTRCTGRYPKPVLLRSLWHFFVEKKGLVAGDRVMFFGEHDHENGTRYSVGTQRKIGRLFGKDLWVDV >Manes.10G102100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25000197:25002694:1 gene:Manes.10G102100.v8.1 transcript:Manes.10G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKANSSWLFPPHCTGQSQNLNCMSTSVEAVQPGYLPACANSGLYTLSANVMMPGVEDPSLPSLNSQQSNGYGELPHSLPPFFQNSFPAICPCPKENFPVFPNGFCGEASPNAIPGCQQKFAIFDQSGNETRLIYSAFLTADVKPTVAAIKPVGGSCLRNGENAAKMDQINQTVLKLPEVSDENNLSGEESEMHEDTEEINALLYSDDEDDEYTGGDEDDEYVGGGGGDDDDYDDEVTSTGHSPFLISSSGMRGHVEDITEEVAGSDGQNKRQKLLDGGCKRKSLADTASLTELAGVRGYVFDNEESSYAFGQNQVEERLVVWGNKQLKKDKIRATLKILESIIPGAKDKDPLLVLDVAIDYLKSLKFKAKTLGVNYF >Manes.09G049374.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10329090:10330556:-1 gene:Manes.09G049374.v8.1 transcript:Manes.09G049374.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSHQLHFILFPFMAQGHMIPMMDIARLLAHHGMIVTVITTPLNAQRFKPIISRDVESGLIIQFIELQFPGEEAGLPKDCENIDMLPSLGSGNEFFLSTYRLLEPVQRLLEELNPRPSCIISDMCLPYTAQVARKLGVPRLGFNGFCCFSTLCMLCLRTSKILESIKSENEYFVVPGLPDSIEITKKQLPRAMLHDWGAFSEQLVAAQEVTYGIILNSYEELEAAYAQEFKKVKRDKVWFIGPVSLFNKNNLDKVQRGNKSSIEESECFNWLDSQQPTSVIYVCFGSLCNLITSQLIELGSGLEASNRTFIWVLRGGEKSKEIEDWIVEDGFEERTKGRGLIIRGWAPQVAILQHPAIGGFLTHCGWNSTLEGICAGAPMVTWPLFGDQFFNERLVVDVLKIGVKVGTEATVTWGMEEKIGILVKREAVTKAIERLMDEGEEGEERRKRAKEFSVKARAAMEEDGSSYLNMKLLIQDIMRQKANVK >Manes.12G053000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4944205:4948915:-1 gene:Manes.12G053000.v8.1 transcript:Manes.12G053000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLRADDIPRACHRTGAPVRSVNMGRYRPSYNVSPGSNLPVVCRVDGSDGEGYALQCMTWGLIPSFTKKNEKPDFYKMFNARSESISEKASFRRLLAKSRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRSLVFAALYDSWQNSEGEILYTFTILTTSSSSALQWLHDRMPVILSNKEAIDTWLNGSSSSKFDVVLKPYENSDLVWYPVTSAMGKTSFDGPECIKEIHLKTEDQSTISKFFSKKETKREQEPNLQESTCDKAVNVNLQKSVKDEHGSGDKLEVPSSTQKLDDHIKSNVPIVVCEDKDETKRKPKRDYEEHLADSNTVKNDKMQLSPSRKKTNLKSGTGGDSDKQPTLLSYFNKR >Manes.12G053000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4944205:4948878:-1 gene:Manes.12G053000.v8.1 transcript:Manes.12G053000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRARCTLRADDIPRACHRTGAPVRSVNMGRYRPSYNVSPGSNLPVVCRVDGSDGEGYALQCMTWGLIPSFTKKNEKPDFYKMFNARSESISEKASFRRLLAKSRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRSLVFAALYDSWQNSEDRMPVILSNKEAIDTWLNGSSSSKFDVVLKPYENSDLVWYPVTSAMGKTSFDGPECIKEIHLKTEDQSTISKFFSKKETKREQEPNLQESTCDKAVNVNLQKSVKDEHGSGDKLEVPSSTQKLDDHIKSNVPIVVCEDKDETKRKPKRDYEEHLADSNTVKNDKMQLSPSRKKTNLKSGTGGDSDKQPTLLSYFNKR >Manes.02G153300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11913749:11918254:-1 gene:Manes.02G153300.v8.1 transcript:Manes.02G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKPHASTADLLTWPENPPADSPSVGSAPRSHQPSDGISKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAANGENDTSETGGATPTPNNKTGIRMYQQALAGISHISFGEEESVSPKKPTTLPEMAKQRELSGNLESEAERQARLQKQLSDAKCKELSGHDIFAPPPEILPRPTTVRALALKESIQLGEPAPRDVRTSVKVSNPAGGRMSSEDPVMKTAKKIYNQKFNDLSGNGIFKGDVTPSSAEKPLSLAKLQEISGSNIFADGKVEHRDYLGGVRKPPGGESSIALV >Manes.02G153300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11913749:11918254:-1 gene:Manes.02G153300.v8.1 transcript:Manes.02G153300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRKPHASTADLLTWPENPPADSPSVGSAPRSHQPSDGISKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAANGENDTSETGGATPTPNNKTGIRMYQQALAGISHISFGEEESVSPKKPTTLPEMAKQRELSGNLESEAERQARLQKQLSDAKCKELSGHDIFAPPPEILPRPTTVRALALKESIQLGEPAPRDPAGGRMSSEDPVMKTAKKIYNQKFNDLSGNGIFKGDVTPSSAEKPLSLAKLQEISGSNIFADGKVEHRDYLGGVRKPPGGESSIALV >Manes.12G148000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35436416:35441953:-1 gene:Manes.12G148000.v8.1 transcript:Manes.12G148000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPRKEATVLRGHEGAVLAARFNGDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTSDNSKLISCGGDRQIFYWDVATGRVIRKFRGHDSEVNAVKFNEYSSVVVSAGYDQSLRAWDCRSHSTEPIQIIDTFQDSVMSVCLTKTEMIAGSVDGTVRTFDIRIGREIVDDLGQPVNCISMSNDGNCILASCLDSTLRLLDRSTGELLQEYKGHTCKSYKLDCCLTNTDAHVTGGSEDGYIYFWDLVDATVVSSFRAHASVVTSVSYHPKDNCMITASVDSTIRVWKS >Manes.12G148000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35438256:35441763:-1 gene:Manes.12G148000.v8.1 transcript:Manes.12G148000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPRKEATVLRGHEGAVLAARFNGDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTSDNSKLISCGGDRQIFYWDVATGRVIRKFRGHDSEVNAVKFNEYSSVVVSAGYDQSLRAWDCRSHSTEPIQIIDTFQDSVMSVCLTKTEMIAGSVDGTVRTFDIRIGREIVDDLGQPVNCISMSNDGNCILASCLDSTLRLLDRSTGELLQEYKGHTCKSYKLDCCLTNTDAHVTGGSEDGYIYFWDLVDATVVSSFRAHASVTAVAGSEVEEVVLMMGLWSNRLPTSKRGTCKSLGIVGDQDALWGLGIVVNSLETSTNSQCFLKKKEKKR >Manes.10G062300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8722022:8722995:1 gene:Manes.10G062300.v8.1 transcript:Manes.10G062300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSSSSSFTSSSSWVLIEPSPSEFDGDLSLEVMSFSSSSMDSLDEPLSPLFSSSASELDSLESESAEEEEIENLKNSSSVKLLAGGGLFPPPISCLNLFKTGRPCTYLSFSEEDGSFALEKIRIPRRDMFIASREDGRLKLFFHQEDQEEDDEEEEEEAGDDQEEEEAEKGEEEGEEKEEN >Manes.04G065600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:26138638:26139825:1 gene:Manes.04G065600.v8.1 transcript:Manes.04G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFHGRSDSLPSRPHPLMSQLDDHICRLRASEATSTSSTSIPRMLNALPDLYDCVDKLLLLPLIQQALAQEQNRKWVDELLDGSLGLLDVCSSAKDALLQTKEYALDLQSTIRRRQGGDDGLATEARKYLTSRKLAKKAIQKALTNLKGLENKHSLSCIGGDNEIATIVTLLREVQATTLSVLKSFLSFISGPKSLSKPTHWSLVSKLMLHKRVASKEEETKENEFVMADDALESLVGCKTDCKYDNLMLMENVQSHLKKLEMCIQDFEDGCHILFRRMIKTRVSLLNMLIL >Manes.13G112800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31829106:31832095:-1 gene:Manes.13G112800.v8.1 transcript:Manes.13G112800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERMIIVREFDPSRDGVGVEEVERRCEVGPSGKLSLFTDLLGDPICRVRHSPAFLMLVAELGEEIVGMIRGCIKTVTCGKKLSRHVKNATPTSASASAININDPPKTLPVYTKLAYILGLRLSPSHRRMGIGLKLVLAMEEWFRENGAEYSYLATENDNHASVKLFTDKCGYSKFRTPAILVNPVFAHRVAVSNRVTIFQLSPHDAELLYRRRFSTTEFFPRDIDSVLNNKLNLGTFLAVPRGNYKSDSWPGFDKFLSDPPESWAVLSVWNCKDVFKLEVRGASRVKRTFAKTTRIVDKALPFLRLPSVPEVFRPFGLHFLYGLGGEGPRAVKMVKALCAHAHNLAKERGCGVVATEVSSREPLKLGIPHWKMLSCAEDLWCIKRLGEDYSDGYIGDWTKSPPGMAIFVDPREF >Manes.13G112800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31829106:31832377:-1 gene:Manes.13G112800.v8.1 transcript:Manes.13G112800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERMIIVREFDPSRDGVGVEEVERRCEVGPSGKLSLFTDLLGDPICRVRHSPAFLMLVAELGEEIVGMIRGCIKTVTCGKKLSRHVKNATPTSASASAININDPPKTLPVYTKLAYILGLRLSPSHRRMGIGLKLVLAMEEWFRENGAEYSYLATENDNHASVKLFTDKCGYSKFRTPAILVNPVFAHRVAVSNRVTIFQLSPHDAELLYRRRFSTTEFFPRDIDSVLNNKLNLGTFLAVPRGNYKSDSWPGFDKFLSDPPESWAVLSVWNCKDVFKLEVRGASRVKRTFAKTTRIVDKALPFLRLPSVPEVFRPFGLHFLYGLGGEGPRAVKMVKALCAHAHNLAKERGCGVVATEVSSREPLKLGIPHWKMLSCAEDLWCIKRLGEDYSDGYIGDWTKSPPGMAIFVDPREF >Manes.04G051500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10149411:10168363:1 gene:Manes.04G051500.v8.1 transcript:Manes.04G051500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSVADDVKVVAHLSNGNSFDCQILAFGFHYYIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIPEEKPFQLCPHSKSFNLIPGDTLIALGRYFIKPYDVMATIGEFSLNRCEYDCKELLRVNCQITREWSAQMTIDYSSTQDHVILHCYISKHLIGPKSCGMIHKRLV >Manes.12G142100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34827072:34829835:-1 gene:Manes.12G142100.v8.1 transcript:Manes.12G142100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESEDAHQQARGNSHYKNVNSHVNFPSQSNRKSSLLYRFFPFTISISSSTISFFFFFFSGKKDPMFEFGDEFTIESYRIPWLIWIQIIILFLLIFLFFSFSVFTSDPSQSHYNTKTPSSATSPSPSSLASVSAVSNSNKPLLNHSTTTTVANRLQHNQVGESQSIKGEIATGTSRRIVTEVNTESQCISASSIPHLHLCNYFRLAKLAFLKCLGLDTSSDNSTSCDRKKER >Manes.08G063200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8647605:8656033:1 gene:Manes.08G063200.v8.1 transcript:Manes.08G063200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRVSALLLSVLVLGCGYCFDLGHGRQLNLLRMRIPGDPSDCKGFQNSVEIESLARFAVQEHNKKQNALLEFVRVLKVKEQVVAGKLYYLTLEAIDVGHKKWYEAKVWVKPWTNFKQLEEFKHAESDLSFTPSDLGVIQGN >Manes.02G115500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8880350:8883748:-1 gene:Manes.02G115500.v8.1 transcript:Manes.02G115500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDLKALENRPGIFMIGSPNVGKRSLLSRLLSVDFEDASGSSSEVLAHGWTINTKYYTADVSVWMAHLHDEFSVRTLPIFKRLAALVMIFDMSDLSSLIALQDWVSRNDIQKFEILLCIGNKVDLIPGHPVHAEYRRRLQKLEDSSADPHTGFYEFGISETEGSSLLGGEDPDVEIKRSCLEWCTEHNIEYIEACAANADFDKCLSADGDSQGVERLFGALSAHMWPGMILKSGNNITQATFPNKEDLSEEESDYEVEYEVLSAGSAEPWDDTHVGWVSANGTSSVSHIRGSVDWNNRITEHDEDNWKKLDNEELQPSTSMMALQDDKEVVSKAADPNKDTEINEITPFDFEDLEQMMSEIGNMRNNLRFMPDFQRREMAAELAMKMAAMFGGGSDDEEDLD >Manes.02G115500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8880350:8883766:-1 gene:Manes.02G115500.v8.1 transcript:Manes.02G115500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDLKALENRPGIFMIGSPNVGKRSLLSRLLSVDFEDASGSSSEVLAHGWTINTKYYTADVSVWMAHLHDEFSVRTLPIFKRLAALVMIFDMSDLSSLIALQDWVSRNDIQKFEILLCIGNKVDLIPGHPVHAEYRRRLQKLEDSSADPHTGFYEFGISETEGSSLLGGEDPDVEIKRSCLEWCTEHNIEYIEACAANADFDKCLSADGDSQGVERLFGALSAHMWPGMILKSGNNITQATFPNKEDLSEEESDYEVEYEVLSAGSAEPWDDTHVGWVSANGTSSVSHIRGSVDWNNRITEHDEDNWKKLDNEELQPSTSMMALQDDKEVVSKAADPNKDTEINEITPFDFEDLEQMMSEIGNMRNNLRFMPDFQRREMAAELAMKMAAMFGGGSDDEEDLD >Manes.02G115500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8880350:8883766:-1 gene:Manes.02G115500.v8.1 transcript:Manes.02G115500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDLKALENRPGIFMIGSPNVGKRSLLSRLLSVDFEDASGSSSEVLAHGWTINTKYYTADVSVWMAHLHDEFSVRTLPIFKRLAALVMIFDMSDLSSLIALQDWVSRNDIQKFEILLCIGNKVDLIPGHPVHAEYRRRLQKLEDSSADPHTGFYEFGISETEGSSLLGGEDPDVEIKRSCLEWCTEHNIEYIEACAANADFDKCLSADGDSQGVERLFGALSAHMWPGMILKSGNNITQATFPNKEDLSEEESDYEVEYEVLSAGSAEPWDDTHVGWVSANGTSSVSHIRGSVDWNNRITEHDEDNWKKLDNEELQPSTSMMALQDDKEVVSKAADPNKDTEINEITPFDFEDLEQMMSEIGNMRNNLRFMPDFQRREMAAELAMKMAAMFGGGSDDEEDLD >Manes.10G071301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:12355467:12355802:-1 gene:Manes.10G071301.v8.1 transcript:Manes.10G071301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLCWNCRRLGNPRTVNALKDLASSYKPDFLFLMETKALSYSMEFFRSFLHFDGCFSVNRQGSGGGLSLMWKSHVSVSVVGFSSNFIDSVVLEGNVQWRFTGYYGFPESQ >Manes.02G202100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731437:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731437:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731634:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731111:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731204:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724279:18731636:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731634:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731640:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731239:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731437:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731239:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724279:18731606:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724279:18731636:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731357:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731239:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724279:18731612:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724279:18731589:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724294:18731207:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731634:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSGFIERA >Manes.02G202100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724295:18731640:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.02G202100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18724279:18731636:-1 gene:Manes.02G202100.v8.1 transcript:Manes.02G202100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKIQTASASSSSSSPSSSPEWQYDVFLSFRGQDTRKSFTDHLYASLNQKGIIAFRDDPSLKRGKEIEPEIMKAIEESRFSIVIFSRNYASSSWCLDELVQIHECMNTKGQIIFPIFYNVDPSDVQEQTGYFEKAFAKHEEDYGQNAEKVNKWRTAVTKISNLSGWDSNNRHETELIRDIVEEIFARLDHALSAPAKNLIGIDYHVEELNSCLAMWSEDVRIVGIHGMGGIGKTTLARVIFDRLSNQFESSSFLANVREVSRRNGLLVLQNQLLCEILKAQDIKVWDIGRGSNMIRNRLSRKRVLVVLDDVDKLDQLETLVGKHNWFGSGSRIIITTRDVHLLAGFDVDAMYKMEVLDHDYALKLFSSKAFKSDNPAEGFIDLCNEALRYAKGVPLALEVLGSFLYGKTLDEWISALERLKEDSEEEILDSLEISFNGLKPTEKKIFLDVACFFKGMDKHYVMNLLDSFGFYAAIGIRVLIDKSLLTIVENNRLWMHDLLQEMGQKIVCKESPDEPGKRSRLWDDEDVYHVLTENSGTAAVEMMTFNLLRQKEVNLSAKAFSQMKKLRLLKISNVQLSKGLEFLSNELRLLEWHGYPLKSLPLCFNPEKLVELNMPYSCIKHLWNDNITLYMLKFVNLSHSQALRRIPDLSGLPNLEKLVLEGCTSLLEVHPSIWLLRRLILVNVKDCICLQTLPISIEMPCLQVLIFSGCFKLKKFPEIKGNMNKLSELYLDGTAIQELPLSIRRLSGLVLLSLKNCKNILSLPSSICHFISLKTLNISGCSTLDKLPEKLGNVESLEELDISGTAIRQLPPSIVFLKNLKTLSFHGCGVQPRQPWSSLFRYLMLPRKSADSVSLLLPPLSSLRSLTFLNLSNCNLLEGAIPGDIGHLSSLKKLDLSDNELVRLPESISQLSSLEALHLEGCSRLQKLPKLPAKVEFVGADDCIELESFPNPVELSTSELSRFNLFNCHRLVDHHNDSSWAWTWLKTYLKGLPRPTNGFDVCLPGSEIPEWFKNQSMGPSVSIDLLPHWNDNDLMGFAMCAVFRLRRPVSSNYLDKYRRSEYDRPKNVVLACFFMNDDDEFLFHNHASAWFPSCSLVKNCAQIGSDHLWLLYRSSQLDRDSLKELKRIKVSFWSQPRSDWDLEIRKSAARLVYAQDLEELHQTIISNSSIEDMDIFCNNPDEPESVGSRSFTEERPPKRFRKI >Manes.11G137200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30245120:30246917:-1 gene:Manes.11G137200.v8.1 transcript:Manes.11G137200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHSNSTFPLADFVLGHHPSSPDGTAPSAFLSVSGQFPPPAHLIIPDQLPGFEMAGSSTTSGCSSYGSPSSLASCGTTHQPSLIQRSISSHSLQKNGYHCHFTCPQDFLDMDTSHVRRVFSTGDLQHGHKADSPLSSESSVIIESMSKACKYSPEEKKERIEKYRSKRTQRNFTKKIKYACRKTLADSRPRIRGRFARNDEIEKNVQAQWSNISGEEDNEDYDDNWIDFLDAFSANSIP >Manes.04G108400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31243948:31246568:-1 gene:Manes.04G108400.v8.1 transcript:Manes.04G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYKAIPKNSYIELQLHHDTETPIHQRKAYLKSLSLNDGENFRDPRIENAKILDDNDGGNGNNDFDIENYPLLLAKSNNGSGIYGAIFNLTTTIIGAGIMALPATMKVLGLVLGVVLIILMGILSEISVELLVRFSALCKASSYVEVVQCALGKTAKVLSEICIIVNNAGVLVVYLIIIGEVMSGSPHHVGVFVQWLGHGVWDHRKLVILFVVVVFLAPLCSLDKIDSLSLTSAASVALAIIFVVVCFVVAFIKLVEGKIEAPKMIPDFGSMRAIVDLLVVIPIMTNAYICHYNVQPIYNELEGRSPQKMNRVGRITTVLCITVYASTAISGYLLFGSDTEADVLTNFDTDLGIPFSSALDYIVRVGYVIHLVLVFPVVHFSLRHTVDAMVFKGSAPLSESRKRSLALTAVLLGLIYFGSTMVPNIWTAFKFTGATAAVSLGFIFPSLIALRLSHRGDVLSRREKLLSRFMLIMAIMVSIIGVIGNIYSLKSQPN >Manes.13G076424.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19815537:19822632:-1 gene:Manes.13G076424.v8.1 transcript:Manes.13G076424.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASITMPHSPLLRLRLPCDSNKIVSLQNSLSFPFSRSNLSRRLLLSVRSTSSAAVPATEGIAPAISLTDSALKHLNKMRKERDEDLCLRIGVKQGGCSGMSYTMEFENRANARPDDSIIEYNGFVIVCDPKSLLFLFGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFAAEM >Manes.13G083400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14472119:14475814:-1 gene:Manes.13G083400.v8.1 transcript:Manes.13G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILLPPGFRFHPTDVELVKYYLKRKVMGKNLHFEAIAEVDIYKFAPWDLPNKSCLQTGDLKWYFFCPREKKYASGTRMNRATGLGYWKTTGKDRSVHYNNEVVGMIKTLVFHRGKAPKGERTDWVMYEYRLDEKELDLRGVAQDAYVLCSVFKKDGPGPRNGAQYGAPFKEEDWDVDDAEEVNNAEVVSSVGMSAPMPICQNNSIVRSPYVPDGTCFGSPYEVPSDSNTTAVVADSYVELAEPPQLGNENDDILAMLANFSEESPFIPNETKLNEVDNIKDGGNNVANPCSDGIDIYNDLADLSNLVGFGEPEYNVSGDPWCSTMDQMQGSDKVHFLELRDLDAPLNCSTEDFGYEQVNIGGFYAPNPCNSAELVHGTAKLHQIHEDEKSQF >Manes.08G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38936435:38944255:1 gene:Manes.08G153700.v8.1 transcript:Manes.08G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVDSQKDGGLGVLGGCVRSLVRRKQVDSAHVKAKSHHQLAKELSVPHLIAIGVGSTIGAGVYILVGTVAREHSGPALTISFLIAGIAAALSAFCYAELSSRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLAFFFGGQDSLPGFLARHYIPGLDIVVDPVAAILVFIVTGLLCVGIKESTLVQGIVTTVNVCAMVFVIIAGSYLGFRTGWTGYGLPTGYFAFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGLALSICCTLYMLVSVVIVGLVPYYQLNADTPITSAFAEHGMQWAAYVITAGAVMALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKSTQVPVKSTLATGFGAATLAFFMDVDQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQDTIDFVSLRYSVNSKDSYSEAEKSEVGGGSPSTLHSVTLPLLGKKKAVVDYPVIVKQEAQGNYVLKEEKRRKIAGWTIAFTCIGAFLLTYAASNLNLPGLVRFTICGIGGALLLFGLIVLTLIEQDDARHSFGHSGGFICPFVPLLPIICILINIYLLINLGAATWTRVSIWLIIGVLVYVFYGRTHSSLLDAVYVPASHADEIYRSSGDSLA >Manes.07G060708.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10604788:10618263:-1 gene:Manes.07G060708.v8.1 transcript:Manes.07G060708.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKIYHGRLAAGSFNKLEILQVRDCQRLTHLFSLSAAKCLLQLRKMEVRDCPRMEAIIIDESENSNEVFEFEFNPLRSLNLKNLPNLRKFHSKMKMPKKIEEFLSERESGTHLSLFSRTVSFPNLEHLQLDSISCEKIWHDQLSATSSKLESLFVNDCNELNHLFTTSIVKRLLQLKTLNISSCTSMEEIILTEEFIEQEDERMNQILFPKLDRLTLLYLPKLIRFCTGYQIEFQSLRYLNISRCDALMCLVPHTGMMEKQDNTEMNQNQNENAEIQSLFNGMVSFPNLERLSISGLNELKRIWHSPLVANSFFKLKSLNVSDCQKLMAVFLSNDLERFRRIEELDVSHCASLQEIYQLEGFNVDEAFELRKLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSRCDVLNYLFPTSIAEGLLQLEELTITRCGVEKIIAKAEDVEQASYYCFKFPRLTSLELTDLSELRSWYPGTHISELQKLTSLKVHNCHKIIKFSFQEIEEEGRRPLLFLKKMSPNLEELTLEHKDLIEIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVQGHNTSEELFSYEGLVGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVFDCDSLINIAPSSSSFQNLATLEVRYCAGLANLITASTAKSMVQLTKMTVRRCNMMTEIVTSDGDDHTEDEIINFDKLKFLELDDLPHLISFCSGNNAFNFPALENVTVNRCSRMKIFAFGLLNTPKLRGILLGDQQHWEGNLNATLAEMTFCQYFKASEFPELWHDGMQGRLLRNVKRLMVDKCAMYNKAIPSNVLVFLNKLEKLEVKGCDSADVVFDLEGLSADDGLLPQLCELKLTSLPMLRHLWTKEPQGILEFKNLRLLQVGNCSSLTYIFTESIALCLLQLEKIEIYNCKMIEGIIEKEEAADKMILLPSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFVTQTLVNTIDEVHRPFQMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFSNLERFEVLDSSLNELLPFEGLVGNQEDITTIPQIRDLKLKNLLDLKHIWNPDGQLHDPLFQSLETFEIESCGNLIVLAPSSVSLGNLKTLHVYRCNTLAIIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLKLEDLQNLTGFSLGSYTFNFQSLVQVNVFRCPKLRIFTVRPLSAPKIHGVFTGKRLIPTFHWEGDLNATIEQIYMKYIGFKGIDDIQLSNFPMLKEKWHGQFPFENLEYLRKLVVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGEVGLSKSLGELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLLKLKHLELKRCNLVKHIITKEAEEEIAKDNTIFPSMETMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKVCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDTSFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERFEKIKIEECELIQEVIVAEVDKEEQENEICFNQLKYLELRRLPSLSSFCSGNLTFNFPCLEEVILVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWGGSLNNTIQALFKEKKAEETEIGQCSYG >Manes.07G060708.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10604513:10618263:-1 gene:Manes.07G060708.v8.1 transcript:Manes.07G060708.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKIYHGRLAAGSFNKLEILQVRDCQRLTHLFSLSAAKCLLQLRKMEVRDCPRMEAIIIDESENSNEVFEFEFNPLRSLNLKNLPNLRKFHSKMKMPKKIEEFLSERESGTHLSLFSRTVSFPNLEHLQLDSISCEKIWHDQLSATSSKLESLFVNDCNELNHLFTTSIVKRLLQLKTLNISSCTSMEEIILTEEFIEQEDERMNQILFPKLDRLTLLYLPKLIRFCTGYQIEFQSLRYLNISRCDALMCLVPHTGMMEKQDNTEMNQNQNENAEIQSLFNGMVSFPNLERLSISGLNELKRIWHSPLVANSFFKLKSLNVSDCQKLMAVFLSNDLERFRRIEELDVSHCASLQEIYQLEGFNVDEAFELRKLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSRCDVLNYLFPTSIAEGLLQLEELTITRCGVEKIIAKAEDVEQASYYCFKFPRLTSLELTDLSELRSWYPGTHISELQKLTSLKVHNCHKIIKFSFQEIEEEGRRPLLFLKKMSPNLEELTLEHKDLIEIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVQGHNTSEELFSYEGLVGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVFDCDSLINIAPSSSSFQNLATLEVRYCAGLANLITASTAKSMVQLTKMTVRRCNMMTEIVTSDGDDHTEDEIINFDKLKFLELDDLPHLISFCSGNNAFNFPALENVTVNRCSRMKIFAFGLLNTPKLRGILLGDQQHWEGNLNATLAEMTFCQYFKASEFPELWHDGMQGRLLRNVKRLMVDKCAMYNKAIPSNVLVFLNKLEKLEVKGCDSADVVFDLEGLSADDGLLPQLCELKLTSLPMLRHLWTKEPQGILEFKNLRLLQVGNCSSLTYIFTESIALCLLQLEKIEIYNCKMIEGIIEKEEAADKMILLPSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFVTQTLVNTIDEVHRPFQMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFSNLERFEVLDSSLNELLPFEGLVGNQEDITTIPQIRDLKLKNLLDLKHIWNPDGQLHDPLFQSLETFEIESCGNLIVLAPSSVSLGNLKTLHVYRCNTLAIIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLKLEDLQNLTGFSLGSYTFNFQSLVQVNVFRCPKLRIFTVRPLSAPKIHGVFTGKRLIPTFHWEGDLNATIEQIYMKYIGFKGIDDIQLSNFPMLKEKWHGQFPFENLEYLRKLVVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGEVGLSKSLGELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLLKLKHLELKRCNLVKHIITKEAEEEIAKDNTIFPSMETMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKVCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDTSFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERFEKIKIEECELIQEVIVAEVDKEEQENEICFNQLKYLELRRLPSLSSFCSGNLTFNFPCLEEVILVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWGGSLNNTIQALFKEKKAEETEIGQCSYG >Manes.07G060708.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10604753:10618263:-1 gene:Manes.07G060708.v8.1 transcript:Manes.07G060708.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKQDNTEMNQNQNENAEIQSLFNGMVSFPNLERLSISGLNELKRIWHSPLVANSFFKLKSLNVSDCQKLMAVFLSNDLERFRRIEELDVSHCASLQEIYQLEGFNVDEAFELRKLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSRCDVLNYLFPTSIAEGLLQLEELTITRCGVEKIIAKAEDVEQASYYCFKFPRLTSLELTDLSELRSWYPGTHISELQKLTSLKVHNCHKIIKFSFQEIEEEGRRPLLFLKKMSPNLEELTLEHKDLIEIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVQGHNTSEELFSYEGLVGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVFDCDSLINIAPSSSSFQNLATLEVRYCAGLANLITASTAKSMVQLTKMTVRRCNMMTEIVTSDGDDHTEDEIINFDKLKFLELDDLPHLISFCSGNNAFNFPALENVTVNRCSRMKIFAFGLLNTPKLRGILLGDQQHWEGNLNATLAEMTFCQYFKASEFPELWHDGMQGRLLRNVKRLMVDKCAMYNKAIPSNVLVFLNKLEKLEVKGCDSADVVFDLEGLSADDGLLPQLCELKLTSLPMLRHLWTKEPQGILEFKNLRLLQVGNCSSLTYIFTESIALCLLQLEKIEIYNCKMIEGIIEKEEAADKMILLPSLKSVVLKCLPRFSRLCSGWSNVECPLLEEMSIHECPSLKNIFVTQTLVNTIDEVHRPFQMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFSNLERFEVLDSSLNELLPFEGLVGNQEDITTIPQIRDLKLKNLLDLKHIWNPDGQLHDPLFQSLETFEIESCGNLIVLAPSSVSLGNLKTLHVYRCNTLAIIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLKLEDLQNLTGFSLGSYTFNFQSLVQVNVFRCPKLRIFTVRPLSAPKIHGVFTGKRLIPTFHWEGDLNATIEQIYMKYIGFKGIDDIQLSNFPMLKEKWHGQFPFENLEYLRKLVVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGEVGLSKSLGELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLLKLKHLELKRCNLVKHIITKEAEEEIAKDNTIFPSMETMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKVCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDTSFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERFEKIKIEECELIQEVIVAEVDKEEQENEICFNQLKYLELRRLPSLSSFCSGNLTFNFPCLEEVILVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWGGSLNNTIQALFKEKKAEETEIGQCSYG >Manes.07G060708.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10604774:10618263:-1 gene:Manes.07G060708.v8.1 transcript:Manes.07G060708.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKQDNTEMNQNQNENAEIQSLFNGMVSFPNLERLSISGLNELKRIWHSPLVANSFFKLKSLNVSDCQKLMAVFLSNDLERFRRIEELDVSHCASLQEIYQLEGFNVDEAFELRKLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSRCDVLNYLFPTSIAEGLLQLEELTITRCGVEKIIAKAEDVEQASYYCFKFPRLTSLELTDLSELRSWYPGTHISELQKLTSLKVHNCHKIIKFSFQEIEEEGRRPLLFLKKMSPNLEELTLEHKDLIEIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVQGHNTSEELFSYEGLVGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVFDCDSLINIAPSSSSFQNLATLEVRYCAGLANLITASTAKSMVQLTKMTVRRCNMMTEIVTSDGDDHTEDEIINFDKLKFLELDDLPHLISFCSGNNAFNFPALENVTVNRCSRMKIFAFGLLNTPKLRGILLGDQQHWEGNLNATLAEMRVDQYFKASEFPELWHDGMQGRLLRNVKRLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVMFDLEGVSADDGLLPRLKKLQLTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIENEEAADKVILLPSLKSVVLKCLPRFSRLCSGWSNVECPLLKEMSIHECPSLKNIFATQTLVNTIDEFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFSNLERFEVLDSSLNELLPFEGLVGNQEDITTIPQIRDLKLKNLLDLKHIWNPDGQLHDPLFQSLETFEIESCGNLIVLAPSSVSLGNLKTLHVYRCNTLAIIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLKLEDLQNLTGFSLGSYTFNFQSLVQVNVFRCPKLRIFTVRPLSAPKIHGVFTGKRLIPTFHWEGDLNATIEQIYMKYIGFKGIDDIQLSNFPMLKEKWHGQFPFENLEYLRKLVVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGEVGLSKSLGELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLLKLKHLELKRCNLVKHIITKEAEEEIAKDNTIFPSMETMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKVCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDTSFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERFEKIKIEECELIQEVIVAEVDKEEQENEICFNQLKYLELRRLPSLSSFCSGNLTFNFPCLEEVILVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWGGSLNNTIQALFKEKKAEETEIGQCSYG >Manes.07G060708.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10604513:10618263:-1 gene:Manes.07G060708.v8.1 transcript:Manes.07G060708.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKIYHGRLAAGSFNKLEILQVRDCQRLTHLFSLSAAKCLLQLRKMEVRDCPRMEAIIIDESENSNEVFEFEFNPLRSLNLKNLPNLRKFHSKMKMPKKIEEFLSERESGTHLSLFSRTVSFPNLEHLQLDSISCEKIWHDQLSATSSKLESLFVNDCNELNHLFTTSIVKRLLQLKTLNISSCTSMEEIILTEEFIEQEDERMNQILFPKLDRLTLLYLPKLIRFCTGYQIEFQSLRYLNISRCDALMCLVPHTGMMEKQDNTEMNQNQNENAEIQSLFNGMVSFPNLERLSISGLNELKRIWHSPLVANSFFKLKSLNVSDCQKLMAVFLSNDLERFRRIEELDVSHCASLQEIYQLEGFNVDEAFELRKLNISGLGSLKHVWRKDPQGVFSFQNLKSVKVSRCDVLNYLFPTSIAEGLLQLEELTITRCGVEKIIAKAEDVEQASYYCFKFPRLTSLELTDLSELRSWYPGTHISELQKLTSLKVHNCHKIIKFSFQEIEEEGRRPLLFLKKMSPNLEELTLEHKDLIEIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVQGHNTSEELFSYEGLVGEEEEHARTLARVKNLKLQSVYNLKHIWDPDSGLKPLLQYLETLTVFDCDSLINIAPSSSSFQNLATLEVRYCAGLANLITASTAKSMVQLTKMTVRRCNMMTEIVTSDGDDHTEDEIINFDKLKFLELDDLPHLISFCSGNNAFNFPALENVTVNRCSRMKIFAFGLLNTPKLRGILLGDQQHWEGNLNATLAEMRVDQYFKASEFPELWHDGMQGRLLRNVKRLEVDKCAMYNKAIPSNVLVFLNKLEELEVKDCDSADVMFDLEGVSADDGLLPRLKKLQLTSLPMLRHLWNKDPIGILEFKNLKWLHVGNCSSLKYIFTWSMALCLMQLEKIEIYNCKMIEGIIENEEAADKVILLPSLKSVVLKCLPRFSRLCSGWSNVECPLLKEMSIHECPSLKNIFATQTLVNTIDEFHKMFPNLEKFSLDKKSTITILGFQFPTGFFSKVKVLELSFFLNKYHVPLFSLLPIFSNLERFEVLDSSLNELLPFEGLVGNQEDITTIPQIRDLKLKNLLDLKHIWNPDGQLHDPLFQSLETFEIESCGNLIVLAPSSVSLGNLKTLHVYRCNTLAIIFTSAAAKSMVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLKLEDLQNLTGFSLGSYTFNFQSLVQVNVFRCPKLRIFTVRPLSAPKIHGVFTGKRLIPTFHWEGDLNATIEQIYMKYIGFKGIDDIQLSNFPMLKEKWHGQFPFENLEYLRKLVVDECAFFSNAISSNLLKHLHLLKKLAVERCDSVEELFELEGLNADEGEVGLSKSLGELRLIDLPRLRHVWNKDPQGILSFRNLTLLKVENCSSLTNIFTLSMASGLLKLKHLELKRCNLVKHIITKEAEEEIAKDNTIFPSMETMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKVCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELRVECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILEKLVLSDTSFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERFEKIKIEECELIQEVIVAEVDKEEQENEICFNQLKYLELRRLPSLSSFCSGNLTFNFPCLEEVILVECPNMKIFAQEVSTPQLWRVQTGERKYEWEWGGSLNNTIQALFKEKKAEETEIGQCSYG >Manes.02G218860.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:33816456:33816774:1 gene:Manes.02G218860.v8.1 transcript:Manes.02G218860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQYIAANSVFHERNKHLHINCHILRNQLAKGFISTMHVSSKNQIAYLFTKLLVAFAFHHLLSKM >Manes.14G039100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3385097:3386877:1 gene:Manes.14G039100.v8.1 transcript:Manes.14G039100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISFTRASPLFNTCHPYLDSGSPLSLYISPSPSLTKLHHLHCTNQSLFLFSSMAEQQALQQHDQPPRKSYQVVKAATAATAGGSLLLLSGLVVVGTVIAITIATPLLVICSPVIVPAIIAVGLIITGFLTSGGFGVASVFILTWIYRYVTGKKPPGAESLEQARLKLAGKAREIKDKAEQFGHQATGQQT >Manes.09G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8706149:8711877:1 gene:Manes.09G052500.v8.1 transcript:Manes.09G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASILLQVLACAIYSNWWPMLSALMYVLVPMPCLFFGGGSTQFLISRDGGGWIGAAKFLTGASTVGSIAIPIILRHAHMIETGAMLIEFTSFFIFVCTVLCFHRASLEDDW >Manes.13G061300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7143514:7147303:-1 gene:Manes.13G061300.v8.1 transcript:Manes.13G061300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAVNFLLDKLTTVLMQKASLLGDASDEIEEIKLELESMRSFLRDAERRKERSESVETWVSQVREVAYEAEDIIDEFIHLKNRKRIKNGFKVVLQDVVNFPKNMTTRHQISSKLQKIKAKVHEVSERSKRYDFDQHEEGRTRNVASGRWKHYAESSSFVDEDEIVGMEENSEQLFGWLTEEEPRRTVISIVGMGGLGKTTLVTRVFNNQVIKRHFDCGAWISVSQIYGIDELLRSMIKEIFHTAQVPIPSNLGSMSYRYLIGLLIDYLHQKRYVIVLDDVWSIDLWSKIRGAFPNNRYGSRIVLTTRDGNVAASIGIGSHVHHLQPLQEKDAWALFCKKAFWDDPEHKCPRELQPLAEAIVKKCEGLPLAIVAVGGLMCSRSKRIGEWKTVFESLNWQLSYNPMLERVKGILLLSFNDLPFYLKHCFLYCCVFHDGYPIKRKKLIRLWVAEGFIKERKGMTMEEIAEEYLMELILRSMIQVTETNDAGRVKTCRVHDVMRELALTTSEKENFCAAYDGSQSKLEGRIHRLSIYNTGETIQLSSTMLRHLRSFFVFPTDMYSSFSLNAFSSKFKLLRVLNLEGVPVETIPTTLVELFNLRYLNLRDTKIKELPKSMERLNNLQMLDVWKTNLKRMPNGISKLSNLRHLFTCSKQDPDSESINVLSGMQAPNGIWNIRTLQTLACIEAETELLQQIANLANLKRLEITKLRAVDGPKLCSSIERMTGLIRLGVTATKTEEQLQLEALTTPPSFLQKLKLVGRLNRSSVPGTQERL >Manes.17G084001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29050970:29068426:-1 gene:Manes.17G084001.v8.1 transcript:Manes.17G084001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIECLVLGAGQEVGKSCVVVSINGKRIMFDCGMHMGYDDHRRYPDFSLISTTGDFDSALDCVIVTHFHLDHVGALPYFTEVCGYNGPVYMTYPTKALAPLMLEDYRKVMVDRRGEEEHFTSDHIFACMKKVIAVDLKQTVQVDKDLQIRAYYAGHVLGAAMFYAKVGDSAMVYTGDYNMTPDRHLGAAQIDRLQLDLLITESTYATTIRDSKYAREREFLKVVHKCVAAGGKVLIPTFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKVKETYTTHNAFDFKNVCNFDRSLIDAPGPCVLFATPGMISGGFSLEVFKHWAPSEMNLVTLPGYCVAGTIGHKLMSGKPTKINLDKDTQIDVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHVILVHGEKPKMASLRERVQSELGIHCYVPANCDTVSIPSTVFVKAHASDMFIHSCLSPNFGFLNKSSEDTSNSILDGTSRTSWLQVTDERVTEGILVMEKSKKARVVHQDELLLMLGEKQHEVQMAYCCPVHVDNLDEARMIHSSAHDVLGLSNKYSWLQQLFAELTTDFPGRNIQNLGEQLQVESFHVSTCWKDDCPYRIIDSPRRKLAAVYFCCTWSGDTHSPLLTRQEPPSSTTARPTLLAVLLGRATGRRGPSMLVRETAARELEERRADWGYSKPVVALDMLWNTAFVVVSIVMLILTVNEKPNTPVRIWICGYALQCLVHVVLVWLEYRRRNTRIVRDEERQRETGGGNVDSEDGDGSDRGTLSSSQSSVAKQCESVNTMASFVWWIVGFYWVVSGGDALLQHAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSVLPKYRFQVMGDEEKPSVGSGKMVPIETSSEYLANERILLPEDAECCICLCPYEDGVELHTLPCNHHFHSTCIVKWLKMNAICPLCKYNILKGNE >Manes.02G114000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8779158:8790992:-1 gene:Manes.02G114000.v8.1 transcript:Manes.02G114000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASSSKKPAGNLEGIKPSDVDPRLAFHYGIPSRGNMLAYDSVQKILAISTRDGRIKLFGKDNTQLLLECPEAVPSKFLQFIQNKGVLLNVTSKNHIEVWDVNKKLVCRVHVFKEDITSVAVMQHCPYMYVGDSAGNISVLRLDVETCDIQRMQYAIPLPASHGKPAEVPTDAAVLHILPQPTAESKRDIIPCHLEKFRVLIVFRDGLITLWEIRESKTIFTTGGSLLQSQYNENKKVTSACWTCPFGSKVAIGYSNGEIFIWSIPANPNSRTEIASDSGTQSAPLYKLNLGYKSDRIPIASLKWLQADGKASRLYIMGASDSASTNLLQVVLLNEHTEAPTIKLGLHLSEPCIDMEIISNSLDQSKHKEDSLLVLGKSGHVYVYDDCKIEKYLLLTQSRSSPSLPKEVIAKMPFAESSITLAKFVTQNPYIWSFGDEDYLMFSKNIPPLFPFEAKTKDGTPPNPASFSGFAKIKNLYITGHSDGAINFWQASSPFFIPILSLKQQSEDDFSLSGIAITALYFDGNSRILISGDQSGMVRIFKFKPEPYATENSFMSFQGSSKRGNQHIIQSLKLVKVNGSVLSMSISHNSEHLAVGSDQGYVSLIDLKGPTLLYQKHIASEISTGIISLQFETCSLQGFEKNVLVVATKDSSVLAVDVNTGNMLSTSTVHPNKPSKALFMQILDKQAVLAGGSNVPNDSDLSKGNPVEDSLKQSSLLICSEKAVYVYSLNHIVQGVKKVYYKKKFHSSLCCWASIFCCASDVGLVLLFTTGKIEIRSLPDLSLIRESSIRGFTYASPKLNSLSDSSICCSQDGEIVMVNGDQEMFLVSVLLQKDQFRFLDSVSQVYRKDLMPSQEGLASGAMAQKEKKKGIFSSVIKDIKGSKPKQVSEVETEDTRESIEELSMILSTANFACNAENSNSIAIEADENDLDIDDIDLDDHEERPKDQNILAALNKQKLASKFQAFKGKIKQMKVKNDKIVKEEEQDEKAGAIDQIKKKYGFSSSGETNAAAKMAENKLHENIRKLQGINQRTAEMQDTAKSFSAMARELLRTAEKDK >Manes.02G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8779158:8790992:-1 gene:Manes.02G114000.v8.1 transcript:Manes.02G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKASSSKKPAGNLEGIKPSDVDPRLAFHYGIPSRGNMLAYDSVQKILAISTRDGRIKLFGKDNTQLLLECPEAVPSKFLQFIQNKGVLLNVTSKNHIEVWDVNKKLVCRVHVFKEDITSVAVMQHCPYMYVGDSAGNISVLRLDVETCDIQRMQYAIPLPASHGKPAEVPTDAAVLHILPQPTAESKRVLIVFRDGLITLWEIRESKTIFTTGGSLLQSQYNENKKVTSACWTCPFGSKVAIGYSNGEIFIWSIPANPNSRTEIASDSGTQSAPLYKLNLGYKSDRIPIASLKWLQADGKASRLYIMGASDSASTNLLQVVLLNEHTEAPTIKLGLHLSEPCIDMEIISNSLDQSKHKEDSLLVLGKSGHVYVYDDCKIEKYLLLTQSRSSPSLPKEVIAKMPFAESSITLAKFVTQNPYIWSFGDEDYLMFSKNIPPLFPFEAKTKDGTPPNPASFSGFAKIKNLYITGHSDGAINFWQASSPFFIPILSLKQQSEDDFSLSGIAITALYFDGNSRILISGDQSGMVRIFKFKPEPYATENSFMSFQGSSKRGNQHIIQSLKLVKVNGSVLSMSISHNSEHLAVGSDQGYVSLIDLKGPTLLYQKHIASEISTGIISLQFETCSLQGFEKNVLVVATKDSSVLAVDVNTGNMLSTSTVHPNKPSKALFMQILDKQAVLAGGSNVPNDSDLSKGNPVEDSLKQSSLLICSEKAVYVYSLNHIVQGVKKVYYKKKFHSSLCCWASIFCCASDVGLVLLFTTGKIEIRSLPDLSLIRESSIRGFTYASPKLNSLSDSSICCSQDGEIVMVNGDQEMFLVSVLLQKDQFRFLDSVSQVYRKDLMPSQEGLASGAMAQKEKKKGIFSSVIKDIKGSKPKQVSEVETEDTRESIEELSMILSTANFACNAENSNSIAIEADENDLDIDDIDLDDHEERPKDQNILAALNKQKLASKFQAFKGKIKQMKVKNDKIVKEEEQDEKAGAIDQIKKKYGFSSSGETNAAAKMAENKLHENIRKLQGINQRTAEMQDTAKSFSAMARELLRTAEKDK >Manes.05G067800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5613924:5619421:-1 gene:Manes.05G067800.v8.1 transcript:Manes.05G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMASSFPEEVLEHVFSFIHSDKDRNAISLVCKSWYEVERWCRRRIFVGNCYAVSPPMVIRRFPELRSVELKGKPHFADFNLVPDGWGGYVFPWIEAMANAYPWLEEIRLKRMVVTDETLELIAKSFKNFKVLVLSSCEGFSTDGLAAIAANCRNLKELDLRESEVDDPSGHWLSHFPDSFASLVSLNISCLGSEVSFSALERLVGRCPNLSTLRLNRAVPLDKLANILRRAPQLVELGTGAYSAELRPDVFSSLAGAFSGCKELRSLSGFWDAVPGYLPAIYPICSSVTSLNLSYATVQSPDLIKLVSQCQSLQRLWVLDYIEDVGLEALASFCKDLRELRVFPSDPFALEPNVSLTEQGLVSVSAGCPKLHSVLYFCRQMTNDALVTIAKNRPNMTRFRLCIIEPRTPDYITLQPLDDGFGAIVEHCKDLQRLSLSGLLTDHVFEYIGTHAKKLEMLSVAFAGDSDLGLHHVLSGCENLRKLEIRDCPFGDKALLVNAAKLETMRSLWMSSCSVSFGACKLLGQKMPRLNVEVIDERGPPDSRPESCPVEKLYIYRTIAGPRFDMPGFVWTMDEDSALRLS >Manes.07G009916.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1154621:1158613:-1 gene:Manes.07G009916.v8.1 transcript:Manes.07G009916.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVSVSGASGYIASWLVKFLLQRGYTVKASVREPNDARKTEHLLVLDGAKERLQLFKADLMDEGSFDPVVEGCECVFHTASPFYLSATDPQAELIDPALKGTLNVLRSCTKVPSIKRVVITSSMAAVAFNGKPLAPDVIIDETWFSDPDFCEKSKLWYMLSKTLAEEAAWKFTKENGIDMVTINPGLVIGPLLQPTLNTSSESVLKLINGAEKYPNTTFRWVDVRDVANAHIYAFENSSASGRYCLVGSVVHSSETLEILHKLFPELKIPKECADDKPPSTKYQVSKKRAENLGIKFTPLDVSLKDTVESLKEKNLVSF >Manes.15G108400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8681428:8683714:1 gene:Manes.15G108400.v8.1 transcript:Manes.15G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRNRDMEYQSKKKPRAVSPDKKSKFKERSTTNNGKSKFVGVRQRASGKWVAEIKDTTQKIRMWLGTFDTAEEAARAYDEAACLLRGSNTRTNFTTHVPTNSPISIKLRNLLNHKKILKQNCPANTTSKTNIEASTMVSTRSSINSSCENFLFSNCSNSFQSGNNNLDSLCTGVKQENYQMSDDVYRPGLSGGAGGLGLADSSQFYYSSWPFSTGFDQLPLMQEGMNLPKKFGLLPAVNELELAEFEGMKVEREVSAAAYAMTGVNDYLVNTNYDPSDTLWDVPTLSHLFCPS >Manes.12G074303.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8352990:8371116:-1 gene:Manes.12G074303.v8.1 transcript:Manes.12G074303.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKTKYDRQLRIWGEQGQAALEKASICLLNCGPTGSATLKNLVLGGVGSITVIDGSKVEVSDLGNNFMVDESSVGQSKAKCVSKFIEEHPEALIEINPSFFSQFTLVVATQLVEDSMVKLDKICREANVKLIFARSYGLTGFVRVSVKHTVIESKPDHFLDDLRLNNPWPDLKSFAETIDLNAADPVAHKHTPYVIILVKMAEEWTKTHGGGLPSTRDEKKQFKELLKTGMLAVEEENYKEAIEASFKVFAPRGTSSDLQQIISDSCTEVDSNSSDFWVMVAALKFLNHSRAFLHFSVKPSHLNGSTVISSYLICNRSDPLKIHKRVITDFILESTQLHVVGHLDLAPSR >Manes.01G063949.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26246984:26247483:1 gene:Manes.01G063949.v8.1 transcript:Manes.01G063949.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSTKLHWMVWSHLCKEFNVSMLGRIGWRLIKQPTSLMAKVLKARYFANSSFMEAQLGSNPTYVWRSILESQKLLRNGLCWKTESGSNISIWCDPWLHDHQNPYVTTEFDPAKGVFMVSDLICQGTWNRNLVESVFNNRDANLILAIYQFKE >Manes.15G030000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2369982:2375665:-1 gene:Manes.15G030000.v8.1 transcript:Manes.15G030000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINLALIPILPSLYFPVSFSSFSQIHRKRLSFIARSRARRRSRRKQPDKYTFMPIPSHRRERSEESLLVTVDNVEEGTSPISKNAVRKNRRLGSRIKCFGVELSPDNIAVAMVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSVPLFGYRRRSYLVLSGLLGALSWSLMATLVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQNLSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVLGPARGQNLTLNGLGFVESSKQHIFQLWDAVKQPNVFLPTLFIFLWQATPHSDSAMFYFTTNKLGFTPEFLGRVKLVTSIASLVGVGLYNSFLKNVPLRKIFVFTTISGTALGMTQVFLVTGLNRKFGISDEWFAVGDSLILTVLAQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVLGGLIGAGLTQLFGITKDSFDNLAFLIILCNLTSLLPLPLLGLLPPDTSDTIKEKDGGDIEMKAN >Manes.15G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2369982:2375665:-1 gene:Manes.15G030000.v8.1 transcript:Manes.15G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINLALIPILPSLYFPVSFSSFSQIHRKRLSFIARSRARRRSRRKQPDKYTFMPIPSHRRERSEESLLVTVDSGGKGEMLTADVEEGTSPISKNAVRKNRRLGSRIKCFGVELSPDNIAVAMVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVISGFSALPWLVKPLYGFISDSVPLFGYRRRSYLVLSGLLGALSWSLMATLVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQNLSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVKEQRVLGPARGQNLTLNGLGFVESSKQHIFQLWDAVKQPNVFLPTLFIFLWQATPHSDSAMFYFTTNKLGFTPEFLGRVKLVTSIASLVGVGLYNSFLKNVPLRKIFVFTTISGTALGMTQVFLVTGLNRKFGISDEWFAVGDSLILTVLAQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVLGGLIGAGLTQLFGITKDSFDNLAFLIILCNLTSLLPLPLLGLLPPDTSDTIKEKDGGDIEMKAN >Manes.01G273000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42587038:42595988:1 gene:Manes.01G273000.v8.1 transcript:Manes.01G273000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKQSRSDKSDSQYLKSGRSAGSNQQRTSSGAYAKGGGGPAPSSSSVVSSSISSDRSFKKSNYVQGRQSRVHIPAANSSDSVNSSAARNVQNGAHVQPPLPGASDAHVSVGIGKPNETPNTQRSTRAVPKAPTSQPAAMNSETASPMTPAKDGSKAFPFQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQARHDTFRAVPPLPTPAPKQQLSRKDVSVIDHSSAGEANPLPKAKKDVQVSTAQAVSQTQKSSVLSLPMPSIQMPFHQPPVSVQFGGPNPQIQPQGVTPTSLQLPMLPMGNAPQVQQPMFVQGLQQHPLQPQGIMHQGQGLSYTPQMGPQLPPQLGNLGIGITSQYPPQQGGKFGGPRKTTVKITDPKTHEELKLDKQTDTYLDGGSSALRPHPNMPPQSQPIPSFAPTHTISYYPNSYNPNNLFFQSSSSLPLTSSQIAPNSQPPRFNYSVSQGPQNLSYLNSPSINSLSVNKSGTSMHCVAESSNVEHARDAHHVISSAPSGTVQVKVKPTASFIREKVVDSLSSNRSSAIEKGGSVKPSSSPVEGSSSNVQKDAEKIPESSLLLSKPVSESSKSESLPEESRQSVVVAVDSMASSSSLPAAIVLSEELVQVGSNNEGKRKGTLSRSNSIRDHQKKPGKQEYIQSQLQIDEHTISRDPCHNIEQGISDSRVSDYVETATTLSSSVTSEGLTELSQESAPMISTSTSQTSQVSEAKFDDSGDGFVGVPSEVSGVQGIVCVADAAQQAKVDDSSLQERLNYGTQETEEQGEKGLPEGPKRDGTDFGNEVQNLETMGGGLGEPVTCHIENDRMSDGMGVSASGNLVAADVGNFHADDNPTFDVSSSKSENVCRKEVSVVKAGAADKQFVPVPTLDPSKANSGHEGEVVEINGAGLVSLAVSSSKDKAVDLTRTRSTTAKQKKKRKDFLQKADAAGTTADLYMAYKGPEEKKETIASSKVMENTSTSSNVKQAPVDALEVDCMTSERGVQNKAEPDDWEDAADISTPKLETLDNGEQAFGGLAQHERTENATTAKKYSRDFLLKFSAHCTDLPENFEITSDVAEAFSVSNFVERDSYPSPGRVIDRSTSGSRMDHRGSGVVDDDRWSKLPGPFGMGRDLRVDIGYGGNTGFRPGQGGNYGVLRNPRAQSPQYVGGILTGPAQSMGPQGGMRNNPDADRWLRATNFQQKGLIPSPQTPLQMMHKAEKKYEVGKVADEEEAKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAATLTGVISQIFDKALMEPTFCEMYANFCYHLAGGLPDFTEKNEKITFKRLLLNKCQEEFERGEREQEEANKADVDGESKQSEEEREEKRINARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYENPDEEDIEALCKLMTTIGDMIDHTKAKEHMDAYFERMAILSKNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQHQASRLARNSSINLSARKVPTDFGPRGSATLSSPNTHIGGFHGLPAQVRGYGNQDVRFEERQSYEARTSSVPLRRPLGDDSITLGPQGGLARGMSIRGPPAMASTPIVDISLSPGDSRRHAAGLNGFSTVSERPAYSPREDFIPGYGPDRFAVPAAFDQMSGQEHNISYANRDPRNQDRSFDRSHATSPPVRTQVTAVSQNILSEKVWPEEHLQDKSMAAIKEFYSVRDEKEVALCIKELNSSSFHASMVSLWVTDSFERKDIERDLLAKLLVNLSRSEDGILTQPQLIKGFESVLTSLEDAVNDAPKAAEFLGRMFAKVVLENLVLLREIGQLLYDGGEEPGRLLEVGLAGDVLGYTLETIKAEKGESVLNEILISSNLHLEDFRPPDPNRSRILENFI >Manes.01G273000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42587038:42595988:1 gene:Manes.01G273000.v8.1 transcript:Manes.01G273000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKQSRSDKSDSQYLKSGRSAGSNQQRTSSGAYAKGGGGPAPSSSSVVSSSISSDRSFKKSNYVQGRQSRVHIPAANSSDSVNSSAARNVQNGAHVQPPLPGASDAHVSVGIGKPNETPNTQRSTRAVPKAPTSQPAAMNSETASPMTPAKDGSKAFPFQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQARHDTFRAVPPLPTPAPKQQLSRKDVSVIDHSSAGEANPLPKAKKDVQVSTAQAVSQTQKSSVLSLPMPSIQMPFHQPPVSVQFGGPNPQIQPQGVTPTSLQLPMLPMGNAPQVQQPMFVQGLQQHPLQPQGIMHQGQGLSYTPQMGPQLPPQLGNLGIGITSQYPPQQGGKFGGPRKTTVKITDPKTHEELKLDKQTDTYLDGGSSALRPHPNMPPQSQPIPSFAPTHTISYYPNSYNPNNLFFQSSSSLPLTSSQIAPNSQPPRFNYSVSQGPQNLSYLNSPSINSLSVNKSGTSMHCVAESSNVEHARDAHHVISSAPSGTVQVKVKPTASFIREKVVDSLSSNRSSAIEKGGSVKPSSSPVEGSSSNVQKDAEKIPESSLLLSKPVSESSKSESLPEESRQSVVVAVDSMASSSSLPAAIVLSEELVQVGSNNEGKRKGTLSRSNSIRDHQKKPGKQEYIQSQLQIDEHTISRDPCHNIEQGISDSRVSDYVETATTLSSSVTSEGLTELSQESAPMISTSTSQTSQVSEAKFDDSGDGFVGVPSEVSGVQGIVCVADAAQQAKVDDSSLQERLNYGTQETEEQGEKGLPEGPKRDALTGTDFGNEVQNLETMGGGLGEPVTCHIENDRMSDGMGVSASGNLVAADVGNFHADDNPTFDVSSSKSENVCRKEVSVVKAGAADKQFVPVPTLDPSKANSGHEGEVVEINGAGLVSLAVSSSKDKAVDLTRTRSTTAKQKKKRKDFLQKADAAGTTADLYMAYKGPEEKKETIASSKVMENTSTSSNVKQAPVDALEVDCMTSERGVQNKAEPDDWEDAADISTPKLETLDNGEQAFGGLAQHERTENATTAKKYSRDFLLKFSAHCTDLPENFEITSDVAEAFSVSNFVERDSYPSPGRVIDRSTSGSRMDHRGSGVVDDDRWSKLPGPFGMGRDLRVDIGYGGNTGFRPGQGGNYGVLRNPRAQSPQYVGGILTGPAQSMGPQGGMRNNPDADRWLRATNFQQKGLIPSPQTPLQMMHKAEKKYEVGKVADEEEAKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAATLTGVISQIFDKALMEPTFCEMYANFCYHLAGGLPDFTEKNEKITFKRLLLNKCQEEFERGEREQEEANKADVDGESKQSEEEREEKRINARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYENPDEEDIEALCKLMTTIGDMIDHTKAKEHMDAYFERMAILSKNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQHQASRLARNSSINLSARKVPTDFGPRGSATLSSPNTHIGGFHGLPAQVRGYGNQDVRFEERQSYEARTSSVPLRRPLGDDSITLGPQGGLARGMSIRGPPAMASTPIVDISLSPGDSRRHAAGLNGFSTVSERPAYSPREDFIPGYGPDRFAVPAAFDQMSGQEHNISYANRDPRNQDRSFDRSHATSPPVRTQVTAVSQNILSEKVWPEEHLQDKSMAAIKEFYSVRDEKEVALCIKELNSSSFHASMVSLWVTDSFERKDIERDLLAKLLVNLSRSEDGILTQPQLIKGFESVLTSLEDAVNDAPKAAEFLGRMFAKVVLENLVLLREIGQLLYDGGEEPGRLLEVGLAGDVLGYTLETIKAEKGESVLNEILISSNLHLEDFRPPDPNRSRILENFI >Manes.01G273000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42587038:42595993:1 gene:Manes.01G273000.v8.1 transcript:Manes.01G273000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKQSRSDKSDSQYLKSGRSAGSNQQRTSSGAYAKGGGGPAPSSSSVVSSSISSDRSFKKSNYVQGRQSRVHIPAANSSDSVNSSAARNVQNGAHVQPPLPGASDAHVSVGIGKPNETPNTQRSTRAVPKAPTSQPAAMNSETASPMTPAKDGSKAFPFQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQARHDTFRAVPPLPTPAPKQQLSRKDVSVIDHSSAGEANPLPKAKKDVQVSTAQAVSQTQKSSVLSLPMPSIQMPFHQPPVSVQFGGPNPQIQPQGVTPTSLQLPMLPMGNAPQVQQPMFVQGLQQHPLQPQGIMHQGQGLSYTPQMGPQLPPQLGNLGIGITSQYPPQQGGKFGGPRKTTVKITDPKTHEELKLDKQTDTYLDGGSSALRPHPNMPPQSQPIPSFAPTHTISYYPNSYNPNNLFFQSSSSLPLTSSQIAPNSQPPRFNYSVSQESSNVEHARDAHHVISSAPSGTVQVKVKPTASFIREKVVDSLSSNRSSAIEKGGSVKPSSSPVEGSSSNVQKDAEKIPESSLLLSKPVSESSKSESLPEESRQSVVVAVDSMASSSSLPAAIVLSEELVQVGSNNEGKRKGTLSRSNSIRDHQKKPGKQEYIQSQLQIDEHTISRDPCHNIEQGISDSRVSDYVETATTLSSSVTSEGLTELSQESAPMISTSTSQTSQVSEAKFDDSGDGFVGVPSEVSGVQGIVCVADAAQQAKVDDSSLQERLNYGTQETEEQGEKGLPEGPKRDALTGTDFGNEVQNLETMGGGLGEPVTCHIENDRMSDGMGVSASGNLVAADVGNFHADDNPTFDVSSSKSENVCRKEVSVVKAGAADKQFVPVPTLDPSKANSGHEGEVVEINGAGLVSLAVSSSKDKAVDLTRTRSTTAKQKKKRKDFLQKADAAGTTADLYMAYKGPEEKKETIASSKVMENTSTSSNVKQAPVDALEVDCMTSERGVQNKAEPDDWEDAADISTPKLETLDNGEQAFGGLAQHERTENATTAKKYSRDFLLKFSAHCTDLPENFEITSDVAEAFSVSNFVERDSYPSPGRVIDRSTSGSRMDHRGSGVVDDDRWSKLPGPFGMGRDLRVDIGYGGNTGFRPGQGGNYGVLRNPRAQSPQYVGGILTGPAQSMGPQGGMRNNPDADRWLRATNFQQKGLIPSPQTPLQMMHKAEKKYEVGKVADEEEAKQRQLKAILNKLTPQNFEKLFEQVKAVNIDNAATLTGVISQIFDKALMEPTFCEMYANFCYHLAGGLPDFTEKNEKITFKRLLLNKCQEEFERGEREQEEANKADVDGESKQSEEEREEKRINARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGQYENPDEEDIEALCKLMTTIGDMIDHTKAKEHMDAYFERMAILSKNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQHQASRLARNSSINLSARKVPTDFGPRGSATLSSPNTHIGGFHGLPAQVRGYGNQDVRFEERQSYEARTSSVPLRRPLGDDSITLGPQGGLARGMSIRGPPAMASTPIVDISLSPGDSRRHAAGLNGFSTVSERPAYSPREDFIPGYGPDRFAVPAAFDQMSGQEHNISYANRDPRNQDRSFDRSHATSPPVRTQVTAVSQNILSEKVWPEEHLQDKSMAAIKEFYSVRDEKEVALCIKELNSSSFHASMVSLWVTDSFERKDIERDLLAKLLVNLSRSEDGILTQPQLIKGFESVLTSLEDAVNDAPKAAEFLGRMFAKVVLENLVLLREIGQLLYDGGEEPGRLLEVGLAGDVLGYTLETIKAEKGESVLNEILISSNLHLEDFRPPDPNRSRILENFI >Manes.11G120850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28103200:28103388:1 gene:Manes.11G120850.v8.1 transcript:Manes.11G120850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPPSLLFLFFFLESFLHMDERCTWTTRRRNHHSMMLSLIAYRESRLVDPLTNHFYLFYR >Manes.05G018500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1709207:1709715:-1 gene:Manes.05G018500.v8.1 transcript:Manes.05G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVVIMGSGDKSLKNFHLHLPHLYHHHHNHGSNKKQARDVPKGCLAIKVGQGEEQQRFVVPVIYFNHPLFMQLLKEAEEEYGFDQKGTITIPCHVEEFRYVQGMIDKERSLHHHHHVGCFRV >Manes.01G192400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36732846:36735419:-1 gene:Manes.01G192400.v8.1 transcript:Manes.01G192400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFHNAKAVRLRSHHDKYLHADDDEESVSQDRNGFSKNARWTVESVPGSDNIIRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPRRLDSSLEWEPIREGAQVKLKTRYGNFLRANGGLPPWRNSLTHDIPCRIATQDWVLWDVDIVEIQVRPSHQEKPEPQPISHSDSWDFDSSSPSSISTKSGTFSRQESTDSYMGSPPKSEGRTIYYHVADESGDVDEDIMEAYSLHFKGNGVDGLTQKLREETGLQDIIVCSRSPLNGKLYPLRLQLPPNNADMHVFVIQSSSKVARDFAKQGIAL >Manes.12G002200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:439442:442824:1 gene:Manes.12G002200.v8.1 transcript:Manes.12G002200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVGAKMLSSGFLLMESEVSERSCITLTSYAEQKWCIEPNFSGRTTKVGIKSSPFLIKRFQLRKHDWRILFALDTGGLSGNGGQDNLDGESGLGGTRLGRIVSAGGQRLLQKLNSARKNFPMKIFLLLLGFYTANALSTILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPSSLSTGRLQSFVVMMNYWKAGVCLGLFVDAFKLGS >Manes.12G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:439442:442824:1 gene:Manes.12G002200.v8.1 transcript:Manes.12G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVGAKMLSSGFLLMESEVSERSCITLTSYAEQKWCIEPNFSGRTTKVGIKSSPFLIKRFQLRKHDWRILFALDTGGLSGNGGQDNLDGESGLGGTRLGRIVSAGGQRLLQKLNSARKNFPMKIFLLLLGFYTANALSTILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPSSLSTGRLQSFVVMMNYWKAGVCLGLFVDAFKLGS >Manes.06G135301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26327972:26328166:1 gene:Manes.06G135301.v8.1 transcript:Manes.06G135301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSFIQCSGLPCLMDCPPTSSDKKTLKRWFFIDKRVG >Manes.18G139654.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16620995:16622175:-1 gene:Manes.18G139654.v8.1 transcript:Manes.18G139654.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGHFHVFSSSPSYFYEFHGCFEEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.12G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1483893:1486309:1 gene:Manes.12G015800.v8.1 transcript:Manes.12G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSVARDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFVKVKKYGLPMLLTQDEGVKSFIANLNAQLSEWLEAGKLQRVVLVIMSKATGEVLERWNFSIETDSEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEACVFDVLAYTDKDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEE >Manes.07G108500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31440137:31443594:-1 gene:Manes.07G108500.v8.1 transcript:Manes.07G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSVIKSKPPLRKEDDRPLEEPLDTQIKGEILQSPNLKSFCFSELKKATGGFYHGYYLGGGNFGLVFKAWIDEHSLEAAMPNTGMPIAVKKLDQKRCQGQQEWLTEIKFLGQLCHPNLVKLIGYSLEDDQRLLVYEFMPNGSLENHIFGKSSDMQPLSWNLRMKVALGAARCLKFLHDEVNAIYRDFKVSDILLDENFNAKLSDFGLARDGPMDDKTHVTTRVMGTIGYMCPEYVATGHLTRKSDVYSFGVVFLQILSGRRSKEINRPPGEQNLVEWAKASLSSKRNGFQVLDKRMEGQYKIGDAQIALHLARRCLSKEVKDRPNMEQVVEVLEQLYIRKQWRHPRVNPAKDQ >Manes.03G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22706934:22712744:1 gene:Manes.03G103200.v8.1 transcript:Manes.03G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFRSVKTLKNSSSHLLRRGYGSEAVPERKVAVLGAAGGIGQPLALLMKLNPLVSNLALYDIANTPGVAADVSHINTRSEVKGYMGDAELGKALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCEAIAKYCPNALVNMISNPVNSTVPIASEVFKKAGTYDPKRLFGVTTLDVVRAKTFYAGKAKVPVAGVNVPVVGGHAGITILPLFSQATPKANLPDEEIQALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECTFVQSNVTELPFFASKVRLGKNGVEEVLGLGALSDYEKEGLEKLKPELLASIEKGIKFANQ >Manes.18G094701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8752842:8753763:1 gene:Manes.18G094701.v8.1 transcript:Manes.18G094701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDSRQNKIEILVEDISETEYLIELIGSYMNKIQVYRSVKMSQLHISLHFHLTPILMINGSSRRDLLLNSQNFCHSIPAGAENPSLSQLCYR >Manes.07G091933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28796666:28824402:1 gene:Manes.07G091933.v8.1 transcript:Manes.07G091933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAPNSINISLSDKETGLVDSDPAKLDAGALFVLKSKGSWLHCGYHLTTSIVGPVIFSLPFALSLLGWGPGILCITLAALVTFYSYNLLSVVLEHHAQLGNRQLRFRDMAADILGQGWGKYFVGPLQFAICYGAVIASTLLGGQSLKFIYIVYNPNGEMKLYQFITIFGAATLVLAQMPSFHSLRHINLVSLILCLAYSALVTAGSIHIGNSENAPDKDYSINGSQGNRALGAFNAISIISTTYGCGIIPEIQATLATPVKGKMLKGLCICYSVIVTTFFSVAISGYWAFGNEAKGIILTNFAGDDGNPLLPSWFFLITNIFTLLQLIAINVIYLQPTNELFEKWFANTKMDQFSIRNVVPRLIFRSLSVIIATFLAAMLPFFGDILALFGAFGIIPLDFILPMLFYNVTFKPSKQSLIFCINTSIATVSSILVAIGGVASVRQIVLDAKTYSLFANM >Manes.12G090600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12893325:12897845:1 gene:Manes.12G090600.v8.1 transcript:Manes.12G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWELGLPKKGTISLKEQLARTTLHNVRSQGHPYVELREDGKRFIFFCTLCLAPCYSDSVLFDHLKGNLHTERLSAAKLTLLKANPWPFSDGIHFFTNSTENERQLAITNDKRSKFLESNSNVNSLAIVKYDANMRPTINGHVGCNEDLNENAGTCDLVIPGVVVKDEISDLKARFIGSGRIAARFCEKDNNTSEICRIWCEWLGEDSPVYEDMVKVSDHEFAVVIFAYNYDLGRKGLLDDVKLLLSSSPTLELDNGEGTNRKRKKSFSDPEDASESSSNHYYSSGEESSASNGGSSRLLLNQYYDHLRHSMFISNKTIRRELRRQHRIAAERMCDICQQKMLPEKDVATLINMKTGKLACSSRNANGAFHVFHISCVIHWILLCEYEMAKYQSVSPKGRGRSKRKNGAKSNMAGKNGKVKALKSQIDSVFCPECQGTGVKNEEDEREMPKIPLSEMFKYKIKVSDGRRAWMKSPEELQNCSTGFHFPSQLEESVQEKVLPLKLLHFYRAYA >Manes.09G025302.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5098163:5100592:1 gene:Manes.09G025302.v8.1 transcript:Manes.09G025302.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVVGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLEKLKQVLYDAEDVVDEFECEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQSRDNIIEMLQHVDGENVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIDQLQRSLREALNGWKYLLILDDVWSEDPRKWHELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKSIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYVLNDIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEYYGIVKCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPNPESLPKDVPKSLQNLECMQTIYCFLQSLQILLLYRCRNLQELPKDIRCMINLRFLWITTRQKYFPTGGIGCLKSLRFLFITGCYNLEYLFEDMQGLKKLRRLVVWDCESLISLPQKWLIRGSTNSLKVLEVKRCDNLRELPNCLQNMASHPEVRIIDCPELNNDPLQKA >Manes.02G156900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12265006:12268181:1 gene:Manes.02G156900.v8.1 transcript:Manes.02G156900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDCIELKFRLADGTDIGPSKYSPATTVATLKEKIIAEWPKDKENGPKTVHDVKLINAGKILENNMTLVESRLPVGELPGGVITMHVVLRPPMPDKNNDKLRKDSTKKTGCSCSIL >Manes.02G156900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12265006:12268182:1 gene:Manes.02G156900.v8.1 transcript:Manes.02G156900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDCIELKFRLADGTDIGPSKYSPATTVATLKEKIIAEWPKDKENGPKTVHDVKLINAGKILENNMTLVESRLPVGELPGGVITMHVVLRPPMPDKNNDKLRKDSTKKTGCSCSIL >Manes.02G156900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12265452:12267205:1 gene:Manes.02G156900.v8.1 transcript:Manes.02G156900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDCIELKFRLADGTDIGPSKYSPATTVATLKEKIIAEWPKDKENGPKTVHDVKLINAGKILENNMTLVESRLPVGELPGGVITMHVVLRPPMPDKNNDKLRKDSTKKTGCSCSIL >Manes.13G070200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:9996132:9996512:1 gene:Manes.13G070200.v8.1 transcript:Manes.13G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSPLSFSRTEPYLRFLKPGALAQLRDSRISARSHRVNSLRLIALHRVSRPSSPSSDSVQPQLNNFDGMPCFLSRFYGPRCPQRKKLVAAKSVLFLCSRPSSPVSDSPDPIINLLNSDSIVVAH >Manes.05G022800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2030326:2037319:1 gene:Manes.05G022800.v8.1 transcript:Manes.05G022800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPRKKFTDFAAVRKEISDETDRETGRTKQISTVPIHLSIYSPNVVNLTLVDLPGLTKVAVDGQPEGIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQTSPEYQHLAQRMGSEHLGKMLSKHLEQVIKSRIPGLQSLINKTISDLESELSRLGKPVATDAGGKLYMIMEICRSFDQIFKERLDGIRPGGDKIYLVFDNQLPAALKRLQFDKHLSIDNVRKLICEADGYQPHLIAPEQGYRRLIESTLTTIRGPAEAAVDAVHAILKELVQKSISETMELKQYPTLRAEVSNAAIESLERMREESRKATLQLVDMECCYLTVEFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCASLRNSIPKSVVYCQVREAKRSLLDYFFAELGKKETKQLSSLLDEDPAVMQRRTSLAKRLELYRSAQAEIDAVAWAAK >Manes.05G022800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2030326:2037319:1 gene:Manes.05G022800.v8.1 transcript:Manes.05G022800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPRKKFTDFAAVRKEISDETDRETGRTKQISTVPIHLSIYSPNVVNLTLVDLPGLTKVAVDGQPEGIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQTSPEYQHLAQRMGSEHLGKMLSKHLEQVIKSRIPGLQSLINKTISDLESELSRLGKPVATDAGGKLYMIMEICRSFDQIFKERLDGIRPGGDKIYLVFDNQLPAALKRLQFDKHLSIDNVRKLICEADGYQPHLIAPEQGYRRLIESTLTTIRGPAEAAVDAVHAILKELVQKSISETMELKQYPTLRAEVSNAAIESLERMREESRKATLQLVDMECCYLTVEFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCASLRNSIPKSVVYCQVREAKRSLLDYFFAELGKKETKQLSSLLDEDPAVMQRRTSLAKRLELYRSAQAEIDAVAWAAK >Manes.05G022800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2030326:2037319:1 gene:Manes.05G022800.v8.1 transcript:Manes.05G022800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPRKKFTDFAAVRKEISDETDRETGRTKQISTVPIHLSIYSPNVVNLTLVDLPGLTKVAVDGQPEGIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQTSPEYQHLAQRMGSEHLGKMLSKHLEQVIKSRIPGLQSLINKTISDLESELSRLGKPVATDAGGKLYMIMEICRSFDQIFKERLDGIRPGGDKIYLVFDNQLPAALKRLQFDKHLSIDNVRKLICEADGYQPHLIAPEQGYRRLIESTLTTIRGPAEAAVDAVHAILKELVQKSISETMARIKAVSHSESGSQ >Manes.05G022800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2032133:2037319:1 gene:Manes.05G022800.v8.1 transcript:Manes.05G022800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQTSPEYQHLAQRMGSEHLGKMLSKHLEQVIKSRIPGLQSLINKTISDLESELSRLGKPVATDAGGKLYMIMEICRSFDQIFKERLDGIRPGGDKIYLVFDNQLPAALKRLQFDKHLSIDNVRKLICEADGYQPHLIAPEQGYRRLIESTLTTIRGPAEAAVDAVHAILKELVQKSISETMELKQYPTLRAEVSNAAIESLERMREESRKATLQLVDMECCYLTVEFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCASLRNSIPKSVVYCQVREAKRSLLDYFFAELGKKETKQLSSLLDEDPAVMQRRTSLAKRLELYRSAQAEIDAVAWAAK >Manes.05G022800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2030326:2037319:1 gene:Manes.05G022800.v8.1 transcript:Manes.05G022800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKIQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGAGIVTRRPLVLQLHRIDEGREYAEFMHLPRKKFTDFAAVRKEISDETDRETGRTKQISTVPIHLSIYSPNVVNLTLVDLPGLTKVAVDGQPEGIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQTSPEYQHLAQRMGSEHLGKMLSKHLEQVIKSRIPGLQSLINKTISDLESELSRLGKPVATDAGGKLYMIMEICRSFDQIFKERLDGIRPGGDKIYLVFDNQLPAALKRLQFDKHLSIDNVRKLICEADGYQPHLIAPEQGYRRLIESTLTTIRGPAEAAVDAVHAILKELVQKSISETMELKQYPTLRAEVSNAAIESLERMREESRKATLQLVDMECCYLTVEFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCASLRNSIPKSVVYCQVREAKRSLLDYFFAELGKKETKQLSSLLDEDPAVMQRRTSLAKRLELYRSAQAEIDAVAWAAK >Manes.05G022800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2030326:2037319:1 gene:Manes.05G022800.v8.1 transcript:Manes.05G022800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPRKKFTDFAAVRKEISDETDRETGRTKQISTVPIHLSIYSPNVVNLTLVDLPGLTKVAVDGQPEGIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQTSPEYQHLAQRMGSEHLGKMLSKHLEQVIKSRIPGLQSLINKTISDLESELSRLGKPVATDAGGKLYMIMEICRSFDQIFKERLDGIRPGGDKIYLVFDNQLPAALKRLQFDKHLSIDNVRKLICEADGYQPHLIAPEQGYRRLIESTLTTIRGPAEAAVDAVHAILKELVQKSISETMELKQYPTLRAEVSNAAIESLERMREESRKATLQLVDMECCYLTVEFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCASLRNSIPKSVVYCQVREAKRSLLDYFFAELGKKETKQLSSLLDEDPAVMQRRTSLAKRLELYRSAQAEIDAVAWAAK >Manes.03G110600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23307015:23314372:1 gene:Manes.03G110600.v8.1 transcript:Manes.03G110600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPLAIPQNSRKRLLMDPEVIEIPPPVFHTSKQSKLKQVLLHEVINVDNDEESPDVMILDEKVDSKNKGIAIEDISEVHNQAKDTLANHLICPFKSSAPESYKTSIIDGVSSDLYDNDYMDFTSDDYMEFDEYALQAHFDNVDFPPGVEAPVLWLLGSSHKTTRTLDENASTHLKNKKHLHIQGSGSSQPADVSKNPASVSNSGFQITVDSASQASGADTSSSWSLLKSVHSMKQLSASQHGGTSMNPTSLQRKKMTLTSSGSTNYGSVTQLHNVTSIEPPELGHYTSFNLNNLNFPTFPANTYGLSHPSVIGPSMSLNHPSVIGTSMSWFESPFMSSYTNYTSYSDCYDPFHAAHILPEGVTRTPTDVNKDDILRKYQLFKKFDTVEDHSDHHYTSKGYSTNQPPKNWSKRIQEEWRILENDLPDTIYVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPSSYPSVPPLVYYHSGGLRLNPNLYSCGKVCLSLLGTWHGKVNERWLPGVSTVLQVLVSIQALILNQKPFFNEPGYEYMSGSRNGEIQSQQYNENTFMLSLRTMVYTMRRPPKHFEDFVLGHFHKYANDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSKSFKDSLPGCIELLLKEFSLIGVKNTDKFQNLAKVGNNKLGNFPKAALKEFLISG >Manes.03G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23307015:23314372:1 gene:Manes.03G110600.v8.1 transcript:Manes.03G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDEKVDSKNKGIAIEDISEVHNQAKDTLANHLICPFKSSAPESYKTSIIDGVSSDLYDNDYMDFTSDDYMEFDEYALQAHFDNVDFPPGVEAPVLWLLGSSHKTTRTLDENASTHLKNKKHLHIQGSGSSQPADVSKNPASVSNSGFQITVDSASQASGADTSSSWSLLKSVHSMKQLSASQHGGTSMNPTSLQRKKMTLTSSGSTNYGSVTQLHNVTSIEPPELGHYTSFNLNNLNFPTFPANTYGLSHPSVIGPSMSLNHPSVIGTSMSWFESPFMSSYTNYTSYSDCYDPFHAAHILPEGVTRTPTDVNKDDILRKYQLFKKFDTVEDHSDHHYTSKGYSTNQPPKNWSKRIQEEWRILENDLPDTIYVRVYESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPSSYPSVPPLVYYHSGGLRLNPNLYSCGKVCLSLLGTWHGKVNERWLPGVSTVLQVLVSIQALILNQKPFFNEPGYEYMSGSRNGEIQSQQYNENTFMLSLRTMVYTMRRPPKHFEDFVLGHFHKYANDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSKSFKDSLPGCIELLLKEFSLIGVKNTDKFQNLAKVGNNKLGNFPKAALKEFLISG >Manes.10G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8457154:8466604:-1 gene:Manes.10G060700.v8.1 transcript:Manes.10G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKIMACCTTESCAFRARKLVSDDILPHILNLYGSRAIPRDFEIYAPNASFEDPLMCAHGVKQIKSAFYSLPKVFRKSSITEYSVKENVISSGKQEILVDNKQQYIFLGRSINIVSLIKLYVEDGKVLRHEDWWDKKPISNRHTSTCPLFGRFKELSRRGSMLATHAMMRFGKDPRPK >Manes.10G060700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8457428:8463363:-1 gene:Manes.10G060700.v8.1 transcript:Manes.10G060700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKQIKSAFYSLPKVFRKSSITEYSVKENVISSGKQEILVDNKQQYIFLGRSINIVSLIKLYVEDGKVLRHEDWWDKKPISNRHTSTCPLFGRFKELSRRGSMLATHAMMRFGKDPRPK >Manes.10G060700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8457154:8466604:-1 gene:Manes.10G060700.v8.1 transcript:Manes.10G060700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHGVKQIKSAFYSLPKVFRKSSITEYSVKENVISSGKQEILVDNKQQYIFLGRSINIVSLIKLYVEDGKVLRHEDWWDKKPISNRHTSTCPLFGRFKELSRRGSMLATHAMMRFGKDPRPK >Manes.10G060700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8457153:8466604:-1 gene:Manes.10G060700.v8.1 transcript:Manes.10G060700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHGVKQIKSAFYSLPKVFRKSSITEYSVKENVISSGKQEILVDNKQQYIFLGRSINIVSLIKLYVEDGKVLRHEDWWDKKPISNRHTSTCPLFGRFKELSRRGSMLATHAMMRFGKDPRPK >Manes.10G060700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8457154:8466604:-1 gene:Manes.10G060700.v8.1 transcript:Manes.10G060700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKIMACCTTESCAFRARKLVSDDILPHILNLYGSRAIPRDFEIYAPNASFEDPLMCAHGVKQIKSAFYSLPKILVDNKQQYIFLGRSINIVSLIKLYVEDGKVLRHEDWWDKKPISNRHTSTCPLFGRFKELSRRGSMLATHAMMRFGKDPRPK >Manes.14G010605.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:648404:652723:-1 gene:Manes.14G010605.v8.1 transcript:Manes.14G010605.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQAIALGKRPHIIVGTPGCLVDHLSNTKGFSLRSLKYLVLDEADRLLNEDFERTLDEILKVIPRDRKTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSIVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVFRNLGLRAIPINDHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHCVGRTASAGRSGVAISLVN >Manes.12G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30352104:30354575:1 gene:Manes.12G109200.v8.1 transcript:Manes.12G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSSSPDPSTCENNAKALQFIEEMTSNVDSVQERVLAEILCRNSDTEYLKQYKLQGPTDREIFKAKIPVVTYEDIQPQIQRIANGDRSAIFSTYPVSEFLTSSGTSAGERKLMPTIQEELDRRRLLYSLLMPVMNLYVPGMDKGKALNFLFVKAETKTPSGLLARPVLTSYYKSEHFKNRPYDPYNVYTSPDETILCADSFQSMYSQMLCGLIMREEVLRVGAVFASGLLRAIRFLQVNWKQLVEDISSGTLNPKVTDPSVRECMSKILKPNSELAEFITQQCSEDNWEGIIKRIWPNTKYLEVIITGAMAQYIPTLEYYSAGLPMASTMYASSECYFGLNLKPMCKPSDVCYTIMPNMGYFEFLPQEPSASCDSPPRLLDLADVEVGKEYELVITTYSGLYRYRVGDILRVTGFYNKAPQFRFIRRKNVLLSIDSDKTDEAELQKGIENASSLLREFKTSVVEYTSYAETKTIPGHYVIYWELLVQDPANSPTNEVLNQCCLAIEESLNTVYRQGRVADNSIGPLEIRVVKNDTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPSLPHWTPERRR >Manes.03G035700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3052552:3059602:1 gene:Manes.03G035700.v8.1 transcript:Manes.03G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLETVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYMIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASFFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANAPARALGPGVGTSSGMPPAIVGADRQTVAKLYSLGAIKPILEATCCFHQS >Manes.03G035700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3052552:3059602:1 gene:Manes.03G035700.v8.1 transcript:Manes.03G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLETVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYMIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASFFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANAPARALGPGVGTSSGMPPAIVGADRQTGGEDSRQAGQSMDAARRRLSGPILSSGGQAKQKSPVANDSPISKDPLLPSSTLLGRSSRSSRQPAVSTSRDIFVGSEADPQHSRTTDASPGTMNKISSTQRSPLGSAADPRRSSSTRNATHMKTYESAIKGIEGLNFDSDEKVHY >Manes.10G033500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3364666:3366619:-1 gene:Manes.10G033500.v8.1 transcript:Manes.10G033500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAGKSQDEIFTVRRKPTRFFKIILENTIREGKLGIPTKFVKDYGNCLSSPVTLTDPTGNSWKVDLLKNGNDVWLEKGWPEFSENHSLKYGHFLVFEYKGDSHLHVFIFDESAVEIEYSGKLNFDGNSPLPKKQEDGDQDIIYLHTTRSGNQSKEKQKQVMAAKGRNWQIERPKSAKTCGALQAANNFISNYPFFKVLLRRTLDVNVPFSLIRRYMKCESQILMLHVAEKSWPVKLNVYSNRRIASLARGWTAFARENCLEAGDICIFEMIERNVLNVFMFRCAC >Manes.10G033500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3364704:3366463:-1 gene:Manes.10G033500.v8.1 transcript:Manes.10G033500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAGKSQDEIFTVRRKPTRFFKIILENTIREGKLGIPTKFVKDYGNCLSSPVTLTDPTGNSWKVDLLKNGNDVWLEKGWPEFSENHSLKYGHFLVFEYKGDSHLHVFIFDESAVEIEYSGKLNFDGNSPLPKKQEDGDQDIIYLHTTRSGNQSKEKQKQVMAAKGRNWQIERPKSAKTCGALQAANNFISNYPFFKVLLRRTLDVNVPFSLIRRYMKCESQILMLHVAEKSWPVKLNVYSNRRIASLARGWTAFARENCLEAGDICIFEMIERNVLNVFMFRCAC >Manes.10G033500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3364623:3366595:-1 gene:Manes.10G033500.v8.1 transcript:Manes.10G033500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAGKSQDEIFTVRRKPTRFFKIILENTIREGKLGIPTKFVKDYGNCLSSPVTLTDPTGNSWKVDLLKNGNDVWLEKGWPEFSENHSLKYGHFLVFEYKGDSHLHVFIFDESAVEIEYSGKLNFDGNSPLPKKQEDGDQDIIYLHTTRSGNQSKEKQKQVMAAKGRNWQIERPKSAKTCGALQAANNFISNYPFFKVLLRRTLDVNVPFSLIRRYMKCESQILMLHVAEKSWPVKLNVYSNRRIASLARGWTAFARENCLEAGDICIFEMIERNVLNVFMFRCAC >Manes.10G033500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3364665:3366464:-1 gene:Manes.10G033500.v8.1 transcript:Manes.10G033500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAGKSQDEIFTVRRKPTRFFKIILENTIREGKLGIPTKFVKDYGNCLSSPVTLTDPTGNSWKVDLLKNGNDVWLEKGWPEFSENHSLKYGHFLVFEYKGDSHLHVFIFDESAVEIEYSGKLNFDGNSPLPKKQEDGDQDIIYLHTTRSGNQSKEKQKQVMAAKGRNWQIERPKSAKTCGALQAANNFISNYPFFKVLLRRTLDVNVPFSLIRRYMKCESQILMLHVAEKSWPVKLNVYSNRRIASLARGWTAFARENCLEAGDICIFEMIERNVLNVFMFRCAC >Manes.10G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21584926:21586934:-1 gene:Manes.10G084200.v8.1 transcript:Manes.10G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPPQGYPPPGYPPQGYPPQGYPPQGYGPPPPGYAPQYGQPPPPQQNSSSGCLEGCLAALCCCCLLDACF >Manes.10G084200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21583821:21586934:-1 gene:Manes.10G084200.v8.1 transcript:Manes.10G084200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPPQGYPPPGYPPQGYPPQGYPPQGYGPPPPGYAPQYGQPPPPQQNSSSGCLEG >Manes.15G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9335429:9338277:-1 gene:Manes.15G116900.v8.1 transcript:Manes.15G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNITECLFISDVYIFGIEIATCNFNCYLQLTMSSSIEDADTKQRLHGELYSALMEGNKAKVLELCPKVSDHALHRITVNEDTVLHMATYAKEADLVLKLLDELPEYHLDKITRQNGVGSTILHEAATNNDAVPVVDKLLKKSPGLLGMRNSNGETALFRAARYGNDAMFKFLASKIAQYDQISQQFYLQRTDKTSVLHIAIISQHFELAWYIANEYKQLIGEKDSDGMTALQLLACEPSAFKIDSEDGFINLVKRCFSPALRMKIRCEKEKYKLAVELANLLVKRDTSWEDTHSAVGRSKPKIHKYSASPDALSMVKEGAAEGSSLSAKGKKDDIGETPLILATKSGCVEIAKEILRVYPQAIEHIDDEGRNALHVAIKYRQLEIFEHVRKMEVPMKRLVRKIDNNGNTVLHTVALKRNDFVAEKVEGPALLLQDELLWFERVQEITPSHFMHHQNNMKLAADEFFNTVNSELRSAGKEWLKSTAEGCSVVAVLIATVAFAAAYTVPGGNQSTGLPVLVNRPLFIVFTVTDVLSLNFALTAVVTFLSILSSPFRFRDFRRSLPNKLMLGFTFLFLSVTMMMMSFGATIFLMIHSKESWTKITLYALSFIPVGVFALSYLPLYSSLSKTYEYLLGKARKVAPLSTCMLPDQKKNPHSMAKSHASVALV >Manes.18G049700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4286807:4289212:1 gene:Manes.18G049700.v8.1 transcript:Manes.18G049700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNDKAKLFVGGISRDTSEDALKAHFAKYGTVLTSVVAKDKYTRSPRGFGFVTFSDPTCADKALQDSHVILGRTVEVKKAIPKSEQLQLQQLQQQQFPNQQKSSGFSENGSNSNGNDCLRTKKIFVGGLSSSLTEEQFHNYFQRFGKIVDVVVMQDPLTNRPRGFGFVTYDSEESVEKVMLNNFHDLYGRLVEVKRAVPKEGISGSNNNRVAKGGVRWFSAKSSQPGNYVSYGPGYEVLSGHVPIHWYSGVGGCFYGTGFYGGYPAVGCSRSDFGFTPVGPRSPWNGPVLIAATMYPPPYSSAFLYPAYTNGVVGLMGMTVSEYSGIDGHNGNGKLNGDHGGNEQLPPSATVSPIEGVESGVDSSGLNGSDGGASS >Manes.14G157929.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20505061:20506930:1 gene:Manes.14G157929.v8.1 transcript:Manes.14G157929.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKMSFLVLSNFLLLSLLLPTSSAQLSKNFYSGTCPNVESIVRSEVQKKFQQTFVTVPATLRLFAHDCFVRGCDASLLLSSPSNNAEKDHPDNLSLAGDGFDTVIKAKAAVDSVSQCRNKVSCADILALATRDVVSLAGGPFYEVELGRRDGRISTKASVQHKLPSADFNLDQLNSMFASLGLTQTDMIALSGAHTLGFSHCNRFSKRIYNFSPRNKIDPTLNLQYALQLREMCPVKVDPRIAIDMDPTTPQKFDNAYYGNLIQGKGLFTADQILFSDSRSRPTVNLFASNNAAFQNAFVSAMTNLGRVGVLTGNKGEIRTDCTRIN >Manes.01G004840.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2194268:2195753:-1 gene:Manes.01G004840.v8.1 transcript:Manes.01G004840.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMADTQTKETIVFTYGTLKRDFPNYTLIQDLILQNAAAYIGTCITHQSHPLVIGPHGIPFLIKLPGAGHHVNGELYCVSTRGLARLDEFEGTSNGHYERLPIQVTKTENKGEGSDIVLLEAEAYYAHRSFGKRLWEKRGRIGLSEYSQNNAKEYVKKEDRDKDVSFLDNIEMLLSKS >Manes.04G154475.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34989013:34992430:-1 gene:Manes.04G154475.v8.1 transcript:Manes.04G154475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRKRKATKMGKTDKKARKIRKGFELLRENRISGLGHTDNVVSSFSSFRSFVQYLFFLWTRTSEGRIIKVIVRRVLPQQAFCALDFGLTGLIMKDDYLDGNDDFSLTEKLHEGDLVTCKIKPLEKSRYQVLLTCKESELKSCRYQTLHDIDPYYCEGKNRFLRKQDEACKNELAKKHFKQKTVNHPRFKNITADEAMESLSDMEIGENIFHPSPRGVYYLVLSLKVYNGVYVHKDIIEGQKDHRDIASLLHIGKKLKIGADLDEVIDQYVNPLVTHVKAIINFKKFKRGSKAEVDELLRAEKSEHPMKIVYCFGISYEHPGTFKFHLVLHKDKPPS >Manes.07G026400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2835660:2836535:-1 gene:Manes.07G026400.v8.1 transcript:Manes.07G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKSQQISNLRLLFFAVFLAFFLLFFLRSNISFPSTQNSSPISKTFQSKTQIHEEANATSCSPTCTKIPHSLAKALIHYTTSTITPQQTLKEISVTEKVLEKKCPCNFLVFGLGYDSLMWSTLNYGGRTIFLEEDEAWISQIRKKFPMLESYHVSYDSKVNQANNLMEVGRGPECRAVNDPKYSMCQLALKGLPSEVYEIKWDLIMVDAPTGYYEEAPGRMNAIYTAGMMARNRAEGETDVFVHDVDREVEDTFSKVFLCEGYMKKQAGRLRHFNIPSYRDALDRPFCPE >Manes.06G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20692676:20695371:-1 gene:Manes.06G071100.v8.1 transcript:Manes.06G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAICGRIALSPNHVLSPKSGDKHSLSRGPWISRGILMALSATGLGKGGGLLERPIIEKTTPGRESEFDLRKSRKTAPPYRVILHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICAQADAEDHCMQLRGNGLLSSIEPASGGC >Manes.05G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3855454:3856922:-1 gene:Manes.05G046400.v8.1 transcript:Manes.05G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPDTALKAYIYTVKSCENFKESGVPELLSAMAAGWSSTLIVETWTYGSPIATSIGLAVAAYHTCGRHVCIVPDERSRTEYVKSMQGAGMTRTEVIVGKAEEVMTELTGVDFLVVDCKQRDFVRVLRFVQLSHKGAVLVRKNAYQSALSGFGWHGVLKKGTRVVRSVFLPVGKGLDIVNIGSSEGGTNSKRSPRRWIRCVDQKSGEEHVFRG >Manes.15G138500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11201799:11205207:1 gene:Manes.15G138500.v8.1 transcript:Manes.15G138500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRLVANKILRKRLGSNNFVADFPNSTDANSLEIPNLGRPALNADSIFNHHRDTHNYKVFVSTWNVGGIAPSEDLDMADWLDTPNVCDIYVLGFQEIVPLRASNVLGSENNKISMKWNCLIREALNKKVQCCRGDDEDPPQINIDPLEKRKNLLPPIKDERAIEGTISNTPQDFHCIISKQMVGILISVWVRSDLRPYVRHPNVSCVGCGIMGCLGNKGSVSVRFQLHETSFCFVCSHLASGGREGDEKHRNSDASEILSRTSFPRGPSLDLPRRILDHDRVILLGDLNYRISLPEETTRLLVNRKEWNTLLENDQLRMELMNGQAFEGWQEGIIKFAPTYKYCPNSNVYFGCLEGKKSEKSRAPAWCDRIIWYGEGLKQHLYGRGEAKLSDHRPVKAIFSAEVEVLQTLKGLQQFFLSERFDQITNKFDDMPSSQKFLCKSRLSFKI >Manes.15G138500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11201793:11205217:1 gene:Manes.15G138500.v8.1 transcript:Manes.15G138500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRLVANKILRKRLGSNNFVADFPNSTDANSLEIPNLGRPALNADSIFNHHRDTHNYKVFVSTWNVGGIAPSEDLDMADWLDTPNVCDIYVLGFQEIVPLRASNVLGSENNKISMKWNCLIREALNKKVQCCRGDDEDPPQINIDPLEKRKNLLPPIKDERAIEGTISNTPQDFHCIISKQMVGILISVWVRSDLRPYVRHPNVSCVGCGIMGCLGNKGSVSVRFQLHETSFCFVCSHLASGGREGDEKHRNSDASEILSRTSFPRGPSLDLPRRILDHDRVILLGDLNYRISLPEETTRLLVNRKEWNTLLENDQLRMELMNGQAFEGWQEGIIKFAPTYKYCPNSNVYFGCLEGKKSEKSRAPAWCDRIIWYGEGLKQHLYGRGEAKLSDHRPVKAIFSAEVEVLQTLKGLQQFFLSERFDQITNKFDDMPSSQKFLCKSRLSFKI >Manes.15G138500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11201833:11205206:1 gene:Manes.15G138500.v8.1 transcript:Manes.15G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLSAISFYLFWHSLSNPFSSHLLFFISMCFTMAGPNQGEVMWPRLVANKILRKRLGSNNFVADFPNSTDANSLEIPNLGRPALNADSIFNHHRDTHNYKVFVSTWNVGGIAPSEDLDMADWLDTPNVCDIYVLGFQEIVPLRASNVLGSENNKISMKWNCLIREALNKKVQCCRGDDEDPPQINIDPLEKRKNLLPPIKDERAIEGTISNTPQDFHCIISKQMVGILISVWVRSDLRPYVRHPNVSCVGCGIMGCLGNKGSVSVRFQLHETSFCFVCSHLASGGREGDEKHRNSDASEILSRTSFPRGPSLDLPRRILDHDRVILLGDLNYRISLPEETTRLLVNRKEWNTLLENDQLRMELMNGQAFEGWQEGIIKFAPTYKYCPNSNVYFGCLEGKKSEKSRAPAWCDRIIWYGEGLKQHLYGRGEAKLSDHRPVKAIFSAEVEVLQTLKGLQQFFLSERFDQITNKFDDMPSSQKFLCKSRLSFKI >Manes.10G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5031555:5047224:1 gene:Manes.10G046400.v8.1 transcript:Manes.10G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNPNNSSSKSKPSTSQEPVGVDTTAGLISGKKRRSGFVWLILFGVIIYSSWAVYHYQFESLPSALTAEQAGKRGFSEVEAMKHVQALTQLGPHPVGSDALDLALQYVLTEAENIKETAHWEVDVQVELFHVKAGANRLLGGLFKGKTLVYSDLNHIILRILPKYASEARENAILVSSHIDTVFSTEGAGDCSSCVGVMLELARGISQWAHGFKNAIIFLFNTGEEEGLNGAHSFITQHPWSKTIRMAIDLEAMGIGGKSGIFQAGPHPFAIENFALAAKYPSGHVVAQDLFSSGVIKSATDFQVYKEVAGLSGLDFAYTDNSGVYHTKNDKLEFLKSGSLQHLGENMLAFLLQIAPTPHLPKGNAMREEEKSGQDTAVFFDILGTYMIVYSQRFASMLHNSVIMQSLLIWVTSLLVGGYPAAISLGLSCLSAILMLIFSMSFSILVAFILPQISSSPVPYVANPWLVIGLFAAPALIGALIGQHFGYLIIQMYLSNVYTKKKQLSSVIQADLVKLEAERWLFKAGFIKWLVVLILGHYYKIGSSYIALFWLVPPAFAYGLLEATLTPARFPRPLKLATLLMGLALPIIISSGTFIRLAVTIVGIVVRFDRNPGGTPEWLGNTIIAVFIAVVICFTLVYILSYAHLSGATRTIILGTSVLFGLSLILILSGVLPPFTEDTGRAVNVVHIVDTTGSYGNKQHPSSYVSLFSTTPGTLIEEVEQIKEGFSCGRDNVVDLVTFSVKYGCWAHEDTKGGWSDADIPTLRVNSDTIGDERITQVSIDTQVSIRWSLAINTKEVEDFILTGNSDELVPFGNKSSTDGWHIIQFSGGKEAPRKFELTLFWVKKPMKSAHSVDEQTTEEQQPLLKLRTDVDRLTPKAERVLKKLPEWCSQFGKSTSPYNLAFLSSLPVDF >Manes.09G018001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3837016:3839759:-1 gene:Manes.09G018001.v8.1 transcript:Manes.09G018001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNYPSVQLGRGYGYQPPQQQQPQPQHQSPTPAPQPYPPQAPAQPYPPQAPAQPYPPQATNQPCASPAPVQPYPPPAPCQDQPYGAPQENPHCPAPPHGDNSQQAPYGSPFGSLAPSGFPPGTDPHIISSFQLGDHDGSGFIEDKELQRVLASYSQKFSLRTIHLVLFHFTNSNCRKIGPKEFTLVFKSLQNWRGIFENFDRDRSGMIDSNELREALCSLGFAVSPAVLDLLVSKFDKSGGKSKAIEYENFIECCLIVKGLTEKFKEKDCSYSGSATFTYEAFMLTVLPFLII >Manes.17G008600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3031616:3036727:-1 gene:Manes.17G008600.v8.1 transcript:Manes.17G008600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFLLVGVWHVWSSLFRYVSNPKAFRVRVWNPVPGFDGKLKYLELYLVMIGAFIDLCIELLYSTHLKFFVNGILNPNHMNDFEHSGMLLMFFIFGVVSLLSEKTRFLPLPEGTLCLVAATAFCAEYLLFYFHSTTHKGLEGYYHLLLVLLIGLCILSTIAGALLPTSFPLDLSNGIAITLQGLWFYQTAFSLYGPMIPDGCQLKNDQIICRSAASQVRGELLANFQLFALVLGVFVAVAILYDFLESKYGHSDIRSLHVAQDGLDSN >Manes.17G008600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3031616:3036289:-1 gene:Manes.17G008600.v8.1 transcript:Manes.17G008600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFLLVGVWHVWSSLFRYVSNPKAFRVRVWNPVPGFDGKLKYLELYLVMIGAFIDLCIELLYSTHLKFFVNGILNPNHMNDFEHSGMLLMFFIFGVVSLLSEKTRFLPLPEGTLCLVAATAFCAEYLLFYFHSTTHKGLEGYYHLLLVLLIGLCILSTIAGALLPTSFPLDLSNGIAITLQGLWFYQTAFSLYGPMIPDGCQLKNDQIICRSAASQVRGELLANFQLFALVLGVFVAVAILYDFLESKYGHSDIRSLHVAQDGLDSN >Manes.13G077049.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12044809:12046268:1 gene:Manes.13G077049.v8.1 transcript:Manes.13G077049.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPFFDKSGLKRGAWSPEEDEKLRAYVLRYGHWNWRKLPKFAGLSRCGKSCRLRWMNYLRPGVKHGSYSQQEDDLIMKWHQDVGNKWSLIAAKLQGRTDNEIKNYWHSHLKKIAKNKQNASGLKKVASETSQSDARENAETHEAQVFFPNSPSHLILESSPLSPETYSGKFSPITSDSAHVTPQDQSWSAESFRSFEESLGDFWTEPFVADSTYNQDVGFMPLSVFDYDDNVELLYQVMQELPGN >Manes.10G121500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29079470:29081690:-1 gene:Manes.10G121500.v8.1 transcript:Manes.10G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNHILNPPNKNLNQLVLAILSKCNHLNHLKQLQSFLTVLGHSQTQFYVFKLLRFSILKISNLSYARYVFDHVCFPNIYLYTAMVTAYASKLDYLSAFEFYRNMVRRGHPKPNQFIFPPVLKSYQTTQLVHAQIAKWGFVQYPVVQTALVDSYSRASFDIGVARQLFDEMSEKNVVSWNAMISGYTRVGQVGNAISLFDQMPERDVPSWNAVIAGCTQNGLFSEAISLFRKMMQHRHNRPNQVTVVCALPACGHTGMLQLGKSIHGYVYRYSLGFDSFMSNALMDMYGKCGSLKEAKRVFDMTSKKSLTTWNSMINCFALHGHGESAIGIFEEMIQHGEDVRPDEVTFIGLLNACTHGGLVEKGRYYFLMMTQDYGIEPQIEHYGCLIDILGRAGRFEEALEVVRGMKIEPDEVVWGSLFNGCKVHGQIDLAEFAVKKLIEIDPKNAGYGIMLANLYGELGKWDESRMVRKMLKQHNAYKTPGCSWIEIDNKVYQFYSADKTHPRSEEIYKILGALLGLSLRFWYSPYIDCSTQL >Manes.15G093100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7277206:7283350:-1 gene:Manes.15G093100.v8.1 transcript:Manes.15G093100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >Manes.08G094800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32073098:32073824:-1 gene:Manes.08G094800.v8.1 transcript:Manes.08G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFSTTNPSSSGSSSVPNKGKEKKKCGKRGNSNGVKLSTDPQSVAARERRHRISDRFKILQSLVPGGSKMDTVSMLEEAINYVKFLKTQILLHQTIMNFMDDDPYLYLPAGSLPPQQPSYFDANFADGVLQPPTSLSFPDGFFQGDDEVQHRDPFDKN >Manes.01G001700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1598142:1601723:1 gene:Manes.01G001700.v8.1 transcript:Manes.01G001700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKQRSSEPAPVETLVPQLQEVQSLPETIQEEDPQNVNVEDDSGRQQQEPQEAEEQELEELEHGREDVEKEPEEEEEGEGRQPEEHHSHNLEVSSSEAAQSIPELAEADSTNEGASNGNQAIDEEENEDDESLEKLLEPLGKDQLVGLLRKSVDKYPDLIDSVREIADADPGHRKIFVHGLGWDTTAETLKSEFGKYGEIEDCKAVTDRVSGKSKGYAFILFKQRAGARKALRQPQKKIGNRITSCQLATAGPVPAPSPTTPAVSEYTQRKIFVSNVSAELAPEKLLEFFGQYGEIEEGPLGIDKQTGKPKGFALFVYKSVESAKKALEEPHKKFEGHTLHCQRAIDGPKSNKTGYAGAVHHQHHHHQPQYHHAAKKGKYSSGAHGPGHLMAPSGPSVNFSPGVASQGLNPALGQALTALGLGNLLGGLGGAPVNMGGPPAGYVNQAGGGYGIEPGMQGGYTNLQMNPHIGQSSAGRAQQGGPTYMGH >Manes.01G001700.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1598142:1603993:1 gene:Manes.01G001700.v8.1 transcript:Manes.01G001700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKQRSSEPAPVETLVPQLQEVQSLPETIQEEDPQNVNVEDDSGRQQQEPQEAEEQELEELEHGREDVEKEPEEEEEGEGRQPEEHHSHNLEVSSSEAAQSIPELAEADSTNEGASNGNQAIDEEENEDDESLEKLLEPLGKDQLVGLLRKSVDKYPDLIDSVREIADADPGHRKIFVHGLGWDTTAETLKSEFGKYGEIEDCKAVTDRVSGKSKGYAFILFKQRAGARKALRQPQKKIGNRITSCQLATAGPVPAPSPTTPAVSEYTQRKIFVSNVSAELAPEKLLEFFGQYGEIEEGPLGIDKQTGKPKGFALFVYKSVESAKKALEEPHKKFEGHTLHCQRAIDGPKSNKTGYAGAVHHQHHHHQPQYHHAAKKGKYSSGAHGPGHLMAPSGPSVNFSPGVASQGLNPALGQALTALGLGNLLGGLGGAPVNMGGPPAGYVNQAGGGYGIEPGMQGGYTNLQMNPHIGQSSAGRAQQGGPTYMGH >Manes.01G001700.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1598142:1603993:1 gene:Manes.01G001700.v8.1 transcript:Manes.01G001700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKQRSSEPAPVETLVPQLQEVQSLPETIQEEDPQNVNVEDDSGRQQQEPQEAEEQELEELEHGREDVEKEPEEEEEGEGRQPEEHHSHNLEVSSSEAAQSIPELAEADSTNEGASNGNQAIDEEENEDDESLEKLLEPLGKDQLVGLLRKSVDKYPDLIDSVREIADADPGHRKIFVHGLGWDTTAETLKSEFGKYGEIEDCKAVTDRVSGKSKGYAFILFKQRAGARKALRQPQKKIGNRITSCQLATAGPVPAPSPTTPAVSEYTQRKIFVSNVSAELAPEKLLEFFGQYGEIEEGPLGIDKQTGKPKGFALFVYKSVESAKKALEEPHKKFEGHTLHCQRAIDGPKSNKTGYAGAVHHQHHHHQPQYHHAAKKGKYSSGAHGPGHLMAPSGPSVNFSPGVASQGLNPALGQALTALGLGNLLGGLGGAPVNMGGPPAGYVNQAGGGYGIEPGMQGGYTNLQMNPHIGQSSAGRAQQGGPTYMGH >Manes.01G001700.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1598142:1604193:1 gene:Manes.01G001700.v8.1 transcript:Manes.01G001700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKQRSSEPAPVETLVPQLQEVQSLPETIQEEDPQNVNVEDDSGRQQQEPQEAEEQELEELEHGREDVEKEPEEEEEGEGRQPEEHHSHNLEVSSSEAAQSIPELAEADSTNEGASNGNQAIDEEENEDDESLEKLLEPLGKDQLVGLLRKSVDKYPDLIDSVREIADADPGHRKIFVHGLGWDTTAETLKSEFGKYGEIEDCKAVTDRVSGKSKGYAFILFKQRAGARKALRQPQKKIGNRITSCQLATAGPVPAPSPTTPAVSEYTQRKIFVSNVSAELAPEKLLEFFGQYGEIEEGPLGIDKQTGKPKGFALFVYKSVESAKKALEEPHKKFEGHTLHCQRAIDGPKSNKTGYAGAVHHQHHHHQPQYHHAAKKGKYSSGAHGPGHLMAPSGPSVNFSPGVASQGLNPALGQALTALGLGNLLGGLGGAPVNMGGPPAGYVNQAGGGYGIEPGMQGGYTNLQMNPHIGQSSAGRAQQGGPTYMGH >Manes.10G047400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5189739:5191882:-1 gene:Manes.10G047400.v8.1 transcript:Manes.10G047400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPHVDFGLNILGGDVMSIPGLYRFVQEIIRKQVASLYLWPQSLDIPILDLSTVAVKKPVGILHVKVVRAMKLLKADFLGTSDPYVKLSLNGEKLPAKKTTIKKNNLNPVWNENFKLIVKDPQSQVLQLQVFDWDKVGGHDRLGMQLIPLKILTPHDTKEFTLDLLKHTNISDPQDKKQRGQIVVELTFVPFKEDSAKFNDPLNGGDEKSFEDERLSGAGLLSVIIQGAEDVEGKHHNNPYALVLFRGEKKKTKMIRKTRDPRWNEEFQFTLDQPPLHEKIHIDVMSKRTRFSFRAKETLGHVEINLDDVVHNGRINEKYHLIDSKNGVIHVEIRWDTV >Manes.10G047400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5189739:5193828:-1 gene:Manes.10G047400.v8.1 transcript:Manes.10G047400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYLDRAICATIKSTTKPIFAEYVGKYMIEAIEFEHLTLGTLPPTIHGVKVYETNEKDLVMEPAIRWAGNPNIILVLKLMSLQVKVQLVDLQIFAAPRIALKPLLPTFPCFANIVVSLMERPHVDFGLNILGGDVMSIPGLYRFVQEIIRKQVASLYLWPQSLDIPILDLSTVAVKKPVGILHVKVVRAMKLLKADFLGTSDPYVKLSLNGEKLPAKKTTIKKNNLNPVWNENFKLIVKDPQSQVLQLQVFDWDKVGGHDRLGMQLIPLKILTPHDTKEFTLDLLKHTNISDPQDKKQRGQIVVELTFVPFKEDSAKFNDPLNGGDEKSFEDERLSGAGLLSVIIQGAEDVEGKHHNNPYALVLFRGEKKKTKMIRKTRDPRWNEEFQFTLDQPPLHEKIHIDVMSKRTRFSFRAKETLGHVEINLDDVVHNGRINEKYHLIDSKNGVIHVEIRWDTV >Manes.10G047400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5189739:5193828:-1 gene:Manes.10G047400.v8.1 transcript:Manes.10G047400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYLDRAICATIKSTTKPIFAEYVGKYMIEAIEFEHLTLGTLPPTIHGVKVYETNEKDLVMEPAIRWAGNPNIILVLKLMSLQVKVQLVDLQIFAAPRIALKPLLPTFPCFANIVVSLMERPHVDFGLNILGGDVMSIPGLYRFVQEIIRKQVASLYLWPQSLDIPILDLSTVAVKKPVGILHVKVVRAMKLLKADFLGTSDPYVKLSLNGEKLPAKKTTIKKNNLNPVWNENFKLIVKDPQSQVLQLQVFDWDKVGGHDRLGMQLIPLKILTPHDTKEFTLDLLKHTNISDPQDKKQRGQIVVELTFVPFKEDSAKFNDPLNGGDEKSFEDERLSGAGLLSVIIQGAEDVEGKHHNNPYALVLFRGEKKKTKMIRKTRDPRWNEEFQFTLDQPPLHEKIHIDVMSKRTRFSFRAKETLGHVEINLDDVVHNGRINEKYHLIDSKNGVIHVEIRWDTV >Manes.10G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5189739:5193828:-1 gene:Manes.10G047400.v8.1 transcript:Manes.10G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSTFLGIIGFGFGLPIGVLLGFFLFVYSHPKDVKDPVVRPLHELDSSTLQDILPAIPLWVKCPDYERVDWLNKFLLNMWPYLDRAICATIKSTTKPIFAEYVGKYMIEAIEFEHLTLGTLPPTIHGVKVYETNEKDLVMEPAIRWAGNPNIILVLKLMSLQVKVQLVDLQIFAAPRIALKPLLPTFPCFANIVVSLMERPHVDFGLNILGGDVMSIPGLYRFVQEIIRKQVASLYLWPQSLDIPILDLSTVAVKKPVGILHVKVVRAMKLLKADFLGTSDPYVKLSLNGEKLPAKKTTIKKNNLNPVWNENFKLIVKDPQSQVLQLQVFDWDKVGGHDRLGMQLIPLKILTPHDTKEFTLDLLKHTNISDPQDKKQRGQIVVELTFVPFKEDSAKFNDPLNGGDEKSFEDERLSGAGLLSVIIQGAEDVEGKHHNNPYALVLFRGEKKKTKMIRKTRDPRWNEEFQFTLDQPPLHEKIHIDVMSKRTRFSFRAKETLGHVEINLDDVVHNGRINEKYHLIDSKNGVIHVEIRWDTV >Manes.10G047400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5189739:5193828:-1 gene:Manes.10G047400.v8.1 transcript:Manes.10G047400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAIEFEHLTLGTLPPTIHGVKVYETNEKDLVMEPAIRWAGNPNIILVLKLMSLQVKVQLVDLQIFAAPRIALKPLLPTFPCFANIVVSLMERPHVDFGLNILGGDVMSIPGLYRFVQEIIRKQVASLYLWPQSLDIPILDLSTVAVKKPVGILHVKVVRAMKLLKADFLGTSDPYVKLSLNGEKLPAKKTTIKKNNLNPVWNENFKLIVKDPQSQVLQLQVFDWDKVGGHDRLGMQLIPLKILTPHDTKEFTLDLLKHTNISDPQDKKQRGQIVVELTFVPFKEDSAKFNDPLNGGDEKSFEDERLSGAGLLSVIIQGAEDVEGKHHNNPYALVLFRGEKKKTKMIRKTRDPRWNEEFQFTLDQPPLHEKIHIDVMSKRTRFSFRAKETLGHVEINLDDVVHNGRINEKYHLIDSKNGVIHVEIRWDTV >Manes.04G159600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35401106:35419042:1 gene:Manes.04G159600.v8.1 transcript:Manes.04G159600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVQPTILEGEVVGIRFGLATHKEISTASVSDCPISHSSQLTNPFLGLPLEFGKCESCGTSEPGKCEGHFGYIELPIPIYHPSHVSELKRLLSLLCLKCLKMKTNKFQVKSNGVAERLFSCCEEASQISIKDIKTTDGAFFLKLKLPSRMRMRDGFWNFLERYGFRYGDDIIRTLLPCEVMEMLRRIPQETRKKLARKGYFPQDGYIMRYIPVPPNCLSVPDISDGISVMSSDLSISMLKKVLKQVEIIKSSRSGPANFESHEVEAVDLQSAVDQYLQVRGTARASRDVEARFGVHKESNDSSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYKRVNEIGIPFEIAQRITFEERVNVHNIKHLQELVDNKLCLTYKDGSSTYSLREGSKGHTFLRPGQIVHRRIMDGDKVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKRMFKENFLNKAAAQQLALFVSSSLPQPALRKVHHFGPFWTAPQILQMALPSLFDCIGERYLISEGDFVKVDFSRDSVQAIINEVVISIFFEKGPDAVFKFFNSLQPLLMENMFSEGFSVDLKDFYIFRAAMESIHRDFKVISPLLSHLRSRYNELVELQLENHIRYAKLPVEEFILRSSSLGELIDCKSDSAMTKLVQQIGFLGLQISDRGKFYTKTLVEDVASHFERKYPSDLVDYPSAQYGLIQSCFFHGLDPYEEMVHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNSGVTAQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCRVNFKNDLTDRRIILYFNDCGCGRSYCQEKAAYLVRNSLERVILKDIAKCFMIEYKKQQTIADASLVGHIHLEKMKLQELNVGMEVILQKCKDTITSFRKKKKHAIFKKIEVSMSECCSFEQSYADEWSSMPCLTFFWLDPDVHLENISHIFADVVCPVLLETIIKGDHRISSASIIWVSPDTTTWIRNPSSAQKGELALDVVIEKSAVKQSGDGWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSTSVKMVAKGVLKEHLVLLANSMTCAGNLVGFNSGGYKSLSRSLDIQVPFTEATLFTPRKCFERAAEKCHVDTLSSVVASCSWGKCVAVGTGSRFDLLWDQGEACFNQEGKTDVYEFLNMVRSANGEESVTACLGAEVDELMEDEIDWNLSPEHNFGSEKPIFEDTAEFQEKPWSSWGTDTVETQNALSTKAQEQSDKSSGWDTAATWQTKAKENTDEASTWSGWRTRKTEESDLPAMKVQKNPELSSSWDNAAAWQKNVSGSTEKIGIETNSWSGWGTRKTGAHDDLAIKPQEETGRPSDKDDGVAWGKGAQGDAQLENYGATLLKSNPNSWSGWDRKATENQLISGRASSTAEDWSKDKSPPLESCVDNSSWGKPKSSDVPCGWGSPKDSVKARSSLGWGLPDSGAANSPDVSRSWDLPKDSIKVGTSQGWGLPDSAAPKSPEVSHCWDLPKDSLKAANSLKAASSQGWGLPDSEAAKSPEVTQDWGLSKESVKSESSQGWGLPNSGASPEVSQGWGSSKESVKAANSQGWGLPNSGASQEVSQGWGSANESVKAAGSQGWGLPDSEAGNGSDRQQQWGQQQSGEFKRKRAEGSRGWGSKSADWKSKNRPAKSPGNVHDDSSMSGMYTATRQRLDMFTSEEQDILSDIEPLMRSIRRVMHQSGYNDGDALSAADQSYVLDNVFNYHPDKAAKMGSGIDHVTVSKHSSFQESRCFYVVSTDGSKQDFSYRKCLENFIKGKYPDLAEEFIEKYFTRPRARANRQQQNSVSGRSGDDSQQQNLVKERSQDDNQVQNLITEGNADENRS >Manes.04G159600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35401106:35419058:1 gene:Manes.04G159600.v8.1 transcript:Manes.04G159600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVQPTILEGEVVGIRFGLATHKEISTASVSDCPISHSSQLTNPFLGLPLEFGKCESCGTSEPGKCEGHFGYIELPIPIYHPSHVSELKRLLSLLCLKCLKMKTNKFQVKSNGVAERLFSCCEEASQISIKDIKTTDGAFFLKLKLPSRMRMRDGFWNFLERYGFRYGDDIIRTLLPCEVMEMLRRIPQETRKKLARKGYFPQDGYIMRYIPVPPNCLSVPDISDGISVMSSDLSISMLKKVLKQVEIIKSSRSGPANFESHEVEAVDLQSAVDQYLQVRGTARASRDVEARFGVHKESNDSSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYKRVNEIGIPFEIAQRITFEERVNVHNIKHLQELVDNKLCLTYKDGSSTYSLREGSKGHTFLRPGQIVHRRIMDGDKVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKRMFKENFLNKAAAQQLALFVSSSLPQPALRKVHHFGPFWTAPQILQMALPSLFDCIGERYLISEGDFVKVDFSRDSVQAIINEVVISIFFEKGPDAVFKFFNSLQPLLMENMFSEGFSVDLKDFYIFRAAMESIHRDFKVISPLLSHLRSRYNELVELQLENHIRYAKLPVEEFILRSSSLGELIDCKSDSAMTKLVQQIGFLGLQISDRGKFYTKTLVEDVASHFERKYPSDLVDYPSAQYGLIQSCFFHGLDPYEEMVHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNSGVTAQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCRVNFKNDLTDRRIILYFNDCGCGRSYCQEKAAYLVRNSLERVILKDIAKCFMIEYKKQQTIADASLVGHIHLEKMKLQELNVGMEVILQKCKDTITSFRKKKKHAIFKKIEVSMSECCSFEQSYADEWSSMPCLTFFWLDPDVHLENISHIFADVVCPVLLETIIKGDHRISSASIIWVSPDTTTWIRNPSSAQKGELALDVVIEKSAVKQSGDGWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSTSVKMVAKGVLKEHLVLLANSMTCAGNLVGFNSGGYKSLSRSLDIQVPFTEATLFTPRKCFERAAEKCHVDTLSSVVASCSWGKCVAVGTGSRFDLLWDQGEACFNQEGKTDVYEFLNMVRSANGEESVTACLGAEVDELMEDEIDWNLSPEHNFGSEKPIFEDTAEFQEKPWSSWGTDTVETQNALSTKAQEQSDKSSGWDTAATWQTKAKENTDEASTWSGWRTRKTEESDLPAMKVQKNPELSSSWDNAAAWQKNVSGSTEKIGIETNSWSGWGTRKTGAHDDLAIKPQEETGRPSDKDDGVAWGKGAQGDAQLENYGATLLKSNPNSWSGWDRKATENQLISGRASSTAEDWSKDKSPPLESCVDNSSWGKPKSSDVPCGWGSPKDSVKARSSLGWGLPDSGAANSPDVSRSWDLPKDSIKVGTSQGWGLPDSAAPKSPEVSHCWDLPKDSLKAANSLKAASSQGWGLPDSEAAKSPEVTQDWGLSKESVKSESSQGWGLPNSGASPEVSQGWGSSKESVKAANSQGWGLPNSGASQEVSQGWGSANESVKAAGSQGWGLPDSEAGNGSDRQQQWGQQQSGEFKRKRAEGSRGWGSKSADWKSKNRPAKSPGNVHDDSSMSGMYTATRQRLDMFTSEEQDILSDIEPLMRSIRRVMHQSGYNDGDALSAADQSYVLDNVFNYHPDKAAKMGSGIDHVTI >Manes.04G159600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35401106:35419042:1 gene:Manes.04G159600.v8.1 transcript:Manes.04G159600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVQPTILEGEVVGIRFGLATHKEISTASVSDCPISHSSQLTNPFLGLPLEFGKCESCGTSEPGKCEGHFGYIELPIPIYHPSHVSELKRLLSLLCLKCLKMKTNKFQVKSNGVAERLFSCCEEASQISIKDIKTTDGAFFLKLKLPSRMRMRDGFWNFLERYGFRYGDDIIRTLLPCEVMEMLRRIPQETRKKLARKGYFPQDGYIMRYIPVPPNCLSVPDISDGISVMSSDLSISMLKKVLKQVEIIKSSRSGPANFESHEVEAVDLQSAVDQYLQVRGTARASRDVEARFGVHKESNDSSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYKRVNEIGIPFEIAQRITFEERVNVHNIKHLQELVDNKLCLTYKDGSSTYSLREGSKGHTFLRPGQIVHRRIMDGDKVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKRMFKENFLNKAAAQQLALFVSSSLPQPALRKVHHFGPFWTAPQILQMALPSLFDCIGERYLISEGDFVKVDFSRDSVQAIINEVVISIFFEKGPDAVFKFFNSLQPLLMENMFSEGFSVDLKDFYIFRAAMESIHRDFKVISPLLSHLRSRYNELVELQLENHIRYAKLPVEEFILRSSSLGELIDCKSDSAMTKLVQQIGFLGLQISDRGKFYTKTLVEDVASHFERKYPSDLVDYPSAQYGLIQSCFFHGLDPYEEMVHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNSGVTAQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCRVNFKNDLTDRRIILYFNDCGCGRSYCQEKAAYLVRNSLERVILKDIAKCFMIEYKKQQTIADASLVGHIHLEKMKLQELNVGMEVILQKCKDTITSFRKKKKHAIFKKIEVSMSECCSFEQSYADEWSSMPCLTFFWLDPDVHLENISHIFADVVCPVLLETIIKGDHRISSASIIWVSPDTTTWIRNPSSAQKGELALDVVIEKSAVKQSGDGWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSTSVKMVAKGVLKEHLVLLANSMTCAGNLVGFNSGGYKSLSRSLDIQVPFTEATLFTPRKCFERAAEKCHVDTLSSVVASCSWGKCVAVGTGSRFDLLWDQGEACFNQEGKTDVYEFLNMVRSANGEESVTACLGAEVDELMEDEIDWNLSPEHNFGSEKPIFEDTAEFQEKPWSSWGTDTVETQNALSTKAQEQSDKSSGWDTAATWQTKAKENTDEASTWSGWRTRKTEESDLPAMKVQKNPELSSSWDNAAAWQKNVSGSTEKIGIETNSWSGWGTRKTGAHDDLAIKPQEETGRPSDKDDGVAWGKGAQGDAQLENYGATLLKSNPNSWSGWDRKATENQLISGRASSTAEDWSKDKSPPLESCVDNSSWGKPKSSDVPCGWGSPKDSVKARSSLGWGLPDSGAANSPDVSRSWDLPKDSIKVGTSQGWGLPDSAAPKSPEVSHCWDLPKDSLKAANSLKAASSQGWGLPDSEAAKSPEVTQDWGLSKESVKSESSQGWGLPNSGASPEVSQGWGSSKESVKAANSQGWGLPNSGASQEVSQGWGSANESVKAAGSQGWGLPDSEAGNGSDRQQQWGQQQSGEFKRKRAEGSRGWGSKSADWKSKNRPAKSPGNVHDDSSMSGMYTATRQRLDMFTSEEQDILSDIEPLMRSIRRVMHQSGYNDGDALSAADQSYVLDNVFNYHPDKAAKMGSGIDHVTVSKHSSFQESRCFYVVSTDGSKQDFSYRKCLENFIKGKYPDLAEEFIEKYFTRPRARANRQQQNSVSGRSGDDSQQQNLVKERSQDDNQVQNLITEGNADENRS >Manes.04G159600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35401106:35419042:1 gene:Manes.04G159600.v8.1 transcript:Manes.04G159600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVQPTILEGEVVGIRFGLATHKEISTASVSDCPISHSSQLTNPFLGLPLEFGKCESCGTSEPGKCEGHFGYIELPIPIYHPSHVSELKRLLSLLCLKCLKMKTNKFQVKSNGVAERLFSCCEEASQISIKDIKTTDGAFFLKLKLPSRMRMRDGFWNFLERYGFRYGDDIIRTLLPCEVMEMLRRIPQETRKKLARKGYFPQDGYIMRYIPVPPNCLSVPDISDGISVMSSDLSISMLKKVLKQVEIIKSSRSGPANFESHEVEAVDLQSAVDQYLQVRGTARASRDVEARFGVHKESNDSSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYKRVNEIGIPFEIAQRITFEERVNVHNIKHLQELVDNKLCLTYKDGSSTYSLREGSKGHTFLRPGQIVHRRIMDGDKVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKRMFKENFLNKAAAQQLALFVSSSLPQPALRKVHHFGPFWTAPQILQMALPSLFDCIGERYLISEGDFVKVDFSRDSVQAIINEVVISIFFEKGPDAVFKFFNSLQPLLMENMFSEGFSVDLKDFYIFRAAMESIHRDFKVISPLLSHLRSRYNELVELQLENHIRYAKLPVEEFILRSSSLGELIDCKSDSAMTKLVQQIGFLGLQISDRGKFYTKTLVEDVASHFERKYPSDLVDYPSAQYGLIQSCFFHGLDPYEEMVHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVNSGVTAQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILLCRVNFKNDLTDRRIILYFNDCGCGRSYCQEKAAYLVRNSLERVILKDIAKCFMIEYKKQQTIADASLVGHIHLEKMKLQELNVGMEVILQKCKDTITSFRKKKKHAIFKKIEVSMSECCSFEQSYADEWSSMPCLTFFWLDPDVHLENISHIFADVVCPVLLETIIKGDHRISSASIIWVSPDTTTWIRNPSSAQKGELALDVVIEKSAVKQSGDGWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSTSVKMVAKGVLKEHLVLLANSMTCAGNLVGFNSGGYKSLSRSLDIQVPFTEATLFTPRKCFERAAEKCHVDTLSSVVASCSWGKCVAVGTGSRFDLLWDQGEACFNQEGKTDVYEFLNMVRSANGEESVTACLGAEVDELMEDEIDWNLSPEHNFGSEKPIFEDTAEFQEKPWSSWGTDTVETQNALSTKAQEQSDKSSGWDTAATWQTKAKENTDEASTWSGWRTRKTEESDLPAMKVQKNPELSSSWDNAAAWQKNVSGSTEKIGIETNSWSGWGTRKTGAHDDLAIKPQEETGRPSDKDDGVAWGKGAQGDAQLENYGATLLKSNPNSWSGWDRKATENQLISGRASSTAEDWSKDKSPPLESCVDNSSWGKPKSSDVPCGWGSPKDSVKARSSLGWGLPDSGAANSPDVSRSWDLPKDSIKVGTSQGWGLPDSAAPKSPEVSHCWDLPKDSLKAANSLKAASSQGWGLPDSEAAKSPEVTQDWGLSKESVKSESSQGWGLPNSGASPEVSQGWGSSKESVKAANSQGWGLPNSGASQEVSQGWGSANESVKAAGSQGWGLPDSEAGNGSDRQQQWGQQQSGEFKRKRAEGSRGWGSKSADWKSKNRPAKSPGNVHDDSSMSGMYTATRQRLDMFTSEEQDILSDIEPLMRSIRRVMHQSGYNDGDALSAADQSYVLDNVFNYHPDKAAKMGSGIDHVTVSKHSSFQESRCFYVVSTDGSKQDFSYRKCLENFIKGKYPDLAEEFIEKYFTRPRARANRQQQNSVSGRSGDDSQQQNLVKERSQDDNQVQNLITEGNADENRS >Manes.06G048400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15158045:15189621:1 gene:Manes.06G048400.v8.1 transcript:Manes.06G048400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETELHDLSDDPDYAASIQQGSASDMMRSNSSKRSSSSEPEGAEVVYLKDNVAIHPTQFASERISGRLKLIKQGSSLFITWIPYKGQTSNARLSEKDSSLYTIKAVPFTDVRSIRRHTPTLGWQYIIVVLSSGLAFPPLYFYNGGVKEFLATIKQHVLIVRSLEDANVFLVNDFQNPLQRTLSSLELPRAVPIASGASAYASTGESPSYENQERAARDAHNGSSSISQNVRRQRQKSNDPARDLSIQVLEKFSLVTRFARETTSQLFRENQSNGFGAVERKNYSHSSLDIPPKIPKDTEEVPIQSTVPSDPLEFDKLTLVWGKPRQPPLGFEEWSTFLDSEGRVMDSKALRKRIFYGGVEHTLRREVWPLLLGYHTYDSTYEERECLQYNKKLEYETIKRQWQSISPEQAKRFTKFRERKGLIDKDVVRTDRSLSFYDGDDNPNVNILGDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQSGMHSQLFALSKLIEILDSPLHNYFKQNDCLNYFFCFRWILIQFKREFEFEKVMRLWEVLWTHYLSEHLHLYACVAILKRYRKKIMGEQMDFDTLLKFINELSGRIDLDAILRDAEALCICAGENGAASIPPGTPPSLPLGNENDMLYSQHDDEVL >Manes.03G033200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2769835:2771987:-1 gene:Manes.03G033200.v8.1 transcript:Manes.03G033200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIICRDFLLYSSLFVFYLFFISIYLSEAFLPIPSLLLSLSLIMTPLYHSPFLIDLNEGQQHDQLFFSKSTEEEAPSSSSYVSILNNPSQEFADYYHRDLQPLIQHQAEANISASHGGSWDYPNENESGFKLSSAVCKEENTNEDQRENSSVKWMSSKMRLMKRMMSSSDQTVSGKPETCMHKFEDDKERSLPLQDDNSSKNFSCSSSNSIRVCADCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAQTAGASGTIFGSKASAMKTKMKNKERKTSDSHLPLKKRCKFTAQARGRKKLCFEDSPINLSKNSAFHQQVFPQDEKEAAILLMALSYGLVHG >Manes.07G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33872366:33874919:-1 gene:Manes.07G136100.v8.1 transcript:Manes.07G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKSARNVLSYNIWKNRYVLTPSNLLTAQLTVISLSSKFIDLWLYKLGQTSVVSQIVAGILLGPSLLGQYEELARVLFPRESRSTLSTIAAFGNMFYHFLNAVKTDPVMVLRPGRVAMFIASSAFSITLVSSLFLSLILKRNVKMNAKLHNSLSIIAMSQAFTGISVVSYLVTELKLQNTDVGRLSLATAVVTDLLNTIMVTVSFFTGERMMSGQLIIMWAVLTTFAVVSVVFFVFRPIILAMISYMPAGKPVDQKYIFLIIILTLVLAFVSEAIGQHYVFGPALLGLIVPDGPPLGACLVSRLDTFILGFLYPTYLAISGLQTNVFDINPQDLWIVGIVVIFGITVKTVTVVVTGKLMNLSTKEAFVLAMVLNSKGILELTVYNFWKDNKILDGEEFSVCVLSLVLTTAIITPLIRYLCDPSKQFQPFRRNTIQHSKPNSELKILVCIHKAENVPTIFNLLEISHATLESPIAVISLVLVELIGRYTPVLISNDHYKSPHNQTSTATRIANALRQYEQNNQGCTTVQSFTSISLFETMHDDIFRVATDTRVTIVIMPYHRQWAIDGDVDSSNASIRRLNKTVLDKAPCSVGILIDRGILRGSANVKANKSKLNVAIIFVSGPDDEEALAYGARMAKNDNVILTVIQYVLFGSENCLERKRNSDLIHHYKQANMRNERFLFLEEVVKDGVGLSQCIGKIDNFFDLILVGRYHRQSPLFEGLEAWSECPELGVVGDMLASPDFKTTASVLVVQQQKIRSTTKKKPSNLVVPLHTVKEGGSLI >Manes.09G060572.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9760307:9762138:-1 gene:Manes.09G060572.v8.1 transcript:Manes.09G060572.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMLTPGVDASAQSQSLDPRKIQDHFEDFYQDLFEELSKYGDIESLNICDNLADHMVGNVYVQFREEDHAANALNNLNGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRRLFGRNRRRRSRSRSHSPSRHRGYDDRSHGGRGFGRRDDNRDHHHERGRRHRSRSPGRRGGRSRSPAGRRNRSPPVRESSVERRAKIEQWNREREQTTSGNRDSQNPNNDKNSNGDAWNGGLDDDPQHQ >Manes.07G134901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33610575:33621647:1 gene:Manes.07G134901.v8.1 transcript:Manes.07G134901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIGYLNSVSAVCQLNFVDGHKLFNFSLASPLPKFPHGVLSEDGFYKVAVNESVLWFEDCGGPLHYGMECSALVTDNIGDERNPQKGVIVKMSNTVKTSTGSKNCSLNVSVICDSNGVQAAVIQAASFGLCHCICSWEGMGLVWYLGHHYLMSFW >Manes.13G101900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29885796:29897926:-1 gene:Manes.13G101900.v8.1 transcript:Manes.13G101900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENQMEYMGQYKMLPPESGTFQDREELIKYVRDFGASQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIEESQRKRKACSRLINCPFEAIGKKEDDVWVLTIKSGDHNHEPLKDMSEHPYSRRFSEEEVRQIKMMTEAGVKPRQVLKALKQSNPELQSTPRHLYNLKAKIRQGNISEKSLKSWRPNRSVPVNTSSTSTEGSLKQNIQTLKVPNFIGGKFVESKGSTKIDVINPATQEVVSEVPLTTYEEFKDAVIAAKKAFPSWKNTPIATRQRIMFKLQELIRRDMDKLAMNITLEQGKTLKGAQGDVLRGLEVVEHACGMATLQMGEFVPNACNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCEKNPGASIILAALGMEAGLPDGVLNIVHGTNDIVNYICDEDDIKAVSFIGSDIAGMHIHARAAARGKRVQSNIGGKNHAIIMPDASMDDTLNALVAAGFGAAGQRCMALSTAIFVGGSVAWEDELVEHAKSLKVNGGTDPTADIGPVISKEVKDRISRLVQSGVDSGARLLLDGRNIVVQGYEKGSFVGPTILCDVTVNMDCYKEEIFGPVLLCMQADSLEEAITIVNRNRYGNGASIFTTSGVAARKFQNDIDAGMVGINVPVPVPVPVSSSNESKASFAGDLNFCGKASVQFYTQIKTVAQQWRGLPSLGGSLSILTSCDVEANRGVSSALERDLPKERVPPNISMASERDSPKHGELLSGDLSNSGASSMPQIVDEDLPGQDESLVLSPTVEQDLSDRERSLAIPSATERNSSRQGMSLNIMQTSESIYTPQLSKWNENLPLTSQRTESISSTAQRIYMPASQRNGKVGPSSKRTDAAMALTSDCVYMSTSNENDNMGPISHRSVSDSISPASHGTDASVHPVSERLFDIISSSHLNDSMSRRFPRSDTIFPTSEKPYIAATAHRNDHMGSASQRHDITSYPTSERIFVTRQSQRADMVPASQQADAMPPPETMYLPTIVQRNNGAPATSERLYMPLTSQRMYAENSIISIDDFSSQGASMALPTSQRI >Manes.13G101900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29885796:29897926:-1 gene:Manes.13G101900.v8.1 transcript:Manes.13G101900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENQMEYMGQYKMLPPESGTFQDREELIKYVRDFGASQGYVVTIKKSRKDRRVILGCDRGGVYRNRRKIEESQRKRKACSRLINCPFEAIGKKEDDVWVLTIKSGDHNHEPLKDMSEHPYSRRFSEEEVRQIKMMTEAGVKPRQVLKALKQSNPELQSTPRHLYNLKAKIRQGNISEKSLKSWRPNRSVPVNTSSTSTEGSLKQNIQTLKVPNFIGGKFVESKGSTKIDVINPATQEVVSEVPLTTYEEFKDAVIAAKKAFPSWKNTPIATRQRIMFKLQELIRRDMDKLAMNITLEQGKTLKGAQGDVLRGLEVVEHACGMATLQMGEFVPNACNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCEKNPGASIILAALGMEAGLPDGVLNIVHGTNDIVNYICDEDDIKAVSFIGSDIAGMHIHARAAARGKRVQSNIGGKNHAIIMPDASMDDTLNALVAAGFGAAGQRCMALSTAIFVGGSVAWEDELVEHAKSLKVNGGTDPTADIGPVISKEVKDRISRLVQSGVDSGARLLLDGRNIVVQGYEKGSFVGPTILCDVTVNMDCYKEEIFGPVLLCMQADSLEEAITIVNRNRYGNGASIFTTSGVAARKFQNDIDAGMVGINVPVPVPVPVSSSNESKASFAGDLNFCGKASVQFYTQIKTVAQQWRGLPSLGGSLSILTSCDVEANRGVSSALERDLPKERVPPNISMASERDSPKHGELLSGDLSNSGASSMPQIVDEDLPGQDESLVLSPTVEQDLSDRERSLAIPSATERNSSRQGMSLNIMQTSESIYTPQLSKWNENLPLTSQRTESISSTAQRIYMPASQRNGKVGPSSKRTDAAMALTSDCVYMSTSNENDNMGPISHRSVSDSISPASHGTDASVHPVSERLFDIISSSHLNDSMSRRFPRSDTIFPTSEKPYIAATAHRNDHMGSASQRHDITSYPTSERIFVTRQSQRADMVPASQQADAMPPPETMYLPTIVQRNNGAPATSERLYMPLTSQRMYAENSIISIDDFSSQGASMALPTSQRI >Manes.01G243300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40444559:40444927:-1 gene:Manes.01G243300.v8.1 transcript:Manes.01G243300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKKTATSSVFEDWLPVMAEKLDVDAFVAELCGGFRLLADPQKGLITSGSLRRNSALLGMQGMTKEEAEAMVREGDLNGDGALDETEFCILMVRLSPEMMENAETWLEKALDQELRKSSH >Manes.14G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7941801:7945566:-1 gene:Manes.14G095800.v8.1 transcript:Manes.14G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPEQESSIAAGNCPANIMLSNWTETVSVHAEPPVSSINTTPSSQDRLPDQQQSNPSSFSILLECLRPVTLKFEDVAYSISLSTKGTCCSPNGTKSTRSVLNGVSGICRPGELLAMLGPSGSGKTTLLTALAGRLPGKISGNITYNGLPFSSSMKRKTGFVAQDDVLYPHLTVIETLTYSALLRLPKKLTREEKIEQAEMVIKELGLTRCRNNIVGGPLLRGISGGERKRVSIGQEMLVNPCLLLLDEPTSGLDSTTAQRIVATIKGLARGGRTVITTIHQPSSRLYRMFDKVVVLSDGCPIYSGQAGRVMEYFGSIGYVPGFNFMNPADFLLDLANGIIPDTREDDQLEVHSKVDHLEDQNSVKQSLISSYKKNLYPALQAEIRRNFQDPALPASSGASSLRNSEDQWTTTWWQQFKVVLGRGLRERKHESFSGLRIFQVMSVSILSGLLWWHSDISHIQDQVGLLFFFSIFWGFFPLFNAIFTFPQERPMLIKERSSGMYRLSSYYFARIAGDLPMELILPTIFVTVTYWMGGLKPSLITFILTLLIILFNVLVSQGLGLALGAILMEVKQATTLASVTMLVFLLAGGYYIQHIPQFIAWLKYISFSHYCYKLLVAVQYSANEVYECELGMHCRVMDFPAIKYLGPDNKWWDVAALSMMLVGYRIVAYMALRMGQPH >Manes.02G112800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8707458:8711630:-1 gene:Manes.02G112800.v8.1 transcript:Manes.02G112800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTSSPNSLLLQNPNRHHLLKPNSYTTTFSNFATQNKPSSIRCAATSTAPVSPIPATQDPEERVFNFAAGPATLPANVLKKAQAELYNWRGSGMSVMEMSHRGKEFLSIIQKAEADLRALLDIPEDYAVLFLQGGATTQFAMIPLNLCKPDDPVDYVVTGSWGDKAFKEAQKFCMPKVIWSGKSEKYTNIPAFESLEQNPDAKYLHICTNETIHGVEFNDYPTPKNGILVADMSSNFCSKPVDVSKFGLIYAGAQKNVGPSGVTIVIIKKDLIGNAQEITPVMLDYKIHAENNSLYNTPPCYGIYMCGLVFEDLLAQGGLTEVEKKNKKKAELIYNAIDESNGFYRCPVEKSVRSLMNVPFTLEKSDLEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA >Manes.02G112800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8707458:8711630:-1 gene:Manes.02G112800.v8.1 transcript:Manes.02G112800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTSSPNSLLLQNPNRHHLLKPNSYTTTFSNFATQNKPSSIRCAATSTAPVSPIPATQDPEERVFNFAAGPATLPANVLKKAQAELYNWRGSGMSVMEMSHRGKEFLSIIQKAEADLRALLDIPEDYAVLFLQGGATTQFAMIPLNLCKPDDPVDYVVTGSWGDKAFKEAQKFCMPKVIWSGKSEKYTNIPAFESLEQNPDAKYLHICTNETIHGVEFNDYPTPKNGILVADMSSNFCSKPVDVSKFGLIYAGAQKNVGPSGVTIVIIKKDLIGNAQEITPVMLDYKIHAENNSLYNTPPCYGIYMCGLVFEDLLAQGGLTEVEKKNKKKAELIYNAIDESNGFYRCPVEKSVRSLMNVPFTLEKSDLEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA >Manes.02G112800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8707458:8711967:-1 gene:Manes.02G112800.v8.1 transcript:Manes.02G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTSSPNSLLLQNPNRHHLLKPNSYTTTFSNFATQNKPSSIRCAATSTAPVSPIPATQDPEERVFNFAAGPATLPANVLKKAQAELYNWRGSGMSVMEMSHRGKEFLSIIQKAEADLRALLDIPEDYAVLFLQGGATTQFAMIPLNLCKPDDPVDYVVTGSWGDKAFKEAQKFCMPKVIWSGKSEKYTNIPAFESLEQNPDAKYLHICTNETIHGVEFNDYPTPKNGILVADMSSNFCSKPVDVSKFGLIYAGAQKNVGPSGVTIVIIKKDLIGNAQEITPVMLDYKIHAENNSLYNTPPCYGIYMCGLVFEDLLAQGGLTEVEKKNKKKAELIYNAIDESNGFYRCPVEKSVRSLMNVPFTLEKSDLEAEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQAKHA >Manes.01G219900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38821195:38828828:-1 gene:Manes.01G219900.v8.1 transcript:Manes.01G219900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASYTDMTKQRTRKAKKMAKHKSLTNKNNKIDKRTNRKTGPRLPAALLRELDHIKPKHHLDGEEDEDIVSDEENDLYEYEEGVPEEESKKNRRYDPVENYQYELPRQFKDENVQSDDDDDDNDFDGDMNKVEETHGKRKNLGQLDDDDVEEEDDERHLRMLQGITGMPTEAFDGKKKKKKVVISEAYPESEYNPSRDVLDGDGRITIEDLLGSLQGKSVCSELRKRRHQMERKSAPLQAPLPKGVRDKLERKAAYEQSKKDITKWEPLIKRNREAPSIIFDRDIDLGFSTVGAIASEFEPRTEFEKKMASLVYDDKVMEAHKEDGARLLELNKISAEDVKDKWDHIAKMRSLLFRHELKMKRIKKIKSKVYHRMMKKDRLKASSHEMLMDPEAAKEQAMKQEFKRAEERMTLKHKNRSKWARRVLERGLNVQDEGTRAAVAEQLHQHALLTRKMNSMKDSSSDDSSDEEFDEISVGSDHDGASKMLAKAKEKTLKVLEEDDEVPNSGVLSLPFMVRGLKKRKEEAEEEAKLALKEYESSLKQLEDTDVAENTQVGNVSGRRVFGASKTQVTEPNNKKKLDNAYGSSDSEDEFRDREDNTGLGRTNVVQKDVNIKSVLFSEDSENRQDSEFKSFDDIVEGTGPKTTYEVAIFASDTWKKMKSQNEAGTEVRRSPKVVEPAVQNQDTDEVREESDTDSEGQMVDGILSSGPKRSYELPSQEELIREAFAGDDVEEEFTKDKEEILNDENPEPEKPVSLPGWGQWTHVQKKKGLPSWMVEEHEIAERKREEALKKRKDAHLKHVIISEKLDKKAEKLHTKTLPYPFTSKEVFEHSIRMPIGPDFNPATAVGALNRPEVVKKPGLIIKPVKFEDVDPYEREEHKRSGHKQKQRANRIRQRVKPVVAKS >Manes.08G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:612812:615488:1 gene:Manes.08G003100.v8.1 transcript:Manes.08G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPLYCSSSCSSSLISNPYPLTFHWSPPPPSSSATTSTTVTSKPLRLHRAYTCQANPTTADLSLTTAHNDQRLLFLLRQRKTEEAWTLYTQSTQLPTPTCLSRLVSQLSYQNTPLSLSRAQSILTRLRHDRQLHRLDANSLGLLAVSATKSGQLLYAVSLINSMLRSGYLPHVKAWSAVVSRLASSPDDGPTQALKLFKSITRRVLRFSDVAMVTDSRPDTAAFNNVLNACANMGDRKMFLELFEEMSEFGAEPDILTYNVLIKLCARCDRKDLLVFVLERAIEKGIPLCMTTLHSLVAAYVGFGDLETAENMVQAMREERRDLCKILREANMEDYSEDKEDAIEDEDENNVFNKLLPNWVDASNTEPPLLPKAYPPDSRIYTTLMKGYMMQGRVSDTVRMLEAMRRQDDNASHPDQVTYTTVISALVKVGSMDRARQVLAEMTRVGVPANRITYNILLKGYCQQLQIDKAKELLKEMADDAEIEPDVVSYNTLIDGCIQVDDSAGALVFFNEMRAKGIAPTKVSYTTLMKAFALSGQPKLANQVFDEMIKDPRVKVDLIAWNMLVEGYCKLGLVEEAKKIIQRMKESGFYPNVATYGSLANAISLARKPGEALLLWKEVKERCMAQKEGDNSNSDSPIPSTLKPDENLLDSLADICVRAAFFQKALEIVACMEEYGIPPNKTKYKKIYVEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRLEPTYGGE >Manes.02G031900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2608783:2611667:-1 gene:Manes.02G031900.v8.1 transcript:Manes.02G031900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSCCGLSIYVSQILRVMNNERHSKNMCMNRVEKFPSPPSFPAKRKQRSKKPKVQVLGLSRRGCQKEEGEDMELKNLKLYLENQSIVEENEKLRKKANLLHQENLALMSEFEKKFPHLDRFSTTLSLLHNKH >Manes.02G031900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2608783:2609197:-1 gene:Manes.02G031900.v8.1 transcript:Manes.02G031900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNRVEKFPSPPSFPAKRKQRSKKPKVQVLGLSRRGCQKEEGEDMELKNLKLYLENQSIVEENEKLRKKANLLHQENLALMSEFEKKFPHLDRFSTTLSLLHNKH >Manes.04G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33585627:33588161:-1 gene:Manes.04G135400.v8.1 transcript:Manes.04G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVSDFPSANNIEEESEVNIVEKMDRISELPECIIHHIYSFLPTKDMAKTALLSKSWRSKRASYPNLDFDQSLFGEELEIPGMHRRNAKENNEQNSVRKLRKKFIQFVDGTMQRFCDQDLYIQKFKLHMKIRQSHHAYLVQEWIRLAIKRRVREVSIHILMPVFMALLLPREIFACKSITVLKLSCCDLRAISFRDTSAVMLPCLLKLSLKHVSVGEFTVQYFLLGCPSIVHLSFKNCKGFFTNLYIPGPKSLKILKLIVVKREFKQLERVDIEAPTLERLTIHGDSVMTKVAKSRELKSLTIKGGDMVTDQLFRELISEHPLLETLDLDSCKRLERINISSRRLKSLKIRNCRYLEHCEIVTPNLLSFEFDGKLSAFCSGFISAPCQWKVKLELDFDTLWLSDLEFLIKENRIKHLTLSISSDEISSYYSGHKVNPLVISPCCEVEHLSLRTDVSRSSYRAIVESLFSICQPKILSVAFRMKSTNKFIKFLYENLMDIKNPKCCRARKQKCWRHYLKDVKIERVESDKKEKPQCLACLEKMPLEWVTLLNPQPKLGGGLVYFALNWRDLPSVNHE >Manes.04G135400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33585626:33587957:-1 gene:Manes.04G135400.v8.1 transcript:Manes.04G135400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISELPECIIHHIYSFLPTKDMAKTALLSKSWRSKRASYPNLDFDQSLFGEELEIPGMHRRNAKENNEQNSVRKLRKKFIQFVDGTMQRFCDQDLYIQKFKLHMKIRQSHHAYLVQEWIRLAIKRRVREVSIHILMPVFMALLLPREIFACKSITVLKLSCCDLRAISFRDTSAVMLPCLLKLSLKHVSVGEFTVQYFLLGCPSIVHLSFKNCKGFFTNLYIPGPKSLKILKLIVVKREFKQLERVDIEAPTLERLTIHGDSVMTKVAKSRELKSLTIKGGDMVTDQLFRELISEHPLLETLDLDSCKRLERINISSRRLKSLKIRNCRYLEHCEIVTPNLLSFEFDGKLSAFCSGFISAPCQWKVKLELDFDTLWLSDLEFLIKENRIKHLTLSISSDEISSYYSGHKVNPLVISPCCEVEHLSLRTDVSRSSYRAIVESLFSICQPKILSVAFRMKSTNKFIKFLYENLMDIKNPKCCRARKQKCWRHYLKDVKIERVESDKKEKPQCLACLEKMPLEWVTLLNPQPKLGGGLVYFALNWRDLPSVNHE >Manes.14G115828.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10962825:10968317:1 gene:Manes.14G115828.v8.1 transcript:Manes.14G115828.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYFTKLPKNSEPLNSKPKEKVAFVEKESLASDDDIIGDPGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTLIREKNRRFQVAWFKDYEWLEYSVSKDKAYCLYCYLFANNNRSGGNVFTEIGFNNWKDGRRAFVNHEGSPGSSHSGCRMKVGQYRNQRGNVNQLLARQTAAMEDDYRTRLSTVVSVARILLEEGLPFRGHDESAESLHQGNFLEHISWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIECCAMETRKIILNELGEKKFVLLVDEARDCSVKEQMSLVLRFVNDKGMVLERFLGLVHVNETSAKVLKNVIDTFFAKHDLSLAKLRGQGYDGAANMSGEFNGLKTLILKENKNAHYIHCFAHQLQLVVVTASHESESVGDFFETLSMIVNTIGASCKRKDTLREIHNEEVLNQVEMGEISTGRGQNQEISLARPGDTRWGSHYTTIVRLFDMWNSVERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDDWDNLLKEVTEFCEGHSIDVPNMENFVHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSAMNVVKTDLRNRLGDDLLSDCLVCYFEKEIFRSIDDEVIMQSFQNLASRRNQLRPLKIRRPNPC >Manes.16G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32845844:32848146:1 gene:Manes.16G127400.v8.1 transcript:Manes.16G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFFLMLYTVIANGDSISMSPVASPSPSPRPLLISPTSPAYPPMAEDENNAPAPSPSYPPVDISQGIKAAYWPSFNGLDPSSIDTSYFTHIYYAFLPLDPVSFKLNVTPFHQEMIPEFIATLRSRNPPAKVLLSIGGADPNQTYYFSIMSTTNQTRAVFINSTIEVGRKYGFDGLDLDWEFPANDQEMINFALLLEEWQQALVDEAATSGKPHLLLTSAVYYSSMFTTYGVPRSYPVKAMNNFLDWINPMCYDYHGSWQNFTGPNAALFDPYSNLSTTHGVGSWIEAGVPPEKIVMGLPLYGRTWKLKDPNVSGIGAEAVGVGPGDGVLSYSEIVEFNSENKGTVYFDGESVSYYSVVGDSWVGYDDTMSVYWKIRFARSQGLGGYFFWAIGQDNDWTMSRLASNSWDYK >Manes.03G191100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31012757:31013430:-1 gene:Manes.03G191100.v8.1 transcript:Manes.03G191100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGPGGPGPGLPGGFFNGLFSAVSSCFYILCCCWLFQDCFGAPPAPPMPLGPVPPGALPGPPPPGPPGGPAGPGGPPPP >Manes.02G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5778253:5794989:1 gene:Manes.02G076200.v8.1 transcript:Manes.02G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVTLSGPFFISSCSAHRFNPLLFSQRRRCFPFSHWRFTRIKPRFFAVAASENGAFTSPEIAKSFDFSSEERIYKWWESQGYFKPNFERGSDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYNRMKGRPTLWLPGTDHAGIATQLVVEKMLASEGIKRTELGREEFIKRVWEWKEKYGGTITNQIKRLGASCDWTRERFTLDEQLSRAVIEAFIRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGFLYHIKYRVAGGSRNDFLTVATTRPETLFGDVAIAVHPKDERYSMYIGRMAIVPMTYGRHVPIISDRHVDKDFGTGVLKISPGHDHNDYFLARKLGLPILNVMNKDGTLNEVAGLYCGMDRFEARKQLWSELEETGLAVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALHAVEKGELTILPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIEGKKCEEDYIVARNADEALEKAHKKYGKDVIIYQDPDVLDTWFSSALWPFSTLGWPDVSSEDFKKFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVVDPLDTIKDYGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPSQADTYAWETMLACKFDREEALLKLPLPECWVVSKLHILIDTVTASYDKFFFGDVGREIYDFFWSDFADWYIEASKARLYHSGGNSAAPLAQAVLLYVFENVLKLLHPFMPFVTEELWQALPKRKGALIVSPWPQISLPQNANSIKKFENFQALTRAIRNARAEYSVEPAKRISASIVASEDVIQYISKEKEVLALLSRLDLENVHFTDSPPGDANQSVHLVASEGLEAYLPLADMVDISAELDRLSKRLSKMQTEYEGLVDRLNSPKFIEKAPEDVVCGVREKAAEAEEKINLTKNRLSFLRSSVSASQ >Manes.02G076200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5781123:5794989:1 gene:Manes.02G076200.v8.1 transcript:Manes.02G076200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDTVELLRTRLRDSVLPVIGQESALPLMSRAVIEAFIRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGFLYHIKYRVAGGSRNDFLTVATTRPETLFGDVAIAVHPKDERYSMYIGRMAIVPMTYGRHVPIISDRHVDKDFGTGVLKISPGHDHNDYFLARKLGLPILNVMNKDGTLNEVAGLYCGMDRFEARKQLWSELEETGLAVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALHAVEKGELTILPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIEGKKCEEDYIVARNADEALEKAHKKYGKDVIIYQDPDVLDTWFSSALWPFSTLGWPDVSSEDFKKFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVVDPLDTIKDYGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPSQADTYAWETMLACKFDREEALLKLPLPECWVVSKLHILIDTVTASYDKFFFGDVGREIYDFFWSDFADWYIEASKARLYHSGGNSAAPLAQAVLLYVFENVLKLLHPFMPFVTEELWQALPKRKGALIVSPWPQISLPQNANSIKKFENFQALTRAIRNARAEYSVEPAKRISASIVASEDVIQYISKEKEVLALLSRLDLENVHFTDSPPGDANQSVHLVASEGLEAYLPLADMVDISAELDRLSKRLSKMQTEYEGLVDRLNSPKFIEKAPEDVVCGVREKAAEAEEKINLTKNRLSFLRSSVSASQ >Manes.02G076200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5778253:5794989:1 gene:Manes.02G076200.v8.1 transcript:Manes.02G076200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVTLSGPFFISSCSAHRFNPLLFSQRRRCFPFSHWRFTRIKPRFFAVAASENGAFTSPEIAKSFDFSSEERIYKWWESQGYFKPNFERGSDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYNRMKGRPTLWLPGTDHAGIATQLVVEKMLASEGIKRTELGREEFIKRVWEWKEKYGGTITNQIKRLGASCDWTRERFTLDEQLSRAVIEAFIRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGFLYHIKYRVAGGSRNDFLTVATTRPETLFGDVAIAVHPKDERYSMYIGRMAIVPMTYGRHVPIISDRHVDKDFGTGVLKISPGHDHNDYFLARKLGLPILNVMNKDGTLNEVAGLYCGMDRFEARKQLWSELEETGLAVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALHAVEKGELTILPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIEGKKCEEDYIVARNADEALEKAHKKYGKDVIIYQDPDVLDTWFSSALWPFSTLGWPDVSSEDFKKFYPATVLETGMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVVDPLDTIKDYGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPSQADTYAWETMLACKFDREEALLKLPLPECWVVSKLHILIDTVTASYDKFFFGDVGREIYDFFWSDFADWYIEASKARLYHSGGNSAAPLAQAVLLYVFENVLKLLHPFMPFVTEELWQALPKRKGALIVSPWPQISLPQNANSIKKFENFQALTRAIRNARAEYSVEPAKRISASIVASEDVIQYISKEKEVLALLSRLDLENVHFTDSPPGDANQSVHLVASEGLEAYLPLADMVDISAELDRLSKRLSKMQTEYEGLVDRLNSPKFIEKAPEDVVCGVREKAAEAEEKINLTKNRLSFLRSSVSASQ >Manes.02G076200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5781123:5794989:1 gene:Manes.02G076200.v8.1 transcript:Manes.02G076200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGNQAALRHGNRYGGTITNQIKRLGASCDWTRERFTLDEQLSRAVIEAFIRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGFLYHIKYRVAGGSRNDFLTVATTRPETLFGDVAIAVHPKDERYSMYIGRMAIVPMTYGRHVPIISDRHVDKDFGTGVLKISPGHDHNDYFLARKLGLPILNVMNKDGTLNEVAGLYCGMDRFEARKQLWSELEETGLAVKKEPHTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALHAVEKGELTILPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIEGKKCEEDYIVARNADEALEKAHKKYGKDVIIYQDPDVLDTWFSSALWPFSTLGWPDVSSEDFKKFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVVDPLDTIKDYGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPSQADTYAWETMLACKFDREEALLKLPLPECWVVSKLHILIDTVTASYDKFFFGDVGREIYDFFWSDFADWYIEASKARLYHSGGNSAAPLAQAVLLYVFENVLKLLHPFMPFVTEELWQALPKRKGALIVSPWPQISLPQNANSIKKFENFQALTRAIRNARAEYSVEPAKRISASIVASEDVIQYISKEKEVLALLSRLDLENVHFTDSPPGDANQSVHLVASEGLEAYLPLADMVDISAELDRLSKRLSKMQTEYEGLVDRLNSPKFIEKAPEDVVCGVREKAAEAEEKINLTKNRLSFLRSSVSASQ >Manes.10G045366.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4938223:4939451:1 gene:Manes.10G045366.v8.1 transcript:Manes.10G045366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLYHQLSHKIMRNEGVWEARNRGKVRNERGKKKKKKSKVRNERGSCNSAISTDFGLLNYFVEIRNKKLKKNTDFGLNRTEPNRTDFYRFDSVRLYLHNRFIRFYKF >Manes.13G005000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1052963:1058960:-1 gene:Manes.13G005000.v8.1 transcript:Manes.13G005000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPFGHMVAKRVRDHLPLKLSAHWKVNITSEDVLKEISLNTAGSMNSEDTAFISADEESRASVDLEETEKHPEIFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLVKQGQYLVVGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPAEAERIRKCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDISFQLLTDKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTNNVSTASNTNTKEKPNSVQADIDGLKEDDPSGPTGLGRSGTVRSGKEVLLEGSAEEDSSNQDETQIDSGIDWSALEGVSRVNTLLTLPRFVPGKDDKKAAGEKKKTQK >Manes.13G005000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1052963:1058960:-1 gene:Manes.13G005000.v8.1 transcript:Manes.13G005000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPFGHMVAKRVRDHLPLKLSAHWKVNITSEDVLKEISLNTAGSMNSEDTAFISADEESRASVDLEETEKHPEIFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLVKQGQYLVVGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPAEAERIRKCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDISFQLLTDKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTNNVSTASNTNTKEKPNSVQADIDGLKEDDPSGPTGLGRSGTVRSGKEVLLEGSAEEDSSNQDETQIDSGIDWSALEGVSRVNTLLTLPRFVPGKDDKKAAGEKKKTQK >Manes.13G005000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1052963:1058960:-1 gene:Manes.13G005000.v8.1 transcript:Manes.13G005000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPFGHMVAKRVRDHLPLKLSAHWKVNITSEDVLKEISLNTAGSMNSEDTAFISADEESRASVDLEETEKHPEIFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLVKQGQYLVVGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPAEAERIRKCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDISFQLLTDKDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRYKYPTSKVDDCAVVCLFLDTNNVSTASNTNTKEKPNSVQADIDGLKEDDPSGPTGLGRSGTVRSGKEVLLEGSAEEDSSNQDETQIDSGIDWSALEGVSRVNTLLTLPRFVPGKDDKKAAGEKKKTQK >Manes.18G025182.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5867291:5871832:1 gene:Manes.18G025182.v8.1 transcript:Manes.18G025182.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGCQSSGDSIITSGSSSSNNTISSSRDQYLKNLNKLSHKISKPIITKKQPPLPPIDNHNFINNSDANITSQPHSHSQSQSQPHNQQAQQHQPPVYNINKNDFRDVVQKLTGSPAHERFSTPPPIHPPKPQSSRLQRIRPPPLAHVGNRPPPLVNSSMPPPQVPPPPLNASINPPMPTSAATNTFIQRPSAPLSPLPPFPAVHAAAESPVSAYMRYLQNSISAGDSNKQFSGFSPLGSLFSPRWNNLPQPPPLQQNNQPFAPLQQGILSSPTSGMIVSQPQFQLPTSPLPFGCLNSPRSSYPLLSPSSFPLSPTLPVPSPRWRAL >Manes.12G024400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2067991:2075127:1 gene:Manes.12G024400.v8.1 transcript:Manes.12G024400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNLFPCLLPLLATLLTQAFAADFMYPFNCSATIKTCDASLYHINKGLQLEQVASFYNVNITQISPIFRGDEQDYFVSVPCSCQDINGTIAYFYDASYLVQQNDTFRNVSDLIYSGQAWNDGDEEGRFITGNLVPMHLLCGCVDSESQVVGTYTVQQNDTISDIASRLSSTVSGILSLNSALIQDPSFLVVGWVLFVPMKQIDNGAPAPSPSPYMN >Manes.03G134100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26317639:26320853:1 gene:Manes.03G134100.v8.1 transcript:Manes.03G134100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVGSRVSHFLTRNFDDKSIKFRSFSGNERLGVSVGCSKFKPYPRRISLCKSELVDFDERTSPDEIRKEIGQCYELIQRLGRGVVYLGSSRMGPDHPHYLKAWELGREVAKLLDCTSWTGAGPGLMDAATKGALEAGKPVGGFKIDREAGEWTASNFHPFLSSKTYLTCRFFSARKHGLVDAAVRNFSSERTAVVALPGGIGTLDEMFEILALIQLERIGSKLPVPFIVMNYDSFYQKLLDFIQNCEDWGTVSRGEVTSLWKICNSNSEALAYLTDFYNLHSSS >Manes.03G134100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26317639:26320854:1 gene:Manes.03G134100.v8.1 transcript:Manes.03G134100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVGSRVSHFLTRNFDDKSIKFRSFSGNERLGVSVGCSKFKPYPRRISLCKSELVDFDERTSPDEIRKEIGQCYELIQRLGRGVVYLGSSRMGPDHPHYLKAWELGREVAKLLDCTSWTGAGPGLMDAATKGALEAGKPVGGFKIDREAGEWTASNFHPFLSSKTYLTCRFFSARKHGLVDAAVRNFSSERTAVVALPGGIGTLDEMFEILALIQLERIGSKLPVPFIVMNYDSFYQKLLDFIQNCEDWGTVSRGEVTSLWKICNSNSEALAYLTDFYNLHSSS >Manes.03G134100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26317639:26320909:1 gene:Manes.03G134100.v8.1 transcript:Manes.03G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVGSRVSHFLTRNFDDKSIKFRSFSGNERLGVSVGCSKFKPYPRRISLCKSELVDFDERTSPDEIRKEIGQCYELIQRLGRGVVYLGSSRMGPDHPHYLKAWELGREVAKLLDCTSWTGAGPGLMDAATKGALEAGKPVGGFKIDREAGEWTASNFHPFLSSKTYLTCRFFSARKHGLVDAAVRNFSSERTAVVALPGGIGTLDEMFEILALIQLERIGSKLPVPFIVMNYDSFYQKLLDFIQNCEDWGTVSRGEVTSLWKICNSNSEALAYLTDFYNLHSSS >Manes.18G121600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRALRIAPINADDMDKNLVATLYLNRASLFHKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRALRIAPINADDMDKNLVATLYLNRASLFHKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRALRIAPINADDMDKNLVATLYLNRASLFHKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRALRIAPINADDMDKNLVATLYLNRASLFHKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRALRIAPINADDMDKNLVATLYLNRASLFHKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRALRIAPINADDMDKNLVATLYLNRASLFHKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRALRIAPINADDMDKNLVATLYLNRASLFHKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRALRIAPINADDMDKNLVATLYLNRASLFHKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.18G121600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12966555:13002796:1 gene:Manes.18G121600.v8.1 transcript:Manes.18G121600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSMVPDRLKQIVADSNADDLHSTSSSLLHFLNKLSQFHQMIRDLTDLEASLCAKNKDAALEFKQKANKCYFNGDYDTALAFYTRKIGLLMECVRDCNRALQISPSYAKAWYRRGKANAALGIYKDAIHDLDVAKNVELSLGGKKQIENELKIIVDQYEGTRSVQHIENTVRNFNEPQQINLLCVTDPDKGRGMVSPCDIPQASLVHKEEPYALIILKSCRDTHCHYCLNELPKDTVPCISCSIPLYCSQHCQVQARGETISCYRTKDGVDDSLPNNLKEYIAEVTSCSDSDPDVECFPEHKHECLGVHWPAVLPSDVVLAGRILAKFISQKRGYMECNLLGTLNLSQSYLQISPEGKLELHIYAIVLLICLQHSFGTELPINGISLSQTIILVSQIRVNAMAVVRMKSTDFHCLPDQFGKFSNIGDALTCSVDQVPVGQAIYTAGSLFNHSCQPNIHAYFLSHTLFIRATEHVAAGHPLELSYGPQVGQWACEDRLNFLEDKYSFRCQCIGCSQLNLSDLVLKAFHCVNPNCNGVVLDHCLDNSELNKLKNFPGVPRMQVLDPFLKVDELKNVAHLALELTNNSFNVQSGSCLNCGSNCDLEASDKARRKALICMKRLQDAIVSKEISTTILSDASRALGVLRSILHGYNKHIAEAEDNLAQAFSLVGDFRSARDHCKASIKILEMLYGSDHIAVGHELIKLSTIQLSLGDPSAADTINRLGLIFLRYYGSHADFIFPYLQTLKREANNLTQEGRVT >Manes.13G082746.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17610466:17612279:-1 gene:Manes.13G082746.v8.1 transcript:Manes.13G082746.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDETRPQHCITLRDYVRLDISEDPSKHLEQFLDLCDNYKQNDVSDETIKLSLFLFTLKDKAKKNMVDNVAGGSFMRKEILESFAPLDELATTNFEFSIDRIPPRRPTRMHEIDIVSTVEAHIEILIKRLDKFTNDSVNFVVQVCENCTSNHDSNV >Manes.07G102900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30884576:30885938:1 gene:Manes.07G102900.v8.1 transcript:Manes.07G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKACSFKPERENYEYFQELEDDEDEALSLCDLPLNSEEAAAYSVWDGFSMEDQNSSLDQEFFEFFSENFTSSSAYPKDNIISCGKLIPYKEENVDDKAENSEKLPSKAKEANTNSTFTWKSYSLNKLRSSSLKIIHENSYTTWKTNCQGPSPGKNVDKYTFPMRKVSFVASPTKSRWQLFTFRMGRYPIEMELNDIKTRQNKLSDDKIRRSMKSPAKSKKSRLDDQLAEKREKSWWGLLSILGCKSYDANAMVKASLSCIPSEKRSFKLTDGKNLNRV >Manes.04G057000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18186291:18192730:-1 gene:Manes.04G057000.v8.1 transcript:Manes.04G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSTAKVDAAPPVTNDRKSTKKGKREAEEAVEKLVSAKKQKIDEGAKKAVKKEKIEVKTQKKKKEESSSSDDSSSEEEQKAKVVTKKIQKSAKPPIKESSSEESSSDEEAPPKAAVPLKKQLTADRNGSVGAAATKAKEESSSDSSDDESDEEEVKVAVKKGVKATKPPVEESSSDSEDDSSSDEEPHAKAAIPPKKQQLDAKNGSVGGPKKGKAGSSSESSDDESDENEKDSSAVAQVKKLTETGAKKASPSSSELDSDSSSDEELPTKASAPKIVSQGATRKKAESSSTSDASDSSEESDSDEEKGPASKKVALQAANNKAQSSGDSDDSDSDESSDEDKAPAAVGSKRPSSVAQTKESKKVKLTTQKGNSSSEESSSDSSDDEESEDEKPTKTPRKNSTDVEMVDAATPHTTTKKADLQSAKKAPNTPVTPEVQSTGSKTLFVGNLPFEVERSDVEGFFEGVGEIVDIRFGMDKDQRFKGFGHVEFATAEVAQEALKLNGQSLNGRQVRLDLARERGERASYTPYSGGREDSSFQKGGRGQAQKIFVRGFDTSLGEDEIRSSLEEHFGNCGEITRISIPTNYETGAIKGMAYLEFKDADGFNKALELSGSHLGDQYLTVEEAKPRSDNRDGWGSGRGGGRSSGGRRGGRDSGGRFGGRDGGGRFGGRGGGRGRGRGAPNKPSVTAAATGKKTTFNDDD >Manes.01G203250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37587307:37587870:-1 gene:Manes.01G203250.v8.1 transcript:Manes.01G203250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGFNLAYPFGFGNLTTPLLWRLWVSDGAGPLTNFRRMDMGDLEELGKTDNVSTVCEELAIFFPKLKKHYNISAVI >Manes.13G150900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36612675:36615195:-1 gene:Manes.13G150900.v8.1 transcript:Manes.13G150900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKWTLACCKVYISESRNKAALESIEHAAKLFPQAPIVNKFEDATYNRVGYTLVSSLTPKPSLDSCPLKSAVLAMVKSAFEAIDLEAHCGSHPRLGVVDHICFHPLEHASLNQAAGIAKSLAADVGSSLQVPTFLYGAANEQGRTLDSIRRELGYFKPNYGHQWTGGPKSELLPMKPDEGPAQVTRAKGVVVIGATQWVDNYNVPVLSTDIAAVRKIAKKVSGRGGGLSSVQTMALAHGDDVIEVACNLLEPSKVGGERVQQEVERLAEEEGIAVGKGYFTDFSQEKIIESYLKLSSQL >Manes.03G202600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31838904:31853095:1 gene:Manes.03G202600.v8.1 transcript:Manes.03G202600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWGNPLKYALYLDDPEVSHAQHHRDFLKEHVVFKEAIPIKDPIVLSKIHQTYRVRYLKDVVLARVLYEATVANLNSIIHANNAVIVSSLKDDSNFIKEVFARLRSPNTSAESKKDLVYFLHEFCSLSKSLQMVHQLRLFRDLMNEGIFDIMMEAFENQDKKLVLTGTDILILFLNQDSNLLRSYVVRQEGIPLLGLLVKGMITDFGEDMHCQFLEILRSLLDSYTLSGAHAQRDNIIEIFYENHLGQLIDVITASCPVEVITQSSGKSSGSGGRDENQNSVKPEILSNICELLCFCVLHHPYMIKCNFLLNNVIDKVLTLTRRREKYLVVAAVRFVRTILSRHDEHLISHFVKHNLLKPIIDAFIGNGNRYNLLHSACLELFEYIRKENLKLLIKYVVDSFWNELVKFEHLASIQSFKVKYEQCLEHCATKNGGSTFDSRKRIDERALEKEEEEYFNEDSDEEDTASASHAKKVQPQPVLANGVSASYPSLSSRSGGLVDYDDEDDEDYRPPPKKQLETLEDDEGTMESLKLKRKLPSREKEAEQTKKQRLGKPLKSRDSVFASLCSTLSQAVLPSKKAAGSVHSAPRTTSTNKGLNEENRREKEPAISRSCCNNSSHSGDENIREKESAAARNCCDCLQSTSENRQLSVEDGLLIAQNSSPEMAVNGS >Manes.03G202600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31833203:31853095:1 gene:Manes.03G202600.v8.1 transcript:Manes.03G202600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKSQANSNSMQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELGLLVIDEEDNETLLLHRIIPDDIYRKQEETIISWRDPEYSTDLALSFQETTGCSYIWDHICNAQRNLQFSNLNNETFHSINSDLRDLPAVELSALPLILKTVSESGIAEQMRLTELILNDQDFFRKLMDLFRICEDLENLDGLHMIFKIVKGIILLNSPQIFEKIFADELIMDIIGSLEYDPEVSHAQHHRDFLKEHVVFKEAIPIKDPIVLSKIHQTYRVRYLKDVVLARVLYEATVANLNSIIHANNAVIVSSLKDDSNFIKEVFARLRSPNTSAESKKDLVYFLHEFCSLSKSLQMVHQLRLFRDLMNEGIFDIMMEAFENQDKKLVLTGTDILILFLNQDSNLLRSYVVRQEGIPLLGLLVKGMITDFGEDMHCQFLEILRSLLDSYTLSGAHAQRDNIIEIFYENHLGQLIDVITASCPVEVITQSSGKSSGSGGRDENQNSVKPEILSNICELLCFCVLHHPYMIKCNFLLNNVIDKVLTLTRRREKYLVVAAVRFVRTILSRHDEHLISHFVKHNLLKPIIDAFIGNGNRYNLLHSACLELFEYIRKENLKLLIKYVVDSFWNELVKFEHLASIQSFKVKYEQCLEHCATKNGGSTFDSRKRIDERALEKEEEEYFNEDSDEEDTASASHAKKVQPQPVLANGVSASYPSLSSRSGGLVDYDDEDDEDYRPPPKKQLETLEDDEGTMESLKLKRKLPSREKEAEQTKKQRLGKPLKSRDSVFASLCSTLSQAVLPSKKAAGSVHSAPRTTSTNKGLNEENRREKEPAISRSCCNNSSHSGDENIREKESAAARNCCDCLQSTSENRQLSVEDGLLIAQNSSPEMAVNGS >Manes.08G028400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2720742:2733519:1 gene:Manes.08G028400.v8.1 transcript:Manes.08G028400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKDIGNGREQVPTGDNGFLKGSQPSPCATGSPVGVAAVPKGFEGKETLSYANILRSRNKFVDALAIYQSVLDKDSGNVEAHIGKGICLQMQNMGRLAFDSFSEAVKLDPQNACALMHCGILYKDQGQLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKISGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDIDQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDKAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQTALSIKPNFSQSLNNLGVVYTVQGKMDSAASMIEKAIMANPTYAEAYNNLGVLYRDAGNITMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHRDWGRRFMRLYPQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKLVVYSAVVKADAKTNRFREKVLKKGGVWRDIYGVDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPLDTKQKHVEELARLPECFLCYTPSPEAGPVSPTPALANGFVTFGSFNNLAKITPKVLRVWARILCAVPNSRLVVKCKPFCCDSIRQRFLTTLEELGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVAMAGAVHAHNVGVSLLSKVGLGHLIAKNEDEYVQLALQLASDIPELSNLRMSLRDLMSKSPVCDGPNFILGLESTYRKMWHRYCKDDVPSLKRIEQLREQGFSEAAPIKNSEPTSIPLSLEGPPESVKENGFNAVPSSTANHSSEENGSQSVESDFR >Manes.08G028400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2720742:2733519:1 gene:Manes.08G028400.v8.1 transcript:Manes.08G028400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKDIGNGREQVPTGDNGFLKGSQPSPCATGSPVGVAAVPKGFEGKETLSYANILRSRNKFVDALAIYQSVLDKDSGNVEAHIGKGICLQMQNMGRLAFDSFSEAVKLDPQNACALMHCGILYKDQGQLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKISGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDIDQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDKAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQTALSIKPNFSQSLNNLGVVYTVQGKMDSAASMIEKAIMANPTYAEAYNNLGVLYRDAGNITMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHRDWGRRFMRLYPQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKLVVYSAVVKADAKTNRFREKVLKKGGVWRDIYGVDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPLDTKQKHVEELARLPECFLCYTPSPEAGPVSPTPALANGFVTFGSFNNLAKITPKVLRVWARILCAVPNSRLVVKCKPFCCDSIRQRFLTTLEELGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVAMAGAVHAHNVGVSLLSKVGLGHLIAKNEDEYVQLALQLASDIPELSNLRMSLRDLMSKSPVCDGPNFILGLESTYRKMWHRYCKDDVPSLKRIEQLREQGFSEAAPIKNSEPTSIPLSLEGPPESVKENGFNAVPSSTANHSSEENGSQSVESDFR >Manes.08G028400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2720742:2733519:1 gene:Manes.08G028400.v8.1 transcript:Manes.08G028400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTEKDIGNGREQVPTGDNGFLKGSQPSPCATGSPVGVAAVPKGFEGKETLSYANILRSRNKFVDALAIYQSVLDKDSGNVEAHIGKGICLQMQNMGRLAFDSFSEAVKLDPQNACALMHCGILYKDQGQLVEAAESYQKALRADPSYKPAAECLAIVLTDLGTSLKISGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDTALNCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDIDQGIAYYKKALYYNWHYADAMYNLGVAYGEMLKFDKAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQTALSIKPNFSQSLNNLGVVYTVQGKMDSAASMIEKAIMANPTYAEAYNNLGVLYRDAGNITMAINAYEQCLKIDPDSRNAGQNRLLAMNYIDEGHDDKLFEAHRDWGRRFMRLYPQYTSWDNPKDPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYKLVVYSAVVKADAKTNRFREKVLKKGGVWRDIYGVDEKKVASMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSLADPLDTKQKHVEELARLPECFLCYTPSPEAGPVSPTPALANGFVTFGSFNNLAKITPKVLRVWARILCAVPNSRLVVKCKPFCCDSIRQRFLTTLEELGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVAMAGAVHAHNVGVSLLSKVGLGHLIAKNEDEYVQLALQLASDIPELSNLRMSLRDLMSKSPVCDGPNFILGLESTYRKMWHRYCKDDVPSLKRIEQLREQGFSEAAPIKNSEPTSIPLSLEGPPESVKENGFNAVPSSTANHSSEENGSQSVESDFR >Manes.11G014900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1598275:1599111:1 gene:Manes.11G014900.v8.1 transcript:Manes.11G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVSLNPLISLLPPPPCSYIGTFLPLSFPNQTFSFSPNTLFLLYSQNSLVYHMAKIGKLTKLKSAIKRWPSFTKLARTNSSIAASNDQSEDKIANELQAVYVGKSRRRYLLSSDTICHPLFQELIERSGGFDDGEVVIACEVVLFEHLLWMLENGGSQLESMEELAEFYYTC >Manes.05G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22684754:22687598:-1 gene:Manes.05G126900.v8.1 transcript:Manes.05G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSYSLMLLLVLCVSCFFAYSGFGHDFSIIGYKPEDLASHDKLFDLFESWMSKFKKDYESIDKKLKRFEIFKDNLFLIDDINFKENNYWLGLNAFADLTHEEFTKLTESPLNFIYNDVKDIPKSVDWREKGAVTSVKNQGQCGSCWAFSAAAAIEGINQIVTGNLTDLSEQQLIDCDTTSNNGCDGGNMDRAFAYIVSSGGLHKEEDYPYTMKQDTCQWKPDHKEVVTINGYEDVPRNNEESFLKALTNQPLSVAIDASSRHFQFYKGGIFDGLCGTRLNHGVVAVGYGSSHHGTNYIIVKNSWGSSWGENGYIRLKRHTLLPGGRCGIYKMASYPVKIK >Manes.17G098301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30464808:30466969:-1 gene:Manes.17G098301.v8.1 transcript:Manes.17G098301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIPINTTNLSSETTMLLSGATGIKNHGIRAVSENYMMQKLGPALLHKQSLHLSWVRRDESLHGLVCKSPKYLRYVERSRSLKVSGFTEDQEALVVKSWNSMKKNSGELGLKFFIKIFEIAPSAKKLFSFLKDSDVPVEQNPKLKPHAITVFVMTCESAVQLRKAGKVTLKESSLKDLGATHFKYGVVDEHFEVTKYALLETIKEAVPEMWSPEMKNAWAEAYDQLAAAIKLEMNPPSQTP >Manes.01G087450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28893449:28893673:1 gene:Manes.01G087450.v8.1 transcript:Manes.01G087450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPILYEAFIEEYFKPEEKTMREMMKAMQTQALLERAEAEKSANEG >Manes.05G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1143384:1145794:1 gene:Manes.05G007300.v8.1 transcript:Manes.05G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAESSNMLSSTSTMLEDEDDHDTDDGYDHDHHSHTHNLSRLSVCTSSMYTIDDDDYQDCDGMKMFMSRLSLESFDADEELSDEKEGIGLLDLSSDSDEEPSCYSLPATPPRRRNRGGLVNKKLLGAKEYASENEAQKGNMRKNLRRRRRAVRERWIDADNKSKKKDEEFLGVGMGNYYGYCNSFSGESEGGGLVVITRPKGGKRSLCMDLEEVKACRDLGFELEHERMLEMPGRVSLSGSTLDTSSGGNSPIANWRISSPGDDPREVKARLKVWAQAVALASASRHGGI >Manes.04G050600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8464204:8467164:-1 gene:Manes.04G050600.v8.1 transcript:Manes.04G050600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSQHASKAVHPLISTVSFSCKFNLLQHFSLSTSTHSNLLALISSTQFLRHVKQSHAFALLNGFLPQSVSLSASLILRYATFLDPATCYRLFEGTVPYARSAFLWNTLIRAMSISRVDDNFKIYNRMVRNCVRPDDHTFPFVLKACADILNVQKGMEIHGSVFKLGFDLDIFVGNTLLLLYGNCGNLRDVKRVFEEMLERDVVSWNSVLGVFSVNGFYVEALDFFCKMHLRSGFRPNMVSVVSVLPVCAGLEDEAMGRQTHCFVMKVGLDSQVTINNALIDVYGKCGNVKTSRKVFDEMTERNEVSWNAIITGLAYLRHNNDALQMFRLMIDEESGHPSKASHVFYLMPEKNVVSWNAMVANFAQNSLELSAIELVRQMQIDGEIPNVVTFTNVLPACARMGFLHHGKEIHARLIHMGCYFDLFVSNALTDMYAKCGYLNLAQRVFSTSIRDEVSYNILIIGYSETPDCSKSLSLFLEMRLIGLKHDVVSYMGAIAACANLAALKQGKEIHGLVVREHWHMHIFIANSLVDFYVKCGRIDLAHKIFDRIPNKDAASWNTMILGYGMIGELEIAINLFEAMKADGVQYDSVSYIAVLSACSHGGLVERGKKYFEKMQAQNIKPTHMHYACMVDLLGRAGLMEETVKFIEVLPIEPDANIWGALLGACRIHGNIELACWAAEHLFKLKPQHCGYYTILSNMYAEAGKWEEADRVRELMKLRGAKKNPGCSWVQIDNQVHAFVSGEKITKFNSGSWFAKL >Manes.05G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24526378:24528354:1 gene:Manes.05G143900.v8.1 transcript:Manes.05G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFVALALVLALVIFPMASPVGALSFNYYDHTCPQLESTVTSAVKKAMMNDKTVPAALLRMHFHDCFIRGCDGSVLLESEGKNKAEKDGPPNISLHAFYVIDNAKKAVEAECPGLVSCADILALAARDAIALSGGPNWDVPKGRKDGRISKASDTRQLPGPNFNISQLQQSFSQRGLSLEDLVALSGGHSLGFSHCSSFQNRIHNFNSSLDIDPTLNPSFAASLRNACPMHNKVKNAGATLDSSTTIFDNAYYKLLLQGKSLFSSDQSLLTTPKTSALVSKFASSQQEFEKAFVKSMIKMSSISGGGQEIRLDCKVVN >Manes.17G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24387008:24390634:-1 gene:Manes.17G047000.v8.1 transcript:Manes.17G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPFSPLSIDTSNTTYDSESKMGGSQNSSTSTPPASSIYGPLSYPSTSSCSSTQPYHCPVEYSMLPPFQSLQFPQAYPQGHTGQYSPSTYPLYPCWFLQNGIEKSCFLQPNGVSIEQERRVLDAYKTKVARTKRKLARQRSLGKNASSGANLSQVDTRRLSLNGGNNNGQAGLSNININRDLYKICTPDNKRLRVLLSKELKNSDVGSLGRIVLPKRGAEENLPILSDKEGMQVVIRDLNSPKEWSLKFKFWSNNKSRMYVLENTGDFVKQNGLEIGDSLTLYEDECKNLYFSIKKVKTPQIEVSYNQNSTNQNYLYIPHMYQARDEEEASLQLLIEQLNHKEQQEANSLVTLSMDIASSYRRKEEERLSPFNNLTSISFYSQPAPAAMEASSSPHNTMRILDDHYIDDCYSGLDVLPDVNRYNF >Manes.17G035197.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16821594:16845086:1 gene:Manes.17G035197.v8.1 transcript:Manes.17G035197.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNNSTLSLRSILEKDKLKENGTNFVDWFRNLRIILKQEKKSYVLDEAIPEPPPADATNAVKNKHKKHMDDSNYIGCLMLATMCSELQKDLEHLEAYEISVHHKQAFQQQARQDRYETTIALHDCKMVEELSTDLILHSLPGSFSQFVMNYNMNNMEKYIPELHGMLKTAEVNIKKRPTQILNVNKEGKSCNILKPIGRVVPSLGYFLPSIRGGASLTLIC >Manes.14G058436.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4923674:4925721:-1 gene:Manes.14G058436.v8.1 transcript:Manes.14G058436.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIESLLSPLLSCRDPTMMSSHPFLMLIFNVLLASFMLLLTIKHVKQSLRAKRFPPGPWRLPLVGNLHQLMNPIHRSLLHLAKQHGPIMFLQLGSIPTIIISSADMLKEIFRKHDIVFSGRPVLYSASKFSYNCSDIVFMPYGEIWREMRKIAMTELLSAKRVQSFQAVREEEVCLMLDSVARSSGSINLSDLSMVLSNNVICRVALGRKYDGRFAGENIGLSELLREAQELLGGFCIADFFPWMGWLCKFNGLEARVEKIFTELDKLYDKVIQEHLDPRRPKTQHEDFVDVLLRLQKDPSREVALSNDSIKGALTDMFIAGTDTSSATLVWTMTELIRNPHAMRRVQEEVRSVCEGKKRVQEKDLPQLVYLKSVLKESLRVHPPAPLLAPRETMEDCKIGDYEIPARTRVYINALAISMDHKSWENPSEFRPERFLDSSIDFSGQHYELIPFGVGRRGCPGMNFGVVLIEIALANLLHSYEWELPHGVSREDLDMQEAFGVTMHKKAPLWLVASPVPYCGAGH >Manes.14G071700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5962527:5967951:1 gene:Manes.14G071700.v8.1 transcript:Manes.14G071700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLKLKVLTLITKLSDRDTYNLAATELESIAGTLDNTTLSTFISCLLSTDSSDKPLVRKQCLHLLSVLSVLHCNSLSSFLPRILSYVARRLRDADSSIRSQCVATVSSLASKITEQELNSQIGSALCLAAAIDAAPDPEPGRLGKALVPKLERLLKSDGYKAKSAGLVVMGSVIGVGGVRGYAGMGGLVKSLVGFLSSEDWAARKTAAEALGRLALVERDAMVEFKSGCLKVFENRKFDKVKAAREVMNKMLEAWKQVPDASEDVSPPPRSRASSKEDASDGRYPLGSKNSFAAGSEVPQVRKKDSLASRTTPPDHSSVTMARRRNSLKSDEKKTGPSLFRKVDCKRTLDWKVEVAIPISTSSGIGDNDNAQERKLTKPETKRTLLSKNSDNKTLKFGGLKSGSRVAPCHEESPISTVVASNVIENHHANHKECEDLSLIRNQLVQIERQQSSLLDLLQRFMGTSQNGLRSLETRVHGLELALDDISYDLAISRGRMMDSRRTTCCMLPGADFWSSKLWRKTESRYSTSRFSSNGTPSLVAKRHRADKHRNPETHNLESHRSQFQGGGGLIVNPLAEMHESMGISEGPQR >Manes.14G071700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5962527:5967285:1 gene:Manes.14G071700.v8.1 transcript:Manes.14G071700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLKLKVLTLITKLSDRDTYNLAATELESIAGTLDNTTLSTFISCLLSTDSSDKPLVRKQCLHLLSVLSVLHCNSLSSFLPRILSYVARRLRDADSSIRSQCVATVSSLASKITEQELNSQIGSALCLAAAIDAAPDPEPGRLGKALVPKLERLLKSDGYKAKSAGLVVMGSVIGVGGVRGYAGMGGLVKSLVGFLSSEDWAARKTAAEALGRLALVERDAMVEFKSGCLKVFENRKFDKVKAAREVMNKMLEAWKQVPDASEDVSPPPRSRASSKEDASDGRYPLGSKNSFAAGSEVPQVRKKDSLASRTTPPDHSSVTMARRRNSLKSDEKKTGPSLFRKVDCKRTLDWKVEVAIPISTSSGIGDNDNAQERKLTKPETKRTLLSKNSDNKTLKFGGLKSGSRVAPCHEESPISTVVASNVIENHHANHKECEDLSLIRNQLVQIERQQSSLLDLLQRFMGTSQNGLRSLETRVHGLELALDDISYDLAISRGRMMDSRRTTCCMLPGADFWSSKLWRKTESRYSTSRFSSNGTPSLVAKRHRADKHRNPETHNLESHRSQFQGGGGLIVNPLAEMHESMGISEGPQR >Manes.01G052200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18181461:18183621:-1 gene:Manes.01G052200.v8.1 transcript:Manes.01G052200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLSIPTSPQTLFSHRLYANTNTCLHGSAALLRYPSKSFIPLSSSSSSLTSAAEDNPPPPSPDPLVLQSESATVDADEPPVGGCKACGRQEIEKGCNGEGRIQGGIATVPGFGWWPIKAYRPCPRFVASGGRYRRQGQSMDEVAFGRGEKGTPIGSSTEVEYRLDSTKDCNKRGDPRRFKR >Manes.01G052200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:18181461:18183621:-1 gene:Manes.01G052200.v8.1 transcript:Manes.01G052200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLSIPTSPQTLFSHRLYANTNTCLHGSAALLRYPSKSFIPLSSSSSSLTSAAEDNPPPPSPDPLVLQSESATVDADEPPVGGCKACGRQEIEKGCNGEGRIQGGIATVPGFGWWPIKAYRPCPRFVASGGRYRRQGQSMDEVAFGRGEKGTPIGSSTEVEYSNKRGDPRRFKR >Manes.01G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6278524:6282725:1 gene:Manes.01G032100.v8.1 transcript:Manes.01G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYRQDKIQKFEEFVDRRLKPDLVRAIAERDKVFEQQKTFSDLRKNIENLEKNSVTRLRTLINLGSEVYMQADVPDTQRIFVDVGLGFHVEFTWSEALNYISQREEKIARQIEEYTNQIASIKAQIKLVCEGIRELLQLPAEKPLPGHVF >Manes.01G032100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6279008:6282467:1 gene:Manes.01G032100.v8.1 transcript:Manes.01G032100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYRQDKIQKFEEFVDRRLKPDLVRAIAERDKVFEQQKTFSDLRKNIENLEKNSVTRLRTLINLGSEVYMQADVPDTQRIFVDVGLGFHVEFTWSEALNYISQREEKIARQIEEYTNQIASIKAQIKLVCEGIRELLQLPAEKPLPGHVF >Manes.13G054100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6238267:6256739:1 gene:Manes.13G054100.v8.1 transcript:Manes.13G054100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARLCSLLVELGYEGADTLDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLSELSQYEQFLQEGRLLEGEDLDFAYDSISAFSSGRDNQEAVFGSEESLKDIRDATLAYKSEALELQRQLRYLQSQFDMLTGQASALIQGRRARVAATSTVNGHLTSIDDSLSARNLRMNEVLGRIASTAQELAHYHSGDEDGIYLAYSDFHPYLLGDSSCIKELNQWFSKQLDTGPFRLVAEEGKSKCSWVSLDDISNILVRDLEQSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQITSDEAHIHLDLHTLRRKHSELVGELSNLHHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYISRQKVYINHLINQLARHQFLKIACQLEKKDMLGAYSLLKVIESELQGYLSATKGRVGRCLALTQAASDIQEQGAVDDQDTLMHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISALHSDLMTLQSDLENALPEDRNRCINELCILIQSLQQLLFASSTTAQPILTPRV >Manes.13G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6238267:6256739:1 gene:Manes.13G054100.v8.1 transcript:Manes.13G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARLCSLLVELGYEGADTLDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLSELSQYEQFLQEGRLLEGEDLDFAYDSISAFSSGRDNQEAVFGSEESLKDIRDATLAYKSEALELQRQLRYLQSQFDMLTGQASALIQGRRARVAATSTVNGHLTSIDDSLSARNLRMNEVLGRIASTAQELAHYHSGDEDGIYLAYSDFHPYLLGDSSCIKELNQWFSKQLDTGPFRLVAEEGKSKCSWVSLDDISNILVRDLEQSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQITSDEAHIHLDLHTLRRKHSELVGELSNLHHKEEKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQEYYISRQKVYINHLINQLARHQFLKIACQLEKKDMLGAYSLLKVIESELQGYLSATKGRVGRCLALTQAASDIQEQGAVDDQDTLMHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISALHSDLMTLQSDLENALPEDRNRCINELCILIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQEVGLQRRVFVDFFCNPDRLRSQVRELTARVRALQVS >Manes.06G052300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18272371:18273951:-1 gene:Manes.06G052300.v8.1 transcript:Manes.06G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSVFFILFITLVCLAASDDSTLESFLHCLPSHVNSSNPISKAIIKPSDPSFQSALEARVKNLRFLTPATPKPLAIIAATDESHVQATVVCAKSSGLQIRVRSGGHDYEGLSYRSEVPFIILDMFNFNKILIQLSNETAWVEAGATLGELYYQIANQSRIHAFPGGVCPTVATGGHFSGGGYGNLMRKFGLSVDNIIDARIVDANGTILDRQSMGEDLFWAIRGGGGASFGVILSWRINLVRVPEIVTIFQIGRTIEQGAADILHRWQEVAPYLDKDLFIRATSTIVNSTIKGEKMIEVSFIGLFLGQIDRLLPLINESFPELGLQKIDCEELSWIESTLFFADFPKGTPIDVLLQKPSKPEFYSKGKSDYVKVVIPKSGLETLWKMMLEVGLMFAQFNPYGGRMGEVSETSTPFPHRAGYRFLIQYSTNWQENDGIDTEKQINLLRELYDAMAPYVSKKPREAFLNYRDDDIGSNPSNFTNFNESKVYGHKYFKNNFIKLTKVKARVDPDNFFKHQQSIPPGFV >Manes.10G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2542987:2544280:-1 gene:Manes.10G025100.v8.1 transcript:Manes.10G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPAKGALQSEAVKKYIYETSGYPREHKELKNLREATANKCGSKSIMSVPVDEGQFLSFLVKIMNAKRTLEIGVFTGYSLLSTALALPDDGQITAIDIDQEAYEVGLPFIRQAGVEHKINFIKSDAISVLNEMLNNKEKQIAEYDLAFVDADKFNYKQYHEHLLKLVKIGGIIAYDNTLWRGLVAEEEDEVPENQREVTKAIKELNQFLASDARADISQVSIGDGLTLCRRLY >Manes.05G113900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21592595:21606068:1 gene:Manes.05G113900.v8.1 transcript:Manes.05G113900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPTATETEIKKAYYIKARQVHPDKNPNDPQAAQNFQALGEAYQVLSDPAQRQAYDASGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFTDGEQFDTKKLQEKMRVVQKEREEKLAQLLKDRLHQYVQGNKEEFINHAKAEVSRLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKKQLSAEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNVKKEELRIRAKGLKTLGKIFQRVKSSNGSESDAALDGSLPKLNGSGPNHVASCPSTSPDSKILEEPSYRTLASQSPYVEAPNIGGTQFDFPRPTAPPGAPKHSTGGE >Manes.05G113900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21592595:21606068:1 gene:Manes.05G113900.v8.1 transcript:Manes.05G113900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPTATETEIKKAYYIKARQVHPDKNPNDPQAAQNFQALGEAYQVLSDPAQRQAYDASGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFTDGEQFDTKKLQEKMRVVQKEREEKLAQLLKDRLHQYVQGNKEEFINHAKAEVSRLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKKQLSAEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNVKKEELRIRAKGLKTLGKIFQRVKSSNGSESDAALDGSLPKLNGSGPNHVASCPSTSPDSKILEEPSYRTLASQSPYVEAPNIGGTQFDFPRPTAPPGAPKHSTGGE >Manes.05G113900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21593979:21606068:1 gene:Manes.05G113900.v8.1 transcript:Manes.05G113900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPTATETEIKKAYYIKARQVHPDKNPNDPQAAQNFQALGEAYQVLSDPAQRQAYDASGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFTDGEQFDTKKLQEKMRVVQKEREEKLAQLLKDRLHQYVQGNKEEFINHAKAEVSRLSNAAYGVDMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKKQLSAEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNVKKEELRIRAKGLKTLGKIFQRVKSSNGSESDAALDGSLPKLNGSGPNHVASCPSTSPDSKILEEPSYRTLASQSPYVEAPNIGGTQFDFPRPTAPPGAPKHSTGGE >Manes.14G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2995551:2999523:-1 gene:Manes.14G033500.v8.1 transcript:Manes.14G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVFLFGLFIFMMNGTGVSANSELRALMDMKAALDPEDMYLSSWTMNGDPCDGSFEGVACNDKGQVANISLQGKALHGKLSPAISGLKHLTGLYLHYNSLYGELPKEIANLTVLSDLYLNMNNLSGVIPPEIGNMENLQVLQLCYNQFTGSIPPQLGSLKKLNVLALQSNQLSGAIPASLGDLSVLMRLALSFNRLFGSIPTKLADAPLLEALDVRNNSLSGNVPLALKRLNEGFLYQNNLGLCGAGFMNLTACNVSDLLNRSRPEPFGPGSTALPTREIPETANLRLPCNQTHCSNSSRSHHASVVVGAVITTIALSAIGILTFTYYRHRKQKLGNSFEISDNRLSTDQGKGVYRKSGSPLISLEYNGWDPLADGRNFSRHEQDVFQSFRFNLDEVETATQYFSDVNFLSKSDFSTTYKGILRDGSVVAIKSISKSICKSEEAEFVKGLNILTLLRHKNLVRLRGFCCSRGRGECFLVYDFVPNGNLLQYLDVKDGDAHVLEWSTRVSIVKGIAKGIAYLHGYKVNKPALIHQNITAESVLVDQRCNPLLADSGLQNLLTNDVVFSALKASAAMGYLAPEYATTGRFTDKSDVYAFGVIVFQVLTGKREVSNLVRLGAESCKFQDYIDPNLHGRFFEYEAAKLARIAWLCTHESPIERPSMEAVVQELGNCSSCL >Manes.09G156800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35228843:35241940:-1 gene:Manes.09G156800.v8.1 transcript:Manes.09G156800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAPRQQSPPPPNYNPNYQQNRNSLSDNLQNMNLNRPLSMPNSAPRPSPFPSSAPSPSLSRPGPPPPGAFPRPSVPPSGSLPPTLLPNMGPARPTGLPFSQPSPFGSRPPPASLPSAGRSAGMVPSSGASSHGSLAPRLAAQPSPNAPSATPLSVPPSVSSGGLVSNGPPAPTFLGGPRFPPSAAATQQPLMGPPTIGAAQVPPQAPGMHPLTGSPGISAQRPSPFSAAAQGAPSYSAPPQGMPSFSAPPQRMSPPVGFPFGQQMQTQTVAPPPIPGAVPPTMYGMPPQPPLPNQMTAISPVVGQTGGSLAGSSKIDPNQIPRPIPGSSVTLHDTRQGNQANPPPPATSDYIARDTGNCSPRYMRCTINQIPCTVDLLTTSGMQLALLVQPLALPHPSEEPIQLVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSSINQVIADLPEGPRTMVGVATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLQTDVIVPLSECRQHLELLLESIPSMFQNSRTAESAFGAATKAVFLAMKSTGGKLLVFQSVLPSVGIGALSAREAEGRSNISSGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDIFITTQTYVDIASISVIPKTTGGQVYYYYPFSALSDPAKLFNDLRWNITRPQGFEAVMRVRCSQGIQVQQYYGNFCKRIPTDVDLPGIDCDKTIMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTTLSLPCTNNLSNLFRMADLDTQFVCFLKQAANEIPSAPPLNVREQVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALVKSTGLRIDGRIDDRSFWITYVSSVSTPLAIPLVHPRMIPIHDLDKQEENESLIPHALPLSSEHVSDDGIYLLENGQEALIYVGSSVDSNILQQLFGSSSVDEIPTQFVLQQYDNPLSKKLNDVINEIRRRRCSYLRLKMCKKGDQSGLLFFSYLIEDKVPSGGLSYVEFLVHVHRQIQIKMTS >Manes.09G156800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35228843:35241940:-1 gene:Manes.09G156800.v8.1 transcript:Manes.09G156800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAPRQQSPPPPNYNPNYQQNRNSLSDNLQNMNLNRPLSMPNSAPRPSPFPSSAPSPSLSRPGPPPPGAFPRPSVPPSGSLPPTLLPNMGPARPTGLPFSQPSPFGSRPPPASLPSAGRSAGMVPSSGASSHGSLAPRLAAQPSPNAPSATPLSVPPSVSSGGLVSNGPPAPTFLGGPRFPPSAAATQQPLMGPPTIGAAQVPPQAPGMHPLTGSPGISAQRPSPFSAAAQGAPSYSAPPQGMPSFSAPPQRMSPPVGFPFGQQMQTQTVAPPPIPGAVPPTMYGMPPQPPLPNQMTAISPVVGQTGGSLAGSSKIDPNQIPRPIPGSSVTLHDTRQGNQANPPPPATSDYIARDTGNCSPRYMRCTINQIPCTVDLLTTSGMQLALLVQPLALPHPSEEPIQLVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSSINQVIADLPEGPRTMVGVATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLQTDVIVPLSECRQHLELLLESIPSMFQNSRTAESAFGAATKAVFLAMKSTGGKLLVFQSVLPSVGIGALSAREAEGRSNISSGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDIFITTQTYVDIASISVIPKTTGGQVYYYYPFSALSDPAKLFNDLRWNITRPQGFEAVMRVRCSQGIQVQQYYGNFCKRIPTDVDLPGIDCDKTIMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTTLSLPCTNNLSNLFRMADLDTQFVCFLKQAANEIPSAPPLNVREQVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALVKSTGLRIDGRIDDRSFWITYVSSVSTPLAIPLVHPRMIPIHDLDKQEENESLIPHALPLSSEHVSDDGIYLLENGQEALIYVGSSVDSNILQQLFGSSSVDEIPTQFVLQQYDNPLSKKLNDVINEIRRRRCSYLRLKMCKKGDQSGLLFFSYLIEDKVPSGGLSYVEFLVHVHRQIQIKMTS >Manes.08G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35802221:35802891:1 gene:Manes.08G118900.v8.1 transcript:Manes.08G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKEKKGAGGRRGGDRKKAVSKSIRAGLQFPVGRVARFLKKGRYAQRYGAGAPIYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHVLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKSAATDKSSESRSPSSAKSA >Manes.S095203.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251161.1:15416:16142:-1 gene:Manes.S095203.v8.1 transcript:Manes.S095203.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWNASHHKYITSRMNLSPIALYISISYHNKSTILYARGDTATPGLHILYARGDTATPGLLISYHIVHAISYHFISCHNILRARGSSSIHPHHCHRIMQCIIFVNFNANNLLHNMVFMMQEHA >Manes.10G088875.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22538273:22547986:1 gene:Manes.10G088875.v8.1 transcript:Manes.10G088875.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSTHQLKKYDVFISFRGADVRVGFLSHLQEALRRNLINAFVDENLDKGEEISASLLDIIEKCNISIIIFSENYAQSRWCLDELLKINECKKTMGQKVLPVFYNVDPTQVQELTGSFGDAIAKHVEEFKDSLYNKVESWSQALRETAGLAGLVSHNTMPESKLIEEIVNQISKKLNDVFSDDAYDEGLIGIDSRVEDAKTLLCLGPIDVRVLGIWGMGGIGKSTIAGRLFDRISGEFNIKCFVTDVRERYKNGGPDGVRQEVLLQLFGRETYDAGIPIMLSSSIIRKRLFRKKVLIALDDVSDIEQIELSIGKTAIYGAGSRFIFTSRDKQLLRNMDAEIYEVEKLNDYEASWLFRFHAFKHAVSGKEYIMLSKRVVRYAQGNPLALKVLGSNLYGRSLEEWEDEMDKLEVTSDYKIQRILKFSYDGLSDFEKEIFLDIACFFKGEKRDVVEKMLDVAGSKIGISRLIDKSLVSEENCRLLIHDLVQQMGMDIIFEENQQGKHRRLWHPNDIYYILQAPRQGIEVKGISLDMSRIREVELSPTAFQKLYRLRWLKFYCPEPHESRVYIPEGLTNLPYELRLLHWCQYPMKCLPLSFCAEKLVKIIITGSKLEELWDGVQHLGNLKVLNLSNSKYLMRIPDLSSAANLDVLKLRGCVSLVEIPSTIKYLSKLYQLDLSYCRRLCKLPSFLHLKNLAILFLFGCSKIREFPEIPPNCMGSLGLNETAIEQVPSTIGSFSCLTKLWLASSLRLKTLPESICQLNSLQLLNIDHCINLHNLPGNLGNLASLKLLVASCSGIRELPSSLCRLKKLAHLSVEKCVYLQKLPEMLGNLESLEVFVLDGSGIKELPDSLCFLKKLRHLSVEKCVNLQKLPENLGNLEYLEEIFAPSSGLEGLPESICSLKYLRVVNIDKCVNLHELPSNFGNLESLERLTAAGAGIRHPSSSFNQLNKLRTLSFRGCEGLIIPTLTGFPHLGIVNLEWCGLSEFPKSICFIASLKKLYVGGNNFERIPDSIKHLSQLVSLHLCDSRRLKYLPELPLLWKLCLNNCICLESGSLLQLQRIHVLEIGNCINLDLNNCSAIVEHVLGRHWASREALLLPGSEVPQWMEYQNNSGSSLSLSLREVEQIKSICFCAVLDPKVLHPSHGAKIGCTVHAINEYVDDQYRFVSNWKIKVDFSSEHVFFRQFLASIQLNRSLNSSVTFEIFVEDISKTRIQNAILKCGVHLQTKNKSPLK >Manes.10G028300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2827939:2828895:-1 gene:Manes.10G028300.v8.1 transcript:Manes.10G028300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADEGHAGLMPWLQELHAIDLPKLRHIWSKDPQGILSFKNLKLLKFCNCSSLRNILTLPMALELVRLERMEVKRCNMLEQIINKEGEREDEGVWDKRIFPSLQSISLECLPSLTSFYSGSDVLRCLSLKQVDIVDCPKMMNPFPQFQ >Manes.09G016721.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3525179:3534388:-1 gene:Manes.09G016721.v8.1 transcript:Manes.09G016721.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKASSSPSPILIGNCEVIVEANNFTCQSDPNSLQISLTKSAKIKISVREDFNRRCNDDIWKSKAEGEAGKTSILGDDHIFVLVNSKYSGDRCKSYIEEVLKIYNRELPTMKYTANTGKQSTFLEKCVSNGKYCSLLLQSKSVEGYGEVIAAITYQIVPADTQYAEIPLAAVSSVYQHKGLGHCLYMELRKRLQSVGVRTIYCWGDKESEGFWLKQGFESIAEVDKKGRARRRLPIKADIRRALCYPGGSILMVSHLNEDTSANHAEPWKFLFPLRHHMESLAALALSTQPEEGCNTLNTENQITERSHSKRMIGDEFLGEDSNLDAGFSWNRDCEEPALCEGQKCSKMTNGAELEKIEADIDVKCCSCYTQGTKRVWEASLSSLKSKRVKGSHQTDCETESIMGLDSGSGSERTDPCFNKCPLGISKSCSFVGVTSTNPLTSHCMEDNAKEGRTINMASEALGSKELPSKRESIRIMLMNIADDAKKMHLTKVIETLGGIVISDGSASTHVVTGKVRKTLNFCTALCSGAWIVSSSWLKESFRKSKFVDELPYTLLDEEYMLKYGTQLKDAVLRAKARPRALLKGYDICISTNVQPPVEALSTIVSSAGGNIISGLDKVNEASKTIFVACEEDMEEALSAAKKGIRTFSSDWLMNCIMKQELDLQALQFAESL >Manes.06G018100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:3017830:3018036:-1 gene:Manes.06G018100.v8.1 transcript:Manes.06G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIFFLYNVEERELGRGQASGSCPYCGGKVEAMDVERKWSFCSLPLCHKIKRNYSCSLCSRRLESYH >Manes.14G135100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9479241:9482096:1 gene:Manes.14G135100.v8.1 transcript:Manes.14G135100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLPPQEPYRNWVELPRDITASILLKLGAVEILNTAQLVCSSWRSICKDPSMWRSIDMRNLGDLWDMDYDLEKMCRHAVDRSSGGLVDIDIQYFGTDDLLQYIADRSSHLKRLRLVSCYSISDEGLSEVATKFPLLEELDISYCSLSKQALEVVGHCCPLLKSFKLNNHGFKHPRTECNEEALVIAENMPHLCCLQIFGNKLTNEGLQAILDGCPNLESLDLRQCFNVNLEGHLDVGSSEEDYPSGLSEIDFLSDDDDYYEFSGGSDMSDYGDLVFDY >Manes.14G135100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9479241:9482096:1 gene:Manes.14G135100.v8.1 transcript:Manes.14G135100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLPPQEPYRNWVELPRDITASILLKLGAVEILNTAQLVCSSWRSICKDPSMWRSIDMRNLGDLWDMDYDLEKMCRHAVDRSSGGLVDIDIQYFGTDDLLQYIADRSSHLKRLRLVSCYSISDEGLSEVATKFPLLEELDISYCSLSKQALEVVGHCCPLLKSFKLNNHGFKHPRTECNEEALVIAENMPHLCCLQIFGNKLTNEGLQAILDGCPNLESLDLRQCFNVNLEGHLGKRCIERIKDLRRPYDPTDDYPFDTEIADVGSSEEDYPSGLSEIDFLSDDDDYYEFSGGSDMSDYGDLVFDY >Manes.14G135100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9479241:9482096:1 gene:Manes.14G135100.v8.1 transcript:Manes.14G135100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLPPQEPYRNWVELPRDITASILLKLGAVEILNTAQLVCSSWRSICKDPSMWRSIDMRNLGDLWDMDYDLEKMCRHAVDRSSGGLVDIDIQYFGTDDLLQYIADRSSHLKRLRLVSCYSISDEGLSEVATKFPLLEELDISYCSLSKQALEVVGHCCPLLKSFKLNNHGFKHPRTECNEEALVIAENMPHLCCLQIFGNKLTNEGLQAILDGCPNLESLDLRQCFNVNLEGHLDVGSSEEDYPSGLSEIDFLSDDDDYYEFSGGSDMSDYGDLVFDY >Manes.14G135100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9479241:9482096:1 gene:Manes.14G135100.v8.1 transcript:Manes.14G135100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLPPQEPYRNWVELPRDITASILLKLGAVEILNTAQLVCSSWRSICKDPSMWRSIDMRNLGDLWDMDYDLEKMCRHAVDRSSGGLVDIDIQYFGTDDLLQYIADRSSHLKRLRLVSCYSISDEGLSEVATKFPLLEELDISYCSLSKQALEVVGHCCPLLKSFKLNNHGFKHPRTECNEEALVIAENMPHLCCLQIFGNKLTNEGLQAILDGCPNLESLDLRQCFNVNLEGHLDVGSSEEDYPSGLSEIDFLSDDDDYYEFSGGSDMSDYGDLVFDY >Manes.11G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9142914:9151032:-1 gene:Manes.11G065600.v8.1 transcript:Manes.11G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEREQQLLDGGSKLLNPPFSIDELLFILERIEVSLSQVEQSPRQSMVAALSPLRNALVSDKLLRHSDTDVKVSVAVCISEIIRITAPHAPYDDEKMKEIFHLIVAVFQKLSHMSSCFYSKVVSVLVTMAKTRAILVMMDLDCHALIIEMFQLFLRITRSNNSEKVLAAMVTTMTIAILESDDISLEILIPILASVRKENENVFPKSWKLGKEVIKNCAAKIEPYILKAVNSLGVPVDSYDQIVGSICRNADGIKSYDLPGSAEHLINYERPPAQCIGGKKIRCKTTPENRGTEETNDKGQSVDLLSAGSSLKLCMRQQATFTNTCSDQSVNPWSCHEEKHQNQEMQIPPSEDTFAANSGGNNVIGTMIVQGYEVKASVAPILTAIFAKYGDIAATCQYKSPSIRACLLEIVSDVVRRLQSTDIPLTVSEIKVLQNEMKDLEATKLKLSWLTQPLEKISEVERIAGMRSMLKSVKASSMLVIKAATKELEDALVELVALQKRMGEAEKRVNAMKLVVQKVDDAIKEAEEEERHWLRNMSELP >Manes.11G065600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9142914:9150113:-1 gene:Manes.11G065600.v8.1 transcript:Manes.11G065600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICFSPRIEVSLSQVEQSPRQSMVAALSPLRNALVSDKLLRHSDTDVKVSVAVCISEIIRITAPHAPYDDEKMKEIFHLIVAVFQKLSHMSSCFYSKVVSVLVTMAKTRAILVMMDLDCHALIIEMFQLFLRITRSNNSEKVLAAMVTTMTIAILESDDISLEILIPILASVRKENENVFPKSWKLGKEVIKNCAAKIEPYILKAVNSLGVPVDSYDQIVGSICRNADGIKSYDLPGSAEHLINYERPPAQCIGGKKIRCKTTPENRGTEETNDKGQSVDLLSAGSSLKLCMRQQATFTNTCSDQSVNPWSCHEEKHQNQEMQIPPSEDTFAANSGGNNVIGTMIVQGYEVKASVAPILTAIFAKYGDIAATCQYKSPSIRACLLEIVSDVVRRLQSTDIPLTVSEIKVLQNEMKDLEATKLKLSWLTQPLEKISEVERIAGMRSMLKSVKASSMLVIKAATKELEDALVELVALQKRMGEAEKRVNAMKLVVQKVDDAIKEAEEEERHWLRNMSELP >Manes.04G017000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2146270:2155369:1 gene:Manes.04G017000.v8.1 transcript:Manes.04G017000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKGIAQSSSCFVSGTHFFVVILSVLLLFLQPISCQDVEGYDSDGVNSNPAAKELFSEMVVNSFSNFTSIFKQDISKYLGFCIMDVDSDWNMAFNFSENSEFITSCARKTKGDLAQRMCTAAEVKFYFNSFFSKGSRTTHYMQPNKNCNLSSWVSGCEPGWACSVGRGEKVDMKNSETIPSRADDCAPCCEGFFCPHGLTCMIPCPLGAYCPLSKLNDTTGICDPYNYQLPAGKPNHSCGGADIWADILSSREIFCPKGSYCPSTIQKLSCSSGYYCRTGSTSQAGCFRLATCDPKSSNQNITAYGIMIFAGLSFLLLIIYNCSDQVLATRERREAKTREKAVQSVRETVQAREKWKDIAKKHAIDLQSQLSRTFSRATSAKKADVVKGTGQAKPGTDAALQSISGASSSAPTKGKKKDKNNLTNMLHEIEANPEGHEGFNLEIGDKNIKKHAPKGKQLQTQSQMFRYAYGQIEKEKAMQEQNKNLTFSGVISMTNDIEIRKRPTIEISFKDLSITLKRKKRHLLRGVTGKLSPGKVSAVMGPSGAGKTTFLSALTGKATGCQVSGTVLVNGKAEPIQAYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPERVLVVERVIESLGLQPVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSLLLIRALRREALEGVNICMVVHQPSYTLFSMFDDLILLAKGGLTAYHGPVKKVEEYFAGLGITVPERSNPPDYFIDILEGIVKPSSDVNYKQLPVRWMLHNGYPVPMDMLQSIDGPEASGDSTNDANPSEAGSEPQSFAGEFWQDVKTNVELKKDNIESNITTTDDKSNRKTPDVFQQYRYFLGRICKQRLREARTQAVDFLILLLAGICLGTLAKLYSARSQL >Manes.04G017000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2146271:2155369:1 gene:Manes.04G017000.v8.1 transcript:Manes.04G017000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREKGIAQSSSCFVSGTHFFVVILSVLLLFLQPISCQDVEGYDSDGVNSNPAAKELFSEMVVNSFSNFTSIFKQDISKYLGFCIMDVDSDWNMAFNFSENSEFITSCARKTKGDLAQRMCTAAEVKFYFNSFFSKGSRTTHYMQPNKNCNLSSWVSGCEPGWACSVGRGEKVDMKNSETIPSRADDCAPCCEGFFCPHGLTCMIPCPLGAYCPLSKLNDTTGICDPYNYQLPAGKPNHSCGGADIWADILSSREIFCPKGSYCPSTIQKLSCSSGYYCRTGSTSQAGCFRLATCDPKSSNQNITAYGIMIFAGLSFLLLIIYNCSDQVLATRERREAKTREKAVQSVRETVQAREKWKDIAKKHAIDLQSQLSRTFSRATSAKKADVVKGTGQAKPGTDAALQSISGASSSAPTKGKKKDKNNLTNMLHEIEANPEGHEGFNLEIGDKNIKKHAPKGKQLQTQSQMFRYAYGQIEKEKAMQEQNKNLTFSGVISMTNDIEIRKRPTIEISFKDLSITLKRKKRHLLRGVTGKLSPGKVSAVMGPSGAGKTTFLSALTGKATGCQVSGTVLVNGKAEPIQAYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPERVLVVERVIESLGLQPVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSLLLIRALRREALEGVNICMVVHQPSYTLFSMFDDLILLAKGGLTAYHGPVKKVEEYFAGLGITVPERSNPPDYFIDILEGIVKPSSDVNYKQLPVRWMLHNGYPVPMDMLQSIDGPEASGDSTNDANPSEAGSEPQSFAGEFWQDVKTNVELKKDNIESNITTTDDKSNRKTPDVFQQYRYFLGRICKQRLREARTQAVDFLILLLAGICLGTLAKVSDETFGVIGYTYTVIAVSLLCKIAALRSFSLDKLHYWRESASGMSSMAYFLAKDTVDHFNTLVKPLVYLSMFYFFNNPRSSFTDNYAVLICLVYCVSGIAYALAILFEPGPAQLWSVLVPVVLTLIATQGEDREDSNFVKKLSDFCYTKWALEAFVIANAKRYYGVWLITRCGSLMESGYDLNHWYRCLIFLVIFGLGSRIIAFFIMVTFQKK >Manes.07G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30756886:30757682:-1 gene:Manes.07G102000.v8.1 transcript:Manes.07G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEVILAILLPPVGVFLRYGCGVEFWIDLLLTILGYIPGIIYAIYVLVG >Manes.03G025200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2046293:2047707:1 gene:Manes.03G025200.v8.1 transcript:Manes.03G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPIRANSNSETESSFNSSSSSSSCSPSSPSSSGLLSPNPVNKPCSEENPRRPKRARDNSKHPVYRGVRMRTWGKWVSEIREPRKKNRIWLGTFSTPEMAARAHDVAALSIKGDSVILNFPELSSSLPRPASNSPRDVQAAAAKAASMEFSINSNSVVDDHPHRRHDHDNNYISNNNASSSSTITQSSSSSTAEVTSSPCYVTTPDELSEIVELPNLGSSLEESLELRDEFVFGDTWLYNPPAYEDYYGGSGYFSDHQLPIIPESMITGGFEVFLGDN >Manes.10G092901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23900777:23902796:-1 gene:Manes.10G092901.v8.1 transcript:Manes.10G092901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCYLGPLFPAWIKSQRVACLDFSNASISDFIPSWFWDISGNLSVFNFSFNQLKGQLPNSLKVYEQGYVDLSFNLLEGPIPLPSNEVAILNLSHNKFSGHIPENIGDLSFLAFLSLANNQISGEIPSSIGKLQLGRVIDLSWNNLTGRIPTSIGNCYSLEVLDLQHNKLSGNIPKSLGQLSNLQTFHLRNNMIRGKLPSSFRGLLSLETLDLGYNRLTGKIPQWLGDAFPCLKILSLRSNAFSGEVPSGLFNLSSLQVLDLAENQLRGSISTRVSNLKGMTEERRVKQDLSYGWVAGVYYQENLVVNSKGQSLKYTRTLSFLTCIDISGNHLHGELPHEVTELAGLVVLNLSRNHISGQIPETISELHQLASLDLSSNMFFGPIPPSMISMSFLSYLNFSYNNLSGKIPYAGQMATFEANSFAGNPGLCGAPLVVRCSGSDTINDEMGNAYVYSNDRWPYLAIGLGYAAGLLIPYLLMAAKRSWSFVYFAFVDKTVDALLYLACKRTPCFGNHCYNLH >Manes.16G056900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20127538:20137796:1 gene:Manes.16G056900.v8.1 transcript:Manes.16G056900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSFTLLRNYAISTRAFSNLQSSPRAISYLNTKFLPSIQSRRSLFRTSSISDEEASAKEAAASAESGAPTIFDKIIAKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKFRDGLTQLGRAEERHGEILGQLLYAAKIVAEKEGILDGFRVVINNGPSACQSVYHLHLHVLGGRQMKWPPG >Manes.16G056900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20125323:20137678:1 gene:Manes.16G056900.v8.1 transcript:Manes.16G056900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSFTLLRNYAISTRAFSNLQSSPRAISYLNTKFLPSIQSRRSLFRTSSISDEEASAKEAAASAESGAPTIFDKIIAKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKFRDGLTQLGRAEERHGEILGQLLYAAKIVAEKEGILDGFRVVINNGPSACQSVYHLHLHVLGGRQMKWPPG >Manes.16G101900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30732664:30737880:1 gene:Manes.16G101900.v8.1 transcript:Manes.16G101900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVVKKRGRKPKPKDDKDQQQQSAGKMKEAKKIQQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSRIVATHTDSPDVLIWDVEAQPNRHAVLGATNSRPDLILTGHQDNAEFALAMCPTEPFVLSGGKDKSVVLWSIQDHMTASATDPAAAKSPGSGGSIIKKTGNGNDKAADSPSVGPRGIYQGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGSSPAVKVEKAHNADLHCVDWNPHDDNLILTGSADNSVRMFDRRNLTSNGVGTPVYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVWMFILVKY >Manes.16G101900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30732664:30743442:1 gene:Manes.16G101900.v8.1 transcript:Manes.16G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVVKKRGRKPKPKDDKDQQQQSAGKMKEAKKIQQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSRIVATHTDSPDVLIWDVEAQPNRHAVLGATNSRPDLILTGHQDNAEFALAMCPTEPFVLSGGKDKSVVLWSIQDHMTASATDPAAAKSPGSGGSIIKKTGNGNDKAADSPSVGPRGIYQGHEDTVEDVTFCPSSAQEFCSVGDDSCLILWDARVGSSPAVKVEKAHNADLHCVDWNPHDDNLILTGSADNSVRMFDRRNLTSNGVGTPVYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDKVGKKVERPTRAPSSPAGLFFQHAGHRDKVVDFHWNAYDPWTIVSVSDDCDTTGGGGTLQIWRMSDLIYRPEDEVLAELEKFKSHVIACASKP >Manes.02G186900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14959173:14964562:1 gene:Manes.02G186900.v8.1 transcript:Manes.02G186900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLIRFGIIGCAEIARKVSRAITLAPNAHLYAVASRSVEKAAAFAKANNFPSDAKIYGSYESLLDDPLVDAVYVPLPTSLHVKWACLAAQKKKHILLEKPVGLNVAEFDEILRACEANGVQIMDGTMWMHNPRTQKMREFLSDKERFGELRTIHSTFTLAADEDFLKNDIRVKPDLDGLGALGDAGWYTIRATLWAADYELPKTVIALRGSVLNEAGVILACGASLHWEDGKVAVLHCSFLTHLTTFVTAIGTKGTLHVDDFIIPFTEKEASYTATSKAWFNELVTGWVPLPSQNTVFVDLPQEACMVREFARLVGNIKAHGAKPDQTWPTRSRKTQLVLDAIKTSIEKGFEPVEIAN >Manes.02G186900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14959500:14964509:1 gene:Manes.02G186900.v8.1 transcript:Manes.02G186900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLIRFGIIGCAEIARKVSRAITLAPNAHLYAVASRSVEKAAAFAKANNFPSDAKIYGSYESLLDDPLVDAVYVPLPTSLHVKWACLAAQKKKHILLEKPVGLNVAEFDEILRACEANGVQIMDGTMWMHNPRTQKMREFLSDKERFGELRTIHSTFTLAADEDFLKNDIRVKPDLDGLGALGDAGWYTIRATLWAADYELPKTVIALRGSVLNEAGVILACGASLHWEDGKVAVLHCSFLTHLTTFVTAIGTKGTLHVDDFIIPFTEKEASYTATSKAWFNELVTGWVPLPSQNTVFVDLPQEACMVREFARLVGNIKAHGAKPDQTWPTRSRKTQLVLDAIKTSIEKGFEPVEIAN >Manes.02G186900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14960056:14964509:1 gene:Manes.02G186900.v8.1 transcript:Manes.02G186900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLIRFGIIGCAEIARKVSRAITLAPNAHLYAVASRSVEKAAAFAKANNFPSDAKIYGSYESLLDDPLVDAVYVPLPTSLHVKWACLAAQKKKHILLEKPVGLNVAEFDEILRACEANGVQIMDGTMWMHNPRTQKMREFLSDKERFGELRTIHSTFTLAADEDFLKNDIRVKPDLDGLGALGDAGWYTIRATLWAADYELPKTVIALRGSVLNEAGVILACGASLHWEDGKVAVLHCSFLTHLTTFVTAIGTKGTLHVDDFIIPFTEKEASYTATSKAWFNELVTGWVPLPSQNTVFVDLPQEACMVREFARLVGNIKAHGAKPDQTWPTRSRKTQLVLDAIKTSIEKGFEPVEIAN >Manes.09G058083.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10160841:10162993:1 gene:Manes.09G058083.v8.1 transcript:Manes.09G058083.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNSKTQVIKPLFLKAGIPLALSVAGFIYARIVSRRNIISENPSLETKLDSLENFDSHEVFLNNSAKVDEEHMITSSQASSSIESSDLSHDKASFEGELLGFRNRIEKLQERVLALETQFLQCLAMKDEEALLMEIKNKLVVEAAHVEFLDREVSSMEAEKERFENLVVEYLRILQQLKFTKLENGFLRRKVKRISKKMKEQSSLVREKDLKIEATEAEILRCYDELETRASIIKKMEDEVRELHTTVDQLQEEKNELLLKLNPAENSDTSTSKIDAAVTMEDYNQLVHELEQLQKDRATEFAELTYLKWTNACLRHELVRNHEQEQQTEQKKEHIAAGEEIRDCGLLQKPDSSVLNLNEPYTGGSSSSHDQSCSKRKKLLHKLKKWVEGSDHMKEKQEIKCLGRLSVSEEAEEDHITHARRSCSSA >Manes.09G058083.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10160841:10162993:1 gene:Manes.09G058083.v8.1 transcript:Manes.09G058083.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNSKTQVIKPLFLKAGIPLALSVAGFIYARIVSRRNIISENPSLETKLDSLENFDSHEVFLNNSAKVDEEHMITSSQASSSIESSDLSHDKASFEGELLGFRNRIEKLQERVLALETQFLQCLAMKDEEALLMEIKNKLVVEAAHVEFLDREVSSMEAEKERFENLVVEYLRILQQLKFTKLENGFLRRKVKRISKKMKEQSSLVREKDLKIEATEAEILRCYDELETRASIIKKMEDEVRELHTTVDQLQEEKNELLLKLNPAENSDTSTSKIDAAVTMEDYNQLVHELEQLQKDRATEFAELTYLKWTNACLRHELVRNHEQEQQTEQKKEHIAAGEEIRDCGLLQKPDSSVLNLNEPYTGGSSSSHDQSCSKRKKLLHKLKKWVEGSDHMKEKQEIKCLGRLSVSEEAEEDHITHARRSCSSA >Manes.09G063900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11220425:11223090:-1 gene:Manes.09G063900.v8.1 transcript:Manes.09G063900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQPRLLTINNLRTTSHLIKQAAASFSANPFTFLLLSLLLFSFRYFVENGTIVLTSFIDRDPSLKSLLSRLDLAGHHLHPPHHRIHRFPHRRHRRPFLHLTRVGTLDDDFFSGDDDTDRSLFGPNHKLLPNGTSVILYNFDSGLGFSEFVIDNGINIPETVRSGIQFKADSLPMDSSDRESSDNSDNEKEEEEENRSMGIEKGGELDRIVDLQFFIKGLELGRRDAAALFFLVSLLSVAYGWVILGFTAIYSWISGIVFVAVVNDLLGRFTSSVAVVWNGSRLGLKRLTGFILMRWAVRDALTQLIGLWYFGEIEDQYSFFKLFVRLKLMPFSIMSPWIRGFEKEISGFLLTWFLLDAFVAFIFAVDAWVTIVDSRRTGREIVKEGCYLISTMFNQAIQIKSMETILCGSAARWVLSRVFGKFLAAIFQSTVEVYFMVAWLIFYLAARCKEAHSEGRRFGRRELEGLIDGRR >Manes.02G075900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5760651:5762980:-1 gene:Manes.02G075900.v8.1 transcript:Manes.02G075900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYPQPDSLSEREISRKRMKTSPDTDTGNNTNSNSNPTPPLLADAASSSFSSSSPPSTITVSGNLSEPTRPVVLIDSQETGVRLVHTLLACAEAVQRENLKLAEALVKHIGLLAASQASSMRKVATYFAEALARRIYKIYPQECLDPSYSDTLEMHFYETCPYLKFAHFTANQAILEAFATASRVHVIDFGLKQGMQWPALMQALALRPGGPPAFRLTGIGPPQPNNTDTLQQVGWKLAQLAETIGVEFEFRGFVANSLADLQPEMLDLRPPEVETVAVNSVFELHRLLARPGGIDKVLSSIKAMKPKIVTIVEQEANHNGSVFVDRFTEALHYYSSLFDSLEGSGLAVPSQDLVMSELYLGRQICNVVACEGADRVERHETLSHWRTRIESAGFDPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWKLGGGSQE >Manes.10G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7820149:7827557:-1 gene:Manes.10G058000.v8.1 transcript:Manes.10G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKNCGSGFRELRPLVHLLLPLSVHWVAEQMTVSVLVDVVTSALCPGESTCSQAIYLSGLQQTVVGIFKMVVLPLLGQLADEYGRKPLLLITVSTSIFPFALLAYNQSREFVYAYYALRTISFIISQGSIFCIAVAYAADFVKEGRRAAVFSWITGLFSASHVIGNVLARFLPGKYIFLVSIALLICCPLYMQFFLLETVERNQRREQKSTFLAMTMKVFHTRYKSMVDAATVVFSSPTLRGISFVSFFYELGMSGISSVLFYYLKSVFGYNKNQYSEILLMVGIGEIFSQILVLPLTNPLVGEKVILCLSLLASIAYALLYGLAWSSWVPYLSASFGAIYVLVTPSTYAIISKASSSTNQGKAQGFIAGVKSVASLLSPLAMSPLTSLFLSGNAPFNCKGFSIIVASVCMIVALGFACLLKSEQQLTRTSEEDIETPLLSDNQLAA >Manes.04G161000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35533724:35544490:1 gene:Manes.04G161000.v8.1 transcript:Manes.04G161000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTRIRMLENFVKNHQNSLKSLFQRKRSSNSSEDGGFDSPGLSPRPIPQLSPLANSVVARCSKILGVPTHELQHHFDIELPESVKQLFTYARNFLEFCSYQALNCVTRRPDYLSDKDFRRLTYDMMLAWEAPSVEIDSQLKETASPCNRQEEDEDGASLFYSSSTNMAVQVDDTSTVGREAFARIAPACTVVADVMTVHNLFDALTSSSGGRLHFLIYDKYLHSLDKIIRAAKNTSGSINLQLAEGEIILDVDGTVPTQPILQHIGISAWPGRLTLTNSALYFESLGVGIYDKAVRFDLATDLKQVIKPEMTGPLGARLFDKAVMYKSTSVMEPVYFEFPEFKGNSRRDYWLDICLEILHAHKFIRKNNFKETQQLEVLAKAILGIFRYRAVKESFHIFSSHYKTVLAFKLAESLPRGDMILETLSSRLALLNITASPRSVDGFSYAKQQAKLSPVAFLTLVRLGFTLQNDSNFDGEEMAMGDLCAGEPNPLEIAVKQSVSDIGRAEAAQETVDKVKVEGIDTNVAVMKELLFPVIELAGRLQLLASWEDPFKSIVFVTLSCYVILSFHVLA >Manes.04G161000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35533724:35544490:1 gene:Manes.04G161000.v8.1 transcript:Manes.04G161000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTRIRMLENFVKNHQNSLKSLFQRKRSSNSSEDGGFDSPGLSPRPIPQLSPLANSVVARCSKILGVPTHELQHHFDIELPESVKQLFTYARNFLEFCSYQALNCVTRRPDYLSDKDFRRLTYDMMLAWEAPSVEIDSQLKETASPCNRQEEDEDGASLFYSSSTNMAVQVDDTSTVGREAFARIAPACTVVADVMTVHNLFDALTSSSGGRLHFLIYDKYLHSLDKIIRAAKNTSGSINLQLAEGEIILDVDGTVPTQPILQHIGISAWPGRLTLTNSALYFESLGVGIYDKAVRFDLATDLKQVIKPEMTGPLGARLFDKAVMYKSTSVMEPVYFEFPEFKGNSRRDYWLDICLEILHAHKFIRKNNFKETQQLEVLAKAILGIFRYRAVKESFHIFSSHYKTVLAFKLAESLPRGDMILETLSSRLALLNITASPRSVDGFSYAKQQAKLSPVAFLTLVRLGFTLQNDSNFDGEEMAMGDLCAGEPNPLEIAVKQSVSDIGRAEAAQETVDKVKVEGIDTNVAVMKELLFPVIELAGRLQLLASWEDPFKSIVFVTLSCYVILR >Manes.04G161000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35533724:35544490:1 gene:Manes.04G161000.v8.1 transcript:Manes.04G161000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQTRIRMLENFVKNHQNSLKSLFQRKRSSNSSEDGGFDSPGLSPRPIPQLSPLANSVVARCSKILGVPTHELQHHFDIELPESVKQLFTYARNFLEFCSYQALNCVTRRPDYLSDKDFRRLTYDMMLAWEAPSVEIDSQLKETASPCNRQEEDEDGASLFYSSSTNMAVQVDDTSTVGREAFARIAPACTVVADVMTVHNLFDALTSSSGGRLHFLIYDKYLHSLDKIIRAAKNTSGSINLQLAEGEIILDVDGTVPTQPILQHIGISAWPGRLTLTNSALYFESLGVGIYDKAVRFDLATDLKQVIKPEMTGPLGARLFDKAVMYKSTSVMEPVYFEFPEFKGNSRRDYWLDICLEILHAHKFIRKNNFKETQQLEVLAKAILGIFRYRAVKESFHIFSSHYKTVLAFKLAESLPRGDMILETLSSRLALLNITASPRSVDGFSYAKQQAKLSPVAFLTLVRLGFTLQNDSNFDGEEMAMGDLCAGEPNPLEIAVKQSVSDIGRAEAAQETVDKVKVEGIDTNVAVMKELLFPVIELAGRLQLLASWEDPFKSIVFVTLSCYVILRGWTRYILPCIFMCSAVFMFLRRHFNKKEPVKAFKVEAPPNKNAVEQLLTLQEAITQVEALIQSGNIILLKIRALLIAVLPQATERIALLLVLIAAMFAFVPLRHLTLLVFLEAFTREMPYRKESSNRWRRRLKEWWNRIPAAPVQLIKLDENKKKK >Manes.04G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5072372:5074646:-1 gene:Manes.04G037500.v8.1 transcript:Manes.04G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPATQLGCKFLSMLQSMMFLLWSFLVICLCYVAWWKHESYKTNKLVREQGIKGPPPSLLLGNIPEMERMVSQNSETPQIDGPLIVLPYLKHWTKNYGKLFKFALGGIQLLYVNNLSIVREINIFTSFELGKPAYLQNDRGVLLGKGLNTANGDVWYHQRKTIAPQLYMNKVKDMVNLMVESGSMLVKAWEKIIDTESEGGIIDIVVDEHVRIFTTYISSKIIFGSDHHKGIKVFPECHELLKAMGETTTLGIPLLRFLPIERNRKTWRLAKEIHGMIMDIAKERSGSTSHQDLLQAIIEGSKNGELGKLTEDEFIIDNCKNMIFGGYQSPALAAIWGLMLLASHPEWQDRARFEVLEICKGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAMQNVKLGELKVPKGMDIWIWLPALHRNPEYWGADADVFNPERFTNGVAGACKSSQAYIPFGLGARVCPGQNLALIELKVFFAVILSNFKLTISPKYRHSPTYGLLLEPEHGVNLLIQKI >Manes.12G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:609927:613515:1 gene:Manes.12G004600.v8.1 transcript:Manes.12G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSYKLYLFWVVSVCLILNYAELILTEAAPEEALITHLPGFNGTLPSKHYGGYVSFDEKNLFYYFIASEKNPSEDPVVLWLNGGPGCSSFDGFVYEHGPFNFEEGQPKGSLPILHLNPYAWSKVSNIIYLDSPCGVGLSYSNNTSKYTTDDWHTAADSHAFLLKWFELYPEFIKNPFYISGESYAGIYVPTLASEVVQGIKAGVKPILNFKGYLVGNGVTDSDFDDTLTSLVPFAHGMGLISDDIYEDVQSACYGNHTDPHHDCSTSIDKMHDAIDGLNIYDILEPCYHDPNAYKDGKGNTSLPVSFQQLGVTQRPLKVRKRMFGRAWPLRGQLKPGTLWPELAEQGSVMCFNDEVATAWLNDESVRNAIHAEPKSIAGSWELCSSRIIYARYSSGSMLPYHKNLTSQGYRALIYSGDHDMCVPFTGTQAWTRSLGFKIVDEWRPWFSNDEVAGYLQGYDYNFIFLTIKGAGHTVPEYKPRESLDFYSRWLDGKPI >Manes.12G004600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:610153:613524:1 gene:Manes.12G004600.v8.1 transcript:Manes.12G004600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIFIFVSFSDLQVSNIIYLDSPCGVGLSYSNNTSKYTTDDWHTAADSHAFLLKWFELYPEFIKNPFYISGESYAGIYVPTLASEVVQGIKAGVKPILNFKGYLVGNGVTDSDFDDTLTSLVPFAHGMGLISDDIYEDVQSACYGNHTDPHHDCSTSIDKMHDAIDGLNIYDILEPCYHDPNAYKDGKGNTSLPVSFQQLGVTQRPLKVRKRMFGRAWPLRGQLKPGTLWPELAEQGSVMCFNDEVATAWLNDESVRNAIHAEPKSIAGSWELCSSRIIYARYSSGSMLPYHKNLTSQGYRALIYSGDHDMCVPFTGTQAWTRSLGFKIVDEWRPWFSNDEVAGYLQGYDYNFIFLTIKGAGHTVPEYKPRESLDFYSRWLDGKPI >Manes.04G142000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34038355:34042418:-1 gene:Manes.04G142000.v8.1 transcript:Manes.04G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRLESKADKDIIHGLTAIAIDGEKNSQSAVKWVADNLVHGKKPPLCILVHVQSKTMQLGENVVSREGCPATPQEFQQFFLPYRGFCTRKGIEAKEVVLFDIDVPSALVDYIIHNNIHNIVVGASRRNAFIRKFKQSDIPSILLKTAPESCSVYVISKGKVQTSRLASQTNHSPTSSTHQESYAQSTGSANSISSQCSSPQCQSLPSPNIITVKNASTARQNNHWEVASPPIETLKSNKSTTPRISFRESWKSEVSGRMSSDQNCEDDKFAFSFNTTSQGTSPNSHSERMLNRDSVSDISEISGPQSFRSTNTSCENLEFSATSESSKGSNSSQLANLLDTEMRKLKLEMQQSMVLLNSVTKEAVLAKHMIRELQQLRTSDVTKAEGEQITEGVEMEKKNNKVALLVQTAQRIAGIEAEKKAQHEAEKKRKTMETMANNAFRCRTYTIDDIEVATNHFELSQKIGEGGYGPVFKGVLNHIDVAIKILRPDLSQGHMQFRQEVDVLSSMRHPHIVILLGACPEYGCLVYEFMENGSLEDRLLRKHKTPPIPWRARFRIAFEIATALHFLHETKPEPLVHRDLKPANILLDYNLVSKISDVGLARLLPASAASKVSQYRMTAAAGTFYYIDPEYQQTGKLSVKSDIYSFGVVLLQLLTAKPPMGLFHHVQEAINNGTFADVLDKTITDWPVKQALSLAKIAVKCCELYKKHRPDLASVVLPELKRLRNFSLGKKAVEHETIIPLPSPTDLVS >Manes.04G142000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34038355:34042418:-1 gene:Manes.04G142000.v8.1 transcript:Manes.04G142000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPSPLMEKRTAKAPSNGLRIILFMERNLLYAFLFMFNPKQCNWGKMWCLGKVAQQLRKNFSSSSFPIEDFVLEKEISFRESWKSEVSGRMSSDQNCEDDKFAFSFNTTSQGTSPNSHSERMLNRDSVSDISEISGPQSFRSTNTSCENLEFSATSESSKGSNSSQLANLLDTEMRKLKLEMQQSMVLLNSVTKEAVLAKHMIRELQQLRTSDVTKAEGEQITEGVEMEKKNNKVALLVQTAQRIAGIEAEKKAQHEAEKKRKTMETMANNAFRCRTYTIDDIEVATNHFELSQKIGEGGYGPVFKGVLNHIDVAIKILRPDLSQGHMQFRQEVDVLSSMRHPHIVILLGACPEYGCLVYEFMENGSLEDRLLRKHKTPPIPWRARFRIAFEIATALHFLHETKPEPLVHRDLKPANILLDYNLVSKISDVGLARLLPASAASKVSQYRMTAAAGTFYYIDPEYQQTGKLSVKSDIYSFGVVLLQLLTAKPPMGLFHHVQEAINNGTFADVLDKTITDWPVKQALSLAKIAVKCCELYKKHRPDLASVVLPELKRLRNFSLGKKAVEHETIIPLPSPTDLVS >Manes.03G018900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1598649:1599239:1 gene:Manes.03G018900.v8.1 transcript:Manes.03G018900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPSCCLNLRPPTPPSPPPNARATQAAWFKNGSWRSQCVVGMACIIIGVEMDLASQANVATAKDLQYLLVESKENTKGDRWSDRRICPPWHLNSLETIVPENLPRPSARRRWEEVGNVKNVPAPAIKVIVKSRSSSNNCFTM >Manes.06G013700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2258690:2262350:-1 gene:Manes.06G013700.v8.1 transcript:Manes.06G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTLGTTGEFFKRRDEWRKHPMITNQFRHAVPGLGIGLVAFGIYLVGEQVYNKLYAPSSGHHASSSSHSH >Manes.01G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29394514:29396061:-1 gene:Manes.01G092600.v8.1 transcript:Manes.01G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVYMINLCRKMDVLGLLIVGFLSFVSSAHGYYGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGINTAALSTALFDNGLSCGACFELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVIYRRVRCRRSAGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTRWQPMSRNWGQNWQSNSYLNGQSLSFLVTASDGRSVLSYNVAPAGWSFGQTYTGRQFRY >Manes.01G092600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29394514:29396577:-1 gene:Manes.01G092600.v8.1 transcript:Manes.01G092600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLGLLIVGFLSFVSSAHGYYGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGINTAALSTALFDNGLSCGACFELRCVNDPQWCLPGSIVVTATNFCPPGGWCDPPNHHFDLSQPIFQHIAQYRAGIVPVIYRRVRCRRSAGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTRWQPMSRNWGQNWQSNSYLNGQSLSFLVTASDGRSVLSYNVAPAGWSFGQTYTGRQFRY >Manes.06G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26132038:26133556:1 gene:Manes.06G132900.v8.1 transcript:Manes.06G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQMKKSFYTAILLVALISAAAGDDHDNECVYTVYVKTGSIIKGGTDSKISLALGDPQGQSVWVSDLESWGLMGPSHDYYERGNIDIFSGRGPCIGTPLCRLNLTSDGSGKHHGWYCDYIEVTSTGPHKQCSQTIFYVNQWLATDVAPFQLTAQLDGCSSWDQRAKQVVKERFVVGNGGRSAAA >Manes.03G208300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32371352:32374872:1 gene:Manes.03G208300.v8.1 transcript:Manes.03G208300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASPNPIIATEKIIETIELPVIDLSMGERSEVSNLVVRACEKYGFFKVINHGISKEITSRMEQESMSFFAKPFPEKELAGPANPFGYGCKNIGFNGDIGDVEYLLLNTHPLSISQRSKTISDDPHRFSSAVSDYIEAVREVACELLELMAEGLGAPDPSVFSRLIRDADSDSIIRLNHYPPMPVPIIGCKDKDTSPSYNTNRVGFGEHSDPQILTLLRSNDVGGLQISLNDGVWVPVSPDPNGFCVNVGDVLQAMTNGRFVSVRHRALTNSYNSRMSIAYFAAPPLNAKITALPHMVSAVRPSLYRPFTWDDYKKAAYSQRLGDARLDLFRKQ >Manes.14G124200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9844064:9849600:1 gene:Manes.14G124200.v8.1 transcript:Manes.14G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHHENNIPARGFSTPPPSWKSPHCLAAMPMSERKSVSSGCKRDLFHVIHKVPAGDSPYVRAKHVQLIEKDPSRAISLFWAAINAGDRIDSALKDMAVVMKQLNRSDEAIEAIKSFRRLCPYDSQESIDNVLVELYKRSGRIEEEIEMLHLKLKNIEEGIAFGGKRTKTARSQGKKIQITVEQERSRILGNLAWAYLQHHDYGLAEQYYRKALSLEPDKNKQCNLAICLMHMNRIPEAKSLLQAVSDSCGSKQMDDSYAKSFERAVDILTELESKSVLKPAEEDKENQRSLASPLLSDKHDRGSYCGIHSEEQGNFISSSGKGPGLANKRMLDSPAAVLYTQPKRVMGRSDEEEQRRGVGWENDTVEKPSKNVSACIIRSLDGELLDPPAERNWREKSWTEVAQGKITGVTVPYQFSQPRIRAFTGYNDAHLKDENVTKSDSQQPSWRSNARETGGQMGSTNEKSDASSKFHLEQNMVVDDARQSETSIDGKCGQTFGINGCLGKNSSSKFAIKSWADMVEEEEEKLLTGKDLSPYFDGGWDYEEESADENQDSNIIHQTSCPKSPAEAINQKFEAFDLKDGFAAFSNAVSPRNPTVRRSLRFDAKNDFSTRKKHRLQVFQDITPSIDSP >Manes.18G023700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2260510:2263811:-1 gene:Manes.18G023700.v8.1 transcript:Manes.18G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAPEMNDIRTSVPSSFSHQSSAVEKRRDGNHNGNSSLAYNQTLDQNRQSSLSQARSPNPDRLSTISKGSNSKTSNVRYRECLRNHAASVGGNVFDGCGEFMPGGEEGTIEALKCAACDCHRNFHRKEVDGETQFSPSSRRSPFVLQLPPPLPSPTVLHHQRYSMGLHTSPTTANMVQPMSVAFGGGGGGGTESSSEDLNPFHSNAEGMPPPPPYVLSKKRFRTKFTQEQKDKMMEFAEKIGWRISKPEEEEVVKFCAEVGVRRQVFKVWMHNNKNMKKQQQEQQEEEEPL >Manes.16G116300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32114604:32118027:1 gene:Manes.16G116300.v8.1 transcript:Manes.16G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAARLNLRMQKELKLLLTDPPPGSSFPFLSADSDLSSLSTIDAQIEGPEGTVYAKGIFNINIQIPERYPFQPPSVTFATPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMPEASKEFKYNRKAFDQKARAMTENYATAGASGHNCRNQGIQVNTDLSTVVEVNQSEKESKYEVSDSISSHNKSYGISQKLSLEASSSSHKRGSEAEDTVVPNPLKFDSRNLATKRDRKELRDIPDKYALRDEKQCRVGRKLSLESSVQCCGRDGHDMDGDPVHSHSPCNARALAMAHSESPLLQGGSLQELQVHRHHDSISTFDSKIISSNKAFKVSQKIPSRSLDACQTNDGTNEKMLVTPAISPSHSHSNSSRGASTINSYINNDAQQTCQVSVENLGNGSADTKFKKACSVRKKLSLGYKGSPGGPEKDGKENVLLIHKVSSSPKTQCRAGIGQRLPLAPLTQLQGSNNSRLLLHSGEIPTDESQKQQSDQHENEKLDGETKQWGEETQVAETIIVLDSEDSEEESNGTVRSKLSLSRKCLGKRKAQA >Manes.11G019900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2156697:2158328:-1 gene:Manes.11G019900.v8.1 transcript:Manes.11G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEEPEPNLISDHILDWLEDSASFLPSFFDDPSNYNSGDVNGCQWWNQTEEICQGLSSTTNTTLNAPSDPTSSNHQQPSNSSRKRGATGDPVPKTSQNHHQGKKQNHRIRISNEDQDGEQVIEVVAGKRSYRKNKGNSKSTGNNGNNGNNKEGRWAEDLLNPCAAAITTGNFSRVQHFLYVLHELASSAGDANHRLAFFGLQALTHHLSSSTTSASVGPISFTSIEPKFFQRSLLKFYEISPWFSFPNNIANSSILQILAQELDHKQSLHILDIGVSHGVQWPTLLEALTRRPGGPPPLVRITVVTATVENDQNTDTPFSVGPPGDNFPPRLLNFAKSMNINLQINRLDNIPLQKLNGQIINTNPEEILIVCAQFRLHHLNHNNPDERTEFLRFLRDMEPKGVVLSENNADCSCNSCGDFATGFSRRVDYLWKFLDSTSSAFKGRDSEERRVMEGEAAKALTNRGEMNEGKERWCERMRRVGFVGEVFGEDAIDGGRALLRKYDSNWEMKIEEKDECVGLWWKGQPVSFCSLWKLDMNMKGK >Manes.03G154700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28249654:28250919:1 gene:Manes.03G154700.v8.1 transcript:Manes.03G154700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSQPNSTQDWPTRAWNLLCKLRDQSPLVQCITNFVSMDLMANTLLSAGASPAMIHSIEEIPDFTPHARSLCINVGTFSLNRLPAMKAAAELATKFGKPWVLDPVAAGASGFRLNACLELVGMKPTVIRGNGSEIIALSKASLGASKGVDSSHESIDAIEAAKSLAQASGAIVAVSGAVDIITDGNRVVGAHNGVPMMQKITATGCAVTALIAAFVAVDPLHALEATASALSIFGIAGELGMKMAKGPASLRMHLIDSLYGLDQSALLSHTKITSL >Manes.03G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22938311:22941254:1 gene:Manes.03G105700.v8.1 transcript:Manes.03G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYRHFDTGDARLPPGFRFHPTDEELITCYLLKKVLDSNFTGRAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKSGGSTSSSSSSSTKKGRFSNTINLHPEASSPSSASLPPLLDPTTTTTAASTNTFNDRESCSYDSYAQTEHVSCFSTIATAASNTANQNNFEFAPPPLLLAADPFGRFPRNVGVSSFPSLRSLQETLQLPFFFSAPSQTAAAAPCNGGGTTAMNWMTGSDEGRIDGGPAGESGGVRAAGFTELDCMWTY >Manes.03G101700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22548291:22550768:-1 gene:Manes.03G101700.v8.1 transcript:Manes.03G101700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKGKDVVEGSSRSGSGGIATDQQNPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANHVLDFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASSSIRVYLREVRDSQAKARGIPYKKKKKKRNPMKASDDHSSSFSMQ >Manes.08G171800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40533694:40534560:-1 gene:Manes.08G171800.v8.1 transcript:Manes.08G171800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMVSVLVVCMLVAAPMATQAITCGQVASSLAPCINYLKGQGAQAPPAACCNGVKAINNAAKTTPDRQTACNCLKSAARGVSGLNPSTAESLPSKCGVSIPYKISLSTNCATVK >Manes.08G167600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDVGSPPSAQQDPKSSDEQDGRQKSQGNLIRNSDQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACKKKAKLNRERKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDVGSPPSAQQDPKSSDEQDGRQKSQGNLIRNSDQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40222431:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDVGSPPSAQQDPKSSDEQDGRQKSQGNLIRNSDQPIVQCKDRTKNGDPVSKPVSIISGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40223757:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQFPSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227681:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDVGSPPSAQQDPKSSDEQDGRQKSQGNLIRNNQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFFTYYSGDFAFSLNKVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDDPKSSDEQDGRQKSQGNLIRNSDQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40222444:40227654:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQFYSFVTLVSIISGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40222430:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFFTYYSGDFAFSLNKVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40222452:40227664:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDDPKSSDEQDGRQKSQGNLIRNSGPDQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40223375:40227664:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQFYSFVTLVSIISGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQFYSFVTLVSIISGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLQFYSFVTLVSIISGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40222452:40227664:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDVGSPPSAQQDPKSSDEQDGRQKSQGNLIRNSGPDQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40222250:40227661:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDVGSPPSAQQDPKSSDEQDGRQKSQGNLIRNSDQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40222452:40227664:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDDPKSSDEQDGRQKSQGNLIRNSDQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.08G167600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40220985:40227638:1 gene:Manes.08G167600.v8.1 transcript:Manes.08G167600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVQMRRMWREGQRRSPRISALDARKAQPSRSPVARTRCSTTTVTSTNTSLHVEHQQKKRRCLDNGEGPASRTRATKKRKLRPLQDVGSPPSAQQDPKSSDEQDGRQKSQGNLIRNSDQPIVQCKDRTKNGDPVSKPGQSLSAPYVSQMPKKHILELILDILQRRDAYEIFAEPVDPNEVEDYYEIIKEPMDFGTMRAKLHEGMYNSLEQFEHDVFLIPRNAMHFNSSGTIFFRQARAIDELAKKVFHVLKTDPENFELEFSGTRRRASRRPKSEAKSSTYSSVSKLATNSRSNNVTPSASGKPVCNSANSIANLRTAGQVIPRCAAGGISAQSDTRDVEVPLGFGVDRRSGSSEADRRSTYKPWLSILSENHSIVSKIYTNSKMLMHVNQQDISYKKSLMLFVKDLGPTAQMIAQRKLSGWSTEANNYLYSDSNWPKAPNCKNYVTTSFAQCVPTSADTSTTIKKSQNLSSGDRIDMGNADKGKSSYSCDQIGTSGASVAVASQDNGTSTFGAIRLEAVSSNDTKVEGISKDNNFQQNQNGRIQIGLHSSIINARDMNFSDAGLNDKDLKSTKLKMEKSKMDDKPWLLNSAFKDSFSSSSWSLESMASGASGFGQTRGSMNNLSVQYLIGYDQGGIHELGSSTETDWSLKSNEASTQVSQFIFDLPFLRTRLDEMKHLGQKRFLQESSGGQGGFVDRMSESYRDKPPHSSLDTQLASLALQL >Manes.02G157400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12306218:12308071:-1 gene:Manes.02G157400.v8.1 transcript:Manes.02G157400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTCLSLNTNLFLQPSLLSFQPLQSSRTRSNSHGSSDDDLSDTQPGTDFVNSGVLALWLRSCCRAKDVKRVHTVALKCLRNSVTYVNNNLIGAYLYLGKVIEARKVFDGMPERNVVSWTAMINGYVSFGLDDEAFCLFSDFIANGVVPNSRTLVCIFNLCSKRLDFELGRQLHALVVKSNWRNLILDSAVVSFYAQCGELTSACCAFDQMAVRDVVCWTTMIAAFSQQGHEEEAFGMFSQMLDEGFLPNEFTACAVLKACGEKKALRFGEQLHAAIVKKMYKDDVFIGSSLVDMYAKCGEILDSRKVFNRMRKRNTVTWTSIIAGYARKGLGEEAISLFQVMKQRRVISNNLTVVSILRACGSIRALLTGREVHAQIIKKGIQSNEYIGSTLVWFYCKCGDFRIASKVLEQMPLRNVVSWTAMISGYAGLGHEPEALEFLKEMMDEGVEPNAFTYSSALKACANLEDILQGKLIHSFANKTPASTNVFVGSALIYMYSKCGYLSDAIQVFDSMPERNLVSWKTMILSYARNGLCQEALKLMYRMQAEGIEVDEYIFNSVMGECGDVEWNIEKSSNKCLLS >Manes.17G049100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24651834:24652298:-1 gene:Manes.17G049100.v8.1 transcript:Manes.17G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKWEQRLQALTHILISPTTTPPLYSQFFISTQIPCYLNWDYPPILCTKDHRIFPSLHLRWAFSLFLKRVSRLGLPETSWRSKCPYQQPPPLIPAKGVEEAQWGDEQKREYIRKRLRRKRLGNNIHPSIPILVPNLFLFSLMFWNPFPHLDS >Manes.16G078900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28366402:28376036:-1 gene:Manes.16G078900.v8.1 transcript:Manes.16G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIARNTASRLPIILSQHRGAAVSLHTTLPSLSAEATSPTPYARPPPPSTSSPAGLSKAAEYVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLHWWSK >Manes.04G011200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1515765:1519237:1 gene:Manes.04G011200.v8.1 transcript:Manes.04G011200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRIESTRTIKPIYEGNCHPPTTHCISLSIFDKITYNGHIAVIYAYRPPTSANATIEFGLQRALSQYREWAGRLGEGEKGDPVIFLNDKGVKLVEASVDGKLDQLMCLKPSPFSHSLHPSLKDVEELLQVQLTRFTCGSLVVGFTAHHLIADGHSTSNFLVSWGKASRGADMSPLPLHDRTIFIPRKPPHFEFEHKEVDFKSKNLPKDCPNKHKDNFVDDIIVYKVHFTLDFLSKLKARASPPTNNPQSKPYSTFESLVAHLWRAITRARGLSGFETTNVRMSVNRRMRMNPRVPNEYFGNLVLWAFSSARSQDLLREPLPYAAKLIHEAITKVNNNYFKSFIDFIRTRRRRKKTSCRQWR >Manes.15G153500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12754475:12754888:1 gene:Manes.15G153500.v8.1 transcript:Manes.15G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLRKLFRTPPPTTRILAFLRSPCINSPNPSIPVTLSSQSQQLNSTPNENHIINAVLPLGSFRERSLIHFDSLPFSSVHPSGYCLHPILSTELIHDLQNGEDSGMLADSVKKKRKKKMNKHKYKKLRKRLRRQT >Manes.17G003749.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1418451:1421783:1 gene:Manes.17G003749.v8.1 transcript:Manes.17G003749.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDNKLCYLPESEAFSYGIAALVCLVIAQIIGNLLICSNFYFRKDEDSSKAKKPKIATAFLVFSWTCCGVGVIILSGSISMSRKQMYGKGWLNQKCYVVRDGVFIGSGFIALISIAATLVSAFFPIATLKTEQPTRIHAQLV >Manes.17G003749.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1418451:1421783:1 gene:Manes.17G003749.v8.1 transcript:Manes.17G003749.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHQHGFLLIFFIVISLGLMSFISCIIAEFKKAKEDEMKLDNKLCYLPESEAFSYGIAALVCLVIAQIIGNLLICSNFYFRKDEDSSKAKKPKIATAFLVFSWTCCGVGVIILSGSISMSRKQMYGKGWLNQKCYVVRDGVFIGSGFIALISIAATLVSAFFPIATLKTEQPTRIHAQLV >Manes.17G003749.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1418451:1421783:1 gene:Manes.17G003749.v8.1 transcript:Manes.17G003749.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDNKLCYLPESEAFSYGIAALVCLVIAQIIGNLLICSNFYFRKDEDSSKAKKPKIATAFLVFSWTCCGVGVIILSGSISMSRKQMYGKGWLNQKCYVVRDGVFIGSGFIALISIAATLVSAFFPIATLKTEQPTRIHAQLV >Manes.13G101600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29824392:29828742:-1 gene:Manes.13G101600.v8.1 transcript:Manes.13G101600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSFIANQSLSPFLKAPRSNLCGRKQFCLRASAGHPDEKRKMTIKKEKDGWRIDFSGEKPATPLLDTINYPVHMKNLSKQDLEQLAAELRADIVYSVSKTGGHLSSSLGVVELTVALHHVFNSPDDKIIWDVGHQTYPHKILTGRRSRMHTMRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVARDLLGKKNNVISVIGDGAMTAGQAYEAMNNVGFLDANLIVILNDNKQVSLPTATLDGPATPVGALSGALTKLQASTHFRKLREAAKSITKQIGGQTHQVAAKVDEYARGLISASGSTLFEELGLYYIGPVDGHNVEDLVTIFRKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADKMHGVVKFDVPTGKQLKTKSPTLSYTQYFAEALIREAETDNKIVAIHAAMGGGTGLNYFQKRFPDRCFDVGIAEQHAVTFAAGLATEGIKPFCAIYSSFLQRGYDQVVHDVDLQKIPVRFAMDRAGLVGADGPTHCGAFDITYMSCLPNMVVMAPSDEAELIHMVATAAAIDDRPSCFRFPRGNGIGAALPANIKGTPLEIGKGRILMEGNRVAILGYGSIVQQCVEAASMLRTRGISVTVADARFCKPLDTEMIRLLAKQHEFLITVEEGSVGGFSSHVAHFLCLSGILDGKLKLRAMVLPDRYIDHGSPEDQIQEAGLSSNHITATVLSLLGKPKEALMFK >Manes.05G051800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4311016:4317734:1 gene:Manes.05G051800.v8.1 transcript:Manes.05G051800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRPRTSRESSPSWTALNDDPWNDTFIPQLFTTVPALNEAASYLAQTTSLLTRCFSDYSSDEEYADGDFPSPSRRHSFFSESSTSVSATAPPPIHDGITRIPLEGPSQNANAAVPSNHSGQNGISLFQGLIERARRTVRGSADDIGWMKRASGMPPVEDGTERFMEILDNIRHGLHKLPNSMVYLLVPGLFSNHGPLYFVNTKMSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSNKQVMLLGHSKGGIDAAAALSLYWSELKDKVAGLVLAQSPYGGSPIASDILREGQLGDYVNVRRLMEIIICKVIKGDLQALEDLTYERRKEFLMKHHLPRELPVVSFHTEAGVSPAVLSTLSHVAHAELPLVGEPAKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNDDSSEASASQVCEALLTLLVEVGQKKGHERAMKDE >Manes.05G051800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4311016:4317734:1 gene:Manes.05G051800.v8.1 transcript:Manes.05G051800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRPRTSRESSPSWTALNDDPWNDTFIPQLFTTVPALNEAASYLAQTTSLLTRCFSDYSYGDFPSPSRRHSFFSESSTSVSATAPPPIHDGITRIPLEGPSQNANAAVPSNHSGQNGISLFQGLIERARRTVRGSADDIGWMKRASGMPPVEDGTERFMEILDNIRHGLHKLPNSMVYLLVPGLFSNHGPLYFVNTKMSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSNKQVMLLGHSKGGIDAAAALSLYWSELKDKVAGLVLAQSPYGGSPIASDILREGQLGDYVNVRRLMEIIICKVIKGDLQALEDLTYERRKEFLMKHHLPRELPVVSFHTEAGVSPAVLSTLSHVAHAELPLVGEPAKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNDDSSEASASQVCEALLTLLVEVGQKKGHERAMKDE >Manes.05G051800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4311016:4317734:1 gene:Manes.05G051800.v8.1 transcript:Manes.05G051800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRPRTSRESSPSWTALNDDPWNDTFIPQLFTTVPALNEAASYLAQTTSLLTRCFSDYSYGDFPSPSRRHSFFSESSTSVSATAPPPIHDGITRIPLEGPSQNANAAVPSNHSGQNGISLFQGLIERARRTVRGSADDIGWMKRASGMPPVEDGTERFMEILDNIRHGLHKLPNSMVYLLVPGLFSNHGPLYFVNTKMSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSNKQVMLLGHSKGGIDAAAALSLYWSELKDKVAGLVLAQSPYGGSPIASDILREGQLGDYVNVRRLMEIIICKVIKGDLQALEDLTYERRKEFLMKHHLPRELPVVSFHTEAGVSPAVLSTLSHVAHAELPLVGEPAKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNDDSSEASASQVCEALLTLLVEVGQKKGHERAMKDE >Manes.05G051800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4311016:4317734:1 gene:Manes.05G051800.v8.1 transcript:Manes.05G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRPRTSRESSPSWTALNDDPWNDTFIPQLFTTVPALNEAASYLAQTTSLLTRCFSDYSYSANSIRDAQELVTFSSEQADEEYADGDFPSPSRRHSFFSESSTSVSATAPPPIHDGITRIPLEGPSQNANAAVPSNHSGQNGISLFQGLIERARRTVRGSADDIGWMKRASGMPPVEDGTERFMEILDNIRHGLHKLPNSMVYLLVPGLFSNHGPLYFVNTKMSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSNKQVMLLGHSKGGIDAAAALSLYWSELKDKVAGLVLAQSPYGGSPIASDILREGQLGDYVNVRRLMEIIICKVIKGDLQALEDLTYERRKEFLMKHHLPRELPVVSFHTEAGVSPAVLSTLSHVAHAELPLVGEPAKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNDDSSEASASQVCEALLTLLVEVGQKKGHERAMKDE >Manes.05G051800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4311016:4317734:1 gene:Manes.05G051800.v8.1 transcript:Manes.05G051800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRPRTSRESSPSWTALNDDPWNDTFIPQLFTTVPALNEAASYLAQTTSLLTRCFSDYSSDEEYADGDFPSPSRRHSFFSESSTSVSATAPPPIHDGITRIPLEGPSQNANAAVPSNHSGQNGISLFQGLIERARRTVRGSADDIGWMKRASGMPPVEDGTERFMEILDNIRHGLHKLPNSMVYLLVPGLFSNHGPLYFVNTKMSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSNKQVMLLGHSKGGIDAAAALSLYWSELKDKVAGLVLAQSPYGGSPIASDILREGQLGDYVNVRRLMEIIICKVIKGDLQALEDLTYERRKEFLMKHHLPRELPVVSFHTEAGVSPAVLSTLSHVAHAELPLVGEPAKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNDDSSEASASQVCEALLTLLVEVGQKKGHERAMKDE >Manes.05G051800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4311016:4317734:1 gene:Manes.05G051800.v8.1 transcript:Manes.05G051800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGRPRTSRESSPSWTALNDDPWNDTFIPQLFTTVPALNEAASYLAQTTSLLTRCFSDYSYSANSIRDAQELVTFSSEQADEEYADGDFPSPSRRHSFFSESSTSVSATAPPPIHDGITRIPLEGPSQNANAAVPSNHSGQNGISLFQGLIERARRTVRGSADDIGWMKRASGMPPVEDGTERFMEILDNIRHGLHKLPNSMVYLLVPGLFSNHGPLYFVNTKMSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSNKQVMLLGHSKGGIDAAAALSLYWSELKDKVAGLVLAQSPYGGSPIASDILREGQLGDYVNVRRLMEIIICKVIKGDLQALEDLTYERRKEFLMKHHLPRELPVVSFHTEAGVSPAVLSTLSHVAHAELPLVGEPAKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNDDSSEASASQVCEALLTLLVEVGQKKGHERAMKDE >Manes.02G161000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12590220:12593956:1 gene:Manes.02G161000.v8.1 transcript:Manes.02G161000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTIGWYGPLIDLSKATSHIGDFVQLLVFVHRCNPVQYKLSTGGEVIRTDIQVGDDTLPFFSVSLWQKQLAAKAVAGDVILLQNVKITKFGCVVDARTVQFSSLICLIHPYDLLISKGVDDLMGESRVGKTTMEKFVKVIKWVQRAGSSLHHIRLSNFEKRQLPRNWIVPEQSELRDFFLLSEVLHLRNSCKAVFNASVGEIFLPITWRALGDSDKEKMFVSRRITNVEDSNLVEDFTCIGCQLCGSPLDSENGHIFNQNSIPLYCPKSSDHLHVVSLIYRPLMLYVWDESEYLPLLIRNKAAELLFGNIKAERVYSCYRGQKTGQNCDQKNHCTETIPKATGKAVVDSCPSGADESQQVAGKHNHHKNVDLHLVWLIVLKMLLQQGKNSPLKFEVTVDTSLEVENGRFEMVSVSIPCMRTR >Manes.06G179901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:30614785:30615237:1 gene:Manes.06G179901.v8.1 transcript:Manes.06G179901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESENEYQFESSDGSDCTKTVGIDELDEISSDSHVDSEVELDEMDIAKDSDGLSNLESEASIDSSSSDGDNAPDQKDHLHGFYNNPFTYNGEGEIQFEIGQCFVDASAFRNALRDYAIKGGYVITRKKMI >Manes.03G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23619646:23624780:1 gene:Manes.03G111900.v8.1 transcript:Manes.03G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLFRQNQKGEGKMSSDRYGSLPENDDVEEDRKSKGNPRQNVGTESDSHNRNHSKHRRDKQLVERSDSDSGEEEDKKSSKSDKRKRRSRKRRSRSYDSDSDSGSGSEDSEYSESESGTEESESESEEERSRRKRRERRRRREREEEKERKRRKKEKEKKRRRKEKEEKKKKKKDKEKRKKEKLERGKRGAVTNSWGKYGIIRETDMWNKRPEFTAWLAEVKQVNLESLPNWEEKQMFKEFMEDHNTATFPSKKYYNLDAYHKHKMEKEMKKGVKKVLEKERTVFNDEEQRRLEMMQERERHKEQQVEALKLSMQSGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDVAM >Manes.07G036633.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3933238:3935571:-1 gene:Manes.07G036633.v8.1 transcript:Manes.07G036633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSILCCVTAYKVEVLRLNSIDNLTTSMSAYWLFPQFILLGILEGLVRDGLCEFFYSQVDESMKHYESSLNDCVMGIGKFLSVICVVTFKGYFGDSVNESRLDKYYIKLAALSCGNLLFYVLVACIYSWKESTPQIVRDIEAGDAEFAIVLTSTSSEITNKTRNNLYKRWRSLEGDRDDMANKKLPRRSSTFFL >Manes.16G107200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31264787:31267166:-1 gene:Manes.16G107200.v8.1 transcript:Manes.16G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIVESLALKLPLATKPAGSGVHPSTTPCFCKLRIKNFPSQTAILPLCSTANDSPPDTSTSATGFHLDSTSIRRLSGRPVTLHVEVYTGRMGHTCGVSGGKLLGRVQISVDLRNIQSNSRVFQNGWLKLGNQPDKPAARLHIVVKSEPDPRFVFQFGGEPECSPVIFQIQGNIRQPVFSCKFSADRNSKSRYLPSDGTVNSNRGWMTTFSGEKERSGRERKGWMIMIYDLSGSPVAVASMITPFVPSPGSDRVSRSNPGAWLILRPHGFSVSSWKPWGRLEAWRERGPIDGLGYRFELVTDNGGPSGGIPIAEATMSIRKGGQFCIDNRIIRDSGLSSRSPVKGFVMGSTVEGEGKVSKPVVQVGVQHVTCMADAALFVALSAAIDLSMDACRLFSHKLRKELCHDDQESFF >Manes.18G001149.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:688327:689656:-1 gene:Manes.18G001149.v8.1 transcript:Manes.18G001149.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSVELKVEMVGIHEKRLRKSLSKLKGIEKVEVDANSHKVVVTGNAHRNKILRAIRRGGLKADFWSAQNDLLNAYAYGSLRFNNFNFF >Manes.18G001149.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:688789:689342:-1 gene:Manes.18G001149.v8.1 transcript:Manes.18G001149.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVELKVEMVGIHEKRLRKSLSKLKGIEKVEVDANSHKVVVTGNAHRNKILRAIRRGGLKADFWSAQNDLLNAYAYGSLRFNNFNFF >Manes.07G012100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1555370:1562308:1 gene:Manes.07G012100.v8.1 transcript:Manes.07G012100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAAFSGSILSAYSEQFLHKLKSLLKYVNQGKVFDQLKKWEKMLMMIRVVLDDAEEKQMANRLVEMWLSDLRDLAFDLEDVLDGLTTEIKQRKLEEKPLDRSKKVRKLFSKIFDALSDSKFNAKMVAKIENITARLDEIVKRKEDLQLGESVRMRVCEMRERPPSTSLVNEAKVYGRYEDKKKILELLNDEARDAEISVIPIVGMGGVGKTTLVQLVYNDPTSEFDLKAWVSVGEEFDVVRMTKTVLLQLGDGDDDKDLNFLQVKLKERLSGKKFLVVLDDVWTENYELWTLFRSPFEAGASQSRIVVTTRSQEVSSMMGTTPAYPLKELSYDECLYVFAQHALGATDFDKHLELKEMGEGIVKRCGGIPLAAKALGGLLRAKRNPNSWKEVLRSEIWDLPDEKSNVLPALRLSYLHLPPHLKRCFAYSAILPKDREFDRSTLILLWMAEGFLYDPRAMEDREDLGHQYFDDLLSRSFFQQSNDNKSLYIMHDLIHDLARFVSRETCLHMVDKLESENSYAKIRYLSFIPHDRNSFLRFQSFYEMKSLRTFLSSSYTRRCYNLSSEVVHDLVPKLKCLRALSLTSYDVHVLPDSIGALKHLRYLDLSYTRIKRLPTSVDKLFNLQTLKLCDCSELIQLPRGICNLLNLQYLDIIGTSSLQEMPPHIGNLTGLRMLPKFIVGKCNGHIAELKKLCNLQGQLCITRLENVVDIRDADSANMKYKPGITELVLQWTDDVASFTRKSGDEEQVLNSLRPHQNLSSLSITSFGGRQFPSWLGDPSFTSMVQVELSNCPQITLLPSLGQLHLLKKLKIERLIAVKEVGVEFCVDHSCFSCLETLEIGNMDNWELWSWSNGLGEDSMPEFPKLREFQLRSCPKLVGKLPTSLPSLEEFGIYNCPQLVNLPKWLPSLKNLHVQHCLQLVDLPESLPSLKNLYVQRCPQLVDLPTGLQSLITLSVIGCQEAVLRNVSNATFLTTLEISAISGLVRLDEAKIKTLRALQELKIRHCAELRYLWADETNSDYLTSLNYLAIHQCNRLVSLVNGEEGLLPCNLESLNISGCRYLEELPSGLSNLKSLNYLSIHSCASLVSFPARGLPDNLITVNIETCRSFASLPEGIIGGNMSNLEELYYIGCPPSLRFSPEGRLPDSLKILAVDYWTTRSLDSLYYGLSQLTQLRIDNCHDLESFPERELSIPTLISLKISYCGSLRSLTNHMQNLQCLQSLQIHCCNQFELFPEMGLANPKLFSLKIMFCENLRSLPSQMQNLTSLRYLEIWNCGAMPTFPEGCLPPNLTEHSFGIYVNLTQPMTLWELDSLRNLGIRLDHLNSQDHLNDSLE >Manes.07G012100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1555370:1560968:1 gene:Manes.07G012100.v8.1 transcript:Manes.07G012100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAAAFSGSILSAYSEQFLHKLKSLLKYVNQGKVFDQLKKWEKMLMMIRVVLDDAEEKQMANRLVEMWLSDLRDLAFDLEDVLDGLTTEIKQRKLEEKPLDRSKKVRKLFSKIFDALSDSKFNAKMVAKIENITARLDEIVKRKEDLQLGESVRMRVCEMRERPPSTSLVNEAKVYGRYEDKKKILELLNDEARDAEISVIPIVGMGGVGKTTLVQLVYNDPTSEFDLKAWVSVGEEFDVVRMTKTVLLQLGDGDDDKDLNFLQVKLKERLSGKKFLVVLDDVWTENYELWTLFRSPFEAGASQSRIVVTTRSQEVSSMMGTTPAYPLKELSYDECLYVFAQHALGATDFDKHLELKEMGEGIVKRCGGIPLAAKALGGLLRAKRNPNSWKEVLRSEIWDLPDEKSNVLPALRLSYLHLPPHLKRCFAYSAILPKDREFDRSTLILLWMAEGFLYDPRAMEDREDLGHQYFDDLLSRSFFQQSNDNKSLYIMHDLIHDLARFVSRETCLHMVDKLESENSYAKIRYLSFIPHDRNSFLRFQSFYEMKSLRTFLSSSYTRRCYNLSSEVVHDLVPKLKCLRALSLTSYDVHVLPDSIGALKHLRYLDLSYTRIKRLPTSVDKLFNLQTLKLCDCSELIQLPRGICNLLNLQYLDIIGTSSLQEMPPHIGNLTGLRMLPKFIVGKCNGHIAELKKLCNLQGQLCITRLENVVDIRDADSANMKYKPGITELVLQWTDDVASFTRKSGDEEQVLNSLRPHQNLSSLSITSFGGRQFPSWLGDPSFTSMVQVELSNCPQITLLPSLGQLHLLKKLKIERLIAVKEVGVEFCVDHSCFSCLETLEIGNMDNWELWSWSNGLGEDSMPEFPKLREFQLRSCPKLVGKLPTSLPSLEEFGIYNCPQLVNLPKWLPSLKNLHVQHCLQLVDLPESLPSLKNLYVQRCPQLVDLPTGLQSLITLSVIGCQEAVLRNVSNATFLTTLEISAISGLVRLDEAKIKTLRALQELKIRHCAELRYLWADETNSDYLTSLNYLAIHQCNRLVSLVNGEEGLLPCNLESLNISGCRYLEELPSGLSNLKSLNYLSIHSCASLVSFPARGLPDNLITVNIETCRSFASLPEGIIGGNMSNLEELYYIGCPPSLRFSPEGRLPDSLKILAVDYWTTRSLDSLYYGLSQLTQLRIDNCHDLESFPERELSIPTLISLKISYCGSLRSLTNHMQNLQCLQSLQIHCCNQFELFPEMGLANPKLFSLKIMFCENLRSLPSQMQNLTSLRYLEIWNCGAMPTFPEGCLPPNLTEHSFGIYVNLTQPMTLWELDSLRNLGIRLDHLNSQESELKTSADLGGIH >Manes.06G142700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27028957:27032446:-1 gene:Manes.06G142700.v8.1 transcript:Manes.06G142700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVSLIQASPFKVGFIHKRRVSHGKYEILVSRTSRRMLTIQAVQENGGPRRLVDIIRIVPEISRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVICVLLTEYVTRFYYSRPKFAYETLIRHSLFSLEVRSLQ >Manes.06G142700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27031064:27031531:-1 gene:Manes.06G142700.v8.1 transcript:Manes.06G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVSLIQASPFKVGFIHKRRVSHGKYEILVSRTSRRMLTIQAVQENGGPRRLVDIIRIVPEISRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVICVLLTEYVTRFYYSRPKVTFPVALLNNFKMGFTYGLFIDAFKLAS >Manes.06G142700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27028957:27032460:-1 gene:Manes.06G142700.v8.1 transcript:Manes.06G142700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVSLIQASPFKVGFIHKRRVSHGKYEILVSRTSRRMLTIQAVQENGGPRRLVDIIRIVPEISRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVICVLLTEYVTRFYYSRPKT >Manes.03G168500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29396002:29402129:1 gene:Manes.03G168500.v8.1 transcript:Manes.03G168500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRFYCSYSLLLFFLFLCSISAIPTQVNGDSTTKTMEDFSGYPIHEPSHSFSPTFLPSSLSVDAQTLQNQIDELSTFSDTPAPSVTRVLYTEKDVLARRYIKNLLGLSGLSVREDAVGNIFGRWDGSEPELTAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSGFKPKRSLEVILFTSEEPTRFGISCLGSRLLSGSEALAEALKTTVDSQNISFLKAARSAGYAKDQDDLSSVFLEKGSYSAFVELHIEQGPILEAEGLPIGIVTAIAAPASIKVDFEGNGGHAGAVLMPNRNDAGLAAAELALAVEKHVLDSGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEKRRNNVIEKIQQSAMTIAKNRGVKLSEFKIINQDPPALSDKSVIEAMEAASKELNLTHKLMISRAYHDSLFMARISPMGMLFIPCYKGYSHKPEEYASSQDIANGVKVLALTLAKLSLS >Manes.14G102600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8508556:8508870:-1 gene:Manes.14G102600.v8.1 transcript:Manes.14G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSSRRSIMYSSSLLVLVVFLIFVLQIWVCSDCKAGAIRIFPDNGMAPNLKQRNISTTDNKDSKEDLFHKFFNGRQYSFNTTKKGFEENKRRVPSCPDPLHN >Manes.08G106600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34612783:34619264:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEAPSEAEAECAALCKSGKVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARHLFKEPIVLADEEEPELKWSSPDEEVIYFLGYEHDGHFTIFIMGCWHIGSFFL >Manes.08G106600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34612783:34619264:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARHLFKEPIVLADEEEPELKWSSPDEEVIYFLGYEHDGHFTIFIMGCWHIGSFFL >Manes.08G106600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34617246:34619264:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEAPSEAEAECAALCKSGKACTSASVLGCFEFPICAWHSVGPFCFLCPKGLNLSFCLRLVVVGSILLEKSK >Manes.08G106600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34612783:34619264:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEAPSEAEAECAALCKSGKVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARHLFKEPIVLADEEEPELKWSSPDEEGLINFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPVANSSIPIKRKETPDNNAKETSAKKSKAGGGKKKK >Manes.08G106600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34612783:34619264:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARHLFKEPIVLADEEEPELKWSSPDEEGLINFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPVANSSIPIKRKETPDNNAKETSAKKSKAGGGKKKK >Manes.08G106600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34612783:34619264:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARHLFKEPIVLADEEEPELKWSSPDEEGLINFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPVANSSIPIKRKETPDNNAKETSAKKSKAGGGKKKK >Manes.08G106600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34612783:34619264:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEAPSEAEAECAALCKSGKVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARHLFKEPIVLADEEEPELKWSSPDEEGLINFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPVANSSIPIKRKETPDNNAKETSAKKSKAGGGKKKK >Manes.08G106600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34612783:34619308:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARHLFKEPIVLADEEEPELKWSSPDEEGLINFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPVANSSIPIKRKETPDNNAKETSAKKSKAGGGKKKK >Manes.08G106600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34612782:34619308:-1 gene:Manes.08G106600.v8.1 transcript:Manes.08G106600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPLYVFDGQPPDLKKQELAKRYSRRADATADLAQAVEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLKLMGVPVVEAPSEAEAECAALCKSGKVYAVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILENINKERYQIPEDWPYQEARHLFKEPIVLADEEEPELKWSSPDEEGLINFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPVANSSIPIKRKETPDNNAKETSAKKSKAGGGKKKK >Manes.15G049500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3828711:3834153:1 gene:Manes.15G049500.v8.1 transcript:Manes.15G049500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLSLQKTPFISRGKPPLLTSVDSLPSFACISSNSVLPSRKLLTRVSTIGIFRGYLAYETLSPPAYFFNGPSSDNSWEFVKPPSKIPYPLSIAIVLFGCALVFSLIAFVKGGHSSILAAIAKSGLTAAFMLIFVSEIGDKTFIVAALVAMQYAKGLVLLGSMGALSLMTILSFVIGWIFHSVPAQFQTTLPIGEHAAVILLLFFGLKTIKDVWDLPPNEVKSGDKASPDLDEYAEAEELVKEKVSKRLTNPFEIIWKSFSLEWGDRSMLATIALGVAQSPLGVAIGAIAGHLVATSVAILGGAFLAHYISKKVVGYLGGVLFLVFCCCNIVRSFLINSWRF >Manes.01G236900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39897462:39900727:-1 gene:Manes.01G236900.v8.1 transcript:Manes.01G236900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMATSLGVFTLLLFLGTSFHSQVIAAAPDSKLKLNSMILQESIIKKINGNPSAGWKAAMNPKFSNYTVGQFKYLLGAKPTPKKELRGVPVIGRLKSLKLPKEFDARKAWPQCSTIGRILDQGHCGSCWAFGAVESLSDRFCIHFGLNISLSVNDLLACCGFMCGDGCDGGYTIYAWRYFVNHGVVTEECDPYFDDIGCSHPGCTPEFPTPKCVRKCIDKNQLWSQSKHYGVNAYRTSSDPHDIMAEVYKNGPVEVDFTVYEDFAHYRSGVYKHITGDVLGGHAVKLIGWGTSDDGEDYWLLANQWNRGWGDDGYFKIKRGTNECDIEENVIAGLPSARNLDLVRQVAAVDALGFGDASA >Manes.01G014000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3851452:3854799:-1 gene:Manes.01G014000.v8.1 transcript:Manes.01G014000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPQDRSKPLHNFSLPCLKWGHQRVLRCVKLTDDPHHRSSSSIPNGFQSQPTSLETPSNHKPIIIQENPISPDLRFNGGAKRLKVSPLIEEERGNDDSTRPWNLRTRRAACKAPLRIEDKLNIDPPRKALEIDSLVKRQSMEPQKERIKFSVPLSKEEIEQDFMEIARIRPPRRPKKRPRMVQKYLDSIFPGLWLAEVTPDSYKVPEVPES >Manes.01G014000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3850708:3854799:-1 gene:Manes.01G014000.v8.1 transcript:Manes.01G014000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPQDRSKPLHNFSLPCLKWGHQRVLRCVKLTDDPHHRSSSSIPNGFQSQPTSLETPSNHKPIIIQENPISPDLRFNGGAKRLKVSPLIEEERGNDDSTRPWNLRTRRAACKAPLRIEDKLNIDPPRKALEIDSLVKRQSMEPQKERIKFSVPLSKEEIEQDFMEIARIRPPRRPKKRPRMVQKYLDSIFPGLWLAEVTPDSYKVPEVPES >Manes.01G014000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3851452:3854799:-1 gene:Manes.01G014000.v8.1 transcript:Manes.01G014000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPQDRSKPLHNFSLPCLKWGHQRVLRCVKLTDDPHHRSSSSIPNGFQSQPTSLETPSNHKPIIIQENPISPDLRFNGGAKRLKVSPLIEEERGNDDSTRPWNLRTRRAACKAPLRIEDKLNIDPPRKALEIDSLVKRQSMEPQKERIKFSVPLSKEEIEQDFMEIARIRPPRRPKKRPRMVQKYLDSIFPGLWLAEVTPDSYKVPEVPES >Manes.01G014000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3851412:3854799:-1 gene:Manes.01G014000.v8.1 transcript:Manes.01G014000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPQDRSKPLHNFSLPCLKWGHQRVLRCVKLTDDPHHRSSSSIPNGFQSQPTSLETPSNHKPIIIQENPISPDLRFNGGAKRLKVSPLIEEERGNDDSTRPWNLRTRRAACKAPLRIEDKLNIDPPRKALEIDSLVKRQSMEPQKERIKFSVPLSKEEIEQDFMEIARIRPPRRPKKRPRMVQKYLDSIFPGLWLAEVTPDSYKVPEVPES >Manes.01G014000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3851452:3854799:-1 gene:Manes.01G014000.v8.1 transcript:Manes.01G014000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPQDRSKPLHNFSLPCLKWGHQRVLRCVKLTDDPHHRSSSSIPNGFQSQPTSLETPSNHKPIIIQENPISPDLRFNGGAKRLKVSPLIEEERGNDDSTRPWNLRTRRAACKAPLRIEDKLNIDPPRKALEIDSLVKRQSMEPQKERIKFSVPLSKEEIEQDFMEIARIRPPRRPKKRPRMVQKYLDSIFPGLWLAEVTPDSYKVPEVPES >Manes.02G070500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5381138:5383666:-1 gene:Manes.02G070500.v8.1 transcript:Manes.02G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLHKFKLLATQCAVTGSPTQSPTTSPVIHLRRRKTLRMLLSRSAEHQRRRIPRGDDQSELQKGPPEKKRVRRKLKDLFVSSPPFEDKEKDNPDDAVELLPVSGGGSGGGGDGGLAARRAGGSSLRPLSGTFRYRLLRRAWRPMLVTIPDFHVSMLRMFHDCVEDFMGLAKDAVYLLCISSKLL >Manes.08G000200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:282875:286504:1 gene:Manes.08G000200.v8.1 transcript:Manes.08G000200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVVPRGSREYMSRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHVERNCKSSPKKLRRDRSYSRSPARSHSPHRGRSRSPSYSRGRSYSRSRSPPKREPSVENEDRSLSHEPKNGVASKSRKHSLTPDDGSSRPSPKAQKLDDLQDRPEYSGSPRRSRSPERQSPGDMRYGSAAQTNGRSCSPSPRDEGSPVDN >Manes.08G000200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:282875:286504:1 gene:Manes.08G000200.v8.1 transcript:Manes.08G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRSASTRLYVGHLASRTRSRDLEYLFSKYGRVRDVDMKRDYAFVEFSDPRDADDARYHLDGKEFDGSRIVVEFARGVPRGSREYMSRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHVERNCKSSPKKLRRDRSYSRSPARSHSPHRGRSRSPSYSRGRSYSRSRSPPKREPSVENEDRSLSHEPKNGVASKSRKHSLTPDDGSSRPSPKAQKLDDLQDRPEYSGSPRRSRSPERQSPGDMRYGSAAQTNGRSCSPSPRDEGSPVDN >Manes.08G000200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:282875:286504:1 gene:Manes.08G000200.v8.1 transcript:Manes.08G000200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYAFVEFSDPRDADDARYHLDGKEFDGSRIVVEFARGVPRGSREYMSRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHVERNCKSSPKKLRRDRSYSRSPARSHSPHRGRSRSPSYSRGRSYSRSRSPPKREPSVENEDRSLSHEPKNGVASKSRKHSLTPDDGSSRPSPKAQKLDDLQDRPEYSGSPRRSRSPERQSPGDMRYGSAAQTNGRSCSPSPRDEGSPVDN >Manes.14G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6525577:6526670:1 gene:Manes.14G077900.v8.1 transcript:Manes.14G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPKLVCVLLLAILAISMVANQVMAKDTQRHLDSGKYGPGSLKSYECPSQCSRRCSKTQYHKPCMFFCQKCCAKCLCVPPGYYGNKSVCPCYNNWKTKRGGPKCP >Manes.18G063352.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5587722:5600941:-1 gene:Manes.18G063352.v8.1 transcript:Manes.18G063352.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLLPCDSTSAAKMRLFASPFSNNYTIAIVPSSAGHSHVLDMGLKLSKQASSSSGLRIFSQGRDKHRTPSVYGRGARERVLEEKEASLMSETSPSFKIFPGQASPLGVSEVDKGINFAIFSQHATSVTLCLSLPQRGVHERLVGNVIELALDPHVNKTGDIWHICIEDLPRSNVLYGYRVDGPQNWNQGHRFDSNIILVDPYAKLVEGRRYFGDASLKLSKFLGTYDFDSSLFDWGDNYKLPNIPEKDLVIYEMNVRAFTADKSSGLDPKIRGSYLGVIEKIPHLLELGVNAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYASGGGGPCNASREFKEMVKALHGAGIEVILDVVYNHTNEADDQNPYTTSFRGIDNKVYYMLDPNSGQLLNFSGCGNTLNCNHPVVMELILDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLSAPPVIRAIAKEPILSRCKIISEPWDCGGLYLVGKFPNWDRWAEWNGKYRDDMRRFIKGDSGMKGSFATRVAGSADLYSANKRKPCHSINFVIAHDGFTLYDLVSYNFKHNDANGEGGNDGSNDNFSWNCGFEGETDDPSIKALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTSINNFQWGFLDKQRSSHFRFFSEVIKFRLMHQVFRHENFLSNNEVTWHEDNWDNYESKFLAFTLHDSIGADIYLAFNAHNYYVKVSIPPPPSKRRWFRVADTNLASPDDFVPEGVPGIENSYNVAPYSSILLEAKLT >Manes.18G063352.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5587305:5600951:-1 gene:Manes.18G063352.v8.1 transcript:Manes.18G063352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLLPCDSTSAAKMRLFASPFSNNYTIAIVPSSAGHSHVLDMGLKLSKQASSSSGLRIFSQGRDKHRTPSVYGRGARERVLEEKEASLMSETSPSFKIFPGQASPLGVSEVDKGINFAIFSQHATSVTLCLSLPQRGVHERLVGNVIELALDPHVNKTGDIWHICIEDLPRSNVLYGYRVDGPQNWNQGHRFDSNIILVDPYAKLVEGRRYFGDASLKLSKFLGTYDFDSSLFDWGDNYKLPNIPEKDLVIYEMNVRAFTADKSSGLDPKIRGSYLGVIEKIPHLLELGVNAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYASGGGGPCNASREFKEMVKALHGAGIEVILDVVYNHTNEADDQNPYTTSFRGIDNKVYYMLDPNSGQLLNFSGCGNTLNCNHPVVMELILDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLSAPPVIRAIAKEPILSRCKIISEPWDCGGLYLVGKFPNWDRWAEWNGKYRDDMRRFIKGDSGMKGSFATRVAGSADLYSANKRKPCHSINFVIAHDGFTLYDLVSYNFKHNDANGEGGNDGSNDNFSWNCGFEGETDDPSIKALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTSINNFQWGFLDKQRSSHFRFFSEVIKFRLMHQVFRHENFLSNNEVTWHEDNWDNYESKFLAFTLHDSIGADIYLAFNAHNYYVKVSIPPPPSKRRWFRVADTNLASPDDFVPEGVPGIENSYNVAPYSSILLEAKLT >Manes.18G063352.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5588054:5600952:-1 gene:Manes.18G063352.v8.1 transcript:Manes.18G063352.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPLLPCDSTSAAKMRLFASPFSNNYTIAIVPSSAGHSHVLDMGLKLSKQASSSSGLRIFSQGRDKHRTPSVYGRGARERVLEEKEASLMSETSPSFKIFPGQASPLGVSEVDKGINFAIFSQHATSVTLCLSLPQRGVHERLVGNVIELALDPHVNKTGDIWHICIEDLPRSNVLYGYRVDGPQNWNQGHRFDSNIILVDPYAKLVEGRRYFGDASLKLSKFLGTYDFDSSLFDWGDNYKLPNIPEKDLVIYEMNVRAFTADKSSGLDPKIRGSYLGVIEKIPHLLELGVNAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMSRYASGGGGPCNASREFKEMVKALHGAGIEVILDVVYNHTNEADDQNPYTTSFRGIDNKVYYMLDPNSGQLLNFSGCGNTLNCNHPVVMELILDSLRHWVTEYHVDGFRFDLASVLCRGTDGTPLSAPPVIRAIAKEPILSRCKIISEPWDCGGLYLVGKFPNWDRWAEWNGKYRDDMRRFIKGDSGMKGSFATRVAGSADLYSANKRKPCHSINFVIAHDGFTLYDLVSYNFKHNDANGEGGNDGSNDNFSWNCGFEGETDDPSIKALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTSINNFQWGFAS >Manes.16G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30606783:30610609:-1 gene:Manes.16G100400.v8.1 transcript:Manes.16G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLSLLYSAWEEVVRHSFFRSTYNFKHGGIPLRADSFKRSDTETMTNSLKSNTRSKNSINLKNCKPDNVVLDRNLSFKTLVQDNGNWNSDIDGSRKKPMPAISLPEPAFFFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNDEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDVWFRSESTQPFFYWLDVGDGKEINLEKCPRTVLQRQCIKYLAPKEREAYEVVVENGKLVYRESGKFVETVEGSKWIFVLSTSRALYVGQKKKGNFQHSSFLSGGATTAAGRLVAHNGILEAIWPYSGHYHPTEENFREFISFLQENHVDLTNVKRYAIDDDSPSNVLPEEEQKTETIMCSASASQPNIANTPDMDLSTKENTEQDNKTNNANVKATVFEWPERLPCKWTTGTGPRIGCVRDYPTELQSRALEQVNLSPRVPPGTFSNYGPIPSPRPSPKVKVSPRLAYMGIPSPRTPIPVA >Manes.01G186100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36323354:36325577:-1 gene:Manes.01G186100.v8.1 transcript:Manes.01G186100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRNDVDKIDEVMLPGFRFHPTDEELVGFYLKRKIQQRPLSIELIIKQLDIYKYDPWDLPKFATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGNKCIGLKKSLVFYKGRAARGIKTEWMMHEFRLPSLTDSAPPKSFIDKTIPANDSWAICRIFKKTNSTAQRALSHSLVSPLPEPSTSPLLAKGSQVSSHQQFSSQNMPLTTETSSGIHLNYNNHEIQRSFVANLSALGFSTCKPMNNNSSPLASKLSQLPISNGDLTENFFLQPVGTLAHAAKCTVDASSVLLNMSSSMLGDFGDHKLASDESSTDFAGPQDQHCSGFSLTCLPQVMQANAGDNALIKNPNATHMDDQWETVRSIGLPFSLPVSVGDAWKPNLLWDSSSCPIEMSTSFSTN >Manes.16G021900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2427163:2429459:-1 gene:Manes.16G021900.v8.1 transcript:Manes.16G021900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITNLNRGQLTLMGSAFCTMLAMLFTLQLLSQHVFYWKNPKQQKAIIIIILMAPIYAIDSFVGLLDVKGSEAFFMFLDSVKECYEALVIAKFLALMYSYLNISISRNIVPDEIKGREIHHSFPMTLFQPHTVRLDHRTLRLLKYWTWQFVIVRPICSVLMITLQILGIYPSWLSWTFTIILNISVSLALYSLVVFYHVFAKELKPHNPLAKFLCIKGIVFFCFWQGVVLDILVALGVIQSHHFWLDVEHIEEAIQNVLVCLEMVVFSVLQQYAYHVSPYSGEVETKMKLKKKE >Manes.16G021900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2426997:2430497:-1 gene:Manes.16G021900.v8.1 transcript:Manes.16G021900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITNLNRGQLTLMGSAFCTMLAMLFTLQLLSQHVFYWKNPKQQKAIIIIILMAPIYAIDSFVGLLDVKGSEAFFMFLDSVKECYEALVIAKFLALMYSYLNISISRNIVPDEIKGREIHHSFPMTLFQPHTVRLDHRTLRLLKYWTWQFVIVRPICSVLMITLQILGIYPSWLSWTFTIILNISVSLALYSLVVFYHVFAKELKPHNPLAKFLCIKGIVFFCFWQGVVLDILVALGVIQSHHFWLDVEHIEEAIQNVLVCLEMVVFSVLQQYAYHVSPYSGEVETKMKLKKKE >Manes.16G021900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2427163:2429460:-1 gene:Manes.16G021900.v8.1 transcript:Manes.16G021900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITNLNRGQLTLMGSAFCTMLAMLFTLQLLSQHVFYWKNPKQQKAIIIIILMAPIYAIDSFVGLLDVKGSEAFFMFLDSVKECYEALVIAKFLALMYSYLNISISRNIVPDEIKGREIHHSFPMTLFQPHTVRLDHRTLRLLKYWTWQFVIVRPICSVLMITLQILGIYPSWLSWTFTIILNISVSLALYSLVVFYHVFAKELKPHNPLAKFLCIKGIVFFCFWQGVVLDILVALGVIQSHHFWLDVEHIEEAIQNVLVCLEMVVFSVLQQYAYHVSPYSGEVETKMKLKKKE >Manes.16G021900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2427163:2429459:-1 gene:Manes.16G021900.v8.1 transcript:Manes.16G021900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITNLNRGQLTLMGSAFCTMLAMLFTLQLLSQHVFYWKNPKQQKAIIIIILMAPIYAIDSFVGLLDVKGSEAFFMFLDSVKECYEALVIAKFLALMYSYLNISISRNIVPDEIKGREIHHSFPMTLFQPHTVRLDHRTLRLLKYWTWQFVIVRPICSVLMITLQILGIYPSWLSWTFTIILNISVSLALYSLVVFYHVFAKELKPHNPLAKFLCIKGIVFFCFWQGVVLDILVALGVIQSHHFWLDVEHIEEAIQNVLVCLEMVVFSVLQQYAYHVSPYSGEVETKMKLKKKE >Manes.01G024500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5215517:5216642:1 gene:Manes.01G024500.v8.1 transcript:Manes.01G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEMLRNFRFYSLSSYLKNKISSFHYFSFSTFCFSSYPPKNESKCFKDLVHYYTITHPSIQFISHSIALHFDRCSRLVALFCIVAILDFAVDAALDFSNGDALNLPINALNFAVDPTLNLLVDTTPSLAFALNLIELLSLPCDMGLSRS >Manes.09G045300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7954828:7963836:1 gene:Manes.09G045300.v8.1 transcript:Manes.09G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTQTSTSTNAAFSFIYKGWRGVRDSADADLQLMRARANSFKNLADSFDRELENFFNSTSTSFSVSSFRSSTPTDIDFVKKLQPKISEFRRVYSAPEISKRVLEKWGPKAKLGIDLSAIRNAIVAEVEDGNINGIVEFDRVRRRKRPVKFREFWGEWKEEGGQFGEWEPIRALKRGFRELEKKSESVEIFGGFKNNEFVEKLKSSLKAIRDPQESKEVPPLDVPELLAYFVRQSGPFLDQLGIKRDICDKVVESLCSKSKNKLLQRSLATGESSFLDNENVNDELDLRISSVLQSTGHCYEGGLWPDLSKHSPSDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLSKSEKQKVTLLVPWLCKSDQELVYPNNLTFSSPEEQENYIRNWLEDRIGFKADFKISFYPGKFSKERRSIIPAGDTSQFIPSKDADIAILEEPEHLNWYHHGKRWTDRFNHVVGIVHTNYLEYIKRERNGALQAFFVKHINNLVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLEIGEKIAAEREVGQQAFSKGAYFLGKMVWAKGYRELIDLLAKHKNELDGFNLDVFGNGEDAHEVQIAAKRLDLNVNFLKGRDHADDFLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFMSFPNCLTYKTPEDFVAKVKEALANDPQPLTSEQRYNLSWEAATQRFVQYSELDKVLNDDIGSLTKTNGKSIAKAVRLPNTSEMVDGALAFAHYCFTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGW >Manes.13G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34527023:34530939:-1 gene:Manes.13G137400.v8.1 transcript:Manes.13G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDLDNSSMGSGEASVSSSGNQPPPPKSTATAKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESARTHTQTPTPPSNLAPVNPNPNPEPEPSAQVDPSPPAQQPPAAPAPPAVSQSAAVISSVVSLTHSPELPDNHTPIIEAEAAPAPAPVPAGLNGSCSSSTSSSGNGNGGSSSSVFASLFASSTASRSLQPPQTPAFAGLIQVTAHPDRPADLAASSSIEPISLCLSSNHGSSIFGTAGQERRQYAPPPQPAMSATALLQKAAQMGATATNVSLLRGFGIVSSSSSSQQDNMPWGHRQVEPENTSVAAGLGLGLHCDGGSALKELMMGTPSVFGPKQTTLDFLGLGMAAGGSPSSGLSALITSIGSGLDVAAAAASFGGGEFTGKDMGRSS >Manes.10G076931.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:15873409:15874011:1 gene:Manes.10G076931.v8.1 transcript:Manes.10G076931.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCRSFITLLSSLLVGYLLLATSMVHITEARLLLSSGPRLHEDVREIVKGLSLAAVKKSGPSSGKGHRYKNLQTLGVVKHSGPSHGEGYRYENFQTLGVVKHSGPSPGEGHEYVTGNNP >Manes.06G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20001481:20004744:1 gene:Manes.06G064800.v8.1 transcript:Manes.06G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRPAPTGRESVQVNAAPRRPRILLAASGSVAATKFGILCNCFYGWAEIKAVATRNSLHFIDRTSLPRDVFIYTDEDEWSSWNRIGDSILHIELRRWADVMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMNTLMWTNSFTEKHIVSLDDLGISLIPPITKKLASGDYGTGAMAEPYTIYSTILAYLQSRNKTKIWLV >Manes.06G064800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20003524:20004725:1 gene:Manes.06G064800.v8.1 transcript:Manes.06G064800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRPAPTGRESVQVNAAPRRPRILLAASGSVAATKFGILCNCFYGWAEIKAVATRNSLHFIDRTSLPRDVFIYTDEDEWSSWNRIGDSILHIELRRWADVMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMNTLMWTNSFTEKHIVSLDDLGISLIPPITKKLASGDYGTGAMAEPYTIYSTILAYLQSRNKTKIWLV >Manes.06G064800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20002666:20004687:1 gene:Manes.06G064800.v8.1 transcript:Manes.06G064800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRPAPTGRESVQVNAAPRRPRILLAASGSVAATKFGILCNCFYGWAEIKAVATRNSLHFIDRTSLPRDVFIYTDEDEWSSWNRIGDSILHIELRRWADVMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPIFVAPAMNTLMWTNSFTEKHIVSLDDLGISLIPPITKKLASGDYGTGAMAEPYTIYSTILAYLQSRNKTKIWLV >Manes.14G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6434303:6439839:-1 gene:Manes.14G077300.v8.1 transcript:Manes.14G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKMKVAEKAEDDNNQIDEALVLSIEKLQEIQDELEKINEEASDKVLEVEQKYNEIRKPVYDKRNDIIKSIPDFWLTAFLSHPVLHTLLNEEDQKIFKYISSLEVEDFKDVKSGYSITFNFQPNPYFEDTKLTKTFTFLDEGTTEITATPIKWKEGMGMPNGVTHEKKGNKRPFVDDGFFSWFSSTVQKEAMEDYQDEIADVIKEDLWPNPLSYFNTDPDDEFEGDEADEGDKDGDDSEEDDDEQDDDDEDDEEDGDADN >Manes.04G102100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30694165:30697359:-1 gene:Manes.04G102100.v8.1 transcript:Manes.04G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPLSPSYFYHHKPGFITKTRILFLTLTISASLVIIFTIFYFLYHLWYFLVNRSRTIPFDSGTPLKLQRFSYKELKVATNDFDDDNIIGKGGSGTVFRGIARDGKLYAIKRLDTLSLQSEREFQNELQILGALRSAFLVTLLGYCFEKNKRLLIYEYMPNKSLQELLFGDGHLSLSWDRRFSVILDVAKALEFLHLGCDPPVVHGDIKPSNVLLDFDFRAKISDFGLSRIKVDGEFGVDLFSQDLGKSQELWKSQELSGNLTSETPAIGTPVEPCQEVDFARALQASSSSKNSRNCYTVRALNVNSFNYNANIASESDVKVENGKGKEVSGVDVCGDDWNGKFVPCDDEPCSIDHSKELNTGSSVVDDSTCTKKWGKDWWWRQDGSGELCSKDYVMEWIGSQICPSANPDWVEEKKSTSDRRELNPSSPLDKVEDASEPQLNELGFEICIKEYEKKDSRGRKNSKRKNRKMKEWWKEEHLDEISKKGNKLKNLETKWKKRLKAPHFHLSRRFHFHRRMNSGEQTLNESDQNGEFSFRRGWKKKNLHSAGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGYLMEKADIYSLGVLVLVIVSGRRPLHVLASPMKLEKANLISWCRHLAQSGNILELVDERLKDEYNKEQASLCINLALSCLQKMPELRPDIGEIVKILKGDMDLPSLPFEFSPSPPSKLFSRSRRRQKSNAE >Manes.08G071044.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:12452598:12453041:-1 gene:Manes.08G071044.v8.1 transcript:Manes.08G071044.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKFFLGLQIKQAKDDIFINQAKYTKEIIKRFGMENSKSSRTLMSTNTKLDKDEKGKPIDEKLYRGMIESLLYLTASTPDIMFSVCLCARFQSCPKESHLHAVMVHYIWGYGILEILHLVCVPTRMSTFPEASLIGKVPRVLVNF >Manes.17G049600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24689270:24690225:-1 gene:Manes.17G049600.v8.1 transcript:Manes.17G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLEDGNSHDHHLKEKKLPFLESAGLPMPNTEQKNLIQKAIAQTFKSTAYLANLLPTGTVLSFQLLSPIFSNKGHCDSVSRVMTIVLVALCSFSCFLLSFTDSFIDKTGNVCHGFATVHGLWIIDGSGTIAPELGVKYRLQFIDFMHAFMSILVFVAIALFDQNVVNCLNPTPSAEMQEVLGALPVGIGVVCSVLFVVFPTQRHGIGFPLSAI >Manes.13G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22297015:22302220:-1 gene:Manes.13G090300.v8.1 transcript:Manes.13G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCATAFTSPRCPFTYHKPVFRSKPTSLLPFSCFCSLSRDKDPMLSSSSDYTRKKCLFDVGIGLLAASVLAFSAMDADATRIEYYATVGDPLCDFNFVRSGLGYCDISVGSGEEAPYGELVNVHYTARFADGIVFDSSYKRGRPLTMRIGVGKVIRGLDQGILGGEGVPPMQVGGKRKLQIPPHLAYGPEPAGCFSGDCNIPANATLVYDINLVGIYSGNRK >Manes.15G161600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13426261:13429785:-1 gene:Manes.15G161600.v8.1 transcript:Manes.15G161600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSS >Manes.14G121421.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11752754:11754663:-1 gene:Manes.14G121421.v8.1 transcript:Manes.14G121421.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVEEPRAEDIISNNSLYKIVDIIQSLNGLSRPIIEYALSSLSRSIIECKKKKSSEAHPLILLYQVYFFLTQNEEQFQDCPPMPPHGQLGYGYGTIEEVIFSSTQQVVFPSTAMSLIIPNIISNNSLYKIVDIIQSLNGLSRPIIEYASFEQPVKTHHRMQKKKIIRSSSPHLLIPDILFPYQK >Manes.02G202800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17283481:17288268:-1 gene:Manes.02G202800.v8.1 transcript:Manes.02G202800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKELAVPHQSAAVQVFHDQTIPSIEDALKLISSSVRQVILDAKVGPPSYEKGLAKDILSAVDRSKCKNCVVWAKSDSLARDIIKLSSDITVGYIVMVDPNTGKRMNLLRMKGAEVVGVYHPLIDEKLVRILHGRNNKVYAWTVDDEDSMRRMLFERVDAVVTSNPSLLQQIMQDIRTQCREDGFSLSG >Manes.02G202800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17283482:17289463:-1 gene:Manes.02G202800.v8.1 transcript:Manes.02G202800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGGLGGRRRPAPLLQSHRTGLQGMTGNRFPIRLPSSRRTFRLIIISLAFIALFPPIFFHFRLRRFHQMRSRKCGWLNKPPLVCAHGGDSTNAFPNTMEAYRLALRSRADCIEIDVSRSSDGALFALHDRELQRISGDNTSKVGFLSMEEIKELAVPHQSAAVQVFHDQTIPSIEDALKLISSSVRQVILDAKVGPPSYEKGLAKDILSAVDRSKCKNCVVWAKSDSLARDIIKLSSDITVGYIVMVDPNTGKRMNLLRMKGAEVVGVYHPLIDEKLVRILHGRNNKVYAWTVDDEDSMRRMLFERVDAVVTSNPSLLQQIMQDIRTQCREDGFSLSG >Manes.01G147200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33718774:33723011:-1 gene:Manes.01G147200.v8.1 transcript:Manes.01G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCYSRLEREEMVSRCKARKRYMKQFVNARQALSASHTMYLRSLRATGSALLQFSNTEANLHIHHHHHHLPPVLPSPSPLPPPPPPPPPPMSPSSDTWTSITASPALPPPPPPPPPQSSSWDFWDPFVPPPPVTSRSVTEEEWEEVTTTMASEVAVTATGTAASLTAPPSVISGFSKETGSGSGSELAMVVSRNSKDLLEIVKEVDEYFLKAADAGSQLSLLLEVPNPNFSSQNKGGKIYDYGCNLTNPSSWTWSSSQKMDGFGKMGGEMVGSTEGTSHCSTVERLYAWEKKLLQEVKNAESIKIEHEKKVALLRKLEVKRADYVKTEKTKKEVEKLESQMMVATQAIETTSAEIIRLRESQLYPQLLELVKGLMCMWRSMYEAHQVQTHITQQLKYINTIPSTETTSEIHRQSTLQLELEVQQWHQSFCNLVKAQRDYIQSLTGWLRLSLFHFSTNPLFRTNQESEIYSLCEEWQRAVDRIPDKVASEGIKSFLTVIHAIVVQQAEEHKQKKRSESAFKEFEKKVAELRSLESKYGPYSMPETTGNTAIKDPVTEKRAKVEILRAKAEEEKTKHEKSVNITRATTLNNLQMGFPHVFQAIVGFSSVCMQSFESVYNQAKNTEQGNDVKRILP >Manes.03G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28989893:28999377:-1 gene:Manes.03G163300.v8.1 transcript:Manes.03G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVIGGKFRLGRKIGSGSFGELYLGINVQTGDEVAIKLESAKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGDHNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEILCKNYPSEFTSYFHYCRSLRFDDAPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRARPSSKAAINPGPSAERVERPSVGQEIRDRFSGAVEAFARRNGSGHALHGDQSRYRSIDDVPSSKDVHTDSERPRSSSRNGSTSKRAVVSSSRPSSSGEPSENRSSRLLSSSGRLSTTHRVQPGFESKSSSFSRATATRGGRDDTLRSFELLSIGTGKRK >Manes.14G104900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8778845:8781099:-1 gene:Manes.14G104900.v8.1 transcript:Manes.14G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDYQIKPTSTTTRLKLFGFSVSEDDELTAESIKTSSSTSPDAAATAAAAAASSGDSRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQMQATRNAAAAAVSFARNPMISAFAPPPHLLAPAGQIVVPAAAPSWVYLPRPAAPIHVSHGCVFPSGGGGGGSGFGGGTGRGIGGLQYSGGAGDMSLCSGLQQVQNRVHNGRVDGLNGPTSLSRFSKGDGGPTFDEALGLDLHLSLAPAGP >Manes.12G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1318976:1322948:-1 gene:Manes.12G013400.v8.1 transcript:Manes.12G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFSLEPLCFCFFFFHFLSTNLTISLSPDGLSLLSLKSAVEQSSGGSPLPDWNDDDPTPCNWTGISCMNISGFPDLRVVGIVMSGKNLQGYIPSQLGNLVYLRRLYLHSNNFHGSIPAELFKASSLHSLILYGNNLSGPLPPSICNLRKLQNLDLSNNSLSGPLPENLNNCKQLQRLILARNKFSGEIPAGIWPEMENLAELDLSSNEFKGPIPNDLGELKSLSNTLNLSFNNLSGRIPESLGNLPVIVGFDLRNNNLTGEIPQTGSFANQGPTAFLNNPLLCGFPLQKPCEYSTESSAASKNSTPESDNNPKKRLSSGLIILISVADAAGVALIGLIIVFVYWKKKNVSNSCSCTGKTEFGKNETSHGCRLFSCVNSFGNEESEEEDVENGETGKGEGELVAIDKGFSFELDELFRASAYVLGKSGLGIVYKVVLGNGVPVAVRRLGEGGEHRYREFVAEVQAIGKVKHPNIVKLRAYYWAPDEKLLISDFISNGNLANALRGRYGHPSTILSWGIRLRIARGAARGLAYLHECSPRKFVHGSIKPSNILLDNDFQAYISDFGLSRLISITGNDPSSVGGFIGGALPYLKPAQTERTNNYRAPEARLPGNRPTQKWDVYSFGVVLLELLTGKSPDLSPTTSTSSEVPDLVRWIRKGIEEEDPLSDMVDAVLLQEVHAKKEILAVFHVALACTEPDPEVRPRMKNVSENLERIGK >Manes.05G011070.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:537930:546578:-1 gene:Manes.05G011070.v8.1 transcript:Manes.05G011070.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWSELHGAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPQSQIIMAVQLLMLLGTRDINNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWHTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGNPWHGSGHFSINCDWIPLDISPGSSMLSPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.05G011070.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:538811:581053:-1 gene:Manes.05G011070.v8.1 transcript:Manes.05G011070.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGSGLLADDEFWDEDVKRDSPPFLKSVLHGHFPISDFSISINGEAEFRPGIVTLDGHADDWNDIDGFEFSLLPALDPDDDKEYKGGKMTVKALHDGKDLFFLLQVDGDYAYAKGNNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWHTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGNPWHGSGHFSINCDWIPLDISPGSSMLSPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.03G100300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22380474:22382565:-1 gene:Manes.03G100300.v8.1 transcript:Manes.03G100300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEKASFPMEKADKYRSFLYDEAETTVWRHGCPPTYDSVNQLFEEGRTKEWPKDSLEEIVQKAIKSWEMELSHKIRLQDFKTINPEKFKLFVNGREGLSAEETLRVGSYNALLKSSLPKEFQYYKAEEESFESSHEAFRSAFPRGFAWEVMSVYSGPPVIAFKFRHWGFFEGPFKGHAPTGQKLQFYGFGVLKVDESLRAEEVEVYYEPAELFGGLLNGQLISESQTHHHTHGCPFSN >Manes.08G157200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39379056:39381733:-1 gene:Manes.08G157200.v8.1 transcript:Manes.08G157200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNLTPSSPVTFSRSTHHKYPINASSLLNPNIPSRAQNQTTLFNKLTSQIGSFACKVSNFGEISSCPVGLYSRFTNQICNFNRVHEYPSGFCSKPRSYVAKAAAASDSESSPEGEANVVSKPKDKTLQLALVFGFWYFQNIVFNIYNKKALNVFPFPWFLASFQLFVGSVWMLILWSFKLQPCPKISKPFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSSEPVFSVIFSSILGDSYPLKVWLSILPIVLGCSLAAITEVSFNFEGLWGALISNVGFVFRNIYSKKSLQSFKEVNGLNLYGWISIISLFYLFPVAVVVEGSQWIQGYHKAIEAVGKSSTFYIWVLLSGIFYHLYNQSSYQALDDISPLTFSVGNTMKRVVVIVSTVLVFRNPVRPLNAIGSAIAIFGTFLYSQASAKKANKTEGEKKS >Manes.08G157200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39379056:39381645:-1 gene:Manes.08G157200.v8.1 transcript:Manes.08G157200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNLTPSSPVTFSRSTHHKYPINASSLLNPNIPSRAQNQTTLFNKLTSQIGSFACKVSNFGEISSCPVGLYSRFTNQICNFNRVHEYPSGFCSKPRSYVAKAAAASDSESSPEGEANVVSKPKDKTLQLALVFGFWYFQNIVFNIYNKKALNVFPFPWFLASFQLFVGSVWMLILWSFKLQPCPKISKPFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSSEPVFSVIFSSILGDSYPLKVWLSILPIVLGCSLAAITEVSFNFEGLWGALISNVGFVFRNIYSKKSLQSFKEVNGLNLYGWISIISLFYLFPVAVVVEGSQWIQGYHKAIEAVGKSSTFYIWVLLSGIFYHLYNQSSYQALDDISPLTFSVGNTMKRVVVIVSTVLVFRNPVRPLNAIGSAIAIFGTFLYSQASAKKANKTEGEKKS >Manes.13G038900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4821155:4830737:1 gene:Manes.13G038900.v8.1 transcript:Manes.13G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGEIYDGTRAQFPLTFGKQSKSQTPLEQIHNATRRPTPPSTASDDKSNAFPSLSSSSKAWLDSLRTSKTPNPNPTIGPSPPNSSPHDNEDVIIGPPRPPAGFSNAVEDDEDGKVMVGPSGDDDDDDSVMIGPPRPPPSRDSDSEEEEEEEENRHRIPMSNEIVLKGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPFEGHQVRNLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKCIAGGIGDGSIQIWNLKPGWGSRPDLHLEKAHSDDITGLKFSSDGRFLLSRSFDGSLKVWDLRKTKDTLRAFEDLPNNYAQTNIAFSPDEKLFLTGTSVERESTTGGLLCFYDLEKLDLVSRVGISPTCSVVQCMWHPKLNQIFATSGDKSQGGTHILYDPTLSERGALVCVARAPRKKSVDDFEAQPVIHNPHALPLFRDQPSRKRQREKILKDPIKSHKPELPITGPGYGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAAKDPKYIAPAYSETQPQPVFAKSDSEDEEK >Manes.13G038900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4821155:4830737:1 gene:Manes.13G038900.v8.1 transcript:Manes.13G038900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGEIYDGTRAQFPLTFGKQSKSQTPLEQIHNATRRPTPPSTASDDKSNAFPSLSSSSKAWLDSLRTSKTPNPNPTIGPSPPNSSPHDNEDVIIGPPRPPAGFSNAVEDDEDGKVMVGPSGDDDDDDSVMIGPPRPPPSRDSDSEEEEEEEENRHRIPMSNEIVLKGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPFEGHQVRNLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVTTCAWDREGKCIAGGIGDGSIQIWNLKPGWGSRPDLHLEKAHSDDITGLKFSSDGRFLLSRSFDGSLKKLFLTGTSVERESTTGGLLCFYDLEKLDLVSRVGISPTCSVVQCMWHPKLNQIFATSGDKSQGGTHILYDPTLSERGALVCVARAPRKKSVDDFEAQPVIHNPHALPLFRDQPSRKRQREKILKDPIKSHKPELPITGPGYGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAAKDPKYIAPAYSETQPQPVFAKSDSEDEEK >Manes.09G156700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35223512:35226271:1 gene:Manes.09G156700.v8.1 transcript:Manes.09G156700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANVIEAKDGTISLASAFAGHQEAVQDRDHKFLTRAVEEAYKGVECGHGGPFGAVVVRNDEIVVSCHNMVLENTDPTAHAEVTAVREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDEFIADAIRGTGFYQKANLEIKKVDGSGAVIAEQVFEKTKSKFIMY >Manes.04G135300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33577471:33585128:-1 gene:Manes.04G135300.v8.1 transcript:Manes.04G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAGSRLRALKSYAGILGATRYATSSAVASTTSSPGFFSWLTGEHSASLSPLDSPIPGVSLPPPLPDYVEPSKVKSKTLENGFRIVSEASPNPAASIGLYLDCGSIYETPLSCGATHLLERMAFKSTRNRSHLRIVREVEAIGGSVAASASREQIAYTFDALKTHVPEMVELLIDSVRNPVFLDWEVNEELKKIKDELGQLSNNPQGLLLEAIHSAGYSGALANPLLAPESALNRLDSVILEEFVSEHYTPSRMVLAASGVELEEIISVAEPLLSDLPLVQRPEEPKSLYVGGDYRRQADSPMTHVALAFEVPGGWHNEKEAIVLTVLQMLMGGGGSFSAGGPGKGMHSRLYLRVLNEYHQLQSFSAFNSIFNKTGLFGIYASTTSDFVAKAVDVAVGELIAIAQPGQVTKLQLDRAKESTRSAVLMNLESRMIVTEDIGRQFLTYGERKPVEHFLKALDEITAKDITNIAQKMLSSPLTMASYGDVVNVPSYEYVSSKFHA >Manes.10G042900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4424346:4425910:1 gene:Manes.10G042900.v8.1 transcript:Manes.10G042900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLDKAVAVSSPFSYSPPSFSSSSSSISPSSQSSPTLPPPPPPPHPSPHPSTPCVVLSPCAACKILRRRCAEKCVLAPYFPPTEPYKFTIAHRVFGASNIIKFLQEIPESHRADAVSSMVYEANARIRDPIYGCAGAICQLQKQVNELQAQLVTAQAEVVNMKSQQANLVALICMEKMTQSHHLQEPIFQHHQYVDTSCFLDETNLGTPWEPLWT >Manes.02G124700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9482176:9483048:-1 gene:Manes.02G124700.v8.1 transcript:Manes.02G124700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPSFFGNPRNSIFDPLNAFDLWDPFKDFPFPSSSSIISRDNTAFVNTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEEKDDTWHRVERSSGKFLRRLRLPANAKMDQVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Manes.11G148700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31326037:31330519:-1 gene:Manes.11G148700.v8.1 transcript:Manes.11G148700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSDSPANFNVPVDVRRKKISGQQMREALEREVSALQQMLKQEEKVHEILEHLHNKGDHGSGISIPNFLPPKAKELLAELAMVESEIIRLESQISQLQKGLKREQEIAKETKPKQWQPGSSVNNLQNHLWSSTMPSPLNRSIIQEKMAFETKALHFISKAIKGDYNLNDFTVSDKMGSSRLFPDLKEYQFNEEVKFQERLPRKSGMLKSPSPLRDPRHPSPKPREKNVEFSSEHLPKSLSNAILSEENNIQQCQPNKLSENIIKCLNFIYSRLLRASRAMELEKSGPISRSLQSSLISRSFRTDTSSNTKSNLVLQKESRQQDPYGIFDMEESIPRDVGPYKNLVIFTSSSMDPKFISSSSSIPLLRRLRVLMNNLQTVDLRFSTYQQKLAFWINMYNACIMHGFLQYGVPSSPEKLFTLMNKATLDIGGNTINAQAIEQYILRKPTSSNKDDKEALVRKLYGLKSTDPNVTFALCCGTRSSPAVRVYTADGVIGELEKSKLEYLQASIVVTSTKRIAFPELLLRNMLDFALDTNSLVEWVCQQLPTSGTLRKSIVDCFRSHNSGKITSISVEKIPYDFEFQYLLAI >Manes.11G148700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31326037:31330519:-1 gene:Manes.11G148700.v8.1 transcript:Manes.11G148700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSDSPANFNVPVDVRRKKISGQQMREALEREVSALQQMLKQEEKVHEILEHLHNKGDHGSGISIPNFLPPKAKELLAELAMVESEIIRLESQISQLQKGLKREQEIAKETKPKQWQPGSSVNNLQNHLWSSTMPSPLNRSIIQEKMAFETKALHFISKAIKGDYNLNDFTVSDKMGSSRLFPDLKEYQFNEEVKFQERLPRKSGMLKSPSPLRDPRHPSPKPREKNVEFSSEHLPKSLSNAILSEENNIQQCQPNKLSENIIKCLNFIYSRLLRASRAMELEKSGPISRSLQSSLISRSFRTDTSSNTKSNLVLQKESRQQDPYGIFDMEESIPRDVGPYKNLVIFTSSSMDPKFISSSSSIPLLRRLRVLMNNLQTVDLRFSTYQQKLAFWINMYNGFLQYGVPSSPEKLFTLMNKATLDIGGNTINAQAIEQYILRKPTSSNKDDKEALVRKLYGLKSTDPNVTFALCCGTRSSPAVRVYTADGVIGELEKSKLEYLQASIVVTSTKRIAFPELLLRNMLDFALDTNSLVEWVCQQLPTSGTLRKSIVDCFRSHNSGKITSISVEKIPYDFEFQYLLAI >Manes.14G007700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:407435:408632:1 gene:Manes.14G007700.v8.1 transcript:Manes.14G007700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPRFQIFHSFCTRVSKTQLHGSKKLPLFPSKLRIALFTFIIQIISCKFEEMKRLSRGSALFILCFFSIVAFPVGCKGQKDQEKGPAVSFSEMLRTNCWDRAKTIVRQAQAYFFPPNIDFRGSNEGEEPTDVGAGDKVKEAVAESLEKTRATVEDSAKTAAKIASETVHKTKEKVKRSLSDEGRQESDHSEL >Manes.13G035100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4302214:4302789:1 gene:Manes.13G035100.v8.1 transcript:Manes.13G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPEMNGSNSENTSFNNINTNTTTTTVSAATSSSPSSSATPSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICSFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPQQQIQALPPPSGAT >Manes.17G084100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29092589:29099964:1 gene:Manes.17G084100.v8.1 transcript:Manes.17G084100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVFGDGTVDFEVNGAAAAASVTPAQQLTVSGSFKEGLKSSSRRRTSVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRLSERLREKAVEELTDELSKVEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEITKLQDDNKALDRLTKSKEAALLEAERTIQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLKVLEGSLRGSSSSNRSAPEGRSVSNGPSRRQSLGGADNISRLTSNGFLSKKTPTSHLRSSLSSSTNSVLKHAKGTSKSFDGGTRSLDRGSRVLLNGTSPHRSFNQPSDRTKDADEPNSWKGNPDEKPNEFPAAETEDRIPGILYDLLQKEVIALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVASMEKEVAAMRVEKEHENRAKRFGNSKGPVTAAQLLPGRNVARGGLTRNTQ >Manes.04G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4405724:4408299:-1 gene:Manes.04G034300.v8.1 transcript:Manes.04G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQDEGWPLGLQPLNVRVGLPRNGEFSGSVSFNTLLTGSPTSSTDSSSDLDTESTGSFFHDRSITLGSLIGVSNILELSRKSSRVRKVEVLKDKKSNKKPKTWIFSLCSRDSTDAQIVNNPPSLAHFLAVERRAANECRRNQSPNIFEPDELSLVQPFTEPNSLFVNGRVAPPRVSSHETDTENRRNGGLEHGNNGHGIPVLFSCMCGQSS >Manes.12G026400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2323163:2325362:1 gene:Manes.12G026400.v8.1 transcript:Manes.12G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVEDSGKVQRLRKECPNSECGAGTFMANHFDRHYCGKCGLTYVYNKAGGD >Manes.05G191700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31471796:31473313:-1 gene:Manes.05G191700.v8.1 transcript:Manes.05G191700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVVYALVAIAFVALIVLSPTNTYSSHNQHGVHALSRRLGYKLPISNLDPIVRKLQRVSEETGLVEENNSINLERISVDVSDAEVPNEYFSNDGKLNITRRLIFLFSLIDNAPKDGKASFEEFQIWNREQTMDTLTYRTQQALDLHDKNKDGEISFSEFLPQFSKEEIEQNAMFNGGAGWWMEQFRNADIDKNNILNFAEFNNFLYPEDSNNEEIQKWLIRERLRMMDDDRDGKLNLAEFSLHVYGIFKVYAEIESRRVALVSAERKFEELDTNKDQFLDVKELIPVLNYIKPGELFYAKFYASHMIQQADDNEDGYLTLEEMINHEDLFYNIVYGNSIERYKDVDEEL >Manes.16G051801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11883846:11889296:1 gene:Manes.16G051801.v8.1 transcript:Manes.16G051801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSQRAIDEEVESHAPSEAAAPAATPPPAAAGGLGQDALFHQIAELLRRVTQNVPEVPPPPVAVQVPPPVVARPPIEKLRKYGATDFRGKKEDDPSAAEFWLESTERVLQQLQCSPVESLMCAVSLLKDEAYRWWTTLTQMVRPERQTWEFFLSEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCKRFEQGLHADIRMYLTAMHLRELSVLVETAHSLERIKEEEQSRRQKGQQKRSQSQYQGQSSASQTSSKRQREFQQTGQRGPPRQIQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSLDHFMRDCPRGQSVQPIQTERSLPTGSRGRGRGRGESSSAQSHRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAGEGTSKGKEIARD >Manes.08G080100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23531451:23534470:1 gene:Manes.08G080100.v8.1 transcript:Manes.08G080100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFELYCFQSLTGTKFFVVCEPGTPHVEGLLTVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQVIQKDRVALLGR >Manes.08G080100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23531063:23534470:1 gene:Manes.08G080100.v8.1 transcript:Manes.08G080100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFELYCFQSLTGTKFFVVCEPGTPHVEGLLTVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQVIQKDRVALLGR >Manes.08G080100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23531383:23534470:1 gene:Manes.08G080100.v8.1 transcript:Manes.08G080100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFELYCFQSLTGTKFFVVCEPGTPHVEGLLTVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQVIQKDRVALLGR >Manes.08G080100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23531063:23534470:1 gene:Manes.08G080100.v8.1 transcript:Manes.08G080100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFELYCFQSLTGTKFFVVCEPGTPHVEGLLTVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQVIQKDRVALLGR >Manes.08G080100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23531451:23534470:1 gene:Manes.08G080100.v8.1 transcript:Manes.08G080100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFELYCFQSLTGTKFFVVCEPGTPHVEGLLTVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQVIQKDRVALLGR >Manes.08G080100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23531451:23534470:1 gene:Manes.08G080100.v8.1 transcript:Manes.08G080100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFELYCFQSLTGTKFFVVCEPGTPHVEGLLTVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQVIQKDRVALLGR >Manes.06G061500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19602116:19603035:1 gene:Manes.06G061500.v8.1 transcript:Manes.06G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLALSSSFLFHPLISLNAPASKISSSTTRVLAARREAHDQNYSGRLVDSNMIVLRKRIHEMKMVERNYEPPSHWMEWEKRCFTSYDSLVCEIVGVLQSQLMNTRPSFALGLFVLIAFSVPISSTLVFSHFLHITKIVFDGFHVS >Manes.14G023575.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2240918:2241343:1 gene:Manes.14G023575.v8.1 transcript:Manes.14G023575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSDWFVQVYNSVFASTLHMVIMVVWVLRENRNVVVWKHKRCPSHVVIRRAKSLLQYWEAARSRRELVVNAPGCVQWKKPPIESFKLNVDAALFLHQAMGVDCVLRGGNGEFIATRQQRIHNNFDTSTTETMAFRESLN >Manes.08G081411.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25287393:25288037:1 gene:Manes.08G081411.v8.1 transcript:Manes.08G081411.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKGNSININFENETENNVNQNFQETQELHQNQASNFQGNTSQKTMRYHCKGHFIFSPNRIYENGRFMEKPNFDVDFISFFDILDDLKKDCGFDVIKGDKFYYLKADKALSDLDALIEVKDDTDVKNMMDNYDILPNGLSDELPAVTIDHTSNQLQNPNATAATGLLI >Manes.09G111200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331121:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYQPFFSSRVSVLLSNLGEVPLSSEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331013:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYQ >Manes.09G111200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331129:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYHEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331129:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYQPFFSSRVSVLLSNLGEVPLSSEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331129:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYHEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331129:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYQPFFSSRVSVLLSNLGEVPLSSEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331100:31339458:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYQPFFSSRVSVLLSNLGEVPLSSEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331100:31339458:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYHEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331013:31339407:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYQPFFSSRVSVLLSNLGEVPLSSEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331129:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYHEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331100:31339407:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEVGTDIML >Manes.09G111200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331129:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYHEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331129:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYHEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.09G111200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31331014:31339397:-1 gene:Manes.09G111200.v8.1 transcript:Manes.09G111200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWKIFSDTGNNFRWEPSGQIIHRRPLHGPNNPPIQPRCSTPRLPSMADVLRQECSPLLENGEEDIEHAPMFRTGLGNSVALKQSSIAKALSILEDNDNAISSGETHSTDNDCGFNNSLFCTGSGKSVNVSSAGLFRAKALLGLENNNDVSISQVFQHPRKSSNSNATEEHEWQGLSHLRMKESTKNSSMESVPRPSLISKASSIGSKLSNVVNQNLLQPELHNSISKPPPIKFHTAGGRSLSVSSDALERAKSLLGDPDFGALLNEEDVVDPALSVYKESRFNDASSTKETDFRAFTYPGTAKSKQISKIFTSPMRLSTKHIQSSLNSENIVSGISLIKKFDAVDDDKFYRLDNTSPFPQRPLSNGSSKTDMVEDKSLEICDVSRINQNVRSSRGPLVDISNTIGTVYTNNEKRRFGRGSSTSPFKRPRSSKFTTPLNRNVSYAPSGLSASSSENSCCRRMISTRYPFQIPRMYMKEYFGVPSSDKGLLEHLADEVRRIKPETAEKYTFCDESGLNYIGGEAFYHMLVQSGASVQYASKQWIANHYKWIVWKLACYERCYPLKSATRFLTVTNVLEELKYRYEREVNHGHRSAIKRILEGDASPSSMLVLCISAICISCEPKIENVAVNGGECTSGAKVELTDGWYSIESLLDVPLSKQLAAGKLFVGQKLRIWGAGLCGWVGPVSPLEAPRTVSLSLHINGTYRAHWSDRLGFCKDAGPPLAFRCIKSNGGLVPRTLVGVARIYPVLYKEKFITGGSIVRTERMEAKTLQSYNQRHSAVVEGIVSEYQRGMKGSYICNDSDSEEGAKILKILETAAEPEVIMAEMSPEQLTSFASYQAKLEAIKQLDMEKAVKKALQDAGLAERDVTPFMRVRVVGLTNYQDKCFPKEGLITIWNPTEKQQNELVEGQAYAVEGLSPVNSDSNTLYLQARGSTTKWRPLSPFTVQRYQPFFSSRVSVLLSNLGEVPLSSEFDTAAYVVHVGEVYTTAQWKRQWVFVTDSSISTLDLEEMSNSLLAISFCSPYIDGDSFTPINYNLAGSTVSFINLIKKAKDQINNLWIAEATENSIYSLSFDSPNCSHLKNAAASAQSWAKTSSLAIDKLKEKVLHIIGDHKG >Manes.15G106500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8457569:8464724:1 gene:Manes.15G106500.v8.1 transcript:Manes.15G106500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSVPSNTHGNLDEQINQLMQCKPLSEHEVRTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Manes.15G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8457569:8464724:1 gene:Manes.15G106500.v8.1 transcript:Manes.15G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSVPSNTHGNLDEQINQLMQCKPLSEHEVRTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Manes.15G106500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8457569:8464724:1 gene:Manes.15G106500.v8.1 transcript:Manes.15G106500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSVPSNTHGNLDEQINQLMQCKPLSEHEVRTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Manes.S024716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:335920:336732:-1 gene:Manes.S024716.v8.1 transcript:Manes.S024716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10179194:10182371:1 gene:Manes.13G070700.v8.1 transcript:Manes.13G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNLRRKLQRRISILKRCILRVWNHILTCSLGKPSHNYRMVPPQSLPVSTLPEIASSGYVSGIVTPSAHPPMKMAAAAAMYHDQRDIDSINNKDSDLVALKISLLGDCQIGKTSFLAKYVGNETQDGRILKDGMNMMDKTLLVKGARISYSLWEVDGDEGSSQQIPLACKDSVAILIMFDLTSRLTLNGIIKWYQEARKWNQTAIPIVIGTKFDDFIQLPIDLQWTIASEARAYAKALNATLFFSSAAYNINVNKIFKFITAKLFDLPWTPARNLTIGEPIIDF >Manes.17G118227.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33417569:33419539:1 gene:Manes.17G118227.v8.1 transcript:Manes.17G118227.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPSLFLILISLSSLAFLSESRLSYNYYDQSCPRFTQIIQETITNKQITSPTTAAGTLRVFFHDCLLNGCDASILVSSTPFNSAERDADINLSLPGDAFDLVTRAKTALELSCPNTVSCADILAVATRDLVTMVGGPYYNVLLGRKDYRISKSSYVEGNLPKTTMPMADIINIFASKGFSVQEMVALSGAHTIGFSHCKEFNSFIYNSTQYNPKFAQGLQKACADYPNNPTLSVFNDIMTPNKFDNMYFQNLPKGLGLLESDHGLYNDLRSRSYVEMYAKDQNKFFQDFARAMEKLSVYGVKTGRRGEIRRRCDAIN >Manes.05G065200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5418245:5425379:1 gene:Manes.05G065200.v8.1 transcript:Manes.05G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRATKLKSKLENSQFAKFFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKQATTKMTDDMIVWISVAILICLFMVQRFGTDKVGYTFAPIICVWFTMIGCIGVYNFFKYDPSVIKGLNPKYIVDYFKRNKDKAWISLGGVVLAITGTEALFADVGHFTVRSIQISMCTVTYPALICAYTGQAAFLRKHNDLVPDTFYKSIPDPLYWPMFVVAVLASIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSTKYEGQVYIPEINYLLMLACVGVTLGFRSTANIGNAYGIAVVFVMTLTSALLVLIMLMIWKTNVLFVIAYVLTIGVVELVYLSSVLYKFDQGGYLPLAFAAVLMTIMFVWNDVYRRKYYYELENKISPDTLKEIAAETNFSRLPGLAMFYSELVQGIPPIFKHYVENVPALHSVLVFVSIKSLPIGKVPAEERFLFRKVEPSELNVFRCVARYGYTDVRNENEPFERMLIEKLKEFIRDDYWLRKANLKNGEDANDEESHDGQFDENRNTKREDLQDVDKQMDMIDKAWRAGVVHLIGENEVVAGKGANIGKRILIDYAFNFLKRNLRQSEKVFDIPQKRMLKVGMTYEL >Manes.05G065200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5418245:5425379:1 gene:Manes.05G065200.v8.1 transcript:Manes.05G065200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENNIVQSVTIEDSSDQFSKPLSAKKLSSQKLRRNDSLEIESRKFPGSQLHGSKAVSWSVILHLAFQSIGIVYGDIGTSPLYVYSSTFTDGIRHNDDILGVLALILYTITLIPLVKYVLIVLRANDNGDGGTFALYSLICRYAKVGLIPSEQAEDHDVSNFQLELPSKSMRRATKLKSKLENSQFAKFFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKQATTKMTDDMIVWISVAILICLFMVQRFGTDKVGYTFAPIICVWFTMIGCIGVYNFFKYDPSVIKGLNPKYIVDYFKRNKDKAWISLGGVVLAITGTEALFADVGHFTVRSIQISMCTVTYPALICAYTGQAAFLRKHNDLVPDTFYKSIPDPLYWPMFVVAVLASIIASQAMISGTFSIIQQSLSLGCFPRVKIVHTSTKYEGQVYIPEINYLLMLACVGVTLGFRSTANIGNAYGIAVVFVMTLTSALLVLIMLMIWKTNVLFVIAYVLTIGVVELVYLSSVLYKFDQGGYLPLAFAAVLMTIMFVWNDVYRRKYYYELENKISPDTLKEIAAETNFSRLPGLAMFYSELVQGIPPIFKHYVENVPALHSVLVFVSIKSLPIGKVPAEERFLFRKVEPSELNVFRCVARYGYTDVRNENEPFERMLIEKLKEFIRDDYWLRKANLKNGEDANDEESHDGQFDENRNTKREDLQDVDKQMDMIDKAWRAGVVHLIGENEVVAGKGANIGKRILIDYAFNFLKRNLRQSEKVFDIPQKRMLKVGMTYEL >Manes.03G095616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:16469717:16470259:1 gene:Manes.03G095616.v8.1 transcript:Manes.03G095616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIQRPKSIIDFLGEEIIRIITPVSICMFIVVILVSSLNTDSSSTSTTINTIATMAYTETTSDSFWDKLRGTLLNSLVFVAVVTVVTFLLVLLFYLRCTQLLKIYMGFLQWARAYNSKTSVLCLPFCLLIIHIHLTKKKKKKERKKERNGRKQPPAGPTTLTFTQGERASKSKQQYIIT >Manes.01G059200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24897401:24902530:-1 gene:Manes.01G059200.v8.1 transcript:Manes.01G059200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEHLDKMQLRQNYRNLWHTDLMNTIRADTPYCCFALWCAPCVSYLLRKRALYNDMSRYVCCAGYMPCSGRCGESKCPELCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQIACIFSIVAMIVGSDEIQEASQLLSCFADMVYCTVCACMQTQHKIEMDKRDGKFGPQPVMAVPPVQQMSRIDQPFPPSVGYQPQQAFGQPYGYPPPQAQGYPAAGYPPPAYPPSNYPPPGHPPSGYPK >Manes.06G060300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19393887:19409948:-1 gene:Manes.06G060300.v8.1 transcript:Manes.06G060300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPINYYTVPLFDVTQLLYSSTPQFQAVVHFSYYINKSNTTLSLALFTSSFLLKFRMGGGGGNDDAGGAGGRIRWRGFSCRKSDFLPEESFQSWGNYARALGETPMRFKDRLLTRSMDSMELNDMKARSEHDMKKSLTWWDLIWFGVGVVIGSGIFVLTGLEARDHAGPAILLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFIAFIAAGNILLEYVIGGAAVARSWTSYFATLCNHDPDDFRIIVHSLADDYSHLDPIAVVVSCVICVLAVMSTKGSSRFNYLTSIIHVGTIIFIIVAGLAKADINNYSSFVPNGPRGIFVSSAVVFFAYVGFDAVSTMAEETKDPARDIPIGLVGSMIIIALAYCLLSATLCLMVPYKQLDPDAAFSVAFEYVGLKWAKYIVALGALQGMTTALLVGAVGQARYLTHIARTHMMPPWFAHVNGKTGTPVNATIVMIVATAIVAFFTKMDILANLLSISTLFIFMLVAVALLVRRYYVTEVTASANRTKLIVCIMVILGSSIATASIWGAGGDGWIGYVITIPIWFLATLGLNLFVPQARAPKTWGVPLVPWLPSASILINIFLLGSIDGASFMRFGIWSGVLLVYYFLFGLHASYDTAKGAGERRAGDGWKKLEEGGAVSSQSGLGNESRAADVQKKTEGGEQVPAQSSLGDVD >Manes.12G028000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2447159:2451032:1 gene:Manes.12G028000.v8.1 transcript:Manes.12G028000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLEGALEWLRPFVASKAWDYCVVWKLGDDPSRFIQWMGCCCGGGGNVKEERGGENNSAALCRDLYFKHPIRTKACEALACFPSFMPLYSGIHGEVVTSTQSKWITHANASDSNLSPELIGTRVLIPVFGGLIELFAGQHIAKDQKIIEFITAHFNVLKQEASIAHDYASINELYLDSFPEHNLQNLQPPSHLSSLIPPIHIHHPLNQPNNHSSFEGSSSVSNPSNEHSSFDSHSGGYLSRKGILKQSIGKPYGKRKSGYDEDLLKQQAGLIPESNNEVAKVIQRSERDHFRSKNLVTERNRRNRMKDGLFTLRALVPKISKMNKAAILGDAIDYIGELQNEEKKLQDELKEIEEQECKNSTEKIITSRLESLREDSKYLPPTEKNQYSSGFGDKKKTEVQIELNQIGKREFLIKLFYEHKRGGFGKLMDAIHSLGLQVVDANMTTFNGKVLNILKVEATVKDIQPRKLKESLLKLGG >Manes.13G140497.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35016075:35017893:1 gene:Manes.13G140497.v8.1 transcript:Manes.13G140497.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELVGGETVDELLEAQTHIWNNTFHIFKSMALKCAVQLGIPDAVNSHGKAMTLSELVAALPVHPTKTHHFYRLMRLLVHMGFFTLQKTADQEGYLLTPASRLLLKDNPLSTSSFVLIALDQVLLETYNCMSTWFQKDEPNPFVTVFGEPLWEYASHEARVNNLFNGAMANDSSLIGKAVVVKCKEVFQGLNSLVDVAGGTGNMTKAISDAFPDLKCTVLDLPHVVTDLEGNKNLNFLAGDMFKAVPPADAILLKWILHDWPDEECVKILKNCKETIRKNKNEEQTGKVIIIDMVMGNQTWNSTKDDDNITEAQLLFDMEMMCSVIGKERNEKEWAKLFFDSGFSNYKINYVLGSRALIEVYP >Manes.16G085001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29107184:29109119:-1 gene:Manes.16G085001.v8.1 transcript:Manes.16G085001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYIPYFNGNLKIEDFLDWIAKIERYFKYAKTLTEMRVKLVVCRLKRMALTWWERVQSRPQWTKHLLKLEFLPPDYEQILFQKYQRCQQGYKIVHEHIVEFMRLQVTRYLEGLQVNLKDRIGVQVIRSLSEVMNLALNAELMQQESDKEAKKVDNPCARPYIGKYFWCNQQGHKSNECPTRKTVNILEREEEEEEMNCESDGFDEGEEYELDEGHIYVVWRMMVPKGVEETQHHQLFRTYCIIGNRVFGVIVDSENCENIIERETVKKLKLPLEKYREPYLLDWIKFDTEKINVTECRKVPSL >Manes.01G263000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41792647:41797799:1 gene:Manes.01G263000.v8.1 transcript:Manes.01G263000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDQEVPEGSVQNILSQETLKWVFVGGKGGVGKTTCSSILSILLSRVRSSVLIISTDPAHNLSDAFQQRFTKAPTLVNGFNNLYAMEVDPNVENEDVGGNDGVDSVFSELANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLQKMMSLKSKFGGLLSQMTRMFGVEEEFGEDALLGRLEGMKDVIEQVNRQFKDPDMTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLYNEEGVESKLLKARMRMQQKYLDQFYMLYDDFHITKLPLLPEEVTGVEALKAFSSHFATPYQPSTGGGTVEELERKIDALKQQLTDAEEELEKLRKGKQIA >Manes.06G133800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26214209:26221325:1 gene:Manes.06G133800.v8.1 transcript:Manes.06G133800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKEVAASSSNPIPDSNHNSGEDDSDPSKNPSFTSVNFSSRNASSKYDFVKVKVWLGDHADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLGSTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKSSANVTEKTKEANLVSVINNDPAKQVENNTASDSCTDNSNSIVGNVSLEDGKSGDKLNKVPDPQESVTTAGCVSDNKGEIVTDTSREGDSTARKDKLGPKPIIIPIVLKMAEFDHKALLEEWIVTRTFSDKCPVQDKGRLITNLKIIQDYLCSFKSQGLTVANISATTFPQTLDWLHAYLLQCIEQGISSVSNENGRQPAER >Manes.06G133800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26214210:26221309:1 gene:Manes.06G133800.v8.1 transcript:Manes.06G133800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKEVAASSSNPIPDSNHNSGEDDSDPSKNPSFTSVNFSSRNASSKYDFVKVKVWLGDHADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLGSTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKSSANVTEKTKEANLVSVINNDPAKQVENNTASDSCTDNSNSIVGNVSLEDGKSGDKLNKVPDPQESVTTAGCVSDNKGEIVTDTSREGDSTARKDKLGPKPIIIPIVLKMAEFDHKALLEEWIVTRTFSDKCPVQV >Manes.06G133800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26214210:26221136:1 gene:Manes.06G133800.v8.1 transcript:Manes.06G133800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLIWKLTYLRLHMQLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLGSTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKSSANVTEKTKEANLVSVINNDPAKQVENNTASDSCTDNSNSIVGNVSLEDGKSGDKLNKVPDPQESVTTAGCVSDNKGEIVTDTSREGDSTARKDKLGPKPIIIPIVLKMAEFDHKALLEEWIVTRTFSDKCPVQDKGRLITNLKIIQDYLCSFKSQGLTVANISATTFPQTLDWLHAYLLQCIEQGISSVSNENGRQPAER >Manes.06G133800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26214210:26221309:1 gene:Manes.06G133800.v8.1 transcript:Manes.06G133800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKEVAASSSNPIPDSNHNSGEDDSDPSKNPSFTSVNFSSRNASSKYDFVKVKVWLGDHADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLGSTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKSSANVTEKTKEANLVSVINNDPAKQVENNTASDSCTDNSNSIVGNVSLEDGKSGDKLNKVPDPQESVTTAGCVSDNKGEIVTDTSREGDSTARKDKLGPKPIIIPIVLKMAEFDHKALLEEWIVTRTFSDKCPVQV >Manes.06G133800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26214209:26221325:1 gene:Manes.06G133800.v8.1 transcript:Manes.06G133800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKEVAASSSNPIPDSNHNSGEDDSDPSKNPSFTSVNFSSRNASSKYDFVKVKVWLGDHADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLGSTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKSSANVTEKTKEANLVSVINNDPAKQVENNTASDSCTDNSNSIVGNVSLEDGKSGDKLNKVPDPQESVTTAGCVSDNKGEIVTDTSREGDSTARKDKLGPKPIIIPIVLKMAEFDHKALLEEWIVTRTFSDKCPVQDKGRLITNLKIIQDYLCSFKSQGLTVANISATTFPQTLDWLHAYLLQCIEQGISSVSNENGRQPAER >Manes.06G133800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26214210:26221325:1 gene:Manes.06G133800.v8.1 transcript:Manes.06G133800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKEVAASSSNPIPDSNHNSGEDDSDPSKNPSFTSVNFSSRNASSKYDFVKVKVWLGDHADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLGSTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKSSANVTEKTKEANLVSVINNDPAKQVENNTASDSCTDNSNSIVGNVSLEDGKSGDKLNKVPDPQESVTTAGCVSDNKGEIVTDTSREGDSTARKDKLGPKPIIIPIVLKMAEFDHKALLEEWIVTRTFSDKCPVQDKGRLITNLKIIQDYLCSFKSQGLTVANISATTFPQTLDWLHAYLLQCIEQGISSVSNENGRQPAER >Manes.06G133800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26214210:26221136:1 gene:Manes.06G133800.v8.1 transcript:Manes.06G133800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKEVAASSSNPIPDSNHNSGEDDSDPSKNPSFTSVNFSSRNASSKYDFVKVKVWLGDHADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLGSTPVWARDFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKSSANVTEKTKEANLVSVINNDPAKQVENNTASDSCTDNSNSIVGNVSLEDGKSGDKLNKVPDPQESVTTAGCVSDNKGEIVTDTSREGDSTARKDKLGPKPIIIPIVLKMAEFDHKALLEEWIVTRTFSDKCPVQDKGRLITNLKIIQDYLCSFKSQGLTVANISATTFPQTLDWLHAYLLQCIEQGISSVSNENGRQPAER >Manes.11G139900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30531129:30538589:-1 gene:Manes.11G139900.v8.1 transcript:Manes.11G139900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLSLIEISGEDDSLLSIQHISPDAAAATDNSYFSCSPLLPIPRSTCSLHPPSQLLASPVESRKGTDDTCIPSSSSCEDSRDNNMNKENVNLNKPEPPKLSLEPQQMKRKKKGGGYNLRKSLAWNRAFFTEEGVLDPSELSMLSGHSGKSSGEMLSIIHEGRESLCGELDSISDSPDLQSLEHNLFKELPSSTPTKNRNNAAKLTTHLGSPAKEKKGPASAAKRKVLSTHDINRSASKRNGCPRQVASSHPKANTTKVATKTSRVSKLLGPKPDPSVVSTTSRSSATNVSHSKRNYISQPVNAQKNIGLKDTSTNTKTVKNSAQSAPAGKSIIKSTAHQTRRNVVKVSGPVIDMSSKVQLPQGSQLNSSSEVVPVSVVPSVVCPAKGHDSNASKIAFSFSQIGCFNGGNMQSTQPQPAKPSGLRMPSPSLGFFGQSKSSGSHSLLQRSTQCNLPDSNIPNKSKVGAINSIHQKPPRPSRNIPSCSSTASSSSVNAALCGKIKPSKELNSIQKVTLQVQLNSESSTNCKRQPHDICGDADTQSLDLAEPYKILKISSVEHVAQQSNDNKLPFQNVPCEQLEQDDVRSVINACVGTRDTNGSGLEYPHSTSSHSLPMEVEGPEANNTAVNQSLDLAEPCKILKISSVEQMAQQSNDNKLPLQNVPCEQLEQDDVRSVINACVRTRDTNVSGLEYPHSTSSPSLPMEVEGPEANSTTVNQNVEDRQYNPTTKEHSFCSESQSAGGKNNWGGASSRVHEAKEQSPQQDELMKPDSCQTDFISNVESQRLNGVLFEHSRASEDINKHDSSKAADASLKVQECGATEYCQSHITYLESTKEGTTGINYLNRELHVGDAQILCVEGNMLVKSGKVSLSTLTVDNSNLIIDYPSAKSAEQAELLNPCLVTEPSSQYNSRPLSISCLLPGKGIEEDQEKDVLQSFESDESRTSACEGELGSSDVLFSTSPVNHDSGLDGAGKVACIHIENDLTASVNIEPVVENFRRDIELSGEANATAERMGKGDMIGAVITGGVKVNNFCSRITSQISSAILVEVSSRINDTNEHEFVLDKQLDSSTKNFTTITDLQPANEACYRESDSSILHNDCFNGMHDVKEESVDQFKLIASCAHEAEQGSQSREARDDNLSNDDNRSFGGISAVGGSVSEIPQSLHQCEIREAEHAGVDDMIKDRLIEDAVPQHLDNNLTVDSYNSNASTSLTVEKSSLMVDDISRQPKQCPELQNLDVMIEEVPSENNGLCSGDNLLLVNTVESQEEDTRENISGTVLEQCDSLPAASTSFDTDIQTNPVMHDAGFNMDNNSELPSMENIRWFADCKCRNELDNQAHPWNFDSSSKKNEDRKAVAGAVDTSDSNKTLDGSTRQDAEVNLVEGNNLPVEAEIAIYKGNGAVSSEVVKEATLSLKKSGNDKRQEALVIRPPQNAVPFSDEWLAAFEAAGEEILMMKGGAVQNSPQDKSLPEPGPWSPVKRKNNQGVGPFDCTKFTNSNIPSSNSN >Manes.11G139900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30531129:30536426:-1 gene:Manes.11G139900.v8.1 transcript:Manes.11G139900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGPEANNTAVNQSLDLAEPCKILKISSVEQMAQQSNDNKLPLQNVPCEQLEQDDVRSVINACVRTRDTNVSGLEYPHSTSSPSLPMEVEGPEANSTTVNQNVEDRQYNPTTKEHSFCSESQSAGGKNNWGGASSRVHEAKEQSPQQDELMKPDSCQTDFISNVESQRLNGVLFEHSRASEDINKHDSSKAADASLKVQECGATEYCQSHITYLESTKEGTTGINYLNRELHVGDAQILCVEGNMLVKSGKVSLSTLTVDNSNLIIDYPSAKSAEQAELLNPCLVTEPSSQYNSRPLSISCLLPGKGIEEDQEKDVLQSFESDESRTSACEGELGSSDVLFSTSPVNHDSGLDGAGKVACIHIENDLTASVNIEPVVENFRRDIELSGEANATAERMGKGDMIGAVITGGVKVNNFCSRITSQISSAILVEVSSRINDTNEHEFVLDKQLDSSTKNFTTITDLQPANEACYRESDSSILHNDCFNGMHDVKEESVDQFKLIASCAHEAEQGSQSREARDDNLSNDDNRSFGGISAVGGSVSEIPQSLHQCEIREAEHAGVDDMIKDRLIEDAVPQHLDNNLTVDSYNSNASTSLTVEKSSLMVDDISRQPKQCPELQNLDVMIEEVPSENNGLCSGDNLLLVNTVESQEEDTRENISGTVLEQCDSLPAASTSFDTDIQTNPVMHDAGFNMDNNSELPSMENIRWFADCKCRNELDNQAHPWNFDSSSKKNEDRKAVAGAVDTSDSNKTLDGSTRQDAEVNLVEGNNLPVEAEIAIYKGNGAVSSEVVKEATLSLKKSGNDKRQEALVIRPPQNAVPFSDEWLAAFEAAGEEILMMKGGAVQNSPQDKSLPEPGPWSPVKRKNNQGVGPFDCTKFTNSNIPSSNSN >Manes.11G139900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30531129:30536426:-1 gene:Manes.11G139900.v8.1 transcript:Manes.11G139900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVQLPQGSQLNSSSEVVPVSVVPSVVCPAKGHDSNASKIAFSFSQIGCFNGGNMQSTQPQPAKPSGLRMPSPSLGFFGQSKSSGSHSLLQRSTQCNLPDSNIPNKSKVGAINSIHQKPPRPSRNIPSCSSTASSSSVNAALCGKIKPSKELNSIQKVTLQVQLNSESSTNCKRQPHDICGDADTQSLDLAEPYKILKISSVEHVAQQSNDNKLPFQNVPCEQLEQDDVRSVINACVGTRDTNGSGLEYPHSTSSHSLPMEVEGPEANNTAVNQSLDLAEPCKILKISSVEQMAQQSNDNKLPLQNVPCEQLEQDDVRSVINACVRTRDTNVSGLEYPHSTSSPSLPMEVEGPEANSTTVNQNVEDRQYNPTTKEHSFCSESQSAGGKNNWGGASSRVHEAKEQSPQQDELMKPDSCQTDFISNVESQRLNGVLFEHSRASEDINKHDSSKAADASLKVQECGATEYCQSHITYLESTKEGTTGINYLNRELHVGDAQILCVEGNMLVKSGKVSLSTLTVDNSNLIIDYPSAKSAEQAELLNPCLVTEPSSQYNSRPLSISCLLPGKGIEEDQEKDVLQSFESDESRTSACEGELGSSDVLFSTSPVNHDSGLDGAGKVACIHIENDLTASVNIEPVVENFRRDIELSGEANATAERMGKGDMIGAVITGGVKVNNFCSRITSQISSAILVEVSSRINDTNEHEFVLDKQLDSSTKNFTTITDLQPANEACYRESDSSILHNDCFNGMHDVKEESVDQFKLIASCAHEAEQGSQSREARDDNLSNDDNRSFGGISAVGGSVSEIPQSLHQCEIREAEHAGVDDMIKDRLIEDAVPQHLDNNLTVDSYNSNASTSLTVEKSSLMVDDISRQPKQCPELQNLDVMIEEVPSENNGLCSGDNLLLVNTVESQEEDTRENISGTVLEQCDSLPAASTSFDTDIQTNPVMHDAGFNMDNNSELPSMENIRWFADCKCRNELDNQAHPWNFDSSSKKNEDRKAVAGAVDTSDSNKTLDGSTRQDAEVNLVEGNNLPVEAEIAIYKGNGAVSSEVVKEATLSLKKSGNDKRQEALVIRPPQNAVPFSDEWLAAFEAAGEEILMMKGGAVQNSPQDKSLPEPGPWSPVKRKNNQGVGPFDCTKFTNSNIPSSNSN >Manes.13G059900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6959247:6962498:-1 gene:Manes.13G059900.v8.1 transcript:Manes.13G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETHMPESIKPLRKRKSGHKKGNKAKKKQKQFQGIKERVKIDPKMKKFLRKKARDYNSDDEEEDESAHGIGDEKVSLHDNDKQDFGIEVEGPENEDEDDEIQPGITKFSEGCRAFRIAFMSIMKKSVSDDSLGPVLSGHEKLVAEKLAEEDAERKVKREAKKEKQLVGEKGHVKPANYLDSHEKFLIGVATKGVVKLFNAVNKAQNAQKGLDPSRTKDAKIIKKRRKEAYFSELGKTPASDSNAKVHKSSGPVDSEGPSWAPLRDNYMLTNSKLKDWDKMPDKVVADDFGKMSEDSDSDD >Manes.13G059900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6959247:6962498:-1 gene:Manes.13G059900.v8.1 transcript:Manes.13G059900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETHMPESIKPLRKRKSGHKKGNKAKKKQKQFQGIKERVKIDPKMKKFLRKKARDYNSDDEEEDESAHGIGDEKVSLHDNDKQDFGIEVEGPENEDEDDEIQPGITKFSEGCRAFRIAFMSIMKKSVSDDSLGPVLSGHEKLVAEKLAEEDAERKVKREAKKEKQLVGEKGHVKPANYLDSHEKFLIGVATKGVVKLFNAVNKAQNAQKGLDPSRTKDAKIIKKRRKEAYFSELGKTPASDSNAKVHKSSGPVDSEGPSWAPLRDNYMLTNSKLKDWDKMPDKVVADDFGKMSEDSDSDD >Manes.17G116400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32372713:32374441:1 gene:Manes.17G116400.v8.1 transcript:Manes.17G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSGSTVSFCPSFNSYSSDHLADIAARVGKEEANNMVTDFRNQNDHESSSSSSDEDDDDFEFVLVRQDPDDYTTTDGDHKVGFPIFPLFDRDLLLKYGNESKDQSQDQKSSTVRLQLKNLFIEDRDPPSSSSSEADELEGILPETYCIWTPQKSSLLASPSASPSRCKKSNSTGSSSKQRWRLRDLLHLRRSSSDGKESFIFLNPDNHKNSNNNVNLGKKKEEKFEKGKIVATRAGKAKEKVSAHEVFYVRNKALKEGDKRKSYLPYRPELVGFFANVNGLGRNFSPI >Manes.06G159700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28530623:28536268:-1 gene:Manes.06G159700.v8.1 transcript:Manes.06G159700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRGVAFATSPERVEVKFFSENISTRLIKPSFVCVKRKSAFSYRRQVWKTKCSLSRKAVPPLESAENARSALTDYLEEESGHVIRFSMSDFKLLNRVSIGLGGRADEVVYEGIVKDSSSPLYKTRVVLRQFLSSQAQRRGKRAIEVLKKLVRRRLLYHSYSMQVHGYIYSPASSGRGLFTLVHGYHGSFSLRHWLQQSDWLPTLEATLALDEESVRRVGDDTIGGPAVSRQLRIIRILMRDLLIGVNYLHSHGLAHTELRLENVHISPVDRHVKVGILGNAADFYEDGPNSNALDTCIDRRQMMIAFDMRCVGFMMAKMVLQELMDPAIFTRFKSFLVKGNDPSCLREFLLRILRRNSPSGSAGLQILDRNWGAGWNLLSLLLANKPSKRISCLDALRHPFLCGPRWRVVPSMNIIRWGLGSTAVRIAEEYIYSRPQRNRLAYFVELMEMLNPHSRPKSWLEFLPGKWRLLYCTGRHIGLTLRQPSARVLIGDVNLTVSRASNLNTSLSFTSDIGFTVMMGHDWPHDKTGITGKLEVDSVFRLTSGRRLYLKEEKSAGRFSFGQSNNFDSLFQKLSARKWRKAIPFKEFPSSLPVAKLASNDIEVTMNLGATLDQTVATAKNIIKEVRTQIPPEMFDLSKLVCGTYVDSRLLVLRGVNGSALLFTRSCVDETL >Manes.15G119700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9526758:9527024:1 gene:Manes.15G119700.v8.1 transcript:Manes.15G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKNMQEEMEKSSSSRRKMKLWLFRKLRIKDSWRWRWRFLGSAFKWKRIHFQLSFFDDVLFKIVSVFEAVVLVATLCFFFLCCGCHI >Manes.06G026900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:6010693:6012209:1 gene:Manes.06G026900.v8.1 transcript:Manes.06G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQFLFLFFITITLTNLLVTSQSSSSPGTLQKKPDNATIYKISKQLCWGCIGESLEFLFEHNLVRAAKWELPLMWDFQLEKYARWWAGQRKADCKAEHSFPEGDFKLGENIYWGSGSEWRPADAVTAWAAEEKYYNYAKNSCDVGEICGHYTQIVWKTTRRIGCARSVCDDGDVFMNCNYDPPGNYVGERPY >Manes.13G148300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36437950:36440727:-1 gene:Manes.13G148300.v8.1 transcript:Manes.13G148300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKNNAGKSRKGADEKQRLTRQDWELDRCKEETNLSFHERQQRKPVFGEASAAERPLKKIRSPERQEPIQFSAFSTHQSVASFSISPSSSFSSHPPTSSSSSSSALPSGSSIQFPFSFEGCNQPMQFPHQLMTNPSLPYLLSLTQVAQNQQQIISYAQNQQQGIACPQLFAGESALAHKQQQQQLFQYWSDTLNLSPRGMMMNRLGPDGRPLFRPPVQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPELFLNKDKAITTAPTSTVSSPPTPNQSSSPKQTKQILDLRASSMENMPPPPPVPPPSQPSQGDNPDNDSGMCSSGATVSDEVQAVAEGCSGGEDISVSQELVWGDMAESWFNAIPSGWEPGSPIWDDFDTTNNLLMQTHLPFGNPNQQQFNDSSELQRQQHNMSLASSSSSASSFSSSIPMKPFFWKDQD >Manes.13G148300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36437995:36440727:-1 gene:Manes.13G148300.v8.1 transcript:Manes.13G148300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKNNAGKSRKGADEKQRLTRQDWELDRCKEETNLSFHERQQRKPVFGEASAAERPLKKIRSPERQEPIQFSAFSTHQSVASFSISPSSSFSSHPPTSSSSSSSALPSGSSIQFPFSFEGCNQPMQFPHQLMTNPSLPYLLSLTQVAQNQQQIISYAQNQQQGIACPQLFAGESALAHKQQQQQLFQYWSDTLNLSPRGMMMNRLGPDGRPLFRPPVQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPELFLNKDKAITTAPTSTVSSPPTPNQSSSPKQTKQILDLRASSMENMPPPPPVPPPSQPSQGDNPDNDSGMCSSGATVSDEVQAVAEGCSGGEDISVSQELVWGDMAESWFNAIPSGWEPGSPIWDDFDTTNNLLMQTHLPFGNPNQQQFNDSSELQRQQHNMSLASSSSSASSFSSSIPMKPFFWKDQD >Manes.02G186800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14952438:14953802:-1 gene:Manes.02G186800.v8.1 transcript:Manes.02G186800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPFVGWGWKRRGDFSSDDMWNPYGGGWGSIDYRGDLGGGTRDETSSLAHVNVDWRETDNAHIFRADLPGVRKEEVKVQVEDGNILQISGEKVKEQEDATDKWHRVERQRGGFVRRFRIPENANAEDIRCSLENGVLTVTVPKKQAGQIQRDVRYINVA >Manes.07G125900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32879107:32881653:-1 gene:Manes.07G125900.v8.1 transcript:Manes.07G125900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYKTVNSRKVSKDEGIGNFGEIMIEMLPEELAFTVFIPSERSFERDLRLRVNDSLLAEKRNDTYAVVSRILGFSAIPRKLSSELVSSSKEVIYDSLSGFTLYISKDVDGMLVVNRIRSERVDVRRGEIVVHIMDGVIMDAEFEQAVQPDDNEED >Manes.07G125900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32879107:32881616:-1 gene:Manes.07G125900.v8.1 transcript:Manes.07G125900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYKTVNSRKVSKDEGIGNFGEIMIEMLPEELAFTVFIPSERSFERDLRLRVNDSLLAEKRNDTYAVVSRILGFSAIPRKLSSELVSSSKEVIYDSLSGFTLYISKDVDGMLVVNRIRSERVDVRRGEIVVHIMDGVIMDAEFEQAVQPDDNEED >Manes.07G125900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32879107:32881616:-1 gene:Manes.07G125900.v8.1 transcript:Manes.07G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLQVTLLLKKMKRGHYSKNPIAFVCVVVSICCLMIIMISFLRLPVPEASLGNNKVMKPYKTVNSRKVSKDEGIGNFGEIMIEMLPEELAFTVFIPSERSFERDLRLRVNDSLLAEKRNDTYAVVSRILGFSAIPRKLSSELVSSSKEVIYDSLSGFTLYISKDVDGMLVVNRIRSERVDVRRGEIVVHIMDGVIMDAEFEQAVQPDDNEED >Manes.02G198800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16651273:16658411:1 gene:Manes.02G198800.v8.1 transcript:Manes.02G198800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVDDISGATASTAKKPVFLTKAQREQLALERRQEEIRQQKLQQQQLLSQIRPSSDPNSNGNQKPSDSDRRDRDRDRDRERDRDRDRSSRRDRERDRDREREEEAKARERARLEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRRKEGVEEKPEEAAARKLKEEAADMYDTFDMRVDRHWSDKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWAESKLSSELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYISRLPPMSEENDSEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVNIGTAGKATDLISQHVIMMKESEKFPKLQRLLDELGDKTAIVFVNTKKNADTVAKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKSGVATTFLTLYDTEVFYDLKQMLIQSNSHVPPELAKHEASKFKPGTIPDRPPRRNDTVFAH >Manes.02G198800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16651273:16655294:1 gene:Manes.02G198800.v8.1 transcript:Manes.02G198800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVDDISGATASTAKKPVFLTKAQREQLALERRQEEIRQQKLQQQQLLSQIRPSSDPNSNGNQKPSDSDRRDRDRDRDRERDRDRDRSSRRDRERDRDREREEEAKARERARLEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRRKEGVEEKPEEAAARKLKEEAADMYDTFDMRVDRHWSDKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWAESKLSSELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYISRLPPMSEENDSEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVNIGTAGKATDLISQHVIMMKESEKFPKLQRLLDELGDKTAIVFVNTKKNADTVAKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKSGVATTFLTLYDTEVFYDLKQMLIQSNSHVPPELAKHEASKFKPGTIPDRPPRRNDTVFAH >Manes.02G198800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16651272:16655166:1 gene:Manes.02G198800.v8.1 transcript:Manes.02G198800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVDDISGATASTAKKPVFLTKAQREQLALERRQEEIRQQKLQQQQLLSQIRPSSDPNSNGNQKPSDSDRRDRDRDRDRERDRDRDRSSRRDRERDRDREREEEAKARERARLEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRRKEGVEEKPEEAAARKLKEEAADMYDTFDMRVDRHWSDKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWAESKLSSELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYISRLPPMSEENDSEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVNIGTAGKATDLISQHVIMMKESEKFPKLQRLLDELGDKTAIVFVNTKKNADTVAKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKSGVATTFLTLYDTEVFYDLKQMLIQSNSHVPPELAKHEASKFKPGTIPDRPPRRNDTVFAH >Manes.02G198800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16651272:16656227:1 gene:Manes.02G198800.v8.1 transcript:Manes.02G198800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVDDISGATASTAKKPVFLTKAQREQLALERRQEEIRQQKLQQQQLLSQIRPSSDPNSNGNQKPSDSDRRDRDRDRDRERDRDRDRSSRRDRERDRDREREEEAKARERARLEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRRKEGVEEKPEEAAARKLKEEAADMYDTFDMRVDRHWSDKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWAESKLSSELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYISRLPPMSEENDSEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVNIGTAGKATDLISQHVIMMKESEKFPKLQRLLDELGDKTAIVFVNTKKNADTVAKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKSGVATTFLTLYDTEVFYDLKQMLIQSNSHVPPELAKHEASKFKPGTIPDRPPRRNDTVFAH >Manes.02G198800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16651272:16656227:1 gene:Manes.02G198800.v8.1 transcript:Manes.02G198800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVDDISGATASTAKKPVFLTKAQREQLALERRQEEIRQQKLQQQQLLSQIRPSSDPNSNGNQKPSDSDRRDRDRDRDRERDRDRDRSSRRDRERDRDREREEEAKARERARLEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRRKEGVEEKPEEAAARKLKEEAADMYDTFDMRVDRHWSDKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWAESKLSSELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYISRLPPMSEENDSEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVNIGTAGKATDLISQHVIMMKESEKFPKLQRLLDELGDKTAIVFVNTKKNADTVAKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKSGVATTFLTLYDTEVFYDLKQMLIQSNSHVPPELAKHEASKFKPGTIPDRPPRRNDTVFAH >Manes.02G198800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16651279:16655975:1 gene:Manes.02G198800.v8.1 transcript:Manes.02G198800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVDDISGATASTAKKPVFLTKAQREQLALERRQEEIRQQKLQQQQLLSQIRPSSDPNSNGNQKPSDSDRRDRDRDRDRERDRDRDRSSRRDRERDRDREREEEAKARERARLEKLAEREREKELDSIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRRKEGVEEKPEEAAARKLKEEAADMYDTFDMRVDRHWSDKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWAESKLSSELLKAVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLTYISRLPPMSEENDSEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVNIGTAGKATDLISQHVIMMKESEKFPKLQRLLDELGDKTAIVFVNTKKNADTVAKNLDKAGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKSGVATTFLTLYDTEVFYDLKQMLIQSNSHVPPELAKHEASKFKPGTIPDRPPRRNDTVFAH >Manes.18G040001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3543616:3544588:1 gene:Manes.18G040001.v8.1 transcript:Manes.18G040001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVVRLSSEVFCTRTVAQVSTSVISAIFPSAMCGASVLVFSGFHTRVEGSGCCNLLRVSLWAYLLGCFFL >Manes.05G002700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:280297:285003:-1 gene:Manes.05G002700.v8.1 transcript:Manes.05G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLAQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDGLLSDEALTDVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >Manes.16G074200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:27661289:27661939:-1 gene:Manes.16G074200.v8.1 transcript:Manes.16G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPTLVAKKLSNMIRVAFFTIRKGVSKSKFVLDLHLMIKRGKIIGKALNDIVIEHQTALSCHSHDVHMSFVSPATAVGCRPHNVHMSFVSPRDYEFSCSSSPSYRPYKRFQANRRRTHSYHRKHNRHYEHTRYHAPPYIWDDVKSGGGDLSDTSSNLVSGLVRQVRITDSPFSPREAGDEEGIVDMEAEKFIDRFYQELRLQKQMAAREAAYVM >Manes.08G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:884084:885444:1 gene:Manes.08G004500.v8.1 transcript:Manes.08G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKRRITSIFLLFLLLVMPYISRGVSQVEDSGIYEIDYRGPETHSSARPPPGRSHGRPFFHGDQAAAYKSKAIGENAKKIHG >Manes.09G177400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36775358:36779500:1 gene:Manes.09G177400.v8.1 transcript:Manes.09G177400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDETTKNKAMWLYPKVLGFNPPERWGHSACYSHGVVYVFGGCCGGLHFSDVLMLNLDTMSWNILASTGQGPGPRDSHSAVLVGRQMFVFGGTNGSKKVNDLHVLDLVTKEWIRPECKGTPPCPRESHTATLIGDDRIMIFGGSGEGEANYLNDLHILDLKTMRWSSPEVKGDIPVPRDSHGAVTVGKGLFVYGGDCGDRYHGDVDVLDTDTLTWTKLTVRGCSPGVRAGHAVVNIGNKLDVCGLPPQGRFSHTAVVTDSDIAIYGGCGEDERPLNELLVLQLGAEDPDGRCNTSMCKIFGKQWNQEKGRSPRRVENNSRSIFLGSDKIIRKGAHEPQLETKQAFWLSSDARYTKRKRTASSKSWDVESEQEEHSLSLSQHSSPSQSDQEQTPVRKAADSMTAAQGFNLFKQLNQIPRDCQSNHLHISREQRKPEQCVPVVDTGRQGRPYPATLEDKPKDGVSVQNLIGAEVRGRVDGAFDSGLLVTATVNGKIFRGVLFSPGPEVISRGAILAQNHALPANHIVNVQQFSNSNHIETTKPFRQTTIFPSPEPGQSYQQTQMTRTQPVIRAAPSLGKEPKLMRSDLQGVDLTLGGPATASSHVGQI >Manes.09G177400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36775358:36779500:1 gene:Manes.09G177400.v8.1 transcript:Manes.09G177400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDETTKNKAMWLYPKVLGFNPPERWGHSACYSHGVVYVFGGCCGGLHFSDVLMLNLDTMSWNILASTGQGPGPRDSHSAVLVGRQMFVFGGTNGSKKVNDLHVLDLVTKEWIRPECKGTPPCPRESHTATLIGDDRIMIFGGSGEGEANYLNDLHILDLKTMRWSSPEVKGDIPVPRDSHGAVTVGKGLFVYGGDCGDRYHGDVDVLDTDTLTWTKLTVRGCSPGVRAGHAVVNIGNKFYVIGGVGDKHYYNDVWVLDAVTGSWTQLDVCGLPPQGRFSHTAVVTDSDIAIYGGCGEDERPLNELLVLQLGAEDPDGRCNTSMCKIFGKQWNQEKGRSPRRVENNSRSIFLGSDKIIRKGAHEPQLETKQAFWLSSDARYTKRKRTASSKSWDVESEQEEHSLSLSQHSSPSQSDQEQTPVRKAADSMTAAQGFNLFKQLNQIPRDCQSNHLHISREQRKPEQCVPVVDTGRQGRPYPATLEDKPKDGVSVQNLIGAEVRGRVDGAFDSGLLVTATVNGKIFRGVLFSPGPEVISRGAILAQNHALPANHIVNVQQFSNSNHIETTKPFRQTTIFPSPEPGQSYQQTQMTRTQPVIRAAPSLGKEPKLMRSDLQGVDLTLGGPATASSHVGQI >Manes.05G065500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5459591:5462695:1 gene:Manes.05G065500.v8.1 transcript:Manes.05G065500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITGDEGSFSSGNTGEEVQQLQEKQQLQLQNHFHGSNSGATNSNDSTSQQQQQQPIKKKRNLPGTPDPNAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTTEVKKRVYICPEPTCVHHNPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLMSNMGSNLQTQMPDIMSSMPLTSTNANSTSIGISDFNSFDPKNPLKSLPQELVPIPFKSMNMAAGGGMFSSSSGTLFGGPRSISSASSSLQLSSNSSSGYSYLQDGKNGCQISGSAHMSATALLQKAAQMGATASNSINSPMMQKSFASSMAGPDQISSIRPPSYVGVQQHGATSYDHFPSQPEQTSMVGINAGGFNNNNQHLQKGPQEMSQFFETATGSSGMNDMGMFSTMFMGTEQNPGFMKSMEHEDSGSSSLIHGRSGMERNLSGPSRFGGSGGEGGDRMTRDFMGIGGSRTGNLLDHQQQQQQQSLEMEAISQQHRMPMMNHFQQQLSLGESALEKRMWDV >Manes.01G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31686570:31694293:-1 gene:Manes.01G120700.v8.1 transcript:Manes.01G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLLASRVLRPRTTPRNFIRSIVTKPELQSPEASAAAAAPEPTADLPPRTPVAGARVYFPNPEDAIEVFVDGYPVKIPKGMTVLQACEIAGVDIPRFCYHGRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEIAGVQDLGIELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRILPRLNEDINEEWISDKTRFFYDGLKRQRLNDPMIRGADGRFKAVSWRDALAVVAEVMHQVKPEEIVGVVGKLCDAESMMVLKDFLNKMGSNNVWCEGNGPSPNADLRSGYIMNTGISGLEKVDVFLLVGTQPRVEAAMVNARIRKTVRAINAKVGYIGPPTDFNYDSKHLGTGPETLVEIAEGRHPFFSTILNAKNPAIIVGAGIFERPDKDAIFSIVEAIAKKGNVVRSDWNGLNVLLLNAAQAAALDLGLVPESSNSIESAKFVYLMGADDVNLDKLSKDAFVVYQGHHGDHGVYHANVILPAAAFTEKEGTYENTEGCAQQTLPAVPTVGDARDDWKIIRALSEVAGVRLPYDTIGAVRSRIRNVAPNLLSTDEREPATFGPSLKPECTQSISSSPFKAAIDNFYMTDSITRASKIMAQCSALLLKK >Manes.13G076346.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19700173:19714483:-1 gene:Manes.13G076346.v8.1 transcript:Manes.13G076346.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTTFSPLYSHLHVYTPKFPNHNLPISSKPPVHILHQLRFHRVKLHISSKLPLSPFPRIPNSNLSKGNGFLAKFLCEKLLISFVGAFVFMGCFGYNTKPSLAIPCQTSESSANIEEKKDTQVGKSEDEMFEEVLKKEPKNVEALKVVVYGMIRRGKTKEALKYVGRLIDIDPEEVEWRLLEALCYEMLGQLSKAKSLFKEILEERPLLIRALHGLAMVMHKNFEGPTVFEMLNKALEVARREKRVTEERNVRILIAQMHLVKGQLDEALKKFQDLVNENPRDFRPYLCQGIIYSLLDRKNEAAERFETYKSLVPEEFPQRGFLDDVVLAAKTESQEQFQEEFETEFSYRK >Manes.01G175800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35663916:35664852:-1 gene:Manes.01G175800.v8.1 transcript:Manes.01G175800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEGHQVGIVMGYPCQPYFQSYDQKQPPSFAATAAAAAASNSGWTIRNNSARPPPDSYRMTTTQNHAELDCSNVTTDKKNLMMEALLVLFAGILALGFIFWRASLMITDFRPPKFEVDAVTVYPFNISSSSHITASWNISLFIENPKSISFYYEDMKASVLLKNESICSTMVGNLFIDGRYEKGMMVKANLAASSVSMNQTVAEAAIAEMTEEQAVSFSFMLNVIGRMDPGNSDEKRKRMVASCEDLKVGFNTNSQVGKLMGSPIACNLRIYKYNME >Manes.03G068566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9509071:9509253:-1 gene:Manes.03G068566.v8.1 transcript:Manes.03G068566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYHLPPPHAADGKRKGHRKNRLRRKCLLMVKQQKTRFYICGRCISMLLCWHDHAIRD >Manes.02G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1887097:1890472:-1 gene:Manes.02G021200.v8.1 transcript:Manes.02G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFYVEFNGPKDSPYQGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRSAYEQRVKEYCEKYAKPEDVGAKQEEKSSDEELSDDEYGSEDEQVAGKADP >Manes.05G067200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5579919:5583028:1 gene:Manes.05G067200.v8.1 transcript:Manes.05G067200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAEQIELKFRIYDGTDIGHSTYASSMTVGTLKQRLVTEWPQDKTVLPKSVNDLKLIHAGKILENNKTLADSRITYGDLPIGVITMHVLVQPPAAKKKMDKNPEEMKKQNSCSCVIL >Manes.05G067200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5579919:5583028:1 gene:Manes.05G067200.v8.1 transcript:Manes.05G067200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAEQIELKFRIYDGTDIGHSTYASSMTVGTLKQRLVTEWPQDKTVLPKSVNDLKLIHAGKILENNKTLADSRITYGDLPIGVITMHVLVQPPAAKKKMDKNPEEMKKQNSCSCVIL >Manes.05G067200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5579918:5583029:1 gene:Manes.05G067200.v8.1 transcript:Manes.05G067200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAEQIELKFRIYDGTDIGHSTYASSMTVGTLKQRLVTEWPQDKTVLPKSVNDLKLIHAGKILENNKTLADSRITYGDLPIGVITMHVLVQPPAAKKKMDKNPEEMKKQNSCSCVIL >Manes.05G123494.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:21190442:21191455:-1 gene:Manes.05G123494.v8.1 transcript:Manes.05G123494.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSILCESHPIFHVLVNLLKSCVVCLYEFLDQEEIRSFIDCRHIFHRSCFNDGLTMTRKIYPFCLMRVIPEDMQEAFNERLWATYGII >Manes.07G074100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21993690:21995823:-1 gene:Manes.07G074100.v8.1 transcript:Manes.07G074100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAPKPILVFLLLLYIISVGAAMSGNDPRRKLEEEHGMRFDYSFNSGFIIGYIFSLVSVVTIFISYCFPWSDFKKINEKKTPLSKTLLMAKPRRICYQEVNKQSLISDLEKLITRMSFTSLKKATGSFDKHNFIGMGKKGKLYKAKLPYNCLTAVKRIHNSQHLVDQFFSELMILGKFKHMNIVPVLGFCIESHEKLIVYKYMPNGNLYNWLHPMNHESKTLDFHSRINIAIGIARGLAWLHHNNFIIVHSNLCSSCILLDKNLEPKISNLEGSISFSNIDDIRSTEKHLIQSDIYKFGVLLLEIILGQDFYMPKETFKERILHSSTSISTLYNAVDKSLISGRGDNAKIFSILGIACSCIDQVPDQRPTMLQIYKRLLAVKKINNCMEDSKASIQIDISTTDFIDDIDFEITEVEIR >Manes.01G269250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42290081:42292017:-1 gene:Manes.01G269250.v8.1 transcript:Manes.01G269250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLQSSESWLDHRRLLSSSTEIHTSVRFLILVLLTLVQVKYQDKQDSPFETHEAIMVLFIVAAFIYTVSFLSSLILIQNHHDYTFYVLPILKQISVVSGTLACDSLILILCPPFGYLVLALCALLALRILIGSYHQIVHCSKRLRVAFPDTPSAIQQYYTCLVAFNTPFASSVSFSSV >Manes.05G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24985316:24986449:1 gene:Manes.05G147700.v8.1 transcript:Manes.05G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLLIASLLISLLLLHFADADHHHHPPVNSNLAATYPPKKIDCGSACTARCQLSSRPHLCKRACGTCCSRCNCVPPGTAGNYEVCPCYASLTTRGGRRKCP >Manes.04G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2406790:2410383:1 gene:Manes.04G019600.v8.1 transcript:Manes.04G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHCAQRFNLFESKPIDMKTVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFFKKYFSQNIKCSLFLLLIGVGIASVTDLQLNLVGTILSMLAIVTTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQFLTKKNVFAYKYSSIVLAFIILSCLISVAVNFSTFMVIGKTSPVTYQVLGHLKTSLVLGFGYTLLHDPFTLRNIIGIIIAIFGMGLYSYFCTQENKKKQSLDLSLGPQMKEKDNTPLIAMQDKESHETKKTAKDSLV >Manes.S011772.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:86612:86986:1 gene:Manes.S011772.v8.1 transcript:Manes.S011772.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.11G076700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11310496:11313926:1 gene:Manes.11G076700.v8.1 transcript:Manes.11G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNEVERKREQKAEFDASEIEYVSYGGEHHLPLIMGLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTTFRGYIAMLVVIKPYRGKGIATELVTRSIKVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPQPELHPSLPMAACIEDSDGHNDRLLVEGCSEPRIGL >Manes.15G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10509448:10514231:1 gene:Manes.15G131400.v8.1 transcript:Manes.15G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHRNTNKITLILVYAMLEWVLIVLLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHFFEPAKFQNSYRSLVCEDHAVEISKLGYCSNHRKLAEWQDMCEDCSSSPQAEFSNKFAFFPWMEKLRVFQDCGGDKVSENVEVISKCACCGVSLETKLSCADDYLMKPSWKDSEDTQKGNFILEAEAYDKIDEEDHSNRKRSGFVCDRCGGEQGIDKNRGVEDRNCEEKTEETFSCFVSSFDCKEMVADVSDKDQILIEKEQVSVQKDDLNVPAENPSCDQATMVQVGFREDISNEIQPQHLEFYIDRDACHLIPIELMDSNPVEKQMSDRNEKREEEIFGNEDFVLEFDKHVGTQYELLIEDRIDLHLKVPLLPIQESEEETMVAELESREETVVAELESREETAAAELESREFIENENSSGAQADCELLKQDFEQVAISPPSQTPASNGDDVQEESETPEEEIESESEEAPPMQSDEIEADISIGTEIPDHEPIEDFQSEEVPASCLSIAEDPSTTDANFHAYNEHGSKQGEGEAVEFRTITVETSEPLINSHLSLCSERNDIEEDKIPDTPTSVDSLHHLHKKLLFLERRESNTEESLDGSVISDIETGDGVLTVEKLKSALRAERKALSAVYAELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNEFMVKKEKERAELEKELEIYRKKVQDYEAKEKLMMLKRRKESSTRSGTSSASSSNAEDSDGLSVDLNHEGKEEDGFDNHNKTSDQNTPVDAVLYLEESLANFEEERLSILDQLKVLEEKLFTLSDEDEQLFEDIKPIEHLYKENGNGYNENLDHSSEANGVANGNHKEMNGKYHHQERKAFSAKAKRLLPLFDATDEETENGMQNGHAEEVDSVALEKSINKFEVESKKLAIEEEVDHVYERLQALEADREFLKHSITSLKKGDRGIELLQEILHHLRDLRSVELRARNMEDSSLQ >Manes.14G166500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26706718:26707756:-1 gene:Manes.14G166500.v8.1 transcript:Manes.14G166500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMATTLACVVVMCMVVGAPMAAQATITCGEVSSKLTPCISYLTGRGPLVPGCCAGVKALNAEAKTTPDRQQACKCLKSNAAQIPGINYSLAGGLAGKCGVSIPFQVSPNVDCSKVK >Manes.13G079525.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:13654630:13655939:1 gene:Manes.13G079525.v8.1 transcript:Manes.13G079525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKRVYEELNVLMPFSTDVKTQQAQREQMAVMSFLASLPPKFETAKSHIFSDSEISSLHDVFTKVLRTESPIPSHPTSALVSRNDSARQNNRGGQRGGFKGGKRSQRSGETGSTSDSGGIICYYCREPGHTKKTCQKLQNKN >Manes.17G099900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30675943:30679295:1 gene:Manes.17G099900.v8.1 transcript:Manes.17G099900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSFSRVMSLTSRAQIVNRLINLFVHHFLRLLWHFLHLLVSLWYLQVCLVETTESYLISTRLLKDYKALDISKVQYLAIVIDSEDARQISKVLQLLKWLAVVGVKHLCLYDSIGVLKTYKQIIIEKLNNAVLFEELVEKGLPMDSEKMSLEFASISDGKEAIARAANLLFMKHLKLSKSGVEQKELVFTEPEMDLALKALGYRGPEPDLMLVYGPVRCHLGFSPWRLRYTEIAHMGPLKSMKYGSLIKAIYKFTTVRQNYGS >Manes.01G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31560367:31562168:-1 gene:Manes.01G119300.v8.1 transcript:Manes.01G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDTCIYPCTFRFFKITIYCSLQRSCLKMAWATCDLLLISIFFFSLSFLPIASPSSTNSFVFGGCTQQKYSPDSPYESNINSLLTSLVNSASFSSYNNYTIAGSSPQDVLYGLFQCRGDLSMPDCSACVARAVSQLGSLCSQTCGGAVQLQDCYVKYDNTTFLGVEDKTVVFKKCGPPVGYDTNPMSDREAVMTSLARAGGLYRVGGSGEVQGFAQCIGDLSLGECQDCLSEAIGRLKNDCSNAVYGDLFLAKCYARYSTGGAHVYINTHDDKSMNESEKTFAIIVGLLAGVALIIIFVNFIRNVSERDGK >Manes.05G156600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26899622:26900437:-1 gene:Manes.05G156600.v8.1 transcript:Manes.05G156600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFEFDIVKAEKADAMRRYKRDRISIFSFRLAGAFTLVCCSFTWLPLVLEMASAYLSVLNHQFSVFLIINAFVFVIYHLSAAATAGNNDSVSQPDLYDQYVSFSSSCRRRRTVNEDKQLIVFSLAAVEVQPDENTFQNKQIVHSENADCAPAEKNPVSELAVTETCKADSEEKKSFRRTRSEKYSVENMKMSHRRGLRRSGTENGREMVVAGGRTLTRKSMQEMNSEEFRLTIESFIASKRKILRDENFAVLMEEKDSSCNYQNHLYVIN >Manes.05G113447.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21681684:21686104:1 gene:Manes.05G113447.v8.1 transcript:Manes.05G113447.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGNVRDGADAGALFLVLAHRIVPASRIPNAGGLTNQATTLAPSLLAPPSSPPSFNNSGSALPSTAQSPSCFLSLSANSLGCQSTVFGPYAHETQLVSPPVFSTFTTEPSTAPLTPPLELANLTTPSSPDVPFAQFLSSSADPKRTEKSNYIAATDLQATYSLYPGSPASSLISPISRTSGNCLSSSFPERDFHPQWDPSISPQNGKYSRSDANFFCPATFARFYLDHNPPFPHTDVYPAAGNGHQDRHNRSPMQDAEELEAYRASFGFSADEITTTQQYVEISDVMDHSFTMTPFTSNKPTMEESVEATSRSEGQKAQTSQMNLPTLKLESDTKYEDDDIFSKMKWSKNSRRYDLGSSCSDAEIDYRRGRSLREGKGDLAWQ >Manes.09G026933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5968128:5968896:1 gene:Manes.09G026933.v8.1 transcript:Manes.09G026933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNSTRNSYHKKGSGNPYSLLGSQMARLKSLNAIFCYSLKAKAAATATVKNSPAMDAALKHDMSSLDISSPSISHSIGNSSLKYPNSPTDPIHAPASLADRTVLPILNAEDSGLDSEEATKQPAKVILSILSGIFEPLDLVVACCVLWH >Manes.07G068080.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:16482481:16483793:-1 gene:Manes.07G068080.v8.1 transcript:Manes.07G068080.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAWNPRKGVELRIIHQNLFSFQLHLLTNKQRVLDTPTLAFRQARPCVERLERVCFHHLIKFWHPLQSRWVLNVILLCHYQVLSTMVLHLFTKS >Manes.S004905.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:33989:34363:1 gene:Manes.S004905.v8.1 transcript:Manes.S004905.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.16G126800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32793093:32798319:-1 gene:Manes.16G126800.v8.1 transcript:Manes.16G126800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTYPHPCPGLRLGHGLGLGLRLRRRLGPPPICDLLERGQATTTSSTVVKKQVNYIIKGTQCKNPIICSFRSSSWPKESTNKHYVFRKIRKLLRKNAVPAISVQDNESLSSTSSNWTSIIKDHLNSLYQFSRPHTVIGTIIGITSVSLLPVEAFVDLSPRFFLGVLQALVPSVLMNIYVVGLNQLFDVEIDKVNKPYLPLASGKFSMATGIIIVSASLLLSLAMGIMSESPPLLAALLISCALGSVYSIELPFLRWKKHAFLAASCILIVRAMVVQLAFFVHIQKFVLGKPIFIPRSLVFATAFMCFFSAVIALFKDIPDVEGDRDYGIQSFSVSLGQEKVFWLCVTLLFFAYGAAAGVGTFSPSLLPIKLVTVSITRLIVMLDLDPFMVEIMVVE >Manes.16G126800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32793093:32798319:-1 gene:Manes.16G126800.v8.1 transcript:Manes.16G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTYPHPCPGLRLGHGLGLGLRLRRRLGPPPICDLLERGQATTTSSTVVKKQVNYIIKGTQCKNPIICSFRSSSWPKESTNKHYVFRKIRKLLRKNAVPAISVQDNESLSSTSSNWTSIIKDHLNSLYQFSRPHTVIGTIIGITSVSLLPVEAFVDLSPRFFLGVLQALVPSVLMNIYVVGLNQLFDVEIDKVNKPYLPLASGKFSMATGIIIVSASLLLSLAMGIMSESPPLLAALLISCALGSVYSIELPFLRWKKHAFLAASCILIVRAMVVQLAFFVHIQKFVLGKPIFIPRSLVFATAFMCFFSAVIALFKDIPDVEGDRDYGIQSFSVSLGQEKVFWLCVTLLFFAYGAAAGVGTFSPSLLPIKLVTIVGHSIIAGNLLIQALSVDLTSKISITSFYMFIWKLFYAEYFLIPFVR >Manes.18G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3956864:3957340:1 gene:Manes.18G044600.v8.1 transcript:Manes.18G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSKMARERNMEKQKGAKGSQLESNKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKSDVYACFPHLKK >Manes.11G089800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16757980:16758938:1 gene:Manes.11G089800.v8.1 transcript:Manes.11G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYYYGRRSNSIFDVFTLNPLPYPVLLILAVISIFLGISWYFSYEEIAETAEMQINWLLLATPLVLLFLVRWLSSMENSDMLFAKSPWEWKRRTHHRPPEGSSPWGVAALIVLLLVLVQFQSAFLDSWF >Manes.18G054615.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4652361:4659256:-1 gene:Manes.18G054615.v8.1 transcript:Manes.18G054615.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEFSFKSKSSGRKGLPKILTQKNRNDVCHDDSGPTVKAQTIDELHSLQKKKSAPTTPIHPGTPFSPKTEEERQKQQLQSISASLASLTRETGPKLVKGDPARKTEGQKLTHVAHPYEFTPSFSLSDSALKFTHVLYNLSPAELYEQAIKYEKGSFITSAGALATLSGAKTGRSPRDKRVVKDKTTENELWWGKGSPNIEMDEHTFLVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGCNMGKHGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCVDLSKDKEPDIWNAIKFGAVLENVVFDEHTREVDYTDKSVTENTRAAYPIEFIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQRHGATGWLVNTGWSGGSYGVGSRIKLAYTRKIIDAIHSGSLLKANYKKTEVFGLEIPTEIEGVPSEVLDPVNTWSDKKAYKDALLKLAGLFRTNFEVFANYKIGKDNKLTEEILAAGPIF >Manes.14G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2669861:2670790:-1 gene:Manes.14G029300.v8.1 transcript:Manes.14G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDRCAACKYLRRRCPSDCIFSPYFPSNDPQRFACVHKIYGASNVGKMLQQLPTHQRVQAANSLFYEAQCRIQDPVYGCVGIISLLHQQIHIAQNQLAKTQAEIAVLSTSHAPDSQFQPNLAATRPL >Manes.16G021800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2420620:2426801:-1 gene:Manes.16G021800.v8.1 transcript:Manes.16G021800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKANVSKELNAKHRKILEGLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQIAFIQSMGNEKSNCYWEAELPPNYNRVGIENFIRAKYEEKKWIPRDSKAKSPPQLSGEKVSIFRAGPENTVHKQMKNNNHAAEERKITPPNTNDKRPASKSCTPAPASVNAPQQVALDIKPQPGQNSEQATVTKAQLVKEEEKKTPVSTPAKVDYATELFNLLCMDDSGGNCSKPSTDGFKSVKEESTSRRSDLSNISENKQQFASSIEQPQKYANNDIMNLFQSGVVSPLPAHQQQLSTLSQQNQALMAAAVQSGGLSHTFPVNVHKFSANGIHLSTQNWGSTGHQVPGMMMPLPSNNLPKYIQIGSNQQMYTAGNSVNIPVSSMYSSGPVAPINGATNIRSTMAAPAFQVPAMPTQPQGYYDFSSLTQGMFRKR >Manes.16G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2420620:2424223:-1 gene:Manes.16G021800.v8.1 transcript:Manes.16G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSGIHRSLGVHISKVRSATLDTWLPEQIAFIQSMGNEKSNCYWEAELPPNYNRVGIENFIRAKYEEKKWIPRDSKAKSPPQLSGEKVSIFRAGPENTVHKQMKNNNHAAEERKITPPNTNDKRPASKSCTPAPASVNAPQQVALDIKPQPGQNSEQATVTKAQLVKEEEKKTPVSTPAKVDYATELFNLLCMDDSGGNCSKPSTDGFKSVKEESTSRRSDLSNISENKQQFASSIEQPQKYANNDIMNLFQSGVVSPLPAHQQQLSTLSQQNQALMAAAVQSGGLSHTFPVNVHKFSANGIHLSTQNWGSTGHQVPGMMMPLPSNNLPKYIQIGSNQQMYTAGNSVNIPVSSMYSSGPVAPINGATNIRSTMAAPAFQVPAMPTQPQGYYDFSSLTQGMFRKR >Manes.16G018600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1884513:1888437:-1 gene:Manes.16G018600.v8.1 transcript:Manes.16G018600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTIRLYFALLVLHRLTLSTSTDTITINQTLREGDLLVSKQNKFALGFFSPGNSSYRYLGIWFYKISLQTHTVVWVANRDNPINGSAGFLSINQYGNLVLYGNRDEKFPIWSTNVSVDLANTCVAQLFDSGNFVLFQGSRRIIVWQSFDHPTNTLLPGMRLGLDKRTGLNRFLTSWKSTDDPGIGHYSLKLNPVGSPTFFLYDGSKPYCRGMPWPCRTPDIYNYSYVNSEEEISFSYSHDDGSVLFQLMVHESGTLMWVSRRESDANWKEFWSASKYRCDSYGRCGANSVCDPKHVNVFECSCLPGYEPKFPRNWFPMRDGSGGCVRKRLKSSSVCGQGEGFVKVPQVKVPDTSTAVWVNMSMSRVDCEQECYKDCSCSAYASIKIPGKDVACLAWYGDLMDIVDLMDHSGYDVYVRVDAIELAEIERSSGFLEMKGMLAFLVVSVSSAWFVIILFVYLWLRKRKKRVKNQSNRRLFDSINGSHYHKDTFDADDIQTSRSRTDLAFFNLSTIFAATENFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLIAKLQHRNLVKLLGCCIQEEERILIYEYLSNGSLDLFLFDTTRSSFLNWSKRYEIIVGIAREILYIHQDSRWRIIHRDLKSSNILLDAEMKPKISDFGTARILEDDQIQHKTQRVVGTYGYMSPEYAVLGKFSVKSDVFSFGVILLEIISGKKSNGFHQEDPSLTLIGHVWELWQEDKAMEIVDSTLKESYNSHEVLKCIHIALLCVQEDAVDRPTISAIIVMLDSEISLPCPKQPAFIFRTSCNSSLIWEGPSSVNEMSITETVAR >Manes.05G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2065321:2066025:-1 gene:Manes.05G023100.v8.1 transcript:Manes.05G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGFLLRSLLGGLFVAWNCGDEVRRVIYFMIQLFCLSNYASLLIFLSMPFRKTICQPGALQLQERINVLQLLSKTEFEETMNEII >Manes.05G023100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2065672:2066025:-1 gene:Manes.05G023100.v8.1 transcript:Manes.05G023100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGFLLRSLLGGLFVAWNCGDEVRRVIYFMIQLFCLSNYASLLIFLSMPFRKTICQPGALQLQERINVLREYIDFLALPSSNHSYLFAGLIMSIINMTIMDLLHAFGNLDWWCYLS >Manes.03G047700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4337168:4340698:-1 gene:Manes.03G047700.v8.1 transcript:Manes.03G047700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASHIEHMSSISGLLHELWPLDEIDPNKAKFPCCLVWAPLPIVSWLAPFIGHVGICREDGTILDFAGSGFLNVDGFAFGPVARRLQLDRNQLLIQCCFPPNLAGHTCKHGYTHTKYGTAVTWDDALHSSMRHFEHKTYNLFTCNSHSFVANCLNRLCYHESIEWNMITVAALILFRGRWIDWKSVIRSFFPFTVVICLGIVLVGWPFLIGLFSFSVLLMGWFLMSTYCLKNLLEF >Manes.03G047700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4337048:4340739:-1 gene:Manes.03G047700.v8.1 transcript:Manes.03G047700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASHIEHMSSISGLLHELWPLDEIDPNKAKFPCCLVWAPLPIVSWLAPFIGHVGICREDGTILDFAGSGFLNVDGFAFGPVARRLQLDRNQCCFPPNLAGHTCKHGYTHTKYGTAVTWDDALHSSMRHFEHKTYNLFTCNSHSFVANCLNRLCYHESIEWNMITVAALILFRGRWIDWKSVIRSFFPFTVVICLGIVLVGWPFLIGLFSFSVLLMGWFLMSTYCLKNLLEF >Manes.03G047700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4337168:4340718:-1 gene:Manes.03G047700.v8.1 transcript:Manes.03G047700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASHIEHMSSISGLLHELWPLDEIDPNKAKFPCCLVWAPLPIVSWLAPFIGHVGICREDGTILDFAGSGFLNVDGFAFGPVARRLQLDRNQCCFPPNLAGHTCKHGYTHTKYGTAVTWDDALHSSMRHFEHKTYNLFTCNSHSFVANCLNRLCYHESIEWNMITVAALILFRGRWIDWKSVIRSFFPFTVVICLGIVLVGWPFLIGLFSFSVLLMGWFLMSTYCLKNLLEF >Manes.03G047700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4337048:4340739:-1 gene:Manes.03G047700.v8.1 transcript:Manes.03G047700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASHIEHMSSISGLLHELWPLDEIDPNKAKFPCCLVWAPLPIVSWLAPFIGHVGICREDGTILDFAGSGFLNVDGFAFGPVARRLQLDRNQCCFPPNLAGHTCKHGYTHTKYGTAVTWDDALHSSMRHFEHKTYNLFTCNSHSFVANCLNRLCYHESIEWNMITVAALILFRGRWIDWKSVIRSFFPFTVVICLGIVLVGWPFLIGLFSFSVLLMGWFLMSTYCLKNLLEF >Manes.14G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2018654:2021733:1 gene:Manes.14G020200.v8.1 transcript:Manes.14G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQPLSIESFSYSWLVNLKPSLESLDNSLRASLDASDEASFIEMDPRMPPSKRFSRNSQDFKFDFPISQPPLTVVHADELFSNGYVLPLFVDPLKIDTYEVSDSTSAIPASSHAPKVVVSARKTRCPSLKRCRTLSKQIFQKYFEFLRPLYRRIRGHRSSSRAESMDTKVKVVKSWLYSAEASPRISVAYSVDDWRRRSCDSESSIYEAVLHCKRSIEE >Manes.10G141601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30926167:30929614:1 gene:Manes.10G141601.v8.1 transcript:Manes.10G141601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLASIASEEVSLVYGFKKDLRKLQTTLSTIKAILVDAEEKQEESLAVKNWVRRLREVVYDADDLFDDFATEGLRRKVEGEGRMVRKVCDFFSSSNQIAFRFKMGHRIKDIRERLDEVAKEMSDFGFIIRKEVGVSMGMKNSWRETDSFVLKSEIIGRDDDKERIIESLMCPVNQTNISVVAIIGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVETLVKLILKSASNNEVPNLSLEQLQIRLRQCLEGKKYLLVLDDVWNVNNRIWSHLRKYLMVGAIGSRILVTSRSKGVALAMGVDSPYPLQGLTEDQSWELFEKLAFTEETGRVNSNLIEIGKEMAKKCRGVPLAIKAIGGIMQLRSSESEWLSVLENELWKVFESDGDISQVLKLSYDVLPYHLKQCFAYCAMFPKDYHFGKDRLIQLWMAQGYVQSQGQSENENAEEIGEGYFNELLFRSFFQKDEYCYKMHDLIHDLAQSIAGDSCFAVGDNTKHIPDRVQHVFSGNLSFEECFRQLKNKGLRTLYYPYFGDGLSLNLDNIFLNCRSIRALRFESDINGLPDSIGKLKHLRYLELFRNTKISSLPDCICSLYNLQTLILWECRVLKELPTDMRKLICLGQLINKGCSSLEFMPLGLGRLTNLQTLSTFVVGSDQGRRCSSLNELNSLNRLRGEICIKGLENVKNAALESSRVNLKEKKYLLCLRLRWVGKGDSNSGNSELLLDNLHPHPNLKELNVVCYKGVRFSNWLSSITNLVNITLYKCPKCEHLPPLDNLPYLEILNLSYFDSLEYISDEDNLFSSLSASTITFFPSLKILKLEVCPNLKGWWRTCMEAKMVPQFPCLSKLTIANCRNLTVMPTFPSLDMELHLAYAHIRPLHYTLQMSATASGVPSTSSLVTSPFSKLKTLWLQGFENLASLPGEWMQNLSFLEELFLSNCMEISDEDERGIFKWRYLVSLRDLSLYNLSNLVSLPRELQYVTTLQRLTIYDCSNLRALPDWIGNLTALENLHIDGCPKLESLPRGMHQITTLQQFSVRRCAHLSERCGHDTAADWPNISHIPNIRINGNDIQKEGRYLL >Manes.18G010907.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1302727:1304694:-1 gene:Manes.18G010907.v8.1 transcript:Manes.18G010907.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGLLRNGARCEVVDVSESIKIQRDWGKAITSHDKKKRKEKKERKEDKKGNGEALYTEGTCHHLEKIQHSNGKEDEIERSSLTEEHDQPVCTQSISYSSDSTWSSNKRKRDDSAYNGTKSRNLRLRIRLPLQKHKEADASVNGDQLCSTSGRSDSLIQQKGTIQMPVEKQCSAINPNNCRTDLKGQEKSTGHFVKTASGMLSHRKGKGVHTAESLYKFLIEDWVPPSLEFDQTNCDDEDWLFRTKKQVHASKSLRSQRDGPCLESSALWPSALYLPQVDVYALPYTVPF >Manes.14G117300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11225393:11227157:-1 gene:Manes.14G117300.v8.1 transcript:Manes.14G117300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGWYVKIAVGSALIGASMELFMFKTGFYDKVTVLESEKRAWESSPEAQAIREALNPWRNHDAEARKNS >Manes.14G117300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11225393:11227157:-1 gene:Manes.14G117300.v8.1 transcript:Manes.14G117300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGWYVKIAVGSALIGASMELFMFKTGFYDKVTVLESEKRAWESSPEAQAIREALNPWRNHDAEARKNS >Manes.05G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2543441:2545819:-1 gene:Manes.05G030000.v8.1 transcript:Manes.05G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVLKLDLHDDKAKQKALKTVSTLSGIDSIAMDMKEKKLTVIGTVDPVTVVSKLRKHWQTDIISVGPAKEPEKKEEPKKEEPKKEEEAKKEEPKKEEEAKKEEPKKEEEKKEEPKKEEGKKEEEKKKDPAPAPAPVPPPDPVLELVKAYRAYNPQMTKYYYVQSIEENPNACVIC >Manes.12G100296.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25117875:25122819:-1 gene:Manes.12G100296.v8.1 transcript:Manes.12G100296.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYTEEAKNENNCNVEDKLPVEIYCSDDSSCNRFDWNICLDSVHFVDVELACAEAANVFFIFKKINLDIFILFSCVFLILRHVADGLSWFLIIELFISSENEDLRIQQRCPVCKAELSKETLILIFEGDQTIKTSKHKVPILGIIIPIRPFGLASGFRSLRSSFRSSTPSPTQQIYDHGYLHNLSQIYYSDQPKTTTTNMYDPVIGMFGEMIYERVFGNSITIIYSYLGSYKIAGSTGPGMRRHSLEVDKSLTRICLFLFCCGFVFFLSF >Manes.03G212400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32871541:32878774:1 gene:Manes.03G212400.v8.1 transcript:Manes.03G212400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEGAVNSSVPKISNLPDKSNEKVTNTVPHYKLFSFADSLDIMLMFIGTIAAFGNGICMPLMTILLGELIDSIGKAASMTVVAHNVSEVSLKFVYLAVGSGLASFFQVACWMITGERQAARIRSLYLKTILRQDIAFFDKETSTGEVVGRMSGDIVLIQNAMGEKVGNLIQLLASFLGGFIIAFTKGWLLTLVMLALIPPIVISGAIMNKVVSKLASRGLTSYSLAANIVEQTIGSIRTVASFTGEKQAIDKYNKSLIRAYESGMQEGLAAGLGFGTLMFILFCSYGFAVWLGGKMILDKGYTGGEVINVIFALLTGSLSLGQTSPCMSAFASGRAAAVKMFEAINRKPEIDVFDTKRLKLKHIHGDIELRDVYFSYPTRSHEQIFSGFSLSVPSGTTTALVGESGSGKSTVISLIERFYDPQAGEVRIDGVNLKEFQLKWIRENIGLVSQEPVLFTSSIRDNIAYGKEAASIEEIRAAAQLANAANFINKLPKGLDTLVGEHGIQLSGGQKQRIVIARAVLKDPRILLLDEATSSLDAESERTVQEALDRVMINRTTVMVAHRLTTVRNADVIAVMQKGNIVQIGSHSDLLKDPDGAYAQLIQLQEFGEEPEQQVINYPDSSYHSIRVPLSASPRVSISQNVTAKPLGTSTSEKSKLPPQGPLRRLAYLNSPEIPVLLLGAIAAVANGIILPIFGLLLANIIKTYYEKEDQLQKESRFWGFMFVLLGLVSLLAMPLSTYFFSIAGCRLIKRIRSMCFEKVVNMEIAWFDEPEHSSGAIGARLSVDAAKMRGLVGDTFCLLIQNSATGIAGLVIAFLANWQIALVILSLLPLMGLSGYVQLKSMEGFNANTKKMYEEASQVASDAVSSIRTVAAYCAEEKVLQLYQRKCDGPLKAGIRRGLISGIGFGLSFFFVFLVYAVSFYVGAYLVDHGKTTFTDVFRVFFALSMAALGISQSNSLAPDASQARSSAASVFAILDQKSQIDPSDPSGMKIKKLMGIIEFRNVSFRYPTRPDIQIFQDLSITIHSGKVVALVGESGSGKSTLISLLQRFYNPDSGQITLDGIEIQKLNLKWLRRKMGVVSQEPVLFNDSIRANIAYGKEGNATEAEIMAAAELANAHSFISGLEQGYDTTVGERGVQLSGGQKQRVAIARAIVKAPKILLLDEATSALDAESERVVQDALERVMVGRTTLVIAHRLSTIKGADMIAVMKNGVIVEKGKHDTLMNMKNGIYASLMDPKPNAAQGNSDM >Manes.03G212400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32871541:32878774:1 gene:Manes.03G212400.v8.1 transcript:Manes.03G212400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEGAVNSSVPKISNLPDKSNEKVTNTVPHYKLFSFADSLDIMLMFIGTIAAFGNGICMPLMTILLGELIDSIGKAASMTVVAHNVSEVSLKFVYLAVGSGLASFFQVACWMITGERQAARIRSLYLKTILRQDIAFFDKETSTGEVVGRMSGDIVLIQNAMGEKVGNLIQLLASFLGGFIIAFTKGWLLTLVMLALIPPIVISGAIMNKVVSKLASRGLTSYSLAANIVEQTIGSIRTVASFTGEKQAIDKYNKSLIRAYESGMQEGLAAGLGFGTLMFILFCSYGFAVWLGGKMILDKGYTGGEVINVIFALLTGSLSLGQTSPCMSAFASGRAAAVKMFEAINRKPEIDVFDTKRLKLKHIHGDIELRDVYFSYPTRSHEQIFSGFSLSVPSGTTTALVGESGSGKSTVISLIERFYDPQAGEVRIDGVNLKEFQLKWIRENIGLVSQEPVLFTSSIRDNIAYGKEAASIEEIRAAAQLANAANFINKLPKGLDTLVGEHGIQLSGGQKQRIVIARAVLKDPRILLLDEATSSLDAESERTVQEALDRVMINRTTVMVAHRLTTVRNADVIAVMQKGNIVQIGSHSDLLKDPDGAYAQLIQLQEFGEEPEQQVINYPDSSYHSIRVPLSASPRVSISQNVTAKPLGTSTSEKSKLPPQGPLRRLAYLNSPEIPVLLLGAIAAVANGIILPIFGLLLANIIKTYYEKEDQLQKESRFWGFMFVLLGLVSLLAMPLSTYFFSIAGCRLIKRIRSMCFEKVVNMEIAWFDEPEHSSGAIGARLSVDAAKMRGLVGDTFCLLIQNSATGIAGLVIAFLANWQIALVILSLLPLMGLSGYVQLKSMEGFNANTKVFFALSMAALGISQSNSLAPDASQARSSAASVFAILDQKSQIDPSDPSGMKIKKLMGIIEFRNVSFRYPTRPDIQIFQDLSITIHSGKVVALVGESGSGKSTLISLLQRFYNPDSGQITLDGIEIQKLNLKWLRRKMGVVSQEPVLFNDSIRANIAYGKEGNATEAEIMAAAELANAHSFISGLEQGYDTTVGERGVQLSGGQKQRVAIARAIVKAPKILLLDEATSALDAESERVVQDALERVMVGRTTLVIAHRLSTIKGADMIAVMKNGVIVEKGKHDTLMNMKNGIYASLMDPKPNAAQGNSDM >Manes.03G176400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29940560:29941672:1 gene:Manes.03G176400.v8.1 transcript:Manes.03G176400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRINSTGLVVLLVAMLWWARATAQSGCTNVIIGLAPCLNYVSGSSSTPSSSCCSQLASVVQSQPKCLCSVLNGGGSSFGVTINKTLALALPAACNVKTPPVSKCNEVGSPEGSPADSSVTGGSKTVPSTATSSANGLKMDMTIQLIVFATFMASCVSAFGSF >Manes.03G176400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29940560:29941672:1 gene:Manes.03G176400.v8.1 transcript:Manes.03G176400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRINSTGLVVLLVAMLWWARATAQSGCTNVIIGLAPCLNYVSGSSSTPSSSCCSQLASVVQSQPKCLCSVLNGGGSSFGVTINKTLALALPAACNVKTPPVSKCNEAANGPSISDSPVGSPEGSPADSSVTGGSKTVPSTATSSANGLKMDMTIQLIVFATFMASCVSAFGSF >Manes.S052416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1801178:1801345:-1 gene:Manes.S052416.v8.1 transcript:Manes.S052416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.03G114216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23998787:24000949:-1 gene:Manes.03G114216.v8.1 transcript:Manes.03G114216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFNSSETVASCKATTVSQPSSTTQPSQPSSTTQPSTPINPSHSLPTNTNPTVSVPPISNLGKKRKLTSIVWDHFEKVHHSGDDWAICNHCKASLKANSKNRTKSLHNHIEKCAKKGNQDIVKCLEKQKQISMDKRSDGKVHFGKFTFDQEKSRRELACAIILHEYPLSITEHVGFRKFVASLQPLFKMVSRNTIKKDVLDIYDLQFNKLYKSLEKLKIRIAITTDMWTSNQKKGYMSITAHYIDDSWVLQNWILRFVYVPTPHTKEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIVINKLFGDLLCDRAVLHMRCCAHILNLVVKDGLATIESSLSRIRDSVVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFPRLKIREKSYKDVPTYDDWEMAKRVAEKLETFHSITEIFSGRKYPTSNCFFISICQLRNSIVEWMSSDDDVIKSMSARMFENFEKYWSVVHIVLAVAVILDPRYKIKVVEYYFSMIYGDDASNEIEQVKVTCYNLLNDYQSRAFKPKSQSSSSVPPTSISENQGSLKKDFSNLVAFLNSSSTSVHVKSELDHYLEEPVLPWMQEFDILNWWKTNGIKYPTLQMIARDFLVVPVSSVASESAFSTGGRVVSIHKSRLHEDTLEALMCSQNWLW >Manes.02G198600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16605710:16609137:1 gene:Manes.02G198600.v8.1 transcript:Manes.02G198600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALATTAYFSFSIMISATTTTAAATKSTSFLRRGLHFLSTSSSPLRPSPRKCSLYPWRSSIDNVASRVSCNLLKVEDDINDEACELVNGVELSIGEGIDSFRAHLFKAVKNNNGTGILLLSDVFGFEDSATRDFAYRVACNGYNVLVPDLFRGDPWAKDQPMTTFEQWLAKQEPQRIAMDIATAAKWMADEFLAVGISKKLGVIGFCFGGGRLIEVLSRDQGARFGIGVSFYGTRMDPSVASNVKVPVLFISGDNDPLCSVNVLKDIEKMIGHGSRVVVFEGRGHGFAHRPNSPEEDRDAEEAFVLMRNWLHDGLVLKE >Manes.05G183200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30150256:30151115:1 gene:Manes.05G183200.v8.1 transcript:Manes.05G183200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAQDPVQLQYPLPETGFTAPEIEELLSLFELPIHSPNSGSEGSNSNSNRVIFSVDERKRRRMISNRESARRSRWRKKKHLENLTVQVNRLETQNRELKKRLGSVLGQCHVLWRENDRLTTEYLSLQARLQDLCHAIVVMHDFQRPKQLSSSI >Manes.16G071301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27281792:27283831:-1 gene:Manes.16G071301.v8.1 transcript:Manes.16G071301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRVLSRLSTYSPSLSFKFNVSKSSLPKISPLKSGSQSQISSSAKRIYQASRLPVELSCLMSMLPLHSTTASARLTSLLPIESPSWGLVPQGKSMPL >Manes.16G071301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27282922:27283831:-1 gene:Manes.16G071301.v8.1 transcript:Manes.16G071301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRVLSRLSTYSPSLSFKFNVSKSSLPKISPLKSGSQSQISSSAKRIYQASRLPVELSCLMSMLPLHSTTASARLTSLLPIESPSWGLVPQGDPISLSPLPSLHF >Manes.09G001100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:418922:420898:-1 gene:Manes.09G001100.v8.1 transcript:Manes.09G001100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNLAFLFRPTFTDSWISEAYARDTQTLTKAFQQSLSNNIFSTSSFSISQTLSSDSFFNLLSSSQTPPSATPSASNVSGSDAETPAPKRQRNGIGIPSATSKVSKRKSRSSKRSQTTFITADPANFRQMVQQVTGVRFSNAQLSAVPVLKPEPQRPGNRSLEAGGCLPTLDTSAFLLDHHHQQQQQMDVVSGPINGSETVAFPESVVSGPGLDFDAYCSFPTLESWN >Manes.15G062100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4719741:4721864:1 gene:Manes.15G062100.v8.1 transcript:Manes.15G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLFSNFIMEQRQFLSFPILFTSLVFIFTVLKLWRRSKTSRSPLNLPPGPRKLPIIGNMHQLVGSLPHHRLRDLAKEYGSIMHLQLGEVSNIVVSSPDAAKEVMKTHDIVFAQRPFLLAASIISYNFTNIAFSPYADYWRQLRKICILELLSAKRVQSYRSIREQEVSNLIRTISSSPGQPFNFSRKLFSLTYGISARATFGEKCKDQEEFIPLVEEIIEVAGGFSLADLFPSIKLLHVLSGMRARLVRLQRQADRIIENIINDHKARNKTGKTGSGVEEDDLVDVLLRLQEHGNLEFPLTTDNIKAVILDIFIAGSETSSTTVEWAMSELLRNPRVMEKAQEEVRRVTDKKGNVEETDIHELTYLKAIIKETLRLHPPAPLLLPREGRERCEINGYEIPAKSKVIVNAWAINRDPDHWTDAETFYPERFLDSSIDYKGNNFEFIPFGAGRRMCPGILFGIANVELPLAQLLYHFDWKLAGGLKAENLDMNEAFGATVRRKNDLHLIPIPYTPSSAA >Manes.01G078000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28108679:28109355:-1 gene:Manes.01G078000.v8.1 transcript:Manes.01G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSSSAALFFFINLLLFALANGCNTCVQPKPIPNPKPNPTGKSCPRDALKLGVCAKLLNGAVGTVVGNPPDTPCCSILQGLVDLEAAVCLCTAIKANILGININIPISLSLLINTCGKQLPSDFICG >Manes.02G067000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5104913:5105929:1 gene:Manes.02G067000.v8.1 transcript:Manes.02G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRVQRDLIGPLLAANFIVYLIVLGLAGWSLDKYINGEQDHPHLGGNPSTSFMLVFALIGSVIGATSVIVGIIHFRAWRSDSLACASSLATISWAITALAFGFACKEIILGGHRGKRLQTLEALIVISLLSQLLCLLLLHAGMFKRRYGPGFRSYEGDHGRGLAMGNEPQKSSPPGAI >Manes.S042116.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1227783:1232924:-1 gene:Manes.S042116.v8.1 transcript:Manes.S042116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRDVRPRPCPRVFQPPIAVAIAAEDSAFGPAAPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G030800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3970314:3972443:1 gene:Manes.13G030800.v8.1 transcript:Manes.13G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRSLGRANLIAFKSLDVNFGRLNSVYEEKGDEATKLKGCVKEEWEIDPHKLTVKKLIARGTFASVHRGDYDGRDVAVKVLDWGDEDQKTNAQISSLRAAFRQEVSIWQKLSHPNVSQLIGAMMGTSEIKFQTHNGRIGMAVNLCCLVTDYQPGGSLKSYLIKNRETKLPFKHFMQLALDLARGLSYLHSKNIAHRDVKTENMLLDENQTLKITDFGVARLEALNSNEMTGCTGSLGYMAPEVFENKPYNRKCDVYSFGICLWEMYCCNVLYPNISFAEITSAVVYQNLRPEIPKCCPRSVAKVMRRCWDAEPNKRPEMEEVVSMLEAIDASKGRGMTPLNQPPGCFCYRF >Manes.06G012225.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1898901:1899614:-1 gene:Manes.06G012225.v8.1 transcript:Manes.06G012225.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRNRGRGVRTARLADIGRPHRDPTVTPPPLDRMADHVLLESGEGHGDSASHGVESRTYPAAPSFPSAPAVAPPVASAAPPLVPPVAPVNPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDRARHLGAYDFEASFDADIADKWFKKVLKVFELMKLTDADKVDNVHGLLQGKADGWFDGIRRKHKVRLTWDQFIYEFRQEYLSESYRKGKHDAFFRLFQGSLSIREYADKF >Manes.06G012225.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1898114:1900771:-1 gene:Manes.06G012225.v8.1 transcript:Manes.06G012225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRNRGRGVRTARLADIGRPHRDPTVTPPPLDRMADHVLLESGEGHGDSASHGVESRTYPAAPSFPSAPAVAPPVASAAPPLVPPVAPVNPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDRARHLGAYDFEASFDADIADKWFKKVLKVFELMKLTDADKVDNVHGLLQGKADGWFDGIRRKHKVRLTWDQFIYEFRQEYLSESYRKGKHDAFFRLFQGSLSIREYADKF >Manes.06G025000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5503964:5505808:-1 gene:Manes.06G025000.v8.1 transcript:Manes.06G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVFLQNKESTNFSPSQQPFLLPQPPPQPNLDSYGFNLNNKISPSVLLIIIILAVIFFVSGLLHLLVRFLLRPPNRDADDLENVTALQGQLQQLFHLHDSGVDQSFIDTLPVFYYKAIIGLKNPFDCAVCLCEFEPEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRGSLLPEFSPNGSFSPIVLLLESGSESSREIVTDRENNIGRTSSVLTTNSHLGLQGDNELGSSRVDNLHKSCEILTKDDSVPTIVVDSGKKVVPVKLGKFKNVDNGEGSSNANVDERRCFSMGSFEYVMDDNSSLQVAIKTPTKKQSSKKTSLPLTPGLRQAMSECDCESRREFSGFEGLKSVELNGFAASISNSNGNSVDRSKRESFSISKIWLRGDKEKQKSNGDSSRRAFSFRFPVNKNVVSDGDLKIKNGNSSAMRTNSEIGTGRWENRSSGLIFDEENQICNAKTPSFARRTLLWLVGRQNKVIHSTFTPDV >Manes.18G019650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1949688:1955290:-1 gene:Manes.18G019650.v8.1 transcript:Manes.18G019650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCAAMKASTNVVDFYTSMSNGGTLFGGESISENLKCRRPNTQLWKNLRTKSNKGMKIVKPGVTNSIVTSNVNKETQTFDETAFETPRANPKNIASIILGGGAGTRLFPLTSQRAKPAVPVGGCYRLIDIPMSNCINSGIRKIFVLTQYNSFSLNRYLANTYNFGNGVNFGDGFVEVLAATQTSGEAGKKWFQGTADAVRQFVWVFEDAKNKNVEHVLILSGDHLYRTNYMEFVQKHIDSNAEITVSCVPMNDSRASDYGLMKIDNTGRIVQFAEKPKGDDLKAMQVDTSVLGLSKQDASQFPYIASMGVYVFRTDVLLKLLTSSCPSCNDFGSEIIPSAVKDHNVQAYLFNDYWEDIGTIKSFFDANLALTEQSPKFEFYDPKTPFFTCARFLPPTKVDKCRIVDAIISHGCFLRECSIQRSIVGLRARLETGVDLADTLMMGADFYQTESEIASLLAEGKVPVGVGQNTKIRNCIIDKNAKIGRDVVITNADGVEEADKPEEGFYIRSGITVILKNATVKNGTII >Manes.08G123350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36365824:36366856:1 gene:Manes.08G123350.v8.1 transcript:Manes.08G123350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREHQFQTLCNRLYNFMKNLTKQVFKTVTLGHPVHKKLSKAKSRAAYESDQMANHRAEEETPSESVEQADNTCKSDPFAPLSSPSNGRKQDGEEHPEVQDNDAKIDEEKINSNDCRGEIPGEEAAVPSLEAKPPKKAVSINDRVEEIYTSNKKMRRKKSKENLTSFEQEEDEPKPLRSILKVGSFSGEKSNSFESV >Manes.07G071208.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13893755:13894201:-1 gene:Manes.07G071208.v8.1 transcript:Manes.07G071208.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMMKRRDISQLDVISAKEGHFQKDCLNRKAWFEKKGIHYVYVCFELNLSEVSSNTWWFNSGVTTHVSNIMHRFLTIQTIKSISNFVFVENQMKAPIEGVGSYRLTLDTAYHFDLINTLYVPLISRNLIFVSRLNASGYTFNGRNGY >Manes.04G050800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5491628:5492447:-1 gene:Manes.04G050800.v8.1 transcript:Manes.04G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISASKRVKRTLANSPEFDSACHSAYTHCLSLTQHAFPGALPYQLPTASDQIYAAVSTTREPRLIIKWVPSPPTRTQIDSALRVVARQQNKKSEEELILGPAQFKEWALVLYAEAVVGNAGKAIITRVPIGAAGIVGIGAVTRSGTDLIGAAIGVYAIGVAISVYLGLSG >Manes.13G066900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8539430:8543332:1 gene:Manes.13G066900.v8.1 transcript:Manes.13G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERKKKKNQTLYRPLKPPRIARHCCALFSFFTIFKTGLAFDYADALTKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWSVIEFRDQISRADELEHALEAIKWGTDYFIKAHSSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDENNPGSDLAGETAAAMAAASIVFKRRNPHYAHLLLHHAQQLFEFGDKYRGKYDASVEAVKSYYASVSGYMDELLWGAMWLYKATDNKEYLNYVINKAHYFGGTDWAITEFSWDVKYAGLQIMASKLLMDGHKEQSHMLEQYKSKGEYYICSCLNKNNNGSNVDRTPAGLLHIRQWNNMQYVSTAAFLLTIYSGYLQSSNQKLECPNGVVDHEEIFNFAKSQVDYILGSNPMNMSYLVGYGPKFPTRVHHRGASIVSYRENKGFIGCTQGYDNWYSSQEQNPNVLVGALVGGPDRQDNFRDQRGNYMQTEACTYNTAPLVGVFAKLLEMEDPKGYHDHTGPLVASY >Manes.17G073900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27398788:27404693:1 gene:Manes.17G073900.v8.1 transcript:Manes.17G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGSAATGSRTRVGRYELGRTIGEGSFAKVKFARNTETGENVAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGVLKVSDFGLSALPQQVRDDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEESNLVALYKKIFKADFTCPPWFSSSAKKLIKRILDPNPSTRITIAEVIENEWFKKGYKPPAFEQAEVSLDDVNSIFNESGDCQNLVVEKRESPIGPVAPLTMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKRSANEIISKIEEAATPLGFEVKKNNFKMKLQGEKTGRKGHLSIATEIFEVAPSLYMVELRKSGGDTLEFHKFYKNLSAGLKDIVWRTIDEEKEEGQPEISGSSVVPA >Manes.10G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31395131:31400898:-1 gene:Manes.10G147800.v8.1 transcript:Manes.10G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPVIDLTRYLEIADKLSTDPVKLSGQLVELGPWLGELCKEVSRILRETGALVVKDPRCSADDNDQFIDMMEKYFERPPEFKRLQERPHLHYQVGVTPEGVEVPRSLVDEEMQEALKAMPKEFQPSTPKGPDRKWRYMWRIGPRPLNTRFKELNSEPVIPEGFLEWKETMDSWGHKMISAIEAVAEMAAIGFGLPKDAFTSIMKQGPHLLAPTGSDLGCYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPLGCLLIQTGKQIEWLTAGDCIAGMHEVVVTKRTIDAVKLAAEQNRSLWRVSSTLFAHIASDAVLKPLGHFAESPQASKYPPICTGEFVEQELAVINLKGSKGES >Manes.14G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3982175:3985915:-1 gene:Manes.14G045800.v8.1 transcript:Manes.14G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQALRAMAVASLVVHLCISMGEGSSSSSLSHSDKIFRLPGQPHVGFQQFSGYVTVDDKKHRALFYYFVEAETGPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGKVLVRNEYSWNREANMLYVETPVGVGFSYAIDSSSYVAVDDEATARDNVVFLQRWFKKFPEYRHRDLFITGESYAGHYIPQLAKLMIEINRKEKLFHLKGIALGNPVLEFATDLNSRAEYLWSHGLISDSTYRMFTAACNYSRYVSEYYRDSVSTICSRVMHLVNKETSRFVDKYDVTLDVCIPSILSQSKVLRPQQFSERIDVCVDDETMNYLNRKDVQKAFHARLVGVGKWEACSNILDYEVLNLEIPTIHIVGSLVKAGIRVLVYSGDQDSVVPLTGSRTLVHRLAKDLRLHTTVPYRAWFAGKQVGGWTQVYGDVLSFATIRGASHEVPYSQPERSLVLFKSFLKGQHLSEVF >Manes.14G045800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3982789:3985873:-1 gene:Manes.14G045800.v8.1 transcript:Manes.14G045800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQALRAMAVASLVVHLCISMGEGSSSSSLSHSDKIFRLPGQPHVGFQQFSGYVTVDDKKHRALFYYFVEAETGPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGKVLVRNEYSWNREANMLYVETPVGVGFSYAIDSSSYVAVDDEATARDNVVFLQRWFKKFPEYRHRDLFITGESYAGHYIPQLAKLMIEINRKEKLFHLKGIALGNPVLEFATDLNSRAEYLWSHGLISDSTYRMFTAACNYSRYVSEYYRDSVSTICSRVMHLVNKETSRFVDKYDVTLDVCIPSILSQSKVLRPQQFSERIDVCVDDETMNYLNRKDVQKAFHARLVGVGKWEACSNSSFLLLLFNHSILDYEVLNLEIPTIHIVGSLVKAGIRVLVYSGDQDSVVPLTGSRTLVHRLAKDLRLHTTVPYRAWFAGKQVGGWTQVYGDVLSFATIRGASHEVPYSQPERSLVLFKSFLKGQHLSEVF >Manes.03G042500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3727065:3729498:1 gene:Manes.03G042500.v8.1 transcript:Manes.03G042500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPEFVEFAGLRAARFPEKSGFSQTCSLLSQYIKENGSFGDLSLGMTCSSEGNGTPEVRQAATTMNLFPVNERIDYVSSRNRATPRTNFISMDLFPQQAGFAPSVPKEDVQKSLDSSKAASPEPQNAQMTIFYGGQVIVFNDFPADKAKEVMLLAGKGSSQSLTGFLPSVPVKSHPVFAPNVAKTPESISSVPPSSNAVPNFGNNLIQDRMQPPTQAIASDLPIARRASLHRFLEKRKDRITARAPYQTIGFSGSPSKPAASKWLLNLGQ >Manes.03G042500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3727065:3729498:1 gene:Manes.03G042500.v8.1 transcript:Manes.03G042500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPEFVEFAGLRAARFPEKSGFSQTCSLLSQYIKENGSFGDLSLGMTCSSEGNGTPEVRQAATTMNLFPVNERIDYVSSRNRATPRTNFISMDLFPQQAGFAPSVPKEDVQKSLDSSFSKAASPEPQNAQMTIFYGGQVIVFNDFPADKAKEVMLLAGKGSSQSLTGFLPSVPVKSHPVFAPNVAKTPESISSVPPSSNAVPNFGNNLIQDRMQPPTQAIASDLPIARRASLHRFLEKRKDR >Manes.03G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3727065:3729498:1 gene:Manes.03G042500.v8.1 transcript:Manes.03G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPEFVEFAGLRAARFPEKSGFSQTCSLLSQYIKENGSFGDLSLGMTCSSEGNGTPEVRQAATTMNLFPVNERIDYVSSRNRATPRTNFISMDLFPQQAGFAPSVPKEDVQKSLDSSFSKAASPEPQNAQMTIFYGGQVIVFNDFPADKAKEVMLLAGKGSSQSLTGFLPSVPVKSHPVFAPNVAKTPESISSVPPSSNAVPNFGNNLIQDRMQPPTQAIASDLPIARRASLHRFLEKRKDRITARAPYQTIGFSGSPSKPAASKWLLNLGQ >Manes.01G171800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35343869:35345590:-1 gene:Manes.01G171800.v8.1 transcript:Manes.01G171800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVVQDPSADAILKCINHTLMEEELEEQNCCILQHYALDLQAAEKSFHDILGQKCLPFTDLENPVSACMFAADSSLMIRDVHEHESSCVTATPLPLGLESNASPFFPPPGIVSETENLQSISGCKVETGKEVILDFANNRVTPVAAAKTTKGKRGTDDHEYDFFNTNPSVSWILHPNGQSRGHNGEMTRRVKTRKLVDLCTLLLHCAEAVAVNDHKTASKLLMQIRKHSTPCGDGSQRLAHCFANALEARIVGRTSEAFAASKLPATCMLDAWKLLFSACPFLNTSNFFAFHTIMEVAEKADRLHIIHFGVVYGFPWSSLIQHLSTRPGGPPVLRVTRIEIPEPVFDSATKLEETGSYLASFCERLNVPFEYTAISKKWESIRFEDYKIHRDEKTIVTCLYRSRNLLDETIDETDINCQRNDILNMIRRMNPSVFIHGIVNGGYNAPFFTTRFREALFYFSSLFDMLEANVSHNVPERMVLEQEIYGKRILNVIACEGSERLERPETYKQWHVRNLRAGLRQLPLKQEMMQNMKEQVKLHYHKDFLMYEDGHWIVQGWKGRILFALSCWKSA >Manes.02G215075.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:35579899:35580489:-1 gene:Manes.02G215075.v8.1 transcript:Manes.02G215075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLQNLEDLIIGNCDSLEEVFDLQEIIRLKETVNIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVLDCPNLKAIFPATIAKNLLQLETLDVESCGGVEEIVAQHQGTEASIEFLFPCLKFLILRELNELKCFYSGIHTLEFPLLKHLTVYHCEKLNIFCPESENLLETDTESQAMIQDPQPLFSFRKV >Manes.16G007700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:765969:766845:-1 gene:Manes.16G007700.v8.1 transcript:Manes.16G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRRGSSVTVWAGDDWGSVGQEMKRSHKKHNTILERQKLLGEETGRSSTSSSCPREVKIKITKKELEELMARVELQGLSMEQAVAGLIKSGDKFEIMEMDHHHRSWKPALQSIPEVN >Manes.08G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4415483:4422148:-1 gene:Manes.08G044600.v8.1 transcript:Manes.08G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSSCFCFPAMEVMEIDAEKNLQRKQSTYLSLDETFEIQKETYRGQQYSQIYFARLHLMRTLLYSLVPHWKSHLKVCTVLGLEEEKECVIVGTLYKHMKLKPCILDEYSKERSSVPLVKPHNFMHPDDYLVLEDESGRVKLGGDVLAHSAFVTGVVVALHGKETGSGDFLVLDVLEAGLPPQIELPLQPREDKYVLFVSGLSVGSSASNPLQFQLLVDHITGHLGDEEEQGIAAEIVHVVIAGNSVEIPRGFLNGQNLASKDQSRLSEPVKELDILLSQIAAGMPVDIMPGSNDPANFSLPQQPLHRCLFPGSAAYNTFRSCTNPHCFELDNIRFLGTSGQNIDDLEKYSEARDTLEFMERTLKWRHLAPTAPNTLGCYPFTDRDPFLIESCPHVYFIGNQDKFGTCELKGSEGQLVRLISIPKFCETGVAVMLNMKNLECHTLSFRTQFGS >Manes.08G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33705672:33710336:-1 gene:Manes.08G101200.v8.1 transcript:Manes.08G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALSASLISPSGLTNWREKSAVKSRISVPSFKLGNGWIKCKAVGDSPKPSFQPTVYKGVYGSWTVEPSDVREVILYRSGLVTAASAFVIAASDAFLPDDSILGEVIKQNFDLLYTLGAGGLGLSLFLIHIYVTEIKRTLQALWALGVVGSFATYTTLARPAGENLVQYVVDNPIAVWFVGPLFAALTGLVFKEGLCYGKLEAGILTFIIPAVLLGHLTGLMDDGVKLALLGLWMALFVIFAGRKFTQPIKDDIGDKSVFMFNSLPEDEKKALVEKLEQQKFSQDRS >Manes.11G084116.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12450572:12452935:1 gene:Manes.11G084116.v8.1 transcript:Manes.11G084116.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNNFESNPSEKQHSEKKLDTDIDPNPDPTHKPEPDPKPDPADTGTSNSGPDSPKPAAEAEDLEKTTDVDQVQDDDNVEKVEEAPQGIRDTLKSVSEEIDQFLLSLSSWKGKKEIVVKEDDDKNDDDKKDDEKEGENDEKVTSIDIPAFVENFLNLVGELVIEYETSTEGKGKWGQVPEDDLAFLDAVNQVSKLTNSLSEFKSDPNNCTLVNYSGGIYQRAMSFLEDQFRLLLEDYKGNNELEHNTDAKVPESDEQVTEKEEKEKEEEDDFTGYSKHVVANLNRIAKEMILGGYESECYQVYVITRRYVFDECLDKLGFEKMSIDEVQKMHWEALECEISAWIKTFKDCATIYFAKERKFCEAVFSDFPSISSSLFSNLVRGVMIQLLNFTEGISMTRPSAEKLFKFLDMYETLRDSISAINALFPQESENELKPEMTAAKCRIGETAISIFCDLENSIKSDTGKTPVPGGAVHPLTRYTMNYLKYACEYMTTLEQMFKEHSKIERADSTSRPHFEGKPQDFKSNTNGGENSSPFSAQLMRVMDLLDANLEAKAKLYKEIELSSIFMMNNGRYILQKIKGSSEIHEVVGDPWFRRKSSDLRNFHKSYQRETWGKLLSYLGHEGLLVHGKVAKPVLKERFKNFSLMFDEIHRTQSTWVVSDEQLQTELRVSISAVVIPAYRSFWGRFSHYLDPGRQYEKYIKYQPEDIEDCIEELFDGRK >Manes.09G032300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6584226:6586808:1 gene:Manes.09G032300.v8.1 transcript:Manes.09G032300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIMISPMSDVDRISNLPDHIVHHIASFLNVLELAKLSLTSKMLRELCMSVPFLTFDGMRWRNRYKRLRFINSVDRFLALRKGKQTRCLSICWPFSAVKTDEKFRVFTWLYNAIACEVQLLDLEFILKGNEVFSLPLLVFHCESLKELKVNLNGGILDKFPPSGGFCNLKSLSLNDLKLSNEDFGEWISSFCKHLEKLTLRQIHGVANINISSSTLGSLVIETCCSNYLRSISISAEMLSDFILDWKACIWNGSSLKIFAPNLVNLRWDGHLVNLHCLEKLKHLKRSAINLSINPSCPWYERSTNQNLSMLLNSICMSGALFLTNEGIKNLFKKGFLPYPFHNLSCLSLHANDLNDDWVPALACLLKGTPKLKSLHIKRYNIPAKRVKYSQSTMHVFTIRYWESEKLAFVHQLEEATIQLFHRGDNDLELAKFFLKHAKSLKKMTIFYSTFFSPPILRLVGDDGKASTAEIVYFPSPLCNMENYYQ >Manes.13G091100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23484681:23488051:1 gene:Manes.13G091100.v8.1 transcript:Manes.13G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEKFKVESPNVKYTEDEIHSVYSYETTDLVHENRNGTYEWIVKPKTVKYEFKTNTHVPKLGVMLVGWGGNNGSTLTGGVIANRQGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISDMNLADAMARAKVFEIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQVQQIIKDIREFKEKSKVDKVVVLWTANTERYSNVVVGLNDTMENLLASLEKNEAEISPSTLYALACVLEEVPFINGSPQNTFVPGLIDLAIKSNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGLNLSAPQTFRSKEISKSNVVDDMVASNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELCTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >Manes.18G145766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32090077:32091788:1 gene:Manes.18G145766.v8.1 transcript:Manes.18G145766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSTVWDHFTKFVDNTGTQKGKCNYCDKKFFSDPKKNGTTSLRNHMFVCIKNPHSMTTRQFQLSLQPTCSTQEGGGGTQIGTLSSWHFDQNVSRQKLAKMIIVDELPFMFVEGEGFREWVEYTQPRFRIPSRWTVSRDCYDLYLEERKKLKIFFQKTSQRVCITTDTWTSLQRINYMYTLQKKIINFCLITSHKGDDIGMAIESCLLNWGNKRVFTVTVDNASSNDVAISYLKKKINAWGFSILNCKYLHMRCIAHITNLVVVDGMKDGLTPIKKVRDAVRYIRQSPARLQRFKAYCEIEGIQSKSSLCLDVSTRWNSTYLMLSSALKFENAFDRYATIDPYFKIDLQSCEGNGISSIDCLLQEWKSSNNLELSCMGEKMKLKFDKYWGDPDKMNKIIYIIVVVDPRYKLEFMHFALSTVYGKEKGIELAKKVKLFVYELFDDYKRIFQSENANEHIGNVSKSIEDGTKKKPKMRLGHQFMQHKIKIGEAKSKSDLDSYLNEDILVLDGKEDFDILKW >Manes.15G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1097133:1102175:1 gene:Manes.15G012600.v8.1 transcript:Manes.15G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASSVNPEGEIKVSFGYHCNSHSGSSCMVSNGYENLQSTKLHRDSSFSCLTGAALSANATLANTNICNGVIGAEILPSLDSPNSFRKVPSSPTLSRLDILPSSLQSSMSNLSCSPSSPSPPEYDSCPLKSMSAPSRSEGFLNAIEVQVAGGAAGEDRVQAVCSEESGWLLCAIYDGFNGRDAADFLAGTLYENIIMHTNSLDWESRQNVNRASNGMYLDGSLKYPAEVGNLSLGEKSPSGCLNTKYSNINIATKNGVHSKLEISSDLFRHEVLDSLQRALSQAENDFLNMVEQEMEERPDLVSVGSCVLVVLIHGTDLYTLNLGDSRVVLATYDGDYVGSGYEHLKAVQLSDCHTVDNELERTMLLKDHPDDPATIVFGKVKGKLKVTRAFGVGYLKKKKLNDALMGILQVRNLISPPYISTQPSMNVHKISKSDHFLIVGSDGLFDFFSNDEAVKLVHSYILSNPNGDPAKFLLEQLVARAADCAGFSMEELMNIPAGRRRKYHDDVTVIVIVLGANHRTSKASMYV >Manes.04G050200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8302227:8309932:-1 gene:Manes.04G050200.v8.1 transcript:Manes.04G050200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILANLIVMGSGILARAFVQAYRQALANASKSGVAQETIQNTIRRGSKVMTEQEARQILGVTEETAWEEILKKYDNLFESNAKTGSFYIQSKVHRAKECLEAIQKGKGEGTPS >Manes.04G050200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8302679:8306188:-1 gene:Manes.04G050200.v8.1 transcript:Manes.04G050200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNCPLGPSIFLFYYLSCLFNLSNITPSKICLFLYSFLMKHVCVPQAAKILANLIVMGSGILARAFVQAYRQALANASKSGVAQETIQNTIRRGSKVMTEQEARQILGVTEETAWEEILKKYDNLFESNAKTGSFYIQSKVHRAKECLEAIQKGKGEGTPS >Manes.04G050200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8302227:8309932:-1 gene:Manes.04G050200.v8.1 transcript:Manes.04G050200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILANLIVMGSGILARAFVQAYRQALANASKSGVAQETIQNTIRRGSKVMTEQEARQILGVTEETAWEEILKKYDNLFESNAKTGSFYIQSKVHRAKECLEAIQKGKGEGTPS >Manes.05G128100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22405881:22406319:-1 gene:Manes.05G128100.v8.1 transcript:Manes.05G128100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENGGNMLSKKQKRVKRELEDLCERLKQKYLKMNIPVNVNNYDFSNGRFPLIYKNPYSGEEKCTIMEGCKFFTGENALLLRIERLDKAELEKLETPEEKHAKEN >Manes.15G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11859580:11861061:-1 gene:Manes.15G144400.v8.1 transcript:Manes.15G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEAAVAVESPAPLGEALDLMAALQLVLRKSLAHGGLIRGLHEGAKVIEKHTAQLCVLADDCNQPDYVKLVKGLCADHGVGLLTIPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVQDYGEESEGLNVVQQHVKSH >Manes.11G109500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25340278:25341787:1 gene:Manes.11G109500.v8.1 transcript:Manes.11G109500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNESGGSEKKSSESANDLQTFNAENLQSNMKVIYYSRTFLSIIGGVIAGILGFTGLTGFIFYFLIMAITSLGLVGKAKFSVHSYFDSWNRIILDGFFGGLMSFVLFWTFAYDFVHIF >Manes.11G109500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25340278:25341428:1 gene:Manes.11G109500.v8.1 transcript:Manes.11G109500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNESGGSEKKSSESANDLQTFNAENLQSNMKVIYYSRTFLSIIGGVIAGILGFTGLTGFIFYFLIMAITSLGLVGKAKFSVHSYFDSWNRIILDGFFGGLMSFVLFWTYPSFFTLLLLFTYNFLKSMIATIFKVVILKAKCELHDLY >Manes.03G022000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1830492:1836237:-1 gene:Manes.03G022000.v8.1 transcript:Manes.03G022000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSTIVMTNRDRERDRELLIPVADCVHDNVSSKPSSSSSSSHHSGRETIYKVFRSWASKKFMTGCVILFPIAVTFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFMTSVTFIFLVGVFMSSWLGTSVLGLGEWFIKRMPFVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSTVILQKL >Manes.03G022000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1830492:1836237:-1 gene:Manes.03G022000.v8.1 transcript:Manes.03G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSTIVMTNRDRERDRELLIPVADCVHDNVSSKPSSSSSSSHHSGRETIYKVFRSWASKKFMTGCVILFPIAVTFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFMTSVTFIFLVGVFMSSWLGTSVLGLGEWFIKRMPFVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSTVILQNYSGEEELCCVYVPTNHLYIGDIFLVNAKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSGIALDRCRKLELS >Manes.06G094851.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22972417:22974069:1 gene:Manes.06G094851.v8.1 transcript:Manes.06G094851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIPKTLCHDLQQIMNMFWWGKGINHERGIHWMSWDRMSQPKFVGGLGFKKLREFNVAMVGKQAWNIVTNPSSLVARLIKARYFPDANFITARLGSNPSYFWRSIWEAQSVIKAGMCWKVGNGQQIRVWEDPWIPNLPSSWVQTSQPVNSQVNFVHDLMINGFWNLPLLRSIFSMEEQQAILSIPLPRFAASDRLIWKLEPKGQYSVKSVYKFLTNIGDGVVGVLQLVRKEDQAMVVMICWALWQARNDVVWSSKWSSPAAVVYRARTILYDWCNARHVDDSSSDAVPAPPPLHFWVPQLQGFLKANVDAAVFPDGFIGVGGVLRSYDGSFVGACQHRLLGYFSPKTAELIAIREVLSWIKRLGYDQIVLESDALTVVKALLSSSTSDFSSFGSLVDDCKSLIAEMNSVSVSFVPRSANSVAHLIARAASTISDRIEWLSTPPQLIVHALMLDFQI >Manes.06G145500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27218532:27225739:1 gene:Manes.06G145500.v8.1 transcript:Manes.06G145500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGDMGLLGEHFDPSIAGRMKEDGYESKSGSDNLEGASGDDQEAGEDQRTQKKKYHRHTPNQIQELETFFKQCPHPDEKQRMELSRRLGLESKQIKFWFQNRRTQMKTQLERHENIILRQENDKLRAENELLKQNMTDPICNNCGSPVVPGPVSYEQQQLRIENARLNDELGRVYALANKFLGRPLSSSSGHVPPFDSNSKLDLSVGRNGYGGLGSIETSLPIGLDYSDGLTMPLMKPMTSPMLNEVPYDRSLFIDLALAAMDELIKIAQIDSPLWMTMDGGKDALKHEEYMRTFSPCIGVKPSSFVTEATRETGIVIINSLALAETLMDVNRWLEAFPGLIAKASTIDVISSGVGGTKNGALQLMHAEFQVGSPFVPVRQVRFLRFCKQHAEGVWAVVDVSIDANQESSNSHSFAACRRFPSGCIMQDMPNGCSRVTWVEHSEYDESAVHQLYRSVLSSGLAFGARRWVATLQRYCECMSILMSPTISAEDQTVINISGKKSMLKLARRMVDNFCSGVCASSVHKWDKLLVGNVGEDMRILTRKNINDPGEPPGIVLSAATSVWLPVMRDRLFDFLRDERSRSEWDILSHGGMMQEMVHISKGHNRGNCVSLLRSTAVSPNANENSMIILQETWNDASSSLVVYAPVDIQSISVVMNGGDSTYVALLPSGFVILPDDTSSQGGPNFSSTLVKRDSDGGDGGGSILTVGFQILVNNLPTAKLTVESVETVNNLISCTIQRIKTVLQLT >Manes.06G145500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27218532:27225739:1 gene:Manes.06G145500.v8.1 transcript:Manes.06G145500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGDMGLLGEHFDPSIAGRMKEDGYESKSGSDNLEGASGDDQEAGEDQRTQKKKYHRHTPNQIQELETFFKQCPHPDEKQRMELSRRLGLESKQIKFWFQNRRTQMKTQLERHENIILRQENDKLRAENELLKQNMTDPICNNCGSPVVPGPVSYEQQQLRIENARLNDELGRVYALANKFLGRPLSSSSGHVPPFDSNSKLDLSVGRNGYGGLGSIETSLPIGLDYSDGLTMPLMKPMTSPMLNEVPYDRSLFIDLALAAMDELIKIAQIDSPLWMTMDGGKDALKHEEYMRTFSPCIGVKPSSFVTEATRETGIVIINSLALAETLMDVNRWLEAFPGLIAKASTIDVISSGVGGTKNGALQLMHAEFQVGSPFVPVRQVRFLRFCKQHAEGVWAVVDVSIDANQESSNSHSFAACRRFPSGCIMQDMPNGCSRVTWVEHSEYDESAVHQLYRSVLSSGLAFGARRWVATLQRYCECMSILMSPTISAEDQTVINISGKKSMLKLARRMVDNFCSGVCASSVHKWDKLLVGNVGEDMRILTRKNINDPGEPPGIVLSAATSVWLPVMRDRLFDFLRDERSRSEWDILSHGGMMQEMVHISKGHNRGNCVSLLRSTAVSPNANENSMIILQETWNDASSSLVVYAPVDIQSISVVMNGGDSTYVALLPSGFVILPDDTSSQGGPNFSSTLVKRDSDGGDGGGSILTVGFQILVNNLPTAKLTVESVETVNNLISCTIQRIKTVLQLT >Manes.06G145500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27220157:27225739:1 gene:Manes.06G145500.v8.1 transcript:Manes.06G145500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKGTMDSHGDMGLLGEHFDPSIAGRMKEDGYESKSGSDNLEGASGDDQEAGEDQRTQKKKYHRHTPNQIQELETFFKQCPHPDEKQRMELSRRLGLESKQIKFWFQNRRTQMKTQLERHENIILRQENDKLRAENELLKQNMTDPICNNCGSPVVPGPVSYEQQQLRIENARLNDELGRVYALANKFLGRPLSSSSGHVPPFDSNSKLDLSVGRNGYGGLGSIETSLPIGLDYSDGLTMPLMKPMTSPMLNEVPYDRSLFIDLALAAMDELIKIAQIDSPLWMTMDGGKDALKHEEYMRTFSPCIGVKPSSFVTEATRETGIVIINSLALAETLMDVNRWLEAFPGLIAKASTIDVISSGVGGTKNGALQLMHAEFQVGSPFVPVRQVRFLRFCKQHAEGVWAVVDVSIDANQESSNSHSFAACRRFPSGCIMQDMPNGCSRVTWVEHSEYDESAVHQLYRSVLSSGLAFGARRWVATLQRYCECMSILMSPTISAEDQTVINISGKKSMLKLARRMVDNFCSGVCASSVHKWDKLLVGNVGEDMRILTRKNINDPGEPPGIVLSAATSVWLPVMRDRLFDFLRDERSRSEWDILSHGGMMQEMVHISKGHNRGNCVSLLRSTAVSPNANENSMIILQETWNDASSSLVVYAPVDIQSISVVMNGGDSTYVALLPSGFVILPDDTSSQGGPNFSSTLVKRDSDGGDGGGSILTVGFQILVNNLPTAKLTVESVETVNNLISCTIQRIKTVLQLT >Manes.06G145500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27218532:27225739:1 gene:Manes.06G145500.v8.1 transcript:Manes.06G145500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSSSVNSPGGGVSKIVAEIVTHRNTMHSGGIAHQPPVITSSIPKSPALSLSLKGTMDSHGDMGLLGEHFDPSIAGRMKEDGYESKSGSDNLEGASGDDQEAGEDQRTQKKKYHRHTPNQIQELETFFKQCPHPDEKQRMELSRRLGLESKQIKFWFQNRRTQMKTQLERHENIILRQENDKLRAENELLKQNMTDPICNNCGSPVVPGPVSYEQQQLRIENARLNDELGRVYALANKFLGRPLSSSSGHVPPFDSNSKLDLSVGRNGYGGLGSIETSLPIGLDYSDGLTMPLMKPMTSPMLNEVPYDRSLFIDLALAAMDELIKIAQIDSPLWMTMDGGKDALKHEEYMRTFSPCIGVKPSSFVTEATRETGIVIINSLALAETLMDVNRWLEAFPGLIAKASTIDVISSGVGGTKNGALQLMHAEFQVGSPFVPVRQVRFLRFCKQHAEGVWAVVDVSIDANQESSNSHSFAACRRFPSGCIMQDMPNGCSRVTWVEHSEYDESAVHQLYRSVLSSGLAFGARRWVATLQRYCECMSILMSPTISAEDQTVINISGKKSMLKLARRMVDNFCSGVCASSVHKWDKLLVGNVGEDMRILTRKNINDPGEPPGIVLSAATSVWLPVMRDRLFDFLRDERSRSEWDILSHGGMMQEMVHISKGHNRGNCVSLLRSTAVSPNANENSMIILQETWNDASSSLVVYAPVDIQSISVVMNGGDSTYVALLPSGFVILPDDTSSQGGPNFSSTLVKRDSDGGDGGGSILTVGFQILVNNLPTAKLTVESVETVNNLISCTIQRIKTVLQLT >Manes.07G011600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1496684:1500400:-1 gene:Manes.07G011600.v8.1 transcript:Manes.07G011600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLSRYNKCLESSEPSKEESKAEKQELKEVDVLKEEIAKLQAKELQLLGQDLTGLSLKELQHLEQKLNEGLLCVKQKKEHLLMEQLEQSRLQEQKAMLENETLRRQVEELRGFFPSTDHPVPTYLEYYSIDRKHSFTNNGSASLDTACNCSMEKGDSDTTLHLGLPTDAYRKRKAPEGESHSNDSGSQLVLL >Manes.18G142350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:24741277:24742086:-1 gene:Manes.18G142350.v8.1 transcript:Manes.18G142350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLLAAKQSDKKRNHRHIIDSQEDSEVSTELTLSCGFPSMIKKPRITQISSSSSCGINHSLYFLFHDSIVVSTRPAKEEVSTEQKLFDEYWNADDASGTRKEPDGVSEESSELKTLARDTANQTIYSPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNHCRLLVASALVKDHILPFMRSETVEKIKTEGAEFSFWDCDTNTKLNLILKYWRTSKSYIFNKGWLNNFVKRRNLVEGDLIGIYWDSTGKIFNFSVLERASDVYP >Manes.15G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2220193:2223050:1 gene:Manes.15G028100.v8.1 transcript:Manes.15G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTSKLFFIFVLFIFRIDPNSSYEQHPLDPLSPEELDLTRTIVKDSFPSSNTTTLTFHYVGLDEPDKSFVRSWLAKPTKTPPPRRALAITRFNKQTHEFIIDLANRSIISKNVYAGYGYPTLGSDEQTEAIQLPLKYEPFIESIRNRGLNLSAIVCSAFTVGWFGEIRNRRVIKLQCFHMNNTVNLYLLPVEGIKIVVDLDEMKIIEYIDREKVPVPKSEGTDYRLSKQKPPLGPRINQAATIQPDGPGFQIDGHIIRWMNWVFHLSFDSRVGPVISVATIYDPEKQKHRSVLYRGHISELFVPYQDPTEEYYFKTFFDSGEFGFGQNTASLVPLVDCPNNAVFMDGYYAGPNGQPVKVPNVFCIFERHAGDIMWRHTELGIPDELITETRPEVSLVVRMVTVISNYDHILDWEFKPSGSINIQVGLSGILEVKATKYTHSDQIKEEVYGTFITDNTIGLHHDHFLSYRLDVDIDGVENSFIKHNLVAKTVTDNTTPRKSYWTVVSETAKTESEAKIRLGLEPAELVIANPNKKTKSGNSYGYRLIPGATARSLLLEDDYPQIRGAFTKYNVWVTPYNKSEKWAGGRYVDQSHGQDTLAVWSRRNREIDNKDIVLWYMIGIHHVPCQEDFPLMPTLSSGFELRPFNFFERSPVLKVIPPKPITWANCSASL >Manes.14G048701.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239729:4245550:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSRGDKKDLCVGIAVAVVIQDDTNILSYSKLSSFSVLDGLELTYRLISLTFVPWGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQVILSLESNKKN >Manes.14G048701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239736:4245376:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSRGDKKDLCVGIAVAVVIQDDTNILSYSKLSSFSVLDGLELTYRLISLTFVPWGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQKVAIALWSRLIFLDENEDKYKNGSKRNVAQENYDRVAEVETPVYK >Manes.14G048701.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239715:4245512:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALILDRPGQNNQSLISTPSLATPGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQLKKLHYKMKHFDNLELIMEKEYAELEDSN >Manes.14G048701.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239715:4245558:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSRGDKKDLCVGIAVAVVIQDDTNILSYSKLSSFSVLDGLELTYRLISLTFVPWGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQVILSLESNKKN >Manes.14G048701.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239715:4245522:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALILDRPGQNNQSLISTPSLATPGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQFNFRRYLTRFHP >Manes.14G048701.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239729:4245530:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSRGDKKDLCVGIAVAVVIQDDTNILSYSKLSSFSVLDGLELTYRLISLTFVPWGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQLKKLHYKMKHFDNLELIMEKEYAELEDSN >Manes.14G048701.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239715:4245522:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSRGDKKDLCVGIAVAVVIQDDTNILSYSKLSSFSVLDGLELTYRLISLTFVPWGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQFNFRRYLTRFHP >Manes.14G048701.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239715:4245509:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALILDRPGQNNQSLISTPSLATPGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQVDTS >Manes.14G048701.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239714:4245523:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSRGDKKDLCVGIAVAVVIQDDTNILSYSKLSSFSVLDGLELTYRLISLTFVPWGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQLKKLHYKMKHFDNLELIMEKEYAELEDSN >Manes.14G048701.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239715:4245531:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALILDRPGQNNQSLISTPSLATPGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQVILSLESNKKN >Manes.14G048701.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239729:4244514:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSRGDKKDLCVGIAVAVVIQDDTNILSYSKLSSFSVLDGLELTYRLISLTFVPWGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQVDTS >Manes.14G048701.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239714:4245513:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQSRGDKKDLCVGIAVAVVIQDDTNILSYSKLSSFSVLDGLELTYRLISLTFVPWGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQVDTS >Manes.14G048701.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4239733:4245522:1 gene:Manes.14G048701.v8.1 transcript:Manes.14G048701.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALILDRPGQNNQSLISTPSLATPGDYILCIRCFKGGSIGENKSKDCFKLNDCVNSSSTHEAVWTETEALLLLESVLKHGDDWDLVAQDIQTKTKLDCISKLIELPFGNLILSSACRNVNSSGLRGSINNSQQPLSSTLHQNTVKCEDLMPKQTNVNGQNGDALDEGPPLKRKCIASLSGAGSSLMKQVALISTITGPDIEAVAAEAAVAALCDETSCPREIFDGKCDFPTNGSWSPTVHSEPERVHQVEDSEVKERFIQLETQETSPRLKDIPLTLRLRTAIVTALGAAAVHARLLADMEDQEIEILVTTMVETQKVAIALWSRLIFLDENEDKYKNGSKRNVAQENYDRVAEVETPVYK >Manes.18G066550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6001293:6003041:-1 gene:Manes.18G066550.v8.1 transcript:Manes.18G066550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRWAGDRVSDYFQLKSELVATIQAIKEHKEFYSYQALQTVDAHGLLPNFHHKCL >Manes.08G026400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2571199:2577058:1 gene:Manes.08G026400.v8.1 transcript:Manes.08G026400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFHPKTPFPPFFSFNLKPNPLSFPSVSTTCNPTVKLPSNTQLKPLNSSKLPSLLSSTHQNPNHRKPNPISHSFHSLSTIRTFVVSQHRLILLGWLCSLVSVLSLSYLVPKFGKFSATIGNIDAVALRNEGLVLAALLLTKLIATYWQHALLWEAAVNACYKIRVHVFERVLQRELGFFEGGSGLSTGDIAYRITAEAADVADTLYALLNTIVPSALQLSAMATQMLAISPLLSLISAMVIPCMALVIAYLGERLCKISKKAHLSIATLSAHLNEVLPAIVSVKANNAERLESARFQRLAHDDLSEHLKKKKMKALIPQIIQIIYFGALFTLCCGSLVISQGCFDGCSMVSFVTSLVFLIEPIQDVGKAYNEWKQGEPAVERLFDLISFKSKVIEKADAVDLANVTGDIKFCDISFKYGDNGPFILNTLNLHIKAGETVALVGPSGGGKTTLVKLLLRLYDPLSVVQYALV >Manes.08G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2571199:2577058:1 gene:Manes.08G026400.v8.1 transcript:Manes.08G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFHPKTPFPPFFSFNLKPNPLSFPSVSTTCNPTVKLPSNTQLKPLNSSKLPSLLSSTHQNPNHRKPNPISHSFHSLSTIRTFVVSQHRLILLGWLCSLVSVLSLSYLVPKFGKFSATIGNIDAVALRNEGLVLAALLLTKLIATYWQHALLWEAAVNACYKIRVHVFERVLQRELGFFEGGSGLSTGDIAYRITAEAADVADTLYALLNTIVPSALQLSAMATQMLAISPLLSLISAMVIPCMALVIAYLGERLCKISKKAHLSIATLSAHLNEVLPAIVSVKANNAERLESARFQRLAHDDLSEHLKKKKMKALIPQIIQIIYFGALFTLCCGSLVISQGCFDGCSMVSFVTSLVFLIEPIQDVGKAYNEWKQGEPAVERLFDLISFKSKVIEKADAVDLANVTGDIKFCDISFKYGDNGPFILNTLNLHIKAGETVALVGPSGGGKTTLVKLLLRLYDPLSGCILIDDQNIQNFQLESLRRHVGLVSQDIALFSGTVAENIGYRDLMTEIDIEKVEEVARIANADEFIRMLPKGYKTYIGPRGSSLSGGQKQRLAIARALYQDSSILVLDEATSALDSRSELLVRQAVQHLMENHTVLVIAHRLETVMMAKRVFILDGGKLEELTSSHLWGYRNSGSSTGLVI >Manes.08G026400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2571199:2577058:1 gene:Manes.08G026400.v8.1 transcript:Manes.08G026400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFHPKTPFPPFFSFNLKPNPLSFPSVSTTCNPTVKLPSNTQLKPLNSSKLPSLLSSTHQNPNHRKPNPISHSFHSLSTIRTFVVSQHRLILLGWLCSLVSVLSLSYLVPKFGKFSATIGNIDAVALRNEGLVLAALLLTKLIATYWQHALLWEAAVNACYKIRVHVFERVLQRELGFFEGGSGLSTGDIAYRITAEAADVADTLYALLNTIVPSALQLSAMATQMLAISPLLSLISAMVIPCMALVIAYLGERLCKISKKAHLSIATLSAHLNEVLPAIVSVKANNAERLESARFQRLAHDDLSEHLKKKKMKALIPQIIQIIYFGALFTLCCGSLVISQGCFDGCSMVSFVTSLVFLIEPIQDVGKAYNEWKQGEPAVERLFDLISFKSKVIEKADAVDLANVTGDIKFCDISFKYGDNGPFILNTLNLHIKAGETVALVGPSGGGKTTLVKLLLRLYDPLSGAFFRNRC >Manes.08G026400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2571199:2577058:1 gene:Manes.08G026400.v8.1 transcript:Manes.08G026400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQFHPKTPFPPFFSFNLKPNPLSFPSVSTTCNPTVKLPSNTQLKPLNSSKLPSLLSSTHQNPNHRKPNPISHSFHSLSTIRTFVVSQHRLILLGWLCSLVSVLSLSYLVPKFGKFSATIGNIDAVALRNEGLVLAALLLTKLIATYWQHALLWEAAVNACYKIRVHVFERVLQRELGFFEGGSGLSTGDIAYRITAEAADVADTLYALLNTIVPSALQLSAMATQMLAISPLLSLISAMVIPCMALVIAYLGERLCKISKKAHLSIATLSAHLNEVLPAIVSVKANNAERLESARFQRLAHDDLSEHLKKKKMKALIPQIIQIIYFGALFTLCCGSLVISQGCFDGCSMVSFVTSLVFLIEPIQDVGKAYNEWKQGEPAVERLFDLISFKSKVIEKADAVDLANVTGDIKFCDISFKYGDNGPFILNTLNLHIKAGETVALVGPSGGGKTTLVKLLLRLYDPLSGCILIDDQNIQNFQLESLRRHVGLVSQDIALFSGTVAENIGYRDLMTEIDIEKVEEVARIANADEFIRMLPKGYKTYIGPRGSSLSGGQKQRLAIARALYQDSSILVLDEATSALDSRSELLVRQAVQHLMENHTVRIVGCSI >Manes.16G109132.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31446774:31452016:1 gene:Manes.16G109132.v8.1 transcript:Manes.16G109132.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTLIFLSYHFSTLFLCLSYTKSQAYDPEQAILLRIRQYWQSPPPLNQWSPSISSSHCSWPGVNCTNSSITGLHFTNMNIIGTIPPFICDLKNLTVLDFYNNSFVGMFPVALFSCSKLQYLSLSQNYFAGAIPEDIDGFSGLSVLDLSGNNFTGNVPAAIGRLQELKKLGLDQNQFNGTYPPEIGNLSNLEELSMAYNDFLPSSLPFSFTQLKQLRWLWMSASNLIGEIPETVGEMVALEHLDLSRNKLEGNIPSSLFMLKNLSIMYLFRNRLCGEIPHVVEALKLVELDLSDNNLTGKIPDDFGKLQNLSVLNLFYNQFSGEIPESVGRLPALKRFSLFSNNLSGVLPPELGRHSMLETVEASSNKLTGRLPEFICNGGKLLGVAAFDNNLHGELPESLGNCSSLLMVSISHNSFTGNVPVGLWTSSNLIYLMLSDNLFAGELPDEVSGNLKRLEISNNRFSGKIPIGASWRNLTVFNASNNLFSGIVPQELTVPPLLTTLLLDRNQLSGAIPSDIVSWKSLTTLNMSQNQLSGQIPKEIGFLPNLLQLDLSGNRFSGQIPPEFSSLKCTFLNLSSNNLTGEIPISLENTAYKSSFLNNPGLCTRSSLLSLNLCHSNTQKSIKSSTQFIALISSILATAFVLVLLLSLFVIRVYQKKLILNSPWKLTSFQKLDFTESDILPGLTETNLIGTGGSGKVYRVSVQRSGLVAVKRIRSDKLDQKLEKQFHAEVQILGRIRHFNIVKLLCYIYNEDSKLLVYEYMERSSLDQWLHVKKRLTNVSGSACLDWPTRFRIAVGAAQGLSYLHHGCSPPIIHRDVKSSNILLDSAFNAKIADFGLAKLLVEKGEASASVMAGSFGYIAPGKVCQHSESEREN >Manes.16G109132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31446774:31452015:1 gene:Manes.16G109132.v8.1 transcript:Manes.16G109132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTLIFLSYHFSTLFLCLSYTKSQAYDPEQAILLRIRQYWQSPPPLNQWSPSISSSHCSWPGVNCTNSSITGLHFTNMNIIGTIPPFICDLKNLTVLDFYNNSFVGMFPVALFSCSKLQYLSLSQNYFAGAIPEDIDGFSGLSVLDLSGNNFTGNVPAAIGRLQELKKLGLDQNQFNGTYPPEIGNLSNLEELSMAYNDFLPSSLPFSFTQLKQLRWLWMSASNLIGEIPETVGEMVALEHLDLSRNKLEGNIPSSLFMLKNLSIMYLFRNRLCGEIPHVVEALKLVELDLSDNNLTGKIPDDFGKLQNLSVLNLFYNQFSGEIPESVGRLPALKRFSLFSNNLSGVLPPELGRHSMLETVEASSNKLTGRLPEFICNGGKLLGVAAFDNNLHGELPESLGNCSSLLMVSISHNSFTGNVPVGLWTSSNLIYLMLSDNLFAGELPDEVSGNLKRLEISNNRFSGKIPIGASWRNLTVFNASNNLFSGIVPQELTVPPLLTTLLLDRNQLSGAIPSDIVSWKSLTTLNMSQNQLSGQIPKEIGFLPNLLQLDLSGNRFSGQIPPEFSSLKCTFLNLSSNNLTGEIPISLENTAYKSSFLNNPGLCTRSSLLSLNLCHSNTQKSIKSSTQFIALISSILATAFVLVLLLSLFVIRVYQKKLILNSPWKLTSFQKLDFTESDILPGLTETNLIGTGGSGKVYRVSVQRSGLVAVKRIRSDKLDQKLEKQFHAEVQILGRIRHFNIVKLLCYIYNEDSKLLVYEYMERSSLDQWLHVKKRLTNVSGSACLDWPTRFRIAVGAAQGLSYLHHGCSPPIIHRDVKSSNILLDSAFNAKIADFGLAKLLVEKGEASASVMAGSFGYIAPEYVNTVKVNEKIDVYSFGVVLLELTTGKEAPFGDEDTCLAKWAWRHMSEGRPIVNALDKEITESSYLDEMIIVFKLGVKCTSKLPSDRPSMREVLRVLGQYNYPVVNGLKNRGRDSHVTPFILSSKNGRASDSDENV >Manes.16G109132.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31448247:31451886:1 gene:Manes.16G109132.v8.1 transcript:Manes.16G109132.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTLIFLSYHFSTLFLCLSYTKSQAYDPEQAILLRIRQYWQSPPPLNQWSPSISSSHCSWPGVNCTNSSITGLHFTNMNIIGTIPPFICDLKNLTVLDFYNNSFVGMFPVALFSCSKLQYLSLSQNYFAGAIPEDIDGFSGLSVLDLSGNNFTGNVPAAIGRLQELKKLGLDQNQFNGTYPPEIGNLSNLEELSMAYNDFLPSSLPFSFTQLKQLRWLWMSASNLIGEIPETVGEMVALEHLDLSRNKLEGNIPSSLFMLKNLSIMYLFRNRLCGEIPHVVEALKLVELDLSDNNLTGKIPDDFGKLQNLSVLNLFYNQFSGEIPESVGRLPALKRFSLFSNNLSGVLPPELGRHSMLETVEASSNKLTGRLPEFICNGGKLLGVAAFDNNLHGELPESLGNCSSLLMVSISHNSFTGNVPVGLWTSSNLIYLMLSDNLFAGELPDEVSGNLKRLEISNNRFSGKIPIGASWRNLTVFNASNNLFSGIVPQELTVPPLLTTLLLDRNQLSGAIPSDIVSWKSLTTLNMSQNQLSGQIPKEIGFLPNLLQLDLSGEIPISLENTAYKSSFLNNPGLCTRSSLLSLNLCHSNTQKSIKSSTQFIALISSILATAFVLVLLLSLFVIRVYQKKLILNSPWKLTSFQKLDFTESDILPGLTETNLIGTGGSGKVYRVSVQRSGLVAVKRIRSDKLDQKLEKQFHAEVQILGRIRHFNIVKLLCYIYNEDSKLLVYEYMERSSLDQWLHVKKRLTNVSGSACLDWPTRFRIAVGAAQGLSYLHHGCSPPIIHRDVKSSNILLDSAFNAKIADFGLAKLLVEKGEASASVMAGSFGYIAPEYVNTVKVNEKIDVYSFGVVLLELTTGKEAPFGDEDTCLAKWAWRHMSEGRPIVNALDKEITESSYLDEMIIVFKLGVKCTSKLPSDRPSMREVLRVLGQYNYPVVNGLKNRGRDSHVTPFILSSKNGRASDSDENV >Manes.09G011790.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2658083:2660479:1 gene:Manes.09G011790.v8.1 transcript:Manes.09G011790.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFLSSFPIFDPSLKTTDERSMMMMMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLHDAIASFNHVIHMNPLPSRVHFNRFLSALVKMKQYHSVLSMSKTIELVGISHDVYSLSILINCFCHLHLVDCGFSVFGKMLKFGLEPTTVTFNTLINGLCIESKIDKAVEFFDDMVARGYQPNVYTYSVIVNGMCKLGKTNVAIGLLKGMADRGCEPDVVTYSAIIDALCKDKLVGEALGLFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNISPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYNSLINGLCILDQLKEALALLKGMMGRNISPDDFTFNILIDTLCKKGLVSIAQNVIKIMIQRGVEPSVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYNILINGYCKCKMIDDAKELFDEMSHKGLVPDVVTYSTLIEGMFQAGRPQNAKELFKDMCSHGQQPNTVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFFADDATTELVVYLSRNNNLILSKL >Manes.18G044401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3949347:3950112:1 gene:Manes.18G044401.v8.1 transcript:Manes.18G044401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQNAVSWGLVFLGFGLCSFVFLQAKEGRSLNDVGRMGDENKQMHGVKPGYLTFAGGYGAGSGGGFGYGSGGGAGWPGFGGGPGKGGGIGIGDGGYGPGGGKGGGSGQGWGNGNGNGNGNGNGNGMGQGGGYGSGGGTGGGFGGGGGGGYDAGHGEGGGFGSGTGYGNGGGGGGGGGGGDGIGGGGGGGGGGGGGGGYRTYGSDSDISPMLNYNQ >Manes.18G044401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3948457:3950112:1 gene:Manes.18G044401.v8.1 transcript:Manes.18G044401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQNAVSWGLVFLGFGLCSFVFLQAKEGRSLNDVGRMGDENKQMHGVKPGYLTFAGGYGAGSGGGFGYGSGGGAGWPGFGGGPGKGGGIGIGDGGYGPGGGKGGGSGQGWGNGNGNGNGNGNGNGMGQGGGYGSGGGTGGGFGGGGGGGYDAGHGEGGGFGSGTGYGNGGGGGGGGGGGDGIGGGGGGGGGGGGGGGYRTYGSDSGTKHRS >Manes.02G225400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37849161:37857639:-1 gene:Manes.02G225400.v8.1 transcript:Manes.02G225400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGLVYTLDEGLVAVGFGKFQALVLVYAGLGYFAEAMEIMILSFVGLAVKTEWGLSSGQESLLTTVVFAGMLIGANSWGLLSDKYGRRKGLLGSTILTSIAGLMSTFSPNYTYLVILRFLVGIGLAGGPVFSSWFLEFVPSSNRGMWMVVFSTFWTFGTIFEASLAWIVMPRMSWRWLLAFSSLPSIALLLFYHLAPESPRYLCMKGRISDAHKILEKIALLNQTKLPTGMLVSDTTGLEEESCSASYNPLLSSAREKVSSPKSSFFTLFSTKLLQTTLLLWVLYLGNAFSYYGIVLLTSELSGPQSKCNSGILHLEKSLQEESLYMDVFITSLAELPGLLFSAIIVDRVGRKLSLTLMLVLASIFLLPLIYHQPAILRTILLFGARMCAIGTFTVACIYCPELYPTSVRTTGAGVASAVGRIGGMICPVVAVGLVNGCHVKEAIILFEDFLKSQGAVSGNCLLGSL >Manes.02G225400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37849161:37857639:-1 gene:Manes.02G225400.v8.1 transcript:Manes.02G225400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKGLLGSTILTSIAGLMSTFSPNYTYLVILRFLVGIGLAGGPVFSSWFLEFVPSSNRGMWMVVFSTFWTFGTIFEASLAWIVMPRMSWRWLLAFSSLPSIALLLFYHLAPESPRYLCMKGRISDAHKILEKIALLNQTKLPTGMLVSDTTGLEEESCSASYNPLLSSAREKVSSPKSSFFTLFSTKLLQTTLLLWVLYLGNAFSYYGIVLLTSELSGPQSKCNSGILHLEKSLQEESLYMDVFITSLAELPGLLFSAIIVDRVGRKLSLTLMLVLASIFLLPLIYHQPAILRTILLFGARMCAIGTFTVACIYCPELYPTSVRTTGAGVASAVGRIGGMICPVVAVGLVNGCHVKEAIILFEDFLKSQGAVSGNCLLGSL >Manes.02G225400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37852126:37857639:-1 gene:Manes.02G225400.v8.1 transcript:Manes.02G225400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEGLVYTLDEGLVAVGFGKFQALVLVYAGLGYFAEAMEIMILSFVGLAVKTEWGLSSGQESLLTTVVFAGMLIGANSWGLLSDKYGRRKGLLGSTILTSIAGLMSTFSPNYTYLVILRFLVGIGLAGGPVFSSWFLEFVPSSNRGMWMVVFSTFWTFGTIFEASLAWIVMPRMSWRWLLAFSSLPSIALLLFYHLAPESPRYLCMKGRISDAHKILEKIALLNQTKLPTGMLVSDTTGLEEESCSASYNPLLSSAREKVSSPKSSFFTLFSTKLLQTTLLLWVLYLGNAFSYYGIVLLTSELSGPQSKCNSGILHLEKSLQEESLYMDVFITSLAELPGLLFSAIIVDRVGRKLSLTLMLVLASIFLLPLIYHQPAILRTILLFGARMCAIGTFTVACIYCPELYPTSVRTTGAGVASAVGRIGGMICPVVAVGLVNGCHVKEAIILFEVVIAISVVSVLLFPFETKGRELSDSIAAFHP >Manes.02G225400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37852126:37857640:-1 gene:Manes.02G225400.v8.1 transcript:Manes.02G225400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRKGLLGSTILTSIAGLMSTFSPNYTYLVILRFLVGIGLAGGPVFSSWFLEFVPSSNRGMWMVVFSTFWTFGTIFEASLAWIVMPRMSWRWLLAFSSLPSIALLLFYHLAPESPRYLCMKGRISDAHKILEKIALLNQTKLPTGMLVSDTTGLEEESCSASYNPLLSSAREKVSSPKSSFFTLFSTKLLQTTLLLWVLYLGNAFSYYGIVLLTSELSGPQSKCNSGILHLEKSLQEESLYMDVFITSLAELPGLLFSAIIVDRVGRKLSLTLMLVLASIFLLPLIYHQPAILRTILLFGARMCAIGTFTVACIYCPELYPTSVRTTGAGVASAVGRIGGMICPVVAVGLVNGCHVKEAIILFEVVIAISVVSVLLFPFETKGRELSDSIAAFHP >Manes.16G082750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28911317:28912871:-1 gene:Manes.16G082750.v8.1 transcript:Manes.16G082750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNCLLYNGFFGGNIYLVLFFKSKKHLNSERSLRERINIVISCEKSGEIDFSSISFHMLHKFLGENHSTYFSAM >Manes.10G127500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29092216:29098820:1 gene:Manes.10G127500.v8.1 transcript:Manes.10G127500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSTPPCKYDVFISFRGKDIRGRFLSHLFDALQRKQINPFMDENLRKGEEISPALLETIQDSYVSIVVFSQNYADSPWCLDELVKILECKEILGQLVLPIFYHVDPTDVQDLIGNFGEAFAVAKHGEEVKGCLGKVDKWRRALMEISNLAGWDSRNIKYHIEEAENRKKSL >Manes.06G049100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16052548:16056358:-1 gene:Manes.06G049100.v8.1 transcript:Manes.06G049100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDAFKCLKIGKEALESGDRTRALKFINKARRLDPTLPVDDLLSSIDKDSSSDQTAASTDAPASTTTHESKVRQRVPSTGSPSSASATASSSSTTYTEEQITIVREIKKKKDYYDILGLEKACSVEDIRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNEESRKKYDLTGSDEPVYERRAPRRHGGYNGYYDDFDPDEIFRQFFFGGMPPATTQFRSFNFGGGMGPRTVDNASGFNMRALIQLLPILVILLLNFMPSSEPIYSLSRSYPYEYKFTTQKGVNFYVKSTKFEQDYPPGSGERATLEGKVERDYVSVLAQNCRFELQRQQWGFVRETPHCEMLQQFQSGASAA >Manes.06G049100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:16051645:16056358:-1 gene:Manes.06G049100.v8.1 transcript:Manes.06G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDDAFKCLKIGKEALESGDRTRALKFINKARRLDPTLPVDDLLSSIDKDSSSDQTAASTDAPASTTTHESKVRQRVPSTGSPSSASATASSSSTTYTEEQITIVREIKKKKDYYDILGLEKACSVEDIRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNEESRKKYDLTGSDEPVYERRAPRRHGGYNGYYDDFDPDEIFRQFFFGGMPPATTQFRSFNFGGGMGPRTVDNASGFNMRALIQLLPILVILLLNFMPSSEPIYSLSRSYPYEYKFTTQKGVNFYVKSTKFEQDYPPGSGERATLEGKVERDYVSVLAQNCRFELQRQQWGFVRETPHCEMLQQFQSGASAA >Manes.11G092100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14488344:14498826:1 gene:Manes.11G092100.v8.1 transcript:Manes.11G092100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGAIFKHPDDFYPLLKLKMAAKHAEKQIPPEPHWGFCYSMLHKVSRSFALVIQQLGTQLRDAVCIFYLVLRALDTVEDDTSIPTDVKVPILIAFHRHIYDRDWHFSCGSKDYKVLMDQFHHVSTAFLALGKSYQEAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFYASQLEDLAPDGLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVHKLEDLKYEENSVKAVQCLNDMVTNALTHVDDCLNYMSALRDPAIFRFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTKTMADVYGAFFDFSCMLKSKVDANDPNAEKTLSRVEAIQKTCRESGLLNKRRSYIIRSEPRYSSALIVLLVIILSIISALHLGNRPTN >Manes.09G117600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32039110:32043968:1 gene:Manes.09G117600.v8.1 transcript:Manes.09G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKSKKKKPEPSVSIKRVSPKEQTPTTLPEPQIPTRTLQSAPPSFRTRVKPVQPDNRVTNSRARALSAPESLDAAEQDDLAAVEYDVHEESKSRVGLTRDHPSPQPLPLPASALKPTSSFKAGNGSGPLFASGPLPLPPGGTHSGTLRNFSYEEIVSACRSFSSDRCVSEGLSSTIYRASFGDDASSSKKYEANVTRLHSSSQGLKEFINEVNTLASLRHPNLCKLLGYHARDGSEQRMLVYERLYHGSLDRLLFGRSDGPPVDWNTRMKTALCAAQGLTFLHEEGPFQAMYNEFSTSNIQIDKDFSAKLSGYGCVGQIPETEISNSTVAVANLSLETLERGLLTPKSNVWSFGIVLLELLTGRKNLDNRHPKEERNLVKWSRPFLADDCRLSLIMDPQLKGRFPVKAARTVADIALRCLQKDPLERPTMRTIVEHLKVIQDMKCSTRFPLQEPAAVAGKQMSRSPSLNGIVTPAPRLSFSPSPPSMARPSVSPTRPPALPMSLPPRACSSTLSLEELERQESRKSSSSAVRRASVEGF >Manes.06G001900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:538795:542166:-1 gene:Manes.06G001900.v8.1 transcript:Manes.06G001900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNVPPALTTPGADAIQLYRAFKGFGCDTSVVVNILAHRDATQRMLIQQEYRTMYSTDLLKRLSSELSGKLETAVLLWMHDPPGRDAIIVKQALRPETFNLEAATEIICSRTPSQIQIFKQHYHAKFGVYLEHDIDSRVSGDLKRLLHTYVSVPRHEGREVDHELAQNDAKTLYKAGEKKLGTDDKTFIRIFSERSAAQLAAINSAYHSLYGKSLKKAVKGETSGHFKHALLAILQCSENPAMYFAKVLRKAMKGLGTDDTTLIRVIVTRTEIDMQYIKAEYHKKYKKTLNDAVHSEISGHYRAFLLSLLGPNQ >Manes.07G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32146797:32154566:-1 gene:Manes.07G116100.v8.1 transcript:Manes.07G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFLWTAAERLVALALLIPTLLLFQVIFEAYKRRHHNKHVNAPAIFEDPNSLKQVPCPHVNDPAEKYISLIVPAFNEEHRLPAALDETMNYLQQRAAKDKSFTYEVVIVDDGSSDATKRVAFGFVKKYTVDNVRVILLGRNHGKGEAIRKGMLHSRGELLLMLDADGATKVDDLEKLENQIHAVAKREFKLGDGADTYSNSRISDFPIAAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVEVVYLCKRFNIPIIEISVNWSEIPGSKVNLLSIPNMLWELAIMSLGYRTGLWEIQK >Manes.12G080102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11905360:11935730:1 gene:Manes.12G080102.v8.1 transcript:Manes.12G080102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKDLDAKNRPYGGNLGGDVDYYSSNRKNKSKHLQEDQPNAQGSNLDRPPEVLAGESMDKPSQDLKPKQSQEPFSLDDFYDGIPRYPSLKSRSLRSGQSAVAKVSEVSSRLGSLGLVKAVEVLDTLGSSMTNLNSNNGFASAVATKGNELAILAFEVANTIVKGSSLMHSLSDRNIRHLKEIVLPSEGVQNLISNDMDELLKIFAADKREELKIFSGEVVRFGNRCKDSQWHSLDRYFEKISRDHTPQKQLQEEAESVIQLLMTLVHHTAELYQELQILDRIDQEYQRRCQEDHALAGQKVDSLATIRAELKSQKKKVRNLKKKSLWARSLEEVMEKLVDIVHFLLLKIHNIFGSEDVNVPVKVSNNNNQSLGPVGLSLHYANIVMQIDTLVARSSSMPPNTRDSLYQNLPPNIKSAIHSKLQSFQVKEELTVAQIKDEMEKTLQWLVPMSTNTAKAHHGFGWVGEWASTGSESNRKSTASAADIIRVETLHHADKETTEAYILELVLWLHHLVNKSKAGATGGKKLSRKSPSSTPVEKLNEQPKDEGYSTLSLTIEDQVMLEGASKKKRMPGISKSQDFDSGKTGLRKHDRLSKSSNYSCTKESKDLIIVKQLHCVIPIVGLGESMGCD >Manes.16G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2547055:2551163:-1 gene:Manes.16G023600.v8.1 transcript:Manes.16G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRHLAEFEAMATSKKVITREEWEKKLNDVKIRKSDMNKLVMNFLVTEGYVDAAEKFRIESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILSSQSHEKDPKLPSLLKMLMWAQNQLDEKAAYPRINNISTAILEDPSV >Manes.16G023600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2547055:2551163:-1 gene:Manes.16G023600.v8.1 transcript:Manes.16G023600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRHLAEFEAMATSKKVITREEWEKKLNDVKIRKSDMNKLVMNFLVTEGYVDAAEKFRIESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILSSQSHEKDPKLPSLLKMLMWAQNQLDEKAAYPRINNISTAILEDPSV >Manes.16G023600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2547055:2551163:-1 gene:Manes.16G023600.v8.1 transcript:Manes.16G023600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRHLAEFEAMATSKKVITREEWEKKLNDVKIRKSDMNKLVMNFLVTEGYVDAAEKFRIESGTEHIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILSSQSHEKDPKLPSLLKMLMWAQNQLDEKAAYPRINNISTAILEDPSV >Manes.16G023600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2547055:2551163:-1 gene:Manes.16G023600.v8.1 transcript:Manes.16G023600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRHLAEFEAMATSKKVITREEWEKKLNDVKIRKSDMNKLVMNFLVTEGYVDAAEKFRIESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILSSQSHEKDPKLPSLLKMLMWAQNQLDEKAAYPRINNISTAILEDPSV >Manes.16G023600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2547055:2551163:-1 gene:Manes.16G023600.v8.1 transcript:Manes.16G023600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRHLAEFEAMATSKKVITREEWEKKLNDVKIRKSDMNKLVMNFLVTEGYVDAAEKFRIESGTEPDIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILSSQSHEKDPKLPSLLKMLMWAQNQLDEKAAYPRINNISTAILEDPSV >Manes.16G023600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2547055:2551164:-1 gene:Manes.16G023600.v8.1 transcript:Manes.16G023600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRHLAEFEAMATSKKVITREEWEKKLNDVKIRKSDMNKLVMNFLVTEGYVDAAEKFRIESGTEHIDLATITDRMAVKKAVQSGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILSSQSHEKDPKLPSLLKMLMWAQNQLDEKAAYPRINNISTAILEDPSV >Manes.09G166250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35912255:35915619:-1 gene:Manes.09G166250.v8.1 transcript:Manes.09G166250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSNGKVGGVLLILLILSAFQNGEVVEANRSLKEMVTQIQQQASNNNNNNNTGGNGFAATVNRAVPSCPDPLHNK >Manes.11G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10670905:10675254:1 gene:Manes.11G074000.v8.1 transcript:Manes.11G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFDSEGLTSGTTDIRGVKRKIEQTDPMTDAASVSFRCSPASPVNGSPSVSTSKTTPSPVKVAEITGNWLRTVISPLPSKPSSKLEQILVVHGESIVDEVIRRANILVTALFPTSRLEQRFITSLYRVNLIESSWSEQRRSEALKLYYRILEAVCESEAQKLNTNDLSRLLANERFHRCLLACSAELVTAAQTGISTLLPAVFERTGITAFDLSKVIGIFIVHEPSLPRELRRHLNSLEEQLLESMVWEKGSSLYDSLIVARPALSDEIHRLGLLAAPMPSIDTIALHYSVSHGGFPYPTILQNHNLSPVKDRNTTTRQKGACSEHGDKALNHISPKSPKIDSPLAFRGLQSQPELASPSLQNATLSPKQSNAQHGGVACSDTAISILFSKMTKLAAIRIQYTAERLKLSQKFREKIYFLFQRILTQRPSLFFNRHIDQIIICCFYAVVKVSKVELTFKQIIGNYRKQPHCRSQFFLFVSSNHEKNGGQDVGIFAFYNKIFLPSVESLLDILHAESPKQVPEKNTDDFPSLHQMSPFPSLPDFSPKKLSPSQNVYLSPLRPSKKDTLNSNVMTKSYYALVGEIIRPYESPSKQLSAINKCLNRAPKARCMLKFDNVDAVMISDSVVAKSLCLQNNYSASSGVMP >Manes.11G074000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10670905:10675254:1 gene:Manes.11G074000.v8.1 transcript:Manes.11G074000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFDSEGLTSGTTDIRGVKRKIEQTDPMTDAASVSFRCSPASPVNGSPSVSTSKTTPSPVKVAEITGNWLRTVISPLPSKPSSKLEQILVVHGESIVDEVIRRANILVTALFPTSRLEQRFITSLYRVNLIESSWSEQRRSEALKLYYRILEAVCESEAQKLNTNDLSRLLANERFHRCLLACSAELVTAAQTGISTLLPAVFERTGITAFDLSKVIGIFIVHEPSLPRELRRHLNSLEEQLLESMVWEKGSSLYDSLIVARPALSDEIHRLGLLAAPMPSIDTIALHYSVSHGGFPYPTILQNHNLSPVKDRNTTTRQKGACSEHGDKALNHISPKSPKIDSPLAFRGLQSQPELASPSLQNATLSPKQSNAQHGGVACSDTAISILFSKHCFASQMTKLAAIRIQYTAERLKLSQKFREKIYFLFQRILTQRPSLFFNRHIDQIIICCFYAVVKVSKVELTFKQIIGNYRKQPHCRSQFFLFVSSNHEKNGGQDVGIFAFYNKIFLPSVESLLDILHAESPKQVPEKNTDDFPSLHQMSPFPSLPDFSPKKLSPSQNVYLSPLRPSKKDTLNSNVMTKSYYALVGEIIRPYESPSKQLSAINKCLNRAPKARCMLKFDNVDAVMISDSVVAKSLCLQNNYSASSGVMP >Manes.11G074000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10670905:10675254:1 gene:Manes.11G074000.v8.1 transcript:Manes.11G074000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSFDSEGLTSGTTDIRGVKRKIEQTDPMTDAASVSFRCSPASPVNGSPSVSTSKTTPSPVKVAEITGNWLRTVISPLPSKPSSKLEQILVVHGESIVDEVIRRANILVTALFPTSRLEQRFITSLYRVNLIESSWSEQRRSEALKLYYRILEAVCESEAQKLNTNDLSRLLANERFHRCLLACSAELVTAAQTGISTLLPAVFERTGITAFDLSKVIGIFIVHEPSLPRELRRHLNSLEEQLLESMVWEKGSSLYDSLIVARPALSDEIHRLGLLAAPMPSIDTIALHYSVSHGGFPYPTILQNHNLSPVKDRNTTTRQKGACSEHGDKALNHISPKSPKIDSPLAFRGLQSQPELASPSLQNATLSPKQSNAQHGGVACSDTAISILFSKMTKLAAIRIQYTAERLKLSQKFREKIYFLFQRILTQRPSLFFNRHIDQIIICCFYAVVSKVELTFKQIIGNYRKQPHCRSQFFLFVSSNHEKNGGQDVGIFAFYNKIFLPSVESLLDILHAESPKQVPEKNTDDFPSLHQMSPFPSLPDFSPKKLSPSQNVYLSPLRPSKKDTLNSNVMTKSYYALVGEIIRPYESPSKQLSAINKCLNRAPKARCMLKFDNVDAVMISDSVVAKSLCLQNNYSASSGVMP >Manes.10G133659.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29909806:29912162:-1 gene:Manes.10G133659.v8.1 transcript:Manes.10G133659.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHHSLSSLSITSFSGRKFPSWLGEPSFSSMVQVELSNCHQITSLPPLGRLKSLKKLSIKGSSRVKEVGVEFYEDDSCFSCLEMLEIVNMGKWKQWAWSNGLGEDSVVKFPKLHELRLHNCPKLVGKLPNFLPSLEKLVINNCPLLVELPKVLPSLTALSIRSCRGPILKSLINSTSLTSLKHLQIIECLELASLVDGEEELLPCSLEVLEVDMCPNLKELPSGLKDLKSLKDLRISQCRSLVSFPAGGLPHNLICLSLQNCGALESLPEGIVSHSKYSSDTSHLEKLRISGCKSLRSSSNGKFPDFLKTLEIHNWTTQLLNSLYYGLSHLTDLQIWNCPQLESFPGKELPIPSLISLKIAGCEALRSLSNHMQNLQSLQQLEIGNCPRLELFPEMGLRNPKHVSFEIHRCKNLKSLPNQMQSLTSLQSINMSDCGAAIECSIECLPPNLTMLSMGACLNLKQPMLEWGLHRLTSLRKLGIGSMKSSGDIISFPDDDGFLLPTSLTHLFIAGFKNLKSISMGIQKLTSLEKLEIWRCTKLQSFPAEGFPATLECLCIDNCPLLRDHCLKEKGGHYWPIISHIPRVVIRN >Manes.10G133659.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29909858:29912139:-1 gene:Manes.10G133659.v8.1 transcript:Manes.10G133659.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPHHSLSSLSITSFSGRKFPSWLGEPSFSSMVQVELSNCHQITSLPPLGRLKSLKKLSIKGSSRVKEVGVEFYEDDSCFSCLEMLEIVNMGKWKQWAWSNGLGEDSVVKFPKLHELRLHNCPKLVGKLPNFLPSLEKLVINNCPLLVELPKVLPSLTALSIRSCRGPILKSLINSTSLTSLKHLQIIECLELASLVDGEEELLPCSLEVLEVDMCPNLKELPSGLKDLKSLKDLRISQCRSLVSFPAGGLPHNLICLSLQNCGALESLPEGIVSHSKYSSDTSHLEKLRISGCKSLRSSSNGKFPDFLKTLEIHNWTTQLLNSLYYGLSHLTDLQIWNCPQLESFPGKELPIPSLISLKIAGCEALRSLSNHMQNLQSLQQLEIGNCPRLELFPEMGLRNPKHVSFEIHRCKNLKSLPNQMQSLTSLQSINMSDCGAAIECSIECLPPNLTMLSMGACLNLKQPMLEWGLHRLTSLRKLGIGSMKSSGDIISFPDDDGFLLPTSLTHLFIAGFKNLKSISMGIQKLTSLEKLEIWRCTKLQSFPAEGFPATLECLCIDNCPLLRDHCLKEKGGHYWPIISHIPRVVIRN >Manes.16G120200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32327501:32329352:-1 gene:Manes.16G120200.v8.1 transcript:Manes.16G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRFFLCHLIFTLLAKFNHSTNWMEIQRERSSSCASVNIEHPQGSSLLVTSMKNRLRSLAPVSSERCIYRVPKRLRDVNEKAYTPRLVSIGPLHHGRPGLGAMEEHKWRHLQNFLQQTKVKLDDLVKFIKDREKRARNCYAETIELTSDEFVQIITVDAAFTIDILLGRVFPHLTCEIECVIDRSGLVFDIYRDMLLIENQLPYFILGDVLDLAKSRAASGSSQWPSLLNIIHAYFNSFAQLDHDFNTMKSSEVRHFVDFLRLCHRPFRQKQPLRRRLVFDGTKSLTELHEAGVKFKVASTKHLLDLQFIDGILEIPHIRVSEMTEAFFRNLIAFEQCHCKVSDISDYIVIMDILINTAHDVELLVKCGIMKNMLANNLEAAMLFNNLAKEVLFDSNVFSYSLLCEDLNDYCKVRWHKWQAILKHNYFNNPWAVISVTAAVILLLLTFVQTLCSVIQIS >Manes.06G105400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23820227:23833648:-1 gene:Manes.06G105400.v8.1 transcript:Manes.06G105400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIDEPILGTNDIIETREEAEIKDVLIDKVREEGGQGDREMAPPTGNSIHRSGSRPQLDLSKAAILGNSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRKINDKRKNTVKERLGISNGNRRSYPILGGRLHFVKFETRKINECLDFISSKQLHRGGIDSHGWLSETPANCSAEIKATGGGAYKFADLFKEKLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKKFVQIDHNDLFPYLLVNVGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYGKIGLSASTIASSFGKAISEKKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHVELEYWFTVLSEHLPDLVDKAVASEGGTDDARRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFVDAYRSIKQRENEASLAVLPDLLMELDSMTEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMQSSGDKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDATAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINALDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNARFKCDALKLAMVKNQRLAEKLIEGNIYDCVCRYEPAS >Manes.06G105400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23820227:23833649:-1 gene:Manes.06G105400.v8.1 transcript:Manes.06G105400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIDEPILGTNDIIETREEAEIKDVLIDKVREEGGQGDREMAPPTGNSIHRSGSRPQLDLSKAAILGNSEERDPTILLPNQSDDISHLALDIGGIDSHGWLSETPANCSAEIKATGGGAYKFADLFKEKLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKKFVQIDHNDLFPYLLVNVGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYGKIGLSASTIASSFGKAISEKKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHVELEYWFTVLSEHLPDLVDKAVASEGGTDDARRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFVDAYRSIKQRENEASLAVLPDLLMELDSMTEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMQSSGDKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDATAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINALDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNARFKCDALKLAMVKNQRLAEKLIEGNIYDCVCRYEPAS >Manes.06G105400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23820227:23833648:-1 gene:Manes.06G105400.v8.1 transcript:Manes.06G105400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIDEPILGTNDIIETREEAEIKDVLIDKVREEGGQGDREMAPPTGNSIHRSGSRPQLDLSKAAILGNSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRKINDKRKNTVKERLGISNGNRRSYPILGGRLHFVKFETRKINECLDFISSKQLHRGGIDSHGWLSETPANCSAEIKATGGGAYKFADLFKEKLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKKFVQIDHNDLFPYLLVNVGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYGKIGLSASTIASSFGKAISEKKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHVELEYWFTVLSEHLPDLVDKAVASEGGTDDARRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFVDAYRSIKQRENEASLAVLPDLLMELDSMTEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMQSSGDKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDATAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINALDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNARFKCDALKLAMVKNQRLAEKLIEGNIYDCVCRYEPAS >Manes.06G105400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23820227:23833648:-1 gene:Manes.06G105400.v8.1 transcript:Manes.06G105400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLIDEPILGTNDIIETREEAEIKDVLIDKVREEGGQGDREMAPPTGNSIHRSGSRPQLDLSKAAILGNSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRKINDKRKNTVKERLGISNGNRRSYPILGGRLHFVKFETRKINECLDFISSKQLHRGGIDSHGWLSETPANCSAEIKATGGGAYKFADLFKEKLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKKFVQIDHNDLFPYLLVNVGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYGKIGLSASTIASSFGKAISEKKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHVELEYWFTVLSEHLPDLVDKAVASEGGTDDARRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFVDAYRSIKQRENEASLAVLPDLLMELDSMTEETRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMQSSGDKKPRPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDATAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINALDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNARFKCDALKI >Manes.02G008201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:943120:945556:1 gene:Manes.02G008201.v8.1 transcript:Manes.02G008201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASEITHDFPPFFKVYKDDPETEVQSRDVVSIETGVRARIFIPKLQDPDQQLPLFVQYHGGGFCIGSSFDVVPKTFLTFLSILLTASHLNDLGPEPWLNKHVDFGRLAVQAGAIGLGGLKIIGVLIVHPFFAGKEEDKMYKYLCPTSSGCDDDLKLNPAVDPNLSKLGCKKVLNYYRILGNSGWCGKVKFYETKGEEHCFHLFKTSSERDMLITKFVHFMTQE >Manes.01G045165.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17600436:17604450:-1 gene:Manes.01G045165.v8.1 transcript:Manes.01G045165.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILKLWSLNKHNHWLFKLGVAILLMGLAFRLFFYQSTILEPNLEDPFVDKSQVPNPPLSVDITEPPVAVDIPEPSVAVDIPNPPVAVDVAEPEDEEAPKHEVNPGKCDLFAGDWIPNPSGPIYTNASCPLIEGHQNCMRNGRPDSGYLFWRWKPRYCELPPFDPQRFLELMRNKAWALIGDSISRNHVQSLLCMLSSVEQAVEVYHDKEYKSKRWHFPSYNFTISNIWSPFLVEAAIFEDNDGVSTAEVQLQLDKLDENWTNLYQNLDYAIISTGKWFLKAAIYHEKGTVVGCHICPGKNFTEKGFVFAYEKALHYAMDFIATSKHKGLIFFRTSTPDHFDNGEWHNGGNCPKTTPAKEGEMELKDLNRILRDTELAEFEKASAKAAEKGVSLKLLDFTNLLVSRPDGHPGPYRQFYPFAKDKNATVQNDCLHWCLPGPIDYWNDVIMEMVVNS >Manes.10G095600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24301399:24302109:-1 gene:Manes.10G095600.v8.1 transcript:Manes.10G095600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKIPNLTHKSDSKNQILQPINSPNVLSRLPIIPPSMRDKHQLHEPKGTHPLWWCTAVICSFLAVGAIIGGVVVFVGYLLYHPRIPVISIVDAHLNLFQYDLAGVLVTQVMIIVRSENDNTRAHASLSDMKLTLIFDGLEIAKLVAEHYEVRKNSSVDFNFVATSDSIPLNPEQMKDVDVFLNEDEVRFDLKGNVRARWKVGILGSIRFRCHLECQLRFQKSSGDYIPHRCTSKAK >Manes.01G240250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40154657:40155345:-1 gene:Manes.01G240250.v8.1 transcript:Manes.01G240250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKRNDIRRSSIHHPKMRKFSTMPQTTFKRSTMRMTEGVSQTL >Manes.16G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7691282:7695237:1 gene:Manes.16G045200.v8.1 transcript:Manes.16G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFNHFLLLYCFILSVSVVSALNSDGSTLLLLVTYWTFVPPSIASSWNASYSTPCSWVGIQCDNASHSVVSVQLPGYSISGPLGPEISRLFHLQTLDLSNNNFSGHIPSQLGSCKLLESLDLSANGFTGEIPDDFKYLQALRILNLYSNSLSGEIPQSLFLILSLESVYLNNNNFSGSIPSSVGNLNQVSELWLNSNQLSGTIPDSIRNCTRLQGLYLNDNHFVGPLPETLTNVKSLEYLYLSHNNFQGSIPLGFGNCKNLREIDFSFNEFSGGLPPDLGNSSSLTTLVIVHSNLTGIIPSSFGLLDKLSALDLSENQLSGRIPPELGNCKSLTSLKLYTNKLEGEIPGELGMLTELEDLELFSNHLSGEVPVSIWRIPSLKYFLVYNNSLSGELPLEMTELKQLKNISLYDNLFSGIIPQNLGINSSLLQLDFTRNNFTGEIPPNLCFGKQLRVLNLGRNQLQASIPSDVGSCPTLWRLILSKNNLSGTLPKFAENPNLSHIDISKNDISGPIPPSLGNCINLTYIDFSMNKFIGFIPPELGSLVKLELVNLSYNQLEGSLPSQLSNCYKLDKFDVGFNSLNGSIPSGLRNWTSLSALILTENEFTGGIPAFLSELEKLTEVQIGRNLLGGEIPSSIGSLENLQYALNLSSNGLSGAIPLGLGDLSKLERLDISNNNLTGTLSVLDKIHTFVLVNISNNHFTGPIPDTMMDLLNSSPSSFMGNPDLCVNCILSNGSTCIKNGNLKPCASRSSKQKGLDQFKILMIVLVSMVAFVVLGLVCMFALHRRRLRRHDEIAAEGGSPSLLSRLMEATENLNDRYIIGRGAHGTVYRASLGEDELFALKKITFNHKGGNKSMVREIQTIGKIRHRNLIRLEEFWLRKDYGLILYRHMQNGSLHDVLHGSNASNLDWNSRYRIAIGTAHALAYLHYDCDPPVVHRDVKPENILLDSDMEPHISDFGIAKILDQTSASGHSSLVVGTLGYIAPENAYTKAKSKESDVYSYGVVLLELITRKKALDSSFTEGTDIVSWSRSTWSNTEEISRIADSSLAEESCDSNLMDKVIDVFLVALRCTEREPRNRPTMRDVVKQLLKANVSIKPLHICSSKDLESCV >Manes.08G022000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2216614:2217340:-1 gene:Manes.08G022000.v8.1 transcript:Manes.08G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSSSTSNFKQANLKMVTQKRKAGRKKFHETRHPVYKGVRQRNGKWVSELRQPYSKSRIWLGTFSKPDMAARAYDVAALALRGDSASLNFPESTHLLPHIESTSIRDIRHAALEAAAQSCVHVDQCCSLSCCCTSMKSDEIEEACERKVAFLDEEELFNMPGLLNSMAEGLILTPPAMKRGFSWNDEEDDHPVDLTLWSD >Manes.18G141037.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26069351:26073412:-1 gene:Manes.18G141037.v8.1 transcript:Manes.18G141037.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSFTDSAHPLTTHVFLSLYFDFSSSTIHAAALLSLAAPHSGILSLDTRSLSIYKVLDPQTLSPLPFSLSPIDPIKGSHLTVYLQNHSSVLIFYSTSPSSSALQWLSPPQTFGKIHPFVFTQCHSIHARSVFPCQDTPAARICYSAKLNIPRHLSAVMSARHSDRRAPVSGDVNDLVTGDVGFDFRSLWCAEGRVVEEFVMEQPIPPYLFAFAIGDLSFREVGPRTKVYSEAVAEVLDAAAREFAGTEDMIRQGEKLFGPYEWERFDLMVLPPSFPYGGMENPRMVFLTPTVIKGDASGARLVAHELAHSWTGNLITNKNNDHFWLNEGFTTYADRRIVEVVQGEDRAVMNIGIGWRGLKEEIERFKDNMEFTKLKTNQENIDPDDVYSQVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFKSIDTEMFLDFLKANVPGIEKDIDLKLWTEGTGIPPDAYEPVSNLYTKIVSLANEFKLGRMPREDEVADWHGQEWELYLENLPKPVEASQILALDARYRLSESKDYEVKVAFLQLAISSSCRDYYSEVEKTLKAVGRMKYLRPLYRALVQGTGKEEEKVFAKRVFAEARECYHPIAQGVVESIFAKHM >Manes.01G069900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27125826:27126770:-1 gene:Manes.01G069900.v8.1 transcript:Manes.01G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPLSFSLIILLSLASIRVEAQGIKSARLLDLVIRDYTFKSYGIHFKTGILHTVHLPANFSGIKVDTVRFRCGSLRRYGAQVKEFHLGIGVIVKPCVERVMVIRQNLGHNWSSIYYANYDLSGYQLVSPILGLLAYNGGSDVNFSNPFELGIHAGEKPITIDFTKTTNTANKSGIRPICASFENDGKVTLKTPVSHHVCVVTRHGHYGLVIESPPTGPPAAGATGQGRKTISLWKVVVGSTVGSALGIFLLGLLLVAMFVKVKKKARMEEMERRAYEEEALQVSMVGHVRAPTATVTRTMPAIEHEYIPYRRS >Manes.02G062800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4817006:4820906:1 gene:Manes.02G062800.v8.1 transcript:Manes.02G062800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTRKHHKSTEMENQTKIDRISKLPWDVLDSILVHLPLREAARTSILSTKWRYKWTGLSQFVFDDKCISSSLSDKRARWVEIMKIINRVRSNHRGPIEKFKLAAYCCPTYSDFDQWIAFLTEKGIKELIIQDFSFTKRFKLPSSVFCCPKLSCLELFGCIVKLPALFKGFDCLRILKLSQVFIVSDTLESLICNCPVLERLTLLNIDNLDFVRIHNPNLKYLKLDSKFEDICFGYNPLLVSVDIRMVRMYGRTRPRCPEQRKDCNLVRVLGSLDGIDRLSLHGRFLEFLAIEDVPQKLPTMLNCLSALELKEVRFACLGDVMASISILRSSPNLKDLLITVDTSNEIYKPVMDFFTSQCLCDFYINQLKAVKIRGIVGTRTEWEFIKLLLARSPLLESMTIVRYRGERISESVLLQLERASEHVKFVSLTL >Manes.02G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4816309:4821041:1 gene:Manes.02G062800.v8.1 transcript:Manes.02G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTRKHHKSTEMENQTKIDRISKLPWDVLDSILVHLPLREAARTSILSTKWRYKWTGLSQFVFDDKCISSSLSDKRARWVEIMKIINRVRSNHRGPIEKFKLAAYCCPTYSDFDQWIAFLTEKGIKELIIQDFSFTKRFKLPSSVFCCPKLSCLELFGCIVKLPALFKGFDCLRILKLSQVFIVSDTLESLICNCPVLERLTLLNIDNLDFVRIHNPNLKYLKLDSKFEDICFGYNPLLVSVDIRMVRMYGRTRPRCPEQRKDCNLVRVLGSLDGIDRLSLHGRFLEFLAIEDVPQKLPTMLNCLSALELKEVRFACLGDVMASISILRSSPNLKDLLITVDTSNEIYKPVMDFFTSQCLCDFYINQLKAVKIRGIVGTRTEWEFIKLLLARSPLLESMTIVRYRGERISESVLLQLERASEHVKFVSLTL >Manes.04G041700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6893266:6901684:1 gene:Manes.04G041700.v8.1 transcript:Manes.04G041700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHHNGHAASSTAVRDTETALYTALWHACAGPFVTVPRAGELVFYFPQGHIEQVEASENQAVGQQRPIYNIPWKILCRVINVQLKVDPGTDEVFAQVTLFPQSNQDENTVEYEPPPPPPRFHVRSFSKKLTASDTSTYGGLSVLKRHAEECLPPLDMSSEPVMRELIAKDLHGNDWRFQHIFRGQPKRHLLTSGWSGFVSSKRLVPGDTFVFLRDDNGGIGVGVRRGMIEQSNDPIHLNGVATAWEAISSGSTLFTVHHRPRSSPRAEFMIPFDQYIESAATNYSIGMNFEMRSERDTVEHRFTGTIMRIEDIDPLRWRESKWRCLEVRWDENCGMPLPHRVSPWSIKPIATPMQVDTV >Manes.04G041700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6893266:6901684:1 gene:Manes.04G041700.v8.1 transcript:Manes.04G041700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHHNGHAASSTAVRDTETALYTALWHACAGPFVTVPRAGELVFYFPQGHIEQVEASENQAVGQQRPIYNIPWKILCRVINVQLKVDPGTDEVFAQVTLFPQSNQDENTVEYEPPPPPPRFHVRSFSKKLTASDTSTYGGLSVLKRHAEECLPPLDMSSEPVMRELIAKDLHGNDWRFQHIFRGQPKRHLLTSGWSGFVSSKRLVPGDTFVFLRDDNGGIGVGVRRGMIEQSNDPIHLNGVATAWEAISSGSTLFTVHHRPRSSPRAEFMIPFDQYIESAATNYSIGMNFEMRSERDTVEHRFTGTIMRIEDIDPLRWRESKWRCLEMG >Manes.18G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:988285:991695:-1 gene:Manes.18G006400.v8.1 transcript:Manes.18G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISNPLLSSNFFGSKIQLYSPTPKTTKSLLPFPFFATRKSQIAQAILNRKQPISESLKSIPSQATLAALLFSSLTPQALALDNATPPTPPLVIEAQPTKPSPSSTSPFTQNLLLTAPKPQSQSTSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPFLAFAGLFLLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRAKLIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >Manes.07G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31820509:31832137:1 gene:Manes.07G112600.v8.1 transcript:Manes.07G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKRNPLLLHCGGYLHVNIQCKSTILLPINHLQTLMGKHALPLRRLFHALTANHKFSFLPSDAIENYPNLSSCKDLYSLLQVHARLIVSGRQEDHSTNTHLVKSYLSFKKCDSARFLFDSLPNPSVRLYNSMIRAHASVKNHQGAIQLYHCMLGKHLEPDKYTFTFVLKACTGALEFKEGVLVHRDIVLRGLECDVFIGTALVDMYCKMGDLQLAREVFDKMPKKDVITWNAMILGLSQSVNPKEALGFFWNMQLCGMEPDLISIVNLVPAVSSLGDIDACRSIHGYVIRRGFDAVVSNGLIDMYSKCGDIDMASHVFKQMQDRDDISWRTMMAGYAHNECFFEVLDLFDSMKRENVRLNYISVVNALSAAAEMRDLRRGQEIQDYARQQRIDSDVFVATAMMTMYAKCGEPEAAKSLLRELKVRDLVAWSAIIAALVQSGHPEDALSLFRDMQNDCLKANNVTVMSSLSACAEAQSPRLGKSLHCYAVKTHIDSDISLATALVSMYAKCGFFACALTIFNRMPCKDIVLWNALINGYTQNGDPYHAMEIFQKVQSSEICPDSGTMVALLSACVLLDDQNQGSCIHGKIIKYGFESECHVKNALIDMYAKCGSLSTAKLLFNGTDFKKDEVSWNVLIAGYVHNGQAKEAVIPGSFRHRNSYRPEEFEVVGAFPKGLDPRERGGHQS >Manes.04G095600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30156629:30160726:1 gene:Manes.04G095600.v8.1 transcript:Manes.04G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTVVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYALKNSVTGEIIIKHLNKEQEADQSNFRDSASSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGAVYEDSD >Manes.04G095600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30157097:30160726:1 gene:Manes.04G095600.v8.1 transcript:Manes.04G095600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTVVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYALKNSVTGEIIIKHLNKEQEADQSNFRDSASSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDGAVYEDSD >Manes.01G046200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:12031442:12034769:1 gene:Manes.01G046200.v8.1 transcript:Manes.01G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDSLMPMNSIKFSEHRNLTKLVRPLPNPTPEIKPPRVVRISVTDADATDSSSDDEGEVYRRQRVKKFVNEITIESCSGESDAIWRSRSSRSSRRKCFAGKSSSGPASLLPVKGTTSGAGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFVTPPAKSSAPTKPEVSSGYNSGEESHNNNAICSPTSVLRFPSTSSNEEAESQAGISSSSKEAREIKEESSVSENFSEFSGYPSIDTLFPDDVFDFQSSMHDIFNETSMQDGYLKDDFEDMFVDSTADFGFGFGSSNWNVEDHFQDIGDLFGSDPLIAI >Manes.17G002700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1248660:1249212:-1 gene:Manes.17G002700.v8.1 transcript:Manes.17G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSGYCSSFVCFPSSKPISKMAATSVKAEAIAIEKSGVKIVRNPPESKLTELGVRSWPKWGCPPSKFPWTYSDKEICYLLKGEVKVYPDGSDEAVEIGAGDLVEFPKGMSCTWDVSVGVDKHYKFE >Manes.15G155800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12876836:12877917:-1 gene:Manes.15G155800.v8.1 transcript:Manes.15G155800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRLYSFIIVSLVIINILFFFYCLSRLLMLILIYILSCHVVHIVMFFSNLLVQVEVEYSNGCKFNLSSEFLRIHSPAVDGKVRSIGGEKVIFGRRHVGIMSAEPVGNYGVRIVFDDLHKTGIYTWDFFYHIGSNKFALMRNYIKTLRKHGLSRDPPKRK >Manes.15G155800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12875806:12878924:-1 gene:Manes.15G155800.v8.1 transcript:Manes.15G155800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAQRAIRWLHTSTDVPRLTRFTLQAPKSVEVEYSNGCKFNLSSEFLRIHSPAVDGKVRSIGGEKVIFGRRHVGIMSAEPVGNYGVRIVFDDLHKTGIYTWDFFYHIGSNKFALMRNYIKTLRKHGLSRDPPKRK >Manes.07G032000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3501451:3503437:1 gene:Manes.07G032000.v8.1 transcript:Manes.07G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEKWVDEIKENLKNIDTSKEMECWKKRSIYRIPACISDLSKSAYRPEVVSLGPYHHGEEYLNPMEEHKQRALVHFLKRTNKSIDSIVESFKRMVQDLKDSYDQLDDEKWQNDKFIQLMILDGCFMLEIFYFPSQKADYYAFNDPIFSKHRMLNMMIHVGRDMLKLENQLPLSVIYKLADAETDQDKELINRLIFSFFFPKKDFSPLGQCLHILDAYRKILLAGCLSKADTELKDGEGGGATQSATDLDEFGIQFQRSETTSIKDISFQGGVLKLPLAVVDDAFESTFLNLLAFERFHAGVGTEVTSYIYFMDTIIVHKSDVALLQSRGLIENAIGSDSVVAQMFHLLAKDTAMDPKSNLRVVVKKIDQHCQKPWNQFRSYLVRTYVHNRCPILSLTAAIIIFALASSQTFYTIFPYYHPRGN >Manes.05G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2881181:2888140:1 gene:Manes.05G034100.v8.1 transcript:Manes.05G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSQRRSVRHERDQSGCMWGLVSMFDFRHGRSTQKLLSDRRHGPRRAVAAVNAMNKSALLTNLDENHQGIIGGEESITVAVDDGKPSVKKLMEEEMFCEEDLKKHMDSAEPKQSNSEYGGNKRKNCKRTNRSRSKSCEICIEDLDDSEKLEPEMPCLQNLEKQSTNILDVDDMMEEFCRQIHHISCVKHDEHDEVHSQLNQKNPDLEEKLREAIELFISQRLISAKHVSGDGEIHPSKELKDALRILCSDEELSQKLLHGQKSVMVKYVENLWRAHVEKDDISKPLVRSNLSEHVIDDFKQSNEIIPGKRLNFFRRKAKSLEKNPSKEIMASQASNKIVILKPGPIGVEKTGTEKTLGSSPESQSITRNKGANGVGSYFFLTEIKRRLKQAIGKEQQEIAPDGASKRFANKYRARGDSDKKYRENNGRNSLGKDHFFIEKIARPPSAVRKGEKTDMLKECEIGLERETAAYPNNRMANIYVEAKKHLSDMLTSGTGVQNFSSGQVPKSLGRILSFPEYNFSPTGSPGREWGQGFVTAQMRFSNNNEFQKHESNGGHRGRMTLNSETDLCVSNDPAHSQAITSANPNSSSPSELAQDNEVDKILCTIGDTSGGDVDIVKSAEIGVQEDCNISDTLSEPINSSGTGDDQNGDLSEACDGKTFSGCSKHDLNEENQLPPSELTSPSTSPITKNDNNLEGAVEVSERPSPVSVLEPLFTEEDVSPASTRLQPAPLPIQPQRIQFEEHAPSSVDIGTHFKAHIAYKESIFEYVKAVVQASGENWDEFYIMSNSSDPLLDPSIFDEVEFFPNQFCYDKKLLFDCVDEVLMEVYGKNFGCPLGLSFAKPTVRPALDMKNSIHEIWEGVYWYLLPLPLPCTLELIVKKDMAKTGTWMDLRYDSETIIIEIGEAIFKDLMEEIMLGCISGISEGGYFSNAAELKDQSSIKL >Manes.04G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31875470:31883257:-1 gene:Manes.04G116500.v8.1 transcript:Manes.04G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKMSEDVWLTCLTHALSTETEEIMGLLLGDIEYSKNGSVTALIWGASPQSRSDRRKDRVETNPEQLAAASAQAERMTTSTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDPGFIGLIFSCFSEDVNKVGRIQVIAFQSSDGKQNNISRPISISPVNRSYIDVESSLSSSENTSVRSGSARVESPEQDTGDSKTTAGSSKGGVKSLELGDFFANADANYVGKDRIGGNYHTGNSDNTMVDIDPMDMSESMQEAMHRSNLEMSGAEYIRKEIPLHVLPTCSLLKLDSPLMSFTDLQRVLYEEERGAYNQAILQNLRDGKVHPLTFIHHTATYQASMCKLIEYCLSPAIKALQDRLRENEIRLAMMVDEAKMLETETFRGSEPSSRSPRHGSSHGLRGSSSSSPRDLHSSSESLSARTVPSPGSRTRAGS >Manes.04G116500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31875577:31883182:-1 gene:Manes.04G116500.v8.1 transcript:Manes.04G116500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSVKMSEDVWLTCLTHALSTETEEIMGLLLGDIEFKWYSKNGSVTALIWGASPQSRSDRRKDRVETNPEQLAAASAQAERMTTSTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDPGFIGLIFSCFSEDVNKVGRIQVIAFQSSDGKQNNISRPISISPVNRSYIDVESSLSSSENTSVRSGSARVESPEQDTGDSKTTAGSSKGGVKSLELGDFFANADANYVGKDRIGGNYHTGNSDNTMVDIDPMDMSESMQEAMHRSNLEMSGAEYIRKEIPLHVLPTCSLLKLDSPLMSFTDLQRVLYEEERGAYNQAILQNLRDGKVHPLTFIHHTATYQASMCKLIEYCLSPAIKALQDRLRENEIRLAMMVDEAKMLETETFRGSEPSSRSPRHGSSHGLRGSSSSSPRDLHSSSESLSARTVPSPGSRTRAGS >Manes.S022415.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251224.1:237074:238565:-1 gene:Manes.S022415.v8.1 transcript:Manes.S022415.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.11G056900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6920707:6922436:-1 gene:Manes.11G056900.v8.1 transcript:Manes.11G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSAATTTTTTTYNRKKIKLPPVDTVNKIANTNGVSGFEPSFLKWTVHDVLNVATRHWLPCLFALGLLFFMGVEYTLNMIPASSPPFDLGFVATKRLHQLLSSSPELNTLLAALNTVFVGMQTAYIMWTWLIEGRPRATISALFMFTCRGILGYSTQLPVPEGFLGSGVDFPVGNVSFFLFFSGHVAGSVIASLDMRRMERWELAWTFDVLNVLQAVRLLGTRGHYTIDLAAGLGAGFLFDSLAGKYEASKRKEAIATAKEAFM >Manes.15G002000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:289897:290424:1 gene:Manes.15G002000.v8.1 transcript:Manes.15G002000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVSSPPIILLCILLLSTSLPLLQSSDLIQQICKKTPFYDLCVSSLTPQNPITDVKALASTITTLVLSNATDTLSYIQELIKQGADTQLQKPLANCAELYIPVVKYNLPQAIDALLRGQFGFTSYLLSDAGKQAKDCEKNFSGSDQSPLTERNKLVSNLCDVAVAILKLLQKG >Manes.08G132100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36971454:36977921:-1 gene:Manes.08G132100.v8.1 transcript:Manes.08G132100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKIEETQLNMLESQVEHGGGGAWEYICLVKKLKVRRSDKVLKHGLSILNDPKKRSSLGPDEWTLYEQMAIAAMDCQCLDVAKDCINVLQKRFPESKRVGRLEGMLLEAKGSWTEAEKAYTSLLEDNPLDQAIHKRRVALAKAQGNMSGAIEILNKYLEIFMADSDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLENLQAAKKYYSSTIELTGGKNTRALFGICLVSSLSFSLDNQEVMLIFKAAFILMMPECSFIILCFLICMIEKRMLRTLLCCPVYICHCTTHKRAKQGRQG >Manes.08G132100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36971454:36977886:-1 gene:Manes.08G132100.v8.1 transcript:Manes.08G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKIEETQLNMLESQVEHGGGGAWEYICLVKKLKVRRSDKVLKHGLSILNDPKKRSSLGPDEWTLYEQMAIAAMDCQCLDVAKDCINVLQKRFPESKRVGRLEGMLLEAKGSWTEAEKAYTSLLEDNPLDQAIHKRRVALAKAQGNMSGAIEILNKYLEIFMADSDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLENLQAAKKYYSSTIELTGGKNTRALFGICLCTSAIAQLTKGRNKEDKDSPDLQSLATAALEKDYKQRAAAKVPVLASALKSLIVSS >Manes.02G104950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8199051:8199459:1 gene:Manes.02G104950.v8.1 transcript:Manes.02G104950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTRMSPNHFQMIPMTAALADLRSSFLEPAVAFSQ >Manes.08G123000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36260630:36261778:-1 gene:Manes.08G123000.v8.1 transcript:Manes.08G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSTHKHRIFSFSIFFLLSSPFSVAQSPNDPRERYGGYARVTPPMAVIIAILITALFFMGFFSVYLRHCSESRGGGSVRALTTGGRSRRAAASRGLDPAVIQTFPTLVYSEVKGLKIGKGTLECAVCLCEFEDDETLRLIPKCDHVFHPDCIDVWLASHTTCPVCRANLTPQPGDPAPQIANSPPQSDLEAQDHAFVELEPEVCDHNDGNVGTATTPEIEVMSLNQTLNRNRTRGSRSGRPRRFPRSHSTGHSLVQPGENTDRFTLRLPVEVRQQIMNRELNRTMSMVVFSRERSSRRGYKGGGEGSSRGRYKRLERLDQGAKSDRWVFSGAPPFLARASSFLTRASSSIRSPKVGANGGEGSSGQPVGSDSAAEPNRPPV >Manes.03G203900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31923790:31925541:1 gene:Manes.03G203900.v8.1 transcript:Manes.03G203900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSEIFSFHEVPDELSSTNKSSERMEEGIQGQLSGGEDWGESASFDSHHSDFGSLKDNSSKENLIFFSHHQEWFLDSDIFCGLEDNIFSPPVKKSLGGISRLGEIQNDIQDQPKKTTQDQFTLTSLGLLKSYGKGLKRLSSERIIKPTNDTPSIEVASQELSTEGIMRVAAERFIQPFTKTVDVVVSMLDNPFDISFSGLPDEEAKKLELAELLLAAAVKVSNQQYDRARTLLNQCDCLSSTTGNAVERVVHYFSKALEERIDQETGKITSQGLEKQQFFNIDEAIMASTPNILACYQEVPFSQVAHFAGIQAIVENVTEAKRIHVIDLGIKIGVQWTGLMQALVSQSDFSLELLKITAIGTTLKRLIKDTGKRLTSFAESVGLPFSFNTVMVSDILELKEDLFELDADETVVVYCEYLLWSFIPLPDRLDSMMKVIRNLNPTIMVVTEPEFNSTSPYFVNSFIESLFYFSAYFDCLECCMRDNPKRMIIESLRFGEAIRNIVTTEGEERKIQNATLDVWRVFFARFGMEETELSTSSLRLAELIAKKFPCGSACTLGMDGKSLLIGWKGTAMHSLSAWKFI >Manes.14G160500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24571165:24579409:1 gene:Manes.14G160500.v8.1 transcript:Manes.14G160500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNRNDEGIKLALYKAAISGDWEKAASLQPTKRTLNKRGETALHIATAASHTRFVEKLVGMIPESDKEFLAIRTIPTAIAPQTSSLAQAVVAAPTAFEIREDLTTSRRSIVAALESANAKAHAAQQGNAQATTLVRIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAEPPTTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTVVTVAQQVRVPIQAATSATTSTAIASQASTLGGAGAVASESEDQGNTAFCYAAISGNVKIAQIMREKKTDLPKVRGGKGFLPIYMAALAGHAEMVRQLYKLHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRPELATKRDEKNRQGETALHAFARKPCIPSIQTSTGIWSYCRNFFSDRRKHEQGLRLVQKLWEKVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHIAVLNRHEKIFRLILQLGMMKNLINLHEDANGNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQGTGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIQFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCSNNETLFPTKSKFPRKLCCSFN >Manes.14G160500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24571164:24579409:1 gene:Manes.14G160500.v8.1 transcript:Manes.14G160500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNRNDEGIKLALYKAAISGDWEKAASLQPTKRTLNKRGETALHIATAASHTRFVEKLVGMIPESDKEFLAIRTIPTAIAPQTSSLAQAVVAAPTAFEIREDLTTSRRSIVAALESANAKAHAAQQGNAQATTLVRIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAEPPTTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTVVTVAQQVRVPIQAATSATTSTAIASQASTLGGAGAVASESEDQGNTAFCYAAISGNVKIAQIMREKKTDLPKVRGGKGFLPIYMAALAGHAEMVRQLYKLHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRPELATKRDEKNRQGETALHAFARKPCIPSIQTSTGIWSYCRNFFSDRRKHEQGLRLVQKLWEKVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHIAVLNRHEKIFRLILQLGMMKNLINLHEDANGNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQGTGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIQFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCSNNETLFPTKSKFPRKLCCSFN >Manes.14G160500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24573496:24579409:1 gene:Manes.14G160500.v8.1 transcript:Manes.14G160500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNRNDEGIKLALYKAAISGDWEKAASLQPTKRTLNKRGETALHIATAASHTRFVEKLVGMIPESDKEFLAIRTIPTAIAPQTSSLAQAVVAAPTAFEIREDLTTSRRSIVAALESANAKAHAAQQGNAQATTLVRIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAEPPTTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTVVTVAQQVRVPIQAATSATTSTAIASQASTLGGAGAVASESEDQGNTAFCYAAISGNVKIAQIMREKKTDLPKVRGGKGFLPIYMAALAGHAEMVRQLYKLHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRPELATKRDEKNRQGETALHAFARKPCIPSIQTSTGIWSYCRNFFSDRRKHEQGLRLVQKLWEKVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHIAVLNRHEKIFRLILQLGMMKNLINLHEDANGNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQGTGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIQFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCSNNETLFPTKSKFPRKLCCSFN >Manes.14G160500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24571176:24579409:1 gene:Manes.14G160500.v8.1 transcript:Manes.14G160500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNRNDEGIKLALYKAAISGDWEKAASLQPTKRTLNKRGETALHIATAASHTRFVEKLVGMIPESDKEFLAIRTIPTAIAPQTSSLAQAVVAAPTAFEIREDLTTSRRSIVAALESANAKAHAAQQGNAQATTLVRIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAEPPTTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTVVTVAQQVRVPIQAATSATTSTAIASQASTLGGAGAVASESEDQGNTAFCYAAISGNVKIAQIMREKKTDLPKVRGGKGFLPIYMAALAGHAEMVRQLYKLHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRPELATKRDEKNRQGETALHAFARKPCIPSIQTSTGIWSYCRNFFSDRRKHEQGLRLVQKLWEKVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHIAVLNRHEKIFRLILQLGMMKNLINLHEDANGNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQGTGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIQFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCSNNETLFPTKSKFPRKLCCSFN >Manes.14G160500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24571170:24579443:1 gene:Manes.14G160500.v8.1 transcript:Manes.14G160500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNRNDEGIKLALYKAAISGDWEKAASLQPTKRTLNKRGETALHIATAASHTRFVEKLVGMIPESDKEFLAIRTIPTAIAPQTSSLAQAVVAAPTAFEIREDLTTSRRSIVAALESANAKAHAAQQGNAQATTLVRIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAEPPTTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTVVTVAQQVRVPIQAATSATTSTAIASQASTLGGAGAVASESEDQGNTAFCYAAISGNVKIAQIMREKKTDLPKVRGGKGFLPIYMAALAGHAEMVRQLYKLHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRPELATKRDEKNRQGETALHAFARKPCIPSIQTSTGIWSYCRNFFSDRRKHEQGLRLVQKLWEKVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHIAVLNRHEKIFRLILQLGMMKNLINLHEDANGNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQGTGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIQFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCSNNETLFPTKSKFPRKLCCSFN >Manes.14G160500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24571176:24579409:1 gene:Manes.14G160500.v8.1 transcript:Manes.14G160500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEGNRNDEGIKLALYKAAISGDWEKAASLQPTKRTLNKRGETALHIATAASHTRFVEKLVGMIPESDKEFLAIRTIPTAIAPQTSSLAQAVVAAPTAFEIREDLTTSRRSIVAALESANAKAHAAQQGNAQATTLVRIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAEPPTTNTNAAQQENAQATTLVTIERQVGSPVQAANTATTSKAIAPQASSPSEAIPAAPTLSTIEEDPSTSEAQAESATTNTNAAQQENAQATTVVTVAQQVRVPIQAATSATTSTAIASQASTLGGAGAVASESEDQGNTAFCYAAISGNVKIAQIMREKKTDLPKVRGGKGFLPIYMAALAGHAEMVRQLYKLHRDDNQLKLEDGDLVSLLIALVESDIYDIALEMIEDRPELATKRDEKNRQGETALHAFARKPCIPSIQTSTGIWSYCRNFFSDRRKHEQGLRLVQKLWEKVILLKEHEVSDLMILPSGKRLIFIAAENGNVEFLTILIRQYPDLVLKVDDNQYTIFHIAVLNRHEKIFRLILQLGMMKNLINLHEDANGNNILHLAGKLPPPSRLNIIRGAALQLQHELLWFEEVKKVVRPGQIAEKNLAGKTAREVFMDAHEDLRKKAEKWMINTANSCMLVATLIATVVFAAAFTVPGGNGQGTGIPIFVRDTLFKIFAIADAVSLASSTSSILSFLSILTSRFSMDDFLKSLPRKLICGLLFLFVAIITMMVAFVLAFFFIFKHGLIQFAISISALASIPIVLFIWQLFLVYEMIRSTYMCSFVFCSNNETLFPTKSKFPRKLCCSFN >Manes.08G118700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35790448:35793772:1 gene:Manes.08G118700.v8.1 transcript:Manes.08G118700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSKAFSLLLLFLSEFLLGLAAAAANDSPKLGTVIGIDLGTTYSCVGVSRNGHVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAAFNPENTIFDVKRLIGRKFDDPEVQKEIKFLPYKVVNKDGKPYIQVKVKGENKAFSPEEISAMVLGKMKETAEAYLGKKIKDAVVTVPAYFNDAQRQATKDAGAIAGLNVVRIINEPTAAAIAYGLDKKGREMNILVYDLGGGTFDVSILTIDNGVFEVLSTSGDTHLGGEDFDRRVMEYFIKVIKKKYNKDISKDKRALGKLRRECERAKRALSSQHQVRVEIESLFDGTDFSEPLTRARFEELNMDLFKKTMGPVKKALEDAGLKKSDINEIVLVGGSTRIPKVQELLKDMFDGRELNKGINPDEAVAYGAAVQGGILSGEGGEETKGLLLLDVTPLSLGIETVGGVMTKLIPRNTVIPTKKSQIFSTYQDQQTTVSIKVYEGERSLTKDCRELGRFDLSGIPPAPRGVPQIEVTFEVDANGILHVKAEDKAAKKSQSITITNDKGRLSQEEIDRMIKEAEEMAEEDRKVKEKIDARNKLEAYIYNMRSTINDKDKLADKIDSDDKGKIDSTLKEALEWLDDNQNAEKDDYEEKLKEVEEVCNPVIKQVYEKSGRSSADSEDEEPVDEL >Manes.03G138751.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26689374:26690440:1 gene:Manes.03G138751.v8.1 transcript:Manes.03G138751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNKDGSSNVGDRSQNRSGREDSREGGKPIFTSKLAKIEFPKFSGDDPTEWMTRVEQFFDYQKTDTSEKVYLASYHLQGEANQWWRWLKRSYEEEGKEVSWDTFVEELWSRFGPTECEDFDESLSKIRQTGPLRDYQREFEWLGNKVKGWTQKAPVGTFMGGLKIEISDGIRMFTPKTLKEAINYARMRDEQLQRQKKAIRTFTPTNLLSPTKEKTATPVKRLSWEEMQKRRAAGLCFNCDAKFTPRHRCAKPQLLLLDGGTEDEDEDEPEISLHALTGWSNSQTMRVLVKIGSTEMIVLIDSGSTHNFINGKMAESLRLLATAVMPNKVKVANEGHL >Manes.11G150900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31508206:31510616:-1 gene:Manes.11G150900.v8.1 transcript:Manes.11G150900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKNKNNHEDQDHNHEGEEEVIQKKEAGDGGAKKDGGKNPPTTVFKVEMHCEGCASKIIKMARRLEGVESVKADTTASKLTVIGKVDPSQIREILHQKTKKKVDIISPQPKKEDSNNKNNRDDNKKSSEKKPDAENKKPDADNKKPKEAPVTAAVIKVAFHCLGCIEKIHKIVIKTKGVQEMTLDKQKETVTVKGTMDVKALTEDLKKRLKRPVEIVPPKKEKDAGGGGDKDGKNAGGGNSGQKKNKGGDSQDNAGGGDKDGKNAGGGNIGQKKNKGGDIQDNAGGGNATAKMEVSKMEYAMQPGFGYCPGPAVGQLVPVYWNGYMGQPVHVPVPMSMPVHEYGYGAGQVSGNSVYMKFNDENSDACLVM >Manes.11G150900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31508206:31510616:-1 gene:Manes.11G150900.v8.1 transcript:Manes.11G150900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGCASKIIKMARRLEGVESVKADTTASKLTVIGKVDPSQIREILHQKTKKKVDIISPQPKKEDSNNKNNRDDNKKSSEKKPDAENKKPDADNKKPKEAPVTAAVIKVAFHCLGCIEKIHKIVIKTKGVQEMTLDKQKETVTVKGTMDVKALTEDLKKRLKRPVEIVPPKKEKDAGGGGDKDGKNAGGGNSGQKKNKGGDSQDNAGGGDKDGKNAGGGNIGQKKNKGGDIQDNAGGGNATAKMEVSKMEYAMQPGFGYCPGPAVGQLVPVYWNGYMGQPVHVPVPMSMPVHEYGYGAGQVSGNSVYMKFNDENSDACLVM >Manes.09G152601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34946679:34951170:1 gene:Manes.09G152601.v8.1 transcript:Manes.09G152601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERELANWLKDYVGRNEVDNCIYQIAQGPSRKVQSYKGYFVNGFKFHRHDYGRERKTLNSGVWVKGSCYNEYESDYYGLLNEVLELEYFGEKNKIILFKCEWFDTNRGVRVHPQHGLVEINVKLRLASSDPFILAQQAHQVCYIKYPKINKVRVDWCAVFKTKARSTYNIGPSMVNNNSNEQNSNDVAYQEDDVSRPQEIVPTTELDDPTMLLDSSSMVEVDVNELQQVQQPLEVVEDEDEDVEEEEEGEDEEEEEDTEESDDDLEVDGIDSDDDVNLEDDSE >Manes.09G152601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34946930:34950833:1 gene:Manes.09G152601.v8.1 transcript:Manes.09G152601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERELANWLKDYVGRNEVDNCIYQIAQGPSRKVQSYKGYFVNGFKFHRHDYGRERKTLNSGVWVKGSCYNEYESDYYGLLNEVLELEYFGEKNKIILFKCEWFDTNRGVRVHPQHGLVEINVKLRLASSDPFILAQQAHQVCYIKYPKINKVRVDWCAVFKTKARSTYNIGPSMVNNNSNEQNSNDVAYQEDDVSRPQEIVPTTELDDPTMLLDSSSMVEVDVNELQQVQQPLEVVEDEDEDVEEEEEGEDEEEEEDTEESDDDLEVDGIDSDDDVNLEDDSE >Manes.09G152601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34946934:34950792:1 gene:Manes.09G152601.v8.1 transcript:Manes.09G152601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERELANWLKDYVGRNEVDNCIYQIAQGPSRKVQSYKGYFVNGFKFHRHDYGRERKTLNSGVWVKGSCYNEYESDYYGLLNEVLELEYFGEKNKIILFKCEWFDTNRGVRVHPQHGLVEINVKLRLASSDPFILAQQAHQVCYIKYPKINKVRVDWCAVFKTKARSTYNIGPSMVNNNSNEQNSNDVAYQEDDVSRPQEIVPTTELDDPTMLLDSSSMVEVDVNELQQVQQPLEVVEDEDEDVEEEEEGEDEEEEEDTEESDDDLEVDGIDSDDDVNLEDDSE >Manes.03G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11531187:11532520:1 gene:Manes.03G072300.v8.1 transcript:Manes.03G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKKGLWSPEEDEKLIKFITTRAHVSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTAQEEKTIIDVHRILGNKWAQIAKHLPGRTDNEIKNFWNSCIKKKLIAQGLDPNTHKLLSPNYAQSYNNNTACTPSADSHYQPTSSSSVFHATSQMKDLSMDVKETPFTPSLTSIASHDANYFCLHPLHISSTLTTFCEHQNSNIQALLDHASQSSPMGSVPISCSATNPSGFGIIDEKNLWGGYTEPIQPLRNEQMKVEQAVQFERTSEVYAGQNMDSLFQSSNFNFHFMECTQMPEMYYSVNPIDQLTWDSQILQ >Manes.02G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9308267:9311528:-1 gene:Manes.02G122400.v8.1 transcript:Manes.02G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYSVSSPRRILSLSKRKRATVYFQDPDDKGSGFGVAGEHGLKTSEVYGFVGSITTIVATVIFLVWAYVPEPLLHSIGVFYYPSRYWALAVPTYAMVTVVLALAFYLGLNFLSTPHPTSSSTIFDDFSREPADSVPLTEGDDQPIEPISDISINKINSLMFKNVK >Manes.17G029036.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19973210:19974634:1 gene:Manes.17G029036.v8.1 transcript:Manes.17G029036.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANSNKPPIKIIIDTSLANSVKPSQSSILTRFHAGYFRITLSLGSQALLWKILMTKPINNDDSRDAWLIFRKFSFTAFLLLWWLTLVTQFSLSILYLLKCFFHFNMVKAEFLHYIGVNFFYSPWISWLLLLQSSPLAVSNPIPYLVLCWGFSIPILMLDVKLYGQWFTTERRFLSTSVNPTSQLSVIGNLVVATAAAQGGHREGAVCMLSLGMVHYLVLFVTLYQRFPGNKSFPAVLRPAFFLFFAAPSIASFAWKSMSGAFDTPSKMLFFLSLFLFLSLACRPTLFNKSIRKYNVARWSYSFSLTFLALASSQYAKEVQDHGAFILMLVLSALSVMVLLGLILLTVLNTDCLLQENDPILKLVNNSGLKRCR >Manes.14G137900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12086733:12093982:-1 gene:Manes.14G137900.v8.1 transcript:Manes.14G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNLYDEFGNYIGPEIESDQESVEEEEDEELPDKPHEDEAASDGEEAMDASNGWITTRDDVDMDNQVVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLEQPIVKPVRNIKFEVGVKDSSTYVSSQFLVGLMSNPSLVRNVALVGHLQHGKTLFMDMLVEQTHHMPTFDTNSEKHMRYTDTRIDEQERRISIKAVPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQERLPVVVVINKVDRLITELKLPPKDAYHKLRHTIEVINNHITAVSSTAGNVQVIDPAAGNVCFASANAGWSFTLQSFAKLYLKLHGIPFDADKFATRLWGDMYYHPDTRAFKKKPPAGGGERSFVQFVLEPLYKIYSQVIGEHKKSVEATLAELGVTLPNAAYKLNVRPLLRLACSSVFGSSSGFTDMLVQHIPSAKNAAAKKVDHIYTGPKDSMIYKAMVDCDPSGPLMVNVTKLYPKSDCSSFDAFGRVYSGKIMTGQSVKVLGEGYSPDDEEDMTVKEVTKLWVYQARYRLPISMAPPGSWVLIEGVDASIMKTATLCNVNYNNEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIENGVVSIDWNRKALGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCLSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADIHQQMI >Manes.07G111800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31713110:31713416:1 gene:Manes.07G111800.v8.1 transcript:Manes.07G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQLLKDKKFWFASFIIAWAAALQGHMMWLQRQDSFKQKFATLNNQGDDDSENNSRE >Manes.10G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31322879:31326501:-1 gene:Manes.10G146000.v8.1 transcript:Manes.10G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEYLRDPDDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFMQPDGA >Manes.01G182400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36055194:36058295:1 gene:Manes.01G182400.v8.1 transcript:Manes.01G182400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPGMGSPYPMLRELKSEERGLYLIHLLLTCANHVASGDLENAEVALGQISQLASANGDTMQRIAAYFTEALAHKVIKALPGVHRALNATKITLVSEEILVRKLFFETLPFLKVAFVLTNQAIIEAMEGEKMVHIIDLNAAEPAQWLSLLQALNARPEGPPHLRITGIHQQKEVLDQMAHKLTGEAERLDIPFQFNPIVSKLENLDVEKLRVKTGEALAISSVLQLHPFLASDDELRKRSPVPSKNSNGSHLLRALQMNQGTLGELLEKDMVNGYSPSPDSTSLSPLSSTASMKMDYFLNMLWTLSPKLMVVMEQDSNHNGSNLMERLLEALYSYAALFDCLESTVSRTSMERLKIEKMLFGEEIKNIVACEGAERKERHEKLEKWIQRLDLAGFGYVNLSYYSMLQARKLLQGYGCDGYKIKEENGCVVICWHDRPLFSVSAWRCRK >Manes.08G069730.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12536767:12548047:-1 gene:Manes.08G069730.v8.1 transcript:Manes.08G069730.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPTDIVRQTRDLLIYADRPSSDPRDAKREEKMEELSRNIRELKSILYGNSESEPISEACAQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVQSRLIASEYLEKNTDLLDILIGGYENTDMALHYGAMLRECIRHQSVARYVLESEHMKKFFDYIQLPNFDVAADAAATFKELLTRHKSTVAEFLSKNYEWFFAEYNSKLLESANYITRRQAIKLLGDILLDRSNSVVMTRYVSSRDNLRILMNLLRESSKSIQTEAFHVFKLFAANQNKPPDIVTILVANRSKLLRLFADFKTDKEDEQFEADKAQVVKEIAALEPRERT >Manes.18G057600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4754997:4758673:1 gene:Manes.18G057600.v8.1 transcript:Manes.18G057600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQEIEASPPSKKLADDEDEISPIEQVRLTVPNTDDPSLPVWTFRMWFLGLFSCCLLSFLNQFFAYRTEPLIITQITVQVATLPIGRFMAAVLPKKRFTIPGFGAREFSLNPGPFNVKEHVLISIFANAGCAFGTGSAMAVGIVTIIKAFYGRNISFFSSWILIISTQVLGYGWAGLLRKYVVEPAHMWWPGNLVQISLFTTSHEKEDTENQHRVSRVKFFVIALTCSFIWYLVPGYLFQTLQCMAWVCWAFPKSVTAQQLGSGMRGLGLGALTLQDWSTIASFLPSPLLTPFFAIVNVFIGLVLLMYVVTPVAFWGLNLYDAKRYPLFSSHLFDSQGARYNLTAIVNDKFELDLAQYERHGRVHLSTFFALIYGFGFAGIAATVTHVALFHGREIYDRYKASYKGKEDIHTRLMKNYKDIPAWWFHVLLFVTIVVSLALCIFLKKDVQMPWWGLLFAAGLAFIFTLPVSIIAATTNMAPGINVISEYIMGSIYPGRPIANVCFKIYGLISMAQAVSFLSDFKLGHYMKIPPRSMFIVQFIGTIYSWNNQPLCGMVASN >Manes.05G134900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23655020:23660720:1 gene:Manes.05G134900.v8.1 transcript:Manes.05G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSGLSAHLHFKLTRLHISPLHTTVYGLQLSKKKRRTVGVSPITCCCSDSVVKNEQKSSQSHRIRVQATPALPFASPQSRFVSKQEKFSPRCTPRNSGPQSRDTPPKRDTGIANEKDWGINLLNENVNESGTNEDGSTWYRESGEDLGENGYRCRWTRMSGRSHDDTSEWKETWWEKSDWTGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPSGERWSRTWGEEHFGNGKVHKYGRSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIKPRERPPGVYPNLDFGSSPASSADDDHSADLPPSLQ >Manes.01G001150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1672009:1673082:1 gene:Manes.01G001150.v8.1 transcript:Manes.01G001150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHQFLKLLAFTSLALSSLHYGLGAKCGSNGPTIQQTQVGYGNPPKYIVEVYNRCPMCPVINIHLKCGSFPQALVSPRLLKVVAFDDCVVNGGLPLAPLQKFSFSYSHSKYLMPLATWSFQCE >Manes.17G121440.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32613274:32632442:-1 gene:Manes.17G121440.v8.1 transcript:Manes.17G121440.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKPKLDGCQNYEGGIAGEPGSEAHGGYTFCGLAAMILINEANRLDLAGLLDRVVFRQGVECGFQGRTNKLVDGCYSFWQGGVFALLKGLHSVNGEHVAFSDPEEGDSATDGTSEGEDGNSLGTDETCHIKRGVGDGEMAPLFHSVALQQYIILCPQEQEGGFRDKPGKARDYYHTRYCLSGLSATIGVRFWCLCHKN >Manes.05G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9284181:9289284:-1 gene:Manes.05G099700.v8.1 transcript:Manes.05G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAANKKSSGGDGDEEDEE >Manes.06G150800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27861535:27865160:-1 gene:Manes.06G150800.v8.1 transcript:Manes.06G150800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTINNSVDTINAAATAIVSAESRVQPNSVQKKRWGGCWSLYWCFGFHKNSKRIGHAVLVPEPEVPGGVVPSADNQTHSTPVAVPFIAPPSSPASFLQSDPPSATHSPAGLLSLTSLSMNAYSSGGPASIFSIGPYAHETQLVTPPAFSAFTTEPSTASFTPPPESVQLTTPSSPEVPFAQLLASSLERARRSSGSNQKFALSHYEFQSYPLYQGSPGSQLISPGSAISNSGTSSPFPDKYPIIEFRMGEAPKLLGFEYFTTRKWGSRLGSGSLTPEGVGLGSRLGSGSVTPDGAGLRSRLGSGSLTPDFTGSASREGFLLENQISEVASLANSENGSKNDEAIVDHRVSFELSGEEVARCLESKSMQSCRTFLECSQDNISEDHIKNGKMAAYGENCLSIRETSNDLPGKTSGEMEEDHCYRKQRSISLGSIKEFNFDNSKEVSDKPTISSEWWANETIAGKEARPANNWTFFPLLQPEVS >Manes.07G075728.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20752183:20756590:-1 gene:Manes.07G075728.v8.1 transcript:Manes.07G075728.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHDVTQKGQSIVRLPFFDGNDFLYWKIRIYYFLKLEGVDLWDIVENDPFFPARVIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTAKKIWDALVVTHEGTNQVKEYKMESLIYQYELFKMKSDETISQMYDRFIAIIGGMKSLGKTFTNEELLPKVTSLKDAKDLSKVQLDELLGNLIDYEMTLKREQVEEPSKVKMNIALKKPIKKFNKKAFKATWNESSDIEEEDVGDEVANMCFMVLEENSNEVTTLNDTTLYDDVVEFSYDELVGALKLMNDELEKSHKKNKILKCELASLKRKSKDKLDEILDSQRSPSIKYCLDYDKSTYENSSKTVFIKVTNLNEPKVSNFNGNIPKASSSNVPIKNAPIRNTPIRNAHLRNHHSHHISCSHVCLKSSKIESKWYLDSGCSEHMIENSSHLIYLEKDSSGQVTFGDNENGKIIRIGKVGKENSPILDKVLLVDGLEHNLLSVSQLCDKCCRVIFEPKSCFVSRMSDNKILFVGERVKNIYLIDLQAMTNLDMRCFVSVSDNSWIWHRRFSHASMDLLKNLSKDELVDGLPKFKYEKDKVCDVCQMGKQIKSSFKSINKVITSRPLQLLHMDLFGSTRVASLGGMHYGFVIVDDYSKYTWV >Manes.15G031100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2427628:2434178:-1 gene:Manes.15G031100.v8.1 transcript:Manes.15G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGNSKKSKLSWSKKMVRKWFNIKSKTEDFQADDVCGGVEVEYRTSFSEREPCTIKKSKTEKFSKNPEQVRLGRMNLDHPRIIDVQNYSIFVATWNVAGRSPPRNLSLDDWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWLALIRKTLNNLPGTSGSGGCYTPSPIPEPIVEMDADFEGSSRQKNSSFFHRRSFQTPHSWRMDNDPSIPQPRLDRRFSVCDRVIFGHRPSDYDPSYRWGHRPSDYSRPSDYSRPSDYSRWGSSDDDNGPGDSPSTVLYSPMSYGGSCSGSTSMEDGYRRPGYSRYCLVASKQMVGIFLTIWVRSELRDHVRNMKVSCVGRGLMGYLGNKGSISVSMSLHETTFCFICSHLTSGQKEGDELRRNADVMEILKKTRFPRVNSAADEKSPETILQHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQRRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGIQQLSYVRGESRFSDHRPVYGIFWAEVESSHGQLKKSTSYSSSRIEVEELLPYSHGYTELNFF >Manes.09G117700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045520:32053649:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045520:32053649:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQDIQPSAIPNGVHSSESFFSGVFENLPIISGYSGLLQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045520:32053652:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32044791:32053659:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045520:32053652:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQDIQPSAIPNGVHSSESFFSGVFENLPIISGYSGLLQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32044792:32053658:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQDIQPSAIPNGVHSSESFFSGVFENLPIISGYSGLLQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32044792:32053658:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045520:32053652:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQDIQPSAIPNGVHSSESFFSGVFENLPIISGYSGLLQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045520:32053639:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32044791:32053659:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQDIQPSAIPNGVHSSESFFSGVFENLPIISGYSGLLQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045520:32053639:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQDIQPSAIPNGVHSSESFFSGVFENLPIISGYSGLLQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045520:32053652:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G117700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32045542:32053634:-1 gene:Manes.09G117700.v8.1 transcript:Manes.09G117700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMLRMESKTCMNALCGASTSSGWRKGWPLRSGEFANLCDKCGSAYEQSIFCDMFHSKDSGWRNCASCGKRLHCGCIASIFLLELLDHGGGVNCISCTKSSGVNSVMGNEKPNGFGTSKGDDIGELQSADNQLDGERKLMQSGCTTEGIGTRDLLQLQNEETSGSFRQMKQEDNVAPRAEIGITSFSNFNQASNGLSQNSKPEIHKSTIAAKELYESLAQTNLSITLGSPLGNPNSFPGAVVDERGQSKAPSPLQQGSRSRHLLPKPPKSALATGLESNVGMVSQIRVARPPTEGRGRNQLLPRYWPRITDQELQQISADSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKDWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLVMGFRKASNNMAMQDIQPSAIPNGVHSSESFFSGVFENLPIISGYSGLLQSIKGSTETHLSALSKHLHSANGDTSWHKFEKHEERMRESLLLPSLLVPERKRTRNIGSKSKRLLIDSLDALELKLTWEEAQDLLRPPPTVKPSIVTIEDHDFEEYEEPPVFGKRSIFVIRSIGFITRGQEQWAQCDSCCKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSSPDELTPRELEHLLTLNKEFKRRRMTSIQRPAQEQESSGLDALANAAILGDEGDPGTTAVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTMMMRKKKRQSEREAEIALRNQHLSGPRDEAEVESSSKHVLTPQDPSENEARSVNELEPKSQSNNVSNKMVDIGKGHIDLNCHPDREEESQVGLARMSMMNLLQVASLPLETYLKQNGLTSLVSEQQGSSASHVPPQAGESEGRPAEDCQLVSAVQEQKQESGGEENCGTGPEQSQNDPV >Manes.09G076376.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13132819:13142586:1 gene:Manes.09G076376.v8.1 transcript:Manes.09G076376.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVVVGQAKSGELCGEIGVLYYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKGSDLRDRGGQQ >Manes.01G148400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33782350:33785095:-1 gene:Manes.01G148400.v8.1 transcript:Manes.01G148400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVTRSRQQITQQPEPQSRARWTNGLTKIFADLMVEQVQKGNKINNNSFSKKAWNIMSDEFYQKTGLKWDKEQLKNRYAVMRRQHAIVKSLLSRSEFGLDASTGNIIASNQAWNDYIKGHPDAEPIRGSGCPIYKQLGVIFSEPLTNGNHDQPAEQEEEVPSSVPLKETLNAVQQEESPSESEDEDDVADNQEMVQPATHVATTTMHSTSTTTGAENITAGNRKRGRKGIDDAIAGAILHMAAASRLRTAAIKRISERYSVADCVKELDAMQGVEEGVYFAALDLFDNPNAREIFLSLKGEKRMIWLLCKCNASQVS >Manes.08G114900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35393508:35394523:1 gene:Manes.08G114900.v8.1 transcript:Manes.08G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQLEFNRLPVDCVSTILSLTSPLDVCRSSLVSTSFQSAADSDVVWESFLPSDYSNIVSRIIAPLKFSSKKELFLRLCNPIFIDDGTKSFNLEKSSGKKSYLLSARDLSITWSKEPMYWHWASLPESRFSEVATLRTICWLEIQGKIKTQMLSPNTVYVAYLVMKITGRAYGLDLMPSEVSVEVGNHLSKSTAYLRRGEDSKKQQLERLFFANRMQMLQSRVMEGDGRVPRDRDDGWMEIELGEFFNGENDDEEVKMRLEEVKGQHLKGGLVIEGIEIRPKH >Manes.03G066900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:8904548:8905540:-1 gene:Manes.03G066900.v8.1 transcript:Manes.03G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYYLSLLLLLLHLINSIFNYAFATPTPSPAPSATATPCIPAPISTPSPAPSSTITPVPSPNAAPIANAHIPTPNASPIASARMPTPITTTTTPIQTHNITTAPLTGIPTLNISAAAPPPPRVRGINRQQLNNIIDALIGAGDFNRWANILSVADPSSLPLSATLFVPADDSRSPISTAITFDPLIFPYHIVPQRLCFAELRQMKLYTRLPTLLLSKSILITNNSISNYTLDDSLLSHPDLFTTGTFAVHGMGTLLDYNVYGDAKPKAPQPEVLSRPPPATYEPSGEEIDDNPDSPDVDAACLCTEVWPVFLVFCAVLASKFQRMSLGR >Manes.S026352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2212917:2213084:1 gene:Manes.S026352.v8.1 transcript:Manes.S026352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.18G015900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1658994:1664228:-1 gene:Manes.18G015900.v8.1 transcript:Manes.18G015900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEAVYPRTSAIPQNSTFSADLGDYRHSDQVPLIPITPFEDEDATDAPSDLMGSFNVPMSSQSQLLASGIPPPQCSISSISNTPVNEKPASGAIPGVEPDVIAAASAAFAAINKSNEQGGLVDNDLLIKILNNPKLIEKLIQDYAGESNGQNIPKPNASFVPSSDVPPPPPTLSEPSYLHMNRTDTSTTSSHVANSSGSVYAQPNGIGPVYISNAQVPLAAAPAPASSGSAVGVPQVKDVNYYKNLIQQHGGERHESPHQYGGRYSHQVGTNQEVLNPKSRDSKPKIMKPCIYFNSSRGCRHGANCAYQHDASSQQRSSSISEVQTAKRMKMDREISS >Manes.18G015900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1658994:1664228:-1 gene:Manes.18G015900.v8.1 transcript:Manes.18G015900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEAVYPRTSAIPQNSTFSADLGDYRHSDQVPLIPITPFEDEDATDAPSDLMGSFNVPMSSQSQLLASGIPPPQCSISSISNTPVNEKPASGAIPGVEPDVIAAASAAFAAINKSNEQGGLVDNDLLIKILNNPKLIEKLIQDYAGESNGQNIPKPNASFVPSSDVPPPPPTLSEPSYLHMNRTDTSTTSSHVANSSGSVYAQPNGIGPVYISNAQVPLAAAPAPASSGSAVGVPQVKDVNYYKNLIQQHGGERHESPHQYGGRYSHQVGTNQEVLNPKSRDSKPKIMKPCIYFNSSRGCRHGANCAYQHDASSQQRSSSISEVQTAKRMKMDREISS >Manes.18G015900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1658994:1664228:-1 gene:Manes.18G015900.v8.1 transcript:Manes.18G015900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQRSKRVSWATDVNLCQVRLFLSEESPSQVGLGAQDHLQAKASWLSHPAGTTAEDTLPPGFEGLSANQLHAKSSDIPVIKWRCPPRFILDLTWQVVAGEESEEVEVQNQREMRVLEAVYPRTSAIPQNSTFSADLGDYRHSDQVPLIPITPFEDEDATDAPSDLMGSFNVPMSSQSQLLASGIPPPQCSISSISNTPVNEKPASGAIPGVEPDVIAAASAAFAAINKSNEQGGLVDNDLLIKILNNPKLIEKLIQDYAGESNGQNIPKPNASFVPSSDVPPPPPTLSEPSYLHMNRTDTSTTSSHVANSSGSVYAQPNGIGPVYISNAQVPLAAAPAPASSGSAVGVPQVKDVNYYKNLIQQHGGERHESPHQYGGRYSHQVGTNQEVLNPKSRDSKPKIMKPCIYFNSSRGCRHGANCAYQHDASSQQRSSSISEVQTAKRMKMDREISS >Manes.01G173700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35512950:35515855:1 gene:Manes.01G173700.v8.1 transcript:Manes.01G173700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSISVSNPFLQLFLFLTFHLLHRLSTAAYSVGVNYGTVANNLPPPTQVASFLKTQTTIDRIKIFDANPDILRAFANTGILVTVTVGNGDIPSLAKLPAAQAWIANNILPFHPKTIIKYIAVGNEILATSDKSLIAHTLPAMKALKSALELANVTNIQVSTPHSLGILSSSEPPSTGRFRKGYDQRIFAPILDFHRKTKSPFMVNPYPYFGFRPETLNYALFRPNAGVFDPATGKNYTNMFDAQLDAVYSAMKRLGYEDVDIVVAETGWPSVGDPNQPGVSMENALSYNGNLVKHVNSRKGTPLMPNRTFETYIFSLFNENLKPGISEQNFGLFKPDLTPVYDVGVLRNAQAKGPTSGTRAVAPSSSPDRKWCVPRSDASDAALQKNIDYACSSGINCRPIQAGGPCFDPNTVRSHASYAMNAYYQSFGRQDFNCDFNHTGVVTTTDPSYEACSYPFSDQKMEEKSVAGESMRLYCSVKELLLVGGCLVFVNLFFY >Manes.05G168600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28217605:28221137:-1 gene:Manes.05G168600.v8.1 transcript:Manes.05G168600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSNQIMRTSSPLPDLSLQISPPSVCDFEAIKKVDYDGGLTRKAFYSDRSSTTDSGSSGSDLSHENGFFNQEMGYNLGPSEPTLSLGFEMADLSSPTLQLPRNLNHHHHHHQHHHHQPQIYGREFKRNVRMISGVKRSIRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGSGQGQTDMGLKQTAGLIVDLDAGFSAGKVETNPCCSHNPPPTPPLPTIQKTQIRGSGSWSSSMETRDKNRSNTEDSTYSHFSAHDAKEDRPMAALHMSERVKERLESSSLSPSDMLVNLEFTLGRPSWQMDYAESSNELTLLKC >Manes.07G081300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25279646:25284825:1 gene:Manes.07G081300.v8.1 transcript:Manes.07G081300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSIPFSKEKMSLPPPLNPIHTLLLSLFFTFSLPATSHTFSSSSPPQLSTSLSEWQSAHATYYAASDPRDYVGGACGYGDLGKAGYGLATVGLSEAMFERGQMCGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTADGGGHCNPRNKHFVLPIESYEKIAIWKAGNMPVQYRRIKCRKEGGIRFAVSGSGIYISVLISNVAGAGDITAVKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTTSDGITVTSYNVAPKNWSFGQTFEGKQFET >Manes.07G081300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25279646:25284826:1 gene:Manes.07G081300.v8.1 transcript:Manes.07G081300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSIPFSKEKMSLPPPLNPIHTLLLSLFFTFSLPATSHTFSSSSPPQLSTSLSEWQSAHATYYAASDPRDYVGGACGYGDLGKAGYGLATVGLSEAMFERGQMCGACFELRCVEDLRWCIPGTSVIVTVTNFCAPNYGFTADGGGHCNPRNKHFVLPIESYEKIAIWKAGNMPVQYRRIKCRKEGGIRFAVSGSGIYISVLISNVAGAGDITAVKIKGSRTGWLQMGRNWGQNWHINADLKNQPLSFEVTTSDGITVTSYNVAPKNWSFGQTFEGKQFET >Manes.04G139400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33876968:33881925:-1 gene:Manes.04G139400.v8.1 transcript:Manes.04G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGTISAILFFAILLISSAHSFYLPGVAPRDFHRGDVLSIKVNKLSSTKTQLPYDYYFLKYCKPKTIVNSAENLGEVLRGDRIENSVYTFEMIEEQPCKVACRVTLDAESAKNFKEKIDDEYRVNMILDNLPVAVRRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMYHKDPETDSARIVGFEVIPNSINHEYKEWDDNNPQVTTCNKDTKKLIQGSTVPQEVDSGKKVVFSYDVTFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRAPINSSLLCVYVGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGILAGYTSARLYKMFKGTEWKRNTLKTAFMFPGILFAIFFVLNVLIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILLITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMIIISYSFFVLTGTIGFYACFWFVRKIYSSVKID >Manes.12G052000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4881161:4883942:1 gene:Manes.12G052000.v8.1 transcript:Manes.12G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFFPSLIFGFCIWVSLFSPSLAQAQTCSSQKFTGNDLYVHCVDLPTLTSYLHFTYDSANATLSIAYLASPAASNGWVSWAVNPTGTGMAGSQALVGYKDSKGSMTVKTYNISSYTLDSVVQSKLAFDVWDERAEENNGVMRIFAKIKVPANLAAKGMLNQVWQVGSSVDSKGVLTPHDMSAANLNAKGTLDLKGGQSVATGGVDSKTKKRNIHGVLNAVSWGVLFPIGVIMARYLRPFQAADPAWFYLHVSCQVSAYAIGVAGWATGLKLGSESKGVQWTAHRNIGITLFSLATLQIFALFLRPKKDHKYRFYWNIYHHGVGYAILVLSILNVFKGVDILHPQQKWKSIYIIVIAVLGGIAMLLEIITWIAVLRRSKKSTKHYDGFNGQGREQPFNN >Manes.14G162000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22809657:22822848:1 gene:Manes.14G162000.v8.1 transcript:Manes.14G162000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKLKKNGEFLRFYPEMNPKNLVFLLVASLQIWSIAALTNNADLTVLKAVMDMWENPPPSWEGTDPCGDQWDGIKCINSRVTSITLSSMGLKGQLSGDITNLPELLILDLSYNKDLRGPLPASIGNLKKLRNLILLGCSFSGPIPSSIGSLQQLLFLSLNSNGFSGPIPPSIGNLSELYWLDLADNKLDGSIPVSTGTTPGLDMLVKTKHFHLGKNQLSGEIPPKLFSSDMTLLHVLFDDNKLTGSIPSTLGLVQTLEVIRFDRNSLTGPVPSNLNNLTSVSELFLSNNGLTGPLPNLTGMSFLSYLDMSNNSFDASDFPPWTSTLQSLTTLILEGTQLQGQIPSSFFSLANLQNVVLSNNRLNGTLDIGTVNSGQLQLIDLQSNFISDYTPQPGQNQVYVILVNNPVCQETGVKASFCTDLRPNSSYVTLPNNCVPVPCGSNKISSPNCNCAYPYTGVLVFRAPSFSDLGNINVYVSLQKDLMDSFKSNQLPVDSVSLSNPRKDSSEYLDLNLQVFPSEKDNFNRTVISEIGFLLSNQTFKPPDFFGPYYFIADAYQYFAGSNNSSNTGIIIGAVVGGSALVLLLLLAGLYAYRQKKRAERATELNNPFANWDSTKSNGAGVPQLKGARLFSFEELRKYTNNFSEANDIGSGGYGKVYRGTLPNGELIAIKRAQQESMQGGLEFKTEIELLSRVHHKNLVSLLGFCFDRGEQMLVYEFVPNGSLSDSLSGKSGIRLDWVRRLKIALGAARGLVYLHELANPPIIHRDIKTNNILLDERLNAKVADFGLSKPMSDTEKGHITTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELLTGRKPIERGKYIVREVRMAMDRTKDLYNLHELLDPGIGLETTLKGLDKFVDLAMECVKESGADRPMMGDVVKEIETILQLAGLNPNAESASTSASYEEAGKGSTHPYNKESFYYSGAFPPSKLEPK >Manes.14G162000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22809681:22822824:1 gene:Manes.14G162000.v8.1 transcript:Manes.14G162000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKLKKNGEFLRFYPEMNPKNLVFLLVASLQIWSIAALTNNADLTVLKAVMDMWENPPPSWEGTDPCGDQWDGIKCINSRVTSITLSSMGLKGQLSGDITNLPELLILDLSYNKDLRGPLPASIGNLKKLRNLILLGCSFSGPIPSSIGSLQQLLFLSLNSNGFSGPIPPSIGNLSELYWLDLADNKLDGSIPVSTGTTPGLDMLVKTKHFHLGKNQLSGEIPPKLFSSDMTLLHVLFDDNKLTGSIPSTLGLVQTLEVIRFDRNSLTGPVPSNLNNLTSVSELFLSNNGLTGPLPNLTGMSFLSYLDMSNNSFDASDFPPWTSTLQSLTTLILEGTQLQGQIPSSFFSLANLQNVVLSNNRLNGTLDIGTVNSGQLQLIDLQSNFISDYTPQPGQNQVYVILVNNPVCQETGVKASFCTDLRPNSSYVTLPNNCVPVPCGSNKISSPNCNCAYPYTGVLVFRAPSFSDLGNINVYVSLQKDLMDSFKSNQLPVDSVSLSNPRKDSSEYLDLNLQVFPSEKDNFNRTVISEIGFLLSNQTFKPPDFFGPYYFIADAYQYFAGEATGSNNSSNTGIIIGAVVGGSALVLLLLLAGLYAYRQKKRAERATELNNPFANWDSTKSNGAGVPQLKGARLFSFEELRKYTNNFSEANDIGSGGYGKVYRGTLPNGELIAIKRAQQESMQGGLEFKTEIELLSRVHHKNLVSLLGFCFDRGEQMLVYEFVPNGSLSDSLSAGKSGIRLDWVRRLKIALGAARGLVYLHELANPPIIHRDIKTNNILLDERLNAKVADFGLSKPMSDTEKGHITTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELLTGRKPIERGKYIVREVRMAMDRTKDLYNLHELLDPGIGLETTLKGLDKFVDLAMECVKESGADRPMMGDVVKEIETILQLAGLNPNAESASTSASYEEAGKGSTHPYNKESFYYSGAFPPSKLEPK >Manes.14G162000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22809441:22822839:1 gene:Manes.14G162000.v8.1 transcript:Manes.14G162000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFKLKKNGEFLRFYPEMNPKNLVFLLVASLQIWSIAALTNNADLTVLKAVMDMWENPPPSWEGTDPCGDQWDGIKCINSRVTSITLSSMGLKGQLSGDITNLPELLILDLSYNKDLRGPLPASIGNLKKLRNLILLGCSFSGPIPSSIGSLQQLLFLSLNSNGFSGPIPPSIGNLSELYWLDLADNKLDGSIPVSTGTTPGLDMLVKTKHFHLGKNQLSGEIPPKLFSSDMTLLHVLFDDNKLTGSIPSTLGLVQTLEVIRFDRNSLTGPVPSNLNNLTSVSELFLSNNGLTGPLPNLTGMSFLSYLDMSNNSFDASDFPPWTSTLQSLTTLILEGTQLQGQIPSSFFSLANLQNVVLSNNRLNGTLDIGTVNSGQLQLIDLQSNFISDYTPQPGQNQVYVILVNNPVCQETGVKASFCTDLRPNSSYVTLPNNCVPVPCGSNKISSPNCNCAYPYTGVLVFRAPSFSDLGNINVYVSLQKDLMDSFKSNQLPVDSVSLSNPRKDSSEYLDLNLQVFPSEKDNFNRTVISEIGFLLSNQTFKPPDFFGPYYFIADAYQYFAGEATGSNNSSNTGIIIGAVVGGSALVLLLLLAGLYAYRQKKRAERATELNNPFANWDSTKSNGAGVPQLKGARLFSFEELRKYTNNFSEANDIGSGGYGKVYRGTLPNGELIAIKRAQQESMQGGLEFKTEIELLSRVHHKNLVSLLGFCFDRGEQMLVYEFVPNGSLSDSLSGKSGIRLDWVRRLKIALGAARGLVYLHELANPPIIHRDIKTNNILLDERLNAKVADFGLSKPMSDTEKGHITTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELLTGRKPIERGKYIVREVRMAMDRTKDLYNLHELLDPGIGLETTLKGLDKFVDLAMECVKESGADRPMMGDVVKEIETILQLAGLNPNAESASTSASYEEAGKGSTHPYNKESFYYSGAFPPSKLEPK >Manes.07G063671.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11256216:11256505:1 gene:Manes.07G063671.v8.1 transcript:Manes.07G063671.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGSGWLISGLPIPSLELSRRTWAKAWVSWLLKWRVQRKAGFTEQRLPPASGSGRITGRCYSGLQSLMDGLF >Manes.03G101900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22597780:22603160:1 gene:Manes.03G101900.v8.1 transcript:Manes.03G101900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKNVEKLASIDAQLRLLAPKKVSDDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDCNKLEELGKVLTSLDPGDSIVVAKSFSHMLNLANLAEEVQIAYRRRQKLKKGDFADENSATTESDIEETLKRLVVDLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLAQLYAKDITPDDKQELDEALQREIQAGFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEGLMFELSMWRCSDELRVRAYELHRSSKRDAKHYIEFWKQIPPSEPYRVILGDLRDKLYQTRERSHQMLSHGISEIPEEGTFTNVDQFLEPLELCYRSLCSCGDRPIADGTLLDFLRQVSTFGFALVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPADSFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLDAAPAALSRLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRKLMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVTQKDKKNLNMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPFGKKLRTNFEETKQLLLQIAGHKDLLEGDPYLKQRLSLRDSYITTLNVCQVYTLKRIRDPNYSVTVRPHISKEIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Manes.03G101900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22597780:22603114:1 gene:Manes.03G101900.v8.1 transcript:Manes.03G101900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKNVEKLASIDAQLRLLAPKKVSDDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDCNKLEELGKVLTSLDPGDSIVVAKSFSHMLNLANLAEEVQIAYRRRQKLKKGDFADENSATTESDIEETLKRLVVDLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLAQLYAKDITPDDKQELDEALQREIQAGFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEGLMFELSMWRCSDELRVRAYELHRSSKRDAKHYIEFWKQIPPSEPYRVILGDLRDKLYQTRERSHQMLSHGISEIPEEGTFTNVDQFLEPLELCYRSLCSCGDRPIADGTLLDFLRQVSTFGFALVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPADSFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLDAAPAALSRLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRKLMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVTQKDKKNLNMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPFGKKLRTNFEETKQLLLQIAGHKDLLEGDPYLKQRLSLRDSYITTLNVCQVYTLKRIRDPNYSVTVRPHISKEIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Manes.03G101900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22597780:22603160:1 gene:Manes.03G101900.v8.1 transcript:Manes.03G101900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKNVEKLASIDAQLRLLAPKKVSDDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDCNKLEELGKVLTSLDPGDSIVVAKSFSHMLNLANLAEEVQIAYRRRQKLKKGDFADENSATTESDIEETLKRLVVDLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLAQLYAKDITPDDKQELDEALQREIQAGFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEGLMFELSMWRCSDELRVRAYELHRSSKRDAKHYIEFWKQIPPSEPYRVILGDLRDKLYQTRERSHQMLSHGISEIPEEGTFTNVDQFLEPLELCYRSLCSCGDRPIADGTLLDFLRQVSTFGFALVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPADSFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLDAAPAALSRLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRKLMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVTQKDKKNLNMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPFGKKLRTNFEETKQLLLQIAGHKDLLEGDPYLKQRLSLRDSYITTLNVCQVYTLKRIRDPNYSVTVRPHISKEIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Manes.03G101900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22599599:22603114:1 gene:Manes.03G101900.v8.1 transcript:Manes.03G101900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEGLMFELSMWRCSDELRVRAYELHRSSKRDAKHYIEFWKQIPPSEPYRVILGDLRDKLYQTRERSHQMLSHGISEIPEEGTFTNVDQFLEPLELCYRSLCSCGDRPIADGTLLDFLRQVSTFGFALVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPADSFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLDAAPAALSRLFSVEWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRKLMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPTGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVTQKDKKNLNMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPFGKKLRTNFEETKQLLLQIAGHKDLLEGDPYLKQRLSLRDSYITTLNVCQVYTLKRIRDPNYSVTVRPHISKEIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Manes.16G127500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32850627:32859722:1 gene:Manes.16G127500.v8.1 transcript:Manes.16G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQGIGEPRDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYHVTTTSQAIAALKMLRENKNNFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDPKLVMKGITHGACDYLLKPVRMEELKNIWQHVIRRRKFNNKDQNNFANQDKHHGSGEAGADQKLNKKRKDQNEEEDEDRDDNEHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISTVANQQANMVAALGSTDSPYLQMGSVNGIGFPNLAGTGQFHAAAFRSLPPSGMLGRLNSPAALGMRSLATTGVIQLGPLQTASHLTNNQSQFQSIVHSGNDGNVLQGMPMSLEVDQIPPSNGVTYIRELPTEVNDTTTFSVSNGFPDANIMAGSSNGGFLGVPNKPLMLEGSAQEAQDGQKFGKQSSLAVTAFDSGYSSNFLDQSRCNDSWSSAVQSTGVQSNSFGLNDCFKQATLHPSNIRDSMSTMALQSGNNLSHVSSISTVLTHLQDSKADLQCQVASIRSNAGQIIANAPQGWDDQRQDAPYPSNAVCSSINSAIPNHGTGNPMGRSLDPNSAIFHRTKSFNSARQSDLVDPFLLKHSEVDNLAMESLMRSDEGYVIGQQKPQGSYFSNNFGSLEDLESVMVKQEQDKVTITEGELGFGSYSIRKCM >Manes.01G249600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40872512:40874434:-1 gene:Manes.01G249600.v8.1 transcript:Manes.01G249600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSSVKYSEHKTVTDKIAKCNDSNSTRVVRIYVTDGDATDSSSDENENPILGHQRIKKHINEVRIKDCVECSNGRAHECSKSRPNKQPLRKSTRDQLYYPESKKYRGVRQRPWGRFAAEIRDPFRRTRVWLGTFDTAEEAAIVYDNAAIKIKGPNALTNFIKPPVRTSTPDFDIAAICEYDSGRESHSLSSPTSVLRFQYTEEAGNEPQVLDGNVSRKAEEIKEKECDWRLDKQIDENDWKPVQCVAEEDPGGYYFEELIDFENHPPVCFDECTIPDTELTDDFADVAVHLNLDGDFGSCLWNVDEYF >Manes.03G114750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24072900:24074759:-1 gene:Manes.03G114750.v8.1 transcript:Manes.03G114750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTQPSTSLNGDHRPLRPPQSSNTHHHHPYYPTSSSSKSASLKGCCCCCCLFLLFSFLALLVLAIFLIIILTVKPKKPEFDLQQVGVQYMGIPASNLNSLDPTIGTTTMTTGATTTSLSLTIHMLFTAVNPNKVGIKYSESKFTVMYHGIPLGKASVPGFYQQAHSERQVEATISVDRYSLIQANAIDLIRDASLNDRVEPRVLGEVGAKIRVVDFSPGV >Manes.09G118800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32158932:32177003:-1 gene:Manes.09G118800.v8.1 transcript:Manes.09G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYKWLTDKYPETVVDAIEESPRVVNGVALPIDVSRPNPNGMEFDNLYLDMNGIIHPCFHPEDLPAPKTYEQVFKSIFKYIDKIFSVVRPRKLLFLAIDGVAPRAKMNQQRSRRFRAAKEAAEKALKMEGLSCVSESEEKSTLSEQLKEMDSNVITPGTEFMALLSSALRYYIRLRMNGDSAWRGIKVILSDANVPGEGEHKIMSYIRLQRDLPGFDPNTRHCLYGLDADLIMLALAAHEAHFSILREYVDWGGKKGKLKTQFLKNGKANRTWNWKKRNVNLEKKGRVAQNLDDYITWLNFQFLNIWVLREYLEYDMRIPGLTFEADLERLIDDFVLMCLFVGNDFLPHIPTLSISEGAIDLLMMVYKKEFVKMGGYLTDSFQINFARVEHFIQALSSHEGAIFRKRNQIMKEKKHCSLKRKLAKLLQNDPNTHSTSHGSSLSVEEVRHKLAELLQNDPNIHSTSHGSSLSLEEAGGVGFEGSIRRLYKEADILAEKIKLGEDDWKERYYEKKFEAKTKDEQERVRRHAVVKYIEGICWVMRYYYFGVCSWQWFYPYHYAPFASDFCGLDQLEIHFTLGEPFKPFDQLMAVLPAASASALPPFYRKLMTDESSPILDFYPSDFRLDMNGKRRKWQAICILPFIEESRLLSAVAQVEHTLTDEEKRRNSLVVDILFVHVSHPLADIVIPFSKQNSHPNMLLEIDPKISGGMNGFVCHSDESIWSEEVYSPIDGMQMITNNEVISIMYKYPLFHFHISRLPQGVILPGKSVREQEISPPHIWHETVVSRGTSSRRPIPKSIAGSHLANLSHRLVSLCNPTKQEYKHGYKGPGFRVDASRLGGSGRKVIAGKWNKDNRNSIGDRYKRRENGEGEGIPHNAGKLKQSITSEQREGNHAGADGIKEIKIEILSKKAKRKLRREQNEGFGVPDKDVVELEHRNQTKCDNEKMDSYTSIEKLEGCIAGNKRQGYDAPVVAEEAVGIKTQVNSSKRKRRSRKRRQIEAADSCSFKEERNHANACALWEAKSAELSDEKLDSSGVIQQIDGNAHQVGANGQEIQTSNERETQAQINTKKKKRRSKARSSLNCTVEPFDSCGHIQQVRCDGNFAGASGLVVPSKGIEMKQIDGSQNNSLDGGVSREQGGGSGDHVGVSAALEINTVVKSRKRKPRSKKRRKIEDALPMVESGVLSKEVGCDGNIVGASILVAPISRSEKKQVDGLLSNGLEEVGAIVLREQGGGSGDPVGASATAEINTDVKSRKRKSRSKKRFKIENLVLPVSDSGVLGKQVGCDANILGASGLVGTPKGSVKEQPDVIPNKSHEKVGTGALREGGDGYGDHIGVGAAAEINAVVKSRKRKPRSKRRKIEDHSIPTLDSDALSKQVVCDGNIVCASGLEASSKSLENKQLDGIPNNSVERIGDGIFREQGGGSGDHVGVGAAAEINTCIKSRKRKSRSKRRRKNEDHALPILESDVLSKPVGCDGKILGASGLVAPSKGLEKEQLDGNLNNILKKVEAGVLREQGGGSGDHAGVDAAVETNSEGKSKKRRSRSKKRRKIADQAPPALESCILHKQAGREDNIVVTSGLVVPPSAGLEKRQLDRIPINRLEEVEAAVLGGEGVGSGDHVGVGEAVEVNTEVKPKKRKPRSKKRRQSGDNALPVLESGVLSNQISYDGNIAGASGPVGHLQDGEKETLMVS >Manes.09G118800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32158932:32177003:-1 gene:Manes.09G118800.v8.1 transcript:Manes.09G118800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQRSRRFRAAKEAAEKALKMEGLSCVSESEEKSTLSEQLKEMDSNVITPGTEFMALLSSALRYYIRLRMNGDSAWRGIKVILSDANVPGEGEHKIMSYIRLQRDLPGFDPNTRHCLYGLDADLIMLALAAHEAHFSILREYVDWGGKKGKLKTQFLKNGKANRTWNWKKRNVNLEKKGRVAQNLDDYITWLNFQFLNIWVLREYLEYDMRIPGLTFEADLERLIDDFVLMCLFVGNDFLPHIPTLSISEGAIDLLMMVYKKEFVKMGGYLTDSFQINFARVEHFIQALSSHEGAIFRKRNQIMKEKKHCSLKRKLAKLLQNDPNTHSTSHGSSLSVEEVRHKLAELLQNDPNIHSTSHGSSLSLEEAGGVGFEGSIRRLYKEADILAEKIKLGEDDWKERYYEKKFEAKTKDEQERVRRHAVVKYIEGICWVMRYYYFGVCSWQWFYPYHYAPFASDFCGLDQLEIHFTLGEPFKPFDQLMAVLPAASASALPPFYRKLMTDESSPILDFYPSDFRLDMNGKRRKWQAICILPFIEESRLLSAVAQVEHTLTDEEKRRNSLVVDILFVHVSHPLADIVIPFSKQNSHPNMLLEIDPKISGGMNGFVCHSDESIWSEEVYSPIDGMQMITNNEVISIMYKYPLFHFHISRLPQGVILPGKSVREQEISPPHIWHETVVSRGTSSRRPIPKSIAGSHLANLSHRLVSLCNPTKQEYKHGYKGPGFRVDASRLGGSGRKVIAGKWNKDNRNSIGDRYKRRENGEGEGIPHNAGKLKQSITSEQREGNHAGADGIKEIKIEILSKKAKRKLRREQNEGFGVPDKDVVELEHRNQTKCDNEKMDSYTSIEKLEGCIAGNKRQGYDAPVVAEEAVGIKTQVNSSKRKRRSRKRRQIEAADSCSFKEERNHANACALWEAKSAELSDEKLDSSGVIQQIDGNAHQVGANGQEIQTSNERETQAQINTKKKKRRSKARSSLNCTVEPFDSCGHIQQVRCDGNFAGASGLVVPSKGIEMKQIDGSQNNSLDGGVSREQGGGSGDHVGVSAALEINTVVKSRKRKPRSKKRRKIEDALPMVESGVLSKEVGCDGNIVGASILVAPISRSEKKQVDGLLSNGLEEVGAIVLREQGGGSGDPVGASATAEINTDVKSRKRKSRSKKRFKIENLVLPVSDSGVLGKQVGCDANILGASGLVGTPKGSVKEQPDVIPNKSHEKVGTGALREGGDGYGDHIGVGAAAEINAVVKSRKRKPRSKRRKIEDHSIPTLDSDALSKQVVCDGNIVCASGLEASSKSLENKQLDGIPNNSVERIGDGIFREQGGGSGDHVGVGAAAEINTCIKSRKRKSRSKRRRKNEDHALPILESDVLSKPVGCDGKILGASGLVAPSKGLEKEQLDGNLNNILKKVEAGVLREQGGGSGDHAGVDAAVETNSEGKSKKRRSRSKKRRKIADQAPPALESCILHKQAGREDNIVVTSGLVVPPSAGLEKRQLDRIPINRLEEVEAAVLGGEGVGSGDHVGVGEAVEVNTEVKPKKRKPRSKKRRQSGDNALPVLESGVLSNQISYDGNIAGASGPVGHLQDGEKETLMVS >Manes.09G118800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32158932:32177003:-1 gene:Manes.09G118800.v8.1 transcript:Manes.09G118800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAPKTYEQVFKSIFKYIDKIFSVVRPRKLLFLAIDGVAPRAKMNQQRSRRFRAAKEAAEKALKMEGLSCVSESEEKSTLSEQLKEMDSNVITPGTEFMALLSSALRYYIRLRMNGDSAWRGIKVILSDANVPGEGEHKIMSYIRLQRDLPGFDPNTRHCLYGLDADLIMLALAAHEAHFSILREYVDWGGKKGKLKTQFLKNGKANRTWNWKKRNVNLEKKGRVAQNLDDYITWLNFQFLNIWVLREYLEYDMRIPGLTFEADLERLIDDFVLMCLFVGNDFLPHIPTLSISEGAIDLLMMVYKKEFVKMGGYLTDSFQINFARVEHFIQALSSHEGAIFRKRNQIMKEKKHCSLKRKLAKLLQNDPNTHSTSHGSSLSVEEVRHKLAELLQNDPNIHSTSHGSSLSLEEAGGVGFEGSIRRLYKEADILAEKIKLGEDDWKERYYEKKFEAKTKDEQERVRRHAVVKYIEGICWVMRYYYFGVCSWQWFYPYHYAPFASDFCGLDQLEIHFTLGEPFKPFDQLMAVLPAASASALPPFYRKLMTDESSPILDFYPSDFRLDMNGKRRKWQAICILPFIEESRLLSAVAQVEHTLTDEEKRRNSLVVDILFVHVSHPLADIVIPFSKQNSHPNMLLEIDPKISGGMNGFVCHSDESIWSEEVYSPIDGMQMITNNEVISIMYKYPLFHFHISRLPQGVILPGKSVREQEISPPHIWHETVVSRGTSSRRPIPKSIAGSHLANLSHRLVSLCNPTKQEYKHGYKGPGFRVDASRLGGSGRKVIAGKWNKDNRNSIGDRYKRRENGEGEGIPHNAGKLKQSITSEQREGNHAGADGIKEIKIEILSKKAKRKLRREQNEGFGVPDKDVVELEHRNQTKCDNEKMDSYTSIEKLEGCIAGNKRQGYDAPVVAEEAVGIKTQVNSSKRKRRSRKRRQIEAADSCSFKEERNHANACALWEAKSAELSDEKLDSSGVIQQIDGNAHQVGANGQEIQTSNERETQAQINTKKKKRRSKARSSLNCTVEPFDSCGHIQQVRCDGNFAGASGLVVPSKGIEMKQIDGSQNNSLDGGVSREQGGGSGDHVGVSAALEINTVVKSRKRKPRSKKRRKIEDALPMVESGVLSKEVGCDGNIVGASILVAPISRSEKKQVDGLLSNGLEEVGAIVLREQGGGSGDPVGASATAEINTDVKSRKRKSRSKKRFKIENLVLPVSDSGVLGKQVGCDANILGASGLVGTPKGSVKEQPDVIPNKSHEKVGTGALREGGDGYGDHIGVGAAAEINAVVKSRKRKPRSKRRKIEDHSIPTLDSDALSKQVVCDGNIVCASGLEASSKSLENKQLDGIPNNSVERIGDGIFREQGGGSGDHVGVGAAAEINTCIKSRKRKSRSKRRRKNEDHALPILESDVLSKPVGCDGKILGASGLVAPSKGLEKEQLDGNLNNILKKVEAGVLREQGGGSGDHAGVDAAVETNSEGKSKKRRSRSKKRRKIADQAPPALESCILHKQAGREDNIVVTSGLVVPPSAGLEKRQLDRIPINRLEEVEAAVLGGEGVGSGDHVGVGEAVEVNTEVKPKKRKPRSKKRRQSGDNALPVLESGVLSNQISYDGNIAGASGPVGHLQDGEKETLMVS >Manes.06G018700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3199983:3203526:-1 gene:Manes.06G018700.v8.1 transcript:Manes.06G018700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASIIKDAIDYIQELHEQEKIIQAEIRELESGNLKKGPGYEFEEDLPVFLGSKKKKIDQFYYSCGSRAYPIEDLELSVAYMGDKILRVSLTCSKRTDTMVKLCEAFESLQLNIISADITTVSGRLLMTVFIKANEEESDYLKKKIETAIGALHDPQSLMIM >Manes.06G018700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3199974:3203517:-1 gene:Manes.06G018700.v8.1 transcript:Manes.06G018700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQYIEGEVATYELSSLIFSSWAIDEISGYESSSLDGAASSVTYKNVVSERNRRKKLNDRLFALRAVVPNISRMDKASIIKDAIDYIQELHEQEKIIQAEIRELESGNLKKGPGYEFEEDLPVFLGSKKKKIDQFYYSCGSRAYPIEDLELSVAYMGDKILRVSLTCSKRTDTMVKLCEAFESLQLNIISADITTVSGRLLMTVFIKTKY >Manes.06G018700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3199974:3203517:-1 gene:Manes.06G018700.v8.1 transcript:Manes.06G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQYIEGEVATYELSSLIFSSWAIDEISGYESSSLDGAASSVTYKNVVSERNRRKKLNDRLFALRAVVPNISRMDKASIIKDAIDYIQELHEQEKIIQAEIRELESGNLKKGPGYEFEEDLPVFLGSKKKKIDQFYYSCGSRAYPIEDLELSVAYMGDKILRVSLTCSKRTDTMVKLCEAFESLQLNIISADITTVSGRLLMTVFIKANEEESDYLKKKIETAIGALHDPQSLMIM >Manes.06G018700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3201743:3203517:-1 gene:Manes.06G018700.v8.1 transcript:Manes.06G018700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQYIDWAIDEISGYESSSLDGAASSVTYKNVVSERNRRKKLNDRLFALRAVVPNISRMDKASIIKDAIDYIQELHEQEKIIQAEIRELESGNLKKGPGYEFEEDLPVFLGSKKKKIDQFYYSCGSRAYPIEDLELSVAYMGDKILRVSLTCSKRTDTMVKLCEAFESLQLNIISADITTVSGRLLMTVFIKTKY >Manes.06G018700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3199973:3203554:-1 gene:Manes.06G018700.v8.1 transcript:Manes.06G018700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQYIDWAIDEISGYESSSLDGAASSVTYKNVVSERNRRKKLNDRLFALRAVVPNISRMDKASIIKDAIDYIQELHEQEKIIQAEIRELESGNLKKGPGYEFEEDLPVFLGSKKKKIDQFYYSCGSRAYPIEDLELSVAYMGDKILRVSLTCSKRTDTMVKLCEAFESLQLNIISADITTVSGRLLMTVFIKANEEESDYLKKKIETAIGALHDPQSLMIM >Manes.06G018700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3199959:3203517:-1 gene:Manes.06G018700.v8.1 transcript:Manes.06G018700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQYIDSWAIDEISGYESSSLDGAASSVTYKNVVSERNRRKKLNDRLFALRAVVPNISRMDKASIIKDAIDYIQELHEQEKIIQAEIRELESGNLKKGPGYEFEEDLPVFLGSKKKKIDQFYYSCGSRAYPIEDLELSVAYMGDKILRVSLTCSKRTDTMVKLCEAFESLQLNIISADITTVSGRLLMTVFIKTKY >Manes.06G018700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3199957:3203554:-1 gene:Manes.06G018700.v8.1 transcript:Manes.06G018700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQYIDSWAIDEISGYESSSLDGAASSVTYKNVVSERNRRKKLNDRLFALRAVVPNISRMDKASIIKDAIDYIQELHEQEKIIQAEIRELESGNLKKGPGYEFEEDLPVFLGSKKKKIDQFYYSCGSRAYPIEDLELSVAYMGDKILRVSLTCSKRTDTMVKLCEAFESLQLNIISADITTVSGRLLMTVFIKANEEESDYLKKKIETAIGALHDPQSLMIM >Manes.06G018700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3200133:3203517:-1 gene:Manes.06G018700.v8.1 transcript:Manes.06G018700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASIIKDAIDYIQELHEQEKIIQAEIRELESGNLKKGPGYEFEEDLPVFLGSKKKKIDQFYYSCGSRAYPIEDLELSVAYMGDKILRVSLTCSKRTDTMVKLCEAFESLQLNIISADITTVSGRLLMTVFIKANEEESDYLKKKIETAIGALHDPQSLMIM >Manes.09G103801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30566914:30567081:1 gene:Manes.09G103801.v8.1 transcript:Manes.09G103801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSLCDLFNLLLFDMLIDVAFLRKHESRPLEHSPWFPAISVSDNCWKSLLSGR >Manes.15G078900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6073299:6076160:1 gene:Manes.15G078900.v8.1 transcript:Manes.15G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQISASAHPPNAKTADVKRRSAGYQPSIWGDHFLSNNYHSLQNTNDGMYEHHAKLKQEVRSVLMMNVDKLSHKIDLIDSIQRLGVSYHFETEIDEILKEISSESDDDINDLYAIALKFRLVRQQGYNMSSDVFNKFKDSQGNFKDALVNDHRGMLSLYEATHLRVHGEDILEEALAFTTAHLESMVTPGMPLAPQITHALKQPIRKGLPRLEARRYFSIYEGESSCNPVLLSFAKLDFNILQKQHQKELSDIAKWWKELDFANKLPFARDRIVEGYFWILGVYFEPEYSPARRILTKVIAMTSIMDDIYDVYGTPEELELFTAAVERWDISAIDQLPEYMKEYYKTFLNVYTEIEKNLFDQGRLYRFYYAKEAMKNQVRAYFMESIWFHQKHLPTTEEYMSIALTTSGYALLAVTSLVGMGDIVTKDSFDWLFTEPKMVIASEIIARLMDDIVSHEFEQKRGHSASSIECYMKQHSATKEEAVQEFKKWVASAWKDINEECLYPTSVPMHVLTRILNLSRVMDVVYKNEDGYTHAGVLKDFVSSLLVDPV >Manes.12G154700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36222004:36226984:1 gene:Manes.12G154700.v8.1 transcript:Manes.12G154700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSARRKPSSQTRKFSCCCSSSGHPHSPENTIIIAKKIHKKHDFFSKPTSNSVPNSPVNSKSGLNFVGKIDPRRILSPGRVSPLDSDPTAVASIHEPSRVVDSALKLRSEPFPGKDESSLSHPGSGSEQCGDMFDVRLNLRGKNGGALVLETSSEVLLANSEVFAGLISEYRKGLRSTGDSNVSNASSKMCRIEVPDVDNLGVFRDTIELMFEDNITKRLLKIGVYRAIDILEVSASIMFTKGVSSCLKYLEAVPWTEEEEQKLRGLFSVFKFEDTESGDILARLYLHNSVDCQQNLGRQLVWSVTTCTYTNARNELKSLVKSLFCRSSMHEKYHPELNKEDLYIVCQSCLSSLVNLLEEATNTSPNRKATKRETGKPLIERISQQVGNINWLLEILLDQQMGEKFVDMWADQGELLKLHEDTSPMIRYELSRISATLIIAMGTRKLHCHSDARTGFLQAWFHPMLSDFGWLRRCKKELDMKALEEAMGQTLLTLPRKQQHTLFMEWFRCFSKRGTECPNLSKAFQIWWRRSFLRGSENNAVEFRLTV >Manes.S047916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1522565:1522687:-1 gene:Manes.S047916.v8.1 transcript:Manes.S047916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.03G083258.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14805554:14809465:1 gene:Manes.03G083258.v8.1 transcript:Manes.03G083258.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDRFQIGPKQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQMQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLSTAFIVNKRRRPQFKDFIKVLQQEQQSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEDSNFSTVPMRDEFLENARLFIFETYCRIHQRINMGVLAEKLNLNYEEAERWIVNLIRNSKLDAKIDSQSGTVIMEPNQPNVYEQLIDHTKAISGRTYKLVGQLLEHAQAQAVR >Manes.03G068114.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9629387:9630534:1 gene:Manes.03G068114.v8.1 transcript:Manes.03G068114.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQSCVLFSLLIVFLHCTIILSQSPAAAPAQGPVAASPPPPVISQTPAASPAQPASVPAPTNVTKILEKDGHFTVFIRLLKSTQEENHLLTVLNNSNNGLTIFAPTDGAFSNLKSGTLNSLTDEQKSELVKFHVIPTFLSTSQFQTVTNPVGTEAGSGGRVALNFTTYPNSVNITTGLTNTSISGTVYTDNQLAIYRVDKVLLPMDIFTSKPPSPAPGPAPEKLKPKKEAPVAETPVVSTTANTSGAVSPVHHHVLLLGVGIVAAIFSL >Manes.03G131200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25734013:25741672:-1 gene:Manes.03G131200.v8.1 transcript:Manes.03G131200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVKRKKKGRPSKADLARRSSGQNTAATEPHRRQSLRRRNVRYNNFIDYDDYLDEFEEYEDIEEEEEEEEEERRKEKKLKLVLKLNNQEHSRNKAARGDHARGDAAASSSEEDVDQSEKKPLKEKKINGRDYSEAEDESEDDHVNEDDNDQEERERKADTKRQDSVPGTPTDHANGLPLPDKKSLELILDKLQKKDTYGVYAEPVDVEELPDYFDVIEHPMDFATVRKKLGNASYLTFEQFESDVFLICSNAMQYNSAETIYHKQARAIQELARKKFQKLRIDLERSEKELKSEQKTKPNFLAKTQMKKPLSRTMQEPVGSDFSSGATLANTADHQNGFVAAQSTGCDRPSNIDGPIEGTSSVFDNTLEKAEELSSGKGLLPKCGRKSSMLDENRRATYNISSQPAARSESIFTTFEGEIKQLVAVGLHADYSYARSLACFAAMLGPVAWKVASQRIEQALPSGCKFGRGWVGEYEPLPTPVLMLETRTQKESTLLGAADAVKNDSTSRTPIPAKENHVNAPISEGKPSLIRPTCGHILEGRPSFSSAGSTPSTLLPVNPTYQQQNLPLRNFSEPQNKNSKQVELNLPPSSYQHDADIAEKHLPNNSEVATPKPREMPRTVSLMQSMPSKQPDSSGVGSSGLPNGKVTSSLNNRSSDGVPSQMARAPTFFARGKEHVLNDPVEAMRISAEMAPKQQKPSNQSSVDTSSVVPSLPPVRNDSGNAAAVAARAWMSIGSGGFKVPSENPSTPKNQISAESLYNPTRQLHPQIPRVQGQFPLPAGMQFQAEKNSLPFQAFMQPPVHAGIGQNRPMVFPQFVATDLSRVQIQSSWRGLSPHSQPKQKQERLPPDLNIGFQSPGSPVKQSSGIMVDSQQPDLALQL >Manes.03G131200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25734013:25741620:-1 gene:Manes.03G131200.v8.1 transcript:Manes.03G131200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIVKRKKKGRPSKADLARRSSGQNTAATEPHRRQSLRRRNVRYNNFIDYDDYLDEFEEYEDIEEEEEEEEEERRKEKKLKLVLKLNNQEHSRNKAARGDHARGDAAASSSEEDVDQSEKKPLKEKKINGRDYSEAEDESEDDHVNEDDNDQEERERKADTKRQDSVPGTPTDHANGLPLPDKKSLELILDKLQKKDTYGVYAEPVDVEELPDYFDVIEHPMDFATVRKKLGNASYLTFEQFESDVFLICSNAMQYNSAETIYHKQARAIQELARKKFQKLRIDLERSEKELKSEQKTKPNFLAKTQMKKPLSRTMQEPVGSDFSSGATLANTADHQNGFVAAQSTGCDRPSNIDGPIEGTSSVFDNTLEKAEELSSGKGLLPKCGRKSSMLDENRRATYNISSQPAARSESIFTTFEGEIKQLVAVGLHADYSYARSLACFAAMLGPVAWKVASQRIEQALPSGCKFGRGWVGEYEPLPTPVLMLETRTQKESTLLGAADAVKNDSTSRTPIPAKENHVNAPISEGKPSLIRPTCGHILEGRPSFSSAGSTPSTLLPVNPTYQQQNLPLRNFSEPQNKNSKQVELNLPPSSYQHDADIAEKHLPNNSEVATPKPREMPRTVSLMQSMPSKQPDSSGVGSSGLPNGKVTSSLNNRSSDGVPSQMARAPTFFARGKEHVLNDPVEAMRISAEMAPKQQKPSNQSSVDTSSVVPSLPPVRNDSGNAAAVAARAWMSIGSGGFKVPSENPSTPKNQISAESLYNPTRQLHPQIPRVQGQFPLPAGMQFQAEKNSLPFQAFMQPPVHAGIGQNRPMVFPQFVATDLSRVQIQSSWRGLSPHSQPKQKQERLPPDLNIGFQSPGSPVKQSSGIMVDSQQPDLALQL >Manes.03G131200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25734013:25741656:-1 gene:Manes.03G131200.v8.1 transcript:Manes.03G131200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFATVRKKLGNASYLTFEQFESDVFLICSNAMQYNSAETIYHKQARAIQELARKKFQKLRIDLERSEKELKSEQKTKPNFLAKTQMKKPLSRTMQEPVGSDFSSGATLANTADHQNGFVAAQSTGCDRPSNIDGPIEGTSSVFDNTLEKAEELSSGKGLLPKCGRKSSMLDENRRATYNISSQPAARSESIFTTFEGEIKQLVAVGLHADYSYARSLACFAAMLGPVAWKVASQRIEQALPSGCKFGRGWVGEYEPLPTPVLMLETRTQKESTLLGAADAVKNDSTSRTPIPAKENHVNAPISEGKPSLIRPTCGHILEGRPSFSSAGSTPSTLLPVNPTYQQQNLPLRNFSEPQNKNSKQVELNLPPSSYQHDADIAEKHLPNNSEVATPKPREMPRTVSLMQSMPSKQPDSSGVGSSGLPNGKVTSSLNNRSSDGVPSQMARAPTFFARGKEHVLNDPVEAMRISAEMAPKQQKPSNQSSVDTSSVVPSLPPVRNDSGNAAAVAARAWMSIGSGGFKVPSENPSTPKNQISAESLYNPTRQLHPQIPRVQGQFPLPAGMQFQAEKNSLPFQAFMQPPVHAGIGQNRPMVFPQFVATDLSRVQIQSSWRGLSPHSQPKQKQERLPPDLNIGFQSPGSPVKQSSGIMVDSQQPDLALQL >Manes.13G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4510099:4513428:1 gene:Manes.13G037300.v8.1 transcript:Manes.13G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEEKGPASGREDYTEDGTVDLKGRPVLRSKTGGWRACSFIVGYEIFERMAYYGIASNLVLYLTKKLHEGTVSSANNVTNWVGTVWMLPILGAYIADAHLGRFWTFVIASAIYLSGMILLTLAVSLPALRPPSCGPSIKEEDCDLKATALQKGIFYAALYTIAAGTGGTKPNISTMGADQFDDFEPKERVQKLSFFNWWMFSIFFGTLFSNTFLVYIQDNVGWTLGYALPTVGLAVSIAVFFVGSPFYRHKLPSGSPFTKIVQVLVAALRKQNVAVPDDPKELHELNLEEYSSTSGKFRIDHTPSLRFLDKAAVKTGSISPWMLCPVTQVEQTKQMIKMLPVLFITFIPSTMYAQVHTLFIKQGTTLDRSMGPHFEIPPACLTAFVTIFMLISLVIYDRYLVPAVRPYTKNPRGISLLQRMGIGFILHVIIMITAFLTERRRLIVARENNIVKKEEIVPLSIFVLLPQFALMGVADTFVEVAKIEFFYDQAPEGMKSLGTSYFTSSLGIGNFLSSFLLKTVAGITKKDGHKGWILDNLNESHLDYYYAFLAVLMFLNLLIFFVVAKFFVYNIDVDSKRELQEAIEASPEKLHTQDKEEMKPGQS >Manes.13G037300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4510099:4513428:1 gene:Manes.13G037300.v8.1 transcript:Manes.13G037300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYGIASNLVLYLTKKLHEGTVSSANNVTNWVGTVWMLPILGAYIADAHLGRFWTFVIASAIYLSGMILLTLAVSLPALRPPSCGPSIKEEDCDLKATALQKGIFYAALYTIAAGTGGTKPNISTMGADQFDDFEPKERVQKLSFFNWWMFSIFFGTLFSNTFLVYIQDNVGWTLGYALPTVGLAVSIAVFFVGSPFYRHKLPSGSPFTKIVQVLVAALRKQNVAVPDDPKELHELNLEEYSSTSGKFRIDHTPSLRFLDKAAVKTGSISPWMLCPVTQVEQTKQMIKMLPVLFITFIPSTMYAQVHTLFIKQGTTLDRSMGPHFEIPPACLTAFVTIFMLISLVIYDRYLVPAVRPYTKNPRGISLLQRMGIGFILHVIIMITAFLTERRRLIVARENNIVKKEEIVPLSIFVLLPQFALMGVADTFVEVAKIEFFYDQAPEGMKSLGTSYFTSSLGIGNFLSSFLLKTVAGITKKDGHKGWILDNLNESHLDYYYAFLAVLMFLNLLIFFVVAKFFVYNIDVDSKRELQEAIEASPEKLHTQDKEEMKPGQS >Manes.01G121500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31742151:31745062:1 gene:Manes.01G121500.v8.1 transcript:Manes.01G121500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTIDLEVEAASENDSEVNSQVASNLSVHETSSGPSNDSLTNFSNLTNAIAAPAGSEPVSLDLTLCFNNDRIEGRESTGRSLSSTSESSNGPVRTTAAAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLHGSSFRSLGIKAHSSVHQNFSPPVRPQDNRNTARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHHSFVLAENSNMNILEEIPSASLDNSMPDLTLKL >Manes.01G121500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31741916:31745028:1 gene:Manes.01G121500.v8.1 transcript:Manes.01G121500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTIDLEVEAASENDSEVNSQVASNLSVHETSSGPSNDSLTNFSNLTNAIAAPAGSEPVSLDLTLCFNNDRIEGRESTGRSLSSTSESSNGPVRTTAAAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLHGSSFRSLGIKAHSSVHQNFSPPVRPQDNRNTARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHHSFVLAENSNMNILEEIPSASLDNSMPDLTLKL >Manes.01G121500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31741911:31745889:1 gene:Manes.01G121500.v8.1 transcript:Manes.01G121500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTIDLEVEAASENDSEVNSQVASNLSVHETSSGPSNDSLTNFSNLTNAIAAPAGSEPVSLDLTLCFNNDRIEGRESTGRSLSSTSESSNGPVRTTAAAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLHGSSFRSLGIKAHSSVHQNFSPPVRPQDNRNTARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHHSFVLAENSNMNILEEIPSASLDNSMPDLTLKL >Manes.01G121500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31742151:31745028:1 gene:Manes.01G121500.v8.1 transcript:Manes.01G121500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTIDLEVEAASENDSEVNSQVASNLSVHETSSGPSNDSLTNFSNLTNAIAAPAGSEPVSLDLTLCFNNDRIEGRESTGRSLSSTSESSNGPVRTTAAAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLHGSSFRSLGIKAHSSVHQNFSPPVRPQDNRNTARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHHSFVLAENSNMNILEEIPSASLDNSMPDLTLKL >Manes.10G126900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29144619:29148608:1 gene:Manes.10G126900.v8.1 transcript:Manes.10G126900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSTPPCKYDVFISFRGKDIRVGFLSHLFDALQRKQINLFMDEDLRKGEEISPALLETIKDSYVSIVVFSQNYADSPWCLDELVKILECKGNLEGLVLPIFYNVDPTDVQDLIGDFGEAFAVAKHGEEVKGCLDKVDKWRRALTAISNLSGWDSRKIKSESKLVEEIANDVWEKLSLISSSDSYNDNLVGIESRLKKVESLLCIESINDRRVIGIWGMGGIGKTTIAGEVFNRIMDKFDGHCFVVNVREEVRKQTPVVLLDKIINQLLGEKNLNVSTPRLPPFIRRRLQSKKVVIVFDDVDDPNHLKLLAGECALYHNGSRIIVTSRDRQVLKNVCSEGYKYKVEKLTDDEALCLFSLYTFKQNHPKKGYVEISKKLITYAQGIPLALVILGSNLYNKGIEEWESELEKLKEIPDMNIQAVLRISYDGLERHEKIIFLDIACFLKGEPKDRVERIFEGCGFFPRRAISRLIDKSLITVSNGKVGMHDLLQQMGKDVVCEESKQLGTRSRLWKYKDICHVLTRDKGTENIEGILLDMSGNGYLELSPTAFMNMCNLRFLKFFNVSTSRPRRVLLPSGLEFLPKELRYHHWEGYPLKSLPINFCPRNLVELHMPRSNLIQLWNQEKALESLKFLDLSYSFELTKVPDLSSAPNLEVLYLIACRNLIEIPSSIGESKCLKEIDLRYCSKLHSIPQSICNLKSLTHLYISGCLNVKALPENMGDLELLKNLSISRSGIKTLPSSINQLRRLEKLTCSRCEGFILPPLTGLSCVRKIDLSDCGILEIPQSLWFLVSLEGLLLGGNNFKTTPASIKHLTELKWLSLKGCKRLKCLPELPSCLEELDASDCTSLESASTSSLFLEHDDEEEEKRLEFRNCINLDRNVNDKVMEDVLKTHLLKRKIVKLYIAGAEVPETMRYKNKSGSSLSFRLDQANLTGFSLCAVFDPKNYSHDRIIDISCIANFVGKSGHSSQNFVFETLDFVSDPLYSEHVFLWNELLDMEESFLEASFQFCISRHTSKPTYRVDRAYDSIIMCGVHPIFREDRLSRDKKRSRIEEDKEDENSLQRLKNKTFAG >Manes.12G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32506638:32509863:-1 gene:Manes.12G120700.v8.1 transcript:Manes.12G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGWRRAFCTSIPKERETTVFTEKQQQQQQRSKNNTATTAPSTHSPRISSKFGFFSNPSTPRLKSQSVSSPSLRCRTTTATTPTSSVPNSPKFQCKTATTKKCISPRLFNSSNPSSPNSPSSFSLLKASLRLSRSRCGICLQSVKSAQGAAIFTAECSHVFHFPCVAAHVKKQKLLTCPVCRATWKELPLLSVHHKPEIKKADEKLKELSKIRNLRIYNDDEPLMSPSPGSLFNPIPELEENDVEDDDENATQEFQGFLVNPTPVKVSNPFKVNAKSVEVSLLPESALLTVGKSSQTQVVILKVKAPPSPAARRPPIDLVTVLDVSEMMRGVNSQMMKRVMRRLISSLNSTDRLSIVAFSATSKRLLPLRRMTVEGRRSARRIVDALGSTGQGMSANDALKKATKVIEDRRVKNPIASIIIISNGQDDRSYVNSVAQKRSSRIVSSTRFSHMEIPVHSISLGSISACKNSPTEDALAKYASGLLSVVVQDLKLQIGFISGSHPAEISAVYSLTGRPSAFGPGSVRLGDLHAEEERELLVELKVPAASNGSQHILSVQSSFKDPLSQEPILSKEKALLMPRPQTVRSSVPNIQWLKDLHITTRAIAESQRLMDHKDLSGAYHLLSSARALMMQSSDGSTIDHLRSLEAELAEVHRRRQQLVESQRQRIQQSEEKPEPITPTSAWRAAERLAKLAIMRKHMNRVSDLHGFENARF >Manes.01G042100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8340465:8344787:-1 gene:Manes.01G042100.v8.1 transcript:Manes.01G042100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKKFFIASMFMWMAPIIILCGFNHDLIPGVSHLSPYSLTLLSGFVAVISVNIVIAFYIYMAMKEPADEHEPDPTFVSEAKASVSHLIGKTEDSSQSMKKEE >Manes.01G042100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8340568:8344787:-1 gene:Manes.01G042100.v8.1 transcript:Manes.01G042100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKKFFIASMFMWMAPIIILCGFNHDLIPGVSHLSPYSLTLLSGFVAVISVNIVIAFYIYMAMKEPADEHEPDPTFVSEAKASVSHLIGKTEDSSQSMKKEE >Manes.01G042100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8340470:8344787:-1 gene:Manes.01G042100.v8.1 transcript:Manes.01G042100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKKFFIASMFMWMAPIIILCGFNHDLIPGVSHLSPYSLTLLSGFVAVISVNIVIAFYIYMAMKEPADEHEPDPTFVSEAKASVSHLIGKTEDSSQSMKKEE >Manes.01G042100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8341428:8342761:-1 gene:Manes.01G042100.v8.1 transcript:Manes.01G042100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKKFFIASMFMWMAPIIILCGFNHDLIPGVSHLSPYSLTLLSGFVAVISVNIVIAFYIYMAMKEPADEHEPDPTFVSEAKASVSHLIGKTEDSSQSMKKEE >Manes.01G042100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8340464:8344887:-1 gene:Manes.01G042100.v8.1 transcript:Manes.01G042100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKKFFIASMFMWMAPIIILCGFNHDLIPGVSHLSPYSLTLLSGFVAVISVNIVIAFYIYMAMKEPADEHEPDPTFVSEAKASVSHLIGKTEDSSQSMKKEE >Manes.01G042100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8340465:8344787:-1 gene:Manes.01G042100.v8.1 transcript:Manes.01G042100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKKFFIASMFMWMAPIIILCGFNHDLIPGVSHLSPYSLTLLSGFVAVISVNIVIAFYIYMAMKEPADEHEPDPTFVSEAKASVSHLIGKTEDSSQSMKKEE >Manes.01G042100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8340568:8344787:-1 gene:Manes.01G042100.v8.1 transcript:Manes.01G042100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKKFFIASMFMWMAPIIILCGFNHDLIPGVSHLSPYSLTLLSGFVAVISVNIVIAFYIYMAMKEPADEHEPDPTFVSEAKASVSHLIGKTEDSSQSMKKEE >Manes.17G005200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2276950:2277785:-1 gene:Manes.17G005200.v8.1 transcript:Manes.17G005200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAHVSYAWRLPIQLYGSSPSATFLKTPILILGISLLILSVMGLVALLCHLIFLHRIYLWVILFITISLLIFIIFSLLVTNKGPQGIGSSSREFRVNEFSSWMQTHLVGKNHWFDIKKYLLDYEVCDSFQRKLDALPAAILWEVVTSVELGCCKPPYSCGYKFKNISYWEVSNSGNESKEKDCNSWKNDKEILCYDCNSCKAGYLEEVREQWMILNLFNIFFVIYLLILFPLGRLSLQNHPEANHDSYRSPC >Manes.10G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25025278:25028554:-1 gene:Manes.10G102200.v8.1 transcript:Manes.10G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVVGRDYGNGVLSSSKHGVKTDGFELRGQSWYVATDIPSDLLVQIGVVYFHLHKYPLLSRCGKMNRLIYESRDPDLSKIALDDLPGGAEAFELVAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPMIDSSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFDLIGASIMHYAAKWLPGLIKDSAGSADDGSNSSNSSSSSWKGGLHMIVAGTKDDPSSVHAKDQRMIIESLISIIPPQKDSVSCSFLLHLLRMANMLKVAPALVTELEKRVGMQFEQATLPDLLIPSYNKSETLFDVDLVQRLLEHFLVQEQTETSSPSRQSLSDQRGTNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPSLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANGSMKEAGEAQYQLMIPNRKTLLEATPQSFQEGWNAAKKDINTLKFELETVKTKYLELQNDMENLQRQFDKMINKKQTSAWSSGWKKLSKFTKITTIENHDIGNHSQVPAATIDQNRKTPRRWRNSIS >Manes.10G102200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25025278:25028563:-1 gene:Manes.10G102200.v8.1 transcript:Manes.10G102200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIYESRDPDLSKIALDDLPGGAEAFELVAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPMIDSSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFDLIGASIMHYAAKWLPGLIKDSAGSADDGSNSSNSSSSSWKGGLHMIVAGTKDDPSSVHAKDQRMIIESLISIIPPQKDSVSCSFLLHLLRMANMLKVAPALVTELEKRVGMQFEQATLPDLLIPSYNKSETLFDVDLVQRLLEHFLVQEQTETSSPSRQSLSDQRGTNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPSLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANGSMKEAGEAQYQLMIPNRKTLLEATPQSFQEGWNAAKKDINTLKFELETVKTKYLELQNDMENLQRQFDKMINKKQTSAWSSGWKKLSKFTKITTIENHDIGNHSQVPAATIDQNRKTPRRWRNSIS >Manes.10G102200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25025194:25028555:-1 gene:Manes.10G102200.v8.1 transcript:Manes.10G102200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVVGRDYGNGVLSSSKHGVKTDGFELRGQSWYVATDIPSDLLVQIGVVYFHLHKYPLLSRCGKMNRLIYESRDPDLSKIALDDLPGGAEAFELVAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPMIDSSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFDLIGASIMHYAAKWLPGLIKDSAGSADDGSNSSNSSSSSWKGGLHMIVAGTKDDPSSVHAKDQRMIIESLISIIPPQKDSVSCSFLLHLLRMANMLKVAPALVTELEKRVGMQFEQATLPDLLIPSYNKSETLFDVDLVQRLLEHFLVQEQTETSSPSRQSLSDQRGTNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPSLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANGSMKEAGEAQYQLMIPNRKTLLEATPQSFQEGWNAAKKDINTLKFELETVKTKYLELQNDMENLQRQFDKMINKKQTSAWSSGWKKLSKFTKITTIENHDIGNHSQVPAATIDQNRKTPRRWRNSIS >Manes.10G102200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25025194:25028555:-1 gene:Manes.10G102200.v8.1 transcript:Manes.10G102200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLIYESRDPDLSKIALDDLPGGAEAFELVAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPMIDSSPSRNQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFDLIGASIMHYAAKWLPGLIKDSAGSADDGSNSSNSSSSSWKGGLHMIVAGTKDDPSSVHAKDQRMIIESLISIIPPQKDSVSCSFLLHLLRMANMLKVAPALVTELEKRVGMQFEQATLPDLLIPSYNKSETLFDVDLVQRLLEHFLVQEQTETSSPSRQSLSDQRGTNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPSLTEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANGSMKEAGEAQYQLMIPNRKTLLEATPQSFQEGWNAAKKDINTLKFELETVKTKYLELQNDMENLQRQFDKMINKKQTSAWSSGWKKLSKFTKITTIENHDIGNHSQVPAATIDQNRKTPRRWRNSIS >Manes.07G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34482199:34484296:-1 gene:Manes.07G142400.v8.1 transcript:Manes.07G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCTGKLSSNRERAARELVQGQEFATHLQFLLKKHYPDDGLLSADELVVKIMRSFTEALSLVTCYDFVENFQNQTTSQVDSVCCDDRKSEDSGESKKRATAKERRGCYKRKKISLSWTTVSATTEDGYAWRKYGQKQILNTKYPRSYFRCTHKYDQGCKATKQVQIMEEDPQMYGTTYIGHHTCRDILKVPQIITPPDSSPGHESYMLTYSNSNNTPTQLDHQHHPFTWCPSTMIKQEYKEETPSDLTDELSSLDSMWKDLEAFEQADHYRAVASTECTETASQSLDMDFAVSSVEFNGDFHFDESEFNYLSK >Manes.15G040300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3130965:3134465:1 gene:Manes.15G040300.v8.1 transcript:Manes.15G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREHHHVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYYKEDSTTPGSLPSNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKRVYGMTLMLMVICSIASGLSFGKSPAAVMSTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGMIAIIISAAFKAKYTAQPYENDPAGSTVAEADYVWRIILMFGAVPAAVTYYWRMKMPETARYTALVARNAKQAASDMAKVLQVDLEAEENEKVEQSDKNNFGLFSKEFVRRHGIHLIGTTTTWFFLDIAFYSQNLFQKDIFSAIGWIPQAKTMNAIEEVYRIARAQTLIALCSTVPGYWFTVALIDVIGRFAIQLMGFFFMTVFMFALAIPYRHWTLPRNRIGFVIMYSLTFFFSNFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAMVGAFGFLYAADGIGVRNTLLILAGINFLGMMFTFLVPESKGKSLEEISGEAEEENQSDKTTIV >Manes.09G021201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4401085:4408057:-1 gene:Manes.09G021201.v8.1 transcript:Manes.09G021201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAIRELEHDFENKANDLSKLQKELDLVNEGANVYKLNVPVLVKQDWAEADANVPKRIDSISLELKRLDVTVQDLEAKQNSKNDEDVLVYY >Manes.13G111600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31686695:31688796:-1 gene:Manes.13G111600.v8.1 transcript:Manes.13G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQQSDQSFNNSTSRTSSSSRSSRQNNHHHYQQEDEECFNFFMDEEDFSSSSSKHYYPYHHQQQTAATTPATTTTNISTPTTHHAVESADFSFSPSRELNFEFSGKWATDILLETARAFADKNSDRVQQLMWMLNELASPYGDTDQKLASYFLQALFSRMTDSGERCYRTLASASDKTCSFESTRKMVLKFQEWPTLLEALATRNDETPHLRLTTVITTKTGGGGGGNGGLAAVQKVMKEIGSRMEKFARLMGVPFKFNVIHHAGDLCDLNLAELDIKDDEALAINCIGSLHSVTSVSNSRDHIISSFRRLQPRIITIVEEEAELDVGSDGLDFVRGFQECLRWFRVYFESLEESFSRTSNERLMLERAAGRAIVDLVARQPSDSIERRETATRWSARLHACGFNPVLFSDEVCDDVRALLRRYMEGWSMTQCSDAGIFLCWKDQPVVWASAWRP >Manes.18G039700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3510397:3518783:-1 gene:Manes.18G039700.v8.1 transcript:Manes.18G039700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNMQNPAENRLADFMLSPSHMTGAYVNSVDQERQHSGGWSLGEPSSSVPQNDAIHEEQKLEQGWSASSSGCAGAGRGLGERQYEPGNSLSRENVNGNPQSVQSSNSNAIPQNLNLNAGFVRHSDNGLVMDASNVYKSGVAENERLPLAGGSDTFLHSSGSSGYMLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGSSSFFPCSESSAWPGVASHYGAGSSLSISGPDQVNPSLGLGLRGLASDDVSGSPETSVAGRTETSQRNFRLRINPSAQESLPPALFSTGSAVRRSSVPSAHRPLRLLPIDHPLDFRSLPALEGATPQSQPPVVPVPPLPHNVQSFRWREGSSSRTGSSSSSISLNDRDEGSSRSISRNIWEHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSGGHPLSAPTWVSHPNPTSRNSRRLAEYVRRSLFSSSGADSGGQSTHSPLHSGPSGTSEEAMVSSGVGSQVHHQSYPRSALWMDRQGDGVLGIPYPLRTLAAAGEGRSRLLVSEQIRNVLDLMRRGENLRFEDVMILDQSVFFGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRQRKYSVAARTALEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLGT >Manes.18G039700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3511109:3517096:-1 gene:Manes.18G039700.v8.1 transcript:Manes.18G039700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNMQNPAENRLADFMLSPSHMTGAYVNSVDQERQHSGGWSLGEPSSSVPQNDAIHEEQKLEQGWSASSSGCAGAGRGLGERQYEPGNSLSRENVNGNPQSVQSSNSNAIPQNLNLNAGFVRHSDNGLVMDASNVYKSGVAENERLPLAGGSDTFLHSSGSSGYMLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGSSSFFPCSESSAWPGVASHYGAGSSLSISGPDQVNPSLGLGLRGLASDDVSGSPETSVAGRTETSQRNFRLRINPSAQESLPPALFSTGSAVRRSSVPSAHRPLRLLPIDHPLDFRSLPALEGATPQSQPPVVPVPPLPHNVQSFRWREGSSSRTGSSSSSISLNDRDEGSSRSISRNIWEHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSGGHPLSAPTWVSHPNPTSRNSRRLAEYVRRSLFSSSGADSGGQSTHSPLHSGPSGTSEEAMVSSGVGSQVHHQSYPRSALWMDRQGDGVLGIPYPLRTLAAAGEGRSRLLVSEIRNVLDLMRRGENLRFEDVMILDQSVFFGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRQRKYSVAARTALEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLGT >Manes.18G039700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3510397:3518783:-1 gene:Manes.18G039700.v8.1 transcript:Manes.18G039700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNMQNPAENRLADFMLSPSHMTGAYVNSVDQERQHSGGWSLGEPSSSVPQNDAIHEEQKLEQGWSASSSGCAGAGRGLGERQYEPGNSLSRENVNGNPQSVQSSNSNAIPQNLNLNAGFVRHSDNGLVMDASNVYKSGVAENERLPLAGGSDTFLHSSGSSGYMLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGSSSFFPCSESSAWPGVASHYGAGSSLSISGPDQVNPSLGLGLRGLASDDVSGSPETSVAGRTETSQRNFRLRINPSAQESLPPALFSTGSAVRRSSVPSAHRPLRLLPIDHPLDFRSLPALEGATPQSQPPVVPVPPLPHNVQSFRWREGSSSRTGSSSSSISLNDRDEGSSRSISRNIWEHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSGGHPLSAPTWVSHPNPTSRNSRRLAEYVRRSLFSSSGADSGGQSTHSPLHSGPSGTSEEAMVSSGVGSQVHHQSYPRSALWMDRQGDGVLGIPYPLRTLAAAGEGRSRLLVSEQIRNVLDLMRRGENLRFEDVMILDQSVFFGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRQRKYSVAARTALEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLGT >Manes.18G039700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3510397:3518783:-1 gene:Manes.18G039700.v8.1 transcript:Manes.18G039700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNMQNPAENRLADFMLSPSHMTGAYVNSVDQERQHSGGWSLGEPSSSVPQNDAIHEEQKLEQGWSASSSGCAGAGRGLGERQYEPGNSLSRENVNGNPQSVQSSNSNAIPQNLNLNAGFVRHSDNGLVMDASNVYKSGVAENERLPLAGGSDTFLHSSGSSGYMLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGSSSFFPCSESSAWPGVASHYGAGSSLSISGPDQVNPSLGLGLRGLASDDVSGSPETSVAGRTETSQRNFRLRINPSAQESLPPALFSTGSAVRRSSVPSAHRPLRLLPIDHPLDFRSLPALEGATPQSQPPVVPVPPLPHNVQSFRWREGSSSRTGSSSSSISLNDRDEGSSRSISRNIWEHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSGGHPLSAPTWVSHPNPTSRNSRRLAEYVRRSLFSSSGADSGGQSTHSPLHSGPSGTSEEAMVSSGVGSQVHHQSYPRSALWMDRQGDGVLGIPYPLRTLAAAGEGRSRLLVSEIRNVLDLMRRGENLRFEDVMILDQSVFFGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRQRKYSVAARTALEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLGT >Manes.18G039700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3511109:3517096:-1 gene:Manes.18G039700.v8.1 transcript:Manes.18G039700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNMQNPAENRLADFMLSPSHMTGAYVNSVDQERQHSGGWSLGEPSSSVPQNDAIHEEQKLEQGWSASSSGCAGAGRGLGERQYEPGNSLSRENVNGNPQSVQSSNSNAIPQNLNLNAGFVRHSDNGLVMDASNVYKSGVAENERLPLAGGSDTFLHSSGSSGYMLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGSSSFFPCSESSAWPGVASHYGAGSSLSISGPDQVNPSLGLGLRGLASDDVSGSPETSVAGRTETSQRNFRLRINPSAQESLPPALFSTGSAVRRSSVPSAHRPLRLLPIDHPLDFRSLPALEGATPQSQPPVVPVPPLPHNVQSFRWREGSSSRTGSSSSSISLNDRDEGSSRSISRNIWEHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSGGHPLSAPTWVSHPNPTSRNSRRLAEYVRRSLFSSSGADSGGQSTHSPLHSGPSGTSEEAMVSSGVGSQVHHQSYPRSALWMDRQGDGVLGIPYPLRTLAAAGEGRSRLLVSEQIRNVLDLMRRGENLRFEDVMILDQSVFFGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRQRKYSVAARTALEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLGT >Manes.18G039700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3512358:3517096:-1 gene:Manes.18G039700.v8.1 transcript:Manes.18G039700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNMQNPAENRLADFMLSPSHMTGAYVNSVDQERQHSGGWSLGEPSSSVPQNDAIHEEQKLEQGWSASSSGCAGAGRGLGERQYEPGNSLSRENVNGNPQSVQSSNSNAIPQNLNLNAGFVRHSDNGLVMDASNVYKSGVAENERLPLAGGSDTFLHSSGSSGYMLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGSSSFFPCSESSAWPGVASHYGAGSSLSISGPDQVNPSLGLGLRGLASDDVSGSPETSVAGRTETSQRNFRLRINPSAQESLPPALFSTGSAVRRSSVPSAHRPLRLLPIDHPLDFRSLPALEGATPQSQPPVVPVPPLPHNVQSFRWREGSSSRTGSSSSSISLNDRDEGSSRSISRNIWEHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSGGHPLSAPTWVSHPNPTSRNSRRLAEYVRRSLFSSSGADSGGQSTHSPLHSGPSGTSEEAMVSSGVGSQVHHQSYPRSALWMDRQGDGVLGIPYPLRTLAAAGEGRSRLLVSEQIRNVLDLMRRGENLRFEVSLSFSYLSCNSLSSWSL >Manes.18G039700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3510397:3518783:-1 gene:Manes.18G039700.v8.1 transcript:Manes.18G039700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRGIVGSLPEMLDFDHGSTSGNAIIDQQICWNNMQNPAENRLADFMLSPSHMTGAYVNSVDQERQHSGGWSLGEPSSSVPQNDAIHEEQKLEQGWSASSSGCAGAGRGLGERQYEPGNSLSRENVNGNPQSVQSSNSNAIPQNLNLNAGFVRHSDNGLVMDASNVYKSGVAENERLPLAGGSDTFLHSSGSSGYMLEESDGRPGCSLDGHRHSCKRKAVEGHIGQSSVSGSSSFFPCSESSAWPGVASHYGAGSSLSISGPDQVNPSLGLGLRGLASDDVSGSPETSVAGRTETSQRNFRLRINPSAQESLPPALFSTGSAVRRSSVPSAHRPLRLLPIDHPLDFRSLPALEGATPQSQPPVVPVPPLPHNVQSFRWREGSSSRTGSSSSSISLNDRDEGSSRSISRNIWEHPMFVPATELRTSVRNPTNRSVTGGNASAPGNIASTSRSGSSSGGHPLSAPTWVSHPNPTSRNSRRLAEYVRRSLFSSSGADSGGQSTHSPLHSGPSGTSEEAMVSSGVGSQVHHQSYPRSALWMDRQGDGVLGIPYPLRTLAAAGEGRSRLLVSEIRNVLDLMRRGENLRFEDVMILDQSVFFGVADVHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETILTRLRQRKYSVAARTALEAEPCCICQEEYNNGEDVGTLDCGHDFHTDCIKQWLMLKNWCPICKTTGLGT >Manes.09G058984.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9541078:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLAEEQTNIDARPFPQSRRPATAVPAPSSAARYRDTSDRESGSNNSSRTGAYQPQPQQYQELVSRYKAALSELTFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQVFCKVYRQVDPSVHLSMRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLVDPPVKIHNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMVRHFISKEAL >Manes.09G058984.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9540033:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLAEEQTNIDARPFPQSRRPATAVPAPSSAARYRDTSDRESGSNNSSRTGAYQPQPQQYQELVSRYKAALSELTFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMNKDG >Manes.09G058984.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9541078:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLVDPPVKIHNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMVRHFISKEAL >Manes.09G058984.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9540032:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLVDPPVKIHNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMRVCILHSIPSSDVSNKRQTVSHSALQFALIKLLEYE >Manes.09G058984.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9540033:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLAEEQTNIDARPFPQSRRPATAVPAPSSAARYRDTSDRESGSNNSSRTGAYQPQPQQYQELVSRYKAALSELTFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQVFCKVYRQVDPSVHLSMRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLVDPPVKIHNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMRVCILHSIPSSDVSNKRQTVSHSALQFALIKLLEYE >Manes.09G058984.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9540033:9547491:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLAEEQTNIDARPFPQSRRPATAVPAPSSAARYRDTSDRESGSNNSSRTGAYQPQPQQYQELVSRYKAALSELTFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQVFCKVYRQVDPSVHLSMRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLVDPPVKIHNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMNKDG >Manes.09G058984.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9539904:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLAEEQTNIDARPFPQSRRPATAVPAPSSAARYRDTSDRESGSNNSSRTGAYQPQPQQYQELVSRYKAALSELTFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQVFCKVYRQVDPSVHLSMRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMNKDG >Manes.09G058984.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9541078:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLAEEQTNIDARPFPQSRRPATAVPAPSSAARYRDTSDRESGSNNSSRTGAYQPQPQQYQELVSRYKAALSELTFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQVFCKVYRQVDPSVHLSMRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMVRHFISKEAL >Manes.09G058984.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9540033:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLVDPPVKIHNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMNKDG >Manes.09G058984.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9541078:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLAEEQTNIDARPFPQSRRPATAVPAPSSAARYRDTSDRESGSNNSSRTGAYQPQPQQYQELVSRYKAALSELTFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMVRHFISKEAL >Manes.09G058984.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9540033:9547485:-1 gene:Manes.09G058984.v8.1 transcript:Manes.09G058984.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLAEEQTNIDARPFPQSRRPATAVPAPSSAARYRDTSDRESGSNNSSRTGAYQPQPQQYQELVSRYKAALSELTFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQVFCKVYRQVDPSVHLSMRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSRPDSQSRHPQHSIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRSLNIQRFHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKAQYGAGNSVTETIVGQTNGFSMKHGFPNFSMRKAANVDLHRQPTQGVTSKSSSAVLDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSRKELWTADDAKKMEFENQLRKQEDAHEVVSRFGNPPAPSFPARSHHQLQNSMEQDLSRPDYKAHHLSVNLLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSRRHPILQPRQADSKRVEPSGLVSKILTPSTLGSSAPDHSTPLSAEVSGESSTSSLLAAVMSSGILSNITTVGFTNKSSQDNGQNPVDSKIHPPLPSGASPSQITSSWPRITSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSSVQTSSAVNKVDDPISNLLSSLIAKGLISASKSETSSSLPPHMPTQSESKNPSITNSSNTSTSLPVSSVIPHSSTDDEVLLPVPDAIKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLLHQCSVCGLKLKLKERLDRHLEWYTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENVEGPIVHVNCISESSVYDLRLASDNEMRVCILHSIPSSDVSNKRQTVSHSALQFALIKLLEYE >Manes.05G153300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26454146:26459702:1 gene:Manes.05G153300.v8.1 transcript:Manes.05G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHGSSGISSGVLETAKADRSVWLMKCPLVVAKSWQSQPSSSDSHPLSKVVLSLDPLRSEDPSALQFTMEMAGSETSNIPKSYILNMSKDFVPMSVFSETSQGKVAMEGKVEHKFDMKPHEENIEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMIGLISSNSKDKKKTAPVKQSDMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSAEDTGAD >Manes.05G153300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26454296:26459702:1 gene:Manes.05G153300.v8.1 transcript:Manes.05G153300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHGSSGISSGVLETAKADRSVWLMKCPLVVAKSWQSQPSSSDSHPLSKVVLSLDPLRSEDPSALQFTMEMAGSETSNIPKSYILNMSKDFVPMSVFSETSQGKVAMEGKVEHKFDMKPHEENIEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMIGLISSNSKDKKKTAPVKQSDMKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSAEDTGAD >Manes.S026052.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2204297:2204956:-1 gene:Manes.S026052.v8.1 transcript:Manes.S026052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGRSPPRPRMFVNTFAGRAAEQVST >Manes.10G099301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24798351:24799132:-1 gene:Manes.10G099301.v8.1 transcript:Manes.10G099301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSSFFLASEFWRRWNGNPTKISSFLFVTAFSFSSRSVVAYVFKTSRQWKSKVFELMKLHLLFRWCSICFAHLSLDVACSFTVSTVFSSS >Manes.03G001350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:230262:230465:1 gene:Manes.03G001350.v8.1 transcript:Manes.03G001350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLLLCWLFSTIGEEVIGLVNYYTISLTWLLLKKLYVQTSMMRTLQLKQLLSSRYLFQTIFSKLK >Manes.05G167400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28111427:28113445:-1 gene:Manes.05G167400.v8.1 transcript:Manes.05G167400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSPYFNTTIAGVCALLLFSCYLQKKWKSRAFKGKLAPQPEGAWPLTGHFALLSGTQDPHLTLSALADKYGPVFTMRIGVHPVLVVSSSEVAKELFTTNDVNVTFRPALVAAKLLGYNYAFFPFTPGGPYWRETRKISVLELLSNRRLELLKHIRAQEVETSIKELYRACMDKDTVEMKQWFGDLNLDVLLRMVIGKKYFGAGAVGDEKEGQRFQEVIKVLFHYLGMLVLRDAVPFLGWMDVGGHEKAMKNTAKELDDFMEKRLQEHKRKRSNNLDDAEDAKDFMDVMLSILDGKSLEGYDADTINKAVSLSLIAGNETVTVAITWALAALLNNRPVLKKAQQELDKIVGKERLVDEKDINKLDYLQAIVKETLRLYPPAFIPGPRQFIEDCTIGGYHVPKNTWLMVNVWKIQRDPRVWPDPTEFKPERFLTTHNNVDVWSQNFELLPFGGGRRGCPGASHSLHMIHLTLATLLHAFEISTPTDAAIDMTPGIGLTNMKITPLEAVVSPRLPPSCFE >Manes.03G089700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19784093:19786154:-1 gene:Manes.03G089700.v8.1 transcript:Manes.03G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHSRFASSCSLMLVLGLACGLLVGGWLANGQLSPTFYDETCPYASCIIRQVIKQSMQSDPRIGASLIRLHFHDCFVNGCDGSILLDDSPNIESEKEAAANKNSARGFDVVDTIKGRLESICPSTVSCADILTNAAQQSVSLAGGPSWSNLLGRRDSTTANKTLVNINIPSPFDTLGGLKSKFTNVGLNGNTDLVALSGAHTFGRAQCLTFNGRLYNFNNTGVPDPTLNTTYLQRLRQICPQGGNASVIANLELTTPDAFDNNYFSNLLSNQGLLQSDQELFSTTGADTVAIVNHFSANQSAFFESFVKSMIRMGNLSVLTGTHGEIRLNCREVNANSATADSILVSLI >Manes.12G059500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5095695:5100393:-1 gene:Manes.12G059500.v8.1 transcript:Manes.12G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHGSCSSLQAYMRAIQSKITNQQTLIKGNFVILQTQGKSGPEKSASVQIYSSNAIDPSTGKGKLSQKAHLKHGKSKKNDDMKTITYKIKLYTEREFGIPGAFLITNHHKHEFFLESATLEVLDNHIIHFDCRSWVYPVQNTKSDRLFFSNTSYLPKQTPSALLELRKSELKSLRGDGTGERKEWDRIYDYDYYNNLGRPDKDQKHSRPILGGSESHPYPRRGRTGLPISSTDPSTKSRPEIINLDTYVPPDERLGPKKLSEFISNSVQAAVHFLIPEANSLLKQGSSSFKSFEEISNLFSRKRYQIEETVKDKLKKMVTDELFKDIIHASKQSIKFPLPQIITEDDLAWRDDEEFGHQMLAGVNPARIRCLQRFPPEGKNGISKIKASDIEHNLNGLDLTGAMRQWRIFILDHHDYLMPFLDRINKNGVCAYASRTLLFLQDDATLKPVAIELSLPGSIKDREISRVFLPATQGTQAALWQLAKAHVAANDSAYHQLISHWLHTHAVVEPFIIATRRQLSVMHPIHRLLDPHFKHTMHINALARSILINSGGILEKTLFTGEISVELSSELYKEWRFDEQALPADLLKRRLALKDPDNPITGVQLLFEDYPYGADGLEIWGAIKTWVRDFCSIFYKDDDSVSSDIEIQEWWSEIKNVGHGDKGNETWWYEMTTLTNLIEALTTLIWIASALHASVNFGQYAYASYPPNRPMLCRRFIPREGEKEFAEFLRDPDKYYLNMLPERFETTLGIALTAVLSQHSSDEMYLGQRPSGWTDNKEVGQKFEKFKEDLKEIEKKISTRNANPNLKNRWGPAKIPYKLLHPDTSNVESKAGITNKGIPSSITI >Manes.01G103000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30264555:30270283:-1 gene:Manes.01G103000.v8.1 transcript:Manes.01G103000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTVTRTLKLQQNLFSTRTLSLSLLKSLSSISRERKPEDQDRREFAEEAKTHNSNLLLVHENREILTMDLDDYTIIKEGEAEILMHNKNKVFFNKAQVHNRDLSIAVLRTFISKRKEEHEARLSRRTKPVKKASEKDASGSSKPAADNEKSNGECEEKVSEKDALGSAAEEVTNERAEDNEKLNGECEVPEEITEDRPCSLTEEQMMTTERKSQGVLEPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKDSVEACRRNIKFNGSIAISKVESHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQSVADGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPVLSVQIDFYVRVFVRIYTSASAMKNTPLKLSYVYQCIGCDSFHLQPIGRTISKNNSVRYLPGFGPAVPQECSDCGKKFNMGGPIWSAPIHDQEWVSSILGGVKCMKDRYPAYDHISAVLTSVSEELPDVPLFLSLHNLCATLKCTSPQAVIFRSAVINAGYRISGTHVNPLGLKSDAPMHVIWDIMRCWVKIHPVKAQAPDQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHVSLLGPEAVNGHLNHENGEEEEPEAKRQKTEDVETPMSIS >Manes.01G103000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30264555:30270283:-1 gene:Manes.01G103000.v8.1 transcript:Manes.01G103000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTVTRTLKLQQNLFSTRTLSLSLLKSLSSISRERKPEDQDRREFAEEAKTHNSNLLLVHENREILTMDLDDYTIIKEGEAEILMHNKNKVFFNKAQVHNRDLSIAVLRTFISKRKEEHEARLSRRTKPVKKASEKDASGSSKPAADNEKSNGECEEKVSEKDALGSAAEEVTNERAEDNEKLNGECEVPEEITEDRPCSLTEEQMMTTERKSQGVLEPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKDSVEACRRNIKFNGSIAISKVESHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQSVADGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPVLSVQIDFYVRVFVRIYTSASAMKNTPLKLSYVYQCIGCDSFHLQPIGRTISKNNSVRYLPGFGPAVPQECSDCGKKFNMGGPIWSAPIHDQEWVSSILGGVKCMKDRYPAYDHISAVLTSVSEELPDVPLFLSLHNLCATLKCTSPQAVIFRSAVINAGYRISGKNSSRESSST >Manes.04G126551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32868902:32870469:1 gene:Manes.04G126551.v8.1 transcript:Manes.04G126551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVAFVVWLKIPSLPFFNFIIITVGPLFSEISSPAIKTSNSNVIKPKKPPFRPAQDDTKHPLQDPILRSDPIETEEAVLKLPSFPTVKSKLQS >Manes.09G175100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36661691:36666358:1 gene:Manes.09G175100.v8.1 transcript:Manes.09G175100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFPSSLTAQPSPKTMSPSSSNLFASIDMGTNSFKMLIVQADPSGKFLTIDRHKNPVCLGRDSSTSISVESHLRALQCLQEFNQILKSNDISPHRIRCVATAAVREADNAIELRKAIHESTGLDVVVLSGEEEARFVYLGVLQFLPVFEKRVLVVDIGGGSTEFVIGERGNVILGVSLKLGHVGLTQKSLSSGNVLHMRKFVKLVIRESGLIEKVKDCGFEVVVGTSGTIRAIEKAVVYGYGQDLVDSNEVLFRNCNRDWKFSRGEFRSVVDRLCNEGVEERMRRDEFFKRRSEFIVAGAVLLEEIFEALGIEEMEVSGYALGEGVIAETLAKVFDGYGLNPSTRWLSVVRLATRYSGKKGIKSAAKCASIANEIFEGFRKWIEIADNQVKVNLHLTKKDLECLEAACLLHNIGIFTGKKGYHKRTYRIIMNDDYLHGYSAEEVKLIALLTRHHRKKFPGSDHSNLKEIPEEFKIKFRILCIIIRISVILQQNGCLNFQDVKVLHSYEGFELVFGQTGNKNALPLGEDIARELKKELAQFKIVFQQRLFEVQSCSLESSKS >Manes.09G175100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36661691:36666358:1 gene:Manes.09G175100.v8.1 transcript:Manes.09G175100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFPSSLTAQPSPKTMSPSSSNLFASIDMGTNSFKMLIVQADPSGKFLTIDRHKNPVCLGRDSSTSISVESHLRALQCLQEFNQILKSNDISPHRIRCVATAAVREADNAIELRKAIHESTGLDVVVLSGEEEARFVYLGVLQFLPVFEKRVLVVDIGGGSTEFVIGERGNVILGVSLKLGHVGLTQKSLSSGNVLHMRKFVKLVIRESGLIEKVKDCGFEVVVGTSGTIRAIEKAVVYGYGQDLVDSNEVLFRNCNRDWKFSRGEFRSVVDRLCNEGVEERMRRDEFFKRRSEFIVAGAVLLEEIFEALGIEEMEVSGYALGEGVIAETLAKVFDGYGLNPSTRWLSVVRLATRYSGKKGIKSAAKCASIANEIFEGFRKWIEIADNQVKVNLHLTKKDLECLEAACLLHNIGIFTGKKGYHKRTYRIIMNDDYLHGYSAEEVKLIALLTRHHRKKFPGSDHSNLKEIPEEFKIKFRILCIIIRISVILQQNGCLNFQDVKVLHSYEGFELVFGQTGNKNALPLGEDIARELKKELAQFKIVKHFSFGRSI >Manes.15G125950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10052627:10052941:1 gene:Manes.15G125950.v8.1 transcript:Manes.15G125950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERMQGTMTSLILAETWKMDVIGGWISLVTLFELGGLGWLFLFELNFLNEFVSLINGFMSCLYFSLVRLNLEKYNESSFSVYIYICKSNNNFNTKNAPLFNII >Manes.02G092400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7247232:7250083:1 gene:Manes.02G092400.v8.1 transcript:Manes.02G092400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEYEDLEEIDDDLEEDVSSPSMKQLMSMPLSNFDAKERYIADRIPEDYATFPKHIKLMENTGIGDVVREQALAFLPAKSLCRFKTVSKEWDQWINNPFFVHIQTTQFKSVSGLFCQLPGESPSFISLDTTAFGVPNAGLSFLPEPVDIRTTCNGLICCQGRGENQAYYVCNPVNKGWWMLPKPKLYHGRQTAIALAFEPAIFNFNANYELICVIKMPDTCVLYFEIYSSRTDSWRISETVCFELDALALNGDGFYMKGYAYWETQCDAILAFDVKYESYGMLSLPPGSGPNGALTEMRGNLYYLMPRKQDACSIEVYGDINMSLKHVIPLDPKDMGNMDGLCRALSFVNDDTFILELERKIIAYNATSHKVECLRNASDYKGFAKYLPYVNSLVTVSHPVPSI >Manes.02G092400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7247232:7250083:1 gene:Manes.02G092400.v8.1 transcript:Manes.02G092400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTGIGDVVREQALAFLPAKSLCRFKTVSKEWDQWINNPFFVHIQTTQFKSVSGLFCQLPGESPSFISLDTTAFGVPNAGLSFLPEPVDIRTTCNGLICCQGRGENQAYYVCNPVNKGWWMLPKPKLYHGRQTAIALAFEPAIFNFNANYELICVIKMPDTCVLYFEIYSSRTDSWRISETVCFELDALALNGDGFYMKGYAYWETQCDAILAFDVKYESYGMLSLPPGSGPNGALTEMRGNLYYLMPRKQDACSIEVYGDINMSLKHVIPLDPKDMGNMDGLCRALSFVNDDTFILELERKIIAYNATSHKVECLRNASDYKGFAKYLPYVNSLVTVSHPVPSI >Manes.08G015400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:175635:188930:-1 gene:Manes.08G015400.v8.1 transcript:Manes.08G015400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNPPNQGGGVFDVNKLFKPSSSPINMNIMQPSTPPQQQQQQQTQPPLSPSNSNPAIGNLTTSPSFPLPSSLPSSSPPYLTPSSSYPPPSGPYHPYHPHYLSPYPPSPLPQLQQHHNHFLTNMQQQNRPQLISSFPTAAATPVAAPSTAPSPPPFSPNNPTPSGVLVDILNQNQQQQQQPPPSNNLTMPFSSPSSSAVSNFNTPIPSAPPVSLVSSTHQLQQPNPTPVRMLSSKLPKGRHLIGNHVVYDIDVRLQGEVQPQLEVTPITKYVSDPGLILGRQIAVNRNYICYGLKPGAIRILNINTALRSLLRGHNQKVTDMVFFAEDVHLLASTCIDGRVFIRKINEGPDEEEKSQIFERIVLALQIITEGESVHPRVCWHPHKQEILMVAIGNRILKIDTIKVGKGEGFSAEKPLNCSIDKLIDGVQLVGKHEGEVTELSMCQWMTTRLASASVDGTVKVWEDRKAVPLAILRAHDGNPVNSVAFLTAPHRPDHIVLITGGQLNQEVKIWASAIEEGWLLPSDAESWQCSQTLTLKSSAESSVEDAFFNQVVALPRAGLFLLANAKKNAIYAIHIEYGPYPAATRMDYIAEFTVTMPILSLTGTSDSLPNGEHIVQVYCVQTQAIQQYALDLSQCLPPPLENMELEKTASNVSRVFDAAGSDGFTIVESSHGTKPVEIPIGKGSPIQPIISSSSESTPSASHPESLISAELNNLPDNATSVVEAKASALPSHNSVGTINSVSSPHPLSPQLSRKMSGFQGPSNGTEPSMQLIDHVDQPGLDYLVEHRMDSTKENMADTPSGDNLKKGEKNITQTDISEVPEPPVMFKHPTHLITPSEILSRAASSSENSQISQGLSVGETKVQDVVLNNDMITVEEDVKVVGDTRTNQSFDSDFPRESPATVPEKKEKSFYSQASDLSIQMARECCVEAYSAGGIRQPGGSSVIEVPDRPPNRGEDEEQEIRKDIPAKVGQSETPAVVLQSPAPTTKGKKQKGKNSQLPGPCSPSASPFNSTDSFNEPGCSSGAQSSDAALSQLSAMQDMLDQLLSTQKEMQKQMTMMISVPVSKEGKRLETSLGRSIEKVVKANTDALWARFQEEYTKHEKLERDRMQQLSNLITNFVNKDLPSTLEKTLKKEVAAVGPAVARVITPILEKSISSAITESFQKGVGEKAVNQLEKSVSSKLEGIVARQIQSQFQTSGKQALQDALRSSLEAAIIPAFEMSCKSMFDQVDSTFQKGFIDHLNATQQQFDSTNSHLAISLRDAINSASSMAQTLSGELAECQRNLLAFAAAGANSKVGNPSLSNGPLAGLHEMAEAPLDPRKELSRLIAERKFEEAFTTALHRSDVTIVSWLCSQVDMQGILSIAPLPLSQGVLLALLQQLACDISKETSRKLAWMTDVAVAINPADPMIAVHVRPIFDQVSQILSHQRNLPTTSASESASIRLLMHVINSVLSSCK >Manes.05G168300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28191803:28194194:-1 gene:Manes.05G168300.v8.1 transcript:Manes.05G168300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNVQSFTITGFVSLIFIYFVLLIRTKIAPTSSTREAPEPAGAWPIIGHIHQLGGANQHLHQTLGNMADKYGPAFCIRLGNFRAFVVSSREVAKECFTTNDRAFSSRPVTAATKHMCYNNAVFGFAPYSQHWREMRKIVMLELLSNSRLEAIKNVQASEVDAGIRKLYCLWAENKSRTPVLVELNQWLEDITFNVIVRVVAGKRYTAGASHDGEARRCQNAIAEFFRLMGIFVVSDAFPFLRWLDFQGHEKAMKKTANELDAILGGWLEEHRQRKVSGHVRTDEDEQDFIDRMLSAEDRGHLSGFPYDADTSIKSTCLAVITGASDATATTLTWAVSLLLNNQFALKKAQEELDLHVGAERLVDESDIKNLVYLQAIIKETLRLNPVAPLSGPREAMEDCTLAGYNVPAGSRLIINVWKIQRDPTSWTDPLEFQPERFLTSHVDVDVRGKHFELIPFGSGRRACPGVALALQGLHLTLARLLQAFDLANSNDEPVDMAAKSGMNTAKATPLEVLVSPRLPATLYSIVKD >Manes.15G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3488395:3491233:1 gene:Manes.15G045600.v8.1 transcript:Manes.15G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSKPFSLLLSLSLLLFTDLALSATDYNALVYKGCAKQAFQDPTGVYSQALSALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSTGDCYKCVSGLPVLTDKLCGKTIAARVQLYGCYMLYEVAGFAQVSGMEMLFKTCGATNIAGSGFEERRDTAFSVMQNGVVSGHGFYTTSYQSVYVLGQCEGDVGDSDCGECVKNAAQRAQVECGNAISGQIYLHKCFISYSYYPNGVPRRSSSSSSSSSSPSSSGQNPGKTVAIVLGGAAAVGFLAICLLFARGLMKKHDDF >Manes.15G045600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3488395:3491233:1 gene:Manes.15G045600.v8.1 transcript:Manes.15G045600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSKPFSLLLSLSLLLFTDLALSATDYNALVYKGCAKQAFQDPTGVYSQALSALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSTGDCYKCVSGLPVLTDKLCGKTIAARVQLYGCYMLYEVAGFAQVSGMEMLFKTCGATNIAGSGFEERRDTAFSVMQNGVVSGHGFYTTSYQSVYVLGQCEGDVGDSDCGECVKNAAQRAQVECGNAISGQIYLHKCFISYSYYPNGVPRRSSSSSSSSSSPSSSGKGKIQERQ >Manes.02G218700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:33898239:33900156:-1 gene:Manes.02G218700.v8.1 transcript:Manes.02G218700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFLKLFLGMALVRCSMLTFENLCELWNQLRFNLEIVVVSSTFILIISTLYFMRKPRKIYLVDFACYKPEPSYKCPKEHCMKVIDSGEAFTKESLEFARKILEKSGIGQEAYGSNGVMQIPQNLCMAEARKDAEMQIFGVVDELLAKTGVKPANIGILVVNCSTFNPQPSLSAMIVNHYKLRDNILSFNLGGMGCSAGLISIYLAKDLLQVHPNSYALVVNTENAISTWYEGNERSMLITNCLFRVGGAAILLSNRSFDRRRSKYQLMHSVRTHMGADDKSYNCIMQQEDKNGTIGVSLSKELIVVAGGALKANITTLGPLVLPLSEQLKYLATLIIKKILKIKIKPYILDFKLAFEHFCIHPGGRAVLDTVEKSLSLTEWHMEPSRMTLYRFANTSSTSIWYELAYSEAKGRIKKGHRVWQLGFGAGFKCNSIVWRAIRSINPANEKNPWIDEINDFPVHIPKVTPIMY >Manes.12G011400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1129955:1130230:1 gene:Manes.12G011400.v8.1 transcript:Manes.12G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIMEFAENLILRLMEDPKERDRKFREHVYAVKDRCNKTKEMWSYPLRPYGFWTFERHNAQLAWDAQISQVPGRRDPYDDLIQESYGSPR >Manes.04G162700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35655922:35658361:-1 gene:Manes.04G162700.v8.1 transcript:Manes.04G162700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSICINESLTDDELRSILSKLESDKDKEIFGLVCKRWLRLQSTERKKLAARAGPHMLQKMAARFSRLIELDLSQSVSRSFYPGVTDSDLSVIANGFKCLRVLQLQNCKGITDSGMRSIGCGLPSLQSLDVSYCRKLTDKGLLSVAEGCRDLLYLHLAGCRFVTDGVLKALSKNCPNLKELGLQGCTNITDHGLTDLVSGCQRIQYLDINKCSNVGDIGISNLSKACSYCLKTLKLLDCYKVGDESVLSLAKFCDNLETLIIGGCRDISDKSIKSLASACKNSLKNLRMDWCLSISDSSLSCILTECRNLEALDVGCCEEVTDAAFQGLGTIKSELRLKVLKVSNCPKITVSGIGMLLEKCSTLEYLDVRSCPHITKSGCDEAGLQFPERCKVNYTGSLNEPYLLA >Manes.05G096900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8904337:8908939:1 gene:Manes.05G096900.v8.1 transcript:Manes.05G096900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFGCIQVDQSTVAIKETFGKFDDVLEPGCHCLPWCLGSQLAGHLSLRVRQLDVQCETKTKDNVFVTVVASVQYRALAEKASDAFYKLSNTREQIQAYVFDVIRASVPKLDLDSAFEQKNEIAKAVEDELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIREGLLQANSTY >Manes.05G096900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8904246:8909129:1 gene:Manes.05G096900.v8.1 transcript:Manes.05G096900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFGCIQVDQSTVAIKETFGKFDDVLEPGCHCLPWCLGSQLAGHLSLRVRQLDVQCETKTKDNVFVTVVASVQYRALAEKASDAFYKLSNTREQIQAYVFDVIRASVPKLDLDSAFEQKNEIAKAVEDELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIREGLLQANSTY >Manes.05G096900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8904302:8909963:1 gene:Manes.05G096900.v8.1 transcript:Manes.05G096900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFGCIQVDQSTVAIKETFGKFDDVLEPGCHCLPWCLGSQLAGHLSLRVRQLDVQCETKTKDNVFVTVVASVQYRALAEKASDAFYKLSNTREQIQAYVFDVIRASVPKLDLDSAFEQKNEIAKAVEDELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIREGLLQANSTY >Manes.05G096900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8905630:8908939:1 gene:Manes.05G096900.v8.1 transcript:Manes.05G096900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFGCIQVDQSTVAIKETFGKFDDVLEPGCHCLPWCLGSQLAGHLSLRVRQLDVQCETKTKDNVFVTVVASVQYRALAEKASDAFYKLSNTREQIQAYVFDVIRASVPKLDLDSAFEQKNEIAKAVEDELEKAMSHYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDIASQIREGLLQANSTY >Manes.08G069000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10799695:10800297:-1 gene:Manes.08G069000.v8.1 transcript:Manes.08G069000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMQMALPILGIVAAAAVTFYAVSFSELREKSFNDLEDSEEEEGFRQSLSSRKRRAKRKADKQAKNN >Manes.12G157500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36545245:36550858:1 gene:Manes.12G157500.v8.1 transcript:Manes.12G157500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKYTRIDTRRQSTNYCSTVTIVVFVALCLVGVWMMTSSSVVPGQSVDVPAQENKHDVKEPLPESKASNPKQFEDSPGDLPEDATKGDTNVSQTRGEDNSNTQENQEVKVGEKQEEKSEEKQDEGNKSDDVSNNEKQNGENNTEDGDSKTPDGETNTDSGDTKTNDGENNGTGQGDSEENSKENKSELDETEKNPATDETEMKSDENSGGTEKKSDTDDTETKPDENSGDMEEEKTENQTNEKVDVKDNKESEKTSDEQANNQSGGEVFPSGAQSEILNETATQSGSWSTQAAESKNEKEAQLSSNHLDTYNWKTCNVTAGPDYIPCLDNWQAIRRLRSTKHYEHRERHCPQEPPTCLVPLPEGYKRPIEWPKSREKIWYNNVPHTKLAEVKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFINESVPDIAWGKRTRVILDVGCGVASFGGFLFDRDVITMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKKVAEDVEIWKAMTELTKALCWELVSINKDTLNGVGIATYRKPTSNDCYEKRSQQEPPLCETSDDPMAAWNVPLQACIHKVPADSAERGSQWPEQWPVRLEKTPYWMLSSKAGVYGKPEPEDFTADSEHWKRVVSKSYLNGMGIKWSSVRNVMDMRAIYGGFAAALKDINVWVMNVVPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKVKKRCNLVAVVVEVDRILRPEGKLIVRDNVETISELENIVRSMHWEVRMTYSKDKEGLLYVEKSIWRPKEVETITYAIA >Manes.S095218.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251202.1:2856:5360:1 gene:Manes.S095218.v8.1 transcript:Manes.S095218.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKGKEAEKISLRSQSSQTNLTKLQLFTPGHSSVQNITGQLSVPRPLYTNTTSIINRPIGHISSALITQPHYARPRSPRPHFTSFNKFSPLQTVPITPSTFKQAVTNTSSPSYTIPTSPSSSSQTDLTQYKYKPIEDQIITIEPEYWAQNPHLNEYQLCETIFPKTHYYIPDNFQKSQIYYEAILTHTNSILIQNNFDPHNHTKLRYCKVRLLKVWTLTEWGQEPHKTKEFTYTNGQLRQNAKYNYYDYQFAWERTFFKQNEQLSISFFFYISDNFTYPIPFWFHQWWNKFGIHDDIIPDQIKPAKTQFFDKQQLPETIICSPQWLIYSHYFHIPWIFMTEYHIRDQVIDNFQIPMLVRKYKTKWWTKTNLQGCCQIAVDQFFVNNPQYCKTPSPAVITKQETFLARKQQIMAHMAACTSEQEYEKLLEELKETRSTAASPSPIDLSDDNDDFFTQAEI >Manes.16G043800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:7034591:7036958:-1 gene:Manes.16G043800.v8.1 transcript:Manes.16G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKALKKTPTKSIRDRRGSHRRHRKKSPGKIEAAAASSTILSSINKTIYSCKRRLAKIFSKLARISTPNSRYKGYKILKKGFQREKLQQEHDLERDNICRALFFNERLPPLISPTKRTVFLDLDETLVHSKADPPPQVFDFIVRPNIDGEFMNFYVLKRPGVDAFLEALAAKYELVVFTAGLKEYASLVLDKLDVKGLISHRLYRDSCKEVDGKYVKDLSEMGRDLNRVVIVDDNPNCYIFQPENAVPIKPFIEDLGDGELGKLVKFFEGCDCFEDMRDAVKQYVWERDDTKIMN >Manes.18G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3740317:3744326:-1 gene:Manes.18G042700.v8.1 transcript:Manes.18G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSWLSLAILLILVFVSKLFVQKHRNNKNYPPSPPSLPIIGHLHLLKEPFHRTLDELSAKYGLVLLLRFGSRKVLVVSSPAAVEECFTTNDIIFANRPRLIAGKHLNYNYRTIGLSSYGEQWRNLRRLAFLELFSTARLAMFTNIRQEEVLLLTKQLFQDSSEKEVKMKLSSKFVDLAFNVMMRMVAGKRYYGKDVVDKEAIEFRDIMREFVEIHGTMNLNDLFPVLQWVDFQGVERRMKRLMKKMDTFLQYLVDEHKKMRNEASLVSSGGRKMTLIDVMISLQETQPELYTDLNIKAVVLTMLVAGSETSATTMEWAMSLLLNHPEALKKAVAEIDTVVGIDRLLVEADLSKLNYLQNVIHEAFRLYPVLPLLLPHESSNDCKVCGFDVPQGTMLLVNLWTIHRDPKLWSEPTAFMPERFESGEIEGYKLIPFGAGRRACPGAALARQVIGLGLGALIQAFEWGRIGDEEIDLTEGTGLSMPKAEPLEALCKPRQAVINLLSAL >Manes.03G147200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27491966:27495173:-1 gene:Manes.03G147200.v8.1 transcript:Manes.03G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSKKLIFILFVIIIILETVTILPTAEGNWCVARSDASNQALQTAIDYACSAGADCIPIQSNGLCFLPNTIQAHASYAFNSYFQRKAMAPGSCDFSGTATVAKTDPSYGSCVYPSSPSTAGGATTSTTPTNNPNMPPMTTTPAYGDGTAGLNPGSGMTPPLPTDNSKASIGLMTAKNLIPISLLLLVLSFTS >Manes.13G106700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30940496:30946108:1 gene:Manes.13G106700.v8.1 transcript:Manes.13G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAILRSGLRNTLRGSSRPSATSKRRFSSSAHQDEAYEAAEASKWEKITYLGIAACSILAIYNLSKGHPHYEEPPAYQYLHVRNKEFPWGPYGLFEKKHH >Manes.12G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2804101:2810046:-1 gene:Manes.12G032400.v8.1 transcript:Manes.12G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLVRDLFLYRQPLFHHLTSQQGLSTRLRLPPTNGYSGCRQFGVFNEFSKKIKGEASRNQEFQESVKELKEKAEELKGVKDDLKVRTKQTTEELYKRVDGVWTEAEATAKKVSANVKEKISAAKDEVKGTFKIGKEESSESTGASDKDGADVKDDSKVTSDQETDKQTGTRDNAETIFGNFKSSIPSSKVSLAFQKLKEAKVTDFVKKGYDVVKDELYGNPSKRKHLERTPPPSFKGETSTRTDIVVLPTKQSRWSKKWEAFRGKMQGHPLFKRFAGFSEPVVTKSQEIAEDMRERWETSDNPIVHKIQDVSDTIFQETDAAASLKEIRRRDPSFSLMDFVAEVQEAVRPVLNAYMKGDLETLKKYCSPEVITRCEAEHKAFQSHGIFFDNKILHISEVEVRETKMMGTSPIIIVAFQTQQVHCVRDRNGAITEGGKDTIHTVYYAWAMQQVDPEELGEGAIYPIWKLREMQQLGIQALI >Manes.17G069700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26984548:26988767:1 gene:Manes.17G069700.v8.1 transcript:Manes.17G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Manes.17G069700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26984696:26987028:1 gene:Manes.17G069700.v8.1 transcript:Manes.17G069700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKTREPKEENVTLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKGLILFLCLE >Manes.04G166000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35928884:35930563:-1 gene:Manes.04G166000.v8.1 transcript:Manes.04G166000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFESNMEFKGKSNPSTMATSFFNRSLTIHSSKSSPKDFAPSSSRFYYSFESMKGKVKQLCNIFESSREPKSVLHETQSQSLTQASKLKSVKSMGPGYHRYIFGFSNNGIRLPGTEDRIVVYFTSLRGVRRTFEDCYAVRMIFRGFRVCIDERDVSMDSAYRKELQSVLGGKTVSLPQVFIRGNRVGDAEVIKQMFETGELAKVLDGFPRRQPGFVCEACGDVRFMPCGNCSGSRKVFDEDEGVPKRCLECNENGLIRCPDCGS >Manes.03G182100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30400161:30404457:1 gene:Manes.03G182100.v8.1 transcript:Manes.03G182100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSADCRFSLAPAGQLHGAVRLLGRNSRLLQCANGEFMGNKLKLKNQLHHQRACSKIIKQHVSMSFTTSLAGENKLRDLEMEKRDPRTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKIYILTQFNSASLNRHLARAYNFGSSMNFGDGYVEVLAATQTPGEEGKRWFQGTADAVRQFHWLFEDKRSKEIEDVLILSGDHLYRMDYLDFVQNHRQSGADISISCLPMDDSRASDFGLMKIDSKGRVLFFNEKPKGNDLKAMAVDTTVLGLSKDEAVKKPYIASMGVYVFKKEILLNILRWRFPTANDFGSEIIPASAKEFYTKAYLFNDYWEDIGTIRSFFEANLALTEHPPKFSFYDAAKPMYTSRRNLPPTKIDNCKIVDSIISHGSFLTNSFIEHSVVGIRSRINSNVHLKDTVMLGADFYETDAEVAALLSEGRVPIGIGENSRIRECIIDKNARIGKNVVIANSEGVQEADRTSQGFYIRSGVTVILKNSVIEDGFVI >Manes.03G182100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30400161:30404457:1 gene:Manes.03G182100.v8.1 transcript:Manes.03G182100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCINSGINKIYILTQFNSASLNRHLARAYNFGSSMNFGDGYVEVLAATQTPGEEGKRWFQGTADAVRQFHWLFEDKRSKEIEDVLILSGDHLYRMDYLDFVQNHRQSGADISISCLPMDDSRASDFGLMKIDSKGRVLFFNEKPKGNDLKAMAVDTTVLGLSKDEAVKKPYIASMGVYVFKKEILLNILRWRFPTANDFGSEIIPASAKEFYTKAYLFNDYWEDIGTIRSFFEANLALTEHPPKFSFYDAAKPMYTSRRNLPPTKIDNCKIVDSIISHGSFLTNSFIEHSVVGIRSRINSNVHLKDTVMLGADFYETDAEVAALLSEGRVPIGIGENSRIRECIIDKNARIGKNVVIANSEGVQEADRTSQGFYIRSGVTVILKNSVIEDGFVI >Manes.16G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29589157:29591215:1 gene:Manes.16G089300.v8.1 transcript:Manes.16G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQDFDKISERRRLEREQKFRKRITIAFIALVVLLILIAAGIFVIITENKAKKAAKQNDHEHPETAKKAGNIERVIKNACNSTSYKDTCIKSLNRAVQKNPSESNPKELLRLSIQATDDEFETVLDKASSLKFVNPREKAAFDDCKELIETAKEELKDSISHASSEVDMFTKNEPELNNWLSAVMSYQQTCIDGFPEGKIKTDVENIFESAKELTSNSLAMVSALALFLQSFRTTRRLLAKEPISSFMHEDGLPSWISHEERRMLKPPAEEAEEKLKPTVVVAQDGSGKFKTISAALAAIPAKYNGRYVIYVKAGVYAETVTVTKKMVNVTIYGDGSKKSIVTGNKNFADGVQTFRTATFAALGEGFMAKAMGFRNTAGPQKHQAVAVRVQSDRAIFLNCRFEGYQDTLYVQAHRQYYRNCVILGTIDFVFGDGTAILQNCQLLLRKPMENQQNIVTAQGRIDPHETTGIVIQSCRIGPNQDLVPAKAKVKSYLGRPWKEYSRTIIMESTIEDVIQPDGWMPWEGDKGLKTLYYAEFNNKGPGSKTDARVKWPGFHLINKQEANKFTIEPFLQGDWITAMGAPVHFGLY >Manes.01G112300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31055242:31063698:-1 gene:Manes.01G112300.v8.1 transcript:Manes.01G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIFILTITFVCSPFVSATDERYQNCSAKFDCGNITNIGYPFWRSDRPDYCGHPKFWLNCTDEAALITIQNLTYQVLEIDSDAHILKIAREDYIGDICPDLLINTTLDSFFNYASDVQNITLCYGCPMLSIPFPGVIGFSNQFTCTLNNTEQRGFYVTREVDLGNFNSTIIGLLNLCENRVIVPATQSAIAPVERSPTEDNLVTALEQGFGLQWDVNNAVCETCNLSKGMCGYNTTTSSFVCYCADQPEQFSCRGSTANQPESSGGKSNKVGLGVGIGIAGAAAIGIGLGCWFVLIIQRRKRIAAQTQTKDLPTPPSSKGQAAPIINFSQATPSHPSSKSDLEKGSAYFGTQVFSYEELVEATDNFNPSKELGGGGFGTVYYGVLNDGRGVAVKRLFENNMRRAEQFMNEIEILTRLRHKNLVALYGCTSKRSRELILVYEYVPNGTVADHLHGNRSKSGLLSWPVRLSIAIETADALAYLHASDVIHRDVKTNNILLDNNFRVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSLQAVDTNRSKLDINLANMAVNKIQNHAVTELVDPCLRFEKDSAVRKMATSVAELAFRCLQQEKDMRPTMREVLEALKRIEKENCGSEKAEIVDIKEDDVGLLNHDPPFSPDSIGTTDKWVSSSTTTNTTNTSF >Manes.01G112300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31055242:31066606:-1 gene:Manes.01G112300.v8.1 transcript:Manes.01G112300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIFPFPHFLWLPIFVFLFAIRIPWCLSNPDWYTSCNTTHFVCGNIATPTIKINDVKYRVLEIEEQSQSLRIAREDYRNGVCHPLLINTTLDPQLFDYAPGYMNLTIIYGCPIPAILGFPSLFNCPISGSENGYVLADAVGPGLCYHSVFVPVSEFDWATVMLNLSGLEESLKQGFKVKWKLDNTGCSNCVQSRGVCGYDLVSKDTTCYCPGQTLAAKTCTSLPDTAPANPGGKSNKVGLGVGIGIAGAAAIGIGLGCWFVLIIQRRKRIAAQTQTKDLPTPPSSKGQAAPIINFSQATPSHPSSKSDLEKGSAYFGTQVFSYEELVEATDNFNPSKELGGGGFGTVYYGVLNDGRGVAVKRLFENNMRRAEQFMNEIEILTRLRHKNLVALYGCTSKRSRELILVYEYVPNGTVADHLHGNRSKSGLLSWPVRLSIAIETADALAYLHASDVIHRDVKTNNILLDNNFRVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSLQAVDTNRSKLDINLANMAVNKIQNHAVTELVDPCLRFEKDSAVRKMATSVAELAFRCLQQEKDMRPTMREVLEALKRIEKENCGSEKAEIVDIKEDDVGLLNHDPPFSPDSIGTTDKWVSSSTTTNTTNTSF >Manes.01G112300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31055241:31059699:-1 gene:Manes.01G112300.v8.1 transcript:Manes.01G112300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTPLIFTLTIFTFCFYLTTSFPSNYTLPSCNLTFSCGHITDVYYPFSGGPRPASCGLPGFQLTCADDSTTLLTINSLTYRVIQLDQISQTMILSRIDLYNSTCTQQSANTTFNHTLFTQGSNNEVLTLFYGCNDSIMPFKPANSFTCEIDGKKGAYYLFGPVPSDPVLNIFQCSVTTTVPILDRLVHVLEGNRSLLGEVLREGFNVSYSNPYSGDCAKCYRKGGSCGFDASTESFLCICGDRPCPGGKSNKVGLGVGIGIAGAAAIGIGLGCWFVLIIQRRKRIAAQTQTKDLPTPPSSKGQAAPIINFSQATPSHPSSKSDLEKGSAYFGTQVFSYEELVEATDNFNPSKELGGGGFGTVYYGVLNDGRGVAVKRLFENNMRRAEQFMNEIEILTRLRHKNLVALYGCTSKRSRELILVYEYVPNGTVADHLHGNRSKSGLLSWPVRLSIAIETADALAYLHASDVIHRDVKTNNILLDNNFRVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSLQAVDTNRSKLDINLANMAVNKIQNHAVTELVDPCLRFEKDSAVRKMATSVAELAFRCLQQEKDMRPTMREVLEALKRIEKENCGSEKAEIVDIKEDDVGLLNHDPPFSPDSIGTTDKWVSSSTTTNTTNTSF >Manes.02G214000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:28027696:28028843:1 gene:Manes.02G214000.v8.1 transcript:Manes.02G214000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMADLHHRIKTFLEAEQPAAGDTFSERAECFFRRRPQLLELLHDLYNAYITLLDRSNQNMQGNNHRLRYSSSLTSSASSFHEKAEEADLNDGKILHHHDETQGGVESSEPKFEVDEIVANLVMKSVEEDILEQECQESWRKVELLKKLLEVLESERMYLLNENAMLGCKMAALVEENKGLSSEAMFLKRKAAQLARCVLKMREDHRVWMLNRKIEDLQSQIYGLEKRNKEYYQQLLNQENLEDEKAGLMGCFKLERLRFKKRSEPAVGVKSHGGGGGGRSGSNRVTNWWWLWERVVKGIASPTSSSSAT >Manes.09G128200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33086640:33087050:1 gene:Manes.09G128200.v8.1 transcript:Manes.09G128200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQRGEQVEICSNEEGFRGSYYEATVIKRLTTSSYAVQYKNLLEDDESGPLIEVVSSDEIRPLPPNPSMQSPVSRFVMFDKVDAFHNDGWWVGKIVGKAYSFYYVSFDTTGDAIPYHVSKLRVHQDWVKGKWISSK >Manes.07G062396.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10097342:10105604:-1 gene:Manes.07G062396.v8.1 transcript:Manes.07G062396.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRSLDCSGGDKRSLGSELMMVKSLQPSVMVDERRLSLDLGNAKQNPDANSVIEYSLTGDFTVSDSDSVSSGSTSGLHELGTGSRGIFISARFWQETNSRLRRLQDPGSLLPTSPNSRMSISSKTSQSKRFGVDGTVTSPRTIASSTIRGATRPASPTKLWTPTASSPSRGISSPSRVRPMSSYPGSVPSILSFSVDLRRGKMGEDRIVDARMLRLLYNRYLQWRFVNARADASFVLHRLNAEKILWNVWVTISELQHSVILKRIKLLLLGQKLKLTYILKGQHLR >Manes.09G008550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1948757:1950195:-1 gene:Manes.09G008550.v8.1 transcript:Manes.09G008550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSVSSYERPWRNKETCITRAKSEKIPHEFSTITGIQESIHEILMNLKEIVLRSNLYGTCDTFICVKGPVYITAQDIILPLFVKIIDNT >Manes.17G016075.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8135029:8137020:1 gene:Manes.17G016075.v8.1 transcript:Manes.17G016075.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVNSSFGSCHYIHHCLLRFVLSRVNLSLTGNFFHPFSFNCSFTGFDWVQGIKLDSFIDVDYFVDMFWREARILLYLLF >Manes.09G072819.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12345344:12345933:-1 gene:Manes.09G072819.v8.1 transcript:Manes.09G072819.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFRERFWPPKALFERTKVRPPNLKFGRRTCMSLGGTLGCRRLLTRPPIKSPQIGNGRVFSPFSSSVLKLK >Manes.11G128250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29094734:29129026:-1 gene:Manes.11G128250.v8.1 transcript:Manes.11G128250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNYNNENQWNENKAMKKNLKYFLKFLDWGDLIDDAIDLNLEQTQRYLWKEKSKLCWIVKSARHTNHPCSLRVEEVPPCSVPSHKNQKERIQWRCYSVYRIETQISSFFLI >Manes.13G056375.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6528968:6530590:1 gene:Manes.13G056375.v8.1 transcript:Manes.13G056375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGRPVLEILVSICLWLLLSCTLSYGTETDIACLKSIKDSLEDPFKYLKSSWDFNNNTEGYICGFTGVECWHPDESRVLNLRLSDMGLKGRFPTGLQNCTSITGVDLSNNNLFGPIPDNISKIIGFVTSLQLSSNNFSGSIPENLANCSYLNILKLDHNRLTGQIPPQLGLLGRLKTFSVANNLLTGPVPTFLNANVTADDYANNVGLCGKPLDNCPGTSNYRRGRRETFEFEGASV >Manes.13G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34437835:34443451:-1 gene:Manes.13G136200.v8.1 transcript:Manes.13G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSIFILLTISLLPFLCSSFSPESPTDRRILVLLDDFSLKSSHSLFFNSLKSRGFDLDFKLADDPKLILHRYGQYLYDGLVLFSPSVERFGGALDLAAVLDFVDSGHDLIVAADASASDLIKSIATECGVDFDEDPSAMVIDHKNYAVSEIEGDHTLIAADDFIQSDVILGKTKIEAPVLFKGIAHSINAANGLALKVLSASSSAYSANPSSKLSSPPSLTGSAISLVSVMQARNNARIMITGSLDIFSNRFFRTGVQNAESKIKYAKSGNEQFVTELSKWIFHERGHLKAFNIIHHKVGEADEPPMYRIKDDLDFSVEIYEWSGKSWEPYVANDVQVQFYMMSPYVLKTLSTDQKGLYHTSFKVPDVYGVFQFKVEYQKLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFATMAGFFIFSFVYLYNK >Manes.06G048300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15142848:15149307:-1 gene:Manes.06G048300.v8.1 transcript:Manes.06G048300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKAALSSYRFPYANVITLLQTLCSCLFLYAMRRWKIISFRTGESNRSNNIPASFVPFRTLLHTLPLALSYLLYMLVTMESVRGINIPMYTTLRRTTVAFTMIVEYLLTGKKHSLLVVGSVGIIIFGAFIAGARDLSFDAYSYAIVFIANICTAIYLASISRIGKSSGLNSFGLMWCNGVICAPILLVSTSIRGDLKAMMNFPYLLFPGFQVVMLLSCIMAFLINYYVFLNTTLNSALTQTICGNMKDLFTIGLGWLLFGGLPFDLLNIIGQSLGFFGSCLYAYCKLKGK >Manes.06G048300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15142848:15150618:-1 gene:Manes.06G048300.v8.1 transcript:Manes.06G048300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKNNALPVTDPSDKPEKLQNDSAMTRKGAYAAISYMASAVLLVMFNKAALSSYRFPYANVITLLQTLCSCLFLYAMRRWKIISFRTGESNRSNNIPASFVPFRTLLHTLPLALSYLLYMLVTMESVRGINIPMYTTLRRTTVAFTMIVEYLLTGKKHSLLVVGSVGIIIFGAFIAGARDLSFDAYSYAIVFIANICTAIYLASISRIGKSSGLNSFGLMWCNGVICAPILLVSTSIRGDLKAMMNFPYLLFPGFQVVMLLSCIMAFLINYYVFLNTTLNSALTQTICGNMKDLFTIGLGWLLFGGLPFDLLNIIGQSLGFFGSCLYAYCKLKGK >Manes.06G048300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15142848:15150618:-1 gene:Manes.06G048300.v8.1 transcript:Manes.06G048300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKNNALPVTDPSDKPEKLQNDSAMTRKGAYAAISYMASAVLLVMFNKAALSSYRFPYANVITLLQTLCSCLFLYAMRRWKIISFRTGESNRSNNIPASFVPFRTLLHTLPLALSYLLYMLVTMESVRGINIPMYTTLRRTTVAFTMIVEYLLTGKKHSLLVVGSVGIIIFGAFIAGARDLSFDAYSYAIVFIANICTAIYLASISRIGVICAPILLVSTSIRGDLKAMMNFPYLLFPGFQVVMLLSCIMAFLINYYVFLNTTLNSALTQTICGNMKDLFTIGLGWLLFGGLPFDLLNIIGQSLGFFGSCLYAYCKLKGK >Manes.09G117050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31960768:31961943:-1 gene:Manes.09G117050.v8.1 transcript:Manes.09G117050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFPTHALPLFLSFSKSFEDPVICNVISELEEKGW >Manes.15G185366.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26380403:26380764:-1 gene:Manes.15G185366.v8.1 transcript:Manes.15G185366.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYIPGNALPQTGSSPPRIICLSRLTLATWMPMATTLVNFPLLPSMVSSQLRLLFLLLFSSLQELILVLRFFDCSFDLWEEQKYSIK >Manes.10G129316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29392215:29393269:-1 gene:Manes.10G129316.v8.1 transcript:Manes.10G129316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSLIIILDNCMLEEKTAKRKNLSASILLFASISVKKTGTVAAPIIHCDLKPSNVLLDDDMVAHVGDFGLAKFLHQPPHSSSLVVRGTVGYTAPEYGLANEVSANE >Manes.01G170700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35291408:35291701:-1 gene:Manes.01G170700.v8.1 transcript:Manes.01G170700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKWKPSKKEGSSSSASSFIRSFSTKSSSSKSPLLRSSSLKCSSPSSKCPLPRSYSQKNSSITRKCSSLAKEQKARFYIMRRCVAMLVCWHKHGDS >Manes.04G017100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157315:2162078:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPTVMAVNESSRLHASLRKESVPVRRLIVNQVLPPSTSDCKFCAMKRKDQMRALDIIQNDPALSSLRSIQAPLFDVEIRGVPALKFMGDVVWR >Manes.04G017100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157315:2162065:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPTVMAVNESSRLHASLRKESVPVRRLIVNQVLPPSTSDCKFCAMKRKDQMRALDIIQNDPALSSLRSIQAPLFDVEIRGK >Manes.04G017100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2159030:2162079:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKACEVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPTVCPQIIFSFMDGFLR >Manes.04G017100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157315:2162078:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPT >Manes.04G017100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157623:2162065:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDILEDKLGELREKMARVRHLFQDSDTTEFIIVTIPT >Manes.04G017100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157623:2162065:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPT >Manes.04G017100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157315:2162079:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKACEVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPTVMAVNESSRLHASLRKESVPVRRLIVNQVLPPSTSDCKFCAMKRKGNDPCLYRSIRG >Manes.04G017100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157315:2162079:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPTVMAVNESSRLHASLRKESVPVRRLIVNQVLPPSTSDCKFCAMKRKGNDPCLYRSIRG >Manes.04G017100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157623:2162079:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKACEVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPTVMAVNESSRLHASLRKESVPVRRLIVNQVLPPSTSDCKFCAMKRKDQMRALDIIQNDPALSSLRSIQAPLFDVEIRGVPALKFMGDVVWR >Manes.04G017100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157315:2162065:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPT >Manes.04G017100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2157623:2162065:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPTVMAVNESSRLHASLRKESVPVRRLIVNQVLPPSTSDCKFCAMKRKDQMRALDIIQNDPALSSLRSIQAPLFDVEIRGVPALKFMGDVVWR >Manes.04G017100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2158664:2162078:-1 gene:Manes.04G017100.v8.1 transcript:Manes.04G017100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALFNRASKTQTFNLLCRSNRILTSISSPIQTKPLKAPFQVRSAVTRADDVVAFDEMVAGIQRKYYMLGGKGGVGKTSCAASLAVKFANHGHPTIVVSTDPAHSLSDSFAQDLTGGALVPVEGVDSPLFALEISPEKAREEFRSKNQKSGDSGVKNLMDGMGLGTLSNQLEELKLGELLDTPPPGLDEAIAISKVMEFVETQEYTTFTRIVFDTAPTGHTLRLLSLPDFLDSSIGKMMKLKRKLASASSALKSLFGKKEAGQDISEKLEDKLGELREKMARVRHLFQDSDTTEFIIVTIPTVCPQIIFSFMDGFLR >Manes.06G143200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27078231:27080675:-1 gene:Manes.06G143200.v8.1 transcript:Manes.06G143200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYGNAPSRDHQPPPYSNIQVPADPVVLQQDQSPRSTSSNPSPRPIRSLGRSSSYPANGRHPSYKGIRSRSGKWVSEIREPRKTTRIWLGTYSTPEMAAAAYDVAALALKGPHTPLNFPESILSYPIPTSTSARDIQAAATSAAAARQPKIETGSNQDAGRLAAEGAMMVSPPRINTQSSDDSPGDSDAEGLWSYQ >Manes.06G143200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27078231:27080642:-1 gene:Manes.06G143200.v8.1 transcript:Manes.06G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYGNAPSRDHQPPPYSNIQVPADPVVLQQDQSPRSTSSNPSPRPIRSLGRSSSYPANGRHPSYKGIRSRSGKWVSEIREPRKTTRIWLGTYSTPEMAAAAYDVAALALKGPHTPLNFPESILSYPIPTSTSARDIQAAATSAAAARQPKIETGSNQDAGRLAAEGMSSISSYVESSQEFIDEDMFLNLPNLLVDMAGAMMVSPPRINTQSSDDSPGDSDAEGLWSYQ >Manes.11G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4986508:4991691:1 gene:Manes.11G046700.v8.1 transcript:Manes.11G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSQSKIENEEAVSRCKDRKRFMKDAVAARNAFAAAHSAYAITLKNTGAALSDYTQGEVAHRSSSAPAHTTAAATSSTVETIFPPPPPPFENSSLHRSASMPQMKITKPETKSVGPTIMEDEEMEYDTPDNEKLIRKRSSSNRGSGSNRAVEENHQPQNQMEQGPPQPQPPPPPQQQQQWKEEVMRGPTIHQGSSWGDYIFAPVESMPGPTLAEPLEAEKELGRREFEEKANKVSREEEEEEDPVLVMEEKGEKAVEVPVPVPVPVPVPAVEKKVAKKGAGEMGRRVGKPVNLVVLFAELDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSKRVMRVITWNRSFKGMPSVDDGMDDFDTEEHETHATVLDKMLAWEKKLYEEVKAGEIMKFEYQRKVALLNKQKKRGGSYESMEKLKAAVSHLHTRYIVDMQSLDSTVAEINRLRDEQLYPKLVELVDGMATMWETMRFHHQTQAKLVYDLRSLDISQSPKETSEYHYDRTCQLCAIVRDWHTNFCKLVDNQKDYIKALNNWLKLNLIPIESNLKEKVSSPPRVHNPPIHALLIAWQDYLEKLPDEVARSAIGNFAAVLQTIMNQQEEEMKAREKCEVTRKELARKTKQFEEWKQKHMIGFTNTESDPDRAEDNPHKEAMVERQFVLDSLSKQLEEDEEACQKLSVQVREKSFTSLKTRLPELFRAMTDIALACSDMYSNLRSIAQHRNQSQNS >Manes.07G030200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3264061:3277494:1 gene:Manes.07G030200.v8.1 transcript:Manes.07G030200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKRKSVEDPSGEPVSVQKQRRENGSVTANEPVACVHDVSYPEGYVPPPRPDFSVLKNLKPAKEFPFSLDPFQSEAIKCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFADVGLMTGDVTIEPNSSCLVMTTEIWRSMQYRGSEITREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPAGANGLYLVVDEKGKFREDSFQKALNALVPKSEGEKKRENGKWQKGLVVGKLGEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKVNIETIFWSAMDILSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDDRGICILMVDEKLEPPTAKMMLKGSADSLNSAFHLSYNMLLNQMRCEDGDPENLLRNSFYQFQADRAIPDLEKQVKVLEEERDSMIIEEEESLKNYYDLIQQYKSLKKDARDIVFSPKHCLPFLQAGRIVCLQCTGTDENSPSFSIEDQVTWGVIINFERVKEFSEDGASRKPEDSKYTIDVLARCIVNRDGVAKKNIKIVPLKDPGEPLVVSVSISEIISLSSARLYLPKDLLPLEVRENTLKKVLEVLSRNPTGLPLDPEGDMKIQSNSYKKAVRRIEALEHLFEKHEIAKSPLIEQKLKVLHKKQELTAKVKSIKKTMRSSTSLAFKDELKARKRVLRRLGYVTSDDVVELKGKVACEISSADELTLTELMFNGVLKDIKVEEMVSLLSCFVWQEKLQDAAKPREELDLLFTQLQDTARRVAKLQLECKVQIDVENFVSSFRPDIMEAVYAWAKGSKFYEIMEFTQVFEGSLIRAIRRLEEVLQQLIQAAKSIGETELEAKFEEAVSKIKRDIVFAASLYL >Manes.07G030200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3264061:3277494:1 gene:Manes.07G030200.v8.1 transcript:Manes.07G030200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKRKSVEDPSGEPVSVQKQRRENGSVTANEPVACVHDVSYPEGYVPPPRPDFSVLKNLKPAKEFPFSLDPFQSEAIKCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFADVGLMTGDVTIEPNSSCLVMTTEIWRSMQYRGSEITREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPAGANGLYLVVDEKGKFREDSFQKALNALVPKSEGEKKRENGKWQKGLVVGKLGEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKVNIETIFWSAMDILSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDDRGICILMVDEKLEPPTAKMMLKGSADSLNSAFHLSYNMLLNQMRCEDGDPENLLRNSFYQFQADRAIPDLEKQVKVLEEERDSMIIEEEESLKNYYDLIQQYKSLKKDARDIVFSPKHCLPFLQAGRIVCLQCTGTDENSPSFSIEDQVTWGVIINFERVKEFSEDGASRKPEDSKYTIDVLARCIVNRDGVAKKNIKIVPLKDPGEPLVVSVSISEIISLSSARLYLPKDLLPLEVRENTLKKVLEVLSRNPTGLPLDPEGDMKIQSNSYKKAVRRIEALEHLFEKHEIAKSPLIEQKLKVLHKKQELTAKVKSIKKTMRSSTSLAFKDELKARKRVLRRLGYVTSDDVVELKGKVACEISSADELTLTELMFNGVLKDIKVEEMVSLLSCFVWQEKLQDAAKPREELDLLFTQLQDTARRVAKLQLECKVQIDVENFVSSFRPDIMEAVYAWAKGSKFYEIMEFTQVFEGSLIRAIRRLEEVLQQLIQAAKSIGETELEAKFEEAVSKIKRDIVFAASLYL >Manes.S033316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:846434:847774:1 gene:Manes.S033316.v8.1 transcript:Manes.S033316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGPNSTEFVVGVEVLTAELDGNISTTKSQSPVHHLETRTSARAQHTNAMPTPCTSVHLTRPSIGINHRIISLNCHQWPWNGPHRARDGPHRVHHAREFFSMLNREVEVERGLTSLFACYTDAHIWPSACPGPGLAGPPRGVTTHTPLKSLRNKLGCGRRKHHNV >Manes.05G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4352418:4358043:-1 gene:Manes.05G052400.v8.1 transcript:Manes.05G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDEILSLPVQNPPTLEFSAAELVWSKIEGWRDNIDRLALIPFARVDDFVRGESANKDCPTRFHVEARRRRPPETSYKQKVDGILEYILYWCSFGPDDHRKGGIVRPSRTTYVPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALVIYNQDKHVDKKGLPCHGPQDKKAEGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPCNRDDLLTHRYVRRQERSIRRSTYELDTDDAVSISMWVESHQSHVFFYEDFSDSDPFTLGIQTEWQLQQMIRFGNRSLLASDSRFGTNKLKYPVHSLVVFNSDRKAIPVAWIITPRFATADAYKWMRALYNRVRTKDPTWKLAGFIVDDPSTDILAIRDVFQCSVLISFWRVRHAWHKNLVKRCSETEMRVQMLRRLGEAVDGICRGHGTNDLFEVFMEDFVDGSDFVDYFKAVWYPRIGMWTTALKTLPLASQETCTAMELYHNQLKVRLLNEKDPIVYQRADWLVDKLGTKVHSYFWLDEYSEKDDFARYWKDEWDSGLTAWRKSFKIPDLDVIVEGRCAKVTDQLDRDRVHVVWNPGSDFAICDCSWSEMGNICEHVSKVIRMHYNKGYRKSSISLFQFNKALTDMLYCPPHDSLIRDHAVSLAVAVQKQLDALVDFDSSHVIVDPSQNKALDNLEQPGGMNYANHDRELANECHLMEEDVSSHNKDDRGERNEGPGGVTSAFGESIREETTCAEMDIDPSSNCIPSSRLCPVDEVITSDVRENRDKTANDMGSSSKSPPRDEVLTDQAEVEHDSTVHMELQSIDIPPPLAEFTGQSVANQNDICNNDSELSVITNTSDADVVYNKASPPSSKVVEPQMIGMIATPGTTKNEATEPESENGSKTENHQSIDNTSSLNGVHDKVMLNPDCGHDPSAVDSMMESETLLNSSSTFNESDKHPPIEATVQETGSHEETSSNNNLVLTSCRLQKSAANGKGDANQSDIVDGSVAESIYSGGTVNVGSVASKGSDRCHEDTGVEASDV >Manes.17G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24319904:24321894:1 gene:Manes.17G046500.v8.1 transcript:Manes.17G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDFAKAVVLVQLSLLCFTVFGDKEIEALAASPIPPHHHASSPEVSPSRPPTHPHHHHHAHPPSLAPSHHHHHLHLGHPPSHAPAYSPLKSPAYAPVHPPIPHSHPPVQPPVASPPKNQLTPSHTPKYPQPPAVQPPKHSHPPVAQPPKHPQPPVKPPAPSPSYHFPRSLIAVQGMVYCKSCKYPGVDTLVGASPLPGATVKLQCNNTKYSLEAKATTDKNGYFFLQAPKKITNYGAHKCKVSLFSAPNTACSKPTNMHGGVSGGNLRAEKKYVSNQLPFVLYTVGPFAFEPKCPR >Manes.11G041600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4582897:4592376:1 gene:Manes.11G041600.v8.1 transcript:Manes.11G041600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNFIDSNLQSFDYEQMYRMVFCINSCLNQPPSSRPTMEKIRLVLEGKSLSKELYDNKLRRSIMHRDLKGFISSPELNRSIIGGPRQYSYEQLAKATNHFSRNDLIGEGGFGQVYRGLLDGESLAIKILKNHPDLRSQENLENEIMVVSIICHRNLVELLGYCIEGANRFLVFKYFPNKSLSSQLYKSNRDLDWETRINIAKGSAKGLEYLHEYCEPPIVHLNVKSNNIFLDSDFKPKVADFGLARFFSEAATHISESAIMETRAYIDPYAIKTGEYSVKSDVYSFGVMLLELITGRRPIEEDGFDVVEWVKSEIKNALRDRKFEKFVDSTLQMFDDEEMYRMLFCIDVCINFQSFAHQ >Manes.12G004200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:565458:573108:-1 gene:Manes.12G004200.v8.1 transcript:Manes.12G004200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVNRERRYILQVLFNGLRRLEYRGYDSSGISIDNSTSDPIIFSSSPSSSSQPPLNSLPLSSPLLVFRQEGNIESLVKSVYQEVAAIDLNLEESFPIHAGIAHTRWATHGEPAPRNSHPQTSGAGNEFLVVHNGVITNYEVLKETLVRHGFTFESETDTEVIPKLAKFVFDKANEEGQPVTFSQVLLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLLLGVKEINEEVNGGSAFHDVKFLSKDGHPKELFLSSDANAIVEHTKRVLVIEDGEVVHLKDGSVSILKFDNDKGRHGGAPSRPSSVQRALSVLEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIRGGSCKAKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLVDRQGPIYREDTAVFVSQSGETADTLHALEYALENGALCVGITNTVGSAIARHTHCGIHINAGAEIGVASTKAYTSQIVVMAMLALAIGGDAISSQARRETIIDGLFDLPNKIREVLKLDHEMKDLAKLLMAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDGCFSKQQSVIQQLHARKGRLIVMCSKGDAASVSPGDSCRVIEVPQVEDCLQPVVNIVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >Manes.01G268200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42217056:42220144:-1 gene:Manes.01G268200.v8.1 transcript:Manes.01G268200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMIDGTSPTPKAPPISEMFQKFALAFKTKTFEFFAEETAADDPSDVDGFSLLDSAEDFIPNQKVIILKPDQPIYQNSASSPTPSTSREPPQLSHQSTIDKPTEQSQIKPLNAQFVQTLICSVFATVSSFEASYLQLQTAHVPFNEEGIKAADEALVSHLQSLSDFKHFYGDMCRNPDSGAALPIGSCLEAQVQENQSKLRVLGIVSDHLQAEIDRKDNDVSALRKKLSDLQKSNFKLAKRLSGNSNLPSSCDVLLSVRVFDSVLNDAYRAMHKFTKILIDLMRKAGWDLDLAANSVHADIEYAKTGHNRYAFLSYVCLGMFQGFHLKRFGLDEGDAQILCNGHISDSVKTRSSLKELLEHVSSSPVELLHRNPNCEFSKFCEKKYQELVHPTMESSIFSNLDHNEVILSSWRSLRIFYESFVDMASAVWTLHKLAFSFDPVVEIFQVEKGVDFSMVYMDDVTRRCPFPAKTRMKVGFTVVPGFKIGRRVIQSQVYLCCCTE >Manes.18G116200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11957953:11960537:-1 gene:Manes.18G116200.v8.1 transcript:Manes.18G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLEDFLWGFSIVSLFLYFLQSLRRAISMASLCLSSPSSSSSLASTSPSWVTQFVLGRREEDGTVSGDVNLLRDHETQIEEKSMQENLGLDDKFLQEINPAGFLLVKESDSLVMEKAAAENNNKNKSSTKLKKRPARLVLPEYYPKLEFGEKDRKLENMEFEVKGRDFCLASKKGRRQCMEDGYGVMTDILGDTKQAFFAVIDGHGGRAAADYVAENLGKNILRFLENAGKGEDDHQLEEAIRGGYLITDREFLSQQGVCSGACVASVLLKDGELHVANVGDCKVVLSRKGVADTLTVDHRLSREDERLRIENSGGYVNCRSGIWRVQGSLAISRAIGDMHLKDWVISDPEIKRVPLTSDCEFLIMASDGLWDKVNEQEAVDVVLRDRNSVESCKKLVDMSFSRGNMDDITVMVINLHNFMPK >Manes.06G110900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24284714:24289939:1 gene:Manes.06G110900.v8.1 transcript:Manes.06G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDYWPSRVNAAKHLSALQAATYNSDNHLAIDDSDGDEDARAYFPCPFCYVDIEVHLLCSHLQDEHCFDLKNAVCPLCAANLGKDVIGNFIVQHASSLKRRRKYLKSGLWAGSSAMIGKELSSFLGSSTNGRANTNEPAPDPLVSPFLDSSSHSHSKGSQQDESSNRIAQSKSTDISSPDGDEEGSEERRQRAAFVQHLIASTIF >Manes.06G110900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24284714:24289939:1 gene:Manes.06G110900.v8.1 transcript:Manes.06G110900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDYWPSRVNAAKHLSALQAATYNSDGDEDARAYFPCPFCYVDIEVHLLCSHLQDEHCFDLKNAVCPLCAANLGKDVIGNFIVQHASSLKRRRKYLKSGLWAGSSAMIGKELSSFLGSSTNGRANTNEPAPDPLVSPFLDSSSHSHSKGSQQDESSNRIAQSKSTDISSPDGDEEGSEERRQRAAFVQHLIASTIF >Manes.02G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4075939:4081384:-1 gene:Manes.02G051000.v8.1 transcript:Manes.02G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPNFHFSLPRWIGLLCRRNRQIESNDSSGDSGSNNINVDDTAVSNSVECYACTQVGVPAFHSTSCDHAHQPEWVASAGSSLVPIQNRPGPKKSPSSKAQPRVHRGPLKTILDPRSKRVQKWNRAFLLARGMALAIDPLFFYALSIGRGGAPCLYMDGGLAAIVTVLRTCVDAVHLCHLWLQFRLAYVSRESLVVGCGKLVWDARAIASHYVRSLKGFWFDAFVILPVPQAVFWLVLPKLIREEHIKLIMTILLLIFLFQFLPKVYHCIYLMRKMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRAASCLRQQCQRRPNCDLSLSCSEEICYQFLASANTIGNPCGGNFTTAVRKPMCLDIKGPFKYGIYKTALPVISSNSLAVKIFYPIFWGLMTLSTFGNDLEPTNNWLEVIFSICIVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSRLRQRVRNFERQRWAAMGGDDEMELIKDLPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVRPLVYSKDEKIIREGDPVQRMVFIIRGRIKRSQSLSKGMVATSVLEPGGFLGDELLSWCLRRPFIDRLPASSATFVSIESTEAFGLDANHLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQFAWRRYRKRTRGPVTPVVENGGSDRRLLQYAAMFMSIRPHDHLE >Manes.06G125300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25518376:25521462:-1 gene:Manes.06G125300.v8.1 transcript:Manes.06G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT3 MAVADNSRQYLIPNFLYSPSVSSKRLFDLDVAVTSNHGLYHSTFISEPSASNSNGGVPRSFVIPAPREKIEMFSPAYYAACTAGGILSCGLTHTAVTPLDLVKCNMQIDPSKYKSITSGFGVLLKEQGVKGFFKGWAPTLLGYSAQGACKMGLYEFFKKYYSDIAGPEYASKYKTLIYLAGSASAEVIADVALCPMEAIKVRVQTQPGFARGLSDGLPKFIRSEGYVGLYKGLVPLWGRQIPYTMMKFASFETIVELLYKHAIPTPKEQCSKSFQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATAGDAIKKLGLWGLFTRGLPLRIAMIGTLTGAQWGIYDAFKVFVGLPTTGGVTPPPAAAKA >Manes.13G076700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12867255:12880007:-1 gene:Manes.13G076700.v8.1 transcript:Manes.13G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSLLGFGIAKRLLSAKPRPSVAAALYHFGGVKGDITNDNGNEMTISKSSYHVSSGGFMRGVVFREPNQPLTIEEFHMPRPKASELLIKTKACGVCHSDLHVIKGELPFASPCAIGHEITGEVVEHGPLTDRKIIERFPIGSHVIGAFIMPCGNCLYCSKGHDDLCEDFFAYNRAKGTLYDGETRLFLRNNGKPVFMYSMGGLAEYCVVPAYGLTNLPNSLPYTESAILGCAVFTAYGAMAHAAEVHPGDSIAIIGIGGVGSSCLQIARAFGASDIIAVDVQDDKLEKAKIFGATHTINSSKEDPIERIREITGGRGVDIAVEALGKPLTFSQCTQSVKDGGKAVMIGLAKAGSVGEIDINRLVRRKIQVIGSYGGRARQDLPKLVKLAETGIFNLTDAVSRKYKFEEADKAFQDLNQGKIISRAVVEIM >Manes.02G161300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12662969:12666045:1 gene:Manes.02G161300.v8.1 transcript:Manes.02G161300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKSQAKLTRNHSSLLRSIPTVRSSIHSLTSVAEEDLIKPEQKQLQQQQQKQELEGKLREEKRKPYRSCSTPRRISSARFTPVLTMVSFSFFTLISLSFFFYFYLRREEIPTSENLLLALIFIAITLFFASKNKNLINQNLTIFKQLWEENTRRLCLCTSRAKSRPVQWFIGESNADSKSKIRKEKRIIREGVEFYSNGDFYEGEFHKGKCNGSGVYNYFVNGRYEGDWIDGRYDGYGIESWARGSRYRGQCRQGLRHGYGVYKFYTGDSYAGEWCNGQSHGVGVQTCADGSCYLGEFKCGVKHGLGVYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGSWHEGRKQGYGMYTFRNGEAKCGDWDGGVLKNPLPLLTDSVLRAVQAARKTAESAIHLPRVDEEVNKAVQAANRAATAARVAAVKAVQNRMDGKFCDTNV >Manes.S095625.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251225.1:518436:519684:-1 gene:Manes.S095625.v8.1 transcript:Manes.S095625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVPDFGIIASIPKTAKSITHVTSGARFQTNNFHPTNCQVHHPCYIRCSISD >Manes.08G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35591256:35594813:-1 gene:Manes.08G116500.v8.1 transcript:Manes.08G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDFGLLILLLLFNLHISFSSGKLDGQCISKGGRFPPFSTEGKPPKKVSKGSKDLTLCRVFRQKTCCDVAQTHPALLSIRRLASTGEASQECLQLWELLECSICDPKIGVQPGLPLICTSFCDRVYQACADAYFSMDAKTQVVAPCGVNDFVCGKASQWVSNGTELCLSAGFTVKSYEAAEGGTEEASCYGGRASLDSIAESWRPSHSELPQEAGNSWNLKYFQQRIQEISFSEKVSWAVGGMVLTAGLLFLSKRKSYSQRQRLAAIQRTARKLEGKMNNKYSDIQGNRKGNRR >Manes.18G022400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2198185:2206064:-1 gene:Manes.18G022400.v8.1 transcript:Manes.18G022400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHHHQASSATAFSNKVANGGVLAGKHAYDGIFGCVPKPGSRMEDYREIFGGAGACSIPILDFPELKDRKASMDARSSRPDYSKIFGGFAETDFAVPYEELFSKPKKVKNSSQEARATDKAKFCSAGPEHFNSSEQKHAPLPEVSSQTLDGGKQINLSYNKSNPESKSGTHGMTNVGQLHATPGSTCLIDEITPSQMTEGDKVVYSVSNDANLNVHVSEGIKQSKPFRKIVSGPINLSCNKANPETKSGGITNIGQLQDIPGYTCSIDEITPLQMTEGDKRVHTVSNDAPLNVNVSEGVKQSKQLRKVVSVPINLSYNKNNVESKSCTNGMTNTGQLHAVPGYTSTDEITPLQMTEGGKPVHTVANDGHLNVNVSEGIKQSKPLRKVVSGPQPRESAKNSSRDHANFQKKSSRNKSFSNDISFDAFENGFGMQQSTLSPLSSMPNLGNNRDGTMRSMNSKFRFFSDAASEGAAGSYSPPSLDDEIDTNSAAAASAAAVRKAIEEAQAKIKIAKELMERKKEGLPNRSRSKFSNRLKDERREVDAAEKANRSKEKPKEMLWKPCTPQQDFSSLPEHIATQASHVTPEFRNAKKSSLMENAMGDTNTTGYKLAEVDNRMESESIKAKDEFSEPVDTSAHRAMTMEVEQEKNAERMKLSASENKCKEKMTREENIKKQLECDNEKLKSFEKLEKVKRELNSVEVGFEWDVYRNNIKPAEELHLQIENEKKNRVAYEREEAGLTSKVPSEQEECESTEKWLHESEENKELEIQDLEENKDKEELKESQNLVKIEKKQREAHNQEEMENRFNAVPVGRESKSRLEETCSYKGNENGQKEDLEGLGSEMKQQEGPYQQENEKKLGDANSDDSEKFFQVHEQEVIKVRYNPDMEGSEGMLGEDCVTKGNKKLEETKQNEKTHGEDCGTKGNEQLEETKQNEKTHAGDCGTKGNEKLEETKQNEKMLGEDCGTKGNDNWEETKQNEKMLKEDNQMDETEEVMTCEVLETERKQQQIHLRAEDESNLEATQQALRYQENNLETNNDVYKVDENGNAGKADDAGGYGDKERLEHVKLTAEIFAFEENGKMMDASEDSFPEETGKGSEATEEANDLVEEENFETGVPERDLPGFDGIKKQTTDVCLGETVVTLDHKLNEFHVAEYEKIHEGEKLTEEETSHLDENEKDDSESEFGPSYEENESNSASSQEERWLVNGIESKTLSDSVMHVEEAACELGENNKDMEESEVPSNHEEVETYFESSSEERWINNRIDKQAIQQPHILEGEGINMGISQEDRTNKSTDKKEVKYLENLMVKKKVEGLQKEVESEKKHHGRKEEEKAREMEKERIAVERAIREARERAFAEARERAAAERAAAGAHQRVMADARERLEKAGAEANGKSAAEKASMEAKLKAERAAVERATAEARERALEKALSEKASFKARNQAETFSGASRDGIKSSDQQYKDPCPSNSSKYPSTSNHDGRFNGGNGELAERCKATLERNQRTAERAAKALAEKNMRDLLAQKEQAERNRLAETLDADVKRWSSGKERNLRALLSTLQYILGPDSGWQPIPLTDLISTAAVKKAYRKATLFVHPDKLQQRGASIQQKYTCEKVFDLLKDAWNKFNAEER >Manes.01G196300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37045326:37045538:-1 gene:Manes.01G196300.v8.1 transcript:Manes.01G196300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGMATRMLAACFALLVMVAAAHEGHEHTPGMDMSPAPAPNSSTTFVSPSMVIGFLAFVFSLLVVRERM >Manes.02G197600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16372503:16375060:1 gene:Manes.02G197600.v8.1 transcript:Manes.02G197600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHTTRRRILACPDPASNSPSMDPTLKNLTKPTSSHPTNKNLDHIIHSKPFYMSNPLSKLNSNRKFGAITQKPTKNPPPPCVDSHLQAKSLTLSADFDGSRLSLVKPKHQYSKKLESIVDKGSRIKATKEGSDEKVKKVSSEGLVDKKKIQEMAVNEKKKEISSIVKANKEVKDLTEGLDIKRMTVSLGGGRRRSFCGSQVELADFLAHNGAKVVSVDMPPYMQIHAVDCARKTHDSLEKFTSKTLALTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYVLLFKTTVQRAE >Manes.02G197600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16372556:16376352:1 gene:Manes.02G197600.v8.1 transcript:Manes.02G197600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHTTRRRILACPDPASNSPSMDPTLKNLTKPTSSHPTNKNLDHIIHSKPFYMSNPLSKLNSNRKFGAITQKPTKNPPPPCVDSHLQAKSLTLSADFDGSRLSLVKPKHQYSKKLESIVDKGSRIKATKEGSDEKVKKVSSEGLVDKKKIQEMAVNEKKKEISSIVKANKEVKDLTEGLDIKRMTVSLGGGRRRSFCGSQVELADFLAHNGAKVVSVDMPPYMQIHAVDCARKTHDSLEKFTSKTLALTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYVLLFKTTVQRAE >Manes.07G025800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2796362:2804645:1 gene:Manes.07G025800.v8.1 transcript:Manes.07G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIPPGSYFQYPPSGAHQASPHRSCSLPSDRERCLAELLAERQKLVPFIQVLPHCSRLLNHEIRRVTGFSQGFVDHERYEHDSPYRSLGQQPNGRSMELEAWSGMQTEENEHLQRMASFHATSVGWPGVPGVATTPVIKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKVLPQIALPQLSLMEEKLKDKPGYEHLNEPLHVLVEAEFPEDIINARLDHAITILESLLKPVDESLDQYKKQQLRELAMLNGTLREESPGMSPSMSPSMSPFNTAGMKRAKTGR >Manes.07G025800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2796362:2804645:1 gene:Manes.07G025800.v8.1 transcript:Manes.07G025800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIPPGSYFQYPPSGAHQASPHRSCSLPSDRERCLAELLAERQKLVPFIQVLPHCSRLLNHEIRRVTGFSQGFVDHERYEHDSPYRSLGQQPNGRSMELEAWSGMQTEENEHLQRMASFHATSVGWPGVPGVATTPVIKRVVRLDVPVDKYPNQYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDIINARLDHAITILESLLKPVDESLDQYKKQQLRELAMLNGTLREESPGMSPSMSPSMSPFNTAGMKRAKTGR >Manes.07G025800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2796362:2804645:1 gene:Manes.07G025800.v8.1 transcript:Manes.07G025800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIPPGSYFQYPPSGAHQASPHRSCSLPSDRERCLAELLAERQKLVPFIQVLPHCSRLLNHEIRRVTGFSQGFVDHERYEHDSPYRSLGQQPNGRSMELEAWSGMQTEENEHLQRMASFHATSVGWPGVPGVATTPVIKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDIINARLDHAITILESLLKPVDESLDQYKKQQLRELAMLNGTLREESPGMSPSMSPSMSPFNTAGMKRAKTGR >Manes.07G025800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2796362:2804645:1 gene:Manes.07G025800.v8.1 transcript:Manes.07G025800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERIPPGSYFQYPPSGAHQASPHRSCSLPSDRERCLAELLAERQKLVPFIQVLPHCSRLLNHEIRRVTGFSQGFVDHERYEHDSPYRSLGQQPNGRSMELEAWSGMQTEENEHLQRMASFHATSVGWPGVPGVATTPVIKRVVRLDVPVDKYPNQYNFVGRILGPRGNSLKRVEAMTECRVYIRGKGSVKDSVKVLPQIALPQLSLMEEKLKDKPGYEHLNEPLHVLVEAEFPEDIINARLDHAITILESLLKPVDESLDQYKKQQLRELAMLNGTLREESPGMSPSMSPSMSPFNTAGMKRAKTGR >Manes.18G036550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3245887:3246678:1 gene:Manes.18G036550.v8.1 transcript:Manes.18G036550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSWNCQGLGNPLTIRHLRGMCASHSPDLLFVMETKNSDHFVRKKLQLCGFVNMLFVSPIGRSGGLLVAWRDHLNFTIEKYTSFLVHVTISYQLINKTWSALFCYFSCLDIICIEQFKFLLDYHHNLREAILLVGDFNCVLNYWEKKGGNVVNWNVVDSFRFLINSLGLTDLGFRGPIFTWNNHRDGSLNIQERLDRSLASINWIHLYSSAVVEHLEDWGSDHRPLLVNISPSMPKAKRLFSFDAHWISKLETFRIIEQAWS >Manes.06G179400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30365199:30378087:-1 gene:Manes.06G179400.v8.1 transcript:Manes.06G179400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSRRELLDRWRGIEEEEDDDDDPMKRRRLHKLKEEWFSDTFNFLISLPKENHIWCGSWDLMGPLLETFYNYYKDERPDSPLGLLWKRISEEIRQCIQCISQHHQAQQMYSMEYDSSSIGPLLDVLRSLDEERVTQHLREINTRLKKEDYDPQRDNAEVVSLMYEVLMFPFLLDDQSLVTEFELFVEAVDNMHELTLAGHQQFPGVYVLLFCNRRVRTIGRRLARSMEKLRRAADMEPLQPLLNKFIGFLETEALPSATKTSRPRAQLERLSIWLGITSLIEFLEPHAFEEGILERYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLLPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIIHRGYKMNPPCTPVECAHMWGPSLVSSLKDSSLHSSLRQPAFDLMQTIIVSDAAALVTALLDSHTPLAVDRTISVDINDNDRLLFSSDVEEKDSSCWSEFNAQSKITSQDCRGWMCIPMLWIDVLVDSDPSILPVSFSKAVFWARSRLILIEPENSVEMALAIKTWLSSSATEISTSFGWKVPTGSDDGGGSNESKNSIRVSMAHLPLIRTFNRLTAHFVVQVGQGELRKQWTWEPQMAESLILSLLDPNDSVRQVGKSLLEQVSNTRGLACGLKFLCSSGSSLSTIFLGLRHALKVVQLDSVVSKFHTLQHFFFILRKLITEGDLFSENSSDNSVLKYSSQEIAWPSIRKCLIEGKAFVDYSLCQMTCVRVLEILPVLFERLYPSLTGHSRDSGKIVGNILDFMWLHDLIDWGKSSLKVVVVYWKRTVNSLLNLLKGSCSNAAALTFKVIENLISCESVNIDELTEEVSRLCVSLSKEVSSDMGTAKLRPGASYVQAAPAKDIRVKPMDSISVTNRGEKSNVIVLSDDEAEIQISPAKLILPDNGRSGRVQLDNQTVASTADGSALVAETAKEKVSSIKTSKDLLDAFEQKDASDRSGLTSQKDFDKLRGKSLSSLKSKGADDKIKEVKSNASINDAFASQNKIDLKNSCYESVNAKSMIQTCHSLVSETRDSILKEIVRDATDDISESSLKSVRQQPSFLPKISASGPKRQIIQLKTPMDNRFGSVHRLEAGVKRFKPPRLDAWYRPILEINYFETVGLTSASEDETHKVSRLKEVPMCFRSPEQYVDIFQPLVLEEFKAQLTSSFLDMSSWEEMYYGILSVLSVERVDDFHLVRFVHDDNDLTSPKSFSENDLVLLTKEAPQNTYCDVHMVGKVERRERDNKRRMSILLIRFYFLNGSSRLNQGRRQLLERSKWHTSRIMSITPQLREFQVLSSIKDIPILPVILKPVNDSVDHNELRELALCKLSQSLQQVLTSSFNESQLQAISAAIGLPNSKKELELSLIQGPPGTGKTRTIVAIVSGLLASPRGTNDAKNRLNGSSKQINSSRMNTRPKVCQSVAIARAWQDASLARQLNEDVERNEKSVECTVRRRVLLCAQSNAAVDELISRISSGGLYGSDGKLYKPYIVRVGNAKTVHPNSLPFFIDTLVDNRLGEERMNLNGTKNDSSMGSSTALRSNLEKLVDNIRFYEAKRANLQDGNSDLKNSLDDGTRKGDDLKDMSDAELEVKLQKLYAQKKQIFRDLSAAQAQEKKTNEEIKALKHKLRKTILKEAEIVVTTLSGCGGDLYGVCSESISSCKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSHGTRCIMVGDPKQLPATVLSNTASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDEKLLNGENMSSKSASFHESEDFGPYVFYDIIDGQELRGKNSGAFSLYNEHEAEAAVALLRSFKKRYPSEFDGRKIGIITPYKNQLSLLRSHFSSAFGSSVIADMEFNTVDGFQGREVDILIFSTVRAPDSCSHTNRANSSNIGFIADVRRMNVALTRAKLSLWIFGNARTLQTNLNWAALVKDAKERNLIMSVKRPYESFKTAFRHNSTKHFENSSRQAKLVKNARDPIHSSKQIEHKTQASCHMNTKQISSVVQCNMAVAGDDDNIVSKEDTHSDKRRARDHDLPSVRASGENIMLKNLKSSTSREYIGDSESKCNDRSEKISHKGKRKDKMNSQSNLYLEQGMSDSREKLKAAKGPKKSIKHDKELEISTSLAGTGIKGSECDVGRTPNQIGASEDLITKRKQQREAVDAILYSSLISSKKSESSMKPVPAKRPLSPTSIVSSGIRPPKTRKGPSKPSKAALKD >Manes.06G179400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30365199:30378087:-1 gene:Manes.06G179400.v8.1 transcript:Manes.06G179400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSRRELLDRWRGIEEEEDDDDDPMKRRRLHKLKEEWFSDTFNFLISLPKENHIWCGSWDLMGPLLETFYNYYKDERPDSPLGLLWKRISEEIRQCIQCISQHHQAQQMYSMEYDSSSIGPLLDVLRSLDEERVTQHLREINTRLKKEDYDPQRDNAEVVSLMYEVLMFPFLLDDQSLVTEFELFVEAVDNMHELTLAGHQQFPGVYVLLFCNRRVRTIGRRLARSMEKLRRAADMEPLQPLLNKFIGFLETEALPSATKTSRPRAQLERLSIWLGITSLIEFLEPHAFEEGILERYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLLPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIIHRGYKMNPPCTPVECAHMWGPSLVSSLKDSSLHSSLRQPAFDLMQTIIVSDAAALVTALLDSHTPLAVDRTISVDINDNDRLLFSSDVEEKDSSCWSEFNAQSKITSQDCRGWMCIPMLWIDVLVDSDPSILPVSFSKAVFWARSRLILIEPENSVEMALAIKTWLSSSATEISTSFGWKVPTGSDDGGGSNESKNSIRVSMAHLPLIRTFNRLTAHFVVQVGQGELRKQWTWEPQMAESLILSLLDPNDSVRQVGKSLLEQVSNTRGLACGLKFLCSSGSSLSTIFLGLRHALKVVQLDSVVSKFHTLQHFFFILRKLITEGDLFSENSSDNSVLKYSSQGGFLTQPVFDSLPANVGGHPSIDNLKSQESFCYLLSEIAWPSIRKCLIEGKAFVDYSLCQMTCVRVLEILPVLFERLYPSLTGHSRDSGKIVGNILDFMWLHDLIDWGKSSLKVVVVYWKRTVNSLLNLLKGSCSNAAALTFKVIENLISCESVNIDELTEEVSRLCVSLSKEVSSDMGTAKLRPGASYVQAAPAKDIRVKPMDSISVTNRGEKSNVIVLSDDEAEIQISPAKLILPDNGRSGRVQLDNQTVASTADGSALVAETAKEKVSSIKTSKDLLDAFEQKDASDRSGLTSQKDFDKLRGKSLSSLKSKGADDKIKEVKSNASINDAFASQNKIDLKNSCYESVNAKSMIQTCHSLVSETRDSILKEIVRDATDDISESSLKSVRQQPSFLPKISASGPKRQIIQLKTPMDNRFGSVHRLEAGVKRFKPPRLDAWYRPILEINYFETVGLTSASEDETHKVSRLKEVPMCFRSPEQYVDIFQPLVLEEFKAQLTSSFLDMSSWEEMYYGILSVLSVERVDDFHLVRFVHDDNDLTSPKSFSENDLVLLTKEAPQNTYCDVHMVGKVERRERDNKRRMSILLIRFYFLNGSSRLNQGRRQLLERSKWHTSRIMSITPQLREFQVLSSIKDIPILPVILKPVNDSVDHNELRELALCKLSQSLQQVLTSSFNESQLQAISAAIGLPNSKKELELSLIQGPPGTGKTRTIVAIVSGLLASPRGTNDAKNRLNGSSKQINSSRMNTRPKVCQSVAIARAWQDASLARQLNEDVERNEKSVECTVRRRVLLCAQSNAAVDELISRISSGGLYGSDGKLYKPYIVRVGNAKTVHPNSLPFFIDTLVDNRLGEERMNLNGTKNDSSMGSSTALRSNLEKLVDNIRFYEAKRANLQDGNSDLKNSLDDGTRKGDDLKDMSDAELEVKLQKLYAQKKQIFRDLSAAQAQEKKTNEEIKALKHKLRKTILKEAEIVVTTLSGCGGDLYGVCSESISSCKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSHGTRCIMVGDPKQLPATVLSNTASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDEKLLNGENMSSKSASFHESEDFGPYVFYDIIDGQELRGKNSGAFSLYNEHEAEAAVALLRSFKKRYPSEFDGRKIGIITPYKNQLSLLRSHFSSAFGSSVIADMEFNTVDGFQGREVDILIFSTVRAPDSCSHTNRANSSNIGFIADVRRMNVALTRAKLSLWIFGNARTLQTNLNWAALVKDAKERNLIMSVKRPYESFKTAFRHNSTKHFENSSRQAKLVKNARDPIHSSKQIEHKTQASCHMNTKQISSVVQCNMAVAGDDDNIVSKEDTHSDKRRARDHDLPSVRASGENIMLKNLKSSTSREYIGDSESKCNDRSEKISHKGKRKDKMNSQSNLYLEQGMSDSREKLKAAKGPKKSIKHDKELEISTSLAGTGIKGSECDVGRTPNQIGASEDLITKRKQQREAVDAILYSSLISSKKSESSMKPVPAKRPLSPTSIVSSGIRPPKTRKGPSKPSKAALKD >Manes.06G179400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30365199:30378087:-1 gene:Manes.06G179400.v8.1 transcript:Manes.06G179400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSRRELLDRWRGIEEEEDDDDDPMKRRRLHKLKEEWFSDTFNFLISLPKENHIWCGSWDLMGPLLETFYNYYKDERPDSPLGLLWKRISEEIRQCIQCISQHHQAQQMYSMEYDSSSIGPLLDVLRSLDEERVTQHLREINTRLKKEDYDPQRDNAEVVSLMYEVLMFPFLLDDQSLVTEFELFVEAVDNMHELTLAGHQQFPGVYVLLFCNRRVRTIGRRLARSMEKLRRAADMEPLQPLLNKFIGFLETEALPSATKTSRPRAQLERLSIWLGITSLIEFLEPHAFEEGILERYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLLPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIIHRGYKMNPPCTPVECAHMWGPSLVSSLKDSSLHSSLRQPAFDLMQTIIVSDAAALVTALLDSHTPLAVDRTISVDINDNDRLLFSSDVEEKDSSCWSEFNAQSKITSQDCRGWMCIPMLWIDVLVDSDPSILPVSFSKAVFWARSRLILIEPENSVEMALAIKTWLSSSATEISTSFGWKVPTGSDDGGGSNESKNSIRVSMAHLPLIRTFNRLTAHFVVQVGQGELRKQWTWEPQMAESLILSLLDPNDSVRQVGKSLLEQVSNTRGLACGLKFLCSSGSSLSTIFLGLRHALKVVQLDSVVSKFHTLQHFFFILRKLITEGDLFSENSSDNSVLKYSSQEIAWPSIRKCLIEGKAFVDYSLCQMTCVRVLEILPVLFERLYPSLTGHSRDSGKIVGNILDFMWLHDLIDWGKSSLKVVVVYWKRTVNSLLNLLKGSCSNAAALTFKVIENLISCESVNIDELTEEVSRLCVSLSKEVSSDMGTAKLRPGASYVQAAPAKDIRVKPMDSISVTNRGEKSNVIVLSDDEAEIQISPAKLILPDNGRSGRVQLDNQTVASTADGSALVAETAKEKVSSIKTSKDLLDAFEQKDASDRSGLTSQKDFDKLRGKSLSSLKSKGADDKIKEVKSNASINDAFASQNKIDLKNSCYESVNAKSMIQTCHSLVSETRDSILKEIVRDATDDISESSLKSVRQQPSFLPKISASGPKRQIIQLKTPMDNRFGSVHRLEAGVKRFKPPRLDAWYRPILEINYFETVGLTSASEDETHKVSRLKEVPMCFRSPEQYVDIFQPLVLEEFKAQLTSSFLDMSSWEEMYYGILSVLSVERVDDFHLVRFVHDDNDLTSPKSFSENDLVLLTKEAPQNTYCDVHMVGKVERRERDNKRRMSILLIRFYFLNGSSRLNQGRRQLLERSKWHTSRIMSITPQLREFQVLSSIKDIPILPVILKPVNDSVDHNELRELALCKLSQSLQQVLTSSFNESQLQAISAAIGLPNSKKELELSLIQGPPGTGKTRTIVAIVSGLLASPRGTNDAKNRLNGSSKQINSSRMNTRPKVCQSVAIARAWQDASLARQLNEDVERNEKSVECTVRRRVLLCAQSNAAVDELISRISSGGLYGSDGKLYKPYIVRVGNAKTVHPNSLPFFIDTLVDNRLGEERMNLNGTKNDSSMGSSTALRSNLEKLVDNIRFYEAKRANLQDGNSDLKNSLDDGTRKGDDLKDMSDAELEVKLQKLYAQKKQIFRDLSAAQAQEKKTNEEIKALKHKLRKTILKEAEIVVTTLSGCGGDLYGVCSESISSCKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSHGTRCIMVGDPKQLPATVLSNTASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDEKLLNGENMSSKSASFHESEDFGPYVFYDIIDGQELRGKNSGAFSLYNEHEAEAAVALLRSFKKRYPSEFDGRKIGIITPYKNQLSLLRSHFSSAFGSSVIADMEFNTVDGFQGREVDILIFSTVRAPDSCSHTNRANSSNIGFIADVRRMNVALTRAKLSLWIFGNARTLQTNLNWAALVKDAKERNLIMSVKRPYESFKTAFRHNSTKHFENSSRQAKLVKNARDPIHSSKQIEHKTQASCHMNTKQISSVVQCNMAVAGDDDNIVSKEDTHSDKRRARDHDLPSVRASGENIMLKNLKSSTSREYIGDSESKCNDRSEKISHKGKRKDKMNSQSNLYLEQGMSDSREKLKAAKGPKKSIKHDKELEISTSLAGTGIKGSECDVGRTPNQIGASEDLITKRKQQREAVDAILYSSLISSKKSESSMKPVPAKRPLSPTSIVSSGIRPPKTRKGPSKPSKAALKD >Manes.06G179400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30365012:30378135:-1 gene:Manes.06G179400.v8.1 transcript:Manes.06G179400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSRRELLDRWRGIEEEEDDDDDPMKRRRLHKLKEEWFSDTFNFLISLPKENHIWCGSWDLMGPLLETFYNYYKDERPDSPLGLLWKRISEEIRQCIQCISQHHQAQQMYSMEYDSSSIGPLLDVLRSLDEERVTQHLREINTRLKKEDYDPQRDNAEVVSLMYEVLMFPFLLDDQSLVTEFELFVEAVDNMHELTLAGHQQFPGVYVLLFCNRRVRTIGRRLARSMEKLRRAADMEPLQPLLNKFIGFLETEALPSATKTSRPRAQLERLSIWLGITSLIEFLEPHAFEEGILERYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLLPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIIHRGYKMNPPCTPVECAHMWGPSLVSSLKDSSLHSSLRQPAFDLMQTIIVSDAAALVTALLDSHTPLAVDRTISVDINDNDRLLFSSDVEEKDSSCWSEFNAQSKITSQDCRGWMCIPMLWIDVLVDSDPSILPVSFSKAVFWARSRLILIEPENSVEMALAIKTWLSSSATEISTSFGWKVPTGSDDGGGSNESKNSIRVSMAHLPLIRTFNRLTAHFVVQVGQGELRKQWTWEPQMAESLILSLLDPNDSVRQVGKSLLEQVSNTRGLACGLKFLCSSGSSLSTIFLGLRHALKVVQLDSVVSKFHTLQHFFFILRKLITEGDLFSENSSDNSVLKYSSQGGFLTQPVFDSLPANVGGHPSIDNLKSQESFCYLLSEIAWPSIRKCLIEGKAFVDYSLCQMTCVRVLEILPVLFERLYPSLTGHSRDSGKIVGNILDFMWLHDLIDWGKSSLKVVVVYWKRTVNSLLNLLKGSCSNAAALTFKVIENLISCESVNIDELTEEVSRLCVSLSKEVSSDMGTAKLRPGASYVQAAPAKDIRVKPMDSISVTNRGEKSNVIVLSDDEAEIQISPAKLILPDNGRSGRVQLDNQTVASTADGSALVAETAKEKVSSIKTSKDLLDAFEQKDASDRSGLTSQKDFDKLRGKSLSSLKSKGADDKIKEVKSNASINDAFASQNKIDLKNSCYESVNAKSMIQTCHSLVSETRDSILKEIVRDATDDISESSLKSVRQQPSFLPKISASGPKRQIIQLKTPMDNRFGSVHRLEAGVKRFKPPRLDAWYRPILEINYFETVGLTSASEDETHKVSRLKEVPMCFRSPEQYVDIFQPLVLEEFKAQLTSSFLDMSSWEEMYYGILSVLSVERVDDFHLVRFVHDDNDLTSPKSFSENDLVLLTKEAPQNTYCDVHMVGKVERRERDNKRRMSILLIRFYFLNGSSRLNQGRRQLLERSKWHTSRIMSITPQLREFQVLSSIKDIPILPVILKPVNDSVDHNELRELALCKLSQSLQQVLTSSFNESQLQAISAAIGLPNSKKELELSLIQGPPGTGKTRTIVAIVSGLLASPRGTNDAKNRLNGSSKQINSSRMNTRPKVCQSVAIARAWQDASLARQLNEDVERNEKSVECTVRRRVLLCAQSNAAVDELISRISSGGLYGSDGKLYKPYIVRVGNAKTVHPNSLPFFIDTLVDNRLGEERMNLNGTKNDSSMGSSTALRSNLEKLVDNIRFYEAKRANLQDGNSDLKNSLDDGTRKGDDLKDMSDAELEVKLQKLYAQKKQIFRDLSAAQAQEKKTNEEIKALKHKLRKTILKEAEIVVTTLSGCGGDLYGVCSESISSCKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSHGTRCIMVGDPKQLPATVLSNTASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDEKLLNGENMSSKSASFHESEDFGPYVFYDIIDGQELRGKNSGAFSLYNEHEAEAAVALLRSFKKRYPSEFDGRKIGIITPYKNQLSLLRSHFSSAFGSSVIADMEFNTVDGFQGREVDILIFSTVRAPDSCSHTNRANSSNIGFIADVRRMNVALTRAKLSLWIFGNARTLQTNLNWAALVKDAKERNLIMSVKRPYESFKTAFRHNSTKHFENSSRQAKLVKNARDPIHSSKQIEHKTQASCHMNTKQISSVVQCNMAVAGDDDNIVSKEDTHSDKRRARDHDLPSVRASGENIMLKNLKSSTSREYIGDSESKCNDRSEKISHKGKRKDKMNSQSNLYLEQGMSDSREKLKAAKGPKKSIKHDKELEISTSLAGTGIKGSECDVGRTPNQIGASEDLITKRKQQREAVDAILYSSLISSKKSESSMKPVPAKRPLSPTSIVSSGIRPPKTRKGPSKPSKAALKD >Manes.06G179400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30368006:30378087:-1 gene:Manes.06G179400.v8.1 transcript:Manes.06G179400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSRRELLDRWRGIEEEEDDDDDPMKRRRLHKLKEEWFSDTFNFLISLPKENHIWCGSWDLMGPLLETFYNYYKDERPDSPLGLLWKRISEEIRQCIQCISQHHQAQQMYSMEYDSSSIGPLLDVLRSLDEERVTQHLREINTRLKKEDYDPQRDNAEVVSLMYEVLMFPFLLDDQSLVTEFELFVEAVDNMHELTLAGHQQFPGVYVLLFCNRRVRTIGRRLARSMEKLRRAADMEPLQPLLNKFIGFLETEALPSATKTSRPRAQLERLSIWLGITSLIEFLEPHAFEEGILERYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLLPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIIHRGYKMNPPCTPVECAHMWGPSLVSSLKDSSLHSSLRQPAFDLMQTIIVSDAAALVTALLDSHTPLAVDRTISVDINDNDRLLFSSDVEEKDSSCWSEFNAQSKITSQDCRGWMCIPMLWIDVLVDSDPSILPVSFSKAVFWARSRLILIEPENSVEMALAIKTWLSSSATEISTSFGWKVPTGSDDGGGSNESKNSIRVSMAHLPLIRTFNRLTAHFVVQVGQGELRKQWTWEPQMAESLILSLLDPNDSVRQVGKSLLEQVSNTRGLACGLKFLCSSGSSLSTIFLGLRHALKVVQLDSVVSKFHTLQHFFFILRKLITEGDLFSENSSDNSVLKYSSQGGFLTQPVFDSLPANVGGHPSIDNLKSQESFCYLLSEIAWPSIRKCLIEGKAFVDYSLCQMTCVRVLEILPVLFERLYPSLTGHSRDSGKIVGNILDFMWLHDLIDWGKSSLKVVVVYWKRTVNSLLNLLKGSCSNAAALTFKVIENLISCESVNIDELTEEVSRLCVSLSKEVSSDMGTAKLRPGASYVQAAPAKDIRVKPMDSISVTNRGEKSNVIVLSDDEAEIQISPAKLILPDNGRSGRVQLDNQTVASTADGSALVAETAKEKVSSIKTSKDLLDAFEQKDASDRSGLTSQKDFDKLRGKSLSSLKSKGADDKIKEVKSNASINDAFASQNKIDLKNSCYESVNAKSMIQTCHSLVSETRDSILKEIVRDATDDISESSLKSVRQQPSFLPKISASGPKRQIIQLKTPMDNRFGSVHRLEAGVKRFKPPRLDAWYRPILEINYFETVGLTSASEDETHKVSRLKEVPMCFRSPEQYVDIFQPLVLEEFKAQLTSSFLDMSSWEEMYYGILSVLSVERVDDFHLVRFVHDDNDLTSPKSFSENDLVLLTKEAPQNTYCDVHMVGKVERRERDNKRRMSILLIRFYFLNGSSRLNQGRRQLLERSKWHTSRIMSITPQLREFQVLSSIKDIPILPVILKPVNDSVDHNELRELALCKLSQSLQQVLTSSFNESQLQAISAAIGLPNSKKELELSLIQGPPGTGKTRTIVAIVSGLLASPRGTNDAKNRLNGSSKQINSSRMNTRPKVCQSVAIARAWQDASLARQLNEDVERNEKSVECTVRRRVLLCAQSNAAVDELISRISSGGLYGSDGKLYKPYIVRVGNAKTVHPNSLPFFIDTLVDNRLGEERMNLNGTKNDSSMGSSTALRSNLEKLVDNIRFYEAKRANLQDGNSDLKNSLDDGTRKGDDLKDMSDAELEVKLQKLYAQKKQIFRDLSAAQAQEKKTNEEIKALKHKLRKTILKEAEIVVTTLSGCGGDLYGVCSESISSCKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSHGTRCIMVGDPKQLPATVLSNTASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDEKLLNGENMSSKSASFHESEDFGPYVFYDIIDGQELRGKNSGAFSLYNEHEAEAAVALLRSFKKR >Manes.06G179400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30365199:30378142:-1 gene:Manes.06G179400.v8.1 transcript:Manes.06G179400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSRRELLDRWRGIEEEEDDDDDPMKRRRLHKLKEEWFSDTFNFLISLPKENHIWCGSWDLMGPLLETFYNYYKDERPDSPLGLLWKRISEEIRQCIQCISQHHQAQQMYSMEYDSSSIGPLLDVLRSLDEERVTQHLREINTRLKKEDYDPQRDNAEVVSLMYEVLMFPFLLDDQSLVTEFELFVEAVDNMHELTLAGHQQFPGVYVLLFCNRRVRTIGRRLARSMEKLRRAADMEPLQPLLNKFIGFLETEALPSATKTSRPRAQLERLSIWLGITSLIEFLEPHAFEEGILERYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLLPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIIHRGYKMNPPCTPVECAHMWGPSLVSSLKDSSLHSSLRQPAFDLMQTIIVSDAAALVTALLDSHTPLAVDRTISVDINDNDRLLFSSDVEEKDSSCWSEFNAQSKITSQDCRGWMCIPMLWIDVLVDSDPSILPVSFSKAVFWARSRLILIEPENSVEMALAIKTWLSSSATEISTSFGWKVPTGSDDGGGSNESKNSIRVSMAHLPLIRTFNRLTAHFVVQVGQGELRKQWTWEPQMAESLILSLLDPNDSVRQVGKSLLEQVSNTRGLACGLKFLCSSGSSLSTIFLGLRHALKVVQLDSVVSKFHTLQHFFFILRKLITEGDLFSENSSDNSVLKYSSQGGFLTQPVFDSLPANVGGHPSIDNLKSQESFCYLLSEIAWPSIRKCLIEGKAFVDYSLCQMTCVRVLEILPVLFERLYPSLTGHSRDSGKIVGNILDFMWLHDLIDWGKSSLKVVVVYWKRTVNSLLNLLKGSCSNAAALTFKVIENLISCESVNIDELTEEVSRLCVSLSKEVSSDMGTAKLRPGASYVQAAPAKDIRVKPMDSISVTNRGEKSNVIVLSDDEAEIQISPAKLILPDNGRSGRVQLDNQTVASTADGSALVAETAKEKVSSIKTSKDLLDAFEQKDASDRSGLTSQKDFDKLRGKSLSSLKSKGADDKIKEVKSNASINDAFASQNKIDLKNSCYESVNAKSMIQTCHSLVSETRDSILKEIVRDATDDISESSLKSVRQQPSFLPKISASGPKRQIIQLKTPMDNRFGSVHRLEAGVKRFKPPRLDAWYRPILEINYFETVGLTSASEDETHKVSRLKEVPMCFRSPEQYVDIFQPLVLEEFKAQLTSSFLDMSSWEEMYYGILSVLSVERVDDFHLVRFVHDDNDLTSPKSFSENDLVLLTKEAPQNTYCDVHMVGKVERRERDNKRRMSILLIRFYFLNGSSRLNQGRRQLLERSKWHTSRIMSITPQLREFQVLSSIKDIPILPVILKPVNDSVDHNELRELALCKLSQSLQQVLTSSFNESQLQAISAAIGLPNSKKELELSLIQGPPGTGKTRTIVAIVSGLLASPRGTNDAKNRLNGSSKQINSSRMNTRPKVCQSVAIARAWQDASLARQLNEDVERNEKSVECTVRRRVLLCAQSNAAVDELISRISSGGLYGSDGKLYKPYIVRVGNAKTVHPNSLPFFIDTLVDNRLGEERMNLNGTKNDSSMGSSTALRSNLEKLVDNIRFYEAKRANLQDGNSDLKNSLDDGTRKGDDLKDMSDAELEVKLQKLYAQKKQIFRDLSAAQAQEKKTNEEIKALKHKLRKTILKEAEIVVTTLSGCGGDLYGVCSESISSCKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSHGTRCIMVGDPKQLPATVLSNTASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDEKLLNGENMSSKSASFHESEDFGPYVFYDIIDGQELRGKNSGAFSLYNEHEAEAAVALLRSFKKRYPSEFDGRKIGIITPYKNQLSLLRSHFSSAFGSSVIADMEFNTVDGFQGREVDILIFSTVRAPDSCSHTNRANSSNIGFIADVRRMNVALTRAKLSLWIFGNARTLQTNLNWAALVKDAKERNLIMSVKRPYESFKTAFRHNSTKHFENSSRQAKLVKNARDPIHSSKQIEHKTQASCHMNTKQISSVVQCNMAVAGDDDNIVSKEDTHSDKRRARDHDLPSVRASGENIMLKNLKSSTSREYIGDSESKCNDRSEKISHKGKRKDKMNSQSNLYLEQGMSDSREKLKAAKGPKKSIKHDKELEISTSLAGTGIKGSECDVGRTPNQIGASEDLITKRKQQREAVDAILYSSLISSKKSESSMKPVPAKRPLSPTSIVSSGIRPPKTRKGPSKPSKAALKD >Manes.06G179400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30365199:30378087:-1 gene:Manes.06G179400.v8.1 transcript:Manes.06G179400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSRRELLDRWRGIEEEEDDDDDPMKRRRLHKLKEEWFSDTFNFLISLPKENHIWCGSWDLMGPLLETFYNYYKDERPDSPLGLLWKRISEEIRQCIQCISQHHQAQQMYSMEYDSSSIGPLLDVLRSLDEERVTQHLREINTRLKKEDYDPQRDNAEVVSLMYEVLMFPFLLDDQSLVTEFELFVEAVDNMHELTLAGHQQFPGVYVLLFCNRRVRTIGRRLARSMEKLRRAADMEPLQPLLNKFIGFLETEALPSATKTSRPRAQLERLSIWLGITSLIEFLEPHAFEEGILERYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLLPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIIHRGYKMNPPCTPVECAHMWGPSLVSSLKDSSLHSSLRQPAFDLMQTIIVSDAAALVTALLDSHTPLAVDRTISVDINDNDRLLFSSDVEEKDSSCWSEFNAQSKITSQDCRGWMCIPMLWIDVLVDSDPSILPVSFSKAVFWARSRLILIEPENSVEMALAIKTWLSSSATEISTSFGWKVPTGSDDGGGSNESKNSIRVSMAHLPLIRTFNRLTAHFVVQVGQGELRKQWTWEPQMAESLILSLLDPNDSVRQVGKSLLEQVSNTRGLACGLKFLCSSGSSLSTIFLGLRHALKVVQLDSVVSKFHTLQHFFFILRKLITEGDLFSENSSDNSVLKYSSQEIAWPSIRKCLIEGKAFVDYSLCQMTCVRVLEILPVLFERLYPSLTGHSRDSGKIVGNILDFMWLHDLIDWGKSSLKVVVVYWKRTVNSLLNLLKGSCSNAAALTFKVIENLISCESVNIDELTEEVSRLCVSLSKEVSSDMGTAKLRPGASYVQAAPAKDIRVKPMDSISVTNRGEKSNVIVLSDDEAEIQISPAKLILPDNGRSGRVQLDNQTVASTADGSALVAETAKEKVSSIKTSKDLLDAFEQKDASDRSGLTSQKDFDKLRGKSLSSLKSKGADDKIKEVKSNASINDAFASQNKIDLKNSCYESVNAKSMIQTCHSLVSETRDSILKEIVRDATDDISESSLKSVRQQPSFLPKISASGPKRQIIQLKTPMDNRFGSVHRLEAGVKRFKPPRLDAWYRPILEINYFETVGLTSASEDETHKVSRLKEVPMCFRSPEQYVDIFQPLVLEEFKAQLTSSFLDMSSWEEMYYGILSVLSVERVDDFHLVRFVHDDNDLTSPKSFSENDLVLLTKEAPQNTYCDVHMVGKVERRERDNKRRMSILLIRFYFLNGSSRLNQGRRQLLERSKWHTSRIMSITPQLREFQVLSSIKDIPILPVILKPVNDSVDHNELRELALCKLSQSLQQVLTSSFNESQLQAISAAIGLPNSKKELELSLIQGPPGTGKTRTIVAIVSGLLASPRGTNDAKNRLNGSSKQINSSRMNTRPKVCQSVAIARAWQDASLARQLNEDVERNEKSVECTVRRRVLLCAQSNAAVDELISRISSGGLYGSDGKLYKPYIVRVGNAKTVHPNSLPFFIDTLVDNRLGEERMNLNGTKNDSSMGSSTALRSNLEKLVDNIRFYEAKRANLQDGNSDLKNSLDDGTRKGDDLKDMSDAELEVKLQKLYAQKKQIFRDLSAAQAQEKKTNEEIKALKHKLRKTILKEAEIVVTTLSGCGGDLYGVCSESISSCKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSHGTRCIMVGDPKQLPATVLSNTASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDEKLLNGENMSSKSASFHESEDFGPYVFYDIIDGQELRGKNSGAFSLYNEHEAEAAVALLRSFKKRYPSEFDGRKIGIITPYKNQLSLLRSHFSSAFGSSVIADMEFNTVDGFQGREVDILIFSTVRAPDSCSHTNRANSSNIGFIADVRRMNVALTRAKLSLWIFGNARTLQTNLNWAALVKDAKERNLIMSVKRPYESFKTAFRHNSTKHFENSSRQAKLVKNARDPIHSSKQIEHKTQASCHMNTKQISSVVQCNMAVAGDDDNIVSKEDTHSDKRRARDHDLPSVRASGENIMLKNLKSSTSREYIGDSESKCNDRSEKISHKGKRKDKMNSQSNLYLEQGMSDSREKLKAAKGPKKSIKHDKELEISTSLAGTGIKGSECDVGRTPNQIGASEDLITKRKQQREAVDAILYSSLISSKKSESSMKPVPAKRPLSPTSIVSSGIRPPKTRKGPSKPSKAALKD >Manes.06G179400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30365199:30378087:-1 gene:Manes.06G179400.v8.1 transcript:Manes.06G179400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGSRRELLDRWRGIEEEEDDDDDPMKRRRLHKLKEEWFSDTFNFLISLPKENHIWCGSWDLMGPLLETFYNYYKDERPDSPLGLLWKRISEEIRQCIQCISQHHQAQQMYSMEYDSSSIGPLLDVLRSLDEERVTQHLREINTRLKKEDYDPQRDNAEVVSLMYEVLMFPFLLDDQSLVTEFELFVEAVDNMHELTLAGHQQFPGVYVLLFCNRRVRTIGRRLARSMEKLRRAADMEPLQPLLNKFIGFLETEALPSATKTSRPRAQLERLSIWLGITSLIEFLEPHAFEEGILERYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLLPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIIHRGYKMNPPCTPVECAHMWGPSLVSSLKDSSLHSSLRQPAFDLMQTIIVSDAAALVTALLDSHTPLAVDRTISVDINDNDRLLFSSDVEEKDSSCWSEFNAQSKITSQDCRGWMCIPMLWIDVLVDSDPSILPVSFSKAVFWARSRLILIEPENSVEMALAIKTWLSSSATEISTSFGWKVPTGSDDGGGSNESKNSIRVSMAHLPLIRTFNRLTAHFVVQVGQGELRKQWTWEPQMAESLILSLLDPNDSVRQVGKSLLEQVSNTRGLACGLKFLCSSGSSLSTIFLGLRHALKVVQLDSVVSKFHTLQHFFFILRKLITEGDLFSENSSDNSVLKYSSQEIAWPSIRKCLIEGKAFVDYSLCQMTCVRVLEILPVLFERLYPSLTGHSRDSGKIVGNILDFMWLHDLIDWGKSSLKVVVVYWKRTVNSLLNLLKGSCSNAAALTFKVIENLISCESVNIDELTEEVSRLCVSLSKEVSSDMGTAKLRPGASYVQAAPAKDIRVKPMDSISVTNRGEKSNVIVLSDDEAEIQISPAKLILPDNGRSGRVQLDNQTVASTADGSALVAETAKEKVSSIKTSKDLLDAFEQKDASDRSGLTSQKDFDKLRGKSLSSLKSKGADDKIKEVKSNASINDAFASQNKIDLKNSCYESVNAKSMIQTCHSLVSETRDSILKEIVRDATDDISESSLKSVRQQPSFLPKISASGPKRQIIQLKTPMDNRFGSVHRLEAGVKRFKPPRLDAWYRPILEINYFETVGLTSASEDETHKVSRLKEVPMCFRSPEQYVDIFQPLVLEEFKAQLTSSFLDMSSWEEMYYGILSVLSVERVDDFHLVRFVHDDNDLTSPKSFSENDLVLLTKEAPQNTYCDVHMVGKVERRERDNKRRMSILLIRFYFLNGSSRLNQGRRQLLERSKWHTSRIMSITPQLREFQVLSSIKDIPILPVILKPVNDSVDHNELRELALCKLSQSLQQVLTSSFNESQLQAISAAIGLPNSKKELELSLIQGPPGTGKTRTIVAIVSGLLASPRGTNDAKNRLNGSSKQINSSRMNTRPKVCQSVAIARAWQDASLARQLNEDVERNEKSVECTVRRRVLLCAQSNAAVDELISRISSGGLYGSDGKLYKPYIVRVGNAKTVHPNSLPFFIDTLVDNRLGEERMNLNGTKNDSSMGSSTALRSNLEKLVDNIRFYEAKRANLQDGNSDLKNSLDDGTRKGDDLKDMSDAELEVKLQKLYAQKKQIFRDLSAAQAQEKKTNEEIKALKHKLRKTILKEAEIVVTTLSGCGGDLYGVCSESISSCKFGNPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSHGTRCIMVGDPKQLPATVLSNTASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDEKLLNGENMSSKSASFHESEDFGPYVFYDIIDGQELRGKNSGAFSLYNEHEAEAAVALLRSFKKRYPSEFDGRKIGIITPYKNQLSLLRSHFSSAFGSSVIADMEFNTVDGFQGREVDILIFSTVRAPDSCSHTNRANSSNIGFIADVRRMNVALTRAKLSLWIFGNARTLQTNLNWAALVKDAKERNLIMSVKRPYESFKTAFRHNSTKHFENSSRQAKLVKNARDPIHSSKQIEHKTQASCHMNTKQISSVVQCNMAVAGDDDNIVSKEDTHSDKRRARDHDLPSVRASGENIMLKNLKSSTSREYIGDSESKCNDRSEKISHKGKRKDKMNSQSNLYLEQGMSDSREKLKAAKGPKKSIKHDKELEISTSLAGTGIKGSECDVGRTPNQIGASEDLITKRKQQREAVDAILYSSLISSKKSESSMKPVPAKRPLSPTSIVSSGIRPPKTRKGPSKPSKAALKD >Manes.09G165600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35881690:35886101:1 gene:Manes.09G165600.v8.1 transcript:Manes.09G165600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSDYGAFMEKFELKPTSSSPEFPLNGLTFAVKDIFDVDGLVTGFGNPDWARTHSAATSTAPAVLAILRGGATCVGKTVMDEMAYSINGENKHYGTPTNPCAPDRVPGGSSSGSAVAVGAKLVDFSLGTDTGGSVRVPASYCSILGFRPSHGAVSTAGVIPMAQSFDTVGWFARDPVILSQVGRILLQLPDVDLARPSQIIIAEDCFQLSSIPNNRVSQVLVKSVEKLFGGDVVKHLILGNYVEEKVPSLSHFMSREIQKQDYNIASLAALSSAMRLLQRYEFKNNHGEWVTTVKPDLGPGISERVWEAIQTTGENIDACYSVKAEIIAALTALLEDSSILAIPTVPGPPPKLNTDPTTLEVFRAKAFSLLSIAGVSGCCQVSIPLGMYDDLPVAISLLAKHGSDGFLLNVVETLYDTLQEQVAISER >Manes.14G105900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8892516:8894606:-1 gene:Manes.14G105900.v8.1 transcript:Manes.14G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSFILLFALFLPVFTFIKGCPPEFHCGRLAPIKYPFTIEGFKECGPLMLNDCDMPRGMPMIQFENETGYPIWYQVESFSPVNYLSTNLSVIDMDLQGRLNSRSCESFNNLSLPGHPHVTFKMNSSLKTMYKCDDSVESGDLDNFRFHGCGGFKIYYTVKDNASIPSPLLDCSTLQLPLNITNVDDDIFRILTSTFSLLVSLKDPMQGHKGSGSRAWKTVGIICATFSVIILMVVVTFFWRRYNRRKDGSSNLLPRNTSADLFSKSDRQGSDIFFGVSIFSYIELVEATHNFASENELGDGGFGIVHYGKLQDGREVAVKRLYERNYHKVQQFLNEIEILTRLRHKNLVALYGCTSRRSRELLLVYEYVPNGTVADHLHGDRASSGLLTWPIRMRIAIETASALVYLHASDIIHRDVKTSNILLDNYFSVKVADFGISRLFPNDVTHISTAPQGTPGYLDPEYYQCYQLTDRSDVYSFGVVLAELLSSMPAVDIARQRHEINLAQLAMSKIQRSAFDELIDPCLGYHSDEEVKRVTTCVAELAFLCLQQNRELRPSMDEVLEKLMRIENPLDELPNLVENHDQCLSH >Manes.07G124600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32789013:32791116:1 gene:Manes.07G124600.v8.1 transcript:Manes.07G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLASFLLLCLLSFSFLPVNASPPFSSSVIGVTFTSPFSPSTPSPPPDRIVAAVSALHFHRVRLPNSDPNLIRSFSFTNTSLFLSIPNTFLLPLASNRSLALRWLYGHVLPFYPRSMISMISVGDDAVSSELAPFLLPAIRNVHQALHDLGINKISVSTTFSFVNAVTTSFPPSSAMFQEPIGELVIKPLLQFLEDSNSSFLVKLYPYNMYRINSEIPIGFTLFQEHPFNFRDDLMTGVRYRNLFDMMVDAVITSMAVAGHQNIPVIVAETGWPSSGGEFGEVDATPAYAETYLKGLVAHLRSGMGTPLRKEGVAETYIFELADKDVKQGTRNWGILYANMTKKYKIEFSGNDRTNVAAVFLVAMILLVVGFLQY >Manes.01G217600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38644165:38649243:1 gene:Manes.01G217600.v8.1 transcript:Manes.01G217600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEAKSRSDRRPPGVGRGRGRGREDGGRPVKGIGRGLDDGSAKAAGGGRGRGGSGGKTGGNRGAGRGRG >Manes.01G217600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38644178:38649231:1 gene:Manes.01G217600.v8.1 transcript:Manes.01G217600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEAKSRSDRRPPGVGRGRGRGREDGGRPVKGIGRGLDDGSAKAAGGGRGRGGSGGKTGGNRGAGRGRG >Manes.01G217600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38644183:38649234:1 gene:Manes.01G217600.v8.1 transcript:Manes.01G217600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEAKSRSDRRPPGVGRGRGRGREDGGRPVKGIGRGLDDGSAKAAGGGRGRGGSGGKTGGNRGAGRGRG >Manes.01G217600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38644190:38646677:1 gene:Manes.01G217600.v8.1 transcript:Manes.01G217600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEAKSRSDRRPPGVGRGRGRGREDGGRPVKGIGRGLDDGSAKAAGGGRGRGGSGGKTGGNRG >Manes.01G217600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38644190:38649204:1 gene:Manes.01G217600.v8.1 transcript:Manes.01G217600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEAKSRSDRRPPGVGRGRGRGREDGGRPVKGIGRGLDDGSAKAAGGGRGRGGSGGKTGGNRGAGRGRG >Manes.06G123900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25401172:25402540:1 gene:Manes.06G123900.v8.1 transcript:Manes.06G123900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFNFLREGMMKLPPGFRFQPTDEELVFEYLKRDIEQERYFFSNKEAKYPNGNRINRATASGFWKATGVDRQIGSSKNQAMGMKKTLVFYRGKNPHASKTDWIMHEYRLVSVGNIACNNFQPTKNSSQNSSEQIEKWVLCRIFMKKRNSEINQACKDERIENVAVMSHQPRFFDFLTREKIVFDSVSSSSSSSSSSIITEVSSNGEDLGEESSSTRNFF >Manes.06G123900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25401172:25402540:1 gene:Manes.06G123900.v8.1 transcript:Manes.06G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFNFLREGMMKLPPGFRFQPTDEELVFEYLKRKVLSWPLPASIIPEINVFKFDPWDLPGDIEQERYFFSNKEAKYPNGNRINRATASGFWKATGVDRQIGSSKNQAMGMKKTLVFYRGKNPHASKTDWIMHEYRLVSVGNIACNNFQPTKNSSQNSSEQIEKWVLCRIFMKKRNSEINQACKDERIENVAVMSHQPRFFDFLTREKIVFDSVSSSSSSSSSSIITEVSSNGEDLGEESSSTRNFF >Manes.01G010300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3257873:3265594:1 gene:Manes.01G010300.v8.1 transcript:Manes.01G010300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMSFRWLSNTLLSVQNPALANRVVLFRGVGFGTTHRSMDRNREEQLIAKEINVKFKPEVNQVQEILVTNSFGGWEKCWEQGLTPWDLGQPTPALLHLHHTGSLPKGRTLVPGCGSGHDVVAIACPERYVVGLDVSDKAIKKAEELSSLSPNANCFTFLRADFFSWHPSELFDLIFDYTFFCAIEPEMRSRWAMQIQNLLKPDGELITLMFPIDDHIGGPPYKVSVSDYEEVLCPLGFKAVFVADNELAIKARKVCG >Manes.01G010300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3257771:3268810:1 gene:Manes.01G010300.v8.1 transcript:Manes.01G010300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMSFRWLSNTLLSVQNPALANRVVLFRGVGFGTTHRSMDRNREEQLIAKEINVKFKPEVNQVQEILVTNSFGGWEKCWEQGLTPWDLGQPTPALLHLHHTGSLPKGRTLVPGCGSGHDVVAIACPERYVVGLDVSDKAIKKAEELSSLSPNANCFTFLRADFFSWHPSELFDLIFDYTFFCAIEPEMRSRWAMQIQNLLKPDGELITLMFPIDDHIGGPPYKVSVSDYEEVLCPLGFKAVFVADNELAIKARKGREKLGRWKRSSIQSSM >Manes.06G147600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27366113:27370492:1 gene:Manes.06G147600.v8.1 transcript:Manes.06G147600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENRAQQRVQSYLQYGSGFYCQLKETIWTSTKLPARFSLFIFLLFILVCAFVSTRLLNSTDGTRGSAQEPLLTTETTTQNPETPIKKIEIPLDCDAFNLTGTCPANYPTIISENQYRPSVSTCPEYFRWIHEDLRPWARTGITREMVDRAKRTANFRLVILKGKAYLETYTKSFQTRDVFTLWGILQLLRRYPGRVPDLELMFDCVDWPVVKSREYSGPNAMAPPPLFRYCGDDDTLDIVFPDWSFWGWPEVNIKPWENLLNDLKEGNKKTRWMDREPYAYWKGNPVVAETRQDLMRCNVSEQQDWSARVYAQDWIRESQQGYKQSNLASQCSHRYKIYIEGSAWSVSEKYILACDSVTLVVKPHYYDFFTRSLRPIEHYWPIKDNSKCRSIKFAVEWGNSHKRKAQAIGKAASEFIQNELQMEYVYDYMFHLLNEYANLLTFKPVVPPQAIELCSESMACRLGGVEKEFMMESMVKGPAETSPCTMLPPYDPPSLHAIFRRKENTIKQVELWEKKYWDNQNN >Manes.06G147600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27368004:27370492:1 gene:Manes.06G147600.v8.1 transcript:Manes.06G147600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRAKRTANFRLVILKGKAYLETYTKSFQTRDVFTLWGILQLLRRYPGRVPDLELMFDCVDWPVVKSREYSGPNAMAPPPLFRYCGDDDTLDIVFPDWSFWGWPEVNIKPWENLLNDLKEGNKKTRWMDREPYAYWKGNPVVAETRQDLMRCNVSEQQDWSARVYAQDWIRESQQGYKQSNLASQCSHRYKIYIEGSAWSVSEKYILACDSVTLVVKPHYYDFFTRSLRPIEHYWPIKDNSKCRSIKFAVEWGNSHKRKAQAIGKAASEFIQNELQMEYVYDYMFHLLNEYANLLTFKPVVPPQAIELCSESMACRLGGVEKEFMMESMVKGPAETSPCTMLPPYDPPSLHAIFRRKENTIKQVELWEKKYWDNQNN >Manes.08G096100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32404424:32407357:-1 gene:Manes.08G096100.v8.1 transcript:Manes.08G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWLGQKASKEFNSVGDDINSLSSSIEGGTKWLVNKIKGKMQKSLPELLKEYDLAIGIFPRDATNYEFNEETGKLTVFIPSICEVGYKDSSVLRFFTAVTGYLEKGKLADISGMKTKVMIWVKVTCITSDGSKLHFTAGMKKTRSREAYEVLRDGVSVDKF >Manes.11G014001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1480304:1482095:-1 gene:Manes.11G014001.v8.1 transcript:Manes.11G014001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICLAHSLFILSLSVLTLVNPTPVYSFDKYFFIFGDGLYDPGNKIFLNPDEYLPSFHSPYGTTFFTGHPTGRYSDGRTVADFIAEKEGFPFLIPALNGSEDFTYGANFASEGATVLDSKKDETSLNLTSQVELFNFVIDLWKLLYNETEVKRRVNMAVYLISIGAQDYFDSVYFIGNHTIIVDKVVAGILDAIKALYAIGARKFVVQNVAQLGGLPFVKQKYGKLNETLAIYAEAHRDELNRTLVELGEEYPDLNYTVFNAYDAIGCLIDAPEDYGFKNGSSACCGNSTYRGEACGALEYEYCVCGNKAEYVFFDGVHNTDAANELLAEWMWNKTKGYVRPYGVHDFFKSSSDNSNLQIQIQMPRTQAARARPFKVYY >Manes.06G154800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28176941:28180733:1 gene:Manes.06G154800.v8.1 transcript:Manes.06G154800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSSLVFLLLVLGALEFCMASQIGLGSRLLAKEDQAWISDNGTFAFGFTGADSNREQFQLAIWFANLPGDRTVIWSANRNSPVTKDASLELDTTGNLVLIDGDTTIWMSNTSGAGVETASMTESGNFILYTSTNDTAWQSFAHPSDTLLPNQPLTVNLELTSPKSPLHGGYYSLKMLQQPTSLSLALTYNLPESYDASPEAYANYSYWPGPDISNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLSSATNQSTRLSVLRRLILETNGNLRLYRWDNDVNGSRQWVPEWAAVSNPCDIAGVCGNGICNLDKSKTNASCTCLPGTSKVGKGICSENSSLVGKCEAANLNHTSEFRIAAMQQTNYYFPEFSVITNYSDIPTVSKCGDACLVDCECMASVYGLDDEKPYCWLLRSLDFGGYEDPGSTLFVKVRSNGSISPAGDKRGSEENKGKVLVLPIVLSMTFLMGLLSLLLYYNVHRRRSLKRAMESALILSGAPINFSYRDLQIRTSNFSQLLGTGGFGSVYKGILADGTLIAVKKLDKVLPHGEKEFITEVNTIGSMHHMNLVRLCGYCSEGSHRLLVYEFMKNGSLDKWIFPSYNCRSRLLDWSTRYHIAIATAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSHVVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEVIGGRRNLDMSFDAEDFFYPGWAFKEMTNGTPLKAADRRLEGAVKEEELMRALKVAFWCIQDEVIMRPSMGEVVKMLEGSMGINTPPMPQTVLELIEEGLDQVYKAMKRELNQFSSFTITSHDPSSRATCSYSTMSPR >Manes.17G079300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28460303:28461100:1 gene:Manes.17G079300.v8.1 transcript:Manes.17G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEAQFGIDAHADQFHDVFSSRPYLISNMSPHKIHGVDLLEGEWGKEGAIICWKYFYDGSVLVAKEVIETIDNVNLLTVFKVIEGDVLKEYKSFKLTVQATPKGEGSVVRWTFEYEKIHENIRDPYSLLEFIVQVSKDVSAYLVKCSNK >Manes.14G066300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5527588:5532672:-1 gene:Manes.14G066300.v8.1 transcript:Manes.14G066300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQTIKLGGGLRQESDAISVMKAGKLRFSKPNKYWVESSQKRYLPCVEDTVLGIVVDSKAENFLVDIKGPALAFLPVLAFEGGTRRNIPKFEGGTLLYVRVVKANPGMNPELSCTDASGKAAEFGALKDGYMFECSTGLSRMLLSSPTCPVLEALGNKLSFEIAVGLNGRVWVNATSPSTVIIVANAIMNSETLSGVQQKIMVEKLLQKIQT >Manes.14G066300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5527588:5532672:-1 gene:Manes.14G066300.v8.1 transcript:Manes.14G066300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKPSTSPMSFVDQIVVPGDVVIDLSTMTNQTIKLGGGLRQESDAISVMKAGKLRFSKPNKYWVESSQKRYLPCVEDTVLGIVVDSKAENFLVDIKGPALAFLPVLAFEGGTRRNIPKFEGGTLLYVRVVKANPGMNPELSCTDASGKAAEFGALKDGYMFECSTGLSRMLLSSPTCPVLEALGNKLSFEIAVGLNGRVWVNATSPSTVIIVANAIMNSETLSGVQQKIMVEKLLQKIQT >Manes.18G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4103181:4105817:-1 gene:Manes.18G047100.v8.1 transcript:Manes.18G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMNSYSFADFPEDVQLCILSFLTPTEIANFACTSRRFSPLCQNDSKLWYAICDRRWGSKTQINKWGNGKISYKLLYKTLYKWENLTGFWRLCGQSKQRQSAGVKPPALVFFEWGPWFLSGSRVSPSQNGTYDVTKTPFLWMGISAEGQLLNYLDPDGNNDGLSGDLGFFEMDLIKVSVNFIGDIHFSIEENVGFAYSRSSGAANLKGEYGEDVSNELESGSPGSLEETSELYQYYANRTSPGADRSCRRQRRREKEKQGRKKWETEHFLKIVDSSSTPDRPLQGLWKGLCDDMKLEFYLVAYDGVGISCRRVGDLSDPLSSSTPVFWTSTPAFIVSPFSPEEEYLYSSRIHLRPPMKSGDVRWQCPLIDHEVVSRLMHINSSYDLVIPGLAGASANPWHVEGRIWQYKNGTFGFGFLRDNYIVDLKHIAQDGRLLDVMEHYCDR >Manes.03G209900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32510896:32513946:1 gene:Manes.03G209900.v8.1 transcript:Manes.03G209900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFRGLVVISLLVIILLQNSSNVQGRYHYHKTKNKSHNKAESPVSPAPDNVPEPQDPSVPSSPVNPPQIPSDPYPNDPAGNSSSDCIFDVTSYGAVGDGSTDDTSAFVAAWKAACAVESGVVLAPEGYVFMITSTIFSGPCKPGLVFQVDGCLMPPDGPDSWPEKDSRKQWLVFYRLNDMTFTGKGTIEGNGEKWWDLPCKPHRGPDGEASKGPCDSPALIRFFMSSNLVISNLRIQNSPQFHMKFDGCEGVLIQQLSISSPKLSPNTDGIHIENTKTVGIYNSLISNGDDCISIGTGCSDVDIEGVTCGPSHGISIGSLGVHNSQACVSNIKVSNAIIRDSDNGVRIKTWQGGTGSVSGISFQNIQMENVRNCMIIDQYYCMSKECLNQTSAVYVTDISYRNIKGTYDVRTPPIHFACSDTVPCTNITLSEVELLPEEGELIDDPFCWNAYGTEETVTIPPLNCLRDGEPESEGEVASYGC >Manes.02G147700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11373728:11376347:1 gene:Manes.02G147700.v8.1 transcript:Manes.02G147700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSNGGAALYRSRQGLSTRPVAGSDEIQLRIDPMQADFDDEISCLRSQVRQLRNVAQEIETEAKFQKNFLDQLQMTVIKAQAGVKNNIRKLNKSIIRNGGNHVVHVVLFALFCFFVVYMWSKFSRR >Manes.18G020000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1974969:1985781:1 gene:Manes.18G020000.v8.1 transcript:Manes.18G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLEKKDAADWVYRGEGAANLVLAYAGSSPLFNGKVTRIQKIARNGSSHCLDDHTVLTEHERLLWRELEELESSPTKELAELLYVRHVMSPLLGPKHVDAGMHVLVSKEFLETIEKKVSCQRPAWRVDAAKINTECDFVLIMTDHSLFPNGVRNAGPCISVEIKPKCGFLPLSRFMAERNVIKRSTTRFRLHQVLKLSQHEILEFSQYDPLDIFCSSKERIHKAINDLYNTPQNNFRVFLNGSIIFGGLGGGTNKTSIMIEKAFEDALKGVIQAEDGLRTRSFIELVAETVYSSQVLDRLLEVQKLDSFDIEGAIHAYYNIISQPCMACSELDEARLQPRFASLHSIPLDESLKIVRDFLIAATAKDCSLMISLKPREDMDFGSPYSNIYLKSTHQNFDYKVNFIDLDLKPLKKMETYYEKDKKILKAYSEAAETKHIKGNTLVMEAYETVN >Manes.03G101200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22523192:22528120:1 gene:Manes.03G101200.v8.1 transcript:Manes.03G101200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRSHTTELGCIACEELSDLGAGKEGWLVENPNILCALDTHSIALANRSFILVTGWDDGPRRRIRPDLSPIEAEFITSLEWLVFDEIRVIAVGTSCGYFLVYSLDGQLVHRQMVYPGRILKIRVRGTKKDLTHQTSSSEEISIVIRGVIARFDGSDIQNMLQEWFQETHSQFWDEKPRKRDSDALENTYKRLPYQLWNVNKYGLCADAAITGIMPPPLMEIQSSQQYYCAVTIGEDAVISAYRLSENRSKSLVGAILSKVVPATFSTIASFSKMIWRSEQTSTKKSEAKTQSFAKASPLTCLKDHPRKGEKLTLSPSGTLSAITDSLGRILLLDTQALVVVRLWKGYRDASCFFMEMLVKRDNAGASSSYYEPSKSDYCLCLAIHAPRKGIIEVYSLFITFFL >Manes.03G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22523192:22528120:1 gene:Manes.03G101200.v8.1 transcript:Manes.03G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRSHTTELGCIACEELSDLGAGKEGWLVENPNILCALDTHSIALANRSFILVTGWDDGPRRRIRPDLSPIEAEFITSLEWLVFDEIRVIAVGTSCGYFLVYSLDGQLVHRQMVYPGRILKIRVRGTKKDLTHQTSSSEEISIVIRGVIARFDGSDIQNMLQEWFQETHSQFWDEKPRKRDSDALENTYKRLPYQLWNVNKYGLCADAAITGIMPPPLMEIQSSQQYYCAVTIGEDAVISAYRLSENRSKSLVGAILSKVVPATFSTIASFSKMIWRSEQTSTKKSEAKTQSFAKASPLTCLKDHPRKGEKLTLSPSGTLSAITDSLGRILLLDTQALVVVRLWKGYRDASCFFMEMLVKRDNAGASSSYYEPSKSDYCLCLAIHAPRKGIIEVWQMRTGPRLLTIQCGRGSKLLQPTYRFGSSLDSPYVPLEVFLLNGDSGQLSVLNRSLN >Manes.07G039648.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4260435:4261069:1 gene:Manes.07G039648.v8.1 transcript:Manes.07G039648.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKLEDDIPKLQKLLLDEERVLEDIVENSKGCVLSLVVHNWKFFFILFFHIFFFFFIAYEFMVNESHSGLASGSSKAPRLFIKEMVMRNFKPYAGEQRVGPFHKVFSSF >Manes.S024652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2101887:2102699:1 gene:Manes.S024652.v8.1 transcript:Manes.S024652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11041894:11043686:-1 gene:Manes.07G062800.v8.1 transcript:Manes.07G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSQRNFRIQEPKGAHVKNCSSLKYIFTWSMALCLLHPEKIEIYNCKMNEGIIEKEEAADKMILLPSLKSIVLKCLPRFSRLCSGWSNVECPLLKEMSIHECPSLKNIFATQTLVNTIDEFHTPFLYKMFPNLEKFSLDKKFTITILGSQLPTGFFFKVKVLELSFFLNKYHVPLFSLLPIFPNLERFEVLDSSLNKLLPFEGLVGDQED >Manes.05G044900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3739715:3745849:1 gene:Manes.05G044900.v8.1 transcript:Manes.05G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METREGLTSGVTVIGAEAPSTYHVAPRTENLSQMTVSPAVAVSPVSVGLTGTTEKKKRGRPRKYGPDGTVARALSPMPISSSAPSGGDFSAGKPCKMWPGSFEKKKYKKMGLENSGDWASTSVGTNFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTESQGTRSRSGGMSVSLASPDGRVVGGGVAGLLIAASPVQVVVGSFLPGNQQDLKPKKPKIDAVTAPITPTPTIAAAPVPVSNPEKEESVGGNGQQISSSLRRENWTTMQSVQDLRKSGTDINVSLAEA >Manes.12G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1079008:1082187:-1 gene:Manes.12G010800.v8.1 transcript:Manes.12G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNPEQIIINGESKDMAEVRLEEPQASISSNSPIQNSRPSSMVIKKAHRVIPAHLIAEAISTLRGLDLRWSGPITPSEMEYVRQYVFAKYPQYCNGIVEEGDKIDLTYLSINEESSESTRDEKRNNSPKSLASKESSSPSYTRSLSDLDKTQLEASRLVDILSKKTSFQGNFISIPEIQAQNRALKHTGLNEDDYLVIFMPSYREAMVMIGESYPFFKGNYYMTILGEEVDTIREFATHKESKVVPMPETWLDLRIKGSQLSQYFRRKCKYTPKGLFSYPVIVNETRYSMHWISEAHRNSWHVLLDATGLVFGEDRLALALHRPDFVLCTLDNTHGQPSKITCLLVRKISFDTSAASA >Manes.03G005400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:468227:473699:1 gene:Manes.03G005400.v8.1 transcript:Manes.03G005400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKILSSKPHFLQPVLPGFKHQQFSIPVSFFKYLKGQKCERAILRGRTGKIWPVKINSRSFEDGWKDFVEDHDLHVGDFLVFRHEGEMVFDVMVFESSACLREYPSFPAKEFDIKEEEIEFQEEQLAQKSSPEKYNKKEQNRTTLQGKAATSVHEQHYFVVKLTSYSAKRCKLHIPIKFARTHGLCNSNCKMILMNEKGRSWPAVSWNKKSDGQVYIGRGWTSFRDANNLKAGDSFIFKHIEKQKIPTFKFHRFYEGLLQANTEAKMEPTYQEVHAGSLSIRHHHISVSKKKTAEEVEKECRPRKPKKKQQCATNYEVKASSSVLEQPYFVAKVTSYGACRSILYIPTTFARLNNLNNRRCKMILVDAKGRSWPAKLWHKTSDGRAYIHDWNAFRVANDLHPGDSFILELVDKGEMPVFKMSMMKVNTKTDSEMIHQDGEASSSSIRHPYFYVTVKAYHIQNSYLRL >Manes.03G005400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:468227:473201:1 gene:Manes.03G005400.v8.1 transcript:Manes.03G005400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKILSSKPHFLQPVLPGFKHQQFSIPVSFFKYLKGQKCERAILRGRTGKIWPVKINSRSFEDGWKDFVEDHDLHVGDFLVFRHEGEMVFDVMVFESSACLREYPSFPAKEFDIKEEEIEFQEEQLAQKSSPEKYNKKEQNRTTLQGKAATSVHEQHYFVVKLTSYSAKRCKLHIPIKFARTHGLCNSNCKMILMNEKGRSWPAVSWNKKSDGQVYIGRGWTSFRDANNLKAGDSFIFKHIEKQKIPTFKFHRFYEGLLQANTEAKMEPTYQEVHAGSLSIRHHHISVSKKKTAEEVEKECRPSEFIALYHLLQFKSIASFVYAKHRAQWFTHLAISPGFTHIFNNVFSHFKINCILQMPRNRTLKNIA >Manes.03G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:468225:473699:1 gene:Manes.03G005400.v8.1 transcript:Manes.03G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKILSSKPHFLQPVLPGFKHQQFSIPVSFFKYLKGQKCERAILRGRTGKIWPVKINSRSFEDGWKDFVEDHDLHVGDFLVFRHEGEMVFDVMVFESSACLREYPSFPAKEFDIKEEEIEFQEEQLAQKSSPEKYNKKEQNRTTLQGKAATSVHEQHYFVVKLTSYSAKRCKLHIPIKFARTHGLCNSNCKMILMNEKGRSWPAVSWNKKSDGQVYIGRGWTSFRDANNLKAGDSFIFKHIEKQKIPTFKFHRFYEGLLQANTEAKMEPTYQEVHAGSLSIRHHHISVSKKKTAEEVEKECRPRKPKKKQQCATNYEVKASSSVLEQPYFVAKVTSYGACRSILYIPTTFARLNNLNNRRCKMILVDAKGRSWPAKLWHKTSDGRAYIHDWNAFRVANDLHPGDSFILELVDKGEMPVFKMSMMKVNTKTDSEMIHQDGEASSSSIRHPYFYVTVKAYHIQNSYLQIPSDFARRNDLIGKCSEMILTNERGNSWVVSLETGKDGKVYIGCGWSEFAKANGLRERNIFMLELVEGGNKPAMKFYGVEQRTAGNKISYKDSAQRISFENCEDVKPIIQHPE >Manes.03G005400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:468274:473201:1 gene:Manes.03G005400.v8.1 transcript:Manes.03G005400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKILSSKPHFLQPVLPGFKHQQFSIPVSFFKYLKGQKCERAILRGRTGKIWPVKINSRSFEDGWKDFVEDHDLHVGDFLVFRHEGEMVFDVMVFESSACLREYPSFPAKEFDIKEEEIEFQEEQLAQKSSPEKYNKKEQNRTTLQGKAATSVHEQHYFVVKLTSYSAKRCKLHIPIKFARTHGLCNSNCKMILMNEKGRSWPAVSWNKKSDGQVYIGRGWTSFRDANNLKAGDSFIFKHIEKQKIPTFKFHRFYEGLLQANTEAKMEPTYQEVHAGSLSIRHHHISVSKKKTAEEVEKECRPSEFIALYHLLQFKSIASFVYAKHRAQWFTHLAISPGFTHIFNNVFSHFKINCILQMPRNRTLKNIA >Manes.03G005400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:468226:473398:1 gene:Manes.03G005400.v8.1 transcript:Manes.03G005400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKILSSKPHFLQPVLPGFKHQQFSIPVSFFKYLKGQKCERAILRGRTGKIWPVKINSRSFEDGWKDFVEDHDLHVGDFLVFRHEGEMVFDVMVFESSACLREYPSFPAKEFDIKEEEIEFQEEQLAQKSSPEKYNKKEQNRTTLQGKAATSVHEQHYFVVKLTSYSAKRCKLHIPIKFARTHGLCNSNCKMILMNEKGRSWPAVSWNKKSDGQVYIGRGWTSFRDANNLKAGDSFIFKHIEKQKIPTFKFHRFYEGLLQANTEAKMEPTYQEVHAGSLSIRHHHISVSKKKTAEEVEKECRPRKPKKKQQCATNYEVKASSSVLEQPYFVAKVTSYGACRSILMILVDAKGRSWPAKLWHKTSDGRAYIHDWNAFRVANDLHPGDSFILELVDKGEMPVFKMSMMKVNTKTDSEMIHQDGEASSSSIRHPYFYVTVKAYHIQNSYLQIPSDFARRNDLIGKCSEMILTNERGNSWVVSLETGKDGKVYIGCGWSEFAKANGLRERNIFMLELVEGGNKPAMKFYGVEQRTAGNKISYKDSAQRISFENCEDVKPIIQHPE >Manes.03G005400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:468312:473201:1 gene:Manes.03G005400.v8.1 transcript:Manes.03G005400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKILSSKPHFLQPVLPGFKHQQFSIPVSFFKYLKGQKCERAILRGRTGKIWPVKINSRSFEDGWKDFVEDHDLHVGDFLVFRHEGEMVFDVMVFESSACLREYPSFPAKEFDIKEEEIEFQEEQLAQKSSPEKYNKKEQNRTTLQGKAATSVHEQHYFVVKLTSYSAKRCKLHIPIKFARTHGLCNSNCKMILMNEKGRSWPAVSWNKKSDGQVYIGRGWTSFRDANNLKAGDSFIFKHIEKQKIPTFKFHRFYEGLLQANTEAKMEPTYQEVHAGSLSIRHHHISVSKKKTAEEVEKECRPRKPKKKQQCATNYEVKASSSVLEQPYFVAKVTSYGACRSILYIPTTFARLNNLNNRRCKMILVDAKGRSWPAKLWHKTSDGRAYIHDWNAFRVANDLHPGDSFILELVDKGEMPVFKMSTNDVMQ >Manes.03G005400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:468306:473398:1 gene:Manes.03G005400.v8.1 transcript:Manes.03G005400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKILSSKPHFLQPVLPGFKHQQFSIPVSFFKYLKGQKCERAILRGRTGKIWPVKINSRSFEDGWKDFVEDHDLHVGDFLVFRHEGEMVFDVMVFESSACLREYPSFPAKEFDIKEEEIEFQEEQLAQKSSPEKYNKKEQNRTTLQGKAATSVHEQHYFVVKLTSYSAKRCKLHIPIKFARTHGLCNSNCKMILMNEKGRSWPAVSWNKKSDGQVYIGRGWTSFRDANNLKAGDSFIFKHIEKQKIPTFKFHRLLQANTEAKMEPTYQEVHAGSLSIRHHHISVSKKKTAEEVEKECRPRKPKKKQQCATNYEVKASSSVLEQPYFVAKVTSYGACRSILYIPTTFARLNNLNNRRCKMILVDAKGRSWPAKLWHKTSDGRAYIHDWNAFRVANDLHPGDSFILELVDKGEMPVFKMSMMKVNTKTDSEMIHQDGEASSSSIRHPYFYVTVKAYHIQNSYLQIPSDFARRNDLIGKCSEMILTNERGNSWVVSLETGKDGKVYIGCGWSEFAKANGLRERNIFMLELVEGGNKPAMKFYGVEQRTAGNKISYKDSAQRISFENCEDVKPIIQHPE >Manes.03G005400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:468312:473201:1 gene:Manes.03G005400.v8.1 transcript:Manes.03G005400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKILSSKPHFLQPVLPGFKHQQFSIPVSFFKYLKGQKCERAILRGRTGKIWPVKINSRSFEDGWKDFVEDHDLHVGDFLVFRHEGEMVFDVMVFESSACLREYPSFPAKEFDIKEEEIEFQEEQLAQKSSPEKYNKKEQNRTTLQGKAATSVHEQHYFVVKLTSYSAKRCKLHIPIKFARTHGLCNSNCKMILMNEKGRSWPAVSWNKKSDGQVYIGRGWTSFRDANNLKAGDSFIFKHIEKQKIPTFKFHRLLQANTEAKMEPTYQEVHAGSLSIRHHHISVSKKKTAEEVEKECRPRKPKKKQQCATNYEVKASSSVLEQPYFVAKVTSYGACRSILYIPTTFARLNNLNNRRCKMILVDAKGRSWPAKLWHKTSDGRAYIHDWNAFRVANDLHPGDSFILELVDKGEMPVFKMSMMKVNTKTDSEMIHQDGEASSSSIRHPYFYVTVKAYHIQNSYLRL >Manes.11G016800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1769985:1772657:1 gene:Manes.11G016800.v8.1 transcript:Manes.11G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDWLLRPVLHVFIIIFIFQFTCAPSISESEALIKLKSSFTNATALSSWVPGSAPCEGNTKWKGLVCSNGIVTGIRLEEMGLSGKIDVDALVDISGLRSLSFEYNSFSGSIPELNRLGSLKNIFLTGNQFSGEIPAEFFLKMASLKKVWLANNKFSGEIPPSLLHLSNLIELHLEDNQFSGTIPPVVPSKLKKFNVSNNKLSGEIPDGLAKFSKFSFEGNADLCGKQIGKECAEAEAEAEAKAKAEAEAKAEAEAEAKAKAKAKAEAEAKAKAEAEAKAPDAFAPAPDMIISTDSVGNIKKTGAGIIALAVMLFLIGAVIICKMRRKDDDEDDEEDDAADQEIVEVQVSLPVRQKEVDLKKKPLPTRKAPPAGAASGGVGELVIMNDGKGNFGLMDLMKSSAEVLGNGGLGSSYKALMANGVTVVVKRLREMNAFGRDGFDAELRKLGRLRHPNILPPLAFHFRKDEKLLIYEYISKGSLLYLLHGEKGALRSELNWPARLKIVQGIARGLGYLHTELASCDLPHGNLKSSNILLNPDNEPLLAEFGFSPLANPSVVGQALIAYKAPEVTESGVSPKCDVYCLGLVILEILTGKYPHQYVNNGTGGIDLAQWAETTISEGEECEILDPEIASSSNSLGEMKQLLHIGALCAASNPMQRLELREAIQRIEMIKLESTAA >Manes.06G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26528157:26529591:-1 gene:Manes.06G137300.v8.1 transcript:Manes.06G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWSAENATNAYLKTLRMGQKAKEPDVAEFISALAAGNNAQLMVVACASSATSTVLALVAAAHQTGGRVICILRDHRELQISRHVLGLEACHIEFVVGEAQNLLLTNYSEADFVLIDCNLENHERILGEVKASRKKNEAIVVGYNAFTKGSWWSTGSKTQLLPIGEGLLVTRIPASAKIGKRSSHWVVKVDKCTGEEHVFRVRFPQGKQIKA >Manes.05G130800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23330865:23337809:-1 gene:Manes.05G130800.v8.1 transcript:Manes.05G130800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRILSTGAMLGTRADSAMDFDCMDKLLLEGCWLETIDGSEFFNPSPFSSAAFVDASFQWPTSEINNCDLASSPSKRSNREEEQISLLPRNSALNEAHGRSLINAEALDHGVGSADRLGYNTTEGSEVSRRWWIGPRTNPGPKTSVRDRLIRALGYIREFTKDKDVLIQIWVPVDKGGRRVLTTHDQRFALVPNCQRLANYRDISTNYQFSVEEDSKDMVGLPGRVFLGKVPEWTPDVQFFRSDEYPRVDHAQQYDVRGTLALPVFEQGSSTCLGVIEVVTTKQKIKYHPELESVCMALKAVDLQSSEVPSLQHVKMCDMSYQAVLPEIHEVLRSACETHKLPLAQTWVPCIQQGKGGCRHSDNNYYRCVSTIDLACYVHDTGVQAFHEACSEHHLLKGQGVAGEAFLTNQPCFSSDITSYRKTEYPLSHHARMFGLHAAVAIRLRSLHSGAADFVLEFFLPAYCTDPEKQKEMLTSLSITVQQVCQSLRVVTDKELEEETGCPISELLASSVDTPPKEEMLVTQPYSVSYGGESLSHADRLTGIQQSGSVVSSCQKKKQKVLEDQISVDCWQNQEDYSLKVSVESGGDSAVAEGSFSSVFKGKKGEKRRTKAEKSITLQVLQRYFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGSFQIGSFYTNFPELASPNLSRSNLFSTLKQSDHPEPSSIQSERVIFSSQAATPKSPSSSCSQSSNSSHCISSGTQLHASAVTTPTSEDPVLGENSDNCVLKRARSDAELRASIQEQNLLPRSQSQKSLGEQPNLGNLLPLPNNSSSILRDFDTQRVKVTYGNEKIRFRMPNNWELKDLLQEIARRFNIDDIHKYELKYLDDESEWVLLTCDDDLEECIDVCQSSQTHTIKFLLQVSPHLFDRSMNSRGLS >Manes.05G130800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23330865:23337866:-1 gene:Manes.05G130800.v8.1 transcript:Manes.05G130800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRILSTGAMLGTRADSAMDFDCMDKLLLEGCWLETIDGSEFFNPSPFSSAAFVDASFQWPTSEINNCDLASSPSKRSNREEEQISLLPRNSALNEAHGRSLINAEALDHGVGSADRLGYNTTEGSEVSRRWWIGPRTNPGPKTSVRDRLIRALGYIREFTKDKDVLIQIWVPVDKGGRRVLTTHDQRFALVPNCQRLANYRDISTNYQFSVEEDSKDMVGLPGRVFLGKVPEWTPDVQFFRSDEYPRVDHAQQYDVRGTLALPVFEQGSSTCLGVIEVVTTKQKIKYHPELESVCMALKAVDLQSSEVPSLQHVKMCDMSYQAVLPEIHEVLRSACETHKLPLAQTWVPCIQQGKGGCRHSDNNYYRCVSTIDLACYVHDTGVQAFHEACSEHHLLKGQGVAGEAFLTNQPCFSSDITSYRKTEYPLSHHARMFGLHAAVAIRLRSLHSGAADFVLEFFLPAYCTDPEKQKEMLTSLSITVQQVCQSLRVVTDKELEEETGCPISELLASSVDTPPKEEMLVTQPYSVSYGGESLSHADRLTGIQQSGSVVSSCQKKKQKVLEDQISVDCWQNQEDYSLKVSVESGGDSAVAEGSFSSVFKGKKGEKRRTKAEKSITLQVLQRYFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGSFQIGSFYTNFPELASPNLSRSNLFSTLKQSDHPEPSSIQSERVIFSSQAATPKSPSSSCSQSSNSSHCISSGTQLHASAVTTPTSEDPVLGENSDNCVLKRARSDAELRASIQEQNLLPRSQSQKSLGEQPNLGNLLPLPNNSSSILRDFDTQRVKVTYGNEKIRFRMPNNWELKDLLQEIARRFNIDDIHKYELKYLDDESEWVLLTCDDDLEECIDVCQSSQTHTIKFLLQVSPHLFDRSMNSRGLS >Manes.05G130800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23330863:23337870:-1 gene:Manes.05G130800.v8.1 transcript:Manes.05G130800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRADSAMDFDCMDKLLLEGCWLETIDGSEFFNPSPFSSAAFVDASFQWPTSEINNCDLASSPSKRSNREEEQISLLPRNSALNEAHGRSLINAEALDHGVGSADRLGYNTTEGSEVSRRWWIGPRTNPGPKTSVRDRLIRALGYIREFTKDKDVLIQIWVPVDKGGRRVLTTHDQRFALVPNCQRLANYRDISTNYQFSVEEDSKDMVGLPGRVFLGKVPEWTPDVQFFRSDEYPRVDHAQQYDVRGTLALPVFEQGSSTCLGVIEVVTTKQKIKYHPELESVCMALKAVDLQSSEVPSLQHVKMCDMSYQAVLPEIHEVLRSACETHKLPLAQTWVPCIQQGKGGCRHSDNNYYRCVSTIDLACYVHDTGVQAFHEACSEHHLLKGQGVAGEAFLTNQPCFSSDITSYRKTEYPLSHHARMFGLHAAVAIRLRSLHSGAADFVLEFFLPAYCTDPEKQKEMLTSLSITVQQVCQSLRVVTDKELEEETGCPISELLASSVDTPPKEEMLVTQPYSVSYGGESLSHADRLTGIQQSGSVVSSCQKKKQKVLEDQISVDCWQNQEDYSLKVSVESGGDSAVAEGSFSSVFKGKKGEKRRTKAEKSITLQVLQRYFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGSFQIGSFYTNFPELASPNLSRSNLFSTLKQSDHPEPSSIQSERVIFSSQAATPKSPSSSCSQSSNSSHCISSGTQLHASAVTTPTSEDPVLGENSDNCVLKRARSDAELRASIQEQNLLPRSQSQKSLGEQPNLGNLLPLPNNSSSILRDFDTQRVKVTYGNEKIRFRMPNNWELKDLLQEIARRFNIDDIHKYELKYLDDESEWVLLTCDDDLEECIDVCQSSQTHTIKFLLQVSPHLFDRSMNSRGLS >Manes.05G130800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23330865:23337870:-1 gene:Manes.05G130800.v8.1 transcript:Manes.05G130800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRADSAMDFDCMDKLLLEGCWLETIDGSEFFNPSPFSSAAFVDASFQWPTSEINNCDLASSPSKRSNREEEQISLLPRNSALNEAHGRSLINAEALDHGVGSADRLGYNTTEGSEVSRRWWIGPRTNPGPKTSVRDRLIRALGYIREFTKDKDVLIQIWVPVDKGGRRVLTTHDQRFALVPNCQRLANYRDISTNYQFSVEEDSKDMVGLPGRVFLGKVPEWTPDVQFFRSDEYPRVDHAQQYDVRGTLALPVFEQGSSTCLGVIEVVTTKQKIKYHPELESVCMALKAVDLQSSEVPSLQHVKMCDMSYQAVLPEIHEVLRSACETHKLPLAQTWVPCIQQGKGGCRHSDNNYYRCVSTIDLACYVHDTGVQAFHEACSEHHLLKGQGVAGEAFLTNQPCFSSDITSYRKTEYPLSHHARMFGLHAAVAIRLRSLHSGAADFVLEFFLPAYCTDPEKQKEMLTSLSITVQQVCQSLRVVTDKELEEETGCPISELLASSVDTPPKEEMLVTQPYSVSYGGESLSHADRLTGIQQSGSVVSSCQKKKQKVLEDQISVDCWQNQEDYSLKVSVESGGDSAVAEGSFSSVFKGKKGEKRRTKAEKSITLQVLQRYFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKLQLVIDSVQGASGSFQIGSFYTNFPELASPNLSRSNLFSTLKQSDHPEPSSIQSERVIFSSQAATPKSPSSSCSQSSNSSHCISSGTQLHASAVTTPTSEDPVLGENSDNCVLKRARSDAELRASIQEQNLLPRSQSQKSLGEQPNLGNLLPLPNNSSSILRDFDTQRVKVTYGNEKIRFRMPNNWELKDLLQEIARRFNIDDIHKYELKYLDDESEWVLLTCDDDLEECIDVCQSSQTHTIKFLLQVSPHLFDRSMNSRGLS >Manes.06G031700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8341808:8350544:1 gene:Manes.06G031700.v8.1 transcript:Manes.06G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSITGGSVHEGKLLVHLAENGHSFELDCDETTPVEGVMRYIESVAGINFNEQLVLCLDMKLESQRPLSAYKLPSSDRDVFIFNRARLQINSPPPPPEQVDVLEVADPPSPACPHDPHPLDDALDPALKALPSYERQFRYHYHRGHAIYSRTHMKYEHCERLLREQKVQERAVEVARGNLEQYYRMISQNYSEFMKRYTPQHRMHSELLMNYRRDLENLRSIKLHPGLQTTTRKCLVDFVKEDNLRKAVENCSNSHRQFEKKVLEFKQMFSEVKSKVEELFACRTLIPFRNLELTIKEHQRFINEQKSIMQSLSKDVNTVKKLVDDCLSCQLSSSLRPHDAVSALGPMYDVHDKNHLPKMEACDRSITKLLEFCKDKKNEMNNFVHNYMQKITYVSYIIKDAKFQFPVFREAMMRQDDLFTELKLVRGIGPAYRACLAEVVRRKASMKLYMGMAGQLAERLATKRETEVRRREEFRKAHTSYIPRDILDSMGLYDVPSQCDVNIAPFDTNLLNIDISDLDRYAPEYLVGLPVKSEKHASLKGSFSMSNDSSQSAEVEEIVADALDKDYSEELLEGSELVEIAGTSKLEVENTKLKAELASAKALICSLSLEVEYESVDDDKVESLLKNAAEKTVEALQLKDEHEKHLQSMLKAKQLQCLSYEKRIQELEQRLSDQFLQGQKLSSSNIASDFDLPAAKAFDSKPQILSDVQALVPCISTSEPMDEVSCISSSLDAKLGLFTRQPSKGREGGDENMMDSSGMLNTQLDSLMMEPHREELQVSDKDGKDRNLGQLGMSLANSSTAESMPEAVNVIPTDAAIEPKIAGDLILELESALGEKSNQLSETESKLKAAMEDVALLTRELETSRKLLDESQMNCADLENCLHEAREAAQTHLCAADRRASEYSALRASAVKMRGLFERLRSCICGPGGVAGFADSLRALAQSLGNSINDNEDDGNAEFQKCIRVLADRVGFLSRHREELLDKYPKLEAANEQLRKELEEKKELVTTLYKKHQLEKQANKERISFGRLEVHEIAAFVLNSAGHYEAINRNCSNYYLSSESVALFTDHLPSRPSYIVGQIVHIERQIVKPLPSSSPRPEHGKTDPVDHLTCDTGTDQLTLNLGSTSNPFSLPIGCEYFVVTVAMLPDTTIRSAPPS >Manes.09G169500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36154972:36155343:-1 gene:Manes.09G169500.v8.1 transcript:Manes.09G169500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVCIASTIIGLSASFILFLPNLKRWQKQQIATKKLKIINEALAHAEERAMRYQQRHDHILTQICSHYLSHQDLEEALAAARAAMNEALRFVVRLREMQIKILINFPDEANISVLDIS >Manes.10G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28512921:28515137:-1 gene:Manes.10G120300.v8.1 transcript:Manes.10G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSKEPCKKEACDIQACLSKNNFLPQKCLKVIEKLQTCCEKCEYKSTHCGSLSGLLKQISK >Manes.15G161100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13380354:13382362:1 gene:Manes.15G161100.v8.1 transcript:Manes.15G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSRIKMELIRKESTRMNTFQKRKKSLLKKISEFSILCGVEACLIIFGPKQKDEQVIKLEATWPSNPDEIKRIINKYKKTDQARRCYQVSDYFADKKKKVDMEISKLHKQIYEVPLWDVRLDGFLEDQLQVLIAQLDKKIEVADRKLDRFQENQILMDEFATRMLYSSQIMENYMNRSNSVRNFSNFHHLFSDVMPLPVNCFLPGQSSYMIPSNSNLQIFSDYQTSMSIKLMDRNSYSSNLANLQLQPFSDPKPLGVQLQMHSQQIQSSPGTATSPNFLEDLTTMAKDQYTGNQYGVRTNSNVPSFPSLSYLNPSPLMWDNVTFNNADASSGNIGSSLQTILPRMQLPMSSFSDQMSHCQEDNFSSNIGSGKIERIWK >Manes.02G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10872087:10875899:-1 gene:Manes.02G141500.v8.1 transcript:Manes.02G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVTEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLHDTFSAFGVIVTNPKIMRDPDTGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPSSQKSRPHTLFASGPPTLPSMPQANGTVGAPVPPRPFANGAVAPAPIPALRPPPPPNAAFPPMQVAGQPAWQGQPPQPGQTMAPLAIPPPPMQFRPPPPNMPPPQAGQLLQRPPQQMGMGMQPQMWRQPPPPQQLVGGAPMQQMMMHPPPPHNIPPPPPPSS >Manes.17G091200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29938884:29945329:-1 gene:Manes.17G091200.v8.1 transcript:Manes.17G091200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDTTSGGTFALYSLLCRNINIGILSSKHVKSNASFSISALQGGTENKCRLGKFFESSIVSRRLLLFIAMLGTCMLIGDGILTPAISVLSAMDGIRAPFPAVKKSLVEALSAIVLVVLFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIRHYPSIFKAISPHYIVNYFWRNGKNGWLSLGGTILCITGSEAMFADLGHFNRTSIQLAFLFTIYPSLVLTYAGQTAYLIRNPNDHKDGFYKFIPGTIYWPIFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSKKEGEVYSPEVNYILMILCVAVILIFGDGQDIGNAFGVVVSLVMLITTLLLTLVMIIIWRTPPLLVALYFFVFFTMEGVYVSAVLTKIPEGGWIPFAISFFLAFIMFGWFYGRQRKIDYELTHKIDMERLGLLLSTPGVQRVPGLCFFYTNIQDGLTPMLGHYVKNMKSLHKVTIFTTLRYLLVPKVATHERIVINKLGLKGIYGCVIQYGYADFLNLKGDDFVSEVTNSLREHIQNCRISLPSDPQEVHEEISAFEEAKLAGVVHIRGKTRFYIGDNCSWFDRMMLAFYEVLHSNCRSALPALGVPPTQRIEVGMLYEA >Manes.17G091200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29938884:29945329:-1 gene:Manes.17G091200.v8.1 transcript:Manes.17G091200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTCMLIGDGILTPAISVLSAMDGIRAPFPAVKKSLVEALSAIVLVVLFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIRHYPSIFKAISPHYIVNYFWRNGKNGWLSLGGTILCITGSEAMFADLGHFNRTSIQLAFLFTIYPSLVLTYAGQTAYLIRNPNDHKDGFYKFIPGTIYWPIFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSKKEGEVYSPEVNYILMILCVAVILIFGDGQDIGNAFGVVVSLVMLITTLLLTLVMIIIWRTPPLLVALYFFVFFTMEGVYVSAVLTKIPEGGWIPFAISFFLAFIMFGWFYGRQRKIDYELTHKIDMERLGLLLSTPGVQRVPGLCFFYTNIQDGLTPMLGHYVKNMKSLHKVTIFTTLRYLLVPKVATHERIVINKLGLKGIYGCVIQYGYADFLNLKGDDFVSEVTNSLREHIQNCRISLPSDPQEVHEEISAFEEAKLAGVVHIRGKTRFYIGDNCSWFDRMMLAFYEVLHSNCRSALPALGVPPTQRIEVGMLYEA >Manes.17G091200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29938884:29945329:-1 gene:Manes.17G091200.v8.1 transcript:Manes.17G091200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDTTSGGTFALYSLLCRNINIGILSSKHVKSNASFSISALQGGTENKCRLGKFFESSIVSRRLLLFIAMLGTCMLIGDGILTPAISVLSAMDGIRAPFPAVKKSLVEALSAIVLVVLFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIRHYPSIFKAISPHYIVNYFWRNGKNGWLSLGGTILCITGSEAMFADLGHFNRTSIQLAFLFTIYPSLVLTYAGQTAYLIRNPNDHKDGFYKFIPGTIYWPIFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSKKEGEVYSPEVNYILMILCVAVILIFGDGQDIGNAFGVVVSLVMLITTLLLTLVMIIIWRTPPLLVALYFFVFFTMEGVYVSAVLTKIPEGGWIPFAISFFLAFIMFGWFYGRQRKIDYELTHKIDMERLGLLLSTPGVQRVPGLCFFYTNIQDGLTPMLGHYVKNMKSLHKVTIFTTLRYLLVPKVATHERIVINKLGLKGIYGCVIQYGYADFLNLKGDDFVSEVTNSLREHIQNCRISLPSDPQEVHEEISAFEEAKLAGVVHIRGKTRFYIGDNCSWFDRMMLAFYEVLHSNCRSALPALGVPPTQRIEVGMLYEA >Manes.17G091200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29938884:29945329:-1 gene:Manes.17G091200.v8.1 transcript:Manes.17G091200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVELGAATGSPATMNEVVVDSTSTGFTQRGYQYQPKESGRWGTLVLAYKTLGVVFGGLVTSPLYVYPSMPLNSPTEEDYLGVYSIMFWTLTLIGVVKYSGIALKADDHGEGGTFALYSLLCRNINIGILSSKHVKSNASFSISALQGGTENKCRLGKFFESSIVSRRLLLFIAMLGTCMLIGDGILTPAISVLSAMDGIRAPFPAVKKSLVEALSAIVLVVLFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIRHYPSIFKAISPHYIVNYFWRNGKNGWLSLGGTILCITGSEAMFADLGHFNRTSIQLAFLFTIYPSLVLTYAGQTAYLIRNPNDHKDGFYKFIPGTIYWPIFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSKKEGEVYSPEVNYILMILCVAVILIFGDGQDIGNAFGVVVSLVMLITTLLLTLVMIIIWRTPPLLVALYFFVFFTMEGVYVSAVLTKIPEGGWIPFAISFFLAFIMFGWFYGRQRKIDYELTHKIDMERLGLLLSTPGVQRVPGLCFFYTNIQDGLTPMLGHYVKNMKSLHKVTIFTTLRYLLVPKVATHERIVINKLGLKGIYGCVIQYGYADFLNLKGDDFVSEVTNSLREHIQNCRISLPSDPQEVHEEISAFEEAKLAGVVHIRGKTRFYIGDNCSWFDRMMLAFYEVLHSNCRSALPALGVPPTQRIEVGMLYEA >Manes.17G091200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29938884:29944316:-1 gene:Manes.17G091200.v8.1 transcript:Manes.17G091200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDTTSGGTFALYSLLCRNINIGILSSKHVKSNASFSISALQGGTENKCRLGKFFESSIVSRRLLLFIAMLGTCMLIGDGILTPAISVLSAMDGIRAPFPAVKKSLVEALSAIVLVVLFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIRHYPSIFKAISPHYIVNYFWRNGKNGWLSLGGTILCITGSEAMFADLGHFNRTSIQLAFLFTIYPSLVLTYAGQTAYLIRNPNDHKDGFYKFIPGTIYWPIFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSKKEGEVYSPEVNYILMILCVAVILIFGDGQDIGNAFGVVVSLVMLITTLLLTLVMIIIWRTPPLLVALYFFVFFTMEGVYVSAVLTKIPEGGWIPFAISFFLAFIMFGWFYGRQRKIDYELTHKIDMERLGLLLSTPGVQRVPGLCFFYTNIQDGLTPMLGHYVKNMKSLHKVTIFTTLRYLLVPKVATHERIVINKLGLKGIYGCVIQYGYADFLNLKGDDFVSEVTNSLREHIQNCRISLPSDPQEVHEEISAFEEAKLAGVVHIRGKTRFYIGDNCSWFDRMMLAFYEVLHSNCRSALPALGVPPTQRIEVGMLYEA >Manes.17G091200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29938884:29945329:-1 gene:Manes.17G091200.v8.1 transcript:Manes.17G091200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVELGAATGSPATMNEVVVDSTSTGFTQRGYQYQPKQESGRWGTLVLAYKTLGVVFGGLVTSPLYVYPSMPLNSPTEEDYLGVYSIMFWTLTLIGVVKYSGIALKADDHGEGGTFALYSLLCRNINIGILSSKHVKSNASFSISALQGGTENKCRLGKFFESSIVSRRLLLFIAMLGTCMLIGDGILTPAISVLSAMDGIRAPFPAVKKSLVEALSAIVLVVLFLLQKFGTSRVSFLFSPIMGAWTLCTPLVGIYSIIRHYPSIFKAISPHYIVNYFWRNGKNGWLSLGGTILCITGSEAMFADLGHFNRTSIQLAFLFTIYPSLVLTYAGQTAYLIRNPNDHKDGFYKFIPGTIYWPIFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSKKEGEVYSPEVNYILMILCVAVILIFGDGQDIGNAFGVVVSLVMLITTLLLTLVMIIIWRTPPLLVALYFFVFFTMEGVYVSAVLTKIPEGGWIPFAISFFLAFIMFGWFYGRQRKIDYELTHKIDMERLGLLLSTPGVQRVPGLCFFYTNIQDGLTPMLGHYVKNMKSLHKVTIFTTLRYLLVPKVATHERIVINKLGLKGIYGCVIQYGYADFLNLKGDDFVSEVTNSLREHIQNCRISLPSDPQEVHEEISAFEEAKLAGVVHIRGKTRFYIGDNCSWFDRMMLAFYEVLHSNCRSALPALGVPPTQRIEVGMLYEA >Manes.02G005501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:628707:628871:1 gene:Manes.02G005501.v8.1 transcript:Manes.02G005501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTSKSKKAATSPKRETEVQQKKRGMKGTRARLYIIRRCITMLLCWKKHEDQ >Manes.06G026480.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5846261:5847542:1 gene:Manes.06G026480.v8.1 transcript:Manes.06G026480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFILIVFFLIRSPSSASSSSSTFPTDSIILNQRSSLSVERPGHVLTSPNAIFTAGFYPVGDNAYSFAIWFTEPSCSNSCTVVWMANRDLPVNGRNSKLSLLKNGNLILTDAGKSVVWESNTFSLSSSYLQLYDTGNLVLITSRERVILWQSFDSPTDTLLPLQPLTRDSLLVSSRSLTNFSSGFYKLSFHDDNVLRLVYDGPEFSSAFWPDSRLLSWEAGRSSYNSTRIALLDSFGKFTSSDNFSFFSADYGQQLQRRLTLDFDGNLRLYSRENRNGSWVISVQVFSQPCKIHGACGPNSVCKCVPSFGRKCSCLPGYKIKNPADLSLGCEPEIMVSSVETEATFIGLPNVEM >Manes.17G108100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31488460:31494667:1 gene:Manes.17G108100.v8.1 transcript:Manes.17G108100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPFSYAAGFPNEANQGDPVYALGQSISFGKFVSESLAWKKCSTFSHNRYVEEAKRFSRPGSVAQKKAFFEAHFKNLAARKAAALLEQANAAANNVPESAQEGGVQENAAQDDNGHNSHVEMEKSESRNVEEVDPGNDEKMKETELSRRKLMEKPSLKDLISNGDHLDSRSNNKPVVYSSELLFSGTASKLPCTPAKAAASVIARKDSNATPIRKKSAIDFMGKKKSTPKSTYRSMNFTPVREINRITSAIIRKIDCSKVTSDSKALKDCPTPPRTPTTVPVIRELEHPLASPLSENKRATTPLHPSASGSKTVRSKWLFLPTDCSKFMSACRNKSPSPNLSTPFSLRTEERAARRKERLEEKFNANQAQTVQLQATLKEKAETELKRLRQTLCFKARPLPEFYRERATTKNQVEKSPNIGRTSTSNPSMAQSTSQPHNRSSFKNGRSKHAMGKKSENSLSLASRFRSITRENTSQIFSILDDEKFKAW >Manes.17G108100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31488460:31496115:1 gene:Manes.17G108100.v8.1 transcript:Manes.17G108100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPFSYAAGFPNEANQGDPVYALGQSISFGKFVSESLAWKKCSTFSHNRYVEEAKRFSRPGSVAQKKAFFEAHFKNLAARKAAALLEQANAAANNVPESAQEGGVQENAAQDDNGHNSHVEMEKSESRNVEEVDPGNDEKMKETELSRRKLMEKPSLKDLISNGDHLDSRSNNKPVVYSSELLFSGTASKLPCTPAKAAASVIARKDSNATPIRKKSAIDFMGKKKSTPKSTYRSMNFTPVREINRITSAIIRKIDCSKVTSDSKALKDCPTPPRTPTTVPVIRELEHPLASPLSENKRATTPLHPSASGSKTVRSKWLFLPTDCSKFMSACRNKSPSPNLSTPFSLRTEERAARRKERLEEKFNANQAQTVQLQATLKEKAETELKRLRQTLCFKARPLPEFYRERATTKNQVEKSPNIGRTSTSNPSMAQSTSQPHNRSSFKNGRSKHAMGKKSENSLSLASRFRSITRENTSQIFSILDDEKFKAW >Manes.15G047200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3630566:3635018:-1 gene:Manes.15G047200.v8.1 transcript:Manes.15G047200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSPHPQRILSLPVLSMPSLLSKSFIFFNRKSPFPLAHHTMAKARLRGVVFDMDGTLTVPVIDFASMYKAVLGDEEYRRIKAENPSGIDILHHIEKWSPDKQRRAYEVILDFERQGLDRLQTMPGAVELCGFLDSKKIKRGLITRNVKEAVDLFHLRSGMMFSPALSREFRPYKPDPAPLLHICSTWDFQPNEVMMVGDSLKDDVTCGKRAGAFTCLLDEKGRYSSADFANLDVEPDFKVSSLSEVHSLLEANFDLMG >Manes.16G103800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30875774:30880639:-1 gene:Manes.16G103800.v8.1 transcript:Manes.16G103800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNDFASVDLEKIYLGGKEYHVRTGRGSVSVIVYGYQDKPALITYPDLALNHMSCFQGLFFCPEAASMLLHNFCIYHISPPGHELGAAPICPRDPVPSVDDLADQIVEVLNFFGLGAVMCMGVTAGAYVLTLFAMKYRERVVGLILVSPLCKAPSWTEWLYNKVMSNLLYFYGMCGLVEEFLLQRYFSKEVRGTAEVADSDIVQACRKLLEERQSTNILRFLQAINRRPDLSSELKRLRCRTLVFVGDNSPFHSEALHMTSKLDRRFSALVEVRFTVYKKCSA >Manes.16G103800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30875775:30880639:-1 gene:Manes.16G103800.v8.1 transcript:Manes.16G103800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNDFASVDLEKIYLGGKEYHVRTGRGSVSVIVYGYQDKPALITYPDLALNHMSCFQGLFFCPEAASMLLHNFCIYHISPPGHELGAAPICPRDPVPSVDDLADQIVEVLNFFGLGAVMCMGVTAGAYVLTLFAMKYRERVVGLILVSPLCKAPSWTEWLYNKVMSNLLYFYGMCGLVEEFLLQRYFSKEVRGTAEVADSDIVQACRKLLEERQSTNILRFLQAINRRPDLSSELKRLRCRTLVFVGDNSPFHSEALHMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRQSSGSPRSPLSPACISPELLSPESMGLKLKPIKTRVSS >Manes.11G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6042146:6049797:-1 gene:Manes.11G050000.v8.1 transcript:Manes.11G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDLYRARSSFRRSSPLTRRNSGLEIFAPSFREEDDEESLKWAALEKLPTYERLRKGILTTMTGGVSEIDVHNIGSQERKNFLERLVKVADKDNEKFLLKLKNRIDRVGIDIPTIEVRFEHLTVEAEAYEGSRALPTFFNYYINMLEGLLNNFHILSSRKKRLHILKDVSGIIKPSRMTLLLGPPSSGKTTILLALAGKLDPTLKVSGRVTYNGHHLHEFIPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRYDLLAELSRREIAENIKPDSDIDVFMKAAATEGQEVNVMTDYILKVLGLEVCADTMVGDEMLRGISGGQRKRVTTGEMLVGPSLALFMDEISTGLDSSTTYQIVESLRQYVQILKGTALISLLQPAPETYDLFDDIILLSDGLIVYQGPREQVLQFFEFMGFQCPERKGVADFLQEVTSRKDQMQYWARKDEPYRFITAKEFSEAYKSFHVGRGLREELATPFEKANSHPAALTTKKYGVNKKELFKACCSREFLLMKRNSFFYVFKLCQLTITTLIAMALFFRTEMHRDSVTDGGIYVGALFFIVLVVLFNGMAEISMTIAKLPVFYKQRDLCFYPAWAYALPTWILKIPITFIEIGISVFMTYYVIGFDPNVGRLFRHYLVLLLVNQMASGLFRSIAAVGRNMIVANTFGSFVLLLLFVSGGVVLSRDNIKKWWMWSYWTSPMMYGQNAIVVNEFLGHSWSHVLPKSIEPLGIQVLKSRGFFTEAYWYWLGAGALCGFTIVFNLLYTVALTFLSEYSKPQAVTSKEPQDNGTGRMEDGVRLGYHGNSSNQQTSTVSRDEIIREKSSRSSQNNRKGMVLPFEPHSITFDEIVYSVDMPQAMKNEGVHEDKLVLLNSVSGSFRPSVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSITISGYPKKQETFARISGYCEQNDIHSPHITVYESLLFSAWLRLPCEVETREMFIKEVMELVELNTLGQALVGLPGVNGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSCHLINYFEGIEGVEKIKDGYNPATWMLEVTSTTQEMALGVDFADIYRNSELYRRNKALIKDLSKSAPGSKDLYFPNQYSLSFFGQYLACLWKQHLSYWRNPPYTAIRFLFTAFIGLIFGTMFWDLGSKMKKQQDLFNAVGSMYAAVLFLGFIYASAVQPVVSVERTVFYRERAAGMYSALPYAFGQIVVELPYVFMQAAVYGVTVYAMIGFEWNASKFFWYLYFTYFSLLYFTFYGMMAVGVSPNHQISSIISFAFFIIWNLFSGFIIPRTMMPAWCSWYYWLNPVSWTLYGLITSQFGDIKETLETGETVEHFTRHYFGFRHDFLGLVAAMVFVFVILFALSFAVSLKVFNFQKR >Manes.11G086100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12747642:12750477:1 gene:Manes.11G086100.v8.1 transcript:Manes.11G086100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKRVYFLFLCLIGFISPPVLAAVKQYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTIYVREGDRVLVNVTNHAQYNMSIHWHGLKQFRNGWADGPAYITQCPIKTRQIYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKPGTPFPFPQPHLEEVIILGEWWNNDVEELVKQGNKLGLPPNASDAHTINGKPGPLFPCSEKYTFAMEVEQGKTYLLRIINAALNDELFFAIAGHNMTVVEIDAVYTKPFTTQALLIAPGQTTNVLVRATQLPGRYFMAARPFMDVPLSIDNKTATAILQYKGISNSILPILPRLPAPNDTAYALSYNSKLRSLNTPQFPANVPLKVDRHLFYTIGLGMNPCPSCLNGTQLTASLNNITFVMPEIGLLQAHYFNNKGVFRTDFPDNPPTPFNYTGVPLTANLGTTSGTRVSKIAYNSTVQLVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPKKDPAKFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVENGKGPDQSILPPPKDLPPC >Manes.01G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6083662:6091509:-1 gene:Manes.01G030700.v8.1 transcript:Manes.01G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQCESYFSGFFSMRDLNEDSNSCSWPLYYGDRAFANGYYNGFLPRAVADIYPGYDKDVVKQTMLEHEAIFKTQLCELHRLYRIQRDLMDEFKRKEIYKNRMPDEISLSSIPLASQITSEDARKWHIPGFPLGNSVCAGPSTSGIEDMHSPLSSMKGSSAQASPLISQNGVTSKDVEILESRPTKVRRKMFDLQLPADEYIDTEEGEQVRDENACGVSSYLPNRNHKVLPGSITNVLVGTGGKNNCQGDELRSESCLKSKNNLADLNEPIHIEDANQSANGGLGFTSSHCDIQGHELAAKPKSEFIGFPKEILLNSHHGSNNWTASNLHLQNNGNGKLWFPHMLDSGNNKYNLKSVSQALQPEKLPASSQPIQVLLNKTTEPTNLFHTVESQVDQLRERTGRGSELSLRIKEVSNNNHPASVVASHIPSHHAIDPSSDTSNLWSWPVSSWEKLGSSLSQKSMSVQIHPYATLSSISQSSTQSHGAFGDWWNYNSGSASNLRVRSEMPDQNGSYHGSSSGSKELLVRLPSGNCDYLKCSGASNAEHGQFINHGSAKLYKSSNCMDSKPAKDVNLNVVLSNSSSTKMASQRGFQVIDLERNHEDHCVALPWLRTKPNIKAEANSAGVDLNTGGLSDLQSSLNQLSDKSEVGKVPNWTVVQNLKSATCSNVAEAGRIETSDSTSCRKILGVPIFEKPHLSKLESCTLTSPSVSLPQPSEEVENSKKTRVFDINLPCDPAILDCVQQAAAEVRIEKDTETQVANTRHEIDLNSCITEDESALMPSFVESNVRTISGIDLETPAVPETEEDIIPGEGCLEKAHEAPSQLSQQKTESPPDEFVRIAAEAIVSISLTSHCSNQDDVTCTRSETSMTDPLHWFVDVVSAFGEDLESKLAVLGAEEVGRDNEGSSLEDYFESMTLNLIETKEEDYMPKPLVPENLKLEETGKTLLLTRTRKGHARRGRQRRDFQRDILPGLASLSRHEVTEDLQTFGGLMKATGHLWHSGLTRRTTTRSGCGRGRRRAPVNSPPAVIPSPPCTPLIQQLNNVEVGLEDRSLAGWGKTPRRPRRQRCPSGNPSALALT >Manes.09G014500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3246429:3247853:1 gene:Manes.09G014500.v8.1 transcript:Manes.09G014500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIDKAVECFDDMVAHGYQPDVRTYSVIINGLCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIINALCKDELVGEALELFSQMRNKGISPDVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDALCKDGMVAEAQNTFNVMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKPDIVTFSIMIDGLCRQGNLNEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKALFSSLFEIGLQPDVCVYNAIMKGLCQQGLMDEAYKLFKDMEKICNLTNFFKYHF >Manes.15G134300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10823193:10827373:-1 gene:Manes.15G134300.v8.1 transcript:Manes.15G134300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTTAASSAESESHAPLLLPRQEPPSSPPVRPTSLAVLLGRATGRRGPSMLVRETAARELEERRADWGYSKPVVVLDILWNTAFVVVSIVMLILTVNERPNMPVRLWICGYTLQCIVHVVLVWLEYRRRNTTRVRVDESQQATEGGYADSEEEDVSDRGSPSSSRSNITKRCESVNTMASFLWWIVGFYWVVSGGEVLSQRAPHLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYRFQVMGNQEKPNVGAGKMVPIETSSAYLANERILLHEDAECCICLCPYDDGAELHTLPCNHHFHSTCIVKWLKMNATCPLCKYNIVKGNEQV >Manes.13G002614.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:786750:789764:-1 gene:Manes.13G002614.v8.1 transcript:Manes.13G002614.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAIEAVRERVARLESIIGPVDADGVESISTRMDDLHESVQRLETMLKEFSEEMRARVSLLEADVTLVKRTVAEIPGETVSNGKMKVPEPKAFNGARSAKELENFLWDMEQYFAAARIPDVEQVTFTSMYLSGDAKLWWRTRMSDDASAGRPRIDSWERLKKEMKDQFLPCNASWVARDSLKRLKQSGTVRDYVKEFSSLMLDIQNMSEEDKLFNFMSGLQPWAQMELRRQNVKDLPSAFAAADGLVDFKLSKDASLIKGKGKRLKGGKKNGGDYSSEKGKADGQSSESKVKLTALVAEDDHALVVADFRDSSVMNPMHLVNAISEVSSLKGLLYVTIRLTSAEVLAMGDTGEGIPKDKCNDVSKVNSVLSATQVENELRRGEKTFLAALLDVKPDRTGECDASWVAKGDLNLLCMLHIGCLLFELAELRKELNELLEARCIQPSKRKQDGSLRMGVDYRALNKVTIKNKYPMPFIHDLMGRLSGACWFTKLDLWAGNWQCILMLFDKAIEGVQVQEGHPVAFENKEHIDAPDKAIGRVLVQEGHPVAFESRNLNEAQCGFVWGHKPGRHNQVADFLSRKEVVVGVYNTTRVQSDRMDMIRSHGMGMLVTSLNCPREWKLNQLSMLVSLRSFTRMSLNLQGAK >Manes.12G058400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5765148:5774068:1 gene:Manes.12G058400.v8.1 transcript:Manes.12G058400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDESAINWIKQGSDVQEPRIRSPLSPLTEKLCSHDPFPKSPTDPLQKSPLLYNSNNFSSSHLLPPLKFHSGLLTPHSLVAPCLDDNDNESVASVSDYVGGNYSEEEENVGVIDADYLEKPVSHFYEEEEEEEILGNRPTRKLNRGLLKEDLKIVVPDNFRRFTTDCDLGIRKAVLKNSTPVGSCPLRERVQMRNAHAVFDENYGHKTNDFKEAGDLGTPSAPPIMETRGEEKSFVVEAEMQKTGVEVFESREADHFDGSKESLVDRTSQSMPSSEFVARLEKTMAGEKEETMPYWQTSSLDYSTSGQYAWQTLIAYDACIRLCLHAWARGCTEAPEFLLDECLLLRSAFGLHNFLLQPRGVQPIEVKTMNNAEQTFPSKPKKVVGKIRVEVRKLRIIPRRKLKSTYSQRSAIYMQVGKEYARHVSSLVKNGMNSLKLASFSVTSEEQLSCLFQLKSASEDAQLEQGSTISLHPGSGEYHVFFPESEGDALLVEVQDAKQSVQGRATIQISSLNDNLSDRIRWWPLFHDDQECVGKIQLSIGSTITCDENNNIKSGPVVETLAYNLLLEAAMRAQHFHSRNLRLHGPWKWLLTAFADYYGVSDSYTKLRYLSHVMNVATPTKDCLELVYELLVPIIKARGEKSLTRQEKSLLLDCETQIESLLANVFENYKALDENSPTGLADLFGPVQDSAAPALAPAVEVYTFLHDILSQDAQTMLRNYLQRAAKQRCRKHMIETDEFVSNNSEGFLMDSITISTAYLKMKNLCMSIGKEIQADIKIHNQHIFPSSIDLSNITAAVYSTELCNRLRSFLCAWPPSSPQPHVNELLTAIADFERDLDLWNISPVQGGIDSKGLFHSYIMVWVQDMQLNLLDQCKAEKVPWAGVTTNHSTSPFAEEMYEKIKDSLVEYEVVINRWPQYSLILENLGVFLNTIKRILDVLHCRVEDILKSWASYLPVMGDRKSLFGEQMNGITVLLRTKYKNYLQATVEKLVQNMQANRSTRLKRILEEIKEEDGESEVRERMQMLSSQLIDSISNLHEVFTGRIFVAACRGLWDRMGQIVLKFLEGRKENRVWYNGSCYALGILDDTFASEMQRLLGNSLQDKDVEPPRSVIEARSILCRDATNAADTSTYFYV >Manes.12G058400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5765148:5774068:1 gene:Manes.12G058400.v8.1 transcript:Manes.12G058400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDESAINWIKQGSDVQEPRIRSPLSPLTEKLCSHDPFPKSPTDPLQKSPLLYNSNNFSSSHLLPPLKFHSGLLTPHSLVAPCLDDNDNESVASVSDYVGGNYSEEEENVGVIDADYLEKPVSHFYEEEEEEEILGNRPTRKLNRGLLKEDLKIVVPDNFRRFTTDCDLGIRKAVLKNSTPVGSCPLRERVQMRNAHAVFDENYGHKTNDFKEAGDLGTPSAPPIMETRGEEKSFVVEAEMQKTGVEVFESREADHFDGSKESLVDRTSQSMPSSEFVARLEKTMAGEKEETMPYWQTSSLDYSTSGQYAWQTLIAYDACIRLCLHAWARGCTEAPEFLLDECLLLRSAFGLHNFLLQPRGVQPIEVKTMNNAEQTFPSKPKKVVGKIRVEVRKLRIIPRRKLKSTYSQRSAIYMQVGKEYARHVSSLVKNGMNSLKLASFSVTSEEQLSCLFQLKSASEDAQLEQGSTISLHPGSGEYHVFFPESEGDALLVEVQDAKQSVQGRATIQISSLNDNLSDRIRWWPLFHDDQECVGKIQLSIGSTITCDENNNIKSGPVVETLAYNLLLEAAMRAQHFHSRNLRLHGPWKWLLTAFADYYGVSDSYTKLRYLSHVMNVATPTKDCLELVYELLVPIIKARGEKSLTRQEKSLLLDCETQIESLLANVFENYKALDENSPTGLADLFGPVQDSAAPALAPAVEVYTFLHDILSQDAQTMLRNYLQRAAKQRCRKHMIETDEFVSNNSEGFLMDSITISTAYLKMKNLCMSIGKEIQADIKIHNQHIFPSSIDLSNITAAVYSTELCNRLRSFLCAWPPSSPQPHVNELLTAIADFERDLDLWNISPVQGGIDSKGLFHSYIMVWVQDMQLNLLDQCKAEKVPWAGVTTNHSTSPFAEEMYEKIKDSLVEYEVVINRWPQYSLILENAVADAERAIIKALERQYNDILTPLKDSIPKRLNMHVQKLTRRQSTALYSVPNQLGVFLNTIKRILDVLHCRVEDILKSWASYLPVMGDRKSLFGEQMNGITVLLRTKYKNYLQATVEKLVQNMQANRSTRLKRILEEIKEEDGESEVRERMQMLSSQLIDSISNLHEVFTGRIFVAACRGLWDRMGQIVLKFLEGRKENRVWYNGSCYALGILDDTFASEMQRLLGNSLQDKDVEPPRSVIEARSILCRDATNAADTSTYFYV >Manes.08G003000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:603516:612575:1 gene:Manes.08G003000.v8.1 transcript:Manes.08G003000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGQKRVEMMDELPADKRACNNSLEFRPSSSNSSIQTQINSTNSTSETNDADMDTSSTGSASSRSEEEEHERDSAYGSCDSDDAVPKHGSLRIYQRQRSFGDHGRLRTALSNLSEGTEPSGQIAALTELCEVLSFCSEDSLSSMMADSLSPVLVQLARHESNPDIMLLAIRALTYLCDVFPRASGFLVRHDAVAVLCQRLMAIEYLDVAEQCLQALEKMSREQPLACLQAGAIMAVLGFIDFLSTSVQRVALSTVVNICKKLPTDCPTSFMEAVPILCNLLQYEDQQLVENVVICLMKIAERVSHSSEMLDELCKHGIINQATHLIHLNSRTTLSQPIYNGLIGLLVRLSSGSVVAFRTLYELNISCTLKDILANYDISHGISSLHAVDGQSNQVHEVLKLLNELLPPVVKDQDIQQVVSDKELFLVNHPDLLHKFGSDILPMLIQVVNSGANLYVCYGCVSVIQKLIYFSKSDMLVELLENASIPSFLAGVFTRKDHHVLILALQIAEIILQRLSGVFLNPFIKEGVFFAIDALMLPEKCAWSMFPVFNGIQLPSDSSQKSVSKVVLRCLCYAFDNGQSPITSEAGTCKLEKDSVQSLAKHIKTTYFAPQLCNSENGLTDILQNLRALSASVTDLINMPISFNTSTQDEENFNRLLHQFMAKLNGREPVSTFEFIESGIVKSLVNYISSGQYLREKVNLHSASFDYYVIEKRFEVLARLFSPYSSFAEELPVSLLVRKLQSALSSLENFPVILSHSSKQRNWFAAVPNGRCMPHPCLRVRFVRGEGEMCLSDYSNDAVTVDPFSSLDSIEGFLLPKVRIERTKQIETAALAMDPMESVQLQSNVNPGAGRGESSDHMEPDSTSTDLTEIQENEAKLSVSRLEETENLQQGNPGETTSSNDSHLGSLEKLVQYPSGADITRKSHHSSSSSNSDAFSKLLFYLEGKELDRTLTLYQAILQQKIKADEEITMGTKLWSQVYELTYRTAVEPKDDNPQEFHMSARDSSTLDKVGAYMQHTSFFTSIFNCDLASDLDKSSPTYDVLFLLKSLEGLNRFTLHLMYSERIRSFAEGLVDNLDNLKVVVHSVPQNEFVSSKLTEKLEQQMRDSLALSVGGMPLWCNQLMASCPFLFSFEARCKYFRLSAFGTQLVQPQTLSNNNSEVSRDRRSSPGSLVRKKFVVWRDRILESAAQMMDFYANVRDPIEVVYNGEVGSGLGPTLEFYTLVSHEFQKSGLGMWREDYSSFVDRKIFQTEDSGSLTSPFGLFPRPWPSSLDSSDEIQFSEVIKKFFLLGQVVAKALQDGRVLDLPFSKAFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRRKFLKSALEENSCNTLEVCFRNSRIEDLSLDFTLPGYPDYMLHQEHEMVNMDNLEEYVSLVVDATVYAGISRQVEAFKSGFNKVFPIKYLQIFTEEELDRLLCGEHDIWAFNELLDHIKFDHGYAASSPPIINLLEIMQEFNQEQRRAFLQFVTGAPRLPPGGLASLSPKLTIVRKHCSNCVDADLPSVMTCANYLKLPPYSSKDKMREKLLYAITEGQGSFHLS >Manes.06G003150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:690467:696541:-1 gene:Manes.06G003150.v8.1 transcript:Manes.06G003150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQSLGVVYGRLSTAPLYVFGTIPTEDFQSDSAFEYFSFIFWTLTAISLLKYAFLVLRADDNGEGGTFALYSLLCRHAKVGLLPDDRSTKEVMHHERGSPLRIKAESRARRAIEKYRSSHYLLLFSALFGACLVIGDAVLTPSISVLSAFAGLQRALSQIKYSSSPMTQHSISVAIERYVPVAFACAVLVCLFMLQHYGTRKIGFIFAPIVTIWILFIGGVGLYNIFHWNPKILGAISPVFMFKFVRNIDKKSWKSLGSILLCVAGSEAMFADLGHFSKKSIQITFACLVYPLLVFCYAGQAAYISKHLHASEDFNHLSKSVPEHLGHVFIFLSLLASVIGSQATITASFSIIKQCLALGCFPRVKVVHTSNNLHGQVYIPDVNWLLMVLSLTVTIGFHDLHRIATSVGLAIVSGMVVTTCLMSLVIALHWEKTLFISGCFLLFFGFVESVYLSACMLNFHKGGWYLLVISAVTFTVMLAWHYGTKKKYEFDLQNKVPTEWLTDYSPGLGVCRVPGIGLIYTDIVSGIPAFFSHFITNLPAFHQVLIFVSFKSLPIPYVPPSERYLIGRVGSKDHKIYRCIVRYGYCDQIRDTDDFEEQIIRSIGEFISLEENDSESLISPEGRMMIVGKPSPDGKALIPLHDNNSINVGSANMANAETYKGAASDLIEKAGPLCRKKVRFMLPDNSPRMRVCVREELQELVNARESGTAYFLGQSHLELRKDTNFVKKFLIMAYVFLDKNCREPPVALNIPHAALVEVGIVCTI >Manes.14G099800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8271231:8284180:-1 gene:Manes.14G099800.v8.1 transcript:Manes.14G099800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGHKETQFLLGSFRGEVDIDLTSNSTFLGSLRSKIKNSLNELKDFAEKAWEMGRSDPRKIIFAIKMGLALATVSLLIFWKDLYDISQYSIWAILTVIVMFEYSIGATFIKGFNRLLGTLCAGMLAFCFAELSLLVGRWEEVVIVISIFIIAGNRTREYAEAIVTRLVLIALGASVCLVVNVCIYPIWAGDALHSLVVKNFKDVANSLEGCVNGYLKCVEYERIPSRILTFQAYDDPLYNGYKSVMESAGKEDTLLGFAIWEPPHGRFKTFKYTWKNYAEVCGALRHCAFMVMALHGCILSEIQAPAERRQVFQTELQRVGAEAAKVLRELGSKVDKMEKLGPVDILKEVHEAAEQLQRKIDEKSYLLIKSDNWEITRQPIENLLDGKENEHVQLGLKSLSETVLDLRSLDVWAPSSPKRDSTGSLFRKQEPWPSRLSFDAGAGNREECRTYESASALSLATFASLLIECVARLQSLVEAFEELSEKSEFVEPMVTDFEIAKSKATCCESLFRCFRFSK >Manes.14G099800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8271231:8284180:-1 gene:Manes.14G099800.v8.1 transcript:Manes.14G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGHKETQFLLGSFRGEVDIDLTSNSTFLGSLRSKIKNSLNELKDFAEKAWEMGRSDPRKIIFAIKMGLALATVSLLIFWKDLYDISQYSIWAILTVIVMFEYSIGATFIKGFNRLLGTLCAGMLAFCFAELSLLVGRWEEVVIVISIFIIGFFASYLKLYPTMKPYEYGFRVFILTYCILMVAGNRTREYAEAIVTRLVLIALGASVCLVVNVCIYPIWAGDALHSLVVKNFKDVANSLEGCVNGYLKCVEYERIPSRILTFQAYDDPLYNGYKSVMESAGKEDTLLGFAIWEPPHGRFKTFKYTWKNYAEVCGALRHCAFMVMALHGCILSEIQAPAERRQVFQTELQRVGAEAAKVLRELGSKVDKMEKLGPVDILKEVHEAAEQLQRKIDEKSYLLIKSDNWEITRQPIENLLDGKENEHVQLGLKSLSETVLDLRSLDVWAPSSPKRDSTGSLFRKQEPWPSRLSFDAGAGNREECRTYESASALSLATFASLLIECVARLQSLVEAFEELSEKSEFVEPMVTDFEIAKSKATCCESLFRCFRFSK >Manes.14G099800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8271231:8284180:-1 gene:Manes.14G099800.v8.1 transcript:Manes.14G099800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGHKETQFLLGSFRGEVDIDLTSNSTFLGSLRSKIKNSLNELKDFAEKAWEMGRSDPRKIIFAIKMGLALATVSLLIFWKDLYDISQYSIWAILTVIVMFEYSIGATFIKGFNRLLGTLCAGMLAFCFAELSLLVGRWEEVVIVISIFIIGCVNGYLKCVEYERIPSRILTFQAYDDPLYNGYKSVMESAGKEDTLLGFAIWEPPHGRFKTFKYTWKNYAEVCGALRHCAFMVMALHGCILSEIQAPAERRQVFQTELQRVGAEAAKVLRELGSKVDKMEKLGPVDILKEVHEAAEQLQRKIDEKSYLLIKSDNWEITRQPIENLLDGKENEHVQLGLKSLSETVLDLRSLDVWAPSSPKRDSTGSLFRKQEPWPSRLSFDAGAGNREECRTYESASALSLATFASLLIECVARLQSLVEAFEELSEKSEFVEPMVTDFEIAKSKATCCESLFRCFRFSK >Manes.05G089500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8150394:8166375:1 gene:Manes.05G089500.v8.1 transcript:Manes.05G089500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVALPFVGMVMAECAQVGLIVVSKAAMSKGMSSFIFVCYSNALASLILLPSSLLLHRSQRPPLTFSIICGFFLLGLFGCLAQFFGYAGINLSSPTLGTAMLNLVPGFTFMLAVALRMEKVDWRTSRTLAKAMGTIVSIGGAFILTYYRGPPVLMTLSSLNASPQRFMQLSNWVIGGSLLAVDCVMASAWIIIQALILKKYPAELIVVFFYCFFVTILSAIVCVVVERDPFAWSLKPNIRLAAVLYSGVFGSAFQVGITTWCLRQTGPVFVSMFKPLGIVIAAVAGVIFLGDTLYLGSWSNCDSHRVLFGDVGKSQRMGDGCGCCSEEL >Manes.05G089500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8150860:8166375:1 gene:Manes.05G089500.v8.1 transcript:Manes.05G089500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYFLNLFCRSQRPPLTFSIICGFFLLGLFGCLAQFFGYAGINLSSPTLGTAMLNLVPGFTFMLAVALRMEKVDWRTSRTLAKAMGTIVSIGGAFILTYYRGPPVLMTLSSLNASPQRFMQLSNWVIGGSLLAVDCVMASAWIIIQALILKKYPAELIVVFFYCFFVTILSAIVCVVVERDPFAWSLKPNIRLAAVLYSGVFGSAFQVGITTWCLRQTGPVFVSMFKPLGIVIAAVAGVIFLGDTLYLGSIVGAIVIVTGFYLVMWGKAKEWEMGVDAVVRSFESSSQKVPLLQSSIEENRSVLQ >Manes.05G089500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8150394:8166375:1 gene:Manes.05G089500.v8.1 transcript:Manes.05G089500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVALPFVGMVMAECAQVGLIVVSKAAMSKGMSSFIFVCYSNALASLILLPSSLLLHRSQRPPLTFSIICGFFLLGLFGCLAQFFGYAGINLSSPTLGTAMLNLVPGFTFMLAVALRMEKVDWRTSRTLAKAMGTIVSIGGAFILTYYRGPPVLMTLSSLNASPQRFMQLSNWVIGGSLLAVDCVMASAWIIIQALILKKYPAELIVVFFYCFFVTILSAIVCVVVERDPFAWSLKPNIRLAAVLYS >Manes.05G089500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8150394:8166375:1 gene:Manes.05G089500.v8.1 transcript:Manes.05G089500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVALPFVGMVMAECAQVGLIVVSKAAMSKGMSSFIFVCYSNALASLILLPSSLLLHRSQRPPLTFSIICGFFLLGLFGCLAQFFGYAGINLSSPTLGTAMLNLVPGFTFMLAVALRMEKVDWRTSRTLAKAMGTIVSIGGAFILTYYRGPPVLMTLSSLNASPQRFMQLSNWVIGGSLLAVDCVMASAWIIIQALILKKYPAELIVVFFYCFFVTILSAIVCVVVERDPFAWSLKPNIRLAAVLYSGVFGSAFQVGITTWCLRQTGPVFVSMFKPLGIVIAAVAGVIFLGDTLYLGSIVGAIVIVTGFYLVMWGKAKEWEMGVDAVVRSFESSSQKVPLLQSSIEENRSVLQ >Manes.08G126201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36617647:36622264:-1 gene:Manes.08G126201.v8.1 transcript:Manes.08G126201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQGSCFNLLSYSCTREGPDHAPSELRQAEHSAAEEALTTLASRGPSRALGAKVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPDHVHIFSCTVELAGMNFTGELARTKKQVQKNAAMATWFAVKRLVEHGSYSSSSSSVENKKGSGKQEQVVYILSSAKTGRFTGSFGSPEMAIYQMWQQEQLLQLQNRLLMLRVLPAPLPGSQILPCVQSILPPDSRLFVHVEERQTFTIQEIHEEKAEELSKCSPSLVPDPHEPNIEDDKHKNGALGSKVENVQLVESQTERFHLASNVNVDTGYTHRGFRVQNPPMHRTCGTYIDDNGVTLCSARTQPEGMDLGGVRPHFMALAVRIRSVVPVCSAPPARKLPTPGQKGVPPIREKKDTVPEDTLAASLELDILI >Manes.16G008000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:808195:810863:1 gene:Manes.16G008000.v8.1 transcript:Manes.16G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSELHEPILGSLHESTEHYEVKSELEKVLTDTQLPYFKRLRIASWIELKLLFPLAGPAVFVYMINNFMSQSTRVFAGHLGNLELAAASLGNGGIQLFAYGLMLGMGSAVETLCGQAYGAHRNEMLGIYLQRAIVVLTLTAIPMTVIYLLSKPALLLLGEPKKVASAAAVFVYGLIPQIFAYAVNFPIQKFLQAQSIVYPSAIISAATLGVHLLVTWVALFKPGMGLVGASLALSLSWWIIVVAQFVYIVKSDRCKETWTGFSLQAFSGLWPFVKLSAGSAVMLCLETWYSQILILIAGLLDNPEIALDSLAVCLSINGLMFMVSVGFNAAASVRVSNELGAGNPKSAEFSVFIVNLVSFIIAVIEAAVVLALRHLISYAFTSGETVADAVAELSPFLGITLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGFLLGFKFDLGAKGIWCGMIGGTLMQTMILLWVTIRTNWNEEVEKARMRLNCWENNEPQEAKI >Manes.11G085500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12849161:12855827:-1 gene:Manes.11G085500.v8.1 transcript:Manes.11G085500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCVALKANAHVAKTSKGDFMYGDKEFWGERIRGSLNNSIWSNQMTRSLRAERNAIKVKPGVAHAVLTSNNPKESMTLQPPRFERRKVDPTNVAAIILGGGAGTQLFPLTRRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGMQWFQGTADAVRQFIWVFEDAKNRNVENILILSGDHLYRMDYLDFLQHHVDSNADITISCAPVSESRASDYGLVKIDNRGRIVNFAEKPTGAELKSMQADTTHLGLSLQDALKTPYIASMGVYVFRTEILLKLLRWRYPTSNDFGSEVIPAAVMEHNVQGYIFRDYWEDIGTIKTFYEANLALTDEPPKFEFYDPKTPFYTSPRFLPPTKMDKCRIVDAIISHGCFLRECTIQHSVVGERSRLDYGVELKDTVMLGADNYQTEAEIASLLAEGKVPIGVGRNSKIKNCIIDKNAKVGKNVIITNKDGVQEADRPEKGFYIRSGITIIAEKATIEDGTVI >Manes.11G085500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12849161:12855978:-1 gene:Manes.11G085500.v8.1 transcript:Manes.11G085500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCVALKANAHVAKTSKGDFMYGDKEFWGERIRGSLNNSIWSNQMTRSLRAERNAIKVKPGVAHAVLTSNNPKESMTLQPPRFERRKVDPTNVAAIILGGGAGTQLFPLTRRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGMQWFQGTADAVRQFIWVFEDAKNRNVENILILSGDHLYRMDYLDFLQHHVDSNADITISCAPVSESRASDYGLVKIDNRGRIVNFAEKPTGAELKSMQADTTHLGLSLQDALKTPYIASMGVYVFRTEILLKLLRWRYPTSNDFGSEVIPAAVMEHNVQGYIFRDYWEDIGTIKTFYEANLALTDEPPKFEFYDPKTPFYTSPRFLPPTKMDKCRIVDAIISHGCFLRECTIQHSVVGERSRLDYGVELKDTVMLGADNYQTEAEIASLLAEGKVPIGVGRNSKIKNCIIDKNAKVGKNVIITNKDGVQEADRPEKGFYIRSGITIIAEKATIEDGTVI >Manes.11G085500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12849157:12855827:-1 gene:Manes.11G085500.v8.1 transcript:Manes.11G085500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCVALKANAHVAKTSKGDFMYGDKEFWGERIRGSLNNSIWSNQMTRSLRAERNAIKVKPGVAHAVLTSNNPKESMTLQPPRFERRKVDPTNVAAIILGGGAGTQLFPLTRRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGMQWFQGTADAVRQFIWVFEDAKNRNVENILILSGDHLYRMDYLDFLQHHVDSNADITISCAPVSESRASDYGLVKIDNRGRIVNFAEKPTGAELKSMQADTTHLGLSLQDALKTPYIASMGVYVFRTEILLKLLRWRYPTSNDFGSEVIPAAVMEHNVQGYIFRDYWEDIGTIKTFYEANLALTDEPPKFEFYDPKTPFYTSPRFLPPTKMDKCRIVDAIISHGCFLRECTIQHSVVGERSRLDYGVELKDTVMLGADNYQTEAEIASLLAEGKVPIGVGRNSKIKNCIIDKNAKVGKNVIITNKDGVQEADRPEKGFYIRSGITIIAEKATIEDGTVI >Manes.11G085500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12849157:12855827:-1 gene:Manes.11G085500.v8.1 transcript:Manes.11G085500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCVALKANAHVAKTSKGDFMYGDKEFWGERIRGSLNNSIWSNQMTRSLRAERNAIKVKPGVAHAVLTSNNPKESMTLQPPRFERRKVDPTNVAAIILGGGAGTQLFPLTRRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGMQWFQGTADAVRQFIWVFEDAKNRNVENILILSGDHLYRMDYLDFLQHHVDSNADITISCAPVSESRASDYGLVKIDNRGRIVNFAEKPTGAELKSMQADTTHLGLSLQDALKTPYIASMGVYVFRTEILLKLLRWRYPTSNDFGSEVIPAAVMEHNVQGYIFRDYWEDIGTIKTFYEANLALTDEPPKFEFYDPKTPFYTSPRFLPPTKMDKCRIVDAIISHGCFLRECTIQHSVVGERSRLDYGVELKDTVMLGADNYQTEAEIASLLAEGKVPIGVGRNSKIKNCIIDKNAKVGKNVIITNKDGVQEADRPEKGFYIRSGITIIAEKATIEDGTVI >Manes.11G085500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12849156:12855978:-1 gene:Manes.11G085500.v8.1 transcript:Manes.11G085500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCVALKANAHVAKTSKGDFMYGDKEFWGERIRGSLNNSIWSNQMTRSLRAERNAIKVKPGVAHAVLTSNNPKESMTLQPPRFERRKVDPTNVAAIILGGGAGTQLFPLTRRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGMQWFQGTADAVRQFIWVFEDAKNRNVENILILSGDHLYRMDYLDFLQHHVDSNADITISCAPVSESRASDYGLVKIDNRGRIVNFAEKPTGAELKSMQADTTHLGLSLQDALKTPYIASMGVYVFRTEILLKLLRWRYPTSNDFGSEVIPAAVMEHNVQGYIFRDYWEDIGTIKTFYEANLALTDEPPKFEFYDPKTPFYTSPRFLPPTKMDKCRIVDAIISHGCFLRECTIQHSVVGERSRLDYGVELKDTVMLGADNYQTEAEIASLLAEGKVPIGVGRNSKIKNCIIDKNAKVGKNVIITNKDGVQEADRPEKGFYIRSGITIIAEKATIEDGTVI >Manes.11G085500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12849161:12855978:-1 gene:Manes.11G085500.v8.1 transcript:Manes.11G085500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCVALKANAHVAKTSKGDFMYGDKEFWGERIRGSLNNSIWSNQMTRSLRAERNAIKVKPGVAHAVLTSNNPKESMTLQPPRFERRKVDPTNVAAIILGGGAGTQLFPLTRRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGMQWFQGTADAVRQFIWVFEDAKNRNVENILILSGDHLYRMDYLDFLQHHVDSNADITISCAPVSESRASDYGLVKIDNRGRIVNFAEKPTGAELKSMQADTTHLGLSLQDALKTPYIASMGVYVFRTEILLKLLRWRYPTSNDFGSEVIPAAVMEHNVQGYIFRDYWEDIGTIKTFYEANLALTDEPPKFEFYDPKTPFYTSPRFLPPTKMDKCRIVDAIISHGCFLRECTIQHSVVGERSRLDYGVELKDTVMLGADNYQTEAEIASLLAEGKVPIGVGRNSKIKNCIIDKNAKVGKNVIITNKDGVQEADRPEKGFYIRSGITIIAEKATIEDGTVI >Manes.11G085500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12849161:12855978:-1 gene:Manes.11G085500.v8.1 transcript:Manes.11G085500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCVALKANAHVAKTSKGDFMYGDKEFWGERIRGSLNNSIWSNQMTRSLRAERNAIKVKPGVAHAVLTSNNPKESMTLQPPRFERRKVDPTNVAAIILGGGAGTQLFPLTRRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGMQWFQGTADAVRQFIWVFEDAKNRNVENILILSGDHLYRMDYLDFLQHHVDSNADITISCAPVSESRASDYGLVKIDNRGRIVNFAEKPTGAELKSMQADTTHLGLSLQDALKTPYIASMGVYVFRTEILLKLLRWRYPTSNDFGSEVIPAAVMEHNVQGYIFRDYWEDIGTIKTFYEANLALTDEPPKFEFYDPKTPFYTSPRFLPPTKMDKCRIVDAIISHGCFLRECTIQHSVVGERSRLDYGVELKDTVMLGADNYQTEAEIASLLAEGKVPIGVGRNSKIKNCIIDKNAKVGKNVIITNKDGVQEADRPEKGFYIRSGITIIAEKATIEDGTVI >Manes.11G085500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12849161:12855827:-1 gene:Manes.11G085500.v8.1 transcript:Manes.11G085500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCCVALKANAHVAKTSKGDFMYGDKEFWGERIRGSLNNSIWSNQMTRSLRAERNAIKVKPGVAHAVLTSNNPKESMTLQPPRFERRKVDPTNVAAIILGGGAGTQLFPLTRRAATPAVPVGGCYKLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGMQWFQGTADAVRQFIWVFEDAKNRNVENILILSGDHLYRMDYLDFLQHHVDSNADITISCAPVSESRASDYGLVKIDNRGRIVNFAEKPTGAELKSMQADTTHLGLSLQDALKTPYIASMGVYVFRTEILLKLLRWRYPTSNDFGSEVIPAAVMEHNVQGYIFRDYWEDIGTIKTFYEANLALTDEPPKFEFYDPKTPFYTSPRFLPPTKMDKCRIVDAIISHGCFLRECTIQHSVVGERSRLDYGVELKDTVMLGADNYQTEAEIASLLAEGKVPIGVGRNSKIKNCIIDKNAKVGKNVIITNKDGVQEADRPEKGFYIRSGITIIAEKATIEDGTVI >Manes.05G108100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10430533:10432106:-1 gene:Manes.05G108100.v8.1 transcript:Manes.05G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNSLVLSAMLPMLLCIFASMVASAAGNFYRDFDITWGDGRGKILNNGALLTLSLDRASGSGFQSKNEYMFGKIDMQLKLVPGNSAGTVTAYFLSSKGSTRDEIDVEFLGNLSGDPYILHTNVFSEGKGKREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGTPIREFKNLESNGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWTQAPFIASYRNFNANACVWSNGASSCNSNSPSTINGWLSQELDTTNQDRLQRVQKNYMIYNYCTDNKRFPQGLPPECSIP >Manes.16G030600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3578385:3580844:-1 gene:Manes.16G030600.v8.1 transcript:Manes.16G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDKTAAVKPNGNCGAGAAAAGVKEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPLENENVNRNIIVNKKNDTGSNNDSPSPSSTVESSSREAPGRIMESTSPLDLNLGPSAAVRFPFQPVPTVGGVFTAPMVNHQHVLYFDAMMKSQYQRLLFDHQQQQQQQQQQHLHHQTMSTAGNGVGGVVQSDSDSSSVVDLNQREINPPRRSLDFDLNLPPKPEIV >Manes.14G037066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3252186:3254951:-1 gene:Manes.14G037066.v8.1 transcript:Manes.14G037066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEIESSSSATAIIIKDVRGQALSRDITRSMSASPDTCQSKNHSQRTHTIIHCHYVSSMAVTTEGYEGDRAILGPILSAAALLLSLLLSA >Manes.05G045702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3802734:3805026:-1 gene:Manes.05G045702.v8.1 transcript:Manes.05G045702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKGTVCVTGGTGYVASWLIMRLLDYGYSVHTTIRSHPDQKRDVSFLTSLPGATNKLRIFHADLSDPDSFDVAIEGCIGVFHVANPMPTHFDSGESEEVVISKAIDGTLGILRVCLNSKTVKRVVYTSSASAVDFNNKTAQVMDESFWSDVDYIKALNSFASSYCSSAFGCWTLHLSLYTQLRLLGSRCDLWESRV >Manes.02G087600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6919766:6922950:1 gene:Manes.02G087600.v8.1 transcript:Manes.02G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSWTRSFLLCFLVLLHYVYFPNAETFDYPTANLSTSWTNSPSLPHSVNFTDRSMVRAILLRGTFGPRFACGFFCNGTCDSYLFAIFIVQTNSVSYITSPAIGFPQVVWSANRNNPVGINSTLQLTSDGDFILKDADGTVAWSTNTSGKSVAGLNLTDMGNLVLFDHNNATVWQSFDHPTDSLVPGQKLVAGKKLVPSISLTNWTQLNLLSFSVTDEGSFASVESSPPQLYYESRVNDRTTNREPTYVTLQNGSFALFSNSSKASEPDTFKPIPKASSVQYARFGPDGHLRLYEWTTDGWTQVDDLLSSPGYECFYPTVCGNYGICSNGQCSCPTTTYFKQINDRRPDIGCSEITPLSCQASPNHSLIEFRDTTYFSVSSDLQNVDLEKCKEACSKNCSCKAAIFRYGSDSAKGSCYLPNQVFSLINNDKERTHYNSTVFLKVQNAPSPPAEAIAPQQKRKSRSTVILWSSLGTVSGLFLVIGIIVLLVWKKRNADIDEEDYLDQVPGMPTRFSYENLKALTDNFSKILGEGGFGSVFEGTLIDGTKIAVKRLNGVGQIKKSFLAEVESIGSIHHVNLVRLLGFCADKSHRLLVYEFMSNGSLEKWIFHQTHESALSWQQRKKIILDIAKGLTYLHEDCTQKIIHLDIKPQNILLDNKFNAKISDFGLSKLIDRDQSKVVTTMRGTPGYLAPEWLSSVITEKADVYSFGVVVLELVCGRRNVDHSQPEEQMYLISLFEKKAEEDLLFDLVYNCSEEMQLHKAEILKMMKVAAWCLQKDHAMRPSMSMVVKVLEGVREVEPNVDYNISNSSISTSSTQVTELLPFLLSGPR >Manes.10G152400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31930054:31930770:-1 gene:Manes.10G152400.v8.1 transcript:Manes.10G152400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEMQHQTYWCHECDMSIHLLSTTADPLLCPHCLRDRLELMDDPTPTTPIATTDTSSFFLDSPSFRRFFLPLFSDINPSDDDTNATPSPSIDSILPTIKITSCHLKGMDDDDPVLCAVCKDQFVIDIDAKLLPCNHLFHPDCILPWLHSNHNSCPLCRFQLPTPSKNDRAVIPTSGSSSHLSSPSHVPEVREPERNVDCTGGFNNVGMEMGFPNQDSADCAFPDVGTLSSYLPSHF >Manes.17G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31413018:31417359:1 gene:Manes.17G107000.v8.1 transcript:Manes.17G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQAAVSFLTNLARAAFGLGAAATALNASLYTVDGGQRAVLFDRFRGVIDTTIGEGTHFLIPWLQKPYIFDIRTRPHTFSSVSGTKDLQMVNLTLRVLSRPDVSQLPYIFQHLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLIRRARDFNIVLDDVAITHLSYGVEFSRAVEQKQVAQQEAERSKFVVMKADQERRAAIIRAEGESEAAHLISDATSKAGMGLIELRRIEASREIAATLAKSPNVAYLPGGNNMLMALNANR >Manes.12G144500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35081695:35088268:-1 gene:Manes.12G144500.v8.1 transcript:Manes.12G144500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFTLAIDAKGSSKVPSGFADAPIRKMQNSSHLKARKAFASMSVQEEKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIILKGLYSGLAGNLAGVLPASALFVGVYEPTKQKLLRMFPENLSAVAHLTAGAIGGVAASLIRVPTEVVKQRMQTGQFTSAPDAVRLIVSKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKAAARRELNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANRYKGIFDCVQTVVREEGPAALLKGIEPRVLWIGIGGSIFFGVLESTKRYLVQRRPTPTQDQKQD >Manes.12G144500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35081695:35088268:-1 gene:Manes.12G144500.v8.1 transcript:Manes.12G144500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFTLAIDAKGSSKVPSGFADAPIRKMQNSSHLKARKAFASMSVQEEKPFDFLRTLFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIILKGLYSGLAGNLAGVLPASALFVGVYEPTKQKLLRMFPENLSAVAHLTAGAIGGVAASLIRVPTEVVKQRMQTGQFTSAPDAVRLIVSKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKAAARRELNDPENAIIGAFAGALTGAITTPLDVIKTRLMVQGSANRYKGIFDCVQTVVREEGPAALLKGIEPRVLWIGIGGSIFFGVLESTKRYLVQRRPTPTQDQKQD >Manes.16G071100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25470933:25478534:1 gene:Manes.16G071100.v8.1 transcript:Manes.16G071100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFSHRHHLFSTKLRLSYSTLCVPKPFISPPKRRSTAPRFALRALISSTRNSSTSTSSFTWDDVIRVSQPDHVPHDSSDLSGFFEKIEVCNRGSERQSEFVRFVIEGQIVGYIHKGFVDYLRTFKDVFVFPQSNYSGRSESYVTLHEMLKTPEDRTRVVGEVIKCLGEELIPGIRNELYPVVSSFGSPVYFSLERAAAPYFGIKVYGIHMNGFVEKDGEKFLWIGKRSEVKPTFPGMLDHLVAGGLPYGISCGENVMKECEEEAGIPQSISHQAVPVGAVSYADIEGYRYKRDVLFCYDLKLPDSFIPNNQDGEVESFKLIPVKNVANVIRRTHFFKPNCSLVIIHFLFRHGYITPECMGYLDLLQSLRRGDCC >Manes.16G071100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25470983:25478534:1 gene:Manes.16G071100.v8.1 transcript:Manes.16G071100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFSHRHHLFSTKLRLSYSTLCVPKPFISPPKRRSTAPRFALRALISSTRNSSTSTSSFTWDDVIRVSQPDHVPHDSSDLSGFFEKIEVCNRGSERQSEFVRFVIEGQIVGYIHKGFVDYLRTFKDVFVFPQSNYSGRSESYVTLHEMLKTPEDRTRVVGEVIKCLGEELIPGIRNELYPVVSSFGSPVYFSLERAAAPYFGIKVYGIHMNGFVEKDGEKFLWIGKRSEVKPTFPGMLDHLVAGGLPYGISCGENVMKECEEEAGIPQSISHQAVPVGAVSYADIEGYRYKRDVLFCYDLKLPDSFIPNNQDGEVESFKLIPVKNVANVIRRTHFFKPNCSLVIIHFLFRHGYITPECMGYLDLLQSLRRGDCC >Manes.16G071100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25471000:25475637:1 gene:Manes.16G071100.v8.1 transcript:Manes.16G071100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFSHRHHLFSTKLRLSYSTLCVPKPFISPPKRRSTAPRFALRALISSTRNSSTSTSSFTWDDVIRVSQPDHVPHDSSDLSGFFEKIEVCNRGSERQSEFVRFVIEGQIVGYIHKGFVDYLRTFKDVFVFPQSNYSGRSESYVTLHEMLKTPEDRTRVVGEVIKCLGEELIPGIRNELYPVVSSFGSPVYFSLERAAAPYFGIKVYGIHMNGFVEKDGEKFLWIGKRSEVKPTFPGMLDHLVAGGLPYGISCGENVMKECEEEAGIPQSISHQAVPVGAVSYADIEGYRYKRDVLFCYDLKLPDSFIPNNQDGEVESFKLIPVKNVANVIRRTHFFKPNCSLVIIHFLFRHGYITPECMGYLDLLQSLRRGDCC >Manes.15G097900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7637167:7643040:1 gene:Manes.15G097900.v8.1 transcript:Manes.15G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSTERREVVLKMDGEGDPNNTRNVEPTNAGKIWRESSYDFWGGKEKDKKIPDNGGENFGFDFSQGKATASDSAAASSSMEDPPSKLIGQFLHKQKASGEFCLDMDLEMAELQNDAVQIHRNLTPVSESPTTVNHVSFDPNPPGSNESVRRRYQHKDSPSKKSTDSASAGGGDGEILKCSSRNERTLSNNSSFKRKSHLLKDRTKSRLMDLPPQPPEKSGRVVGRSGQLKSGFIVKSSVIDDEDDDPLLEEDLPEEYKKDRLDIWTLLQWVSLILIIAALVCSLVIPYLRNKSLWTLSLWKWEVFVLVLICGRLVSGWVIRIIVFFIERNFLLRKRVLYFVYGIKKAVQNCLWLGLVLIAWHCLFDKRVERKTKSKTLRYVTKILVCLVVGTLLWLVKTLVVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLIEIKKNEEEEERIAAEIQKLQNAGATMPASLKATTYPSPQAQAAKVIGSGRTQKSPRIGTPRISRTFSKKVNEEEEGITIDHLHKLNPKNVSAWNMKRLMNIIRYGTLSTLDEQIQDTSHDDDESATKIRSEYEAKAAARKIFQNVAKPGSRYIFLEDIMRFMQEDEALKAMSLFEEASESKKISKSCLKNWVVNAFRERRALALTLNDTKTAVNKLHRMVNVLVGILIAIIWLLILGIATSKFLVFISSQLLLVAFIFGNTCKTVFEAIIFLFVIHPFDVGDRCEIDGVQMVVEEMNILTTVFLRYDNQKIIIPNSVLATKAISNYYRSPDMGDAVEFFIHIATPAEKIAVIKQRITSYVENKKEHWYPSPMIIFKDAEDLNRVRIAVWLTHRMNHQDMGERFSRRSLLLEEMVKIFKELDIQYRLLPVDINVRALPPVSSERVPPSWMN >Manes.08G026900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2597361:2598162:1 gene:Manes.08G026900.v8.1 transcript:Manes.08G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKMVSFKKLAKKVKVIGKLGDSESPQQEYLLRDFEDASSGNLSTTPTGFFAVYVGEERERFVVPTRFLSHPLFKMLLEKSYNEFGFEQRNKLVVPCSVSTFQEVVNAVECCNGRFDFGNLVEEFI >Manes.06G146300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27257202:27260807:-1 gene:Manes.06G146300.v8.1 transcript:Manes.06G146300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVFCRHGFLSSYPYLLFGATTPQRASGRMEVLAVHGLRSQTHDSFSSPITRKRYVHLKTYQRTFKIGDYGDDKGNRASHMIMPHKLYQGRISGVRNFTRRAVGVEVNKQLWGTLKEAITVYTGLSPATFFTVLALGLAVYYLISEFFGSSDNHPRPRSVEEQMQPLPPPVQLGEISEEELKQYDGSDPKKPLLMAIKGQIYDISQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIKTPVPATGEATSAGEPAETKEADVAKPAEDGPSAHGATETPAAEANE >Manes.15G098400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7693343:7693894:-1 gene:Manes.15G098400.v8.1 transcript:Manes.15G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISRTMSQCGLPGTFLFLFCLSFFSLSAAVLEVSSRRLLTGTPSDEIIKQYLISHNLERTKLGLPPLTWSKKLANFASWWAHQRQGDCALIHSNSNYGENLFWGSGKDWRPGDAVAAWAAEKSYYNHNTNTCSKNKDCLHYTQMVWRQSLKIGCARVTCRSGDTFIACNYDPHGNVIGEKPF >Manes.13G124250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33189489:33190916:1 gene:Manes.13G124250.v8.1 transcript:Manes.13G124250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRKIYSRIYRSVIGCLLYLTALRPNITFVTSLLSRFMHNPSQRHFKAAKRVLRYGKGTSNLGILFKTGQKVKLIGYMDSDWGGSIDDMKSRIHIFYWFKSC >Manes.05G041600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3354789:3358020:-1 gene:Manes.05G041600.v8.1 transcript:Manes.05G041600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHRDAKESIVYSYTKSFNAFAAKLSKAEATKLSQLDQVISMFPNRYHKLHTTRSWDFIGFPNTTQRNLNVEGNMIVGLLDTGITPESESFKDDGFGPPPEKWKGTCDHFANFSGCNKKLIGARYFKLDGNPVLPGDVLSPIDVIGHGTHTSSTVVGNQVPDASLFGLARGDARGAVPAARVAMYKVCWIGSGCADMDILAAVEAAIADGVDIISASLGEITVDYLTDALSIGAFHAMRKGIITVAAAGNNGPNLGTVANHAPWLLTVAASGIDREFRDNVEFGNGKNISGLGINTFEPEQKLYPIVSGADVANNSESQDSARFCTGGMDPNKVKGKLVYCEEQVGGCDSVVKGLGGLGVIIQSVRYPDMAQVFIMPGTMVNFAVGEAIKDYIHSTSSASAVIHKSQEIKVPAPSIASFSSRGPHPQSAHILKPDIAAPGIDILASFTPLRSLTGQTGDTRYSKFTLMSGTSMACPHVAGVAAYVKSFHPSWTPAAIKSAILTTATPMSAGVNSEAEFGYGAGQVNPTGARSPGLVYDMDEMSYIQFLCHEGYPGSKLVGLIGSEVNCSSLLRGLGYDALNYPTMQLSLNNDSQPTIGVFLRTVTNVGPSPSIYNATITAPDGVEITVTPTSLSFSSALQKMSFKVVIKGTPTTIGLIASCSLVWQSDSHTVRSPIVVFRPQA >Manes.05G122700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20968888:20970460:1 gene:Manes.05G122700.v8.1 transcript:Manes.05G122700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFILALIFISLTSSLLATGAQYNVLSYGAKPDGKTDSTKALVSAWKQACASTRPATITIPAGRFFISKILFQGPCKNTAILISIDGTLVAPSDIWAIGNAGNWLHFENVNGVTVSGGVLDGQGSGLWSCKASGKHCPSGATSLRFSGSRNIAITGLTSLNSQMFNIDINGCHNAKLQGITVSSPGNSPNTDGIHVQMSSDVTILNSKVGTGDDCISIGPGTTNLWVENFICGPGHGISIGSLGKDLREAGVQNVTVKSARIAGTQNGLRIKSWGRPSSGFARNIIFQHVAMNNVNNPIIIDQNYCPHNKNCPGQASGVKINDVTYQDIHGTSATEVAVKFDCSKRNPCTGIRMEDVKITYNNRPADASCNNADGKASGVVQPSSCLS >Manes.16G108850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31408681:31413946:1 gene:Manes.16G108850.v8.1 transcript:Manes.16G108850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDFLPSSLPFSFTQLKQLRWLWMSASNLIGEIPETVGEMVALEHLDLSRNKLEGNIPSSLFMLKNLSILYLFRNRLCGEIPHVVEALKLVELDLSDNNLTGKIPDDFGKLQNLSVLNLFYNQFSGEIPESVGRLPALKRFSLFSNNLSGVLPPELGRHSMLETVEASSNKLTGRLPEFICNGGKLLGVAAFDNNLHGELPESLGNCSSLLMVSISHNSFTGNVPVGLWTSSNLIYLMLSDNLLAGELPDEVSGNLKRLEISNNRFSGKIPIGASWRNLTVFNASNNLFSGIVPQELTVPPLLTTLLLDRNQLSGAIPSDIVSWKSLTTLNMSQNQLSGQIPKEIGFLPNLLQLDLSGNRFSGQIPPEFSSLKCTFLNLSSNNLTGEIPISLENTAYKSSFLNNPGLCTRSSLLSLNLCHSNTQKSIKSSTQFIALISSILATAFVLVLLLSLFVIRVYPKKLIVNSPWKLTSFQKLDFTESDILPGLTETNLIGTGGSGKVYRVPVQRSGLVAVKRIRSDKLDQKLEKQFHAEVQILGRIRHFNIVKLLCYIYNEDSKLLVYEYMERSSLDQWLHVKKRLTNVSGSACLDWPMRFRIAVGAAQGLSYLHHGCSPPIIHRDVKSSNILLDSAFNAKIADFGLAKLLVEKGEASASVMAGSFGYIAPEYANTVKVNEKIDVYSFGVVLLELTTGKEAPFGDEDTCLAKWAWRHMSEGRPIVNALDKEITESSYLDEMIIVFKLGVKCTSKLPSDRPSMREVLRVLGQYNYPVVNGLKNRGRDSHVTPFILSSKNGRASDSDENV >Manes.03G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22916422:22916813:-1 gene:Manes.03G105200.v8.1 transcript:Manes.03G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRPLGFLISLPFAFLSLILSLVGLIVWIIGSVLTCICPCCICCVGVANFAIELIKLPVNIILWFIELIPC >Manes.S095225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251225.1:91472:97630:-1 gene:Manes.S095225.v8.1 transcript:Manes.S095225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPVPDFGLTASIPKTAKSITHVTSGARFRTNSFHPKNCQVYHPCYIRCPISD >Manes.02G216560.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31581463:31583210:-1 gene:Manes.02G216560.v8.1 transcript:Manes.02G216560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGSTSPASFLGTLATSELLGTPLACLSSWTLLPPPHITLMLSSSVAHASNSSSQPYNSSCLTWQNSASPASQTHLFIQTSLSAKLNALPSLQRVCSFLKLAYFHLQGSNMSTRVQQARTNSLPAGTCASSLS >Manes.08G167500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40212156:40214664:1 gene:Manes.08G167500.v8.1 transcript:Manes.08G167500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTIESRDPELEESFSLSSCYDFKYSSSSLTIFSDNDQTDHDDDESYIEIALEPAAYLGDGDTGGGNGGENCYDDEMELRISLSSGVFLPAETRTTEPYESVNYCTSSLSSSSSSVFTFSSSSTEAESQRNSQEEYKLCNSSLQKTIKSKAQFPAIDRFVNAFTYNIGESSEIDLRDGRSQDANHLDLVAVSNSTSNPLKITAPRTTVNNGTMTKVFTIFRPLKFRTLLASFLKASQAKSSVDKGKVRGEKFWRYNQSLTKQTVEKCSVERKKGEKSTVIELNWDTARGVLEAMTMSIRSIGRRERRIKGCADSTTSSPIHQRFPAKSYKISSAAPDNSIQAAIAHCKKSFSPDV >Manes.16G014000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1374228:1377867:1 gene:Manes.16G014000.v8.1 transcript:Manes.16G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKLFIILPFLLGVAITAGAQPIYLYHDCPNTTTFTANSTYQANLNTLLSSLSSNATVNNGGFYNISAGRDPDDVYGLFLCRGDSSSDVCQNCVTLAAQDIVQRCPVEKVAIVWYDQCLLRYSNESFFSTMRESPKVFMWNTQNISDQTRFNGILGNTMKDAAAEAANVRSGAKKFAVKQANFTAFQTLYTLSQCTPDLSSSDCVTCLQGAISDLPSCCGGKQGGRVLSPSCNIRYEVYSFYNDTATRPPPPPPSPSPVVLPPPSPGPVTRPQGKSGISAVTIVAIVVPISISIVLFCMGLCFVRRRARKKYDSVQEDDGNEISTAESLQFDLSMIEAATNNFSDDNRLGEGGFGAVYKGTLPNGREIAVKRLSTSSGQGAGEFKNEVVLLAKLQHRNLVRLIGFCLEGQEKILVYEFVPNKSLDYFLFDPEKQGQLDWERRYKIIGGIARGFLYLHEDSRLRIIHRDLKASNVLLDQDMNPKISDFGMARIFGVDQSEGNTNRVVGTYGYMSPEYAMHGQFSVKSDMYSFGVLVLEIISGKKNSSFYQTDGADDLVSYVWKHWRNGTPLEVLDPVLRDSYSRNEVLRCIQIGLLCVQEDPADRPTMATVVLMLNSYSVTLPVPQQPAFVANSRSAQSFTLKAFESDQSTTKSAQLSVDEESITEVYPR >Manes.10G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6073196:6078993:-1 gene:Manes.10G052600.v8.1 transcript:Manes.10G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLTSPSPAYSHRTTTRLRSSSQLSLSSTHLSCRLRRSFRPRNSSITCSVNQVAPVSVQKPEPKDKSECFGVFCQTYDLWAEEETRSWKKLINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGINPYEVFEDAEWALLIGAKPRGPGLERADLLDINGQIFSEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDHKWLEEEFTEKVQKRGGVLIQKWGRSSAASTAVSIVDAIKSLITPTPEGDWFSSGVYSNGNQYGIAEGLVFSMPCRSKGDGDYELVKDVIFDDYLLKKITKTEAELLAEKRCVAHLTGEGIAFCDLPEDTMLPGEM >Manes.13G040400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4668706:4671882:1 gene:Manes.13G040400.v8.1 transcript:Manes.13G040400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYCTSSLCSHFPIFSTTSSATAAKAKGRCVVYPRMSMNQTPQSPIPKKLLTTITNLLWGPSLPPGLLISTVRTAWNSAWQIMMSQLAPSDSSGGYTRPASKFRLSNSQYSRRNPTTLHLYVGLPCPWAHRTLIVRALKGLEDAIPVSIAAPGQDGSWEFQNTPNTDKYILVPSKDEANGRKTLKEVYGITRGGYSGRATVPMLWDSERKEVVCNESYDIIEFFNSGLNGLAQNPDLDLSPNSLKGKIEEWNQLIYPNVNNGVYRCGFAQSQEAYDRAVDELFTTLDKIDNHLGTSRFLCGDTITLADVCLFTTLIRFDPVYNVLFKCTKKKVVEYPNLHGYMRDIYQMPKVAETCNFSAIMDGYYKILFPLNPGGIRPTMPSGCEGEILYAAHNRESVSSVNKNTQI >Manes.13G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4668705:4670468:1 gene:Manes.13G040400.v8.1 transcript:Manes.13G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYCTSSLCSHFPIFSTTSSATAAKAKGRCVVYPRMSMNQTPQSPIPKKLLTTITNLLWGPSLPPGLLISTVRTAWNSAWQIMMSQLAPSDSSGGYTRPASKFRLSNSQYSRRNPTTLHLYVGLPCPWAHRTLIVRALKGLEDAIPVSIAAPGQDGSWEFQNTPNTDKYILVPSKDEANGRKTLKEVYGITRGGYSGRATVPMLWDSERKEVVCNESYDIIEFFNSGLNGLAQNPDLDLSPNSLKGKIEEWNQLIYPNVNNGVYRCGFAQSQEAYDRAVDELFTTLDKIDNHLGTSRFLCGDTITLADVCLFTTLIRFDPVYNVLFKCTKKKVVEYPNLHGYMRDIYQMPKVAETCNFSAIMDGYYKILFPLNPGGIRPTMPSGCEGEILYAAHNRESVSSVNKNTQVYVS >Manes.05G042200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3404768:3411203:1 gene:Manes.05G042200.v8.1 transcript:Manes.05G042200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRGLSGSGIHRNRGGGCGSRLRIAFFIFFSVLAPLIFFVGRGLYTSTSIDQSNTRVISNKGDLDWREQLALHHVKSLLSKEVIDVITASRADLGPLSLDSFRKNNLSASWKVIGVETMVKNTTTSELQKTETVAKQGAPRDKVDDVSDNSSQFLYTPAKLARRRLKEKMREKRASDLVRQDNEAILKLENSAIERTKSVDTAVLGKYSIWRKENENENSDATVRLMRDQMIMARVYISIAKMKDKLDLHRELQIRLKESQRALGEATADSDLHHSAPEKIKAMGQVLSKAREPLYDCKLITGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIEYYLLPPEKRNFPRSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVNLNGKVNGAVETCGESFHRFDKYLNFTNPHIARNFDPNACGWAYGMNIFDLKEWKKRDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSGDRSEIENAAVIHYNGNMKPWLEIAMTKYRSYWTKYIKYDHPYLRSCNLNE >Manes.05G042200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3404768:3411203:1 gene:Manes.05G042200.v8.1 transcript:Manes.05G042200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRGLSGSGIHRNRGGGCGSRLRIAFFIFFSVLAPLIFFVGRGLYTSTSIDQSNTRVISNKGDLDWREQLALHHVKSLLSKEVIDVITASRADLGPLSLDSFRKNNLSASWKVIGVETMVKNTTTSELQKTETVAKQGAPRDKVDDVSDNSSQFLYTPAKLARRRLKEKMREKRASDLVRQDNEAILKLENSAIERTKSVDTAVLGKYSIWRKENENENSDATVRLMRDQMIMARVYISIAKMKDKLDLHRELQIRLKESQRALGEATADSDLHHSAPEKIKAMGQVLSKAREPLYDCKLITGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIEYYLLPPEKRNFPRSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVNLNGKVNGAVETCGESFHRFDKYLNFTNPHIARNFDPNACGWAYGMNIFDLKEWKKRDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSGDRSEIENAAVIHYNGNMKPWLEIAMTKYRSYWTKYIKYDHPYLRSCNLNE >Manes.05G042200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3404768:3411203:1 gene:Manes.05G042200.v8.1 transcript:Manes.05G042200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRGLSGSGIHRNRGGGCGSRLRIAFFIFFSVLAPLIFFVGRGLYTSTSIDQSNTRVISNKGDLDWREQLALHHVKSLLSKEVIDVITASRADLGPLSLDSFRKNNLSASWKVIGVETMVKNTTTSELQKTETVAKQGAPRDKVDDVSGNNSSQFLYTPAKLARRRLKEKMREKRASDLVRQDNEAILKLENSAIERTKSVDTAVLGKYSIWRKENENENSDATVRLMRDQMIMARVYISIAKMKDKLDLHRELQIRLKESQRALGEATADSDLHHSAPEKIKAMGQVLSKAREPLYDCKLITGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIEYYLLPPEKRNFPRSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVNLNGKVNGAVETCGESFHRFDKYLNFTNPHIARNFDPNACGWAYGMNIFDLKEWKKRDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSGDRSEIENAAVIHYNGNMKPWLEIAMTKYRSYWTKYIKYDHPYLRSCNLNE >Manes.05G042200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3404768:3411203:1 gene:Manes.05G042200.v8.1 transcript:Manes.05G042200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRGLSGSGIHRNRGGGCGSRLRIAFFIFFSVLAPLIFFVGRGLYTSTSIDQSNTRVISNKGDLDWREQLALHHVKSLLSKEVIDVITASRADLGPLSLDSFRKNNLSASWKVIGVETMVKNTTTSELQKTETVAKQGAPRDKVDDVSGNNSSQFLYTPAKLARRRLKEKMREKRASDLVRQDNEAILKLENSAIERTKSVDTAVLGKYSIWRKENENENSDATVRLMRDQMIMARVYISIAKMKDKLDLHRELQIRLKESQRALGEATADSDLHHSAPEKIKAMGQVLSKAREPLYDCKLITGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIEYYLLPPEKRNFPRSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVNLNGKVNGAVETCGESFHRFDKYLNFTNPHIARNFDPNACGWAYGMNIFDLKEWKKRDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSGDRSEIENAAVIHYNGNMKPWLEIAMTKYRSYWTKYIKYDHPYLRSCNLNE >Manes.06G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26340067:26345436:1 gene:Manes.06G135600.v8.1 transcript:Manes.06G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRFFLFPFIHIALFSSLCFAADPSVSYEFRLSYITASPLGVPQQVIAVNGKFPGPVINATTNYLVSVNVWNELDDENLLMTWPGIQMRRDSWDDGVLGTNCPIPPKQNYSYNFQVKDQIGSFFYFPSLNLQRTSGGFGPIIINNRNIIPIPFAQPDGDIILLIGDWYTQNHTALRTALDSGEDLGMPDGVLINGKGPYRYNTTLVPDGIEYETIQVDPGKTYRLRVHNVGTSTSLNFRIQGHNLLLVETEGHYTSQQNYTSFDIHVGQSYSFLVTMDQNATSDYYIVASARFVNESLWQRVTGVAVLHYSNSKGPVSGPLPVAPSDVYNQWAAMTQPRSIKQNTTASGARPNPQGSFHYGQINITATYILRILPPVTINGKQRSTLNGISFVNPDTPIRLADLHNVKGAYKLDFPDKPLDRPPRMDISVINATYKGFFQFVFQNNDTKMLSFHMDGYSFFVVGMDWGIWTEDKKSSYNNWDAISRCTVEVYPGGWTAILVSLDNVGVWNIRTENLDRRYLGLETYMRIINPEENGETEMVPPDNVRYCGALKSLQKASTQSSAVSVLGAGKTVVFFSLLNLLCASFFIFW >Manes.06G169200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29392040:29395458:-1 gene:Manes.06G169200.v8.1 transcript:Manes.06G169200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALLPLSYFLLFLLPNFALAQNRGNLTVGASRTASDQNSSWLSPSGVFAFGFYPLNKNNSVDLFLLAIWYANIPEKTIVWYSNGDYPAPKNSKVQLTDGGLVLTDPRGGELWRSPTSGSVTSGAMTDAGNFVIHAGDSVAWESFEHPTDTILPSQILEREGVLSSRYSDTNFSRGRFQLKLQEDGNLVLASVNLPSNHTNEPYYESGTDGDSNSSSAGFQLIFNSSAYLYILRANGQKFPLSSDPPLGNFYHRATLNFDGVFTHYYRPITSTGNERWTPIWTQPGNICQASRVNAGSGVCGFNSVCTLIQDQRANCECPSGYSLLDPNDQHGNCKPNYTQTCEENETGPMEDLYGFEVLMNTDWPTSDYALLQPFTEEGCRQSCLQDCMCAVAIFRSGDMCWKKKLPLSNGRVDPNLNGKALIKVRRGDVPRIKPEILVKQDKNRDGLMVAGAVLLSCSIFANLLLVGAICLGCFLIHQKKHKRVPHQDNLAETNLRCFDYEELVEATDGFKEELGRGAFGIVYKGVLSVGSSIPVAVKKLETVARDGMKEFRTELKVIGQTHHKNLVRLLGFCDDGEHQLLVYEFLSNGTLSSFLFVGERPSWNKRVQIAFGIARGLLYLHEECSTQIIHCDIKPQNVLLDDCYNARICDFGLAKLLLLDQSDTLTAIRGTKGYVAPDWFRNLPITVKVDVYSFGVLLLEIISCRKSVEADIDGTNTEKAILTDWAYDCYCEGSLDALVGHDADALDLDERRKLERFVMVGLWCVQEDPSLRPFMKQVVQMLEGVVEVPIPPCPCPFSITF >Manes.03G023500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1932816:1934141:-1 gene:Manes.03G023500.v8.1 transcript:Manes.03G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVADTLPEGTLAHFDEQDQLQQVSVHSLAAGKKVVIVGVPGAFTPTCSLKHVPGFIEKAEVLKSKGVGEILCISVNDPFVMKAWAKTYPENKHVKFLADGSASYTHALGLELDLKDIGLGTRSRRFALLVDDLKVKAANLEEGGEFSISSVDEILKAL >Manes.06G034207.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:10034725:10037178:-1 gene:Manes.06G034207.v8.1 transcript:Manes.06G034207.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMWQPYKYHLRGSFRVMRDMKTWRDGVTWRHSKQQVNILLDICCNLHCISPSLSRFSYTTNTLPNLACLFSYFASLLVCVIGFHNTLRSQLPKVVLIDRCEHLQSVDCWNQPFCLSSAVY >Manes.14G165606.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26366232:26369895:-1 gene:Manes.14G165606.v8.1 transcript:Manes.14G165606.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQFFLLILHMVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSFSIIDASVITRVVLDYSGAMLHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRDCSCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWLIIIIFAYLWHKRKKRRARNKWNESLLHKIGDSYYQKETIVANEVGDSTSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTRRVVGTFGYMSPEYVIFGKFSEKSDIFSFGVILLEIITGKQNNSFHQEGSCLTLIGHVWNLWKEERALEIVDPLVKDSNFSHEVLRCIQIGLLCVQENAKDRPTILAIVLMLNSESILPSPNEPAFILKKCNSKTKELYSVNELTISNITSR >Manes.14G165606.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26366369:26369895:-1 gene:Manes.14G165606.v8.1 transcript:Manes.14G165606.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQFFLLILHMVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSFSIIDASVITRVVLDYSGAMLHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRDCSCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWLIIIIFAYLWHKRKKRRARNKWNESLLHKIGDSYYQKETIVANEVGDSTSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKGQLSNGQEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTRRVVGTLEAE >Manes.14G165606.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26366369:26369895:-1 gene:Manes.14G165606.v8.1 transcript:Manes.14G165606.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQFFLLILHMVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSFSIIDASVITRVVLDYSGAMLHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRDCSCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWLIIIIFAYLWHKRKKRRARNKWNESLLHKIGDSYYQKETIVANEVGDSTSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKGQLSNGQEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFGKQNNSFHQEGSCLTLIGHVWNLWKEERALEIVDPLVKDSNFSHEVLRCIQIGLLCVQENAKDRPTILAIVLMLNSESILPSPNEPAFILKKCNSKTKELYSVNELTISNITSR >Manes.14G165606.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26366368:26369895:-1 gene:Manes.14G165606.v8.1 transcript:Manes.14G165606.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQFFLLILHMVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSFSIIDASVITRVVLDYSGAMLHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRDCSCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWLIIIIFAYLWHKRKKRRARNKWNESLLHKIGDSYYQKETIVANEVGDSTSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTRRVVGTLEAE >Manes.14G165606.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26366366:26369895:-1 gene:Manes.14G165606.v8.1 transcript:Manes.14G165606.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQFFLLILHMVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSFSIIDASVITRVVLDYSGAMLHQIWHEKDGEWKNCWSGPKYQCDTYAHCGTNAKCNPHRLNLRFECDCLPGYEPKSPRDWNILKDASGGCVRKRLESSSLCGSGEGFVKLEDVKVPDTSVAVWVAMNMSPIDCEKECKRDCSCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDTQAILVVSVVSAWLIIIIFAYLWHKRKKRRARNKWNESLLHKIGDSYYQKETIVANEVGDSTSYPHIAFFDMGMMLAATNNFSPSNKLGQGGFGLVYKGQLSNGQEVAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTRRVVGTFGYMSPEYVIFGKFSEKSDIFSFGVILLEIITGKQNNSFHQEGSCLTLIGHVWNLWKEERALEIVDPLVKDSNFSHEVLRCIQIGLLCVQENAKDRPTILAIVLMLNSESILPSPNEPAFILKKCNSKTKELYSVNELTISNITSR >Manes.S006540.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:47837:48334:1 gene:Manes.S006540.v8.1 transcript:Manes.S006540.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVHRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.08G155275.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38836389:38836956:1 gene:Manes.08G155275.v8.1 transcript:Manes.08G155275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFPQLLEWLLFPIIRNEGAGYALVLFFLSGHRYPDYANSFQREEPPYHGCGRDYYKCKNAFFSVI >Manes.17G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31234430:31241083:-1 gene:Manes.17G105400.v8.1 transcript:Manes.17G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSNWFLILYLSWAFYIPGTHELQSYQAQLLLQLRKHLEYPLQLDIWGSYNGDICYLSSTIHMSIVCEDNFITELRVKGDKVVTVSEFNGFTIPNQTLSESFSMDSFVTTLARLTSLRVVSLVSLGMWGPLPEKIHRLYSLEVLDLSSNFMFGSVPPQLSRMVKLNALVLDGNYFNGSLPDWLDSLSNLTVLSLRNNRFKSQFPSSICRIATLTDIALCGNQLTGKLPDLSNLTSLHVLDLRENNLDSELPAMPQGLITILLSNNSFSGNIPAQYGNLSQLQHLDLSLNNLSGTPPSSLFSLPNIRYLNLASNMLTGALPQSLSCGSNLGFVDISSNKLIGGLPSCLDTMSNKRAVKFGGNCLSTGSQNQLQRSYCEAVDTQSKKSRGRMVAILVAVITGTVLVLVPLALGVVFWCRSFRSRRTFEQNIFPKAGQDNSTTGVSSKALENARFISQAANLGTQGGPICRVFSLEDLMEATNNFDSSTFMGEGCNGKIYRGRLENGTSVAIRSLTLLKKHSLQNLKLRLDLLSKLHHPHLVGLLGYCIDSSRQDDSSGIKVFLIYEYVSNGNYHAHLSETCPEKVLKWSDRLVILIGVAKAVHFLHTGVIPGNFNNRLKTNNILLDEHRIGKLSDYGLAVITDEIEKTEVKGEAPKTRHTTNLEDDVYNFGFILLESLVGPIVTGKGEAFLLNEMASFGSQDGRRRIVDPIVLTTCSQESLSIVVSITSKCISPEPSTRPSFEDVLWNLQYAAQVQAAADSDQKSDSTS >Manes.01G258904.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41554543:41555302:1 gene:Manes.01G258904.v8.1 transcript:Manes.01G258904.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPGFEPGTSNGKLYITYKCSPPVSSHTKAKLTVNSFVPGGNGALSTGWFNKKSRCLKHTIIHGNEKSVKAKVVDECDSSMGCDSGYDYQPPCPNNIVDASKAVWNALGVSDPVREMDIY >Manes.10G075806.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14175741:14176321:-1 gene:Manes.10G075806.v8.1 transcript:Manes.10G075806.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEDSGLSIPYTPRNPFGLFYNCPRNYNIQCEFFHWSDEPAPTGDRHIDELNLIRNEFTRLRRTGKNIDMMSKSLTIQAEIDEIKERVRTVNESDLIPPIDKLLSADDEGDDAVLIQTI >Manes.S023416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:267169:267336:1 gene:Manes.S023416.v8.1 transcript:Manes.S023416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.05G173900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28868859:28871049:-1 gene:Manes.05G173900.v8.1 transcript:Manes.05G173900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMGRALLAQPCSSSSLCFTSKSLCKSSLGLKNTCWTSEIGRNRCFTRTVVRAQQRPTWLPGLDPPPYLDGTLAGDYGFDPLGLGEDPESLKWYVQAELVHARFAMLGVAGILFTDLLRITGISKLPVWYEAGAVKYEFASTRTLLVIQLLWMGYAETRRYMDFVSPGSQAKEGSFFGMEAALEGLEPGYPGGPLLNPLGLAKDIKSAHDLKLKEIKNGRLAMVAMLGIFVQASVTHAGPIDNLLEHLSNPWHKTIIQTLSNSAS >Manes.03G095116.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16602717:16604811:1 gene:Manes.03G095116.v8.1 transcript:Manes.03G095116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMALMLILCLSAGAMMTGVRSEDPYLFFTWNVTYGTLSPLGVPQQVILINDQFPGPVINSTSNNNLVINVFNNLDEPFLLTWSGVQQRKNSWQDGVLGTNCPIPPGTNYTYRFQVKDQIGSFLYYPSTALHRASGGFGGLHINSRLLIPVPYPDPEDDYTVIVNDWYTKSHKTLRSYLDNGRSIGRPQGVLINGKTAEGNGKDEPLFTMKPGKTYKYRICNAGLKTSINFRIQGHTMKLVEMEGSHVMQEVYQSLDVHVGQCMSVLVTANQGPKDYYMVASTRFIKSVLTGMGIIRYSNGKGPASAELPEAPVGWAWSVNQFRSFRWNLTASAARPNPQGSYHYGSINITRTIKLFNSVSRSDGKLRYAINGVSHTDPETPLKLAEYYGIADKVFKYDTIQDNPPEKINKIVTQPNVLNMTFRNFVEIILENHEKTMQSWHLDGYSFFTVAVEPGTWTPEKRKSYNLLDAVSRTTVQVFPKSWAAIFLTFDNAGMWNIRSELWERRYLGQQLYASVLSPARSLRDEYNIPDNALLCGLVKDLPKPPPYSI >Manes.09G084632.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22192434:22212362:1 gene:Manes.09G084632.v8.1 transcript:Manes.09G084632.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPDEAINQFKALMDQVEEPLKRTYQNIHQGYQTETLIRFLKAREWNVAKAHKMLVDCLHWRIQNDIDDILVKPIVPTDLYRSVRDSQLIGMSGYSREGLPVFVIGVGLSTFDKASVHYYVQSHIQMNEYRDRVILPSASKKHGRPITTCFKVLDMTGLKLSALSQIKLLTIISSIDDLNYPEKTKTYYIVNAPYIFSACWKVVKPLLQERTRKKVQVLPGNGRDELLKIMDLASLPHFCKREGSGSSRHADNATENCYSLDHPFHQQLYNYIKQQSQINEPDKPIKQGSFHVDLPEAAEGTEIAKTIESELHKFENGNGISRYTVSTALIPKANGVYVI >Manes.09G084632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22192332:22212680:1 gene:Manes.09G084632.v8.1 transcript:Manes.09G084632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPDEAINQFKALMDQVEEPLKRTYQNIHQGYQTETLIRFLKAREWNVAKAHKMLVDCLHWRIQNDIDDILVKPIVPTDLYRSVRDSQLIGMSGYSREGLPVFVIGVGLSTFDKASVHYYVQSHIQMNEYRDRVILPSASKKHGRPITTCFKVLDMTGLKLSALSQIKLLTIISSIDDLNYPEKTKTYYIVNAPYIFSACWKVVKPLLQERTRKKVQVLPGNGRDELLKIMDLASLPHFCKREGSGSSRHADNATENCYSLDHPFHQQLYNYIKQQSQINEPDKPIKQGSFHVDLPEAAEGTEIAKTIESELHKFENGNGISRSVSGLKIDDD >Manes.17G067200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26773462:26775084:-1 gene:Manes.17G067200.v8.1 transcript:Manes.17G067200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLTNTLPLLSLLMVSCFNLGWNLKTANGQKTWCIVNPSTTHSELLVNLDYACSHVRCSQIQQGSSCFYPNTYHHHASFAMNLYYQFMGRHEEDCNFTNSALISLSDPSSGSCIYESGGNLEAYGKPYNKTYETWCVAKPATEDDMLQENINFSCNHVDCSPIQDGGSCFSPTTLMNHAAFAMNLYYQSTGRGSNSCEFRGTGLLVTTNPGYGNCTF >Manes.02G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10605990:10607447:1 gene:Manes.02G138700.v8.1 transcript:Manes.02G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLCPYVAVVIYLMFVVEMSSHSSHGWELAHATFYGGMNGRETMEGACGYGNLIKQGYGLATTALSTALFNNGSTCGGCFQLQCTNSSWCKKDAPDIRVTATNFCPPNYTKTTDVWCNPPQKHFDLSLPMFLKIAEYKAGIVPVRFRRVACHKQGGIRFELKGNPNWLLVLVYNVGGAGRVVDVKIKGSKTRWLQMTRNWGQNWQTWESLQGQILSFQVTASDGRMVQSNNVAPANWQFGQTYQGINFR >Manes.03G064300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7584432:7588208:-1 gene:Manes.03G064300.v8.1 transcript:Manes.03G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQEKASQWSGVDPADAFAIDNTSLFQKLGLQTFINLSTNFYNRVYDDEEEWFKSIFANSKKEEAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHQAAGRWLHHMQMALNGTPDIDEDSKIKMMNFFRHTAFFLVAGDELKNQNQQIPCKHGANKPT >Manes.02G066501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5078372:5078512:-1 gene:Manes.02G066501.v8.1 transcript:Manes.02G066501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSRATVEGSKKKMPCRRLGGYLREQKGRLYIIRRCIVMLVCWHD >Manes.11G039992.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3920468:3921358:1 gene:Manes.11G039992.v8.1 transcript:Manes.11G039992.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKVIASHTFEAWTEQFEKANRGKQLIVLDFSAAWCPHSRSMSPMLAELAKKMPNVTFLMVDANELCAVAMEWAVKVVPTFFFLKQGQLLDQFVGANVKQLISTIERHAGGAHGILESMTCNLPTMSNSAIIPNAANPQWTIPTNYPQPQVLIGTSSFHNPQMLPNYSILGTNNGFPV >Manes.06G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8849620:8857184:1 gene:Manes.06G033200.v8.1 transcript:Manes.06G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDQTVLSLRPGGGRGSRLFNPRLESSSSSALSSSSISFGDLPLLRPHGGAPLKTGDSRFETHDRVRYTRDQLVQLREAVVISDEILKIKREIEGELFGEDQSWGRGEINTPNQSQSRYSEPDNRDWRGRTAQFSSSGEERSWDSLRENRDLGGRYDSRQPDTSQFNRQDQLNSQFARAQISSNQSGGPAPALVKAEVPWSARKGNLSDKERVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVIQLIFEKAVLEPTFCPMYAQLCSDLNEKLPPFPSEEPGGKEITFKRVLLNNCQEAFEGADKLRQEVRQMTAPEQELERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVKELLGPDTKICPAEENVEAICQFFNTIGKQLDESAKSQRINDIYFLRVKELSSNPQLAPRLRFMIRDVLDLRANNWIPRREEVKAKTITEIHSEAEKNLGLRPGATSSIRNNRGVISAAPGTAGPGVFPINRPGAGGMMPGMPGTRRMPGMPGMDNDNWEVPKTRSMPRGDGSTMQHTGRVSSPMYNKSTSLNTRLLPQGSGGFIGGKSSALLQGSSGPSPRPYGVGSGNELPAQVPAPAKTSPAPYVAPSVEKPAASAVSLNPEELGRKTVSLLKEYFNARLLDEALQCVEELKSPTYHPEVVKEAISLALEENPPCVELVAKLLGYLLSKNVITARDIGTGCLLYGSLLDDIGIDLPKAPNNFGEIIGKLVLAGGLDFKVVKEVLKKMEDDRYQKAVFDAAMGTVSYSPNPQVILDSQESYIEDCKSLF >Manes.01G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31731203:31736352:-1 gene:Manes.01G121400.v8.1 transcript:Manes.01G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRACLSCKLLLVLLSGFSSIFVIVNSQATPSEDVAVMLDLKKSLNVPDSLGWSDPDPCNWNHVGCSDEKRITRIQVGRQNLLGTLPSNLQNLTQLERLELQWNNISGPLPSLSGLSSLQVIMLTGNRFTSIPSDFFSGLSSLQAVEIDSNPFSSWVIPESIKNASALQNFSANSANISGSIPDFFGSDAFPGLTILHLAFNKLEGELPASFAGSQIQSLWLNGQMSEAKLTGRVDVIQNMTSVKDVWLHSNAFTGPLPDFTGLKDLQVLSVRDNSFTGPVPMSLINLESLSVVNLTNNLFQGPMPEFKSSVFVDMTKDSNSFCLPSPGDCDSRVNTLLLIVKSMGYPRRFAQSWKGNDPCADWIGITCIQGNITVVNFQKMGLTGTISPEFSSLKSLQRLVLDDNNLTGSIPEELTTLPALKELDVSNNLLSGKIPLFKSNVMLNTKGNPDIGKEVSSSTSPGSPSTVPSANAGSGSGGDSGNSGKKSSKMTGVIVFSVIGGVLLILLIGLLSLCLYKKKQKRFSRVQSPNAMVIHPRHSGSDNESVKITVAGSSVSVGALSETHTIPASEQGDIQMVEAGNMVISIQVLRNVTNNFSEDNILGQGGFGVVYKGELHDGTKIAVKRMESGAISGKGLTEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEFMPQGTLSRHLFNWADEGLKPLAWTKRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMEIITGRKALDDSQPEESMHLVTWFRRMHLNKDSFRKAIDPTIDLDEETLASISTVAELAGHCCAREPYQRPDMGHAVNVLSSLVELWKPTDQNPEDIYGIDLEMSLPQVLQKWQAFEGRSNLESSSSSLLPSLDNTQTSIPTRPYGFAESFTSADGR >Manes.02G125200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9501649:9502493:1 gene:Manes.02G125200.v8.1 transcript:Manes.02G125200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSFFGNTRSSIFDPFNSFELWDPFKDFQFPSSSSIISGENSAFVNARIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFLRRFRLPENAKMDQVKASMENGVLTVTVPKEEVKRPDVKAIEISG >Manes.05G130400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21984302:21987374:-1 gene:Manes.05G130400.v8.1 transcript:Manes.05G130400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFGQMGIRDLGPPWLRPMLRASYFVPCAVHGDSNKSECNMFCLDCMGNALCSYCLIHHKDHRVVQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDPDLTFTLRMKHNRDPYSVGSESDESSTPKKIQKTQTFNRLMDGLSIYSSDGQSSGDEATTKLSPSTPPIDNLRNARRRKGIPHRAPF >Manes.05G130400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21984454:21987374:-1 gene:Manes.05G130400.v8.1 transcript:Manes.05G130400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFGQMGIRDLGPPWLRPMLRASYFVPCAVHGDSNKSECNMFCLDCMGNALCSYCLIHHKDHRVVQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDPDLTFTLRMKHNRDPYSVGSESDESSTPKKIQKTQTFNRLMDGLSIYSSDGQSSGDEATTKLSPSTPPIDNLRNARRRKGIPHRAPF >Manes.07G137650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34045900:34047362:-1 gene:Manes.07G137650.v8.1 transcript:Manes.07G137650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPMMESRFSPWEYSQRFCSRFLKYVTSLFHHWNGPFLRGKAECVCRTRKGPAVANCLHVLVSRHESFMVMEQLNTAYDKLTLKQPYGSHKISEHLPEHPFEDIADWKGT >Manes.07G137650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34045900:34047851:-1 gene:Manes.07G137650.v8.1 transcript:Manes.07G137650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYSQRFCSRFLKYVTSLFHHWNGPFLRGKAECVCRTRKGPAVANCLHVLVSRHESFMVMEQLNTAYDKLTLKQPYGSHKISEHLPEHPFEDIADWKGT >Manes.09G183500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37110337:37118031:1 gene:Manes.09G183500.v8.1 transcript:Manes.09G183500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGTRGDVQPFIAIGKRLQEDGHRVRLATHANFKDFVLTAGLEFFPLGGDPKVLAGYMVKNKGFLPSSPSEISIQRHQLRDIIFSLLPACQNPDPDTKIPFKANAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQPVAYKLSYQIVDSMIWLGIRNIINEFRKKKLKLRPVTYLSGYYSSSPDLPYGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPNSLVEWLEGGDPPIYVGFGSLPLQEPEKMTQIIVTALEETGQRGIINKGWGGLGNLAEPKEFVYLLDNCPHDWLFSRCKAVVHHGGAGTTAAGLKVGCPTTIVPFFGDQPFWGEQVHARGLGPAPIPVDEFSLEKLVDAIHFMLDPKVKEHAMELAKAMEEENGVQGAVNAFYKHFPRQKLEPEPSPKPAHPKCPSIKSCFACH >Manes.09G183500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37110337:37118031:1 gene:Manes.09G183500.v8.1 transcript:Manes.09G183500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPDNPHRRSCSGDSVVCENGIASNSSGVIDSSLVKHSVSGETSATGKSDSGSSHQEKSGRHGESYHLSILAAKLFDDRIPFKKKIKLLPQFANIKDDGTVQLEVPGDIKPPSLDLVKVVQDESTDEEPFDTAEPQDIPPLQIVMLIVGTRGDVQPFIAIGKRLQEDGHRVRLATHANFKDFVLTAGLEFFPLGGDPKVLAGYMVKNKGFLPSSPSEISIQRHQLRDIIFSLLPACQNPDPDTKIPFKANAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQPVAYKLSYQIVDSMIWLGIRNIINEFRKKKLKLRPVTYLSGYYSSSPDLPYGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYEPPNSLVEWLEGGDPPIYVGFGSLPLQEPEKMTQIIVTALEETGQRGIINKGWGGLGNLAEPKEFVYLLDNCPHDWLFSRCKAVVHHGGAGTTAAGLKVGCPTTIVPFFGDQPFWGEQVHARGLGPAPIPVDEFSLEKLVDAIHFMLDPKVKEHAMELAKAMEEENGVQGAVNAFYKHFPRQKLEPEPSPKPAHPKCPSIKSCFACH >Manes.12G027650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2424888:2426874:-1 gene:Manes.12G027650.v8.1 transcript:Manes.12G027650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLGEMTFFLGLEVHQTSHGTFICQEKYANEVLKKFGMKNYSSVNTPLAQNEKLSKENDSEKIDSGIYRSVIGYLLYLPASRLDIMFVTSLLSRFMHNPSQRHFKAAKRVLRYVKGTSNLGIWFKAGQEVKLIGYTDSDWGDLVDKMKSTSGFMFSIGSGAVS >Manes.09G044700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7862544:7872837:-1 gene:Manes.09G044700.v8.1 transcript:Manes.09G044700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKASSKKQQKRGVDFKKIKRKLGRKLPPPKNATNTEIKSKAIILPEQSLASEKMGLAVSKKGLTLKELLQQTSHHNAKVRKDALMGMKDLFLKHPEELKLHRYAVIEKLRERVSDEDKVVRETLYQLLKSVILPGCNEDNQGPFISLIMAYIFNAMTHLAIEVRLMAFKFFDLIVQHFPASFSLHAEKVLQNYADILRKNQFYLEDKSKLKNVLAGLVCCLSLLPSSSSCKKKVHGQEMLHAFEPDKPTEVADFSVVINKLKDLVPVLVNCFQDFVPLIHSTQQLDAQSFDCLHNILKSIDLVVRFFVYGTEKDNRESHPSMWDQSISSVLLKKFVGVFPLNPVLHLSEKDDDRYFTLNVMIAEIFFHLSEWICPSAELLEKFLAFIEYALLEKIRSEVRSGRAIREKQIVALVHFVPKLVTQVKDNWKSRLLQAFTKTFLNCNPESSVKLACISAVEEMLFSRGMLYTDASDSELLDHLITWMRELPMLLILLGDRHSASSQAVLHLLLRLGQCCTVSSLLAFEYDNLQYSLQEFYGICREGKKCYGPFIKLPMDCQELSICCLYYFRHLDPLMLKTIASCCLCPDLDASLLFRIIEVLHLAFKAGHIQITDHLSFFVTLVSRVNVLPGDAHPDIEEDTKISNHQTFKSLIRVVCSCLAQMGDNVLLLAILEKVILEQILLKLPLDNVCAMLRVLVVLDSKPSRLSEESILSLSNFLLGYLIDVVHRIPGDDDVPMSSSHVPKQSCYILPCFFLFDRSHKLLKLVLNVMASSMSTSSSLSSNDHTHHASGRASRINDIVNVLRLMNRDAKIKQIICSSRAEIDLIWQNIHSLQEENNLGIRERHMIQCALDELMTIRS >Manes.11G068412.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15858320:15859267:-1 gene:Manes.11G068412.v8.1 transcript:Manes.11G068412.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLNAQRGRPSSISLDLQISWEPNHVITYEMCLSRDHIAISTQFNKGYGESFGHIYMYMRLARIATQSKTSSHLRPSKFKQVLDQEFDNGYLLAEC >Manes.10G037800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3600073:3610091:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKVFAFLTFVRSYLLFGSLL >Manes.10G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3600073:3610260:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3600954:3610187:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKVFAFLTFVRSYLLFGSLL >Manes.10G037800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3600073:3610091:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKVFAFLTFVRSYLLFGSLL >Manes.10G037800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3600073:3610057:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3601031:3610223:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3600954:3610230:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3601049:3609972:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3600073:3610260:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3601049:3609649:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3601032:3610126:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3601031:3610130:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.10G037800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3600753:3609972:-1 gene:Manes.10G037800.v8.1 transcript:Manes.10G037800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKEDVNPLALPPPSRQLPSATGSRKTFWRSASWSSSRTALQNPEAEEGDCVLDLNGNDIGNSNNVHNRRYPVPLTPRSQNNCKARSCLPPLQPLSIARRSLDEWPKAGSDDLGEWPQPTTPSGNKSGERLKLDLSSIHRNSDRNAGLLRRDRIAFFDKECSKVAEHVYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKTNFVYRTLWLQDSPSEDITSILYGVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAIPLSPSSLLRMYRIAPHSPYDPLHLVPRMMNDPSPSALDSRGAFIVHIPSVIYIWIGKNCDAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPVYFWDAFSNYLPLMEKFSNGVDSGKSAIKICPGERKVDMYNVDFEIFQKAIKGGFVPPFASSENELETHIPARESSWSMLRRKFAPIDMKEFVSAPKIFLSRVYSDSMMIVHSSSPSSSSSSSTSTSPPYLSPDSISSDSSTSSKYFSESSLDSPSAASCSVLVSSTLSDFSNLSLLSSKASSEPPSNVPALHDVYRMSNHFSQFISSPSKKSSPSHTERRGSLSKSLKLPLMTGNMRVTKTPPGSLGSLEDGAKINKNSFSWCNSNNVEIVLESNNHAKGDEDSMEKCKLNISQDRVVSVDSCDREAASVNNCDGPGRYCLLGEGVGCPISNGKEEKLSSSCNLMLICHWPSLKRIATFGTSDLDSKAAFAILAPAKGLGREGSRILYFWIGRSFPFDESLIHLDCNKVLMDGKFVDCNQVGCHVLTQMGLPKDIPVKIIKEGEEPAEFVALFPAV >Manes.09G020900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4309073:4310638:1 gene:Manes.09G020900.v8.1 transcript:Manes.09G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITAKSKAKSSNENWGMGLFFVLFPEDNSAASSSTTTTTSTAIVDKASPTSIPTNISKLIKRTNSNSPILTKTQSTISICVLLLFLTLLLFTLSTFEPTIPNPTTAVKTPRRFLSDNSPSKLIKTHQTKSKLSWFYSIWTSRHQPRIGPKRSKFLSSFALQGMGKLYSRGTRAMGDLIVGHVMEDTDEDEFKLFLRLLHRSGLTSRADLVFIFDSESSESRFEALIQEENDSFLKLVRRYMELNTTSHDSVSVPSSLRFDVTPFVKRGNKDMGEPLWGKRIRVNGFNNSEESEGKLTQLSYGSVVGFEASELDPENSLSGFLDRIPMGLKRWACYPMLLGRVRRNYKHMMLVNVKKLAFLSDPLGRVRSQSSESVYITVKQETASSTKHGRRTNNSDKTQSHSQVNSAILMGGTQGIRRFSKAMLTEIVRVSMERKKKNSITESAILNQLVNNVHILKDIHLIKSTESIPEASTLSESNSTDQWDNHRIIQQGNANYDLKSIIMKHICSCEADSGVYRDC >Manes.05G036700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3021343:3022723:1 gene:Manes.05G036700.v8.1 transcript:Manes.05G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVNDDCKLMFLELKAKRNHRFIVFKIDEKIQQVMVEKMGSPQASYDEFTSSLPPNECRYAVFDFDFTTDENCQKSKIFFIAWSPDTSKVRQKMLYASSKDRFKRELDGIQVELQATDPSEMSLDIVKGRAL >Manes.09G060680.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9803786:9808734:-1 gene:Manes.09G060680.v8.1 transcript:Manes.09G060680.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFTTTAIIPDAFQGARDDISMQFSIIWDQIKAPLIVPLLRLAVAICLIMSLMLFIERLYMGIVIILVKIFGRKPERRYKWEPIRDDVELGNSAYPMVLIQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPVIKDLVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKRNYVKSCDYVAIFDADFQPEPDFLWRTIPFLFHNPELALVQSRWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIGALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGTLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMLMEIIRNKKVTLWKKVHVIYSFFLVRKIVAHIVTFIFYCVVLPATVLVPEVEVPKWGAVYIPSIITILNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDALKTKAVKAPKKPRFKFGERLHLLELGAGAYLFFCGCYDAAFGKNHYFLYLYVQAIAFFIMGFGYVGTFVPQS >Manes.07G133144.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29657671:29662516:-1 gene:Manes.07G133144.v8.1 transcript:Manes.07G133144.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKHNSDSDEDETFYYRYSSASSLALPPPSSSSNTSKSNPKSNGSGGLAPSKSTLYVSNLDYSLTNSDLHTLFSTFGKIARVTVLKDRASRQSRGVAFIQFVSRNDALSAARQMDKKILNGRTLSASIATDNGRATEFIKKRIYKDKSRCYECGESGHLSYECPKNQLGPRERPVAKRVRRGGDPGRREEELGDEEVFEEENWASVVDGGADERLLSGGENKEVERKKVKKSSYFSDESDEEE >Manes.04G057900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:19187712:19191394:1 gene:Manes.04G057900.v8.1 transcript:Manes.04G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQQQGSSNKKKTRDLPNLSECHSCGFQFDSCAGKNRLQTLYSEWRIVLLCKICFARVESSQLCSYCFKGSSDNCFHCCECKRIIHKDCFLDYASVAPCSFSSSNFSVCVDCWVPKSVAAKRASLRPSNRKKSAVLGFGDCQIKSPEDVVREANSAVHRKIEADAKARELAEEKALAARRAAELTKVALDSMSLGDDNGSPAAGIDDVELALQLHQAVNSSSSILKNMCSVNSCCLAVQKSLVSSGKSVSDPSICVRVSGYGSSINMDCLDHKRFDDSWIRPNAKDSNAEAQFKEGEGSCSNRVMYSESQSCRKWNSQVVPADERCNGKPAGYLSKYNRSATRDERYHAKPDRYLFKYTRRKTADEKHPGEPDRYLFKYTRRKAADGKHHGKLDLCLAKYSRRTPAHVKHHQRLDQHLIQYTRRTPADERKHGKSDRYLIKYSRRKFSQRSILDGRSNYFCEGLLH >Manes.04G057900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:19187722:19191392:1 gene:Manes.04G057900.v8.1 transcript:Manes.04G057900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQQQGSSNKKKTRDLPNLSECHSCGFQFDSCAGKNRLQTLYSEWRIVLLCKICFARVESSQLCSYCFKGSSDNCFHCCECKRIIHKDCFLDYASVAPCSFSSSNFSVCVDCWVPKSVAAKRASLRPSNRKKSAVLGFGDCQIKSPEDVVREANSAVHRKIEADAKARELAEEKALAARRAAELTKVALDSMSLGDDNGSPAAGIDDVELALQLHQAVNSSSSILKNMCSVNSCCLAVQKSLVSSGKSVSDPSICVRVSGYGSSINMDCLDHKRFDDSWIRPNAKDSNAEAQFKEGEGSCSNRVMYSESQSCRKWNSQVVPADERCNGKPAGYLSKYNRSATRDERYHAKPDRYLFKYTRRKTADEKHPGEPDRYLFKYTRRKAADGKHHGKLDLCLAKYSRRTPAHVKHHQRLDQHLIQYTRRTPADERKHGKSDRYLIKYSRRKFSQRSILDGRSNYFCEGLLH >Manes.04G057900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:19187712:19191534:1 gene:Manes.04G057900.v8.1 transcript:Manes.04G057900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQQQQGSSNKKKTRDLPNLSECHSCGFQFDSCAGKNRLQTLYSEWRIVLLCKICFARVESSQLCSYCFKGSSDNCFHCCECKRIIHKDCFLDYASVAPCSFSSSNFSVCVDCWVPKSVAAKRASLRPSNRKKSAVLGFGDCQIKSPEDVVREANSAVHRKIEADAKARELAEEKALAARRAAELTKVALDSMSLGDDNGSPAAGIDDVELALQLHQAVNSSSSILKNMCSVNSCCLAVQKSLVSSGKSVSDPSICVRVSGYGSSINMDCLDHKRFDDSWIRPNAKDSNAEAQFKEGEGSCSNRVMYSESQSCRKWNSQVVPADERCNGKPAGYLSKYNRSATRDERYHAKPDRYLFKYTRRKTADEKHPGEPDRYLFKYTRRKAADGKHHGKLDLCLAKYSRRTPAHVKHHQRLDQHLIQYTRRTPADERKHGKSDRYLIKYSRRKFSQRSILDGRSNYFCEGLLH >Manes.14G101501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8402313:8403536:1 gene:Manes.14G101501.v8.1 transcript:Manes.14G101501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHVLFDKYEMGRLLGMGTFAKVYYGKNLATGESVAIKVINKNQVKKEGMMEQIKREISVMRLVPHPNIIELKEVLAIKSKIFFVMEYVRGGELSLRSLRGSSKRMLLVSIFNSSPAQSIFAIAEAFVIET >Manes.15G015600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1274728:1275620:1 gene:Manes.15G015600.v8.1 transcript:Manes.15G015600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPAQLTSLIIGKLQAITIISPASVLISVLSRISVKEVDMDRVAKLASQKAVVIFSKSSCCMCHAIKRLFYEQGVSPAIYELDEDPRGKEMEWALMRLGCNPSVPAVFIGGKFVGSANTIMTLHLNGSLKKLLREAGAIWL >Manes.14G154402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18548351:18553229:1 gene:Manes.14G154402.v8.1 transcript:Manes.14G154402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLEYIGEHGNDDPQRGEAIELLDVMSHFEFVFVLLFMIKILGITCDLSQVLQRRDEDIINVVHLVKVSKYRMQIIRDDGWEFIFTSYITYNTLLQFQELNNRFDEVNTNLLLCMTYLDPEYLFSAFDVSKLIELAKFYPYEFLQNFGALVKNVVAIKKHIVFPLVYTFVKLSLLLPVATATFEKVFSAMHIIKNRLRNKMGDDLLKDCLVTYIERDVFVNVDNEDTMNRFQIIKNRREIL >Manes.09G135300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33548307:33551253:-1 gene:Manes.09G135300.v8.1 transcript:Manes.09G135300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKQKLLIEAEKPEHDEVPFKDKLWTETKKMWIVAGPAIFTRFSTFGISVISQAFVGHIGSTELAGYSLVVTVLLRFANGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLTMCAICLLPLFIFTTYILRALGQDESIVEVAGNISLWLIPVMFSFIPSFTCQMYLQAQSKNMIIAYLAAFSLSIHILLSWLLTVKYKFGIPGAMASTILAYWIPNIGQLAFVTCGGCPETWKGFSFLAFKDLFPIIKLSLSSGAMLCLELWYSTVLILLTGNMKNAEVSIDALAICLNINGWEMMISFGFLAAASVRVSNELGRGSSKDAKFSIVVTVLTSFIIGFILFLVFLSLRGKLAYLFTENPKVADAVSDLSPLLAFSILMNSIQPVLSGVAVGAGWQSIVAYVNIACYYLVGIPVGVVLGYVIHLQVKVSLARNRINKWYVADSEEQPNTQP >Manes.09G135300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33548307:33551253:-1 gene:Manes.09G135300.v8.1 transcript:Manes.09G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKQKLLIEAEKPEHDEVPFKDKLWTETKKMWIVAGPAIFTRFSTFGISVISQAFVGHIGSTELAGYSLVVTVLLRFANGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLTMCAICLLPLFIFTTYILRALGQDESIVEVAGNISLWLIPVMFSFIPSFTCQMYLQAQSKNMIIAYLAAFSLSIHILLSWLLTVKYKFGIPGAMASTILAYWIPNIGQLAFVTCGGCPETWKGFSFLAFKDLFPIIKLSLSSGAMLCLELWYSTVLILLTGNMKNAEVSIDALAICLNINGWEMMISFGFLAAASVRVSNELGRGSSKDAKFSIVVTVLTSFIIGFILFLVFLSLRGKLAYLFTENPKVADAVSDLSPLLAFSILMNSIQPVLSGVAVGAGWQSIVAYVNIACYYLVGIPVGVVLGYVIHLQVKGVWIGMLFGTFVQTIILIIVTCKTDWEKQVSLARNRINKWYVADSEEQPNTQP >Manes.09G135300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33548307:33551253:-1 gene:Manes.09G135300.v8.1 transcript:Manes.09G135300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALETLCGQSYGAKQYHMLGIYLQRSWIVLTMCAICLLPLFIFTTYILRALGQDESIVEVAGNISLWLIPVMFSFIPSFTCQMYLQAQSKNMIIAYLAAFSLSIHILLSWLLTVKYKFGIPGAMASTILAYWIPNIGQLAFVTCGGCPETWKGFSFLAFKDLFPIIKLSLSSGAMLCLELWYSTVLILLTGNMKNAEVSIDALAICLNINGWEMMISFGFLAAASVRVSNELGRGSSKDAKFSIVVTVLTSFIIGFILFLVFLSLRGKLAYLFTENPKVADAVSDLSPLLAFSILMNSIQPVLSGVAVGAGWQSIVAYVNIACYYLVGIPVGVVLGYVIHLQVKGVWIGMLFGTFVQTIILIIVTCKTDWEKQVSLARNRINKWYVADSEEQPNTQP >Manes.17G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5534397:5538993:-1 gene:Manes.17G013300.v8.1 transcript:Manes.17G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTIGGAAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYAGAMDAVRQTLAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMETLLRSQPGAPLTVGQQIVCGAGAGLAVSFLACPTELIKCRLQAQSALASSGPGAVAVKYSGPMDVAKHVLKSEGGTRGLFKGLVPTLGREVPGNAAMFGVYELLKQSMAGGQDTSQLGRGSLMLAGGLAGGTFWLMVYPTDVVKSVIQVDDHRNPKFNGSIDAFRKILASEGVKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Manes.18G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17988883:18013596:-1 gene:Manes.18G138800.v8.1 transcript:Manes.18G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLRFPLSSWLVKNPLQPTFKSLLMPLFPLKLRLLCFSCGCSLPSPPSTSHALNQSQKWQPFRKKKVVLRVGYVGTDYRGLQKQQDEHSLSTIEEELEHAIFKAGGILDSNYGHLQKVAWARSSRTDKGVHSLATMITMKMEIPENAWNEDPYGIALAEHVNNYLPDTIRIFSILPSQKSFDPRRECDLRKYSYLLPAEIIGIKRHFTTAEIDNHLSDFNDILNTFEGEHPFHNYTIRSKYRRAPKIGRVSRKARSFGERSASEIEESDREENYGIDRMIKLDCMETTQNSKESSFHDQNGSVLKDQQSNAFIHARWLHEPDETDRIGASHFRKIFRCSCGKLEKSGRFDFVEISIWGESFMLHQIRKMVGTAVAVKRKLLPRDILTLSLAKFSRIVLPLAPPEVLVLRSNSFLFRKRPAVGSRPEMLRMVESEEILKVVNDFYSNRMLPRVSKFLDPCGFPWKDWVEKLDEHTSIPDAQLDEVRSAWKVWKEKLHARTNVTSVIN >Manes.06G097600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23185520:23188602:1 gene:Manes.06G097600.v8.1 transcript:Manes.06G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREMLKSKWMATVASIWIQCSCGASYTFGIYSSILKSTQGYDQSTLDTISVFKDIGANAGILSGLLYSAVTLHGNHSRLGVFIGPWVVHAAGAIQCFLGYFVIWASVDGLIPRLPVPLMCFFMWMAAHAQTFFNTSNVVSGVHNFGDYGGTIVGIMKGFLGLSGAMLIQFYETVCLGNPKSFILLVALTPTLLSLLLMNLVRNYDTNTKEDKKHLNAFSAVALIIAAYLTINILLENIFTLPLWSRIITFVVLVFLVGSPLGIAVRAQRESCDRFAQSLLEERGYKPKPEESSEFSAAEDPTDYRALPSGEAQAKAATDYQSSSDEYDLNLLQALRTVNFWLLFIATICGLGSGLATVNNISQIGESLGYTTIEINSLVSLWSIWNFLGRFGAGYVSDIFLHRSGWARPLFMAITLSTLSIGHIVIASGFTKCLYLGSFLVGVSYGSQWSLMPTISSELFGVGHMGTIFNTIAIASPLGSYIFSVRVVGYIYDKAATGKDNTCSGTHCFMLSFLIMAAVAFFGVLVALVLFFRTRRFYQEVVHRRVHHS >Manes.01G246400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40648067:40651775:-1 gene:Manes.01G246400.v8.1 transcript:Manes.01G246400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLTLQPTFNSFFFNPTTKPFYPKHLSFFKLTHLSKFRTSPICLSCTSPSNRLNPKSDCNNNVSSFNPLWVFVPILQRVKGVASSQTRKWVSRLQAYSEESEKAMNGYSGNYLQNGAFGVALLSVTSNAKVRFSPFVATLAANPTFISGLLAWFLAQSIKVILNFFVERKWDLRILFASGGMPSSHSALCTALTTSVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNMIVEDLFQGHPISERKLKELLGHTPSQVFAGAVLGILVACYCCQGYLVVT >Manes.08G070924.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12137763:12142262:-1 gene:Manes.08G070924.v8.1 transcript:Manes.08G070924.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRPMQKKKRHKYSPTYRHSRPEIGDLKIDSKTPQQHCLSIISVGRLRSNVLEAYRYSKSLKFSLIGH >Manes.02G111000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8593777:8602991:1 gene:Manes.02G111000.v8.1 transcript:Manes.02G111000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYALDVNVERAEDVLTHKRLLQLAEDPANRPAFEVRLVQVYPVSNGMSTESIHSDCSMKEDAQSSYMAIRQSVHPPPTFGSSPNLEVLAFQANKYNVEDGDSAVNSASLLSRPMHEITFSTVDRPKLLSELTFLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWAREETEELKNALEKEILKFKEQSCSKQASISAASKQNETRIESIPDCVEIPSDGTDVWEIDTDHLKFENKVASGSYGDLYRGTYCSQEVAIKILKPERVSAEMLREFSQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMARGSIYDFLHKQKGVFKLSSLIKVATDVSRGMNYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDYKADVFSFAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKNAHPKLAELLERCWRQDPSQRPNFSEIIDILKQIAKEVTNDKEERRRDKSSAGFFSALIRGHH >Manes.02G111000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8593777:8602991:1 gene:Manes.02G111000.v8.1 transcript:Manes.02G111000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPVSNGMSTESIHSDCSMKEDAQSSYMAIRQSVHPPPTFGSSPNLEVLAFQANKYNVEDGDSAVNSASLLSRPMHEITFSTVDRPKLLSELTFLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWAREETEELKNALEKEILKFKEQSCSKQASISAASKQNETRIESIPDCVEIPSDGTDVWEIDTDHLKFENKVASGSYGDLYRGTYCSQEVAIKILKPERVSAEMLREFSQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMARGSIYDFLHKQKGVFKLSSLIKVATDVSRGMNYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDYKADVFSFAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKNAHPKLAELLERCWRQDPSQRPNFSEIIDILKQIAKEVTNDKEERRRDKSSAGFFSALIRGHH >Manes.02G111000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8593777:8602991:1 gene:Manes.02G111000.v8.1 transcript:Manes.02G111000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESIHSDCSMKEDAQSSYMAIRQSVHPPPTFGSSPNLEVLAFQANKYNVEDGDSAVNSASLLSRPMHEITFSTVDRPKLLSELTFLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWAREETEELKNALEKEILKFKEQSCSKQASISAASKQNETRIESIPDCVEIPSDGTDVWEIDTDHLKFENKVASGSYGDLYRGTYCSQEVAIKILKPERVSAEMLREFSQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMARGSIYDFLHKQKGVFKLSSLIKVATDVSRGMNYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDYKADVFSFAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKNAHPKLAELLERCWRQDPSQRPNFSEIIDILKQIAKEVTNDKEERRRDKSSAGFFSALIRGHH >Manes.02G111000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8593777:8602991:1 gene:Manes.02G111000.v8.1 transcript:Manes.02G111000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEDLESCGSRPVESYSQANPRHHMQKLEVYNEVLRRLQEFNYEEANLPGFDDQLWLHFNRLPARYALDVNVERAEDVLTHKRLLQLAEDPANRPAFEVRLVQVYPVSNGMSTESIHSDCSMKEDAQSSYMAIRQSVHPPPTFGSSPNLEVLAFQANKYNVEDGDSAVNSASLLSRPMHEITFSTVDRPKLLSELTFLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWAREETEELKNALEKEILKFKEQSCSKQASISAASKQNETRIESIPDCVEIPSDGTDVWEIDTDHLKFENKVASGSYGDLYRGTYCSQEVAIKILKPERVSAEMLREFSQEVYIMRKIRHKNVVQFIGACTRPPNLCIVTEFMARGSIYDFLHKQKGVFKLSSLIKVATDVSRGMNYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDYKADVFSFAIVLWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKNAHPKLAELLERCWRQDPSQRPNFSEIIDILKQIAKEVTNDKEERRRDKSSAGFFSALIRGHH >Manes.08G108401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34800332:34802248:1 gene:Manes.08G108401.v8.1 transcript:Manes.08G108401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGEKREESDRVSDYLSMVPLTLGGESPSVLFYSFEFCLISAMCVFGFPSAIDGGFSLFYRCGYFGVLKTDRQCLLLREFDLQPV >Manes.10G020215.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1035005:1035388:-1 gene:Manes.10G020215.v8.1 transcript:Manes.10G020215.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFCLGFISVWEGKQQLTCASNLVVLDSPGGWFVSEISADFGSVGALPAVAGLWTAAGDVTGTSAGADLWAAVVVAV >Manes.08G067600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:10355331:10355738:-1 gene:Manes.08G067600.v8.1 transcript:Manes.08G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLKPNKAVIVLQGRYAGRKAVIVKSFDEGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKCFIKLVNYQHLMPTRYTLDVDIKDVVSADALQSKEKKVAAAKETKARFEERFKTGKNRWFFTKLRF >Manes.02G192300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15448822:15451945:-1 gene:Manes.02G192300.v8.1 transcript:Manes.02G192300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCTQSSLSKKPKPVSIRFHMQRTVNSSSASVLFVLLAILLIKMAESVPSPAVDSSNADVHIVYTKRPQDEEPEAYHIRTLASVLGSDEAAKEALQYSYKTAASGFSAKLTPEQVEQISKQPGVLQVVPSGTYRLHSGPERLH >Manes.02G192300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15448822:15451945:-1 gene:Manes.02G192300.v8.1 transcript:Manes.02G192300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSCTQSSLSKKPKPVSIRFHMQRTVNSSSASVLFVLLAILLIKMAESVPSPAVDSSNADVHIVYTKRPQDEEPEAYHIRTLASVLGSYKTAASGFSAKLTPEQVEQISKQPGVLQVVPSGTYRLHSGPERLH >Manes.05G029500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2479132:2481026:-1 gene:Manes.05G029500.v8.1 transcript:Manes.05G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDWDLHAVVRGCTTTSYASSSSTAAASITTSDSASSFTRPDFCFSSSSSSFASEPPGPLFSLPDPFETRNGIGELHELYKPFFPRSHQTLYSPQPQPAPISPLSSLSVSKEQTQIKQPKQSHAASLTSTANSHAPRSKRRKNQLKKICHVPAESLSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPGMFIVSYTGEHNHPAPSHRNSLAGSTRQKTTTPQTVTSSDSNKPSPAAKPTCSSPATSMDDELLPQITNTESREEKDTMEDEEEDEFGGFSDMTASDDFFSGLDELDDPVTRDRFSGHFPLNLGLPWLAKNAATAAGGI >Manes.18G089500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8231920:8233983:-1 gene:Manes.18G089500.v8.1 transcript:Manes.18G089500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYTSLIFLVHFFLFFNFNSSSFSILFVPVNNVTLYGDAHFRNNAISLTQEHGCFHPPSSSASSSSSYSGVGRALYLYPIRFFDPTTNTTASFFCRFSFSIIPSPLCPFGEGLAFLITSNAESFSLSNGYMGLPGPGLDPQDSFIAVEFDTSSDLSLSDISSNHIGIDVNTPVSLASVDAVSEGIDMKSGRQITAWIEYTDATKLIQVWVSHSQIRPSNPVLEAEVDLSMYFKEYMHVGFTASNGQGSAMHIVDHWRFKTYGSPTDATGGGDCLMCYPEDTGVNGNNFPSDLHKRRTKMREISLGLGGLAAFIFSMAAIIFFVIRKKRGFDRRRKKFQILRGHRGPIRFSISEIKSATLGFHRSRVVGEGASATVYKGFLPSVGVVAVKRFNKGEIDCCRNPFITEFATMVGFLRHSNLVQLQGWCCEGVELILVYEYLPNGSLDKLLYNTTGSTLCLSLKQRLNIALGVASALSYLHDECERQIIHRDVKSCNIMLDEEFNAKLGDFGLAEAYEHSTKTREATIPAGTMGYLAPEYVYSGVPSVKTDVYSFGVVVLELATGRRPVEDDGTVLVDWLWRFWEKGKLIEAADRKLKGKFNEVEMERMMMMGLHCVHPSHEYRPTVKRAAKILIGEAPAPLLPARKPKMEFRYIWSEDSQGRAILAGDYSPGTDDMSWMTPRSHFGWD >Manes.12G106122.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28870984:28878768:1 gene:Manes.12G106122.v8.1 transcript:Manes.12G106122.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHPQTDGQSEVTNRSLYGRNPPMVPRYELGSSLVHEVDNALATRDEILRIHLSRSVHQMKQLADKKCRDVEFHPGDFVYLKLQPYRQQSVSKRAFQKLASRFYGPFLVEEKIGNLAYRLQLPSGSKIHPVFHVSLLKKHIGDAVPTSSDFPQLTDDGYFVFEPAEECTADLQARFPTLNLEDKVPTDGGGTDRPIRRSSKVIIKNKKYLD >Manes.05G186800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30723387:30727118:1 gene:Manes.05G186800.v8.1 transcript:Manes.05G186800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPAYQDRTYQNGIASTNSPLSVASPSHKFFTSNGTKYSSPLPASFFSFNAVPFRRFLASAFLQKRKGFRRSFYRCLIFFVVGFFLGIFLFGHVENDVQNHDFSFEIKPPHVNAQLDDGDNRSIKHDVFALNTVSLGVDTQLNYVSRFDFVPRKLLIVITPTYNRALQAYFLNRLGQVLRLVQPPLLWIVVETKAATMETADILRKTGVMYRHVVCERNSTSEKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYSFELFESLREISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSRRLRRFHVDMSGFAFNSSILWDPKRWQRRSSHPIRQLDTVKEGFQETTFIEQVVEDESQMEGVPPGCSRILNWHLHLDAHGLAYPRGWMFQKNLDIVLPIK >Manes.05G186800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30723387:30727119:1 gene:Manes.05G186800.v8.1 transcript:Manes.05G186800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPAYQDRTYQNGIASTNSPLSVASPSHKFFTSNGTKYSSPLPASFFSFNAVPFRRFLASAFLQKRKGFRRSFYRCLIFFVVGFFLGIFLFGHVENDVQNHDFSFEIKPPHVNAQLDDGDNRSIKHDVFALNTVSLGVDTQLNYVSRFDFVPRKLLIVITPTYNRALQAYFLNRLGQVLRLVQPPLLWIVVETKAATMETADILRKTGVMYRHVVCERNSTSEKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYSFELFESLREISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSRRLRRFHVDMSGFAFNSSILWDPKRWQRRSSHPIRQLDTVKEGFQETTFIEQVVEDESQMEGVPPGCSRILNWHLHLDAHGLAYPRGWMFQKNLDIVLPIK >Manes.05G186800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30723387:30727118:1 gene:Manes.05G186800.v8.1 transcript:Manes.05G186800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPAYQDRTYQNGIASTNSPLSVASPSHKFFTSNGTKYSSPLPASFFSFNAVPFRRFLASAFLQKRKGFRRSFYRCLIFFVVGFFLGIFLFGHVENDVQNHDFSFEIKPPHVNAQLDDGDNRSIKHDVFALNTVSLGVDTQLNYVSRFDFVPRKLLIVITPTYNRALQAYFLNRLGQVLRLVQPPLLWIVVETKAATMETADILRKTGVMYRHVVCERNSTSEKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYSFELFESLREISRFGTWPVAMLAQSKNKAILEGPVCNGSQVIGWHTNEKSRRLRRFHVDMSGFAFNSSILWDPKRWQRRSSHPIRQLDTVKEGFQVCII >Manes.11G026800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2627702:2633387:-1 gene:Manes.11G026800.v8.1 transcript:Manes.11G026800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVALTCSHISNLISKFHFFSFYSLNRHQPMFSHLLFFFLFFFAFSLSCVLSLFFLYKNFSRPKREEQNHNAGTLAINRSLSKLIDETRKTRENDSIPLSRSLLLDILPSDSTKWAALFGNYQDKTSSGHGDERDGSVGDSQKVKKKKKRAKKKKMDSKSEENGGSGSDSGSGQVKPELVCLYPFTSTSSATQRKIKQQYDQLVKCNESKGLTLAQVGEFANCLIEAKNDLQHKSEVIKRKFTITKALLFKADRSSIDRLRQQIYKLELEQKRLEEDKFVYNWLQQQLKLSPAYKKMLEISACLELKAKSGELIENKDTEVADISFEELLAQEKKDAFWQKNGKSRLYSSQE >Manes.16G137700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34001111:34002705:-1 gene:Manes.16G137700.v8.1 transcript:Manes.16G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWVFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPDRPARTCTLENIEGAVCWGAAYCVRGGAEKERVAMEYLERRECEYDKKSLVDFYKDADPSEPAITGVIVFTSTPDKISNKYYLGPAPLDEMARQIATAYGPCGNNRDYLFLLEKAMHDIGHEDDMVIELANEVRKVLGIVGNEIFKEMLAGPALKSHMASLQLRPLPEAMALDS >Manes.08G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11269299:11273924:1 gene:Manes.08G069900.v8.1 transcript:Manes.08G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNGREEDGANSPSGSSGGGVGVGVDEEGGGGKNGSEGMVAPDEAHLGYLPPPPELMGQSPPHSPRATQSPLMFTPQVPIVPLQRPDEIQIPSNSWTQTAVGYEEMCNEQGIPTMISWSYGGKEIAVEGSWDNWKKRIPLQRSGKDYSIMKVLPSGVYQYRFIVDGQWRHIPDLPWAQDDNGNAYNILDLQDYVPEDLESISSFEPPQSPESSYNNMQLGNDDFAKEPPFVPPHLQMTLLNMPSSYMEIPPPLSRPQHVVLNHLYMQKGKGGPAVVALGSTHRFLAKYVTVVLYKSIQR >Manes.03G002900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:318016:324354:1 gene:Manes.03G002900.v8.1 transcript:Manes.03G002900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFPNCINAPSFPAERHRISLRRTRSQISHSTVQTRNRWLPIRVSSLAAVASTPENSPSGTATSGTRISRRVASTSENENKASSELLRKSSAAMEQLDIERGVCLPFRKYSPETVRNKVLESRGAIASLMLRGVEIVWNLGLYWSALMYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFKIIEEELGQPLEAVFTKISSQTIAAASLGQVYRATLRDTGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPKVYRNLSGSRVLVMEWIDGIRCTAPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNVFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIEVN >Manes.03G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:318016:324353:1 gene:Manes.03G002900.v8.1 transcript:Manes.03G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFPNCINAPSFPAERHRISLRRTRSQISHSTVQTRNRWLPIRVSSLAAVASTPENSPSGTATSGTRISRRVASTSENENKASSELLRKSSAAMEQLDIERGVCLPFRKYSPETVRNKVLESRGAIASLMLRGVEIVWNLGLYWSALMYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFKIIEEELGQPLEAVFTKISSQTIAAASLGQVYRATLRDTGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPKVYRNLSGSRVLVMEWIDGIRCTAPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNVFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIEVLFKDGVFQWKRLEKLIVLAKENVARMSSNPALQVKNKQNSRKLQVERKLDLTDTIRDGARLFFTDEVIRRQLILALTEDSKLHIEELVDVYKLVEDELDIPSVAVEVVRDIPAFIRDLILSWSDSVLSDR >Manes.03G002900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:318016:324353:1 gene:Manes.03G002900.v8.1 transcript:Manes.03G002900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFPNCINAPSFPAERHRISLRRTRSQISHSTVQTRNRWLPIRVSSLAAVASTPENSPSGTATSGTRISRRVASTSENENKASSELLRKSSAAMEQLDIERGVCLPFRKYSPETVRNKVLESRGAIASLMLRGVEIVWNLGLYWSALMYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFKIIEEELGQPLEAVFTKISSQTIAAASLGQVYRATLRDTGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPKVYRNLSGSRVLVMEWIDGIRCTAPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNVFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVLFKDGVFQWKRLEKLIVLAKENVARMSSNPALQVKNKQNSRKLQVERKLDLTDTIRDGARLFFTDEVIRRQLILALTEDSKLHIEELVDVYKLVEDELDIPSVAVEVVRDIPAFIRDLILSWSDSVLSDR >Manes.03G002900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:318016:324353:1 gene:Manes.03G002900.v8.1 transcript:Manes.03G002900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFPNCINAPSFPAERHRISLRRTRSQISHSTVQTRNRWLPIRVSSLAAVASTPENSPSGTATSGTRISRRVASTSENENKASSELLRKSSAAMEQLDIERGVCLPFRKYSPETVRNKVLESRGAIASLMLRGVEIVWNLGLYWSALMYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFKIIEEELGQPLEAVFTKISSQTIAAASLGQVYRATLRDTGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPKVYRNLSGSRVLVMEWIDGIRCTAPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNVFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIEVN >Manes.03G002900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:318016:324353:1 gene:Manes.03G002900.v8.1 transcript:Manes.03G002900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGVEIVWNLGLYWSALMYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFKIIEEELGQPLEAVFTKISSQTIAAASLGQVYRATLRDTGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPKVYRNLSGSRVLVMEWIDGIRCTAPQAIKEAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNVFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYVEMANDFTRLGFLASGTDVSPIIPALEAIWQNSVGKGLSDFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIEVLFKDGVFQWKRLEKLIVLAKENVARMSSNPALQVKNKQNSRKLQVERKLDLTDTIRDGARLFFTDEVIRRQLILALTEDSKLHIEELVDVYKLVEDELDIPSVAVEVVRDIPAFIRDLILSWSDSVLSDR >Manes.11G152192.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31955522:31956250:-1 gene:Manes.11G152192.v8.1 transcript:Manes.11G152192.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPQEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPRRPSIFHRASPLHGHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNRRRMST >Manes.01G044100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8822105:8828621:-1 gene:Manes.01G044100.v8.1 transcript:Manes.01G044100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDHGSYGYGGGSSPSPSNLSALAPPFTVDRSVPKLGSNPLVDLTEPAYAVSFNPSLHNWLGPNPPNSRPNYFSISSPEFDSVPSSNAYRYSSSNTHVPPLNPSASGSTDTFPYGQGSTSLLEAEPYYSSYVSPTIGSDGPLGLSHHSGYDLLSTSHVTTSNGSSHDDYTQNLSGLEHVAQWGGLWEGLPDWQQSEQVQLDGSFCSKEKFINQGLYASDGMSKYEEASCSIDTVGGENHCESAGIGLLDYSAKFKPTDYPMTSSSASTLLVPETCPLKAPSLKAVGSWNHQLPYSASHEKCFRKHDASSSDISKVKNSSYTVVIRPPDTSSFKNMNTSRDEDNKDFAGSKLYFMKEPHPFISSGCNVQFDASPVSFHLEQSDQVISELSSAKKEELSSYGSVSMEALDHLSREKSGIQVHHRSPDGSNIVLDINEPMNPIKNYSESFDHYNSAVDSPCWKGAPVSHFSEFEVSEAVPPQNMKKLEACCGSNIQGRQIFSLNANDSSKISPENSSESSVQHDGWGMESRLVDSLKRPLVANMQLREGIDDTVKSGPHTTNPSSFHGLKISEDALSSKAIDSSNHKRPCNDKKSCELKWASEKNCVPGVGVADFGMNMNDDADDCSSHVPFHAIEHVLCSPPSADDVPTKLKSHEGESTQKMYVRTLIETIMNLSELLVFHFSNDTCEVKENDYEALKDVIDNLNLCVLKNVERMTSTQDSLIHQKVSSQLGKSSKLRKDSNVNGSQISGIDPLNSESPVKYHQVQEGEYKINSGKNDGKLPYFESTRTAADILKSENMTRAIKKALSENFHGKEESDPQVILYKNLWLEAEASLCSASCMARFHHMKSEMDKLNSQKVSENTVVMEKPSESKVSLKPFTNKMLSSDTKDSPLPDTSVSESSILSTSSHADDVTARFHILKSQVNSSNTLNTSGVDKTLGSAEKSDHVVSPNSEDVDKLECEDTDGQKPDVSIQDSSLSSIINPVDDVEASVMARFHILKCRVDNLNTVDRGEHERASDDLGLGYAGLGRRWAVDRGGSMDRILDVNMEPQSQNNACSSSEDKSTIKEFQLFVKDDPVTQSRRINRLGDHSHASFPEGSSDWEHVLLEELVEQNS >Manes.01G044100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8822105:8828621:-1 gene:Manes.01G044100.v8.1 transcript:Manes.01G044100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDHGSYGYGGGSSPSPSNLSALAPPFTVDRSVPKLGSNPLVDLTEPAYAVSFNPSLHNWLGPNPPNSRPNYFSISSPEFDSVPSSNAYRYSSSNTHVPPLNPSASGSTDTFPYGQGSTSLLEAEPYYSSYVSPTIGSDGPLGLSHHSGYDLLSTSHVTTSNGSSHDDYTQNLSGLEHVAQWGGLWEGLPDWQQSEQVQLDGSFCSKEKFINQGLYASDGMSKYEEASCSIDTVGGENHCESAGIGLLDYSAKFKPTDYPMTSSSASTLLVPETCPLKAPSLKAVGSWNHQLPYSASHEKCFRKHDASSSDISKVKNSSYTVVIRPPDTSSFKNMNTSRDEDNKDFAGSKLYFMKEPHPFISSGCNVQFDASPVSFHLEQSDQVISELSSAKKEELSSYGSVSMEALDHLSREKSGIQVHHRSPDGSNIVLDINEPMNPIKNYSESFDHYNSAVDSPCWKGAPVSHFSEFEVSEAVPPQNMKKLEACCGSNIQGRQIFSLNANDSSKISPENSSESSVQHDGWGMESRLVDSLKRPLVANMQLREGIDDTVKSGPHTTNPSSFHGLKISEDALSSKAIDSSNHKRPCNDKKSCELKWASEKNCVPGVGVADFGMNMNDDADDCSSHVPFHAIEHVLCSPPSADDVPTKLKSHEGESTQKMYVRTLIETIMNLSELLVFHFSNDTCEVKENDYEALKDVIDNLNLCVLKNVERMTSTQDSLIHQKVSSQLGKSSKLRKDSNVNGSQISGIDPLNSESPVKYHQVQEGEYKINSGKNDGKLPYFESTRTAADILKSENMTRAIKKALSENFHGKEESDPQVILYKNLWLEAEASLCSASCMARFHHMKSEMDKLNSQKVSGLPENTVVMEKPSESKVSLKPFTNKMLSSDTKDSPLPDTSVSESSILSTSSHADDVTARFHILKSQVNSSNTLNTSGVDKTLGSAEKSDHVVSPNSEDVDKLECEDTDGQKPDVSIQDSSLSSIINPVDDVEASVMARFHILKCRVDNLNTVDRGEHERASDDLGLGYAGLGRRWAVDRGGSMDRILDVNMEPQSQNNACSSSEDKSTIKEFQLFVKDDPVTQSRRINRLGDHSHASFPEGSSDWEHVLLEELVEQNS >Manes.01G044100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8822105:8828621:-1 gene:Manes.01G044100.v8.1 transcript:Manes.01G044100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDHGSYGYGGGSSPSPSNLSALAPPFTVDRSVPKLGSNPLVDLTEPAYAVSFNPSLHNWLGPNPPNSRPNYFSISSPEFDSVPSSNAYRYSSSNTHVPPLNPSASGSTDTFPYGQGSTSLLEAEPYYSSYVSPTIGSDGPLGLSHHSGYDLLSTSHVTTSNGSSHDDYTQNLSGLEHVAQWGGLWEGLPDWQQSEQVQLDGSFCSKEKFINQGLYASDGMSKYEEASCSIDTVGGENHCESAGIGLLDYSAKFKPTDYPMTSSSASTLLVPETCPLKAPSLKAVGSWNHQLPYSASHEKCFRKHDASSSDISKVKNSSYTVVIRPPDTSSFKNMNTSRDEDNKDFAGSKLYFMKEPHPFISSGCNVQFDASPVSFHLEQSDQVISELSSAKKEELSSYGSVSMEALDHLSREKSGIQVHHRSPDGSNIVLDINEPMNPIKNYSESFDHYNSAVDSPCWKGAPVSHFSEFEVSEAVPPQNMKKLEACCGSNIQGRQIFSLNANDSSKISPENSSESSVQHDGWGMESRLVDSLKRPLVANMQLREGIDDTVKSGPHTTNPSSFHGLKISEDALSSKAIDSSNHKRPCNDKKSCELKWASEKNCVPGVGVADFGMNMNDDADDCSSHVPFHAIEHVLCSPPSADDVPTKLKSHEGESTQKMYVRTLIETIMNLSELLVFHFSNDTCEVKENDYEALKDVIDNLNLCVLKNVERMTSTQDSLIHQKVSSQLGKSSKLRKISGIDPLNSESPVKYHQVQEGEYKINSGKNDGKLPYFESTRTAADILKSENMTRAIKKALSENFHGKEESDPQVILYKNLWLEAEASLCSASCMARFHHMKSEMDKLNSQKVSGLPENTVVMEKPSESKVSLKPFTNKMLSSDTKDSPLPDTSVSESSILSTSSHADDVTARFHILKSQVNSSNTLNTSGVDKTLGSAEKSDHVVSPNSEDVDKLECEDTDGQKPDVSIQDSSLSSIINPVDDVEASVMARFHILKCRVDNLNTVDRGEHERASDDLGLGYAGLGRRWAVDRGGSMDRILDVNMEPQSQNNACSSSEDKSTIKEFQLFVKDDPVTQSRRINRLGDHSHASFPEGSSDWEHVLLEELVEQNS >Manes.01G044100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8822093:8828621:-1 gene:Manes.01G044100.v8.1 transcript:Manes.01G044100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDHGSYGYGGGSSPSPSNLSALAPPFTVDRSVPKLGSNPLVDLTEPAYAVSFNPSLHNWLGPNPPNSRPNYFSISSPEFDSVPSSNAYRYSSSNTHVPPLNPSASGSTDTFPYGQGSTSLLEAEPYYSSYVSPTIGSDGPLGLSHHSGYDLLSTSHVTTSNGSSHDDYTQNLSGLEHVAQWGGLWEGLPDWQQSEQVQLDGSFCSKEKFINQGLYASDGMSKYEEASCSIDTVGGENHCESAGIGLLDYSAKFKPTDYPMTSSSASTLLVPETCPLKAPSLKAVGSWNHQLPYSASHEKCFRKHDASSSDISKVKNSSYTVVIRPPDTSSFKNMNTSRDEDNKDFAGSKLYFMKEPHPFISSGCNVQFDASPVSFHLEQSDQVISELSSAKKEELSSYGSVSMEALDHLSREKSGIQVHHRSPDGSNIVLDINEPMNPIKNYSESFDHYNSAVDSPCWKGAPVSHFSEFEVSEAVPPQNMKKLEACCGSNIQGRQIFSLNANDSSKISPENSSESSVQHDGWGMESRLVDSLKRPLVANMQLREGIDDTVKSGPHTTNPSSFHGLKISEDALSSKAIDSSNHKRPCNDKKSCELKWASEKNCVPGVGVADFGMNMNDDADDCSSHVPFHAIEHVLCSPPSADDVPTKLKSHEGESTQKMYVRTLIETIMNLSELLVFHFSNDTCEVKENDYEALKDVIDNLNLCVLKNVERMTSTQDSLIHQKVSSQLGKSSKLRKDSNVNGSQISGIDPLNSESPVKYHQVQEGEYKINSGKNDGKLPYFESTRTAADILKSENMTRAIKKALSENFHGKEESDPQVILYKNLWLEAEASLCSASCMARFHHMKSEMDKLNSQKVSGLPENTVVMEKPSESKVSLKPFTNKMLSSDTKDSPLPDTSVSESSILSTSSHADDVTARFHILKSQVNSSNTLNTSGVDKTLGSAEKSDHVVSPNSEDVDKLECEDTDGQKPDVSIQDSSLSSIINPVDDVEASVMARFHILKCRVDNLNTVDRGEHERASDDLGLGYAGLGRRWAVDRGGSMDRILDVNMEPQSQNNACSSSEDKSTIKEFQLFVKDDPVTQSRRINRLGDHSHASFPEGSSDWEHVLLEELVEQNS >Manes.01G044100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8822093:8828621:-1 gene:Manes.01G044100.v8.1 transcript:Manes.01G044100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDHGSYGYGGGSSPSPSNLSALAPPFTVDRSVPKLGSNPLVDLTEPAYAVSFNPSLHNWLGPNPPNSRPNYFSISSPEFDSVPSSNAYRYSSSNTHVPPLNPSASGSTDTFPYGQGSTSLLEAEPYYSSYVSPTIGSDGPLGLSHHSGYDLLSTSHVTTSNGSSHDDYTQNLSGLEHVAQWGGLWEGLPDWQQSEQVQLDGSFCSKEKFINQGLYASDGMSKYEEASCSIDTVGGENHCESAGIGLLDYSAKFKPTDYPMTSSSASTLLVPETCPLKAPSLKAVGSWNHQLPYSASHEKCFRKHDASSSDISKVKNSSYTVVIRPPDTSSFKNMNTSRDEDNKDFAGSKLYFMKEPHPFISSGCNVQFDASPVSFHLEQSDQVISELSSAKKEELSSYGSVSMEALDHLSREKSGIQVHHRSPDGSNIVLDINEPMNPIKNYSESFDHYNSAVDSPCWKGAPVSHFSEFEVSEAVPPQNMKKLEACCGSNIQGRQIFSLNANDSSKISPENSSESSVQHDGWGMESRLVDSLKRPLVANMQLREGIDDTVKSGPHTTNPSSFHGLKISEDALSSKAIDSSNHKRPCNDKKSCELKWASEKNCVPGVGVADFGMNMNDDADDCSSHVPFHAIEHVLCSPPSADDVPTKLKSHEGESTQKMYVRTLIETIMNLSELLVFHFSNDTCEVKENDYEALKDVIDNLNLCVLKNVERMTSTQDSLIHQKVSSQLGKSSKLRKISGIDPLNSESPVKYHQVQEGEYKINSGKNDGKLPYFESTRTAADILKSENMTRAIKKALSENFHGKEESDPQVILYKNLWLEAEASLCSASCMARFHHMKSEMDKLNSQKVSGLPENTVVMEKPSESKVSLKPFTNKMLSSDTKDSPLPDTSVSESSILSTSSHADDVTARFHILKSQVNSSNTLNTSGVDKTLGSAEKSDHVVSPNSEDVDKLECEDTDGQKPDVSIQDSSLSSIINPVDDVEASVMARFHILKCRVDNLNTVDRGEHERASDDLGLGYAGLGRRWAVDRGGSMDRILDVNMEPQSQNNACSSSEDKSTIKEFQLFVKDDPVTQSRRINRLGDHSHASFPEGSSDWEHVLLEELVEQNS >Manes.01G044100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8822105:8828621:-1 gene:Manes.01G044100.v8.1 transcript:Manes.01G044100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDHGSYGYGGGSSPSPSNLSALAPPFTVDRSVPKLGSNPLVDLTEPAYAVSFNPSLHNWLGPNPPNSRPNYFSISSPEFDSVPSSNAYRYSSSNTHVPPLNPSASGSTDTFPYGQGSTSLLEAEPYYSSYVSPTIGSDGPLGLSHHSGYDLLSTSHVTTSNGSSHDDYTQNLSGLEHVAQWGGLWEGLPDWQQSEQVQLDGSFCSKEKFINQGLYASDGMSKYEEASCSIDTVGGENHCESAGIGLLDYSAKFKPTDYPMTSSSASTLLVPETCPLKAPSLKAVGSWNHQLPYSASHEKCFRKHDASSSDISKVKNSSYTVVIRPPDTSSFKNMNTSRDEDNKDFAGSKLYFMKEPHPFISSGCNVQFDASPVSFHLEQSDQVISELSSAKKEELSSYGSVSMEALDHLSREKSGIQVHHRSPDGSNIVLDINEPMNPIKNYSESFDHYNSAVDSPCWKGAPVSHFSEFEVSEAVPPQNMKKLEACCGSNIQGRQIFSLNANDSSKISPENSSESSVQHDGWGMESRLVDSLKRPLVANMQLREGIDDTVKSGPHTTNPSSFHGLKISEDALSSKAIDSSNHKRPCNDKKSCELKWASEKNCVPGVGVADFGMNMNDDADDCSSHVPFHAIEHVLCSPPSADDVPTKLKSHEGESTQKMYVRTLIETIMNLSELLVFHFSNDTCEVKENDYEALKDVIDNLNLCVLKNVERMTSTQDSLIHQKVSSQLGKSSKLRKISGIDPLNSESPVKYHQVQEGEYKINSGKNDGKLPYFESTRTAADILKSENMTRAIKKALSENFHGKEESDPQVILYKNLWLEAEASLCSASCMARFHHMKSEMDKLNSQKVSENTVVMEKPSESKVSLKPFTNKMLSSDTKDSPLPDTSVSESSILSTSSHADDVTARFHILKSQVNSSNTLNTSGVDKTLGSAEKSDHVVSPNSEDVDKLECEDTDGQKPDVSIQDSSLSSIINPVDDVEASVMARFHILKCRVDNLNTVDRGEHERASDDLGLGYAGLGRRWAVDRGGSMDRILDVNMEPQSQNNACSSSEDKSTIKEFQLFVKDDPVTQSRRINRLGDHSHASFPEGSSDWEHVLLEELVEQNS >Manes.07G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3450422:3457020:-1 gene:Manes.07G031600.v8.1 transcript:Manes.07G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLGAESFEIGGRFVYYSGNGIHWWNEIDHSEEWQRGIFYFLSASYALISIIALIQLFRIQMRVPEYGWTTQKVFHLMNFVVNGLRAVLFGLYKDVFLIRPKALEIVLLDLPSLLFFSTYTLLVLFWAEIYHQARSLPIDKLRPSYYIINAFVYLTQACIWIYIRLSGSPTGVELAKLFFSIISFCTALGFLVYGGRLFIMLSRFPIESRGRQKKLYEVGAVTGICCTCFLIRCFVVAVSAFNKKANLDVLYHPLLNLIYYMMVEIVPSSLVLFILRKLPPKRISDQYHPIR >Manes.13G083516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14599565:14600462:-1 gene:Manes.13G083516.v8.1 transcript:Manes.13G083516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIAELEEQVKMLQELTEKNIKELKDFKEEVRKNSQNANEAGSSNESGSKTENRVPQSASVDNRGQLSNQKENQPIPAQDKGSLGTLPNPFYYHEMTQMLPKIELVTFEGKEPRAWLNKCVKYFEIYHITGYGTGGKEHTCEEFERGICNRFGNEGLDDIVEGFTKLRQENILNAELGESYFPSGFIGGLKDEIRLIMKHVSLAQAVEIARLHEQLLDKNRSKRSASSFKPLKPQIPAKQPK >Manes.08G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1659359:1661818:1 gene:Manes.08G016400.v8.1 transcript:Manes.08G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESSETRKRARVAILELANMISVPMSLNAVVRLNVADAIWQGGTNSPLSASEILARIHPSGGDPENLQRILRMLTSYGVFAEHLNADAIERKYSLTEIGKTLVTDGEGLSYAPYVLQHHQDALMRAWPLVHEAVLDSTTEPFVKANDEPAYDYYGKRPEMNGLMLKAMSGVSVPFMKAVLKGYSGFQGLERLVDVGGSAGDCLRMILHKYPIVQGINFDLPEVVAKAPNIPGVTHVGGDMFKSIPSADGIFMKWVLTTWTDYECKQIMENCYNALPVGGKLIACEPVLPKDSDDSHRTRALLEGDIFVMTIYRAKGKHRTEEEFKQLGHSVGFSHFQAFYVDYFYSILEFQK >Manes.04G103700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30883592:30884416:-1 gene:Manes.04G103700.v8.1 transcript:Manes.04G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKGDSIQIREVWDDNLEEEFALLREIVDDYPYIAMDTEFPGIVLRPVGNFKNSNEYHYQTLKDNVDMLKLIQLGLTFSDEQGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDAKKFGELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFNLIKMYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYAGVLYGLGVENGVNTN >Manes.01G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25592550:25596732:-1 gene:Manes.01G060400.v8.1 transcript:Manes.01G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSREGRSASPRTSISPGKGRRSRSLSRSHRSRSRSRDSVEASNPGNNLYVTGLSTRVTTSDLEKFFNKEGKVVECHLVTDPRTRESRGFAFVTMETVDDADRCIKYLNRSVLEGRLITVEKAKRSRGRTPTPGRYQGLRDRRGRGHRRSRSYSPRRLDDRHKDRDRDYYSRDRRGRSRSPYSRRGDDYDSYRRHRDRSLSADRGYRK >Manes.01G060400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25593354:25596615:-1 gene:Manes.01G060400.v8.1 transcript:Manes.01G060400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSREGRSASPRTSISPGKGRRSRSLSRSHRSRSRSRDSVEASNPGNNLYVTGLSTRVTTSDLEKFFNKEGKVVECHLVTDPRTRESRGFAFVTMETVDDADRCIKYLNRSVLEGRLITVEKAKRSRGRTPTPGRYQGLRDRRGRGHRRSRSYSPRRLDDRHKDRDRDYYSRDRRGRSRSPYSRRGDDYDSYRRHRDRSLSADRGYRK >Manes.10G018600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1864543:1868882:1 gene:Manes.10G018600.v8.1 transcript:Manes.10G018600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQQKCKACEKTVYPMELLSADGVPYHKSCFKCFHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCSLSPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSASMKRAAASVPEA >Manes.10G147350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31635480:31644875:-1 gene:Manes.10G147350.v8.1 transcript:Manes.10G147350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDRKQLQEIRFPNVNDDRTIRRDATDEKTTGGGATDDEAIGEDANVGAAETTGGATGGGPTGGGVTGHDEVTGGDATGSGAHGREESPVVQIIVDLTAKHKNYSYLCVQLYPGKPPISCAIGDGPNCAAGATGRQKSPVPQQENVQAGGDNATGGNIAAGVEAASAGNEKGHKCSCGEKSPVVEVIADLAAEEKTNSYLCVQLYPGKPRFTCGN >Manes.04G126400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32668810:32677491:1 gene:Manes.04G126400.v8.1 transcript:Manes.04G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGCCFCGRGNRRKNGVRTFSHEELAKAARYFSISDNNRLGDGLTGEVFKGELPNGEVVAIKRFKHQANPEDEKLARNQYKVEAEILGRIKPHQNIVKVIGYCNDASNRLLVYEFVPNNSLKSCLHGKEKQTIKWSDRLKIALGIAEGLKYLHEICEPRIIHRDIKSANILLGDKFIPKIGDFGLAKEFMSSHTHVSTAPRGTISYEPPEYYAADLSTKLTEKSDVFSFGVVLLELITGKFAILGGDERLVDWALSPLKLVLETNNKEDLDMEKYNNLVDFKLQKDNDKKEMSRMIYCAAACIYKPMELRPKMSEIVEVLKGNKEPMDYIWLRNDTQYLYQRLPYPP >Manes.03G156900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28502870:28504133:-1 gene:Manes.03G156900.v8.1 transcript:Manes.03G156900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVMAGQLTWGGFIEEGWRKGPWTAEEDRLLIEHVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLSPDLKRGQITPHEESIILELHARWSTIARSLPGRTDNEIKNYWRTHFKKKAKLSPENSEKAKTRLLKRQLFQQQQQQQQLQQQQQQQQMQLNQLDMKKIMSLLDENETKVPYMNQTRQDMTTTYPNTTEEHGLLYNLLNANASVPEASNEEFLWDGLWNLDDFHGNFGVACASTKLAFTI >Manes.03G156900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28502870:28504133:-1 gene:Manes.03G156900.v8.1 transcript:Manes.03G156900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVMAGQLTWGGFIEEGWRKGPWTAEEDRLLIEHVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLSPDLKRGQITPHEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKLSPENSEKAKTRLLKRQLFQQQQQQQQLQQQQQQQQMQLNQLDMKKIMSLLDENETKVPYMNQTRQDMTTTYPNTTEEHGLLYNLLNANASVPEASNEEFLWDGLWNLDDFHGNFGVACASTKLAFTI >Manes.18G086500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7979280:7984139:-1 gene:Manes.18G086500.v8.1 transcript:Manes.18G086500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNNNRPRTFPAHHQAPLCTRIHQIGAILIVAATFFFTRLFDQSFPTCSPSSNPHFTSKSQSLVHVTDDGSLSWPQRGYGTYLSLKIYVYEEHEIDGLKELLRGREGKISADACVKGQWGTQVKIHRLLLRSRFRTRKKEEADFFFVPAYVKCVRMMGGLNDKEINQTYVKVLSQMPYFRRSGGRDHVFVFPSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAYNTWKDIIIPGNVEDGMTKRGTTAVQPLPLSKRKYLANYLGRAQGKIGRLKLIDLAKQYPDKECVPVILSDQAELPFQNVIDYTYFSIKWPSTQIGHELLDYLESIPDEDVERMIARGRNIRCLWVYAPESELCSAMQGIMWELQRKVRQLHQSAETFWLHNRTIVNRNLVGFSSWKPPMPLP >Manes.18G086500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7979280:7984139:-1 gene:Manes.18G086500.v8.1 transcript:Manes.18G086500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNNNRPRTFPAHHQAPLCTRIHQIGAILIVAATFFFTRLFDQSFPTCSPSSNPHFTSKSQSLVHVTDDGSLSWPQRGYGTYLSLKIYVYEEHEIDGLKELLRGREGKISADACVKGQWGTQVKIHRLLLRSRFRTRKKEEADFFFVPAYVKCVRMMGGLNDKEINQTYVKVLSQMPYFRRSGGRDHVFVFPSGAGAHLFRSWATYINRSIILTPEGDRTDKKDTSAYNTWKDIIIPGNVEDGMTKRGTTAVQPLPLSKRKYLANYLGRAQGKIGRLKLIDLAKQYPDKLESPELKFSGPDKLGRLEYFQHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDQAELPFQNVIDYTYFSIKWPSTQIGHELLDYLESIPDEDVERMIARGRNIRCLWVYAPESELCSAMQGIMWELQRKVRQLHQSAETFWLHNRTIVNRNLVGFSSWKPPMPLP >Manes.10G058500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7984181:7999798:-1 gene:Manes.10G058500.v8.1 transcript:Manes.10G058500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQAWWTLLLYKKYVLLGCFCCWGEFFRYTVGNGFHVIAAHTDSPCLKLKPNSASSKSNYLMVNVQTYGGGLWHTWFDRDLSVAGRVIVRGADGSFLHKLVKVKKPLLRIPTLAIHLDRTVNKDGFKPNLETHLIPLFAAKPEEKSSESKDENTETSSKAAHHPLLMQVLSDELSCSTDDVMSIELNLCDTQPSCLGGGNNEFIFSGRLDNLASSFCALRALIDSCKSSNGLSSEPAIRMVALFDNEEVGSDSVQGAGAPTMFQAMRRIVGCLDHNKVGEGAIERAIRRSFLVSADMAHGVHPNFMEKHEEHHRPEMQKGLVIKHNANQRYATNGITAFLFKEVGKIVNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREVCAKEDIDIAYRHFKAFYENFSTIDKKLQVD >Manes.10G058500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7984171:7999888:-1 gene:Manes.10G058500.v8.1 transcript:Manes.10G058500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAMTRLQFLRQYPTVKPSLFLSSLPRSPLYGLRSRKLPTSITTPLCSASNHAQTPESCDASVVGDLLDYLNESWTQFHATAEAKRQLLSAGFHLLNEDDEWDLKPGGRYFFTRNMSCLVAFAVGEKYTVGNGFHVIAAHTDSPCLKLKPNSASSKSNYLMVNVQTYGGGLWHTWFDRDLSVAGRVIVRGADGSFLHKLVKVKKPLLRIPTLAIHLDRTVNKDGFKPNLETHLIPLFAAKPEEKSSESKDENTETSSKAAHHPLLMQVLSDELSCSTDDVMSIELNLCDTQPSCLGGGNNEFIFSGRLDNLASSFCALRALIDSCKSSNGLSSEPAIRMVALFDNEEVGSDSVQGAGAPTMFQAMRRIVGCLDHNKVGEGAIERAIRRSFLVSADMAHGVHPNFMEKHEEHHRPEMQKGLVIKHNANQRYATNGITAFLFKEVGKIVNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREVCAKEDIDIAYRHFKAFYENFSTIDKKLQVD >Manes.10G058500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7984181:7999776:-1 gene:Manes.10G058500.v8.1 transcript:Manes.10G058500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQAWWTLLLYKKYVLLGCFCCWGEFFRYTVGNGFHVIAAHTDSPCLKLKPNSASSKSNYLMVNVQTYGGGLWHTWFDRDLSVAGRVIVRGADGSFLHKLVKVKKPLLRIPTLAIHLDRTVNKDGFKPNLETHLIPLFAAKPEEKSSESKDENTETSSKAAHHPLLMQVLSDELSCSTDDVMSIELNLCDTQPSCLGGGNNEFIFSGRLDNLASSFCALRALIDSCKSSNGLSSEPAIRMVALFDNEEVGSDSVQGAGAPTMFQAMRRIVGCLDHNKVGEGAIERAIRRSFLVSADMAHGVHPNFMEKHEEHHRPEMQKGLVIKHNANQRYATNGITAFLFKEVGKIVNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREVCAKEDIDIAYRHFKAFYENFSTIDKKLQVD >Manes.04G076000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27360517:27362463:1 gene:Manes.04G076000.v8.1 transcript:Manes.04G076000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDHFSHLFDCSHGSSKLKKRKQLQTVEIKVRIDCEGCERKVKRAVEGMKGVKQVDVERKANKLTVVGYVDPSKVVARVAHRTGKKAELWPYVPYDVVAHPYAPGVYDKKAPAGYVRRAEDPQVSQLARASSTEVRYTTAFSDENPQACSIM >Manes.05G000401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:36748:41269:-1 gene:Manes.05G000401.v8.1 transcript:Manes.05G000401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGLSGDSMEKLKGVRIAPLDDDDDDFEGQENEEVQEPTFEEEEEDDDDEEEEEPVTLGFVEKPKHSWSLLRQLFPSKAGGVPAWLDPVNLPSGRSCACDTCGNPLQFLLQVYAPISEKESTFHRTLFVFMCPKMSCLRRDQHEQWKCRLKNSSRRSVKVFRCQLPHLNPFYSSEAPKLDGTDIPSGSGVALCNWCGTWKGDQFCISCKKARYCLQQHQAMHRKINCQQLSLSSQLHNSSSSGGETTSMEIIKATSNALWPEYEILNEDESELDAEMSDDNAYDKLLISQKRTDDSMMSLVDCFKGDSDRKCWASFQERIAKAPEQVLRYCRNANARPLWPMSSGRPSKDDIPHCSYCGSPSDFEFQILPQLLYYFGVKNDDVDSLDWATIAVYTCRASCEASIAYKQEFAWVQL >Manes.12G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8463218:8477084:1 gene:Manes.12G074600.v8.1 transcript:Manes.12G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTASASASASALLRASRARLSSSLSSSVTRPSALASPTPEVSPSSLANTTQHRSLSFSAAVRSFGCSVPRWSHRVHWQSPVSLRPQIRAVAPVIERFQRKIATMAAEHPFKGIFTTLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLASMRDAMSNLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFHNMLVVPPGSGIVHQVNLEYLGRVVFNADGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVARIEAYLRANKMFVDYNEPQQERVYSSYLQLNLDDVEPCISGPKRPHDRVPLREMKDDWHSCLDNQVGFKGFAVPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLQVKPWIKTSLAPGSGVVTKYLQCSGLQKYLDQLGFNIVGYGCTTCIGNSGELDETVASAISENDIIASAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFDKEPIGIGKDGKNIYFKDIWPTTEEIAEVVQSSVLPEMFKSTYEAITKGNPMWNQLTVPATTSYSWDPNSTYIHEPPYFKNMTLNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKFLLERGVDRRDFNSYGSRRGNDQVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAATRYKTAGHDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGQDADTLGLTGHERYTIDLPSNISDIRPGQDVTVTTDNGKSFKCTVRFDTEVELAYFNHGGILPYVIRNLMKQ >Manes.06G084000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21927890:21931244:1 gene:Manes.06G084000.v8.1 transcript:Manes.06G084000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSAKKKVDEIEVSLMQNNGQPEDLLKRFSPLVNPKTTTQLSAREAKLDINLGLSLGGIYGESSHEKPLTRSSSIIGVMSPKEELEQKLDFPLPESFLSLSRSSSVPAEAEQDQRNGTLMAFASRRTESIERCMRQTSGKEKSPTREPMPSSPSKIAAWAAASAAKSPALCRALLEIKRQVELYGNRKLEGEEGSAAGMGASYSNSLLMQKDAESNFMLGGTISSGIPVNFGETSLENPLKRIKLENNGFEDNGMDVMKQMPSVTTTGDGPNGKKIEGFLYKYRKGNVSIVCLCHGSFLSPAEFVKHAGGRDVENPMRHITVCSSVSF >Manes.06G084000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21927620:21931244:1 gene:Manes.06G084000.v8.1 transcript:Manes.06G084000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSAKKKVDEIEVSLMQNNGQPEDLLKRFSPLVNPKTTTQLSAREAKLDINLGLSLGGIYGESSHEKPLTRSSSIIGVMSPKEELEQKLDFPLPESFLSLSRSSSVPAEAEQDQRNGTLMAFASRRTESIERCMRQTSGKEKSPTREPMPSSPSKIAAWAAASAAKSPALCRALLEIKRQVELYGNRKLEGEEGSAAGMGASYSNSLLMQKDAESNFMLGGTISSGIPVNFGETSLENPLKRIKLENNGFEDNGMDVMKQMPSVTTTGDGPNGKKIEGFLYKYRKGNVSIVCLCHGSFLSPAEFVKHAGGRDVENPMRHITVCSSVSF >Manes.06G084000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21927620:21931244:1 gene:Manes.06G084000.v8.1 transcript:Manes.06G084000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSAKKKVDEIEVSLMQNNGQPEDLLKRFSPLVNPKTTTQLSAREAKLDINLGLSLGGIYGESSHEKPLTRSSSIIGVMSPKEELEQKLDFPLPESFLSLSRSSSVPAEAEQDQRNGTLMAFASRRTESIERCMRQTSGKEKSPTREPMPSSPSKIAAWAAASAAKSPALCRALLEIKRQVELYGNRKLEGEEGSAAGMGASYSNSLLMQKDAESNFMLGGTISSGIPVNFGETSLENPLKRIKLENNGFEDNGMDVMKQMPSVTTTGDGPNGKKIEGFLYKYRKGNVSIVCLCHGSFLSPAEFVKHAGGRDVENPMRHITVCSSVSF >Manes.04G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25200615:25209692:-1 gene:Manes.04G066800.v8.1 transcript:Manes.04G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEKKKSKAKRTLQEVKTLGQQLLSSRTHINNLPLLLSFVSPNFPPQYVLESLLSLQSFFTTLLPSLPSSFKSTKTTSEEDDAELIYRTWLRSKFDDLVRSLIDLLLSEQVDEALRQLVLDSLMEFVKVGNGGRFHSAIYHRLLHNILHSSTPVDLVLDSLASKYFKYIDIRYFTYISLAKLAKTLDSKDNPDDKTKSADLHESHSRASMDLCIHRIHYIISLIPPLEDAKENSDYEMWSGPEFSSKKSESKTQNKDNGLSAANISKKMKLKFTKAWISFLRLPLPVDVYKEVLISLHQAVIPYISNPVMLCDFLTRSYDIGGVVSVMALSSLFILMTQHGLEYPNFYEKLYALLLPSVFMAKHRAKFFELLDTCLKSPLLPAYLAAAFAKKLSRLSLSVPPSGSVVIIALIHNLLRRHPSINCLVHREDCNGSATDNSDVKGENAGNANDSRTGSGVSAKYLGVDHFNNEESNPVKTNALRSSLWEIDTLRHHYCPPVSRFVPSLEIDSTARNKTTELNIKDFSSSSYGTIFGEELRRRVKQVPLAFYKATPTFLFSESDFPGWTFNYEESMGNKFEENGCSPAKRQRVSCS >Manes.15G090200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7049188:7053967:1 gene:Manes.15G090200.v8.1 transcript:Manes.15G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDRPHRSNSSNNSSSTTSSSELFICFTSRLSSSSMKISSKSILSPGRAGEPSQISLSNSLSRRLKSNGSMKGGQASPMFPTNGKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKLRTRSQRSGDVSFRRVDQTNASNSSNLEPSTHQDFTHNHVNNQFLNQQQQHECLPHRNQRWVHLPLTICEALRAFGAEFNCFLPCRSSCMASQKEKDEKAAESSNSSSCGAVFARWMVAVQEGEGKGREIELVVGEEDEEEEEREDSTERRRSYRRHVFEEIEFKEEKYGEGNENMQGEEARVSICIPPKNALLLMRCRSDPVKMAALANKFWEAPVPNDEDEEDGEVDGNKEEEKKNVEVEAGVDGEEQRTVLEQEMKHGGDLMNESRVSCEAVDEHQIQETEASLVALEEDAEETEIRVNQQQEALLYDPSPSLEETEDPEYLKDQENEEELPQESEDNLEQRFIRAEEDEQERNISDDNVPIRQEDSEEAGEDLLEDQETKSAETARALAEECAIQETHEERHSICIQEEEEDENEGRGETMTHERPESGDPKIQEGQLDLKSKESENQPLLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRWLPEHSRPVKKKEGGDEPKKKRISIDINPPPVHKNLQQPPRSSCSYPAKPPARASGAESMSTAMEQKLVGNKGIEPFVLTRCKSEPMRSAAKLAPEACFWKNRKLEPHRPPTLGVGEAGVGC >Manes.S023516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:284183:284995:1 gene:Manes.S023516.v8.1 transcript:Manes.S023516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.04G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4234905:4235470:1 gene:Manes.04G033400.v8.1 transcript:Manes.04G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLMPIMSRQILIHLLLSWLLLVASLHHFPNHIKVQAIESGHFKFKPAQLRSRLQRQTSGHPGLGRRKFTKLHLGPIQ >Manes.04G033400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4234905:4235492:1 gene:Manes.04G033400.v8.1 transcript:Manes.04G033400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLMPIMSRQILIHLLLSWLLLVASLHHFPNHIKVQAIESGHFKFKPAQLRSRLQRQTSGHPGKGWEEESSQNSIWDQSSRESKSTN >Manes.02G138100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10514921:10515181:1 gene:Manes.02G138100.v8.1 transcript:Manes.02G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLKEFIFFALLLVLVLLLKSSAFPDESARFGSFKTGSASQFHPVESHGGFRGDNKDHEDGDDPVGLSDDKRKIYTGPNPLHNR >Manes.05G115100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14595187:14596738:-1 gene:Manes.05G115100.v8.1 transcript:Manes.05G115100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSVVLSLLLTLLASFSCKLSSPKIPPITYLRFLNTSTAKPEFIITQFHESHIQAAIVRSGGHDYEALSYVSEEKFVLIDLAHLRTINVDIEKENAWVESGATLGELYYKIAEKSNVHGFPAGSCITVGVGGHISGGGFGTIFRKYGLAADTVVDAKVVVINGRILDRKSMGEDLFWAIRGGMSFGIIFSWKVRLVFKVAKTLEQGGNKLFQKWQRIGHKLPEDLFIQAVTEVVNASSNNKKTIQISFDSLYLGEAEILVPVMRENFPELGLKRENCTELSWIQSVLYFVGFSTSESLEVLLNRTAQFKGFFKAKSDYVKEPISKTGLKGLYKKLLEEETSELILTPYGGKMSETKDSETPFPHRRGNIYKIQYMVTWDEEEETEQHFQWLRSLYSYMAPYVSKSPRAAYFNYRDLDLGRDKNGNTSFAQASVWGLKYFKSNFKRLAKVRTETDPANFFRNEQSIPVLPSLHNRNWN >Manes.10G116200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28163349:28166842:1 gene:Manes.10G116200.v8.1 transcript:Manes.10G116200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILRTREMSLCSLILFFASISLQFISGTSDDPSSSKNGSKANAHTSSGSNSGRKIVIILLLIFMAVGLFSFFLFKFWQKKKREEQYARLLKLFEEDDELEVELGLRD >Manes.10G116200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28163349:28166842:1 gene:Manes.10G116200.v8.1 transcript:Manes.10G116200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILRTREMSLCSLILFFASISLQFISGTSDDPSSSKNGSKANAHTSSGSNSGRKIVIILLLIFMAVGLFSFFLFKFWQKKKREEQYARLLKLFEEDDELEVELGLRD >Manes.06G143900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27119557:27122743:1 gene:Manes.06G143900.v8.1 transcript:Manes.06G143900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSYHFQFTLHKSSKNVKVKPFICCSTQPPQNNIKVVINGAAKEIGMAAVVAVTKARGMEVAGAVDTHFVGEDIGKLCNMEEPLEIPIINDLTMVLGSISQAKETGVVIDFTDPTTVYDNVKQATAFGMKSVVYVPRIKPDTIAALSALCEKASMGCLIAPTLSIGSILLQQAAISASFHYNNVEIVESRSHATDLPSPDAHQIAKNLSNLGQLYNREDLSTDVLARGQVLGEDGVRVHSLVLPGIPSSTTVHFSGPGEVYSIKHDITDVQCLMPGLLLAIRKVIRLKVTNFATFFLLLDAFLLQDSALYLEVKSFVLSFVICGRIWCMVWKNFCKKPSVLELGCGT >Manes.06G143900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27119557:27122743:1 gene:Manes.06G143900.v8.1 transcript:Manes.06G143900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSYHFQFTLHKSSKNVKVKPFICCSTQPPQNNIKVVINGAAKEIGMAAVVAVTKARGMEVAGAVDTHFVGEDIGKLCNMEEPLEIPIINDLTMVLGSISQAKETGVVIDFTDPTTVYDNVKQATAFGMKSVVYVPRIKPDTIAALSALCEKASMGCLIAPTLSIGSILLQQAAISASFHYNNVEIVESRSHATDLPSPDAHQIAKNLSNLGQLYNREDLSTDVLARGQVLGEDGVRVHSLVLPGIPSSTTVHFSGPGEVYSIKHDITDVQCLMPGLLLAIRKVIRLKNLVYGLEKFL >Manes.06G143900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27119557:27122743:1 gene:Manes.06G143900.v8.1 transcript:Manes.06G143900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSYHFQFTLHKSSKNVKVKPFICCSTQPPQNNIKVVINGAAKEIGMAAVVAVTKARGMEVAGAVDTHFVGEDIGKLCNMEEPLEIPIINDLTMVLGSISQAKETGVVIDFTDPTTVYDNVKQATAFGMKSVVYVPRIKPDTIAALSALCEKASMGCLIAPTLSIGSILLQQAAISASFHYNNVEIVESRSHATDLPSPDAHQIAKNLSNLGQLYNREDLSTDVLARGQVLGEDGVRVHSLVLPGIPSSTTVHFSGPGEMCNASCLVSSWPLERSSVLRIWCMVWKNFCKKPSVLELGCGT >Manes.06G143900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27119557:27122743:1 gene:Manes.06G143900.v8.1 transcript:Manes.06G143900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAVTKARGMEVAGAVDTHFVGEDIGKLCNMEEPLEIPIINDLTMVLGSISQAKETGVVIDFTDPTTVYDNVKQATAFGMKSVVYVPRIKPDTIAALSALCEKASMGCLIAPTLSIGSILLQQAAISASFHYNNVEIVESRSHATDLPSPDAHQIAKNLSNLGQLYNREDLSTDVLARGQVLGEDGVRVHSLVLPGIPSSTTVHFSGPGEVYSIKHDITDVQCLMPGLLLAIRKVIRLKVTNFATFFLLLDAFLLQDSALYLEVKSFVLSFVICGRIWCMVWKNFCKKPSVLELGCGT >Manes.06G143900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27119557:27122743:1 gene:Manes.06G143900.v8.1 transcript:Manes.06G143900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSYHFQFTLHKSSKNVKVKPFICCSTQPPQNNIKVVINGAAKEIGMAAVVAVTKARGMEVAGAVDTHFVGEDIGKLCNMEEPLEIPIINDLTMVLGSISQAKETGVVIDFTDPTTVYDNVKQATAFGMKSVVYVPRIKPDTIAALSALCEKASMGCLIAPTLSIGSILLQQAAISASFHYNNVEIVESRSHATDLPSPDAHQIAKNLSNLGQLYNREDLSTDVLARGQVLGEDGVRVHSLVLPGIPSSTTVHFSGPGEMCNASCLVSSWPLERSSVLR >Manes.06G143900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27119557:27122743:1 gene:Manes.06G143900.v8.1 transcript:Manes.06G143900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSYHFQFTLHKSSKNVKVKPFICCSTQPPQNNIKAKETGVVIDFTDPTTVYDNVKQATAFGMKSVVYVPRIKPDTIAALSALCEKASMGCLIAPTLSIGSILLQQAAISASFHYNNVEIVESRSHATDLPSPDAHQIAKNLSNLGQLYNREDLSTDVLARGQVLGEDGVRVHSLVLPGIPSSTTVHFSGPGEVYSIKHDITDVQCLMPGLLLAIRKVIRLKVTNFATFFLLLDAFLLQDSALYLEVKSFVLSFVICGRIWCMVWKNFCKKPSVLELGCGT >Manes.16G042300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6753078:6757971:1 gene:Manes.16G042300.v8.1 transcript:Manes.16G042300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMENGNLVLYDSNNNTIWQSFDHPTDTLLPGQKLVRGKKMVASVSDTNISEGNYYLSMTSQGLFGFYQANNVPQMYFKSLAYGGNVESIELNYDGSKIVLYINAPALGAPWPFINARADNSSGLFLRHDGHLLVYSTSPYNTNDVLTRFLSECDYPTVCRDYELCSNGRCSCLLGIAQDNQTNTQGESRCAEINATTCDNLQHHTLISYENLSHFSYTDDDAAALNGTDIESCKKECLKTCACRVAFFRQRSNSLFGDCFIPSSVLYFIDERDAQNDYKSYAFIKSLDNKENGRGSSRSNVNYKIIAGSIVGAFLLVVLATGFWFVLLRNKGDEEEGMEDNFDNLSGMPMRFTYQALKLATGDFQEKLGQGGFGSVFDGTLQNGDKIAVKRLDAMGQGKKEFLAEVKTIGSIHHVNLVRLIGFCAEKLHRLLIYEFMCNGSLDKWIFCKEPMLRPPLDWQTRRTIILDIAKGLAYLHEECRQRIVHLDIKPQNILLDGELRAKISDFGLCKLIDRDQSQVVTTMRGTPGYVAPELFSLIITEKADVYSFGIVVMEVVCGRKNLDRSQPEECIHLLPIFMRKAEEGQLMDMVDRSNNMQLYRPEAVQMMKVAIWCLQSDYKRRPSMSVVVKVLEGSLDMETDLDYTIHNPIAATRDAELGTTTPILPSLLSGPRRWICGAEGRGHVTPGCEVRAEDTKTRCYGSNDLWRITTADGGLLRIMVRAETQKGMQFLEEKNKEGAWLRIGYQNDVVWLIINFIIKLISRYLISNIFVKKLL >Manes.16G042300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6753008:6761100:1 gene:Manes.16G042300.v8.1 transcript:Manes.16G042300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMENGNLVLYDSNNNTIWQSFDHPTDTLLPGQKLVRGKKMVASVSDTNISEGNYYLSMTSQGLFGFYQANNVPQMYFKSLAYGGNVESIELNYDGSKIVLYINAPALGAPWPFINARADNSSGLFLRHDGHLLVYSTSPYNTNDVLTRFLSECDYPTVCRDYELCSNGRCSCLLGIAQDNQTNTQGESRCAEINATTCDNLQHHTLISYENLSHFSYTDDDAAALNGTDIESCKKECLKTCACRVAFFRQRSNSLFGDCFIPSSVLYFIDERDAQNDYKSYAFIKSLDNKENGRGSSRSNVNYKIIAGSIVGAFLLVVLATGFWFVLLRNKGDEEEGMEDNFDNLSGMPMRFTYQALKLATGDFQEKLGQGGFGSVFDGTLQNGDKIAVKRLDAMGQGKKEFLAEVKTIGSIHHVNLVRLIGFCAEKLHRLLIYEFMCNGSLDKWIFCKEPMLRPPLDWQTRRTIILDIAKGLAYLHEECRQRIVHLDIKPQNILLDGELRAKISDFGLCKLIDRDQSQVVTTMRGTPGYVAPELFSLIITEKADVYSFGIVVMEVVCGRKNLDRSQPEECIHLLPIFMRKAEEGQLMDMVDRSNNMQLYRPEAVQMMKVAIWCLQSDYKRRPSMSVVVKVLEGSLDMETDLDYTIHNPIAATRDAELGTTTPILPSLLSGPR >Manes.16G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6753078:6757971:1 gene:Manes.16G042300.v8.1 transcript:Manes.16G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLIIIILFLEFFVAAQFPTPQVSTPTSWTNNNISLLSISHGTDLPLSSVSSARIILSDKSISAALLQNKTFTSAVTGYAFAFYPAAGYGDGRFFLAVALWSNYKRDNYYYYYYSPTKTWSANRNKPVGENATLELLVDGNLELRDTDGSVVWSTNTSNKSVAGMKMMENGNLVLYDSNNNTIWQSFDHPTDTLLPGQKLVRGKKMVASVSDTNISEGNYYLSMTSQGLFGFYQANNVPQMYFKSLAYGGNVESIELNYDGSKIVLYINAPALGAPWPFINARADNSSGLFLRHDGHLLVYSTSPYNTNDVLTRFLSECDYPTVCRDYELCSNGRCSCLLGIAQDNQTNTQGESRCAEINATTCDNLQHHTLISYENLSHFSYTDDDAAALNGTDIESCKKECLKTCACRVAFFRQRSNSLFGDCFIPSSVLYFIDERDAQNDYKSYAFIKSLDNKENGRGSSRSNVNYKIIAGSIVGAFLLVVLATGFWFVLLRNKGDEEEGMEDNFDNLSGMPMRFTYQALKLATGDFQEKLGQGGFGSVFDGTLQNGDKIAVKRLDAMGQGKKEFLAEVKTIGSIHHVNLVRLIGFCAEKLHRLLIYEFMCNGSLDKWIFCKEPMLRPPLDWQTRRTIILDIAKGLAYLHEECRQRIVHLDIKPQNILLDGELRAKISDFGLCKLIDRDQSQVVTTMRGTPGYVAPELFSLIITEKADVYSFGIVVMEVVCGRKNLDRSQPEECIHLLPIFMRKAEEGQLMDMVDRSNNMQLYRPEAVQMMKVAIWCLQSDYKRRPSMSVVVKVLEGSLDMETDLDYTIHNPIAATRDAELGTTTPILPSLLSGPR >Manes.16G042300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6753078:6784436:1 gene:Manes.16G042300.v8.1 transcript:Manes.16G042300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMENGNLVLYDSNNNTIWQSFDHPTDTLLPGQKLVRGKKMVASVSDTNISEGNYYLSMTSQGLFGFYQANNVPQMYFKSLAYGGNVESIELNYDGSKIVLYINAPALGAPWPFINARADNSSGLFLRHDGHLLVYSTSPYNTNDVLTRFLSECDYPTVCRDYELCSNGRCSCLLGIAQDNQTNTQGESRCAEINATTCDNLQHHTLISYENLSHFSYTDDDAAALNGTDIESCKKECLKTCACRVAFFRQRSNSLFGDCFIPSSVLYFIDERDAQNDYKSYAFIKSLDNKENGRGSSRSNVNYKIIAGSIVGAFLLVVLATGFWFVLLRNKGDEEEGMEDNFDNLSGMPMRFTYQALKLATGDFQEKLGQGGFGSVFDGTLQNGDKIAVKRLDAMGQGKKEFLAEVKTIGSIHHVNLVRLIGFCAEKLHRLLIYEFMCNGSLDKWIFCKEPMLRPPLDWQTRRTIILDIAKGLAYLHEECRQRIVHLDIKPQNILLDGELRAKISDFGLCKLIDRDQSQVVTTMRGTPGYVAPELFSLIITEKADVYSFGIVVMEVVCGRKNLDRSQPEECIHLLPIFMRKAEEGQLMDMVDRSNNMQLYRPEAVQMMKVAIWCLQSDYKRRPSMSVVVKVLEGSLDMETDLDYTIHNPIAATRDAELGTTTPILPSLLSGPRFGPEEPRTPAVSQLLQCLVRANQRFAGTG >Manes.06G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26509269:26512346:-1 gene:Manes.06G137000.v8.1 transcript:Manes.06G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRQFTDRSGDGAGEPVLNTENEEEIMHVQHSVSIVIGNRSPESPGTLYISTKKVVWLSDVDRSKGYAVDFLSLSLHAVSRDPEAYPSPCIYTQIEIEDDGDESDGSDSESNEVLDLSKVTEMRLVPSDPSQLDTLFQIFCACAELNPEPLDEDDEEGNNWVFSADQMPDDAAEEEDSEWHFSQNPTSSIGHSNGDHDVAHRVLELQINDQRFEDPEEMEEGSHSGHQ >Manes.15G022900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1831469:1837205:1 gene:Manes.15G022900.v8.1 transcript:Manes.15G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLSSSSPATSVAASSSPSSATSWFSAIVRGRADRSGSPKVAGNLGTSDGVSARPIKGKNQFRGLLFKYGPKPIQVAFKTGDHKQQVVFIGGLTDGFLATEYLEPLAIALDREKWSLVQLLMSSSYGGFGASSLQQDASEIDQLVNYLINKENSEGVVLLGHSTGCQDIVHYMRTNAPCSLAVRAAILQAPVSDREYRAMLPETAAMIDLASTMIAEGHGSKLMPREADSSSPITAYRYHSLCAYMGDEDMFSSDLTDDQLRTRLGHMCNTPCQVIFSMADEYVPDYVDKKALVERLCRAMGGAEKVEIEHGNHSLSNRVGEAVQAVIDFVKREGPKGWDDPWK >Manes.12G070600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7553707:7557758:1 gene:Manes.12G070600.v8.1 transcript:Manes.12G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKKKNLNHVRFPKTTRFLTNSCVFLFSLFAIFKTGLPFDYSDALTKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVIEFRDQIARAGELEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDENNPGSDLAGETAAAMAAASIVFKRTNPHYAYLLLHHAKQLFEFGDRYRGKYDASVEVVKSYYASVSGYKDELLWGAMWLYKATDSQEYLKYVINKAHCFGGTGWAMTEFSWDVKYAGLQIMVSKWLMDERHKEHRHIIEQYKSKAEYYICSCLNKNNNGSNVERTPAGLLHIRQWNNMQYVSTAAFLLTIYSGYLRGSDQKIQCPNGVLDHKEILSFAKSQVDYILGSNPMNMSYLVGYGPKFPARVHHRGASIVSYRENKGFIGCTQGYDNWYSRKEKNPNVLVGALVGGPDCQDNFRDQRGNYVQTEACTYNTAPLVGVFANLLEMEDQMGDHNQPLVASY >Manes.15G059700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4577173:4581012:1 gene:Manes.15G059700.v8.1 transcript:Manes.15G059700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGTLSLVHKISGITSSSISSQSSFTASILTHYKYTATIASLTIPIQSMIAEPHSLESPKTELAIPAMVELNCALIGTGINHVKLLPASNDDHGGVIVDMREPVEPDFFLTMLRASMLLWRQQEKRGVWIKLPIELVNLVETAVKEGFCYHHAEPSYLMLVYWIPETPSTIPANASHRVGIGAIVWNDKRELLVVQEKIGIFRRAGVWKIPTGVVDEGEDIFMAATREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPKSFDIQKQELEIEAAQWMPLEEYAAQPFAKKHELFKYIDDLCLAKVDRNYAGFSPLPTTSIVNDRISYLYSNIRGLKLSSPDDHQ >Manes.15G059700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4577173:4581013:1 gene:Manes.15G059700.v8.1 transcript:Manes.15G059700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGTLSLVHKISGITSSSISSQSSFTASILTHYKYTATIASLTIPIQSMIAEPHSLESPKTELAIPAMVELNCALIGTGINHVKLLPASNDDHGGVIVDMREPVEPDFFLTMLRASMLLWRQQEKRGVWIKLPIELVNLVETAVKEGFCYHHAEPSYLMLVYWIPETPSTIPANASHRVGIGAIVWNDKREEKIGIFRRAGVWKIPTGVVDEGEDIFMAATREVKEETGIDTEFQEILAFRQSHKSFFGKSDLFFLCFLHPKSFDIQKQELEIEAAQWMPLEEYAAQPFAKKHELFKYIDDLCLAKVDRNYAGFSPLPTTSIVNDRISYLYSNIRGLKLSSPDDHQ >Manes.15G019300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1618724:1624158:1 gene:Manes.15G019300.v8.1 transcript:Manes.15G019300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRTFWVVILLITGLSALAANFDTVEGRPHRILLDTDVDTDDFFALLYILKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTILPDVGGYLPIIEQGNSTAGGCRYRQAIPVGIRGRLDIDSNYGLRKAFLPQVIHSGIPVTLVPLDATNTIPINENFLKRFEKSQHTYEAQYCFRSLKMARDTWFDDQFYTSYFMWDSFTSGIAVSIMRNSHSQNGENEFAEMKYMNITVVTSNEPYGIYDGSNPFFDGRKIPKFNLKKGGVHSGHVQTGIRDPFCIMQNRKGRCQDGYTKEVKGSEGVRVLIAIRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGKFNFTTQFPYYEEVIRKPEFGRMKLGKPVVFDMDMSAGDFLALFYLLKLPAEEINLKAIIVSPTGWANAATIDVVYDLLHMMGRDDIPVGLGDVFAMDLSDPRDCKYVKAIPQGSGGFLDSDTLYGLARHLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALEIWDSVVETLEPGSKISILTNGPLTNLAKIIQSRKNTSSVIQDVYVVGGHINHGNLDKGNVFTVHSNEYTEMNMYLDPSAAKTVLESSLDIKLIPLNAQRKASSFSEILQRLGKTNRTPEALFAHRLLSRLYRLQQTHYRYHHMDTFLGEIIGAVVLAGDSKLNPMWQIKPIKVLADGVESKDGQVMVDEEEGKMVNILETIDPADHYDIFANQLGVAKQSAVIGSFEEQRRIWSAQPNS >Manes.15G019300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1619707:1624099:1 gene:Manes.15G019300.v8.1 transcript:Manes.15G019300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRTFWVVILLITGLSALAANFDTVEGRPHRILLDTDVDTDDFFALLYILKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTILPDVGGYLPIIEQGNSTAGGCRYRQAIPVGIRGRLDIDSNYGLRKAFLPQGRRKYSPLRQPTAQQVLIDKISAGPITIFITGGHTNFAIFLMKNPHLKNNVKHIYTMGGGVRPRNPNGCCIRNASLSCRPIHCGGIGNLFTDYNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINENFLKRFEKSQHTYEAQYCFRSLKMARDTWFDDQFYTSYFMWDSFTSGIAVSIMRNSHSQNGENEFAEMKYMNITVVTSNEPYGIYDGSNPFFDGRKIPKFNLKKGGVHSGHVQTGIRDPFCIMQNRKGRCQDGYTKEVKGSEGVRVLIAIRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGKFNFTTQFPYYEEVIRKPEFGRMKLGKPVVFDMDMSAGDFLALFYLLKLPAEEINLKAIIVSPTGWANAATIDVVYDLLHMMGRDDIPVGLGDVFAMDLSDPRDCKYVKAIPQGSGGFLDSDTLYGLARHLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALEIWDSVVETLEPGSKISILTNGPLTNLAKIIQSRKNTSSVIQDVYVVGGHINHGNLDKGNVFTVHSNEYTEMNMYLDPSAAKTVLESSLDIKLIPLNAQRKASSFSEILQRLGKTNRTPEALFAHRLLSRLYRLQQTHYRYHHMDTFLGEIIGAVVLAGDSKLNPMWQIKPIKVLADGVESKDGQVMVDEEEGKMVNILETIDPADHYDIFANQLGVAKQSAVIGSFEEQRRIWSAQPNS >Manes.15G019300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1618724:1624158:1 gene:Manes.15G019300.v8.1 transcript:Manes.15G019300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRTFWVVILLITGLSALAANFDTVEGRPHRILLDTDVDTDDFFALLYILKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTILPDVGGYLPIIEQGNSTAGGCRYRQAIPVGIRGRLDIDSNYGLRKAFLPQGRRKYSPLRQPTAQQVLIDKISAGPITIFITGGHTNFAIFLMKNPHLKNNVKHIYTMGGGVRPRNPNGCCIRNASLSCRPIHCGGIGNLFTDYNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINENFLKRFEKSQHTYEAQYCFRSLKMARDTWFDDQFYTSYFMWDSFTSGIAVSIMRNSHSQNGENEFAEMKYMNITVVTSNEPYGIYDGSNPFFDGRKIPKFNLKKGGVHSGHVQTGIRDPFCIMQNRKGRCQDGYTKEVKGSEGVRVLIAIRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGKFNFTTQFPYYEEVIRKPEFGRMKLGKPVVFDMDMSAGDFLALFYLLKLPAEEINLKAIIVSPTGWANAATIDVVYDLLHMMGRDDIPVGLGDVFAMDLSDPRDCKYVKAIPQGSGGFLDSDTLYGLARHLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALEIWDSVVETLEPGSKISILTNGPLTNLAKIIQSRKNTSSVIQDVYVVGGHINHGNLDKGNVFTVHSNEYTEMNMYLDPSAAKTVLESSLDIKLIPLNAQRKASSFSEILQRLGKTNRTPEALFAHRLLSRLYRLQQTHYRYHHMDTFLGEIIGAVVLAGDSKLNPMWQIKPIKVLADGVESKDGQVMVDEEEGKMVNILETIDPADHYDIFANQLGVAKQSAVIGSFEEQRRIWSAQPNS >Manes.15G019300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1618739:1624109:1 gene:Manes.15G019300.v8.1 transcript:Manes.15G019300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRTFWVVILLITGLSALAANFDTVEGRPHRILLDTDVDTDDFFALLYILKLNRSEFELEAITINANAWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTILPDVGGYLPIIEQGNSTAGGCRYRQAIPVGIRGRLDIDSNYGLRKAFLPQGRRKYSPLRQPTAQQVLIDKISAGPITIFITGGHTNFAIFLMKNPHLKNNVKHIYTMGGGVRPRNPNGCCIRNASLSCRPIHCGGIGNLFTDYNPYAEFNIFGDPFAAYQVIHSGIPVTLVPLDATNTIPINENFLKRFEKSQHTYEAQYCFRSLKMARDTWFDDQFYTSYFMWDSFTSGIAVSIMRNSHSQNGENEFAEMKYMNITVVTSNEPYGIYDGSNPFFDGRKIPKFNLKKGGVHSGHVQTGIRDPFCIMQNRKGRCQDGYTKEVKGSEGVRVLIAIRAKPNPDTSSELDRAYFKSFLDVLNHPQQTGKFNFTTQFPYYEEVIRKPEFGRMKLGKPVVFDMDMSAGDFLALFYLLKLPAEEINLKAIIVSPTGWANAATIDVVYDLLHMMGRDDIPVGLGDVFAMDLSDPRDCKYVKAIPQGSGGFLDSDTLYGLARHLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALEIWDSVVETLEPGSKISILTNGPLTNLAKIIQSRKNTSSVIQDVYVVGGHINHGNLDKGNVFTVHSNEYTEMNMYLDPSAAKTVLESSLDIKLIPLNAQRKASSFSEILQRLGKTNRTPEALFAHRLLSRLYRLQQTHYRYHHMDTFLGEIIGAVVLAGDSKLNPMWQIKPIKVLADGVESKDGQVMVDEEEGKMVNILETIDPADHYDIFANQLGVAKQSAVIGSFEEQRRIWSAQPNS >Manes.18G054601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4649534:4652185:1 gene:Manes.18G054601.v8.1 transcript:Manes.18G054601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPNTKSTLTQIPLLATKAGPRDGVAWTQRLKEEYKSLIAYTQMNKSNDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPSTAPEIELPQLDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDASSSTDS >Manes.05G014725.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:609391:614056:-1 gene:Manes.05G014725.v8.1 transcript:Manes.05G014725.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFRPGIVTLDGHADDWNDIDGFEFSLLPALDPDDDKEYKGGKMTVKALHDGQDLFFLLQVDGEYAYAKGNNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWHTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGNPWHGSGHFSINCDWIPLDISPGSSMLSPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.03G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20408677:20415189:1 gene:Manes.03G083200.v8.1 transcript:Manes.03G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSFLFGRRIDSSPKNSFGVDEVAGFDNVKRYTYKELRNATEDFSPANKIGEGGFGSVYKGKLKDGRIAAIKVLSAESRQGVKEFLTEINVISDIEHENLVKLYGCCVEENHRILVYNYLEKNSLARTLLGENQSNSNIQFSWRIRTKICIGVARGLAFLHEDLQPHIVHRDIKASNILLDKDLTPKISDFGLAKLIPPNMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGILLVEIVSGRCNRNTQLPIDEQYLLERTWELYERNELVSLVDPSLNGEFDAEESCKFLKIGLLCTQDASKLRPPMSTVVKLLTGEKEVDDSKITKPGLITDFMDLKVRGPPQAKSEIKTGYLVSSDSDKLDDSTTLGNSTSAATSTTLTACND >Manes.03G083200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20408677:20415189:1 gene:Manes.03G083200.v8.1 transcript:Manes.03G083200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSFLFGRRIDSSPKNSFGVDEGFDNVKRYTYKELRNATEDFSPANKIGEGGFGSVYKGKLKDGRIAAIKVLSAESRQGVKEFLTEINVISDIEHENLVKLYGCCVEENHRILVYNYLEKNSLARTLLGENQSNSNIQFSWRIRTKICIGVARGLAFLHEDLQPHIVHRDIKASNILLDKDLTPKISDFGLAKLIPPNMTHVSTRVAGTIGYLAPEYAIRGQLTRKADIYSFGILLVEIVSGRCNRNTQLPIDEQYLLERTWELYERNELVSLVDPSLNGEFDAEESCKFLKIGLLCTQDASKLRPPMSTVVKLLTGEKEVDDSKITKPGLITDFMDLKVRGPPQAKSEIKTGYLVSSDSDKLDDSTTLGNSTSAATSTTLTACND >Manes.14G061401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5108391:5110192:1 gene:Manes.14G061401.v8.1 transcript:Manes.14G061401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLLPQEVKDKASKDNVPMIDVTQYGYFKVLGKGALPENKPVVVKAKLVSKIAEKKIKENGGAVVLTA >Manes.11G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12339870:12342810:-1 gene:Manes.11G080400.v8.1 transcript:Manes.11G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFESPSYCTTLRQAEHAAAEVALNVLSSRGPSRSLTARVLDETGIYKNLLQETAHRAGLNLPVYTTVRSGPGHVPTFTCTVELAGMNFTGESAKTKKQAEKNAAIAAWSALKRMSNLEFLTNKELEAREEQDQAVLVKVLSKFRSRDEYKQPWKRDHGQAKRRMVRGYRDINSSASSSSSITNKTMLLQHRKLLDLLLDSALDSSIQKPSSFVSLLPPPPPRTASKILPPNSPRSIHPTQVKGKEEVKMQEVPVPLEEHKRDEEEWLGASRKLIEKNSTSSICRPFPLPNAGKLGTTQQDSSHIINNRMFGRPSAKTSSRIHMASPLYTGVSHPHRIAPAVQIRSVIPVCAAPPPAARSQSASSTSSKCTQTGGAQASEEHPSQLSSEFNKKLSL >Manes.15G121500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9655707:9657293:-1 gene:Manes.15G121500.v8.1 transcript:Manes.15G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKLCTPLIFRSMLLCFSLLYLFSTLFLAFYVSLHPAKCLFRPSHFDPIQVPVFSYPANYGEHKYTIPTNRNYCSSPVYFSDYWIALEEIQDLCRNSSVDSQGLRYMKENSESFGGNFSTQKRRSYFQHLNDNVEIPCGFFKKFPVSNSDRMAMESCKGVVVVSAIFNDHDKIRQPKNLGSKTLENVCFFMIVDETTLQGLRHHQLISGKSFQYSVGVWRILKVSSTYLYENPAMNGVIPKYLVHRLFPNSKFSIWIDAKLQLMVDPLLLIHALVVSKKVDMAISRHPYFMHTMEEAMATARWRKWWDIDGLRIQMETYCENGLKPWTPNKLTYPSGTRSLLSLCSP >Manes.15G121500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9649503:9657293:-1 gene:Manes.15G121500.v8.1 transcript:Manes.15G121500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKLCTPLIFRSMLLCFSLLYLFSTLFLAFYVSLHPAKCLFRPSHFDPIQVPVFSYPANYGEHKYTIPTNRNYCSSPVYFSDYWIALEEIQDLCRNSSVDSQGLRYMKENSESFGGNFSTQKRRSYFQHLNDNVEIPCGFFKKFPVSNSDRMAMESCKGVVVVSAIFNDHDKIRQPKNLGSKTLENVCFFMIVDETTLQGLRHHQLISGKSFQYSVGVWRILKVSSTYLYENPAMNGVIPKYLVHRLFPNSKFSIWIDAKLQLMVDPLLLIHALVVSKKVDMAISRHPYFMHTMEEAMATARWRKWWDIDGLRIQMETYCENGLKPWTPNKLTYPSDVPDSALILRKHGQNSNLFSCLMFNELEAFNPRDQLAFAYVRDQISPKLRLNMFEVEVFEQVVSEYRHNLKKERASVSERSKPRKAKRVSQDKFVNNSCCSKGQNYLFQMWGESHN >Manes.02G082800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520293:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAIPVVRKTGGLADTVFDMDDQSNCEIANGFIFEGVDEGSLNWALDRAFAYYRDKPDEWNSTVQKVIEIDNSWNKTAGEYIKIYDSIRVRS >Manes.02G082800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520293:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAVYF >Manes.02G082800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520296:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAIPVVRKTGGLADTVFDMDDQSNCEIANGFIFEGVDEGSLNWALDRAFAYYRDKPDEWNSTVQKVIEIDNSWNKTAGEYIKIYDSIRVRS >Manes.02G082800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520294:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAVYF >Manes.02G082800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520294:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAIPVVRKTGGLADTVFDMDDQSNCLFLKELMKDP >Manes.02G082800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520293:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAIPVVRKTGGLADTVFDMDDQSNCLFLKELMKDP >Manes.02G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520294:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAIPVVRKTGGLADTVFDMDDQSNCEIANGFIFEGVDEGSLNWALDRAFAYYRDKPDEWNSTVQKVIEIDNSWNKTAGEYIKIYDSIRVRS >Manes.02G082800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520293:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAIPVVRKTGGLADTVFDMDDQSNCEIANGFIFEGVDEGSLNWALDRAFAYYRDKPDEWNSTVQKVIEIDNSWNKTAGEYIKIYDSIRVRS >Manes.02G082800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520296:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAVYF >Manes.02G082800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6510186:6520296:-1 gene:Manes.02G082800.v8.1 transcript:Manes.02G082800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMESALVGKFCKPCCFHSRKGVLRKRKGMATHHLFSSEFNIMSLLSSTHPSVQAGALKRESSAGFVTKELVWPSPDDEIPFWKRDFPSWDASSEVPTDIVKDSNLLHIVHVTAEMAPIAKVGGLGDVVTGLARACLLRGHTVDIMLPFYECIQKQQISDLALITVYNSYHDGNWIPTNAHRGVVSGIPVIFIEPSNEFFKGQHVYGGSYNELEAYLFFSRACLEWMQVSGIQPDIIHVHEWQTGALPLLFWDMYQYLSLKKPRVILTIHNMEHYGECRQEQLSKCGLDGSVYATIDKALDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSRWLASTLIAHRDKYFGILNGIDTAMWNPATDVFLPAKFSGQNIEGKKLCKHYVQRGLGLASEGIISGNNVPDTMLKVPLVVCISRLVPQKGLHLITHAIKYIEELGGQMIVLGKASDSRFEGEFKHLAELHNHGPSIRILLMYSEELSHMLYAAADMVLVPSIYEPCGLSQLIGMRYGAIPVVRKTGGLADTVFDMDDQSNCLFLKELMKDP >Manes.02G193800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15641814:15642651:1 gene:Manes.02G193800.v8.1 transcript:Manes.02G193800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAKKEEVAGGRRRAPKGHFAVYVGIEMKRFEVPISYLQNPKFQQLLNNAADEYGYTHQNGIILPCDESTFNDLISFMGNH >Manes.08G102611.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34094975:34096037:1 gene:Manes.08G102611.v8.1 transcript:Manes.08G102611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNEFKPGELMGVINVRKKFPDALRSSSVFLVELLREKGRTHIPSPCVAASPPLQFGTGHDCCHFVIMAMLLLVHLVEDFMAFQTLWCVDICTFGLKFFLFV >Manes.09G123900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32600110:32603405:-1 gene:Manes.09G123900.v8.1 transcript:Manes.09G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSKSTRGIASLGKRVVTQIWTANYSSSSRCASAFTVRRAAHTSIYDKNLDDHFHPSVVPDDVIQPQSEKYWAPHPQTGVFGPATEPQSAAGGESGFSTSTENSDPSSVLEEKAWFRPTSLEDLEKPNQP >Manes.02G125500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9523894:9525145:1 gene:Manes.02G125500.v8.1 transcript:Manes.02G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDMGGMGQAMNNFNATGWMTMTHHMMTHMTFFWGKNAEILFDGWPGTRTGMYVLALISVFFFAFLVEWLSHCQLIKPGSTHLAAGLIQTFLHALRIGLAYLVMLAVMSFNVGVFLVAVAGHTLGFLFFGSRVFKESPPPAKTYDLPPMSC >Manes.01G045700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12377276:12378853:1 gene:Manes.01G045700.v8.1 transcript:Manes.01G045700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAWASPISLSPGFLKHSCVLVFFMDCFLDAQNLFFMVQRSAEDPSILVATYEGEHNHLHLSKPQFSLGSSQHSSSIAGLVPTANPATTVTLDLMKPGMLQENAKKAVKETEAQDVGQILVQQMASSLTRDPNFTAALAAAISGRSLINQTRIEKWLN >Manes.01G045700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12377276:12378853:1 gene:Manes.01G045700.v8.1 transcript:Manes.01G045700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVLKVQRSAEDPSILVATYEGEHNHLHLSKPQFSLGSSQHSSSIAGLVPTANPATTVTLDLMKPGMLQENAKKAVKETEAQDVGQILVQQMASSLTRDPNFTAALAAAISGRSLINQTRIEKWLN >Manes.01G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30293269:30300313:1 gene:Manes.01G103200.v8.1 transcript:Manes.01G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKISRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSTDSSMESILEKYERYSSAEQVNNQSEQQGNWSLECSKLMARIEVLQRSLRNFAGEDLDGMSLRELQHLEQQIDTGLKRVRTRKNQLYNESISELQKKEKALHEQNNMLSKQLEQNEKTMAEGSNWEQQNLGQNPTSFMPLPPPAIGGTLQTREFMKENEEGMAQIEEPNTMQMPSWMLRHVNE >Manes.13G063792.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7853627:7853968:-1 gene:Manes.13G063792.v8.1 transcript:Manes.13G063792.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLARLRRNMQNIRKSPRVADESMFGGMNINHGAEYPIFVRDMERVPQRWSRGFSAVFRVVLAPLSIISCFSPPHTNSGGDRMWVSGADFAQLSEMNHLMVNDSMRYAILM >Manes.08G011600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1353483:1354581:-1 gene:Manes.08G011600.v8.1 transcript:Manes.08G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASEKGLEGTGLELPKNRHGNLKSASTDQNLLEILRDIKVSKSPAVINYGASWCGVCRQILPAFCQLSNNFPKLSFIYADIDECPETTQHIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >Manes.07G044900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5145177:5151926:-1 gene:Manes.07G044900.v8.1 transcript:Manes.07G044900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPPLLFFFKLVICCRYLLLHSNISSFYNQTKTPKMADGVLSNVVRDIITKLGSRALHEIGLWWGVKGELKKLEATVSSIRNVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVSTRNLGCIRATDHSDLELMANSESNSFHLFVRMPKRKPIKILVKSNFEVQFIQQKILVISKIPVTQQKLYYKGEKLEWNKTLEDCSIQNKAWLKLVVELDGESELFQMIHQISSTICRMYREKSVSRIDYCPLAHKMFALLAPERNEQSELLLIAYSVPATLVMFYRSRIKQQKAYARALILSSMHYLLNRPDDFLPECRLLALEFCKLLRHQVTNEDPLYQSCRTSLKQLVERSRFKIKLFEEPETVLTICSFLYEMTSTLCKGLVQIRVSNPSSHIDSLKFLFGEFQAFSCVVRNAIGYIDGDDEETLTWFSVPTKEAFNHLSTDMEGHLHGLLLTAELFETSGCLCSVSHLYLDILKELNSISQLWESEREQFRLLLKRQQSSLRLILETTTREDDYHWLLEHNDVIDSESRMHLVTMMMIPEEKLLDAEFYKPLIHWSRFLDEEMFEALKNKKLTSPKKLQDWLYKLCQVIFKPQNLLFLACPNDPTKFYPNPELKLEPLHFDCFEFSGKVIALAVMHELQIGVAFHRMFLFQLAEKDISIGDVKDAYPSFYNKKAKECFLDDDQIRNDLVNSISEQISFFRKGFDSVFGKSIVQLLSFKGIELEDLNLVLKGKLNLEFISGEITHASDPLMSQFLKINRQGLNINKSEWRMDRKKTLGGGISGNVYKGYADGGFFFAVKKIRIKDKIKQEIDRIQQEVNLLCQLRHPNIVKYYGTEEDKSGVYIFLELVSTGSLRQVYKSFKLKDSQVSYYTNQILEGLKYLHERKVVHRDIKCANILVDEKGCVKITDFGLAKVTELVPLLKSRHGTIDWIAPEVMKKDKEYGVEADIWSLGCTVLEMLTGNYPYSHVNDWDANLELEVEKGTLRNHLPNYSLSENARDFIMKCLQVDPKKRPTASQLLNHLFVKDSGC >Manes.03G040100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3527218:3532029:-1 gene:Manes.03G040100.v8.1 transcript:Manes.03G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSHSHHHNHDSSDGVSQRVNSPRFSGPMTRRAHSFKRNNNNTTAAHTTPTNNRNTHITNTNNSTSHEIDLQVNSPGSESVDVFERQSHHQHIIQRVNGVVVKSLLNKKGGFGSAVVDYGFRERKKLGQWMFFVFCGVCLFLGVLKICANGWFGSALERVASNQDIRSSTTQLHQHWNSFDYGKGVAGMERHLKMAKSGVDGEFSGIWSKPNSENFSQCIDLSRSHKMLDVKTNGYILINANGGLNQMRFGVCDMVAVAKIMKATLVLPSLDHTSYWADESDFKDLFNWQHFIDTLKNEVHIVESLPPAHAGVEPFTKTPISWSKVSYYKSEVLPLLKQHKVIYFTHTDSRLANNGIPNSIQKLRCRVNYRALRYSEAIEELANILVARMRENGNPYLALHLRYEKDMLAFTGCSHNLTTGEDEELRSMRYEVTHWKEKEINGTERRLLGGCPLTPRETSLLLKGLGFPPNTRIYLVAGEAYGKGSMQYLLDDFPNIFSHSTLATDEELNPFKNHQNMLAGLDYVIALQSDVFIYTYDGNMAKAVQGHRRFENFKKTINPDKMNFVKLVDELDEGKISWDTFSSEVKELHKDRIGAPYLREPGEFPKLEESFYANPLPGCICERKQEK >Manes.17G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19922927:19924895:1 gene:Manes.17G028800.v8.1 transcript:Manes.17G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQAHALDYKPLSIYLPSKTKTVLNIIDSCWRTKSNWASNRRALADCAVGFGNGAVGGKYGSIYVVTSSYDDPVNPKPGTLRYGVIQTQPLWIIFSKDMVITLKNELIMNSFKTIDGRGSKVEIAYGACITIQGVSHVIIHGISIHDCKPGGSGMVRSSPTHVGERRGSDGDGIAIFAASNVWIDHCYVAHCTDGLIDVIHASTGVTISNNYFAQHDKVMLLGHNDGYSADKVMKVTIAFNHFGTGLIERMPRVRFGYAHVANNRYDEWRMYAIGGSANPTIFSEGNYFIAPDDPSSKQVTKREGKSGWKNWKWRSSKDVFMNGAYFVQSGYGSIAPPYFRTQRFPVAPGSMVPALTSDAGPLRCFVGKACGYKY >Manes.13G071601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10316551:10317844:1 gene:Manes.13G071601.v8.1 transcript:Manes.13G071601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCKSNSSMNSDERTIADEESSGWTAYFEDYSNHRKEDSFCSGFGTSSMVSDAASYPAWKSPSPHHNHNHVLASSFSPNVPNKLIFKKEKARKFSQHDESLEDTASSPVNSPKVSDFLPTDMNHSKTNDHFSSSLGKGGDLQHYGGVVETDDERCEMSCSSGNKDCTDLKKRGLCLVPLSMLVNYLG >Manes.18G038702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3422601:3428583:-1 gene:Manes.18G038702.v8.1 transcript:Manes.18G038702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHNQFQQHYQPQQQQQSKNMRNLYSIDGQTYPPVYYSAANLQDQSQHPPYIPSPFHVVGFAPGPVPVADGSDGGVDLQWNYGPEPKRKKLKEQDFLENNSQISSVDFFQARSVSTGLGLSLDNTRVSSSGDSTLISLIGDDIDGEFQRQDADIDRFLKVQGEQLRQTILEKVQANQLQTISLVEEKVLQKLREKEAEVESINKRNMELEEQMEQLSGEAGAWQQRARYNENVINALKFNLQQVYAQSRDSKEGCGDSEVDDTASCCNGRAIDFHLLSKENKDMKELMTCKVCRVNEVCMLLIPCKHLCLCRDCESKLSFCPLCQSKFVAMEVYM >Manes.10G092466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23819774:23825561:1 gene:Manes.10G092466.v8.1 transcript:Manes.10G092466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLQTTLSTIKAILIDANEKQEESRAVKDWIRRLKEVVYDADDLLDDVATEGLRRKVEGQGRAVRKVCDFFSSSNQIAFRFKMSHRIKDVRERLDEVAKEMSDFGFIISKEVGVDMRIKNSSRETDSCVLKSEIIGRDKDKEEIIKSLMCPVNQSNISVAAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVEMLVKLILKSATSNEVPNLSLEQLQIKLRQCLGVKCCMMTYHII >Manes.12G072001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7856114:7862656:1 gene:Manes.12G072001.v8.1 transcript:Manes.12G072001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFKNNKINIKPILFFLTTNHRSGFNSQLTAMATMMTKMMSISSAMSSSSSPSPSPPQPSAQSLSTQSPPSPSLPLRTIPGSYGWPLLGPISDRLDYFWFQGPETFFRKRIDKYKSTVFRTNIPPSFPFFSGVNPNVVAVLDCKSFAHLFDMEIVEKRDVLVGDFKPSSNFTGNVRTCAYLDPSEPQHAKVKNFALDILKRSSKVWLTELLANFDILWEKVDNDISEKGSSSYIFLLQQSIFKFLTKALVGADPSNSPEIEKNGFAMLDRWLALQLLPTVHIGVAQPLEEMFLHSFAYPSFLVSGDYKKLVQFIKKEGKEVVQRGETEFGLSEEETIHNLLFVLGFNAFGGFSVFLPILIGTIASDTTGLQERLRKEARENGGPSLTFHSVIEMPLVQSVVYETLRLNPPVPLQYARARKDFELSSHESVYNIKKGELLCGYQSLVMRDPKIFDEPESFKPERFMGQGRALLSHLYWSNGPQTESPSASNKQCAAKDYVPLTACLILAHMFRRYDSITGSSSSITAVQKAK >Manes.13G141800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35531631:35552323:1 gene:Manes.13G141800.v8.1 transcript:Manes.13G141800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGSAAAGDGGSGGSVEGEKKKPPEGEVKSKRKMKTASQLEILERTYAVETYPSESLRAELSAQLGLSDRQLQMWFCHRRLKDRKAPSVKRQNKDSPAPSATPAGEEMGPVTEVGNELVSVSASGSSPFGHGMDPRRLVARTPGVAVPRIGADMSAMKRYYEPQQSITELRAIAFVEAQLGEPLREDGPILGMEFDPLPPDAFGAPLASAGQQKQPGRPFEATVYERPDLKPVKGATRPVHEYQFLPQQPTVRGEAYERVAPSYQYGSPLDGHNSKTAASSTVRPFVHANEQVPSSYGFPSQLPSLNLMPQEGRQNHLLPSATAEYDNMLRKTSLTNIGVDSQFGALPITALDNPFVPSDRRVTHDEDILRIERKRKSEEARIAREVEAHEKRIRKELEKQDLLRRKREEQIRKEMERHDRERRKEEERILREKQREEERYQREQRRELERRERFLQKESIRAEKMRQKEELRREKEAARQKAATERAIARRIAKESMELIEDERLELMELAASTKGLPSILSLSFETLQNLDSFRDKLVRFPPKSVLLKRPFAVQPWSDSEENVGNLLMVWRFLITFADVLGIWPFTLDEFVQAFHDYDPRLLGEIHFALLRTIIKDIEDVARTPATGLGANQNSAANPGGGHPQIVEGAYAWGFDIRIWQRHLSPLTWPEILRQFALSAGFGPQLKKRNVEQAYIRDDNEGNDGEDVITNLRNGAAVENAVAIMQERGFSNHRRSRHCLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQRSGLRDLTTSKTPEASIAAALSRDSKLFERTAPSTYCVRPAYRKDPVDAEAILSAARERIRIFKSGFVDGEDADEAERDEDSESDVADDPEVDDFGTDLNPKKEDHNSPEANKFNAKTQFENGKEGSDVMRTPKVGLQNLGEGFSSMHSKGTHEAKNVGSSIDQSGDFLGVPTNTEQEDADIDESNLGEPWVQGLMEGEYSDLSVEERLNALVSLIGVAIEGNSIRLVLEERLEAANALKKQMWAEAQLDKRRMKEEYVTRMHYPSFTGNKVETNLTTSTAEVRQSPLVTVDMPMNASAQQEQSDDPQNDMTYPNNMPSEGNMHMQDLSAGPDNLAYQQPGLAADKSRSQLKSFIGHKAEEMYVYRSLPLGQDRRRNRYWQFVASASCNDPGCGRIFVELHDGRWRLIDTEQALDSLFASLDVRGVRESYLHMMLQKIEMPFKEAVRRNMQCAGIERQSGESVKAEAVEVVTGLECSTGIDSPNSTICVVDSDMSESTSFSIELGRNETERNNALRRYQDFGKWIWKECFNSSTLCAMKHGKKRCRQLLAVCDYCHDIYLSEDDCCPSCNNTYQHSGSDFNFSKHSTREEKLKIGLDYNFNGSSSPLRIRLLKLQLALIEVSLTPEALQPFWTNGYRKSWGTKLQSSSSAEDLLEVLTLLEGSIKRDYLSSSFETTSELLGSDNPSGLAANDYYGMEKVTVLPWLPRTTAAAALRIMEFDSSISYTLHQKVESQKDRGNGDFILPSKFAIAKNTQDNEAAETPPHQAGLLQEESWVDIAGLGRGRGIRGRGRGRTRGGRSQRKVTGSRSESSKRRMSTNNDRLGQVLSWKTQSRGRRGRKRGRRSIRSRQKQVKRPVEPQIPKETIYEKTPRRLERDDWNGDEARFQLQNAENLSSSSEKSEYDDDNGQATGDEYDDLAVDDYGGGFNGKSNELLEGSDYNIDVNEDDDDEEDELDEDEDEQGDMEVEGYINGDSDEDEMREQNGDPDEEGIGSTSSDFSD >Manes.13G141800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35531631:35552323:1 gene:Manes.13G141800.v8.1 transcript:Manes.13G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGSAAAGDGGSGGSVEGEKKKPPEGEVKSKRKMKTASQLEILERTYAVETYPSESLRAELSAQLGLSDRQLQMWFCHRRLKDRKAPSVKRQNKDSPAPSATPAGEEMGPVTEVGNELVSVSASGSSPFGHGMDPRRLVARTPGVAVPRIGADMSAMKRYYEPQQSITELRAIAFVEAQLGEPLREDGPILGMEFDPLPPDAFGAPLASAGQQKQPGRPFEATVYERPDLKPVKGATRPVHEYQFLPQQPTVRGEAYERVAPSYQYGSPLDGHNSKTAASSTVRPFVHANEQVPSSYGFPSQLPSLNLMPQEGRQNHLLPSATAEYDNMLRKTSLTNIGVDSQFGALPITALDNPFVPSDRRVTHDEDILRIERKRKSEEARIAREVEAHEKRIRKELEKQDLLRRKREEQIRKEMERHDRERRKEEERILREKQREEERYQREQRRELERRERFLQKESIRAEKMRQKEELRREKEAARQKAATERAIARRIAKESMELIEDERLELMELAASTKGLPSILSLSFETLQNLDSFRDKLVRFPPKSVLLKRPFAVQPWSDSEENVGNLLMVWRFLITFADVLGIWPFTLDEFVQAFHDYDPRLLGEIHFALLRTIIKDIEDVARTPATGLGANQNSAANPGGGHPQIVEGAYAWGFDIRIWQRHLSPLTWPEILRQFALSAGFGPQLKKRNVEQAYIRDDNEGNDGEDVITNLRNGAAVENAVAIMQERGFSNHRRSRHCLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQRSGLRDLTTSKTPEASIAAALSRDSKLFERTAPSTYCVRPAYRKDPVDAEAILSAARERIRIFKSGFVDGEDADEAERDEDSESDVADDPEVDDFGTDLNPKKEDHNSPEANKFNAKTQFENGKEGSDVMRTPKVGLQNLGEGFSSMHSKGTHEAKNVGSSIDQSGDFLGVPTNTEQEDADIDESNLGEPWVQGLMEGEYSDLSVEERLNALVSLIGVAIEGNSIRLVLEERLEAANALKKQMWAEAQLDKRRMKEEYVTRMHYPSFTGNKVETNLTTSTAEVRQSPLVTVDMPMNASAQQEQSDDPQNDMTYPNNMPSEGNMHMQDLSAGPDNLAYQQPGLAADKSRSQLKSFIGHKAEEMYVYRSLPLGQDRRRNRYWQFVASASCNDPGCGRIFVELHDGRWRLIDTEQALDSLFASLDVRGVRESYLHMMLQKIEMPFKEAVRRNMQCAGIERQSGESVKAEAVEVVTGLECSTGIDSPNSTICVVDSDMSESTSFSIELGRNETERNNALRRYQDFGKWIWKECFNSSTLCAMKHGKKRCRQLLAVCDYCHDIYLSEDDCCPSCNNTYQHSGSDFNFSKHSTREEKLKIGLDYNFNGSSSPLRIRLLKLQLALIEVSLTPEALQPFWTNGYRKSWGTKLQSSSSAEDLLEVLTLLEGSIKRDYLSSSFETTSELLGSDNPSGLAANDYYGMEKVTVLPWLPRTTAAAALRIMEFDSSISYTLHQKVESQKDRGNGDFIKLPSKFAIAKNTQDNEAAETPPHQAGLLQEESWVDIAGLGRGRGIRGRGRGRTRGGRSQRKVTGSRSESSKRRMSTNNDRLGQVLSWKTQSRGRRGRKRGRRSIRSRQKQVKRPVEPQIPKETIYEKTPRRLERDDWNGDEARFQLQNAENLSSSSEKSEYDDDNGQATGDEYDDLAVDDYGGGFNGKSNELLEGSDYNIDVNEDDDDEEDELDEDEDEQGDMEVEGYINGDSDEDEMREQNGDPDEEGIGSTSSDFSD >Manes.S034416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:872301:873113:-1 gene:Manes.S034416.v8.1 transcript:Manes.S034416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGGRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G119500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28436560:28439966:1 gene:Manes.10G119500.v8.1 transcript:Manes.10G119500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDINLNAESVESTLNSDSLIINPSRDAANGNNKGVDDIVEVGGDGNGIENENDTAVTTEHFPGSNGRGVSRDSQGKSPQENLSFEIEQTISGGEVQGNIRRRRGLRSRSSLYRGVTFYRRTGRWESHIWDVGKQIYLGGYDTPHAAARAYDRAAIKFRGVDADINFNVSDYEEDMKQMKNLTKEELVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYLYLGLFDSEVEAARAYDRAAIKCNGREAVTNFDPSNYEGEMFEANNQVDLDLNLSPPLGNGHRGSEEHIQLHSASYVQNRGSGDQSEDNNEIQSRLSSPAPHSPETDQFSCMDVEHGNGSCRACCRAESLKRKVFEWMFAIANFIVELLSIVFEQLSSQHYSLFLPICMGMSLLALFICTFELVYKAQKERATWQWCGAIPWFYYPSQSGIRLGSFIDIAGLACAFGQCILTIIGYCLFLRNGSNPIKISIWASVFASCQLCSKFCGEFKHDVHGQVLNQVQTSV >Manes.10G119500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28435152:28439944:1 gene:Manes.10G119500.v8.1 transcript:Manes.10G119500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDINLNAESVESTLNSDSLIINPSRDAANGNNKGVDDIVEVGGDGNGIENENDTAVTTEHFPGSNGRGVSRDSQGKSPQENLSFEIEQTISGGEVQGNIRRRRGLRSRSSLYRGVTFYRRTGRWESHIWDVGKQIYLGGYDTPHAAARAYDRAAIKFRGVDADINFNVSDYEEDMKQMKNLTKEELVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYLYLGLFDSEVEAARAYDRAAIKCNGREAVTNFDPSNYEGEMFEANNQVDLDLNLSPPLGNGHRGSEEHIQLHSASYVQNRGSGDQSEDNNEIQSRLSSPAPHSPETDQFSCMDVEHGNGSCRACCRAESLKRKVFEWMFAIANFIVELLSIVFEQLSSQHYSLFLPICMGMSLLALFICTFELVYKAQKERATWQWCGAIPWFYYPSQSGIRLGSFIDIAGLACAFGQCILTIIGYCLFLRNGSNPIKISIWASVFASCQLCSKFCGEFKHDVHGQVLNQVQTSV >Manes.10G119500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28435114:28439944:1 gene:Manes.10G119500.v8.1 transcript:Manes.10G119500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDINLNAESVESTLNSDSLIINPSRDAANGNNKGVDDIVEVGGDGNGIENENDTAVTTEHFPGSNGRGVSRDSQGKSPQENLSFEIEQTISGGEVQGNIRRRRGLRSRSSLYRGVTFYRRTGRWESHIWDVGKQIYLGGYDTPHAAARAYDRAAIKFRGVDADINFNVSDYEEDMKQMKNLTKEELVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYLYLGLFDSEVEAARAYDRAAIKCNGREAVTNFDPSNYEGEMFEANNQVDLDLNLSPPLGNGHRGSEEHIQLHSASYVQNRGSGDQSEDNNEIQSRLSSPAPHSPETDQFSCMDVEHGNGSCRACCRAESLKRKVFEWMFAIANFIVELLSIVFEQLSSQHYSLFLPICMGMSLLALFICTFELVYKAQKERATWQWCGAIPWFYYPSQSGIRLGSFIDIAGLACAFGQCILTIIGYCLFLRNGSNPIKISIWASVFASCQLCSKFCGEFKHDVHGQVLNQVQTSV >Manes.02G075200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5731401:5736389:1 gene:Manes.02G075200.v8.1 transcript:Manes.02G075200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFQSSCTDTAEPPNSIIALRTPSSTDTDQYRDHNELKLRTLLRKMVWELGLPCFLPNGSNKSNKSSKIRNANLEHNKAWLLAESGGCAGPELTNADPQSVHSSFRFSLCSQVELESMNMNSSAFATVLLVNLDNGLNETRAKELKWRRIQSLERNISPVANSLVRFSYSEILAATCNFSKGRVLGRGALSFVFRGRVGLLRTAVAIKRLDKKDNESPKAFCRELMIASSLHNPNIVPLVGFCIDPEEGLFLVYQFVSGGSLERHLHEKMRGGKGGSSLPWSVRYKVALGIAQAIAYLHNGTDRCVVHRDIKPSNILLSSKKIPKLCDFGLATWTSAPSVTFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIEARRPPGEENLVLWAKPLLQKGKGAITELLDPHLKCTSRKTTQITQMIQAAAACISNEESRRPSITEIIAILRGEEEPIYYNKKKSKISGIIECYPQKQETKSEMSNHLALAMLGVSEFEDDDHLCCR >Manes.02G075200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5731549:5735589:1 gene:Manes.02G075200.v8.1 transcript:Manes.02G075200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWELGLPCFLPNGSNKSNKSSKIRNANLEHNKAWLLAESGGCAGPELTNADPQSVHSSFRFSLCSQVELESMNMNSSAFATVLLVNLDNGLNETRAKELKWRRIQSLERNISPVANSLVRFSYSEILAATCNFSKGRVLGRGALSFVFRGRVGLLRTAVAIKRLDKKDNESPKAFCRELMIASSLHNPNIVPLVGFCIDPEEGLFLVYQFVSGGSLERHLHEKMRGGKGGSSLPWSVRYKVALGIAQAIAYLHNGTDRCVVHRDIKPSNILLSSKKIPKLCDFGLATWTSAPSVTFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIEARRPPGEENLVLWAKPLLQKGKGAITELLDPHLKCTSRKTTQITQMIQAAAACISNEESRRPSITEIIAILRGEEEPIYYNKKKSKISGIIECYPQKQETKSEMSNHLALAMLGVSEFEDDDHLCCR >Manes.15G151100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12538751:12543603:1 gene:Manes.15G151100.v8.1 transcript:Manes.15G151100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGNGVDSSSKTQNSTSVGNNPPATSVDPCRSQPPSVKQPANGSASNEKHSYKMEHDPMQYKELPDMAKYFYSPHDDKSKFVGSSEVPNQSAEKVSMVRAKSFIKNPVDDPDFSNSSGSLESESIVSSSCGGDVRMLSNNKAYQSAISFCSSPSNSVYTATLYAEAKQSFTNTEVSEEASCIEKPGESGDVSNAYDVESRKTSVYRGSTDSDVSDERSSGSLTSASYKPHKANDIRWEAIQAVQSREGALGLNHFKLLRQLGCGDIGNVYLSELTGTRTYFAMKVMQKATLTARKKLPRAQTEREILQSLDHPFLPTLYAHFETEKFSCLVMEFCPGGDLHALRQRQPGKCFPEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVCPTLVKSSHSTMESKNPAYCAQPACIEPTCVMQPDCIQPACFGPRFLSRKGKKDKKAKPNNETNHQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVIGHPLRFPESPPVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCTNPPEVPRHAMMDILMRADVPKAPTVANVPGVDVKPSGNYLEIDFF >Manes.15G151100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12538751:12543603:1 gene:Manes.15G151100.v8.1 transcript:Manes.15G151100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFGNGVDSSSKTQNSTSVGNNPPATSVDPCRSQPPSVKQPANGSASNEKHSYKMEHDPMQYKELPDMAKYFYSPHDDKSKFVGSSEVPNQSAEKVSMVRAKSFIKNPVDDPDFSNSSGSLESESIVSSSCGGDVRMLSNNKAYQSAISFCSSPSNSVYTATLYAEAKQSFTNTEVSEEASCIEKPGESGDVSNAYDVESRKTSVYRGSTDSDVSDERSSGSLTSASYKPHKANDIRWEAIQAVQSREGALGLNHFKLLRQLGCGDIGNVYLSELTGTRTYFAMKVMQKATLTARKKLPRAQTEREILQSLDHPFLPTLYAHFETEKFSCLVMEFCPGGDLHALRQRQPGKCFPEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVCPTLVKSSHSTMESKNPAYCAQPACIEPTCVMQPDCIQPACFGPRFLSRKGKKDKKAKPNNETNHQVSPLPELIAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVIGHPLRFPESPPVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCTNPPEVPRHAMMDILMRADVPKAPTVANVPGVDVKPSGNYLEIDFF >Manes.14G165624.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26409050:26412566:-1 gene:Manes.14G165624.v8.1 transcript:Manes.14G165624.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKLFLQSFLFILHLVFCSSKDTLTINQTIQDGDLIISKGNSFALGFFSPASSKFRYLGIWFNQVKLQTVVWIANRNNPINGSSGVLSIDPYGNLVLHSNQDMRFSVWSTNITMKVTDTCVAQLLDSGNLVLVEDRSKTILWQSFDYPTDTHLPGLKIGLNQRTGLSRSLSSWRSQDDPATGDYLVEIDPTGSPQVFLSKGTTRYWRSMPWPLKGYADSVNFTFINNEDEIFTSYSIIDASVIVRVVLDYSGAIIHQTWHEKDGEWKEYWSGPKYQCDTYAHCGTNAKCNPHRLNRRFECDCLPGYEPKSPRDWNILKDATGGCVRKRLESSSLCGSGEGFVKVEDVKVPDTSVAVWVAMNMSPIDCEKECKRNCLCTAYASIDILERGTTGCLAWFGELIDVVEFVDEGYDLYVRVDSLELAEIKRQSMGFLERKDMQAILVVSVVSAWFIIIIFAYLWHKRKKRRERNKWNESLLHKIGNSYYHKETIVANEVGDSMSYPHIAFFDMGTMLAATNNFSPSNTLGQGGFGLVYKGQLSNGQEIAIKKLSKSSRQGIEEFKNEVMLIAKLQHKNLVKLLGCCIQGEEPMLVYEYLPNKSLDSLLFDERNRSILDWRKRFDIIVGIARGILYLHQDSRLKIIHRDLKSSNILLDGNMNPKISDFGMARIFKIDQIQEEKTKRVVGTFGYMSPEYVVFGKFSEKSDIFSFGVILLEIITGKQNNSFHQEGSCLTLIGHVWNLWKEERALEIVDPLGKDSNFSHEVLRCIQIGLLCVQENAKDRPTILAIVLMLNSESILPSPNEPAFILKKCNSKTKELYSVNELTISNITSR >Manes.14G153300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17887440:17888648:1 gene:Manes.14G153300.v8.1 transcript:Manes.14G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYIDFHRHQEAEEEGGEEEEALSLCDLPLEDNGKEMMSTHNRRSSSEPPEFFEFFSNLNSEMCSAEDIIFCGKLVPLNKELSPPTQTLTPHSQQDIRRSSFCRRSESLPGLHSSVSRSNSINTTKLVMRSSRSLDYRKLERFPTSRISPESDKTIDRNFSVRSIEKVDGMVKRTAKPRWYMLIFGVVKPPTEMELRDIKSRQVHRNSSTTMFPPPLSSSMKKSSVGKGSHKLIRVLSCRDHTSVAVTTSFYIPPT >Manes.11G021100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2246772:2251801:1 gene:Manes.11G021100.v8.1 transcript:Manes.11G021100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLFSGLGNGTQVDTKVLQSVQKSFMQVQDILDQNRLLINEINQNHESKIPDNLTRNVGLIRELNNNIRRVVDLYADLSSNFTRSMEASSEGESSGIFKSNGKGNQKRIRSGKR >Manes.11G021100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2246768:2251801:1 gene:Manes.11G021100.v8.1 transcript:Manes.11G021100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLFSGLGNGTQVDTKVLQSVQKSFMQVQDILDQNRLLINEINQNHESKIPDNLTRNVGLIRELNNNIRRVVDLYADLSSNFTRSMEASSEGESSGIFKSNGKGNQKRIRSGKR >Manes.11G021100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2246819:2248760:1 gene:Manes.11G021100.v8.1 transcript:Manes.11G021100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLFSGLGNGTQVDTKVLQSVQKSFMQVQDILDQNRLLINEINQNHESKIPDNLTRNVGLIRELNNNIRRVVDLYADLSSNFTRSMEASSEGESSGIFKSNGKGNQKRIRSG >Manes.11G021100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2248165:2248509:1 gene:Manes.11G021100.v8.1 transcript:Manes.11G021100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLFSGLGNGTQVDTKVLQSVQKSFMQVQDILDQNRLLINEINQNHESKIPDNLTRNVGLIRELNNNIRRVVDLYADLSSNFTRSMEASSEGESSGIFKSNGKGNQKRIRSG >Manes.11G021100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2247698:2251801:1 gene:Manes.11G021100.v8.1 transcript:Manes.11G021100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDLFSGLGNGTQVDTKVLQSVQKSFMQVQDILDQNRLLINEINQNHESKIPDNLTRNVGLIRELNNNIRRVVDLYADLSSNFTRSMEASSEGESSGIFKSNGKGNQKRIRSGKR >Manes.03G082762.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15033831:15038373:1 gene:Manes.03G082762.v8.1 transcript:Manes.03G082762.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQRFQKLSSSLLLSLSTPNTRSSPLEPKSNSCFYCVTGVILTCLSCFLLLPNQKFTTRPKKVEGQW >Manes.02G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9497434:9499370:1 gene:Manes.02G125100.v8.1 transcript:Manes.02G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRCSRVVLVPCPFQGHISPMLQLGTILHNRGFSITIVHTQLNSPKPSNHPDFDFQFIPEGLSKQEIASFNVVDVILALNERCRIPLQECLIQRESREDITCIIYDDLMYFSEEVANHLNIPSIVLRTSSAASLLSRLAILQLKDEGYIPFPDAMSQDQVPGFPTLRFKDLPICNFGTPENFYQLLAHMCDIKTSSAVIWNTMDCLEESLLVEQQLKQFPIPIFTIGPMHKFAPACSSSLLKEDSSCIEWLDKQDPNSVLYISLGSMASTNEKELAEMAWGLANSKQPFLWVIRPGSIHGSEWIESLPEGFMETVGERGCIVKWAPQREVLAHPSVGGFWTHCGWNSTLETISEGVPMICRPCFADQMVTARFVSHVWRIGLQLENELERNEIERVVRRLMVEEEGEEIRKRAEDLKESVECCFKKGGSSYNSLSKLVEFMLS >Manes.12G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31982943:31984869:-1 gene:Manes.12G118600.v8.1 transcript:Manes.12G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKAYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYLSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQAARRATLRKELKRESQSFMVPEVMNQKNLNYWPELPSVAAAMPAMNASTQDSNFWDEESLRSMLVKQGGRFSDDHQESNISSNVYPLDVSCTSNQDHPYSSSVNILSSNSAVSINSTDSPCSQLPSANYAVSGAGPSIYQGLEEFPVELHELVYSNNHQLAGLKSFYGMDTTNGGGNWKNGASSSGESASWENIVSSLAYPQLVSELETCLQSLPQDNSSYEDSSYLGPQ >Manes.06G145200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27207301:27210991:-1 gene:Manes.06G145200.v8.1 transcript:Manes.06G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDIERGGVKSRTNNSYPSPSSPYLIEDNETQWTSWLVPMFVVANVAVFIVVMYINDCPKHFHSRFEGKCVARFLGRFSFEPLRDNPLFGPSSATLKKLGALEWENVVHKHQGWRLVTCVWLHAGIIHLLANMLCLVFIGIRLEQQFGFVRIGIIYLFSGFAGSVLSSLFIRNSISVGASGALFGLLGAMLSELITNWAIYTNKAAALSTLLFIIAINLAIGILPHVDNFAHIGGFLAGFLLGFILLPRPRYGWLERQNLPANVRVKSKYKAYQYALWLVSLVLLIAGFTVALVMLFRGENGNDRCSWCHYMSCVPTSRWDCDENQL >Manes.14G048200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4197917:4201895:-1 gene:Manes.14G048200.v8.1 transcript:Manes.14G048200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSKPRVAVVLVLLISVVLLFMLIVSTAVDYSEGRSMGSSQPVSKGGKSTLFGPHRKLLRRSLAVDEPDRIWGDKCTKADIVINQGATAPLPSGIPTYTVEIMNVCVTGCDISGIHFYCGWFSSARLINPKIFKRILYNDCLVNDGKPLVNGGTLSFQYANTFLYPLSVSSVVCS >Manes.14G048200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4197917:4201895:-1 gene:Manes.14G048200.v8.1 transcript:Manes.14G048200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSCSFDFCYRSLFGKIKKLLVNWLSFSTLKSRYSFSKMRTVSKPRVAVVLVLLISVVLLFMLIVSTAVDYSEGRSMGSSQPVSKGGKSTLFGPHRKLLRRSLAVDEPDRIWGDKCTKADIVINQGATAPLPSGIPTYTVEIMNVCVTGCDISGIHFYCGWFSSARLINPKIFKRILYNDCLVNDGKPLVNGGTLSFQYANTFLYPLSVSSVVCS >Manes.14G048200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4197917:4201895:-1 gene:Manes.14G048200.v8.1 transcript:Manes.14G048200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSKPRVAVVLVLLISVVLLFMLIVSTAVDYSEGRSMGSSQPVSKGGKSTLFGPHRKLLRRSLAVDEPDRIWGDKCTKADIVINQGATAPLPSGIPTYTVEIMNVCVTGCDISGIHFYCGWFSSARLINPKIFKRILYNDCLVNDGKPLVNGGTLSFQYANTFLYPLSVSSVVCS >Manes.08G004129.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:802599:803270:1 gene:Manes.08G004129.v8.1 transcript:Manes.08G004129.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTELLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.S044616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1331024:1331836:-1 gene:Manes.S044616.v8.1 transcript:Manes.S044616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G147201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31385599:31386784:1 gene:Manes.10G147201.v8.1 transcript:Manes.10G147201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIWIFSSLSKELIDAFIYAPSSQILWEEIKERFGQSNGPLLYQIKQEINSLTQANTSIMIYFTKIKRLWDELTYLKTLPYGNVDKLIQFLMGLNDSYDHIRNHILLLDPLPTINKAYSMVGRVEKQREIHSDTMEDFNLVMMMRNQPQENITCDYCKGNGHTRKTCFKLHGYSEWFTELKPKKMKGKGGDCCKLGGDKYMQNQNTVTNETSYTNFSGFVGKDSRGYPTSRHGKSYVWIINSGASSHTCSDLYLFHTISTIPNKNIITLPDGTSNLSKFSKLTAKFSSETYFIRDLMTEEVLAKGK >Manes.13G036100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4415997:4420789:-1 gene:Manes.13G036100.v8.1 transcript:Manes.13G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFNKKANPREVLRESKREMQHATRGVEKEIAALQSEEKKLVTEIKRTAKTGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAQSSVAVGLKGATKAMASMNKQMTPAKQAKVIREFQKQSAQMDMTTEMMSEAIDDALDDDEAEEETDELTNQVLDEIGVDVASQLSTAPKGKIAGKNKEDVSSSGIDELEKRLAALRNP >Manes.13G036100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4415997:4420789:-1 gene:Manes.13G036100.v8.1 transcript:Manes.13G036100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHATRGVEKEIAALQSEEKKLVTEIKRTAKTGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAQSSVAVGLKGATKAMASMNKQMTPAKQAKVIREFQKQSAQMDMTTEMMSEAIDDALDDDEAEEETDELTNQVLDEIGVDVASQLSTAPKGKIAGKNKEDVSSSGIDELEKRLAALRNP >Manes.13G036100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4415997:4420789:-1 gene:Manes.13G036100.v8.1 transcript:Manes.13G036100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHATRGVEKEIAALQSEEKKLVTEIKRTAKTGNEAATKILARQLVRLRQQIANLQGSRAQMRGIATHTQAMHAQSSVAVGLKGATKAMASMNKQMTPAKQAKVIREFQKQSAQMDMTTEMMSEAIDDALDDDEAEEETDELTNQVLDEIGVDVASQLSTAPKGKIAGKNKEDVSSSGIDELEKRLAALRNP >Manes.01G273900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42727640:42731748:-1 gene:Manes.01G273900.v8.1 transcript:Manes.01G273900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLERGSNTCQSTSAEVVAGSAAWLGRGLSCVCAQGRESDIRPSFELTPAQEECLHKLQNRIDVSYDSSIPEHQEALRALWNAAFPEEELCGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLQKREGDRSVWEYPFAVAGVNITFMLIQMLELEAVMPRSMVGATFLKFLTENESAFDLLYCITFKLMDHQWLTMRASYMDFNTVMKSTRRQLERELLLDDISRLEDMPSYGLLTL >Manes.13G152200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36687064:36687635:-1 gene:Manes.13G152200.v8.1 transcript:Manes.13G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAVKAWMVAASIGAVEALKDQGFCRWNYTIRSLHQHAKNNLRTISQANKISSSSSSSSSGAVVSGKVTESRQAEESLRTIMYLSCWGPNN >Manes.08G104900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34504634:34511059:-1 gene:Manes.08G104900.v8.1 transcript:Manes.08G104900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRRNLVPDGPGSVKMTPVDSDDLWFVYNLISPGDSVMADTVRKVLREAASGGRDAERVRLKLEIKVETVDYDKVGAALRIRGKNILENEYVKIGAFHTLELELQRPFVLRKEVWDSMALDVLNQASDPGASADLAVVLMQEGLAHILLVGKSVTTTRSRIETSIPRKHGPAIAGYESALNKFFEHVLQAFLKHIDFSVIRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIVLVHTSSGYKHSLREVLDAPNVMNMIKDTKAAQEVRALEDFFNMLSNVRIQLVHAMDQSM >Manes.08G104900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34504634:34511059:-1 gene:Manes.08G104900.v8.1 transcript:Manes.08G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRRNLVPDGPGSVKMTPVDSDDLWFVYNLISPGDSVMADTVRKVLREAASGGRDAERVRLKLEIKVETVDYDKVGAALRIRGKNILENEYVKIGAFHTLELELQRPFVLRKEVWDSMALDVLNQASDPGASADLAVVLMQEGLAHILLVGKSVTTTRSRIETSIPRKHGPAIAGYESALNKFFEHVLQAFLKHIDFSVIRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIVLVHTSSGYKHSLREVLDAPNVMNMIKDTKAAQEVRALEDFFNMLSNDPARACYGPKHVEVAHERMAVQTLLITDDLFRNADIPTRQKYINLVNSVKGSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >Manes.08G104900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34504634:34511059:-1 gene:Manes.08G104900.v8.1 transcript:Manes.08G104900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRRNLVPDGPGSVKMTPVDSDDLWFVYNLISPGDSVMADTVRKVLREAASGGRDAERVRLKLEIKVETVDYDKVGAALRIRGKNILENEYVKIGAFHTLELELQRPFVLRKEVWDSMALDVLNQASDPGASADLAVVLMQEGLAHILLVGKSVTTTRSRIETSIPRKHGPAIAGYESALNKFFEHVLQAFLKHIDFSVIRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIVLVHTSSGYKHSLREVLDAPNVMNMIKDTKAAQEVRALEDFFNMLSNVRFYS >Manes.04G093600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29909690:29920707:1 gene:Manes.04G093600.v8.1 transcript:Manes.04G093600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASIAGCSSWEEYKTKKKKLVSPKNELNIKSHISLEWDGNRKKVVAKREQIGLSQNDLRTFVDPSPQHHNILADVIAIPREIFEVDNLNRVLSHEVWQSNLSENEREYLMQFLPKGSDAEEVVQTLLAGDNFHFGNPFVKWGDLLCSGNLHPDAVIHQELCIKSNKKAYYSEIQQYRNDMIKYLQKLKETWESSKDPEKEVLQKIRRSRRDADRRVSLNANESRFRELEDDAVATSESCSLVAEEKTCSSDNQNSSMSKGGELERSHRIHEKDFMGDKSRKPLVASDDAKPRKGEKLHKRNIHHIDGVKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNRVLGNLDTLHVQPYEEFVKEERKKLHEHWLQLANKDLPIAYENWRRRRLQRCEITKSLEQDMKDKLESLMEEEEKLNHGFCLQDQSDQGARKHEYRLENEEELNHNNCFRDESDQDAGPHESDMQDEEEMHDEAVVDYQNDQGAREYEAILEDEEKEIHDRILLDNQNGIRNQESYVEDNELSGSGTSEYQFPQQNSSLSRGHDLNPIDTDSESNHVASKSNDASPDASEYLGNANTADASISQGVPISSSGDAWPAVSMQHSFYDSTANHEYTSASELSLPHPVNGTHRPQLIDLESDVHGDDTGKDLLHRQCDDGSFSSYTNHDRSGLLQSLIKGQQMLPYHTEQKLMRLDFQSSNNVFIEDGHFTGHIQRQLQPSLPLEQGLKRRGENYMQQSISEGIYSEGSPYLLPRQGHVPPVNLQDWPVNPVRMPAQAQPHMNNDVLLTQNWFSGERPVRGGWNGTGSVSGPAQSVGCNTDQSLFSVLSQCNQLRTNNYFDSMGPTEQLMLPRNYEMASGVAPRISNSLPQTTHPLDYLNGRDTASSVTPDDIGWMTSLPQSSGLHDPVGKPYLRSWNQ >Manes.04G093600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29909690:29920707:1 gene:Manes.04G093600.v8.1 transcript:Manes.04G093600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASIAGCSSWEEYKTKKKKLVSPKNELNIKSHISLEWDGNRKKVVAKREQIGLSQNDLRTFVDPSPQHHNILADVIAIPREIFEVDNLNRVLSHEVWQSNLSENEREYLMQFLPKGSDAEEVVQTLLAGDNFHFGNPFVKWGDLLCSGNLHPDAVIHQELCIKSNKKAYYSEIQQYRNDMIKYLQKLKETWESSKDPEKEVLQKIRRSRRDADRRVSLNANESRFRELEDDAVATSESCSLVAEEKTCSSDNQNSSMSKGGELERSHRIHEKDFMGDKSRKPLVASDDAKPRKGEKLHKRNIHHIDGVKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNRVLGNLDTLHVQPYEEFVKEERKKLHEHWLQLANKDLPIAYENWRRRRLQRCEITKSLEQDMKDKLESLMEEEEKLNHGFCLQDQSDQGARKHEYRLENEEELNHNNCFRDESDQDAGPHESDMQDEEEMHDEAVVDYQNDQGAREYEAILEDEEKEIHDRILLDNQNGIRNQESYVEDNELSGSGTSEYQFPQQNSSLSRGHDLNPIDTDSESNHVASKSNDASPDASEYLGNANTADASISQGVPISSSGDAWPAVSMQHSFYDSTANHEYTSASELSLPHPVNGTHRPQLIDLESDVHGDDTGKDLLHRQCDDGSFSSYTNHDRSGLLQSLIKGQQMLPYHTEQKLMRLDFQSSNNVFIEDGHFTGHIQRQLQPSLPLEQGLKRRGENYMQQSISEGIYSEGSPYLLPRQGHVPPVNLQDWPVNPVRMPAQAQPHMNNDVLLTQNWFSGERPVRGGWNGTGSVSGPAQSVGCNTDQSLFSVLSQCNQLRTNNYFDSMGPTEQLMLPRNYEMASGVAPRISNSLPQTTHPLDYLNGRDTASSVTPDDIGWMTSLPQSSGLHDPVGKPYLRSWNQ >Manes.04G093600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29911095:29920707:1 gene:Manes.04G093600.v8.1 transcript:Manes.04G093600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASIAGCSSWEEYKTKKKKLVSPKNELNIKSHISLEWDGNRKKVVAKREQIGLSQNDLRTFVDPSPQHHNILADVIAIPREIFEVDNLNRVLSHEVWQSNLSENEREYLMQFLPKGSDAEEVVQTLLAGDNFHFGNPFVKWGDLLCSGNLHPDAVIHQELCIKSNKKAYYSEIQQYRNDMIKYLQKLKETWESSKDPEKEVLQKIRRSRRDADRRVSLNANESRFRELEDDAVATSESCSLVAEEKTCSSDNQNSSMSKGGELERSHRIHEKDFMGDKSRKPLVASDDAKPRKGEKLHKRNIHHIDGVKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNRVLGNLDTLHVQPYEEFVKEERKKLHEHWLQLANKDLPIAYENWRRRRLQRCEITKSLEQDMKDKLESLMEEEEKLNHGFCLQDQSDQGARKHEYRLENEEELNHNNCFRDESDQDAGPHESDMQDEEEMHDEAVVDYQNDQGAREYEAILEDEEKEIHDRILLDNQNGIRNQESYVEDNELSGSGTSEYQFPQQNSSLSRGHDLNPIDTDSESNHVASKSNDASPDASEYLGNANTADASISQGVPISSSGDAWPAVSMQHSFYDSTANHEYTSASELSLPHPVNGTHRPQLIDLESDVHGDDTGKDLLHRQCDDGSFSSYTNHDRSGLLQSLIKGQQMLPYHTEQKLMRLDFQSSNNVFIEDGHFTGHIQRQLQPSLPLEQGLKRRGENYMQQSISEGIYSEGSPYLLPRQGHVPPVNLQDWPVNPVRMPAQAQPHMNNDVLLTQNWFSGERPVRGGWNGTGSVSGPAQSVGCNTDQSLFSVLSQCNQLRTNNYFDSMGPTEQLMLPRNYEMASGVAPRISNSLPQTTHPLDYLNGRDTASSVTPDDIGWMTSLPQSSGLHDPVGKPYLRSWNQ >Manes.04G093600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29909684:29919347:1 gene:Manes.04G093600.v8.1 transcript:Manes.04G093600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASIAGCSSWEEYKTKKKKLVSPKNELNIKSHISLEWDGNRKKVVAKREQIGLSQNDLRTFVDPSPQHHNILADVIAIPREIFEVDNLNRVLSHEVWQSNLSENEREYLMQFLPKGSDAEEVVQTLLAGDNFHFGNPFVKWGDLLCSGNLHPDAVIHQELCIKSNKKAYYSEIQQYRNDMIKYLQKLKETWESSKDPEKEVLQKIRRSRRDADRRVSLNANESRFRELEDDAVATSESCSLVAEEKTCSSDNQNSSMSKGGELERSHRIHEKDFMGDKSRKPLVASDDAKPRKGEKLHKRNIHHIDGVKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNRVLGNLDTLHVQPYEEFVKEERKKLHEHWLQLANKDLPIAYENWRRRRLQRCEITKSLEQDMKDKLESLMEEEEKLNHGFCLQDQSDQGARKHEYRLENEEELNHNNCFRDESDQDAGPHESDMQDEEEMHDEAVVDYQNDQGAREYEAILEDEEKEIHDRILLDNQNGIRNQESYVEDNELSGSGTSEYQFPQQNSSLSRGHDLNPIDTDSESNHVASKSNDASPDASEYLGNANTADASISQGVPISSSGDAWPAVSMQHSFYDSTANHEYTSASELSLPHPVNGTHRPQLIDLESDVHGDDTGKDLLHRQCDDGSFSSYTNHDRSGLLQSLIKGQQMLPYHTEQKLMRLDFQSSNNVFIEDGHFTGHIQRQLQPSLPLEQGLKRRGENYMQQSISEGIYSEGSPYLLPRQGHVPPVNLQDWPVNPVRMPAQAQPHMNNDVLLTQNWFSGERPVRGGWNGTGSVSGPAQSVGCNTDQSLFSVLSQCNQLRTNNYFDSMGPTEQLMLPRNYEMASGVAPRISNSLPQTTHPLDYLNGRDTASSVTPDDIGWMTSLPQSSGLHDPVGKPYLRSWNQ >Manes.04G093600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29911095:29919278:1 gene:Manes.04G093600.v8.1 transcript:Manes.04G093600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHRRKRLNGASIAGCSSWEEYKTKKKKLVSPKNELNIKSHISLEWDGNRKKVVAKREQIGLSQNDLRTFVDPSPQHHNILADVIAIPREIFEVDNLNRVLSHEVWQSNLSENEREYLMQFLPKGSDAEEVVQTLLAGDNFHFGNPFVKWGDLLCSGNLHPDAVIHQELCIKSNKKAYYSEIQQYRNDMIKYLQKLKETWESSKDPEKEVLQKIRRSRRDADRRVSLNANESRFRELEDDAVATSESCSLVAEEKTCSSDNQNSSMSKGGELERSHRIHEKDFMGDKSRKPLVASDDAKPRKGEKLHKRNIHHIDGVKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNRVLGNLDTLHVQPYEEFVKEERKKLHEHWLQLANKDLPIAYENWRRRRLQRCEITKSLEQDMKDKLESLMEEEEKLNHGFCLQDQSDQGARKHEYRLENEEELNHNNCFRDESDQDAGPHESDMQDEEEMHDEAVVDYQNDQGAREYEAILEDEEKEIHDRILLDNQNGIRNQESYVEDNELSGSGTSEYQFPQQNSSLSRGHDLNPIDTDSESNHVASKSNDASPDASEYLGNANTADASISQGVPISSSGDAWPAVSMQHSFYDSTANHEYTSASELSLPHPVNGTHRPQLIDLESDVHGDDTGKDLLHRQCDDGSFSSYTNHDRSGLLQSLIKGQQMLPYHTEQKLMRLDFQSSNNVFIEDGHFTGHIQRQLQPSLPLEQGLKRRGENYMQQSISEGIYSEGSPYLLPRQGHVPPVNLQDWPVNPVRMPAQAQPHMNNDVLLTQNWFSGERPVRGGWNGTGSVSGPAQSVGCNTDQSLFSVLSQCNQLRTNNYFDSMGPTEQLMLPRNYEMASGVAPRISNSLPQTTHPLDYLNGRDTASSVTPDDIGWMTSLPQSSGLHDPVGKPYLRSWNQ >Manes.11G086500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12679264:12686132:-1 gene:Manes.11G086500.v8.1 transcript:Manes.11G086500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNEEEEYNWREVHLPSLIPVVPQPELERETGERRRGRDILIAIDHGPNSKHAFDWALIHLCRLADTIHLVHAVSSVKNDIVYEMAQGLMEKLAVEAFQVVMVKSVARIVEGDPGKVICKEAERLRPAAVVMGTRGRGLVQSVLQGSVSEYCFHHCKAAPVVIVPGKEAGDESLIQWK >Manes.18G100800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9370761:9374446:1 gene:Manes.18G100800.v8.1 transcript:Manes.18G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESCVPPGFRFFPTEEELVGYYLKRKINSLKIDLDVIIDIDLYKIEPWDIQARCKLGYDEQNEWYFFSHKDRKYPTGTRTNRATATGFWKATGRDKAVLSKNKIIGMRKTLVFYKGRAPNGRKTDWIVHEYRLQTSEHGPPQEEGWVVCRAFKKPIPSQRPNYNEAWNNNPAFSANISPQAFSDTVATTHMPIQTNQTASFHQQQQQQPFGSDPADQVVSSHPFLENNKLINLPQLDSPSTLSTSFATREVLHNINGVSSEDFDKERSNNTNSTQFIDWKNLDSLLASQVNDSMPSMPQSYEISHFLGCFPDS >Manes.18G100800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9372005:9374446:1 gene:Manes.18G100800.v8.1 transcript:Manes.18G100800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESCVPPGFRFFPTEEELVGYYLKRKINSLKIDLDVIIDIDLYKIEPWDIQARCKLGYDEQNEWYFFSHKDRKYPTGTRTNRATATGFWKATGRDKAVLSKNKIIGMRKTLVFYKGRAPNGRKTDWIVHEYRLQTSEHGPPQEEGWVVCRAFKKPIPSQRPNYNEAWNNNPAFSANISPQAFSDTVATTHMPIQTNQTASFHQQQQQQPFGSDPADQVVSSHPFLENNKLINLPQLDSPSTLSTSFATREVLHNINGVSSEDFDKERSNNTNSTQFIDWKNLDSLLASQVNDSMPSMPQSYEISHFLGCFPDS >Manes.13G029100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3820480:3827006:-1 gene:Manes.13G029100.v8.1 transcript:Manes.13G029100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSNPKNGVKFSGTEICLSVSESIDVLIADINDFFRKILILKIPNVAIELVVGCEDIPGSQCENIFLANDTNPLPFSTSSIERLKSGLEDYILKHGHRSTQNCKSCFSTWDNLKVGSGTACTLESHKSSRSGLMVEVVIILSELDPTCPLFKECFSTTEVLYFEDYKPCPISESILNALTSIDWKIYGLAGGNIVDREGDLLGWENLPPHTRLTMVLHSYHKQIPPARPRTQTDRCLIKRAIKHAMDDLKEKHAGILLSANALKIRSYAPDLARSIAGLILSSNDSDFQEECFTLLGLQYREIGGETVEESIKEKIISVIDMNDRKSQTSKEVEMAPAPLLFEDDRCWNSIFQDEECEVWSGRRRRRPSSFEKKGKKKDTKGIYNYLYYI >Manes.04G030100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3671520:3674048:1 gene:Manes.04G030100.v8.1 transcript:Manes.04G030100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKADSSKKPDPKAQAIKAAKAVKSGPTFKKKANKIRTKVTFHRPKTLKKERNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLVRPDGTKKAYVRLTPDFDALDVANKIGII >Manes.04G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3671520:3674048:1 gene:Manes.04G030100.v8.1 transcript:Manes.04G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKADSSKKPDPKAQAIKAAKAVKSGPTFKKKANKIRTKVTFHRPKTLKKERNPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLVRPDGTKKAYVRLTPDFDALDVANKIGII >Manes.14G173600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28735892:28742784:-1 gene:Manes.14G173600.v8.1 transcript:Manes.14G173600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKEGIIDYQSLNQIPDLSLHISLPNSAPSSICTATNDADDSTFDVWRKHGALKSHSDSSIKVGSEPDDDNIELSLAANPAATTALEVESPWRSRICYAGGDGGWSEADRARQRNILLQRANSTGSSMSQINHGISSFQPINGIPVYNSWKINSGEMDPRFCFNQIPYSSFCTPYPSPSLGDTGSSFPAYRMGSSSVRPQYQNQYQYGGGGVGGAELYGSGMIRSRFIPKLQNKRNVRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKGTDKPAASSDGSADEDFLPVTTPINHRAASTVSLEHDNGYTTCSIPWSNSSRERWVDSSSRDVKGLREPERLSSQLEESELAESTSFKSVCNQLVETPSLEFSLGRPDCRSKLNH >Manes.14G173600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28735892:28742785:-1 gene:Manes.14G173600.v8.1 transcript:Manes.14G173600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKEGIIDYQSLNQIPDLSLHISLPNSAPSSICTATNDADDSTFDVWRKHGALKSHSDSSIKVGSEPDDDNIELSLAANPAATTALEVESPWRSRICYAGGDGGWSEADRARQRNILLQRANSTGSSMSQINHGISSFQPINGIPVYNSWKINSGEMDPRFCFNQIPYSSFCTPYPSPSLGDTGSSFPAYRMGSSSVRPQYQNQYQYGGGGVGGAELYGSGMIRSRFIPKLQNKRNVRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKGTDKPAASSEQLQLYLLSMIMVTLLAQFHGVTLPEKDGLIAVQGM >Manes.04G092600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29787467:29788472:1 gene:Manes.04G092600.v8.1 transcript:Manes.04G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTIDFRCLDEGFGGKTYKRKREAQSHVATEDDDASMEIDGDTLPPAKRSAVPSSENPDKPVFGNPTYDGVIAGRVSGRKWKQPRKQRASAKLVSRKGTTFEEREREKEIKRAYRERMNELKDEIKRNKVEKRRLREEREKKKQENILRSGTKLQKITNPKTLKKIAKSKDRKLLKVVPDDVVNKNKTKNKN >Manes.02G178800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14119422:14137059:1 gene:Manes.02G178800.v8.1 transcript:Manes.02G178800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDDEDELLQMALKEQSQRDLNYHRPPSSSSSGQRKPVANFVQPPKKTAGTPPRPGHNQQQQQKKKDTRVVEEDDDSEVEMLSISSGDEEVTKYRGGAKGRGGGGGRGAKGVDDRPWDGEEPDCWKRVDESELARRVREMRETRTAPVAQKYERKPSAAVVRKGLNSLQSFPRGMECIDPLGLGIIDNRSLKLIIESSESSPRSDREFVDNNLREKLILFSEKFDPKLFLSRIHQRTSAADLESGALALKSDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTSQLYNCMQGVSSLANRALEPLFERQAQTEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSVALPSHVNILKRVLEEVDKVMHEFKGTLYKLMEDPQIDLTNLENTVRLLLELEPESDPVWHYLNVQNNRIRGLLEKCTLDHEARIETLHIQMRERALSDARWSQMQQNLNPSSDVSNDIPLQVDSQAIELTGEEVDTLRGKYIRRLTAVLIHHIPAFWKVALSVFSGKFAKSSQVSAESTVHTSANKAEEKVGDVRYSTHSIDEVAGMVRSTISAYEVKVHNTFRDLQESNILRSYMSDAINEISKACQAFEAKESAPPTAVMALRTLKAEITKIYILRLCSWMRARTEEISNEETWVPVSILERNKSPYTISFLPLAFRSVIASVMDQINLMIQSLRVEARKSEEIFSQLQEIQESVRLAFLNCFLDFAGHLEEIGIELVQNKSSKETLHLQNGYTHESEDKLSLNIPGSVVNSHQQLLIVLSNIGYCKDELAYELYKKYKSIWHQSREKEDDGDILDLVMSFSGLEEKVLQLYTFAKANMIRTAAMNYLLNSGVQWGSAPAVKGLRDAAVELLHTLVAVHSEVFTGAKPLLDKTLGILVEGLIDTFLSLFHENKSKDLRSLDANGFCQLMLELEYFESILNPYCTPDARESLKSLQGVLLEKATENVTEAVENPGHQRRSTRGSEDALDDRQQGTTNSPDDLIALAQQCSAELLQAELERTRINTACFVESIPLDSVPEPAKAAYGFQGSKDSPGRNYRGTQAMGSPGFSRHRRR >Manes.02G178800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14119422:14137059:1 gene:Manes.02G178800.v8.1 transcript:Manes.02G178800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETRTAPVAQKYERKPSAAVVRKGLNSLQSFPRGMECIDPLGLGIIDNRSLKLIIESSESSPRSDREFVDNNLREKLILFSEKFDPKLFLSRIHQRTSAADLESGALALKSDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTSQLYNCMQGVSSLANRALEPLFERQAQTEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSVALPSHVNILKRVLEEVDKVMHEFKGTLYKLMEDPQIDLTNLENTVRLLLELEPESDPVWHYLNVQNNRIRGLLEKCTLDHEARIETLHIQMRERALSDARWSQMQQNLNPSSDVSNDIPLQVDSQAIELTGEEVDTLRGKYIRRLTAVLIHHIPAFWKVALSVFSGKFAKSSQVSAESTVHTSANKAEEKVGDVRYSTHSIDEVAGMVRSTISAYEVKVHNTFRDLQESNILRSYMSDAINEISKACQAFEAKESAPPTAVMALRTLKAEITKIYILRLCSWMRARTEEISNEETWVPVSILERNKSPYTISFLPLAFRSVIASVMDQINLMIQSLRVEARKSEEIFSQLQEIQESVRLAFLNCFLDFAGHLEEIGIELVQNKSSKETLHLQNGYTHESEDKLSLNIPGSVVNSHQQLLIVLSNIGYCKDELAYELYKKYKSIWHQSREKEDDGDILDLVMSFSGLEEKVLQLYTFAKANMIRTAAMNYLLNSGVQWGSAPAVKGLRDAAVELLHTLVAVHSEVFTGAKPLLDKTLGILVEGLIDTFLSLFHENKSKDLRSLDANGFCQLMLELEYFESILNPYCTPDARESLKSLQGVLLEKATENVTEAVENPGHQRRSTRGSEDALDDRQQGTTNSPDDLIALAQQCSAELLQAELERTRINTACFVESIPLDSVPEPAKAAYGFQGSKDSPGRNYRGTQAMGSPGFSRHRRR >Manes.12G119603.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32365361:32366978:1 gene:Manes.12G119603.v8.1 transcript:Manes.12G119603.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSFCQLVEVVLSFSNDTVMSISRSCKLVIQHYFLRFAPQLCLYNSTSLFASVGELVVGPAYVPLNYKGNCSMDIIYCEYTYILNPCGPGPGNSLLNYKFH >Manes.12G108600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29913808:29930418:-1 gene:Manes.12G108600.v8.1 transcript:Manes.12G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATLHRAIGVTDFPLLTHFKGPWPLYSLNPIFHPTSLPSHFLFSPLKFPNLQNQPVRCVGEVNHHQDRDHHHHDHRHHHHHHHYPHHRHGEGKELSMPQKAFIRFAKAVGWMDLANLLREHLQLCCCSAALFVAAAACPYVMPKPIVKPLQNAFMIIAFPLVGVSASLDALTDIIGGKVNIHVLMALAAFASLFMGNTLEGGLLLAMFNLAHIAEEIFTSRSMVDVKELKENHPDYALVLDVNDDKLPDLCDLSYKSVPVHDVKVGSFILVGAGEVVPVDCEVFQGSATITIEHLTGEIKPIEAKVGDRIPGGARNLDGRMIVKATKMWKESTLNRIVQLTEEAQLNKPKLQRWLDEFGEHYSKVVVGLSIAVALLGPLLFKWPFFSTSVCRGSVYRALGLMVAASPCALAVAPLAYATAISSCARKGILLKGGQVLDALASCHTVAFDKTGTLTTGGLMFKAIEPIYGHVVRNEDMNFTSCCTPSCEKEALAVAAAMEKGTTHPIGRAVVDHSVGKDLPSVSVESFEYFPGRGLTATLSNMETGAGRVKLLKASLGSVEFIASLCKSEHESRKIKEAVKASSFGNDFVHAALSVDEKVTLIHLEDKPRAGVSDVIAELQDKARLRVMMLTGDHESSARRVAKAVGITEVHYSLKPENKLNHVKSISRDMGGGLIMVGEGINDAPALAAATVGIVLAQRASATAIAVADVLLLRDNISGVPFCVAKSRQTTSLVKQNVALALTCIALASLPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNEPKWSWGDDVWHWVKEFKSRRTPLKSDNTSSSKMQAAPL >Manes.10G129700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29587633:29593153:1 gene:Manes.10G129700.v8.1 transcript:Manes.10G129700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELQQNLQQQQSHESRNREGGSSGLFCERCSMGLSIIYKEFSFRCFLVLILSLSLLVSGIFWILPPRTSKLDGFDAKDEIKLRATVQAFFRLQKPVSQLVPHIGKLEYDINDEIGVPNAKVVILSMHQSGASNWTNVVFGVLSDSTNVPINQVSLSVLRSSLIEVFLQDSNLTVTTSIFGQPSMFEILKFPGGITVIPVPYASFWQRPQTLFRFILINSLAEVLDNLTELRDQLKFGLQLRPYENIVVQMTNAAGSTVNPPVTVEASVISDLGGLLPQRLKQLAQMITDSPSKNLGLNNSVFGKVKSVVLSSYLKGTLHAKPPTPSPAPSPELSEYAEPPMSPCPTFSPSPVPASSPPPSDDIHSHATSPKCGLHHSLPSVNSPAPSTVTPDPPHSCGHHGSPISSISSPSHSNRSPYLRSVDPPSQLPPSLSPLPQVSYGSMPRKGSASQLLAPSPSSVAVRPSYKEIWWLGFSGLLIFHLLCCSH >Manes.10G129700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29587633:29593154:1 gene:Manes.10G129700.v8.1 transcript:Manes.10G129700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELQQNLQQQQSHESRNREGGSSGLFCERCSMGLSIIYKEFSFRCFLVLILSLSLLVSGIFWILPPRTSKLDGFDAKDEIKLRATVQAFFRLQKPVSQLVPHIGKLEYDINDEIGVPNAKVVILSMHQSGASNWTNVVFGVLSDSTNVPINQVSLSVLRSSLIEVFLQDSNLTVTTSIFGQPSMFEILKFPGGITVIPVPYASFWQRPQTLFRFILINSLAEVLDNLTELRDQLKFGLQLRPYENIVVQMTNAAGSTVNPPVTVEASVISDLGGLLPQRLKQLAQMITDSPSKNLGLNNSVFGKVKSVVLSSYLKGTLHAKPPTPSPAPSPELSEYAEPPMSPCPTFSPSPVPASSPPPSDDIHSHATSPKCGLHHSLPSVNSPAPSTVTPDPPHSCGHHGSPISSISSPSHSNRSPYLRSVDPPSQLPPSLSPLPQVSYGSMPRKGSASQLLAPSPSSSCILHTKSQTHTHCKGIFSEPQ >Manes.04G141400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34003294:34004924:-1 gene:Manes.04G141400.v8.1 transcript:Manes.04G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRSKSCRDGRMQIEEYYGDKAGPKGMQNLRSYSVNYAQPNQFGKEVKIKKGKNNVGSSSKSWILNDPELQRKKRVASYKVYAMEGKMKGSFRKSFRWIKDTYTQMLYGWR >Manes.13G109000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31416890:31420196:-1 gene:Manes.13G109000.v8.1 transcript:Manes.13G109000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMVPTKSLQSHPPLSFFSIKSPNFPSPFPTCYSLTTSPSFFTLTTSSTITPSRLIMRASSSSDVGANVGEILGDVSIFTAAGEPVVFKDLWDQKEGMAVVALLRHFGCLCCWELASALKESKSKFDSAGVKLIAIGVGEPKKARILADRLPFPMDCLYADPDRKAYDVLGLYYGFGRTFFNPASAKVFSRFDALRKAVKNYTIAATPDNRSSVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVFDVCCRQVTVA >Manes.13G109000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31417935:31420196:-1 gene:Manes.13G109000.v8.1 transcript:Manes.13G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMVPTKSLQSHPPLSFFSIKSPNFPSPFPTCYSLTTSPSFFTLTTSSTITPSRLIMRASSSSDVGANVGEILGDVSIFTAAGEPVVFKDLWDQKEGMAVVALLRHFGCLCCWELASALKESKSKFDSAGVKLIAIGVGEPKKARILADRLPFPMDCLYADPDRKAYDVLGLYYGFGRTFFNPASAKVFSRFDALRKAVKNYTIAATPDNRSSVLQQV >Manes.14G143300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13626970:13634307:1 gene:Manes.14G143300.v8.1 transcript:Manes.14G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHGHEEPKPLKNLDGQFCEICGDQIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQVCPQCKTRYKRLKGSARVAGDDDEEDVDDIEHEFNIEDEEDKNNHLTEAMLYGKMTYGRGRDDEENSQFPPVIAGGVRSRPVSGEFPIGSHGEQMLASSVHKRVHPYPVSEPGSGRWDHEKKEGGWKERTDDWKLQQGNLGPEPDDDLDAVMLDETRQPLSRKVPIASSKINPYRMIIVARLVILALFLRYRIMNPVHDAIGLWLTSIICEIWFAFSWILDQFPKWLPIDRETYLDRLSLRYEREGEPNMLAPVDFFVSTVDPMKEPPLVTANTLLSILAVDYAVEKVSCYLSDDGASLCTFEALSETAEFARKWVPFCKKFNIEPRAPEMYFALKVDYLKDKVQPTFVKERRAMKREYEEFKVRVNAIVAKAQKVPPEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGHDVEGNELPRLVYVSREKRPGFAHHKKAGAMNALVRVSAVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQIGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFKRQALYGYEPPKDPKRPKMVTCDCCPCFGRRKKNQSKKGANGDAINLEGMDDDKQLLMSQMNFEKRFGQSAIFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGTELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPVWYGYKEGKLKWLERFAYVNTTVYPFTSLPLLAYCILPAICLLTDKFIMPEISTFASLFFIALFISIFATGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATDDEEFGELYAFKWTTLLIPPTTILIINLVGVVAGVSDAINNGSQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTRGPDTKQCGINC >Manes.07G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29974987:29976169:-1 gene:Manes.07G095600.v8.1 transcript:Manes.07G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQPNSRVLKRLFFFTFENPFLSLTNHRYQNLPQQYKKTCNYVDVYMKWKKDSAQTHPFLKDFTAQNPNGCVPIFDVSKRGLQFDVKIKEFFGPKYNLPWFRLTEEAAAIDREEKKVVEEHKEDLRKRLKKFILMSMEKVLPFKIIKGMLWYLGLPEDFLQYPDKNFDSYFRVVELEDGLKGLGVESREKLLSVLQKNAMRKGLYSGEPMETIDFPFFPSKGLRLRRKILDCDIAQKRVVGLLHELLSLFVEHSAERKKFLCLKKYFELPQKVHEAFERHPHMFYLSFRNNTCTAILKEAYGDDELAMERHPMAMIRKKYIKLMKESEVILKRRRTNNPFVQ >Manes.11G079100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11825292:11828227:1 gene:Manes.11G079100.v8.1 transcript:Manes.11G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLCSQSRNSLLIPPCDFCNSNVALLYCKADSAKLCFLCDHQVHSANALSLKHLRSLICDNCWAESASVQFSLDNLVLCQDCDASFSVSPPFHSRIPIEGFTGCPPAIELASLFGLDLQPKIFTTSDTGCSLYEQKTVDLQDLLVPSGSSSVFLCSGKCRREMHQQLMELGKRENIRVDGDAEELGPETPPSRCAQLRSLESLEVDNVDEEELLNQQVPFTSVLPNQEGASDSEGDLLWDCDPPYQAAQIWDFQLGATRDCAEPGPKEAGDNAKDPGLRIKNYDNLTEEAAFNTRKLSEDIYELNFSTPSENIRSRDSCSNKKLSMDTARTGETETTSLIEPSLESILMEAKTCTSPSNVQVAERSPLACFGTAFRVRPKVDMELLAKNRCIAMLRYKEKKQTRRFDHRVRYESRKAIADTRTRVKGRFAKAGENC >Manes.11G079100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11825292:11828227:1 gene:Manes.11G079100.v8.1 transcript:Manes.11G079100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLCSQSRNSLLIPPCDFCNSNVALLYCKADSAKLCFLCDHQVHSANALSLKHLRSLICDNCWAESASVQFSLDNLVLCQDCDASFSVSPPFHSRIPIEGFTGCPPAIELASLFGLDLQPKIFTTSDTGCSLYEQKTVDLQDLLVPSGSSSVFLCSGKCRREMHQQLMELGKRENIRVDGDAEELGPETPPSRCAQLRSLESLEVDNVDEEELLNQQVPFTSVLPNQEGASDSEGDLLWDCDPPYQAAQIWDFQLGATRDCAEPGPKEAGDNAKDPGLRIKNYDNLTEEAAFNTRKLSEDIYELNFSTPSENIRSRDGRNLSS >Manes.13G122100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33032313:33033491:-1 gene:Manes.13G122100.v8.1 transcript:Manes.13G122100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKELNKVICKYLRNLKRQENNCTTTFSDNSDMVNMINILKAAEETSLAVLEPILSFISQSSANSKLSGWFLVSKIIQSKRVSSEGEIQASEIEKLDAELHVLKSSKDINQVHNVLKGLEALDSNLQEAVEGWSVFIEDW >Manes.12G113200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31323596:31326947:-1 gene:Manes.12G113200.v8.1 transcript:Manes.12G113200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMGWLVFNLFLFCFLGLTGAGQESIQVLNLCDSTPEVLQAMSKSGHPLAMSVSDEDLSGVSGSVLMAESWLRTHVLGHFPATNITTIVVGDTLLCQDGRNHNWGLVLPSLKNIYYSLTRWGLEREIKVSAAFSSNCFEPNSAVPINDLAEKVIKPLIQFLHSINSTYSINLPPDFSPLSDETVSLVSSFSELLQNFGSLALNEANVIVTAPKQNVPKNRKLSNIESKILKPESSQPPLHSSIGSSVPSNVAKNPHSPLSRAASPPLSFPRASLPPLSYPVESPPPMAFPFAPEQPPPTGPVTAPYGYSFPPCNPDNTISPAPEAGVVQELWCVAKPSVPVETLQDAMDYACGDGGADCTEIMPHGSCFYPDTVVAHASYAFNSYFQKSKRNGGTCNFGGTAMLVSSDPSFLHCRFLLS >Manes.12G113200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31323596:31327166:-1 gene:Manes.12G113200.v8.1 transcript:Manes.12G113200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMGWLVFNLFLFCFLGLTGAGQESIQVLNLCDSTPEVLQAMSKSGHPLAMSVSDEDLSGVSGSVLMAESWLRTHVLGHFPATNITTIVVGDTLLCQDGRNHNWGLVLPSLKNIYYSLTRWGLEREIKVSAAFSSNCFEPNSAVPINDLAEKVIKPLIQFLHSINSTYSINLPPDFSPLSDETVSLVSSFSELLQNFGSLALNEANVIVTAPKQNVPKNRKLSNIESKILKPESSQPPLHSSIGSSVPSNVAKNPHSPLSRAASPPLSFPRASLPPLSYPVESPPPMAFPFAPEQPPPTGPVTAPYGYSFPPCNPDNTISPAPEAGVVQELWCVAKPSVPVETLQDAMDYACGDGGADCTEIMPHGSCFYPDTVVAHASYAFNSYFQKSKRNGGTCNFGGTAMLVSSDPSFLHCRFLLS >Manes.16G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15889698:15892139:-1 gene:Manes.16G054900.v8.1 transcript:Manes.16G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKAKVTIGVDMTPAVAKINGRPVLQPTCNRVPTLERLNSLKKILPKSPPPPPPPPPPSVAPLSPKSKSPRPAAIKRGSDPNGLNSSSEKVVIPRNTIKAPGLERKKSKSFKESSVSSSSWSSAEASLSYSSSLIVEAPGSIAAVRREQMALQHAQRKMRIAHYGRSKSAKFESNVAPLDSSTTKPEEEEKRCSFITTNSDPIYIAYHDQEWGVPVHDDKLLFELLVLSGAQVGSDWTSILKKRQDFRDAFSGFDAETVANISEKQMMSISAEYGIDISRVRGVVDNSNRIIEIKKEFGSFEKYIWGFVNKKPISTQYKFAHKIPVKTSKSESISKDMVRRGFWFVGPTVVHSFMQAAGLTNDHLISCHRHLPCTLLGALQPTAQAKHSL >Manes.03G112332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23697303:23698703:1 gene:Manes.03G112332.v8.1 transcript:Manes.03G112332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQARPELHFTKMAPLKGVFMVLLGLSFTFDHRLLADATSDYQLHHEKTDGLKLGDVSEKGIGLGTGISTGSSGTGFGYGHGGDQVFGIDVGFRPGSNGGSGSGVGIGYGFAGSSKYSSDASAKFSSGGGGGGGGGSSGSNTVIPSPSSGGGGGGGGGSGGGSGSYNVPVTPGIGGAGGGGGGGGGSGDGSGSYNVPLMPGTGGGGGGGGGGTGSGTGSYNMPVTPGFGGGGGGGGGGRGSYNVPATPGGVSGGGGGGGGGSGSYNVPISPGPIGSGGGGGGGGGSGGYNIPLPPNPSVGGGGGGGGGGNGGGTGGFNYPYVPSPSGGGGGGGGGGGNGVGSGGGGGGGGGNGAGNGGYNYPYVPSPSGGGGGGGWGGTYPSPGGWGGRVGGGGGGGGGGGGGGGGGGNAGYGYIPGVPMLPPYGGCGCPPQCLPGGWKPMHHHHWMKHQNSH >Manes.03G185600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30619949:30628518:-1 gene:Manes.03G185600.v8.1 transcript:Manes.03G185600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGHENYDEKSVEHLERLQNLNTEMAIEIIGLFLKNKLTSRILYLARQNLPSHWVRFIQRLQLLGANSLVLRNSKTLTAEDLLQLTSETHLVLIKESKASSLQKFHEVMALGSLVSSVGLCHGASPSALWLPLDLVLEDAMDGYQVNATSAIEIITGLTKTLQAINRTTWHDTFLGLWIAALRLVQRERDPIEGPIPRLDTRLCILLSIIPLVVADLIEEEENVPINESEWGSTNHWKEKNVKKRHNALVSSLQLLGDYQSLLIPPQSVVSAANQAAAKAMLFISGINVGSTYFECINMNMPINCAGNMRHLITEACIARNVLDTSAYFWPGYMTGCINQIPHGVTAELPGWASFMKGAQLTPVMISALVSIPASSLAELEKVYELAVRGPDDEKMSAATILCGASLLRGWNIQEHTVHFITRLLSPPVPVDYSGVGSHLISYAPILNVLIVGLASVDCVQIFSLHGLIPQLACSLMPICEVFGSCVPDVSWNLPTGEDISAHAVFSNAFALLLKLWRFNRPPLEHGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNAHKDRHKRRLSAVATSASLQPIFMDSFPKLKIWYRQHQKCLASTLSGLVSGTPVHQIVNVLLNMMFRKINRGSQVLTITSGSSCSSGSVNDDSSLRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGIWRPVYMNGTDWPSPAANLSNVEEKIKKILAATGVDVPSLAAGSSPATLPLPLAAFASLTITYKLDKTSERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAVFQLLKSCFTATLSLGPTAISSNGGVGALLGHGFGSHFHGGISPVAPGILYLRVHRSIREIVFITEEIISLIMHSVREIACSGLPREKLENLKRTKNGLRSGQVSLAAAMTRVKLAASLGASLVWLSGGIGLVHSLFKETLPSWFIAVHKSEQEEGPEGMVPMLQGYALAYFAVLCGAFAWGIDSSTSASKRRPKVLAAHMKLLASALDGRISLGCDWATWRSYISAFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEEELALALLGIGLVGTMGAAAQLIIEEQ >Manes.03G185600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30619949:30628518:-1 gene:Manes.03G185600.v8.1 transcript:Manes.03G185600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGHENYDEKSVEHLERLQNLNTEMAIEIIGLFLKNKLTSRILYLARQNLPSHWVRFIQRLQLLGANSLVLRNSKTLTAEDLLQLTSETHLVLIKESKASSLQKFHEVMALGSLVSSVGLCHGASPSALWLPLDLVLEDAMDGYQVNATSAIEIITGLTKTLQAINRTTWHDTFLGLWIAALRLVQRERDPIEGPIPRLDTRLCILLSIIPLVVADLIEEEENVPINESEWGSTNHWKEKNVKKRHNALVSSLQLLGDYQSLLIPPQSVVSAANQAAAKAMLFISGINVGSTYFECINMNMPINCAGNMRHLITEACIARNVLDTSAYFWPGYMTGCINQIPHGVTAELPGWASFMKGAQLTPVMISALVSIPASSLAELEKVYELAVRGPDDEKMSAATILCGASLLRGWNIQEHTVHFITRLLSPPVPVDYSGVGSHLISYAPILNVLIVGLASVDCVQIFSLHGLIPQLACSLMPICEVFGSCVPDVSWNLPTGEDISAHAVFSNAFALLLKLWRFNRPPLEHGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNAHKDRHKRRLSAVATSASLQPIFMDSFPKLKIWYRQHQKCLASTLSGLVSGTPVHQIVNVLLNMMFRKINRGSQVLTITSGSSCSSGSVNDDSSLRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGIWRPVYMNGTDWPSPAANLSNVEEKIKKILAATGVDVPSLAAAGSSPATLPLPLAAFASLTITYKLDKTSERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAVFQLLKSCFTATLSLGPTAISSNGGVGALLGHGFGSHFHGGISPVAPGILYLRVHRSIREIVFITEEIISLIMHSVREIACSGLPREKLENLKRTKNGLRSGQVSLAAAMTRVKLAASLGASLVWLSGGIGLVHSLFKETLPSWFIAVHKSEQEEGPEGMVPMLQGYALAYFAVLCGAFAWGIDSSTSASKRRPKVLAAHMKLLASALDGRISLGCDWATWRSYISAFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEEELALALLGIGLVGTMGAAAQLIIEEQ >Manes.03G185600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30619949:30628518:-1 gene:Manes.03G185600.v8.1 transcript:Manes.03G185600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIENSVLDRVIGITKVAQEKGIDPLLWALQIISNLSSNGVVLPSLELAEVLVSYICWDNNVPILWKFLEKALVLKILPPLMVIALLSERVIARRHSQPVAYRLFMELLKRHGFSLKSQTNASDYMKVMKSIDAVLHLSEHFGLQASDPGILMVEFNFSIVWQLLDASLDDEGLLELIPEKKSRWATKLEEMEIDGHENYDEKSVEHLERLQNLNTEMAIEIIGLFLKNKLTSRILYLARQNLPSHWVRFIQRLQLLGANSLVLRNSKTLTAEDLLQLTSETHLVLIKESKASSLQKFHEVMALGSLVSSVGLCHGASPSALWLPLDLVLEDAMDGYQVNATSAIEIITGLTKTLQAINRTTWHDTFLGLWIAALRLVQRERDPIEGPIPRLDTRLCILLSIIPLVVADLIEEEENVPINESEWGSTNHWKEKNVKKRHNALVSSLQLLGDYQSLLIPPQSVVSAANQAAAKAMLFISGINVGSTYFECINMNMPINCAGNMRHLITEACIARNVLDTSAYFWPGYMTGCINQIPHGVTAELPGWASFMKGAQLTPVMISALVSIPASSLAELEKVYELAVRGPDDEKMSAATILCGASLLRGWNIQEHTVHFITRLLSPPVPVDYSGVGSHLISYAPILNVLIVGLASVDCVQIFSLHGLIPQLACSLMPICEVFGSCVPDVSWNLPTGEDISAHAVFSNAFALLLKLWRFNRPPLEHGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNAHKDRHKRRLSAVATSASLQPIFMDSFPKLKIWYRQHQKCLASTLSGLVSGTPVHQIVNVLLNMMFRKINRGSQVLTITSGSSCSSGSVNDDSSLRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGIWRPVYMNGTDWPSPAANLSNVEEKIKKILAATGVDVPSLAAGSSPATLPLPLAAFASLTITYKLDKTSERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAVFQLLKSCFTATLSLGPTAISSNGGVGALLGHGFGSHFHGGISPVAPGILYLRVHRSIREIVFITEEIISLIMHSVREIACSGLPREKLENLKRTKNGLRSGQVSLAAAMTRVKLAASLGASLVWLSGGIGLVHSLFKETLPSWFIAVHKSEQEEGPEGMVPMLQGYALAYFAVLCGAFAWGIDSSTSASKRRPKVLAAHMKLLASALDGRISLGCDWATWRSYISAFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEEELALALLGIGLVGTMGAAAQLIIEEQ >Manes.03G185600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30619949:30628518:-1 gene:Manes.03G185600.v8.1 transcript:Manes.03G185600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGHENYDEKSVEHLERLQNLNTEMAIEIIGLFLKNKLTSRILYLARQNLPSHWVRFIQRLQLLGANSLVLRNSKTLTAEDLLQLTSETHLVLIKESKASSLQKFHEVMALGSLVSSVGLCHGASPSALWLPLDLVLEDAMDGYQVNATSAIEIITGLTKTLQAINRTTWHDTFLGLWIAALRLVQRERDPIEGPIPRLDTRLCILLSIIPLVVADLIEEEENVPINESEWGSTNHWKEKNVKKRHNALVSSLQLLGDYQSLLIPPQSVVSAANQAAAKAMLFISGINVGSTYFECINMNMPINCAGNMRHLITEACIARNVLDTSAYFWPGYMTGCINQIPHGVTAELPGWASFMKGAQLTPVMISALVSIPASSLAELEKVYELAVRGPDDEKMSAATILCGASLLRGWNIQEHTVHFITRLLSPPVPVDYSGVGSHLISYAPILNVLIVGLASVDCVQIFSLHGLIPQLACSLMPICEVFGSCVPDVSWNLPTGEDISAHAVFSNAFALLLKLWRFNRPPLEHGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNAHKDRHKRRLSAVATSASLQPIFMDSFPKLKIWYRQHQKCLASTLSGLVSGTPVHQIVNVLLNMMFRKINRGSQVLTITSGSSCSSGSVNDDSSLRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGIWRPVYMNGTDWPSPAANLSNVEEKIKKILAATGVDVPSLAAAGSSPATLPLPLAAFASLTITYKLDKTSERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAVFQLLKSCFTATLSLGPTAISSNGGVGALLGHGFGSHFHGGISPVAPGILYLRVHRSIREIVFITEEIISLIMHSVREIACSGLPREKLENLKRTKNGLRSGQVSLAAAMTRVKLAASLGASLVWLSGGIGLVHSLFKETLPSWFIAVHKSEQEEGPEGMVPMLQGYALAYFAVLCGAFAWGIDSSTSASKRRPKVLAAHMKLLASALDGRISLGCDWATWRSYISAFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEEELALALLGIGLVGTMGAAAQLIIEEQ >Manes.03G185600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30619949:30628518:-1 gene:Manes.03G185600.v8.1 transcript:Manes.03G185600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGHENYDEKSVEHLERLQNLNTEMAIEIIGLFLKNKLTSRILYLARQNLPSHWVRFIQRLQLLGANSLVLRNSKTLTAEDLLQLTSETHLVLIKESKASSLQKFHEVMALGSLVSSVGLCHGASPSALWLPLDLVLEDAMDGYQVNATSAIEIITGLTKTLQAINRTTWHDTFLGLWIAALRLVQRERDPIEGPIPRLDTRLCILLSIIPLVVADLIEEEENVPINESEWGSTNHWKEKNVKKRHNALVSSLQLLGDYQSLLIPPQSVVSAANQAAAKAMLFISGINVGSTYFECINMNMPINCAGNMRHLITEACIARNVLDTSAYFWPGYMTGCINQIPHGVTAELPGWASFMKGAQLTPVMISALVSIPASSLAELEKVYELAVRGPDDEKMSAATILCGASLLRGWNIQEHTVHFITRLLSPPVPVDYSGVGSHLISYAPILNVLIVGLASVDCVQIFSLHGLIPQLACSLMPICEVFGSCVPDVSWNLPTGEDISAHAVFSNAFALLLKLWRFNRPPLEHGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNAHKDRHKRRLSAVATSASLQPIFMDSFPKLKIWYRQHQKCLASTLSGLVSGTPVHQIVNVLLNMMFRKINRGSQVLTITSGSSCSSGSVNDDSSLRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGIWRPVYMNGTDWPSPAANLSNVEEKIKKILAATGVDVPSLAAGSSPATLPLPLAAFASLTITYKLDKTSERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAVFQLLKSCFTATLSLGPTAISSNGGVGALLGHGFGSHFHGGISPVAPGILYLRVHRSIREIVFITEEIISLIMHSVREIACSGLPREKLENLKRTKNGLRSGQVSLAAAMTRVKLAASLGASLVWLSGGIGLVHSLFKETLPSWFIAVHKSEQEEGPEGMVPMLQGYALAYFAVLCGAFAWGIDSSTSASKRRPKVLAAHMKLLASALDGRISLGCDWATWRSYISAFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEEELALALLGIGLVGTMGAAAQLIIEEQ >Manes.03G185600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30619949:30628518:-1 gene:Manes.03G185600.v8.1 transcript:Manes.03G185600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIENSVLDRVIGITKVAQEKGIDPLLWALQIISNLSSNGVVLPSLELAEVLVSYICWDNNVPILWKFLEKALVLKILPPLMVIALLSERVIARRHSQPVAYRLFMELLKRHGFSLKSQTNASDYMKVMKSIDAVLHLSEHFGLQASDPGILMVEFNFSIVWQLLDASLDDEGLLELIPEKKSRWATKLEEMEIDGHENYDEKSVEHLERLQNLNTEMAIEIIGLFLKNKLTSRILYLARQNLPSHWVRFIQRLQLLGANSLVLRNSKTLTAEDLLQLTSETHLVLIKESKASSLQKFHEVMALGSLVSSVGLCHGASPSALWLPLDLVLEDAMDGYQVNATSAIEIITGLTKTLQAINRTTWHDTFLGLWIAALRLVQRERDPIEGPIPRLDTRLCILLSIIPLVVADLIEEEENVPINESEWGSTNHWKEKNVKKRHNALVSSLQLLGDYQSLLIPPQSVVSAANQAAAKAMLFISGINVGSTYFECINMNMPINCAGNMRHLITEACIARNVLDTSAYFWPGYMTGCINQIPHGVTAELPGWASFMKGAQLTPVMISALVSIPASSLAELEKVYELAVRGPDDEKMSAATILCGASLLRGWNIQEHTVHFITRLLSPPVPVDYSGVGSHLISYAPILNVLIVGLASVDCVQIFSLHGLIPQLACSLMPICEVFGSCVPDVSWNLPTGEDISAHAVFSNAFALLLKLWRFNRPPLEHGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNAHKDRHKRRLSAVATSASLQPIFMDSFPKLKIWYRQHQKCLASTLSGLVSGTPVHQIVNVLLNMMFRKINRGSQVLTITSGSSCSSGSVNDDSSLRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGIWRPVYMNGTDWPSPAANLSNVEEKIKKILAATGVDVPSLAAAGSSPATLPLPLAAFASLTITYKLDKTSERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAVFQLLKSCFTATLSLGPTAISSNGGVGALLGHGFGSHFHGGISPVAPGILYLRVHRSIREIVFITEEIISLIMHSVREIACSGLPREKLENLKRTKNGLRSGQVSLAAAMTRVKLAASLGASLVWLSGGIGLVHSLFKETLPSWFIAVHKSEQEEGPEGMVPMLQGYALAYFAVLCGAFAWGIDSSTSASKRRPKVLAAHMKLLASALDGRISLGCDWATWRSYISAFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEEELALALLGIGLVGTMGAAAQLIIEEQ >Manes.08G150400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39219968:39224039:1 gene:Manes.08G150400.v8.1 transcript:Manes.08G150400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLIESEAKDINDLKKRIWAENKKIWKVGFPATLARVSQYGMFVVTQSFIGHVGELELAGYALIQIITVRFANGILLGMSSATDTLCGQAFGARQYHMMGVYLQRSWIINFLTATMLLPVFIFSGKIFRLLGEEEEIADSAGYISVLFIPMLYFFALAFSLQKFLQTQLKNMIVGWLSAASFVVHVILSWIFVSKLNWGIPGAMTAMNISSWLVIIGELVYVFGGWCPDTWRGFTSAAFTDLVPVIKLSISSGLMLCLEFWYNALLVLLAGYMENAATQVSALSICLNITGWEFMLCVGFLTASSVRVSNELGRGDAKAAKFSVKVIFFTSLCVGVLFFFVCLVFDSQIAKLFTNEQDVIKAVSSLSLLLALSVLLNSFQTVLTGVAVGAGRQSMVAYVNMSSYYIVGVPIGVVLGYVAHLQIKGIWIGMTIGVVLQVLLLGYFTSKTNWDEQVIKVSKHLDRWLLSKSEESNNENSI >Manes.08G150400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39219921:39224039:1 gene:Manes.08G150400.v8.1 transcript:Manes.08G150400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLIESEAKDINDLKKRIWAENKKIWKVGFPATLARVSQYGMFVVTQSFIGHVGELELAGYALIQIITVRFANGILLGMSSATDTLCGQAFGARQYHMMGVYLQRSWIINFLTATMLLPVFIFSGKIFRLLGEEEEIADSAGYISVLFIPMLYFFALAFSLQKFLQTQLKNMIVGWLSAASFVVHVILSWIFVSKLNWGIPGAMTAMNISSWLVIIGELVYVFGGWCPDTWRGFTSAAFTDLVPVIKLSISSGLMLCLEFWYNALLVLLAGYMENAATQVSALSICLNITGWEFMLCVGFLTASSVRVSNELGRGDAKAAKFSVKVIFFTSLCVGVLFFFVCLVFDSQIAKLFTNEQDVIKAVSSLSLLLALSVLLNSFQTVLTGISAYKNLFELGPSNLVLELE >Manes.08G150400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39219968:39224039:1 gene:Manes.08G150400.v8.1 transcript:Manes.08G150400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLIESEAKDINDLKKRIWAENKKIWKVGFPATLARVSQYGMFVVTQSFIGHVGELELAGYALIQIITVRFANGILLGMSSATDTLCGQAFGARQYHMMGVYLQRSWIINFLTATMLLPVFIFSGKIFRLLAFSLQKFLQTQLKNMIVGWLSAASFVVHVILSWIFVSKLNWGIPGAMTAMNISSWLVIIGELVYVFGGWCPDTWRGFTSAAFTDLVPVIKLSISSGLMLCLEFWYNALLVLLAGYMENAATQVSALSICLNITGWEFMLCVGFLTASSVRVSNELGRGDAKAAKFSVKVIFFTSLCVGVLFFFVCLVFDSQIAKLFTNEQDVIKAVSSLSLLLALSVLLNSFQTVLTGVAVGAGRQSMVAYVNMSSYYIVGVPIGVVLGYVAHLQIKGIWIGMTIGVVLQVLLLGYFTSKTNWDEQVIKVSKHLDRWLLSKSEESNNENSI >Manes.08G150400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39219968:39224039:1 gene:Manes.08G150400.v8.1 transcript:Manes.08G150400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATDTLCGQAFGARQYHMMGVYLQRSWIINFLTATMLLPVFIFSGKIFRLLAFSLQKFLQTQLKNMIVGWLSAASFVVHVILSWIFVSKLNWGIPGAMTAMNISSWLVIIGELVYVFGGWCPDTWRGFTSAAFTDLVPVIKLSISSGLMLCLEFWYNALLVLLAGYMENAATQVSALSICLNITGWEFMLCVGFLTASSVRVSNELGRGDAKAAKFSVKVIFFTSLCVGVLFFFVCLVFDSQIAKLFTNEQDVIKAVSSLSLLLALSVLLNSFQTVLTGVAVGAGRQSMVAYVNMSSYYIVGVPIGVVLGYVAHLQIKGIWIGMTIGVVLQVLLLGYFTSKTNWDEQVIKVSKHLDRWLLSKSEESNNENSI >Manes.13G064600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8128833:8130365:-1 gene:Manes.13G064600.v8.1 transcript:Manes.13G064600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEAMCIDGSKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPESTRLNEGIAGGLDEEDDGAGDDYIEFEDEDIDKI >Manes.13G064600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8128804:8130423:-1 gene:Manes.13G064600.v8.1 transcript:Manes.13G064600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEAMCIDGSKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPESTRLNEGIAGGLDEEDDGAGDDYIEFEDEDIDKI >Manes.16G113800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31935396:31943196:1 gene:Manes.16G113800.v8.1 transcript:Manes.16G113800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGNEFPPKKAAQSDKQGSSDFPAKKLARQLDFTQGNVVLPDHPQSQQQQQQPATLPLSQKPVIVTPQSQLQQQPQVVAMPAAPPPQQHPPAKIVKPESPKSKPRPNAELKDSTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCANCYNNVENEGARREAVEATLERNPNAFRPKIASSPHGARDSRVYQLNEENLEGLLLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHTNNIAYIQQAANAAITGAIGSSGYASPPISKKRKVQELLFGPTAKDPSFHRLGHFHQAVNTRPSAPSCSLGTNPVARATSTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSSEAGKTLADQRNATEKRVEDQTEASLASSTQKSLQSQEANVDKIIAYDCCSANQVDKVGPEDSSSDGADMPKGRPMSPGTLALMCDEQDTMFMAAASPNVLTGHGCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITYGEIKETKCSLLARTELGNQKDQSSNGTMNARAELANQQGHYSNGITNHIILPTSRTSQMVTSMVATSSNDLPRVSALPQHGDNKPIT >Manes.16G113800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31935357:31943196:1 gene:Manes.16G113800.v8.1 transcript:Manes.16G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGNEFPPKKAAQSDKQGSSDFPAKKLARQLDFTQGNVVLPDHPQSQQQQQQPATLPLSQKPVIVTPQSQLQQQPQVVAMPAAPPPQQHPPAKIVKPESPKSKPRPNAELKDSTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCANCYNNVENEGARREAVEATLERNPNAFRPKIASSPHGARDSREENLEGLLLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHTNNIAYIQQAANAAITGAIGSSGYASPPISKKRKVQELLFGPTAKDPSFHRLGHFHQAVNTRPSAPSCSLGTNPVARATSTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSSEAGKTLADQRNATEKRVEDQTEASLASSTQKSLQSQEANVDKIIAYDCCSANQVDKVGPEDSSSDGADMPKGRPMSPGTLALMCDEQDTMFMAAASPNVLTGHGCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITYGEIKETKCSLLARTELGNQKDQSSNGTMNARAELANQQGHYSNGITNHIILPTSRTSQMVTSMVATSSNDLPRVSALPQHGDNKPIT >Manes.16G113800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31935396:31943196:1 gene:Manes.16G113800.v8.1 transcript:Manes.16G113800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGNEFPPKKAAQSDKQGSSDFPAKKLARQLDFTQGNVVLPDHPQSQQQQQQPATLPLSQKPVIVTPQSQLQQQPQVVAMPAAPPPQQHPPAKIVKPESPKSKPRPNAELKDSTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCANCYNNVENEGARREAVEATLERNPNAFRPKIASSPHGARDSRVYQLNEENLEGLLLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHTNNIAYIQQAANAAITGAIGSSGYASPPISKKRKVQELLFGPTAKDPSFHRLGHFHQAVNTRPSAPSCSLGTNPVARATSTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSSEAGKTLADQRNATEKRVEDQTEASLASSTQKSLQSQEANVDKIIAYDCCSANQVDKVGPEDSSSDGADMPKGRPMSPGTLALMCDEQDTMFMAAASPNVLTGHGCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITYGEIKETKCSLLARTELGNQKDQSSNGTMNARAELANQQGHYSNGITNHIILPTSRTSQMVTSMVATSSNDLPRVSALPQHGDNKPIT >Manes.18G144261.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:20606965:20607723:1 gene:Manes.18G144261.v8.1 transcript:Manes.18G144261.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEFEMSLMGELTFFLRLQIKQAAEGIFINRTKYIKDMLKKFKMNKLKGIGTPMNSNIKLDRDEKGKEVDKKLYRGMIGSLLYLTASRPDIHFSVCLYARFQSNPKESHLVAIKRNFRHLISTPSIGLWYPKCDNFNLVGYSDFDFAGSKMDRKSTSRTCQFLSHALVSWFRKKQTSVALSTAEAEYIATESCVVQILWMKQQLNDSGIKVDHMLIKCDNISDINLTKNPVRYSRETCRDQISLYKRSCAK >Manes.06G148500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27450325:27475820:-1 gene:Manes.06G148500.v8.1 transcript:Manes.06G148500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSPLFKSIYWAKLPSFSHGVHSLSPSSLIIPKRLVNTDNKRRREIKKRRWRSSGSNHKSGISAMPCSSSSTVVGGGVGGSGDENGSLRSFKLNESTFLASLMPKKEIGADRFIEAHPEYDGRGVVIAIFDSGVDPAAAGLHMTTDGKPKVLDVIDCTGSGDINTSKVVKADADGCIRGASGAALVVNSSWKNPSGEWHVGYKLVYELFTDSLTSRLKEERKKKWDEKSQEEIAKAVKQLDEFNQKHSNPDDANLKKLREDLQNRIDILRKQADNYDDKGPVIDAVVWHDGELWRAALDTQSLESEPDRGKLANFTPLTNYRTERKYGVFSKLDACSFVLNIYDEGNVLSIVTDSSLHGTHVAGIATAFHPKEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPALSTVGAPGGTTSSIIGVGAYVSPAMAAGAHCVVEPPSEGLEYTWSSRGPTADGDLGVCVSAPGGAVAPVPTWTLQKRMLLNGTSMASPSACGGIALLVSAMKAEGIPVSPYSVRKALENTSVPIGELLADKLSTGQGLMQVDKAFEYIRQSKNIPSVCYDIKVNQSGKSSPTSRGIYLREASACQQSSEWTVQVLPKFHEGASNLEELVPFEECIELCSTEKSVVMAPEYLLLTHNGRSFNIVVDPTKLSDGLHYYEVYGVDCKAPWRGPIFRIPVTITKPMTVKNRPPLVSFARMSFMPGHIERRFVEVPLGASWVEATMRTSGFDTARRFFVDTVQISPLQRPIKWESVVTFSSPAAKSFAFPVVGGQTMELAVALFWSSGIGSHETTIVDFEIVFHGIGVNKEDVVLDGSEAPIRIDAEALLASEKLAPAATLKKVRAPYRPIDAKLSTLTSDRDKLPSGKQILALTLTYKFKLEDASDIKPQVPLLNNRVYDTKFESQFYMISDTNKRVHAMGDVYPNSSKLPKGEYNLQLYLRHDNVQYLEKMKQLVLFIERDLDDKDVIRLNFFSEPDGPVMGNGAFKSSILVPGIKEAIYLGPPMKEKIPKNVPQGSVLLGSISYGKVGHEGGKNSQNPVSYQVSYIVPPNKVDDDKGKGSSSTNSKTVSERLEEEVRDAKIKVFTSLKRATDEERSEWKKLSISLKSEYPNYTPLLAKILEGLLSESNIEDKIGHYEDIIRAANEVIDSIDRDELAKYFSLKSDPEDEEAEKVKKKMETTRDQLIEALYQKGLAIYDIESLEGPKAETLAVTEGTKGVDTTDKKTAPDAGGQPDLFEENFKELQKWVDVKSSKYGTLLVIRERRRGRLGTALKVLNDMIQDDGDPPKKKLYELKISLLDDIGWSHLATYERQWMHVLFPPSLPLF >Manes.06G148500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27450325:27475820:-1 gene:Manes.06G148500.v8.1 transcript:Manes.06G148500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSPLFKSIYWAKLPSFSHGVHSLSPSSLIIPKRLVNTDNKRRREIKKRRWRSSGSNHKSGISAMPCSSSSTVVGGGVGGSGDENGSLRSFKLNESTFLASLMPKKEIGADRFIEAHPEYDGRGVVIAIFDSGVDPAAAGLHMTTDGKPKVLDVIDCTGSGDINTSKVVKADADGCIRGASGAALVVNSSWKNPSGEWHVGYKLVYELFTDSLTSRLKEERKKKWDEKSQEEIAKAVKQLDEFNQKHSNPDDANLKKLREDLQNRIDILRKQADNYDDKGPVIDAVVWHDGELWRAALDTQSLESEPDRGKLANFTPLTNYRTERKYGVFSKLDACSFVLNIYDEGNVLSIVTDSSLHGTHVAGIATAFHPKEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPALSTVGAPGGTTSSIIGVGAYVSPAMAAGAHCVVEPPSEGLEYTWSSRGPTADGDLGVCVSAPGGAVAPVPTWTLQKRMLLNGTSMASPSACGGIALLVSAMKAEGIPVSPYSVRKALENTSVPIGELLADKLSTGQGLMQVDKAFEYIRQSKNIPSVCYDIKVNQSGKSSPTSRGIYLREASACQQSSEWTVQVLPKFHEGASNLEELVPFEECIELCSTEKSVVMAPEYLLLTHNGRSFNIVVDPTKLSDGLHYYEVYGVDCKAPWRGPIFRIPVTITKPMTVKNRPPLVSFARMSFMPGHIERRFVEVPLGASWVEATMRTSGFDTARRFFVDTVQISPLQRPIKWESVVTFSSPAAKSFAFPVVGGQTMELAVALFWSSGIGSHETTIVDFEIVFHGIGVNKEDVVLDGSEAPIRIDAEALLASEKLAPAATLKKVRAPYRPIDAKLSTLTSDRDKLPSGKQILALTLTYKFKLEDASDIKPQVPLLNNRVYDTKFESQFYMISDTNKRVHAMGDVYPNSSKLPKGEYNLQLYLRHDNVQYLEKMKQLVLFIERDLDDKDVIRLNFFSEPDGPVMGNGAFKSSILVPGIKEAIYLGPPMKEKIPKNVPQGSVLLGSISYGKVGHEGGKNSQNPVSYQVSYIVPPNKVDDDKGKGSSSTNSKTVSERLEEEVRDAKIKVFTSLKRATDEERSEWKKLSISLKSEYPNYTPLLAKILEGLLSESNIEDKIGHYEDIIRAANEVIDSIDRDELAKYFSLKSDPEDEEAEKVKKKMETTRDQLIEALYQKGLAIYDIESLEDLTWIHVEVSDSFKRQNIGYIDTYSKGGPKAETLAVTEGTKGVDTTDKKTAPDAGGQPDLFEENFKELQKWVDVKSSKYGTLLVIRERRRGRLGTALKVLNDMIQDDGDPPKKKLYELKISLLDDIGWSHLATYERQWMHVLFPPSLPLF >Manes.08G136400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37315881:37325514:-1 gene:Manes.08G136400.v8.1 transcript:Manes.08G136400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQLLSSVSPSKTMTDFVHSLPCMSSLSSLIVQPLKLQQNSLTSATFSSSSSKSSTLICSSKPNNGRAPMDGGRPVSRNRKKSSYGTSRRSILKKSFTQEQVNFSFPVTHDPHVGIIGGGMAGLVCALSLEKRGIKSTVFDTGNHGLGGRMGTRKIDPQPLIFDHAAQFFTVSDPRFAELVDNWLENGLIRQWQGTIGELEVGGQFVPLPSLPPKYIGINGMRPLADALLSQTRMVSVVRPCWISRLEPFNGMWHLSENGKPCGQFDVIVIAHNGKCANRLLASSGLPLIARQMKKLELSSIWALLAAFEDPLTIPSGAPPFEGAFVKGVDSVSWMGNNTMKLFSENNSPHCWTIFSTAAFGKKNKVPQENIPRATAEKVETAMLEGVEAALGLPKGSLQKPFYSRVQLWGAALPTNSPGIPCIFDPNGRAGICGDWLLGSNLESAALSGMALANHIGDYLQSCGGRPEEFAVGLDNEFHSIEGHDIGQFSGLDMESKQLQHFN >Manes.03G049400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4541546:4542964:-1 gene:Manes.03G049400.v8.1 transcript:Manes.03G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGLRRCSDQALRLDLTSSSLSFDAVESAETRIQRLISEHPVIIFSRSSCCMCHVMKKLLSTIGVHPTVIELDDHEISALPSPPPSDDVPSPRNLAPAVFIGGTCVGGLESLVALHLSGHLVPKLVEVGALWA >Manes.07G081000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24805826:24809644:1 gene:Manes.07G081000.v8.1 transcript:Manes.07G081000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAATQQQQQQQQQQKAIAPSAEEEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCYFWLNGNCLNPKCGFRHPPLDGLYGTQASASLPPSHSAVLPASNTQNSAKQAVACIFFQKGLCLKGDRCAFLHGPNHVSYKLLQPMVPSNVEYSPQKKTSNGPQKIPQGNFSKIVGAPAEAKPAPKPEIGPPRSGAGVERNHPPPKSMEAELSKYKETNVAPVVNRNSSRFNHLQKSQVSDEPGFQNGKDPDELLRESSPGFDVLVDDELRNSDYYHGEDQYAKTRGREGRSLNAGNEYDMGHSADYSSVADIDQETYPDLRGYDAYECMQGQYAWEQHRASSERMLVEPAHLERRAYSKADSPELFDESDLRYRLSKHRRVDGLRSIVSHDFVPENHVEDRGYRGSSRGDSHNLPAHESSMSSRFRGRIKLPEGSATSSDLLVEREIDRGRVQARSSPGRSHIPSHQGRLRDRIKARVEEDFNSELRNVRGIRMRRELTANGNTDFAGPKSLAELKGTKSTEGRMQQSLGKRKQLEDYQPSEGDLSFEGPMPLSEILKRKKEAEAVANGNGISFVNEYDNDKKESKKILGSLDVVAVADTQDAYTSIKDGASKEVLKDEGSKSAAAAGVGTVGKTTEVAVGLYSSQQRNRSEPETEDGMIVDNGVEDHEYEGEDQREGDYEYEQVDGEYYEDGENADAEAEEEYEDDEDGDDFAKKIGVMFS >Manes.01G241000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40262686:40265654:-1 gene:Manes.01G241000.v8.1 transcript:Manes.01G241000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEMDSERLTAEMAFKDSSSAVIKIRQRLPDFLQSVRLKYVKLGYGYSCNPASVLVFLIIFPLSIATLVQITGLQLDLVYESWMTRSLQLHEMDPATRLAGFSLLLALLAVYWAKRSRPVYLVDFACYKPEDERKMSVESFMKMTEDSGLFDDGTVQFQRRISMRSGLGDETYLSRGITSRPPSLCMEEARKEAESVIFGALDSLFSKTGVKAEEIDILIVNCSLFNPTPSLSSMIVNHYKLRTDIKSYNFSGMGCSAGLISIDLAKDLLKANPNTYAVVVSTENITLNWYFGKDRSMLLPNCIFRMGGAAVLLSNKGRDRGRSKYQLVHTVRTHKGADDKNYRCVYQREDDEGNIGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLMFFVTLVRRKLLKAKVKPYIPDFKLAFEHFCIHAGGRAVLDELQKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRVSGGDRVWQIAFGSGFKCNSAVWKALRAIPQGESRGNHPWADSINRYPVKVPVA >Manes.14G014800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1104920:1105333:1 gene:Manes.14G014800.v8.1 transcript:Manes.14G014800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKLNVSNHDDPGSGEEEKIPAQKRRGRPQKPLKDDIDEEEVEKVEEEDGENGKTGITSKETKIPIAAENGRKRKRYSQAKEKPDSVKEENGVATRSNTDDSTKSNGFRHNGSRRKNKPRRAAEAGVECK >Manes.01G211100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38213233:38215041:-1 gene:Manes.01G211100.v8.1 transcript:Manes.01G211100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSVFADEPDSPKSESKSSSDQPPLDSDPSSPPKLPNPNLDHTDAGTSTAAAGGGGGWSFGGLIKNLSIKSESVIEIYRRDLEEFGSGLKKEIEVAHGSLETVGNAIDEVGSSVLKSTAQIISQGKEAILSVDHESDSSDNDNKRSITSQQSLISKPYSRFDAQVRAIQGDESTYTEESQDLDDYKKWKSGFDLEEKREEVENLLQENGAVESIYKRLVPNSVDEETFWSRYYFKLYKLKQAEDVRANIVKRAISTEEEDLSWEFDDDEDEKEDERNATSKANLLENDDLGSKDSTKVTKDEEKDVHYKQSEQIVKGDEINKADSGESEQTVSMKGEISVVESKGETIFSGGDKDNVASDKVDLEKSKEETLSKSDEKWGLEGKGDNGESSKESDVSVISSHLSMPEEEDLEWDEIEDLSSIDEKKVSYTGSPNKNDLRKQLSAAEEEEDLSWDIEDDDEPVKS >Manes.S034316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:871505:871663:1 gene:Manes.S034316.v8.1 transcript:Manes.S034316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.18G083050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7654782:7657159:-1 gene:Manes.18G083050.v8.1 transcript:Manes.18G083050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGEDADEVDVNLAKRRKSRCIAYQDFELDYGGKISYLEGKSNEDVKKMLETNYIEIDDDDEFDSESGTKVVYGEDESSSKATKQRDVEVIVIDSSEKIKISSRNDRDWFRERVIEILKKPYDQKEYDELLEEVGHRRPVIEDRVLRNGRAASCSVPIGKSYLDQCQDLDMKIKSAGSDKLKILNLLRGFFFWLQCAPHEGAFKPWKDSSCLEVLPQGNVASDSHDIS >Manes.18G083050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7654782:7657159:-1 gene:Manes.18G083050.v8.1 transcript:Manes.18G083050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGEDADEVDVNLAKRRKSRCIAYQDFELDYGGKISYLEGKSNEDVKKMLETNYIEIDDDDEFDSESGTKATKQRDVEVIVIDSSEKIKISSRNDRDWFRERVIEILKKPYDQKEYDELLEEVGHRRPVIEDRVLRNGRAASCSVPIGKSYLDQCQDLDMKIKSAGSDKLKILNLLRGFFFWLQCAPHEGAFKPWKDSSCLEVLPQGNVASDSHDIS >Manes.01G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29617235:29617658:1 gene:Manes.01G094600.v8.1 transcript:Manes.01G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFGNGETPNNASAAKTEGQASTNSSPSQKPAIASSPTIDKQTPAGVRGYLKNNYYRADGQNCGNFITDRPSTKVHAAPGGRSSLGYLFGGNGN >Manes.03G022501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1855384:1856200:1 gene:Manes.03G022501.v8.1 transcript:Manes.03G022501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNSGSKIELYAYFSGRQLCNKIRREKRDIEDNFDRGFASFRQPLNDGVAGCFIW >Manes.09G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33306443:33309182:-1 gene:Manes.09G131600.v8.1 transcript:Manes.09G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESSSSTPHQYPIKTVVVLVQENRSFDHMLGWLKTLNPEIDGVTGSESNPISTSDLNSTHIFYGNNAAYVDPDPGHSIQAIYEQVFGVEWTEAALTNENTLTPKMNGFAQNAERIQKGMGEIVMNGFKPEAVPVYKELAVNFAICDRWFASVPASTQPNRLYVHSATSHGATSNNTKLLVEGYPQKTIFESMDEAGFTFGIYYQYPPSTLFYRNLRKLKYIKNFHQFDLHFKKDCEEGKLPNYVVIEQRYFDLLNIPANDDHPSHDVSEGQKFVKEVYEALRASPQWNEMLFIIIYDEHGGFYDHVPTPVEGVPSPDDIVGPAPYLFKFDRLGVRIPAFLISPWIEPGTVLHGPSGPEPTSQFEHSSIAATVKKLFNLKEFLTKRDAWAGTFEGVLTRTSPRTDCPVKLPEPVKLREAAAKEGAKLSEFQEELVQMAAALNGDHKKDIYPQRLVEGMTVSQAVKYVEDGFKKFCEECEKARESGVDETEVIVLDKSRTKTTTPKTFIHKLFSCFICDN >Manes.10G043600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4543671:4544839:1 gene:Manes.10G043600.v8.1 transcript:Manes.10G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSWNLKPVKENNDYFQQLEDDDDEEEALSLCNLPLNSEAASDWDDFSREVQSSSIDQDLFEFFSEDFTSSSSYPKDNIIFCGKLITLDHGKEQKSENTIKAKEAKKTSSSTFPWKSSYSFNKLRSFSVKMQREKSYRTRKTFPEISPEKKSVDKYDFPMKEASLARSPTKSRWNLFAFGVARYPMEMELNDIKTRQSKLSDTKLSRSLKSPTKTSRSDDRRELGERSGKREKGWWGLLNILGCRSYHANAMVKASLGWIPSV >Manes.12G070900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7576016:7583634:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKVETKRRKR >Manes.12G070900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575657:7583635:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKKLVTFWCLSKVFPCYFDFPKLLICISIICTSI >Manes.12G070900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575656:7583592:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKVETKRRKR >Manes.12G070900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575657:7583635:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKKLVTFWCLSKVFPCYFDFPKLLICISIICTSI >Manes.12G070900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575657:7583713:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKVETKRRKR >Manes.12G070900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575657:7583674:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKVETKRRKR >Manes.12G070900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575650:7583712:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKVETKRRKR >Manes.12G070900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575652:7583567:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKVETKRRKR >Manes.12G070900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575650:7583636:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKVETKRRKR >Manes.12G070900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7575657:7583635:1 gene:Manes.12G070900.v8.1 transcript:Manes.12G070900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCFDCSSDDNAKRTLNAFPVSPSVEGKKLVTFWCLSKVFPCYFDFPKLLICISIICTSI >Manes.07G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27573614:27575566:1 gene:Manes.07G087000.v8.1 transcript:Manes.07G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQMGSKKMMVLFLIFFTSLYFLASSSPDHKVFVSDSLQEDIFGLTGRHEEKINGVDSDGAYNGRSGILHSSSHRSTGAGINGGANAGPAENGNGNGNSQGGTAANPVIVAGAANNNRHQNPHCSAANCNRNCIRFSPMIMATLAAVTLHI >Manes.05G005600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1219406:1219642:1 gene:Manes.05G005600.v8.1 transcript:Manes.05G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKRVAPLCIMMVLVLGIIFTMVQAQNLCEGFDPPGACPINCLSPDPVCGANGVTYSCGCPDAACAGVPVVKLEAC >Manes.S095211.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251184.1:6000:6938:-1 gene:Manes.S095211.v8.1 transcript:Manes.S095211.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGNTSKEGKITEAKDKIQIGTQNLGDPLTLQSSDHPGMVLVSAPLIGTNFRSWCRAIRIALGAKQKLEFIEGTVTIPDKGSDSYEQWKRCDFMVTSWILNSISRELVDGFIYTASARDLWLEITERFGECNGTMIYELRRKISLISQDNASASVYFTKLKGFWDELGSMETLPPCTCGASKAIDEINNRNRLMQFLMGLSDAYGTVRDQILGMDPLPSVNKAYSMVLKFESQKDILGNISGNTEPLALMNRTYKQYQGKQRGPGPKRGHCSYCDMDGHVREGCFKLIGYPEWFKTKIKNNGQPSKANRT >Manes.06G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23700256:23707191:1 gene:Manes.06G104600.v8.1 transcript:Manes.06G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSAPPPPSSPPDDKPRIRGSELPQPTNEIQQHAREEAPTQSSSSVFVNSEPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPPPSTQPPGTNQEPQMKSTSIVQPPAQTQALQPVAAAPTGAISSAGTLMQSRFHWYHIVFAVGLLSASGAGTAVLIKSAIIPRLKSWIRKVVLEEDDGPVMKGNAKPSSAEEAAAAAKAAAAAAAAVAKASQEMLNSKKEERRYFNKFMNLLDVQVQEMKSMRTAIRKLEGQTNNHGRTSLVDQEDYTLSVANHSKQTYVNGKVESDSRSVRSLSPPTSAEPSVAPHPMSYMEIMAMVQRGERPPNIRDINDQPPNPNQQISNTRLAPRSKPWEAGQFLNSSSQVLQPHVNGESLKPKVQDNGVTYQFDGESATPWWQRKNARITEIENEDELKARPNVARTNEQPIQRTWVPPQPPPVAMPEAAEAIRQPKSSVQKEQVAEDQSVSLSTAVTDELQRITKVSESGSAVEVL >Manes.01G108500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30735192:30750858:-1 gene:Manes.01G108500.v8.1 transcript:Manes.01G108500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISSVPDLNIEVVVREEKKGRSNCPGVRVIGGRIYDSENGKNCHQCRQKTRDFAAGCRNQKGNKQCPMNYCHKCLLNRYGEKAEEVALLDEWKCPKCRGICNCSWCMKKRGHKPTGVLVHMAKENGFSSVSELLLVKGAENFGIDRVAKDTNVSLKTPASTKESTIASLRKPGKENSFDGNNDLSEHPQNLTLVSNNKKSKKRRRERLLEANSIRDGYDATLGESDQKKPRLTEEVHKRKVKINEEDESVVVEKGKSKTQNEDIPKKEVKKKGKDEGIIVEEKKSKAQSQDVSKKEVTANKKAVGMKKKFKTQMPKDIAACSTGKEDLDAANLKNGAVLSGIKNDSLETKNMTAVESCKISNCTAKHCSKQIDENIPLPPATCLTIVAGIELPNEDAGDALQFFEFCAAFSKVLDVKKGQAEAVIREIIFGRRTRRSQCSLLVQFHIKILSLIQEDIGEVFAALSPTNGRNSWLKALGKCVSKCKFISMGIPSDCFDTDEGYDMLSTTQKFKLLNFLCDEALNTKDLRKWIDDQNSKFFEREKEAKEKVLAAKDKEKHLKQKVQDEVAKAIIAKNGASFSVSEHEALVSQIKKEAAQAHAEMMEAIGMVSKKRQRSDAVRTDPTLVDVNGRAFWRLKGYINQPDILFQDLGSRTSFALEEKWFVYDVEQEQGIEKYISSLRTKRLKIQKVTETPFFGGVETNSKDAFTDHSPS >Manes.15G103500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8134211:8166940:1 gene:Manes.15G103500.v8.1 transcript:Manes.15G103500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRGPDQSTLQPQRRIMRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVESTNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDKVAEKTQIYVAYNILPLDPDSANQAIMRYPEIKAAVLALRYTRGLPWPKDYNRKKDEDILDWLQAMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYIGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIAKEAERSKKGRSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFHLRAEQFRYEKNGENKPAFTDRWVGKVNFVEIRTFWHVFRSFDRLWSFFILWLQAMIILAWNGSGELSSVFTGDIFKKVLSVFITAAILKLGQAVLDVILSWKASQSMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWENPPGFAQTIKSWFGNNSSSPSLFILAVVIYLSPNMLAAILFLFPFIRRFLEGSNYKIVMLMMWWSQPRLYVGRGMHESALSLFKYTVFWVLLIVTKLAFSYYIEIKPLVGPTKAVMDVHVTTYQWHEFFPRAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGVYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPEEKNEPKRKGLKATLSRNFAEIPSNKNKEAARFAQLWNKIISSFRDEDLISNREMDLLLVPYWADQDLELIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIDADNYMSCAVRECYASFRNIIKALVQGEREKEVINFIFSEVDKHIEDGTLISEYRMSALPSLYDHFVTLIKYLLDNNKDDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSVHGGSGHEEMTSLDQQYQLFASSGAIKFPIERVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPDAPKVRNMLSFSVLTPYYTEEVLFSLKDLEVPNEDGVSILFYLQKIFPDEWNNFLQRVNCSTEEELKDFLERGKSRSDDGAKGAEELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAGHEDLMEGYKAIELNTDDRSKGERSLLTQCQALADMKFTYVVSCQQYGIDKRSGHARAQDILRLMTKYPSLRVAYIDEVEVTNQDKSKKSNHKVYYSALVKAASPKSIDPSEPVQNLDEVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLIDQKALRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLVVYQIFGQSYRSAVAYVLITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLKLTTNTKSFLVYGISWLVIFLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDIIVCILAFMPTGWGMLLIAQACKPLVHRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSRNKE >Manes.15G103500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8134199:8167176:1 gene:Manes.15G103500.v8.1 transcript:Manes.15G103500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRGPDQSTLQPQRRIMRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVESTNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDKVAEKTQIYVAYNILPLDPDSANQAIMRYPEIKAAVLALRYTRGLPWPKDYNRKKDEDILDWLQAMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYIGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLKKVVTPIYDVIAKEAERSKKGRSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFHLRAEQFRYEKNGENKPAFTDRWVGKVNFVEIRTFWHVFRSFDRLWSFFILWLQAMIILAWNGSGELSSVFTGDIFKKVLSVFITAAILKLGQAVLDVILSWKASQSMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWENPPGFAQTIKSWFGNNSSSPSLFILAVVIYLSPNMLAAILFLFPFIRRFLEGSNYKIVMLMMWWSQPRLYVGRGMHESALSLFKYTVFWVLLIVTKLAFSYYIEIKPLVGPTKAVMDVHVTTYQWHEFFPRAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGVYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPEEKNEPKRKGLKATLSRNFAEIPSNKNKEAARFAQLWNKIISSFRDEDLISNREMDLLLVPYWADQDLELIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIDADNYMSCAVRECYASFRNIIKALVQGEREKEVINFIFSEVDKHIEDGTLISEYRMSALPSLYDHFVTLIKYLLDNNKDDRDQVVILFQDMLEVVTRDIMMEDHISSLVDSVHGGSGHEEMTSLDQQYQLFASSGAIKFPIERVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPDAPKVRNMLSFSVLTPYYTEEVLFSLKDLEVPNEDGVSILFYLQKIFPDEWNNFLQRVNCSTEEELKDFLERGKSRSDDGAKGAEELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAGHEDLMEGYKAIELNTDDRSKGERSLLTQCQALADMKFTYVVSCQQYGIDKRSGHARAQDILRLMTKYPSLRVAYIDEVEVTNQDKSKKSNHKVYYSALVKAASPKSIDPSEPVQNLDEVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLIDQKALRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGIEMMILLVVYQIFGQSYRSAVAYVLITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLKLTTNTKSFLVYGISWLVIFLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDIIVCILAFMPTGWGMLLIAQACKPLVHRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSRNKE >Manes.18G028900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2453435:2457702:1 gene:Manes.18G028900.v8.1 transcript:Manes.18G028900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTYFSRLRSAIQNEISRSITGQDCRLHDFTEILVGQAKSQFYSYRLFHSADIHALLRPGTVFAAQSDLQLVNRRRNISVVRALSRTFSVPSVSGPSFQVCGYHIDRALCDNSQTSVSGKLQNKWMAACTSRAIIGECFWENLISRGGHLSFSTPNSSIYCANRNSHSYRNVTMSLNNRGQSTNSSIYGFVVYNVLKKCCDFSPYMEIGARYFHGSSPSCLSAGIAPDVTFENSARDEQLENSAGSSEQKRSAGKTLKLISGSCYLPHPDKEETGGEDAHFICSDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVTAIQEEPKGLIDPARVLEKAYSSTKARGSSTACIIALTDEGLHAINLGDSGFIVVRDGCTVFRSPVQQHDFNFTYQLESGNNGDLPSSGQVFTIAVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRAGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEDVSMIASKP >Manes.18G028900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2453435:2457702:1 gene:Manes.18G028900.v8.1 transcript:Manes.18G028900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTYFSRLRSAIQNEISRSITGQDCRLHDFTEILVGQAKSQFYSYRLFHSADIHALLRPGTVFAAQSDLQLVNRRRNISVVRALSRTFSVPSVSGPSFQVCGYHIDRALCDNSQTSVSGKLQNKWMAACTSRAIIGECFWENLISRGGHLSFSTPNSSIYCANRNSHSYRNVTMSLNNRGQSTNSSIYGFVVYNVLKKCCDFSPYMEIGARYFHGSSPSCLSAGIAPDVTFENSARDEQLENSAGSSEQKRSAGKTLKLISGSCYLPHPDKEETGGEDAHFICSDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVTAIQEEPKGLIDPARVLEKAYSSTKARGSSTACIIALTDEGLHAINLGDSGFIVVRDGCTVFRSPVQQHDFNFTYQLESGNNGDLPSSGQVFTIAVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRAGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEDEHQSS >Manes.18G028900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2453313:2457815:1 gene:Manes.18G028900.v8.1 transcript:Manes.18G028900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTYFSRLRSAIQNEISRSITGQDCRLHDFTEILVGQAKSQFYSYRLFHSADIHALLRPGTVFAAQSDLQLVNRRRNISVVRALSRTFSVPSVSGPSFQVCGYHIDRALCDNSQTSVSGKLQNKWMAACTSRAIIGECFWENLISRGGHLSFSTPNSSIYCANRNSHSYRNVTMSLNNRGQSTNSSIYGFVVYNVLKKCCDFSPYMEIGARYFHGSSPSCLSAGIAPDVTFENSARDEQLENSAGSSEQKRSAGKTLKLISGSCYLPHPDKEETGGEDAHFICSDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVTAIQEEPKGLIDPARVLEKAYSSTKARGSSTACIIALTDEGLHAINLGDSGFIVVRDGCTVFRSPVQQHDFNFTYQLESGNNGDLPSSGQVFTIAVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRAGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEDEHQSS >Manes.18G028900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2453400:2457702:1 gene:Manes.18G028900.v8.1 transcript:Manes.18G028900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTSRAIIGECFWENLISRGGHLSFSTPNSSIYCANRNSHSYRNVTMSLNNRGQSTNSSIYGFVVYNVLKKCCDFSPYMEIGARYFHGSSPSCLSAGIAPDVTFENSARDEQLENSAGSSEQKRSAGKTLKLISGSCYLPHPDKEETGGEDAHFICSDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVTAIQEEPKGLIDPARVLEKAYSSTKARGSSTACIIALTDEGLHAINLGDSGFIVVRDGCTVFRSPVQQHDFNFTYQLESGNNGDLPSSGQVFTIAVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRAGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEDVSMIASKP >Manes.18G028900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2453313:2457815:1 gene:Manes.18G028900.v8.1 transcript:Manes.18G028900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTYFSRLRSAIQNEISRSITGQDCRLHDFTEILVGQAKSQFYSYRLFHSADIHALLRPGTVFAAQSDLQLVNRRRNISVVRALSRTFSVPSVSGPSFQVCGYHIDRALCDNSQTSVSGKLQNKWMAACTSRAIIGECFWENLISRGGHLSFSTPNSSIYCANRNSHSYRNVTMSLNNRGQSTNSSIYGFVVYNVLKKCCDFSPYMEIGARYFHGSSPSCLSAGIAPDVTFENSARDEQLENSAGSSEQKRSAGKTLKLISGSCYLPHPDKEETGGEDAHFICSDEQAIGVADGVGGWADLGVDAGQYSRELMSNSVTAIQEEPKGLIDPARVLEKAYSSTKARGSSTACIIALTDEGLHAINLGDSGFIVVRDGCTVFRSPVQQHDFNFTYQLESGNNGDLPSSGQVFTIAVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRAGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEDVSMIASKP >Manes.16G035175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4327742:4328331:1 gene:Manes.16G035175.v8.1 transcript:Manes.16G035175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSLQIFAVLIILLFSRGSEVMGGRICVKPEPLAHCTETICTQFCIRKYGPPPGTVGKCNLPPGFCTCYHNC >Manes.09G011803.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2672272:2673570:1 gene:Manes.09G011803.v8.1 transcript:Manes.09G011803.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLTARTNKRLCNFGSEGHNLQEHSVLIRGGKVKDSPRVKSHCIRGVEDLLGISDQRKGRSKYGAEKYKSI >Manes.07G026300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2830285:2835053:1 gene:Manes.07G026300.v8.1 transcript:Manes.07G026300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSAKSFARRDRLLEIEQKVRGWWDEKDVFRAEPGEKPPVPDEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAYHRLRGANVLLPFGFHCTGMPIKASADKLRREIQQFGDPPDFAREVEEQEESQPEPDDDHGSVPLDKFKGKKSKAAAKSGGQMFQWEIMRSFGLSDSEISKFQDPYEWLRFFPPLAMEDLKALGLGCDWRRSFVTTDINPYFDSFVQWQMRKLKSMGKIVKDVRYTIYSPLDGQPCADHDRASGEGVQPQEYSLIKMEVLPPFPVKLGPLEGKRVFLAAATLRPETMYGQTNAWVLPDGKYGAFEINETDVFILTERAALNLAYQNFSKFPQKTSCLVELTGYDLIGLRLKSPLSFNEVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALHDLKAKPAFRAKYGVKDEWVLPFDIVPIINIPEFGDRAAEKVCVDLKIKSQNEKEKLAEAKRLTYLRGFTEGTMVVGEFAGRKVQEAKPLIKAKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYITYGEEEWKKLAEECLSNMNLYSDETRHGFEHTLSWLNQWACSRSFGLGTRIPWDKDFLVESLSDSTIYMAYYTVAHLLHKDDMYGTSKSHPIQPEQMTDEIWDFIICGGPYPKSSAIPSSILDKMKQEFEYWYPFDLRVSGKDLIQNHLTFSIYNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTIRQAIEEFSADATRFSLADAGDGVDDANFVFETSNAAILRLTKEIAWMEEVLAAESSLRTGPPSTYADRVFANEMNIAVQMTEKNYREYMFREALKTGFYDLQAARDEYRFSCGSGGMNRDLIWRFMDVQTRLITPICPHYAEYVWRELLKRNGFVVKAGWPMAGSPDLTLKAANKYLQDSIVLMRKLLQKQLLGSKKGNKKGAPVTTLTESKITGLIYVNEEFDGWKAECLRILQSKFDYKTRVFAPDGEIMEALKNSSVGQSTTFKQTQKLCMPFLRFKKDEAISIGPQALDLRLPFGEIEVLQENLDLIKRQIGLEEVEVLSVANPDAISKAGSYSSLLNQNPPSPGNPTAIFLTSS >Manes.04G092200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29753937:29756518:-1 gene:Manes.04G092200.v8.1 transcript:Manes.04G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPISNSFLVLLRVYCRIHRRHCSTTTNSNIKSWFNKYFDKTNVFSWNSLIAELARDGDSFESLRAFSSMRKLDLKPTRSTFPCAIKACSALLDLHSGKQAHQQALVFGFESDLFVSSALVDMYSKCWRLSDARVLFDEIPQRSIVTWTSMITGYVQNDNAHEALLLFKEFLIEESERNGESTHVFMDSVAMVSVLSACSRVSGKGVIEGVHGFVVKRGLDKDLGVENTLLDAYAKCGEVGVSKKVFEEIGERDSVSWNSMIAVYAQNGLSSEAFHAFHLMIKDGDVKYNAVTLSTLLLACAHSGALREGKCIHDQVIRMGWEDNVIVGTSIIDMYCKCGRVETARKTFDGMKEKNVKSWTAMVAGYGMHGGAREALDLFYKMTGAGVKPNYITFVSVLSACSHAGLLDEGWYWFNSMNHKFNVEPGVEHYSCMVDLLGRAGYLNEAYDLIKRMRAKPDFVLWGSLLAACRIHKNVELAEISARQLFELDSSNCGYYILLSNIYADAGRWKEVERMRVLMKDRGLIKPPGFSLVELKGKVHLFLVGDKEHPECEKIYRFLEELTVKLQQAGYVPNMASVLHDVDEEEKEMALRVHSEKLAVAFGIMNSFHGSTIHIIKNLRVCSDCHIVIKLISKIVDREIVVRDAKRFHHFKGGLCTCGDYW >Manes.17G022050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12454515:12454709:1 gene:Manes.17G022050.v8.1 transcript:Manes.17G022050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLIFVFHLLPIVSNCRACPPQTQIKWKYVSQRLAISCCIFKHDKLYVMTKKENKRGCDCMWN >Manes.01G250100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40908330:40911777:1 gene:Manes.01G250100.v8.1 transcript:Manes.01G250100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVYAAATASSSMAATTAAFIPSASRATRCSVLPYLPPRLSSHSFRLPIKQVSESRRLSLLPSRASSSEESPTVDPSELFTDLKEKWDAVENKSTVILYGGGAIVAVWLSSIIVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIEELKKKIAE >Manes.01G028600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5797277:5799836:-1 gene:Manes.01G028600.v8.1 transcript:Manes.01G028600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEKERDTLVYMAKLAEQAERYEEMVESMKSIARLDCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEETKGNENYVKLIKSYRQKVEEELDKICSDILSIIDKHLIPSSTSGEATVFYYKMKGDYYRYLAEFKTDQDRKEAAEQSLKGYEAASATASTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNFKNEESKPAAGESQH >Manes.01G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5797277:5799836:-1 gene:Manes.01G028600.v8.1 transcript:Manes.01G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMKSIARLDCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEETKGNENYVKLIKSYRQKVEEELDKICSDILSIIDKHLIPSSTSGEATVFYYKMKGDYYRYLAEFKTDQDRKEAAEQSLKGYEAASATASTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNFKNEESKPAAGESQH >Manes.08G040200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3939239:3942436:1 gene:Manes.08G040200.v8.1 transcript:Manes.08G040200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAPLSEEPINEEEDNSNNCSKKGHKSWKNWLKSHFSLVFNKKSDLKILLSVLGCPLFPVSVLPKLPINQVSSSAQYIIQHFTAATGCRKLEGRVKNIFATGKVAMAMVDEPGGSPGLAAGATGDLQKGCFVMWQMVPNKWLIELVVSGHKVVAGSDGNVAWRHTPWLGAHAAKGCVRPLRRTLQGLDPMAISTVFSTAQYMGEKCISGTDCFALKLSAELADLADRSDSTAEMIKHVVFGYFSQRSGLLVYLEDSYLTRIQSPGSYPTYWETSIASKINDYRTVEGVMIAHGGQSSVMITRFGDNLKSGLSITRMEETWSIDDLAFNVEGLSMDCFIPPKEVQKDDPEEKLDWS >Manes.04G039766.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6134247:6139003:1 gene:Manes.04G039766.v8.1 transcript:Manes.04G039766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIVLAVLGKISNLLIQELDSFLGVEDQILCIETHLRTYADDSEYAMNQEILTGIIQDLEVVIDELIISSAQKRKRDYFIRHGFASVDLPVYFFHFLALVDLLQHYRLRMKLEQLIKIFKIYTDVLEYGGWHCEGSFQSCGLWHESVGPYELGVAPVVSLFDALSTQKEFSPAVQIQARYLRDKFKSLQDFLKNSKSKELSKVGMAWMEELGDVCRLAENVIGLFISQQQQMKNRKGTFTKLVWSSKNFISKRKIAQKLKLIEDKIRDIYGRRYEAIPSPVPNSVPPSEIFRRLNRKRRELPCAVDQLDRVSFNDDVDAVTTQLLKEDPRCLTISIVGVRGIGKASLAKLIYDSQTITDHFPHRVWISRSGASKQDIMKQILQIKGSDLNHDSKDTEESYICRVRQMVNAFFMDKKYLIVIDDSSSSKVKNACEFMRGMGSAFNEISNGTRILFTVCHLWQAPPVTETNFTYRLHLRTHDESWALFAHTLKVSIPPEIQHLKGRIMKSCGGLPTIIVKLAELLSQRDATLEEWTRVLDQLTRDEEPWSEVLEEISNYLPLYLRRCLFYFGLFPAGSKIPARRLIALWVAEGLGYQQDDAKSKSPEHVAKTCLRELINYNMVQPTEKKLNGKFKTCCLPEALQVQWFKKAKEAKFLQGHSDISDTDIGVIRRLADHLQHNDVVFDDIHCHNSASSYSRYRDVVSFLSFDTREGNKPGEEIGNFLDKCISSNCFRFLWVLDLENVYKPKLPKAIDHLTWLKYLGLRSTYLEMLPAFINKLLSLQTLDLKRTCINTLPSSLWKMRKLRHLFLDESFGSAFVASQEDSSLVDLQTLRGAYINEDSPVRNGLETSLNITKLGLKCKISVPSQTAAMSSQLLDVANWVLKLKHLQSLRLKSFDESDLPWELHLHSLLGHLDLSNVYLVGKLMNHQLVSELPGSLIELTLSASGLVEDPMQKLDKLPNLRILRLFSRSFIGKKMLCNIGGFPKLEVLKFWELELLEEWNVEEGAMPNLRDLEIRRCTNLKMLPCGLQSMKLLRELKLTKMPMLSASLKDKQGEDWSKIAHVRHVLIED >Manes.S027252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2270264:2270386:-1 gene:Manes.S027252.v8.1 transcript:Manes.S027252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.11G062900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8749104:8750981:-1 gene:Manes.11G062900.v8.1 transcript:Manes.11G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLSSVQHQNAMTERKFLPVPFCSDPETSQISETFRFLTDASSFSTPPFGAQYPFSSGFSINHVISSTCCRPVSADFSTVLSQSCISERIPPEVNKKGTDVQTETPPVLDGIAAVVGQHVLFGTNATVNVRNPEPSISQRTGSKTNHEDDSQRKDNVMPLQRTYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGAKARTNFEIPSVLPIPSPTSSGSSTEVRKRNNKTNNGTGRKCAVVTSVAHLFSDISNSNIGYQGKENGTVNNNNVELELKLGMGIGSNGNRSSPPYMKWL >Manes.14G028201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2562902:2565168:1 gene:Manes.14G028201.v8.1 transcript:Manes.14G028201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDDIERGGGGGGGVKSRTNNSYPSSSSSYLIEDRETQWTSWLVPMFVVANVAVFIVVMYINNCPKHFHSRFEGNCVARFLGRFSFEPLRDNPLFGPSATFLSFGSKIEWEKVVQKHQGWRLLTCVWLHVGIIHLLANMLCLVFIGIRLEQQFGFVHIGIIYLLSGFAGSVLSSLFIRNSISVGASGALFGLLGAMLSELITNWTIYTNKAAALFTLLVIIAINLAIGILPHVDNFAHIGGFLAGFLLGFILLPRPHYGWLERRNLPADVGVKSKYKAYQYLLWLVSLILLIAGFTVALVMLFRGENGNDHCHWCHYLSCVPTSRWNCEENQL >Manes.03G139533.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26552399:26554289:1 gene:Manes.03G139533.v8.1 transcript:Manes.03G139533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRFPFFQLLFTFIFVILPITFKILQKYKTAKLPPGPWKLPLVGNLHQLVGSLPHHSLRNLAKKYGPVVHLQLGQVSTVIISSPDMAKEVMKTHDIIFAYRPNLLAARIMSYDSTNIAFSPYGNYWRQLRKICMMELLSPNRVQSFRSIREDEVETLIKTISSSAGSPVNLGEKVFSMIYSITARAAFGEKCKDQEQFMSLILRSSALAGGFCLGDMYPSVKALQVISVSA >Manes.01G251900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41030521:41031183:-1 gene:Manes.01G251900.v8.1 transcript:Manes.01G251900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHEQVRPLAPSADRTSSDDEEAAALRSKKLRRRKCIKCCGCITAVLLVPAIVIVILIFTIFRVKDPSIRLNGVIITQLELINNTIPKPGVNMSMIVDVSVKNPNIASFRYRNTTSGLYYNGELVGEARGPPGHAKARRTMRMNLTVDIITDELISNPNLNTEVSTGLLTMDSYSKIPGRVKLLKIIKKQVTVKMNCSITVNISTQAIQAQKCRNKVDL >Manes.12G059262.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5683520:5685384:-1 gene:Manes.12G059262.v8.1 transcript:Manes.12G059262.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGGEESFSRFLNMEKTVTRVSPSIFGSFSSNSPSPPPPPCVAQPADDAGSKISPPAIVAAQPSASPAPTKTRRGGYRKAMPPEMLANLVMHDPKKAKRIITNRMSAVRAKEKKKLHTFMLEHQAKKLRSESALLTAQLSLMQKESLSLTTEHEKLKEEKSLILQRIHLQNILNDEVRNEIRQLKMLIQNQHQAMMLNNTTSENDGLDMNLQVQVQVQNPHQQLEVMNNAGQQFPWQLHNEDHEHQLQQSQKSPTFMNPNLPLNPIQQYQNSPPFVESYPNLPPNPIQQHQNPPPFMEAYPNLPPNPIQQFQNPPPFMEANPNLLLNPIPNRQDDNSNS >Manes.09G069500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11448068:11451327:-1 gene:Manes.09G069500.v8.1 transcript:Manes.09G069500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGMDMARLRWRVAVDGVACRGVAYTVFILHFVFLCQLLLLQPLVSASDGKSGNVAELFEKVERSVKVKRYSEALDDLNAAIEADPTLSEAYFRRASILRQLCRYEESEKSYTKFLELKPRHKTAEKELSQLHQAQSALDTAYTLFGSGDYAKSLEYVDKVVLVFSPACSKVKLLKVRLLLAVKDYSAAISETGYILKEDESNLEALLLRGRAYYYLADHDVASKHFQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNVNKGKLRVAVDDYKAALAMDPDHLAYNVHLHLGLCKVLVKLGRGKDALNSCNEALNIDGELLEGLVQRGEAKLLIEDWEGAVQDLKAAAEKSPKDMDIRETLMRAEKALKMSKRQDWYKILGVSKTASISEIKRAYKKLALQWHPDKNVDNREEAEAKFREVAAAYEVISDEDKRGRYDRGEDLEEMGMGGGGPFGGGGQQFTFQFEGGFPGGFGGFDGGFPGGFQFHF >Manes.14G054700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4633691:4633894:1 gene:Manes.14G054700.v8.1 transcript:Manes.14G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRQQQEQQNGSKENCNYLHQQQMQKQIQCNKAKVCKFKRSSSNLEDDGASSAILLLACIACTPSF >Manes.18G083400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7698310:7699620:1 gene:Manes.18G083400.v8.1 transcript:Manes.18G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPMERILESRRASGLAMIVAIGTANPPNCFYQSTYPDFYFKLTNSDHLTELELKQKFRRICKYRAVHASLKSEDIINKNPEIAIYGASSLDARQKILIPEVPKLGKEAALKAIKEWSQPISKITHLIFCTSSGVNMPGADHQLVKLLGLQPSVKRFMIYHTGCFAGAASLRLAKDIAENNGDSRVLIVCSENMTSSFHAPSETHLDILVGSAIFADGAAAIIVGADLDMTSERPLFQLVSASQTIIPDSENGIVGETCEMGLSYYLSKSVPQVIGDNIVEWMSENFGNLKDWNKLFYIVHPGGPTILNGMEEKLGLEKQKLKASRHVLSEYGNMWSPSVFFILDEMRKTSMEEGKATTGEGLNLGILFGCGPGLTVESVILRSVIIARD >Manes.02G165600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12968590:12971697:1 gene:Manes.02G165600.v8.1 transcript:Manes.02G165600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQMMRCLISIPRISRALSPPPAAYLTLRAQRSLATDTADTFSDGRMMQKKKKQQKSEEKKKAELLAVKRKKEKRRTRSEKEFGSTISFEFHSNENHIPVMLGEVVDVFSSVYLRSFVDCTLGAAGHSSAIIQAHPELENYVGMDVDPVAHAKARACIDALLHSHSHLKAQTFIRNFKHIKSLLADVDPRLLHSGVDAILMDLGMSSMQATLKAEDILNCWPDAEVGRVLREYGEESNWRLLQNKIVQARVHGGLHSTGDLVDLVRSATHGRGGRQGWIKTATRVFQALRIAVNDELNTLEKSLNACFESLAPGGRLAVISFHSLEDRIVKQTFLSMIEIDRGDGDVDVEERGERDIRKTKDENNAKETWIRSMVHGRNGTILTKRPITPSEEEEKLNVRSRSAKLRVIEKV >Manes.02G165600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12968591:12971696:1 gene:Manes.02G165600.v8.1 transcript:Manes.02G165600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQMMRCLISIPRISRALSPPPAAYLTLRAQRSLATDTADTFSDGRMMQKKKKQQKSEEKKKAELLAVKRKKEKRRTRSEKEFGSTISFEFHSNENHIPVMLGEVVDVFSSVYLRSFVDCTLGAAGHSSAIIQAHPELENYVGMDVDPVAHAKARACIDALLHSHSHLKAQTFIRNFKHIKSLLADVDPRLLHSGVDAILMDLGMSSMQVNNPERGFSVLANGPLDMRMDPQATLKAEDILNCWPDAEVGRVLREYGEESNWRLLQNKIVQARVHGGLHSTGDLVDLVRSATHGRGGRQGWIKTATRVFQALRIAVNDELNTLEKSLNACFESLAPGGRLAVISFHSLEDRIVKQTFLSMIEIDRGDGDVDVEERGERDIRKTKDENNAKETWIRSMVHGRNGTILTKRPITPSEEEEKLNVRSRSAKLRVIEKV >Manes.03G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4503984:4508694:1 gene:Manes.03G049300.v8.1 transcript:Manes.03G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDDDDPGEQSQSKPPTATARSRPYIWLTNFQRDLMAGAVMGGVVHTIVAPIERTKLLLQTQESNLAFVGRGGRKFKGMIDCLVRTVREEGVLSLWRGNGSSVLRYYPSVALNFSLKDLYRNILRNGNHQDGHFLSGASANFIAGAAAGCTTLILIYPLDIAHTRLAADIGRTDVRQFRGIYHFLTTICKKDGITGIYRGLPASLQGMVVHRGLYFGGFDTMKEILSEDPKPELALWKRWVVAQAVTTSAGLFSYPLDTVRRRMMMQSGLEQPMYRGTLDCWRKIYRTEGVASFYRGALSNMFRSTGAAAILVLYDEVKKFMKWGGL >Manes.05G181900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29965439:29970408:-1 gene:Manes.05G181900.v8.1 transcript:Manes.05G181900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIRDREAGKTRPNSFTNRIKSSRIANLHLSNYKDIVSPHRGSINSLQVDLTEGRYLLSGASDASAACFDVQRASDYEGRGLIAKHKCLFTVDKQHETGHKYAVSSAIWYPVDTGLFITGSYDHYIKVWDTNTSQVVVNFKMPGKVYRTAMSPLATSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMTVEWSTSSEFVLITGGCDGAIRFWDIRRAGCFRVLDQSKSQLGRRPPILSCSTTNKVSVSKALSAGQSLSSKPRAQQKKSAGGNGIKQSPLCRTPAKGPVRQRLHPGMLSSQDRATAHYGAVTGLKITEDGMYLLSAGSDSRMRLWDVESGCNTLVNFETVRLQTSKAIQLATTQDASLVFVPCMTAVKAFDLWSGMTFRTFRGHYEYVNCCWFNSQDQEMYTGGNDRQILVWSPSRLIANDMDMQDMGPAEDQDNWSD >Manes.17G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23304212:23310077:-1 gene:Manes.17G041500.v8.1 transcript:Manes.17G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRQLAEFEAMATSKKVITREDWEKKLNDVKIRKEDMNKLVMNFLVTEGYVDAAEKFRMESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLVWAQNQLDEKAAYPRINNLSTAMLEDPAV >Manes.17G041500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23304212:23310077:-1 gene:Manes.17G041500.v8.1 transcript:Manes.17G041500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRQLAEFEAMATSKKVITREDWEKKLNDVKIRKEDMNKLVMNFLVTEGYVDAAEKFRMESGTEHIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVTNCPVGELLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLVWAQNQLDEKAAYPRINNLSTAMLEDPAV >Manes.10G100622.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24923101:24924256:1 gene:Manes.10G100622.v8.1 transcript:Manes.10G100622.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIVVGIVGIEGMLGKGGKVTFGTVGMVGKLGSGGRVGCGRVGTAGIGGSAGFGKRHSIHFEMSSFNGFILVVFIALSFSSINVALAARHLLQLSPLPSVPSLPNPTVPSLPKATLPPLPSLPTLPQPTLPTLPTTQPSLPKPALPPLPSLPTMPIVPQITLPPLPSMPSIPTIPTTIPSIPFLSPPPGN >Manes.12G042400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3786939:3797155:1 gene:Manes.12G042400.v8.1 transcript:Manes.12G042400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEAPNPSCQRIQQQQEPASFIPKTGNNIHNISVQTGEEFSMEFLQDRATTRGICPMPSTAQNCESKYGHFNDQVHQRGYEDLAHILGLRRMDSESADDIADFAPAKGSSKETESVAYADKLNKLKMEDGDTVNRSGKVLSELVCEKGAVLGPSASAIQAQESPCSDISQPGKMKFLCSSGGKILPRPSDGKLRYVGGETRIISISKNLTWDELLKKTRCICNQPHSIKYQLPGEDLDALISVSSDEDLQNMIEEYHGLERLEGSQRLRIFLIPLGESEESSSFDGTTIQQDSPNYDYVLAVNGVFDPNPRKNSGGQVSTNEASQSGNISDQNPSFPKHSPSPLFPLEAKGGFNAFHPPIFSNEPNYMAKPPCHSPHISPIPLQHLDSMNSNIKSHGANSSVESCSSFITAQLSPENCSISAVCKQPTEELVALMNHCKNVDNLQAEQPHGVQFHNHNLCTEHVTPSVFHQCEVNFNGFSGERPIRKDRAIQSETTLLGPQDPLGLFSGSIDSIDSHHGMPHAFSDSKLQEHGRLSAYCSQEGMSPSSPLNFSKSQLSSIVSSTSQDKPEQLHENFNLVNPTLQSKLLDIESSLSQNGLDLLNLSSCLKSAGRNESIHKANAITDEQCQISKGCMEMNSEMTNAIDKINPFCHQAEKPYEEKAAVLKYNTKLLPSEANDFKPSVDNFIEQSQNYQAPSDLLFMDQRTVSNQYISLSGKVNGEQGSNILGNSSSQAEELLKNGKLHPCDESPFFDLLSGFSNNQFSPESAQGEPFESHNSKGNKDSLLIQSINSYQSAVHDDFGANTTLHVNELHSAAVNDTKDALYEIKVPRMVDDHGSYPDQKVDQLDHGGSYEKSNVEKIILAQTKSLSKNKDQNKLEPLFTEESLTDNMPCIIVSSHAIVRDVADTVNNDFRSPSAIEAESSMPHVTMPVTDPTSFDLMFPSATDSVSIIPHVRPHVADPTSGDFLSPSATVSESIMLETEFEDIKDDTGDKDKSISDAVIAEMEASIYGLQIIKNADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSCFSGRSSEQERLTKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEYMVNGSLRHVLLKKDRSLDHRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGISLWEILTGEEPYADMHCGAIIGMCR >Manes.12G042400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3786939:3797154:1 gene:Manes.12G042400.v8.1 transcript:Manes.12G042400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEAPNPSCQRIQQQQEPASFIPKTGNNIHNISVQTGEEFSMEFLQDRATTRGICPMPSTAQNCESKYGHFNDQVHQRGYEDLAHILGLRRMDSESADDIADFAPAKGSSKETESVAYADKLNKLKMEDGDTVNRSGKVLSELVCEKGAVLGPSASAIQAQESPCSDISQPGKMKFLCSSGGKILPRPSDGKLRYVGGETRIISISKNLTWDELLKKTRCICNQPHSIKYQLPGEDLDALISVSSDEDLQNMIEEYHGLERLEGSQRLRIFLIPLGESEESSSFDGTTIQQDSPNYDYVLAVNGVFDPNPRKNSGGQVSTNEASQSGNISDQNPSFPKHSPSPLFPLEAKGGFNAFHPPIFSNEPNYMAKPPCHSPHISPIPLQHLDSMNSNIKSHGANSSVESCSSFITAQLSPENCSISAVCKQPTEELVALMNHCKNVDNLQAEQPHGVQFHNHNLCTEHVTPSVFHQCEVNFNGFSGERPIRKDRAIQSETTLLGPQDPLGLFSGSIDSIDSHHGMPHAFSDSKLQEHGRLSAYCSQEGMSPSSPLNFSKSQLSSIVSSTSQDKPEQLHENFNLVNPTLQSKLLDIESSLSQNGLDLLNLSSCLKSAGRNESIHKANAITDEQCQISKGCMEMNSEMTNAIDKINPFCHQAEKPYEEKAAVLKYNTKLLPSEANDFKPSVDNFIEQSQNYQAPSDLLFMDQRTVSNQYISLSGKVNGEQGSNILGNSSSQAEELLKNGKLHPCDESPFFDLLSGFSNNQFSPESAQGEPFESHNSKGNKDSLLIQSINSYQSAVHDDFGANTTLHVNELHSAAVNDTKDALYEIKVPRMVDDHGSYPDQKVDQLDHGGSYEKSNVEKIILAQTKSLSKNKDQNKLEPLFTEESLTDNMPCIIVSSHAIVRDVADTVNNDFRSPSAIEAESSMPHVTMPVTDPTSFDLMFPSATDSVSIIPHVRPHVADPTSGDFLSPSATVSESIMLETEFEDIKDDTGDKDKSISDAVIAEMEASIYGLQIIKNADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSCFSGRSSEQERLTKDFWREAQILSNLHHPNVVAFYGVVPDGAGGTLATVTEYMVNGSLRHVLLKKDRSLDHRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGISLWEILTGEEPYADMHCGAIIGGIVKNTLRPPIPECCDPEWRTLMEQCWSPDPESRPSFTEITNRLRAMSFALQTKGNNIQARPVKAKLLP >Manes.11G088456.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16329486:16330652:-1 gene:Manes.11G088456.v8.1 transcript:Manes.11G088456.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKTDRAVESAAQITTTLEAEPATAQTTLVEASASQETAPTVKNIEFVAVTLSAPASPSTATPATATFEPDTRFQVTAEFSAPADFSTPTDFAAAPAVEFSAPAKSPTATPATAEIALETKFQITAENPAMAEPPVAREEAEIQAGNLHVQPTANKDNSCCPPAENSTITSAIAEPAVQKSASAESATITSLVAVQTLATSEIDTTQPEVVALAEPATYTTQTAPEQPAKKQ >Manes.07G034301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3751955:3754420:-1 gene:Manes.07G034301.v8.1 transcript:Manes.07G034301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPLITCSVPPLLSQAKKWKGKVAAGIFGQPNRRVKTALNTEESTPKIKQMLNKVELSVSSYDTAWVAMVPSQDSLDHQPQFPKCLNWIQENQHPDGSWGLDPSHPLLIKDSLLCTLACVLALQKWNVPQQQLIQKGLEFVISNIWSATDKNQHSPIGFDIIFPSMIEYANDMGLNLPLNQSSMEAILLKRDLETRSLKGNTRNLAFVAEGLTQSCDWKEIMKYQRINGSLFNSPSATAAALIHLHDENCFNYLDSLVKKFGDAVPTVYPLDLYARLSMIDNLQKLGIDSISGKKLRQY >Manes.17G057100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25584602:25586487:1 gene:Manes.17G057100.v8.1 transcript:Manes.17G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGAILMNRYELGRLLGQGTFAKVYHARNLQSGQSVAIKIINKEKILKGGLIDQIKREISVMRLVRHPNIVQLYEVMASKNKIYFAMEYVRGGELFNKVAKGKLNEDVARKYFQQLIGAVDFCHSRGVYHRDIKPENLLLDENGNLKVSDFGLSALWDSRKQDGLLHTTCGTPAYVAPEVITKNGYDGAKSDIWSCGVVLFVLLAGYLPFNHQNIMELYRKIIKGEFKCPTWINSGARKLISSILHPNPNSRIAIDDITSNSWFKKGYKQIEAPPSPQGQARCTLLKDVHEAFNSSLPSENKNSSQKEVIAADTRSPVRPTNYNAFDIISRSKGFDLSGLFEEDRYQRLEARFTSKKPASTIVSKFQEIATMESFNFNKKDGTVKLLGCKEGRKGQLEIDAEIFEVTPSFFVVELTKASGDTIEYKNFCNQELRPSLKDIVWAWQGNDQQQS >Manes.06G139900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26793091:26801005:1 gene:Manes.06G139900.v8.1 transcript:Manes.06G139900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHRRMLSDENEDSPAKCRERRRRRIEMRRLASVPGAGTPPPESESHAESSSCFANGKRIRKSETGDFPGASSLVSSGDDVETEAVQPPSGFPLVEPTFGTMSVAGRLREMEDAITVCTNLCRPEINERRPVHFFAVYDGHGGSHVAELCRERMHVILEGELMRVDHTDSSENGNGSSSGISEKRQLQRQGGDEVEKWRTVLKRSFEKMDEAALNTCACGCVGFECTCHPMDMEVALGGSTAVLALLTQEHIVVANCGDSRAVLCRGGRAIPLSFDQKPDRPDELARIEAAGGHVIFVNGARVEGILAMSRAIGILAVCPNLVKPWSCQVDC >Manes.06G139900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26794017:26796006:1 gene:Manes.06G139900.v8.1 transcript:Manes.06G139900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHRRMLSDENEDSPAKCRERRRRRIEMRRLASVPGAGTPPPESESHAESSSCFANGKRIRKSETGDFPGASSLVSSGDDVETEAVQPPSGFPLVEPTFGTMSVAGRLREMEDAITVCTNLCRPEINERRPVHFFAVYDGHGGSHVAELCRERMHVILEGELMRVDHTDSSENGNGSSSGISEKRQLQRQGGDEVEKWRTVLKRSFEKMDEAALNTCACGCVGFECTCHPMDMEVALGGSTAVLALLTQEHIVVANCGDSRAVLCRGGRAIPLSFDQKPDRPDELARIEAAGGHVIFVNGARVEGILAMSRAIGNLF >Manes.06G139900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26793091:26801175:1 gene:Manes.06G139900.v8.1 transcript:Manes.06G139900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHRRMLSDENEDSPAKCRERRRRRIEMRRLASVPGAGTPPPESESHAESSSCFANGKRIRKSETGDFPGASSLVSSGDDVETEAVQPPSGFPLVEPTFGTMSVAGRLREMEDAITVCTNLCRPEINERRPVHFFAVYDGHGGSHVAELCRERMHVILEGELMRVDHTDSSENGNGSSSGISEKRQLQRQGGDEVEKWRTVLKRSFEKMDEAALNTCACGCVGFECTCHPMDMEVALGGSTAVLALLTQEHIVVANCGDSRAVLCRGGRAIPLSFDQKPDRPDELARIEAAGGHVIFVNGARVEGILAMSRAIGILAVCPNLVKPWSCQVDC >Manes.06G139900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26793091:26801006:1 gene:Manes.06G139900.v8.1 transcript:Manes.06G139900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHRRMLSDENEDSPAKCRERRRRRIEMRRLASVPGAGTPPPESESHAESSSCFANGKRIRKSETGDFPGASSLVSSGDDVETEAVQPPSGFPLVEPTFGTMSVAGRLREMEDAITVCTNLCRPEINERRPVAELCRERMHVILEGELMRVDHTDSSENGNGSSSGISEKRQLQRQGGDEVEKWRTVLKRSFEKMDEAALNTCACGCVGFECTCHPMDMEVALGGSTAVLALLTQEHIVVANCGDSRAVLCRGGRAIPLSFDQKPDRPDELARIEAAGGHVIFVNGARVEGILAMSRAIGILAVCPNLVKPWSCQVDC >Manes.06G139900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26793091:26801007:1 gene:Manes.06G139900.v8.1 transcript:Manes.06G139900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHRRMLSDENEDSPAKCRERRRRRIEMRRLASVPGAGTPPPESESHAESSSCFANGKRIRKSETGDFPGASSLVSSGDDVETEAVQPPSGFPLVEPTFGTMSVAGRLREMEDAITVCTNLCRPEINERRPVHFFAVYDGHGGSHVAELCRERMHVILEGELMRVDHTDSSENGNGSSSGISEKRQLQRQGGDEVEKWRTVLKRSFEKMDEAALNTCACGCVGFECTCHPMDMEVALGGSTAVLALLTQEHIVVANCGDSRAVLCRGGRAIPLSFDQKPDRPDELARIEAAGGHVIFVNGARVEGILAMSRAIGILAVCPNLVKPWSCQVDC >Manes.06G139900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26793091:26801005:1 gene:Manes.06G139900.v8.1 transcript:Manes.06G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHRRMLSDENEDSPAKCRERRRRRIEMRRLASVPGAGTPPPESESHAESSSCFANGKRIRKSETGDFPGASSLVSSGDDVETEAVQPPSGFPLVEPTFGTMSVAGRLREMEDAITVCTNLCRPEINERRPVHFFAVYDGHGGSHVAELCRERMHVILEGELMRVDHTDSSENGNGSSSGISEKRQLQRQGGDEVEKWRTVLKRSFEKMDEAALNTCACGCVGFECTCHPMDMEVALGGSTAVLALLTQEHIVVANCGDSRAVLCRGGRAIPLSFDQKPDRPDELARIEAAGGHVIFVNGARVEGILAMSRAIGDRFLKPIVISEPEITFTKRDQEDECLILASDGLWDVLSSELACEVACECLREGNPSSVVNARSRMEDEGTGTLYPSRSILAAALLTRLALGRRSSDNISVIVVDLKRS >Manes.06G139900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26793091:26801006:1 gene:Manes.06G139900.v8.1 transcript:Manes.06G139900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVHRRMLSDENEDSPAKCRERRRRRIEMRRLASVPGAGTPPPESESHAESSSCFANGKRIRKSETGDFPGASSLVSSGDDVETEAVQPPSGFPLVEPTFGTMSVAGRLREMEDAITVCTNLCRPEINERRPVAELCRERMHVILEGELMRVDHTDSSENGNGSSSGISEKRQLQRQGGDEVEKWRTVLKRSFEKMDEAALNTCACGCVGFECTCHPMDMEVALGGSTAVLALLTQEHIVVANCGDSRAVLCRGGRAIPLSFDQKPDRPDELARIEAAGGHVIFVNGARVEGILAMSRAIGDRFLKPIVISEPEITFTKRDQEDECLILASDGLWDVLSSELACEVACECLREGNPSSVVNARSRMEDEGTGTLYPSRSILAAALLTRLALGRRSSDNISVIVVDLKRS >Manes.07G114400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31964820:31969093:-1 gene:Manes.07G114400.v8.1 transcript:Manes.07G114400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALLTSLSMENYHPSTLLSMDSGSLTHDELEREMNRSVILSRPPDINLPLSSEPSPPPSLAWNDPCDILDVSLAPQTYEVETLVNVPKVAKKCTKRLDSIWGAWFFFSFYFKPVLNEKSKCKVIRDSNGVSGYEKSDLQLDAFLVQHDMENVYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCIHGVEIVRSPNLINLDEEERKRWVDLTGRDINFTIPPEASDFVSWRNLPNTDFELERPLHPLKTNGNSHQRKLLNGASLNLSTQTSDHSNGESVDLSPVCHKRKKDYLPHGNHDECLADNSHGDRVDMNIHPVEPAWINEFSGVMKNVYGPVTAAKTIYEDDEAFLIIISLPFADLQRVKVTWRNTKLQGIVKISCVSTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNRIPEDAELEAYLDETGTMLEIIVPKYRVGPEEHEVRVCLRPLLGGNGHLST >Manes.07G114400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31964933:31969012:-1 gene:Manes.07G114400.v8.1 transcript:Manes.07G114400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALLTSLSMENYHPSTLLSMDSGSLTHDELEREMNRSVILSRPPDINLPLSSEPSPPPSLAWNDPCDILDVSLAPQTYEVETLVNVPKVAKKCTKRLDSIWGAWFFFSFYFKPVLNEKSKCKVIRDSNGVSGYEKSDLQLDAFLVQHDMENVYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCIHGVEIVRSPNLINLDEEERKRWVDLTGRDINFTIPPEASDFVSWRNLPNTDFELERPLHPLKTNGNSHQRKLLNGASLNLSTQTSDHSNGESVDLSPVCHKRKKDYLPHGNHDECLADNSHGDRVDMNIHPVEPAWINEFSGVMKNVYGPVTAAKTIYEDDEAFLIIISLPFADLQRVKVTWRNTKLQGIVKISCVSTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNRIPEDAELEAYLDETDLAVFI >Manes.07G114400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31964820:31969093:-1 gene:Manes.07G114400.v8.1 transcript:Manes.07G114400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALLTSLSMENYHPSTLLSMDSGSLTHDELEREMNRSVILSRPPDINLPLSSEPSPPPSLAWNDPCDILDVSLAPQTYEVETLVNVPKVAKKCTKRLDSIWGAWFFFSFYFKPVLNEKSKCKVIRDSNGVSGYEKSDLQLDAFLVQHDMENVYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCIHGVEIVRSPNLINLDEEERKRWVDLTGRDINFTIPPEASDFVSWRNLPNTDFELERPLHPLKTNGNSHQRKLLNGASLNLSTQTSDHSNGESVDLSPVCHKRKKDYLPHGNHDECLADNSHGDRVDMNIHPVEPAWINEFSGVMKNVYGPVTAAKTIYEDDEAFLIIISLPFADLQRVKVTWRNTKLQGIVKISCVSTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNRIPEDAELEAYLDETGTMLEIIVPKYRVGPEEHEVRVCLRPLLGGNGHLST >Manes.01G220800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38871650:38872180:-1 gene:Manes.01G220800.v8.1 transcript:Manes.01G220800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLPKSLPSIALLWVISLLLMISSTTPFASGGVDADDDDDGKLSAYEVLANYDFPIGILPVGVTGYELNRETGEFSAYLNGTCKYDIESYTLEYKPTITGVISKGRLSKLKGVRVHVLFFWLNIVEVTRDGDDLYFSVGIASASFSIDNFEECPQCGCGFDCNKLKHGLVSSS >Manes.01G216100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38533609:38538207:1 gene:Manes.01G216100.v8.1 transcript:Manes.01G216100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAYSPPWPNANPNGNLLSPEDAAEGLESVSQSQSYDSLSSIMPQDHLTDQLTPVNPTPSYSAPVSVSVSSPPESTSAPATVSLLHVSFNQDFGCFAAATDHGFRIYNCDPFREIFRRDFDRGGGGIGVVEMLFRCNILALVGGGSDPQYPPNKVMIWDDHQSRCIGELSFRSEVRSVKLRRDRIIVVLEQKIFVYNFADLKLLHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALTQDGQLLATASTKGTLVRIFNTADGTLLQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKINPGSPVTDKSRNTPDHNAAVTSPSSSLSFFKGVLPKYFSSEWSVAQFRLVEGSQYVVAFGHQKSTVVILGLDGSFYRCQFDPVNGGEMTQLEYHNFLKPEATF >Manes.01G216100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38533609:38538207:1 gene:Manes.01G216100.v8.1 transcript:Manes.01G216100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAYSPPWPNANPNGNLLSPEDAAEGLESVSQSQSYDSLSSIMPQDHLTDQLTPVNPTPSYSAPVSVSVSSPPESTSAPATVSLLHVSFNQDFGCFAAATDHGFRIYNCDPFREIFRRDFDRGGGGIGVVEMLFRCNILALVGGGSDPQYPPNKVMIWDDHQSRCIGELSFRSEVRSVKLRRDRIIVVLEQKIFVYNFADLKLLHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALTQDGQLLATASTKGTLVRIFNTADGTLLQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKINPGSPVTDKSRNTPDHNAAVTSPSSSLSFFKASIDVSLTQ >Manes.17G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30358378:30359608:-1 gene:Manes.17G095900.v8.1 transcript:Manes.17G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVWLSKMENIEHTTVSTNGIKMHVASIGTGPEILFLHGFPDLWYSWRHQLLSLSSLGYRCIAPDLRGYGDTDAPEPVNQYTVFHIVGDLIGLLDSLGIQQVFLVGHDWGALIAWSLCIFRPDRIKALVNTSVAFMPRMPQLKPLDAFRMMFGNDYYVCRFQEPGEAEEEFAQVDTARLIKSFFTSRDPKPPCFPKEVGIKALPCPPSLPSWLTEEDVDYYAAKFNQSGFTGGLNYYRNMNLNWELTAAWTGTEIKVPVKFIVGDLDLVYHIPGMKEYIHNGGFKKDVPLLEDVVVMEGVAHFLNQEKPEEISKHIYDFIKKF >Manes.02G164200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12841477:12843613:1 gene:Manes.02G164200.v8.1 transcript:Manes.02G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.02G164200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12841477:12842309:1 gene:Manes.02G164200.v8.1 transcript:Manes.02G164200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVR >Manes.17G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32773748:32776943:1 gene:Manes.17G122400.v8.1 transcript:Manes.17G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLFFNPSGCSGNMLFLGNLDPVFRGPRSSMLNLEDTSRKRPFFMSSPEDLFDEEYYEEQLPEKKRRLTQEQVDLLEKSFEAENKLEPDRKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDLLKASYDSLLSDYDAAVKQNERLKSEVVSLTEKLEAKDMTGEPQQKSDSLTADIASGFSPQMGVKAEDHLSSGSTRSAVVDEGSPQLLDSEDSFFENNNCGECIIGGPVDFLQSEEDDGGSDDGRSYFSDVFVTAGEQQEDEQPLEWWVWS >Manes.03G065648.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8149372:8151727:1 gene:Manes.03G065648.v8.1 transcript:Manes.03G065648.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWLYRSPYRVEISSKPWPIILWLQGGPGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFAVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVLSWGPLLKDVSRLDNNGLEMANRSKEVQKILQFWWQLTKESTTTCTFQSHNFL >Manes.03G065648.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8149372:8151727:1 gene:Manes.03G065648.v8.1 transcript:Manes.03G065648.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWWLYRSPYRVEISSKPWPIILWLQGGPGASGVGLGNFEEIGPLDVNLKPRNSTWLRMADLLFVDNPVGTGFSFVEESNLFVKTDEEAATDLTTLLKEIFNRNESLQQSPLHIVAESYGGKFAVTLGLSALKAIGAGKLKAKLGGVILGDTWISPEDFVVQRSAEDPSILVATYKGEHNHLHLSKPQFSLGSSQHSSSIAGPVPTANPATTVTLDLMKPGMLQENAKKAVKETEAQDVGQILVQILQQHLQLLSQEDH >Manes.09G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33656746:33662294:-1 gene:Manes.09G136300.v8.1 transcript:Manes.09G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDMPLSKDPAFKLFGRKIPVPDTQIPAKPPSQNSCSEITKGETDRLNEDNSGEPDKSAVSGQGKEENQTPVHETQGTSKPEEDQVETNSVDQEKVFKKPDKIIPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRQLLVSSEGVPITRVDNSDSANHQLVSSVESATTLRPSTTGNGMVLKFGSEAPLCESMETVLNLEDQKKYAEMSSVNSRDNIEEPSSCGSSMTASSCRINELPETVAQKELVGLPGSPNELTVPQPLQCYPVPPWVCPWNPGWNNATSMAAAHHSVGHPSMPNSISSSQVQWCPTPVLAIPGFCPPNVPLQFVPASYWGCMPVWDAGTRNTSLGESNGCSSPSSSTTTSCCSGNGSPNLGKHSRDSNLMDEEKPENCILVPKTLRIDDPNEAAKSPLWTTLGLKLDQKSPVPKGTIFKPFENKAEGKEGNVSDSSHILEANPAALSRSHTFQESS >Manes.11G118900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27701848:27710467:1 gene:Manes.11G118900.v8.1 transcript:Manes.11G118900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLSKTLSKLFQALLVLIFLSTSASTATSALTDGPTKVGMEAYCLLRWKASLDNQSQSVLDSWVGRGPCKWIGVTCDSFGSITILSLINLGLRGNIPSEIGMLSTLSELYLSRNNFTGLIPTSMTKLENLSILYLWANKLSGSIPSEIGFLKSLKELDLSINNLTGAIPSSIGHLRNLSRLGLLLNKLSGSLPLEFNNLTRLEFNNLTGYSRYAWFATGPGGLKPIWILAPVTGRISGSLHSALTDGPTKVGMEAYCLLRWKASLDNQSQSVLDSWVGRGPCKWIGVTCDSSASITILSLINLGLRGTLHSFNFSCFPNLTRLEIRNNSLHGTLPSQISNLSKITYLNLHGNHLTGNIPSEIGMLIDLHTLSLSVNLFDGHIPAEFGMLSSLSELYLSRNNFTGLIPTSMTKLENLSILYLSDNKLSGSIPSEIEFLKSLKKLGLSRNKLSGFIPPSIGKLRELSILQLFNNKLSGSIPHEIGMLGSLSQLSLQGNNLTGSIPTSITNLGNLSILRLWSNKLSGFIPSEIGLLVALTDLDLSSNALTGRIPTSIGNLSSLSHMDFSGNRLYGQVPREIGELKSLNILKLSSNQLNGSLPLEFNNLTRLKSLQLGENGFTGHFPEDVCLGGLLEKFTSNFNHFSGSIPKTLRNCTSLFRLRLDWNQLTGNISEKLGIYPHLDYMDLSNNRFHGEIPRKLGQWKNITSLKFSNNNISGSIPLELGNATQLHLIDLSWNHLQGQIPQELAKLKLLIKLCLNNNNLFGVVPLDFKVLSNLDHLNLAANNLSGPIPGQLGELSNLLILNLSRNEFTAGIPFELGNLHFLQVLDLSHNLLMGNIPQQLGQLRTLEVLNLSNNMLSGSIPTTFDNLWGLTVVDISYNELEGSIPDVKAFREAPFEAYRNNKGLCGNASSLKACASTKSGKTSRANRKKVVIVTVLPVLAALFLVFLIGGLLILLPLRRRQAQSRELQDKDILVIPGHDQELQYETIIEATENFNSNYCIGVGGCGVVYKAVLPSGRVFAVKKLHSLQESDKSKNLKAFEREIQVLLEIRHRNIVKLHGFCSHSKDSFLVYEFVEKGSLRSILNSDEEAAELDWIKRQNIVKGVANALSYMHHNCPFPIIHRDISSNNILLDSEYEPRISDFGTAMLLLSDSSNKASFAGTFGYTAPELAYTMQVNEKCDVYSFGVITLELVMGTHPCNLISSLWSLSSSDDHDKLLKDVIDQRLLLPQNQVAESLVYITMLAFSCLHLNPKSRPTMQQISSKLTSKYPLVSKSFSTIKLEELLSNNIANI >Manes.06G072500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20861085:20870017:-1 gene:Manes.06G072500.v8.1 transcript:Manes.06G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQRRVITSWRRVAKSLQALVAHSLLFLFTLLLALKLDRAVSFSWWIIFAPLWLFHSVVARGRFSLPAPSMPNNRHWAPCHAVLATPLLIAFELLLCIRLERSYVVNLKIVFLPLLAFQISVLIDNIRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFIAATIFTLLKLCGDVAALGWWDLFINYGIAECFAFLVCTQWYNPAIHRHSHIGESGSSVIFRYTDWNRGLVVSSDEDRHQNGRICNLQDIGGHFMKIPFVGFQIMLFMYLEGTPPRAKYIPFPVLFAPLFLVQGAGVLFAAYRLVEKIVILLRGGATSNRYFTIVSKARDFLGFLHHGSRLLGWWSIDEGSREELARLYYAGDSGYNTFSPDAVNRMPKSELVEEIWRLQAALGEQTEVTKYSQQECERLQNEKILCRVCFEEQINVVLLPCRHHVLCRTCCEKCKKCPICRVAIEERLAVYDV >Manes.03G204201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31942959:31945096:-1 gene:Manes.03G204201.v8.1 transcript:Manes.03G204201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLNHTVTIHQTGLASPTIQGSEVRGSVVLRTPKTSKRIHREREKEREREMEENRRVGVALDFSPCSKKALKWAVDNLVREGDYLILITIRPEGNYEDGEVQLWAVDGSPLIPLHEFSDPAIMKKYGVKADPETLDIANTAANQKQLIVVMKIFWGDPREKICEAIEKVSLSCLVMGNRGLGKIKR >Manes.03G204201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31942974:31945096:-1 gene:Manes.03G204201.v8.1 transcript:Manes.03G204201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLNHTVTIHQTGLASPTIQGSEVRGSVVLRTPKTSKRIHREREKEREREMEENRRVGVALDFSPCSKKALKWAVDNLVREGDYLILITIRPEGNYEDGEVQLWAVDGSPLIPLHEFSDPAIMKKYGVKADPETLDIANTAANQKQLIVVMKIFWGDPREKICEAIEKVSLSCLVMGNRGLGKIKRAILGSVSNYVVNNGTCPVTVVKQTEHES >Manes.13G140493.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35004633:35006535:1 gene:Manes.13G140493.v8.1 transcript:Manes.13G140493.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVHMGFFTLQKTADQEGYLLTPASRLLLKDNPLSTSSFVLLVLDQVLLETYNCMSTWFQKDEPNPFVTVFGEQLWEYASHEARVNNLVNGAMANDSSLIGKAVVVKCKEVFQGLNSLVDVAGGTGNMAKAISDAFPDLKCTVLDLPHVVTDLEGNKNLNFLAGDMFKAVPPADAILLKWILHDWPDEECVKILKNCKETIRKNKNEEQTGKVIIIDMVMGNQTWNSTKDDDNITEAQLLFDMEMMCGVIGKERNEKEWAKLFFVAGFSNYKINYVLGSRALIEVYP >Manes.13G008600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1216973:1220712:-1 gene:Manes.13G008600.v8.1 transcript:Manes.13G008600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTAVAETTFQPSSPALHWRDPNGASNEAVDLVDQSVMATTTAMWNDVNGLEDQQRRHLQKLQTKGVLWKHPGDGNKDSSLPRSVVFRLSHGGEVSADGNCLFTASQRAMMAREMDARELRRRTVRRFSEDFGSENDEEKEVINDAIRHMYSPDLKSGWGIHVVQEVKLLAKKEDRVNLDSAIDELLQLGMQREMAAESIYKERCLPVNDGPSWAKYMSISGSTDDECDIITLQYTEEGLLSVDDNREGHAAAFGDDIAIECLATEFKREIYVVLCTCAA >Manes.13G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1216973:1220711:-1 gene:Manes.13G008600.v8.1 transcript:Manes.13G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTAVAETTFQPSSPALHWRDPNGASNEAVDLVDQSVMATTTAMWNDVNGLEDQQRRHLQKLQTKGVLWKHPGDGNKDSSLPRSVVFRLSHGGEVSADGNCLFTASQRAMMAREMDARELRRRTVRRFSEDFGSENDEEKEVINDAIRHMYSPDLKSGWGIHVVQEVKLLAKKEDRVNLDSAIDELLQLGMQREMAAESIYKERCLPVNDGPSWAKYMSISGSTDDECDIITLQYTEEGLLSVDDNREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRSEICELPFFLFMKGTGWSGAGADHYEPLIAHHSSLLSNEKVALVL >Manes.14G066000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5508272:5515812:-1 gene:Manes.14G066000.v8.1 transcript:Manes.14G066000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSPPPSSPPDDKPQTPAAELAQPTNEIQQHAREEAPKQSSPSVFVNSEPMREEQVSNAVKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPPPSTQATGTNQEAQVKSTSNVQPPAQTQAHQPAAAAPTGAISSVGTLRSRFHWYHVVFALGLLTASGAGTIVLIKNAVIPRLKSWIRKVVLEEEEEEEDPEKKANVKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRYFNEFMSLLDVQVQEMKSMNTTIRKLEGQTNNLGRTSLVDQEDYPPSVANHPKQTYMNGKVESDLRPVTSSSAPTSAEPSVAPHPKSYMEIMAMVQRGERPANIRDINDQPPNPNQQISNTRLVPKNKPWETGQRQTNNSSQLQVNGEGLNSKVQDNGVTYQFDSESTTPWWQQKNARITEIESEDLKDGPYGARTNEPTVQRTWVPPQPPPVAMPEAAEAIRRPKSSVQKEQLPEDQSASHSTEVTDELQRITKISESGSAEVNVVGSELSSSEIQEE >Manes.14G066000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5508272:5515812:-1 gene:Manes.14G066000.v8.1 transcript:Manes.14G066000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSPPPSSPPDDKPQTPELAQPTNEIQQHAREEAPKQSSPSVFVNSEPMREEQVSNAVKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPPPSTQATGTNQEAQVKSTSNVQPPAQTQAHQPAAAAPTGAISSVGTLRSRFHWYHVVFALGLLTASGAGTIVLIKNAVIPRLKSWIRKVVLEEEEEEEDPEKKANVKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRYFNEFMSLLDVQVQEMKSMNTTIRKLEGQTNNLGRTSLVDQEDYPPSVANHPKQTYMNGKVESDLRPVTSSSAPTSAEPSVAPHPKSYMEIMAMVQRGERPANIRDINDQPPNPNQQISNTRLVPKNKPWETGQRQTNNSSQLQVNGEGLNSKVQDNGVTYQFDSESTTPWWQQKNARITEIESEDLKDGPYGARTNEPTVQRTWVPPQPPPVAMPEAAEAIRRPKSSVQKEQLPEDQSASHSTEVTDELQRITKISESGSAEVNVVGSELSSSEIQEE >Manes.14G066000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5508272:5515812:-1 gene:Manes.14G066000.v8.1 transcript:Manes.14G066000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSPPPSSPPDDKPQTPAAELAQPTNEIQQHAREEAPKQSSPSVFVNSEPMREEQVSNAVKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPPPSTQATGTNQEAQVKSTSNVQPPAQTQAHQPAAAAPTGAISSVGTLRSRFHWYHVVFALGLLTASGAGTIVLIKNAVIPRLKSWIRKVVLEEEEEEEDPEKKANVKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRYFNEFMSLLDVQVQEMKSMNTTIRKLEGQTNNLGRTSLVDQEDYPPSVANHPKVSETQQTYMNGKVESDLRPVTSSSAPTSAEPSVAPHPKSYMEIMAMVQRGERPANIRDINDQPPNPNQQISNTRLVPKNKPWETGQRQTNNSSQLQVNGEGLNSKVQDNGVTYQFDSESTTPWWQQKNARITEIESEDLKDGPYGARTNEPTVQRTWVPPQPPPVAMPEAAEAIRRPKSSVQKEQLPEDQSASHSTEVTDELQRITKISESGSAEVNVVGSELSSSEIQEE >Manes.14G066000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5508272:5515812:-1 gene:Manes.14G066000.v8.1 transcript:Manes.14G066000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSPPPSSPPDDKPQTPELAQPTNEIQQHAREEAPKQSSPSVFVNSEPMREEQVSNAVKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPPPSTQATGTNQEAQVKSTSNVQPPAQTQAHQPAAAAPTGAISSVGTLRSRFHWYHVVFALGLLTASGAGTIVLIKNAVIPRLKSWIRKVVLEEEEEEEDPEKKANVKPSLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRYFNEFMSLLDVQVQEMKSMNTTIRKLEGQTNNLGRTSLVDQEDYPPSVANHPKVSETQQTYMNGKVESDLRPVTSSSAPTSAEPSVAPHPKSYMEIMAMVQRGERPANIRDINDQPPNPNQQISNTRLVPKNKPWETGQRQTNNSSQLQVNGEGLNSKVQDNGVTYQFDSESTTPWWQQKNARITEIESEDLKDGPYGARTNEPTVQRTWVPPQPPPVAMPEAAEAIRRPKSSVQKEQLPEDQSASHSTEVTDELQRITKISESGSAEVNVVGSELSSSEIQEE >Manes.02G172800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13657569:13663943:-1 gene:Manes.02G172800.v8.1 transcript:Manes.02G172800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNRDPNALFSGGGISFLSGGQNAKFSYGYSSFKGKRASMEDFYETRISEVEGEMVAFFGVFDGHGGARTAEYLKNNLFRNLSTHPDFIKDTKTAIVEAFRQTDADYLNEEKGQQRDAGSTASTAVLLGNQLLVANVGDSRVVASRAGSAIPLSIDHKPDRSDERQRIEDAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQDAVALVQDITDAEAASRKLIQEAYARGSSDNITCIVVRFDNS >Manes.02G172800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13657569:13663943:-1 gene:Manes.02G172800.v8.1 transcript:Manes.02G172800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNRDPNALFSGGGISFLSGGQNAKFSYGYSSFKGKRASMEDFYETRISEVEGEMVAFFGVFDGHGGARTAEYLKNNLFRNLSTHPDFIKDTKTAIVEAFRQTDADYLNEEKGQQRDAGSTASTAVLLGNQLLVANVGDSRVVASRAGSAIPLSIDHKPDRSDERQRIEDAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIDGVDFIIIASDGLWNVLSNADAVALVQDITDAEAASRKLIQEAYARGSSDNITCIVVRFDNS >Manes.S095308.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:36683:58470:-1 gene:Manes.S095308.v8.1 transcript:Manes.S095308.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYYTMNGSFPIQELKNLKNLIFLDISGNNFDGTLSFKELSNLKNLKTLDVSYNQFNSSLSAAGRVPNGAQFGTFDENNYRGNPGLCGEPIHKSCKSDEAPQTPPPSADVEEEDEGGIDMVWFYWSFSGAYVTILLVLAAILRINRHWRMLWFYYVDVCIYSISIWVCRN >Manes.13G031600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4049094:4053172:-1 gene:Manes.13G031600.v8.1 transcript:Manes.13G031600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVSERDLEDEFRMYGVLRSVWVARRPPGYAFVEFDDRRDAMDAIRALDGKNGWRVELSHNSKGGGGRGGGGGGRGRGGEDLKCYECGEPGHFARECRLRIGSRGLGSGRRRSPSPRRRRSPSYGYGRRFVTCIYLFLLSPGNCKLHVLEPFYHSLLGFSFFFLFHSSSFIMQ >Manes.13G031600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4049109:4053172:-1 gene:Manes.13G031600.v8.1 transcript:Manes.13G031600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVSERDLEDEFRMYGVLRSVWVARRPPGYAFVEFDDRRDAMDAIRALDGKNGWRVELSHNSKGGGGRGGGGGGRGRGGEDLKCYECGEPGHFARECRLRIGSRGLGSGRRRSPSPRRRRSPSYGYGRSRSPSYGYGRRSYSPRGRRSPRRRSISPRRGRSISRSPPYRHARRDSPYANGD >Manes.13G031600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4048515:4053172:-1 gene:Manes.13G031600.v8.1 transcript:Manes.13G031600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVSERDLEDEFRMYGVLRSVWVARRPPGYAFVEFDDRRDAMDAIRALDGKNGWRVELSHNSKGGGGRGGGGGGRGRGGEDLKCYECGEPGHFARECRLRIGSRGLGSGRRRSPSPRRRRSPSYGYGRRSYSPRGRRSPRRRSISPRRGRSISRSPPYRHARRDSPYANGD >Manes.13G031600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4048514:4053205:-1 gene:Manes.13G031600.v8.1 transcript:Manes.13G031600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVSERDLEDEFRMYGVLRSVWVARRPPGYAFVEFDDRRDAMDAIRALDGKNGWRVELSHNSKGGGGRGGGGGGRGRGGEDLKCYECGEPGHFARECRLRIGSRGLGSGRRRSPSPRRRRSPSYGYGRRSYSPRGRRSPRRRSISPRRGRSISRSPPYRHARRDSPYANGD >Manes.13G031600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4048638:4053174:-1 gene:Manes.13G031600.v8.1 transcript:Manes.13G031600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYVGNLDPRVSERDLEDEFRMYGVLRSVWVARRPPGYAFVEFDDRRDAMDAIRALDGKNGWRVELSHNSKGGGGRGGGGGGRGRGGEDLKCYECGEPGHFARECRLRIGSRGLGSGRRRSPSPRRRRSPSYGYGRSRSPSYGYGRRSYSPRGRRSPRRRSISPRRGRSISRSPPYRHARRDSPYANGD >Manes.15G093000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7257561:7262363:1 gene:Manes.15G093000.v8.1 transcript:Manes.15G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSGKPIGDLGIDALTDQLRDSLCSLEANKPDFRELDLGSPVSPLRTRGLNTTATTTTTTTTTSSSSSSSGSVSGSRNVHNPGHKSSHSGELSNSTETSPTTSIRNPKQGTSRSDPLIYSGGGSQSSVNSPAHVNVLPTGNICPSGRILKTGMAMSNRSTKTDVLGSGTGNYGHGSIMRGGGTTKSGNLDAGNSMGSSASPVRGNVGGARVGGVDPEEVKRAGNEMYKKGHFGEALVLYDRAIALAPGNAAYRSNRAAALMGLGRVAEAVRECEEAVRLDPNYWRAHQRLGSLFVRLGQVENARKHLCFPGHHPDPSELQKLQLVEKHLIKCSDARKVNDWKNALREGEAAIAAGADYCPQLFMCRAEALLKLHQLEDAESCLSNIPKLEPCSSSCSQSRFFGMLSEAYIFLVRAQIEMTMGRFENALTVAEKAGQIDPRNVEVAVLLHNVRLVARARTRGNELFKSERYTEACSAYGEGLRIDPSNPVLYCNRAACWFKLGLWDRSIDDCNQALGIQPNYAKALLRRAASNSKLERWADAVRDYEVLRRELPDDSEVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVLGFEQFRAAISLPGVSVVHFKSASNLHCKQISPFVDTLCGRYPSINFLKVDIDNNPAVANAENVRIVPTFKIYKNGSRVKEIVCPSHDMLEHSVRHYSF >Manes.02G042533.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3478381:3479164:-1 gene:Manes.02G042533.v8.1 transcript:Manes.02G042533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCGAPQCLSNCLILLKTTNKLVRGCLRNFENEINVRPLFLITQNLKYTFNPTYIETFNN >Manes.11G061600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8615466:8618604:-1 gene:Manes.11G061600.v8.1 transcript:Manes.11G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIVLKAGSRPPWVGLAAAAWVQVAAGNGYNFPLYSTALKSVLGFNQQQLTILGVANDIGENVGLIPGIAINKLPPWAVLSVGVVFCFVGYGVLWLAVTQTVPGLPYWLLWLALVVATNSNAWFGTAVLVTNMRNFPLSRGTVSGILKGYVGISAAVYTLLFNMALGDSASKLLLFLTLGIPIICLAMMFFVRPCTPASGEDSSVHVHFIFTQAASIVLALYLLISTIISDVIPLSDTVSYVLVAIMVIILMSPLAIPLKMTLFPARPGNHIPATDSSDHLVLAEGESTSTDALLTPSPSASYLGSFLDSEDASDIEILLAMGEGADFKFVEALIKADFWLLWVVYFLGVGSGVTFLNNLAQIGLAYGLNDTTILLTVFSFCNFVGRLGSGAVSEHFVRSKTIPRTVWMTCAQIIMVVVFILFALALDGILFVATAVIGVCYGILYSVVVPTASELFGLKHFGIIYAFMSLGNPIGALLFSGLLAGYLYDAEATKQGSSTCAGPDCFKLTFLVLAGICGLGTILSIILTVRLQPVYQMLYAGGSFRTPQSSGR >Manes.04G000301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:159326:160312:1 gene:Manes.04G000301.v8.1 transcript:Manes.04G000301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIFISIFTEVIKESIIEFVVVPIKPHISYPFTYKSKVEKLHHEVGRLKNRKVKLQQAVEEATRKGEEIYESVNKWLIDAGKSIEEAEEYIQGEEQAKKKCFVGLCPDLKTCY >Manes.17G062100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26178932:26180689:-1 gene:Manes.17G062100.v8.1 transcript:Manes.17G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRAFLHLLGIFVFAVGSLKQYFVNGESKVPCYFIFGDSLVDSGNNNNLATNAKVNYPPYGIDFPEGPTGRFCNGRTTADVIGELLGFDNFIPSFLSAKGSEILKGVNYASGSAGIRSETGKQLGVNIDLKNQLQNHQVTISHILDLLGTQDSATEYLSKCFYSFVIGSNDYINNYFLPQFYNTSRQYTPEQYAEVLMEDYSQHIMTLYNSGARKVALTGIGPIGCTPGAIATYDTNGSLCVDSMNQAANLFNNRLKLLVDQLNRNLLGAQFIYMNTYGIVSEYAASPAFEIKIKGCCKVNEFGLCIPSEVPCDHRNLHLFWDDFHPSEIANKLSAGISYLSLKKIL >Manes.10G114650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28062630:28065815:1 gene:Manes.10G114650.v8.1 transcript:Manes.10G114650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYCRNFSASFSSLCLLSIIHFFCFNLPVASAIHRNNDTDRLSLLEFKATISNDPLGVMSLWNSSLHFCRWYGVTCGRRHQRVTMLDLNSLKLSGSISQHVGNLSFLRKLSLQNNSFTHEIPPQIGYLRRLQVLSLYNNSFDGQIPSSISNCSNLVFFYLDNNNFAGKIPAELGSLVKLKAIYLQSNNFIGTFPSSFGNLSSLEELPAYKNHLHGNLPETFGQLKSLRDLAIFGNGFSGTIPSSIFNLSSIIYLDLGVNNFHGKLPLDIGNSLPNLQFFSIAENQFSGTIPASMSNASNLELFDLPLNNLAGGVPSLAKLHRLSEFVISGNNLGSGKADDLRFLSTLTNATALKFLFIDANNFGGELPEYIANFSKELQFFGAELNQISGNIPVGIQALVNLEMFLVDRNKLSGNIPSGIGQLQNLKLLYLGNNKLSGYIPSSLGNLTNLLEVVLSNNYLQGTIPSGLGKCKKLLRLDFSINNLSGPIPSQVIGLSSLSKVLDLSLNNLSGSLPKAIENLKNLEILALHGNMLSGELPSGLGSCSSLELLLMSANLFQGFIPSSFGTLRGIRELNLSHNNLSGKIPEFLKSFNSINLLDLSYNDFEGMVPVVGVFKNSSATFVGGNKNLCGGIPDFGLPACKFEQSKRRLTTKLKIIIFAVCVVIGVALLFICLLLWRNSKKRKGEAASLFDGKSLLKLSYQSLLKATNGFSPDNLIGVGSFGSVYKGILDQEGMVIAVKVLNMMRRGASRSFIAECEALRNIRHRNLVKVVTACSGVDYQGNDFKALVYEFMVNGSLDGWLHPTLGPDEVPKSVNIIQRLNIAIDVASALEYLHYHCGTPIVHCDLKPSNILLDEEMVGHVSDFGLVKFLTSRMLYYSTNHSSSLGIRGTIGYCPPEYGVGSEVSMHGDVFSFGILLLEMFTGKRPTDDMFKDNLSLHNYVKRGFPEQVKQVVDPKLFHMQLDADATSNHNFRNRRNNMLIECLTSILEIGIYCSMESPQERMNISDVITQLSSVRSKLVGTRF >Manes.15G127700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10172539:10176822:-1 gene:Manes.15G127700.v8.1 transcript:Manes.15G127700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDLYTVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLVALGIWTNFTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGGDGKLHVTVRKSNASRRSLGPGSFSALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNTSDFYNMMGVQGFPGGRLSNFGPADLYSVQSSRGPTPRPSNFEENCAPMATISSPRFGFYPAQTVPTSYPAPSAEFSATVSTKNSKNQQQQLQEQQQQQQQQQNSKANHDAKELHMFVWSSSASPVSDVFGGNDFGASQQSGRSDQGAKEIRMLVADHPQDGETKAIAHAGEFPGEDFSFAGKGEGEEDGREKEGPTGLNKLGSSSTAELHPKAAGAPESGANKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLICFRWHVAMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >Manes.14G047340.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4147894:4149540:-1 gene:Manes.14G047340.v8.1 transcript:Manes.14G047340.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGSKFKYSSEPIPARLRPLLLRKFEKMRRWRHGVSLEDNDSVTCSKKELLKDGFFDTDNSSHPHNSDHKSSSSQEDHGLNVAPAPESDETALKNKMDPNKAAETAPLQPMSLAKDVSVEALLPKESTNESKKSKKEKNIQQDRKDEYIEKFAEIETTEDENWEEEDGRLSRLTEKCDYPGSPSFRFYCIESLSNKDDGSDKDVDDDASDNCLATSESTNNFDAGSVTKTKKKGRKVIRLRRVIPKGRSSAVMTLLNVKSCYTPTCIRRDNAYILAEKAVA >Manes.05G148600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25158277:25162028:1 gene:Manes.05G148600.v8.1 transcript:Manes.05G148600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDEKDITLRGLEAVKKCEKVYMEAYTSLLSFGLSTDGLSTLENLYGKPITFADREMVEEKADDILSSARTSDVAFLVVGDPFGATTHTDLVVRAKELGIDFKVVHNASVMNAVGICGLQLYRYGETVSIPFFTDTWRPDSFYEKIKRNRELGLHTLCLLDIRVKEPSWESLSRGRKKYEPPRYMTINTAIEQLLEIEERRGESAYNEDTRCVGFARLGSEDQMIVTGTMKQLLAVDFGAPLHCLVIVGKTHPLEEEMLDVYKLEGGSPHQKDDGSV >Manes.16G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33072387:33075122:1 gene:Manes.16G129500.v8.1 transcript:Manes.16G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEGKRESCALYSNASVCDPPSFSKLLKRVLSNNSSAFRSQISPQENVVSKKPIVDGAKLELQAECANDSNNVSPLAPPATTMQHSTPAIPTSGLILDQQKAEHNASLSHIVTETPASDGYSWRKYGQKQVKSSNSSRSYYRCARSNCHAKKKVQRCDHSRRIIDIIYIGHHNHDISQSKCNVSSGSVPSANIASGHHIVDSIQIVAGADVSICWEDIRQSSVHIADSEQQSSSSSSGDIRIKVEKQNGNELDTKKFSVSSGAEQQKNSSCVIADAEVQEKHGAEPRLKKRSAYSAPLLEANKETKIVVHAAADGGISSDGYRWRKYGQKMVKGNSYLRSYYRCTSAGCPARKHVERATDDATTTTLAYEGKHDHDVPVPKKQKGSESLGRISSNATVNGPQCQKTKSLSSERISAKCSVDREGDLMDEKVLELGGEKALESAQTLLSIGFELRPC >Manes.14G004800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1595582:1598365:1 gene:Manes.14G004800.v8.1 transcript:Manes.14G004800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLILCHSGISLSFSYWKKGATTRNESCYFCLGKHIIKSMEGSCGSSYRSSGQKASELETLQKQHEEKTRKIQELRSQIESVKLHLKKKRKKEVSEEKKESFKNLSVKYNSLRDEYNALLSENSRE >Manes.11G055428.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7618600:7624675:1 gene:Manes.11G055428.v8.1 transcript:Manes.11G055428.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSFPVQELKNLKNLTFLDISYNRFNGTLSFKELSNLKNLKTLDVSYNQFNSSLFAAGLCVLKSLVELHLQGNRLSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSTLEVFILSPGSSRLEVETENPTWFPAFQLKDIQLSNCNLNVRTRAIPSFLRYQNDIRFIDLSHNKLVGTFPTWILQNNSNLGVINLRNNSFTGTFQLPNFKHGLVELDISRNNLTGMLPKEFGLVLPRLEYINMSRNNFGGNVPSSISETPTLSTLDLSYNNFSGELPRSLFANCTMDCALILSNNNFQGNVFPQDMDLRSIRVLDMKNNNFSAMVGADLLNSGSLSSLSFFDISNNKVSGLIPRQVCNLTNLVLLDLSKNRLYGSMPSCFNSSSLLFLFLQKNNLSGPIPHELLRSPNLGALDLKDNNFSGNIPSWIGQFSELHVLSLGGNALQGRIPNQLCELRNANIMDLSSNLLFGSVPACFSNISFGNNILFWMMEVFDITAIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGRVPNGGQFGTFDENNYRGNPGLCGERIHKSCKSDEAPQTPPPSADVEEEDEGGIDMVWFYWSFSGAYVTILLVLAAILRINRHWRMLWFYYVDVCIYSISIWVCQN >Manes.14G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8502236:8503885:-1 gene:Manes.14G102500.v8.1 transcript:Manes.14G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDDCTIRPCLQWIKSPDSQANATLFLAKFYGRAGLINLVEAGPSHLRPAVFRSLLYEACGRIVNPVYGSVGLLWSGNWIHCQAAVDAVLRGTPIMQMPSAPDAPPPHLIDPLKTYDIRHVSKDPNSLELSKVKNRTRFKRPVTNSSSPSESMCRVNHGELSFELHRDPWLSQVANGDSSRDDESMFSLETVEDVNRGEPNWALKFNGLSDDRDEVDLDLTLGSVPVK >Manes.05G172701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28755643:28756468:1 gene:Manes.05G172701.v8.1 transcript:Manes.05G172701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFHTTKSKRASVTLSEHQLREIFMQFDEDHDNVLSEEEVKKAFTYLGSRIPDFRTLRGFNHADVNGNGKIEFGDELDKLVKYAFKLGYTVM >Manes.12G057904.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5527446:5532735:-1 gene:Manes.12G057904.v8.1 transcript:Manes.12G057904.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLFLSEPNWKEDGVRGDSVVAKQWISLLNQLESLIWSLMTAGGRAEARLWLCSTISGITSLTSRQQRDLFVNLLRTRPTNHSLASQLLQMIFEKQPRKAGPIIAKRSYMLEKFFAGNPKLIMQWFSNFANGGGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQRTVENFLDNVPEFWSSTEFAESLRDGDILFLDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHTYLSMNMEPVDFGNSSCWLEFALSRFNDCESFDQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQICTISSTGNSLDPLLNECFKMKTTEAIKFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSDKYAMLHHVGLSEESDYELDNVASVKRKRRKKKKSRKKKRNFDDEEFYENELLDLDTSNNQLGLQSKAGSWLLSTDGFSASWTNVDLPDHLSKFCFSTWMKWVFAK >Manes.17G040466.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23224491:23226177:1 gene:Manes.17G040466.v8.1 transcript:Manes.17G040466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKCRSPKTCPFINPFAGLTTAITQFAPLFLSLRTVGLMGCKCCSLISWPLPNPFPSLTMPTPFAPPLLSLKTAGLTGCCLPSLTTPTPFAPRFLSLRTAGLMGCNCCSLISWPFRNPFPSLTMPTPFAPPLLSLKTAGLTGCCLPSLTTPTPFAPRFLLLKTVGLIDCTCCLPIKRSFPNPFPGLAIPTPFAPPFLWVKMMVLTGCRGKGPDR >Manes.13G126800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33418040:33419971:-1 gene:Manes.13G126800.v8.1 transcript:Manes.13G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWQRNSLLYMQISSKPFSLINLLQLCSNSRSLNQGQQVHQQITVSGSHYDSFVVTKLIQMYADCDDLTSAYKLFDKLSQPNVFTWTAIVGFYLRQGMYEKCIRNYGLMKFSGVFPDNYVFPKVLKACAYLLWLDGGTWIHKDVIVCGCEFNLQVCNSLIDMYAKCGSIKSARWVFDGMGERDLLSWNSMISGYVYNGLLDSAVELLNFLRLNGFEPDVVTWNTLIDAYCRMGLFDEAWKAFKNIEHPTIISWTTLISGYSKIGEHEKSLRIFREIVSERMVSPDIDCLSRVLVSCRHLGAIRRGKELHGFGIKMETTSVFYSSAGATLLVMYAKCRRIQDARNVFELMDKSDVVTWNAMILGFVELKLGQLALECFIEMQRLGLMVDEATISALLPVCGLKHGNQIHAYILKDNYLNSVVPIWNAVIHMYCKCGSIRSAYSVFSGMAIRDIVSWNTMIGGFGMHGLGLAALELLREMNRTSLRPNSMTFTSLLSACSHSGLVDEGLKLFQKMTEDYALTPRMEHNSCIVDMLARAGQFADAVTFIHKMPVEPDKSIWGALLAGCGAHQNIEVGKLAAENLIRLEPEQAGHYVTLSNIYATAGRWDDAARVRTQMESRGLVYPLGQSWIETGNRENVNHNFE >Manes.04G087050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28842825:28846836:-1 gene:Manes.04G087050.v8.1 transcript:Manes.04G087050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQSQSSFLSQSPKYCPSHCFPNTATATTVSFPALTLHSPLCLHIHPKFASTMTSNSKLSDHSDTLQSLISETDLDRFADVANELADASGEVIRKYFRKKFEILDKEDLSPVTIADKAAEESMVSIILENFPSHAVYGEENGWRCKKKISDYVWVLDPIDGTKSFITGKPLFGTLIALLHRGKPIVGVINQPILRERWIGISGRRTTLNGEELSTRSCAKLSQAYLYTTSPHLFSGDAEEAFARVRSKVKVPLYGCDCYAYALLASGYVDLVIESGLKPYDFLSLVPVIEGAGGIITDWKGHDLHWDASPDSRATSFNVVAAGDKQIHQQVLDSLQWN >Manes.07G005701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:774696:776019:1 gene:Manes.07G005701.v8.1 transcript:Manes.07G005701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLRSSLPLLNRITISDFLSSPRSAVQGSVLCPAFTFESSKNYATASGQKEEKVKVPLALFGGSGNYASALYLAAKKANALDKIESEILDFVEASKKSPILILVRCLAVP >Manes.15G171800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15389152:15392246:1 gene:Manes.15G171800.v8.1 transcript:Manes.15G171800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQASRIFSRSINQQPKMMGRAFSTDVPATPTFDSTFVESWKKVVPNMEPPKTPSAFMTPRPSTPSSIPSKLTVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVPTIAELKPGVLSVHEGNNVTKYFVSSGFTFVHANSVADIIAVEALPIDQIDPSLVQKGLSEFTQKLSSATTDLEKAEAQIGVDVHSALNSALTG >Manes.15G171800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15389165:15392246:1 gene:Manes.15G171800.v8.1 transcript:Manes.15G171800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQASRIFSRSINQQPKMMGRAFSTDVPATPTFDSTFVESWKKVVPNMEPPKTPSAFMTPRPSTPSSIPSKLTVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVPTIAELKPGVLSVHEGNNVTKYFVSSGFTFVHANSVADIIAVEALPIDQIDPSLVQKGLSEFTQKLSSATTDLEKAEAQIGVDVHSALNSALTG >Manes.15G171800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15389165:15392246:1 gene:Manes.15G171800.v8.1 transcript:Manes.15G171800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQASRIFSRSINQQPKMMGRAFSTDVPATPTFDSTFVESWKKVVPNMEPPKTPSAFMTPRPSTPSSIPSKLTVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVPTIAELKPGVLSVHEGNNVTKYFVSSGFTFVHANSVADIIAVEALPIDQIDPSLVQKGLSEFTQKLSSATTDLEKAEAQIGVDVHSALNSALTG >Manes.15G171800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15389165:15392246:1 gene:Manes.15G171800.v8.1 transcript:Manes.15G171800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQASRIFSRSINQQPKMMGRAFSTDVPATPTFDSTFVESWKKVVPNMEPPKTPSAFMTPRPSTPSSIPSKLTVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVPTIAELKPGVLSVHEGNNVTKYFVSSGFTFVHANSVADIIAVEALPIDQIDPSLVQKGLSEFTQKLSSATTDLEKAEAQIGVDVHSALNSALTG >Manes.02G034800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2879400:2880966:1 gene:Manes.02G034800.v8.1 transcript:Manes.02G034800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSRLVFVLVATLILQFSTSISSSSAARSVPSNANTQYVRTSCSNTSYPRLCYRSLSIYASKIKTNPKLLAHTALNITLKATKSTSRLMKRMSRIHGLKRREAAALADCVELVGDAVYELQRSIAEMRHVRGSNFYQVLADVQTWVSAVLTDDDTCMEGFSGNPMNRSVKILARRHIVKISHLASNALALVNNYAASHTNLQ >Manes.05G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3643561:3659784:-1 gene:Manes.05G044300.v8.1 transcript:Manes.05G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEADSRLSQLLAPALEKIIKNASWRKHSKLAHECKSVLERITSPQKKPSAVDSEPESSIPGPLHDGGPVEYSLAESESILSPLINACGTGFLKIVDPAVDCIQKLIAHGYLRGEADPSGGTEAQLLSKLIESVCKCYDIGDDAIELSVLKTLLSAVTSISLRIHGDCLLLIVRTCYDIYLGSKIVVNQTTAKASLIQMLVIVFWRMEADSSTVPIQPIVVAELMEPVEKSDADGSMTMFVQGFITKIMQDIDGVLNSGPPSKVSLGAHDGAFETTTVETTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELGDGEVERDEGLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASSLMVVFQLSCSIFISLVSRFRSGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGTTTTLLPPQEVTMKLEAMKCLVAILKSMGDWMNKQLRIPDLHSINEFDAAENTPESVTPSMANGDESVEGSDSHSEASPEASDVSTIEQRRAYKLELQEGISIFNRKPKKGVEFLINANKVGNSPEEIAAFLKNASGLNKTLIGDYLGEREELSLKVMHAYVDSFDFQGMEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFISADTGYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDNGKDLPEEYLRSLFERISRNEIKMKEDDLALQQKQYMNSNRILGLDSILNIVIRKRGEDKMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVVIALCLEGFHYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVAIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNESDKAKQTKSTVLPVLKKKGPGRMQYAAAAVMRGSYDSAGIGGNASGAVTSEQMNNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVNIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIMEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCATKLAEGDLGARNKEKETPGKPFPSSAQAGKVREHENGEITEKEDHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFDTLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPTGGDSPGQEIDSDAGELDQDAWLYETCTLALQLVVDLFVRFYNTVNPLLRKVLMLLVSFIRRPHQSLAGIGIAAFVRLMSNAGDLFSQEKWLEVVLSLKEAANATLPDFSYLVTGDSMVRTTKALNRQNNGESAGSSMPDDDQERLMTRRLYASISDAKCRAAVQLLLIQAVMEIYSMYRRHLSAKNTLVLFDALHDVASHAHKINTNTVLRSRLQEFGSITQMQDPPLLRLENESYQICLTFLQNLTLDRPPSFDEAEVEAYLVNLCQEVIQFYIETSCSGQTSQSQLSSTAQPQWLIPIGSGKRRELAARAPLIVATLHAICRLGHASFEKNLSYFFPLLSSLISCEHGSNEVQVALSDMLSASVGPVLLRSC >Manes.08G103711.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34296294:34297447:-1 gene:Manes.08G103711.v8.1 transcript:Manes.08G103711.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKSSSFIQPTIPIFDGHYDHCVENVVHVAVEEDLTATQKKTPEDQKLKDLKVKNFLFQALNRSILEMILKKDTTKDIWDSLKQKYQGTTRTVNEYIARTLSIANKMKANVEDKGDDTSTLNIDEQESSLLHALKTSHGYEYGGRGRGNGRYTWFLDSGCNNHMCGKKEYFFDLDENFRNSMKLGNNLSLIIKSKGNIRLIIRGLMQTITNVFYVYELKNNLLSIRQLQEKGLAIIFQRNKCKVYH >Manes.05G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3278561:3281031:1 gene:Manes.05G040500.v8.1 transcript:Manes.05G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRDKSRQDKTEMKNEEQDRSLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQSFVYIFLIYLQGFTTKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPAHEYISAILLVVGLILFTLADAKTSPNFSIIGVVMISGALIMDSFLGNLQEAIFTMNPETTQMEMLFCSSVVGLPFLIPPMIFTGELFRAWNSCSQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYMIFTKPLTEQHGTGLLLIVMGITLKLLPENKQPSKPKFQKHPSSSSSSSTQDIEAQEENRPLV >Manes.09G049630.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10369751:10373600:-1 gene:Manes.09G049630.v8.1 transcript:Manes.09G049630.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSHQLHFILFPFMAQGHMIPMMDIARLLAHHGMIVTVITTPLNAQRFKPIISRDVESGLVIQFIELQFPGEEAGLPKDCENIDMLPSLGSGNEFFLSTYRLLEPVQRLLEELNPRPSCIISDMCLPYTSQVARKLGVPRLGFNGLCCFSQLCMHCIRSSRILESKKSENEYFVVPGLPDAIELTKDQLPGAMLHDIDKFHEQIAVAEEVTYGVIINSFEELEAAYAQEFKKVKRDKVWFIGPVSLFNKNNSDKVQRGNKSSIEESECFSWLDSQQPTSVIYVCFGSLCNLITSQLIELGSGLEASNRNFIWVLRGGGKSKEIEDWIVEDGFEGRTKGRGLIIRGWAPQVAILQHPAIGGFLTHCGWNSTLEGICAGAPMVTWPLFGDQFFNERLVVDVLKIGVKVGTEATVTWGMEKKVGILVKREAVTRAIERLMEEGEEGEERRKRAKEFSIKARAAMEEDGSSYLNMKLLIQDIMMQQKANVE >Manes.09G041701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7541669:7543986:-1 gene:Manes.09G041701.v8.1 transcript:Manes.09G041701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGKCKLKSVFIQSTSDTTGFCFQSQNLSVSSNTNRKHVKETSVPILNKPPKQMVMQADNVLQKKSEDDFMEVFTLGRKLGEGRFGTVFVSIEKATGKEYACKVIEKRKLITCEDVEGLRREIQIMHHLIANPNVAFIQDAYEDSEAVYIVMEFCRGGVLFDTIKEHGHYTEKEAAKLLRTIVTMVQSFHSLGVMHRDLKPENFLFLNHQEDSPLKIIDFGLSTFFKPGETFRDVVGTPYYVAPEVLKKHYGPEADIWSAGVILYALLSGVPPFWAKTEKKIFEEVLHGDLDLLSEPWPVISESAKDLARRMLDRNPATRIATGEILCHPWVKANGIAPDKVLSS >Manes.17G096700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30404778:30405020:1 gene:Manes.17G096700.v8.1 transcript:Manes.17G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIVLMWATDLAKLRKKDQTIWSSNSSSTTTSESGKLARSEGVSLTESLAAFVQGMRVKSSGLPYSEAALSMLVDCFSA >Manes.02G028600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2532862:2535367:-1 gene:Manes.02G028600.v8.1 transcript:Manes.02G028600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSVIGYVSSLLFTLAFVASLIFVCKGSKKGSPSKLPPGPAALPILGNLLDLGDQPHKSLAKLAKLHGPLISLKLGRVTAVVISSAPLAKEVLQTLDLTFADRSFVQAVEAHEHHRVSLAWLPVGAPWRNLRKICNSYIFASQKLDANQDLRHKKIQQLLVNVHESCRVGAAVDIGQMAFNTSLNVLSTIIFSLDLTDSSLDIVRELKEVSRCIMDELGKQNLADYFPMLRKFDLQGIMCRTSNYFARILDLFDRIIDRRLQLRRKQGYIPNNDLLDTLLTLMNEHNEEEMDRNCMKHLFLDLIVAGNDTTSSTLEWAMTELLRNPKSLLKAREELEQTIGRDSFVQESDIARLPYLKAIIKETFRLHPAVPLLLPRKAGADVEICGFTVPKGAKVFVNVWAIDRDPSLWENPEYFMPERFLGSDMDVRGRDFELIPFGAGRRICPGLPLAMRMLHLMLGSLIYSFDWKLEEGITPESMDMEDRFGLTLQKAQPLRVIPMQL >Manes.01G055200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23110542:23111250:1 gene:Manes.01G055200.v8.1 transcript:Manes.01G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTCTLVASVLAASTLALSSSSAVDRDVAFYPSSNEGSTSVMGKHGSTNACQTSDKGKFAPRFDGLRFIETLVTAHR >Manes.14G055632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4762670:4763515:1 gene:Manes.14G055632.v8.1 transcript:Manes.14G055632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGPPLPKFGEWDVNDPASAEGYTVIFNKARDEKKTKNDAPTKITSPRNDAGRKDDDSHQNPPKVHVLNEVKSSCY >Manes.17G090800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29898871:29902876:-1 gene:Manes.17G090800.v8.1 transcript:Manes.17G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPSAILLLALLVSFSVTHVKSDASDHRYKDGDSVPLYANKVGPFHNPSETYRYFDLPFCVPEHLKEKTEALGEVLNGDRLVSAPYKLNFRDEKDTAVVCRKKLTKEEVAQFRSAVDKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYFLYKHIQFDVLYNKDRVIEVSTRMDPHSLLDLTEDKGVNAEFLYTVKWKETDTPFEKRMEKYSLSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYIHGDVFRYPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSLYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIIYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPILPWYRSALPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLVVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLLFVRHIYRSIKCE >Manes.09G126700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32973716:32979048:1 gene:Manes.09G126700.v8.1 transcript:Manes.09G126700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLHITPSSRSRSPILLLTLSFLAISLLFFLFSLSSSQTRIQSPYLNPSLAFKPETSFLASLEHFLAEKAPRSSSTRDDTVIQVTDEEVTELDKEMYRKESERVSGNPYYPLEFPIRVYVYEMPRKFTYDLLWLFRNTYRETDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKSVVRVHRQEEADLFYIPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSGGRDHILPVHHPWSFKSVRRYMKNAIWLLPDMDSTGNWYKPGQVFLEKDLILPYVPNVDLCDARCLSKSESKRTTLLFFRGRLKRNAGGKIRAKLVSELSGADGVIIEEGTAGDGGKSAAQIGMRKSIFCLNPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKIALFVSSSDAMQPGWLLKFLKGIGAAQVREMRQKLGQYSRHFLYSSPAQPLGPEDLVWRMMAGKLVNIKLHTRRSQRVVKESRSICTCDCKRANFTAI >Manes.09G126700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32973712:32979048:1 gene:Manes.09G126700.v8.1 transcript:Manes.09G126700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKLHITPSSRSRSPILLLTLSFLAISLLFFLFSLSSSQTRIQSPYLNPSLAFKPETSFLASLEHFLAEKAPRSSSTRDDTVIQVTDEEVTELDKEMYRKESERVSGNPYYPLEFPIRVYVYEMPRKFTYDLLWLFRNTYRETDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKSVVRVHRQEEADLFYIPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSGGRDHILPVHHPWSFKSVRRYMKNAIWLLPDMDSTGNWYKPGQVFLEKDLILPYVPNVDLCDARCLSKSESKRTTLLFFRGRLKRNAGGKIRAKLVSELSGADGVIIEEGTAGDGGKSAAQIGMRKSIFCLNPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKIALFVSSSDAMQPGWLLKFLKGIGAAQVREMRQKLGQYSRHFLYSSPAQPLGPEDLVWRMMAGKLVNIKLHTRRSQRVVKESRSICTCDCKRANFTGPNIV >Manes.05G187200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30778065:30778849:-1 gene:Manes.05G187200.v8.1 transcript:Manes.05G187200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEESTRPSIGFPLGLALLLVMLLFMAGFFTCCLYWDKLRSLLGVSSEEISHAQKPTPPQEESKRNRAESLPVLMPGDQVPRFIAMACPCKPPLPEKITIKVQKPPTFPLPLYL >Manes.07G112300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31767257:31775300:-1 gene:Manes.07G112300.v8.1 transcript:Manes.07G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGTSVARLAIRRTLSQSGSYTTRTRIIPSQSRYFHTTVFKSKAQTAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPEDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVRTLRSILTRLEQAYCGSIGFEYMHIADHDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYSNDEHRTKNMGVLIHGDGSFAGQGVVYETLHLSALPSYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSSLEIYQKKLLESSQVGEEDILKIQEKVNKILNEEFLASKDYVPKRRDWLSSHWAGFKSPEQISRIRNTGVKPEILKNVGKAITTFPDNFKPHRAVKKVYEQRAQMIETGEGIDWALAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHRGFRKPLVVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEKGIRRLVLCSGKVYYELDEERKKNSAQDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLCTAMKELERGSIEDIKYVGRAPSASTATGFYQVHVKEQTELLQKAMQPEPIKYPF >Manes.07G112300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31767257:31774680:-1 gene:Manes.07G112300.v8.1 transcript:Manes.07G112300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGTSVARLAIRRTLSQSGSYTTRTRIIPSQSRYFHTTVFKSKAQTAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPEDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVRTLRSILTRLEQAYCGSIGFEYMHIADHDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYSNDEHRTKNMGVLIHGDGSFAGQGVVYETLHLSALPSYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSSLEIYQKKLLESSQVGEEDILKIQEKVNKILNEEFLASKDYVPKRRDWLSSHWAGFKSPEQISRIRNTGVKPEILKNVGKAITTFPDNFKPHRAVKKVYEQRAQMIETGEGIDWALAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHRGFRKPLVVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEKGIRRLVLCSGKVYYELDEERKKNSAQDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLCTAMKELERGSIEDIKYVGRAPSASTATGFYQVHVKEQTELLQKAMQPEPIKYPF >Manes.07G112300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31767257:31775300:-1 gene:Manes.07G112300.v8.1 transcript:Manes.07G112300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGTSVARLAIRRTLSQSGSYTTRTRIIPSQSRYFHTTVFKSKAQTAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPEDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVRTLRSILTRLEQAYCGSIGFEYMHIADHDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYSNDEHRTKNMGVLIHGDGSFAGQGVVYETLHLSALPSYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSSLEIYQKKLLESSQVGEEDILKIQEKVNKILNEEFLASKDYVPKRRDWLSSHWAGFKSPEQISRIRNTGVKPEILKNVGKAITTFPDNFKPHRAVKKVYEQRAQMIETGEGIDWALAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHRGFRKPLVVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEKGIRRLVLCSGKVYYELDEERKKNSAQDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLCTAMKELERGSIEDIKYVGRAPSASTATGFYQVHVKEQTELLQKAMQPEPIKYPF >Manes.07G112300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31767257:31775380:-1 gene:Manes.07G112300.v8.1 transcript:Manes.07G112300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGTSVARLAIRRTLSQSGSYTTRTRIIPSQSRYFHTTVFKSKAQTAPVPRPVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPEDLDPALYGFTEADLDREFFLGVWRMSGFLSENRPVRTLRSILTRLEQAYCGSIGFEYMHIADHDKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYSNDEHRTKNMGVLIHGDGSFAGQGVVYETLHLSALPSYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSSLEIYQKKLLESSQVGEEDILKIQEKVNKILNEEFLASKDYVPKRRDWLSSHWAGFKSPEQISRIRNTGVKPEILKNVGKAITTFPDNFKPHRAVKKVYEQRAQMIETGEGIDWALAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHVIMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQLHRGFRKPLVVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEKGIRRLVLCSGKVYYELDEERKKNSAQDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYIAPRLCTAMKELERGSIEDIKYVGRAPSASTATGFYQVHVKEQTELLQKAMQPEPIKYPF >Manes.02G098500.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7679311:7681243:1 gene:Manes.02G098500.v8.1 transcript:Manes.02G098500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRFLSPHLSRFHKTLLQNTVQSLPKSSVFTCQYTSASQDSSPPATSDKVAALVDEISQLTLLEISDLTEVLRNKLDIKEMPVMAVMMPGMGFSGVKGVAKGGAGAAAKGEEKVEKTVFDVKLEGFDAAAKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKEEAEKIMEKMKGVGAKVTME >Manes.02G098500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7679311:7681243:1 gene:Manes.02G098500.v8.1 transcript:Manes.02G098500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRFLSPHLSRFHKTLLQNTVQSLPKSSVFTCQYTSASQDSSPPATSDKVAALVDEISQLTLLEISDLTEVLRNKLDIKEMPVMAVMMPGMGFSGVKGVAKGGAGAAAKGEEKVEKTVFDVKLEGFDAAAKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKEEAEKIMEKMKGVGAKVTME >Manes.02G098500.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7679311:7681243:1 gene:Manes.02G098500.v8.1 transcript:Manes.02G098500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRFLSPHLSRFHKTLLQNTVQSLPKSSVFTCQYTSASQDSSPPATSDKVAALVDEISQLTLLEISDLTEVLRNKLDIKEMPVMAVMMPGMGFSGVKGVAKGGAGAAAKGEEKVEKTVFDVKLEGFDAAAKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKEEAEKIMEKMKGVGAKVTME >Manes.02G098500.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7679310:7680079:1 gene:Manes.02G098500.v8.1 transcript:Manes.02G098500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRFLSPHLSRFHKTLLQNTVQSLPKSSVFTCQYTSASQDSSPPATSDKVAALVDEISQLTLLEISDLTEVLRNKLDIKEMPVMAVMMPGMGFSGVKGVAKGGAGAAAKGEEKVEKTVFDVKLEGFDAAAKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKEEAEKIMEKMKGVGAKVTME >Manes.16G035700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4401945:4411537:1 gene:Manes.16G035700.v8.1 transcript:Manes.16G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQKGNSSSVDPKEKKSSLDDDVGKEFLSSWKSLSVTGDDTMDFSFDTVPSGKRKTFNFDKLDMDFNLDGDFDKLTSFKIDMPDLDFSSPSKKTAKPKESSKGESSRVNHQGKQDCFTFSFDFNDRLDNFNFDSTLIQGEKKTSMKNLDCKEAGSARSEHLGSKVNLAGNDDAFDDSQTNELHASEKATSSISETSLSGVEKGNSSNESFPSKYGNIGDLVVPQSTRTSQQKIISASVERADQQSCLLQKAMPTEACAQRTTKTLPVQSVHINYKEKQECATLYVDFNESDHFNFDLTKTSMKNPESERVGLERSESQSAKVNLAGGDDAFVDNQTNKLPASDNAISSIIDSTFNVVGAGNSSNGNFPSQHGTIGNVVVQHSTRTSPEKIICASIKEADQQGCFLEKAMPTEAYAQLTTQVLPVQSADGNDSTRDTESDIQTRPLDKKVNIESVAGDNVSDRMITVVGINHGKSQLNLAPPYFNGVESTQSKSEKTDGETQTRNLIGTEPIPDDRDLEATSTSSILQTRPHGFKASEDIQKSASKLLAPTRSDHVINIVTPAKEKELGVVCSKFFKRSKEGETQLGQPPSTTVEGCLLGSKGIAANHPANGKREGFNAITAINQRKLISDSKSFSMEMTKEPTLQGSEKNVKTPCNIREGVYGGSKQNVCKLNSSPRLPDKGVTKDAIVPGTGNNIKDLNNFGATKSTTQTSIKSTAVFSSMGSTWNSKMISVEGLKAEKRTPDLSGLKISRTLGVSKDQSNSVLKREISSFRNSEKNMEVQGLTASKIVHPIVNVERKTLLNASLKRKTFEASNADLLPSNPQKRLSQSPSESRNLKEALEITVEEQGCNHDNFAERKIRSILYNSPTSKSEISQQENTKEQDIPLGMENDRNVRKAEAYAKELEDIGNMLKKKYEEAKELLVRAIVNNNSLLMLNHPMFDEKIRMVQKLAAELMSN >Manes.13G090250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:22396264:22396602:1 gene:Manes.13G090250.v8.1 transcript:Manes.13G090250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYLNALEKLDVQISRELATNLILRSLLDSYNSFIMNYNMHGMDKSITELHGMLKSVKENIYKIKSVLMVQKGISKNGKGKGKVPPKPKDNKAGHKSKGKKKKAPKPKLKQ >Manes.03G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2996100:2998212:1 gene:Manes.03G035500.v8.1 transcript:Manes.03G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVRQSISLNSAAFGEATHGGLPSSSSSSINTPEIQKPAVNDTVNVITIKSEDEEEYTGAIGFGDEFEGVNEDGSSSSSFSVDLPKSMEGLHDTGPPPFLKKTFQMVEDPETDSTVSWSKNGDSFIVWDAHEFSKTLLPKYFKHCNFSSFIRQLNTYGFRKIDPDRWEFANEGFRGGEKHLLKNIKRRSRYNKQQQGKAIGDDSPKSDLEAELENLKNDQDLLRIEILKLRQQRENSDNQLSIVEQHIQVAECKQLQMFIFLNKAAKNRAFIQNLIQKRKQQRELGGSAFKKRRSLSTQIPKSLPDAADASQSVNCRHQAQEQLAAMQTELTEVLREDTETNTMSKLIEQPMSDELYSPIDDQKASLICGTNDRETVYHLMSEKLLDDNAGSENLVEQDLEVNETKLYLELENLIGKP >Manes.04G133200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33379616:33380583:1 gene:Manes.04G133200.v8.1 transcript:Manes.04G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNLPVIAKRIWETVRVIFFMLRKGISKRKLLVDLNMMLKRGNKIATKAIGNLMFHHHDHHRNISFPAPPQEYEFSCSNTPMYSLPFHVNKRRHHNHHHNNFFSCAFHAPPTLDDDVTTMNAVKLALEMLNNNNNEVMVEASPMLPGFGRSPMVRQLRITDSPFPLRDVDDDNGIVDKKAEEFIERFYKELRQQQKK >Manes.02G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3647602:3658071:1 gene:Manes.02G044400.v8.1 transcript:Manes.02G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARTDPDDYEDHRVSRGKSISINKNKDVKLLKRKVNYDNGVVDGFAPDYNQGYRKVSDEDTSNRSSSGSAISNSESCAQFGSADASDLTGPAQSIVWDSMVPSRKRTCVNRPKPSPVEKLTKDLYTIWHEQQSSCFSGSSEEDLLFESETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSINEAYSHSVTLPVHNVNRNANIPSLVIEKSKNHAGPGMQQEQLKRDKSQHEKAQILGNHDSLLCGVDLNDILNFEEFARYLTNEEQQQLLKYLPLVDTAKLPESIRSMFDSPQFKENISSFQQLLGEGVFDLSLSGVKTEDCNTLKKLTLSNLSKSKWMELYHQLKKCRNTTGKSLVGRGPDVIPSNNLITAKRSRDSLGQKVPETKVMKSPKRINMKATYENKEVMDSDGSCFSPRSLFALPPDGGSLMLDTFHYVDESSDQDLLLDVPSNGSFPQAELLRPTSSFGQQASASSSSTYPHLARP >Manes.02G044400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3648793:3658071:1 gene:Manes.02G044400.v8.1 transcript:Manes.02G044400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRKRTCVNRPKPSPVEKLTKDLYTIWHEQQSSCFSGSSEEDLLFESETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSINEAYSHSVTLPVHNVNRNANIPSLVIEKSKNHAGPGMQQEQLKRDKSQHEKAQILGNHDSLLCGVDLNDILNFEEFARYLTNEEQQQLLKYLPLVDTAKLPESIRSMFDSPQFKENISSFQQLLGEGVFDLSLSGVKTEDCNTLKKLTLSNLSKSKWMELYHQLKKCRNTTGKSLVGRGPDVIPSNNLITAKRSRDSLGQKVPETKVMKSPKRINMKATYENKEVMDSDGSCFSPRSLFALPPDGGSLMLDTFHYVDESSDQDLLLDVPSNGSFPQAELLRPTSSFGQQASASSSSTYPHLARP >Manes.13G039900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4709272:4714086:1 gene:Manes.13G039900.v8.1 transcript:Manes.13G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGKCFCWKRIDNSLPPEPHSFSLPAPLPDWPPGQGFASGRINLGEIEVVKVSRFELVWSCNLSQDKKKGVSFYKPVGVPDGFCSLGHYCQFNNRPLRGCLVAREVAIPETEAINFGGSVNSPALKKPLDYTLVWSSYDINKENYDGCGFFWLSQPPEGYKPLGYLVTDNPDKPSLDEVRCVRADLTDDCQAYRPILTCYSKFSASPFQVWSTRPSHRGMLGKGVSVGTFFCSSHWTSGEELSIVCLRNLNPELHSMPNVEQIHVLINHYGPRVFFHPDEVYLPSSVSWFFKNGAQLYKAGDSTGEPIDVGGSNLPVGEMNDEAFWIDMPSDDHRNTVKFGKLESAKLYVHVKPAHGGAFTDIAMWLFFPFNGPATLKVGPVNVALTKIGQHLCDWEHFTLRVCNCTGELWSVYFSQHSGGKWIEAYDLEYMEGNKPIVYSSKSGHASYPHPGTYIQGSAKLGIGIRNDAARSNLYIDSSTHYEIIAAECIGGGNFIEPIWLQYMRKWGPRIVYKSRNQIINRLSMMLRYPVENIFFKLPGELHGEEGPTGPKEKNNWVGDERG >Manes.03G142000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27036474:27037895:1 gene:Manes.03G142000.v8.1 transcript:Manes.03G142000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPEKTDRHIKPGVDPGKPQVINL >Manes.03G142000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27036349:27038619:1 gene:Manes.03G142000.v8.1 transcript:Manes.03G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPEKTDRHIKPGVDPGKPQKKWFCCIQTPAAES >Manes.09G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30701548:30703177:1 gene:Manes.09G105800.v8.1 transcript:Manes.09G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRTDGSGKERLRWTQELHDRFERAVNQLGGPDRATPKGILKAMSIPGLTIYHVKSHLQKYRISKFIPESNNKGKFERRNISELLPNFSATSGAQLNEALKMQMEVQRRLSDQLEVQKSLKNKIEAQGRFLERIVQEHRNRTVNIQKHKQSFSPTSLPFLCEYSESNAKDFESDDEGDRSDQIHYNEDDEFQPLKRFRTENDVLPSRYKLEELNSDPYNNQTSELNLYPWSNMSCSSPLVPSFF >Manes.15G067500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5135819:5140825:-1 gene:Manes.15G067500.v8.1 transcript:Manes.15G067500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWFSTGLLNERSISFTPMKCYTRTICNCPNLFSKQTFCPKPVSVYAGSRHSTRNGIRCRTLEVESTPSFSIGKKFQLDDVIEAQQFDREILSAIFEVAREMEKIEKNSPGSQILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAAATANIPIINAGDGPGQHPTQALLDVYTIDREIGKLDSIKVALVGDLANGRTVRSLAYLLAKYEDVKIYFVSPDVVKMKDDIKDYLTSKGVKWEESGDLMEVASKCDVLYQTRIQRERFGERVDLYEEARGKYVVDSDVLNVMQKHAVVMHPLPRLDEITVDVDKDPRAAYFRQAQNGLYIRMALLKLLLVGW >Manes.15G067500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5135819:5140825:-1 gene:Manes.15G067500.v8.1 transcript:Manes.15G067500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWFSTGLLNERSISFTPMKCYTRTICNCPNLFSKQTFCPKPVSVYAGSRHSTRNGIRCRTLEVESTPSFSIGKKFQLDDVIEAQQFDREILSAIFEVAREMEKIEKNSPGSQILKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAAATANIPIINAGDGPGQHPTQKSMQALLDVYTIDREIGKLDSIKVALVGDLANGRTVRSLAYLLAKYEDVKIYFVSPDVVKMKDDIKDYLTSKGVKWEESGDLMEVASKCDVLYQTRIQRERFGERVDLYEEARGKYVVDSDVLNVMQKHAVVMHPLPRLDEITVDVDKDPRAAYFRQAQNGLYIRMALLKLLLVGW >Manes.12G026100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2306884:2310091:1 gene:Manes.12G026100.v8.1 transcript:Manes.12G026100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFGLIESKRKGRKKKPIEQSTLLGHGSELVCLHKILNTETLPLPNLVQTGSFTDCELKMEGMEQWKNEAKQWLQQGIEYAYQIPPTQLYAAAAVLLLTTLFFLIVRLFKRPKSSTIVLSGLSGSGKTVLFYQLQDGSSHQGTVTSMEPNEGTFILHSESSKKGKIKPVHLVDVPGHSRLRPKLDEFLPQAAGIVFVVDALEFLPNLRGVSEYLYDILTKASVVKRKVPVLICCNKADKVTAHTKEFIRKQLEKEIDKLRVSRSGISEADVANDFTLGIPEEAFSFSHCSNKVTIAESSGLTGDISQVEQFIREHVFP >Manes.08G079900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26406512:26422607:1 gene:Manes.08G079900.v8.1 transcript:Manes.08G079900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIMLILTVAMVSCSRHVIAKPRCPNCGSTPVPYPLSTDPGCGDPLYRVSCKAGKLWLDALNESSYLIASTNPLTQRLIVRPPGFTNNTCMSADFRSQGIHLDSNLPFNITSSNTIINMNCSESVIQLYTARNCSSTSRCHDYIRENAIAKASCGITTTCCWFTTGGSANEFSVRIREDRCSAYQSFVNLDLASPVSKWPEPGLEVEWLLPREPVCETAVNCQYLRNSMCLQDPISAGKKRCYCRAGFRWDPINGVCLDRKCQKGGSCHRHKRKTPLIAGSALSAGAMLLGISFTVLVYKNNRRRRGELAKVCLSNVRERIINVSSNGLTCKEITKATNNFSRDNLLGSGGFGEVFKGILYDGTIIAIKRAKAGNTKGIDQIMNEVRILLQVKHRCLVKLLGCCVELEQPLLVFEYISNGTLFDHLHNIFPTKRAPLTWHRRLVIAHQTAEGIAYLHSSAAPPIYHRDIKSSNILLDDDLNAKVSDFGLSRLAVSDTSHISTCAQGTLGHLDPEYYLNFQLTDKSDVYSFRVVLLELLTSKKPLILTD >Manes.05G197500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32178490:32182018:-1 gene:Manes.05G197500.v8.1 transcript:Manes.05G197500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGKQGVMVAKLMPNQNPIEQLQLRFKELENGFKSWLSKQSLPVEAAVVTVTSAAQGAAIGGFMGTLTNDVSSSLPTPPQGSLNPQAMASLQQAQALSGGPLVQARNFAVMTGVNAGISCVLKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGANQAANAITSGLFFALVQGGLFQLGQKFSQPPAEDIYYTRTRTMLNNLGLQNYEKNFKRGLLTDNTLPLLTDSALRDVKIPPGPRLLILDHIQRDPELKERRGIRG >Manes.11G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4941974:4943057:1 gene:Manes.11G046100.v8.1 transcript:Manes.11G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADQLLLLDLQPSPFAARVRIALADKGLKYESKEEDLSNKSPLLLKMNPVHKQIPVLIHNGRPICESMSIVEYIDENWNHKSPLLPSDPYQRAHARFWADYIDKKIYPIGRMLWASKGETKEASTKELIECFKTLEGELGDKAYFGGESFGYVDVALIPFYSVFYAFEKLGNFSMAVESPKLLEWAQRCLQKESVAMSLCEPSKVYEVVLEIRKELGAE >Manes.16G109700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31517932:31519054:1 gene:Manes.16G109700.v8.1 transcript:Manes.16G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSSQSNAFSTCLIDVSFDMDEALTLPPNFGRQVSESHSLVADMPTVISDDVCSVCMEGFQSGVGGKRVPCGHVYHAGCISSWLSNYNSCPLCRSNISGGK >Manes.18G027901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2400747:2402704:-1 gene:Manes.18G027901.v8.1 transcript:Manes.18G027901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAEVQNNSPEIKEPVPKIPKLQQNGVHEVSETPVALFRVKKLSEKAILPSRASPLSAGYDLSSATETRVPARGKALIPTDLSIVIPEGTYARIGPRSGLAWKHSIDVGAGVIDADYRGPVGVILFNHSDMDFDVKVGDRIAQLIIEKIMTPDVVEVEDLDTTLRGEGGFGSTGI >Manes.02G025745.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2269851:2272777:-1 gene:Manes.02G025745.v8.1 transcript:Manes.02G025745.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALCFGALIIVSFTHWIYRWRNPRCNGKLPPGSMGLPLLGETIQFFAPNTSSDIPPFVKERMKRYGPIFRTNLVGRPVVVSTDPDLNYFIFQQEGQLFQSWYPETFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMIPEVQQATAKRLQLWSQEDTVELKEATASMIFDLTAKKLISYDQENSSENLRASFVAFMQGLISFPLEVPGTAYHKCLQGRKRAMRMLKNLLHERRANPRKHQNDFFDFVLEELQKEGSILTEAIALDLMFVLLFASYETTSLAITMAIKFLSDNPLVLKQLTEEHEAILKNREIADSGITWKEYKSMTFTFQFINETVRLANIVPVIFRKVLREVHFKGYTIPAGWAIMVCPPAVHLNREKYEDPLAFNPWRWEGQEITAASKHFMAFGGGMRFCVGTEFTKVQMAVFLHCLVTKYRWQTIKGGNIVRTPGLQFPDGYHVKIMEKETTLISS >Manes.02G025745.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2269850:2272777:-1 gene:Manes.02G025745.v8.1 transcript:Manes.02G025745.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALCFGALIIVSFTHWIYRWRNPRCNGKLPPGSMGLPLLGETIQFFAPNTSSDIPPFVKERMKRYGPIFRTNLVGRPVVVSTDPDLNYFIFQQEGQLFQSWYPETFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMIPEVQQATAKRLQLWSQEDTVELKEATASMIFDLTAKKLISYDQENSSENLRASFVAFMQGLISFPLEVPGTAYHKCLQGRKRAMRMLKNLLHERRANPRKHQNDFFDFVLEELQKEGSILTEAIALDLMFVLLFASYETTSLAITMAIKFLSDNPLVLKQLTEEHEAILKNREIADSGITWKEYKSMTFTFQVGQLFCNQFNIISVAFFDN >Manes.02G025745.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2269851:2272777:-1 gene:Manes.02G025745.v8.1 transcript:Manes.02G025745.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALCFGALIIVSFTHWIYRWRNPRCNGKLPPGSMGLPLLGETIQFFAPNTSSDIPPFVKERMKRYGPIFRTNLVGRPVVVSTDPDLNYFIFQQEGQLFQSWYPETFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMIPEVQQATAKRLQLWSQEDTVELKEATASMIFDLTAKKLISYDQENSSENLRASFVAFMQGLISFPLEVPGTAYHKCLQGRKRAMRMLKNLLHERRANPRKHQNDFFDFVLEELQKEGSILTEAIALDLMFVLLFASYETTSLAITMAIKFLSDNPLVLKQLTEEHEAILKNREIADSGITWKEYKSMTFTFQVGQLFCNQFNIISVAFFDN >Manes.02G025745.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2269851:2272777:-1 gene:Manes.02G025745.v8.1 transcript:Manes.02G025745.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALCFGALIIVSFTHWIYRWRNPRCNGKLPPGSMGLPLLGETIQFFAPNTSSDIPPFVKERMKRYGPIFRTNLVGRPVVVSTDPDLNYFIFQQEGQLFQSWYPETFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMIPEVQQATAKRLQLWSQEDTVELKEATASMIFDLTAKKLISYDQENSSENLRASFVAFMQGLISFPLEVPGTAYHKCLQGRKRAMRMLKNLLHERRANPRKHQNDFFDFVLEELQKEGSILTEAIALDLMFVLLFASYETTSLAITMAIKFLSDNPLVLKQLTEEHEAILKNREIADSGITWKEYKSMTFTFQDIPFRLVGQLWSVPLQYT >Manes.02G176700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13934730:13937822:-1 gene:Manes.02G176700.v8.1 transcript:Manes.02G176700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAIQLNPGIGCIEKERHALLKIKDDLIDDGGLLSSWGTREDKRDCCRWQRITCSPETGHVTELDLNFNDIVNTPLRGKISHSLLELTHLTYLDLSYNDFGGTHFPLNHSSLAKLRYLILSTANLAVTFSSLGNLTGLQLLDLGYNNFHDMRNTEWLFGLSSLRYLDLSGNLLKRPKDWLQIVNKLPHLQSLILSSCFSGDSTAFSLSPINSSSSLTSLDLSYNNLVIPSIYTWLSNVSQNIIELELSSNHLLGLNDLSVLGNMVSLQWLFLDNVTLEGGIPKSFGNMSQLRGLYLMRNNLKVQLPELIKNLSGRAEKSLEALNLFGNEITGTLPDLTRFSSLRLLNLGLNRLNGTVDKSIGRLRQLAILDLSGNSLSGIISEGHLNLSELKHLSLAGNSFVLNVSHDWVPPFHLFIMHLRSCKMGPRFPKWLQSQKNYTQLDISDAGISDTIPKWFWNLSSESYYLNLSQNNLSGMPLIYLDLSNNLFSGDIPDRLTHLQDLVFLNLANNHLSGKIPSSIGLLSKLETLDLGNNSFLGEIPLELKNCTRLRFLDLSRNRLSGKIPTWIGESLSSLQFLNLQCNQLYGNIPLQLCQLSKVQILDLSGNNINGAIPHCLKNLRAMYEGNSTLTMGDHYVMSQQGLVMYPLDSYINTALILWKGKKYTLVKNLGLFRIIDFSRNKIEGEIPREISSLSKLHQLNLSYNNLSGAIPEEIGGLKQLESLDLSHNHLSGRLPPSMADLNFLSALDLSCNNLSGRIPLSTQLQSFNASSFSVNPELCGLPLPQKCPGDNIQESQEHKHGGPYNQEDEDEFRKWFYIGIWLGFSVGFWVVAGSLLLKRSTPRSWRHAYFQALDKLGDWVYVRKTVFMRRLQQKFHS >Manes.09G159732.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35504798:35512547:1 gene:Manes.09G159732.v8.1 transcript:Manes.09G159732.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSFVQWVGEENDGQRENASLAELKLLTNLINVDIHIVDEKILPRDLFFEKLERFKIVIGDIWDWSCRDETSRMLKLKLNTSFQLESMKPLLMRTEDLYLDDLKGVKNVLCELNGQGFPELKHLRIQNSPEIQYIIDSIRLGPQVFFPILESLFLDHLINLEKICYGAIEAKSFSSLRKLNVKHCDSLKHLFSFSVATGLARLEELNVSHCKLMEGIAAEETGDDSGNAVVIELTQLRTLRLEYLPKFTSFISQFQVPFSAGSEEIASVDHEPETIVPIFNRKITFPNLAELKLSSLSLEKIWCSQLIQLSPCLTILEVDGCDNLSCVFPSSLVESLAQLKRLEISNCKSMEEIIATQKLGEETMIKIPFPKLHLLKLSGLPKLTSFFCGNLIEFPSLEVLMIVYCSQLRTFVSSPESTHVASSSESGQADSILFDEKVAFPNLEKLLIRFLPKLKMIWHNHIQANSFCKLELLEVVVCEELLQIFPSNILRGFQNLEHLFVVNCGSLEVVFDLQMSGNVKEKHIAAAATKLRILKLMSLPTMKHVWNEDPRKNLLFANLSSVEVSRCPCLNSLFPSSVARNLPRLEELSVTDCGLEEIVSKEEGLEATPKFVFHQLKSLNLWRLQKLKSFYPGIHALECPILKCLGVGHCDNFKIFASQFQELQETQVEIQLQSQVQPLRKVISNLEELELSSKEITMIWQGQFADLFHKVKVLGLYCFHDASIDFPFYLLEKFPNMEQLNVVCSHFKELFPDGVVGEMHAMALVQLRYLRLDDFPNMKHIWHPESRQVQVLENLEDLEIWNCNSLVTLAPHSVSFQNLTTLDVWRCEKLENIITSSIAKGMANLTKMSVRECSKVTEILVSGGDEDHQSEVIFSKLKIIRLHSLESLTSFCSMASCTIKFPSLKEVDMVHCPEMSVFAQGVVSAPRLPTAIPLGERGRMIWKKDINNTINHLHKERNARLSGQE >Manes.09G159732.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35505907:35512547:1 gene:Manes.09G159732.v8.1 transcript:Manes.09G159732.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSFVQWVGEENDGQRENASLAELKLLTNLINVDIHIVDEKILPRDLFFEKLERFKIVIGDIWDWSCRDETSRMLKLKLNTSFQLESMKPLLMRTEDLYLDDLKGVKNVLCELNGQGFPELKHLRIQNSPEIQYIIDSIRLGPQVFFPILESLFLDHLINLEKICYGAIEAKSFSSLRKLNVKHCDSLKHLFSFSVATGLARLEELNVSHCKLMEGIAAEETGDDSGNAVVIELTQLRTLRLEYLPKFTSFISQFQVPFSAGSEEIASVDHEPETIVPIFNRKITFPNLAELKLSSLSLEKIWCSQLIQLSPCLTILEVDGCDNLSCVFPSSLVESLAQLKRLEISNCKSMEEIIATQKLGEETMIKIPFPKLHLLKLSGLPKLTSFFCGNLIEFPSLEVLMIVYCSQLRTFVSSPESTHVASSSESGQADSILFDEKVAFPNLEKLLIRFLPKLKMIWHNHIQANSFCKLELLEVVVCEELLQIFPSNILRGFQNLEHLFVVNCGSLEVVFDLQMSGNVKEKHIAAAATKLRILKLMSLPTMKHVWNEDPRKNLLFANLSSVEVSRCPCLNSLFPSSVARNLPRLEELSVTDCGLEEIVSKEEGLEATPKFVFHQLKSLNLWRLQKLKSFYPGIHALECPILKCLGVGHCDNFKIFASQFQELQETQVEIQLQSQVQPLRKVISNLEELELSSKEITMIWQGQFADLFHKVKVLGLYCFHDASIDFPFYLLEKFPNMEQLNVVCSHFKELFPDGVVGEMHAMALVQLRYLRLDDFPNMKHIWHPESRQVQVLENLEDLEIWNCNSLVTLAPHSVSFQNLTTLDVWRCEKLENIITSSIAKGMANLTKMSVRECSKVTEILVSGGDEDHQSEVIFSKLKIIRLHSLESLTSFCSMASCTIKFPSLKEVDMVHCPEMSVFAQGVVSAPRLPTAIPLGERGRMIWKKDINNTINHLHKERNARLSGQE >Manes.15G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2152298:2154809:-1 gene:Manes.15G027200.v8.1 transcript:Manes.15G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKRAVVLMLAMALFRMIDAAVYKVGDSAGWTTIGNVDYKQWAATKTFQVGDTIVFKYSQFHNVMRVTHAMYKACNASAPLATYTTGNDSITLKTRGHHFFFCGVPGHCQAGQKVDINVPRNEEDMAPTPASSSSPPSTLSPPVPAARVPGPSPSSAILFKPFGKLALTVAVLGTFVFNFA >Manes.02G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2065707:2069196:1 gene:Manes.02G023300.v8.1 transcript:Manes.02G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSNHISTGKYAADISSIRDAQVRIKSFIHRTPVLTSESLNAMSGRKLFFKCECFQKGGAFKFRGACNAIFSLDDDQAAKGVVTHSSGNHAAALALAAQLRGIPAYIVVPKNAPKCKMENVKRYGGQVILSEPTVQSRESVATKVLQETGGALVHPYNDGRMISGHGTIVLEILEQAPQIDTIIVPISGGGLISGVALAAKAINPCIRVLAAEPRGANDASLSKAAGEIVILPETNTIADGLRAFLGSLTWPVVRDFVDDVIIVEDKEIVEAMKLCFEILKVTIEPSGAIGLAAVLSDSFQKNPKWKDCCHIAIILSGGNVDLGVLWDSFRR >Manes.11G134200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29915128:29919315:-1 gene:Manes.11G134200.v8.1 transcript:Manes.11G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRERNTELLQVPRKFKDRDITPERTKVWVEPKPKNPTEKKVPVVYYLSRNGHLEQPHFMEVPLSSPEGLYLRDVINRLNILRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPAHGQEYVLKGSELLDPSINTNRPLLLETTSSSRSLKPPEIHKSSSSEDSDFPVITRRRNQSWSSIDLNEYKVYKTEPFSESTRKLAADASTQTDDKRRRRRAAKQEEEIEELQEEKSPEPEANREEIEISPPPSDSSPETLESLMKANGRLILSNGNRNEESLNRTVDNCGRMKASSVLMQLISCGSISFRDCGATAVKEQGFSLVGNYKGRLPRGGGNREGTSKDFTNCGGVRLEDKEYFSGSLIETKREEVYALKRSNSYNADRSSQLQLAGKEEDRGHTKCIPLKPKATPTKKEINISVTGESNVNNQLGSKRFEIQQVD >Manes.11G134200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29915128:29919315:-1 gene:Manes.11G134200.v8.1 transcript:Manes.11G134200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRERNTELLQVPRKFKDRDITPERTKVWVEPKPKNPTEKKVPVVYYLSRNGHLEQPHFMEVPLSSPEGLYLRDVINRLNILRGKGMASLYSWSSKRSYKNGFVWHDLAENDFIYPAHGQEYVLKGSELLDPSINTNRPLLLETTSSSRSLKPPEIHKSSSSEDSDFPVITRRRNQSWSSIDLNEYKVYKTEPFSESTRKLAADASTQTDDKRRRRRAAKQEEEIEELQEEKSPEPEANREEIEISPPPSDSSPETLESLMKANGRLILSNGNRNEESLNRTVDNCGRMKASSVLMQLISCGSISFRDCGATAVKEQGFSLVGNYKGRLPRGGGNREGTSKDFTNCGGVRLEDKEYFSGSLIETKREEVYALKRSNSYNADRYELAVAIGWKGRRQRTHQVHTIEAKGNSNEERDQH >Manes.12G152400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36055433:36060353:1 gene:Manes.12G152400.v8.1 transcript:Manes.12G152400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCQKCGDKGDVKRLVYCVKCRVSSEHSYCIERKGDENGIWTCEECSSRKAKPSPNPSIKSARISQAVEIRLNRIKMRKQASFTAVKAEACADAERLINAKKPTSDSQKEKIERLPTSSHNVGNGKFKKLKRRLVLEDSSSEEESDSFERAEVDSCQLDPAINAHSSNISREIPHSEASIYVHAQPIIDPIWRGTLRIQNKENSISFGLMAHLSSKACMQVVEAAKALPMRLNVAIVSRSEAWPQKFQIESPTDGSIGLYFFPQHERDEKLFDDLMDNLIIHDQALKTAINNVELLIFSSRELPPELWRFCKKYYLWGLFKPNSQKCLQKQSTFSQYKGSDPVK >Manes.12G152400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36055458:36060330:1 gene:Manes.12G152400.v8.1 transcript:Manes.12G152400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCQKCGDKGDVKRLVYCVKCRVSSEHSYCIERKGDENGIWTCEECSSRKAKPSPNPSIKSARISQAVEIRLNRIKMRKQASFTAVKAEACADAERLINAKKPTSDSQKEKIERLPTSSHNVGNGKFKKLKRRLVLEDSSSEEESDSFERAEVDSCQLDPAINAHSSNISREIPHSEASIYVHAQPIIDPIWRGTLRIQNKENSISFGLMAHLSSKACMQVVEAAKALPMRLNVAIVSRSEAWPQKFQIESPTDGSIGLYFFPQHERLSHM >Manes.12G152400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36057627:36060263:1 gene:Manes.12G152400.v8.1 transcript:Manes.12G152400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCQKCGDKGDVKRLVYCVKCRVSSEHSYCIERKGDENGIWTCEECSSRKAKPSPNPSIKSARISQAVEIRLNRIKMRKQASFTAVKAEACADAERLINAKKPTSDSQKEKIERLPTSSHNVGNGKFKKLKRRLVLEDSSSEEESDSFERAEVDSCQLDPAINAHSSNISREIPHSEASIYVHAQPIIDPIWRGTLRIQNKENSISFGLMAHLSSKACMQVVEAAKALPMRLNVAIVSRSEAWPQKFQIESPTDGSIGLYFFPQHERDEKLFDDLMDNLIIHDQALKTAINNVELLIFSSRELPPELWRFCKKYYLWGLFKPNSQKCLQKQSTFSQYKGSDPVK >Manes.17G105800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31284898:31286395:1 gene:Manes.17G105800.v8.1 transcript:Manes.17G105800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRTFWNSPIGPKTTHFWGPVFNWSLPIAAFVDTKKPPEMISGNMTAVMCVYSAMFMRFAWMVQPRNLHLLVCHVSNETVQLYQLSRWIKARRCLLQEKEEEAKGQ >Manes.17G105800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31284898:31286395:1 gene:Manes.17G105800.v8.1 transcript:Manes.17G105800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRTFWNSPIGPKTTHFWGPVFNWSLPIAAFVDTKKPPEMISGNMTAVMCVYSAMFMRFAWMVQPRNLHLLVCHVSNETVQLYQLSRWIKARRCLLQEKEEEAKGQ >Manes.17G105800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31284898:31286596:1 gene:Manes.17G105800.v8.1 transcript:Manes.17G105800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRTFWNSPIGPKTTHFWGPVFNWSLPIAAFVDTKKPPEMISGNMTAVMCVYSAMFMRFAWMVQPRNLHLLVCHVSNETVQLYQLSRWIKARRCLLQEKEEEAKGQ >Manes.17G105800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31284898:31286596:1 gene:Manes.17G105800.v8.1 transcript:Manes.17G105800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFRTFWNSPIGPKTTHFWGPVFNWSLPIAAFVDTKKPPEMISGNMTAVMCVYSAMFMRFAWMVQPRNLHLLVCHVSNETVQLYQLSRWIKARRCLLQEKEEEAKGQ >Manes.18G053500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516919:4525736:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEQKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516850:4525804:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516861:4525736:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEQKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4517073:4525736:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEQKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516810:4525805:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516810:4525805:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEQKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516851:4525803:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEQKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4517073:4525736:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516919:4525736:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516850:4525804:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEQKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.18G053500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4517073:4525736:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEQKTLENFRNIILISSPQNRIMPSCFLGLLEKRQNIPGDAK >Manes.18G053500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4516851:4525803:1 gene:Manes.18G053500.v8.1 transcript:Manes.18G053500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDTVQQIAIYIHRFHNLDLFQQGFYQIKVSMRWENDDYTSLATPSRVVQYEANDLSSGNINGAWRIDDTDNSFFTQPFRIKYARQDICLSVMVLFNLSLSKYKVPSTSAVILKFELMQAPITENIIELLAYLDASSVAVHEFRIPPKALFGLHSYCPIHFDMFHAVLVEASVHVCLLKASHAQASRVPCHPEDVAGESVDRSNQASDPVNLVGMNQIMLVKSLLVARDTLLEELQRLSKAVDRVIDLTDFMSKMNEMNMIDSILKAELGTANGETVAGQGNLQHGLEKENGTLDLQSDRLPYFLPREAVANIFQLLGAQLSYLWNTFLQFHRATNTEILEFLHNVWAKDRRAEWSIWMVYSKVDMPHHYISSGNSDSSHHIDEPVQIAATRAELHRQSIAQMRINTRSIQDMHIFGDPQRIPIIFVERVINSPRRTLSENSYFRNCDLIDSLGLLAAPRTESLKKLPSSSTSRRKARDLKIGVFVHGFQGHHLDLRLIRNQWLLIEPKTEILMSEANEDKTSGDFREMGLRLAEEVISFLQRKLGKYSRSGGPRDIKLSFVGHSIGNVIIRSALAESIMEPFRRHLYTYVSVSGPQLGYLYSSNSLFNSGMWFMKKFKGTHCIHQLTFTDDSDLQNTFFYKLCEKTLENFRNIILISSPQDGYVPYHSARIELCRAASLDYSKKGRIFLEMLNNCLDQIRAPTSQQRVFMRCDVNFDTSTYGRNLNAFIGRTAHIEFLESDIFARFIMWSFQEFFR >Manes.04G075650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27472349:27484271:1 gene:Manes.04G075650.v8.1 transcript:Manes.04G075650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLRLLMTLLSSLCLLLTLIILMGSLILLFLLQQTVSSPIASSHLSPKHQPLSNLCFATPPYHQSFVQNIANVPETSTYAQTSLDAKLVAAAQQEQLALKKKIFGCLQKLRNDN >Manes.13G099400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29203495:29209690:1 gene:Manes.13G099400.v8.1 transcript:Manes.13G099400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKRFRSGDKPPEKLGASREYNVDMIPKFMMANGALVRILIHTNVTKYLNFKAVDGSFVYNKGKVHKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYDEKDPKSHEGLDLNKVKARDVISKYGLDDNTVDFIGHALALYLDENYLDEPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLCKPECKVEFDADGKAFGVTSEGETAKCKKVVCDPSYLPNKVTKIGKVARAICIMSHPIPNTHDSHSVQVILPQKQLKRKSDMYLFCCSYSHNVAPKGKYIAFVTTEAETDDPQTELKPGIDLLGPVDEIFFDTYDRYKPTNQYEVDNCFISTSYDATTHFETTVQDVIQMYSKITGKVL >Manes.13G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29203495:29209689:1 gene:Manes.13G099400.v8.1 transcript:Manes.13G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKRFRSGDKPPEKLGASREYNVDMIPKFMMANGALVRILIHTNVTKYLNFKAVDGSFVYNKGKVHKVPANDVEALKSPLMGLFEKRRARKFFIYVQDYDEKDPKSHEGLDLNKVKARDVISKYGLDDNTVDFIGHALALYLDENYLDEPALDFVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLCKPECKVEFDADGKAFGVTSEGETAKCKKVVCDPSYLPNKVTKIGKVARAICIMSHPIPNTHDSHSVQVILPQKQLKRKSDMYLFCCSYSHNVAPKGKYIAFVTTEAETDDPQTELKPGIDLLGPVDEIFFDTYDRYKPTNQYEVDNCFISTSYDATTHFETTVQDVIQMYSKITGKTLDLSVDLSAASATPEE >Manes.12G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33729491:33732352:1 gene:Manes.12G129800.v8.1 transcript:Manes.12G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGSSDSLGALMSICPTTDEHSPRNGNHVYGREFQSMLDSLDEEACVEESGHVSEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYEALKANFESLQHENEALLKEIRELKAKLNEENTESNVSVKEEILLAESDDKASEEPALLASEIKDLNYESFNSKNNGVQGASLFPDFKDGSSDSDSSAILNEDNSPNPAISSSGILQNHQLMMSPPPPSSSSSSFQFTKANPYVTQFVKMEEHNFFSSEEACNFFSDEQAPTLQWYCPDQWN >Manes.02G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6235216:6240217:1 gene:Manes.02G080000.v8.1 transcript:Manes.02G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHNDSPYIRAVGFLYLRYAADPKTLWNWFEPYIKDDEEFSPGSNGRKCTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQIVSNLEKVKLPTKPSGATGDSVRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPSYDRISDDPRRSPSSRRSQSREYSDKEYSDRDRDRDRDLDREKDRDRERDRDRERDRERERDLDRERDRERDRDRERERERDRYRDLDRDRGRDRDRERKYDYDRRSRYSERESRRDYERSSREGSRHHRESSSRRSHSRSRSRSRSRSRSLQTGVASFDRLSSPYKDVSKEKTSASSNLAKLKDLYGDLGDQKGDAALERVPRRDNGGEEVIRLGGSTWK >Manes.02G080000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6235216:6240217:1 gene:Manes.02G080000.v8.1 transcript:Manes.02G080000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYVRDLLLGQYYFDTLFPRIPVPVLRQIVSNLEKVKLPTKPSGATGDSVRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPSYDRISDDPRRSPSSRRSQSREYSDKEYSDRDRDRDRDLDREKDRDRERDRDRERDRERERDLDRERDRERDRDRERERERDRYRDLDRDRGRDRDRERKYDYDRRSRYSERESRRDYERSSREGSRHHRESSSRRSHSRSRSRSRSRSRSLQTGVASFDRLSSPYKDVSKEKTSASSNLAKLKDLYGDLGDQKGDAALERVPRRDNGGEEVIRLGGSTWK >Manes.02G080000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6235216:6240217:1 gene:Manes.02G080000.v8.1 transcript:Manes.02G080000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYVRDLLLGQYYFDTLFPRIPVPVLRQIVSNLEKVKLPTKPSGATGDSVRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPSYDRISDDPRRSPSSRRSQSREYSDKEYSDRDRDRDRDLDREKDRDRERDRDRERDRERERDLDRERDRERDRDRERERERDRYRDLDRDRGRDRDRERKYDYDRRSRYSERESRRDYERSSREGSRHHRESSSRRSHSRSRSRSRSRSRSLQTGVASFDRLSSPYKDVSKEKTSASSNLAKLKDLYGDLGDQKGDAALERVPRRDNGGEEVIRLGGSTWK >Manes.02G080000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6235216:6240217:1 gene:Manes.02G080000.v8.1 transcript:Manes.02G080000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYVRDLLLGQYYFDTLFPRIPVPVLRQIVSNLEKVKLPTKPSGATGDSVRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPSYDRISDDPRRSPSSRRSQSREYSDKEYSDRDRDRDRDLDREKDRDRERDRDRERDRERERDLDRERDRERDRDRERERERDRYRDLDRDRGRDRDRERKYDYDRRSRYSERESRRDYERSSREGSRHHRESSSRRSHSRSRSRSRSRSRSLQTGVASFDRLSSPYKDVSKEKTSASSNLAKLKDLYGDLGDQKGDAALERVPRRDNGGEEVIRLGGSTWK >Manes.02G080000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6235216:6240217:1 gene:Manes.02G080000.v8.1 transcript:Manes.02G080000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLISERFYKTSLRCPCFFELLGLSNSHLTLPLLSRADIRKTKVGFLYLRYAADPKTLWNWFEPYIKDDEEFSPGSNGRKCTMGVYVRDLLLGQYYFDTLFPRIPVPVLRQIVSNLEKVKLPTKPSGATGDSVRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPSYDRISDDPRRSPSSRRSQSREYSDKEYSDRDRDRDRDLDREKDRDRERDRDRERDRERERDLDRERDRERDRDRERERERDRYRDLDRDRGRDRDRERKYDYDRRSRYSERESRRDYERSSREGSRHHRESSSRRSHSRSRSRSRSRSRSLQTGVASFDRLSSPYKDVSKEKTSASSNLAKLKDLYGDLGDQKGDAALERVPRRDNGGEEVIRLGGSTWK >Manes.07G085800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:27011703:27018857:1 gene:Manes.07G085800.v8.1 transcript:Manes.07G085800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQPPPTTLAPEAPTGFPDSDSIPVGHRLFSRIRLATPADVSHIHKMIHQMAIFKRLADQCIATESSLSSTLFNHPPFQSFTVFLLEVSPNPLPKITSPNFTPIERIIQLDLPIIDPEAELFKSGTNDVVVAGHVLFFPIYPTFLAKPGLYVEDLFVRECYRRKGMGKMLLSAVAAQAVKMGYGRVQSLVLDWNVNAIKFYKEMGVEILTGWSICRLTGEALEAYRDAI >Manes.08G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3099927:3103774:1 gene:Manes.08G032300.v8.1 transcript:Manes.08G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAATVVKQAVTLARRRGHAQVTPLHVANTMLAASTGLLRTACLQSHSHPLQCKALELCFNVALNRLPASTSSPILGTHSQQYPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLVISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLEICSQSAPSVTSKSKESNVLALSHSPTLSQVEAKASKQTTSLDPVRNEDVMSVIEKLMNKRKRSIVIVGECLAIIEGVVKGVMDKVIKGDVPDSLREVKFVSFPISSFAHLSRVEIEQKLEDLKSLLRSYVSKGVVLNLGDLKWVSEYRTSSSSYLCPMEQMIMELGKLACGLGEQNGKFWLMGIATFQTYMKCKSGNPSLETIWSLHPLTIPAGSLRLSLITDSDLQSQSTSNKADQSCWIILEGDEENQLTCCSDCTAKFENEARSLQATTCNSESTSSSLPAWLQQCKNEIKGLNNSNDQDSVSIKDLRKKWNSICSSTHHQPYSSEKTITFSSVSPSSFSSGFSYAQRYSNLQLIHHDWPMVEPKQSWRDYHFWVGSETVNKGSATIDEPSLRMYIPEHRDYPKPPFSSNPSSTPNSTSSSDVMEIEYLHKFKELNAENLKILCNALEKKVPWQKDIIPEIASTILQCRSGMVRRKGKVRNCEAKEETWLLFQGVDMEAKEKIAKELARIVFGSQNNFISTALSSFSSTRADSTEDCRNKRSRDEQSCSYIERFSEALSSNPHRVFLVEDIEQADYRSKLGFKRAIERGRITNVIGEEVGLSDSIIILSCESFSSRSRACSPTIKQKTDNSQEEEKGPSSATMEETSPCVSLDLNISIDDDSAEDQSIDDIGLLEFVDRRIILKIHEF >Manes.13G015400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1895807:1899797:-1 gene:Manes.13G015400.v8.1 transcript:Manes.13G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHFPLRWESTGDQWWYASPIDFAAANGHYDLVRELLYLDTNLLIKLTSLRRIRRLETVWDDEEQFSDVANCRAFVAKKLLIECETKRGYNTLVRGGYGGWLLYTAASAGDVNFIKELLKRDPLLVFGEGEYGVTDILYAAARSKNSDVFRLLLDFSISRSCVLNSEKELEQQLGENYSEFKQEMMNRAVHAAARGGNLEILKELLGDCPDVFIYRDAQGSTILHSASGRGQVEVVKDLIASFSFITSTDYQGNTALHVAAYRGYLSVVEVLIHASPSLISITNKYGDTFLHMAVSGFRTPGFHRVDRQIELMKQLVGGKIINIQEIINVRNNDGRTVLHLAVIENIQSNLVELLMTVPLINLNIRDADSMTPLDLLKQRPRTASSEILIKQLISAGGMSNCQDNVARSAIAFHLRGQGIGCSPGTSFRIPDAEIFLYTGIENESDASCEVTSVDYSSCLSEVSEFGTANSVNNKKPASLNNAARHLKSLLQWPKKKEKKAVSAGLTDDDSLYSFNLSRSLEDRPITLRQRYSKLSCLSSNKRAFSSRSSLPSPSARKKFTAGLTHGVIQAMPHLAVSVESPSSPLSVSSMASPASVDKEKGIDIAGPSSLNPSLKHKPPINKKLMNQYFCFGAQGVAVENSVSYSQKKRSYKHASSLVA >Manes.17G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25074770:25076683:1 gene:Manes.17G053700.v8.1 transcript:Manes.17G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFITFLPLLLALGFSGTSFAIPLQYHSIFNFGDSLSDTGNFLLTGALAFPVIRKLPYGETFFHHPTGRCSDGRLVVDFIAEAARLPFLPPFLGLGKGQDFKHGVNFAVAGATALDSKFFYEQKIGQVLWTNDSLSVQLGWFKKLKPSLCTNKQECDNYFKNSLFLLGEIGGNDYNYAFLVGGSIKQVRAFVPLVVQAIAEAATILIEEGAVELLVPGNLPIGCSTIYLASFQSPNKADYDENGCLKAYNAFSKFHNKQLKQALEILRHKYPHARIIYADYYAAAKRFYRSPKHYGFYNGALTACCGGGGPYNFNTSARCGQIGSEACPNPSTYANWDGIHLTEAAYGIIAMGLINGPFSTPPLRTFPL >Manes.01G055400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23311480:23313605:-1 gene:Manes.01G055400.v8.1 transcript:Manes.01G055400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTALPFTHTTSHLITTSSIFSLISCFPLLYSIHLPLSPAFCFDFFFYLFFFFFAFITPEDMNFSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPRGQKSDWIMHEYRLDDSSHETTDSNPIGEAIPEEGWVVCRVFRKKNYHKTLGSPKGSSSSMDSKTQILSSGNDGVLDQLLLYMGKTCKLESESLSHMNQSNISRYMSVRFLSSNNSGISEGAHESYMHLPRLDSPTLPSLTVSSPSFDQESNFKSCYQPYDEMFTENNELSSTTNHHHHHRQDKGFMTSIQENNKSGLNDWATFDRLVASQLDGHAVETSKQLSCFSDPNGSFGLSPDDGMQLSHLHVHSDRSNQANSQVYSSENDLWSFTNSSSPSSSNPLCHLSV >Manes.01G055400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23311480:23313605:-1 gene:Manes.01G055400.v8.1 transcript:Manes.01G055400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTALPFTHTTSHLITTSSIFSLISCFPLLYSIHLPLSPAFCFDFFFYLFFFFFAFITPEDMNFSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFRRIGLRKTLVFYKGRAPRGQKSDWIMHEYRLDDSSHETTVSHFFHDSNPIGEAIPEEGWVVCRVFRKKNYHKTLGSPKGSSSSMDSKTQILSSGNDGVLDQLLLYMGKTCKLESESLSHMNQSNISRYMSVRFLSSNNSGISEGAHESYMHLPRLDSPTLPSLTVSSPSFDQESNFKSCYQPYDEMFTENNELSSTTNHHHHHRQDKGFMTSIQENNKSGLNDWATFDRLVASQLDGHAVETSKQLSCFSDPNGSFGLSPDDGMQLSHLHVHSDRSNQANSQVYSSENDLWSFTNSSSPSSSNPLCHLSV >Manes.03G068900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10101045:10106689:1 gene:Manes.03G068900.v8.1 transcript:Manes.03G068900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPHVSGVQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGRKKELLRTCSDKDKKHTASSKSKITEFIKMGNKTLKRQESKKASSSSNNQPSSKKQQRKGENPTRVIPASEKSSDLGCSNSWICKNSACRAVLSIDDNFCKRCSCCICHLFDDNKDPSLWLVCTSESSGGDFCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLIIAKDARRVDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDVQKLCSVAIDKAEECLAAISDGTSNCGEDSLPAACRFLFEEVTSSSVVIILIELSTVSSDDIKGYKLWYWKSREESHTKEPICMFPRAQRRILISNLQPCTEYTFRIVSYTESGDCGHSEAKCFTKSVEIIHKDPISSVALNGKNVNAQFGGGTSGSKRELKSTMSVNSSGFKVRELGKILHLARAQEQGYFEGFCSADAEKCCGASKVMNSETLEDQLPSVSGGLDLNVVSVPDLNEELTPLFESSRDEDNGCTLEQAVEADDDAASHDMKKNGLARSHGSGDSQTWTGRPSGEVSAVDSRADLCRKRDAHSNEEMHDCDSTLINGSPFRVSNGSGSLDENFEYCVKIIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLDDSFSDIISSKRPRNGFCNKLWH >Manes.03G068900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10101201:10106621:1 gene:Manes.03G068900.v8.1 transcript:Manes.03G068900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKTLKRQESKKASSSSNNQPSSKKQQRKGENPTRVIPASEKSSDLGCSNSWICKNSACRAVLSIDDNFCKRCSCCICHLFDDNKDPSLWLVCTSESSGGDFCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLIIAKDARRVDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDVQKLCSVAIDKAEECLAAISDGTSNCGEDSLPAACRFLFEEVTSSSVVIILIELSTVSSDDIKGYKLWYWKSREESHTKEPICMFPRAQRRILISNLQPCTEYTFRIVSYTESGDCGHSEAKCFTKSVEIIHKDPISSVALNGKNVNAQFGGGTSGSKRELKSTMSVNSSGFKVRELGKILHLARAQEQGYFEGFCSADAEKCCGASKVMNSETLEDQLPSVSGGLDLNVVSVPDLNEELTPLFESSRDEDNGCTLEQAVEADDDAASHDMKKNGLARSHGSGDSQTWTGRPSGEVSAVDSRADLCRKRDAHSNEEMHDCDSTLINGSPFRVSNGSGSLDENFEYCVKIIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLDDSFSDIISSKRPRNGFCNKLWH >Manes.03G068900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10101045:10106689:1 gene:Manes.03G068900.v8.1 transcript:Manes.03G068900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDKFLAKVSGVQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGRKKELLRTCSDKDKKHTASSKSKITEFIKMGNKTLKRQESKKASSSSNNQPSSKKQQRKGENPTRVIPASEKSSDLGCSNSWICKNSACRAVLSIDDNFCKRCSCCICHLFDDNKDPSLWLVCTSESSGGDFCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLIIAKDARRVDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDVQKLCSVAIDKAEECLAAISDGTSNCGEDSLPAACRFLFEEVTSSSVVIILIELSTVSSDDIKGYKLWYWKSREESHTKEPICMFPRAQRRILISNLQPCTEYTFRIVSYTESGDCGHSEAKCFTKSVEIIHKDPISSVALNGKNVNAQFGGGTSGSKRELKSTMSVNSSGFKVRELGKILHLARAQEQGYFEGFCSADAEKCCGASKVMNSETLEDQLPSVSGGLDLNVVSVPDLNEELTPLFESSRDEDNGCTLEQAVEADDDAASHDMKKNGLARSHGSGDSQTWTGRPSGEVSAVDSRADLCRKRDAHSNEEMHDCDSTLINGSPFRVSNGSGSLDENFEYCVKIIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLDDSFSDIISSKRPRNGFCNKLWH >Manes.03G068900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10101343:10106621:1 gene:Manes.03G068900.v8.1 transcript:Manes.03G068900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPHVSGVQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGRKKELLRTCSDKDKKHTASSKSKITEFIKMGNKTLKRQESKKASSSSNNQPSSKKQQRKGENPTRVIPASEKSSDLGCSNSWICKNSACRAVLSIDDNFCKRCSCCICHLFDDNKDPSLWLVCTSESSGGDFCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLIIAKDARRVDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDVQKLCSVAIDKAEECLAAISDGTSNCGEDSLPAACRFLFEEVTSSSVVIILIELSTVSSDDIKGYKLWYWKSREESHTKEPICMFPRAQRRILISNLQPCTEYTFRIVSYTESGDCGHSEAKCFTKSVEIIHKDPISSVALNGKNVNAQFGGGTSGSKRELKSTMSVNSSGFKVRELGKILHLARAQEQGYFEGFCSADAEKCCGASKVMNSETLEDQLPSVSGGLDLNVVSVPDLNEELTPLFESSRDEDNGCTLEQAVEADDDAASHDMKKNGLARSHGSGDSQTWTGRPSGEVSAVDSRADLCRKRDAHSNEEMHDCDSTLINGSPFRVSNGSGSLDENFEYCVKIIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLDDSFSDIISSKRPRNGFCNKLWH >Manes.03G068900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10101182:10106621:1 gene:Manes.03G068900.v8.1 transcript:Manes.03G068900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPHVSGVQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGRKKELLRTCSDKDKKHTASSKSKITEFIKMGNKTLKRQESKKASSSSNNQPSSKKQQRKGENPTRVIPASEKSSDLGCSNSWICKNSACRAVLSIDDNFCKRCSCCICHLFDDNKDPSLWLVCTSESSGGDFCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLIIAKDARRVDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDVQKLCSVAIDKAEECLAAISDGTSNCGEDSLPAACRFLFEEVTSSSVVIILIELSTVSSDDIKGYKLWYWKSREESHTKEPICMFPRAQRRILISNLQPCTEYTFRIVSYTESGDCGHSEAKCFTKSVEIIHKDPISSVALNGKNVNAQFGGGTSGSKRELKSTMSVNSSGFKVRELGKILHLARAQEQGYFEGFCSADAEKCCGASKVMNSETLEDQLPSVSGGLDLNVVSVPDLNEELTPLFESSRDEDNGCTLEQAVEADDDAASHDMKKNGLARSHGSGDSQTWTGRPSGEVSAVDSRADLCRKRDAHSNEEMHDCDSTLINGSPFRVSNGSGSLDENFEYCVKIIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLDDSFSDIISSKRPRNGFCNKLWH >Manes.03G068900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10101045:10106689:1 gene:Manes.03G068900.v8.1 transcript:Manes.03G068900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPHVSGVQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGRKKELLRTCSDKDKKHTASSKSKITEFIKMGNKTLKRQESKKASSSSNNQPSSKKQQRKGENPTRVIPASEKSSDLGCSNSWICKNSACRAVLSIDDNFCKRCSCCICHLFDDNKDPSLWLVCTSESSGGDFCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLIIAKDARRVDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDVQKLCSVAIDKAEECLAAISDGTSNCGEDSLPAACRFLFEEVTSSSVVIILIELSTVSSDDIKGYKLWYWKSREESHTKEPICMFPRAQRRILISNLQPCTEYTFRIVSYTESGDCGHSEAKCFTKSVEIIHKDPISSVALNGKNVNAQFGGGTSGSKRELKSTMSVNSSGFKVRELGKILHLARAQEQGYFEGFCSADAEKCCGASKVMNSETLEDQLPSVSGGLDLNVVSVPDLNEELTPLFESSRDEDNGCTLEQAVEADDDAASHDMKKNGLARSHGSGDSQTWTGRPSGEVSAVDSRADLCRKRDAHSNEEMHDCDSTLINGSPFRVSNGSGSLDENFEYCVKIIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLDDSFSDIISSKRPRNGFCNKLWH >Manes.03G068900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10102950:10106621:1 gene:Manes.03G068900.v8.1 transcript:Manes.03G068900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEYMFLFLLFSFFQFVCCSLSVSGVQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGRKKELLRTCSDKDKKHTASSKSKITEFIKMGNKTLKRQESKKASSSSNNQPSSKKQQRKGENPTRVIPASEKSSDLGCSNSWICKNSACRAVLSIDDNFCKRCSCCICHLFDDNKDPSLWLVCTSESSGGDFCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLIIAKDARRVDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDVQKLCSVAIDKAEECLAAISDGTSNCGEDSLPAACRFLFEEVTSSSVVIILIELSTVSSDDIKGYKLWYWKSREESHTKEPICMFPRAQRRILISNLQPCTEYTFRIVSYTESGDCGHSEAKCFTKSVEIIHKDPISSVALNGKNVNAQFGGGTSGSKRELKSTMSVNSSGFKVRELGKILHLARAQEQGYFEGFCSADAEKCCGASKVMNSETLEDQLPSVSGGLDLNVVSVPDLNEELTPLFESSRDEDNGCTLEQAVEADDDAASHDMKKNGLARSHGSGDSQTWTGRPSGEVSAVDSRADLCRKRDAHSNEEMHDCDSTLINGSPFRVSNGSGSLDENFEYCVKIIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLDDSFSDIISSKRPRNGFCNKLWH >Manes.05G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3754349:3756627:-1 gene:Manes.05G045200.v8.1 transcript:Manes.05G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMAAPTGAYHGYFYKTRSNHHIGSARPRIFLAHQRNDDDDENIKPSDQIARREIILRSSELAVVGAIFNLSGKKPEYLGVQKNQQALALCPATSNCISTSENVSDLTHYAPPWNYNGSRKKPVSREVAMEELLQVINSTKPDKFTPRIAEKEDDYLHVEYQSPILGLVDDVEFWFPPGNDSIVEYRSASRLGNFDFDYNRKRIKMLRLELEKRGWASVDSF >Manes.09G088200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25060977:25062803:1 gene:Manes.09G088200.v8.1 transcript:Manes.09G088200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSSSTPSSVLHKHIHRESVRDKEYRLMAIDQSYSYSHADDDYIDIELMSSSNNFFRCSISSPPQSREFEFQMSSMSNDKETTTSPADELFYKGKLLPLHLPPRLQMVENLLQNPSNNTFEPFQENYSIPFIINPRCSTTTSLESSNISPSESRRFSSELNPDVYFFDLSTEPNSCNGHHQIKRSWAKKLKESLLGQKLKSSSAYLKSLFTKSGCTDEFCAKPAGNVEPEAAPVGKICLSKYMKVAKKNSFSEIDSERFKLSNTLMKRMEKEMARDGFHSQRRSFSGAIQRHSVNNSLSSSSGSSSSSLSSSFSFSSSNGFCDLQLLKRSSSADSDIESSIEGAIAYCKKSHQQLSCSLSAASRVSASRDQERAKLCTI >Manes.05G186100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30569017:30573766:-1 gene:Manes.05G186100.v8.1 transcript:Manes.05G186100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMQHSSMHLEQADLEGNEHGTGTHKNLDDDGRPKRTGTWVTASAHIITAVIGSGVLSLAWAIAQLGWVAGPVILMAFSCITFFTSTLLADSYRSPDPVTGRRNYTYMDAVRANLGGRKVQLCGLAQYVNLIGITIGYTITASISMVAVKRSNCFHKHGHHVKCNISNYPYMIIFACIQIILSQIPNFHKLSWLSVLAAVMSFAYSSIGLGLSIAKVAGGGHARTSLTGTAVGVDVTPAQKVWKSFQAIGNIAFAYAFSTVLIEIQDTIKSSPPENKAMKRASFVGILITTLFYVLCGSVGYAAFGDDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFSQPVFSFVEKNCHQKWPETKFITREHAINIPFFGVYYLNSFRLVWRTVYVIVTAVVAMIFPFFNDFLGLIGAASFWPLTVYFPVEMYIARTRMRKFSLPWTWLNILSFACLIVSLVAAAGSIEGLVNSLKKYKPFQSVQ >Manes.05G186100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30569012:30573766:-1 gene:Manes.05G186100.v8.1 transcript:Manes.05G186100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCITFFTSTLLADSYRSPDPVTGRRNYTYMDAVRANLGGRKVQLCGLAQYVNLIGITIGYTITASISMVAVKRSNCFHKHGHHVKCNISNYPYMIIFACIQIILSQIPNFHKLSWLSVLAAVMSFAYSSIGLGLSIAKVAGGGHARTSLTGTAVGVDVTPAQKVWKSFQAIGNIAFAYAFSTVLIEIQDTIKSSPPENKAMKRASFVGILITTLFYVLCGSVGYAAFGDDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFSQPVFSFVEKNCHQKWPETKFITREHAINIPFFGVYYLNSFRLVWRTVYVIVTAVVAMIFPFFNDFLGLIGAASFWPLTVYFPVEMYIARTRMRKFSLPWTWLNILSFACLIVSLVAAAGSIEGLVNSLKKYKPFQSVQ >Manes.10G114219.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27414325:27415933:1 gene:Manes.10G114219.v8.1 transcript:Manes.10G114219.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCKQDVEFVKMKKKIRHTLLEHIFQPEEAQLLFLIHGGMRLTKPPKVEQWKELVEICLMDNDISELPMSPSCPKLRKLFLESNYKLRIIPPSFFNNMPALQVLNLSRTSIKSLPESLFKLLNLKMLFLNHCALITTFSPEGTEIMYLPTEVGQLTNLVSLEVSFFEPTSRRRLEQSCEIIPPGVISCLSLLEEINIDVSSDDKRWNASAEEIISELCRLQRLHTLKVYIPKKTSPLSYFKFMVGHLVDHIISRLPCETELELEQHDRYLKYVNGEGVPEDIKKVLRHSTALFLDCNSGIRKLSDFEYRNMQQLKCLVAGQCNNLQAIADGDQTESSSGDATVGLVSLEYMHIYYMKNLRSIWEGPVNNSSFYALKYLTLRTCPELTTIFTPELLGNFSNLEELTIRDCPNIRSLVSCNSYDDEVNFILPALKRISLHFLPKLTSISNGLLISSRLELMSFQYCLNLKSLPISKAFNENVRKIKGEQSWWQELKWEGQDSWDDIFVPID >Manes.17G025800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20125043:20128747:-1 gene:Manes.17G025800.v8.1 transcript:Manes.17G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFYLSGCISQCHPYLGVNRLNDASLCKITLVNSLTKTPVSPSLLHVPCNNVVQSSALSWNGHGLLRTHQVSQRVASNRWLCQSHDSISPDDEYRSSRNIAISLFRRYRNVIDRGGGDNLKEFINAGVNAYALGCTDEGLRKELNAMKESVFEIEGLQNYGGSTSVKSKIFAKEVDECILWLSIIFITILCTPQPTIVRWSSTPPVSDEVLLQWKGFCALIANAYYVKGMAWLPVKTLQLEQMAVVGRAEEPSVVASRMRLVFSTLEVVSPQWPRV >Manes.17G025800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20125043:20129476:-1 gene:Manes.17G025800.v8.1 transcript:Manes.17G025800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCHPYLGVNRLNDASLCKITLVNSLTKTPVSPSLLHVPCNNVVQSSALSWNGHGLLRTHQVSQRVASNRWLCQSHDSISPDDEYRSSRNIAISLFRRYRNVIDRGGGDNLKEFINAGVNAYALGCTDEGLRKELNAMKESVFEIEGLQNYGGSTSVKSKIFAKEVDECILWLSIIFITILCTPQPTIVRWSSTPPVSDEVLLQWKGFCALIANAYYVKGMAWLPVKTLQLEQMAVVGRAEEPSVVASRMRLVFSTLEVVSPQWPRV >Manes.17G025800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20125043:20129476:-1 gene:Manes.17G025800.v8.1 transcript:Manes.17G025800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFYLSGCISQCHPYLGVNRLNDASLCKITLVNSLTKTPVSPSLLHVPCNNVVQSSALSWNGHGLLRTHQVSQRVASNRWLCQSHDSISPDDEYRSSRNIAISLFRRYRNVIDRGGGDNLKEFINAGVNAYALGCTDEGLRKELNAMKESVFEIEGLQNYGGSTSVKSKIFAKEVDECILWLSIIFITILCTPQPTIVRWSSTPPVSDEVLLQWKGFCALIANAYYVKGMAWLPVKTLQLEQMAVVGRAEEPSVVASRMRLVFSTLEVVSPQWPRV >Manes.09G024100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4836518:4839917:-1 gene:Manes.09G024100.v8.1 transcript:Manes.09G024100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQGLHLYLFFFIIIIFFAIIPCYYCLQGDTLNHYLQEEDGYDSGAYPSYINSIEDGHFKVKIFDDKVGSISTSVHKVNVDDFKPNFDNNHDDDTEAFEKAWEEACSSEEGAVIVVPEKKYRLKPVRFSGPCKSNITVQIYGIIEASDDRSDYEEDERHWLVFDKVENLLVEGGGTIDGNGNIWWQNSCKVDKDLPCKDAPTALTFYRCQNLVVDNLTIQNAQQMHISFQRSKDVQVSNLNVTSPEHSPNTDGIHVTHTNNIQIANSSIGTGDDCISIVSGSQNVQAKNISCGPGHGISIGSLGSRHSKDYVSEVTIDGAEISGTTNGVRIKTWQGGSGIAKNIKFQNIEMHNVSNPIIINQYYCDHHKPCKEQVNNQIKSKLKHLH >Manes.09G024100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4817172:4839679:-1 gene:Manes.09G024100.v8.1 transcript:Manes.09G024100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQGLHLYLFFFIIIIFFAIIPCYYCLQGDTLNHYLQEEDGYDSGAYPSYINSIEDGHFKVKIFDDKVGSISTSVHKVNVDDFKPNFDNNHDDDTEAFEKAWEEACSSEEGAVIVVPEKKYRLKPVRFSGPCKSNITVQIYGIIEASDDRSDYEEDERHWLVFDKVENLLVEGGGTIDGNGNIWWQNSCKVDKDLPCKDAPTALTFYRCQNLVVDNLTIQNAQQMHISFQRSKDVQVSNLNVTSPEHSPNTDGIHVTHTNNIQIANSSIGTGDDCISIVSGSQNVQAKNISCGPGHGISIGSLGSRHSKDYVSEVTIDGAEISGTTNGVRIKTWQGGSGIAKNIKFQNIEMHNVSNPIIINQYYCDHHKPCKEQKSAVKVKNVMYKNIKGTSATEVAIKFDCSKAYPCQDILLQHYKKTGYQ >Manes.09G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4836515:4839772:-1 gene:Manes.09G024100.v8.1 transcript:Manes.09G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQGLHLYLFFFIIIIFFAIIPCYYCLQGDTLNHYLQEEDGYDSGAYPSYINSIEDGHFKVKIFDDKVGSISTSVHKVNVDDFKPNFDNNHDDDTEAFEKAWEEACSSEEGAVIVVPEKKYRLKPVRFSGPCKSNITVQIYGIIEASDDRSDYEEDERHWLVFDKVENLLVEGGGTIDGNGNIWWQNSCKVDKDLPCKDAPTALTFYRCQNLVVDNLTIQNAQQMHISFQRSKDVQVSNLNVTSPEHSPNTDGIHVTHTNNIQIANSSIGTGDDCISIVSGSQNVQAKNISCGPGHGISIGSLGSRHSKDYVSEVTIDGAEISGTTNGVRIKTWQGGSGIAKNIKFQNIEMHNVSNPIIINQYYCDHHKPCKEQKSAVKVKNVMYKNIKGTSATEVAIKFECSKAYPCQDILLQDVVLETEEAYQTAKALCNNVNLAELGLVLPQCPSLSSM >Manes.16G033300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4041045:4041455:-1 gene:Manes.16G033300.v8.1 transcript:Manes.16G033300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.01G087800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28937337:28939724:-1 gene:Manes.01G087800.v8.1 transcript:Manes.01G087800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYGYCLVCLMVFLPAMCYSQDFTYSRATYYGSPDCLGTPTGACGFGEYGRKVNDANVAGVSKLYKNGTGCGACYQVRCKAPELCSDEGVNVVVTDYGEGDNTDFILSTRAYTRLARPNMASELLAYGVVNVEYRRISCRYAGYNILFKIHEYSRYPDYLAIVIMYQGGQNDIVALEIWKEDSKEWVAMRRAFGAVFDMANPPKGRLTLRFKVSAGQTWAEARNVIPNDWKAGVAYDSTIQLT >Manes.12G021600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1884613:1887222:1 gene:Manes.12G021600.v8.1 transcript:Manes.12G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHPSLSTLPILVLLIISWNPLCFATSPCHFPAIFNFGDSNSDTGGLSAAFGQAGPPHGESFFHHPAGRYCDGRLIVDFIAEKLGLPYLSAFLDSLGSNFRHGANFATAGSTIRPQNTTLRQSGFSPISLDVQWYQFSDFHRRSQIIRSKGGIYKNLLPKASDFSRALYTFDIGQNDLTSGYFLNMSTNEVRASVPDVLNQFKNVVSYIYGVGGRNFWIHNTGPFGCLAYVIDRMPISKAEFDDVGCGIPFNEVAQYFNRGLKKVVAQLRKQLPHASITYVDVYTVKYNLISRARKHGFEESLRACCGHGGKYNYNKHIGCGGKITVQGKQVLVGKPCKDPSRWINWDGVHYTQAANKWIFEQIVNGSFSDPPIPLNMACHRQPRVD >Manes.13G043000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5061238:5071468:1 gene:Manes.13G043000.v8.1 transcript:Manes.13G043000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEIGDDCAIPTSSTFSRPKKSITSEDDIMELLWQNGQVVVQSQTQMSVKKAQHHKYDDAVLPVEQSTPREIRSSSNEQQQHLFMQEDEMASWLHYPLNDTNFDQDFCADLLYPSTGYVSSTTTNTSAVPQRSTHNPEARLHPTVSAASAMPTATATASRPPIPPTRRAEVVQNFGHFSRHRAKGGKSESGPSNLKNVVRESTVVDSTDTSATGSESRTSEAAFARSAAGVSSVNINASGTGSGAAVAGTSSREGGSNNKDMTTREMTVTSSPGGSSASAEPPALKLATDDRKRKGREEDTEYHSEDVEFESADAKRQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFSGIQQYMPPLRMGMGIGMGMEMGMNRPMMPFPNVLAAAPMPTPVAAAHLGPRFPKPAFHMPPVPAPPPDPSRTQATNQSDPMLSAVSAQNPNQPRPPIFSDPYQQYLGLQQMQKPVSQNQEMTRPGTSQAAENLDNSPSGSGTQYSSKTPLAL >Manes.13G043000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5061293:5071468:1 gene:Manes.13G043000.v8.1 transcript:Manes.13G043000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEIGDDCAIPTSSTFSRPKKSITSEDDIMELLWQNGQVVVQSQTQMSVKKAQHHKYDDAVLPVEQSTPREIRSSSNEQQQHLFMQEDEMASWLHYPLNDTNFDQDFCADLLYPSTGYVSSTTTNTSAVPQRSTHNPEARLHPTVSAASAMPTATATASRPPIPPTRRAEVVQNFGHFSRHRAKGGKSESGPSNLKNVVRESTVVDSTDTSATGSESRTSEAAFARSAAGVSSVNINASGTGSGAAVAGTSSREGGSNNKDMTTREMTVTSSPGGSSASAEPPALKLATDDRKRKGREEDTEYHSEDVEFESADAKRQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFSGIQQYMPPLRMGMGIGMGMEMGMNRPMMPFPNVLAAAPMPTPVAAAHLGPRFPKPAFHMPPVPAPPPDPSRTQATNQSDPMLSAVSAQNPNQPRPPIFSDPYQQYLGLQQMQKPVSQNQEMTRPGTSQAAENLDNSPSGT >Manes.13G043000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5061242:5071468:1 gene:Manes.13G043000.v8.1 transcript:Manes.13G043000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEIGDDCAIPTSSTFSRPKKSITSEDDIMELLWQNGQVVVQSQTQMSVKKAQHHKYDDAVLPVEQSTPREIRSSSNEQQQHLFMQEDEMASWLHYPLNDTNFDQDFCADLLYPSTGYVSSTTTNTSAVPQRSTHNPEARLHPTVSAASAMPTATATASRPPIPPTRRAEVVQNFGHFSRHRAKGGKSESGPSNLKNVVRESTVVDSTDTSATGSESRTSEAAFARSAAGVSSVNINASGTGSGAAVAGTSSREGGSNNKDMTTREMTVTSSPGGSSASAEPPALKLATDDRKRKGREEDTEYHSEDVEFESADAKRQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFSGIQQYMPPLRMGMGIGMGMEMGMNRPMMPFPNVLAAAPMPTPVAAAHLGPRFPKPAFHMPPVPAPPPDPSRTQATNQSDPMLSAVSAQNPNQPRPPIFSDPYQQYLGLQQMQKPVSQNQEMTRPGTSQAAENLDNSPSGT >Manes.13G043000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5061293:5071468:1 gene:Manes.13G043000.v8.1 transcript:Manes.13G043000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEIGDDCAIPTSSTFSRPKKSITSEDDIMELLWQNGQVVVQSQTQMSVKKAQHHKYDDAVLPVEQSTPREIRSSSNEQQQHLFMQEDEMASWLHYPLNDTNFDQDFCADLLYPSTGYVSSTTTNTSAVPQRSTHNPEARLHPTVSAASAMPTATATASRPPIPPTRRAEVVQNFGHFSRHRAKGGKSESGPSNLKNVVRESTVVDSTDTSATGSESRTSEAAFARSAAGVSSVNINASGTGSGAAVAGTSSREGGSNNKDMTTREMTVTSSPGGSSASAEPPALKLATDDRKRKGREEDTEYHSEDVEFESADAKRQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFSGIQQYMPPLRMGMGIGMGMEMGMNRPMMPFPNVLAAAPMPTPVAAAHLGPRFPKPAFHMPPVPAPPPDPSRTQATNQSDPMLSAVSAQNPNQPRPPIFSDPYQQYLGLQQMQKPVSQNQEMTRPGTSQAAENLDNSPSDN >Manes.13G043000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5061219:5071468:1 gene:Manes.13G043000.v8.1 transcript:Manes.13G043000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEIGDDCAIPTSSTFSRPKKSITSEDDIMELLWQNGQVVVQSQTQMSVKKAQHHKYDDAVLPVEQSTPREIRSSSNEQQQHLFMQEDEMASWLHYPLNDTNFDQDFCADLLYPSTGYVSSTTTNTSAVPQRSTHNPEARLHPTVSAASAMPTATATASRPPIPPTRRAEVVQNFGHFSRHRAKGGKSESGPSNLKNVVRESTVVDSTDTSATGSESRTSEAAFARSAAGVSSVNINASGTGSGAAVAGTSSREGGSNNKDMTTREMTVTSSPGGSSASAEPPALKLATDDRKRKGREEDTEYHSEDVEFESADAKRQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFSGIQQYMPPLRMGMGIGMGMEMGMNRPMMPFPNVLAAAPMPTPVAAAHLGPRFPKPAFHMPPVPAPPPDPSRTQATNQSDPMLSAVSAQNPNQPRPPIFSDPYQQYLGLQQMQKPVSQNQEMTRPGTSQAAENLDNSPSDN >Manes.13G043000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5061293:5071468:1 gene:Manes.13G043000.v8.1 transcript:Manes.13G043000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYVPDFEIGDDCAIPTSSTFSRPKKSITSEDDIMELLWQNGQVVVQSQTQMSVKKAQHHKYDDAVLPVEQSTPREIRSSSNEQQQHLFMQEDEMASWLHYPLNDTNFDQDFCADLLYPSTGYVSSTTTNTSAVPQRSTHNPEARLHPTVSAASAMPTATATASRPPIPPTRRAEVVQNFGHFSRHRAKGGKSESGPSNLKNVVRESTVVDSTDTSATGSESRTSEAAFARSAAGVSSVNINASGTGSGAAVAGTSSREGGSNNKDMTTREMTVTSSPGGSSASAEPPALKLATDDRKRKGREEDTEYHSEDVEFESADAKRQVRGSTSTKRSRAAEVHNLSERRRRDRINEKMKALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFSGIQQYMPPLRMGMGIGMGMEMGMNRPMMPFPNVLAAAPMPTPVAAAHLGPRFPKPAFHMPPVPAPPPDPSRTQATNQSDPMLSAVSAQNPNQPRPPIFSDPYQQYLGLQQMQKPVSQNQEMTRPGTSQAAENLDNSPSGRCSILSICLITGFYWAKSVLI >Manes.16G057250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:20590174:20590485:1 gene:Manes.16G057250.v8.1 transcript:Manes.16G057250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLSMFSNANFNCSATLLSRKLLVLPVLINSINCLSLCLPMNLIVSASCLPSTAWIDSNNFSETPPILGDQDIAYKSSSIGLSSCTFCSSNCIANSVLGVHW >Manes.09G022900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4651040:4673321:-1 gene:Manes.09G022900.v8.1 transcript:Manes.09G022900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESLAFNIAEKVLEKIASHTYQEICFAWGLKAELRKLEDILLTVKAVLMDAEEKQVNDPPLQLWLARLKDALYDAEDVLDEFDSEDQRRRVLQLYGTTCKKVGHFFSCSNPIAFRFKMSAKVKQIRERLDEIASQKSKFHLTERYASGHVMPRERAPTHSFVQASEVIGRYDDKENIIRLLQDSSEVAQISIIPIVGIGGLGKTLLTKFVYNDERVRSHFQLQIWVCVSEEFDIKILTEKIIKSTEDRMRHVEKLKKLEMEQLQRILRETIGDKKYLLILDDVWNDDPMKWNQLKELLCMGASGSKILVTTRSNKVASIMGTIPKAYELSGLPEDECVALFTKCAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNSDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPVHLKKCFAYCSFYPKDYEFLDLTLIQFWMAHGLIGSANQDEEPEAIGLRYFQELGSRSFFQDFTGSDSLGISCKMHDLVHDLALSLTKNEFLAITSRTRHISHNVRHLLFPNSTSLPQDLSTLLQGLDHVRTAIFQSDKKSPSSQSDLDSYLLRFQYLRMLDLADSKLEISLDWIGALKHLRYLHLHGNSRIKKLPNSICKLYNLQTLRICEGIEELPSDIRYLINLRYLVVSTKQKCLPMNGIGCLTSLRFLGIANCEILEHLFEDMQGLKHLRTLVIYDCESLISLPQSMKYLTALENLAIVNCENLNLTLEENGKDDKHFAQFNLQKLMLKELPKLVDFPEWLLQGSSNALRFLKLENCEYIKELPVCIQNTASLQQLEIKDCDELSKRCERGKGEDWSKIAHIPKIVINGSDIDSSDD >Manes.02G015000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1418902:1422478:-1 gene:Manes.02G015000.v8.1 transcript:Manes.02G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTKSHSEINIPQGATKAKQQETGKHAGIGGFSYKAWMWKVWEFAREDSNRVTFSFKVGLSVLLVSLLILCQAPYAIFGTKIIWSILTVVVMFECTVGATFNRGFNRALGSLLAGILAIAVAQLALCSGRIAEPTIIGISIFLILHLNAGTITSFVRLWPSLVPYEYGFRVILFTYCLIIISGYRMGNPIRTAMDRLYSIAIGGFVGVLVNVLAFPIWSGEQLHNELVSSFNSVADSLEECVKKYLDDDGLNHPEFSKTIMDEFPDEPAYRKCKATLNSSMKLESLANSAKWEPPHGRFQHIFYPWSEYVKVGAVLRYCGYEVMALHGVLHFEIQAPHNLRVAFQSEIQDAATQAAELWSLKTSLLKKVHISTERLQHATDMHSYLFISNSEAINNSYKPFLKPSHTHSTTLHDISNQLPELEGNCLVKNSEALNQSASSETIPPGQLVESYHEMMRRQSRRLHSWPSREVDAFEEEGGPRMRTLESTAAQSLDTFASLLIEFVARLDRLVEAVDELSKMAKFKHENS >Manes.01G013300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3415054:3421889:-1 gene:Manes.01G013300.v8.1 transcript:Manes.01G013300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPTAFLRKTSTADPFASLLVLHLVWVRLLHMLFQRKVISLFLTLEGIKKENKDAQLKVFEVDLSSFQSILKFKSSFEKWLSDSFLHSSIQLLINNAGMLATSHHLTVEGYDQMMATNYVGAFSLTKLLLPLLRNSPVGSRVVNVTSFTHRSVFSMQVDKETVAGMCFWKSNPYPYARIYEHSKLCMLLLSYHLHQQLHMMDKSCWISVNAADPGAVQTNIMREVPSFLSHATFVVLKLLGLLQSPNDGVSSILDAALAPPEISGVYFFGGKGRTLKSSALSHDINLAEKLWTTSCNLFEEMKLTSEHKDG >Manes.01G013300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3415054:3421889:-1 gene:Manes.01G013300.v8.1 transcript:Manes.01G013300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTVMKELVQVLHFIYSVEFWRMAIFWTLSLLASYLQLFFTRVFRESCNAYPHCLPPKNKHSRPLCIITGATSGLGEAAAYALSKEGYFIVLVGRSSKLLYKTLEGIKKENKDAQLKVFEVDLSSFQSILKFKSSFEKWLSDSFLHSSIQLLINNAGMLATSHHLTVEGYDQMMATNYVGAFSLTKLLLPLLRNSPVGSRVVNVTSFTHRSVFSMQVDKETVAGMCFWKSNPYPYARIYEHSKLCMLLLSYHLHQQLHMMDKSCWISVNAADPGAVQTNIMREVPSFLSHATFVVLKLLGLLQSPNDGVSSILDAALAPPEISLRKYLEYTFLVEREEL >Manes.01G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3415054:3421889:-1 gene:Manes.01G013300.v8.1 transcript:Manes.01G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTVMKELVQVLHFIYSVEFWRMAIFWTLSLLASYLQLFFTRVFRESCNAYPHCLPPKNKHSRPLCIITGATSGLGEAAAYALSKEGYFIVLVGRSSKLLYKTLEGIKKENKDAQLKVFEVDLSSFQSILKFKSSFEKWLSDSFLHSSIQLLINNAGMLATSHHLTVEGYDQMMATNYVGAFSLTKLLLPLLRNSPVGSRVVNVTSFTHRSVFSMQVDKETVAGMCFWKSNPYPYARIYEHSKLCMLLLSYHLHQQLHMMDKSCWISVNAADPGAVQTNIMREVPSFLSHATFVVLKLLGLLQSPNDGVSSILDAALAPPEISGVYFFGGKGRTLKSSALSHDINLAEKLWTTSCNLFEEMKLTSEHKDG >Manes.12G057505.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5563397:5564206:-1 gene:Manes.12G057505.v8.1 transcript:Manes.12G057505.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFFAGNPKLIMQWFSIFANGGGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLNVQRTVENFLDNVPEFWSSTEFAESLRDGDILFIDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNSLLITLGDRELFTVLELLHIYLSMNMEPVDFGNSSCWLEFALSRFNDCESFD >Manes.08G088100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29503053:29505281:-1 gene:Manes.08G088100.v8.1 transcript:Manes.08G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEADLHTKDGTTDFRGNPAIKKKTGTWKACPYILGNECCERLAYYGINTNLVNYLKFQLNQTSVVAINNVTNWSGTCYVMPLLGAFLADAYLGRYWTIASFSIIYVLGMTLLTLSASVHGLKPSCDHTNVCHPTWLQTAFFFLGLYLIALGTGGIKPCVSSFGADQFDDSDETEKKKKSSFFNWFYFSINIGALVASSVLVWIQTNVGWGWGFGIPAVAMAIAVVSFFSGTKLYRNLKPGGSPLTPICQVLVASFRKFRVQVPDNESLLYETVDEESDVKGSRKLDHTRQLSFFDKAAVETPSDLVKGSVDPWRLCTVTQVEELKSIIKLLPIWATGIIFSAVYSQMGTLFVLQGNTMDLHMSRSFEIPSASLSLFDTISVIFWVPIYDRVIVPIARKFTGHKNGFTQLQRIAIGLVISIFAMLVAGSLELVRLQEVKKHNYYKLKHIPMSVFWQVPQYFIIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLTTAALGNYLSTLLVNIVTDLSTRNGSPGWIPDNLNYGHLHYFFWLFAVLSLVNLGFYFFVARSYTYKRTLVAAE >Manes.12G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10031635:10033715:-1 gene:Manes.12G079500.v8.1 transcript:Manes.12G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSPKLPETSQFKPLDPEELRKHAHRMVDFIADYYQNIETYPVLTQVQPGYLPARLPQTAPYLPESLDTILDDIGKEIIPGMTHWQSPNFFAFFPATISTAAFVGEMLCTCFNSVGFNWLASPASTELEMVVIDWLANMLKLPKTFMFSGSGGGVIQNTSSEAILVTLVAARDQVLAKTGYRNLGNLVVYGSDQTHSTFTKASKLAGINPSNIRLLPTSLDTGFALSPVTLRTALAADVASGLVPLYLCATVGTTSTTAIDPIETLADVANNYGIWMHVDAAYGGSACICPEFRDYLNGIERADSLSLSPHKWLLSYLDCCCLWVRKPSLLVNALSTNPEYLSNKQSESSSVVDFKDWQVGTGRRFKSLRLWMIMRSYGVANLQSHIRRHVHMAKMFEGFVKSDPRFEIVVPSKFALVCFRLISPTNKDGSGQTETLNQKLLELVNSTGQIYMTHTKVSGEYVLRFAVGATLTEERHVVSAWKLIKEGADAVCP >Manes.06G168200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29347112:29347522:-1 gene:Manes.06G168200.v8.1 transcript:Manes.06G168200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALRDIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.07G094200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29185088:29185857:-1 gene:Manes.07G094200.v8.1 transcript:Manes.07G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKKMAASLSMMVLVFCVSLSMVQSQDVNLCPGETPAPGQCPIACFRPDPVCGANGVTYWCGCPDALCAGVAVVKFGEC >Manes.09G044900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7889197:7889877:-1 gene:Manes.09G044900.v8.1 transcript:Manes.09G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEAKEAPFPVLFFDGEQETSLGNVVVSPSLNFKVLQSIIGEKLGLSSHQFSIYLTDRKRRGSRIPVTGKIDFAAVSCEKDCFFLVVLKRSRRERRRKSREVAEIMQNRFDPPANLMLLRRDGNTIAINGSGFSGLDLGRAEYERRVKELQMEKERYRYLMNMGTGLEGPSLGTERANRTVVCEECMRAKEIGRDVGFHWCVYDTVTFGFRSPAGPIARPVKRSS >Manes.13G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7231680:7243013:1 gene:Manes.13G062000.v8.1 transcript:Manes.13G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFICSAGMGNANGRSDEEGTSGESYEQDHMECAAHDRAGYHVSGQYAEAEPMANSPPSSPRRYLQPPPAFNPQVPIVPLPRPTEMMHVQNYALAHNVTDSRDAFSKKLSAVMITWSYGGKQIAVTGSWDNWDKREHLHKVGKDFVILKMLPAGVFHYRFIVDEQLMYASDLPWECDDSGTAYNILDVEEDVPEAPERLSEFETPPSPVTSYDNESLDDYDFSKQPPDRPPQLQLTLLNDRSSAVESHQSLPRPRHAVLNHLYIQNNRGQPVALGSTHRFLQKYVTVVLYKPTRQ >Manes.13G062000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7232067:7243013:1 gene:Manes.13G062000.v8.1 transcript:Manes.13G062000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNANGRSDEEGTSGESYEQDHMECAAHDRAGYHVSGQYAEAEPMANSPPSSPRRYLQPPPAFNPQVPIVPLPRPTEMMHVQNYALAHNVTDSRDAFSKKLSAVMITWSYGGKQIAVTGSWDNWDKREHLHKVGKDFVILKMLPAGVFHYRFIVDEQLMYASDLPWECDDSGTAYNILDVEEDVPEAPERLSEFETPPSPVTSYDNESLDDYDFSKQPPDRPPQLQLTLLNDRSSAVESHQSLPRPRHAVLNHLYIQNNRGQPVALGSTHRFLQKYVTVVLYKPTRQ >Manes.13G062000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7231680:7243738:1 gene:Manes.13G062000.v8.1 transcript:Manes.13G062000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFICSAGFIRMGNANGRSDEEGTSGESYEQDHMECAAHDRAGYHVSGQYAEAEPMANSPPSSPRRYLQPPPAFNPQVPIVPLPRPTEMMHVQNYALAHNVTDSRDAFSKKLSAVMITWSYGGKQIAVTGSWDNWDKREHLHKVGKDFVILKMLPAGVFHYRFIVDEQLMYASDLPWECDDSGTAYNILDVEEDVPEAPERLSEFETPPSPVTSYDNESLDDYDFSKQPPDRPPQLQLTLLNDRSSAVESHQSLPRPRHAVLNHLYIQNNRDSTSTTTCSDN >Manes.13G062000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7231680:7243738:1 gene:Manes.13G062000.v8.1 transcript:Manes.13G062000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFICSAGMGNANGRSDEEGTSGESYEQDHMECAAHDRAGYHVSGQYAEAEPMANSPPSSPRRYLQPPPAFNPQVPIVPLPRPTEMMHVQNYALAHNVTDSRDAFSKKLSAVMITWSYGGKQIAVTGSWDNWDKREHLHKVGKDFVILKMLPAGVFHYRFIVDEQLMYASDLPWECDDSGTAYNILDVEEDVPEAPERLSEFETPPSPVTSYDNESLDDYDFSKQPPDRPPQLQLTLLNDRSSAVESHQSLPRPRHAVLNHLYIQNNRDSTSTTTCSDN >Manes.13G062000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7231680:7243013:1 gene:Manes.13G062000.v8.1 transcript:Manes.13G062000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFICSAGFIRMGNANGRSDEEGTSGESYEQDHMECAAHDRAGYHVSGQYAEAEPMANSPPSSPRRYLQPPPAFNPQVPIVPLPRPTEMMHVQNYALAHNVTDSRDAFSKKLSAVMITWSYGGKQIAVTGSWDNWDKREHLHKVGKDFVILKMLPAGVFHYRFIVDEQLMYASDLPWECDDSGTAYNILDVEEDVPEAPERLSEFETPPSPVTSYDNESLDDYDFSKQPPDRPPQLQLTLLNDRSSAVESHQSLPRPRHAVLNHLYIQNNRGQPVALGSTHRFLQKYVTVVLYKPTRQ >Manes.04G130000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33222663:33223142:1 gene:Manes.04G130000.v8.1 transcript:Manes.04G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMSLTSSLNSLTLSTPFLHGTTPLSRFSKPTSSLSLQPPKPFSFLPSIRAMRSMQGRVVCATNDKTVSVEVTRLAPHPKYKRRVRKKKKFQAHDPENQFKVGDFVQLEKSKPISKTKAFIAIPVPPRKKKENKENGEGTVPRELGIPLESQQEQKA >Manes.02G036700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3047864:3053131:1 gene:Manes.02G036700.v8.1 transcript:Manes.02G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYREQDPRINGIQTKIGVVPDFPREGIMFQDITTLLLDPKAFKDTIDLFVERYKGENISVVAGIEARGFIFGPPIALAIGAKFVPMRKPKKLPGEVIKEDYDLEYGSDCLEMHVGAVHSGERALVVDDLIATGGTLRAAINLLERVGAEVVECACVIELPDLKGREKLNGKPLYVLVESH >Manes.14G133700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10712585:10718494:1 gene:Manes.14G133700.v8.1 transcript:Manes.14G133700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKDTSIQEEDFSLELPAPPGWKKKFVPKKSGTPKKNEIIFTAPSGEEITGRRQLEQYLKAHPGGPAASEFDWGTGETPRRSARISEKAKAAPTPETESPKKRSRKLSAPKKENKEPETAPEGAEKTKDTQMQEAGKIGKDDAEMEAGKEDVKGNQEGNKDKPLDSDAKTEATPTEEAKVEKDADKSEEAEEGKGNVDDDKVQGEDEQPQVEASEGRGSGEQDKAGTGMNDKSEAEGENKEKHNKSIPESEGEIKDKEAANGNKEKPNFTDILNEKVQGEVTENGRSGSDANAKQG >Manes.14G133700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10712585:10718494:1 gene:Manes.14G133700.v8.1 transcript:Manes.14G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKDTSIQEEDFSLELPAPPGWKKKFVPKKSGTPKKNEIIFTAPSGEEITGRRQLEQYLKAHPGGPAASEFDWGTGETPRRSARISEKAKAAPTPETESPKKRSRKLSAPKKENKEPETAPEGAEKTKDTQMQEAGKIGKDDAEMEAGKEDVKGNQEGNKDKPLDSDAKTEATPTEEAKVEKDADKSEEAEEGKGNVDDDKVQGEDEQPQVEASEGQKHNKSIPESEGEIKDKEAANGNKEKPNFTDILNEKVQGEVTENGRSGSDANAKQG >Manes.14G133700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10712585:10718494:1 gene:Manes.14G133700.v8.1 transcript:Manes.14G133700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKDTSIQEEDFSLELPAPPGWKKKFVPKKSGTPKKNEIIFTAPSGEEITGRRQLEQYLKAHPGGPAASEFDWGTGETPRRSARISEKAKAAPTPETESPKKRSRKLSAPKKENKEPETAPEGAEKTKDTQMQEAGKIGKDDAEMEAGKEDVKGNQEGNKDKPLDSDAKTEATPTEEAKVEKDADKSEEAEEGKGNVDDDKVQGEDEQPQVEASEGQKHNKSIPESEGEIKDKEAANGNKEKPNFTDILNEKVQGEVTENGRSGSDANAKQG >Manes.14G133700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10712585:10718494:1 gene:Manes.14G133700.v8.1 transcript:Manes.14G133700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKDTSIQEEDFSLELPAPPGWKKKFVPKKSGTPKKNEIIFTAPSGEEITGRRQLEQYLKAHPGGPAASEFDWGTGETPRRSARISEKAKAAPTPETESPKKRSRKLSAPKKENKEPETAPEGAEKTKDTQMQEAGKIGKDDAEMEAGKEDVKGNQEGNKDKPLDSDAKTEATPTEEAKVEKDADKSEEAEEGKGNVDDDKVQGEDEQPQVEASEGRGSGEQDKAGTGMNDKSEAEGENKEKHNKSIPESEGEIKDKEAANGNKEKPNFTDILNEKVQGEVTENGRSGSDANAKQG >Manes.02G014900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1413475:1417930:1 gene:Manes.02G014900.v8.1 transcript:Manes.02G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKFQNLGFASNYPSNPFKMGSSVQVGGPVAEYSADTVLRLDSPGSSVTYMSPAKGIKRKWNLMDRSMGQCVGSSLSLGLGRSSSSSDSKGSSATACTTMSSAKETDEESSMDLELDFSLHLGNEKMSSPKKSASSNLKELELHTKVDLELSLSTGLSESDITSVYPHPNSTPLEFCMEMPLTVVGASNVNEGSTSCSWKTGITLLMTQNKEANLFPNQVQRTCDPTPNVPDLSLSAITVPKSSVTCTSGITQRQQAHQRSSSSKMCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHDGCTRAARGKSGLCIRHGGGKRCQKENCTRSAEGLSGLCISHGGGRRCQATGCTKGAQGCTMFCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCAFQGVGVCTKSVHGGTNFCVAHGGGKRCSVPECTKSARGRTDFCVRHGGGKRCKFEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGVQPTGPCNSFARGKTGLCALHSGLVQDKRVHGGVTLGPIIQEPHVSENEKMKKAVIAEDMSVDIVKMGTNIGASASIATSDMKTLGVPNVQIPVGEPGLPTISVFIPEGRVHGGSLMAMLASGSGIGSSSSQIINGDSSESRKSYMMPQSWV >Manes.08G068601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11054605:11083907:1 gene:Manes.08G068601.v8.1 transcript:Manes.08G068601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSDSIKPRDVCIVGVARTPMGGFLGSLSSLSATKLGSIAIQAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTINKVCSSGLKATMLAALTIQVGVNDIVVAGGMESMSNAPKYLAEARKGSRLGHDTIIDGMLKDGLWDVYNDIGMGVCAEICADQYTITREEQDAYATQSFERGISAQNDGVFSWEIVPVEISEGRGKSSTIVDKDEGLGKFDAAKLRKLRPSFKVSGGSVTAGNASSISDGAAALVLVSGEKAIELGLQVIARIRGYGDAAQAPEWFTTAPALAIPKAISNAGLKASQIDYYEINEAFSVVALANQKLLGLNLDKVNAHGGAVSLGHPLGCSGARILVTLLGVLRHKNGKYGVAGICNGGGGASALVLELMSVARVGPSML >Manes.06G175300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29882726:29889193:-1 gene:Manes.06G175300.v8.1 transcript:Manes.06G175300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQILTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTAAEIDDYLAEVE >Manes.06G175300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29882726:29889193:-1 gene:Manes.06G175300.v8.1 transcript:Manes.06G175300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQILTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGTDKKFRVLTAAEIDDYLAEVE >Manes.01G226300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39144661:39149810:1 gene:Manes.01G226300.v8.1 transcript:Manes.01G226300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSCILFLLCALSYALTAIAGKSYYDILQVPKSASDEQIKRAYRKLALKYHPDKNPGNEEANKRFAEINNAYEVLSDQQKRDIYDKYGEEGLKQHMANGGRGGGMGMDINDIFKSFFGGGGDMEEEERIVKGDDVVVELDATLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNQVYHKQIGPGMFQQMTEQVCDQCQNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIRTAPHDRFRREGNDLHTVVTITLVQALVGFEKTLKHLDEHLVDISTKGITEPKSVRKFKGEGMPLHFSTKKGDLYVTFEVLFPTSLTEDRKRKIKEILG >Manes.01G226300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39144772:39149330:1 gene:Manes.01G226300.v8.1 transcript:Manes.01G226300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRSCILFLLCALSYALTAIAGKSYYDILQVPKSASDEQIKRAYRKLALKYHPDKNPGNEEANKRFAEINNAYEVLSDQQKRDIYDKYGEEGLKQHMANGGRGGGMGMDINDIFKSFFGGGGDMEEEERIVKGDDVVVELDATLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNQVYHKQIGPGMFQQMTEQVCDQCQNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIRTAPHDRFRREGNDLHTVVTITLVQALVGFEKTLKHLDEHLVDISTKGITEPKSVRKFKGEGMPLHFSTKKGDLYVTFEVLFPTSLTEDRKRKIKEILG >Manes.05G008642.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:502902:503630:1 gene:Manes.05G008642.v8.1 transcript:Manes.05G008642.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSLSRAHTSPRQPQEPPSPRYFSCSSFKDINDVILEEQNGSKSQSQTPRRPSIFHRASPLHGHHRNHSKTFIISPPPNQDDHEIILYFTGLGIVRKTFEDCRTVRSILRGFRVPIDERDLSMDAGYLDEIQMITCSKKVKLPAVFLGGKYVGGAEEIKEMNESGELSKLIGELPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFRTCTSCNVNGLVKCGLCYPVNRRRMST >Manes.04G032080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4070016:4071257:1 gene:Manes.04G032080.v8.1 transcript:Manes.04G032080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIFPLFFSTALLLICVFLIFLYYGVWWKHERCRIKLRKQGITGPPPSVIFGNIPEMKRMESQISDTPKIDGCLTVLPYFQHWTNNYGKLFRFALGGIQLLYVSNVEMVKEISRFRSLELGKPAYLQNERGALLGKGLITANGAAWSHQRKTITPQLCTEKIKDMVKLMVESGNVLVETWEKIIESEGDDNGIVEIMVDQHMRNFTSYIASKMIFGNDHHKGFKIFPKCQALIEATGGATTLGIPFSRYVIYRKFLE >Manes.09G048400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8305344:8306075:1 gene:Manes.09G048400.v8.1 transcript:Manes.09G048400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEAPRPPIPAHRRRNSVATSVVIATKPTLPSTPLHSSIFSPHRTNGNGVVSSPPLAFGLASLEAYTSLKDILPSTAVNSPTAASSASRNLFRNRLVQQAAWAYLQPMSSSPDSSSQHFLLRFWMQFSTRNPITSCIRFVNLRVIPSITEAFDRILRAIRFRMEKQHWLTFFSK >Manes.14G154200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:18391086:18392504:-1 gene:Manes.14G154200.v8.1 transcript:Manes.14G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGHGCVAEEKRRVVSVLHGDELFFNRVISRNPSVGYSSRVFYYRSGAEGVPFQWEMQPGTPKVQPKEESIPPLSPPPALLSLGLPKPCIDVDQEVNRVSLGSRLKFWRRSKKTKKTQRGSRLGSRSENAVAGGGGGGGCDAFERFEFCSSDGEFMTWSSPRNSSTSSSSSSMSFSNGDSRQSSRLESPARDSIQGIHACSPWNLSSILVSVARRV >Manes.13G128100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33627115:33630244:1 gene:Manes.13G128100.v8.1 transcript:Manes.13G128100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFASVHKVFGASNVAKLLNELNTSQREDAVISLAYEADARLRDPVYGCVGLISILQHRLKELQADLYNAKKELAQYIGPQAMLPTLQSSTFIPQTHLGNPTASSMMQHGALPIMGVPGPPTHGGQLVIREPQQQQQQRHQSQIFEAQQLAAAFAVREHQDMFRAYEQQQEIVRFNRGFDAADSVTTTGFNQITVASMSPSLALGSFDTPYQVQPQQVDHQLQAQLFLRPQQAQSQFHEQQQNSQQTQQHHQPSGSDEGGSIGPSC >Manes.05G133900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23546083:23547231:-1 gene:Manes.05G133900.v8.1 transcript:Manes.05G133900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKTDRKPPLAKSPIRLRPRRPTTSSVQTPPGSLTKSQKPNPTRDMEESDPCPEYRTISWELRALARKVQEEFGNGNSTNAGISSSVDSSTLFERGRFYEAYSARRNERLKRKKGETGEEGKTSYNLGVTIESSKKRDSKKLENLRKSVSAAYSVDRSENPIPRYSLRSLSKENKKPPLAVNYEKSVLPSERKVGARSVRKF >Manes.16G041000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5708938:5716084:1 gene:Manes.16G041000.v8.1 transcript:Manes.16G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWNPYGFRFLCFFVLILGLEIQGHWSINDEGQNLLEFRARVSSDPFGVFANWNSNDSNPCLWSCVHCVAGKVQTLDLNGLDLEGTLAPQLGKLSHLRSLVLSKNRFSGTVPEEIGELRKLELLDLRGNNFSGEIPVAIGRLLSLKCLLLCGNRFEGSSSLEIGKLKLFSESQLDENVSCDAASSSACVNTMFGNGFKIGKDSLHEHDKQPASSPEPRTAQNAEILVNIARRELFEWSSNLPAAPASSESSDQQIIALPTSTSSGSFPAIPNANKNESAPPPTSISASPPKGFQSDSDFSSNNGSQNSETFETWIYFLIIPVVFTLIFIVLGLLFMCRKRGVTTIGPWKTGLSGQLQKAFVTGVPKLNRAELETACEDFSNIIATYSGCTFYKGTLSSGVEIAVASVLVASSKDWSKSAEVTYRKKIDMLSRINHKNFVNLIGYCEEEEPFSRMMVFEYAPNGFLFEHLHVKEMEHLDWNARMRIIMGTAYCLQYMHHDLNPPVAHSKLNARSILLTDDYAAKIAEINCLRQAFSKSKFSGENESENSSFSPVVDLETNVYSFGMLLLEIISGKLQYSKEQGPLEKWASEYLNDKRSISYMIDPSLKSFKNKELDVICEVIQECIQPDPKQRPTMRDVTSKLREVIAISPDQAVPRLSPLWWAELEILSVEAT >Manes.16G041000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5708938:5716084:1 gene:Manes.16G041000.v8.1 transcript:Manes.16G041000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWNPYGFRFLCFFVLILGLEIQGHWSINDEGQNLLEFRARVSSDPFGVFANWNSNDSNPCLWSCVHCVAGKVQTLDLNGLDLEGTLAPQLGKLSHLRSLVLSKNRFSGTVPEEIGELRKLELLDLRGNNFSGEIPVAIGRLLSLKCLFEGSSSLEIGKLKLFSESQLDENVSCDAASSSACVNTMFGNGFKIGKDSLHEHDKQPASSPEPRTAQNAEILVNIARRELFEWSSNLPAAPASSESSDQQIIALPTSTSSGSFPAIPNANKNESAPPPTSISASPPKGFQSDSDFSSNNGSQNSETFETWIYFLIIPVVFTLIFIVLGLLFMCRKRGVTTIGPWKTGLSGQLQKAFVTGVPKLNRAELETACEDFSNIIATYSGCTFYKGTLSSGVEIAVASVLVASSKDWSKSAEVTYRKKIDMLSRINHKNFVNLIGYCEEEEPFSRMMVFEYAPNGFLFEHLHVKEMEHLDWNARMRIIMGTAYCLQYMHHDLNPPVAHSKLNARSILLTDDYAAKIAEINCLRQAFSKSKFSGENESENSSFSPVVDLETNVYSFGMLLLEIISGKLQYSKEQGPLEKWASEYLNDKRSISYMIDPSLKSFKNKELDVICEVIQECIQPDPKQRPTMRDVTSKLREVIAISPDQAVPRLSPLWWAELEILSVEAT >Manes.16G041000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5708938:5716084:1 gene:Manes.16G041000.v8.1 transcript:Manes.16G041000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDKICWSFVQEDLNGLDLEGTLAPQLGKLSHLRSLVLSKNRFSGTVPEEIGELRKLELLDLRGNNFSGEIPVAIGRLLSLKCLLLCGNRFEGSSSLEIGKLKLFSESQLDENVSCDAASSSACVNTMFGNGFKIGKDSLHEHDKQPASSPEPRTAQNAEILVNIARRELFEWSSNLPAAPASSESSDQQIIALPTSTSSGSFPAIPNANKNESAPPPTSISASPPKGFQSDSDFSSNNGSQNSETFETWIYFLIIPVVFTLIFIVLGLLFMCRKRGVTTIGPWKTGLSGQLQKAFVTGVPKLNRAELETACEDFSNIIATYSGCTFYKGTLSSGVEIAVASVLVASSKDWSKSAEVTYRKKIDMLSRINHKNFVNLIGYCEEEEPFSRMMVFEYAPNGFLFEHLHVKEMEHLDWNARMRIIMGTAYCLQYMHHDLNPPVAHSKLNARSILLTDDYAAKIAEINCLRQAFSKSKFSGENESENSSFSPVVDLETNVYSFGMLLLEIISGKLQYSKEQGPLEKWASEYLNDKRSISYMIDPSLKSFKNKELDVICEVIQECIQPDPKQRPTMRDVTSKLREVIAISPDQAVPRLSPLWWAELEILSVEAT >Manes.16G041000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5708938:5716084:1 gene:Manes.16G041000.v8.1 transcript:Manes.16G041000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDKICWSFVQEDLNGLDLEGTLAPQLGKLSHLRSLVLSKNRFSGTVPEEIGELRKLELLDLRGNNFSGEIPVAIGRLLSLKCLFEGSSSLEIGKLKLFSESQLDENVSCDAASSSACVNTMFGNGFKIGKDSLHEHDKQPASSPEPRTAQNAEILVNIARRELFEWSSNLPAAPASSESSDQQIIALPTSTSSGSFPAIPNANKNESAPPPTSISASPPKGFQSDSDFSSNNGSQNSETFETWIYFLIIPVVFTLIFIVLGLLFMCRKRGVTTIGPWKTGLSGQLQKAFVTGVPKLNRAELETACEDFSNIIATYSGCTFYKGTLSSGVEIAVASVLVASSKDWSKSAEVTYRKKIDMLSRINHKNFVNLIGYCEEEEPFSRMMVFEYAPNGFLFEHLHVKEMEHLDWNARMRIIMGTAYCLQYMHHDLNPPVAHSKLNARSILLTDDYAAKIAEINCLRQAFSKSKFSGENESENSSFSPVVDLETNVYSFGMLLLEIISGKLQYSKEQGPLEKWASEYLNDKRSISYMIDPSLKSFKNKELDVICEVIQECIQPDPKQRPTMRDVTSKLREVIAISPDQAVPRLSPLWWAELEILSVEAT >Manes.14G159466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21667779:21668025:-1 gene:Manes.14G159466.v8.1 transcript:Manes.14G159466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPVGIASGSLISTTTQSRLYLQTNSKPNSVYI >Manes.14G013900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1198282:1202616:1 gene:Manes.14G013900.v8.1 transcript:Manes.14G013900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHAVALPDQLSTPILDSESHYLLLSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLYVAKFHYRNGEFKDALRVLDMGFIMGGMLLRKDLVSAIQIISAKARENDVQTHGFEKSEHKLVREAEFQKSEVFRFLPAKSLSSKIVVKRSALSLETFLREHFLCGCPVILSDYMTHWPARTKWNDMDYLIKVAGDRTVPVEVGKNYLCRDWKQELITFSQFLERIQSNSSSDVPTYLAQHPLFDQINELRNDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHRDPHHNILAQVLLCE >Manes.14G013900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1198283:1202616:1 gene:Manes.14G013900.v8.1 transcript:Manes.14G013900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHAVALPDQLSTPILDSESHYLLLSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLYVAKFHYRNGEFKDALRVLDMGFIMGGMLLRKDLVSAIQIISAKARENDVQTHGFEKSEHKLVREAEFQKSEVFRFLPAKSLSSKIVVKRSALSLETFLREHFLCGCPVILSDYMTHWPARTKWNDMDYLIKVAGDRTVPVEVGKNYLCRDWKQELITFSQFLERIQSNSSSDVPTYLAQHPLFDQINELRNDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHRDPHHNILAQVLLCE >Manes.14G013900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1198283:1202616:1 gene:Manes.14G013900.v8.1 transcript:Manes.14G013900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHAVALPDQLSTPILDSESHYLLLSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLYVAKFHYRNGEFKDALRVLDMGFIMGGMLLRKDLVSAIQIISAKARENDVQTHGFEKSEHKLVREAEFQKSEVFRFLPAKSLSSKIVVKRSALSLETFLREHFLCGCPVILSDYMTHWPARTKWNDMDYLIKVAGDRTVPVEVGKNYLCRDWKQELITFSQFLERIQSNSSSDVPTYLAQHPLFDQINELRNDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHRDPHHNILAQVVGKKYIRLYPASLSGELHPYSETMLCNSSQVDLDNIDEREFSNACDLEFMDCILEEGEMMYIPPKWWHYVRSLTTSFSVSFWWSEQGS >Manes.14G013900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1198283:1202616:1 gene:Manes.14G013900.v8.1 transcript:Manes.14G013900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHAVALPDQLSTPILDSESHYLLLSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLYVAKFHYRNGEFKDALRVLDMGFIMGGMLLRKDLVSAIQIISAKARENDVQTHGFEKSEHKLVREAEFQKSEVFRFLPAKSLSSKIVVKRSALSLETFLREHFLCGCPVILSDYMTHWPARTKWNDMDYLIKVAGDRTVPVEINELRNDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHRDPHHNILAQVVGKKYIRLYPASLSGELHPYSETMLCNSSQVDLDNIDEREFSNACDLEFMDCILEEGEMMYIPPKWWHYVRSLTTSFSVSFWWSEQGS >Manes.14G013900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1198283:1202616:1 gene:Manes.14G013900.v8.1 transcript:Manes.14G013900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHAVALPDQLSTPILDSESHYLLLSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLYVAKFHYRNGEFKDALRVLDMGFIMGGMLLRKDLVSAIQIISAKARENDVQTHGFEKSEHKLVREAEFQKSEVRCFVFYLPSLYLARLWTKWNDMDYLIKVAGDRTVPVEVGKNYLCRDWKQELITFSQFLERIQSNSSSDVPTYLAQHPLFDQINELRNDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHRDPHHNILAQVVGKKYIRLYPASLSGELHPYSETMLCNSSQVDLDNIDEREFSNACDLEFMDCILEEGEMMYIPPKWWHYVRSLTTSFSVSFWWSEQGS >Manes.14G013900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1198283:1202616:1 gene:Manes.14G013900.v8.1 transcript:Manes.14G013900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHAVALPDQLSTPILDSESHYLLLSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLYVAKFHYRNGEFKDALRVLDMGFIMGGMLLRKDLVSAIQIISAKARENDVQTHGFEKSEHKLVREAEFQKSEVFRFLPAKSLSSKIVVKRSALSLETFLREHFLCGCPVILSDYMTHWPARTKWNDMDYLIKVAGDRTVPVEVGKNYLCRDWKQELITFSQFLERIQSNSSSDVPTYLAQHPLFDQVVGKKYIRLYPASLSGELHPYSETMLCNSSQVDLDNIDEREFSNACDLEFMDCILEEGEMMYIPPKWWHYVRSLTTSFSVSFWWSEQGS >Manes.14G013900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1198283:1202616:1 gene:Manes.14G013900.v8.1 transcript:Manes.14G013900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAHAVALPDQLSTPILDSESHYLLLSISSHGGYAYVSMATLAASGDFRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLYVAKFHYRNGEFKDALRVLDMGFIMGGMLLRKDLVSAIQIISAKARENDVQTHGFEKSEHKLVREAEFQKSEVRCFVFYLPSLYLARLWTKWNDMDYLIKVAGDRTVPVEINELRNDICIPDYCFVGGGELRSVNAWFGPAGTVTPLHRDPHHNILAQVVGKKYIRLYPASLSGELHPYSETMLCNSSQVDLDNIDEREFSNACDLEFMDCILEEGEMMYIPPKWWHYVRSLTTSFSVSFWWSEQGS >Manes.18G011100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1306692:1310050:1 gene:Manes.18G011100.v8.1 transcript:Manes.18G011100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVNGLVTVIRTVAAASAAGTTARHRVFQAAMMSTCASTNSSQRIADRFGISSPSVAHGVAGTMFFSVAASSLEQEVHAKEAPPAEKFLPKDVVLYQYEACPFCNKVKAFLDYYSIPYKIVEVNPISKKEIKWSNYKKVPILTVDGEQMVDSSDIINKLFQRIYPDDSIPSDDEESKWQGWVDNHLVHVLSPNIYRTTSEALESFDYITTHGNFSFTERLVAKYAGAAAMYFVSKKLKKRHNITDERAALYEAAETWVNALKGREYLGGSKPNLADLAVFGVLRPIRHLKSGKDMVEHTHIGEWYSRMEQAVGEPARIKA >Manes.09G133700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33411853:33416453:1 gene:Manes.09G133700.v8.1 transcript:Manes.09G133700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQRKAAASPNMALNGALEDGSNMVEVFVQGGNAADDEQRDGVDENGENQKEIEEGEEDEGDDNENENEDEDEEEEEEERREEERPKLDEGFFEIEAIRRKRIRKGQLQYLIKWRGWPETANTWEPLENLQSCSDVIDAFEESLQSGKSSRKRKRKYGGPHNQTKKKLSRSSAGYNITGFEVNVVDKSLSSAPLNNSSLADPPVGSGHKGQNNEDVNNDRTVKKADENGCTNSSKEAFDMKEDNEYDPKLSELRGTMSTNDVNADKLAIQFQEGNASRGGGPTNGLPKVDYGDSIQDSRRTGAKRRKSGSVKRFKKDLDMCESLCLQSSPFFLQSSPLNISVGSVGATAQLGIENGTFVGNNSSYNPVGVNSTTITKILKPIGFTASVMDNVQDVLVNFVALRSDGKEVVVDNTFLKANNPLLLIDFYEQHLKYST >Manes.09G133700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33411853:33416453:1 gene:Manes.09G133700.v8.1 transcript:Manes.09G133700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQRKAAASPNMALNGALEDGSNMVEVFVQGGNAADDEQRDGVDENGENQKEIEEGEEDEGDDNENENEDEDEEEEEEERREEERPKLDEGFFEIEAIRRKRIRKGQLQYLIKWRGWPETANTWEPLENLQSCSDVIDAFEESLQSGKSSRKRKRKYGGPHNQTKKKLSRSSAGYNITGFEVNVVDKSLSSAPLNNSSLADPPVGSGHKGQNNEDVNNDRTVKKADENGCTNSSKEAFDMKEDNEYDPKLSELRGTMSTNDVNADKLAIQFQEGNASRGGGPTNGLPKVDYGDSIQDSRRTGAKRRKSGSVKRFKKDLDMCESLCLQSSPFFLQSSPLNISVGSVGATAQLGIENGTFVGNNSSYNPVGVNSTTITKILKPIGFTASVMDNVQDVLVNFVALRSDGKEVVVDNTFLKANNPLLVLINPLPTYRASKL >Manes.09G133700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33411853:33416453:1 gene:Manes.09G133700.v8.1 transcript:Manes.09G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQRKAAASPNMALNGALEDGSNMVEVFVQGGNAADDEQRDGVDENGENQKEIEEGEEDEGDDNENENEDEDEEEEEEERREEERPKLDEGFFEIEAIRRKRIRKGQLQYLIKWRGWPETANTWEPLENLQSCSDVIDAFEESLQSGKSSRKRKRKYGGPHNQTKKKLSRSSAGYNITGFEVNVVDKSLSSAPLNNSSLADPPVGSGHKGQNNEDVNNDRTVKKADENGCTNSSKEAFDMKEDNEYDPKLSELRGTMSTNDVNADKLAIQFQEGNASRGGGPTNGLPKVDYGDSIQDSRRTGAKRRKSGSVKRFKKDLDMCESLCLQSSPFFLQSSPLNISVGSVGATAQLGIENGTFVGNNSSYNPVGVNSTTITKILKPIGFTASVMDNVQDVLVNFVALS >Manes.13G006700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1127298:1130427:-1 gene:Manes.13G006700.v8.1 transcript:Manes.13G006700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMGKPSFMKPMCLASHFRSFYFPLLRDFGMGTFHSPTLLFTFSFSSSSTSTYTHTHKDANLRSKFLCAYFRDVDDALASFNHVILMHPLPSRVEFNRFLSALVGIKQYHTVISLSKTIELLGISHTVYSLSILINCFCRLHLVDFGFSILGKILKLGLKPNIVTFNTLINGLCIEGKINRAVHFFNHMVDRGYQPNVLTYSVIINGLCKLGHTNVAIRLLKEMVERGCQPDAVTYTTIIDALCRDKLIFEALDLFSHMRNKVSPNVITYNCLIHGLCNLGKQNEALALLKEMAVDKISPDIFTFNILLDSLCNEGLISEAQSVIKIMIQRGVEPDVVTYNSLIKGYCLCSQVDQALALHYEMVGQNISPNIYTFNVLIDSLFKQGMVSKVRDIMNSMVHIGIKPDVVTYNSLMDGYCLHSQMDEVRKVFDMMVRNDIANVSSYNILINGYCKRKGIDEAMKLFNEMPCKGLVPDSVTYNTLIQGLWEAGRPRAALEFFKNMHYHGHQPDLVTFSILLNGLCKQGDLDDALTIFEAMEKSRFKPDCVVYSILIDAMCKAGKFNDVKELFSRLFEKGLKPDVYTYITIIKGLCAEGSLDEAYKVFREMEEGGCLPDERCYNVIIQGFLKHENVVKASQLIDEMVDKGFSSDVTTAELVIHLSLNDDLILRKLRNRSGGPKGVSVK >Manes.03G106016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22979204:22979728:-1 gene:Manes.03G106016.v8.1 transcript:Manes.03G106016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSISEFLSSNNFSHHNFATLTALILLILIFIAFLSILIISIIFFIIYMLLNHIRHLSPNANLSNDLEFGWIFNRFRFIYNHNFQSRETFDETSTKKNKSIQIIDCLLPSIKYGGEEMKSKYEDCAICLEDYIKGDLCRIFPMCKHIFHSNCIDAWLENNSTCPICRECIFST >Manes.01G043400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8543048:8543917:-1 gene:Manes.01G043400.v8.1 transcript:Manes.01G043400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPPQPPRPIKNTHNLHAHAHKPTNFPDFFFTALSIFFFFSSSSSSSSSFKPQFPKVPFLCFPSNPRRFLKIPSMSISKTNHRFATPQSLSEWLKPRLPSDSLASWGVKPGTKNVHNLWLELSEGETFLADSTPPIRTVSVVTVRIIGKNNQILVESHQELSDGSVRNRWRPLSEKMKPNETPEAAVYRAIKEELGSIINDCGVVRIVPGSYKEKVEEGNSMSYPGLPARYVLHSVDVTVEGLPEGEFFTEEVDEYIDSEDKRAADKAVFVKKHFWKWVSSDSVQS >Manes.16G012100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:1184826:1187181:1 gene:Manes.16G012100.v8.1 transcript:Manes.16G012100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSFALFRLLCRRFAGYSLSESSHEKTWKLVRNGLLFKEGDNERAFRVIEVELSFLYDFFYTKYPAIFRGRMLIFKIIQLITVVIVFWFTVSTLQNYRSPNGYLNLITITGHSVDVLVTGIMMMAIIFVDIMQAFLLIFSDWSKVMWICKYVQKESWQNRKWIEKMIEIVCHGSWLKPWEGKLGQYSLLESFDHSPFKLIYDYIVTYCTSRPGIKENAHIELPVEVKKAVADSIRINERRLTNGVASLERNGVADQLSWACRLETQAHVFMVWHIATSLCEFKSSEQVNPQSLGENFVVATSLSKYCAYLAVFAPRFLPDHAYTTELIIDKVLGEAKDVLIGCKNRTSIYEKLMALDKDNTEETIIKRGAKLGHVMIEGIEDEELRWKVLADFWAELMLFVASSNDIQVHADYLARGGEFVTHLWALLTHAGILTQDSTEQDV >Manes.12G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:10651796:10664086:1 gene:Manes.12G085500.v8.1 transcript:Manes.12G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYSKRSRYSPSPSPYKRYRSVSRSLSVSRSRDRSRSPSSDVENPGNNLYVTGLSARITNRDLEKHFASEGTVVDVHLVVDPWTRESRGFGFVTMSNVDEADRCIKYLNRSVLEGRVITVEKAKRRRGRTPTPGRYLGLRTIRVRRWTPSYSPRRSPSYSPYQRSRSRSRYYSSERSRSRSYSRRYSRHRSYSPCYRHRSYSSYYYSRRQSYSRSTYSRSPASRRDRSHSPYDSRYHLPDYRYYGRHHYHSISRSPTPQRRRGSMRSYSSSISPRSYSSSISPRKSSRRSYSRSFSPISKRSLRSYSRSPSRRVRKSSRRSYSRSVSPRGRKKSRSLSHGGSYSRSASASPISRSVSRSVTPRSASPSS >Manes.17G121200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32564451:32566669:-1 gene:Manes.17G121200.v8.1 transcript:Manes.17G121200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQENYQQQHSHVQEDDHDHQLPEEEEEEEEEEEPQLQELEKQRNHPIPIPLPVTMHYSSSSSPPPKPPPKLPSSRFDSPIRSSDHSSLSHGYVFSHAQLNQSKYESPPEDQISHSYVFSSSPDVNPVKPSSPPLPEAAAVPVSKVGSETQDDEVVKSVEDGVVGRGGSSRRRANFSISGKKREVTKRKTLLGFRFFGLVFCLASFSIMAADKNQGWALDSFHRYKEFRYCMSVNVMGFAYSGLQVYDLANSLAAGKLVSQNQLRYYLDFSLDQAFVYILKWGKGILFQRMKLWGP >Manes.17G121200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32562967:32566669:-1 gene:Manes.17G121200.v8.1 transcript:Manes.17G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQENYQQQHSHVQEDDHDHQLPEEEEEEEEEEEPQLQELEKQRNHPIPIPLPVTMHYSSSSSPPPKPPPKLPSSRFDSPIRSSDHSSLSHGYVFSHAQLNQSKYESPPEDQISHSYVFSSSPDVNPVKPSSPPLPEAAAVPVSKVGSETQDDEVVKSVEDGVVGRGGSSRRRANFSISGKKREVTKRKTLLGFRFFGLVFCLASFSIMAADKNQGWALDSFHRYKEFRYCMSVNVMGFAYSGLQVYDLANSLAAGKLVSQNQLRYYLDFSLDQILTYLLLSSSSSATFRFEEWESNWGKDKFPAMARSSVVLSYLAFIAFALCSLISGHTLFTPKSM >Manes.07G044166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5118023:5120040:-1 gene:Manes.07G044166.v8.1 transcript:Manes.07G044166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGDIITKLGSRALHEIGLWWGVKGELKKLEATVSSIRNVLLDAEEQQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVSLFFSSSNQLVYGFKMGHKVKEIRERLADIEADRKFNLEFRTDQESIVWRDQTTSSLPEVVIGREGDKKAITELVLSSNGEECVSVLSIVGIGGLGKTTLAQIIFNDELIKNSFEPRIWVCVSEPFDVKMTVGKILESATGNRPEDLGLEALKSRLEKIISGKKYLLVLDDVWNENREKWENLKRLLVGGSSGSKILITTRSKKVADISSTMAPHVLEGLSPDESWSLFLHVALEGQEPKHANVREMGKEILKKCHRVPLAIKTIASLLYAKNPETEWLPFLTKELSRISQDGNDIMPTLKLSYDHLPSHLKHCFAYCAIYPKDYVIDVKRLIHLWIAQGFIESPSTSDYLEDIRLEYFMKLWWRSFFQEVERDRYGNVESCKMHDLMHDLATTVGGKRIQLVNSDILNINEKIHHVALNLDVASKEILNNAKRVRSLLLLEKYDCDQLFIYKNLKFLRVFMMHSYTTMDNSLKMLKYIRYLDVPITRDLKHFHILLPIC >Manes.15G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2927549:2937591:-1 gene:Manes.15G037500.v8.1 transcript:Manes.15G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISSEEAGVARSTEGISSGQRCQSGEALAEWRSSEQVENGTPSTSPPYWDTDDDDDGGPKPSELYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFLDASDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGRLIEDKNRWSSFCAFWLGMDPNARRRMSRERTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKSKKGRVKLLDTEEMPAPIVRVEKDMFVLVDDVLLLLERAAMEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFNHKIEVAYQEAVALKRQEELIREEEAAWLAESEQKAKRGGTEKEKKSKKKQAKQKRNNRKGRDKGRDERSSAAVLDKHQEENPSNEKKGPIIVEVQPVINKPDILEDLSDVSDSVDGAAEVLQLDSEDRDASPVNWDTDTSEVHPPAEASGSGVSGLSSIPNGSAEKRSTCAMDDSSSTCSTDSVPSVVNNGFVRGNSHSSYRGVKSPSRVKHPRDKVTSEGSWTSEMDNHLSAPPVDAGDVNEVTGSSKAVECELEAVVHVSQGSIMLTEPHVVKKVVEEVMPQKKLSTKDLVDMERSSKERATIIPASSRSPPRSPPKNLQSTILLKLENKSSAALDPSVQSKKASPNCGHQTDKAAPSMISLQNIGMSKPEIQTASTSKPMLQQVPAMSRPSSAPLIPGPRPAAPVVSVIQTSPLLARSISATGWLGPDSSPTNHSYAPLSYRNAIIGNPIGSSDGNFTHATSASLGVGLPSAHTQPPALVSASMFLPQSADRVDLNSVQSGFPFGMVTRDVSQNGPQRMESSQGVVTDEFPHIDIINDLLDDEHSIGKVAEANSVFQSINNAPHFLNRQCSFPGEVGSISGELVSSTSSSCRFERARSYRDSGFQRSYSSLGTHFDTPREYIPQAGPLPYANGHADGLIPSHWQVAGSDLSLVGLRSTEGDGSPYFSPDFSNLACGNNGYTVFRPSNGH >Manes.04G076800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27832965:27834395:-1 gene:Manes.04G076800.v8.1 transcript:Manes.04G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKLTGKVKWFNDQKGFGFITPDDGGADLFVHQSSIRSEGFRSLGEGEEVEFQIEHSDDGRTKAVDVTGPNGNPVLGSRNGGGGGGRGGRGGGYGGGGYGGGGGSYGGGRGGRSGGDYGSFGGGGGGCFSCGEIGHMARDCQQGGGGGGRYGGGGGGGGGGGNCYNCGGSGHFARECPNSGR >Manes.01G134600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32765366:32774640:1 gene:Manes.01G134600.v8.1 transcript:Manes.01G134600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAENEMKNDGSANSPTSVLEDEENCNDKTGDNLEKDVLLAAKNGDSSLVSKAMAEEEEKLLEARIREEAEKEEELEEAPQLNDTQFTKLDELLNQTQLYSEFLLEKMDEITRNGVELESETTDKKRGRGSKRKAAAQYNSGKAKRAVAAMLTRSKEAEKTEDGNLTEEERLEKEQRELVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGLDGPYIIIAPLSTLSNWVNEISRFAPSINAIIYHGNKKQRDEIRRKYMPRSIGPKFPIVVTSYEVAFFDARKYLRHYNWKYVVVDEGHRLKNSKCKLLKELKHLAMENKLLLTGTPLQNNLAELWSLLNFILPDIFQSHDEFESWFDLSGKNNGEAMKEELEEKRRAHVVAKLHAILRPFLLRRMKADVEWMLPRKKEIILYATLTEHQKNFQDHLINKTLEGHLREKLDTGRGLKGKLNNLMIQLRKNCNHPDLLESAFDGSYLYPPVEQIVSQCGKFRLLDKLLNRLFALKHKVLIFTQWTKILDIMDYYFSEKGYEVCRIDGNVKLEERKRQIQEFNDVNSDYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSIEVRILKRAFSKLKLEHVVIGKGQFHQERTKPNVINDLEEEDLLALVRDEESAEDKWIQTDISDEDLERVMDRSDLIGDSAENDVVDGVPLKGPGWEVVIPTATGGMLSTLNS >Manes.01G134600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32765366:32774640:1 gene:Manes.01G134600.v8.1 transcript:Manes.01G134600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAENEMKNDGSANSPTSVLEDEENCNDKTGDNLEKDVLLAAKNGDSSLVSKAMAEEEEKLLEARIREEAEKEEELEEAPQLNDTQFTKLDELLNQTQLYSEFLLEKMDEITRNGVELESETTDKKRGRGSKRKAAAQYNSGKAKRAVAAMLTRSKEAEKTEDGNLTEEERLEKEQRELVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGNGLDGPYIIIAPLSTLSNWVNEISRFAPSINAIIYHGNKKQRDEIRRKYMPRSIGPKFPIVVTSYEVAFFDARKYLRHYNWKYVVVDEGHRLKNSKCKLLKELKHLAMENKLLLTGTPLQNNLAELWSLLNFILPDIFQSHDEFESWFDLSGKNNGEAMKEELEEKRRAHVVAKLHAILRPFLLRRMKADVEWMLPRKKEIILYATLTEHQKNFQDHLINKTLEGHLREKLDTGRGLKGKLNNLMIQLRKNCNHPDLLESAFDGSYLYPPVEQIVSQCGKFRLLDKLLNRLFALKHKVLIFTQWTKILDIMDYYFSEKGYEVCRIDGNVKLEERKRQIQEFNDVNSDYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSIEVRILKRAFSKLKLEHVVIGKGQFHQERTKPNVINDLEEEDLLALVRDEESAEDKWIQTDISDEDLERVMDRSDLIGDSAENDVVDGVPLKGPGWEVVIPTATGGMLSTLNS >Manes.09G072500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12312532:12327426:1 gene:Manes.09G072500.v8.1 transcript:Manes.09G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGNPPNQGGGVFDVNKLFKPSSSPMNMNMMQSSNPPQQQQPQPQPPLSPSSSNPVVGNLTTSPSFPLPSSLPASSPPYLTPSSSYPPPTGPYHPYHPHYLTPYPTPAPQLQQHHNQFLTNMQQQNRLQPISSFPPPATAVAAPSIAPSPSPFSPNNPNPSGVLMDILTNHHHQQQHPPSTSLIMPFSSASSSSAVSNFNAPIPSAPPVSLASPTHQPQQPSPTPMRMLSSKLPKGRHLIGNHVVYDIDVRLQGEVQPQLEVTPITKYVSDPGLVLGRQIAVNRNYICYGLKPGAIRILNINTALRSLLRGHNQKVTDMVFFAEDVHLLASTCIDGRVFIRKINEGSDEEEKPQIFERIILALQIISEGESVHPRVCWHPHKQEILMVAIGNHILKIDTIKVGKGEVFSAEKLLNCSTDKLIDGVQLAGKHEGEVTELSMCQWMTTRLASASADGTVKIWEDRKAVPLAILRPHDGDPVNSVAFLTAPHRPDHIVLITGGPLNQEVRIWVSASEEGWLLPRDAESWQCNQTLTLKSSAESTFEDAFFNEVVALPHAGLFLLANAKKNAIYAIHIEYGPYPAATRMDYIAEFTVTMPILSLTGTSDSLPNGEHVVQVYCVQTQAIQQYALNLSQCLPPPLENMELEKKESNVSRIFDAASSDGSTIMKSSHESKPTMVPLGKGAPIEPMLSSSSENAPTASHPESLTASEVAILPDIDTSGVDTKDGASASHNSIENIGILSPPLPSSPQLSQKLSGFHGPSKSIEPSMQLNNHVVDQPVLDYLVEHRMDTANDMADVPSSGDNLRKGQKNISQTDISVVPEPPVTFKHPTHLITPSEILSRGSSSAENSQICQVMNVGEAKIQDVVVNHDMESVEVEVKVVGETGTNQSNDFDLPRESHANVPEKKEKPFYSQASDLGIQMARDCSVEAYTVGGIQQALESGVSDISDRPPTNGEDGGHDIRKDTPEKVGESETSVVVPQSTPSTKGKKQKAKNSQFSGPSSPSASPYNSTDSSNEPGCSSGAQSSDAALSQLSAMQDMLDQLLSMQKEMQKQMAVMVSVPVSKEGKRLEASLGRSIEKVVKANTDALWARFQEENTKHEKLERDRTQQMINLITNCVNKDLPSTLDKTLKKEIAAVGPAVARAITPTLEKSISSAINESFQRGVGEKAVNQLEKSVSTKLEGMVARQMQSQFQTSGKQALQDALRSSLEAAIIPAFEMSCKSMFDQIDSTFQKGLINHLNAAQQQFDSAHSHLAVALRDAINSASSITQTLSSELAEGQHKLFAIAAAGVNSKVANPSLSNGPLAALHEMAEAPLDPTKELSRLIAECKFEEAFTAALHRSDVSIVSWLCSQVDLPGILSMVPLPLSQGVLLALLQQLACDISKETSRKLAWMTDVAVAINPSDPMIAVHVRPIFDQVYQILSHQRNLPTTPASESASIRLLLHVINSVKMSCK >Manes.09G000800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:433877:435511:1 gene:Manes.09G000800.v8.1 transcript:Manes.09G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Manes.10G133689.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30002789:30010714:1 gene:Manes.10G133689.v8.1 transcript:Manes.10G133689.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAMDDAVVMFPSPAIGHFISMVELGKLILTFQPSLSIHILIVSAPYSAGSTASYIANVAATTPSISFHRLPTITLPSSTNTHYETLIFEVLRLSNPHVHQALLSISKTYKIKAFIMDFFCFFSLSIASQLNIPGYFFFTSGAGCLAASMYFPTLHQTTTKSFKDMNTFLNLPGLPPISSFNFSSAVSDRNNKAYEYFLDMAYCFPKSAGVIVNTFALLEARALKAISDGLCIPDSATPPVYCTGPLIVTNNQTDGDTDCLNWLESQPSQSVIFLCFGGLERSGQRFLWVVRNPPSDSQSLDISPDLNSLLPDGFLDRTKEKGLVVKSWAPQVAPPKFCIKPDETWFLISL >Manes.08G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:398996:402241:1 gene:Manes.08G001300.v8.1 transcript:Manes.08G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAVDKSVTEQLPQVLDPTAEQPPLFDGTTRLYTAYICPFAQRVWITRNYKGLQDKIKLIPLNLQSRPAWYGEKVYPTNKVPALEHNGKIIGESLDLIKYLDSNFEGQSLLPDDPAKKEFAEELFAYTDTFNSIVFTSFKGDPAKEAGPAFDYLENALHKFDDGPFLLGQFSLVDIAYIPFVERFLPFLAEVFKYDLTAGRPKLAAWIEEINKFEAYKQTKLDPKEIVETFKKRFLGQ >Manes.05G198900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32328293:32331011:-1 gene:Manes.05G198900.v8.1 transcript:Manes.05G198900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDICALQNNFKIFFTWKFKSDNNRVLSSKTLIQKPFSLLPKPLSCLHSSAPPHTIPQDNSTKHRTLLVESYHEHKRLKILLAKLNKKGSCPLEMLQDDGDWSKDHFWAVIRFLKQSSRTKEILQVFDTWKNIEKSRINEFNYEKIINLLCEEGIMEDASLTFIEMKSFGLSPSLQIFNSLIHGYASNGKFDDALFYLNQMKEINLSSESDTYDGLIQAYGKYKMYDEMGMCLKQMEIDGCSPDCFTYNLLIREFAQAGLLTKMERLYQSMRTKRMDLQSCTLIAMLEAYVNFGIVEKIDKVLRWVRKSKATLKEDLIRKIAVIYIENLMFSRLDDLGDDLSSRSGKTDIVWCLHLLSSACSLSQKGMDSIVRQMEEAKVSWNITFVNIILLAYLKIKDFTHLRILLSKLANLYVEPDMVTVGILFDAYDKGFHGTGIFETWRRMGLFSKHVEMKTDPLVLTAFGKGQFLRRCEETYSSLEPNAREKKKWTYFTLIDLVAKNNGSIN >Manes.05G198900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32328293:32331011:-1 gene:Manes.05G198900.v8.1 transcript:Manes.05G198900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDICALQNNFKIFFTWKFKSDNNRVLSSKTLIQKPFSLLPKPLSCLHSSAPPHTIPQDNSTKHRTLLVESYHEHKRLKILLAKLNKKGSCPLEMLQDDGDWSKDHFWAVIRFLKQSSRTKEILQVFDTWKNIEKSRINEFNYEKIINLLCEEGIMEDASLTFIEMKSFGLSPSLQIFNSLIHGYASNGKFDDALFYLNQMKEINLSSESDTYDGLIQAYGKYKMYDEMGMCLKQMEIDGCSPDCFTYNLLIREFAQAGLLTKMERLYQSMRTKRMDLQSCTLIAMLEAYVNFGIVEKIDKVLRWVRKSKATLKEDLIRKIAVIYIENLMFSRLDDLGDDLSSRSGKTDIVWCLHLLSSACSLSQKGMDSIVRQMEEAKVSWNITFVNIILLAYLKIKDFTHLRILLSKLANLYVEPDMVTVGILFDAYDKGFHGTGIFETWRRMGLFSKHVEMKTDPLVLTAFGKGQFLRRCEETYSSLEPNAREKKKWTYFTLIDLVAKNNGSIN >Manes.05G198900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32328293:32331082:-1 gene:Manes.05G198900.v8.1 transcript:Manes.05G198900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDICALQNNFKIFFTWKFKSDNNRVLSSKTLIQKPFSLLPKPLSCLHSSAPPHTIPQDNSTKHRTLLVESYHEHKRLKILLAKLNKKGSCPLEMLQDDGDWSKDHFWAVIRFLKQSSRTKEILQVFDTWKNIEKSRINEFNYEKIINLLCEEGIMEDASLTFIEMKSFGLSPSLQIFNSLIHGYASNGKFDDALFYLNQMKEINLSSESDTYDGLIQAYGKYKMYDEMGMCLKQMEIDGCSPDCFTYNLLIREFAQAGLLTKMERLYQSMRTKRMDLQSCTLIAMLEAYVNFGIVEKIDKVLRWVRKSKATLKEDLIRKIAVIYIENLMFSRLDDLGDDLSSRSGKTDIVWCLHLLSSACSLSQKGMDSIVRQMEEAKVSWNITFVNIILLAYLKIKDFTHLRILLSKLANLYVEPDMVTVGILFDAYDKGFHGTGIFETWRRMGLFSKHVEMKTDPLVLTAFGKGQFLRRCEETYSSLEPNAREKKKWTYFTLIDLVAKNNGSIN >Manes.09G015100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3280464:3283700:1 gene:Manes.09G015100.v8.1 transcript:Manes.09G015100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPTSVRFTTLINGLCMERKIDKAVEFFEDMVARGYQPDVYTYNTIINGMCKFGKTNVAIGLLKRMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDSLCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVNYSSLMDGYCLCNQIDKARNVFDLMVTNEIANIFSYTILINGYCKCKMIDDVKELFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALALLKEMEESQLKPDLVIYCILINGMCKAGKINDAEELFSSLFENGLQPNVHIYSAIMKGLCREGLIDKAYKIFRDMEKGGCLPDNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTKLVVHLLRNNDLILRLLKVRNEGSAN >Manes.02G050200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4045605:4054107:-1 gene:Manes.02G050200.v8.1 transcript:Manes.02G050200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTKQATNLLTMKWLKRRIVEESFLLSGGLIYPICHLSTAAHRSAELSFSRFLLFQCPESSHFSFSTVAFFLHLSSLSDSAMEGKLLKLKASSPVSPHPHLSRFLGTSCSFISKTRMNPSTLTPLSYARRILSVRIFASSSGLEKKKRVDESENLTLECIRHSLIRQEDSIIYSLLERSQYRYNADTYDPNAVAMDGFRGSLVEYMLKKTEGLHAQIGRYESPDEHPFFLDLPEPLLPPLQYPQILHPIADSININKKVWDVYFRDLLPRLVKDGDDGNIGSTAICDTICLKALSKRIHYGKFVAEAKFRANPDEYEAAIKAQDRDGLMYLLTNKKVEDAVKKRVEMKAMTFGQEVTIYCEENGSVPVCKIEPSLVADLYRDWIMPLTKEVQVLRRLYRGSLLRSYIQRLHPFLPREILKILQTFKFSNSD >Manes.01G218300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38717054:38723998:-1 gene:Manes.01G218300.v8.1 transcript:Manes.01G218300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEDARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLITGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKSAIKKLDDSEFRNAFSRAYVRVKEYDSKRDLSKSPSRSRSHSRGRGDSRSRGRSSSRSRSPTKSPKTKSSRRSPVRSRSRSRSASRSHSGSKRRSLSSELGIGK >Manes.01G218300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38716090:38724182:-1 gene:Manes.01G218300.v8.1 transcript:Manes.01G218300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEDARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLITGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKSAIKKLDDSEFRNAFSRAYVRVKEYDSKRDLSKSPSRSRSHSRGRGDSRSRGRSSSRSRSPTKSPKTKSSRRSPVRSRSRSRSASRSHSGSKRRSLSRSRSRSRSPLPSRQKNTSKSPKTKSVSRSPSRSKSLSR >Manes.01G218300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38719146:38723998:-1 gene:Manes.01G218300.v8.1 transcript:Manes.01G218300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKMSSRASRTLYVGNLPGDIREREVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEDARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLITGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKSAIKKLDDSEFRNAFSRAYVRVKEYDSKRDLSKSPSRSRSHSRGRGDSRSRGRSSSRSRSPTKSPKTKSSRRSPVRSRSRSRSASRSHSGSKRRSLSSELGIGK >Manes.01G218300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38717054:38723998:-1 gene:Manes.01G218300.v8.1 transcript:Manes.01G218300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKMSSRASRTLYVGNLPGDIREREVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEDARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLITGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKSAIKKLDDSEFRNAFSRAYVRVKEYDSKRDLSKSPSRSRSHSRGRGDSRSRGRSSSRSRSPTKSPKTKSSRRSPVRSRSRSRSASRSHSGSKRRSLSRSRSRSRSPLPSRQKNTSKSPKTKSVSRSPSRSKSLSR >Manes.01G218300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38716299:38724126:-1 gene:Manes.01G218300.v8.1 transcript:Manes.01G218300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEDARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLITGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKSAIKKLDDSEFRNAFSRAYVRVKEYDSKRDLSKSPSRSRSHSRGRGDSRSRGRSSSRSRSPTKSPKTKSSRRSPVRSRSRSRSASRSHSGSKRRSLSSELGIGK >Manes.01G218300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38716090:38724126:-1 gene:Manes.01G218300.v8.1 transcript:Manes.01G218300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEDARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLITGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKSAIKKLDDSEFRNAFSRAYVRVKEYDSKRDLSKSPSRSRSHSRGRGDSRSRGRSSSRSRSPTKSPKTKSSRRSPVRSRSRSRSASRSHSGSKRRSLSSELGIGK >Manes.01G218300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38717270:38723998:-1 gene:Manes.01G218300.v8.1 transcript:Manes.01G218300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEDARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLITGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKSAIKKLDDSEFRNAFSRAYVRVKEYDSKRDLSKSPSRSRSHSRGRGDSRSRGRSSSRSRSPTKSPKTKSSRRSPVRSRSRSRSASRSHSGSKRRSLSRSRSRSRSPLPSRQKNTSKSPKTKSVSRSPSRSKSLSR >Manes.01G218300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38716090:38724182:-1 gene:Manes.01G218300.v8.1 transcript:Manes.01G218300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEDARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLITGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYDDMKSAIKKLDDSEFRNAFSRAYVRVKEYDSKRDLSKSPSRSRSHSRGRGDSRSRGRSSSRSRSPTKSPKTKSSRRSPVRSRSRSRSASRSHSGSKRRSLSRSRSRSRSPLPSRQKNTSKSPKTKSVSRSPSRSKSLSR >Manes.11G044766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4833053:4836373:1 gene:Manes.11G044766.v8.1 transcript:Manes.11G044766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSIFEAENMRKFSLLIFVLCILISLKNQNAETKKGSSSISAYWSSSSWDLYPSMFLSIEDEEEGLPQRSLEYDFYRTSCPQAENIIREVIREIYKVRSSVAPEILRLAFHDCFIEGCDASVLLDSAEDLKSEKDSAPNESLKGFDTIDIIKSQVEEVCPGVVSCADIVVLAAREGVVQAGGPFYPLLTGRRDSFRSFPDIATYELPSPLADLSETLASFSSRGFDERETVTLLGAHSIGTIHCKFFESRLYNFGGTNKPDPSMDPQFLNQMRSRCNNSDAPGSPAASPSSDAPEPSSLVPPASFDSPESSSTASSPSYDGSPSPSSEKPGRMGAMSSVAAPSIFPQRSLSFSPSSSRKSTVSYEGSLQSSMEDPGVPMTYEGPGIDFGSVYYHRLLQGRGILYADQQLMSGEETRIWVKAYASDVTLFRRDFAQAMIKLSDLHVLTGSAGQVRFNCSRVA >Manes.15G008700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:806507:807434:1 gene:Manes.15G008700.v8.1 transcript:Manes.15G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTFTQEYTSPVAPSRMFKALILDSNILIPKLLPQFIKSVDVIQGGEGAGTIEQVNFTEASNLKYVKNRIEELDKDNLMCKYTLIEGDPLGDKLDSIAYEVKFEAASDGGSVCKITSNYITVGDFTIEEETFEDGKDKAVGIYKVVEAYLLENPHVYA >Manes.18G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:19469485:19469853:1 gene:Manes.18G137400.v8.1 transcript:Manes.18G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAGFFVVRNFKSRPFTADDNDSDESSSTERPFPSKVRSAIESGFWTCVDMASGRYLWRHLVSSPSSSSSSPPKHSN >Manes.15G070800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5367427:5369760:-1 gene:Manes.15G070800.v8.1 transcript:Manes.15G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAESARIREKYPDRIPIIVERAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFIFVKNILPPTAALMSAIYEENKDEDGFLYMTYSGENTFGESF >Manes.17G007332.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2866879:2872222:-1 gene:Manes.17G007332.v8.1 transcript:Manes.17G007332.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKLIKEVGDGTFGSVWRAINKLSGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLKEVIRENDILYFVFEYMEYNLYQLIKDREKLFSEAEIRNWCFQLFQGLAYLHRRGYFHRDLKPENLLVTKHLIKIADFGLAREINSQPPYTEYVSTRWYRAPEVLLQSYLYSSKVDMWAMGAIMAELFTLHPLFPGTSEADEIYKICNIIGSPTKDSWADGLSLANAINYQFPQSVGVNLSALVPSASEDAVNLIKLLCSWDPCKRPTAGEALQHPFFQSCFYVPPTLRSKAPVTRTPPSAGTKAALEPQFTRRHPGALSNTKVTRISLASRLNPTLSTGVQRKLDLVNQDASRNENSFKGAIKQQKYRPPGRKSPSVINKGRSARRVSDAGDKLASMTIGSHKQNGGQAKPPAMRAGVQWSGESGDMFLRPTHQFQTGRSCYTRKVAG >Manes.17G007332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2866879:2872222:-1 gene:Manes.17G007332.v8.1 transcript:Manes.17G007332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKLIKEVGDGTFGSVWRAINKLSGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLKEVIRENDILYFVFEYMEYNLYQLIKDREKLFSEAEIRNWCFQLFQGLAYLHRRGYFHRDLKPENLLVTKHLIKIADFGLAREINSQPPYTEYVSTRWYRAPEVLLQSYLYSSKVDMWAMGAIMAELFTLHPLFPGTSEADEIYKICNIIGSPTKDSWADGLSLANAINYQFPQSVGVNLSALVPSASEDAVNLIKLLCSWDPCKRPTAGEALQHPFFQSCFYVPPTLRSKAPVTRTPPSAGTKAALEPQFTRRHPGALSNTKVTRISLASRLNPTLSTGVQRKLDLVNQDASRNENSFKGAIKQQKYRPPGRKSPTGVINKGRSARRVSDAGDKLASMTIGSHKQNGGQAKPPAMRAGVQWSGESGDMFLRPTHQFQTGRSCYTRKVAG >Manes.15G074502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5633954:5638409:-1 gene:Manes.15G074502.v8.1 transcript:Manes.15G074502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPAKEELSSRIKSLKHEMDSSPQAREKKTSRQRSYSSSPRTKRLRRAQAERETKTVVETDHESNHGKGDGRHRERETESFSEREHEKILAKRRGGQEEMHGKSSRARNGRSTSPFDRPNKDVHGRECDQLKRNRMTVSASSPFSFFSLNFHLSVGNIILVNDDDDSVAKMKAAEEALESKHEQQSSFELSGKLAAETNRVLTVLFNEPPDARKPDIRWRLYVFKNGEVLNEPLYIHRQTCYLFGKERRVADIPTDHPSYSKQHAVIQFRQVEKEQHDGTLPKQVRIIPLYLNVIMSFLKKTRLNLVTLAEHMSYCTGIQQTDGYQIHHQDVFLSSGFLILRCPFEAEVTHCGGSLGSGVLTFFYSSRNSWCHNNNFRLFWCWLRVRRCFFKRPDLHSGSRTQ >Manes.15G047400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3645233:3647335:1 gene:Manes.15G047400.v8.1 transcript:Manes.15G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSANELAQLLGPNSTAAADFICSRFTASDNNFTATRYAVDTTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFAFAFGTGGSENGFIGKHHFGLKTIPSEDLDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSTDGWASAFRTDNLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRLGRFDHSGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVAYTDGSFNGQWSAVGRTAVTTTLAGCTAALTTLFGKRMLSGHWNVTDVCNGLLGGFAAITAGCSVVDPWAAIICGFVAALVLIGCNKLAEKFKFDDPLEAAQLHGGCGTWGVIFTALFAREKYVGQVYKPGRPYGLFMGGGGKLLAAHVIQILVITGWVSATMGPLFYILHKLKLLRISAEDEMAGMDLTRHGGFAYVYHDEDESQKSGMKLRKIEPSATTPNSSHV >Manes.06G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23660849:23663772:1 gene:Manes.06G104000.v8.1 transcript:Manes.06G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAITTSSSSITTQLPRLSPPPPPPSSITHAPQNLHHSFKPVFRRHLLVLASLSISPLLIPAATARGLFQMPPVRLTNRYYLVRAGESEFESLGIINTNPVAKTSVDSGLSEKGKKQTVKAALELKAMGACDKGCWIWPSITQRAYQAAEIIAAINGISRSFIVPEYSFLDARGLGAYEGKNLEALSEVYESDTVSPRNKPPPIDDGTPNESVADVFVRVTQLMSILETQYSGETIIIVSPDSDNLSILQAGLVGLDLRRHRDLSFGPGEVRFVDTNSIPTYKQPASAVYKCLNPPVCN >Manes.18G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2631194:2633149:1 gene:Manes.18G031400.v8.1 transcript:Manes.18G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKTNAPEEEEEETSVDCFSDGFDYAYFDDAVSTDDLFLESNYADDCHDHDHHASHSSSSHIIIKHQNYRILKEDDIHQRMEDDLAQVSSVLSLPKHEDMVDLLVSREDRNKYARCFVRSYILEKKKIKWCPGRDCDCAIEFFDGDAGFDVTCHCFTSFCWNCDDESHRPVDCETVKKWISKNQSESENVNYILTYCKPCPNCRRPIEKNQGCMHMTCRVCMYSFCWLCLAPYENHGQCNGYLDNAVRKKEMAKQSLEKYTHYFERWDANRKSKLKALADFQHIKDVKFKRLSEIQGIPEDNMDFITKAWLQVVECRRVLEWSYAYGYYLPENELAKKQFFEYLQGEAESRLEKLHDCAEKELEQFLDSDGLSKDFIKFRTMLLTLTVVTGDYFEKLVRVLENGLSDVVSHGASSSPEEAEDYWFCDLCTYANPGSVLECQMCVSLDEDEV >Manes.18G031400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2631182:2633149:1 gene:Manes.18G031400.v8.1 transcript:Manes.18G031400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKRRRRLPLTASAMASIMLISMMPLALMISSWNLIMLMIVMIMIIMPVIPVPAILLLSIKITGFSRRMISINAWRMILLKSLLCFLFPNMSFCWNCDDESHRPVDCETVKKWISKNQSESENVNYILTYCKPCPNCRRPIEKNQGCMHMTCRVCMYSFCWLCLAPYENHGQCNGYLDNAVRKKEMAKQSLEKYTHYFERWDANRKSKLKALADFQHIKDVKFKRLSEIQGIPEDNMDFITKAWLQVVECRRVLEWSYAYGYYLPENELAKKQFFEYLQGEAESRLEKLHDCAEKELEQFLDSDGLSKDFIKFRTMLLTLTVVTGDYFEKLVRVLENGLSDVVSHGASSSPEEAEDYWFCDLCTYANPGSVLECQMCVSLDEDEV >Manes.11G029900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2850303:2855166:-1 gene:Manes.11G029900.v8.1 transcript:Manes.11G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKFLVLGFFSLAILQAQGQDQSDFISLDCGLPANTSYSDEKTSLYYISDAYFIYSGVARSLPPEFSTNSIDRQLWYVRSFPQGIRNCYNVTVTANTKYLIRATFMYGNYDNLNQLPEFDLHIGPNKWVTVQIQNTSTPVIEEIIYDASVSYICICLVNINSETPFISALELRPLRNTTYVTQSGAGALALIGQRLDLGSISNQDHVRYPYDIFDRFWYPFHLIQLTDISTNLTIDVGSHNDYQPPPIVMRSAVIPANSSNPLQLSIGDSVKYKNFQFYMYMHFADVVKLEANQSRKFNISRNGTIWFGPIIPLYLYTSTAYSQSAITGGDYLFSLFKVDGSTLPPLLNAVEVYYLIELLQSETSRPDIDAIMSIKSTYGISRNWQGDPCAPQEYVWDGLNCSYNGTAPIITSLNLSSSGLTGEIASDIANLKSLRSLDLSNNSLIGPVPDFLSKLVSLEVLNLTGNRLTGRIPVDLLKRRQNGLLLLSVDGNPELCALDSCNDNNKKNYVVPVTVSVSALFAIVAAISLAICLKRRKKQAAVAGEMMEAQARILESRKRQFTYSKVLEMTNNFERVLGRGGFGTVYHGLLGDTEVAVKTLSPSSVQGYKEFQAEVKLLLRVHHKNLTTLVGYCEEPSHMVLIYEYMANGDLKHHLSGDDPVNILSWEERLRIAIGAAQGLEYLHNGCKPPIVHRDVKTTNILLDSNFQAKLADFGLSRIFPTEDGSHVSTTVAGTPGYLDPEYHVTNWLTEKSDVYSYGVVLLEIITSRPVIAKTGDRTHLSTWVSSMLDKGDIKTISDPRLLGDFDTNSVWKVTELAMACVSEISAERPTMSQVLVELNECLATETARTREGRSQSNSNSIEFTNVDLSSGLFPQPR >Manes.11G029900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2850303:2855166:-1 gene:Manes.11G029900.v8.1 transcript:Manes.11G029900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVIPANSSNPLQLSIGDSVKYKNFQFYMYMHFADVVKLEANQSRKFNISRNGTIWFGPIIPLYLYTSTAYSQSAITGGDYLFSLFKVDGSTLPPLLNAVEVYYLIELLQSETSRPDIDAIMSIKSTYGISRNWQGDPCAPQEYVWDGLNCSYNGTAPIITSLNLSSSGLTGEIASDIANLKSLRSLDLSNNSLIGPVPDFLSKLVSLEVLNLTGNRLTGRIPVDLLKRRQNGLLLLSVDGNPELCALDSCNDNNKKNYVVPVTVSVSALFAIVAAISLAICLKRRKKQAAVAGEMMEAQARILESRKRQFTYSKVLEMTNNFERVLGRGGFGTVYHGLLGDTEVAVKTLSPSSVQGYKEFQAEVKLLLRVHHKNLTTLVGYCEEPSHMVLIYEYMANGDLKHHLSGDDPVNILSWEERLRIAIGAAQGLEYLHNGCKPPIVHRDVKTTNILLDSNFQAKLADFGLSRIFPTEDGSHVSTTVAGTPGYLDPEYHVTNWLTEKSDVYSYGVVLLEIITSRPVIAKTGDRTHLSTWVSSMLDKGDIKTISDPRLLGDFDTNSVWKVTELAMACVSEISAERPTMSQVLVELNECLATETARTREGRSQSNSNSIEFTNVDLSSGLFPQPR >Manes.16G104301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30965824:30969768:-1 gene:Manes.16G104301.v8.1 transcript:Manes.16G104301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVAQFVTACEICQRVKLEHQKPAGMLNPLPIPEWKWENIAMDFVVGLPAASNRIYSIWVIVDRLTKSAHFIPVRSNYSVDKLAQSQNDQMLQNSISGSNQNNTDASTETTTSSKAKRKPVKPRSEVWDHFTKFVSDEGELKGKCNYCKKDFCCDPKRNGTTALRNHLNSCKKHPHFIKTRQVQLSLQKNASDNDVNDLGTLTTWKYDDNAIRKALVHMIIIDELPFRFVEGEGFRSFMRAICPRFRIPSRWTISRDCYDLFIEERSKLKSFFKKNCQRVSLTTDTWTSLQRINYMCITAHFIDNDWKLHKRIINFCPISSHKGEAVGRAIETCLLEWGLDKVFTVTVDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMAHIINLVVQDGLKDVSDSVMKVRDAVRYIRSSPARLKRFKECVLHEKIESKSSLCLDVPTRWNSTYLMLNTAQKYERAFERYESQDPMFKIDMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNLFFSEVSDLAFILNQWINSNDLDMKSMGERMKVKFDKYWGDVDKMNKIIYFAVVLDPRDKFEFMEYSFSQMYGKEKGVELFNKVKSCLFDLFNEYKKMYQPDVEQFNDNSSQQLSGSCSTTGSINPKPKFFLKHHYKKQKLEESGGFDSKTELEVYLSEAIQEEKDDFDIMKWWKINYERFPILGKMARDILAISVSTVASESAFSTGGRVLDSFKSSLTPKIVEGLICVQNWIRPLNIQVNVEEDLEELEKLEEGMLYTLSYLC >Manes.09G171725.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36289737:36290255:-1 gene:Manes.09G171725.v8.1 transcript:Manes.09G171725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNYYFFFFIVLAIPTHLAADFAPDNCEKTPFLGECTSLLKSAPEADKKDVQSFAKFALKTTCSNGVTMQEKISSLLKTTSDEFVKKCLTKCSELYLGSTKKLEESIVAFDSKAYKDVQASLTEAIAGSDDCRVSFKEKVEAEDPLGDLNFEFNKLCSISKKLVEMAETN >Manes.02G176400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13895842:13896717:-1 gene:Manes.02G176400.v8.1 transcript:Manes.02G176400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISDQMLLQCPPRPLPMERKWKSQVELAPHCPRCASSNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKTRRAKSVRVSQNDRRINGSTGDSMAQGTVANGSSSEIDLAVVFAKFLNQDSSFHPEFQAQETSTAPNSSTPDSAVIEGDDKITDLIQESDLLLEGLPPVTVRDHEQQEDEERIQELLESQDMDAFGLQTLLGDEMVQDALWSETATLPNFTWETVQPQQEFYSFSVDDQLKISANNHTTDNAWSCLDLSGFEVSSRP >Manes.S095223.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251215.1:6491:8134:-1 gene:Manes.S095223.v8.1 transcript:Manes.S095223.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIDECKDPKYNPCKGITKCVNASGSHKCVADAKWIVLLCVGGAIGVLLIGFGAWKLYKLIKKRRSIELKKQFFKRNGGLLLQQQITSSNGSVQKTKIFTSKELEKATDRFNQNRILGQGGQGTVYKGMLDDGRIVAVKMSKLVDEENLQEFINEVVILSQINHRNVVRLLGCCLETEVPLLVYEFIPNGSLFEYLHDPNVETSLPWEMRVRIAGEIAGALAYLHSAASIPVYHRDIKSTNILLDEKHRAKVSDFGTSRSIAIDQTHLTTHVHGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLSGKKPICSSSSQETMSLATYFIYLMEENRLFDIVDIRITEDCHKEEIAAVANLALRCLNLNGKKRPAMVEVAIELKRIRASPNTELNVQRITEDAEEVAEVIMLVMDDVPTSVTNGDLNSVEEPLVAGTT >Manes.18G105750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10319715:10321371:1 gene:Manes.18G105750.v8.1 transcript:Manes.18G105750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLVLKAETLEDLYEWKTALENALAQAPNAALVMGQMVSSRPIRMMELMGLHLQQVQTFCTCCKCKIMYLHLLHYSAVQNSDALVTAQNCSFKLVFWM >Manes.16G034100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4153754:4156303:-1 gene:Manes.16G034100.v8.1 transcript:Manes.16G034100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWERLACSFPTLDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLVSKASYENVYKKWIPELKHYAPTVPIVLVGTKLDLREDKQYLNDQPGATPITTAQGDELKKLIGAVVYIECSSKTQQNVKAVFDSAIKVALRPQKPKKKPRKQQRACTFL >Manes.16G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4153754:4156303:-1 gene:Manes.16G034100.v8.1 transcript:Manes.16G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCLLISYTSNTFPSDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLVSKASYENVYKKWIPELKHYAPTVPIVLVGTKLDLREDKQYLNDQPGATPITTAQGDELKKLIGAVVYIECSSKTQQNVKAVFDSAIKVALRPQKPKKKPRKQQRACTFL >Manes.S050716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1703404:1703526:-1 gene:Manes.S050716.v8.1 transcript:Manes.S050716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:878146:882486:-1 gene:Manes.15G010100.v8.1 transcript:Manes.15G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYGKGSSGSLNNFNFDIGLGSNRSKSLNERKNQTSSSYSSYASSTPTQPKPAWQPNKPSWTHQPSPSQPSRPGLNGPASMVGDIFGKSWNSPASGSGIGIVEKNPNLFGDLVSSALGQGNKGSSNVPLKNAVPTSNNSAYSMGNPADSLPKTSNSVKSGQSWGSNENFGSYSAGYDINGRGVNATGIGDAKVGSNRSPNLGGPSMRSMSGSGASGNRDPFGSLVDFGSKQQSGGLNSASKTGKTNAGDDAFGDFQDAAKPSTTAFPSGGFGAKNNDFMGSNITSNSNIDDFSMPNNGFTSQKQTPITTSSGDPLDMFFSSSSGGAATASGGVGGQHSLQVDDWGLDSEFGGGAGNDSGGATTELERLPPPPAGVTASAAKTKGIDNQKQGQYADAIKWLSWAVLLLEKTGDQTSSTEVLSFRASCYKEVGEYKKAVADCTKVLEHDGANVSVLVQRALLYESMEKYKLGAEDLRTVLKIDPANRIARSTIHRLTKMVD >Manes.17G053200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24963022:24964308:1 gene:Manes.17G053200.v8.1 transcript:Manes.17G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCNTENGNKENIHIPPFYLEQRSTPTLLLKSPLLPCKKRRIRKPLEDITHLFNQPVHSVSAPDNRIHPSSSSSSVCKSKCGKRRAEGDVNSSCKKINLVYSSKNFR >Manes.15G138400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11193412:11196133:-1 gene:Manes.15G138400.v8.1 transcript:Manes.15G138400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVIPSPAPSPRDDATQLHRAFKGIGCDAAVVVNILSHRNASQRDAIQQEFETLYSYDLKKELSSELHGNLKKALLLWMKSPMERDISTLRQAVTGHIPELKIANQIICARTSSHIRQIKQAYNTTYDAHLENDIEAQASGNHKQLMLAYLRTTRYEGPEIDRHLIEIDAKTMHKSGEKKFGMDERVLIQIFSERSRAHLVALDAAYQKMYGRELRKTIKREATGNFKNALSTILQCAHNPAKYYATGLGTKDTTLIRVIVTRAEVDMQKIKEEYQKLYKKPLIDAVHSETLGQYRTFLISLLGAN >Manes.15G138400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11193412:11196133:-1 gene:Manes.15G138400.v8.1 transcript:Manes.15G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVIPSPAPSPRDDATQLHRAFKGIGCDAAVVVNILSHRNASQRDAIQQEFETLYSYDLKKELSSELHGNLKKALLLWMKSPMERDISTLRQAVTGHIPELKIANQIICARTSSHIRQIKQAYNTTYDAHLENDIEAQASGNHKQLMLAYLRTTRYEGPEIDRHLIEIDAKTMHKSGEKKFGMDERVLIQIFSERSRAHLVALDAAYQKMYGRELRKTIKREATGNFKNALSTILQCAHNPAKYYATVLRKAMKGLGTKDTTLIRVIVTRAEVDMQKIKEEYQKLYKKPLIDAVHSETLGQYRTFLISLLGAN >Manes.11G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19000460:19003602:-1 gene:Manes.11G095900.v8.1 transcript:Manes.11G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFSCRSFLILSFVVLLTTSRGVIGASFTFVNKCDYTVWPGILANAGSPRLDSTGFELPKDSSLSFQAPTGWSGRFWARTGCTFDDSGSGSCLTGDCGSGQVECNGLGAAPPATLAEFTLGSGGQDFYDVSLVDGYNLPMVVEGSGGSGMCASTGCSTDLNRQCPAELRVGDGNACKSACEAFGSPEYCCSGAFNTPATCRPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSSYSTPMTAATTTPGGVSGSGLEYSGSSSDSGSASVYGSTTGSSSGSGEAMLADGSWLAGLAMGDSHKTASPTALKSALLAVFLLISLLCL >Manes.08G165300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39996158:39998583:1 gene:Manes.08G165300.v8.1 transcript:Manes.08G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKLRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGLQANVQRLKTYKAKLVVFPRHARKFKAGDSAPEELATATQVQGEVMPIVREQPSVELVKVTEEMKSFKAYDKLRLERMNKRHAGARMKKAAEAEKEEKK >Manes.11G109100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25305374:25310658:1 gene:Manes.11G109100.v8.1 transcript:Manes.11G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPVFYRDLKNSDSEALESLNVPSRISRFDNGEGSQTIIHGAQNAWDMLQEESSLTRITTSCADLDNILGGGITCKEVTEIGGVPGIGKTQMGIQLAVNVQIPSYCGGLGGKAIYIDTEGSFMVERALQVAEACIEDMSEYSRFLHKDLQAFQVGMQSKDILENIYYFRVCSYTEQIALINYLDKFITEHRDVKVVIIDSITFHFRQDFDDLALRTRVLSGMALKLMKVAKTFSLAVVLLNQVTTKHTEGSFQLGLALGDSWSHSCTNRIILYWNGNERYAYIDKSPSLRSASAPYSVTSRGIRNSASNFKRAKMM >Manes.11G109100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25305374:25310658:1 gene:Manes.11G109100.v8.1 transcript:Manes.11G109100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPVFYRGAQNAWDMLQEESSLTRITTSCADLDNILGGGITCKEVTEIGGVPGIGKTQMGIQLAVNVQIPSYCGGLGGKAIYIDTEGSFMVERALQVAEACIEDMSEYSRFLHKDLQAFQVGMQSKDILENIYYFRVCSYTEQIALINYLDKFITEHRDVKVVIIDSITFHFRQDFDDLALRTRVLSGMALKLMKVAKTFSLAVVLLNQVTTKHTEGSFQLGLALGDSWSHSCTNRIILYWNGNERYAYIDKSPSLRSASAPYSVTSRGIRNSASNFKRAKMM >Manes.11G136600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30142567:30150965:-1 gene:Manes.11G136600.v8.1 transcript:Manes.11G136600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFNSNTNGVGSSIPGILPTSAGIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSMPGLASRLNLTANSGSGSLSVQGQNRLMGGVLPQGSPQVISMLGNSYPTAGGPLSQNHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGYKGGNAEFTMDLHQKEQLHDNTMSMIQSQHFPMGRSAGFNLGGTYSSYRPQQQQQHAPAVSSSGVSFSSVNNQDLLHGSDIFPSSHSTYHSQTNGPPGIGLRPLNSPNTVSGIGSYDQLIQQYQQHQNQSQFRLQQMSAVSQPFRDQGMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPEFSVPLCYYSKQPPPLHHGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPSLPQH >Manes.11G136600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30142567:30150940:-1 gene:Manes.11G136600.v8.1 transcript:Manes.11G136600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNSSASNLPDSTGRSFTTSFSGHSGAASPVFHHTGTIQGLHNIHGSFNVPNMPSTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFNSNTNGVGSSIPGILPTSAGIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSMPGLASRLNLTANSGSGSLSVQGQNRLMGGVLPQGSPQVISMLGNSYPTAGGPLSQNHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGYKGGNAEFTMDLHQKEQLHDNTMSMIQSQHFPMGRSAGFNLGGTYSSYRPQQQQQHAPAVSSSGVSFSSVNNQDLLHGSDIFPSSHSTYHSQTNGPPGIGLRPLNSPNTVSGIGSYDQLIQQYQQHQNQSQFRLQQMSAVSQPFRDQGMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPEFSVPLCYYSKQPPPLHHGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPSLPQH >Manes.11G136600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30142539:30150958:-1 gene:Manes.11G136600.v8.1 transcript:Manes.11G136600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFNSNTNGVGSSIPGILPTSAGIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSMPGLASRLNLTANSGSGSLSVQGQNRLMGGVLPQGSPQVISMLGNSYPTAGGPLSQNHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGYKGGNAEFTMDLHQKEQLHDNTMSMIQSQHFPMGRSAGFNLGGTYSSYRPQQQQQHAPAVSSSGVSFSSVNNQDLLHGSDIFPSSHSTYHSQTNGPPGIGLRPLNSPNTVSGIGSYDQLIQQYQQHQNQSQFRLQQMSAVSQPFRDQGMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPEFSVPLCYYSKQPPPLHHGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPSLPQH >Manes.11G136600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30142539:30150958:-1 gene:Manes.11G136600.v8.1 transcript:Manes.11G136600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNSSASNLPDSTGRSFTTSFSGHSGAASPVFHHTGTIQGLHNIHGSFNVPNMPSTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFNSNTNGVGSSIPGILPTSAGIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSMPGLASRLNLTANSGSGSLSVQGQNRLMGGVLPQGSPQVISMLGNSYPTAGGPLSQNHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGYKGGNAEFTMDLHQKEQLHDNTMSMIQSQHFPMGRSAGFNLGGTYSSYRPQQQQQHAPAVSSSGVSFSSVNNQDLLHGSDIFPSSHSTYHSQTNGPPGIGLRPLNSPNTVSGIGSYDQLIQQYQQHQNQSQFRLQQMSAVSQPFRDQGMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPEFSVPLCYYSKQPPPLHHGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPSLPQH >Manes.11G136600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30142567:30150965:-1 gene:Manes.11G136600.v8.1 transcript:Manes.11G136600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNSSASNLPDSTGRSFTTSFSGHSGAASPVFHHTGTIQGLHNIHGSFNVPNMPSTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNLPVALSQLSHGSSHGHSGVTNRGGISVVGNPGFNSNTNGVGSSIPGILPTSAGIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSMPGLASRLNLTANSGSGSLSVQGQNRLMGGVLPQGSPQVISMLGNSYPTAGGPLSQNHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLGVSPIVQQNQEFSIQNEDFPALPGYKGGNAEFTMDLHQKEQLHDNTMSMIQSQHFPMGRSAGFNLGGTYSSYRPQQQQQHAPAVSSSGVSFSSVNNQDLLHGSDIFPSSHSTYHSQTNGPPGIGLRPLNSPNTVSGIGSYDQLIQQYQQHQNQSQFRLQQMSAVSQPFRDQGMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPEFSVPLCYYSKQPPPLHHGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPSLPQH >Manes.18G051550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4404055:4405147:-1 gene:Manes.18G051550.v8.1 transcript:Manes.18G051550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRILSISSSVAKSQQVDGVFCRLYKFTTENLTAQAEPIGKEEQLTLSKEKMCYEVKCSSCGKTTWGGCGRHVPSVYNRIPQGQHCLCNGWPGVDPNNPNAAAQSSSSCAIL >Manes.07G003400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:617272:618132:1 gene:Manes.07G003400.v8.1 transcript:Manes.07G003400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGGKTLPILIFVVVMTGLVEQGKGHVCANTFFSALVQMIPCRAAVAPYSPIPPSEACCNAVKSLGQPCLCVLVNGPPISGVDRNMALQLPDKCTANFEPCEITRK >Manes.12G141100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34725929:34732814:-1 gene:Manes.12G141100.v8.1 transcript:Manes.12G141100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGCFFLFFCSLFLLFYFTSSASQSDPSELRSLLEFKKGIQSDPLDRIVSAWNLSNLRNQSSCPVSWPGITCDSTTNSVTGIILDRLSLSGDLKFSTLLNLKSLQNLSLSGNHFTGRLVPTLGSMSSLQHLDLSDNEFSGPIPGRIAELWNLKYINLSRNGFEGGFPVGLPVPFRNLQQLRVLDLHSNKFRGNVREVLSELINLDYLDLSDNEFYGELGGLSVENVSGLANTVRFVNFSGNKLIGGFLKAEVIALFRSLEVLDLSNNGISGKLPSFESMLHLRVLQLRNNQLFGAIAEELLNGSMPIEELDLSGNGISDSIPGIQSTTLNILNLSSNGLSGPLPSFLKRCTVVDLSRNNLSGDMSVMQNWEATLEVLDLSSNMLSGSLPNLTSQFLRLSKLILRNNSLEGNLPLQLGESPGLSAIDLSLNQLSGPIPGGLFTSLTLSNLNLSRNQFTGPIPLQGSRVGELLILPSYPKMESLDLSYNSLTGGLPSDIGNLGSLKLLNLSNNGLSGELPIELSKLAYLQYLDLSGNKFKGKIPDKLPSSLIGFNVSYNDLSGTVPENLRTKFGISSFHPGNSLLVFPGGQPTTNSVPDQLPANSKHHSSKRGVTIGIIVGAIVATLTILVLLAYHRVQQKEFHGRSGFGGQTTVRDAKVERSARSSLFKFQSNVHRKPASLSFSNDHLLTSKSRSLSGQTEFANEIIEHDLPGGAAASSAPSDPNVLENYPTTSGRKSSPGSPLASSPHFVQPRDQSVKLDVYSPDRLAGELFFLDASLAFTAEELSRAPAEVLGRSSHGTLYKATLDGGHMLTVKWLRVGLVKHKKEFAKEVKRIGSIKHPNIVPLRAYYWGPREQERLLLADYIEGDSLALHLYGCWEKDLHYCCVLAVLWFFKLSF >Manes.12G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34725929:34732814:-1 gene:Manes.12G141100.v8.1 transcript:Manes.12G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGCFFLFFCSLFLLFYFTSSASQSDPSELRSLLEFKKGIQSDPLDRIVSAWNLSNLRNQSSCPVSWPGITCDSTTNSVTGIILDRLSLSGDLKFSTLLNLKSLQNLSLSGNHFTGRLVPTLGSMSSLQHLDLSDNEFSGPIPGRIAELWNLKYINLSRNGFEGGFPVGLPVPFRNLQQLRVLDLHSNKFRGNVREVLSELINLDYLDLSDNEFYGELGGLSVENVSGLANTVRFVNFSGNKLIGGFLKAEVIALFRSLEVLDLSNNGISGKLPSFESMLHLRVLQLRNNQLFGAIAEELLNGSMPIEELDLSGNGISDSIPGIQSTTLNILNLSSNGLSGPLPSFLKRCTVVDLSRNNLSGDMSVMQNWEATLEVLDLSSNMLSGSLPNLTSQFLRLSKLILRNNSLEGNLPLQLGESPGLSAIDLSLNQLSGPIPGGLFTSLTLSNLNLSRNQFTGPIPLQGSRVGELLILPSYPKMESLDLSYNSLTGGLPSDIGNLGSLKLLNLSNNGLSGELPIELSKLAYLQYLDLSGNKFKGKIPDKLPSSLIGFNVSYNDLSGTVPENLRTKFGISSFHPGNSLLVFPGGQPTTNSVPDQLPANSKHHSSKRGVTIGIIVGAIVATLTILVLLAYHRVQQKEFHGRSGFGGQTTVRDAKVERSARSSLFKFQSNVHRKPASLSFSNDHLLTSKSRSLSGQTEFANEIIEHDLPGGAAASSAPSDPNVLENYPTTSGRKSSPGSPLASSPHFVQPRDQSVKLDVYSPDRLAGELFFLDASLAFTAEELSRAPAEVLGRSSHGTLYKATLDGGHMLTVKWLRVGLVKHKKEFAKEVKRIGSIKHPNIVPLRAYYWGPREQERLLLADYIEGDSLALHLYETTPRRYSLLSFNQRLKVAADVARCLLYIHDRGMLHGNLKPTNILLEGPDYNVRLTDYGLHRLMTPAGIAEQILNLGALGYCAPELANASKAVPPSFKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDEEGRRMDCIDRDIASGEEPSKAMDDLLGISLRCILPVNERPNIRQVLEDLCSISV >Manes.12G141100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34725929:34732814:-1 gene:Manes.12G141100.v8.1 transcript:Manes.12G141100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGCFFLFFCSLFLLFYFTSSASQSDPSELRSLLEFKKGIQSDPLDRIVSAWNLSNLRNQSSCPVSWPGITCDSTTNSVTGIILDRLSLSGDLKFSTLLNLKSLQNLSLSGNHFTGRLVPTLGSMSSLQHLDLSDNEFSGPIPGRIAELWNLKYINLSRNGFEGGFPVGLPVPFRNLQQLRVLDLHSNKFRGNVREVLSELINLDYLDLSDNEFYGELGGLSVENVSGLANTVRFVNFSGNKLIGGFLKAEVIALFRSLEVLDLSNNGISGKLPSFESMLHLRVLQLRNNQLFGAIAEELLNGSMPIEELDLSGNGISGGLPSDIGNLGSLKLLNLSNNGLSGELPIELSKLAYLQYLDLSGNKFKGKIPDKLPSSLIGFNVSYNDLSGTVPENLRTKFGISSFHPGNSLLVFPGGQPTTNSVPDQLPANSKHHSSKRGVTIGIIVGAIVATLTILVLLAYHRVQQKEFHGRSGFGGQTTVRDAKVERSARSSLFKFQSNVHRKPASLSFSNDHLLTSKSRSLSGQTEFANEIIEHDLPGGAAASSAPSDPNVLENYPTTSGRKSSPGSPLASSPHFVQPRDQSVKLDVYSPDRLAGELFFLDASLAFTAEELSRAPAEVLGRSSHGTLYKATLDGGHMLTVKWLRVGLVKHKKEFAKEVKRIGSIKHPNIVPLRAYYWGPREQERLLLADYIEGDSLALHLYETTPRRYSLLSFNQRLKVAADVARCLLYIHDRGMLHGNLKPTNILLEGPDYNVRLTDYGLHRLMTPAGIAEQILNLGALGYCAPELANASKAVPPSFKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDEEGRRMDCIDRDIASGEEPSKAMDDLLGISLRCILPVNERPNIRQVLEDLCSISV >Manes.15G124900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9929695:9933827:-1 gene:Manes.15G124900.v8.1 transcript:Manes.15G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGMPSRPRRRSMEDASAGPTRRSMEALDPEDFADVFGGPPRSVLSRKFSGDFTRSSTSFYEEIFRQPEVVGSVEKKGGGRSLPAFRIPAKGEGFYSDVFGWEDGRRSRDRSRPNSKAKSKSNSSSVLSSEELSPHRPPVTGDDVALSSFALKLRPINVPCRWNSTTMRPEEQASKEEMPSFPIPAYADYCMENEYNDNFRSSYIKVSRQLSSPETISLEPNSYRSIKVSVDDLDLNSPSSPVSSLCQEQDASAGMKCNLMPEEEMEQEEDEVMSSYVIEINSDHREVVSEAISIDEAIAWAKEKFQVQNFDRQQKKDPSAEVEERPNANEFTRQGMDVHGMTPAPTEDEQKKWRSEPETEHLEKDMELELLDEDVRLWSAGKETNIRLLLSTLHHILWPNSGWSATSLTSLIESSHVKKAYQKARLCLHPDKLQQRGATLQQKYVSEKAFSILQDAWAAFISQDVFFN >Manes.15G124900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9929695:9933827:-1 gene:Manes.15G124900.v8.1 transcript:Manes.15G124900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMRMGMPSRPRRRSMEDASAGPTRRSMEALDPEDFADVFGGPPRSVLSRKFSGDFTRSSTSFYEEIFRQPEVVGSVEKKGGGRSLPAFRIPAKGEGFYSDVFGWEDGRRSRDRSRPNSKAKSKSNSSSVLSSEELSPHRPPVTGDDVALSSFALKLRWNSTTMRPEEQASKEEMPSFPIPAYADYCMENEYNDNFRSSYIKVSRQLSSPETISLEPNSYRSIKVSVDDLDLNSPSSPVSSLCQEQDASAGMKCNLMPEEEMEQEEDEVMSSYVIEINSDHREVVSEAISIDEAIAWAKEKFQVQNFDRQQKKDPSAEVEERPNANEFTRQGMDVHGMTPAPTEDEQKKWRSEPETEHLEKDMELELLDEDVRLWSAGKETNIRLLLSTLHHILWPNSGWSATSLTSLIESSHVKKAYQKARLCLHPDKLQQRGATLQQKYVSEKAFSILQDAWAAFISQDVFFN >Manes.13G089010.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22970044:22970628:-1 gene:Manes.13G089010.v8.1 transcript:Manes.13G089010.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVTVTVTVTVTLSKSIGTTGLRREGKRLMASDGKRVSNSHFFQQIDGKRGEQLPLLSADRREEGEQLPLLSADRREEGEQLPLLSADRWEEGERFVTSFRGGGEGVVVRVRDWVSE >Manes.10G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2035279:2037938:-1 gene:Manes.10G020800.v8.1 transcript:Manes.10G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLENVESWLPAEFLTEEELLMDKENFNDNGLSSECKHGFSFPTEFPYEFESFGSSVSLSSPDESVVGSTETESSDEDDFLAGLTRRLTQQLTLKPEKWVMAGSPESTLSGMRSCSVSSSGSPNGVLSPPTTPFEAKNDMWDLISAAAGQVARLKMSNEGNQYGSHQGRGLLDPVRTQNPDTTLKNQNPGLYSSQSFGHPVSQMNQYHSQVRQEQLLKPQCPSVWGRQQVKVGWQAQPQPQPHLQQQHHPQPLTQSHYQHQPQQQQIQSRARNVVGCESGRCMRPLGLPQSAWPPLQVQSNQNHQSQQHSTTGMRAVFLGGSGAKRECAGTGVFLPRRYGNPPDSKKKSACSTVLLPAKVIHALNLNLEEMNKNIQAQSRIKCSLTSDYDALMARRNAILAQQKRNLRAESVLNHEIGLPQEWTY >Manes.15G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9023907:9028600:1 gene:Manes.15G113700.v8.1 transcript:Manes.15G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSSIPAASIALATTFVWRRRRAASMIVYAKGRGDNRKPLQKGRNLSIEAIQAIQALKRSYYYNNNNADNSHSFRHVIRSKFSRLLKLDMLAVLRELIRQNHCLLALQVFEDIQKEYWYKPQLSLYNDMIQVMASNGYLKEVEFLCTCLKTESNLLGETEGFSALMTTLINSNLPRLAMECYDFMKAIGYEPDRPTFRILINGLESLGESGASTILRQDAQRYYGESLDFLVEDEDEMIASNSSDGR >Manes.15G113700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9023907:9028600:1 gene:Manes.15G113700.v8.1 transcript:Manes.15G113700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSSIPAASIALATTFVWRRRRAASMIVYAKGRGDNRKPLQKGRNLSIEAIQAIQALKRSYYYNNNNADNSHSFRHVIRSKFSRLLKLDMLAVLRELIRQNHCLLALQVFEDIQKEYWYKPQLSLYNDMIQVMASNGYLKEVEFLCTCLKTESNLLGETEGFSALMTTLINSNLPRLAMECYDFMKAIGYEPDRPTFRILINGLESLGESGASTILRQDAQRYYGESLDFLVEDEDEMIASNSSDGR >Manes.03G129100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25598802:25599167:-1 gene:Manes.03G129100.v8.1 transcript:Manes.03G129100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRRESSMQWGGDDWGSPVPDRFFSANTRHGSSRSEKVMNVEEEELYGDNKQLLSSTSSSTEVKFKITKKQLEELLGRIDMKELSVEQVLAQLMMSVGSDRYESHQRSWRPNLQSIPE >Manes.14G170001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27873702:27874488:1 gene:Manes.14G170001.v8.1 transcript:Manes.14G170001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSCQAPTCCNFCRRSALTSVVHSSSLTSTVAGLPTTCNSLKPDLQDCECCSVQSVNSVIEKLAD >Manes.13G145815.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35972702:35974681:1 gene:Manes.13G145815.v8.1 transcript:Manes.13G145815.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEDEASTTQQPSSTYYLFLTIMSKRRTWVCLFVLVYAILLSTSWNFLKSVLSWCKEQSQVTTAASCGWPALYASVLLGAVFGFLSMVAALAVAVPATLVIWITVLVLLTFFGKPRRALVIEGRKITREIFGCVLKILLKEGNVVAAVCAVLGYFALVRRNYEGN >Manes.02G105200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8210374:8211295:1 gene:Manes.02G105200.v8.1 transcript:Manes.02G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMAEEQTSVRSTGRVVRFSDRKGFGFIKPDDGGEDLFVHHTAIKSDGGYRSLAEDDIVEFTVSLSADKYQAINVTAPGGGPIQAAAKRGDASAKRGGFGGSWNRRNNGGYSAGGGAGSGGAGCYNCGSSGHIARDCNNRGSDNNNGCFKCGNSGHFARDCPRSNNGGGGGSGGGNGACFSCGGYGHLARDCHGNAGACYNCGGYGHLARDCTSARGGGRLGGSSGGGCFNCGKEGHFARECPNNS >Manes.15G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10357084:10357817:1 gene:Manes.15G129500.v8.1 transcript:Manes.15G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKGNLETVVELKSSPEKFLNVWKCQAHQVPNHTPTNIQGVHVHEGDWVKSGSIKIWKYTVDGKSEVFKEKIILDDEKKTVTLIGLEGDVFKIYKVYNIIWQLTSKGQGCLSKVIIEYEKLNENVPVPYVYLDFLGRITKEIDEAISKE >Manes.15G137100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11086933:11088673:1 gene:Manes.15G137100.v8.1 transcript:Manes.15G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTWRRRRAARHAEKQQQERDNGGDMELAIPKDFRCPISLDLMKDPVTLSTGITYDRENIEKWIESGNVTCPITNQVLRSLEPIPNHTIRKMIQDWCVENRSYGIERIPTPRIPVSSVEVLEIQAKFTVVCKRRDQVGCRNLVAKIKTLLKESERNKRCFLDNGTASVLSAAFEEFSRVSFRVNAVVLEEILSSLTLIFPLDGEAKSYLGSAASVDCLVWFLNGGDLSGRKNAVLVLKEVVSSDQRKLEALSAIEGVTEGLFKLIKEPICPSATKASLVTIYHMITSTPTNVKAIVKFVDMGLVSLLIEMLVDSDKSICEKSLAVLDGICSCDQGRKKASDHSLTVPVLVKKMHRVSDMATKFSVSILWKICKAEKRQEGGVVPVALQVGAFQKILLLLQIGCGERTKEKASELLKLLNPYRQRFECIDSSDFKDLKRPF >Manes.17G058900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25789410:25791443:1 gene:Manes.17G058900.v8.1 transcript:Manes.17G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKFNTNPLITDQEENDYTESGPDSPHSSFPNDMKMTSMSSPKRSKRAIQKRVISVPIKDVEGSRLKGETIPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQIERSRVDPSMLVVTYSCEHNHPWPPPSKTHHHHHHHSNASTKTNTVKPEISTPPQPENPEPEPEPEEKFTDLGDDSLISTDDFSWFGEMETTSATILESPIFADERSTAGTEAAMYFPMREEDESLFADLGELPECSAVFRHHRGVDPQVQIC >Manes.06G074200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21026394:21027514:1 gene:Manes.06G074200.v8.1 transcript:Manes.06G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAFPSRCLSCNIIYLLFLLSLVATSSARPGITLTVDKRVFIQRENMKPAHRRLYETSFLYRSQMFNFLPKGVPIPPSGPSKRHNSVEN >Manes.08G104300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34453092:34458402:-1 gene:Manes.08G104300.v8.1 transcript:Manes.08G104300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFNPVPFCIDPKSRRSFFCSFLPAASFLLIVFFIGSAFIVPDYKEKLSRWRLVDGFQNLKFVTCKNTCRPPGSEELPEGIVSKTSNLQMRPLWGFPKSNDNSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDNVIHVSAKNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVENFDPKQYISIVKSKGLEISQPALDIGKSEIHQQITARVRNSIVHSRTFKSGVCDGNSTAPPCTGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRMKNIGVVDAEYIVHYGRPTLGATDENKESSPSNESDHRLEEPSQSKKTDPRHELPA >Manes.08G104300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34453092:34458402:-1 gene:Manes.08G104300.v8.1 transcript:Manes.08G104300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWGFPKSNDNSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDNVIHVSAKNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVENFDPKQYISIVKSKGLEISQPALDIGKSEIHQQITARVRNSIVHSRTFKSGVCDGNSTAPPCTGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRMKNIGVVDAEYIVHYGRPTLGATDENKESSPSNESDHRLEEPSQSKKTDPRHEVRRQSFREFKIFRRRWERAEREDKCWRDPYKQADKQSLPSFHLSPRPL >Manes.08G104300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34453092:34458402:-1 gene:Manes.08G104300.v8.1 transcript:Manes.08G104300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFNPVPFCIDPKSRRSFFCSFLPAASFLLIVFFIGSAFIVPDYKEKLSRWRLVDGFQNLKFVTCKNTCRPPGSEELPEGIVSKTSNLQMRPLWGFPKSNDNSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDNVIHVSAKNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVENFDPKQYISIVKSKGLEISQPALDIGKSEIHQQITARVRNSIVHSRTFKSGVCDGNSTAPPCTGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRMKNIGVVDAEYIVHYGRPTLGATDENKESSPSNESDHRLEVSIFQIQVIFRKQTGLNAKFI >Manes.08G104300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34453092:34458402:-1 gene:Manes.08G104300.v8.1 transcript:Manes.08G104300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFNPVPFCIDPKSRRSFFCSFLPAASFLLIVFFIGSAFIVPDYKEKLSRWRLVDGFQNLKFVTCKNTCRPPGSEELPEGIVSKTSNLQMRPLWGFPKSNDNSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDNVIHVSAKNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVENFDPKQYISIVKSKGLEISQPALDIGKSEIHQQITARVRNSIVHSSRTFKSGVCDGNSTAPPCTGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRMKNIGVVDAEYIVHYGRPTLGATDENKESSPSNESDHRLEEPSQSKKTDPRHEVRRQSFREFKIFRRRWERAEREDKCWRDPYKQADKQSLPSFHLSPRPL >Manes.08G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34453092:34458402:-1 gene:Manes.08G104300.v8.1 transcript:Manes.08G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFNPVPFCIDPKSRRSFFCSFLPAASFLLIVFFIGSAFIVPDYKEKLSRWRLVDGFQNLKFVTCKNTCRPPGSEELPEGIVSKTSNLQMRPLWGFPKSNDNSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDNVIHVSAKNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVENFDPKQYISIVKSKGLEISQPALDIGKSEIHQQITARVRNSIVHSRTFKSGVCDGNSTAPPCTGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRMKNIGVVDAEYIVHYGRPTLGATDENKESSPSNESDHRLEEPSQSKKTDPRHEVRRQSFREFKIFRRRWERAEREDKCWRDPYKQADKQSLPSFHLSPRPL >Manes.08G104300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34453092:34458402:-1 gene:Manes.08G104300.v8.1 transcript:Manes.08G104300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWGFPKSNDNSSSNLFTLAVGIKQRDIVDEMVKKFLSSNFTVMLFHYDGVVDEWKDYKWNDNVIHVSAKNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVENFDPKQYISIVKSKGLEISQPALDIGKSEIHQQITARVRNSIVHSRTFKSGVCDGNSTAPPCTGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCAQGDRMKNIGVVDAEYIVHYGRPTLGATDENKESSPSNESDHRLEEPSQSKKTDPRHEVRRQSFREFKIFRRRWERAEREDKCWRDPYKQADKQSLPSFHLSPRPL >Manes.01G016300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4119544:4127960:-1 gene:Manes.01G016300.v8.1 transcript:Manes.01G016300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEQAPPSQEMQSLSVNSSYSNSIPASSSSSSQLSAEERFRIVRSVGEECIQEDELFNLLSKKPQPICYDGFEPSGRMHIAQGVMKTINVNKLTSAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYLIEIWKAVGMDLEGDKVEFLWSSEEINSRAFEYWPLVMDIARRNKLPRIMRCVQIMGRSEQDELTAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDEEAEVNVKIKKAYCPPKIVDGNPCLDYIKFIIFPWFNEFKVERSVENGGEKIFKSFEEVVAEYESGELHPGDLKPSLARALNKILQPVRDHFNNDANAKDLLKRVKGYRVTR >Manes.01G016300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4119544:4128057:-1 gene:Manes.01G016300.v8.1 transcript:Manes.01G016300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEQAPPSQEMQSLSVNSSYSNSIPASSSSSSQLSAEERFRIVRSVGEECIQEDELFNLLSKKPQPICYDGFEPSGRMHIAQGVMKTINVNKLTSAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYLIEIWKAVGMDLEGDKVEFLWSSEEINSRAFEYWPLVMDIARRNKLPRIMRCVQIMGRSEQDELTAAQILYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDEEAEVNVKIKKAYCPPKIVDGNPCLDYIKFIIFPWFNEFKVERSVENGGEKIFKSFEEVVAEYESGELHPGDLKPSLARALNKILQPVRDHFNNDANAKDLLKRVKGYRVTR >Manes.01G104800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30428376:30437259:-1 gene:Manes.01G104800.v8.1 transcript:Manes.01G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPKTENLREEPKSETAASSRNKPALIRFQFGDLLIRTPGGACLSQLPLPVSARHTAAKMTTSMGPPPPKNPNPSSSADAAASTTTTTTTTSEREPKTVDEPQDSSKTMRTPMGPPPPPPPRNTIPSESEAVETPNSNSLDSNTIQPSEQSVKRSSVPYTIPEWSGPPCHNFYLEVLKDGSIIEQLDIYEKGAYMFGRVDLCDFVLEHPTISRFHAVLQFKRSGDAYLYDLNSTHGTFINKSQVEKRVYVELHVGDVIRFGHSSRLYIFQGPSELMPPEKDLKLLREVKFRQEMLDREASLRRARSDASLADGISWGMDEDAVEEDEDDGDEITWQTYKGQLTEKQEKTRDKIIKRTEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRMTQILEELENLEETLNQSIQESIGARAGRKSSSMRKGTAEDDEDFSSDDDEFYDRTKKPSIQKSSENQSIETADTLLDKRDIIMKEMEEKKGLLLIENNKTASETAAETEAGDALDAYMLGLSSQLVLDKTAQLEKELSALQSELDRIFFLLKIADPSGEAAKKRDSRVQGQKPDNYKAEAPAVAATKKHSTVEPKKSNEAGKPVDASKKKDRTQDSVVSAIESDNKPEADKIVGDKPEVKPAVYTVVKPKWLGAVNDAEVEETKQEVLNMDEADQFVDYKDRQKVLLKVDGARDKVDSGIESAAPGLIIRKQKETEGPGTNDNKATEQSTASTLEAKLTAEDAVALLLKHKRGYQAEDEQGGNESLETGQIQHNKDNKRPKRVLGPEKPSFLNGNSDYESWVPPEGQSGDGRTSLNDRYGY >Manes.05G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11202739:11205523:1 gene:Manes.05G112400.v8.1 transcript:Manes.05G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVLAAGLFLGFCFFLAMFLKWNEIRYTRKGLPPGTMGWPILGETTEFLRYGPDFMKNQRARYGSLFKSHILGCPTIISMDPELNRYILLNESKGLVAGYPQSMLDILGKCNIAAVHGSTHKYIRGSLLSLVGPSMIKDHLLPKIDKSIRCFLCNWQGKIIDIQEKTNEMALFISFKQILEKESDSMYEIFKPEFDKLLAGTLSVPINIPGSNYHYGFQGRKRIIKILSEIIKERRASSITHDDILDHLLRNEGSKFHLSDEEILDQIIAILYSGYETVSSTTMMAIKYLHDHPDALQQVREEHLAIRDRKKPGDNIVWDDYKSMSFTRAVILETSRLATVVNGLLRKTTQDIELNGFIIPKGWRIYVYTREINYDPLLYPEPLTFNPQRWLDKSLESHNYCFLFGRGSRLCPGKELGIVIISTFLHYFVTQYRWEEVGGDEILEFPRVEAPNGLHIRVSEL >Manes.05G112400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11202739:11205523:1 gene:Manes.05G112400.v8.1 transcript:Manes.05G112400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVLAAGLFLGFCFFLAMFLKWNEIRYTRKGLPPGTMGWPILGETTEFLRYGPDFMKNQRARYGSLFKSHILGCPTIISMDPELNRYILLNESKGLVAGYPQSMLDILGKCNIAAVHGSTHKYIRGSLLSLVGPSMIKDHLLPKIDKSIRCFLCNWQGKIIDIQEKTNEILEKESDSMYEIFKPEFDKLLAGTLSVPINIPGSNYHYGFQGRKRIIKILSEIIKERRASSITHDDILDHLLRNEGSKFHLSDEEILDQIIAILYSGYETVSSTTMMAIKYLHDHPDALQQVREEHLAIRDRKKPGDNIVWDDYKSMSFTRAVILETSRLATVVNGLLRKTTQDIELNGFIIPKGWRIYVYTREINYDPLLYPEPLTFNPQRWLDKSLESHNYCFLFGRGSRLCPGKELGIVIISTFLHYFVTQYRWEEVGGDEILEFPRVEAPNGLHIRVSEL >Manes.10G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12262185:12264095:1 gene:Manes.10G070400.v8.1 transcript:Manes.10G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIQNFLFPPPSNLLISAMSVVSAVSLANAGFSEIRGKHFQYSKFWNANAQDFSVTNKISLSSRNGMILLYTPAFLAGLVSFSLFPDEGLRFFFVESALTIHFFKRIFEVVFIHRYSGGMFLESAILISLSYFLSTATMICAQHLTQGYPDPSIDLTYPGIMLFLIGIIGNFYHHYLLSKLRSKNDKEYKVPKGGLFDLVICPHYLFEILGFWGVFCIAQTVYSFSFATGTTLYLMGRSYATRRWYLSKFEDFPKDVKALIPLVF >Manes.08G092900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31501080:31503871:1 gene:Manes.08G092900.v8.1 transcript:Manes.08G092900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYHHHQQHHISVNVDTDRFPQWSIQETKEFLMIRAELDRTFMETKRNKLLWEVVSNKMKEKGFYRSAEQCKCKWKNLVTRYKGCETMETESLRQQFPFYNELQEIFGARMQRMLWAEAEGAAASSKKKAVQLSSDEEDDNEESEGEKESVRKKKKAKRTTNIGGGGGGSGNNNNIREILQDFMKQQMQMEMQWREAFDARENDRRLKEMEWRQKMEALENERIMMERRWREREEQRRIREEARAEKRDALITALLNKLRREEI >Manes.08G092900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31500991:31505213:1 gene:Manes.08G092900.v8.1 transcript:Manes.08G092900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYHHHQQHHISVNVDTDRFPQWSIQETKEFLMIRAELDRTFMETKRNKLLWEVVSNKMKEKGFYRSAEQCKCKWKNLVTRYKGCETMETESLRQQFPFYNELQEIFGARMQRMLWAEAEGAAASSKKKAVQLSSDEEDDNEESEGEKESVRKKKKAKRTTNIGGGGGGSGNNNNIREILQDFMKQQMQMEMQWREAFDARENDRRLKEMEWRQKMEALENERIMMERRWREREEQRRIREEARAEKRDALITALLNKLRREEI >Manes.10G080400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19340125:19342634:-1 gene:Manes.10G080400.v8.1 transcript:Manes.10G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAAGSSSSTSLGQGFEDEDELMMTIKGSESGWVEARTSCDHLGSLSSDLSHIPTPNTPCNRCHHPSENWLCLSCKDVLCSRFVNKHMLQHYHHTNHCVALSYSDLSVWCFTCDAYLDAQVIMQLRPVYETAYILKFGEAPPFRTVELPQDSTSTKN >Manes.17G015172.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8010110:8011571:1 gene:Manes.17G015172.v8.1 transcript:Manes.17G015172.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.16G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30887385:30890889:1 gene:Manes.16G104000.v8.1 transcript:Manes.16G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIKQILIVLATLLVVSTWVPVSFSAQKPVFAARKKDIPFIKCQVCEKLAKELYQQVAKKQAQISPKKITEYQIIEMAENVCNLKKEEADWILKIDVVEQGDKLELVEQDSEGECNSECKTIAQACEEIAGYTDTDIAEFIYSSKPSIDSLVNYLCKDLTDSCRKKPPPVPKDRTPGEAFVPKSSKDAEVEKFLKSMEGVPGASNLKMYSREDVLKVKNFGNDDGDDDDDEDDVDEPHFHSNLAKAMKEKESKTDDWKQKMTKGVKDIGETLKRHAYKISNRMRQWWKRVKAERTNKNLNTGKLEL >Manes.S095825.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251225.1:670628:674954:1 gene:Manes.S095825.v8.1 transcript:Manes.S095825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFRLWRKHSAAEGAAEETIFDGFQRRRAASPDEFLKRYKATVPQSLAELIEKHGSSPYPVKCIIYDSVLPWVLDVARNTGISGASFFTQSCAVSVLYYHEIQGSLKVSSEAEAVGVVSLPSLPELEFNDLPSFVNGAGSYPAIYDLVFSRFSNIDDADWLFWNTFNGLEEEVVNWMASKWPIKPIGPTIPSMFLDKRLEDDKEYGLSLFKPNSDACMEWLDSKEPDSVVYVSFGSLAALGEVQMAELAWGLKRSNTSFLWVVREPEKEKLPNNFIEETKEMGLVVTWSPQLEVLAHKSVGCFITHCGWNSTLEALSLGVPMVAMPQWTDQPTNAKFVSDIWKVGIRVKVDEEGIVTQKEIERCIREVMEREISNEMVKNSEKWKKLACMAVDDGGSSDKNIEEFVTKLVCNSNSFKE >Manes.07G061740.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10816368:10817000:-1 gene:Manes.07G061740.v8.1 transcript:Manes.07G061740.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGRLQVLVASSVSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKMKIEECELIQEVIVAKVDKEEEENEICFSRLKSLELQRLPSLSSFCSGNLTFSFPSMEEMIIVECPNMKIFAQEVSTPQLWRVQTGPHIYKWGWKDTICDWEWGGSLNNTIQALFKEKKAEETGIGRCSYG >Manes.04G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28016963:28022063:-1 gene:Manes.04G078100.v8.1 transcript:Manes.04G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLRVFFFALLLIASPLLQVTRCQSDSEADVTESAEEVSDLGIVGEDAQYFGDGNFSPAPGVDSVCVFPKNSARLVLTGEDTELLVGLKNDGESSINVIAIKGSVHLPFDHHLLVQNLTAQAFNNATVPASTQATFPYTFAVSKYLQPGSFDLVGTIFYEIDQHPYQSTFYNGTIEVVESGGFLSVESVFLVTLGFALLVLLGLWIHGQIQNLSKKTKRAPKVETGTGTRDVSMDEWLQGTAYTQSLSSKSKKKK >Manes.08G017700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1820037:1823109:1 gene:Manes.08G017700.v8.1 transcript:Manes.08G017700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINPFTISNLSPFFPSLNPFRFSSKTNNFPHLSLASPSFFNPKLRHKLHYRFSSSTDQSLLSPDPTVSKPQNTQPLFPVGSLDDSTSLPNTDSDSFIDIGQLFYLLRLSAKYTDVHLARAVHASFLKLEEDTHLGNAILVAYLKMGRLLDAYGVFRGLYSPDVVSYTALISSFAKADQEREAIELFFRMRSSGIEPNDYSFVAILSACIRTLELELGLQLHALIIKLGYLDCVIVANALMCLYGKNGCLDYASQLFDEMPQRDIATWNTVISNMVNELSYEKALELFRDLHRTTDFKSDQFTLSTVLTACAGCHAVMGGREVHAHSIRTGFERNLSINNAIIRFYTRCGSLKDVLAEFEMIPARDIITWTEMITAYMEFGFVDMAVEVFAKMPERNSVSYNALLSGFCKNGEGLKALDFFIKMVQEGVELTDFTLTSVINACGILMELDLSRQIHGFIIKFGFGSNAFIEAALLDMCTKCGRMNDAAKMFHRWPSDQDSLIIRTSMLCGYARNGMPDEAISLFQQSQSEGAIIMDAVALTSLLGVCGTLGFHGMGKQIHCHALKTEFVADTGVGNSIISMYSKCFNMDDAIKSFNSMPAHDIVSWNYLIAGHLLHRQGDEALVVWSKMEKACIKPDTVTLILIISAYRHTSSNLVDNCRRFFISMKNNYDIEPTSEHYASLVSVLGHWGLLEEAEELIIRMPFEPEASVWRALLDSCRLHLNTSIGKRVAKRILAMEPKDPSTFVLVSNLYSASGRWHCSELVRANMRERGFQKQACRSWIINQEKVHSFYVRDKSHPQAKDIYSGLDILVLECLKAGYEPDTSFVLHEVEEHQKKDFLFYHSAKLAATYGLLTTRPGEPIRIVKNILLCGDCHSFLKYVSVVTRREIFVRDASGFHCFSNGQCSCKDYW >Manes.18G047000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4100022:4103663:1 gene:Manes.18G047000.v8.1 transcript:Manes.18G047000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKSDKPADGEKPNAAKVSSMFGGTREKCFGCKNTVYPTEKVSVNGTPYHKSCFKCIHGGCVISPSNYIAHEGRLYCKHHHNQLIKEKGNLSQLEGDNEESMNKKVNGREVTAES >Manes.18G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4101394:4102939:1 gene:Manes.18G047000.v8.1 transcript:Manes.18G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKSDKPADGEKPNAAKVSSMFGGTREKCFGCKNTVYPTEKVSVNGTPYHKSCFKCIHGGCVISPSNYIAHEGRLYCKHHHNQLIKEKGNLSQLEGDNEESMNKKVNGREVTAES >Manes.03G017300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1458310:1465525:-1 gene:Manes.03G017300.v8.1 transcript:Manes.03G017300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIADRDTLGSQFIITFKANHYLDRKYVVFGKLVQGDEVLEKVESVGDEEGRPMVTVKIINCGEFKDGVDKKKVHKVKMGKDALSDSDSHEAHKKGRHKKFSRDRKKRRKRYDSSDSESSSDSDTESSESVSDSDSYVSSSEISSSSEDRRKKRKRSSKRDKYRRGKRRDKRREKRRKRRDKRSKRRSRRVSDSLTDDESESKSGSSSDGDGLEVQAKARRHKDPLLKTAGDRSPMVLEDKDASLPRKMREERDMLEKDGGESPKENGGRRSLGVEADVASDRSQDRLPDVVDDHPGKSRSRSMSPKRTPSKSMSISPRRSLSKSPSVSPKRSISRNPHLQRSFSRSPARSGSSRNPARIVSRSPLRGKRARSISKSPVRSRSRRSTSRSPMRSPPPRSKSRSPPRASSRKSISRSPVRSSQRGVSRSPVRSFRRSTSKGPVRSSRRSISRSSSRVPSRRSVSRSPVRAPSRNNPRSYSRSPSPIRRARSPPDRRSLSRSVSPDGSPKRIRRGRGFSQRYSYARRYRTPSPDRSPVRPYRYSRRNDRDRYSNFRRYSPRRYRSPPREISPPRYRGRRSGSRNPSLSRSPRYRSRRYNRSRSPVRSVSPVNAPRSRPSPRVEKSRSRSPSVSQSSLDSQSPKRVSRDKLRSSSRSPSGKRGLVSYEDGSPDSGQR >Manes.03G017300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1458341:1465448:-1 gene:Manes.03G017300.v8.1 transcript:Manes.03G017300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNPWVFLDVSIDGDPFERMVFELFPDVAPKTAENFRALCTGEKGFGPKTGRPLHYKGSFFHCILKGSFAQGGDFVKRDGSDGESIYGDNFPDETPRLKHDGPGLLSMSIADRDTLGSQFIITFKANHYLDRKYVVFGKLVQGDEVLEKVESVGDEEGRPMVTVKIINCGEFKDGVDKKKVHKVKMGKDALSDSDSHEAHKKGRHKKFSRDRKKRRKRYDSSDSESSSDSDTESSESVSDSDSYVSSSEISSSSEDRRKKRKRSSKRDKYRRGKRRDKRREKRRKRRDKRSKRRSRRVSDSLTDDESESKSGSSSDGDGLEVQAKARRHKDPLLKTAGDRSPMVLEDKDASLPRKMREERDMLEKDGGESPKENGGRRSLGVEADVASDRSQDRLPDVVDDHPGKSRSRSMSPKRTPSKSMSISPRRSLSKSPSVSPKRSISRNPHLQRSFSRSPARSGSSRNPARIVSRSPLRGKRARSISKSPVRSRSRRSTSRSPMRSPPPRSKSRSPPRASSRKSISRSPVRSSQRGVSRSPVRSFRRSTSKGPVRSSRRSISRSSSRVPSRRSVSRSPVRAPSRNNPRSYSRSPSPIRRARSPPDRRSLSRSVSPDGSPKRIRRGRGFSQRYSYARRYRTPSPDRSPVRPYRYSRRNDRDRYSNFRRYSPRRYRSPPREISPPRYRGRRSGSRNPSLSRSPRYRSRRYNRSRSPVRSVSPVNAPRSRPSPRVEKSRSRSPSVSQSSLDSQSPKRVSRDKLRSSSRSPSGKRGLVSYEDGSPDSGQR >Manes.03G017300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1458309:1465591:-1 gene:Manes.03G017300.v8.1 transcript:Manes.03G017300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNPWVFLDVSIDGDPFERMVFELFPDVAPKTAENFRALCTGEKGFGPKTGRPLHYKGSFFHCILKGSFAQGGDFVKRDGSDGESIYGDNFPDETPRLKHDGPGLLSMSIADRDTLGSQFIITFKANHYLDRKYVVFGKLVQGDEVLEKVESVGDEEGRPMVTVKIINCGEFKDGVDKKKVHKVKMGKDALSDSDSHEAHKKGRHKKFSRDRKKRRKRYDSSDSESSSDSDTESSESVSDSDSYVSSSEISSSSEDRRKKRKRSSKRDKYRRGKRRDKRREKRRKRRDKRSKRRSRRVSDSLTDDESESKSGSSSDGDGLEVQAKARRHKDPLLKTAGDRSPMVLEDKDASLPRKMREERDMLEKDGGESPKENGGRRSLGVEADVASDRSQDRLPDVVDDHPGKSRSRSMSPKRTPSKSMSISPRRSLSKSPSVSPKRSISRNPHLQRSFSRSPARSGSSRNPARIVSRSPLRGKRARSISKSPVRSRSRRSTSRSPMRSPPPRSKSRSPPRASSRKSISRSPVRSSQRGVSRSPVRSFRRSTSKGPVRSSRRSISRSSSRVPSRRSVSRSPVRAPSRNNPRSYSRSPSPIRRARSPPDRRSLSRSVSPDGSPKRIRRGRGFSQRYSYARRYRTPSPDRSPVRPYRYSRRNDRDRYSNFRRYSPRRYRSPPREISPPRYRGRRSGSRNPSLSRSPRYRSRRYNRSRSPVRSVSPVNAPRSRPSPRVEKSRSRSPSVSQSSLDSQSPKRVSRDKLRSSSRSPSGKRGLVSYEDGSPDSGQR >Manes.03G017300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1458309:1465591:-1 gene:Manes.03G017300.v8.1 transcript:Manes.03G017300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKNPWVFLDVSIDGDPFERMVFELFPDVAPKTAENFRALCTGEKGFGPKTGRPLHYKGSFFHCILKGSFAQGGDFVKRDGSDGESIYGDNFPDETPRLKHDGPGLLSMSIADRDTLGSQFIITFKANHYLDRKYVVFGKLVQGDEVLEKVESVGDEEGRPMVTVKIINCGEFKDGVDKKKVHKVKMGKDALSDSDSHEAHKKGRHKKFSRDRKKRRKRYDSSDSESSSDSDTESSESVSDSDSYVSSSEISSSSEDRRKKRKRSSKRDKYRRGKRRDKRREKRRKRRDKRSKRRSRRVSDSLTDDESESKSGSSSDGDGLEVQAKARRHKDPLLKTAGDRSPMVLEDKDASLPRKMREERDMLEKDGGESPKENGGRRSLGVEADVASDRSQDRLPDVVDDHPGKSRSRSMSPKRTPSKSMSISPRRSLSKSPSVSPKRSISRNPHLQRSFSRSPARSGSSRNPARIVSRSPLRGKRARSISKSPVRSRSRRSTSRSPMRSPPPRSKSRSPPRASSRKSISRSPVRSSQRGVSRSPVRSFRRSTSKGPVRSSRRSISRSSSRVPSRRSVSRSPVRAPSRNNPRSYSRSPSPIRRARSPPDRRSLSRSVSPDGSPKRIRRGRGFSQRYSYARRYRTPSPDRSPVRPYRYSRRNDRDRYSNFRRYSPRRYRSPPREISPPRYRGRRSGSRNPSLSRSPRYRSRRYNRSRSPVRSVSPVNAPRSRPSPRVEKSRSRSPSVSQSSLDSQSPKRVSRDKLRSSSRSPSGKRGLVSYEDGSPDSGQR >Manes.04G156200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35112397:35113170:-1 gene:Manes.04G156200.v8.1 transcript:Manes.04G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASLPPPSLQLPPSLPEHTSLSPNSEPSSPRSPRSPPRSAISLQQPTTKKGPSKSAKIFRRFRAVLRSFPIITPTCKIPISLPGSRLHDGHIHGGTRMTGTLFGHRKARFNLAIQENPSSLPILLLELTIPTGKLLQDIGMGLVRIALECEKKPNEKTKIINEPIWTMYYNGRKAGYGVKREPTDEDLIVMQILRVMSMGAGVIPDDGTEQPEGELTYMRSHFERVIGSKDSETYYMTNPDGNSGPELTIFFVRI >Manes.01G184200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQDFVFKIREHEEEIFQLRKHLSDYSVKAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQDFVFKIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVRVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQDFVFKIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVRVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQIREHEEEIFQLRKHLSDYSVKQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVRVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQDFVFKIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVRVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36222427:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQDFVFKIREHEEEIFQLRKHLSDYSVKAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKTL >Manes.01G184200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216989:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQDFVFKIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVRVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVRVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSFKRHNCDHLEIIYHAGW >Manes.01G184200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQDFVFKIREHEEEIFQLRKHLSDYSVKAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVRVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVRVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSVAIPYGQPTEFILTSSSGVQHVLRVDSNSMDVSCSRDTIVITLRLFIMRAGERRKVKKESILSQVRHILLLLI >Manes.01G184200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36216991:36231861:-1 gene:Manes.01G184200.v8.1 transcript:Manes.01G184200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHDGKLANKMSVLALNDSASSSPNFNFNDNNSNATTAATTTTTTNNNNDSLFQVMQAVEAAEATIRQQVEENVRLRSALQKKIQELEKYKMDESMAQRSHYVDQWNERVDGPYEVHPSGGLVLHHDITLNIEDPATKNQVESPSDSSKINGTLKVLPGGQAPADNTGLSQLSSPSTASFSPRRYQMEGEYDPQFNLSGHGQMQMSEVNNPSGLWKQIREHEEEIFQLRKHLSDYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDTASKALSYRQDIIDENICLTYELQAAQQERSTFVSSLLPLLSEYSLQPPIPDAQSIVSNVLFRHLQEKLIQTESKLKEYQYQLAPWHSDVNHSNTAPQSPSHSAGVALNKVGLELVSQPTHSLGTLPITTPDAQTSDWGRDLLSHRQRGLRGAVAKNLEPDDVGRYSPLASRNSVIHDIPAQFAVSSGDNSRAVHSGEAITNKEVKFHDTVSNNEMDNPDAEGQQNEREPSVSWGTTALDDSSSSYSPYLPPVLEEHSSSFSEAAEDDPLPGIEGLRISGEAFPGRELQACGYSINGTTSCNFEWVRHLEDGSVDYIDGAKQPNYLVTADDVDTYLAIEVQPLDDRKRKGELVKVFTNEQRKIICDPEMQNHIEKTLYSGHASYKVSLSTGYLGIWEPATLAIKREGYNIKYGGSSGVVVTEKFSPNTSFKRHNCDHLEIIYHAGW >Manes.05G190100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31271600:31276447:-1 gene:Manes.05G190100.v8.1 transcript:Manes.05G190100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPKKNGPLGLTSGGLSGFALDPAQCSLLSMEEKRELIREIAQWSKEAPEILSSFTRRELLEIICAEMGKERKYSGYTKLRMIEHLLKLVSQKSKRGNSNDIIASSPAKPQTGFKRQRMKESQLQLSTDLDPVPHGNIKEVKIHICQNVACRANLSANDAFCKRCSCCICHSYDDNKDPSLWLTCGSDSLDEKSCGLTCHLICALKDERTGIMKIGCHTKLDGSFYCVSCGKINGLMRTWRKQLLIAQEARRVDVLCLRVLLGYKILTGTEQYKEMQKSLETALQLLKNELGPLDLVHAKMTRGIVNRLSCGAEVQKLCASTVEAFDLMFSHVAKLEPASCKIQFEESSSTSVVIVLEYVDDLSDDFQGCMLWHRESKAKDYPPKPTFIILKPEKRHKITNLTPSTEYFCKASFFGSTGILSIREANWITPTPNEHSVAALGEYREEEKLIITQIQSQVKSTNSRNIKLIGEGSTGSQSVNYINGNKKEVLCSLPPSLEVVSSMSLGSLSPKTPCKSSGMQEVSGMGCKKQKEKNAYEYSVRVVKWLESEGHIEEDFRVKFLTWFSLKATVQERRVVNVFVDALIDDPPSLAEQLIHSFMDMICCERKKVSPHGFCTMLWH >Manes.02G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9653587:9658349:-1 gene:Manes.02G127600.v8.1 transcript:Manes.02G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHASLGRRTLEEIRQKRAAERLSKTSSGPDLTKTPNPNDNIGIKKSESANRLSETDVSGLVSQLKDLQKKNADLEEINKTLSMKVQTKDVENESLQKRLNDLEQNTVPSLRKALKDVAMEKDAAVVAREDLSAQLRTLKKRLKDAEEEQYRAEEDAAALRAELNSMQQQAMNSNILSGMTSVGISPDRVQSLEKELASLKLNLQQESLLRQQEQQQLAEEQARVSTLTSEKLELEEKLGALSRIAPEVSEKVALKAFSMEEKEKLEKQLHDMAVAVERLESSRQKLLKEIDSQSTEIEKLFEENSNISSSYQEAISAAKQWENQLKDCLSQNEELRGVLFKMRMEQANMLSSGDREILKSSTEHKSNGINGTGSQIYTTEVLSLKGELAKEQNRADALSAEVLQLSAKLQEATQAYNGLARLYKPVLRNIENSLIKMKQDGSVTVL >Manes.07G100504.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30543026:30543535:1 gene:Manes.07G100504.v8.1 transcript:Manes.07G100504.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITTILRFFISFFQFLTTPQLLPSYLLSHHQPLLSMGFSLLDVHFLL >Manes.17G015170.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8004182:8005643:1 gene:Manes.17G015170.v8.1 transcript:Manes.17G015170.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.11G121233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28173921:28177891:-1 gene:Manes.11G121233.v8.1 transcript:Manes.11G121233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYKLLLVYLQLDIFLSFNLQFMHVHSSSNETDQLALLKFKEGISNDPHQVFNSWNHSIHFCRWHGIACSRRHQRVTSIVLRGHDLVGSISPFIGNLSFLKILSLQNNIFKGQIPQEVGNLFRLQILDLQNNTMDGEIPLNLTRCSQLSVISLGWNYLTGKIPAELGSLEMLELLLLCENNLNGKFPSSLGNLSSLAIISAGWMGLEGNIPNEFGRLKSLELFIAMGNKLSGTFPLSLFNISSVEEISIAENKFMGSLPENIGITLPNLRRIGVGDNLFSGSIPNSFCNASQLEILDLSINNFKGQVPNCLGNLQNLIRLTVSYNHLGYNSTSDLDFLTSLKNCSNMRELGFDVNNFGGVLSNSVSNLSVQLTKLYFSHNQISGIIPKALENLINLILLAMEDNLFIGVIPSFVGKLEKLQHLFLYENKLSGQIPSSIGNLTQLSKLSISSNNLEGSIPKSIKNCKNLQFLDASGNNLNGSITKEVLHLSSLSQYLDLSHNSLTGELPADVGNLTSINALDVSKNMLSGEIPRAIGSCSSLEYLYMQGNSFHGSIPSSLAALKGLQQLDLSQNNLTGEIPKDLQSLQYLLYLNISFNDLVGEIPTEGVFSNASAISLMSNNKLCGGVAELHQPKCPSNALKKGKSISIRIAIVVPPVFFCVLLMLAFMLAYRKRVSKKGSSVASKEMDCLVKVSYKDLYAATSGFSIDNLIGSGSFGFVYKGFLNQLGVHVAIKVLKLGIKGASKSFMAECKVLSTLRHRNLVKLFTCCSSIDYKQNEFKALVYEFMGNGNLETWLHHDIHNNNQSRNLNFLQRLNIAIDVASALHYLHDLCEIPVIHGDLKPGNVLLDDDMVAHLSDFGLAKFFLNTDDASQSQTSSIGIRGTIGYAPPEYGMGSIASKEGDVYSYGILVLEMFTGKRPTDEKFEGLLNLHSFVKDALPTRLSEITCPALLSSGMFVAEVEEQIVVHIEEGPRDNGELSRERISKEKECLLSVFNVGVACSAESPGDRMSMRDVVKELHLIRSIFLGVRIYD >Manes.03G003900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:382320:387494:-1 gene:Manes.03G003900.v8.1 transcript:Manes.03G003900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSGKKAGDGIVVSRKGSTLVLRENANNKERNAQFCNRIGCSGRINYAKGTQISYSEKAKSSRQSFRSPSNGKEIIGSSSRTCSATSNPRKSFSGPRKKPSPKSEKDPSETGSFQDDNELPELLTPEKVQKGLGSESGDAGPSENNSKEVGSTSMPSARSRRSFHQKSGIGKPDTVIGSSILSTSKCTSQGAHASANRYGLRNLRCSSLSDVVPTGSSTSKPNLLRQKDTVRKRICDGESSSSARGKKISPSLEGKNSSSSSSVSISDSRTRNGPPRWDNGPASVRTRRSLGYTRTRAANQGSQPNEPHVIPQISQPILPIDSNSPTSSHQFSLESSPSHLQSYGRPGSSHESSRGIRPPSPAEFGNIRSSMGRESFRRYNMDGIAEVLLALERIEQDEELTYEQLLVLETSLFLNGLNVHDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLKTSIYQSASLEDATLDLCGEKADIKCSICQEDYAVGDEVGRLQCEHRYHVACIHQWLRLKNWCPICKASAAPSSSPQSPSSVDE >Manes.03G003900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:383107:387368:-1 gene:Manes.03G003900.v8.1 transcript:Manes.03G003900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSGKKAGDGIVVSRKGSTLVLRENANNKERNAQFCNRIGCSGRINYAKGTQISYSEKAKSSRQSFRSPSNGKEIIGSSSRTCSATSNPRKSFSGPRKKPSPKSEKDPSETGSFQDDNELPELLTPEKVQKGLGSESGDAGPSENNSKEVGSTSMPSARSRRSFHQKSGIGKPDTVIGSSILSTSKCTSQGAHASANRYGLRNLRCSSLSDVVPTGSSTSKPNLLRQKDTVRKRICDGESSSSARGKKISPSLEGKNSSSSSSVSISDSRTRNGPPRWDNGPASVRTRRSLGYTRTRAANQGSQPNEPHVIPQISQPILPIDSNSPTSSHQFSLESSPSHLQSYGRPGSSHESSRGIRPPSPAEFGNIRSSMGRESFRRYNMDGIAEVLLALERIEQDEELTYEQLLVLETSLFLNGLNVHDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLKTSIYQSASLEDATLDLCGEKADIKCSICQEDYAVGDEVGRLQCEHRYHVACIHQWLRLKNWCPICKASAAPSSSPQSPSSVDE >Manes.03G003900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:383094:387368:-1 gene:Manes.03G003900.v8.1 transcript:Manes.03G003900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSGKKAGDGIVVSRKGSTLVLRENANNKERNAQFCNRIGCSGRINYAKGTQISYSEKAKSSRQSFRSPSNGKEIIGSSSRTCSATSNPRKSFSGPRKKPSPKSEKDPSETGSFQDDNELPELLTPEKVQKGLGSESGDAGPSENNSKEVGSTSMPSARSRRSFHQKSGIGKPDTVIGSSILSTSKCTSQGAHASANRYGLRNLRCSSLSDVVPTGSSTSKPNLLRQKDTVRKRICDGESSSSARGKKISPSLEGKNSSSSSSVSISDSRTRNGPPRWDNGPASVRTRRSLGYTRTRAANQGSQPNEPHVIPQISQPILPIDSNSPTSSHQFSLESSPSHLQSYGRPGSSHESSRGIRPPSPAEFGNIRSSMGRESFRRYNMDGIAEVLLALERIEQDEELTYEQLLVLETSLFLNGLNVHDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLKTSIYQSASLEDATLDLCGEKADIKCSICQEDYAVGDEVGRLQCEHRYHVACIHQWLRLKNWCPICKASAAPSSSPQSPSSVDE >Manes.18G029500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2505442:2508894:1 gene:Manes.18G029500.v8.1 transcript:Manes.18G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTKLQSSAKPVPQQRVVFGPTGNRARVWEECRRKSEILKKPQAQQRPKKPAVKATVSEMQRAVVTNNLSVDSSCSSDSNFSYSSASSTTTTSSVSSDKLASPRRNLKRTGLRAVKVVPDGGISPKKDAPVKRCDWITPQSNPLYASFHDEEWGVPIHDDRKLFELLVFSQALAEMSWPTILQMRDTFRKLFDNFDPSSVAQFTEKKLLSLKENGNLLLSEPKLRAIVENAKLFLKVQQEFGSFSNYCWRFMNNKQLRNAFRYARQVPVKTPKAELISKDLMQRGFRCVGPTVVYSFMQVAGIVNDHLVTCFRYQECNSNVKKNFNPEIEETKIVTEALEKTCLSQ >Manes.06G147000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27329825:27335319:1 gene:Manes.06G147000.v8.1 transcript:Manes.06G147000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSWRSNNKKIKAVFKLQFQATQVPHLKKPALTISLLPEDVGKPTFKLEKAAVQDGTCSWEKPIYVTVKLIRQAKTGKLNEKIYHFIVSSGSSKSGYLGEASIDFADFAEETEPLTVSLPLKFANSGAVLHVTVQKLQGGTDQRYIEDNGDSALPQVESLKNTLSNGYTDETYRNSTEDRNLDIFSFENADQDGSFKASIGSNASIQSTPRQNSMPQKTMVDTFTTKNRLHRRSSTDWSVDSASDGSLADSTNSLPRELQGASDETIEKLKSEISSLTRQAELSELETQSLRKQITKESRRAQDLSRQIVDLKEERDTIKTEYEELRSQQKFIGGGESLNRFQAQNKDAMDQLEEIKRELSHEKEMNNDLKLQLQKTQDSNSELILAVRDLDDMLEQKNMEISHLSVKLELSKNIDKVQEKKCKCNMKEDDQRLVAVLEKLAREQNDACELCLLKQKIADLFDEIELYREDREKLENYIEQLAQENADLQQENHDLSFKLEQSRVEELKMQNESMETLASTERLELQIQRLEEQLKQQTQEFSESLISIKELESQVKEMDKELEKQAQGFENDLNTMMQAKIEQEQRAIRAEEALRKTRWKNAMTAEKLQEEFRRLSVDMAGKFDENEKLMTKAMAEANELHVQNRNLEERLHKANEELSLVRDQNRIRVEELSTQLDLKTKHLEHMSLELEATSQQLRCAQKHKEEKQEAFSVEIQMLQAEKKTSLEETEVLIERWNQERDELEKNYVFAKKEAEKAQEELFVLRSLNNEKETLVDKLSSEAESLRSQHVELKHSLSKEELEKENLQKQLLELKHELHKTKEGSKLKNNSSVAAFSEGKNCTPIYNENRGTRCAAINAANVKESEESKYKREKIQHSGMTATERMSAKEEVSVAPLERDDSNLTELLTEMELLRERNKSMESELKDMQERYSEISLKFAVVEGERQQLVMTVRNLKSGKKN >Manes.04G156300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35114531:35119085:-1 gene:Manes.04G156300.v8.1 transcript:Manes.04G156300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYFGSNIQELVVPKDQEISDGFPSPESWLKWGINESETFGFPTKAFVIHSKLAQEEEINFNFTNNDVELESCIHDNKDQSSSSIGGGGSSEESLHHQTALFCDQQLDYQLDGLAGFQQMDDLFLSSLIEDPLETDNLHSSHCFEPELLVQDVNILRNTMLDTQSVSSDAYSMGSSKYLKTHAFSPSVDQEKGKVSALAFTPSNSKQKNWPLLEAPVIKVLAPFEHNECKDKETSIEESVLLDLEMVMAQLTEKTRICFRDAFYRLAQNSRQHVPTQNQNGNLSMETPPWTSQEDKMRCASKASMISS >Manes.04G156300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35114531:35119085:-1 gene:Manes.04G156300.v8.1 transcript:Manes.04G156300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYFGSNIQELVVPKDQEISDGFPSPESWLKWGINESETFGFPTKAFVIHSKLAQEEEINFNFTNNDVELESCIHDNKDQSSSSIGGGGSSEESLHHQTALFCDQQLDYQLDGLAGFQQMDDLFLSSLIEDPLETDNLHSSHCFEPELLVQDVNILRNTMLDTQSVSSDAYSMGSSKYLKTHAFSPSVDQEKGKVSALAFTPSNSKQKNWPLLEAPVIKVLAPFEHNECKDKETSIEESVLLDLEMVMAQLTEKTRICFRDAFYRLAQNSRQHVPTQNQNGNLSMETPPWTSQEDKMRPGGKKTMEMETNTIDRTIANLMFNKMDLASSRLESLKATRSSKHSSNQPQIHDFPLYPFFSNDAEVPSLCQGYSQASAFQDVHTSRTPAKKTMY >Manes.04G156300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35115581:35119085:-1 gene:Manes.04G156300.v8.1 transcript:Manes.04G156300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYFGSNIQELVVPKDQEISDGFPSPESWLKWGINESETFGFPTKAFVIHSKLAQEEEINFNFTNNDVELESCIHDNKDQSSSSIGGGGSSEESLHHQTALFCDQQLDYQLDGLAGFQQMDDLFLSSLIEDPLETDNLHSSHCFEPELLVQDVNILRNTMLDTQSVSSDAYSMGSSKYLKTHAFSPSVDQEKGKVSALAFTPSNSKQKNWPLLEAPVIKVLAPFEHNECKDKETSIEESVLLDLEMVMAQLTEKTRICFRDAFYRLAQNSRQHVPTQNQNGNLSMETPPWTSQEDKMRCASKASMISS >Manes.13G099300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29196879:29199398:-1 gene:Manes.13G099300.v8.1 transcript:Manes.13G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYTAQSLSNLPKIFTSNPTILRLKTPFTLQQPQIPSPSRRGSSHSLFLSVPRATIPEESWSGASLYASEERNNAVVIEFDPPSEKEVYNENRATEGPLEESPVDEQNDFLANLNTKLDSEDTYSILLYGSGALVAVWLASAVVSAINSIPLFPKLMEVVGLGYTIWFTTRYLLFKKSRDELAAKVEELKQHVLGFSDN >Manes.02G169700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13358845:13360639:1 gene:Manes.02G169700.v8.1 transcript:Manes.02G169700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLTHEELAFIFGLLGNIISFLVFLAPVPTFYRIYKKKSSEGFQSIPYLVALMSAFLLLYYGSVKDKAYLIISINAIGCAIELIYIILYLIYASKEERIFTAKLVVVFNIGGCGMMMVCTMLLAKGSKRVTIVGWICAAFAIAVFAAPLSIMRRVIKTKSVEFMPLPLSFFLTLSAIAWFFYGFLIKDFFIALPNILGILFGLVQMGLYMLYKNSSKQQDDGTKEVMKTEDSNTVVDDQCCKEMKEILHNSQSTEPIKTNAGMV >Manes.16G131800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33217244:33220300:-1 gene:Manes.16G131800.v8.1 transcript:Manes.16G131800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKYKTGLGLIGAVVFIWVASAEITQNIFEKYKQPFALTYLGVSLMVVYLPIAFIKDWFCSLFHTYLFKGVYSGSSVSSSSTGLDIPLTINDVYQGPETEACCCLVTDREEGWPVIVKNGDEEPPLLERSCKISSWEVAKCSLCLAPIWFLTEYLSNSALANTSVASTTVLTSTSAFFTLFFGGILGQDSINFAKLVAVFISMAGVAMTTVGKTSAADEMLSVSEARRHSIMGDIFGLFSAMSSGLFTVLLKRCAGSEGERVDMQKFFGYIGLFTLFGLWWLLFPLNAVGIEPAFKLPHSTFVDEVLLLNGFVGSVLSDYLWALSVIWTTPLVATLGISLTIPLAMLGDMVIHGRHYSAIYVVGCIQVFYIYP >Manes.10G059701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8234314:8239884:1 gene:Manes.10G059701.v8.1 transcript:Manes.10G059701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSASNPTTPSAQVVGNAFVEQYYHILHTSPELVYRFYQDSSVLSRPDTNGMMTSVATMQGIDEKILSLNFKDYKAEIKTADAQNWLMGMMFL >Manes.10G008900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:997621:1002095:1 gene:Manes.10G008900.v8.1 transcript:Manes.10G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKASPAFALLSAHGDNLGPLFSSVSSSPNTLSLSILRGKVSTGFVVCASKGATDKPLTGVVFEPFEEVKKELNLVPTAPQVSLARQKYSDECEIALNEQINVEYNVSYVYHAMFAYFDRDNVALKGLAKFFKESSLEEREHAEKLMEYQNKRGGKVKLQSIVMPLSEFDHVEKGDALYAMELALSLEKLTNEKLLKLHSVAEQNHDVQLTDFIESEFLAEQVEAIKKISEYVAQLRRVGKGHGVWHFNQMLLHEEETVVA >Manes.10G008900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:997621:1002095:1 gene:Manes.10G008900.v8.1 transcript:Manes.10G008900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKASPAFALLSAHGDNLGPLFSSVSSSPNTLSLSILRGKVSTGFVVCASKGATDKPLTGVVFEPFEEVKKELNLVPTAPQVSLARQKYSDECEIALNEQINVEYNVSYVYHAMFAYFDRDNVALKGLAKFFKESSLEEREHAEKLMEYQNKRGGKVKLQSIVMPLSEFDHVEKGDALYAMELALSLEKLTNEKLLKLHSVAEQNHDVQLTDFIESEFLAEQVEAIKKISEYVAQLRRVGKGHGMSPNSCFIATSFIAIFL >Manes.16G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19714546:19718926:1 gene:Manes.16G055800.v8.1 transcript:Manes.16G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFALRLPSTNFRSFSISASSNGAAPGNHIGKSQNGGPVILELPLDKIRRPLLRTRANDPNKVQELMDSIKQIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHLR >Manes.16G055800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19714546:19718926:1 gene:Manes.16G055800.v8.1 transcript:Manes.16G055800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFALRLPSTNFRSFSISASSNGAAPGNHIGKSQNGGPVILELPLDKIRRPLLRTRANDPNKVQELMDSIKQIGLQVPIDVLEVDGVYYGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHLR >Manes.10G114062.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27407092:27411136:-1 gene:Manes.10G114062.v8.1 transcript:Manes.10G114062.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAAAASAGAVETYKDVRNAVDSLDELRDYEKNLDKNYEKLKDEAKKLYARRSDLLVKINRDRTKQTTEECNVWMGKVKKLEKDVLALESEYEEEKRYQFKLLRLLPRSNLSKCMVEKRIDIQSCWSEGSNFVTDFLVERSAKPIKKLKAPKIKDMPSLYVIFEDILQCLKDSCVTRIGLWGRVGVGKTTIMQNLNDSEEISKLFDIVFYVNLADEGNEEKLQERVQHQIAQKLQLNSLQDRTDTKTIASRVSEKLESMRFLLLLDDVWGPFDLDDCGISENGRDSKIVIASRHRGHCREMGVDHLIRVSRLSNDEAWNLFQERLGRTLNSEFKALGLQVIEECDNLPLLIDKVARAFRRKDNILLWEAGLNKLRIWPCTKCDGMDDVFDLLRFCYEELDEDEKFCFLYGALYTEAYEISENFTQDVEQFTAIQKGQEILHDLMDASLLENGEKVKHVKMNKVLRKMALKILESEDSKCLVKPGKELQKTPESKEWKKKLRISLMDNKLNTLPEKPDCNNLLTLLLQRNTDLAVIPGQFFESMQSLRVLDLQSTDIVELPSSLSCLVCLRALYLNSCRKLLKLPAKIKALKLLEVLDIRGTGINFLPVEIKCLSQLRCVRMSLSKIYGKSRYMKTNHTMILQLSLLEELVIDIHPENKWWDKVVRDIIQNMAILTKLTYLSFSIGQYDETRYKILDNYEGQICRHYQVLAEVNALELIGCKRFLNLSDFGLDNMTKIRSCLIESCNEMESVVNGNGITRAVLECLEKMYISNVPKLERFWEGSIPPGIQQFSNLQHLEIEACHEIEEVTLEAENNGMNAPALPSLKSIILVGLPSLRSIYIDDSLDWESLDKRISMCPQLDSLPSRRRIQRQS >Manes.01G240301.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40155759:40155995:1 gene:Manes.01G240301.v8.1 transcript:Manes.01G240301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVGRQIRAGGSRKKDESLLTRMVNAVFAFVKLAEFELLFFFFFLIAFIIFKDLTSRPEYNQILVKKPGGPEFWAF >Manes.05G071301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5864754:5866007:1 gene:Manes.05G071301.v8.1 transcript:Manes.05G071301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFQAVACSYLRLFLSYENNQINKLLMTSNESFFKFTASLFLAYLDPSTPNGLDPDQAAVLASCQDLLLCRRKLSFEHFYYICNPFVGKWNELSPRPSHDENTRLCFICKGRGSKFTYTVIRFLCSGDSVISAELAVETYSSGIDTWRKKMVSPRLGRFRWPILNCNAKL >Manes.05G171200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28600012:28608303:-1 gene:Manes.05G171200.v8.1 transcript:Manes.05G171200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFCLRPRRYLRLPLIPPRSFSSSSSSSSSSSSSKSLIEPSINLKNQHQTPVLHHHPLVSTAKTCSSPSRYSVLGLSAAVVSALIASFALLSSVDRHSSESNTSHDSNPLHVAIEQTISKSNESFRRVFYHVRQTGVAASVLWQSLRSVLSSANHEVRVGFELRVAALLADIAAANGARRVALVGAGGGKVVDWLLETVAVGGDGYGTQAEAARALAYLIADPDVSADVLGRPHAVPYLLRFIFSCQPKKHSRRSSFDICDSLKGRSMLVAAIMDIVTANCDSSLEKVPFKPSLPANAETRDIAVAIEVIEAGGLHMDGPQDNGDDDNGGKGMKGIGIKILEGTTVLGLTRNSGLTKSEHSNSSNVESVSQTSKTLSLLQKKDGSLEQNLSSTVVPGLWDDLHCEHVAVPFATWALANWAMASEDNRSHIQELDQDGQAVMTALMAPERSVKWHGSLVARLLLEDRHLPINDSISDWSSSLLTTISQASKNDDIPLAQVALSAFSLSIERSQEARKIVIEKGLELMRDTTKQTTKYTKIQEALARAMELLSTGDMHLSLEESQKWSGILLPWVFGNVSSDIIRSSATKILSYILEDHGPSSVPISQGWLAILLKEVLASTKASSSKGGIQPKSDKVKTQIDQSNIQFATQTVNQLAGAVVNLAGNQVRADTDSVDTFPLADLLSLEPFAGPFQNFKKDANSKFNVADSALATLKGIKALTELCSEDSLCQKKIVEHGILCLLRRFLLRDDYERLSAMEAYDASRDLEAQERISNVTGETPSAATNGPSSLRVPPTAHIRRHAARLLTVLSNIPQVQKVVLADKTWCKWLEDCANGKIPGCSDSKIQSYARATLLNIFCCLHDGVNSDLPESGSANRNRGCSQYSDMIFLINPELSHWKCENIESKKIGSNASINGDSSSISKTSNITECSSTNESLTGSESEAPQLDVVFVHGLRGGPYKTWRLAEDKASTKSGLVEKIDEEAGKLGTFWPAEWLSTDLPQTRMFTLKYKTNLTQWSGATLPLQEVSSKLLEKVVAAGIGNRPVVFVTHSMGGLVVKQMLYKAKEAKINNLVNNTVGIVFYSCPHFGSKLADMPLRMGLVFRPAPTIGELRSGSPRLVELNDFIRRLHKKRLVEVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPINRNDPSYTETLEFLCKLKARYSKGDASL >Manes.09G179200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36866926:36871787:-1 gene:Manes.09G179200.v8.1 transcript:Manes.09G179200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIANKA >Manes.01G202300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37498944:37507785:-1 gene:Manes.01G202300.v8.1 transcript:Manes.01G202300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSHPTVPNRSRSSQSPSPSHSASASATSSIHKRKLAAAASAASEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDADDSDAVVDDDEDEFDNDSMRTFTAARLETNAGASATGASSGGGGGSSARNTKLKTENSTVKIEKSDGVKDGGTTGTGAVGSTTAGSSVPGIVAKEDAVKIFTENLQTSGAYSAREESLKREEEAGRLKFLCLSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQYARDIDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIHRQRQAIDEKIRELSNCHIVYTGIDFQKKEAGIPKKILKVEDIPGLREAGWTPDQWGHSRFNAFNTSTDSATNQRHWTAFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTFDMFVADVKRMFANARTYNSPDTIYYKCATRLEAHFESKVQSGFQSAAKIQQ >Manes.01G202300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37502755:37507792:-1 gene:Manes.01G202300.v8.1 transcript:Manes.01G202300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSHPTVPNRSRSSQSPSPSHSASASATSSIHKRKLAAAASAASEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDADDSDAVVDDDEDEFDNDSMRTFTAARLETNAGASATGASSGGGGGSSARNTKLKTENSTVKIEKSDGVKDGGTTGTGAVGSTTAGSSVPGIVAKEDAVKIFTENLQTSGAYSAREESLKREEEAGRLKFLCLSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQYARDIDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIHRQRQAIDEKIRELSNCHIVYTGIDFQKKEAGIPKKILKVEDIPGLSTLIVGRFQRLIGSLFYI >Manes.01G202300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37498944:37507785:-1 gene:Manes.01G202300.v8.1 transcript:Manes.01G202300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSHPTVPNRSRSSQSPSPSHSASASATSSIHKRKLAAAASAASEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDADDSDAVVDDDEDEFDNDSMRTFTAARLETNAGASATGASSGGGGGSSARNTKLKTENSTVKIEKSDGVKDGGTTGTGAVGSTTAGSSVPGIVAKEDAVKIFTENLQTSGAYSAREESLKREEEAGRLKFLCLSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDSQKFGEIAFCAITADEQVKGYGTRLMNHLKQYARDIDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIHRQRQAIDEKIRELSNCHIVYTGIDFQKKEAGIPKKILKVEDIPGLREAGWTPDQWGHSRFNAFNTSTDSATNQRHWTAFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTFDMFVADVKRMFANARTYNSPDTIYYKCATRLEAHFESKVQSGFQSAAKIQQ >Manes.01G202300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37498944:37507785:-1 gene:Manes.01G202300.v8.1 transcript:Manes.01G202300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSHPTVPNRSRSSQSPSPSHSASASATSSIHKRKLAAAASAASEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDADDSDAVVDDDEDEFDNDSMRTFTAARLETNAGASATGASSGGGGGSSARNTKLKTENSTVKIEKSDGVKDGGTTGTGAVGSTTAGSSVPGIVAKEDAVKIFTENLQTSGAYSAREESLKREEEAGRLKFLCLSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQYARDIDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIHRQRQAIDEKIRELSNCHIVYTGIDFQKKEAGIPKKILKVEDIPGLSTLIVGRFQRLIGSLFYI >Manes.01G202300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37502755:37507792:-1 gene:Manes.01G202300.v8.1 transcript:Manes.01G202300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSHPTVPNRSRSSQSPSPSHSASASATSSIHKRKLAAAASAASEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDADDSDAVVDDDEDEFDNDSMRTFTAARLETNAGASATGASSGGGGGSSARNTKLKTENSTVKIEKSDGVKDGGTTGTGAVGSTTAGSSVPGIVAKEDAVKIFTENLQTSGAYSAREESLKREEEAGRLKFLCLSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDSQKFGEIAFCAITADEQVKGYGTRLMNHLKQYARDIDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIHRQRQAIDEKIRELSNCHIVYTGIDFQKKEAGIPKKILKVEDIPGLSTLIVGRFQRLIGSLFYI >Manes.01G202300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37498944:37507785:-1 gene:Manes.01G202300.v8.1 transcript:Manes.01G202300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSHPTVPNRSRSSQSPSPSHSASASATSSIHKRKLAAAASAASEDHAPPFPPSSLSADTRDGALTSNDDLESISARGADSDSDADDSDAVVDDDEDEFDNDSMRTFTAARLETNAGASATGASSGGGGGSSARNTKLKTENSTVKIEKSDGVKDGGTTGTGAVGSTTAGSSVPGIVAKEDAVKIFTENLQTSGAYSAREESLKREEEAGRLKFLCLSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMVIRRNQVVGGITYRPYVSQKFGEIAFCAITADEQVKGYGTRLMNHLKQYARDIDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIHRQRQAIDEKIRELSNCHIVYTGIDFQKKEAGIPKKILKVEDIPGLREAGWTPDQWGHSRFNAFNTSTDSATNQRHWTAFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMGLKLISKAKFNQVSSQLPKFSSNVHIAVG >Manes.17G008901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3121190:3122446:-1 gene:Manes.17G008901.v8.1 transcript:Manes.17G008901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVLIVGGTGYLGKRLVKASLGLGHETYVLQRKEIGVDIEKVQMLLSFKEEGAHLVEASFDDQQSLVDAVKLVDVVICAISGLVDAIKQAPNVKRFLPSEFGTDPSRMGNAMEPGRITFDDKMVVRKAIEEAGIPHTYISANCFAAYFLGGLCQPGHIIPSRDHVSLLGDANQKAIYVDEDDISVYTIHTIDDPRTLNKTIYIRPPKNILSQEKLLRFGRI >Manes.10G116800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28207996:28209069:-1 gene:Manes.10G116800.v8.1 transcript:Manes.10G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAQVHILAAPGFFSPSSTKPTTLSPKTIFFSQGIAIKTTLLNLTITTPPAKFDVSTQAKANPSGEQKWTHEGSVTESLPNGMFRVRLDNEDVIIGYISGKIRKNFVRILPGDRVKVEVSRYDSSRGRIVYRMRNRDPSND >Manes.06G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25722246:25725329:-1 gene:Manes.06G127400.v8.1 transcript:Manes.06G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWESNGWSRARGLVIKTLVLIGGAILVKRLTKSTTRWDHARIVAQSLSGEKFSREQASRDPDNYFNIRMLTCPAAEMVDGSKVLYFEQAFWRTPQKPFRQRFYMVKPCPKELKCDVEVSSSAIRDAEEYKNFCDRPKDQRPLPEEVIGDIAEHLTTIHLKRCDRGKCCLYEGSTPPGGFPNSWNGASYCTSELAILKNNEIHTWDRGYDDDGNQVWGVKEGPYEFKPAPASGSNDMFSPLNFPPLQLMEKRIEGSFVLQE >Manes.06G127400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25722246:25725329:-1 gene:Manes.06G127400.v8.1 transcript:Manes.06G127400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWESNGWSRARGLVIKTLVLIGGAILVKRLTKSTTRWDHARIVAQSLSGEKFSREQASRDPDNYFNIRMLTCPAAEMVDGSKVLYFEQRFYMVKPCPKELKCDVEVSSSAIRDAEEYKNFCDRPKDQRPLPEEVIGDIAEHLTTIHLKRCDRGKCCLYEGSTPPGGFPNSWNGASYCTSELAILKNNEIHTWDRGYDDDGNQVWGVKEGPYEFKPAPASGSNDMFSPLNFPPLQLMEKRIEGSFVLQE >Manes.08G168200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40271953:40274074:1 gene:Manes.08G168200.v8.1 transcript:Manes.08G168200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQLRCSVSAKQYSSSSKNARVNNPGYPPVSFPFSNSRNHSLRLLPHPKPSGLEFSHGGGNGNSGIGRGYGGGGGGGGGSGGWSGDSNFEDSSASQFGILGFFLNGWRSRVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLDELDFVFSTLVVGSILNFTLMYLLAPTASAASASLPGVFANCPTSHMFEPGAFTLMNRLGTVVYKGTIFAAVGFAAGLVGTALSNGLIAMRRKMDPTFETPNKPPPTVLNAVTWALHMGISSNLRYQTLNGVEFLLEKGLPPLAFKSSVVVLRCLNNVLGGMSFVILARLTGSQSSGEAKPDASEGSEKGKLLDRGEELQNNQSTFK >Manes.10G150200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31790575:31799506:-1 gene:Manes.10G150200.v8.1 transcript:Manes.10G150200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLTMKVMIFLSSLFLLVSVAFSEDSAEHLAPVHRSSFPAGFVFGTASSAYQYEGAAHEDGRGPSIWDIFTQKYPEKIKDHSNGNVADDSYHRYKEDVAIMKGIGFDAYRFSISWSRILPRGHLKGGVNPKGINYYNNLINELLANGIQPFVTLFHWDLPQTLEDEYGGLLSSKIADDFRDYAELCFKNFGDRVKHWITLNEPLTVADNGYATGTKAPGRCSNWLHYNCTGGDSSTEPYIVAHNQLLAHAAAVQVYRDKYQISQNGQIGITLSSAWILPLTNSSRDFSAAARAISFQYDWFMEPLKSGSYPADMVAYVGKRLPRFSKEESLMVKGSFDFIGVNYYTSKYAFDVPCKTEHLSYTTDSCAQSTAERNGIPIGPKTGSDWLYVYPRGILDLLLYTKNKFNDPIIYITENGVSELDTDRIIVEDNYRIRYFNDHLSFLNKAIMKGVKVKGYFGWSLLDNFEWEDGYKVRFGMVYVDYKNGLKRDLKNSAKWFKKFLVSESHSIAEKGLAII >Manes.16G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:15521062:15528155:-1 gene:Manes.16G053100.v8.1 transcript:Manes.16G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQCWSCASYPKSTALFSQKRLVALSDSKAHHQAYTYNTNYKCSLPLLGLPNKSYKTSTTSKFTCLFKLGLEDIAGIAHNKVLIAAGVSAAVGQFSKPFTSLLLYGKDFDVKAAFQAGGFPSTHSSAVVATATCLALERGFSDSIFGLSVVYAGLIMYDSQGVRREVGNHAKVLNKQLSNTQVNSLASKGRDDLIDSQQGISSTLGEDLSPPLSKEGRRFVQNSTNAPLLVKTENKTRQTSQMLTSSSISAEEETGKALNIFYPLKESIGHTEVEVIAGALLGFFVSLAVYATV >Manes.18G035500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3159446:3171017:1 gene:Manes.18G035500.v8.1 transcript:Manes.18G035500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSAREHQTVPLSVLLKRELANERIEKPELSHGQASQSKKGEDFTLIKTEYERVGGDGVSTYSVFGLFDGHNGSAAAIYTKENLLSNVVNAIPPDLNRDEWVAALPRALVAGFVKTDKEFQAKAQTSGTTVTLVIIEGWVITVASVGDSSCILESAEGDIYCLSADHRLECNEEERERITASGGEVGRLNTGGGAEIGPLRCWPGGLCLSRSIGDVDVGEFIVPVPYVKQVKLSTGGGRVIISSDGVWDALSTEVALDCCRGMPAEAAAAQIVKQAVRMKGLRDDTTCIVIDISQLDKPAAPVPAPKRQVRGVLKSMFRRKSSEPSPQVGNEYKDVVEELYEEGSAILSERLDTKYPLCNMFKLFKCAVCQVEMKPEEGISIHAGSTNSRMLRPWDGPFLCVSCQEKKEAMEGKRP >Manes.16G085400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29205873:29208270:1 gene:Manes.16G085400.v8.1 transcript:Manes.16G085400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYGTRPRLMLAEPELIRWVFTDKSGHFVKPLLNPLVDLLQLGVSTLEGEKWAKRRKLIAPAFHLEKLKGMLPAFSRSCCDLIQRWNQLTSAQGSCELDVKPEFHVLAGDVIAQTAFGSSYKEGKKIFQLQEEQVLLVLEAYQSIYFPGLRFIPTKKNKRRYAIDKEIKTTLRDMILRKEQAKSSNGDLLDLLLQCKQHSKNDITTEDIIEECKLLYFAGQETTANWLTWTLILLSMNPNWQEKARDEVLQVCGKRTPEIHDLTHLKIVSMILNEVFRLYPPVTLLLRQTLQETNIKGLSIPAGVELLLPILFVHHDPDYWGDNVEEFRPERFAEGVSNASKDQIAFYPFGWGPRICLGQNFATIEAKMALAMILQHFWFELSPSYSHAPCNRITLYPQHGAQLILHQL >Manes.16G085400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29205873:29208270:1 gene:Manes.16G085400.v8.1 transcript:Manes.16G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFIFQTLLFSFVMLALYALIKLAHVIWLKPKSIEKYLRRQGIKGTSYKLIHGDRKEITRCYVEAMTKPISLNDPIFPRVYPFFDAMVKNYGKVSMSWYGTRPRLMLAEPELIRWVFTDKSGHFVKPLLNPLVDLLQLGVSTLEGEKWAKRRKLIAPAFHLEKLKGMLPAFSRSCCDLIQRWNQLTSAQGSCELDVKPEFHVLAGDVIAQTAFGSSYKEGKKIFQLQEEQVLLVLEAYQSIYFPGLRFIPTKKNKRRYAIDKEIKTTLRDMILRKEQAKSSNGDLLDLLLQCKQHSKNDITTEDIIEECKLLYFAGQETTANWLTWTLILLSMNPNWQEKARDEVLQVCGKRTPEIHDLTHLKIVSMILNEVFRLYPPVTLLLRQTLQETNIKGLSIPAGVELLLPILFVHHDPDYWGDNVEEFRPERFAEGVSNASKDQIAFYPFGWGPRICLGQNFATIEAKMALAMILQHFWFELSPSYSHAPCNRITLYPQHGAQLILHQL >Manes.08G136750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37350666:37351100:-1 gene:Manes.08G136750.v8.1 transcript:Manes.08G136750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIYAGRLNVVKHVIHFISTGNGSSSSVSASSKQACQELFYMETRNGKHGPVVMTCLDCFLSGDKLEVKTYDGNSIKPSNDVVETAYSLLKLGFGQYELVGNNCEHFAIFCKTGKRHSRQIRSIVELMFPTPIMLPNPFFPFY >Manes.11G154700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32153520:32157254:1 gene:Manes.11G154700.v8.1 transcript:Manes.11G154700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLNRLIKHTNSSYRVSLLLPQKQQQQEKFFDPLPSLHSFQMTSTRFLDIYQLGNKAAIEKERARLKDEMNRGYFADMEELKQHGGKIGMANKIIIPAMAAVKFPNLEVNFSDGKTLKLPFGSNGNKADAESSTSPKASLLCLSFRASSQAMIDSWTMPFIDAFHDAKNIHLYEVSFIDSWLLCRNPIKRFLLRIMRKSSADANNELQKQIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGLATKEELSSLLSCTSLLLEEK >Manes.11G154700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32153520:32157254:1 gene:Manes.11G154700.v8.1 transcript:Manes.11G154700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGYFADMEELKQHGGKIGMANKIIIPAMAAVKFPNLEVNFSDGKTLKLPFGSNGNKADAESSTSPKASLLCLSFRASSQAMIDSWTMPFIDAFHDAKNIHLYEVSFIDSWLLCRNPIKRFLLRIMRKSSADANNELQKQIVYSFGDHYYFRKELKILNLLTGYIFLLDKFGRIRWQGFGLATKEELSSLLSCTSLLLEEK >Manes.08G161100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39686527:39701579:1 gene:Manes.08G161100.v8.1 transcript:Manes.08G161100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRSVEELYNATEVIGAPKITSVLRGSSRMQGPVEETERDIEKNMLPSQSEKILGKPSMRRKVHMRVDSGTCNVCSAPCSSCMHLKLACMGSKGNEFSDETCHESATSQNSVDEDDHSFKNRAYDSLQHISSEASNLLSVNFHDSSSENVESKASIRCSDMVDASVESEMLPKLSLGGAVAADQLFLKPQSILDRVTSSNKNESSNVLEGRDDNISCVSRANDASIAVIHHNKIVDRKDLSFSSASVSSLGSEGSGSGKAPTLPKSELLETPSNDSCAGSSSLKVQSRCLSITNGTHLEEDRKLDTSMVASQLAEGTGKTLILPKSELLETPSNDAYASSSSMKVQSRCLSSAADGTHFEEDTKFDSSKVSSPLLEGTGKVLMFPKPELLDTPSNNVYAGSISPKVQSRYLSSTTNGTQLEEDTEFDTSKVSSKVCTEVEECTKKDSGDQLDGGYKCSNQVEQGQKSNESVELPAVQERALQSVSGDESDESEIVEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETEIQKQDAEGKRINKASAQISGKRHVEITEVASASKRQALEGSFGSPKSSSPSRTAALSRDGSFKGLDKGKVKPARQTSFVTHSSVDTPETARFSIGPRVQSPKGTLLKSNSFSALNSKPKVKLVDDVPQKQKGTREGRSVDIKEGTARMISKSMSFRSMNPGRSNATDSKVKMLSSKFSQAQDLKVLRQVKEQNTAESKSLSKSDRPMGGSVITSSSTSVPKVSQKLTPRGDSVSVSSTSNNKDSNTSQSDGKLGSLSRSTSSIARKGAETPVTSVRSLPANGISSAVVEQKLNQVSPKDEPSWSSWIAERPCNNVDENLQDGLSRSRESSNQSEKTRESSVSRPRPTMMAGPKNVTCQKCKEIGHATECCTVVSPQASVIDTSAARIGREDMGKDGMLKAAIEVVMLKKPGIFRKKRKSDQSDGMSSSNVDATSEIASHDQFSVSNKMRNMISDEVTDEGQANLGISSSENYKQININNEKQFNVHSTNAVFPFKAGELGSTIPSTVKSSHSLAATPHFSKMLTIPDHEFIWQGAFEVHRGGKLLDLYGVFQAHLSTCASPKVLEVVNQFPEKIMVDEVPRLSTWPRQFHDNGTKEDNIALYFFAKDIESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQRWNMLFFLWGVFRGRRSNCSDSLNKLVIPSSVVPLDMNSPCKPFTSLNGDFDKKASQSNSEKQDGRLDSNSLSENTASNAFLCSENRCASPLKEAATLPECRVDTEHKSFLQATGTSTGYKNIEEKQSHENTSCVREDSSSFKVFQVGDLGADVNGSVVEEKMVDRMDTDRDEAKVEKDLNEDSLMMDAEASSGRDLNVKGPDCWQSNSRKRSYLDLSETAPQTSSSIGQKMPWDTVDEESIKKLKTSFCEQHGSSSMRGGNSLSDCFASQVSSSSIEERSCDTAADEKIILEDIGTTERYFFPVDSRRVKELGGNSMPWKEHSSNDEDKFHDGVPNLELALGAETKPPNKGILPFFVGMVEKNNTQNKTPDNATDKEEEDGVSASLSLSLSFPFSDKEQTVKPVSKTEQLLPGRHHVNTSLLLFGGFSDK >Manes.08G161100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39686527:39701579:1 gene:Manes.08G161100.v8.1 transcript:Manes.08G161100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRSVEELYNATEVIGAPKITSVLRGSSRMQGPVEETERDIEKNMLPSQSEKILGKPSMRRKVHMRVDSGTCNVCSAPCSSCMHLKLACMGSKGNEFSDETCHESATSQNSVDEDDHSFKNRAYDSLQHISSEASNLLSVNFHDSSSENVESKASIRCSDMVDASVESEMLPKLSLGGAVAADQLFLKPQSILDRVTSSNKNESSNVLEGRDDNISCVSRANDASIAVIHHNKIVDRKDLSFSSASVSSLGSEGSGSGKAPTLPKSELLETPSNDSCAGSSSLKVQSRCLSITNGTHLEEDRKLDTSMVASQLAEGTGKTLILPKSELLETPSNDAYASSSSMKVQSRCLSSAADGTHFEEDTKFDSSKVSSPLLEGTGKVLMFPKPELLDTPSNNVYAGSISPKVQSRYLSSTTNGTQLEEDTEFDTSKVSSKVCTEVEECTKKDSGDQLDGGYKCSNQVEQGQKSNESVELPAVQERALQSVSGDESDESEIVEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETEIQKQDAEGKRINKASAQISGKRHVEITEVASASKRQALEGSFGSPKSSSPSRTAALSRDGSFKGLDKGKVKPARQTSFVTHSSVDTPETARFSIGPRVQSPKGTLLKSNSFSALNSKPKVKLVDDVPQKQKGTREGRSVDIKEGTARMISKSMSFRSMNPGRSNATDSKVKMLSSKFSQAQDLKVLRQVKEQNTAESKSLSKSDRPMGGSVITSSSTSVPKVSQKLTPRGDSVSVSSTSNNKDSNTSQSDGKLGSLSRSTSSIARKGAETPVTSVRSLPANGISSAVVEQKLNQVSPKDEPSWSSWIAERPCNNVDENLQDGLSRSRESSNQSEKTRESSVSRPRPTMMAGPKNVTCQKCKEIGHATECCTVVSPQASVIDTSAARIGREDMGKDGMLKAAIEVVMLKKPGIFRKKRKSDQSDGMSSSNVDATSEIASHDQFSVSNKMRNMISDEVTDEGQANLGISSSENYKQININNEKQFNVHSTNAVFPFKAGELGSTIPSTVKSSHSLAATPHFSKMLTIPDHEFIWQGAFEVHRGGKLLDLYGVFQAHLSTCASPKVLEVVNQFPEKIMVDEVPRLSTWPRQFHDNGTKEDNIALYFFAKDIESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQRWNMLFFLWGVFRGRRSNCSDSLNKLVIPSSVVPLDMNSPCKPFTSLNGDFDKKASQSNSEKQDGRLDSNSLSENTASNAFLCSENRCASPLKDEQLSVGVFMREGVLTWKSFSIIFL >Manes.08G161100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39686527:39701579:1 gene:Manes.08G161100.v8.1 transcript:Manes.08G161100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRSVEELYNATEVIGAPKITSVLRGSSRMQGPVEETERDIEKNMLPSQSEKILGKPSMRRKVHMRVDSGTCNVCSAPCSSCMHLKLACMGSKGNEFSDETCHESATSQNSVDEDDHSFKNRAYDSLQHISSEASNLLSVNFHDSSSENVESKASIRCSDMVDASVESEMLPKLSLGGAVAADQLFLKPQSILDRVTSSNKNESSNVLEGRDDNISCVSRANDASIAVIHHNKIVDRKDLSFSSASVSSLGSEGSGSGKAPTLPKSELLETPSNDSCAGSSSLKVQSRCLSITNGTHLEEDRKLDTSMVASQLAEGTGKTLILPKSELLETPSNDAYASSSSMKVQSRCLSSAADGTHFEEDTKFDSSKVSSPLLEGTGKVLMFPKPELLDTPSNNVYAGSISPKVQSRYLSSTTNGTQLEEDTEFDTSKVSSKVCTEVEECTKKDSGDQLDGGYKCSNQVEQGQKSNESVELPAVQERALQSVSGDESDESEIVEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETEIQKQDAEGKRINKASAQISGKRHVEITEVASASKRQALEGSFGSPKSSSPSRTAALSRDGSFKGLDKGKVKPARQTSFVTHSSVDTPETARFSIGPRVQSPKGTLLKSNSFSALNSKPKVKLVDDVPQKQKGTREGRSVDIKEGTARMISKSMSFRSMNPGRSNATDSKVKMLSSKFSQAQDLKVLRQVKEQNTAESKSLSKSDRPMGGSVITSSSTSVPKVSQKLTPRGDSVSVSSTSNNKDSNTSQSDGKLGSLSRSTSSIARKGAETPVTSVRSLPANGISSAVVEQKLNQVSPKDEPSWSSWIAERPCNNVDENLQDGLSRSRESSNQSEKTRESSVSRPRPTMMAGPKNVTCQKCKEIGHATECCTVVSPQASVIDTSAARIGREDMGKDGMLKAAIEVVMLKKPGIFRKKRKSDQSDGMSSSNVDATSEIASHDQFSVSNKMRNMISDEVTDEGQANLGISSSENYKQININNEKQFNVHSTNAVFPFKAGELGSTIPSTVKSSHSLAATPHFSKMLTIPDHEFIWQGAFEVHRGGKLLDLYGVFQAHLSTCASPKVLEVVNQFPEKIMVDEVPRLSTWPRQFHDNGTKEDNIALYFFAKDIESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQRWNMLFFLWGVFRGRRSNCSDSLNKLVIPSSVVPLDMNSPCKPFTSLNGDFDKKASQSNSEKQDGRLDSNSLSENTASNAFLCSENRCASPLKDEQLSVGVFMREGVLTWKSFSIIFL >Manes.08G161100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39686527:39701579:1 gene:Manes.08G161100.v8.1 transcript:Manes.08G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHMRVDSGTCNVCSAPCSSCMHLKLACMGSKGNEFSDETCHESATSQNSVDEDDHSFKNRAYDSLQHISSEASNLLSVNFHDSSSENVESKASIRCSDMVDASVESEMLPKLSLGGAVAADQLFLKPQSILDRVTSSNKNESSNVLEGRDDNISCVSRANDASIAVIHHNKIVDRKDLSFSSASVSSLGSEGSGSGKAPTLPKSELLETPSNDSCAGSSSLKVQSRCLSITNGTHLEEDRKLDTSMVASQLAEGTGKTLILPKSELLETPSNDAYASSSSMKVQSRCLSSAADGTHFEEDTKFDSSKVSSPLLEGTGKVLMFPKPELLDTPSNNVYAGSISPKVQSRYLSSTTNGTQLEEDTEFDTSKVSSKVCTEVEECTKKDSGDQLDGGYKCSNQVEQGQKSNESVELPAVQERALQSVSGDESDESEIVEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETEIQKQDAEGKRINKASAQISGKRHVEITEVASASKRQALEGSFGSPKSSSPSRTAALSRDGSFKGLDKGKVKPARQTSFVTHSSVDTPETARFSIGPRVQSPKGTLLKSNSFSALNSKPKVKLVDDVPQKQKGTREGRSVDIKEGTARMISKSMSFRSMNPGRSNATDSKVKMLSSKFSQAQDLKVLRQVKEQNTAESKSLSKSDRPMGGSVITSSSTSVPKVSQKLTPRGDSVSVSSTSNNKDSNTSQSDGKLGSLSRSTSSIARKGAETPVTSVRSLPANGISSAVVEQKLNQVSPKDEPSWSSWIAERPCNNVDENLQDGLSRSRESSNQSEKTRESSVSRPRPTMMAGPKNVTCQKCKEIGHATECCTVVSPQASVIDTSAARIGREDMGKDGMLKAAIEVVMLKKPGIFRKKRKSDQSDGMSSSNVDATSEIASHDQFSVSNKMRNMISDEVTDEGQANLGISSSENYKQININNEKQFNVHSTNAVFPFKAGELGSTIPSTVKSSHSLAATPHFSKMLTIPDHEFIWQGAFEVHRGGKLLDLYGVFQAHLSTCASPKVLEVVNQFPEKIMVDEVPRLSTWPRQFHDNGTKEDNIALYFFAKDIESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQRWNMLFFLWGVFRGRRSNCSDSLNKLVIPSSVVPLDMNSPCKPFTSLNGDFDKKASQSNSEKQDGRLDSNSLSENTASNAFLCSENRCASPLKEAATLPECRVDTEHKSFLQATGTSTGYKNIEEKQSHENTSCVREDSSSFKVFQVGDLGADVNGSVVEEKMVDRMDTDRDEAKVEKDLNEDSLMMDAEASSGRDLNVKGPDCWQSNSRKRSYLDLSETAPQTSSSIGQKMPWDTVDEESIKKLKTSFCEQHGSSSMRGGNSLSDCFASQVSSSSIEERSCDTAADEKIILEDIGTTERYFFPVDSRRVKELGGNSMPWKEHSSNDEDKFHDGVPNLELALGAETKPPNKGILPFFVGMVEKNNTQNKTPDNATDKEEEDGVSASLSLSLSFPFSDKEQTVKPVSKTEQLLPGRHHVNTSLLLFGGFSDK >Manes.08G161100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39686527:39701579:1 gene:Manes.08G161100.v8.1 transcript:Manes.08G161100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRGRSVEELYNATEVIGAPKITSVLRGSSRMQGPVEETERDIEKNMLPSQSEKILGKPSMRRKVHMRVDSGTCNVCSAPCSSCMHLKLACMGSKGNEFSDETCHESATSQNSVDEDDHSFKNRAYDSLQHISSEASNLLSVNFHDSSSENVESKASIRCSDMVDASVESEMLPKLSLGGAVAADQLFLKPQSILDRVTSSNKNESSNVLEGRDDNISCVSRANDASIAVIHHNKIVDRKDLSFSSASVSSLGSEGSGSGKAPTLPKSELLETPSNDSCAGSSSLKVQSRCLSITNGTHLEEDRKLDTSMVASQLAEGTGKTLILPKSELLETPSNDAYASSSSMKVQSRCLSSAADGTHFEEDTKFDSSKVSSPLLEGTGKVLMFPKPELLDTPSNNVYAGSISPKVQSRYLSSTTNGTQLEEDTEFDTSKVSSKVCTEVEECTKKDSGDQLDGGYKCSNQVEQGQKSNESVELPAVQERALQSVSGDESDESEIVEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETEIQKQDAEGKRINKASAQISGKRHVEITEVASASKRQALEGSFGSPKSSSPSRTAALSRDGSFKGLDKGKVKPARQTSFVTHSSVDTPETARFSIGPRVQSPKGTLLKSNSFSALNSKPKVKLVDDVPQKQKGTREGRSVDIKEGTARMISKSMSFRSMNPGRSNATDSKVKMLSSKFSQAQDLKVLRQVKEQNTAESKSLSKSDRPMGGSVITSSSTSVPKVSQKLTPRGDSVSVSSTSNNKDSNTSQSDGKLGSLSRSTSSIARKGAETPVTSVRSLPANGISSAVVEQKLNQVSPKDEPSWSSWIAERPCNNVDENLQDGLSRSRESSNQSEKTRESSVSRPRPTMMAGPKNVTCQKCKEIGHATECCTVVSPQASVIDTSAARIGREDMGKDGMLKAAIEVVMLKKPGIFRKKRKSDQSDGMSSSNVDATSEIASHDQFSVSNKMRNMISDEVTDEGQANLGISSSENYKQININNEKQFNVHSTNAVFPFKAGELGSTIPSTVKSSHSLAATPHFSKMLTIPDHEFIWQGAFEVHRGGKLLDLYGVFQAHLSTCASPKVLEVVNQFPEKIMVDEVPRLSTWPRQFHDNGTKEDNIALYFFAKDIESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQRWNMLFFLWGVFRGRRSNCSDSLNKLVIPSSVVPLDMNSPCKPFTSLNGDFDKKASQSNSEKQDGRLDSNSLSENTASNAFLCSENRCASPLKEAATLPECRVDTEHKSFLQATGTSTGYKNIEEKQSHENTSCVREDSSSFKVFQVGDLGADVNGSVVEEKMVDRMDTDRDEAKVEKDLNEDSLMMDAEASSGRDLNVKGPDCWQSNSRKRSYLDLSETAPQTSSSIGQKMPWDTVDEESIKKLKTSFCEQHGSSSMRGGNSLSDCFASQVSSSSIEERSCDTAADEKIILEDIGTTER >Manes.08G161100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39686527:39701579:1 gene:Manes.08G161100.v8.1 transcript:Manes.08G161100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHMRVDSGTCNVCSAPCSSCMHLKLACMGSKGNEFSDETCHESATSQNSVDEDDHSFKNRAYDSLQHISSEASNLLSVNFHDSSSENVESKASIRCSDMVDASVESEMLPKLSLGGAVAADQLFLKPQSILDRVTSSNKNESSNVLEGRDDNISCVSRANDASIAVIHHNKIVDRKDLSFSSASVSSLGSEGSGSGKAPTLPKSELLETPSNDSCAGSSSLKVQSRCLSITNGTHLEEDRKLDTSMVASQLAEGTGKTLILPKSELLETPSNDAYASSSSMKVQSRCLSSAADGTHFEEDTKFDSSKVSSPLLEGTGKVLMFPKPELLDTPSNNVYAGSISPKVQSRYLSSTTNGTQLEEDTEFDTSKVSSKVCTEVEECTKKDSGDQLDGGYKCSNQVEQGQKSNESVELPAVQERALQSVSGDESDESEIVEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETEIQKQDAEGKRINKASAQISGKRHVEITEVASASKRQALEGSFGSPKSSSPSRTAALSRDGSFKGLDKGKVKPARQTSFVTHSSVDTPETARFSIGPRVQSPKGTLLKSNSFSALNSKPKVKLVDDVPQKQKGTREGRSVDIKEGTARMISKSMSFRSMNPGRSNATDSKVKMLSSKFSQAQDLKVLRQVKEQNTAESKSLSKSDRPMGGSVITSSSTSVPKVSQKLTPRGDSVSVSSTSNNKDSNTSQSDGKLGSLSRSTSSIARKGAETPVTSVRSLPANGISSAVVEQKLNQVSPKDEPSWSSWIAERPCNNVDENLQDGLSRSRESSNQSEKTRESSVSRPRPTMMAGPKNVTCQKCKEIGHATECCTVVSPQASVIDTSAARIGREDMGKDGMLKAAIEVVMLKKPGIFRKKRKSDQSDGMSSSNVDATSEIASHDQFSVSNKMRNMISDEVTDEGQANLGISSSENYKQININNEKQFNVHSTNAVFPFKAGELGSTIPSTVKSSHSLAATPHFSKMLTIPDHEFIWQGAFEVHRGGKLLDLYGVFQAHLSTCASPKVLEVVNQFPEKIMVDEVPRLSTWPRQFHDNGTKEDNIALYFFAKDIESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQRWNMLFFLWGVFRGRRSNCSDSLNKLVIPSSVVPLDMNSPCKPFTSLNGDFDKKASQSNSEKQDGRLDSNSLSENTASNAFLCSENRCASPLKEAATLPECRVDTEHKSFLQATGTSTGYKNIEEKQSHENTSCVREDSSSFKVFQVGDLGADVNGSVVEEKMVDRMDTDRDEAKVEKDLNEDSLMMDAEASSGRDLNVKGPDCWQSNSRKRSYLDLSETAPQTSSSIGQKMPWDTVDEESIKKLKTSFCEQHGSSSMRGGNSLSDCFASQVSSSSIEERSCDTAADEKIILEDIGTTER >Manes.08G161100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39686527:39701579:1 gene:Manes.08G161100.v8.1 transcript:Manes.08G161100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHMRVDSGTCNVCSAPCSSCMHLKLACMGSKGNEFSDETCHESATSQNSVDEDDHSFKNRAYDSLQHISSEASNLLSVNFHDSSSENVESKASIRCSDMVDASVESEMLPKLSLGGAVAADQLFLKPQSILDRVTSSNKNESSNVLEGRDDNISCVSRANDASIAVIHHNKIVDRKDLSFSSASVSSLGSEGSGSGKAPTLPKSELLETPSNDSCAGSSSLKVQSRCLSITNGTHLEEDRKLDTSMVASQLAEGTGKTLILPKSELLETPSNDAYASSSSMKVQSRCLSSAADGTHFEEDTKFDSSKVSSPLLEGTGKVLMFPKPELLDTPSNNVYAGSISPKVQSRYLSSTTNGTQLEEDTEFDTSKVSSKVCTEVEECTKKDSGDQLDGGYKCSNQVEQGQKSNESVELPAVQERALQSVSGDESDESEIVEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETEIQKQDAEGKRINKASAQISGKRHVEITEVASASKRQALEGSFGSPKSSSPSRTAALSRDGSFKGLDKGKVKPARQTSFVTHSSVDTPETARFSIGPRVQSPKGTLLKSNSFSALNSKPKVKLVDDVPQKQKGTREGRSVDIKEGTARMISKSMSFRSMNPGRSNATDSKVKMLSSKFSQAQDLKVLRQVKEQNTAESKSLSKSDRPMGGSVITSSSTSVPKVSQKLTPRGDSVSVSSTSNNKDSNTSQSDGKLGSLSRSTSSIARKGAETPVTSVRSLPANGISSAVVEQKLNQVSPKDEPSWSSWIAERPCNNVDENLQDGLSRSRESSNQSEKTRESSVSRPRPTMMAGPKNVTCQKCKEIGHATECCTVVSPQASVIDTSAARIGREDMGKDGMLKAAIEVVMLKKPGIFRKKRKSDQSDGMSSSNVDATSEIASHDQFSVSNKMRNMISDEVTDEGQANLGISSSENYKQININNEKQFNVHSTNAVFPFKAGELGSTIPSTVKSSHSLAATPHFSKMLTIPDHEFIWQGAFEVHRGGKLLDLYGVFQAHLSTCASPKVLEVVNQFPEKIMVDEVPRLSTWPRQFHDNGTKEDNIALYFFAKDIESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQRWNMLFFLWGVFRGRRSNCSDSLNKLVIPSSVVPLDMNSPCKPFTSLNGDFDKKASQSNSEKQDGRLDSNSLSENTASNAFLCSENRCASPLKDEQLSVGVFMREGVLTWKSFSIIFL >Manes.08G161100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39686527:39701579:1 gene:Manes.08G161100.v8.1 transcript:Manes.08G161100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHMRVDSGTCNVCSAPCSSCMHLKLACMGSKGNEFSDETCHESATSQNSVDEDDHSFKNRAYDSLQHISSEASNLLSVNFHDSSSENVESKASIRCSDMVDASVESEMLPKLSLGGAVAADQLFLKPQSILDRVTSSNKNESSNVLEGRDDNISCVSRANDASIAVIHHNKIVDRKDLSFSSASVSSLGSEGSGSGKAPTLPKSELLETPSNDSCAGSSSLKVQSRCLSITNGTHLEEDRKLDTSMVASQLAEGTGKTLILPKSELLETPSNDAYASSSSMKVQSRCLSSAADGTHFEEDTKFDSSKVSSPLLEGTGKVLMFPKPELLDTPSNNVYAGSISPKVQSRYLSSTTNGTQLEEDTEFDTSKVSSKVCTEVEECTKKDSGDQLDGGYKCSNQVEQGQKSNESVELPAVQERALQSVSGDESDESEIVEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETEIQKQDAEGKRINKASAQISGKRHVEITEVASASKRQALEGSFGSPKSSSPSRTAALSRDGSFKGLDKGKVKPARQTSFVTHSSVDTPETARFSIGPRVQSPKGTLLKSNSFSALNSKPKVKLVDDVPQKQKGTREGRSVDIKEGTARMISKSMSFRSMNPGRSNATDSKVKMLSSKFSQAQDLKVLRQVKEQNTAESKSLSKSDRPMGGSVITSSSTSVPKVSQKLTPRGDSVSVSSTSNNKDSNTSQSDGKLGSLSRSTSSIARKGAETPVTSVRSLPANGISSAVVEQKLNQVSPKDEPSWSSWIAERPCNNVDENLQDGLSRSRESSNQSEKTRESSVSRPRPTMMAGPKNVTCQKCKEIGHATECCTVVSPQASVIDTSAARIGREDMGKDGMLKAAIEVVMLKKPGIFRKKRKSDQSDGMSSSNVDATSEIASHDQFSVSNKMRNMISDEVTDEGQANLGISSSENYKQININNEKQFNVHSTNAVFPFKAGELGSTIPSTVKSSHSLAATPHFSKMLTIPDHEFIWQGAFEVHRGGKLLDLYGVFQAHLSTCASPKVLEVVNQFPEKIMVDEVPRLSTWPRQFHDNGTKEDNIALYFFAKDIESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQRWNMLFFLWGVFRGRRSNCSDSLNKLVIPSSVVPLDMNSPCKPFTSLNGDFDKKASQSNSEKQDGRLDSNSLSENTASNAFLCSENRCASPLKDEQLSVGVFMREGVLTWKSFSIIFL >Manes.02G172500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13635434:13642522:1 gene:Manes.02G172500.v8.1 transcript:Manes.02G172500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLSPSLVVIAYDATKDRGVHELKRTIDEVRMRGDILHGGDRLIVLGVLHKVPNPMGYMKVCPDSFGGASARVVEEEVTKRIDVYVNMLLQSAEVCEDERVTIEVKITAGTPMKQVILQEVVHYKATWVILDRHLRRDLKFYLKQIPCKVALIQDNLSVQLKRSHSANETDPVEHKSFYSMSKPVLLLNCQGGETDGQSVISCRSYSLSTNSLESYDMQRANLLPSYSHGLREQHKSGTYNKSEINHLALNKTFSRRHTMEAPILCAACGASTELYIKDAMRFTFSEIQLATEDFSKENLLGEGGYGHVYKGELKDGQLIAAKVRKEASTQGFAEFQSEVYVLNLARHKNIVMLLGFCCKENLNILVYEYICNKSLDWHLFDKAADIIDWHQRYSIATGTAKGLRFLHEECRGGPIIHRDVRPGNILLTHDFVPMLGDFGLARWKTTDEVQTRVLGTLGYLAPEYAENGIVSVRTDVYAFGIILLQLISGQKVVDPKREKGQQSLRQWAEPLIERLALHELIDQRISDSYDTYELYLMAKAALLCIQRNPEMRPSMGEIVRLLEGENNHIHYLGEQVLSHYHARK >Manes.01G178800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35832473:35833616:1 gene:Manes.01G178800.v8.1 transcript:Manes.01G178800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQASQVLPPEILTGDGRLGESLVQANAGVAVVDHGSGEGNSINERSTKPSTSSHSEQQTTAAAHFPPKNSLPGKSA >Manes.09G114750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31695964:31697253:1 gene:Manes.09G114750.v8.1 transcript:Manes.09G114750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSNMWYPGASRFNYLQAKVGPMAGLWDTAHKARLGCQRAPHHLIFCRCYRAFVGHPWFSHFLSAPGSAFCFLFECFEAHGLFLLLDPSV >Manes.04G141901.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34033606:34036760:-1 gene:Manes.04G141901.v8.1 transcript:Manes.04G141901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGSDREPRDTQSPQARLYNPYQDLNLPIQTLYQLPTSPEFLFTEESLRQRRSWGENLTFYTGSAYLAASIGGASVGLFSALKSFEPSDTLKLKVNRILNSSGHSGRVWGNRIGVVGLIYAMLESGAVAVTDRDDVWTSVAAGLGTGAVCRAARGVRSAAVAGALGGLVAGAAVAGKQTLKRYAMI >Manes.02G090000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7058732:7062459:1 gene:Manes.02G090000.v8.1 transcript:Manes.02G090000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTTAENLLNNLVEALADSVPNQKSASFFEEGTSSVTSQFNRLFGREKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICLAVVLGMLAQFVWTNASGLFSRSSSEVPRLVIPDEAFVNVGRSIGIEVNHALQFLQDVSCGGSLKQFLVVVVSLWAAAIIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDGFVYKVLEQLQGHYRKLDAGLLSKIPKGKLKGKKHE >Manes.02G090000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7058732:7062459:1 gene:Manes.02G090000.v8.1 transcript:Manes.02G090000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTTAENLLNNLVEALADSVPNQKSASFFEEGTSSVTSQFNRLFGREKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICLAVVLGMLAQFVWTNASGLFSRSSSEVPRLVIPDEAFVNVGRSIGIEVNHALQFLQDVSCGGSLKQFLVVVVSLWAAAIIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDGFVYKVLEQLQGHYRKLDAGLLSKIPKGKLKGKKHE >Manes.02G090000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7058732:7062459:1 gene:Manes.02G090000.v8.1 transcript:Manes.02G090000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTTAENLLNNLVEALADSVPNQKSASFFEEGTSSVTSQFNRLFGREKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICLAVVLGMLAQFVWTNASGLFSSNRSSSEVPRLVIPDEAFVNVGRSIGIEVNHALQFLQDVSCGGSLKQFLVVVVSLWAAAIIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDGFVYKVLEQLQGHYRKLDAGLLSKIPKGKLKGKKHE >Manes.02G090000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7058732:7062459:1 gene:Manes.02G090000.v8.1 transcript:Manes.02G090000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTTAENLLNNLVEALADSVPNQKSASFFEEGTSSVTSQFNRLFGREKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICLAVVLGMLAQFVWTNASGLFSSNRSSSEVPRLVIPDEAFVNVGRSIGIEVNHALQFLQDVSCGGSLKQFLVVVVSLWAAAIIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDGFVYKVLEQLQGHYRKLDAGLLSKIPKGKLKGKKHE >Manes.02G090000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7058732:7062459:1 gene:Manes.02G090000.v8.1 transcript:Manes.02G090000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTTAENLLNNLVEALADSVPNQKSASFFEEGTSSVTSQFNRLFGREKPVHHLLGGGKSADVLLWRNKKISASVLSGATAIWVLFEWLNYHLLTLICLAVVLGMLAQFVWTNASGLFSRSSSEVPRLVIPDEAFVNVGRSIGIEVNHALQFLQDVSCGGSLKQFLVVVVSLWAAAIIGSWCNFLTVLYIGFVAAHTLPVLYERYEDQVDGFVYKVLEQLQGHYRKLDAGLLSKIPKGKLKGKKHE >Manes.01G065200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26475450:26477843:1 gene:Manes.01G065200.v8.1 transcript:Manes.01G065200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPVEQTGESVSTGGGVGDSQRSLPTPFLTKTYQLVDDPSVDDLISWNEDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLRDIQRRKISPMGVTTAAAATVTVAAIPPVARAVSPANSGEQQVISSNSSPATTQVATPILQITSCTTTPELLEENERLRKENAHLSEELTRLKGLCNNIFSLMSNYASGQLEGHCNLPEGKPLDLMPSTKMVMRADEVAVSGGTKAVVATVAAEDDVSPKLFGVSIGVKRVRRDEEEEEGNEGNKHQEQSQDNETGSDVKSEPLDGKTGQSEHHDPSWLELGNDG >Manes.01G065200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26474976:26477843:1 gene:Manes.01G065200.v8.1 transcript:Manes.01G065200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPVEQTGESVSTGGGVGDSQRSLPTPFLTKTYQLVDDPSVDDLISWNEDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLRDIQRRKISPMGVTTAAAATVTVAAIPPVARAVSPANSGEQQVISSNSSPATTQVATPILQITSCTTTPELLEENERLRKENAHLSEELTRLKGLCNNIFSLMSNYASGQLEGHCNLPEGKPLDLMPSTKMVMRADEVAVSGGTKAVVATVAAEDDVSPKLFGVSIGVKRVRRDEEEEEGNEGNKHQEQSQDNETGSDVKSEPLDGKTGQSEHHDPSWLELGNDG >Manes.01G065200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26474975:26477843:1 gene:Manes.01G065200.v8.1 transcript:Manes.01G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPVEQTGESVSTGGGVGDSQRSLPTPFLTKTYQLVDDPSVDDLISWNEDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFKRGEKGLLRDIQRRKISPMGVTTAAAATVTVAAIPPVARAVSPANSGEQQVISSNSSPATTQVATPILQITSCTTTPELLEENERLRKENAHLSEELTRLKGLCNNIFSLMSNYASGQLEGHCNLPEGKPLDLMPSTKMVMRADEVAVSGGTKAVVATVAAEDDVSPKLFGVSIGVKRVRRDEEEEEGNEGNKHQEQSQDNETGSDVKSEPLDGKTGQSEHHDPSWLELGNDG >Manes.07G083300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:26324422:26324661:-1 gene:Manes.07G083300.v8.1 transcript:Manes.07G083300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVTGKIVSSTPVPIYKASSILSNFASTETGASQAVSAYLRRATAAFDELSRLHPKPDRKKRKKRRKDKSDASATVTD >Manes.18G036400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3236659:3237703:-1 gene:Manes.18G036400.v8.1 transcript:Manes.18G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLVNHRGIDKNCPRTWVKQDSKGVAGGFPYTEEQLIVLFRSCDINQDGRLSKQELKNMFNKLGSRFASWRVFRALHHADANGDGYISEEEFSDLVRYILFKCNYRIK >Manes.01G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1646561:1650620:1 gene:Manes.01G001400.v8.1 transcript:Manes.01G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENETGTPTASAPATPGTPGGPLFPSLRIDSLSYDRKSMPRCKCLPVNAPTWGQPHTCFTDFPSPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYNGAESLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWMQVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVGVGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYRDLWIYLVAPTLGAVAGAGTYTLVKLRDDEADPPRQVRSFRR >Manes.14G097900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8119577:8129413:1 gene:Manes.14G097900.v8.1 transcript:Manes.14G097900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFLSILLLLLPSAFSFLNDTSYFIDCGGSTNSTDPFNTTWLSDRFFTGGLTSVVSEPLHFHFPQEKTLRFFPLSSGKKNCYILPLPNGRYYIRTFTVYDNYDGKSHSPSFDVSVEGTLVFSWRSPWPESLARDGAYSDLFAFVKDREADICFYSIATDPPAIGTLEIRQVDPLSYESSKIGDNFILVNYGRLSCGSDQWGPGFSNDTDKFGRSWQSDSEFRSPTASSKFNSFSTLEKITGTDQAPNYFPMKLYQTAVTGNGILEYELAVDAKLDYLLWFHFAEIDSTINKKGKRVFDVLVNDENVSRVDIYAQVGSFAAYSLQYTVHNLSNTPLTVKLVPLVGAPLISGIENYALVPNDISTAPEQVVAMRALKESLLVPDRMGWNGDPCAPTNWDAWEGVTCHSSKDGTALVISQIDLGSQGLKGYISDQISLLSNLVSLNLSSNSLVGTLPSGLGHKSLVSLDLSNNQFSGPIPESLASSSLQLVNGRKEKQIPEAEVLDAS >Manes.14G097900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8119577:8129412:1 gene:Manes.14G097900.v8.1 transcript:Manes.14G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFLSILLLLLPSAFSFLNDTSYFIDCGGSTNSTDPFNTTWLSDRFFTGGLTSVVSEPLHFHFPQEKTLRFFPLSSGKKNCYILPLPNGRYYIRTFTVYDNYDGKSHSPSFDVSVEGTLVFSWRSPWPESLARDGAYSDLFAFVKDREADICFYSIATDPPAIGTLEIRQVDPLSYESSKIGDNFILVNYGRLSCGSDQWGPGFSNDTDKFGRSWQSDSEFRSPTASSKFNSFSTLEKITGTDQAPNYFPMKLYQTAVTGNGILEYELAVDAKLDYLLWFHFAEIDSTINKKGKRVFDVLVNDENVSRVDIYAQVGSFAAYSLQYTVHNLSNTPLTVKLVPLVGAPLISGIENYALVPNDISTAPEQVVAMRALKESLLVPDRMGWNGDPCAPTNWDAWEGVTCHSSKDGTALVISQIDLGSQGLKGYISDQISLLSNLVSLNLSSNSLVGTLPSGLGHKSLVSLDLSNNQFSGPIPESLASSSLQLVLLNNNLLEGPVQEGLYSIGVHGGTIDLSGNKALCGGPSLPQCSLFWENGHLSTGGKVGIALSCLVVVSLLLLVVYIYIKRSRNDYDFAPPHDLMSMAAKRNRYQRQKSLMLLEMESQHAKGLSSPYGPQ >Manes.14G097900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8119276:8129412:1 gene:Manes.14G097900.v8.1 transcript:Manes.14G097900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTLKVISLQGKYTSYFIDCGGSTNSTDPFNTTWLSDRFFTGGLTSVVSEPLHFHFPQEKTLRFFPLSSGKKNCYILPLPNGRYYIRTFTVYDNYDGKSHSPSFDVSVEGTLVFSWRSPWPESLARDGAYSDLFAFVKDREADICFYSIATDPPAIGTLEIRQVDPLSYESSKIGDNFILVNYGRLSCGSDQWGPGFSNDTDKFGRSWQSDSEFRSPTASSKFNSFSTLEKITGTDQAPNYFPMKLYQTAVTGNGILEYELAVDAKLDYLLWFHFAEIDSTINKKGKRVFDVLVNDENVSRVDIYAQVGSFAAYSLQYTVHNLSNTPLTVKLVPLVGAPLISGIENYALVPNDISTAPEQVVAMRALKESLLVPDRMGWNGDPCAPTNWDAWEGVTCHSSKDGTALVISQIDLGSQGLKGYISDQISLLSNLVSLNLSSNSLVGTLPSGLGHKSLVSLDLSNNQFSGPIPESLASSSLQLVLLNNNLLEGPVQEGLYSIGVHGGTIDLSGNKALCGGPSLPQCSLFWENGHLSTGGKVGIALSCLVVVSLLLLVVYIYIKRSRNDYDFAPPHDLMSMAAKRNRYQRQKSLMLLEMESQHAKGLSSPYGPQ >Manes.18G032600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3082133:3085566:-1 gene:Manes.18G032600.v8.1 transcript:Manes.18G032600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGNSSWSVFDGIQTVPSTPEALMAEIDTAIKKLEYARTTTRLDPPSPSHLKTKSSSGGTPPQYDARMADEAYRAGCAALAAGKLDDALQSLSISLSKCPPDKTSAVAKLQSLISLTSQQLQRLPS >Manes.18G032600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3082133:3085566:-1 gene:Manes.18G032600.v8.1 transcript:Manes.18G032600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGNSSWSVFDGIQTVPSTPEALMAEIDTAIKKLEYARTTTRLDPPSPSHLKTKSSSGGTPPQYDARMADEAYRAGCAALAAGKLDDALQSLSISLSKCPPDKTSAVAKLQSLISLTSQQLQRLPS >Manes.18G032600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3082133:3085566:-1 gene:Manes.18G032600.v8.1 transcript:Manes.18G032600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEGNSSWSVFDGIQTVPSTPEALMAEIDTAIKKLEYARTTTRLDPPSPSHLKTKSSSGGTPPQYDARMADEAYRAGCAALAAGKLDDALQSLSISLSKCPPDKTSAVAKLQSLISLTSQQLQRLPS >Manes.08G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6111342:6117581:-1 gene:Manes.08G055800.v8.1 transcript:Manes.08G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCEIVNEEDFDYSTMSESSFVTTGSSSSGHQNQDIKHKGAAEPTRKVKKLRSIKLVRLPSLRLTTRQARVQLDSLSTFPSGIASLLQLDQADISDASPNYMKTTTSSDARKESLQKSSRILTRRSSFKPSKSLTRLSSTKYRRSLMRKSSGGSDLKGKLKKSRSIKTRNLSSIASSNDADTSASQLKERSHCDVKDAHFQASSCSPESSLSSKDKSKKSSKNLKPNLASGNKSMRAITRTPSLRPQRILTKMNSIRTKRPSMKKYSQMVDSSIQKATCSSVLKDSKFPDHLELQPGGSEYERISAMKVCTYSYCSLHGHRHSDLPPLKRFVSMRRRQLKTQRSIKLESRSFNRAKRSTKAKKGMQASKMGCQTDAAIPETTQKSREITSIRKMAEPEADFNGESNYGGDDEDTNNYKCVGESILEEVLHPRLCLNKKLQLSELSTEESKEYCTDKKGEGTVASNSHNYFLPVDHPEHANTILQKVENPGQCDILPFDDIAGTCHEEIPAGGEFLQNVNEARFSGLNFDAYKGDRELKTSEATTSTSLARKPIDKFRSLSAKAFEDASRINDVISSASTCDQLVEQTSERGEKNEDPLQDTQFPGTDSEPDRFSGLNFDAYKSDPELKTSETMTSTSSAREPIDKSRSLSAKAFEDASAINDVISYASTCDQLVEQTSDREEKNEDPQQDNQFPGTDSEPDRLSGLNFDAYKGDPELKTSEAMTSTGSAQEPTDESRSLSAEAFEDASTINDEISSASTCDQLVEQTSEREEKNEDPLQDNQFPETDSEPNRFSGLNFDAYKGDPELKTSEAMTSTSSAREPIDKSRSLSAKAFEDARTINDVISYASTCDLVVEQTSDIEEKNEDPLQDNQFPGIESEPHRFSGSNFDAYKGETELKTSEAMTSTDSAREPTDKSRSLCTKAFEDASTINDVISSASTCDQLVEQTSEREEKNEDPLQDNQFPGTDSEPNRFSGLNFDAYKADPELKTSEAMTSTSSAREPIDKSRSLRAKAIEDASTINGAISSVSTCDQLVEQTSDREEKNEDLLQDNQFPGTDSEPDCNSPVVEKTQMEKQRHAGLWTLIYQHMASGIASDYEMQPTVNKMDKEQGEDANTLPAMNNSDSCGDFSGKDQGMEVYDRDRGSHKIELYQRSAIKLVQEAFDKILSEIPDHSSDDQSTKIDSMLDKGEKIHAASGFDSGKESAEQDQAEMRLNEYTMHGPEGQKTQSDVESKSNQQAPKSWSNLKKIIILKRFVKALEKVRNFNPRKLQHLPVQLEPEAEKIYLRHQAMEDRKNSEAWMLDYALQQVISTLAPAQRRKVALLVQAFETIVPLPETGTSPTYHVAASSHATPAQTFTASSYENGSGKGKENNFGISLRKSSFPKEDQDQVSNSYTSEEDFPESSSEVNKPCSESGSTHTAPSILTSEFTSTGLKEKIGDLNHDNGDQNSIAKDDDPGHTNYCLLEPGEADLSDKPSLESADVATSSHDEVSLNGEILQEVPVEVSLISALEVQDRDFEFNSETLVSDCRIDLTGEHSDGTKSQIQKNLAGSIADDNAHISVSVSELQEESSKVTEGENVLQNKCFQEFSAHEESKFSSADRAHEKQKNMRLWYLIYKHMVSGSASLLEEGPDKEEQADDANTSYGMDNGCSHQGFSQDKDINNHKAGNQKIELQQIEAIRMVEEAIDEIPVPDIQDDSHDDQSVSSDVIRDQDFLERQPDEGGESYISNYIGYAKESFGESSSTKIEQSSMLDPKEPYLIPEKNAIADKEKTAFMEENKPKPPAQKNWSNLKRLILLKRFVKALEKVKKLNLREPQFLPLEPEKDPEKVHLRHQDVEDRKNADEWMLDYALQKVVTKLTPARKRKVQLLVEAFESVMPTIGS >Manes.12G032600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2813359:2814655:-1 gene:Manes.12G032600.v8.1 transcript:Manes.12G032600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQKQQVTSYRRGDIIALPGGVPHWHYNNGNEEIVAISVIGTADNIVNQLDNNPRNFYLAGNPVNKFANQYERLFEHGQQQYFLRTLGQQGSCNNVFCGMGVNLTSEVFNIDPELASKLIAEDDERGHIVRVNGKFDIVTPMSNSQGENEQYPIGGDESFCTLKMKANIADPSSTDVYYPGVGGVSNVNSHNLPILELLQLSASRVVLHKDTMMLPIWNTNADSIIYVEKGDGRVQIVDHEGRSVFDSKVTEGQVLTVPRSYVASSRAQSDILEYVVFKTNGNAITYSLAGRTSVFRALPVDVITNAFQISEKDARTFKFNRVEHLLIKKND >Manes.17G053900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25099681:25103466:-1 gene:Manes.17G053900.v8.1 transcript:Manes.17G053900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPVRFSSCRGVAFEIKPHVDPFALPPPTQDESQESSRIERSWFQSGSSKVFPSSLQRSASRASSHFCDLDISEEEEEEEEDISLEVLEAGKKAEKEHIADPLPSASKREQPPKPAKKNESRLSIILLDQGLFTVYKRLFVVCLTLNVIGLVLAATGNFPYARNRAALFSIANILALTLCRSEAFLRVVFWLAVKFLGRSWVPLPIKTATTSLLQSLGGIHSGCGVSSVAWLIYALVLTLKDRENTSSEIIGVASAILSLLCLSSLAAFPLVRHLHHNIFERTHRFAGWTALALLWAFVMLTISYDPETKSYSNELGSRLIKQQEFWFTVAITVLIIIPWVTVRRVPVKVSSPSGHASIIKFQGGVKAGILGRISPSPLSEWHAFGIISDGKTEHMMLAGAVGDFTKSLVSNPPSHLWVRQVHFAGLPYLVNMYDRVLLVATGSGICVFLSFLLQPCRASVCLLWVAKGIEQNFGREIKEMMSGHPKDKVIVHDTAVLGRPKVSEMSVEAARKWGAEVVIVTSNPEGSRDVVNACKASGFSAFGPIWDS >Manes.17G015161.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7940701:7942162:1 gene:Manes.17G015161.v8.1 transcript:Manes.17G015161.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGVKEGSDITKALLSAWKGACGAVGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLNSWVTLRYMDRLTVSSGGAFDGQGEIAWQRKSCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNWTIYDNDNGVRIKTWPALHGGSVSNVQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.18G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32683688:32693693:-1 gene:Manes.18G143700.v8.1 transcript:Manes.18G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSVASASRTSFFQKIMSACLDSSSPDSGKGRSKSSTKKLSHGFQLVEGKSGHDMEDYHVAEYRKIKNHELGLFAIFDGHLGDRVPSYLKDNLFVNILHESNFWEDPKTAIKNAYKKTDRFILENSMQLGTGGSTAVTAIVIDGKDLWVANVGDSRAVVCERGCANQLTVDHEPHTERKRIEKQGGFVTTLPVISGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTMEFVILASDGLWKVMQNQEAVDLVKPIKDPQAAAKRLTTEALARKSKDDISCIVIRFG >Manes.18G143700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32677704:32693693:-1 gene:Manes.18G143700.v8.1 transcript:Manes.18G143700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSVASASRTSFFQKIMSACLDSSSPDSGKGRSKSSTKKLSHGFQLVEGKSGHDMEDYHVAEYRKIKNHELGLFAIFDGHLGDRVPSYLKDNLFVNILHESNFWEDPKTAIKNAYKKTDRFILENSMQLGTGGSTAVTAIVIDGKDLWVANVGDSRAVVCERGCANQLTVDHEPHTERKRIEKQGGFVTTLPVISGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTMEFVILASDGLWKVMQNQEAVDLVKPIKDPQAAAKRLTTEALARKSSDLRNRGPQQ >Manes.18G143700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32683648:32693716:-1 gene:Manes.18G143700.v8.1 transcript:Manes.18G143700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSVASASRTSFFQKIMSACLDSSSPDSGKGRSKSSTKKLSHGFQLVEGKSGHDMEDYHVAEYRKIKNHELGLFAIFDGHLGDRVPSYLKDNLFVNILHESNFWEDPKTAIKNAYKKTDRFILENSMQLGTGGSTAVTAIVIDGKDLWVANVGDSRAVVCERGCANQLTVDHEPHTERKRIEKQGGFVTTLPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPIDSTMEFVILASDGLWKVMQNQEAVDLVKPIKDPQAAAKRLTTEALARKSKDDISCIVIRFG >Manes.18G080400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7410876:7411757:1 gene:Manes.18G080400.v8.1 transcript:Manes.18G080400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPEETESNDQDFFLKRELGLGLSLGPLRTSPEPLFSSSPSPQPLPDQEISQLIQIETPAATVSPDPSFLLSHNHVAATTTPHMAPPTGPSFHTAAAVVAPSLQLQEASPEGPRVKRVRRNPTQAPRDGKSENVPPPFPWATNRRATVHSLNTLLSNNIETITGAVQCKRCEKQYVKEFNLQEKFRLVGEYIAENKHSMHDRAPDRWMNPELPNCDYCNQERCVKPVISQKKKSINWLFLLLGEMVGCCTLDQLKYFCKHTKNHRTGAKDRVLYLTYLELCKQLRPDGPFAR >Manes.04G002800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:570292:573801:1 gene:Manes.04G002800.v8.1 transcript:Manes.04G002800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGSDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVAICWDQWFPEAARAMVLQGAEILFYPTAIGSEPQDGGLDSCDHWKRVMQGHAGANVVPLVASNRIGKEIIQTEHGDSKITFYGNSFIAGPTGEIVAAADDKEEAVLIAKFDLDKIKSKRHSWGVFRDRRPDLYKVLLTLDGSKPSL >Manes.04G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:561192:573801:1 gene:Manes.04G002800.v8.1 transcript:Manes.04G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKTREVAVSALQFACTDDVSTNVATAERLVRAAHGKGANIILIQELFEGYYFCQAQREDFFQRAKPYEGHPTILRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGSDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVAICWDQWFPEAARAMVLQGAEILFYPTAIGSEPQDGGLDSCDHWKRVMQGHAGANVVPLVASNRIGKEIIQTEHGDSKITFYGNSFIAGPTGEIVAAADDKEEAVLIAKFDLDKIKSKRHSWGVFRDRRPDLYKVLLTLDGSKPSL >Manes.13G052201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6066505:6073913:-1 gene:Manes.13G052201.v8.1 transcript:Manes.13G052201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQNHEFKEWWNKQRQFLDQSDDALLTVEIRSPSTSDTTLDKGHTRSARQLSWLWLLKFQQLASSLAWLTNALFYLLRTANRRISSSDSPSDTHSSRLYRIIRVFLILVILLLCFELVAYFKGWHFSPPTVESAEAVVERVYATWLEIRANYLAPPLQSLTNVCIVLFFIQSVDRLVLVLGCFWIKLRKLKPVAAEYGNVDGKSVEDYPMVLVQIPMCNEREVYQQSIAAVCIQDWPKERMLIQVLDDSDELDVQLLIKSEVQKWQQRGVHILYRHRLIRTGYKAGNLKSAMSCDYVKGYEFVAIFDADFQPGPDFLKKTIPYFKGNDDLALVQTRWAFVNKDENLLTRLQNVNLSFHFEVEQQVNGVFINFFGFNGTAGVWRTKALEECGGWMERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFLDILRSKVSLGKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAQLPAWVVCYVPGIMSILNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWIVTKKLGRSSEGDLIAFAEKESDHLVETSSLYRSSSDTGLNELNKLENKNTVKKRRNRLYRKELALALILLTASVRSLLSAHGIHFYFLLFQGISFLVVGLDLIGEQVS >Manes.13G052201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6066505:6073913:-1 gene:Manes.13G052201.v8.1 transcript:Manes.13G052201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQNHEFKEWWNKQRQFLDQSDDALLTVEIRSPSTSDTTLDKGHTRSARQLSWLWLLKFQQLASSLAWLTNALFYLLRTANLAYFKGWHFSPPTVESAEAVVERVYATWLEIRANYLAPPLQSLTNVCIVLFFIQSVDRLVLVLGCFWIKLRKLKPVAAEYGNVDGKSVEDYPMVLVQIPMCNEREVYQQSIAAVCIQDWPKERMLIQVLDDSDELDVQLLIKSEVQKWQQRGVHILYRHRLIRTGYKAGNLKSAMSCDYVKGYEFVAIFDADFQPGPDFLKKTIPYFKGNDDLALVQTRWAFVNKDENLLTRLQNVNLSFHFEVEQQVNGVFINFFGFNGTAGVWRTKALEECGGWMERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFLDILRSKVSLGKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAQLPAWVVCYVPGIMSILNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWIVTKKLGRSSEGDLIAFAEKESDHLVETSSLYRSSSDTGLNELNKLENKNTVKKRRNRLYRKELALALILLTASVRSLLSAHGIHFYFLLFQGISFLVVGLDLIGEQVS >Manes.12G034500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3054126:3056482:-1 gene:Manes.12G034500.v8.1 transcript:Manes.12G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSSGFPVIFLLLVTFLSSLDVSQQQPLLDSAEQESVYEVLSSINSAISWRTLFPDDLCLSAPHGVVCDYFFEEANSGNLSVSTTLPQTVHVTELSFGYVSDYTPNPPCSPNSTLNSLLFTSFKYLRKLFFYKCFTETPVVLPNISSSSFGAGLQELVFVENPALVGSLSDIVGNFSNMRRLVLTGNGVYGSIPDEIGNLVNMEEMTLSRNHLTGSLPSSLAKLKKLKILDFSQNHFDGNLPVSIGNLSEILKLDLSYNGFIGKIPYSMVNLQSLEFLDLSFNSFGNFGVPLFLGQMPRLREVYLSGNLLGGHIPQIWENLGGISGIGFSDMGLVGKIPASMGVYLRNLCYLRLDNNKLEGKVPEEFALLEFVNEINLENNKLSGRIPFSSKFTAKVGEKLKLKGNSELCIGEDFGSGKKSKKGSLQNLKLCNKTDIPNPVLYKENNFVSSFSSVQVSSSPFGLLILGFLYLLW >Manes.02G165300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12953428:12955511:-1 gene:Manes.02G165300.v8.1 transcript:Manes.02G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCNPRMSSPLSRNRSRRSPSPTPFFLYKSGSKSRSESNYRYTSPLFREPNRRSTTPITFSNSRGVMKPPAVQKNLECTLEELCYGCTKKIKVTRDVLTRTGKTIQEEEILMIEVKPGWKKRTKITFEGMGNERPGTCPADITFVIAEKKHPLFRREGDDLKIIIEIPLFKALTGCEISIPLLGGENMPLMIDDIIYPGYEKIIQGEGMPITKQHGKRGNLKVTFLVDFPTELTDEQRSDILSILEDSS >Manes.17G011850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4825518:4827896:1 gene:Manes.17G011850.v8.1 transcript:Manes.17G011850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGNIYKQRVGITEREAAENIILGFTGELRTWWDKLLSNEIKNNILTARRIDSTTGEFIIDSSTGQPQSFTLAYLVYNIISHFIGDLDLYTERNSEILQNLKCRKLENFRWYKDNFLKRVYALADPNAFHWKEKFLTGLPRLFSTKVKETIEQKYGHISYDDLTYGDLITCVNLTGIRLCRDMKLQNKLKMENRQSRKELGNWCEQFGFGTIKKQKHRKYKPFKTEKIYKKYNYQRKQKPFKKNNYRKNNFKRKNNKENITCYLCNQKGHYAKECPAKKKIYELGVELKIDNIDQLLEKIDQIKLSSSELDEDYNSDDSSETINSTDSDHNCIGEICNYNNKINVLTEYNQILEQIEQVQDSNIKRKFFKKLSKVINEELKQGTEAPTNFEEIEQMFRHKKPVTTISSMDLQSEIRQLKLEVRQLKYRCDQLEQNQNSKEKEKVIEKKEEINSGNESDKELKFNDITRIKYQKWYVKINLTIKDFKLETIAMLDSGADMNCIDQGIIPSRYFHKTKQTLSAANSTKVKIDYKIPSAHICNKGICFKTSFMLIKNLNTQIILGNPFLQMLYPFKVTQLGLETNVLGQDIIFQFISPINYHDVNLFQQENISKINNLEKQIKFLKDDLHSVKIEEQLEKPNIQQKIKEIQEQFERDLCSDLPTAFWARKQHIVTLPYESDFKEQNIPTKARPIQMNQEMLQFCKKEIQDLLAKNLIRPSKSPWSCAAFYVIKNAEIERGAPRLVINYKPLNKVLRWIRYPIPNKQDLLNRLYKAEIFFKI >Manes.01G202500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37532661:37534481:-1 gene:Manes.01G202500.v8.1 transcript:Manes.01G202500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAQKSALILCGDYMEDYEVIVPFFMLQSLGVRVDCASPGKRSGDKCFTAIHDYLGFELYTELQGHFFTLNANYEDETVESYDTLIIPGGRFTEILSADDKVISMVRRFAETGKPLITSCHSQLMLAAAGLLQGKRCTAFTSLKPIIELAGGTWWEQPGVESVLDITACLKDGNLVSSIGWPGHGEYMRVLLESMGAKISRSHMNSVLVVLADYVEDYEVNVPFRALQGLGCKVDAVCPSKKKGESCVTAIQDDEGALVCSEKRGHNFVVNANWSDISVDDYDCLVLPGGRSPELLVINEKVVSLIKEFADRGKIVAAIGQGKWLLAAAGTLKGKRCASSHGMKAIVKVGGGEVVESEGCVRHGNLVTASGWPALPAFLTELTRALGLSVVF >Manes.15G016500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1333740:1334993:-1 gene:Manes.15G016500.v8.1 transcript:Manes.15G016500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFSSNMFTLHSPKFQSYKTRFSSQFINQIQVPSLSCYLSSSPLNLSLPFKFSKQRFNPITASIATVEATQSSFRSKNPKDINILVVGSTGYIGNFVVKELVNRGFNVIAIAREKSGIRGRNSKEETLNQLQGANVCFSDVTNLDSLEKSVNDLGVSIDVVVSCLASRSGGVKDSWKIDYEATKYSLIAGKNHGAQHFVLLSAICVQKPLLEFQRAKLKFEAELMKEAEEDNGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEADLASFIADCVLSEDKVNQVLPIGGPGKALTPLEQGEMLFRLLGKEPNFLKVPIGIMDFAIGILDFLVKIFPSMEDAAEFGKIGRYYAAESMLILDPETGEYSAEKTPSYGKDTLEEFFERVLREGMAGQELGEQTIF >Manes.06G025900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5447753:5452460:1 gene:Manes.06G025900.v8.1 transcript:Manes.06G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVIKLSPSEAHPETIDFLSRTWCNFAVQALQPELPDQSIILLDNSIKKFDCDVKAPFSKMENSVKMDDTDFKSLPPWKSNDVKSWIWMQQAMHPELNYSSFLKKRFSWKIAPLKTISIKKWLKEIKQKRKEEDRLVKAEVHAAISVAGVAAALAAIAAENSRKNESAGTTTAKEEAVASAAALVAAQCAQVAEAMGAKREQLSNVIGSAISGTSASDILTLTAAASTSIRGATTLKARTGICKNRINGSAPVLPIEENAHLDFDFHKCRSMLAHGTHLHVHTPDGNFTVRSVSIILNSQSKVILQLRKLNLLKSKKESTVMDVHAELYKDSEKADDEENNTCYLIVLTTNQGIIKLDMGDDYHLYKTWASTITHMLMLSTSFSNYELQFYSN >Manes.06G025900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5447753:5452460:1 gene:Manes.06G025900.v8.1 transcript:Manes.06G025900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVKMDDTDFKSLPPWKSNDVKSWIWMQQAMHPELNYSSFLKKRFSWKIAPLKTISIKKWLKEIKQKRKEEDRLVKAEVHAAISVAGVAAALAAIAAENSRKNESAGTTTAKEEAVASAAALVAAQCAQVAEAMGAKREQLSNVIGSAISGTSASDILTLTAAASTSIRGATTLKARTGICKNRINGSAPVLPIEENAHLDFDFHKCRSMLAHGTHLHVHTPDGNFTVRSVSIILNSQSKVILQLRKLNLLKSKKESTVMDVHAELYKDSEKADDEENNTCYLIVLTTNQGIIKLDMGDDYHLYKTWASTITHMLMLSTSFSNYELQFYSN >Manes.01G127200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32164817:32167563:1 gene:Manes.01G127200.v8.1 transcript:Manes.01G127200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFPFDVTKKVTNAFDDIKHEAESVKDFVTGDKSKSERENNDVLNSESGNTPEEEAESKAEEEAESKAEEEAESKDTSDVVAESAEPIEPAPEELSSTVEASVEKSNDSDVTALEQEEIEEKIIPSSNETDEVAPIAADEVLNETDKIMSPSTTQDEINGGSSEDKIKLPSFDTFSAIARNVVSEVQEVKERVLPSVDENGGVPPVTEVEPKGVEEAKIVPLDDSTGDSSGVVDREFVNDSLIPSVNATEIGSNDGVPETTENPSVTPQNQRSLQAASWRNCFGWFDTLWRSNRRLKI >Manes.01G127200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32164622:32167563:1 gene:Manes.01G127200.v8.1 transcript:Manes.01G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFPFDVTKKVTNAFDDIKHEAESVKDFVTGDKSKSERENNDVLNSESGNTPEEEAESKAEEEAEPKAEEEAESKAEEEAESKAEEEAESKAEEEAESKDTSDVVAESAEPIEPAPEELSSTVEASVEKSNDSDVTALEQEEIEEKIIPSSNETDEVAPIAADEVLNETDKIMSPSTTQDEINGGSSEDKIKLPSFDTFSAIARNVVSEVQEVKERVLPSVDENGGVPPVTEVEPKGVEEAKIVPLDDSTGDSSGVVDREFVNDSLIPSVNATEIGSNDGVPETTENPSVTPQNQRSLQAASWRNCFGWFDTLWRSNRRLKI >Manes.11G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19469935:19478164:-1 gene:Manes.11G094400.v8.1 transcript:Manes.11G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNLRNFPVIIFTSLFFLLASSSTEDNYQMGDKLGVIYDGRSLIINGKREILISGSIHYTRSHPHMWSEILQKARHGGLNVIQTYVFWNVHEPVQGQYNFEGQYDLVKFIKLIGEYGMYATLRVGPFIQAEWNHGGFPYWLREIRNITFRTDNPPFKYYMKRFVHMIIHKMREEKLFASQGGPIILSQVENEYNTVQPAFKESGIRYVEWAGNMAVGQKTGVPWIMCKQRDAPDPVINTCNGRNCGDTFIGPNRPNKPSLWTENWTAKYRVFGDPPSQRSAEDLAFSVARWFSKNGTLANYYMYHGGTNFGRSTSSFVTTRYYDEAPLDEYGLLREPKWGHLKDLHGALRLCKKALLWGNPSTKQLGDNLEARIYKKPGTELCAAFLTNNETRTPSVVKFRGKEYNLPARSISILPDCKTEVYNTKKVVSQHNVRSYVRSEVASNNLKWKMYKEVIPSQLKETSIDPYELYSLTKDRTDYGWYTTTIEINESDLPMRKDTRPVLQVASLGHAMLAFVNGEFVGAAHGSKIEKSFVLQKPVNLKPGSNTITLLGSLMGFPDSGAFMEHRYAGPRGVSILGLNTGTLDLTSNGWGHQVGLDGEKKKVYSEEGTKKVKWTKVEKGSGPALTWCKTYFDAPEGDDPVAVAMTGMGKGMIWINGNSIGRYWMSYLSPLGKPSQSTYHIPRAFLRSRNNLMVILEEENTNPEKIEILTVNRDTICSYISETYLASVKSWGRRNGKIIAIVDDLQPAAQITCPKYKKITSVEFASFGDSEGVCGEYLHGKCNAPNSKQVVEQHCLDKTSCRIPIDRQLFGNNQDDVCRESRQVLAVQVKCGED >Manes.14G066700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5558128:5562177:-1 gene:Manes.14G066700.v8.1 transcript:Manes.14G066700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVDFKWYDGFFLSMLATSVIIVAINWKRYHLCTYPLHIWIVVDYTAVFVFRLLMFIDNGLAAGMGLDFGWQQRYARFCGRIVVLSVLSLLLYPFLWAWTIIGTLWFTSARDCLPEEGQKWGFIIWLLFSYCGLLCIACMSMGKWLTRRQAHLLRAQQGIPISEYGVLVDMIRVPDWAFEAAGQEMRGMGQDAAAYQPGLYLTPTQVSACHYYGQEVAITSSINMREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNIRADSERSSATVVTTTRYVRTQPSSQSYLLRLQGLLRPVRTENSGASSHVDVDLEAVENGNLVLTTRETTDVEPVSSIGSMLVCESSPPQH >Manes.14G066700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5558128:5562177:-1 gene:Manes.14G066700.v8.1 transcript:Manes.14G066700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVDFKWYDGFFLSMLATSVIIVAINWKRYHLCTYPLHIWIVVDYTAVFVFRLLMFIDNGLAAGMGLDFGWQQRYARFCGRIVVLSVLSLLLYPFLWAWTIIGTLWFTSARDCLPEEGQKWGFIIWLLFSYCGLLCIACMSMGKWLTRRQAHLLRAQQGIPISEYGVLVDMIRVPDWAFEAAGQEMRGMGQDAAAYQPGLYLTPTQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNIRADSERSSATVVTTTRYVRTQPSSQSYLLRLQGLLRPVRTENSGASSHVDVDLEAVENGNLVLTTRETTDVEPVSSIGSMLVCESSPPQH >Manes.14G066700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5557751:5562207:-1 gene:Manes.14G066700.v8.1 transcript:Manes.14G066700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVDFKWYDGFFLSMLATSVIIVAINWKRYHLCTYPLHIWIVVDYTAVFVFRLLMFIDNGLAAGMGLDFGWQQRYARFCGRIVVLSVLSLLLYPFLWAWTIIGTLWFTSARDCLPEEGQKWGFIIWLLFSYCGLLCIACMSMGKWLTRRQAHLLRAQQGIPISEYGVLVDMIRVPDWAFEAAGQEMRGMGQDAAAYQPGLYLTPTQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNIRADSERSSATVVTTTRYVRTQPSSQSYLLRLQGLLRPVRTENSGASSHVDVDLEAVENGNLVLTTRETTDVEPVSSIGSMLVCESSPPQH >Manes.08G049200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5032891:5035780:-1 gene:Manes.08G049200.v8.1 transcript:Manes.08G049200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENESNPTISKSTGLPRKRFYRARAHSNPLSDSHFPVPISPGHVDYSFHYPQLVSSDQADSNKKIEFADVGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERISALRATNPGQYQNISVVRTNSMKYIPNYFGKGQLSKMFFLFPDPHFKEKNHRRRVISPHLLDEYAYVLEIGGIIYTITDVEELGEWMKACLASHPMFEALTEEELGADPVIKLLSTATEEGQKVARNGGQTFQAVYRRIAPSQ >Manes.04G117533.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31946428:31948686:1 gene:Manes.04G117533.v8.1 transcript:Manes.04G117533.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNFILGSLLKILCSEACCSCIDSLEFINLRLNRSIKTSMDCCLITHEHDPKGSIYAFDLDSDRCTVELNRLYNPHTSISGDCQFHRDVFGSCNGLLAMYNGEGIVLCNPATREHKTLSRFWGHCYGDYEMLREFGYDALNDDYKVIIMIQNYMENNTRVMVYSWKRKSLTRVEDLLGYSIIPTHNNPQPGGVLVGGSLHWVVNGKGNVKDRVILAFGLGDEKFFELPKPQMESENISLHMVEIGGSLALCSSWPHWMNEIWVMKEYGVMESWTKLFNLTNSNRISGNICIRSHVPVKLNSGIAGSSSQLSKQ >Manes.07G123602.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32710959:32711258:1 gene:Manes.07G123602.v8.1 transcript:Manes.07G123602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRERESLKMFALWVSTTATRGDIQRDRIDPKLFFSPRILIFWIMLSLWIPPLVASSWPFHGGSVHAPPTHLHASQVENCRTPNNPVLKYVARSQWKYR >Manes.16G035125.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4274173:4274649:1 gene:Manes.16G035125.v8.1 transcript:Manes.16G035125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTDVNKINKSKWLLCAGSLQSLRWLNLIFSFYSEISSLHDIFTRVLRIESSSPVPSHTISTFVSCNDSGRHNNRGGNRGGFNGSRGSQHSREAIPTYDSGRIICYYCREPRHTKKTCLKLQNKNQRSQIAHMAIEASFDKGILISADTYAQFIQY >Manes.12G146100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35264491:35271407:-1 gene:Manes.12G146100.v8.1 transcript:Manes.12G146100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGKQEAVHFDKITARLKKLSYGLSMEHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTKKLFSETIKLMYNHFNERSGLKAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSVIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLLCMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIIPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGHWSLFCPNEAPGLSDCWGEEFEKLYNRYEREGKAKKVVQAQNLWFEILKSQIETGTPYMVFKDTSNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFIREKGVPIESHPSKLVGSRGSKNRYFDFDKLAEVTEVVTTNLNKIIDVNYYPVENAKRSNFRHRPIGIGVQGLADSFILLGMAFDSPEAQQLNKDIFETIYYHALKASSEIAARDGPYETYEGSPVSKGILQPDMWGVTPTSRWNWDALREMISKNGVKNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLNDLTEMGLWSPAVKNKIIYEDGSVQKIPEIPDDLKLIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSLLKEEKKQKVGAEDDDTKMAQMVCSLTNREECMACGS >Manes.05G128400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22384023:22387071:1 gene:Manes.05G128400.v8.1 transcript:Manes.05G128400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFLFFNFNFNNSSRKRTYHRKSTRIAPPSQAPRPQGLKAKSKTLCFICSWCCGVFNLKMTEGGGSSVSRRQRRLKRDSEDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENTEEKRALEKKMAREWKRIAKAAIAYHNHQEMLAPCRVVYIVVLSFVILLVDFVLAVNLPSDLTSMGEFLLIVDKYFLKVDGLSRVVDFV >Manes.05G128400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22384023:22387071:1 gene:Manes.05G128400.v8.1 transcript:Manes.05G128400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFLFFNFNFNNSSRKRTYHRKSTRIAPPSQAPRPQGLKAKSKTLCFICSWCCGVFNLKMTEGGGSSVSRRQRRLKRDSEDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENTEEKRALEKKMAREWKRIAKAAIAYHNHQERVNFKLVKVVECKTISDHGIWYHLNFEAKPRDFECSPKLFFAELYGNALRVTCCCMLKSKGSGS >Manes.05G128400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22384023:22387385:1 gene:Manes.05G128400.v8.1 transcript:Manes.05G128400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFLFFNFNFNNSSRKRTYHRKSTRIAPPSQAPRPQGLKAKSKTLCFICSWCCGVFNLKMTEGGGSSVSRRQRRLKRDSEDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENTEEKRALEKKMAREWKRIAKAAIAYHNHQERVNFKLVKVVECKTISDHGIWYHLNFEAKPRDFECSPKLFFAELYGNALRVTCCCMLKSKGSGLFILWFSHLSSC >Manes.05G128400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22384023:22387071:1 gene:Manes.05G128400.v8.1 transcript:Manes.05G128400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFLFFNFNFNNSSRKRTYHRKSTRIAPPSQAPRPQGLKAKSKTLCFICSWCCGVFNLKMTEGGGSSVSRRQRRLKRDSEDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENTEEKRALEKKMAREWKRIAKAAIAYHNHQERVNFKLVKVVECKTISDHGIWYHLNFEAKPRDFECSPKLFFAELYGNALRVTCCCMLKSKGSGS >Manes.05G128400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22384023:22387071:1 gene:Manes.05G128400.v8.1 transcript:Manes.05G128400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFLFFNFNFNNSSRKRTYHRKSTRIAPPSQAPRPQGLKAKSKTLCFICSWCCGVFNLKMTEGGGSSVSRRQRRLKRDSEDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENTEEKRALEKKMAREWKRIAKAAIAYHNHQERVNFKLVKVVECKTISDHGIWYHLNFEAKPRDFECSPKLFFAELYGNALRVTCCCMLKSKGSDASTMQGCLYCGSLICHPASGFRAGSEFALRFNKYGGIPVNCGQVFPQS >Manes.04G017400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2170177:2172334:-1 gene:Manes.04G017400.v8.1 transcript:Manes.04G017400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTKMAVDVSDPNSVRSSRTRPTQASRTRSSQSPRTPSTQRLPTFTGSSTSSAASAPSLPSTSNTSSAFYTTTSSSTVSTTSLSGLRQSISQSPTIYDISEIRSATNNFLAKRYSTSSTACWRCTLRDRETIIFQRKFRRKMEMSQLSEYLGVICRSHHTSVIKLLGISISGDHIYLVYEFITGANLADCLRNKRNPDFTVLSSWTSRMQVAADLAHGLDYIHNKTAQLCGEVQENKNQRKKSSISKGKSEITEASDEDEDKEDKSKELKRSNSGAMQFEGVRGYMSPEFQASGIATQESDVFAFGVLILELLSGEEPLRYKYDKGRGDFLRTSLVETARAVIDGGDDDGGREGRLRRWMDRRLKDSFPVDVAEKLTRLAVQCVHVDPSKRPDMGHVAGKISKSYLASKTWSDNLRSVSDQITVSFAPR >Manes.18G064000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5815684:5816891:1 gene:Manes.18G064000.v8.1 transcript:Manes.18G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKRSKAAMPRSRPDGKCKKHPKHTQSPGVCSICLTEKLSQLSTSCSSHTTSSSTVMDSVSSSSSLSSYSSSSCSSSSSPMHRYYRYGRDQGKRSLSFLVNGKNVLTKSRSLIFVTRTRGNKDKVSDDKKKAGILSKLLRPKNKRIEESLVYH >Manes.18G064000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5815684:5816985:1 gene:Manes.18G064000.v8.1 transcript:Manes.18G064000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKRSKAAMPRSRPDGKCKKHPKHTQSPGVCSICLTEKLSQLSTSCSSHTTSSSTVMDSVSSSSSLSSYSSSSCSSSSSPMHRYYRYGRDQGKRSLSFLVNGKNVLTKSRSLIFVTRTRGNKDKKNMLAF >Manes.16G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30137012:30139633:-1 gene:Manes.16G095000.v8.1 transcript:Manes.16G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKGTWISMISSCFKPHEKEKRASSKPKKEVTKQASFQRLSLSDLSNPSSLSEDLSISLAGSNLHVFTLAELKVITQGFSSSNFIGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLDGLQGHREWLTEVIFLGQLRHPHLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSASLPWSTRMKIALGAAKGLAFLHESQKPVIYRDFKASNILLDSDYTPKLSDFGLAKDGPEGSETHVSTRVMGTQGYAAPEYIMTGHLTSMSDVYSFGVVLLELLTGRRSVDKSRPQREQKLVEWARPILNDPRKLGRIMDPRLEGQYSETGARKAAALAYQCMSHRPKQRPTMSTVVKILEPLKDFEDIPIGPFVYTVPTETEKPKEDEPKKDVKKDDGHHRRKHPLHHGHRRHHHRSTRSPTIHSETALSQNQRNVLNSPLHPKAKGA >Manes.02G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8940331:8945503:1 gene:Manes.02G116500.v8.1 transcript:Manes.02G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLEKIPFGMDFHPHDHMVAAALITGHLHLYRYTADSLSQKRLLEVQAHSDSCRAVRFINGGQAILTASSDRSILATDIETGSPIARVENAHEDAVYSLINLNESTIATGDDQGCVKVWDTRQRSCCNSFNAHEDYVSDMTFESDSMKLLGTSGDGTLSVCNLRSNKVQTRSEFSEEELLSVAIMKNGRKVICGSQNGTLLLYSWGFFKDCSDRFTGLSPNSIDTLLKLDEDRIITGSENGLISLVGILPNRIIQPLAEHSEYPIERLAFSHDRKFLGSISHDQMLKLWDLDDLLQNTGDTQKDQDDVTESDSDEMDVDTNPPRSKKGSKRKNAQTNGSASNFFAEL >Manes.03G134700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26286807:26290208:-1 gene:Manes.03G134700.v8.1 transcript:Manes.03G134700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVEFMRNLAQQHAQVVPLTLFVAVLCLCLIIGHLLEENRWVNESITAIIIGCISGTFILVLSNGKNSHILRFNEEIFFIYLLPPIIFNAGFQVKKKQFFQNFITIMLFGVVGVFISAFIIVAGCRWLFPRLGFYGLKARDYLAVGAIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQKMDVTRLNSMTTLHVIGDFVYLFLTSTALGVTTGLVTAYILKTLYFGRHSSIREISLMVLMAYLSYMLAELLDLSGILTVFFCGILMSHYAWHNVTESSRITTRHVFAMMSYVAETFIFLYVGMDALDIEKWKTSKLSFGNSMSICSMLMLLILLGRAAFVFPLSALSNCMNRGRVSLITFRHQIIIWWAGLMRGAVSIALAYKQFTNAGVTWEPIFATMVTNTIIVVLFSTMVFGFLTKPLIVYMLPQNANNQDNHQEEKMPKEDARLPLLSFEESATTNLQRASESFSMLMESPVYTIHYYWRKFDNAYMRPVFGGPGSSHPEC >Manes.03G134700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26286807:26290208:-1 gene:Manes.03G134700.v8.1 transcript:Manes.03G134700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGVVGVFISAFIIVAGCRWLFPRLGFYGLKARDYLAVGAIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQKMDVTRLNSMTTLHVIGDFVYLFLTSTALGVTTGLVTAYILKTLYFGRHSSIREISLMVLMAYLSYMLAELLDLSGILTVFFCGILMSHYAWHNVTESSRITTRHVFAMMSYVAETFIFLYVGMDALDIEKWKTSKLSFGNSMSICSMLMLLILLGRAAFVFPLSALSNCMNRGRVSLITFRHQIIIWWAGLMRGAVSIALAYKQFTNAGVTWEPIFATMVTNTIIVVLFSTMVFGFLTKPLIVYMLPQNANNQDNHQEEKMPKEDARLPLLSFEESATTNLQRASESFSMLMESPVYTIHYYWRKFDNAYMRPVFGGPGSSHPEC >Manes.03G090700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20602585:20605147:1 gene:Manes.03G090700.v8.1 transcript:Manes.03G090700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKGSKGGAAVVGGSSPMVCEKEEEAVATTPMRTAETILRLLPMALCISALILMLRDSQTNDFGSLSYSDLTAFRYLVHANGICAGYSLLSAVIVAIPRPSTMSKAWTFFFLDQLFTYIILAAAAVALELLYLARKGDTAITWSAACMSFGLFCHKATAAIVITFVVVACYALLSLVSSYKLFSNFSAPVVTYPGKGVEIAGFHG >Manes.09G144700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34272271:34275919:-1 gene:Manes.09G144700.v8.1 transcript:Manes.09G144700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPNLTALDSFRTFNSLIQRNPIPFPRPSNPLFNHSISVIHHSSKPSRFLPICLSNPTKQSNSSSEISSLSNAELVGSSNESLGEDELERNLGVQVGNPFVPSYIPPYTKLSLSDQALFLLSFIACTAMRRAATSLSKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSHEIADGVNKSAQAVQAAESGIRQIGTLAHQHTMSMIQERASLPIISLQPVVAGAAKKTSLAVGQATKTIMNIISRGELSSENEGNNEIERLDI >Manes.09G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34272271:34275919:-1 gene:Manes.09G144700.v8.1 transcript:Manes.09G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPNLTALDSFRTFNSLIQRNPIPFPRPSNPLFNHSISVIHHSSKPSRFLPICLSNPTKQSNSSSEISSLSNAELVGSSNESLGEDELERNLGVQVGNPFVPSYIPPYTKLSLSDQALFLLSFIACTTSIAFTSLVVAAIPTLYAMRRAATSLSKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSHEIADGVNKSAQAVQAAESGIRQIGTLAHQHTMSMIQERASLPIISLQPVVAGAAKKTSLAVGQATKTIMNIISRGELSSENEGNNEIERLDI >Manes.15G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10399021:10400506:1 gene:Manes.15G130100.v8.1 transcript:Manes.15G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIEKMEVKTQIKSSSDKFFEILRSKTYLLPKICPEIINDIQTIQGDWGTIGSVRQWTYVAGNCEKIKERIEAIDEKTRSITFNFLEGDVLNYYKSYKASLEVTTMDEVSLAKWTLEYEKKDDHIPPPHKYLELLANFNKAVDSYLLKTKA >Manes.03G138400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26481859:26493101:-1 gene:Manes.03G138400.v8.1 transcript:Manes.03G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIACDSSILGRSQFVYGSKSSRLLRYNRVSNIPVKVSQKNYQFSSRIPSNYAYPCSSRPPCVSASPTTSFSDAITHSKSPSKVNADLGIKQNAATVVNLELIRLLVKCGLVLGAMVCGVLVFECKRVFAAEGVVNAGYSVIGQSILLLRSAWPKLSQLLTVFKEQGLILAALLGLSAFFSMAETSITTLWPWKVRELAEKEPDDGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTDAATAIFGEAGVSAATGVMTVAVLLLTEITPKSIAVHNATEVARFVVRPVAWLSLVLYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHELWVTHQYSRVPVFEQRVDNIMGIAYAMDLLDYVRKGELLESTTVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIYDIDANTSIDQLSEDLNIKMPEGHQYETVSGFVCEAFGYIPRTGESIKVILEKENQEDDDEQAEAKSERQDQNEKHHVYKLEILAGNARKVSAVRFERKNNDDGQAKEVTRLVPRIMKRKWSSDEDSDGTEYDEDSFQNRPEHGLSDSNVIAEYEDDNESHGRQ >Manes.09G169100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36133340:36142297:1 gene:Manes.09G169100.v8.1 transcript:Manes.09G169100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARASSGLAYPERFYAAAAYAGFDGSPNSASSVSSKFQNDTALLLYALYQQATVGPCNTPKPSTWNAVEQSKWKSWHGLANMPPTEAMRLFVKILEEEDPGWYSRASNFVSEPAVDIQTNHNPKVEPAVENGNSFPETKTISSENGRIVETQDKDVVSEGLGSIVVYDQWIAPPISGQRPKARYEHGAAVIQDKMYIYGGNHNGRYLNDLHVLDLRSWIWSKIDAKAVAESDESKSPAKITHCAGHSLIAWENKLLSIAGHTKDPSESIQVKAFDPQTRTWSILKTYGKAPVSRGGQSVTLVGMSLVIFGGQDAKRSLLNDLHILDLETMTWDEIDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWTRPTQQGEIPSPRAGHAGVTVGENWFIVGGGDNKSGVSETVVLNMSTLVWSVVFSVEGRVPLASEGLSLAVSSYHGEDILVSFGGYNGRYSNEVNVLKPSHKSALQSNIMETPVPDSVSTAHNATNATRDLESELEAGQEGKIREIVMDNVDPEPMKSKGEVSSEQLIATLKSEKEELGSSLSKEKLQSIQLKQELTEAEARNTDLYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMDTLQKELELLQRQKAASEQAALNAKHSQGSGGMWGWLAGAPGDQREDEA >Manes.14G032850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2951113:2952513:1 gene:Manes.14G032850.v8.1 transcript:Manes.14G032850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLKDAHVYLSDHEQAAMLHLLILINVPFKSIEYGDSLISITICSSLHFTFVYSFSFLHVYKVIIHKKKNASELIYCFTLTSYLVSTSTPYLREIIQYLHPRMHRDL >Manes.05G045100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3750948:3755086:1 gene:Manes.05G045100.v8.1 transcript:Manes.05G045100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQLANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYSILTREYSKICKLAEKSVMASSGFQADVKALQKHLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVYTYDAVGSYERVGYSAQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSEVEAVDLVKTVFASATERDIYTGDKLEIVILNADGIRREHMELRKD >Manes.01G035000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6709498:6712176:-1 gene:Manes.01G035000.v8.1 transcript:Manes.01G035000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIFSCISVLFFALFLIKWISRMKLNFLFWKFCLKFQESSEKDATDDKQEGTTSDHVIEDSKDPTTSSDINEKLLKTCSAEREASPQKSSKNGEQSETSNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKSSSSAAAAAHYRQIMVSEALRTSTSVLNFGSDSPLCESVASVLNLSDKTQNNIRNGYHRPEQSILISCGGGASDNGDDRASGSSVTVSNSSEKKGNCGFTPEPQVPSFAGPPWSYPWSSPMAPPTFCPSGFPVSFYPAPAYWGCSVPTPWNVAPCLSPQSLSLNHHCFTSALGKHTREGKILNPTHSESPSESSVLIPKTLRIDDPSEAAKSSIWATLGIKSSDKTNLNGGRSLFKGFESKNEDRNYRAETSPLLHANPAALSRSLKFQEST >Manes.01G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6709498:6712176:-1 gene:Manes.01G035000.v8.1 transcript:Manes.01G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFKDSTIKLFGKTIPLPLFHRPPHLSSPNESSSAAAVGPVQLQDSSDENPTSSPENTFSMEQEAHQWNRESSEKDATDDKQEGTTSDHVIEDSKDPTTSSDINEKLLKTCSAEREASPQKSSKNGEQSETSNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKSSSSAAAAAHYRQIMVSEALRTSTSVLNFGSDSPLCESVASVLNLSDKTQNNIRNGYHRPEQSILISCGGGASDNGDDRASGSSVTVSNSSEKKGNCGFTPEPQVPSFAGPPWSYPWSSPMAPPTFCPSGFPVSFYPAPAYWGCSVPTPWNVAPCLSPQSLSLNHHCFTSALGKHTREGKILNPTHSESPSESSVLIPKTLRIDDPSEAAKSSIWATLGIKSSDKTNLNGGRSLFKGFESKNEDRNYRAETSPLLHANPAALSRSLKFQEST >Manes.02G221660.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21461862:21463670:1 gene:Manes.02G221660.v8.1 transcript:Manes.02G221660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSPQFAMKAYLHSLQLSRREDNTSSYGSTKLVEPKCMEFISALAAGKQAKLMVEITTQGITPLTISLAVAAKQTGGKLICILPHHHQQQNFINKCRNHDLSSRSSRSGRSMQYKKIDFLVVDGKLEGHLKLLEMVDLNPSGCLIVGHNLQYREYEVSFGQVLNRKKGIDCVSLPIGEGMELTRIESFTKRKCRRFKRFHVIFEN >Manes.14G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12410027:12417642:1 gene:Manes.14G140800.v8.1 transcript:Manes.14G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASKRKAAPLRSPSVTSAADNRAGKAKSKEIERIDNLFVLYANNSLGMIDPEGIEALCSDMKVQHTDVRILMLAWKMNAQKQGYFTQEEWRTGLKALHADNLNKLKKALPELEKEVGTPANFEDFYSFAFRYCLTEEKQKSVDIESICELLDLVLGSQFRAEVDSLIEYLKIQSDYKVINWDQWMNFLRFFKEIRFPDFEKYDATQAWPLILDNFVDWMKEKHS >Manes.17G067050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26692005:26709829:-1 gene:Manes.17G067050.v8.1 transcript:Manes.17G067050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPEPSLEAETEVLQAFKDAISNDPLGLLANWTDASHHCNWSGVACDSSSNRVISISLAGWKLLGQISPFLGNLSALQVLDLSSNSFAGHIPPQLGLCSQLTDLSFYQNSLSGSIPAELFHLPNLLLVDLGDNSLNGIIPESFCNCTSLQEFGVDFNNLTSTIPRAIGKLGSIPDSIDGLKALQALQLNSNRLSGVIPRNIGNLSNLEYLLLFGNSLVGEIPYELGRCKKLAHLELYNNKLSGAIPSELGSVIHLETLRLYKNRLNSTIPPSLFKLTSLTHLGLSENQLTGTLPQEIGSLRSMQVLTLHSNKFTGEIPSPVTYLSNLTYLSLGFNFLTGKLPSNIGMLYNLRNLSLNNNLLQGSIPSSISNCTQLLNLGLSYNRTTGKLPWDLGQLHNLTRLSLGPHQMFGEVPDSGCRSRIGKLYNLWTLKAGFNSFTGKIPPEIGNLSQLITLSLSGNLFSGPIPPSLSKLSSIQGLGLHTNALKGAIPENISELKHLTVLMLGLNRLTGPIPDAVSKLEMLSSLDLHGNMLNGSIPTSMGALHQLMSLDISHNHLTGSIPGSMVSRMKSMQVFLNLSYNLLGGSIPVELGMLEAIQAIDLSDNNLSGIIPATLGGCRNLFSLDLSGNKLSGPIPAKPFSQLTVLSSMNLSRNELDGQIPESLAELKHLTALDISQNQLKGKIPGSFANLPSLKQLNLSFNQLEGQVPETGIFKNINASSLIGNHGLCGSSFGPCSKRSSDSFSKKTIWILISLGVLFALLFLAVMITMILQCAKKPKTDCVENAEPEFTSALKLIRFEPMELENATSFFSEDKLIGASSLSTVYKAQLADGQIVVVKKLNLHQFPAESDKSFYREVKTLSQLRHKNLVKVLGYAWESTKLRALVLEYMNNGSLESIIHDPKVNQSRWILSERINVCISIASALDYLHSCYHFPIVHCDLKPSNILLDSNWVAHVSDFGTARMLGLHLQDGCSLSSSSAFQGTIGYLAPEFAYMRKVTTKVDVFSFGIILMEFLTKRRPTQLTEEDGVPISLSQVIEKALGNGINGLLQVLDPIIAMNISKEEETMVELFNLALSCTNPNPDDRPNMNEVLSSLEKLRRQSQIPNCKRSR >Manes.14G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4256668:4258955:1 gene:Manes.14G049100.v8.1 transcript:Manes.14G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMAAMSSVKSYFPGNFHKAEESNVMVAKAGNSQSSLSLIAQKIPRCSVRASAGNPQSVDIINGKKVNGVHVAETPDVRKMNMDDNIVDGQPLHTCLLGRFLEERLIYRQTFVIRSYEIGPDKTATMETLMNFLQETALNHVTSSGLAGNGFGATRQMSLRKLIWVVTRIHIQVERYSCWGDVVEIDTWVDADGKNAMRRDWIIRDYNTQEIITRATSTWVIMNRETRKLTKIPEQVRQEVEPFYTNRIAISRENNDVVKISKLTDETAERIQSGLAPRWSDMDANLHVNNVKYIGWILESVPINVLEDYNLVSMTLEYRRECRQSNLLESLTTTTADLNNNSCNREAEVEYTHLLRMQNDKAEIVRARTEWQSKNNVIFN >Manes.15G128250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10230452:10235164:-1 gene:Manes.15G128250.v8.1 transcript:Manes.15G128250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPSGYRPNVGVCLINSDNQIFVASRLNVPGAWQMPQGGIEDNEEPRSAAIRELREETGIVSAEIIAEVPNWLTYDFPPAVKTKVNRLWGGEWHGQAQKWFLMRFTKDESEINLANGEADPEFAEWKWASPEEVVEQAVDYKRPTYEEVVRTFGPYFNVNGVPSKCKSSKW >Manes.15G128250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10231139:10235302:-1 gene:Manes.15G128250.v8.1 transcript:Manes.15G128250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTHSHKTTLSSVPLSLLLQISLSLDLLLAQIYIASLLRPHRRLQMDGLPSGYRPNVGVCLINSDNQIFVASRLNVPGAWQMPQGGIEDNEEPRSAAIRELREETGIVSAEIIAEVPNWLTYDFPPAVKTKVNRLWGGEWHGQAQKWFLMRFTKDESEINLANGEADPEFAEWKWASPEEVVEQAVDYKRPTYEEVVRTFGPYFNVNGVPSKCKSSKW >Manes.15G055200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4233872:4235467:-1 gene:Manes.15G055200.v8.1 transcript:Manes.15G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGISSFWGPVTSVDWCEKNYVYSSYIAEFFNTISNIPSILLALVGLTNALRQRFEKRFSVLNISNMILAIGSILYHSTLQHMQQQGDETPMVWEMLLYFYILYSPDWHYRSTMPIFLFFYGAAFAVVHALVRFGIGFKVHYAILCLLCIPRMYKYFIYTNDVSAKRLAKFYVGTIFLGSLCWLLDRVLCDEISQWLFNPQGHALWHVFMGFNSYFANTFLMFCRAQQLGWDPKVVHFMGFFPYVKVRKPKTK >Manes.01G019900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4734520:4736315:1 gene:Manes.01G019900.v8.1 transcript:Manes.01G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLAIFLILLGSLLPFLRYLLRRAGNYKHGRKLPPGPRPLPLIGNLHMLGNLPHRSLYSLSKEYGPIMSLRLGSIPTIVVSSSQAAELFLKTYDSIFASRPKLQASLMSYDFKGMAFTEYGSHWRYMRKLSALHLLSVSKIESFAPMRKEKLGLLVDSLKKAAAVKEVVDFSARVEAVIQNMTYKMVFGGNDDDEFDMKSLIMENMNLAGAFNVADYVPCLATFDLQGLTKRMKAFSKRMDEVLEKIIEDHAKKANSSTQNQPKDFIDELLSLMNNSQEEKIDRANIKALMIEMIVGAFDTSAATVEWTFAELLRHPKVMKRLQEELENVVGLNRMVEEKDLPKLTYLDMVIKESLRLRPVGPLLIPHESTEDVTVNGYHIPRKSRIIVNAWAIGRDPIAWPENAEEFFPERFRDSDIDLRGHNFELIPFGSGRRGCPGMQLGLVTVRIVVAQLLHCFDWELPDGLSPNEIDMSERFTLTMLKATHLLAVPKYRLLC >Manes.15G064600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4905368:4907612:-1 gene:Manes.15G064600.v8.1 transcript:Manes.15G064600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETESSCVLEEPRQVVRKFLARPQHEGVGAIVRRSIGRFELKYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLQGSVTHEDFEGHKGTIGPGDLQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKHKMIEPRYQEISSKDIAEASEDGVKVRVIAGEALGTKSPIYTTTPTMYLDFCLKPGAQLQQPIPITWNSFVYVLEGEGVFGNSKSLPVSAHHLLLLGNGDGLEAWNRSSKTLRFILVGGEPIGEPLAQWGPFVMNTQEEIDQTISDFENFVNGFEKARYWRSEAANSLGF >Manes.15G064600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4905246:4907959:-1 gene:Manes.15G064600.v8.1 transcript:Manes.15G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETESSCVLEEPRQVVRKFLARPQHEGVGAIVRRSIGRFELKYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLQGSVTHEDFEGHKGTIGPGDLQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKHKMIEPRYQEISSKDIAEASEDGVKVRVIAGEALGTKSPIYTTTPTMYLDFCLKPGAQLQQPIPITWNSFVYVLEGEGVFGNSKSLPVSAHHLLLLGNGDGLEAWNRSSKTLRFILVGGEPIGEPLAQWGPFVMNTQEEIDQTISDFENFVNGFEKARYWRSEAANSLGF >Manes.03G023000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1885695:1894642:-1 gene:Manes.03G023000.v8.1 transcript:Manes.03G023000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGSEFPPKKAAQLDTQGSSDFPAKKLARQLDFTQGNATLSDHHHSEQQQPATLPLPQSQLQQQQPQPQAVTISAAPPPQQHLPARILKPESPKSKPRPNAELKDGTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTQDSREENGEGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEETQALFHGDHANNIAYMQQATNAALTGAIGSSGYASPPVSKKRKVQELFFGPTAKDPSFHRLGHLQQVVHIRPSATSSSLSTNPVARAASTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSGEAAKTLSDQRNATEQQVQNQTETSLASSTQERLQSQKETDVEKIIADDCSSANQADKVGPYDSSSDGADVPKGRPMSPGTLALMCDEQDTIFMAAASPNRLTGHVCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLAKTELGNQKDQLSNGTLNAWAELENQQGSHSNGVSKHVIPPPSNTAQTAATMVAASSNEIPQVQAPPQNEDNKPMT >Manes.03G023000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1885695:1894667:-1 gene:Manes.03G023000.v8.1 transcript:Manes.03G023000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGSEFPPKKAAQLDTQGSSDFPAKKLARQLDFTQGNATLSDHHHSEQQQPATLPLPQSQLQQQQPQPQAVTISAAPPPQQHLPARILKPESPKSKPRPNAELKDGTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTQDSREENGEGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEETQALFHGDHANNIAYMQQATNAALTGAIGSSGYASPPVSKKRKVQELFFGPTAKDPSFHRLGHLQQVVHIRPSATSSSLSTNPVARAASTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSGEAAKTLSDQRNATEQQVQNQTETSLASSTQERLQSQKETDVEKIIADDCSSANQADKVGPYDSSSDGADVPKGRPMSPGTLALMCDEQDTIFMAAASPNRLTGHVCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLAKTELGNQKDQLSNGTLNAWAELENQQGSHSNGVSKHVIPPPSNTAQTAATMVAASSNEIPQVQAPPQNEDNKPMT >Manes.03G023000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1885695:1894342:-1 gene:Manes.03G023000.v8.1 transcript:Manes.03G023000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGSEFPPKKAAQLDTQGSSDFPAKKLARQLDFTQGNATLSDHHHSEQQQPATLPLPQSQLQQQQPQPQAVTISAAPPPQQHLPARILKPESPKSKPRPNAELKDGTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTQDSREENGEGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEETQALFHGDHANNIAYMQQATNAALTGAIGSSGYASPPVSKKRKVQELFFGPTAKDPSFHRLGHLQQVVHIRPSATSSSLSTNPVARAASTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSGEAAKTLSDQRNATEQQVQNQTETSLASSTQERLQSQKETDVEKIIADDCSSANQADKVGPYDSSSDGADVPKGRPMSPGTLALMCDEQDTIFMAAASPNRLTGHVCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLAKTELGNQKDQLSNGTLNAWAELENQQGSHSNGVSKHVIPPPSNTAQTAATMVAASSNEIPQVQAPPQNEDNKPMT >Manes.03G023000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1885695:1894652:-1 gene:Manes.03G023000.v8.1 transcript:Manes.03G023000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGSEFPPKKAAQLDTQGSSDFPAKKLARQLDFTQGNATLSDHHHSEQQQPATLPLPQSQLQQQQPQPQAVTISAAPPPQQHLPARILKPESPKSKPRPNAELKDGTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTQDSREENGEGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEETQALFHGDHANNIAYMQQATNAALTGAIGSSGYASPPVSKKRKVQELFFGPTAKDPSFHRLGHLQQVVHIRPSATSSSLSTNPVARAASTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSGEAAKTLSDQRNATEQQVQNQTETSLASSTQERLQSQKETDVEKIIADDCSSANQADKVGPYDSSSDGADVPKGRPMSPGTLALMCDEQDTIFMAAASPNRLTGHVCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLAKTELGNQKDQLSNGTLNAWAELENQQGSHSNGVSKHVIPPPSNTAQTAATMVAASSNEIPQVQAPPQNEDNKPMT >Manes.03G023000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1885695:1894341:-1 gene:Manes.03G023000.v8.1 transcript:Manes.03G023000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGSEFPPKKAAQLDTQGSSDFPAKKLARQLDFTQGNATLSDHHHSEQQQPATLPLPQSQLQQQQPQPQAVTISAAPPPQQHLPARILKPESPKSKPRPNAELKDGTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTQDSREENGEGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEETQALFHGDHANNIAYMQQATNAALTGAIGSSGYASPPVSKKRKVQELFFGPTAKDPSFHRLGHLQQVVHIRPSATSSSLSTNPVARAASTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSGEAAKTLSDQRNATEQQVQNQTETSLASSTQERLQSQKETDVEKIIADDCSSANQADKVGPYDSSSDGADVPKGRPMSPGTLALMCDEQDTIFMAAASPNRLTGHVCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLAKTELGNQKDQLSNGTLNAWAELENQQGSHSNGVSKHVIPPPSNTAQTAATMVAASSNEIPQVQAPPQNEDNKPMT >Manes.03G023000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1885695:1894642:-1 gene:Manes.03G023000.v8.1 transcript:Manes.03G023000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEGSEFPPKKAAQLDTQGSSDFPAKKLARQLDFTQGNATLSDHHHSEQQQPATLPLPQSQLQQQQPQPQAVTISAAPPPQQHLPARILKPESPKSKPRPNAELKDGTPKRQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTQDSREENGEGLVLGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEETQALFHGDHANNIAYMQQATNAALTGAIGSSGYASPPVSKKRKVQELFFGPTAKDPSFHRLGHLQQVVHIRPSATSSSLSTNPVARAASTATLGPSKFTYRSLLADIIQPQDLKELCSVLVVVSGEAAKTLSDQRNATEQQVQNQTETSLASSTQERLQSQKETDVEKIIADDCSSANQADKVGPYDSSSDGADVPKGRPMSPGTLALMCDEQDTIFMAAASPNRLTGHVCSGTSQLPCGQGMTEIYAEQERIVLTKFRDCLNRLITFGEIKETKCSSLAKTELGNQKDQLSNGTLNAWAELENQQGSHSNGVSKHVIPPPSNTAQTAATMVAASSNEIPQVQAPPQNEDNKPMT >Manes.04G115500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31801644:31805412:-1 gene:Manes.04G115500.v8.1 transcript:Manes.04G115500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFCDGVGIARLLNATLVLPKFEAAAYWNESSGFADVFDVDYFIQQVNGFVKVVKELPPEIVSKEPFQVDCRKRKGQLDYIESILPSLLEHHYISITPAMSQRRDRYPKYAKAALCQACYGALRLTRSLEKKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYSGLSPASMEAIEAARGDRKQWTGELARIWRKRGKCPLTPNETALILEALSIPTNTNIYLAAGDGLMEIEGLTSVYTNVFKKSALLNGEDFTSVHGNTKAALDYYVSINSDSYMATYFGNMDKMVAAMRAYKGLHKTLFLSRRAFAELTFQGLKGKELMQALRTTREEALPDCFCEFKL >Manes.04G115500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31801644:31805412:-1 gene:Manes.04G115500.v8.1 transcript:Manes.04G115500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDVFDVDYFIQQVNGFVKVVKELPPEIVSKEPFQVDCRKRKGQLDYIESILPSLLEHHYISITPAMSQRRDRYPKYAKAALCQACYGALRLTRSLEKKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYSGLSPASMEAIEAARGDRKQWTGELARIWRKRGKCPLTPNETALILEALSIPTNTNIYLAAGDGLMEIEGLTSVYTNVFKKSALLNGEDFTSVHGNTKAALDYYVSINSDSYMATYFGNMDKMVAAMRAYKGLHKTLFLSRRAFAELTFQGLKGKELMQALRTTREEALPDCFCEFKL >Manes.04G115500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31801644:31805412:-1 gene:Manes.04G115500.v8.1 transcript:Manes.04G115500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFCDGVGIARLLNATLVLPKFEAAAYWNESSGFADVFDVDYFIQQVNGFVKVVKELPPEIVSKEPFQVDCRKRKGQLDYIESILPSLLEHHYISITPAMSQRRDRYPKYAKAALCQACYGALRLTRSLEKKASELLEAIPKPFLSLHLRFEPDMVAYSQCEYSGLSPASMEAIEAARGDRKQWTGELARIWRKRGKCPLTPNETALILEALSIPTNTNIYLAAGDGLMEIEGLTSVYTNVFKKSALLNGEDFTSVHGNTKAALDYYVSINSDSYMATYFGNMDKMVAAMRAYKGLHKTLFLSRRAFAELTFQGLKGKELMQALRTTREEALPDCFCEFKL >Manes.03G188900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30814596:30818635:1 gene:Manes.03G188900.v8.1 transcript:Manes.03G188900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPEPSASSCNGSQDSAREDQENATVTFACKTLKRSGFSDTFSPNELRSLNAEKEEEEGQIQNEGHKESSPRGVLEDGSISLESETLAKDSNSNGSESETASKPSTSGSETQLNSSGTAQWRDFFRGLKGATRRIQTFPPPKKRGPKLTRSKTQRIREELIPVVSSPLETDIYCLKSSWKNFSLSEIKTATNNFNNENLIGEGGYAEVYKGQLKDGQLVAIKKLTRGSPEEMTVDFLSELGMMVHVDHHNIAKVIGYGVEGGMHLVLELSAHGSLASLLYGPKEKLDWGIRYKIATGTADGLQYLHEGCQRRIIHKDIKASNILLTEDFEPQISDFGLAKWLPEHWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELVTGKQAIDSSQQSLVMWAKPLLMKNSFKELVDPTLGDAYNEEQMEVLALTASFCIHQSSVQRPQMNQIVEILKGNKDILEEVKGLQKSTHQRTYSEELFDADEYNSTKHLQDMNRHMEVLLGQCDENSI >Manes.16G118800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32244340:32249876:-1 gene:Manes.16G118800.v8.1 transcript:Manes.16G118800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKRSRHWLYFGQGLTEEEEERGMGGHDDDKIEKFSVDDRSYFSLTDYILPSLGKNAVSNRRMELRRFIISPFDPRYRTWDTFLVFLVFYTSWASPFEFGFLEWPVGALAIVDNVVNAFFAVDIVLTFFVAYLDKYTFLLVDNRKKIAFRLQSYGYLSMLRLWRLRRVSRFFARLEKDRNFSYFWVRCTKLIFVTLFVINMAGCFFYRLAITYYDPTKTWIGSVWNDFEQHSLSTRYVTSLYWSITTLTTTGYGDLHAVNEREMIFTMFYMMFDLGLTSYLIGNMTNLVVHATSRTRKFRDTIQAASSFAQRNQLPVRLQDQMLAHLSLKYRTDSEGLHQQETIDSLPKAIRSSIANYLFYNFVNEVYLFKGVSNDLLFQLVAEMKAEYFPPKEDVILQNEAPTDMYILITGAVELIVPRGPTEQVVGEAKTGDVVGEIGLLCYRPQMFTVRTKRLSQLLRLNRTAFLNIVQASVGDGTIIMNNLLQHLKELNDPMMEGILAETERMLAHGRMDLPLNLCFAAMRGDDLLLHQLLKRGLDPNDLDENGRTALHIAASNGSEHCVVLLLEYGADPNKKDSEGNVPLWEALLGKHESVVKLLVDNGATISSGDVGQFTLAAIEQNNLDLLKEIVNYGGDVTLLTSSGNIPFHSAISGGNTEIVQFLLDQGADVDRPDVHGLTARGLADHQGQEEIQALIRTRQETEKKQVPTMPLQQQQGKLHLWKTIAKCGNEPSTPRPSTPLPSNKDVMPASPGVILTDNRQRRKVSPFHNSLLGIMSAANAGDHEMISSPSGAAAAGAGFTSLSYPARVTISCPEKGEVEGKLILLPKSIQELLDIGAKKFGFFPTRILTKEGAEIEDLELIRDGDHLVLASNG >Manes.16G118800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32244340:32249876:-1 gene:Manes.16G118800.v8.1 transcript:Manes.16G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKRSRHWLYFGQGLTEEEEERGMGGHDDDKIEKFSVDDRSYFSLTDYILPSLGKNAVSNRRMELRRFIISPFDPRYRTWDTFLVFLVFYTSWASPFEFGFLEWPVGALAIVDNVVNAFFAVDIVLTFFVAYLDKYTFLLVDNRKKIAFRYAKTWLVFDVVSTFPSELLRSIFPDRLQSYGYLSMLRLWRLRRVSRFFARLEKDRNFSYFWVRCTKLIFVTLFVINMAGCFFYRLAITYYDPTKTWIGSVWNDFEQHSLSTRYVTSLYWSITTLTTTGYGDLHAVNEREMIFTMFYMMFDLGLTSYLIGNMTNLVVHATSRTRKFRDTIQAASSFAQRNQLPVRLQDQMLAHLSLKYRTDSEGLHQQETIDSLPKAIRSSIANYLFYNFVNEVYLFKGVSNDLLFQLVAEMKAEYFPPKEDVILQNEAPTDMYILITGAVELIVPRGPTEQVVGEAKTGDVVGEIGLLCYRPQMFTVRTKRLSQLLRLNRTAFLNIVQASVGDGTIIMNNLLQHLKELNDPMMEGILAETERMLAHGRMDLPLNLCFAAMRGDDLLLHQLLKRGLDPNDLDENGRTALHIAASNGSEHCVVLLLEYGADPNKKDSEGNVPLWEALLGKHESVVKLLVDNGATISSGDVGQFTLAAIEQNNLDLLKEIVNYGGDVTLLTSSGNIPFHSAISGGNTEIVQFLLDQGADVDRPDVHGLTARGLADHQGQEEIQALIRTRQETEKKQVPTMPLQQQQGKLHLWKTIAKCGNEPSTPRPSTPLPSNKDVMPASPGVILTDNRQRRKVSPFHNSLLGIMSAANAGDHEMISSPSGAAAAGAGFTSLSYPARVTISCPEKGEVEGKLILLPKSIQELLDIGAKKFGFFPTRILTKEGAEIEDLELIRDGDHLVLASNG >Manes.16G118800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32244340:32249876:-1 gene:Manes.16G118800.v8.1 transcript:Manes.16G118800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKRSRHWLYFGQGLTEEEEERGMGGHDDDKIEKFSVDDRSYFSLTDYILPSLGKNAVSNRRMELRRFIISPFDPRYRTWDTFLVFLVFYTSWASPFEFGFLEWPIAFRYAKTWLVFDVVSTFPSELLRSIFPDRLQSYGYLSMLRLWRLRRVSRFFARLEKDRNFSYFWVRCTKLIFVTLFVINMAGCFFYRLAITYYDPTKTWIGSVWNDFEQHSLSTRYVTSLYWSITTLTTTGYGDLHAVNEREMIFTMFYMMFDLGLTSYLIGNMTNLVVHATSRTRKFRDTIQAASSFAQRNQLPVRLQDQMLAHLSLKYRTDSEGLHQQETIDSLPKAIRSSIANYLFYNFVNEVYLFKGVSNDLLFQLVAEMKAEYFPPKEDVILQNEAPTDMYILITGAVELIVPRGPTEQVVGEAKTGDVVGEIGLLCYRPQMFTVRTKRLSQLLRLNRTAFLNIVQASVGDGTIIMNNLLQHLKELNDPMMEGILAETERMLAHGRMDLPLNLCFAAMRGDDLLLHQLLKRGLDPNDLDENGRTALHIAASNGSEHCVVLLLEYGADPNKKDSEGNVPLWEALLGKHESVVKLLVDNGATISSGDVGQFTLAAIEQNNLDLLKEIVNYGGDVTLLTSSGNIPFHSAISGGNTEIVQFLLDQGADVDRPDVHGLTARGLADHQGQEEIQALIRTRQETEKKQVPTMPLQQQQGKLHLWKTIAKCGNEPSTPRPSTPLPSNKDVMPASPGVILTDNRQRRKVSPFHNSLLGIMSAANAGDHEMISSPSGAAAAGAGFTSLSYPARVTISCPEKGEVEGKLILLPKSIQELLDIGAKKFGFFPTRILTKEGAEIEDLELIRDGDHLVLASNG >Manes.05G200300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32483070:32492133:-1 gene:Manes.05G200300.v8.1 transcript:Manes.05G200300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSRGFAHTIQRDTVPAVSADVVFASSRFPNYKLGANNQILDAKDDPKVLTMKEVVARETAMLLEQQNRLSVRDLASKFEKGLAAATKLSEEARLREAASLEKHVLLKKLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLASFLKQASEDAKRLVDEERAFARAEIESARAAVQRVEEALQEHERMSRTSGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLEEKSKRSLLLQKELARSKRSEQNICQSYELDGSEALGSCLHISPCFDNAPELSKCSIQWYRLSTEGARKEPISGATKSVYAPEPFDVGRVLRAEIIAESLQVTLTTTGAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNCVDHKSESIHVLHVGKMRMKLCRGKTTVTKEYYSPSMQLCGVRGGGNAAAQALYWQANKGISFVLAFESERERNAAIMLARRFAFDCNIILAGPDDRSPVGT >Manes.05G200300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32483070:32492130:-1 gene:Manes.05G200300.v8.1 transcript:Manes.05G200300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASFLKSQSAHFCMLSSLLFSFLLLQLFLKIIILSLSQYSIKIFRFPAALPFASDPIFFKSPLLIFPFLLPFPVFSLSDSEFGIMTRLSRGFAHTIQRDTVPAVSADVVFASSRFPNYKLGANNQILDAKDDPKVLTMKEVVARETAMLLEQQNRLSVRDLASKFEKGLAAATKLSEEARLREAASLEKHVLLKKLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLASFLKQASEDAKRLVDEERAFARAEIESARAAVQRVEEALQEHERMSRTSGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLEEKSKRSLLLQKELARSKRSEQNICQSYELDGSEALGSCLHISPCFDNAPELSKCSIQWYRLSTEGARKEPISGATKSVYAPEPFDVGRVLRAEIIAESLQVTLTTTGAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNCVDHKSESIHVLHVGKMRMKLCRGKTTVTKEYYSPSMQLCGVRGGGNAAAQALYWQANKGISFVLAFESERERNAAIMLARRFAFDCNIILAGPDDRSPVGT >Manes.05G200300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32483070:32492133:-1 gene:Manes.05G200300.v8.1 transcript:Manes.05G200300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSRGFAHTIQRDTVPAVSADVVFASSRFPNYKLGANNQILDAKDDPKVLTMKEVVARETAMLLEQQNRLSVRDLASKFEKGLAAATKLSEEVEALAVQLTQREGELIQEKAEVKKLASFLKQASEDAKRLVDEERAFARAEIESARAAVQRVEEALQEHERMSRTSGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLEEKSKRSLLLQKELARSKRSEQNICQSYELDGSEALGSCLHISPCFDNAPELSKCSIQWYRLSTEGARKEPISGATKSVYAPEPFDVGRVLRAEIIAESLQVTLTTTGAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNCVDHKSESIHVLHVGKMRMKLCRGKTTVTKEYYSPSMQLCGVRGGGNAAAQALYWQANKGISFVLAFESERERNAAIMLARRFAFDCNIILAGPDDRSPVGT >Manes.05G200300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32483070:32492131:-1 gene:Manes.05G200300.v8.1 transcript:Manes.05G200300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASFLKSQSAHFCMLSSLLFSFLLLQLFLKIIILSLSQYSIKIFRFPAALPFASDPIFFKSPLLIFPFLLPFPVFSLSDSEFGIMTRLSRGFAHTIQRDTVPAVSADVVFASSRFPNYKLGANNQILDAKDDPKVLTMKEVVARETAMLLEQQNRLSVRDLASKFEKGLAAATKLSEEVEALAVQLTQREGELIQEKAEVKKLASFLKQASEDAKRLVDEERAFARAEIESARAAVQRVEEALQEHERMSRTSGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLEEKSKRSLLLQKELARSKRSEQNICQSYELDGSEALGSCLHISPCFDNAPELSKCSIQWYRLSTEGARKEPISGATKSVYAPEPFDVGRVLRAEIIAESLQVTLTTTGAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNCVDHKSESIHVLHVGKMRMKLCRGKTTVTKEYYSPSMQLCGVRGGGNAAAQALYWQANKGISFVLAFESERERNAAIMLARRFAFDCNIILAGPDDRSPVGT >Manes.17G056100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25409046:25411239:-1 gene:Manes.17G056100.v8.1 transcript:Manes.17G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIRCCISCILPCGALDVIRIVHSNGRVEEISGTIRASEIMKAYPKHVLKKPSSPCDDGVVPKIVVVPPDAELQRGKIYFLMPVPSTPETKKPPRSRNSSTNRRKRRENNNNNNNNNSESNNVINNSISMSTDLLISDRYLSEILSEKISTQRDRRRGRVGVWRPHLESISETPYDG >Manes.08G101500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33880472:33884557:1 gene:Manes.08G101500.v8.1 transcript:Manes.08G101500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNHNQNQNLEGQLPDEQTASQFEDWANFGDDDIMQQHSAIRSAEADKIPFLGEKEPISALAAEYESGSPILIEKIKVLAEQYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDQIKRKVEECRKTLQSLGYADFTFEDFFALFLEQLDCVLQGNESSISHDELLNRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLMNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRIVYLDRSSCDTGGVSVNHHDFIPAADDLPNSTSGDSVTKNPFITLLYRPGHYDILYAK >Manes.08G101500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33880472:33884557:1 gene:Manes.08G101500.v8.1 transcript:Manes.08G101500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNHNQNQNLEGQLPDEQTASQFEDWANFGDDDIMQQHSAIRSAEADKIPFLGEKEPISALAAEYESGSPILIEKIKVLAEQYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDQIKRKVEECRKTLQSLGYADFTFEDFFALFLEQLDCVLQGNESSISHDELLNRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLMNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRIVYLDRSSCDTGGVSVNHHDFIPAADDLPNSTSGDSVTKNPFITLLYRPGHYDILYAK >Manes.08G101500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33880463:33885412:1 gene:Manes.08G101500.v8.1 transcript:Manes.08G101500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNHNQNQNLEGQLPDEQTASQFEDWANFGDDDIMQQHSAIRSAEADKIPFLGEKEPISALAAEYESGSPILIEKIKVLAEQYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDQIKRKVEECRKTLQSLGYADFTFEDFFALFLEQLDCVLQGNESSISHDELLNRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLMNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRIVYLDRSSCDTGGVSVNHHDFIPAADDLPNSTSGDSVTKNPFITLLYRPGHYDILYAK >Manes.08G101500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33880472:33884557:1 gene:Manes.08G101500.v8.1 transcript:Manes.08G101500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNHNQNQNLEGQLPDEQTASQFEDWANFGDDDIMQQHSAIRSAEADKIPFLGEKEPISALAAEYESGSPILIEKIKVLAEQYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDQIKRKVEECRKTLQSLGYADFTFEDFFALFLEQLDCVLQGNESSISHDELLNRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLMNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRIVYLDRSSCDTGGVSVNHHDFIPAADDLPNSTSGDSVTKNPFITLLYRPGHYDILYAK >Manes.08G101500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33880463:33885412:1 gene:Manes.08G101500.v8.1 transcript:Manes.08G101500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNHNQNQNLEGQLPDEQTASQFEDWANFGDDDIMQQHSAIRSAEADKIPFLGEKEPISALAAEYESGSPILIEKIKVLAEQYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDQIKRKVEECRKTLQSLGYADFTFEDFFALFLEQLDCVLQGNESSISHDELLNRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLMNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRIVYLDRSSCDTGGVSVNHHDFIPAADDLPNSTSGDSVTKNPFITLLYRPGHYDILYAK >Manes.08G101500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33880472:33885412:1 gene:Manes.08G101500.v8.1 transcript:Manes.08G101500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNHNQNQNLEGQLPDEQTASQFEDWANFGDDDIMQQHSAIRSAEADKIPFLGEKEPISALAAEYESGSPILIEKIKVLAEQYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDQIKRKVEECRKTLQSLGYADFTFEDFFALFLEQLDCVLQGNESSISHDELLNRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLMNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRIVYLDRSSCDTGGVSVNHHDFIPAADDLPNSTSGDSVTKNPFITLLYRPGHYDILYAK >Manes.08G101500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33880472:33884557:1 gene:Manes.08G101500.v8.1 transcript:Manes.08G101500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNHNQNQNLEGQLPDEQTASQFEDWANFGDDDIMQQHSAIRSAEADKIPFLGEKEPISALAAEYESGSPILIEKIKVLAEQYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDQIKRKVEECRKTLQSLGYADFTFEDFFALFLEQLDCVLQGNESSISHDELLNRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLMNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRIVYLDRSSCDTGGVSVNHHDFIPAADDLPNSTSGDSVTKNPFITLLYRPGHYDILYAK >Manes.08G101500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33880472:33885412:1 gene:Manes.08G101500.v8.1 transcript:Manes.08G101500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNHNQNQNLEGQLPDEQTASQFEDWANFGDDDIMQQHSAIRSAEADKIPFLGEKEPISALAAEYESGSPILIEKIKVLAEQYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDQIKRKVEECRKTLQSLGYADFTFEDFFALFLEQLDCVLQGNESSISHDELLNRSRDQSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLMNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRIVYLDRSSCDTGGVSVNHHDFIPAADDLPNSTSGDSVTKNPFITLLYRPGHYDILYAK >Manes.14G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:631588:634252:-1 gene:Manes.14G010200.v8.1 transcript:Manes.14G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTPQIPQEKEPSKTVTMETQNAKVDDDKSDSKEEDDQKPKEIAVVEEKKLADEEKNEASSSGLQKSSSFMEESNLLSDLKDHEKKALSELRSKIEEAILKNELLQEKKQQEKEASSRRDREDADQKEKSTGTDKKEDDSEKKEQPKQVEGEGEGGTTSNQEKEEETPATNTGEKEIAAKESKEDTSDKQAEKEEKTREKEGEEAKENAKQESFADQTVDKDISLWGVPLLPSKGDNRTDTVLLMLLRAREFKTSDAFEMLRSILKWRKENKIDSILEEDIEADLGSMAYMEGNDRNGHPVCFNIFAVFGNDELHGKTFEEKREKFMWGRIQLMEKGIRKLDFKPGGASAILQINDLKNTPLPTKKELRNATKKAVELLQDNYPEFVAKNIFINVPFWYYAYSALFSPTLSPRSKSKFVYARSAKVTDTLLKYIAASQIPVQYGGLKRETDSEFSVDDDAQEAIVKAGSQETIEIPAPETEQTLIWDLTVSGWEVNYKEEFVPTDEGSYTIIVQKGRRITWQDGTIRNSFTNKEPGKLVITIENGAFKKKRILYRYKTRTLSSS >Manes.02G218300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34100813:34110137:-1 gene:Manes.02G218300.v8.1 transcript:Manes.02G218300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAKSLPILLPSTPPSHSTTRFLKHVFPYGFSNPRISISLSRVFDSGDFTLTRSILHAAVTRIVDNVPAPTLTTTTFTVEIPVTCYQLVGVPDQAEKDEIVKSVMQLKSADVEEGYTMEAVIARQDLLMDVRDKLLFEPEYAGNVREKIPPKASLRIPWAWLSGALCLLLEAGEDKLVLDIGRSALHHPDAKPYIHDLLLSMALAECAIAKIGFEKNKVSHGFEALARAQCLLRSKISLEKMALLYEIEESLEELAPACTLELLGLPHSPENAERRRGAIAALRELLRQGLDVETSCRVQDWPAFLSQALNRLMAVEIVDLIPWDDLALVRKNKKSLESQNQRVVIDFNCFYLSLIAHIAVGFSSRRTELINKAKILCECLMTSEGIDLKFEEALCLFLLGQGNESQAVEKLHQLELNSNPASRSLLPGKEITDVSGVKPSLETWLKDAVLSIFPDTRDCSPSLVKFFGDEKRTLASKKKKVYPQMTPALDHKPLSAIALKQMERRESLPNMNSTQQLSSTVKQLAPTDLQSSLILEKNVSGGNVSEASVQLKRNLGVQNARGWERWLTYSDVVGKITFVGVLSFIVFFVFKLSGMNLKRMRIASNLPFSKPSMNSRFLDCTTDLSFECNVEPACISGRSITGRMKKLLATIRKQFQKQSNHRKLHSSGLVANQSSRMTTVSRKEMPIEEAEALVLQWQAIKAEALGPNHQVHSLSEVLDESMLAQWQVLANAAKSKSCYWRFVLLQLSVLQADILLDEYGVEMAEIEALLEEAAQLVDESQQKNPNYHSTYKTHYVLRRQDDGSWKFCEGDIRTQS >Manes.02G218300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34099822:34110137:-1 gene:Manes.02G218300.v8.1 transcript:Manes.02G218300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAKSLPILLPSTPPSHSTTRFLKHVFPYGFSNPRISISLSRVFDSGDFTLTRSILHAAVTRIVDNVPAPTLTTTTFTVEIPVTCYQLVGVPDQAEKDEIVKSVMQLKSADVEEGYTMEAVIARQDLLMDVRDKLLFEPEYAGNVREKIPPKASLRIPWAWLSGALCLLLEAGEDKLVLDIGRSALHHPDAKPYIHDLLLSMALAECAIAKIGFEKNKVSHGFEALARAQCLLRSKISLEKMALLYEIEESLEELAPACTLELLGLPHSPENAERRRGAIAALRELLRQGLDVETSCRVQDWPAFLSQALNRLMAVEIVDLIPWDDLALVRKNKKSLESQNQRVVIDFNCFYLSLIAHIAVGFSSRRTELINKAKILCECLMTSEGIDLKFEEALCLFLLGQGNESQAVEKLHQLELNSNPASRSLLPGKEITDVSGVKPSLETWLKDAVLSIFPDTRDCSPSLVKFFGDEKRTLASKKKKVYPQMTPALDHKPLSAIALKQMERRESLPNMNSTQQLSSTVKQLAPTDLQSSLILEKNVSGGNVSEASVQLKRNLGVQNARGWERWLTYSDVVGKITFVGVLSFIVFFVFKLSGMNLKRMRIASNLPFSKPSMNSRFLDCTTDLSFECNVEPACISGRSITGRMKKLLATIRKQFQKQSNHRKLHSSGLVANQSSRMTTVSRKEMPIEEAEALVLQWQAIKAEALGPNHQVHSLSEVLDESMLAQWQVLANAAKSKSCYWRFVLLQLSVLQADILLDEYGVEMAEIEALLEEAAQLVDESQQKNPNYHSTYKTHYVLRRQDDGSWKFCEGDIRTQS >Manes.17G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23722461:23726446:-1 gene:Manes.17G043700.v8.1 transcript:Manes.17G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLIVAYPISYTNFQLSPSNFLFHFPSLSPGNTKLIHVSLAKSFCIPKPTNCSTISSPATSGSVSCGGWDDLSLGGDLVSSGESNQLRDFLVSRGIDDKQHVFMFLLGTFCAFAISRVRVSSIIIFPASVLIFAIGFSLGFLRGGSFTEFSVNASKKRTKDESFRVHAERLRSLVGVFDGFYAKVDDLKNSIQRAIDAKEIELTDLENYMNVIGLIQASALHSKNVVEATIDGIGNSSSVLENQKSSSRKKKEIGEVGLEILQFVGGLFGAKLVDSKPNKVKDKDNVKQGAVQGVANDQAQGNSSTLVMEDGNSVDNDKGNRSSMYTKDLKNKSALDWDSERRNRIISENAKMNTGEKAGNVKRSVDSEEYSYHSSRMRFVDNQSVSWKMNQNNKTETWKSNDNLRDSMDFDFSYKHMETESSFVQEQMLKQSSGAYKSSHSRKINDETYRSQFREEGLNDDFWLADHHSVWDSEIGPSSSSVVSDDVMFDRYITEANNLLKQAKEYIRIKHDEEHVDIILYKSAKLFSKALTMKPMSLLAIGQLGNTYLLHGELKLKISRELRTLLSRRDPLSFENRRTVVKGLDEQVANKDKIASALVNVCEECEGLLVEAGRKYRMALSIDGNDVRALYNWGLALSFRAQLIADIGPEAAFDADKVFLAAIDKFDAMMSKGNVYAPDALLRWGVVLQQRSRLRPRNSKEKVKLLMQAKRLYEDALDMDSNNLQVREALYSCVAELNRRLL >Manes.01G004200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2138950:2139612:-1 gene:Manes.01G004200.v8.1 transcript:Manes.01G004200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHLSTLRLIPQSELSETDLLRLRRASSFQVYINLSYHVHRRWICLSPDGTPTTLRRLREQPASVGFWFDFSLFKNKNKRALFKAISPTLDHLGVDSRCHVRLVLDIMEKVNQISCRISKKRKVLALEFKIASKKITLLDERRMMEGNNGMVPTARKSRILKCVKVGENENCSICMDEIVEFGASMPCGHHFHGTCILKWLENSHYCPVCRFEMPTET >Manes.18G007160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1026753:1029667:1 gene:Manes.18G007160.v8.1 transcript:Manes.18G007160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELTVAVNLPKEEFPAISAPPSQLHCLSITRSSLLDIAPKSPLISSRLMTPIASPMKKAITSVQCYLEEVGHFTRLDPQEAWLPITESRSGNAYYSAFHTLSSGIGVQALVLPLAFTALGWTWGLACLSLIFMWQLYTLWLLIQLHECESGMRYSRYLRLSMAAFGEKVGKLLALFPIMYLSGGTCVTLIMIGGGTMKIIFQIFCGDTCNVKPLTTIEWYLLFTCSAIILAQLPNLNSIAGVSLIGAITAVSYCTLIWVVSLVQGRPVNVSYDTPQSKSDLASFGTILNALGIIAFAFRGHNLVLEIQGTMPSDSKQPSRLPMWRGVKFAYLIIAMCLFPLSICGYWAYGNLIPGNGGILTALYKYHEHGTPNSLLGTTSLLVVLNCLSSFQIYAMPVFDNLEIRYTSKMNRPCPRWLRSGLRVLFGCLAFFIAVAFPFLPSLAGLIGGMALPVTLAYPCFMWTIIKKPQKYGAIWCLNSILGVLGMILSLLVVTGAIWTIVTMGIEIHFFKPQ >Manes.15G107234.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8509666:8512834:1 gene:Manes.15G107234.v8.1 transcript:Manes.15G107234.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVASTREPYFEIPIKHFQGKPHRFPFSSVLAVVVSLCFVSCGFCDELALVSVPLGFEISGFDRTRTWVSQNGVFAFGFLECCQKDDDGFLVGVRYNLGDKTVNMPVWAIGGGLRVSMNSTIRLSMDGGLILFENPSGLIVWSSSTSNLGVKKATLLDNGNLVLVGNGDRVLWESFHSPTSTLLPGQTLHFPQTLRAPSMNSISSYYTFVIQRSGELALVWENNVTYWRTHLSFSSNIMEARFDADGFLGLKDATNRTIWSTSSNDFKDPSVTLRHLRMDYDGNLRIYSWDHVLHEWKITWQAVGNQCDVFGSCGLYGFCRFKSTGPVCDCLYQDSSNWGASSVTMDSGGLKCKKMVDLSNCKMNTSMVDMKQSVLYGLYPPQDVAMMLNEKDCKGYCSNDPTCIAATSKNDGSGICTLKRTSFISGYRNPSIPATSFLKVCLVPQAVLTQQSDPYFNPKSIFSLSKGFIDHEGDNKKFVGAIAFIVLVTVSSFLTLEMFVFWFMYRRQKIKAQARIPFSKDDQMNAHYSVLIRLSFKEIKELTGNFADQLGPTIYKGVLPNKRPFIAKKVNDASVNEKDFRMTVSMLGGMHHRNLVSLNGFCFEASHRFLLYEYVPNGSLDNWLFNMQQVQNDGNWQQRFDIALGVARALAYLHSECQVCVAHGNLKLENVLLDEKLVPKLTDFGLRSLLQKEAASSSESTSEIDIYMFGEMLLQIVTCKRDISSDSLQRLADSMNEKLNLEDCMDSEGMARVTRIALWCMQNQPFRRPSIVEVVKVLEGALSVDTPPFPFAVRQYQMDEAVLTEVQGESQTKDNFD >Manes.06G081800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21705597:21712423:-1 gene:Manes.06G081800.v8.1 transcript:Manes.06G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSTDSCSMERILERYERYSYAERQLVETATETNASWTLEHEKLKARIEVLQRNQRHFMGEDLDNLSFKELQSVEHQIDSALKHIRTKKNQLMYESISELQKKDKALQEQNNQLAKKVKEKEKEIAQQTQKEQQSHTSVDSSTIQPPPMQPLNLRGNRGEDETTPMQHRSNTLLPAWLLPHMNE >Manes.06G081800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21705597:21707798:-1 gene:Manes.06G081800.v8.1 transcript:Manes.06G081800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILERYERYSYAERQLVETATETNASWTLEHEKLKARIEVLQRNQRHFMGEDLDNLSFKELQSVEHQIDSALKHIRTKKNQLMYESISELQKKDKALQEQNNQLAKKVKEKEKEIAQQTQKEQQSHTSVDSSTIQPPPMQPLNLRGNRGEDETTPMQHRSNTLLPAWLLPHMNE >Manes.06G081800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21705575:21712423:-1 gene:Manes.06G081800.v8.1 transcript:Manes.06G081800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYSTDSCMERILERYERYSYAERQLVETATETNASWTLEHEKLKARIEVLQRNQRHFMGEDLDNLSFKELQSVEHQIDSALKHIRTKKNQLMYESISELQKKDKALQEQNNQLAKKVKEKEKEIAQQTQKEQQSHTSVDSSTIQPPPMQPLNLRGNRGEDETTPMQHRSNTLLPAWLLPHMNE >Manes.06G005500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:987482:1006898:1 gene:Manes.06G005500.v8.1 transcript:Manes.06G005500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKQVIIEGFKSYREQVATEPFSPKINCVVGANGSGKTNFFHAIRFVLSDLFQNLRSEDRHALLHEGAGHQVLSAFVEIVFDNYDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDRQRKSLEYTIYDKELHDARQKLGEVEEARNKVSETSAKMYNSVLDSHERSKDLDKMLKDLTKEVQGLNKEKEIVEKRQTEAIKKQTELELDVKDLQERISGNVQAKEDAMKQLDMLQREIQDSMEELDKISPLYENQVTKEKDITKGIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLQRVLSSNLAQEQKLEDEISKLHVDLEERDAYIENRKADIAALESLISESREGFNNHKAQRDKLQDERKSLWGKESALIAEIDKLRAEVEKAEKSLDHATPGDVRRGLNSIRRICRDYKINGVFGPIIELLDCDEKFFTAVEVTAGNSLFHVVVENDEISTQIIRHLNSSKGGRVTFIPLNRVKAPRVTYPQSSDVIPLLKKLKFSPNFTPAFSQVFARTVICRDLDVATRVARTDNLDCITLEGDQVSKKGGMTGGFYDHRRSKLKFMNIIMQNTKSINLKEEELEKVRSMLQDIDQKITERVTEQQKIDAKRSHDRSELEQLKQDIANANKQKQFISKALEKKGKSLADVRTQIDQLKGSMAMKQAEMGTELIDHLSPEEKDLLSRLNPEIADLKEKLIACRTDRIETETRKAELETNLTTNLKRRKQELEAIISSAETDLSYNEADLKRQELTDAKSLVEVTTQEMKRVSDSIDEITKQLKKIKDEKIKLKALEDNYERTLQDEAKELEQLLSKRNILQAKQEEYSNKIRELGPLSSDAFETYKRKSIKELHKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDAGDEKIRELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDQGDDDYDDDGPSEADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRSELVKVADKIYGVTHKNRVSRVNVVSKEDALDFIEHDQSHNAE >Manes.12G094800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21068676:21074157:1 gene:Manes.12G094800.v8.1 transcript:Manes.12G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEETQLALIIIASILLITSTRAHSHDLSIQCRKSCGTGDSIRWVPYPFGFSDGCSIRLNCSHQTGDIRIGDFQVQNITPNGVLVSLPAECNRSFELIKPLFGHYYAPAWRNGLLLQNCSRSLNSCLIPTSAFSNQLQLKSCDAKSDNLSCYSRQKSGIDILRYENLSSMDCKFIFSSFAVGSNVPILSLEFERIELDWWLQGNCTADSCSENSNCTEVTLGDGKIGYRCQCNKGFAGDGFKVNSREGAKGCRRVSGCNASKYINGDCGGTTRVGVLVGGVIAGALLMAGLALICYFVRQRSTSMRNRLSAKRLLCEAAGNSSVPFYAYKEIERATNGFSEKQRLGTGAYGTVYAGKFHNDDWVAIKKIRHRDTDSIDQVMNEIKLLSSVSHPNLVRLLGCCIEEGEPILVYEFMPNGTLCQHLQRERGIGLPWTIRLTIAAETANAIAYLHSAMNPPIYHRDIKSSNILLDYNYKSKIADFGLSRLGMTELSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITGLKVVDFSRPHSEVNLAALAIDRIGRGCVDEIIDPYLDPNKDAWTLSSIHNVAELAFRCLAFHRDMRPTMMEVAEELENIRLSAWVPNMYLASPAASTCSSMDNGSEKSLSVSSVKKAGVASRRLLVQQRAVDCLTSLEEVKDSSPVSVQDPWLSEQSSPSTNSLLGNAVQ >Manes.15G082700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6357501:6362537:-1 gene:Manes.15G082700.v8.1 transcript:Manes.15G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHQENSSAAHMQDFTDEDSQNEGVLEDEDEEEEEEEEEEEEEGTTQDCRSKLFMCGGYGGDSRRRGWFLGKMLDPRARWVQEWNRVFLLVCATGLFVDPLFFYALSVSDTCMCLFIDGWFAITVTVLRCMTDALHLWNMWLQLKMDRKPSSGGGGENNGTRSGPRSMTSPSSVALMYLKAKRGFFFDLFVILPLPQIVLWVAIPSLLEKGSVTVVMTIFLIIFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRAAKCLKEQCRETQGCGLRLLSCRETIYYGTTNKVRDGARLAWADNKVARATCLDSSDNYDYGAYKWTVQLVTNDSRLEKILFPIFWGLMTLSTFGNLESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRHLPQGFRHRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTQETTEAFGLEAEDVKYVTQHFRYTFVNERVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNQDDFDF >Manes.08G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1472271:1484743:-1 gene:Manes.08G013600.v8.1 transcript:Manes.08G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEELRQAIEKVMIVDAHAHNIVPLDSSFPFINAFSGAAGEALSFVPHSLSFKRNLREVAELYGCENSLQVVEEHRISSGLESIMVKCFEAAGISAVLIDDGFKLDKMHDVQWHKNFTPFVGRILRIERLAEAILDKELLDGSTWTLDKFTETFMENLRSSANKIVGLKSIAAYLSGLEINTNVTKKDAEEGLAEVLHAGKPVRLVNKSFIDHIFTRSLEVALQFDLPMQIHTGFGDKGLDLRLSNPLHLRMVLEDERFTNCRFVLLHASYPFSKEASYLASVYAQVYLDIGLAVPKLSVHGMISSLKEILELAPINKVMFSTDGYAFPETYYLGAKKTRECIFTVLRDACCDGDLTVGEAIEAAKDILARNAIKLYKINMGVKAFKSKDIVSANSVNIDNSSSDSGVSLVRILWVDASGQHRCRVVPLKRFNNVVKKNGVGLSFASMAMTSFADGPADETNLTGAGGIRLIPDLTTKRRIPWMEVEEMVLADMQLRPGEAWEYCPREALRRVSKVLKEEFNLVMDAGFENEFVLLKRVTQEGKEEWVPIDLVPYCSASGYDSAAPLFHEVVAALQSLNIIVEQLHAEAGKGQYEIVLGHTACTHSADNLIFSREVIRAIARKHGLLATFVPKYALDDLGSGSHVHISLCQNGENVFMASGSSRHGISTVGEEFMAGVLHHLPSILAFTAPIPNSYDRIQPNTWSGAYQCWGKENKEAPIRTACPPGIKDGFISNFEIKCFDGCANPYLSLAAVLAAGIDGLRRHLSLPEPVDVNPLYLDGKVNRLPKSLSESLEALKKDDVLEDLIGKKLVIAIKGVRKAEIEHYSKNKDAWKQLIHRY >Manes.02G037701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3119047:3119460:-1 gene:Manes.02G037701.v8.1 transcript:Manes.02G037701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTSSTALLLTFNLLFFTLVSSTYCPPPTPKDHGGHPIKPSPVPSNKGSCPRDTVKLGVCVNLLKDLLSVTVGTPPKTPCCSLISDLVDLEAAVCLCTTIKASILGINLNLPVNLSLLLNYCGKKVPEGFKCA >Manes.08G155900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39294591:39301505:1 gene:Manes.08G155900.v8.1 transcript:Manes.08G155900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSGGGSEEGDSLEFTPTWVVAAVCTVIVAISLAAERFLHYGGKYLKMKNQKPLFEALQKVKEELMLLGFISLLLTVSQGTISKICVPEHVITNMLPCDLSEKRKGGEESNTTATTEHFQRFFTTGISGTARRLLAESTESQIGYCAKKGKVPLLSIEALHHLHIFIFVLAIVHVTFSVLTIVFGGARIRQWQHWENSIAKDRYDTDELLKKKVTHVHQHTFIQEHFLGIGKESALLGWVHSFFKQFYACVTKSDYVTLRLGFITTHCRGNPKFNFHRYMVRALEDDFKTVVGISWYLWIFVVVFLLLNVNGWHTYFWIAFIPFILLLAVGTKLEHVITQLARDVAEKHVAIEGDLVVKPSDEHFWFNRPDIVLFLIHFILFQNAFEIAFFFWIWVQYGFDSCIMGQVRYIVPRLIIGVIIQVLCSYSTLPLYAIVTQMGSSYKKAIFEEHVQAGLVGWAEKVKRKKGLKAAAAAAAAKEGPNQSSSHDSSLGIQLGRIGRNGSAPQEIQPSAGSEGQT >Manes.08G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39294591:39301505:1 gene:Manes.08G155900.v8.1 transcript:Manes.08G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSGGGSEEGDSLEFTPTWVVAAVCTVIVAISLAAERFLHYGGKYLKMKNQKPLFEALQKVKEELMLLGFISLLLTVSQGTISKICVPEHVITNMLPCDLSEKRKGGEESNTTATTEHFQRFFTTGISGTARRLLAESTESQIGYCAKKGKVPLLSIEALHHLHIFIFVLAIVHVTFSVLTIVFGGARIRQWQHWENSIAKDRYDTDELLKKKVTHVHQHTFIQEHFLGIGKESALLGWVHSFFKQFYACVTKSDYVTLRLGFITTHCRGNPKFNFHRYMVRALEDDFKTVVGISWYLWIFVVVFLLLNVNGWHTYFWIAFIPFILLLAVGTKLEHVITQLARDVAEKHVAIEGDLVVKPSDEHFWFNRPDIVLFLIHFILFQNAFEIAFFFWIWVQYGFDSCIMGQVRYIVPRLIIGVIIQVLCSYSTLPLYAIVTQMGSSYKKAIFEEHVQAGLVGWAEKVKRKKGLKAAAAAAAAKEGPNQSSSHDSSLGIQLGRIGRNGSAPQEIQPSAGSEGQT >Manes.01G236200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39851210:39855247:1 gene:Manes.01G236200.v8.1 transcript:Manes.01G236200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFAVSVYCSSFPCVLLQTSTASTSPSLFLSKFPFLSSQTTSSASLIYLLPQSRPIPHCSNTDPGLLDEYYDDDDDHDDDDDHDDDDDHDDDEGYSFVGEDGIFIEIKKLARNSRRIRSKIVVNANLDTIWDILTDYEKLADFIPGLAVSKLIDKKDKFARLYQIGQQNLPFGLKFNAKAILDCFEKDIETFASGKRRDIEFKMTEGDFQFFEGKWSIEQVNKPRPEDSNSSLAQEFETTLSYLVDVKPKLWLPVHLVEGRLCKEIQTNLSCIREEAQKVIHNTLNTQ >Manes.08G064600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:9154255:9155277:1 gene:Manes.08G064600.v8.1 transcript:Manes.08G064600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAKRYRIGYALVPKKVQSFIQPSLINLASRHNIDLIAIDPSKSLIEQGSFDCVIHKLYSSDWKLQLERFSLENPNVPIIDSPDSIERLHNRISMLEVVRRLNISKRSEVLDVPKQVVVLDSENLKGNGVIGELRFPILAKPLVADGSAKSHEMYQIFDSEGLKRLDAPIILQDFVNHGGVIFKVYVAGDYVQCVKRKSLPDISQEKLATLKGSLPFSLISNLTVPEKNEGFDIVVDLEKVEMPPLGFVEEVAKAMRQETGLNLFNFDVVRDAKDGNRYLVIDINYFPGYAKMPNYESILTKFFLDLVHDKKSREMLAGNIEDGDQQSNIGGKKGTTD >Manes.08G064600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9154012:9160609:1 gene:Manes.08G064600.v8.1 transcript:Manes.08G064600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAKRYRIGYALVPKKVQSFIQPSLINLASRHNIDLIAIDPSKSLIEQGSFDCVIHKLYSSDWKLQLERFSLENPNVPIIDSPDSIERLHNRISMLEVVRRLNISKRSEVLDVPKQVVVLDSENLKGNGVIGELRFPILAKPLVADGSAKSHEMYQIFDSEGLKRLDAPIILQDFVNHGGVIFKVYVAGDYVQCVKRKSLPDISQEKLATLKGSLPFSLISNLTVPEKNEGFDIVVDLEKVEMPPLGFVEEVAKAMRQETGLNLFNFDVVRDAKDGNRAEKCLLETLKMETSKAI >Manes.08G064600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9154255:9155251:1 gene:Manes.08G064600.v8.1 transcript:Manes.08G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAKRYRIGYALVPKKVQSFIQPSLINLASRHNIDLIAIDPSKSLIEQGSFDCVIHKLYSSDWKLQLERFSLENPNVPIIDSPDSIERLHNRISMLEVVRRLNISKRSEVLDVPKQVVVLDSENLKGNGVIGELRFPILAKPLVADGSAKSHEMYQIFDSEGLKRLDAPIILQDFVNHGGVIFKVYVAGDYVQCVKRKSLPDISQEKLATLKGSLPFSLISNLTVPEKNEGFDIVVDLEKVEMPPLGFVEEVAKAMRQETGLNLFNFDVVRDAKDGNRAEKCLLETLKMETSKAI >Manes.18G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15588791:15592641:1 gene:Manes.18G126900.v8.1 transcript:Manes.18G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLLEKTLLGLFVAVIVAIAISKFRGKRFKLPPGPLPVPVFGNWLQVGDDLNHRNLFDLAKKFGDIFLLRMGQRNLVVVSSPELSKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWEYEAAMVVEDVKKNPEAATSGTVLRRRLQLMMYNNMYRIMFDRRFESEDDPLFVKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDYFVEERKKLASTKSMDNEGLKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKLRHELDTVLGPGHQITEPDTHKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDIPAESKILVNAWWLANNPAQWKNPEEYRPERFLEEESKVEASGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSKLDTTEKGGQFSLHILKHSTIVCKPRSF >Manes.09G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22549760:22553133:-1 gene:Manes.09G085100.v8.1 transcript:Manes.09G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFREAFLYVVILVLGSFLVAESAIGVNWGTVSFRKLKPSTVVDLLKDNNIEKVKLFDADPEALGALMGSGIQVMVGIPNEMLAAISSSTAVSDLWVRQNVSRYLVKGGVDIRYVAVGNEPFLTSYSGQFQSYVIPALLNLQQSLAKANLAGYVKLVVPCNADAYESSLPSQGTFRPELTEIITQLLSFLSSNGSPFVVNIYPFLSLYGSTDFPQDYAFFDGSTHPVTDGPNVYDNAFDGNFDTLVAALNKLGYGQMPIVIGEVGWPTDGAISANLTAAKAFNQGLIYHVLSNKGTPLRPGAPPMDVYIFSLLDEGAKSTLPGNFERHWGIFSFDGQAKYSLNLGLGNKELKNAKNVEYLPSRWCVADANKDLSGVTNHMRIACSVADCSTLNYGGSCNGIGAKGNISYAFNSYYQLQDQNAQSCDFDGLGMVTFLDPSVGDCRFLVGVTDTSSGFGPCPRWIMIWILMIWGLCVFLI >Manes.09G188800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37487889:37491416:-1 gene:Manes.09G188800.v8.1 transcript:Manes.09G188800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNKLLNTGILIVATLLVAKLISAFIMPRSRKRLPPVVSGWPVVGGLFRFLKGPIVMLREEYPKLGSVFTVNLAHWKVTFLIGPDVSAHFFKAPEADLSQQEVYQFNVPTFGPGVVFDVDYTVRQEQFRFFTESLRVNKLKGYVDQMVMEAEDYFSKWGESGEVDIKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPVSVLFPYLPIPAHRRRDRARKRLAEIFANIIASRKLAGRSESDMLQSFIDSKYKKMVVQQVNLRLRACSLLLSLLGSTPVPLPPLGLGHTSGVTRST >Manes.09G188800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37488118:37491416:-1 gene:Manes.09G188800.v8.1 transcript:Manes.09G188800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNKLLNTGILIVATLLVAKLISAFIMPRSRKRLPPVVSGWPVVGGLFRFLKGPIVMLREEYPKLGSVFTVNLAHWKVTFLIGPDVSAHFFKAPEADLSQQEVYQFNVPTFGPGVVFDVDYTVRQEQFRFFTESLRVNKLKGYVDQMVMEAEDYFSKWGESGEVDIKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPVSVLFPYLPIPAHRRRDRARKRLAEIFANIIASRKLAGRSESDMLQSFIDSKYKKMVVQQVNLRLRACSLLLSLLGSTPVPLPPLGLGHTSGVTRST >Manes.14G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:515627:519500:-1 gene:Manes.14G009000.v8.1 transcript:Manes.14G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPTTFSLLKTTASSSSTIRARASLLPVSSTGLRSTGLRRLGFSAADPVFGHHVASKIHSFGSGKASRAVVSMAKKSVGDLTAADLKGKKVFVRADLNVPLDDNQKITDDTRVRAAIPTIKHLIQNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKADDCIGPEVEKLLASLPEGGVLLLENVRFYKEEEKNEPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLEKAKSKGVSLLLPTDVIIADKFAPDANSKVVPASAIPDGWMGLDIGPESVKTFNDALETTKTVIWNGPMGVFEFDKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVLALDEATPVAV >Manes.16G107600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31285520:31287621:1 gene:Manes.16G107600.v8.1 transcript:Manes.16G107600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQAYVDDHLMCEIEGNHLSAAAIIGQDGSVWAQSSNFPQFKPEEITGIMNDFNEPGTLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTNQALIIGIYDEPMAPGQCNMIVERLGDYLIDQGL >Manes.04G142500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34082135:34124431:1 gene:Manes.04G142500.v8.1 transcript:Manes.04G142500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSYSLESAVKRFLSRCPELARIQKLNDLVKKGHMVTEEEVINSVAELFVNPNYTIPLIGCLRPIARKIIDAAVSLLGQCNLSSNLDNTLVDSRELVKGEVAYFIDHFNNSGRGLTLHEFACLALCRAIDLDHSLLGSASAYFKFASPPFERIWRKKIVAEQFESVSDCLLASQVSYRLLLLECEFFSVRWDWSCFLELVKVTLNLDVGHGSQSEEISDIRWCGMQIMSIILKMSDKAIENFGVGAEEAASCLLRWEEFCQDVAMEKAGFYVESSENTMLDSSIIGRVAFSQQNFPSSLDHNSLVSLQFHKLEPVIKSRRLVNWNDESGSYPFVVTSTMKRSFDMVLLAVSQRWPVLLYGPAGAGKTALISKLAQDHGNQAVLSIHMDEQIDGKTLIGTYICGEQPGEFRWQSGSLIQAVVNGYWVVFEDIDKAPSDVQSILLPLLEGASFFVTGHGEEIRVAESFRLFSTISTSRIDVQCNAEGGNLLSTLWRRVMIGLPNCDDLQSIVKAWYPKLKPLAGKLIETFERVNYVSLHHIMGFQSGDLTLFGSLSRFSLRDLLKWCKRIVGLGFCPMGDVLTAYQCHCIYQEAVDIFAAFSPSSETRTKVMRDIAKLWTVPFPEAGILYPHKPEIQGSLAELRIGRVALQHAETLLHGQGRLVQMRSSLHVLERISCSVKYNEPVLLVGETGTGKTTLVQNLAKMVGQKLTVLNLSQQSDVADLLGGFKPIDPQSICVLLYREFESLFSKTFSVKENDKLFAYLQKQIRKKNWVMLLNAFRKYVDNFQKKVRIERSGSGKKRKKPLDDEEMQRAWDNFSVKLETAHGQIGASSGMVFSFVEGAFVTALRNGDWILLDEVNLAPTETLQRINGVLEGDYGSLCLAERGDVTHIPRHPSFRIFACMNPATDAGKRDLPYSLRGRFTEYFVDDVLDKEDLKLFVNKFMEETRSNAELEQRVIDFYEIAKVNSEEKLQDGANQKPQYSLRSLYRALEYAREAKGKFGFQKAVYDGFQMFFVTMLDGPSAKIIKKMIEDKLLGGIKPSPVTFDAYLPVKKDCSSDDFLGNYVLTKSVKKQLENLARAVFIRRYPVLLQGPTSSGKTSLVHYLAARTGHGFVRINNHEHTDLQEYLGSYISDAHGKLIFHEGILVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETVHAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDYELNTIIEKRCKIPGSRAKIMVEVMKELQLHRQRSKVFAGKHGFITPRDLFRWANRLRTFGDSKEVMAEYGYYLLADRLRDEGEKLVVQEVLEKHLRVKIIKDNLYKDLVGVDSKSLGNIILTKSMRRLSFLLKRCYELREPVLLVGETGGGKTTVCQLLSTMLGSKLHILNCHQYSETSDFLGGFFPIRERSRLTSEFKYIIERLMQSKAYVHFPELLEISLDIGQAASTLDHLAAIITSYRHGQVSCPDVTAEDINTLEEMKLNLSQLHQKWKTIFVWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMEEITAHPNFLVLATMNPGGDYGKKELSPALRNRFTEIWVSPVSDLDELRDIASKRFSNPAFSYIVDAMINFWQWFNQLQVGRMLTVRDLLSWVEFINMTEGSLGPDYAFFHGLFLCLLDGLSLGTGISREDAALLREKCLSFLVKQLEVVDNTTLHKLSTMENYGWGDLGTTLDVSCTDDMLHDTVFGISPFYIGKGSENCETSGFEFLAPTTRRNALRVLRAMQIPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQSDLMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPSSFRVFACQNPFSQGGGRKGLPRSFLNRFTKVYIDELVEDDYLFISSSLYPSIPKPVLSKLIFFNKRLHEDTMIHHKFAQDGSPWEFNLRDVIRSCEIIQGAPDRLKFDCFVDIVYVQRMRTPADRKEVLQLFEDIFEFKPLINPYPRVQLNSNYLIVGNASVKRNSFYPSKLNSSQLTIVPSVRHSLEAALHCVQHQWLCILVGPPSSGKTSLIRLLAELTGNILNELNLSSATDISDLLGCFEQYDAYRNFRCICAQVERYVSEYCSILLEFSKTTFCERKDVITKWLAFLSCMGSSSLSTSNILENWKSVATSLNLLVDIIEQLKLDVVNNGLPVSWSSNKLNKTVETILKLQEYLQRRQFSAKFEWVAGLLVKAIENGEWVLLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGNPLVLRPHPNFRMFLTVNPTLGEVSRAMRNRGVEIFMMQPYWLLNEEKSAEFELKDVKRFLVLSGVPVGKLVESMAKAHVYARNEGLRLNIQITYLELARWIKLFHQLLLNGSQPLWSLQISWEHTYLPSLGEAVGWDIINHGKFAFLSMAKLSESDFPVELSLHLPGGWPMPLKLRDYVFYSKEASVKQNCMYLGYLMSQYELGIKRDNVGLDQVFSTSHGGAYLIDLKRIHKFMFPMSLSWVFSSSYGNVEFDLKLTKKMLLFAADWTIEQATAIDYKLYRLWFNWLSSKLEHGHFFHCYENLLKQEFEHPIWKCIFHCHHELGSLHQVELNLWPVPLLSLDFVDLRPSNDMSNRLCKLLSNAINCVSLLRISFQQWNAQDVHGYGYEAQHFKMVLKPLQDLEKEILNMLVTSPAYDVLIKLYSKLLDDHMAFWHAFISSHFEQLLLSWHSLVKDVSKFRDFCPKAVENVLMMGSKHLDREFHLGSRQSLLWIHGGHPILPSSAKLYHKQQHLLDLCELTWPTHRNPYKQVDDELIELAASSDPELRSLAVQGICMSLYITSKSDEDNVKVTEQLEEMHQMLSERFRYEKQKLRAKLQLDEHAIFERNSASCCVFVPEILGLKCGFTSWQEALPIIDSTSFFLDMELLQNLLTIVLVDPQGLQQALGGVSDLLESALKFSLTLSGRPPQNFIPHQKVLWTLEAWAPEDAVNAKVASYVLEMWFWWHLSLWNHYPVFLEDSSKMGGCDIPLPALLAKPVKTASVIHIVQDSCSIKDYFAHLWKLKVASKNIWESPPTGTNVLGILLSVARSLFQQIIYVHKREFDADKFSAINSIFCSFQKNMITQDKVQNLGVLIASSSDQSLNSLFYLFIEPLLRDLYIHCHSTDFHLNIGYAWLRVGGLRFSLLHSCQDMDPAMKYSYKYSQLEERISSLELEIKVRQECEYLAGCFSSRKTDKKRVETLQMLEAEQKKLQRKMVFRSKPLKFNALRKECNEFLKLVIMVVDLASKIEVMELQQVLDQVCNWQETASCFVKRLSTEYKEYNDVAQPIQVAVYEMKLGLSLILSGALWKKNLDRTGVDSTEQVMESVCSFMKFPRGYILESISFNDIDSPVNFWEREINLLEKLVSISSDVNVERGVSVLQLKTALRLNILVHVVHFVADAKKIDNASFKILDKMFNEFASMWMETKVQVKSKEGHDIQQYKFRPRAFEIRHLVDVDMSTFGKLANDNFSEWLELLSEDECLEKVETVTQYENLEEEWNLMQESLLNNMIQLHNKLFGSANLVLNPGTFSISEADRLLLFANSYSLGAGITKGLGGLVSSCLDAKLMPEHLLRLCFEHERIFVSSHKSSTNYNFYKDSNASEMAKMVKSLATLQKRILSLLNEWEDHPGLQKIIDTVEALLNIPSGTPLAKALLGLRFLLNRAKVLEENGSKFSLSDQLAPIIALVCSWQKMEFDTWPALLDEVQDQHEINAAKLWFPLFSVLHHSHAADVSAHEQSTIESLEEFINTSSMGEFKKRLQLLFAFLGQVTAGRCLGIETYSSPWQEKNLYILYNVFGYYVQLLPRILEHIEINRRNIDMELKELLKLCRWERPEACLSAENSKRTRQKLRKLIQKYTDVLQQPAMLFLNQDAVRKGLKIQSLEGPTPLDDISETNVKLLSAVLNQFSDKHRLLWYCGWREKVNDTLQKLHVDKTSKSCFPDITSIMQQYLTSKSACISQLEQWNLLCERLEKISRTTINCDNLWKDTEKNIGKKRAFSELLKLLESSGLHKHKFEIMKVSNSLNWLFIQPSYEMQHLLLTQNRLSYGASTASALQCQPDEGVDTEWKEVNEFYFKSTASVQLLQRICLKPHEDITYEQASRSVSFLNHLIVIQQSQRAATYNFSKNLKHLRECASTLENLYSRCSVSNNRSGSECSISSNQYAVFQCLWKQKRIFDGLVALLVEEAVLFRAAKSTHFKSCESIKPAINHVLQFIEKFTPLMQKTKESLDDYLVGRLGVVQTLVQESLDKCLLHRVGDTSIGPIRPFTISKKMEQLVWKNFQVIKDFEEHLIEFRRQNLNRSSVMETLLGRFDDVLEKGKLLAEELDFSLKAKSRNDSTCTLDKSICHESCSDLDALFGGSLRKTFQIIVNVLTKQCSTSNGYAPSKGSSENITSWEYLFKSSIEDLNLEELYDNLLKIIICAEKMMNSSGCETSPSFPVGACFQHLHAFSAVILTFGDSLLQDLLAMHKMVSVMTHALADVLASLFSKGFGSAAKDEEDDSNHSKSQDATGTGMGEGLGLNDVSEQITDEDQLLGTSEKPSEAQDASGEAPNKNDKGIEMEQDFTADAFSVSEDSEEGNDEGEDDEQLESAMGETGADNEVIDEKLWDKEEDENLNNANEKYESGSSVRDRDASSRELRAKEDYAADDDDEKPGEVNSEEIDKLTDEVGNQEDLDDKVDSMDDVHMDKYESLADPTGIDLDDLKERSEEDMDVDEEMNGEEPDFNEEISPEGESAENENHDQSAENGGGKENEDPVDDETKAEVETEPVERNDPGGDDEENTDMNSNSSRQHLFEQGIYDKFNDHVPNTASASQPNGDSQVSDSRNVVQETKMSDTREAYNDIAPPKSVPSGPTSEMDMMVYDSSSNGGLTDDQQKSELPQSESLSFQENKPNPYRNVGDALDEWKQRVKVSVDLEVDNKEAPGELEDKNADEYGYVPEFEKGTAQTLGPATSDQVDTNIDSIKTDEDKPAAASDDAAKMDIDEHNSEEGHLKHYGSVLKNNTEEQMQISNSEKPYNGGSPEIYGHNDVPESFTESLVSVRKSYLNEDMHCLSKLSIDDNELGKAQDLGEITLDGRSNATVLWRRYELLTTRLSQELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYVASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDFAIESLVTVCRSMSQLEMGNLAVASFGKKGNIKLLHDFDQPFNGEAGVKIISSLTFRQENTIADEPVVDLLKYLTNMLDTAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSKKRMVAFLLLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRD >Manes.04G142500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34082135:34124515:1 gene:Manes.04G142500.v8.1 transcript:Manes.04G142500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSYSLESAVKRFLSRCPELARIQKLNDLVKKGHMVTEEEVINSVAELFVNPNYTIPLIGCLRPIARKIIDAAVSLLGQCNLSSNLDNTLVDSRELVKGEVAYFIDHFNNSGRGLTLHEFACLALCRAIDLDHSLLGSASAYFKFASPPFERIWRKKIVAEQFESVSDCLLASQVSYRLLLLECEFFSVRWDWSCFLELVKVTLNLDVGHGSQSEEISDIRWCGMQIMSIILKMSDKAIENFGVGAEEAASCLLRWEEFCQDVAMEKAGFYVESSENTMLDSSIIGRVAFSQQNFPSSLDHNSLVSLQFHKLEPVIKSRRLVNWNDESGSYPFVVTSTMKRSFDMVLLAVSQRWPVLLYGPAGAGKTALISKLAQDHGNQAVLSIHMDEQIDGKTLIGTYICGEQPGEFRWQSGSLIQAVVNGYWVVFEDIDKAPSDVQSILLPLLEGASFFVTGHGEEIRVAESFRLFSTISTSRIDVQCNAEGGNLLSTLWRRVMIGLPNCDDLQSIVKAWYPKLKPLAGKLIETFERVNYVSLHHIMGFQSGDLTLFGSLSRFSLRDLLKWCKRIVGLGFCPMGDVLTAYQCHCIYQEAVDIFAAFSPSSETRTKVMRDIAKLWTVPFPEAGILYPHKPEIQGSLAELRIGRVALQHAETLLHGQGRLVQMRSSLHVLERISCSVKYNEPVLLVGETGTGKTTLVQNLAKMVGQKLTVLNLSQQSDVADLLGGFKPIDPQSICVLLYREFESLFSKTFSVKENDKLFAYLQKQIRKKNWVMLLNAFRKYVDNFQKKVRIERSGSGKKRKKPLDDEEMQRAWDNFSVKLETAHGQIGASSGMVFSFVEGAFVTALRNGDWILLDEVNLAPTETLQRINGVLEGDYGSLCLAERGDVTHIPRHPSFRIFACMNPATDAGKRDLPYSLRGRFTEYFVDDVLDKEDLKLFVNKFMEETRSNAELEQRVIDFYEIAKVNSEEKLQDGANQKPQYSLRSLYRALEYAREAKGKFGFQKAVYDGFQMFFVTMLDGPSAKIIKKMIEDKLLGGIKPSPVTFDAYLPVKKDCSSDDFLGNYVLTKSVKKQLENLARAVFIRRYPVLLQGPTSSGKTSLVHYLAARTGHGFVRINNHEHTDLQEYLGSYISDAHGKLIFHEGILVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETVHAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDYELNTIIEKRCKIPGSRAKIMVEVMKELQLHRQRSKVFAGKHGFITPRDLFRWANRLRTFGDSKEVMAEYGYYLLADRLRDEGEKLVVQEVLEKHLRVKIIKDNLYKDLVGVDSKSLGNIILTKSMRRLSFLLKRCYELREPVLLVGETGGGKTTVCQLLSTMLGSKLHILNCHQYSETSDFLGGFFPIRERSRLTSEFKYIIERLMQSKAYVHFPELLEISLDIGQAASTLDHLAAIITSYRHGQVSCPDVTAEDINTLEEMKLNLSQLHQKWKTIFVWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMEEITAHPNFLVLATMNPGGDYGKKELSPALRNRFTEIWVSPVSDLDELRDIASKRFSNPAFSYIVDAMINFWQWFNQLQVGRMLTVRDLLSWVEFINMTEGSLGPDYAFFHGLFLCLLDGLSLGTGISREDAALLREKCLSFLVKQLEVDNTTLHKLSTMENYGWGDLGTTLDVSCTDDMLHDTVFGISPFYIGKGSENCETSGFEFLAPTTRRNALRVLRAMQIPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQSDLMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPSSFRVFACQNPFSQGGGRKGLPRSFLNRFTKVYIDELVEDDYLFISSSLYPSIPKPVLSKLIFFNKRLHEDTMIHHKFAQDGSPWEFNLRDVIRSCEIIQGAPDRLKFDCFVDIVYVQRMRTPADRKEVLQLFEDIFEFKPLINPYPRVQLNSNYLIVGNASVKRNSFYPSKLNSSQLTIVPSVRHSLEAALHCVQHQWLCILVGPPSSGKTSLIRLLAELTGNILNELNLSSATDISDLLGCFEQYDAYRNFRCICAQVERYVSEYCSILLEFSKTTFCERKDVITKWLAFLSCMGSSSLSTSNILENWKSVATSLNLLVDIIEQLKLDVVNNGLPVSWSSNKLNKTVETILKLQEYLQRRQFSAKFEWVAGLLVKAIENGEWVLLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGNPLVLRPHPNFRMFLTVNPTLGEVSRAMRNRGVEIFMMQPYWLLNEEKSAEFELKDVKRFLVLSGVPVGKLVESMAKAHVYARNEGLRLNIQITYLELARWIKLFHQLLLNGSQPLWSLQISWEHTYLPSLGEAVGWDIINHGKFAFLSMAKLSESDFPVELSLHLPGGWPMPLKLRDYVFYSKEASVKQNCMYLGYLMSQYELGIKRDNVGLDQVFSTSHGGAYLIDLKRIHKFMFPMSLSWVFSSSYGNVEFDLKLTKKMLLFAADWTIEQATAIDYKLYRLWFNWLSSKLEHGHFFHCYENLLKQEFEHPIWKCIFHCHHELGSLHQVELNLWPVPLLSLDFVDLRPSNDMSNRLCKLLSNAINCVSLLRISFQQWNAQDVHGYGYEAQHFKMVLKPLQDLEKEILNMLVTSPAYDVLIKLYSKLLDDHMAFWHAFISSHFEQLLLSWHSLVKDVSKFRDFCPKAVENVLMMGSKHLDREFHLGSRQSLLWIHGGHPILPSSAKLYHKQQHLLDLCELTWPTHRNPYKQVDDELIELAASSDPELRSLAVQGICMSLYITSKSDEDNVKVTEQLEEMHQMLSERFRYEKQKLRAKLQLDEHAIFERNSASCCVFVPEILGLKCGFTSWQEALPIIDSTSFFLDMELLQNLLTIVLVDPQGLQQALGGVSDLLESALKFSLTLSGRPPQNFIPHQKVLWTLEAWAPEDAVNAKVASYVLEMWFWWHLSLWNHYPVFLEDSSKMGGCDIPLPALLAKPVKTASVIHIVQDSCSIKDYFAHLWKLKVASKNIWESPPTGTNVLGILLSVARSLFQQIIYVHKREFDADKFSAINSIFCSFQKNMITQDKVQNLGVLIASSSDQSLNSLFYLFIEPLLRDLYIHCHSTDFHLNIGYAWLRVGGLRFSLLHSCQDMDPAMKYSYKYSQLEERISSLELEIKVRQECEYLAGCFSSRKTDKKRVETLQMLEAEQKKLQRKMVFRSKPLKFNALRKECNEFLKLVIMVVDLASKIEVMELQQVLDQVCNWQETASCFVKRLSTEYKEYNDVAQPIQVAVYEMKLGLSLILSGALWKKNLDRTGVDSTEQVMESVCSFMKFPRGYILESISFNDIDSPVNFWEREINLLEKLVSISSDVNVERGVSVLQLKTALRLNILVHVVHFVADAKKIDNASFKILDKMFNEFASMWMETKVQVKSKEGHDIQQYKFRPRAFEIRHLVDVDMSTFGKLANDNFSEWLELLSEDECLEKVETVTQYENLEEEWNLMQESLLNNMIQLHNKLFGSANLVLNPGTFSISEADRLLLFANSYSLGAGITKGLGGLVSSCLDAKLMPEHLLRLCFEHERIFVSSHKSSTNYNFYKDSNASEMAKMVKSLATLQKRILSLLNEWEDHPGLQKIIDTVEALLNIPSGTPLAKALLGLRFLLNRAKVLEENGSKFSLSDQLAPIIALVCSWQKMEFDTWPALLDEVQDQHEINAAKLWFPLFSVLHHSHAADVSAHEQSTIESLEEFINTSSMGEFKKRLQLLFAFLGQVTAGRCLGIETYSSPWQEKNLYILYNVFGYYVQLLPRILEHIEINRRNIDMELKELLKLCRWERPEACLSAENSKRTRQKLRKLIQKYTDVLQQPAMLFLNQDAVRKGLKIQSLEGPTPLDDISETNVKLLSAVLNQFSDKHRLLWYCGWREKVNDTLQKLHVDKTSKSCFPDITSIMQQYLTSKSACISQLEQWNLLCERLEKISRTTINCDNLWKDTEKNIGKKRAFSELLKLLESSGLHKHKFEIMKVSNSLNWLFIQPSYEMQHLLLTQNRLSYGASTASALQCQPDEGVDTEWKEVNEFYFKSTASVQLLQRICLKPHEDITYEQASRSVSFLNHLIVIQQSQRAATYNFSKNLKHLRECASTLENLYSRCSVSNNRSGSECSISSNQYAVFQCLWKQKRIFDGLVALLVEEAVLFRAAKSTHFKSCESIKPAINHVLQFIEKFTPLMQKTKESLDDYLVGRLGVVQTLVQESLDKCLLHRVGDTSIGPIRPFTISKKMEQLVWKNFQVIKDFEEHLIEFRRQNLNRSSVMETLLGRFDDVLEKGKLLAEELDFSLKAKSRNDSTCTLDKSICHESCSDLDALFGGSLRKTFQIIVNVLTKQCSTSNGYAPSKGSSENITSWEYLFKSSIEDLNLEELYDNLLKIIICAEKMMNSSGCETSPSFPVGACFQHLHAFSAVILTFGDSLLQDLLAMHKMVSVMTHALADVLASLFSKGFGSAAKDEEDDSNHSKSQDATGTGMGEGLGLNDVSEQITDEDQLLGTSEKPSEAQDASGEAPNKNDKGIEMEQDFTADAFSVSEDSEEGNDEGEDDEQLESAMGETGADNEVIDEKLWDKEEDENLNNANEKYESGSSVRDRDASSRELRAKEDYAADDDDEKPGEVNSEEIDKLTDEVGNQEDLDDKVDSMDDVHMDKYESLADPTGIDLDDLKERSEEDMDVDEEMNGEEPDFNEEISPEGESAENENHDQSAENGGGKENEDPVDDETKAEVETEPVERNDPGGDDEENTDMNSNSSRQHLFEQGIYDKFNDHVPNTASASQPNGDSQVSDSRNVVQETKMSDTREAYNDIAPPKSVPSGPTSEMDMMVYDSSSNGGLTDDQQKSELPQSESLSFQENKPNPYRNVGDALDEWKQRVKVSVDLEVDNKEAPGELEDKNADEYGYVPEFEKGTAQTLGPATSDQVDTNIDSIKTDEDKPAAASDDAAKMDIDEHNSEEGHLKHYGSVLKNNTEEQMQISNSEKPYNGGSPEIYGHNDVPESFTESLVSVRKSYLNEDMHCLSKLSIDDNELGKAQDLGEITLDGRSNATVLWRRYELLTTRLSQELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYVASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDFAIESLVTVCRSMSQLEMGNLAVASFGKKGNIKLLHDFDQPFNGEAGVKIISSLTFRQENTIADEPVVDLLKYLTNMLDTAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSKKRMVAFLLLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRD >Manes.04G142500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34082135:34124515:1 gene:Manes.04G142500.v8.1 transcript:Manes.04G142500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSYSLESAVKRFLSRCPELARIQKLNDLVKKGHMVTEEEVINSVAELFVNPNYTIPLIGCLRPIARKIIDAAVSLLGQCNLSSNLDNTLVDSRELVKGEVAYFIDHFNNSGRGLTLHEFACLALCRAIDLDHSLLGSASAYFKFASPPFERIWRKKIVAEQFESVSDCLLASQVSYRLLLLECEFFSVRWDWSCFLELVKVTLNLDVGHGSQSEEISDIRWCGMQIMSIILKMSDKAIENFGVGAEEAASCLLRWEEFCQDVAMEKAGFYVESSENTMLDSSIIGRVAFSQQNFPSSLDHNSLVSLQFHKLEPVIKSRRLVNWNDESGSYPFVVTSTMKRSFDMVLLAVSQRWPVLLYGPAGAGKTALISKLAQDHGNQVLSIHMDEQIDGKTLIGTYICGEQPGEFRWQSGSLIQAVVNGYWVVFEDIDKAPSDVQSILLPLLEGASFFVTGHGEEIRVAESFRLFSTISTSRIDVQCNAEGGNLLSTLWRRVMIGLPNCDDLQSIVKAWYPKLKPLAGKLIETFERVNYVSLHHIMGFQSGDLTLFGSLSRFSLRDLLKWCKRIVGLGFCPMGDVLTAYQCHCIYQEAVDIFAAFSPSSETRTKVMRDIAKLWTVPFPEAGILYPHKPEIQGSLAELRIGRVALQHAETLLHGQGRLVQMRSSLHVLERISCSVKYNEPVLLVGETGTGKTTLVQNLAKMVGQKLTVLNLSQQSDVADLLGGFKPIDPQSICVLLYREFESLFSKTFSVKENDKLFAYLQKQIRKKNWVMLLNAFRKYVDNFQKKVRIERSGSGKKRKKPLDDEEMQRAWDNFSVKLETAHGQIGASSGMVFSFVEGAFVTALRNGDWILLDEVNLAPTETLQRINGVLEGDYGSLCLAERGDVTHIPRHPSFRIFACMNPATDAGKRDLPYSLRGRFTEYFVDDVLDKEDLKLFVNKFMEETRSNAELEQRVIDFYEIAKVNSEEKLQDGANQKPQYSLRSLYRALEYAREAKGKFGFQKAVYDGFQMFFVTMLDGPSAKIIKKMIEDKLLGGIKPSPVTFDAYLPVKKDCSSDDFLGNYVLTKSVKKQLENLARAVFIRRYPVLLQGPTSSGKTSLVHYLAARTGHGFVRINNHEHTDLQEYLGSYISDAHGKLIFHEGILVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETVHAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDYELNTIIEKRCKIPGSRAKIMVEVMKELQLHRQRSKVFAGKHGFITPRDLFRWANRLRTFGDSKEVMAEYGYYLLADRLRDEGEKLVVQEVLEKHLRVKIIKDNLYKDLVGVDSKSLGNIILTKSMRRLSFLLKRCYELREPVLLVGETGGGKTTVCQLLSTMLGSKLHILNCHQYSETSDFLGGFFPIRERSRLTSEFKYIIERLMQSKAYVHFPELLEISLDIGQAASTLDHLAAIITSYRHGQVSCPDVTAEDINTLEEMKLNLSQLHQKWKTIFVWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMEEITAHPNFLVLATMNPGGDYGKKELSPALRNRFTEIWVSPVSDLDELRDIASKRFSNPAFSYIVDAMINFWQWFNQLQVGRMLTVRDLLSWVEFINMTEGSLGPDYAFFHGLFLCLLDGLSLGTGISREDAALLREKCLSFLVKQLEVVDNTTLHKLSTMENYGWGDLGTTLDVSCTDDMLHDTVFGISPFYIGKGSENCETSGFEFLAPTTRRNALRVLRAMQIPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQSDLMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPSSFRVFACQNPFSQGGGRKGLPRSFLNRFTKVYIDELVEDDYLFISSSLYPSIPKPVLSKLIFFNKRLHEDTMIHHKFAQDGSPWEFNLRDVIRSCEIIQGAPDRLKFDCFVDIVYVQRMRTPADRKEVLQLFEDIFEFKPLINPYPRVQLNSNYLIVGNASVKRNSFYPSKLNSSQLTIVPSVRHSLEAALHCVQHQWLCILVGPPSSGKTSLIRLLAELTGNILNELNLSSATDISDLLGCFEQYDAYRNFRCICAQVERYVSEYCSILLEFSKTTFCERKDVITKWLAFLSCMGSSSLSTSNILENWKSVATSLNLLVDIIEQLKLDVVNNGLPVSWSSNKLNKTVETILKLQEYLQRRQFSAKFEWVAGLLVKAIENGEWVLLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGNPLVLRPHPNFRMFLTVNPTLGEVSRAMRNRGVEIFMMQPYWLLNEEKSAEFELKDVKRFLVLSGVPVGKLVESMAKAHVYARNEGLRLNIQITYLELARWIKLFHQLLLNGSQPLWSLQISWEHTYLPSLGEAVGWDIINHGKFAFLSMAKLSESDFPVELSLHLPGGWPMPLKLRDYVFYSKEASVKQNCMYLGYLMSQYELGIKRDNVGLDQVFSTSHGGAYLIDLKRIHKFMFPMSLSWVFSSSYGNVEFDLKLTKKMLLFAADWTIEQATAIDYKLYRLWFNWLSSKLEHGHFFHCYENLLKQEFEHPIWKCIFHCHHELGSLHQVELNLWPVPLLSLDFVDLRPSNDMSNRLCKLLSNAINCVSLLRISFQQWNAQDVHGYGYEAQHFKMVLKPLQDLEKEILNMLVTSPAYDVLIKLYSKLLDDHMAFWHAFISSHFEQLLLSWHSLVKDVSKFRDFCPKAVENVLMMGSKHLDREFHLGSRQSLLWIHGGHPILPSSAKLYHKQQHLLDLCELTWPTHRNPYKQVDDELIELAASSDPELRSLAVQGICMSLYITSKSDEDNVKVTEQLEEMHQMLSERFRYEKQKLRAKLQLDEHAIFERNSASCCVFVPEILGLKCGFTSWQEALPIIDSTSFFLDMELLQNLLTIVLVDPQGLQQALGGVSDLLESALKFSLTLSGRPPQNFIPHQKVLWTLEAWAPEDAVNAKVASYVLEMWFWWHLSLWNHYPVFLEDSSKMGGCDIPLPALLAKPVKTASVIHIVQDSCSIKDYFAHLWKLKVASKNIWESPPTGTNVLGILLSVARSLFQQIIYVHKREFDADKFSAINSIFCSFQKNMITQDKVQNLGVLIASSSDQSLNSLFYLFIEPLLRDLYIHCHSTDFHLNIGYAWLRVGGLRFSLLHSCQDMDPAMKYSYKYSQLEERISSLELEIKVRQECEYLAGCFSSRKTDKKRVETLQMLEAEQKKLQRKMVFRSKPLKFNALRKECNEFLKLVIMVVDLASKIEVMELQQVLDQVCNWQETASCFVKRLSTEYKEYNDVAQPIQVAVYEMKLGLSLILSGALWKKNLDRTGVDSTEQVMESVCSFMKFPRGYILESISFNDIDSPVNFWEREINLLEKLVSISSDVNVERGVSVLQLKTALRLNILVHVVHFVADAKKIDNASFKILDKMFNEFASMWMETKVQVKSKEGHDIQQYKFRPRAFEIRHLVDVDMSTFGKLANDNFSEWLELLSEDECLEKVETVTQYENLEEEWNLMQESLLNNMIQLHNKLFGSANLVLNPGTFSISEADRLLLFANSYSLGAGITKGLGGLVSSCLDAKLMPEHLLRLCFEHERIFVSSHKSSTNYNFYKDSNASEMAKMVKSLATLQKRILSLLNEWEDHPGLQKIIDTVEALLNIPSGTPLAKALLGLRFLLNRAKVLEENGSKFSLSDQLAPIIALVCSWQKMEFDTWPALLDEVQDQHEINAAKLWFPLFSVLHHSHAADVSAHEQSTIESLEEFINTSSMGEFKKRLQLLFAFLGQVTAGRCLGIETYSSPWQEKNLYILYNVFGYYVQLLPRILEHIEINRRNIDMELKELLKLCRWERPEACLSAENSKRTRQKLRKLIQKYTDVLQQPAMLFLNQDAVRKGLKIQSLEGPTPLDDISETNVKLLSAVLNQFSDKHRLLWYCGWREKVNDTLQKLHVDKTSKSCFPDITSIMQQYLTSKSACISQLEQWNLLCERLEKISRTTINCDNLWKDTEKNIGKKRAFSELLKLLESSGLHKHKFEIMKVSNSLNWLFIQPSYEMQHLLLTQNRLSYGASTASALQCQPDEGVDTEWKEVNEFYFKSTASVQLLQRICLKPHEDITYEQASRSVSFLNHLIVIQQSQRAATYNFSKNLKHLRECASTLENLYSRCSVSNNRSGSECSISSNQYAVFQCLWKQKRIFDGLVALLVEEAVLFRAAKSTHFKSCESIKPAINHVLQFIEKFTPLMQKTKESLDDYLVGRLGVVQTLVQESLDKCLLHRVGDTSIGPIRPFTISKKMEQLVWKNFQVIKDFEEHLIEFRRQNLNRSSVMETLLGRFDDVLEKGKLLAEELDFSLKAKSRNDSTCTLDKSICHESCSDLDALFGGSLRKTFQIIVNVLTKQCSTSNGYAPSKGSSENITSWEYLFKSSIEDLNLEELYDNLLKIIICAEKMMNSSGCETSPSFPVGACFQHLHAFSAVILTFGDSLLQDLLAMHKMVSVMTHALADVLASLFSKGFGSAAKDEEDDSNHSKSQDATGTGMGEGLGLNDVSEQITDEDQLLGTSEKPSEAQDASGEAPNKNDKGIEMEQDFTADAFSVSEDSEEGNDEGEDDEQLESAMGETGADNEVIDEKLWDKEEDENLNNANEKYESGSSVRDRDASSRELRAKEDYAADDDDEKPGEVNSEEIDKLTDEVGNQEDLDDKVDSMDDVHMDKYESLADPTGIDLDDLKERSEEDMDVDEEMNGEEPDFNEEISPEGESAENENHDQSAENGGGKENEDPVDDETKAEVETEPVERNDPGGDDEENTDMNSNSSRQHLFEQGIYDKFNDHVPNTASASQPNGDSQVSDSRNVVQETKMSDTREAYNDIAPPKSVPSGPTSEMDMMVYDSSSNGGLTDDQQKSELPQSESLSFQENKPNPYRNVGDALDEWKQRVKVSVDLEVDNKEAPGELEDKNADEYGYVPEFEKGTAQTLGPATSDQVDTNIDSIKTDEDKPAAASDDAAKMDIDEHNSEEGHLKHYGSVLKNNTEEQMQISNSEKPYNGGSPEIYGHNDVPESFTESLVSVRKSYLNEDMHCLSKLSIDDNELGKAQDLGEITLDGRSNATVLWRRYELLTTRLSQELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYVASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDFAIESLVTVCRSMSQLEMGNLAVASFGKKGNIKLLHDFDQPFNGEAGVKIISSLTFRQENTIADEPVVDLLKYLTNMLDTAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSKKRMVAFLLLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRD >Manes.04G142500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34082135:34124515:1 gene:Manes.04G142500.v8.1 transcript:Manes.04G142500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSYSLESAVKRFLSRCPELARIQKLNDLVKKGHMVTEEEVINSVAELFVNPNYTIPLIGCLRPIARKIIDAAVSLLGQCNLSSNLDNTLVDSRELVKGEVAYFIDHFNNSGRGLTLHEFACLALCRAIDLDHSLLGSASAYFKFASPPFERIWRKKIVAEQFESVSDCLLASQVSYRLLLLECEFFSVRWDWSCFLELVKVTLNLDVGHGSQSEEISDIRWCGMQIMSIILKMSDKAIENFGVGAEEAASCLLRWEEFCQDVAMEKAGFYVESSENTMLDSSIIGRVAFSQQNFPSSLDHNSLVSLQFHKLEPVIKSRRLVNWNDESGSYPFVVTSTMKRSFDMVLLAVSQRWPVLLYGPAGAGKTALISKLAQDHGNQAVLSIHMDEQIDGKTLIGTYICGEQPGEFRWQSGSLIQAVVNGYWVVFEDIDKAPSDVQSILLPLLEGASFFVTGHGEEIRVAESFRLFSTISTSRIDVQCNAEGGNLLSTLWRRVMIGLPNCDDLQSIVKAWYPKLKPLAGKLIETFERVNYVSLHHIMGFQSGDLTLFGSLSRFSLRDLLKWCKRIVGLGFCPMGDVLTAYQCHCIYQEAVDIFAAFSPSSETRTKVMRDIAKLWTVPFPEAGILYPHKPEIQGSLAELRIGRVALQHAETLLHGQGRLVQMRSSLHVLERISCSVKYNEPVLLVGETGTGKTTLVQNLAKMVGQKLTVLNLSQQSDVADLLGGFKPIDPQSICVLLYREFESLFSKTFSVKENDKLFAYLQKQIRKKNWVMLLNAFRKYVDNFQKKVRIERSGSGKKRKKPLDDEEMQRAWDNFSVKLETAHGQIGASSGMVFSFVEGAFVTALRNGDWILLDEVNLAPTETLQRINGVLEGDYGSLCLAERGDVTHIPRHPSFRIFACMNPATDAGKRDLPYSLRGRFTEYFVDDVLDKEDLKLFVNKFMEETRSNAELEQRVIDFYEIAKVNSEEKLQDGANQKPQYSLRSLYRALEYAREAKGKFGFQKAVYDGFQMFFVTMLDGPSAKIIKKMIEDKLLGGIKPSPVTFDAYLPVKKDCSSDDFLGNYVLTKSVKKQLENLARAVFIRRYPVLLQGPTSSGKTSLVHYLAARTGHGFVRINNHEHTDLQEYLGSYISDAHGKLIFHEGILVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETVHAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDYELNTIIEKRCKIPGSRAKIMVEVMKELQLHRQRSKVFAGKHGFITPRDLFRWANRLRTFGDSKEVMAEYGYYLLADRLRDEGEKLVVQEVLEKHLRVKIIKDNLYKDLVGVDSKSLGNIILTKSMRRLSFLLKRCYELREPVLLVGETGGGKTTVCQLLSTMLGSKLHILNCHQYSETSDFLGGFFPIRERSRLTSEFKYIIERLMQSKAYVHFPELLEISLDIGQAASTLDHLAAIITSYRHGQVSCPDVTAEDINTLEEMKLNLSQLHQKWKTIFVWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMEEITAHPNFLVLATMNPGGDYGKKELSPALRNRFTEIWVSPVSDLDELRDIASKRFSNPAFSYIVDAMINFWQWFNQLQVGRMLTVRDLLSWVEFINMTEGSLGPDYAFFHGLFLCLLDGLSLGTGISREDAALLREKCLSFLVKQLEVVDNTTLHKLSTMENYGWGDLGTTLDVSCTDDMLHDTVFGISPFYIGKGSENCETSGFEFLAPTTRRNALRVLRAMQIPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQSDLMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPSSFRVFACQNPFSQGGGRKGLPRSFLNRFTKVYIDELVEDDYLFISSSLYPSIPKPVLSKLIFFNKRLHEDTMIHHKFAQDGSPWEFNLRDVIRSCEIIQGAPDRLKFDCFVDIVYVQRMRTPADRKEVLQLFEDIFEFKPLINPYPRVQLNSNYLIVGNASVKRNSFYPSKLNSSQLTIVPSVRHSLEAALHCVQHQWLCILVGPPSSGKTSLIRLLAELTGNILNELNLSSATDISDLLGCFEQYDAYRNFRCICAQVERYVSEYCSILLEFSKTTFCERKDVITKWLAFLSCMGSSSLSTSNILENWKSVATSLNLLVDIIEQLKLDVVNNGLPVSWSSNKLNKTVETILKLQEYLQRRQFSAKFEWVAGLLVKAIENGEWVLLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGNPLVLRPHPNFRMFLTVNPTLGEVSRAMRNRGVEIFMMQPYWLLNEEKSAEFELKDVKRFLVLSGVPVGKLVESMAKAHVYARNEGLRLNIQITYLELARWIKLFHQLLLNGSQPLWSLQISWEHTYLPSLGEAVGWDIINHGKFAFLSMAKLSESDFPVELSLHLPGGWPMPLKLRDYVFYSKEASVKQNCMYLGYLMSQYELGIKRDNVGLDQVFSTSHGGAYLIDLKRIHKFMFPMSLSWVFSSSYGNVEFDLKLTKKMLLFAADWTIEQATAIDYKLYRLWFNWLSSKLEHGHFFHCYENLLKQEFEHPIWKCIFHCHHELGSLHQVELNLWPVPLLSLDFVDLRPSNDMSNRLCKLLSNAINCVSLLRISFQQWNAQDVHGYGYEAQHFKMVLKPLQDLEKEILNMLVTSPAYDVLIKLYSKLLDDHMAFWHAFISSHFEQLLLSWHSLVKDVSKFRDFCPKAVENVLMMGSKHLDREFHLGSRQSLLWIHGGHPILPSSAKLYHKQQHLLDLCELTWPTHRNPYKQVDDELIELAASSDPELRSLAVQGICMSLYITSKSDEDNVKVTEQLEEMHQMLSERFRYEKQKLRAKLQLDEHAIFERNSASCCVFVPEILGLKCGFTSWQEALPIIDSTSFFLDMELLQNLLTIVLVDPQGLQQALGGVSDLLESALKFSLTLSGRPPQNFIPHQKVLWTLEAWAPEDAVNAKVASYVLEMWFWWHLSLWNHYPVFLEDSSKMGGCDIPLPALLAKPVKTASVIHIVQDSCSIKDYFAHLWKLKVASKNIWESPPTGTNVLGILLSVARSLFQQIIYVHKREFDADKFSAINSIFCSFQKNMITQDKVQNLGVLIASSSDQSLNSLFYLFIEPLLRDLYIHCHSTDFHLNIGYAWLRVGGLRFSLLHSCQDMDPAMKYSYKYSQLEERISSLELEIKVRQECEYLAGCFSSRKTDKKRVETLQMLEAEQKKLQRKMVFRSKPLKFNALRKECNEFLKLVIMVVDLASKIEVMELQQVLDQVCNWQETASCFVKRLSTEYKEYNDVAQPIQVAVYEMKLGLSLILSGALWKKNLDRTGVDSTEQVMESVCSFMKFPRGYILESISFNDIDSPVNFWEREINLLEKLVSISSDVNVERGVSVLQLKTALRLNILVHVVHFVADAKKIDNASFKILDKMFNEFASMWMETKVQVKSKEGHDIQQYKFRPRAFEIRHLVDVDMSTFGKLANDNFSEWLELLSEDECLEKVETVTQYENLEEEWNLMQESLLNNMIQLHNKLFGSANLVLNPGTFSISEADRLLLFANSYSLGAGITKGLGGLVSSCLDAKLMPEHLLRLCFEHERIFVSSHKSSTNYNFYKDSNASEMAKMVKSLATLQKRILSLLNEWEDHPGLQKIIDTVEALLNIPSGTPLAKALLGLRFLLNRAKVLEENGSKFSLSDQLAPIIALVCSWQKMEFDTWPALLDEVQDQHEINAAKLWFPLFSVLHHSHAADVSAHEQSTIESLEEFINTSSMGEFKKRLQLLFAFLGQVTAGRCLGIETYSSPWQEKNLYILYNVFGYYVQLLPRILEHIEINRRNIDMELKELLKLCRWERPEACLSAENSKRTRQKLRKLIQKYTDVLQQPAMLFLNQDAVRKGLKIQSLEGPTPLDDISETNVKLLSAVLNQFSDKHRLLWYCGWREKVNDTLQKLHVDKTSKSCFPDITSIMQQYLTSKSACISQLEQWNLLCERLEKISRTTINCDNLWKDTEKNIGKKRAFSELLKLLESSGLHKHKFEIMKVSNSLNWLFIQPSYEMQHLLLTQNRLSYGASTASALQCQPDEGVDTEWKEVNEFYFKSTASVQLLQRICLKPHEDITYEQASRSVSFLNHLIVIQQSQRAATYNFSKNLKHLRECASTLENLYSRCSVSNNRSGSECSISSNQYAVFQCLWKQKRIFDGLVALLVEEAVLFRAAKSTHFKSCESIKPAINHVLQFIEKFTPLMQKTKESLDDYLVGRLGVVQTLVQESLDKCLLHRVGDTSIGPIRPFTISKKMEQLVWKNFQVIKDFEEHLIEFRRQNLNRSSVMETLLGRFDDVLEKGKLLAEELDFSLKAKSRNDSTCTLDKSICHESCSDLDALFGGSLRKTFQIIVNVLTKQCSTSNGYAPSKGSSENITSWEYLFKSSIEDLNLEELYDNLLKIIICAEKMMNSSGCETSPSFPVGACFQHLHAFSAVILTFGDSLLQDLLAMHKMVSVMTHALADVLASLFSKGFGSAAKDEEDDSNHSKSQDATGTGMGEGLGLNDVSEQITDEDQLLGTSEKPSEAQDASGEAPNKNDKGIEMEQDFTADAFSVSEDSEEGNDEGEDDEQLESAMGETGADNEVIDEKLWDKEEDENLNNANEKYESGSSVRDRDASSRELRAKEDYAADDDDEKPGEVNSEEIDKLTDEVGNQEDLDDKVDSMDDVHMDKYESLADPTGIDLDDLKERSEEDMDVDEEMNGEEPDFNEEISPEGESAENENHDQSAENGGGKENEDPVDDETKAEVETEPVERNDPGGDDEENTDMNSNSSRQHLFEQGIYDKFNDHVPNTASASQPNGDSQVSDSRNVVQETKMSDTREAYNDIAPPKSVPSGPTSEMDMMVYDSSSNGGLTDDQQKSELPQSESLSFQENKPNPYRNVGDALDEWKQRVKVSVDLEVDNKEAPGELEDKNADEYGYVPEFEKGTAQTLGPATSDQVDTNIDSIKTDEDKPAAASDDAAKMDIDEHNSEEGHLKHYGSVLKNNTEEQMQISNSEKPYNGGSPEIYGHNDVPESFTESLVSVRKSYLNEDMHCLSKLSIDDNELGKAQDLGEITLDGRSNATVLWRRYELLTTRLSQELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYVASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDFAIESLVTVCRSMSQLEMGNLAVASFGKKGNIKLLHDFDQPFNGEAGVKIISSLTFRQENTIADEPVVDLLKYLTNMLDTAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSKKRMVAFLLLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRD >Manes.04G142500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34082135:34124431:1 gene:Manes.04G142500.v8.1 transcript:Manes.04G142500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSYSLESAVKRFLSRCPELARIQKLNDLVKKGHMVTEEEVINSVAELFVNPNYTIPLIGCLRPIARKIIDAAVSLLGQCNLSSNLDNTLVDSRELVKGEVAYFIDHFNNSGRGLTLHEFACLALCRAIDLDHSLLGSASAYFKFASPPFERIWRKKIVAEQFESVSDCLLASQVSYRLLLLECEFFSVRWDWSCFLELVKVTLNLDVGHGSQSEEISDIRWCGMQIMSIILKMSDKAIENFGVGAEEAASCLLRWEEFCQDVAMEKAGFYVESSENTMLDSSIIGRVAFSQQNFPSSLDHNSLVSLQFHKLEPVIKSRRLVNWNDESGSYPFVVTSTMKRSFDMVLLAVSQRWPVLLYGPAGAGKTALISKLAQDHGNQAVLSIHMDEQIDGKTLIGTYICGEQPGEFRWQSGSLIQAVVNGYWVVFEDIDKAPSDVQSILLPLLEGASFFVTGHGEEIRVAESFRLFSTISTSRIDVQCNAEGGNLLSTLWRRVMIGLPNCDDLQSIVKAWYPKLKPLAGKLIETFERVNYVSLHHIMGFQSGDLTLFGSLSRFSLRDLLKWCKRIVGLGFCPMGDVLTAYQCHCIYQEAVDIFAAFSPSSETRTKVMRDIAKLWTVPFPEAGILYPHKPEIQGSLAELRIGRVALQHAETLLHGQGRLVQMRSSLHVLERISCSVKYNEPVLLVGETGTGKTTLVQNLAKMVGQKLTVLNLSQQSDVADLLGGFKPIDPQSICVLLYREFESLFSKTFSVKENDKLFAYLQKQIRKKNWVMLLNAFRKYVDNFQKKVRIERSGSGKKRKKPLDDEEMQRAWDNFSVKLETAHGQIGASSGMVFSFVEGAFVTALRNGDWILLDEVNLAPTETLQRINGVLEGDYGSLCLAERGDVTHIPRHPSFRIFACMNPATDAGKRDLPYSLRGRFTEYFVDDVLDKEDLKLFVNKFMEETRSNAELEQRVIDFYEIAKVNSEEKLQDGANQKPQYSLRSLYRALEYAREAKGKFGFQKAVYDGFQMFFVTMLDGPSAKIIKKMIEDKLLGGIKPSPVTFDAYLPVKKDCSSDDFLGNYVLTKSVKKQLENLARAVFIRRYPVLLQGPTSSGKTSLVHYLAARTGHGFVRINNHEHTDLQEYLGSYISDAHGKLIFHEGILVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETVHAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDYELNTIIEKRCKIPGSRAKIMVEVMKELQLHRQRSKVFAGKHGFITPRDLFRWANRLRTFGDSKEVMAEYGYYLLADRLRDEGEKLVVQEVLEKHLRVKIIKDNLYKDLVGVDSKSLGNIILTKSMRRLSFLLKRCYELREPVLLVGETGGGKTTVCQLLSTMLGSKLHILNCHQYSETSDFLGGFFPIRERSRLTSEFKYIIERLMQSKAYVHFPELLEISLDIGQAASTLDHLAAIITSYRHGQVSCPDVTAEDINTLEEMKLNLSQLHQKWKTIFVWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMEEITAHPNFLVLATMNPGGDYGKKELSPALRNRFTEIWVSPVSDLDELRDIASKRFSNPAFSYIVDAMINFWQWFNQLQVGRMLTVRDLLSWVEFINMTEGSLGPDYAFFHGLFLCLLDGLSLGTGISREDAALLREKCLSFLVKQLEVDNTTLHKLSTMENYGWGDLGTTLDVSCTDDMLHDTVFGISPFYIGKGSENCETSGFEFLAPTTRRNALRVLRAMQIPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQSDLMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPSSFRVFACQNPFSQGGGRKGLPRSFLNRFTKVYIDELVEDDYLFISSSLYPSIPKPVLSKLIFFNKRLHEDTMIHHKFAQDGSPWEFNLRDVIRSCEIIQGAPDRLKFDCFVDIVYVQRMRTPADRKEVLQLFEDIFEFKPLINPYPRVQLNSNYLIVGNASVKRNSFYPSKLNSSQLTIVPSVRHSLEAALHCVQHQWLCILVGPPSSGKTSLIRLLAELTGNILNELNLSSATDISDLLGCFEQYDAYRNFRCICAQVERYVSEYCSILLEFSKTTFCERKDVITKWLAFLSCMGSSSLSTSNILENWKSVATSLNLLVDIIEQLKLDVVNNGLPVSWSSNKLNKTVETILKLQEYLQRRQFSAKFEWVAGLLVKAIENGEWVLLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGNPLVLRPHPNFRMFLTVNPTLGEVSRAMRNRGVEIFMMQPYWLLNEEKSAEFELKDVKRFLVLSGVPVGKLVESMAKAHVYARNEGLRLNIQITYLELARWIKLFHQLLLNGSQPLWSLQISWEHTYLPSLGEAVGWDIINHGKFAFLSMAKLSESDFPVELSLHLPGGWPMPLKLRDYVFYSKEASVKQNCMYLGYLMSQYELGIKRDNVGLDQVFSTSHGGAYLIDLKRIHKFMFPMSLSWVFSSSYGNVEFDLKLTKKMLLFAADWTIEQATAIDYKLYRLWFNWLSSKLEHGHFFHCYENLLKQEFEHPIWKCIFHCHHELGSLHQVELNLWPVPLLSLDFVDLRPSNDMSNRLCKLLSNAINCVSLLRISFQQWNAQDVHGYGYEAQHFKMVLKPLQDLEKEILNMLVTSPAYDVLIKLYSKLLDDHMAFWHAFISSHFEQLLLSWHSLVKDVSKFRDFCPKAVENVLMMGSKHLDREFHLGSRQSLLWIHGGHPILPSSAKLYHKQQHLLDLCELTWPTHRNPYKQVDDELIELAASSDPELRSLAVQGICMSLYITSKSDEDNVKVTEQLEEMHQMLSERFRYEKQKLRAKLQLDEHAIFERNSASCCVFVPEILGLKCGFTSWQEALPIIDSTSFFLDMELLQNLLTIVLVDPQGLQQALGGVSDLLESALKFSLTLSGRPPQNFIPHQKVLWTLEAWAPEDAVNAKVASYVLEMWFWWHLSLWNHYPVFLEDSSKMGGCDIPLPALLAKPVKTASVIHIVQDSCSIKDYFAHLWKLKVASKNIWESPPTGTNVLGILLSVARSLFQQIIYVHKREFDADKFSAINSIFCSFQKNMITQDKVQNLGVLIASSSDQSLNSLFYLFIEPLLRDLYIHCHSTDFHLNIGYAWLRVGGLRFSLLHSCQDMDPAMKYSYKYSQLEERISSLELEIKVRQECEYLAGCFSSRKTDKKRVETLQMLEAEQKKLQRKMVFRSKPLKFNALRKECNEFLKLVIMVVDLASKIEVMELQQVLDQVCNWQETASCFVKRLSTEYKEYNDVAQPIQVAVYEMKLGLSLILSGALWKKNLDRTGVDSTEQVMESVCSFMKFPRGYILESISFNDIDSPVNFWEREINLLEKLVSISSDVNVERGVSVLQLKTALRLNILVHVVHFVADAKKIDNASFKILDKMFNEFASMWMETKVQVKSKEGHDIQQYKFRPRAFEIRHLVDVDMSTFGKLANDNFSEWLELLSEDECLEKVETVTQYENLEEEWNLMQESLLNNMIQLHNKLFGSANLVLNPGTFSISEADRLLLFANSYSLGAGITKGLGGLVSSCLDAKLMPEHLLRLCFEHERIFVSSHKSSTNYNFYKDSNASEMAKMVKSLATLQKRILSLLNEWEDHPGLQKIIDTVEALLNIPSGTPLAKALLGLRFLLNRAKVLEENGSKFSLSDQLAPIIALVCSWQKMEFDTWPALLDEVQDQHEINAAKLWFPLFSVLHHSHAADVSAHEQSTIESLEEFINTSSMGEFKKRLQLLFAFLGQVTAGRCLGIETYSSPWQEKNLYILYNVFGYYVQLLPRILEHIEINRRNIDMELKELLKLCRWERPEACLSAENSKRTRQKLRKLIQKYTDVLQQPAMLFLNQDAVRKGLKIQSLEGPTPLDDISETNVKLLSAVLNQFSDKHRLLWYCGWREKVNDTLQKLHVDKTSKSCFPDITSIMQQYLTSKSACISQLEQWNLLCERLEKISRTTINCDNLWKDTEKNIGKKRAFSELLKLLESSGLHKHKFEIMKVSNSLNWLFIQPSYEMQHLLLTQNRLSYGASTASALQCQPDEGVDTEWKEVNEFYFKSTASVQLLQRICLKPHEDITYEQASRSVSFLNHLIVIQQSQRAATYNFSKNLKHLRECASTLENLYSRCSVSNNRSGSECSISSNQYAVFQCLWKQKRIFDGLVALLVEEAVLFRAAKSTHFKSCESIKPAINHVLQFIEKFTPLMQKTKESLDDYLVGRLGVVQTLVQESLDKCLLHRVGDTSIGPIRPFTISKKMEQLVWKNFQVIKDFEEHLIEFRRQNLNRSSVMETLLGRFDDVLEKGKLLAEELDFSLKAKSRNDSTCTLDKSICHESCSDLDALFGGSLRKTFQIIVNVLTKQCSTSNGYAPSKGSSENITSWEYLFKSSIEDLNLEELYDNLLKIIICAEKMMNSSGCETSPSFPVGACFQHLHAFSAVILTFGDSLLQDLLAMHKMVSVMTHALADVLASLFSKGFGSAAKDEEDDSNHSKSQDATGTGMGEGLGLNDVSEQITDEDQLLGTSEKPSEAQDASGEAPNKNDKGIEMEQDFTADAFSVSEDSEEGNDEGEDDEQLESAMGETGADNEVIDEKLWDKEEDENLNNANEKYESGSSVRDRDASSRELRAKEDYAADDDDEKPGEVNSEEIDKLTDEVGNQEDLDDKVDSMDDVHMDKYESLADPTGIDLDDLKERSEEDMDVDEEMNGEEPDFNEEISPEGESAENENHDQSAENGGGKENEDPVDDETKAEVETEPVERNDPGGDDEENTDMNSNSSRQHLFEQGIYDKFNDHVPNTASASQPNGDSQVSDSRNVVQETKMSDTREAYNDIAPPKSVPSGPTSEMDMMVYDSSSNGGLTDDQQKSELPQSESLSFQENKPNPYRNVGDALDEWKQRVKVSVDLEVDNKEAPGELEDKNADEYGYVPEFEKGTAQTLGPATSDQVDTNIDSIKTDEDKPAAASDDAAKMDIDEHNSEEGHLKHYGSVLKNNTEEQMQISNSEKPYNGGSPEIYGHNDVPESFTESLVSVRKSYLNEDMHCLSKLSIDDNELGKAQDLGEITLDGRSNATVLWRRYELLTTRLSQELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYVASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDFAIESLVTVCRSMSQLEMGNLAVASFGKKGNIKLLHDFDQPFNGEAGVKIISSLTFRQENTIADEPVVDLLKYLTNMLDTAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSKKRMVAFLLLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRD >Manes.04G142500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34082135:34124431:1 gene:Manes.04G142500.v8.1 transcript:Manes.04G142500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSYSLESAVKRFLSRCPELARIQKLNDLVKKGHMVTEEEVINSVAELFVNPNYTIPLIGCLRPIARKIIDAAVSLLGQCNLSSNLDNTLVDSRELVKGEVAYFIDHFNNSGRGLTLHEFACLALCRAIDLDHSLLGSASAYFKFASPPFERIWRKKIVAEQFESVSDCLLASQVSYRLLLLECEFFSVRWDWSCFLELVKVTLNLDVGHGSQSEEISDIRWCGMQIMSIILKMSDKAIENFGVGAEEAASCLLRWEEFCQDVAMEKAGFYVESSENTMLDSSIIGRVAFSQQNFPSSLDHNSLVSLQFHKLEPVIKSRRLVNWNDESGSYPFVVTSTMKRSFDMVLLAVSQRWPVLLYGPAGAGKTALISKLAQDHGNQVLSIHMDEQIDGKTLIGTYICGEQPGEFRWQSGSLIQAVVNGYWVVFEDIDKAPSDVQSILLPLLEGASFFVTGHGEEIRVAESFRLFSTISTSRIDVQCNAEGGNLLSTLWRRVMIGLPNCDDLQSIVKAWYPKLKPLAGKLIETFERVNYVSLHHIMGFQSGDLTLFGSLSRFSLRDLLKWCKRIVGLGFCPMGDVLTAYQCHCIYQEAVDIFAAFSPSSETRTKVMRDIAKLWTVPFPEAGILYPHKPEIQGSLAELRIGRVALQHAETLLHGQGRLVQMRSSLHVLERISCSVKYNEPVLLVGETGTGKTTLVQNLAKMVGQKLTVLNLSQQSDVADLLGGFKPIDPQSICVLLYREFESLFSKTFSVKENDKLFAYLQKQIRKKNWVMLLNAFRKYVDNFQKKVRIERSGSGKKRKKPLDDEEMQRAWDNFSVKLETAHGQIGASSGMVFSFVEGAFVTALRNGDWILLDEVNLAPTETLQRINGVLEGDYGSLCLAERGDVTHIPRHPSFRIFACMNPATDAGKRDLPYSLRGRFTEYFVDDVLDKEDLKLFVNKFMEETRSNAELEQRVIDFYEIAKVNSEEKLQDGANQKPQYSLRSLYRALEYAREAKGKFGFQKAVYDGFQMFFVTMLDGPSAKIIKKMIEDKLLGGIKPSPVTFDAYLPVKKDCSSDDFLGNYVLTKSVKKQLENLARAVFIRRYPVLLQGPTSSGKTSLVHYLAARTGHGFVRINNHEHTDLQEYLGSYISDAHGKLIFHEGILVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETVHAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDYELNTIIEKRCKIPGSRAKIMVEVMKELQLHRQRSKVFAGKHGFITPRDLFRWANRLRTFGDSKEVMAEYGYYLLADRLRDEGEKLVVQEVLEKHLRVKIIKDNLYKDLVGVDSKSLGNIILTKSMRRLSFLLKRCYELREPVLLVGETGGGKTTVCQLLSTMLGSKLHILNCHQYSETSDFLGGFFPIRERSRLTSEFKYIIERLMQSKAYVHFPELLEISLDIGQAASTLDHLAAIITSYRHGQVSCPDVTAEDINTLEEMKLNLSQLHQKWKTIFVWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMEEITAHPNFLVLATMNPGGDYGKKELSPALRNRFTEIWVSPVSDLDELRDIASKRFSNPAFSYIVDAMINFWQWFNQLQVGRMLTVRDLLSWVEFINMTEGSLGPDYAFFHGLFLCLLDGLSLGTGISREDAALLREKCLSFLVKQLEVVDNTTLHKLSTMENYGWGDLGTTLDVSCTDDMLHDTVFGISPFYIGKGSENCETSGFEFLAPTTRRNALRVLRAMQIPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQSDLMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPSSFRVFACQNPFSQGGGRKGLPRSFLNRFTKVYIDELVEDDYLFISSSLYPSIPKPVLSKLIFFNKRLHEDTMIHHKFAQDGSPWEFNLRDVIRSCEIIQGAPDRLKFDCFVDIVYVQRMRTPADRKEVLQLFEDIFEFKPLINPYPRVQLNSNYLIVGNASVKRNSFYPSKLNSSQLTIVPSVRHSLEAALHCVQHQWLCILVGPPSSGKTSLIRLLAELTGNILNELNLSSATDISDLLGCFEQYDAYRNFRCICAQVERYVSEYCSILLEFSKTTFCERKDVITKWLAFLSCMGSSSLSTSNILENWKSVATSLNLLVDIIEQLKLDVVNNGLPVSWSSNKLNKTVETILKLQEYLQRRQFSAKFEWVAGLLVKAIENGEWVLLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGNPLVLRPHPNFRMFLTVNPTLGEVSRAMRNRGVEIFMMQPYWLLNEEKSAEFELKDVKRFLVLSGVPVGKLVESMAKAHVYARNEGLRLNIQITYLELARWIKLFHQLLLNGSQPLWSLQISWEHTYLPSLGEAVGWDIINHGKFAFLSMAKLSESDFPVELSLHLPGGWPMPLKLRDYVFYSKEASVKQNCMYLGYLMSQYELGIKRDNVGLDQVFSTSHGGAYLIDLKRIHKFMFPMSLSWVFSSSYGNVEFDLKLTKKMLLFAADWTIEQATAIDYKLYRLWFNWLSSKLEHGHFFHCYENLLKQEFEHPIWKCIFHCHHELGSLHQVELNLWPVPLLSLDFVDLRPSNDMSNRLCKLLSNAINCVSLLRISFQQWNAQDVHGYGYEAQHFKMVLKPLQDLEKEILNMLVTSPAYDVLIKLYSKLLDDHMAFWHAFISSHFEQLLLSWHSLVKDVSKFRDFCPKAVENVLMMGSKHLDREFHLGSRQSLLWIHGGHPILPSSAKLYHKQQHLLDLCELTWPTHRNPYKQVDDELIELAASSDPELRSLAVQGICMSLYITSKSDEDNVKVTEQLEEMHQMLSERFRYEKQKLRAKLQLDEHAIFERNSASCCVFVPEILGLKCGFTSWQEALPIIDSTSFFLDMELLQNLLTIVLVDPQGLQQALGGVSDLLESALKFSLTLSGRPPQNFIPHQKVLWTLEAWAPEDAVNAKVASYVLEMWFWWHLSLWNHYPVFLEDSSKMGGCDIPLPALLAKPVKTASVIHIVQDSCSIKDYFAHLWKLKVASKNIWESPPTGTNVLGILLSVARSLFQQIIYVHKREFDADKFSAINSIFCSFQKNMITQDKVQNLGVLIASSSDQSLNSLFYLFIEPLLRDLYIHCHSTDFHLNIGYAWLRVGGLRFSLLHSCQDMDPAMKYSYKYSQLEERISSLELEIKVRQECEYLAGCFSSRKTDKKRVETLQMLEAEQKKLQRKMVFRSKPLKFNALRKECNEFLKLVIMVVDLASKIEVMELQQVLDQVCNWQETASCFVKRLSTEYKEYNDVAQPIQVAVYEMKLGLSLILSGALWKKNLDRTGVDSTEQVMESVCSFMKFPRGYILESISFNDIDSPVNFWEREINLLEKLVSISSDVNVERGVSVLQLKTALRLNILVHVVHFVADAKKIDNASFKILDKMFNEFASMWMETKVQVKSKEGHDIQQYKFRPRAFEIRHLVDVDMSTFGKLANDNFSEWLELLSEDECLEKVETVTQYENLEEEWNLMQESLLNNMIQLHNKLFGSANLVLNPGTFSISEADRLLLFANSYSLGAGITKGLGGLVSSCLDAKLMPEHLLRLCFEHERIFVSSHKSSTNYNFYKDSNASEMAKMVKSLATLQKRILSLLNEWEDHPGLQKIIDTVEALLNIPSGTPLAKALLGLRFLLNRAKVLEENGSKFSLSDQLAPIIALVCSWQKMEFDTWPALLDEVQDQHEINAAKLWFPLFSVLHHSHAADVSAHEQSTIESLEEFINTSSMGEFKKRLQLLFAFLGQVTAGRCLGIETYSSPWQEKNLYILYNVFGYYVQLLPRILEHIEINRRNIDMELKELLKLCRWERPEACLSAENSKRTRQKLRKLIQKYTDVLQQPAMLFLNQDAVRKGLKIQSLEGPTPLDDISETNVKLLSAVLNQFSDKHRLLWYCGWREKVNDTLQKLHVDKTSKSCFPDITSIMQQYLTSKSACISQLEQWNLLCERLEKISRTTINCDNLWKDTEKNIGKKRAFSELLKLLESSGLHKHKFEIMKVSNSLNWLFIQPSYEMQHLLLTQNRLSYGASTASALQCQPDEGVDTEWKEVNEFYFKSTASVQLLQRICLKPHEDITYEQASRSVSFLNHLIVIQQSQRAATYNFSKNLKHLRECASTLENLYSRCSVSNNRSGSECSISSNQYAVFQCLWKQKRIFDGLVALLVEEAVLFRAAKSTHFKSCESIKPAINHVLQFIEKFTPLMQKTKESLDDYLVGRLGVVQTLVQESLDKCLLHRVGDTSIGPIRPFTISKKMEQLVWKNFQVIKDFEEHLIEFRRQNLNRSSVMETLLGRFDDVLEKGKLLAEELDFSLKAKSRNDSTCTLDKSICHESCSDLDALFGGSLRKTFQIIVNVLTKQCSTSNGYAPSKGSSENITSWEYLFKSSIEDLNLEELYDNLLKIIICAEKMMNSSGCETSPSFPVGACFQHLHAFSAVILTFGDSLLQDLLAMHKMVSVMTHALADVLASLFSKGFGSAAKDEEDDSNHSKSQDATGTGMGEGLGLNDVSEQITDEDQLLGTSEKPSEAQDASGEAPNKNDKGIEMEQDFTADAFSVSEDSEEGNDEGEDDEQLESAMGETGADNEVIDEKLWDKEEDENLNNANEKYESGSSVRDRDASSRELRAKEDYAADDDDEKPGEVNSEEIDKLTDEVGNQEDLDDKVDSMDDVHMDKYESLADPTGIDLDDLKERSEEDMDVDEEMNGEEPDFNEEISPEGESAENENHDQSAENGGGKENEDPVDDETKAEVETEPVERNDPGGDDEENTDMNSNSSRQHLFEQGIYDKFNDHVPNTASASQPNGDSQVSDSRNVVQETKMSDTREAYNDIAPPKSVPSGPTSEMDMMVYDSSSNGGLTDDQQKSELPQSESLSFQENKPNPYRNVGDALDEWKQRVKVSVDLEVDNKEAPGELEDKNADEYGYVPEFEKGTAQTLGPATSDQVDTNIDSIKTDEDKPAAASDDAAKMDIDEHNSEEGHLKHYGSVLKNNTEEQMQISNSEKPYNGGSPEIYGHNDVPESFTESLVSVRKSYLNEDMHCLSKLSIDDNELGKAQDLGEITLDGRSNATVLWRRYELLTTRLSQELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYVASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDFAIESLVTVCRSMSQLEMGNLAVASFGKKGNIKLLHDFDQPFNGEAGVKIISSLTFRQENTIADEPVVDLLKYLTNMLDTAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSKKRMVAFLLLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRD >Manes.04G142500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34082135:34124431:1 gene:Manes.04G142500.v8.1 transcript:Manes.04G142500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSYSLESAVKRFLSRCPELARIQKLNDLVKKGHMVTEEEVINSVAELFVNPNYTIPLIGCLRPIARKIIDAAVSLLGQCNLSSNLDNTLVDSRELVKGEVAYFIDHFNNSGRGLTLHEFACLALCRAIDLDHSLLGSASAYFKFASPPFERIWRKKIVAEQFESVSDCLLASQVSYRLLLLECEFFSVRWDWSCFLELVKVTLNLDVGHGSQSEEISDIRWCGMQIMSIILKMSDKAIENFGVGAEEAASCLLRWEEFCQDVAMEKAGFYVESSENTMLDSSIIGRVAFSQQNFPSSLDHNSLVSLQFHKLEPVIKSRRLVNWNDESGSYPFVVTSTMKRSFDMVLLAVSQRWPVLLYGPAGAGKTALISKLAQDHGNQVLSIHMDEQIDGKTLIGTYICGEQPGEFRWQSGSLIQAVVNGYWVVFEDIDKAPSDVQSILLPLLEGASFFVTGHGEEIRVAESFRLFSTISTSRIDVQCNAEGGNLLSTLWRRVMIGLPNCDDLQSIVKAWYPKLKPLAGKLIETFERVNYVSLHHIMGFQSGDLTLFGSLSRFSLRDLLKWCKRIVGLGFCPMGDVLTAYQCHCIYQEAVDIFAAFSPSSETRTKVMRDIAKLWTVPFPEAGILYPHKPEIQGSLAELRIGRVALQHAETLLHGQGRLVQMRSSLHVLERISCSVKYNEPVLLVGETGTGKTTLVQNLAKMVGQKLTVLNLSQQSDVADLLGGFKPIDPQSICVLLYREFESLFSKTFSVKENDKLFAYLQKQIRKKNWVMLLNAFRKYVDNFQKKVRIERSGSGKKRKKPLDDEEMQRAWDNFSVKLETAHGQIGASSGMVFSFVEGAFVTALRNGDWILLDEVNLAPTETLQRINGVLEGDYGSLCLAERGDVTHIPRHPSFRIFACMNPATDAGKRDLPYSLRGRFTEYFVDDVLDKEDLKLFVNKFMEETRSNAELEQRVIDFYEIAKVNSEEKLQDGANQKPQYSLRSLYRALEYAREAKGKFGFQKAVYDGFQMFFVTMLDGPSAKIIKKMIEDKLLGGIKPSPVTFDAYLPVKKDCSSDDFLGNYVLTKSVKKQLENLARAVFIRRYPVLLQGPTSSGKTSLVHYLAARTGHGFVRINNHEHTDLQEYLGSYISDAHGKLIFHEGILVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETVHAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDYELNTIIEKRCKIPGSRAKIMVEVMKELQLHRQRSKVFAGKHGFITPRDLFRWANRLRTFGDSKEVMAEYGYYLLADRLRDEGEKLVVQEVLEKHLRVKIIKDNLYKDLVGVDSKSLGNIILTKSMRRLSFLLKRCYELREPVLLVGETGGGKTTVCQLLSTMLGSKLHILNCHQYSETSDFLGGFFPIRERSRLTSEFKYIIERLMQSKAYVHFPELLEISLDIGQAASTLDHLAAIITSYRHGQVSCPDVTAEDINTLEEMKLNLSQLHQKWKTIFVWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMEEITAHPNFLVLATMNPGGDYGKKELSPALRNRFTEIWVSPVSDLDELRDIASKRFSNPAFSYIVDAMINFWQWFNQLQVGRMLTVRDLLSWVEFINMTEGSLGPDYAFFHGLFLCLLDGLSLGTGISREDAALLREKCLSFLVKQLEVDNTTLHKLSTMENYGWGDLGTTLDVSCTDDMLHDTVFGISPFYIGKGSENCETSGFEFLAPTTRRNALRVLRAMQIPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQSDLMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPSSFRVFACQNPFSQGGGRKGLPRSFLNRFTKVYIDELVEDDYLFISSSLYPSIPKPVLSKLIFFNKRLHEDTMIHHKFAQDGSPWEFNLRDVIRSCEIIQGAPDRLKFDCFVDIVYVQRMRTPADRKEVLQLFEDIFEFKPLINPYPRVQLNSNYLIVGNASVKRNSFYPSKLNSSQLTIVPSVRHSLEAALHCVQHQWLCILVGPPSSGKTSLIRLLAELTGNILNELNLSSATDISDLLGCFEQYDAYRNFRCICAQVERYVSEYCSILLEFSKTTFCERKDVITKWLAFLSCMGSSSLSTSNILENWKSVATSLNLLVDIIEQLKLDVVNNGLPVSWSSNKLNKTVETILKLQEYLQRRQFSAKFEWVAGLLVKAIENGEWVLLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGNPLVLRPHPNFRMFLTVNPTLGEVSRAMRNRGVEIFMMQPYWLLNEEKSAEFELKDVKRFLVLSGVPVGKLVESMAKAHVYARNEGLRLNIQITYLELARWIKLFHQLLLNGSQPLWSLQISWEHTYLPSLGEAVGWDIINHGKFAFLSMAKLSESDFPVELSLHLPGGWPMPLKLRDYVFYSKEASVKQNCMYLGYLMSQYELGIKRDNVGLDQVFSTSHGGAYLIDLKRIHKFMFPMSLSWVFSSSYGNVEFDLKLTKKMLLFAADWTIEQATAIDYKLYRLWFNWLSSKLEHGHFFHCYENLLKQEFEHPIWKCIFHCHHELGSLHQVELNLWPVPLLSLDFVDLRPSNDMSNRLCKLLSNAINCVSLLRISFQQWNAQDVHGYGYEAQHFKMVLKPLQDLEKEILNMLVTSPAYDVLIKLYSKLLDDHMAFWHAFISSHFEQLLLSWHSLVKDVSKFRDFCPKAVENVLMMGSKHLDREFHLGSRQSLLWIHGGHPILPSSAKLYHKQQHLLDLCELTWPTHRNPYKQVDDELIELAASSDPELRSLAVQGICMSLYITSKSDEDNVKVTEQLEEMHQMLSERFRYEKQKLRAKLQLDEHAIFERNSASCCVFVPEILGLKCGFTSWQEALPIIDSTSFFLDMELLQNLLTIVLVDPQGLQQALGGVSDLLESALKFSLTLSGRPPQNFIPHQKVLWTLEAWAPEDAVNAKVASYVLEMWFWWHLSLWNHYPVFLEDSSKMGGCDIPLPALLAKPVKTASVIHIVQDSCSIKDYFAHLWKLKVASKNIWESPPTGTNVLGILLSVARSLFQQIIYVHKREFDADKFSAINSIFCSFQKNMITQDKVQNLGVLIASSSDQSLNSLFYLFIEPLLRDLYIHCHSTDFHLNIGYAWLRVGGLRFSLLHSCQDMDPAMKYSYKYSQLEERISSLELEIKVRQECEYLAGCFSSRKTDKKRVETLQMLEAEQKKLQRKMVFRSKPLKFNALRKECNEFLKLVIMVVDLASKIEVMELQQVLDQVCNWQETASCFVKRLSTEYKEYNDVAQPIQVAVYEMKLGLSLILSGALWKKNLDRTGVDSTEQVMESVCSFMKFPRGYILESISFNDIDSPVNFWEREINLLEKLVSISSDVNVERGVSVLQLKTALRLNILVHVVHFVADAKKIDNASFKILDKMFNEFASMWMETKVQVKSKEGHDIQQYKFRPRAFEIRHLVDVDMSTFGKLANDNFSEWLELLSEDECLEKVETVTQYENLEEEWNLMQESLLNNMIQLHNKLFGSANLVLNPGTFSISEADRLLLFANSYSLGAGITKGLGGLVSSCLDAKLMPEHLLRLCFEHERIFVSSHKSSTNYNFYKDSNASEMAKMVKSLATLQKRILSLLNEWEDHPGLQKIIDTVEALLNIPSGTPLAKALLGLRFLLNRAKVLEENGSKFSLSDQLAPIIALVCSWQKMEFDTWPALLDEVQDQHEINAAKLWFPLFSVLHHSHAADVSAHEQSTIESLEEFINTSSMGEFKKRLQLLFAFLGQVTAGRCLGIETYSSPWQEKNLYILYNVFGYYVQLLPRILEHIEINRRNIDMELKELLKLCRWERPEACLSAENSKRTRQKLRKLIQKYTDVLQQPAMLFLNQDAVRKGLKIQSLEGPTPLDDISETNVKLLSAVLNQFSDKHRLLWYCGWREKVNDTLQKLHVDKTSKSCFPDITSIMQQYLTSKSACISQLEQWNLLCERLEKISRTTINCDNLWKDTEKNIGKKRAFSELLKLLESSGLHKHKFEIMKVSNSLNWLFIQPSYEMQHLLLTQNRLSYGASTASALQCQPDEGVDTEWKEVNEFYFKSTASVQLLQRICLKPHEDITYEQASRSVSFLNHLIVIQQSQRAATYNFSKNLKHLRECASTLENLYSRCSVSNNRSGSECSISSNQYAVFQCLWKQKRIFDGLVALLVEEAVLFRAAKSTHFKSCESIKPAINHVLQFIEKFTPLMQKTKESLDDYLVGRLGVVQTLVQESLDKCLLHRVGDTSIGPIRPFTISKKMEQLVWKNFQVIKDFEEHLIEFRRQNLNRSSVMETLLGRFDDVLEKGKLLAEELDFSLKAKSRNDSTCTLDKSICHESCSDLDALFGGSLRKTFQIIVNVLTKQCSTSNGYAPSKGSSENITSWEYLFKSSIEDLNLEELYDNLLKIIICAEKMMNSSGCETSPSFPVGACFQHLHAFSAVILTFGDSLLQDLLAMHKMVSVMTHALADVLASLFSKGFGSAAKDEEDDSNHSKSQDATGTGMGEGLGLNDVSEQITDEDQLLGTSEKPSEAQDASGEAPNKNDKGIEMEQDFTADAFSVSEDSEEGNDEGEDDEQLESAMGETGADNEVIDEKLWDKEEDENLNNANEKYESGSSVRDRDASSRELRAKEDYAADDDDEKPGEVNSEEIDKLTDEVGNQEDLDDKVDSMDDVHMDKYESLADPTGIDLDDLKERSEEDMDVDEEMNGEEPDFNEEISPEGESAENENHDQSAENGGGKENEDPVDDETKAEVETEPVERNDPGGDDEENTDMNSNSSRQHLFEQGIYDKFNDHVPNTASASQPNGDSQVSDSRNVVQETKMSDTREAYNDIAPPKSVPSGPTSEMDMMVYDSSSNGGLTDDQQKSELPQSESLSFQENKPNPYRNVGDALDEWKQRVKVSVDLEVDNKEAPGELEDKNADEYGYVPEFEKGTAQTLGPATSDQVDTNIDSIKTDEDKPAAASDDAAKMDIDEHNSEEGHLKHYGSVLKNNTEEQMQISNSEKPYNGGSPEIYGHNDVPESFTESLVSVRKSYLNEDMHCLSKLSIDDNELGKAQDLGEITLDGRSNATVLWRRYELLTTRLSQELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYVASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDFAIESLVTVCRSMSQLEMGNLAVASFGKKGNIKLLHDFDQPFNGEAGVKIISSLTFRQENTIADEPVVDLLKYLTNMLDTAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSKKRMVAFLLLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRD >Manes.04G142500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34082135:34124515:1 gene:Manes.04G142500.v8.1 transcript:Manes.04G142500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSYSLESAVKRFLSRCPELARIQKLNDLVKKGHMVTEEEVINSVAELFVNPNYTIPLIGCLRPIARKIIDAAVSLLGQCNLSSNLDNTLVDSRELVKGEVAYFIDHFNNSGRGLTLHEFACLALCRAIDLDHSLLGSASAYFKFASPPFERIWRKKIVAEQFESVSDCLLASQVSYRLLLLECEFFSVRWDWSCFLELVKVTLNLDVGHGSQSEEISDIRWCGMQIMSIILKMSDKAIENFGVGAEEAASCLLRWEEFCQDVAMEKAGFYVESSENTMLDSSIIGRVAFSQQNFPSSLDHNSLVSLQFHKLEPVIKSRRLVNWNDESGSYPFVVTSTMKRSFDMVLLAVSQRWPVLLYGPAGAGKTALISKLAQDHGNQVLSIHMDEQIDGKTLIGTYICGEQPGEFRWQSGSLIQAVVNGYWVVFEDIDKAPSDVQSILLPLLEGASFFVTGHGEEIRVAESFRLFSTISTSRIDVQCNAEGGNLLSTLWRRVMIGLPNCDDLQSIVKAWYPKLKPLAGKLIETFERVNYVSLHHIMGFQSGDLTLFGSLSRFSLRDLLKWCKRIVGLGFCPMGDVLTAYQCHCIYQEAVDIFAAFSPSSETRTKVMRDIAKLWTVPFPEAGILYPHKPEIQGSLAELRIGRVALQHAETLLHGQGRLVQMRSSLHVLERISCSVKYNEPVLLVGETGTGKTTLVQNLAKMVGQKLTVLNLSQQSDVADLLGGFKPIDPQSICVLLYREFESLFSKTFSVKENDKLFAYLQKQIRKKNWVMLLNAFRKYVDNFQKKVRIERSGSGKKRKKPLDDEEMQRAWDNFSVKLETAHGQIGASSGMVFSFVEGAFVTALRNGDWILLDEVNLAPTETLQRINGVLEGDYGSLCLAERGDVTHIPRHPSFRIFACMNPATDAGKRDLPYSLRGRFTEYFVDDVLDKEDLKLFVNKFMEETRSNAELEQRVIDFYEIAKVNSEEKLQDGANQKPQYSLRSLYRALEYAREAKGKFGFQKAVYDGFQMFFVTMLDGPSAKIIKKMIEDKLLGGIKPSPVTFDAYLPVKKDCSSDDFLGNYVLTKSVKKQLENLARAVFIRRYPVLLQGPTSSGKTSLVHYLAARTGHGFVRINNHEHTDLQEYLGSYISDAHGKLIFHEGILVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETVHAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDYELNTIIEKRCKIPGSRAKIMVEVMKELQLHRQRSKVFAGKHGFITPRDLFRWANRLRTFGDSKEVMAEYGYYLLADRLRDEGEKLVVQEVLEKHLRVKIIKDNLYKDLVGVDSKSLGNIILTKSMRRLSFLLKRCYELREPVLLVGETGGGKTTVCQLLSTMLGSKLHILNCHQYSETSDFLGGFFPIRERSRLTSEFKYIIERLMQSKAYVHFPELLEISLDIGQAASTLDHLAAIITSYRHGQVSCPDVTAEDINTLEEMKLNLSQLHQKWKTIFVWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMEEITAHPNFLVLATMNPGGDYGKKELSPALRNRFTEIWVSPVSDLDELRDIASKRFSNPAFSYIVDAMINFWQWFNQLQVGRMLTVRDLLSWVEFINMTEGSLGPDYAFFHGLFLCLLDGLSLGTGISREDAALLREKCLSFLVKQLEVDNTTLHKLSTMENYGWGDLGTTLDVSCTDDMLHDTVFGISPFYIGKGSENCETSGFEFLAPTTRRNALRVLRAMQIPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQSDLMDLLGSDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGLTFKCPSSFRVFACQNPFSQGGGRKGLPRSFLNRFTKVYIDELVEDDYLFISSSLYPSIPKPVLSKLIFFNKRLHEDTMIHHKFAQDGSPWEFNLRDVIRSCEIIQGAPDRLKFDCFVDIVYVQRMRTPADRKEVLQLFEDIFEFKPLINPYPRVQLNSNYLIVGNASVKRNSFYPSKLNSSQLTIVPSVRHSLEAALHCVQHQWLCILVGPPSSGKTSLIRLLAELTGNILNELNLSSATDISDLLGCFEQYDAYRNFRCICAQVERYVSEYCSILLEFSKTTFCERKDVITKWLAFLSCMGSSSLSTSNILENWKSVATSLNLLVDIIEQLKLDVVNNGLPVSWSSNKLNKTVETILKLQEYLQRRQFSAKFEWVAGLLVKAIENGEWVLLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGNPLVLRPHPNFRMFLTVNPTLGEVSRAMRNRGVEIFMMQPYWLLNEEKSAEFELKDVKRFLVLSGVPVGKLVESMAKAHVYARNEGLRLNIQITYLELARWIKLFHQLLLNGSQPLWSLQISWEHTYLPSLGEAVGWDIINHGKFAFLSMAKLSESDFPVELSLHLPGGWPMPLKLRDYVFYSKEASVKQNCMYLGYLMSQYELGIKRDNVGLDQVFSTSHGGAYLIDLKRIHKFMFPMSLSWVFSSSYGNVEFDLKLTKKMLLFAADWTIEQATAIDYKLYRLWFNWLSSKLEHGHFFHCYENLLKQEFEHPIWKCIFHCHHELGSLHQVELNLWPVPLLSLDFVDLRPSNDMSNRLCKLLSNAINCVSLLRISFQQWNAQDVHGYGYEAQHFKMVLKPLQDLEKEILNMLVTSPAYDVLIKLYSKLLDDHMAFWHAFISSHFEQLLLSWHSLVKDVSKFRDFCPKAVENVLMMGSKHLDREFHLGSRQSLLWIHGGHPILPSSAKLYHKQQHLLDLCELTWPTHRNPYKQVDDELIELAASSDPELRSLAVQGICMSLYITSKSDEDNVKVTEQLEEMHQMLSERFRYEKQKLRAKLQLDEHAIFERNSASCCVFVPEILGLKCGFTSWQEALPIIDSTSFFLDMELLQNLLTIVLVDPQGLQQALGGVSDLLESALKFSLTLSGRPPQNFIPHQKVLWTLEAWAPEDAVNAKVASYVLEMWFWWHLSLWNHYPVFLEDSSKMGGCDIPLPALLAKPVKTASVIHIVQDSCSIKDYFAHLWKLKVASKNIWESPPTGTNVLGILLSVARSLFQQIIYVHKREFDADKFSAINSIFCSFQKNMITQDKVQNLGVLIASSSDQSLNSLFYLFIEPLLRDLYIHCHSTDFHLNIGYAWLRVGGLRFSLLHSCQDMDPAMKYSYKYSQLEERISSLELEIKVRQECEYLAGCFSSRKTDKKRVETLQMLEAEQKKLQRKMVFRSKPLKFNALRKECNEFLKLVIMVVDLASKIEVMELQQVLDQVCNWQETASCFVKRLSTEYKEYNDVAQPIQVAVYEMKLGLSLILSGALWKKNLDRTGVDSTEQVMESVCSFMKFPRGYILESISFNDIDSPVNFWEREINLLEKLVSISSDVNVERGVSVLQLKTALRLNILVHVVHFVADAKKIDNASFKILDKMFNEFASMWMETKVQVKSKEGHDIQQYKFRPRAFEIRHLVDVDMSTFGKLANDNFSEWLELLSEDECLEKVETVTQYENLEEEWNLMQESLLNNMIQLHNKLFGSANLVLNPGTFSISEADRLLLFANSYSLGAGITKGLGGLVSSCLDAKLMPEHLLRLCFEHERIFVSSHKSSTNYNFYKDSNASEMAKMVKSLATLQKRILSLLNEWEDHPGLQKIIDTVEALLNIPSGTPLAKALLGLRFLLNRAKVLEENGSKFSLSDQLAPIIALVCSWQKMEFDTWPALLDEVQDQHEINAAKLWFPLFSVLHHSHAADVSAHEQSTIESLEEFINTSSMGEFKKRLQLLFAFLGQVTAGRCLGIETYSSPWQEKNLYILYNVFGYYVQLLPRILEHIEINRRNIDMELKELLKLCRWERPEACLSAENSKRTRQKLRKLIQKYTDVLQQPAMLFLNQDAVRKGLKIQSLEGPTPLDDISETNVKLLSAVLNQFSDKHRLLWYCGWREKVNDTLQKLHVDKTSKSCFPDITSIMQQYLTSKSACISQLEQWNLLCERLEKISRTTINCDNLWKDTEKNIGKKRAFSELLKLLESSGLHKHKFEIMKVSNSLNWLFIQPSYEMQHLLLTQNRLSYGASTASALQCQPDEGVDTEWKEVNEFYFKSTASVQLLQRICLKPHEDITYEQASRSVSFLNHLIVIQQSQRAATYNFSKNLKHLRECASTLENLYSRCSVSNNRSGSECSISSNQYAVFQCLWKQKRIFDGLVALLVEEAVLFRAAKSTHFKSCESIKPAINHVLQFIEKFTPLMQKTKESLDDYLVGRLGVVQTLVQESLDKCLLHRVGDTSIGPIRPFTISKKMEQLVWKNFQVIKDFEEHLIEFRRQNLNRSSVMETLLGRFDDVLEKGKLLAEELDFSLKAKSRNDSTCTLDKSICHESCSDLDALFGGSLRKTFQIIVNVLTKQCSTSNGYAPSKGSSENITSWEYLFKSSIEDLNLEELYDNLLKIIICAEKMMNSSGCETSPSFPVGACFQHLHAFSAVILTFGDSLLQDLLAMHKMVSVMTHALADVLASLFSKGFGSAAKDEEDDSNHSKSQDATGTGMGEGLGLNDVSEQITDEDQLLGTSEKPSEAQDASGEAPNKNDKGIEMEQDFTADAFSVSEDSEEGNDEGEDDEQLESAMGETGADNEVIDEKLWDKEEDENLNNANEKYESGSSVRDRDASSRELRAKEDYAADDDDEKPGEVNSEEIDKLTDEVGNQEDLDDKVDSMDDVHMDKYESLADPTGIDLDDLKERSEEDMDVDEEMNGEEPDFNEEISPEGESAENENHDQSAENGGGKENEDPVDDETKAEVETEPVERNDPGGDDEENTDMNSNSSRQHLFEQGIYDKFNDHVPNTASASQPNGDSQVSDSRNVVQETKMSDTREAYNDIAPPKSVPSGPTSEMDMMVYDSSSNGGLTDDQQKSELPQSESLSFQENKPNPYRNVGDALDEWKQRVKVSVDLEVDNKEAPGELEDKNADEYGYVPEFEKGTAQTLGPATSDQVDTNIDSIKTDEDKPAAASDDAAKMDIDEHNSEEGHLKHYGSVLKNNTEEQMQISNSEKPYNGGSPEIYGHNDVPESFTESLVSVRKSYLNEDMHCLSKLSIDDNELGKAQDLGEITLDGRSNATVLWRRYELLTTRLSQELAEQLRLVLEPTLASKLQGDYKTGKRINMKKVIPYVASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDFAIESLVTVCRSMSQLEMGNLAVASFGKKGNIKLLHDFDQPFNGEAGVKIISSLTFRQENTIADEPVVDLLKYLTNMLDTAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSKKRMVAFLLLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRD >Manes.13G063250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7687703:7690073:1 gene:Manes.13G063250.v8.1 transcript:Manes.13G063250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIFPIHLNHLKIFALVVSTLSRDFDCSFFFFLTKDSVIISILVIQSFSSSGYLKMPAARPNTSDRDGEPFVEVDPTGRFGRYDDLLGAGAVKKVYRGFDQEEGIEVAWNQVRLRNFIEDPVLINRLHSEVKLLRSLKNKYIIVCYSAWLDEENTTLNFITEVCTSGNLRNYRKKHRHVSLKALKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFVNGNIGQVKIGDLGFATIVGKSHAAHSIIGTPEFMAPELYEEDYTELVDIYSFGLCLLEMVTIEMPYSECDSIAKIYKKVTTGVKPRSLSKVTNPEVKALLRSA >Manes.13G063250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7686322:7690073:1 gene:Manes.13G063250.v8.1 transcript:Manes.13G063250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARPNTSDRDGEPFVEVDPTGRFGRYDDLLGAGAVKKVYRGFDQEEGIEVAWNQVRLRNFIEDPVLINRLHSEVKLLRSLKNKYIIVCYSAWLDEENTTLNFITEVCTSGNLRNYRKKHRHVSLKALKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFVNGNIGQVKIGDLGFATIVGKSHAAHSIIGTPEFMAPELYEEDYTELVDIYSFGLCLLEMVTIEMPYSECDSIAKIYKKVTTGVKPRSLSKVTNPEVKALLRSA >Manes.02G140201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10792089:10808337:-1 gene:Manes.02G140201.v8.1 transcript:Manes.02G140201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEANQAEHHIQLETTPDTVDALLEAARFDDIDDIRGLASEGVSLDSKDSLGRTALHMAAANGHLDVVEYLISQGVDLNASNDEKNTPLHWACLNGRIEVVKKLILAGASLSILNCHERTPVDEAVSQGKMDIVDAINAAVAQLELAGVQVS >Manes.02G140201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10792089:10808337:-1 gene:Manes.02G140201.v8.1 transcript:Manes.02G140201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEANQAEHHIQLETTPDTVDALLEAARFDDIDDIRGLASEGVSLDSKDSLGRTALHMAAANGHLDVVEYLISQGVDLNASNDEKNTPLHWACLNGRIEVVKKLILAGASLSILNCHERTPVDEAVSQGKMDIVDAINAAVAQLELAGVQVS >Manes.13G093432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:25146141:25147067:1 gene:Manes.13G093432.v8.1 transcript:Manes.13G093432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKLSFSFSKISRSRDLYGFYFLSLPHQYNVLQSPEFSFYKQLLSLLFPSTFTLTTIIAFAIEKVAIFVVQRKVMVIFLSMVQLLALSRRRRRNWDRPKVGIERRKGEGTKLKWVKEKQLEQEKHLGLRGERRRRVYECEVRGERRGRVDQCEARRKKEEVRMRNSKEVRRQQLMP >Manes.14G019985.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1988027:1990657:1 gene:Manes.14G019985.v8.1 transcript:Manes.14G019985.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITYTRSLLLFVLLVEHLLPALGFNQGAGDGRIHCIEIERRALLEIKQDLVDDYGHLDSWGSEEGKRDCCEWRGIQCSNQTGHITVLDLHVAELGDRNKPLRGKINHSLLKLQHLRYLDFSGNDFQGTLLPNFNGSLSKLRYLNISNLRNLSSLQSLDLSDNQLDGIIPSAFQNMISLKNLNLSFNTIEGTIPNFFGNFCSLHTLDLAGNNLTGQLPAFLEHLSGCAENSLEILNLKMNQLHGSLPDITRFSSLKELFLCENHLNGSFPERFSQLSDLVVLEVDHNQLAGSLPDLTIFPSLKRLSLSHNRLNGTVTESLGGLSKLEVLYANHNSFHGLITEAHFQNLSQLQELFLNKNPLALKFNSDWSPPFQLDTVCLMSCNLGPYFPKWLQNQNNYTSLDISDAGISGPVPEWFWNLSPRVRFLNLSHNLLSGMVPDLSSKFVGSPGIDLNSNLFEGPLPLLPSNALSLSLSKNRFLGSISSICNSIGRKLNFLDLSDNLLSGVLDDDCFMNGQQLVVLNLADNNFSGKIPNSVGSLSELQTFSLRNNSFSGEITLSFRNCSRLRFLDLSHNRLSGKIPAWIGESQRDLIFFSLQSNAFHGSIPVQLCWLQNILLLDLSINNISGTIPRCLKNFTHMSRKQGDNNYNFYDYNFSASSGEDGGFQAKYADSALIGWKGRTYRYDKNLQLLRIINLAENKLSGKIPGEITSLQALVGFNLSRNDLSGRIPLEIGKLKQLQWLDLSRNRLSGVIPDGMAKLYFLSYMDLSYNNLSGRIPTSTQLQSFDASTFSGNSNICGPPVIQKCPGDERPQVGPTNDDRQDNEENDDDFRKWFLAGLASGFSVSFVGILGILVQRPPWRRAFF >Manes.09G024200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4864620:4867029:1 gene:Manes.09G024200.v8.1 transcript:Manes.09G024200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSQIHARILTHLLPLSTVSFLLSKVLSFSALSPLGNFAYARKVFSQIPNPGVFAYNTIIRGGSYTKTPSKEPFYFYKRMLTRAYPTPNTFTIAFVLKACSSIIALEEGQQVHACILRSGYTLSPYVQSSLVNFYAKCEEITLARKVFDEITERNLVSWSAMITGYARVGMVNETFSVFREMQGVGIEPDEVSLVGVISACAMAGALDIGKWIHTYINKRKLDIDLELNTALVNMYAKCGYIEKAKEIFDEMPVKDSKAWSSMIVGLAIHGLAQDALDMFTRMEEAKAKPNHVTFIGVLSACARGGLVSEGKRHWSRMLELGIEPSMEHYGCMVDLLCRGGLVDEAYNFALSTPSPNAVIWRTLLVGYKRNQMLQQAEMVAERLFELEPLNAENYIILSNLYATVSEWEKMSHVRKKMKEKGIKAVPGCTSIEIDGFVHEFVMGDWSHPEAEEIKRILKNVSARIHSTGYEPRVSSVLHYVDDEEKENSLFEHSERLAIAYGLLKTKAPAAIRIVKNLTVCEDCHEVTKIISRVYNREIIVRDRVRFHKFVNGTCSCNDHW >Manes.09G024200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4864620:4867036:1 gene:Manes.09G024200.v8.1 transcript:Manes.09G024200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILSQIHARILTHLLPLSTVSFLLSKVLSFSALSPLGNFAYARKVFSQIPNPGVFAYNTIIRGGSYTKTPSKEPFYFYKRMLTRAYPTPNTFTIAFVLKACSSIIALEEGQQVHACILRSGYTLSPYVQSSLVNFYAKCEEITLARKVFDEITERNLVSWSAMITGYARVGMVNETFSVFREMQGVGIEPDEVSLVGVISACAMAGALDIGKWIHTYINKRKLDIDLELNTALVNMYAKCGYIEKAKEIFDEMPVKDSKAWSSMIVGLAIHGLAQDALDMFTRMEEAKAKPNHVTFIGVLSACARGGLVSEGKRHWSRMLELGIEPSMEHYGCMVDLLCRGGLVDEAYNFALSTPSPNAVIWRTLLVGYKRNQMLQQAEMVAERLFELEPLNAENYIILSNLYATVSEWEKMSHVRKKMKEKGIKAVPGCTSIEIDGFVHEFVMGDWSHPEAEEIKRILKNVSARIHSTGYEPRVSSVLHYVDDEEKENSLFEHSERLAIAYGLLKTKAPAAIRIVKNLTVCEDCHEVTKIISRVYNREIIVRDRVRFHKFVNGTCSCNDHW >Manes.02G120100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9173054:9176370:-1 gene:Manes.02G120100.v8.1 transcript:Manes.02G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIPITFILLVYLVVSSQSLDFENLRRRHKTNIKGPIKTLVILVMENRSFDHVLGWLKSTRPDIDGLTGTESNRISVSDPNSPEIFVSDDAFFVDWDPGHSFQAIREQIFGSNDTSAKPAPMNGFAQQAESMAENKSRTAMSGFKPSRLPVYTALANEFAVFDRWFASMPASTQPNRFYVHSATSHGATSNVRKDLIHGFPQKTIFDSLDENSLTFGIYYQNIPATLFFKSLRKLKHVFKFHKYEWSFKRHARLGMLPNYVVVEQRYFDVELFPANDDHPSHDVAIGQKFVKEVYETLRASPQWKEMALLITYDEHGGFYDHVPTPVSGVPNPDGIIGPDPFYFRFDRLGVRVPTILVSPWIEKGTVVHEPVGPSPHSQFEHSSIPATVKKLFNLKSNFLTKRDAWAGTFEDYFHIRDTPRDDCPETLPEVEMSLRPRGPQEDVRLSEFQVELIQLASQLNGDYVLNTYPDIGKSMTVGEANRYAEDAVRRFLEAGKTALRAGANESAIVTMRPSLTSRIAAGDHDSYVKAY >Manes.13G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3818877:3822321:1 gene:Manes.13G029000.v8.1 transcript:Manes.13G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVPVDVFSGSGKVCAINAGYSKRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAILSDVPDEHRQILKSQGCIVREIEPIYPPEHQIQFAMAYYVINYSKLRIWNFEEYSKMMYLDADIQVFENIDHLFDAPDGYFYAVMDCFCEKTWSHSAQYSIGYCQQCPDRVTWPTDMGSPPPLYFNAGMFVFEPSRLTYDNLLHTLKITPPTPFAEQDFLNMFFEKTYKPLPLVYNLVLAMLWRHPENVEVEKVKVAHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWEIYNDESLDLKPENSVAVAEDETLTRTSIMASMPEPAISYIPAPSAA >Manes.10G087700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22603138:22606562:1 gene:Manes.10G087700.v8.1 transcript:Manes.10G087700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCPPPPLIDFPPTFLTRLQPARELPHLFGHRILKIYSLSSKFCLRFSRLASNSTFFESSLLVCNKESISHTKKAPPDGKPIAAPIAPSQVLGKVKDFLGVISEANKRLQQDAKDNTQNYDIEVLTGTESEVIEMDLMLGIADLHTPEAIAAAESAVSNAQQLVPSDSDSSETESEGTSDDDDNKLDSDDEDKDEKTSFLLKHKTSKFGEDGSSQPAGKNTSKKRPKIVEMC >Manes.10G087700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22603089:22607178:1 gene:Manes.10G087700.v8.1 transcript:Manes.10G087700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRELLLLEHKNLSASPLESSLLVCNKESISHTKKAPPDGKPIAAPIAPSQVLGKVKDFLGVISEANKRLQQDAKDNTQNYDIEVLTGTESEVIEMDLMLGIADLHTPEAIAAAESAVSNAQQLVPSDSDSSETESEGTSDDDDNKLDSDDEDKDEKTSFLLKHKTSKFGEDGSSQPAGKNTSKKRPKIVEMC >Manes.09G081419.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11947240:11951194:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.09G081419.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11935994:11951194:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPYMEAHYMNTSYPYNSAGSFMEYFEGLTYEHVNFIFDGASQIQESVYPSMNANLYKFSLSQSGSSLYYDHSHAYEIHALGPQIDDYRRPLENSSTMTNVPTAAVSAEREGNENMGAQNDPEEWLEAEQHPASMGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.09G081419.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11941535:11951240:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.09G081419.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11946238:11951194:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.09G081419.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11941535:11951240:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.09G081419.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11941451:11951194:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.09G081419.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11947241:11951194:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.09G081419.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11941535:11951194:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.09G081419.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11947462:11950271:1 gene:Manes.09G081419.v8.1 transcript:Manes.09G081419.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTASQSQKKRTLEALERRFAVAKAELIQQQKKTQNENNNTTSSISSIAPPVHLPPAPSTPSCLNSSLKKDLQENGPAYSQLSHPVYENLLTTNKFQSKRGSMVDMILHELLQHGDSAQKYLQGSRSKKIDNWILLDNYVQGRGKSTSSHIRALKAHSKRSKKHMSMKQHKKCGSFVLPQDRQKFTVFKPMHEMWKGYVMQLLKNTGTNQLAQCLLSADLHGAVILVAQCKVASFTGITGIMIRETAETFGILAEDDKFWVVPKKCSVFIFQVDCWKITLQGDKLTSRNLGL >Manes.15G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8044676:8051349:1 gene:Manes.15G102500.v8.1 transcript:Manes.15G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMGSLSLKPSAFRVEKSGGRVGGLPTLSRRTFRVEASGGKKIKTDKPYGINGGMNLRDGVDASGRKSRGKGVYQYVDKYGANVDGYSPIYNTDDWSPSGDVYVGGTTGLAIWAVTLAGLLAGGALLVYNTSALAQ >Manes.05G177900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29449518:29451321:1 gene:Manes.05G177900.v8.1 transcript:Manes.05G177900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDREEIGRIKGPWSPEEDQALKRLVQNHGARNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFSPEEDEAIIQAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSLFEDLSDDAHAQVQQPLKRSASVGAATVSGLQLNPSSPSGSDVSDSSLPGMASSPVYRPLARTGSLQAGLSIDAASSTTDPPTSLSLSLPGSDSFEASNQVSIIGSGSGFNHGVTPIHVVQTQLVLPTAVPVEQAATTQQNGVGFEKQFFRPEFLAMVQEMIRKEVGRYMSGI >Manes.15G028300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2228319:2232392:1 gene:Manes.15G028300.v8.1 transcript:Manes.15G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPQYTVASDSETTGEEKSTSAFPELAIGIDIGTSQCSVAVWNGSQVELLKNTRNQKLMQSYVSFREDTPSGGVSNQLSHEHEMLSGAAIFNVKRLIGRVDTDPVVQASKNLPFLVQTLDIGVRPFIASLVNNAWRSTTPEEVLAIFLVELRAMAEVQLKRPVRNVVLTVPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQTVHENMGSGSEKNALIFNMGAGYCDVAVSATAGGVSQIKALAGAPIGGEDILQNVMQHVMPNSESLFLSHGISEIRLMGLLRVATQDAIHRLSSQSSVQVDVDLGNEKKLCKVITRDEFEEVNSKVFEKCENLIKQCLRDSKVDIEDLTDVILVGGCSYIPKIRNLVKDTCKTKELYKEMNPLEAAVCGAALEGAVASGISDPFGNLDLLTIQATPLGIGIRADGNSFVPIIPQNTTMPARKEMSFTTTHDNQTGALILVYEGVGKTVGENHLLGYFKIVGIPPAPKGVPEINVCMDIDASNVLRVFAGVLMPGSERPVAPFMEVRMPTVDDGHGWCAEALHKAYGSTLDLVTVHKKV >Manes.05G118400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12248523:12249428:1 gene:Manes.05G118400.v8.1 transcript:Manes.05G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSTQQRPSRPAATNNASIFLRKLQAHAPNSTQLVGFLTFLISASILLLLTGITVTVSVLGLIFFAPLIILSSPIWFPVGIILFLSVAGFLSICGVGVAVVGGLAWMYRYYRGMNPPGSERFDYARSRIYDTASHMKDYAKECGGYLQSKVKDAAPGA >Manes.07G095604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29993117:29994298:-1 gene:Manes.07G095604.v8.1 transcript:Manes.07G095604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQPNSRVLKRLFFFTFENPFLSLTNHRYQNLPQQYKKTCNIAHTQVQRAQTHPFLKDFTAQNPNGCIPIFDVSKRGLQFDVKIKEFFGPKYNLPWFRLTEEAAAIDREEKKVVEEHKEDLRKRLKKFILMSMEKVLPFKIIKGMLWYLGLPEDFLQYPDKNFDSYFRVVELEDGLKGLGVESREKLLSVLQKNAMRKGLYSGEPMETIDFPFFPSKGLRLRRKILDCDIAQKRVVGLLHELLSLFVEHSAERKKFLCLKKYFELPQKVHEAFERHPHMFYLSFRNNTCTAILKEAYGDDELAMERHPMAMIRKKYIKLMKESEVILKRRRTNNPFVQ >Manes.03G186500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30676643:30686092:-1 gene:Manes.03G186500.v8.1 transcript:Manes.03G186500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKHDLLDQGFMLKGKWYQRKDLEIKNSRGDILQCSHYLPIVSPKEMPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVDYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALFGHAVDDDFIQPHHSDRICEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMHDYFDKGSWSAVHDVGNNREFSTASEVAEPSTSNGDDFHTLEQVRPKRPMSRMEVGEINDNHLPSSSKMISFELSHGHPTGPHVPTMMDDDQYVEYQLDDLTGFPCDVEEEERMLMEAVIASLKDLEMRYPNAEEKQTSVNPTSVESSQKHYVHASSSTAEHCDSLKTIEQREPSKVGPAFSPAINGENSAIEHPSPDSVSSVGTTFDTPSSMAESISTTTSSRSDTSGSIPSSTDTDLSSNTKATLTVERNPARHIMDGLMRRWDFNLFSNNR >Manes.03G186500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30676643:30686092:-1 gene:Manes.03G186500.v8.1 transcript:Manes.03G186500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKHDLLDQGFMLKGKWYQRKDLEIKNSRGDILQCSHYLPIVSPKEMPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVDYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALFGHAVDDDFIQPHHSDRICEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMHDYFDKGSWSAVHDVGNNREFSTASEVAEPSTSNGDDFHTLEQVRPKRPMSRMEVPSDIPSEGNQSEVEVGEINDNHLPSSSKMISFELSHGHPTGPHVPTMMDDDQYVEYQLDDLTGFPCDVEEEERMLMEAVIASLKDLEMRYPNAEEKQTSVNPTSVESSQKHYVHASSSTAEHCDSLKTIEQREPSKVGPAFSPAINGENSAIEHPSPDSVSSVGTTFDTPSSMAESISTTTSSRSDTSGSIPSSTDTDLSSNTKATLTVERNPARHIMDGLMRRWDFNLFSNNR >Manes.03G186500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30676643:30686092:-1 gene:Manes.03G186500.v8.1 transcript:Manes.03G186500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKHDLLDQGFMLKGKWYQRKDLEIKNSRGDILQCSHYLPIVSPKEMPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVDYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALFGHAVDDDFIQPHHSDRICEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMHDYFDKGSWSAVHDVGNNREFSTASEEPSTSNGDDFHTLEQVRPKRPMSRMEVPSDIPSEGNQSEVEVGEINDNHLPSSSKMISFELSHGHPTGPHVPTMMDDDQYVEYQLDDLTGFPCDVEEEERMLMEAVIASLKDLEMRYPNAEEKQTSVNPTSVESSQKHYVHASSSTAEHCDSLKTIEQREPSKVGPAFSPAINGENSAIEHPSPDSVSSVGTTFDTPSSMAESISTTTSSRSDTSGSIPSSTDTDLSSNTKATLTVERNPARHIMDGLMRRWDFNLFSNNR >Manes.03G186500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30676643:30686092:-1 gene:Manes.03G186500.v8.1 transcript:Manes.03G186500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKHDLLDQGFMLKGKWYQRKDLEIKNSRGDILQCSHYLPIVSPKEMPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVDYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALFGHAVDDDFIQPHHSDRICEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMHDYFDKGSWSAVHDVGNNREFSTASEEPSTSNGDDFHTLEQVRPKRPMSRMEVPSDIPSEGNQSEVEVGEINDNHLPSSSKMISFELSHGHPTGPHVPTMMDDDQYVEYQLDDLTGFPCDVEEEERMLMEAVIASLKDLEMRYPNAEEKQTSVNPTSVESSQKHYVHASSSTAEHCDSLKTIEQREPSKVTIRLDGYKM >Manes.03G186500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30676643:30686092:-1 gene:Manes.03G186500.v8.1 transcript:Manes.03G186500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIFLDCRAEYDPKHDLLDQGFMLKGKWYQRKDLEIKNSRGDILQCSHYLPIVSPKEMPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVDYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALFGHAVDDDFIQPHHSDRICEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMHDYFDKGSWSAVHDVGNNREFSTASEVAEPSTSNGDDFHTLEQVRPKRPMSRMEVPSDIPSEGNQSEVEVGEINDNHLPSSSKMISFELSHGHPTGPHVPTMMDDDQYVEYQLDDLTGFPCDVEEEERMLMEAVIASLKDLEMRYPNAEEKQTSVNPTSVESSQKHYVHASSSTAEHCDSLKTIEQREPSKVGPAFSPAINGENSAIEHPSPDSVSSVGTTFDTPSSMAESISTTTSSRSDTSGSIPSSTDTDLSSNTKATLTVERNPARHIMDGLMRRWDFNLFSNNR >Manes.03G186500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30676643:30686092:-1 gene:Manes.03G186500.v8.1 transcript:Manes.03G186500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIFLDCRAEYDPKHDLLDQGFMLKGKWYQRKDLEIKNSRGDILQCSHYLPIVSPKEMPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVDYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALFGHAVDDDFIQPHHSDRICEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMHDYFDKGSWSAVHDVGNNREFSTASEEPSTSNGDDFHTLEQVRPKRPMSRMEVPSDIPSEGNQSEVEVGEINDNHLPSSSKMISFELSHGHPTGPHVPTMMDDDQYVEYQLDDLTGFPCDVEEEERMLMEAVIASLKDLEMRYPNAEEKQTSVNPTSVESSQKHYVHASSSTAEHCDSLKTIEQREPSKVGPAFSPAINGENSAIEHPSPDSVSSVGTTFDTPSSMAESISTTTSSRSDTSGSIPSSTDTDLSSNTKATLTVERNPARHIMDGLMRRWDFNLFSNNR >Manes.03G186500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30676643:30686092:-1 gene:Manes.03G186500.v8.1 transcript:Manes.03G186500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKHDLLDQGFMLKGKWYQRKDLEIKNSRGDILQCSHYLPIVSPKEMPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGISGGEHVTLGWNEKDDLKAVVDYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRFPKFTVKFAIQYMRRAIQKKAKFDIMDLNTIKVAKSCFVPALFGHAVDDDFIQPHHSDRICEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLHPPEDEVGGTYFDTMHDYFDKGSWSAVHDVGNNREFSTASEVAEPSTSNGDDFHTLEQVRPKRPMSRMEVPSDIPSEGNQSEVEVGEINDNHLPSSSKMISFELSHGHPTGPHVPTMMDDDQYVEYQLDDLTGFPCDVEEEERMLMEAVIASLKDLEMRYPNAEEKQTSVNPTSVESSQKHYVHASSSTAEHCDSLKTIEQREPSKVTIRLDGYKM >Manes.10G048066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5262653:5264652:1 gene:Manes.10G048066.v8.1 transcript:Manes.10G048066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHLLKKFEPRPDVSIYHNDIGLKTEKLLNKNPFVKEFEPRPNVSIYHNNIGLKTKKPLDDSAFNKEFESKPDVSIYHNNIDLKLKNSPDESSYNKEFEPTLAVTIYHNDIDLQAKEQSDERLVGKEFEAKPDVTIYHNDKNFTEA >Manes.12G018000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1625653:1627677:1 gene:Manes.12G018000.v8.1 transcript:Manes.12G018000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMYLQVGSSSYQECLKVLEADIQHANALAAAIPRGKSGASLQMKLVYNQWTSLLLFLLQRIDSSCICVFPRYLNLFHILIYKVYEDGRPNLSTHGRKATIKEFYGVILPSLQRLHNNLVELEDVKEGYLGKESLGKKRVEGDIVLANVDIKREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTRSESCPFCRGSLKRVNSEDLWVLTCNNDVVDVKTISKEDLLRFYLYINSLPKDYPDALFLVYYEYLM >Manes.12G018000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1625610:1627677:1 gene:Manes.12G018000.v8.1 transcript:Manes.12G018000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMYLQVGSSSYQECLKVLEADIQHANALAAAIPRGKSGASLQMKLVYNQWTSLLLFLLQRIDSSCICVFPRYLNLFHILIYKVYEDGRPNLSTHGRKATIKEFYGVILPSLQRLHNNLVELEDVKEGYLGKESLGKKRVEGDIVLANVDIKREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTRSESCPFCRGSLKRVNSEDLWVLTCNNDVVDVKTISKEDLLRFYLYINSLPKDYPDALFLVYYEYLM >Manes.S024452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2084658:2085470:-1 gene:Manes.S024452.v8.1 transcript:Manes.S024452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.09G156500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35212305:35215115:1 gene:Manes.09G156500.v8.1 transcript:Manes.09G156500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLASLYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDSVDNAKKYEPKYRLIRNGLDTKVEKSRKQLKERKNRAKKIRGIKKTKAGDAAKGGKKK >Manes.15G043000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3297913:3302574:-1 gene:Manes.15G043000.v8.1 transcript:Manes.15G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSSSKLNQTPSIKTPQSKYRLNFTSARTPNPFPSPNPNSTVKETPQAYHPIEVIGRIRDYPDRKEKPNSILQANPDSQTLRVRADIGYRDFTLDGISFSEEEDLDAFYKKFVESRINGVKLGNKCTIMMYGPTGSGKSHTMFGCSKQAGIVYRSLKDILGEGEEESEGNGGKKLGMVTFVQVTVLEIYNEEIYDLLSSSGGGGFGIGWPKGGNGSKVRLEVMGKKAKNATFISGTEAGKISKEIQIVEKRRIVKSTLCNDRSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQNTFEAKMQTAKINQGNVALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTICTLEYGAKAKCIIRGPHTPNKDKIGADDSSAVLLGSKIAAMDQFIYKLKMENKQREKERNEAHKLLKKKEEEVAALRALIEGKGSAASEEEINLKVNECTGMMKLELEKKLDECQRMAEEFVELERRRMEERILQQQQEVEMLRKRLEEIELELCHSRDEKSQENGSRDMDVSSFARRLLGVYVDEEPDMVKSMDLDMGDQETFVPNMKCIDAIKKSDHIGIQGLANYQHLNNLNQVADHDVLVEKYGSKLSLSTLYEEEEAEEEEDKEKVEDEEVEKGVIEVKRIVGGSTSGINFDAGSSPQKFEAPNPSRGHLEQESYLKDGSEESCLSSVLLQNEFGNVDAASSRRLRIQNIFTLCGNHRELSQQIRMPTPAKKMFEDSDSHSTPFIGHGVEECVMKSSDKENLPLQKDVAALLDSGKNLSDTMALASKENYNPLKEHCDAQIDVHVKWEASKRNNGKFITTLKVVKDATLSDLRKLIEIHLGADNREFSFLVLGDPTGEPVTREKESTVQAIKLPICNNQSHGYLACLRPLNHLPLSPLPATSLENKLPLSQLENKLPLTALENKLPFTQCDGLSPKLAQRLSSTPFMRKY >Manes.10G058900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8055947:8060062:-1 gene:Manes.10G058900.v8.1 transcript:Manes.10G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGSVRGISLKLQEEERERRMDFVPEESAIKIDEIKVDKETIDMLAALGMSDIPGLVEFEPQPLVPPQVFGRGPAGAPRRF >Manes.10G058900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8057477:8060062:-1 gene:Manes.10G058900.v8.1 transcript:Manes.10G058900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGSVRGISLKLQEEERERRMDFVPEESAIKIDEIKVDKETIDMLAALGMSDIPGLVEFEPQPLVPPQVFGRGPAGAPRRF >Manes.01G226400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39152521:39157395:1 gene:Manes.01G226400.v8.1 transcript:Manes.01G226400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCLKTGIHGIAPSISVTGSLEVRSNASQVSALARDKSATVAAAPPQKASSMFSFRDPLQSLWPGGGQSNRYKGMAVDDAVLVDHGEGRSRTGEDSGNRIMGTSEGQNENWVMKILHVRSLWKEEEMKSSSGEGSIEEGDVAALNDALNKEEECDICRVEDDEDKEIEFDKDSFSRLLRKVSFAEAKLYLQMSYLGSLAYSIPKIKAGNLLKYRGLHFVTSSIEKRELAMKAEKVQVSDEGQEAEEGLLEKEAEEGLLEKEAEEGLLEKEAEGTGQKNNGHPISASTAYQIAASAASYLHSHTKSIRLFKTLNGKTDKNFPEGDSGGNKNLKMLNSEVASLMATTDSVTAVVAAKEEVKQAVADDLSSTRSSPCEWFICDNDQDTRFFVIQGSESLESWKANLLFEPVQFEGLDVLVHRGIYEAAKGIYEHMLPEVHAHINSRGRQATLRFTGHSLGGSLALLVNLMLLIRGEVSASSLLPVVTFGAPSIMCGGDYLLRQLGLPRSHVQAITMHRDIVPRAFSCNYPNHVIELLKAVNRNFRSHPCLNNQKLLYAPMGELLILQPDEKLSPHHHLLPSGSGLYFLSCPPSDANDAEKLLRAAQTWFLNSPHPLEILSDRSAYGSEGAIQRDHDMNSYLKSVRSVIRKELNRIRKGRRVHRREFWWSVLAPGVASGSVLVNNSLVSINIGQNHFNFSGIVQTGRESLKRFGRFVASQHRHLLVVLLFPARLLFAGACSVINFH >Manes.01G226400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39152606:39157395:1 gene:Manes.01G226400.v8.1 transcript:Manes.01G226400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCLKTGIHGIAPSISVTGSLEVRSNASQVSALARDKSATVAAAPPQKASSMFSFRDPLQSLWPGGGQSNRYKGMAVDDAVLVDHGEGRSRTGEDSGNRIMGTSEGQNENWVMKILHVRSLWKEEEMKSSSGEGSIEEGDVAALNDALNKEEECDICRVEDDEDKEIEFDKDSFSRLLRKVSFAEAKLYLQMSYLGSLAYSIPKIKAGNLLKYRGLHFVTSSIEKRELAMKAEKVQVSDEGQEAEEGLLEKEAEEGLLEKEAEEGLLEKEAEGTGQKNNGHPISASTAYQIAASAASYLHSHTKSIRLFKTLNGKTDKNFPEGDSGGNKNLKMLNSEVASLMATTDSVTAVVAAKEEVKQAVADDLSSTRSSPCEWFICDNDQDTRFFVIQGSESLESWKANLLFEPVQFEGLDVLVHRGIYEAAKGIYEHMLPEVHAHINSRGRQATLRFTGHSLGGSLALLVNLMLLIRGEVSASSLLPVVTFGAPSIMCGGDYLLRQLGLPRSHVQAITMHRDIVPRAFSCNYPNHVIELLKAVNRNFRSHPCLNNQKLLYAPMGELLILQPDEKLSPHHHLLPSGSGLYFLSCPPSDANDAEKLLRAAQTWFLNSPHPLEILSDRSAYGSEGAIQRDHDMNSYLKSVRSVIRKELNRIRKGRRVHRREFWWSVLAPGVASGSVLVNNSLVSINIGQNHFNFSGK >Manes.01G226400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39152778:39157395:1 gene:Manes.01G226400.v8.1 transcript:Manes.01G226400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLCLKTGIHGIAPSISVTGSLEVRSNASQVSALARDKSATVAAAPPQKASSMFSFRDPLQSLWPGGGQSNRYKGMAVDDAVLVDHGEGRSRTGEDSGNRIMGTSEGQNENWVMKILHVRSLWKEEEMKSSSGEGSIEEGDVAALNDALNKEEECDICRVEDDEDKEIEFDKDSFSRLLRKVSFAEAKLYLQMSYLGSLAYSIPKIKAGNLLKYRGLHFVTSSIEKRELAMKAEKVQVSDEGQEAEEGLLEKEAEEGLLEKEAEEGLLEKEAEGTGQKNNGHPISASTAYQIAASAASYLHSHTKSIRLFKTLNGKTDKNFPEGDSGGNKNLKMLNSEVASLMATTDSVTAVVAAKEEVKQAVADDLSSTRSSPCEWFICDNDQDTRFFVIQGSESLESWKANLLFEPVQFEGLDVLVHRGIYEAAKGIYEHMLPEVHAHINSRGRQATLRFTGHSLGGSLALLVNLMLLIRGEVSASSLLPVVTFGAPSIMCGGDYLLRQLGLPRSHVQAITMHRDIVPRAFSCNYPNHVIELLKAVNRNFRSHPCLNNQKLLYAPMGELLILQPDEKLSPHHHLLPSGSGLYFLSCPPSDANDAEKLLRAAQTWFLNSPHPLEILSDRSAYGSEGAIQRDHDMNSYLKSVRSVIRKELNRIRKGRRVHRREFWWSVLAPGVASGSVLVNNSLVSINIGQNHFNFSGIVQTGRESLKRFGRFVASQHRHLLVVLLFPARLLFAGACSVINFH >Manes.13G135900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34383654:34386481:-1 gene:Manes.13G135900.v8.1 transcript:Manes.13G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKTLAQRLFKISKISNQSLENCRISSPAVQPRIPQNPSKTNIAPDPGDNGIFRRLFHKRALLQTPASPELRSMQIGESLMEKLRSFDIAKGRIRLDGLVPPETNYLDGSRPEREPEKEGLSAEDVRKLLWAAQLEMVKSRLRELENSWISYPEFVRICGEGCSDHEQGIRVAKTLDESGSVIIMGNGVFLKPEQVVKAIGGLIPLPATSPNDPRRKELEQMEKQKAAIDKKADALVRRELWCGLGYMVVQTAAFMRLTFWELSWDVMEPICFYVTSMYCMAGYAFFLRTSKEPSFEGFYKSRFSVKQKKLMKLHNFDEVRYNELRKICYPCSSSSEQIPPSIVSTC >Manes.10G024601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2470219:2473246:1 gene:Manes.10G024601.v8.1 transcript:Manes.10G024601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFQGTIPETFSARGSLRYLNFNGNQLQRRIPLSISNCRNLEILDLGNNNIDDSFPHFLETLPELQILILKSNKLHGFVKGSSTNYSFSKLRMFDLSDNMLSGPLPSGYFNNFKAMMNFDVKMEYMGQPNYSYHYSVSLTLKGVEIELVRIQTFLTTIDLSGNKFTGEIPQSIGKLKALKLLNLSHNQLTGNIQPSLRELSNLESLDLSSNFLVGRIPMQLTDLTFLQVFRVSDNRLEGPIPEGKQFNTFDKSSYEGNLGLCGFPLEKCNNGERQKPEISKEDDTNSKFGFGWQPVVAGYV >Manes.14G079300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6579383:6584045:-1 gene:Manes.14G079300.v8.1 transcript:Manes.14G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPTKDTARRRARHSSPPRSARAASTSTAFPSDPDSSLPRSRQSTLAPSASLLDREQQDTVALFEDLRISQEHSNSSPEPRSFPYSVKQQCWEKAEKVKGRDPGRWRRDPLGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTELSRADLIQRSSYCRVSGRDMDLIELSAYGNVRHVADSGGCRIQ >Manes.14G079300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6581204:6583875:-1 gene:Manes.14G079300.v8.1 transcript:Manes.14G079300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPTKDTARRRARHSSPPRSARAASTSTAFPSDPDSSLPRSRQSTLAPSASLLDREQQDTVALFEDLRISQEHSNSSPEPRSFPYSVKQQCWEKAEKVKGRDPGRWRRDPLGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQVRL >Manes.11G064300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8933261:8939869:1 gene:Manes.11G064300.v8.1 transcript:Manes.11G064300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAARKTGQSNSVAPITSATDLFRSASSKASSKEMERIDNLFYSYANISSGLIDPEGIENLCLDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRADTVNKLKKALPELEKEVKRPLNFMDFYLYSFRYCLTEEKQKSIDIESICQLLDLVLGPHFRAQVDYFIEYLKIQNDYKVINMDQWMGFYHFCTEISFSDLSNYDPELAWPLILDNFVEWMQEKRT >Manes.11G064300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8932760:8939944:1 gene:Manes.11G064300.v8.1 transcript:Manes.11G064300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDNLFYSYANISSGLIDPEGIENLCLDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRADTVNKLKKALPELEKEVKRPLNFMDFYLYSFRYCLTEEKQKSIDIESICQLLDLVLGPHFRAQVDYFIEYLKIQNDYKVINMDQWMGFYHFCTEISFSDLSNYDPELAWPLILDNFVEWMQEKRT >Manes.11G064300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8932833:8939753:1 gene:Manes.11G064300.v8.1 transcript:Manes.11G064300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAARKTGQSNSVAPITSATDLFRSASSKASSKEMERIDNLFYSYANISSGLIDPEGIENLCLDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRADTVNKLKKALPELEKEVKRPLNFMDFYLYSFRYCLTEEKQKSIDIESICQLLDLVLGPHFRAQVDYFIEYLKIQNDYKVINMDQWMGFYHFCTEISFSDLSNYDPELAWPLILDNFVEWMQEKRT >Manes.11G064300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8932760:8939944:1 gene:Manes.11G064300.v8.1 transcript:Manes.11G064300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAARKTGQSNSVAPITSATDLFRSASSKASSKEMERIDNLFYSYANISSGLIDPEGIENLCLDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRADTVNKLKKALPELEKEVKRPLNFMDFYLYSFRYCLTEEKQKSIDIESICQLLDLVLGPHFRAQVDYFIEYLKIQNDYKVINMDQWMGFYHFCTEISFSDLSNYDPELAWPLILDNFVEWMQEKRT >Manes.01G012400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3524609:3526327:-1 gene:Manes.01G012400.v8.1 transcript:Manes.01G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQETKHNRKRALDDQDCDSHQSKLARFESVGNSTDLGETHLDSDSSGLNSCKSDVTVVESDDSGVNSPDAKRIQDDLLNILDESDDPTIQGLDTVIKSFEEEILVSVPGPVTHTDTCQPELGYLLEASDDELGLPPTFSGEEKISAVDLAAEASVSGAADFGEILGFEDGIPSYDSCEFGLAGESSGSSYNGSYNDSGDFVTLSGLFDYSSENYAPANDISGGQWQPESLSAL >Manes.15G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11870693:11873111:1 gene:Manes.15G144600.v8.1 transcript:Manes.15G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMNRVGCLPRALFSLFCIIYAAIQLLFTKVVNWRTKSSDGRVTNITPVSVPEVKVTLPVRLIFEQEDFPKSCDLSELAQLPKVMLGEGTLGTLFKVVLNCGFIVTARVIRERLVKPEDIEIWINFLGGIRNTWLLPMHVSFWYGGEAFVVYEYLCLGSLEELLHGSEGIQYTPLSWEVRKRIALCAAMAIAFLHTQVTKEGDTLVCGVVKASNILIRTDSSACLSGYETPYLVPPTTIIRRNPGRVAPELTSNQNYPKRFTTKSDVYSFGILLLELITAKRPTVTNLGEYVIEKRKRGGLTGICDPKMGEVKQSMREMIAIAQSCITHNLKDRPSMDRVIQMLQQMKD >Manes.18G022500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2207688:2211879:1 gene:Manes.18G022500.v8.1 transcript:Manes.18G022500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFNVDDHIGVAIAGLTADGRVLSRYMRSECINYSFTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFDNFTESSREDLIKDALIAVRETLQGETLKSSICTVAVVGVGEAFHILDQDTVQQLIDAFEIVGEPEGPAAEPEPEPEPEPEPDAAAAADHGAGAAADQGVAPMDI >Manes.18G022500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2208022:2211879:1 gene:Manes.18G022500.v8.1 transcript:Manes.18G022500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFNVDDHIGVAIAGLTADGRVLSRYMRSECINYSFTYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFDNFTESSREDLIKDALIAVRETLQGETLKSSICTVAVVGVGEAFHILDQDTVQQLIDAFEIVGEPEGPAAEPEPEPEPEPEPDAAAAADHGAGAAADQGVAPMDI >Manes.08G064700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9210714:9216428:1 gene:Manes.08G064700.v8.1 transcript:Manes.08G064700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRKVSGRGETVGANYAFGPLEDDIIIKHRLLTRTTTTRGEPPLKKLQKKFTSFVVEIEKDEDNYNDCLRLSKAFLQELSTFEIPLLKSKAVIDSNRREKENFNELMVEINSQISHAQSDIEDLKKQLEASKIERQHKEECEAIRKLIALQPPRAETQKIIQDLEKEIAALEAENTAGSRLLELRKKQFALLLHVVDELQNNIEEDQKNLMEEMRMATEEQKNGMDDASGGSEAMAVD >Manes.08G064700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9210708:9216428:1 gene:Manes.08G064700.v8.1 transcript:Manes.08G064700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRKVSGRGETVGANYAFGPLEDDIIIKHRLLTRTTTTRGEPPLKKLQKKFTSFVVEIEKDEDNYNDCLRLSKAFLQELSTFEIPLLKSKAVIDSNRREKENFNELMVEINSQISHAQSDIEDLKKQLEASKIERQHKEECEAIRKLIALQPPRAETQKIIQDLEKEIAALEAENTAGSRLLELRKKQFALLLHVVDELQNNIEEDQKNLMEEMRMATEEQKNGMDDASGGSEAMAVD >Manes.12G120000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32396413:32402586:-1 gene:Manes.12G120000.v8.1 transcript:Manes.12G120000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYERDDIEDYDEYEEEGYEQGEEGEEEEYEEEEERKPTAEELEYLELRARIKERIRKKMQRENGSTAVSKSHDIKKKVPSDNYGSFFGPSQPVIAQRVIQESKSFLENPHLASRVTNSHHEKKTSSSTGTGSKNGVHQPLPKVKNELKTKVQKLKDTRDYSFLLSDDAELPAPSKQSIPQTVTAPKSEARSAQVPQKSKQPSGSSVRGSREERKPIPMNGQMHSKLGLHRSVSSSKPASTTMDSRRQLGSNNGSGPGRPTGSKGLPPKMPLSTMEKKSFAPVAKSILPASHKPPAPKMQSSVPRQKVEQKRALPEPKKSVTMPNKPVAPAKKPQINKPDKQLSSRAALQNNRPKKKPARPFSYVEDDEDSKALDMIRQMFNTKRYADRYDDDDDDDCMMEANFDDIMREERRSAKIAKKEDEEQLRLIEEEERRERERRLAKKRRLSQH >Manes.12G120000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32396413:32402614:-1 gene:Manes.12G120000.v8.1 transcript:Manes.12G120000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYERDDIEDYDEYEEEGYEQGEEGEEEEYEEEEERKPTAEELEYLELRARIKERIRKKMQRENGSTAVSKSHDIKKKVPSDNYGSFFGPSQPVIAQRVIQESKSFLENPHLASRVTNSHHEKKTSSSTGTGSKNGVHQPLPKVKNELKTKVQKLKDTRDYSFLLSDDAELPAPSKQSIPQTVTAPKSEARSAQVPQKSKQPSGSSVRGSREERKPIPMNGQMHSKLGLHRSVSSSKPASTTMDSRRQLGSNNGSGPGRPTGSKGLPPKMPLSTMEKKSFAPVAKSILPASHKPPAPKMQSSVPRQKVEQKRALPEPKKSVTMPNKPVAPAKKPQINKPDKQLSSRAALQNNRPKKKPARPFSYVEDDEDSKALDMIRQMFNTKRYADRYDDDDDDDCMMEANFDDIMREERRSAKIAKKEDEEQLRLIEEEERRERERRLAKKRRLSQH >Manes.17G026395.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:18482232:18485581:1 gene:Manes.17G026395.v8.1 transcript:Manes.17G026395.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQPHKLENSDFESVTSSLQDLSFANSVSISLCSSTISGSDSISSNISNASNDASEAKVCEKSGLCLDPNETSFRSFCPSKPHKGNDIRWDAIQYVKAKDGILGLGLGHFRLLKKLGCGDIGSVYLAELRGMGCLFAMKVMDKGMLAGRKKLLRAQTEREIMGLLDHPFLPTLYSYFETEKFSCLLMEFCSGGDLHTLRQRQPGKHFTEQAARFYASEVLLALEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLRCYVSPTLVQSSAEPSCKISSYCIQPSCIDPVCKLPVCIEPSCLQPSCFKPGFFNFKTAKLKSERPNLASSDSLPVLIAEPTAARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTYGIFLYELLLGRTPFKGTGNRETLFNVVGQPLKFPEGSSVSYAAKDLIRGLLVKDPQKRLGFKRGATEIKQHPFFESVNWALIRSTHPPDIPRPVDLSYLNHTFKSSLAPNDKGATDSNRSSGPYLDFEFF >Manes.02G014200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1380400:1385325:-1 gene:Manes.02G014200.v8.1 transcript:Manes.02G014200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPYNPSVTPTSSLDFRGRIGESNYSLKTSTELLKVLNRIWSLEEQHASNMSLIKALKMELDHARVRIKELLRDQQADRHEIDDLMKQIAEDKLVRKSKEQDRLHAAIQSLRDELEDERKLRKRSESLHRKLARELSEVKNSLSNAVKEMEKERKSRKLLEDLCDEFAKGIKEYEQEVHVLKPKSDKDWVGRADCDHLILHISESWLDERMQMRLEEAQHGFAENNSIVEKLGFEIETFLKAKWMSNSRITDKKLPRERRNSIESVPLNEVVSAPQDVGDEEDSASSDSHCFELNKPSNGEFNVHGDDAGDGHIDDTVNYSQLKKFASRERIRRQSPSSLQVKFEEQMAWAMATNGNKKSQVVDTEELKTGEGNANEMSVSQKSENYDANEGGSLERKNKVDEIHGMNPNYVIDNLIKSHISSSEARNLHHENDAGEASCSYPAQRNASPVRQWMAKLTTPDLDVAESSTKVPPTLKENTLKAKLLEARSKGPRSRLKIFRGSS >Manes.02G014200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1380400:1385325:-1 gene:Manes.02G014200.v8.1 transcript:Manes.02G014200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRGEKSGDGAAKKEESLGEKLRRGVLVGKRVGPFSCTPIRLWTSSSSPTAHFSIFINNQEEPPPASSSVPFSLNKPATVVSARKLAAALWEFQHFLPLSKMHRGAHINNLSNGAAASDPRLRRRQNRHHLFKDKGIDLSHFLADPSPSSPDQPESAGSLRRHIAASLIQHHRSIERSNRALPPVSPASYGSSMEVAPYNPSVTPTSSLDFRGRIGESNYSLKTSTELLKVLNRIWSLEEQHASNMSLIKALKMELDHARVRIKELLRDQQADRHEIDDLMKQIAEDKLVRKSKEQDRLHAAIQSLRDELEDERKLRKRSESLHRKLARELSEVKNSLSNAVKEMEKERKSRKLLEDLCDEFAKGIKEYEQEVHVLKPKSDKDWVGRADCDHLILHISESWLDERMQMRLEEAQHGFAENNSIVEKLGFEIETFLKAKWMSNSRITDKKLPRERRNSIESVPLNEVVSAPQDVGDEEDSASSDSHCFELNKPSNGEFNVHGDDAGDGHIDDTVNYSQLKKFASRERIRRQSPSSLQVKFEEQMAWAMATNGNKKSQVVDTEELKTGEGNANEMSVSQKSENYDANEGGSLERKNKVDEIHGMNPNYVIDNLIKSHISSSEARNLHHENDAGEASCSYPAQRNASPVRQWMAKLTTPDLDVAESSTKVPPTLKENTLKAKLLEARSKGPRSRLKIFRGSS >Manes.01G232700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39644806:39646430:1 gene:Manes.01G232700.v8.1 transcript:Manes.01G232700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPRLEGKVALITGAASGIGEEAVRLFAENGAFVVAADVQDDLGHLVVASIGSHRATYRHCDVRDEQEVEETVNFTLEKYGKLDILFSNAGIMGPLTSILELDIQGFDNTMATNVRGVAATIKHAARAMVAKNIRGSIICTTSVASLLAGTGPHAYTVSKHALVGLVRTACSELGVHGIRVNCISPFGVATPLSCKAYNLEPSEVEANSCALANLKGIVLKAKHVAEAALFLASDESAYISGHNLAVDGGFTVVNQSFSAI >Manes.11G040700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4410905:4412476:1 gene:Manes.11G040700.v8.1 transcript:Manes.11G040700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIRNFGISKEFADSKTHVSTIPMGTPDYLAPEHYTQDDQNKKLTDKSDVFSFGVVLLELITGKLAAFEKERREYIFLTIWAVPLLKQILDADYQDLDAENCKEIFDSKLPNNFEKNDMKKLIYSAASCVYKPAKLRPHMCERVKVLNGDMEPKTIWVRSDCKYLYNGSPYAPFPQAQGTSSS >Manes.11G040700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4411189:4412476:1 gene:Manes.11G040700.v8.1 transcript:Manes.11G040700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDYLAPEHYTQDDQNKKLTDKSDVFSFGVVLLELITGKLAAFEKERREYIFLTIWAVPLLKQILDADYQDLDAENCKEIFDSKLPNNFEKNDMKKLIYSAASCVYKPAKLRPHMCERVKVLNGDMEPKTIWVRSDCKYLYNGSPYAPFPQAQGTSSS >Manes.11G040700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4411011:4412476:1 gene:Manes.11G040700.v8.1 transcript:Manes.11G040700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDYLAPEHYTQDDQNKKLTDKSDVFSFGVVLLELITGKLAAFEKERREYIFLTIWAVPLLKQILDADYQDLDAENCKEIFDSKLPNNFEKNDMKKLIYSAASCVYKPAKLRPHMCERVKVLNGDMEPKTIWVRSDCKYLYNGSPYAPFPQAQGTSSS >Manes.08G001000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:353975:357676:-1 gene:Manes.08G001000.v8.1 transcript:Manes.08G001000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTENLTTTATPTLQDNPTKTLDATVPASAVPERLEDSTTISPSVASTDATKDGDSKSSKGTAVASRSGDTAPVSDTEKKIRRAERFGITVQLSEEEKRNSRAERFGTGVALKTSEEQKRKARAERFALPVTSDEEAKKKARLERFAPTSKTVVLEEGKRNSQELQPSPNSPKMNGKGNIEPAAIAGKAGGGS >Manes.08G001000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:353975:357676:-1 gene:Manes.08G001000.v8.1 transcript:Manes.08G001000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTENLTTTATPTLQDNPTKTLDATVPASAVPERLEDSTTISPSVASTDATKDGDSKSSKGTAVASRSGDTAPVSDTEKKIRRAERFGITVQLSEEEKRNSRAERFGTGVALKTSEEQKRKARAERFALPVTSDEEAKKKARLERFAPTSKTVVLEEGKRNSQELQPSPNSPKMNGKGNIEPKAAIAGKAGGGS >Manes.08G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37824141:37826477:-1 gene:Manes.08G141600.v8.1 transcript:Manes.08G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVLLQLFFARPPSQPLLNPHKFSNTTRPVASSGPKNFWSTSSTGPVRINLEDELYTDGDDGFDEFRFSDGSKQRIWWSNEDENSWIDYEEENELWIFKVFRAFGWMIPAIGISLLLGTGSNAFFMALAVPLGQTALSLVIDKVWGTTSSKPKPRTRTRRTKKKPFVRPARREKTNKREEENKTPEEKGSYESWTAADTGSLKNSGKRVHKFGGWDELDDAYKVPRGTPRQKADELPKQQNKSKLSRTRRVRDTPLLLRLLIAVFPFLGWVKLLF >Manes.15G158900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13151078:13153465:-1 gene:Manes.15G158900.v8.1 transcript:Manes.15G158900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSRLRLATATPALRRLHFLATSRAYGSAAAVQYDYDYDCDDEYCSEEGGGPRLDSAAASNWGRGMHWVLIGDPGAQKHVYAEKLSKLLQVPHISMGSLLRQEYNPSSSLYIQIASALNEGKLVPEEVIFGLLSKRLEECYSRGETGFILDGIPRTRIQAEILDQIADINLVVNFKCAEENLVKRDFLTVRNTTPSVTDARSALKEKFRIYAEQGKALEDYYRKQKKLVDFQVAGAPGETWQGLLAALQLKHLSAVKSSQKLAA >Manes.02G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1047940:1049507:-1 gene:Manes.02G009700.v8.1 transcript:Manes.02G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQALKRIPRIKFPQRHLKSSGSASPSQEPSTTGHADLTFFSNSKAPSTVGGKASLQPKRTPPSNEEIEAILLGGCI >Manes.17G103700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31119709:31123321:1 gene:Manes.17G103700.v8.1 transcript:Manes.17G103700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSLSNFRSFLLPSNPPPKTSPQFFFSSPLTQSLSRKSKDKLTPLVAQQGHCASLSAELSSVICPAAAYANTLFFKSAYNVQVIVDDNEPEEKLLNRFRREVMRAGVIQECKRRRFFENKQDEKKRKSREAAKRNKRRRFSSRPPTQNKQETSTSKKDEDDEDNWDMPEGDVPY >Manes.17G103700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31119709:31123321:1 gene:Manes.17G103700.v8.1 transcript:Manes.17G103700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSLSNFRSFLLPSNPPPKTSPQFFFSSPLTQSLSRKSKDKLTPLVAQQGHCASLSAELSSVICPAAAYANTLFFKSAYNVQVIVDDNEPEEKLLNRFRREVMRAGVIQECKRRRFFENKQDEKKRKSREAAKRNKRRRFSSRPPTQNKQETSTSKKDEDDEDNWDMPEGDVPY >Manes.17G103700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31119709:31123321:1 gene:Manes.17G103700.v8.1 transcript:Manes.17G103700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSLSNFRSFLLPSNPPPKTSPQFFFSSPLTQSLSRKSKDKLTPLVAQQGHCASLSAELSSVICPAAAYANTLFFKSAYNVQVIVDDNEPEEKLLNRFRREVMRAGVIQECKRRRFFENKQDEKKRKSREAAKRNKRRRFSSRPPTQNKQETSTSKKDEDDEDNWDMPEGDVPY >Manes.17G103700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31119709:31123321:1 gene:Manes.17G103700.v8.1 transcript:Manes.17G103700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSLSNFRSFLLPSNPPPKTSPQFFFSSPLTQSLSRKSKDKLTPLVAQQGHCASLSAELSSVICPAAAYANTLFFKSAYNVQVIVDDNEPEEKLLNRFRREVMRAGVIQECKRRRFFENKQDEKKRKSREAAKRNKRRRFSSRPPTQNKQETSTSKKDEDDEDNWDMPEGDVPY >Manes.16G024900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2646573:2650806:-1 gene:Manes.16G024900.v8.1 transcript:Manes.16G024900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLEAAGRTGAAGRNRNALPSSRRRREGSYSDGGSDSRDDDSDDGRGYASRKPSGSQVPLKKRLDPTERDDDQGSQDEGDYDDGGSDREGDSSDESDVGDDLYKDEDDRRKLAQMSELEREMILSERADKKGDRNLTERIRSKRDSEKPTRSRKETPPLPSSRGVRTSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDVSRGTSSGRGLSPMKRKHFTSASLSSSSSESDSRSHSEDEGSTGDGGMGDSDDDRDPGSEGPTFDDIKEITIRRSKLAKWFMEPWFEELIVGCFVRVGIGRSKSGPIYRLCLVRNVDAADPDRQYKLENKTTYKYLNVVWGNESSAARWQMAMVSDSGPTEEEYRLWVREVERSSGRMPTKQDILEKKEAIQKTNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEVAQLKQDDAEVERIRARIQELEASRQIQEKDSKAVRLAEMNRKNRVENFRNASGLKPVNASLKAGEAGYDPFSRRWTRSRNYYMSKPAGEDVAEATNSVANGTVVAANSNGAAAGVIAEAGMAATAAALEAAADAGKLVDTAAPVDQGTESNTLHDFDIPISLTALQKFGGAQGAQAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRRGLL >Manes.16G024900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2646731:2650806:-1 gene:Manes.16G024900.v8.1 transcript:Manes.16G024900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLEAAGRTGAAGRNRNALPSSRRRREGSYSDGGSDSRDDDSDDGRGYASRKPSGSQVPLKKRLDPTERDDDQGSQDEGDYDDGGSDREGDSSDESDVGDDLYKDEDDRRKLAQMSELEREMILSERADKKGDRNLTERIRSKRDSEKPTRSRKETPPLPSSRGVRTSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDVSRGTSSGRGLSPMKRKHFTSASLSSSSSESDSRSHSEDEGSTGDGGMGDSDDDRDPGSEGPTFDDIKEITIRRSKLAKWFMEPWFEELIVGCFVRVGIGRSKSGPIYRLCLVRNVDAADPDRQYKLENKTTYKYLNVVWGNESSAARWQMAMVSDSGPTEEEYRLWVREVERSSGRMPTKQDILEKKEAIQKTNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEVAQLKQDDAEVERIRARIQELEASRQIQEKDSKAVRLAEMNRKNRVENFRNASGLKPVNASLKAGEAGYDPFSRRWTRSRNYYMSKPAGEDVAEATNSVANGTVVAANSNGAAAGVIAEAGMAATAAALEAAADAGKLVDTAAPVDQGTESNTLHDFDIPISLTALQKFGGAQGAQAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRRGLL >Manes.16G024900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2646688:2650893:-1 gene:Manes.16G024900.v8.1 transcript:Manes.16G024900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLEAAGRTGAAGRNRNALPSSRRRREGSYSDGGSDSRDDDSDDGRGYASRKPSGSQVPLKKRLDPTERDDDQGSQDEGDYDDGGSDREGDSSDESDVGDDLYKDEDDRRKLAQMSELEREMILSERADKKGDRNLTERIRSKRDSEKPTRSRKETPPLPSSRGVRTSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDVSRGTSSGRGLSPMKRKHFTSASLSSSSSESDSRSHSEDEGSTGDGGMGDSDDDRDPGSEGPTFDDIKEITIRRSKLAKWFMEPWFEELIVGCFVRVGIGRSKSGPIYRLCLVRNVDAADPDRQYKLENKTTYKYLNVVWGNESSAARWQMAMVSDSGPTEEEYRLWVREVERSSGRMPTKQDILEKKEAIQKTNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEVAQLKQDDAEVERIRARIQELEASRQIQEKDSKAVRLAEMNRKNRVENFRNASGLKPVNASLKAGEAGYDPFSRRWTRSRNYYMSKPAGEDVAEATNSVANGTVVAANSNGAAAGVIAEAGMAATAAALEAAADAGKLVDTAAPVDQGTESNTLHDFDIPISLTALQKFGGAQGAQAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRRGLL >Manes.16G024900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2646731:2650806:-1 gene:Manes.16G024900.v8.1 transcript:Manes.16G024900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLEAAGRTGAAGRNRNALPSSRRRREGSYSDGGSDSRDDDSDDGRGYASRKPSGSQVPLKKRLDPTERDDDQGSQDEGDYDDGGSDREGDSSDESDVGDDLYKDEDDRRKLAQMSELEREMILSERADKKGDRNLTERIRSKRDSEKPTRSRKETPPLPSSRGVRTSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDVSRGTSSGRGLSPMKRKHFTSASLSSSSSESDSRSHSEDEGSTGDGGMGDSDDDRDPGSEGPTFDDIKEITIRRSKLAKWFMEPWFEELIVGCFVRVGIGRSKSGPIYRLCLVRNVDAADPDRQYKLENKTTYKYLNVVWGNESSAARWQMAMVSDSGPTEEEYRLWVREVERSSGRMPTKQDILEKKEAIQKTNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEVAQLKQDDAEVERIRARIQELEASRQIQEKDSKAVRLAEMNRKNRVENFRNASGLKPVNASLKAGEAGYDPFSRRWTRSRNYYMSKPAGEDVAEATNSVANGTVVAANSNGAAAGVIAEAGMAATAAALEAAADAGKLVDTAAPVDQGTESNTLHDFDIPISLTALQKFGGAQGAQAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRRGLL >Manes.16G024900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2646709:2650806:-1 gene:Manes.16G024900.v8.1 transcript:Manes.16G024900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLENLLLEAAGRTGAAGRNRNALPSSRRRREGSYSDGGSDSRDDDSDDGRGYASRKPSGSQVPLKKRLDPTERDDDQGSQDEGDYDDGGSDREGDSSDESDVGDDLYKDEDDRRKLAQMSELEREMILSERADKKGDRNLTERIRSKRDSEKPTRSRKETPPLPSSRGVRTSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDVSRGTSSGRGLSPMKRKHFTSASLSSSSSESDSRSHSEDEGSTGDGGMGDSDDDRDPGSEGPTFDDIKEITIRRSKLAKWFMEPWFEELIVGCFVRVGIGRSKSGPIYRLCLVRNVDAADPDRQYKLENKTTYKYLNVVWGNESSAARWQMAMVSDSGPTEEEYRLWVREVERSSGRMPTKQDILEKKEAIQKTNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEVAQLKQDDAEVERIRARIQELEASRQIQEKDSKAVRLAEMNRKNRVENFRNASGLKPVNASLKAGEAGYDPFSRRWTRSRNYYMSKPAGEDVAEATNSVANGTVVAANSNGAAAGVIAEAGMAATAAALEAAADAGKLVDTAAPVDQGTESNTLHDFDIPISLTALQKFGGAQGAQAGFMARKQRIEATVGCRVPENDGRRHALTLTVSDYKRRRGLL >Manes.02G128600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9738763:9741453:-1 gene:Manes.02G128600.v8.1 transcript:Manes.02G128600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLTSNSFLLNSTPRSRHTLIIPRLGVFAKRAGPFSPFQLGKPKDDSASETNGSDNSSPFSFNFGKVPDVKSLIPVVSEPASGFSFPRRKDPGTVFVAGATGQVGIRIAQTLLREGFSVRAGVPELEAAQELARFAADYKIISKEQSRRLNAVQSTFEDAESIAKAIGNASKVVVTIGRAENGLTSEVSTSDALQVIQAAQLTGVGHVAIIYDSNTANSSTYNVLDGFTSFFNNLFSQSQQLTIPEFLQKVIETDISYTFIKTSLTEDFSPESSYNVVVSAEGITGSNDYKVAKSRIATIVADVFSNTAVTENKVVEIFTDPSAPSRTVDELFSAIPEDGRRKAYAETLAKAKAEEEASVAAEKARKAADATKKLEEEVKKFSEQEAKANVLAEEAQEKADAAGTSIENLLSRAKDIRTGFSWEKLSTQIATAVQTTNGEKPKVQIATVRGQAKARSLPVQKAAVKRPTPKFPALKPRKETKPKAEETESKAEVKKLFGGLFQQETIYVDDE >Manes.07G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33187148:33193305:1 gene:Manes.07G130100.v8.1 transcript:Manes.07G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSTARECLTPEAAHALDEAVSVARRRGHGQTTSLHAVSALLSLPSSILRDACARVRNSAYSPRLQFKALELCLSVSLDRVPTSQLTDDPPVSNSLMAAIKRSQANQRRQPENFHLYHQISQQQSSASMSCIKVELQNLILSILDDPVVSRVFGEAGFRGSEIKLAIVRPLTQVFKFSRFKGPPMFLCNLTDNPDMGSARKGFSFPFPGFTESISGDENCRRIGEVLARNKGRNPLLVGVCAYDTLASFREVIEKRKENILPVELSGITVASIESDITKFVSENFDKGCVDLRFEELGRFAEQNLGPGLVVNLGDLKVFVHGEGSNANGHSLSDSVTYVVEKLTGLLQLHGRKVWFIAATASYESYLKFVSRFPSIEKDWDLQLLPITSFRNSMAQSYPRSSLMESFVPFGGFFSTPSELKSSFSSCSSYPCISRCHICNERCEQEILAVSKGGFVASVADQYQSNLSPWLKMTELGTSKGFDGKTRDDGVVLSAKIAGLQKKWDSICQRLNHTQSPGSNIHPSRLPTVVGFQRIEDKKEDAEKCSSNSTVAPPNETRCKNVPIDMQKFSSKQLGAPFSVVSELNTESVQSKQWEKSAKEDLESGGLRSPSSFSNSSMADGSRSSPTSVASVTTDLGLRISPVSTSYELKKSLYKNHAEFPQELSGSLSANVDVVNGSISDQLAQSSPSSSLDFGGQFDPSSFKMFFRDLTEKVGWQGEALHVISQTIANCMTRNRRPQGASLRRDIWFNFLGPDSCGKKKVAAALAEIIYGSKENLISADLSPPYGRVCTFSQEVHGYDVMFRGKTIIDYVAGELCKKPLSVVFLENVDKADIQAQNSLSHAIRTGKFSDSHGREIGINNAIFVTTSTFTDDKVLSSRKDFSTYYSEERILRAKCRPMQILIEQAPADNMGQILNLSVTKRKGMPGTIFMNKRKIVDTNQNLQQHNTSEVVNWAHKTPRNLDLNLPAEESDEQGTDDGNSESKAWLRDFFDQVDRIVIFKPFDFDALAERILNEINDSFHKIIGSDCFLDIDPKVMEQLLAAAYLSDRKMVEDWMEGVLTRGFMEVQERCNLSSHFIVKLVACKSLFSEEHMPGEIHLPSKIILN >Manes.10G010400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1136752:1138189:1 gene:Manes.10G010400.v8.1 transcript:Manes.10G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQDSQPFHWHFSTELSDNDFEIHGRTLFFIIILFAVVIVITILFLYARWVCRYQNQHLPNNPPPHAPPLGSQGLHPTIIKSLPITLHHSSSSSVGVGNRGNSPVGVESECCICLGVFEDGDKVKVLPECHHCFHSECVDKWLLACSSCPLCRASLLQANSAGSPVLSILTE >Manes.18G012121.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1277534:1278889:1 gene:Manes.18G012121.v8.1 transcript:Manes.18G012121.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPERNDNNSRSISSSPSKSSSICSSPSSDQAVNTSSSVRKSMEDVWKDINLTCLQECPISNPNHHRPVGMILQDFLVRPFNKDSPTPPSSAGRATDFPNSLAPRTATILTLNSGPSPDILESDSVRTRPNNPQLHTHASIGALGSSSAFPSICKKRVQENDDNTSDRRHKRMIKNRESAARSRARKQESLYLSPKKKKRCFLYIYIYMMLLYLVGFFITRLLKHVQAYTQELEQEVANLQKENARLRRQQEKFQAAPAQLLKKPSLYRTSTAPF >Manes.18G012121.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1277363:1279127:1 gene:Manes.18G012121.v8.1 transcript:Manes.18G012121.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPERNDNNSRSISSSPSKSSSICSSPSSDQAVNTSSSVRKSMEDVWKDINLTCLQECPISNPNHHRPVGMILQDFLVRPFNKDSPTPPSSAGRATDFPNSLAPRTATILTLNSGPSPDILESDSVRTRPNNPQLHTHASIGALGSSSAFPSICKKRVQENDDNTSDRRHKRMIKNRESAARSRARKQESLYLSPKKKKRCFLYIYIYMMLLYLVGFFITRLLKHVQAYTQELEQEVANLQKENARLRRQQEKFQAAPAQLLKKPSLYRTSTAPF >Manes.18G012121.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1277534:1279021:1 gene:Manes.18G012121.v8.1 transcript:Manes.18G012121.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPERNDNNSRSISSSPSKSSSICSSPSSDQAVNTSSSVRKSMEDVWKDINLTCLQECPISNPNHHRPVGMILQDFLVRPFNKDSPTPPSSAGRATDFPNSLAPRTATILTLNSGPSPDILESDSVRTRPNNPQLHTHASIGALGSSSAFPSICKKRVQENDDNTSDRRHKRMIKNRESAARSRARKQESLYLSPKKKKRCFLYIYIYMMLLYLVGFFITRLLKHVQAYTQELEQEVANLQKENARLRRQQEKFQAAPAQLLKKPSLYRTSTAPF >Manes.18G012121.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1277363:1279127:1 gene:Manes.18G012121.v8.1 transcript:Manes.18G012121.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPERNDNNSRSISSSPSKSSSICSSPSSDQAVNTSSSVRKSMEDVWKDINLTCLQECPISNPNHHRPVGMILQDFLVRPFNKDSPTPPSSAGRATDFPNSLAPRTATILTLNSGPSPDILESDSVRTRPNNPQLHTHASIGALGSSSAFPSICKKRVQENDDNTSDRRHKRMIKNRESAARSRARKQESLYLSPKKKKRCFLYIYIYMMLLYLVGFFITRLLKHVQAYTQELEQEVANLQKENARLRRQQEKFQAAPAQLLKKPSLYRTSTAPF >Manes.18G012121.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1277534:1279075:1 gene:Manes.18G012121.v8.1 transcript:Manes.18G012121.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPERNDNNSRSISSSPSKSSSICSSPSSDQAVNTSSSVRKSMEDVWKDINLTCLQECPISNPNHHRPVGMILQDFLVRPFNKDSPTPPSSAGRATDFPNSLAPRTATILTLNSGPSPDILESDSVRTRPNNPQLHTHASIGALGSSSAFPSICKKRVQENDDNTSDRRHKRMIKNRESAARSRARKQESLYLSPKKKKRCFLYIYIYMMLLYLVGFFITRLLKHVQAYTQELEQEVANLQKENARLRRQQEKFQAAPAQLLKKPSLYRTSTAPF >Manes.17G037700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20931634:20947624:-1 gene:Manes.17G037700.v8.1 transcript:Manes.17G037700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSEQSVPSLYPQTSSSSSSLLKDISNFRTPKRPSQPPNFTSSPYPQFFTASKQTPKPSPSSSFRRYHNRPSLSSRPKHKTATARRLKAFELEQSQSSRKAQIQKEQSLKSLAKSLTTWLNFLFQNPRSCGCELKDREAETAGNLGKRDAGPRGRVVGLDTSWRSPKRRRDLGWRGVEHVEGEDEFMISKHYGQLKNSLMDVCSFDDLTQRMSVYLSLASCKEIFDVMSHVVKNIDEGRLNMKSYCPIVTDVGMKEKAIRILMCYNPIWLRIGLHIIFGGDSLLSNGDVNSDQEIAFLKMVIEKQFFSHAGLAKAYAYNKMVEGLYRPGYYEILGNVILKRFLLLVLILDRAKSHSTLSLKYGIDGIDGGSPLLFMVQSSVKSSRQMINDFLSSEIMLGEGNLLAHLVILGYKVSYQQCALVEYDFKVMDLFVDLQDGVRLCRAIQLLQNNSSILMKMVVPSDTCKKNLINCGIALQYLKGSGVTLRDEDGVTIMEGDVANGDKELTISLLWNMFVQLQLPLLINNTILAEEILKIQQTNVDPSNNISPSCFPLDLLLKWIQAVCEQYNYIVDDFSSMLDGKAIWCLLDYYFRKELWCSHSPKDPDVSRSGESIMSAANYTDAVHNFILSQKLITLFGNFPEILQTSDILQHSGAISERSVVVLLVFLASQLTAKKAMDRLNFHKLLCCNCQSLERRNSRNGNCVVNLKQVLKQEEIDFHSSGDVAEKFKAIKAWWQDMAERNNIFAMKPATSTSRHSSTGKSSINIERENAATLIQSHLRRSIARHNFLKMMNSVLYLQTVIRAWLMVKQKSSFCKFSTNIGQGSVHEKWEQSERVGRYVKFIVDRHRFVRLKKSVLFIQQAARIWMMRRLQDGSIRNCDASTTDLVNAAVVLQKCVRGWIARSRCKVMQMKIASHMCQVNSLDSHHLDAIKIQSHLRGWLFRRNFLKQKQMVTRIQSNFRRLKCWRSFQQLRVAKRSAITIQSHVRGWIARRVASRQRCLVGVLQKCCRGWLMRRNFLLQRDAAIKIQNVVRCFNCLKAFHCRRSAAIEIQRFVRGLITRRRMLVGCVQSCELDITISSILKLQRWWRSVLLFKLRTKSAIVIQSCLRGWIDRQRAAKQRQSIVMIQSHWKGYLLRKESRGQLLDLRLRVQRSAKNVDDSMRIINRLKVALSELLSVKSISGILHTCATLDMTTKHSQKCCEELVAAGAIGILLKLIRSVSRSIPDQEVLKHALSTLRNLTRYQHLTEVLIQSHGSIEIIFWEFLRNKEEGYFIAAEILRKICSNYKGAESLRKHPALLKRLHNLVEELTRKSTIDKRNLLGVAARVKVERRLNEAFNLQKLFTSKLSAKARA >Manes.17G037700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20931634:20947624:-1 gene:Manes.17G037700.v8.1 transcript:Manes.17G037700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSEQSVPSLYPQTSSSSSSLLKDISNFRTPKRPSQPPNFTSSPYPQFFTASKQTPKPSPSSSFRRYHNRPSLSSRPKHKTATARRLKAFELEQSQSSRKAQIQKEQSLKSLAKSLTTWLNFLFQNPRSCGCELKDREAETAGNLGKRDAGPRGRVVGLDTSWRSPKRRRDLGWRGVEHVEGEDEFMISKHYGQLKNSLMDVCSFDDLTQRMSVYLSLASCKEIFDVMSHVVKNIDEGRLNMKSYCPIVTDVGMKEKAIRILMCYNPIWLRIGLHIIFGGDSLLSNGDVNSDQEIAFLKMVIEKQFFSHAGLAKAYAYNKMVEGLYRPGYYEILGNVILKRFLLLVLILDRAKSHSTLSLKYGIDGIDGGSPLLFMVQSSVKSSRQMINDFLSSEIMLGEGNLLAHLVILGYKVSYQQCALVEYDFKVMDLFVDLQDGVRLCRAIQLLQNNSSILMKMVVPSDTCKKNLINCGIALQYLKGSGVTLRDEDGVTIMEGDVANGDKELTISLLWNMFVQLQLPLLINNTILAEEILKIQQTNVDPSNNISPSCFPLDLLLKWIQAVCEQYNYIVDDFSSMLDGKAIWCLLDYYFRKELWCSHSPKDPDVSRSGESIMSAANYTDAVHNFILSQKLITLFGNFPEILQTSDILQHSGAISERSVVVLLVFLASQLTAKKAMDRLNFHKLLCCNCQSLERRNSRNGNCVVNLKQVLKQEEIDFHSSGDVAEKFKAIKAWWQDMAERNNIFAMKPATSTSRHSSTGKSSINIERENAATLIQSHLRRSIARHNFLKMMNSVLYLQTVIRAWLMVKQKSSFCKFSTNIEKWEQSERVGRYVKFIVDRHRFVRLKKSVLFIQQAARIWMMRRLQDGSIRNCDASTTDLVNAAVVLQKCVRGWIARSRCKVMQMKIASHMCQVNSLDSHHLDAIKIQSHLRGWLFRRNFLKQKQMVTRIQSNFRRLKCWRSFQQLRVAKRSAITIQSHVRGWIARRVASRQRCLVGVLQKCCRGWLMRRNFLLQRDAAIKIQNVVRCFNCLKAFHCRRSAAIEIQRFVRGLITRRRMLVGCVQSCELDITISSILKLQRWWRSVLLFKLRTKSAIVIQSCLRGWIDRQRAAKQRQSIVMIQSHWKGYLLRKESRGQLLDLRLRVQRSAKNVDDSMRIINRLKVALSELLSVKSISGILHTCATLDMTTKHSQKCCEELVAAGAIGILLKLIRSVSRSIPDQEVLKHALSTLRNLTRYQHLTEVLIQSHGSIEIIFWEFLRNKEEGYFIAAEILRKICSNYKGAESLRKHPALLKRLHNLVEELTRKSTIDKRNLLGVAARVKVERRLNEAFNLQKLFTSKLSAKARA >Manes.17G037700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20931634:20947624:-1 gene:Manes.17G037700.v8.1 transcript:Manes.17G037700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSEQSVPSLYPQTSSSSSSLLKDISNFRTPKRPSQPPNFTSSPYPQFFTASKQTPKPSPSSSFRRYHNRPSLSSRPKHKTATARRLKAFELEQSQSSRKAQIQKEQSLKSLAKSLTTWLNFLFQNPRSCGCELKDREAETAGNLGKRDAGPRGRVVGLDTSWRSPKRRRDLGWRGVEHVEGEDEFMISKHYGQLKNSLMDVCSFDDLTQRMSVYLSLASCKEIFDVMSHVVKNIDEGRLNMKSYCPIVTDVGMKEKAIRILMCYNPIWLRIGLHIIFGGDSLLSNGDVNSDQEIAFLKMVIEKQFFSHAGLAKAYAYNKMVEGLYRPGYYEILGNVILKRFLLLVLILDRAKSHSTLSLKYGIDGIDGGSPLLFMVQSSVKSSRQMINDFLSSEIMLGEGNLLAHLVILGYKVSYQQCALVEYDFKVMDLFVDLQDGVRLCRAIQLLQNNSSILMKMVVPSDTCKKNLINCGIALQYLKGSGVTLRDEDGVTIMEGDVANGDKELTISLLWNMFVQLQLPLLINNTILAEEILKIQQTNVDPSNNISPSCFPLDLLLKWIQAVCEQYNYIVDDFSSMLDGKAIWCLLDYYFRKELWCSHSPKDPDVSRSGESIMSAANYTDAVHNFILSQKLITLFGNFPEILQTSDILQHSGAISERSVVVLLVFLASQLTAKKAMSLERRNSRNGNCVVNLKQVLKQEEIDFHSSGDVAEKFKAIKAWWQDMAERNNIFAMKPATSTSRHSSTGKSSINIERENAATLIQSHLRRSIARHNFLKMMNSVLYLQTVIRAWLMVKQKSSFCKFSTNIGQGSVHEKWEQSERVGRYVKFIVDRHRFVRLKKSVLFIQQAARIWMMRRLQDGSIRNCDASTTDLVNAAVVLQKCVRGWIARSRCKVMQMKIASHMCQVNSLDSHHLDAIKIQSHLRGWLFRRNFLKQKQMVTRIQSNFRRLKCWRSFQQLRVAKRSAITIQSHVRGWIARRVASRQRCLVGVLQKCCRGWLMRRNFLLQRDAAIKIQNVVRCFNCLKAFHCRRSAAIEIQRFVRGLITRRRMLVGCVQSCELDITISSILKLQRWWRSVLLFKLRTKSAIVIQSCLRGWIDRQRAAKQRQSIVMIQSHWKGYLLRKESRGQLLDLRLRVQRSAKNVDDSMRIINRLKVALSELLSVKSISGILHTCATLDMTTKHSQKCCEELVAAGAIGILLKLIRSVSRSIPDQEVLKHALSTLRNLTRYQHLTEVLIQSHGSIEIIFWEFLRNKEEGYFIAAEILRKICSNYKGAESLRKHPALLKRLHNLVEELTRKSTIDKRNLLGVAARVKVERRLNEAFNLQKLFTSKLSAKARA >Manes.01G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28345831:28356526:1 gene:Manes.01G080800.v8.1 transcript:Manes.01G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALAPATPFRLRRCSTLRFFSSYTKSNPTIRPKIPQTLLKPHALPPKFPLPPHSSQHNPRSFCTVISGALRSGEKTKLESVEDLRGEMGDRVGPFRKKLKIVDIKGGPDEGLDRVGQTLVVMGWVRTLRAQSSVTFMEVNDGSCLSNMQCVMGMEAEGYDQVESGLVATGASIWVQGTVVKSQGSKQKVELKVNKIIMVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPGSQEAVDSLVDGIPKTKEGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVRHILENCKEDMDFFNNWIEKGIIDRLSDVVEKDFIQLTYTDAIELLLKTNKKFEFPVKWGCDLQSEHERYITEEAFGGCPVIIRDYPKEIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLEYLEDRLDNLKLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIENIRDAIPFPRAPGSAEF >Manes.03G194800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31238924:31239554:1 gene:Manes.03G194800.v8.1 transcript:Manes.03G194800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKHYCRFLLISAVLALHVALSTSSTAAVDFIPMESGCRGSIAECLMSGDQDFLMEFAMDSEINRRILSATRYISYGALRRNVVPCSRRGASYYNCRPGAPANPYSRGCNRITRCRN >Manes.05G082832.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6810278:6811064:1 gene:Manes.05G082832.v8.1 transcript:Manes.05G082832.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWGSVLFIWISFIMCLICVSLGYNPVDDYLIDCGSSTNKSVGDRVFVADQFFSNLLSTPHITFANASSSPNSSAYNPSLFQTARIFNETSYYSFSVNKPGRHWIRLYFFPFMFRNYNLSTTKFSVCAQNFTLIHPLTEAKARRNG >Manes.02G200534.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:20732940:20749136:-1 gene:Manes.02G200534.v8.1 transcript:Manes.02G200534.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKDTEKISLKSQTNISKTNLTNLQLFTSGQSSVPRPIFTSTASIMQRPMDHISSALVLQPSDPRPRSPRPNFTSLNKFSPLQSPTRLIEPVTPSTFKQAVTGPSTSSPITSQELAQSEYKYKPIEDYVLTIEPEYWAQNPNLNVYQFCESIFPKTHYYIPDNFHKSQQYYEAILINTNSILIHNNFDPKSPNKLRYCKVRILKIWTLSDWGQEPHKTKEMTLTNGQMRQIVKYNYYDYQNAWERTFLKQNDQLSVSFFFFFSDNFIYPIPYWFHQWWNKFGISKEIIPDQIQNAQDQFFEKNKLPDTINCSPKWLIYCHYFHIPWILMIEYQIKDQSIDNFQIPVLIRKYKIKWWIKTDLQACGPKAIEQFFLKHPEYCNTANLGLSTITKHETFLAKKQQIMSQMAACTSEEEYRKLLEELKETRSSTASPIDLSNENDDFFTQVEMSPTKDKGQLKRKSIKELSNWPTKASPTKDKGQLKRKSIKLN >Manes.15G051384.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3940508:3943492:-1 gene:Manes.15G051384.v8.1 transcript:Manes.15G051384.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGKVILAEYTEFKGNFTSVAGQCLQKLPASNNRFTYNCDGHTFNYLVENGFIESAGRQIPIAFLERIKEDFVKRYGGGKAKTAQANGLKREFGPKLKDHMQYCVAHPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTESLRSQAQDFRQQGTKVKRKMWIENMKMKLIVFGIVVAMILIIFLSICHGFKC >Manes.15G051384.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3940508:3943491:-1 gene:Manes.15G051384.v8.1 transcript:Manes.15G051384.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGKVILAEYTEFKGNFTSVAGQCLQKLPASNNRFTYNCDGHTFNYLVENGFTYCVVAVESAGRQIPIAFLERIKEDFVKRYGGGKAKTAQANGLKREFGPKLKDHMQYCVAHPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTESLRSQAQDFRQQGTKVKRKMWIENMKMKLIVFGIVVAMILIIFLSICHGFKC >Manes.15G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:524629:526297:1 gene:Manes.15G004500.v8.1 transcript:Manes.15G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSLLIPLGFLLFSSINGDSIQDPACPSFDCGNGITIDYPFWHQNQQIEHCGYPGFDLSCADQNPMLHLSNYTDLYPIKHINYSNKSLILAYSGLKSATCPTITHDITLNTSSLLFNSSGNKLVRFFYNCSLYPPSLPHIQCLQYGAKRSYVFKEGAIPEFDWNRYCASTVVVPVIEEAMDHGDLVQGFDQGFKLTWNQAAADGVCQSCEASGGFCSYSNGLPSTFFCICSYGRESINCHNHGVASTRRRPNYVALGALLLTIGGLVIMATVFYVTQKKKKNKGVGSYKPV >Manes.03G017400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1467307:1473209:1 gene:Manes.03G017400.v8.1 transcript:Manes.03G017400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASLKENKPGEAKKFFRNAKDRGVELDAAAYSIVIQAFCKIPDVNLACELLSEMRDKGWVPSDNTFTSVIFACVKQGNMAEALRFKDEMVNCGKQLNVVVATNLVMGYCKKGDFCSALDLFDKMNERGPSPNKVTYAVMIEWCCKNGNMDKAYELYTQMKKKDVCPSVFTVNSLIRGFLKMQSLEEAYKLFDEAVAFGISNVFTYNHLLSWLCKEGKVSEAYRLWEKMVSEGVQHTEVSYNSMILGHCRQGNLDMASSVFSDMLKRGLKPNVITYSVLMDGYFKRGDIEYAFDLFDRMVDENIVPSDFTYNTIINGLCKVGRTSDAQDKLKKFVECGFVPICLTYNSIIDGYLKEGSISSALTTYREMCESRISPNVVTYTTLINGFCKSNNIGLAMKMRNEMKNKGLELDVAAYCALIDGFCKRRDMKTASQLFSELVEVGLPPNSIVYNTMISGYRNLGNMEAALNLHKRMLSEGIPCDLRTYTTLIDGLLKEGKLLPASDLYSEMLANGIMPDIITFTVLINGLCNKGQVENAQKILREMDMKDMTPNVLIYNALIAGHFKGGSLEEAFRLHNEMLDRGLVPNDTTYDILVNGNVSDGNSRSGVSCV >Manes.03G017400.20.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1467307:1473209:1 gene:Manes.03G017400.v8.1 transcript:Manes.03G017400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASLKENKPGEAKKFFRNAKDRGVELDAAAYSIVIQAFCKIPDVNLACELLSEMRDKGWVPSDNTFTSVIFACVKQGNMAEALRFKDEMVNCGKQLNVVVATNLVMGYCKKGDFCSALDLFDKMNERGPSPNKVTYAVMIEWCCKNGNMDKAYELYTQMKKKDVCPSVFTVNSLIRGFLKMQSLEEAYKLFDEAVAFGISNVFTYNHLLSWLCKEGKVSEAYRLWEKMVSEGVQHTEVSYNSMILGHCRQGNLDMASSVFSDMLKRGLKPNVITYSVLMDGYFKRGDIEYAFDLFDRMVDENIVPSDFTYNTIINGLCKVGRTSDAQDKLKKFVECGFVPICLTYNSIIDGYLKEGSISSALTTYREMCESRISPNVVTYTTLINGFCKSNNIGLAMKMRNEMKNKGLELDVAAYCALIDGFCKRRDMKTASQLFSELVEVGLPPNSIVYNTMISGYRNLGNMEAALNLHKRMLSEGIPCDLRTYTTLIDGLLKEGKLLPASDLYSEMLANGIMPDIITFTVLINGLCNKGQVENAQKILREMDMKDMTPNVLIYNALIAGHFKGGSLEEAFRLHNEMLDRGLVPNDTTYDILVNGNVSDGNSRSGVSCV >Manes.03G017400.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1467307:1473209:1 gene:Manes.03G017400.v8.1 transcript:Manes.03G017400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASLKENKPGEAKKFFRNAKDRGVELDAAAYSIVIQAFCKIPDVNLACELLSEMRDKGWVPSDNTFTSVIFACVKQGNMAEALRFKDEMVNCGKQLNVVVATNLVMGYCKKGDFCSALDLFDKMNERGPSPNKVTYAVMIEWCCKNGNMDKAYELYTQMKKKDVCPSVFTVNSLIRGFLKMQSLEEAYKLFDEAVAFGISNVFTYNHLLSWLCKEGKVSEAYRLWEKMVSEGVQHTEVSYNSMILGHCRQGNLDMASSVFSDMLKRGLKPNVITYSVLMDGYFKRGDIEYAFDLFDRMVDENIVPSDFTYNTIINGLCKVGRTSDAQDKLKKFVECGFVPICLTYNSIIDGYLKEGSISSALTTYREMCESRISPNVVTYTTLINGFCKSNNIGLAMKMRNEMKNKGLELDVAAYCALIDGFCKRRDMKTASQLFSELVEVGLPPNSIVYNTMISGYRNLGNMEAALNLHKRMLSEGIPCDLRTYTTLIDGLLKEGKLLPASDLYSEMLANGIMPDIITFTVLINGLCNKGQVENAQKILREMDMKDMTPNVLIYNALIAGHFKGGSLEEAFRLHNEMLDRGLVPNDTTYDILVNGNVSDGNSRSGVSCV >Manes.03G017400.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1467307:1473209:1 gene:Manes.03G017400.v8.1 transcript:Manes.03G017400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASLKENKPGEAKKFFRNAKDRGVELDAAAYSIVIQAFCKIPDVNLACELLSEMRDKGWVPSDNTFTSVIFACVKQGNMAEALRFKDEMVNCGKQLNVVVATNLVMGYCKKGDFCSALDLFDKMNERGPSPNKVTYAVMIEWCCKNGNMDKAYELYTQMKKKDVCPSVFTVNSLIRGFLKMQSLEEAYKLFDEAVAFGISNVFTYNHLLSWLCKEGKVSEAYRLWEKMVSEGVQHTEVSYNSMILGHCRQGNLDMASSVFSDMLKRGLKPNVITYSVLMDGYFKRGDIEYAFDLFDRMVDENIVPSDFTYNTIINGLCKVGRTSDAQDKLKKFVECGFVPICLTYNSIIDGYLKEGSISSALTTYREMCESRISPNVVTYTTLINGFCKSNNIGLAMKMRNEMKNKGLELDVAAYCALIDGFCKRRDMKTASQLFSELVEVGLPPNSIVYNTMISGYRNLGNMEAALNLHKRMLSEGIPCDLRTYTTLIDGLLKEGKLLPASDLYSEMLANGIMPDIITFTVLINGLCNKGQVENAQKILREMDMKDMTPNVLIYNALIAGHFKGGSLEEAFRLHNEMLDRGLVPNDTTYDILVNGNVSDGNSRSGVSCV >Manes.03G017400.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1467307:1473209:1 gene:Manes.03G017400.v8.1 transcript:Manes.03G017400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASLKENKPGEAKKFFRNAKDRGVELDAAAYSIVIQAFCKIPDVNLACELLSEMRDKGWVPSDNTFTSVIFACVKQGNMAEALRFKDEMVNCGKQLNVVVATNLVMGYCKKGDFCSALDLFDKMNERGPSPNKVTYAVMIEWCCKNGNMDKAYELYTQMKKKDVCPSVFTVNSLIRGFLKMQSLEEAYKLFDEAVAFGISNVFTYNHLLSWLCKEGKVSEAYRLWEKMVSEGVQHTEVSYNSMILGHCRQGNLDMASSVFSDMLKRGLKPNVITYSVLMDGYFKRGDIEYAFDLFDRMVDENIVPSDFTYNTIINGLCKVGRTSDAQDKLKKFVECGFVPICLTYNSIIDGYLKEGSISSALTTYREMCESRISPNVVTYTTLINGFCKSNNIGLAMKMRNEMKNKGLELDVAAYCALIDGFCKRRDMKTASQLFSELVEVGLPPNSIVYNTMISGYRNLGNMEAALNLHKRMLSEGIPCDLRTYTTLIDGLLKEGKLLPASDLYSEMLANGIMPDIITFTVLINGLCNKGQVENAQKILREMDMKDMTPNVLIYNALIAGHFKGGSLEEAFRLHNEMLDRGLVPNDTTYDILVNGNVSDGNSRSGVSCV >Manes.03G017400.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1467307:1473209:1 gene:Manes.03G017400.v8.1 transcript:Manes.03G017400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASLKENKPGEAKKFFRNAKDRGVELDAAAYSIVIQAFCKIPDVNLACELLSEMRDKGWVPSDNTFTSVIFACVKQGNMAEALRFKDEMVNCGKQLNVVVATNLVMGYCKKGDFCSALDLFDKMNERGPSPNKVTYAVMIEWCCKNGNMDKAYELYTQMKKKDVCPSVFTVNSLIRGFLKMQSLEEAYKLFDEAVAFGISNVFTYNHLLSWLCKEGKVSEAYRLWEKMVSEGVQHTEVSYNSMILGHCRQGNLDMASSVFSDMLKRGLKPNVITYSVLMDGYFKRGDIEYAFDLFDRMVDENIVPSDFTYNTIINGLCKVGRTSDAQDKLKKFVECGFVPICLTYNSIIDGYLKEGSISSALTTYREMCESRISPNVVTYTTLINGFCKSNNIGLAMKMRNEMKNKGLELDVAAYCALIDGFCKRRDMKTASQLFSELVEVGLPPNSIVYNTMISGYRNLGNMEAALNLHKRMLSEGIPCDLRTYTTLIDGLLKEGKLLPASDLYSEMLANGIMPDIITFTVLINGLCNKGQVENAQKILREMDMKDMTPNVLIYNALIAGHFKGGSLEEAFRLHNEMLDRGLVPNDTTYDILVNGNVSDGNSRSGVSCV >Manes.03G017400.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1467307:1473209:1 gene:Manes.03G017400.v8.1 transcript:Manes.03G017400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASLKENKPGEAKKFFRNAKDRGVELDAAAYSIVIQAFCKIPDVNLACELLSEMRDKGWVPSDNTFTSVIFACVKQGNMAEALRFKDEMVNCGKQLNVVVATNLVMGYCKKGDFCSALDLFDKMNERGPSPNKVTYAVMIEWCCKNGNMDKAYELYTQMKKKDVCPSVFTVNSLIRGFLKMQSLEEAYKLFDEAVAFGISNVFTYNHLLSWLCKEGKVSEAYRLWEKMVSEGVQHTEVSYNSMILGHCRQGNLDMASSVFSDMLKRGLKPNVITYSVLMDGYFKRGDIEYAFDLFDRMVDENIVPSDFTYNTIINGLCKVGRTSDAQDKLKKFVECGFVPICLTYNSIIDGYLKEGSISSALTTYREMCESRISPNVVTYTTLINGFCKSNNIGLAMKMRNEMKNKGLELDVAAYCALIDGFCKRRDMKTASQLFSELVEVGLPPNSIVYNTMISGYRNLGNMEAALNLHKRMLSEGIPCDLRTYTTLIDGLLKEGKLLPASDLYSEMLANGIMPDIITFTVLINGLCNKGQVENAQKILREMDMKDMTPNVLIYNALIAGHFKGGSLEEAFRLHNEMLDRGLVPNDTTYDILVNGNVSDGNSRSGVSCV >Manes.03G017400.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1467307:1473209:1 gene:Manes.03G017400.v8.1 transcript:Manes.03G017400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRASLKENKPGEAKKFFRNAKDRGVELDAAAYSIVIQAFCKIPDVNLACELLSEMRDKGWVPSDNTFTSVIFACVKQGNMAEALRFKDEMVNCGKQLNVVVATNLVMGYCKKGDFCSALDLFDKMNERGPSPNKVTYAVMIEWCCKNGNMDKAYELYTQMKKKDVCPSVFTVNSLIRGFLKMQSLEEAYKLFDEAVAFGISNVFTYNHLLSWLCKEGKVSEAYRLWEKMVSEGVQHTEVSYNSMILGHCRQGNLDMASSVFSDMLKRGLKPNVITYSVLMDGYFKRGDIEYAFDLFDRMVDENIVPSDFTYNTIINGLCKVGRTSDAQDKLKKFVECGFVPICLTYNSIIDGYLKEGSISSALTTYREMCESRISPNVVTYTTLINGFCKSNNIGLAMKMRNEMKNKGLELDVAAYCALIDGFCKRRDMKTASQLFSELVEVGLPPNSIVYNTMISGYRNLGNMEAALNLHKRMLSEGIPCDLRTYTTLIDGLLKEGKLLPASDLYSEMLANGIMPDIITFTVLINGLCNKGQVENAQKILREMDMKDMTPNVLIYNALIAGHFKGGSLEEAFRLHNEMLDRGLVPNDTTYDILVNGNVSDGNSRSGVSCV >Manes.11G092180.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15603410:15603709:-1 gene:Manes.11G092180.v8.1 transcript:Manes.11G092180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAVLAKQSFRWSSHAANKSSSRCLDVPKGFVAVYVGETEKKHFVVPVSYLNEPSFQDLLSKAEEEFGFHHPMGGLTIPCREETFRLVTSSLNRS >Manes.07G062100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:9013754:9023522:-1 gene:Manes.07G062100.v8.1 transcript:Manes.07G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRRPGFRLCLGLLFVYLMIPNSLARFVVESNNLRVTSPDTIKGTYDSAIGNFGIPQYGGRMAGVVIYPKENRKGCKEFDYFGISFKSKPGALPIFVLLDRGDCFFALKVWNAQKAGASAALVADDIEEPLITMDSPEENGSSAKYIENITIPSALVEKTFGETLKKAITSGDMVNVNLDWRESVPHPDDRVEYELWTNSNDECGVKCDMLIQFLKDFRGPAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVLENLRQLCVFRVANESRRPWIWWDYVTDFQIRCPMKEKKYNKKCADGVIRTLGLDVKKVDKCMGDPSADSDNPVLREEQDAQVGKGSRGDVTILPTLVVNNRQYRGRLGKGAVLKAICAGFEETTEPAICLSGDVETNECLNNNGGCWQDKTANITACKDTFRGRVCECPLVDGVHFRGDGYSHCEVSGPGRCKINNGGCWHESQNGHTFSACSDNDGGKCQCPAGFKGDGVQSCEDIDECKEKKACQCSECSCKNTWGSYDCTCSGDLLYIRDHDACISKLHTEVRSAWAAFWVILIGLGMATAGGYLIYKYRLRSYMDSEIRAIMAQYMPLDSHAEVPSHVNNAHA >Manes.02G155600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12144640:12148141:-1 gene:Manes.02G155600.v8.1 transcript:Manes.02G155600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLFFLCPLAIHFLVLTAQSQPEMVYKDCLPDKGNYSINSTYQANLKQLLTSIYTNTEINNGFYNFSHGQDPDVVKSIALCRPDITPQACRDCIKNASDSLLVLCPTSKEAIGGQDDCMVRYSYRDIFRLNEGRGPYFFVHSKNNVPEPNISGFNQSRMTLLDSLRDRAAAGDSRYKFDYNQTLDPNFQTIYALVQCTPDLTESECRDCLHFASGLIPECCEFNTGGRVITPSCNFRYETDRFYSLENMPSAQSPPPPPPPPGKSRIVIIVIVTVAVSTIVAICVFIFLRARNKKKEFKRIEAMNKKEEFNGIDAESLQFDFGTVRTATNDFSEENKLGQGGFGAVYKGELPNGQHIAVKRLSKESKQGQLEFKNEVLLVAKLQHKNLVRLLGFCLERKERLLIYEFVPNSSLDHFIFDPTKRTHLDWERRYKIIVGIARGLLYLHEDSRLRIIHRDLKASNVLLDEEMNPKISDFGMARLFEVDQTQEETSRIVGTFGYMAPEYIHHGRFSVKSDVFSFGVLVLEIASGQKISGAGIGEEEENLLTYSWKNWNEGTALNLIDPTMRVAPRSEMMRSIHIGLLCVQEHEANRPTMAQVVTLLGSYSITLPVPSKPAFFMHSALRTEASLSTNERSNEDVQQSRNEVSTSELYPR >Manes.02G155600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12144640:12148141:-1 gene:Manes.02G155600.v8.1 transcript:Manes.02G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLFFLCPLAIHFLVLTAQSQPEMVYKDCLPDKGNYSINSTYQANLKQLLTSIYTNTEINNGFYNFSHGQDPDVVKSIALCRPDITPQACRDCIKNASDSLLVLCPTSKEAIGGQDDCMVRYSYRDIFRLNEGRGPYFFVHSKNNVPEPNISGFNQSRMTLLDSLRDRAAAGDSRYKFDYNQTLDPNFQTIYALVQCTPDLTESECRDCLHFASGLIPECCEFNTGGRVITPSCNFRYETDRFYSLENMPSAQSPPPPPPPPGKSRIVIIVIVTVAVSTIVAICVFIFLRARNKKKEFKRIEAMNKKEEFNGIVDSEIEDAESLQFDFGTVRTATNDFSEENKLGQGGFGAVYKGELPNGQHIAVKRLSKESKQGQLEFKNEVLLVAKLQHKNLVRLLGFCLERKERLLIYEFVPNSSLDHFIFDPTKRTHLDWERRYKIIVGIARGLLYLHEDSRLRIIHRDLKASNVLLDEEMNPKISDFGMARLFEVDQTQEETSRIVGTFGYMAPEYIHHGRFSVKSDVFSFGVLVLEIASGQKISGAGIGEEEENLLTYSWKNWNEGTALNLIDPTMRVAPRSEMMRSIHIGLLCVQEHEANRPTMAQVVTLLGSYSITLPVPSKPAFFMHSALRTEASLSTNERSNEDVQQSRNEVSTSELYPR >Manes.04G036400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:4764633:4765820:1 gene:Manes.04G036400.v8.1 transcript:Manes.04G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSTSNSDHQIGRLDQWSHARAFSFFDVDDDGTISQEELRGCLSFLGLDGYHDQFDKFLELDEDGKVKISQILNLLAAKNAALDWMMVKRRKDQNDEFIININQLDKALPLINGKNSNFSKLRDIFLGLTGQAAVSFIAYAPMATSSASATATGQLYVAVGANAVAFLFLLLSFGLGGRKKESAEKFTGAMGIFTAAAGFYLMMGMFLPGTHL >Manes.05G193200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31611516:31616430:-1 gene:Manes.05G193200.v8.1 transcript:Manes.05G193200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSHTSSSSSNSSSPSSSSSGSSSWIHLRSVLLIVNSSSPASCSSSDRGHLKSPWSRRKRKHVLTPRQWRRLFTPDGKLHDEVKFLKKVRSGGVDPSIRAEVWPFLLGVYDSHSSKEERDTVRTQKRKEYEKLRRQCRRLLKQSNGSFKLNESSEPSNTVDSRGLVQDTDSSEDVVSARESLSSEERSPDAEYSDDPSSTLLEGDDSSRPQTTNGDATAVNTESSDSDSSEDPEVVIQASSSSEGQEKNEHNEPSKEFISPSRTELHLRTPATENFATWQRIIRVDAVRANSEWIPYSPSQASVSEERARFSAEAVGLKDYEHLEPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIITVMTEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKRKDSHLFRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQRRKLIVEKYNSMDEILRECNSMAGQLDVWKLLDDAHDLVVNLHDKIETSF >Manes.05G193200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31611516:31616430:-1 gene:Manes.05G193200.v8.1 transcript:Manes.05G193200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPGNNAPHGIPLSGGGGFWWAVGAPHSRAALAFTALAGIAVFVTVFYATSRGHLKSPWSRRKRKHVLTPRQWRRLFTPDGKLHDEVKFLKKVRSGGVDPSIRAEVWPFLLGVYDSHSSKEERDTVRTQKRKEYEKLRRQCRRLLKQSNGSFKLNESSEPSNTVDSRGLVQDTDSSEDVVSARESLSSEERSPDAEYSDDPSSTLLEGDDSSRPQTTNGDATAVNTESSDSDSSEDPEVVIQASSSSEGQEKNEHNEPSKEFISPSRTELHLRTPATENFATWQRIIRVDAVRANSEWIPYSPSQASVSEERARFSAEAVGLKDYEHLEPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIITVMTEDHEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKRKDSHLFRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQRRKLIVEKYNSMDEILRECNSMAGQLDVWKLLDDAHDLVVNLHDKIETSF >Manes.06G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:618888:623274:-1 gene:Manes.06G002500.v8.1 transcript:Manes.06G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILTYVVAVEAVLAAVLTLPSPKLLKYRLVSLVSLLLQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTASERDRYEKSIYKAQRNVILCASACLLYWCIYRICKYYKEIQNLEEVEKRYKKE >Manes.02G210560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24569656:24572067:-1 gene:Manes.02G210560.v8.1 transcript:Manes.02G210560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLACLLFSLFLLPCSLLAQNTGNIVVGSSLTAGDNKARPWLSPSEDFAFGFRQLDKKELYWLAIWYNKIPTETIVWYANGDNPAPPRSKLELTADRGLVLTSPQGAEIWKSGINLGEASNGFMNDTGNFIVSNSSSEILWQSFDHPTDTLLPGQTLERGGQILSSRLTETNFSRGRFQFRLIPDGNAVLNTNNLPTGYAYEAYFRSNTADSNLSNAGLRVVFNDSGYLYVLRASGKQELLSPGRLVSAEENYYRVTLNFDGVFIQYSHPKDSTGNEVNWSVIRTMPGNICTDINGQVGTGPCGFNGVCRLGADQRPICSCPERFSLLDPNDAYGGCRPDFNAQFCEEDVSNSPEDFDFLELDDTDWTTSEYEWYEPFNVEECQNACIEDCFCNTFIKVRKGNYTIRGPPLLPSSTPNEKKNNDTLVLMLSVLLGGVVLVNLVSVFYYFFIYHKKSKKATQATEIAAESNLRCFSYKELFIATNGFKEMAGRGSFGIVYKGEIEMDTKVPVAVKKLNTIVEDGEKEFRTEVKVIGQTHHKNLVRLVGFCDEGQHRLLVYEFLSNGALANFLFTKATLNWNQRIRIAFGIARGLVYLHEDCSTQIIHCDIKPQNILLDDYCNAKIADFGLAKLLVLDQSQTFTAIRGTKGYVAPEWFRNMPVTVKADVYSFGVLLLEIISGRKCVDTEVSEERAILVDWAYDCYQEGRISALVEDDEEAMNDMKKIERFVMVAIWCIQEDPALRPTMKMVVLMLEGIVQVTAPPCPCPFSTVVS >Manes.17G099700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30612416:30615926:1 gene:Manes.17G099700.v8.1 transcript:Manes.17G099700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVDSLNNAYQEFVAAAANVLEAKEIAVAQKTAATDAALENFKQRWELFRVACDQAEEFVESVKLRIGSECLVDEATGSVTGKSGQAGTTGLPPISAVRLEQMSKAVRWLVIELQHGSGAAGGVAGHANSSAPFDARFSEDAAQ >Manes.17G099700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30612864:30615926:1 gene:Manes.17G099700.v8.1 transcript:Manes.17G099700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVDSLNNAYQEFVAAAANVLEAKEIAVAQKTAATDAALENFKQRWELFRVACDQAEEFVESVKLRIGSECLVDEATGSVTGKSGQAGTTGLPPISAVRLEQMSKAVRWLVIELQHGSGAAGGVAGHANSSAPFDARFSEDAAQ >Manes.10G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28198061:28206951:1 gene:Manes.10G116600.v8.1 transcript:Manes.10G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGNGGVGSSRSVNGFKSSSSSIDWLGREMLEMRLRDKADHDEDRDSEPDIIDGVGTEAGHVIRTTIGGRNGQSRQTISYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNVVSLKNCFFSTTEKEELYLNLVLEYVPETVNRIARNYSRMNQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGKPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKCLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRNPETRLPNGRPLPPLFNFKPQELSGIPADVVNRLIPEHARKQNLFMALHT >Manes.17G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2074845:2078500:-1 gene:Manes.17G006000.v8.1 transcript:Manes.17G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSNNKQWRPFTANCCSAKDQTILGNFSRCRPSKTEMSKNIAPLPSFRKLSFSDISRSSSMKIDEELAGCLGPDLYDFELSELRAITHNFSSNYLLGEGGFGTVHKGYIDDNFRQGLKAQPVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEDEERLLVYEFMPRGSLENHLFKRISVSLAWGTRLKIAIGAAKGLSFLHSAHSPVIYRDFKTSNVLLDSDFNAKLSDFGLAKMGPEGSDTHVTTRVMGTYGYAAPEYVSTGHLTTKSDVYSFGVVLLELLTGRRAMDKSRGKNEQNLIDWTKPYLTSSRRLRFIIDPRLSGQYSVKGAKQIANLALQCISVNPKDRPKMAAIVETLEALQHYKDMAVTCGQWPPSPKSKTSNGVSTQVRLQQRKN >Manes.16G066750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:26674549:26674881:-1 gene:Manes.16G066750.v8.1 transcript:Manes.16G066750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGNAVSISQSVIPVFKDENFEFWNIKTKTLFKSQDLWDLVEKVYPDPGKETRLKENKKKDSKILFFIQQVVHESVFSKIIAATIARNAWATLQMVHQSTSKVITIKF >Manes.03G175000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29883024:29887498:1 gene:Manes.03G175000.v8.1 transcript:Manes.03G175000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTSCDAEGITAEGKSDNQSTVKGIGSVSSKDMIFRADKVDLKSLDVQLEKHLSRVWSRNIENQRPKEVWEIDLSKLDIRHVIAHGTYGTVYRGIYDNQDVAVKLLDWGEDGIATTAETTALRSSFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPAKDMSDGQSSLPSRACCVVVEYLPGGTLKQHLIRNRRKKLPYKIVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRSCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPEIPRCCPNSLATVMRKCWDANAEKRPEMAEVVRMLEAIDTSKGGGMIPEDQAPPACFCFAPARGP >Manes.06G065100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20016706:20018458:-1 gene:Manes.06G065100.v8.1 transcript:Manes.06G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWGIYDSDSDSDDDQPAPPPSRKLFGHRRSLHAVLGRGRVADILLWKNKYLSAGILIGFTVVWFLIEVVEYHVVTLLCHLLMLFMAILFIWSNAAGFIKRNPPDIDDIELAETTLRFLFQQINQFLSKLYYISSGKDPKTFFVTIACLWILSAFGSLCSTLTLLYIVFLCLATLPALYERHEEKVDHYAGRSSQEMKNLFENFNKRVLDKIPRGPTKDKKLA >Manes.04G000800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:376803:380707:-1 gene:Manes.04G000800.v8.1 transcript:Manes.04G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSREHGKIRKRGNSSSSSSSLAQKYRFKRTILVGKRGGSTTSVPTWKTSTKSPTLSVPNAESTKCPLSQSGDNAKDASVSARKLAATLWGINNIPSQRVRKDLEAKGDNKELRSREKVEKFPNLLDPSYTQISEKMDRSRVHGHRRRASVSRKKVEVTDYNVGGLDSLSNVSLMETETHTKSKRHKECVTGIRARLKDISNGLVASKELLKVLNRIWGLDEQHSSGMSVVSAARVELDRAWLQVDQLIKEQRPNRNEIEIIVMHFEEEKAAWKSKERDKIHNAIACVAEELEVEKKLRRQTERLNMKLGKELADTKASLSQALEELESEKRAKEILEQVCDELARGVGEDKAEVEELKIESAKVREEMEKEREMLQLVDVLREERVQMKLSEAKYHFQEKNEAVERLKDELESYLKEKVPGKESCHGFPNYEGIKEFQAYLKEIQNGSSPRAEKERNEGVIENGEVPDGDDSADSDLHSIELNMDNNSKSYNWSYACDSDALDNLNRVSLDINFKGRKSFCENIQWGSICLQRRNSNSTDGPNRDFISKNKEKSDVSDRERLSELDSHSQMQENEDEIMQHRSVKSLRDTILPGSRRQPIQIFSSPTRQWGTLQDSKSAVSDSSPVLQGDALKPRVVGKRGDRRTLTSSRH >Manes.04G000800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:376802:380713:-1 gene:Manes.04G000800.v8.1 transcript:Manes.04G000800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSREHGKIRKRGNSSSSSSSLAQKYRFKRTILVGKRGGSTTSVPTWKTSTKSPTLSVPNAESTKCPLSQSGDNAKDASVSARKLAATLWGINNIPSQRVRKDLEAKGDNKELRSREKKMDRSRVHGHRRRASVSRKKVEVTDYNVGGLDSLSNTETHTKSKRHKECVTGIRARLKDISNGLVASKELLKVLNRIWGLDEQHSSGMSVVSAARVELDRAWLQVDQLIKEQRPNRNEIEIIVMHFEEEKAAWKSKERDKIHNAIACVAEELEVEKKLRRQTERLNMKLGKELADTKASLSQALEELESEKRAKEILEQVCDELARGVGEDKAEVEELKIESAKVREEMEKEREMLQLVDVLREERVQMKLSEAKYHFQEKNEAVERLKDELESYLKEKVPGKESCHGFPNYEGIKEFQAYLKEIQNGSSPRAEKERNEGVIENGEVPDGDDSADSDLHSIELNMDNNSKSYNWSYACDSDALDNLNRVSLDINFKGRKSFCENIQWGSICLQRRNSNSTDGPNRDFISKNKEKSDVSDRERLSELDSHSQMQENEDEIMQHRSVKSLRDTILPGSRRQPIQIFSSPTRQWGTLQDSKSAVSDSSPVLQGDALKPRVVGKRGDRRTLTSSRH >Manes.04G000800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:376803:380707:-1 gene:Manes.04G000800.v8.1 transcript:Manes.04G000800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSREHGKIRKRGNSSSSSSSLAQKYRFKRTILVGKRGGSTTSVPTWKTSTKSPTLSVPNAESTKCPLSQSGDNAKDASVSARKLAATLWGINNIPSQRVRKDLEAKGDNKELRSREKVEKFPNLLDPSYTQISEKMDRSRVHGHRRRASVSRKKVEVTDYNVGGLDSLSNTETHTKSKRHKECVTGIRARLKDISNGLVASKELLKVLNRIWGLDEQHSSGMSVVSAARVELDRAWLQVDQLIKEQRPNRNEIEIIVMHFEEEKAAWKSKERDKIHNAIACVAEELEVEKKLRRQTERLNMKLGKELADTKASLSQALEELESEKRAKEILEQVCDELARGVGEDKAEVEELKIESAKVREEMEKEREMLQLVDVLREERVQMKLSEAKYHFQEKNEAVERLKDELESYLKEKVPGKESCHGFPNYEGIKEFQAYLKEIQNGSSPRAEKERNEGVIENGEVPDGDDSADSDLHSIELNMDNNSKSYNWSYACDSDALDNLNRVSLDINFKGRKSFCENIQWGSICLQRRNSNSTDGPNRDFISKNKEKSDVSDRERLSELDSHSQMQENEDEIMQHRSVKSLRDTILPGSRRQPIQIFSSPTRQWGTLQDSKSAVSDSSPVLQGDALKPRVVGKRGDRRTLTSSRH >Manes.04G126538.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32863637:32864622:-1 gene:Manes.04G126538.v8.1 transcript:Manes.04G126538.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQNIGIIRNLAYPSFGDFRPSVVRSRVNANNFELKPSLVQMNPHLHLSNFIEINNMIEINGVSEDAIRLRHFQFSLRDRAREWLNAFFPGSITTWEQLSQAFIKQYIPPSKTTKLRIELNSFIQRDDESLHEAWERYKELQRKLQHFYNVVSPILRSAIDVAAGGDVMEIIEDEAYTCLDKIVYNNYHCNGERANVKSEAKKPAGMFEIDAMSMINAKFDALARRIDKMTMGMEAKNINAVNDMSYGASFAYDNQSWGQDFSAELLNYLGLSNRSKIRIFSSNFFLHNNTFLRHKIGDHRVPS >Manes.11G154100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32105402:32116707:1 gene:Manes.11G154100.v8.1 transcript:Manes.11G154100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPSENGVDGDDEREEDEEEEEEEEEEEAEEEEEEPRLKYQRMGGSIPTLLSNDAASCIAVAERMIALGTLDGTVHILDFLGNQVKEFAAHTAAVNDLSFDIEGEYVGSCSDDGSVVIHSLFTDEKMKFDYHRPMKAIALDPEYSRKTSRRFVAGGLAGHLYFNSKKWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGSPRPELLLPHLVWQDDTLLVIGWGTSVKIASIRTNEYKGTNGTYKHIPAASMNKVDIVASFQTSYYISGIAPFGDSLVVLAYIPGEDGEKEFSNSIPSRQGNAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHSPFSGSSYAGGQWAAGDEPLYYVVSPKDVVIAKPRDAEDHIAWLLQHGWHEKALAAAEAGQARSELLDEVGSRYLDHLIVERKYSEAASLCPKLLQGSASAWERWVFHFAHLRQLPVLVPYIPTENPRLRDTAYEVALVALATNSSFHKDLLSTVKSWPPVIYSALPVISAIEPQLNTSSMTDALKEALAELYVINGQYEKACSLYADLMKPDMFEFIEKHNLHDAIREKVVQLMMLDCKRAVPLFIQNKDLIPPAEVVSQLLAARNKCNARYFLHLYLHSLFEANSHAGKEFHDMQVELYADYDPKMLLPFLRSSQHYTLEKAYDICVKRDLLREQVFILGRMGNSKKALAIIINKLGDIEEAVEFVTMQHDDELWDELIKQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGMEIPRLRDCLVKIITDYRTETSLRHGCNDILKADCVNLLVKYYKEARRAVCLTSEGDDARVISDSSRDSQSTERTLSIKTMDVKSKTRGDSRCCMCFDPFSIQNVSVIVFFCCHAYHMTCLMDSMHTVSSQKGSRENNEYEEEDEEEEDDDDDTRSGVPRLRCILCTTAAS >Manes.01G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5096927:5104383:1 gene:Manes.01G023900.v8.1 transcript:Manes.01G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVGTPVRHGYRMPAEWELHSQTWMGWPERTDNWRDDAVHAHNVFAKVATAISKFEPVTVCASAAQWENARRLLPEHIRVIEMSMNDSWFRDTGPTFVVKASNSGNPEQKVAGIDWTFNSWGGVDDGCYRDWSLDLLVARKILGIEKLPRFPHSMILEGGSIHVDGEGTCLTTEECLLNKNRNPDLTKEQIENQLKEYLGVQKVIWLPHGLYGDDDTNGHVDNMCCFAKPGVVLLSWTDDENDFQFERSMEALSVLSNATDAKGRKFEIIKLHVPGPLYMTEEESAGVIQDGEAKPRPPNTRLAASYVNFYIANGGIITPQFGDQKWDDEAVRVLSQAFPDHEVVRIEGAREIVLGGGNIHCITQQQPAPPS >Manes.01G023900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5097124:5104383:1 gene:Manes.01G023900.v8.1 transcript:Manes.01G023900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGSQVIIIERTDNWRDDAVHAHNVFAKVATAISKFEPVTVCASAAQWENARRLLPEHIRVIEMSMNDSWFRDTGPTFVVKASNSGNPEQKVAGIDWTFNSWGGVDDGCYRDWSLDLLVARKILGIEKLPRFPHSMILEGGSIHVDGEGTCLTTEECLLNKNRNPDLTKEQIENQLKEYLGVQKVIWLPHGLYGDDDTNGHVDNMCCFAKPGVVLLSWTDDENDFQFERSMEALSVLSNATDAKGRKFEIIKLHVPGPLYMTEEESAGVIQDGEAKPRPPNTRLAASYVNFYIANGGIITPQFGDQKWDDEAVRVLSQAFPDHEVVRIEGAREIVLGGGNIHCITQQQPAPPS >Manes.10G128051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29264087:29265497:1 gene:Manes.10G128051.v8.1 transcript:Manes.10G128051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIENLNNSIEHDQLTIYQVVRGDVAELDALLQQDELILDRVTVSSFHETPLHIAAMRGHLQFAQALLNLKPKLAEELDSLCRLPLHLASAEGYSHIVKELVTVNPDACWARDQDGRIPLHLATMKGRVAVVKELVSVCPESIREKMDNGETILHLCVKYNRLEALKLLVETVRDDEFVSAADDNGNTILHLAAILKHVQITKYLLLETSIKENANTLNKNGFTALDALEHSPMDSKGLEIKIILLEREALLREPVNGGIIT >Manes.11G091848.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15423478:15424494:1 gene:Manes.11G091848.v8.1 transcript:Manes.11G091848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNKIRHIVRIQQMLKRWRRKARITASHAPSDVPSGHVAVCVGDHCKRFIVRATYLNHPIFKKLLLQAEEEYGFKTIGPLTIPCDEAVFEEILRVVSRSDSSRFSNLEEVQRCCHVSMRNHLEFLGESRPLLRG >Manes.01G049540.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:15305110:15306696:1 gene:Manes.01G049540.v8.1 transcript:Manes.01G049540.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDIIMLLSFSLSSVCHFVGYLLLLCRHLLLSATYECYNVSYISACVKKSSLSSDRSIGDGDAAVFLVSFISSSNFSYSCSEVQAAICAIICCFLAKKVSCLVIAAGLGVLQYCGLFTKNWSTAIWSQPWRLVFVHHLVLYFLTNMGIWKLSIIWS >Manes.01G170600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35285593:35288920:1 gene:Manes.01G170600.v8.1 transcript:Manes.01G170600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSYVLLPAFLVAALFFFCAVPQVANAGITRHYKFNIVSINVTRLCRTKSMVTVNGQFPGPPVVAREGDHLLVKVVNHVSSNITIHWHGIRQLRNGWADGPSYITQCPIQTNDTYVYNFTITGQRGTLLWHAHFRELRATVHGALVILPPHNSSYPFPKPYKEVTILLGEWYNTDPEAIINQALQTGAAPNVSDAYTINGLPGPLYNCSAKDTYRLKVKPGKTYLLRVINAAVDDDLFFTIANHSVIVVEADATYVKPFETELLLISPGQTTNVLLKTKPIAPNAKFFILARPYSTSLGAIDNTTVAGILEYKTSSNSSKSKRLPVVRPPLPPINATSVAANYSSRFRRLVNAHFPANVPQKVDKNFYFTVGLGTSPCPKNQTCQGPNGTKFAASINNNSLVLPSTAILQSYYFKKSNGVYTSNFPRFPPKPFNYTGTPPNITFVAKGTKVAVLPFNASVEVVLQDTSILGIERHPLHLHGYNFYVVGQGFGNFDSKNDPKNYNLVDPVELNTVGVPSGGWVAIRFFADNPGVWFMHCHFDVHLNWGLAMAWIVLDGKHPNEKVLPPPSDLPKC >Manes.03G117100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24254398:24258192:-1 gene:Manes.03G117100.v8.1 transcript:Manes.03G117100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAERQKPHSDKKLFSLCPFWQSGTTNTSSSSSTKNFNHTRNGNSSNRQVKNSSKSTTVLSVARSLLPAWRRLRFDPAKILYFPYEPGKQVRSAIRMKNTSRSHVAFKFQTTEPKSCFMRPPGGILAPGESLIATVFKFVEHPENNEKVIDQKSNVKFKIMSLKVKGGTEYTPELFDEQIDRVTVERVLRVVFLDVEHPSPALEKLKHQLTEADAAFEDRKKSPPDTGPRVVGEGLVTDGWKERREKYLARQKVEATDT >Manes.05G168200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28186140:28188225:-1 gene:Manes.05G168200.v8.1 transcript:Manes.05G168200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPPYMIDITLGLGLAAIILFYYYILRRSKFAEAKTAPEAGGAWPIIGHISLLAGRNQLPHITLAALADKYGPIFTVRIGIYPALVVNTWELAKEIFTTNDAAVWHRPKFTGPKLLGYNYTNFGFTPDFEYWREIRKLTASELLSNRRLQLLKHIRASEVQGSIKSTYKFWTKYKDETNRVKVDMQRCFNDINMNVILRMVAGKIYFGENSGGDEGKARPFQAAMRDFFRLSGLFVARDAFPFLGWMDLGGYEKAMKRTAKEFDSILEEWLEEHRRKRDTGQVSNTEQDFMDVLLSVLDGTDLPGYDIHTVIKSTAMAIIAGGTDTTTVTVTWGLALLLNNPIALRKAQEELDIQVGKERLANESDIDKLVYLQAIVKETLRLYPAGPLSGRRELSEDCSIGGYHVPAGTRLIVNSYKIQRDPRVWSNPMEFEPERFLNKHKEIDVKGQNFELIPFGAGRRSCPGINFGILMVHLALASFLQAFEMSTPSNSPVDMAESAGLTNSKATPLEVMFKPRLSACLYD >Manes.01G173400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35474722:35480682:-1 gene:Manes.01G173400.v8.1 transcript:Manes.01G173400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCLSSQIKAESPWNAGLSSKDVSTDRNDHSRSGKVSSASAPPTPRSEGEILQSSNLKSFNFSDLKTATRNFRPDSVLGEGGFGLVFKGWIDEHSFSAAKAGTGLVIAVKKLSQEGFQGHKEWLAEVNYLGQLYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQSLSWNLRLKVAHGAAKGLAFLHSAENKVIYRDFKTSNILLDSSYNAKLSDFGLAKDGPIGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNLVEWAKPYLANKRKIFRVLDNRLEGQYSMDVAYKAAMLALRCLSIEPKLRPNMDEIEALLEQLQDSKETGSTNNPSSNAPRIHRRSADNASGGRSVAAYPCPSDSPLSA >Manes.12G042550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3857250:3863465:-1 gene:Manes.12G042550.v8.1 transcript:Manes.12G042550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFTGNFTSIAAQCLQKLPATNNKFTYNCDGHTFNYLVDNGFTYCVVAVESVGRQVPIAFLERVKEDFSNRYGGGKAATAVANSLNKEFGPKLKEQMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWFQNMKIKLIVLGILIALILIIVLSVCKGFKCN >Manes.04G028300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3363057:3369027:-1 gene:Manes.04G028300.v8.1 transcript:Manes.04G028300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLALEETSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVYTANEIYKFLEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEVIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQEEAEKKAMAEKIERMELESESKDKQIMELQELYNTQLLLTAELSEKLEKTEKKLDETENSLFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELRAELENAASDVSSLFAKIERKDKIEEGNRILIQKFQSQLNQQLEILHRVVASSVTQQEQQLKDMENDMQSFVSTKAEATEELRGKVGKLKTMYGSGIQALDEMATELEGNSRSTFDNLNSEVSKHSHALEGLFKGIAMEADALLNDLQSSLHSQEEKLTAFAKQQREAHSRAVETARSVAKLTVNFFKTLDMHASNLTQIVEEAQTNNDQKLSELEKKFEECAAIEERQLLEKVAELLANSNARKKKLVQMAVHDLRHSANSRTNKLQQEMSTMQDSTSSLMSEWTVHMEKTETKYLEDTSSVENGKKDLEDVLLNCLNKARMGAQQWRNAQESLLNLEKGNVASVNSIVSGGMEANQVLCTRFSSAVSAALEDVDVANNSLLLSIDHSLQLDHDACGNLNSMIVPCCEDLRKLKGGHYHKTVEITENAGKCLQDEYVVDEPSCSTPTKRSFNLPSVASIEELRTPAFEELLRSFWDTKYAKQANGDLKHLAAAYEALRDSRVPLTAIN >Manes.04G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3362811:3370008:-1 gene:Manes.04G028300.v8.1 transcript:Manes.04G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQLQQRRVGLVSLSPSQTPRSSDKATRDLRSGEPNSNSKHDKEKGVNVQVIVRCRPLSEDELRLHTPVVVNCNEGKREVSAIQNIANKQIDRTFLFDKVFGPTSQQKDLYDLAVSPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLALEETSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVYTANEIYKFLEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEVIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQEEAEKKAMAEKIERMELESESKDKQIMELQELYNTQLLLTAELSEKLEKTEKKLDETENSLFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELRAELENAASDVSSLFAKIERKDKIEEGNRILIQKFQSQLNQQLEILHRVVASSVTQQEQQLKDMENDMQSFVSTKAEATEELRGKVGKLKTMYGSGIQALDEMATELEGNSRSTFDNLNSEVSKHSHALEGLFKGIAMEADALLNDLQSSLHSQEEKLTAFAKQQREAHSRAVETARSVAKLTVNFFKTLDMHASNLTQIVEEAQTNNDQKLSELEKKFEECAAIEERQLLEKVAELLANSNARKKKLVQMAVHDLRHSANSRTNKLQQEMSTMQDSTSSLMSEWTVHMEKTETKYLEDTSSVENGKKDLEDVLLNCLNKARMGAQQWRNAQESLLNLEKGNVASVNSIVSGGMEANQVLCTRFSSAVSAALEDVDVANNSLLLSIDHSLQLDHDACGNLNSMIVPCCEDLRKLKGGHYHKTVEITENAGKCLQDEYVVDEPSCSTPTKRSFNLPSVASIEELRTPAFEELLRSFWDTKYAKQANGDLKHLAAAYEALRDSRVPLTAIN >Manes.04G028300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3363057:3369992:-1 gene:Manes.04G028300.v8.1 transcript:Manes.04G028300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQLQQRRVGLVSLSPSQTPRSSDKATRDLRSGEPNSNSKHDKEKGVNVQVIVRCRPLSEDELRLHTPVVVNCNEGKREVSAIQNIANKQIDRTFLFDKVFGPTSQQKDLYDLAVSPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLALEETSKFIDDKSKKPIALMEDGKGGVFVRGLEEEIVYTANEIYKFLEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEVIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQEEAEKKAMAEKIERMELESESKDKQIMELQELYNTQLLLTAELSEKLEKTEKKLDETENSLFDLEDKHRQANATIKEKEFLISNLLKSEKALVERAFELRAELENAASDVSSLFAKIERKDKIEEGNRILIQKFQSQLNQQLEILHRVVASSVTQQEQQLKDMENDMQSFVSTKAEATEELRGKVGKLKTMYGSGIQALDEMATELEGNSRSTFDNLNSEVSKHSHALEGLFKGIAMEADALLNDLQSSLHSQEEKLTAFAKQQREAHSRAVETARSVAKLTVNFFKTLDMHASNLTQIVEEAQTNNDQKLSELEKKFEECAAIEERQLLEKVAELLANSNARKKKLVQMAVHDLRHSANSRTNKLQQEMSTMQDSTSSLMSEWTVHMEKTETKYLEDTSSVENGKKDLEDVLLNCLNKARMGAQQWRNAQESLLNLEKGNVASVNSIVSGGMEANQVLCTRFSSAVSAALEDVDVANNSLLLSIDHSLQLDHDACGNLNSMIVPCCEDLRKLKGGHYHKTVEITENAGKCLQDEYVVDEPSCSTPTKRSFNLPSVASIEELRTPAFEELLRSFWDTKYAKQANGDLKHLAAAYEALRDSRVPLTAIN >Manes.01G004532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2173135:2173945:1 gene:Manes.01G004532.v8.1 transcript:Manes.01G004532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFVSKYVYVHGFCILHHPSVLFMGIKEKSSTWSMAVQLVDFLFFFEIPLGIENSKLFWCVFFWRKCKWHSC >Manes.03G078000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21150546:21153255:-1 gene:Manes.03G078000.v8.1 transcript:Manes.03G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKFQTLPPLKRFRLLQQLQENKENNDPISLQLPAKKRKESRHSLLPEPTGVVSAATYCLPAKKRVWAIQPDLVSSGEPLSPFDLNVKYKSYFDEEVKANENGVNVKMPLDVATDHSVESHPKEKEDEKTPLMNDNSQSQFIKMPVEEEKDGNIEHEEEEEEEEDDDDGILCAICQSTDGDPTDPIVFCDGCDLMVHTTCYGNPLIKGIPEGEWFCTQCLASQSDKQNKAFSCCLCPTTGGAMKPTKDGFWAHVVCALLVPEVFFEDPDGREGINCTKVPKRRWKGKCYVCKSRKGCVIQCSEPKCPLAFHVTCGLNEDLCIEYREGKRKAETIVAGFCKSHTELWKKQQRTGKFKIVAREEHVK >Manes.16G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30794415:30797013:1 gene:Manes.16G102600.v8.1 transcript:Manes.16G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVYHSSFSPFLIDLNEDQQHNQFFCSKSTEEASSSSSLSYPVLINPSQEDAGFYHGEFHQPLIRHQEQAGGSWDHPQLKNENENGFKFSVCKEEDRNEDQRENSSVKWMSSKMRLMRKMMSSDQIVSSTPETSMTKFEDEKAGSSPSQDDNRSQNFSSNSNNTIRVCADCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAQASANGTIFGPEIAAMKTKVQTKERKPSNSHLPFKKRCKFTAQVRGRKKLCFEDLSIILSKNSAFHQQVFPQDEKEAAILLMALSYGLVHG >Manes.01G115600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31266853:31267311:-1 gene:Manes.01G115600.v8.1 transcript:Manes.01G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIFVTLFIPKQNLPFSATLVCSSLVFVITVFSLFSIVIFLCSSHKTKKLDRAKDQESSEKKLLSKINSGISSKAHSMVKMISWRKMQQADDDDLDDYESEEAIWRKTIMKGERCRPLDFSGKIEYDAQGNLVPQSRCLDQNGKIISFKN >Manes.11G163200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32773595:32774335:1 gene:Manes.11G163200.v8.1 transcript:Manes.11G163200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINGSAVFRHRRATPGDRFLSAYPRAPQQNTGSTTSNNEDELNEDDIFSTGDFSEASNHHHYPHSHNSPPSSNSSPRHHQLHSHSHKAFAQPESFGILAALPENKTNSHHHLYQKTALSSPSSSTSSSSTTSSSRFIPSIPKPPQERVPISASSSSSSKFHQPQSAPVNVPVLAMAMRRRHREFDEIDEDEEEGDREMLPPHEIVARTQSPMLACSVLEGVGRTLKGRDLRQVRNAVWRRTGFLD >Manes.11G149400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31375392:31381683:1 gene:Manes.11G149400.v8.1 transcript:Manes.11G149400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVKLLYIVVVDEEEKNEKGKESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELMRSEHSSDALLPKEVEVSGIDLSKANWWKDYGSRSLSFELYKRRTTVIVRRETFLNIVCDSLTEYKYVGPNQRADLVLACRIRERKESITVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEFLDPKAVAEAKAKKKAKKLAGIVNSYSKDEASDGSSMVKSDGQALDMGSGAAEYISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEPLYDPATNTVAAVDEEYRNQCAANSMSSKGMFQLIQRKGSSRHLMALVNTDGSVAKAWPVDSMYSSGKSLMGYGTDNGIGIPMYGPLQIGKAEPVNLQFGHFGISAWPSDGGTSHAGSVDESRADWTDTLSKYHSSCCSSPRMSDGPAKELKEEQSVHGSDEEVDDPPEVDSDEDYSDDGDEHVHEEIGSVDEESTKSDEEYDDLAMQDMQQNGYWSDDDEESKDKVWPTTGNEMNPLKEDKYLQNLDRFLRSRSEPLGEPLYLYSSLLVEKGGGRLSNSGRVKRRERSLSIPAIGKHGSVVSGRILSGTPDM >Manes.11G149400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31376327:31381522:1 gene:Manes.11G149400.v8.1 transcript:Manes.11G149400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEHSSDALLPKEVEVSGIDLSKANWWKDYGSRSLSFELYKRRTTVIVRRETFLNIVCDSLTEYKYVGPNQRADLVLACRIRERKESITVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEFLDPKAVAEAKAKKKAKKLAGIVNSYSKDEASDGSSMVKSDGQALDMGSGAAEYISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEPLYDPATNTVAAVDEEYRNQCAANSMSSKGMFQLIQRKGSSRHLMALVNTDGSVAKAWPVDSMYSSGKSLMGYGTDNGIGIPMYGPLQIGKAEPVNLQFGHFGISAWPSDGGTSHAGSVDESRADWTDTLSKYHSSCCSSPRMSDGPAKELKEEQSVHGSDEEVDDPPEVDSDEDYSDDGDEHVHEEIGSVDEESTKSDEEYDDLAMQDMQQNGYWSDDDEESKDKVWPTTGNEMNPLKEDKYLQNLDRFLRSRSEPLGEPLYLYSSLLVEKGGGRLSNSGRVKRRERSLSIPAIGKHGSVVSGRILSGTPDM >Manes.11G149400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31375598:31381522:1 gene:Manes.11G149400.v8.1 transcript:Manes.11G149400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVKLLYIVVVDEEEKNEKGKESFRYTRPVLQSTLQLMGCKARHAFKISQRVFELMRSEHSSDALLPKEVEVSGIDLSKANWWKDYGSRSLSFELYKRRTTVIVRRETFLNIVCDSLTEYKYVGPNQRADLVLACRIRERKESITVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEFLDPKAVAEAKAKKKAKKLAGIVNSYSKDEASDGSSMVKSDGQALDMGSGAAEYISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEPLYDPATNTVAAVDEEYRNQCAANSMSSKGMFQLIQRKGSSRHLMALVNTDGSVAKAWPVDSMYSSGKSLMGYGTDNGIGIPMYGPLQIGKAEPVNLQFGHFGISAWPSDGGTSHAGSVDESRADWTDTLSKYHSSCCSSPRMSDGPAKELKEEQSVHGSDEEVDDPPEVDSDEDYSDDGDEHVHEEIGSVDEESTKSDEEYDDLAMQDMQQNGYWSDDDEESKDKVWPTTGNEMNPLKEDKYLQNLDRFLRSRSEPLGEPLYLYSSLLVEKGGGRLSNSGRVKRRERSLSIPAIGKHGSVVSGRILSGTPDM >Manes.01G218550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38759184:38760210:1 gene:Manes.01G218550.v8.1 transcript:Manes.01G218550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGMAAKPCPRKLCFGTEADFRPPKVIFGRRKCMTFVSGERVRPPKAPPNLHDFRLWKGPSAAESAAESAMSSLFMSVLYACFYDVLRGFWGVVYELFRVCLAPHWSPLV >Manes.12G150900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35908362:35913604:1 gene:Manes.12G150900.v8.1 transcript:Manes.12G150900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHSNTHAEAAEMEQVITEFFAKSLHIILESRSPYMSSRNYSGEQAVSSPSSSSSSSSGVRPRDKWFNLALRECPAALEIPDIWCQSILEPMVIDVILVQRPLDWNPVKRFPKKDFGGNISSKARYPSSWNSDHEELGCETKTEKIIERWIVQYGSRRSRDNGSGSRRSGNTLAMMYKKSILLLRSLYATVRLLPAYKIFRDLNSSGQLRSFTLTYRVSSFVEPFTRKEETEMHRFGFTPVDTSSGRLCLSVVYRSAPLDVSLESSTPMSPQFIPDYVGSPLVDPLKRFPSLTMSHGSPSSLQLSRQHSWSYDIYKASPPSISFSPSPPHSESQASISNPSSCRFPPMNLPPHPPETSSVHKKNMNFDEYCPSPNFTPSSSPSPPIYIPGIHLSKALLRSESAPVSIPAAKLDSSPVSLNKQNFPPSPSFKGTRSRYGNPRTDTSTGFVQTDASVEKDDFDDPDFPYPFFDVEYEDMTYPRSRPESFDQRGLLCDPLEPGGLLPIKKSQDAAVGALVLMLKKARPLRQDFSNSVNLSQDSKSKMPSSNLQERSQISEAPAVQQASANIGSSGLIASKTTADALEELRGYKKMMKDLLLSQDDKPNT >Manes.12G150900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35908362:35913605:1 gene:Manes.12G150900.v8.1 transcript:Manes.12G150900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHSNTHAEAAEMEQVITEFFAKSLHIILESRSPYMSSRNYSGEQAVSSPSSSSSSSSGVRPRDKWFNLALRECPAALEIPDIWCQSILEPMVIDVILVQRPLDWNPVKRFPKKDFGGNISSKARYPSSWNSDHEELGCETKTEKIIERWIVQYGSRRSRDNGSGSRRSGNTLAMMYKKSILLLRSLYATVRLLPAYKIFRDLNSSGQLRSFTLTYRVSSFVEPFTRKEETEMHRFGFTPVDTSSGRLCLSVVYRSAPLDVSLESSTPMSPQFIPDYVGSPLVDPLKRFPSLTMSHGSPSSLQLSRQHSWSYDIYKASPPSISFSPSPPHSESQASISNPSSCRFPPMNLPPHPPETSSVHKKNMNFDEYCPSPNFTPSSSPSPPIYIPGIHLSKALLRSESAPVSIPAAKLDSSPVSLNKQNFPPSPSFKGTRSRYGNPRTDTSTGFVQTDASVEKDDFDDPDFPYPFFDVEYEDMTYPRSRPESFDQRGLLCDPLEPGGLLPIKKSQDAAVGALVLMLKKARPLRQDFSNSVNLSQDSKSKMPSSNLQERSQISEAPAVQQASANIGSSGLIASKTTADALEELRGYKKMMKDLLLSQDDKPNT >Manes.12G150900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35908208:35913604:1 gene:Manes.12G150900.v8.1 transcript:Manes.12G150900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHSNTHAEAAEMEQVITEFFAKSLHIILESRSPYMSSRNYSGEQAVSSPSSSSSSSSGVRPRDKWFNLALRECPAALEIPDIWCQSILEPMVIDVILVQRPLDWNPVKRFPKKDFGGNISSKARYPSSWNSDHEELGCETKTEKIIERWIVQYGSRRSRDNGSGSRRSGNTLAMMYKKSILLLRSLYATVRLLPAYKIFRDLNSSGQLRSFTLTYRVSSFVEPFTRKEETEMHRFGFTPVDTSSGRLCLSVVYRSAPLDVSLESSTPMSPQFIPDYVGSPLVDPLKRFPSLTMSHGSPSSLQLSRQHSWSYDIYKASPPSISFSPSPPHSESQASISNPSSCRFPPMNLPPHPPETSSVHKKNMNFDEYCPSPNFTPSSSPSPPIYIPGIHLSKALLRSESAPVSIPAAKLDSSPVSLNKQNFPPSPSFKGTRSRYGNPRTDTSTGFVQTDASVEKDDFDDPDFPYPFFDVEYEDMTYPRSRPESFDQRGLLCDPLEPGGLLPIKKSQDAAVGALVLMLKKARPLRQDFSNSVNLSQDSKSKMPSSNLQERSQISEAPAVQQASANIGSSGLIASKTTADALEELRGYKKMMKDLLLSQDDKPNT >Manes.12G072650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7904040:7911498:-1 gene:Manes.12G072650.v8.1 transcript:Manes.12G072650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIWSGRRLAGWCHVRSSVQLVVFIRKLHYSTLIWLVLANGSYGLGMIIRDSQRMYCASHLITQSGFYDP >Manes.12G129200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33649777:33650909:1 gene:Manes.12G129200.v8.1 transcript:Manes.12G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSIGATTITNGVTTVDCQKQVRSWRLLRSLLELLIPTCNCTFIEERQTSKPEKPLQNYYDHHPKSTFASSTTITGTIFGFRRGKVSFCIQTNTKSTNPILLLEFAVPTSFLAREMQAGILRIALECTSHGYNANYDSSSLLSMPLWTMYCNGRKAGYAVKRRPSKVDMEALRLMGSVIVGAGIISGKELERDDDELMYLRANYARVSGSSEAESFHLIDPEGNIGQELSIFFFRSR >Manes.18G110400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10975580:10976374:-1 gene:Manes.18G110400.v8.1 transcript:Manes.18G110400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQASMKDQLFVANQSDKKRNHRYIIDVQENNVVSTELTLHCGFPSMIKKPRTTPISSSSFLHSSIIVSTRPAKEKVSTKLKLFDETLVADHDASATRKEPDGVSKESSELKTLARDTANKRIYSPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNHCRLLVASALVKNHIFPFMGSEMVEKIRGEGAEFCFWDCDTNIGLNLVLKYWHTSKSYIFNKGWQNNFVKRRHLVEGDLIGIYWDFTKKIFNFAVLERACEVYP >Manes.05G010600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:931044:942324:1 gene:Manes.05G010600.v8.1 transcript:Manes.05G010600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYDLEAVKIIESFQVFQGIRVHGITCGFVDYPEGSSSSRLAFKVVVFGEKRVKLFNLYIEIALKSQNQPQVCVDLVLLHSLPRFSHWVLDVLFLQNHADTSHEEGSHCLAIGCSDNSVHIWDISRSSVILRVQSPERCLLYSMRLWGDNLEALRIASGTIYNEIIIWKVVLQHGALPLTSTLEDDMPLKNSRFKDFHLHCQQHKAVHISRLIGHEGSIFRIVWSSDGSKLVSVSDDRSARIWAVKAEQKNSDNQEVETAGPILFGHNARVWDCCISDSLIVTAGEDCTCRIWGLDGKQLNLIKEHIGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASFPQSLEGQIEPKFIDRTDIFTSQLPNSSENVGLMDSKSEYIRCLHFTCGDVLYVATNNGYLYHAKLQTQSVKWTKLVEVSEKVPIVCMDLLTENLPRQSCSLVDWVALGDGKGNMTVVRVMDNAETPDADFTLTWSAGKERQLLGTYWSKALGHRFIFTADPRGVLKLWKLNDSLSFVSHSCARTIDVSLVAEFTSCFGIRIMCLDVSSEDEVLVCGDLRGNLILFPLSKGLLLYTPAAPETKIFPLTYFKGAHGISTVSSICISKLSSNEIEICSTGGDGCLCYFEYDQDQQSWEFIGMKQVKGLSLIQSLSNNNSYPYDLANCGYAIGFASTDFIIWNLTTEAKVLQIPCGGWRRPHSYYLSDIPEMESYFAYVKDEVIYIHRQRIPKSEMKIFPQSLHIQFHGREMHSLCFVYENVPTEAIGKCGLFDKCSWVATGCEDGTVRLTRYAPGVESWSTSKLLGEHVGGSAVRSICFVSKMHMMPSDMTNLSDWRNNQSAFAEDRANPFLLISVGAKRVLTSWLLRNRMQEKKRNPLIGREKDKNENGDIPCINDSSSMSFKWLSTDMPTKNSSTHWKTKSIDKIRGMTENVVIMKKDVKSLSHLQDKGETESESLLDDKVEDDWRYLAVTSFLVKCTGSRLTVCFIAVACSDATLALRALVLPHRLWFDVALLVPLLSPVLSLQHVIIPTHLHGEPTWMGEVYILISGATDGSIAFWDLTDSIAYFMRQLSALDVKKLINCQTRPRTGRGSQGGRWWRSLKSSMSKQKVADDLLAPKTEDRTSCNLDNRSTARASTSDAESCTTFCSQTMHNKPPLDAETDNVNITPEISEIQPLDVLHNIHQSGVNCLHVSNIQDPRNNDTGVLFSLISGGDDQALHCLKFDLSLFSRGKDSEIAIKDSVHSSEVPIKKYRIRFLYHDRITSAHSSAIKGVWTDGTWVFSTGLDQRIRCWVLKDDRKLIEQTHLIISVPEPEALCARACASNRYEIVVAGRGMQMVEFLAS >Manes.05G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:931044:942324:1 gene:Manes.05G010600.v8.1 transcript:Manes.05G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQNLQSITKETAAMMEQQEKWQLRSGHYLGEISALCFLHLPSHLSSHPYLLAGTGSQMLLYDLEAVKIIESFQVFQGIRVHGITCGFVDYPEGSSSSRLAFKVVVFGEKRVKLFNLYIEIALKSQNQPQVCVDLVLLHSLPRFSHWVLDVLFLQNHADTSHEEGSHCLAIGCSDNSVHIWDISRSSVILRVQSPERCLLYSMRLWGDNLEALRIASGTIYNEIIIWKVVLQHGALPLTSTLEDDMPLKNSRFKDFHLHCQQHKAVHISRLIGHEGSIFRIVWSSDGSKLVSVSDDRSARIWAVKAEQKNSDNQEVETAGPILFGHNARVWDCCISDSLIVTAGEDCTCRIWGLDGKQLNLIKEHIGRGIWRCLYDPNSSLLITAGFDSAIKVHQLPASFPQSLEGQIEPKFIDRTDIFTSQLPNSSENVGLMDSKSEYIRCLHFTCGDVLYVATNNGYLYHAKLQTQSVKWTKLVEVSEKVPIVCMDLLTENLPRQSCSLVDWVALGDGKGNMTVVRVMDNAETPDADFTLTWSAGKERQLLGTYWSKALGHRFIFTADPRGVLKLWKLNDSLSFVSHSCARTIDVSLVAEFTSCFGIRIMCLDVSSEDEVLVCGDLRGNLILFPLSKGLLLYTPAAPETKIFPLTYFKGAHGISTVSSICISKLSSNEIEICSTGGDGCLCYFEYDQDQQSWEFIGMKQVKGLSLIQSLSNNNSYPYDLANCGYAIGFASTDFIIWNLTTEAKVLQIPCGGWRRPHSYYLSDIPEMESYFAYVKDEVIYIHRQRIPKSEMKIFPQSLHIQFHGREMHSLCFVYENVPTEAIGKCGLFDKCSWVATGCEDGTVRLTRYAPGVESWSTSKLLGEHVGGSAVRSICFVSKMHMMPSDMTNLSDWRNNQSAFAEDRANPFLLISVGAKRVLTSWLLRNRMQEKKRNPLIGREKDKNENGDIPCINDSSSMSFKWLSTDMPTKNSSTHWKTKSIDKIRGMTENVVIMKKDVKSLSHLQDKGETESESLLDDKVEDDWRYLAVTSFLVKCTGSRLTVCFIAVACSDATLALRALVLPHRLWFDVALLVPLLSPVLSLQHVIIPTHLHGEPTWMGEVYILISGATDGSIAFWDLTDSIAYFMRQLSALDVKKLINCQTRPRTGRGSQGGRWWRSLKSSMSKQKVADDLLAPKTEDRTSCNLDNRSTARASTSDAESCTTFCSQTMHNKPPLDAETDNVNITPEISEIQPLDVLHNIHQSGVNCLHVSNIQDPRNNDTGVLFSLISGGDDQALHCLKFDLSLFSRGKDSEIAIKDSVHSSEVPIKKYRIRFLYHDRITSAHSSAIKGVWTDGTWVFSTGLDQRIRCWVLKDDRKLIEQTHLIISVPEPEALCARACASNRYEIVVAGRGMQMVEFLAS >Manes.10G092200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23741918:23742409:-1 gene:Manes.10G092200.v8.1 transcript:Manes.10G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRIQLLCPNTFSQLRKSQSHPFPTASHFTCRIRTQIPCTNNDIISDADLASDLATEVTKINTQLAQREEAMKKSKELLFTELCRYLAMEKEEVNRKWRKLDQEEKWVLVKGFVNEWGVNFHPLSARSVKQMIEEYLIEEKPSSNSSLFPGLKRMMGFSENE >Manes.02G059700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4636471:4638634:1 gene:Manes.02G059700.v8.1 transcript:Manes.02G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFIVFLLCFAFINNLSEASHHRKLPSAVVVGTVYCDTCFQEDFSKNSHFISGASVSVECKDENSKPSFRREVKTDEHGEFKVHLPFSVSKHVRKIKRCSVKLLSSSEPYCAVASTATSSSLRLKSRKEGIHIFSAGFFSFKPQKQPNLCNQKPSIQTSREFNAQKTSTSSIPSFGDPAFPPPLQDPAVPDLPPLPLLPKLPPLPELPPLPPLPGLPNIPFPPVPSPPVPGKTTTNPKAYTESLKSAQALDQKEVNPDFFFPTPPLFPPNPFQPPPVLPPNPLQPPPLFPPLLPPNPFQPPPAPLIPLPPIPGLTPPPPPPTFPFPPLPPFPFPPSPPRVPGIPPASSTSQKTSP >Manes.10G097150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24555191:24561283:1 gene:Manes.10G097150.v8.1 transcript:Manes.10G097150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLCCCFGHLNTRCFFTDSSAVISFSSSELSAYTDGFSARNFLGNGGFGSVYLGTINGEQVAVKVSRRIDSKTRLQWQAEINYLANMMHQNIIKLIGYCNTPEKLYLVYPFMQHGNVKNKLLGLNWNKTLKIIRGAARAIQELHSHSPPLVYRDLKLDNILLDKNFTPILADFGAVTPEGETLRLGTSGYTDPSIMNNGAGSRANDIYSLGVMILQLIMKEKIVSFPGCSV >Manes.13G139350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34740869:34741516:-1 gene:Manes.13G139350.v8.1 transcript:Manes.13G139350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIKPFFLITFFIFVCVQIGSCWAFAALAAVEAMHLLKYGNYHDLSEQQLMDCDHASNACNGGDMRDAFDYIIANGGVTEEANYPYVGYQQYCNTVKEDQPTVRLSSYQKVQPYSEAYLMSAVNLQPVTVGIDAGNRLFQYYHGGIFRAKYCRPDVLNHGVLLVGYDTAPDGTNYWIIKNSWGENWGENGYMRIARDDNAGICGITSYGTLLSV >Manes.05G020200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1831901:1836663:-1 gene:Manes.05G020200.v8.1 transcript:Manes.05G020200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGYKAFDEVDGIEVAWNQVRIDDVLRSPEDLEKLYSEVHLLKSLKHENILKFYYSWVDEKQKTVNMITELFTSGNLRRYRRKHKNVDMKAIKNWARQILQGLVYLHGHNPPIIHRDLKCDNVFVNGHHGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYDEEYNELVDIYSFGMCMLEMVTFEYPYSECKNPAQIYKKVTSGIKPASFHKVTDPQIKEFILKCIVPASERLSAKELLKDPFLQIQNPIEPIRDPLLLPNQNPKLSSSKKSGPLSMDIDIDTEYKLIPLSSCTGCNNNEGTTLPVLEYQRAHKNKEFRLRGEKNDESSVSLTLRIADSSSRIKNIHFLFYLDSDTALSVSSEMVEQLELADHDVAFIADFIDYLIMKLLPHWKSSSDYCISGVTSICTDSVLEKSVASPWDSELLSVSAQPVVEQGALYGLTTIPQEGSLQADEKNRCDNSSSGIYHLNNYSPPSLANIEDKDSLASVVSEILVEDASSKIDKTSELPDYSTDGELSGYASEGELHDPYFHHKLEIKNNRFRECIPMNESESSELSFPKISGASNDMSFTSGSLPLHLVGKDLNAGLKLEIEAIEAQYRNWFHELDRMREEALETIKKRWETKKLAVH >Manes.05G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1831901:1836663:-1 gene:Manes.05G020200.v8.1 transcript:Manes.05G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLAIIGIRFFLHCFRGFLRAQSTKSTKKFLTSRIDQSYTFSIFFLLLAVWSFSGMMPGDGRGGFILAMASNNGTYSAMEPPDAEADFVEKDPTGRYVRYDEILGRGAFKTVYKAFDEVDGIEVAWNQVRIDDVLRSPEDLEKLYSEVHLLKSLKHENILKFYYSWVDEKQKTVNMITELFTSGNLRRYRRKHKNVDMKAIKNWARQILQGLVYLHGHNPPIIHRDLKCDNVFVNGHHGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYDEEYNELVDIYSFGMCMLEMVTFEYPYSECKNPAQIYKKVTSGIKPASFHKVTDPQIKEFILKCIVPASERLSAKELLKDPFLQIQNPIEPIRDPLLLPNQNPKLSSSKKSGPLSMDIDIDTEYKLIPLSSCTGCNNNEGTTLPVLEYQRAHKNKEFRLRGEKNDESSVSLTLRIADSSSRIKNIHFLFYLDSDTALSVSSEMVEQLELADHDVAFIADFIDYLIMKLLPHWKSSSDYCISGVTSICTDSVLEKSVASPWDSELLSVSAQPVVEQGALYGLTTIPQEGSLQADEKNRCDNSSSGIYHLNNYSPPSLANIEDKDSLASVVSEILVEDASSKIDKTSELPDYSTDGELSGYASEGELHDPYFHHKLEIKNNRFRECIPMNESESSELSFPKISGASNDMSFTSGSLPLHLVGKDLNAGLKLEIEAIEAQYRNWFHELDRMREEALETIKKRWETKKLAVH >Manes.06G152600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28003446:28011584:-1 gene:Manes.06G152600.v8.1 transcript:Manes.06G152600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSLNKRSSKSKRSVSKPDNIMPPASSHFSFTKVPLLVLLVMAFAVVALLGISSVIWSTLTSTDHSPKFYAIQVLNEFPHDPNSFTQGLLYAGNDTIFESTGLYGQSSVRRVALHSGEVEVLQEMDSSYFGEGLTLLGERLFQVTWLTKTGFIYDRNNLSKIETFTHQMRDGWGLATDGKVLFGSDGTSMLYQLDPQTLKVIAKHIVKYENLEVHYLNELEFVNGEVWANVWPTDCIARISHKDGTVVGWILLENLRKGLIAAGQTDIDVLNGIAWDSNDNRIFVTGKLWPKLYEIKLQPVRKHVDRGVIKKLCVP >Manes.06G152600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28003446:28011584:-1 gene:Manes.06G152600.v8.1 transcript:Manes.06G152600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSLNKRSSKSKRSVSKPDNIMPPASSHFSFTKVPLLVLLVMAFAVVALLGISSVIWSTLTSTDHSPKFYAIQVLNEFPHDPNSFTQGLLYAGNDTIFESTGLYGQSSVRRVALHSGEVEVLQEMDSSYFGEGLTLLGERLFQVTWLTKTGFIYDRNNLSKIETFTHQMRDGWGLATDGKVLFGSDGTSMLYQLDPQTLKVIAKHIVKYENLEVHYLNELEFVNGEVWANVWPTDCIARISHKDGTVVGWILLENLRKGLIAAGQTDIDVLNGIAWDSNDNRIFVTGKLWPKLYEIKLQPVRKHVDRGVIKKLCVP >Manes.06G152600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28003446:28011584:-1 gene:Manes.06G152600.v8.1 transcript:Manes.06G152600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSLNKRSSKSKRSVSKPDNIMPPASSHFSFTKVPLLVLLVMAFAVVALLGISSVIWSTLTSTDHSPKFYAIQVLNEFPHDPNSFTQGLLYAGNDTIFESTGLYGQSSVRRVALHSGEVEVLQEMDSSYFGEGLTLLGERLFQVTWLTKTGFIYDRNNLSKIETFTHQMRDGWGLATDGKVLFGSDGTSMLYQLDPQTLKVIAKHIVKYENLEVHYLNELEFVNGEVWANVWPTDCIARISHKDGTVVGWILLENLRKGLIAAGQTDIDVLNGIAWDSNDNRIFVTGKLWPKLYEIKLQPVRKHVDRGVIKKLCVP >Manes.06G152600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28003446:28011584:-1 gene:Manes.06G152600.v8.1 transcript:Manes.06G152600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSLNKRSSKSKRSVSKPDNIMPPASSHFSFTKVPLLVLLVMAFAVVALLGISSVIWSTLTSTDHSPKFYAIQVLNEFPHDPNSFTQGLLYAGNDTIFESTGLYGQSSVRRVALHSGEVEVLQEMDSSYFGEGLTLLGERLFQVTWLTKTGFIYDRNNLSKIETFTHQMRDGWGLATDGKVLFGSDGTSMLYQLDPQTLKVIAKHIVKYENLEVHYLNELEFVNGEVWANVWPTDCIARISHKDGTVVGWILLENLRKGLIAAGQTDIDVLNGIAWDSNDNRIFVTGKLWPKLYEIKLQPVRKHVDRGVIKKLCVP >Manes.06G152600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28003446:28011584:-1 gene:Manes.06G152600.v8.1 transcript:Manes.06G152600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSLNKRSSKSKRSVSKPDNIMPPASSHFSFTKVPLLVLLVMAFAVVALLGISSVIWSTLTSTDHSPKFYAIQVLNEFPHDPNSFTQGLLYAGNDTIFESTGLYGQSSVRRVALHSGEVEVLQEMDSSYFGEGLTLLGERLFQVTWLTKTGFIYDRNNLSKIETFTHQMRDGWGLATDGKVLFGSDGTSMLYQLDPQTLKVIAKHIVKYENLEVHYLNELEFVNGEVWANVWPTDCIARISHKDGTVVGWILLENLRKGLIAAGQTDIDVLNGIAWDSNDNRIFVTGKLWPKLYEIKLQPVRKHVDRGVIKKLCVP >Manes.06G152600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28003446:28011584:-1 gene:Manes.06G152600.v8.1 transcript:Manes.06G152600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSLNKRSSKSKRSVSKPDNIMPPASSHFSFTKVPLLVLLVMAFAVVALLGISSVIWSTLTSTDHSPKFYAIQVLNEFPHDPNSFTQGLLYAGNDTIFESTGLYGQSSVRRVALHSGEVEVLQEMDSSYFGEGLTLLGERLFQVTWLTKTGFIYDRNNLSKIETFTHQMRDGWGLATDGKVLFGSDGTSMLYQLDPQTLKVIAKHIVKYENLEVHYLNELEFVNGEVWANVWPTDCIARISHKDGTVVGWILLENLRKGLIAAGQTDIDVLNGIAWDSNDNRIFGKLLAFYYYISVFIYGRHIYIYIYIYIYIYIYIYI >Manes.06G152600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28003446:28011584:-1 gene:Manes.06G152600.v8.1 transcript:Manes.06G152600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSLNKRSSKSKRSVSKPDNIMPPASSHFSFTKVPLLVLLVMAFAVVALLGISSVIWSTLTSTDHSPKFYAIQVLNEFPHDPNSFTQGLLYAGNDTIFESTGLYGQSSVRRVALHSGEVEVLQEMDSSYFGEGLTLLGERLFQVTWLTKTGFIYDRNNLSKIETFTHQMRDGWGLATDGKVLFGSDGTSMLYQLDPQTLKVIAKHIVKYENLEVHYLNELEFVNGEVWANVWPTDCIARISHKDGTVVGWILLENLRKGLIAAGQTDIDVLNGIAWDSNDNRIFVTGKLWPKLYEIKLQPVRKHVDRGVIKKLCVP >Manes.13G040600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4660745:4665408:-1 gene:Manes.13G040600.v8.1 transcript:Manes.13G040600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNYPDISLEEMMKLIKGFVDIMILASGYQSSGLLAQWDAHNIKKAFQWASFFENVLGQISSSDAYQDSVKELDAALREMTLYPSFPQGLANLSYGTLNRARNFVMAHLFHTLPLRDSHLRAFLTAIIEMDLDKHSGAEHDYLSVYLNRFNLLSERRGLVKDSVIAVEDNIATRKIGRFTDDDLTQLTLEEMFKRQSAVSCISKVGTGLDILSNAIRCCSWTDSDSSLSEEQLKHERAQASVRSADQLVDFITWNRWKSLNLSYFLNNRTVRLVSGASMIFSAPKVYWEQIFERLSTSAKCKDDDDLQEMIELMLLGCITSKWNHLIEYFISVSYDPFTISKLYHEVCSLFTGRYQSSHSKEESTDTKENAILEYLDGLVDGQLHQLWKLSPVLVAVAVPFWSPLFRLYLSEIESQFRGDSSVMRCCSCVHDRKEHGDCQLAERIWCLHIFHICGTRVMYGANSA >Manes.04G140100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33924606:33925454:-1 gene:Manes.04G140100.v8.1 transcript:Manes.04G140100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPSKKTAPSPPATQAISHHQQKQTITTSSNNMSIPRIGNGTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIKPLSQPDKDEAMRTIIFQSDVRANDPVGGCYRIIRELQRQIEYHKAELDLVLHQLAICRAQAHQQTHFQMLETDDSTTLGCEIVNQDSLDIYDPTMIQYHYPQTQEEEQEFVVQDHNQQLHENVVNETAALTLQDSTPVVSSSSSPTLHNFKQPFVNECDDIKPLLDINDVKFEPEELVERKFVPSTQLVISS >Manes.14G015836.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020525:1025952:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSRCDYLRALLQSGMRESNSQTIKVPVSWEAMIRLVNWLYTDELPRPPSGCLWINMKSEERLAVLQPYLELCRLAEFWFLEEVQDISYRVIVSCVDSARHLSIKIIKIAADFCIWKLVEVAANFLAPSYRQLCQSGELEALDEEVIDMIRTASVRLSQEG >Manes.14G015836.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020401:1025951:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSRCDYLRALLQSGMRESNSQTIKVPVSWEAMIRLVNWLYTDELPRPPSGCLWINMKSEERLAVLQPYLELCRLAEFWFLEEVQDISYRVIVSCVDSARHLSIKIIKIAADFCIWKLVEVAANFLAPSYRQLCQSGELEALDEEVIDMIRTASVRLSQEG >Manes.14G015836.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020526:1025140:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSYGLLLNPQVHLDIMFNFFHVLYFHELVHSHQRGIV >Manes.14G015836.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020527:1025379:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSSNSQTIKVPVSWEAMIRLVNWLYTDELPRPPSGCLWINMKSEERLAVLQPYLELCRLAEFWFLEEVQDISYRVIVSCVDSARHLSIKIIKIAADFCIWKLVEVAANFLAPSYRQLCQSGELEALDEEVIDMIRTASVRLSQEG >Manes.14G015836.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020401:1025951:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSRCDYLRALLQSGMRESNSQTIKVPVSWEAMIRLVNWLYTDELPRPPSGCLWINMKSEERLAVLQPYLELCRLAEFWFLEEVQDISYRVIVSCVDSARHLSIKIIKIAADFCIWKLVEVAANFLAPSYRQLCQSGELEALDEEVIDMIRTASVRLSQEG >Manes.14G015836.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020526:1025140:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSYGLLLNPQVHLDIMFNFFHVLYFHELVHSHQRGIV >Manes.14G015836.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020525:1025952:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSRCDYLRALLQSGMRERFLPFYCIATFRCNIFGV >Manes.14G015836.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020539:1025140:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSRCDYLRALLQSGMRESNSQTIKVPVSWEAMIRLVNWLYTDELPRPPSGCLWINMKSEERLAVLQPYLELCRLAEFWFLEEVQDISYRVIVSCVDSARHLSIKIIKIAADFCIWKLVEVAANFLAPSYRQLCQSGELEALDEEVIDMIRTASVRLSQEG >Manes.14G015836.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020527:1025379:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSSNSQTIKVPVSWEAMIRLVNWLYTDELPRPPSGCLWINMKSEERLAVLQPYLELCRLAEFWFLEEVQDISYRVIVSCVDSARHLSIKIIKIAADFCIWKLVEVAANFLAPSYRQLCQSGELEALDEEVIDMIRTASVRLSQEG >Manes.14G015836.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020525:1025952:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSRCDYLRALLQSGMRESNSQTIKVPVSWEAMIRLVNWLYTDELPRPPSGCLWINMKSEERLAVLQPYLELCRLAEFWFLEEVQDISYRVIVSCVDSARHLSIKIIKIAADFCIWKLVEVAANFLAPSYRQLCQSGELEALDEEVIDMIRTASVRLSQEG >Manes.14G015836.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1020525:1025952:1 gene:Manes.14G015836.v8.1 transcript:Manes.14G015836.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIREFSDGVMPIECFKGMASLLSTILHRWPPSRYTVWNDAKLLEVLEVMRVKPDFSVKVAVMKLYTTIALCGNGAKKLLEKGESLLHIMVLCMGRSHPISVRIEGFRLAQCLAKNEEGCLKMMSLCCDPFVKAIIDGMSGWTSHSGKIANDQMSLLLEACHLALISRWAGEHHDCLWEHGIDRVLLKLLLHDFQDEPLQQLLSLEEQLSIAREGLKANFLLGLRPYVWDLLGWLAIHCREDFNPNIQGCELRIGILITCACISFVDSIRKGHQICQNDVADTLRSEAASRAVMMMIYSPCKYIATKASDMLYEILRPTSKEHLKRLMHMLKIRPSMDNVGMPNMLQTSINLVALVCYSGIPQYQSHIVENGGIHALMDLIWWCLRNDIHIGRLSLAPHLHNTLSERTCCWICKEDWEGNNILLLYGLWGLAELMHSGSSGNTVEIFGGQVDYTEAQFVSTLQGICSDNSGTSPGIKWYAAFILKYFGLYGFPCKLGRHIVKALNMNEYADVQLILTNGNSLSVHGVILSAQCPPLLPSEEMSHYEKASNGSSGGYDTKSKPEKLHKEIRLSSHVDNLALAKLLEYVYVGYLNAGEEPLKKVKILAKRCNLQSLLIMFGRRHPKWGTPFPRYDLSLALAPAGLYFSDVMLEAKANESISWTCTICSKSVPHMHCHKVVLWSRCDYLRALLQSGMRERFLPFYCIATFRCNIFGV >Manes.10G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:794053:796725:1 gene:Manes.10G006400.v8.1 transcript:Manes.10G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSICSSMPSILRPPRNTICGSCYEAAKSVITLINKFENDKGSEKAITSVVSFPNSCKPQPLANVPKWMNNMKETEDELNEKINFLSGFVSLFKDQILTDIQLKPGNGGPPISAHRALLAARSEIFKNMLDSDACKAPANETITIPELKHEELEALLEFLYRGSLDAEKIEKHIYSLTLAADKYEIPFLLKFCERYMLKTLVSSNALDVLEISDVCSNKILKETALNFIVKNLEDLVFSSKYETFVSKNPHLSVQITRAFLMDAKSRRRNEANVAS >Manes.01G233500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39697183:39702430:1 gene:Manes.01G233500.v8.1 transcript:Manes.01G233500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCEEDDMHKAVDSGGPYPVKSSAGNVGGYHASEKAPKGAQAVKVQPIEVPSVSVDELREVTDNFGTNSLIGEGSYGRVYYGVLKSGQATAIKKLDASKQPDDEFLAQVSMASRLKHENFVQLLGYCVDGGSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLSWQQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKVGDFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVILLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDARLQGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGETPST >Manes.01G233500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39697183:39702430:1 gene:Manes.01G233500.v8.1 transcript:Manes.01G233500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCEEDDMHKAVDSGGPYPVKSSAGNVGGYHASEKAPKGAQAVKVQPIEVPSVSVDELREVTDNFGTNSLIGEGSYGRVYYGVLKSGQATAIKKLDASKQPDDEFLAQVSMASRLKHENFVQLLGYCVDGGSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLSWQQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKVGDFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVILLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDARLQGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGETPST >Manes.10G031000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3149897:3152537:1 gene:Manes.10G031000.v8.1 transcript:Manes.10G031000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGAVAGAKGKKKGAAFVIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDTVTVTRDKTKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >Manes.15G163300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13616101:13623220:1 gene:Manes.15G163300.v8.1 transcript:Manes.15G163300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQRIVVAFAFLLFILKFQPAFQQVETLSSPAERAALFELRSSLGIRSKEWPRKANPCLNWRGIACQNGSVSGINISGFRRTRLGSQNPQFSVDALANLTRLTTFNASRFFLPGSIPDWFGQSLGSLQLLDLRFCAIRNAIPATMGNLTNITSLYLSGNNLTGEIPSSLGQLVLLSVLDLSQNSLTGFIPESFASLGNLTRLDISSNFLAGSIPSGIGMLSKLQYLNLSSNQLSSPIPAQLGDLGKLVDLDLSFNSLSGSVPADLRGLRNLRTMLIGNNEFIGSLPVSLFPSPSQLQLVILKDNRFTGEVPDALWTMPGLRLLDISGNNFSGFLPNTTLNANATTVALNLSGNSFYGILKPILRRFSFVDLSGNYFEGKVPYYVPNNASLVSNCLQNVSNQRSLQECQSFYADRGLIFDNFGLPNSTQPPAGDTKGKSNKKVIILASVLGGVGLIALLTLIVVLLLICIRRRGTTSQRGVGVGPVPAGTSPPPPEVSINFSSLGDTFTYQQLLQATADFSDANLIKHGHSGDLYKGVLENGISIVIKRIDMQSIKKEAYLMELDFFSKVSHPRLVPLLGHCLENENEKFLVYKYMPNGDLSGSLYRKTSLEDDSLQSLDWITRLKIAIGAAEGLSCLHHECTPPIVHRSSDGHMRLRCLLLREGFTRAGNREAGHECM >Manes.15G163300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13616101:13623220:1 gene:Manes.15G163300.v8.1 transcript:Manes.15G163300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQRIVVAFAFLLFILKFQPAFQQVETLSSPAERAALFELRSSLGIRSKEWPRKANPCLNWRGIACQNGSVSGINISGFRRTRLGSQNPQFSVDALANLTRLTTFNASRFFLPGSIPDWFGQSLGSLQLLDLRFCAIRNAIPATMGNLTNITSLYLSGNNLTGEIPSSLGQLVLLSVLDLSQNSLTGFIPESFASLGNLTRLDISSNFLAGSIPSGIGMLSKLQYLNLSSNQLSSPIPAQLGDLGKLVDLDLSFNSLSGSVPADLRGLRNLRTMLIGNNEFIGSLPVSLFPSPSQLQLVILKDNRFTGEVPDALWTMPGLRLLDISGNNFSGFLPNTTLNANATTVALNLSGNSFYGILKPILRRFSFVDLSGNYFEGKVPYYVPNNASLVSNCLQNVSNQRSLQECQSFYADRGLIFDNFGLPNSTQPPAGDTKGKSNKKVIILASVLGGVGLIALLTLIVVLLLICIRRRGTTSQRGVGVGPVPAGTSPPPPEVSINFSSLGDTFTYQQLLQATADFSDANLIKHGHSGDLYKGVLENGISIVIKRIDMQSIKKEAYLMELDFFSKVSHPRLVPLLGHCLENENEKFLVYKYMPNGDLSGSLYRKTSLEDDSLQSLDWITRLKIAIGAAEGLSCLHHECTPPIVHRSSEQGTSGPVTAICAYDVYCFGKVLLELVTGKLGMSACSEAQLKEWLEQTLPYISIYDKELVTKIVDPSLIIDEDLLEEVWAMAIVAKSCLNPKPSKRPLMRYILKALENPLKVVREENSSSARLRTTSSRGSWNAAIFGSWRSSSDVVVIAAGSSTRPEGGGSFKHSGTSNSQGSGQNGGGEHSSSHRRQSREIFPEPSTDVQDVERLGQE >Manes.15G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13616101:13623220:1 gene:Manes.15G163300.v8.1 transcript:Manes.15G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQRIVVAFAFLLFILKFQPAFQQVETLSSPAERAALFELRSSLGIRSKEWPRKANPCLNWRGIACQNGSVSGINISGFRRTRLGSQNPQFSVDALANLTRLTTFNASRFFLPGSIPDWFGQSLGSLQLLDLRFCAIRNAIPATMGNLTNITSLYLSGNNLTGEIPSSLGQLVLLSVLDLSQNSLTGFIPESFASLGNLTRLDISSNFLAGSIPSGIGMLSKLQYLNLSSNQLSSPIPAQLGDLGKLVDLDLSFNSLSGSVPADLRGLRNLRTMLIGNNEFIGSLPVSLFPSPSQLQLVILKDNRFTGEVPDALWTMPGLRLLDISGNNFSGFLPNTTLNANATTVALNLSGNSFYGILKPILRRFSFVDLSGNYFEGKVPYYVPNNASLVSNCLQNVSNQRSLQECQSFYADRGLIFDNFGLPNSTQPPAGDTKGKSNKKVIILASVLGGVGLIALLTLIVVLLLICIRRRGTTSQRGVGVGPVPAGTSPPPPEVSINFSSLGDTFTYQQLLQATADFSDANLIKHGHSGDLYKGVLENGISIVIKRIDMQSIKKEAYLMELDFFSKVSHPRLVPLLGHCLENENEKFLVYKYMPNGDLSGSLYRKTSLEDDSLQSLDWITRLKIAIGAAEGLSCLHHECTPPIVHRDVQASSILLDDKFEVRLGSLSEVCPQEGDTHQNRITRLLRLPQSSEQGTSGPVTAICAYDVYCFGKVLLELVTGKLGMSACSEAQLKEWLEQTLPYISIYDKELVTKIVDPSLIIDEDLLEEVWAMAIVAKSCLNPKPSKRPLMRYILKALENPLKVVREENSSSARLRTTSSRGSWNAAIFGSWRSSSDVVVIAAGSSTRPEGGGSFKHSGTSNSQGSGQNGGGEHSSSHRRQSREIFPEPSTDVQDVERLGQE >Manes.10G026351.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2605526:2606059:-1 gene:Manes.10G026351.v8.1 transcript:Manes.10G026351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHLFLSLFSVEAVLGDGCSFISCPLFDDRRPCWFRGHDSGG >Manes.14G041200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3485064:3487060:-1 gene:Manes.14G041200.v8.1 transcript:Manes.14G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAISASLQSACSSHHITKKQHPQARPARSLGSKQVTHVPTALSVEDKKKCLSIIERQENSPNDGSNKADDKSDHVSETESSAPKFVDERWKKGTWDLNMFVKDGKMDWDSLIEAEAKRRKFLELYPEASTNEEPVLFRSSVIPWWALFKRSYLPEAELINGRAAMVGFFMSNVVDALTGLDMVGQTGNFLCKAGLFVTVISIIFFRRTEDFENLRKLTDEATLYDKQWQASWQDQNVTNTGASDKTGN >Manes.08G092200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31047233:31050437:1 gene:Manes.08G092200.v8.1 transcript:Manes.08G092200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKSPLVDCSRSRSPLYSHFPKSKAAKQSGGHLSTYICIFSAGFDRSTSIGNMVGPSRPQFVLFGSSIVQFSYSNHGWGAILADFYARKADILLRGYSGWNSRRALQVLDQVFPKDAAVQPSLVIVYFGGNDSIHPHPTGLGPHVPLPEYIDNMRKIAKHLQSLSEKTRVIFLSAPPVNEEQIGKSLSDRFDIVRTNESCRIYSEACLELCRGMNVKAIDLWTAMQQIDDWMNVCFTDGIHFSAEGSKIVVKEIMKVIKEANWEPSLYWKAMPTEFDEDSPYYPVDHDGATVNISESDFHRMAEWEEI >Manes.10G059400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8125224:8130085:-1 gene:Manes.10G059400.v8.1 transcript:Manes.10G059400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLLTEGAVRQPFWRMIANRRSTTNISNAVDSMLLRSLKEHYLEISKMNPPPKVSPPSAYTIVKGALDGNGPVLNRTYGNEEINISVMRLANIIPGGGGEDDEDDINQLFLHVDVSKPGQEKSLHFLCGLYPDALGIHSVSLRTKIDDSRLLEDPYGYNGPHFGELDEKMRDALHSFIEERGVNESLFNFLQAWLYVKEHRNLMRWFKTVGGFINKNKSTKSV >Manes.01G095100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29654632:29659933:1 gene:Manes.01G095100.v8.1 transcript:Manes.01G095100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDPVKILEICQVTPSDSPESATEFSLPLTYFDIFWLKHRPIELIFFFELTDSTGTFFHSVILPKLKTSLSLTLLHFLPVAGKIAWLRRADRPAICYNPNDCVLVTVAESNADFSLLSGNQMREAKEWHLYIPELPVSDSTAATIGFQITLFPNQGFSIGISSHHAVFDGKSATMFVKAWAHISNQSDTETNPCLLPELIPVFDRSLIQDPEGLSMIYLNNWSEADSPTSLKLLQGKEVPPNSVRSTFELSSQDIQKTQAKHHLSIGKPPQRRLESNKINAFVKLCSLICLYIGLHR >Manes.05G004200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:355354:356214:-1 gene:Manes.05G004200.v8.1 transcript:Manes.05G004200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQQINWRHKAQNLYAISAEPFQVLTITILSILLPLSFLLLARLSCYSYLLSIRSGPTPPFSSLLFSLFLYANPLLLYFLVSILSVATLLHGLTARVTLLSESPPGDQLYRPRLCTAWILLCTLQVCVGLGIEGSIAADIDGHTFDVKRSLLNRVIFFVGLHETMLHWCRTVVKQVVDDTLFGVTRAESWFQRVAMAASFGILWWLKLRDEVECLVIVAEAKRDMLVGIGMADMLGWWLYYLTVTIGLVRVVKGLMWIMMVLLYRGLRRNPQGSSPDPCGNEDKV >Manes.16G019128.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2034688:2038236:-1 gene:Manes.16G019128.v8.1 transcript:Manes.16G019128.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNVSVWASNPPVRANDSVAVLENEGNLKLSSSLNNVAYWESSNYPTDTFLPNMKIIASSGEQKHFSSWKSASDPSPGNFTMGVNSTGAAQMVLWENSRRRWRSGYWNGQIFTGVPNMTALANYRYGFKYINNDNGNDYFTYNPSNGSDLMRFRITWDGYEEQLKWNESKKNWDEMQKQPANNCELYNYCGDFGVCRALADPECRCMDGFVPRNPDQWAKKNWSDGCVRSRELQCQKNISTAEEDGFKELKCNKLPDFAVVFSQDLSDACKKRCLSKCSCNAYARVENIGCMIWNGDLIDVQDFGKPGIVMQLRLAGSEFDKKGLSAAVIVVIVVAGVVFLAISVWLLWCLKRKLKAAVLPTAASVSLMRKSEVPFSDMSKSKEYSSEMSGPADLVIDGSPVNGPDLPLFNFNSVAEATNNFSEENKLGQGGFGPVYKGKLPGGEEIAVKRLSKISGQGLEEFKNEIILIAKLQHRNLVRLLGCCIQGEEKMLLYEYMPNKSLDCFLFDPTKQALLDWKKRFNIIGGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMDPKISDFGMARIFGGNQNELNTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSYRLTDHLSLIAYAWELWHEDKAMELVDPSIRDSCCEDEVLKCIQVGMLCVQDSPVQRPTMSSIALMLESNSPTLPLPRQPTYTSVRTSSIDTSEIYLEGQEIQEIPELAMTRS >Manes.03G051816.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4806429:4807329:-1 gene:Manes.03G051816.v8.1 transcript:Manes.03G051816.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRDFTPSIQPLAPFGKGKPSSLTHKVKLCGERSLKSCNLVSLSLTLSGLLTLKASFTDDNTIAGKVWESFFLSS >Manes.03G051816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4806429:4807329:-1 gene:Manes.03G051816.v8.1 transcript:Manes.03G051816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRDFTPSIQPLAPFGKGKPSSLTHKVKLCGERSLKSCNLVSLSLTLSGLLTLKASFTDDNTIAGVGIFLLIVLALVFFIGFFLLSFACSDNGL >Manes.05G083350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6850159:6852021:1 gene:Manes.05G083350.v8.1 transcript:Manes.05G083350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASSIQFIDTALSCTSPHALSYPDPKQKWLIRKHLLSLIQEYPSFTPSTDTFTHNNGTAVNLLNVTGELRVTRYTPHVPLTIWLHEKYPYMPPLVFVLANSMTPIHRDHPFVDLSGSTSSPYLQTWIFPRCNLIDLVRNLVRIFSRDHPFIYGSAVASFTHPSLVSKMEALDRLSGMLHYDMTAFLVKNEEELEDLSKLQEELIKRETITRNMISSLEQEKSSLKDKVTRLVDEADVVMNWLRVNGANSAAVGEDEAEAFEAADEESKLKIECFSADWAIEDLIYALDKAVEEGAVPFDAYIKQVRVLAREQFSYRAMLLTRSTQNDCSSMVAIGQYNT >Manes.03G125000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25488514:25490761:1 gene:Manes.03G125000.v8.1 transcript:Manes.03G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQSPIRNLLMGLFLLIVFVSGVKAWTGEIHGRVVCDVCADSTVGPEDHILEGAEVAVLCITKSGEVLNYQAFTNAKGIYTVAETMPESDRWDACLARPISSFHEHCTHLGEGSTGVKFTYNRPSGYSHAVRPFVYRPASVPTYCI >Manes.02G120800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9230113:9232654:1 gene:Manes.02G120800.v8.1 transcript:Manes.02G120800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGYYARWIITRLTASISSYVQLILSCFLTRSSEKPLQLSHKSSSFHSPEFLSHYSQAPFMEGGGGGGAGGFGLRNLPNNPSIYLPFPPLTAVDRFLWGRGQFSQQQNQSNIKNNETLVSTNGLYDLSASNGAIAGDSLINWTCEINPRACLEGEVNVSGRICKGQAKKAKKIPCPSLIKGQWTEEEDRKLIKLVKQFGVRKWAQIAEKLAGRAGKQCRERWHNHLRPDIKKESWSEEEERILVEAHSKVGNRWAEIAKLIPGRTENAIKNHWNATKRRQNSRRKNKHTENKIAKPQSSILQDYIKSKNLKNPCPTSIGTPSHSTNTNINTPSSSSTSDDPSSQFNYFLPELSEPNVDDSPPLITQTYDDELLLQNFFNDNFMESSPEKPATKNPMEMETSSNVDNQLKNPSLVLDSLGLYQNNGDQQLADTSDQYDLFSSTLMSPIMCPSGLQAEERPTSYLYSDLYLSYLLNGATAISPSIDYGDNNSMNMELGIDQTRSNGKKEMDLIEMISSSHFFQGSNST >Manes.08G084011.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28995819:28997399:1 gene:Manes.08G084011.v8.1 transcript:Manes.08G084011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAAEDSLLGFELNLAVLKEAEVTDSEPTRRTFGNINFISSSMNFFVELKNSVSDEERLKHLFIFISEREKTKKR >Manes.06G065200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20021433:20023547:-1 gene:Manes.06G065200.v8.1 transcript:Manes.06G065200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASIKAALLEAHDVVKLLKKKSDTADFSYQKQATLTRLTLYSPMALSVSILATVTSLHLIAFVLAIGAERRRSHAKVVPDQYDERTYCVYTTDASTVYGLAAFGLLLLSQAVVNGVTRCLCFGKGLLSGSSSTTCAIFFFILSWVSFLGAEACLLAGSARNAYHTKYRAIFGGEHLSCATLRKGVFAAGAALTLVSLVGSIFYYWAHSRADTGGWEKHQNEGVGMTASSYPQQQQASEFEKV >Manes.01G073750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27591945:27592496:-1 gene:Manes.01G073750.v8.1 transcript:Manes.01G073750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISLTPYLSEVANIFYLDFVCRQIELLR >Manes.02G056700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4464133:4472272:-1 gene:Manes.02G056700.v8.1 transcript:Manes.02G056700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHLDWTSRSISSSGSERVSLLTKEAQRKANEDQSPDDPPNDLEIGDGVEAANVGFGRVFSLAKPDAGKLVVGTIALLIASTSSILIPKFGGMIIDIVSRDISTPEEQSLAIDAVKNTILDIVLIVVIGSLCTALRAWLFASASERVVARLRKNLFSHLINQEIAFFDVTRTGELLSRLSEDTQVIKNAATTNLSEALRNVTTAIIGVAFMFTSSWKLTLLALVVVPVISVAVRMFGRYLRELSHATQAAAAVAASIAEESFGAIRTVRSFAQESYAISNYSEKVDETLKLGLRQARVVGLFFGGLNAASTLSVVVVVVYGAYLTILGSMTAGSLTSFILYSLTVGSSVSSLSGLYTTAMKAAGASRRVFQLLDRVSSMPKSGDKCPISDPDGDVELDDVWFAYPSRPSQMVLKGITLKLNPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVPLVEISHEYLHRKVSIVSQEPVLFNCSIEENIAYGFNGKASSSDVETVAKMANAHDFIDKFHEKYQTVVGERGLRLSGGQKQRVAIARALLMNPSILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIAEIGTHDHLLSKDGIYTALVRRQLQHHKTEL >Manes.15G060400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4623816:4624857:-1 gene:Manes.15G060400.v8.1 transcript:Manes.15G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNDSGGPHNPSTTSNTNDLSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLQRFRETEGEKTAVARDKDAPGNGGGFAVEGYAGGYHSHVYGSGGGFYNQMGGVGLGKGSGPSYSGSRPN >Manes.17G078500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27827827:27832308:-1 gene:Manes.17G078500.v8.1 transcript:Manes.17G078500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLLATVLLDIMNPQSTLNPSKALEGVHGIHVVPHSPFSLEEITQQGDFSQSTSGSLLNQENQRLLLQRVWEQRPGCLRPIKCCINGDRNLAERIVNVLTSLPFVALGLQAPRTNLNTKLYANSLIGVGVASSLYHSSSGKIRKYLRWFDYTMIATATICLSRALRNENPKLLMAASAALLPIQPLMVSAVHTGMMEVAFAKRAVKDPDLRMAHNLHKMSSVLGGVLFIADDMFPSTPFLHAGWHLAAAVGVGTCNKLLV >Manes.17G078500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27827827:27832308:-1 gene:Manes.17G078500.v8.1 transcript:Manes.17G078500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLLATVLLDIMNPQSTLNPSKALEGVHGIHVVPHSPFSLEEITQQGDFSQSTSGSLLNQENQRLLLQRVWEQRPGCLRPIKCCINGDRNLAERIVNVLTSLPFVALGLQAPRTNLNTKLYANSLIGVGVASSLYHSSSGKIRKYLRWFDYTMIATATICLSRALRNENPKLLMAASAALLPIQPLMVSAVHTGMMEVAFAKRAVKDPDLRMAHNLHKMSSVLGGVLFIADDMFPSTPFLHAGWHLAAAVGVGTCNKLLV >Manes.17G112800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31950894:31952015:1 gene:Manes.17G112800.v8.1 transcript:Manes.17G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSPPHQVQVHQLLRHDPAYKGPQKGPSASKVIAVVTLLPVGGGLLALAGITLVGTLIGLAIATPLFFIFSPVLVPAAFAIGLAMVAFLASGACGLTGVTSLSWVLQYLRQATRAMPEQLDQAKKRMQDMAGYVGQKTKEMGQEIQKKAHEGK >Manes.07G070430.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:14471040:14471507:-1 gene:Manes.07G070430.v8.1 transcript:Manes.07G070430.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCITTVRFSILVNSIPTTRFSPSRGLRQGNPLLLYLFLFVSHALSYLLLAAKAEDRLQDLWISHFSPTITDIMFANYTLLFARALRCEASHLLHILKDYFSASGQCINFSKSHIYFSWMTSSSWKKEILQLFHMQEMRQGDKYLGLLVILGRSK >Manes.10G124700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28823603:28824638:-1 gene:Manes.10G124700.v8.1 transcript:Manes.10G124700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILITKLLSQADIESCLSFPTSSLGPLPFQEGHSLDMNVHDQSGQEWIFSCTIQRNDSVGQFLSVGWLEFVRHKNLAVNDEVIFVEEALENQAVRSCRIKIQVKRKIRLFGNDIWADV >Manes.10G074751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13833030:13833677:-1 gene:Manes.10G074751.v8.1 transcript:Manes.10G074751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDQRRDGSLGVSMSEEGMGESQGGTQASGFVQPPHYPHFSQHPGYSMGGTSDYPSFSPYPTQMPYPPYYPPYPQYPMYPPPPYHPNPENPTTENVAPPPPPTEPAAPVTQPPNPSSSRGSKVKMTDYMKLGAPQYDDGDDPFAYLERVKMITDEIGADDSRAIQMAGFTLKCKKALQGN >Manes.05G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5266728:5270908:1 gene:Manes.05G062800.v8.1 transcript:Manes.05G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLYFLLILTLTLALTVFSADKYSRDDFPPGFIFGAATSAYQVEGAAREDGRTPSIWDTFSHGGGDVAVDEYHKYKEDVQLMAETGLEAYRFSISWSRLIPDGRGPLNPKGLEYYNNLINELISHGIQAHASLYNFDHPQSLEDEYGGWLSRNIVRDFTAYADVCFREFGDRVSSWSTINEPNVFAVGGYDQGTVPPGRCSYPFGNCSKGDSSTEPYLAAHNMLLAHSSTVRLYKNKYQSKQHGVIGITLYAFWLLPLSNSTEDINAAGRARDFFFGWILNPLVFGDYPEIMKKNAGLRLPVLANQESELVKGAFDFIGLIHYTTVYVMDSSKSLKLENRDFNADMAVALFFDQDGDVPFGLFSSEYPLRPWGLQGILEYFKQAYGNPPIYIHENGQVSPHISSLEDASRVEYLHAYIGSVLDAIRNGSDTRGYFVWSFLDVIEIFGGKESSYGLYFVDMDDPRLPRLPKKSAYWYSNFLKGGKVGSDGTFELEESLGESSSDAIVSVG >Manes.18G100000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9212713:9223118:-1 gene:Manes.18G100000.v8.1 transcript:Manes.18G100000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMATHVHVKPPFSTTPCVSISTGNLRIKTTGTKTVNSCKTWKLVVAAAEASALSSSSSSGSFNRTSGGQLFRGNEICRNKKERSTSKKRVFFLDVNPLCYAGSTPSLHSFGYWISLLFSQVSLSDPVIAVLDGEGAIEHRRQLLPSYKAHRRKFYSRLSAFHKFSKDYVQRSQVVVDVLTKCNVPVVKVEGQEADDVVATLAGQILQRGYRVVIASPDKDFKQLISEDVQIVLPVIELKRWSFYTMKHYIAQYNCDPCSDLSLRCIMGDEVDGVPGIQNMAPGFGRKTALKLLKKHGSLQNLLNAAAVRTVGKQYAQDALTKHADFLRRNYEVLALRRDVDVHLQEEWLTERDRCNDSIILSNFFKMLEENKIPFQQKRSHS >Manes.18G100000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9212713:9223118:-1 gene:Manes.18G100000.v8.1 transcript:Manes.18G100000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMATHVHVKPPFSTTPCVSISTGNLRIKTTGTKTVNSCKTWKLVVAAAEASALSSSSSSGSFNRTSGGQLFRGNEICRNKKERSTSKKRVFFLDVNPLCYAGSTPSLHSFGYWISLLFSQVSLSDPVIAVLDGEGAIEHRRQLLPSYKAHRRKFYSRLSAFHKFSKDYVQRSQVVVDVLTKCNVPVVKVEGQEADDVVATLAGQILQRGYRVVIASPDKDFKQLISEDVQIVLPVIELKRWSFYTMKHYIAQYNCDPCSDLSLRCIMGDEVDGVPGIQNMAPGFGRKTALKLLKKHGSLQNLLNAAAVRTVGKQYAQDALTKHADFLRRNYEVLALRRDVDVHLQEEWLTERDRCNDSIILSNFFKMLEENKIPFQQKRSHS >Manes.18G100000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9218438:9223092:-1 gene:Manes.18G100000.v8.1 transcript:Manes.18G100000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMATHVHVKPPFSTTPCVSISTGNLRIKTTGTKTVNSCKTWKLVVAAAEASALSSSSSSGSFNRTSGGQLFRGNEICRNKKERSTSKKRVFFLDVNPLCYAGSTPSLHSFGYWISLLFSQVSLSDPVIAVLDGEGAIEHRRQLLPSYKAHRRKFYSRLSAFHKFSKDYVQRSQVVVDVLTKCNVPVVKVEGQEADDVVATLAGQILQRGYRVVIASPDKDFKQLISEDVQIVLPVIELKRWSFYTMKHYIAQYNCDPCSDLSLRCIMGDEVDGVPGIQNMAPGFGRKTALKLLKKHGSLQNLLNAAAVRTVGKQYAQDALTKHADFLRRNYEVLALRRDVDVHLQEEWLTERDRCNDSIILSNFFKMLEENKIPFQQKRSHS >Manes.18G100000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9219050:9223104:-1 gene:Manes.18G100000.v8.1 transcript:Manes.18G100000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMATHVHVKPPFSTTPCVSISTGNLRIKTTGTKTVNSCKTWKLVVAAAEASALSSSSSSGSFNRTSGGQLFRGNEICRNKKERSTSKKRVFFLDVNPLCYAGSTPSLHSFGYWISLLFSQVSLSDPVIAVLDGEGAIEHRRQLLPSYKAHRRKFYSRLSAFHKFSKDYVQRSQVVVDVLTKCNVPVVKVEGQEADDVVATLAGQILQRGYRVVIASPDKDFKQLISEDVQIVLPVIELKRWSFYTMKHYIAQYNCDPCSDLSLRCIMGDEVDGVPGIQNMAPGFGRKTALKLLKKHGSLQNLLNAAAVRTVGKQYAQDALTKHADFLRRNYEVLALRRDVDVHLQEEWLTERDRCNDSIILSNFFKMLEENKIPFQQKRSHS >Manes.18G100000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9218438:9223092:-1 gene:Manes.18G100000.v8.1 transcript:Manes.18G100000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMATHVHVKPPFSTTPCVSISTGNLRIKTTGTKTVNSCKTWKLVVAAAEASALSSSSSSGSFNRTSGGQLFRGNEICRNKKERSTSKKRVFFLDVNPLCYAGSTPSLHSFGYWISLLFSQVSLSDPVIAVLDGEGAIEHRRQLLPSYKAHRRKFYSRLSAFHKFSKDYVQRSQVVVDVLTKCNVPVVKVEGQEADDVVATLAGQILQRGYRVVIASPDKDFKQLISEDVQIVLPVIELKRWSFYTMKHYIAQYNCDPCSDLSLRCIMGDEVDGVPGIQNMAPGFGRKTALKLLKKHGSLQNLLNAAAVRTVGKQYAQDALTKHADFLRRNYEVLALRRDVDVHLQEEWLTERDRCNDSIILSNFFKMLEENKIPFQQKRSHS >Manes.01G183100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36140902:36143520:-1 gene:Manes.01G183100.v8.1 transcript:Manes.01G183100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL5 MQAGFSSCFCLKRSKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSNSIINDGLIHKEEFQLALFQNTNKRNLFADRIFDLFDIKCNGVIEFGEFVRSLGIFHPNAPVEDKIGCKIDFSIAFRLYDLRQTGCIERDELKEMVLALLNESELVLSDDVIEMIVDKTWKDADTNCDGKIDLEEWKDFVSKNPSLLKNMTLPYLKDITMAFPSFVQYSEIEDSEV >Manes.01G183100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36140375:36145140:-1 gene:Manes.01G183100.v8.1 transcript:Manes.01G183100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL5 MLLAFRGCFCLKRSKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSNSIINDGLIHKEEFQLALFQNTNKRNLFADRIFDLFDIKCNGVIEFGEFVRSLGIFHPNAPVEDKIGFAFRLYDLRQTGCIERDELKEMVLALLNESELVLSDDVIEMIVDKTWKDADTNCDGKIDLEEWKDFVSKNPSLLKNMTLPYLKDITMAFPSFVQYSEIEDSEV >Manes.01G183100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36140375:36144819:-1 gene:Manes.01G183100.v8.1 transcript:Manes.01G183100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL5 MLLAFRGCFCLKRSKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSNSIINDGLIHKEEFQLALFQNTNKRNLFADRIFDLFDIKCNGVIEFGEFVRSLGIFHPNAPVEDKIGFAFRLYDLRQTGCIERDELKEMVLALLNESELVLSDDVIEMIVDKTWKDADTNCDGKIDLEEWKDFVSKNPSLLKNMTLPYLKDITMAFPSFVQYSEIEDSEV >Manes.05G156900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27008928:27011195:-1 gene:Manes.05G156900.v8.1 transcript:Manes.05G156900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIFTIYTGAFFASIKKRNTEMKQWGLIFLAFLLVQKQGIVLPVEADDGFITTRGVQLMLNGSPFYTNGFNAYWLMYFATDPSKRNKVSSVFQEAKKHGLTLARTWAFNDGQDRALQTSPGNYNEQTFQGLDFVISEAKRHGIKVVLSLVNNYEEYGGKRQYVNWARSARQSLSSDDDFFTNSVVKGYYKNYIKAILTRRNTFTGVAYKDEPTIMAWELMNEPRCNSDPSGRTMQAWITEMASYLKSIDSKHLLEVGLEGFYGQLSSEKQRFNPKFQVGTDFIANNQISGIDFATVHSYPDQWLPNSDTKSQESFLSSWLKNHTEDANNILKKPVLFAEFGKSLRTSDYIQRDKMFNTIYSAIYSSARGGGAAAGGMFWQLFTQGMDSFRDGYEVIFNENPTTASIIADQSQKLNKIGKMYARLRNIEKIE >Manes.02G029800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2478656:2480586:-1 gene:Manes.02G029800.v8.1 transcript:Manes.02G029800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLSFSLIILLSWASFRTEAQGIKSARLLDLVIRDYIFKSYDIHARTGIIHTVHLPANFSDIKVDTATFRCGSLRRYGAQVKEFHLDIGVIMEPCVERVMLTRQNLGHNWSSIYYASFDLSGYQLVSPILGLLAYNGGSDVNFSNPFEIGIHAGEKPITVDFTNTTSIGNLSLSGSGVRPLCASFENGGKVTLKNPASHNVCVVTSHGHYGLVIESPPPSSGAAGQARKKISLWKVVVGSTIGAALGGFLLGLLLVALFVKVKKKARMEEMERRAYEEEALQVSMVGHVRAPTATVTRTTPATEHEFINDIQSIVTKLSNDPSKDQHLVKVESGDMGQHAWKEQREK >Manes.S056016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1964269:1964397:1 gene:Manes.S056016.v8.1 transcript:Manes.S056016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRPQVRREHPLSLSISISGGKETYQDSPSNGERTGKSPA >Manes.03G084000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20934622:20937654:1 gene:Manes.03G084000.v8.1 transcript:Manes.03G084000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFHECCIEMIEKWEKLISSKESCELDVWPYLQDLSRDCISQAAFGSNHEQGHRIFQLLDELTILVIQVAQSVIIPGWWFMPTKANRKTKEIDREIQASFKRMINQREDAMKAGEAPNDDLLVLLIESNLKQAKERLNIQDVIGECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVTMILYEVLRLYPPAAILTRVVCQEIRLGDLILPAGMEINLPVFIIHQDPELWGKDALEFKPERFSEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAIILQHFSFQLSPSYSHAPRTFVTLRPEYGAQLILQKI >Manes.03G084000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20933995:20937654:1 gene:Manes.03G084000.v8.1 transcript:Manes.03G084000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMETSSRSSSVVVAIILVIIGTLAWRVLNWVWFRPKNLERFLRKQGIAGNPYRFFHGDLKQSVEMIKQARSQPFDFSQPLALRVAPFLLQTLNNYGKNSFIWIGPVPRVNITNPEHIKEVFAKINEFQKVKTNPQFQVLAPGLANHEGDKWAKHRKIINPAFHLEKLKLMLPLFHECCIEMIEKWEKLISSKESCELDVWPYLQDLSRDCISQAAFGSNHEQGHRIFQLLDELTILVIQVAQSVIIPGWWFMPTKANRKTKEIDREIQASFKRMINQREDAMKAGEAPNDDLLVLLIESNLKQAKERLNIQDVIGECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVTMILYEVLRLYPPAAILTRVVCQEIRLGDLILPAGMEINLPVFIIHQDPELWGKDALEFKPERFSEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAIILQHFSFQLSPSYSHAPRTFVTLRPEYGAQLILQKI >Manes.03G084000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20933994:20937654:1 gene:Manes.03G084000.v8.1 transcript:Manes.03G084000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPGLANHEGDKWAKHRKIINPAFHLEKLKMIEKWEKLISSKESCELDVWPYLQDLSRDCISQAAFGSNHEQGHRIFQLLDELTILVIQVAQSVIIPGWWFMPTKANRKTKEIDREIQASFKRMINQREDAMKAGEAPNDDLLVLLIESNLKQAKERLNIQDVIGECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVTMILYEVLRLYPPAAILTRVVCQEIRLGDLILPAGMEINLPVFIIHQDPELWGKDALEFKPERFSEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAIILQHFSFQLSPSYSHAPRTFVTLRPEYGAQLILQKI >Manes.03G084000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20935877:20937654:1 gene:Manes.03G084000.v8.1 transcript:Manes.03G084000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAWCFLQLMLPLFHECCIEMIEKWEKLISSKESCELDVWPYLQDLSRDCISQAAFGSNHEQGHRIFQLLDELTILVIQVAQSVIIPGWWFMPTKANRKTKEIDREIQASFKRMINQREDAMKAGEAPNDDLLVLLIESNLKQAKERLNIQDVIGECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVTMILYEVLRLYPPAAILTRVVCQEIRLGDLILPAGMEINLPVFIIHQDPELWGKDALEFKPERFSEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAIILQHFSFQLSPSYSHAPRTFVTLRPEYGAQLILQKI >Manes.03G084000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20933995:20937654:1 gene:Manes.03G084000.v8.1 transcript:Manes.03G084000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAPGLANHEGDKWAKHRKIINPAFHLEKLKLMLPLFHECCIEMIEKWEKLISSKESCELDVWPYLQDLSRDCISQAAFGSNHEQGHRIFQLLDELTILVIQVAQSVIIPGWWFMPTKANRKTKEIDREIQASFKRMINQREDAMKAGEAPNDDLLVLLIESNLKQAKERLNIQDVIGECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVTMILYEVLRLYPPAAILTRVVCQEIRLGDLILPAGMEINLPVFIIHQDPELWGKDALEFKPERFSEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAIILQHFSFQLSPSYSHAPRTFVTLRPEYGAQLILQKI >Manes.03G084000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20933995:20937654:1 gene:Manes.03G084000.v8.1 transcript:Manes.03G084000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMETSSRSSSVVVAIILVIIGTLAWRVLNWVWFRPKNLERFLRKQGIAGNPYRFFHGDLKQSVEMIKQARSQPFDFSQPLALRVAPFLLQTLNNYGKNSFIWIGPVPRVNITNPEHIKEVFAKINEFQKVKTNPQFQVLAPGLANHEGDKWAKHRKIINPAFHLEKLKLMLPLFHECCIEMIEKWEKLISSKESCELDVWPYLQDLSRDCISQAAFGSNHEQGHRIFQLLDELTILVIQVAQSVIIPGWWFMPTKANRKTKEIDREIQASFKRMINQREDAMKAGEAPNDDLLVLLIESNLKQAKERLNIQDVIGECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVSLYIPSLSAL >Manes.03G084000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20933995:20937654:1 gene:Manes.03G084000.v8.1 transcript:Manes.03G084000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMETSSRSSSVVVAIILVIIGTLAWRVLNWVWFRPKNLERFLRKQGIAGNPYRFFHGDLKQSVEMIKQARSQPFDFSQPLALRVAPFLLQTLNNYGKNSFIWIGPVPRVNITNPEHIKEVFAKINEFQKVKTNPQFQVLAPGLANHEGDKWAKHRKIINPAFHLEKLKMIEKWEKLISSKESCELDVWPYLQDLSRDCISQAAFGSNHEQGHRIFQLLDELTILVIQVAQSVIIPGWWFMPTKANRKTKEIDREIQASFKRMINQREDAMKAGEAPNDDLLVLLIESNLKQAKERLNIQDVIGECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVTMILYEVLRLYPPAAILTRVVCQEIRLGDLILPAGMEINLPVFIIHQDPELWGKDALEFKPERFSEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAIILQHFSFQLSPSYSHAPRTFVTLRPEYGAQLILQKI >Manes.03G084000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20933994:20937654:1 gene:Manes.03G084000.v8.1 transcript:Manes.03G084000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEKWEKLISSKESCELDVWPYLQDLSRDCISQAAFGSNHEQGHRIFQLLDELTILVIQVAQSVIIPGWWFMPTKANRKTKEIDREIQASFKRMINQREDAMKAGEAPNDDLLVLLIESNLKQAKERLNIQDVIGECKLFYFAGQETTSVLLVWTMILLSKYPHWQAQAREEVLQVFGGKRPEFDGLNRLKVVTMILYEVLRLYPPAAILTRVVCQEIRLGDLILPAGMEINLPVFIIHQDPELWGKDALEFKPERFSEGVSKATKNQVSFFPFGWGPRICIGQNFSLLEAKMALAIILQHFSFQLSPSYSHAPRTFVTLRPEYGAQLILQKI >Manes.01G113800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31162575:31166086:1 gene:Manes.01G113800.v8.1 transcript:Manes.01G113800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFREEHGDRDAPSDSCSSASSSSDSEVEAEATDESDDYAAVAEAKGNNESCSSSSGYRSEDISADEDDVSSSGFTNEDDGTGNEKKIPVGPQISGKHGAAIFNEEFDGVAQKESPAGLPDFVLKFKSVYKCRICPRIVCLTEETMRTHLNSKRHTRSEKLLKENRLKAMLNSDGEVENQETPAEMHDRIVALAENKSKKKNKGRQRQKKRSRKKKGEDVPNVEKTKGSTKARTKKRRKSEN >Manes.01G113800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31162575:31166086:1 gene:Manes.01G113800.v8.1 transcript:Manes.01G113800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFREEHGDRDAPSDSCSSASSSSDSEVEAEATDESDDYAAVAEAKGNNESCSSSSGYRSEDISADEDDVSSSGFTNEDDGTGNEKKIPVGPQISGKHGAAIFNEEFDGVAQKESPAGLPDFVLKFKSVYKCRICPRIVCLTEETMRTHLNSKRHTRSEKLLKENRLKAMLNSDGEVENQETPAEMHDRIVALAENKSKKKNKGRQRQKKRSRKKKGEDVPNVEKTKGSTKARTKKRRKSEN >Manes.01G113800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31162551:31166086:1 gene:Manes.01G113800.v8.1 transcript:Manes.01G113800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFFREEHGDRDAPSDSCSSASSSSDSEVEAEATDESDDYAAVAEAKGNNESCSSSSGYRSEDISADEDDVSSSGFTNEDDGTGNEKKIPVGPQISGKHGAAIFNEEFDGVAQKESPAGLPDFVLKFKSVYKCRICPRIVCLTEETMRTHLNSKRHTRSEKLLKENRLKAMLNSDGEVENQETPAEMHDRIVALAENKSKKKNKGRQRQKKRSRKKKGEDVPNVEKTKGSTKARTKKRRKSEN >Manes.13G077500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:17718544:17719553:1 gene:Manes.13G077500.v8.1 transcript:Manes.13G077500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRNQTSPVGSPTSGNISDSSSKEQDRFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQKEKRKTINGDDLLWAMTTLGFENYVGPLKVYLNKYRETEGEKNSMARQEDHQSPPPAAAAGAGGNSSDNHGNEMNKVNGYVSTEVDFQGFNSGFYSLGAQVSRKSSYGENSRFVGYGDHLVDAAASFNMNRKRENGDANNNRPMAAHIHHGVEW >Manes.03G015700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1363153:1366203:1 gene:Manes.03G015700.v8.1 transcript:Manes.03G015700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPHIRKEAMADLVARVGKHVYSRNGVLTEIKSFGTIQLGYGIKKLDGRYYQGQLMQMTMMATPNINKELHYLNKEDRLLRWLLVKHRDTNYGLEYAIRDDESSKYNRNFQSETEDDEDDDDDEYDDDIEDEGESNVNQEKQEEQ >Manes.03G015700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1363068:1366759:1 gene:Manes.03G015700.v8.1 transcript:Manes.03G015700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPHIRKEAMADLVARVGKHVYSRNGVLTEIKSFGTIQLGYGIKKLDGRYYQGQLMQMTMMATPNINKELHYLNKEDRLLRWLLVKHRDTNYGLEYAIRDDESSKYNRNFQSETEDDEDDDDDEYDDDIEDEGESNVNQEKQEEQ >Manes.03G015700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1363218:1366203:1 gene:Manes.03G015700.v8.1 transcript:Manes.03G015700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPHIRKEAMADLVARVGKHVYSRNGVLTEIKSFGTIQLGYGIKKLDGRYYQGQLMQMTMMATPNINKELHYLNKEDRLLRWLLVKHRDTNYGLEYAIRDDESSKYNRNFQSETEDDEDDDDDEYDDDIEDEGESNVNQEKQEEQ >Manes.01G219800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38818647:38821014:1 gene:Manes.01G219800.v8.1 transcript:Manes.01G219800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLGILHSLPPIATVRPPAIYASNTRRNGWLRAKINGESDPLLEAAMNAASLRFQETHRPEPLFLDPYAGCLIDPTVQMDLKKYSHPYCLATKFIDDKLLRTVNHIDGLKQVVLLTDGMETRPYRLNWPTSSIIYDVSPQRIFKRSAERLKGVGAKIPRNCLFLHVPLESSNIQQNLHAKGFNGNQPSIWAIQGLSIMTLASFEEILCTVSSLAMNGCLFLGELPAWLAETEIGCKSNAEKWMNKLFMSNGFRVNMISYDEVASSLGVKLAAGDYKNILFVAEQLQFSDDQV >Manes.01G219800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38818647:38821484:1 gene:Manes.01G219800.v8.1 transcript:Manes.01G219800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLGILHSLPPIATVRPPAIYASNTRRNGWLRAKINGESDPLLEAAMNAASLRFQETHRPEPLFLDPYAGCLIDPTVQMDLKKYSHPYCLATKFIDDKLLRTVNHIDGLKQVVLLTDGMETRPYRLNWPTSSIIYDVSPQRIFKRSAERLKGVGAKIPRNCLFLHVPLESSNIQQNLHAKGFNGNQPSIWAIQGLSIMTLASFEEILCTVSSLAMNGCLFLGELPAWLAETEIGCKSNAEKWMNKLFMSNGFRVNMISYDEVASSLGVKLAAGDYKNILFVAEQLQFSDDQMETWRREFHRIEEEGDEEGFEEL >Manes.06G173501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29764197:29765973:-1 gene:Manes.06G173501.v8.1 transcript:Manes.06G173501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPHFDSDYQFSPEEFSNMTSVIAQGNYGSTSIRTSTPTIPCGAISSSGAMLGHEQDLPSLYDNNAGLECFPVESDILCQVPMTTATFTGQLDVSEVVEPSLMDYRMGFDGNAKIQNFEGGLQYSNPCEYGDYCCEFVHDCKSICPDSEENLRIQCNQMPATKIGRYTVEERKDRILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARKNEVCENKNGGKMSENPLQEKESYSNGAVEMNNEDDDWLQESVAGLMHVPPLAR >Manes.03G188200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30775056:30780435:1 gene:Manes.03G188200.v8.1 transcript:Manes.03G188200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMFAKASSSCSSQVPPFHPVQEKGSRNKRKFRADPPLGEPSKVMPSPQNECSGYEFSAEKFESAPIHGSSSVCGLCGIDQDHSDGLKLDLGLSTSIGSSEVGTSQSTEELESEESHEADWSDLTESQLEELVLSNLDTIFKSAIKKIVACGYTEDVATKAVLRSGLCYGCKDTVSNIVDNTLAFLRNGQVIDPSREHCFEDLQELEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPFSSFAADGASNGTSSISSQPQMKAEAKCSELNLPNPCKLEPSVPCSHSSQSEAPNNMTGVPNTTKPKNPGVLSGLVLDKDGTNSSFDPADKSFSAAATAQPPVLEEKFVVSRKVHSNSTKREYILRQKSLHLEKGYRTYGPKGSRTGKLSSLILDKKLKSVSDSALKINNASLRLSKVMGVDVPQDNLSQNLSINPGSSPSAASNLESLSATSSSPKSNVPSTLSSVNTSPTLPALNTPPALAATDTDLSLSLPTKTNSTSASMNCNAEAPNCSFAGTPYEKSLAQWVPRDKKDEMIMKLVPRVRELQNQLQEWTEWANQKVMQAARRLSKDKAELKSLRMEKEEVERLKKEKQTLEENTMKKLTEMENALGKASGQVERANSAVRRLEVENAALRQEMEAAKLRAAESAASCQEVSKREKNTLMKFQSWEKQKTLLQEELAAEKRNVAMLQQDLVRAKKLQEQHEARWQQEEKTNEELLSQATSIRKEREQIEASAISKEDVIKLKAETNLQKYKDDIQKLEKEISQLRLKTDSSKIAALRRGINESYASRLADAKYSTTQKDLSTPHYSVVEAAEFQEYSDIGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRTPIQRRVPVCFSRS >Manes.03G188200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30775063:30780104:1 gene:Manes.03G188200.v8.1 transcript:Manes.03G188200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMFAKASSSCSSQVPPFHPVQEKGSRNKRKFRADPPLGEPSKVMPSPQNECSGYEFSAEKFESAPIHGSSSVCGLCGIDQDHSDGLKLDLGLSTSIGSSEVGTSQSTEELESEESHEADWSDLTESQLEELVLSNLDTIFKSAIKKIVACGYTEDVATKAVLRSGLCYGCKDTVSNIVDNTLAFLRNGQVIDPSREHCFEDLQELEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPFSSFAADGASNGTSSISSQPQMKAEAKCSELNLPNPCKLEPSVPCSHSSQSEAPNNMTGVPNTTKPKNPGVLSGLVLDKDGTNSSFDPADKSFSAAATAQPPVLEEKFVVSRKVHSNSTKREYILRQKSLHLEKGYRTYGPKGSRTGKLSSLILDKKLKSVSDSALKINNASLRLSKVMGVDVPQDNLSQNLSINPGSSPSAASNLESLSATSSSPKSNVPSTLSSVNTSPTLPALNTPPALAATDTDLSLSLPTKTNSTSASMNCNAEAPNCSFAGTPYEKSLAQWVPRDKKDEMIMKLVPRVRELQNQLQEWTEWANQKVMQAARRLSKDKAELKSLRMEKEEVERLKKEKQTLEENTMKKLTEMENALGKASGQVERANSAVRRLEVENAALRQEMEAAKLRAAESAASCQEVSKREKNTLMKFQSWEKQKTLLQEELAAEKRNVAMLQQDLVRAKKLQEQHEARWQQEEKTNEELLSQATSIRKEREQIEASAISKEDVIKLKAETNLQKYKDDIQKLEKEISQLRLKTDSSKIAALRRGINESYASRLADAKYSTTQKDLSTPHYSVVEAAEFQEYSDIGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRTPIQRRVPVCFSRS >Manes.03G188200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30775056:30780435:1 gene:Manes.03G188200.v8.1 transcript:Manes.03G188200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMFAKASSSCSSQVPPFHPVQEKGSRNKRKFRADPPLGEPSKVMPSPQNECSGYEFSAEKFESAPIHGSSSVCGLCGIDQDHSDGLKLDLGLSTSIGSSEVGTSQSTEELESEESHEADWSDLTESQLEELVLSNLDTIFKSAIKKIVACGYTEDVATKAVLRSGLCYGCKDTVSNIVDNTLAFLRNGQVIDPSREHCFEDLQELEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPFSSFAADGASNGTSSISSQPQMKAEAKCSELNLPNPCKLEPSVPCSHSSQSEAPNNMTGVPNTTKPKNPGVLSGLVLDKDGTNSSFDPADKSFSAAATAQPPVLEEKFVVSRKVHSNSTKREYILRQKSLHLEKGYRTYGPKGSRTGKLSSLILDKKLKSVSDSALKINNASLRLSKVMGVDVPQDNLSQNLSINPGSSPSAASNLESLSATSSSPKSNVPSTLSSVNTSPTLPALNTPPALAATDTDLSLSLPTKTNSTSASMNCNAEAPNCSFAGTPYEKSLAQWVPRDKKDEMIMKLVPRVRELQNQLQEWTEWANQKVMQAARRLSKDKAELKSLRMEKEEVERLKKEKQTLEENTMKKLTEMENALGKASGQVERANSAVRRLEVENAALRQEMEAAKLRAAESAASCQEVSKREKNTLMKFQSWEKQKTLLQEELAAEKRNVAMLQQDLVRAKKLQEQHEARWQQEEKTNEELLSQATSIRKEREQIEASAISKEDVIKLKAETNLQKYKDDIQKLEKEISQLRLKTDSSKIAALRRGINESYASRLADAKYSTTQKDLSTPHYSVVEAAEFQEYSDIGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRTPIQRRVPVCFSRS >Manes.16G003600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:521354:522208:1 gene:Manes.16G003600.v8.1 transcript:Manes.16G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKLFLLLSLLVASAAIALSTTPSEGESLMDDNDGVVEENSDIPWQENQETTSSFLRGTKRFLAQKTRGVQMTCDKYPRVCRAKGSPGPDCCKKKCVNVMTDKLNCGKCGKKCKYPEICCKGVCVNPMSNKKHCGGCNNKCKQGSKCVYGMCSYA >Manes.18G015025.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1583267:1588196:1 gene:Manes.18G015025.v8.1 transcript:Manes.18G015025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIRLHITPCNTLNLHTNHKTKFTSYSTRIRPKHIYHCNPLIHFVYNKAKMRDSSNPVESSIPLVKSSLIEPDGGALVDLVVPESERGAKTLEAGSMPKVRLTKIDVEWVHVISEGWTSPLRGFMRENEYLQSLHFNSLRMADGSVVNMSLPIVLAIDDETKDRIGSSKNVVLVAPDGDLIGILRSIEIYKHNKEERIARTWGTTAPGLPYVEKCITPAGNWLIGGDLEVIKPIKYNDGLDHYRLSPQQLRKEFDRRQADAVFAFQLRNPVHNGHALLMNDTRRRLLDMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGILDPKTTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTENRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVAKKMAFFDPSRSQDFLFISGTKMRTYARNGENPPDGFMCPGGWKVLVQYYESFQVEEAPQKPAVLST >Manes.18G015025.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1582000:1587887:1 gene:Manes.18G015025.v8.1 transcript:Manes.18G015025.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIRLHITPCNTLNLHTNHKTKFTSYSTRIRPKHIYHCNPLIHFVYNKAKMRDSSNPVESSIPLVKSSLIEPDGGALVDLVVPESERGAKTLEAGSMPKVRLTKIDVEWVHVISEGWTSPLRGFMRENEYLQSLHFNSLRMADGSVVNMSLPIVLAIDDETKDRIGSSKNVVLVAPDGDLIGILRSIEIYKHNKEERIARTWGTTAPGLPYVEKCITPAGNWLIGGDLEVIKPIKYNDGLDHYRLSPQQLRKEFDRRQADAVFAFQLRNPVHNGHALLMNDTRRRLLDMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGILDPKTTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTENRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVAKKMAFFDPSRSQDFLFISGTKMRTYARNGENPPDGFMCPGGWKVLVQYYESFQVEEAPQKPAVLST >Manes.18G015025.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1583120:1588159:1 gene:Manes.18G015025.v8.1 transcript:Manes.18G015025.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIRLHITPCNTLNLHTNHKTKFTSYSTRIRPKHIYHCNPLIHFVYNKAKMRDSSNPVESSIPLVKSSLIEPDGGALVDLVVPESERGAKTLEAGSMPKVRLTKIDVEWVHVISEGWTSPLRGFMRENEYLQSLHFNSLRMADGSVVNMSLPIVLAIDDETKDRIGSSKNVVLVAPDGDLIGILRSIEIYKHNKEERIARTWGTTAPGLPYVEKCITPAGNWLIGGDLEVIKPIKYNDGLDHYRLSPQQLRKEFDRRQADAVFAFQLRNPVHNGHALLMNDTRRRLLDMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGILDPKTTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTENRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVAKKMAFFDPSRSQDFLFISGTKMRTYARNGENPPDGFMCPGGWKVLVQYYESFQVEEAPQKPAVLST >Manes.11G043001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4703878:4708271:1 gene:Manes.11G043001.v8.1 transcript:Manes.11G043001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWGMNRADRRTEARDRNESGSSPEKSRSRSFASDNYKGLGPKPYSYDELAFATGHFSLNNQLGQGGFGQVFKASLDGKIRAVKKLNNFPDVQSEGDLEREIMVVNRVSHKNLVRLVGYCVDGANRLLILKYFPNKSLKYTLHRKENVLDWKKRMNIAIGSARGLEYLHEHCKPNIIHLDIKPDNIFLDNHFEPKISDFGLALFFKDAATHVSRSSTLGTHIYADPLSTKLGKYSDKSDIYSFGVTLLELITGRNPMIDKSTDIITWANPLIEKALKGEYADFVDSRLQSFDHEEMHRMILCANTCINQPPKSRPSMKMILLALERTLPLENLWNVKNDNKLRSGASYKAEVTPYYTKKEDVNDFDEYDPTKYESGYDIAMTYGHPIPPSEETCYPNSSSADEIFYNPPHFTSDTVPSAYTDDRLQEEYTSYARPKPRPRPACAFNFGGAPGGEVFVAARPQPTYVLQPGRTMAGSDYGSGSYDRRPDYEKPLSEEYGSGYGRRRDYEYGYSGYGRRTEYERQHISEYGYGYQGRTGFEYGSGYGGRIQSEYEDGGAEYGRWYGRKPSYEVEGGYRGRPERTTYEMCNSDGGEEGYGRKKYGEDDSDDEEKKHQRYKNHHRRKHYDDE >Manes.15G186600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020506:27033474:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020551:27033474:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020356:27034758:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020356:27033474:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020551:27033406:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27022425:27033406:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVILQRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020356:27033474:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020505:27033474:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020551:27033406:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020505:27034758:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.15G186600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27020505:27033474:1 gene:Manes.15G186600.v8.1 transcript:Manes.15G186600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIDGKLGSQPDTMSRVVPMAIIFVVFCGFSFYLGGLYCSERNKIEVKDVAKEVSSPKESVVAPLQIKSVDFPECTSDDQDYIPCMDPRRWKKYGVQRLTFMERHCPPVIERKECLVPPPDGYKPPIKWPKSRDECWYRNVPYDWINKQKSNQNWLRKEGEKFQFPGGGTMFPRGVGDYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGNLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEVHRILRPGGFWVLSGPPVNYKRRWRGWNTTIEEQRSDYEKLEEFLTSMCFKLYNKKDDIAVWQKASDNDCYSKVAEPDAYPPKCDDSLEPDSAWYTPLRSCVLVPNPKLRTMALESIPKWPERLHVAPERISEVRGGSASTFKHDDSKWKVRAKHYKKLLPDIGSDKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLYLDGLFTTESHRCDMKYVLLEMDRILRPSGYALIRESSYFVDAIVTIAEGMRWRCSKEDTEYGVEKEKILICQKKLWYSSNQS >Manes.01G212100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38277778:38280791:1 gene:Manes.01G212100.v8.1 transcript:Manes.01G212100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMADHKFGVLVSCIFLVFSLLQCKFCALAMLDPIDFLALQSIRKGLDDMPGSNFFASWDFTSDPCNFAGVYCDVDKVISLNLGDPRAGSPGLIGHLDPAIGKLSALVEFSVVPGRIIGLLPPSISQLKDLRFLAISRNFLSGGIPSTIGQLRNLRTLDLSYNQLSGEIPHSIGTIPELSNVILCHNRLSGWVPPFLSQSLTRLDLKHNELSGSLSPYSLPSSLRYLSLSWNRLSGPVDRLLYRLYQLNYLDLSMNQFSGIIPSRVFTFPITNLQLQRNLFTGPVQPLHQVTIETVDLSYNRLWGRISPMLSTVQNLYLNNNRFTGKVPGSFVDSLMAASIRILYLQHNYLTGIEINPAVDIPLSSSLCLQYNCMVPPVQTSCPLKAGKQKTRPTAECSEWNG >Manes.04G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28024461:28028722:-1 gene:Manes.04G078200.v8.1 transcript:Manes.04G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIQNKIEEEEKEKLQKLRQEEEELQLEKRKKRKIKGNPRLSFADDIENGSEEEDAEDKGESKKLVPGKVGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIRNEPLEITYSYWDGTGHRRVIQARKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPSKKWERYTIHGD >Manes.05G162900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27551068:27554994:1 gene:Manes.05G162900.v8.1 transcript:Manes.05G162900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVKLQSSAKRVSQQRAILVPTGNRITVSEESRRKSEILKKAQQRPKKPAVKDVVSEMQKAVVKHNLSVDSSCSLDSNFSSSSFSSSGSSAKVASPRRTVKHTGLRAVKIVPDASQFDEISLKKNLSVKSCDWITPHSDPLYVSFHDEEWGIPVHDDRKLFELLVLSQALAEMSWPAILHMRDTFRKLFDNFDPSSVAQFTEKKLLSLKVNGNLLLSEPKLRAIVENAKLLLKVQKEFGSFSNYCWRFVNNKQLRNAYRYARQVPVKTPKAEVISKDLMKRGFHCVGPTVVYSFMQVAGIVNDHLVTCFRYQECNIDLKKNSNKPQTEETQMVTEVLEKTCLSQ >Manes.04G111700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31623402:31627649:-1 gene:Manes.04G111700.v8.1 transcript:Manes.04G111700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRAIQQMSNATIIDEKVLNDCLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRRIIQQAIFNELCKILDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLMNESRIMRIARGSGRQVKEVTEMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSTKDMMGMFGGGDK >Manes.06G143300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27081662:27084123:1 gene:Manes.06G143300.v8.1 transcript:Manes.06G143300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLKSTFPSFSKHPNIPTIKPTKPLRVSIKPPPPDFDFRSEILQESRALISESHPQLQDLADTGSLVLIEKRLFGPVPAWRTEFVEPEAIWLLGTTHISSESAAEVERVVQAVKPDNVVVELCRSRAGIMYISNEGEIGKELKSSMFSLSGTGFFGAVGRSINLGGQTALALRLLLALFSSKLSSDVNRPLGDEFRAARKASEEVGAQIVLGDRPIEITQLERAWKALKWNEKLNLVTSVVRAITSSSDTSRNNFKVSSTEDGTFQLYEQLSFTYPSLLQPLLHERDTYLAWSLKRSKAVNKSKRVVGVIGKGHMNGVIYALVSDQGNLRFRDLVGNRPSGDGFNGFVDSLVRSLVRDTVIGVLLWQLYEQIKGAL >Manes.06G143300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27081662:27084123:1 gene:Manes.06G143300.v8.1 transcript:Manes.06G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLKSTFPSFSKHPNIPTIKPTKPLRVSIKPPPPDFDFRSEILQESRALISESHPQLQDLADTGSLVLIEKRLFGPVPAWRTEFVEPEAIWLLGTTHISSESAAEVERVVQAVKPDNVVVELCRSRAGIMYISNEGEIGKELKSSMFSLSGTGFFGAVGRSINLGGQTALALRLLLALFSSKLSSDVNRPLGDEFRAARKASEEVGAQIVLGDRPIEITLERAWKALKWNEKLNLVTSVVRAITSSSDTSRNNFKVSSTEDGTFQLYEQLSFTYPSLLQPLLHERDTYLAWSLKRSKAVNKSKRVVGVIGKGHMNGVIYALVSDQGNLRFRDLVGNRPSGDGFNGFVDSLVRSLVRDTVIGVLLWQLYEQIKGAL >Manes.03G173700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29793695:29795789:-1 gene:Manes.03G173700.v8.1 transcript:Manes.03G173700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSAAATGVFETGNYTTVLQKVESVTCSTKSSVVVPPPKPLLIATPCEAGEFPLLIFLHGYLLYNSFYSLLIQHVASHGFIVIAPQLYTVAGADSSDEIKSTATITDWLPKGLQQVLPLHVQPKLSKIGLAGHSRGGKTAFALALQKAATTLRFSAVIGVDPVDGMDKGKQTPPPVLTYVPHSFDLDMAAMVIGSGLGEIRRNPLFPPCAPKGVNHEDFFRECQKPACYFVVKDFGHLDMLDDETRGIRGKATYCLCMNGKSREPMRRFVGGIMVAFMKAYLGSDNSDLMAIRDGQTGPVELQTSEFWI >Manes.04G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10023869:10028258:-1 gene:Manes.04G058800.v8.1 transcript:Manes.04G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSRPKPSKLAVYLYIPNIVGYTRVLMNCFAFAICFSNKRLFCLLYFISFVCDGIDGWCARKFNQVSTFGAVLDMVTDRISTACLLVMLSQVYRPGLVFVSLLALDIASHWLQMYSTFLLGKSSHKDVKDSSNWLFKAYYGNRMFMAYCCAACEVLYIILFLIAKTENENLMDVFTESMKECSLSSILLGLSLFGWAIKQTVNVIQMKTAADVCVLYDIDKKEKH >Manes.01G177200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35732253:35732843:-1 gene:Manes.01G177200.v8.1 transcript:Manes.01G177200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEKTLVEVLEENNPIDLAKYINYVSASQAGAIATFSGTTRDTFEGKVVVELRYEAYVPMAVRQIKSICSSARLSWNVHSIAVAHRLGPVAVGETSVFIAVSAVHRADALDACKFVIDELKASVPIWKKEVYSNGEVWKENSEFLERRQEIGKTGGCCSKKVEVKAHGTKGCCGAKVKVNEEAAKMSTDAEAEN >Manes.09G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2076610:2080889:1 gene:Manes.09G010300.v8.1 transcript:Manes.09G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDLTPRIAPNLDRHLVFPLLEFLQERQLYPEDQIFKSKIELLSKTNMVDYAMDIHKSLYHTEDVPQDMIERRAEVVARLKALEEGAAPLVAFLQNANAVQELRADKQYNLQMLNDRFQIGPKQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQMQSRIWLMHWSLFIFFNHDNGRTQIIDLFNLDKYLNAIQTNAPHLLRYLSTAFIVNKRRRPQFKDFIKVLQQEQQSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEDSNFSTVPMRDEFLENARLFIFETYCRIHQRINMGVLAEKLNLNYEEAERWIVNLIRNSKLDAKIDSQSGTVIMEPNQPNVYEQLIDHTKAISGRTYKLVGQLLEHAQAQAAR >Manes.12G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1277804:1281464:1 gene:Manes.12G012700.v8.1 transcript:Manes.12G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPENPTKSCKARGSDLRVHFKNTRETAHALRKLPLMKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDALFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLATSKSKKSQAA >Manes.08G167800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40246136:40251712:-1 gene:Manes.08G167800.v8.1 transcript:Manes.08G167800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSNSDVYRGSSGVSNPTNSNSRRNGILSASSIIQAPITALLEYSGLLRTTSSTHQETDPLINNSAGIHAHRLDDSTATPAAAATANNGEVAIRIIGAGEHEHDREGSGLVVGQLGAQSEVSVQQPLAGMESDVQGDSRNDRVSGEGASQQSSSASGDGEVADGSGANGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDIVRKQTALKGERKMSVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMVFKCILLMYYKNTRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTTLFFQIF >Manes.08G167800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40246253:40251697:-1 gene:Manes.08G167800.v8.1 transcript:Manes.08G167800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRASAQMEASGSNSDVYRGSSGVSNPTNSNSRRNGILSASSIIQAPITALLEYSGLLRTTSSTHQETDPLINNSAGIHAHRLDDSTATPAAAATANNGEVAIRIIGAGEHEHDREGSGLVVGQLGAQSEVSVQQPLAGMESDVQGDSRNDRVSGEGASQQSSSASGDGEVADGSGANGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDIVRKQTALKGERKMSVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMVFKCILLMYYKNTRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTTLFFQIF >Manes.08G167800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40246136:40251712:-1 gene:Manes.08G167800.v8.1 transcript:Manes.08G167800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSNSDVYRGSSGVSNPTNSNSRRNGILSASSIIQAPITALLEYSGLLRTTSSTHQETDPLINNSAGIHAHRLDDSTATPAAAATANNGEVAIRIIGAGEHEHDREGSGLVVGQLGAQSEVSVQQPLAGMESDVQGDSRNDRVSGEGASQQSSSASGDGEVADGSGANGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGVTGFFVTIWIAVVMFKSNDIVRKQTALKGERKMSVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMVFKCILLMYYKNTRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTTLFFQIF >Manes.08G167800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40246253:40251697:-1 gene:Manes.08G167800.v8.1 transcript:Manes.08G167800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRASAQMEASGSNSDVYRGSSGVSNPTNSNSRRNGILSASSIIQAPITALLEYSGLLRTTSSTHQETDPLINNSAGIHAHRLDDSTATPAAAATANNGEVAIRIIGAGEHEHDREGSGLVVGQLGAQSEVSVQQPLAGMESDVQGDSRNDRVSGEGASQQSSSASGDGEVADGSGANGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGVTGFFVTIWIAVVMFKSNDIVRKQTALKGERKMSVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMVFKCILLMYYKNTRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTTLFFQIF >Manes.08G167800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40246136:40251712:-1 gene:Manes.08G167800.v8.1 transcript:Manes.08G167800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMVRVRASAQMEASGSNSDVYRGSSGVSNPTNSNSRRNGILSASSIIQAPITALLEYSGLLRTTSSTHQETDPLINNSAGIHAHRLDDSTATPAAAATANNGEVAIRIIGAGEHEHDREGSGLVVGQLGAQSEVSVQQPLAGMESDVQGDSRNDRVSGEGASQQSSSASGDGEVADGSGANGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDIVRKQTALKGERKMSVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMVFKCILLMYYKNTRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTTLFFQIF >Manes.10G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15034791:15038286:-1 gene:Manes.10G074000.v8.1 transcript:Manes.10G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATNLSTQSLNRRLSSLSISSNNKLSAFSLAVSHPSRKSRFIVLSANLDPKPTVLVAEKLGEAGLELLKEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVNREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVDLVSFEEALANADFISLHMPLTPTTSKMLNDETFAKMKKGVRIVNVARGGVIDEEALVKAIDAGIVAQAALDVFAEEPPSKDSKLVQHEKVTVTPHLGASTVEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVVLAEKLGRLAVQLVAGGSGVKSVKVAYGSARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGLRITEERTTLDGSPESPLDFIQVQISNVESKFASAISDTGEIKVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDEQPKRETLKKIGDIPAIEEFVFLKL >Manes.09G008200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1866381:1870393:-1 gene:Manes.09G008200.v8.1 transcript:Manes.09G008200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLKTHNKLEFLPQLHVFPEKASHLGSIKLQNPELSFCLKRPYNKRVRNGCVKATSGALLELVPETKKENLEFDLPMYDPSKGLVVDLAVVGGGPAGLAVAQQVSKAGLSVCLIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDDKTKKDLGRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVVKVIHEESKSLLICNDGITIQAAVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDIDKMVFMDWRDSHLDNNLEVKQRNSKIPTFLYAMPFSSDRIFLEETSLVARPGVPMEDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANSIVQYLGSGRSLSGNELAAEVWKDLWPIQRRRQREFFCFGMDILLKLDLQATRRFFDAFFDLEPHYWHGFLSSRLFLPELIFFGLSLFSHASNTSRIEIMTKGTLPLVNMINNLVQDRE >Manes.09G008200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1867287:1870367:-1 gene:Manes.09G008200.v8.1 transcript:Manes.09G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLKTHNKLEFLPQLHVFPEKASHLGSIKLQNPELSFCLKRPYNKRVRNGCVKATSGALLELVPETKKENLEFDLPMYDPSKGLVVDLAVVGGGPAGLAVAQQVSKAGLSVCLIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDDKTKKDLGRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVVKVIHEESKSLLICNDGITIQAAVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDIDKMVFMDWRDSHLDNNLEVKQRNSKIPTFLYAMPFSSDRIFLEETSLVARPGVPMEDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANSIVQYLGSGRSLSGNELAAEVWKDLWPIQRRRQREFFCFGMDILLKLDLQATRRFFDAFFDLEPHYWHGFLSSRLFLPELIFFGLSLFSHASNTSRIEIMTKGTLPLVNMINNLVQDRE >Manes.09G008200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1866381:1870393:-1 gene:Manes.09G008200.v8.1 transcript:Manes.09G008200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLKTHNKLEFLPQLHVFPEKASHLGSIKLQNPELSFCLKRPYNKRVRNGCVKATSGALLELVPETKKENLEFDLPMYDPSKGLVVDLAVVGGGPAGLAVAQQVSKAGLSVCLIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDDKTKKDLGRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVVKVIHEESKSLLICNDGITIQAAVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDIDKMVFMDWRDSHLDNNLEVKQRNSKIPTFLYAMPFSSDRIFLEETSLVARPGVPMEDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANSIVQYLGSGRSLSGNELAAEVWKDLWPIQRRRQREFFCFGMDILLKLDLQATRRFFDAFFDLEPHYWHGFLSSRLFLPELIFFGLSLFSHASNTSRIEIMTKGTLPLVNMINNLVQDRE >Manes.13G055333.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6423320:6424750:1 gene:Manes.13G055333.v8.1 transcript:Manes.13G055333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLQSLSRTSFLIVPFIFWRILCFLLNLGKQVSFLVGSGNVYDPGSLISKLATWNYSQYHSPESDSDSADFSGPFHTGTSPDCFIHFGFLKSKANALCPKTVCI >Manes.13G053000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6166092:6167123:1 gene:Manes.13G053000.v8.1 transcript:Manes.13G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSFPTLFLIFLFSASLLLSPSSSQKCISQKFKTDKIFANCTDLPVLDSYLHFTYNSSNSSLSIAFIAAPEKPEGWISWAINPTATGMIGSQAFIAFTSDGSLVVNTYNISSYKRRPKVSKLSFDVWDLGAESDGKNIVIFASVKLPENAQSLNQVWQVGTHVRDGVPRKHHTADANKKSTGLLELVATSSPAPSGAPGSASAPTPSAENSGSFSGIGKLDMILFVGLFVLLGI >Manes.12G005600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:666555:669006:1 gene:Manes.12G005600.v8.1 transcript:Manes.12G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATTAEVCDANPQLIVSGELRALQPIFQIYGRRQVFSGPVVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALASHPMKANKKGIGEKHVPITIGGTRICDGEWLYADTDGILISRTELSV >Manes.11G047400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5039487:5040773:1 gene:Manes.11G047400.v8.1 transcript:Manes.11G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKLQKSLQACLSKIKNPTPPSMSSSKNWVFSGCKSPKTPSFATERTLNEGRNRDGAATLSDIDRFLFENFKSLYIKNEDEDRHRQTKRGQGEEKEEDGDCARVQSPLGVFYYDESPRLFDIPPDLCGSHRFFVTASSSSSLIEEARLSLTATSEEGESSSSSNSNTIIDSTKKCNSNSTDVKNINVALPDDCITVIKYSKNPNDDFRQSMQEMVKARLQQKEKIDWDFMEELLFSYLDLNDKKSHKFILSAFVDLIVDMRQRSYKIPAKSRRSCRIARERIRRRKLRNVT >Manes.15G140600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11408790:11419308:-1 gene:Manes.15G140600.v8.1 transcript:Manes.15G140600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYSHYCKQTSPQTAMESHIHMHDDARKSPANRLRGKVAVITGGARGIGAATAILFAENGANVVIADILDDLGTSLAYSIGGKYIHCDVSKEDDVESAINLALAWKGKLDIMFNNAGVSGPDGSITNLDMEKTKQVFSVNVNGNLHGIKHASKVMIEGQNGGCIICTASSAAVVGGLGSHPYTLSKGAIIGLMKSTACELGVHGIRVNCISPHGVPSEMLVSAYRRILGKEDMQPEEVGKIIGERGSLLRGRYASVEDVAQAALFLASEESGFITAHNLVIDGGYTSANNNMAFIYRLSK >Manes.15G140600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11408790:11419308:-1 gene:Manes.15G140600.v8.1 transcript:Manes.15G140600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYSHYCKQTSPQTAMESHIHMHDDARKSPANRLRGKVAVITGGARGIGAATAILFAENGANVVIADILDDLGTSLAYSIGGKYIHCDVSKEDDVESAINLALAWKGKLDIMFNNAGVSGPDGSITNLDMEKTKQVFSVNVNGNLHGIKHASKVMIEGQNGGCIICTASSAAVVGGLGSHPYTLSKGAIIGLMKSTACELGVHGIRVNCISPHGVPSEMLVSAYRRILGKEDMQPEEVGKIIGERGSLLRGRYASVEDVAQAALFLASEESGFITAHNLVIDGGYTSANNNMAFIYRLSK >Manes.15G140600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11408790:11419308:-1 gene:Manes.15G140600.v8.1 transcript:Manes.15G140600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAYSHYCKQTSPQTAMESHIHMHDDARKSPANRLRGKVAVITGGARGIGAATAILFAENGANVVIADILDDLGTSLAYSIGGKYIHCDVSKEDDVESAINLALAWKGKLDIMFNNAGVSGPDGSITNLDMEKTKQVFSVNVNGNLHGIKHASKVMIEGQNGGCIICTASSAAVVGGLGSHPYTLSKGAIIGLMKSTACELGVHGIRVNCISPHGVPSEMLVSAYRRILGKEDMQPEEVGKIIGERGSLLRGRYASVEDVAQAALFLASEESGFITAHNLVIDGGYTSANNNMAFIYRLSK >Manes.10G007100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:854561:856548:1 gene:Manes.10G007100.v8.1 transcript:Manes.10G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRFSLTTAALDSIFRHHHLRLLTTESNQPLPPPPSPVNPDHLLRVCTILYQQQYSEDSRLHSKLSSCGFHITHEFFLQVCNKFPYSWRPVYRFFQYIQQTAHSQFAHTSVSFNKMLDVIGKSRNLDLFWDTVQEMGNLGLVNDKTFIIVLKTLAAARELKKCVQFFHLMNGYRCEYSVERLNKVVESLCRSKLVEEAKFVVMKLKECIKPSGVTYGLLVKGFCDVGDMIEASKLWNLMVDEGFEPGIDVFEKMMETFFKRNEYDEAMKVFQTMRVKRMDDLGLSTYRLVMDWMCKRGKIAQAKMMFDEMSKRGIEADNLTIGSLIYGLLARGRVNEAYIVAESIDRPDISVYHGLIKGLLRLRRASEATQVFREMIKRGCEPTMHTYIMLLQGHLGKRGRKGRDPLVNFDTIFVGGLVKAGKSLEATKYVERTMKGGLEVPRFDYNKFLHYHSNEEGVIMFEEIGKKLREAGLVDLADIFERYGKKMATRERRRNGEVEP >Manes.03G211600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32700424:32704514:1 gene:Manes.03G211600.v8.1 transcript:Manes.03G211600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKVCHSFSKTLIEEVHRWGCMRQTGVSLRYMMEFGSRPTDKNLLISAQFLHKELPIRIARRAIELESLPHGLSEKPAVLKVRDWYLDSFRDLRSFPDIKDTDDEREFTQMIKAIKVRHNNVVPMMALGVQQLKKGMDPKIVYEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPHCIGCIHTKMSPVEVARNASEDARAICLREYGSSPNVNIYGDPNFTFPYVPAHLHLMVFELVKNSLRAVQERYMDADDVAPPVRIIVAEGIEDVTIKVSDEGGGIPRSGLTKIFTYLYSTAKNPLDEHADLGTADAVTMAGYGCGLPISRLYARYFGGDLQVISMEGYGTDAYLHLLRLGDSQEPLP >Manes.06G121100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25227089:25228616:1 gene:Manes.06G121100.v8.1 transcript:Manes.06G121100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCSASNQGKETEEEPHQQCKHFQQQCDSTKQLKPRTLYRSSTDSRVMIKSLYLNDHSPRTLMSSLQNRKSPLDGGGVWKVRYNDLALEEILRERRAAIESGKLKGRRLFEAAEGASEVGSGENQEIIFGGREVFVHDQCNEVRSVFSYDSDDGKEYIESKEEELYPVDCHSSSCASSSYICDDCIEVAVANERYQDMSLDRWKFIKGWLPIALILLTCAIGITSVKMLCGNGKAKEVILVPT >Manes.10G087901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22426439:22428130:-1 gene:Manes.10G087901.v8.1 transcript:Manes.10G087901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGEEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELRHYAPGVPVILVGTKLGNNLAVIPSSLWY >Manes.06G094200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22923011:22926550:1 gene:Manes.06G094200.v8.1 transcript:Manes.06G094200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGSFLKVVLKNFDVLAGPVVSLVYPLAIETRSSVDDQQWLTYWVLHSMITLFELTFAKVIEWIPIWSYAKLILTCWLVIPYFSGAAYVYQHFMRPLFVNPQQTINVWYVPRQKDVIRNTDDILTAAERYIDENGTEAFEKLIHRGNKSRSNGYTNKIFDEDYRY >Manes.06G094200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22923011:22926550:1 gene:Manes.06G094200.v8.1 transcript:Manes.06G094200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGSFLKVVLKNFDVLAGYASIRAIETRSSVDDQQWLTYWVLHSMITLFELTFAKVIEWIPIWSYAKLILTCWLVIPYFSGAAYVYQHFMRPLFVNPQQTINVWYVPRQKDVIRNTDDILTAAERYIDENGTEAFEKLIHRGNKSRSNGYTNKIFDEDYRY >Manes.06G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22923011:22926550:1 gene:Manes.06G094200.v8.1 transcript:Manes.06G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGAGSFLKVVLKNFDVLAGPVVSLVYPLYASIRAIETRSSVDDQQWLTYWVLHSMITLFELTFAKVIEWIPIWSYAKLILTCWLVIPYFSGAAYVYQHFMRPLFVNPQQTINVWYVPRQKDVIRNTDDILTAAERYIDENGTEAFEKLIHRGNKSRSNGYTNKIFDEDYRY >Manes.12G128600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33558116:33561364:-1 gene:Manes.12G128600.v8.1 transcript:Manes.12G128600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINQLNYFKQVVNTLRMGLTPFTVANCVTVGSPVRFQSRHFGPFVSNSQLRRLYSIKSLLQPHNQTRLVMQNEIQNKPRILGLHGFRTSALIFKNLIARWPDTVLKKLDIQFLDGPFPAQGKSEVEGFFDPPYYEWYQANQDFTEYRNFDECVAYIEEYMINHGPFDGLLGFSQGAMISASVPGMQAQGVAFTRIPKIKFLIMISGAKFGGYKFGQPKLGANAFSSPIDCPSLHILGEKDFLKPGGIDLLKSFVNPVVIHHPKGHIIPRLDDISQNTMLSFIEQIQKMPSHD >Manes.02G044476.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3658414:3658790:1 gene:Manes.02G044476.v8.1 transcript:Manes.02G044476.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICNANLKTSWIESNSNKRFYGCRNYGNRNYCGFFQWIDESFSNLQNRKTAAINSRVKKLLIIATCCKFYIIFEKIVIGK >Manes.08G146000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38749879:38753407:-1 gene:Manes.08G146000.v8.1 transcript:Manes.08G146000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPFFLMGLVLLWGAIAEAEYIKYKDPKQPINARIKDLMKRMTLEEKIGQMTQIERSVASAEVMKKYFIGSVLSGGGSVPVKQASAETWIKMVNDFQNGSLSTRLGIPMMYGIDAVHGHNNVYKATIFPHNVGLGATRDPELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPQVVRAMTEIVPGLQGEIPAGSRRGVPFVAGKTKVAACTKHYVGDGGTTNGINENNTVISRHGLLSIHMAGYYNSVIKGVSTVMVSYSSWNGVKMHTNRDLITGFLKNTLRFRGIDRITSPPHANYSFSIQAGISAGIDMIMVPFNYTEFIDGLTDQVKRNIIPMSRIDDAVKRILRVKFTMGLFENPIADETLVNQLGSQEHRELAREAVRKSLVLLKNGKSADKPLLPLPKKASKILVAGSHADNLGYQCGGWTIEWQGLGGNNLTSGTTILTAIKNTVHPSTEVVYKENPDSQIVKSDKFSYAIVVVGETPYAETQGDSMNLTIAEPGPRTIQNVCGAINCVVVIVSGRPVVIQPYVKLMDAVVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKTVDQLPMNVGDRHYDPLFPFGFGLATQPIKA >Manes.08G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38749879:38753407:-1 gene:Manes.08G146000.v8.1 transcript:Manes.08G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPFFLMGLVLLWGAIAEAEYIKYKDPKQPINARIKDLMKRMTLEEKIGQMTQIERSVASAEVMKKYFIGSVLSGGGSVPVKQASAETWIKMVNDFQNGSLSTRLGIPMMYGIDAVHGHNNVYKATIFPHNVGLGATRDPELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPQVVRAMTEIVPGLQGEIPAGSRRGVPFVAGKTKVAACTKHYVGDGGTTNGINENNTVISRHGLLSIHMAGYYNSVIKGVSTVMVSYSSWNGVKMHTNRDLITGFLKNTLRFRGFVISDWQGIDRITSPPHANYSFSIQAGISAGIDMIMVPFNYTEFIDGLTDQVKRNIIPMSRIDDAVKRILRVKFTMGLFENPIADETLVNQLGSQEHRELAREAVRKSLVLLKNGKSADKPLLPLPKKASKILVAGSHADNLGYQCGGWTIEWQGLGGNNLTSGTTILTAIKNTVHPSTEVVYKENPDSQIVKSDKFSYAIVVVGETPYAETQGDSMNLTIAEPGPRTIQNVCGAINCVVVIVSGRPVVIQPYVKLMDAVVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKTVDQLPMNVGDRHYDPLFPFGFGLATQPIKA >Manes.17G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2431746:2435418:-1 gene:Manes.17G003900.v8.1 transcript:Manes.17G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRMLEIQLRRIGAFAAEEKISSHPNFDDSFKILWANHGDDISIQYSGTPALKGDFVRCGQRTAQGILKDGWNALARYYFNNFSDGVKQDAIDLLHGHYIMSVSRDMTPPSQTGGLENIASFPLALSLVLTGFFFTLMSLRQVRYDLRHLIFSTIWAGLTVAIAAFVRANGRIFCNRPRLHKPGH >Manes.12G060110.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5253211:5255000:1 gene:Manes.12G060110.v8.1 transcript:Manes.12G060110.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENKRSPSKSKDIILKAIEDLVSVNTLFIAAVFIGLAFADPQQRSLEINRPECNADMKVIKRLVVYEVVSFSCFLLSTMAAKSIKVYLHIFYPDDPDNDKKSVSTEPDKPRLDGKKVRLFDVKRGLIFAISITASVAGILFFALSIFFVIEIKLGKLSCGIHETRVASLTLLILVVISLLIYLPFMLIALVHCMIEP >Manes.07G138900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34123551:34127383:-1 gene:Manes.07G138900.v8.1 transcript:Manes.07G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSRRRTRRPLSDCTNSIDSLNTSQSSSTSTKHSSSIIKPSKFLPTTTTKPTETQKQSTTGCTPQNDNPPSNPSTVSLSTPPRAGTASHKVSEPCSVYTRRQSADKRKSKGKEVAVPMSCFPAVKTRCTRGEGNEGGVTKLSKSCTLPSKKKRRQTKEDGASHALPQDFIEQQRAYFAEIDAFELPEEEVTSVDDLD >Manes.16G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32196872:32200458:1 gene:Manes.16G118300.v8.1 transcript:Manes.16G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGREVSISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVLDLCSKQNISEIYLHVQTNNEDAINFYKKFGFEITDTIQNYYTNITPPDCYVLTKYITQTKK >Manes.16G118300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32196880:32200458:1 gene:Manes.16G118300.v8.1 transcript:Manes.16G118300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGREVSISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVLDLCSKQNISEIYLHVQTNNEDAINFYKKFGFEITDTIQNYYTNITPPDCYVLTKYITQTKK >Manes.01G182601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36061290:36063046:1 gene:Manes.01G182601.v8.1 transcript:Manes.01G182601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKRRSSESMANNSSAPSPPLVSNNIVKDITSRLPIKSIKRFQSVSKAWYFLFNSNDFISTHFRRSSSHPSLLIRRFHNPTGSKFSLCLMDNQTAINREVRIPFLGCLVRYPRIVESCNGIICIDISPCHACGFVLWNISTRQFRGLPRARINDAHKPIWMVATGFGYSRETNDFKLVRAVNFHCNVDESPLLSAEVYSWRTGSWKLLDERMIEERIGSCVIPGGQQAVTVDGSMHWVANGVGNLANRKYIVSFDMGNEEFRRIQILDRLPSAICAKVVGFKESLAVALYPAKSVYPGYGTPLNRMELWTLDKDYTSYNDSTCWTKLHMIELHSSGLSIPIGVHNDSQLLVKRVDPQCVSLSLFDPDNKTIKTLPICSSDYTCEFYSYVESLVPVANAGDVEVENAQE >Manes.08G014900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:97307:103032:-1 gene:Manes.08G014900.v8.1 transcript:Manes.08G014900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTKSIPSSTLVHSCCSLVHGQHLECGASKMEPINGGNSLNNPSLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKETGDMLSNLDGSSGMQITEALRLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGGLGEVPGAGVSAPVSGDNFPESNSKTDPATPAPTSESPVQNKAAKECAPAKSHSIDESLSSRHEPLTPDSRCNVGSPAESPKGERSAKKLRACLDTTYAKPEMIFPHQILESSLNSYPQPHSIFRTTGHFNPSSGLSVGSEDDIEKVSGNDH >Manes.08G014900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:97307:103030:-1 gene:Manes.08G014900.v8.1 transcript:Manes.08G014900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTKSIPSSTLVHSCCSLVHGQHLECGASKMEPINGGNSLNNPSLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKETGDMLSNLDGSSGMQITEALRLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGGLGEVPGAGVSAPVSGDNFPESNSKTDPATPAPTSESPVQNKAAKECAPAKSHSIDESLSSRHEPLTPDSRCNVGSPAESPKGERSAKKLRACLDTTYAKPEMIFPHQILESSLNSYPQPHSIFRTTGHFNPSSGLSVGSEDDIEKVSGNDH >Manes.16G113900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31943468:31950866:-1 gene:Manes.16G113900.v8.1 transcript:Manes.16G113900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHVTLEVGNDGVAVISMCNPPVNALAIPIIAGLKEKFDEATRRNDVQAIVLTGKYGKFSGGFDINVMQKVHQTGDVSLVPDVSVDLVVNTIEDCKKPVVAAVEGLALGGGLELAMGCHARVVAPKTQLGLPELTLGIIPGFGGTQRLPRLVGLSKAIEMMLSSKPITSEEGKKLGLVDIIVSSQELLKVSRQWALDIKERHKPWMRSLYRTDKICSLSEARQILKAARQQAKKTAPNMPQHQACLDVIEEGVVHGGYNGVLKEAKVFKELVISDTSKGLIHVFFAQRATSKVPNVTDIGLKTRQIKKVAVIGGGLMGSGIATALIVSNIYVVLKEINSEYLLKGIKMIEANVRGLVTRGKLTQDKRDKALSMLKGVLDYSEFRDVDMVIEAVIESIPLKQKIFSEIEKACPTHCILATNTSTIDLNIIGEKTSARDRIIGAHFFSPAHIMPLLEIVRTEKTSAQVILDLLTVGKSIKKVPVVVGNCTGFAVNRTFFPYSQGAHILVNLGVDVFRIDRLISTFGLPMGPFQLQDLTGYGVAVAVGKEFANAFPDRTFLSPLVRLLVESGRNGKNNGKGYYIYEKGSKPKPDPSVIPIIEESRRVTNIMPNGKPINVTDQEIVEMILFPVVNEACRVLDEGVVVRASDLDIASVLGMSFPSYR >Manes.16G113900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31943468:31950866:-1 gene:Manes.16G113900.v8.1 transcript:Manes.16G113900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHVTLEVGNDGVAVISMCNPPVNALAIPIIAGLKEKFDEATRRNDVQAIVLTGKYGKFSGGFDINVMQKVHQTGDVSLVPDVSVDLVVNTIEDCKKPVVAAVEGLALGGGLELAMGCHARVVAPKTQLGLPELTLGIIPGFGGTQRLPRLVGLSKAIEMMLSSKPITSEEGKKLGLVDIIVSSQELLKVSRQWALDIKERHKPWMRSLYRTDKICSLSEARQILKAARQQAKKTAPNMPQHQACLDVIEEGVVHGGYNGVLKEAKVFKELVISDTSKGLIHVFFAQRATSKVPNVTDIGLKTRQIKKVAVIGGGLMGSGIATALIVSNIYVVLKEINSEYLLKGIKMIEANVRGLVTRGKLTQDKRDKALSMLKGVLDYSEFRDVDMVIEAVIESIPLKQKIFSEIEKACPTHCILATNTSTIDLNIIGEKTSARDRIIGAHFFSPAHIMPLLEIVRTEKTSAQVILDLLTVGKSIKKVPVVVGNCTGFAVNRTFFPYSQGAHILVNLGVDVFRIDRLISTFGLPMGPFQLQDLTGYGVAVAVGKEFANAFPDRTFLSPLVRLLVESGRNGKNNGKGYYIYEKGSKPKPDPSVIPIIEESRRVTNIMPNGKPINVTDQEIVEMILFPVVNEACRVLDEGVVVRASDLDIASVLGMSFPSYRGGIVFWADTVGPKHIYTSLKKWSQLYGNFYKPSRYLEERASKGMLLSAPASSSRSRM >Manes.16G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2168506:2174499:-1 gene:Manes.16G019500.v8.1 transcript:Manes.16G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLMFGNIKRVARSIILSQKNSQSELRKYGTVVTVGLHSPHYRFYAQYRFPSRGHSSFEFCGVKDHVCRNYFSRKYSVVSASNAVTHHAQLAWKRLFRKGPANDRTFPNISRIAQAVSLALTRSHLVIPGAFAVTCGQVAWAQRTLAETDYYPLPNSFYMRAQDGRAYVVSLVLAIVEGFVLLVRALYLGILFSPSLIMAPFADSCGPQFRKMWLQVVHRTLEKAGPAFIKWGQWAATRPDLFARDLCTKLSELHTKAPEHSFAYTRKTIERAFGRKLSEIFEGFEEVPVASGSIAQVHRASLRFRYPGQKQAKPIIVAVKVRHPGVGESIRRDFEIINLVAKISNFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEQGECVSHYVDEIEGQNRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSQKKSSRKGLFKSKPHVIFLDVGMTAELSKSDRVNLIEFFKAVARRDGRTAAECTLRLSKQQNCPDPKAFIEEVEEAFTFWGTPEGDLIHPAECMQELLEKVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKSLSYTIDGLMAP >Manes.16G019500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2168506:2174499:-1 gene:Manes.16G019500.v8.1 transcript:Manes.16G019500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLMFGNIKRVARSIILSQKNSQSELRKYGTVVTVGLHSPHYRFYAQYRFPSRGHSSFEFCGVKDHVCRNYFSRKYSVVSASNAVTHHAQLAWKRLFRKGPANDRTFPNISRIAQAVSLALTRSHLVIPGAFAVTCGQVAWAQRTLAETDYYPLPNSFYMRAQDGRAYVVSLVLAIVEGFVLLVRALYLGILFSPSLIMAPFADSCGPQFRKMWLQVVHRTLEKAGPAFIKWGQWAATRPDLFARDLCTKLSELHTKAPEHSFAYTRKTIERAFGRKLSEIFEGFEEVPVASGSIAQVHRASLRFRYPGQKQAKPIIVAVKVRHPGVGESIRRDFEIINLVAKISNFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEQGECVSHYVDEIEGQNRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSQKKSSRKGLFKSKPHVIFLDVGMTAELSKSDRVNLIEFFKAVARRDGRTAAECTLRLSKQQNCPDPKAFIEEVEEAFTFWGTPEGDLIHPAECMQELLEKVRRHKVNIDGNVCTVMVTTLVLEVI >Manes.16G019500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2168506:2173910:-1 gene:Manes.16G019500.v8.1 transcript:Manes.16G019500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNIKRVARSIILSQKNSQSELRKYGTVVTVGLHSPHYRFYAQYRFPSRGHSSFEFCGVKDHVCRNYFSRKYSVVSASNAVTHHAQLAWKRLFRKGPANDRTFPNISRIAQAVSLALTRSHLVIPGAFAVTCGQVAWAQRTLAETDYYPLPNSFYMRAQDGRAYVVSLVLAIVEGFVLLVRALYLGILFSPSLIMAPFADSCGPQFRKMWLQVVHRTLEKAGPAFIKWGQWAATRPDLFARDLCTKLSELHTKAPEHSFAYTRKTIERAFGRKLSEIFEGFEEVPVASGSIAQVHRASLRFRYPGQKQAKPIIVAVKVRHPGVGESIRRDFEIINLVAKISNFIPTLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEQGECVSHYVDEIEGQNRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSQKKSSRKGLFKSKPHVIFLDVGMTAELSKSDRVNLIEFFKAVARRDGRTAAECTLRLSKQQNCPDPKAFIEEVEEAFTFWGTPEGDLIHPAECMQELLEKVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKSLSYTIDGLMAP >Manes.11G017526.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1860121:1861964:-1 gene:Manes.11G017526.v8.1 transcript:Manes.11G017526.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERELANWLKDYVGRNEVDNCIYQIAQGPSRKVQSYKGYFVNGFKFHRHDYGRERKTLNSGVWVKGSCYNEYESDYYGLLNDVLELEYFGEKNKIILFKCEWFDTNRGVRVHPQHGLVEINVKLRLASSDPFILAQQAHQVCYIKYPKINKVRVDWCAVFKTKARSTYNIGPSMVNNNSNEQNSNDVAYQEDDVSRPQEIVPTTELDDPTMLLDSSSMVEVDVNELQQVQQPLEVVEDEDEDVEEEEEGEDEEEEEDTEESDDDLEVDGIDSDDDVNLEDDSE >Manes.10G067800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10178959:10183755:-1 gene:Manes.10G067800.v8.1 transcript:Manes.10G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPSSEKAADLLQNLSLDSEAKTFEIPEPTKKTSVYQYGGVESGIPANGQIQSSERSVTPFLPDLMDPTMCYLPSGYPSPAYYYGYNGNGNEWDDYSRYAHTDGVEMSSGVYGDNGPVMYHNGYGYAPYGPYSPAASPVPTLVNDGQIYGPQHYQYPAYFQPLTPNSSSFTPSQAVSIQGELTSSTAPDQKPKLAETKVNSSTIVNGGGLKGNNGSIPTKPTYQNSLLNLNNTNGRGIMPGGIPAAGYQDPKFGFDGKYRSTGIASSFLKANNTPSSRNHNFRQNSSYMGLHHLAPISGMGSTHGYINGMYPNKFYGQYGNTFRSSMGIGSTGYDSRINANGWLAIDSKYKPRGRGNGYFGYRNEGIDGLNELNRGPRARGFKNQKGFTPVTLVVKGQNVPSIETVTEGKDETVVTPDKEQYNKADFPEDYVDAKIFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKSSGCPVFLFFSVNTSGQFVGLAEMVGPVDFHKNVEYWQQDKWTGCFPLKWHIVKDIPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLKMFKIFKDHSSKTCILDDFGFYERRQTLIQEKKAKQQQLQKQVLEGKLEGERKVENVSHSALEVERCITKLGSDATQGLVSTAQSNGDSNHSENGPVAKAGDASENQNIGNGIVNVC >Manes.14G007252.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:344985:347896:1 gene:Manes.14G007252.v8.1 transcript:Manes.14G007252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMAKNNKMIDNCQHGQSQLKNSADVSSTSNSYTAKKGNSFNLPPRNVRDDGHYYECVICDNGGDLLCCDTCPCTYHLQCLNPPLESIPSGNWQCENCSQDADLLTPLRSLRSLKENASSSKNAVNHIGEQIGTQRVKEFKVYRRHARKKGGEKEEDDGLRKLTVVCGPESANENQKDKPPMDTSGLFNCSSIEPESAEQANEVMEAKDASRQPKESNQKPVEEQGRAMVYQAMNSSVCAQSPNANQYDDLWFLRNVVQKSIEGHYFMQARREMQQILHPKFTQSSRAKKEKFARLRARQKQKIALRNSSRGLIPSSGLDGRAPLHFHMESAIDLKEPILQKPLLMKEKKALIDQLHSKASDQKYTHLNGFRNLSSTVDPRRLQYRQINSGRLPCFSSYQASDARFSEINLNHQEIGNGDVTLQELDLRAEPQGGQLHPRSSASNLWSVLQPSKNFDSMILKMSSFNSNYISKDHGMVDVWLEEELDSLWMGIRRYGQGNWEVMLRDPSLTFSKHKTIDDLSRRWNKEKLKIINPGNGSTILPSSVFRDSTDQRKNYFQVPDTTLPLLKDRLPSSWGRKVQENLLVGGLINIPKFKIDSEASEDSIQTHHHEGKELSSEGTISD >Manes.15G070900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5368548:5375487:-1 gene:Manes.15G070900.v8.1 transcript:Manes.15G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKSKGADTSAKSVVSKKWSLLLCIGCFCGGMLFSDRIWASPEIKGISRTTRVEDEKLKLVSEGCAPETKDVKRESEDIMGEVSKTHQAIQTLDKTISNLEMELAAARATQESVVNGSPVSNNLKLTRSNGKRKYLMVIGINTAFSSRKRRDSVRSTWMPQGDKRKKLEEEKGIIIRFVIGHSATAGGILDRAIEAEDEKHGDLLRLEHVEGYLELSAKTKMYFATAVALWDADFYVKVDDDVHVNIATLGATLARHRSKPRVYIGCMKSGPVLAQKEVKYHEPEYWKFGEEGNKYFRHATGQLYAISNGLATYISINQHVLHKYANEDVSLGSWFIGLDLEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGVCKSVERMKEVHQRCGEGENSLWSAEF >Manes.02G066600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5083547:5085075:1 gene:Manes.02G066600.v8.1 transcript:Manes.02G066600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLLYKPPLLLVSSTPPCQNTTNLSSTFPMDCSTFSLRTFSYVKVTNPGAGPTKSLFPSKFSTPSLTQSSTLLLSNTSSFSNFSVPKRSFSYRSQTSSSDDTRPTKVQELNVYEFNERDRDSPVYLRLSQKPVNSLGDLVLFTNKLYTGDLKKRIGITAGIGVLIQNKPEKGDRYEAISSFYFGDYGHIAVRGPYKTYEDTYLAVTGGSGIFQGVYGEVKLHQLVFPLKLFYSFSLKGIKELPEELLGTLVDPQPSVEPHPDAKAGHSHAAIANFTD >Manes.12G089200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:14038975:14040593:-1 gene:Manes.12G089200.v8.1 transcript:Manes.12G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTICADQHKLYPSHQLFSSSSSSSSSYYKKPFKDLEIPPRKLLLTKKAFQDSPDNIMSPYYEPNLHNFLHYNDPIDCDHGHVHDHHSRGKVADEDMDPYSSDNFRMYEFKIRRCTRCRSHDWTDCPFAHPGEKARRRDPRKYHYSGTVCSEFRRGGCSRGDSCEFAHGVFECWLHPSRYRTEACKDGKNCKRKICFFAHSPRQLRILPEASCVGGKYDESLVTCSLNHGHCCCLACHSVISSPTSTLLGISQMSPPVSPSLSPPLSPMKQRSISGLSQNSRFGDRLSNLRSGIVSYKDVLAELMTSLDAMNLNNDAAAAASSLISFSAANNRNMNIPCIGEDHHQQLILSPSTTEPRGGSRNSFNGNYSSKGSIDEKIKNDGKNGGPGPDPDLDLGWVNELLM >Manes.05G148000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25005723:25007674:1 gene:Manes.05G148000.v8.1 transcript:Manes.05G148000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEKGVVIIKRAEIDTRAPFRSVREAVTLFGEKVLAGELYSNKLKEIGAMENGQGSSKLETVTAELEETKQSLQKAKEESTVMADCLSSLKEELERTKRELQQLKLREIEDVKVVEDSTKFELKMQSTKEEEEEEEERIEFQKKRYVTFANPPSLAQVKIPQGLEALERHPSLRKKKKKPLIPLIGGIFSKKKSNQKLNSP >Manes.05G148000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25005723:25007674:1 gene:Manes.05G148000.v8.1 transcript:Manes.05G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEKGVVIIKRAEIDTRAPFRSVREAVTLFGEKVLAGELYSNKLKEMQIGAMENGQGSSKLETVTAELEETKQSLQKAKEESTVMADCLSSLKEELERTKRELQQLKLREIEDVKVVEDSTKFELKMQSTKEEEEEEEERIEFQKKRYVTFANPPSLAQVKIPQGLEALERHPSLRKKKKKPLIPLIGGIFSKKKSNQKLNSP >Manes.06G173850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29775548:29784247:1 gene:Manes.06G173850.v8.1 transcript:Manes.06G173850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLITTSLGDIVVDLFTEKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGSGTGGDSVYKFLYGDQARFFSDEIHLDLKHSKTGTVAMASAGENLNASQFYFTLRDGLDYLDGKHTVFGEVAEGLDTLTRINEAYADEKSRPYKNIRIKHTYVLDDPFDDPPQLAELIPDSSPEGKPNDEVDDEVRLEDDWVPMDEQLGTAELEEVLRAKEAHSSAVVLESIGDIPDAEIKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVVSAEIIRDYKTGDSLCYAFIEFETKEACEQAYFKMDNALIDDRRIHVDFSQSVSKLWSQYRRKGSQSSKGGGCFKCGAPDHMAKDCTGVPANKQLQPPKYVLKDDNQQRGGSNNSRYEMVFDGDTPGSPRQEARNGRHEPERQKYRRSSEDLKHTDEEKKYSSDRYRHSDIDRGHKEDDRHNGRRGSRYPEEQTGRRKERDDDGRYSRDYRKRSSDIEGRGDERGKESYRKRSAGDEHRREELGEATDSKRRHVDGDGRERRDEPSRRNYDGHKNRREERENRYQGQSFDDHEGDYRRHRTDRRR >Manes.09G132000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33339595:33341529:-1 gene:Manes.09G132000.v8.1 transcript:Manes.09G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLIGTLQGKQRRHRQIRLISDKVFNRIKNESGRPGLSFEDLYIAVLLIYNDINKRLPGPHFDPPTKDQVRAMIQECDINLDGEIDHEEFVKFIQQLTTDTFVVVSQGLILTLIVAPTLAMTTKRATEGVPGVGKLIQKLPNSIYASLVTLAIVWFQNARQDLD >Manes.02G189200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15128595:15130746:1 gene:Manes.02G189200.v8.1 transcript:Manes.02G189200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPKQNSKLLFFYLPILACFFPCICNSSEQNGGVDDENIHGMFVFGSSLVDNGNNNFLQNSRAKANYLPYGIDFPFGPSGRFTNGKNVIDLLCDQLHLPLIPAFADPSTRGRRIIHGVNFASGASGILDDTGSLAGEVISLNQQMRNFEEVTLPELEIEMGMQTRKLLRKYLFVVGTGGNDYSFNYFLRPFNETVSLQVFTANLTDSLSKQLEKLYNLGGRKFVLMSVNPIGCYPMVKAIQGARNGSCNEALNSAAHLFNAHLKSLVDATKPKLPGSDLVFINSYKIIEDIIKNPVSRGFKDTSNACCEVESLSKGGNGMLCKKDGNLCEDRSNHVFFDGLHPTEAVNVLLATKAFSSTTKSEAYPMNVKQLVMY >Manes.02G189200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15128595:15130746:1 gene:Manes.02G189200.v8.1 transcript:Manes.02G189200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPKQNSKLLFFYLPILACFFPCICNSSEQNGGVDDENIHGMFVFGSSLVDNGNNNFLQNSRAKANYLPYGIDFPFGPSGRFTNGKNVIDLLCDQLHLPLIPAFADPSTRGRRIIHGVNFASGASGILDDTGSLAGEVISLNQQMRNFEEVTLPELEIEMGMQTRKLLRKYLFVVGTGGNDYSFNYFLRPFNETVSLQVFTANLTDSLSKQLEKLYNLGGRKFVLMSVNPIGCYPMVKAIQGARNGSCNEALNSAAHLFNAHLKSLVDATKPKLPGSDLVFINSYKIIEDIIKNPVSRGIIGSSYVKRSAPFANF >Manes.10G145500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31283333:31285445:-1 gene:Manes.10G145500.v8.1 transcript:Manes.10G145500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNLLANLPSQRADQFLITVLTSLIQVKYQFEEVSAFEKHNIIIPILIVALIMYGISWAIEVKLRANHLNYQTIAGSISLLSSSLVIVMLMLMLVPYFGWLLLASWAILLIWVSWKSYKEMHQLLLEAFNGLKKLILDIRHQILEQPNQFPLVASELAHNSISIVDEGMGSEVAALPPP >Manes.10G145500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31283333:31285057:-1 gene:Manes.10G145500.v8.1 transcript:Manes.10G145500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGISWAIEVKLRANHLNYQTIAGSISLLSSSLVIVMLMLMLVPYFGWLLLASWAILLIWVSWKSYKEMHQLLLEAFNGLKKLILDIRHQILEQPNQFPLVASELAHNSISIVDEGMGSEVAALPPP >Manes.07G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2848892:2851245:1 gene:Manes.07G026700.v8.1 transcript:Manes.07G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEQAPPGDQKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRTDLIAYLKEATA >Manes.10G089100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22951509:22967185:-1 gene:Manes.10G089100.v8.1 transcript:Manes.10G089100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRLTAEKKATTSRGVAGYEKLESSENGIDQAEKDKKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVKIGSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.14G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13455102:13456046:-1 gene:Manes.14G145400.v8.1 transcript:Manes.14G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRETLAWLLFIICCQALSYCACEVAFIPHHNSPMTRPKQLMEVHKSMAEPKSKPYQKILERRGLHEVDAKNFQKLAKGVYGGTDNLRPRSKSKSGATSLLLNSSAFFSAVLGLIVFVVFF >Manes.14G145400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13455102:13456046:-1 gene:Manes.14G145400.v8.1 transcript:Manes.14G145400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRETLAWLLFIICCQALSYCACEVAFIPHHNSPMTRPKQLMVHKSMAEPKSKPYQKILERRGLHEVDAKNFQKLAKGVYGGTDNLRPRSKSKSGATSLLLNSSAFFSAVLGLIVFVVFF >Manes.16G000700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:64003:65667:-1 gene:Manes.16G000700.v8.1 transcript:Manes.16G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQLLSMGFPSDLAAQALAATGGKSTLKATEWILSHKSCDTSNKPPSNPTPSPLQPKLDRFFHLQSKPNSSSGSPSIPIPAEQQEEDGPILLPSKRPRLFQSVTNPCSPAVHNNKHHPPHEPLSERMRPRTIDDVVGQDHLLAQNCILRSAIDCNRLPSIILWGPPGSGKTSIAKAIANSFPQDQNSSYRFVFFSAVTCGVKDVRDAVENARKVKVKNGKRTVLFVDEVHRFNKSQQDSFLPVIEDGSIVFIGATTENPSFHLITPLLSRCRLLTLCPLKPQHVQKILNRAINHSDMGLTQILGMRIEVTEDAIQFLSENCDGDARVALNALEISAITASTRTNSNDNFSLVANVTLDDAKEALQFKHFAYDKAGEEHYNLISALHKSMRGSDADASIYWLARMLEGGEQPLYVARRLIRFASEDVGLADPLALNQAVACYQACHFLGMPECNVILAQCVAYLALAPKSISVYQAIEAAQKVVRESTGQNEGVPLHLRNAPSKVMKELGYGKGYIYTPDNPLSTQTYLPSSLEGYKFLDWSVSNAKDWQETN >Manes.06G079500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21543884:21548298:-1 gene:Manes.06G079500.v8.1 transcript:Manes.06G079500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRFCHGNVGHNCDSKLFEYNKNIALDSTGIMSGKRIVNGDQYRDFSNMNCKEGNTDQLKYAVDEDGRAVSKLDCSMSVDDSTSDNEKEFRNLVPPMPLIHSSLKIESFEKEPVLCADKSVMESELPDLVVCYEENTCHVVKDICVDEGVAFQHRFLFDPGSGEDKLCKILPAEDIKSEIAKERVDLDLCIPGILKSLTEKEKSAVCLPIPDVLISSEKKGCENEHFHDCDSKELMPIDEADVNSSKEIANVTFKQIVSLGELLSMPEVVADLSQPKSSSNNVDEAEQQSTQRPSENTIIEMASDREESESGRPVVHLAEELCYGHHEAVSGTLALDSATKESDHEHVETVLAGTDLKSTTEGLKNGSRDRNMLSHCGDFVPENCGFEHGETCSSRPEGTNTEPFSSQLQYGHGETSFSGAGPLLGLISYSGPIANSGSVSLRSDSSTTSTCSFAFPILQSEWNSSPVRMRKADGRRFRNHKNWRQGLLCCRF >Manes.14G171700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28196834:28201062:1 gene:Manes.14G171700.v8.1 transcript:Manes.14G171700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWILRGLHSRLNQTHFFSCERALTLGPHTLDPLYSDIPRPHRDKSQRKPFPTPMKILIQKAKREKEARKAQPCRMLEHPPENGLLVPELVEVAHQVYRSRQSLLSSLSKLVKVIPVQCCRFCSEVHIGHVGHEIRTCTGPGSGSRSATHVWRRGGVRDVVYFPKCFHLYDRVGKPRVGHDERHTVPRIPAIVELCIQAGVSLEKLPTRRRTKPVYSIEGRIVDFELVPEMNEMRENTHLGNIDPLACSNFGTDCDEVTTYFKLEKNTDHLDESIDGATDLRSLSIGTMDSWFEMISGAEKIMDKYKVLTCGYCPEVQVGPKGHRVRMCKGTKHQSRDGQHAWQEATIEDLVGPNYVWHVRDLKGPPLDNQLKRYYGKAPAIVELCVQAGAPVPYQYESMMRLDVIPPNRDEVDLVA >Manes.14G171700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28196834:28201062:1 gene:Manes.14G171700.v8.1 transcript:Manes.14G171700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWILRGLHSRLNQTHFFSCERALTLGPHTLDPLYSDIPRPHRDKSQRKPFPTPMKILIQKAKREKEARKAQPCRMLEHPPENGLLVPELVEVAHQVYRSRQSLLSSLSKLVKVIPVQCCRFCSEVHIGHVGHEIRTCTGPGSGSRSATHVWRRGGVRDVVYFPKCFHLYDRVGKPRVGHDERHTVPRIPAIVELCIQAGVSLEKLPTRRRTKPVYSIEGRIVDFELVPEMNEMRENTHLGNIDPLACSNFGTDCDEVTTYFKLEKNTDHLDESIDGATDLRSLSIGTMDSWFEMISGAEKIMDKYKVLTCGYCPEVQVGPKGHRVRMCKGTKHQSRDGQHAWQEATIEDLVGPNYVWHVRDLKGPPLDNQLKRYYGKAPAIVELCVQAGAPVPYQYESMMRLDVIPPNRDEVDLVA >Manes.14G171700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28198032:28201062:1 gene:Manes.14G171700.v8.1 transcript:Manes.14G171700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWILRGLHSRLNQTHFFSCERALTLGPHTLDPLYSDIPRPHRDKSQRKPFPTPMKILIQKAKREKEARKAQPCRMLEHPPENGLLVPELVEVAHQVYRSRQSLLSSLSKLVKVIPVQCCRFCSEVHIGHVGHEIRTCTGPGSGSRSATHVWRRGGVRDVVYFPKCFHLYDRVGKPRVGHDERHTVPRIPAIVELCIQAGVSLEKLPTRRRTKPVYSIEGRIVDFELVPEMNEMRENTHLGNIDPLACSNFGTDCDEVTTYFKLEKNTDHLDESIDGATDLRSLSIGTMDSWFEMISGAEKIMDKYKVLTCGYCPEVQVGPKGHRVRMCKGTKHQSRDGQHAWQEATIEDLVGPNYVWHVRDLKGPPLDNQLKRYYGKAPAIVELCVQAGAPVPYQYESMMRLDVIPPNRDEVDLVA >Manes.06G012500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1965647:1970949:-1 gene:Manes.06G012500.v8.1 transcript:Manes.06G012500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKPFSFLVFLLMLVLSCQSSVSVSSEQEAEMQALLKWKRSLTDQSQSLLSSWRYGPEKTSSEAASSCSWLGISCNDFGSVVQINLTYVELQGTLDEFNFSALSNLSSLDLHYNALTGKIPPEISNLSKLSILNLGYNKFHGQIPKEIGNMTNLNVLIFSNNFLIGSIPDTVWNLTNLYKLNLGSNHLVGSISPELGKLRSLVELRLYLNNLTGPIPSSIGDLTSLKVLSVYGNQLSGPLPHEFNKLTNLTLIFFSNNSISGLLPENICQGGILEDFCASDNRFAGTVPKGLKNCTSLTRLRLDRNNLTGNISEDFGVYPKLDYVDLSYNNFVGEVSADWGKCQLLTSLKLSNNFITGVIPPELADSSILHFIDLSSNKLEGIIPSELGKLKSLFNLTLSKNNLSGSIPPELGMLPELAFLDLAANNLSGRIPKQLGDCSKMLYLKLSNNNFLGGIPVELGKLVSLQVELDLSRNSFSGEIPWQLGDMVKLEKLDISHNQLSGSIPSTFEQMQSLRLIDLSYNRLEGPIPDSKAFREAPSGAFTHNKGLCGNNTGLKICPSPSNNDNGGSSSLILILLPLSAASLILVIFLGIFCILHKKRRDKISNKLVNSQNQNLFKVWSYDGKLVYADIKVATEGFNAKYCIGVGGHGSVYKAKLSTGQVVAVKKLHPFLCSKLEDRKTFETEIQALSKIRHRNIVKLHGFCLHEQQSFLVYEYLEKGSLAKILSKDEEAREFNWFRRINVVKGIVNAIFYMHHDCSPSIVHRDISSNNILLDGNYEARVSDFGTARLMENDSSNWTGLAGTYGYIAPELAYTMKVTGKCDVYSFGVVTLEIIMGHYPGELIGSPSSFSTSSSTLSLSELNANSKMQLKDLFDKRLEIPEPELADELVTITKLAFSCINSNPKLRPTMQQVSQEFSTRRLHVPQALHTVALKEVLDFDIQVEED >Manes.10G113754.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27940041:27940907:-1 gene:Manes.10G113754.v8.1 transcript:Manes.10G113754.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAATLAAAFIGAVPVAAALIYKLGRDASTPIIRKGSSIKNLHHNYEVLDKELQKLLALATDIDHGRVNSKEIKNTGTYKLWITRVWEIQAEVEALVNEYERIKEKFRREINGIAKGKLSKKMVNKHQEVRQHIEEGKFLIANL >Manes.15G141500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11469980:11482216:-1 gene:Manes.15G141500.v8.1 transcript:Manes.15G141500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFDEISDDEWENHSFKPSRVLKTSTSNRHRHKSAQPPPPIESFVFKKPVDTLNSDSSSSSSGDCREITPPFNLEEEDGNLEDDDVVKDDCEEVARPAPANRGRRFVVDDDDEEEEEDEEDEERKEVESERDFAEVYDIESSGDDDYDGEEEEEDLKLEEDDVVGKALQKCAKISAELKRELYGSGVTSCERYAEVEASSVRIVTQSDISAACAVVDSDFQPVLKPYQLVGVNFLLLLYRKGIGGAILADEMGLGKTIQYHGATRAAYSKELSSLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWQWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDVDLKKLLNAEDRDLIGRMKSILGPFILRRLKSDVMQQLVPKRQRVEYVFMEKHQEDAYKEAIEEYRAASRARIAKLSDVDLNATFRILPQRQISNYFVQFRKIANHPLLVRRIYTDDDVVRFAKKLHPIGAFGFECTLDRVIEELKTYNDFSIHRLLYQYGVKDAKGILSDKHVMLSAKCRALGELLPALRKDGHRVLIFSQWTSMLDILEWTLDVIGLKYRRLDGSTQVTDRQTIVDDFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLILDAAVLETGVEVDNEGDTSEKTMGQILSSLLMG >Manes.15G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11469980:11482216:-1 gene:Manes.15G141500.v8.1 transcript:Manes.15G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFDEISDDEWENHSFKPSRVLKTSTSNRHRHKSAQPPPPIESFVFKKPVDTLNSDSSSSSSGDCREITPPFNLEEEDGNLEDDDVVKDDCEEVARPAPANRGRRFVVDDDDEEEEEDEEDEERKEVESERDFAEVYDIESSGDDDYDGEEEEEDLKLEEDDVVGKALQKCAKISAELKRELYGSGVTSCERYAEVEASSVRIVTQSDISAACAVVDSDFQPVLKPYQLVGVNFLLLLYRKGIGGAILADEMGLGKTIQAVTYLMLLKHLNNDPGPHLIVCPASLLENWERELKKWCPSFSVLQYHGATRAAYSKELSSLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWQWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDVDLKKLLNAEDRDLIGRMKSILGPFILRRLKSDVMQQLVPKRQRVEYVFMEKHQEDAYKEAIEEYRAASRARIAKLSDVDLNATFRILPQRQISNYFVQFRKIANHPLLVRRIYTDDDVVRFAKKLHPIGAFGFECTLDRVIEELKTYNDFSIHRLLYQYGVKDAKGILSDKHVMLSAKCRALGELLPALRKDGHRVLIFSQWTSMLDILEWTLDVIGLKYRRLDGSTQVTDRQTIVDDFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLILDAAVLETGVEVDNEGDTSEKTMGQILSSLLMG >Manes.15G141500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11469980:11482289:-1 gene:Manes.15G141500.v8.1 transcript:Manes.15G141500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFDEISDDEWENHSFKPSRVLKTSTSNRHRHKSAQPPPPIESFVFKKPVDTLNSDSSSSSSGDCREITPPFNLEEEDGNLEDDDVVKDDCEEVARPAPANRGRRFVVDDDDEEEEEDEEDEERKEVESERDFAEVYDIESSGDDDYDGEEEEEDLKLEEDDVVGKALQKCAKISAELKRELYGSGVTSCERYAEVEASSVRIVTQSDISAACAVVDSDFQPVLKPYQLVGVNFLLLLYRKGIGGAILADEMGLGKTIQAVTYLMLLKHLNNDPGPHLIVCPASLLENWERELKKWCPSFSVLQYHGATRAAYSKELSSLSKAGLPPPFNVLLVCYSLFERHSVQQKDDRKILKRWQWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDVDLKKLLNAEDRDLIGRMKSILGPFILRRLKSDVMQQLVPKRQRVEYVFMEKHQEDAYKEAIEEYRAASRARIAKLSDVDLNATFRILPQRQISNYFVQFRKIANHPLLVRRIYTDDDVVRFAKKLHPIGAFGFECTLDRVIEELKTYNDFSIHRALGELLPALRKDGHRVLIFSQWTSMLDILEWTLDVIGLKYRRLDGSTQVTDRQTIVDDFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLILDAAVLETGVEVDNEGDTSEKTMGQILSSLLMG >Manes.06G055951.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18287994:18288551:-1 gene:Manes.06G055951.v8.1 transcript:Manes.06G055951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSSSGVSNMQDLRCYSASYASSVYPTQSQAQTQVGNNDVRFKKGKSASGSVSKSWSFNDPELQRKKRVASYKVYSVEGKVKGSFSFPVAQGQVNASLI >Manes.15G181250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24375387:24393501:-1 gene:Manes.15G181250.v8.1 transcript:Manes.15G181250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEGVIHDNPIKRDSWKTLLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLIPLVKYVFIVLRADDNGEGGTFALYSLLCRHARVSSIPNCQVADEELSEYKKDGSMSNYKSGNGSSLKSTLEKCRVLQRMLLVLSLIGTCMVIGDGVLTPAISVFSAVSGLELSMSKEQHQYVELPVVCAILVFLFTLQHYGTHRVGFLFAPVVITWLLCISAIGVYNILQWNPHVYQALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSKHHINETDYQIGFYVSVPEKIRWPVLAVAILAAVVGSQAIITGTFSIIKQCSALGCFPKVKIVHTSSKIHGQIYIPEVNWILMLLCLAVTIGFRNTKHMGNAAGLAVVTVMLVTTCLMSLVMVLCWHKNVFLAICFIFFFGSIEALYFSASLIKFLEGAWVPIALSFIFLVVMYVWHYGTLKKYEADVQNKVSINWLLSLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVVVFLCIKSVAVPHVRPEERFLVGRVGPKEYRLYRCIARYGYRDIHKDDVEFEKDLVCSIAEFIRSEKPECNMGIEDLRDEEKMTVVGTSSSNFEGIKLCEDDAYSSDMVGTSKMREMQSPKRARKRVRFIVPESPHIDREVKEELQELMEAREAGMAFILGHSYVRAKRGSSWMKKVVINYGYDFLRKNSRGPTYALSIPHASTLEVGMVYFV >Manes.11G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6653208:6655207:-1 gene:Manes.11G054100.v8.1 transcript:Manes.11G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNMENPLHLKSLNHISLLCRSVEKSIDFYQNVLGFVPIRRPGSFDFDGAWLFGYGIGIHLLQSEDPENMPKKSIINPKDNHMSFQCESMGAVEKKLKDMGIKYVRSMVEEGGIHVDQLFFHDPDGFMIEICNCDSLPVIPLGGEMARSCSLVNLPTIQHNKQQMQQVVQQ >Manes.01G177900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35780766:35784073:-1 gene:Manes.01G177900.v8.1 transcript:Manes.01G177900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEFRRLLDLFPVVRPRDYHIDLDPSRQSTSRRRQNEDVKKWQDAWEEQEGDNKESSNQAIDLHDAFWEKLKLAAEEKMGTAEAKKFCNAFQQVHRRLVYEELSLDAARSFINSTRRSGKQISS >Manes.01G177900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35780766:35784073:-1 gene:Manes.01G177900.v8.1 transcript:Manes.01G177900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEFRRLLDLFPVVRPRDYHVKKWQDAWEEQEGDNKESSNQAIDLHDAFWEKLKLAAEEKMGTAEAKKFCNAFQQVHRRLVYEELSLDAARSFINSTRRSGKQISS >Manes.06G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16428690:16430507:1 gene:Manes.06G052500.v8.1 transcript:Manes.06G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDPSIREAGVRKQNNGPPFKFLVPLVYAPVLPLIRISLRRNPVVRDRLFMAVLAGAFAHGFYLVTDLYDIESK >Manes.S023916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:299830:300210:-1 gene:Manes.S023916.v8.1 transcript:Manes.S023916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.06G103266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23624583:23628547:-1 gene:Manes.06G103266.v8.1 transcript:Manes.06G103266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEDAVSVEMASLSVDGEADDDDGLVFGVDDGVIGQEDPSLCLVGRFLTNRPINFNAMEHTLAALWQPFEQVSVRREEGDLYVFQFYHAIDVQRVLDMRPCTFNNHLLPGFFSERVVARIGNELGVFLASDPYNFLGPRKSYVRLRYYHDLSKPIHKSLRMRKNDGAWFNVTFVYERAPSFCFICGLFDHTERFCRELLNLGNAPVVRKFGPELRANYRRPQSHGSRWLKGGGGENSNQGPSGIIFGNSITGEQTTPPNPVDNDDRDMDGIREDCLNSYPTDGEEVARGLEAGVTIVDPKRRRMGTENKKSNGEKPTMDHGLSGDLLAYDSFFSVDCLGRSGGLALLWRSVSSITLLSSSQHHIDIEVFIEGVGNWRLTGYYGEADRNFRHLSWQRLRMLASHHEAPWVCLGDFNDILSPSEKRGGRPQPSRLINGFRDALCDSGLIEFPMTGYPFTWEHGRNSDGWMESRLDRVFTNAQWRTRFSNSTAEVLGFSTSDHLPILLAVRCFVDQRHAHRFRFENTWLREAGCRTLISDIWPLSSDMDVEGKLVACRTALKSWGMNLRLLHKAEMDESLAIMTRLRGSRLQVHMDEFLRAKSRFFHLLNLREIFWKQRAKQFWLKEGLEEVMSGYFMSLFTSHDCNSEPVLQCVPLLVSHDHNASLLAPYSCDEIKSAAFSMKIDKSPGLDGFNPGFFQHYWDIIGEDVSRFCIDCLHSGSLPPKLNETVLVLIPKKCVLERMSDLRPIALCNVVYKIMTKMIVNRLKSILPSIVSESQSAFISGRSIQDNIVLAFEAMHGFNMFHRKKELSGALKMDISKAYDRLEWDFIRDMLIRMGFAQRWVTLVFSSNVQEVLELKRILRIYENAFGQLVNFQKSSLSFSKYTPVALRDSICSVLQIEEKPNLGNYLGLPSHVGSNKREVFSFVKDRLWKWLNSWKHRALSQVGKEVLLKTVLQALPNYVMTYDDSILVEQGC >Manes.S022651.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:217138:217950:-1 gene:Manes.S022651.v8.1 transcript:Manes.S022651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.11G147800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31260714:31262543:-1 gene:Manes.11G147800.v8.1 transcript:Manes.11G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLWFLILAFFNPIQAQQYYDSSNCSADANYPGSRYVCNSYQKSCSTFLVYRANQHFKTISNVSHLLQADNVDELLDLNSLSSPSDILKPGREVLFPVTCSCAGDFFQVDFNYVVPKSTSLSEVACEVFEGLVKSHTLVDENTSQGNDVKVGSKLHVPLRCACPNNFSSSSGVMYLVTYPLVEGDSLNILSQKFGISPADLSKANHFEPWPTVYPQTTILLPLKKRPVINFNIPNSPPPTPGFLPTITVQKTTNTKLMNLYISVSVIGSFLLLAALVACGWYAKALCKRKIDKLQSFNTRSSPLSCSTVRSSPRSGQTGRSSANSCLSPDLLIGIKYSLKNYRIEDLRRATKNFSEEKKIGDGAYKGLIENSEMMIKQMRFEDTRQVIDIHSKVNHINIVNLLGVCYGDSDSSWSYVLFELPSKGSLRDCLSNSSSSLQWHRRTQIAFDIATGLHYLHYCIFPSYAHMGISSRNIFVTANWRAKLTNIRTNSGAEPLKGWAAPEYIVYSSASDKVDIFAFGVVLLEIISGREDIDGKSFKDCIGFLGGGAGEGGCFEQLRSFMDPCLKEDYPLAEALCLAVLAKACVEDDPLHRPSMDDILKVLVRLV >Manes.08G070864.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12031117:12033349:1 gene:Manes.08G070864.v8.1 transcript:Manes.08G070864.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMLNLVLSLSFFMSMILSSSAQKCSSYAFSSNQVFSACNDLPYLNSFLHWNYNSSSSKLKIAYRHTGVSSSRWIAWAINPTSTGMVGSQALVAFQQSDGTMRAYTSPISSYQTSLQEGKLSFEVSDLSASYSNNEMIIFATLGISNIGSTTVNQVWQEGPVSSDSPQVHSTTGANVKSMGTVNLLSGTVGASGGNDKTRKRNIHGVLNAVSWGILMPSGALIARYLKVFKSADPAWFYLHVSCQSMAYIVGVAGWGTGLKLGTNSSGVQYDSHRTIGIILFCLGTLQVFALLLRPKTDHKYRVYWNIYHHTVGYSVIILSIINIFKGFDILNPDKKWKNAYIAVIAALAFNAVWLEAYTWFLVLIKRRRSESGSKMMMPQGINGSNGVNGLGDRQHQGV >Manes.04G049401.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8038754:8083885:-1 gene:Manes.04G049401.v8.1 transcript:Manes.04G049401.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPTQPKFPVSQITAKCRPLSPFILRFTSKSSHFPLNPSFSSPSSNPNIKAIISCSLSSSAAPPPRRRRPQYIPNHISDPSYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLSKLGVDIIEAGFPAASKDDFEAVKTIAKEVGNAVDEDDYVPVICGLSRCNEKDIRTAWEAVQYAKRPRIHTFIATSPIHMQYKLKKSKEEVLEIARNMVRFARSLGCDDVEFSPEDAGRSDREFLYQILGEVIKAGATTLNIPDTVGITLPNEFGQLIADIKANTPGIENVVISTHCQNDLGLSTANTLAGAYAGARQLEVTINGIGERAGNASLEEVVMAIKCRGEHVLGGLYTGINPKRITMASKMVEEYTGLQLQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNDAGIVLGKLSGRHALKDRLNELGYELNDEQLGNIFWRFKAIAEQKKRVTDGDLIALVSDEVFQPEIVWKLCDLQVTCGTLGLSTATVKLIDVNGEEHIACSVGSGPVDAGYKAVDLIIKEPVELLEYSMNAATEGIDALATTRVVIRGENLHTTHALTGEPQIRSFSGSGAGIDIVVSSVKAYIGAINKMLAFKQQLPTPRVSTDRTTISA >Manes.05G136300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21725812:21731847:-1 gene:Manes.05G136300.v8.1 transcript:Manes.05G136300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEASLKWNSENTVGCTSLVSTSSRGNPTLKSSDALRREIATLEAEISHLERHLLQLYRTAFEERPSATSNVPKNHFNLRPQIESSNLVYHDQTSPAHGWASSDDQSCTDSVHMTSTRDQKNAYSGRRSLADHLGASCLLDSLNTPDRLSEDILKCISSIYCRLANPTHSHGGLLSSPPSSLSSSSIFSSRNPCDNWSPQYSEDNIVHHQGLKQDVGPYAAMMEVLKICLDDDSFNYAAVMLKNFRLLVRNLEKVDPRKMKREEKLAFWINIHNALVMHAYLAYGNHNRVKSASILKAAYNIGGHCVNACVIQNSILGIRSHYSERWLQTLFSPGRKSKTGSSSHAYALEYPEPLVHFALCSGAYSDPAVRVYTAKNIFQELKLAKAEFIQTSVHIHKEAKIFLPKILCYFAKDTSIDMYALLKSISGCLTEAQRRAVSKCMKRKVDKHIHWIPQSSTFRYVIRGEFAKGG >Manes.05G136300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21725963:21731791:-1 gene:Manes.05G136300.v8.1 transcript:Manes.05G136300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANCRSPYSSCPLSPHLNSPSALDNEMFNNVLNMSPKLAAYPDSIVKSENMLSSEASLKWNSENTVGCTSLVSTSSRGNPTLKSSDALRREIATLEAEISHLERHLLQLYRTAFEERPSATSNVPKNHFNLRPQIESSNLVYHDQTSPAHGWASSDDQSCTDSVHMTSTRDQKNAYSGRRSLADHLGASCLLDSLNTPDRLSEDILKCISSIYCRLANPTHSHGGLLSSPPSSLSSSSIFSSRNPCDNWSPQYSEDNIVHHQGLKQDVGPYAAMMEVLKICLDDDSFNYAAVMLKNFRLLVRNLEKVDPRKMKREEKLAFWINIHNALVMHAYLAYGNHNRVKSASILKAAYNIGGHCVNACVIQNSILGIRSHYSERWLQTLFSPGRKSKTGSSSHAYALEYPEPLVHFALCSGAYSDPAVRVYTAKNIFQELKLAKAEFIQTSVHIHKEAKIFLPKILCYFAKDTSIDMYALLKSISGCLTEAQRRAVSKCMKRKVDKHIHWIPQSSTFRYVIRGEFAKGG >Manes.05G136300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21725834:21731847:-1 gene:Manes.05G136300.v8.1 transcript:Manes.05G136300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNVLNMSPKLAAYPDSIVKSENMLSSEASLKWNSENTVGCTSLVSTSSRGNPTLKSSDALRREIATLEAEISHLERHLLQLYRTAFEERPSATSNVPKNHFNLRPQIESSNLVYHDQTSPAHGWASSDDQSCTDSVHMTSTRDQKNAYSGRRSLADHLGASCLLDSLNTPDRLSEDILKCISSIYCRLANPTHSHGGLLSSPPSSLSSSSIFSSRNPCDNWSPQYSEDNIVHHQGLKQDVGPYAAMMEVLKICLDDDSFNYAAVMLKNFRLLVRNLEKVDPRKMKREEKLAFWINIHNALVMHAYLAYGNHNRVKSASILKAAYNIGGHCVNACVIQNSILGIRSHYSERWLQTLFSPGRKSKTGSSSHAYALEYPEPLVHFALCSGAYSDPAVRVYTAKNIFQELKLAKAEFIQTSVHIHKEAKIFLPKILCYFAKDTSIDMYALLKSISGCLTEAQRRAVSKCMKRKVDKHIHWIPQSSTFRYVIRGEFAKGG >Manes.05G136300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21726074:21731443:-1 gene:Manes.05G136300.v8.1 transcript:Manes.05G136300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANCRSPYSSCPLSPHLNSPSALDNEMFNNVLNMSPKLAAYPDSIVKSENMLSSEASLKWNSENTVGCTSLVSTSSRGNPTLKSSDALRREIATLEAEISHLERHLLQLYRTAFEERPSATSNVPKNHFNLRPQIESSNLVYHDQTSPAHGWASSDDQSCTDSVHMTSTRDQKNAYSGRRSLADHLGASCLLDSLNTPDRLSEDILKCISSIYCRLANPTHSHGGLLSSPPSSLSSSSIFSSRNPCDNWSPQYSEDNIVHHQGLKQDVGPYAAMMEVLKICLDDDSFNYAAVMLKNFRLLVRNLEKVDPRKMKREEKLAFWINIHNALVMHAYLAYGNHNRVKSASILKAAYNIGGHCVNACVIQNSILGIRSHYSERWLQTLFSPGRKSKTGSSSHAYALEYPEPLVHFALCSGAYSDPAVRVYTAKNIFQELKLAKAEFIQTSVHIHKEAKIFLPKILCYFAKDTSIDMYALLKSISGCLTEAQRRAVSKCMKRKVDKHIHWIPQSSTFRYVIRGEFAKGG >Manes.05G136300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21725812:21731847:-1 gene:Manes.05G136300.v8.1 transcript:Manes.05G136300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSEASLKWNSENTVGCTSLVSTSSRGNPTLKSSDALRREIATLEAEISHLERHLLQLYRTAFEERPSATSNVPKNHFNLRPQIESSNLVYHDQTSPAHGWASSDDQSCTDSVHMTSTRDQKNAYSGRRSLADHLGASCLLDSLNTPDRLSEDILKCISSIYCRLANPTHSHGGLLSSPPSSLSSSSIFSSRNPCDNWSPQYSEDNIVHHQGLKQDVGPYAAMMEVLKICLDDDSFNYAAVMLKNFRLLVRNLEKVDPRKMKREEKLAFWINIHNALVMHAYLAYGNHNRVKSASILKAAYNIGGHCVNACVIQNSILGIRSHYSERWLQTLFSPGRKSKTGSSSHAYALEYPEPLVHFALCSGAYSDPAVRVYTAKNIFQELKLAKAEFIQTSVHIHKEAKIFLPKILCYFAKDTSIDMYALLKSISGCLTEAQRRAVSKCMKRKVDKHIHWIPQSSTFRYVIRGEFAKGG >Manes.05G136300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21726074:21731443:-1 gene:Manes.05G136300.v8.1 transcript:Manes.05G136300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANCRSPYSSCPLSPHLNSPSALDNEMFNNVLNMSPKLAAYPDSIVKSENMLSSEASLKWNSENTVGCTSLVSTSSRGNPTLKSSDALRREIATLEAEISHLERHLLQLYRTAFEERPSATSNVPKNHFNLRPQIESSNLVYHDQTSPAHGWASSDDQSCTDSVHMTSTRDQKNAYSGRRSLADHLGASCLLDSLNTPDRLSEDILKCISSIYCRLANPTHSHGGLLSSPPSSLSSSSIFSSRNPCDNWSPQYSEDNIVHHQGLKQDVGPYAAMMEVLKICLDDDSFNYAAVMLKNFRLLVRNLEKVDPRKMKREEKLAFWINIHNALVMHAYLAYGNHNRVKSASILKAAYNIGGHCVNACVIQNSILGIRSHYSERWLQTLFSPGRKSKTGSSSHAYALEYPEPLVHFALCSGAYSDPAVRVYTAKNIFQELKLAKAEFIQTSVHIHKEAKIFLPKILCYFAKDTSIDMYALLKSISGCLTEAQRRAVSKCMKRKVDKHIHWIPQSSTFRYVIRGEFAKGG >Manes.05G136300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21725834:21730510:-1 gene:Manes.05G136300.v8.1 transcript:Manes.05G136300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTRDQKNAYSGRRSLADHLGASCLLDSLNTPDRLSEDILKCISSIYCRLANPTHSHGGLLSSPPSSLSSSSIFSSRNPCDNWSPQYSEDNIVHHQGLKQDVGPYAAMMEVLKICLDDDSFNYAAVMLKNFRLLVRNLEKVDPRKMKREEKLAFWINIHNALVMHAYLAYGNHNRVKSASILKAAYNIGGHCVNACVIQNSILGIRSHYSERWLQTLFSPGRKSKTGSSSHAYALEYPEPLVHFALCSGAYSDPAVRVYTAKNIFQELKLAKAEFIQTSVHIHKEAKIFLPKILCYFAKDTSIDMYALLKSISGCLTEAQRRAVSKCMKRKVDKHIHWIPQSSTFRYVIRGEFAKGG >Manes.05G136300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21725812:21731907:-1 gene:Manes.05G136300.v8.1 transcript:Manes.05G136300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEANCRSPYSSCPLSPHLNSPSALDNEMFNNVLNMSPKLAAYPDSIVKSENMLSSEASLKWNSENTVGCTSLVSTSSRGNPTLKSSDALRREIATLEAEISHLERHLLQLYRTAFEERPSATSNVPKNHFNLRPQIESSNLVYHDQTSPAHGWASSDDQSCTDSVHMTSTRDQKNAYSGRRSLADHLGASCLLDSLNTPDRLSEDILKCISSIYCRLANPTHSHGGLLSSPPSSLSSSSIFSSRNPCDNWSPQYSEDNIVHHQGLKQDVGPYAAMMEVLKICLDDDSFNYAAVMLKNFRLLVRNLEKVDPRKMKREEKLAFWINIHNALVMHAYLAYGNHNRVKSASILKAAYNIGGHCVNACVIQNSILGIRSHYSERWLQTLFSPGRKSKTGSSSHAYALEYPEPLVHFALCSGAYSDPAVRVYTAKNIFQELKLAKAEFIQTSVHIHKEAKIFLPKILCYFAKDTSIDMYALLKSISGCLTEAQRRAVSKCMKRKVDKHIHWIPQSSTFRYVIRGEFAKGG >Manes.15G168700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14524050:14532744:1 gene:Manes.15G168700.v8.1 transcript:Manes.15G168700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFRRIAGFLGFVKEEGAHEVKDQEEEGDDHRHGHHHHHHHHHHHQSRFSSNYQETMLPRKGFSVPVKVAVDRHQPGPVLVPSSSGDGGVQGLRWHAKRLKIDEDGDVADEFLEEVLPEISSSVEDHQKPLPRFQVKHSGRPAKIKKQVISQEGKIQQCVVYRGRLQWV >Manes.18G106700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10411624:10447730:1 gene:Manes.18G106700.v8.1 transcript:Manes.18G106700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPANDWCSVCHGRFRVPCQANCSHWFCGDCIMLVWHHGSAIQPWKCPLCRRQITLLVPGETSQREHHNPEVAEILQKVQAYNRLFGGQTSGLFQRMQDIPFLLKRLLREIMDPQRSLPLVIKARVSIALNTVAIEMDDVDHSKMCLSPPSQ >Manes.07G007900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:958953:959705:-1 gene:Manes.07G007900.v8.1 transcript:Manes.07G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMEILDVGVRIVARFHSHCPQTARMYYHPPPNSDHHHHHQHRDGSGTDSVCSDNRVGFCPSKAAMEVDVKDFILFSV >Manes.01G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30527081:30530374:1 gene:Manes.01G105500.v8.1 transcript:Manes.01G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVRGSRGGGSAGFNAGLRSLFSYRILVSAMFTLLFLATLSVLLTTHRPTSPYYSSLPSSGNAYVQRTFLALNSDPLKTRLDLIYKQASDHMTLVNAYAAYARKLKLDISRQLRMFDDLAKNFSDVTSKPNYKSSLFESEGAVDEDVLRQFEKEVKEKVKIARLMVAESKESYDNQIKIQKLKDTIFAVNELLIKARKNGAFASLISAKSIPKSLHCLAMRLVEERISHPEKYREEEPKPEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAEEPWKHVFHVVTDRMNVAAMKVWFRMRPVEGGAHIEVKTVEDFSFLNSSYVPVLRQLENLKLQKFYFQNQAENATKDTSNIKFRNPKYLSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWRIDLDGKVNGAVETCFGSFHRFAQYFNFSHPLIKERFNPKACAWAYGMNIFDLDAWRREKCTEQYHYWQSLNEDRSLWKLGTLPPGLIAFYSTTKSLDKSWHVLGLGYNPSISMDEINKAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGL >Manes.12G088876.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14298989:14299484:1 gene:Manes.12G088876.v8.1 transcript:Manes.12G088876.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSLVVCEFQRKFWNALAYAILWSLWLSPNEKIFNDKFLSLEEVCSLVLHYVAVLLRALDESFPYTRTTILSIPEAIKAWFNTSPRIRPYIKWNTDGSSKGKPGPVAIGGVLRDSNGLFVSEFLAIEKVLSILTPRFDI >Manes.01G178700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35825633:35830249:-1 gene:Manes.01G178700.v8.1 transcript:Manes.01G178700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKNMGRVSPFFLVLLSFGFCFATYNLLTLVIQYKHSNSGNGLELSDPIITVPHKVKRLGESNSRYHVALTATDSPYSQWQCRIMYYWYKKMKDMPGSDMGKFTRVLHSGKADNLMDEIPTFVVDPLPEGVDRGYIVLNRPWAFVQWLEKATIDEEYILMAEPDHIFVNPLPNLAHGDHPAGYSFSYIKPAAHEKIIRKYYPEERGPVTKVDPIGNSPVIIKKSILEEISPTWVNISLRMKDDHESDKAFGWVLEMYAYAVASALHGVRHVLRKDFMIQPPWDLEVGKRFIIHYTYGCDFNLKVRLVKMVNEATANIPDWDSINRG >Manes.01G178700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35825633:35830249:-1 gene:Manes.01G178700.v8.1 transcript:Manes.01G178700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYIVLNRPWAFVQWLEKATIDEEYILMAEPDHIFVNPLPNLAHGDHPAGYSFSYIKPAAHEKIIRKYYPEERGPVTKVDPIGNSPVIIKKSILEEISPTWVNISLRMKDDHESDKAFGWVLEMYAYAVASALHGVRHVLRKDFMIQPPWDLEVGKRFIIHYTYGCDFNLKGELTYGKIGEWRFDKRSYLSGPPPKNLSLPPPGVPESVVRLVKMVNEATANIPDWDSINRG >Manes.01G178700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35825633:35830249:-1 gene:Manes.01G178700.v8.1 transcript:Manes.01G178700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYIVLNRPWAFVQWLEKATIDEEYILMAEPDHIFVNPLPNLAHGDHPAGYSFSYIKPAAHEKIIRKYYPEERGPVTKVDPIGNSPVIIKKSILEEISPTWVNISLRMKDDHESDKAFGWVLEMYAYAVASALHGVRHVLRKDFMIQPPWDLEVGKRFIIHYTYGCDFNLKGELTYGKIGEWRFDKRSYLSGPPPKNLSLPPPGVPESVVRLVKMVNEATANIPDWDSINRG >Manes.01G178700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35825633:35830249:-1 gene:Manes.01G178700.v8.1 transcript:Manes.01G178700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYIVLNRPWAFVQWLEKATIDEEYILMAEPDHIFVNPLPNLAHGDHPAGYSFSYIKPAAHEKIIRKYYPEERGPVTKVDPIGNSPVIIKKSILEEISPTWVNISLRMKDDHESDKAFGWVLEMYAYAVASALHGVRHVLRKDFMIQPPWDLEVGKRFIIHYTYGCDFNLKGELTYGKIGEWRFDKRSYLSGPPPKNLSLPPPGVPESVVRLVKMVNEATANIPDWDSINRG >Manes.01G178700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35825633:35830249:-1 gene:Manes.01G178700.v8.1 transcript:Manes.01G178700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKNMGRVSPFFLVLLSFGFCFATYNLLTLVIQYKHSNSGNGLELSDPIITVPHKVKRLGESNSRYHVALTATDSPYSQWQCRIMYYWYKKMKDMPGSDMGKFTRVLHSGKADNLMDEIPTFVVDPLPEGVDRGYIVLNRPWAFVQWLEKATIDEEYILMAEPDHIFVNPLPNLAHGDHPAGYSFSYIKPAAHEKIIRKYYPEERGPVTKVDPIGNSPVIIKKSILEEISPTWVNISLRMKDDHESDKAFGWVLEMYAYAVASALHGVRHVLRKDFMIQPPWDLEVGKRFIIHYTYGCDFNLKGELTYGKIGEWRFDKRSYLSGPPPKNLSLPPPGVPESVVRLVKMVNEATANIPDWDSINRG >Manes.01G178700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35825633:35830249:-1 gene:Manes.01G178700.v8.1 transcript:Manes.01G178700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRKNMGRVSPFFLVLLSFGFCFATYNLLTLVIQYKHSNSGNGLELSDPIITVPHKVKRLGESNSRYHVALTATDSPYSQWQCRIMYYWYKKMKDMPGSDMGKFTRVLHSGKADNLMDEIPTFVVDPLPEGVDRGYIVLNRPWAFVQWLEKATIDEEYILMAEPDHIFVNPLPNLAHGDHPAGYSFSYIKPAAHEKIIRKYYPEERGPVTKVDPIGNSPVIIKKSILEEISPTWVNISLRMKDDHESDKAFGWVLEMYAYAVASALHGVRHVLRKDFMIQPPWDLEVGKRFIIHYTYGCDFNLKGELTYGKIGEWRFDKRSYLSGPPPKNLSLPPPGVPESVVRLVKMVNEATANIPDWDSINRG >Manes.13G023500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3274601:3278980:1 gene:Manes.13G023500.v8.1 transcript:Manes.13G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTSEAVRSVSSEVSSDLIIQVKGSRYLLHKFPLLSKCLRLQRICSETPESSQHQIIQLPDFPGGVEAFELCAKFCYGITITLSAYNIVAARCAAEYLQMTEDVEKGNLIYKLEVFFNSCILNGWKDSIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKISLSHSHSRRVRDDVSCNGTESQRHKPANKGWWAEDMAELGIDLYWRTMVAIKSGGKIASNLVGNALKIYAARWLPNISRPRNDNNEEASDSDSDSGNEMNSKHRLLLESIVSLLPADKGAVSCSFLLKLLKAANILNASASSKMELTRRVGLQLEEATVNDLLIPSFSYASETLYDVDLVMTILEQFMLQGQSPPTSPPRSKLGFERRRSRSAENIDLEFQESRRSSSASHSSKLKVAKVVDGYLQEIARDVNLPLSKFIALAESIPDFARLDHDDLYRAIDIYLKAHSDLNKTERKRLCRTLDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMAGDKVTELPSNIKALLASHNIDPSRPTAALSTTTSFPAEDQWSVSGLKSPKSKISSLRMKLAEDDDLDLNDLQSNGLGRASKFKAIRGLPTRPKGMFSKLLSINRSASEKN >Manes.13G023500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3275542:3278980:1 gene:Manes.13G023500.v8.1 transcript:Manes.13G023500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTSEAVRSVSSEVSSDLIIQVKGSRYLLHKFPLLSKCLRLQRICSETPESSQHQIIQLPDFPGGVEAFELCAKFCYGITITLSAYNIVAARCAAEYLQMTEDVEKGNLIYKLEVFFNSCILNGWKDSIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKISLSHSHSRRVRDDVSCNGTESQRHKPANKGWWAEDMAELGIDLYWRTMVAIKSGGKIASNLVGNALKIYAARWLPNISRPRNDNNEEASDSDSDSGNEMNSKHRLLLESIVSLLPADKGAVSCSFLLKLLKAANILNASASSKMELTRRVGLQLEEATVNDLLIPSFSYASETLYDVDLVMTILEQFMLQGQSPPTSPPRSKLGFERRRSRSAENIDLEFQESRRSSSASHSSKLKVAKVVDGYLQEIARDVNLPLSKFIALAESIPDFARLDHDDLYRAIDIYLKAHSDLNKTERKRLCRTLDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMAGDKVTELPSNIKALLASHNIDPSRPTAALSTTTSFPAEDQWSVSGLKSPKSKISSLRMKLAEDDDLDLNDLQSNGLGRASKFKAIRGLPTRPKGMFSKLLSINRSASEKN >Manes.07G038900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4558726:4560470:-1 gene:Manes.07G038900.v8.1 transcript:Manes.07G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPSFSLIVLLSLITLSISVDARHLLETALPEVPELPKPELPSLPKVELPPLPEVPAFPKPEVPILPKPELPELPKPEIPTLPKPKLPELPKPELPTFPHLPELPKPSFPTKDTKPPQSTTSP >Manes.10G006800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:824779:825687:1 gene:Manes.10G006800.v8.1 transcript:Manes.10G006800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLTGTDTSSRKVGKTSTGTFEFNPEFIRSFGSIVIAEGCDSSRSVSWIHAWTVSDGIITQVREYFNTSLTVTRLGNQDQSESSEYSSPSSSSSLSSSAETTPVHCQSVWESRVSNRVGKSVPGLVLAI >Manes.08G139900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37634484:37641457:1 gene:Manes.08G139900.v8.1 transcript:Manes.08G139900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGADWSLQNENGWSALQEAVCTREEQIAMIIARHYQPHAWGKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDSNISLSPGSLIVLSHKEKEVVNALEGAGALPTEAEIAHEVALTSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDDEERLVNGVDNDEFDDVLTAEEREQLDTALRMGNSDGLCEDEEPGVLEYQENGSAGSYENGESNGTIKEKKSWFGWKNKGSKNNIDDLEDSKILKKFSKLAPEGGNQKSIDNQKSSSELAREDLGDAKKGKDKGNKKKKKKGVSSEPKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAVRRLRELLTTKLPQGTFPVKVAIPIVPTIRVVVTFTKFEELQPVEEFSTPLSSPVHFEDAKSKEAEGSTSWISWMRGSHGGQSSDSDSHRYKDEVDPFHIPSDYTWVDANEKKRRMKAKKSKSKKHRKQAPARGGDGGAHTSEDVEE >Manes.08G139900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37634484:37641457:1 gene:Manes.08G139900.v8.1 transcript:Manes.08G139900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDISKYSHSPAHLAVARRDYAALRRIISTLPSLAKAGEVNTEEESLAAEKRADALSAVIDRRDVPGRETPLHLAVKLRDPVSAEILMVSGADWSLQNENGWSALQEAVCTREEQIAMIIARHYQPHAWGKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDSNISLSPGSLIVLSHKEKEVVNALEGAGALPTEAEIAHEVALTSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDDEERLVNGVDNDEFDDVLTAEEREQLDTALRMGNSDGLCEDEEPGVLEYQENGSAGSYENGESNGTIKEKKSWFGWKNKGSKNNIDDLEDSKILKKFSKLAPEGGNQKSIDNQKSSSELAREDLGDAKKGKDKGNKKKKKKGVSSEPKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAVRRLRELLTTKLPQGTFPVKVAIPIVPTIRVVVTFTKFEELQPVEEFSTPLSSPVHFEDAKSKEAEGSTSWISWMRGSHGGQSSDSDSHRYKDEVDPFHIPSDYTWVDANEKKRRMKAKKSKSKKHRKQAPARGGDGGAHTSEDVEE >Manes.03G193500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31157204:31161630:1 gene:Manes.03G193500.v8.1 transcript:Manes.03G193500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNVVNEEVEVQDEGNHQELGNGNLSIKRDLLDLEAGDHNTVQKMNWGTVLKLAFQCIGVVYGDLGTSPLYVLPGIFPDGIKHNDDLLGVLSLIIYSIIIITLIKYVFVVLSANDNGEGGTFAIYSLICRHAKVNMIPNHQAEDRELSNYKLKTPHRRMKMASAVKSKLENSYIMKVSLLFMSMLGVSMVLGDGVLTPCISVLSAVSGIKEADASLTDNAIMWISVGILIFLFQIQRFGTDKVGYTFAPIIIIWFGFIACIGIFNTVKYDPSVMKAVNPWYIVQYFKRNKKDAWISLGGVILCLTGSEALFADLGHFNILSVQISSCSVLIPSVLLAYVGQCSYLRENTQDVTDAFYKSIPKSMYWPQFIVAVLAAIIASQSLISASFSIIQQSVALGCFPRVKVVHTSAEHEGQVYVPEINTLLMLACVGVTLGFKNTLKIGNAYGIAVTFVFVITSSFLVLIMIMIWKTHIIFIILYVLTIGLIELIFLSSVLYKFVDGGYLPLFFAFIVVAIMFIWNYGYRKKYTYELENKVSIEKLADITSDERIHRTPGLGLFYTQLVHGISPIFTHYVSSVSSLHSVVVFVSIKSLPISKVCPDERFLFERVKPREMIFRCIVRYGYRDSRKEQERLEDMMVNQLKEFIRNYENGEDMQREVALVDHAQREGVVYLMGESLVMASDGSKLVKKLTIDYLYNWLSRCVRQPDEVFLVPRKHLLKVGMTYEV >Manes.09G072838.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12428124:12433126:-1 gene:Manes.09G072838.v8.1 transcript:Manes.09G072838.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPKCIPSSSLVHKSSLVHGQYLDCGANSMDPISGGNSLNSNPTLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDADKKETGDMLSNVDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLGEVPVQGASAPISGDNCPESDHKTDPATPAPTSESPVQDQVGKECTSTKSLSIDESFSSRHEPLTPDSRCNVGSQSESPKGERSLKKQRVCVGAAYSKSEMVLTHQILESSLNSYPQPHSVFMAREQFDPSSGLSIGNEDEMEKVPGNDL >Manes.09G072838.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12428124:12433126:-1 gene:Manes.09G072838.v8.1 transcript:Manes.09G072838.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPKCIPSSSLVHKSSLVHGQYLDCGANSMDPISGGNSLNSNPTLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKETGDMLSNVDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLGEVPVQGASAPISGDNCPESDHKTDPATPAPTSESPVQDQVGKECTSTKSLSIDESFSSRHEPLTPDSRCNVGSQSESPKGERSLKKQRVCVGAAYSKSEMVLTHQILESSLNSYPQPHSVFMAREQFDPSSGLSIGNEDEMEKVPGNDL >Manes.18G094900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8761643:8765464:-1 gene:Manes.18G094900.v8.1 transcript:Manes.18G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKNFKLKMLKLFREHVLFLVQNKYDIVLAAFLSIAIFAFFKARRKVNTINEEDEIPGSLGLPFVGETFSFLSATNSTRGCYDFVRLRRKWYGKWFKSRMFGKIHVFVPSTEAARKVFTNDFGEFNKSYIKSMATVVGEKSVFAVPLETHKRIRHILSALFSMPSLSKFVEKFDQMISQRLNKLEQTGKSFAVLPFTMKLTLDSVCNMLMSITEESLLDQILSDCAAVSDALLSVPLMIPGTIYYKGMKARQRLMKIFKEMIDRRRSGKEQKDDFLQYLLERHTCPSSEKLEDSEIMDNLLTLLVSGQVSSAAAMMWSVKFLDENSEVLDKLREEQLEIAKNKQGGTSLSMEDINKMSYGLKVVKETLRMSNVVLWLPRVAQNDCTLDGVEMKKGWVVNVDATCIHFDPDLYEDPMRFNPSRFDEMQKPYSFLPFGAGPRTCLGIEMAKLSILVFLHRLTGGYEWRIENRDPSMERTTHVPRLRSGLPITLKALAKNGK >Manes.09G030300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6276758:6283056:-1 gene:Manes.09G030300.v8.1 transcript:Manes.09G030300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETDKRRTPNNSQSKRSGKTEKRDRKPHQTLSGKENDSKHLHAKSDSSTLVSDPNTGSEPSEVYENLVIHYVDDVNRSEEAPQDPKANAKIAKVNQNEILDDRLSDLEKEPKQGKEEESDTETIKDSVSSQGDSLTADDEKVERVSRVPKSVSNKDSSESSRGSRVRSDSGTGKSQTKAANSTPKKPAKSNKASSRVGSKNSLDKNSKDMKVPTKASSDSSEGVSDDKPVEDIKGLDVLDEASNGTQSIASDNETVDAEENGEHEDEAVLNQRIEEMEVRIGKLEEELREVAALEVSLYSVVPEHGSSAHKVHTPARRLSRLYIHACKNWTQDKRATIAKNSVSGLVLIAKSCGNDVPRLTFWLSNTIVLREIICQAFGSSRHPNPLARFAESNGGSKKSEGKTMTLKWKGGSGSKQANGFLQLVDDWQETGTYTAALEKVESWIFSRIVESVWWQALTPHMQSPSGNLSSNKSFGRLLGPALGDQQQGSFSVNLWKNAFRDAFQRLCPVRAGGHECGCLPIIAQMVMEHCVARLDVAMFNAILRESAHEIPTDPVSDPILDPKVLPIPAGDLSFGSGAQLKNSVGTWSRLLSDMFGMDADDSLKEDKHGSDDDDDRQDGKPKVFHLLNDLSDLLMLPKDMLMDRSIRKEVCPSISLSLVKRILCNFTPDEFCPDAVPGDLLEALNAESIVERRLSGESARNFPYTAAPVMYTPPSSADVAEKVAEVGSRSQLSRNVSAVQRKGYTSDEELEELDSPLTSIIEKLPSSPTVVSNGNGKHAEHTGHAIANARYELLREVWSAGM >Manes.09G030300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6276758:6281691:-1 gene:Manes.09G030300.v8.1 transcript:Manes.09G030300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETDKRRTPNNSQSKRSGKTEKRDRKPHQTLSGKENDSKHLHAKSDSSTLVSDPNTGSEPSEVYENLVIHYVDDVNRSEEAPQDPKANAKIAKVNQNEILDDRLSDLEKEPKQGKEEESDTETIKDSVSSQGDSLTADDEKVERVSRVPKSVSNKDSSESSRGSRVRSDSGTGKSQTKAANSTPKKPAKSNKASSRVGSKNSLDKNSKDMKVPTKASSDSSEGVSDDKPVEDIKGLDVLDEASNGTQSIASDNETVDAEENGEHEDEAVLNQRIEEMEVRIGKLEEELREVAALEVSLYSVVPEHGSSAHKVHTPARRLSRLYIHACKNWTQDKRATIAKNSVSGLVLIAKSCGNDVPRLTFWLSNTIVLREIICQAFGSSRHPNPLARFAESNGGSKKSEGKTMTLKWKGGSGSKQANGFLQLVDDWQETGTYTAALEKVESWIFSRIVESVWWQALTPHMQSPSGNLSSNKSFGRLLGPALGDQQQGSFSVNLWKNAFRDAFQRLCPVRAGGHECGCLPIIAQMVMEHCVARLDVAMFNAILRESAHEIPTDPVSDPILDPKVLPIPAGDLSFGSGAQLKNSVGTWSRLLSDMFGMDADDSLKEDKHGSDDDDDRQDGKPKVFHLLNDLSDLLMLPKDMLMDRSIRKEVCPSISLSLVKRILCNFTPDEFCPDAVPGDLLEALNAESIVERRLSGESARNFPYTAAPVMYTPPSSADVAEKVAEVGSRSQLSRNVSAVQRKGYTSDEELEELDSPLTSIIEKLPSSPTVVSNGNGKHAEHTGHAIANARYELLREVWSAGM >Manes.09G030300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6276758:6283365:-1 gene:Manes.09G030300.v8.1 transcript:Manes.09G030300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPTKASSDSSEGVSDDKPVEDIKGLDVLDEASNGTQSIASDNETVDAEENGEHEDEAVLNQRIEEMEVRIGKLEEELREVAALEVSLYSVVPEHGSSAHKVHTPARRLSRLYIHACKNWTQDKRATIAKNSVSGLVLIAKSCGNDVPRLTFWLSNTIVLREIICQAFGSSRHPNPLARFAESNGGSKKSEGKTMTLKWKGGSGSKQANGFLQLVDDWQETGTYTAALEKVESWIFSRIVESVWWQALTPHMQSPSGNLSSNKSFGRLLGPALGDQQQGSFSVNLWKNAFRDAFQRLCPVRAGGHECGCLPIIAQMVMEHCVARLDVAMFNAILRESAHEIPTDPVSDPILDPKVLPIPAGDLSFGSGAQLKNSVGTWSRLLSDMFGMDADDSLKEDKHGSDDDDDRQDGKPKVFHLLNDLSDLLMLPKDMLMDRSIRKEVCPSISLSLVKRILCNFTPDEFCPDAVPGDLLEALNAESIVERRLSGESARNFPYTAAPVMYTPPSSADVAEKVAEVGSRSQLSRNVSAVQRKGYTSDEELEELDSPLTSIIEKLPSSPTVVSNGNGKHAEHTGHAIANARYELLREVWSAGM >Manes.04G158100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35282159:35285743:-1 gene:Manes.04G158100.v8.1 transcript:Manes.04G158100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQAHALSHKMTTFTSIFASQASASSSFSFPFSSLKTHQENSLLSATNITQNRPFSLSTHPRRLLCRPPQGKYVREDYLVKKKSAEEIQELVRGERNVPLIIDFYATWCGPCILMAQELEMLAVEYENNAMIVKVDTDEEYEFARDMQVRGLPTLFFISPDPKKDAIRAEGLIPIQMMRDIIDKEM >Manes.16G042240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6040706:6042196:-1 gene:Manes.16G042240.v8.1 transcript:Manes.16G042240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDFIHLFNSCWFEMEILKNQSRLLKSSDADANPVHQNQEEASKPELYRVPTIISRSMSDQLWPKASFGSGLSLSPDSVLPTSKLQTILSDMEIEEEGIQTQRPYVQESHTRKTRSRRPEKRTLSKSLSELEFEELKGFMDLGFVFSEEDKDSSLVSIIPGLQRLGKKDGEEEIGGVDEAKVSRPYLSEAWYGLERRKKEDSLMNWRLPALRNEMDMKDNLRWKILHLFKRLGCDSLGD >Manes.02G177000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13963979:13964209:1 gene:Manes.02G177000.v8.1 transcript:Manes.02G177000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSHLVLVLWLLLLASFVSHSHGSRQSSQFFKNKAESQNPPRNFFGFLPKAMPIPPSGPSKNHNDIGLQSTKALP >Manes.10G073050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13588363:13589813:1 gene:Manes.10G073050.v8.1 transcript:Manes.10G073050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNQGWNIINRPHSLVARVLKARYFPTTSFLKHLWATILAFYGVLKEAPDLLVSTPPPPNCTLSVIADLMIGYRWNESLIAQLFNDRDRSCILNIPLSLSSHPDAWCWKFASKSHYSVKNAYRFLVAGFRHREGSEIWSRFWKTKVPPKVLNFCWRALVNVVPCLSLLQSRRVPVDSMCPLCHEALETILHILVQCPFARSCWLSSPLSWPAFSAVSLREWFSLAFLTASAENASLILMISWALWHNRNNVVWKAQGRTASGVFFMALNFLQQWRGACSDSTSCTNGWIKVNIDASLNSQRSSLGFGCVVRDANGRFIAAKAGCFCSQMEVKCAEAVLIVSINNVSLDDLSPFGLLIQDCKLLLSSYEDARCSFIHRSANDVAHVLATSAHSESGQGVWVHIPPPHIVSLIALS >Manes.15G018500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1566407:1568076:1 gene:Manes.15G018500.v8.1 transcript:Manes.15G018500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYNFLLPRVQESRWICLLQVNYKNGILVGSLFIQVITQNNLKPFSLVSSHSIWFLLAKMKPNNFILLFISLLLLTVLNLSSGKDHGNKGGNGDHGKKHDHNNHGQGHGNEKESSCPQLERISRDITWRRSAANPALPAKLLRMHFHDCFGCDASILLDSTGGTEAEKEAVPNRSLAGFEVIDEIKAKAEEECPGLVSCADIVALAARDAVAFQFRRSLWPVSFGRKDGRVSLASEANATLPSPAANFATLRQQFQSLGLDVVDLVALSGAHTIGVSHCAAFSDRIFNFTGKGDTDPSLDPDYANFLKQKCSNPPSLTTTVDMDPGSSLSFDSHYFEALFQNKGLFQSDATLLTDPEAARLSRTFQNQGAFFARFGQSMVKMGSIVSGEEGEIRKNCRVVN >Manes.15G018500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1566407:1568076:1 gene:Manes.15G018500.v8.1 transcript:Manes.15G018500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYNFLLPRVQESRWICLLQVNYKNGILVGSLFIQVITQNNLKPFSLVSSHSIWFLLAKMKPNNFILLFISLLLLTVLNLSSGKDHGNKGGNGDHGKKHDHNNHGQGHGNEKESSCPQLERISRDITWRRSAANPALPAKLLRMHFHDCFVRGCDASILLDSTGGTEAEKEAVPNRSLAGFEVIDEIKAKAEEECPGLVSCADIVALAARDAVAFQFRRSLWPVSFGRKDGRVSLASEANATLPSPAANFATLRQQFQSLGLDVVDLVALSGAHTIGVSHCAAFSDRIFNFTGKGDTDPSLDPDYANFLKQKCSNPPSLTTTVDMDPGSSLSFDSHYFEALFQNKGLFQSDATLLTDPEAARLSRTFQNQGAFFARFGQSMVKMGSIVSGEEGEIRKNCRVVN >Manes.11G067901.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15617293:15650792:-1 gene:Manes.11G067901.v8.1 transcript:Manes.11G067901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFSHIPFDLYILIYHPTANSSHQASHFLLANQTFLSFLYRFCKFSASTELITMAIRFPAIAHAKQILRRSNLLPNQSASSFTDVPKGHLAVYVGEGQKKRFIVPISLLNKPSFQELLRKSEEEFGFNHPMGGITIPCREDIFVSLLHV >Manes.15G055900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4291710:4297941:1 gene:Manes.15G055900.v8.1 transcript:Manes.15G055900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPSSEPLDLDTIRSELRELEEIRNNCNDDMVSEMCPSDSDQLLKDCALQLESKVEQIMCDCSDFSFLGIEDLDAFVEHLKEELNMAEAESAKISSEIEVLTRNHVEDFTKLESDNELLNCSLDFMSSQDVEKGKGHACREEQLNSTNSLGECEFEVLKLDNQVEENKVMLKSLQDLDSIFKRIDAVEQIEDALSGLKVIEFDGVYIRLSLRTYLPKLEDLLCPQKIEDAAEPSEVNHELLIEVVNGSMELKNAEIFPSDVYINDIIDAANAFRQLFSHSTMETRSSLEWFVRKVQDRIILCTMRRVVVKHANKSRHSFEYVDRDETIVAHLVGGIDAFIKLSQGWPIAKSPLKVLSLKSSDHHSKEISLSFLCKVEEVVNYLDIDVQLNLLTFVEAIEKILVEQMRIELHSDSTSKI >Manes.15G055900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4291710:4297941:1 gene:Manes.15G055900.v8.1 transcript:Manes.15G055900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPSSEPLDLDTIRSELRELEEIRNNCNDDMVSEMCPSDSDQLLKDCALQLESKVEQIMCDCSDFSFLGIEDLDAFVEHLKEELNMAEAESAKISSEIEVLTRNHVEDFTKLESDNELLNCSLDFMSSQDVEKGKGHACREEQLNSTNSLGECEFEVLKLDNQVEENKVMLKSLQDLDSIFKRIDAVEQIEDALSGLKVIEFDGVYIRLSLRTYLPKLEDLLCPQKIEDAAEPSEVNHELLIEVVNGSMELKNAEIFPSDVYINDIIDAANAFRSSLEWFVRKVQDRIILCTMRRVVVKHANKSRHSFEYVDRDETIVAHLVGGIDAFIKLSQGWPIAKSPLKVLSLKSSDHHSKEISLSFLCKVEEVVNYLDIDVQLNLLTFVEAIEKILVEQMRIELHSDSTSKI >Manes.15G055900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4291710:4297942:1 gene:Manes.15G055900.v8.1 transcript:Manes.15G055900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKVEQIMCDCSDFSFLGIEDLDAFVEHLKEELNMAEAESAKISSEIEVLTRNHVEDFTKLESDNELLNCSLDFMSSQDVEKGKGHACREEQLNSTNSLGECEFEVLKLDNQVEENKVMLKSLQDLDSIFKRIDAVEQIEDALSGLKVIEFDGVYIRLSLRTYLPKLEDLLCPQKIEDAAEPSEVNHELLIEVVNGSMELKNAEIFPSDVYINDIIDAANAFRQLFSHSTMETRSSLEWFVRKVQDRIILCTMRRVVVKHANKSRHSFEYVDRDETIVAHLVGGIDAFIKLSQGWPIAKSPLKVLSLKSSDHHSKEISLSFLCKVEEVVNYLDIDVQLNLLTFVEAIEKILVEQMRIELHSDSTSKI >Manes.15G055900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4291710:4297942:1 gene:Manes.15G055900.v8.1 transcript:Manes.15G055900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKVEQIMCDCSDFSFLGIEDLDAFVEHLKEELNMAEAESAKISSEIEVLTRNHVEDFTKLESDNELLNCSLDFMSSQDVEKGKGHACREEQLNSTNSLGECEFEVLKLDNQVEENKVMLKSLQDLDSIFKRIDAVEQIEDALSGLKVIEFDGVYIRLSLRTYLPKLEDLLCPQKIEDAAEPSEVNHELLIEVVNGSMELKNAEIFPSDVYINDIIDAANAFRSSLEWFVRKVQDRIILCTMRRVVVKHANKSRHSFEYVDRDETIVAHLVGGIDAFIKLSQGWPIAKSPLKVLSLKSSDHHSKEISLSFLCKVEEVVNYLDIDVQLNLLTFVEAIEKILVEQMRIELHSDSTSKI >Manes.06G144900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27194917:27199895:1 gene:Manes.06G144900.v8.1 transcript:Manes.06G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDGDDLVSPHDEDMDAGLQTSDRLDLNLDQDSVVTQITGAQCSPSSKGDSITDGVLKIGAEFESDEHAYSFYNKYARLVGFSVRKDWVNRSKVHGLVVSRKFTCSKEGYRRKDKRDANVKKHRKETRTGCLAHMIITRQPNGKYRVTHFEAEHNHDNINPNSGQTLSFQKELCVAEAAEADLPSNLGTESNSTFELMNRRFEVFDSLDYIAMDFDNYLQSERIRDMKRGEAGRLLRYFQRLHFENPTFFHAIQVDVDDKISNIFWADDAMVVDYDHFGDVICLDTTYLTNKVIQPFVQFIGVNHHNQAIIFAAALLFDDTVESLKWLLRTFLEAMSRKKPKVIVTDQDAAIVEAIKSVLPETSHRICVWQMFQNALKHLSQALKDTEPLSCDFRSCIYDHNDDEDFVHAWEALLDKYGLQQNEWLRWMFREREKWSIIYGKNSYFLDAKGFHVAEALHKDLRSNLNSDQDALQFFKVFQRMVDKQRLKEIQANDDMTRCMPRLMGNVVLLKHASDIYTPSAFEIFQREYEKCLNFVVSQCSESSLFLIYKVNKFGQSQEYTVTFNPSDDAVNCSCMKFENVGFLCSHALKVLDNRNIKVVPSQYILKRWTKYARVENMRESKEFIAQENPKSVAGIRYKTLCHRMLKISVRAAESEEAFQYASRQLDEVIEAVEKILMLKPEEAHGIISSSTAANVSESENAETFLDEKTVDDQDENNRVAAIKDNEDTLPDRHQLKYVKKKSFKRKGFPSAQPPEPYTVTSVSSPQEACVSTEAPTPNPLLQGLYNFESNQEIQFMYHQQNPVTSHQDSPTILYQQSNFYSDQHDSPRQTPLLQAMDLDIQHPQPSSFMLYDHRYRASDTSYVRSK >Manes.12G027500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2413944:2419823:1 gene:Manes.12G027500.v8.1 transcript:Manes.12G027500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRQNQIEVISEPRQSLGQISHLALDIGGSLIKVVYFSVSRDDCTDREGESSANDTLGVFKGDKHPILEGRLHFAKFETSNINDCLEFISSRKLHLGGFQHHENLAKDKNLIKATGGGANKFAELFKEKLGIDLDKEDEMDCLVAGATFLLKAFHHEAYTYMDGKKHFVQIDQSNLYPYLLVNIGSGVSMIKVDGEDKFERVSGTNVGGGTFWGLGRLLTKCKSFDELLELSHRGNNRVIDMLVGDINGGMDYSKIGLSSTTIASSFGKAVSNNKELEDYKPEDIAQSLLRMISYNIGQISHLNALQFGLKRIFFGGFFIQDQPHTMDTISVAVHFWSKGEAKAMFLRHEGFLGAIGAYMSYPKNKLAHNQSMQIPLAASYAADPNYNPLNADKESMECSVYAA >Manes.14G101000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8336324:8338753:-1 gene:Manes.14G101000.v8.1 transcript:Manes.14G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYFLNSNPTPALQEPPPPPPPQLVLQDSSITAAAEPNASGQTPLSAIVDAFEDLAKLLKSITDQDNDVDRGELQLDVFCDSCSPVSVLFSCLGLAFKFAESEYVAKVASLVDASKRHKTLQSVLDLDVANGTVRTAGSHSRNLRRVRQGLDLIRALFEQFVSTDDESLKDAATTAYSQVCAPFHTWAVRAAVYAGMYTLPSRDQLLLNLSETNQTAEKKMRRYINASLPVIEYIDKLYISRNISLDW >Manes.14G101000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8336324:8338753:-1 gene:Manes.14G101000.v8.1 transcript:Manes.14G101000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYFLNSNPTPALQEPPPPPPPQLVLQDSSITAAAEPNASGQTPLSAIVDAFEDLAKLLKSITDQDNDVDRGELQLDVFCDSCSPVSVLFSCLGLAFKFAESEYVAKVASLVDASKRHKTLQSVLDLDVANGTVRTAGSHSRNLRRVSDESLKDAATTAYSQVCAPFHTWAVRAAVYAGMYTLPSRDQLLLNLSETNQTAEKKMRRYINASLPVIEYIDKLYISRNISLDW >Manes.15G182401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25990584:25993956:-1 gene:Manes.15G182401.v8.1 transcript:Manes.15G182401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNSQEGQSVVRPPFFDGNDFLYWKNRMYYFLKSEGVDLWDIVENGPFFPTRFIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTAKDMWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLTKVQLDELLGNLIDYEMTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKRFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDSEEEEVEESSDEVTTLDDFTLNDDDVEFSYDELVGALKLMNDELEKSHRKNKILKCELASFKKESENSLKEPLPSNDSLQKSLDELSLENKNLKNEILELKNSLSKFLKGKDKLDEILDSQRSPSIKYGLGYDKSTQANFSKTVFVKATNSHEPKVSSSNGNVPKVSSSNMSMRNAPTRNAHVHQSTSYNTHIRHTPRQFAYKRNDHYRTHTSSSQNHHSNHISCSHAFNKQRRNGHMRTQTHSLTYGPRVCLKSSKIESKWYLDSGCSRHMTGNSNHFISLEKKDGSGQVTFGDNGKGKIVGIGKVVLLVDGLKHNLLSPKSCFVSRMSDNKILFVGERVENIYLIDLQAMTNQDMKCFVSISDNSWIWHRRLSHASMDLLKNLSKDELVDGLPKIKYEKDKVCDACQMGKQVKSSFKAINKVISSRPLQLLHMDLFGPTRVAIDDYSRYTWVVFLAHKDDCFDAFKSFTKKVQNEKGFQISSIRSDHGREFENEKFETFCNKTPQQNDMGRTMLREYNLPTYFWAEAINTACYVSNRLWNGRKPRVSYFRVFGCKCFILNNKDNLGKFDSKTDEGIFLGYSISSKSYRVFNKRTLIVEESMHVVFDESNPFASRKEVSCDDDLVGGFNRGKGR >Manes.02G214300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:28136763:28141677:1 gene:Manes.02G214300.v8.1 transcript:Manes.02G214300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADFDDEIYGLRSQVRQLRNSADRDYLKDVSVRHPEMRAPKVRDSLYWGKRKCQRISTKDSGLINAAVSSLNKFPDDGSFMSKVLSQQNSDIAGSVGSHKNQYECVDALSELMSANQLAAKALHLRMKGKHEEADKLMQEVENIKVKQVTGKNSSGPQKIISTNRYAVQDVSARRKEDDANWHLVQKIMQNKQYSLSGRADDEYDFEDGPSRKARKKGVVSDPNFKEKNVVAKRILTQQERCLFCFENSNRPKHLVVSIANFTYLMLPQLQPVAPGHCCILPMQHESSTRTVDNNVWEEIRNFKKCLIMMFAQQEKDVVFLETVMGLAQQRHHCLIECVPLPREVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPKDFPYFHVEFGPDKGFVHVIDDETQFQNSLGLDVIRGMLGLPEENIYGRRRHESVKKQKQSLSNFARDWKIFDWTKQLD >Manes.02G214300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:28136763:28141677:1 gene:Manes.02G214300.v8.1 transcript:Manes.02G214300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQGLSTRPVAASDEIQLRTDPMQADFDDEIYGLRSQVRQLRNSADRDYLKDVSVRHPEMRAPKVRDSLYWGKRKCQRISTKDSGLINAAVSSLNKFPDDGSFMSKVLSQQNSDIAGSVGSHKNQYECVDALSELMSANQLAAKALHLRMKGKHEEADKLMQEVENIKVKQVTGKNSSGPQKIISTNRYAVQDVSARRKEDDANWHLVQKIMQNKQYSLSGRADDEYDFEDGPSRKARKKGVVSDPNFKEKNVVAKRILTQQERCLFCFENSNRPKHLVVSIANFTYLMLPQLQPVAPGHCCILPMQHESSTRTVDNNVWEEIRNFKKCLIMMFAQQEKDVVFLETVMGLAQQRHHCLIECVPLPREVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPKDFPYFHVEFGPDKGFVHVIDDETQFQNSLGLDVIRGMLGLPEENIYGRRRHESVKKQKQSLSNFARDWKIFDWTKQLD >Manes.02G214300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:28136728:28141872:1 gene:Manes.02G214300.v8.1 transcript:Manes.02G214300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSSNGGSLYGGAAPYRPRQGLSTRPVAASDEIQLRTDPMQADFDDEIYGLRSQVRQLRNSADRDYLKDVSVRHPEMRAPKVRDSLYWGKRKCQRISTKDSGLINAAVSSLNKFPDDGSFMSKVLSQQNSDIAGSVGSHKNQYECVDALSELMSANQLAAKALHLRMKGKHEEADKLMQEVENIKVKQVTGKNSSGPQKIISTNRYAVQDVSARRKEDDANWHLVQKIMQNKQYSLSGRADDEYDFEDGPSRKARKKGVVSDPNFKEKNVVAKRILTQQERCLFCFENSNRPKHLVVSIANFTYLMLPQLQPVAPGHCCILPMQHESSTRTVDNNVWEEIRNFKKCLIMMFAQQEKDVVFLETVMGLAQQRHHCLIECVPLPREVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRGSIPKDFPYFHVEFGPDKGFVHVIDDETQFQNSLGLDVIRGMLGLPEENIYGRRRHESVKKQKQSLSNFARDWKIFDWTKQLD >Manes.04G056744.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11988810:11991408:1 gene:Manes.04G056744.v8.1 transcript:Manes.04G056744.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILCSKKPKYLTLI >Manes.04G056744.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11988810:11990327:1 gene:Manes.04G056744.v8.1 transcript:Manes.04G056744.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEILKTLFEIVLFEDCGN >Manes.04G056744.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11988810:11990024:1 gene:Manes.04G056744.v8.1 transcript:Manes.04G056744.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHFVFILNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPAAINLARHIVDCPSLFPEVSCYILYYKGHVHLCLLKRKERGAVDVRSREGQY >Manes.15G053900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4140064:4141912:-1 gene:Manes.15G053900.v8.1 transcript:Manes.15G053900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFYLHLCMFSSRSHTKISTMEDQFPKMETFMHKDYSFHGMATASKYFDDPIIWGAPTEAATCGDNGTSGLKSTASSPERFLSSSDSCSSAEEASGTICNIPGFVKPDVTKQNHASSFLSGLSSINPSSGASLSDIKESSIPVDFLESFPKINQTHQVSEPSSPSKFPNLTLFLQEPSLLDPSKQPITCSLLSENQRLEPMLLFPNTSFSMPQLEKIHCSPSEEWLKINQTLTDYPTKGFNDYWLSTTKTQPMKCTGRRLQNQQQKSSLSSTSAPGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAIAYDTAAYMLRGDYAHLNFPDLKHQLKANSSNGATAALLEAKLQAISQGITGQKKQNIDPPLTSPNKHVYENNTKLKGLGQNPSRKEWQFQLESEVGFDGEMNENKKAQEAVESEMDGVQLSRMPSLDMDMIWDAFRQALFNLEHGRKDAWLCQVKEAHTKWAII >Manes.08G122600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36232287:36240526:-1 gene:Manes.08G122600.v8.1 transcript:Manes.08G122600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVPQGGDRTRTNWTPMMEQYFIDLMLDQMHRGNRMGHTFNKQAWADMLTMFNAKFGTKYDRDILKSHYTNLWKQYNDVKNLLEQNGFSWDDTQKMVIADDDVWDAFIKVHPDAQFYKRKSLMNFNDLCLIYAYTTADGRYSRSSHDVDIDDDIQGLSIGGIAPVGSERVKTDWTPAMDRYFVELMLDQLKKGHKMDKTFSKQAWKDMLTLFNAKFCSQYGKTFLKRRYKKLFKYYSDIRSLLERKGFSWYERQQMIVAEDAAWEKIIKAHPGAHSYRKKTLLNYRELNLIYGNEVVNGHLDLDQDKNFEDDTTQVRPCEEKDVNFSVLSNGFGPCWTPAMDHYFVDLLQDQALRGNKIGLELTTEAWIEMIKLFNERFGSHYEKEILKNRYIHLRRQYNVVNFLLEQNGFSWDENQEIVTAEDYVWDSFVKVHPDVRSHRNKTVPSYHKLCVIFGEEISKGRYSLSTHNVDLDSNGPAFMIGEDVQCYTNGDCARADWTPPMFRYFIDLLLDKVIRGNKIDDALDNHTWIDMAMLFKEKFELKLDKNVLKYYHKSLGRLFNDMKNLLTQRGFSWDESQQLVTAYNDVWDAYAKGNPDAVSYGTDCKPNYNDLFLIYGNSASDGGGGDQSCPGTKPNNSCCRRTDWTPPMDRYFIDLMIEHVHHGSMVNLRFNRQAWSDMAAKMSAEFGFQHDKDVLKCHFMDLMKRFNGMKSLLDQEGFAWDELRQMIIADDDLWNSYLKENPDAWSYRNRTLPNYNDLFLIFGDANNNGRLSYSCHAMAADEYILGPNFGDEDGLSPSDFDHSQINWTKTMEVYFIELLLEQVIKGNKIGQTFNEHAWSWIIASFNEKFGLLCDRDAVESWYFSLMEEYDNITVLLNQNGFYWDETERTIIADDDYWLSYIQEHPHASKYKDRILSSYDDLCVIYGNELPVRRSSNLGVKMEIETDMGLYGINGDANQTEEFETSDRRKKRKLVTSSTSVASRKVQRQKMEDSKALGRKLHMVKTMGSKEAKEHMSIEVIVDALQAIPDMDDELFLEACQLLENEKKAKVFVGMDVNQRRKWLFTKLHR >Manes.04G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33217704:33221102:1 gene:Manes.04G129800.v8.1 transcript:Manes.04G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEQESLRSRLFNFKGTSDNSGARHVKSHSVDDCSSLVDSSMDDKINLKSQGSKQSKDQSEKQQNGTAPNRNEKDPKSRASKDEAAPAAAQAKEKTHPDMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQWRLEPMSVERKTRWRREIDWLLCVTDYIVEFVASQQKSKDGSNMEIMVTRQRNDLHMSIPALRKLDAMLIGCLDNFKDQNEFYYVSRDAPDSEKGNTKRKDDKWWLPTAKVPPNGLSEAARKFVQYQKDCVNQVLKAAMAINANVLSEMEIPENYIENLPKNGRASLGDSIYRSITVEFFDPDQFLTTMDMTSEHRILDLKNRIEASIVIWKRKMNQKDGKSAWGSAVSLEKRELFEERAETILLILKQRFPGIPQSSLDISKIQYNRDIGQAILESYSRILESLAYTVLSRIEDVLYADYVTRNPSYAGQKRNPLMETPQDSTTSMDETFTEGSNSMTLSDFMGWNLEANDEAKTETPEAPDETV >Manes.04G129800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33216342:33221102:1 gene:Manes.04G129800.v8.1 transcript:Manes.04G129800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQWRLEPMSVERKTRWRREIDWLLCVTDYIVEFVASQQKSKDGSNMEIMVTRQRNDLHMSIPALRKLDAMLIGCLDNFKDQNEFYYVSRDAPDSEKGNTKRKDDKWWLPTAKVPPNGLSEAARKFVQYQKDCVNQVLKAAMAINANVLSEMEIPENYIENLPKNGRASLGDSIYRSITVEFFDPDQFLTTMDMTSEHRILDLKNRIEASIVIWKRKMNQKDGKSAWGSAVSLEKRELFEERAETILLILKQRFPGIPQSSLDISKIQYNRDIGQAILESYSRILESLAYTVLSRIEDVLYADYVTRNPSYAGQKRNPLMETPQDSTTSMDETFTEGSNSMTLSDFMGWNLEANDEAKTETPEAPDETV >Manes.02G029700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2481122:2482925:-1 gene:Manes.02G029700.v8.1 transcript:Manes.02G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFHSRSISFFLIALTSAFISTSTATGYTPVFQPSQWSLAHATFYGDETASATMGGACGYGNLFQNGYGTDTVALSTTLFNNGYACGSCYQIMCTQSPWCYKGVFATVAATNLCPPNWSEDSNNGGWCNPPRVHFDMSKPAFMKIAQWKAGIVPVMYRRVPCRRTDGLRFSFQGNGYWLLVYVMNVGGGGDIANMWVKGSKTGWISMSHNWGASYQAFATLGGQALSFKITSYTTKETIYAWNVAPANWNVGLTYKSNVNFH >Manes.05G060200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5071176:5085067:1 gene:Manes.05G060200.v8.1 transcript:Manes.05G060200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKKLKYSTPATKKSNSNQQRSQQPVKHGIQHFFQRHTQNALSASQSCTSSHPQLPSSASSTIAQNPHVAPDNPKQPPHSSATLNPCNAAPQTVHGPANGIAPNSAASTLPVLKAPITSPPIHPDIANGSNARIFDAKNALQDLPSENVMTNDMDAAKDCPADISPEISKSVSLDLHRFKFSPGMLIKQSQDDGGEEITWRISPVNERLQAVSKHLPEVTKFWADTSKINSLSMRPCSLNTEATETTLLPANGDNLKRVNPFQDMAIHVSVSDMNGSLATRQSPFRTPPSLSYCHERPANDVQCDEPSNQPILRQHKKALLELLDQVEDVISVEDSVLIGNKAYSPTDQQINGTEMALKSSPLVPREAPVPQEKLTRSTSNHKFLILEVSEKHRPADSSGAHCPYKVLRLLNEQTGEERSVYLHGEWFYSVIAPGDTVNVIGEFDDQGNCEVDHDNNLLIVHPDILVSGTRVAASFSCARRSVLDERLKCSEQSDAALIGTLLHQIFQAGLMDEKPTAKYLEGYAKVALQKNIETLYACGVNENDMLKTLVEAIPKILNWIILFKGSQDSKAPIVDFGSDYGLKNLKISEVIDIEEMAWAPKYGLKGIIDASVRVKVESSGNEVNEKILPLEFKTGKVPNGQSSVEHCAQVILYTLLMSERYLKHIDSGILHYLQSDQTQGIMVRRSDVVGLIMRRNELASDILKASKIQQLPPVLQSPSMCRNCRHLAVCTIYHKVHGGSKESSGLGDLYDSRVHHLSAAHCAFLQQWDRLIDLEAKETQLVKSEIWHSNGIKSDRSTNCISSIVLDSSNGLSHQKSLKDNRFIYRFVPQMVPSHNVCVSNGDSVDAPSPPRHGLDCTLKIGDYVILSTESGHQTVASGVITDIGQFHVSVSLSKRLRLPSSNSVPEANDLLQEVWRIDKDETMTSFSVMRSNLVQLFLQAEQSSHLRKIVVDLEPPRFDSGCVFSQDPAISYIWSVKSLNGDQRRAILKILTAKDYALILGMPGTGKTSTMVYAVKALLMRGASILLTSYTNSAVDNLLIKLKAQGIDFLRIGRHEAVNEEVRANCFSAMDIQSIEEISLRFDQVKVVAVTCLGVTSPLLANKKFDICIMDEAGQITLPISLGPLMFTSKFVLVGDHYQLPPLVQSTEARENGMGISLFCRLSEAHPQAISALQSQYRMCQGIMELSNALIYGDRLRCGSSEIANGKLNFSRLKSCSSWLNEVLNPHRPIVFVNTDKLPAFEAKDSKTVNNPVEAYILAEITQELIKSGIESEDIGIITPYNSQANLIRTTISKISVEINTIDKYQGRDKDCILVSFVRSSENPRNYNSSLLGDWHRINVALTRAKKKLIMVGSCKTLSTIPLLKLLIEKVEEQSGILNVSQKDIKIQEPIVEQNNKF >Manes.05G060200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5071176:5085067:1 gene:Manes.05G060200.v8.1 transcript:Manes.05G060200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKKLKYSTPATKKSNSNQQRSQQPVKHGIQHFFQRHTQNALSASQSCTSSHPQLPSSASSTIAQNPHVAPDNPKQPPHSSATLNPCNAAPQTVHGPANGIAPNSAASTLPVLKAPITSPPIHPDIANGSNARIFDAKNALQDLPSENVMTNDMDAAKDCPADISPEISKSVSLDLHRFKFSPGMLIKQSQDDGGEEITWRISPVNERLQAVSKHLPEVTKFWADTSKINSLSMRPCSLNTTSHIAGKVDKQLSSSIQEATETTLLPANGDNLKRVNPFQDMAIHVSVSDMNGSLATRQSPFRTPPSLSYCHERPANDVQCDEPSNQPILRQHKKALLELLDQVEDVISVEDSVLIGNKAYSPTDQQINGTEMALKSSPLVPREAPVPQEKLTRSTSNHKFLILEVSEKHRPADSSGAHCPYKVLRLLNEQTGEERSVYLHGEWFYSVIAPGDTVNVIGEFDDQGNCEVDHDNNLLIVHPDILVSGTRVAASFSCARRSVLDERLKCSEQSDAALIGTLLHQIFQAGLMDEKPTAKYLEGYAKVALQKNIETLYACGVNENDMLKTLVEAIPKILNWIILFKGSQDSKAPIVDFGSDYGLKNLKISEVIDIEEMAWAPKYGLKGIIDASVRVKVESSGNEVNEKILPLEFKTGKVPNGQSSVEHCAQVILYTLLMSERYLKHIDSGILHYLQSDQTQGIMVRRSDVVGLIMRRNELASDILKASKIQQLPPVLQVHGGSKESSGLGDLYDSRVHHLSAAHCAFLQQWDRLIDLEAKETQLVKSEIWHSNGIKSDRSTNCISSIVLDSSNGLSHQKSLKDNRFIYRFVPQMVPSHNVCVSNGDSVDAPSPPRHGLDCTLKIGDYVILSTESGHQTVASGVITDIGQFHVSVSLSKRLRLPSSNSVPEANDLLQEVWRIDKDETMTSFSVMRSNLVQLFLQAEQSSHLRKIVVDLEPPRFDSGCVFSQDPAISYIWSVKSLNGDQRRAILKILTAKDYALILGMPGTGKTSTMVYAVKALLMRGASILLTSYTNSAVDNLLIKLKAQGIDFLRIGRHEAVNEEVRANCFSAMDIQSIEEISLRFDQVKVVAVTCLGVTSPLLANKKFDICIMDEAGQITLPISLGPLMFTSKFVLVGDHYQLPPLVQSTEARENGMGISLFCRLSEAHPQAISALQSQYRMCQGIMELSNALIYGDRLRCGSSEIANGKLNFSRLKSCSSWLNEVLNPHRPIVFVNTDKLPAFEAKDSKTVNNPVEAYILAEITQELIKSGIESEDIGIITPYNSQANLIRTTISKISVEINTIDKYQGRDKDCILVSFVRSSENPRNYNSSLLGDWHRINVALTRAKKKLIMVGSCKTLSTIPLLKLLIEKVEEQSGILNVSQKDIKIQEPIVEQNNKF >Manes.05G060200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5071176:5085067:1 gene:Manes.05G060200.v8.1 transcript:Manes.05G060200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKKLKYSTPATKKSNSNQQRSQQPVKHGIQHFFQRHTQNALSASQSCTSSHPQLPSSASSTIAQNPHVAPDNPKQPPHSSATLNPCNAAPQTVHGPANGIAPNSAASTLPVLKAPITSPPIHPDIANGSNARIFDAKNALQDLPSENVMTNDMDAAKDCPADISPEISKSVSLDLHRFKFSPGMLIKQSQDDGGEEITWRISPVNERLQAVSKHLPEVTKFWADTSKINSLSMRPCSLNTTSHIAGKVDKQLSSSIQEATETTLLPANGDNLKRVNPFQDMAIHVSVSDMNGSLATRQSPFRTPPSLSYCHERPANDVQCDEPSNQPILRQHKKALLELLDQVEDVISVEDSVLIGNKAYSPTDQQINGTEMALKSSPLVPREAPVPQEKLTRSTSNHKFLILEVSEKHRPADSSGAHCPYKVLRLLNEQTGEERSVYLHGEWFYSVIAPGDTVNVIGEFDDQGNCEVDHDNNLLIVHPDILVSGTRVAASFSCARRSVLDERLKCSEQSDAALIGTLLHQIFQAGLMDEKPTAKYLEGYAKVALQKNIETLYACGVNENDMLKTLVEAIPKILNWIILFKGSQDSKAPIVDFGSDYGLKNLKISEVIDIEEMAWAPKYGLKGIIDASVRVKVESSGNEVNEKILPLEFKTGKVPNGQSSVEHCAQVILYTLLMSERYLKHIDSGILHYLQSDQTQGIMVRRSDVVGLIMRRNELASDILKASKIQQLPPVLQSPSMCRNCRHLAVCTIYHKVHGGSKESSGLGDLYDSRVHHLSAAHCAFLQQWDRLIDLEAKETQLVKSEIWHSNGIKSDRSTNCISSIVLDSSNGLSHQKSLKDNRFIYRFVPQMVPSHNVCVSNGDSVDAPSPPRHGLDCTLKIGDYVILSTESGHQTVASGVITDIGQFHVSVSLSKRLRLPSSNSVPEANDLLQEVWRIDKDETMTSFSVMRSNLVQLFLQAEQSSHLRKIVVDLEPPRFDSGCVFSQDPAISYIWSVKSLNGDQRRAILKILTAKDYALILGMPGTGKTSTMVYAVKALLMRGASILLTSYTNSAVDNLLIKLKAQGIDFLRIGRHEAVNEEVRANCFSAMDIQSIEEISLRFDQVKVVAVTCLGVTSPLLANKKFDICIMDEAGQITLPISLGPLMFTSKFVLVGDHYQLPPLVQSTEARENGMGISLFCRLSEAHPQAISALQSQYRMCQGIMELSNALIYGDRLRCGSSEIANGKLNFSRLKSCSSWLNEVLNPHRPIVFVNTDKLPAFEAKDSKTVNNPVEAYILAEITQELIKSGIESEDIGIITPYNSQANLIRTTISKISVEINTIDKYQGRDKDCILVSFVRSSENPRNYNSSLLGDWHRINVALTRAKKKLIMVGSCKTLSTIPLLKLLIEKVEEQSGILNVSQKDIKIQEPIVEQNNKF >Manes.05G060200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5071176:5085067:1 gene:Manes.05G060200.v8.1 transcript:Manes.05G060200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKKLKYSTPATKKSNSNQQRSQQPVKHGIQHFFQRHTQNALSASQSCTSSHPQLPSSASSTIAQNPHVAPDNPKQPPHSSATLNPCNAAPQTVHGPANGIAPNSAASTLPVLKAPITSPPIHPDIANGSNARIFDAKNALQDLPSENVMTNDMDAAKDCPADISPEISKSVSLDLHRFKFSPGMLIKQSQDDGGEEITWRISPVNERLQAVSKHLPEVTKFWADTSKINSLSMRPCSLNTEATETTLLPANGDNLKRVNPFQDMAIHVSVSDMNGSLATRQSPFRTPPSLSYCHERPANDVQCDEPSNQPILRQHKKALLELLDQVEDVISVEDSVLIGNKAYSPTDQQINGTEMALKSSPLVPREAPVPQEKLTRSTSNHKFLILEVSEKHRPADSSGAHCPYKVLRLLNEQTGEERSVYLHGEWFYSVIAPGDTVNVIGEFDDQGNCEVDHDNNLLIVHPDILVSGTRVAASFSCARRSVLDERLKCSEQSDAALIGTLLHQIFQAGLMDEKPTAKYLEGYAKVALQKNIETLYACGVNENDMLKTLVEAIPKILNWIILFKGSQDSKAPIVDFGSDYGLKNLKISEVIDIEEMAWAPKYGLKGIIDASVRVKVESSGNEVNEKILPLEFKTGKVPNGQSSVEHCAQVILYTLLMSERYLKHIDSGILHYLQSDQTQGIMVRRSDVVGLIMRRNELASDILKASKIQQLPPVLQVHGGSKESSGLGDLYDSRVHHLSAAHCAFLQQWDRLIDLEAKETQLVKSEIWHSNGIKSDRSTNCISSIVLDSSNGLSHQKSLKDNRFIYRFVPQMVPSHNVCVSNGDSVDAPSPPRHGLDCTLKIGDYVILSTESGHQTVASGVITDIGQFHVSVSLSKRLRLPSSNSVPEANDLLQEVWRIDKDETMTSFSVMRSNLVQLFLQAEQSSHLRKIVVDLEPPRFDSGCVFSQDPAISYIWSVKSLNGDQRRAILKILTAKDYALILGMPGTGKTSTMVYAVKALLMRGASILLTSYTNSAVDNLLIKLKAQGIDFLRIGRHEAVNEEVRANCFSAMDIQSIEEISLRFDQVKVVAVTCLGVTSPLLANKKFDICIMDEAGQITLPISLGPLMFTSKFVLVGDHYQLPPLVQSTEARENGMGISLFCRLSEAHPQAISALQSQYRMCQGIMELSNALIYGDRLRCGSSEIANGKLNFSRLKSCSSWLNEVLNPHRPIVFVNTDKLPAFEAKDSKTVNNPVEAYILAEITQELIKSGIESEDIGIITPYNSQANLIRTTISKISVEINTIDKYQGRDKDCILVSFVRSSENPRNYNSSLLGDWHRINVALTRAKKKLIMVGSCKTLSTIPLLKLLIEKVEEQSGILNVSQKDIKIQEPIVEQNNKF >Manes.05G060200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5071176:5085067:1 gene:Manes.05G060200.v8.1 transcript:Manes.05G060200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRKKLKYSTPATKKSNSNQQRSQQPVKHGIQHFFQRHTQNALSASQSCTSSHPQLPSSASSTIAQNPHVAPDNPKQPPHSSATLNPCNAAPQTVHGPANGIAPNSAASTLPVLKAPITSPPIHPDIANGSNARIFDAKNALQDLPSENVMTNDMDAAKDCPADISPEISKSVSLDLHRFKFSPGMLIKQSQDDGGEEITWRISPVNERLQAVSKHLPEVTKFWADTSKINSLSMRPCSLNTTSHIAGKVDKQLSSSIQEATETTLLPANGDNLKRVNPFQDMAIHVSVSDMNGSLATRQSPFRTPPSLSYCHERPANDVQCDEPSNQPILRQHKKALLELLDQVEDVISVEDSVLIGNKAYSPTDQQINGTEMALKSSPLVPREAPVPQEKLTRSTSNHKFLILEVSEKHRPADSSGAHCPYKVLRLLNEQTGEERSVYLHGEWFYSVIAPGDTVNVIGEFDDQGNCEVDHDNNLLIVHPDILVSGTRVAASFSCARRSVLDERLKCSEQSDAALIGTLLHQIFQAGLMDEKPTAKYLEGYAKVALQKNIETLYACGVNENDMLKTLVEAIPKILNWIILFKGSQDSKAPIVDFGSDYGLKNLKISEVIDIEEMAWAPKYGLKGIIDASVRVKVESSGNEVNEKILPLEFKTGKVPNGQSSVEHCAQVILYTLLMSERYLKHIDSGILHYLQSDQTQGIMVRRSDVVGLIMRRNELASDILKASKIQQLPPVLQSPSMCRNCRHLAVCTIYHKVHGGSKESSGLGDLYDSRVHHLSAAHCAFLQQWDRLIDLEAKETQLVKSEIWHSNGIKSDRSTNCISSIVLDSSNGLSHQKSLKDNRFIYRFVPQMVPSHNVCVSNGDSVDAPSPPRHGLDCTLKIGDYVILSTESGHQTVASGVITDIGQFHVSVSLSKRLRLPSSNSVPEANDLLQEVWRIDKDETMTSFSVMRSNLVQLFLQAEQSSHLRKIVVDLEPPRFDSGCVFSQDPAISYIWSVKSLNGDQRRAILKILTAKDYALILGMPGTGKTSTMVYAVKALLMRGASILLTSYTNSAVDNLLIKLKAQGIDFLRIGRHEAVNEEVRANCFSAMDIQSIEEISLRFDQVKVVAVTCLGVTSPLLANKKFDICIMDEAGQITLPQISLGPLMFTSKFVLVGDHYQLPPLVQSTEARENGMGISLFCRLSEAHPQAISALQSQYRMCQGIMELSNALIYGDRLRCGSSEIANGKLNFSRLKSCSSWLNEVLNPHRPIVFVNTDKLPAFEAKDSKTVNNPVEAYILAEITQELIKSGIESEDIGIITPYNSQANLIRTTISKISVEINTIDKYQGRDKDCILVSFVRSSENPRNYNSSLLGDWHRINVALTRAKKKLIMVGSCKTLSTIPLLKLLIEKVEEQSGILNVSQKDIKIQEPIVEQNNKF >Manes.01G237000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39903464:39908900:1 gene:Manes.01G237000.v8.1 transcript:Manes.01G237000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRNFTGATALSSSSHSAAAGSLFSYRLVLRTDSSSRIPRCSFRVQELSREEPLESQLDLSRVFTLTQSDKNDRVLGEGLEEEEEQEEEDEKGISKIRVARQKYIPVSKAEILNAIALKLFESQDDADEFRLLSSRLDSILHAEHKRILEEMRTDYFLNHSGDNEERKDNGLANADGKSGVNGDNSDSVSDSINGIGRIGDSGEKPQLDMQLNFYYGLDLRNLLVSSEKIDERCSNGESRLAVATRFQRAFMQLLDNAQFQELSARDLMLTSALNSDYLLTLPIYVDWKKASESNAIIFRRGYATERQKGLLIVEKLDYIQSILLKRIFFLVSKPLEKVGKWIKEAITNASQTPKAQDWFKNVTLWLEELSLFQNSYSNNGQASDRPLEVGQISDSDVPIWLAAQRAVSRYEGFLSPVGPRGRLLRKLLTWIGLVPRIPATPLELESDNNTSEPHLRPIFLSRISLSDIWRPATRKFCGNDLWKMLKTSFSILLSQSVLEEPAFQELILLYTKEVTESDTKDKAEVPSLQLKIYERIPIPDLPVIFPHKKLSFRIIDTVRLDVATILGLSAYFINYKFEDILSSPSAILLDVIAITALIIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVHFLLDASEQQQYKEAILAYAILLKVKNGQVSCHRSFGDECERFMYDALKVKVEMPVDKALSTLIRLGLVVKTPIDGRIRLQAVPCKTAHEVLKERWNALLS >Manes.01G237000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39903464:39908900:1 gene:Manes.01G237000.v8.1 transcript:Manes.01G237000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDYFLNHSGDNEERKDNGLANADGKSGVNGDNSDSVSDSINGIGRIGDSGEKPQLDMQLNFYYGLDLRNLLVSSEKIDERCSNGESRLAVATRFQRAFMQLLDNAQFQELSARDLMLTSALNSDYLLTLPIYVDWKKASESNAIIFRRGYATERQKGLLIVEKLDYIQSILLKRIFFLVSKPLEKVGKWIKEAITNASQTPKAQDWFKNVTLWLEELSLFQNSYSNNGQASDRPLEVGQISDSDVPIWLAAQRAVSRYEGFLSPVGPRGRLLRKLLTWIGLVPRIPATPLELESDNNTSEPHLRPIFLSRISLSDIWRPATRKFCGNDLWKMLKTSFSILLSQSVLEEPAFQELILLYTKEVTESDTKDKAEVPSLQLKIYERIPIPDLPVIFPHKKLSFRIIDTVRLDVATILGLSAYFINYKFEDILSSPSAILLDVIAITALIIYVTRVALGYKQTWDRYQLLVNRTLYEKTLASGFGSVHFLLDASEQQQYKEAILAYAILLKVKNGQVSCHRSFGDECERFMYDALKVKVEMPVDKALSTLIRLGLVVKTPIDGRIRLQAVPCKTAHEVLKERWNALLS >Manes.06G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1856179:1859707:-1 gene:Manes.06G011800.v8.1 transcript:Manes.06G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKGFLLPFLLMIIHFAVSASMDTIAINQTIEDGGFLISKENNFVLGFFSPGNPKYRYLGIWYYKVREQTVVWVANRNHPINGSSGVLSVNQYGNLVLYSNHSRKVPVWSANVSREVTKTDTCCVAQLLDSGNLILVQERSGRVLWESFDYPTDTQLPAMKFGLNRETGIHQFLTSWRSADDPGTGDYVLELNLKGSPQGILYKGTKRYWRVVPWPGKNHVNGLNFSFVHNQKETFVTFFPVDASLILRTKLEYSGLVLHLIWHESEGKWKELRSAPKYLCEFYGHCGPYSMCNPLYLYPKFECDCLPGYEPQSPRDWNFLKDGSGGCVRKRKESSSLCNQGEGFVKVTAVKVPDTSEAVWLGLNMSPVDCELQCKRNCTCSAYSSTSLSGKETGCLAWYGELTDTVIDIGEGSDIYVRVDALELAEITQKSNGFLEKKHLLAILLVSIFSAWFVIILFAYLWFKKKRKTVRNKWNAKLFNTINDPYYIENEDGGGVSYPEIVYFNLSIILSATDNFSLANKLGQGGFGLVYKGQLSNGQEIAVKRLSKSSGQGIKEFKNEVLLIAKLQHQNLVKILGCCIQGEEPMLVYEYMPNKSLDSFLFDETRRSILDWRKRFDIIVGIARGILYIHQDSRLRIIHRDLKTSNILLDKEMNPKISDFGLARVFKGDQSLEKTNRIAGTFGYMSPEYVAFGKFSTKSDVFSFGVILLEIVTGKKNNSFCQEDSYLSMAGKIWHLWKEERALEMVDSSLKESCSGHEVLRCIQIGLLCVQEDAFERPSMSAVVVMLNSEISLPSPRQPPFTFRKPSNSYSPLVAQKEFYCVDEETITEVVCR >Manes.05G181000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29783342:29787464:1 gene:Manes.05G181000.v8.1 transcript:Manes.05G181000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLLLRCPNWISPPLPTSHQLLPPSSKTPLFSWIPPAPAPPAGQPSSSRSHNSRPALRSLSCTSAGGGAGTESQPLQQHQGMAAQDEKDPRLARISSSIRVIPDFPKKGILFQDITTLLLDTKAFKDTIDLFVDRYKGKKISVVAGIEARGFIFGPPIALAIGAKFVPMRKPKKLPGEVISEEYSLEYGKDAMEMHVGAVQAGERALVIDDLIATGGTLCAAIRLLERVGVHVVECACVIELPELKGRERLGDKPLFVLVNG >Manes.05G181000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29783342:29787464:1 gene:Manes.05G181000.v8.1 transcript:Manes.05G181000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLLLRCPNWISPPLPTSHQLLPPSSKTPLFSWIPPAPAPPAGQPSSSRSHNSRPALRSLSCTSAGGGAGTESQPLQQHQGMAAQDEKDPRLARISSSIRVIPDFPKKGILFQDITTLLLDTKAFKDTIDLFVDRYKGKKISVVAARGFIFGPPIALAIGAKFVPMRKPKKLPGEVISEEYSLEYGKDAMEMHVGAVQAGERALVIDDLIATGGTLCAAIRLLERVGVHVVECACVIELPELKGRERLGDKPLFVLVNG >Manes.05G181000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29783332:29787675:1 gene:Manes.05G181000.v8.1 transcript:Manes.05G181000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLLLRCPNWISPPLPTSHQLLPPSSKTPLFSWIPPAPAPPAGQPSSSRSHNSRPALRSLSCTSAGGGGTESQPLQQHQGMAAQDEKDPRLARISSSIRVIPDFPKKGILFQDITTLLLDTKAFKDTIDLFVDRYKGKKISVVAGIEARGFIFGPPIALAIGAKFVPMRKPKKLPGEVISEEYSLEYGKDAMEMHVGAVQAGERALVIDDLIATGGTLCAAIRLLERVGVHVVECACVIELPELKGRERLGDKPLFVLVNG >Manes.18G054800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4668651:4672670:-1 gene:Manes.18G054800.v8.1 transcript:Manes.18G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRAFCTTIPRDHADTTSISDKQQTSPSHSPVPRSCAKLAFLSGGSNPTTPRLQSQPISSPSLRCRTTTNASEPPSTNESPMFHSKTTPRAAKSSNPSSPRSPLKLSLFKNSFKFRSSCGICLNSVKTGQGRAIYTAECAHAFHFPCIAAHVRKHGSLVCPVCNATWKDVPLLAIHKNLHPQNDTVQNNNADTDSNCNNKPKLEEKKVVVVESSPRAIKTTPRHEPQQPLPLNPKTSDSRSYDDDEPLLSPTAGGRFIPIPEADENVEDGEDDDVEEFQGFFVNPTPSIKSDDVTLNGSGDSRNVQVRLLPEAAVVSVGRGYETYAVALRVKAPPPPQQARSRNTAPLLDPSHRAPIDLVTVLDVSGSMTGAKLQMLKRAMRLVISSLGSADRLSIVAFSSSPKRLLPLRRMTAHGQRSARRIIDRLVCGQGTSVGDALRKASKVLEDRRERNPVASIMLLSDGQDERVQSSSGNQRHASVHVSSTRFAHIEIPVHAFGFGQSGSYSHEPAEDAFAKCVGGLLSVVVQDLRVQLSFASGSAPAEILAVYSCDARPTVLSSGSVRLGDLYAEEERELLVELRVPSSAVGSHHVISVRCLYKDPATQEVVYGRDRALLVPRPHAVRSSAPKIERLRNHFITTRAIAEARRLLEHNDFTSAHHLLASSRALILQSSSICTDGYVRGLEAELAELHWRKQHQLEQQQQQQMMIQRRKGSERETMVVIDENGEPLTPTSAWRAAEKLAKVAMMKKSLNKDSDLHGFENARF >Manes.17G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24492861:24494080:-1 gene:Manes.17G047900.v8.1 transcript:Manes.17G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKMWDDVVAGPQPDRGLGKLRKISTKPLDGERETSKFQRSLSMPASPGTPSTPVTPTTPLSARKDNVWRSVFNPGSNLATKGLGAQLFDKPQPNSPTVYDWLYSGETRSKHH >Manes.17G047900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24492861:24494080:-1 gene:Manes.17G047900.v8.1 transcript:Manes.17G047900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKMWDDVVAGPQPDRGLGKLRKISTKPLDGERETSKFQRSLSMPASPGTPSTPVTPTTPLSARKDNVWRSVFNPGSNLATKGLGAQLFDKPQPNSPTVYDW >Manes.01G249200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40862829:40868099:-1 gene:Manes.01G249200.v8.1 transcript:Manes.01G249200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRQSLPSWISAAAASRVDIDGNVSSVSDTMFYSNAVKKDDSKPVSLDANLGLMERAFSAAGAAVVSAIIVNPLDVAKTRLQAQAAGAPSQGICRASCLDANKMLPGLKYAVPDSEPMCTAECSRYKGTLDVFCKVIRQEGFGRLWRGTNASLALAVPTVGIYLPCYDIFRNLMEDFTNQNASALTPYVPLVAGSVARSLACVTCYPVELARTRMQAFKEIQNGKKPPGVWKTLIELIKPVKSSNNLQKMQSYRILWTGLGAQLARDVPFSAICWSTLEPIRRGIHDLVDDEGSVASVLGANFSAGFVAGTLAATATCPLDVARTRRQIEKDPSRALNMTTRKTLQEIWRDGGLKGLFTGIGPRVARTGPSVGIVVSFYEVVKYMIHRRHQLTN >Manes.09G075037.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16019183:16020447:1 gene:Manes.09G075037.v8.1 transcript:Manes.09G075037.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFTDNRDIIRPGITRFATNFSALEYIVRYKVGLRNMFESEQWMMSKYGQATSSPACEAKKFVLGLGSEGRNFWERAQQIMKVQEPLLKVLRLVDGDDKPTMGFIYEAMERAKLAIQKNSRSYLEYWRIIDDRWNFQLHHDLHAAGYFLNPQYQYGLHDIGNDNEIMLDLKNVIQRLEGDLVNQGKALNQALLFRDKIESFGTALAQKAIKFTNPGTKFIK >Manes.04G162100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35605377:35606786:-1 gene:Manes.04G162100.v8.1 transcript:Manes.04G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQPLLLLLLLLRSTAPKTISYPDFQYLNVKEAIAQTVTKPLNTSQHRQPLFRNHNGTHTDGKGKLQLLHRDRITSNNSNLFHARIHRDMKRVASLITRLSGSGTATYTEGDFGAEVVSGMNQGSGEYFVRIGVGSPPKDQYVVIDSGSDIVWVQCQPCTQCYHQTDPVFDPANSASFIGVSCSSAVCDRVENSGCHAGRCRYEVMYGDGSYTKGTLALETLTFGRTVVRNVAIGCGHSNRGMFVGAAGLIGLGGGSLSLVGQLGGQTGGAFSYCLVSRGTDSTGSLEFGRGAMPVGAAWIPLIRNPRAPSFYYIGLSGLGVGGVKVGISEDVFQLNEMGNGGVVMDTGTAVTRLPTAAYAAFRDAFIAETGNLPRASGVSIFDTCYNLYGFVSVRVPTVSFYFSGGPILTLPARNFLIPVDDVGTFCFAFAASPSGLSIIGNIQQEGIQISFDGANGFVGFGPNVC >Manes.12G053501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4497279:4498001:1 gene:Manes.12G053501.v8.1 transcript:Manes.12G053501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGRKRREKNYKAAHGGHTRLPPPPDHSQVDALPSKLHHIMSFTSHLLDGSAKPSKSTEEKRKRGGGNAEKKLPPENAITSKAIVDEGEDENLLTTQHSDDSDETVRSSNDEKRKKKRKRKRMQVIDLWFDTSMEKTKSKTEEDLDFPGHEQIKFGDVVQAPPKLVVVPKVLKNVPEASRERIRLHAIEEYRKHKGWTSRPRLKLPIVTETHPI >Manes.04G066900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:25305769:25307675:1 gene:Manes.04G066900.v8.1 transcript:Manes.04G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPHFLLSVVGCFIFFTSSYVYASTSSNPPQLQTLNTNPEYINPKLPPRTLSSSKKFEGSSDLVQLRYHMGPVLSSSPINIYLIWYGRWAASQKLLIKDFINSISPATAAAKPSVSEWWRTVSLYTDQTGANISRSVLIAGEYTDKAYSHGIHLTRLSVQQVIATAVKSAPFPVDHKNGIYLILTAQDVTVQDFCRAVCGFHYFTFPSMVGYTLPYAWVGNSGKQCPEVCAYPFAIPGYMGGGGPGALKSPNGDVGVDGMISVIGHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDKQGRTFNMNGKSGRKFLVQWIWSPELKACAGPNALD >Manes.11G119400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27810754:27812624:1 gene:Manes.11G119400.v8.1 transcript:Manes.11G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDIDLDKQLVKSSYCGDDECTWLSSAVSTPSSVFEDYRFSTSLHVSIDEKLFISWLSRLEKFVLYLDKGSLHFEDPRVNANPEEKAEDILNNSTSPDAASDSSMNKSESFRSSSGQFCEDSTSCNNSLMPCSTNFDSSSSSSSSQMSDSDRISFLVPLVNLEGEDSQWISSDAELDSDYFSSGFPSPSCKNCEVSLWENNSLDQEVNLEDFDTDEPLFWPSQWKLDWNCEETWRCFSMSPRKYITDPGTLQHTSTNVVGTKFHVRRKDTNEGFRESPEFSSGSTASTLLERKQSKNNFIRKINPAPSRLRKSRKISMKIVPIEMENDLDKRKDKEFSIQQSNCSDRDFLEDDFTKNGEVPIEKLLGLGEFDGHEGVDSEFNEDIFSLEESL >Manes.18G082400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7565444:7567948:1 gene:Manes.18G082400.v8.1 transcript:Manes.18G082400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENLSNRQVTYSKRRNGIMKKAKEITVLCDAKVSLIIFASSGKMHEYCSPSTTLVEILDMYHKHSGKRLWDAKHENLSNEIDRIKKENDNMQIELRHLKGEDISSLQHKELMAIEEALDHGLASVRHKQMEYYKMKKRNDKLLEDENKELRFVLQQHEMDMEEHMREMENPYHQQRMRDFNYQMPFAFRVQPIQPNLQDRI >Manes.18G082400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7565611:7567927:1 gene:Manes.18G082400.v8.1 transcript:Manes.18G082400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENLSNRQVTYSKRRNGIMKKAKEITVLCDAKVSLIIFASSGKMHEYCSPSTTLVEILDMYHKHSGKRLWDAKHENLSNEIDRIKKENDNMQIELRHLKGEDISSLQHKELMAIEEALDHGLASVRHKQMEYYKMKKRNDKLLEDENKELRFVLQQHEMDMEEHMREMENPYHQQRMRDFNYQMPFAFRVQPIQPNLQDRI >Manes.18G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13185977:13201075:-1 gene:Manes.18G122300.v8.1 transcript:Manes.18G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLKKFFGYSEFRPYQKEVIEKILERRDCLVVMATGSGKSLCYQVPPLLVEKTAIVISPLISLMQDQVMALKERGIKAEYLGTGQSDHSVHILAQSGHFHLLFMTPEKACSLAVSFWSKLLEVGICLLAVDEAHCISEWGHDFRVEYKQLDKLRNILANVPFVGLTATATQKVRTDVINSLRMNDPYVAIGSFDRENLFYGVKHFNRGTLFVNELVQEISKFAGNGGSTIIYCTTIKDVEQIFESLQEAGIKAGMYHGKMSSKAREESHRSFVRDELNVMVATIAFGMGIDKPNIRQVVHYGCPKSLQSYYQESGRCGRDGIASVCWLYYTGSDFTKADFYCGELKTENQRRAVVESLMIAQKYCVLATCRRKFLLDYFGEHVSADNCGNCDNCRVSKRERDLSREAFLLMACIQSCRGKWGLNMPIDVLRGSKAKRILDMHFDKLPLHGLGKSYLSNWWKSLAYQLISHGYLVETIDDIYKFVSVGKKGEQFLSTARPDYQPPLVLPLTSEMVDGDEHQSATGRVGEFKNFLTLESEGFSEAEVQLYHMLLEERIKLARIIGTAPYAVCGDQTIKRIALTRPSTKARLANIDGVNQHLLVRHGDHLLQTVRHLSQKLNLSLDGEACVQSLQTANARKMHPITIPNQQRKLSSAKLEAWKMWREDGLSIQRIANFPGRSASIKEQTVSEYLLDASQEGFEIDWIRFCREIGLTREIVVDIQGAISKVGSRDKLKPIKNELPEHISYTHIKTCLQMESCGTSLEAVPPSHLSVSNVGELKRQVSDNSKETAFLTVARMQEKEVHPVPDDLYSPGKRQKINGNEESSTDLEATEDSMLNWLKTYEEGVSLSDILEHFKGSNRESVIDLLSTLESDFMIFKKNDLYRLL >Manes.09G167700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36009275:36014537:1 gene:Manes.09G167700.v8.1 transcript:Manes.09G167700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNVVDAITGDSSTDGNKKMKCVSGDGECKKIKGSVVLMKKNVLDFNDVHASVLDRLHELLGHGVSLQLISSVNCSDEPAAAENGMREKVGKPAYLEDWITTIAPLTPGDIAFKVTFDWDDEIGVPGAFIIRNNHHSEFYLKTLTLEDVPCQGRIHFVCNSWVYPAKRYKKDRIFFANKTYLPQETPMPLRKYREEELVNLRGDGKGELQEWDRVYDYAYYNELADPDKGPKYVRPILGGSAEYPYPRRGRTGRPPAKSDPKYESRLPLLMSLNIYVPRDERFGHLKMADFLAYALKSIAQFIKPELEALFDSTPNEFDSFDDVLKLYEGGIQLPDGPWLENIRQNIPLEMLKEIFRTDGERLFKFPVPQVIQESKTAWRTDEEFCREMLAGVNPVIIRRLEDFPPKSKLNSKKYGDQNSKITEEHIKNSLDGLTVHEAIKNNKLYILDHHDTVMPYLRRINTTSTKTYASRTLLFLKDDGTLKPLAIELSLPNPEGDQFGAISKVCIPAENGVEGSIWQLAKAYVAVIDSGIHQLISHWLHTHAAIEPFVIATNRHLSVLHPIYKLLLPHFRDTMNINAVARQTLINAGGLLEFTVFPGKYAMEMTSMAYKSWNFTEQALPEDLKKRGMAVEDPNSPHGLRLLVKDYPYAVDGLEIWSSIREWVKDYCSFYYKTDDMVIKDPELQSWWKELREVGHGDKKEEPWWPKMQIREELIESCTIIIWIASALHAAINFGQYPYGGYLPNRPSISRRFIPEKGTPEHEELTMNPDKAFLKTITAQLQTVVGISLIEILSRHSSDEVYLGQRDTPEWTTDDKPIEAFKEFGKRLEKIEEKIIEMNRDEELKNRIGPVMMPYTLLFPRSDVGLTGKGIPNSVSI >Manes.03G125200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25466062:25467608:1 gene:Manes.03G125200.v8.1 transcript:Manes.03G125200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLLLIFALFTAIVLKGSHASVPAELYWQSMLPNTPFPKALKDFLHPADIGKKNTLSFPEDYMPQSCQEVNYGVGYWPDNGKPISNSTTVYFLYQDLLPGKNMRIIFLKSTNGSNFLPRKIAESIPFSSNKFPEILNYFSIKSTSKEAQIMKQTIEECETPSMRGEDKYCATSLESLVDFVAAKFGQNVQVFSNEVEEENKKQEYTILQGIKMMGENNIICHKERYAYAVLYCHRIKDTKVYKVPLMAADGSKAEAAVVCHMDTSAWHPHHSAFQILNVKPGGPAICHILNSDATVWISTS >Manes.16G088800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29516616:29521871:1 gene:Manes.16G088800.v8.1 transcript:Manes.16G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKQSTYRFFVNYLSTPNHQRPCHHFRALIMAYMTRNDSFFKGFHNLPQPSMSSSSLVPFYKIDNVSIDNISSVSFGFRIKRGGGVGLTLRGCSDSYKPGNESRPCAFTAPHHQLVRDLEIGLEDSSKSSSCNSGLYVNGHRTSQGGKLLGFPDYPLTGKIAVAVDVDEVLGNFVSALNRFIADRYSSNHSVSEYHVYEFFKIWNCSRDEANIRVHEFFKTPYFKSGIYPIPGSQQVLHKLSRLCKLSVVTSRQNVIKDHTIEWIQKHYPGIFEEIHFGNHFALDGESRTKSEICRSLGATVLIDDNPRYAVECAEAGIKVLLFDYENSYPWCKSDSAHQHPLVTKVHSWEQVEERLISWIS >Manes.08G154100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38909855:38911648:-1 gene:Manes.08G154100.v8.1 transcript:Manes.08G154100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQCDTRRKAIGKRASAMQVETKSVAVAPCGACKFLRRKCISGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLLHIPVNRRHEAVVTISYEAQARLSDPVYGCVSTILALQQQVASLQAELAMVQTQLINSRFIMANVLQNSQQQQHMAMLQPAYSNNSSASTNLIHMSSFTSNFDLVTDTAPSSHSLDPLQLSRPSLDEEDEEQESQIPGIFDGEGFHRR >Manes.09G092000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28519022:28522163:-1 gene:Manes.09G092000.v8.1 transcript:Manes.09G092000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSKKRKLQAEQFGLPFPKHKCWDNHSSPKTLSILEENQEAEDLITEVVKESAGRQAIEDGSDLESAKDSNSFVGDSDHVMSVYGEAKFETEVSKPGLSNEPSSSLLDFCQSNSKDIQCSLHSTTATSTVGAGKDESAFEAGEHGLDHHELHQNLDEPIVEFGSHFDYTCTQDGIDSIEPYVDKELEDILYSNGVNPNRCSARHQKTNH >Manes.09G092000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28519022:28522163:-1 gene:Manes.09G092000.v8.1 transcript:Manes.09G092000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVSKKRKLQAEQFGLPFPKHKCWDNHSSPKTLSILEENQEAEDLITEVVKESAGRQAIEDGSDLESAKDSNSFVGDSDHVMSVYGEAKFETEVSKPGLSNEPSSSLLDFCQSNSKDIQCSLHSTTATSTVGAGKDESAFEAGEHGLDHHELHQNLDEPIVEFGSHFDYTCTQDGIDSIEPYVDKELEDILYSNGVNPNRYVLSSGRWSVNQDAQPGTRKPTIDQEFEQYFSMLML >Manes.05G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11951273:11955988:-1 gene:Manes.05G116600.v8.1 transcript:Manes.05G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLSNPILSSPFLPQKLTKFEQNTSNRITLCSPISRSPRTLTSVRCAADVTYGGNIPKFPRMNVWDPYRRLGISPYASEEEIWSSRNFLLEQYAGHERSEESIEAAFEKLLMTSFRERKKTKINLKTRLKKKVEESPPWIKNLLNFVELPPVEVIFRRLFLFAFMGGWSVMNSAEGGPAFQVAVSLAACIYFLNEKTNNLGRAFVIGLGSLAAGWVCGSIFVPMIPTVLIHPTWTLELLTSLVAYVFLFVACTFLK >Manes.01G270900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42450269:42452533:-1 gene:Manes.01G270900.v8.1 transcript:Manes.01G270900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDPVRVLVTGAAGQIGYAIVPMVARGVMLGPDQPVILHMLDIEPAAEALKGVKMELIDAAFPLLKGVLATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAADCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERVNVQVSDVKNVIIWGNHSSTQYPDVNHATVNTSSGEKPVRELVADDKWLNGDFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPKGTWVSMGVYSDGSYGIQSGLIYSFPVTCEGGKWSIVQGLKVDEFSREKMDATAKELMEEKSLAYSCLN >Manes.01G270900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42450269:42452533:-1 gene:Manes.01G270900.v8.1 transcript:Manes.01G270900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGVMLGPDQPVILHMLDIEPAAEALKGVKMELIDAAFPLLKGVLATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAADCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERVNVQVSDVKNVIIWGNHSSTQYPDVNHATVNTSSGEKPVRELVADDKWLNGDFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPKGTWVSMGVYSDGSYGIQSGLIYSFPVTCEGGKWSIVQGLKVDEFSREKMDATAKELMEEKSLAYSCLN >Manes.01G270900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42450717:42452533:-1 gene:Manes.01G270900.v8.1 transcript:Manes.01G270900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDPVRVLVTGAAGQIGYAIVPMVARGVMLGPDQPVILHMLDIEPAAEALKGVKMELIDAAFPLLKGVLATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAADCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERVNVQVSDVKNVIIWGNHSSTQYPDVNHATVNTSSGEKPVRELVADDKWLNGDFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPKGTWVSMGVYSDGSYGIQSGLIYSFPVTCEGGKWSIVQGEFSLFREIL >Manes.08G118000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35738044:35738409:-1 gene:Manes.08G118000.v8.1 transcript:Manes.08G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLTSSFMICAALFILISMNASTTVHGGGDHQHQLGWIPIRSSCKGSIAECLASNGEEFELDSEISRRILATSSYISYGALQRNTVPCSRRGASYYNCQPGAQANPYSRGCSAITRCRS >Manes.04G054800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15745463:15771646:-1 gene:Manes.04G054800.v8.1 transcript:Manes.04G054800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTNFASLFDNLKVEDPWLPPTTWESIPSQNGARLSSPRPYHSSPPQPPLHRPSSVSVADPAHHALLKFLFLRSSEPYCEFIRSWIFKAEISDPYKEFVVEYVDDPPPDLHCNVGIPFDFPLASIRDGVAVPCFLKDFLIPIIRAGQQLQVLKKLLELCNYTGPGDYTYEDFLPICSGYLSDDLFCISPMIFTKGNLEAMVTARNNYYRKMLEKLGNLLTKLDFRYQQVVPHVAAPIFLDNSGGSLKSEVSFALNDRLIVPPTTDKRGSNVAADRTGSNETDTRDEFCWLDTPEPDASDCSSLSGSEELVDAEQLSECPNSLVGQDQRYLSSLRFSISCSIDNDMSHDMKSNSPADGLKNYALSHFVQSYHKEKTSSHIFVPPGYRFYWRSHQCKLALELNEGDTESMNEGLPYFSKITSMIDEPLGENQLANGCHISNSSVLQPWKDNYHSNFFSRNPMLSKYSFFHSTDKPGRKCSSGYAQSLPCFDFSAVEIPFKLSVERLAHSSRQEFESQLPSPDPTTKSQDKNEQEYGGDGFLTNKGRTSWPCSPLDLKAQGQEGHISTKLIGGRNWESLLNNYSFTKKESVLEHKEGLLPLFEIPLDIVIDKCLLQEILLQYKYVSKLAIKLLEGFDLYEHFLALRRYYFMEVADWTDLFISSLWHHKWCALEANQRVSVIQGFLELSIQRSSCERDPNKDRLYVYMKRNSAMPLSISAMGVHSFDFLGLGYQVDWPVSIVLTPTALKIYADIFNFLIQVKLAVFSLTDVWSSLKDLIHFISKMSRSATRQREMNQFNMFIKMRHQVNHFISTLQQYVQSQLSHISWCRFLHNLKYKVKDMMDLESVHMEYLTDSLNICFLSDETRPVASIIESILQCALDLRSCLTCSMWDVGLDQEDSLGKLSRINMSQVLDVKQKFDKNLKELHLCYLKSPRHGKFGLSCFWGYLNYNEYYTER >Manes.04G054800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15745463:15771645:-1 gene:Manes.04G054800.v8.1 transcript:Manes.04G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTNFASLFDNLKVEDPWLPPTTWESIPSQNGARLSSPRPYHSSPPQPPLHRPSSVSEASLVRLALNALQGMQSALLSIQKLSAAFCSDPADRSNHQISSLWNRSSSTHALGKILNSIGCLGSLVFLLRKFVDNFSHINVDVHSSGNRHEHPEAVYESQNDSNIHEGERLSYSLVNQAFAVAVGKVLEGYIRALNTLYASARLRHPSKIFDVALQESYEEACLTSIVHSKVTLLEVYTHTNELRTQIEALGNICNLHNIAHSFSLTSLEDLTAKAALEISNFYRGGHLLTYLYMQLQVADPAHHALLKFLFLRSSEPYCEFIRSWIFKAEISDPYKEFVVEYVDDPPPDLHCNVGIPFDFPLASIRDGVAVPCFLKDFLIPIIRAGQQLQVLKKLLELCNYTGPGDYTYEDFLPICSGYLSDDLFCISPMIFTKGNLEAMVTARNNYYRKMLEKLGNLLTKLDFRYQQVVPHVAAPIFLDNSGGSLKSEVSFALNDRLIVPPTTDKRGSNVAADRTGSNETDTRDEFCWLDTPEPDASDCSSLSGSEELVDAEQLSECPNSLVGQDQRYLSSLRFSISCSIDNDMSHDMKSNSPADGLKNYALSHFVQSYHKEKTSSHIFVPPGYRFYWRSHQCKLALELNEGDTESMNEGLPYFSKITSMIDEPLGENQLANGCHISNSSVLQPWKDNYHSNFFSRNPMLSKYSFFHSTDKPGRKCSSGYAQSLPCFDFSAVEIPFKLSVERLAHSSRQEFESQLPSPDPTTKSQDKNEQEYGGDGFLTNKGRTSWPCSPLDLKAQGQEGHISTKLIGGRNWESLLNNYSFTKKESVLEHKEGLLPLFEIPLDIVIDKCLLQEILLQYKYVSKLAIKLLEGFDLYEHFLALRRYYFMEVADWTDLFISSLWHHKWCALEANQRVSVIQGFLELSIQRSSCERDPNKDRLYVYMKRNSAMPLSISAMGVHSFDFLGLGYQVDWPVSIVLTPTALKIYADIFNFLIQVKLAVFSLTDVWSSLKDLIHFISKMSRSATRQREMNQFNMFIKMRHQVNHFISTLQQYVQSQLSHISWCRFLHNLKYKVKDMMDLESVHMEYLTDSLNICFLSDETRPVASIIESILQCALDLRSCLTCSMWDVGLDQEDSLGKLSRINMSQVLDVKQKFDKNLKELHLCYLKSPRHGKFGLSCFWGYLNYNEYYTER >Manes.05G067101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5575442:5576630:1 gene:Manes.05G067101.v8.1 transcript:Manes.05G067101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASTVMSFTEIIPTNMERCRRHLCNCGLETLFHVLRDCSVASYVWKRILSREKWGSVHDWIVSNLQDTSICSHGVTVNFLFGLLCWQLWNRNLLCSRRST >Manes.14G108200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9070098:9078090:1 gene:Manes.14G108200.v8.1 transcript:Manes.14G108200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCTAFQPVVYCKADGAYLCLSCDAKVHSANALSNRHLRTLLCDSCRNHPAYARCLDHRMFVCRICDQNIHGVSSQHQKRTVSSYLGCPSAKDFAALWGFELDELDKSAIQDHLFTASCASVQPSAAVFEIPRPRESCQTIGSSSRTSKMSGKDQQQQNNGFILQQILDLKRLQLTEMGNSSPVVRGREEMDVSSSIFESLDKLDDSVDHFQHPQVTGNSEYPLQEIKVDSLPLSFSEPEILPLPSTAANPLLGESFWQCKSPFQSSQLWSQNMQDLGVCEDIVSHDDFNIPDVDITFRNFEELFGTEKDPDPIKALLDDRDASCSSMDDMSLDTLHDRNARIKEDTSIASSVYTSHPDRVAKDKVSSNQICELPGSLLFSRTIRPSYSAMSFSLSRFGTERSDTEYLDSGLSPHVTGAQFSCHSPDNEGAHSDIQENAMARYKVKQKTRVQENKIRHGQKKARSDVQKRVKGRIMKTEDYDSDTIDVTRSV >Manes.14G108200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9070098:9078090:1 gene:Manes.14G108200.v8.1 transcript:Manes.14G108200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCTAFQPVVYCKADGAYLCLSCDAKVHSANALSNRHLRTLLCDSCRNHPAYARCLDHRMFVCRICDQNIHGVSSQHQKRTVSSYLGCPSAKDFAALWGFELDELDKSAIQDHLFTASCASVQPSAAVFEIPRPRESCQTIGSSSRTSKMSGKDQQQQNNGFILQQILDLKRLQLTEMGNSSPVVRGREEMDVSSSIFESLDKLDDSVDHFQHPQVTGNSEYPLQEIKVDSLPLSFSEPEILPLPSTAANPLLGESFWQCKSPFQSSQLWSQNMQDLGVCEDIVSHDDFNIPDVDITFRNFEELFGTEKDPDPIKALLDDRDASCSSMDDMSLDTLHDRNARIKEDTSIASSVYTSHPDRVAKDKVSSNQICELPGSLLFSRTIRPSYSAMSFSLSRFGTERSDTEYLDSGLSPHVTGAQFSCHSPDNEGAHSDIQENAMARYKVKQKTRVQENKIRHGQKKARSDVQKRVKGRIMKTEDYDSDTIDVTRSV >Manes.14G108200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9068494:9078090:1 gene:Manes.14G108200.v8.1 transcript:Manes.14G108200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCTAFQPVVYCKADGAYLCLSCDAKVHSANALSNRHLRTLLCDSCRNHPAYARCLDHRMFVCRICDQNIHGVSSQHQKRTVSSYLGCPSAKDFAALWGFELDELDKSAIQDHLFTASCASVQPSAAVFEIPRPRESCQTIGSSSRTSKMSGKDQQQQNNGFILQQILDLKRLQLTEMGNSSPVVRGREEMDVSSSIFESLDKLDDSVDHFQHPQVTGNSEYPLQEIKVDSLPLSFSEPEILPLPSTAANPLLGESFWQCKSPFQSSQLWSQNMQDLGVCEDIVSHDDFNIPDVDITFRNFEELFGTEKDPDPIKALLDDRDASCSSMDDMSLDTLHDRNARIKEDTSIASSVYTSHPDRVAKDKVSSNQICELPGSLLFSRTIRPSYSAMSFSLSRFGTERSDTEYLDSGLSPHVTGAQFSCHSPDNEGAHSDIQENAMARYKVKQKTRVQENKIRHGQKKARSDVQKRVKGRIMKTEDYDSDTIDVTRSV >Manes.14G108200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9070098:9078090:1 gene:Manes.14G108200.v8.1 transcript:Manes.14G108200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKICEFCTAFQPVVYCKADGAYLCLSCDAKVHSANALSNRHLRTLLCDSCRNHPAYARCLDHRMFVCRICDQNIHGVSSQHQKRTVSSYLGCPSAKDFAALWGFELDELDKSAIQDHLFTASCASVQPSAAVFEIPRPRESCQTIGSSSRTSKMSGKDQQQQNNGFILQQILDLKRLQLTEMGNSSPVVRGREEMDVSSSIFESLDKLDDSVDHFQHPQVTGNSEYPLQEIKVDSLPLSFSEPEILPLPSTAANPLLGESFWQCKSPFQSSQLWSQNMQDLGVCEDIVSHDDFNIPDVDITFRNFEELFGTEKDPDPIKALLDDRDASCSSMDDMSLDTLHDRNARIKEDTSIASSVYTSHPDRVAKDKVSSNQICELPGSLLFSRTIRPSYSAMSFSLSRFGTERSDTEYLDSGLSPHVTGAQFSCHSPDNEGAHSDIQENAMARQENKIRHGQKKARSDVQKRVKGRIMKTEDYDSDTIDVTRSV >Manes.01G140401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33195788:33196519:1 gene:Manes.01G140401.v8.1 transcript:Manes.01G140401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSITIRYTGLVGNNLILESLLVDLTLGSLKILILPVWQNKVGDCFITRMVCGPVHLRIFTFLAHSSSMRLLSEEVLGCGKVCLQATIFFTLVLTWILVIICPLLSGTILGFLWHPSFLHYILFFTLLMSLRWLT >Manes.10G094700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24188994:24193521:-1 gene:Manes.10G094700.v8.1 transcript:Manes.10G094700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVEGDKNCKSYFSPEYFDAQLTQLGWQQVDDLRKHVRTCGLLKRIELVIASPLLRTLQTAVGVFGGDGYADRTDSLPLMVANAGNSSRPAISSLNSPPFIAVELCREHFGVLPCDKRRNISEYQLLFPAIDFSLIETDEDILWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLSAFGDDCHPLVKKEICGRFVNCELRSMIIVDRSMIGSDPATTNYPGKIPRGLDRPSDAPEEDGPKTNRII >Manes.10G094700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24188994:24193382:-1 gene:Manes.10G094700.v8.1 transcript:Manes.10G094700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPSLFPLHRCKTLHLVRHGQGMHNVEGDKNCKSYFSPEYFDAQLTQLGWQQVDDLRKHVRTCGLLKRIELVIASPLLRTLQTAVGVFGGDGYADRTDSLPLMVANAGNSSRPAISSLNSPPFIAVELCREHFGVLPCDKRRNISEYQLLFPAIDFSLIETDEDILWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLSAFGDDCHPLVKKEICGRFVNCELRSMIIVDRSMIGSDPATTNYPGKIPRGLDRPSDAPEEDGPKTNRII >Manes.10G094700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24188994:24193438:-1 gene:Manes.10G094700.v8.1 transcript:Manes.10G094700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPSLFPLHRCKTLHLVRHGQGMHNVEGDKNCKSYFSPEYFDAQLTQLGWQQVDDLRKHVRTCGLLKRIELVIASPLLRTLQTAVGVFGGDGYADRTDSLPLMVANAGNSSRPAISSLNSPPFIAVELCREHFGVLPCDKRRNISEYQLLFPAIDFSLIETDEDILWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLSAFGDDCHPLVKKEICGRFVNCELRSMIIVDRSMIGSDPATTNYPGKIPRGLDRPSDAPEEDGPKTNRII >Manes.10G094700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24188994:24193832:-1 gene:Manes.10G094700.v8.1 transcript:Manes.10G094700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPGPSLFPLHRCKTLHLVRHGQGMHNVEGDKNCKSYFSPEYFDAQLTQLGWQQVDDLRKHVRTCGLLKRIELVIASPLLRTLQTAVGVFGGDGYADRTDSLPLMVANAGNSSRPAISSLNSPPFIAVELCREHFGVLPCDKRRNISEYQLLFPAIDFSLIETDEDILWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLSAFGDDCHPLVKKEICGRFVNCELRSMIIVDRSMIGSDPATTNYPGKIPRGLDRPSDAPEEDGPKTNRII >Manes.10G094700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24188994:24193832:-1 gene:Manes.10G094700.v8.1 transcript:Manes.10G094700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVEGDKNCKSYFSPEYFDAQLTQLGWQQVDDLRKHVRTCGLLKRIELVIASPLLRTLQTAVGVFGGDGYADRTDSLPLMVANAGNSSRPAISSLNSPPFIAVELCREHFGVLPCDKRRNISEYQLLFPAIDFSLIETDEDILWKANVRETTEELTARGLKFMNWLWTRKEKEIAIVTHSGFLFHTLSAFGDDCHPLVKKEICGRFVNCELRSMIIVDRSMIGSDPATTNYPGKIPRGLDRPSDAPEEDGPKTNRII >Manes.11G158000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32400466:32402239:1 gene:Manes.11G158000.v8.1 transcript:Manes.11G158000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYLQDLILKDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRAFRELLFCTPDVLQFLSGVILFEETLYQKRTDGKPFVDILKEGGVLPGIKVDKGIVELPGTNGETSTLGLDGLAERCQKYYEAGARFAKWRAVLKIGPTEPSQLSINENANGLARYAIICQENGLVPIVEPEILVDGSHDINRCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDSPKVKPEVIAEYTVRALQRTVPPAVPAIVFLSGGQSEEEATINLNAMNKLKGKKPWSLTFSFGRALQQSTLKAWAGKEENVKKAQAAFHVRCKANSEATLGSYKGDATLGEGAADSLHVKDYKY >Manes.11G158000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32398938:32402535:1 gene:Manes.11G158000.v8.1 transcript:Manes.11G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFKGKYHDELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVESNRRAFRELLFCTPDVLQFLSGVILFEETLYQKRTDGKPFVDILKEGGVLPGIKVDKGIVELPGTNGETSTLGLDGLAERCQKYYEAGARFAKWRAVLKIGPTEPSQLSINENANGLARYAIICQENGLVPIVEPEILVDGSHDINRCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDSPKVKPEVIAEYTVRALQRTVPPAVPAIVFLSGGQSEEEATINLNAMNKLKGKKPWSLTFSFGRALQQSTLKAWAGKEENVKKAQAAFHVRCKANSEATLGSYKGDATLGEGAADSLHVKDYKY >Manes.18G072400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6480359:6483875:-1 gene:Manes.18G072400.v8.1 transcript:Manes.18G072400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPSSDLRRLDPRIWRACAGSSVHIPTVNSRVYYFPQGHLEQYSSSASNNVSALARSIPFIPCHISAVQFLADPVTDEVYTKLHLYPIDPPLNQSLSMMESSARPEREGADDDEDKIVAFAKILTPSDANNGGGFSVPRFCADSIFPPLNYQAEPPVQTLTVTDIHGVTWDFRHIYRGTPRRHLLTTGWSKFVNHKKLIAGDSVVFMRNLAGEMFIGVRRAVRSNNGSDCARWREQIGCGTGDVKIKVKDEGFSRSGRGRVSQEAVMEAVERATQGLPFEVVYYPRAGWYSDFVVKATLVERALNVFWTAGMRVKMAMETEDSSRMTWFQGTISSASVPECGPWRFSPWRMLQITWDEPEVLQIAKRVSPWQVEILAPAPPIHAAFPPAKRFKFPENSGLQTDGKGELFFPMSGLSNSTIGNVNQSLLNYNIFPAGMQGARQDPFSIFGLSNFLSENASQICPDNVFDNKMVPKLETVSTELNIGSSQSDNLTPNSQSSVHFFATELVGNPGCNSAKVGVDSFQLFGQIIHMNQPVESGFDDVGYMRDYGSKEYTENNPPDLALASSYTELLNRIDVQHQGASAVESCSL >Manes.18G072400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6478456:6483950:-1 gene:Manes.18G072400.v8.1 transcript:Manes.18G072400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPSSDLRRLDPRIWRACAGSSVHIPTVNSRVYYFPQGHLEQYSSSASNNVSALARSIPFIPCHISAVQFLADPVTDEVYTKLHLYPIDPPLNQSLSMMESSARPEREGADDDEDKIVAFAKILTPSDANNGGGFSVPRFCADSIFPPLNYQAEPPVQTLTVTDIHGVTWDFRHIYRGTPRRHLLTTGWSKFVNHKKLIAGDSVVFMRNLAGEMFIGVRRAVRSNNGSDCARWREQIGCGTGDVKIKVKDEGFSRSGRGRVSQEAVMEAVERATQGLPFEVVYYPRAGWYSDFVVKATLVERALNVFWTAGMRVKMAMETEDSSRMTWFQGTISSASVPECGPWRFSPWRMLQITWDEPEVLQIAKRVSPWQVEILAPAPPIHAAFPPAKRFKFPENSGLQTDGKGELFFPMSGLSNSTIGNVNQSLLNYNIFPAGMQGARQDPFSIFGLSNFLSENASQICPDNVFDNKMVPKLETVSTELNIGSSQSDNLTPNSQSSVHFFATELVGNPGCNSAKVGVDSFQLFGQIIHMNQPVESGFDDVGYMRDYGSKEYTENNPPDLALASSYTELLNRIDVQHQGASAVESCSL >Manes.06G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19209004:19210117:-1 gene:Manes.06G055800.v8.1 transcript:Manes.06G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNSLLSVSAAATGRRLEGKVAVITGGATSIGECIARSFCKHGAKVIIADIQDDLGKSVVEDLGTEIAVFVHCDVTIESDVQEAIDTAVSVFGRLDIMVNNAAICDPRKPNIIDNELADFEQVVRVNLTGVFLGTKHAARPMIPARQGSIIMIGSVCSSIGGVASHAYTSTKHAIVGLVKNAAAELGRFGIRVNCLSPYFIETPLARSFFKREDYGRSGGAYSNLEGVELKQEDVAEAAIFLASDESKYISGHNLSLDGGFTTINPAFGLFSRFE >Manes.09G171500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36243341:36247209:1 gene:Manes.09G171500.v8.1 transcript:Manes.09G171500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDYGFFVLLLLHLFVSFSSGIHDGVCISKGGRFPPFSSEGKPPKKLSKGSKDLALCRVFRRKTCCDVAQTFPALLSIRRLASTGEASQECLQLWELLECSICDPRTGVQPGLPLICASFCDRVYQACANAYFSMDTKTQVLVPCGVNDFVCGKAAEWVSNGSELCLSAGFTIKPSEDAYNGAEEASCYGGKASLDSIAESWGASRSELPPKAGNVGVLKDFQQRVQEMPFSDKVSWAVGGMVLTAGLLFLSKRKSYSQRQRLAAIQRTARKLEGNMKQKYPDRLGNTKGNRK >Manes.16G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31876025:31879923:1 gene:Manes.16G113000.v8.1 transcript:Manes.16G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVLQSSPPLQQIMAGNPNWWNINNMRPPTHQQTSPFMPPPCLFPQYTPSSSSNSSSSTSSSLTVPSWHDNQDQLPESWSQLLMGGLVEEDNKGNMNHFQAKKLENWEEQMLHHHASSASAIVDVKQENSANSYVYGHANEDFQAAKPSWSQIIPPASSPKSCVTSFSSNMLDFSTNKGDGKHPPPDRSSECNSTATGGALKKARVQPSSTQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSGSSNIRQQQQQQQQQQSVQGERNCIFPEDPGQLLNDNCVKRKGASDQQDHNEEPKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPALGGGGFR >Manes.07G030500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3349365:3352521:1 gene:Manes.07G030500.v8.1 transcript:Manes.07G030500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAVGNNLITYVMKEMHFSLSRSANIVTNFVGTVFILALFGGYLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPQCNINEEECVEAKGFKALIFFVALYLVALGSGCVKPNMIAYGANQFNQNNPKQSKKLSTYFNVVYFAFSMGELIALTVLVWVQTHSGMDVGFGVSAAAMAMGLISLVSGTFYYKNNPPQGSIFIPIAQVFVAAISKRKQICPSNPDMLNGNNNVLNHHIVGISSDSGKLVHTQRFRFLDNACIKIQDGTNTKESPWRLCTLTQVEQVRKLISVIPIFACTIVFNTILAQLQTFSVQQGNSMDTHLTKSFKIPPASLQSIPYIILIVVVPLYDTFFVPFARKFTGHESGITPLQRIGGGLFFATFSMVAAAIMEKKRRDAAVNSDKILSIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLKGMQAFLTAITYCSYSFGFYLSSLLVSLVNKITSSDSSKTGWLGDNNLNKDRLDLFYWLLAVLSFLNFLNYLFWARWYSCNPSPSFTQQDETHEENYLNHCSFSSSKNIGDESIP >Manes.07G030500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3349864:3352522:1 gene:Manes.07G030500.v8.1 transcript:Manes.07G030500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAVGNNLITYVMKEMHFSLSRSANIVTNFVGTVFILALFGGYLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPQCNINEEECVEAKGFKALIFFVALYLVALGSGCVKPNMIAYGANQFNQNNPKQSKKLSTYFNVVYFAFSMGELIALTVLVWVQTHSGMDVGFGVSAAAMAMGLISLVSGTFYYKNNPPQGSIFIPIAQVFVAAISKRKQICPSNPDMLNGNNNVLNHHIVGISSDSGKLVHTQRFRFLDNACIKIQDGTNTKESPWRLCTLTQVEQVRKLISVIPIFACTIVFNTILAQLQTFSVQQGNSMDTHLTKSFKIPPASLQSIPYIILIVVVPLYDTFFVPFARKFTGHESGITPLQRIGGGLFFATFSMVAAAIMEKKRRDAAVNSDKILSIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLKGMQAFLTAITYCSYSFGFYLSSLLVSLVNKITSSDSSKTGWLGDNNLNKDRLDLFYWLLAVLSFLNFLNYLFWARWYSCNPSPSFTQQDETHEENYLNHCSFSSSKNIGDESIP >Manes.07G030500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3349025:3352521:1 gene:Manes.07G030500.v8.1 transcript:Manes.07G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGRRGSNKEENKSSVVVSYEETTMDWRGRVSNSNKHGGMRAATFILGLQTFEIMGIAAVGNNLITYVMKEMHFSLSRSANIVTNFVGTVFILALFGGYLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPQCNINEEECVEAKGFKALIFFVALYLVALGSGCVKPNMIAYGANQFNQNNPKQSKKLSTYFNVVYFAFSMGELIALTVLVWVQTHSGMDVGFGVSAAAMAMGLISLVSGTFYYKNNPPQGSIFIPIAQVFVAAISKRKQICPSNPDMLNGNNNVLNHHIVGISSDSGKLVHTQRFRFLDNACIKIQDGTNTKESPWRLCTLTQVEQVRKLISVIPIFACTIVFNTILAQLQTFSVQQGNSMDTHLTKSFKIPPASLQSIPYIILIVVVPLYDTFFVPFARKFTGHESGITPLQRIGGGLFFATFSMVAAAIMEKKRRDAAVNSDKILSIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLKGMQAFLTAITYCSYSFGFYLSSLLVSLVNKITSSDSSKTGWLGDNNLNKDRLDLFYWLLAVLSFLNFLNYLFWARWYSCNPSPSFTQQDETHEENYLNHCSFSSSKNIGDESIP >Manes.12G150100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35833542:35834970:-1 gene:Manes.12G150100.v8.1 transcript:Manes.12G150100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRITSLLLGLTWLMVSYATATSREIPMKPSAAAAAASGYKVNDLSARLATSGGMVECWDALLEMKSCSNEIILFFLNGQADLGAECCGAIGIITHNCWPAMLSSLGFTAEEGNILEGYCDTTDSPSSSPAASPPLPAGAQPNSTAMALD >Manes.02G101200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7884556:7894095:-1 gene:Manes.02G101200.v8.1 transcript:Manes.02G101200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQQQQQRKQEVEECRPSIQEDKEQRGFDNSLRGADIMTIDQCETIREMDDSQLVGPSPAVAVVTRDVNMVDVQVATVEKVAVAATSTAKRKRGRPPRIQGKTGPQPPALKKNEDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSSCQKASHYMCYTCTYSLCKGCTKDADYVCVRGNKGLCGTCMRIIMLIENISPANTEMVQVDFDDKTSWEYLFKVYWVYLKAKLSLTIDELTKAKNPWKGDELPKTRNSWKGACTGFSKEASSVEIYHGNNDKGSCLDSCYENVEANHSKRRKTKDENKVLNEINSPLMEKSDVGKIATLPEGTTWATKELLEFVAHMKNGDTSILSQFDVQGLLLEYVKRNNLRDPHQKSQIVCDSRLITLFGKPLVGHFEMLKLLEYHFLIKEKSPVDDADGGQLEAAGSNDSQPIVSNDRRRKIRKKMDERGPLINPNPDEYAAIDVHNINLLYLKRNLVENLMDDFEKFHEKVVGSFVRIRISGGDQKQDMYRLVQVVGTSKAAESYEVGTRTTNIMLEILNLEKKEVISIDGISSQEFSEDECRRLRQCVKCGLIKRLKVGEIQEKTMALQHVKVSDWLEAEISRLNHLRDRASEKGLRKELRECVEKLELLKSPKERERRLHEIPNIHLDPNMDPSVESEDAGESDEKKQGYHVRSRLSSDGRKGVELNSSPREVDEIAVGDSAEKDLAPACERNKRICTFFVDRDGTTRVCKRVGEGESTWSHDGGAFGRNNHDTSRNQLDATGLASSDWNGRALVQSESLPGVVIPSPLCSGREHSASDIETEKLWHYEDPFGKHQGPFSMIQLRKWNASGLFPPDFRVWRIDEKQDDSILLTEALVGQLPEEPPQHCNSTLLPQEATVASNDAVKKWEDGLSQSSHVTWIDGKGVDHDKKPVQNGDNDLVRCNELGSHSSTWTKAVDVAITNDAPAKNSLQSWDLPQEGKSWVGTSPSSSGKLFESLSLQAREGHGDEKLSSNPCHADENSYRSSVQTSTRGRDEKCKLADNEGYSSQSSGHNWGPQPISSSSSGRDSNSIFVSAAKSPEKSEQNQKVGLSDLPSPTAKHGDGELKGQATDNKLSVGSDVPVQDSGPSWSTASSLVVGGGQLCEVAGDWGGYSCSLAKPFVESNLVNASSLKPTEGVGDHAATPTSASGQLMHSSPHHPTIDTSAWQPIVPEPNEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKMSCGGELTPGSDDDCFSPVEPFSPAPDQGRSDALSSTSDVQIPSQLTASNMPPHSVAPDEPLRASPMPSQSTVTEEPLGLWQTDVLNPQNSFSGHSSSSAEVEGDAKPSDVSVNQWETRSDLEPLASSAVNQGEAGSNIWAPTPSAVRQLEAGSDTQHSASSTADASSGTVKGKGNLNRVEPQGSAGMAWGTGHGSAQQQANSNPAISTNNVGSWGSQPRNGGDNRYSGTRDHRNHYSQGRDSGYVRDRSSWNRQSTYGFGGGGGGGSFKPQGKGQRVCKFYESGYCKKGASCSYWHPS >Manes.02G101200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7884556:7894095:-1 gene:Manes.02G101200.v8.1 transcript:Manes.02G101200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQQQQQRKQEVEECRPSIQEDKEQRGFDNSLRGADIMTIDQCETIREMDDSQLVGPSPAVAVVTRDVNMVDVQVATVEKVAVAATSTAKRKRGRPPRIQGKTGPQPPALKKNEDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSSCQKASHYMCYTCTYSLCKGCTKDADYVCVRGNKGLCGTCMRIIMLIENISPANTEMVQVDFDDKTSWEYLFKVYWVYLKAKLSLTIDELTKAKNPWKGDELPKTRNSWKGACTGFSKEASSVEIYHGNNDKGSCLDSCYENVEANHSKRRKTKDENKVLNEINSPLMEKSDVGKIATLPEGTTWATKELLEFVAHMKNGDTSILSQFDVQGLLLEYVKRNNLRDPHQKSQIVCDSRLITLFGKPLVGHFEMLKLLEYHFLIKEKSPVDDADGGQLEAAGSNDSQPIVSNDRRRKIRKKMDERGPLINPNPDEYAAIDVHNINLLYLKRNLVENLMDDFEKFHEKVVGSFVRIRISGGDQKQDMYRLVQVVGTSKAAESYEVGTRTTNIMLEILNLEKKEVISIDGISSQEFSEDECRRLRQCVKCGLIKRLKVGEIQEKTMALQHVKVSDWLEAEISRLNHLRDRASEKGLRKELRECVEKLELLKSPKERERRLHEIPNIHLDPNMDPSVESEDAGESDEKKQGYHVRSRLSSDGRKGVELNSSPREVDEIAVGDSAEKDLAPACERNKRICTFFVDRDGTTRVCKRVGEGESTWSHDGGAFGRNNHDTSRNQLDATGLASSDWNGRALVQSESLPGVVIPSPLCSGREHSASDIETEKLWHYEDPFGKHQGPFSMIQLRKWNASGLFPPDFRVWRIDEKQDDSILLTEALVGQLPEEPPQHCNSTLLPQEATVASNDAVKKWEDGLSQSSHVTWIDGKGVDHDKKPVQNGDNDLVRCNELGSHSSTWTKAVDVAITNDAPAKNSLQSWDLPQEGKSWVGTSPSSSGKLFESLSLQAREGHGDEKLSSNPCHADENSYRSSVQTSTRGRDEKCKLADNEGYSSQSSGHNWGPQPISSSSSGRDSNSIFVSAAKSPEKSEQNQKVGLSDLPSPTAKHGDGELKGQATDNKLSVGSDVPVQDSGPSWSTASSLVVGGGQLCEVAGDWGGYSCSLAKPFVESNLVNASSLKPTEGVGDHAATPTSASGQLMHSSPHHPTIDTSAWQPIVPEPNEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKMSCGGELTPGSDDDCFSPVEPFSPAPDQGRSDALSSTSDVQIPSQLTASNMPPHSVAPDEPLRASPMPSQSTVTEEPLGLWQTDVLNPQNSFSGHSSSSAEVEGDAKPSDVSVNQWETRSDLEPLASSAVNQGEAGSNIWAPTPSAVRQLEAGSDTQHSASSTADASSGTVKGKGNLNRVEPQGSAGMAWGTGHGSAQQQANSNPAISTNNVGSWGSQPRNGGDNRYSGTRDHRNHYSQGRDSGYVRDRSSWNRQSTYGFGGGGGGGSFKPQGKGQRVCKFYESGYCKKGASCSYWHPS >Manes.05G059900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5041556:5044462:1 gene:Manes.05G059900.v8.1 transcript:Manes.05G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPGILTEWPWKSLGNFKYLLLGPFVTHSIYSFMVKEEKERDLVNFIIFPSILARMLHNQLWISYSRYRTAKGNNRILDKTIDFDQVDRESNWDDQIILLAIVLYSANMLLPGASHIPIWRTDGAVLATLLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTQPITAVIHPFAEIVVYVILFTIPSIGLALTRTASIVVVFGYVFYVDFMNNLGHCNFEIIPKSLFSVLPPLKYLFYTASFHSLHHTKFRTNYSLFMPFYDYIYDTMDKTSDEVHETALKKPADSPTHVHLTHFTTPDSIYHLRLGFTSLAATPQTSAWFLWILTPFTYFFMLLTSLFGRTFIVESNTLDNQFQSQTWLIPRYKIQYFLKRQRAAINNFVEEAILEADRRGTKVLSLGLLNQGEELNRCGELYIEKYPKLKVKLVDGSSLAAAIILNNIPKETTQVLFKGNITKVAKAVASALGEKGVQIAVSQENESRMIKLHDHVVVTSSYDQKIWLIGEELTDKEQLKAPKGTTFIPMTQFPPKRLRKDCFYHNTPAMLAPSSLWHLDSCEDWLPRRAMSACRVAGIVHALEDWKVNECGDSLFCIDKVWQASLRHGFLPLPICR >Manes.05G059900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5042062:5044462:1 gene:Manes.05G059900.v8.1 transcript:Manes.05G059900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFGRDDQIILLAIVLYSANMLLPGASHIPIWRTDGAVLATLLHAGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIVTQPITAVIHPFAEIVVYVILFTIPSIGLALTRTASIVVVFGYVFYVDFMNNLGHCNFEIIPKSLFSVLPPLKYLFYTASFHSLHHTKFRTNYSLFMPFYDYIYDTMDKTSDEVHETALKKPADSPTHVHLTHFTTPDSIYHLRLGFTSLAATPQTSAWFLWILTPFTYFFMLLTSLFGRTFIVESNTLDNQFQSQTWLIPRYKIQYFLKRQRAAINNFVEEAILEADRRGTKVLSLGLLNQGEELNRCGELYIEKYPKLKVKLVDGSSLAAAIILNNIPKETTQVLFKGNITKVAKAVASALGEKGVQIAVSQENESRMIKLHDHVVVTSSYDQKIWLIGEELTDKEQLKAPKGTTFIPMTQFPPKRLRKDCFYHNTPAMLAPSSLWHLDSCEDWLPRRAMSACRVAGIVHALEDWKVNECGDSLFCIDKVWQASLRHGFLPLPICR >Manes.13G038000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4600171:4605150:-1 gene:Manes.13G038000.v8.1 transcript:Manes.13G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGFATGSHPLQDYDEKKRQSISSKVCRVCGDEIGVKEDGQIFVACGVCGFPVCRPCYEYERSEGNQCCPQCNTRYKRHRGCPRVAGDEDDDIEEDFDDDQIKHQHHDDPNHKNVFASSEYGDYVQRPLHTGGAFSSAGSVAGGKEIEAEREMYSNAEWKERVEKWKVRQEKRGLVSKDDGGNDQGEEDEYLMAEARQPLWRKIPIASSKISPYRIVIVIRLVVLCFFLRFRVLTPAYDAYPLWLVSVICEIWFALSWILDQFPKWFPIERETYLDRLSLRFEREGEPNKLAPVDFFVSTVDPLKEPPIITANTVLSILSVDYPVDKVSCYVSDDGASMLLFDSLAETAEFARRWVPFCKKHNIEPRAPEFYFSQKIDYFKDKVHPSFVKERRAMKREYEEFKVKINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSEGALDVEGKELPRLVYVSREKRPGYNHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYLNNSKAAREAMCFLMDPQLGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGVQGPVYVGTGCVFNRQALYGYDPPASEKRPKMTCDCWPSWCCCCCGGSRKKSKSKKKGQRSLLGGLLSRKKKMMGKSYTRKGSGSVFELEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFIASTLMEEGGLPEGTNPSTLIKEAIHVISCDYEEKTEWGKEVGWIYGSITEDILTGFKMHCRGWKSIYCSPERAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKWLERLAYINTIVYPFTSIPLLAYCTVPAICLLTGKFIIPTLTNLASVWFLALFLSIIATSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAADDTEFGELYLFKWTTLLIPPTTLIIINMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIAHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >Manes.13G038000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4600171:4605150:-1 gene:Manes.13G038000.v8.1 transcript:Manes.13G038000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSGFATGSHPLQDYDEKRQSISSKVCRVCGDEIGVKEDGQIFVACGVCGFPVCRPCYEYERSEGNQCCPQCNTRYKRHRGCPRVAGDEDDDIEEDFDDDQIKHQHHDDPNHKNVFASSEYGDYVQRPLHTGGAFSSAGSVAGGKEIEAEREMYSNAEWKERVEKWKVRQEKRGLVSKDDGGNDQGEEDEYLMAEARQPLWRKIPIASSKISPYRIVIVIRLVVLCFFLRFRVLTPAYDAYPLWLVSVICEIWFALSWILDQFPKWFPIERETYLDRLSLRFEREGEPNKLAPVDFFVSTVDPLKEPPIITANTVLSILSVDYPVDKVSCYVSDDGASMLLFDSLAETAEFARRWVPFCKKHNIEPRAPEFYFSQKIDYFKDKVHPSFVKERRAMKREYEEFKVKINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSEGALDVEGKELPRLVYVSREKRPGYNHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYLNNSKAAREAMCFLMDPQLGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGVQGPVYVGTGCVFNRQALYGYDPPASEKRPKMTCDCWPSWCCCCCGGSRKKSKSKKKGQRSLLGGLLSRKKKMMGKSYTRKGSGSVFELEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFIASTLMEEGGLPEGTNPSTLIKEAIHVISCDYEEKTEWGKEVGWIYGSITEDILTGFKMHCRGWKSIYCSPERAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKWLERLAYINTIVYPFTSIPLLAYCTVPAICLLTGKFIIPTLTNLASVWFLALFLSIIATSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTAKAADDTEFGELYLFKWTTLLIPPTTLIIINMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIAHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >Manes.17G085800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29295557:29300493:1 gene:Manes.17G085800.v8.1 transcript:Manes.17G085800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTTKLKPKLDPHQYITKKQRTKRPRRIRWQISPILISRLRSAPPQKSQGFHAFSVNSIPSLYFGDELSCDSSRVTFKSSATKRKLRETEGIEKIEDDPFRRITRSYYKQKENERKENEVEVSESSCVESKSRVDCVAPGKRRSSKLKKRAEDSKEIQISEGSTSVTKSEISSLHQNLSFNGKSLENISSEGKDNDTFSIVSGVESCLSHGTIERVKRTETELSEISKHDAFSIDESVVEQKPKSLGAVEADLACAERISYDDVVTEYSSSHETAFSELQSEVFLGSSSDIEFSDYTPSIFFDSGSEFSEKSVDDSPPSQTYSLLLEFRQKFSRSSVPLDMIRCPFTEAEYLLHSSFVKFEIQDDEESYQRFRERERRQLFLHDYVELYCSTTEYGSLILEQRLQMVHWIVEQSTAKEFQLETTFLGVSLLDRFLSKGFFKNKRSLQIVGIACLTLATRIEENQPYNSVRQKNFPMESSAYSRFEVVAMEWLVQEVLNFQCFLPTIHNFMWFYLKAARADAKVEKKARYLAKLALSDHEHLRYWPSTVAAGLVILASLESDQIESYQRVIEVHVRTKENDLRECMKTMEWLLQYVN >Manes.17G085800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29295557:29300493:1 gene:Manes.17G085800.v8.1 transcript:Manes.17G085800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTTKLKPKLDPHQYITKKQRTKRPRRIRWQISPILISRLRSAPPQKSQGFHAFSVNSIPSLYFGDELSCDSSRVTFKSSATKRKLRETEGIEKIEDDPFRRITRSYYKQKENERKENEVEVSESSCVESKSRVDCVAPGKRRSSKLKKRAEDSKEIQISEGSTSVTKSEISSLHQNLSFNGKSLENISSEGKDNDTFSIVSGVESCLSHGTIERVKRTETELSEISKHDAFSIDESVVEQKPKSLGAVEADLACAERISYDDVVTEYSSSHETAFSELQSEVFLGSSSDIEFSDYTPSIFFDSGSEFSEKSVDDSPPSQTYSLLLEFRQKFSRSSVPLDMIRCPFTEAEYLLHSSFVKFEIQDDEESYQRFRERERRQLFLHDYVELYCSTTEYGSLILEQRLQMVHWIVEQSTAKEFQLETTFLGVSLLDRFLSKGFFKNKRSLQIVGIACLTLATRIEENQPYNSVRQKNFPMESSAYSRFEVVAMEWLVQEVLNFQCFLPTIHNFMWFYLKAARADAKVEKKARYLAKLALSDHEHLRYWPSTVAAGLVILASLESDQIESYQRVIEVHVRTKENDLRECMKVKRIQL >Manes.17G085800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29295559:29300493:1 gene:Manes.17G085800.v8.1 transcript:Manes.17G085800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTTKLKPKLDPHQYITKKQRTKRPRRIRWQISPILISRLRSAPPQKSQGFHAFSVNSIPSLYFGDELSCDSSRVTFKSSATKRKLRETEGIEKIEDDPFRRITRSYYKQKENERKENEVEVSESSCVESKSRVDCVAPGKRRSSKLKKRAEDSKEIQISEGSTSVTKSEISSLHQNLSFNGKSLENISSEGKDNDTFSIVSGVESCLSHGTIERVKRTETELSEISKHDAFSIDESVVEQKPKSLGAVEADLACAERISYDDVVTEYSSSHETAFSELQSEVFLGSSSDIEFSDYTPSIFFDSGSEFSEKSVDDSPPSQTYSLLLEFRQKFSRSSVPLDMIRCPFTEAEYLLHSSFVKFEIQDDEESYQRFRERERRQLFLHDYVELYCSTTEYGSLILEQRLQMVHWIVEQSTAKEFQLETTFLGVSLLDRFLSKGFFKNKRSLQIVGIACLTLATRIEENQPYNSVRQKNFPMESSAYSRFEVVAMEWLVQEVLNFQCFLPTIHNFMWFYLKAARADAKVEKKARYLAKLALSDHEHLRYWPSTVAAGLVILASLESDQIESYQRVIEVHVRTKENDLRECMKTMEWLLQYVN >Manes.17G085800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29295559:29299373:1 gene:Manes.17G085800.v8.1 transcript:Manes.17G085800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTTKLKPKLDPHQYITKKQRTKRPRRIRWQISPILISRLRSAPPQKSQGFHAFSVNSIPSLYFGDELSCDSSRVTFKSSATKRKLRETEGIEKIEDDPFRRITRSYYKQKENERKENEVEVSESSCVESKSRVDCVAPGKRRSSKLKKRAEDSKEIQISEGSTSVTKSEISSLHQNLSFNGKSLENISSEGKDNDTFSIVSGVESCLSHGTIERVKRTETELSEISKHDAFSIDESVVEQKPKSLGAVEADLACAERISYDDVVTEYSSSHETAFSELQSEVFLGSSSDIEFSDYTPSIFFDSGSEFSEKSVDDSPPSQTYSLLLEFRQKFSRSSVPLDMIRCPFTEAEYLLHSSFVKFEIQDDEESYQRFRERERRQLFLHDYVELYCSTTEYGSLILEQRLQMVHWIVEQSTAKEFQLETTFLGVSLLDRFLSKGFFKNKRSLQIVGIACLTLATRIEENQPYNSVRQKNFPMESSAYSRFEVVAMEWLVQEVLNFQCFLPTIHNFMWFYLKAARADAKVEKKARYLAKLALSDHEHLRYWPSTVAAGLVILASLESDQIESYQRVIEVKILKQSNNVSLNLGPVSNFKIRLI >Manes.11G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4861009:4864063:-1 gene:Manes.11G045200.v8.1 transcript:Manes.11G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWPEFLANSWGKEFVAGGFGGVAGIISGYPLDTLRIRQQQNSNSGSALSILRRVIAAEGPGALYRGMGAPLASVTFQNAMVFQIYAILSRAFDSSVSVNDPPSYKCVALGGVGTGALQSLMLCPVELIKIRLQLQDKSHEKTPQINCHKGPISVARSILRTEGFKGIYRGFSITALRDAPAHGFYFWTYEYMREQLHPGCRKNGQESLRTMLIAGGLAGVASWVCCYPLDVIKTRLQAQTSSSPQKYNGILDCLSRSVKEEGYSVLWRGLGTAVARAFVVNGAIFSAYEIALRCLFNNGSIQTENTI >Manes.02G089400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7017171:7023121:1 gene:Manes.02G089400.v8.1 transcript:Manes.02G089400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSLSTLSFSRSFLSLPQKPRRASYVMTYTASFSSAPPSGYSSKLLFRQLFEKESSTYTYLLADVAHPDKPALLIDPVDKTVDRDLTLVEELGLKLIYAINTHVHADHVTGTGLIKTKVPGVKSVISKASKSKADVLIEAGDKIHFGDLFLEVRATPGHTVGCVTYVTGDGPDQPQPRMAFTGDTLLIRGCGRTDFQGGSSQQLYQSVHSQIFTLPKETLVYPAHDYKGSTVSTVEEEMLYNPRLTKDEEKFKSIMENLNLPYPKMIDIAVPANMVCGLQDPPAKPVEA >Manes.14G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9193897:9196272:-1 gene:Manes.14G109400.v8.1 transcript:Manes.14G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACCCRITFPVRWISNNTHDSNKQNLHIIKIKGTLSRPHKVDSLSQTAGVAITSVASVAKNLSPLKEQITRQNIPNKKQYVDSHRQGLICEGVGYRQTVVIRSYEVGPDKTATLESILHLLQETALNHVWLSGLLSNGFGATHGMVKNNLIWVVSKLQVQVDQYPIWGEVVEIDTWVGASGKNGMKRDWLIRSQATGHVFARATSTWVMMNEKTRRLSKMPEEVRAEISPWFIEKQAIKEEVPEKIPKLDEKARYAITNLKPKRNDLDMNHHVNNVKYVRWMLETIPDQFLESHQLSGMILEYRRECKSSDIVQSLCDPEEDGIINSGLKQANDNPLINGFSLASEIMEGNGLLGSKDKAPLRYTHLLLTKGETRNEEIVRAKTTWKKKQKIKPFST >Manes.17G030000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22425819:22430577:-1 gene:Manes.17G030000.v8.1 transcript:Manes.17G030000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTSFALILYLLILFISLASSQQLFCYITGNFTTNSTYAQNRGLLLSSLSSNIKKNGSFYATSVGQDSDKVYGLVLCRADSSSEACSICVDYGIQNLISNCPNQKEAISWGGGSDLLPCIIRYANRNIFGRAELEPSNAGFNSRNLPSNITQFSEIWSSLMERVGTRASMGSSEIKFATGEANYSTGLHETIYVMMQCTPDISQSYCSYCLQQAVDKYTDCCSGKKGGYILKPSCWFRWDLFPFNASAAAADAPPSPSPPPPPPPPPSPTTTIAKDNGGIKSDTVVIIAVPVVSFLLLITFTYILFRRRKQRHRSMHVSEGNDESAPTVESLQFDIETIRVATSNFSDDNKLGEGGFGTVYKGRLPDGPDIAVKRWSRNSRQGEVEFKNEVRLVAMLQHRNLVRLLGFCLQEREKLLIYEFVPNSSLDRYIFDLNRRLLLDWNKRYKIIKGVARGMLYLHQDSRTRIIHRDLKASNILLDEQMNPKISDFGTARLFAMDQSQDSTRRIVGTYGYMPPEYAMHGRFSVKSDVFSFGVLVLEIMSGQKAACFQNEEMDENLLTYAWRNWNEGTPLNLIDAIPLSDGSRNEMIRCIHIGLLCIQEEPTRRPTMDSVILMLSSHSLSLPQPDRVAYLLYSTVDEERRTPPNQEINVVNQSINEASFSEQSPR >Manes.14G026400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2435376:2437040:1 gene:Manes.14G026400.v8.1 transcript:Manes.14G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHLQLKISKVLPKCTFQHLKQIHAVIIAASLIQNTQFFSRFLRRCTEFGSMDYSNLIFYQMGRDLIRETLLWNAMIRGYAFNGPVEKCISMFDEMPRIELKPDNYTYPYVLNSCCELGRNRKGKKVHCQIMKSGFESSFAVAYSLFNMYTKMPASIDMGLADDYKLIDARKVFDDMCMRPKEVWNRMISEYVSFGDVRSARELFEAMPERDVVSWNSMISGYVKNGAVETARELFEWMPEKNVISWTMMIGVYADTGDLETARSIFEKMPHRNVVSWNSVISSYTKHGKFVEATNLFVQMYSEGVIPDGYTFVAVLSACSNLGDLEYGKYIHSLIGDFFQWEVMVGTALIEMYARCGDVNRSFVVFFKIANKDVFCWNVMIKSLAINGRTKDAVKIFHLMQKSQLKPNHFTFTSVLFACSHGGMVEQGRRIFYSMEKQYNISPKIEHFGCFIDLLSRNGQLEEAMLVVNNMPYKPDIAIWGALLGGCSTRNDLKFAKEVAERATDLEIEESGVYVLLSNIYASVGQWPEALDARGKMEQKKMRKETGTSLVF >Manes.04G013900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1845980:1848296:-1 gene:Manes.04G013900.v8.1 transcript:Manes.04G013900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLYLLLILLISSSTTAQNPPSPGYSPSSRIGTIEFDQGFRNLWGPQHQRLDQGTLTIWLDTSSGSGYKSLQPYQSGYFGAAIKLQPGYTAGVITSFYLSNNEEHPGNHDEIDIEFLGTTPDKPYNLQTNVYIRGSGDGKIIGREMKFHLWFDPTQDFHNYGILWTPNEIIFFVDDVPIRWYPRKSDDTFPMRPMWVYGSIWDASSWATEDGKYKADYRYQPFIGRYQNFKIGGCTANGPATCRPPSASPSGGLSQQQYSAMEWVQRNYLAYDYCRDPKRDHTQTPEC >Manes.15G136600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11055438:11058538:-1 gene:Manes.15G136600.v8.1 transcript:Manes.15G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTQGNLDREKQSLLSQHKEHHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANATSSIVLTAGVAEVAAGAISMGLGGYLAAKSEADHYARELKREEEEIIAVPDTEAAEVAEILAEYGIEPHEYGPVVNALRKKPQAWLDFMMKFELGLEKPNPRRALQSALTIAIAYILGGLVPLIPYMFIPRAQDAVVASVIVTLVALLIFGYAKGYFTGNKPFRSALQTALIGAIASAAAFGMAKAIHA >Manes.01G104400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30409425:30414562:-1 gene:Manes.01G104400.v8.1 transcript:Manes.01G104400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDSDLIIIGVSVGLALGILIASLVFFGIRWYKNHAHLQQCANECSLTTLPIHINGLETSTDFSGSLANSMTVQQPGKPRKSSQFFWWNHHSKDRFTSAPGILRYSYKDIQKATQNFTTILGQGSFGPVYKAVMPAGAVAVKVLASNSKQGEREFQTEVSLLGRLHHRNLVNLLGYCVDKGQHILIYEFMDEEELVLGWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAYDGRNSSLKGTYGYIDPAYVTTNKLTTKSDIYSFGIVIFELITAIHPHQNLMEYISLAAMSRDGVDEILDKKLGEECNIEEVRELAAIAHKCLQKSQRKRPSIGEVSQAIAKIKQRRLVKEDSISFASRDCSRAASRIKDQNVELSKMTSVKESN >Manes.01G104400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30409425:30414562:-1 gene:Manes.01G104400.v8.1 transcript:Manes.01G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDSDLIIIGVSVGLALGILIASLVFFGIRWYKNHAHLQQCANECSLTTLPIHINGLETSTDFSGSLANSMTVQQPGKPRKSSQFFWWNHHSKDRFTSAPGILRYSYKDIQKATQNFTTILGQGSFGPVYKAVMPAGAVAVKVLASNSKQGEREFQTEVSLLGRLHHRNLVNLLGYCVDKGQHILIYEFMGNGSLSNLLYNEEELVLGWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEAYDGRNSSLKGTYGYIDPAYVTTNKLTTKSDIYSFGIVIFELITAIHPHQNLMEYISLAAMSRDGVDEILDKKLGEECNIEEVRELAAIAHKCLQKSQRKRPSIGEVSQAIAKIKQRRLVKEDSISFASRDCSRAASRIKDQNVELSKMTSVKESN >Manes.02G180800.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMQDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357451:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357476:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357449:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVAHRK >Manes.02G180800.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357451:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMQDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLAHRK >Manes.02G180800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357449:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357468:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357468:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMQDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357449:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357468:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMQDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMQDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357476:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMQDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357468:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMQDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVQDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.02G180800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14357478:14374900:1 gene:Manes.02G180800.v8.1 transcript:Manes.02G180800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHLFRSNNKGEQVVYYKEEKYQKHLDHLGKLNAGVASNYALIKKELVATTAVVPRGFANQEYRENKEVKNEEEEVNGKKHHHLFYHHRGKKEAIDYKEDEKHRKHLERFGKLGVDVVGAYAMHDEYGEQKDLDHAHIHKVKAEIGATPTLGVEGFTFHEYHENKQAKQEDEEAYGKKKHHHPFYHHKKSGEAIDYKKPEEHHNHLEHFAQVGVGVSGACDLQEKYKIKKDLDHVHIHKIKTQIGAVAVVGAEEFTFHEHHEKKISKESEEAIDYKEGKHRKHLEHVDKLGVIVVGANTLHEEKKDLQHLYNQKVKAEIAAATTVGAERLAFYEHHEKKEANKEEILHGKMHYLHFYHQKEGGNVVDYKEKHRKHFENFGGLDAITAGAYALYDKPEEMKDVEHVHNHRIKEETTTATAIEAERFAFHEHHEQKEANKEDEEANGKKHHHYFYHHKENIKAFDFKEEDKCYNHPKHLGKLGAGVAGAYYMHGKHEEHKDLKHAHNHEVKVEVAVAGAVEAERFAFHEDNEKKEAKKEDEVHREENYHYFYHHKEGEDVVNNMEEEKQHKYFEHLDELGVVAGAYTLKDKEKKDLEHTQNHMVKVDAAAVGAERFAFDEKKETKKEEVVRGNKQYHHFYHHKEGRSVADYKEEKHHRHFENLGKLDAITVDTYALHDKHEERKQSEHAHLKIKEKITTTNIVGAEGNASHERHDNKEFKKEDEEAHGKKHHHLFYHHKVEDKHHNYSEHLGELGNGAASAYSLHGKHEENKDLEHTNNHNVKVEIVAVSAVGAEGLALHEHHEKKGAKKEDEAYGEKSYHHFYHHKEGEDVVDYEEEKQHKYVEHLDELGVAAAGAYVLHEKYEEKKDLEHAHSHKVKAEIVTSAVVGDERFVFHEHHNKNEAKKVDEVVHGKKQYKDFQNLDGRDVVVASADNLHEKHEENKDSENACNYMIKKELATAAIVGVEGFALHEDLKKKEFKKEDEEAYGKKYYHKENEEAIGYKEEEKHHDHLEHLSKLGVGVAGTYDMQNKHDKKKDSEHNYKYKIKDEIVTATAVGAEGFAFQEHHEKKKVKKENEGASYNNYMTKKEQATTAILGVDGFAFYEDHKKKEVKKEDEAYGKHYHKKSEEAIDYKEKEMHYDHLEHLSKIGVGVSGTYVMHDKHKEKNNSEHAYNYKIKEEIVTAAAVGAEGFAFQEHHEKKEVKKENEGASYNSYKIKKELATATIVRIEEVAFHEDYEKKEVEKEDEEAYGKKCYHNENEEAIGYKEEEKHHDHLEHLSKLSVGAVATYAMHEEKKDSKHAYNYKIKEEIVTAAAIGAEGSVFQEHHEKNEEKKENEEDSHDSYMIKKELATVGIDGFSFDEDLEKKEVNKKDEEAYGKKYYPKESEEAIGCKEEEMHHDQLEHLSELGVGVVGTYAVDKHGEKNNSEHAYNYKIEEEIVTATMTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEAANGKKHYHKESKEAIGYKQEKVHRDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNYKIKEEIATAVAIGVEGSAFQEHHEKKEIKKEIEGASYKTNMIKTEQATIGVVGFAFHEDLEKKELKKENEEVYGKNHYHKESEKAIGCKEEEMHHDHLEYLSIPGVAVAGASAMDKHEEQNDSEHAYNYKIEEQIVTATMTGAKGFSFQEQHEKKEVKKENEGASYNNYIIKKELAIATIIGVEGFAFHEDHEKKENKKEDEEANGKKHCHKESEEAIGYKEEKMNLDHLEHLSKLSHDKNEKKKDSEHAYNYKIKEEIVTAATVGVEGSVLQEHYEKKEVKKEIERAIYNTNMIKTELATIGVEGFAFHEDLEKKEVKTEDEEAYGKKYYHKEIEKNIGCKEEEMHHDHLEHLSKLGVAIVGTSAMDKHVEKNNSEHAYNYKMEEEIVTTTTTGAEGFSFQEHYDKKEVKKENEGTSYNNYMIKEELATTTIVGVEGFAFHEDHEKKEDNKEDEEANGKKHCHKESKEAIGYKEEKMHQDHLEHLSKLSVGVAGTHAMHDKNEEKKDSEHTYNLKIKEEIITAATVGLEGSVFQEHHEKNEAKRENEGASYNTNMIKTELATTATVGVEGFAFHKDLKKKEVKTEDEEACGKKYYDKESEKGIGCKEEEMHCDHFEHLSKLGVAIDGTYAMHDKCEEKKDSKNAYIHKIKEEIVTVAAVGAKGSALQEHHEKKEVKKENEGASYNSYMIKKELATVGVEGFAFHEDLEKKEVKKENEEAYGKKHYPKESIEAIGCNEEEMHHGHLEHLSKLGVSIANTYAMCDKHEEELDSEHAYNYKIKEEIVTTAAVGVEGYALQEHHKKKELKKEIEGASYNTYMIKKELATVSTIGVDGFTFNEDLEKQEVKKEDEESYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVVNTYAMHEEKKDSKYAHNYKIKEEIVTAAAVGAEGSAFQEHHEKKEVKKENEGASYNCYMIKKGLATVGVNGFAFNEDLEKKEPKKEDEEGYEKMHYNKESEEAIGYKEEMHHNQLKHYSKLGVGVVETYAMRDKHEEKKDSEHTYNYKINEEIVTLATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFHEDLEKKEVKKEDEEAYGKKYYHNESEEAIGYKEEEKHHDHLEHLSKLSVGAAATYAMHEEKKDSKHAYNYKIKGEIVTVTAIGAEGYIFQEHHVKNEEKKENEEDSYNSYIIKKELATVGIDGFSFDEDLEKKEVKKDDEEAYGKKYYPKENEEAIGCKEEEMHNDQPEHLSELGVGVVGTYAVDKHREKNNSEHAYNYKIEEEIVTATTTGAEGFSFQEHHDKKEVKKENEGANYNNYMIKKDQATTTIVGVEGFAFHEDHEKKEDKKEDEEANGKKHCHKESEEAIGYKQEKMHHDHLEHLSKLSVGVADTYAMHYKNEEKKDSEHAYNLKIKEEIITAATVGLEGSAFQGHREKNEAKRENEGAIYNTNMIKTELATTATVGVERFAFHEDLKKKEVKTEDEAAYGKKYYHKESEKGIGCKEEEMPHDHFENLSKLGVAIDDTYAMHDKCEEKKDSKNAYIHKINEDIVTVAVVGAEGSAFQEHHEKKEVKKENEGASYNSYMIKKELATVRVEGFAFHEDLEKKEVKKENEEAYGKKHYPKKSKEAIGCKEKEMHHDHLEHLSKLGVSVANTYAMRDKHEEELDSEHAYNYKIKEEIVTTTAVRVEGYAFQEHHEKKELKKEIEGASYNTYMIKKELATIGVDGFTFDEDLEKQEVKQEDEEAYGKKHYPKESEEAIGCKEEEMHHDQLEHFSKLAVGVANTYAMHEENKGSKCANNYKIKEEIVTVAGAEGSAFQEHHEKIEVKKENEGASYNCYMIKKELASEGVNGFAFNEDLEKKELKKEDEEAYGKMHYHKESEEAIGYKEEMHYNQLEHFSKLGVGVVETYAMHDKHEEKQDSKHTYNYKIKEEIVTVATVGAEGSAFQEHHEKKEVKKENEGGSHNNYMIKKELATASTVGVVRFAFREDLEKKEVKKEDEEAYGKKHYHMESEETIGYKEEEMHHDHPEHLPKLGVGVADAGAYSLYGKQEENKDLERAHNHKVKVEIDVAGAIGAEGFAFHEHHEKKGAKKDDELYRDKHYHYFYHDKERKNDVYYKKEKHHKYFEHLRELGVVAADTYALHGNHEEKIDLEHAHSYKVNAEIATTTMLGAKGFTFHGHHVKKEAKKDDEVEHENKQYHHFYLHKEGENVVDYKEEKHQKHFQNFAKPDAVEAGAYTLYEKHKENRVSENSYSYMIKKELATAAAIGTERFAFHEYHGKKEVKDEDEATRKKHHNKESEEAIGYKKVEQHHNHLEHLGKLDAGVTSIYSLHDKYKENKYLEYGHNHKIKEEIVTTDTVGAKGFALQEHHEKKEIKKEDEELHEKKHHHLFYHFKQSEKAIDYKEEDKHHNNPEHLGVGVTVAGTYSLYGKHEEYEGLEHAHGSKVKANIAVTTMVGAERFAFDEYNEKNGAKKEDEMHGEKYYRHFYHHNEGKNLVDYREKVKHHKDLEHLGELGVVPANTYALYEKYEAKKDQEHAYSYKGKEEIDVAAAVRAKRFAFHEYHAKKEDKKEDKEEKQHYHLFYHCKENEEAIDYKEEKHHKYLEHLGKLAVGIPNAYSLHTENKDSEHAHNHKVIAKDTTNIIGAEGFAFHAYHENKGAKKEDKELHGKNYYHNFYYQNEGKDVDHKKEMYHKYFEHLA >Manes.05G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2202502:2206361:-1 gene:Manes.05G025200.v8.1 transcript:Manes.05G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVLWSLNMHTVTAARTKIGPVLDYLSVHVIISFYNISFGLLRKIPVLVSAVVSSLSLSLSLSLTSNLFLALSHMVKEMRPDSNPFSFTKHNHVFVKFAVSFLLICLAFRLLVSDSIGFSSVVETPAIEQTTKTEALDANASPVEDNEPGTIDFAASDSQTAVSNGSTANRTQISETGECDLFTGDWLPDPSGPFYTNGSCQAIEGHQNCMKNGRPDSGYIYWRWNPRGCNLPKFSPKKFLDLMRDKSWAFIGDSISRNHVQSLLCILSQVEQADEVYHDKEYRSKIWHFPAHNFTLSVIWTPLLIKADIFEDMNGVSTSVMQLHLDVLDNKWTDQFRNFDYVVIAGGKWFLKTAIYLENNTVIGCHYCPGKNLTELGFHYAYRKAVKLMFDFIARPDLRAFVFFRTTTPDHFENGEWFSGGTCNRTVPFKEGEIDMGDVDETMRSIELEEFETAAALGSENGATLKLLDTTRLSLLRPDGHPGPYRQFQPFSKDKNAKVQNDCLHWCLPGPIDSWNDLVMEMLVNSRLRR >Manes.02G093300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7313356:7316956:1 gene:Manes.02G093300.v8.1 transcript:Manes.02G093300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIKQKQQQEQQHQDHHQQQQQLGLNSFPLNPWPPTMSANPNIGYSGMAASGFTDPFQVPSGGDTGEPGFQFPQMEHPSTGFRFSDFSAGAAAEFDSDEWMDSLMGGGDSTDSSNLPSSCDAWQNNADFGLYGPDPFATCPTRLSVACPSPSDLNRVIFTETQKSPNALQVPAWGPSPPPPPPQAVVKDAKPANPPPPSTLKNEVVGTSSSSPDIESAPALLKALLECARLAESEPDKAVKSLVKVRESVSEQGDPTERVAFYFTEALYSRVSQQTERSLTMYETTSEDFTLSYKALNDACPYSKFAHLTANQAILEATERATKIHIVDFGIVQGVQWAALLQALATRSAGKPARIRISGIPATVLGKSPAASLFATGNRLRDFSKLLDLNFEFEPILTPINELNESCFRIDPDEVLAVNFMLQLYNLLDETPVAVETALRMAKSLNATIVTLGEYEASLNRIGFVNRFKNALRYYSAVFESLEPNLSRDSPQRLQVEKFLLGRRIAGIIGPEEAGTRRECIEDKEQWRILMESCGFESVALSNYAMSQAKILLWNYNYSSLYSLIESQPGFLSLAWKEVPLLTVSSWR >Manes.02G093300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7314171:7317895:1 gene:Manes.02G093300.v8.1 transcript:Manes.02G093300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIKQKQQQEQQHQDHHQQQQQLGLNSFPLNPWPPTMSANPNIGYSGMAASGFTDPFQVPSGGDTGEPGFQFPQMEHPSTGFRFSDFSAGAAAEFDSDEWMDSLMGGGDSTDSSNLPSSCDAWQNNADFGLYGPDPFATCPTRLSVACPSPSDLNRVIFTETQKSPNALQVPAWGPSPPPPPPQAVVKDAKPANPPPPSTLKNEVVGTSSSSPDIESAPALLKALLECARLAESEPDKAVKSLVKVRESVSEQGDPTERVAFYFTEALYSRVSQQTERSLTMYETTSEDFTLSYKALNDACPYSKFAHLTANQAILEATERATKIHIVDFGIVQGVQWAALLQALATRSAGKPARIRISGIPATVLGKSPAASLFATGNRLRDFSKLLDLNFEFEPILTPINELNESCFRIDPDEVLAVNFMLQLYNLLDETPVAVETALRMAKSLNATIVTLGEYEASLNRIGFVNRFKNALRYYSAVFESLEPNLSRDSPQRLQVEKFLLGRRIAGIIGPEEAGTRRECIEDKEQWRILMESCGFESVALSNYAMSQAKILLWNYNYSSLYSLIESQPGFLSLAWKEVPLLTVSSWR >Manes.04G157100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35224818:35226923:1 gene:Manes.04G157100.v8.1 transcript:Manes.04G157100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLRSVKVPPNSASLQEARARVFDFFRAACRSIPQIMDIYNLQDVVTVSQLRSTIASEVRKNSNVTNPKVIDLLLFKGMEELNNITEHAKQRHHIIGQYVVGQHGLVKDLDTKDQGISEFLKNFYKSNYF >Manes.01G111100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30973129:30975303:1 gene:Manes.01G111100.v8.1 transcript:Manes.01G111100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGMSPRLSFSNDFSQAETIPTPLQFQSCSSNFRDFDFCFHSRETNLEWSSADELFVDGKMLPVEMKKKIDTSQEIIADKDSRHEISKGNKIPSKRNEAISRHFANQEIKKKIAPPFPPLRAFLEATGAEEGYVNEDWISHDKFTETKISCKKKNNSSSSSRWSFGGTNVSMLCPCPLLCRSKTGGKRIELSREIQNHRRNSVQSKPLALAHLQISSLTGYRHQRPL >Manes.09G066600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10973381:10976643:-1 gene:Manes.09G066600.v8.1 transcript:Manes.09G066600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRLPSSHTLRTTFHPGFTVPVKASSLRFRPNFQVQNITKISNWAVDVRSKFLNLLLSGALALGFSLSGVEFAEAKVGVNKPELLPKEFTTVIDVAGFLSDGQEKRLVQEISDIEKDTGFKLRVLAQNYPETPGLAIRDFWQVDDRTIVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASVEAAVMAISSCLREPVGPNNCSEVN >Manes.09G066600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10973381:10976661:-1 gene:Manes.09G066600.v8.1 transcript:Manes.09G066600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRLPSSHTLRTTFHPGFTVPVKASSLRFRPNFQVQNITKISNWAVDVRSKFLNLLLSGALALGVEFAEAKVGVNKPELLPKEFTTVIDVAGFLSDGQEKRLVQEISDIEKDTGFKLRVLAQNYPETPGLAIRDFWQVDDRTIVFVADPTFGNILNFNVGASVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASVEAAVMAISSCLREPVGPNNCSEVN >Manes.08G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36853125:36867215:1 gene:Manes.08G127600.v8.1 transcript:Manes.08G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAPRQQPPNYNPSYQQNPNPLSDNLQNLNLNRPPSMPNSAPRPSPFQPPSSHSSAPSPPLSRPGPPPPGAFPGPSVPPSGSPPPTLPPNVGPARSAGPPFSQPSPFGSRPPPASLPSAGSGLVMGPSSGAPSHGSLAPRLGAHPSPIPSSTAPSSVPPSVSSGGLVSNGPPFLGGPRFPPSGNAPQQPLVGPPIGAARVPPQASSMRPLMGSSGISAQQRSPFSAAPQGPPSSSAPPQGMPSFSAPPQGMPSFSAPSQGMPSFSAPPQGMPSFSAPPQGTSPPVGFPFGQQMQTQQVAPPPIPGSAQSPPMFGMPPPPLLPNQMTAISPVVGQSGGSLAGSSKIDPNQIPRPVPGSSVVLHDTRQGNQANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTVDLLTTSSMQLALLVQPLALPHPSEEPIQLVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSSINQVIADLPEGPRTMVGIGTFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLQTDVIVPLSECRQHLELLLESIPSMFQNSKTAESAFGAAIKAVFLAMKSSGGKLLVFQSVLPSVGIGALSAREAEGRSNSSAGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDLFITTQTYVDIASISVIPKTTGGQVYYYYPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQQYYGNFCKRIPTDVDLPGIDCDKTIMVTLKHDDKLQDGAECAFQCALLYTTVYGQRRIRVTTLSLPCTNNLSNLFRMADLDTQFVCFLKQAASEIPSTPPMNVREHITNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALVKSTGLRIDGRIDDRSFWIGYVSSASTPLAIPLVHPRMISIHDLDTQEGNESLPPAIPLSSEHVSDDGIFLLENGQEGLIYIGSSVDSSILQQLFGISSIDEIQTQFVLQQYDNPLSKKLNDVINEIRRRRCSYLRLKLCKKGDPSGLLFFSYLIEDKVPTGGLSYVEFLVHVHRQIQMKMSS >Manes.08G127600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36853125:36867216:1 gene:Manes.08G127600.v8.1 transcript:Manes.08G127600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAPRQQPPNYNPSYQQNPNPLSDNLQNLNLNRPPSMPNSAPRPSPFQPPSSHSSAPSPPLSRPGPPPPGAFPGPSVPPSGSPPPTLPPNVGPARSAGPPFSQPSPFGSRPPPASLPSAGSGLVMGPSSGAPSHGSLAPRLGAHPSPIPSSTAPSSVPPSVSSGGLVSNGPPFLGGPRFPPSGNAPQQPLVGPPIGAARVPPQASSMRPLMGSSGISAQQRSPFSAAPQGPPSSSAPPQGMPSFSAPPQGMPSFSAPSQGMPSFSAPPQGMPSFSAPPQGTSPPVGFPFGQQMQTQQVAPPPIPGSAQSPPMFGMPPPPLLPNQMTAISPVVGQSGGSLAGSSKIDPNQIPRPVPGSSVVLHDTRQGNQANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTVDLLTTSSMQLALLVQPLALPHPSEEPIQLVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSSINQVIADLPEGPRTMVGIGTFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLQTDVIVPLSECRQHLELLLESIPSMFQNSKTAESAFGAAIKAVFLAMKSSGGKLLVFQSVLPSVGIGALSAREAEGRSNSSAGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDLFITTQTYVDIASISVIPKTTGGQVYYYYPFSALSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQQYYGNFCKRIPTDVDLPGIDCDKTIMVTLKHDDKLQDGAECAFQCALLYTTVYGQRRIRVTTLSLPCTNNLSNLFRMADLDTQFVCFLKQAASEIPSTPPMNVREHITNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALVKSTGLRIDGRIDDRSFWIGYVSSASTPLAIPLVHPRMISIHDLDTQEGNESLPPAIPLSSEHVSDDGIFLLENGQEGLIYIGSSVDSSILQQLFGISSIDEIQTQFVLQQYDNPLSKKLNDVINEIRRRRCSYLRLKLCKKGDPSGKFLGTTLEYIRE >Manes.10G028700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2913304:2913561:-1 gene:Manes.10G028700.v8.1 transcript:Manes.10G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTHSASAPPQFYFNEKWKLSKKEGSSRSSRSSTSPLMKNSSQRRSSFTRKCARLVKEQRARFYIMRRCVAMLICWRDYSDS >Manes.10G028700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2912170:2913648:-1 gene:Manes.10G028700.v8.1 transcript:Manes.10G028700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTHSASAPPQFYFNEKWKLSKKEGSSRSSRSSTSPLMKNSSQRRSSFTRKCARLVKEQRARFYIMRRCVAMLICWRDYSDS >Manes.02G012400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1232352:1233837:-1 gene:Manes.02G012400.v8.1 transcript:Manes.02G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGKEQELQKAQGAAVIWDCGSPLYDSYELASLGQIIDRHMVTLPFSPGGSNRFIFRPSFQREEKSLNVIKKEGVHHKGLLPKLMSSYLWTRRREKGTNENARHLSFGFYSLCGNVGLCRKKTAKVKKIMPGSN >Manes.08G010000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1220397:1221671:1 gene:Manes.08G010000.v8.1 transcript:Manes.08G010000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGNAYRGDPGVPHSGTDRFFNIWIGSAAFSVMTFFNPYLWQLTNQFNWHDKAFLFEQYHWKKAMKKNQPYKFKWNEMDREIRDNYYINWPHYFP >Manes.17G044901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24148706:24149761:-1 gene:Manes.17G044901.v8.1 transcript:Manes.17G044901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAYANIHWDDNIINSCNGYDYDGGFSKIIPMGKRISFNQLVGKIARTIGLSENNEFIKIISFRKPTIVDGSLKFEYISIWGENDVSSMFNYLYQIGGMLGIKIYVKILRCEQNIVDDYCLSDSLAGPLINLSDTVQNENEDEDEDVDEDEDDDDLWMSTEDDEDDNGHEDSGSESRYYNTQFPNPIVPVVHPPPYAEIDFDLLRVDPYDRPEGHSFWDPSKEFSVGMIFSLRDAVAAAAKEYHLRHHHQFCYHETREKTYSIKYKDKDSECAWRLRASKKEGEDVWKITRYSGPHTCTNPQVTKNHSQLDENFICSFIFALIE >Manes.02G032759.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2698845:2702030:-1 gene:Manes.02G032759.v8.1 transcript:Manes.02G032759.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVARLTTILNNCPTAKQAKQIHSQIIVCALSNLEPLLVRQILLSASTYSTTVAQYVLKILFHLQYPDAFSWGFTVRYFSQQAQFKDALSLYFQMRRQGICPSTFAMSSALRASARIVSNTEGMLIHAQSFKYGFCGCVYVQTALVDLYSKLGDMNIALKVFDEMLEKNVVSWNSILSGYLKLGHLAEAQRVFNQIPKKDIVSWNSMLSGYAKIGDMDQACLLFEQMPERNYASWNAMISGFVECGKIDSAEKLFAEMPQRNNVSCITMIAGYSKCGFVESAWEIFNQMTDKDLISFNAMISSLAQNNRPLEALKLFNEMFKADVNIQPDEMTLVSVVSACSQLGDMRHGSWIESCIKNFGIECDDHLVTALINFYAKCGNIDKAYELFYGLKKKDVVAYSAMILACGINGKVNDAIKLFTAMVDAQIQPNLGTFSGLLTAYNHAGLVEEAYLCFKSMKEHQLVPLLDHYAIMVDLLGRAGRLQEAYELIKSMPMQPRAEVWGALLLACEVHSNVEFGEIAAKRCFELEPMTTGYYSLLANIYASFGRWDDARKLRKVMKNKKLSKIPGCSWTELT >Manes.01G001200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1654939:1657744:-1 gene:Manes.01G001200.v8.1 transcript:Manes.01G001200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVLSNLFSSSLPRPPNNLIKPRCPIIIPSLQFQNLTNSKFFGPTHRFPSNSFSFSWKTSSLSCLRSDGNTSSPVSEEALVGEDSAAFELGKQKILSWIYFSVILGVVLYVLDVVWIDNSTGYGKLFIDAISNLSESHEAVMLILIFIFATVHSGLASLRDVGEQLIGERPYRVLFAGVSLPLALSTVVYFINHRYDGVQLWQLQSDVLVHQLVWLSNFISFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQLLLHLLV >Manes.01G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1654939:1657744:-1 gene:Manes.01G001200.v8.1 transcript:Manes.01G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVLSNLFSSSLPRPPNNLIKPRCPIIIPSLQFQNLTNSKFFGPTHRFPSNSFSFSWKTSSLSCLRSDGNTSSPVSEEALVGEDSAAFELGKQKILSWIYFSVILGVVLYVLDVVWIDNSTGYGKLFIDAISNLSESHEAVMLILIFIFATVHSGLASLRDVGEQLIGERPYRVLFAGVSLPLALSTVVYFINHRYDGVQLWQLQSDVLVHQLVWLSNFISFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQVMWCLAHTIWIGNSVAVAASIGLIGHHLFGVWNGDRRLAKRYGEAFEAVKKRTSIVPFAAIIDGRQKLPKDYYKEFLRLPYFSITALTLGAYFAHPLMQAASSRLHW >Manes.03G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21667019:21669138:1 gene:Manes.03G085600.v8.1 transcript:Manes.03G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNVCEAAEANVLCCADEAALCWACDEKVHAANKLASKHQRVPLAGSSPQMPKCDICQDTAGFFFCLEDRALLCRKCDFAIHTANAYVSAHQRFLLTGVKVGLAPTDPGASSSSGKLHSGEKTSETIHHSVSRRGTPLSLACPSNEVLPEQVRGWEFEPSNVSFSTVSVAGGIPQWEMDEFLGLTDFSQNYDNVGNGSSKADYSKHSDSDGSAILRSAEEELDDDDCPGQVPDSSWAVPQIPSPPTASGLYWPKRVHNQSYSGDFVPDMFCSTAQNHHHSRQHGTGTKRQHL >Manes.17G123500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32888875:32900003:-1 gene:Manes.17G123500.v8.1 transcript:Manes.17G123500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIPIWVLFISFCLLLHATNSEVEEVVKRSLINFLAKLNGGQPNPSFGWTNDTDPCKDNWKGVICDRQTKTFVRRIYLSQTNLSGVFDAASLCNVPPLAKSLIHIKLDQNSIVGELPAEISNCRNLVYLLLGRNRFHGNLPDALAKLRALQRLDISDNEFSGNLPNLSQIPFLTTFLAQYNELTGELPSFDLSNFVRFNVSFNDFIGPIPVVTGQFTESSFMDNPGLCGPLLKRDCYNQDDSTSEDGKKSKGVSKDEILMYSGYGLLGLVFISIIIYKLVKRNKIEEKDDSVNKVASADDDIEKPTAVSSDHKSAMSRSDLSVNSSESAMASTSLVILTSPVVNGLRFDDLLRAPAELLGRGKHGSLYKVICENGMFLAVKRIRNWAISSSEFKQRMQKIYQANHPNILPALAFYSSRQEKLLVYEYQQNGSLSRFLHGTQTGQTFDWMSRLSVAATIAEALAFMHQELQPDGIAHGNLKSSNILLNKNMEPCIRAIDDCWISSPRPKGQAHENSP >Manes.17G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32897709:32900003:-1 gene:Manes.17G123500.v8.1 transcript:Manes.17G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIPIWVLFISFCLLLHATNSEVEEVVKRSLINFLAKLNGGQPNPSFGWTNDTDPCKDNWKGVICDRQTKTFVRRIYLSQTNLSGVFDAASLCNVPPLAKSLIHIKLDQNSIVGELPAEISNCRNLVYLLLGRNRFHGNLPDALAKLRALQRLDISDNEFSGNLPNLSQIPFLTTFLAQYNELTGELPSFDLSNFVRFNVSFNDFIGPIPVVTGQFTESSFMDNPGLCGPLLKRDCYNQDDSTSEDGKKSKGVSKDEILMYSGYGLLGLVFISIIIYKLVKRNKIEEKDDSVNKVASADDDIEKPTAVSSDHKSAMSRSDLSVNSSESAMASTSLVILTSPVVNGLRFDDLLRAPAELLGRGKHGSLYKVICENGMFLAVKRIRNWAISSSEFKQRMQKIYQANHPNILPALAFYSSRQEKLLVYEYQQNGSLSRFLHGTQTGQTFDWMSRLSVAATIAEALAFMHQELQPDGIAHGNLKSSNILLNKNMEPCISEYGLMVVDDQDYSSPVNGYKIMPKPEATAHNAFKLDVYGFGMILLELLTGKLVQNNGTDLTKWVHAVVREEWTVEVFDKALISEGASEERMVNLLQVAIKCVNHTAEVRPTMNQIAVMINTIKEEEERSLVYDP >Manes.14G059800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4984602:4986364:-1 gene:Manes.14G059800.v8.1 transcript:Manes.14G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPAFLLISILLSCLCCSSHGLILFSSLRKTLVVSASPKAGQVHKAGVDNITVTWGVNQTFPAGTDSAYKTIKVKLCYAPVSQSDRAWRKTEDNLSKDKTCQFTIVSRPYTSGSKNQTFTWTIERDVPTATYFIRVYAYNSDEDVVAYGQTTDAHKTTNLFDIQAITGRHTSLDIASVCFSAFSVLALFGFFMNEKRKAKKTQGK >Manes.02G166800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13076160:13080072:1 gene:Manes.02G166800.v8.1 transcript:Manes.02G166800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTRRPSFSSSTTSSLAKRHASASTASENVGKVMTAATHLARKRAPLGDITNHKNAPQKGSRTSIPSSNSATSSNKIAKVKKGPACTINTDISGNNLPPSLNAKPNAVATCSVTSVPRSDEAVPGIAVDPVPCSMDVSPSKSDGISISLDETMSTCDSFKSPEVEYIDNNDIPAIDFINKKTLSNLYISENVQTAENVCCREILADMETDDKIVNLDDNYEDPQLCATIACDIYKHLLASETKKRPSIDFMERIQKDINASMRSILVDWLVEVAEEYRLVPDTLFLTVNYIDRYLSGNVMNRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFREEVLEMESAVLNYLKFELTAPTAKCFLRRFVRAAHGINEVPSMQLECLANYITELSLLEYSMLGYAPSLVAASSIFLAKYILLPSRRPWNSTLQHYTLYKPSDLCDCVKDLHRLCCNSNNSTLPAIREKYSQHKYKFVAKKYCPPSITQEFFQNQSC >Manes.14G168432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:27289484:27289966:1 gene:Manes.14G168432.v8.1 transcript:Manes.14G168432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPLTFHHLKGIIHSHSHDIVFIIETKNKKAFVRRKMNNCGSCDFCIMNPIGRAGGLALAWKDGTAISIVNVGEFFIHACIFIPSVNTNIDIVFVYFSSVDETRNAQFNFLSNYKMNFDANLAFIGDFNSTMRSWEKEGGNHISNASYLPFRNFINDWL >Manes.17G058400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25708114:25713551:-1 gene:Manes.17G058400.v8.1 transcript:Manes.17G058400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRRLRGHKATATCCIASRDRPGIVATAGEDGCICWFDMRCKDAQFVMEVGPEPVSSLCFKPGNEDIIYVSSGNEVKCFDVNMATSQKLLQSYHYNKEEINQIACNSKSTFLASTDDSGDIKIIDMHQHCLYKTLRAGHTSICSSVQFIPWRAWEVITGGLDSKLIIWDFSKGRPTKIVDFGSPKMKGTNMAQSFNPAFVHAIAVPDYDMLDTSGNICVVARGDGAVDVINIESELAATRSKSTTKVHKGSQSTPPVAEGSRLHLDYTLGGHVAAVSCAAFSLFGERGKFIITGGNDKSVKVWECSRYLDVGQSGSNNNILHLNINLSKKVNWLCTTPTASENLVVCDTTKVVKVYSVL >Manes.17G058400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25708114:25713551:-1 gene:Manes.17G058400.v8.1 transcript:Manes.17G058400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFAGLICDAKTHNSLWRLDRNLSLHCALSQATSQKLLQSYHYNKEEINQIACNSKSTFLASTDDSGDIKIIDMHQHCLYKTLRAGHTSICSSVQFIPWRAWEVITGGLDSKLIIWDFSKGRPTKIVDFGSPKMKGTNMAQSFNPAFVHAIAVPDYDMLDTSGNICVVARGDGAVDVINIESELAATRSKSTTKVHKGSQSTPPVAEGSRLHLDYTLGGHVAAVSCAAFSLFGERGKFIITGGNDKSVKVWECSRYLDVGQSGSNNNILHLNINLSKKVNWLCTTPTASENLVVCDTTKVVKVYSVL >Manes.14G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5157042:5161802:1 gene:Manes.14G061800.v8.1 transcript:Manes.14G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTTGGASLPSTGTDAKKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVHYSLHRRMEINRPFPAGPADIRRFHSDDYVKFLASVSPESLADPAHNRHLKRFNVGEDCPVFDGLFGFCQASAGGSIGAAVKLNRGDADIALNWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIKDTGAGQGKNYALNIPLNDGMDDESFRGLFRPIIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPDYTLHIEPSNMENLNAPKDMERIRNILLEQLSKLPNAPSVPFQTTPSTTEVPEEAEEDMDRRPKRRIWNGEDYGSDHDEDEKLRPRSLNIDGNAVAKDEMRDYTDEMEDKKEEHPQS >Manes.01G180900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35954086:35957197:1 gene:Manes.01G180900.v8.1 transcript:Manes.01G180900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVGMVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDEHDLCNIGDRVRLDPSRPLSKRKHWVVAEILKKARIYVPPSADSSVSLDGKTEAQTSTAS >Manes.01G180900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35954093:35957197:1 gene:Manes.01G180900.v8.1 transcript:Manes.01G180900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVGMVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDEHDLCNIGDRVRLDPSRPLSKRKHWVVAEILKKARIYVPPSADSSVIYNSLKRSNSAPKKSCFE >Manes.01G180900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35954086:35956841:1 gene:Manes.01G180900.v8.1 transcript:Manes.01G180900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVGMVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDEHDLCNIGDRVRLDPSRPLSKRKHWVVAEILKKARIYVPPSADSSVSLDGKTEAQTSTAS >Manes.12G128300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33500976:33504681:-1 gene:Manes.12G128300.v8.1 transcript:Manes.12G128300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEEHEEEEQMGLPASYDSLGNSSRVKMTSPAGEQAAAGAGPVQSTKPRYRECLKNHAVGIGGQAVDGCGEFMAAGAEGTLDALKCAACNCHRNFHRKETNDSTAPGGGELFFHGHPHPHHLHHQVPQFAPYYRTPAGYLHVAPPQQRPLALPSTSGGQSREDQEDVSNPSGGGFGGGSSRKRFRTKFTQEQKERMLALAERLGWRIQKHDEAAVQEFCNETGVKRHVLKVWMHNNKHTLGKKP >Manes.12G128300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33500977:33504681:-1 gene:Manes.12G128300.v8.1 transcript:Manes.12G128300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEEHEEEEQMGLPASYDSLGNSSRVKMTSPAGEQAAAGAGPVQSTKPRYRECLKNHAVGIGGQAVDGCGEFMAAGAEGTLDALKCAACNCHRNFHRKETNDSTAPGGGELFFHGHPHPHHLHHQVPQFAPYYRTPAGYLHVAPPQQRPLALPSTSGGQSREDQEDVSNPSGGGFGGGSSRKRFRTKFTQEQKERMLALAERLGWRIQKHDEAAVQEFCNETGVKRHVLKVWMHNNKHTLGKKP >Manes.12G128300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33500977:33504681:-1 gene:Manes.12G128300.v8.1 transcript:Manes.12G128300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQEEHEEEEQMGLPASYDSLGNSSRVKMTSPAGEQAAAGAGPVQSTKPRYRECLKNHAVGIGGQAVDGCGEFMAAGAEGTLDALKCAACNCHRNFHRKETNDSTAPGGGELFFHGHPHPHHLHHQVPQFAPYYRTPAGYLHVAPPQQRPLALPSTSGGQSREDQEDVSNPSGGGFGGGSSRKRFRTKFTQEQKERMLALAERLGWRIQKHDEAAVQEFCNETGVKRHVLKVWMHNNKHTLGKKP >Manes.02G169200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13324353:13326824:-1 gene:Manes.02G169200.v8.1 transcript:Manes.02G169200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGKGIRFVRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKKRRTTKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELMAKTQKTQGKGNVPKGAAPKGPKLGGGGGKR >Manes.17G123900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32969294:32974694:1 gene:Manes.17G123900.v8.1 transcript:Manes.17G123900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYCVRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYLVFIVYAYAIAALLLLPSPFISCRSRVLPPLSFSILSKIGLLGLIGSSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERVAMKRRSSQAKVLGTIVSIAGAFVVTLYKGPPIIIVSSPSLLFNQSLQSTHPNWILGGILLTAEYILVPLWYIVQTQIMKEYPAEMTVVFFYNLSVSIIAAIVALFTYGASCSWIIRPGLALASIVCSGVFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKAKEELTEDYGASTLGSPSTQKVPLLQSYKDEHV >Manes.17G123900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32969294:32974704:1 gene:Manes.17G123900.v8.1 transcript:Manes.17G123900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYCVRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYLVFIVYAYAIAALLLLPSPFISCRSRVLPPLSFSILSKIGLLGLIGSSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERVAMKRRSSQAKVLGTIVSIAGAFVVTLYKGPPIIIVSSPSLLFNQSLQSTHPNWILGGILLTAEYILVPLWYIVQTQIMKEYPAEMTVVFFYNLSVSIIAAIVALFTYGASCSWIIRPGLALASIVCSGVFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKAKEELTEDYGASTLGSPSTQKVPLLQSYKDEHV >Manes.17G123900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32969360:32974694:1 gene:Manes.17G123900.v8.1 transcript:Manes.17G123900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYCVRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYLVFIVYAYAIAALLLLPSPFISCRSRVLPPLSFSILSKIGLLGLIGSSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERVAMKRRSSQAKVLGTIVSIAGAFVVTLYKGPPIIIVSSPSLLFNQSLQSTHPNWILGGILLTAEYILVPLWYIVQTQIMKEYPAEMTVVFFYNLSVSIIAAIVALFTYGASCSWIIRPGLALASIVCSGVFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKAKEELTEDYGASTLGSPSTQKVPLLQSYKDEHV >Manes.17G123900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32969294:32974694:1 gene:Manes.17G123900.v8.1 transcript:Manes.17G123900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYCVRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYLVFIVYAYAIAALLLLPSPFISCRSRVLPPLSFSILSKIGLLGLIGSSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERVAMKRRSSQAKVLGTIVSIAGAFVVTLYKGPPIIIVSSPSLLFNQSLQSTHPNWILGGILLTAEYILVPLWYIVQTQIMKEYPAEMTVVFFYNLSVSIIAAIVALFTYGASCSWIIRPGLALASIVCSGVFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKAKEELTEDYGASTLGSPSTQKVPLLQSYKDEHV >Manes.17G123900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32969294:32974694:1 gene:Manes.17G123900.v8.1 transcript:Manes.17G123900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYCVRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYLVFIVYAYAIAALLLLPSPFISCRSRVLPPLSFSILSKIGLLGLIGSSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERVAMKRRSSQAKVLGTIVSIAGAFVVTLYKGPPIIIVSSPSLLFNQSLQSTHPNWILGGILLTAEYILVPLWYIVQTQIMKEYPAEMTVVFFYNLSVSIIAAIVALFTYGASCSWIIRPGLALASIVCSGVFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKAKEELTEDYGASTLGSPSTQKVPLLQSYKDEHV >Manes.17G123900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32969294:32974694:1 gene:Manes.17G123900.v8.1 transcript:Manes.17G123900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYCVRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYLVFIVYAYAIAALLLLPSPFISCRSRVLPPLSFSILSKIGLLGLIGSSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERVAMKRRSSQAKVLGTIVSIAGAFVVTLYKGPPIIIVSSPSLLFNQSLQSTHPNWILGGILLTAEYILVPLWYIVQTQIMKEYPAEMTVVFFYNLSVSIIAAIVALFTYGASCSWIIRPGLALASIVCSGVFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKAKEELTEDYGASTLGSPSTQKVPLLQSYKDEHV >Manes.17G123900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32969360:32975409:1 gene:Manes.17G123900.v8.1 transcript:Manes.17G123900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYCVRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYLVFIVYAYAIAALLLLPSPFISCRSRVLPPLSFSILSKIGLLGLIGSSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERVAMKRRSSQAKVLGTIVSIAGAFVVTLYKGPPIIIVSSPSLLFNQSLQSTHPNWILGGILLTAEYILVPLWYIVQTQIMKEYPAEMTVVFFYNLSVSIIAAIVALFTYGASCSWIIRPGLALASIVCSGVFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKAKEELTEDYGASTLGSPSTQKVPLLQSYKDEHV >Manes.17G123900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32969294:32975409:1 gene:Manes.17G123900.v8.1 transcript:Manes.17G123900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNYCVRDVLPFTSLVAMECVNVGLNTLYKAATLKGMNYLVFIVYAYAIAALLLLPSPFISCRSRVLPPLSFSILSKIGLLGLIGSSSQIMGFTGINYSSPTLSSAISNLTPAFTFILAIIFRMERVAMKRRSSQAKVLGTIVSIAGAFVVTLYKGPPIIIVSSPSLLFNQSLQSTHPNWILGGILLTAEYILVPLWYIVQTQIMKEYPAEMTVVFFYNLSVSIIAAIVALFTYGASCSWIIRPGLALASIVCSGVFGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGAMFLGDALHLGSLIGAMIISIGFYTVMWGKAKEELTEDYGASTLGSPSTQKVPLLQSYKDEHV >Manes.S026752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2257631:2258443:-1 gene:Manes.S026752.v8.1 transcript:Manes.S026752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.02G160600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12552276:12554074:-1 gene:Manes.02G160600.v8.1 transcript:Manes.02G160600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERVQVIASNSRDRDRDIIPEEFIRSEQEQPALTTVHGLDAGIRVIDMSDPDQEKVNNLVVEASEEWGMFQIVNHGIPSEVIMKLQNVGKDFFELPQEEKEVYAKPPGSKEGYGTFLQKEVDGKKAWVDHLFHKIWPTSSINYQFWPKNPPSYREANEEYAKHLQKVADELMKILSLGLGLAENELKEAVGGENLEFLLKINYYPPCPRPDLALGVVAHTDMSSITILVPNDVQGLQVSRDGKWYDVSYIPNALVIHIGDQLQILSNGKYKSVLHRSTLNKDKVRMSWPVFLEPPSEFSVGPHPKLINEENPPKYKTKKFGDYCYCKLNKIPF >Manes.08G051200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5379047:5392663:1 gene:Manes.08G051200.v8.1 transcript:Manes.08G051200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTSGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQYRELQGHESDKFLSYFKPCIMPLEGGVASGFKTPEEEVFETRLYVCRGKRVVRMKQVPFARTSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDIIPESTPAKLYSNPDGEVKVVEGELSKDLLENNKCYLLDCGAEVFVWVGRVTQVEERKAACQAAEEFIVSQNRPKSTHITRVIQGYETYSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMAKSTPVNEEVPPLLEGGGKMEVWYINGSAKTPLPKDDIGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGKDSMEEDQKMAVRLANTMSNSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGLSSGYKKYIEGKGLTDETYTSDCVALIQISGTSVHNNKAVQVDAVAASLNSTGCFLLQSGSSVFTWHGNQSTFEQQQLSAKIAEFLKPGVALKHAKEGTESSAFWFALGGKQNYTSKKASPEVARDPHLFAFSFNKGKFLVEEIYNFSQDDLLTEDILILDTHAEVFIWVGQSVDPKEKQNAFDIGQKYIEMAASLEGLAPKVPLYKVTEGNEPSFFTTYFSWDPTKAMVSGNSFQKKAALLFGFAHHAVEDKSNGNQGGLTQRASALAALSSAFNPTRKVSSTRQDRSNGSNQGGATQRASAWAALNAAFNSSSQFKATVSRTVRPSQGSQRAAAVAALSSVLTAEKKKTPETSPSRSPPPETTCSGKSDNSLSEEEIPEAAAEVKETEEVASVSESTGEDSEPKQDMEQKENGNGSSGSTFSYDRLKARSDNPVTGIDFKRREAYLSEDEFQTVFGMKKEAFYKMPKWKQDMLKRKVDLF >Manes.08G051200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5379047:5392663:1 gene:Manes.08G051200.v8.1 transcript:Manes.08G051200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIVLQTTSGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQYRELQGHESDKFLSYFKPCIMPLEGGVASGFKTPEEEVFETRLYVCRGKRVVRMKQVPFARTSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDIIPESTPAKLYSNPDGEVKVVEGELSKDLLENNKCYLLDCGAEVFVWVGRVTQVEERKAACQAAEEFIVSQNRPKSTHITRVIQGYETYSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMAKSTPVNEEVPPLLEGGGKMEVWYINGSAKTPLPKDDIGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGKDSMEEDQKMAVRLANTMSNSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGLSSGYKKYIEGKGLTDETYTSDCVALIQISGTSVHNNKAVQVDAVAASLNSTGCFLLQSGSSVFTWHGNQSTFEQQQLSAKIAEFLKPGVALKHAKEGTESSAFWFALGGKQNYTSKKASPEVARDPHLFAFSFNKGKFLVEEIYNFSQDDLLTEDILILDTHAEVFIWVGQSVDPKEKQNAFDIGQKYIEMAASLEGLAPKVPLYKVTEGNEPSFFTTYFSWDPTKAMVSGNSFQKKAALLFGFAHHAVEDKSNGNQGGLTQRASALAALSSAFNPTRKVSSTRQDRSNGSNQGGATQRASAWAALNAAFNSSSQFKATVSRTVRPSQGSQRAAAVAALSSVLTAEKKKTPETSPSRSPPPETTCSGKSDNSLSEEEIPEAAAEVKETEEVASVSESTGEDSEPKQDMEQKENGNGSSGSTFSYDRLKARSDNPVTGIDFKRREAYLSEDEFQTVFGMKKEAFYKMPKWKQDMLKRKVDLF >Manes.08G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5379039:5392718:1 gene:Manes.08G051200.v8.1 transcript:Manes.08G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDYGKFYMGDSYIVLQTTSGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQYRELQGHESDKFLSYFKPCIMPLEGGVASGFKTPEEEVFETRLYVCRGKRVVRMKQVPFARTSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVISEDDIIPESTPAKLYSNPDGEVKVVEGELSKDLLENNKCYLLDCGAEVFVWVGRVTQVEERKAACQAAEEFIVSQNRPKSTHITRVIQGYETYSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMAKSTPVNEEVPPLLEGGGKMEVWYINGSAKTPLPKDDIGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGKDSMEEDQKMAVRLANTMSNSLKGRPVQGRIFQGKEPPQFIALFQPMVVLKGGLSSGYKKYIEGKGLTDETYTSDCVALIQISGTSVHNNKAVQVDAVAASLNSTGCFLLQSGSSVFTWHGNQSTFEQQQLSAKIAEFLKPGVALKHAKEGTESSAFWFALGGKQNYTSKKASPEVARDPHLFAFSFNKGKFLVEEIYNFSQDDLLTEDILILDTHAEVFIWVGQSVDPKEKQNAFDIGQKYIEMAASLEGLAPKVPLYKVTEGNEPSFFTTYFSWDPTKAMVSGNSFQKKAALLFGFAHHAVEDKSNGNQGGLTQRASALAALSSAFNPTRKVSSTRQDRSNGSNQGGATQRASAWAALNAAFNSSSQFKATVSRTVRPSQGSQRAAAVAALSSVLTAEKKKTPETSPSRSPPPETTCSGKSDNSLSEEEIPEAAAEVKETEEVASVSESTGEDSEPKQDMEQKENGNGSSGSTFSYDRLKARSDNPVTGIDFKRREAYLSEDEFQTVFGMKKEAFYKMPKWKQDMLKRKVDLF >Manes.12G084200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12428656:12450345:-1 gene:Manes.12G084200.v8.1 transcript:Manes.12G084200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMVGNADESPELLSFAAAKSSPSLADGSFRQVDDVFLQTQTRIWLGEVLQTRLSDQLSIADLLADGELLYEVSRKIWKMMLAKHVELDCIRTYEFEPFASRSIGRYMPYSNVDSFLKICIILGLDSIDLFSPSDVVEKRDTRKVCMCIRALSKKARSRHLNVADFDHVTYTVPMPTCMVGHIRRSWELSHGDYCNSFGHSPHSELRQRSKQISSDSIRNCTEVSSAIKNELSDRCSVGLDVQNQLGDEDLYCQQAGSLFSHHFDHQQGDMPSPTFVDSNIQLGGKVFYAERDAKYRYRSTVLDQIYNDTIMEDGASVVGDSGECTTPESTISEDQSAMSAIVGLETDTGESILLDGKDYIFYACDACTSCSKAIRNLQNEFKSPYLDSDDVESSSAACVSSVSVALQNFDFDNHSDTEDVLKNECSPESANSQLCGLSKKQTEESLSDLKDVDVGFSSIKHNGYLDHAISYDNSICSSQINSNVAYDEGTGLFVDQSCFPLWDQKGKWRIAVVPIGNGDHGASSLSISPVGSHEENLHFIQTEVTEDALRFKKIESIVADNEKAVHRITIVQSEPDTCKRPDECLLVACSDNLTHRKHEDSNGSCNVAVQSGNVNKEGEKETLQMSAVENPKMAAQKNQKQPLLKSVVKGTAILGALFLFNHLRKNCTDKSGRLAEQSNQIRSGGDSSSAMRKRGKIADGVYPAERLKLVH >Manes.12G084200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12428656:12450345:-1 gene:Manes.12G084200.v8.1 transcript:Manes.12G084200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMVGNADESPELLSFAAAKSSPSLADGSFRQVDDVFLQTQTRIWLGEVLQTRLSDQLSIADLLADGELLYEVSRKIWKMMLAKHVELDCIRTYEFEPFASRSIGRYMPYSNVDSFLKICIILGLDSIDLFSPSDVVEKRDTRKVCMCIRALSKKARSRHLNVADFDHVTYTVPMPTCMVGHIRRSWELSHGDYCNSFGHSPHSELRQRSKQKNSSAVSRSYHLHFEEFDDLESKIVHQSDSSDTITYYPSSQISSDSIRNCTEVSSAIKNELSDRCSVGLDVQNQLGDEDLYCQQAGSLFSHHFDHQQGDMPSPTFVDSNIQLGGKVFYAERDAKYRYRSTVLDQIYNDTIMEDGASVVGDSGECTTPESTISEDQSAMSAIVGLETDTGESILLDGKDYIFYACDACTSCSKAIRNLQNEFKSPYLDSDDVESSSAACVSSVSVALQNFDFDNHSDTEDVLKNECSPESANSQLCGLSKKQTEESLSDLKDVDVGFSSIKHNGYLDHAISYDNSICSSQINSNVAYDEGTGLFVDQSCFPLWDQKGKWRIAVVPIGNGDHGASSLSISPVGSHEENLHFIQTEVTEDALRFKKIESIVADNEKAVHRITIVQSEPDTCKRPDECLLVACSDNLTHRKHEDSNGSCNVAVQSGNVNKEGEKETLQMSAVENPKMAAQKNQKQPLLKSVVKGTAILGALFLFNHLRKNCTDKSGRLAEQSNQIRSGGDSSSAMRKRGKIADGVYPAERLKLVH >Manes.12G084200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12428656:12450345:-1 gene:Manes.12G084200.v8.1 transcript:Manes.12G084200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRVLACGTFGDPPIPPPTVPHHFRPFFGASLVIRCCWSGCLGFASIWILLQICIILGLDSIDLFSPSDVVEKRDTRKVCMCIRALSKKARSRHLNVADFDHVTYTVPMPTCMVGHIRRSWELSHGDYCNSFGHSPHSELRQRSKQKNSSAVSRSYHLHFEEFDDLESKIVHQSDSSDTITYYPSSQISSDSIRNCTEVSSAIKNELSDRCSVGLDVQNQLGDEDLYCQQAGSLFSHHFDHQQGDMPSPTFVDSNIQLGGKVFYAERDAKYRYRSTVLDQIYNDTIMEDGASVVGDSGECTTPESTISEDQSAMSAIVGLETDTGESILLDGKDYIFYACDACTSCSKAIRNLQNEFKSPYLDSDDVESSSAACVSSVSVALQNFDFDNHSDTEDVLKNECSPESANSQLCGLSKKQTEESLSDLKDVDVGFSSIKHNGYLDHAISYDNSICSSQINSNVAYDEGTGLFVDQSCFPLWDQKGKWRIAVVPIGNGDHGASSLSISPVGSHEENLHFIQTEVTEDALRFKKIESIVADNEKAVHRITIVQSEPDTCKRPDECLLVACSDNLTHRKHEDSNGSCNVAVQSGNVNKEGEKETLQMSAVENPKMAAQKNQKQPLLKSVVKGTAILGALFLFNHLRKNCTDKSGRLAEQSNQIRSGGDSSSAMRKRGKIADGVYPAERLKLVH >Manes.12G084200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12428656:12450345:-1 gene:Manes.12G084200.v8.1 transcript:Manes.12G084200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFVKSTMSSCRYEVSRKIWKMMLAKHVELDCIRTYEFEPFASRSIGRYMPYSNVDSFLKICIILGLDSIDLFSPSDVVEKRDTRKVCMCIRALSKKARSRHLNVADFDHVTYTVPMPTCMVGHIRRSWELSHGDYCNSFGHSPHSELRQRSKQKNSSAVSRSYHLHFEEFDDLESKIVHQSDSSDTITYYPSSQISSDSIRNCTEVSSAIKNELSDRCSVGLDVQNQLGDEDLYCQQAGSLFSHHFDHQQGDMPSPTFVDSNIQLGGKVFYAERDAKYRYRSTVLDQIYNDTIMEDGASVVGDSGECTTPESTISEDQSAMSAIVGLETDTGESILLDGKDYIFYACDACTSCSKAIRNLQNEFKSPYLDSDDVESSSAACVSSVSVALQNFDFDNHSDTEDVLKNECSPESANSQLCGLSKKQTEESLSDLKDVDVGFSSIKHNGYLDHAISYDNSICSSQINSNVAYDEGTGLFVDQSCFPLWDQKGKWRIAVVPIGNGDHGASSLSISPVGSHEENLHFIQTEVTEDALRFKKIESIVADNEKAVHRITIVQSEPDTCKRPDECLLVACSDNLTHRKHEDSNGSCNVAVQSGNVNKEGEKETLQMSAVENPKMAAQKNQKQPLLKSVVKGTAILGALFLFNHLRKNCTDKSGRLAEQSNQIRSGGDSSSAMRKRGKIADGVYPAERLKLVH >Manes.12G084200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12431133:12437456:-1 gene:Manes.12G084200.v8.1 transcript:Manes.12G084200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRALSKKARSRHLNVADFDHVTYTVPMPTCMVGHIRRSWELSHGDYCNSFGHSPHSELRQRSKQKNSSAVSRSYHLHFEEFDDLESKIVHQSDSSDTITYYPSSQISSDSIRNCTEVSSAIKNELSDRCSVGLDVQNQLGDEDLYCQQAGSLFSHHFDHQQGDMPSPTFVDSNIQLGGKVFYAERDAKYRYRSTVLDQIYNDTIMEDGASVVGDSGECTTPESTISEDQSAMSAIVGLETDTGESILLDGKDYIFYACDACTSCSKAIRNLQNEFKSPYLDSDDVESSSAACVSSVSVALQNFDFDNHSDTEDVLKNECSPESANSQLCGLSKKQTEESLSDLKDVDVGFSSIKHNGYLDHAISYDNSICSSQINSNVAYDEGTGLFVDQSCFPLWDQKGKWRIAVVPIGNGDHGASSLSISPVGSHEENLHFIQTEVTEDALRFKKIESIVADNEKAVHRITIVQSEPDTCKRPDECLLVACSDNLTHRKHEDSNGSCNVAVQSGNVNKEGEKETLQMSAVENPKMAAQKNQKQPLLKSVVKGTAILGALFLFNHLRKNCTDKSGRLAEQSNQIRSGGDSSSAMRKRGKIADGVYPAERLKLVH >Manes.02G158100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12357260:12359971:-1 gene:Manes.02G158100.v8.1 transcript:Manes.02G158100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFSSHSLLTPPRLSASFSFKTLETRTLLTPQSLRYRPHLRNPIIGLPLSGSSPVGNYCFKSPSFKAYLAAEDSAPTTNDKEENHQNDVKASEREADGVLSLKNLISTYKAAILHGDEGTVLDIEARIKIIENENSELLQKVSALSAEITSGKEKYIRLQADFDNFRKRSEKEKLTIRSDAQGEVIESLLPMVDSFEIAKQQIKPETEKEKNIDTSYQGIYKQFVEIMRSLQVAAVATVGKPFDPSLHEAIAREESKEYKEGVIIQELRRGFLLGNRLLRPAMVKVSAGPGRKKAPVSNEQPATATGVDDR >Manes.09G075200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18811242:18814209:-1 gene:Manes.09G075200.v8.1 transcript:Manes.09G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGIVSGNEADFTECWKVTWRTPYIMRLALSASLGGLLFGYDTGVISGALLYIKEDFDDVDKSTILQEIIVSMCVGGAIIGAAFGGYFNDRFGRKKTILGSDSVFIIGAIIMAVAPAPWVIIVGRVLVGLGVGMASVSAPLYISESSPAKIRGALVSVNGFLLTGGQFLSYLVNLAFTKVHGTWRWMLGVAGVPALLQLLLMLWLPESPRWLYRENMVDEARAILEKIYPAEEVEQELHCLKESIEFEKEMDASTGKDMISKVKSAFSDTVVRRGLYAGVTVQVAQQFVGINTVMYYAPTIVQFAGFASKSVALALSLVTTGLNAFGSIMSMLFVDRYGRRRLMIISMLGIITFLVALAVVFQQASTHAPATSLVDSESFSGNSTCPSYLSSFKTTTKWSCMNCLKAKCAFCADAANQLHPGACLASSKDIQRECQAQHRVWFKEGCPSKIGFLAVIILGLYILSYSPGMGTAPWIVNSEIYPLRYRGIGGGIAAVANWVSNLIVSLTFLSMTETFSVAGAFLFFAGVSVLGLIAIYCLVPETKGLQFEEVEKMLKVGFRPSIFKGKSKVEESAKA >Manes.17G077100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27710524:27714574:-1 gene:Manes.17G077100.v8.1 transcript:Manes.17G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWKDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISSNDPYAMNFRFIAADTLQKIIMLFALGIWTNFTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGKYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGDDGKLHVTVRKSNASRRSLGPGSFSGLTPRPSNLTGAEIYSLSSSRNPTPRGSNFNTSDFYNMMGVQGFPGGRLSNFGPADLYSVQSSRGPTPRPSNFEENCAPMATNSSPRFGFYPAQTVPTSYPAPNPEFSSTVSTKNTKNLQQQQQEQQQQQQQPQQQNSKANHDAKELHMFVWSSSASPVSDVFGGNDFGASQPSGRSDQGAKEIRMLVADHPQNGESKGEFPGEDFSFAGKVEGEDEGREKGGPTGLNKLGSSSTAELHPKTSGAPESGGNKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLISFRWHVAMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYILLGL >Manes.02G153150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11867939:11871424:1 gene:Manes.02G153150.v8.1 transcript:Manes.02G153150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKLALHISLVLFMLLHCSLTVASDSTEEANALLKWAATLHNPKDSNLSSWPLLPQNATNSIPRISPCNWVGLSCNINGRVERLNLTNAGLNGTLHELYFSAFSDLAYIDLNTNMLSGNIPLGITKLSKLIYLDLAYNLLSGTIPPEIGLLTNLDTLHLSANQLSGPIPSTIGQLSSLTELALYTNNLDGPIPPSIGNLTKMARLLLFENQISDLIPPEMGNLTSLVQLYMDTNNLSGPIPSTFGKLKNLTVLYMFHNQLSGSIPLEIGNMKSLTALSLFGNNLSGQVPATLGGLTNLKLLHLYKNKLSGPIPDELGNLTSMFDLELSENQLNGSIPSSLSNLKMLEQLYLRDNQLSGTIPEQIASLPNLSVLQLDNNQLIGQLPQTICQNEKLQNFTVFNNRLDGPIPKSFKDCKSFVRVRLEGNQFTGNVSEDFGVYPQLQYIDLSSNNFYGEISSNWGECPNLTTLAMAGNNISGTIPPEIGNATQLKALNLSSNKLSGRIPMEFGKLDLLKVILNDNQLSGGIPSEFGLLADLEYLDLSANKLNQSIPENIGNLPKLIYLNLSRNEFSQKIPIQLGKLTHLSKLDLSRNLLKGEIPSELSSLESLEVLNLSHNNLSGSIPDSFGGMNGLLTIDVSYNELEGPIPSNKAFQNASIEAFQGNKGLCGDVPGLQPCKILTNKSTSKKSHKMLFLIIFLPICGVFSPLVFLGVLFFLRKRKEDADAQQGSQEDDESIFISSSDGRIMHDEIIKSTDCFNSVYCIGKGGHGSVYKANLQSGSTVAVKKIHQFQDGEKTGRKEFLNEIRALTKIRHRNIVKLFGFCSYSRYSFLVYEYLDGGNLATILGNDEEAKELDWSKRINIVKGVANALFYMHHNCSPPIVHRDITSKNILLDSEYEAHVSDFGTAKLLNADSSHWTALAGTYGYVAPELAYTMKVSEKCDVYSFGVVALEMINGKHPGEIVFSVASPSAQKLVLEDFLDQRLPTPSAQVQDELRKIMKIAIACLHSNPQSRPTMHMICQVLAVQTPPYSSLG >Manes.09G186000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37300480:37302971:1 gene:Manes.09G186000.v8.1 transcript:Manes.09G186000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHHEPMPHTALVIPSWISWWPISTKLTCNLRGVRVVPNTPPDSIRRRLQNAWQANPLITLKLICNLRGVRGTGKSDKEGFYAAAIWLHQLHPKTLACNVSSIANFGYFKDLPEILYRLLEGLNVRKNQKAEWEQRKRGGKNKGKNRNSTFRGPFQHRKTSRRAKPSATREIRVMNAMQRNKIEKEKARMARKEKRVAMAKKVYERYNRDPDFRFLYERISEFFAECLKADMEHLKSGKITKISLAAKWCPSIDSSFDRSTLLCESIARKIFPRESYPEYKGLEEAYYAYRIRDRFRKEVLVPLRKVLELPEVYIGHNKWGEIPYNRVASVAMKSYKEKFLKHDAERFSSYLKDVKSGRAKIAAGALLPHEIIISLNDDDGGQVAELQWKNIKGDHHLQKKKLKNCMAICDVSNSMNGTPVEASIALGMLVSKLSEKPWKGKLITFSENPTLQMVRGDSLMEKIEFVRRMKQSSNADFQKVFDLILQVAVSGKLKEEEMIKRVFVFSDMEFDKASSAPYETDYKVIERKFREKGYGNVVPEVVFWNLRESRATPVDATQKGVAMVSGYSKNLLKLFLDGEGAIDPLSIMEAAISRKEYQKLAVLD >Manes.18G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4120314:4123931:1 gene:Manes.18G047400.v8.1 transcript:Manes.18G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGCGSSGGGANKKAEELQPYPVKEQLPGVQYCVNSPPPWPEALVLGFQHYLLTLGINVLIPSLIVPEMGGGDDEKAKVIQTLLFVSGFSTLFQTLFGTRLPSVVVGSHAYVISTTSILLANRNNMFIDPHERFRQTMRAIQGALIISGCFQMVMGFFGLWRNAVRFFSPLSIVPYVTFTGLGLYYLGFPMLANCVEVGVPEIITMVFISQYLPHYVKSTRPICDRYAVLLSVAIVWLFAQLLTSSTLYNNKPAKTQMSCRTDRTGLLAASPWIYIPHPYQWGSPTFKAGEAFAMMAAAFVSLFESTGTFYATARYGSATPVPPSVVSRGTGWLGIGVLFNGIFGCVTGFTASVENAGLLALTKVGSRRVIQISAGFMIFFSVFGKIGALFASIPLPIVAAIYCVLFGYVSSAGLGFLQFCNLNSFRTKFVLGFSFFMGISVPQYFREHYQLGSHTSSRWFHDIVTVIFTSHATVAALVGVFLDCTLRRENDETRNVGGLKWWEKFSLYNSDVRNDEFYALPCRLNELFPAL >Manes.14G064100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5317739:5319846:-1 gene:Manes.14G064100.v8.1 transcript:Manes.14G064100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLILIIFTILSMLHLASANARVNPCRSYCGNITIDYPFSLQYGCGHPGFRDLLFCINDVLMFHISSGSYRVIEIDYAYQSLTLHEPHLSTCDTIVLGGKGNGFSLETWRSPYFNPTADNVFMLIGCSVESPLFQGFPGKHLPCRNVSGMGCEEYYGCPAWSLVGHRQVGSKFGSGPPECCAVAFEAMKAINLSKLECEGYSSAYSLAPLRVDGPGGWSYGIRVKYSVQGNEEFCRACEATGGTCGYGTDGIRQMCMCGNFNSTSNCDSVNSAASSRTWHRVSTIAGSLMAILAWTASHSS >Manes.02G069300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5286978:5301154:-1 gene:Manes.02G069300.v8.1 transcript:Manes.02G069300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQGQGEVCSPEILAYMELALEQAKHALDCLEVPVGCVMVESGKVIASGRNRTTETRNATRHAEMEAIDVLLEQWQKTGLSVSEVAEKFSKCTLYVTCEPCIMCAAALSILGIKEVYYGCANDKFGGCGSILSLHSSSNQPHDSGEVAQGKGFKCIGGVMASEAVSLLRCFYEQGNPNAPKPHRPVTLQPADH >Manes.03G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:435174:437465:1 gene:Manes.03G004900.v8.1 transcript:Manes.03G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVTERDLEDEFRRFGVIRSVWVARRPPGYAFIDFDDKRDAEDAIHELDGKNGWRVELSHNSRGGGGGGGRGGGRGRSGGSDLKCYECGEPGHFARECRLRVGGGGGGGGGGGGGRRRSRSPRYRRSPSYGRRSYSPRGRSPKRRSLSPRGRSYSKSPPYRGREELPYANGNGTRDHRRSRS >Manes.08G086033.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27324711:27326349:-1 gene:Manes.08G086033.v8.1 transcript:Manes.08G086033.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSKVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVHFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQDIRLGDFGLAKMLTSDDFASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIFEMAAHKPAFKAFVSH >Manes.12G005300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:654638:658737:-1 gene:Manes.12G005300.v8.1 transcript:Manes.12G005300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIVILMIVLHNQILYRIVMDRELYHAVLHGDKKFFENLQVSDSYDLLEVTSGHKNSIIHVAAKCGEMQIAEKLIALCPSLLHQTNAKGDSPLHIAARLGRFQMTQLLINCAKLVEVEGEKKLVRMQNLDKDTALHEAARNGHFEIVSLLIYEDPELAQIVNNAGESSLFLALDRKFYKIARLILEVAPTCSYGGRNSMNVLHVAIIRADKNFMSEVLKRCPSAIAEADKFGWIPLQYAAYLGNAEVVELLLQFDTSLAYAKDKEGMTALHIAAKAGHGYVIQQLIAKYPDIPELLDNRDQTALHVAAESGKRSVVKIFLSISVSADLINDRDKDGNTPLHLAALQGHYEALLLLVNDYRVDKCAVNNIGLTTFDIIKSSVQLKQHEKARI >Manes.09G025560.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5131836:5135814:1 gene:Manes.09G025560.v8.1 transcript:Manes.09G025560.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLENLKQVLYDAEDVVEEFKCEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALNGRKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLLDTELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSDYGRATCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERREGISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWSNSNIKRLPNSICKLQSLQTLLLYECWNLQELPEDIRCMINLRNLDLRMEEGEETQFSLVRLALRWLPKIVDFPEWLIRGSTNSLKVLEVERCNNLRELPNCLQNMASHPEVQIIDCPKLNNNPLQKGEEAGPSTSLS >Manes.09G025560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5131836:5135814:1 gene:Manes.09G025560.v8.1 transcript:Manes.09G025560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLENLKQVLYDAEDVVEEFKCEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALNGRKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLLDTELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSDYGRATCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERREGISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWSNSNIKRLPNSICKLQSLQTLLLYECWNLQELPEDIRCMINLRYLWITTRQMYFPTGGIGCLKSLRFLYITECHSLKYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLTTLDTLCIDDCRNLDLRMEEGEETQFSLVRLALRWLPKIVDFPEWLIRGSTNSLKVLEVERCNNLRELPNCLQNMASHPEVQIIDCPKLNNNPLQKGEEAGPSTSLS >Manes.09G025560.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5131836:5135814:1 gene:Manes.09G025560.v8.1 transcript:Manes.09G025560.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLENLKQVLYDAEDVVEEFKCEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALNGRKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLLDTELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSDYGRATCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERREGISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWSNSNIKRLPNSICKLQSLQTLLLYECWNLQELPEDIRCMINLRYLWITTRQMYFPTGGIGCLKSLRFLYITECHSLKYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLTTLDTLCIDDCRNLDLRMEEGEETQFSLVRLALRWLPKIVDFPEWLIRGSTNSLKVLEVERCNNLRELPNCLQNMASHPEKRLVQVHH >Manes.09G025560.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5131836:5135814:1 gene:Manes.09G025560.v8.1 transcript:Manes.09G025560.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKMWWKSLNVKLCEGKWSSLGTPLERPVIHREREMTHSFVDASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALNGRKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLLDTELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSDYGRATCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERREGISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWSNSNIKRLPNSICKLQSLQTLLLYECWNLQELPEDIRCMINLRYLWITTRQMYFPTGGIGCLKSLRFLYITECHSLKYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLTTLDTLCIDDCRNLDLRMEEGEETQFSLVRLALRWLPKIVDFPEWLIRGSTNSLKVLEVERCNNLRELPNCLQNMASHPEVQIIDCPKLNNNPLQKGEEAGPSTSLS >Manes.09G025560.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5131836:5135814:1 gene:Manes.09G025560.v8.1 transcript:Manes.09G025560.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLENLKQVLYDAEDVVEEFKCEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALNGRKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLLDTELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSDYGRATCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERREGISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWSNSNIKRLPNSICKLQSLQTLLLYECWNLQELPEDIRCMINLRYLWITTRQMYFPTGGIGCLKSLRFLYITECHSLKYLFEDMQGLKKLRRLVIFGCESLISLPQSIKCLTTLDTLCIDDCRNLDLRMEEGEETQFSLVRLALRWLPKIVDFPEWLIRGSTNSLKVLEVERCNNLRELPNCLQNMASHPEVQIIDCPKLNNNPLQKGEAGPSTSLS >Manes.09G025560.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5131836:5135814:1 gene:Manes.09G025560.v8.1 transcript:Manes.09G025560.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLENLKQVLYDAEDVVEEFKCEALRRKVVKSGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDRPVIHREREMTHSFVDASDVIGRDQARDTIMETLVQSSDGENVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIEQLQRSLREALNGRKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHRDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEREWEFIRDSEIWELKQKENDILPALRLSYEHLPSYLKRCFAYCSIFPKDYQLLDTELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSDYGRATCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERREGISSEMFIKTCCSRFQYLRVLDLSYSSFEELPASIGNLKHLKYLSLWSNSNIKRLPNSICKLQSLQTLLLYECWNLQELPEDIRCMINLRNLDLRMEEGEETQFSLVRLALRWLPKIVDFPEWLIRGSTNSLKVLEVERCNNLRELPNCLQNMASHPEVQIIDCPKLNNNPLQKGEAGPSTSLS >Manes.13G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1482921:1484788:-1 gene:Manes.13G011400.v8.1 transcript:Manes.13G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGFCVFRVLMLILLSCFVLRGADGDGISEECSSDFQKVMPCLEYATGKGNTPAKQCCDAVKDLQKSEPKCLCYIIQQAHNGSAQVKRLGIQIDRLLQLPSACQLQNASASVCPKLLGLSPTSPDAAIFTNTTATTPATPAGSSSPGNSDGSVGTTYKPFFFNPLAIAVALFIYTLY >Manes.18G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5267348:5268597:1 gene:Manes.18G059400.v8.1 transcript:Manes.18G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFQSCYEFVHRDPSLVNVMDLDAGESILSSSSRSEKKSTDACKSHKEAERRRRQRINAHLSTLRTLLPSTTKTDKASLLAEVVHHVKELRSQAAHVARHQDTDGSCSISCSVRGAEPEHFCAFPGESDEATLSYCDGEVKTMMVSVCCEDRPGLNRDLSHAIRLVRARAVRAEMMTVGGRTKSVVVLQWASGGDGGDDEVGILRRALKAVVENRVSGSGLGQVVHGNKRVRGYGLVSCDDEFGNQK >Manes.03G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16025806:16027290:1 gene:Manes.03G097200.v8.1 transcript:Manes.03G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSNISLLTFLASLFICSAIAHEFSIVGYSPEHLTSMDKLSELFESWMSKHGKIYNTIEEKLHRFEVFQDNLKHIDHRNKEVTSYWLGLNEFADLTHEEFKSKYLGLNTDFSRRRTSEDISYRDLDVVDLPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGINQIVNGNLTSLSEQELIDCDTSFNSGCNGGLMDYAFEYIVNNGGLHKEEDYPYLMEEGTCEDKREEMEVVTISGYHDVPENNEASLLKALAHQPVSVAIEASGRDFQFYSGGVFSGACGTDLDHGVAAVGYGSSKGLDYIIVKNSWGPKWGEKGYIRMKRNSGKPEGLCGINKMASYPIKKK >Manes.10G092000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23729948:23733633:1 gene:Manes.10G092000.v8.1 transcript:Manes.10G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASASTFAAASVASRRMQSNSSTVSSSYSSSFCHGSQFFYELSKKGSDRKSLVFHRGLSLRCEVVSRSLDPIDKVDSKKSNIIALEQLKASGIDRYTKERSSIAVIGLNVHTAPVEIREKLALPEAQWPQAIDELCALNHIQEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKISGVPVSELCQHRFMLYNKDATRHLFEVASGLDSVVLGEGQILAQVKQVKKLGQGVSGFGRKISGLFERAIIAGKRVRTETNIASGSVSVSSAAVELGQMKLPESSYGAARVLVIGAGKMGKLVIKHLAAKGCTKIVIVNRTEDSVDAIREELKDTEIVYKPLSEMVACASEADIIFTCTASESPLFLKEDVDRLPPVDPKVERRFFIDISVPRNVEPSISDLETAEVYNVDDLKEVVAANKEDRLRKAMEAQGVICEELQKFEAWKDSLETVPTIKNLRAYLERIRASELDKCMSKMGDISEKQKKAISDLSIGMLKKFLQGPMQHLRLDANGCRKPEELLEIMHAVNTMFDLETEIILDTVRTKVQRNQK >Manes.13G090400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:22282298:22283401:1 gene:Manes.13G090400.v8.1 transcript:Manes.13G090400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDGCELKVKNALSSLSGVKKVEINRKQQKVSVTGYVGSNKVLKKAKSTGKKAEIWPYVPYNLVAQPYIAKAYDKKAPPGYVRNVETTADIGTVTRYEDPYISMFSDDNPNACSIM >Manes.09G093190.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27905198:27906186:1 gene:Manes.09G093190.v8.1 transcript:Manes.09G093190.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGISQFHRVHSRLGTGPGGLKPIWILAPVAVRFPGCYKNFSKMLLLLYIFHQNIRFNFITKGLVRDITSLNSNYFHVNVLGCDDFAFEGFKVSTPEGSLNMDGIHIGRSKGVTISNVKIGTGDDCISIGDRTENLKITKVACGPGHGISIGSLGKYENEDPVSGITISNCTLTGITNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.14G120177.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11599315:11601736:-1 gene:Manes.14G120177.v8.1 transcript:Manes.14G120177.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYISGIDLSCNHLTGQIPIEIGYLNEIHVLNLSHNSLTGKIPASFSNLRQIESLDLSYNNLEGNIPPQLTELNFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRNCTEMVSPPSKSRTSIENEESNGFMDMGVFYISFGVAYSVVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFIIDNLVVLSKFRFCSLRR >Manes.18G082100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7541299:7543092:1 gene:Manes.18G082100.v8.1 transcript:Manes.18G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKVNGSGEERAQTMAQIANGCEEWGFFQLVNHGIPEELLERVKKVSSECYKLEREEKFKNSKLMKSLKDMAEKKNGEKLENVDWEDVFILLDDNQWPSETPGFKETMAEYRAELKKLAERIMEVMDENLGLPKGYIKKALNGGEGDNAFFGTKVSHYPPCPHPELVNGLRAHTDAGGVILLFQDDEVGGLQILKDDQWIDVQPLKNTIVINTGDQIEVLSNGKYKSAWHRVLAAPNGNRRSLASFYNPSLTATIAPAPQLLEKANLETNHEEYPKFVFGDYMSIYAEQKFLPKEPRFQAVRAV >Manes.02G081300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6369165:6372620:1 gene:Manes.02G081300.v8.1 transcript:Manes.02G081300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPSKSSRMPLMARATFSFRINQGWQQGQDTAPQGSNLLSSPSQTSLLIPTSFQLLGILESMKGITSNNLASECALKLYERGETEMINRNDPQQFAQFSAHFVSDTDQSQACNFANFVPFGEDNPLQRAEWIKFLGVFANLESRANQVFDTVRQNYLCLAKVAANKTNSFKPIVAWMEYYNGIWSFTKETYKLKYVEDAGGENIDNSINKITYNVSNPDDLDELHAILCTVDVVIDETFALDPAEYNQSTFLQNVNVEDKSCFAFLTNQSLWRYDKRVQNSTALDWFDGAVSQPQLVLADLIEALFPTGNYTTTYFRNIAKGEGIISIGANMCDRDTSNPMDPTTIACQ >Manes.02G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6369236:6372552:1 gene:Manes.02G081300.v8.1 transcript:Manes.02G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSCWVKILLSVVGLVTCLEGVNGGVRVSNTSKIEEAVNFHLYYGQTFKVIKNAVDGKSYLLIQNKSRMATRTRYCASRIKSFVIPLSNFSADTHFFPVSFFELLGILESMKGITSNNLASECALKLYERGETEMINRNDPQQFAQFSAHFVSDTDQSQACNFANFVPFGEDNPLQRAEWIKFLGVFANLESRANQVFDTVRQNYLCLAKVAANKTNSFKPIVAWMEYYNGIWSFTKETYKLKYVEDAGGENIDNSINKITYNVSNPDDLDELHAILCTVDVVIDETFALDPAEYNQSTFLQNVNVEDKSCFAFLTNQSLWRYDKRVQNSTALDWFDGAVSQPQLVLADLIEALFPTGNYTTTYFRNIAKGEGIISIGANMCDRDTSNPMDPTTIACQ >Manes.02G081300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6369205:6372552:1 gene:Manes.02G081300.v8.1 transcript:Manes.02G081300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSCWVKILLSVVGLVTCLEGVNGGVRVSNTSKIEEAVNFHLYYGQTFKVIKNAVDGKSYLLIQLLGILESMKGITSNNLASECALKLYERGETEMINRNDPQQFAQFSAHFVSDTDQSQACNFANFVPFGEDNPLQRAEWIKFLGVFANLESRANQVFDTVRQNYLCLAKVAANKTNSFKPIVAWMEYYNGIWSFTKETYKLKYVEDAGGENIDNSINKITYNVSNPDDLDELHAILCTVDVVIDETFALDPAEYNQSTFLQNVNVEDKSCFAFLTNQSLWRYDKRVQNSTALDWFDGAVSQPQLVLADLIEALFPTGNYTTTYFRNIAKGEGIISIGANMCDRDTSNPMDPTTIACQ >Manes.17G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9771713:9774011:1 gene:Manes.17G018100.v8.1 transcript:Manes.17G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPQSFILLFTTLLFLQTLTEATTCSDCFIHSRAAYYPNSDEQGTDRGACGFGSFGATINGGDVSAASNLYRDGVGCGACYQVRCTNSNFCADKGVTVVITDQGSSDNTDFILSSRAFGQMAQTKDAAASLLSLGVVDIEYRRVSCSYPNKNITIKIDENSNYPYYLGFVIWYQQGKRDITAVQLCETQNFVCKLLDRSYGAVWTTTSPPSGSLSLRMLFSGEDGDETWLVPVNNIPHDWKAGETYDTGLQVNE >Manes.18G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12786048:12789147:-1 gene:Manes.18G120400.v8.1 transcript:Manes.18G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLETIVHQEDQKNIANMSAQSPIIPLLTPYKMGKFDLSHRIVLAPLTRQRSYNNVPQPHAILYYSQRTTKGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNTGFQPNGQAPVSSSDKPLTPQPRANGIDVARFTPPRRLRTDEIPQVVNDFRVAARNAIQAGFDGVEIHGAHGYLIDQFMKDQVNDRTDQYGGSLENRCRFALEIVEAVANEIGADKVGIRLSPFANYMESGDSNPHALGLYMADSLNKYGILYCHMVEPRMKIVGEKSECSESLLPMRKAFKGTFLVAGGYDREDGNQAIAENRADLVVYGRIFLANPDLPRRFELNAPLNKYNRETFYTSDPVIGYTDYPFLEE >Manes.01G229300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39416838:39422326:-1 gene:Manes.01G229300.v8.1 transcript:Manes.01G229300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSLVLLVLGSVLIGWACSHQESGEWICESDAGIRVQAEFRPGLVTLDGHADDWKDIDGFEFSLLPALDPDEDKEYKGGKMTVKALHDGKDVFFLLQVDGNYAYSKSNNNKCPSVALMFPIGDHAAYHNMGGCKEGTNSCTNKTCKGHEVDIMHFSIGNAIPGRLYGGNPMDNGDGNGGDRFGNLVDLYAWNPHCRFIDGTGPSGDYSSAQNDWKGAWWHTTFTDRSGFVEEDSPYGSDGQKGIYFFEFSRPLRTMDRLQQDAQFTISGSSKMSVAFWYPVDGNPWYGSSHYSVNCDWIPLDISPGSSTLTTSSPGSWGDVASAFALLFSVVSVCLSVFVGYRLARPNGLHFTPMGITMENR >Manes.13G019100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2868590:2874322:1 gene:Manes.13G019100.v8.1 transcript:Manes.13G019100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSTASNYDETMPYRSVFIDTDLDTHLATMVSSTDTVSDLKQKIMHEHPLCFPQFGEIKIHSLKVKKRGFYYHLSDSMLVKSAFRGVNKSWFISVDASTAKQHDDNQHCLNMYSNNVVACMGINSTGYSHGVKLLLDAHPEQLSNDMDKPWDQKSIVADHLDLDVTGGEFLKELEIGIEHTGDDKGKAKSADIDNRPDPHTQEKGERCDNENEKTPTGGRESSPKAGIDDVQDSLLPESVLETGSHTKKKRKSRKRSKLAIPDLALVETNASLSQPGENEPQRETIVISQDKVTENENIPRMVSYSDANKVTDSVMPSATVLSEGTGMLEKPDERQNDKSRKTGIEVQCFRSVEDTSQSKPAAKKKRKFQEEKKRDKDLLKDDQTLISDSNKKMLETATISEHSLVGKLKNIDSVLDASSADTPEVVHLRSVNPTNEKGKKKKKSSNTLNQEIAPVPSSGEIVGEENCGDPKELDEEFDVELVHGKHVQGVISSEAGVVSLKEKPGNLLQEVNIRASSEEFDISNREASNVEGRHRETVDPSKSSKKRKKSKRAKDIVSVGEKNCGDPKDLGEAFDAELVHGKHMQGVINSDPGVISMKEKHVKFFPEANMRPSSQEFDISNREANNVEGGHCETVNIKKSSKRRKKSKKTKDLVSGALSTSPTEPNKTANGDNFDNIGNKRETKIPSNDRKEASEKDTISTSFLAMDKEIDDVIQNAVESVQRIRKGEVDSENMNAKSRKKTSENQCLNAKNFTESKKDNVNVGDEPAPSADNVEEVGKTTKQAKLTNADSIIQLNGFNLEGEKDIRVENDPLSTRPSQVKPHGEESKREGKCLGAVVNVYPSESGDANEATNYKEVSCESNRINFNHYFVPSQHSHEIVGSAEVLLDRATETKILDGKMKARKNKKKHYAQSRGPLPDLQCSQRLKDNHGVGAKPQADNSNTIEVQSSFPNSKSEKITSQPNKEPLNASDSLVKALPSSMPDKFNNFHEEARSLAVKPSGTSAHAKCKRAASNSSLESNKTTSFLTRRVKGCQSREDDNPMNSKKTSTTNGGEVVDNSHHKKSLIGVSGSIFNDDSNEASSNEADNSDASTRTPSDDSFSSDYSDGESNADFNLSQNGRHSWKGKEGGGKVFSKPFSSGMSLDAILRSSSRYKKAKLTASQSQLEDIESQPLDLAPEGCRIL >Manes.13G019100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2868566:2873736:1 gene:Manes.13G019100.v8.1 transcript:Manes.13G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSTASNYDETMPYRSVFIDTDLDTHLATMVSSTDTVSDLKQKIMHEHPLCFPQFGEIKIHSLKVKKRGFYYHLSDSMLVKSAFRGVNKSWFISVDASTAKQHDDNQHCLNMYSNNVVACMGINSTGYSHGVKLLLDAHPEQLSNDMDKPWDQKSIVADHLDLDVTGGEFLKELEIGIEHTGDDKGKAKSADIDNRPDPHTQEKGERCDNENEKTPTGGRESSPKAGIDDVQDSLLPESVLETGSHTKKKRKSRKRSKLAIPDLALVETNASLSQPGENEPQRETIVISQDKVTENENIPRMVSYSDANKVTDSVMPSATVLSEGTGMLEKPDERQNDKSRKTGIEVQCFRSVEDTSQSKPAAKKKRKFQEEKKRDKDLLKDDQTLISDSNKKMLETATISEHSLVGKLKNIDSVLDASSADTPEVVHLRSVNPTNEKGKKKKKSSNTLNQEIAPVPSSGEIVGEENCGDPKELDEEFDVELVHGKHVQGVISSEAGVVSLKEKPGNLLQEVNIRASSEEFDISNREASNVEGRHRETVDPSKSSKKRKKSKRAKDIVSVGEKNCGDPKDLGEAFDAELVHGKHMQGVINSDPGVISMKEKHVKFFPEANMRPSSQEFDISNREANNVEGGHCETVNIKKSSKRRKKSKKTKDLVSGALSTSPTEPNKTANGDNFDNIGNKRETKIPSNDRKEASEKDTISTSFLAMDKEIDDVIQNAVESVQRIRKGEVDSENMNAKSRKKTSENQCLNAKNFTESKKDNVNVGDEPAPSADNVEEVGKTTKQAKLTNADSIIQLNGFNLEGEKDIRVENDPLSTRPSQVKPHGEESKREGKCLGAVVNVYPSESGDANEATNYKEVSCESNRINFNHYFVPSQHSHEIVGSAEVLLDRATETKILDGKMKARKNKKKHYAQSRGPLPDLQCSQRLKDNHGVGAKPQADNSNTIEVQSSFPNSKSEKITSQPNKEPLNASDSLVKALPSSMPDKFNNFHEEARSLAVKPSGTSAHAKCKRAASNSSLESNKTTSFLTRRVKGCQSREDDNPMNSKKTSTTNGGEVVDNSHHKKSLIGVSGSIFNDDSNEASSNEADNSDASTRTPSDDSFSSDYSDGESNADFNLSQNGRHSWKGKEGGGKVFSKPFSSGMSLDAILRSSSRYKKAKLTASQSQLEDIESQPLDLAPEGQTNP >Manes.17G066900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26690489:26691693:1 gene:Manes.17G066900.v8.1 transcript:Manes.17G066900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPSLAGKAVKLTPSAPELMGNGRFSMRKTASKAVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRVAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Manes.11G057900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7001094:7005535:1 gene:Manes.11G057900.v8.1 transcript:Manes.11G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGSKRSFMLEDLPSPPVSKRLRCSSSSSSPVRFSPSPPLHQLRALFPHVDSQLLERALFQSSNDLDSAIKSLNEHCLESADHNSNSAEEASLNEQGTLTNDGDATPSENTSVPNKLPVDGAEWVDLFVREMISATGVEDARARASRVLEILERSISKNAAENAAQSLQKENLMQKEHIEVLMRENSILKRAVSIQHERQKEFEDKHRELQQLVSQYQQQIKTLEMNNYALMMHLRQAQQSSPIPGRFHPDVF >Manes.01G088400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28974438:28975870:-1 gene:Manes.01G088400.v8.1 transcript:Manes.01G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVIFMFFVSFALHINSSFARSTFNVLSYGAKPNGATDSTKAFLDAWAAACSSVGSTMIYVPNGRYLLGPIIFRGACKSSDITIRIDGTLVAPGDYHILGQAANWLSFEGVAGVSIVGGALDAKGSPLWACKAKGSNCPNGATTLSFTNSNNININGLLSLNSQMFHIVINGCQNVKVEGIKVIAAGDSPNTDGIHVQLSTNVEIINSSIKTGDDCISIGPGTKNLWVEGVKCGPGHGISIGSLAKDAEEEGVQNITVKRTIFINTQNGFRIKSWARPSNGFVQRVRFLDAIMHNVQNPIIIDQNYCPHNLNCPNKVSGVKINDIIYQGIRGTSATSVAIKFDCSPKNPCSAIRLQNVNLTYSNQAAQSSCVNVVGKTIGLVKPDNCL >Manes.04G162600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35653335:35655921:1 gene:Manes.04G162600.v8.1 transcript:Manes.04G162600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSQEQVIGVPIISAAKPVQKMPRLLLENDSECYVPTPANKSLTIKQDKLDSVLKRMNKLGKKADKFAHGIREHVRLGSKITETLKGKLSMGARILQVGGVKKVFRQLFTVREGEKLLKACQCYLSTTAGPIAGMLFMSTDKIAFCSERSIKFSSPEGKVARVHYKVLIPLRKIKTANQSENVKKPSQKYIEIVTVDDFDFWFMGFLNYQKALKCLQQAISQAWMYTSHPNVI >Manes.01G140300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33180715:33183545:1 gene:Manes.01G140300.v8.1 transcript:Manes.01G140300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRFISPHLSRFRKTLFQNPTRSLPKTYVFTRHFTSTCQESSPPVPSEKLSALVDEISQLTLLEISDLTEVLRNKLDIKEMPVMAVMMPGMGFSGMKGVSKGGAGAASKGEEKVEKTVFDVKLEGFDAAAKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKEEAEKIMEKMKGVGAKVSME >Manes.11G087467.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13943741:13944306:1 gene:Manes.11G087467.v8.1 transcript:Manes.11G087467.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRVVYLGNGYRKNKNGVQEEKRRRREPPLRGLYRDIYICLSATMLAVFCRLAPYVRMCQAPAPCVTAINSPLIRMILL >Manes.01G051800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19718225:19722151:-1 gene:Manes.01G051800.v8.1 transcript:Manes.01G051800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEAKEKKEIENEVDMEEPQDEETEKQGRAQPWTKQITLRGVLVSVVIGAIYSVIAMKLNLTTGLVPNLNVSAALLAFVFVRTWTKMLHKAGYVAKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGEHTAGNSPRAIKDPGFGWMTGFLFLVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVRGFTKYFSISFLWAFFKWFFSGKQACGFGQFPTFGMQAWKQTFFFDFSATFVGAGMIVSHLVNLSLLLGAVLSYGIMWPLINKLKGDWFPENLESEADMKGLYGYKVFISVALILGDGLYNFLKIMSCTIMNIHGRLKSSNLDTDAVDDQHNSLDLKQNELFIREKIPMWIGVVGYVFFSIISTIAVPMIFPQLKWYYVVVSYILAPSLAFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAALAGCGLIKSVVSVACILMQDFKTAHLTRTSPRAMFMSQVIGTAVGCVMAPLSFFLFYKAFDVGNPKEEFKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFGFAVAVNLVRDLSPQKLGQWMPLPMVMAVPFLVGAYFAIDMCVGSLIVFTWQKISTKKAELMVPAVASGLICGEGLWTLPAAVLALAKINPPICMKFLPS >Manes.01G051800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19718225:19722151:-1 gene:Manes.01G051800.v8.1 transcript:Manes.01G051800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLRREMSMAEAKEKKEIENEVDMEEPQDEETEKQGRAQPWTKQITLRGVLVSVVIGAIYSVIAMKLNLTTGLVPNLNVSAALLAFVFVRTWTKMLHKAGYVAKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGEHTAGNSPRAIKDPGFGWMTGFLFLVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVRGFTKYFSISFLWAFFKWFFSGKQACGFGQFPTFGMQAWKQTFFFDFSATFVGAGMIVSHLVNLSLLLGAVLSYGIMWPLINKLKGDWFPENLESEADMKGLYGYKVFISVALILGDGLYNFLKIMSCTIMNIHGRLKSSNLDTDAVDDQHNSLDLKQNELFIREKIPMWIGVVGYVFFSIISTIAVPMIFPQLKWYYVVVSYILAPSLAFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAALAGCGLIKSVVSVACILMQDFKTAHLTRTSPRAMFMSQVIGTAVGCVMAPLSFFLFYKAFDVGNPKEEFKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFGFAVAVNLVRDLSPQKLGQWMPLPMVMAVPFLVGAYFAIDMCVGSLIVFTWQKISTKKAELMVPAVASGLICGEGLWTLPAAVLALAKINPPICMKFLPS >Manes.01G051800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19718225:19722151:-1 gene:Manes.01G051800.v8.1 transcript:Manes.01G051800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKANYFKRSITLLAFVFVRTWTKMLHKAGYVAKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGEHTAGNSPRAIKDPGFGWMTGFLFLVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVRGFTKYFSISFLWAFFKWFFSGKQACGFGQFPTFGMQAWKQTFFFDFSATFVGAGMIVSHLVNLSLLLGAVLSYGIMWPLINKLKGDWFPENLESEADMKGLYGYKVFISVALILGDGLYNFLKIMSCTIMNIHGRLKSSNLDTDAVDDQHNSLDLKQNELFIREKIPMWIGVVGYVFFSIISTIAVPMIFPQLKWYYVVVSYILAPSLAFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAALAGCGLIKSVVSVACILMQDFKTAHLTRTSPRAMFMSQVIGTAVGCVMAPLSFFLFYKAFDVGNPKEEFKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFGFAVAVNLVRDLSPQKLGQWMPLPMVMAVPFLVGAYFAIDMCVGSLIVFTWQKISTKKAELMVPAVASGLICGEGLWTLPAAVLALAKINPPICMKFLPS >Manes.01G051800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19718225:19722151:-1 gene:Manes.01G051800.v8.1 transcript:Manes.01G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEAKEKKEIENEVDMEEPQDEETEKQGRAQPWTKQITLRGVLVSVVIGAIYSVIAMKLNLTTGLVPNLNVSAALLAFVFVRTWTKMLHKAGYVAKPFTRQENTMIQTCAVACYSIAVGGGFASYLLGLNRKTYELSGEHTAGNSPRAIKDPGFGWMTGFLFLVCFVGLFVLIPLRKIMIVDLKLTYPSGLATAVLINGFHTQGDKMAKKQVRGFTKYFSISFLWAFFKWFFSGKQACGFGQFPTFGMQAWKQTFFFDFSATFVGAGMIVSHLVNLSLLLGAVLSYGIMWPLINKLKGDWFPENLESEADMKGLYGYKVFISVALILGDGLYNFLKIMSCTIMNIHGRLKSSNLDTDAVDDQHNSLDLKQNELFIREKIPMWIGVVGYVFFSIISTIAVPMIFPQLKWYYVVVSYILAPSLAFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAALAGCGLIKSVVSVACILMQDFKTAHLTRTSPRAMFMSQVIGTAVGCVMAPLSFFLFYKAFDVGNPKEEFKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFGFAVAVNLVRDLSPQKLGQWMPLPMVMAVPFLVGAYFAIDMCVGSLIVFTWQKISTKKAELMVPAVASGLICGEGLWTLPAAVLALAKINPPICMKFLPS >Manes.10G103900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25185841:25191255:1 gene:Manes.10G103900.v8.1 transcript:Manes.10G103900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAIRSISSATPLSLSTRNSLLHRSPKSISKFPPSVVSPPSLPGAIRSPALSLQTSFVFSPLLKSFKTHFPIRASSEKNDSSKLSNPPPISNPPPQGAKLVPLLISVSVGLILHFFIPRPAEVTPQAWQLLAIFLSTIAGLVLNPLPVGAWAFLGLTTSIVTNTLTFSTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPEDASSKKLGSYLVLSQFQSSGNSSALFLTAAAQNLLCLKLAEELGVIISGPWVSWFKAASLPALVSLLATPFILYNLYPPEIKDTPDAPAMAAKKLESMGPVTKNEWVMVGTMVLAVSLWVFGDTLGIPSVVAAMIALSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVTWMSGCVAKILQSLSLSWPAAFVILQASYFVIHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAALALAYNTNLFGALTHYSSGQAAVYYGAGYVNLPDIFKMGFVIALVNAIIWGAVGTAWWKFLGLY >Manes.10G103900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25185854:25191255:1 gene:Manes.10G103900.v8.1 transcript:Manes.10G103900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAIRSISSATPLSLSTRNSLLHRSPKSISKFPPSVVSPPSLPGAIRSPALSLQTSFVFSPLLKSFKTHFPIRASSEKNDSSKLSNPPPISNPPPQGAKLVPLLISVSVGLILHFFIPRPAEVTPQAWQLLAIFLSTIAGLVLNPLPVGAWAFLGLTTSIVTNTLTFSTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPEDASSKKLGSYLVLSQFQSSGNSSALFLTAAAQNLLCLKLAEELGVIISGPWVSWFKAASLPALVSLLATPFILYNLYPPEIKDTPDAPAMAAKKLESMGPVTKNEWVMVGTMVLAVSLWVFGDTLGIPSVVAAMIALSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVTWMSGCVAKILQSLSLSWPAAFVILQASYFVIHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAALALAYNTNLFGALTHYSSGQAAVYYGAGYVNLPDIFKMGFVIALVNAIIWGAVGTAWWKFLGLY >Manes.10G103900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25185854:25191255:1 gene:Manes.10G103900.v8.1 transcript:Manes.10G103900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAIRSISSATPLSLSTRNSLLHRSPKSISKFPPSVVSPPSLPGAIRSPALSLQTSFVFSPLLKSFKTHFPIRASSEKNDSSKLSNPPPISNPPPQGAKLVPLLISVSVGLILHFFIPRPAEVTPQAWQLLAIFLSTIAGLVLNPLPVGAWAFLGLTTSIVTNTLTFSTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGKSTLGLSYGLTISEALIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPEDASSKKLGSYLVLSQFQSSGNSSALFLTAAAQNLLCLKLAEELGVIISGPWVSWFKAASLPALVSLLATPFILYNLYPPEIKDTPDAPAMAAKKLESMGPVTKNEWVMVGTMVLAVSLWVFGDTLGIPSVVAAMIALSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVTWMSGCVAKILQSLSLSWPAAFVILQASYFVIHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAALALAYNTNLFGALTHYSSGQAAVYYGAGYVNLPDIFKMGFVIALVNAIIWGAVGTAWWKFLGLY >Manes.14G168700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27402686:27405376:1 gene:Manes.14G168700.v8.1 transcript:Manes.14G168700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGLVLRGTMRAHTDQVTAIATPIDNPDMIVTSSRDKSIILWQLTKEEKTYGVPRRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGVSARRFVGHTKDVLSVAFSIDNRQIVSASRDRTIKLWNTLGECKYTIQDGDAHSDWVSCVRFSPNTLQPTIVSASWDRTVKVWNLTNCKLRCTLAGHGGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKRLYSLDAGAVINALCFSPNRYWLCAATEHSIKIWDLESKSIVEDLKVDLKAEAEKSEGSTATATSAKKKNIYCTSLSWSADGSTLFSGYTDGVIRVWGIGRF >Manes.06G128700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25794154:25800391:-1 gene:Manes.06G128700.v8.1 transcript:Manes.06G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDHHHQHRHSDTELISAEKVAYSGPMSGPLNKKGGKKSARFNIPESSSAQDEQYVEVTLDVRDDSVAVHSVKTAKGGEEVGEMTLLGRGLEKRSTSKVARNASARIKQVSQEIKRLTSFSKRPPPGRRFDRTKSAAAHALKGLKFISKTEGGAGWDGVEKRFDEITASTDGLLPRSRFCECIGMKESKEFAGELFNALARKRNIQGDSISKDELKEFWEQISDQSFDSRLQTFFEMVDKDADGRITEEEVREIITLSASANKLSNIQKQAEEYAALIMEELDPENHGYIMIENLEMLLMHGPNQSVRVGESKNLSKMLSQKLKPSMDENPIIGFCRNIKYFILDNWQRVWVIALWIGVMAGLFAYKYVQYKHRAAYVVMKECVCVAKGAAETLKLNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIAVGITIGVGLHGISHLACDFPRLLQASEEKWKLMQPFFGDQPSSYWHFVKSVEGVTGIIMVILMAIAFTLATPWFRRNRLNLPTFLKKLTGFNAFWYSHHLFVIVYALLIVHGHYLYLTHKWYKKTTWMYLAVPVILYASERLTRALRSSIKPVTIKKVAIYPGNVLALHMSKPPGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSQVCQPPDTGKSGLLRADGFQGNNNPICFPRVLIDGPYGAPAQDYKEYEVLLLVGLGIGATPMISIVKDIVSNIRAMEEEAEGSIENGTFAKTPSPSNALRRREEFKTSRAYFYWVTREQGSFDWFKGVMNEVAELDHNHVIELHNYCTSVYEEGDARSALIAMLQSLHHAKNGVDIVSGTRVKSHFAKPNWRNVYKRIALNHPDSRVGVFYCGAPALTKELRHLALDFSHKTSTKFDFHKENF >Manes.12G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11091907:11092681:-1 gene:Manes.12G082800.v8.1 transcript:Manes.12G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNNKAAVLLNLALLVSLLLIINRTESRQIAIGFREGKATPDCDSVYGAQDGDTCTSVAKMFNLTIEFFSSINPNLNCDDIFVGQWLCVDGSS >Manes.15G159500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13250940:13257654:1 gene:Manes.15G159500.v8.1 transcript:Manes.15G159500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEETNSIDYVMEKASGPHFSGLRLDGLLSSPPSSATNSPAHRNSSASASASPLAPKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPAELERVHEYNFDHPDAFDTEQLLDCIEKLRSGHPYQVPIYDFKSHRRSSDCFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDKEISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDIPERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >Manes.08G079601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26194499:26203273:1 gene:Manes.08G079601.v8.1 transcript:Manes.08G079601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELNRRESRWSLKGMTALVTGGTKGIGHAIVEELAGFGVAVHTCSRNLKELDRCLQEWKHKGFSVTGSVSDMFHPDQREKLMQIVSSIFDGKIDILVNNVGTSIASETLEQRAEDISIVMGSNFEATYHLCQLSHPLLKESGNGSIINISSITSVAATPLSAIYAASKGVINQITKNLACEWAKDMIRVNAISPGLIDISMIHAIKANPELDDYLYRFICKIPMARPGNPNDISSVVAFLCFPAASYITGQVIVVDGGFTINGFCLPNNKN >Manes.08G079601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26194498:26197123:1 gene:Manes.08G079601.v8.1 transcript:Manes.08G079601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAELNRRESRWSLKGMTALVTGGTKGIGHAIVEELAGFGVAVHTCSRNLKELDRCLQEWKHKGFSVTGSVSDMFHPDQREKLMQIVSSIFDGKIDILVNNVGTSIASETLEQRAEDISIVMGSNFEATYHLCQLSHPLLKESGNGSIINISSITSVAATPLSAIYAASKGIDQGSDKDVFTPWSNKADYRT >Manes.08G079601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26194499:26203273:1 gene:Manes.08G079601.v8.1 transcript:Manes.08G079601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQGNDCPCHRRNQRHREWKHKGFSVTGSVSDMFHPDQREKLMQIVSSIFDGKIDILVNNVGTSIASETLEQRAEDISIVMGSNFEATYHLCQLSHPLLKESGNGSIINISSITSVAATPLSAIYAASKGVINQITKNLACEWAKDMIRVNAISPGLIDISMIHAIKANPELDDYLYRFICKIPMARPGNPNDISSVVAFLCFPAASYITGQVIVVDGGFTINGFCLPNNKN >Manes.03G050600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4683948:4688443:-1 gene:Manes.03G050600.v8.1 transcript:Manes.03G050600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYYRYGYGALADTGMPNFPGYFSSEVPSLASRHIPCSNELRDGAVDFPHREVNPSQPGSYGLDNVLGIGVHSEPVIGGAIAGASGKGYPSPLEDPNLPSQRRDASVNITSAIPDKTNDRPSSLRNVDGPLAPRAESNILFVDGLPTNSTRREVGHLFRPFIGYKDIKVIHKEPRCSGDRATVLCFVEFIDAKCAVTAMEALQGYKFDDKKPDSPVLRIHFANFPFRLPAERDG >Manes.03G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4685396:4688432:-1 gene:Manes.03G050600.v8.1 transcript:Manes.03G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYYRYGYGALADTDVLVVTGMPNFPGYFSSEVPSLASRHIPCSNELRDGAVDFPHREVNPSQPGSYGLDNVLGIGVHSEPVIGGAIAGASGKGYPSPLEDPNLPSQRRDASVNITSAIPDKTNDRPSSLRNVDGPLAPRAESNILFVDGLPTNSTRREVGHLFRPFIGYKDIKVIHKEPRCSGDRATVLCFVEFIDAKCAVTAMEALQGYKFDDKKPDSPVLRIHFANFPFRLPAERDG >Manes.01G210400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38146550:38150331:-1 gene:Manes.01G210400.v8.1 transcript:Manes.01G210400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILREATKNSSSSSSLASNSTTTSTSITDTVNGSHQFKITGYSLSRGLGIGKYIASDTFNVGGYSWAIYFYPDGKSVEDNATYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTLLESSDYLKEDCLQVHCSLGVVRSHTEGPKTYSIPVPPSNIGWHFGQLLESGKGTDVNFEVDGEVSSAHKLILAARSPVFWAQLFGPMKDQNTQLIKVEDMEAPVFKALLHFIYWDTLPDLEELTGLNSKWASALMSQHLLAAADRYGLDRLKLLCEANLCEDVAINTVATTLALAEQHHCFQLKAVCLKFVAMSENLRAVMQTDGFEYLKESCPSVLTELLEYVARVGEHSVILCKHRSEAVIDGSDLNGRRVKQRL >Manes.07G058800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:8761672:8762507:-1 gene:Manes.07G058800.v8.1 transcript:Manes.07G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPYLLHAMKKQRPQNSCRSFSASSSRSYHLLLSGGDSFNGSSHRRTRSEFQPPSVEFLEQRSGLDGYLNSSSLRKRSVNSPSMAAAGSKLTSYNNKNNNLHYGKNNIFSSQPMKGTSK >Manes.01G178600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817731:35825001:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817778:35824797:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817758:35824908:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817775:35824797:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817775:35824797:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817731:35825001:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817731:35825001:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817778:35824797:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817731:35825001:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G178600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35817758:35824908:1 gene:Manes.01G178600.v8.1 transcript:Manes.01G178600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVQMDKMSAPSSRERAQRLYEKNVELENKRRKSAQARIPSDPNAWQQMRENYEAIILEDHGFSEQHNIEYTLWQLHYRRIEELRTHFSAALANTKSNTSQGARPDRITKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRIFLEKDGKKSANMKKGLISCHRCLIYLGDLARYKGLYGESDSKIREYAAASSYYLEAASLWPSSGNPHHQLAILASYSGDVLVAVYRYFRSLAVDNPFTTARDNLIVAFEKNRQSYTQLLGDAKGSVVKDSSVCSTNKGKGKGEEKPAVKATDLDADVVNERTSNFCDTYKSFCIRFIYLNGILFTRTSLETFVGVLSSVSGEFCELLSSGPDEQLNFGAHAVENALFIVRIISVLIFTVHNVKREALGQTYAEIVQRAVLLQNAFTAVFELMGHILERFVQLHDPSSCYLLPGILVFVEWLACCPDVASGIDADEKQATVRLKFWNHYVSFLNKISSFWSMSLDDNEDDTCFYNMSNYEEGETGNRPALWEDFEVRGFLPVLPAQTILDFSRKHTFGSEGSKEKIARVKRILAAGKALANIARIDQKTIFYDSRMKKFVIGVEPQISDDSILTFDYDLPKTNVVMQDIQPQKIDTVGFNAQPHVEEDEEDEVILFRPAVIEKRNDKPSPHGAPYDGMKPSLVAADLKFYGGPVSSPLDMLQQSAFDSVPQIYAATSINAPQNLHAIQPPASKWVMEEADSLARSLKAVRFMENGHANKQELPKDLSMSLPATHAIPIQQPANVNASMFYNQAKVPEDVIPSKIDVVAASGVISESLAVKTSAAFPAGLRKSPVSRPVRHLGPPPGFSHVPLKQVNETVYSPDLLSENALTDDYSWLDGYQLPSSTKVSGLTDATTFTSQSMPQCINSSNGLTGTFSFPFPGKQVPSVQLQIEKQKGWHNHQAFEYLEVQQEQQLQQQLLNGNQQFTPMPEQYHGNSIWSGRYIV >Manes.01G222300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38948414:38950235:-1 gene:Manes.01G222300.v8.1 transcript:Manes.01G222300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLSHPQTIILDHFLLLFSLHLLYCTLAMASTSFFLSMSVLLLSLLVIASAADYGYYVSEPHNVSPSTPTSENEYIPKPDSELAKPHHPKPKYDTTKPESDYVKSDVNEYGQKPKPEFDYVKSDVNEYDQKPKPENNYSSKPYSDTKKPEDGYTPKSDSDKSGYGYSLKSENPLQIGVEGLVLCKSGSSYVPVEGAMARITCSAMDQNGYETTPFSCLTSATDAKGYFFKTLSLFDLFDNLKLKDCKVNLEKSPSETCNIPTDVNKGITGAVFSSYRILHEKKIKLYSVGPFFYTSESKSTPAGY >Manes.S025516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:367493:368304:-1 gene:Manes.S025516.v8.1 transcript:Manes.S025516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGVSGSRSADVRPRPCPRVFQPPIAVAIAAEDSAFGPAACALHTGGQRPPPRPPPRGEGADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.01G105000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30460679:30464012:-1 gene:Manes.01G105000.v8.1 transcript:Manes.01G105000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGNQATKNEGKSTKSKQVNEIDPLLPKRQEDVGFDEFDGASFTGAVFNLSTTIVGAGIMALPATMKVLGLGLGVAMIIFMAFLTEASIELLLRFSRAAKSASYGGLMGDAFGKYGRILLQLAVLINNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWTGRFFILLVTTLAIFSPLACFKRIDSLRYTSALSVALAVVFLVITVGITVIKLINGSIMMPRLLPNVTDLTSFWELFTVVPVLVTAYICHYNVHSIDNELEDSTQIKAVVRTALALCSTVYIMTSIFGFLLFGDGTLDDVLANFDTDLGIPYSSLLNDAVRVSYAAHLMLVFPIVFYPLRLNLDGLLFPSARPLCQENMRFASITIGLIALIFLGANFIPSIWDAFQFTGATAAVCLGFIFPASITLRDRHNIATKKDKILCIFMIVLAVFSNLVAIYSDAFALIKKNSSPRE >Manes.02G213700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27916920:27929500:1 gene:Manes.02G213700.v8.1 transcript:Manes.02G213700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGAEVKAGKPFTHKPDDARGRLHISQATLGIGTGTKKSVVQCNVGKRSPVFLCSLFPQQSESCQLNLEFEEADEVVFSVIGPISVHLTGYYVGGSRHYKQDESESYGEDIADTETERSTDGSGEDEYEDSFIDDGDQEVTPSSPVSNDGVAEEILHMRKPKNEKAKHRRLRKKYQLSPSDDEYTSQQYTFANGATYIPALDSETDDVLAISSIYKSTERNRSPEAKENAEKVRGQKINEMKDDDNCAPVEEQKANAVVVDSEFRRQPDQHESNLPSAHVSSANDVKPKKKRKKQSKEEKQLKTRNKDDFFFGAILKWDKDQQSETQADKLSQDMAVANEEDQRTSNEKGYVLPPPSVELAHGIASESKKKKKKQAKEEKGLQDGNPLHGNVNKDDKAKAENREKYILMKNEENQKKENDERISLPSIELVHVNAAKSRKKKKQQAKEGKVLEAGNPFCGNLNRDEANGENIEQDFLLKNEEHQKKENDEKDILPGNSQFPSNQLGTENGLKPKRKRKDKTLEASGKQTNVIKGDLPIQEELKSNCIECNGSQQDEQNQRVFDNNVDQSANENDSRKRKKKRKNVILENRDALNMEEAKDRAIVDTNGNNGNEKPSQLRSYQID >Manes.02G213700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27916920:27932597:1 gene:Manes.02G213700.v8.1 transcript:Manes.02G213700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGAEVKAGKPFTHKPDDARGRLHISQATLGIGTGTKKSVVQCNVGKRSPVFLCSLFPQQSESCQLNLEFEEADEVVFSVIGPISVHLTGYYVGGSRHYKQDESESYGEDIADTETERSTDGSGEDEYEDSFIDDGDQEVTPSSPVSNDGVAEEILHMRKPKNEKAKHRRLRKKYQLSPSDDEYTSQQYTFANGATYIPALDSETDDVLAISSIYKSTERNRSPEAKENAEKVRGQKINEMKDDDNCAPVEEQKANAVVVDSEFRRQPDQHESNLPSAHVSSANDVKPKKKRKKQSKEEKQLKTRNKDDFFFGAILKWDKDQQSETQADKLSQDMAVANEEDQRTSNEKGYVLPPPSVELAHGIASESKKKKKKQAKEEKGLQDGNPLHGNVNKDDKAKAENREKYILMKNEENQKKENDERISLPSIELVHVNAAKSRKKKKQQAKEGKVLEAGNPFCGNLNRDEANGENIEQDFLLKNEEHQKKENDDRKDILPGNSQFPSNQLGTENGLKPKRKRKDKTLEASGKQTNVIKGDLPIQEELKSNCIECNGSQQDEQNQRVFDNNVDQSANENDSRKRKKKRKNVILENRDALNMEEAKDRAIVDTNGNNGNEKPSQLRSYQID >Manes.02G213700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27916920:27932597:1 gene:Manes.02G213700.v8.1 transcript:Manes.02G213700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGAEVKAGKPFTHKPDDARGRLHISQATLGIGTGTKKSVVQCNVGKRSPVFLCSLFPQQSESCQLNLEFEEADEVVFSVIGPISVHLTGYYVGGSRHYKQDESESYGEDIADTETERSTDGSGEDEYEDSFIDDGDQEVTPSSPVSNDGVAEEILHMRKPKNEKAKHRRLRKKYQLSPSDDEYTSQQYTFANGATYIPALDSETDDVLAISSIYKSTERNRSPEAKENAEKVRGQKINEMKDDDNCAPVEEQKANAVVVDSEFRRQPDQHESNLPSAHVSSANDVKPKKKRKKQSKEEKQLKTRNKDDFFFGAILKWDKDQQSETQADKLSQDMAVANEEDQRTSNEKGYVLPPPSVELAHGIASESKKKKKKQAKEEKGLQDGNPLHGNVNKDDKAKAENREKYILMKNEENQKKENDERISLPSIELVHVNAAKSRKKKKQQAKEGKVLEAGNPFCGNLNRDEANGENIEQDFLLKNEEHQKKENDEKDILPGNSQFPSNQLGTENGLKPKRKRKDKTLEASGKQTNVIKGDLPIQEELKSNCIECNGSQQDEQNQRVFDNNVDQSANENDSRKRKKKRKNVILENRDALNMEEAKDRAIVDTNGNNGNEKPSQLRSYQID >Manes.02G213700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27916920:27932597:1 gene:Manes.02G213700.v8.1 transcript:Manes.02G213700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGAEVKAGKPFTHKPDDARGRLHISQATLGIGTGTKKSVVQCNVGKRSPVFLCSLFPQQSESCQLNLEFEEADEVVFSVIGPISVHLTGYYVGGSRHYKQDESESYGEDIADTETERSTDGSGEDEYEDSFIDDGDQEVTPSSPVSNDGVAEEILHMRKPKNEKAKHRRLRKKYQLSPSDDEYTSQQYTFANGATYIPALDSETDDVLAISSIYKSTERNRSPEAKENAEKVRGQKINEMKDDDNCAPVEEQKANAVVVDSEFRRQPDQHESNLPSAHVSSANDVKPKKKRKKQSKEEKQLKTRNKDDFFFGAILKWDKDQQSETQADKLSQDMAVANEEDQRTSNEKGYVLPPPSVELAHGIASESKKKKKKQAKEEKGLQDGNPLHGNVNKDDKAKAENREKYILMKNEENQKKENDERISLPSIELVHVNAAKSRKKKKQQAKEGKVLEAGNPFCGNLNRDEANGENIEQDFLLKNEEHQKKENDDRKDILPGNSQFPSNQLGTENGLKPKRKRKDKTLEASGKQTNVIKGDLPIQEELKSNCIECNGSQQDEQNQRVFDNNVDQSANENDSRKRKKKRKNVILENRDALNMEEAKDRAIVDTNGNNGNEKPSQLRSYQID >Manes.02G213700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27916920:27932597:1 gene:Manes.02G213700.v8.1 transcript:Manes.02G213700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGAEVKAGKPFTHKPDDARGRLHISQATLGIGTGTKKSVVQCNVGKRSPVFLCSLFPQQSESCQLNLEFEEADEVVFSVIGPISVHLTGYYVGGSRHYKQDESESYGEDIADTETERSTDGSGEDEYEDSFIDDGDQEVTPSSPVSNDGVAEEILHMRKPKNEKAKHRRLRKKYQLSPSDDEYTSQQYTFANGATYIPALDSETDDVLAISSIYKSTERNRSPEAKENAEKVRGQKINEMKDDDNCAPVEEQKANAVVVDSEFRRQPDQHESNLPSAHVSSANDVKPKKKRKKQSKEEKQLKTRNKDDFFFGAILKWDKDQQSETQADKLSQDMAVANEEDQRTSNEKGYVLPPPSVELAHGIASESKKKKKKQAKEEKGLQDGNPLHGNVNKDDKAKAENREKYILMKNEENQKKENDERISLPSIELVHVNAAKSRKKKKQQAKEGKVLEAGNPFCGNLNRDEANGENIEQDFLLKNEEHQKKENDEKDILPGNSQFPSNQLGTENGLKPKRKRKDKTLEASGKQTNVIKGDLPIQEELKSNCIECNGSQQDEQNQRVFDNNVDQSANENDSRKRKKKRKNVILENRDALNMEEAKDRAIVDTNGNNGNEKPSQLRSYQID >Manes.02G213700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27916920:27929500:1 gene:Manes.02G213700.v8.1 transcript:Manes.02G213700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGAEVKAGKPFTHKPDDARGRLHISQATLGIGTGTKKSVVQCNVGKRSPVFLCSLFPQQSESCQLNLEFEEADEVVFSVIGPISVHLTGYYVGGSRHYKQDESESYGEDIADTETERSTDGSGEDEYEDSFIDDGDQEVTPSSPVSNDGVAEEILHMRKPKNEKAKHRRLRKKYQLSPSDDEYTSQQYTFANGATYIPALDSETDDVLAISSIYKSTERNRSPEAKENAEKVRGQKINEMKDDDNCAPVEEQKANAVVVDSEFRRQPDQHESNLPSAHVSSANDVKPKKKRKKQSKEEKQLKTRNKDDFFFGAILKWDKDQQSETQADKLSQDMAVANEEDQRTSNEKGYVLPPPSVELAHGIASESKKKKKKQAKEEKGLQDGNPLHGNVNKDDKAKAENREKYILMKNEENQKKENDERISLPSIELVHVNAAKSRKKKKQQAKEGKVLEAGNPFCGNLNRDEANGENIEQDFLLKNEEHQKKENDDRKDILPGNSQFPSNQLGTENGLKPKRKRKDKTLEASGKQTNVIKGDLPIQEELKSNCIECNGSQQDEQNQRVFDNNVDQSANENDSRKRKKKRKNVILENRDALNMEEAKDRAIVDTNGNNGNEKPSQLRSYQID >Manes.03G145300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27300057:27304509:-1 gene:Manes.03G145300.v8.1 transcript:Manes.03G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLRHFQAGKTSSCDRKRRKKKEIARKRRSNQNWRQMKQERNRRLGSSFRRVAEYHLQVLILSSGLFVLCHMDSWGLNCIEGNMTNSQRRGLDLDLDLNYPIAPQIRALDLSLGLSIYANSEEVQAQVHGWSHRQTTNVIEVLDDEVAIISPRAFSRARENSERNHSHGMREVIGEATGAVTGCTAVTTLCTNCKRRIPDDCEPCLNPGTSNKKKANNVSLMEDVLQPVSMPDESIFSCPVCMGPFIEPTATRQKVGKRGIFRVYLPTNN >Manes.03G145300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27300920:27304509:-1 gene:Manes.03G145300.v8.1 transcript:Manes.03G145300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLRHFQAGKTSSCDRKRRKKKEIARKRRSNQNWRQMKQERNRRLGSSFRRVAEYHLQVLILSSGLFVLCHMDSWGLNCIEGNMTNSQRRGLDLDLDLNYPIAPQIRALDLSLGLSIYANSEEVQAQVHGWSHRQTTNVIEVLDDEVAIISPRAFSRARENSERNHSHGMREVIGEATGAVTGCTAVTTLCTNCKRRIPDDCEPCLNPGTSNKKKANNVSLMEDVLQPVSMPDESIFSCPVCMGPFIEPTATRCGHIFCKECLLKSLKSLHNKCPTCRQKVGKRGIFRVYLPTNN >Manes.03G145300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27300862:27304509:-1 gene:Manes.03G145300.v8.1 transcript:Manes.03G145300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWGLNCIEGNMTNSQRRGLDLDLDLNYPIAPQIRALDLSLGLSIYANSEEVQAQVHGWSHRQTTNVIEVLDDEVAIISPRAFSRARENSERNHSHGMREVIGEATGAVTGCTAVTTLCTNCKRRIPDDCEPCLNPGTSNKKKANNVSLMEDVLQPVSMPDESIFSCPVCMGPFIEPTATRCGHIFCKECLLKSLKSLHNKCPTCRQKVGKRGIFRVYLPTNN >Manes.05G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1137303:1140474:1 gene:Manes.05G007400.v8.1 transcript:Manes.05G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPVTHKPLSEVENNGEDKNPPANNVQDKASGVSNELNFLQANNSNRGQSLQEKKRSPASAQAYQLEGQGSNSKTMSSNANNHRNNSNLLTPVSNKDFFLERFATSHQEGSTSNCQPSDLHFPLQQLNYASNARLITNSIPSLWFTNTSKPLDMNSEFSSSSIPSILPPTTSSFLSTPLAFKPSVTVPSDDPPLASFPISSSRYWEAGAPSNNSNSSTGSSGYTELQTNSSFFENTLFSWGLGDCSSTEKEGQNQLMGSQQEDVKWPEYLHNPLIMAAALQNQSPQSLYNEIKSETQFLSENSSGMWPHNQQQQEPFQTSNICAKDIQRLTAAYGHI >Manes.14G133598.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14779456:14781076:-1 gene:Manes.14G133598.v8.1 transcript:Manes.14G133598.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQASGSGFQSRNEYLFAKIDMQLKVVPGNSAGTVTAYYLKSNGSTWDEIDFDFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIIFSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNANACAWSNGVSSCGTSNSMTNSWLSEELDSTSQERLQWVRKNYMIYNYCTDANRFPQGFPPECNLS >Manes.03G134500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26306879:26309476:1 gene:Manes.03G134500.v8.1 transcript:Manes.03G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIREDSCVLEEPRQVVRKFLARPQHEGLGAIVRRSIGRFELKYFDPFLALDEFSVTAPAGFPDHPHRGFETVTYMLQGAVTHEDFEGHKGTIATGDLQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKHKMIEPRYQEIPSREIAEVSRDGVKVRVIAGEALGTKSPIYTRTPTMYLDFSLKPGAHLQQPIPITWNAFVYVLEGEGIFGNSKSLPVSAHHLLLLGNGDGLEAWNKSSKTLRFILVGGEPLGEPLVQWGPFVMNTQEEIDQTIDDFENFVNGFENARYWRSEAAVSLGF >Manes.15G098200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7664023:7665219:1 gene:Manes.15G098200.v8.1 transcript:Manes.15G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSEEQRAFRKMAASGSSASATASSAAEEAENHHNEEETTLNQKVSEPLIPGLPDEISELCLLYLPYPYQALVRSVSSSWNRAITDPSFLVSKKSLSLSLPYLFVFAFRKSTSRIQWQALDPRSGRWFVLPPMPCPKAVRPPGFACASMPRQGKLFVFGGMRSDTDTSMDTTFVYRSSANQWSIASPMLTPRSYFAVGSANGKMIAVGGSGSGIGDSITAAECYDPVNDTWTPVAKMRIGLCRYDSAVVGNKMYVTEGWTWPFMFSPRGGVYDPEKDTWQELRDGMREGWTGLSVVLGDRLFVISEHGDCPMKVYLPDIDTWQYVGGDRFPREAMRRPFAVSGAEGKVYVVSHGLNVAIGRIYEAKKEEYCVEWEVVAAPQAFTDFSPSNCQVLFA >Manes.05G192800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31592430:31595148:-1 gene:Manes.05G192800.v8.1 transcript:Manes.05G192800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMGTVHMEETKGIEKENSEEMGDEPEYTSRIAPWNKQITVRGVVASLVIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLLQKAGIVTNPFTRQENTIIQTCAVACYSIAVGGGFGSYLLALNRKTYEQAGVDTEGNAPGSIKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTSKGDKLARKQVHGFMKFFSISFIWAFFQWFYSGGERCGFSQFPTFGLKAWKNSFFFDFSSTYVGAGMICSHLVNLSLLLGAVLSWGIMWPLIGGNKGDWFPATLPESNMKSLNGYKVFISIALILGDGLYNFVKILYFTANSIRARAKTNNSRTDESKQAPDDLRRNEFFIRETIPMWVACLGYTAFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFILAAISGGNNGVVAGLVGCGLIKSIVSYSSDLMHDFKTGHLTLTSPRSMLLSQVIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYGLIYRNMAILGVEGFSALPQHCLQLCYGFFAFAIAANLVRDLFPKNIGKWIPLPMAMAVPFLVGANFAIDMCVGSLVVFAWHKLNSRRAGLMIPAVASGLICGDGLWILPSSILALAKIHPPICMSFK >Manes.06G076700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21295841:21304605:-1 gene:Manes.06G076700.v8.1 transcript:Manes.06G076700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHRYKYSGVDHSYVAKYVLQPFWSQFVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPHLDMAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWVISAVPFYSATWEHFFTNTLILPAINGPTEGLMLIYVAHFFTGLVGAEWWAQQFGKSLPFLSWVPIISEIPTFRAVLFLMIAFGVIPTIAFNVCNVHKVVQARKGNMLLALAMLYPFIVLMGGVLVWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFAIANALTAKLNDGVPLVDEFWVLLGYCLFTAGLYLHFATSVIHEITTALGINCFRITRKEA >Manes.06G076700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21295844:21304391:-1 gene:Manes.06G076700.v8.1 transcript:Manes.06G076700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHRYKYSGVDHSYVAKYVLQPFWSQFVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPHLDMAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWVISAVPFYSATWEHFFTNTLILPAINGPTEGLMLIYVAHFFTGLVGAEWWAQQFGKSLPFLSWVPIISEIPTFRAVLFLMIAFGVIPTIAFNVCNVHKVVQARKGNMLLALAMLYPFIVLMGGVLVWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFAIANALTAKLNDGVPLVDEFWVLLGYCLFTAGLYLHFATSVIHEITTALGINCFRITRKEA >Manes.06G076700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21295844:21304391:-1 gene:Manes.06G076700.v8.1 transcript:Manes.06G076700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHRYKYSGVDHSYVAKYVLQPFWSQFVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPHLDMAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWVISAVPFYSATWEHFFTNTLILPAINGPTEGLMLIYVAHFFTGLVGAEWWAQQFGKSLPFLSWVPIISEIPTFRAVLFLMIAFGVIPTIAFNVCNVHKVVQARKGNMLLALAMLYPFIVLMGGVLVWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFAIANALTAKLNDGVPLVDEFWVLLGYCLFTAGLYLHFATSVIHEITTALGINCFRITRKEA >Manes.06G076700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21295409:21304605:-1 gene:Manes.06G076700.v8.1 transcript:Manes.06G076700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGSHGVAALHRYKYSGVDHSYVAKYVLQPFWSQFVNFFPLWMPPNMITLTGFMFLVTSALLGYIYSPHLDMAPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWVISAVPFYSATWEHFFTNTLILPAINGPTEGLMLIYVAHFFTGLVGAEWWAQQFGKSLPFLSWVPIISEIPTFRAVLFLMIAFGVIPTIAFNVCNVHKVVQARKGNMLLALAMLYPFIVLMGGVLVWDYLSPSDIMGNYPHLVILGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPFAIANALTAKLNDGVPLVDEFWVLLGYCLFTAGLYLHFATSVIHEITTALGINCFRITRKEA >Manes.17G089800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29806017:29807222:-1 gene:Manes.17G089800.v8.1 transcript:Manes.17G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGIYKECMRNHSAKPGTYTVDGCGEFCSHQITSAGDYCAACGCAKYWHRRITLEHLEAPADDTKQVSEEAKKPKLKKVQDQESRFGERKRRKSKFTQFQIEIMKSFAEDLGWTLKHKDRQVHITRFCSRIHVSRSAFKNWVNNNKNRYASATSSAKSATKLSPTLLKADLPN >Manes.09G020100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4128470:4152880:-1 gene:Manes.09G020100.v8.1 transcript:Manes.09G020100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPRIRIGIGAVHTHRLTMTSTSLSLPPHSLFFLGNRTVPASRRSLCVNSLISTRSTTLRVKASSAEPPSSPAKGVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELFQEDVEYVDVKNSPFTVKSSEHEVKCHSLVLATGATAKRLRIPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRDQLRASRAMQDRVFNNPNITVHFNTETVDVVSNTKGQMSGILTRKVDTGEESVLDAKGLFYGIGHSPNSQLLEGQVELDRAGYVVVEEGSAKTSVEGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLVSNDLLVEFHQPPTEEVKKEPTDRDVKEGFDITQTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIEANK >Manes.09G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4128470:4137852:-1 gene:Manes.09G020100.v8.1 transcript:Manes.09G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTINCYTMRALATVFIFIVWSETDMVATPRIRIGIGAVHTHRLTMTSPSLSLPPHSLFFLGSRTLPASRRSLCVNSLISTRSTTLRVKASSAEPPSSPAKGVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELFQEDVEYVDVKNSPFTVKSSEHEVKCHSLVLATGATAKRLRIPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRDQLRASRAMQDRVFNNPNITVHFNTETVDVVSNTKGQMSGILTRKVDTGEESVLDAKGLFYGIGHSPNSQLLEGQVELDRAGYVVVEEGSAKTSVEGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLVSNDLLVEFHQPPTEEVKKEPTDRDVKEGFDITQTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIEANK >Manes.13G063730.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7561151:7561908:1 gene:Manes.13G063730.v8.1 transcript:Manes.13G063730.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHAKEGKTEHLRRHFRRPKVSSRDETHILSAAEPLLSAAESPITIPSGAPSASESLVQRRNSTFGGTFGGRMCMTDPKVHLPEAAFGSQSHLHKAFGGRNSLRRPNLSSSRTQFMHQHLSSTLPHIPNMHKTSSRHV >Manes.09G081620.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20302614:20332648:1 gene:Manes.09G081620.v8.1 transcript:Manes.09G081620.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADSLNDIKDNTAPLREQSVVTYAAECGPYSDKNGSRDAPAASEIAQSYGFKRYEYQKLAKATRFFSNVHRIGEGGFGIVYKASLDDDDVAIKKLKIVKLENKLEEIEYLSVVRHPNIVKMIGYCSEGEDKLLVLEFVPNKSLRHHLHDEDKLLEWSKRIKIAINSARGLLYLHEEYPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDKQGNTIVNWARSQIGQALDNDDYTVLVDSKLEDMYNKEEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDWKDIKTIQGRPTTSLESLLGIERAQNFSPRMFSFEELAIATRFFSNNRMIGDDDFGRLYKGELNGMPVAIKKLSLWVCAQVGGEQMVNYINHNCQYLNKLIGYCIEESDKFIVYELVPSKSLRFNLHDAGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPTIIHGNITSDNIFLDNNFEPKISGFELAQELPNFVTHISTEAKINRGYGAPEFLKDRKINEKVDVFSFGVVLLELITGKPSVIREGIFSMNLVAWVAPQLTQAFNTHNYNSIIDVELQNNCEIIEMIHCAAACVYKPAKTRPKLSQIVEVLQGNMKSESIWIHSDNTYLKDGPQY >Manes.09G081620.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20302614:20332648:1 gene:Manes.09G081620.v8.1 transcript:Manes.09G081620.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADSLNDIKDNTAPLREQSVVTYAAECGPYSDKNGSRDAPAASEIAQSYGFKRYEYQKLAKATRFFSNVHRIGEGGFGIVYKASLDDDDVAIKKLKIVKLENKLEEIEYLSVVRHPNIVKMIGYCSEGEDKLLVLEFVPNKSLRHHLHDEDKLLEWSKRIKIAINSARGLLYLHEEYPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDKQGNTIVNWIIGVLEGTISHEKIIDWKDIKTIQGRPTTSLESLLGIERAQNFSPRMFSFEELAIATRFFSNNRMIGDDDFGRLYKGELNGMPVAIKKLSLWVCAQVGGEQMVNYINHNCQYLNKLIGYCIEESDKFIVYELVPSKSLRFNLHDAGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPTIIHGNITSDNIFLDNNFEPKISGFELAQELPNFVTHISTEAKINRGYGAPEFLKDRKINEKVDVFSFGVVLLELITGKPSVIREGIFSMNLVAWVAPQLTQAFNTHNYNSIIDVELQNNCEIIEMIHCAAACVYKPAKTRPKLSQIVEVLQGNMKSESIWIHSDNTYLKDGPQY >Manes.09G081620.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20303447:20332648:1 gene:Manes.09G081620.v8.1 transcript:Manes.09G081620.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYCSEGEDKLLVLEFVPNKSLRHHLHDEDKLLEWSKRIKIAINSARGLLYLHEEYPEYGDKQRVSEKSDVYSFGVVLLELITGRELSDKQGNTIVNWARSQIGQALDNDDYTVLVDSKLEDMYNKEEMIRMIYCAAASVYKPSYSRPTMKQIIGVLEGTISHEKIIDWKDIKTIQGRPTTSLESLLGIERAQNFSPRMFSFEELAIATRFFSNNRMIGDDDFGRLYKGELNGMPVAIKKLSLWVCAQVGGEQMVNYINHNCQYLNKLIGYCIEESDKFIVYELVPSKSLRFNLHDAGHKKTIDWSRRKKIAIGCAKGLAYLHEFCTPTIIHGNITSDNIFLDNNFEPKISGFELAQELPNFVTHISTEAKINRGYGAPEFLKDRKINEKVDVFSFGVVLLELITGKPSVIREGIFSMNLVAWVAPQLTQAFNTHNYNSIIDVELQNNCEIIEMIHCAAACVYKPAKTRPKLSQIVEVLQGNMKSESIWIHSDNTYLKDGPQY >Manes.16G035850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4421774:4422222:1 gene:Manes.16G035850.v8.1 transcript:Manes.16G035850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGTIKDNGWFSLSLSLGWFCHTQLYILYIGIASSIICEVMKIKFRSSHSLCFLIRSYIKCQECPCLQY >Manes.10G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9442419:9444402:1 gene:Manes.10G065400.v8.1 transcript:Manes.10G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRISFSNDFADAQEAIKYEKSYREAPVSSDFEFSARNYTMIPADEIFCKGMILPLKDNCSNQLRKMTLREELLVDEDEDEDEDEDEDGFGGFQRVQKNSGWWKERLGLRRAHHIVSKKGGDRNIGVFQSVVEEKEPVFVHDERGTCWKEHRKC >Manes.07G025600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2781285:2785778:-1 gene:Manes.07G025600.v8.1 transcript:Manes.07G025600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMTPNFTHHYHSLFPSFKSLNNRKTVVARSSNGNVPSSNGTVLLKDKPTIPGKSEADVVVIGSGIGGLCCGGLLARYEQDVLVLESHDQPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCVNYDSWMVYVPEGEFLSRIGPTEFYKDLETYAGPNAVQEWKKLLDAILPLSSAAMALPPLSIRGDLGVISTAAARYAPSLLKSFAQMGPQGAFGATKLLRPFSEIVDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPDCSLEYPLHGSGAVVDALVRGLQKFGGRLSLRSHVEKIVVENNRAIGVELKNGQFIRAKKAVISNASMWDTLNLLPREVLPNSYVDRINTTPQCESFMHLHLGFDAEGIQKDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSSDLAPPGKHVLHAYTPGTEPFEIWKGLDRRSDEYKRLKGERSEVSMTLNRISR >Manes.07G025600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2781285:2785778:-1 gene:Manes.07G025600.v8.1 transcript:Manes.07G025600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMTPNFTHHYHSLFPSFKSLNNRKTVVARSSNGNVPSSNGTVLLKDKPTIPGKSEADVVVIGSGIGGLCCGGLLARYEQDVLVLESHDQPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCVNYDSWMVYVPEGEFLSRIGPTEFYKDLETYAGPNAVQEWKKLLDAILPLSSAAMALPPLSIRGDLGVISTAAARYAPSLLKSFAQMGPQGAFGATKLLRPFSEIVDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPDCSLEYPLHGSGAVVDALVRGLQKFGGRLSLRSHVEKIVVENNRAIGVELKNGQFIRAKKAVISNASMWDTLNLLPREVLPNSYVDRINTTPQCESFMHLHLGFDAEGIQKDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSSDLAPPGKHVLHAYTPGTEPFEIWKGLDRRSDEYKRLKGERSEVMWKAVERALGPGFSRDKCELKLVGTPLTHQRFLRRNRGTYGPAIEAGKNTFPGHSTPISQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >Manes.11G089000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16630108:16631367:1 gene:Manes.11G089000.v8.1 transcript:Manes.11G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQFAYCILFLNLLLFMCAHGIRDDITDQELEKKKFSEEYSLETGKITKEKFSHKKFHSQIERDTNKVIKNNSLQLYYSILNEIIGKIPLWRHPPGSAEESSAKTVSKCENFVVDYIQASYVGLFTLHSLHKGKIIPIYFPIDDYSSTFPPFMSKKIADSSTLKNPQKVCEITSSDDQEHTRFCATSLKSMLDYIRLVFKSDEGFKVVETIHYPSLSTALLQDYVVVETVQEIEGSGKVFCHPMNRSFYCHFDDEHARVFKISLGGENGDQVEAIAVCHMNTSQLSPDLIAFRLLPVKPGSPFCHFLPAGHLVWVHSPTITYVA >Manes.12G089864.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:15535130:15538853:1 gene:Manes.12G089864.v8.1 transcript:Manes.12G089864.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKASMMPCSLLPLVLFLSLCCSLRAETFREEEYASGMRPSMVKREHRKTLMITEYGEISTVDISRGTRGAYHLEFITLVPRSLFLPVLLHTDMVFYVKTGRGKLSWAEDGRELKRVNLRRGDVYRLHPGSIFFLQSSLEPEGEKLSIYSIFSNTDEDVYEPAIGAYSSIRDLVLGFDNKLLQSAFKVPGDVIEGMTKAMRPPPIVHAMQEKKSILLELESRFLQALTGNKASSFHGINGGNKKTKTFNILEAGPDFENCNGWSVTVDRGDLKSLRGSNIGVFMVNLTKASMVAPHWNPMATEIAIVLEGQGIVRVVCSRNLNETECKNRRFSVKEGDVFAVPRFHPMAQMSFNNDSFIFMGFSTSTRRNYPQFLAGKSSVLQTLNSHILAMSFNVTNTTIDELLTPQEDEIILECTSCAEEEDAIMKEETERERQEEEARKREEEKKREEEKRREKEEAEREEEKAREKEKERERKEKERKDKAQKQAEKRQRKEKKRREAAARKEKEQARREEIEKQREEEEATKERKREQQGGEGRHKEKKQRREPEEQGTREQEQEHEGSGDRGRTAARKVWKL >Manes.01G172800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35431884:35433419:-1 gene:Manes.01G172800.v8.1 transcript:Manes.01G172800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFSSISIPSPSTALPYIISFVFFLLLVEQISYLVKKRQAPGPPLVLPFLGNAISLVRDPTNFWDAQSAFSSGLGFSVNYIIGRFIVFIRDTELSHLIFANVRPDAFMLVGHPFGKKLFGEHNLIYMFGQDHKDLRRRIAPNFTPRALSTYSELQQLIILKHLKQWEKMANENPNEPISLRLLARDMNLETSQTVMVGPYLSPEARERFKFDYNLFNVGTMKLPIDVPGFAFRNARLAVDRLAKTLAGCTAQSKTRMANNEEPTCLVDFWMQETIREISQAKTTGEPKPPHTSDAEIGGYLFDFLFAAQDASTSSLLWAVALLDSHPDILSRVREEVSSIWSPESNNLITAEQVREMKYTQAVAREVIRYRAPATLVPHVAVKDFPLTETYTIPKGTIVFPSVYESSFQGFTEADRFDPDRFSEERREDQIFKRNFLAFGTGAHQCVGQRYALNHLVLFIAMFSTLLDFKRHRTDGCDDIVYNPTICPKDGCTVFLSRRCTGFPKFRME >Manes.05G139100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:12928090:12928227:-1 gene:Manes.05G139100.v8.1 transcript:Manes.05G139100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTVADSKKRLSCNKRISGFLREGRGRLYLIRRCVVMLLCWSD >Manes.12G144800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35165029:35169700:-1 gene:Manes.12G144800.v8.1 transcript:Manes.12G144800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHFSLLLISFTFLSLQLQLQLQASPVVASPFSSTNKDGQNLINFKNALANPDELPTWLPNQNPCTFSGVKCQETNRVSSLDLSSILLSTDFRAVAAFLLSLDKLESLSLKSTNISGSISFPSGSKCSSALSNLDLSQNGLSGPISDIAGLGSCASLKYLNLSTNSFDFSVKDKSNGLRLSLESLDLSFNKISGSNVVPFILSGGCNELQYFSLKGNKVSGDIDVSYCKNLQFLDVSLNNFSVSVPSFGDCLALEHLDISSNKFYGDLSHAIGGCAKLSFLNVSSNQLLGPIPLLPTGKLQFIYLGGNHFQGRIPFHLIEACPGLVELDLSSNNLTGFFPGSFAACTSLEYFDISSNNFTGELPVDTLLKMSSLKHLDFSYNVFSGGLPDSLSKLSSLESLDLSSNNLSGPIPAGLCQDPNNNLKELFLQNNLFTGSIPASLSNCSQLTSLHLSFNYLTGTIPPSLGSLPKLRDLKLWFNQLRGDIPPEIMNIQTLETLILDFNELTGVLPSTISNCSKLNWVSLSNNQLTGEIPASIGQLSSLAILKLSNNSFYGRIPPELGDCGSLIWLDLNTNLLNGTIPPELFKQSGKVAVNFITGKRFVYLKNKKSERCHGEGNLLEFAGIRPENLDRISTRDPCNFTRVYGGHTQPTFNDNGSMIFLDLSYNLLSGSIPKEIGTMAYLYILNLGHNNISGDIPEELGNLDGLNILNLSNNSLTGMIPQSLTRLSLLNEIDMSNNNLTGMIPEMGQFETFQAASFANNSGLCGIPLPKCGSGPSTSANSRHQKSHRKQASLAGSVAMGLLFSLFCVFALIIVVLEAKKRKKKKDSVLDVVYIDNHSHSGTANTSWKLTGAREALSINLATFEKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGNIVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLDDVLHDQKKAGIKLNWAARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNAVDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSADFGDNNLVGWVKQHAKLKITDVFDPELVKEDPNLKIELLRHLDVACACLDDRPWRRPTMIQVMAMFKEIQAGSGLDSQSTIATEDAGFQMVEMSIKEDPEPGK >Manes.12G144800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35165029:35169700:-1 gene:Manes.12G144800.v8.1 transcript:Manes.12G144800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHFSLLLISFTFLSLQLQLQLQASPVVASPFSSTNKDGQNLINFKNALANPDELPTWLPNQNPCTFSGVKCQETNRVSSLDLSSILLSTDFRAVAAFLLSLDKLESLSLKSTNISGSISFPSGSKCSSALSNLDLSQNGLSGPISDIAGLGSCASLKYLNLSTNSFDFSVKDKSNGLRLSLESLDLSFNKISGSNVVPFILSGGCNELQYFSLKGNKVSGDIDVSYCKNLQFLDVSLNNFSVSVPSFGDCLALEHLDISSNKFYGDLSHAIGGCAKLSFLNVSSNQLLGPIPLLPTGKLQFIYLGGNHFQGRIPFHLIEACPGLVELDLSSNNLTGFFPGSFAACTSLEYFDISSNNFTGELPVDTLLKMSSLKHLDFSYNVFSGGLPDSLSKLSSLESLDLSSNNLSGPIPAGLCQDPNNNLKELFLQNNLFTGSIPASLSNCSQLTSLHLSFNYLTGTIPPSLGSLPKLRDLKLWFNQLRGDIPPEIMNIQTLETLILDFNELTGVLPSTISNCSKLNWVSLSNNQLTGEIPASIGQLSSLAILKLSNNSFYGRIPPELGDCGSLIWLDLNTNLLNGTIPPELFKQSGKVAVNFITGKRFVYLKNKKSERCHGEGNLLEFAGIRPENLDRISTRDPCNFTRVYGGHTQPTFNDNGSMIFLDLSYNLLSGSIPKEIGTMAYLYILNLGHNNISGDIPEELGNLDGLNILNLSNNSLTGMIPQSLTRLSLLNEIDMSNNNLTGMIPEMGQFETFQAASFANNSGLCGIPLPKCGSGPSTSANSRHQKSHRKQASLAGSVAMGLLFSLFCVFALIIVVLEAKKRKKKKDSVLDVVYIDNHSHSGTANTSWKLTGAREALSINLATFEKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGNIVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLDDVLHDQKKAGIKLNWAARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMNAVDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSADFGDNNLVGWVKQHAKLKITDVFDPELVKEDPNLKIELLRHLDVACACLDDRPWRRPTMIQVMAMFKEIQAGSGLDSQSTIATEDAGFQMVEMSIKEDPEPGK >Manes.01G233700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39706227:39712758:-1 gene:Manes.01G233700.v8.1 transcript:Manes.01G233700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGMAPLRSAGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLAGISGEVTHCDKVPEEACFNMRKNLEGCRSGQKRRQSEYKQAPLTFHPDECDDMEEGPSNYKEKGKKVMVDKDLIVGSAPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKVISGGINRFKQHLARIPGEVTYCDKAPEEVYLKIKENMKWHRSGRRNWKPNTKEISTIYMHPNNVDEEEEQEGGLVQCISKDVLAIDDKVSDNDVRNSKKGRSPACSGNSTEPLLKKSRLDSVFLKSLKSQASPHYKHVKEKEKKARKEMISAICKFFHHAGIPSNAANSPYFHKMLELVGQHGQGLQGPSSQLISGRFLQEEITNIKEYLVELKASWAITGCSVMADSWNDLHGRVLINFLVSCPRGLYFVSSFDVTDIVEDAVSLFKLLDKVVEEIGEENVVQVITKNTTSFRTAGKMLEEKRRHLFWTPCAVHCIDGMLEDFLSIKWVGECINKAKKVTRFVYKSTWLMTLMKKEYLKGQELLRPAGTKFCTTFLTIESLLDQRNTLKRMFQSNKWVSSQLATTIEGKEVEQIVVSATFWKKMQCVKKSLEPIAQVLQKVDSDESRSMPFIYNDMCRAKLAIKAIHGDDARKYGPFWSVFDIHWNLLFHHPLYVAAYFLNPSCRYRPDFIMNPEIIRGLNECIVRLEVDNRKRISASTQIPDFVSAKADFGTDLAISTRIELDPAAWWQQHGISCLELQLIAIRILSQTCSSIGLEHTWSTYDQVHSKRHNCMSRKRWNELTYIHYNLRLRERQLERKSGDLISFDSFMIESILGDWLVEAEKQAIQENEEIPCGEMEQFYGDEIDEHNHEAKRPAEMVSLDGMVEPLEVNAAAGGITTDDDGLDFLSDDITE >Manes.01G233700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39706227:39712758:-1 gene:Manes.01G233700.v8.1 transcript:Manes.01G233700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGMAPLRSAGYVDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLAGISGEVTHCDKVPEEACFNMRKNLEGCRSGQKRRQSEYKQAPLTFHPDECDDMEEGPSNYKEKGKKVMVDKDLIVGSAPLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKVISGGINRFKQHLARIPGEVTYCDKAPEEVYLKIKENMKWHRSGRRNWKPNTKEISTIYMHPNNVDEEEEQEGGLVQCISKDVLAIDDKVSDNDVRNSKKGRSPACSGNSTEPLLKKSRLDSVFLKSLKSQASPHYKHVKEKEKKARKEMISAICKFFHHAGIPSNAANSPYFHKMLELVGQHGQGLQGPSSQLISGRFLQEEITNIKEYLVELKASWAITGCSVMADSWNDLHGRVLINFLVSCPRGLYFVSSFDVTDIVEDAVSLFKLLDKVVEEIGEENVVQVITKNTTSFRTAGKMLEEKRRHLFWTPCAVHCIDGMLEDFLSIKWVGECINKAKKVTRFVYKSTWLMTLMKKEYLKGQELLRPAGTKFCTTFLTIESLLDQRNTLKRMFQSNKWVSSQLATTIEGKEVEQIVVSATFWKKMQCVKKSLEPIAQVLQKVDSDESRSMPFIYNDMCRAKLAIKAIHGDDARKYGPFWSVFDIHWNLLFHHPLYVAAYFLNPSCRYRPDFIMNPEIIRGLNECIVRLEVDNRKRISASTQIPDFVSAKADFGTDLAISTRIELDPAAWWQQHGISCLELQLIAIRILSQTCSSIGLEHTWSTYDQVHSKRHNCMSRKRWNELTYIHYNLRLRERQLERKSGDLISFDSFMIESILGDWLVEAEKQAIQENEEIPCGEMEQFYGDEIDEHNHEAKRPAEMVSLDGMVEPLEVNAAAGGITTDDDGLDFLSDDITE >Manes.07G081100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24905352:24908327:-1 gene:Manes.07G081100.v8.1 transcript:Manes.07G081100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFISFFFSLSFASVLFIFLFHYLLKFFDSNNHRNLPLPPGSLGWPYIGETFQLYSQNPNVFFASKQKRYGSVFKTHILGCPCVMISSPEAAKFVLVSRAHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFVPEAIKSIVCDIESIAIDSLKSWEGRIINTFQEMKTYSFNVALLSIFGKDEFLYREDLKRCYYILEKGYNSMPINLPGTLFNKSMKARKELAQILAKILSTRRQMKLQQNDLLGSFMGDKEGLSDEQIADNIIGVIFAARDTTASVLTWILKYLGENPSVLQAVTEEQEKIVASKEKCGEEKLLTWADTKKMPITSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPESFPDPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEILVLLHHLTTKYRWSMVGTDNGIQYGPFALPQNGLPIKLSQKS >Manes.01G087500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28911240:28918879:1 gene:Manes.01G087500.v8.1 transcript:Manes.01G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARADPDDYEDHRVSRGKSISINKNKDVKLLKRKANYDNGVVGRIAPDYYQGYRKVLDEDTSNRSSSGSAISNSESCAQFGSADASDLTGPAQSIVWDTMVPSRKRTCVNRTKPSPVEKLTKDLYTIWHEQQSSCFSGSSEEDLLFESETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSTSEAYSQTVTVPVHNETINSNIQSIVIEKATNPTGPGMQVQQEQLKRDKSHHERAQILGNHNSPLCDVDLNEILNFEEFAQYLTNEEQQQLLKYLPLVDTAKLPDSIRSMFDSPQFKENISFFQQLLVEGVFDLSFSGAKAEECNTLKRLTLSNLSKSKWVERYHELKKCKNSTGKSLVGRGLNVVMSSNSIAAKRSRDNVGQKIPEVKVMKSPKRINMKTTYENKEVMDNDGSCFSPRSLFALPPDGGSLMLDSLHYVDESSDQDLLLHVPSNGSFPQAELLHPTSSFGQQASTSNSSRYPHLVRP >Manes.11G023400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2401845:2405870:1 gene:Manes.11G023400.v8.1 transcript:Manes.11G023400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGADEEHQGITAVAIDADKNSQSAVKWAVENLVHGNNPYCVFIHVQCKTTRHGEHLGNLTREGRAPNQQELQQLFLHYRGFCARRGIETKEVVIHDSDVPNALIDYIVHNKIRNIVLGAAQRNALMRKFKNADVPSTLLKIAPESCAVYVIAKGKVQTFRLARRPLHAPTISTHSTGSAHLAGSAHSAASAHSATSAHSAGSTYSTSLILSPLRHHSPSPPFTPSSNASSTSTSRQENHWDVPIESMHPKLSSQVSFCDSWKSDGSRKAFLNQNSYKDKYTFRFNGNSLVASPSIRSPESLLNRDFMSNSEFSGPESFVSANTSFDNFEYSASENSKSSNSSQLAILDAEMARLKLELQQSMELFNSVTKEAVLAKNMVRELHRLQTLDRQDVDEEPINEGAELSLIEMDKQKTVAKEAVQKAKRIAAELVAEKKVHHEAEERKKTLESMENNDFSCRRYTIHDIEIATNHFSASHKIGEGGYGPVFKGVLNHINVAIKVLRPDLSQGQKQFRQEVEVLSNMRHPNMVILLGACPEYGCLVYEFMENGSLEDRLCRKDNTPPIPWRTRFKIAFEIATALLFLHETKPEPLVHRDLKPGNILLDPNLVSKIADVGLARLLPPSVANDVTQYRMTAAAGTFYYIDPEYQQTGMLSVKSDLFSFGVVLLQLLTARPPMGLCYQIQDAIDNGNFPDMLDKSVRDWPVKEALSLAKLAVQCCELRKRDRPELATVVWPELKRLRDFALFSEVERETVIPLYGPNDLISPTRSHRTISRQRGFNNSLWEAQH >Manes.11G023400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2401670:2405959:1 gene:Manes.11G023400.v8.1 transcript:Manes.11G023400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGADEEHQGITAVAIDADKNSQSAVKWAVENLVHGNNPYCVFIHVQCKTTRHGEHLGNLTREGRAPNQQELQQLFLHYRGFCARRGIETKEVVIHDSDVPNALIDYIVHNKIRNIVLGAAQRNALMRKFKNADVPSTLLKIAPESCAVYVIAKGKVQTFRLARRPLHAPTISTHSTGSAHLAGSAHSAASAHSATSAHSAGSTYSTSLILSPLRHHSPSPPFTPSSNASSTSTSRQENHWDVPIESMHPKLSSQVSFCDSWKSDGSRKAFLNQNSYKDKYTFRFNGNSLVASPSIRSPESLLNRDFMSNSEFSGPESFVSANTSFDNFEYSASENSKSSNSSQLAILDAEMARLKLELQQSMELFNSVTKEAVLAKNMVRELHRLQTLDRQDVDEEPINEGAELSLIEMDKQKTVAKEAVQKAKRIAAELVAEKKVHHEAEERKKTLESMENNDFSCRRYTIHDIEIATNHFSASHKIGEGGYGPVFKGVLNHINVAIKVLRPDLSQGQKQFRQEVEVLSNMRHPNMVILLGACPEYGCLVYEFMENGSLEDRLCRKDNTPPIPWRTRFKIAFEIATALLFLHETKPEPLVHRDLKPGNILLDPNLVSKIADVGLARLLPPSVANDVTQYRMTAAAGTFYYIDPEYQQTGMLSVKSDLFSFGVVLLQLLTARPPMGLCYQIQDAIDNGNFPDMLDKSVRDWPVKEALSLAKLAVQCCELRKRDRPELATVVWPELKRLRDFALFSEVERETVIPLYGPNDLISPTRSHR >Manes.11G023400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2401670:2405959:1 gene:Manes.11G023400.v8.1 transcript:Manes.11G023400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGADEEHQGITAVAIDADKNSQSAVKWAVENLVHGNNPYCVFIHVQCKTTRHGEHLGNLTREGRAPNQQELQQLFLHYRGFCARRGIETKEVVIHDSDVPNALIDYIVHNKIRNIVLGAAQRNALMRKFKNADVPSTLLKIAPESCAVYVIAKGKVQTFRLARRPLHAPTISTHSTGSAHLAGSAHSAASAHSATSAHSAGSTYSTSLILSPLRHHSPSPPFTPSSNASSTSTSRQENHWDVPIESMHPKLSSQVSFCDSWKSDGSRKAFLNQNSYKDKYTFRFNGNSLVASPSIRSPESLLNRDFMSNSEFSGPESFVSANTSFDNFEYSASENSKSSNSSQLAILDAEMARLKLELQQSMELFNSVTKEAVLAKNMVRELHRLQTLDRQDVDEEPINEGAELSLIEMDKQKTVAKEAVQKAKRIAAELVAEKKVHHEAEERKKTLESMENNDFSCRRYTIHDIEIATNHFSASHKIGEGGYGPVFKGVLNHINVAIKVLRPDLSQGQKQFRQEVEVLSNMRHPNMVILLGACPEYGCLVYEFMENGSLEDRLCRKDNTPPIPWRTRFKIAFEIATALLFLHETKPEPLVHRDLKPGNILLDPNLVSKIADVGLARLLPPSVANDVTQYRMTAAAGTFYYIDPEYQQTGMLSVKSDLFSFGVVLLQLLTARPPMGLCYQIQDAIDNGNFPDMLDKSVRDWPVKEALSLAKLAVQCCELRKRDRPELATVVWPELKRLRDFALFSEVERETVIPLYGPNDLISPTRSHRQS >Manes.11G023400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2401845:2405870:1 gene:Manes.11G023400.v8.1 transcript:Manes.11G023400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGADEEHQGITAVAIDADKNSQSAVKWAVENLVHGNNPYCVFIHVQCKTTRHGEHLGNLTREGRAPNQQELQQLFLHYRGFCARRGIETKEVVIHDSDVPNALIDYIVHNKIRNIVLGAAQRNALMRKFKNADVPSTLLKIAPESCAVYVIAKGKVQTFRLARRPLHAPTISTHSTGSAHLAGSAHSAASAHSATSAHSAGSTYSTSLILSPLRHHSPSPPFTPSSNASSTSTSRQENHWDVPIESMHPKLSSQVSFCDSWKSDGSRKAFLNQNSYKDKYTFRFNGNSLVASPSIRSPESLLNRDFMSNSEFSGPESFVSANTSFDNFEYSASENSKSSNSSQLAILDAEMARLKLELQQSMELFNSVTKEAVLAKNMVRELHRLQTLDRQDVDEEPINEGAELSLIEMDKQKTVAKEAVQKAKRIAAELVAEKKVHHEAEERKKTLESMENNDFSCRRYTIHDIEIATNHFSASHKIGEGGYGPVFKGVLNHINVAIKVLRPDLSQGQKQFRQEVEVLSNMRHPNMVILLGACPEYGCLVYEFMENGSLEDRLCRKDNTPPIPWRTRFKIAFEIATALLFLHETKPEPLVHRDLKPGNILLDPNLVSKIADVGLARLLPPSVANDVTQYRMTAAAGTFYYIDPEYQQTGMLSVKSDLFSFGVVLLQLLTARPPMGLCYQIQDAIDNGNFPDMLDKSVRDWPVKEALSLAKLAVQCCELRKRDRPELATVVWPELKRLRDFALFSEVERETVIPLYGPNDLISPTRSHRNISGQ >Manes.15G142400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11531612:11533601:1 gene:Manes.15G142400.v8.1 transcript:Manes.15G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEVVVPVLPMDFNFDSTCSSPYMTAPSSPQRFGNFFFSAPTSPTRISSFYRELNDVSLATSSSSIPFDWETKPGTPKSRNGSQGNFSSNNGNCHEDFDDDDGDFEFNFSGQLERTSLSADELFDGGKIRPLKPPPGYDSSVSNVSSPRSPRSRTSQKKDFDPFQAAIEETRRSEAKQQKQQQQRKHASQTQQRGRERNVSGSSSSSASKSSNYVHKGSRSLSPLRVSDLMLDQEEENSQDTKTVTPTTGNPKSSSSSTSYASSFLSAISFSSKGYKKWKLKDLLLFRSASEGRATGKNPLTKYEALSKREVTEDVKNASFRSTESSVGSSSRRRAQVSAHELHYTVNRAVSEEMKRKTFLPYKQGLLGCLGFNPGVHEISRGIGSLTRG >Manes.15G137000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11081376:11084152:-1 gene:Manes.15G137000.v8.1 transcript:Manes.15G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFYLLFSLILFLGPSPSSQENLTSFSSLKSPWLPTQGKILLSPNSTFAAGFRALKNGFTFSVWYNNLQTVVWSANRDGSPLFLSNEASLVITSTGELRLTNSSSGTNLWPGGAIGNSNSISLALNETGNLVYGNWESFKYPTDTFLPTQIMNINGTELVSNNGKFQFSNSTSLVFNKSETYYTATSSLQQLTTDGSVAQVNGASIISADFSTTDSRLRRLTLDDDGVLRIYSFDPNLGQWFVAWQAIPELCKVHGLCGPNAICTSDGSNTTSCECPPGFRKSSANKDACERKIPLSRNTKFLRLDYVNFTGGLDQRDLKVRNFSTCEASCLNKTNCLGFMFKYDGQGYCVLQLERMLYGYWSPGTETAMFLRVDSSEQDKSNFSGMTSVLETTCPVRISLPLPPEESNTTTRNIAIICTLFAAELISGVLFFWAFLKKYIKYRDMARTLGLEFLPAGGPKRFTYAELKAATDDFSNANAIGRGGFGDVYRGELPDKRIVAIKCLKHVTGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEYVPNGSLDKYLFPAGQVASSGSEMEMGPVAIDGRKPILDWGIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKISDFGLAKLRKKEDMVSMSRIRGTRGYMAPEWVRSDPITPKADVYSFGMVLLEIVTGSRNFEIRDSLMESEDWYFPRWAFDKVFKEMKVDDILDRKVKHCYDAKLHFDMVDRMVKTAMWCLQDRPETRPSMGKVAKMLEGTVEITEPKKPTIFYLGDE >Manes.07G095824.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30084733:30087929:-1 gene:Manes.07G095824.v8.1 transcript:Manes.07G095824.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKPKSSVLKQLFFVTFQNPILSRANHLYQKLPQQYTLTCSYVDEKSEKDSYYESIEHIHKSKELKPILSLKDFIAQNPNGCIPISDVSKRGLQFDVKIKVARFLRQYPSIFEEFVGPKYNLPWFRLTEEAAAINREENKVLEEYKEDLKERLKKFILMSKEKVLPFKIIKGMLWYLGLPEDFLQHQDKNFDSSFRVVELEDGSKGLGVESTKKILSVLQKNAMRKGLYYGEPMEAIEFPLFPSKGLRLRRKIQDWLKEFQKLPYVSPYEDNSHLDPNSDIGEKRVVGLLHEFLSLFVEHSVERKKLLCLKKYFELPQKVHKAFERHPHMFYLSFRNKTCTTILKEAYGDDELAMERHPMAMIRKEYIKLMKESEVILKRRRANNPFLEYKKLDFEMDSVNEVRREEEKQ >Manes.07G095824.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30084733:30087929:-1 gene:Manes.07G095824.v8.1 transcript:Manes.07G095824.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPKPKSSVLKQLFFVTFQNPILSRANHLYQKLPQQYTLTCSYVDEKSEKDSYYESIEHIHKSKELKPILSLKDFIAQNPNGCIPISDVSKRGLQFDVKIKVARFLRQYPSIFEEFVGPKYNLPWFRLTEEAAAINREENKVLEEYKEDLKERLKKFILMSKEKVLPFKIIKGMLWYLGLPEDFLQHQDKNFDSSFRVVELEDGSKGLGVESTKKILSVLQKNAMRKGLYYGEPMEAIEFPLFPSKGLRLRRKIQDWLKEFQKLPYVSPYEDNSHLDPNSDIGEKRVVGLLHEFLSLFVEHSVERKKLLCLKKYFELPQKVHKAFERHPHMFYLSFRNKTCTTILKEAYGDDELAMERHPMAMIRKEYIKLMKESEVILKRRRANNPFLEYKKLDFEMDSVNEVRREEEKQ >Manes.16G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4169107:4172213:-1 gene:Manes.16G034300.v8.1 transcript:Manes.16G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMMTLAAALSLVLLPFLSWGVDVHQVRQLAAKNNVTCVLVFGDSSVDPGNNNRLPTSIKSNFLPYGRDFFNGRPTGRFSNGRLATDFIAEAIGYTKAIPAFLDPNVKATNLIHGVSFASAASGYDDLTANLSNVLPVSKQLEYFKHYKLQLVRLVGKHKAENIIRNAIFVISMGSNDFIQNYYLEPIRPKQFPVEEYQNYLASRMVEDIKEMHRLGATRVIVVGVPPLGCMPLVKTLKDAKICDQTYNQVALSFNSKLQQKLAMLRKTLGIKDAYVDCYKIIKNAVDRPKEYDLEETSKGCCGSGTIEYGDACRGMKTCEDASKYAFWDAVHPTEKMYQIVAEEAMRSLNEALLR >Manes.13G111200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31646591:31647115:-1 gene:Manes.13G111200.v8.1 transcript:Manes.13G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSITPKMSSKSLIRLFTITPKPFSRSLCTASATSTASETRTQKLERIADELLDLTKLERHDYAILFRHKMGLHRYGPALSGAGLSGPAAPGSAPADAKPAAEKTAFDIKLEKFDAAAKIKIIKEVRTFTDLGLKEAKDLVEKVPVVLKKGVTKEEANPILEKLKELGATVVLE >Manes.06G155600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28220049:28221149:-1 gene:Manes.06G155600.v8.1 transcript:Manes.06G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKFQVAPLYVCLATLTLVRIIEAGGIAIYWGQNGFEGTLNQTCDTGRYSYVNIAFLIKFGNGQTPEINLAGHCNPATNGCIILASEIKHCQELGIKVMLSIGGGVGNYSIASRKDAKRVARYLWSNFLGGHSSSRPLGDAVLDGIDFDIELGSTQHWEDLARYLLGYSKRGRRKVYLTAAPQCPFPDRFLGTALNTGLFDYVWVQFYNNAPCQYSSGNATNLINSWNRWITSVKARKIFLGLPAAPDAAGSGYIPPDVLTSEILPVIKRSPKYGGVMLWSKFYDDESGYSSSIKPSV >Manes.08G001800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:443148:458161:-1 gene:Manes.08G001800.v8.1 transcript:Manes.08G001800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVILGSSSIVTPPNPSCSSTPHHHSPKPKTKPSLHNNLPSSSSKPIPVLHSRSPLLSTTRSDLARRKSLLNYYLDLASKLVEDGRLHDFATVAESVIASGVDFSHFVDGLNVTAVAKGISKKLSEGNVESVVEVLRRVEKLGIPPLKLFDGVAMELLRAECLRIVNCHDLELFVVLMETLAGFSFCIRELVEPSQFIRVCIRKRNPEMAVRYACLFPHEGILFCSIIKQFGKKGDLDSALAAYEASNRHSDVPNMYVYRAVIDVCGLCGDYMKSRYIYEDILNQKVTPNIYVFNSLMNVNAHDLAYTLHVYKNMQNLGVTADIASYNILLKACSFAGRVDLAQDIYKEVKQLESAGLLKLDDFTYCTIINIFADAKLWQMALKIKDDMLSSGVTPNKFTWSSLISACANAGLIEQAIKLFEEMYLAGCEPNSQCCNILLHACVEACQYDRAFRLFQTWKGSKVQDTSNADYSGSATTISSAEHAQSHDIITVPIVAYNSHHLKFIKNFPFTPSVTTYNILMKACGSDYHRAKALMDEMKIVGLSPDHISWSILIDICGSSGNKEGALQILKNMRGAGIEPDVVTYTTAIKVCVESKNLKLAFSLFSEMKRNQIKPNLVTYDTLLKARTRYGSVKEVQQCLAIYQDMRKAGYKSNDYYLKLLIEEWCEGVLQDNDQSQAEVEACQRTDFGGPHSLLLERVAAHLQNSIAESLSVDLQGLTEVEARIVVLAVLRMIKENYSLGHPVKDDMWITLGVDIVDTRPATQKLEVKRTIFELLQDELGLEVQISNPRFTVDLETDFESLLDSDPKRAKGFGRDRKTVSLSRRPIDLQRLKVTKNSLHCWLQRRTGTTRK >Manes.08G001800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:443715:458161:-1 gene:Manes.08G001800.v8.1 transcript:Manes.08G001800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVILGSSSIVTPPNPSCSSTPHHHSPKPKTKPSLHNNLPSSSSKPIPVLHSRSPLLSTTRSDLARRKSLLNYYLDLASKLVEDGRLHDFATVAESVIASGVDFSHFVDGLNVTAVAKGISKKLSEGNVESVVEVLRRVEKLGIPPLKLFDGVAMELLRAECLRIVNCHDLELFVVLMETLAGFSFCIRELVEPSQFIRVCIRKRNPEMAVRYACLFPHEGILFCSIIKQFGKKGDLDSALAAYEASNRHSDVPNMYVYRAVIDVCGLCGDYMKSRYIYEDILNQKVTPNIYVFNSLMNVNAHDLAYTLHVYKNMQNLGVTADIASYNILLKACSFAGRVDLAQDIYKEVKQLESAGLLKLDDFTYCTIINIFADAKLWQMALKIKDDMLSSGVTPNKFTWSSLISACANAGLIEQAIKLFEEMYLAGCEPNSQCCNILLHACVEACQYDRAFRLFQTWKGSKVQDTSNADYSGSATTISSAEHAQSHDIITVPIVAYNSHHLKFIKNFPFTPSVTTYNILMKACGSDYHRAKALMDEMKIVGLSPDHISWSILIDICGSSGNKEGALQILKNMRGAGIEPDVVTYTTAIKVCVESKNLKLAFSLFSEMKRNQIKPNLVTYDTLLKARTRYGSVKEVQQCLAIYQDMRKAGYKSNDYYLKLLIEEWCEGVLQDNDQSQAEVEACQRTDFGGPHSLLLERVAAHLQNSIAESLSVDLQGLTEVEARIVVLAVLRMIKENYSLGHPVKDDMWITLGVDIVDTRPATQKLEVKRTIFELLQDELGLEVQISNPRFTVDLETDFESLLDSDPKRAKGFGRDRKTVSLSRRPIDLQRLKVTKNSLHCWLQRRTGTTRK >Manes.08G001800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:443148:458161:-1 gene:Manes.08G001800.v8.1 transcript:Manes.08G001800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVILGSSSIVTPPNPSCSSTPHHHSPKPKTKPSLHNNLPSSSSKPIPVLHSRSPLLSTTRSDLARRKSLLNYYLDLASKLVEDGRLHDFATVAESVIASGVDFSHFVDGLNVTAVAKGISKKLSEGNVESVVEVLRRVEKLGIPPLKLFDGVAMELLRAECLRIVNCHDLELFVVLMETLAGFSFCIRELVEPSQFIRVCIRKRNPEMAVRYACLFPHEGILFCSIIKQFGKKGDLDSALAAYEASNRHSDVPNMYVYRAVIDVCGLCGDYMKSRYIYEDILNQKVTPNIYVFNSLMNVNAHDLAYTLHVYKNMQNLGVTADIASYNILLKACSFAGRVDLAQDIYKEVKQLESAGLLKLDDFTYCTIINIFADAKLWQMALKIKDDMLSSGVTPNKFTWSSLISACANAGLIEQAIKLFEEMYLAGCEPNSQCCNILLHACVEACQYDRAFRLFQTWKGSKVQDTSNADYSGSATTISSAEHAQSHDIITVPIVAYNSHHLKFIKNFPFTPSVTTYNILMKACGSDYHRAKALMDEMKIVGLSPDHISWSILIDICGSSGNKEGALQILKNMRGAGIEPDVVTYTTAIKVCVESKNLKLAFSLFSEMKRNQIKPNLVTYDTLLKARTRYGSVKEVQQCLAIYQDMRKAGYKSNDYYLKLLIEEWCEGVLQDNDQSQAEVEACQRTDFGGPHSLLLERVAAHLQNSIAESLSVDLQGLTEVEARIVVLAVLRMIKENYSLGHPVKDDMWITLGVDIVDTRPATQKLEVKRTIFELLQDELGLEVQISNPRFTVDLETDFESLLDSDPKRAKGFGRDRKTVSLSRRPIDLQRLKVTKNSLHCWLQRRTGKLSVWYYLQYWSFEVLLLAAFDSSCDGQCTILIIVHGG >Manes.06G174700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29828379:29843631:-1 gene:Manes.06G174700.v8.1 transcript:Manes.06G174700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSASTPVLVLFAVYAVFAVVVVDLVHAQNQTQPTTDPNEARALNSIFQQWQISANPAQWNTSGELCSGAALGPSPTIDDQTYNPFIKCDCSDNNGTTCHIIALNLGQNFLTGNLSPSIGNLTRMQYLTIGINSLSGELPKELGLLTDLRSFGFGSNNFSGPLPSDLGNCTRLQQIYFDSSGVSGEIPSSFSNLKSMVTVWASDNELTGKIPDFIGNWSKLNSLRFEGNSFEGPIPPTLSNLTSLTELRISDLSNGSSSLAFLREMKSLTTLVLRNDNISDSIPSNIGEYQNLTQLDLSFNNITGQIPDILFNLTQLKFLFLGNNKLDGPLPAQKSTSLVNIDVSYNNLAGSFPSWVNDQNLQVNLVANNFTIDRSNSSGLPSGLNCLQRNFPCNRGSPVYSEFAVKCGGPQITSSNGVVYERENETLGPATYYVTGTSRWAVSNVGYFTGTNNPQYKIFSSSQFTNTLDSELFQTARVSASSLRYYGMGLENGNYTVKLQFSETAIEDGNTWRSLGRRVFDVYVQGNRVLKDFDIKKEAGGVSKRAVEKDFTAQVSENYLEIHLFWAGKGTCCIPAQGTYGPSISAISANPDFIPTVSNNPPTSKKDKTGLIVGIVVGVGTASFLLVLVVFFVIQRRKSKSTYDDEELLGIDAKPYTFSYAELKTATEDFSHANKLGEGGFGPVYKGKLNDERVIAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGNKRLLVYEYLENKSLDQALFGERNLNLDWLTRYEICLGVARGLAYLHEESRLRIVHRDVKSSNILLDSDLIPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFAFGVVALEVVSGRPNSDSSLEEEKMYLLEWAWHLHENNREVELADSNLQEFSEEEVKRLIGVALLCTQTSPTLRPSMSRVVAMLSGDIEVSSEISKPEYLTAWKFDDISRSFMDDNAHKGTDSSYFSSSTSTSMVIDTVHHHSSGTTPMLHEIIGDGR >Manes.06G174700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29828379:29843631:-1 gene:Manes.06G174700.v8.1 transcript:Manes.06G174700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSASTPVLVLFAVYAVFAVVVVDLVHAQNQTQPTTDPNEARALNSIFQQWQISANPAQWNTSGELCSGAALGPSPTIDDQTYNPFIKCDCSDNNGTTCHIIALKVYATDVVGVIPDELWTLTSLTNLNLGQNFLTGNLSPSIGNLTRMQYLTIGINSLSGELPKELGLLTDLRSFGFGSNNFSGPLPSDLGNCTRLQQIYFDSSGVSGEIPSSFSNLKSMVTVWASDNELTGKIPDFIGNWSKLNSLRFEGNSFEGPIPPTLSNLTSLTELRISDLSNGSSSLAFLREMKSLTTLVLRNDNISDSIPSNIGEYQNLTQLDLSFNNITGQIPDILFNLTQLKFLFLGNNKLDGPLPAQKSTSLVNIDVSYNNLAGSFPSWVNDQNLQVNLVANNFTIDRSNSSGLPSGLNCLQRNFPCNRGSPVYSEFAVKCGGPQITSSNGVVYERENETLGPATYYVTGTSRWAVSNVGYFTGTNNPQYKIFSSSQFTNTLDSELFQTARVSASSLRYYGMGLENGNYTVKLQFSETAIEDGNTWRSLGRRVFDVYVQGNRVLKDFDIKKEAGGVSKRAVEKDFTAQVSENYLEIHLFWAGKGTCCIPAQGTYGPSISAISANPDFIPTVSNNPPTSKKDKTGLIVGIVVGVGTASFLLVLVVFFVIQRRKSKSTYDDEELLGIDAKPYTFSYAELKTATEDFSHANKLGEGGFGPVYKGKLNDERVIAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGNKRLLVYEYLENKSLDQALFGERNLNLDWLTRYEICLGVARGLAYLHEESRLRIVHRDVKSSNILLDSDLIPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFAFGVVALEVVSGRPNSDSSLEEEKMYLLEWAWHLHENNREVELADSNLQEFSEEEVKRLIGVALLCTQTSPTLRPSMSRVVAMLSGDIEVSSEISKPEYLTAWKFDDISRSFMDDNAHKGTDSSYFSSSTSTSMVIDTVHHHSSGTTPMLHEIIGDGR >Manes.06G174700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29828379:29842173:-1 gene:Manes.06G174700.v8.1 transcript:Manes.06G174700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLTIGINSLSGELPKELGLLTDLRSFGFGSNNFSGPLPSDLGNCTRLQQIYFDSSGVSGEIPSSFSNLKSMVTVWASDNELTGKIPDFIGNWSKLNSLRFEGNSFEGPIPPTLSNLTSLTELRISDLSNGSSSLAFLREMKSLTTLVLRNDNISDSIPSNIGEYQNLTQLDLSFNNITGQIPDILFNLTQLKFLFLGNNKLDGPLPAQKSTSLVNIDVSYNNLAGSFPSWVNDQNLQVNLVANNFTIDRSNSSGLPSGLNCLQRNFPCNRGSPVYSEFAVKCGGPQITSSNGVVYERENETLGPATYYVTGTSRWAVSNVGYFTGTNNPQYKIFSSSQFTNTLDSELFQTARVSASSLRYYGMGLENGNYTVKLQFSETAIEDGNTWRSLGRRVFDVYVQGNRVLKDFDIKKEAGGVSKRAVEKDFTAQVSENYLEIHLFWAGKGTCCIPAQGTYGPSISAISANPDFIPTVSNNPPTSKKDKTGLIVGIVVGVGTASFLLVLVVFFVIQRRKSKSTYDDEELLGIDAKPYTFSYAELKTATEDFSHANKLGEGGFGPVYKGKLNDERVIAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGNKRLLVYEYLENKSLDQALFGERNLNLDWLTRYEICLGVARGLAYLHEESRLRIVHRDVKSSNILLDSDLIPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFAFGVVALEVVSGRPNSDSSLEEEKMYLLEWAWHLHENNREVELADSNLQEFSEEEVKRLIGVALLCTQTSPTLRPSMSRVVAMLSGDIEVSSEISKPEYLTAWKFDDISRSFMDDNAHKGTDSSYFSSSTSTSMVIDTVHHHSSGTTPMLHEIIGDGR >Manes.06G174700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29828379:29843631:-1 gene:Manes.06G174700.v8.1 transcript:Manes.06G174700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSASTPVLVLFAVYAVFAVVVVDLVHAQNQTQPTTDPNEARALNSIFQQWQISANPAQWNTSGELCSGAALGPSPTIDDQTYNPFIKCDCSDNNGTTCHIIALKVYATDVVGVIPDELWTLTSLTNLNLGQNFLTGNLSPSIGNLTRMQYLTIGINSLSGELPKELGLLTDLRSFGFGSNNFSGPLPSDLGNCTRLQQIYFDSSGVSGEIPSSFSNLKSMVTVRFEGNSFEGPIPPTLSNLTSLTELRISDLSNGSSSLAFLREMKSLTTLVLRNDNISDSIPSNIGEYQNLTQLDLSFNNITGQIPDILFNLTQLKFLFLGNNKLDGPLPAQKSTSLVNIDVSYNNLAGSFPSWVNDQNLQVNLVANNFTIDRSNSSGLPSGLNCLQRNFPCNRGSPVYSEFAVKCGGPQITSSNGVVYERENETLGPATYYVTGTSRWAVSNVGYFTGTNNPQYKIFSSSQFTNTLDSELFQTARVSASSLRYYGMGLENGNYTVKLQFSETAIEDGNTWRSLGRRVFDVYVQGNRVLKDFDIKKEAGGVSKRAVEKDFTAQVSENYLEIHLFWAGKGTCCIPAQGTYGPSISAISANPDFIPTVSNNPPTSKKDKTGLIVGIVVGVGTASFLLVLVVFFVIQRRKSKSTYDDEELLGIDAKPYTFSYAELKTATEDFSHANKLGEGGFGPVYKGKLNDERVIAVKQLSVASHQGKSQFVTEIATISAVQHRNLVKLYGCCIEGNKRLLVYEYLENKSLDQALFGERNLNLDWLTRYEICLGVARGLAYLHEESRLRIVHRDVKSSNILLDSDLIPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFAFGVVALEVVSGRPNSDSSLEEEKMYLLEWAWHLHENNREVELADSNLQEFSEEEVKRLIGVALLCTQTSPTLRPSMSRVVAMLSGDIEVSSEISKPEYLTAWKFDDISRSFMDDNAHKGTDSSYFSSSTSTSMVIDTVHHHSSGTTPMLHEIIGDGR >Manes.09G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6714829:6723010:1 gene:Manes.09G034400.v8.1 transcript:Manes.09G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYELSDSSGTDDDLPPSHPNTIPKGERIVGNGRSVVGSTTYSRMHTDMEALIHHLEQEAYSAVLRAFKAQSDAISWEKEGLITELRKELRVSDDQHRELLTRVNGDEIIRRIREWRQAGGQQAARSSASQPIHDLVPSPSVSGSRKKQKTSQMGQQVPGLSSMKYVQYPSTGPAGNHHFSRISSGALAANEHAEATTSDPLIGRKVWTRWPEDNNFYEAVITDYNPAEGRHALVYDINTANETWEWVNLKEISPEDIQWEGEDPGISRRGVHGGPAHGIKKSMSHGGYPNVGRGRGSTKGQSRRDFLQPQNGFPKKVSDDIELFNTELLVQKVEKVFALSHPDPHELEKAKKMLKEHEQALIEAISRLADASDGESGEQFFHGQAMDRE >Manes.09G034400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6714829:6723010:1 gene:Manes.09G034400.v8.1 transcript:Manes.09G034400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYELSDSSGTDDDLPPSHPNTIPKGERIVGNGRSVVGSTTYSRMHTDMEALIHHLEQEAYSAVLRAFKAQSDAISWEKEGLITELRKELRVSDDQHRELLTRVNGDEIIRRIREWRQAGGQQAARSSASQPIHDLVPSPSVSGSRKKQKTSQMQGQQVPGLSSMKYVQYPSTGPAGNHHFSRISSGALAANEHAEATTSDPLIGRKVWTRWPEDNNFYEAVITDYNPAEGRHALVYDINTANETWEWVNLKEISPEDIQWEGEDPGISRRGVHGGPAHGIKKSMSHGGYPNVGRGRGSTKGQSRRDFLQPQNGFPKKVSDDIELFNTELLVQKVEKVFALSHPDPHELEKAKKMLKEHEQALIEAISRLADASDGESGEQFFHGQAMDRE >Manes.08G163700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39863372:39872702:-1 gene:Manes.08G163700.v8.1 transcript:Manes.08G163700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIILPIFTEIIKDPIYKYVIVPVKRHISYTFKSESKVTRLQDEAERLKDKRERLQQSVDQATRNGKEIFENVTKWLTSADQTIKEADELIQGKEQVKKWCFIGLCPNLQTRHMLGRNSEKKTSAIYKLLNEGELDSISYLPPPKQIAAESVYSREALHSRVSILKEIMGALKDPNLNMIGVYGMGGVGKTTLAKEVHRQAQDDKSFDVVVMVAVSQTPDVRRIQEEIAEVLGLDLREEGMLLRANRLCERLKKEKKVLIILDDIWKQLDLKAVGIPFGDDCRGCSILLTSRRRDVLSREMGTQKEFSLEVLQEKEAWSLFEMTVFDAEDPKLPPIATEIVKKCAGLPLLIHKVAMDLRRCESYKWNDKLKQLSDFDNEEIEEKVHSVLKSSYNNLPPELGSFFLLCGLFGQSNIQIQSLLKYSMGLGLFKRVVTVEDMRNKVFTLIDDLKAECLLLDGDMDGFVKMHDVVRDTALSIASRKHHAFIGINGTFPMELPNKDCTTISLPYCDIQELPQWMECPKAELLSLFTEDLSLEVPDLFFEGIRKLKVVDFTGMRFISLPSSIGFLINLHTLCLHRCQMNDVVIIKELKQLGVLSFADSYIVELPRQIEELTQLKLLDLGNCSKLKVIPANVLSKLSLLEALYMNNSFVQWDVKGISNEGNASLTELEQLSRLTTLEIQVLDAKIIPKTLFSHGLRRYKIFIGDVWGWHGNYETSKTLKLKLKTSIHLEYGVKELLRDTEDLYLDEVSGVENILFDIDREGFPRLKHLHVQNDVVIQHVINSTKWAACHSFPILESLFLENLLNLEEICHAPVIDESENNNEVVEFGQLCSLKLINLPHLQFFCAEMKVAQGTEVRRKQSAAIPEFEEFVSEHELGAHSSLFNRMVSFPNLEDLQLTSINCENIWHDHLSTTSSNLTCLILNGCHNLKYLFTAHIVSSLLQLKKLEIENCRSIEEIILTEVAERKESVTKILFPNLDKLRLYLLPKLVRFCNGCPVEFPCLRELDISYCDVLMCFVPSVPLAGMMAKEGNTKMNDNPKIQSLFDEMVAFSNLETLKLHEMSMLKSIWHDQLTANSFCKLKSLRISECRNLVTVFSWNVLERFKRLEELQLDFCASLQEIYHLEGFNIEEGNVIAAFELRDLSLRWLESLKHVWSKDPQGLFTFENLKSVRVDGCKVLKNLLPTSIAKGLLQLEQLEINDCGVEEIVAKAEGVELTPYFFKFPRLTYLELSKLPELRSFYPGTHTCEWQKLRCLKVYDCRRLVKFASEFSAYQQGDGKDQHNIPIQPPFFLLEKVSPKLEELTLESEDLTAIQLGQLPFDCFSKLKVLTLSGLQNEPRPFLLSFLRRHCYLEKVHAFGGNLEELFSHGGFVGEEEHARPLARVKHLELSNVDSLKCIWKQDPQVEPLLQYLQSLRVDNCKDLINIAPSSSSFLNLATLHVVHCDGLTHLIMASTAKTMVQLTNMRISYCPSLTEIVSSDGDHMEDEIIFSKLKYLELEYLTSLTSFFAGNHALSFPSLENVIVTGCYKMKIFSHGILITPKLRGILLKRDADKQHWEGDLNATIACQLTERVGSFFQVSEFPELWHNGNQGRLFLNVESLVVDKHALSSKAISANLLQFLSKLRKIEVRDCDSLEVVFDMEGLSTDDGQVGLLPRLSELKLKNLSMLKHLWNKDPGEILDLKNLRLLQVQNCGSLEYIFTKSMAFCLRQLQKIEILKCNMLEGIIGVEAEESTSENKMIFPSLESVVLQCLPKFSSFCLGSSNMEYPLKKMSIYDCPSMKTFFSTPRRAQKPGKDDEGREHRLDKMFPNLEELSLDSKSTLLILQSEFSTQLFSQVKVCELRFFPNKSPASLSGFLPRFSNLKELGVRDSSLKELFRFETLCGDQEDATILPRIRVVKLYNLGDLI >Manes.08G163700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39863372:39872769:-1 gene:Manes.08G163700.v8.1 transcript:Manes.08G163700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIILPIFTEIIKDPIYKYVIVPVKRHISYTFKSESKVTRLQDEAERLKDKRERLQQSVDQATRNGKEIFENVTKWLTSADQTIKEADELIQGKEQVKKWCFIGLCPNLQTRHMLGRNSEKKTSAIYKLLNEGELDSISYLPPPKQIAAESVYSREALHSRVSILKEIMGALKDPNLNMIGVYGMGGVGKTTLAKEVHRQAQDDKSFDVVVMVAVSQTPDVRRIQEEIAEVLGLDLREEGMLLRANRLCERLKKEKKVLIILDDIWKQLDLKAVGIPFGDDCRGCSILLTSRRRDVLSREMGTQKEFSLEVLQEKEAWSLFEMTVFDAEDPKLPPIATEIVKKCAGLPLLIHKVAMDLRRCESYKWNDKLKQLSDFDNEEIEEKVHSVLKSSYNNLPPELGSFFLLCGLFGQSNIQIQSLLKYSMGLGLFKRVVTVEDMRNKVFTLIDDLKAECLLLDGDMDGFVKMHDVVRDTALSIASRKHHAFIGINGTFPMELPNKDCTTISLPYCDIQELPQWMECPKAELLSLFTEDLSLEVPDLFFEGIRKLKVVDFTGMRFISLPSSIGFLINLHTLCLHRCQMNDVVIIKELKQLGVLSFADSYIVELPRQIEELTQLKLLDLGNCSKLKVIPANVLSKLSLLEALYMNNSFVQWDVKGISNEGNASLTELEQLSRLTTLEIQVLDAKIIPKTLFSHGLRRYKIFIGDVWGWHGNYETSKTLKLKLKTSIHLEYGVKELLRDTEDLYLDEVSGVENILFDIDREGFPRLKHLHVQNDVVIQHVINSTKWAACHSFPILESLFLENLLNLEEICHGKLEAGSFSKLRILEVRNCERLTNLFLLPTKIEAPVIDESENNNEVVEFGQLCSLKLINLPHLQFFCAEMKVAQGTEVRRKQSAAIPEFEEFVSEHELGAHSSLFNRMVSFPNLEDLQLTSINCENIWHDHLSTTSSNLTCLILNGCHNLKYLFTAHIVSSLLQLKKLEIENCRSIEEIILTEVAERKESVTKILFPNLDKLRLYLLPKLVRFCNGCPVEFPCLRELDISYCDVLMCFVPSVPLAGMMAKEGNTKMNDNPKIQSLFDEMVAFSNLETLKLHEMSMLKSIWHDQLTANSFCKLKSLRISECRNLVTVFSWNVLERFKRLEELQLDFCASLQEIYHLEGFNIEEGNVIAAFELRDLSLRWLESLKHVWSKDPQGLFTFENLKSVRVDGCKVLKNLLPTSIAKGLLQLEQLEINDCGVEEIVAKAEGVELTPYFFKFPRLTYLELSKLPELRSFYPGTHTCEWQKLRCLKVYDCRRLVKFASEFSAYQQGDGKDQHNIPIQPPFFLLEKVSPKLEELTLESEDLTAIQLGQLPFDCFSKLKVLTLSGLQNEPRPFLLSFLRRHCYLEKVHAFGGNLEELFSHGGFVGEEEHARPLARVKHLELSNVDSLKCIWKQDPQVEPLLQYLQSLRVDNCKDLINIAPSSSSFLNLATLHVVHCDGLTHLIMASTAKTMVQLTNMRISYCPSLTEIVSSDGDHMEDEIIFSKLKYLELEYLTSLTSFFAGNHALSFPSLENVIVTGCYKMKIFSHGILITPKLRGILLKRDADKQHWEGDLNATIACQLTERVGSFFQVSEFPELWHNGNQGRLFLNVESLVVDKHALSSKAISANLLQFLSKLRKIEVRDCDSLEVVFDMEGLSTDDGQVGLLPRLSELKLKNLSMLKHLWNKDPGEILDLKNLRLLQVQNCGSLEYIFTKSMAFCLRQLQKIEILKCNMLEGIIGVEAEESTSENKMIFPSLESVVLQCLPKFSSFCLGSSNMEYPLKKMSIYDCPSMKTFFSTPRRAQKPGKDDEGREHRLDKMFPNLEELSLDSKSTLLILQSEFSTQLFSQVKVCELRFFPNKSPASLSGFLPRFSNLKELGVRDSSLKELFRFETLCGDQEDATILPRIRVVKLYNLGDLI >Manes.08G163700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39863372:39872768:-1 gene:Manes.08G163700.v8.1 transcript:Manes.08G163700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDIILPIFTEIIKDPIYKYVIVPVKRHISYTFKSESKVTRLQDEAERLKDKRERLQQSVDQATRNGKEIFENVTKWLTSADQTIKEADELIQGKEQVKKWCFIGLCPNLQTRHMLGRNSEKKTSAIYKLLNEGELDSISYLPPPKQIAAESVYSREALHSRVSILKEIMGALKDPNLNMIGVYGMGGVGKTTLAKEVHRQAQDDKSFDVVVMVAVSQTPDVRRIQEEIAEVLGLDLREEGMLLRANRLCERLKKEKKVLIILDDIWKQLDLKAVGIPFGDDCRGCSILLTSRRRDVLSREMGTQKEFSLEVLQEKEAWSLFEMTVFDAEDPKLPPIATEIVKKCAGLPLLIHKVAMDLRRCESYKWNDKLKQLSDFDNEEIEEKVHSVLKSSYNNLPPELGSFFLLCGLFGQSNIQIQSLLKYSMGLGLFKRVVTVEDMRNKVFTLIDDLKAECLLLDGDMDGFVKMHDVVRDTALSIASRKHHAFIGINGTFPMELPNKDCTTISLPYCDIQELPQWMECPKAELLSLFTEDLSLEVPDLFFEGIRKLKVVDFTGMRFISLPSSIGFLINLHTLCLHRCQMNDVVIIKELKQLGVLSFADSYIVELPRQIEELTQLKLLDLGNCSKLKVIPANVLSKLSLLEALYMNNSFVQWDVKGISNEGNASLTELEQLSRLTTLEIQVLDAKIIPKTLFSHGLRRYKIFIGDVWGWHGNYETSKTLKLKLKTSIHLEYGVKELLRDTEDLYLDEVSGVENILFDIDREGFPRLKHLHVQNDVVIQHVINSTKWAACHSFPILESLFLENLLNLEEICHGKLEAGSFSKLRILEVRNCERLTNLFLLPTKIEAPVIDESENNNEVVEFGQLCSLKLINLPHLQFFCAEMKVAQGTEVRRKQSAAIPEFEEFVSEHELGAHSSLFNRMVSFPNLEDLQLTSINCENIWHDHLSTTSSNLTCLILNGCHNLKYLFTAHIVSSLLQLKKLEIENCRSIEEIILTEVAERKESVTKILFPNLDKLRLYLLPKLVRFCNGCPVEFPCLRELDISYCDVLMCFVPSVPLAGMMAKEGNTKMNDNPKIQSLFDEMVAFSNLETLKLHEMSMLKSIWHDQLTANSFCKLKSLRISECRNLVTVFSWNVLERFKRLEELQLDFCASLQEIYHLEGFNIEEGNVIAAFELRDLSLRWLESLKHVWSKDPQGLFTFENLKSVRVDGCKVLKNLLPTSIAKGLLQLEQLEINDCGVEEIVAKAEGVELTPYFFKFPRLTYLELSKLPELRSFYPGTHTCEWQKLRCLKVYDCRRLVKFASEFSAYQQGDGKDQHNIPIQPPFFLLEKVSPKLEELTLESEDLTAIQLGQLPFDCFSKLKVLTLSGLQNEPRPFLLSFLRRHCYLEKVHAFGGNLEELFSHGGFVGEEEHARPLARVKHLELSNVDSLKCIWKQDPQVEPLLQYLQSLRVDNCKDLINIAPSSSSFLNLATLHVVHCDGLTHLIMASTAKTMVQLTNMRISYCPSLTEIVSSDGDHMEDEIIFSKLKYLELEYLTSLTSFFAGNHALSFPSLENVIVTGCYKMKIFSHGILITPKLRGILLKRDADKQHWEGDLNATIACQLTERVGSFFQVSEFPELWHNGNQGRLFLNVESLVVDKHALSSKAISANLLQFLSKLRKIEVRDCDSLEVVFDMEGLSTDDGQVGLLPRLSELKLKNLSMLKHLWNKDPGEILDLKNLRLLQVQNCGSLEYIFTKSMAFCLRQLQKIEILKCNMLEGIIGVEAEESTSENKMIFPSLESVVLQCLPKFSSFCLGSSNMEYPLKKMSIYDCPSMKTFFSTPRRAQKPGKDDEGREHRLDKMFPNLEELSLDSKSTLLILQSEFSTQLFSQVKVCELRFFPNKSPASLSGFLPRFSNLKELGVRDSSLKELFRFETLCGDQEDATILPRIRVVKLYNLGDLI >Manes.01G136850.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32933336:32937944:-1 gene:Manes.01G136850.v8.1 transcript:Manes.01G136850.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPSQCPGDSIVNSMISPPNTYGAVVLGGTFDRLHDGHRIFLKASAELARDRIVIGVCDGPMLTNKQFADLIQPIEERMQNVENYIKSVKPGLVVQVEPITDPYGPSIVDENLEAIVVSKETVPGGLSVNKRRADKGFPLLKV >Manes.01G136850.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32933336:32937944:-1 gene:Manes.01G136850.v8.1 transcript:Manes.01G136850.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPSQCPGDSIVNSMISPPNTYGAVVLGGTFDRLHDGHRIFLKASAELARDRIVIGVCDGPMLTNKQFADLIQPIEERMQNVENYIKSVKPGLVVQVEPITDPYGPSIVDENLEAIVVSKETVPGGLSVNKRRADKGFPLLKV >Manes.01G136850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32933336:32937944:-1 gene:Manes.01G136850.v8.1 transcript:Manes.01G136850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPSQCPGDSIVNSMISPPNTYGAVVLGGTFDRLHDGHRIFLKASAELARDRIVIGVCDGPMLTNKQFADLIQPIEERMQNVENYIKSVKPGLVVQVEPITDPYGPSIVDENLEAIVVSKETVPGGLSVNKRRADKGFPLLKIEVVDLVSEESGGDKLSSSTLRRLEAEKTKRQDLA >Manes.17G001100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1566698:1580729:1 gene:Manes.17G001100.v8.1 transcript:Manes.17G001100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNGIWRNGCQEVANTKPLFLTVYATILVGILFSSFYVFSAVFSATKSSPLSSTAWISSPPSSLSHVDQSVNASNQAKVAVGSISTTSPPPSSIQRTRIWEVPPRNSKMPPIEKFRLTKQLVGQRVKDNVIIVTFGNYAFMDFILTWVKHLTDLGLSNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTADVGWGSPTFHKMGREKVILIDAILPFGYELLMCDTDMVWLKNPLPYLAHYPDADVLTSSDQVVPTVVDDRLDIWQEVGAAYNIGIFHWRPTKSSIKLAKEWKDMLLADDNIWDQNGFNDIVRKQLGPAVDGDSGLAYAYDGNLKLGILPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTDGKRHRLREAMVFYDPPDYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFSLINYQIKQIRSALAIASLLNRTLVMPPLWCRLDRLWFSHPGVLKGTMTRQPFICPLDHVFEVNVMLKQLPEEEFGPGISIREYSFLDNPSLPKQVKESWLDVQLCQEGTQDCFASNKTSSSGVLRFPNHSNEEMFKTIFSSFKEVKVIQFSSVQDAFLGFTDKKIEEKFRNRVKRYVGIWCCVENHTPGHIYYDMYWDDKPDWKPMPPETPEQDHPPW >Manes.04G063964.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23819724:23821118:1 gene:Manes.04G063964.v8.1 transcript:Manes.04G063964.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLAFRGNDESEESLNQGNFIQLLKVLASCNEEINNVVLKNALENLKLIAPSIQKDIINACAVEITNAIIRDLGDDLFSILVDECQDISVKAQMGVVIRYINKFGHGLSVSSLRGQGYDGASDMKGNLIGLKSLILRENSSAYYVHCFAHQLQLTLVAIAKKHSSISIFLKTVAHLCNIVGGSCKRRDMLREKQREKVVEGIRIGEIAIRQGLNQEMTIKRPGDTCWSSHYSTLINLIYLFSSLIDVLEYIGENGNDDLERGEAIELLDIMSRFEFIFVLFLMRKFLEITHDLSQALQSKDQNIVNAMQLVKVSKYHLQVVRDDGWKSLLLEVVQFCGKHDIVVLEMDDLYTMRTMRGRSRRRTEKMTNLHFYRIELFYSVIDM >Manes.09G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34475440:34479361:-1 gene:Manes.09G147100.v8.1 transcript:Manes.09G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCDCFYWSQVNDEFYSVEPQPFSLPAPIPQWPQGQGFATERINLGELEIIKITQFESVWSSNLLHGKGVTFYRPVGIADGFYCLGHYCQSNDQPLQGYVLVASDANLQKPEVRQLCDSKPGSPALKRPLNYSLIWSTVSHDDGCGYFWLPNPPAGYKAMGVVVTNKPEEPSVEEVRCIRADLTEKCETCDLIISKVSKTFNNQFQVWNTRPCKRGMHSKGVSVGTFYCSTYLSSEDDLLDVACLKNLDCTLHAMPNLDQIHALIKHFGPTVFFHPDEDCLPSSVQWFFKNGALLYQEGKDEGQHIDYRGSNLPCEGQNDREYWIDLPNDDDARNNIKRGDLESAELYVHVKPALGGTFTDIAFWVFCPFNGPATLKIGLMSIPMTKIGQHVGDWEHFTLRVSNFTGELWQVYFSQHSGGEWVHASDLEFIEGNRPIVYSSKHGHASFPHPGTYLQGSTKLGVGVRNDAAQSKYYIDSSTKYQLIAAEYLGDGVVTEPCWLQFMREWGPTIVYDSRSELDKIINHLPFFVRFSVENIFDLFPTELYGEEGPTGPKVKDNWLGDERC >Manes.09G147100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34475440:34479361:-1 gene:Manes.09G147100.v8.1 transcript:Manes.09G147100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCDCFYWSQVNDEFYSVEPQPFSLPAPIPQWPQGQGFATERINLGELEIIKITQFESVWSSNLLHGKGVTFYRPVGIADGFYCLGHYCQSNDQPLQGYVLVASDANLQKPEVRQLCDSKPGSPALKRPLNYSLIWSTVSHDDGCGYFWLPNPPAGYKAMGVVVTNKPEEPSVEEVRCIRADLTEKCETCDLIISKVSKTFNNQFQVWNTRPCKRGMHSKGVSVGTFYCSTYLSSEDDLLDVACLKNLDCTLHAMPNLDQIHALIKHFGPTVFFHPDEDCLPSSVQWFFKNGALLYQEGKDEGQHIDYRGSNLPCEGQNDREYWIDLPNDDDARNNIKRGDLESAELYVHVKPALGGTFTDIAFWVFCPFNGPATLKIGLMSIPMTKIGQHVGDWEHFTLRVSNFTGELWQVYFSQHSGGEWVHASDLEFIEGSTKLGVGVRNDAAQSKYYIDSSTKYQLIAAEYLGDGVVTEPCWLQFMREWGPTIVYDSRSELDKIINHLPFFVRFSVENIFDLFPTELYGEEGPTGPKVKDNWLGDERC >Manes.11G075800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11097551:11099480:1 gene:Manes.11G075800.v8.1 transcript:Manes.11G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLSLKLPSAASTSQPNLPATTIEFHQYNDPPPSQGGTSTDGTPVSSTLQSPTVNLTREYTLAVESNSYNEIWSKIQISSPQEFDGEQIEFHSNNDDEAARQLLLAQVLHPNRECVEEALRDAVPNTLTRLVSNYFDHSENTTHLCLRLHRSVYRARALYDPFLKLLDVLPQDSDCLTESQCNYAYEIFLEFDRCDNPFPCPDSHNFQDIRHSFSQLRQQLDDRLRKSRSRVSLVRRATAASALCIIGSAVTVTFAAVAIATHSLIAIVACPFCTVLNLPRKLTKKELEHVKQLDAAARGTYVLNNDLDTIDRLVARLHDSVECDKHLIQLGLERGNGKHPISEVLKHLQKNHLNFIDQLKDLEEHICLCFNAVNKARSLLLREIHVYQNSNS >Manes.09G164200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35815933:35817570:1 gene:Manes.09G164200.v8.1 transcript:Manes.09G164200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTHQRGIFSLSIIFLLASPFAVAQTPSDSQDPYGYARVTPSMAIIIVVLIAALFLMGFFSIYIRHCSSSSGGASVRALANGGRSRRAAASRGLDPAVIGTFPTLVYSEVKGLKIGKGALECAVCLCEFEDDETLRLIPKCDHVFHPDCIDLWLASHTTCPVCRANLTPQPGDPPPQLTDATPESDIEAQNDAVIQLEPEVCDHNDTDGNVLVAAPEPEVMSVNKTLNRNRTRGSRSGRPHRFPRSHSTGHSLVQPGENTDRFTLRLPTEVRKQIMKRELNRTMSMVVFSRERSSRSGYKPGGDGGSSRGKHKRLERLDQGAKSDRWVFSVAPPFLARASSFLTRASSSVRSPKVAAADGEGTSARPVESDNAAEPNRPPV >Manes.01G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5497413:5501728:1 gene:Manes.01G026700.v8.1 transcript:Manes.01G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFTHFISLPRWRSTYLNHHLLNFVQHQDFRMQNKWSLAATSKKKISDSLSDEEPSENGVILKKRTTRSSKRATTRTRKKISDVLDENSELGVTTDAANEEVSKKTPRRTPRKATSAATAVKEEKTEKKIRRGRKTKKMDENMEDLGGESEISDVAESAFVPNVEDESDGDLELDKDDGDDISYTYGWPPLVCCFGAAQHAFVPSGRPANRLIDYEIHERMKDALWAPEKFVRAPGGCAGGVAIALAKLGGKVALMGKLGDDEYGQAMLYYLNVNKVQTRSVRIDSKRATALSQMKIAKRGRFRTTCIKPCAEDSLSKSEINIDVLKEAKMFYFSTHSLLDQSMQLATLQAIKMSKKLGGVIFYDVNLPLPLWHSCEETKLFIQEVWDHANVIEVTKQELEFLCGIEPTEEFDTKNNARSKFVHYGPEVVAPLWHENLKVLFVTNGTSKIHYYTKEHNGAVLGMEDAPITPFTCDMSASGDGIVAAMLRMLSVQPHLITDKGYLEQTIKYAINCGVIDQWLLGRMRGFPPKEYMEEVEPDPNGIMSITEKEYRTLEPVS >Manes.18G117266.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12120042:12120356:1 gene:Manes.18G117266.v8.1 transcript:Manes.18G117266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRICLLLLQLLKHFDMSEASYYVLPFKDSIFPRVNPDCTKFIWERTCRIWRMQNNMQLLRLLTT >Manes.13G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33039089:33039920:1 gene:Manes.13G122400.v8.1 transcript:Manes.13G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHLRSISLPSRSHPLTLSIEEQLYKLKASQSSSIGHKLSGLKKFLECVDNLLQLPHAQRTLSHEAKPVCRNALNESLELLDLCDFTREFFSRMKESVQELELSLRRRKGRVCGLTDEVDAYMVSRKKLNKAICKCLRNLKKKERNCTTAVFDSNTDLTNSINSVQEISLVVFESTLSSISQPMAKSKKSGYLKIEKIDDELLSLKSSKDISLSQLQNLLKELEAFESSIKEAEEELECIYRRLVKPRVSLLNILNH >Manes.07G040601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4322084:4322392:-1 gene:Manes.07G040601.v8.1 transcript:Manes.07G040601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARHLLKTALPEVSELPKPELPSLPKVELPPLPEVPAFPKPELPTLPKPELPELPKPEIPTLPKLELPELPKPELPTFPHLPELPKPTFLAKDTKPPQSTPSP >Manes.05G137200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:16795139:16807570:-1 gene:Manes.05G137200.v8.1 transcript:Manes.05G137200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGLSTRNNGRLGITEPISLGGPTEYDEIKTRELEKFLQDVGLYESQEEAVSREEVLGRLDQIVKNWVKAISRAKGLNDQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELYRMLSEMPEVTELHPVPDAHVPVMNFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIKNFRTTLRCMRFWAKCRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEERSLGLQVWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTEEFERGNEICEAMEANKADWETLFEPFSFFEAYKNYLQIDINAENEDDLRNWKGWVESRLRQLTLKIERHTYNMLQCHPHPGEFTDRSRPLHCSYFMGLQRKQGVPVNEGEHFDIRLTVEEFKHTVNMYSLWKVGMEIHVTHVKRRNIPSFVFPGGIRPSRPSKATWDSRRSSGEKSSESKGVSDGLDDGRKRKRIDDNVANTIKRSSSAGSSLNGEVNEGSPSVGNVSVGGGLASANVIGEPREVKTESKITDIIDNSKSLSGNLAQNGELNPQSKDFSATNDAPFSKEAENMAIEKIMSGPYVTNDTLPQELDELDDFEYRNQVKDSGGNKKDSLMESTSANMAAASLANVAASPSQMSSNGADSSTTLCPSGGLEELEPDELMAPFSGGLSYAAPVAHPKPLIRLNFTSLSKASGKST >Manes.05G137200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:16795139:16807570:-1 gene:Manes.05G137200.v8.1 transcript:Manes.05G137200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEMPEVTELHPVPDAHVPVMNFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIKNFRTTLRCMRFWAKCRGVYSNVAGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEERSLGLQVWDPRRNPKDRFHLMPIITPAYPCMNSSYNVSSSTLRIMTEEFERGNEICEAMEANKADWETLFEPFSFFEAYKNYLQIDINAENEDDLRNWKGWVESRLRQLTLKIERHTYNMLQCHPHPGEFTDRSRPLHCSYFMGLQRKQGVPVNEGEHFDIRLTVEEFKHTVNMYSLWKVGMEIHVTHVKRRNIPSFVFPGGIRPSRPSKATWDSRRSSGEKSSESKGVSDGLDDGRKRKRIDDNVANTIKRSSSAGSSLNGEVNEGSPSVGNVSVGGGLASANVIGEPREVKTESKITDIIDNSKSLSGNLAQNGELNPQSKDFSATNDAPFSKEAENMAIEKIMSGPYVTNDTLPQELDELDDFEYRNQVKDSGGNKKDSLMESTSANMAAASLANVAASPSQMSSNGADSSTTLCPSGGLEELEPDELMAPFSGGLSYAAPVAHPKPLIRLNFTSLSKASGKST >Manes.03G167900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29333020:29336341:-1 gene:Manes.03G167900.v8.1 transcript:Manes.03G167900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFPLHICNYNSHKLYVTVNRAHLLFHSIAIAFLVYYRASFLFQHPQLRPTPIVPWLLVFVSELLLSFMWLLGQGCRWRPVSRTVFPERLPEDSKLPGIDVFICTADPDKEPTVDVMNTVLSAMALDYPAEKLHVYLSDDGGAAITLDGMREAWKFARWWLPFCRRYGIKTRCPNAYFSGGEDEYYNLSKSSQFMADREKIQEEYEKLKKHIMRAKQNCFVGETSSCNARNHSPIIEVINKTAQEDDAKLPLLVYVSREKRPSRPHHFKAGALNVLLRVSGVISNSPYILVLDCDMYCNDPTSAKQAMCFHLDPIISSSLAFVQFPQLFRNITSTHIYDDILRLVFKLLWHGMDGLKGPIISGTNFYIKRESLFSSNIHQDYSSLLEETKVLASCTYEDDSKWGDEVGFTYNSVVEDFSTSLKLHCGGWKSVYLNPSRPQFLGTSPTNLNDVLIQNRRWNTGLLGIGISRFCPLIYGPSRMSFLQSVCYAQFAFLPLYCLPLCCFGIIPQLCLLGGISLYPELHFTYIGFLPQVSSSFFLVFAFIFTSAMVKHLYDVIFTGGSIQVMINEQRIWMMKSVTCHVYGSLDAIMKILGLREDSFLPTNKVEHDDQVLLYQRGKFYFQTSTRLLAPIVTIIILNLASFFVGIIRIIVADRFEQLFVQVFLSFHILAMNYPIIEGMLIRKDSGCIPPSVTLISVIFAMILWALGSPILMYS >Manes.11G103900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23797553:23810176:-1 gene:Manes.11G103900.v8.1 transcript:Manes.11G103900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEETNKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNSTIFHHPTLGDFELQHLPVGVNDAELEERIIQHLAAAAAMGRRHIARREGQRTRSSAQGRPQFLVFQSPNVPAGQISSSPAQRVEGESVATISVPTLSSPSTHVLEESLQPIIPQSSDVQADGASASASGSSILASNQHENSLNNRRSPNQSSPSSQDRAGPSESQSFSDSIKSKLNAVSMRYKESISKSTRGWKDRFFSRSTTMAELGSEVRREVNAGIATVSRMMERLETRENNRTGVSSVSDSEEATSATESNNRQISETGGHHFISNTNANAQAPFAASSGSD >Manes.11G103900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23804404:23810176:-1 gene:Manes.11G103900.v8.1 transcript:Manes.11G103900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEETNKSEAHLTSAAAFVEGGIQDACDDACSICLEAFCDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNSTIFHHPTLGDFELQHLPVGVNDAELEERIIQHLAAAAAMGRRHIARREGQRTRSSAQGRPQFLVFQSPNVPAGQISSSPAQRVEGESVATISVPTLSSPSTHVLEESLQPIIPQSSDVQADGASASASGSSILASNQHENSLNNRRSPNQSSPSSQDRAGPSESQSFSDSIKSKLNAVSMRYKESISKSTRGWKDRFFSRSTTMAELGSEVRREVNAGIATVSRMMERLETRENNRTGVSSVSDSEEATSATESNNRQISETGGHHFISNTNANAQAPFAASSGSD >Manes.13G043750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4788129:4788440:-1 gene:Manes.13G043750.v8.1 transcript:Manes.13G043750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGRGSHKAEWKHLLFCQFVFVKCGALMPWFLLLVVCI >Manes.01G128600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32246129:32249328:-1 gene:Manes.01G128600.v8.1 transcript:Manes.01G128600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDAVIVKSSRLKSVVWNDFDRVKKGDTFVAICRHCKKKLSGSSSSGTSHLRNHLIRCQRRSNHGITQFLSAREKKKEGTLALANVNVDQEQRRDEPLVIVNYKFEQGQLKDDDGSVASGNLDQRRSQFDIARMIILHGYPVQMVEHVGFRVFVKNLQPLFELVTTDTVEADCMDIYIQEKQKVYEVLDKLPGKISLSANSWSASDDAEYLCLTAHYIDETWQLKKKLLNFVLVDPTHTGDMHSEVIMTCLMDWDIDRKLFSMTFDSHSANDNVVHRIRDRLSQNRFLFCNGMLFDIRCAASLLRLMVHDLLEVFSEVTHKIRESIRYVRSSHVTLMKFNEIASQGGVESQKCLCLDNPSRWDSTYFMLEVSLEYRWAFSLLQEQDSAYSMCPTDLEWERVTTITSYLKHFVEVANVFVKNKYPTANIYFSEICDIHLHLIEWCKNSDDCISSMALKMKNRFDEYWEKCSFALAVAAMLDPRFKIKLIEYYYQQIFGSGSAELIDEVFEYIKALYNEHSIGSPLASLDQGLTCQVSGSIGCLPSSERDSKDRLMGFDKFLHETSQSQGTKSDLDKYLEEPLFPRSVDFNILNWWKVHTPRYPILAMMARNILGIPMSKVTPEFAFNNGGRVLDREWSSLRPTTVQALMCSQDWMGEVEK >Manes.05G077700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6347205:6348247:1 gene:Manes.05G077700.v8.1 transcript:Manes.05G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLHSFISPFKKFWDRLHSGNRKRRGIYILYKDVKSCPCEDVQVLWSILVEAHQPSSLPSK >Manes.06G126000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25568542:25574918:-1 gene:Manes.06G126000.v8.1 transcript:Manes.06G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSSEISIKGNGGNVRGDSFSSPYSEPNDPRNAMEGQKSHSTCPVSARDAETALYTELWHACAGPLVTVPRERERVFYFPQGHIEQVAASTNQVADQQMPVYDLPSKILCTVINVQLKAEPDTDEVFAQVTLLPDPNQDESAVDKEPPLPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSKQPPTQELIAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAISTGTMFTVYYKPRTSPAEFIVPVDRYMESVKNNYTIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPKRWRDSKWRCLKVRWDETSTIPRPERVSPWSIEPALAPPALNPLPMPRPKRPRSNVVPSSPDSSVLTREGSSKVTIDPQPPSGYSRVLQGQEFSTLRGNFAESNESDTAEKSLMWPSSIEDEKVDVVSASRRHGSESWMPSGRQEPTYTDLLSGFGAHADSIHGFGASFVDQTAASASRKLILDQEGKFNLPSSHWSVMSSGLSLKLPESNAKVPVQGRDMPFQARGHIRCSAFNELPMLNGHRVEQSHGNWLMPPPPPSHFDNQTHARDLGPKPILVPEHETGKSTDGKCKLFGIPLFSNPVTPEPAAHRSMVYEPTSAHPQCHQLRALECDQRSEQSKGSKLADDNEHEKQFQGGILHTRDNQGKAQSVSTRSCTKVHKQGIALGRSVDLTKFNNYNELIAELDQLFEFDGELMAPKRNWLIVYTDDEGDMMLVGDDPWQEFVGMVRKIYIYTREEVQKMNPGALNSKGDENLLDVEGMDAKDMKCLRLPPANSTDIC >Manes.08G120800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36049847:36051262:1 gene:Manes.08G120800.v8.1 transcript:Manes.08G120800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFTHLELSVVCNYGSKNPPKQSRIAAPLNPVDKCMARLQELQSTVTGGRGYMRTSLRCKQESLRIRSATPKKSPVGKMRESLVETVGEILQSSQFAREIVAPVACKTNKTTIEDPKTPLTQLRKQRPNSENTKSKRKKEKQDKLQSIRSQATSPSLRRARSRINFKVQQKFYRTRSPVIPRNKQTTPHKFFLKSSLSTSKFQVKIKSSPVVSLLPTEAANFSKKSPTRAANLSKKSPKVFTASKLRLSFSPSRLANGLVSPLTGRKNVQKIDGFMSGLKQRPVSMPRRFSLGRIKALLILVGLYM >Manes.07G094300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29502575:29508079:-1 gene:Manes.07G094300.v8.1 transcript:Manes.07G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFLLIDLQNSCYSAHQVPLSTFTYLSTISSSFRRTRSVHRRISSSKSSTPSVIRTPEVRRPSDRYKSRNGSMSESVNSVLTSTPSTSSCSVSNSELDSFLELLPLRMKRELCKHSEMDELIEVVLDLGRKPLARFPSGDWIISEQPVKHEDLKHAISKVGDFSDDNRSGIDSSLHRISAIRNRKLQIIGLTCRVGRAMSGSAEIIRDLVEEGGSILVIGPPGVGKTTLIREIARMLADDQGKRVIIVDTSNEIGGDGDVPHAGIGHARRMQVPNVNMQHNVMIEAVENHMPQAIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIDNIIKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMISRTECRVHHRLDATVDAILAGKTPLFEIRQMDTRSDDSLKTAQIHGNELVEEYEAKSIGTGNGDEVESDEENENYALDHFEKPKTGGYVRRSSPVCVYTYKILEADLLQVAQVMGLEDNIDVTDDIETADAILASSSEMKHNPWIRGVAKFHHLPVFVIKSNTMAQMVKAVRTILGFESFGSTLKRPTKSSLDIEIEDDAPKRKPTLEEIDALEEVRLAIEYIVIPGGEPVELLPRCSEIIARQLELVESYQLTAENSGTELNPRLQILPLRTNKKTSLKSSKSCSTLQKETSTKPLTGSSGGTSVSRLPLLPQ >Manes.02G223400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37144182:37148985:-1 gene:Manes.02G223400.v8.1 transcript:Manes.02G223400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQHGVQFRTSEKVGILLILIVSFAFCMCGSSPSSEVARSEPNSKSNNLKVSNFCSFKKYIVKSYFEKYDSFVESRFEDLLADELLYTCELLPNNLNALLRVSVPNRLLIGEGSHRHLHSAIRLHVQSESINQLPAHFCKVIVIERLPSGVFADPFELQQFLRRGVFTDVAVFGDTNLELPSIASNRSVVEMHMNVSSSFGQTNELDISIDLPLHARYQPLGESGYSEVEFGPPDVFVQCSMERNKNNQCCIFEPGIDSVDTKTSAVIWRIPSGKRRHTGVVSVVTFVTAFISTLVIVLTSMFYLDINCAKTLKLS >Manes.12G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1639015:1642425:1 gene:Manes.12G018300.v8.1 transcript:Manes.12G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCTTTCLTTTRSCSWMLTSFCDSPKILPAKSSSLKGNRFCTRTFARQKSVKKLRRDVQSPNRMALKSTRKSLEDENKILSDGSSLESNNNVEVMQNSLNFPSRSAVLQACTVTSGAIAALGILIRQVSHVASVEGLPILDCSTEVSFGTEMWHLELITGLVMLISLCRYFLLKTWPDFAESTEAANQQVLTSLQPLDYVVVSFLPGISEELLFRGALLPLFGMDSKSALLVAVVFGVLHLGGGRKYSFAVWATFVGLAYGYATIVSSSVIVPMASHALNNLVGGILWSSTSKLSKTVE >Manes.04G057176.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:13437653:13441473:-1 gene:Manes.04G057176.v8.1 transcript:Manes.04G057176.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLARSLSVSLALSLSLGLSRSLSLARSLSLAPSLSLLDFYCFSLLNSVLQSASGMYRNLNSLALGLAKKGGFLMNCSCSGAVTQSGVFLRILQVRLDPSRPLSKRRHGVVAEILKKARIYVPPSADSSVGLDGKTEAQTFAAS >Manes.04G057176.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:13437038:13441473:-1 gene:Manes.04G057176.v8.1 transcript:Manes.04G057176.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLARSLSVSLALSLSLGLSRSLSLARSLSLAPSLSLLDFYCFSLLNSVLQSASGMYRNLNSLALGLAKKGGFLMNCSCSGAVTQSGVFLRILQVRLDPSRPLSKRRHGVVAEILKKARIYVPPSADSSVGLDGKTEAQTFAAS >Manes.17G032000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22694608:22699324:-1 gene:Manes.17G032000.v8.1 transcript:Manes.17G032000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMGKLKSNFFYPAVCSTLILLFSINSFGAETITPGQSINDTQTIVSPGKKFKLGFFTPTDTGSTSTTNSNVRYLGIWYQNIPVQTVVWVANRNNPLTSSGLLTFDEDGELVLLNQTGSIIWSSNSSHLARRPVAQLLDTGNFVLKDAEDDNTGNCLWQSFDYPSDTLLPGMKLGWNRKTGLNRHLTSWKSSSDPSSGNYTYTLDPHGLPQLVLHKGSTKQFRTGPWYGTQFSALPALMANPVFQPKFVSNDDEMYYSFIIRDNIISRFVLSPSGLVQHFSWNNRRASWNLMFTVQVDRCDSYGLCGAYGICNISNSTTVCQCMKGFEPRLPNDWEMLDWSGGCVPKNPHVCGNEGFIKLTGIKLPDASEFWVSVSTSVKDCKEKCLRNCSCVAYAKLDVNGTGNGCVTWARGLVDTRQVAEYGQDLYIRVAASEIDNDIEAKRRNIAITVVISVFSAVIIVALISCFVIWTRRTNMANQSDNKVTVNEEEGEDDDLELPVYEFSSIQFATNNFSVANKIGEGGFGPVYKGDLHSGQEVAVKRLGENSGQGLREFKNEVILISKLQHRNLVKLLGCCIQGDERMLIYEYMPNKSLDSLIFDEATRPLLNWQKRSDIITGIARGLLYLHRDSRLRIIHRDLKASNVLLDSELNPKISDFGMARIFGGDQTEGNTKRIVGTYGYMPPEYAIDGHFSLKSDVFSFGVIVLEIVSGKKNRGFFHPDHKLNLLGHAWKLWSEGKALELVDELLENEFPVSEVLRCIQVGLLCVQQRPEERPTMASVLLMLDTESTLLPEPYQPGFYAERCLSETDSSSLGNLISNEMTVTLLEGR >Manes.17G032000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22694633:22699324:-1 gene:Manes.17G032000.v8.1 transcript:Manes.17G032000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMGKLKSNFFYPAVCSTLILLFSINSFGAETITPGQSINDTQTIVSPGKKFKLGFFTPTDTGSTSTTNSNVRYLGIWYQNIPVQTVVWVANRNNPLTSSGLLTFDEDGELVLLNQTGSIIWSSNSSHLARRPVAQLLDTGNFVLKDAEDDNTGNCLWQSFDYPSDTLLPGMKLGWNRKTGLNRHLTSWKSSSDPSSGNYTYTLDPHGLPQLVLHKGSTKQFRTGPWYGTQFSALPALMANPVFQPKFVSNDDEMYYSFIIRDNIISRFVLSPSGLVQHFSWNNRRASWNLMFTVQVDRCDSYGLCGAYGICNISNSTTVCQCMKGFEPRLPNDWEMLDWSGGCVPKNPHVCGNEGFIKLTGIKLPDASEFWVSVSTSVKDCKEKCLRNCSCVAYAKLDVNGTGNGCVTWARGLVDTRQVAEYGQDLYIRVAASEIDNDIEAKRRNIAITVVISVFSAVIIVALISCFVIWTRRTNMVANQSDNKVTVNEEEGEDDDLELPVYEFSSIQFATNNFSVANKIGEGGFGPVYKGDLHSGQEVAVKRLGENSGQGLREFKNEVILISKLQHRNLVKLLGCCIQGDERMLIYEYMPNKSLDSLIFDEATRPLLNWQKRSDIITGIARGLLYLHRDSRLRIIHRDLKASNVLLDSELNPKISDFGMARIFGGDQTEGNTKRIVGTYGYMPPEYAIDGHFSLKSDVFSFGVIVLEIVSGKKNRGFFHPDHKLNLLGHAWKLWSEGKALELVDELLENEFPVSEVLRCIQVGLLCVQQRPEERPTMASVLLMLDTESTLLPEPYQPGFYAERCLSETDSSSLGNLISNEMTVTLLEGR >Manes.17G032000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22694608:22699324:-1 gene:Manes.17G032000.v8.1 transcript:Manes.17G032000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMGKLKSNFFYPAVCSTLILLFSINSFGAETITPGQSINDTQTIVSPGKKFKLGFFTPTDTGSTSTTNSNVRYLGIWYQNIPVQTVVWVANRNNPLTSSGLLTFDEDGELVLLNQTGSIIWSSNSSHLARRPVAQLLDTGNFVLKDAEDDNTGNCLWQSFDYPSDTLLPGMKLGWNRKTGLNRHLTSWKSSSDPSSGNYTYTLDPHGLPQLVLHKGSTKQFRTGPWYGTQFSALPALMANPVFQPKFVSNDDEMYYSFIIRDNIISRFVLSPSGLVQHFSWNNRRASWNLMFTVQVDRCDSYGLCGAYGICNISNSTTVCQCMKGFEPRLPNDWEMLDWSGGCVPKNPHVCGNEGFIKLTGIKLPDASEFWVSVSTSVKDCKEKCLRNCSCVAYAKLDVNGTGNGCVTWARGLVDTRQVAEYGQDLYIRVAASEIESDNDIEAKRRNIAITVVISVFSAVIIVALISCFVIWTRRTNMANQSDNKVTVNEEEGEDDDLELPVYEFSSIQFATNNFSVANKIGEGGFGPVYKGDLHSGQEVAVKRLGENSGQGLREFKNEVILISKLQHRNLVKLLGCCIQGDERMLIYEYMPNKSLDSLIFDEATRPLLNWQKRSDIITGIARGLLYLHRDSRLRIIHRDLKASNVLLDSELNPKISDFGMARIFGGDQTEGNTKRIVGTYGYMPPEYAIDGHFSLKSDVFSFGVIVLEIVSGKKNRGFFHPDHKLNLLGHAWKLWSEGKALELVDELLENEFPVSEVLRCIQVGLLCVQQRPEERPTMASVLLMLDTESTLLPEPYQPGFYAERCLSETDSSSLGNLISNEMTVTLLEGR >Manes.17G032000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22694633:22699324:-1 gene:Manes.17G032000.v8.1 transcript:Manes.17G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMGKLKSNFFYPAVCSTLILLFSINSFGAETITPGQSINDTQTIVSPGKKFKLGFFTPTDTGSTSTTNSNVRYLGIWYQNIPVQTVVWVANRNNPLTSSGLLTFDEDGELVLLNQTGSIIWSSNSSHLARRPVAQLLDTGNFVLKDAEDDNTGNCLWQSFDYPSDTLLPGMKLGWNRKTGLNRHLTSWKSSSDPSSGNYTYTLDPHGLPQLVLHKGSTKQFRTGPWYGTQFSALPALMANPVFQPKFVSNDDEMYYSFIIRDNIISRFVLSPSGLVQHFSWNNRRASWNLMFTVQVDRCDSYGLCGAYGICNISNSTTVCQCMKGFEPRLPNDWEMLDWSGGCVPKNPHVCGNEGFIKLTGIKLPDASEFWVSVSTSVKDCKEKCLRNCSCVAYAKLDVNGTGNGCVTWARGLVDTRQVAEYGQDLYIRVAASEIESDNDIEAKRRNIAITVVISVFSAVIIVALISCFVIWTRRTNMVANQSDNKVTVNEEEGEDDDLELPVYEFSSIQFATNNFSVANKIGEGGFGPVYKGDLHSGQEVAVKRLGENSGQGLREFKNEVILISKLQHRNLVKLLGCCIQGDERMLIYEYMPNKSLDSLIFDEATRPLLNWQKRSDIITGIARGLLYLHRDSRLRIIHRDLKASNVLLDSELNPKISDFGMARIFGGDQTEGNTKRIVGTYGYMPPEYAIDGHFSLKSDVFSFGVIVLEIVSGKKNRGFFHPDHKLNLLGHAWKLWSEGKALELVDELLENEFPVSEVLRCIQVGLLCVQQRPEERPTMASVLLMLDTESTLLPEPYQPGFYAERCLSETDSSSLGNLISNEMTVTLLEGR >Manes.S026616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:395009:395176:1 gene:Manes.S026616.v8.1 transcript:Manes.S026616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.07G111500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31704962:31706460:1 gene:Manes.07G111500.v8.1 transcript:Manes.07G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRIAVGNPGEASEPDAIRAALAEFFSMIIFVFAGEGSGMAFSKLTKNGSATPAGLIAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGILYWIAQLLGSVVACVLLKFATGGMETSAFALSSGLSPWNAVVFEIVMTFGLVYTVYATALDPKKGNVGIVAPLAIGFIVGANILVGGAFDGASMNPAVSFGPAVVSWTWTSHWVYWVGPLIGAAIAALVYDTVFVGENAHEPLSTNDF >Manes.01G072400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27460879:27464438:1 gene:Manes.01G072400.v8.1 transcript:Manes.01G072400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNLAGKKMAAIMDGPVQPGRIRVLKEGSTKQTGPIVYWMFRDQRIRDNWALMYAIHQANKASVPVAVAFNLFDQFLGAKARQLGFMLKGLRQLQGKIEETLQIPFFLFQGEAEETIPNFVRECGASLLVTDFSPLREVRKCKDEICLRVSDSVAIHEVDAHNVVPLWVASEKLEYSAKTLRSKINKRLPDYLIDFPVLQPPCKKWAATANQSIDWESLFEEVARKGAEVPEIEWCMPGEEAAMEVLMGNKNGFLTRRLKNYSTDRNVPSKPKGLSGLSPYLHFGQISAQRCALEAQKVRKLSPQSVDAFLEELIVRRELADNFCFYQPHYDSLQGAWEWARNTLMDHASDKREHVYSMEQLERAETADPLWNASQLEMVYYGKMHGFMRMYWAKKILEWTRGPEEALAISIYLNDKYELDGRDPNGYVGCMWSICGVHDQGWKERPVFGKIRYMNYAGCKRKFDVDGYIAYVKRFVNETKKRKAETQLGGKTKEMDANPKREEAIIFYRRLKGVVLELENVSD >Manes.17G022501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:12160226:12161056:1 gene:Manes.17G022501.v8.1 transcript:Manes.17G022501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRVETFGFSGGIWILWSEIFFKLTLVSTDPQFITCNVLLDNGDSWLVSFVYASPDISLRRRLWHSVLGFNGSEKSWLLLGDFNSFTSENEQTGYVNVHSIGVSDFRQWIFDNSLIDLGFEGTPFTWSKGGINSSYKAARLDRCLCTEIWRMTFSRATVIHAPKLHSDHCPIFMNCFGVINSSVRRFHFQAAWTAHKDFVDVVSRGWKQNTSLFDNLKSTKDSLSQWNRSEFGNIFHNKQRLIRRIDGVQKSLAIRRTRGLVKLEFNLRRQLEDVLK >Manes.S039516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1097633:1097800:1 gene:Manes.S039516.v8.1 transcript:Manes.S039516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.16G108600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31387728:31396267:-1 gene:Manes.16G108600.v8.1 transcript:Manes.16G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRYLDWFLFSLSRAFCSPLAIFIQIQGCVICLALAIGWAFAAFVRNREIKRMKNSMRAGNSFAFLFHDITELEHSNQVNLPRVTVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESTEDPAYHAISRLVTEFKDDVDARVIVAGLSTTCSQKIHNQLFGVEKMHKDSKYVLFLDDDVRLHPGSIGALTAEMEKTPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRYDRYGVVSGLRDGGYSDDMTFAAIAGAHKRLITSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYTTKVNWIMNRALFSSHCYLSWGFVAPYLMAMTHVAAALRIYIRGYAHEETIFVSNGLLLVTGLAVCTFIELFSMWNLTRIEVQLCNMLSPEAPQLSLATYNWVLVFIAMLVDNFLYPISAFRSHFSQSINWSGIRYHLKNGKIYKIERSKGKGPIYTDLGGKHLYGKKGAPPKTSFLGSLVKSLAQWRQPKKFDG >Manes.03G088300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19192363:19194413:-1 gene:Manes.03G088300.v8.1 transcript:Manes.03G088300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPIPHLGVSLLFLFLILSFFTPTFCIQDPISVFEILPKYGLPSGLLPNSVTNYTLSEDGDFIVLLEKPCYIKFEYLVYYDKRISGKLSYGSITDLKGIQVQRFLLWLNVDEIKVDLPPSDSIYFHVGIINKKLDVDQFKTVHSCRDKVSGTCRGSWNRILELPTSADDIQMLITE >Manes.07G004800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:727191:727737:1 gene:Manes.07G004800.v8.1 transcript:Manes.07G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISSFKALASIFFLAVAMYFASVEAQELAPTPAPPALVKGAAAYSVAMSGPILCSSLLLSLLAFLKH >Manes.14G087000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7203033:7212398:-1 gene:Manes.14G087000.v8.1 transcript:Manes.14G087000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERLQLQPIHQQLGAQRQQESNGPGKRWGHSCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTAMQSWSQPVIKGTPPTPRDSHSCTTVGDNLFVFGGTDGINPLKDLHILDTSSHTWISPTVRGEGPEAREGHSAALVGKRLFIFGGCGKSSNNDDDVYYNDLYILNTETYVWEQVAASGTPPSARDSHTCSSLKGKIIVIGGEDGRDYYLSDVHILDAETLVWKELNTTGQRLPPRAGHSTVAFGNNLLVFGGFTDAQNLYDDLYMLDVDTGVWTKVMTTGIGPSARFSVAGDCLDPQMGGVLVLIGGCDKSLEALDDMFFLYTGLTSVQDEGRLEKLPLRKQLKIKCQDQNLNSPVHDKALVRIDARTDLHQTVPTYAQPSREDLQLKHVQLHQGKKTFEAKVTESFAHGYTIETIIDGRPLRGILFANKPIIPQMANCNTRLQLSTYNQEILMGRRLHHMNPRQKLLLLLI >Manes.14G087000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7203031:7212398:-1 gene:Manes.14G087000.v8.1 transcript:Manes.14G087000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERLQLQPIHQQLGAQRQQESNGPGKRWGHSCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTAMQSWSQPVIKGTPPTPRDSHSCTTVGDNLFVFGGTDGINPLKDLHILDTSSHTWISPTVRGEGPEAREGHSAALVGKRLFIFGGCGKSSNNDDDVYYNDLYILNTETYVWEQVAASGTPPSARDSHTCSSLKGKIIVIGGEDGRDYYLSDVHILDAETLVWKELNTTGQRLPPRAGHSTVAFGNNLLVFGGFTDAQNLYDDLYMLDVDTGVWTKVMTTGIGPSARFSVAGDCLDPQMGGVLVLIGGCDKSLEALDDMFFLYTGLTSVQDEGRLEKLPLRKQLKIKCQDQNLNSPVHDKALVRIDARTDLHQTVPTYAQPSREDLQLKHVQLHQGKKTFEAKVTESFAHGYTIETIIDGRPLRGILFANKPIIPQMANCNTRLQLSTYNQEILMGRRLHHMNPRQKLLLLLI >Manes.14G087000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7203033:7212398:-1 gene:Manes.14G087000.v8.1 transcript:Manes.14G087000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERLQLQPIHQQLGAQRQQESNGPGKRWGHSCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTAMQSWSQPVIKGTPPTPRDSHSCTTVGDNLFVFGGTDGINPLKDLHILDTSSHTWISPTVRGEGPEAREGHSAALVGKRLFIFGGCGKSSNNDDDVYYNDLYILNTETYVWEQVAASGTPPSARDSHTCSSLKGKIIVIGGEDGRDYYLSDVHILDAETLVWKELNTTGQRLPPRAGHSTVAFGNNLLVFGGFTDAQNLYDDLYMLDVDTGVWTKVMTTGIGPSARFSVAGDCLDPQMGGVLVLIGGCDKSLEALDDMFFLYTGLTSVQDEGRLEKLPLRKQLKIKCQDQNLNSPVHDKALVRIDARTDLHQTVPTYAQPSREDLQLKHVQLHQGKKTFEAKVTESFAHGYTIETIIDGRPLRGILFANKPIIPQMANCNTRILKQVAVEHIQPGNSHGKETASHEPKTEAAVAPDLKNLASSDVSQLHEVQSSTIRSPKF >Manes.16G030800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3695408:3698670:-1 gene:Manes.16G030800.v8.1 transcript:Manes.16G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWMMSICYLHSLQKPLLQCHCLPFTPFTPKTSHLNFHKVHKFFIQTPKEFNQHPIVTSFSSTTQKLYFYSSPTSISYSKLLSQCTSSKSLTPGMEIHAHVIKLRSSQDPRIRNLLINLYSKCRFFRYARKLVDESTEPDLVSWSALISGYSQNGFGKEAISAFYEMHLLGVKCNEFTFPSVLKACTVTKDLWLGRQVHGTVVVTGFENDEFVANSLLVLYAKGGEFVDSRKLFDAIPERSVVSWNALLSCYVQSDSCGEAIDLFKDMVLSGIRPNEFSLSCMINACAGLEDSNQGRKMHGYLIKLAYDLDLFSSNSLVDMYAKVGSLEDAIHVFEEIEKPDIISWNAVIAGCVLSEYHHRAIELFGKMNRSGICPNMYTISSALKACAGMGLLEMGRQLHSCLIKMDIGSDSFLGVGLIDMYSKCELMTDARLVFKSMPEKDLIAWNAVITGHSQNGDDIEAVSLFPLMRKEGVGINQITLSTVLKSIATLRADHICSQVHAFCVKAGFESDNYVANSLIDAYGKCGHIEAANRVFKESPIVDLVAFTSMITAYSQDGQGEDALKLYLEMQDRDIKPDSFLCSSLLNACANLSAYEQGKQIHVHVLKFGFTSDIFAGNSLVNMYAKCGSIDDADRAFAEIPQRGIVSWSAMIGGLAQHGHGKEALQLFHQMLIDGVPPNHITLVSVLCACNHAGLVAEAQHYFKSMKKLFGIEPMPEHYACMIDLLGRAGKLDEAMELMNIMPFQANASVWGALLGAARIHKNVELGQQAAEMLFALEPEKSGTHVLLANIYASAGMWDNVAKMRRLMKDSKVKKEPGMSWIEIKDKIYTFIVGDKSNSRSKEIYAKLDELSDLLNKAGYVPMLDVDLHDIDPSEKEQNLYHHSEKLAVAFGLIVTPPGAPIRVKKNLRICLDCHTVFKYICKIVSREIIVRDINRFHQFRDGSCSCGDYW >Manes.15G096175.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7514787:7515437:1 gene:Manes.15G096175.v8.1 transcript:Manes.15G096175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSAVAFVLLLLLVCLEARKLSWMEKESLILNQLIKGPTPPSSPSGSGNEVNYSKKKISGMHLARSERFLEESVPSPGAGHAKLSSQEENV >Manes.09G004900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1237569:1239213:1 gene:Manes.09G004900.v8.1 transcript:Manes.09G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVGTNIHSSSSSLPDRKAPRKSKTNKNKPMKVVYISNPMKFKTCASKFRALVQEFTGQDAKFPDPSRFLDSDSDVGDCGCGGGNNQTVEHEGSETVDDHKVPIVDLDAEQGRTPDHATPLPLESSFDDIFMAQMFENLSGLMPSSLLYESSANVN >Manes.18G122902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13533104:13534309:1 gene:Manes.18G122902.v8.1 transcript:Manes.18G122902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTPHNLRVILDVIENGTNFVDWFRNLRIVLKQEKKSYVLDEAIPEPPLADATNAVKNKHKKHMDDSNDIGCLMLATMCPELQKDLEHLKAYEMSVHLKQAFQQQARQDRYETTIALHDCKMAGGYIDHLARLGYPLSLEHSTDLILHSLPGIFSQFVMNNNMNNMEKSIPELHGMLKTAEINVKRRPTQILNVNKGKPMKNKGKPKSKGGSQKE >Manes.08G138500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37472616:37475004:-1 gene:Manes.08G138500.v8.1 transcript:Manes.08G138500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEDILDEALSFARPRLESLAMQSSPHLKKHIENALNMPFHRGLPRVEARKFIRFYEKEESPNETLLKFAKLDFNRVQLMHKQELGVVSRRWKELDLAKDLPYARDRIVEGYLESAGLQFEPKFALSRIRLTKCIQILALVDDTYDSYGTLEELKCFTDALERGNAEQLPIDYLKIVYKAVLDFFAELEDDEGNEGRSYCLNYTKERFKEVVRSYLKESQWFYDRHLPPFNEYMHNALVSSCFALLPPVFFLGVEKLAGVKEFEWLETNPKLVEACKFFGRVVNDMVARKDEEKEGHCLAGNCYMKEYSVSKEKAMEELRRMCDNAWKDVNEESMRPTVVPMPLITSIANLARVMEVVFQYDDAYTIATSLKDNVILMFVEPLSED >Manes.08G138500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37472616:37475004:-1 gene:Manes.08G138500.v8.1 transcript:Manes.08G138500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVVQMRSNKEQEISRPLANFPPTVWGCDFASLPSFNSEIESYTKKMEVLKEKHGYKISCDVFKRFKDSDGKFSETISNDVKGILSLYEATFVSMQGEDILDEALSFARPRLESLAMQSSPHLKKHIENALNMPFHRGLPRVEARKFIRFYEKEESPNETLLKFAKLDFNRVQLMHKQELGVVSRRWKELDLAKDLPYARDRIVEGYLESAGLQFEPKFALSRIRLTKCIQILALVDDTYDSYGTLEELKCFTDALERGNAEQLPIDYLKIVYKAVLDFFAELEDDEGNEGRSYCLNYTKERFKEVVRSYLKESQWFYDRHLPPFNEYMHNALVSSCFALLPPVFFLGVEKLAGVKEFEWLETNPKLVEACKFFGRVVNDMVARKDEEKEGHCLAGNCYMKEYSVSKEKAMEELRRMCDNAWKDVNEESMRPTVVPMPLITSIANLARVMEVVFQYDDAYTIATSLKDNVILMFVEPLSED >Manes.08G138500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37472598:37475205:-1 gene:Manes.08G138500.v8.1 transcript:Manes.08G138500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEDILDEALSFARPRLESLAMQSSPHLKKHIENALNMPFHRGLPRVEARKFIRFYEKEESPNETLLKFAKLDFNRVQLMHKQELGVVSRRWKELDLAKDLPYARDRIVEGYLESAGLQFEPKFALSRIRLTKCIQILALVDDTYDSYGTLEELKCFTDALERGNAEQLPIDYLKIVYKAVLDFFAELEDDEGNEGRSYCLNYTKERFKEVVRSYLKESQWFYDRHLPPFNEYMHNALVSSCFALLPPVFFLGVEKLAGVKEFEWLETNPKLVEACKFFGRVVNDMVARKDEEKEGHCLAGNCYMKEYSVSKEKAMEELRRMCDNAWKDVNEESMRPTVVPMPLITSIANLARVMEVVFQYDDAYTIATSLKDNVILMFVEPLSED >Manes.08G138500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37472598:37475205:-1 gene:Manes.08G138500.v8.1 transcript:Manes.08G138500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEDILDEALSFARPRLESLAMQSSPHLKKHIENALNMPFHRGLPRVEARKFIRFYEKEESPNETLLKFAKLDFNRVQLMHKQELGVVSRRWKELDLAKDLPYARDRIVEGYLESAGLQFEPKFALSRIRLTKCIQILALVDDTYDSYGTLEELKCFTDALERGNAEQLPIDYLKIVYKAVLDFFAELEDDEGNEGRSYCLNYTKERFKEVVRSYLKESQWFYDRHLPPFNEYMHNALVSSCFALLPPVFFLGVEKLAGVKEFEWLETNPKLVEACKFFGRVVNDMVARKDEEKEGHCLAGNCYMKEYSVSKEKAMEELRRMCDNAWKDVNEESMRPTVVPMPLITSIANLARVMEVVFQYDDAYTIATSLKDNVILMFVEPLSED >Manes.S049016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1582856:1583736:-1 gene:Manes.S049016.v8.1 transcript:Manes.S049016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.16G133400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33376628:33378160:-1 gene:Manes.16G133400.v8.1 transcript:Manes.16G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGRTLTSTATKITSFPPPAITQQRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGRLDDNEGGDQRDLESGNEKEGDEGKAGKIYEEKILVIMAGDQKPTFLATPVSSRASSLGEKDAKIENKEEMKTENGDKTKEDIGNHVQTTTAAIASEQLQEEEGHRETQETGQAQEQNH >Manes.01G221800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38914676:38917444:1 gene:Manes.01G221800.v8.1 transcript:Manes.01G221800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSHFTLVLLLSCFSSSLLLCHGFPALHHRHHPRFASHNYRDALTKSILFFEGQRSGKLPSNQRISWRRDSGLSDGAAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKGELQNAKTAIRWATDYLLKATVHPDIIYVQVGDANKDHSCWERPEDMDTPRSVFKVDRNSPGSDVAAETAAALAAASLVFKKSDPTYSKLLVRRAIRVFQFADKYRGAYSNGLKKNVCPFYCSYSGYEDELLWGAAWLHKATRNPTYLNYIQVNGQTLGAAEFDNTFGWDNKHVGARILLSKAFLVQKLQSLHDYKGHADNFICSLIPGAPFSSAQYTPGGLLFKMSDSNMQYVTSTSFLLLTYAKYLTSARKVVNCGGTAVTPNRLRNIAKKQVDYLLGDNPLRMSYMVGYGPRYPRRIHHRGSSLPSVAAHPAKIQCSAGFSFMNSGSPNPNILVGAVVGGPDQHDRFPDQRSDYEQSEPSTYINAPLVGALAYLAHSFGQL >Manes.08G001806.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:507450:508371:-1 gene:Manes.08G001806.v8.1 transcript:Manes.08G001806.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSEYNSMAITDGAAESQQQQKKRGRIPPKRGQIKMKIICNFVSIICRGAEKVGRILARRR >Manes.09G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31076678:31086157:1 gene:Manes.09G108700.v8.1 transcript:Manes.09G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKHSDSSDDEKRRYRRYERRSEHRRSDNNDKDDDRIGDDESRDHEEKRGREVRERKASRESSDEEGELVETEKGERDRERNGELKDRFTGYNRSSDEDDDRRRRRDRREREKTRRHENGHRRREVEDRNRDQHRKSERERDRIDDERHRRHRNRDDDGENGRRSEKNEERGDGDRRKVVEDSKPGKTTTEEGKMDNEPGNLGRSGGVYIPPFKLARMMKEVQDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIVALELLAVLLENPTDDSVEVAVGFVTECGSILQDVSPKGLDGAFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQEDIEPEITLDIFKPDPNFLENEKRYEELKKSILGDESEDEEGSDAVSGDEDEDDDDDESEEDEQQMQIKDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRTLNERLTDPAMHDSFESIFPRDNPKNTRFAINFFTSIGLGGITENLREYLKNMPRLIMQQQKPISESESNGESGSSGSSDSESESESESDSSSSSEDERHKKRSRSDRDDTSRKRSKSDGDERSRKHSRRD >Manes.16G037800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4817000:4826750:-1 gene:Manes.16G037800.v8.1 transcript:Manes.16G037800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLGPLIIKANPLLLHSPNFPFFFFSFNSNPKSKSLSMAASRQCPCSTTISSPIRTQPSLLVFSGGTAFNGVVEELKKLTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRRLLGHRLPLDAPQAKSEWYDIVEEEHALWTGVSRPYRETIRAFLVYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSEIPPESLVLPVISTNDRLTLGCELWDGTVIRGQNEISHPASGTMLPVNKGCASVPALSSRIKRVFYMSSEGGNSLHEVFPTVNSSVLDQLSNVDCIVYAMGSLFTSICPSLVLRGIGEIISSRSCPKVLLLNGTHDRETSGFSASCFVTAITDALSRKYGDTHNCLENSPNQYINTLLVPKEGEIPIDIPCLTSQGIFDVIFVDSFRDPKVGIIFNPESLINALANVVGRHMSANVM >Manes.16G037800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4817002:4826673:-1 gene:Manes.16G037800.v8.1 transcript:Manes.16G037800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLGPLIIKANPLLLHSPNFPFFFFSFNSNPKSKSLSMAASRQCPCSTTISSPIRTQPSLLVFSGGTAFNGVVEELKKLTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRRLLGHRLPLDAPQAKSEWYDIVEEEHALWTGVSRPYRETIRAFLVYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSEIPPESLVLPVISTNDRLTLGCELWDGTVIRGQNEISHPASGTMLPVNKGCASVPALSSRIKRVFYMSSEGGNSLHEVFPTVNSSVLDQLSNVDCIVYAMGSLFTSICPSLVLRGIGEIISSRSCPKVLLLNGTHDRETSGFSASCFVTAITDALSRKYGDTHNCLENSPNQYINTLLVPKEGEIPIDIPCLTSQGIFDVIFVDSFRDPKVGIIFNPESLINALANVVGRHMSANVM >Manes.16G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4817002:4826673:-1 gene:Manes.16G037800.v8.1 transcript:Manes.16G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVLGPLIIKANPLLLHSPNFPFFFFSFNSNPKSKSLSMAASRQCPCSTTISSPIRTQPSLLVFSGGTAFNGVVEELKKLTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSTAEALAVRRLLGHRLPLDAPQAKSEWYDIVEEEHALWTGVSRPYRETIRAFLVYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSEIPPESLVLPVISTNDRLTLGCELWDGTVIRGQNEISHPASGTMLPVNKGCASVPALSSRIKRVFYMSSEGGNSLHEVFPTVNSSVLDQLSNVDCIVYAMGSLFTSICPSLVLRGIGEIISSRSCPKVLLLNGTHDRETSGFSASCFVTAITDALSRKYGDTHNCLENSPNQYINTLLVPKEGEIPIDIPCLTSQGIFDVIFVDSFRDPKVGIIFNPESLINALANVVGRHMSANVM >Manes.18G054675.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4697350:4699457:-1 gene:Manes.18G054675.v8.1 transcript:Manes.18G054675.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNYQHGFLEELLALRRDTWENIPSFSTEMGELFSGGWNLDCFDHGDNPAATLPPISFHEELSSPLKQDFNNYYFNEVSCPFGNEFSSPPFTDEFSAPQFTDSSYNNLDTPPYPVQEDTPMSTMEDDQELGLLPNHTQSLEMFDTCKVEPIQSPEVPAFNIGPFPERKMRGKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELLERIQNLQQEIEVGSDQLNMVGILKDTKASELIVRNSPKFDVERVNEATRIEICCAAKPGLLLSTVNTLEALGLEIEQCVISCFNDFAMQASCSEELEQSRLISSEDIKQALFRSAGYGGRCM >Manes.08G004902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:908189:913018:-1 gene:Manes.08G004902.v8.1 transcript:Manes.08G004902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIEQPYANLNPLDSLHCNLSYMARHDLVCFVTLWSIFIVISHAESMPLDGVHFASQRNPAPMSNAFISSSHSAEVSYYQPDAAGPSHDPFLHSSTVGTFCAVPENLPSSCEGGSTSRFYGAGSSSDPSVPSELRLEKPNLDPQYMVWECITMTPGHRGNLSIGPESSIRNVRSRPALDIEINLSRTHLSNNSSHNSYHAVDFSSQSSSAMTHNWCHTRTSTASGRMLVSDANGYTHETNHFLVGSSIPNASADVRGYHHDFISSRNPVVPQSFHSASAHSARGIRSSYSQRPSPTFRASSSSLPLGHMAPSDDGMPLVAENFSSRQPRLLSTAAWRNSDRNGRSRNSYERYRSLPNEPSLHDRFSSEGFMVVDRSAFYGSRNLFDQHRDMRLDIDNMSYEELLALGERIGSVSTGFDEDLISKCLTETVYRSSGQSEDEGTCVICLEEYKDMDDVGSCIKKWLSMKNLCPICKASAVADNMKE >Manes.15G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12157293:12160384:-1 gene:Manes.15G147500.v8.1 transcript:Manes.15G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIHTRWPTLLMLKPKSLRTEVLGFRVLSANREEGRKIWETGNRFVNCGMEMTQASTGEESVAPSTVLAYLDPNYWNERFKKEEHYEWFKDYSHFRHLIQAHINPNSSVLELGCGNSQLCEEMYSDGIADITCIDLSAVAVEKMLQRLSAKGYKEIKVLEADMLDLPFSDGCFDVVIEKGTMDVLFVNSGDPWNPRPATVTQVKAMLDGVHRVLKPDGIFISISFGQPHFRRPLFDAQEYTWSIEWSTFGDGFHYFFYILRKGKRSSDDRSSCARKIEVPQICLLQEELEGEDFIFRTSIDDDDS >Manes.06G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19583125:19593192:1 gene:Manes.06G061400.v8.1 transcript:Manes.06G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLGGKMVGQVVKFGGNGFGQVLAAAAVAILVRLFSGPGPAMSPDDDEKNDFAGDDEVGEASFDGKIVPVAIRWNNITCSLSDKSSKQVRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLARQLMASPRLHLSGLLEVNGMPISNKAYKFAYVRQEDLFFSQLTVRETLALAAELQLPETSSVEERDEYVNNLLFKLGLVSCADSIVGDAKVRGISGGEKKRLSLACELIASPSVVFADEPTTGLDAFQAERVMETLRQLAQDGHTVICSIHQPRSSVYSKFDDIVLLTEGALVYAGPAHDEPLAYFSKFGYHCPDHVNPAEFLADLISIDYSSAESVHSSQKRIDGLVESFSEQLSTVLYATSLTRRESPKNGSKLSKRIVVKRKGTWWRQFWLLLKRAWMQASRDGPTNKVRARMSIASAIIFGSVFWRMGRSQTSVQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYKLGPYLLSKLIAEIPVGAAFPLMFGAVLYPMARLHPTLSRFAKFCGIVTAESFAASAMGLTVGAIAPTTEAAMALGPSLMTVFIVFGGYYVNADNTPIIFRWIPDVSLIRWAFQGLCINEFTGLKFDHQDSFDVETGEQALKRLSFGESHISDTVIAQSRILLFWYCTTYFILKKNKPKYQQLESPPVEQIRPQLELEPLENDNIKQLSPLKQDELNQQLDSPALDQIRPFILEGAK >Manes.06G061400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19583157:19592999:1 gene:Manes.06G061400.v8.1 transcript:Manes.06G061400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLGGKMVGQVVKFGGNGFGQVLAAAAVAILVRLFSGPGPAMSPDDDEKNDFAGDDEVGEASFDGKIVPVAIRWNNITCSLSDKSSKQVRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLARQLMASPRLHLSGLLEVNGMPISNKAYKFAYVRQEDLFFSQLTVRETLALAAELQLPETSSVEERDEYVNNLLFKLGLVSCADSIVGDAKVRGISGGEKKRLSLACELIASPSVVFADEPTTGLDAFQAERVMETLRQLAQDGHTVICSIHQPRSSVYSKFDDIVLLTEGALVYAGPAHDEPLAYFSKFGYHCPDHVNPAEFLADLISIDYSSAESVHSSQKRIDGLVESFSEQLSTVLYATSLTRRESPKNGSKLSKRIVVKRKGTWWRQFWLLLKRAWMQASRDGPTNKVRARMSIASAIIFGSVFWRMGRSQTSVQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYKLGPYLLSKLIAEIPVGAAFPLMFGAVLYPMARLHPTLSRFAKFCGIVTAESFAASAMGLTVGAIAPTTEAAMALGPSLMTVFIVFGGYYVNADNTPIIFRWIPDVSLIRWAFQGLCINEFTGLKFDHQDSFDVETGEQALKRLSFGESHISDTVIAQSRILLFWYCTTYFILKKNKPKYQQLESPPVEQIRPQLELEPLENDNIKQLSPLKQDELNQQLDSPALDQIRPFILEGNISNVANHSTLVISPNPSQLQKEQDMAEITRAISADKIT >Manes.15G181700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:24637873:24638361:1 gene:Manes.15G181700.v8.1 transcript:Manes.15G181700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLHSLFQLILAFVLIGAFLVVVALLFYEVFQWRQAIDNHNRSNLEAQMDILESQSSEHIEEIFLRLPEELAGVYLEEQQKERHQREQTIRNLPPPLDYDTYKTTTSITECAICLDEFGSGDLCRLLPLCKHIYHFECINQWLLEELTCPICRSPIVNP >Manes.14G075500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6310115:6315376:1 gene:Manes.14G075500.v8.1 transcript:Manes.14G075500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQQKGDPVSAPKDKRGDRKIMTIEGYPVEGLSIGGHETCIIFPSLNLAFDIGRCPQRAISQDFLFISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPTSIKETVEELFEVHRKLDGSELNHNLIGLDVGEEFYMRRDLKVRAFRTYHAIQSQGYVVYSMKQKLKQEYLGLSGNEIKNLKASGVEITNTITSPEIAFTGDTMSDFIIDETNSDALRARILVMESTFVEDTVKVEHARDYGHTHLSEDIQEAISRLPPPLAGRAFALTEGF >Manes.14G075500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6310115:6314283:1 gene:Manes.14G075500.v8.1 transcript:Manes.14G075500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQQKGDPVSAPKDKRGDRKIMTIEGYPVEGLSIGGHETCIIFPSLNLAFDIGRCPQRAISQDFLFISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPTSIKETVEELFEVHRKLDGSELNHNLIGLDVGEEFYMRRDLKVRAFRTYHAIQSQGYVVYSMKQKLKQEYLGLSGNEIKNLKASGVEITNTITSPEIAFTGDTMSDFIIDETNSDALRARILVMESTFVEDTVKVEHARDYGHTHLSEIVSYADKFENKAILLIHFSARYTVEDIQEAISRLPPPLAGRAFALTEGF >Manes.14G075500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6310115:6315376:1 gene:Manes.14G075500.v8.1 transcript:Manes.14G075500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQQKGDPVSAPKDKRGDRKIMTIEGYPVEGLSIGGHETCIIFPSLNLAFDIGRCPQRAISQDFLFISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPTSIKETVEELFEVHRKLDGSELNHNLIGLDVGEEFYMRRDLKVRAFRTYHAIQSQGYVVYSMKQKLKQEYLGLSGNEIKNLKASGVEITNTITSPEIAFTGDTMSDFIIDETNSDALRARILVMESTFVEDTVKVEHARDYGHTHLSEIVSYADKFENKAILLIHFSARYTVEVYFLTVPCTYSRITLPV >Manes.14G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6310115:6315376:1 gene:Manes.14G075500.v8.1 transcript:Manes.14G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQQKGDPVSAPKDKRGDRKIMTIEGYPVEGLSIGGHETCIIFPSLNLAFDIGRCPQRAISQDFLFISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPTSIKETVEELFEVHRKLDGSELNHNLIGLDVGEEFYMRRDLKVRAFRTYHAIQSQGYVVYSMKQKLKQEYLGLSGNEIKNLKASGVEITNTITSPEIAFTGDTMSDFIIDETNSDALRARILVMESTFVEDTVKVEHARDYGHTHLSEIVSYADKFENKAILLIHFSARYTVEDIQEAISRLPPPLAGRAFALTEGF >Manes.14G075500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6310115:6314563:1 gene:Manes.14G075500.v8.1 transcript:Manes.14G075500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQQKGDPVSAPKDKRGDRKIMTIEGYPVEGLSIGGHETCIIFPSLNLAFDIGRCPQRAISQDFLFISHAHMDHIGGLPMYVATRGLYRMKPPTIIVPTSIKETVEELFEVHRKLDGSELNHNLIGLDVGEEFYMRRDLKVRAFRTYHAIQSQGYVVYSMKQKLKQEYLGLSGNEIKNLKASGVEITNTITSPEIAFTGDTMSDFIIDETNSDALRARILVMESTFVEDTVKVEHARDYGHTHLSEDIQEAISRLPPPLAGRAFALTEGF >Manes.18G026450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2870785:2872737:-1 gene:Manes.18G026450.v8.1 transcript:Manes.18G026450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCKLPVTRHFFKVIVAGFRSKLSLPVAFCRRLKGKRLDKAVVRSSQGSWHIKVGKCRKGLLYFEQGWEDFVTHHDLDLGDFVVFEHKGDMVFDAIVFDSSSCEKEFPVSINFSEKSRKEIDNLSDEFESYSHTTEEGNFKGQSPLKDAQSYSPKGPYFITTITTSSGADDGSYLDIPAEFASSNNLVRASIVVLQNPSSKLWAVKLCSIDSKCGKRSALRGKGWHEFYVDNKLKEGDVCLFELDLTRKRSDVVVITVHIFPLVT >Manes.05G203800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33006934:33012566:1 gene:Manes.05G203800.v8.1 transcript:Manes.05G203800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREWRGRVSPREQDIMGIFSFGYILVLLLIIPSQARELASPQNSNDEIVGLLSFKRSSVQSDPNNVLANWTPDSSSPCSWFGVSCSVDGRRVTSLDLTKAGLIGSLHLSHLTSLSALTTIILRDNLFSAGDLSASSAIPCALETLDLSSNNISDPLPASSFFISCNRLAHVNLSHNSIPGGTLQFGPSLMQLDISGNRISDSTFLKRSLSLCRNLNFLNFSNSKLTGKLEITPLSCKSLSVLDLSYNLLSGEIPPSFIADSSSSLKHLDLSHNNFSGSFSSLDFGRCNNLSFLSLSQNKLSGTVFPISLNNCEILETLDLSHNELQLMIPGALLGKLKQLKQLSLADNLLFGVIPPELGQVCGTLQELDLSTNKLTGGLPLNFVSCSSLQTLNLGNNLLSGDFLTTVVSSLQSLKYLYVPFNNVTGPVPLSLTNCTQLRELDLSSNGFTGNVPFEFCTSSNPSKLQKFSMANNYLSGQVPSELGSCKNLRRIDLSFNNLNGPIPSDVWTLPNLVDLVIWANNLTGEIPEGICENGGNLESLILNNNHLTGSIPKSIGSCTNMIWISLSSNKLIGEIPPSIGNLVNLAILQMGNNSLSGQIPLELGKCLNLIWLDLNSNNITGSIPPELSAQSGLIIPGIVSGKQFAFVRNEGGTSCRGAGGLVEFEGIRAERLENLPMVHSCPTTRIYSGTTVYTFSSNGSMIYLDLAYNSLSGTIPENFGLMSYLQVLNLGHNKLTGNIPGSFGGLKEIGVLDLSHNDLQGFIPASLGTLSFLSDLDVSNNNLSGPIPSGGQLTTFPASRYENNSGLCGVPLPSCSSGGRQSGSYHQGKKQSVAAGLVVGITFFMLCIFVLILALYRVKKFQKKEEQKEKYIESLPTSGSSSWKFSGVPEPLSINIATFEKPLRKLTFAHLLEATNGFSDESLIGSGGFGQVYKAKLSDGSVVAIKKLVHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKLGEERLLVYEYMKWGSLESVLHDRSKGGCLRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFQCTTKGDVYSYGVILLELLSGKKPIDPTEFGDDNNLVGWTKQLHKENRDNEILDTELTLQKSCETELHQYLRIAFECLEEKPFKRPTMIQVMAMFKELQVDSESDILDGFSLKDAVIDESREKECSS >Manes.05G064500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5357288:5358060:-1 gene:Manes.05G064500.v8.1 transcript:Manes.05G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHSISSDHASLTHESKRTFGAGEKLKLQARDAARNIGVVCNGNTCTTTRRSTSRLWFSKENERRNWNYVAEDPIRTLMFLASWGHT >Manes.17G074500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27524274:27525821:-1 gene:Manes.17G074500.v8.1 transcript:Manes.17G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLRRHVATKKTMSRKATRVWRLKLSVTWKAIKRAFTSKFRLRLSCVRSMKPLPTAKIHQETKTKKNGCRPRRTLEHLLNVPYTALDFIDRGDCMTPTLSPKENLSTRWREIHGSNNWGNLLDPLHPWLRREIVKYGELVEATYDAFDFDPLSEYCGSCRYNRHKFFQELGLIKHGYEVTKYLYAMSHVDVPEWFAGSNSVWSKDSNWMGYVAVSNEEESRRIGRRDIVVAWRGTVAPTEWFTDLKAKLEEFDDEKDNIKVQCGFLSIYKSKDELTRYNKSSASEQVMEELKKLVNFYRENGEEVSLTLTGHSLGGALALLNAYEAAISIPNLFISVISFGAPRVGNLAFKEKLNELGVKTLRIVVKQDIVPKVPGIIVNKIINKINSLTQRLNWVYRHVGTQLKLDVFMSPYLKHESDWSGCHNLEVYLHLLDGFLGKKLKYRWNARRDLALVNKSSDMLIEELKIPEFWFQLPHKGLVLNKHGRWVKPSREPEDIPSPFSGEISKHDPTF >Manes.13G067100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8557196:8557611:-1 gene:Manes.13G067100.v8.1 transcript:Manes.13G067100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFKAFKAQVPIAWSPNLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQNEANHGSLRPPLVISHSPAHASNSS >Manes.03G095100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16499490:16501445:1 gene:Manes.03G095100.v8.1 transcript:Manes.03G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLFILLLCLTILGVRGEDPYLFFTWNITYGTLSPLGVPQQVILINDQFPGPVINSTSNNNIVVNVFNNIDEPFLLTWSGIQQRKNSWQEGVLGTNCPIPPGTNQTYRFQVKDQIGSFHYYPSTAMHKAAGAFGGLHINSRLLIPVPYADPEDDYTVIVNDWYTKSHKALRDMLDNGQILGRPEGVLINGKNAKGDGKDKPLFTMKPNKTYKFRICNAGLKTTINVGIQGHTMTLVEMEGSHVVQNVYESMDVHVGQCMGVLVTANQAPKDYYLMASTRFLKNVVSGMGIIRYANGKGPPSPELPDPPVGWEWSLNQFRSLRWNLTASAARPNPQGSYHYGSINITRTIKLVNTVGTVEGKLRYAINGVSHINPETPLKLAEYFGIADKVFKYDTIPDEPPSESGKIVTEPIVLNMTFRNFVEIIFENPEKSMQSWHLDGYSFFAVAVEPGTWSPEKRKHYNLLDAVSRTTVQVFPESWAAILLTFDNAGMWNLRSELWERTYLGQQLYASVLSPARSLRDEYNLPDNALLCGLVKDLPKPPPYTI >Manes.04G015300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1963650:1965658:1 gene:Manes.04G015300.v8.1 transcript:Manes.04G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLKRYVLRLFISLKYITANVVDRNNGRIVATASTVEHSIKNTLECDRSCNAKAAAVVGEVLGMRLKVEGLDQGQGRGIHVNISKEVEKKGFKNQTKIWAIVNALKNSGVKVILDDNDDNTSGTKFP >Manes.09G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33980993:33986256:-1 gene:Manes.09G141100.v8.1 transcript:Manes.09G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGNSTNIFWHECPVGKSERQKLLNQKGCVVWITGLSGSGKSTLACSLSRELHSKGKLSYVLDGDNLRHGLNKGLGFSAEDRTENIRRVGEVAKLFADAGLICIASLISPYRKDRDACRAMLTDANFIEVFMNMPLSLCELRDAKGLYKLARAGKIKGFTGIDDPYEPPLNCEIEIQEKNGVCPSPFAMAGQVVSYLEDKGFLHYQ >Manes.15G129300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10342118:10346980:-1 gene:Manes.15G129300.v8.1 transcript:Manes.15G129300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQSTRNPSNALEGVHGIHVVPHSPFALEEMTQHGNFSPSTCGSLTNTEEQRLLLQRMWQQRPGCLRPIKCCVTGDKHLAETVANVVTSIPFIALGLQAPRKNLNTKLYANSLVGVGVASSLYHSSRGKIRKYLRWFDYTMIAAATICLSRALRNENPKLLMAASAALLPIQPLMVSAVHTGMMEVAFAKRALKDPDLRMAHNLHKMSSLLGGVLFIADDFFPSTPFIHAGWHLAAAVGVGTCNKLLE >Manes.08G092100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30987148:30990691:-1 gene:Manes.08G092100.v8.1 transcript:Manes.08G092100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQEPWLLENGNLKGLSKEMRHGRTAHNMSSSSLRKKSDLTLVSKLRCGLLRNFLTNLQEVILGTKLSVLFPAIPLAIVAEVYGFGRPWVFALSLLGLTPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATELIIAVFALSQHKIEVVKYSLLGSILSNLLLVLGTSLFCGGIANLRFEQRYDRKQADVNILLLLLGLLCHMLPLLFRISSDSAALSAVPTLQLSRASSFVMLLAYIAYIVFQLVTHRQLFEAPEESGDGEDDDVVSEEKPVIGFWSGIAWLFGMTGVIALLSEYVVETIEDASDSWGLSVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFVVPLCVIVAWIMGIKMDLSFSLLETGSLALSIIATAFTLQDGTSHYLKGLALLLCYFVIAASFFVCKSPLNQGNVVNVAVNAAPGVALRA >Manes.15G014600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1221399:1222695:-1 gene:Manes.15G014600.v8.1 transcript:Manes.15G014600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQTLDKPLYEPVKIHGGYALIQRNTSPPQSGERRGRRKQPEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFIYTDNGTFHSLLTPFDIQPFLPPSQFLTGTLQDNKQQEAPTNHNSPPKHEICQNETHNQSSSETCGAETSTESAYDNSFFFSQDDSNSGYLGCIVPDNCLRPPATPTCTISKTNSYKAPSLPSKALNPGNYPCFDDLNCGFWGDQKPWEFNSDELSAMINNPLMVGDVCMENFYPSANDPGYGSVPQATSSVSCTPSLPPYGDIVDYGYSFF >Manes.15G014600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1220907:1222695:-1 gene:Manes.15G014600.v8.1 transcript:Manes.15G014600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQTLDKPLYEPVKIHGGYALIQRNTSPPQSGERRGRRKQPEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFIYTDNGTFHSLLTPFDIQPFLPPSQFLTGTLQDNKQQEAPTNHNSPPKHEICQNETHNQSSSETCGAETSTESAYDNSFFFSQDDSNSGYLGCIVPDNCLRPPATPTCTISKTNSYKAPSLPSKALNPGNYPCFDDLNCGFWGDQKPWEFNSDELSAMINNPLMVGDVCMENFYPSANDPGYGSVPQATSSVSCTPSLPPYGDIVDYGYSFF >Manes.09G071000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12141295:12145312:1 gene:Manes.09G071000.v8.1 transcript:Manes.09G071000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPCSGISRANNSKKKKKLMIINEKSLDQIKPTSENLEGNSVLSVKEASKHGGSNNTTARTFTFRELATAANNFRAECLLGEGGFGRVYKGHLESTNQVVAIKQLNRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHEISPGVKPLDWNTRMKIAAGAAKGLEYLHDKADPPVIYRDLKCSNILLGKGYHPKLSDFGLAKLGPVGDNTHVSTRIMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRRAIDSSKATGEQNLVAWARPLFKDRQKFCQIADPMLRGQYPSRGLYQALAIAAMCVQEQPNMRPVITDVVTALTYLASQKYDPDVQTVQSPRWVPGTPRTKRTAKGQ >Manes.09G071000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12141351:12145172:1 gene:Manes.09G071000.v8.1 transcript:Manes.09G071000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPCSGISRANNSKKKKKLMIINEKSLDQIKPTSENLEGNSVLSVKEASKHGGSNNTTARTFTFRELATAANNFRAECLLGEGGFGRVYKGHLESTNQVVAIKQLNRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHEISPGVKPLDWNTRMKIAAGAAKGLEYLHDKADPPVIYRDLKCSNILLGKGYHPKLSDFGLAKLGPVGDNTHVSTRIMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRRAIDSSKATGEQNLVAWARPLFKDRQKFCQIADPMLRGQYPSRGLYQALAIAAMCVQEQPNMRPVITDVVTALTYLASQKYDPDVQTVQSPRWVPGTPRTKRTAKGQ >Manes.18G124850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:14146748:14156989:-1 gene:Manes.18G124850.v8.1 transcript:Manes.18G124850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVIFSLAITTKLIAQILLSLSLSISSFLWIGIIDPDYRLIGLQLYDGLFKVTSSYVRLVSSTTRQFSTEWNAASSYSINVATANTTQLTVQWRHWLASEKASKASGAVVRLEEQAALESDGHRWALSYSVGLPFYLGRTMFLLKGNFPLTKKHKQLEGLFNKTGWLKCLGRRRLSVWEFPFLMTKPSLLSVAFPRGWINK >Manes.06G135200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26315934:26320899:1 gene:Manes.06G135200.v8.1 transcript:Manes.06G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRRIKILDSYYPSIYVGEASIFTPKMLAFPSFVVEEESEDLSFALDLLTPKLDPFELFESVSDLIQIDKASSFCSYKRIQKRVGTELYLQTLCDRVSGLESRFDRLINGKVRGEDRKYTLTAEIKGPVERKYKWTAEIKDGKKNKEEKKAGVEKNYKWTAEVKGKEAEHPISRKYTFEVSSGDAGECSGSGKKEKKDKEKKEKKGGNEVRLVEIEEHCDHGVVALRQAFAKRARASKISKGKQTELSRQDAALLIQMTFRAYLIRRSKALRALRELAIAKAKLKEIRALFNNFSYRRQVARDAEERQRFSEKIIVLLLTVDAIEGADLIVRAAKKSMVDELEAMLDVVDPQPPGKSLSIKRRTFDMPDGVNSKEIAEGVAQVVQMIDNDLTSTFEACL >Manes.04G134800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33547425:33553572:-1 gene:Manes.04G134800.v8.1 transcript:Manes.04G134800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEGLSSSPSPKQYGVTKPISMSGPTEADVHRSRELEKFLSDSGLYESQEEAAKRESVLGRIGQIVKDWVKQLTRLRGYTDQMVEDANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFFVLHNILLEMEEVTELQPVPDAHVPVMKFKFDGISVDLLYASISLLVLPDDLDISDVSVLYDVDEPTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVFTQWRWPNPVMLCPIEEDELGFSVWDPRINPRDRTHLMPIITPAYPCMNSSYNVSTSTLRVMMEQFQYGNKICEDIELNKAQWSTLFEPYLFFESYKNYLQVDIVAADVDDLRSWKGWVESRLRQLTLMIERDTFGKLQCHPYAHEYVDTSKPCAHCAFFMGLQRKQGEVIQEGQQFDIRGTVDEFRHSINMYMFWKPGMEIYVYHVRRKQIPSYVFPDGYRRPRHPRVTAHQQSDKPCCEDGGVCHIGSGERCLKRKKDPDEVDLKNDRLEKRCSISPQRRDSISPDIISHKFGGTSPACLAFTEGNEMCEVDKKRFCSDNVEGGCTSNSSAITSLSSEVGSCEDNGNESVAGSSEGNNGSADGSVEGSNNLGYSQSDSGDAYSSPDSVCHLENVCTDGSELFQDRLQEELEPSAAVGMLLKTVGRGDEAALQKTVLSRLSLTSTS >Manes.14G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2716917:2720541:-1 gene:Manes.14G030000.v8.1 transcript:Manes.14G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALALANRDSSRDLSKQPCNCYTFSSLTQTILDAIQISNMKDRYILGEQLGWGQFGVIRMCTDKLTGELFACKSIAKDRLVTSDDARSVRLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHQLEKHGRFSEVEARVLFRHLMQVVLHCHEIGVVHRDLKPENILLATKASYSPIKLADFGLATYINPGQKLRGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGTPPFWGKTKSQIFDAVRAADLRFPSNPWDQITESAKELVRGMLCTDPSQRLTAQQVLDHSWMKDDAICPVQPSHFEKQSCEEWDLGGGSFSTLMARNQDISIGTGSPFVCDTESPTFTCRSSFSSFHAEPSTPSSVSGQLSFHNGGNSDALEFPSPVGSMPSFAFFSHSPVTKQGSCALDFSNNISSLNASYGEVSLEKMLMLPESSIFCAHEAREMEQKPADAKRSGGAIESRMGTHSKRNRTIGLGEGEQLDLMVSESVIRRASCMHLPTALLLSVET >Manes.13G133801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34200419:34201285:-1 gene:Manes.13G133801.v8.1 transcript:Manes.13G133801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERREEGEMPFAGYDWKGLIVQMSLESSLFMSQLWDSSPFSYLHHCHASNLLKIVHDSLRIEILQQFGTCRFKLLLYLI >Manes.03G128600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25567293:25571032:-1 gene:Manes.03G128600.v8.1 transcript:Manes.03G128600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKSKSRELRKQRKFAEQEEVSLLNEWIESQKPDSGSNTLALPPLPSNAPVGRLSDGTFSRYAGSTKFSELPMSKKTLDGLKKSDYVSMTEIQRASLPHALCGRDILGAAKTGSGKTLAFVIPVLEKLHRERWGHEDGVGSIIISPTRELAGQLFDVLKAVGRYHNFSAGLLIGGRKEIDTEKERVNELNILVCTPGRLLQHMDETLNFDCSQVQVLVLDEADRILDVGFKKTLNAIISQLPKHRQTLLFSATQTKSIQDLARLSLKDPEYVGVHEKSTTSTPDRLQQTAMVVPLEQKLDMLWSFIKAHLNSKILVFLSSCKQVKFVFEAFKKLRPGIPLKCLHGKMKQGKRMVIYSQFCEKRSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYVSGGRSVLFLMPSEMKMLEKLQAAKVPIQFIKANNKRLQPVSGLFSALLVKDSDLQDLAKRAFVTYLRSIHIQKDKEVFDVMKLPIDEFSASMGLPMTPKLRFLNQKIKGKMMSGIQLDNADKDIASLATGAFREEDLEGQREELDIGNSGEADVEKGFLLSKETEHEGEEKTSELGPILYVTSTEYC >Manes.03G128600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25566050:25571032:-1 gene:Manes.03G128600.v8.1 transcript:Manes.03G128600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKSKSRELRKQRKFAEQEEVSLLNEWIESQKPDSGSNTLALPPLPSNAPVGRLSDGTFSRYAGSTKFSELPMSKKTLDGLKKSDYVSMTEIQRASLPHALCGRDILGAAKTGSGKTLAFVIPVLEKLHRERWGHEDGVGSIIISPTRELAGQLFDVLKAVGRYHNFSAGLLIGGRKEIDTEKERVNELNILVCTPGRLLQHMDETLNFDCSQVQVLVLDEADRILDVGFKKTLNAIISQLPKHRQTLLFSATQTKSIQDLARLSLKDPEYVGVHEKSTTSTPDRLQQTAMVVPLEQKLDMLWSFIKAHLNSKILVFLSSCKQVKFVFEAFKKLRPGIPLKCLHGKMKQGKRMVIYSQFCEKRSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYVSGGRSVLFLMPSEMKMLEKLQAAKVPIQFIKANNKRLQPVSGLFSALLVKDSDLQDLAKRAFVTYLRSIHIQKDKEVFDVMKLPIDEFSASMGLPMTPKLRFLNQKIKGKMMSGIQLDNADKDIASLATGAFREEDLEGQREELDIGNSGEADVEKGFLLSKETEHEGEEKTSELGPILPGTRVLKKKKLKINIHRPVGTRVVFDEEGNTLPPLARVADGKNVDNSSLLDQGKREEYYKKMREDLKQADKEDKALDRQRRREKRIKEKMKRKKQTSDSEEDRDEEEDVSASEGEKMGDRKPKRSKIYFDSDSDDGKTTEDREKLVKTDSISLAEQEALALKLLSTMH >Manes.03G128600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25566050:25571032:-1 gene:Manes.03G128600.v8.1 transcript:Manes.03G128600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKSKSRELRKQRKFAEQEEVSLLNEWIESQKPDSGSNTLALPPLPSNAPVGRLSDGTFSRYAGSTKFSELPMSKKTLDGLKKSDYVSMTEIQRASLPHALCGRDILGAAKTGSGKTLAFVIPVLEKLHRERWGHEDGVGSIIISPTRELAGQLFDVLKAVGRYHNFSAGLLIGGRKEIDTEKERVNELNILVCTPGRLLQHMDETLNFDCSQVQVLVLDEADRILDVGFKKTLNAIISQLPKHRQTLLFSATQTKSIQDLARLSLKDPEYVGVHEKSTTSTPDRLQQTAMVVPLEQKLDMLWSFIKAHLNSKILVFLSSCKQVKFVFEAFKKLRPGIPLKCLHGKMKQGKRMVIYSQFCEKRSVLFSTDVASRGLDFNKAVDWVVQVDCPEDVASYIHRVGRTARYVSGGRSVLFLMPSEMKMLEKLQAAKVPIQFIKANNKRLQPVSGLFSALLVKDSDLQDLAKRAFVTYLRSIHIQKDKEVFDVMKLPIDEFSASMGLPMTPKLRFLNQKIKGKMMSGIQLDNADKDIASLATGAFREEDLEGQREELDIGNSGEADVEKGFLLSKETEHEGEEKTSELGPILPGTRVLKKKKVADGKNVDNSSLLDQGKREEYYKKMREDLKQADKEDKALDRQRRREKRIKEKMKRKKQTSDSEEDRDEEEDVSASEGEKMGDRKPKRSKIYFDSDSDDGKTTEDREKLVKTDSISLAEQEALALKLLSTMH >Manes.03G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16266989:16269425:1 gene:Manes.03G096600.v8.1 transcript:Manes.03G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRQPTSGKPMNSDNVKAVEDENTQKPSDALPLHLYLTNAICFTVFFWVVYFLLSRWREKIRTSTPLHVVTLSEISAIVALLASFVYLLGFFGIDFVQSLILRPPTDLWTVDDEDEEPAERILLQEDARKLPCGQALDCSLPTPPLSRPIVSSPKAVGPIVLPSPKPKVFDEIPFPATTYLGEEDEETIKSVVAGTIPSYSLESRLGDCKRAAAIRREASQRITGKSLSGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGKEFSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLRDGMTRAPVVRFATAKRAAQLKLYVEDHANFETLSTVFNKSSRFGRLQSIKCAIAGKNLYMRFCCSTGDAMGMNMVSKGVQNVLDFLQNDFPDMDVIGLSGNFCSDKKPAAVNWIEGRGKSVVCEAIIKGDVVKKVLKTNVDALVELNMLKNLTGSAMAGALGGFNAHAGNIVTAIYIATGQDPAQNVESSHCITMMEAVNDGQDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANSRLLASIVAGSVLAGELSLMSAISAGQLVKSHMKYNRASKDAAVSNPSK >Manes.11G165600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33027700:33028312:1 gene:Manes.11G165600.v8.1 transcript:Manes.11G165600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFVSTGCHAEHRCFYQKPSPVDIQSRKVLFVSKEGMYGASILSGKKLAIGDGELRTVPSGPDPLHHNGGTPKKPKTP >Manes.11G165600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33027597:33028296:1 gene:Manes.11G165600.v8.1 transcript:Manes.11G165600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCCFSIDMAFKTKFYALVFTVLVVAIEQASGCHAEHRCFYQKPSPVDIQSRKVLFVSKEGMYGASILSGKKLAIGDGELRTVPSGPDPLHHNGGTPKKPKTP >Manes.04G039900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6161396:6166103:1 gene:Manes.04G039900.v8.1 transcript:Manes.04G039900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSKRQSPRIGSMVEVEEEREIALVTSWKLSNLMLHDSVSLLGLEDQLECIDSKLREIVHLGHLNWSKSLKEIAYDIEEVVDVLVIKASQKIGAGILMRCVLAFTDLIEKYKLCRKLEHIKVDLFELRKLYFSRFAWWRLSKKDLDVGETVISPVIRRVTAIISDGDVTPAVKKQARWLRDEFISLHGFLKAIEVNGLTEGGEAWMEEVYDALRLAEDAIGLFLYEREKLRRTWTGPFKNLALALRKFLSERKLGKEMHKIKAKIQDISVRKLSAIQRRPMRVPIDIVRPMRVPIDIVRPTPYNSFNVDEQPDIPIFDDDIDDIMEMLLRDDPNCLTISIVGMRGIGKTSLAKLIFENHAIINHFPYRVWVPSASMDSLLEQIAREEYEMMAVKYKKRTSTSMDRNDFLYRSRQILNASLKSKKYLIVIDDECRESLWNELGVAVGDLSNGTRILFTARKVGLTPQLSDRNFTYRLQLRSDDESWALFTHSLNKDIPLELLKLRREILRRCGGLPLMIKELAGLLSNKAATTEEWSRVLEQLNQNEGPWYEILYGINRHLPLYLRRCLFYFVLFPEDFEVPARRLIGLWVAEGLGRQKGDQEPPECVSEKCLIELVNQNMIQVTKKKMNGKISRCRLPDALRVHWLPKAREANFLQDNMGINLSMNNTSLIRRLADHLDHKDASFDHIHGNRISSSVYSSYHNVVSFLSFDTQEGSRAGEDVENFLERCISSGSFYFLWVLDLENVYKPKLPKAVGQLTRLRYLGLRSTYMETLPVFIDKLLNLQSLDLKRKCINTVPNSIWKMQSLRQLFLDESFCIMFVPQQEDSSLVDLQTLSGALVDETSPVRNGLGRLSKLTKLGLKCKSSFSSQNEAMSSQLVAVANWVTKMKHLQYLRLKSFDESGLPWDLYLESLLDHKDLCSLYLVGRLKNQHLVSEFPSNLIELTLSASEIAKDPMQTLDKLPNLRILKLLSRSFTGKKMLSRSGGFAKLEILKFWELEALEEWNVEEGALSGLKDLEIRSCRNLKMLPDGLKLVRTLRELKLTRQPELSARIRDNQGEDWNKISHARHIYIED >Manes.08G112600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35163484:35169124:-1 gene:Manes.08G112600.v8.1 transcript:Manes.08G112600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERKRPKGSFFHFFDWNGKSHKKLFMNNSELSEGSKEGKENVESMAKPQLHVTETDDRRANSSNKESSDFRCASSVTSDEGYSTKAPGLVARLMGLDSLPTSNVVEPSSTTVYDSALLRASQYDGSIPNMFSDYNAMEYLNTFSNQEEYSWNSSLSGLQKVQNRPIERFQTEILPPKSARSIPITHHKLLSPIKTPGFIPTKNAVYIMEAAAKIIETSPKATINGKVPSTGASSVPLRIQDLKQKMETARASSEPQRSSALFAAKITKAKHGDKSQSGSQSMPSCNASTFSKKDASSSKNKGKPVPLSVRAKPNLHRREEATSRNNNIKQEKKEISSNQSVKSLPSIQKTKRTSEGRTNNALRQNNQKQNCLSGKASSTLENSVPNQPGRKVQSTSGSVGESRTANKVVLKPESMSRRLRSVVTNSERDKPNNTSRRKQSVKGDNLTERCVSDNAERCVSDNVPFNQDDASLKCKVVLDGSITTAGKNRKNGMDVISFTFTSHVKRTTPNFQPSAIGKCNSSAVDSFGSNDHPYFQKSTSSFPGLSIIEGDALGVLLEQKLQELTNKFGSSECNTIRDDNSVSSTSSLQNSMSKFNAVNIIPAAENRRIQLIEKDKSDHPDNFDCFSVEGPKFTKNQKWQRSEEVEQHSCSCFSEVEKDLECQHPSPVSILEPSFESGSCSNAYEMEGEAELSDSASSISTVDMRRKLTRTFTTTEFMESSDWELDYVRDVLNNAETMLKEFTPSQTQKVITPHLFHQLENQENGVKRNEEDYYKLGRKVLFDCVSERLELMFRQAFVGSCKSWAKLWTLFQRKGWLAEEMVKEISGWKSMGDLMVDELVDKDMSSLYGTWLDFNIEVYEEGVVIEKEILTSLIDELVSDLFIM >Manes.08G112600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35163484:35169124:-1 gene:Manes.08G112600.v8.1 transcript:Manes.08G112600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERKRPKGSFFHFFDWNGKSHKKLFMNNSELSEGSKEGKENVESMAKPQLHVTETDDRRANSSNKESSDFRCASSVTSDEGYSTKAPGLVARLMGLDSLPTSNVVEPSSTTVYDSALLRASQYDGSIPNMFSDYNAMEYLNTFSNQEEYSWNSSLSGLQKVQNRPIERFQTEILPPKSARSIPITHHKLLSPIKTPGFIPTKNAVYIMEAAAKIIETSPKATINGKVPSTGASSVPLRIQDLKQKMETARASSEPQRSSALFAAKITKAKHGDKSQSGSQSMPSCNASTFSKKDASSSKNKGKPVPLSVRAKPNLHRREEATSRNNNIKQEKKEISSNQSVKSLPSIQKTKRTSEGRTNNALRQNNQKQNCLSGKASSTLENSVPNQPGRKVQSTSGSVGESRTANKVVLKPESMSRRLRSVVTNSERDKPNNTSRRKQSVKGDNLTERCVSDNAERCVSDNVPFNQDDASLKCKVVLDGSITTAGKNRKNGMDVISFTFTSHVKRTTPNFQPSAIGKCNSSAVDSFGSNDHPYFQKSTSSFPGLSIIEGDALGVLLEQKLQELTNKFGSSECNTIRDDNSVSSTSSLQNSMSKFNAVNIIPAAENRRIQLIEKDKSDHPDNFDCFSVEGPKFTKNQKWQRSEEVEQHSCSCFSEVEKDLECQHPSPVSILEPSFESGSCSNAYVQSEDLLNDCSTYESLEMEGEAELSDSASSISTVDMRRKLTRTFTTTEFMESSDWELDYVRDVLNNAETMLKEFTPSQTQKVITPHLFHQLENQENGVKRNEEDYYKLGRKVLFDCVSERLELMFRQAFVGSCKSWAKLWTLFQRKGWLAEEMVKEISGWKSMGDLMVDELVDKDMSSLYGTWLDFNIEVYEEGVVIEKEILTSLIDELVSDLFIM >Manes.15G179768.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:17267538:17269398:-1 gene:Manes.15G179768.v8.1 transcript:Manes.15G179768.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDLAKILAAITTKINADDPYHVSNSDAPGFSLVNTPLKGHNYLSWSQSVQVALRAKKKLGFINGKIKAPAPDSDDYDKWWTVDSMVVSWLLNAISKDISDAFVFCKNAKVLWDELKQRYEESNGPMIYKIERDIAGYRQGNNSVTDYYTNLNRKWDELICLTPLPVCCEARTTITDYDNNRWLMQFLMGLGDEYDNREVQTDSTASSKTAAVRLAQRGSSGSRGSGHARNSFSSRKEDRKKQFCSKCKTAGHTIDDCFLIHGYPDWFIEMQQKRGVDIGKYHRANNVLRVATKESPLTSVTPQQKGKFLIANSSTNENDEGDVRNVNFAGTLLNSVFTSINFNCKDNWIVDTGATDHITPYLHFYDHVIKLNPPTTIRLPDNSTRLVTHIETGQITRRDRDRNWTESRRTIGADWASDLPKSLAETAQITLTAEIDSRGGKTAENQISNFQKSKSNSITTNTIPRAMKELLT >Manes.02G104700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8172615:8175421:1 gene:Manes.02G104700.v8.1 transcript:Manes.02G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTLTALAEEKILQESFVRDEDERPKVAYNQFSNEIPVISLAGIDDVGGKRAAICKKIVDACEDWGIFQVIDHGVDAKLISEMTRLAKGFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPLRTRDYSRWPDKPEGWRAVTEEYSEKLMGLACKLLGVLSEAMGLETEALTNACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDDGKTWITVQPVEGAFVVNLGDHGHYLSNGRFRNADHQAVVNSNSSRLSIATFQNPAPEATVYPLKIEEGVKPVMEEPITFAEMYRRKMSKDLELARLKKLAKEKAAAIKDVEKSKLEGKPIEDIFA >Manes.06G163000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29033944:29035765:1 gene:Manes.06G163000.v8.1 transcript:Manes.06G163000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTNSEAKFSVTSIHQSVFKTHSNPQAFSAASPSSASTSSSSSFGHCNSRLPSQSLAYVNSPADGITASASVDVSDRDLFKASNRSKALDIPFLDSFPPGYRFSPFDEELIVHYLDNKVANRPLPKNKIMEVDLYSYNPEDLAVEYRHCGEKEWYFFTPRDKKYPNGSRPRRAARNGFWKATGADKCIKHGNKVVGYRKALVFYTRTIHESKKTNWIMHEYRVSNAPPRTKTSANDMRLDDWVLCRIYKKEGKGNTKNRQSNEEQSPQQLDAAAANVAMGVENESEAPGYTNTLGEYQQHVPMQMQEFPPSFSHVPPLDNNLAMNNYGGHNQAMFGALNYQQGSFVEPKYVSYGAYNIYGADMAPPMLEPIQMVPANQNVFTSRYLNENGCELKARSSEDHICLF >Manes.01G074850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27750660:27751798:1 gene:Manes.01G074850.v8.1 transcript:Manes.01G074850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGPFLVYVLIATLILQFSTLTNSSSATQYIRTSCSNTTYPRLCYHSLSIYASEIKTNPKSLANTALNITLRATKSTSRLMKKMSRIHGLNPREAAAVADCVEVVGDSVYELQRSIGEMGHARGSNFFQVMADVQTWVSAALTDDNTCMDEFAGDSMNGNVKALARRHLVKIAHLTSNALALINNYASSYIN >Manes.15G080100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6127065:6129779:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6131775:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.28.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6128687:6128941:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFSSFFLFHLLGVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLYPTLPIYSTIKE >Manes.15G080100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6130258:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126822:6130093:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6130260:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6127562:6129779:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6130593:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126822:6131775:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6130093:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6129008:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLYPTLPIYSTIKE >Manes.15G080100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6130259:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6129421:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLYPTLPIYSTIKE >Manes.15G080100.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6127065:6128941:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLYPTLPIYSTIKE >Manes.15G080100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126151:6131775:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKITIFLAENELNMSPILCRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G080100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6126822:6131775:1 gene:Manes.15G080100.v8.1 transcript:Manes.15G080100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKFLLISIGLEPSVPFMASSCPLLVFDCSNLGYPHQNLAIFHQNHHQTFEHQSKLLNSPSLQSHQSPPQLILLAMQQNNSGESVY >Manes.15G180136.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22561467:22605455:1 gene:Manes.15G180136.v8.1 transcript:Manes.15G180136.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDHPFRMCVLHHHSHHSVSRPNVCHLFKSDNQIMRIWLPRTSRSLLLCHYVLGHVAFFNEIRRLFLLFRHRLDLGIAGVVLQFGTPSKEIRFYWLWISDLQFSRFGYSTTGKELVRACTEETTDVIIDEIGDNHFFILIDESRDKSIKEKMALVVRFVNKKGQVIERFVNVETCK >Manes.03G069132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9784964:9787935:-1 gene:Manes.03G069132.v8.1 transcript:Manes.03G069132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLGMGLSTSMNARIIGSGSQDLVLAHGFGGEQSLWDKIIPDLAKHFRILVFDWIFSGAVKEDHKDLFDHEKYSSYDAFADDVICLIEEMNLRSPVFVGHSMSGMIGCIAAVKRRDLFKRLVLIGASPRYINTDDYEGGFKKSEIDDFISNIEMDFQNWAAGFASLVVDARDPSSVDKFRNCLANMRPEVALSVAKTVFYSDQRKILDKVLIPCTIVQTTSDIVVPNSVAYYMQKNIKGKSTVEIIETDGHFPHLTAHQQLLDVLKGVLTIST >Manes.02G122542.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9350060:9354109:1 gene:Manes.02G122542.v8.1 transcript:Manes.02G122542.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMLLCSMHSNNSRKKKKKKQQGHRSELETEGNDLGLFYTFPFDRDPVVVKPSRLNLRSDYIPSRGEVKPKNKGEMANKVSNFSDLIQRVAASCLLHPLAAGRHDSGNLARDEREVYEYETDEREEFEEGEKVDVEEEVGEKKGSFRAWDQEKNKGGMVAIERVMEMEMLINEAFDSVSAMKRAYVSLQEAHCPWDPERMRVADVAVVGELRRLGVLRERFRRRVSIGGYEGRKRNDGGDGVGMLREVVAPYEAAVEELKREVKSREVEVENLKGKLKTLSTSLSNGNGKKGRSQSKRKVSCSQVAQVAAAPAPDLFEATMNQVKETSKSFTSLLLSLMRAAHWDIAAAVRSIEAAAATTENNATNNHATAIASTIVTHHAKYALESYISRKLFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYRDMKAMDPIELLGILPTCHFGKFCFRKYVAIVHPKMEESLFGNLEQRQQVLAGSHPRSQFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAELHPQYMESVVKFSSGGTPAGQIVGFPVSPGFKLGNGSVIKARVYLVPRT >Manes.02G122542.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9351376:9354110:1 gene:Manes.02G122542.v8.1 transcript:Manes.02G122542.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVSNFSDLIQRVAASCLLHPLAAGRHDSGNLARDEREVYEYETDEREEFEEGEKVDVEEEVGEKKGSFRAWDQEKNKGGMVAIERVMEMEMLINEAFDSVSAMKRAYVSLQEAHCPWDPERMRVADVAVVGELRRLGVLRERFRRRVSIGGYEGRKRNDGGDGVGMLREVVAPYEAAVEELKREVKSREVEVENLKGKLKTLSTSLSNGNGKKGRSQSKRKVSCSQVAQVAAAPAPDLFEATMNQVKETSKSFTSLLLSLMRAAHWDIAAAVRSIEAAAATTENNATNNHATAIASTIVTHHAKYALESYISRKLFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYRDMKAMDPIELLGILPTCHFGKFCFRKYVAIVHPKMEESLFGNLEQRQQVLAGSHPRSQFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAELHPQYMESVVKFSSGGTPAGQIVGFPVSPGFKLGNGSVIKARVYLVPRT >Manes.02G122542.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9350060:9354119:1 gene:Manes.02G122542.v8.1 transcript:Manes.02G122542.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVSNFSDLIQRVAASCLLHPLAAGRHDSGNLARDEREVYEYETDEREEFEEGEKVDVEEEVGEKKGSFRAWDQEKNKGGMVAIERVMEMEMLINEAFDSVSAMKRAYVSLQEAHCPWDPERMRVADVAVVGELRRLGVLRERFRRRVSIGGYEGRKRNDGGDGVGMLREVVAPYEAAVEELKREVKSREVEVENLKGKLKTLSTSLSNGNGKKGRSQSKRKVSCSQVAQVAAAPAPDLFEATMNQVKETSKSFTSLLLSLMRAAHWDIAAAVRSIEAAAATTENNATNNHATAIASTIVTHHAKYALESYISRKLFQGFDHETFYMDGSLSSLLNPDQFRRDCFTQYRDMKAMDPIELLGILPTCHFGKFCFRKYVAIVHPKMEESLFGNLEQRQQVLAGSHPRSQFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAELHPQYMESVVKFSSGGTPAGQIVGFPVSPGFKLGNGSVIKARVYLVPRT >Manes.13G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35630865:35633440:1 gene:Manes.13G142300.v8.1 transcript:Manes.13G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVLGFVLCLLPLLFCSLKLFHAIWWRPKMIEKKLRKEGIHVLPYNFIHGNLKEMIKLASEAKSKPMELTHDIAYRVDPFLLQLSILYKKPFAVWYGIVPRVTIMDPKLIREILTRKFEFRKPEVSSTMKFFLKGLANIDGDKWAKHRKIINPAFHIEKLKGMLPSFMASCEEMVEKWEKLIDSSANSCELDVFPEFQNLTGDVISRAAFGSNLQEGRLIFSLQRKQAALFLQSIFSLNSIWSRFLPSKLHKRMIKIHQEVRALLSGLIESREKAILSGNGCKDDLLNLLLKSNLNEIQQNKDLGMSREDVIEECKLFYFAGHETTANLLAWTMIVLSMHQSWQEKARQEVLQLIGKNNPTFDELNHLKIMNMILLEILRLYPPTSLVRSIKEETKLGEYSFPGGVSLLIPVYLIHRDPELWGEDATEFNPERFSDGISKATKDSQSSFFSFGWGPRMCIGQNFAMLEAKLALALILQHFSFELSPSYKHSPNVALTLQPQFGAQIIIHKI >Manes.16G089900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29645996:29655909:1 gene:Manes.16G089900.v8.1 transcript:Manes.16G089900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHAQGPSRNHCSLLAVLCSKTPDSKQKQHPSGDKSRYPFPELASSGRLEVQLLTNPGAGDFRRVLQSSEPNIVYLQGEIIENGEEIGSFRWGDVDLSTSEILCELFGSILPATVYLEISNGEKLAEALHSKGVPYVIYWKSKLSCYAASHFRQALLSVVQSSCSHTCDAFQLAHASFRLYCARSNNVVTSNGQKMSGKPGPHLLGDPPKIDITLPEAEVQEDEESSSGTLPAIKIYDDDVTMKFLVCGLPCTLDACLLGSLEDGLSALLNIEIRGSKLHNRTSAPPPPLQAGTFSRGVVTMRCDLSTCSSAHISLLVSGSAQTCFNDQLLENHIKNELIENSQLVHALPSSEESKLPMSEPRRSASIACGASVFEVSLKVPAWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCSNKGKELYANNLVLTKPPSWLIPPPPSRKRSEPCREAKLFTPIGHERENGGNIKQKLNVAAMRPIPHTRRHKMLPFSGFSEGERFDGDQGKPNLPVAPAKHGAVGPAPASHRKSLSSSYQAQQIISLNPLPLKKHGCGRAPIQACSEEEFLRDVMQFLILRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSVTNFRKKSQKTANGY >Manes.16G089900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29645996:29655909:1 gene:Manes.16G089900.v8.1 transcript:Manes.16G089900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHAQGPSRNHCSLLAVLCSKTPDSKQKQHPSGDKSRYPFPELASSGRLEVQLLTNPGAGDFRRVLQSSEPNIVYLQGEIIENGEEIGSFRWGDVDLSTSEILCELFGSILPATVYLEISNGEKLAEALHSKGVPYVIYWKSKLSCYAASHFRQALLSVVQSSCSHTCDAFQLAHASFRLYCARSNNVVTSNGQKMSGKPGPHLLGDPPKIDITLPEAEVQEDEESSSGTLPAIKIYDDDVTMKFLVCGLPCTLDACLLGSLEDGLSALLNIEIRGSKLHNRTSAPPPPLQAGTFSRGVVTMRCDLSTCSSAHISLLVSGSAQTCFNDQLLENHIKNELIENSQLVHALPSSEESKLPMSEPRRSASIACGASVFEVSLKVPAWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDAERLLFFCSNKGKELYANNLVLTKPPSWLIPPPPSRKRSEPCREAKLFTPIGHERENGGNIKQKLNVAAMRPIPHTRRHKMLPFSGFSEGERFDGDQGKPNLPVAPAKHGAVGPAPASHRKSLSSSYQAQQIISLNPLPLKKHGCGRAPIQACSEEEFLRDVMQFLILRGHTRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSVTNFRKKSQKTANGY >Manes.03G055600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5407445:5408884:-1 gene:Manes.03G055600.v8.1 transcript:Manes.03G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVAAPACPLATLPSSTPRVSAYAGQQKSFSFNGSPLFSGLKHVPKIQFSKAAKISSTRSRCFRTTISCSAKPETLQIVQDTIAKQLSIEASTVAPETKFADLGADSLDTVEIMMALEEQFGVSIGEGGAENISTVQDAADLIEKLLAASA >Manes.04G063968.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24163246:24163803:1 gene:Manes.04G063968.v8.1 transcript:Manes.04G063968.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFIELLKVLASCNEEINNVVLKNALENLKLIAPFIQKDIINACAVETPNAIIRDLGDDLFFILVDECQDVSVKEQMGVAIRYVNKFGDTSASSLKKAIESLFSTHGLSASSLRGQRYNGASNMRGEFNGFKSLILRKNSSVYYIHCFAHQLQLTLVAIAKKHSSISFFFF >Manes.01G229500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39432731:39434121:-1 gene:Manes.01G229500.v8.1 transcript:Manes.01G229500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLYLFFIGGMVLLLHCSSRGCKASDCQPNTISTITVDISGHGNFTSVQSAIDFVPEGNTQWILAIPVNKSCIFLDGAGRELTSIEWGDHEETHTSATFTSYPDNIVAKGIKFKNTYNLPDGLNKIDIMKEELIWKQAVAARILGDKCAFYECGFVGLQDTLWDEEGRHYFNSCYIEGSVDFIFGQGQSIYEGCEISVNIGRFAPGVTGYITAQRKQQPQDSNGFVFKNCNISGTGTVDLGRAWGPYSTVVFYNSTMSDVIAPEGWNAWNFVNHEANFTYVEEDNKGPGADTSKRVPWEKKLDPNELQKFLNMSYVDEDGWLSKTPDLTFYY >Manes.08G021900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2212847:2214393:-1 gene:Manes.08G021900.v8.1 transcript:Manes.08G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMGSNSAEGEGDQHVVKIWEINKDRLNLMHQKMANPPTILTKSAAKSSCCIFRVPQSFIEINGKSYQPHILSIGPYHHGEPHLMMIEEHKWRYLDSLLSRIQSKGLHLEDLLKAVEALEMKARQCYSETIRFGTDELVEMMVLDGCFIIELFRKVGDVVQVEADDPLFTMQWIITFFYRDLLRLENQIPYFLLECLFDLSSMSAEDESGPSLSTLALNFFNYALHRPEDAIAKHANLKGRHLLDLVRSSYIDVDQTQPPKYDTPSHIIHCVSKLRRAGIQLTQGKEDSFLVVKFKHGVIEMPTITIDDTMRSFLLNCVAYEQCHNGSSKHLTTYATLLDCLVNTYKDVEYLCDHNIIENYFGTDAEVAKFINNLGKEVAFDIDMSYLAELFKDVNQYYKNSWHVQWAGFKYTYFDTPWSFISALAALILLLLTVAQTFYTVYGTYKKEPS >Manes.14G072900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6039719:6044330:1 gene:Manes.14G072900.v8.1 transcript:Manes.14G072900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKSETRLDKFDMFVSLSRQRSIQILTGVGFLYIVLVTLEIPFVFNTGFSVVSQETLTYPSMLKSQEDLQGRDAPTRPLNWVSHNAAQPTQSQTTNRHFKMLSSLNFDPKTFDPTKKDGSVELHRAAKTAWEMGRKLWDGIESGKVQLLEVKKTQNLSESCPHSVYLSGLDFLKRGKVVELPCGLTLGSYVTVVGKPRAAHAENDPKISLAKDDGEPVMVSQFQMELQGLKIVEGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGTSLRCEGWKSRADEETVDDQVKCEKWIRDDDDHSEESKATWWLSRLIGRTKKVSVDWPFPFVEDKLFVLTLSAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSVNGDIDVHSIFAASLPTAHPSFAPQRHLEMTDRWRAPPLPQGSAELFIGILSAGNHFAERMAVRKSWMQHRLIKSSNVVARFFVALHSRKEVNFELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVHAKYIMKCDDDTFVRVDAVINEARKVPEDRSLYIGNVNYYHKPLRYGKWAVTYEEWPEEDYPPYANGPGYILSSDIAQFIVSEFEKHKLRLFKMEDVSMGMWVEQFNSSKPVEYVHSLKYCQFGCFEGYFTAHYQSPRQMICLWNKLHTLGRPLCCNMR >Manes.02G205251.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:17861133:17861920:-1 gene:Manes.02G205251.v8.1 transcript:Manes.02G205251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTTTVTKMRTTKTTKTMMRGARTTKTTRFRSYNPPEGHRFSRRMTTRTTMVKAETTMTMVKAETTMTMVKAETTMMMVKAETTMTMVKAETTMTMTMTRMKTVKKSHLGCEFASLRVFICDFWVGVAMVFNIIFIKLFC >Manes.13G121200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32960920:32962925:1 gene:Manes.13G121200.v8.1 transcript:Manes.13G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFPSSLANLHTKSERESETDNRDATQFLPKNKKMPSAPSITLISKCRVFPDQKSTMEDLKLSVSDLYLLPRHYIQKGCLFTRPSIPIESLVFLLKNSLSQTLSHFPPLAGRFKTDPNGYIYITCNDAGVDFLHATATHLSIRDILSPVHVPECVKSFFAFDRTVSYDGHFKPILAVQVTELADGVFIGCALNHSVTDGTSFWNFFNTFADISRGIKKICRMPDFSRNSVLISPAVPQVPEGGPKVTFDENEPLSERIFSFSREAILKLKARANNKKWIQNSGIDTVELMGKQSNDLLCNQINGKTMTTILENSSKNAVSKPQGMESNKTPPPPAAAPAEISSFQSLCALLWKAVTRARKLSPDKTTTFRMSVNCRHRLNPKLDPLYFGNAIQGVYTYASAGDVLSQDLRWCAEQLNKNVIAHNDEMVRRFVENWEKNPRCFPLGNFDGATMTVASSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGREGGGSVDLEVTLSPETMAGIECDDEFMQYVSN >Manes.14G124400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9852456:9853432:1 gene:Manes.14G124400.v8.1 transcript:Manes.14G124400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNIILFFSFLVSSFSSALASDPSSLQDFCVADANNTALVNGFACKDPKTVEADDFSFSGLHLPGNTSNPVGSKVTLINVAKIPGLNTLGISLARIDYAPWGINPPHFHPRASEIFTVIKGSLQVGFVTSNPENRFITKILHQGDVFVFPIGLIHFQRNLGDDNALAISSLSSQNPGVMTIANAVFGSTPDIPSDVLAKAFQVDKGVVEYLQTKF >Manes.02G111100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8603097:8604561:-1 gene:Manes.02G111100.v8.1 transcript:Manes.02G111100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRTSRKWFDMVRRKFFRSSSHTNSIVLDSNACSSPHDGTKTDETASYVELISEISLISNKQLTQEDLAAMKIQAIFRGHLARRAFRALRSLVKVQALVRGAYVRKQTRIALHCMQALVRLQVRIRARQLQLLGKCD >Manes.02G111100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8603134:8604561:-1 gene:Manes.02G111100.v8.1 transcript:Manes.02G111100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRTSRKWFDMVRRKFFRSSSHTNSIVLDSNACSSPHDGTKTDETASYVELISEISLISNKQLTQEDLAAMKIQAIFRGHLARRAFRALRSLVKVQALVRGAYVRKQTRIALHCMQALVRLQVRIRARFGGDPSFLSCNLQFCSPLIGLVS >Manes.03G069632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10455031:10457775:-1 gene:Manes.03G069632.v8.1 transcript:Manes.03G069632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPIVKFSLYNNPQRLSIFIIPHSCTPLSLSPSFPPFPSARRDTAMAAVTNVPSMLDSTFDADKLTFEIFSILENQFLFGGEYDNPKLSVPQTTHQISSKYVTDSKVRILSIDGGGTASGILAAKSLVHLESCLRAKSGNSNASIADYFDVVAGSGAGGILAALLFTRGKDHARPLFTADEALRFMIENRRKFNQSTSSRGILRRIFRPGKVEKLLRSTFGECTLKDTIKSVLIPCYDLSTRAPFVFSRADALEMDGYDFKMSDVCLATSADPTVNGAVEMRSVDKKTKIVAVDGGIAMNNPTAAAITHVLNNKQEFPLCKGVEDLLVVSLGGGESDFGIENLNSAAAPFARVAGEGASDMVDQAVSMAFGQCRTSNYVRIQGNGIMAKKQDDIMEKTKKSQQKKADILSKAEEMLEQKNVESVLFQGRKIVESTNLEKLQSFAGELIKEQEKRKTSILPTVVLKQHPSSSPRTSSATTLSTSSSC >Manes.03G000700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168101:173125:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168101:173143:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMARTHAEKREGSGNGLVAVAVDKDKSSQNALKWAIDHVLQRGQGIILIHVKVKSSSQGSSHHLSTPRLNRISDASAECMLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168101:173125:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMARTHAEKREGSGNGLVAVAVDKDKSSQNALKWAIDHVLQRGQGIILIHVKVKSSSQGSSHHLSTPRLNRISDASAECMLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168101:173125:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMARTHAEKREGSGNGLVAVAVDKDKSSQNALKWAIDHVLQRGQGIILIHVKVKSSSQGSSHHLSTPRLNRISDASAECMLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168101:173125:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168101:173125:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168089:173143:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMARTHAEKREGSGNGLVAVAVDKDKSSQNALKWAIDHVLQRGQGIILIHVKVKSSSQGSSHHLSTPRLNRISDASAECMLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168101:173125:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168089:173143:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMARTHAEKREGSGNGLVAVAVDKDKSSQNALKWAIDHVLQRGQGIILIHVKVKSSSQGSSHHLSTPRLNRISDASAECMLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.03G000700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:168101:173125:-1 gene:Manes.03G000700.v8.1 transcript:Manes.03G000700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMARTHAEKREGSGNGLVAVAVDKDKSSQNALKWAIDHVLQRGQGIILIHVKVKSSSQGSSHHLSTPRLNRISDASAECMLACRDQDPQTRELFLPFRCFCSRKDIQCKDVVLEDTDIAKAIIEYASHAAIETLIVGASNKTGFLRRFKVTDVPGSILKGAPDFSTVYVISKGKIQSTRSASRPAPATSPLHTQLFNQGSTKPAPVEPVPQIPCNRKGSERPPLEPPRRSSDESEFFKSPFTRKGYNAKSYGELSVPDTDISFVSSGRPSVDRLFPAFYDAAEVGRTTNRLSNVSDMDSSCSYESMQYGRKSIDFGPPSELSIMSYESDRLSTASQPIDDVEDEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKMEEERRLEEARLAEEAALAIVEQEKAKSRAAIEAAEAAQRIAEIEAQKRVNAEKKAHRESAEKSKALDALAHSDVRYRKYTIDEIEAATEFFSGTRKIGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDRLFRRGNTPALSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPKVADNVTQYRMTSTAGTFCYIDPEYQQTGMLCIKSDIYSLGIMFLQILTAKPPMGLSHHVERSLGKDTFAQMVDPAVPDWPIEEAKCFARLALKCAELRRKDRPDLAKVILPELNRLRELAEENMHLELPTHSPGHSTNTSQVSVQLSDAVLSDVSSRNLSNIVESQI >Manes.14G022601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2197537:2198523:1 gene:Manes.14G022601.v8.1 transcript:Manes.14G022601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQGSIFNFVLLVIFEYCSHWDFYGSLVCVAAFLASIVGGLYCRFFDDWFNKRDELQKNISSFGKPMPPDGSGMLRSTRPKCARATRSPARCQQRTPINRIQVADNNNPQKALDRLAIIPTLTWRNAGARNPMLNTKEKESHFIKKCDWLSTPPQSFPRLHRFSFFSVILTPALVLPTQLSGYFVI >Manes.18G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5151688:5159928:1 gene:Manes.18G058100.v8.1 transcript:Manes.18G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSDLVNFVESLIGVSLGSSVSDSVLLIVTTSFAVIVGLLVLSWKRSSDRSKELKPVVIPKSLSVKDEEDEAELAGRIKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYAMDDDQYEEKLKKETLALFMVATYGDGEPTDNAARFYKWFTEESERGVWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQLSEQGAKRLIPVGLGDDDQCIEDDFTAWRELLWPELDQLLRDEDDGNGGSTPYTAAIPEYRVVIHDTSVTYCEDKSTYLANGNTSFDIHHPCRVNVSVQKELHKSESDRSCIHLEFDLSGTGIIYETGDHVGVYAENSDETVEAAGKLLGQPLGLLFSVHTDKEDGTSLGSSLPPAFPGPCTLRSALARYADLLNPPRKASLIALAAHASEPSEAERLKFLSSPQGKDEYSQWVVASQRSLLEVMAEFPSARPPLGVFFAAVAPRLQPRYYSISSSPRFASNRVHVTCALVYGPTPSGRTHKGVCSTWMKNAIPLEKSSACSWAPIFIRTSNFKLPSDPSIPIIMVGPGTGLAPFRGFLQERMALKEEGAQLGPALLFFGCRNRRMDFIYEDELNNFVEQGVISELIVAFSREGPQKEYVQHKMLEKAAQIWSIISQGGYLYVCGDAKGMARDVHRTLHTIVQEQGNLDSSKTESMVKKLQMDGRYLRDVW >Manes.15G092300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7173566:7176854:-1 gene:Manes.15G092300.v8.1 transcript:Manes.15G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFFTHSLLCRPTSSNKGLIISFLSRSISSLPTPNPASRSLSLLRGLRPLSAAASLTSSNLSTALTTRSFATRTTTSSLNDPSPNWSNRPPKETILLDGCDFEHWLVVVEKPEGDPTRDEIIDSYIKTLAMVVGSEEEARKKIYSVSTRCYYAFGALVSEELSLKIKELPRVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNFDRSRNYERRRENMQNRDFQNTRAAPMDNQGMQNPASNIAGMPQNNMNGPGAPPPPPPNNYMRGPPPPPPNNYMGRPPPPNNYMGGPPPPPPPNNYGGGPQNMGGMPQNNFGGMPTSNMGGVPQGPGWTNNMPGNMQQNFPTGPNNGGMPHQGAPSDYQNNYS >Manes.04G064801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25739250:25740363:-1 gene:Manes.04G064801.v8.1 transcript:Manes.04G064801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMRRHVWPPNVTWPATIKGSLSRKRASFFPISSQDF >Manes.01G092400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29382484:29389407:1 gene:Manes.01G092400.v8.1 transcript:Manes.01G092400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHQAAKMGFPLMNLVRFKGVSILEQLHLEEKLLRTSSENWCIINDGTNIPTIVMGISGKPSELIEVGPVLQDHVPVIKRFTGGGTVIVDSGTIFVTLICNKDDVPGVKPYPRSIMSWSGLLYSEVFQGIGGFQLRENDYVFGDKKFGGNAQCIIKSRWIHHTSFLWDFDNRNMAYLKLPARAPKYRSARKHTEFVCRMKEYMPRSIFIERTLKALESHFSVKPVNLDAVDGLHSIRYVSSTRLLLRRELEDARASLLESRVLGS >Manes.10G138900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30656140:30659307:1 gene:Manes.10G138900.v8.1 transcript:Manes.10G138900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGKVVCVTGGSGYIASWLVKILLQRGYVVKTTVRDPGDPKKTDHLLALDGAKERLHLFKANLLEEGSFDAAVDGCEGVFHTASPVLFAATDPQAELIDPAVKGTLNVLKACTKVKSVKRVIITSSMATIPYNGKPLHLDVVVDETWFSDPAVCKELKLWYVLSKTLAEEAAWKFAKENSIDLVTINPGFVSGPPLQPTLNGTVEMILNLVNGAQTYPDANYRSVDVRDVAYAHIRAFEIPSANGRYCLVTNALHYSEVLKIIHQQYPTLPLPAKCVTHSLNNLPVQKYMVSMEKAKTLGISFIPLEVTLRDTIESLKEKGFLSI >Manes.10G138900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30656140:30659307:1 gene:Manes.10G138900.v8.1 transcript:Manes.10G138900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGKVVCVTGGSGYIASWLVKILLQRGYVVKTTVRDPGDPKKTDHLLALDGAKERLHLFKANLLEEGSFDAAVDGCEGVFHTASPVLFAATDPQLSFVSSINIFFIELHELQEIFPFYFSGFQAELIDPAVKGTLNVLKACTKVKSVKRVIITSSMATIPYNGKPLHLDVVVDETWFSDPAVCKELKLWYVLSKTLAEEAAWKFAKENSIDLVTINPGFVSGPPLQPTLNGTVEMILNLVNGAQTYPDANYRSVDVRDVAYAHIRAFEIPSANGRYCLVTNALHYSEVLKIIHQQYPTLPLPAKCVTHSLNNLPVQKYMVSMEKAKTLGISFIPLEVTLRDTIESLKEKGFLSI >Manes.10G138900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30656140:30659307:1 gene:Manes.10G138900.v8.1 transcript:Manes.10G138900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGKVVCVTGGSGYIASWLVKILLQRGYVVKTTVRDPGDPKKTDHLLALDGAKERLHLFKANLLEEGSFDAAVDGCEGVFHTASPVLFAATDPQLWYVLSKTLAEEAAWKFAKENSIDLVTINPGFVSGPPLQPTLNGTVEMILNLVNGAQTYPDANYRSVDVRDVAYAHIRAFEIPSANGRYCLVTNALHYSEVLKIIHQQYPTLPLPAKCVTHSLNNLPVQKYMVSMEKAKTLGISFIPLEVTLRDTIESLKEKGFLSI >Manes.03G122050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24854277:24854916:1 gene:Manes.03G122050.v8.1 transcript:Manes.03G122050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGHGQVIKSRVVKVDSEQSWDFFISQATNQGCPVVVHFTASWCIPSIAMNPFFEELAFTYQDLLFLTVDVDEVKGVARKMEVKAMPTFVLMKEGAQADRLVGANPDEMRRRINAFVHSIRNGLSKSDTP >Manes.08G074300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14888605:14892278:1 gene:Manes.08G074300.v8.1 transcript:Manes.08G074300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNIHKAPSGQAGSSSLNFKRKPVVIIVVGMAGSGKTTFLHRLVCHTQASNVRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVVSTIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTIIAYVVDTPRSSSPATFMSNMLYACSILYKTRLPLVLAFNKTDVAQHQFALEWMEDFEAFQAAVSTDHSYTSTLTASLSLVLDEFYKNLKSVGVSAVSGAGMDAFFKAIEASVQEYMETYKAELDKRRAEKQRLEEERRRENMEKLRKDMEKSGGETVVLSTGLKDKQVTGDTMMDEEEEEEDDEFERFSEEEDAVDEDEDEEVARFSF >Manes.08G074300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14887635:14892278:1 gene:Manes.08G074300.v8.1 transcript:Manes.08G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPDLDKMNIKDANEGSSMQLDSKGTLEEKEEITKSMDKLNIHKAPSGQAGSSSLNFKRKPVVIIVVGMAGSGKTTFLHRLVCHTQASNVRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKQFNLGPNGGILTSLNLFATKFDEVVSTIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTIIAYVVDTPRSSSPATFMSNMLYACSILYKTRLPLVLAFNKTDVAQHQFALEWMEDFEAFQAAVSTDHSYTSTLTASLSLVLDEFYKNLKSVGVSAVSGAGMDAFFKAIEASVQEYMETYKAELDKRRAEKQRLEEERRRENMEKLRKDMEKSGGETVVLSTGLKDKQVTGDTMMDEEEEEEDDEFERFSEEEDAVDEDEDEEVARFSF >Manes.16G020900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2330755:2336809:-1 gene:Manes.16G020900.v8.1 transcript:Manes.16G020900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRFTERSSSMVREKRGLDSSSGDEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPTKLSGRSSPKCIGGPDGKNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLIDANTGRVVTSGPESAVKLDIIVLEGDFNNEDDDNWTQEEFESHVVKEREGKRPLLTGDLQVILKDGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIFTVEDFLRLVVRDSQRLRNILGSGMSNKMWDVLVEHSKTCVLSGKLYVYYPDDAKNVGVVFNNIYELTGLIANGEFYSAESLSDDQKVYVDGLVKQAYENWIHVIEYDGQTLLDFKQNQNIASSQSDLPIGQQDLLSSYDHHVTLPTLSVPVPQDQPAMHSGPTVEGYNDSMSARFSMQPQNGNPNTPFQFDATSLPLQNSLVGTSQHAQFQRSDNLLALAPPQSSTSSFQGVCTPNLTSYRGAEDFFQEEEIRMRSHEMLENEDMQHLLRIFNMGGQGLPSFNANEDGYPYSSAYMSAPSPNYSFSDDQSRSSGKAVIGWLKLKAALRWGIFIRKKAAERRAQLVELDDL >Manes.16G020900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2330834:2336816:-1 gene:Manes.16G020900.v8.1 transcript:Manes.16G020900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRFTERSSSMVREKRGLDSSSGDEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPTKLSGRSSPKCIGGPDGKNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLIDANTGRVVTSGPESAVKLDIIVLEGDFNNEDDDNWTQEEFESHVVKEREGKRPLLTGDLQVILKDGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIFTVEDFLRLVVRDSQRLRNILGSGMSNKMWDVLVEHSKTCVLSGKLYVYYPDDAKNVGVVFNNIYELTGLIANGEFYSAESLSDDQKVYVDGLVKQAYENWIHVIEYDGQTLLDFKQNQNIASSQSDLPIGQQDLLSSYDHHVTLPTLSVPVPQDQPAMHSGPTVEGYNDSMSARFSMQPQNGNPNTPFQFDATSLPLQNSLVGTSQHAQFQRSDNLLALAPPQSSTSSFQGVCTPNLTSYRGAEDFFQEEEIRMRSHEMLENEDMQHLLRIFNMGGQGLPSFNANEDGYPYSSAYMSAPSPNYSFSDDQSRSSGKAVIGWLKLKAALRWGIFIRKKAAERRAQLVELDDL >Manes.16G020900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2330847:2336809:-1 gene:Manes.16G020900.v8.1 transcript:Manes.16G020900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRFTERSSSMVREKRGLDSSSGDEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPTKLSGRSSPKCIGGPDGKNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLIDANTGRVVTSGPESAVKLDIIVLEGDFNNEDDDNWTQEEFESHVVKEREGKRPLLTGDLQVILKDGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIFTVEDFLRLVVRDSQRLRNILGSGMSNKMWDVLVEHSKTCVLSGKLYVYYPDDAKNVGVVFNNIYELTGLIANGEFYSAESLSDDQKVYVDGLVKQAYENWIHVIEYDGQTLLDFKQNQNIASSQSDLPIGQQDLLSSYDHHVTLPTLSVPVPQDQPAMHSGPTVEGYNDSMSARFSMQPQNGNPNTPFQFDATSLPLQNSLVGTSQHAQFQRSDNLLALAPPQSSTSSFQGVCTPNLTSYRGAEDFFQEEEIRMRSHEMLENEDMQHLLRIFNMGGQGLPSFNANEDGYPYSSAYMSAPSPNYSFSDDQSRSSGKAVIGWLKLKAALRWGIFIRKKAAERRAQLVELDDL >Manes.08G017000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732057:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGCSTFQSGDLAKTDKAIDGILGFGQGDLSVISQLSSHGVTPRVFSHCLKGEGNGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYTKLHYGASVFRRFKEEG >Manes.08G017000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732056:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGIDGSSTPLLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGCSTFQSGDLAKTDKAIDGILGFGQGDLSVISQLSSHGVTPRVFSHCLKGEGNGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYPDEAPLWCIGFQKVQGGGLTILGDLILKDKIFVYDLAHQRIGWANYDCSLSVNVSVTSSKDFINAGQMSVSGSSSDMLFKLFPLSIIALLMHILALMNLQNFVI >Manes.08G017000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732058:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGIDGSSTPLLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYPDEAPLWCIGFQKVQGGGLTILGDLILKDKIFVYDLAHQRIGWANYDCSLSVNVSVTSSKDFINAGQMSVSGSSSDMLFKLFPLSIIALLMHILALMNLQNFVI >Manes.08G017000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732057:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGIDGSSTPLLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGCSTFQSGDLAKTDKAIDGILGFGQGDLSVISQLSSHGVTPRVFSHCLKGEGNGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYCNCINDNRSQPCCSQTKLHYGASVFRRFKEEG >Manes.08G017000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732058:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGIDGSSTPLLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGCSTFQSGDLAKTDKAIDGILGFGQGDLSVISQLSSHGVTPRVFSHCLKGEGNGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYILF >Manes.08G017000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732058:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGCSTFQSGDLAKTDKAIDGILGFGQGDLSVISQLSSHGVTPRVFSHCLKGEGNGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYPDEAPLWCIGFQKVQGGGLTILGDLILKDKIFVYDLAHQRIGWANYDCSLSVNVSVTSSKDFINAGQMSVSGSSSDMLFKLFPLSIIALLMHILALMNLQNFVI >Manes.08G017000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732057:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGIDGSSTPLLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGCSTFQSGDLAKTDKAIDGILGFGQGDLSVISQLSSHGVTPRVFSHCLKGEGNGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYTKLHYGASVFRRFKEEG >Manes.08G017000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732058:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGCSTFQSGDLAKTDKAIDGILGFGQGDLSVISQLSSHGVTPRVFSHCLKGEGNGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYPDEAPLWCIGFQKVQGGGLTILGDLILKDKIFVYDLAHQRIGWANYDCEFDIYFLHPCSGAYSFAISSIMFH >Manes.08G017000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1732058:1737447:1 gene:Manes.08G017000.v8.1 transcript:Manes.08G017000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWRFLILAASLLTMSVVSCATFLPLHRAFPLNHTLELDQLRARDRVRHARLLQDVVGGVVDFSVRGSPDPYLIGLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGVQLNYFDTASSSTAGLVPCSHPICTSEMQTAATECSPQSTLCSYSFQYGDGSGTTGFYVSDTFYFDAVLGESLISNSSAVIVFGPHYNLNLQSIAVNGQLLSIDPAAFVTSSNRGTIVDSGTTLAYLVQEAYDPFVSAVTAMVQPYATPTIYRGDQCYRVSNSISEVFPPVSLNFAGGASMLLKPEDYLIRVGYPDEAPLWCIGFQKVQGGGLTILGDLILKDKIFVYDLAHQRIGWANYDCSLSVNVSVTSSKDFINAGQMSVSGSSSDMLFKLFPLSIIALLMHILALMNLQNFVI >Manes.S008829.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:61309:61437:1 gene:Manes.S008829.v8.1 transcript:Manes.S008829.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRPQVRREHPLSLSISISGGKETYQDSPSNGERTGKSPA >Manes.15G186000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26597257:26598884:1 gene:Manes.15G186000.v8.1 transcript:Manes.15G186000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVMVMRLFSSASSLPTLQPSKDAQLLSQILVHHYNPFHAMESSLQLHGFSLTPHLLHQTLLRLCHHSKIALSLFQYALSIPSSSSIVTSATYNIMIDILAKVHQFDVSWQLIVQMEQTNVQPTSHTFLILIRRLIAAGLTRKIFTHFCFLLDTLCKYGYVKVAVEIFNKRKSTFCPSVRMYTVLICGWCKIGRIDMAERFLREIDERRIGPNVVTYNVLLDGICRRARSHPEDRFERTIMSAEKVFDEMYQRGNESGVTSFSILLHLRLMQEKGICLTVATYTSVVKSLCSCGRIENAEELLNEKVRNGISPNATSYNCFFKEYRGRKDAESAMKLYRKMREDNLCVLSTHTYNILLGIFMKMNRMNIVNDIWNDLCASGTGPDLDSYTMLIHGLCEKQKWKEVCQFFVEMIERGLLPQKRRLKKKLDEESIAFGSEFQNYHLKPYRR >Manes.06G104400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23681716:23688272:1 gene:Manes.06G104400.v8.1 transcript:Manes.06G104400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFYWFKQISNNGRSGRRLSLGEYKNAVSWSKYLVSSGAEIKGEGEVEWSADMSQLFIGNKFASGRHSRIYRGIYKQRDVAIKIISQPEEDEELASTLEKQFTSEVALLFRLSHPNIVTFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPHSVPLELVLKLALDIARGMLYLHSQGVLHRDLKSENLLLTEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTAMTPFDNMTPEQAAFAVCQKNARPPLPAGCPLAFSHLINRCWSSNPDKRPHFDEIVGILERYSEWLEQDPEFFSNYKPSSEQSMCCFLQCMASVKA >Manes.06G104400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23682826:23688350:1 gene:Manes.06G104400.v8.1 transcript:Manes.06G104400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFYWFKQISNNGRSGRRLSLGEYKNAVSWSKYLVSSGAEIKGEGEVEWSADMSQLFIGNKFASGRHSRIYRGIYKQRDVAIKIISQPEEDEELASTLEKQFTSEVALLFRLSHPNIVTFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPHSVPLELVLKLALDIARGMLYLHSQGVLHRDLKSENLLLTEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTAMTPFDNMTPEQAAFAVCQKNARPPLPAGCPLAFSHLINRCWSSNPDKRPHFDEIVGILERYSEWLEQDPEFFSNYKPSSEQSMCCFLQCMASVKA >Manes.06G104400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23684220:23688272:1 gene:Manes.06G104400.v8.1 transcript:Manes.06G104400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFYWFKQISNNGRSGRRLSLGEYKNAVSWSKYLVSSGAEIKGEGEVEWSADMSQLFIGNKFASGRHSRIYRGIYKQRDVAIKIISQPEEDEELASTLEKQFTSEVALLFRLSHPNIVTFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPHSVPLELVLKLALDIARGMLYLHSQGVLHRDLKSENLLLTEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTAMTPFDNMTPEQAAFAVCQKNARPPLPAGCPLAFSHLINRCWSSNPDKRPHFDEIVGILERYSEWLEQDPEFFSNYKPSSEQSMCCFLQCMASVKA >Manes.11G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10136762:10139714:1 gene:Manes.11G070100.v8.1 transcript:Manes.11G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLSLQWASLLTFLLPQMLSESSGLPMKSCESKCGDIDIEYPFGIGANCSMNDWFVVVCNGTADNRRAFISSINLEVTDFLYERSRLRVKSPVVSFNCDENHSNSVVDLRRTPFTVASYNRFTVVGCKARALLTSAEPDIIGCQPTCNKKLKPQGRNPPCSGNRCCQTSIPYYLQVFKPSFQKENGKEGKRVCKLAFIVESTWFKSNIKDPHKVQEREYVPMLVDWKINATDMESLGINKETTNWSFRYYNGFGFPYPNNSMLMCRRGYAGNPYLPDGCQDVDECMDFEVRSRCSGKCINTRGSYRCEAQKSWIFILGSSMGFGLLSLLLTSWWLSKFIKKRKRSQRKKKFFKKNGGLLLKQQVCSSKDNVEKTTICSLKELEKATDRFNVNRILGKGGQGTVYKGMLADGKIVAVKKSEKVDQTRVEQFINEVVILSQINHRNVVKLLGCCLETDVPLLVYEFIPNGTLFHYLHHPTEEFQLSWKMRLQIAIEVAGALSYLHSAASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSIPLDHTHLTTRVLGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGQQPLSSASLRDGVGLAAHFIMSVEEDKLFDVLDSRIVEQCDKEEVMVVASVAKRCLNLNGKQRPTMKEVIMELEGIQFPQKDHLSILRKSDEFESNLWDGCSFTTFSSSDCCTDLPVEI >Manes.06G116200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24740791:24742931:1 gene:Manes.06G116200.v8.1 transcript:Manes.06G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQIISKHIVKPSSSTPQHLRTYVLSLLDQLAPPVYIPMILFYSETGDGNSCQKSHRLKTSLSKTLTHFYPFAGRLKDGFSVDCNDEGASFVEANVAGEMSMILEEPDMHKLEKLLPCKPHDVSPEISSQVILAAQVNHFACGGMAVSVCIWHAIGDASAVASFLTSWAAIARGSSDDIEGVISDCTSLFPPQDVSSFSLRNFFNEDLLSKITMKRFLFNSSKLATLREEIGNSSCLDPPTRFEAVAALIWKAVMAETEEENGDETKTNEINVATIAVGLRKRMIPQLPKLSIGNIYQVAMANCSTNDDKLVDYNGLATKLHESIGKMNNDYVRMIHAGGRYFQFLKKKGEELGKNPNLMKVFGFSSWCRFPFYEADFGWGKPSWVTTALKLHKVAIFIDSKDGEGIEAWVCLPKEDMVKFEQNPGMCAYSSFKPST >Manes.14G062800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5238222:5241130:1 gene:Manes.14G062800.v8.1 transcript:Manes.14G062800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLVKGISMIGLCSDHFFLKQTIIHGLFNIRKKQKNHLGATSGIGVETARVLAKRGVRLVIPARDLKKAAEVKETIQKETPKAEIMIFETDLSCFASVKRFSSDFLALGLPLNILINNAGIFSQNLEFSEDKIEMTFATNYLGHFLLTELLIEKMVETAEVTGIQGRIINLSSVIHSWVKRDSFCFNQMLNPKNYNGTRAYAQSKLANILHAKEMARQLKARNARVTINAVHPGIVKTGIIRAHKGLITDSLFFIASKLLKSTSQGASTTCYVALSPQTEGVSGKYFADCNESSCSALACDESEAQKLWKHTRALINRRVYHPPA >Manes.14G062800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5237640:5241130:1 gene:Manes.14G062800.v8.1 transcript:Manes.14G062800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLELIGHGHPLKIKTLFTIKSLGSLLGTHTCLLYICVFIYFWSQLSMLNVFLLVSKIVRGSFLLMKETLRYLAGTAGPSGYGSNSTAEQVTQDFACFVSPNLTAIITGATSGIGVETARVLAKRGVRLVIPARDLKKAAEVKETIQKETPKAEIMIFETDLSCFASVKRFSSDFLALGLPLNILINNAGIFSQNLEFSEDKIEMTFATNYLGHFLLTELLIEKMVETAEVTGIQGRIINLSSVIHSWVKRDSFCFNQMLNPKNYNGTRAYAQSKLANILHAKEMARQLKVKVITLNYFLIFSFLGFQTEQHFLIYNNKARE >Manes.14G062800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5237640:5241130:1 gene:Manes.14G062800.v8.1 transcript:Manes.14G062800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLELIGHGHPLKIKTLFTIKSLGSLLGTHTCLLYICVFIYFWSQLSMLNVFLLVSKIVRGSFLLMKETLRYLAGTAGPSGYGSNSTAEQVTQDFACFVSPNLTAIITGIGVETARVLAKRGVRLVIPARDLKKAAEVKETIQKETPKAEIMIFETDLSCFASVKRFSSDFLALGLPLNILINNAGIFSQNLEFSEDKIEMTFATNYLGHFLLTELLIEKMVETAEVTGIQGRIINLSSVIHSWVKRDSFCFNQMLNPKNYNGTRAYAQSKLANILHAKEMARQLKARNARVTINAVHPGIVKTGIIRAHKGLITDSLFFIASKLLKSTSQGASTTCYVALSPQTEGVSGKYFADCNESSCSALACDESEAQKLWKHTRALINRRVYHPPA >Manes.14G062800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5237640:5241130:1 gene:Manes.14G062800.v8.1 transcript:Manes.14G062800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLELIGHGHPLKIKTLFTIKSLGSLLGTHTCLLYICVFIYFWSQLSMLNVFLLVSKIVRGSFLLMKETLRYLAGTAGPSGYGSNSTAEQVTQDFACFVSPNLTAIITGATSGIGVETARVLAKRGVRLVIPARDLKKAAEVKETIQKETPKAEIMIFETDLSCFASVKRFSSDFLALGLPLNILINNAGIFSQNLEFSEDKIEMTFATNYLGHFLLTELLIEKMVETAEVTGIQGRIINLSSVIHSWVKRDSFCFNQMLNPKNYNGTRAYAQSKLANILHAKEMARQLKARNARVTINAVHPGIVKTGIIRAHKGLITDSLFFIASKLLKSTSQGASTTCYVALSPQTEGVSGKYFADCNESSCSALACDESEAQKLWKHTRALINRRVYHPPA >Manes.18G006300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:956605:966658:1 gene:Manes.18G006300.v8.1 transcript:Manes.18G006300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSSFLRVSVSQEKASNNNSLHQAQNHHASNPSNGTDAASAASEGFRGFTEFSLADLKAATNNFSPEFIVSESGEKAPNVVYKGRLQNQDTRTWIAVKKFAKLAWPDPKQFADEALGVGKFKHQRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWALRLRVALYIAEALDYCSSEGRPVYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATMVFDLASECLKYEPRERPNTKDLVATLAQLQNKPDVPSYVMLGIPKHEEGPPTPKHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMREMLEARKRGDVAFRDKDFKTAIECYSQFIDVGTMISPTVYARRSLCHLLCDQPDAALRDAMQAQCVYPEWSTAFYMQAVALAKLNMHKDAADMLNEASALEEKRQRGGKGS >Manes.02G179300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14205696:14208198:1 gene:Manes.02G179300.v8.1 transcript:Manes.02G179300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVEYANVVESHKLYIAVLFIQFVYAGMALLSKAAISRGMNPFVFVVYRQAFASVALAPFAIFLERKKAPPLSNVLLCKIFLVSFCGLTLSLNLYYIAINNTTATFAAATTNIIPVITFIMAALLRMETVSIKNVPGIAKLIGSATAVSGALVFAFVKGPPLKFMNLNQSTPDDHIQDSSIKGCCSSGEWIKGSLLMISANILWSLWFVLQGITVNGICYWLQVWTIEKKGPVFASMFTPLGLLLTAIFSVFLWRETLHLGSIGGAILLVSGLYGVLWGKKKEESKSMTNEHNSSETKAEIKLECITHQ >Manes.02G179300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14205696:14208197:1 gene:Manes.02G179300.v8.1 transcript:Manes.02G179300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVEYANVVESHKLYIAVLFIQFVYAGMALLSKAAISRGMNPFVFVVYRQAFASVALAPFAIFLERKKAPPLSNVLLCKIFLVSFCGLTLSLNLYYIAINNTTATFAAATTNIIPVITFIMAALLRMETVSIKNVPGIAKLIGSATAVSGALVFAFVKGPPLKFMNLNQSTPDDHIQDSSIKGCCSSGEWIKGSLLMISANILWSLWFVLQGPIVKQYPAKLRLTALQCFFCCVQSAFWAMAVERNPSAWKLGWDLHLLAVAYCGITVNGICYWLQVWTIEKKGPVFASMFTPLGLLLTAIFSVFLWRETLHLGSIGGAILLVSGLYGVLWGKKKEESKSMTNEHNSSETKAEIKLECITHQ >Manes.02G179300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14205696:14208197:1 gene:Manes.02G179300.v8.1 transcript:Manes.02G179300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIVEYANVVESHKLYIAVLFIQFVYAGMALLSKAAISRGMNPFVFVVYRQAFASVALAPFAIFLERKKAPPLSNVLLCKIFLVSFCGLTLSLNLYYIAINNTTATFAAATTNIIPVITFIMAALLRMETVSIKNVPGIAKLIGSATAVSGALVFAFVKGPPLKFMNLNQSTPDDHIQDSSIKGCCSSGEWIKGSLLMISANILWSLWFVLQSAFWAMAVERNPSAWKLGWDLHLLAVAYCGITVNGICYWLQVWTIEKKGPVFASMFTPLGLLLTAIFSVFLWRETLHLGSIGGAILLVSGLYGVLWGKKKEESKSMTNEHNSSETKAEIKLECITHQ >Manes.03G207800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32342022:32348348:-1 gene:Manes.03G207800.v8.1 transcript:Manes.03G207800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAMARKGNQQRNGVDRHASDHKKKVSDSGHAIPDMKGRRKMNESKVFPGEDIPDGDQPCTFTTDNVRKRNNAGDDNKTKQTSGKFQGKEKQGIDQPHDLGQSASHGNNSGYCSSSSGETPSISHDNGTLPGSESDPKHAKVGFNYLFNGLHLKTMMENVDFSGNVAFRNLRASTSSILEVAGDWLERHRPLFARVTTKMCNARDYVKLKTVQAYPVVLKWLIHFRNIMLLLSMIWLDCTLRGIDSFLRLGTTSFFSVIWCSIMSAIAMVGISKFLIVLAIAAIVGVFIGLTLGLLVVAISGTVFLWLYGSFWITLFVIIIGGLAFMLGHERLALLTTTVYSVYCAWTYVGWLGLILAFYLSFISSDILMFFLKNSINQRRSNRPPEQSAGVEGQPGFFNSESFHASFSETSPGFSSDHSPGVPSTSGTDSELTSEEEVIRLLNCTDHYSVLGLSRYENVDVSFLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREDLLNYFRKGTSRKNGEHGFFASGFTRSEAEGEDPIGESRRIACKKCNNFHVWVHTKKSKSKARWCQDCKDFHQAKDGDGWVEQSSQPFLFGLLQKVDAPSAYVCADSKIYDATEWYICQGMRCPANTHKPSFHVNTSVTSKHNTSKGSSSGHRSGRMPTSNMEETMTEEEFFEWLQNAVQAGVFDNFNGSSGAESPSARAGNGPKSSYNGNGSNSGSKRKKKGKKQW >Manes.03G192000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31050194:31056968:-1 gene:Manes.03G192000.v8.1 transcript:Manes.03G192000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCFGSSSNKEGSGGAVKEVAKKDSVKEGSVAQSHRVGRVSSDKSKSQNGSDPKKEAVVPKDGPTANIAAQTFTFKELAAATKNFRPECLLGEGGFGRVYKGRLETTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYEPSAANQSNRVGPSTPWNRDDRRGMADGLHSPDEHGSGRRHGSPSTRKNSPDYRKRDTVRELSSGTELRRSDTGGGSGRKWGLDDSEQQDSIKGSPVNTSRARETSRNRDLDRERAVADAKVWGQNWREKKRANAMGSFDGTKE >Manes.03G192000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31050326:31056771:-1 gene:Manes.03G192000.v8.1 transcript:Manes.03G192000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCFGSSSNKEGSGGAVKEVAKKDSVKEGSVAQSHRVGRVSSDKSKSQNGSDPKKEAVVPKDGPTANIAAQTFTFKELAAATKNFRPECLLGEGGFGRVYKGRLETTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYEPSAANQSNRVGPSTPWNRDDRRGMADGLHSPDEHGSGRRHGSPSTRKNSPDYRKRDTVRELSSGTELRRSDTGGGSGRKWGLDDSEQQDSIKGSPVNTSRARETSRNRDLDRERAVADAKVWGQNWREKKRANAMGSFDGTKE >Manes.09G184500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37191601:37194445:1 gene:Manes.09G184500.v8.1 transcript:Manes.09G184500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLYHVVGDLTVGKPEMVEFCETETVESAIRAIGESTECGVPVWKRRQHVGMIEKSEMRQQRFVGILNSLDIVAFLARAQCLEDQEKAMKTPVSEVVVPDNTLLKHVDPATRLIDALEMMKQGVKRIVVAKGTVWKGMSKRFSILYNGRWLKNVNSSNSNLTINASRPSSSSTSSSHGKFCCLSREDVIRFLIGCLGALAPLPLFSISSLGVINLKYCSVEASLPVIKATQKLPKDPFAVAIVEPMPDGGCKIIGEISASRLWKCDYLAAAWALANLSAGQFVMGVEDNFTTRSFPEISGNSREGENDMVNGGGSTRDRKFSSRSIGLNPGSSSFGISRNMYRGRSAPLTCKITSSLAAVMAQMLSHRATHVWVTEDDGDDVLVGVVGYTDILFAVTKPPSSFIPVNRSSEGVTTEVQS >Manes.09G184500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37191586:37194448:1 gene:Manes.09G184500.v8.1 transcript:Manes.09G184500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLYHVVGDLTVGKPEMVEFCETETVESAIRAIGESTECGVPVWKRRQHVGMIEKSEMRQQRFVGILNSLDIVAFLARAQCLEDQEKAMKTPVSEVVVPDNTLLKHVDPATRLIDALEMMKQGVKRIVVAKGTVWKGMSKRFSILYNGRWLKNVNSSNSNLTINASRPSSSSTSSSHGKFCCLSREDVIRFLIGCLGALAPLPLFSISSLGVINLKYCSVEASLPVIKATQKLPKDPFAVAIVEPMPDGGCKIIGEISASRLWKCDYLAAAWALANLSAGQFVMGVEDNFTTRSFPEISGNSREGENDMVNGGGSTRDRKFSSRSIGLNPGSSSFGISRNMYRGRSAPLTCKITSSLAAVMAQMLSHRATHVWVTEDDGDDVLVGVVGYTDILFAVTKPPSSFIPVNRSSEGVTTEVQS >Manes.09G184500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37191586:37194448:1 gene:Manes.09G184500.v8.1 transcript:Manes.09G184500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLYHVVGDLTVGKPEMVEFCETETVESAIRAIGESTECGVPVWKRRQHVGMIEKSEMRQQRFVGILNSLDIVAFLARAQCLEDQEKAMKTPVSEVVVPDNTLLKHVDPATRLIDALEMMKQGVKRIVVAKGTVWKGMSKRFSILYNGRWLKNVNSSNSNLTINASRPSSSSTSSSHGKFCCLSREDVIRFLIGCLGALAPLPLFSISSLGVINLKYCSVEASLPVIKATQKLPKDPFAVAIVEPMPDGGCKIIGEISASRLWKCDYLAAAWALANLSAGQFVMGVEDNFTTRSFPEISGNSREGENDMVNGGGSTRDRKFSSRSIGLNPGSSSFGISRNMYRGRSAPLTCKITSSLAAVMAQMLSHRATHVWVTEDDGDDVLVGVVGYTDILFAVTKPPSSFIPVNRSSEGVTTEVQS >Manes.01G085800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28795137:28796597:-1 gene:Manes.01G085800.v8.1 transcript:Manes.01G085800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSNLNIMNRNQQSTKHCSSSARGSSSKLKGVISLKSGKWGARIAFKYKAHWLGTYDLEEEAAMAYDRAAIKLQRSDAPLNFPMTIYSVQETKFQSRYSNESILDMIKSKTYMSKFTSYLADQSLMREHAARNQANQQHGISYQMLFRKELTQTDVTHIKGFHIPKEYAMQYFPPLAGVSSAGGGDENGSKSIELTFFDRHCRPWTFRYSYWKSTQTFVFTKGWKHFLRMNNLKPKDCVFFYRCEYQRETQKRVFYMIDAQCSSVETDAVTGNLDKERNAKKRSNNEVDAEDIEEQETDDGVKLFGVQISKKKKTKL >Manes.13G065850.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8341548:8342153:-1 gene:Manes.13G065850.v8.1 transcript:Manes.13G065850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVDGFMLWKNLKQRLSFKGLGCCGSTWTPRATIRTIIEEEEEEEEEEEEEPIITRNSVSDQPAVTVVNASDQLPARNSNNDVESAGMNLGMALAAERNLRRENVGPLKNDGPVKTLMTLIEETDGVDWRKKKRRENQNGVRGGGNENDWMCCVCMERNKGAAFIPCGHTLCRVCSREMWANRGSCPICNRSILEILDIF >Manes.02G161100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12613156:12615096:1 gene:Manes.02G161100.v8.1 transcript:Manes.02G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIDGALELDFSGYSSTATNTTTTTTTSTENDQHDGNWNGWSPVVDWEALSAHHDDFQDLIESMMDDGGLNQTTCNSNSLSTDTMMVDDETSSEDFKGLRLVHLLMAAAEALTGVNKSRDLARVILVRLKELVSPNDGTNMERLAAYFTDALQGLLEGAGGGHGKNSINNGPYHHHHRDDHHHQEDILAAFQLLQDMSPYLKFGHFTANQAILEAVAQDRRIHIVDYDIMEGIQWASLMQSLVSRTDGPPTPHLRITALSRSGSGKKSIGTVQETGRRLVAFAASIGLPFSFHQCRLDSDENFRPNALKLVRGEALVINCMLQLPHFSYRAPDSITSFLSGAKTLNPRLVTLVEEEVGSIGDGGFVSRFINSLHHYSAVYDSLEASFPMQSRARALVERVFLGPQIAGTLARIYRARANEEGSSWGERLGAVGFRAANISFANHCQAKLLIGLFNDGYRVEKLDGNRLVLGWKSRRLLSASIWTSPFDSDL >Manes.11G031176.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3073993:3077958:1 gene:Manes.11G031176.v8.1 transcript:Manes.11G031176.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKAMVSVTLWLIFVLLCATLGKFKLAAALVDRRKLASSTSGFISIDCGADRDYLDKETGIFYNSDKDFINTGKNGYVSPEYDYKDPYFGHVFQSLRFFPKGRRNCYTLRPEQGKNQNYLIRAFFRYGNYDSNNEVPSATSSSNLVPEIIHFSLTDTIKICLVNIGHGTPFISALELRPLNPSIYRIESKDLYLVERYDLGNNSTNRYVRYKDDVYDRFWLPWTFVDCISLNTTSNIDVQNSSNPLRTPLQVLRTAVQPMKILQISQGPREFTINFNGENYGPFTLQYLQPLSICTRPLKSRTNGYVYFSIDPTVQSHHPPILNALEIFIPWMKPVSPTNPADVDAIMAIQQTYNINKDDSWQGDPCMPEAYSWTGLNCSYYNNSPRIIFFFSTDAFTRQIMSRDLSASTLKGSISSSFSKLKAIQYLDLSGNELTGTVPEFLAQLPNLTVLNLTGNKLTGSVPRSLVQKSNSGLLQLSLDGNPNLCYTDSCEKQKRNFPLPIVVASVAILTVLLSSIFVFWRLKRREGTSQSKKEGLVNSKNRSFTYSNIVSITDNFETIIGEGGFGKVYMGTLKDNTQVAVKLLSQNSRQGCKEFQAEQFGMQAQLLMIVHHRNLVSLIGYCDDRHNKALIYEYMVNGNLREHLSETSGSTLNWNERLQIAADAAQGLEYLHNGCKPPIIHRDLKTSNILLNEKLGAKISDFGLSRAFTNESGSHITTCPAGTLGYLDPQAQSSGNFNKKSDIYSFGIILLELITGQPAIRRDVNGEIIPIQQWVIPIIENGDVRSIVDPRLQGDFDTNSAWKAAEIALACVLNTATRRPDISDVLIELKECLGMVTAVVGSQRMDRGRTRSINSLEMRSLEIYTETAPSPR >Manes.06G043901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13766051:13767245:-1 gene:Manes.06G043901.v8.1 transcript:Manes.06G043901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDHLTAKLYLINLAHDHLVFLHRMAQTRPAMDWFSWLSRSGLEPSLVYQYSLAFARNQLQQEDLAHFNHEFLQSMGISIAKHRLEILKLARKEAGPGGTTALSKFIFAVNETKKNLKKCICRFVFHEDPVLKVLPEPPPRRGALTRKYKSDNDVRIDKPPVVRTRRQAKSGPLDGRVPGSFMVHHRNINLSGPLDGRVPENLMPIMPKSLKLSGPLDGKVNDRLAFAYRSKQKQTGTARLSDDSKQGRVRNDDFDEQSLWAQLFQDMKPT >Manes.15G187766.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:27627797:27628334:-1 gene:Manes.15G187766.v8.1 transcript:Manes.15G187766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCLGDIKLKIDLGIYDLSPLQFRIIKKITLCNFLHDTLPDCPDMMIGTGQDPDMVYVLMPDKSDVLVFMGLSLMLFRLSQGGCLPKDGYRINDQRKSLLMSLTSVEKVDRLYRLDLKASLSIIPSRVVLEHVKQFVGDDGFVYK >Manes.18G140000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:15017915:15018475:-1 gene:Manes.18G140000.v8.1 transcript:Manes.18G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRESKHAVATGNTISRKKSDAGISRKNKDIKTADKTSEKESNTDSLVQQEESQNVTKCLGDENAMAVADEVNKDITDEYKELKEVAEQMNEEDGGVAVEDQEEPAAGRLISKESPNRLFSSRKVEDVEAFTAAGRSDMPEFFSPRLGSDKESLFSDCVKSDDVVVETLVQQKMVCILGTTPTFN >Manes.15G186944.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27152884:27178109:-1 gene:Manes.15G186944.v8.1 transcript:Manes.15G186944.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKPVAEDGDDGARGSGIPSTKEAVKSLTAQIKEMAMKFSSRQCKPCTGSSSFKKGQRPYPDFDMASEGVPYSYFGGGSSTSTPAWDFTNTAHHRGIRSDSGSTGLFNGDQTPGRAESISAQTCDVVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENFDRIMELYNVQKFNRQALHTPSRSEDERDSSYSRMGSARESPIVAPSLTPRNHYKQSGSKGCFPSDVMEQVGSQHYHAGLSGYGTGGPKAEASSMDASRTTTSSRDGSVSVSNASDLETEWVEQDEPGVYITIRQLSDGTRELRRVRFSREKFGEAHAKLWWEENRERIQNQYL >Manes.15G186944.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27152884:27178109:-1 gene:Manes.15G186944.v8.1 transcript:Manes.15G186944.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKPVAEDGDDGARGSGIPSTKEAVKSLTAQIKEMAMKFSSRQCKPCTGSSSFKKGQRPYPDFDMASEGVPYSYFGGGSSTSTPAWDFTNTAHHRGIRSDSGSTGLFNGDQTPGRAESISAQTCDVVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENFDRIMELYNVQKFNRQALHTPSRSEDERDSSYSRMGSARESPIVAPSLTPRNHYKQSGSKGCFPSDVMEQVGSQHYHAGLSGYGTGGPKAEASSMDASRTTTSSRDGSVSVSNASDLETEWVEQDEPGVYITIRQLSDGTRELRRVRFSREKFGEAHAKLWWEENRERIQNQYL >Manes.15G186944.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27152715:27178124:-1 gene:Manes.15G186944.v8.1 transcript:Manes.15G186944.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKPVAEDGDDGARGSGIPSTKEAVKSLTAQIKEMAMKFSSRQCKPCTGSSSFKKGQRPYPDFDMASEGVPYSYFGGGSSTSTPAWDFTNTAHHRGIRSDSGSTGLFNGDQTPGRAESISAQTCDVVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENFDRIMELYNVQKFNRQALHTPSRSEDERDSSYSRMGSARESPIVAPSLTPRNHYKQSGSKGCFPSDVMEQVGSQHYHAGLSGYGTGGPKAEASSMDASRTTTSSRDGSVSVSNASDLETEWVEQDEPGVYITIRQLSDGTRELRRVRFSREKFGEAHAKLWWEENRERIQNQYL >Manes.05G016350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1537197:1557478:1 gene:Manes.05G016350.v8.1 transcript:Manes.05G016350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGRVRNLTDVGTMTRLLHECIAYQRALDVDLDNLLAQSTDLDKHLLHLQKSAEVLDIIKADSDLMLSTVRCTFRELDLAQSWVNSTLLRIGAIVERGNCIEGAKNALEAEDYEAAAKYDSGLDQRDQLLASKKQLEGVVRKRLSAAVDQRDHRFIRSYPPLGLEEEGLQVYVGYLKKVISKRSRLEFEHLVELMEQSHNQINFVGRVTNLFKDIVLAIEENDENLSLCVEDAIVYAIRELQDECDSRGSLILKKYMEFRKLAKLSSKINAQNKDLLAVGTPEGPDPREVELYLEEILSLMQSGEDYTEFMTLKIKRLSSVDPELVPRATRSLRNGSFSKVVQDVTGKAIMIDEHVPDSLTTSMVDDVFCVLQSCFRRAISRSNISSVIAILSGATLQQKMREPNLGAKLILGGVLKLKHEIEEQCAEVFPASAEIEKVKSCLEYSRLATSSGELGNMSNTFKQTLIAGMEKLVLNVTPRIRPVLDNGVTTISYELSEAEYADNEVNIPSVQSLLHAIETNMSWLQPLMTSNNYDSFVHLVIDFIVKRLEVIMMQKMLNQLGGLQLDRDTRALVSHFSSMTGRTVRDKFARLTQIATILNLEKASEILNFWVLGLRVDFKPEAIAALKL >Manes.10G050100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5582975:5591083:1 gene:Manes.10G050100.v8.1 transcript:Manes.10G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATRFRRLGAVSIITATAAATGGSILFSPNLATNDRGGGPAFDSVRQKIIDPNAVIPSRAAQESVLSGATAANALDVLVIGGGATGCGVALDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIDHAPHLCHALPCMTPCFDWFEVVYYWMGLKMYDLVAGPRLLHLSRYYSAEESLELFPTLAKKGNDRSLRGTVVYYDGQMNDSRVNVALACTAALAGAAVVNHAEVVSFLKDEANERIIGARIRDNLSGKEFDAYAKVVVNAAGPFCDSVRKMAKNDAQPMICPSSGVHVVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTDIAVLPEPHEDEIQFILDAISDYLSVKVRRTDVLSAWSGIRPLAIDPSAKNTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVDTAIKSGKLSPTNGCLTQNLRLIGGDGWEPSSFTVLAQQYVRMKTVGGKVVPGVMDTAAAKHLSHAYGTWAERVAAIAQDEGLGKRLAHGYPFLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAASRALPRIIKILANEHSWDKARQKRELENAKQFLETFKSSKNAHLNDGKH >Manes.10G050100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5584585:5591083:1 gene:Manes.10G050100.v8.1 transcript:Manes.10G050100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNDAQPMICPSSGVHVVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTDIAVLPEPHEDEIQFILDAISDYLSVKVRRTDVLSAWSGIRPLAIDPSAKNTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVDTAIKSGKLSPTNGCLTQNLRLIGGDGWEPSSFTVLAQQYVRMKTVGGKVVPGVMDTAAAKHLSHAYGTWAERVAAIAQDEGLGKRLAHGYPFLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAASRALPRIIKILANEHSWDKARQKRELENAKQFLETFKSSKNAHLNDGKH >Manes.10G050100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5583763:5591083:1 gene:Manes.10G050100.v8.1 transcript:Manes.10G050100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCFDWFEVVYYWMGLKMYDLVAGPRLLHLSRYYSAEESLELFPTLAKKGNDRSLRGTVVYYDGQMNDSRVNVALACTAALAGAAVVNHAEVVSFLKDEANERIIGARIRDNLSGKEFDAYAKVVVNAAGPFCDSVRKMAKNDAQPMICPSSGVHVVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTDIAVLPEPHEDEIQFILDAISDYLSVKVRRTDVLSAWSGIRPLAIDPSAKNTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVDTAIKSGKLSPTNGCLTQNLRLIGGDGWEPSSFTVLAQQYVRMKTVGGKVVPGVMDTAAAKHLSHAYGTWAERVAAIAQDEGLGKRLAHGYPFLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAASRALPRIIKILANEHSWDKARQKRELENAKQFLETFKSSKNAHLNDGKH >Manes.13G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5779218:5780672:-1 gene:Manes.13G050700.v8.1 transcript:Manes.13G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGMISTGSHARFHTISKSKLSTQTSSHLSINTHFSKPSFSLRTRSKSIRTTMIKASATSTEAAVDNIYTSTQTFYDLLGISEGGTLSEIKKAYKQLARKYHPDVSPPGCTAEYTKRFIQVQEAYETLSDPKSKALYDRDIAGGLDLHMIFSSRKRSCSGEGLDEDTSEWKERWQSQLTELIRMSNYNDMQSISWGAKMRSQKICRN >Manes.17G090900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29903765:29908060:-1 gene:Manes.17G090900.v8.1 transcript:Manes.17G090900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPSTLLILAVFIASAATHVSSDASNHRYKEADPVPLYANKVGPFHNPSETYRYFDLPFCVPDHLKEKKEALGEVLNGDRLVSAPYKLNFREEKSSEVVCKRTLSKEEVARFRSAIDKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYLLYKHVQFDVLYNKDRVIEVSVRMDPHSLLDLTEDKEVDAEFLYTVKWKETDTPFEKRMEKYSLSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAQDEEAADDQEESGWKYIHGDVFRYPEFKSLFAAALGSGTQLFILTIFIFILALVGVFYPYNRGALFTALVIIYALTSGIAGYTSTSFYSQLEGENWVRNLLLTGCLFCGPLFLTFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPQLPWYRSALPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCFYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALFFVRHIYRSIKCE >Manes.05G048300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4013903:4017594:1 gene:Manes.05G048300.v8.1 transcript:Manes.05G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDLLNVQPTELKFPFELKKQSSCSMQLTNKSDKFVAFKVKTTNPKKYCVRPNTGIILPQTTCNVTVTMQAQKEAPHDMQCKDKFLLQSVPAPDGATAKDITPDMFNREDDKVVEEFRLRVVYIPANPPSPVPEEPEEESSLSASVLQNSNQDALLFEAVSRSLEEPTEKSSEAWSTISKLTDEKARALQQNQQLRQELELMRKQVSKNRGGGFSLFLVFLVGLIGILVGYVVKRT >Manes.14G037400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3266699:3271002:1 gene:Manes.14G037400.v8.1 transcript:Manes.14G037400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGKGMSGGGGSMLKVVGRAVTRAGATNLQETISSSNANVTSPASNSRPTHRLNSSNNNFSLASASGSPFSTACNVPVSANSGVADSCYWPSFAPSSGSSCDEYEWVSVDGSEEETAIRVADDFVLGPVPSSDEVHSAVSALTQVFDVASYPQLITDKFAYNVDKDVTDRNPTGMLFRVSPAGSDLDWVEPSPYLGNPRVLRSYGPDRVYDAFHLLQTEPSIQRMVISLSSDKAVWDAVLNNDVVRELREAYHAEEIVTSSTTERSGETGNDSNPALDAVKWIFENTKAKFMEAIEKITKLMNELFKAPNDDKKTTGATDQFEEKLRTGFLLSVVVLLVVVVSRAHRA >Manes.14G037400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3266733:3271002:1 gene:Manes.14G037400.v8.1 transcript:Manes.14G037400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGKGMSGGGGSMLKVVGRAVTRAGATNLQETISSSNANVTSPASNSRPTHRLNSSNNNFSLASASGSPFSTACNVPVSANSGVADSCYWPSFAPSSGSSCDEYEWVSVDGSEEETAIRVADDFVLGPVPSSDEVHSAVSALTQVFDVASYPQLITDKFAYNVDKDVTDRNPTGMLFRVSPAGSDLDWVEPSPYLGNPRVLRSYGPDRVYDAFHLLQTEPSIQRMVISLSSDKAVWDAVLNNDVVRELREAYHAEIVTSSTTERSGETGNDSNPALDAVKWIFENTKAKFMEAIEKITKLMNELFKAPNDDKKTTGATDQFEEKLRTGFLLSVVVLLVVVVSRAHRA >Manes.14G037400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3266522:3271002:1 gene:Manes.14G037400.v8.1 transcript:Manes.14G037400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGKGMSGGGGSMLKVVGRAVTRAGATNLQETISSSNANVTSPASNSRPTHRLNSSNNNFSLASASGSPFSTACNVPVSANSGVADSCYWPSFAPSSGSSCDEYEWVSVDGSEEETAIRVADDFVLGPVPSSDEVHSAVSALTQVFDVASYPQLITDKFAYNVDKDVTDRNPTGMLFRVSPAGSDLDWVEPSPYLGNPRVLRSYGPDRVYDAFHLLQTEPSIQRMVISLSSDKAVWDAVLNNDVVRELREAYHAEEIVTSSTTERSGETGNDSNPALDAVKWIFENTKAKFMEAIEKITKLMNELFKAPNDDKKTTGATDQFEEKLRTGFLLSVVVLLVVVVSRAHRA >Manes.14G037400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3266522:3271002:1 gene:Manes.14G037400.v8.1 transcript:Manes.14G037400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGKGMSGGGGSMLKVVGRAVTRAGATNLQETISSSNANVTSPASNSRPTHRLNSSNNNFSLASASGSPFSTACNVPVSANSGVADSCYWPSFAPSSGSSCDEYEWVSVDGSEEETAIRVADDFVLGPVPSSDEVHSAVSALTQVFDVASYPQLITDKFAYNVDKDVTDRNPTGMLFRVSPAGSDLDWVEPSPYLGNPRVLRSYGPDRVYDAFHLLQTEPSIQRMVISLSSDKAVWDAVLNNDVVRELREAYHAEIVTSSTTERSGETGNDSNPALDAVKWIFENTKAKFMEAIEKITKLMNELFKAPNDDKKTTGATDQFEEKLRTGFLLSVVVLLVVVVSRAHRA >Manes.10G133701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30058584:30060004:1 gene:Manes.10G133701.v8.1 transcript:Manes.10G133701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAMDDAVVMFPSPAIGHFISMVELGKLILTFQPSLSIHILIVSAPYILHLSNPHVHQALLSISKTHKIKAFIMDFFCFFSLSIASQLNIPGYFFFTSGAGCLAASMYFPTLHQTTTKSFKDMNTFLNLPGIPPISSSNFSSAVSDRNNKAYEYFLDMAYCFPKSAGVIVNTFAVLEARALKAISDGLCIPDSTTPPAYCTGPLIVTNNQTDGDTECLNWLESQPSQSVIFLCFGSLGLFSMEQLREIASGLERSGQRFLWVVRNPPSDSESLDISALPEPDLNSLLPDGFLDRTKEKGLVVKSWAPQVAVLNHKSVGGFVTHCGWNSVLEAVSAGVPMVAWPLYAEQKFNRLMLVEEMKIALPMKEDENGFVTGLEVEKRVNELMQSDSGKSVRERTIAMKNAATAALSEGGSSRVAMSRLVESWKH >Manes.15G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4162609:4165580:1 gene:Manes.15G054200.v8.1 transcript:Manes.15G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSKKLISIFFIIIIVILGNTPIVPAAEGNWCVARSDVGNQALQTALDYACATGADCSPIQSNGLCFLPNTLQAHASYAFNSYFQRKAMAPGSCDFSGTATVARTDPSYGSCVYPSSLRTAGGATTNTTTTNPNSQMPTTTTPAYGGGTAGLNPGNGMTPTLPTDNSKASAGFTAATISMPISLLTVLSFTF >Manes.02G192200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15442009:15447164:-1 gene:Manes.02G192200.v8.1 transcript:Manes.02G192200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQTTSPSLSSSSTQTTKPSRSVLPYKTSRLRDHYLIGKKLGQGQFGTTYLCTNKATNKKLACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHQNVVQIKGTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLIKIIVGVVENCHSLGVMHRDLKPENFLFDTPSDDAKLKATDFGLSVFYKPGQHFCDVVGSPYYVAPEVLLKHYGPEVDVWSAGVILYILLSGVPPFWAENEPGIFKQILKGKLDFESDPWPKISDNAKDLIRKMLERDPRRRISAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMLDTDNSGTITYEELKVGLKRVSSELMESEIKALMEAADIDNSGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITIDELQQACKDFGLGDVQLDEMIKEIDQDNDARIDYAEFTAMMRKGDDEIGRMKTIRSSLSLNLADALGVNESVMEPDASTN >Manes.02G192200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15442440:15447164:-1 gene:Manes.02G192200.v8.1 transcript:Manes.02G192200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQTTSPSLSSSSTQTTKPSRSVLPYKTSRLRDHYLIGKKLGQGQFGTTYLCTNKATNKKLACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHQNVVQIKGTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLIKIIVGVVENCHSLGVMHRDLKPENFLFDTPSDDAKLKATDFGLSVFYKPGQHFCDVVGSPYYVAPEVLLKHYGPEVDVWSAGVILYILLSGVPPFWAENEPGIFKQILKGKLDFESDPWPKISDNAKDLIRKMLERDPRRRISAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMLDTDNSGTITYEELKVGLKRVSSELMESEIKALMEAADIDNSGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITIDELQQACKDFGLGDVQLDEMIKEIDQDNDARIDYAEFTAMMRKGDDEIGRMKTIRSSLSLNLADALGVNESVMEPDASTN >Manes.02G192200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15441985:15447164:-1 gene:Manes.02G192200.v8.1 transcript:Manes.02G192200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQTTSPSLSSSSTQTTKPSRSVLPYKTSRLRDHYLIGKKLGQGQFGTTYLCTNKATNKKLACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHQNVVQIKGTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLIKIIVGVVENCHSLGVMHRDLKPENFLFDTPSDDAKLKATDFGLSVFYKPGQHFCDVVGSPYYVAPEVLLKHYGPEVDVWSAGVILYILLSGVPPFWAENEPGIFKQILKGKLDFESDPWPKISDNAKDLIRKMLERDPRRRISAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMLDTDNSGTITYEELKVGLKRVSSELMESEIKALMEAADIDNSGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITIDELQQACKDFGLGDVQLDEMIKEIDQDNDARIDYAEFTAMMRKGDDEIGRMKTIRSSLSLNLADALGVNESVMEPDASTN >Manes.02G192200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15441985:15447164:-1 gene:Manes.02G192200.v8.1 transcript:Manes.02G192200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQTTSPSLSSSSTQTTKPSRSVLPYKTSRLRDHYLIGKKLGQGQFGTTYLCTNKATNKKLACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHQNVVQIKGTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLIKIIVGVVENCHSLGVMHRDLKPENFLFDTPSDDAKLKATDFGLSVFYKPGQHFCDVVGSPYYVAPEVLLKHYGPEVDVWSAGVILYILLSGVPPFWAENEPGIFKQILKGKLDFESDPWPKISDNAKDLIRKMLERDPRRRISAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMLDTDNSGTITYEELKVGLKRVSSELMESEIKALMEAADIDNSGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITIDELQQACKDFGLGDVQLDEMIKEIDQDNDARIDYAEFTAMMRKGDDEIGRMKTIRSSLSLNLADALGVNESVMEPDASTN >Manes.11G023100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2389324:2396809:1 gene:Manes.11G023100.v8.1 transcript:Manes.11G023100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLESELANTQAVSQESPHTKSKKKRKRENTEDILNQESPQTPNEKSKKKKKRVKERSYDGTIPIQNDKPLNKPNEQSPMDVKIEVTYGHSDKTPPIVGYFPSGYKPCKYNVSNNSQEPNQEQNLSQPQPEQPIVKLYRNAQRTKIEKRSNENIDKWKSSERMELVVSPNGYNLDFVGKSYRGEAMAAQFCTYALGVFDKKTKTLKIMPVAGNKIFRLEPKVRGLDTADEEPSVLENEEVSGGNNAEKIMAAGYVTKKSVMQYKKAQALKQGDDPESQRDLGRKIDNVVVNKEALESASAHVARNIPPHNSSATTPQEAYPLNRIILTGEWDFLEDTHKFLQEGAGAMSNAYPTFVRNRIHKLQEIQDEVEKQTLSCIFSYITHLIKFKDLHSLDGLSSAKSHRFPSILRQKFMEMFTPESKRLPSEKIDLLISYVLVLTLYADDFRTNPTDIAKDLRFSPVNLRVHFENLGCKLVRENKLLLATLPVPLKFPIQRQRRRR >Manes.11G023100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2389324:2395933:1 gene:Manes.11G023100.v8.1 transcript:Manes.11G023100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLESELANTQAVSQESPHTKSKKKRKRENTEDILNQESPQTPNEKSKKKKKRVKERSYDGTIPIQNDKPLNKPNEQSPMDVKIEVTYGHSDKTPPIVGYFPSGYKPCKYNVSNNSQEPNQEQNLSQPQPEQPIVKLYRNAQRTKIEKRSNENIDKWKSSERMELVVSPNGYNLDFVGKSYRGEAMAAQFCTYALGVFDKKTKTLKIMPVAGNKIFRLEPKVRGLDTADEEPSVLENEEVSGGNNAEKIMAAGYVTKKSVMQYKKAQALKQGDDPESQRDLGRKIDNVVVNKEALESASAHVARNIPPHNSSATTPQEAYPLNRIILTGEWDFLEDTHKFLQEGAGAMSNAYPTFVRNRIHKLQEIQDEVEKQTLSCIFSYITHLIKFKDLHSLDGLSSAKSHRFPSILRQKFMEMFTPESKRLPSEKIDLLISYVLVLTLYADDFRTNPTDIAKDLRFSPVNLRVHFENLGCKLVRENKLLLATLPVPLKFPIQRQRRRR >Manes.11G023100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2389324:2395933:1 gene:Manes.11G023100.v8.1 transcript:Manes.11G023100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLESELANTQAVSQESPHTKSKKKRKRENTEDILNQESPQTPNEKSKKKKKRVKERSYDGTIPIQNDKPLNKPNEQSPMDVKIEVTYGHSDKTPPIVGYFPSGYKPCKYNVSNNSQEPNQEQNLSQPQPEQPIVKLYRNAQRTKIEKRSNENIDKWKSSERMELVVSPNGYNLDFVGKSYRGEAMAAQFCTYALGVFDKKTKTLKIMPVAGNKIFRLEPKVRGLDTADEEPSVLENEEVSGGNNAEKIMAAGYVTKKSVMQYKKAQALKQGDDPESQRDLGRKIDNVVVNKEALESASAHVARNIPPHNSSATTPQEAYPLNRIILTGEWDFLEDTHKFLQEGAGAMSNAYPTFVRNRIHKLQEIQDEVEKQTLSCIFSYITHLIKFKDLHSLDGLSSAKSHRFPSILRQKFMEMFTPESKRLPSEKIDLLISYVLVLTLYADDFRTNPTDIAKDLRFSPVNLRVHFENLGCKLVRENKLLLATLPVPLKFPIQRQRRRR >Manes.11G023100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2389324:2396809:1 gene:Manes.11G023100.v8.1 transcript:Manes.11G023100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLESELANTQAVSQESPHTKSKKKRKRENTEDILNQESPQTPNEKSKKKKKRVKERSYDGTIPIQNDKPLNKPNEQSPMDVKIEVTYGHSDKTPPIVGYFPSGYKPCKYNVSNNSQEPNQEQNLSQPQPEQPIVKLYRNAQRTKIEKRSNENIDKWKSSERMELVVSPNGYNLDFVGKSYRGEAMAAQFCTYALGVFDKKTKTLKIMPVAGNKIFRLEPKVRGLDTADEEPSVLENEEVSGGNNAEKIMAAGYVTKKSVMQYKKAQALKQGDDPESQRDLGRKIDNVVVNKEALESASAHVARNIPPHNSSATTPQEAYPLNRIILTGEWDFLEDTHKFLQEGAGAMSNAYPTFVRNRIHKLQEIQDEVEKQTLSCIFSYITHLIKFKDLHSLDGLSSAKSHRFPSILRQKFMEMFTPESKRLPSEKIDLLISYVLVLTLYADDFRTNPTDIAKDLRFSPVNLRVHFENLGCKLVRENKLLLATLPVPLKFPIQRQRRRR >Manes.11G023100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2389324:2395933:1 gene:Manes.11G023100.v8.1 transcript:Manes.11G023100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLESELANTQAVSQESPHTKSKKKRKRENTEDILNQESPQTPNEKSKKKKKRVKERSYDGTIPIQNDKPLNKPNEQSPMDVKIEVTYGHSDKTPPIVGYFPSGYKPCKYNVSNNSQEPNQEQNLSQPQPEQPIVKLYRNAQRTKIEKRSNENIDKWKSSERMELVVSPNGYNLDFVGKSYRGEAMAAQFCTYALGVFDKKTKTLKIMPVAGNKIFRLEPKVRGLDTADEEPSVLENEEVSGGNNAEKIMAAGYVTKKSVMQYKKAQALKQGDDPESQRDLGRKIDNVVVNKEALESASAHVARNIPPHNSSATTPQEAYPLNRIILTGEWDFLEDTHKFLQEGAGAMSNAYPTFVRNRIHKLQEIQDEVEKQTLSCIFSYITHLIKFKDLHSLDGLSSAKSHRFPSILRQKFMEMFTPESKRLPSEKIDLLISYVLVLTLYADDFRTNPTDIAKDLRFSPVNLRVHFENLGCKLVRENKLLLATLPVPLKFPIQRQRRRR >Manes.11G023100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2389324:2395933:1 gene:Manes.11G023100.v8.1 transcript:Manes.11G023100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLESELANTQAVSQESPHTKSKKKRKRENTEDILNQESPQTPNEKSKKKKKRVKERSYDGTIPIQNDKPLNKPNEQSPMDVKIEVTYGHSDKTPPIVGYFPSGYKPCKYNVSNNSQEPNQEQNLSQPQPEQPIVKLYRNAQRTKIEKRSNENIDKWKSSERMELVVSPNGYNLDFVGKSYRGEAMAAQFCTYALGVFDKKTKTLKIMPVAGNKIFRLEPKVRGLDTADEEPSVLENEEVSGGNNAEKIMAAGYVTKKSVMQYKKAQALKQGDDPESQRDLGRKIDNVVVNKEALESASAHVARNIPPHNSSATTPQEAYPLNRIILTGEWDFLEDTHKFLQEGAGAMSNAYPTFVRNRIHKLQEIQDEVEKQTLSCIFSYITHLIKFKDLHSLDGLSSAKSHRFPSILRQKFMEMFTPESKRLPSEKIDLLISYVLVLTLYADDFRTNPTDIAKDLRFSPVNLRVHFENLGCKLVRENKLLLATLPVPLKFPIQRQRRRR >Manes.14G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4338689:4341347:-1 gene:Manes.14G050400.v8.1 transcript:Manes.14G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTVMSSTTLPKTTQELAIEGQKHLEETIQAAYQILSSMNDELCNPTLWSTSSTAFSSTTSTTTASPISAANNLPTSLSQNSVVANGEAASDGVHHLDGGAAAGGGAGTGNGALDEARFRYKNSVAALREVLAAIPNSQKAKAFETGSTTNSSSPANQSEIEKLEEQASNLRKELVNKNVYLKLLIDQLRDLITDISMWQSPCSV >Manes.11G152700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32000195:32000848:1 gene:Manes.11G152700.v8.1 transcript:Manes.11G152700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPAEKKPAEDKKAEKAPAEKKPRAEKKLPKEGASGADKKKRRTKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Manes.03G028000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2275191:2282814:-1 gene:Manes.03G028000.v8.1 transcript:Manes.03G028000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENSGNLKKQLSKLFEASLRETVPSVLDVEPLVAACTAKFGDYQCNNAMSLWSKIKGKTTDFKGPPAVGQAIMRNLPSSEMIESCSVAGPGFVNVVLSKTWLAEKIQKMLIDGIDTWAPKISINRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVDVLRRNHVGDWGTQFGMLIEFLFEKFPNFKDVNETAVGDLQAFYKASKQRFDADPAFKEKAQKAVVRLQGGEPKYRQAWAQICDISRKEFDKVYQRLGVHLEEKGESFYNPFIPGVLEGLSNQGLVEESEGARVIFIEGINIPLIVVKSDGGYNYASTDLTALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPANGSMFPKASHVGFGLVLGDDGKRFRTRASDVVRLVDLLDEAKTRSKAALVERGKAEEWTEEELEQTAEAIGYGAIKYADLKNNRLTNYTFSYDQMLNDKGNTAVYLLYAHARICSIIRKSGKDVEELKKTGVLVLGHPDERALGLHLLQFAETVEEACTNLLPNVLCEYLYNLSEYFTKFYSNCQVVGSAEETSRLLLCEATAVVMRKCFFLLGIVPVYKI >Manes.03G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2275191:2282746:-1 gene:Manes.03G028000.v8.1 transcript:Manes.03G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSSLALLLAPVSPPSLSFNRLSHFHSSPLFASDLLRATSRRLALARKTQSISTTANMSEENSGNLKKQLSKLFEASLRETVPSVLDVEPLVAACTAKFGDYQCNNAMSLWSKIKGKTTDFKGPPAVGQAIMRNLPSSEMIESCSVAGPGFVNVVLSKTWLAEKIQKMLIDGIDTWAPKISINRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVDVLRRNHVGDWGTQFGMLIEFLFEKFPNFKDVNETAVGDLQAFYKASKQRFDADPAFKEKAQKAVVRLQGGEPKYRQAWAQICDISRKEFDKVYQRLGVHLEEKGESFYNPFIPGVLEGLSNQGLVEESEGARVIFIEGINIPLIVVKSDGGYNYASTDLTALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPANGSMFPKASHVGFGLVLGDDGKRFRTRASDVVRLVDLLDEAKTRSKAALVERGKAEEWTEEELEQTAEAIGYGAIKYADLKNNRLTNYTFSYDQMLNDKGNTAVYLLYAHARICSIIRKSGKDVEELKKTGVLVLGHPDERALGLHLLQFAETVEEACTNLLPNVLCEYLYNLSEYFTKFYSNCQVVGSAEETSRLLLCEATAVVMRKCFFLLGIVPVYKI >Manes.03G028000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2275191:2282746:-1 gene:Manes.03G028000.v8.1 transcript:Manes.03G028000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENSGNLKKQLSKLFEASLRETVPSVLDVEPLVAACTAKFGDYQCNNAMSLWSKIKGKTTDFKGPPAVGQAIMRNLPSSEMIESCSVAGPGFVNVVLSKTWLAEKIQKMLIDGIDTWAPKISINRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSKVDVLRRNHVGDWGTQFGMLIEFLFEKFPNFKDVNETAVGDLQAFYKASKQRFDADPAFKEKAQKAVVRLQGGEPKYRQAWAQICDISRKEFDKVYQRLGVHLEEKGESFYNPFIPGVLEGLSNQGLVEESEGARVIFIEGINIPLIVVKSDGGYNYASTDLTALWYRLNEEKADWIIYVTDVGQQQHFDMVFKAAKRAGWLPANGSMFPKASHVGFGLVLGDDGKRFRTRASDVVRLVDLLDEAKTRSKAALVERGKAEEWTEEELEQTAEAIGYGAIKYADLKNNRLTNYTFSYDQMLNDKGNTAVYLLYAHARICSIIRKSGKDVEELKKTGVLVLGHPDERALGLHLLQFAETVEEACTNLLPNVLCEYLYNLSEYFTKFYSNCQVVGSAEETSRLLLCEATAVVMRKCFFLLGIVPVYKI >Manes.07G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11785940:11790729:-1 gene:Manes.07G066800.v8.1 transcript:Manes.07G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFAALKEEVVRGLSPSRSRSRSSSPARTGSPISGLLRRKKNNSSNNSHYNPYAAQPETLVARSGSLRPLIGETLTPLIEGPDPDGGEGEPKRVGSGLGEWMKGQLSRTPSVSSVAYRKSDLRLLLGVMGAPLAPVHVSTMDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKLKMIASEFETSTRVVKNRNGTKGAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPKSTASMFADARCIGEKKINGEECFILKLCADPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVYMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRTIVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLRSSSIGETCELPHDEKGKSAMALAAHRAKVAALEKQHDSSTDNVIWKMEV >Manes.12G004400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:595566:599286:1 gene:Manes.12G004400.v8.1 transcript:Manes.12G004400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAMDKLYLFWCTLFSMLLSLASINAAQEGALITSLPGFNGNFPSKHYSGYVSVGEKQLFYYLVMSERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFDFEAGKPKGGLPILHLNPYSWSKVSSIIYLDSPAGVGFSYSKNESEYTTGDLKTAADTHSFLLKWFRLYPEFLSNPFYVAGESYAGVYVPTLTSEILKGIKAGAKPTINLKGYMVGNGVTDRNFDGGSALLPFAHGMGLISDDIYQEAESACKGNYDEPSIVCSTTLEKVDKALEGLNVYDILEPCYHGPETKQNTSLPSSFQELGKTNKKNIGVRKRMFGRAWPLWAPVKDGILPSWPELAVQGSVGCIDDEIASVWLNDKSVRTAIHAQPESTVGTWELCTRRLFYRHDAGSMIPYHKTLTKQGYRALIFSGDHDMCVPFTGSQAWTKSLGYKITDEWRSWMSDNQIAGYLQGYENNLTFLTIKGAGHTVPEYKPQEALDFISRWLDGKSI >Manes.12G004400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:595514:599286:1 gene:Manes.12G004400.v8.1 transcript:Manes.12G004400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAMDKLYLFWCTLFSMLLSLASINAAQEGALITSLPGFNGNFPSKHYSGYVSVGEKQLFYYLVMSERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFDFEAGKPKGGLPILHLNPYSWSKVSSIIYLDSPAGVGFSYSKNESEYTTGDLKTAADTHSFLLKWFRLYPEFLSNPFYVAGESYAGVYVPTLTSEILKGIKAGAKPTINLKGYMVGNGVTDRNFDGGSALLPFAHGMGLISDDIYQEAESACKGNYDEPSIVCSTTLEKVDKALEGLNVYDILEPCYHGPETKQNTSLPSSFQELGKTNKKNIGVRKRMFGRAWPLWAPVKDGILPSWPELAVQGSVGCIDDEIASVWLNDKSVRTAIHAQPESTVGTWELCTRRLFYRHDAGSMIPYHKTLTKQGYRALIFSGDHDMCVPFTGSQAWTKSLGYKITDEWRSWMSDNQIAGYLQGYENNLTFLTIKGAGHTVPEYKPQEALDFISRWLDGKSI >Manes.12G004400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:595543:599286:1 gene:Manes.12G004400.v8.1 transcript:Manes.12G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAMDKLYLFWCTLFSMLLSLASINAAQEGALITSLPGFNGNFPSKHYSGYVSVGEKQLFYYLVMSERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFDFEAGKPKGGLPILHLNPYSWSKVSSIIYLDSPAGVGFSYSKNESEYTTGDLKTAADTHSFLLKWFRLYPEFLSNPFYVAGESYAGVYVPTLTSEILKGIKAGAKPTINLKGYMVGNGVTDRNFDGGSALLPFAHGMGLISDDIYQEAESACKGNYDEPSIVCSTTLEKVDKALEGLNVYDILEPCYHGPETKQNTSLPSSFQELGKTNKKNIGVRKRMFGRAWPLWAPVKDGILPSWPELAVQGSVGCIDDEIASVWLNDKSVRTAIHAQPESTVGTWELCTRRLFYRHDAGSMIPYHKTLTKQGYRALIFSGDHDMCVPFTGSQAWTKSLGYKITDEWRSWMSDNQIAGYLQGYENNLTFLTIKGAGHTVPEYKPQEALDFISRWLDGKSI >Manes.18G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8865266:8866716:-1 gene:Manes.18G095800.v8.1 transcript:Manes.18G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPSVTCLLLTSSLLLASWFIAIEAQSRPPIVNGLSWTFYQSSCPKLESIIRNELKKIFKEDVGQAAGLLRLHSHDCFVLGCDGSVLLDKSNERSEIPNLTMRKEAFKIVNDLRERVHKQCGCVVSCADILTIAARDSVFLTGGPDYDVPLGRRDGVKMAEVNQTFVDLVAPFATTGTVLAKFARKGLDATDTVALSGAHTIGISQCSSFTDRLYPRQDPNMDKSFANNLKQICPRPNSNAVTVLDIRTPDIFDNKYFVDLMNRQGLFTSDQDLFIDARTKDIVTSFAVNEGLFFEKFVLAMIKMGQIEVLTGGQGEIRGDCSVRNSDNNKLASVVGEDLGSSSKMK >Manes.10G105300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502261:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502261:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502344:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502261:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502261:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502261:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502344:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502343:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502261:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502343:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25497233:25502261:1 gene:Manes.10G105300.v8.1 transcript:Manes.10G105300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQKHKKRSEMGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPAGDEDGGAEAPHQDMPSLFHSILNKFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWVASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPNDPFLKWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLYVNFGSTTTMTLDELTEFAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIVDRGFLTSWCPQEQVLKHPSVGVFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATFPGGSSCKNLDKLLEILVGNNDNNN >Manes.S095325.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251225.1:195018:198588:-1 gene:Manes.S095325.v8.1 transcript:Manes.S095325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSPKSGTGCNMGDRLGSFWDGSYYVEIGQRM >Manes.03G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6628289:6630095:-1 gene:Manes.03G062300.v8.1 transcript:Manes.03G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALGSQREATQPDCIKALIVEFITTFFFVFAGVGAAMAADKLVGGSLAGLLFIALAHALVVAVMISAGHISGGHLNPAVTLGLLAGGHITVFRSILYWIDQLIASTVACLLLSYLTGGLATPVITLASGVGYAQGVVWEIVLTFSLLFTVYGTIVDPKKGAIDGLGPLLTGLVVGANILAGGSFSGAAMNPARAFGPALVSWNWTHHWVYWVGPLIGGGLAGFIYENFFITRSHLPLPNDEENY >Manes.15G101900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7990681:7998368:1 gene:Manes.15G101900.v8.1 transcript:Manes.15G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLCRALAQSTRRTRSRSLQFLLRSSYSISTSSSNSFATDAKQSTISHRKSPPLPSFSDCPRPREIPFQAKVANSVNLVGYIGQPIQFQISPDSKNWAATVITQKPSSDSPPLWIPIIFEGNLAHVAATHLKKDNYVYIAGQLIGHPPPIDVIGRQAQMWIMVDNMSFVQASSQNTKSYGRWQQDGSYP >Manes.02G115800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8893649:8897697:1 gene:Manes.02G115800.v8.1 transcript:Manes.02G115800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLEEPLRIREEECKAAVGYYGLCAVSGMLSAGTAHLAITPLDVLKVNMQVNPVKYNSIYSCFTILLREDGPSAFWRGWAGKFFGYGVQGGCRFGLYEYFRNLYSNVLVDHNRSFVFFMSSASAEMFANLALCPFEAVKVRVQAQPHFAKGLLDGFPKLYASEGFHGFYRGLVPLLGRNLPYFLYRNVIQSRKEDCSKAQQLGVTCLAGYAAGSVGSFISNPADNIVACLYNKKADSLILAVKRIGFFNLFTRSLPIRILLVGPVVALQWLFYDSIKVLSGLSTSGEVITDVEIDGAN >Manes.02G115800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8893649:8897697:1 gene:Manes.02G115800.v8.1 transcript:Manes.02G115800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLEEPLRIREEECKAAVGYYGLCAVSGMLSAGTAHLAITPLDVLKVNMQVNPVKYNSIYSCFTILLREDGPSAFWRGWAGKFFGYGVQGGCRFGLYEYFRNLYSNVLVDHNRSFVFFMSSASAEMFANLALCPFEAVKVRVQAQPHFAKGLLDGFPKLYASEGFHGFYRGLVPLLGRNLPYFLYRNVIQSRKEDCSKAQQLGVTCLAGYAAGSVGSFISNPADNIVACLYNKKADSLILAVKRIGFFNLFTRSLPIRILLVGPVVALQWLFYDSIKVLSGLSTSGEVITDVEIDGAN >Manes.02G115800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8893650:8897696:1 gene:Manes.02G115800.v8.1 transcript:Manes.02G115800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLEEPLRIREEECKAAVGYYGLCAVSGMLSAGTAHLAITPLDVLKVNMQVNPVKYNSIYSCFTILLREDGPSAFWRGWAGKFFGYGVQGGCRFGLYEYFRNLYSNVLVDHNRSFVFFMSSASAEMFANLALCPFEAVKVRVQAQPHFAKGLLDGFPKLYASEGFHGFYRGLVPLLGRNLPFSMVMFSTFEHSVDFLYRNVIQSRKEDCSKAQQLGVTCLAGYAAGSVGSFISNPADNIVACLYNKKADSLILAVKRIGFFNLFTRSLPIRILLVGPVVALQWLFYDSIKVLSGLSTSGEVITDVEIDGAN >Manes.02G115800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8893608:8897912:1 gene:Manes.02G115800.v8.1 transcript:Manes.02G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLEEPLRIREEECKAAVGYYGLCAVSGMLSAGTAHLAITPLDVLKVNMQVNPVKYNSIYSCFTILLREDGPSAFWRGWAGKFFGYGVQGGCRFGLYEYFRNLYSNVLVDHNRSFVFFMSSASAEMFANLALCPFEAVKVRVQAQPHFAKGLLDGFPKLYASEGFHGFYRGLVPLLGRNLPFSMVMFSTFEHSVDFLYRNVIQSRKEDCSKAQQLGVTCLAGYAAGSVGSFISNPADNIVACLYNKKADSLILAVKRIGFFNLFTRSLPIRILLVGPVVALQWLFYDSIKVLSGLSTSGEVITDVEIDGAN >Manes.01G096200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29701016:29703406:-1 gene:Manes.01G096200.v8.1 transcript:Manes.01G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENGFASKKQTTTSEGTNDENVVFPQHKISMFSSSSSEFYTLEQLKEANLEVVSSPEVSGSGQEPMRITKSSGGSDRKRIPSSLFASKPGTSLEWSVTSNESLFSIHMGNNSFSNYQAFMLYKSGELTKLDDMHNTPPSLLPVLVEQTLEKKMEEMNKGSKVAEEKPVEPAKMEPEFSVNNSKTIPEETKKNVCQEKATPDDLRNSSSRTHSFHFPALETNGAGNVSVKVVMDKQTSKKQTKQESQPQTPVTPAKPNGKSWFSCFSCCSLGC >Manes.17G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30709199:30717172:1 gene:Manes.17G100400.v8.1 transcript:Manes.17G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLIKKDDDRDDEAEYSPFLGIEKGAVLQEARVFNDPQLDPRKCSQVITKLLYLLNQGETFTKIEATEVFFAVTKLFQSRDIGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESALNTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELSGVTSCELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAAAVSTLAKFGALVDSLKPRIFVLLRRCLFDSDDEVRDRATLYLNTLGGDGQVVETDKDVQVFLFGPLDIPLVNLETSLKNYEPSEEPFDIHSVPREVKSQPLAEKKAPGKKPTGLGAPPTGPPSTVDAYERLLSSIPEFSNFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENVTVIVDASEAEEFSEVASKPLSSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIVKEVDTATGEAEDDGVEDEYQLEDLEVVAADYMMKVGVSNFRNAWESMGPDFERVDEYGLGPRESLAEAVSAVISLLGMEPCEGTEVVPSNSRSHSCLLSGVFIGNVRVLVRLQFGIDGPKDVAMKLAVRSEDESVSDAIHEIVASG >Manes.04G108200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31237148:31241585:1 gene:Manes.04G108200.v8.1 transcript:Manes.04G108200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIVLKAGSRPPWVGLAAAAWVQVAAGNGYNFPLYSTALKSVLGFNQQQITILGVANDIGENVGLIPGIVINKLPPWAVLSVGVVLCFLGYGILWLAVSQTVPSLPYWLLWLALVVATNSNAWFGTAVLVTNMRNFPLSRGTVSGILKGYVGISAAVYTVLFNMVLGDSASKLLLFLALGIPIICLAMMFFVRPCTPASGEDSSVHVHFVFTQAANVVLALYLLISTVISDVIPLSDTVSYILVAIMIIILISPLAIPVKMTLFPARPKNSIPATGSSNQLVSAEGDLAPTDPLLTPSSSASYLGSFLDSEDASDVEILLAMGEGAVKKKRKPKRGEDFKFAEALIKADFWLLWVVYFLGVGSGVTILNNLAQVGVAYGLDDTTILLALFSFCNFVGRLGSGAVSEHFVRSKAIPRTFFMICAQTIMVVIFILFALALDGILYAATAMIGICYGILYSVMVPTASELFGLKHFGIIYAFMLLGNPIGALLFSGLLAGSVYDAEATKQGSSTCVGPDCFKVTFLVLAGICGLGTILSIILTVRLRPVYQMLYAGGSFRLPQSSGH >Manes.04G108200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31237148:31241585:1 gene:Manes.04G108200.v8.1 transcript:Manes.04G108200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIVLKAGSRPPWVGLAAAAWVQVAAGNGYNFPLYSTALKSVLGFNQQQITILGVANDIGENVGLIPGIVINKLPPWAVLSVGVVLCFLGYGILWLAVSQTVPSLPYWLLWLALVVATNSNAWFGTAVLVTNMRNFPLSRGTVSGILKGYVGISAAVYTVLFNMVLGDSASKLLLFLALGIPIICLAMMFFVRPCTPASGEDSSVHVHFVFTQAANVVLALYLLISTVISDVIPLSDTVSYILVAIMIIILISPLAIPVKMTLFPARPKNSIPATGSSNQLVSAEGDLAPTDPLLTPSSSASYLGSFLDSEDASDVEILLAMGEGAVKKKRKPKRGEDFKFAEALIKADFWLLWVVYFLGVGSGVTILNNLAQVGVAYGLDDTTILLALFSFCNFVGRLGSGAVSEHFVRSKAIPRTFFMICAQTIMVVIFILFALALDGILYAATAMIGICYGILYSVMVPTASELFGLKHFGIIYAFMLLGNPIGALLFSGLLAGSVYDAEATKQGSSTCVGPDCFKVTFLVLAGICGLGTILSIILTVRLRPVYQMLYAGGSFRLPQSSGH >Manes.02G156600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12247736:12250378:1 gene:Manes.02G156600.v8.1 transcript:Manes.02G156600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQFPATLTTHNHNIDHCLVSLTFDSSREEKIPSSGRFSATSSIMGSISSSPPSSALIGDYIGVESCLDLKNNDDIFKSSSEVEKSQGFCLRRSKRDQSCAVKKEFPPPITSLARTGNLSSHMPWVLRRYYTDDGRLIIREERVKHHEYFQAHRSNGRLTLKLVPLDDEVYPPYPQGLADDLDDSESEFENCQEEVPDELDDCHTDEVDDDEEEVQPGTDNCSSEEAVKNELENCLVNEEEDDEELDNRRSKEEKEDKQETVIIDQYKDTQVNENGGDYAFDNGVNYFHSCNDITVKDVVYEDQRVPSVETGTSGIGGNMSAFKCLNIYNSTVRPDSNCIFGVPVSPLRPVHG >Manes.01G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2776447:2789421:1 gene:Manes.01G007900.v8.1 transcript:Manes.01G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCYSSLGKRGVDENGAAEQTTTASAASPRRHRWKKKSAGCGDESLLNHIPGRMSMNGSSKIACLYTQQGKKGTNQDAMLVWENFSARSDAVFCGVFDGHGPFGHMVSKKVRDSLPLILCTQWKDGPNDEQSSPHKAGNTPGSTNSEETASASMDDESHDSLESEQHEQFPEMYLPLKKSMMKAFKLMDKELKLHPTIDCFCSGTTAVTLIKQGQDLVVGNLGDSRAVLATRAEDNSLLAIQLTVDLKPDLPREAARIHQCKGRVFALKDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDIYYRHLTKRDEFIILATDGVWDVLSNKEAVDIVASAPGRATAARALVDCAVRAWKLKYPTSKNDDCAVVCLFLDQLSAANAEVEESTMKMIPEESSECILKTNENDSQPEVNDDSHVYVLSHSDTIRGSDEIVPVSELTEEKLSLKSQSQSKRSLAECISTAEDEEWSALEGITRVNSLLSLPRLLSGDRRTTSWRKWL >Manes.02G149400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11471838:11478605:1 gene:Manes.02G149400.v8.1 transcript:Manes.02G149400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRWRVVVVLRKVLTCAICAITMMALLSVHVQVFPSSRVPDLPEPYKLPTTTTQPHVNKYSRLSSVQEQSWSREQTPPHLSKPPLPSHKVNWDSKLWKPPPSRDYWPCIERSSNYTFSGESKGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWQDTSIFSDVFNEDHFINALANDVKVIKKLPKEMASAPKIVKHFRSWSGMGYYQGEIASLWDEYQVIRAAKSDSRLANNNLPPDIQKLRCRACYEALRFSSRIEEIGKILVDRMRSYGPYIALHLRYEKDMLAFSGCTHGLSSAEADELKKIREETAYWKVKEIDSREQRSKGYCPLTPKEVAMFLIALGYPSNTPIYIAAGEIYGGDSRMADLLSRYPILMSKEKLASVEELEPFANHASQMAALDYIVSIESDVFVPSYSGNMARAVEGHRRFFGHRKTISPDRKQLVPLFDKIERGKLKEGENLSRKIIEIHKRRQGSPRRRKGPISGTKGMDRFRSEEAFYVNPLPDCLCQRQSPNLNTSLVIK >Manes.02G149400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11471837:11478605:1 gene:Manes.02G149400.v8.1 transcript:Manes.02G149400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRWRVVVVLRKVLTCAICAITMMALLSVHVQVFPSSRVPDLPEPYKLPTTTTQPHVNKYSRLSSVQEQSWSREQTPPHLSKPPLPSHKVNWDSKLWKPPPSRDYWPCIERSSNYTFSGESKGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWQDTSIFSDVFNEDHFINALANDVKVIKKLPKEMASAPKIVKHFRSWSGMGYYQGEIASLWDEYQVIRAAKSDSRLANNNLPPDIQKLRCRACYEALRFSSRIEEIGKILVDRMRSYGPYIALHLRYEKDMLAFSGCTHGLSSAEADELKKIREETAYWKVKEIDSREQRSKGYCPLTPKEVAMFLIALGYPSNTPIYIAAGEIYGGDSRMADLLSRYPILMSKEKLASVEELEPFANHASQMAALDYIVSIESDVFVPSYSGNMARAVEGHRRFFGHRKTISPDRKQLVPLFDKIERGKLKEGENLSRKIIEIHKRSH >Manes.02G149400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11471837:11478605:1 gene:Manes.02G149400.v8.1 transcript:Manes.02G149400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRWRVVVVLRKVLTCAICAITMMALLSVHVQVFPSSRVPDLPEPYKLPTTTTQQPHVNKYSRLSSVQEQSWSREQTPPHLSKPPLPSHKVNWDSKLWKPPPSRDYWPCIERSSNYTFSGESKGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWQDTSIFSDVFNEDHFINALANDVKVIKKLPKEMASAPKIVKHFRSWSGMGYYQGEIASLWDEYQVIRAAKSDSRLANNNLPPDIQKLRCRACYEALRFSSRIEEIGKILVDRMRSYGPYIALHLRYEKDMLAFSGCTHGLSSAEADELKKIREETAYWKVKEIDSREQRSKGYCPLTPKEVAMFLIALGYPSNTPIYIAAGEIYGGDSRMADLLSRYPILMSKEKLASVEELEPFANHASQMAALDYIVSIESDVFVPSYSGNMARAVEGHRRFFGHRKTISPDRKQLVPLFDKIERGKLKEGENLSRKIIEIHKRSH >Manes.02G149400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11471838:11478605:1 gene:Manes.02G149400.v8.1 transcript:Manes.02G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRWRVVVVLRKVLTCAICAITMMALLSVHVQVFPSSRVPDLPEPYKLPTTTTQQPHVNKYSRLSSVQEQSWSREQTPPHLSKPPLPSHKVNWDSKLWKPPPSRDYWPCIERSSNYTFSGESKGYLLVHTNGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWQDTSIFSDVFNEDHFINALANDVKVIKKLPKEMASAPKIVKHFRSWSGMGYYQGEIASLWDEYQVIRAAKSDSRLANNNLPPDIQKLRCRACYEALRFSSRIEEIGKILVDRMRSYGPYIALHLRYEKDMLAFSGCTHGLSSAEADELKKIREETAYWKVKEIDSREQRSKGYCPLTPKEVAMFLIALGYPSNTPIYIAAGEIYGGDSRMADLLSRYPILMSKEKLASVEELEPFANHASQMAALDYIVSIESDVFVPSYSGNMARAVEGHRRFFGHRKTISPDRKQLVPLFDKIERGKLKEGENLSRKIIEIHKRRQGSPRRRKGPISGTKGMDRFRSEEAFYVNPLPDCLCQRQSPNLNTSLVIK >Manes.16G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4253059:4258581:1 gene:Manes.16G034900.v8.1 transcript:Manes.16G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCGVLKDRIQNWLRDYDRLQYLAVILIYIQIGCSLIGSLGALYNGVLLINLGIALFALVAIESSSQSLGRTYAVLLFCCILLDISWFIFFSHDIWIISSDSDGMFFIFSLKLTLAMQIVGFSVRLSSSLLWIQIYRLGVSLVESAGPQEADFDLRNSFLSPVTPAAARECTDSDVALGGSIYDPAYYSSLFEDGRNNRYVHVDQNSSILDSGSNSIAEVSQLKVSIGRSFQIIDEENAIGEHQTA >Manes.11G031700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3103749:3107927:-1 gene:Manes.11G031700.v8.1 transcript:Manes.11G031700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDTISSSASISTPRFRCFDPSNSYHATTVNHRPYFLTFSANPTTAGISTRDSSSKDAMGDSTVYEPKIITGDAGYVLEDVPHLTDHISDLPTYPNPLQDNPSYSVVKQYFVNEDDTVAQKIVVQKDSPRGTHFRRAGPRQKVYFKSDEVHACIVTCGGLCPGLNTVIRELVCGLHHMYGVNKVLGIEGGYRGFYARNTVPLSPKVVNDIHKRGGTILGTSRGGYDTSKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEFIRKRLKEHGHMVIVIAEGAGQELLCESIRAMDQKDASGNKLLQDVGLWISQKIKDHFASQQKMNITLKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFHVSSPMANSHSFLSTFSLLLINSTLIMLLKRDSLFLHIQSLYIFQFVCLNPYIDLDIVSCSK >Manes.11G031700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3104329:3107927:-1 gene:Manes.11G031700.v8.1 transcript:Manes.11G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDTISSSASISTPRFRCFDPSNSYHATTVNHRPYFLTFSANPTTAGISTRDSSSKDAMGDSTVYEPKIITGDAGYVLEDVPHLTDHISDLPTYPNPLQDNPSYSVVKQYFVNEDDTVAQKIVVQKDSPRGTHFRRAGPRQKVYFKSDEVHACIVTCGGLCPGLNTVIRELVCGLHHMYGVNKVLGIEGGYRGFYARNTVPLSPKVVNDIHKRGGTILGTSRGGYDTSKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGPGGLFEFIRKRLKEHGHMVIVIAEGAGQELLCESIRAMDQKDASGNKLLQDVGLWISQKIKDHFASQQKMNITLKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFHQVTERQNKVVITDRMWARLLSSTNQPSFVDPKQVIKDINADEEPQSELLDGDNCIDTPTTKERV >Manes.02G049600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4020111:4025214:-1 gene:Manes.02G049600.v8.1 transcript:Manes.02G049600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKRTLSVADVSPSSLPVNDHSLAGPSRYPSGYLNFEQKHKNDKKDGSRRKKCSYDIDNGNEEAAKEDQDSNQQGKKVRRRSSSGQSGPINLKLGFSRRHVEAEQIAAGWPSWLSSAAAQAINGWVPLRADAFEKLEKIGQGTYSSVFRARELETGRMVALKKVRFDNFQPESIRFMAREILILRRLDHPNVMKLEGIITSRLSSSIYLVFEYMEHDLAGLSSSPDIEFTESQVKCYMKQLLQGIEHCHLRGIMHRDIKVSNVLVNNEGILKIGDFGLANVLNSKNCNHLTSRVVTLWYRPPELLMGSTSYGMSVDLWSVGCVFAELFIGKPLLKGRTEVEQLHKIFKLCGSPSDEYWKQSKLPNATMFRPQHVYESSLRERLKDFPTTAVDLMETFLSIEPEKRGTASSALLSQYFNTVPYACEPSSLPKYTPNKEMDAKYREAARRKAAGSRTRDKDIGPPRKPRKANTTLEECNINNKFAPKEKVMDTQFVLKVKETNVQMKKERGNMSTELSSSFDTNSETSQATKGDYVFSGPAPVVASSGFAWAKRLKEDTKSTQSISLSEISALESSFNFANDSFDLPKEKEEEATSKHLMLKHRRRYDSSDSFDTANIYPLYDAKATDETDALMTNPVRDHCKRREKVEFSGPLLSHTNKIDELLQRNESQIREAARRSRLD >Manes.02G049600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4020111:4025214:-1 gene:Manes.02G049600.v8.1 transcript:Manes.02G049600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKRTLSVADVSPSSLPVNDHSLAGPSRYPSGYLNFEQKHKNDKKDGSRRKKCSYDIDNGNEEAAKEDQDSNQQGKKVRRRSSSGQSGPINLKLGFSRRHVEAEQIAAGWPSWLSSAAAQAINGWVPLRADAFEKLEKIGQGTYSSVFRARELETGRMVALKKVRFDNFQPESIRFMAREILILRRLDHPNVMKLEGIITSRLSSSIYLVFEYMEHDLAGLSSSPDIEFTESQVKCYMKQLLQGIEHCHLRGIMHRDIKVSNVLVNNEGILKIGDFGLANVLNSKNCNHLTSRVVTLWYRPPELLMGSTSYGMSVDLWSVGCVFAELFIGKPLLKGRTEVEQLHKIFKLCGSPSDEYWKQSKLPNATMFRPQHVYESSLRERLKDFPTTAVDLMETFLSIEPEKRGTASSALLSQYFNTVPYACEPSSLPKYTPNKEMDAKYREAARRKAAGSRTRDKDIGPPRKPRKANTTLEECNINNKFAPKEKVMDTQFVLKVKETNVQMKKERGNMSTELSSSFDTNSETSQATKGDYVFSGPAPVVASSGFAWAKRLKEDTKSTQSISLSEISALESSFNFANDSFDLPKEKEEEATSKHLMLKHRRRYDSSDSFDTANIYPLYDAKATDETDALMTNPDHCKRREKVEFSGPLLSHTNKIDELLQRNESQIREAARRSRLD >Manes.15G034100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2686243:2687236:-1 gene:Manes.15G034100.v8.1 transcript:Manes.15G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMKMSASLSVISSNLKKKAIPFYTPFVITAKAPNPTLLLSSATPNKPNLVSLGAYSILVNDQSDHLPEFPRQPDYSPPPVPPEVPELPNVPEIETTPPEVETQPPNIPEVPTPGPDYPVPPPPNPTPPDIPLPPPGMPRPPPPDQLPPRLPPDPDILPPPIHPPPDILPPPEIKPPPGPFLLKGGTR >Manes.12G043000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3729439:3732082:1 gene:Manes.12G043000.v8.1 transcript:Manes.12G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQLWPMDSSMYVPATATRATHPSSLFPEITRCKSIAQLNQIHAHFIKTGLVRDPLAAAEILKFLSLSNHRDVNYARKFFAQIHEPNCFSWNTVIRAFAETDDDDSQNDSDSNPFEALFYFCQMCGDGLVEPNRFTFPSVLKACAKTARLQEGKQVHGFVVKLGLDNDEFVASNLIRMYVMCGLMEDANVLFIKHVSEYVNRSKLARDKRTQDGNVVLWNVMIDGYVRLGDIKTSRELFDNMPQRSVVSWNVMISGYAQSGHFKEAIDLFHDMQMGDIPPNYVTLVSILPAISRLGALELGKWVHLYAEKNRLEINDVLGSALIDMYSKCGSIEKAVQVFERIRDKKNAVTWSAIIGGLAMHGRAKDALAYFRRMQQAGVTPSDVVYIGLLSACSHAGLVEEGRSVFNDMVNSVGLEPRIEHYGCMVDLLGRAGLLEEAEQLILNMPIQPDDVIWKALLGACKMHGNIEMGERVAGILMDMFPHDSGSYVALSNIFASRGNWEGVAEVRLKMKEMDIRKEPGCSWIELDGVIHEFLVEDESHPRAKEIHSMLEEISNRLRSTGYRPNTTQVLLNMDEEDKESTLHYHSERIAIAYGLISTNPQTPLRIVKNLRVCEDCHSSIKLISKIYQRKIIVRDRKRFHHFENGACSCMDYW >Manes.03G001600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:254054:256629:1 gene:Manes.03G001600.v8.1 transcript:Manes.03G001600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDMINGLSSCGLTESFEAWSHSQQKSSKQSSIDAQSSVCVSDYNKPKQTRVSSSGSSDDEEEVEIEAGPCEQSTNPTDLRRIRRMVSNRESARRSRKRKQAHLHDLESQVEELTGENASLYKQLLVATQQYRDADTNNRVLKSDVEALRAKVKLAEDMVTRGSLTCNMNEVVQNHLTSPQSLNNHNLRVSPTITINREDASSYVGGLTISGPGIDNLNNVNLRNSCVSELWP >Manes.03G001600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:254054:256629:1 gene:Manes.03G001600.v8.1 transcript:Manes.03G001600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRKEWSRGKQEAEMKGSESEVALQEAINKTQFYKPEEEMKGNRDNSLSAELEVEGLFGDDFSFCFKTLDMINGLSSCGLTESFEAWSHSQQKSSKQSSIDAQSSVCVSDYNKPKQTRVSSSGSSDDEEEVEIEAGPCEQSTNPTDLRRIRRMVSNRESARRSRKRKQAHLHDLESQVEELTGENASLYKQLLVATQQYRDADTNNRVLKSDVEALRAKVKLAEDMVTRGSLTCNMNEVVQNHLTSPQSLNNHNLRVSPTITINREDASSYVGGLTISGPGIDNLNNVNLRNSCVSELWP >Manes.16G105750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31131174:31131752:1 gene:Manes.16G105750.v8.1 transcript:Manes.16G105750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGLCQWTAICMCDSGSSYNDNFIRLTVGLYVFPQIFLKVPMSLTPSSHRSFLGVFHLLVLYFWCLMPCANGSLLIEVAKLADCSGNVVVGTGWVSIASALFGGCVGPSISLCALSWVALSSSFVPDIATYFDRSK >Manes.02G176801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13940055:13943420:-1 gene:Manes.02G176801.v8.1 transcript:Manes.02G176801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSNRSLQHLYAFTMLLFSVHFNTGVGDVGIQCIERERQALLRIKHDLIDDYDVLSSWTTAEDRRDCCIWRGIACDNRTSHVTELHLHFNETADKPLRGKINHSLLELRHLTYLDLRGNNFGGTQFPADKNGSLSKLRYLDLTNANFAGTISSVLANLSSLQSLRLNLNHFHDLGNVEWLHGLSSLSYLHLSGNPLVRPSDWLQIANKLTHLKSLRLALCFSGDGIPPTLSPLNSSSSLTSINLSDNNLVIPSIHPWLSNISQNIIQLDLSHNLLQSSTPAEIGHLVSLEILNLSNTSLVGSVPKSLGNMSHLRSLHLSRNNLKMQLPDLIQNLSGSTEKSLEELYLYGNEITGPLPNFTNFSSLRIIDLHNNSLKETIDKSIGLLSKLQVLHLGLNSLHGVISEDHFLNLSNLKFLSLSGNSLVLNLSFDWVPPFSLHYIHLQSCKMGPHFPKWLQTQKYYCELDISDAEISDSIPMWFWNLSSASNRLNVSHNNLSGMVPHVSLHLYYFPTIDMSFNRLEGPLPLLLLPSRLESITRYMSSEDQRTIRTLIYLDLSNNLFSGVIPDHLMHMQDLIFLNLGNNNLSGKVPTSIGWLSNLETLNLGNNALSGELPLSLKNCSGLRFIDLSGNKLSGNIPTWIGERLISLQYLSLQSNQFHGAIVPINTCSNLGPLCKQYQWNFTTLHQEFKSYG >Manes.05G158200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27083151:27088251:-1 gene:Manes.05G158200.v8.1 transcript:Manes.05G158200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKQLVSRRSITSLSRFNGRLLYMYPYCISRDFCAISASSTRMISPSSIVDRTFPHNPKLSEHFFFFKRCLHFAQQTTLSELSFKPKFNFNDSEEDDGTMNEFLSRFVWIMRGKLSEVYTDCNKQTIDSMLLIIVGKVVSEMEKSSLEQMLGATMAIPSQDFSEDLWKTVWEVSNSVLEDMDKERKKEKMKGFLQSEEVKEMCRFAGEIGIRGDMLRELRFKWAREKMEESEFYASLEKLREEEKVQEKEETDGKTAETVSEMAIMGDDKPKLISLPKRHGKIRYKIYGLDLSDPKWAEVADKIHETGEIIWPQEPKPIHGRSKLVTEKILSLREEDDPSPLLAEWAELLQPSRIDWVTLLDKLKEKNTSLYFKVAEILLSEKSYQPNIRDYSVLIDSHANENRLEDAERILKKMNEVGILPDMIISKVLVHMYSKAGYLDRAKEVFESLRSFGFQLDAKVYNSMIMAYINAGQPKVGESLMREMEARDVKPTEEIYMAFLRSFAQHGDVSGAGRIATTMQFAGFQPSLETCTLLVEAHGRAGDPDQARNNFNYMIKVGHKPDDRCTAIMIEAYEKKNLLDKALDLLLQLENNGFEPGPATCAVLVDWLGKLQLVNEAEELLNKISEQGEAPPFKIHVSLCDMYARAGMEKKALQALGVLESKKEQLGSDDFERVINGLIAGSFVQDAHRIHGLMESQGFTASEHLKVALMASRAFGGRK >Manes.05G158200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27083151:27088241:-1 gene:Manes.05G158200.v8.1 transcript:Manes.05G158200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKQLVSRRSITSLSRFNGRLLYMYPYCISRDFCAISASSTRMISPSSIVDRTFPHNPKLSEHFFFFKRCLHFAQQTTLSELSFKPKFNFNDSEEDDGTMNEFLSRFVWIMRGKLSEVYTDCNKQTIDSMLLIIVGKVVSEMEKSSLEQMLGATMAIPSQDFSEDLWKTVWEVSNSVLEDMDKERKKEKMKGFLQSEEVKEMCRFAGEIGIRGDMLRELRFKWAREKMEESEFYASLEKLREEEKVQEKEETDGKTAETVSEMAIMGDDKPKLISLPKRHGKIRYKIYGLDLSDPKWAEVADKIHETGEIIWPQEPKPIHGRSKLVTEKILSLREEDDPSPLLAEWAELLQPSRIDWVTLLDKLKEKNTSLYFKVAEILLSEKSYQPNIRDYSVLIDSHANENRLEDAERILKKMNEVGILPDMIISKVLVHMYSKAGYLDRAKEVFESLRSFGFQLDAKVYNSMIMAYINAGQPKVGESLMREMEARDVKPTEEIYMAFLRSFAQHGDVSGAGRIATTMQFAGFQPSLETCTLLVEAHGRAGDPDQARNNFNYMIKVGHKPDDRCTAIMIEAYEKKNLLDKALDLLLQLENNGFEPGPATCAVLVDWLGKLQLVNEAEELLNKISEQGEAPPFKIHVSLCDMYARAGMEKKALQALGVLESKKEQLGSDDFERVINGLIAGSFVQDAHRIHGLMESQGFTASEHLKVALMASRAFGGRK >Manes.07G047100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5367456:5370288:1 gene:Manes.07G047100.v8.1 transcript:Manes.07G047100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNSSMETSSLLYTFVTVICLMTGWLADYGNAQLVNCKASDRETLIDFKNGLVDPKNLLSSWEGSNCCQWWGVGCNNWTGDVIVVDIHNPDKFLNFTNFSSLAVLDLSHNIFHSFPQWLVNVSSLMYIDLSFNSIWDSIPVGFTKLPNLRFLNLRGNGLMVSTYQLFEESWSKIEVIQLGFNFVQGKLPPSIGNLTSLTHLALPGNSIEGNIPNSIFKLCSLEYLDLSYNNLTGSLPEHLEGTKHLAVLILKQNELNGTLPNNLGQISNLSILDVSSNRLTGTLPDSLGKLSELSFLDVSSNCLTGIVSESHFAKLTKIKVLSFSWNSFIFKISPNWDPPFQVESLMLSSCRLGSFPGWLKSQRHLLDLDLSNANMSGPIPSWFWDISGNLSLLNFSSNSLWGQLPNKFKVHFNAFTDLSFNLLEGPIPLPTNQIIILNLSHNNFSGPIPENIGDLSFLSFFSLANNQISGEIPTSIGELQVASVIDLSRNTLTGSIPKSIGNCLYLEVLDLQNNNLSGKIPRSLGQLSDLQTLHLRDNIITGKLPSSFKGLWSLETLDLGYNRLIGKIPQWPGDAFPHLKVLSLRSNAFSGKIPSALLNLTSLKILDLAENQLSGSIPPGMSNLNAMTQEQNIKQDLIYGWVAGVYYEENVIVNTKGQSLEYTRTLSFLTCIDLSGNYLHGEFPHEVTKLAGLVVLNLSRNQISGQIPQSISELHQLASLDLSCNMFSGPIPSSIISMSFLEFLNMSYNNFSGRIPYAGQMSTFEASSFSGNPGLCGAPLAVECSDSGPSIPGINGEIDNGDGYIVDKWLYLAIGLGFAAGVLIPYLLMAFKRTWSYMYFDSVDKVADALLYLRCKTSACFRNHCYHRR >Manes.11G133501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29774000:29776853:-1 gene:Manes.11G133501.v8.1 transcript:Manes.11G133501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWSRCGVSLSSTVSLGRWLWTRHSCTKLFVGGLSYDTNETVLKDAFKQHGEIIEAVKIICDHVSGKSEGYGFVQFTSESAASTALKEMNDQFLDGRQIRVYFAKGR >Manes.11G133501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29774000:29776853:-1 gene:Manes.11G133501.v8.1 transcript:Manes.11G133501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWSRCGVSLSSTVSLGRWLWTRHSCTKLFVGGLSYDTNETVLKDAFKQHGEIIEVKIICDHVSGKSEGYGFVQFTSESAASTALKEMNDQFLDGRQIRVYFAKGR >Manes.11G133501.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29774889:29775479:-1 gene:Manes.11G133501.v8.1 transcript:Manes.11G133501.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWSRCGVSLSSTVSLGRWLWTRHSCTKLFVGGLSYDTNETVLKDAFKQHGEIIEVKIICDHVSGKSEGYGFVQFTSESAASTALKEMNDQFLDGRQIRVYFAKGR >Manes.11G133501.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29774000:29776853:-1 gene:Manes.11G133501.v8.1 transcript:Manes.11G133501.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWSRCGVSLSSTVSLGRWLWTRHSCTKLFVGGLSYDTNETVLKDAFKQHGEIIEVKIICDHVSGKSEGYGFVQFTSESAASTALKEMNDQFLDGRQIRVYFAKGR >Manes.11G133501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29774000:29776853:-1 gene:Manes.11G133501.v8.1 transcript:Manes.11G133501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCWSRCGVSLSSTVSLGRWLWTRHSCTKLFVGGLSYDTNETVLKDAFKQHGEIIEAVKIICDHVSGKSEGYGFVQFTSESAASTALKEMNDQFLDGRQIRVYFAKGR >Manes.01G224900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39088100:39093303:1 gene:Manes.01G224900.v8.1 transcript:Manes.01G224900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPSARTEARRNKYKVAVDAEEGRRRREDNMVEIRKNKREENLQKKRREGLQSPQQQLTSSISSSATADLRLESLPATAAGVWSEDRNSQLGATTHIRKLLSTGCSPPINEVVQSGVVPRFIEFLAREGFPQLQFEAAWALTNIASGTSENTQVLIDHGAVPTFIKLLSSPLDEVREQAVWALGNIAGDSPQCRDLVLGHGALMPLLAQFNEHSKLSTLRNATWTLCNLCRGKPQPLFEQMKPAIPALERLIHSDDEEILTDACWALSYLSDGANDKIQAAIEAGVCPRLVELLLHPSSTVVLPASRTVGNIVTGNDMQTQYMINCQCLPSLLHLLTSINKKKIQTEACWTISNITAGNANQIQAVIEAGIIGPLVYLIQNAKFEVKKEAAWAISNATSGGTHEQIKFLASQGCIKPLCDLLICPDPTTVTVCLEGLENILNAGVAEKNLTSTGEANLYAQMIEDAEGLEKIENLQNHDNDHIYEISVRILETYWLKEDDDEAEPEGDGSPTGFNVGGSNSGGFNFS >Manes.01G224900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39088100:39093897:1 gene:Manes.01G224900.v8.1 transcript:Manes.01G224900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPSARTEARRNKYKVAVDAEEGRRRREDNMVEIRKNKREENLQKKRREGLQSPQQQLTSSISSSATADLRLESLPATAAGVWSEDRNSQLGATTHIRKLLSTGCSPPINEVVQSGVVPRFIEFLAREGFPQLQFEAAWALTNIASGTSENTQVLIDHGAVPTFIKLLSSPLDEVREQAVWALGNIAGDSPQCRDLVLGHGALMPLLAQFNEHSKLSTLRNATWTLCNLCRGKPQPLFEQMKPAIPALERLIHSDDEEILTDACWALSYLSDGANDKIQAAIEAGVCPRLVELLLHPSSTVVLPASRTVGNIVTGNDMQTQYMINCQCLPSLLHLLTSINKKKIQTEACWTISNITAGNANQIQAVIEAGIIGPLVYLIQNAKFEVKKEAAWAISNATSGGTHEQIKFLASQGCIKPLCDLLICPDPTTVTVCLEGLENILNAGVAEKNLTSTGEANLYAQMIEDAEGLEKIENLQNHDNDHIYEISVRILETYWLKEDDDEAEPEGDGSPTGFNVGGSNSGGFNFS >Manes.08G058200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6731359:6733643:-1 gene:Manes.08G058200.v8.1 transcript:Manes.08G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVADSKFHVLAVDDSLIDRKLIERLLKISSYRVTTVDSGSKALEFLGLHEDETPSVSPNNHQEVEVNLIITDYCMPGMTGYDLLKKIKESSSLRNIPVVIMSSENVPSRITRCLQEGAEEFFLKPVQLSDLNRLKPHMLKTKSKTQKQENQDIQVEKSDIQSQLQQQQPPQQPPLLPPNNNKRKAMEESLSPDRTRPRYNDITTVV >Manes.13G033200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4158648:4160664:1 gene:Manes.13G033200.v8.1 transcript:Manes.13G033200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFFNQYLKPELSFDSFSWEEPFFYHPNSLPFDANDSEEMLLFDVLTQEPNKESSESNSSTGTKEDEVTSKEEEVSVSTLKNERSYRGVRKRPWGKYAAEIRDSTRNGIRVWLGTFDSAEAAALAYDQAAFSMRGSMAVLNFPVEMVRASLQDIKYRCEDGCSPVVALKRKHSMRRKSASKKHKGKEVNIKPKSVVVLEDLGAEYLEELLSSSCGGSPDPCSLGRRRLFTFLGMISWGDHGGVQLSL >Manes.06G160932.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28823225:28826615:-1 gene:Manes.06G160932.v8.1 transcript:Manes.06G160932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEFHLLPIFALLFIVLVTTTAERSDVSVPAEVYWHSKLPNTPIPQDLLNLIQHVGDKYIFWNMEFNVDVPVEDRREKYGKRYEKTFEQATAEDIADRREKYGKRYEQAFAEKTADRTEKYGKRYEDTADRTQKYGKRYEDTADRTEKYGKRYEDAADRTEKYGKRYEDVADRTEKYGKRYEDAADRTEKYGKRYEDAADRTEKYEKRYEDAADRTEKYGKRYEDAADRTEKYGKRYEDAADRTEKYGKRYEDAADRTEKYGKRYEDAADRTEKYGKRYEDAADRTEKYGKRYEDAADRTEKYGKRYEDAADRTEKYGKRYEDATDRTEKYGKRYEDTADRTEKYGKRYEDTADRTEKYGKRYEDAADRTEKYGKRYEQTFAEDTIDRREKYGKRYEQPFVEDTTDRREKYGKRYEQAFAEDTTDRREKYGKRYEQSFVEDTTDRREKYGKRYEQAFAEDTTDRREKYGKRYEIKFNKHALPNSTMRLHITKSANKARILPRQVADSLPFSTNKLAEIMKRFSVNPESRQGKMLKQTVEDCESPGIKGEDRFCPTSLESLVDFSVKHVGNIAQVLMNEIDKPKREQEYTIKEVKFIGENHVVCHKQKYPYAVYYCHALNGTKVYTAQVVGADGTKAKAVAVCHTNTSAWNPGHLAFLVLNMKPGEGTVCHFIRSDTFVMVSN >Manes.06G165800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29208398:29215407:-1 gene:Manes.06G165800.v8.1 transcript:Manes.06G165800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPHMEAHYMNTSYPYNSAGSFMEYFEGLTYEHVNFIFDGASQIQESVYPSMNANLYKFSLSQSGSSLYYDHSHAYEIHAHGPQIDDYRRPLENSSTMTNVPTAAVSAEREGNENMGAQNDPEECLRRHQSAQDLQVIWQDNVDPDNMSYEELLELGDVVGTQSRGLSQESIALLPVSKYKCSFFLRRKSRSERCVICQMEYKRGDRRIMLPCKHAYHVGCGTRWLSINKACPICYVDVFGDSSKH >Manes.06G165800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29208398:29215692:-1 gene:Manes.06G165800.v8.1 transcript:Manes.06G165800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNPHMEAHYMNTSYPYNSAGSFMEYFEGLTYEHVNFIFDGASQIQESVYPSMNANLYKFSLSQSGSSLYYDHSHAYEIHAHGPQIDDYRRPLENSSTMTNVPTAAVSAEREGNENMGAQNDPEECLRRHQSAQDLQVIWQDNVDPDNMSYEELLELGDVVGTQSRGLSQESIALLPVSKYKCSFFLRRKSRSERCVICQMEYKRGDRRIMLPCKHAYHVGCGTRWLSINKACPICYVDVFGDSSKH >Manes.01G173632.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35499161:35507159:-1 gene:Manes.01G173632.v8.1 transcript:Manes.01G173632.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPGQIPGKSSSLPEMNRYDHPGLAADTFSDHLLRNGSFIDGSNKFSTSGHTTVKSNGDSYQAAPHSSSSANAVETETPTPKQPKSRRKVPVTMSPGASESANWLPPGWLVEDRVRTSGATAGTVDKPPEGTGSHKKASKNAKRPEIKFDYLNVPDRIEWVLTDANGDVWTPFVGGKKVAEYEKQNWEFEMLHSHH >Manes.01G173632.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35499161:35507159:-1 gene:Manes.01G173632.v8.1 transcript:Manes.01G173632.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPGQIPGKSSSLPEMNRYDHPGLAADTFSDHLLRNGSFIDGSNKFSTSGHTTVKSNGDSYQAAPHSSSSANAVETETPTPKQPKSRRKVPVTMSPGASESANWLPPGWLVEDRVRTSGATAGTVDKAANSGPRKRCSIILKQEP >Manes.01G173632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35499161:35507168:-1 gene:Manes.01G173632.v8.1 transcript:Manes.01G173632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPGQIPGKSSSLPEMNRYDHPGLAADTFSDHLLRNGSFIDGSNKFSTSGHTTVKSNGDSYQAAPHSSSSANAVETETPTPKQPKSRRKVPVTMSPGASESANWLPPGWLVEDRVRTSGATAGTVDKYYIEPVTGRKFRSKKEVQYYLETGTLKKKKRVTENSEDDVNPPEGTGSHKKASKNAKRPEIKFDYLNVPDRIEWVLTDANGDVWTPFVGGKKVAEYEKQNWEFEMLHSHH >Manes.01G173632.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35499161:35507159:-1 gene:Manes.01G173632.v8.1 transcript:Manes.01G173632.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTAPGQIPGKSSSLPEMNRYDHPGLAADTFSDHLLRNGSFIDGSNKFSTSGHTTVKSNGDSYQAAPHSSSSANAVETETPTPKQPKSRRKVPVTMSPGASESANWLPPGWLVEDRVRTSGATAGTVDKYYIEPVTGRKFRSKKEVQYYLETGTLKKKKRVTENSEDDVNPPEGTGSHKKASKNAKRPEIKFDYLNVPDRIEWVLTDANGDVWTPFVGGKKVAEYEKQNWEFEMLHSHH >Manes.06G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1208980:1212226:1 gene:Manes.06G007300.v8.1 transcript:Manes.06G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMGYNDGGELNMADSFNGRKLRPLVPRTPPVPSPNTTSSSSPPRLSRIHGNDFFSLNHHLATMADQSKREFHTQPVVSSRWNPTPEQLRTLEELYRRGTRTPSAEQIQNITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMESEAPEHQHQQQNRDIEIFERKESGANRTAYEVEQTKNWAPSSNCSTLLEEDMTIQREAKGVVAECRGEGWTQYENEVELQHRRNYIMERNATWQMMQLSCPSTTHLINTSSGTCTITTTTATILAEEAEATTSTVAAETIRTMDPNRQLIKTHTGLNIFIAPYIENNIGQHGLIHHFSNEEDHNGCGDSHQTLQLFPLRNGGGGECIHDKETETSSAVAAAMNANFATPCQFFEFLPLKN >Manes.04G091080.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29640825:29649951:1 gene:Manes.04G091080.v8.1 transcript:Manes.04G091080.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQSMPLISILVALFLVSSSLASTDVPFIVANKKATLNRLKSGAERVSVSIDIYNQGTSTAYDVSLVDDHWPQDIFDIISGNTSQSWERLDAGGILSHSFELEGKVKGLFYGSPAVITFRIPTKSALQEAYSTPILPLDVLAERPPEKKFEWVKAIGKIWICNFCHLYRGSFCVPGCHPFQVQCSKSKQEEALIITAEKLIYRKQTTGCMTVKEQIFVA >Manes.04G091080.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29640825:29649951:1 gene:Manes.04G091080.v8.1 transcript:Manes.04G091080.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQSMPLISILVALFLVSSSLASTDVPFIVANKKATLNRLKSGAERVSVSIDIYNQGTSTAYDVSLVDDHWPQDIFDIISGNTSQSWERLDAGGILSHSFELEGKVKGLFYGSPAVITFRIPTKSALQEAYSTPILPLDVLAERPPEKKFEWVSFLLIFLEI >Manes.04G091080.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29640825:29649951:1 gene:Manes.04G091080.v8.1 transcript:Manes.04G091080.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQSMPLISILVALFLVSSSLASTDVPFIVANKKATLNRLKSGAERVSVSIDIYNQGTSTAYDVSLVDDHWPQDIFDIISGNTSQSWERLDAGGILSHSFELEGKVKGLFYGSPAVITFRIPTKSALQEAYSTPILPLDVLAERPPEKKFEWVSFLLIFLEI >Manes.04G094150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29964685:29965119:-1 gene:Manes.04G094150.v8.1 transcript:Manes.04G094150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILFFFTFLFTFLSLLILFLFFIFFIFCHIIFIFLSFSFIFLFPYFIFLSLLLTLFLFFLFSLLLFSFFFYFLIPLFYFFLLTINSIFFIFFNFFFNFLSLLIFFLSLKFFLFSLLLFSFFFYFS >Manes.04G123900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32487387:32490584:1 gene:Manes.04G123900.v8.1 transcript:Manes.04G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTEDDARYPPNPYGVNHQQGYGSSSQQKLSVKNAPYSRTIGNQYVDDDEEYDNEEEDEEELGDDDGENNQNNGIQYVGKEVDDDDYDEDDEDTNADEEDDDADNQNNYSGRINDDLERHPKKRKLKSIASSYEFAPRVPAPPVTASSAPKPSFAGRNPLTDWTEHETFVLLDAWGDKFLQCGRKSLRSEEWQEVAEKVSEVSKIERTDTQCRNRLDTLKKKYKKEKINQVDMGGNSSKWVYFKKMDMLMSTSAQQGGLSCGVDSGEYVFMNPKVYLNRANGLDEMRDSPGNSDSADEAEDDSDGLPPKKRKSGRDRNERSSFRVLADSFQKFSEIYEKIENNKRQQMLELEKMRMDFHRDLEMQKRQIIERAHAEIAKIRLGDDDNNDISADNASG >Manes.02G132100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10038384:10039904:-1 gene:Manes.02G132100.v8.1 transcript:Manes.02G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLSFITSLSTALPYIVYFILFLLFFEQISYLIKKRQAPGPSLVLPFFGNAISLVRDPTKFWEAQSALSSGFGFSVNYIIGRFIVFVRDTELSHLIFANVRPDAFMLVGHPFGKKLFGEHNMIYMFGQDHKDLRHRIAPNFTPRALATYSELQQIIMLKHLKKWETMAKEKPNEPISLRLLVRDMNLETSQTVMVGPYLSPEARERFKFDYNLFNVGTMKLPVDLPGFAFRNARLAVDRLAQTLAGCTKQSKTIMAKDEEPKCLVDFWMKETLREISDAKAAGKPAPPHTSDAEIGGYLFDFLFAAQDASTSSLLWAVALLDSHPEILSRVREEVTSIWSPQTNRLITADQVREMKYTQAVAREVIRYRAPATLVPHVAVKDFPLTESYTIPKGTIVFPSVYESSFQGFIEADRFDPDRFSEERQEDQRFKRNFLAFGAGAHQCVGQRYALNHLVLFIALFSTLVEFKRHGTDGCDDIVYNPTISPKDGCTVFLSSRCTEFPSF >Manes.10G098000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24695355:24697737:-1 gene:Manes.10G098000.v8.1 transcript:Manes.10G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAKKLLKLAKKWQKMAAMRRRRITLPQTFGSIDSNSCSTSTVAEKGHFVVYSADEKRFLLPLEYLNNEMIKKLFNMAEEEFGLQTKGPLVLPCDSDLMEYTIALIKRKASRDLERALLVSIGSSYCSSSSHFQHQATTHQLPICSF >Manes.09G181000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36976951:36979002:-1 gene:Manes.09G181000.v8.1 transcript:Manes.09G181000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDLQNHTLNGDHCDHNIPISKRPKLSSPSTTITNATIISNSQIQSEFSHHVASVARINNGSFGSCPQSVISAQKQWQLQFLRQPDHFYFNQLKPGILHSRSIVKSLINADHIDEVSLVDNATTAAAIVLQKAARSFSEGRFEKGDVAVMLHYAYGSVKKSVEAYVTRAGGRVIEVQLPFPVKSKEEIITEFRKALERGRDNRKKVRLAVIDHVTSMPSVVIPVKELVKICREENVDQVFVDAAHGIGCVDVDMKEIGADFYTSNLHKWFFCPPSVAFLYSRKSNDRDDDDLHHPVVSHEYGNGLAVESSWVGNRDYTAQLVVPSVLEFIDRFPGGIDGIKKRNHEAVVEMGEMLVKEWGTHLGCPPEMCGSMIMVGLPICLRICNESDALKLRTHLRNEFGVEVPIYFRAPKDGEVDPVTGYARISHQVYNKVDDYYKLRDAINKIVSDGTICECLPN >Manes.03G036000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3148520:3160040:-1 gene:Manes.03G036000.v8.1 transcript:Manes.03G036000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDTPENLTASMETTTSTAGDGVSDSSSDTDLSLRRRRSSTNSAGPVAELSSKIDKLESDVDGKMTMDPGPVAESGTLKSNGKDSGQDKDKVEKRGASDVKFTYRPSVPAHRVLRESPLSSDNIFKQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIKTGFWFSSRSLRDWPLLMSCLTLPVFSLAAYLVEKLAYRKYISESIVVFFHVLITSAAVICPVSVILSCESAVLSGVTLMLFACIEWLKLVSYAHTNHDMRAVANSIDKGDALSSTLSADYCRDVSFKSLVYFMVAPTLCYQPIYPRTACVRKGCVVRQFVKLIIFTGFMGFIIEQYINPIVQNSQHPLKGDLLNAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNARTVDEPVHKWMVRHVYFPCLRHNVPKGIALIIAFFVSAVFHELCIAVPCHMFKLWAFIGIMFQIPLVVITSYLQDKLRSPMVGNMIFWIMFCILGQPMCVLLYYHDLMNRKGSTESI >Manes.03G036000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3148520:3160079:-1 gene:Manes.03G036000.v8.1 transcript:Manes.03G036000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDTPENLTASMETTTSTAGDGVSDSSSDTDLSLRRRRSSTNSAGPVAELSSKIDKLESDVDGKMTMDPGPVAESGTLKSNGKDSGQDKDKVEKRGASDVKFTYRPSVPAHRVLRESPLSSDNIFKQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIKTGFWFSSRSLRDWPLLMSCLTLPVFSLAAYLVEKLAYRKYISESIVVFFHVLITSAAVICPVSVILSCESAVLSGVTLMLFACIEWLKLVSYAHTNHDMRAVANSIDKGDALSSTLSADYCRDVSFKSLVYFMVAPTLCYQPIYPRTACVRKGCVVRQFVKLIIFTGFMGFIIEQYINPIVQNSQHPLKGDLLNAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNARTVDEPVHKWMVRHVYFPCLRHNVPKVTQLLTLAYCKCCIFDVNRCFLMVYYCLCICHCFIFFLSIIYIET >Manes.03G036000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3148520:3160040:-1 gene:Manes.03G036000.v8.1 transcript:Manes.03G036000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDTPENLTASMETTTSTAGDGVSDSSSDTDLSLRRRRSSTNSAGPVAELSSKIDKLESDVDGKMTMDPGPVAESGTLKSNGKDSGQDKDKVEKRGASDVKFTYRPSVPAHRVLRESPLSSDNIFKQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIKTGFWFSSRSLRDWPLLMSCLTLPVFSLAAYLVEKLAYRKYISESIVVFFHVLITSAAVICPVSVILSCESAVLSGVTLMLFACIEWLKLVSYAHTNHDMRAVANSIDKGDALSSTLSADYCRDVSFKSLVYFMVAPTLCYQPIYPRTACVRKGCVVRQFVKLIIFTGFMGFIIEQYINPIVQNSQHPLKGDLLNAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNARTVDEYWRMWNMPVHKWMVRHVYFPCLRHNVPKVTQLLTLAYCKCCIFDVNRCFLMVYYCLCICHCFIFFLSIIYIET >Manes.03G036000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3148520:3160040:-1 gene:Manes.03G036000.v8.1 transcript:Manes.03G036000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDTPENLTASMETTTSTAGDGVSDSSSDTDLSLRRRRSSTNSAGPVAELSSKIDKLESDVDGKMTMDPGPVAESGTLKSNGKDSGQDKDKVEKRGASDVKFTYRPSVPAHRVLRESPLSSDNIFKQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIKTGFWFSSRSLRDWPLLMSCLTLPVFSLAAYLVEKLAYRKYISESIVVFFHVLITSAAVICPVSVILSCESAVLSGVTLMLFACIEWLKLVSYAHTNHDMRAVANSIDKGDALSSTLSADYCRDVSFKSLVYFMVAPTLCYQPIYPRTACVRKGCVVRQFVKLIIFTGFMGFIIEQYINPIVQNSQHPLKGDLLNAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNARTVDEYWRMWNMPVHKWMVRHVYFPCLRHNVPKGIALIIAFFVSAVFHEIPLVVITSYLQDKLRSPMVGNMIFWIMFCILGQPMCVLLYYHDLMNRKGSTESI >Manes.03G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3148520:3160040:-1 gene:Manes.03G036000.v8.1 transcript:Manes.03G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDTPENLTASMETTTSTAGDGVSDSSSDTDLSLRRRRSSTNSAGPVAELSSKIDKLESDVDGKMTMDPGPVAESGTLKSNGKDSGQDKDKVEKRGASDVKFTYRPSVPAHRVLRESPLSSDNIFKQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIKTGFWFSSRSLRDWPLLMSCLTLPVFSLAAYLVEKLAYRKYISESIVVFFHVLITSAAVICPVSVILSCESAVLSGVTLMLFACIEWLKLVSYAHTNHDMRAVANSIDKGDALSSTLSADYCRDVSFKSLVYFMVAPTLCYQPIYPRTACVRKGCVVRQFVKLIIFTGFMGFIIEQYINPIVQNSQHPLKGDLLNAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNARTVDEYWRMWNMPVHKWMVRHVYFPCLRHNVPKGIALIIAFFVSAVFHELCIAVPCHMFKLWAFIGIMFQIPLVVITSYLQDKLRSPMVGNMIFWIMFCILGQPMCVLLYYHDLMNRKGSTESI >Manes.08G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36594200:36599738:-1 gene:Manes.08G125800.v8.1 transcript:Manes.08G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHIVSVLSKMQKLNTSDHASVVSMNLFVALLCACIVIGHLLEENRWMNESITALLIGLCTGVVILLVSGGRSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITIMLFGAIGTLISCSIISIGAIKAFEKIDIGPLDIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQSFDLTNINPRIAWQFICSFLYLFITSTMLGVITGLLSAYIIKKLYFGRHSTDREFALMMLMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATMSFVAEIFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILLALLMVGRAAFVFPLSFLSNLSKKSPTEKIGFKQQVVIWWAGLMRGAVSMALAYNKFTSLGHTHLRGNAIMITSTITVVLFSTMVFGLMTKPLIRFLLPHPKQHISSAASSDANTPKSFTLPLLGDNQDSLDDLGSSEIPRPSSIRALLTTPTHTVHHYWRKFDDAFMRPVFGGRGFVPFVPGSPTERSTHNQWQ >Manes.10G093300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23978154:23980489:-1 gene:Manes.10G093300.v8.1 transcript:Manes.10G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAIEQVFKYVCDDVTNDGLLQMLRVIKKDLKPARHQERDSEEYDEDFLGIEEDEIDDVETGETGEIEEQTDDSKAVVEAEEVVKELPEDSDGGMDDDAMFRMETYLAHIFKEGKNHAGGEAAQFQACLVQFSRPFIAGDFPT >Manes.03G200200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31658066:31662128:1 gene:Manes.03G200200.v8.1 transcript:Manes.03G200200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPVALLLLLALSIAIGINGERSSGQCDYRPSLEPRPHSVSILEFGAVADGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGAVILGSQDPSHWDVVEPLPSYGRGIELPGKRYRSLINGYKLRDVVITGDNGTIDGQGSVWWDWFNSHYLNYSRPHLVEFIESEHLVVSNLTFLNAPAYNIHPVYCSNVLVQNMSLSAPPKSPYTVGIVPDSSNNVCIEDSIIEMGYDAVALKSGWDEYGISYDRATRDVHIRRVHLQSSSGSSIAFGSEMSGGIANVLVEQVHLYNSFSGVEFRTTKGRGGYIKRIHISDVEMENINLALGAIGDRGLHPDDKFDPNALPVLDQITLQNVTGKNISIAGNFTGIQESPFTSICLFNVSLIVSASSNSWICSSVVGFSESVFPEPCPELMSRYSNSSACYSLLVSYGESASL >Manes.03G200200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31658066:31662197:1 gene:Manes.03G200200.v8.1 transcript:Manes.03G200200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPVALLLLLALSIAIGINGERSSGQCDYRPSLEPRPHSVSILEFGAVADGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGAVILGSQDPSHWDVVEPLPSYGRGIELPGKRYRSLINGYKLRDVVITGDNGTIDGQGSVWWDWFNSHYLNYSRPHLVEFIESEHLVVSNLTFLNAPAYNIHPVYCSNVLVQNMSLSAPPKSPYTVGIVPDSSNNVCIEDSIIEMGYDAVALKSGWDEYGISYDRATRDVHIRRVHLQSSSGSSIAFGSEMSGGIANVLVEQVHLYNSFSGVEFRTTKGRGGYIKRIHISDVEMENINLALGAIGDRGLHPDDKFDPNALPVLDQITLQNVTGKNISIAGNFTGIQESPFTSICLFNVSLIVSASSNSWICSSVVGFSESVFPEPCPELMSRYSNSSACYSLLVSYGESASL >Manes.17G080900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28586458:28588404:1 gene:Manes.17G080900.v8.1 transcript:Manes.17G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEDSAHPPLPTVAGLQEQPQALHQPQSSSILPQYPEMIMAAIEALDDKDGSNKSAISKQIESTYPDLPAAHTTLLAHHLNKMKQSGQLVMVKNNYMKPDPNAPPKRGRGRPPKPKVPVPPGTVIAPPRPRGRPPKPKDPFAPVAPPKKSTSGSGRPRGRPPKKAKTEVAPTSAPAAQAKGVKRGRGRPPKVKSAVAPVAG >Manes.14G109500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9198585:9206981:1 gene:Manes.14G109500.v8.1 transcript:Manes.14G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSIALFPHLRFHGCFCKRFLSIRNQQQQQQQQQQLASSSSRRRRLRFGSLRSTTRFSRVACFAAVDDDIGEKQPESPSTSSTTSGGVGSALEDRPDMPDSSLENFAQNTEGSALYDFLYPSKELLPDDKEMSIFDHLEELRQRIFVSVLAVGAAILGCFAFSKELIMVLEAPVKAQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRSERRFLGPIVLGSSVLFYAGIVFSYLVLTPAALNFFVSYAEGAVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQVLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQVLLAAPLLGLYLGGAWMVKLTGR >Manes.01G023500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5069901:5072021:-1 gene:Manes.01G023500.v8.1 transcript:Manes.01G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPLQKLLFIFTLTLHPSFILSHYHKQKIIKLHLPPSAAAPEATAFDSAGVGPYTGVDNGRVFKYVNPIVGYVEFATTSPTRPRRLCDANTNREIAPICGRPLGVAFYHTTGHLYIADAYFGLVEVGPQGGIGTTISKSAGGVPYKNLNALDIDQKNGVVYFTDVSSKFQLRQFNEALLSGDQTGRLIKYDIKKKQATVLVHNLGVPVGVAISKHADFVLVSEYLNNRTLKFWLAGDKANTTEIFTKFPGRPDNIKRNAKGEFWIPVTSVNGNTNRQVAWRLDEDGKLKESFDLRLVGNPIPICSEFQEIHPRVFSLGLLNVNYSGFIY >Manes.04G044600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6246070:6249978:1 gene:Manes.04G044600.v8.1 transcript:Manes.04G044600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIVLAVLGKISNLLIQELDSFLGVEDQILCIETHLRTYADDSEYAMNQKILTGNIQDLEVVIDELIISSAQKRKRDDFIRHVFASVDLPVYFFHFLALVDLLQHYRLRMKLEQLIKIFKTYTDVLECGGCDILFFFPLSSWHESVGPYELGVAPVVSLFDALATQKELSRAVKNQASYLRDKFKSLQDFLENSESEELSKVGMAWMEELGDVCRVAENVIGLFMDSQQQQMKNREGSFTKLVWSSKNFISKYKIAQKLKWIEDKIHDIYGRRYEAIPSPVPISVPRREIFGSLNRKRRELPCAVDQLDRVSFNDDVDAVTTQLLKEDPRCLTISIVGVRGIGKASLAKLVYDSQTIADHFPHRVWISRSGASEEDIMKQILQIKGSDLYDDSEDDSEDTEESYICRVRQMVNDFFMDKKYLIVIDDSSSSKVKNACEFMRGMGSAFNEISNGTRILFTVCHLWQAPPVTETNFTYRLHLRTHDESWALFAHTLKVSIPPEIQHLKGRIMKSCGGLPTIIVKLAELLSQRDATLEEWTRVLDQLTQDEEPWSEVLEEISNYLPLYLRRCLFYFGLFPAGYKIPARRLIALWVAEGLGYQQDDAKSKSPEHVAKTCLRELINYTMVQPTEKKLNGKFKTCCLPEALQVHWFKKAKEAKFLQGHSDISDTDIGVIRRLADHLQHNDVVFDDIHCDNSASSYSRYRDVVSFLSFDTREGNKPGEEIGNFLDKCISSNCFRFLWVLDLENVYKPKLPKAIDHLTWLKYLGLRSTYLEMLPAFINKLLSLQTLDLKRTCINTLPSSLWKMRKLRHLFLDESFGSAFVASQEDSSLVDLQTLRGAYINEDSPVRNGLETSLNITKLGLKCKISVPSQTAAMSSQLLDVANWVLKLKHLQSLRLKSFDESDLPWELHLHSLLGHLDLSNVYLVGKLMNHQLVSELPGSLIELTLSASGLVEDPMQKLDKLPNLRILRLFSRSFIGKKMLCNIGGFPKLEVLKFWELELLEEWNVEEGAMPNLRDLEIRRCTNLKMLPCGLQSMKLLRELKLTKMPMLSARLEDKQGEDWSKIAHVRHVLIED >Manes.04G044600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6245022:6249978:1 gene:Manes.04G044600.v8.1 transcript:Manes.04G044600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIVLAVLGKISNLLIQELDSFLGVEDQILCIETHLRTYADDSEYAMNQKILTGNIQDLEVVIDELIISSAQKRKRDDFIRHVFASVDLPVYFFHFLALVDLLQHYRLRMKLEQLIKIFKTYTDVLECGGCDILFFFPLSSWHESVGPYELGVAPVVSLFDALATQKELSRAVKNQASYLRDKFKSLQDFLENSESEELSKVGMAWMEELGDVCRVAENVIGLFMDSQQQQMKNREGSFTKLVWSSKNFISKYKIAQKLKWIEDKIHDIYGRRYEAIPSPVPISVPRREIFGSLNRKRRELPCAVDQLDRVSFNDDVDAVTTQLLKEDPRCLTISIVGVRGIGKASLAKLVYDSQTIADHFPHRVWISRSGASEEDIMKQILQIKGSDLYDDSEDDSEDTEESYICRVRQMVNDFFMDKKYLIVIDDSSSSKVKNACEFMRGMGSAFNEISNGTRILFTVCHLWQAPPVTETNFTYRLHLRTHDESWALFAHTLKVSIPPEIQHLKGRIMKSCGGLPTIIVKLAELLSQRDATLEEWTRVLDQLTQDEEPWSEVLEEISNYLPLYLRRCLFYFGLFPAGYKIPARRLIALWVAEGLGYQQDDAKSKSPEHVAKTCLRELINYTMVQPTEKKLNGKFKTCCLPEALQVHWFKKAKEAKFLQGHSDISDTDIGVIRRLADHLQHNDVVFDDIHCDNSASSYSRYRDVVSFLSFDTREGNKPGEEIGNFLDKCISSNCFRFLWVLDLENVYKPKLPKAIDHLTWLKYLGLRSTYLEMLPAFINKLLSLQTLDLKRTCINTLPSSLWKMRKLRHLFLDESFGSAFVASQEDSSLVDLQTLRGAYINEDSPVRNGLETSLNITKLGLKCKISVPSQTAAMSSQLLDVANWVLKLKHLQSLRLKSFDESDLPWELHLHSLLGHLDLSNVYLVGKLMNHQLVSELPGSLIELTLSASGLVEDPMQKLDKLPNLRILRLFSRSFIGKKMLCNIGGFPKLEVLKFWELELLEEWNVEEGAMPNLRDLEIRRCTNLKMLPCGLQSMKLLRELKLTKMPMLSARLEDKQGEDWSKIAHVRHVLIED >Manes.02G135300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10294517:10302029:1 gene:Manes.02G135300.v8.1 transcript:Manes.02G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDVMVFKLPSFKFLSPNSAAARSPAIIRCSLPRFSLSSIPALATTGREGDQTLSSLSLGHITRPDFPILHQEINGSKLVYLDNAATSQKPISVLKALQDYYETYNSNVHRGIHYLSAKATDEYELARKKVAAFINASESREIVFTRNATEAINLVAYSWGLANLKLGDEVILTVAEHHSAIVPWQIVAQRTGAILKFVTLNENDVPDMQNFKEIFSKNTKLVVVHHISNVLASFLPVEDIIQWARDVGAKVLIDACQSVPHMVVDVQQLDADFLVASSHKMCGPTGIGFLYGKSDLLSTMPPFLGGGEMISDVFLDHSTYADPPSRFEAGTPAIGEAIGLGAAIDYLSGIGMQKIHEYEVELANYLYESLCAVPNVDIYGPKPSETVHRAALCSFNVENIHPTDIATFLDQQHGVAIRSGHHCAQPLHRHLGVNASARASLYFYNTKEDVDAFIQALNDTISFFNSFK >Manes.11G136900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30202274:30203270:-1 gene:Manes.11G136900.v8.1 transcript:Manes.11G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCINDTCVAVRPAAYVNLLKSPEFEMEFGRFSGSYKYKGSRPSLHGHPRVVDSRSCRQMYLRSYTFSRKESVPEKTKKCIGKVRERIKNRRSKKRSSSSSSSSSSSSSSSSPSKASKIKNRGFSKRESLRRVSCAALISTFRRLLSCTTKVDVAEPGDA >Manes.18G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8399199:8401243:-1 gene:Manes.18G091400.v8.1 transcript:Manes.18G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >Manes.01G133700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32681292:32683431:1 gene:Manes.01G133700.v8.1 transcript:Manes.01G133700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNIDSEDGLETVLEVPIPEEMFTSMGSNVTLRWHNMLAWMKAQGSDKLSQPVVAGRINELRFLLYMVGSPLIPLQVQVGHSVYKPVKDCSIQASTAKYIVAQYIAATGGQPALNAVHSMCVTGEVKISASEFHQGDKSKNVKCTDEAGGFVLWQKDPDLWILELVISGCKIISGSNGKISWRHSSNQPGPVSMGPPRPLRRFLQGLDPRSTANLFIDATCIGEKIINNEDCFILKLETSPAIRESQSGPNYEIIHHTIWGYFSQRSGLLIQFEDSRLVGMRNKDDDEVFWETSTESVIQDYRYVDGVNIAHSGKTRVKVFRYGEQPANHKREIEEKWKIEEVDFNVWGLTSEHFLPPSGIKYGKI >Manes.15G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4750948:4752942:-1 gene:Manes.15G062300.v8.1 transcript:Manes.15G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSLKGLSLLLSPSYFMIVSMLRFLPTVPIGDNFEKFAQWNFQSFRSIREEEVSNLIKAISLTGNSPINLSEKLFLLTLSIISRAAVGKKCRDQEEFIATIQQSLSLSSGFAIAEMYPSIKGLERMSGLRPKLEKLHRQIDRIIENIVQEHKSEATASQVNGGEVEEDLIHVLLKLQEQGNLEVPLPDAGLKAIILDVFSAGSETSSATMEWAMSELLKNPKLMEEAQAEVRRVFNQKGTVDETGIHELKFLKSVIKETLRLHPPGSLIPRECRMSCEINGYNIPAKTKVLINAWAIGRDPKYWVQAERFCPERFLNSSIDYKGMDFEYLPFGSGRRMCPGISMALANVELPLAQLLYHFDWKLPSGLKPEDLDMTEVSGLAIRKKENLYLIPIPIILPMLNDVGGKLWLV >Manes.04G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34756231:34759700:1 gene:Manes.04G152000.v8.1 transcript:Manes.04G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEPEDEIKDEKNPRPLDEDDIALLKTYGLGPYSNSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMFAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Manes.11G165500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33013121:33024179:-1 gene:Manes.11G165500.v8.1 transcript:Manes.11G165500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSRPATGYPVPPAQHPNGYPPPQSATAYAPPPTNPYYYNQPPPYPNPRTALLRRLIAALIVITVLFLTILFICWLVIRPHRPQFHVTSLSVTNLNVSTSSQRLTGYWNARLQVYNPNKKLKISYDEIASSILYKSEILSQTRIPPFKQDTKHLTTIDAEFSAVDSYVDERVVNGINGDRARGSVGFNLRVVADVGFKVGRFRARRRLLRVWCDNVDIGLSANGGSGNLTAGSKECKVRTRGTEDPSSEFSCF >Manes.03G042100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3695462:3697914:-1 gene:Manes.03G042100.v8.1 transcript:Manes.03G042100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVHLLVLLFLLTLSLCNLSFSLTEDEALLQFKKSLTQTDALDDWVSGSDPCVEKWAGAICSRGALTGLHLSNMGLSGTIDVEALQQLPGLRTISFGNNKFSGPIPQFNKLGTLKSLLLSQNKFSGEIPNDFFATMSSLKKIWISNNTFTGKIPESVMQLSHLKELHLEWNQFSGQIPPLKQPKLLISSLNFSHNKLEGEIPSSFSTFTAASFAENDGLCGKPLDKSCSKPSPPPTIVRVSEATHKTDSKSTTWFGVVMLAIIVLMIFCAMCPARRRHKDDDFSRLEKENLDEVGLSRRGQGSSRRPASESSRKSTSSRRAPFNPKNRMGDMIMLNAEKGSFGMSDLMKAAAQVLGNGGLGSAYKAMLTNGLSVVVKRIREMNMLGKDAFDAEMRRFGRINNKNILAPLAFYFRKEEKLLVTEFMPNGSLLYVLHGDRGICHAALDWPTRLKIIKGIANGLHFLYTNYSNYNLPHGNLKSSNVLLNETYEPLLTDYALDPLINPNHSARTMFAYKSPEYLANRQVSHKSDVYCLGIIILEVMTGKFPSQYLSNGRGGTDVVQWVRQASSEGKEQELIDPEIASNSSSESLQQMVQLLRVGATCTESNTAQRIDMKEAIKRIEEIQV >Manes.05G115503.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14176176:14178064:1 gene:Manes.05G115503.v8.1 transcript:Manes.05G115503.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGHFHIFSSSPSYFYEFHGCFEEFSSLDQSFGSLETQGVVSSHLQVRARTNPRSSRGSDPRNRGV >Manes.03G137402.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26354436:26359765:-1 gene:Manes.03G137402.v8.1 transcript:Manes.03G137402.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLGKLFVGGISWDTDEERLKEYFSKYGEVVEAVIMRDRTTGRARGFGFVVFADPAVAERVIIDKHMIDGRTVEAKKAVPRDDQHILNRSTSSVHGSPGPGRTKKIFVGGLASTVTDSDFKKYFEQFGNITDVVVMYDHNTQRPRGFGFITYDSEDTVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLSRTNNFLNAYAQGYNMNSIGGFGMRMDSRFSPLASGRSGFPPFGTTGYGMGMNLEPGLSPSYGGGSNFGNNPAYGRMLNPYYSGNSTRYSTPIGYGVGNARNDSVISPTTRNVWGNGGLNTAANPASPGAFLGSGNGNFGVSFGNSGASWGPSHVSAQGAGSASGYTSGSMGYGSGGDSSYGLGGGGYGRTSGAVAAPTSSFAGSTGVYEGSYGDLYRSGSVYGDSTWRSGTPELDGAGSFGYGLGNIGSDVTAKNSEGYIGSYGVTSRQANRGIAT >Manes.03G137402.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26354436:26359758:-1 gene:Manes.03G137402.v8.1 transcript:Manes.03G137402.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMESDLGKLFVGGISWDTDEERLKEYFSKYGEVVEAVIMRDRTTGRARGFGFVVFADPAVAERVIIDKHMIDGRTVEAKKAVPRDDQHILNRSTSSVHGSPGPGRTKKIFVGGLASTVTDSDFKKYFEQFGNITDVVVMYDHNTQRPRGFGFITYDSEDTVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLIGYNYGLSRTNNFLNAYAQGYNMNSIGGFGMRMDSRFSPLASGRSGFPPFGTTGYGMGMNLEPGLSPSYGGGSNFGNNPAYGRMLNPYYSGNSTRYSTPIGYGVGNARNDSVISPTTRNVWGNGGLNTAANPASPGAFLGSGNGNFGVSFGNSGASWGPSHVSAQGAGSASGYTSGSMGYGSGGDSSYGLGGGGYGRTSGAVAAPTSSFAGSTGVYEGSYGDLYRSGSVYGDSTWRSGTPELDGAGSFGYGLGNIGSDVTAKNSEGYIGSYGVTSRQANRGIAT >Manes.04G124500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32510346:32511001:1 gene:Manes.04G124500.v8.1 transcript:Manes.04G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTSSPSKKITLRSSDDEIFEVDEEVLLECQTIKHMIDDGCANSIIPLPNVTGRIMVKVIEYCKRHLEVAEDKDEIFPIDGHQALKDWDIKFVKEVKQDQAVLFGLIMAANYLDMKNLMDLLCKSVADMMRGKSPEEIREIFHIKNDFTPEEEEEVRKENQWAFE >Manes.S050616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1702840:1703001:1 gene:Manes.S050616.v8.1 transcript:Manes.S050616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.04G070850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27014963:27017330:-1 gene:Manes.04G070850.v8.1 transcript:Manes.04G070850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHASLVRRTLEEIRQKRAAERLNRTSSGPYLTKAPIANDNIGTKISESTNRLSEVGHFLLGAAVEGIFLNTIEQNTLPSLTKALKDVALEKDAAVVAREDLSTQLRTLKKRLRENSTRTMQS >Manes.09G130600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33232841:33238366:1 gene:Manes.09G130600.v8.1 transcript:Manes.09G130600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYQEMERFGMDNDFEDGQWINGEFFYRSRKERRKQTKDDVLYGVFADYSDSDDEDGNGSSSRKRRKDRDFGRKPDLTKPVNFVSTGTVMPNQEIDKNSKEENVDDMFAEDNDRPGLGSGSGSGLGFGTGLGFNNSNNTVKKSEGFEDDGDQDEDNFLPTEFGRRIKEGAQRRERERLEKHGKEGGKKREVGTEGVGVFEKHTKGIGMRLLEKMGYKGGGLGKNEQGIVAPIEAKMRPKNMGMGFNDFKETSAKLPQVEEIKSVTHSQSQSQIVGRAKEKLWVKGRKKKQEEYMTAEELLAKKEEQGFEVIQKVLDMRGPQVRVLTNLENLNAEEKAIENDIPMPELQHNVRLIVDMAELDIQKIDRDLRNERETAISLQKEKEKLEMDATRQKKQLDNMEEIMNMLSHIEEQNSSGILTLDLLAKCFTDLRRKFADDYKLCNLSSIACSFALPLFIRVFQGWDPLRNPLHGLELVELWKNVLQGDESNDIWDVGTPYSQLVSEVVLPAVRIAGINTWEPRDPEPMLRFFESWERLLPASVIQSILDNVVLPKLSSAVDLWDPRRETVPIHVWVHPWLPQLGQRLEYLYEKIRMKLSMVLGAWHPSDASAYAILSPWKTVFDSASWENLMRKFIVPKLEVALQEFQINPADQKLDQFYWVISWASAIPIHLMVDLMERFFFVKWLQVLYHWLCSNPNLQEVHKWYTGWKGLLPPELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLRENLSYYRALEQKQFEAQQRATSHAQQQPVMGVGSSVQADGVGGGLEMTLKEIVEAHAQDHGLLFKPKPGRTYDGHQIYGYGSISIYVDSVHQRLYAQKEEEWFLTNLDKLLEMHNNSLTKRR >Manes.09G130600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33232776:33238409:1 gene:Manes.09G130600.v8.1 transcript:Manes.09G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYQEMERFGMDNDFEDGQWINGEFFYRSRKERRKQTKDDVLYGVFADYSDSDDEDGNGSSSRKRRKDRDFGRKPDLTKPVNFVSTGTVMPNQEIDKNSKEENVDDMFAEDNDRPGLGSGSGSGLGFGTGLGFNNSNNTVKKSEGFEDDGDQDEDNFLPTEFGRRIKEGAQRRERERLEKHGKEGGKKREVGTEGVGVFEKHTKGIGMRLLEKMGYKGGGLGKNEQGIVAPIEAKMRPKNMGMGFNDFKETSAKLPQVEEIKSVTHSQSQSQIVGRAKEKLWVKGRKKKQEEYMTAEELLAKKEEQGFEVIQKVLDMRGPQVRVLTNLENLNAEEKAIENDIPMPELQHNVRLIVDMAELDIQKIDRDLRNERETAISLQKEKEKLEMDATRQKKQLDNMEEIMNMLSHIEEQNSSGILTLDLLAKCFTDLRRKFADDYKLCNLSSIACSFALPLFIRVFQGWDPLRNPLHGLELVELWKNVLQGDESNDIWDVGTPYSQLVSEVVLPAVRIAGINTWEPRDPEPMLRFFESWERLLPASVIQSILDNVVLPKLSSAVDLWDPRRETVPIHVWVHPWLPQLGQRLEYLYEKIRMKLSMVLGAWHPSDASAYAILSPWKTVFDSASWENLMRKFIVPKLEVALQEFQINPADQKLDQFYWVISWASAIPIHLMVDLMERFFFVKWLQVLYHWLCSNPNLQEVHKWYTGWKGLLPPELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLRENLSYYRALEQKQFEAQQRATSHAQQQPVMGVGSSVQADGVGGGLEMTLKEIVEAHAQDHGLLFKPKPGRTYDGHQIYGYGSISIYVDSVHQRLYAQKEEEWFLTNLDKLLEMHNNSLTKRR >Manes.18G062600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5502600:5507517:-1 gene:Manes.18G062600.v8.1 transcript:Manes.18G062600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNEKPPLNNTTRRSLLVFNCILLALGNCGGPLIMRLYFLHGGNRVWLSSWLETAGWPIIFIPLFISYLHRRRSNKPTTKLFYMNRHLFIAATVIGLLTGLDDYLYAYGVARLPVSTSALIIATQLGFTAGFAFLLVKQKFNFYSINAVVLLSVGAGVLALHTSSDRPKHESNKEYIMGFIMTLGAAALYGFVLPLVELTYKKSKQEISYTLVMEIQLVMCLFATVFCTLGMLVNKDFKVIPREARDFGLGETKYYVVIVWSAIIWQAFFLGAIGVVFCSSSLLSGIIIAVLLPLTEILAVIFYQENFKAEKGVALALSLWGFVSYFYGEIKQNKKKNLAPETEMFPSSNPTANA >Manes.12G110000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664881:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664881:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664847:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQVDGDNIRYVATELATDIVINVGDVKFYLHKFPLLSKSARLQKLVANANDETSDEIHIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664873:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQVDGDNIRYVATELATDIVINVGDVKFYLHKFPLLSKSARLQKLVANANDETSDEIHIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664847:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQVDGDNIRYVATELATDIVINVGDVKFYLHKFPLLSKSARLQKLVANANDETSDEIHIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664974:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQVDGDNIRYVATELATDIVINVGDVKFYLHKFPLLSKSARLQKLVANANDETSDEIHIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664847:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664977:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQVDGDNIRYVATELATDIVINVGDVKFYLHKFPLLSKSARLQKLVANANDETSDEIHIHDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30658699:30664873:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.12G110000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30657985:30664894:1 gene:Manes.12G110000.v8.1 transcript:Manes.12G110000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTLNAYNVVAARCAAEYLEMYETAEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSLLPWAEELKLVSHCLDSIASKACIDTSKVEWSYTYNRRKLPSENGKDPIWNGVRKSQMVPKDWWVEDLCELQIDLYRKVITTIKTKGRVSGDVIGEALNAYALRRLPGFSKGIVQSVDITKYRSLAEAIVWLLPTEKGSIPCAFMLRLLRAVILLECGETERKELMKRIGQQLEDATVADLLIRAPSGETAMYNVDIVQSLVEEFVTNEQNAETDFPMENEYQESRSPKLGSDGSKMQVAKLVEGYLAEIARDPNLPVSKFVNLAETVSRFPRPSHDGLYRAIDMYLKEHPGISKSERKRICRLMDCRKLSVDACLHAVQNERLPLRVVVQVLFFEQVRQATSSAGNSTSELPGSIRALLPGGSHGSSRSTTTNTEEDWDAVPTAEDIKALKGELAALRLGGSDINLNDGAKNDAEKVAANKMKGMIMSKIFSKLWSSKERNGELSSSDTSESPGSGSAAAEETKSTPSRSRRHSVS >Manes.14G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6705434:6717410:-1 gene:Manes.14G080700.v8.1 transcript:Manes.14G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGARVAATSSILPPIIAYAVPLLLFALALFYQLVVLPRSFPLSHYDVLGVKRYSSVEEVKDAYEKLSSKWNSGIEVPAAANFIEIQYAYELLTNPLWKRDYDIFGIDEQFLVLDKLKELYAGESFSSVGLPLLEATHFDPGDHAHTIITSEEFGSMFSNSKTWLVQIYSKGSSRCAQFFNYWKEITSLLEGVANTGMVELGDAQLATFLAERKPTGHFFFRNGLPSLVAFPSGCKTSDCLIRYEGDLSIDAVTDWFATVILGLPRILYHSKESLGKNFLAKCGPHKVKVIFFSKTGVRATPFVRQTAKGYWAYACFAFVLWRGEDFSFWWNTFEVESAPAIVFLKDPGVKPVIFHGSFNTSWFADVMEKNKQQELPQLRSVNSMELGCDARGYSRAGVDTMSWYCVLVAGRLGPELNRMRETVRKVQEILSNDVELNDVDKDQFISLATELKKKRLTFAWLDGEAQQKYCFFYLHSETSYDTCGPRRDLTDVPRLFIVRYKRNATQDNVRVKKNVFETEEADPASQLVARYNGSNEIAQIIQWISGTIRDGETRDLPFFKIKTPDLVPEDTDPFWSKGAQTILSRSVGIKHIIRRVISKTFDHMGDPRIGPILLLGALMAFGTIWLMRNQPTRQSKSSQPRQSNVKDEIMRKRRERRRNASSKDIPPSITDVEPKNAYQMPMSDSDSD >Manes.08G153800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38926420:38933485:1 gene:Manes.08G153800.v8.1 transcript:Manes.08G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTTSPPCNPTTSLSITETINGSHRFTIQGYSLAKGIGIGKHIASENFTVGGYQWAIYFYPDGKNPEDNSSYVSVFIALASEGTDVRALFELTLIDQSGEGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRAMLETSNFLKDDCLKIHCTVGVVVSAIDCSRLHSIKVPESDIGAHFGMLLENEECSDITFTVHGEKIHAHKLVLAARSPVFESEFFDSLEEDNREIVVTDMEPKVFKALLHFIYKDTLVEDEELSVSSSSCMSTISDTLVAKLLAAADKYNLPRLRLMCESVLCKDISVNSVAKILALADHYHAMDLKSVCLKFAAENLVAVMRSDGFEYLKEHCPLLQSELLKTVAGCEEDFSGGGKSRSVWAQFSDGDETNDRSVRQQPWEDGVERSRSLWVQLDDGDADRSPGQAD >Manes.07G087500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27486005:27495067:-1 gene:Manes.07G087500.v8.1 transcript:Manes.07G087500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETWVRMVTAQTPTNIAVIKYWGKRDETLILPVNDSLSVTLDPAHLCTTTTVAVSPSFGQDRMWLNGKEISLSGGRYQNCLREIRARACDVEDKERGIKIAKKDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNAKEDNSELSAIARQGSGSACRSLFGGFVKWNMGKVKDGSDSLAVQVVDEKHWDDLVIIIAVVSSRQKETSSTTGMRDTVETSLLLQHRAKEIVPKRIIQMEEAIKNRDFASFAHLTCADSNQFHAVCLDTCPPIFYMNDTSHRIISCVEKWNRSEGTPQVAYTFDAGPNAVLIAHNRQAAAQLLQKLLFYFPPNSDTDLNSYVLGDNSILKDAGIEDLKDVEALPPPPETKDAQRFKGDVSYFICTRPGRGPVLLSDESHALLCPETGLPK >Manes.07G087500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27486179:27495057:-1 gene:Manes.07G087500.v8.1 transcript:Manes.07G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETWVRMVTAQTPTNIAVIKYWGKRDETLILPVNDSLSVTLDPAHLCTTTTVAVSPSFGQDRMWLNGKEISLSGGRYQNCLREIRARACDVEDKERGIKIAKKDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNAKEDNSELSAIARQGSGSACRSLFGGFVKWNMGKVKDGSDSLAVQVVDEKHWDDLVIIIAVVSSRQKETSSTTGMRDTVETSLLLQHRAKEIVPKRIIQMEEAIKNRDFASFAHLTCADSNQFHAVCLDTCPPIFYMNDTSHRIISCVEKWNRSEGTPQVAYTFDAGPNAVLIAHNRQAAAQLLQKLLFYFPPNSDTDLNSYVLGDNSILKDAGIEDLKDVEALPPPPETKDAQRFKGDVSYFICTRPGRGPVLLSDESHALLCPETGLPK >Manes.17G030100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22456148:22458951:-1 gene:Manes.17G030100.v8.1 transcript:Manes.17G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRSIPLEDPPPASSSSEEESSSGEEEEEEEDGDDGAEAKVEGSSTEEDEANEKQQKPSSQLPQNQTSKPVPEKSHFTTQQAGPESDSDGSETETDSDDDLPNRALGTTVKPIASKLIEENPLKTTKHRSKSLASTQVAVKSTAAVKRGGETDRDPKDSKRSKKKDSESDGGVEKPDDIKKQLFQRLWSEDDEIAVLEGIIDFTEKKGVDPAKDMNSFLDFIKSSLHFDVSLSQLKDKVWRLKKKFEKHVSKGKMGEDKTFSKAHDQKTFDLSKKIWGSEASSGGGVDLVLKSNGKGRKNGNNSQKGKSLTALKAELGADIEKENEKVDKMEVEMQSHSGKKHILQFDKRVCVAGTEDYVVHRGLDMLEGPAKKPEMEEKWRKLHVAELELFLKRNELIREQAKLMLASYKTE >Manes.01G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31192394:31193257:-1 gene:Manes.01G114400.v8.1 transcript:Manes.01G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASCSALIKPASLKLMISPRQAFQVKALSFRDEGSLSEANLRVLRERIQEIKMKERLERCCRCEVGWNCSSGYNYKLKKQVGLGQLFEIVRLVCTTVGFTCVTGSLILVIVSLIVHLNPSS >Manes.02G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8984286:8993256:-1 gene:Manes.02G117200.v8.1 transcript:Manes.02G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAIENEDESAKPLLHPPAGDMLIKAQPVPVEQLDHTSPTHSVLGDHDEPNHPENGILLPSAAPNVNNVELKSSPQRSQSEIPSSDKDVTLKCSLQQSQTEADPPQSQTEAALTDNMMTDPPQSQTGAVENDTKAELRTDAQQLQTETVRSDKSPELKTNAEQPQSETVLDDKHVELKTGSQHQSEAASNDDNMELKTPQAEAAYDGNAVNLTSSPKQHHTEAASSDRSVELKASPQNPQVESTPNCNGMNLSSSPKQHPAEEAPCDKSMKLKSASDEQPQQVSPSLTPLDDGKSILKPESEPATEEKPEANETFDCKSKTHGIDGIAVSTSYLETSKPTTDAKLEPSEVPENKSSHLACVLPKEQNEPATPQAGPSSIKTELDNKRELKNIMNKTDKATPQSNGNSSSKYSFLLDDDNDGNESGTEEEQWAFMKELENFFRERSMEFKPPKFYGEGLNCLKLWRAVMRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTCGDELNVPLSSNSEPMSVDSQAPGSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDKNSLSLQKREKQLKSLGLLKRKKPSYMEHAVKAARTKTSKPQLDVDVIDLGPPADWVKINVQRTKDCFEVYALVPGLLREEVRVQSDPAGRLVVSGEPEHPDNPWGVTPFRKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSE >Manes.02G117200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8984963:8993137:-1 gene:Manes.02G117200.v8.1 transcript:Manes.02G117200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAIENEDESAKPLLHPPAGDMLIKAQPVPVEQLDHTSPTHSVLGDHDEPNHPENGILLPSAAPNVNNVELKSSPQRSQSEIPSSDKDVTLKCSLQQSQTEADPPQSQTEAALTDNMMTDPPQSQTGAVENDTKAELRTDAQQLQTETVRSDKSPELKTNAEQPQSETVLDDKHVELKTGSQHQSEAASNDDNMELKTPQAEAAYDGNAVNLTSSPKQHHTEAASSDRSVELKASPQNPQVESTPNCNGMNLSSSPKQHPAEEAPCDKSMKLKSASDEQPQQVSPSLTPLDDGKSILKPESEPATEEKPEANETFDCKSKTHGIDGIAVSTSYLETSKPTTDAKLEPSEVPENKSSHLACVLPKEQNEPATPQAGPSSIKTELDNKRELKNIMNKTDKATPQSNGNSSSKYSFLLDDDNDGNESGTEEEQWAFMKELENFFRERSMEFKPPKFYGEGLNCLKLWRAVMRLGGYDKVTSCKLWRQVGESFKPPKTCTTVSWTFRGFYEKALLDYERHKTCGDELNVPLSSNSEPMSVDSQAPGSGRARRDAAARAMQGWHSQRLLGNGEVSDPIIKDKNSLSLQKREKQLKSLGLLKRKKPSYMEHAVKAARTKTSKPQLDVDVIDLGPPADWVKINVQRTKDCFEVYALVPGLLREEVRVQSDPAGRLVVSGEPEHPDNPWGVTPFRKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEQSE >Manes.01G234900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39774537:39777657:-1 gene:Manes.01G234900.v8.1 transcript:Manes.01G234900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDPRNGESSQQHQPTKKPRLTRFITEAEIRGEFAHHLSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRQGILHSRTVVKDLINADNVDEVSLVDNATTAAAIVLQQVGRSFADGKFHNNDTVLMLHCAYEAVKKSIHAYVTCAGGSVIEVQLPFPVNSDAEIITEFRKGIEKGKSDGRKVRLAIIDHITSMPCVVTPVRELVKICREEGVDQIFVDAAHAMGSVKVDVKEIGADFYVSNLHKWFFCPPSVAFLYCKKTSSSSDVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVVSSALEFVNRFEGGICGIMKRNREKVVEMGKMLAESWGTKLGAPPEMCASMIMVGLPSTLRVMSQDDALRLRSYLRSNHGVEVPIHYQAPRDGEAVVKDKDEFIKAYARISHQVYNTLDDYCKLRDAINQIVDDPQISEKLFTE >Manes.01G234900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39774537:39777657:-1 gene:Manes.01G234900.v8.1 transcript:Manes.01G234900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDPRNGESSQQHQPTKKPRLTRFITEAEIRGEFAHHLSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRQGILHSRTVVKDLINADNVDEVSLVDNATTAAAIVLQQVGRSFADGKFHNNDTVLMLHCAYEAVKKSIHAYVTCAGGSVIEVQLPFPVNSDAEIITEFRKGIEKGKSDGRKVRLAIIDHITSMPCVVTPVRELVKICREEGVDQIFVDAAHAMGSVKVDVKEIGADFYVSNLHKWFFCPPSVAFLYCKKTSSSSDVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVVSSALEFVNRFEGGICGIMKRNREKVVEMGKMLAESWGTKLGAPPEMCASMIMVGLPSTLRVMSQDDALRLRSYLRSNHGVEVPIHYQAPRDGEAVVKDKDEFIKAYARISHQVYNTLDDYCKLRDAINQIVDDPQISEKLFTE >Manes.01G234900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39774537:39777657:-1 gene:Manes.01G234900.v8.1 transcript:Manes.01G234900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDPRNGESSQQHQPTKKPRLTRFITEAEIRGEFAHHLSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRQGILHSRTVVKDLINADNVDEVSLVDNATTAAAIVLQQVGRSFADGKFHNNDTVLMLHCAYEAVKKSIHAYVTCAGGSVIEVQLPFPVNSDAEIITEFRKGIEKGKSDGRKVRLAIIDHITSMPCVVTPVRELVKICREEGVDQIFVDAAHAMGSVKVDVKEIGADFYVSNLHKWFFCPPSVAFLYCKKTSSSSDVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVVSSALEFVNRFEGGICGIMKRNREKVVEMGKMLAESWGTKLGAPPEMCASMIMVGLPSTLRVMSQDDALRLRSYLRSNHGVEVPIHYQAPRDGEAVVKDKDEFIKAYARISHQVYNTLDDYCKLRDAINQIVDDPQISEKLFTE >Manes.01G234900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39774537:39777657:-1 gene:Manes.01G234900.v8.1 transcript:Manes.01G234900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDPRNGESSQQHQPTKKPRLTRFITEAEIRGEFAHHLSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRQGILHSRTVVKDLINADNVDEVSLVDNATTAAAIVLQQVGRSFADGKFHNNDTVLMLHCAYEAVKKSIHAYVTCAGGSVIEVQLPFPVNSDAEIITEFRKGIEKGKSDGRKVRLAIIDHITSMPCVVTPVRELVKICREEGVDQIFVDAAHAMGSVKVDVKEIGADFYVSNLHKWFFCPPSVAFLYCKKTSSSSDVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVVSSALEFVNRFEGGICGIMKRNREKVVEMGKMLAESWGTKLGAPPEMCASMIMVGLPSTLRVMSQDDALRLRSYLRSNHGVEVPIHYQAPRDGEAVVKDKDEFIKAYARISHQVYNTLDDYCKLRDAINQIVDDPQISEKLFTE >Manes.01G234900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39774537:39777657:-1 gene:Manes.01G234900.v8.1 transcript:Manes.01G234900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDPRNGESSQQHQPTKKPRLTRFITEAEIRGEFAHHLSGIARINNGSFGSCPGSVLAAQRKWQLKFLQQPDDFYFNTLRQGILHSRTVVKDLINADNVDEVSLVDNATTAAAIVLQQVGRSFADGKFHNNDTVLMLHCAYEAVKKSIHAYVTCAGGSVIEVQLPFPVNSDAEIITEFRKGIEKGKSDGRKVRLAIIDHITSMPCVVTPVRELVKICREEGVDQIFVDAAHAMGSVKVDVKEIGADFYVSNLHKWFFCPPSVAFLYCKKTSSSSDVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVVSSALEFVNRFEGGICGIMKRNREKVVEMGKMLAESWGTKLGAPPEMCASMIMVGLPSTLRVMSQDDALRLRSYLRSNHGVEVPIHYQAPRDGEAVVKDKDEFIKAYARISHQVYNTLDDYCKLRDAINQIVDDPQISEKLFTE >Manes.06G167300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29300903:29305572:1 gene:Manes.06G167300.v8.1 transcript:Manes.06G167300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKMKGAVLINVLVLLLLYGFAMGKECTNTIPGMSLQYEIASTKNETWKKQMFSQFKVNTVYEKTANVSKGSTLNWKKISDQITKAVKSNPPRHLLKEVSLHDVRLEPDSPHWRAQQTNLEYLLMLDADRLVWSFRKTSGLPTPGKPYGGWEAPDIELRGHFVGHYLSATAKMWASTHNTTLRQKMSAVVSALSDCQQKVGTGYISAFPTEQFDRYESLVYVWAPYYTIHKIMSGLLDQHTIAQNRQALKMLTWMVDYFYNRIQNVILEFSLARHYAALNEETGGMNDVLYRLYSITRNPKHLVMAQLFDKPCFLGELAVQANDLAGFHVNTHIPIVIGSQMRYEVIGDPLHKSIAMYFMDIINSTHSFATGGTSIDEHWKEPSRLASYLVPNNEESCTTHNMLKVSRNLFRWTKAMAYADHYERALTNGVLSVQRGTEPGVMIYFLPLGPGNTKAISSHGWGTPFNSFWCCYGTAIESFSKLGDSIYFEDRGKVPRLYIIQYISSSFNWYHGQTVINQKVEPVISWDPYLKVIIKTSALPSSEGRSQFSTLKLRIPIWTNVSGAKAAINEENLKLPAPGNFLSVTRKWAPNDTLTLQLPINLRLEAIKDDRPEYASVKAILYGPYLLAGHSDGDWNIKTGNATSLSDWITPIPHTYNNHLVTFTQQSGNSTFFLMNSSQIIIMGNSARFGNNTALQATFRLVFADKSPSNVLSTQDAIGKTVMLEPFDHPGMLVVVRADKTLAIGDQGPSAFHLIAGLDAKGTVSLESETHKGCFVYGAVTKHSGRRLALKCDLDVSNSKDAHAASFVMRKGMSRYHPISFVARGATRNFLLEPLLNYKNETYTVYFNIHA >Manes.14G045900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3993677:3997932:-1 gene:Manes.14G045900.v8.1 transcript:Manes.14G045900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTGSPVYSRQWSGGSSSTGSSSPAMSPAHPSSRLGTGMSTIKRTQNVAAKAAAQRLARVMASQTADDDEEDDDLGFRFSAPPPPAPSSFSSSLNHSASNNVSPAISVARPNRSPSPALGRNFAEHVPSVRSTSAGRPSMSVRTATLVPPSKPTLRTPVSIPPIEPPSNRNKEKRFSSDVGQLKTKDAGDQREASALRDELDMLQEENEVLLDKLRHAEQRHDEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGRDEEIAALRAELESLKEGAVAAVDQFQEAESEAKALRTMTQRMILSQEEMEEVVMKRCWLARYWGLAVQLGICADVAVAKHEHWSALAPLPFEIVISAGQKVKEESLDRGSDDPDRSKPARDMGDLTGEGNIESMLSVEMGLRELASLKVVYKL >Manes.14G045900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3989290:3997136:-1 gene:Manes.14G045900.v8.1 transcript:Manes.14G045900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTATLVPPSKPTLRTPVSIPPIEPPSNRNKEKRFSSDVGQLKTKDAGDQREASALRDELDMLQEENEVLLDKLRHAEQRHDEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGRDEEIAALRAELESLKEGAVAAVDQFQEAESEAKALRTMTQRMILSQEEMEEVVMKRCWLARYWGLAVQLGICADVAVAKHEHWSALAPLPFEIVISAGQKVKEESLDRGSDDPDRSKPARDMGDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALALHRRPSLRSAVDPKFTEAFELSDEEAEDVIFKEAWLTYFWRRAKVHGVEEDIAEERLQFWTSRSGQSPTSHDAVDVERGLLELRKLSIEQQLWEASRKEIDLPSSSLFSNHKPTADSETSY >Manes.14G045900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3989290:3997932:-1 gene:Manes.14G045900.v8.1 transcript:Manes.14G045900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTATLVPPSKPTLRTPVSIPPIEPPSNRNKEKRFSSDVGQLKTKDAGDQREASALRDELDMLQEENEVLLDKLRHAEQRHDEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGRDEEIAALRAELESLKEGAVAAVDQFQEAESEAKALRTMTQRMILSQEEMEEVVMKRCWLARYWGLAVQLGICADVAVAKHEHWSALAPLPFEIVISAGQKVKEESLDRGSDDPDRSKPARDMGDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALALHRRPSLRSAVDPKFTEAFELSDEEAEDVIFKEAWLTYFWRRAKVHGVEEDIAEERLQFWTSRSGQSPTSHDAVDVERGLLELRKLSIEQQLWEASRKEIDLPSSSLFSNHKPTADSETSY >Manes.14G045900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3989290:3997932:-1 gene:Manes.14G045900.v8.1 transcript:Manes.14G045900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTATLVPPSKPTLRTPVSIPPIEPPSNRNKEKRFSSDVGQLKTKDAGDQREASALRDELDMLQEENEVLLDKLRHAEQRHDEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGRDEEIAALRAELESLKEGAVAAVDQFQEAESEAKALRTMTQRMILSQEEMEEVVMKRCWLARYWGLAVQLGICADVAVAKHEHWSALAPLPFEIVISAGQKVKEESLDRGSDDPDRSKPARDMGDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALALHRRPSLRSAVDPKFTEAFELSDEEAEDVIFKEAWLTYFWRRAKVHGVEEDIAEERLQFWTSRSGQSPTSHDAVDVERGLLELRKLSIEQQLWEASRKEIDLPSSSLFSNHKPTADSETSY >Manes.14G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3989290:3997932:-1 gene:Manes.14G045900.v8.1 transcript:Manes.14G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTGSPVYSRQWSGGSSSTGSSSPAMSPAHPSSRLGTGMSTIKRTQNVAAKAAAQRLARVMASQTADDDEEDDDLGFRFSAPPPPAPSSFSSSLNHSASNNVSPAISVARPNRSPSPALGRNFAEHVPSVRSTSAGRPSMSVRTATLVPPSKPTLRTPVSIPPIEPPSNRNKEKRFSSDVGQLKTKDAGDQREASALRDELDMLQEENEVLLDKLRHAEQRHDEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGRDEEIAALRAELESLKEGAVAAVDQFQEAESEAKALRTMTQRMILSQEEMEEVVMKRCWLARYWGLAVQLGICADVAVAKHEHWSALAPLPFEIVISAGQKVKEESLDRGSDDPDRSKPARDMGDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALALHRRPSLRSAVDPKFTEAFELSDEEAEDVIFKEAWLTYFWRRAKVHGVEEDIAEERLQFWTSRSGQSPTSHDAVDVERGLLELRKLSIEQQLWEASRKEIDLPSSSLFSNHKPTADSETSY >Manes.S022650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251212.1:10393:11863:1 gene:Manes.S022650.v8.1 transcript:Manes.S022650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVLAPPELTGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKSCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.14G092700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7751786:7752953:-1 gene:Manes.14G092700.v8.1 transcript:Manes.14G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEYKSLLYVLKHLSFNKMAKFISFFILVLLLLLSAAESSRSLSANTHKVSSPEESDISDDGCCSGLESEECLTRRFMAEHTDYIYTQDITGP >Manes.07G016100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1927642:1932147:-1 gene:Manes.07G016100.v8.1 transcript:Manes.07G016100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDMSRKSPEARRGEDFIELLKTMDHEEVLQVLSGGFCQHCQALLETRIHNLINAKVNKMSLSNGGTEVSKEFQSADAISINSSNGNLESLSPGKASLTSSSNQESESYSARQKGVTANSSSASVNSHQKSIDHPGFEILLNDGLADVSSVVDNGLSEEQKEQFRFSRVGRKKDFVCSERINGKPTNILKGLELHTKVFNPEEQKKIVECVYNLQRMGRKGQLRERTYSEPTKWMRGKGRITMQFGCCYNYAMDKNGKAPGIVQDEVVDPLPPTFKQMIKRMVRWHVLPATCIPNSCIVNIYDEGDCIPPHIDHHDFLRPFCTVSFLTECNIVFGSNLKILSPGEFSGPVSIPLPVGSVLVLNGNGADIAKHCIPGVPAKRISITFRKMDDSKLPYQFSPDPELLGIKPLIYSPLTKSPYQQSQQQKPFGYPSVVKSLVQQSNHQNGNLTATKSRSGNTNGTSFFINKDDFPPLGSSKSSGPSRANRVGSK >Manes.07G016100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1927642:1932147:-1 gene:Manes.07G016100.v8.1 transcript:Manes.07G016100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDMSRKSPEARRGEDFIELLKTMDHEEVLQVLSGGFCQHCQALLETRIHNLINAKVNKMSLSNGGTEVSKEFQSADAISINSSNARQKGVTANSSSASVNSHQKSIDHPGFEILLNDGLADVSSVVDNGLSEEQKEQFRFSRVGRKKDFVCSERINGKPTNILKGLELHTKVFNPEEQKKIVECVYNLQRMGRKGQLRERTYSEPTKWMRGKGRITMQFGCCYNYAMDKNGKAPGIVQDEVVDPLPPTFKQMIKRMVRWHVLPATCIPNSCIVNIYDEGDCIPPHIDHHDFLRPFCTVSFLTECNIVFGSNLKILSPGEFSGPVSIPLPVGSVLVLNGNGADIAKHCIPGVPAKRISITFRKMDDSKLPYQFSPDPELLGIKPLIYSPLTKSPYQQSQQQKPFGYPSVVKSLVQQSNHQNGNLTATKSRSGNTNGTSFFINKDDFPPLGSSKSSGPSRANRVGSK >Manes.04G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2665793:2673201:-1 gene:Manes.04G022100.v8.1 transcript:Manes.04G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHNNYSLARVMGCCSCLWFIWKPKRRTQPISAGNHHLSQEFLLDEEIDDDYDGSYNGETSENAFEEDGELPNRGKGSEEILRFREQSGMICRQFPVKETEKLVRSEDEDGNKMINEYVRVCKIGAGSYGKVVLYQSSIDGKNYAIKAFHKSHLLKLRVAPSETAMSDVLREVLIMKMLDHPNIVNLIEVIDDPNTDHFYMVLEYVDGKWVWEGSGPPGGIGENTARKYLRDIVSGLMYLHAHNIVHGDIKPDNLLVTRSGTVKIGDFSVSQVFEGDNDALRRSPGTPVFTAPECCLELNYHGKEADTWAVGVTLYCMILGQYPFLGETLQDTYDKIVNNPLVLPNEMNPQLKDLLEGLLCKDPKQRMTLDSVASHSWVTGEDGPIPLYLCCCKRNSLGLKPQTHMTLTESDETPN >Manes.04G022100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2666351:2673201:-1 gene:Manes.04G022100.v8.1 transcript:Manes.04G022100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVFFAELGVDFHFCLVIVITTSKFELLMYHNNYSLARVMGCCSCLWFIWKPKRRTQPISAGNHHLSQEFLLDEEIDDDYDGSYNGETSENAFEEDGELPNRGKGSEEILRFREQSGMICRQFPVKETEKLVRSEDEDGNKMINEYVRVCKIGAGSYGKVVLYQSSIDGKNYAIKAFHKSHLLKLRVAPSETAMSDVLREVLIMKMLDHPNIVNLIEVIDDPNTDHFYMVLEYVDGKWVWEGSGPPGGIGENTARKYLRDIVSGLMYLHAHNIVHGDIKPDNLLVTRSGTVKIGDFSVSQVFEGDNDALRRSPGTPVFTAPECCLELNYHGKEADTWAVGVTLYCMILGQYPFLGETLQDTYDKIVNNPLVLPNEMNPQLKDLLEGLLCKDPKQRMTLDSVASHSWVTGEDGPIPLYLCCCKRNSLGLKPQTHMTLTESDETPN >Manes.04G022100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2666260:2673201:-1 gene:Manes.04G022100.v8.1 transcript:Manes.04G022100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFYFRVMGCCSCLWFIWKPKRRTQPISAGNHHLSQEFLLDEEIDDDYDGSYNGETSENAFEEDGELPNRGKGSEEILRFREQSGMICRQFPVKETEKLVRSEDEDGNKMINEYVRVCKIGAGSYGKVVLYQSSIDGKNYAIKAFHKSHLLKLRVAPSETAMSDVLREVLIMKMLDHPNIVNLIEVIDDPNTDHFYMVLEYVDGKWVWEGSGPPGGIGENTARKYLRDIVSGLMYLHAHNIVHGDIKPDNLLVTRSGTVKIGDFSVSQVFEGDNDALRRSPGTPVFTAPECCLELNYHGKEADTWAVGVTLYCMILGQYPFLGETLQDTYDKIVNNPLVLPNEMNPQLKDLLEGLLCKDPKQRMTLDSVASHSWVTGEDGPIPLYLCCCKRNSLGLKPQTHMTLTESDETPN >Manes.01G273700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42681027:42683794:-1 gene:Manes.01G273700.v8.1 transcript:Manes.01G273700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEREVPNYELQVSFSTPQAIHEMGFVQFEDNQVLSFLAPSHSHQPSHISQPLTTSTTNTNTGFTSHNDQVGTLDPKANIEDNCTGSANDGNNSWWRSSSSSEKSKVKIRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFTSF >Manes.12G014800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1440244:1441149:1 gene:Manes.12G014800.v8.1 transcript:Manes.12G014800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNPTKHITKRRRKNYHQPFKYVPKELLTEILARVASSSITDLVNAKACCKGFLEAASEDYVFEHVTIDSFPVLPWKISYGVSSFLERCKKSGNPEALFRQGMIDFFSALKHDSGLKMLERAASKGHVEATYVYGILLVCHGAELRNKGVKLLSDLKRSKSSLVITECRKKVQRITWGMWVNNYVVGIGPAQEEEYMKKRKTCSSCNSKLAMCSFTTDQSKTRSGWTSDEDFEDDPFSCDSCLWDLEANLFCKMLRTGSYRR >Manes.05G175100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29140236:29147091:-1 gene:Manes.05G175100.v8.1 transcript:Manes.05G175100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQNQFQQHYQTQHQQQQSKNWRNLYSIDGQISPPVAYYSPANLQDQSQHPPYIPSPFHVVGFAPGPAPAADGTDGGVGVDLQWNYGVEPSRKKLKEQDFLENNSQISSVDFLQARSVSTGLGLSLDNTRVASSGDSTLISLIGDDIDREFQRQDAEIDRFLKVQGDRLRQTILEKVQANQLQTISLVEEKVLQKLREKEAEVESINKRNMELEERMEQLSVEAVAWQQRARYNENVINALKFNLQQVYAQSRDSKEGCGDSEVDDTASCCNGRVIDFHLLSKENNDMKDLMTCKVCRVNEVCMLLIPCKHLCLCKDCESKLSFCPVCQSKFVAMEVYM >Manes.05G175100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29140236:29147091:-1 gene:Manes.05G175100.v8.1 transcript:Manes.05G175100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQNQFQQHYQTQHQQQQSKNWRNLYSIDGQISPPVAYYSPANLQDQSQHPPYIPSPFHVVGFAPGPAPAADGTDGGVGVDLQWNYGVEPSRKKLKEQDFLENNSQISSVDFLQARSVSTGLGLSLDNTRVASSGDSTLISLIGDDIDREFQRQDAEIDRFLKVQGDRLRQTILEKVQANQLQTISLVEEKVLQKLREKEAEVESINKRNMELEERMEQLSVEAVAWQQRARYNENVINALKFNLQQVYAQSRDSKEGCGDSEVDDTASCCNGRVIDFHLLSKENNDMKDLMTCKVCRVNEVCMLLIPSYWEKISITVA >Manes.04G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30135189:30154790:1 gene:Manes.04G095500.v8.1 transcript:Manes.04G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIKVAQAVHVLNHDTQSCNRVAANQWLVQFQQTPDAWEVATSILTSDQLHLHLQPFFSEFEVEFFAAQILKRKIQSEGYYLKLEVKDALLNALLVAAKRFSSGPPQLLTQICLALAALILRAVEHGKPIEQLFYSLQTLQNQDDGNIAVLEMLTVLPEEVVDTQNTDTSISPAHRSQYGQELLSHTPTVLEFLLDQSQKMYDGGLQLHEKNRKVLRCLLSWVRAGCFSEIPQGSLPTHPLLNFVFNSLQVSASFDVAIEVLVELASRHEGLPQVLLCRVHFLKEALLLPALSNRNEKYISGLACLMSEIGQAAPSLIAEGGVEALALADALLSCVAFPSEDWEIADSTLQFWSTLASYILGLDMESAKDGKHVKDVFFSVFSALLDALLLRAQVDESTFNDESGMLDMPDGLVQFRINLAELLVDICQLLRPATFVQKLFFDRWASATVPVPWKEVEAKLFALNVVSEVVLQEGPTFDFSVIMQLATMLSSGPSDKLKGFTCNVYRSLADVVGCYSKWISTFQTNARPLLLFLAAGISEPQCSNACASALRKFCEDASAVICEPSNLEILMWIGEAFEKTRLPLEDEEEVVSAISVILGSVPNKELKNNLLARLLSSTYDAIGKLIEEDNDHSFSQNPATYTQILNSAARGLHRIGTVFRHLATPQPSVPGEDDTIFGLLRIFWPMLEKLFRSEHMESSNLSTAACRALSLAIQSSGQHFVMLLPNVLDCLSSNFLSFQSHECYIRTASVVIEEFSNREEYGPLFIKTFERFTQAASVMGLNSSYICDQEPDLVEAYTTFASTFVRSSRKEVLAASGSLLEVSFQKAAICCTAMHRGAALGAMSYLSCFLEVSLASLLESMSIPEGSYGAITIQVISHSGEGLVSSVVYALLGVSAMSRVHKCATILQQLAAICSFSERTTWKAILCWESLRGWLHAAVQALPVEYLKQGEAETLVPIWLDALVGAASDYLKSRSCRSGENNYGHMQGKGGRVLKRLVREFADSHRNIPS >Manes.04G095500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30135189:30154790:1 gene:Manes.04G095500.v8.1 transcript:Manes.04G095500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIKVAQAVHVLNHDTQSCNRVAANQWLVQFQQTPDAWEVATSILTSDQLHLHLQPFFSEFEVEFFAAQILKRKIQSEGYYLKLEVKDALLNALLVAAKRFSSGPPQLLTQICLALAALILRAVEHGKPIEQLFYSLQTLQNQDDGNIAVLEMLTVLPEEVVDTQNTDTSISPAHRSQYGQELLSHTPTVLEFLLDQSQKMYDGGLQLHEKNRKVLRCLLSWVRAGCFSEIPQGSLPTHPLLNFVFNSLQVSASFDVAIEVLVELASRHEGLPQVLLCRVHFLKEALLLPALSNRNEKYISGLACLMSEIGQAAPSLIAEGGVEALALADALLSCVAFPSEDWEIADSTLQFWSTLASYILGLDMESAKDGKHVKDVFFSVFSALLDALLLRAQVDESTFNDESGMLDMPDGLVQFRINLAELLVDICQLLRPATFVQKLFFDRWASATVPVPWKEVEAKLFALNVVSEVVLQEGPTFDFSVIMQLATMLSSGPSDKLKGFTCNVYRSLADVVGCYSKWISTFQTNARPLLLFLAAGISEPQCSNACASALRKFCEDASAVICEPSNLEILMWIGEAFEKTRLPLEDEEEVVSAISVILGSVPNKELKNNLLARLLSSTYDAIGKLIEEDNDHSFSQNPATYTQILNSAARGLHRIGTVFRHLATPQPSVPGEDDTIFGLLRIFWPMLEKLFRSEHMESSNLSTAACRALSLAIQSSGQHFVMLLPNVLDCLSSNFLSFQSHECYIRTASVVIEEFSNREEYGPLFIKTFERFTQAASVMGLNSSYICDQEPDLVEAYTTFASTFVRSSRKEVLAASGSLLEVSFQKAAICCTAMHRGAALGAMSYLSCFLEVSLASLLESMSIPEGSYGAITIQVISHSGEGLVSSVVYALLGVSAMSRVHKCATILQQLAAICSFSERTTWKAILCWESLRGWLHAAALPVEYLKQGEAETLVPIWLDALVGAASDYLKSRSCRSGENNYGHMQGKGGRVLKRLVREFADSHRNIPS >Manes.17G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:18903442:18907822:-1 gene:Manes.17G024000.v8.1 transcript:Manes.17G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASRPKDCVGLSSRNKKKNNGKPRIKRRRVIKRRVSSRNIEKADFTAQTDRSYTNPTLQGSTDAAAFSDAISVVESEWEDEFYSVHDDGFSVIGSESVLSVSSPRDFFNPKENFENTTPGTVIEGNAENVQSKDRDSHTKPNGDVKGITGVSNCGTSVGGEVMQEANHCGLIPNACLPCLASSTVPSIDKKKSLSPGPGTPTSRRKPSLKLSFKWREGHATPTLFSPKALLQRPVAGSSIPCCPIDKKMPNCWSPIEPSSFKVRGQNYLRDKKKERAPNYAAFYPFGADIFLSQRKIHHIARYVELPTISAADEVPSILVVNIQIPLYPATIFQSENDGEGMNLVMYFKVSENYSKELPSHFQENISRLINDEVERVRGFPVDTIAPFRERLKILGRLANAEDLQLSSAEKKLLNAYNEKPVLSRPQHEFYLGENYFEIDLDMHRFSYISRKGFEAFHDRLKFCILDFGLTIQGCKAEDLPEHILCCIRLNGIDYTKYQQLGC >Manes.17G024000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:18903442:18907822:-1 gene:Manes.17G024000.v8.1 transcript:Manes.17G024000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASRPKDCVGLSSRNKKKNNGKPRIKRRRVIKRRVSSRNIEKADFTAQTDRSYTNPTLQGSTDAAAFSDAISVVESEWEDEFYSVHDDGFSVIGSESVLSVSSPRDFFNPKENFENTTPGTVIEGNAENVQSKDRDSHTKPNGDVKGITGVSNCGTSVGGEVMQEANHCGLIPNACLPCLASSTVPSIDKKKSLSPGPGTPTSRRKPSLKLSFKWREGHATPTLFSPKALLQRPVAGSSIPCCPIDKKMPNCWSPIEPSSFKVRGQNYLRDKKKERAPNYAAFYPFGADIFLSQRKIHHIARYVELPTISAADEVPSILVVNIQIPLYPATIFQSENDGEGMNLVMYFKVSENYSKELPSHFQENISRLINDEVERVRGFPVDTIAPFRERLKILGRLANAEDLQLSSAEKKLLNAYNEKPVLSRPQHEFYLQTSSHHSTRRNLRK >Manes.17G024000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:18903442:18907823:-1 gene:Manes.17G024000.v8.1 transcript:Manes.17G024000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASRPKDCVGLSSRNKKKNNGKPRIKRRRVIKRRVSSRNIEKADFTAQTDRSYTNPTLQVVESEWEDEFYSVHDDGFSVIGSESVLSVSSPRDFFNPKENFENTTPGTVIEGNAENVQSKDRDSHTKPNGDVKGITGVSNCGTSVGGEVMQEANHCGLIPNACLPCLASSTVPSIDKKKSLSPGPGTPTSRRKPSLKLSFKWREGHATPTLFSPKALLQRPVAGSSIPCCPIDKKMPNCWSPIEPSSFKVRGQNYLRDKKKERAPNYAAFYPFGADIFLSQRKIHHIARYVELPTISAADEVPSILVVNIQIPLYPATIFQSENDGEGMNLVMYFKVSENYSKELPSHFQENISRLINDEVERVRGFPVDTIAPFRERLKILGRLANAEDLQLSSAEKKLLNAYNEKPVLSRPQHEFYLGENYFEIDLDMHRFSYISRKGFEAFHDRLKFCILDFGLTIQGCKAEDLPEHILCCIRLNGIDYTKYQQLGC >Manes.13G064700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8132288:8136417:1 gene:Manes.13G064700.v8.1 transcript:Manes.13G064700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSFPVSPSKIPSIFPFNHPILHNPTAPTNHHQLPLQTYLKRPINSTSLKSSGFLSAIGRAIEEEEEYKKARASVTRKGVDLEGYSIEGISIGGQETCLIIPEFKCAFDIGRCPTRAIHQNFVFITHAHLDHIGGLPMYVASRGLYNLKPPTIFVPTCIKQDVEKLFDIHRAMGQVELNLDLVALDVGETYELRNDIVVRPFRTQHVIPSQGYIIYSIRKKLKKQYIHLKGKQIEKLKKSGVEITDIILSPEVAFTGDTTAEYMLDPRNADALRAKILITEATFLDDGFSIEHARKHGHTHLYEIIKNAEWIRSKAVLLTHFSSRYSVEVCGKLN >Manes.13G064700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8132288:8136417:1 gene:Manes.13G064700.v8.1 transcript:Manes.13G064700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSFPVSPSKIPSIFPFNHPILHNPTAPTNHHQLPLQTYLKRPINSTSLKSSGFLSAIGRAIEEEEEYKKARASVTRKGVDLEGYSIEGISIGGQETCLIIPEFKCAFDIGRCPTRAIHQNFVFITHAHLDHIGGLPMYVASRGLYNLKPPTIFVPTCIKQDVEKLFDIHRAMGQVELNLDLVALDVGETYELRNDIVVRPFRTQHVIPSQGYIIYSIRKKLKKQYIHLKGKQIEKLKKSGVEITDIILSPEVAFTGDTTAEYMLDPRNADALRAKILITEATFLDDGFSIEHARKHGHTHLYEIIKNAEWIRSKAVLLTHFSSRYSVEVCGKLN >Manes.13G064700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8132288:8136417:1 gene:Manes.13G064700.v8.1 transcript:Manes.13G064700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSFPVSPSKIPSIFPFNHPILHNPTAPTNHHQLPLQTYLKRPINSTSLKSSGFLSAIGRAIEEEEEYKKARASVTRKGVDLEGYSIEGISIGGQETCLIIPEFKCAFDIGRCPTRAIHQNFVFITHAHLDHIGGLPMYVASRGLYNLKPPTIFVPTCIKQDVEKLFDIHRAMGQVELNLDLVALDVGETYELRNDIVVRPFRTQHVIPSQGYIIYSIRKKLKKQYIHLKGKQIEKLKKSGVEITDIILSPEVAFTGDTTAEYMLDPRNADALRAKILITEATFLDDGFSIEHARKHGHTHLYEIIKNAEWIRSKAVLLTHFSSRYSVEDIHQAASKLQSTVSAKVVPLTEGFKSMYS >Manes.02G184600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14772322:14773746:-1 gene:Manes.02G184600.v8.1 transcript:Manes.02G184600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKSFITLLLFISLLSLASCLSSASETKFKANVTVSNGLAFGFYAFVCPQVEILVRNHLLQVFNRDVGQAAGILRLHAHDCFVQGCDGSILLDRSVERTELPNLSLRPESIQVIDTLRQIVHSQCGPVVSCSDLLTLAARDSVFFTSGFDYAVPLGRRDGVVFPRPGQTFEDLIAPNAKTTEVLTKYARKGLNLVDAVALSGAHSIGIAHCSSFSERIFPNRDPTMEISFFNSLRQVCPAPNANGIASLDIRSPFRFDNFYFIDLMNRQGVFTSDQDLFEDLRTRPTVITFAASQPLFFSSFAVAMTKMGSVEVLTGAQGEIRARCNVRNSDVNDLEPVVEKDIASSSAM >Manes.15G074400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5619705:5629151:-1 gene:Manes.15G074400.v8.1 transcript:Manes.15G074400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNLDLFSTLTSSLLVPIVSYLPFKEAVRTSILSKEWRNIWRETKNIEFHEKFFVDLQETEEKQRIQRSSFFDFARNFVAGYPQGDIRKFAMTCSKPESFLTDIQSFIIFAVSRNVRELELNFWDPRWNEEDDDENHPAVVELPYQVYLNEGLESLKLFSCNFDVSRLSNFKILKEVAFGWIEMRMVSIKFLLVCCPLIETLSLKKCWNIDHLEICLPDLRLKNLVLDKCNFVDDFFWIEGPMLRFLKYSGKVGHFHMYNQRQMVEADLDFGMEPEFFEVGTLLYDFLQEFYAVKVLTVCSVLLQLIPREEEPFSLRFPLNVRHLILKTAMHSFEFYGMSFMFTSCPKLKILTFDIGPAKIFPDYEPPFDLNPQEVWTNNLWAIPQCFDRSLECIHRSLEVINVKGFKGSVNELHVLQYIINCGPMLRKLNLFLSDEEDENGQNRESYMARVRHITQFRTPSLNLRVFVF >Manes.15G187700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27506414:27513948:1 gene:Manes.15G187700.v8.1 transcript:Manes.15G187700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVREYTNLTDPKDKKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKVSDGNHFTPTSSDHSSANSSKAVEKKECFLSKPGKIDENNEENAAKNDTGQENPVKRLLGLAYASSDDEDED >Manes.15G187700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27510722:27513948:1 gene:Manes.15G187700.v8.1 transcript:Manes.15G187700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKVSDGNHFTPTSSDHSSANSSKAVEKKECFLSKPGKIDENNEENAAKNDTGQENPVKRLLGLAYASSDDEDED >Manes.15G187700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27506414:27513948:1 gene:Manes.15G187700.v8.1 transcript:Manes.15G187700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVREYTNLTDPKDKKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLPLRVEPKPKSGIRQQDLLKKVVEVKPKRPKVSDGNHFTPTSSDHSSANSSKAVEKKECFLSKPGKIDENNEENAAKNDTGQENPVKRLLGLAYASSDDEDED >Manes.08G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28997575:29001361:-1 gene:Manes.08G084200.v8.1 transcript:Manes.08G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSSGGPTSASSTSVSPSSHPGPTTTRRRVDAADRLSAFSDYYDVVSDEEDTLNGSSAGPHHHHHHHHPLIRYLLLRRKLFFFLPEAWLLAFEDGCYWIATMVQSLRSGKNMGRKIFAALTLMLVISVFLKVSFLDHHVEKSRENGLLILQTIKEDWAMAQRVVSENSASMPKRVLEKFPTPEIWMKPNSDNYYQCIPRPRTQIKARNTNGYLLVHANGGLNQMRTGISDMVAVAKLMNAALVLPSLDHDSFWTDPSTFKDVFDWRHFMETLKDDIDIVEYLPPEYATKKPLLKAPVSWSKASYYRHDMASLLRKHKVVKFTHTDSRLANNGLAPSIQRLRCRANYEALQYSKELEHLGKILVDRLRNNSEPYIALHLRYEKDMLSFTGCNHNLTAEEAEELRDMRYKVQHWKEKEIDSRERRLQGGCPMSPREAALFLKAMGYPSSTTIYIVAGKIYGSNSLAAFRAEFSNVYSHYSLATEEELEPFKPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRQNFVTLIDQLDEDSISWEEFSARVKSLHSNRVGSPYLRIAGESPRLEENFYANPFPGCICNKSHELHSSLKSKKRLKPSLGAASQR >Manes.06G066201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20226837:20227205:-1 gene:Manes.06G066201.v8.1 transcript:Manes.06G066201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSCDTVVVFSSLLLSTMTILAWNVQGIMGKRSQFILHDFCTHFKPSILVLVEIQVSGFRVDMIFLKMSFDYWFRVEAIGFNDGIWVFWNNSIGLVSLLSTHPQFMHCQVDNRVVLLGIL >Manes.14G137800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12083783:12085733:-1 gene:Manes.14G137800.v8.1 transcript:Manes.14G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKLPFSLILCSFFFFVLPHASNAHLLKTCEFEAIYNLGDSISDTGNLIQEDPSSVFGKFPYGQNLNRNATGRCSNGLLMIDFIAKSAGIPLLNAYLNVSSPKSYGVNFAVAGSTALPVEFLAENGVAAPVTNSSLTIQLGWMDTHFNTTCYNSKDCTEKHKKSLFMVGEIGGNDYNYALFQGKTIDEMKSMVPNVVKAIKDAIKRVISFGATRVIVPGNFPIGCIPIYLTGFHSNDSDAYDEFHCLKGLNNFSMYHNEQLQQAIQELQQEHPHVIIVYGDYYNAYKWVLQKASLLGFDSKSLQKACCGSGGDYDFSLVRFCGAPDVPVCPKPRERMSWDGVHSTQKAYFFMARWLIRNIFQKLRCFA >Manes.09G058632.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9372171:9380175:1 gene:Manes.09G058632.v8.1 transcript:Manes.09G058632.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAITGDDLARSSPDVFNRSMRREEDENELQWAAIERLPTYDRMRKGVLRQVLDTGEVIQSEVDVRNLRMQDKKQLMERIFKYAEDDNEKFLERLRGRIDWVGIEIPTIEVRFEHLSVEGDVHVGSRALPTLLNATLNTIESILGLIRLAPSKKRKIQILQDISGIVKPSRMTLLLGPPGAGKTTLLLALAGKLDQDLRKTGKITYCGRELHEFVPQRTCAYISQYDLHNGEMTVRETFDFSGRCLGVGTRYEMLSEVSRREKGAGIKPDPEIDAFMKAISISGQKTSLVTDYILKMLGLDICADIMVGDEMRRGISGGQKKRVTTGEMLVGPAKVLLMDEISTGLDSSTTFQICRFMRQMVHIMDVTMIISLLQPAPETFELFDDIILLSEGQIVYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSMKDQEQYWYKDQPYRFISVHEFVEGFGSFHTGQQLETNLSVPYVKSRVHPAALVTDKYGISNWELFKACFSREWLLMKRNSFVYIFKTTQITIMSVFAFTVFLRTQMKVGTVADGQKFFGALFFSLVNVMFNGMAELAMTVFRLPVFFKQRDFLIYPAWAFALPIWVLKVPLSFLESAIWILLTYYTIGFAPSARRFFKQFLAFLGVHQMALSLFRFIAAVGRTQVVASTLGTFTLLMVFVLGGFVVAKNDIAPWMIWGYYASPMMYGQNAIVMNEFLDERWSAPNPDPRIDAPTVGKVLLKSRGFFTDEHWFWICIGALFGFSFLFNILFIAALSYLNRIDKEVRNSSEGIDSVIISSRRGMVLPFQPLLLTFNHVNYYVDMPAEMKNEGVQEKRLQLLRDVSGYFRPGILTALVGVSGAGKTTLMDVLAGRKTSGYVEGSINIAGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSKDVDTKTRKMFVEEVMELVELNPIRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDDLILMKRGGQIIYAGPLGHHSNKLIEYFEAVPGVPKIKDGYNPATWMLDISTSSMETQLNVDFAEIYINSALYQRNQDLIRELSTPAPGSKDLYFPTKYSKSFLVQCEACFWKQHWSYWRNPQYNAVRFLLTIIIGILFGLIFWNQGQKFTKQQDLYNLVGAMYSAIFFLGATNSNAVQPVVSIERTVFYRERAAGMYSALPYAFAQVAIEAIYVTIQSIIYILILYTMIGFEWKAGKFLWFLYFIITSFTYFTLYGMMAIALTPGHQISAIVMSFFMSLWNLFSGFLIPRIQIPIWWRWYYWASPVAWTVYGLVASQVGDNNALVEIPGAGNVPLKEFIKESLGFDYEFLPVVAVVHIGWVILFFFVFAYGIKFLNFQRR >Manes.09G058632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9372171:9380203:1 gene:Manes.09G058632.v8.1 transcript:Manes.09G058632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAITGDDLARSSPDVFNRSMRREEDENELQWAAIERLPTYDRMRKGVLRQVLDTGEVIQSEVDVRNLRMQDKKQLMERIFKYAEDDNEKFLERLRGRIDWVGIEIPTIEVRFEHLSVEGDVHVGSRALPTLLNATLNTIESILGLIRLAPSKKRKIQILQDISGIVKPSRMTLLLGPPGAGKTTLLLALAGKLDQDLRKTGKITYCGRELHEFVPQRTCAYISQYDLHNGEMTVRETFDFSGRCLGVGTRYEMLSEVSRREKGAGIKPDPEIDAFMKAISISGQKTSLVTDYILKMLGLDICADIMVGDEMRRGISGGQKKRVTTGEMLVGPAKVLLMDEISTGLDSSTTFQICRFMRQMVHIMDVTMIISLLQPAPETFELFDDIILLSEGQIVYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSMKDQEQYWYKDQPYRFISVHEFVEGFGSFHTGQQLETNLSVPYVKSRVHPAALVTDKYGISNWELFKACFSREWLLMKRNSFVYIFKTTQITIMSVFAFTVFLRTQMKVGTVADGQKFFGALFFSLVNVMFNGMAELAMTVFRLPVFFKQRDFLIYPAWAFALPIWVLKVPLSFLESAIWILLTYYTIGFAPSARRFFKQFLAFLGVHQMALSLFRFIAAVGRTQVVASTLGTFTLLMVFVLGGFVVAKNDIAPWMIWGYYASPMMYGQNAIVMNEFLDERWSAPNPDPRIDAPTVGKVLLKSRGFFTDEHWFWICIGALFGFSFLFNILFIAALSYLNPLGNSRTFKVAERTGKHQTEGIDKEVRNSSEGIDSVIISSRRGMVLPFQPLLLTFNHVNYYVDMPAEMKNEGVQEKRLQLLRDVSGYFRPGILTALVGVSGAGKTTLMDVLAGRKTSGYVEGSINIAGYPKNQATFARVSGYCEQNDIHSPNVTVYESLLYSAWLRLSKDVDTKTRKMFVEEVMELVELNPIRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDDLILMKRGGQIIYAGPLGHHSNKLIEYFEAVPGVPKIKDGYNPATWMLDISTSSMETQLNVDFAEIYINSALYQRNQDLIRELSTPAPGSKDLYFPTKYSKSFLVQCEACFWKQHWSYWRNPQYNAVRFLLTIIIGILFGLIFWNQGQKFTKQQDLYNLVGAMYSAIFFLGATNSNAVQPVVSIERTVFYRERAAGMYSALPYAFAQVAIEAIYVTIQSIIYILILYTMIGFEWKAGKFLWFLYFIITSFTYFTLYGMMAIALTPGHQISAIVMSFFMSLWNLFSGFLIPRIQIPIWWRWYYWASPVAWTVYGLVASQVGDNNALVEIPGAGNVPLKEFIKESLGFDYEFLPVVAVVHIGWVILFFFVFAYGIKFLNFQRR >Manes.06G009500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1507273:1511331:-1 gene:Manes.06G009500.v8.1 transcript:Manes.06G009500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILSVNKSRSVASEIFEVSQSETCKRQKLSPISCEGSPRLIPSLPDEISIQILARLPRICYLKMKLVSRAWKAAIVSAELFSVRKELGSTEEWLYILMKVEDEKFLWYALDPLSRRWQRLPTMPGVSFEDESRKGLAALRMWNVVGSSIKIADTVRGWLGKKGTLDPLPFHGSAVGAINGSLYVLGGLSKASAMRCVWQYNPILNAWSEMSPMSIGRAFCKTGILNNKLYAVGGVTKGRGGLTSLQSAEVFDPRTGVWSGIPSMPFSKAQVLPTAFLADLLKPIATGMTSYRGRLFVTQSLYCWPFFVDVGGEVYDAESNSWDDMPTGMGEGWPVKQAGTKLSVTVEGELYALEPTSSIDSARVKVYDYQNDTWKVLLGDIPISDTSNSESSYLLAGLLGELHVITKDANNNITVLQVDVRSHLASSSSSSPFPFDDSFKEEGESAAKPEACLWRVIATRNGSSADLVSCQTLDL >Manes.06G009500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:1507273:1511424:-1 gene:Manes.06G009500.v8.1 transcript:Manes.06G009500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILSVNKSRSVASEIFEVSQSETCKRQKLSPISCEGSPRLIPSLPDEISIQILARLPRICYLKMKLVSRAWKAAIVSAELFSVRKELGSTEEWLYILMKVEDEKFLWYALDPLSRRWQRLPTMPGVSFEDESRKGLAALRMWNVVGSSIKIADTVRGWLGKKGTLDPLPFHGSAVGAINGSLYVLGGLSKASAMRCVWQYNPILNAWSEMSPMSIGRAFCKTGILNNKLYAVGGVTKGRGGLTSLQSAEVFDPRTGVWSGIPSMPFSKAQVLPTAFLADLLKPIATGMTSYRGRLFVTQSLYCWPFFVDVGGEVYDAESNSWDDMPTGMGEGWPVKQAGTKLSVTVEGELYALEPTSSIDSARVKVYDYQNDTWKVLLGDIPISDTSNSESSYLLAGLLGELHVITKDANNNITVLQVDVRSHLASSSSSSPFPFDDSFKEEGESAAKPEACLWRVIATRNGSSADLVSCQTLDL >Manes.06G009500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1505906:1511331:-1 gene:Manes.06G009500.v8.1 transcript:Manes.06G009500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAILSVNKSRSVASEIFEVSQSETCKRQKLSPISCEGSPRLIPSLPDEISIQILARLPRICYLKMKLVSRAWKAAIVSAELFSVRKELGSTEEWLYILMKVEDEKFLWYALDPLSRRWQRLPTMPGVSFEDESRKGLAALRMWNVVGSSIKIADTVRGWLGKKGTLDPLPFHGSAVGAINGSLYVLGGLSKASAMRCVWQYNPILNAWSEMSPMSIGRAFCKTGILNNKLYAVGGVTKGRGGLTSLQSAEVFDPRTGVWSGIPSMPFSKAQVLPTAFLADLLKPIATGMTSYRGRLFVTQSLYCWPFFVDVGGEVYDAESNSWDDMPTGMGEGWPVKQAGTKLSVTVEGELYALEPTSSIDSARVKVYDYQNDTWKVLLGDIPISDTSNSESSYLLAGLLGELHVITKDANNNITVLQVDVRSHLASSSSSSPFPFDDSFKEEGESAAKPEACLWRVIATRNGSSADLIWFSSYFIIWVPQYLI >Manes.17G095550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30340302:30340448:1 gene:Manes.17G095550.v8.1 transcript:Manes.17G095550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRRRQLLLRVLFEAGNCLLDIRVGLVSDFPEHLMRARSPDGLTSW >Manes.01G096000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29705483:29706261:-1 gene:Manes.01G096000.v8.1 transcript:Manes.01G096000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQETPKEEPMQSEATISECCKTPPHDHKIDSNSLNSINDLRKSSTPDRLKVPKAFKYPERYRSPTDLMVSPITKGLLARNRKGGGGGGLLPPSMNQPRVCY >Manes.01G096000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29705207:29706256:-1 gene:Manes.01G096000.v8.1 transcript:Manes.01G096000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQETPKEEPMQSEATISECCKTPPHDHKIDSNSLNSINDLRKSSTPDRLKVPKAFKYPERYRSPTDLMVSPITKGLLARNRKGGGGGGLLPPSMNQPRVQEISQDVVSFKIEI >Manes.11G119200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27803535:27807673:1 gene:Manes.11G119200.v8.1 transcript:Manes.11G119200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLAKTLSKLFHALLILIFLSTSASTATSALTDGPTKVGMEAYCLLRWKASLDNQSQSDLDSWVGRGPCKWIGVTCDSSGSITILSLIDLGLRGTLHSFNFSCFPNLTRLEIWNNLLHGTLPSQISNLSKITYLDLSGNHLTGNIPSEIGMLIDLHTLSLSVNQFDGYIPAEFGMLSSLSELYLSRNNFTGLIPTSMTKLENLSILYLWGNKLSGSIPSEIGFLKSLKELDLSSNKVSGLIPPSIGKLRELSILHLFDNKLSGSIPHEIGMLGCLSELSLQENNLTGSIPISMTKLENLSILYLWGNKLSGSIPSEIRFLKSLKKLDLSSNKLSGLIPPSIEKLRELSMLYLFYNKLSGSIPHEIGMLGSLSELSLQENNLIGSIPVSMTKLGNLSILYLWGNKLSGSIPSEIGFLKSLKELDLSSNKLSGLIPPSIGKLRELSILHLFNNKLSGSILHEIGMLCSLSQLSLQENNLTGSIPVSITKLENLSILYLWGNKLSCSIPSEIEFLKSLKELDLSSNNFTGAIPSSIGHLRNLSLLALFENNLSGSIPQKLGMLRSLSVLSLHKNILTGSIPTSITNLGNLSILSLWSNKLSGFIPSEIGLPVSLTDLDLSSNALTGRIPTSIGNLSSLSYMDFSGNRLYGQVPREIGKLKSLNILKLSSNQLNGSLPLEFNNLTRLKSLQLSWNGFTGHLPENVCLGGLLENFTTNFNHFSGSIPKTLRNCTSLIRLRLDWNQLTGNISEQLGIYPHLDYMDLSNNRFHGEIPRKLGQWKNITSLKFSNNNISGSIPLELGNATQLHLIDLSWNHLQGKIPKELAKWKLLIKLCLNNNNLFGVVPLDFKVLSNLDHLNLAANNLSGPIPGQLGELSNLLILNLSRNEFTAGMELLTVVDISYNELEGSIPDVKAFREAPFEAYRNNKSLCGNASSLKACTSIKSGKTSQAKRKKVVIVTVLPVLAALFLVFLIGGLLILLPLRRRQAQSRELQDKDILVIPGHDQELQYETIIEATENFNSKYCIGVGGCGVVYKAVLPSGRVFAVKKLHSLQESDKSKNLKAFEREIQVLLEIRHRNIVKLHGFCSHSKDSFLVYEFVEKGSLRSILNSDEQAAELDWIKRQNIVKGVANALSYMHHNCPSPIIHRDISSNNILLDSEYEPRISDFGTAMLLLSDSSNKASFAGTFGYTAPELAYTMQVNEKCDVYSFGVITLELVMGTHPCNLIPSLCSSISSSSLSSSDDHDKLLKNVIDQRLLLPQNQVAESVVYITMLAFACLHLNPKSRPTMQQISSKLTSKHPPVSKSFSTIKLEELLSNNIANI >Manes.17G119328.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33293403:33295928:1 gene:Manes.17G119328.v8.1 transcript:Manes.17G119328.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALYRYYRHKLKKKYFDSKATYSLRLRNKPKDMDVKDWKYLVNLWTENAFQERSNKNKTNRCKRSMPPYTGTKSFARLRDHMEKFQQLKKQREEGQISLDDDAMFADVLGPEKNGYVRAYGLRKNVTEYFGARPTKIELLRQLDTSRREANERAQQIQKEASEQVNDVKKQMDEKLAEK >Manes.02G086350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6808369:6809674:-1 gene:Manes.02G086350.v8.1 transcript:Manes.02G086350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKHPRKGWTGHFRRPKVPDRDESQASSAAPSAAEPSLQRRKSGTFGGRTLPSAAESLLSSQNSTFGGKVRRPIPAFKGRFGGRNHLRRPNLSSSQKGRTQLLMH >Manes.16G014401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1448441:1450018:1 gene:Manes.16G014401.v8.1 transcript:Manes.16G014401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGQAIAVKRLSKNSFQGAEEFKNEVLLVAKLQHRNLVRLLGFCLEGEEKILVYEFVPNKSLDYFLFDPEKRRQLNWPRRYKIIEEIARGILYLHEDSRLRIIHHDLKASNILLNEDMHPKISDFGMAKIFGVDQTLGNTSRIVGPYGYMSPEYAMHGQFSVKSDVFSFGVLLLEIICGKKNSSFYQTDGGEDLVSHIWKHWRNETPFEVVDSVLRDSYSRNEVLRCIQIGLLCVQEDPSDRPTMAKIVLLFSSYSVTLPIPQQPAFFLHSKSGQIMPGNGLDSEQSTNKSVSWSVDEGSITEVYPR >Manes.02G011500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1169002:1171667:1 gene:Manes.02G011500.v8.1 transcript:Manes.02G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSCNWEKKTLVSELIQGMELAKQLRLHLNTASSVEIRDSLVQRILSSYEKSLLILNWSGSMGQQQNVGATVGIVPESPISMNGSPGSDDFDGGHSAFSKKRKTMPRWTDQVRVSSENGLEGPHEDGYSWRKYGQKDILGTKYPRSYYRCTYRNSQNCWATKQVQRSDEDPTILDVTYRGTHTCSHGQHSVPPPASPEKQEQKQNINQQLQSQEALFNFQKSLIVNTKEDDNKEIAVPFSFSPTYGSIKSSGTYSPSFISPATPEPNYYVSPFQMANFAGVQNLQHHSESDFAEIISANTSAANSPIVEPDFSLQALELDPNFPFDMPEFFS >Manes.06G170500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29490798:29502472:-1 gene:Manes.06G170500.v8.1 transcript:Manes.06G170500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKDDIKYGTAQAELSEDESLRVRYKHGTPLEGGKIADSETVDLFSSAHNIPNTASKSNPSDSTTQSQNKSAEGNAPTG >Manes.03G174900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29873426:29879466:-1 gene:Manes.03G174900.v8.1 transcript:Manes.03G174900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEKTQITTSLSKFEDSPVFNYINSLSPIKPVKSIHITQTFQSLNFPSVPSIFTSPHVSSNKESRFLRRHNCSDPSKSEFSSEHGNKVCSDAGSGLDAAQLYDNSSELQENFDPAVSIGEVSVEPPSEHLKFAIELPQRLKYDCGSPECDATPRCSPQIDCISELAGVSQKSSPECNVHPQEIYHSEKKKEASDCDWESLTSDATDLLIFSSPIGTNVFKGLMQKSVDLGASFSTSLDDVHKVQIDPVDSGDQQEIEYPSTQPGETIVPKEINQIQDNLAANNNPNKCMTSNPSDEVENDVGMSSRVVSKAVSILHCGMRRRCLDFETVGARRKKLGGNSNSSTFVGGQADEKLASKDKQLVPFRPGGDSSRCVLPGIGLHLNALAMTSKDSKSVKHEASSSVINVTSTAASFHSPNTGQELDESLSLAATDIDMGPIENAVPLLEDVPQASACLVTEEFNHNSPKKKRRKLDGESEACKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQECFNKPMHEDTVLATRKQIESRNPLAFAPKVIRSSETAIEIGDESSKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGSAPTETEAEPEDEAVGGENSRVDRISQKNEIQNNKEQNPNSALPMTPLALCRQLLQLPFSSKSKPPRSFLSIGSSSGFCTGQKYGKPNILRPQPKSDTELQTPGDDDMPEILRGNCSPSTGIKTSPNSKRVSPPHSILGSSPGRRNGRKLILQSIPSFPSLTPQH >Manes.03G174900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29859444:29879466:-1 gene:Manes.03G174900.v8.1 transcript:Manes.03G174900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEKTQITTSLSKFEDSPVFNYINSLSPIKPVKSIHITQTFQSLNFPSVPSIFTSPHVSSNKESRFLRRHNCSDPSKSEFSSEHGNKVCSDAGSGLDAAQLYDNSSELQENFDPAVSIGEVSVEPPSEHLKFAIELPQRLKYDCGSPECDATPRCSPQIDCISELAGVSQKSSPECNVHPQEIYHSEKKKEASDCDWESLTSDATDLLIFSSPIGTNVFKGLMQKSVDLGASFSTSLDDVHKVQIDPVDSGDQQEIEYPSTQPGETIVPKEINQIQDNLAANNNPNKCMTSNPSDEVENDVGMSSRVVSKAVSILHCGMRRRCLDFETVGARRKKLGGNSNSSTFVGGQADEKLASKDKQLVPFRPGGDSSRCVLPGIGLHLNALAMTSKDSKSVKHEASSSVINVTSTAASFHSPNTGQELDESLSLAATDIDMGPIENAVPLLEDVPQASACLVTEEFNHNSPKKKRRKLDGESEACKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQECFNKPMHEDTVLATRKQIESRNPLAFAPKVIRSSETAIEIGDESSKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGSAPTETEAEPEDEAVGGENSRVDRISQKNEIQNNKEQNPNSALPMTPLALCRQLLQLPFSSKSKPPRSFLSIGSSSGFCTGQKYGKPNILRPQPKSDTELQTPGDDDMPEILRGNCSPSTGIKTSPNSKRVSPPHSILGSSPGRRNGRKLILQSIPSFPSLTPQH >Manes.16G023500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2546293:2546562:1 gene:Manes.16G023500.v8.1 transcript:Manes.16G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMGRFEWMNSFSKKQCKSLFWRVKAAVKKAVKNGGKQQVNFQYDPSSYALNFDDGCCHFGREKYEVRPRKSEDGNKTIWVYVLWVES >Manes.05G012284.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:554389:558543:-1 gene:Manes.05G012284.v8.1 transcript:Manes.05G012284.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSEVSAIPPRKINVQKFVESRASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVAAEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLSSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGAPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNDSTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNWKMKFLESINDESKLGQLQNGIMRMNSNRQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.17G118901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33145502:33146440:-1 gene:Manes.17G118901.v8.1 transcript:Manes.17G118901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFKLDSNFIFLRCADNVNIDAFELNPSASPSLVKASDWKLLVTIGPNLHHDALEIFGVVINGLDFKNLAQELCSFRFIVNREGYCYLNSSPVVDPSFGLAYFRLNDLFGLSKYGVKPNFAWIWNRCDILQGGALINLEDQYSSFTKLEEDIKKKEEELELSKEDIAALQIELDKWHNRVPTNRTGGSAPPPPPPPPPVRFYVSPSLLPTQFDVTWILRMFKVVDEDVTGLFPRNVSVYVRDGQFDTENWRFVRAQENNLTYLVGFYGGGFGQVEVHGNIVNVPYNGGVALLADAYFFVAQISGIGYRFAFLY >Manes.04G036800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4886170:4889026:1 gene:Manes.04G036800.v8.1 transcript:Manes.04G036800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHSTEKGTVWVTLKRSSLKSKVQRNKMATAGQPLEYRCLIRATNGKKTISTSVGPKEHQRFQASYATILKAHMTSLKKRERKDKKKAAGADKKEGSSKKPKRA >Manes.04G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4886170:4889026:1 gene:Manes.04G036800.v8.1 transcript:Manes.04G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQPDPFLNELTSMFEHSTEKGTVWVTLKRSSLKSKVQRNKMATAGQPLEYRCLIRATNGKKTISTSVGPKEHQRFQASYATILKAHMTSLKKRERKDKKKAAGADKKEGSSKKPKRA >Manes.08G160300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39606495:39609629:-1 gene:Manes.08G160300.v8.1 transcript:Manes.08G160300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGTNGVSRGQRAKSVKVEGPNWILIAGGALLSTLSIRLGYKLKQTLDSRQQTNTNNSLKGNGKSSDRRRVGGCHMHSNMYSFTQDDDGCFNCMLGNEGIADLKQQPSHQMLSESDVALPLVTVPGPEFNKDNGVMWVSSPDRLELPPKPFYHSNCSDSPCASESGSDIFSKREVIQKLRQQLKRRDDMIMEMQDQIVELQNSVNAQLAHSANVQSQLDAANRDLFDSEREIQRLRKAIADHCVKHAATNEKISTVTIWPSEVRNGHANGYLDGDSGLELSEKGRGDGDKIDMLKREVGELKEVIEGKEYLLQSYKEQKAELSIKIKELQQRLDSHLPNIL >Manes.18G048600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4203797:4205490:1 gene:Manes.18G048600.v8.1 transcript:Manes.18G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQLAKKGFDFSRRNKKWLILLAFSGVSGYGLYKVYNMPSVVKKRRRLIKLVGALISIAEMVSDSAETINIVSRDLKEFLRSDSDEIPNSFRQISKIIKSDEFSQSLTRVSQAVTVGILRGYKAQSINDQLASSSTNSSFADKAMDRLFSSAATGFVSVVVGSFARNLVLGFYSNVGADVDQSGSSISDLPRWVSVVSDDRCKQLIADCIQKFVSTAVAVYLDKTMDINTYDEMFSGLTNPKHQSDVRDIMVSVCNGAVETLVKTSYQVLTNPSSNSKLNLGSSSGSTYSIVEQNEGLSAARDDYFKQEVRLKDGYSREGDQGGRWFDKVSSTLAVPGNRKFVVDVTGRVTFGTIRSIVEFLLWKISDCLKRMLNVVQEEVVDRGLEVIRYFGAKSSVIVTICLALYLHVLGGTRVLLPA >Manes.15G048900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3796231:3800708:1 gene:Manes.15G048900.v8.1 transcript:Manes.15G048900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEIPPDIGNCSELVNLFLYENSLSGSIPPEIGKLKKLEQLLLWQNSLIGLIPEEIGNCTSLKMIDISLNSLSGTIPSSVGGLLALEEFMISDNNVSGSIPSNFSNASNLLQLQLDTNQIFGLIPPELGKLSKLTVFFAWENQLEGSIPSSLAECSNLQALDLSHNSLTGSIPPGLFQLQNLTKLLLISNDISGSIPREIGNCSSLVRLRLGNNRISGGIPKEIGGLKSLNFLDLSSNRLSGSVPDEIGSCTELQMIDLSNNIAQGPLPNSLSSLSGLQVLDVSVNQFEGQIPASLGRLVSLNKLILSRNSLSGSIPSSLGLCSGLELLDLGSNELTGSIPKELGQIESLEIALNLSYNGLTGPIPSQISALTKLSILDLSHNKLEGNLSPLAGLDNLVSLNLSYNNFTGYLPDSKLFRQLSPSDLAGNQGLCSAIKDSCFLSDVGRTGLSRNGNDIRRSAKLKLAIALLITLTLVMVIMGTIAIIRARRTIRDDDESELGDSWSWQFTPFQKLNFSVDQVLRCLVDANVIGKGCSGIVYRADMDNGEVIAVKKLWPNTISAAAASGCTDEKGGVRDSFSTEVKTLGSIRHKNIVRFLGCCRNRNTRLLMYDYMPNGSLGSLLHERTGNALEWDLRYQILLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSSTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVILEVLTGKQPIDPTIPEGQHVVDWVRQKRGGIELLDPCLLSRPEPEIDEMMQALGIALLCVNSSPDERPTMKDVAAMLKEIKHETEEYAKVDILLKGSPTNDAQPKNSNSAVPATSSSKSLVQTLYPKSNNSSFSASSLLYSSSSKAKTDFK >Manes.15G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3796325:3800708:1 gene:Manes.15G048900.v8.1 transcript:Manes.15G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSSRQILLFSSLLPSSSSSSSSLSFFILALLSTSLAAPNHEVSILFSWLHSSLSPPSSFSNWNNLDSTPCNWTSITCSPQGFVTEINIQSVPLQLPLLFNLSSFRFLSKLVISDANITGTIPLDIGDCVSLTSIDLSSNSLVGIIPGSIGRLVKLEHLILNSNQLTGKIPVELSNCSRLKDLLLFDNLLGGYIPAELGKLSSLEVLRAGGNKDIIGKVPDELGDCSNLTVLGLADTRISGSLPVSLGKLSKLQTLSIYTTMLSGEIPPDIGNCSELVNLFLYENSLSGSIPPEIGKLKKLEQLLLWQNSLIGLIPEEIGNCTSLKMIDISLNSLSGTIPSSVGGLLALEEFMISDNNVSGSIPSNFSNASNLLQLQLDTNQIFGLIPPELGKLSKLTVFFAWENQLEGSIPSSLAECSNLQALDLSHNSLTGSIPPGLFQLQNLTKLLLISNDISGSIPREIGNCSSLVRLRLGNNRISGGIPKEIGGLKSLNFLDLSSNRLSGSVPDEIGSCTELQMIDLSNNIAQGPLPNSLSSLSGLQVLDVSVNQFEGQIPASLGRLVSLNKLILSRNSLSGSIPSSLGLCSGLELLDLGSNELTGSIPKELGQIESLEIALNLSYNGLTGPIPSQISALTKLSILDLSHNKLEGNLSPLAGLDNLVSLNLSYNNFTGYLPDSKLFRQLSPSDLAGNQGLCSAIKDSCFLSDVGRTGLSRNGNDIRRSAKLKLAIALLITLTLVMVIMGTIAIIRARRTIRDDDESELGDSWSWQFTPFQKLNFSVDQVLRCLVDANVIGKGCSGIVYRADMDNGEVIAVKKLWPNTISAAAASGCTDEKGGVRDSFSTEVKTLGSIRHKNIVRFLGCCRNRNTRLLMYDYMPNGSLGSLLHERTGNALEWDLRYQILLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSSTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVILEVLTGKQPIDPTIPEGQHVVDWVRQKRGGIELLDPCLLSRPEPEIDEMMQALGIALLCVNSSPDERPTMKDVAAMLKEIKHETEEYAKVDILLKGSPTNDAQPKNSNSAVPATSSSKSLVQTLYPKSNNSSFSASSLLYSSSSKAKTDFK >Manes.13G041900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4975283:4978662:1 gene:Manes.13G041900.v8.1 transcript:Manes.13G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFRLADHEDFFSRLCIWVNGPVIVGAGPSGLATAACLREQGVPFVVLDREECIASLWQKRTYDRLKLHLPKQFCQLPKLPFPEDFPEYPTKKQFIEYLESYAKHFEINPRFNECVQSARYDETSGLWRVKTVSTSGSTRTELEYICRWLVVATGENAECVMPDIEGLNEFGGDVIHACSYKSGEKFRGKKVLVVGCGNSGMEVSLDLCNHNASPSMVVRSTVHVLPRETFGKSTFELAIFLLRWLPIWLVDKLLLIFAWILLGSIEKYGLKRPSMGPLELKNTRGKTPVLDIGALEKIRSGDIKVVPGIKRFSRGHVELVNGETHDIDSVVLATGYRSNVPYWLQEGEFFSKDGFPKAPFPNGWKGNAGLYAVGFTRRGLSGASCDAIRIAQDIGKVWKDDTKQQKKRTTACHRRCISQL >Manes.05G073600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6072026:6074021:-1 gene:Manes.05G073600.v8.1 transcript:Manes.05G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKRLRTSPPIQGSDAGESGILNERILLLVFESIKWDLHTLCAMASVNHKLHAIAKRLLWRELCVYRAPRMLAALTNGAPNAPFGDSWWTLAKLMFYCCGCESTGNFKVRRPSPGHFVKTSRFSKTSGQSFLSKKCRGDLLYVSDPCEHPTGENEDDLGIYRGVFRGFMKSRTRACLIRRQVELEKRVRCPYCGFRVWSMTSAQLVPKSAARRLGSREGGLEYFVCLNGHLYGTCWLVPLSSDEDNGAGEGADDEDEDNCSDDDEDGDGDDYDNRTVAEGSLSSVGEEVAEQWSTN >Manes.01G203800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37667429:37690664:-1 gene:Manes.01G203800.v8.1 transcript:Manes.01G203800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVSLLKDIKEKVGLTQSPPTSSSSAVASSSSSALLSNRDGNASPTTLRDFNSPSARDRHELELDFKRFWEDFRSSSSEKEKETALNLTVDAFCRLVKQYANVAQLITMLVETHIFSFVVGRAFVTDIEKLKISSKTRSLEVENVLRFFAEATEDCVSPGSNLLTAIEVLVSGPIDKQSLLDSGILCCLIHILNTLLSSEVNQRQKTSNSEVQLLLEKDHGDVGHARRLEVEGSIVHVMKALASHPSAAQSLVEDDSLQSLFQMVATGSLTIFSRYKEGLVPLHSIQLHRHAMQILGLLLVNDNGSTAKYIRKHQLIKVLLMAVKDFNPESGDSAYTMGIVDLLLECVELSYRPDAEGIRLREDIHNAHGYQFLVQFALVLSSMLQNQDARSTYSNSSIDPEYTLDGSHAPGEERVDITRKEPSLQALSPALSRLLDVLVNLAQIGPAEPSGSPLGRGSRASHSKASGHSRSRTPPTDPLADENWEKDNSRVKDLEAVQMLQDIFLKADSIELQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMAGFPPSLQEIILKILEYAVTVVNCIPEQELLSLCCLLQQPITSDLKHTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKFLLGPDQESVNSNQLGNKSGSRSFKKHLDSKDAILSSPKLMDSGSGKFPIFENEDTIYVAWDCLVSLLKKAEANQASFRSANGLTIVLPFLVSNVHRPGVLRILSCLITEDVSQAHPEELGAVVEVLKSGMVTSSAGHQYKLEHDAKCDTMGTLWRILGANNSAQRVFGEATGFSLLLTTLHSFQGDEGLVDVSSLVVHIKVFTYLLRLMTAGVCDNAINRTKLHSIISSQTFYDLLAESGLLSVECEKQVIQLLLEMALEIVLPPFLSSDSSTPADMISESASSLMLTPSGLFNPDKERVYNAGPIRVLIRSLLLFTPKVQLELLNLIERLARAGSFNKENLTSVGCVELLLETILPFLFGSSPLLSYVLKIVEVLGAYKLSASEIRLLIRYILQMRLMNSGNNLVDMMERLVLMEDIASENVSLAPFVEMDMSKIGHASVQVSLGERSWPPAAGYSFICWFQFRNFLKSQSKETETSKVGPSKRHSGPNGQPNDRHILRIFSVGTANNENTFFAELYLRQDGVLTLATSNSCSLSFPGLELEEGRWHHLAIIHSKPNALAGLFQASVAYVYLNGKLRHTGKLGYSPSPVGKPLQVTIGTPPSCPRVSDLTWKLRSCYLFEEVLTSGCICFMYILGQGYRGLFQDSDLLRFVPNQACGGGSMAILDLLDADLLLANTQKVESAVKPGDSKSDGSGIVWDLERLGNLSFQLSGRKLIFAFDGTCTEAIRASGTFSLLNLVDPMSAAASPIGGIPRFGRLHGDVYVCRQSVIGDTIRLVGGIPVILALVEAAETRDMLHMALTLLACALHQNPQNVKDMQTCRGYHLLALFLLRRMSLFEMQSLEIFFQIAACEASFSEPKKLENIQSTSSPAATMQEAGFVDLSLSKFHDETSSIGSHGDIDDFSAQKDLLSHISELENSDLPVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLGFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVSVLEKLVVLLGVILEDGFLSSELENVVRFVIMTFDPPDLRTGHNIMRELMGKHVIVRNMLLEMLIDLQVTIKSEELLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLTSSPTFALKFRASGGYQGLMRVLPSFYDSPDIYYILFCLVFGKPVYPRLPEVRMLDFHGLIPSDGSYVDLKFVELLESVIAMAKSTFDRLSMQLMAANQTGNLSQVGASLVAELVEGNADMAGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFSAVCRRPEFLESCIELYFSCIRAAYAVNMSKALSEKAEEKNLNDCDDTSSSQNTFSSLPHEQEQSAKTSISVGSFPQAHVSTSSDDMPVPQSYLTDDKVDINITDLHQDLKKSVHSVQAVQNLDGDIVDQVSATSSSSESNIRNIDGIIEPIQVEDSQSSASLNILDSPNISEKSTSRAPHTSASSPAVPLTSWLGGTSHNEYKASTQATPSIESFASVSEFDASPDLKSTQAPSANASSSVSVKLLLEIDDSGYGGGPCSAGATAVLDFMAEVLSDFIIEQMKAAQVIEGVLEMVPLYVDVECVLVFQGLFLSRLMNFVERRLLRDDEEDEKKLDKSRWSSNLDALCWMIVDRVYMGAFPQPAGVLKTLEFMLSMLQLANKDGRIEEAAPAGKGLLFTRGSKQLDAYIHSLLKNTNRMILYCFLPTFLATIGEDDILLCLGLQIEPRKRLSPNASEDDSGIDICTVLQLLVAHRRIIFCPSNLDTDLNCCLCVNLVFLLHDQRRNVQNVAVDIVKHLLVHRRVALEDLLVCKPNQGQLMDVLHGGFDRLLTGSLSAFFEWFQNSEQTVNKVLEQCAAIMWHQYIAGSAKFPGVRMKGVDGRRKREMGRRSRDILKLDLRHWEQVTERRYALEMVRDAMSTELRVVRQDKYGWVLHAESEWQTLLQQLVHERGIFPIRKSSGTEEPEWQLCPIEGPYRMRKKLERCKLRIDTIQNVLNGEFELEVELAKGKHEDGPDASDTDSELFFNLATGSSKQNGVDDEMYSEFFKVPNNAKGVSSVKSGCIDDRASSMNDASLHSALEFGVKSSTVSAPVSESIHARSDLGSPRQSSSNKIDDVKVADDKSEKELNDSGEYLIRPYLEPLEKIRFKYNCERVVGLDKHDGIFLIGELSLYVIENFYIDDSGCICEKECEDELSVIDQALGVKKDVTCSMDFQSKSTSSWNTEVKSFVGGRAWAYNGGAWGKEKVCASGNLPHPWNMWKLDSVHEILKRDYQLRPVAIEIFSMDGCNDLFVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSTKQESNEGSRFFKLMAKSFSKRWENGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESETLDLSNPKTFRKLGKPMGCQTPEGEEEFKKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLVNRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEEATNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRSDRRLPRHPLKYSSHLVPHEIRKTSSAITQIVTFHEKILVAGTNSLLKPRTYTKYVAWGFPDRSLRFMSYDQDKLLSTHENLHGGNQILCAGLSHDGQRLVTGADDGLVSVWRVGKDSPRVLQHLQLEKALCGHTGKITCLRVSQPYMLIVSGSDDCTVILWDLSSLVFVRQLPEFPMPVSAIYVNDLTGEIVTAAGIMLAVWSINGDCLAVINTSQLPSDSILSVTSGMFSDWMDTNWYVTGHQSGAVKVWQMIHYSNHESALSKSNSSPTFGLNFGDKLPEYRLVLHKVLKFHKHPVTALYIMSDLKQLLSGDSGGQLLSWTLPDESLRTSFNHG >Manes.01G203800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37667674:37690640:-1 gene:Manes.01G203800.v8.1 transcript:Manes.01G203800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVSLLKDIKEKVGLTQSPPTSSSSAVASSSSSALLSNRDGNASPTTLRDFNSPSARDRHELELDFKRFWEDFRSSSSEKEKETALNLTVDAFCRLVKQYANVAQLITMLVETHIFSFVVGRAFVTDIEKLKISSKTRSLEVENVLRFFAEATEDCVSPGSNLLTAIEVLVSGPIDKQSLLDSGILCCLIHILNTLLSSEVNQRQKTSNSEVQLLLEKDHGDVGHARRLEVEGSIVHVMKALASHPSAAQSLVEDDSLQSLFQMVATGSLTIFSRYKEGLVPLHSIQLHRHAMQILGLLLVNDNGSTAKYIRKHQLIKVLLMAVKDFNPESGDSAYTMGIVDLLLECVELSYRPDAEGIRLREDIHNAHGYQFLVQFALVLSSMLQNQDARSTYSNSSIDPEYTLDGSHAPGEERVDITRKEPSLQALSPALSRLLDVLVNLAQIGPAEPSGSPLGRGSRASHSKASGHSRSRTPPTDPLADENWEKDNSRVKDLEAVQMLQDIFLKADSIELQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMAGFPPSLQEIILKILEYAVTVVNCIPEQELLSLCCLLQQPITSDLKHTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQHKFLLGPDQESVNSNQLGNKSGSRSFKKHLDSKDAILSSPKLMDSGSGKFPIFENEDTIYVAWDCLVSLLKKAEANQASFRSANGLTIVLPFLVSNVHRPGVLRILSCLITEDVSQAHPEELGAVVEVLKSGMVTSSAGHQYKLEHDAKCDTMGTLWRILGANNSAQRVFGEATGFSLLLTTLHSFQGDEGLVDVSSLVVHIKVFTYLLRLMTAGVCDNAINRTKLHSIISSQTFYDLLAESGLLSVECEKQVIQLLLEMALEIVLPPFLSSDSSTPADMISESASSLMLTPSGLFNPDKERVYNAGPIRVLIRSLLLFTPKVQLELLNLIERLARAGSFNKENLTSVGCVELLLETILPFLFGSSPLLSYVLKIVEVLGAYKLSASEIRLLIRYILQMRLMNSGNNLVDMMERLVLMEDIASENVSLAPFVEMDMSKIGHASVQVSLGERSWPPAAGYSFICWFQFRNFLKSQSKETETSKVGPSKRHSGPNGQPNDRHILRIFSVGTANNENTFFAELYLRQDGVLTLATSNSCSLSFPGLELEEGRWHHLAIIHSKPNALAGLFQASVAYVYLNGKLRHTGKLGYSPSPVGKPLQVTIGTPPSCPRVSDLTWKLRSCYLFEEVLTSGCICFMYILGQGYRGLFQDSDLLRFVPNQACGGGSMAILDLLDADLLLANTQKVESAVKPGDSKSDGSGIVWDLERLGNLSFQLSGRKLIFAFDGTCTEAIRASGTFSLLNLVDPMSAAASPIGGIPRFGRLHGDVYVCRQSVIGDTIRLVGGIPVILALVEAAETRDMLHMALTLLACALHQNPQNVKDMQTCRGYHLLALFLLRRMSLFEMQSLEIFFQIAACEASFSEPKKLENIQSTSSPAATMQEAGFVDLSLSKFHDETSSIGSHGDIDDFSAQKDLLSHISELENSDLPVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLGFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVSVLEKLVVLLGVILEDGFLSSELENVVRFVIMTFDPPDLRTGHNIMRELMGKHVIVRNMLLEMLIDLQVTIKSEELLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLTSSPTFALKFRASGGYQGLMRVLPSFYDSPDIYYILFCLVFGKPVYPRLPEVRMLDFHGLIPSDGSYVDLKFVELLESVIAMAKSTFDRLSMQLMAANQTGNLSQVGASLVAELVEGNADMAGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFSAVCRRPEFLESCIELYFSCIRAAYAVNMSKALSEKAEEKNLNDCDDTSSSQNTFSSLPHEQEQSAKTSISVGSFPQAHVSTSSDDMPVPQSYLTDDKVDINITDLHQDLKKSVHSVQAVQNLDGDIVDQVSATSSSSESNIRNIDGIIEPIQVEDSQSSASLNILDSPNISEKSTSRAPHTSASSPAVPLTSWLGGTSHNEYKASTQATPSIESFASVSEFDASPDLKSTQAPSANASSSVSVKLLLEIDDSGYGGGPCSAGATAVLDFMAEVLSDFIIEQMKAAQVIEGVLEMVPLYVDVECVLVFQGLFLSRLMNFVERRLLRDDEEDEKKLDKSRWSSNLDALCWMIVDRVYMGAFPQPAGVLKTLEFMLSMLQLANKDGRIEEAAPAGKGLLFTRGSKQLDAYIHSLLKNTNRMILYCFLPTFLATIGEDDILLCLGLQIEPRKRLSPNASEDDSGIDICTVLQLLVAHRRIIFCPSNLDTDLNCCLCVNLVFLLHDQRRNVQNVAVDIVKHLLVHRRVALEDLLVCKPNQGQLMDVLHGGFDRLLTGSLSAFFEWFQNSEQTVNKVLEQCAAIMWHQYIAGSAKFPGVRMKGVDGRRKREMGRRSRDILKLDLRHWEQVTERRYALEMVRDAMSTELRVVRQDKYGWVLHAESEWQTLLQQLVHERGIFPIRKSSGTEEPEWQLCPIEGPYRMRKKLERCKLRIDTIQNVLNGEFELEVELAKGKHEDGPDASDTDSELFFNLATGSSKQNGVDDEMYSEFFKVPNNAKGVSSVKSGCIDDRASSMNDASLHSALEFGVKSSTVSAPVSESIHARSDLGSPRQSSSNKIDDVKVADDKSEKELNDSGEYLIRPYLEPLEKIRFKYNCERVVGLDKHDGIFLIGELSLYVIENFYIDDSGCICEKECEDELSVIDQALGVKKDVTCSMDFQSKSTSSWNTEVKSFVGGRAWAYNGGAWGKEKVCASGNLPHPWNMWKLDSVHEILKRDYQLRPVAIEIFSMDGCNDLFVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSTKQESNEGSRFFKLMAKSFSKRWENGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESETLDLSNPKTFRKLGKPMGCQTPEGEEEFKKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLVNRFNLDLGEKQSGEKVGDVLLPPWAKGSAREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEEATNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRSDRRLPRHPLKYSSHLVPHEIRKTSSAITQIVTFHEKILVAGTNSLLKPRTYTKYVAWGFPDRSLRFMSYDQDKLLSTHENLHGGNQILCAGLSHDGQRLVTGADDGLVSVWRVGKDSPRVLQHLQLEKALCGHTGKITCLRVSQPYMLIVSGSDDCTVILWDLSSLVFVRQLPEFPMPVSAIYVNDLTGEIVTAAGIMLAVWSINGDCLAVINTSQLPSDSILSVTSGMFSDWMDTNWYVTGHQSGAVKVWQMIHYSNHESALSKSNSSPTFGLNFGDKLPEYRLVLHKVLKFHKHPVTALYIMSDLKQLLSGDSGGQLLSWTLPDESLRTSFNHG >Manes.10G142200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31095103:31098456:1 gene:Manes.10G142200.v8.1 transcript:Manes.10G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQIPFSIAENLLTKLASIASEEVSLVHGFKKDIRKLQTTLSTIKAILVDAEEKQEESLAVKNWVRRLREVVYDADDLFDDVATEGLRRKVEGEGRMVKVCDFFSSSNQIAFRFKMGHSIKDIRERLDEIAKEMSDFGFIIRKEAGVCMGIKNSWRETDSFVLKSEIIGRDEDKEKVIESLMCPVNQSNISVVAIVGFGGLGKTALAQLVFNDEKVVNYFDLKLWVCVSEESNVETLVKLILKSAGNNEVDNLSLEQLQIRLRQCLEGKKYWLVLDDVWNVNNRIWSQLRKYLMVGAIGSRILVTTRSKRVALAMGVDSPYPLQGLTEDQSWDLFEKVAFREGTSRVNSNLIEIGKEIAKKCKGVPLAIRAIGGIMQLRSSESEWLSVLENELWKVFESDGDISRVLKLSYDVLPYHLKQCFAYCAMFPKDYEFDKDRLIQLWMAQGYVQSRGQSENENLEEIGEGYFNELLFRSFFQKDEYCYKMHDLIHDLAQSIAGDSCFAIDDNTKHIPDRVQHVFSENLSSKECFRQLKNKGLRTLYCPYIGDGLRLNLDSIFSNCRSIHALRFRWNINGLPDSIGKLKHLRYLEFFHSQISSLPNCICSLYNLQTLILWECQSLKELPTDMRKLICLTQLINKDCYKLEFMPLGLGRLTNLQTLLTFVVGSDQGRRCSSLNELNSLNRLRDEICIKGLENVKNAALESSRVNLKEKKHLQCLRLSWAGKGDSNSGNSELLLDNLHPHPNLKELDVFGYKGVRFSNWPTSITSLVNITLYECPKCEHLPPLHNLPYLEILNLGYFDSLEYISDEDNLFSSLSASAATFFPSLKILKLDVCPNVKGWWRTCMEAKMVPQFPCLSKLTIANCPNLTVMPTFPSLDMELHLTYAHIRPLHYTLQMSATASAVPSTSSSVTSPFSKLKTLWLHGIENLASLPGEWMQNLSFLEELTLSYCMEISDEDECGIFKWRYLVSLRTLSLYNLSNLVSLPRELQYVTTLQRLTIRGCSNLRALPEWIGNLTALQNLCINGCPKLESLPRGLRQITTLQQLSVIDCPHLSERCAHDMAADWPNISHIPNIGINGKNIQGRYVL >Manes.11G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5920915:5921691:1 gene:Manes.11G051000.v8.1 transcript:Manes.11G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAPQEMSYYDHVKKRHEQKGCPYAFFFTLCCCFCCYEGCDCCCCCARRRAG >Manes.09G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31359713:31362971:-1 gene:Manes.09G111400.v8.1 transcript:Manes.09G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIFQHKYLLYTHLFLFLLAFAFQFASCQRWDGVNVTQGDYQALRAIKNELIDFRGFLRSWNDSGYGVCSGGWAGIKCVNGQVIAIQLPWRGLGGKISEKIGQLHALRKISMHDNVLAGSVPLSLGFLPNLRGVYLFNNRLSGSIPPSLGRSPMLQTLDVSNNSLTGSIPSSLANSTRLYRLNLSFNSLTGSIPFSLTRSTSLTILALQHNNLSGSIPDSWEETGNKSHHLQFLTLDHNLISGNIPVTLSNLAFLQEVSLSHNQISGNIPDELGRLSGLQKLDFSNNAINGSIPPSFSKLSSLVSLNLENNRLDNQIPEFIDRLRNLSVLNLKNNQFTGHIPPSIGNLSSINQLDLSQNNFTGDIPASLAGLANLTSFNVSYNNLSGAVPSLLLKTFNSSSFVGNIQLCGYSTTTPCPSPPPVILPSPTTGKPEHHHHRKLSTRDIILIVVGSLLAVLLLLCCILMCCLMKKRANMKQKNGTSATRAVVGKTEKTGAAVGAEVESSSEKGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEDGNQVAVKRLREKTTKGQREFESEAAELGKIRHANLLALRAYYLGPKGEKLLVFDYMPKGSLASFLHARGPETTISWPTRMTIAIGVTRGLNHLHTQENIIHGNLTSSNILLDEQTNAHIADFGLSRLMTTAANTNVIATAGSLGYRAPELSKLKNANTKTDVYSLGVIILELLTGKSPGEPTNGMDLPQWVASIVKEEWTNEVFDLELMRDAPAIGDELLNTLKLALHCVDPSPAARPEVQQVLQQLEEIKPDPADSSVDDGAKVPPPATE >Manes.04G049700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8200763:8212966:-1 gene:Manes.04G049700.v8.1 transcript:Manes.04G049700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTVEANNSALLVFPGTIHSEPHEQISWADFQYVIDDYGDIFFQIFDDKNILQDPGASNPVNCLIGIDIPMYENKRVASEYDVFDVSSVDDIPFDDDYFEIVEPEMSDVPVDWGMPDTSSWIHPMYFAKCLTKAIDMEYDRKMDNPSNGVSIVGCLRPAFADEESYLRRLFHFEDNDEYYSNWKDAEILSFSPKTNGSRTSSTIYRLEIMRIELFSVYGIQSAIGLEDFQDAEPDILAHSTSAILEHFSEKGIRCNAALKALCKRKGLPAEGASLIGVDSLGIDVRIFCGVEVRTHRFPFKVRATCEAAAEKQIQQLLFPRSRRKKFRSHADGLRDS >Manes.04G049700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8200763:8212966:-1 gene:Manes.04G049700.v8.1 transcript:Manes.04G049700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAASSLSLGHSHCQLCHADGFSCSTSYRVTSTWIKSPIDSRKPSDCSSIRYSSPYFGTQFHWSSAGRDHCLWKVSVAADYSDSVPDSSSYTNERSYHPLEDIKVNRRTRETQLTSAEMARTTVEANNSALLVFPGTIHSEPHEQISWADFQYVIDDYGDIFFQIFDDKNILQDPGASNPVNCLIGIDIPMYENKRVASEYDVFDVSSVDDIPFDDDYFEIVEPEMSDVPVDWGMPDTSSWIHPMYFAKCLTKAIDMEYDRKMDNPSNGVSIVGCLRPAFADEESYLRRLFHFEDNDEYYSNWKVCNWFRRFSRC >Manes.04G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8200763:8212966:-1 gene:Manes.04G049700.v8.1 transcript:Manes.04G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAASSLSLGHSHCQLCHADGFSCSTSYRVTSTWIKSPIDSRKPSDCSSISCRYSSPYFGTQFHWSSAGRDHCLWKVSVAADYSDSVPDSSSYTNERSYHPLEDIKVNRRTRETQLTSAEMARTTVEANNSALLVFPGTIHSEPHEQISWADFQYVIDDYGDIFFQIFDDKNILQDPGASNPVNCLIGIDIPMYENKRVASEYDVFDVSSVDDIPFDDDYFEIVEPEMSDVPVDWGMPDTSSWIHPMYFAKCLTKAIDMEYDRKMDNPSNGVSIVGCLRPAFADEESYLRRLFHFEDNDEYYSNWKDAEILSFSPKTNGSRTSSTIYRLEIMRIELFSVYGIQSAIGLEDFQDAEPDILAHSTSAILEHFSEKGIRCNAALKALCKRKGLPAEGASLIGVDSLGIDVRIFCGVEVRTHRFPFKVRATCEAAAEKQIQQLLFPRSRRKKFRSHADGLRDS >Manes.04G049700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8200763:8212966:-1 gene:Manes.04G049700.v8.1 transcript:Manes.04G049700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAASSLSLGHSHCQLCHADGFSCSTSYRVTSTWIKSPIDSRKPSDCSSIRYSSPYFGTQFHWSSAGRDHCLWKVSVAADYSDSVPDSSSYTNERSYHPLEDIKVNRRTRETQLTSAEMARTTVEANNSALLVFPGTIHSEPHEQISWADFQYVIDDYGDIFFQIFDDKNILQDPGASNPVNCLIGIDIPMYENKRVASEYDVFDVSSVDDIPFDDDYFEIVEPEMSDVPVDWGMPDTSSWIHPMYFAKCLTKAIDMEYDRKMDNPSNGVSIVGCLRPAFADEESYLRRLFHFEDNDEYYSNWKDAEILSFSPKTNGSRTSSTIYRLEIMRIELFSVYGIQSAIGLEDFQDAEPDILAHSTSAILEHFSEKGIRCNAALKALCKRKGLPAEGASLIGVDSLGIDVRIFCGVEVRTHRFPFKVRATCEAAAEKQIQQLLFPRSRRKKFRSHADGLRDS >Manes.04G049700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8200763:8212966:-1 gene:Manes.04G049700.v8.1 transcript:Manes.04G049700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAASSLSLDGFSCSTSYRVTSTWIKSPIDSRKPSDCSSIRYSSPYFGTQFHWSSAGRDHCLWKVSVAADYSDSVPDSSSYTNERSYHPLEDIKVNRRTRETQLTSAEMARTTVEANNSALLVFPGTIHSEPHEQISWADFQYVIDDYGDIFFQIFDDKNILQDPGASNPVNCLIGIDIPMYENKRVASEYDVFDVSSVDDIPFDDDYFEIVEPEMSDVPVDWGMPDTSSWIHPMYFAKCLTKAIDMEYDRKMDNPSNGVSIVGCLRPAFADEESYLRRLFHFEDNDEYYSNWKDAEILSFSPKTNGSRTSSTIYRLEIMRIELFSVYGIQSAIGLEDFQDAEPDILAHSTSAILEHFSEKGIRCNAALKALCKRKGLPAEGASLIGVDSLGIDVRIFCGVEVRTHRFPFKVRATCEAAAEKQIQQLLFPRSRRKKFRSHADGLRDS >Manes.11G017475.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1830494:1831797:1 gene:Manes.11G017475.v8.1 transcript:Manes.11G017475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRMTPITRASRGMYILNRDVSTGKARDLILMIIPSKLRENFSLVLLVMSISKMKMAFIPKAAERHLRMLSRNRIRRVEI >Manes.02G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8333501:8339910:1 gene:Manes.02G107000.v8.1 transcript:Manes.02G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGEAALSAFMQVLFQKLASPDLLNFARQKPVHSEIKKWEKILLEIRAVLDDAEDKQMTNQSLRIWLSDLKDLAYDVEDVLDEFATESLRRRLTGDPEANTDKLPEKLFSTSCACACLNPRCAVFNSKMNSKIKEITNRLEDIEMRRNKLGLKENIVGGTSSKVRQRVPSTCLQDEPQVYGRDEDKIKILELLLRDDTSDAKLGVIPIVGMAGVGKTTLARLLYNDEALQHFHPKAWVCVSDEFDIMRTTKGILESITSQPCDLNEFNEVQLKLQKELSGKKFLIILDDVWSDNYVDWNILRFPFMDGAWGSKIIVTTRNIATAQMMGTVECHNLRRISDDDCWLVFCRHAFENRNTSVHPNFEVTGRKIVKYRCGGLPLAARTLGGLLRSKQREDEWEDVLNSKIWTLKGDNGDILPVLRLSYYHLPSHLKRCFAYCAIIPKDYEFEEKELVLLWMAEGLIQEQDDKKHLEEFGSEYFRDLVSRSMFQASDTSESRFVMHNLVSDLAQKVAEGSCFRLEDESIVREQSKIERARHCSYIRGYCDGNKRFEPFYKVKGLRTFLPLSLRRGLESFVANNIPSELLSKLRSLRVLSFSDYNITELPDSIGGLKLLRYLDLSNTKIRTLPESTTSLYNLQTLMLRECSRLRKLPSRMENLINLLHLDIVGVQLAEGMPSGVKELKSLRTLFDFSVSKDNEAGITALMNLNFLQGSLRILDLENVTNAHDARDSQLRDKNLDVLSLKWGYKNDNARDEKLERDVLDGLQPQTNLKKLSISGYWGTRFPSWLGDPSFHNLVLLRLENCNKCTSLPQLGMLPSLKDLVIIGMSSIKRVGQEFYGESCSTPFPVLETLRIWNMYEWEEWNPSGVEFPTLHELYIEYCPKLLGEIPKCLSSLKKLVIRGCLQLVVSLQSLPKASELEIERCKEVDCSCMVDFGSLKSMVLSEISRLTSVTECFMQVLRRVKDLKVSGDVFVSLLPVNSDVTEKQKQSVQQGSADSQLEFLTLTDCENFPQCLHNIMSLRELIIEDCPRLVSFPKAGFPSMLRVIGISNCNALTSLPDAVSFSKFLEHLEIESCDSLVSLGRGQLPPALKRLQIISCKSLQNLLSEGSSLSSSKRPKDEENVGCGKITSILEFLSVDYCPSLTSLGELPDSLQHLDIGYCSEIISLSSGGNLPASLKFLKVSFCSKLESIAERFDNTTFLEYIEISHCNDLVSLPDGLHKLINLHEISIINLPCLVFLPWGGLPTTYLKNFWVQGCEKLQSLPDYVHNLTTLQELVIHDCPGIVSFPEEGFPTKLISLSVSNLKLSNSLFAWGLHRLTSLRSLCIEGGCPDVVSFPNDEIGMVLPSSLVDLAIKDVPNLKYLSTSGFQNLAMLQVLCLRNCPKLVFFPKRGLPLSLMQLYIFDCPLLKQRCQKDKGKEWSKIAHIPRVQIDWRSAFEPDDI >Manes.02G107000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8333470:8340011:1 gene:Manes.02G107000.v8.1 transcript:Manes.02G107000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIGEAALSAFMQVLFQKLASPDLLNFARQKPVHSEIKKWEKILLEIRAVLDDAEDKQMTNQSLRIWLSDLKDLAYDVEDVLDEFATESLRRRLTGDPEANTDKLPEKLFSTSCACACLNPRCAVFNSKMNSKIKEITNRLEDIEMRRNKLGLKENIVGGTSSKVRQRVPSTCLQDEPQVYGRDEDKIKILELLLRDDTSDAKLGVIPIVGMAGVGKTTLARLLYNDEALQHFHPKAWVCVSDEFDIMRTTKGILESITSQPCDLNEFNEVQLKLQKELSGKKFLIILDDVWSDNYVDWNILRFPFMDGAWGSKIIVTTRNIATAQMMGTVECHNLRRISDDDCWLVFCRHAFENRNTSVHPNFEVTGRKIVKYRCGGLPLAARTLGGLLRSKQREDEWEDVLNSKIWTLKGDNGDILPVLRLSYYHLPSHLKRCFAYCAIIPKDYEFEEKELVLLWMAEGLIQEQDDKKHLEEFGSEYFRDLVSRSMFQASDTSESRFVMHNLVSDLAQKVAEGSCFRLEDESIVREQSKIERARHCSYIRGYCDGNKRFEPFYKVKGLRTFLPLSLRRGLESFVANNIPSELLSKLRSLRVLSFSDYNITELPDSIGGLKLLRYLDLSNTKIRTLPESTTSLYNLQTLMLRECSRLRKLPSRMENLINLLHLDIVGVQLAEGMPSGVKELKSLRTLFDFSVSKDNEAGITALMNLNFLQGSLRILDLENVTNAHDARDSQLRDKNLDVLSLKWGYKNDNARDEKLERDVLDGLQPQTNLKKLSISGYWGTRFPSWLGDPSFHNLVLLRLENCNKCTSLPQLGMLPSLKDLVIIGMSSIKRVGQEFYGESCSTPFPVLETLRIWNMYEWEEWNPSGVEFPTLHELYIEYCPKLLGEIPKCLSSLKKLVIRGCLQLVVSLQSLPKASELEIERCKEVDCSCMVDFGSLKSMVLSEISRLTSVTECFMQVLRRVKDLKVSGDVFVSLLPVNSDVTEKQKQSVQQGSADSQLEFLTLTDCENFPQCLHNIMSLRELIIEDCPRLVSFPKAGFPSMLRVIGISNCNALTSLPDAVSFSKFLEHLEIESCDSLVSLGRGQLPPALKRLQIISCKSLQNLLSEGSSLSSSKRPKDEENVGCGKITSILEFLSVDYCPSLTSLGELPDSLQHLDIGYCSEIISLSSGGNLPASLKFLKVSFCSKLESIAERFDNTTFLEYIEISHCNDLVSLPDGLHKLINLHEISIINLPCLVFLPWGGLPTTYLKNFWVQGCEKLQSLPDYVHNLTTLQELVIHDCPGIVSFPEEGFPTKLISLSVSNLKLSNSLFAWGLHRLTSLRSLCIEGGCPDVVSFPNDEIGMVLPSSLVDLAIKDVPNLKYLSTSGFQNLAMLQVLCLRNCPKLVFFPKRGLPLSLMQLYIFDCPLLKQRCQKDKGKEWSKIAHIPRVQIDWRSAFEPDDI >Manes.16G102100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30756085:30758136:1 gene:Manes.16G102100.v8.1 transcript:Manes.16G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVIIGAGMAGLTAANKLYTSASSKDLFELCVVEGGNRIGGRINTSEFGGDRIEMGATWIHGIGGSPVHKIAQQINSLESEQPWECMDGYMDEPKTVVEGGFELNQSLVESISTLFKNLMDFSQGKLIESRENSQGVDFYKLAAKAYKTCKSNGGVSSKLSVGAFLRQGLSAYWDSVKDEEEKMKGYGNCSKKLLEESNFAMHENAQRTYTSAGDLQTLDFDAESEYRMFPGEEITIAKGYLSIIESLASVLPKGLIQLGKKVARIEWQPEAQQSMENGYANRPVKLHFCDGSVMCCDHVVVTVSLGVLKAGISQDSDEDCSKFPFLQMAFHRADSELRHKKIPWWMRRTASICPIYKNSSVLLSWFAGKEAVELESLSDEEIINGVSASISSFLQSPQKQVNGNSLEFCNGSVGSSNGNELKFSKVLKSKWGSDPLFLGSYSYVAVGSSGDDMDSLAEPLPVKLGSHESAGCPPLQILFAGEATHRTHYSTTHGAYVSGLREADRLLQHYRCVGV >Manes.01G197400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37127329:37131297:1 gene:Manes.01G197400.v8.1 transcript:Manes.01G197400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRKPREKNKIPRMGTLSPSKHHHSYPSLTRRLLPWTFYVIIPLLFFRLYIYPRLLPHSNPILISSSPFSLSPYTSGDAETTPCDYTNGDWVHDNRGPLYNATTCGTIKEGQNCIVHGRPDMGYLYWRWQPKQCKLPRFDSNTFLQLLRNKHLAFVGDSMARNQLESLLCMLATASAPKLVYRDGEDNKFRRWHFDSHNITISLYWSPFLVKGMEKSNAGSNHNKLYLDHVNERWVADMNGFDLLVLSIGHWFLHPAVYYEGDSILGCHYCPGLNHTEIGFYDVLRKALKTTLRTVIQRRGSGFNGNGIEVILTTFSPSHFEGDWDKFGACPKKKPYQEREKSLEGMDAEVRKIEIEEVEAAKLSAMQFDNIRFEALDVTKLSLLRPDGHPGPYMYPFPFANGVTERVQNDCVHWCLPGPIDTWNEILLQVIKRWGIIQ >Manes.01G197400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37127329:37131297:1 gene:Manes.01G197400.v8.1 transcript:Manes.01G197400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRKPREKNKIPRMGTLSPSKHHHSYPSLTRRLLPWTFYVIIPLLFFRLYIYPRLLPHSNPILISSSPFSLSPYTSGDAETTPCDYTNGDWVHDNRGPLYNATTCGTIKEGQNCIVHGRPDMGYLYWRWQPKQCKLPRFDSNTFLQLLRNKHLAFVGDSMARNQLESLLCMLATASAPKLVYRDGEDNKFRRWHFDSHNITISLYWSPFLVKGMEKSNAGSNHNKLYLDHVNERWVADMNGFDLLVLSIGHWFLHPAVYYEGDSILGCHYCPGLNHTEIGFYDVLRKALKTTLRTVIQRRGSGFNGNGIEVILTTFSPSHFEGDWDKFGACPKKKPYQEREKSLEGMDAEVRKIEIEEVEAAKLSAMQFDNIRFEALDVTKLSLLRPDGHPGPYMYPFPFANGVTERVQNDCVHWCLPGPIDTWNEILLQVIKRWGIIQ >Manes.01G197400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37127329:37139842:1 gene:Manes.01G197400.v8.1 transcript:Manes.01G197400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDRKPREKNKIPRMGTLSPSKHHHSYPSLTRRLLPWTFYVIIPLLFFRLYIYPRLLPHSNPILISSSPFSLSPYTSGDAETTPCDYTNGDWVHDNRGPLYNATTCGTIKEGQNCIVHGRPDMGYLYWRWQPKQCKLPRFDSNTFLQLLRNKHLAFVGDSMARNQLESLLCMLATASAPKLVYRDGEDNKFRRWHFDSHNITISLYWSPFLVKGMEKSNAGSNHNKLYLDHVNERWVADMNGFDLLVLSIGHWFLHPAVYYEGDSILGCHYCPGLNHTEIGFYDVLRKALKTTLRTVIQRRGSGFNGNGIEVILTTFSPSHFEGDWDKFGACPKKKPYQEREKSLEGMDAEVRKIEIEEVEAAKLSAMQFDNIRFEALDVTKLSLLRPDGHPGPYMYPFPFANGVTERVQNDCVHWCLPGPIDTWNEILLQVIKRWGIIQ >Manes.18G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1655721:1658563:-1 gene:Manes.18G015800.v8.1 transcript:Manes.18G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVRIEFNALDPRIASCMEFLAQCNARKAKESNPACQLLVKRRTDDHPPQITVTFVNGVEEVFDGTTVSAQTIRNMILEKGQFLETEQMFREAGEKWPVIIPEEERHQPAPGTKPRKAEEKKQ >Manes.18G015800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1657407:1658353:-1 gene:Manes.18G015800.v8.1 transcript:Manes.18G015800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVRIEFNALDPRIASCMEFLAQCNARKAKESNPACQLLVKRRTDDHPPQITVTFVNGVEEVFDGTTVSAQTIRNMILEKGQFLETEQMFREAGEKWPVIIPEEERHQPAPGTKNYFLYCVLLKTFTHCTYICFL >Manes.12G095400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:20593562:20597275:1 gene:Manes.12G095400.v8.1 transcript:Manes.12G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLSEASILATLIQKCTTVTSLRKARQLHALILTTIASPSYAQSPFPNNNLIAMYAHCGSVLDAQQLFDRMPRRNAISYNALIAAYSRDPSYEILNFKLLSHMGNQGLRPTGATFTSLLQVCCSLEDWFLGSLLHAQVVKSGFVNDICVQTSLLGMYSNCGDLDSTQKVFDSIVEKDVVVWNSMIFGNIKNERMKDGLCIFIAMLKSGVIPTQFTFSMVFSASSKLQDSKSGRVIHALVIILNILSDSALQNALLEMYCSCGDTKNAFNVFSRIENPSLVSWNSMISWCAKNGEGEKAMDMFVKFLGMSVSKPDEYTFTAVISATAEFPATAYGQPLHAQVMKAGLQWSVFIGTALLSMYFRNSDTESAQGVFSLMKKKDVVLWTEMIMGHRRLGYGETAIKLFCKMCLECHKFDSFAISGALSACADLAILKQGQMIHTQAVKTGCDAEMSVCGSLIDMYVKNGNLQAAELIFTQVSNPDLKCWNSMIGGYSLHGMAEEAMMLFDEVLEYGLNPDQVTFLSLLSACNHSGLVEKGKSLWDYMKKNGIIPGPKHYSCMVSLLSRAGLLDEAEELISESTYSEEHLKLWRTLLSSCVNRRNLKIGVRAAEQVLRLDPQDGATHILLSNLYAAIGRWNDVAELRRKIRGLMLEKDPGISWIEDKNDIHVFSSDDQANPVIEEAQAEVHRLQGNMMRSVKDEYELDARIFST >Manes.16G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32805164:32806466:1 gene:Manes.16G126900.v8.1 transcript:Manes.16G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNASQSSSSKLLSATLTAKLHRCYMASLQMTKQTSLHEKQQKPPISHANTTTTSATTTIAAAATNGIAIKREELGSHLPEKYPFQETEANWAVKKVQVDSIQQQFKPLEEHQIEQMIEELLDYGSLELCSSAPPQPL >Manes.04G060100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21010457:21020527:-1 gene:Manes.04G060100.v8.1 transcript:Manes.04G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLRDVLRSLCGVNQWCYAVFWKIGCQNPKLLIWEECYYESKSCSVLPCTSGTGNPELPLGDSEGCWASDFNSPQLRVQSGETIHLLMNKMMINNQINIVGQGMVGRAAFTGNHEWILANNYIGDAYPPEVLTEIHHQFSAGMQTVAVIPVSPLGVVQFGSSLTIMENMGFVNNVKSLILQIGCVPGALLSENLMINEFTERIGVPVSIGMSDSHSMHLSGNKMQNSTPLLTGSCNQQNISSRSSIIAQPSHLQIRQVQDNLQSTASTFRALNLTSNSSKSGGGSCEQKMTTIKQDDPLRGQLENGVGAEVIRSNPDVWLNQHVASLNSKPAFSPQSVISQSHTDSSIMTLLEHQVLSDAIPQNLISNNRNALDSFATPNMRSNEALVFDSHGDSLAHGTELRNGVSSHTTTTSIKRVLSNPQKSAGFNHSSTQLAGIGIQNSNTSRAEEIPSSHLVDQLSGRGMLSGGPHRRYISSNVKYAKNVSIAKKEKMEDDLFQAFYLPSSQPNSVPDCLRHASESQITNSTDIKYEDPCAQPASGDDLYDILGVDFKNRLLKSKWDNLLTDGQCANSHLGKDASTFINMREASSSLLSVNQGISDSCIFSGMGTDNLLDAVVSRAHSAAKQRSDENISCKTTLTKISSSSVPSGSLTYGLVHMSEKIQKELFDLPKSLEKSGTIASGSFRSGCSKDDVGSCSQTSSVYGSQLSSWVGPNNRRDNSVSTAYSKKNDESSKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGGKCSIDALLERTIKHMQFLQSVTKHADKLKQTGDSKIINKEGGLLLKENFDGGATWAFEVGSQSMVCPIIVEDLNPPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMEAWNDKIWARFTVEANRDVTRMEVFMSLVRLLEQTVKGGVSSTAASDMMVHHAFPQAASIPATGRPTSLQ >Manes.05G087100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7868716:7871780:-1 gene:Manes.05G087100.v8.1 transcript:Manes.05G087100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRCKIQDHSRRFSGITGDYQLSSTPISDAAESFLLNAINMSFLERLNLAWKIIFPSPARRKSSNARIAKQRLKMILFSDRCAVSDEAKQKIVSNIVHALSEFVEIDSEDKVQLSVTADLDVGTVYCVTVPVRRVRPEYQDAEEIGTITNIEYKDTGETSGSVDVRFDFFIPDERI >Manes.05G087100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7868716:7871780:-1 gene:Manes.05G087100.v8.1 transcript:Manes.05G087100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDFRVSATLASYNKHLLRSSMPTSNSKVEFIGFPGRGSGTFPNIHKWPGIMLDRCKIQDHSRRFSGITGDYQLSSTPISDAAESFLLNAINMSFLERLNLAWKIIFPSPARRKSSNARIAKQRLKMILFSDRCAVSDEAKQKIVSNIVHALSEFVEIDSEDKVQLSVTADLDVGTVYCVTVPVRRVRPEYQDAEEIGTITNIEYKDTGETSGSVDVRFDFFIPDERI >Manes.S028016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:506890:507702:-1 gene:Manes.S028016.v8.1 transcript:Manes.S028016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1426832:1433008:-1 gene:Manes.07G010500.v8.1 transcript:Manes.07G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGQAVSSIGESALSSVFQSLLEKIECPEFLKFARERQVLEEIRKWEKFFSAIQVVLDDAEEKQMEDGLVESWLSDLKNLAYDVEDILDEFATELLQRRLKGERQASTSKLRKLFHSVTATISPGTVIFNSTMMSMMKEITTRFQEIAKTQQDLGLMKKNVGAMPSIKVCVRPPSTCLNHEPEVYGRDEDKRKLLDLVLRNETSDLKVGVIPIVGIGGVGKTTLARLVYNDEASQQQFQVKAWVCVSDEFDIVRITKSILESITLKSCDLKELNQVQLKLHDKLAEKKFLIVLDDVWNKNYDDWNALCSPLMYGAPGSRVIITTRDEAVARTMGNMEFQTLSCISDEGCWSIFIDHAFGRRRVAGPNLEVIYEKVIKKCGGLPLAARTLGGLLRSKPMEEWENVLNSKIWNLQGGVNNILPVLRLSYYHLPSHLKRCFAYCAIFPKDYVFQKKQLVLLWMAEGLIQQQDNQHMEDVGDEYFRDLCSRSFFQSSSTGGFIMHDLVNDLAQSVAGETCFRLEDSSMVGQQFEKEKVRHFSYTCRFYDRIERFEPFLGIKCLRTFLPFLPEGNYGSHHIMHLYYLASCIPPVLLSKLRCLRVLSFRYYKITELPDSIGDLKHLRYLDLSYTNIVTLPESTTSLCNLQSMLLKGCHNLKKLPSEMQNLINLRHLDIKGVRLDEGMPLGIEELRGIRTLSNFVVEKSSEAGITALLNLKFLRGALRISMLQNVANALDVREPILMDKERIDSLAMGWGFQNTPDESRDRDVLDRMKPHENLKKLTIIHYGGTEFPWWVGDPVFRNLVCLKLEDCKKCTTLPQLGLLSSLKNLVIKKFPNVTLIDREFYGESNLNPFPSLETLQFKSMHKWDYWNTCGVEFPRLRELSIVWCPKLSGQLPSQLPSLQTLVIEQCLQLIVSLQSLPEISHLEIEGCRKLEVGRGFSSANLMKVYGESIFFPPEEFMEGLRKLEMLTIGRNVQVQPFLSCPCSPHWVLFEGIIENEELLQKGIIDSKIKILEFRRCKSLDKLPSWIHSFKSLRQLSIEGCTRLVSLPEAMIYSSICLEVLQVERCDSLVSIGRHQLPPTVKRLEISRCQKLQRLLHEEVINYELNLSNLQHIKIDDCGFLTCLGKLPPSLKDLVITSFGFGLEIGAKLESIAESFNNNTSLESIKIGYLPKLKTLPENLHMLGSLHDIWIYNCPSLVSFPRGGLPTLHLKSLTIDDCEKLKALPDNMYNLTSLQELTIKNCPRLVCFPRGVLPTAHLKKLRVESCEKLKALPHNMHNLTSLQELTLKYCPGIVSFPEEGFPTNLRSLCIHKLEILKPIFGWGLHRLTSLKKLSVSGTCLGVVSFPQDEMNMKLPSSLTSLTVEGFLDLIYLSNKGFQNLTSLEYLRIERCPKLAYFPKNGLPPSLLQLHIHHCPLLRQTCQKGKGGKSENSELAQIPLVFLIPTLKRKNDQIKA >Manes.07G010500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1426818:1433212:-1 gene:Manes.07G010500.v8.1 transcript:Manes.07G010500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPGSRVIITTRDEAVARTMGNMEFQTLSCISDEGCWSIFIDHAFGRRRVAGPNLEVIYEKVIKKCGGLPLAARTLGGLLRSKPMEEWENVLNSKIWNLQGGVNNILPVLRLSYYHLPSHLKRCFAYCAIFPKDYVFQKKQLVLLWMAEGLIQQQDNQHMEDVGDEYFRDLCSRSFFQSSSTGGFIMHDLVNDLAQSVAGETCFRLEDSSMVGQQFEKEKVRHFSYTCRFYDRIERFEPFLGIKCLRTFLPFLPEGNYGSHHIMHLYYLASCIPPVLLSKLRCLRVLSFRYYKITELPDSIGDLKHLRYLDLSYTNIVTLPESTTSLCNLQSMLLKGCHNLKKLPSEMQNLINLRHLDIKGVRLDEGMPLGIEELRGIRTLSNFVVEKSSEAGITALLNLKFLRGALRISMLQNVANALDVREPILMDKERIDSLAMGWGFQNTPDESRDRDVLDRMKPHENLKKLTIIHYGGTEFPWWVGDPVFRNLVCLKLEDCKKCTTLPQLGLLSSLKNLVIKKFPNVTLIDREFYGESNLNPFPSLETLQFKSMHKWDYWNTCGVEFPRLRELSIVWCPKLSGQLPSQLPSLQTLVIEQCLQLIVSLQSLPEISHLEIEGCRKLEVGRGFSSANLMKVYGESIFFPPEEFMEGLRKLEMLTIGRNVQVQPFLSCPCSPHWVLFEGIIENEELLQKGIIDSKIKILEFRRCKSLDKLPSWIHSFKSLRQLSIEGCTRLVSLPEAMIYSSICLEVLQVERCDSLVSIGRHQLPPTVKRLEISRCQKLQRLLHEEVINYELNLSNLQHIKIDDCGFLTCLGKLPPSLKDLVITSFGFGLEIGAKLESIAESFNNNTSLESIKIGYLPKLKTLPENLHMLGSLHDIWIYNCPSLVSFPRGGLPTLHLKSLTIDDCEKLKALPDNMYNLTSLQELTIKNCPRLVCFPRGVLPTAHLKKLRVESCEKLKALPHNMHNLTSLQELTLKYCPGIVSFPEEGFPTNLRSLCIHKLEILKPIFGWGLHRLTSLKKLSVSGTCLGVVSFPQDEMNMKLPSSLTSLTVEGFLDLIYLSNKGFQNLTSLEYLRIERCPKLAYFPKNGLPPSLLQLHIHHCPLLRQTCQKGKGGKSENSELAQIPLVFLIPTLKRKNDQIKA >Manes.07G010500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1426818:1433212:-1 gene:Manes.07G010500.v8.1 transcript:Manes.07G010500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGAPGSRVIITTRDEAVARTMGNMEFQTLSCISDEGCWSIFIDHAFGRRRVAGPNLEVIYEKVIKKCGGLPLAARTLGGLLRSKPMEEWENVLNSKIWNLQGGVNNILPVLRLSYYHLPSHLKRCFAYCAIFPKDYVFQKKQLVLLWMAEGLIQQQDNQHMEDVGDEYFRDLCSRSFFQSSSTGGFIMHDLVNDLAQSVAGETCFRLEDSSMVGQQFEKEKVRHFSYTCRFYDRIERFEPFLGIKCLRTFLPFLPEGNYGSHHIMHLYYLASCIPPVLLSKLRCLRVLSFRYYKITELPDSIGDLKHLRYLDLSYTNIVTLPESTTSLCNLQSMLLKGCHNLKKLPSEMQNLINLRHLDIKGVRLDEGMPLGIEELRGIRTLSNFVVEKSSEAGITALLNLKFLRGALRISMLQNVANALDVREPILMDKERIDSLAMGWGFQNTPDESRDRDVLDRMKPHENLKKLTIIHYGGTEFPWWVGDPVFRNLVCLKLEDCKKCTTLPQLGLLSSLKNLVIKKFPNVTLIDREFYGESNLNPFPSLETLQFKSMHKWDYWNTCGVEFPRLRELSIVWCPKLSGQLPSQLPSLQTLVIEQCLQLIVSLQSLPEISHLEIEGCRKLEVGRGFSSANLMKVYGESIFFPPEEFMEGLRKLEMLTIGRNVQVQPFLSCPCSPHWVLFEGIIENEELLQKGIIDSKIKILEFRRCKSLDKLPSWIHSFKSLRQLSIEGCTRLVSLPEAMIYSSICLEVLQVERCDSLVSIGRHQLPPTVKRLEISRCQKLQRLLHEEVINYELNLSNLQHIKIDDCGFLTCLGKLPPSLKDLVITSFGFGLEIGAKLESIAESFNNNTSLESIKIGYLPKLKTLPENLHMLGSLHDIWIYNCPSLVSFPRGGLPTLHLKSLTIDDCEKLKALPDNMYNLTSLQELTIKNCPRLVCFPRGVLPTAHLKKLRVESCEKLKALPHNMHNLTSLQELTLKYCPGIVSFPEEGFPTNLRSLCIHKLEILKPIFGWGLHRLTSLKKLSVSGTCLGVVSFPQDEMNMKLPSSLTSLTVEGFLDLIYLSNKGFQNLTSLEYLRIERCPKLAYFPKNGLPPSLLQLHIHHCPLLRQTCQKGKGGKSENSELAQIPLVFLIPTLKRKNDQIKA >Manes.07G010500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1426710:1433340:-1 gene:Manes.07G010500.v8.1 transcript:Manes.07G010500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIGQAVSSIGESALSSVFQSLLEKIECPEFLKFARERQVLEEIRKWEKFFSAIQVVLDDAEEKQMEDGLVESWLSDLKNLAYDVEDILDEFATELLQRRLKGERQASTSKLRKLFHSVTATISPGTVIFNSTMMSMMKEITTRFQEIAKTQQDLGLMKKNVGAMPSIKVCVRPPSTCLNHEPEVYGRDEDKRKLLDLVLRNETSDLKVGVIPIVGIGGVGKTTLARLVYNDEASQQQFQVKAWVCVSDEFDIVRITKSILESITLKSCDLKELNQVQLKLHDKLAEKKFLIVLDDVWNKNYDDWNALCSPLMYGAPGSRVIITTRDEAVARTMGNMEFQTLSCISDEGCWSIFIDHAFGRRRVAGPNLEVIYEKVIKKCGGLPLAARTLGGLLRSKPMEEWENVLNSKIWNLQGGVNNILPVLRLSYYHLPSHLKRCFAYCAIFPKDYVFQKKQLVLLWMAEGLIQQQDNQHMEDVGDEYFRDLCSRSFFQSSSTGGFIMHDLVNDLAQSVAGETCFRLEDSSMVGQQFEKEKVRHFSYTCRFYDRIERFEPFLGIKCLRTFLPFLPEGNYGSHHIMHLYYLASCIPPVLLSKLRCLRVLSFRYYKITELPDSIGDLKHLRYLDLSYTNIVTLPESTTSLCNLQSMLLKGCHNLKKLPSEMQNLINLRHLDIKGVRLDEGMPLGIEELRGIRTLSNFVVEKSSEAGITALLNLKFLRGALRISMLQNVANALDVREPILMDKERIDSLAMGWGFQNTPDESRDRDVLDRMKPHENLKKLTIIHYGGTEFPWWVGDPVFRNLVCLKLEDCKKCTTLPQLGLLSSLKNLVIKKFPNVTLIDREFYGESNLNPFPSLETLQFKSMHKWDYWNTCGVEFPRLRELSIVWCPKLSGQLPSQLPSLQTLVIEQCLQLIVSLQSLPEISHLEIEGCRKLEVGRGFSSANLMKVYGESIFFPPEEFMEGLRKLEMLTIGRNVQVQPFLSCPCSPHWVLFEGIIENEELLQKGIIDSKIKILEFRRCKSLDKLPSWIHSFKSLRQLSIEGCTRLVSLPEAMIYSSICLEVLQVERCDSLVSIGRHQLPPTVKRLEISRCQKLQRLLHEEVINYELNLSNLQHIKIDDCGFLTCLGKLPPSLKDLVITSFGFGLEIGAKLESIAESFNNNTSLESIKIGYLPKLKTLPENLHMLGSLHDIWIYNCPSLVSFPRGGLPTLHLKSLTIDDCEKLKALPDNMYNLTSLQELTIKNCPRLVCFPRGVLPTAHLKKLRVESCEKLKALPHNMHNLTSLQELTLKYCPGIVSFPEEGFPTNLRSLCIHKLEILKPIFGWGLHRLTSLKKLSVSGTCLGVVSFPQDEMNMKLPSSLTSLTVEGFLDLIYLSNKGFQNLTSLEYLRIERCPKLAYFPKNGLPPSLLQLHIHHCPLLRQTCQKGKGGKSENSELAQIPLVFLIPTLKRKNDQIKA >Manes.07G010500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1426776:1433222:-1 gene:Manes.07G010500.v8.1 transcript:Manes.07G010500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNVGAMPSIKVCVRPPSTCLNHEPEVYGRDEDKRKLLDLVLRNETSDLKVGVIPIVGIGGVGKTTLARLVYNDEASQQQFQVKAWVCVSDEFDIVRITKSILESITLKSCDLKELNQVQLKLHDKLAEKKFLIVLDDVWNKNYDDWNALCSPLMYGAPGSRVIITTRDEAVARTMGNMEFQTLSCISDEGCWSIFIDHAFGRRRVAGPNLEVIYEKVIKKCGGLPLAARTLGGLLRSKPMEEWENVLNSKIWNLQGGVNNILPVLRLSYYHLPSHLKRCFAYCAIFPKDYVFQKKQLVLLWMAEGLIQQQDNQHMEDVGDEYFRDLCSRSFFQSSSTGGFIMHDLVNDLAQSVAGETCFRLEDSSMVGQQFEKEKVRHFSYTCRFYDRIERFEPFLGIKCLRTFLPFLPEGNYGSHHIMHLYYLASCIPPVLLSKLRCLRVLSFRYYKITELPDSIGDLKHLRYLDLSYTNIVTLPESTTSLCNLQSMLLKGCHNLKKLPSEMQNLINLRHLDIKGVRLDEGMPLGIEELRGIRTLSNFVVEKSSEAGITALLNLKFLRGALRISMLQNVANALDVREPILMDKERIDSLAMGWGFQNTPDESRDRDVLDRMKPHENLKKLTIIHYGGTEFPWWVGDPVFRNLVCLKLEDCKKCTTLPQLGLLSSLKNLVIKKFPNVTLIDREFYGESNLNPFPSLETLQFKSMHKWDYWNTCGVEFPRLRELSIVWCPKLSGQLPSQLPSLQTLVIEQCLQLIVSLQSLPEISHLEIEGCRKLEVGRGFSSANLMKVYGESIFFPPEEFMEGLRKLEMLTIGRNVQVQPFLSCPCSPHWVLFEGIIENEELLQKGIIDSKIKILEFRRCKSLDKLPSWIHSFKSLRQLSIEGCTRLVSLPEAMIYSSICLEVLQVERCDSLVSIGRHQLPPTVKRLEISRCQKLQRLLHEEVINYELNLSNLQHIKIDDCGFLTCLGKLPPSLKDLVITSFGFGLEIGAKLESIAESFNNNTSLESIKIGYLPKLKTLPENLHMLGSLHDIWIYNCPSLVSFPRGGLPTLHLKSLTIDDCEKLKALPDNMYNLTSLQELTIKNCPRLVCFPRGVLPTAHLKKLRVESCEKLKALPHNMHNLTSLQELTLKYCPGIVSFPEEGFPTNLRSLCIHKLEILKPIFGWGLHRLTSLKKLSVSGTCLGVVSFPQDEMNMKLPSSLTSLTVEGFLDLIYLSNKGFQNLTSLEYLRIERCPKLAYFPKNGLPPSLLQLHIHHCPLLRQTCQKGKGGKSENSELAQIPLVFLIPTLKRKNDQIKA >Manes.01G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33275771:33278606:-1 gene:Manes.01G141400.v8.1 transcript:Manes.01G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVDPEQQMVFEDQQSMRIDPKRARFPYCIVWTPLPVISWLIPFIGHIGICREDGVILDFAGPNFVSVDNFAFGSVTRYIQIDKEKECSSSLRPSACISEDDEDLTRRGTLTWDDALRKSTQEFQHHSYSLFTCNCHCFVANSLNRLGFHSGGWNVVNLATLMFIRGHWVSTGSIVRSYLPFVVVCGLGLIFGGSTFLTFLAFFTFFLVGWFLLGSYCFKNLIQL >Manes.13G069500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8811203:8813397:1 gene:Manes.13G069500.v8.1 transcript:Manes.13G069500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLVSTIVLHQMLRFKEKRWDSKRMLDSGGMPSSHSATVTALAMAIGLQEGTGSPTFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVVGALVGCIVAYLMRNTD >Manes.13G069500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8807991:8813897:1 gene:Manes.13G069500.v8.1 transcript:Manes.13G069500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFKEKRWDSKRMLDSGGMPSSHSATVTALAMAIGLQEGTGSPTFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVVGALVGCIVAYLMRNTD >Manes.13G069500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8811477:8813397:1 gene:Manes.13G069500.v8.1 transcript:Manes.13G069500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGGMPSSHSATVTALAMAIGLQEGTGSPTFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVVGALVGCIVAYLMRNTD >Manes.13G069500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8807991:8813778:1 gene:Manes.13G069500.v8.1 transcript:Manes.13G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMTVTDAVSSGSMSQSSSYSSSSLLPSNLPLLSALLACALAQFLKLFTNWFKEKRWDSKRMLDSGGMPSSHSATVTALAMAIGLQEGTGSPTFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVVGALVGCIVAYLMRNTD >Manes.05G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:16444612:16447580:-1 gene:Manes.05G120200.v8.1 transcript:Manes.05G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPLSPLPATAATSIHINYPESVESSPRSHTADTFHEPLPLIPGAKLRLMCSYGGHIIPRPHDKSLCYVGGETRIVVVDRHSCLSSLTSRLSHTLLDGRPFTLKYQLPHEDLDSLVSVTTDEDLDNMIEEYDRITSSSSSALAPSRIRLFLFFGKPETAASMGPLLADAKSETWFVDALNGSALFQRNLSDTATMDCLVNLDNDHHLHESEAQAECVEGSEGENKEGKINVQVAVVHQDLQAMMPDSPIVENGSSFGSSSSSPSMCNLPPIRVRVEDRHRVSAEEQFAQTTFAQVVQKQDDGYGLLSAALPPLPTALATVGNVAALNPAGGSSENLNRALSDDERSDQGVPVTFRKPPLPLQPVQHKPCAFGYNLPSPDSVASDISNASANSLSKPMYYQEQSQAAVGDSRAPLNPDTKSEIPVPSSQIQIQQAQNPGYSSPTQFDQQQQQQLIHTSTHFIPHPATTPLPISSYYPIYAPPTPSQQLQPQQPLDQQYPVYVMPVAQTQPYMSVQSNIMETAATVIAPSRPPTPTPSVIAASAAYKEPSHPPLYPTKAAANSAGLAKPETGAGVYRTAVNSTPTLLPIPAAQFQQQYVGYAPMQQLSQSIAVPAGANVNYGFEYAKTTPEQVYYAHQLQASQLSSQFQTMTPAAAVALADASNQLPTSNTMHQIASSQPL >Manes.03G087603.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17470621:17473448:-1 gene:Manes.03G087603.v8.1 transcript:Manes.03G087603.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKSQTATETDFVLQWGTKKRLRCVKVKKDQNLANKSKPIDSLPKKKFTSRVVTAEKESPRVIKNSDLPMNNRKSSVLSPEKEDRYYTTRGSLGLDDNGKVLIDNTKEDKGLVWPKLFITLSSKEKEEDFMAMKGCKPPQRPKKRAKLIQKTLLLVSPGAWLTDLCQERYEVREKKASKKRPRGLKAMGSMESDSE >Manes.07G062356.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10012711:10016555:-1 gene:Manes.07G062356.v8.1 transcript:Manes.07G062356.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEDLQNLTCFFLGSYTFNFPSLERVDVFRCPKLRIFTVRQLSAPKIHGVFTGHRFNRTFQWEGNLNATIEQIYMKYVGFKYIHDVQLSNFPMLKEKWHGQYPFENLEYLKKLVVDECAFFSNAISSNLLKRLSSLNKLAVERCDSIEELFELEGLNADEGDVGLLESLEELRLIDLPRLVHVWNKDPQGILSFRNLALLKVENCSSLTNICTLSMASGLIKLKHFELKRCNLVEYIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELREECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILKKLVLSHASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSDSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKIKIEECELIQEVIVAEVDKEEEENEICFNQLKCLEFRRLPSLSSFCYGNLTFNFPCLEEVILVECPNIKIFAQEVSTPQLWRVQTGELHTGKRKYKWQKEDIICCWEWEGSLNNTIQALFKEKLKRRG >Manes.07G062356.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10013771:10016555:-1 gene:Manes.07G062356.v8.1 transcript:Manes.07G062356.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEDLQNLTCFFLGSYTFNFPSLERVDVFRCPKLRIFTVRQLSAPKIHGVFTGHRFNRTFQWEGNLNATIEQIYMKYVGFKYIHDVQLSNFPMLKEKWHGQYPFENLEYLKKLVVDECAFFSNAISSNLLKRLSSLNKLAVERCDSIEELFELEGLNADEGDVGLLESLEELRLIDLPRLVHVWNKDPQGILSFRNLALLKVENCSSLTNICTLSMASGLIKLKHFELKRCNLVEYIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELREECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILKKLVLSHASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSDSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKIKIEECELIQEVIVAEVDKEEEENEICFNQLKCLEFRRLPSLSSFCYGNLTFNFPCLEEVILVECPNIKIFAQEVSTPQLWRVQTGELHTGKRKYKWQKEDIICCWEWEGSLNNTIQALFKEKKAEETGIGQCSYG >Manes.07G062356.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10013771:10016555:-1 gene:Manes.07G062356.v8.1 transcript:Manes.07G062356.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLETLIVRSCNMLTEIIGGVEEDGSTDEIVFSKMKTLELEDLQNLTCFFLGSYTFNFPSLERVDVFRCPKLRIFTVRQLSAPKIHGVFTGHRFNRTFQWEGNLNATIEQIYMKYVGFKYIHDVQLSNFPMLKEKWHGQYPFENLEYLKKLVVDECAFFSNAISSNLLKRLSSLNKLAVERCDSIEELFELEGLNADEGDVGLLESLEELRLIDLPRLVHVWNKDPQGILSFRNLALLKVENCSSLTNICTLSMASGLIKLKHFELKRCNLVEYIITKEAEEEIAKDNTIFPSMESMSLECLPNLSSFYSASDVLKCPSLKRIEMVGCPNMELLASKFCKEHDLSMIAEGNGERIAASSGGKVVIPSLEELREECNTIKNLCSQTSQADFLCGLKGIELTCISSDSTLLPSQFFESLPILKKLVLSHASFEDIIFCEEIIGEEIHPQSLVKLKELSLSKLPRLKHLRDAKLLSVFQSLETLNVMECGRLQVLVASSDSFQNLTALQVSNCQGLVNLLSSSTARSLERLEKIKIEECELIQEVIVAEVDKEEEENEICFNQLKCLEFRRLPSLSSFCYGNLTFNFPCLEEVILVECPNIKIFAQEVSTPQLWRVQTGELHTGKRKYKWQKEDIICCWEWEGSLNNTIQALFKEKLKRRG >Manes.14G127500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10171090:10174251:1 gene:Manes.14G127500.v8.1 transcript:Manes.14G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSELTLDCRPQSYSMLLKSFGDQNDHTLKIEEFLSCLEEERLKIDAFKRELPLCMQLLTNAMETSRQQIQAYRANQGPRPVLEEFIPLKNHASETLDRSSNISDKANWMTTAQLWSQDSNETKPQTTLTTSPKETNIGFNVSPKLGLDTKQRNGGAFLPFSKERNLCPSPTLALASADQQEMENKKCLEIENGFSCSKRENSGKLGNNNGGAIVIEQTKGTGNSSSDGQATNTGTSNGADNTTTSTTTGSQTHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQAPGAPTPQLVVLGGIWVPPEYATAAAAAHSGAPTLYGTHPASHAPPPHFCAAPPVHQDFYTAAAATPSPQQPPHHHLHSTLHHQLHMYKATSQGHSSPESDVRGTGDRSESIEDGKSESSSWKAESGENGGERKSWLH >Manes.05G199700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32449163:32451499:-1 gene:Manes.05G199700.v8.1 transcript:Manes.05G199700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRFLLVTFPAQGHINPSLQFAKRLIRIGVHVTLATTLYAKRRMTKTSFPVGLSFVTFSDGYDDGFQMGYDDHNNYMSEIKRRGSQTLSDIIVASENEGKPFSCLVYTLLLPWAAEVARAHNLPSTLLWIQPSTVLTVYYYYFNGYGDLFSNCSDPTYVVQLPGELPSFTSRDLPSFLIPSNPYTFVLKAFKEQLEVLSKESDPKILVNTFDALEPEALNAIEKFNMTAIGPLLPSAILDGKDPSDTSFGGDLFQSSKCYIEWLNSKTKSSVIYVSFGSIAVVSKQQMEEIARGLLHSSRPFMWVIREESHGNGTEENQLSCREELEEKGMIVPWCSQVEVLSHPSVGCFVTHCGWNSTLESLVSGVPTVAFPLWTDQGTNAKLIEDVWQTGVRVVPNEEGIVVGEEIIRSLELVMGDGKRAEEIRNNAKKWKDLAREAVKEGGSSDKNLRAFVDEVTVMNQR >Manes.02G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10356417:10358985:1 gene:Manes.02G136300.v8.1 transcript:Manes.02G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAYIRLHPFSCLLLLLLLLLCVSGSVEAYKNYTVGDSLGWYDNSEKPTVNYQKWADSKNFSLGDFLIFNTNNNHSVVQTYNLTTYKLCDYDNALENDTIEWSATDPSNTDTQGVTVAVPLLKEGTTYFFSGDYDGDQCKNGQHFQINVTHGRGLPESLKSPSEQAPAPNSPDVNGADSAPETIVPANFDHPQDVSDDDKVESGSISLYLRFISLKLNGILVLFGIFCLF >Manes.07G087400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27528173:27533654:-1 gene:Manes.07G087400.v8.1 transcript:Manes.07G087400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGISILVGIQATVLFIFFSYLRILGFTLLSIPFLYGSLICLLVSLASHPSINLPMLLGKNPDGSIPIWSIIMFSPYLVLVRIFSILRRLTSGEEPYHEICEGVYVGGWPYSPEKLPPGDPAIIDCTCELPRKSEFKGHPYLCIPTWDTRAPQPGDIESAVKWACRKRAQNRPVFIHCAYGHGRSVAVTCALLVALGMVEDWKMAEKFIKEKRPYIQMNALHREALEEWSKNRLSSPSLRGGMK >Manes.07G087400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27528173:27533654:-1 gene:Manes.07G087400.v8.1 transcript:Manes.07G087400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGISILVGIQATVLFIFFSYLRILGFTLLSIPFLYGSLICLLVSLASHPSINLPMLLGKNPDGSIPIWSIIMFSPYLVLVRIFSILRRLTSGEEPYHEICEGVYVGGWPYSPEKLPPGDPAIIDCTCELPRKSEFKGHPYLCIPTWDTRAPQPGDIESAVKWACRKRAQNRPVFIHCAYGHGRSVAVTCALLVALGMVEDWKMAEKFIKEKRPYIQMNALHREALEEWSKNRLSSPSLRGGMK >Manes.07G087400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27528173:27533654:-1 gene:Manes.07G087400.v8.1 transcript:Manes.07G087400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGISILVGIQATVLFIFFSYLRILGFTLLSIPFLYGSLICLLVSLASHPSINLPMLLGKNPDGSIPIWSIIMFSPYLVLVRIFSILRRLTSGEEPYHEICEGVYVGGWPYSPEKLPPGDPAIIDCTCELPRKSEFKGHPYLCIPTWDTRAPQPGDIESAVKWACRKRAQNRPVFIHCAYGHGRSVAVTCALLVALGMVEDWKMAEKFIKEKRPYIQMNALHREALEEWSKNRLSSPSLRGGMK >Manes.07G087400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27509531:27533654:-1 gene:Manes.07G087400.v8.1 transcript:Manes.07G087400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGISILVGIQATVLFIFFSYLRILGFTLLSIPFLYGSLICLLVSLASHPSINLPMLLGKNPDGSIPIWSIIMFSPYLVLVRIFSILRRLTSGEEPYHEICEGVYVGGWPYSPEKLPPGDPAIIDCTCELPRKSEFKGHPYLCIPTWDTRAPQPGDIESAVKWACRKRAQNRPVFIHCAYGHGRSVAVTCALLVALGMVEDWKMAEKFIKEKRPYIQMNALHREALEEWSKNRLSSPSLRGGMK >Manes.07G041200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4952065:4959563:1 gene:Manes.07G041200.v8.1 transcript:Manes.07G041200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNAIPLREPPCGGEEDDRRLLHIDGGELDGGLDGGEEEEGVMNGVEEVPQMSSMDFKSSNGCMAQSARTSELTIAFEGEVYVFPAVTPQKVQAVLLLLGGTDTPASVPSSEFLLQQNAGVYNNVGDASRGSKLSRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASLKDCYSTDAGSNWEPNSGTPHSESASRRCQHCGISEKDTPAMRRGPAGPRTLCNACGLMWANKGTLRDLTKGGRHISFDQNELGTPDLKPSTMKQENPYANQDEEGSPEESKPVPLDSENSLRPNDQELLEADETLTDPLPMRVENSPVNLDDEDTLDELGNVSSSEFEIPGNFDDQVVVF >Manes.07G041200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4952065:4959681:1 gene:Manes.07G041200.v8.1 transcript:Manes.07G041200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNAIPLREPPCGGEEDDRRLLHIDGGELDGGLDGGEEEEGVMNGVEEVPQMSSMDFKSSNGCMAQSARTSELTIAFEGEVYVFPAVTPQKVQAVLLLLGGTDTPASVPSSEFLLQQNAGVYNNVGDASRGSKLSRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASLKDCYSTDAGSNWEPNSGTPHSESASRRCQHCGISEKDTPAMRRGPAGPRTLCNACGLMWANKGTLRDLTKGGRHISFDQNELGTPDLKPSTMKQENPYANQDEEGSPEESKPVPLDSENSLRPNDQVTFF >Manes.07G041200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4952065:4959661:1 gene:Manes.07G041200.v8.1 transcript:Manes.07G041200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNAIPLREPPCGGEEDDRRLLHIDGGELDGGLDGGEEEEGVMNGVEEVPQMSSMDFKSSNGCMAQSARTSELTIAFEGEVYVFPAVTPQKVQAVLLLLGGTDTPASVPSSEFLLQQNAGVYNNVGDASRGSKLSRRIASLVRFREKRKERCFEKKIRYTCRKEVAQSSRRCQHCGISEKDTPAMRRGPAGPRTLCNACGLMWANKGTLRDLTKGGRHISFDQNELGTPDLKPSTMKQENPYANQDEEGSPEESKPVPLDSENSLRPNDQELLEADETLTDPLPMRVENSPVNLDDEDFQDTLDELGNVSSSEFEIPGNFDDQVVVF >Manes.07G041200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4952065:4959563:1 gene:Manes.07G041200.v8.1 transcript:Manes.07G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNAIPLREPPCGGEEDDRRLLHIDGGELDGGLDGGEEEEGVMNGVEEVPQMSSMDFKSSNGCMAQSARTSELTIAFEGEVYVFPAVTPQKVQAVLLLLGGTDTPASVPSSEFLLQQNAGVYNNVGDASRGSKLSRRIASLVRFREKRKERCFEKKIRYTCRKEVAQRMHRKNGQFASLKDCYSTDAGSNWEPNSGTPHSESASRRCQHCGISEKDTPAMRRGPAGPRTLCNACGLMWANKGTLRDLTKGGRHISFDQNELGTPDLKPSTMKQENPYANQDEEGSPEESKPVPLDSENSLRPNDQELLEADETLTDPLPMRVENSPVNLDDEDFQDTLDELGNVSSSEFEIPGNFDDQVVVF >Manes.04G111500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31608225:31613148:-1 gene:Manes.04G111500.v8.1 transcript:Manes.04G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDIDSPSTPIMAITPVILPDNNEAPISEEQPNKRRRKKSIVWEHFTIETVGAGCMRACCKQCKKSFAYITGSKLAGTSHLKRHIALGICPVSRQKNEASQVTPGVKTDPPKRRYRATPGLGSIPFDQDRCNHEIAKMIIQHEYPLHIVEHPGFIDFVRTLQPQFNMVSFNTIQGECVAIYLREKQNLLNLFSGIPGRVSLTLDLGISNFNIGYAFVTGHFIDDEWNLHRRVLNVVMLPFPDSDYAFNQAVVSCISDWNLESRLFTLTLDQSFSNETMVGNLRGLLSVRNPLVLNGQLLKGNCYARVLSRLAQDAIGAMGEVTRRIRESVKYVKTSDTHDEKFSELRQQLQVPSTKELIIDDQTKWNTTYQMLVAACELKEVFACLDTSDPVYKINPSMDDWKQVEILCTYLKLFYDAACILTGPTYPPANAFYHEVSKIQLELTHAAISQDPFVSNLTKPLKEKFDQYWRDCFLVLAIAVVMDPRFKMKLVEFSFSRIFGEDAGMWIKIVDDGIHELFLEYLTPNLSLSTSFMEAEDMGISRSEILQEVPPQELSPQEACFEEVSLHVVHPVDVPSQEQSQGAALKDVKPEVATPQVHPKEESPLDASSQVIHHHHNEVAQQEVHAQELPMQEMQTQELPVQEMNTQEMPVQELHSQEASVQEMHSQEASVQEMHTQEAPVQEMHTYEGPLQEVHTQVAPVQEVHTQEAPVSEVQEMHTQEELVQEVHTQEAPVQEMHTQEELVQEVHTQEAPVQEVHTQEAPVQEMHTQEAPVQQEVPLQDADTPQVPAEEVSAQETHTQAVSAEEMNAQEVSAEEMNAQEVSVEEMNAQEVSVEEMNAQEVSVEEMNAQEVSAQEMHTQEVPPQEMQVEDLSQVMHSQEVPAQEMHPPEMVAEVHSQELMSQEMHMSESHTLQHPPESQTQEMHLPESLAQEMHPPESQTQEINTTDPRPQAMHLQEVQTHEMHSQDAQNHDVHSQEVQTNDFHSQALQDHGMHIQETEIHSIHSQEAQTHESLRQEAMSQEMHQQEFSQEMHHHALSQEIQPYEGHHQDLPLLSIGDGLSDFDVYISEISNSQHLKSELDQYLEESLLPRVQEFDVLGWWKLNKLKYPTLSKMAADILSIPISTVDPDSVFDTGSKKIDSYRSSLRPVTLEALVCAKDWLQYAPSALSMETSNAIVKMEY >Manes.04G111500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31608224:31613148:-1 gene:Manes.04G111500.v8.1 transcript:Manes.04G111500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNNYSVSIICYYLFLFSSTVVLFVILALFTAIFIFCCRIMTSMDIDSPSTPIMAITPVILPDNNEAPISEEQPNKRRRKKSIVWEHFTIETVGAGCMRACCKQCKKSFAYITGSKLAGTSHLKRHIALGICPVSRQKNEASQVTPGVKTDPPKRRYRATPGLGSIPFDQDRCNHEIAKMIIQHEYPLHIVEHPGFIDFVRTLQPQFNMVSFNTIQGECVAIYLREKQNLLNLFSGIPGRVSLTLDLGISNFNIGYAFVTGHFIDDEWNLHRRVLNVVMLPFPDSDYAFNQAVVSCISDWNLESRLFTLTLDQSFSNETMVGNLRGLLSVRNPLVLNGQLLKGNCYARVLSRLAQDAIGAMGEVTRRIRESVKYVKTSDTHDEKFSELRQQLQVPSTKELIIDDQTKWNTTYQMLVAACELKEVFACLDTSDPVYKINPSMDDWKQVEILCTYLKLFYDAACILTGPTYPPANAFYHEVSKIQLELTHAAISQDPFVSNLTKPLKEKFDQYWRDCFLVLAIAVVMDPRFKMKLVEFSFSRIFGEDAGMWIKIVDDGIHELFLEYLTPNLSLSTSFMEAEDMGISRSEILQEVPPQELSPQEACFEEVSLHVVHPVDVPSQEQSQGAALKDVKPEVATPQVHPKEESPLDASSQVIHHHHNEVAQQEVHAQELPMQEMQTQELPVQEMNTQEMPVQELHSQEASVQEMHSQEASVQEMHTQEAPVQEMHTYEGPLQEVHTQVAPVQEVHTQEAPVSEVQEMHTQEELVQEVHTQEAPVQEMHTQEELVQEVHTQEAPVQEVHTQEAPVQEMHTQEAPVQQEVPLQDADTPQVPAEEVSAQETHTQAVSAEEMNAQEVVCTRDAHSRSTTSRNAS >Manes.04G111500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31608148:31613148:-1 gene:Manes.04G111500.v8.1 transcript:Manes.04G111500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDIDSPSTPIMAITPVILPDNNEAPISEEQPNKRRRKKSIVWEHFTIETVGAGCMRACCKQCKKSFAYITGSKLAGTSHLKRHIALGICPVSRQKNEASQVTPGVKTDPPKRRYRATPGLGSIPFDQDRCNHEIAKMIIQHEYPLHIVEHPGFIDFVRTLQPQFNMVSFNTIQGECVAIYLREKQNLLNLFSGIPGRVSLTLDLGISNFNIGYAFVTGHFIDDEWNLHRRVLNVVMLPFPDSDYAFNQAVVSCISDWNLESRLFTLTLDQSFSNETMVGNLRGLLSVRNPLVLNGQLLKGNCYARVLSRLAQDAIGAMGEVTRRIRESVKYVKTSDTHDEKFSELRQQLQVPSTKELIIDDQTKWNTTYQMLVAACELKEVFACLDTSDPVYKINPSMDDWKQVEILCTYLKLFYDAACILTGPTYPPANAFYHEVSKIQLELTHAAISQDPFVSNLTKPLKEKFDQYWRDCFLVLAIAVVMDPRFKMKLVEFSFSRIFGEDAGMWIKIVDDGIHELFLEYLTPNLSLSTSFMEAEDMGISRSEILQEVPPQELSPQEACFEEVSLHVVHPVDVPSQEQSQGAALKDVKPEVATPQVHPKEESPLDASSQVIHHHHNEVAQQEVHAQELPMQEMQTQELPVQEMNTQEMPVQELHSQEASVQEMHSQEASVQEMHTQEAPVQEMHTYEGPLQEVHTQVAPVQEVHTQEAPVSEVQEMHTQEELVQEMHTQEELVQEVHTQEAPVQEVHTQEAPVQEMHTQEAPVQQEVPLQDADTPQVPAEEVSAQETHTQAVSAEEMNAQEVVCTRDAHSRSTTSRNAS >Manes.03G032500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2736928:2738372:1 gene:Manes.03G032500.v8.1 transcript:Manes.03G032500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDFSELNGENRSKMMGLLHEACEKWGFFQVENHGIDKSLMEKVKQLVNFHYEENLEESFYASAIAKRLNNPGNTSDIDWESSYFIWHRPTSNISEIPNLPQEFCKWMDEYITQLIKLAEKISELISENLGLEKDHIKEVFKGDRGPSVGTKVAKYPKCPQPELVRGLREHTDAGGIILLLQDDQVPGLEFLKDGKWVEIPPSKNNRIFINTGDQLEVLSNGRYKSALHRVMADKNGSRLSIATFYNPAGDAIISPAPKLLYPNNYSFQDYLKLYATTKFLDKELRFESMKKMANGHHGLHV >Manes.03G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2736302:2738574:1 gene:Manes.03G032500.v8.1 transcript:Manes.03G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDFSELNGENRSKMMGLLHEACEKWGFFQVENHGIDKSLMEKVKQLVNFHYEENLEESFYASAIAKRLNNPGNTSDIDWESSYFIWHRPTSNISEIPNLPQEFCKWMDEYITQLIKLAEKISELISENLGLEKDHIKEVFKGDRGPSVGTKVAKYPKCPQPELVRGLREHTDAGGIILLLQDDQVPGLEFLKDGKWVEIPPSKNNRIFINTGDQLEVLSNGRYKSALHRVMADKNGSRLSIATFYNPAGDAIISPAPKLLYPNNYSFQDYLKLYATTKFLDKELRFESMKKMANGHHGLHV >Manes.18G077012.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7044529:7049234:-1 gene:Manes.18G077012.v8.1 transcript:Manes.18G077012.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGTNLSFCFLFIAFATILNPIFALKSCEFPAIFNFGDSNSDTGAMPAAFLPPNPPYGKTYVQMTPERYSDGRLIIDFTAQSLNHPYLSAYLNSMGTNFSHGANFAAGGSTIRIPTSIIPHGISSPFSLDVQLLQFLQFKLKSQLIRKQGGVFATLMPKEEIFPKALYTFDIGQNDIGNALLSSLTIEEVNASVPDVVNRFSENIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLIVAFE >Manes.18G077012.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7046328:7049234:-1 gene:Manes.18G077012.v8.1 transcript:Manes.18G077012.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGTNLSFCFLFIAFATILNPIFALKSCEFPAIFNFGDSNSDTGAMPAAFLPPNPPYGKTYVQMTPERYSDGRLIIDFTAQSLNHPYLSAYLNSMGTNFSHGANFAAGGSTIRIPTSIIPHGISSPFSLDVQLLQFLQFKLKSQLIRKQGGVFATLMPKEEIFPKALYTFDIGQNDIGNALLSSLTIEEVNASVPDVVNRFSENIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLSMSCMRFNNIAF >Manes.18G077012.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7046396:7049018:-1 gene:Manes.18G077012.v8.1 transcript:Manes.18G077012.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAFLPPNPPYGKTYVQMTPERYSDGRLIIDFTAQSLNHPYLSAYLNSMGTNFSHGANFAAGGSTIRIPTSIIPHGISSPFSLDVQLLQFLQFKLKSQLIRKQGGVFATLMPKEEIFPKALYTFDIGQNDIGNALLSSLTIEEVNASVPDVVNRFSENIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLSMSCMRFNNIAF >Manes.18G077012.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7046452:7048129:-1 gene:Manes.18G077012.v8.1 transcript:Manes.18G077012.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGTNLSFCFLFIAFATILNPIFALKSCEFPAIFNFGDSNSDTGAMPAAFLPPNPPYGKTYVQMTPERYSDGRLIIDFTAGGSTIRIPTSIIPHGISSPFSLDVQLLQFLQFKLKSQLIRKQGGVFATLMPKEEIFPKALYTFDIGQNDIGNALLSSLTIEEVNASVPDVVNRFSENIQSIYNLGGRTFWIHNTGPIGCLPYILANFPSEKDDAGCAKPYNELAQYFNAKLNESIVHLRKNYPWAAFIYVDVYSVKYSLYTTPIKYGFELPLMACCGYGGMYNYSNNAGCGAKIVVNGSEVVVGSCDRPWVRVNWDGIHYTEAANKFVFDQISSGAFSQPPVPLSMSCMRFNNIAF >Manes.15G027300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2155226:2159876:-1 gene:Manes.15G027300.v8.1 transcript:Manes.15G027300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFAAAPSGDVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPDFLKKFFPTVYNKTKDPTLNSNYCKYDNQGLQLFTSSLYLAGLIATFFASYTTRKLGRRPTMLIAGIFFIVGVVFNAAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIKGGWGWRLSLGLAGVPALLLTLGSLIVSETPNSLIERGRLEEGISVLRKIRGTNNIEPEFQELVEASRAAKEVKHPFRNLMKRRNRPQLVIAVALQIFQQCTGINAIMFYAPVLFDTVGFGNDASLYSAVITGAVNVISTVVSIYSVDKVGRRMLLLEAGIQMFVSQVIIAVILGIKVTDHSVNLHRGFAILVVIMVCTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCINLLFTFVIAQSFLSMLCHFKYGIFLFFSSWVFIMSFFVFFLVPETKNIPIEEMTERVWKQHWFWKRFMDDNEDVGIEINDQKSQKNGCAIGFNLSTSFEF >Manes.14G106800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8974417:8975075:1 gene:Manes.14G106800.v8.1 transcript:Manes.14G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGWKEAIRGGGRSGGGEALLLCWAALITLSILTAIVFSCAGGASKDKASATHTDTYGATCAAGCGAACGG >Manes.15G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2407612:2408761:1 gene:Manes.15G030600.v8.1 transcript:Manes.15G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSFSRMAPFLAIALIGLIYPAHGQINTPCTPSSLSSISPCMNFLTNSSGNGTSPSQNCCNSLKNLTSNGMGCLCLIVTGSVPFQIPINRSLAISLPRACNMPGVQVQCKASVSPTPAPGPASPRVSPSASPQASVVPEPTPSTLPPESSTTPSVPTVDTGAPTSSTGNRPVVNPPSSAAVSSYSFSPSLLLFAIGFVFVKYD >Manes.02G085400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6723559:6730730:1 gene:Manes.02G085400.v8.1 transcript:Manes.02G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYISTTGIKRVTISNSGGSVGKGSTVAAYRRISSRTVLSVVLLLGILLPFLFVRIAFLVLESATACNSTFDCTGWRIFGGSDSSLKLREELTRALLEAKDGDMYDNGMEDSTESFNDLVKEMTSKRPDVKAFAFRTKAMLSKMEHKVQLARQRESIYWHLASHGIPKSLHCLCLKLAEEYAVNAIARSRLPPPEYMSRLADPSFHHVVLITDNVLAVSVVISSTVQNSANPEKLVFHVITDKKTYSPMHAWFAINPIKSAVVEIKGLHQYDWTKEVNIGIKEMLETHRLIWSHYYTIVKEGNFLHEEERIRSLEALGPTSLSLLNHLRIYIPELFPDLNKIVFLDDDVVVQHDISSLWELDLNEKVVGAVVDSWCGENCCPGRRYKDYLNFSHPIVSSNFDPEHCAWLYGMNVIDLNSWRRANITTNYHRWLKHNLKSGLELWQPGVLPPALLAFEGQVHPIDPSWHLAGLGYRSPETHRDILETAAVLHFSGPAKPWLEIGLPEVQSLWNRHVNFSNEIIRKCRIIG >Manes.05G048800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4049101:4053150:1 gene:Manes.05G048800.v8.1 transcript:Manes.05G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQDPSDSELEPEKLSEIEEEDEEDEEGEEEEEPGTPIRNVAKQGISEYEKQRLLRIAENKARIEALGLHKMASSLMGSTQKSSQARKSIQRKWKSKVVEEDDDYRPDDDDDNDDTDDKDDAESDGDEDDEDFVHIQSSSKSHRNKVKDKVPKPKKKVTVQKNLSSADYIDEENEELMRAIALSLKDSAEDATLKERKRDANIQEDARRRKRKKSFNNRVQITEDELILHFFQFDEAGRGFLTKRDLQRVATAHDFTWTEGELADMIHCFDSDGDGKLSLDDFRKIVCRCNMIRGSENH >Manes.05G048800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4049118:4052263:1 gene:Manes.05G048800.v8.1 transcript:Manes.05G048800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQDPSDSELEPEKLSEIEEEDEEDEEGEEEEEPGTPIRNVAKQGISEYEKQRLLRIAENKARIEALGLHKMASSLMGSTQKSSQARKSIQRKWKSKVVEEDDDYRPDDDDDNDDTDDKDDAESDGDEDDEDFVHIQSSSKSHRNKVKDKVPKPKKKVTVQKNLSSADYIDEENEELMRAIALSLKDSAEDATLKERKRDANIQEDARRRKRKKSQFNNRVQITEDELILHFFQFDEAGRGFLTKRDLQRVATAHDFTWTEGELADMIHCFDSDGDGKLSLDDFRKIVCRCNMIRGSENH >Manes.08G094600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32028452:32032238:1 gene:Manes.08G094600.v8.1 transcript:Manes.08G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRLQIKIPIFFLWFSLLLPLSNLFFGIHASLASGVCLSDQRSLLMQLHSSLVFNGITSTKLVRWNFSADCCEWAGVTCDGGGLGRVIGLNLNDESISGGLENSNALFSLQYLQNLDLSFNNFNTSIPQSFANLSNLVSLNLSNAGFVGQIPVAISRMTTLVTLDLSSRLYYLGHRSLNLENPNLAMLVLNLNRLIELHLDGVNISSHGNEWCRALSSSLPNLQVLSLCNCFLSGPIDSSLVKLPSLSVIRLNGNNLSAPVPEFFANFSNLKILSLSDCSLQGKFPPDVFQVPTLEILDLSYNIELWGSLPDDLQKSSLKTLVLSNTNYSGSLPDSIGTLGNLSRIELAACKFNGLIPMSIAKLTELVYLDFSSNSFSGPIPSFSRSKQLVYIDFSHNQLSGEILSTHFEGLWNLLYIDLRFNSLSGSIPPSLFAIPSLQKIQLSFNQFTGQLPEFSGASSSSLDALDLSSNKLEGPIPISIFDIKRLHVLLLSSNKFNGTIQLDRIQKLRDLHGIDLSYNNLTVENASKSTSSSFPQISTLKLASCKLRMFPNLSNQSKLTLLDLSDNQITGVVPSWIWEVGSGSLLYLNLSHNLLEDLEQPHCAPNLAVLDLHYNRLKGQIPTFPPFATYVDYSSNNFTSVIPDNFGTNLSSMAIFLSLSNNSLTGVIPESICNATFLQVLDLSDNGLNGRIPSCLIERSKNLGVLNLRKNNFGGNIQDNFPANCHLKTLDMSRNLLEGKVPQSLINCNTLEVLDLGSNKFNDTFPCLLRNMSSLRVLVLRDNNFYGNISCTRTDVKWTNLQIVDIASNNFSGRLPNTILSSWKAMMGGGNETHDYLKFEVLRLSQLYYQDSITVISKGLEMNLVKILTIFTYIDVSHNKFEGLIPENLGQLNSLIVLNLSHNALVGQIPSALGSISQLESLDLSDNKLSGEIPQQLADLTFLSVLNLSYNKLVGKIPTSTQLQSFSASSFANNKGLFGPPLTKTCTNISTVSDFPPRHGAEIKWNYLSIELGYVFGLGIVVLPLMLWKRWRICYYKHIDGIIVKMFPKLCDRIRNSQRRRY >Manes.04G082600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28369231:28373649:-1 gene:Manes.04G082600.v8.1 transcript:Manes.04G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTEEANSEMMQRLHSSFGTTQSSSSMPKQPFLSMNQLDIPQLNQTQIRARHFAHFAQNFSSDNSKRVGIPPSHPNQIPPISPYSQIPVSRPASQQMASPNFTPGPTHSRSLSQPSSFFSLDSLPPLSPATFRDSSSTSASDPISVDVSMEERDGSSHSLLPPSPFSRSNTSRVGESLPPRKTHRRSNSDIPFGFTNVLQSSPPLVQLRGSGALERSVSGKENSGVGKPVQLVKKEWERGGDSNAEGMGERKSEGEVVDDLFSAYMNLDTIDALNSSGTDDKNGNENREDLDSRASGTKTNGGESSDNEAESSVNESGSSLPRGLSPSTEKREGIKRSAGGDIAPTTRHYRSVSMDSFMGKLNFGDESPKLPPSPGTRPGQLSPSNSLDGNAFSLEFGNGEFSGAELKKIMANEKLAEIAISDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDALNEALTAEVRRLKIATAEISGDSDPTKGMVQQLSINPQLFQLQQPQSSQLNMHQLQQQQQSSSSMNMHQLQQQQMQLTQPQQQNGNTSSNAESNQ >Manes.15G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5276341:5277453:-1 gene:Manes.15G069100.v8.1 transcript:Manes.15G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVLFSLVFVEMALILALLFRTPMRNLLIIGIDQLKRGRGPLVAKTVAATLLAVFSAILYSVMEIRKREMEAGVLNSTDEVLMAQRLLEASLMGFSLFLAMMTDRVHYYIRELYRLREELEQVKTIKTGTRK >Manes.02G222500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36819996:36822948:1 gene:Manes.02G222500.v8.1 transcript:Manes.02G222500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDKPLFLLLFYVIVSSMHFVVCSGLTDTEILLKFKGSLSNASALENWSDLTYPCTGQVPNWNGVICHKGSVTGLQLETMGLTGKIDVDSLAALSDLRSLSFMENGFDGPMPEFKKLKALRSLFIEKNQFSGEIPGDAFEGMTKLKKVWLAENKFTGPIPASLAALPKLIELRLEGNKFTGKLPNFPDIKFISFDVSNNELEGKIPATLSKIDPKSFSGNKGLCGQPLNECIIPKKPAPAATTPNIPTSKTKGESADKKPSPKNIIVVAIVLAVAIAAIIAAAFILLRRRKQTPESIEAPPPSKVQKKKESNQGQAGSSSEHLVNGKKKVEIAAPKLCFIREDGKRFDLHDLLKASAEILGSGCFGLSYKAALSSGIVVVVKRFKQMNSVGKEEFHEHMRRLGRLSHPNLLPLVAYYYRKEEKLMVTDHIAKGSLAAYLHGKKKQQSMDWPTRLKVIKGVAKGLSYLHKELPSIIAAHGHLKSSNVLLNQSMEPLLTDYGLIPVINQENARELMVAYRSPEYFQLGKITKKTDVWSLGILILEILTGKPPPNFQPQSKATKDEDLVSWVNSIPQEQWKDQVIDKAISSVKSSEGEMMKLLNIGMSCCEEDVEKRLDLKEAIERIDELN >Manes.14G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1580092:1585253:1 gene:Manes.14G004600.v8.1 transcript:Manes.14G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEATKMVFSRIQVLDPENASKIMGYLLLQDHGDKEMIRLAFGPESLLHNLILQAKTHLGLTSNTPTTLSTSRPNPLSISSSRITFNNGFDVTNPSPPSSNSWPILSPSSTTSSLSYASVVNGTTNAGSSSISSNMSLSNAFPHYNSSNTNNNSSNDLIDEYQLQDHFSFLNDSKTDDLLDPRLELAMSPTLGDAHLHRRSSSVPGMYFGSEYANYGYGWKPCLYFARGFCKNGTSCRFLHGESADGAAIVGSPSKLSEFEQCQEILRSKAAATQQQKLAAASQFMAGASFPYNKCVNFLLQQQNDTQRSAAAAALMMGDELHKFGRCRPERNDFWPLGLGGAMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKHQQQQQLERGEYSACSSPSGLDSREPFDLHLGTRMFQNTQEMLLRRKLEEQAELQQVIELHGRRLMNMQLLDFKNHNNHQYTHGLSTGSPIPSPTLSRNASNSQNLIFPTDGIIQEVPQENVGNPNAAASQNAVLDAEQEVKPASYRCDSNGKSNSSSSSSSTDEKANTEECHLHESLEHILPENLFTSPKKSAGDHTVFSTAALEVNEASTSSSNNNPINPTNSLNMTSLNSCFLQMPRISSGHGTIGL >Manes.15G034300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2691830:2694778:-1 gene:Manes.15G034300.v8.1 transcript:Manes.15G034300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFMSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIAVIDSTDRARISVMKDELFRLLGHEDLQHSVVLVFANKQDLKDAMTPAEISDALSLHSIKNHDWHIQACCALTGEGLYDGLGWIAQRVTGKASS >Manes.15G034300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2691830:2694778:-1 gene:Manes.15G034300.v8.1 transcript:Manes.15G034300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFMSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIAVIDSTDRARISVMKDELFRLLGHEDLQHSVVLVFANKQDLKDAMTPAEISDALSLHSIKNHDWHIQACCALTGEGLYDGLGWIAQRVTGKASS >Manes.15G034300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2691830:2694778:-1 gene:Manes.15G034300.v8.1 transcript:Manes.15G034300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFMSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIAVIDSTDRARISVMKDELFRLLGHEDLQHSVVLVFANKQDLKDAMTPAEISDALSLHSIKNHDWHIQACCALTGEGLYDGLGWIAQRVTGKASS >Manes.15G034300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2691830:2694778:-1 gene:Manes.15G034300.v8.1 transcript:Manes.15G034300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFMSKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIAVIDSTDRARISVMKDELFRLLGHEDLQHSVVLVFANKQDLKDAMTPAEISDALSLHSIKNHDWHIQACCALTGEGLYDGLGWIAQRVTGKASS >Manes.06G091500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22684858:22690550:-1 gene:Manes.06G091500.v8.1 transcript:Manes.06G091500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIVLLLFSPTGRPTLFQGEHSNFEDVFAKFAQLTPQERAKRKVESLEVLRKTFKKLDHDVNIQDFLGASSQTVEKLADQVRLLQAQLTEIQNRMSYWNNLDKVDSIEHLNQMENSLKESINQIHLQKENIGRCQLVPLECNSQFQNGMDLPTIINSMQEAQPLSWLPNDSNQHLIISNEQNFLPQRDMEGSTSTSLPGCSGYYDPGKHSEIGNLGPVDNMGQDGGALCNLSSNTCLSVQLDEQFSYHPFSSLNLPEVKKMKPEMQMNSQGDHSVYQVNGNFELTRPIYDNGHHTWGSASGPCSVAMFSENQYHQQAN >Manes.06G091500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22684858:22690550:-1 gene:Manes.06G091500.v8.1 transcript:Manes.06G091500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIVLLLFSPTGRPTLFQGEHSNFEDVFAKFAQLTPQERAKRKVESLEVLRKTFKKLDHDVNIQDFLGASSQTVEKLADQVRLLQAQLTEIQNRMSYWNNLDKVDSIEHLNQMENSLKESINQIHLQKENIGRCQLVPLECNSQFQNGMDLPTIINSMQEAQPLSWLPNDSNQHLIISNEQNFLPQRDMEGSTSTSLPGCSGYYDPGKHSEIGNLGPVDNMGQDGGALCNLSSNTCLSVQLDEQFSYHPFSSLNLPEVKKMKPEMQMNSQGDHSVYQVNGNFELTRPIYDNGHHTWGSASGPCSVAMFSENQYHQQAN >Manes.06G091500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22684858:22690550:-1 gene:Manes.06G091500.v8.1 transcript:Manes.06G091500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTSNRQVTYSKRRNGILKKAKELSILCDIDIVLLLFSPTGRPTLFQGEHSNFEDVFAKFAQLTPQERAKRKVESLEVLRKTFKKLDHDVNIQDFLGASSQTVEKLADQVRLLQAQLTEIQNRMSYWNNLDKVDSIEHLNQMENSLKESINQIHLQKENIGRCQLVPLECNSQFQNGMDLPTIINSMQEAQPLSWLPNDSNQHLIISNEQNFLPQRDMEGSTSTSLPGCSGYYDPGKHSEIGNLGPVDNMGQDGGALCNLSSNTCLSVQLDEQFSYHPFSSLNLPEVKKMKPEMQMNSQGDHSVYQVNGNFELTRPIYDNGHHTWGSASGPCSVAMFSENQYHQQAN >Manes.01G159200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34440329:34444777:-1 gene:Manes.01G159200.v8.1 transcript:Manes.01G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEASRPPAAAANGLSPLSETLWREKAITEFDGDVSARLTWKDLTVMVTLSNGETQNVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGSILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETIWYSARLRLPDKMPRSEKRALVERTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFESSDDPLDKITTAEAIRTLLDHYRTSQNYYAARQKVEEISKVKGTVIDSGGSQASFLMQAFTLTRRSFINMSRDFGYYWLRLVIYIVVTICIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERMNGHYGVTAFVISNTISAMPFLIMITFISGTICYFMVNLHPGFEHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYLSFHFWALQGQYQNDLRGLWFDNQTPDLPKIPGEYILENVFQIDVHRSKWVDLSVIFSMIVAYRIIFFIMIKISEDVTPWIRGYIARRRMQQKNGTQNTTVAPDGLTHSPSLRTYIANRPTGTGKR >Manes.16G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4815077:4816869:-1 gene:Manes.16G037700.v8.1 transcript:Manes.16G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILENKLIFMAVLVLGLWASQAWSRSLKDVSMAERHEIWMAKYGRVYKDKAEKERRFTIFKQNVDFIESFNNVGNRPYKLGVNAFADLTNEEFRDSRSGYRRSSIFKTSETSLFRYENITAVPTSIDWTKKGAVTPIKDQGQCGCCWAFSAVAAMEGITKLSTGKLISLSEQELVDCDTSGEDQGCEGGLMDDAFKFIIKNGGLATEANYPYQGVDGTCNNGKASNHAAKITGYEDVPANSEESLQKAVANQPVSVAIDASGSAFQFYSSGVFTGDCGTELDHGVTAVGYGTSDDGTKYWLVKNSWGTSWGEDGYIRMERDIDAKEGLCGIAMEPSYPTA >Manes.03G146400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27389996:27397421:1 gene:Manes.03G146400.v8.1 transcript:Manes.03G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGHHRQHGKQGAGGVKGMLAKLSIAVIVLLICTLSLLFSTTISGSSGSSGPSEINVEELWESANSDGWRPSSAPRSDWSPPPKESNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPDLDANSFWHDDSGFHGIYDVEHFIRSLRYDVRIVESIPEIRKNGKMKKIKAFQLRPPRDAPIDWYTTVALEKMKQHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRAQGHFMAIHLRFEKDMLAFAGCFDIFNPAEQKILKKYRKENFAEKRLVYSERRAIGKCPLTPEEVGLILRAMGFDNSSRIYLAAGELFGGERFMKPFRALFPRLENHSSVDPTEELATNTRGLLGSAVDYMVCLLADIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGRTAGFEEAVRHVMLKTNFGGPHKRVSPESFYTNSWPECFCQIDAQNPADKCPPENVMQILDSKLESEVNSESESLKKSNSTESER >Manes.03G146400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27390126:27397421:1 gene:Manes.03G146400.v8.1 transcript:Manes.03G146400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDSFSRKISLSTGNKRRLGHHRQHGKQGAGGVKGMLAKLSIAVIVLLICTLSLLFSTTISGSSGSSGPSEINVEELWESANSDGWRPSSAPRSDWSPPPKESNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPDLDANSFWHDDSGFHGIYDVEHFIRSLRYDVRIVESIPEIRKNGKMKKIKAFQLRPPRDAPIDWYTTVALEKMKQHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRAQGHFMAIHLRFEKDMLAFAGCFDIFNPAEQKILKKYRKENFAEKRLVYSERRAIGKCPLTPEEVGLILRAMGFDNSSRIYLAAGELFGGERFMKPFRALFPRLENHSSVDPTEELATNTRGLLGSAVDYMVCLLADIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGRTAGFEEAVRHVMLKTNFGGPHKRVSPESFYTNSWPECFCQIDAQNPADKCPPENVMQILDSKLESEVNSESESLKKSNSTESER >Manes.03G146400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27390126:27396314:1 gene:Manes.03G146400.v8.1 transcript:Manes.03G146400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDSFSRKISLSTGNKRRLGHHRQHGKQGAGGVKGMLAKLSIAVIVLLICTLSLLFSTTISGSSGSSGPSEINVEELWESANSDGWRPSSAPRSDWSPPPKESNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPDLDANSFWHDDSGFHGIYDVEHFIRSLRYDVRIVESIPEIRKNGKMKKIKAFQLRPPRDAPIDWYTTVALEKMKQHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRAQGHFMAIHLRFEKDMLAFAGCFDIFNPAEQKILKKYRKENFAEKRLVYSERRAIGKCPLTPEEVGLILRAMGFDNSSRIYLAAGELFGGERFMKPFRALFPRLENHSSVDPTEELATNTRGLLGSAVDYMVCLLADIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGRTAGFEEAVRHVMLKTNFGGPHKRVSPESFYTNSWPECFCQIDAQNPADKCPPENVMQILDSKLESEVNSESESLKKSNSTESER >Manes.03G146400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27389996:27397479:1 gene:Manes.03G146400.v8.1 transcript:Manes.03G146400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGHHRQHGKQGAGGVKGMLAKLSIAVIVLLICTLSLLFSTTISGSSGSSGPSEINVEELWESANSDGWRPSSAPRSDWSPPPKESNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPDLDANSFWHDDSGFHGIYDVEHFIRSLRYDVRIVESIPEIRKNGKMKKIKAFQLRPPRDAPIDWYTTVALEKMKQHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRAQGHFMAIHLRFEKDMLAFAGCFDIFNPAEQKILKKYRKENFAEKRLVYSERRAIGKCPLTPEEVGLILRAMGFDNSSRIYLAAGELFGGERFMKPFRALFPRLENHSSVDPTEELATNTRGLLGSAVDYMVCLLADIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGRTAGFEEAVRHVMLKTNFGGPHKRVSPESFYTNSWPECFCQIDAQNPADKCPPENVMQILDSKLESEVNSESESLKKSNSTESER >Manes.02G221260.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:21794316:21794980:-1 gene:Manes.02G221260.v8.1 transcript:Manes.02G221260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSGSMESKNGGYMNVNCFCGKRVGVRISKSASNLNKLYFYCRDNKCGSFLGWCITTNVNSPTSNSIGDGLEVFKANLSRTIAKTKEEFMKMNEETKKLHVKLQKVKIILNQMKNCMIIMLLLLIVIVVKAL >Manes.14G069000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5739034:5749193:-1 gene:Manes.14G069000.v8.1 transcript:Manes.14G069000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRAGEERKTGRHMWKGLTHSNSVVACDVSSSSSSVFPANSFCKDGRRINVGDCALFKPPQDSPPFIGIIRWATTGEEDELKLGVNWLYRPSELKLGKGILLEAEPNEIFYSFHKDEIPAASLLHPCRVAFLPKGAELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDQLLCKTHIEMHATVSQGGHSPKPTNGPTSTSQLKPSSDSVQNSVSSTPSQVKGKKRERIDQGSEPVKRERCSKLDYGDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQVKGLPVFDEWLQEVHKGKIGDGSSHKDSDKSVEEFLFILLGALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPPDVSHGGNRHSGTSSEVAIKSSATQLSASKNAPGKLLQVETATKSASGSPGSMKSVPSSASAGNCLNEGQTHNIGVSSASDHPIVALREEKSSSSSQSHNNSQSCSSDHAKTGGVSGKEDARSSTAVSMTANKVIGASSRHRKSINGIQGSTLSGTQRETSSSRNSSLHRSQAAEKLSQSSLTFEKAVDVPLTEGNNHKLVVKIPNRGCSPALCASGGSLEDPSVMNSRASSPLLSEKHEQFDRNLKEKNDCCRASIMPDVNNESWQSNDFKEVLTGSDEGDGSPATVPEEENCRTVEDTRKLVDVPKPASSSSGNEHKYGKLHEASFSSINALIESCEKYSEVNASMSAGDDAGMNLLASVAAGEISKSDMGSPDNSPQRNITAVEHSCTSIDSRLKSSSGDDMRQTVDGGDDEHEKRGTDTSLTKSTEDKIVSLSEEKPAEVRNGHSNSSNMDVQKVTEPCLQNNVKSEETLATSVTLLSSSSVDKTTNSDKETWEEKADDISYTKDKLHSCIQSESRVDVSRLEGRTESVEGSLAGPSMEIDGDNRKNMNKEVNLTVKAEQKPPAVMCSEFAEGTVGDVHHPTGFGKDNFSETAVWEVKTEKAGERDGRSQPAERGNNTQENNFVSNVSDRKVENLEGSVEDNKPKEQLSSAQALSNASPTFVQKPEQEAECRRLKLTGTDADEAEESTSGAADAASLCAVRVANIEAKLEFDLNEGFNTDDGRYGEPNNSRTTEYSSGIQLVSPLPFPVSSSSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGSAATSAFRPAEPRKTLEMPVGTINISFPDAAVVKPSRPPLDFDLNVPDERVLEDLASRGSARGSVAVFDLSNNHNPAHDQLMGSAAVRSSGGLDLDLNRVEDSSDMGNHFRSNTCRMDGRLQAVKSSSVAVLNGESSIRRDFDLNDGPLADEGSAEPSPFGQTTRNNASSQPSVSGLRLNNTEMGNFSSWLPQGNPYAAIAIQSMLPDRGEQSFAMVTPGGPQRMLGPPTGSTPFNPDVYRGPVLSSAPAVPFPAPPFQYPVFPFGTNFPLPSATLSVGSTTYLDSSSGGRLCFPAVHSQVLAPAGAVPSHYPRPFVVSLQDSGNISGSESSRKWGRQGLDLNAGPLGPDLEGRDETGSLASRQLSVASSQAIAEEHSRMFQVASSGILKRKEPEGWEGYKQSSWQ >Manes.14G069000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5739330:5749085:-1 gene:Manes.14G069000.v8.1 transcript:Manes.14G069000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRAGEERKTGRHMWKGLTHSNSVVACDVSSSSSSVFPANSFCKDGRRINVGDCALFKPPQDSPPFIGIIRWATTGEEDELKLGVNWLYRPSELKLGKGILLEAEPNEIFYSFHKDEIPAASLLHPCRVAFLPKGAELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDQLLCKTHIEMHATVSQGGHSPKPTNGPTSTSQLKPSSDSVQNSVSSTPSQVKGKKRERIDQGSEPVKRERCSKLDYGDSGHCRPESIWKTEIAKFTEKGGLVDSEGVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQVKGLPVFDEWLQEVHKGKIGDGSSHKDSDKSVEEFLFILLGALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVSWAGRPRPPDVSHGGNRHSGTSSEVAIKSSATQLSASKNAPGKLLQVETATKSASGSPGSMKSVPSSASAGNCLNEGQTHNIGVSSASDHPIVALREEKSSSSSQSHNNSQSCSSDHAKTGGVSGKEDARSSTAVSMTANKVIGASSRHRKSINGIQGSTLSGTQRETSSSRNSSLHRSQAAEKLSQSSLTFEKAVDVPLTEGNNHKLVVKIPNRGCSPALCASGGSLEDPSVMNSRASSPLLSEKHEQFDRNLKEKNDCCRASIMPDVNNESWQSNDFKEVLTGSDEGDGSPATVPEEENCRTVEDTRKLVDVPKPASSSSGNEHKYGKLHEASFSSINALIESCEKYSEVNASMSAGDDAGMNLLASVAAGEISKSDMGSPDNSPQRNITAVEHSCTSIDSRLKSSSGDDMRQTVDGGDDEHEKRGTDTSLTKSTEDKIVSLSEEKPAEVRNGHSNSSNMDVQKVTEPCLQNNVKSEETLATSVTLLSSSSVDKTTNSDKETWEEKADDISYTKDKLHSCIQSESRVDVSRLEGRTESVEGSLAGPSMEIDGDNRKNMNKEVNLTVKAEQKPPAVMCSEFAEGTVGDVHHPTGFGKDNFSETAVWEVKTEKAGERDGRSQPAERGNNTQENNFVSNVSDRKVENLEGSVEDNKPKEQLSSAQALSNASPTFVQKPEQEAECRRLKLTGTDADEAEESTSGAADAASLCAVRVANIEAKLEFDLNEGFNTDDGRYGEPNNSRTTEYSSGIQLVSPLPFPVSSSSSGLPASITVASAAKRPFIPPEDLLKNRGELGWKGSAATSAFRPAEPRKTLEMPVGTINISFPDAAVVKPSRPPLDFDLNVPDERVLEDLASRGSARGSVAVFDLSNNHNPAHDQLMGSAAVRSSGGLDLDLNRVEDSSDMGNHFRSNTCRMDGRLQAVKSSSVAVLNGESSIRRDFDLNDGPLADEGSAEPSPFGQTTRNNASSQPSVSGLRLNNTEMGNFSSWLPQGNPYAAIAIQSMLPDRGEQSFAMVTPGGPQRMLGPPTGSTPFNPDVYRGPVLSSAPAVPFPAPPFQYPVFPFGTNFPLPSATLSVGSTTYLDSSSGGRLCFPAVHSQVLAPAGAVPSHYPRPFVVSLQDSGNISGSESSRKWGRQGLDLNAGPLGPDLEGRDETGSLASRQLSVASSQAIAEEHSRMFQVASSGILKRKEPEGWEGYKQSSWQ >Manes.03G077400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13750171:13755927:1 gene:Manes.03G077400.v8.1 transcript:Manes.03G077400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSAILQQLTEIIHREVEQGVKLLGNVEREVEQLTSLFEAIQAVLEDAERRQLNEVTVKNWLAKLKGVAYDMDDILDEWSTAIWQMESTEKSPAARRNKVSSFLPSCFHFNRVMFRYDIALKIKEVRSRMDAIAQEAAFCNFRHSKEHGHKHIIEPERLSTSFVDVSRVCGREQDKQIILRKLLHKVNQENEGLQVISVVGMGGVGKTTLAQLVYNDDEVKRQFKKRIWVCVSEFFDQIRIAKAILETLTGVSPNLNELQSLLHDISKFNWEMKFLLVLDDVWTQDGWEPLKLSLECGAPAGSRILVTSCKETVAKKMESTYTHHLRELAFDECWLLFSKVAFYGRGKDECENLTEMGKKLANKCKGLPFAAKTLGDLMQLKRTRDEWRNVLASELWELEEVERGLFPSLLLCYYDLPAAVRRCFLYCSIFPKDFEMEKDELIKLWMAHGYLGGTEMELVGKGYFENLVVHSFFQDIKETEEMIDFEMRSVTTFKIHDIVHDFVQSLTKNECFRLVVLGPEKPRIGSSYEKALHLNLILAEGLPFPMSIYKAKNLRSLSVKTRSTLICAELPDLFLHLTCLRSLDLSESSIAEIPSEVGKLIHLRYLNLSQNVVLRVLPEKLCNLFNLQCLNLTACRSLTKLPQGMGKLTNLRHLQMIGSCVSFMPIGLERLTSLRTLSYFIISIGEDETKTANLGELKNLNQLQGNLLIKNLGNVVDAGEALNADLKNKKNLGGLFLDFSREEIGPPLNYDDLIKALQAPSDLEYLRIGAYKGMSLPNWMVSLSKLKELEIVDCSCEFLPSLGRLSSLAKLTMEIRGMRRFDDGFLGIPKITSNRELKKDEGEMSSITAFPKLKEFTICYMKELKKWDGRERRIGENDASIIMPQLEYLCILDCPLLKALPDYILTAPLKDLIISKCPILEKCYQKGTDQYSRKISHIPNINIY >Manes.03G077400.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13750171:13755939:1 gene:Manes.03G077400.v8.1 transcript:Manes.03G077400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSAILQQLTEIIHREVEQGVKLLGNVEREVEQLTSLFEAIQAVLEDAERRQLNEVTVKNWLAKLKGVAYDMDDILDEWSTAIWQMESTEKSPAARRNKVSSFLPSCFHFNRVMFRYDIALKIKEVRSRMDAIAQEAAFCNFRHSKEHGHKHIIEPERLSTSFVDVSRVCGREQDKQIILRKLLHKVNQENEGLQVISVVGMGGVGKTTLAQLVYNDDEVKRQFKKRIWVCVSEFFDQIRIAKAILETLTGVSPNLNELQSLLHDISKFNWEMKFLLVLDDVWTQDGWEPLKLSLECGAPAGSRILVTSCKETVAKKMESTYTHHLRELAFDECWLLFSKVAFYGRGKDECENLTEMGKKLANKCKGLPFAAKTLGDLMQLKRTRDEWRNVLASELWELEEVERGLFPSLLLCYYDLPAAVRRCFLYCSIFPKDFEMEKDELIKLWMAHGYLGGTEMELVGKGYFENLVVHSFFQDIKETEEMIDFEMRSVTTFKIHDIVHDFVQSLTKNECFRLVVLGPEKPRIGSSYEKALHLNLILAEGLPFPMSIYKAKNLRSLSVKTRSTLICAELPDLFLHLTCLRSLDLSESSIAEIPSEVGKLIHLRYLNLSQNVVLRVLPEKLCNLFNLQCLNLTACRSLTKLPQGMGKLTNLRHLQMIGSCVSFMPIGLERLTSLRTLSYFIISIGEDETKTANLGELKNLNQLQGNLLIKNLGNVVDAGEALNADLKNKKNLGGLFLDFSREEIGPPLNYDDLIKALQAPSDLEYLRIGAYKGMSLPNWMVSLSKLKELEIVDCSCEFLPSLGRLSSLAKLTMEIRGMRRFDDGFLGIPKITSNRELKKDEGEMSSITAFPKLKEFTICYMKELKKWDGRERRIGENDASIIMPQLEYLCILDCPLLKALPDYILTAPLKDLIISKCPILEKCYQKGTDQYSRKISHIPNINIY >Manes.03G077400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13750171:13755967:1 gene:Manes.03G077400.v8.1 transcript:Manes.03G077400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSAILQQLTEIIHREVEQGVKLLGNVEREVEQLTSLFEAIQAVLEDAERRQLNEVTVKNWLAKLKGVAYDMDDILDEWSTAIWQMESTEKSPAARRNKVSSFLPSCFHFNRVMFRYDIALKIKEVRSRMDAIAQEAAFCNFRHSKEHGHKHIIEPERLSTSFVDVSRVCGREQDKQIILRKLLHKVNQENEGLQVISVVGMGGVGKTTLAQLVYNDDEVKRQFKKRIWVCVSEFFDQIRIAKAILETLTGVSPNLNELQSLLHDISKFNWEMKFLLVLDDVWTQDGWEPLKLSLECGAPAGSRILVTSCKETVAKKMESTYTHHLRELAFDECWLLFSKVAFYGRGKDECENLTEMGKKLANKCKGLPFAAKTLGDLMQLKRTRDEWRNVLASELWELEEVERGLFPSLLLCYYDLPAAVRRCFLYCSIFPKDFEMEKDELIKLWMAHGYLGGTEMELVGKGYFENLVVHSFFQDIKETEEMIDFEMRSVTTFKIHDIVHDFVQSLTKNECFRLVVLGPEKPRIGSSYEKALHLNLILAEGLPFPMSIYKAKNLRSLSVKTRSTLICAELPDLFLHLTCLRSLDLSESSIAEIPSEVGKLIHLRYLNLSQNVVLRVLPEKLCNLFNLQCLNLTACRSLTKLPQGMGKLTNLRHLQMIGSCVSFMPIGLERLTSLRTLSYFIISIGEDETKTANLGELKNLNQLQGNLLIKNLGNVVDAGEALNADLKNKKNLGGLFLDFSREEIGPPLNYDDLIKALQAPSDLEYLRIGAYKGMSLPNWMVSLSKLKELEIVDCSCEFLPSLGRLSSLAKLTMEIRGMRRFDDGFLGIPKITSNRELKKDEGEMSSITAFPKLKEFTICYMKELKKWDGRERRIGENDASIIMPQLEYLCILDCPLLKALPDYILTAPLKDLIISKCPILEKCYQKGTDQYSRKISHIPNINIY >Manes.03G077400.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13750124:13755996:1 gene:Manes.03G077400.v8.1 transcript:Manes.03G077400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSAILQQLTEIIHREVEQGVKLLGNVEREVEQLTSLFEAIQAVLEDAERRQLNEVTVKNWLAKLKGVAYDMDDILDEWSTAIWQMESTEKSPAARRNKVSSFLPSCFHFNRVMFRYDIALKIKEVRSRMDAIAQEAAFCNFRHSKEHGHKHIIEPERLSTSFVDVSRVCGREQDKQIILRKLLHKVNQENEGLQVISVVGMGGVGKTTLAQLVYNDDEVKRQFKKRIWVCVSEFFDQIRIAKAILETLTGVSPNLNELQSLLHDISKFNWEMKFLLVLDDVWTQDGWEPLKLSLECGAPAGSRILVTSCKETVAKKMESTYTHHLRELAFDECWLLFSKVAFYGRGKDECENLTEMGKKLANKCKGLPFAAKTLGDLMQLKRTRDEWRNVLASELWELEEVERGLFPSLLLCYYDLPAAVRRCFLYCSIFPKDFEMEKDELIKLWMAHGYLGGTEMELVGKGYFENLVVHSFFQDIKETEEMIDFEMRSVTTFKIHDIVHDFVQSLTKNECFRLVVLGPEKPRIGSSYEKALHLNLILAEGLPFPMSIYKAKNLRSLSVKTRSTLICAELPDLFLHLTCLRSLDLSESSIAEIPSEVGKLIHLRYLNLSQNVVLRVLPEKLCNLFNLQCLNLTACRSLTKLPQGMGKLTNLRHLQMIGSCVSFMPIGLERLTSLRTLSYFIISIGEDETKTANLGELKNLNQLQGNLLIKNLGNVVDAGEALNADLKNKKNLGGLFLDFSREEIGPPLNYDDLIKALQAPSDLEYLRIGAYKGMSLPNWMVSLSKLKELEIVDCSCEFLPSLGRLSSLAKLTMEIRGMRRFDDGFLGIPKITSNRELKKDEGEMSSITAFPKLKEFTICYMKELKKWDGRERRIGENDASIIMPQLEYLCILDCPLLKALPDYILTAPLKDLIISKCPILEKCYQKGTDQYSRKISHIPNINIY >Manes.03G077400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13750152:13755966:1 gene:Manes.03G077400.v8.1 transcript:Manes.03G077400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSAILQQLTEIIHREVEQGVKLLGNVEREVEQLTSLFEAIQAVLEDAERRQLNEVTVKNWLAKLKGVAYDMDDILDEWSTAIWQMESTEKSPAARRNKVSSFLPSCFHFNRVMFRYDIALKIKEVRSRMDAIAQEAAFCNFRHSKEHGHKHIIEPERLSTSFVDVSRVCGREQDKQIILRKLLHKVNQENEGLQVISVVGMGGVGKTTLAQLVYNDDEVKRQFKKRIWVCVSEFFDQIRIAKAILETLTGVSPNLNELQSLLHDISKFNWEMKFLLVLDDVWTQDGWEPLKLSLECGAPAGSRILVTSCKETVAKKMESTYTHHLRELAFDECWLLFSKVAFYGRGKDECENLTEMGKKLANKCKGLPFAAKTLGDLMQLKRTRDEWRNVLASELWELEEVERGLFPSLLLCYYDLPAAVRRCFLYCSIFPKDFEMEKDELIKLWMAHGYLGGTEMELVGKGYFENLVVHSFFQDIKETEEMIDFEMRSVTTFKIHDIVHDFVQSLTKNECFRLVVLGPEKPRIGSSYEKALHLNLILAEGLPFPMSIYKAKNLRSLSVKTRSTLICAELPDLFLHLTCLRSLDLSESSIAEIPSEVGKLIHLRYLNLSQNVVLRVLPEKLCNLFNLQCLNLTACRSLTKLPQGMGKLTNLRHLQMIGSCVSFMPIGLERLTSLRTLSYFIISIGEDETKTANLGELKNLNQLQGNLLIKNLGNVVDAGEALNADLKNKKNLGGLFLDFSREEIGPPLNYDDLIKALQAPSDLEYLRIGAYKGMSLPNWMVSLSKLKELEIVDCSCEFLPSLGRLSSLAKLTMEIRGMRRFDDGFLGIPKITSNRELKKDEGEMSSITAFPKLKEFTICYMKELKKWDGRERRIGENDASIIMPQLEYLCILDCPLLKALPDYILTAPLKDLIISKCPILEKCYQKGTDQYSRKISHIPNINIY >Manes.03G077400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13750125:13755996:1 gene:Manes.03G077400.v8.1 transcript:Manes.03G077400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSAILQQLTEIIHREVEQGVKLLGNVEREVEQLTSLFEAIQAVLEDAERRQLNEVTVKNWLAKLKGVAYDMDDILDEWSTAIWQMESTEKSPAARRNKVSSFLPSCFHFNRVMFRYDIALKIKEVRSRMDAIAQEAAFCNFRHSKEHGHKHIIEPERLSTSFVDVSRVCGREQDKQIILRKLLHKVNQENEGLQVISVVGMGGVGKTTLAQLVYNDDEVKRQFKKRIWVCVSEFFDQIRIAKAILETLTGVSPNLNELQSLLHDISKFNWEMKFLLVLDDVWTQDGWEPLKLSLECGAPAGSRILVTSCKETVAKKMESTYTHHLRELAFDECWLLFSKVAFYGRGKDECENLTEMGKKLANKCKGLPFAAKTLGDLMQLKRTRDEWRNVLASELWELEEVERGLFPSLLLCYYDLPAAVRRCFLYCSIFPKDFEMEKDELIKLWMAHGYLGGTEMELVGKGYFENLVVHSFFQDIKETEEMIDFEMRSVTTFKIHDIVHDFVQSLTKNECFRLVVLGPEKPRIGSSYEKALHLNLILAEGLPFPMSIYKAKNLRSLSVKTRSTLICAELPDLFLHLTCLRSLDLSESSIAEIPSEVGKLIHLRYLNLSQNVVLRVLPEKLCNLFNLQCLNLTACRSLTKLPQGMGKLTNLRHLQMIGSCVSFMPIGLERLTSLRTLSYFIISIGEDETKTANLGELKNLNQLQGNLLIKNLGNVVDAGEALNADLKNKKNLGGLFLDFSREEIGPPLNYDDLIKALQAPSDLEYLRIGAYKGMSLPNWMVSLSKLKELEIVDCSCEFLPSLGRLSSLAKLTMEIRGMRRFDDGFLGIPKITSNRELKKDEGEMSSITAFPKLKEFTICYMKELKKWDGRERRIGENDASIIMPQLEYLCILDCPLLKALPDYILTAPLKDLIISKCPILEKCYQKGTDQYSRKISHIPNINIY >Manes.03G077400.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13750170:13755928:1 gene:Manes.03G077400.v8.1 transcript:Manes.03G077400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSAILQQLTEIIHREVEQGVKLLGNVEREVEQLTSLFEAIQAVLEDAERRQLNEVTVKNWLAKLKGVAYDMDDILDEWSTAIWQMESTEKSPAARRNKVSSFLPSCFHFNRVMFRYDIALKIKEVRSRMDAIAQEAAFCNFRHSKEHGHKHIIEPERLSTSFVDVSRVCGREQDKQIILRKLLHKVNQENEGLQVISVVGMGGVGKTTLAQLVYNDDEVKRQFKKRIWVCVSEFFDQIRIAKAILETLTGVSPNLNELQSLLHDISKFNWEMKFLLVLDDVWTQDGWEPLKLSLECGAPAGSRILVTSCKETVAKKMESTYTHHLRELAFDECWLLFSKVAFYGRGKDECENLTEMGKKLANKCKGLPFAAKTLGDLMQLKRTRDEWRNVLASELWELEEVERGLFPSLLLCYYDLPAAVRRCFLYCSIFPKDFEMEKDELIKLWMAHGYLGGTEMELVGKGYFENLVVHSFFQDIKETEEMIDFEMRSVTTFKIHDIVHDFVQSLTKNECFRLVVLGPEKPRIGSSYEKALHLNLILAEGLPFPMSIYKAKNLRSLSVKTRSTLICAELPDLFLHLTCLRSLDLSESSIAEIPSEVGKLIHLRYLNLSQNVVLRVLPEKLCNLFNLQCLNLTACRSLTKLPQGMGKLTNLRHLQMIGSCVSFMPIGLERLTSLRTLSYFIISIGEDETKTANLGELKNLNQLQGNLLIKNLGNVVDAGEALNADLKNKKNLGGLFLDFSREEIGPPLNYDDLIKALQAPSDLEYLRIGAYKGMSLPNWMVSLSKLKELEIVDCSCEFLPSLGRLSSLAKLTMEIRGMRRFDDGFLGIPKITSNRELKKDEGEMSSITAFPKLKEFTICYMKELKKWDGRERRIGENDASIIMPQLEYLCILDCPLLKALPDYILTAPLKDLIISKCPILEKCYQKGTDQYSRKISHIPNINIY >Manes.03G077400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:13750152:13755967:1 gene:Manes.03G077400.v8.1 transcript:Manes.03G077400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALVSAILQQLTEIIHREVEQGVKLLGNVEREVEQLTSLFEAIQAVLEDAERRQLNEVTVKNWLAKLKGVAYDMDDILDEWSTAIWQMESTEKSPAARRNKVSSFLPSCFHFNRVMFRYDIALKIKEVRSRMDAIAQEAAFCNFRHSKEHGHKHIIEPERLSTSFVDVSRVCGREQDKQIILRKLLHKVNQENEGLQVISVVGMGGVGKTTLAQLVYNDDEVKRQFKKRIWVCVSEFFDQIRIAKAILETLTGVSPNLNELQSLLHDISKFNWEMKFLLVLDDVWTQDGWEPLKLSLECGAPAGSRILVTSCKETVAKKMESTYTHHLRELAFDECWLLFSKVAFYGRGKDECENLTEMGKKLANKCKGLPFAAKTLGDLMQLKRTRDEWRNVLASELWELEEVERGLFPSLLLCYYDLPAAVRRCFLYCSIFPKDFEMEKDELIKLWMAHGYLGGTEMELVGKGYFENLVVHSFFQDIKETEEMIDFEMRSVTTFKIHDIVHDFVQSLTKNECFRLVVLGPEKPRIGSSYEKALHLNLILAEGLPFPMSIYKAKNLRSLSVKTRSTLICAELPDLFLHLTCLRSLDLSESSIAEIPSEVGKLIHLRYLNLSQNVVLRVLPEKLCNLFNLQCLNLTACRSLTKLPQGMGKLTNLRHLQMIGSCVSFMPIGLERLTSLRTLSYFIISIGEDETKTANLGELKNLNQLQGNLLIKNLGNVVDAGEALNADLKNKKNLGGLFLDFSREEIGPPLNYDDLIKALQAPSDLEYLRIGAYKGMSLPNWMVSLSKLKELEIVDCSCEFLPSLGRLSSLAKLTMEIRGMRRFDDGFLGIPKITSNRELKKDEGEMSSITAFPKLKEFTICYMKELKKWDGRERRIGENDASIIMPQLEYLCILDCPLLKALPDYILTAPLKDLIISKCPILEKCYQKGTDQYSRKISHIPNINIY >Manes.09G155100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35117030:35120047:-1 gene:Manes.09G155100.v8.1 transcript:Manes.09G155100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAQKHDHRSGLDTQHIFPAKLRWRMAVAAINFTRLLSLSKKASCDQTELLPSVSHVAILLDHDNIRTDDNVPLTITDQNILLQMLKEKNHQCLNQIGGVAQVAVILGSDVMKGIKGSEADVAYRRDAFGANKFKKPSAKSFLSFVLEALKETATIILLACAILSMAFDIKHHGLKDGWYDGGSNIVATFLVVVISAVSNFKQSRQFLKLSDEGSDIKVQVVRDGRYQNISIFDVVVGDLVALKIGDQIPADGLFSDGYSLKVDESSMTGESDHVEVKDTSNPFLFSGTKVADGFGLMIVTSVGMSTAWGDMISSISRNLEERTPLQARLCKLKSDIGKVGWVAAILVLVVLVFRYFTGTIRDDHGRREYNGSETKINDVLNSVVDIIATALTIVDVAIPEGLPLAVTLTLAYSMKQMMADNTLLRKLSALETMGSATTICTLTMEQIQVSEFWLGKELSEHRVSVEIEPEFYLLLEEGVAFNTTATVYKPHPTSIPEISGNPTDKAILSWAVLELGMNINETKQKCEIMYVEAFCSEKKRSGVMIRKSNERAIHTHWKGAAEKILAMCSTYYVKGGELDMNEEERMQFRAIIHSMAAKSLRCIAFAHKKIIEENGQVPEKLEETGFTLLGLIGLNDPCRPGTRTAVESCKQAKVSVKMITGDNPHVARAIAIECGILNPEEDNEKAVVEGVGFRNYSAEERMARIEDIRVMARSSPSDKLLMVECLKEKGHVVAVTGDGMNDAAALKVADVGLCMGTQGTEVAKESSDIIILDDNFTSVATVLRWGRCIHNNIKSFIQFQLTVNVVALVVNFVAAISSGKVPLTAVQLLWVNLIVDTMGALAFATEQPTDELMTKSPPVRSDPLITKIMWRNLIAQALYQVVILMILQFKGATIFDVDEKVKSTLIFNTFVLCQVFNEFNARKLEKWNIINGIHKNKLFLAIIGITVVLQVMMVELLKTFARTERLSWGQWGFCIGIAAASWPISCAVKCIPVLEFVFNAAK >Manes.13G150700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36599915:36604365:1 gene:Manes.13G150700.v8.1 transcript:Manes.13G150700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKNRLMNMRKNANSHSGRVFSDRKWLFPFFASLLVSLTLFLSAIFGLFTSPYVGDQLPFDIISFSRSEDASGYFVESDLNKSSFNTSGYSKLEAPRLAYLISGTKGDSRRMMRTLQAVYHPRNQYILHLDLEAPPRERLELGISVKNDPAFLEVGNVRVMAQSNLVTYKGPTMIACTLQAIAIMLRESLEWDWFINLSASDYPLMTQDGSAWLMLSRSFVEYSIMGWNNLPRTLLMYYTNFISSPEGYFHTLICNTEEFRNTAIGHDLHYIAWDTPPKQHPISLTMKDFDKMVKSKAPFARKFARDDPVLDKIDKELLGRTSRFAPGAWCIGSSDDGADPCSVHGNYSVFRPGPGAERLQQLFQTLLSDDFRKKQCS >Manes.13G150700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36599858:36604365:1 gene:Manes.13G150700.v8.1 transcript:Manes.13G150700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKNRLMNMRKNANSHSGRVFSDRKWLFPFFASLLVSLTLFLSAIFGLFTSPYVGDQLPFDIISFSRSEDASGYFVESDLNKSSFNTSGYSKLEAPRLAYLISGTKGDSRRMMRTLQAVYHPRNQYILHLDLEAPPRERLELGISVKNDPAFLEVGNVRVMAQSNLVTYKGPTMIACTLQAIAIMLRESLEWDWFINLSASDYPLMTQDDLLHVFSNLSRNLNFIEHMKITGWKLNQRAKPIIIDPGLYLSKKSDLALTSQRRSLPTSFKLFTGSAWLMLSRSFVEYSIMGWNNLPRTLLMYYTNFISSPEGYFHTLICNTEEFRNTAIGHDLHYIAWDTPPKQHPISLTMKDFDKMVKSKAPFARKFARDDPVLDKIDKELLGRTSRFAPGAWCIGSSDDGADPCSVHGNYSVFRPGPGAERLQQLFQTLLSDDFRKKQCS >Manes.13G150700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36599858:36604365:1 gene:Manes.13G150700.v8.1 transcript:Manes.13G150700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKNRLMNMRKNANSHSGRVFSDRKWLFPFFASLLVSLTLFLSAIFGLFTSPYVGDQLPFDIISFSRSEDASGYFVESDLNKSSFNTSGYSKLEAPRLAYLISGTKGDSRRMMRTLQAVYHPRNQYILHLDLEAPPRERLELGISVKNDPAFLEVGNVRVMAQSNLVTYKGPTMIACTLQAIAIMLRESLEWDWFINLSASDYPLMTQDDLLHVFSNLSRNLNFIEHMKITGWKLNQRAKPIIIDPGLYLSKKSDLALTSQRRSLPTSFKLFTGSAWLMLSRSFVEYSIMGWNNLPRTLLMYYTNFISSPEGYFHTLICNTEEFRNTAIGHDLHYIAWDTPPKQHPISLTMKDFDKMVKSKAPFARKFARDDPVLDKIDKELLGRTSRFAPGAWCIGSSDDGADPCSVHGNYSVFRPGPGAERLQQLFQTLLSDDFRKKQCS >Manes.17G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30686469:30687246:1 gene:Manes.17G100100.v8.1 transcript:Manes.17G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTHAFFLVFLLISIVNLNYARKVPEDYWKVVMKDQPIPEAIKNLFVEEDEEAAASANKKNHFVSDFDTRAVAVIYRSHGDINKKMNMLR >Manes.01G074500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27731571:27737079:-1 gene:Manes.01G074500.v8.1 transcript:Manes.01G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKLKELKLFVEQCKSNPSVLSDPSLSFFRDYLESLGAKLPSSAYDHADSKSKSYVVEESDEEIEDKEGPQAEPEEEEEEDEIIESDIEIEGETVEPDNDPPQKMGDPSVEVTEENREASQEAKFKATEAISEGKLEEAIDHLSEAISLNPTSAIMYATRATVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGMARAMLGQWEEAAKDLHVASKLDYDEEISAVLKKVEPNAHKIEDHRRKYERLRKERENRKIERERQRRRAKAQAEYEKANKQEQSSSSRKPGGMPGGFPGGMPGGMPGGVPGGMPGGFPGGMPGGMPGGMPGGFPGAMPGGMPGNVDFSKILNDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMSKFAGPK >Manes.02G001900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:222988:227608:-1 gene:Manes.02G001900.v8.1 transcript:Manes.02G001900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFLFSVIASLLFSLCFAEIRSSDVRSDDRPIIPFDEFGFTHKGQLELSVSNIHLSNQNPDLDLSKVGFFLCTRDSWLHVLQQIEDGEITCALQSDLIKHVFTFNKLQNGQTNFSVIFPENDADQYTLVFANCLNSLKVSMDVNSAMYNLERNGNRDYLSAGKTILPRVYFLFSLIYFGLAGLWIHVLYKKRLTIFTIHFFMLAVVVLKALNLLCEAEDKSYIKRTGSAHGWDVLFYMFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLIIVIPLQVVANMAQVVIDETGPYGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAAEQLKLEDEFEL >Manes.02G001900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:222988:227608:-1 gene:Manes.02G001900.v8.1 transcript:Manes.02G001900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFLFSVIASLLFSLCFAEIRSSDVRSDDRPIIPFDEFGFTHKGQLELSVSNIHLSNQNPDLDLSKVGFFLCTRDSWLHVLQQIEDGEITCALQSDLIKHVFTFNKLQNGQTNFSVIFPENDADQYTLVFANCLNSLKVSMDVNSAMYNLERNGNRDYLSAGKTILPRVYFLFSLIYFGLAGLWIHVLYKKRLTIFTIHFFMLAVVVLKALNLLCEAEDKSYIKRTGSAHGWDVLFYMFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLIIVIPLQVVANMAQVVIDETGPYGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAAEQLKLEDEFEL >Manes.02G001900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:222988:227608:-1 gene:Manes.02G001900.v8.1 transcript:Manes.02G001900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFLFSVIASLLFSLCFAEIRSSDVRSDDRPIIPFDEFGFTHKGQLELSVSNIHLSNQNPDLDLSKVGFFLCTRDSWLHVLQQIEDGEITCALQSDLIKHVFTFNKLQNGQTNFSVIFPENDADQYTLVFANCLNSLKVSMDVNSAMYNLERNGNRDYLSAGKTILPRVYFLFSLIYFGLAGLWIHVLYKKRLTIFTIHFFMLAVVVLKALNLLCEAEDKSYIKRTGSAHGWDVLFYMFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLIIVIPLQVVANMAQVVIDETGPYGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAAEQLKLEDEFEL >Manes.02G001900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:222988:227608:-1 gene:Manes.02G001900.v8.1 transcript:Manes.02G001900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFLFSVIASLLFSLCFAEIRSSDVRSDDRPIIPFDEFGFTHKGQLELSVSNIHLSNQNPDLDLSKVGFFLCTRDSWLHVLQQIEDGEITCALQSDLIKHVFTFNKLQNGQTNFSVIFPENDADQYTLVFANCLNSLKVSMDVNSAMYNLERNGNRDYLSAGKTILPRVYFLFSLIYFGLAGLWIHVLYKKRLTIFTIHFFMLAVVVLKALNLLCEAEDKSYIKRTGSAHGWDVLFYMFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLIIVIPLQVVANMAQVVIDETGPYGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAAEQLKLEDEFEL >Manes.18G114800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11674737:11675652:1 gene:Manes.18G114800.v8.1 transcript:Manes.18G114800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGKLETVLELKSSPEKFLNLWKEQAHQVPNHTPTNIQGVHLHEGDWNTHGCIKIWKYNIEGRSEIFKEKTEVDEEKKVVTIIGLEGDAFKLYKVYTAIWELTSNGEGSLTKLTLEYEKLNEDVPVPNNYLDLIISMTKDIDEEITKIILN >Manes.09G150701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34789480:34790213:1 gene:Manes.09G150701.v8.1 transcript:Manes.09G150701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNPDQLQVGDHIFTRRAVGYSHHGIYVGEVNKVKYVIHFTCTGSAISSTSIVSRPNHQACQVCGYAQYVNRGVVKTCLDCFLSEDKLYVKQYDGNLIPCNETVEIAYDLLENGFGQYDLAVNNCEHFATFCKKGDPRSTQVDTVVMVGNLLPNILPLQMFKTYHLIRKWTFRR >Manes.14G115200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10888992:10891216:1 gene:Manes.14G115200.v8.1 transcript:Manes.14G115200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKQVKPPIKKGLWKPEEDLILKTYVETHGEGNWATVSEKSGLMRGGKSCRLRWKNYLRPNIKRGEMSQEEEDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKRCRTGKRKPTDPSNHQNGNDKYKSKKQCNSQTTSSTSPKSNPEESDGKKKEKEESTVTNTWIQDAQSMNYYIESPVMPVCNDAFVLNDEPFIAYWDSFVLFESFGL >Manes.14G115200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10888932:10891216:1 gene:Manes.14G115200.v8.1 transcript:Manes.14G115200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLLVIAGLMRGGKSCRLRWKNYLRPNIKRGEMSQEEEDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKRCRTGKRKPTDPSNHQNGNDKYKSKKQCNSQTTSSTSPKSNPEESDGKKKEKEESTVTNTWIQDAQSMNYYIESPVMPVCNDAFVLNDEPFIAYWDSFVLFESFGL >Manes.06G131800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26058943:26060323:1 gene:Manes.06G131800.v8.1 transcript:Manes.06G131800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTFSSKVIKIETISPSSEIIAHNDDLLTEILLRLPIKSLLKFKCVSKHWLSLISDPLFYLRLNPTNCPCALMVHKWSRLDNPEFYFIKLGSNSKLSFRTLHFVNNSSVIKIFQSCNGLLLCNSFCKNLWKLNYYVHNPTTQQRKLLPEMNQLGKIYDLYLAFDPRKSPYYKVICVHSCHISQSVYRIQIYSAETGRWGVLSTATFTLPFNIGFHGGVFWNNSINWYTDSGSSIRFDIGEEKVKEMPMPPMRDACYRRMVTYFGESRGHLRLVEIHSAPSTKFNVCEMERDYSGWSVKYCVNLESVVSAFPGMIRPYLDPSELNYYAFQVIGIEREETHKGEYLVLHIPAKFIRYNLKDGSFRKLCDFAPNYKPEDAYTFGHCHAYKYIESLA >Manes.07G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4585445:4590820:1 gene:Manes.07G038400.v8.1 transcript:Manes.07G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDQNDYYGGESTSLNLNQLWKRFRGSDDKPPESLGLSKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNFKAVDGSFVYNKGKIYKVPANDVEALKSPLMGLFEKRRARKFFIYIQDYEENDPKSHEGLDLTKVTAREIISKYGLEDDTIDFIGHALALHLDDSYLDQPALDFVKRMKLYAESLARFQGGSPYIYPMYGLGELPQAFARLSAVYGGTYMLNKPECKVQFDADGKAFGVTSEGETAKCKKVVCDPSYLPDKVQKVGKVARAICIMSHPIPNTSDSHSVQLILPQKQLGRKSDMYLFCCSYSHNVAPRGKYIAFVSTEAETDNPQVELKPGIDLLGPVDEMFYDTHDRYVPTNNSQADHCFISTSYDATTHFETTVDDVIEMYSKITGKTLDLSVDLSSASASEN >Manes.01G126300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32109587:32110180:-1 gene:Manes.01G126300.v8.1 transcript:Manes.01G126300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVAAAVSFFTLWLISTAVCTVAQPAVLDTDGQSLESGVEYYILPAITDVAGGLTLINRNNSCPLYVGQEPITRPVVSPGLPVIFKPYANGETIIRESRDLTVTFQAITTCIQSNAWRVGEDDPAGTGRRFIVTGGEADYFAIQNNGGDYNFVWCPTESCPNCDRPRCGSAGILFQNDQAFLVLDGPAFPFQFTRV >Manes.16G060100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:23240177:23241655:1 gene:Manes.16G060100.v8.1 transcript:Manes.16G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFVQAPPLNPPKTHPLHSLTLHQEQEQQPQEPLHQQSSVVIMSNNNIQIAQFHQEEDQKAKTSKGYMLLLVINYLCLFVGSISSSLISKFYFIHKGSSRWVSTWVQSAGFPLLLFPIYLPYYLFKCSERRPFDRFSPRILILSILIGLMLGLNNLLFSWGNSYLPVSTSSLLLSSQLVFNLILSVIIVKQRITFQNLNCVILLTLSSVLLALGSSHDRPQGLTTTKYFIGFFSTIGAGLLFALYLPIMEKIYKNVNCYGMVMEMQLVMEIAATALATAGMATDGGFREMKRESIYEFDKGEKWYWVTVMGNVVTWQMCFMGTAGMVFLTSSLTGGICMTALLGMNVVGGVLVYGDQFGGVKVVSTLLCGWGFCSYVYGMYLKMKDQKELQQKENKNLEMDQIVAPDTV >Manes.12G044400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3604944:3618597:1 gene:Manes.12G044400.v8.1 transcript:Manes.12G044400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDPNPGVREAAILCIEEMYTQAGPQFRDELHRHHLPMSMMKDINARLEKIEPQIRPSDGPTGNFATGEMKPMNLNPKKSSPKSKSSTRETSLFGGESDVTEKPIEPIKVYSEKELIREIEKIASTLVPEKDWSIRIAAMQRVEGLVLGGAADYSCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIRCCVADAMSEVRATARMCYRMFAKTWPERSRRLFSCFDPVIQRIINEEDGGLHRRHASPSLRDRSSQLSFASQASTHPNLPGYGTSAIVAMDRTSSLSSGTSLSSGLVSQVKPLGRGTERSLESVLHASKQKVTAIESMLRGLEVSDKQNPSALRSSSLDLGVDPPSSRDPPFPATVPVSNHLTNSLTLDSTTTSISKSGNRNGGLVLSDIITQIQASKDSSKLSYQSSAATESLSAFSSYSAKRASERLQERGYIEESNDIREARRYANPHVDRQYIDMSYKDVNLRDSQNSHIPNFQRPLLRKHVAGRMSAGRRRSFDDSQLSLGEMSNYVEGPASLTDALSEGLSPSSDWNARVAAFNYLRSLLQQGPKGIQEVIQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSVDTLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMNSEGSGNTGILKLWLAKLTPLAHDKNTKLKEAAITCIISVYSHYDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQSKKERQRSKSSYDPSDVVGTSSEEGYIGVSKKSHFFGRYSAGSNDSDGGRKWSSTQESLITGSIGQAASDETQENLHQNFENNSNADIHSSKTRDLTFMVNPTTENVGSRASRLENEDNSLNFEDLSTPHLDINRLLSSEALVDAEGIQRDNEASLDLNLNHHKPAAIKINSFSDSGPSIPQILHLICNGNDESPAPAASKRGALQQLTEASVSNDHSVWSKYFNQILTVVLEVLDDTESSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVMKDSVPKVSNEAEHCLSIVLSQYDPFRCLSVVVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMTQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGTAIDATHE >Manes.12G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3603032:3618597:1 gene:Manes.12G044400.v8.1 transcript:Manes.12G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELARAKDAKERMAGVERLHQLLEASRKSLSSAETTSLVDCCLDLLKDNNFKVSQGALQALASAAVLSGEHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHKSWRVREEFSRTVTSAIGLFAATELPLQRAILPPILQMLSDPNPGVREAAILCIEEMYTQAGPQFRDELHRHHLPMSMMKDINARLEKIEPQIRPSDGPTGNFATGEMKPMNLNPKKSSPKSKSSTRETSLFGGESDVTEKPIEPIKVYSEKELIREIEKIASTLVPEKDWSIRIAAMQRVEGLVLGGAADYSCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRNAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIRCCVADAMSEVRATARMCYRMFAKTWPERSRRLFSCFDPVIQRIINEEDGGLHRRHASPSLRDRSSQLSFASQASTHPNLPGYGTSAIVAMDRTSSLSSGTSLSSGLVSQVKPLGRGTERSLESVLHASKQKVTAIESMLRGLEVSDKQNPSALRSSSLDLGVDPPSSRDPPFPATVPVSNHLTNSLTLDSTTTSISKSGNRNGGLVLSDIITQIQASKDSSKLSYQSSAATESLSAFSSYSAKRASERLQERGYIEESNDIREARRYANPHVDRQYIDMSYKDVNLRDSQNSHIPNFQRPLLRKHVAGRMSAGRRRSFDDSQLSLGEMSNYVEGPASLTDALSEGLSPSSDWNARVAAFNYLRSLLQQGPKGIQEVIQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSVDTLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMNSEGSGNTGILKLWLAKLTPLAHDKNTKLKEAAITCIISVYSHYDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQSKKERQRSKSSYDPSDVVGTSSEEGYIGVSKKSHFFGRYSAGSNDSDGGRKWSSTQESLITGSIGQAASDETQENLHQNFENNSNADIHSSKTRDLTFMVNPTTENVGSRASRLENEDNSLNFEDLSTPHLDINRLLSSEALVDAEGIQRDNEASLDLNLNHHKPAAIKINSFSDSGPSIPQILHLICNGNDESPAPAASKRGALQQLTEASVSNDHSVWSKYFNQILTVVLEVLDDTESSIRELALSLIVEMLKNQKDAMEDSVEIVIEKLLHVMKDSVPKVSNEAEHCLSIVLSQYDPFRCLSVVVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMTQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGTAIDATHE >Manes.17G014300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6833130:6839951:-1 gene:Manes.17G014300.v8.1 transcript:Manes.17G014300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGDFSSANVVHVIPGAGPENWFPNSMDSNSVWATEDDYHAWSNSDGPSDNIPSISDIQSSQTRSGSEPPNKKSKNNSQEMSSKKSFGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVSAQEEEKGNVVEMREGFQIPSVGGFGGESQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGAYGGASLGEGVSGGGSGNGGNVKPSNWKTRICNKWELTGYCPFGNKCHFAHGAAVPRIGNSFREWKGLAVRDL >Manes.17G014300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6833130:6839951:-1 gene:Manes.17G014300.v8.1 transcript:Manes.17G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGDFSSANVVHVIPGAGPENWFPNSMDSNSVWATEDDYHAWSNSDGPSDNIPSISDIQSSQTRSGSEPPNKKSKNNSQEMSSKKSFGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVSAQEEEKGNVVEMREGFQIPSVGGFGGESQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGAYGGASLGEGVSGGGSGNGGNVKPSNWKTRICNKWELTGYCPFGNKCHFAHGAAELHRYGGGLMESEAKDGSAPAETKQGGVPSKSPADAVVASVTTVPHSDVYHAGVPSQRSSILIQRPGQRTHEKWKGPDKISRIYGDWIDDVE >Manes.01G271800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42513840:42515486:-1 gene:Manes.01G271800.v8.1 transcript:Manes.01G271800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSKSLNAVLCRYFSSLPRQPSFSKIPSKSRPQAIREAQQALTDYLHSTRSLPFAYAEHISKYSLVSLSNLIANIDFSVSDFSRSVRKFLRYHPINEFEFFYESIGIDYNEVRGLLPTKKFFFSEDGSVLSAACALAGFGFPWNKLGILYKEESSIFSRGSEELSSRLHGFKKHGFSNISVIGICMAFPYVLSGEWLEEIDALFDDMKKFFIDFGLGSCIEGNVDAWYEICMKIRVFYDLGFEKGKLGDMVGKSKSIFVDYPVNVFVQKAKFFCRFGVRNEDVGLLLLQRPEIWNYDMEKPLISVKGFLKHFGFSDAELIAIAHKYSHVLGRNKISNLPHVMRAMDLQVWFFNKIKDGDHHLIASYAMREPDEDLDKEFDDCLERIRHSRTPTHTMYKVNFVLGIGFGENALTAKVLDHLHGTSSELQERFDCLLRLGIPFSDLCMMIRMMPKILNQKPEILEQKVNFLCQDIGSSLGELNIFPAYLCFNLENRIKPRYRFHMWLTDRGAQKYSIASIVATSEKNFIARIYGIHPAALKHWFECFSC >Manes.15G157300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12958975:12969293:-1 gene:Manes.15G157300.v8.1 transcript:Manes.15G157300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNDKFHGGFYRNTFDTMGELEGSGSSGRIDTEITASEDSSAPTRKCINLNSNKQDKFGVPLEFISLSRMSPSERKDLIHRLKFELEQLRILQKKVESQRTNAVTMSSSSDILSCSNGTNGPQVANCSKSSVMTSGPGKKANPTGKGREWNRSSSGKFKSVKHGPAPSTTNMMVMKQCETLLSRLMTHQYGWVFNEPVDVVKLNIPDYFTIIKHPMDLGTIKRKMVSGVYSSPLEFLTDVRLAFNNAKEYNPKGHDVHIMADTLGKFFEVRWKAIEKKLPRIGTQALPANSGPHEDLQTTKATPIKKRTGGPYQHEIVPEPARQVMTDDEKQHLARELADLLGEMPVNIIEFLREHSSNGTDAGEHEIEIDIDDLSDDTLFTLRKLLDDYLLEKQKNEVRGEPCEIELLNVSGLSNSSMQQQKGNDPGDEDVDIGGNGPPISSYPPVEIEKDTGLKGTKCVSSSSSSDSDSDSSSESVSDDGRASSPITATKASENLCNGVQLDDKACAGDPLEINQSCPESVSGLDQLEQTSQQKPSSVESDYCQDGDSAPSDRQVSPEKLIRAAMLRKRFADTILKAREKALLQVDKDPEKLRREMEELELQKKKEKARLQAEAKAAEDAQRRAEVAAAAEARRKRELEREAARQALLKMEKTVEINENSRFLEDLEMLRTAPPEHLPSSVDETSPDQSHDGLGSFKFGGSNPLEQLGLFMKQDDEEEEGEPSNVPNPPINDVEEGEID >Manes.15G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12959022:12969078:-1 gene:Manes.15G157300.v8.1 transcript:Manes.15G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNDKFHGGFYRNTFDTMGELEGSGSSGRIDTEITASEDSSAPTRKCINLNSNKQDKFGVPLEFISLSRMSPSERKDLIHRLKFELEQLRILQKKVESQRTNAVTMSSSSDILSCSNGTNGPQVANCSKSSVMTSGPGKKANPTGKGREWNRSSSGKFKSVKHGPAPSTTNMMVMKQCETLLSRLMTHQYGWVFNEPVDVVKLNIPDYFTIIKHPMDLGTIKRKMVSGVYSSPLEFLTDVRLAFNNAKEYNPKGHDVHIMADTLGKFFEVRWKAIEKKLPRIGTQALPANSGPHEDLQTTKATPIKKRTGGPYQHEIVPEPARQVMTDDEKQHLARELADLLGEMPVNIIEFLREHSSNGTDAGEHEIEIDIDDLSDDTLFTLRKLLDDYLLEKQKNEVRGEPCEIELLNVSGLSNSSMQQQKGNDPGDEDVDIGGNGPPISSYPPVEIEKDTGLKGTKCVSSSSSSDSDSDSSSESVSDDGRASSPITATKASENLCNGVQLDDKACAGDPLEINQSCPESVSGLDQLEQTSQQKPSSVESDYCQDGDSAPSDRQVSPEKLIRAAMLRKRFADTILKAREKALLQVDKDPEKLRREMEELELQKKKEKARLQAEAKAAEDAQRRAEVAAAAEARRKRELEREAARQALLKMEKTVEINENSRFLEDLEMLRTAPPEHLPSSVDETSPDQSHDGLGSFKFGGSNPLEQLGLFMKQDDEEEEGEPSNVPNPPINDVEEGEID >Manes.15G157300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12959022:12969172:-1 gene:Manes.15G157300.v8.1 transcript:Manes.15G157300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNDKFHGGFYRNTFDTMGELEGSGSSGRIDTEITASEDSSAPTRKCINLNSNKQDKFGVPLEFISLSRMSPSERKDLIHRLKFELEQLRILQKKVESQRTNAVTMSSSSDILSCSNGTNGPQVANCSKSSVMTSGPGKKANPTGKGREWNRSSSGKFKSVKHGPAPSTTNMMVMKQCETLLSRLMTHQYGWVFNEPVDVVKLNIPDYFTIIKHPMDLGTIKRKMVSGVYSSPLEFLTDVRLAFNNAKEYNPKGHDVHIMADTLGKFFEVRWKAIEKKLPRIGTQALPANSGPHEDLQTTKATPIKKRTGGPYQHEIVPEPARQVMTDDEKQHLARELADLLGEMPVNIIEFLREHSSNGTDAGEHEIEIDIDDLSDDTLFTLRKLLDDYLLEKQKNEVRGEPCEIELLNVSGLSNSSMQQQKGNDPGDEDVDIGGNGPPISSYPPVEIEKDTGLKGTKCVSSSSSSDSDSDSSSESVSDDGRASSPITATKASENLCNGVQLDDKACAGDPLEINQSCPESVSGLDQLEQTSQQKPSSVESDYCQDGDSAPSDRQVSPEKLIRAAMLRKRFADTILKAREKALLQVDKDPEKLRREMEELELQKKKEKARLQAEAKAAEDAQRRAEVAAAAEARRKRELEREAARQALLKMEKTVEINENSRFLEDLEMLRTAPPEHLPSSVDETSPDQSHDGLGSFKFGGSNPLEQLGLFMKQDDEEEEGEPSNVPNPPINDVEEGEID >Manes.15G157300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12959022:12969231:-1 gene:Manes.15G157300.v8.1 transcript:Manes.15G157300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKNDKFHGGFYRNTFDTMGELEGSGSSGRIDTEITASEDSSAPTRKCINLNSNKQDKFGVPLEFISLSRMSPSERKDLIHRLKFELEQLRILQKKVESQRTNAVTMSSSSDILSCSNGTNGPQVANCSKSSVMTSGPGKKANPTGKGREWNRSSSGKFKSVKHGPAPSTTNMMVMKQCETLLSRLMTHQYGWVFNEPVDVVKLNIPDYFTIIKHPMDLGTIKRKMVSGVYSSPLEFLTDVRLAFNNAKEYNPKGHDVHIMADTLGKFFEVRWKAIEKKLPRIGTQALPANSGPHEDLQTTKATPIKKRTGGPYQHEIVPEPARQVMTDDEKQHLARELADLLGEMPVNIIEFLREHSSNGTDAGEHEIEIDIDDLSDDTLFTLRKLLDDYLLEKQKNEVRGEPCEIELLNVSGLSNSSMQQQKGNDPGDEDVDIGGNGPPISSYPPVEIEKDTGLKGTKCVSSSSSSDSDSDSSSESVSDDGRASSPITATKASENLCNGVQLDDKACAGDPLEINQSCPESVSGLDQLEQTSQQKPSSVESDYCQDGDSAPSDRQVSPEKLIRAAMLRKRFADTILKAREKALLQVDKDPEKLRREMEELELQKKKEKARLQAEAKAAEDAQRRAEVAAAAEARRKRELEREAARQALLKMEKTVEINENSRFLEDLEMLRTAPPEHLPSSVDETSPDQSHDGLGSFKFGGSNPLEQLGLFMKQDDEEEEGEPSNVPNPPINDVEEGEID >Manes.02G171800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13575453:13577887:1 gene:Manes.02G171800.v8.1 transcript:Manes.02G171800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSQLFLLAVSLAAVAGTSIGANAQPTADCPDRCGDLSIPFPFGTKEGCYLNKDFLITCNASNNYEAFLGETNVTVLNISLEGQLRISTSPACDCYNSSGNSTISTTSTLIVDKFPLSYTENKFTAVGCDTVTIIQGLERQDYATGCISYCGGFRDVVNGSCIGMGCCQTFIPKDVLEFEVSIYSFFNHSSVWDFNPCSYAFVVEANAYNFSTLDLADLRHETTFPVVLDWAIGNETCDDARKNHETYACKDIRSICYDSDNGPGYLCNCSEGYSGNPYLVNGCKDVNECEIPSLNKCTDICLNTAGNYTCSCPKGYHGDGRKDGSGCSSTTKSRTGVITGTSIGLVVVFAGISCFILVIQRRSQTRLRKEFFKQNGGFLLQKLLTNKSSTDTAKIFSEEALKKATNNFNKSMVIGQGGYGVVYKGILSDERVVAIKRSKAIDRTQIEQFVNEVIVLSQIHHPNVVKLLGCCLETSVPLLVYEFISNSTLFHHLHDKGCAHTLPWQTRLRMAKETATALAYMHSMQIIHRDVKSANILLDDEFTAKVSDFGVSRLVPFDQEQISTLVQGTLGYMDPEYFQSGILTEKSDVYSFGVVLVELLTGKKAICSDCEEKSLALYFISSLRGGRLFEILEDRVKQEGNAKQLKRVADIARSCLRLEGEQRPTMKKVVEDLEMIRSIA >Manes.13G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33518491:33521035:-1 gene:Manes.13G127800.v8.1 transcript:Manes.13G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREVSSASVPLLGSYSSQNSRKERAKTVPLDNQDSAAYCQYQAWSPSLSQAVDEIKQLYTIAFPMIITGLLIYGKSAISMFFMGKLGKDVLAGGSLSIGIANISGYSVISGLAMGTEAISSQACGAKQWPLMGQTLQRTIAILILTCVPISLLWLNVEPILIFCGQDPAILSVASTYLAFSLPDLFLQSFINPLKIYLRTQNITLPLMLSAAFALALHALINQILVCHLGLGIQGIAVAVTITDLNLLAALLIYLCFSGICRESWQGWSLQCFDEWKPILGLAIPSCISVCLEWWWYELMIVLSGLLTNASEAVATMGILIQATSLVYIFPSSLSLAVSTRVGNELGANHPSKAKTSSIVALSCAIFTSFIAMLFMTSMRHAWGQIFTTDTAILSLTATAMPVVGLCELGNCPQTTGCGVLRGSARPSLGANINLGSFYGIGLPIAILMGFMMGLGLLGLWLGLLAAQVVCAIIMVVVLMRTDWQVEANRARELTGIDDGVGEAEAESKRKNLQGLISVTLVD >Manes.05G137300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13661968:13664446:-1 gene:Manes.05G137300.v8.1 transcript:Manes.05G137300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCAYQRKSAMGSYEERTIMEVDSMVCPKPRRLGLSNPSLLHQFRPIRLPINHQTDMEDSMAGAELLDIILTKGGYGGERSGYQVASSPPFYCGSPPSRASNPVVQDAQFGNEITPFSPAPLSPSSSSARKGGGCVRMKFGHKPAATRIEGFDCLSRDRRNCSISAVA >Manes.05G137300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13661968:13664446:-1 gene:Manes.05G137300.v8.1 transcript:Manes.05G137300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCAYQRKSAMGSYEERTIMEVDSMVCPKPRRLGLSNPSLLHQFRPIRLPINSSHQTDMEDSMAGAELLDIILTKGGYGGERSGYQVASSPPFYCGSPPSRASNPVVQDAQFGNEITPFSPAPLSPSSSSARKGGGCVRMKFGHKPAATRIEGFDCLSRDRRNCSISAVA >Manes.05G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13661968:13664446:-1 gene:Manes.05G137300.v8.1 transcript:Manes.05G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCAYQRKSAMGSYEERTIMEVDSMVCPKPRRLGLSNPSLLHQFRPIRLPINSHQTDMEDSMAGAELLDIILTKGGYGGERSGYQVASSPPFYCGSPPSRASNPVVQDAQFGNEITPFSPAPLSPSSSSARKGGGCVRMKFGHKPAATRIEGFDCLSRDRRNCSISAVA >Manes.04G088100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29318188:29327229:-1 gene:Manes.04G088100.v8.1 transcript:Manes.04G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLMEEQLKDIVVGEACADLRHQLDISYPVNNGIIQNWDDMGHVWDHAFFNELKVDPTACKILLTDPPLNPSKNREKMVETMFETYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPDLIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLEVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWISREDYLEEGTACLNKCG >Manes.12G094700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21076477:21078695:-1 gene:Manes.12G094700.v8.1 transcript:Manes.12G094700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVASNPLGLHRFRPYFQAAQPPLPLIQTSFPCQIKPRRFPTVVACQTDPNPTKTPTKEEELVEPASVSDTKSEGLSSSPGSGFPEFPNKDVNKQIAVVSVLAALGLFLSARLDFGVSLKDLSAAAVPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKNGNEEGNVVGRFPRQYLLENVDALARGEASVPHARVVGQYSSAQSRNVHQVVDPRSHG >Manes.12G094700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21074474:21078695:-1 gene:Manes.12G094700.v8.1 transcript:Manes.12G094700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVASNPLGLHRFRPYFQAAQPPLPLIQTSFPCQIKPRRFPTVVACQTDPNPTKTPTKEEELVEPASVSDTKSEGLSSSPGSGFPEFPNKDVNKQIAVVSVLAALGLFLSARLDFGVSLKDLSAAAVPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKNGNEEGNVVGRFPRQYLLENVDALARGEASVPHARVVGQYSSAQSRNVHQVVDPRSHG >Manes.16G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2590235:2594565:-1 gene:Manes.16G023900.v8.1 transcript:Manes.16G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIFRIGFVFVLVLSFCKLTISVNNGSNSDGDYTQFLLANGVGRTPPMGWNSWNHFQCNIDEWTVKTTADALVSTGLAALGYKYVNIDDCWAEESRDSKGNLRPKSSTFPSGIKALADYVHARGLKLGIYSDAGYTTCSKKMPGSLGHEQQDASTFAQWGVDYLKYDNCYHDGSTPQDRYARMSDALHKVGRPILYSICEWGEEYPAKWAGQYGNAWRTTGDINDTWGSVTSIADENNNWGRYAGPGRWNDPDMLEVGNGGMSLEEYRSHFSIWALMKAPLLIGCDVRSASRQTLRILGNKEVIDVNQDPLGVQGRKIRSNAGLEIWAGPLSRKRVVVVLLNRSGSQAPISVGWREIGLSPFSPVIVRDLWAHSVVSMSKRYRLTAYVAPHACKMYILTPHS >Manes.17G053000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24950107:24952864:1 gene:Manes.17G053000.v8.1 transcript:Manes.17G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLRTKLPLSPHHHPFLSLMDSCGSNANRLITLAQQLRLYKPPSPSPDEIEEQTIEETAGKVVSQLGFQESATPIPKDPQRFSPKRAAVLVCIFEGDAGDFRVILTKRSSRLSTHSGEVSLPGGKAEEGDKDDGDTATREAKEEIGLDPALVDIVTVMEPFLSKHLLRVIPVIGILRDKKAFNPTPNPAEVESVFDAPLEMFIKDENRRVEEREWLGEKYLIHFFDYETEKKKFLIWGLTAGILIRAASVVYQKPPAFMEQNPKFRFPRDVNSNTVMR >Manes.15G119400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9519549:9520402:1 gene:Manes.15G119400.v8.1 transcript:Manes.15G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTQEKKTKGRQRIEMKKIENEDDKLITFSKRRSGIYKKASELVILTGTELAFVVFSPAGKPFSFAHPSVDAIINRFSGEQPQPNIQSSTHPLIEAHRRVRIEEINRESNELLHHLDSVKEKGKQLKQKMTGNEIKGWWDTPIEEMSVQQMLEAEAACGEIRAKLINKLKAKTYDGACAAHHQAQIMNPFFFP >Manes.05G026300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2304464:2308656:-1 gene:Manes.05G026300.v8.1 transcript:Manes.05G026300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQNDPPTSCSAGPVAEDMFHWQATILGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSIRSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Manes.05G009800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:981757:983451:-1 gene:Manes.05G009800.v8.1 transcript:Manes.05G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCDPNVYSTVCPQRLRRNGLPHLQYKVSKWDLGFVEEGKERDGQRLGTGRAWVELLTQVSVLAPPMHCLFPGLRVFFFCSLTCFHFHLFSIQSPCLREILAFMFGIYCVSYHYR >Manes.08G104100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34400262:34409694:1 gene:Manes.08G104100.v8.1 transcript:Manes.08G104100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDAGITMSRTGPPPVTEGPPLSPSLNEDAMWQMNLRANESMESGPYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCKFHHPKDKAGISGRVSLNILGYPLRPNEIECAYYLRTGQCKFGSTCKFHHPQPTNVMVPLRGSPVYPTVQSPTTPGQQSYPGGLTNWSRASFITSPRWQAPSSYTPLILPQGVVSVPGWNAYSGQLGSVSSPEGQQQAGNSQIYGTSRQSESMNTGSQGPLSPFRSGSVPVGFYALQRENVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSPIGLPLRPGEPLCIFYSRYGVCKFGPSCKFDHPMGIFTYNLSASSSADAPVRRFLGTSSGSAALTLSPEGLVEAGSTKPRRLSLSETRQMPAGDDNIDTEG >Manes.02G225450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37879695:37880356:1 gene:Manes.02G225450.v8.1 transcript:Manes.02G225450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLECVWHLIRVHLCRIGPEGPRRPSVLAVAESVQRLPECCRYEVDREVSRVNVMLM >Manes.18G035400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2911627:2913515:1 gene:Manes.18G035400.v8.1 transcript:Manes.18G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSESTSEMRHQTNLGSLPPPKLAEPLPCPRCQSTNTKFCYYNNYNLSQPRHFCKSCRRYWTHGGTLRNVPVGGGTRKNFKRSRSSSSSNSSSSTNTSTSTVSAVIHEPEPLSVLANLDSALPLLKVETSDNLKLNENFPVNENENFISLLNSQQGQGFMGMVGYGPGYGYGLYELGHGAFGVKGSWPLPGVGYMNGDGSSGCDTWPVGDVEGGGGLVDEDCFSWPGLAISTAGKIFK >Manes.18G111000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11075494:11079679:1 gene:Manes.18G111000.v8.1 transcript:Manes.18G111000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDVPQWPDLPWKLNISISAFSFTFDVTRRSNGTANRFLMSFFDLRTSPSKKPSNGVKTTDITIDKSRNLWFRLYTPVNTGDGAAAVGNLPVIFFFHGGGFVFMAPSSKPYDEFCYGLARELSAIVISVNYRLAPEHRYPSQYEDGFDALKFVDTAIIEGFSGNLRQCFLAGDSAGGNLVHHLAVKASEHDFSNLKLIGNILIQPFFGGEERTESELRLTRAPFVTMERSDWMWKAFLPEGSNRDHPAANVFGPNSVDISGVKLPATIIFVGGFDPLQDWQKRYCDGLKKYGKEASLVEFAKTFHSFYAFPELPEFSMLMKEMKDFMRKQVESSEGDGRSASDMSDR >Manes.S042816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1278995:1279156:-1 gene:Manes.S042816.v8.1 transcript:Manes.S042816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.03G138849.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26646462:26647132:1 gene:Manes.03G138849.v8.1 transcript:Manes.03G138849.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSHTTPSSKLTQLTESLKLEHQFLRVPFEHCKKTIRANHRTIETEVSSVIAAISDAADSDMSKDDAFKHLISFVSRLQGLERKRKDQPCQHYNP >Manes.10G017601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1790821:1797342:1 gene:Manes.10G017601.v8.1 transcript:Manes.10G017601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNQKKANLLDHHSIKHILDESVSEIVTSRGYVEDFRTSNIRLLIGIIIIVIALVAQFYKKKFPENRDFLIACIVLYIVFNGLLQLITYTKEKNAIMFTYPPHGSYTSTGLVVSSKLPRFSDDYTLSIASADPKSISAGKPVQFTKSVTQWFTKDGVLVEGLFWKDVEALINDYAGEPKKNK >Manes.06G130900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26019205:26019930:1 gene:Manes.06G130900.v8.1 transcript:Manes.06G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGINTTNYNSFYDFGDYAFSGRNVHPSSQYDNIHDAIYGSDEFRMYAYKIKRCTRTRSHDWTECPYAHRGEKATRRDPRKVPYVAIACPGFRNGKCNRGDACEYAHGVFEYWLHPARYRTRACNAGRYCQRKVCFFAHTPDQLRSEKKYACPFVYRARMNGGDGGFGNGPGSEEGATSSPVRIDGGSCFEGVSELLSSWRNLKIKDEEKKQKKADLEGDLPQLDWISELVQ >Manes.02G164100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12835822:12839152:-1 gene:Manes.02G164100.v8.1 transcript:Manes.02G164100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTINEEKCLLFSYNYTSRVSCLSKAPDRFSEREREMADAKVETISRLAQWRIENFGPCSYKKSDAFKVGIWNWHLSVEKNRYLYIRLFPEASRTSKEQPPIARFVLRVSNAGANRRPYTSPVHERLLRTSDDFVWPVDSNFHGRFIIDVEFLDLKVCPLNGGEASSVWPNEGMMQSVSTQNTLRCLSRMLDEAIHADVTIHTADGTIRAHKAVLSASSPVFQSMFHHDLKEKESSTIYIEDMSMESCTALLSYLYGTIKQQDFWKHRLALLGSANKYDIADLKNACEESLLEDINSGNVLERLQEAWLYQLDKLKKGCLTYLFDFGKIYDVREEINNFFRQADRELMLEMFQEVLTVWKPV >Manes.14G016800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:937974:940432:-1 gene:Manes.14G016800.v8.1 transcript:Manes.14G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEARHLNLFPSQLLGNREIMNHIEANSNIYNPQMGYRVPLSGTTTAETLLPMYSSVNTDSIPQKTPIKSESGLTYNYNLPMSRKRPRESISPLLPCPTPQLIKTASPFSFLGQDLSLQIEQQQLDIDRLISQHMEKVRMELEDRRKRQARRIIEAIEEGMLKTLRAKEEEIEKIGKLNWALEERVKSLCIENQIWRDLAQTNEATANALRTNLEQVLAAQVMDERTRGAGLGETAAEMDDAQSCCGSSGGGEGDKLSERCTLVSGGMLDKDTISRLCRNCGKEESCVLLLPCRHLCLCTVCGSSLNACPICKATKNASFLVNMS >Manes.05G055500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4640404:4646638:-1 gene:Manes.05G055500.v8.1 transcript:Manes.05G055500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASNNVNKLLVGNKCDLTANRVVSYETAKAFADEIGIPFMETSAKDSTNVEQAFMAMAASIKDRMASQPSSYNSRPPTVQIRGQPVAQKSGCCSS >Manes.03G061200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6939802:6944502:1 gene:Manes.03G061200.v8.1 transcript:Manes.03G061200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHIEVKEKLAMIIECPRCCLYYSIKLVNLIMLFFGIAIIIYSLWLDKKWHQFFSQLHPTSPPPFPWFIYTCLGVGIVVFLSTLCYYIAFNSISNYTICAYIFIACCLLFLEVAVIVIIFFKMDVVAMNAVALSVIFWAAGMEPRTGCNQSNVVSGFTQSFLVPNFPEPDDASTQLFRRCEILS >Manes.03G061200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6939802:6944502:1 gene:Manes.03G061200.v8.1 transcript:Manes.03G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHIEVKEKLAMIIECPRCCLYYSIKLVNLIMLFFGIAIIIYSLWLDKKWHQFFSQLHPTSPPPFPWFIYTCLGVGIVVFLSTLCYYIAFNSISNYTICAYIFIACCLLFLEVAVIVIIFFKMDVVAQIASIIDECHKKIESFLTFHLKISRLIVLLISAAQMNAVALSVIFWAAGMEPRTGCNQSNVVSGFTQSFLVPNFPEPDDASTQLFRRCEILS >Manes.01G004820.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2213022:2215065:1 gene:Manes.01G004820.v8.1 transcript:Manes.01G004820.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPENLLHYPFPSQKCTLGCPILDRCLNGGIPCNSITEIFAESGSGKTQLCLQLSLCAQLPVSLGGLSASALYFHTEFSFPFRRLHQLSHCFQSLYSQAVIDEANCSSNYNNYNPCDNIYVQSVHSADQLLDIMPKIESFLVNSKTHFPVRLIVIDSVAALFRSEFDNTSSDLRRRSLLFFKISGKLKELAKRFNLAVVVTNQVVDFVGSGEGVNGVRIGNLASLYSSGRRVCPALGLAWASCVNSRLFLARDENGMVDGDEGSSPCGQTKRRLHVVFAPHLPYSSCELVIKREGVFGVDR >Manes.09G137000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33717968:33723857:-1 gene:Manes.09G137000.v8.1 transcript:Manes.09G137000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIADSQKGVGLWGGCVRSLVRRKQVDSAHVKVKGHHQLAKELSVPHLIVIGIGSTIGAGVYILVGTVAREHSGPALAISFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICIGEGVAWLIGWALVLEYTIGGSAVARGISPNLAFFFGGPNSLPGFLARQHIPGLDIVVDPVASILVFIVTGLLCVGIKESTLVQGFVTTVNVCVLLFVIIAGSYLGFKTGWAGYGLPSGYFAFGVDGMLAGSATLFFACIGFDSIASTAEEVKNPQRDLPLGIGFTLATCCTLYMLVSVVILGLVPYYAMNPDTPISSVFAEHGVHWAAYIITSGAVMALCSALMGSLLPQPRILMAMARDGLLPSFFSDVNKNTQVPVKSTLATGIGAATLAFFMDVDQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQDTIDSVALQYDLNGQDINCETSEIDAGTSSTLPMLHKNNAAVDYPEIVQQEAQVVLKEENRRKIAGWTIAFTCTGAFLLTYAATDLNLPRPVRFTMCGVGGALLLFGLIVLTLVEQDDARHSFGHSGGFICPFVPLLPIFCILINTYLLINLGAATWARVSVWLLIGVFVYALYGRTHSSLLDAVYVPVAHADRIHRSSVDILA >Manes.09G137000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33717968:33723857:-1 gene:Manes.09G137000.v8.1 transcript:Manes.09G137000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWRGCCMVDWLGSSIGIHNWWISSCSWHIPQSGSAFFFGGPNSLPGFLARQHIPGLDIVVDPVASILVFIVTGLLCVGIKESTLVQGFVTTVNVCVLLFVIIAGSYLGFKTGWAGYGLPSGYFAFGVDGMLAGSATLFFACIGFDSIASTAEEVKNPQRDLPLGIGFTLATCCTLYMLVSVVILGLVPYYAMNPDTPISSVFAEHGVHWAAYIITSGAVMALCSALMGSLLPQPRILMAMARDGLLPSFFSDVNKNTQVPVKSTLATGIGAATLAFFMDVDQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQDTIDSVALQYDLNGQDINCETSEIDAGTSSTLPMLHKNNAAVDYPEIVQQEAQGNFVLKEENRRKIAGWTIAFTCTGAFLLTYAATDLNLPRPVRFTMCGVGGALLLFGLIVLTLVEQDDARHSFGHSGGFICPFVPLLPIFCILINTYLLINLGAATWARVSVWLLIGVFVYALYGRTHSSLLDAVYVPVAHADRIHRSSVDILA >Manes.09G137000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33717968:33723857:-1 gene:Manes.09G137000.v8.1 transcript:Manes.09G137000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWRGCCMVDWLGSSIGIHNWWISSCSWHIPQSGSAFFFGGPNSLPGFLARQHIPGLDIVVDPVASILVFIVTGLLCVGIKESTLVQGFVTTVNVCVLLFVIIAGSYLGFKTGWAGYGLPSGYFAFGVDGMLAGSATLFFACIGFDSIASTAEEVKNPQRDLPLGIGFTLATCCTLYMLVSVVILGLVPYYAMNPDTPISSVFAEHGVHWAAYIITSGAVMALCSALMGSLLPQPRILMAMARDGLLPSFFSDVNKNTQVPVKSTLATGIGAATLAFFMDVDQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQDTIDSVALQYDLNGQDINCETSEIDAGTSSTLPMLHKNNAAVDYPEIVQQEAQVVLKEENRRKIAGWTIAFTCTGAFLLTYAATDLNLPRPVRFTMCGVGGALLLFGLIVLTLVEQDDARHSFGHSGGFICPFVPLLPIFCILINTYLLINLGAATWARVSVWLLIGVFVYALYGRTHSSLLDAVYVPVAHADRIHRSSVDILA >Manes.09G137000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33717968:33723857:-1 gene:Manes.09G137000.v8.1 transcript:Manes.09G137000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIADSQKGVGLWGGCVRSLVRRKQVDSAHVKVKGHHQLAKELSVPHLIVIGIGSTIGAGVYILVGTVAREHSGPALAISFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICIGEGVAWLIGWALVLEYTIGGSAVARGISPNLAFFFGGPNSLPGFLARQHIPGLDIVVDPVASILVFIVTGLLCVGIKEVKNPQRDLPLGIGFTLATCCTLYMLVSVVILGLVPYYAMNPDTPISSVFAEHGVHWAAYIITSGAVMALCSALMGSLLPQPRILMAMARDGLLPSFFSDVNKNTQVPVKSTLATGIGAATLAFFMDVDQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQDTIDSVALQYDLNGQDINCETSEIDAGTSSTLPMLHKNNAAVDYPEIVQQEAQVVLKEENRRKIAGWTIAFTCTGAFLLTYAATDLNLPRPVRFTMCGVGGALLLFGLIVLTLVEQDDARHSFGHSGGFICPFVPLLPIFCILINTYLLINLGAATWARVSVWLLIGVFVYALYGRTHSSLLDAVYVPVAHADRIHRSSVDILA >Manes.09G137000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33717968:33723857:-1 gene:Manes.09G137000.v8.1 transcript:Manes.09G137000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIADSQKGVGLWGGCVRSLVRRKQVDSAHVKVKGHHQLAKELSVPHLIVIGIGSTIGAGVYILVGTVAREHSGPALAISFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICIGEGVAWLIGWALVLEYTIGGSAVARGISPNLAFFFGGPNSLPGFLARQHIPGLDIVVDPVASILVFIVTGLLCVGIKEVKNPQRDLPLGIGFTLATCCTLYMLVSVVILGLVPYYAMNPDTPISSVFAEHGVHWAAYIITSGAVMALCSALMGSLLPQPRILMAMARDGLLPSFFSDVNKNTQVPVKSTLATGIGAATLAFFMDVDQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQDTIDSVALQYDLNGQDINCETSEIDAGTSSTLPMLHKNNAAVDYPEIVQQEAQGNFVLKEENRRKIAGWTIAFTCTGAFLLTYAATDLNLPRPVRFTMCGVGGALLLFGLIVLTLVEQDDARHSFGHSGGFICPFVPLLPIFCILINTYLLINLGAATWARVSVWLLIGVFVYALYGRTHSSLLDAVYVPVAHADRIHRSSVDILA >Manes.09G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33717968:33723857:-1 gene:Manes.09G137000.v8.1 transcript:Manes.09G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIADSQKGVGLWGGCVRSLVRRKQVDSAHVKVKGHHQLAKELSVPHLIVIGIGSTIGAGVYILVGTVAREHSGPALAISFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICIGEGVAWLIGWALVLEYTIGGSAVARGISPNLAFFFGGPNSLPGFLARQHIPGLDIVVDPVASILVFIVTGLLCVGIKESTLVQGFVTTVNVCVLLFVIIAGSYLGFKTGWAGYGLPSGYFAFGVDGMLAGSATLFFACIGFDSIASTAEEVKNPQRDLPLGIGFTLATCCTLYMLVSVVILGLVPYYAMNPDTPISSVFAEHGVHWAAYIITSGAVMALCSALMGSLLPQPRILMAMARDGLLPSFFSDVNKNTQVPVKSTLATGIGAATLAFFMDVDQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQDTIDSVALQYDLNGQDINCETSEIDAGTSSTLPMLHKNNAAVDYPEIVQQEAQGNFVLKEENRRKIAGWTIAFTCTGAFLLTYAATDLNLPRPVRFTMCGVGGALLLFGLIVLTLVEQDDARHSFGHSGGFICPFVPLLPIFCILINTYLLINLGAATWARVSVWLLIGVFVYALYGRTHSSLLDAVYVPVAHADRIHRSSVDILA >Manes.13G075300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:25498544:25501119:1 gene:Manes.13G075300.v8.1 transcript:Manes.13G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFNLSFKKVLLLYLVLILFTSLLLCASSDPSQLESKKFLARRRMLDVEKDQDQLPKKKSTISSTKNQTKLIKPKLSSSKNQTKLFNTSSSTKNQTKIAKSANSTKAASYTLSKAELKKLNSTSKAHNSTKNTSGFTTKTSNLLKLSSPKNKTSKPISKKESQALVSDSESQQQTKKPLKTSEKKPNNEKKTTNQQAKKQPSWIDQGLEDDLVTEFRDLPSKFQQSLLPDIEKISITSKKYLTKANKEMAKGFKPIVGNRYASITASAVSFAFILIPLLLVSLIFNRIKAYFSIQKIVIFIQVYLSIYFSILCLSSIVTGLEPLRFFYATSQSTYVCLMFLQTLGYILYLLLLLMYLILVFSTESGLGSKFLGLGQTFVGFAIGLHFYVAVFHRVVSHQPPKTTWKVHGIYATCFLVICLLVKFDRRKKAYLEDGGEEGKMN >Manes.01G269700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42321087:42325455:-1 gene:Manes.01G269700.v8.1 transcript:Manes.01G269700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPSWDLDDNPSPANQTLRSQSNSVAPDVPMFQYEVAELTWENGQLAMHGLGPPRVPAKPMASTSPSKYTSWDKPRANGTLESIVNQATSLPHRKPGLKNSGCGSEEIVPWFEHNRAAVVPAASATMTMDAMVPCSNRTNERSAHVMGNCVVGSSTRVGSCSGPAVTQDEETPLNGKRQRVARVPVAPEWSSRQSVSGSATVGRDSQRDLGVGFTSTSFGSQENNSSSKPGTKTTAADENDSVCYSRPQREAGDEEEEKKGNGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMMNRMNMQPLILPMAMQQQLQMSMLNMGMGVGMGMGVNVMDMNSVARPNIGGLSPVLHPTPFIPMASWDGSGDRLQSSSNTVMPDPLSAFLACQSQPIPMDAYSRMAAIYQQLQQQPPASNSKN >Manes.16G001601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:385098:386117:-1 gene:Manes.16G001601.v8.1 transcript:Manes.16G001601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIDGLPVSTGKDSILVVIDRLSKYAHFLPLAHPYSAKMVAELFVTGVVKYHEFWYNTSFHSSIGMTPFLALYGRDPPTISRYEPGSSLINEVDRNLATWDAILRDLKIHLSRSVHQMKQVADKKRRDVEFFPGDFVYLKLQPYRQQFVSKRAFQKLACRFYGPYLVEEKIGKLAYRLQLPVESKVHPVFHVSLLKKYVGDAAPISSDIPQLTDDGYFVFEPAAILDTRWVRLSNRFTEESLVQWTHLPKEDATWEVTANLSARFP >Manes.S030752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2480206:2481453:1 gene:Manes.S030752.v8.1 transcript:Manes.S030752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSRCGYRTLNTGGRAMQPVLVCVLGGVGLLLGGVLRSSGSWMDVGAVVVLQYLGWGDELGANAFVKALRVLGGGRGDIMPCSILCASGVEAHAAAEWQFPLVAVAHCCSCRCVPLWWLLLLRLWG >Manes.18G078225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7115700:7118234:-1 gene:Manes.18G078225.v8.1 transcript:Manes.18G078225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSMCMESEERNVNFSQSYKKIVSSLSSFKDPTHRQIVDSKVETISRLAQWRIENFGPCSLKKSDPFKLGIWNWQLSVERNGLLYIRLFPEPSPASKEQSPIAKFILRVYSAGANPRTYASPVQERLLRTCDDFVWPVDSTFHGRFTIDVEFLDLKVCLSNGEEACSIWHSDGVTQCFSNQSTHRCLSRMLDEAIYADVTIHTADGTLRAHKAILCASSPVFRSMFYHDLKEKESSTIYIEDMSMESCMAFLNYMYGTIKREDFWKHRLELLDAANKYDIADLKDACEESLLEDIDSGNVLERLQEAWLYQLDKLKKACLTYLFDFGKINDVREEINNFFEQADKELVLEMFQEVLTVWKPLVFTL >Manes.18G070600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6369768:6374390:1 gene:Manes.18G070600.v8.1 transcript:Manes.18G070600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPAETLTVQELQQLGDEDTGRRERAQWVLNSPDPPGLLHDLIGSVKEVVLPHGHTTARQTASGRAMSFLQGLFPIFSWGKGYRVSKFKNDLMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVVPPLIYALMGSSREIAIGPVAVVSLLLSSMIQKIEDPATDPAAYRKIVFTVTFFAGTFQAVFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGISHFTTKTDVVSVLESVFTSIDHPWCPLNFVLGCSFLIFLLIARFIGRRNKKLFWFPAIAPLISVILSTLIVFLTKADKHGVKIVKHIKGGLNPSSVHELQFKGQNVGQAARIGLISAIVALTEAIAVGRSFASIKGYHLDGNKEMLAMGFMNIAGSLTSCYVATGSFSRTAVNFSAGCESVVSNIVMAITVFLSLELFTRFLYYTPIAILASIILSALPGLINIHEAYYIWKVDKLDFLACIGAFFGVLFASVEIGLLAAVTISFAKILLNGIRPGVEELGRLPRTDTYCDINQYPMAIKTPGILIVRVNSALLCFANASFIRERIMRWVTEEEGKSKESTKGRIQVVIIDMSNVTNVDTAGILALEELHKKLMSRETELAIANPRWQVIHKLKLAKFGERIGRGRIFITVDEAVAASISSKLTSLNNC >Manes.18G070600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6368355:6374651:1 gene:Manes.18G070600.v8.1 transcript:Manes.18G070600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPAETLTVQELQQLGDEDTGRRERAQWVLNSPDPPGLLHDLIGSVKEVVLPHGHTTARQTASGRAMSFLQGLFPIFSWGKGYRVSKFKNDLMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVVPPLIYALMGSSREIAIGPVAVVSLLLSSMIQKIEDPATDPAAYRKIVFTVTFFAGTFQAVFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGISHFTTKTDVVSVLESVFTSIDHPWCPLNFVLGCSFLIFLLIARFIGRRNKKLFWFPAIAPLISVILSTLIVFLTKADKHGVKIVKHIKGGLNPSSVHELQFKGQNVGQAARIGLISAIVALTEAIAVGRSFASIKGYHLDGNKEMLAMGFMNIAGSLTSCYVATGSFSRTAVNFSAGCESVVSNIVMAITVFLSLELFTRFLYYTPIAILASIILSALPGLINIHEAYYIWKVDKLDFLACIGAFFGVLFASVEIGLLAAVTISFAKILLNGIRPGVEELGRLPRTDTYCDINQYPMAIKTPGILIVRVNSALLCFANASFIRERIMRWVTEEEGKSKESTKGRIQVVIIDMSNVTNVDTAGILALEELHKKLMSRETELAIANPRWQVIHKLKLAKFGERIGRGRIFITVDEAVAASISSKLTSLNNC >Manes.18G070600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6370023:6374390:1 gene:Manes.18G070600.v8.1 transcript:Manes.18G070600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSREIAIGPVAVVSLLLSSMIQKIEDPATDPAAYRKIVFTVTFFAGTFQAVFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGISHFTTKTDVVSVLESVFTSIDHPWCPLNFVLGCSFLIFLLIARFIGRRNKKLFWFPAIAPLISVILSTLIVFLTKADKHGVKIVKHIKGGLNPSSVHELQFKGQNVGQAARIGLISAIVALTEAIAVGRSFASIKGYHLDGNKEMLAMGFMNIAGSLTSCYVATGSFSRTAVNFSAGCESVVSNIVMAITVFLSLELFTRFLYYTPIAILASIILSALPGLINIHEAYYIWKVDKLDFLACIGAFFGVLFASVEIGLLAAVTISFAKILLNGIRPGVEELGRLPRTDTYCDINQYPMAIKTPGILIVRVNSALLCFANASFIRERIMRWVTEEEGKSKESTKGRIQVVIIDMSNVTNVDTAGILALEELHKKLMSRETELAIANPRWQVIHKLKLAKFGERIGRGRIFITVDEAVAASISSKLTSLNNC >Manes.18G070600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6369851:6374651:1 gene:Manes.18G070600.v8.1 transcript:Manes.18G070600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPAETLTVQELQQLGDEDTGRRERAQWVLNSPDPPGLLHDLIGSVKEVVLPHGHTTARQTASGRAMSFLQGLFPIFSWGKGYRVSKFKNDLMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVVPPLIYALMGSSREIAIGPVAVVSLLLSSMIQKIEDPATDPAAYRKIVFTVTFFAGTFQAVFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGISHFTTKTDVVSVLESVFTSIDHPWCPLNFVLGCSFLIFLLIARFIGRRNKKLFWFPAIAPLISVILSTLIVFLTKADKHGVKIVKHIKGGLNPSSVHELQFKGQNVGQAARIGLISAIVALTEAIAVGRSFASIKGYHLDGNKEMLAMGFMNIAGSLTSCYVATGSFSRTAVNFSAGCESVVSNIVMAITVFLSLELFTRFLYYTPIAILASIILSALPGLINIHEAYYIWKVDKLDFLACIGAFFGVLFASVEIGLLAAVTISFAKILLNGIRPGVEELGRLPRTDTYCDINQYPMAIKTPGILIVRVNSALLCFANASFIRERIMRWVTEEEGKSKESTKGRIQVVIIDMSNVTNVDTAGILALEELHKKLMSRETELAIANPRWQVIHKLKLAKFGERIGRGRIFITVDEAVAASISSKLTSLNNC >Manes.10G055600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7074191:7077598:-1 gene:Manes.10G055600.v8.1 transcript:Manes.10G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQYGSPDLRQLVAGRNHFQGIPLATEPFFLQTRTHGPQVHHFHHDSTVPAAHSGAEVMLPSGFIKLAHDHYYTNAATIPTTAAAAAATAATSSGAGGSFFGVDMENGWIGNDAGNNSRWPRQETLTLLEIRSRLDSRFKEATHKGPLWDEVSRIMAEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETSNQTSASETHLVNPTSSFLYQTPTSHTINQENQESLQETKLTESLSFSNTFEFETSSSENNDVDLSAVAYMMNQSTEKMKGLSESQSYTKGKKSWKAKVKEFVDLKMRKLVERQEAWMERMLKTIEDREQERIYREEEWMKQEVARLDRIHEFWAKKRAWIEARDAALMEALKEHTGKGLELPSSSTSFDEQIAIETQSHYRYQDRNAKKIDMHETSSMRWMEPEILSLIQLRTTMEPRFQESEYSKEGLREEIAAKMDSLGYDQSTDECKEKWEKMNNYFNMTTTEGNKKRKEDLRTSNYFQSLDPYNDQEMAKLDERLNNSPSSNSYVGSQVNGSNCYHQDPINGGGHLWNKYGLKLSKEKNQLL >Manes.01G198240.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37243299:37245444:1 gene:Manes.01G198240.v8.1 transcript:Manes.01G198240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPITITITGNPFAISHHFRGYSSPFLRNFSNSTTLSLSCRLPLFALPVFFFFFFRFPYREKEREREMEVNGCRDYAKMKWRRRQRRAVRGSVRMKVKKLQKLIPGGQGLQPDRLFLMTADYILHLRLQVNVLQALSKIYKP >Manes.07G072500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:19875017:19878806:1 gene:Manes.07G072500.v8.1 transcript:Manes.07G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLEPLDLSVQVPYHFRCPISLELMRDPVTVCTGQTYDRSSIESWVATGNTTCPVTRSPLTDFTLIPNHTLRRLIQDWCVANRAFGIERIPTPKQPAEPSLVRSLLNQAASESNPYQSRLSALRRLRGLARDSDKNKSVISSHNAREVFVNLLFENAADSNSSDLSHEALALLVMFPLTESECVCIASDSERIIYLANLLFNSSIEVRVNSAALIEIVVAGTKSSDLRAQISNVDEIFEAVIEMLKNPISYPRALKIGIKALFALCLAKQTRHKAVAAGAADILIDRLADFDKCDAERALATIELLCRVPAGCEAFAGHALTVPLLVKTILKISDRATEYAAGALLALCTASEQSQREAVSAGILTQLLLLVQSDCTDRAKRKAQMLLKLLRDSWPEDSSGISDDFICSEVVPF >Manes.18G118900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12482077:12482658:-1 gene:Manes.18G118900.v8.1 transcript:Manes.18G118900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQTRNHGCGGGGGGGGTSSSYRGVRKRKWGKWVSEIREPGKKTRIWLGSFDTPEMAATAYDVAALHFRGREAKLNFPELVNDLPKPESSNAEDIRMAAHEAALRIRTPMKEPESGGGGGSSGNVGSSNSVVGPVTVRLSQSQIQAINESPLDSPKMWMQMAMVEDPMMFSHDVGEDDDWNYNMQNDSLWDP >Manes.06G164900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29139055:29145204:-1 gene:Manes.06G164900.v8.1 transcript:Manes.06G164900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPSGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFEEKLSTIIEEFPRLDDIHPFYSDLLHVLYNKDHYKLALGQVNTAKNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDKKLVMEMKAEAMKTVIGQGGEPTNDEGVLLTMSTLTEEGVIAVKNAACERLLDQRVELKMKSKKINDCLNRFHVAMPKPRDQKERPPCIPQAVLEAKAKQAAQAAEKEKRKTEKDLEDENGGAGVYSASLKKNYILANDEWKEDVLPEILDGHNVYDFIDPDILQRLEELEQEEGIRQAEEENEDFEMDGEELTPEEQAALAEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDRKFTTGRMGRQLSALGLDPSQAINRVRGRSLSRRGRKRERSVDKGENDAGDAMDMDVDQQNKKLRMKSRSRSRSKSRPPSEVAPGEGFKDSAQKVKALKIAKKSVKKRNKDARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >Manes.06G164900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29140549:29145204:-1 gene:Manes.06G164900.v8.1 transcript:Manes.06G164900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPSGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFEEKLSTIIEEFPRLDDIHPFYSDLLHVLYNKDHYKLALGQVNTAKNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLEGISEEDKKLVMEMKAEAMKTVIGQGGEPTNDEGVLLTMSTLTEEGVIAVKNAACERLLDQRVELKMKSKKINDCLNRFHVAMPKPRDQKERPPCIPQAVLEAKAKQAAQAAEKEKRKTEKDLEDENGGAGVYSASLKKNYILANDEWKEDVLPEILDGHNVYDFIDPDILQRLEELEQEEGIRQAEEENEDFEMDGEELTPEEQAALAEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDRKFTTGRMGRQLSALGLDPSQAINRVRGRSLSRRGRKRERSVDKGENDAGDAMDMDVDQQNKKLRMKSRSRSRSKSRPPSEVAPGEGFKDSAQKVKALKIAKKSVKKRNKDARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >Manes.02G040500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3321562:3327000:1 gene:Manes.02G040500.v8.1 transcript:Manes.02G040500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKTLRFSVILTNLALLQQQNGNHSPSRGRGKHQHQHHHHHIHNEWWRSSATQQRRKIRWSLVCGLMLFILGLISLFTGHVASDLEWYSQRLVKHSLGRLDGSRREPIDIWKSKYSKFFYGCSERGRNFAPAKRGRSSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFVNIFDVDWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPPEYYLDQVLPILLRRHVVQLTKFDYRLANNLDDEQLQKLRCRVNYHALRFAKPIEDIGQRLVMKMRNMVPHFIAIHLRFEPDMLAFSGCYYGGGEKEKFELGEIRKRWETLPDLSAEEERARGKCPLTPHEVGMMLRALGFANDTYIYVASGEIYGGEDTLRPLRELFPNFYTKEMLANEELKPFLPFSSRLAAIDYIVCEESDVFVTNNNGNMAKILAGQRRYAGYKRTIRPNAKRLSALLMERDKMEWDTFAKKVKSCQRGFIGEPDEMRPGRGEFHEYPSPCICEKPFSDDGGSNDEAHLLQQVPMNSKAKVLSKYVEEKQSKKSL >Manes.02G040500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3321584:3327381:1 gene:Manes.02G040500.v8.1 transcript:Manes.02G040500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKTLRFSVILTNLALLQQQNGNHSPSRGRGKHQHQHHHHHIHNEWWRSSATQQRRKIRWSLVCGLMLFILGLISLFTGHVASDLEWYSQRLVKHSLGRLDGSRREPIDIWKSKYSKFFYGCSERGRNFAPAKRGRSSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFVNIFDVDWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPPEYYLDQVLPILLRRHVVQLTKFDYRLANNLDDEQLQKLRCRVNYHALRFAKPIEDIGQRLVMKMRNMVPHFIAIHLRFEPDMLAFSGCYYGGGEKEKFELGEIRKRWETLPDLSAEEERARGKCPLTPHEVGMMLRALGFANDTYIYVASGEIYGGEDTLRPLRELFPNFYTKEMLANEELKPFLPFSSRLAAIDYIVCEESDVFVTNNNGNMAKILAGQRRYAGYKRTIRPNAKRLSALLMERDKMEWDTFAKKVKSCQRGFIGEPDEMRPGRGEFHEYPSPCICEKPFSDDGGSNDEAHLLQQVPMNSKAKVLSKYVEEKQSKKSL >Manes.02G040500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3321616:3326991:1 gene:Manes.02G040500.v8.1 transcript:Manes.02G040500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIKTLRFSVILTNLALLQQQNGNHSPSRGRGKHQHQHHHHHIHNEWWRSSATQQRRKIRWSLVCGLMLFILGLISLFTGHVASDLEWYSQRLVKHSLGRLDGSRREPIDIWKSKYSKFFYGCSERGRNFAPAKRGRSSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFVNIFDVDWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPPEYYLDQVLPILLRRHVRQFAESVVQLTKFDYRLANNLDDEQLQKLRCRVNYHALRFAKPIEDIGQRLVMKMRNMVPHFIAIHLRFEPDMLAFSGCYYGGGEKEKFELGEIRKRWETLPDLSAEEERARGKCPLTPHEVGMMLRALGFANDTYIYVASGEIYGGEDTLRPLRELFPNFYTKEMLANEELKPFLPFSSRLAAIDYIVCEESDVFVTNNNGNMAKILAGQRRYAGYKRTIRPNAKRLSALLMERDKMEWDTFAKKVKSCQRGFIGEPDEMRPGRGEFHEYPSPCICEKPFSDDGGSNDEAHLLQQVPMNSKAKVLSKYVEEKQSKKSL >Manes.15G177900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:20462905:20464173:1 gene:Manes.15G177900.v8.1 transcript:Manes.15G177900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRALRVLFGAIVFLGVLWFLCVGILANHAIRITRRAQVVSTETFEHSILIGRGRYHHHKDLDLNYVSKRRVPNGPDPIHNRRASQSRQPPGRT >Manes.14G073100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6053374:6062025:-1 gene:Manes.14G073100.v8.1 transcript:Manes.14G073100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQLSSSPIPLNDTPHSATASNHSSAPHDEGTPPPVPSPAPAPPINRSNRPSRACTIRAAERLQAAQQQAAIERKQKPKKDQQQQQRCDESLQQKEQCSASSKIITPLVGPPEPAQLLRWSLRSMWELASILNFLHVFRHLLNIQVEFSAEEFETALITPNDTLGDIHIPLLKAIPPITRIALTRDTWITVLCRKLRDWWHWVADGELPIVASHGVEIEVYKTLDPGIRVVILKALCDIRVEQEDIRNYIDNSIKHGIQLSVFRKERVGGDSQGINYWYEDDPIIGHRLYREIRKVEVKKAKAKGSQVLPNATYQWETVATNFDEFQDVSEKLFTSKNRTEASLGKKLKNDMLPEIEKVHKRKERLLKKQHRQALLLDNFLSVDGLSLGRSLRDRKPVTYTFDDYDRSINEAIKITKQKPPSPEHIHRREDIMKPEASTNGKWSGPSHVPEHGTFSLTNPNSPSYDDIDEDHKPELLDRSNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAVYDEEYLRKRKQRRKLSSSSEGDEEYRYDEENGEEEEEEEEEEDSSSISEDSDEPQKFKRLPGRTRRETKLRSVDELQSGLRRSKRATRNRVNYRQYELSESDTESRKPEKSNPSDEYLDASENAEYSAGSQDSDNNEDEEQDMKVDQPVKGYTEAHGKEQNQPPEKSNSPSPDEVEGVRNRRFLDLNELAPGSGFDDGPNTIMKDEDRENF >Manes.14G073100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6053374:6062019:-1 gene:Manes.14G073100.v8.1 transcript:Manes.14G073100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQLSSSPIPLNDTPHSATASNHSSAPHDEGTPPPVPSPAPAPPINRSNRPSRACTIRAAERLQAAQQQAAIERKQKPKKDQQQQQRCDESLQQKEQCSASSKIITPLVGPPEPAQLLRWSLRSMWELASILNFLHVFRHLLNIQVEFSAEEFETALITPNDTLGDIHIPLLKAIPPITRIALTRDTWITVLCRKLRDWWHWVADGELPIVASHGVEIEVYKTLDPGIRVVILKALCDIRVEQEDIRNYIDNSIKHGIQLSVFRKERVGGDSQGINYWYEDDPIIGHRLYREIRKVEVKKAKAKGSQVLPNATYQWETVATNFDEFQDVSEKLFTSKNRTEASLGKKLKNDMLPEIEKVHKRKERLLKKQHRQALLLDNFLSVDGLSLGRSLRDRKPVTYTFDDYDRSINEAIKITKQKPPSPEHIHRREDIMKPEASTNGKWSGPSHVPEHGTFSLTNPNSPSYDDIDEDHKPELLDRSNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAVYDEEYLRKRKQRRKLSSSSEGDEEYRYDEENGEEEEEEEEEEDSSSISEDSDEPQKFKRLPGRTRRETKLRSVDELQSGLRRSKRATRNRVNYRQYELSESDTESRKPEKSNPSDEYLDASENAEYSAGSQDSDNNEDEEQDMKVDQPVKGYTEAHGKEQNQPPEKSNSPSPDEVEGVRNRRFLDLNELAPGSGFDDGPNTIMKDEDRENF >Manes.02G141300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10858252:10869042:1 gene:Manes.02G141300.v8.1 transcript:Manes.02G141300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLTNAWRMTVNEKKFIETALASDLRIDGRNPLEYRKITIKFGREDGSSEVQLGQTHVMGYVTSQLVQPYRDRPNEGSLSIFTEFSPMADPSFEPGRPGESAVELGRIIDRGLRESRAVDTESLCVLAGKLVWAIRIDLHILDNGGNLIDAANIAALAALLTFRKPECTLEGEDGQQVIVYPPEVKEPLPLIVHHLPIAVTFAFFNSEHTMVVDPNHNEEAIIRGRMTITVNANGDICAIQKAGGLGVTQSDIMRCLRLASRNAESITKKIKDAVESYNTERALRKIKRLTTPVAVNVNVSGDVRELENNFFVHKGISELSRDKMERLKLESEERCTNQSNDIDGGLRSSEQGGTSRREGNATNFLGGPSSWDPYSKGVDSDALKASLALRGISAPNKQKGGGQKSTQAKEDKVPEDTKPATSATDASGTGPQMSGEKTLKDAVKPKNKRRKRHPPA >Manes.10G016800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1753254:1757535:1 gene:Manes.10G016800.v8.1 transcript:Manes.10G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISARPIEKVIVHPLVLLSIVDNYSRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEEDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHGLFTDYVPNPVLVIIDVQPVELGIPTKAYCAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVSGKLTALKGLDARLREIRGYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDTKTVAVPAAAGS >Manes.14G089351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7386795:7388309:1 gene:Manes.14G089351.v8.1 transcript:Manes.14G089351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLHGHSLISTYYKISHNPLRLGKIWCFLIVPLMVVTFPGHPPTLGSASQAQSVGVVAASQFFTPPPLPHLSCGGWPPNPPQFPLILFSFSRKSNNCISAYLHQIRLSDLPSIPISLFFFLFWIAKKNKMIKFVEIHIWIGIELTVPDYPEKFVS >Manes.02G184800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14786366:14788717:-1 gene:Manes.02G184800.v8.1 transcript:Manes.02G184800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKSFTPLLFISFLLLASCLSSSNGELFKPNVTIANGLEFGYYRFTCPQVDTLVRNYLTQVYRADPGQAAAILRLQSHDCFVQGCDGSVLLDRSPERSEIPNKHFKQQTFQIIETLRQIVHTHCGRVVSCSDLLTLAARDAVFLTGGPDFTVPLGRRDGVTFPRVNQTFEDLIAPTAKTTEILTKFARKGLNLLDTVALSGAHTIGIARCSSFRERLFPTRDPTMEISLYEKLRPYCAFPEFDSLTWLDFRSPFKFDNLYFVDLINRQGLFTSDQDLFEDPRTRGTVINFANNEFQFFASFAGALIKMGTVGVLTDGQGEIRARCNMRNSDFFNLESVLEKDKNSSSAAI >Manes.02G184800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14786366:14788718:-1 gene:Manes.02G184800.v8.1 transcript:Manes.02G184800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKSFTPLLFISFLLLASCLSSSNGELFKPNVTIANGLEFGYYRFTCPQVDTLVRNYLTQVYRADPGQAAAILRLQSHDCFVQGCDGSVLLDRSPERSEIPNKHFKQQTFQIIETLRQIVHTHCGRVVSCSDLLTLAARDAVFLTGGPDFTVPLGRRDGVTFPRVNQTFEDLIAPTAKTTEILTKFARKGLNLLDTVALSGAHTIGIARCSSFRERLFPTRDPTMEISLYEKLRPYCAFPEFDSLTWLDFRSPFKFDNLYFVDLINRQGLFTSDQDLFEDPRTRGTVINFANNEFQFFASFAGALIKMGTVGVLTDGQGEIRARCNMRNSDFFNLESVLEKDKNSSSAAI >Manes.03G154300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28202325:28202705:1 gene:Manes.03G154300.v8.1 transcript:Manes.03G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQIILLILVISSAHGREKKLVEIINDLGPNIELKYHCKSKNDDLGQRVLPYNGSWYFTFRPNLLGNTLFYCQFSWGQISHWFNIYEDSRDRMRCHDCLWYIRGNGPCTLNVCSQKFDLCFQWNS >Manes.06G093140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22812124:22813917:-1 gene:Manes.06G093140.v8.1 transcript:Manes.06G093140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENKVKLHGMWASPYVKRVELALRAKGIPYEYIEEDLNNKSQLLFQYNSIHKKVPVLGAPQLLSDDPYHRAQVRFWAAFIQQQLIEGIGRIITSDGEAQERATKELREKMNVFEEEMKLFPSGPIIQGGNLGLLDILVSATFSPFKAQEEVSGAKILDPKRNPLIFSWVTTLNQMPIVKGALPSHEKLVALLHFIRKTRLELSAYSILEGKRIGENGFYITLEKIYKASLHAPRVLSPPVPLCLWLAASTNAWRILEASRILARPPAHVNLVCQRGLPASSHARGQPARSLCTRCLPTCPVNLICAWTSPDVPGTFSNVPTPLNLLPSGDAPSFQHLPHAGLAQLHPVGPANRQIASIAFASPAGLLGSTLSPVSQ >Manes.15G053200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4095827:4102372:-1 gene:Manes.15G053200.v8.1 transcript:Manes.15G053200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLASRNLNFLFHRPRVISNEFTTPPFQALYSTHIVGDKPILVRDFIHSALYHPKHGYFSQRSRSVGVLERSIKFNQLEGRKAYINHLDKVYKQSDTSWFTPVELFKPWYAHGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPARVYNSLTYTSVEISPSLAEIQKETVGEVRSHLSKFKVECRDAADRSGWRDVEQQPCWVIMLEVLDNLPHDLIYSENQVLPWKEVWVEKQQDRKTLSELYKPLQDPLIKRCVEVMELDSNQSVSKVSSIWSKVFPKPRRCWLPTGCLKLLEVLHDALPKMSLIASDFSYLPDVSIPGERAPLVSTKKDGRSSDYKSYLEAKGDADIFFPTDFSLLERMDHYCSGWLKPHQNKSSKQGKKRRTLVLDTSSFMEEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNIK >Manes.15G053200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4095827:4102372:-1 gene:Manes.15G053200.v8.1 transcript:Manes.15G053200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSLASRNLNFLFHRPRVISNEFTTPPFQALYSTHIVGDKPILVRDFIHSALYHPKHGYFSQRSRSVGVLERSIKFNQLEGRKAYINHLDKVYKQSDTSWFTPVELFKPWYAHGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPARVYNSLTYTSVEISPSLAEIQKETVGEVRSHLSKFKVECRDAADRSGWRDVEQQPCWVIMLEVLDNLPHDLIYSENQVLPWKEVWVEKQQDRKTLSELYKPLQDPLIKRCVEVMELDSNQSVSKVSSIWSKVFPKPRRCWLPTGCLKLLEVLHDALPKMSLIASDFSYLPDVSIPGERAPLVSTKTIAEEAGWQR >Manes.11G119700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897939:27905250:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSINSPWKTYDTFISFRGADIRDNFLSHLYEALNRKHIRTFKDENLNRGEEITPALLETIENSKISIVVFSENYAYSPWCLDELVKILECQETKGQLVLPVFYQVDPTDVQELTGRFEDAIAQHKQKFSLEKVESWSRALMVTANISGWDSGKTKPESKMLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQKIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897989:27906928:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQSIFLDIACFFKGEDLQPVKDILDSCGFFAEIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897989:27906928:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQKIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897939:27905250:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQKIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897950:27906034:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQSIFLDIACFFKGEDLQPVKDILDSCGFFAEIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897989:27906928:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSINSPWKTYDTFISFRGADIRDNFLSHLYEALNRKHIRTFKDENLNRGEEITPALLETIENSKISIVVFSENYAYSPWCLDELVKILECQETKGQLVLPVFYQVDPTDVQELTGRFEDAIAQHKQKFSLEKVESWSRALMVTANISGWDSGKTKPESKMLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQSIFLDIACFFKGEDLQPVKDILDSCGFFAEIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897913:27906034:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSINSPWKTYDTFISFRGADIRDNFLSHLYEALNRKHIRTFKDENLNRGEEITPALLETIENSKISIVVFSENYAYSPWCLDELVKILECQETKGQLVLPVFYQVDPTDVQELTGRFEDAIAQHKQKFSLEKVESWSRALMVTANISGWDSGKTKPESKMLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQSIFLDIACFFKGEDLQPVKDILDSCGFFAEIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897913:27906034:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQSIFLDIACFFKGEDLQPVKDILDSCGFFAEIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897989:27906928:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSINSPWKTYDTFISFRGADIRDNFLSHLYEALNRKHIRTFKDENLNRGEEITPALLETIENSKISIVVFSENYAYSPWCLDELVKILECQETKGQLVLPVFYQVDPTDVQELTGRFEDAIAQHKQKFSLEKVESWSRALMVTANISGWDSGKTKPESKMLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQKIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G119700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27897989:27906928:1 gene:Manes.11G119700.v8.1 transcript:Manes.11G119700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEIISDVSKKLSNLFLSVHDEEGFVGIDSRVETVETLLCLETDDVRMIGIWGMGGIGKTTIADKVFSRIKDKFENPCFISNAREELEKCTLADLRDKIHGKILGVENFYKGTPFTLHPFIKRSLPNARVLVVLDDVDDSQHLTDLVGGFNLYGPGSRIIVTSRDKEVLETVDCKKYIYEVKELVYRESLQLFSLHAFKQTHLAEGYMKQLSERAISYTRGVPLALKVLGCSLYGKDEKEWVSELEKLETIPNKKIQDILRRSYDGLDRNEQSIFLDIACFFKGEDLQPVKDILDSCGFFAEIGIRRLVDKSLVAISEEKLKMHDLLQQMGMDIVCEENKEPRKRSRLWNAKDICDMLTRDKGAGRVESILLDLSKIVGMELSPCVFEKLYNLRLVKFYNPLSREIKLHLPKGLKCLPNELRFLYWDQCPLKSLPSKFHPENLVELHLHSSQLKQLWNEDVPCLEKLKFMDLSNSEQLMRIPDLSKFPNLEVMFLRGCTSLVEVSSSTKYHSKITGLDFRNCRKFSHLSSRIYLMNLVSLSFDGCSKLAHLPSSLGECGCLKNLNLIECSKLTSLPNSICNLKSLMKLLITDCVNLRGLPENLGDLESLEELIIRRGIKKLPSSINQLKELKFLTCNGCKGLKLPPLTGLACLQFLSLEGCGISEVPSNLGSLGSLVNLVLTGNNFRSIPISIIECSGLKELHLEECKKLQFLPELPSALRWLNAENCTSLEFLSSSFMQGYTNSCLLTDFSKCINLNQSAYRGLMDCLLLKLQSMGKPDEKYLVKQADATNICCIKELCIPGSEVPEWMMYKNDSGSSLSFSFSPPHATHFLKIAFCALFAPKANDPRKFMYVGCNCRFMTESGGILEASSGCALVNKHHMSLWYSAIHFNSNKFWITKASFQFYAVCDDQFFAIMDKEEFISNVISKCGVQLIFDYNLEDDDNRQRLSAMVDHDEVICEGLFFT >Manes.11G003200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:430094:431639:1 gene:Manes.11G003200.v8.1 transcript:Manes.11G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVISVESIKPSSPVHHLKPFKLCLLDQLTPTSYSPLILFYPIHAHLKSTQFLTQLKSALSKTLTPFYPLSGRVKDNYFIHNYEEGVPFIEARVKGHLSDFLQHPPMELLNQFLPCQPFCQQPNPTVAQVAVQVNMFDCGGIALGMCFSHKINDGITGSSFIKSWAANARGSAYEAINPNLSEASSLFPPQQSLPSYYTSLMESLWFSRRSFRTRRFVFGANAVATLTAKGRSKFVERPTRVEGLCCFIWRCCMNACRSISGSPRASVLSQAVNIRRIAKPRLSRYSIGNLVWSAITSYNPDETEMGMQELVALVREGVGKINSEYIKKLSGEGCVAIFEHLDRLADIGSGKTDVFSFFSWHKFDFSEIDFGWGKPVWVGIFGEASRSSPCDSNFIILKDVGRNNGIEAWMTLDDNVMAMLEHDPEFLAFASLN >Manes.18G016400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1724913:1727710:1 gene:Manes.18G016400.v8.1 transcript:Manes.18G016400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNINYHSYPCLMHICADYMCSDTTTVGFNYKLLIVVVFPPFLPHRLWVFDSAEFNSNSILRPCFVQSNLLREMLQTEFVTFSGVERLDVWVLYQ >Manes.18G016400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1725355:1727051:1 gene:Manes.18G016400.v8.1 transcript:Manes.18G016400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNINYHSYPCLMHICADYMCSDTTTVGFNYKLLIVVVFPPFLPHRLWVFDSAEFNSNSILRPCFVQSNLLRGKNVAD >Manes.12G088100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:16253996:16255764:1 gene:Manes.12G088100.v8.1 transcript:Manes.12G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLVTYLLPILSIIFYICKESLKKRNQTCYMLHYECFKATDDRKLDAGSSAKIIRRNKNLGLEEFRFLLRTIVSSGIGEETYCPKNVMEGREQSPSLVDGYSELDEVIFNTLDRLFANTGVSPSDIDIVVTTVSLFTSFPSLTSRIINRYKMREDTKVYNLSGMGCSGSLVGIDLVQQLFRSSNQKYFAIVVSTECMGQRWYCGKEKSMMLSNVLFRSGGCSMLLTNNSCLKNRSILKLDYLVRTHLGSSDEAYNSCLEVEDELGYRGVHLSRNLQKVAAEALTLNLKVLLPKVLPLWEILRYVISSNFRNKATKKPNIGINLKTGIKHFCIHPGGRAIIDKVGESLGLNSYDLEPSRMALYRFGNTSSGGLWYELGYLEAKKRLKKGEKILMISLGAGFKCNNCVWEVMRNLEDANVWEDCIDRYPPNINVNPFLEKLSWITEECPDFAGLE >Manes.08G109200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34834033:34837505:1 gene:Manes.08G109200.v8.1 transcript:Manes.08G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGDLLPFLAMVIVQFGFAGMNITSKLAMDSGMKPLVLVSYRQIFATIAMVPFAYFFEWKTRPKITKTLLLQIFLCSLTGVTGNQVFYFVGLENSTPTIGCALTNILPAVTFILAVLLRQESVGIRKISGQAKMFGTIICVAGAMLLSFYHGPIINIGESSIHWKYADDMGSSSSASKSNFILGSLFIMASAICWAIWFTLQVKVSDKFPAPYTSTFLMCFMGSIECVVIALGANHELSQWSLRSPGRLVAALYAGVVCSALAFSLTSWSIQKKGALYVSVFSPLLLVIVAVLSWALLREKLYVGTAVGSVLIVAGLYAVLWGKDKETKVKVFEEIEAWKGEKGDVELQLPANSYGNNAAHAAN >Manes.02G020950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1853955:1875521:-1 gene:Manes.02G020950.v8.1 transcript:Manes.02G020950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLILPCKSSCLTKTSLLGLISSAPLQATGRASCFRNSAKNISKHRRFLSSEVTVRRNYRFLYPELQRAKEDKKRLICSVATEPLPKQVENSKMDAPKEIFLKDYKLPDYYFDTVDLDFQLGEEKTIVSSKITVYPRVEGSSSPLVLDGHHLKLISIKVNSEELKDKDYHLDSRHLTISSPPTDTFSLEIVTEIYPQKNTSLEGLYRSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRIEADKSLYPVLLSNGNLLEQGDLEGGKHYALWEDPFKKPCYLFALVAGQLESRDDTFITRSGRKVSLRIWTPAQDLSKTAHAMFALKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRTYQFPQDAGPMAHPVQPHSYIKMDNFYTVTVYEKGAEVVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANNADFANFLQWYSQAGTPIVKVVSSYDAEARTFSLKFSQEIPPTPGQPVKEPMFIPVALGLLDSTGKDMPLFSVYQDGTLKSFASDDQPVYSTVLRVTKKEEEFIFPDINERPVPSILRGFSAPIRLESDHSDSDLSFLLAHDSDEFNRWEAGQILARKLMLSLVADFQQKKPLLLNPNFVHGLRSILCDSSLDKEFIAKAITLPGEGEIMDMMKVADPDAVHAVRTFIRKQLASELKAELLTTVENNRSSEEYVFNHSNMARRALKNVSLAYLASLEHEELTELALHEYKSATNMTEQFAALAAIAQNPGKTRDDVLADFYNKWQHDFLVVNKWFALQAMSDIPGNVENVRNLLKHPAFDLRNPNKVYSLIGGFRGSPVNFHAKDGSGYQFLGEMVVQLDKINPQVASGMASAFSRWRRYDENRQTLAKAQLEMIMSTNGLSENVFEIASKSLSA >Manes.05G120878.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:14733191:14734651:-1 gene:Manes.05G120878.v8.1 transcript:Manes.05G120878.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLRGPILDQNLNSEEEKKKLGMEKSLRKVRIIYHDPEATDSSSDEDEGFIERIDRLSTGKRIVREITLSILPCEPVAEDSSQHNSNGDKVRSTTNCGENKKTRMASSNYKGVRRRPWGKYIAEIRDPFRKVRIWLGTYDTEEEAAAAYRKKEEEFERMMEENKLNSSLNDSKAVPEQSGDMFSHPSPSSVLDVSNTSSQVHGVESSIKEEISLQKAVKECSLENLVEDYFTEDLSISKFWDEPLLSPSISQDFLGIDCYPEFGNDFEKFFDGAEDFFMAKSNDEVGDFSLDGVLDLPNIEELETLAFVEDTLNFACP >Manes.10G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30761309:30768342:1 gene:Manes.10G140100.v8.1 transcript:Manes.10G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMKTVPLTTSNNANVGVPQKTIEETYQKKSQLEHILLRPDTYIGSIEKHTQSLWVYEDEKMVHRQVTYVPGLYKIFDEILVNAADNKQRDSTMDSLKVVIDVENNLISVYNNGDGVPVEIHKEEGVYVPELIFGHLLTSSNYDDTVKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFSNNMGNKSEPCIKKCKEGENWTKVSFKPDLAKFNMATLEDDVVALMKKRVVDMAGCLGNTVKVELNGKRVPIKSFQDYVKLYLDSDSESKETPKSFYLKVSERWEICVSVTEGQFQQVSFVNGIATIKGGTHVDYVTNQIANYLVGVVNKKHKNANIKAHNVKNYLWVFVNALIDNPAFDSQTKETLTLRQSNFGSKCEIPEDFLKKVAKSDIVDNLLSWAKFKQDKELKKSDGTKKGRVNIPKLEDANEAGTKNSHKCTLILTEGDSAKALAISGLGALPQDIRDLYGVFPLRGKLLNVREATSKQLNENKEIESIKKILGLQQNKQYDSVKPLRYGHLMIMTDQDYDGSHIKGLLINFIYHFWPSLLKIPSFLLEFITPIVKATHSSGNVLSFYTMPEYYAWKESLMGNASGWTIKYYKGLGTNDKREGKEYFRDIEKHKKDFIWIDDQDGNAIELAFSKKKIEERKNWLRQYQPGTHLDQKMKLIKYSDFINKELILFSVADVQRSIPSMIDGLKPAQRKILFSCFKRNLVKEIKVSQFQGYVSEKSAYHHGDQSLNGTIIGMAQNFIGSNNINLLAPKGQFGTRCMGGKDHASARYIFTCLSPITRFLFPKDDDKLLDYLEEDGLTIEPTWYVPIIPLVLVNGCEGIGTGWSTSVPNYNPRDIVANVRRLLNGEPMEPMHPWYRGFRGTIEKGASKENGATYTVSGLIEEVNETTVRITELPIRRWTDDYKEFLNSVVEGTRDEKGNLPKDAFIKDFKKYGDDANVCFEVLLSEEKMKEAKDEGLLKKFRITTSISTSNMHLFDQKGMIKKYGSPEEILEEFFHYRFACYEKRKTLKLADLEKELLKLENKVRFILGVVKGEIIVSNRKRADLLLELQQKGFTPFPKNISAVVAGAVDDAEEPEESIDETEANPRSVQASDYEYLLSMPIGTLTLEKVQKLCDDKDKINEEVETLRKTTPKDLWMTDLDAFESQLEKFEAEEREERQKSTGEVKGQGGFKVSKQAPKNPRKYNKTAKNLADAETMGKSSSSSMETETVSEVVKPRGRAGSKNAPAKKQEKPIPVSEDDDDEVESLKDRLKAYRLDSSPEHTTTMDTDVSQIPAREPTKRAAANKKTMTVLSDDSDSDNDVDANDDSEVEMVETKKKGGRKPVANAKAAAKPPAAAAGMKKRGPAGKQSQVLSQKLLTDMLKPAENSGISPEKKVRKMRASPFNKKSSSVLGRVNMENETTTSEEIMEVPTRARPQRANRRQTKYVISDSETENDADFDSVQESDDDDFSEDEE >Manes.08G069500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13259657:13283184:-1 gene:Manes.08G069500.v8.1 transcript:Manes.08G069500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSYQVPDAKIVKEGQVIVRARNLRSGANFIPSKAFRARRIYFSNEENGLLFVPKGQAQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMSGFGFDPTKSLSAEKDGLDIVQHEWALPKFEQRAEAVLRKLVS >Manes.02G158400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12377148:12381038:1 gene:Manes.02G158400.v8.1 transcript:Manes.02G158400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGTAKVSVKFIPILCIFCFALGILFSNRAWDPPESNGHLMAQRRREQELQVVSEDSTAQKKLSHDKDVMDEVLKTHDAIQPARSLDKSVAMLQMQLAASRSSQEMSLNGSAALSVLSHEGPPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDSQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEYYIKVDDDVHVNLGMLAATLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKFVHERCGEGDGAVWSALF >Manes.02G158400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12376956:12381067:1 gene:Manes.02G158400.v8.1 transcript:Manes.02G158400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGTAKVSVKFIPILCIFCFALGILFSNRAWDPPESNGHLMAQRRREQELQVVSEDSTAQKLSHDKDVMDEVLKTHDAIQPARSLDKSVAMLQMQLAASRSSQEMSLNGSAALSVLSHEGPPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDSQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEYYIKVDDDVHVNLGMLAATLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKFVHERCGEGDGAVWSALF >Manes.02G158400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12376956:12381067:1 gene:Manes.02G158400.v8.1 transcript:Manes.02G158400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGTAKVSVKFIPILCIFCFALGILFSNRAWDPPESNGHLMAQRRREQELQVVSEDSTAQKLSHDKDVMDEVLKTHDAIQSLDKSVAMLQMQLAASRSSQEMSLNGSAALSVLSHEGPPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDSQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEYYIKVDDDVHVNLGMLAATLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKFVHERCGEGDGAVWSALF >Manes.02G158400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12376982:12381038:1 gene:Manes.02G158400.v8.1 transcript:Manes.02G158400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGTAKVSVKFIPILCIFCFALGILFSNRAWDPPESNGHLMAQRRREQELQVVSEDSTAQKLSHDKDVMDEVLKTHDAIQSLDKSVAMLQMQLAASRSSQEMSLNGSAALSVLSHEGPPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDSQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEYYIKVDDDVHVNLGMLAATLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKFVHERCGEGDGAVWSALF >Manes.02G158400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12376982:12381038:1 gene:Manes.02G158400.v8.1 transcript:Manes.02G158400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGTAKVSVKFIPILCIFCFALGILFSNRAWDPPESNGHLMAQRRREQELQVVSEDSTAQKLSHDKDVMDEVLKTHDAIQPARSLDKSVAMLQMQLAASRSSQEMSLNGSAALSVLSHEGPPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDSQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEYYIKVDDDVHVNLGMLAATLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKFVHERCGEGDGAVWSALF >Manes.02G158400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12376982:12381038:1 gene:Manes.02G158400.v8.1 transcript:Manes.02G158400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGTAKVSVKFIPILCIFCFALGILFSNRAWDPPESNGHLMAQRRREQELQVVSEDSTAQKKLSHDKDVMDEVLKTHDAIQSLDKSVAMLQMQLAASRSSQEMSLNGSAALSVLSHEGPPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDSQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEYYIKVDDDVHVNLGMLAATLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKFVHERCGEGDGAVWSALF >Manes.02G158400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12376958:12381067:1 gene:Manes.02G158400.v8.1 transcript:Manes.02G158400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGTAKVSVKFIPILCIFCFALGILFSNRAWDPPESNGHLMAQRRREQELQVVSEDSTAQKKLSHDKDVMDEVLKTHDAIQSLDKSVAMLQMQLAASRSSQEMSLNGSAALSVLSHEGPPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLLQLEREKGIVIRFMIGHSATSNSILDRAIDSEDSQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEYYIKVDDDVHVNLGMLAATLARHRSKPRVYIGCMKSGPVLAQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCIASFDWSCSGICKSVEKIKFVHERCGEGDGAVWSALF >Manes.14G078500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6554470:6557915:-1 gene:Manes.14G078500.v8.1 transcript:Manes.14G078500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAATFSIAAAISFGPKASQLPQSKPFAMRFSSQNSLTSFSGLKASTSVRYESESSFLGKESAVALRGSFASKAQKSSQRSQYHLNPQASYKVAILGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQILDFTGASELANSLKGVNVVVIPAGVPRKPGMTRDDLFNINANIVKNLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVSTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTKPSVSFSDEEVQELTLRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFVQSDLTELPFFASRVKLGSNGVEAIISSDLQGLTEYEQKALEALKPELKASIEKGIAFVQKQPVAA >Manes.13G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33762374:33764157:1 gene:Manes.13G129500.v8.1 transcript:Manes.13G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKHFVLVHGGGHGAWCWYKVIPLLKLAGHQVTALDLGACGVNPKQLHEVSSISDYVQPLMEFMASLPPDEKIILVGHSFGGFSISLAMEKFPEKISVAVFVSAYMPSCRNPPATLIEEFFKWTPVDSLYDCHAYFSNGQDGLPVSFSIGPEYLTKMLYLHCPPEDLELGKLLVRPTGVFMDDLGKDSLLTEAKFGCVRRVYVECEGDVVMKEGFQKWLIANSPPEEVKVISEAAHMVMFSKPEEFSQILQDIAEKYN >Manes.02G076701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5824565:5824870:1 gene:Manes.02G076701.v8.1 transcript:Manes.02G076701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPGVAVAGLLSLKFHCHQALLCPLLDTGPSTKGPKCTPKACTYWKWKAKREAKKNSTVHGANEWDYHCNCTPIVAMAEDPRDRPPKERPASVIGLNVQN >Manes.02G069800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5323570:5324871:-1 gene:Manes.02G069800.v8.1 transcript:Manes.02G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDIANNTTLKLFGFNILENIPVDSRKSPSGSPETETEGRKYECQYCCREFANSQALGGHQNAHKKERQLLKRAQIQATRNQLASSYVPPSMFSTLKPHPPHLHPAAMIPVAVQQQQQQHHLPSWFYKSYLSLMSNGDALGSGTEPGRHVEGDGLALDEDLGFHPRNSMAAWSTGFTREEAESQRDKGLGNVDLHLSL >Manes.08G069866.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11545179:11549114:-1 gene:Manes.08G069866.v8.1 transcript:Manes.08G069866.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQTTDAMDCKDRDWDFRKIMKDIEFLGASHMSWKERKDLENRKVLSLGGKPPKKQRLPLSVARVQMKKQKEREEKMLQEKIVLGRFGSKVVGGAKRSMEKHKPEKGLLRSSEGYFRNGVLDVKHMLNAAPSKDNDSNYHMGGKGKKKKNKGSRKKNQGKKKGSGRKSH >Manes.08G069866.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11545178:11549114:-1 gene:Manes.08G069866.v8.1 transcript:Manes.08G069866.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQTTDAMDCKDRDWDFRKIMKDIEFLGASHMSWKERKDLENRKPPKKQRLPLSVARVQMKKQKEREEKMLQEKIVLGRFGSKVVGGAKRSMEKHKPEKGLLRSSEGYFRNGVLDVKHMLNAAPSKDNDSNYHMGGKGKKKKNKGSRKKNQGKKKGSGRKSH >Manes.08G069866.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11545179:11549114:-1 gene:Manes.08G069866.v8.1 transcript:Manes.08G069866.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQTTDAMDCKDRDWDFRKIMKDIEFLGASHMSWKERKDLENRKPPKKQRLPLSVARVQMKKQKEREEKMLQEKIVLGRFGSKVVGGAKRSMEKHKPEKGLLRSSEGYFRNGVLDVKHMLNAAPSKDNDSNYHMGGKGKKKKNKGSRKKNQGKKKGSGRKSH >Manes.08G069866.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11545179:11549114:-1 gene:Manes.08G069866.v8.1 transcript:Manes.08G069866.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQTTDAMDCKDRDWDFRKIMKDIEFLGASHMSWKERKDLENRKVLSLGGKPPKKQRLPLSVARVQMKKQKEREEKMLQEKIVLGRFGSKVVGGAKRSMEKHKPEKGLLRSSEGYFRNGVLDVKHMLNAAPSKDNDSNYHMGGKGKKKKNKGSRKKNQGKKKGSGRKSH >Manes.07G130000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33165651:33171029:-1 gene:Manes.07G130000.v8.1 transcript:Manes.07G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAEPPVFSNVEQVLDFLRKNGLKEAESALKEDMNEKNELGSFDFEKFLFILPPVRIPASIRRTEADSGGAGGDEGSKSSSGSGSDEDFVSLGSSTNDLCSSDFTNPYGIRSASQVTSETSSDRLSQFGTARDYPDIDLQNDLYWYDERDEGNFMTPSFNGPDYFGGPSEDKFVMTSETVNQFGSPPSLYNKSERYETKSTNDFLDKPCLFNLTSVNDQNVAQSMDYYHFEKGNHPEGDIEMNGGSITLCKCYAGAGGNYCKNHIDQIDLSSKEAELSDFQLEIGDILTDCDVAPGHGKNMNVYYSSEAHSKSDWFESYKSSTGFISNGFDDYETGNGKEGNGEADELKAEADEEGEVSTDELLLFDTQEDEYEVFDLRIIHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVVQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPADEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGEPYFTLSRLQVITRQCLEALAYLHHLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFQSDNLCLYVQSRSYRAPEVILGLPYNQKIDLWSLGCILAELCSGEVLFPNDAVVMILARMIGMLGPIDLEMLVRGQETHKYFTKEYDLYYVNEDTNQIDYIIPEESSLEHHLQTYDDGFIDFVRTLLEVNPLRRPTAREALEHPWLSYSYKANS >Manes.07G130000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33165651:33171029:-1 gene:Manes.07G130000.v8.1 transcript:Manes.07G130000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQNRVLGLAQMRILLAWDHLPMIFALQVTSETSSDRLSQFGTARDYPDIDLQNDLYWYDERDEGNFMTPSFNGPDYFGGPSEDKFVMTSETVNQFGSPPSLYNKSERYETKSTNDFLDKPCLFNLTSVNDQNVAQSMDYYHFEKGNHPEGDIEMNGGSITLCKCYAGAGGNYCKNHIDQIDLSSKEAELSDFQLEIGDILTDCDVAPGHGKNMNVYYSSEAHSKSDWFESYKSSTGFISNGFDDYETGNGKEGNGEADELKAEADEEGEVSTDELLLFDTQEDEYEVFDLRIIHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVVQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPADEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGEPYFTLSRLQVITRQCLEALAYLHHLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFQSDNLCLYVQSRSYRAPEVILGLPYNQKIDLWSLGCILAELCSGEVLFPNDAVVMILARMIGMLGPIDLEMLVRGQETHKYFTKEYDLYYVNEDTNQIDYIIPEESSLEHHLQTYDDGFIDFVRTLLEVNPLRRPTAREALEHPWLSYSYKANS >Manes.06G163100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29035741:29037681:-1 gene:Manes.06G163100.v8.1 transcript:Manes.06G163100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAAKTSKSSSQLSLERSTNHSLQKAFVVIGINTAFSSRKRRDSVRETWMPKGNKLNELEKEKGIVIRFVIGHSARPGGFLDKALDSEEEEYKDFLRLNHVEGYHQLSTKTRLYFSTAVAIWDAEFYVKVDDDVHVNLGMLATTLAKYRSKPRIYVGCMKSGPVLSQKGEKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLASYISINSPILHRYANEDVSLGSWFIGLEVEHVDEHSMCCGTPPDCEWKAQAGNICVASFDWGCSGICKSVERMKRVHNSCGEGEGEGSVWKIDVFN >Manes.06G163100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29035844:29037681:-1 gene:Manes.06G163100.v8.1 transcript:Manes.06G163100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKPINSGKTILVLCIISFIAGSLFTSRTWNHSSTSQTKDHQNIPVVSHYMKKLQEVKRDCDHKRKLAEGKPGNIIGEVRKTHQAIKSLQNTISTLEMELTAAKTSKSSSQLSLERSTNHSLQKAFVVIGINTAFSSRKRRDSVRETWMPKGNKLNELEKEKGIVIRFVIGHSARPGGFLDKALDSEEEEYKDFLRLNHVEGYHQLSTKTRLYFSTAVAIWDAEFYVKVDDDVHVNLGMLATTLAKYRSKPRIYVGCMKSGPVLSQKGEKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLASYISINSPILHRYANEDVSLGSWFIGLEVEHVDEHSMCCGTPPDCEWKAQAGNICVASFDWGCSGICKSVERMKRVHNSCGEGEGEGSVWKIDVFN >Manes.12G099700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25898986:25907526:-1 gene:Manes.12G099700.v8.1 transcript:Manes.12G099700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPMNWEALDDLVIDFAKSENLIEDSFSSPSPLSSPSPSSSVSSSSYQSRLIIRQIRRCLEAGDIDSAIDLLRTHAPFVLDDHRLLFRLQKQKFIELLRSGTEEARDSAIECIRTALAPCALDAYPEAYEEFKHVLLAFIYDKDDQSSPVANEWSERRRFDIAGLMSSVLRAHLHAYDPVFSMTLRYLISVHKGFCYRQGVSSPISNLTERLLLEERDPPAVPQESLYEAPPFDEVDIQALAHAVELTRQGAVDSLRFAKGDLFQAFQNELCRMKLDVSMLDELVREYCVYRGIVDSGLASPSGMQNSSGPLKVNQQETGYCSSRTCSLEVDHGTGKHSDGETSMSSAQTDGSPENNVGMNNIKGTDAELRYSCESSSNCDDCSTSGSHQSGVLKVLQRNRTYVTGERSKRKRWRGRQDDQDYVSGVPSHGCSKLDFNSTAACINMSREQQGIEKSSLLDLNSRDDKYEILLGMKELASRGMASEVVEEVNSLDPHFFVQNPILLFQLKQVEFLKLVSSGDHSNALKVACSHLGPLAANDPSLLKPLKETLLALLRPNEDAIGKGLPLHALATSLQVAIGRRFGIEEPQLMKMMRAMLHTHNEWFKLQMCKDRFENLLRIDSLKEVNTPMLSACSMSKSNADSCTQASYQVTVSSTTRLSEDGGSPTQVSSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >Manes.12G099700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25898986:25907526:-1 gene:Manes.12G099700.v8.1 transcript:Manes.12G099700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLRAHLHAYDPVFSMTLRYLISVHKGFCYRQGVSSPISNLTERLLLEERDPPAVPQESLYEAPPFDEVDIQALAHAVELTRQGAVDSLRFAKGDLFQAFQNELCRMKLDVSMLDELVREYCVYRGIVDSGLASPSGMQNSSGPLKVNQQETGYCSSRTCSLEVDHGTGKHSDGETSMSSAQTDGSPENNVGMNNIKGTDAELRYSCESSSNCDDCSTSGSHQSGVLKVLQRNRTYVTGERSKRKRWRGRQDDQDYVSGVPSHGCSKLDFNSTAACINMSREQQGIEKSSLLDLNSRDDKYEILLGMKELASRGMASEVVEEVNSLDPHFFVQNPILLFQLKQVEFLKLVSSGDHSNALKVACSHLGPLAANDPSLLKPLKETLLALLRPNEDAIGKGLPLHALATSLQVAIGRRFGIEEPQLMKMMRAMLHTHNEWFKLQMCKDRFENLLRIDSLKEVNTPMLSACSMSKSNADSCTQASYQVTVSSTTRLSEDGGSPTQVSSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >Manes.12G099700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25898986:25907526:-1 gene:Manes.12G099700.v8.1 transcript:Manes.12G099700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLRAHLHAYDPVFSMTLRYLISVHKGFCYRQGVSSPISNLTERLLLEERDPPAVPQESLYEAPPFDEVDIQALAHAVELTRQGAVDSLRFAKGDLFQAFQNELCRMKLDVSMLDELVREYCVYRGIVDSGLASPSVSGMQNSSGPLKVNQQETGYCSSRTCSLEVDHGTGKHSDGETSMSSAQTDGSPENNVGMNNIKGTDAELRYSCESSSNCDDCSTSGSHQSGVLKVLQRNRTYVTGERSKRKRWRGRQDDQDYVSGVPSHGCSKLDFNSTAACINMSREQQGIEKSSLLDLNSRDDKYEILLGMKELASRGMASEVVEEVNSLDPHFFVQNPILLFQLKQVEFLKLVSSGDHSNALKVACSHLGPLAANDPSLLKPLKETLLALLRPNEDAIGKGLPLHALATSLQVAIGRRFGIEEPQLMKMMRAMLHTHNEWFKLQMCKDRFENLLRIDSLKEVNTPMLSACSMSKSNADSCTQASYQVTVSSTTRLSEDGGSPTQVSSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >Manes.12G099700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25898986:25907526:-1 gene:Manes.12G099700.v8.1 transcript:Manes.12G099700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPMNWEALDDLVIDFAKSENLIEDSFSSPSPLSSPSPSSSVSSSSYQSRLIIRQIRRCLEAGDIDSAIDLLRTHAPFVLDDHRLLFRLQKQKFIELLRSGTEEARDSAIECIRTALAPCALDAYPEAYEEFKHVLLAFIYDKDDQSSPVANEWSERRRFDIAGLMSSVLRAHLHAYDPVFSMTLRYLISVHKGFCYRQGVSSPISNLTERLLLEERDPPAVPQESLYEAPPFDEVDIQALAHAVELTRQGAVDSLRFAKGDLFQAFQNELCRMKLDVSMLDELVREYCVYRGIVDSGLASPSVSGMQNSSGPLKVNQQETGYCSSRTCSLEVDHGTGKHSDGETSMSSAQTDGSPENNVGMNNIKGTDAELRYSCESSSNCDDCSTSGSHQSGVLKVLQRNRTYVTGERSKRKRWRGRQDDQDYVSGVPSHGCSKLDFNSTAACINMSREQQGIEKSSLLDLNSRDDKYEILLGMKELASRGMASEVVEEVNSLDPHFFVQNPILLFQLKQVEFLKLVSSGDHSNALKVACSHLGPLAANDPSLLKPLKETLLALLRPNEDAIGKGLPLHALATSLQVAIGRRFGIEEPQLMKMMRAMLHTHNEWFKLQMCKDRFENLLRIDSLKEVNTPMLSACSMSKSNADSCTQASYQVTVSSTTRLSEDGGSPTQVSSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >Manes.02G088500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6962706:6970266:-1 gene:Manes.02G088500.v8.1 transcript:Manes.02G088500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKEMTSAEVEGNGAITGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGEPVAIKKVLQDKRYKNRELQLMRLMDHPNVVPLRHCFFSTTSKDELFLNLVMEYVPETMYRVLKHYTSINQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALEACSHPFFDELREPNARLPNGRPLPPLFNFKQELSEASPELINRLIPEHVRRQGGLSFLHPAGS >Manes.02G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6962706:6970266:-1 gene:Manes.02G088500.v8.1 transcript:Manes.02G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKEMTSAEVEGNGAITGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGEPVAIKKVLQDKRYKNRELQLMRLMDHPNVVPLRHCFFSTTSKDELFLNLVMEYVPETMYRVLKHYTSINQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVQGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALEACSHPFFDELREPNARLPNGRPLPPLFNFKQELSEASPELINRLIPEHVRRQGGLSFLHPAGS >Manes.17G044450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23850201:23851339:-1 gene:Manes.17G044450.v8.1 transcript:Manes.17G044450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSNPADQSQAIIDPIADLSQKLFQLIQNSQNGNQKSTNQFTLDSAQPPSDIKLNDSNYVVWAKMMEMFITGRGKSNHLTGTPSPPTETDPAIYLWQTNDNIVRGWLIQTVEQKLRPNLLQHKTSKGLWDALKIRFNTAYKLTQQGSNLEDLYNDLQAIWAEIDERQSTKIEGDNNIIIENREIQEEHLYLFLAGVQSDLDPVRREILNEEPLSTLDNAYSLLRGEKLRRAIHLPLPSPATAGSDLVGAGLLAKNWSDTDKSSLRDDKSSLKCTHCGGPRHTRDGCFKIIGYPEWWEENKIHKKKGKGQGTGNTAAQQPDRTNGGKLGQRTELRGCSGATRSRERRRHGCSL >Manes.09G076529.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13495465:13499657:1 gene:Manes.09G076529.v8.1 transcript:Manes.09G076529.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVVHGTSRTRRFRDAIQAASSFAQRNQLPLRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLLDRVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLLVYRSGGEQVVGQAKSGELCGEIGVLYYRPQLFTVRTKRLSQLLRLNRTTFLNIVQANVGDGTIIMNNLLQHLKEQKDPLMEGVLHETENMLARGRMDLPLSLCFAALRGDDSLLHQLLKRGLDPNESDNNGRSALHIAASKGSENCVLLLLDYGADPNCKDSDGNVPVWEAMLGGHEAVAKLLIENGASINFGDVGHFACTAAEQNSLNLLKEIVRYGGDVTSPRKSGTTALHVAVCEDNTEIVRFLLEQGADIDKPDIHGWTPRDLADQQGHERAEIDDIEVIRDGDHILIASDQTKET >Manes.01G015200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3961709:3962530:-1 gene:Manes.01G015200.v8.1 transcript:Manes.01G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAVLRNLSRRIRNHLILHLNSDVRPIPLMPSSSLFVSWTRCSLRFYSSESDSPREENFDPTQKRHGGVEDVDGVSNQELKRQIEKYYKGDEEAIPAIFEAILKRKLSGIGDDEDDKLMEEVRRKSPSEDFDSESDELR >Manes.14G135300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9474336:9476303:-1 gene:Manes.14G135300.v8.1 transcript:Manes.14G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSLLSFSSPSTSLLFHPLTTYDAPSASRLHLIPSSKRPSTTGVFAARKEAHDQKYSGRLVDVNLIVLRKRIHEMKMVERNYEPPSHWMDWEKRCFTSYDSFICEMMGGLQYQLMNTRPSFALGLISLVALSVPISSAFVFSNFLEFTKIVFDGILLS >Manes.10G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24312493:24323722:1 gene:Manes.10G095800.v8.1 transcript:Manes.10G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSEEMNEHPQMLPPPPGTFVDREELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRRKAIDESTADCSRKRKTGSRLTNCPFEVVGKKDDGLWVLTVKNETHNHEPLKDISEHPSARRFSEGEVQLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMTVRQFRSLRPEKSAARKNYLSVTEPSWRQRCPTRVPNLIGGKFVDSQSFASIDVINPATQQVVSQVPLTTNEEFRAAVFAAKRAFPSWRSTPITTRQRIMFKFQELIQRDIDKLSMTITIEHGKSLKDAYADVSRGLEVVEHACGLATLQIGEFVSNMSNGIDTYSIREPLGVCAGICSFEFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMMLAELAMEAGLPNGVLNIVHGTNDIINAICDDDDIKAISFVGPNLAGTYVYARALAKEKRIQSNVGAKNHAVVMPDASVDATLNALVAAGFGGAGQKCMALSTIVFVGGLSPWEEKLTSCAKALYVTAGTEPDADLGPVISKQEKERICMLIQTSIDSGAKLVLDGRNILVPGYENGNFMGPTILSDVTVNMECYKEEIFGPVLLCMQADTIEDAINTVNRNKYSNGASIFTASGVTARKFQTEIEVGQIGINVPISVPLPFSSFISSKPSFAGDVNFDGKAGIQFYTQVKTVTQQWKDLLGEDVSAAALPSS >Manes.10G095800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24312493:24323722:1 gene:Manes.10G095800.v8.1 transcript:Manes.10G095800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSEEMNEHPQMLPPPPGTFVDREELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRRKAIDESTADCSRKRKTGSRLTNCPFEVVGKKDDGLWVLTVKNETHNHEPLKDISEHPSARRFSEGEVQLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMTVRQFRSLRPEKSAARKNYLSVTEPSWRQRCPTRVPNLIGGKFVDSQSFASIDVINPATQQVVSQVPLTTNEEFRAAVFAAKRAFPSWRSTPITTRQRIMFKFQELIQRDIDKLSMTITIEHGKSLKDAYADVSRGLEVVEHACGLATLQIGEFVSNMSNGIDTYSIREPLGVCAGICSFEFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMMLAELAMEAGLPNGVLNIVHGTNDIINAICDDDDIKAISFVGPNLAGTYVYARALAKEKRIQSNVGAKNHAVVMPDASVDATLNALVAAGFGGAGQKCMALSTIVFVGGLSPWEEKLTSCAKALYVTAGTEPDADLGPVISKQEKERICMLIQTSIDSGAKLVLDGRNILVPGYENGNFMGPTILSDVTVNMECYKEEIFGPVLLCMQADTIEDAINTVNRNKYS >Manes.10G095800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24314199:24317645:1 gene:Manes.10G095800.v8.1 transcript:Manes.10G095800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSEEMNEHPQMLPPPPGTFVDREELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRRKAIDESTADCSRKRKTGSRLTNCPFEVVGKKDDGLWVLTVKNETHNHEPLKDISEHPSARRFSEGEVQLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMTVRQFRSLRPEKSAARKNYLSVTEPSWRQRCPTRVPNLIGGKFVDSQSFASIDVINPATQQVVSQVPLTTNEEFRAAVFAAKRAFPSWRSTPITTRQRIMFKFQELIQRDIDKLSMTITIEHGKSLKDAYADVSRGLEVVEHACGLATLQIGEFVSNMSNGIDTYSIREPLGVCAGICSFEFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMMLAELAMEAGLPNGVLNIVHGTNDIINAICDDDDIKAISFVGPNLVSNLQLQI >Manes.10G095800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24312493:24323722:1 gene:Manes.10G095800.v8.1 transcript:Manes.10G095800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSEEMNEHPQMLPPPPGTFVDREELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRRKAIDESTADCSRKRKTGSRLTNCPFEVVGKKDDGLWVLTVKNETHNHEPLKDISEHPSARRFSEGEVQLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMTVRQFRSLRPEKSAARKNYLSVTEPSWRQRCPTRVPNLIGGKFVDSQSFASIDVINPATQQVVSQVPLTTNEEFRAAVFAAKRAFPSWRSTPITTRQRIMFKFQELIQRDIDKLSMTITIEHGKSLKDAYADVSRGLEVVEHACGLATLQIGEFVSNMSNGIDTYSIREPLGVCAGICSFEFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMMLAELAMEAGLPNGVLNIVHGTNDIINAICDDDDIKAISFVGPNLAGTYVYARALAKEKRIQSNVGAKNHAVVMPDASVDATLNALVAAGFGGAGQKCMALSTIVFVGGLSPWEEKLTSCAKALYVTAGTEPDADLGPVISKQEKERICMLIQTSIDSGAKLVLDGRNILVPGYENGNFMGPTILSDVTVNMECYKEEIFGPVLLCMQADTIEDAINTVNRNKYS >Manes.10G095800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24312493:24323722:1 gene:Manes.10G095800.v8.1 transcript:Manes.10G095800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSEEMNEHPQMLPPPPGTFVDREELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRRKAIDESTADCSRKRKTGSRLTNCPFEVVGKKDDGLWVLTVKNETHNHEPLKDISEHPSARRFSEGEVQLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMTVRQFRSLRPEKSAARKNYLSVTEPSWRQRCPTRVPNLIGGKFVDSQSFASIDVINPATQQVVSQVPLTTNEEFRAAVFAAKRAFPSWRSTPITTRQRIMFKFQELIQRDIDKLSMTITIEHGKSLKDAYADVSRGLEVVEHACGLATLQIGEFVSNMSNGIDTYSIREPLGVCAGICSFEFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMMLAELAMEAGLPNGVLNIVHGTNDIINAICDDDDIKAISFVGPNLAGTYVYARALAKEKRIQSNVGAKNHAVVMPDASVDATLNALVAAGFGGAGQKCMALSTIVFVGGLSPWEEKLTSCAKALYVTAGTEPDADLGPVISKQEKERICMLIQTSIDSGAKLVLDGRNILVPGYENGNFMGPTILSDVTVNMECYKEEIFGPVLLCMQADTIEDAINTVNRNKYSNGASIFTASGVTARKFQTEIEVGQIGINVPISVPLPFSSFISSKPSFAGDVNFDGKAGIQFYTQVKTVTQQWKDLLGEDVSAAALPSS >Manes.10G095800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24312493:24323722:1 gene:Manes.10G095800.v8.1 transcript:Manes.10G095800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSEEMNEHPQMLPPPPGTFVDREELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRRKAIDESTADCSRKRKTGSRLTNCPFEVVGKKDDGLWVLTVKNETHNHEPLKDISEHPSARRFSEGEVQLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMTVRQFRSLRPEKSAARKNYLSVTEPSWRQRCPTRVPNLIGGKFVDSQSFASIDVINPATQQVVSQVPLTTNEEFRAAVFAAKRAFPSWRSTPITTRQRIMFKFQELIQRDIDKLSMTITIEHGKSLKDAYADVSRGLEVVEHACGLATLQIGEFVSNMSNGIDTYSIREPLGVCAGICSFEFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMMLAELAMEAGLPNGVLNIVHGTNDIINAICDDDDIKAISFVGPNLAGTYVYARALAKEKRIQSNVGAKNHAVVMPDASVDATLNALVAAGFGGAGQKCMALSTIVFVGGLSPWEEKLTSCAKALYVTAGTEPDADLGPVISKQEKERICMLIQTSIDSGAKLVLDGRNILVPGYENGNFMGPTILSDVTVNMECYKEEIFGPVLLCMQADTIEDAINTVNRNKYSNGASIFTASGVTARKFQTEIEVGQIGINVPISVPLPFSSFISSKPSFAGDVNFDGKAGIQFYTQVKTVTQQWKDLLGEDVSAAALPSS >Manes.10G095800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24312493:24323722:1 gene:Manes.10G095800.v8.1 transcript:Manes.10G095800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSEEMNEHPQMLPPPPGTFVDREELIQHVGDFAVSQGYVVTIKQSKRDRVVILGCDRGGVYRNRRKAIDESTADCSRKRKTGSRLTNCPFEVVGKKDDGLWVLTVKNETHNHEPLKDISEHPSARRFSEGEVQLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMTVRQFRSLRPEKSAARKNYLSVTEPSWRQRCPTRVPNLIGGKFVDSQSFASIDVINPATQQVVSQVPLTTNEEFRAAVFAAKRAFPSWRSTPITTRQRIMFKFQELIQRDIDKLSMTITIEHGKSLKDAYADVSRGLEVVEHACGLATLQIGEFVSNMSNGIDTYSIREPLGVCAGICSFEFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASMMLAELAMEAGLPNGVLNIVHGTNDIINAICDDDDIKAISFVGPNLAGTYVYARALAKEKRIQSNVGAKNHAVVMPDASVDATLNALVAAGFGGAGQKCMALSTIVFVGGLSPWEEKLTSCAKALYVTAGTEPDADLGPVISKQEKERICMLIQTSIDSGAKLVLDGRNILVPGYENGNFMGPTILSDVTVNMECYKEEIFGPVLLCMQADTIEDAINTVNRNKYS >Manes.01G011998.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3292863:3301518:-1 gene:Manes.01G011998.v8.1 transcript:Manes.01G011998.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLAILVVLLVLFIFNLSATAFRSRRHPKNDRKLPPGPPALPIIGNLYMLGSLPHRSLYRLSKKYGPIMSMRLGSIPAIVVSSPQAAELFLKAHDVVFASRPIIQASVYMSYGNKGMAFSEYGPYWRSIRKLCTLQLLSPSKIEYFAPMRMEEVRLLVNSLKQAAAARVAVDISLGVGDLIRNMSCKLVFGEAKIYEFDLKLLIEEALNLTGAFNIADYVPFLGAFDLQGLKQRMKAYSNKMDKILEKIIDEHEREAQWQKQQQIRDFVDVLLSLMNQPIASNDESLSTLDRTNIKAILQDMIIGSFDTSAITIEWTLTELLRHPSAMKRLQDELQSVVGLDKMVQEKDLSNLPYLDMVIKESLRLHPVGPLLIPRSCMEEIIIDGYHIPKKARIIVNAWGIGRDPDVWSDNAEEFLPERFAAKSIDIRGRDFEFLPFGSGRRGCPGMQLGLTVVRLVIAQLVHCFNWELPDGVLPGELDMSEVFGLSMPRASHLVVVPKYRLGV >Manes.01G011998.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3299438:3301518:-1 gene:Manes.01G011998.v8.1 transcript:Manes.01G011998.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLAILVVLLVLFIFNLSATAFRSRRHPKNDRKLPPGPPALPIIGNLYMLGSLPHRSLYRLSKKYGPIMSMRLGSIPAIVVSSPQAAELFLKAHDVVFASRPIIQASVYMSYGNKGMAFSEYGPYWRSIRKLCTLQLLSPSKIEYFAPMRMEEVRLLVNSLKQAAAARVAVDISLGVGDLIRNMSCKLVFGEAKIYEFDLKLLIEEALNLTGAFNIADYVPFLGAFDLQGLKQRMKAYSNKMDKILEKIIDEHEREAQWQKQQQIRDFVDVLLSLMNQPIASNDESLSTLDRTNIKAILQDMIIGSFDTSAITIEWTLTELLRHPSAMKRLQDELQSVVGLDKMVQEKDLSNLPYLDMVIKESLRLHPVGPLLIPRSCMEEIIIDGYHIPKKARIIVNAWGIGRDPDVWSDNAEEFLPERFAAKSIDIRGRDFEFLPFGSGRRGCPGMQLGLTVVRLVIAQLVHCFNWELPDGVLPGELDMSEVFGLSMPRASHLVVVPKYRLGV >Manes.02G096200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7505021:7510607:1 gene:Manes.02G096200.v8.1 transcript:Manes.02G096200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDRLLEPGASKTVDKTVPSSNPVPKPKFPPKPRRVPNSALERRNSTSVTERKVGRPQISPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDDVASRRKTLDEDEVNGNVKNSENSVVDSTKDHTSTSSVSDSVEEKDVNCVQDSPIKGDIANGDHEIPSVGEHMNGVHDGERGSIDGDLKSRNARNGLAMEKDVLKLVALDSERDGGSEDFFDPQESMSYSSNTDGEDNSVVESSVKFAATPSTGEFYDAWEELSSESGNQTSIHDNEAELRKMRLSLLMELEKQKQIEETLYNMQNQWQRIREQLALVGLTLPAFPTFVPEDELSAGTDPAEELCQQLHVARFVSYSIGRGIAKAEVEMEKEAQIEAKNFEIARLWDRLHYYEAVNREMSQRNQEAVEMARRNRQVRKRRWRWVWGSIAAVVTLGTAALAWSYLPPDVGSSSSNDSIVPRHDDATK >Manes.02G096200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7507340:7510589:1 gene:Manes.02G096200.v8.1 transcript:Manes.02G096200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDRLLEPGASKTVDKTVPSSNPVPKPKFPPKPRRVPNSALERRNSTSVTERKVGRPQISPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDDVASRRKTLDEDEVNGNVKNSENSVVDSTKDHTSTSSVSDSVEEKDVNCVQDSPIKGDIANGDHEIPSVGEHMNGVHDGERGSIDGDLKSRNARNGLAMEKDVLKLVALDSERDGGSEDFFDPQESMSYSSNTDGEDNSVVESSVKFAATPSTGEFYDAWEELSSESGNQTSIHDNEAELRKMRLSLLMELEKQKQIEETLYNMQNQWQRIREQLALVGLTLPAFPTFVPEDELSAGTDPAEELCQQLHVARFVSYSIGRGIAKAEVEMEKEAQIEAKNFEIARLWDRLHYYEAVNREMSQRNQEAVEMARRNRQVRKRRWRWVWGSIAAVVTLGTAALAWSYLPPDVGSSSSNDSIVPRHDDATK >Manes.02G096200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7505021:7510589:1 gene:Manes.02G096200.v8.1 transcript:Manes.02G096200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFTAIALDRLLEPGASKTVDKTVPSSNPVPKPKFPPKPRRVPNSALERRNSTSVTERKVGRPQISPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDDVASRRKTLDEDEVNGNVKNSENSVVDSTKDHTSTSSVSDSVEEKDVNCVQDSPIKGDIANGDHEIPSVGEHMNGVHDGERGSIDGDLKSRNARNGLAMEKDVLKLVALDSERDGGSEDFFDPQESMSYSSNTDGEDNSVVESSVKFAATPSTGEFYDAWEELSSESGNQTSIHDNEAELRKMRLSLLMELEKQKQIEETLYNMQNQWQRIREQLALVGLTLPAFPTFVPEDELSAGTDPAEELCQQLHVARFVSYSIGRGIAKAEVEMEKEAQIEAKNFEIARLWDRLHYYEAVNREMSQRNQEAVEMARRNRQVRKRRWRWVWGSIAAVVTLGTAALAWSYLPPDVGSSSSNDSIVPRHDDATK >Manes.11G095200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19239170:19263244:1 gene:Manes.11G095200.v8.1 transcript:Manes.11G095200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGILTMAGRGVRVLTTPTTDLGKILACMHDVEMGGEMNMAAGIQVAQLALKHRQNKNLQQRIIVFAGSPVKYDRKVLEVIGKKLKKNSVALDIVNFGEEEDRKPQKLEALLSAVNNNDSSHIVHIPPGPNALSDVLISTPVFNGDGGDSGLPAAAVGSDFDFGVDPNLDPELALALRVSMEEERARQEAAAKRATEESARQERKEEPSSNPQDATMVDNANDRASETTGNTTDPVAVTLSMQTHGCNDPSVHDVEMSEATHEDQELAIALQMSMQDDAKDLSSQSDMSKVLEDQSFMSSVLASLPGVDPNDPAVKDLLASLQSQSESEQKKNEDQPPNDEK >Manes.11G095200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19239170:19263244:1 gene:Manes.11G095200.v8.1 transcript:Manes.11G095200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGILTMAGRGVRVLTTPTTDLGKILACMHDVEMGGEMNMAAGIQVAQLALKHRQNKNLQQRIIVFAGSPVKYDRKVLEVIGKKLKKNSVALDIVNFGEEEDRKPQKLEALLSAVNNNDSSHIVHIPPGPNALSDVLISTPVFNGDGGDSGLPAAAVGSDFDFGVDPNLDPELALALRVSMEEERARQEAAAKRATEESARQERKEEPSSNPQDATMVDNANDRASETTGNTTDPVQAVTLSMQTHGCNDPSVHDVEMSEATHEDQELAIALQMSMQDDAKDLSSQSDMSKVLEDQSFMSSVLASLPGVDPNDPAVKDLLASLQSQSESEQKKNEDQPPNDEK >Manes.11G095200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19239170:19263244:1 gene:Manes.11G095200.v8.1 transcript:Manes.11G095200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGILTMAGRGVRVLTTPTTDLGKILACMHDVEMGGEMNMAAGIQVAQLALKHRQNKNLQQRIIVFAGSPVKYDRKVLEVIGKKLKKNSVALDIVNFGEEEDRKPQKLEALLSAVNNNDSSHIVHIPPGPNALSDVLISTPVFNGDGGDSGLPAAAVGSDFDFGVDPNLDPELALALRVSMEEERARQEAAAKRATEESARQERKEEPSSNPQDATMVDNANDRASETTGNTTDPVDKVNALLQQAVTLSMQTHGCNDPSVHDVEMSEATHEDQELAIALQMSMQDDAKDLSSQSDMSKVLEDQSFMSSVLASLPGVDPNDPAVKDLLASLQSQSESEQKKNEDQPPNDEK >Manes.01G208400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38018585:38024323:-1 gene:Manes.01G208400.v8.1 transcript:Manes.01G208400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPNSRLQSMLQAAVQSVQWTYSLFWQVCPHQGILVWGDGYYNGAIKTRKTVQPMEVSAEEASLQRSQQLRELYESLSAGETNQPVRRPSAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKSARIQTVLCIPLLDGVVELGTTEKVQEDLGFVQRVKGFFTDHHHPPLPPPPKPALSEHSTSNPTTSSDQPHFQSPIPSTYGVTDPTTNDKQDDDEEEEEEEEEEDEDEDDEDQEGSDSEAETGRNTRSIATLVQNAETVTTAEPSELMQLEMSEDIRFGSPDDGSNNLESDLRLIGSGNLADHQSRGDSFRVESAGRWAMGQDPSSNNLQAAHAAGPPPLQEVPQEDTHYSQTVSAILQGERMRWAESSAAAYLSYSNQSAFIKWTNRCSDHVLHFTVEGTSQWFLKYILFSVPYLHHKYREENSPRSRDGDSANRFRKGSSTQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQELEARNRHMEIEQRSRLAEPPRRTSSLKEQRSAVTVLEKTKIGPGSDKRKLRIVEGSGGCMKPKTVERSELEAETNIEVSIIECDALLEIQCEYKEGLLLDIMQMLRELRIETTAVQSSLNNGVFAAELRAKVKDNVSGRKVSIVEVKRAIRKIIPHD >Manes.01G208400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38018679:38024233:-1 gene:Manes.01G208400.v8.1 transcript:Manes.01G208400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPNSRLQSMLQAAVQSVQWTYSLFWQVCPHQGILVWGDGYYNGAIKTRKTVQPMEVSAEEASLQRSQQLRELYESLSAGETNQPVRRPSAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKTVLCIPLLDGVVELGTTEKVQEDLGFVQRVKGFFTDHHHPPLPPPPKPALSEHSTSNPTTSSDQPHFQSPIPSTYGVTDPTTNDKQDDDEEEEEEEEEEDEDEDDEDQEGSDSEAETGRNTRSIATLVQNAETVTTAEPSELMQLEMSEDIRFGSPDDGSNNLESDLRLIGSGNLADHQSRGDSFRVESAGRWAMGQDPSSNNLQAAHAAGPPPLQEVPQEDTHYSQTVSAILQGERMRWAESSAAAYLSYSNQSAFIKWTNRCSDHVLHFTVEGTSQWFLKYILFSVPYLHHKYREENSPRSRDGDSANRFRKGSSTQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQELEARNRHMEIEQRSRLAEPPRRTSSLKEQRSAVTVLEKTKIGPGSDKRKLRIVEGSGGCMKPKTVERSELEAETNIEVSIIECDALLEIQCEYKEGLLLDIMQMLRELRIETTAVQSSLNNGVFAAELRAKVKDNVSGRKVSIVEVKRAIRKIIPHD >Manes.05G006800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1166991:1173436:1 gene:Manes.05G006800.v8.1 transcript:Manes.05G006800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTSRVVGCFVPFNGKNGVDLEFLEPLDEGLGHSFCYVRPSIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDAIDDPSGLHRPNKTFPETTFKTISGASVSANVSTARTGNQSALFASDMQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLERGSGFISGPIEKGVMSGPLDAADKSNFSAPLAHGRRRPRFQRLVSRVSGPMKNTLSRTFSKHSIGAGWMQRLFLHPATQLAWHIREPKFRPEASRHVEGGSSQGEYVNSRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYRAIDKELEGVLWDYEDKSVSGAIKSEISKCINAEVGSKCGNENQPNSSQVTSCSSEAVCNPGVVRDQSSNCEIVEERDDTRSSQLQSSICNRPSISGSASLPTVNLTGQGRKSMRLYELLQMESCNELGFVSLSLVESERRNIGGFQPSSDPSDSRLTLQDQQRSCTLNNDNIDGCNQQGEDPSTSGEDGGIGFESSNPEVITELNVSLQRQSTRKSIISSKIRKMYRKQKSLRKKLFPWSYDWHREEICDDERVADPPGPIRRCKSGIVDHDAVLKAMSQALECTEEAYMEMVEKTLDKNAELALMGSCVLVMIMKDQDVYIMNLGDSRAVLAQERPNDCHPNPYFAKDDIRHRNRSRESLVRMELDRISEESPVHNQNSQVNMINKNREISTCRLKMRAVQLSSDHSTSIEQEVFRVKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKPTCNEALLEIFRINYVGNNPYVSCIPSVVHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPNGDRRKYHDDVSVMVVSLEGRIWRSSG >Manes.15G150300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12575771:12581111:1 gene:Manes.15G150300.v8.1 transcript:Manes.15G150300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIYHDADGLPRIILSEPSGSSAEVLLYGGQVVSWKNERREELLFMSSKAVWKPRKAIRGGISVCFPQFGNLGLLEKHGFARNRLWSLDSDPSPLPPVNNQSSVDLILKSSEDDLKTWPRSFELRLRISLSPRKLTLIPRVRNTDSKAFSFTVALCNYLSVSDISEVRVEGLETLDYFDNLMHRERFTEQADAITFDAEIDRVYLSTPRKIAIIDHEKKRTFVLRKDGMPDAVVWNPWDKKTKAPKDLGDEDYKTMICVDSAAIEFPIVLKPFEEWKGHQELSTVSSSYCSGQLDPRKVLYGFQ >Manes.15G150300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12576170:12580473:1 gene:Manes.15G150300.v8.1 transcript:Manes.15G150300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCGFFDCFAYIDCYYFCYYYMFVLVFHYYCLPLLVFFFFLFLEWGIFLVGLQLEWKKGKVSPILSGLRASFKLKSMPLSIYHDADGLPRIILSEPSGSSAEVLLYGGQVVSWKNERREELLFMSSKAVWKPRKAIRGGISVCFPQFGNLGLLEKHGFARNRLWSLDSDPSPLPPVNNQSSVDLILKSSEDDLKTWPRSFELRLRISLSPRKLTLIPRVRNTDSKAFSFTVALCNYLSVSDISEVRVEGLETLDYFDNLMHRERFTEQADAITFDAEIDRVYLSTPRKIAIIDHEKKRTFVLRKDGMPDAVVWNPWDKKTKAPKDLGDEDYKTMICVDSAAIEFPIVLKPFEEWKGHQELSTVSSSYCSGQLDPRKVLYGFQ >Manes.05G173600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28834270:28837386:1 gene:Manes.05G173600.v8.1 transcript:Manes.05G173600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRVIKMKAVLEEAKIHKPKPRGNTTKLEVPSFMKKMGNKVKIGMVNIDEDDVSEWKLHGETIQIHFERVSENFKWEHLFPEWIDEEEELEGPSCPEIPMPDFKAYETMDVIVAKLPCKFPEKLWKREVFRLQIHLVAANLAVKKGKRDRSWKTKVVFWSRCRPMLELFRCDELVRQEGDWWLYEPQMWRLEQKVSLPIGSCRLALPLWGKGIDEAYDVSKIQNNERTKRREAYVTVLHSSESYVCGAIALVQSLRKTGTKRDLILLLDKSISQPKREALAAAGWNIRLIKRIRNPLAKKYSYNEYNYSKFRLWQLTDYDKIIFIDSDIIVLRNLDVLFQFPEMTATGNDIWIFNSGIMVIEPSNCTFKILMDHRKDIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANTTLEASVKNELFGADPPKVYSIHYLGLKPWNCYRDYDCNWNIGDQRVYASDVAHRTWWKFHDGMDENLQKFCGLTKNRKIELDWDRMMARKNRFNDKHWKINITDSRRKNLI >Manes.05G173600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28834270:28837386:1 gene:Manes.05G173600.v8.1 transcript:Manes.05G173600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLSLQKMVKSSPSKALVIRINLIFLAFFLVIYATLLLRPSSSSVYFENEANSLVRCSFHDCHHKMETSRVIKMKAVLEEAKIHKPKPRGNTTKLEVPSFMKKMGNKVKIGMVNIDEDDVSEWKLHGETIQIHFERVSENFKWEHLFPEWIDEEEELEGPSCPEIPMPDFKAYETMDVIVAKLPCKFPEKLWKREVFRLQIHLVAANLAVKKGKRDRSWKTKVVFWSRCRPMLELFRCDELVRQEGDWWLYEPQMWRLEQKVSLPIGSCRLALPLWGKGIDEAYDVSKIQNNERTKRREAYVTVLHSSESYVCGAIALVQSLRKTGTKRDLILLLDKSISQPKREALAAAGWNIRLIKRIRNPLAKKYSYNEYNYSKFRLWQLTDYDKIIFIDSDIIVLRNLDVLFQFPEMTATGNDIWIFNSGIMVIEPSNCTFKILMDHRKDIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANTTLEASVKNELFGADPPKVYSIHYLGLKPWNCYRDYDCNWNIGDQRVYASDVAHRTWWKFHDGMDENLQKFCGLTKNRKIELDWDRMMARKNRFNDKHWKINITDSRRKNLI >Manes.07G001700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:424772:427905:1 gene:Manes.07G001700.v8.1 transcript:Manes.07G001700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMATKHSLQLLGMLFSLLTLLALTKPAIADDLDDVPADFNLTYFPDDFIFGTATSAYQIEGAANKSGAGPSVWDTFTHQYPDRIKDHSTGDIAVDFYDRFEEDLQNVKNMNFSAFRFSISWPRVIPSGRRSEGVNDEGIEFYNRVINETIRKGLEPFVTIFHWDTPQALEDKYGGFLSSNIVNDYRDYADLLFEKFGDRVKYWMTFNEPWSLSGFSYDDGVFAPGRCSSWVNRKCQAGNSATEPYIVAHHLLLSHAAAVEVYRKNYQTTQAGKIGITLFTFWYEPLSNRTIDIQAARTALDFMFGLWMDPLTYGRYPRTVQDLVGDRLLKFTYKETQLLRGSYDFLGLQYYTSYYAKPNAPIDPDYIRYKTDSHIIETPFDYEGNPIGPPAYSPWFYVFPKGIRHLLNYTKDIYNNPVIYITENGVDRYNDKNQTIEEVINDQFRIDYYKEHMWNALGSLKNYSVNLKGYFAWSYLDNFEWNIGYTSRFGLYYVNRSENLTRVPKNSAGWFSTFLQANQPKTGNVKSRNSRKVGRYYIM >Manes.16G116200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32083634:32086510:-1 gene:Manes.16G116200.v8.1 transcript:Manes.16G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKEKKEANATNRSSSSIFAGEKDALLLPLDASREKKEIIQLCRVYGMEPNTIVAPFVMKTYQIVNDPATDTLITWGKANNSFIVIDPLDFSQRILPVYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEWFLRGQKQLLKNIVRRKHSKGSCMQSKIEDFDNEELVMEIARLKQEQRVLEKELEGMNKRLEATERRPQQMMSFLYKVVQDPDLLPRMILQKERTRQLNDKKRRLMISPSSSSSGGAAVSSSVKSEEEDGGNVGVISSPETGFCQSSPSPETNIIRWLGEGNYGCESAPNPLTSIAMGEGIGNTVTVAMSQPENSSIGCGGDKVGQISYFGELAAGVEARPPPPYPFSLLGGGF >Manes.01G206800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37922923:37925043:1 gene:Manes.01G206800.v8.1 transcript:Manes.01G206800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRPAFTIEILDRRYRPERQTDRFTPEYDTFSDYQRHRDRQNPSYRRYYELHQGIDRGHYRSSSRGYSSHGRSTSPKPRKNRDSLPKRFGRGVAYIDRGGFHNGSNSELESDEDLKGLKIEEQRRLKRQNMRKSIPQYCIWDHTPSPPRDEYKEKDLEDKADEISEKHGEVEQKSDFREKEKRKERNEKSASEPEKSGSSDSESESKSELDDSRSRKRRSSSSKCRNKKSDGTGAEKKSLSYKRRGRKSSVNVDSESGEDVWEKKNETESHCGEDRRRRKKSGKSSSSRRRKCSKISRRRSRKRKSRYSDSDAGESEGSECESKKPRRSSGAKRRNKKRSKSESASPYSDKSLGSENSAEALKRKESSEALKKPALDDEPTVGPIPLPRAQGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGISAEEIQNFEALGYVMSGCRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKRDHKVMADLLRYVQRHIGQDFDTPHDPFAAKADA >Manes.09G012000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2930324:2932355:1 gene:Manes.09G012000.v8.1 transcript:Manes.09G012000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYHSVLSMSKTIELLGISHDVCSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPDIVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPNVYTYSVIINGMCKFGKTSVAIRLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISSNVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVTYSSLMDGYCLCKQIDKARKVFDLMVTNEIAGIFSYTILINGYCKCKMIDDAKELFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNMVTFSIMIDGFCRQGNLDEALTLLKEMEKSQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYCAIMKGLCREGLIDEAYKIFRDMEKGGCLPNNWCYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVRLLCNDDLIEAFKGAQ >Manes.13G113400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31900049:31900789:1 gene:Manes.13G113400.v8.1 transcript:Manes.13G113400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKPYDKEYMRMAMLKHEETFKEQVHELHRLYRIQKILMRNIGNNRSNTQCHQESFNFKNRISHAHREMQQKPKMKLDLQRPAEDYAAESPSRDRALELIDESEIELTLGPSSYYNQRRKKPETPLTSDSGPTFSSSSTASSHINRTSSLSHQVKREESGDRELGLVQVHDMKALGGYHSENRTSVDEELRQERLKQPPWLFQVLSLNMT >Manes.13G113400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31897000:31901062:1 gene:Manes.13G113400.v8.1 transcript:Manes.13G113400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKPYDKEYMRMAMLKHEETFKEQVHELHRLYRIQKILMRNIGNNRSNTQCHQESFNFKNRISHAHREMQQKPKMKLDLQRPAEDYAAESPSRDRALELIDESEIELTLGPSSYYNQRRKKPETPLTSDSGPTFSSSSTASSHINRTSSLSHQVKREESGDRELGLVQVHDMKALGGYHSENRTSVDEELRQERLKQPPWLFQVLSLNMT >Manes.13G113400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31897000:31901103:1 gene:Manes.13G113400.v8.1 transcript:Manes.13G113400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKPYDKEYMRMAMLKHEETFKEQVHELHRLYRIQKILMRNIGNNRSNTQCHQESFNFKNRISHAHREMQQKPKMKLDLQRPAEDYAAESPSRDRALELIDESEIELTLGPSSYYNQRRKKPETPLTSDSGPTFSSSSTASSHINRTSSLSHQVKREESGDRELGLVQVHDMKALGGYHSENRTSVDEELRQERLKQPPWLFQVLSLNMT >Manes.13G113400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31899449:31901460:1 gene:Manes.13G113400.v8.1 transcript:Manes.13G113400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKPYDKEYMRMAMLKHEETFKEQVHELHRLYRIQKILMRNIGNNRSNTQCHQESFNFKNRISHAHREMQQKPKMKLDLQRPAEDYAAESPSRDRALELIDESEIELTLGPSSYYNQRRKKPETPLTSDSGPTFSSSSTASSHINRTSSLSHQVKREESGDRELGLVQVHDMKALGGYHSENRTSVDEELRQERLKQPPWLFQVLSLNMT >Manes.11G016266.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1681578:1682165:-1 gene:Manes.11G016266.v8.1 transcript:Manes.11G016266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTTEGALQAKWQQNSGDKNKKWRGKKGAAGNSENAATESNSNTSSKGGKFPPCQHCGRKNYPHYKCWRKPDMRCRKCKKLGHAEIICKEKETQQQGEAQVATQQEPEQLFVASCFASKTSSKNWLVDSGCTNHMTNCEELFRELDKSASSRVRIGNGDYITVEGEGTVAIESPTGIKIISEVLYVLEIDQNLLSVG >Manes.04G016600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2108937:2124044:1 gene:Manes.04G016600.v8.1 transcript:Manes.04G016600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKKHIILMVSDFFYPNFGGVENHIYYLSQCLLKLGHKVVVMTHAYGNRTGVRYMTGGLKVYYVPWRPFLMQNTLPTFYGTLPIIRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADVGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFVIPNAVDTAMFKPGPERPLGNEIVIVVISRLVYRKGADLLVEVIPEVCHLYPNVRFVVGGDGPKRVRLEEMREKHSLQDRVEMLGAVPHARVQSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPDPGDMVLAIRKAISLLPKIDPQVMHERMKKLYTWHDVAKRTEIVYNRALKCPNQNLLERLSRYLSCGAWAGKLFCLVMIIDVLLLRLVQLWQPEEDIEEVPEFTVSNNHQD >Manes.11G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21737645:21739205:-1 gene:Manes.11G100900.v8.1 transcript:Manes.11G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKMREIEDEEEQAEVEMWKYLFGFSNIAAVKCAIELGIADAIENHKRPITLSELSSKLGCASSFLCRIMRFLVHHNIFKETPNVHGAVGYVQTPLSRRLLGRGGKSMEALFLLESSSVMLRPWHFLTKRVRLDKNTAAFEAAHGDDIWKYAAECPDHSKLINDAMACHARIAVSRMIDKCPEVFNGVKTLVDVGGGNGTTLSMLVKAFPWIQGINFDLPHVVSTATECDGVTHVGGDMFESVPKADAAFLMWVLHDWNDEECIKILKKCKEAIPEETGKVIMAEVVVGEEKDDKLEFVRLMLDMVMMAHTNSGKERTNKEWDYILAEAGFRRHTIRSIGDVNSIIEAFP >Manes.02G071200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5435554:5438981:-1 gene:Manes.02G071200.v8.1 transcript:Manes.02G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGSAFCAASPSFSLLSSNVKGLSRNYANANCPISKICVVHCSSGSKLVTESTASSTSERTSFGFKNLTETFWVDVQRAEGRPLNVQLNAPLILGSPNLEKVENVAIRIELSNGCVGWGEVPVLPSVTAANQTVAVAKAREACQFLLCSSPMVLNLALNEIGGILPGTEFASVRAGVEMALIDAVTNSIGVPLWRLFGGVSNNLTTAITLPTVFPAEASHLASKYCQLGFKTLKLKIGKNIKEEIKLLQSIQAAHPTCSLILDANEEYTRREAVQVLQELHDSGITPTLLEQPVHREDWKALGEVSKFARQKYGISIAVDESCQSLDDVQRVIEGNFADVINIKLAKFGVMGALEIIELARNSGVNLMISSTIETRLATGFAAHLAAGLGCFQFVGLDMPFLLSEDPVICGYEASGPVYKFLNARGQGGFLKWDFTS >Manes.14G081300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6780790:6783439:1 gene:Manes.14G081300.v8.1 transcript:Manes.14G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEEPIPANSVIPSRALQQHLFHLLQICKTLKNISQIHTQILINGFSQKNYILVKLLSFYIASGNLQHAQNVFKNIKNPSVTVWNQIIRGHARSESPQKSVILFNQMRVAKVEPNGFTYSFLLSGCARSGLLREGEQVHGRVLVTGYCSNVFVQTNLVNLYAMAGAHSGVGYARRVFDDMGDRNVVCWNSMLAGYMRCGNVDEARRVFDEMMERNVISWTTMIAGYARNGKGRQALNMFDEMRRARVELDQVALVAALSACAELGDLRLGRWIHSYIRERLSGENRSLLVSLYNALIHMYASCGVIEEAYEVFRWMPHRSKVSWTTMISAFAKQGYGQEALAIFQLMQSMGTEEARPDEITFIEVLSACSHAGLVDEGRQFFIDMIHSWGIKPRMEHYGCVVDLLSRAGLLDEAHDLIENMPMKPDVAIWGALLGGCRIHKNAELASRVAPKLVAELDPDQAAGYLMLLANAYATAKRWQDVATVKQKMVEMGVKKPAGRSWVQINGVVHDFVAGDTTHKHASSISEMLGKISRQVKCVSNSPDTWNDNIFSC >Manes.14G054300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4604198:4611956:1 gene:Manes.14G054300.v8.1 transcript:Manes.14G054300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPIKFGQTETRKFWLSQPMGKSRMYSKGHSSGFIPDYRHAVETVGESEGLGSSGRVDTEMIASEDSYAPKRKCMSLNLDDYDSFGVPIQVLSLSKMPRLERKDLEFRLKRELEQVRILQRKVASLSSSAVVLSPCSDIRSCSDGQKRPPLEGLRKSLEVSAPQSKKRAPPGRYGAPIRKGSHKRPEPVKPAATASTSNGMLMKQCETLLNRLLAHQFGWVFSEPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLGFAADVRLTFSNATKYNPPGNDVHFMAEALSKYFEVRWKVIEKKLPVTINVGSEPQRAGVPKEMEMNIGIIPAKKKSALNDKFKSEPVRQIMSNEDKHKLSTELEALLGELPETLIDFLKEQSHTADQSDEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQTKAEQCEIELLNEYGFSNSSLQNCKDSDSGSSTDSESDATKASVPGALTKKLVGSGENLDQKRRMDDPEVRNQSANGLAKTDHSLQSKPMSMKIDGQQEGESAPPERQVSPDKLYRAALLRNRFADTILKAREKTLKKGEKWDPEKLRVEREELEQRQKEEKARMQAEAKAAEEVRRKAEAEAAAEAKRKRELEREAARQALQQMEKTVEINESSRFLEDLEMLRTVHDEELPSFLEQTSPDFMLQGSSNPLEQLGLYMKKDEEEEEVEPPQSVSELEKDVEEGEID >Manes.14G054300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4604198:4611956:1 gene:Manes.14G054300.v8.1 transcript:Manes.14G054300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPIKFGQTETRKFWLSQPMGKSRMYSKGHSSGFIPDYRHAVETVGESEGLGSSGRVDTEMIASEDSYAPKRKCMSLNLDDYDSFGVPIQVLSLSKMPRLERKDLEFRLKRELEQVRILQRKVASLSSSAVVLSPCSDIRSCSDGQKRPPLEGLRKSLEVSAPQSKKRAPPGRYGAPIRKGSHKRPEPVKPAATASTSNGMLMKQCETLLNRLLAHQFGWVFSEPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLGFAADVRLTFSNATKYNPPGNDVHFMAEALSKYFEVRWKVIEKKLPVTINVGSEPQRAGVPKEMEMNIGIIPAKKKSALNDKFKSEPVRQIMSNEDKHKLSTELEALLGELPETLIDFLKEQSHTADQSDEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQTKAEQCEIELLNEYGFSNSSLQNCKGNEPVDEDIDIVGGNDPLISSYPPVEIEKDTAQKNSKFSSSSSTSSESGSSSGG >Manes.14G054300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4604070:4611956:1 gene:Manes.14G054300.v8.1 transcript:Manes.14G054300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPIKFGQTETRKFWLSQPMGKSRMYSKGHSSGFIPDYRHAVETVGESEGLGSSGRVDTEMIASEDSYAPKRKCMSLNLDDYDSFGVPIQVLSLSKMPRLERKDLEFRLKRELEQVRILQRKVASLSSSAVVLSPCSDIRSCSDGQKRPPLEGLRKSLEVSAPQSKKRAPPGRYGAPIRKGSHKRPEPVKPAATASTSNGMLMKQCETLLNRLLAHQFGWVFSEPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLGFAADVRLTFSNATKYNPPGNDVHFMAEALSKYFEVRWKVIEKKLPVTINVGSEPQRAGVPKEMEMNIGIIPAKKKSALNDKFKSEPVRQIMSNEDKHKLSTELEALLGELPETLIDFLKEQSHTADQSDEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQTKAEQCEIELLNEYGFSNSSLQNCKGNEPVDEDIDIVGGNDPLISSYPPVEIEKDTAQKNSKFSSSSSTSSESGSSSGDSDSGSSTDSESDATKASVPGALTKKLVGSGENLDQKRRMDDPEVRNQSANGLAKTDHSLQSKPMSMKIDGQQEGESAPPERQVSPDKLYRAALLRNRFADTILKAREKTLKKGEKWDPEKLRVEREELEQRQKEEKARMQAEAKAAEEVRRKAEAEAAAEAKRKRELEREAARQALQQMEKTVEINESSRFLEDLEMLRTVHDEELPSFLEQTSPDFMLQGSSNPLEQLGLYMKKDEEEEEVEPPQSVSELEKDVEEGEID >Manes.14G054300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4604221:4611162:1 gene:Manes.14G054300.v8.1 transcript:Manes.14G054300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPIKFGQTETRKFWLSQPMGKSRMYSKGHSSGFIPDYRHAVETVGESEGLGSSGRVDTEMIASEDSYAPKRKCMSLNLDDYDSFGVPIQVLSLSKMPRLERKDLEFRLKRELEQVRILQRKVASLSSSAVVLSPCSDIRSCSDGQKRPPLEGLRKSLEVSAPQSKKRAPPGRYGAPIRKGSHKRPEPVKPAATASTSNGMLMKQCETLLNRLLAHQFGWVFSEPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLGFAADVRLTFSNATKYNPPGNDVHFMAEALSKYFEVRWKVIEKKLPVTINVGSEPQRAGVPKEMEMNIGIIPAKKKSALNDKFKSEPVRQIMSNEDKHKLSTELEALLGELPETLIDFLKEQSHTADQSDEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQTKAEQCEIELLNEYGFSNSSLQNCKGNEPVDEDIDIVGGNDPLISSYPPVEIEKDTAQKNSKFSSSSSTSSESGSSSGDSDSGSSTDSESDATKASVPGALTKKLVGSGENLDQKRRMDDPEVRNQSANGLAKTDHSLQSKPMSMKIDGQQEGESAPPERQVSPDKLYRAALLRNRFADTILKAREKTLKKGEKWDPEKLRVEREELEQRQKEEKARMQAEAKAAEEVRRKAEAEAAAEAKRKRELEREAARQALQQMEKTVEINESSRFLEDLEMLRTVHDEELPSFLEQTSPDFMLQGSSNPLEQLGLYMKKDEEEEEVEPPQSVSELEKDVEEGEID >Manes.14G054300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4604221:4611162:1 gene:Manes.14G054300.v8.1 transcript:Manes.14G054300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVPIKFGQTETRKFWLSQPMGKSRMYSKGHSSGFIPDYRHAVETVGESEGLGSSGRVDTEMIASEDSYAPKRKCMSLNLDDYDSFGVPIQVLSLSKMPRLERKDLEFRLKRELEQVRILQRKVASLSSSAVVLSPCSDIRSCSDGQKRPPLEGLRKSLEVSAPQSKKRAPPGRYGAPIRKGSHKRPEPVKPAATASTSNGMLMKQCETLLNRLLAHQFGWVFSEPVDVVKLNIPDYFTVIKNPMDLGTVKSKIASGAYSSPLGFAADVRLTFSNATKYNPPGNDVHFMAEALSKYFEVRWKVIEKKLPVTINVGSEPQRAGVPKEMEMNIGIIPAKKKSALNDKFKSEPVRQIMSNEDKHKLSTELEALLGELPETLIDFLKEQSHTADQSDEDEIEIDIDALSDDTLFKLRKLLDDYLLEKRKNQTKAEQCEIELLNEYGFSNSSLQNCKDSDSGSSTDSESDATKASVPGALTKKLVGSGENLDQKRRMDDPEVRNQSANGLAKTDHSLQSKPMSMKIDGQQEGESAPPERQVSPDKLYRAALLRNRFADTILKAREKTLKKGEKWDPEKLRVEREELEQRQKEEKARMQAEAKAAEEVRRKAEAEAAAEAKRKRELEREAARQALQQMEKTVEINESSRFLEDLEMLRTVHDEELPSFLEQTSPDFMLQGSSNPLEQLGLYMKKDEEEEEVEPPQSVSELEKDVEEGEID >Manes.08G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38325819:38329964:1 gene:Manes.08G147500.v8.1 transcript:Manes.08G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPVPRHESVSDKIHRHRGVLLVISIPLLLIAFVLFVVPSRSPAAVLDEYELNSRKMSPNLKDAKRYAVIFDAGSSGSRVHVYCFDRNMDLVPIGKDLELFVQIKPGLSAYASDPQAAANSLHSLLDKAESVVPKELRSKTPVRVGATAGLRALGAEASERILDAVRTLMKDRSTLKSEADGVTVLDGSQEGSYEWVTINYLLGKLSGSYSDTVGVVDLGGGSVQMAYAISKMDAEKAPRVSDGEDSYIKEMRLMGSDYYLYVHSYLHYGLLAARAEILKVSEGSDNACILAGYDGVYKYGGVEYKASAAASGSSFEGCRSLALKALRVNDSACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFIDPALPVAQVNPSDFKEAAKRACETKLEDAKSAYQRVEEGNLPYLCMDLVYQYTLLVDGFALEPWQDITLVKKVKYRDSLVEAAWPLGSAIEAVSTSP >Manes.06G136900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26506294:26508906:1 gene:Manes.06G136900.v8.1 transcript:Manes.06G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGAMKPAKAGLEEPQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPEVVKQITSITIEPGVEVEVTIADS >Manes.05G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3980270:3984272:-1 gene:Manes.05G047800.v8.1 transcript:Manes.05G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTSLHLHSSNKTILLGHTSFFLLLFVSLTNYANLVQAHIFIYGGCSQEKYQPSSLFEGNLNSFLASVVSSSSQASYNSFAIGNESSTPAEATIYGLYQCRGDLKTTDCSICVESAVNQIGLVCSYSYGAALQLEGCYVRYERNDFLGKLDTSLRYKKCSKSENSDADFFRRRDDVLADLQTAMGFKVSSAGLVEGYAQCLGDLNSADCSSCLEDAVGMLKTLCGSAAAADVFLGQCYARYWASGYYDFHSDSSNEDDVGKTVAIIVGIIAGLAVLIVLLSVCRKQMG >Manes.16G012900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1287352:1288914:1 gene:Manes.16G012900.v8.1 transcript:Manes.16G012900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESAGRIHYKRMPSTTTAFISKVKLNFLIEMSAAKSFSALLLQITIVLLLVFNPANAQLKVGFYSNTCPKAEAIVKDVIDGVLSVAPSLAGPLLRMHFHDCFVRGCDGSVLLNSTTQQAEKDGPPNLTLRGYQVIDRVKSALEKACPGVVSCADIVAIVARDVTVASKGLRWEVETGRRDGRVSIAAETLTNLIAPNANITTIITRFQAKGLSVKDAVVLSGSHTIGTSHCSSFNSRLYNFTGKGTDNDFDPTLDSEYVKELKSKCKPGDQNSLVEMDPGSFRTFDADYYTLVSKRRGLFQSDAALLDNSITKAYVNLQVATQGSTFLKDFGDSMVKMGRVDVLTGTAGEIRKVCSKVN >Manes.08G073900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14562238:14564555:-1 gene:Manes.08G073900.v8.1 transcript:Manes.08G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNDDEQLVTPPNSGPQPTPIIQGRAQLVSINKSTVPLEETKLRVLLEITGGDYSSDRPGLDLVAVLDVSGSMNDDGKLAKVKTAMLFVIKKLSPIDRLSIVTFSKEAKRLCPLRQITENSQKDLENLVNELKADGATNISAGLQTGLKVINDRHITGGRSVGIMLMSDGEQNAGGDAAQVPVGNVPVHTFGFGISHEPTVLKAIADNSIEGTFSEVQNIDNLSIAFSQCLAGLLTRVVEDLKLILTPYEDESTIEQVIAGNYPQSKDDATGSVTVTFGGLYAKEVRKVIVDLLLPAVSKERGSDVLEIGFSYSFRGRFFEAPPATITVRRTGASTYEQERPEVRNEETRLQTASMIKEAKVMADDNKLDDARDKVVEAQNSLEDADDASNPLIEMLKSELQQLLKLMKSEEIYKKHGRPFVFSSETCHYRQRFASRGDIEGLRLFATPRMDTYLEQAKSFDEDPSKPPPSVDEDEKKEMAANPLAPIAGALIFYINSAIQSLQAIENIIKRGL >Manes.05G128247.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22495816:22514281:1 gene:Manes.05G128247.v8.1 transcript:Manes.05G128247.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESEDRRVSRRQSRLKRDPEDQCENLNQKCLKMDNPANDVNKNDTKTGRLPFIFKHSYSGEEKCTIMEGCKFITGEKGLLLRIEPLDKDELEKLETPEEKHAKEKLIARQCKSYAKAALAHYNRQEDVDFELVKVLNCKTSCDFGLWHHINFEAKPRNFKCSPKLFFAELYGNALCVTCCCMLKPKGSDASSLKGCYFCRSLIHHPASGFRAGTDSPLRFGKYGGIPVNRCTSSKV >Manes.03G007000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:601575:604586:-1 gene:Manes.03G007000.v8.1 transcript:Manes.03G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICVCSCSKPLILAKPIHKMSFSVSTKRLPINLGVVPSATTISTTSRCFRVVASTVDSYESSSNFVKRMEQAWLISQQPRPVSCISCSSNGHVECKWCAGTGFFILGDNMLCQVPSRNTTCVICAGKGSMRCSDCKGTGFRAKWLEEPPISNSK >Manes.08G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37953155:37954143:-1 gene:Manes.08G130100.v8.1 transcript:Manes.08G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLALLALAFSLASAYDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVANDFSFSGLNIPANTQNQVGSNVTLLNVDRIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRFISKVLYPGDVFVFPIGLIHFQFNIAKTHAVAFAGLSSQNPGVITISNAIFGPNPPINPDVLAKAFQLDKNVVENLQKLFKNNA >Manes.18G144104.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:27628738:27629121:-1 gene:Manes.18G144104.v8.1 transcript:Manes.18G144104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYIDHRARLGYPLSLKLSTNLILHSLPSCFSLFVMNYNMNNMEKFIPELHGMLKTAEVNAKKRATQILNVNKGKPMKNKGKPKSKGSHAPKGQGKPKRQAKAKVFKEGICFHCKEPGHWKRNCKL >Manes.16G019300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2152314:2155753:1 gene:Manes.16G019300.v8.1 transcript:Manes.16G019300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADGSGALAPPPRLEGKYKALFVCWILGLGSLVAWNTMLTIGDYYYSLFPESYHPSRVLTLVYQPFALGTMGLLAYNESKVDTRKRNIAGYILFTASTLMLLVLDLATSGKGGIGYFLGICAVVAVFGLSDAHVQGGMVGDLSFMCPEFIQSFLAGLAAAGALTSALRLITKAAFEKASDGLRKGVMFFLAIATLVELLCVILYAFVFPKLPIVKYYRAKAASEGSKTVSADLAAAGIQTQQADPKQVERLSNKELFLQNIDYAIDLFLIYVLTLSIFPGFIYENTGEHQLGSWYPLVLIAMYNILDLIARYIPLVKCLKLESRKGLMIAILSRFLLIPAFYFTAKYADQGWMIMLTSFLGLTNGYLTVCVLTVAPKGYRGPEQNALGNLLVVFLLAGIFAGVSLDWLWLIGNGSF >Manes.16G019300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2152314:2155753:1 gene:Manes.16G019300.v8.1 transcript:Manes.16G019300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADGSGALAPPPRLEGKYKALFVCWILGLGSLVAWNTMLTIGDYYYSLFPESYHPSRVLTLVYQPFALGTMGLLAYNESKVDTRKRNIAGYILFTASTLMLLVLDLATSGKGGIGYFLGICAVVAVFGLSDAHVQGGMVGDLSFMCPEFIQSFLAGLAAAGALTSALRLITKAAFEKASDGLRKGVMFFLAIATLVELLCVILYAFVFPKLPIVKYYRAKAASEGSKTVSADLAAAGIQTQQADPKQVERLSNKELFLQNIDYAIDLFLIYVLTLSIFPGFIYENTGEHQLGSWYPLVLIAMYNILDLIARYIPLVKCLKLESRKGLMIAILSRFLLIPAFYFTAKYADQGWMIMLTSFLGLTNGYLTVCVLTVAPKGYRGPEQNALGNLLVVFLLAGIFAGVSLDWLWLIGNGSF >Manes.16G019300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2152314:2155753:1 gene:Manes.16G019300.v8.1 transcript:Manes.16G019300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADGSGALAPPPRLEGKYKALFVCWILGLGSLVAWNTMLTIGDYYYSLFPESYHPSRVLTLVYQPFALGTMGLLAYNESKVDTRKRNIAGYILFTASTLMLLVLDLATSGKGGIGYFLGICAVVAVFGLSDAHVQGGMVGDLSFMCPEFIQSFLAGLAAAGALTSALRLITKAAFEKASDGLRKGVMFFLAIATLVELLCVILYAFVFPKLPIVKYYRAKAASEGSKTVSADLAAAGIQTQQADPKQVERLSNKELFLQNIDYAIDLFLIYVLTLSIFPGFIYENTGEHQLGSWYPLVLIAMYNILDLIARYIPLVKCLKLESRKGLMIAILSRFLLIPAFYFTAKYADQGWMIMLTSFLGLTNGYLTVCVLTVAPKGYRGPEQNALGNLLVVFLLAGIFAGVSLDWLWLIGNGSF >Manes.05G195600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31960844:31982695:1 gene:Manes.05G195600.v8.1 transcript:Manes.05G195600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAESEGGDDQKDVNSGPKSPWKTPVVADAPVMGAESWPALADAHHQQRSKSSDSATKPASVPSPTQGSVAHKLNGSGNPNSSHKYSSSRHQKLGTKRNQNGAPPFPVPFPYQQPTLPPVFHAMVPPPHIAVSGYAYQPSPAPFPGVETHLVKSGSDSSTVQPFVPPVNVQTPPRGDPNAFAVNFSNRRPSVQEPGGHLNHAWHQRAFSPRDNMALQQGMGPRPLVRPPLFAPAPGFMVAPTFPGPPICYVPVAPTGSFRGGHPPRFMPYPTSPGVPFLPQETPSLRDSIIRQIDYYFSDENLKTDHYLISLMDGQGWVPISIIADFNRVKKMTTDILFILDALQSSSMVEVQGDKIRRRDEWSKWIPASTEHTSTSKTQTSESHIVNRTKQDNARGFSKENVEFSSYNHADGEEEQRLTNGEAPEAMQVGTNEGESASFHAVKQAMGGVNADPNGKSVTDLNAKLSYLDNSCNAAYVAHSDGNEPAVSKYHATDGMEISSDMTSHNLGDLSNDFANTFMLDEELELEQKTLKNDSECPVRRIDDEEDEMLVNDQDVQRLVIVTQNSRASEAFKTGGKDAKSISKELASAINDGLYFYEQELKTKKSNRRKNNSAFENRDGNSRFTNSSPGVSHSKTGEISTGSVGQEESGTTANLRKQSKSFSKQQSSHKQRFFSCNFRNHGTGRNSFGIISESPPSNSVGFFFSSTPPENHGPRSSKLSASPHSPLSGSSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKFHKRCLSDRKKMGVGCSEEMNTLYRFWSYFLRDMFVPSMYNEFRKLALEDASANYNYGIECLFRFYSYGLENIFREDLYKDFEDLTLEFYHKGNIYGLEKYWAFHHYRGLREHKEALKKHPELDRLLRQEYCSLEDFRTKEKNVKEGSH >Manes.05G195600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31960844:31982695:1 gene:Manes.05G195600.v8.1 transcript:Manes.05G195600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAESEGGDDQKDVNSGPKSPWKTPVVADAPVMGAESWPALADAHHQQRSKSSDSATKPASVPSPTQGSVAHKLNGSGNPNSSHKYSSSRHQKLGTKRNQNGAPPFPVPFPYQQPTLPPVFHAMVPPPHIAVSGYAYQPSPAPFPGVETHLVKSGSDSSTVQPFVPPVNVQTPPRGDPNAFAVNFSNRRPSVQEPGGHLNHAWHQRAFSPRDNMALQQGMGPRPLVRPPLFAPAPGFMVAPTFPGPPICYVPVAPTGSFRGGHPPRFMPYPTSPGVPFLPQETPSLRDSIIRQIDYYFSDENLKTDHYLISLMDGQGWVPISIIADFNRVKKMTTDILFILDALQSSSMVEVQGDKIRRRDEWSKWIPASTEHTSTSKTQTSESHIVNRTKQDNARGFSKENVEFSSYNHADGEEEQRLTNGEAPEAMQVGTNEGESASFHAVKQAMGGVNADPNGKSVTDLNAKLSYLDNSCNAAYVAHSDGNEPAVSKYHATDGMEISSDMTSHNLGDLSNDFANTFMLDEELELEQKTLKNDSECPVRRIDDEEDEMLVNDQDVQRLVIVTQNSRASEAFKTGGKDAKSISKELASAINDGLYFYEQELKTKKSNRRKNNSAFENRDGNSRFTNSSPGVSHSKTGEISTGSVGQEESGTTANLRKQSKSFSKQQSSHKQRFFSCNFRNHGTGRNSFGIISESPPSNSVGFFFSSTPPENHGPRSSKLSASPHSPLSGSSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKFHKRCLSDRKKMGVGCSELWFGEHIQRGLVQGF >Manes.14G128900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10276895:10277209:1 gene:Manes.14G128900.v8.1 transcript:Manes.14G128900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPSVSVRTTPKRPIWGTHCGHGDTVHPNQPSTSFQPRVGFIKAGLSHCQHVYTMWGELQRTLSKFSGANYPNQDPYSAKVILSPDQTDAGFHCRWVVGLSA >Manes.S052216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1796102:1797868:-1 gene:Manes.S052216.v8.1 transcript:Manes.S052216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSRCGCRTLNTGGRAMQPVLVCVLGGVGLLLGGVLRSSGSWMDVGAVVVLQYLGWGDELGANAFVKALRVLGGGRGDIMPCSILCASGVEAHAAAEWQFPLVAVAHCCSCRCVPLWWLLLLRLWG >Manes.10G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3824260:3827457:-1 gene:Manes.10G036300.v8.1 transcript:Manes.10G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLQEEGLSTLFFSSSKTLPSSNSFPSSSVPSSPVVQKFTESMMEENIQIAELIIKKWDSESSSASLFHQRKEANEFLRCVKDLRRAMHFLLSEHSASNKLVRAQNLMQIAMKRLEKELSLILSANRDQLDPESISGLSSDSSTNSKDEDEVESEGEIKIAGESSITKVEREAKIVMPDLKLIADCMISCGYGKECVKIYKLIRKSIVDEGLYLLGVEKFRSSQIQKMNWEALEHFTGFFSASQTIRESCFTDISKEAATNLFRIPELVAKSKETPERIFLLMELSEALSNLWPEIEFAFNSESTSAVKLQAASSLQRLGESVRAILSDFESTIEKDSSKTPVPGGGIHLLTRTVINYIYKLADYGGVLSEIFRDSSPTLPESYFQSPTTDDSSTPAISVLLAWLILVLLCKPDTKAEAYRDVSLSYLFLANNLQFIIKKVSNTNLKLLLGEDWIIKHAKKVRQYAANYETMAWNKVFSSLPTSPELSPEAAKECFRVFNAAFEEAYKKQTSWILPDGKLSNGLKVLSIAKKLVPAYREFSKKYLGMLSGEKNLELLARFSADDLGNCLSDLLHGVAISDSSPSSSSRGCILR >Manes.16G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30812683:30816877:1 gene:Manes.16G102900.v8.1 transcript:Manes.16G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIFRLPSFHSLRFSSSLLTSFSSTFNYLQPALPPNQNYSNSDKKIYPLVYSSAGGVSRFAPMPRKQLLSLKVHAAIAETDQPKWWEKNAGPNMIDIHSTQEFLSALSQAGDRLVIVEFYGTWCASCRALFPKLCRTAEEHPEILFLKVNFDENKPMCKSLNVKVLPYFHFYRGAHGQLESFSCSLAKFQKIKDAIAMHSTAECGNGPAKSVGELTLESVSTPKDKPAGSA >Manes.05G189400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31171180:31182565:1 gene:Manes.05G189400.v8.1 transcript:Manes.05G189400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSVEDREESTRLDVQMDFSEIHILKEGGTSVLEILRFVIISFIYIPSEPTSPLRTEVDVKIGGTRCNINISRIKPLLQLHSSKKKKLVLREEGPPPAKPQSTGSKVIITWTCTVSAPEVTIALYSISGSPLYHLRWQSSHVFANNVSSKGITLHLELGLLNFLMADECQERLKESSVVESNSGAIVHIAKLSLDWGKKDVESSEEDKARCKLVLSVEVNGMGVHVNFKRIQSFVVTAISYQSFLKSLSGSTKKTTQNRAVRSSKPSGKGIQMLKFNLERCSINLCGETSLDDAVVADPKRVNYGSQGGRFVISAAEDGTPRTATVLATVPDDCRKINYSVSLDIIHFTLCVNKENQSTEVELERARSIYQEHLEEDRPDARVTLLDLQNAKFVRRSGGLKGVSICSLFSATEISVGWEPDAHLSLIQLVLKLKLLVHNQKLQERGTESMEGASSMRDTELKKPAAPESGNSDRSKKKESIFAVDIEMIKISAAAGDGVDVKVQVHSIFSENARIGVLLEGVMLSFNGARLLKSGRMQISRRPSPSTSVSDAKSPAAITWDWVIQGLNVHVSMPFRLELRAIDDSVEDMIRALKLVTAAQTQLIFPIKKESSKPKKPSSAKFGRVRVCISKLIVDIEEEPLQGWLDEHYQLMKNEADEMAVRLKFLDEFISKVNNSPKNAETNDSTTERKVNYNGVQIDVQDPSAIQKLQEEMYKQSFKTYYQACQKIVTSEGSGAYREGFQSGFKLSTSRTSVLTISATELDVTLTKIDGGEDGMIDVVKKLDPVARELEIPFSRLYGCNILLRTGTLAAQIRDYTFPLFAASAGKCEGRVVLAQQATFFQPQVYQDVFVGRWRKVQLLRSATGTTPPTKSYLDLPLSFQKGEVSFGVGYEPSFADISYAFTVALRRANLSVRNPGPLVQVPKKERSLPWWDDMRNYVHGNVTLNFSETRFHILGTPDPYEKLDNLQITSDSMEIQQSDGRIYMSAKNFKLFPCSLTSLANNRGMKIPSGVDGCFLEAPVFIIEVIMDWGCDSRTPLNHYLFGLPNEGKTREKVFDPFRSTSLSLRFNLSLKPSCENQSSSSSTGGSIVVDGTAFPTTFNVGSHDLGWLSKFGKLNVLPPYKLRLFSRFPRFGCPRIPRSGNLSMDRVMTEVCLRLDATPLRIKHMPLEDEDPAKGLAFNFAKLKCEICFSRGKQEFTFECQRESLDLVYMGVDLHMPKVIIDKEDCISVAKIVQMSRKNSQPEKLPSEKRDNIEKHKDDGFFLSCDYFIIRKQAPKADPESLLAWQDAGKKNTEKTLVRTGTENENESTDDHASDDEGYNVAVADNCQRVFVYGLKILWNIENKNAIFSWVGGLTKGLAPPKPSPSRQYTQRKLLEESQSHAETEVNQDDASKPPSTSQDADSPSKNEESSGSNPPSSDSAKPENSSSAVVFPARNESADDPDEEGTRHFMVNVIQPQFNLHSEEANGRFLLAAISGRVLARSFHSILQVGHQAIEKALSSGDVQIPETLPEMAWKRMEFSVMLEQVQAHVAPTDVDPGAGLQWLPKIKKNSPKVIRTGALLERVFAPCDMYLRYTRHKGGTPELKVKPLKELTFNCDNITATMTSRQFQVMLDVLTNLLFARSPKPKKSNLAFLAEDEEDIEEEADEVVPDGVEEVELARIELEVKERDQKLLLYDIRRLSLNGDTSGEINSKIEGKLWMITGGKSDLVQGLKGELVNAKKSKKAASASLRTTLQKAAQIRLMEKEKEKSKGSSCAMRISLQVSKVVWSMLLDGKSFAEAEINDLIFDFDRDYKDVGVALFTTKFVVVRNCLPNAKSDTILSAWNPPPEWGKKVMLRVDAKQGAPKNGNSNIELFQVEVYPLKIYLAETMYRMMWVYFFPEEQQDSQRRQEVWKVSTTASARRAKKGSAIGDASHGQSKDTDVASKIMAGFGTKLRRTSSFDRTWEESLAESVATELVMQAHSSGISSSKVDPASLIDQADDSSKNKPNTKLVKYGCPSLDEKKLGKPIDDKNARPKKVIEFHNIKISQVELQITYESSRFNLHELKLLMDTFHRVEFTGTWRRLFSRVKKHVVWGTLKSVTGMQGKKFKDKSHTQKEANVPSVPEIELNLNDNDGQAEQYPDWLKRPSDGAGDGFVTSIRGLFTTQRRKAKAFVLRTMRGEAENDFGGDWSESDADFSPFARQLTVTKTKKLIRRHTKKFSRGQNGSPKLEGESHPFSPREGTPFEPYDSDSSSESEPYEALQEQLELQALKGEL >Manes.05G189400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31171180:31182565:1 gene:Manes.05G189400.v8.1 transcript:Manes.05G189400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSKGTQKPRTRKARTSSSGQGKLMVIANVARFLSLSITNLSLKTPKAAVEFKELKFDISKDGGHGSQSNIFIKLQILPIAIHIGEPRVSSDESFNSDGGACNIASDTSSSIMEGPFASFNCEEFSFSCEFGHDREIGLIIHNFDINCGEIAMSLNEKLLLKKKTSDTSSQRDKAAIDPPVVKDPQRKQPPLVAIIKYTSMIPQKVSFSLPKLDVKFVHQELDLVFENNITGIQLKSMKSRSVEDREESTRLDVQMDFSEIHILKEGGTSVLEILRFVIISFIYIPSEPTSPLRTEVDVKIGGTRCNINISRIKPLLQLHSSKKKKLVLREEGPPPAKPQSTGSKVIITWTCTVSAPEVTIALYSISGSPLYHLRWQSSHVFANNVSSKGITLHLELGLLNFLMADECQERLKESSVVESNSGAIVHIAKLSLDWGKKDVESSEEDKARCKLVLSVEVNGMGVHVNFKRIQSFVVTAISYQSFLKSLSGSTKKTTQNRAVRSSKPSGKGIQMLKFNLERCSINLCGETSLDDAVVADPKRVNYGSQGGRFVISAAEDGTPRTATVLATVPDDCRKINYSVSLDIIHFTLCVNKENQSTEVELERARSIYQEHLEEDRPDARVTLLDLQNAKFVRRSGGLKGVSICSLFSATEISVGWEPDAHLSLIQLVLKLKLLVHNQKLQERGTESMEGASSMRDTELKKPAAPESGNSDRSKKKESIFAVDIEMIKISAAAGDGVDVKVQVHSIFSENARIGVLLEGVMLSFNGARLLKSGRMQISRRPSPSTSVSDAKSPAAITWDWVIQGLNVHVSMPFRLELRAIDDSVEDMIRALKLVTAAQTQLIFPIKKESSKPKKPSSAKFGRVRVCISKLIVDIEEEPLQGWLDEHYQLMKNEADEMAVRLKFLDEFISKVNNSPKNAETNDSTTERKVNYNGVQIDVQDPSAIQKLQEEMYKQSFKTYYQACQKIVTSEGSGAYREGFQSGFKLSTSRTSVLTISATELDVTLTKIDGGEDGMIDVVKKLDPVARELEIPFSRLYGCNILLRTGTLAAQIRDYTFPLFAASAGKCEGRVVLAQQATFFQPQVYQDVFVGRWRKVQLLRSATGTTPPTKSYLDLPLSFQKGEVSFGVGYEPSFADISYAFTVALRRANLSVRNPGPLVQVPKKERSLPWWDDMRNYVHGNVTLNFSETRFHILGTPDPYEKLDNLQITSDSMEIQQSDGRIYMSAKNFKLFPCSLTSLANNRGMKIPSGVDGCFLEAPVFIIEVIMDWGCDSRTPLNHYLFGLPNEGKTREKVFDPFRSTSLSLRFNLSLKPSCENQSSSSSTGGSIVVDGTAFPTTFNVGSHDLGWLSKFGKLNVLPPYKLRLFSRFPRFGCPRIPRSGNLSMDRVMTEVCLRLDATPLRIKHMPLEDEDPAKGLAFNFAKLKCEICFSRGKQEFTFECQRESLDLVYMGVDLHMPKVIIDKEDCISVAKIVQMSRKNSQPEKLPSEKRDNIEKHKDDGFFLSCDYFIIRKQAPKADPESLLAWQDAGKKNTEKTLVRTGTENENESTDDHASDDEGYNVAVADNCQRVFVYGLKILWNIENKNAIFSWVGGLTKGLAPPKPSPSRQYTQRKLLEESQSHAETEVNQDDASKPPSTSQDADSPSKNEESSGSNPPSSDSAKPENSSSAVVFPARNESADDPDEEGTRHFMVNVIQPQFNLHSEEANGRFLLAAISGRVLARSFHSILQVGHQAIEKALSSGDVQIPETLPEMAWKRMEFSVMLEQVQAHVAPTDVDPGAGLQWLPKIKKNSPKVIRTGALLERVFAPCDMYLRYTRHKGGTPELKVKPLKELTFNCDNITATMTSRQFQVMLDVLTNLLFARSPKPKKSNLAFLAEDEEDIEEEADEVVPDGVEEVELARIELEVKERDQKLLLYDIRRLSLNGDTSGEINSKIEGKLWMITGGKSDLVQGLKGELVNAKKSKKAASASLRTTLQKAAQIRLMEKEKEKSKGSSCAMRISLQVSKVVWSMLLDGKSFAEAEINDLIFDFDRDYKDVGVALFTTKFVVVRNCLPNAKSDTILSAWNPPPEWGKKVMLRVDAKQGAPKNGNSNIELFQVEVYPLKIYLAETMYRMMWVYFFPEEQQDSQRRQEVWKVSTTASARRAKKGSAIGDASHGQSKDTDVASKIMAGFGTKLRRTSSFDRTWEESLAESVATELVMQAHSSGISSSKVDPASLIDQADDSSKNKPNTKLVKYGCPSLDEKKLGKPIDDKNARPKKVIEFHNIKISQVELQITYESSRFNLHELKLLMDTFHRVEFTGTWRRLFSRVKKHVVWGTLKSVTGMQGKKFKDKSHTQKEANVPSVPEIELNLNDNDGQAEQYPDWLKRPSDGAGDGFVTSIRGLFTTQRRKAKAFVLRTMRGEAENDFGGDWSESDADFSPFARQLTVTKTKKLIRRHTKKFSRGQNGSPKLEGESHPFSPREGTPFEPYDSDSSSESEPYEALQEQLELQALKGEL >Manes.05G189400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31171180:31182566:1 gene:Manes.05G189400.v8.1 transcript:Manes.05G189400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPFASFNCEEFSFSCEFGHDREIGLIIHNFDINCGEIAMSLNEKLLLKKKTSDTSSQRDKAAIDPPVVKDPQRKQPPLVAIIKYTSMIPQKVSFSLPKLDVKFVHQELDLVFENNITGIQLKSMKSRSVEDREESTRLDVQMDFSEIHILKEGGTSVLEILRFVIISFIYIPSEPTSPLRTEVDVKIGGTRCNINISRIKPLLQLHSSKKKKLVLREEGPPPAKPQSTGSKVIITWTCTVSAPEVTIALYSISGSPLYHLRWQSSHVFANNVSSKGITLHLELGLLNFLMADECQERLKESSVVESNSGAIVHIAKLSLDWGKKDVESSEEDKARCKLVLSVEVNGMGVHVNFKRIQSFVVTAISYQSFLKSLSGSTKKTTQNRAVRSSKPSGKGIQMLKFNLERCSINLCGETSLDDAVVADPKRVNYGSQGGRFVISAAEDGTPRTATVLATVPDDCRKINYSVSLDIIHFTLCVNKENQSTEVELERARSIYQEHLEEDRPDARVTLLDLQNAKFVRRSGGLKGVSICSLFSATEISVGWEPDAHLSLIQLVLKLKLLVHNQKLQERGTESMEGASSMRDTELKKPAAPESGNSDRSKKKESIFAVDIEMIKISAAAGDGVDVKVQVHSIFSENARIGVLLEGVMLSFNGARLLKSGRMQISRRPSPSTSVSDAKSPAAITWDWVIQGLNVHVSMPFRLELRAIDDSVEDMIRALKLVTAAQTQLIFPIKKESSKPKKPSSAKFGRVRVCISKLIVDIEEEPLQGWLDEHYQLMKNEADEMAVRLKFLDEFISKVNNSPKNAETNDSTTERKVNYNGVQIDVQDPSAIQKLQEEMYKQSFKTYYQACQKIVTSEGSGAYREGFQSGFKLSTSRTSVLTISATELDVTLTKIDGGEDGMIDVVKKLDPVARELEIPFSRLYGCNILLRTGTLAAQIRDYTFPLFAASAGKCEGRVVLAQQATFFQPQVYQDVFVGRWRKVQLLRSATGTTPPTKSYLDLPLSFQKGEVSFGVGYEPSFADISYAFTVALRRANLSVRNPGPLVQVPKKERSLPWWDDMRNYVHGNVTLNFSETRFHILGTPDPYEKLDNLQITSDSMEIQQSDGRIYMSAKNFKLFPCSLTSLANNRGMKIPSGVDGCFLEAPVFIIEVIMDWGCDSRTPLNHYLFGLPNEGKTREKVFDPFRSTSLSLRFNLSLKPSCENQSSSSSTGGSIVVDGTAFPTTFNVGSHDLGWLSKFGKLNVLPPYKLRLFSRFPRFGCPRIPRSGNLSMDRVMTEVCLRLDATPLRIKHMPLEDEDPAKGLAFNFAKLKCEICFSRGKQEFTFECQRESLDLVYMGVDLHMPKVIIDKEDCISVAKIVQMSRKNSQPEKLPSEKRDNIEKHKDDGFFLSCDYFIIRKQAPKADPESLLAWQDAGKKNTEKTLVRTGTENENESTDDHASDDEGYNVAVADNCQRVFVYGLKILWNIENKNAIFSWVGGLTKGLAPPKPSPSRQYTQRKLLEESQSHAETEVNQDDASKPPSTSQDADSPSKNEESSGSNPPSSDSAKPENSSSAVVFPARNESADDPDEEGTRHFMVNVIQPQFNLHSEEANGRFLLAAISGRVLARSFHSILQVGHQAIEKALSSGDVQIPETLPEMAWKRMEFSVMLEQVQAHVAPTDVDPGAGLQWLPKIKKNSPKVIRTGALLERVFAPCDMYLRYTRHKGGTPELKVKPLKELTFNCDNITATMTSRQFQVMLDVLTNLLFARSPKPKKSNLAFLAEDEEDIEEEADEVVPDGVEEVELARIELEVKERDQKLLLYDIRRLSLNGDTSGEINSKIEGKLWMITGGKSDLVQGLKGELVNAKKSKKAASASLRTTLQKAAQIRLMEKEKEKSKGSSCAMRISLQVSKVVWSMLLDGKSFAEAEINDLIFDFDRDYKDVGVALFTTKFVVVRNCLPNAKSDTILSAWNPPPEWGKKVMLRVDAKQGAPKNGNSNIELFQVEVYPLKIYLAETMYRMMWVYFFPEEQQDSQRRQEVWKVSTTASARRAKKGSAIGDASHGQSKDTDVASKIMAGFGTKLRRTSSFDRTWEESLAESVATELVMQAHSSGISSSKVDPASLIDQADDSSKNKPNTKLVKYGCPSLDEKKLGKPIDDKNARPKKVIEFHNIKISQVELQITYESSRFNLHELKLLMDTFHRVEFTGTWRRLFSRVKKHVVWGTLKSVTGMQGKKFKDKSHTQKEANVPSVPEIELNLNDNDGQAEQYPDWLKRPSDGAGDGFVTSIRGLFTTQRRKAKAFVLRTMRGEAENDFGGDWSESDADFSPFARQLTVTKTKKLIRRHTKKFSRGQNGSPKLEGESHPFSPREGTPFEPYDSDSSSESEPYEALQEQLELQALKGEL >Manes.05G189400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31171825:31182565:1 gene:Manes.05G189400.v8.1 transcript:Manes.05G189400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNEKLLLKKKTSDTSSQRDKAAIDPPVVKDPQRKQPPLVAIIKYTSMIPQKVSFSLPKLDVKFVHQELDLVFENNITGIQLKSMKSRSVEDREESTRLDVQMDFSEIHILKEGGTSVLEILRFVIISFIYIPSEPTSPLRTEVDVKIGGTRCNINISRIKPLLQLHSSKKKKLVLREEGPPPAKPQSTGSKVIITWTCTVSAPEVTIALYSISGSPLYHLRWQSSHVFANNVSSKGITLHLELGLLNFLMADECQERLKESSVVESNSGAIVHIAKLSLDWGKKDVESSEEDKARCKLVLSVEVNGMGVHVNFKRIQSFVVTAISYQSFLKSLSGSTKKTTQNRAVRSSKPSGKGIQMLKFNLERCSINLCGETSLDDAVVADPKRVNYGSQGGRFVISAAEDGTPRTATVLATVPDDCRKINYSVSLDIIHFTLCVNKENQSTEVELERARSIYQEHLEEDRPDARVTLLDLQNAKFVRRSGGLKGVSICSLFSATEISVGWEPDAHLSLIQLVLKLKLLVHNQKLQERGTESMEGASSMRDTELKKPAAPESGNSDRSKKKESIFAVDIEMIKISAAAGDGVDVKVQVHSIFSENARIGVLLEGVMLSFNGARLLKSGRMQISRRPSPSTSVSDAKSPAAITWDWVIQGLNVHVSMPFRLELRAIDDSVEDMIRALKLVTAAQTQLIFPIKKESSKPKKPSSAKFGRVRVCISKLIVDIEEEPLQGWLDEHYQLMKNEADEMAVRLKFLDEFISKVNNSPKNAETNDSTTERKVNYNGVQIDVQDPSAIQKLQEEMYKQSFKTYYQACQKIVTSEGSGAYREGFQSGFKLSTSRTSVLTISATELDVTLTKIDGGEDGMIDVVKKLDPVARELEIPFSRLYGCNILLRTGTLAAQIRDYTFPLFAASAGKCEGRVVLAQQATFFQPQVYQDVFVGRWRKVQLLRSATGTTPPTKSYLDLPLSFQKGEVSFGVGYEPSFADISYAFTVALRRANLSVRNPGPLVQVPKKERSLPWWDDMRNYVHGNVTLNFSETRFHILGTPDPYEKLDNLQITSDSMEIQQSDGRIYMSAKNFKLFPCSLTSLANNRGMKIPSGVDGCFLEAPVFIIEVIMDWGCDSRTPLNHYLFGLPNEGKTREKVFDPFRSTSLSLRFNLSLKPSCENQSSSSSTGGSIVVDGTAFPTTFNVGSHDLGWLSKFGKLNVLPPYKLRLFSRFPRFGCPRIPRSGNLSMDRVMTEVCLRLDATPLRIKHMPLEDEDPAKGLAFNFAKLKCEICFSRGKQEFTFECQRESLDLVYMGVDLHMPKVIIDKEDCISVAKIVQMSRKNSQPEKLPSEKRDNIEKHKDDGFFLSCDYFIIRKQAPKADPESLLAWQDAGKKNTEKTLVRTGTENENESTDDHASDDEGYNVAVADNCQRVFVYGLKILWNIENKNAIFSWVGGLTKGLAPPKPSPSRQYTQRKLLEESQSHAETEVNQDDASKPPSTSQDADSPSKNEESSGSNPPSSDSAKPENSSSAVVFPARNESADDPDEEGTRHFMVNVIQPQFNLHSEEANGRFLLAAISGRVLARSFHSILQVGHQAIEKALSSGDVQIPETLPEMAWKRMEFSVMLEQVQAHVAPTDVDPGAGLQWLPKIKKNSPKVIRTGALLERVFAPCDMYLRYTRHKGGTPELKVKPLKELTFNCDNITATMTSRQFQVMLDVLTNLLFARSPKPKKSNLAFLAEDEEDIEEEADEVVPDGVEEVELARIELEVKERDQKLLLYDIRRLSLNGDTSGEINSKIEGKLWMITGGKSDLVQGLKGELVNAKKSKKAASASLRTTLQKAAQIRLMEKEKEKSKGSSCAMRISLQVSKVVWSMLLDGKSFAEAEINDLIFDFDRDYKDVGVALFTTKFVVVRNCLPNAKSDTILSAWNPPPEWGKKVMLRVDAKQGAPKNGNSNIELFQVEVYPLKIYLAETMYRMMWVYFFPEEQQDSQRRQEVWKVSTTASARRAKKGSAIGDASHGQSKDTDVASKIMAGFGTKLRRTSSFDRTWEESLAESVATELVMQAHSSGISSSKVDPASLIDQADDSSKNKPNTKLVKYGCPSLDEKKLGKPIDDKNARPKKVIEFHNIKISQVELQITYESSRFNLHELKLLMDTFHRVEFTGTWRRLFSRVKKHVVWGTLKSVTGMQGKKFKDKSHTQKEANVPSVPEIELNLNDNDGQAEQYPDWLKRPSDGAGDGFVTSIRGLFTTQRRKAKAFVLRTMRGEAENDFGGDWSESDADFSPFARQLTVTKTKKLIRRHTKKFSRGQNGSPKLEGESHPFSPREGTPFEPYDSDSSSESEPYEALQEQLELQALKGEL >Manes.06G177900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30150572:30154199:-1 gene:Manes.06G177900.v8.1 transcript:Manes.06G177900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGDGRFTVTVTKKDVVAAVLPLQEHWLPLSNLDLLLPPVDVSVFFCYRGNDHMMNFGSNVAVLKKAMAQVLVSYYSFAGEVVTNFLGEPEILCNNRGVDFFEAFADVDLKDLNLYNPDHSIEGKLVPKKQDGVLAVQATELKCGGVVVSCTFDHRIADAYSANMFLVSWAELAKTREFLVVPSFRRSLLNPRRPASIDPSLNNMYVPISKLKPPKEPQPQLDFDNLISRIYYVKADQLNQLQSLANCNGNKRTKLESFSAFLWQLVAKSAKKVDASKVVKICKMGIVVDGRTRLKLMDAYFGNVLSIPYGGKRIDDLTENPLSWIASEVHDFLESAVTKEHFLGLIDWVEAHRPEQALAKIYSSGSDDGPAFVVSSGQRFPVSKIDFGWGMPAFGSYHFPWGGSAGYVMPMPSPAWTGDWIVYMHLLKGQMEFIETEAADFFRPLTWNYLDHSFTRFNS >Manes.S025116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:338977:339144:1 gene:Manes.S025116.v8.1 transcript:Manes.S025116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.01G007700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2735436:2740369:-1 gene:Manes.01G007700.v8.1 transcript:Manes.01G007700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREWRRVSSQEQGIMGIFVFGFGYGYVLWLLLMIPSQARELASQQSSNDDIVGLLAFKKSSVQSDPKNVLANWTADSSSPCSWFGVSCSVDGRRVTSLNLANAGLIGSLHLPYLTSLPALTNLILRGRSFLFSCNNLAHVNLSHNFIPGVNLQFGPSLLQLDLSGNLISDSTILNQSLSICQNLNFLNFSGNKLAGKLEITLSCKSLSVLDLSYNLLSGEIPPSFFADSLSLKILDLSHNNFSSSFSSLDFGHCSNLSFISLSQNRLSGSAFPTSLSNCDVLETLDLSHNELKLKIPGAMLGRLKNLKQLSLAENQLFGDIPSELGQACGTLQELNLSANKLTGGLPPNFILCFSLQSLNLGHNLLSGDFLTTVVSRLQSLKYLYVPFNNITGPVPLSLTNCTQLQVLDLSSNEFTGNVPSEFCTSSNPSALQKFLLANNYLSGKVPSELGSCKNLRRIDLSFNNLNGPIPSEIWTLPNLSDLVMWANNLTGDIPEGICEIGGNLETLILNNNLLTGSIPKSIGSCTNMIWISLASNQLSGEIPSSIGSLVNLAILQMGNNSVSGRIPPEMGNCRSLIWLDLNSNDLTGPLPPELAKQAGMIIPGIVSGKQFAFVRNEGGTSCRGAGGLVEFEGIRAERLENLHMVHSCPTTRIYSGKTVYTFSSNGSMIYLDLAYNSLSGPIPENFGSMSYLQVLNLGHNGLNGNIPDSFGGLKEIGVLDLSHNDLQGFIPASLGTLSFLSDLDVSNNNLSGVIPSGGQLTTFPASRYENNSGLCGVPLVPCGSRGSQQSSFHRGKKQSVAAGMVIGITFFVLCIFFLTLAFYRVKKFQQKEEQREKYIESLPTSGSSSWKLSGVPEPLSINIATFEKPLRKLTFAHLLEATNGFSDDSLIGSGGFGQVYKAKLRDGCIVAIKKLVHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKWGSLESVLHDRSKGGCSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVILLELLSGKKPIDPSEFGDDNNLVGWAKQLHREGRDNEILDSELTVQKSCESELYQYLRIAFECLEEKPFRRPTMIQVMAMFKELQVDSENDILDGFSLKDEVIDEMREKE >Manes.01G007700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2735060:2740476:-1 gene:Manes.01G007700.v8.1 transcript:Manes.01G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREWRRVSSQEQGIMGIFVFGFGYGYVLWLLLMIPSQARELASQQSSNDDIVGLLAFKKSSVQSDPKNVLANWTADSSSPCSWFGVSCSVDGRRVTSLNLANAGLIGSLHLPYLTSLPALTNLILRGNLFSAGDLSASTSTPCALETVDLSSNNFSDPLPGRSFLFSCNNLAHVNLSHNFIPGVNLQFGPSLLQLDLSGNLISDSTILNQSLSICQNLNFLNFSGNKLAGKLEITLSCKSLSVLDLSYNLLSGEIPPSFFADSLSLKILDLSHNNFSSSFSSLDFGHCSNLSFISLSQNRLSGSAFPTSLSNCDVLETLDLSHNELKLKIPGAMLGRLKNLKQLSLAENQLFGDIPSELGQACGTLQELNLSANKLTGGLPPNFILCFSLQSLNLGHNLLSGDFLTTVVSRLQSLKYLYVPFNNITGPVPLSLTNCTQLQVLDLSSNEFTGNVPSEFCTSSNPSALQKFLLANNYLSGKVPSELGSCKNLRRIDLSFNNLNGPIPSEIWTLPNLSDLVMWANNLTGDIPEGICEIGGNLETLILNNNLLTGSIPKSIGSCTNMIWISLASNQLSGEIPSSIGSLVNLAILQMGNNSVSGRIPPEMGNCRSLIWLDLNSNDLTGPLPPELAKQAGMIIPGIVSGKQFAFVRNEGGTSCRGAGGLVEFEGIRAERLENLHMVHSCPTTRIYSGKTVYTFSSNGSMIYLDLAYNSLSGPIPENFGSMSYLQVLNLGHNGLNGNIPDSFGGLKEIGVLDLSHNDLQGFIPASLGTLSFLSDLDVSNNNLSGVIPSGGQLTTFPASRYENNSGLCGVPLVPCGSRGSQQSSFHRGKKQSVAAGMVIGITFFVLCIFFLTLAFYRVKKFQQKEEQREKYIESLPTSGSSSWKLSGVPEPLSINIATFEKPLRKLTFAHLLEATNGFSDDSLIGSGGFGQVYKAKLRDGCIVAIKKLVHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKWGSLESVLHDRSKGGCSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVILLELLSGKKPIDPSEFGDDNNLVGWAKQLHREGRDNEILDSELTVQKSCESELYQYLRIAFECLEEKPFRRPTMIQVMAMFKELQVDSENDILDGFSLKDEVIDEMREKE >Manes.15G066900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5068085:5070822:-1 gene:Manes.15G066900.v8.1 transcript:Manes.15G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGAAAPKQVVEPNGSAPQNIVNGKEPRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARSLRGPKAKTNFISDSHLSPFTYQNPPHPFMDHRLYTSNGFHEHHVIPQRPASSSQSSTVESFSGPRPPAQQTTTATKKSKLPLAATAPRKNHHRTPPMVPEDCHSDCDSSSSVVDDRDIASSSSLSCRKPLPFDLNFPPLDQVDFVIDDLQCTALCL >Manes.13G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1413258:1415359:1 gene:Manes.13G010300.v8.1 transcript:Manes.13G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELVDKAIQKLNKESSPDTLQEDRDTDKLLLSRLLSELESLKSGRKVEKSEASAEQKDPLVGEAELKNEKATRVENSSSQIGAEEIMKELKKVRKQNIVTHCLLSAMIVLTLAWQLSEVSLILKVKDGLNHPFKSLGSMIKGMLIAPRSNVQDAETEQHSEASSSSVHLHMPELPHVNLGLNDKDD >Manes.08G035800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3466467:3467581:1 gene:Manes.08G035800.v8.1 transcript:Manes.08G035800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTYNWTTQFIFSWLCDDFFEISELDAMMWNDPSWSLVFLDMMQNDEIIGGDKLILRGLMFHGFHGVKPEEKTLGQKFLIDVDAWMDLRAAGKSDQMSDTLSYTEIYRVVKEVVEGPSHNLLESVAQQIALTTLTKYPQISAVRVKVGKPHVAVHGPLDYLGVEILRRRIVDLPN >Manes.08G035800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3465386:3467810:1 gene:Manes.08G035800.v8.1 transcript:Manes.08G035800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRWVVNSSVTRQDMMQNDEIIGGDKLILRGLMFHGFHGVKPEEKTLGQKFLIDVDAWMDLRAAGKSDQMSDTLSYTEIYRVVKEVVEGPSHNLLESVAQQIALTTLTKYPQISAVRVKVGKPHVAVHGPLDYLGVEILRRRIVDLPN >Manes.08G035800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3465246:3467971:1 gene:Manes.08G035800.v8.1 transcript:Manes.08G035800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNDEIIGGDKLILRGLMFHGFHGVKPEEKTLGQKFLIDVDAWMDLRAAGKSDQMSDTLSYTEIYRVVKEVVEGPSHNLLESVAQQIALTTLTKYPQISAVRVKVGKPHVAVHGPLDYLGVEILRRRIVDLPN >Manes.08G035800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3465171:3468057:1 gene:Manes.08G035800.v8.1 transcript:Manes.08G035800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNDEIIGGDKLILRGLMFHGFHGVKPEEKTLGQKFLIDVDAWMDLRAAGKSDQMSDTLSYTEIYRVVKEVVEGPSHNLLESVAQQIALTTLTKYPQISAVRVKVGKPHVAVHGPLDYLGVEILRRRIVDLPN >Manes.08G035800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3465621:3467810:1 gene:Manes.08G035800.v8.1 transcript:Manes.08G035800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNDEIIGGDKLILRGLMFHGFHGVKPEEKTLGQKFLIDVDAWMDLRAAGKSDQMSDTLSYTEIYRVVKEVVEGPSHNLLESVAQQIALTTLTKYPQISAVRVKVGKPHVAVHGPLDYLGVEILRRRIVDLPN >Manes.18G066400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5977987:5983429:-1 gene:Manes.18G066400.v8.1 transcript:Manes.18G066400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTCCFRLSAEEKEKKADAVSSGRAEFNKGRKTLKIRLEHPVKPFENDELKATTFSVSVDSVPFVRKDSPSVKLIRHESLGGDEAPEIAYEGEDEHEENASMKRELSDINLQDHVPDSGEDFTSGSPKFSFSNPFAIKVNEQIANGDEKDKGINEIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETRKLLKRMDDHFVPSKSPYSGESQELDEKLREPGSPVSLISHRSADRVILKKHSSSQVLPSRSRKLWWKLFLWSHRNLHRPWTVKPKPQIVNNLKQQCGYTSDTVEPNRATTSSNIQSPGSFTGESLNKGCNNSYDDNQSWHGFHGGISGGLWPQKHWVAFSMETSPFTRVDEWVKDLETQEPTHIHEDNDAGNSDKGIVFPPSPDTGRSPGRTVANFTRTNLPEEILHANAVIQSLNSSSTVAHISGIGLKAIPSISCFTSLRSVNLSNNFIVYITQGSLPKGLHTLNLSRNKINTIEGLRELTRLRVLDLSYNRISRIGQGLSNCTIIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNISDDQLRKAVCSLLPKLVYLNKQSIKPQRAREVLTDSVAKAALGTSSSWGSRRRATKRTNSSGSASSNMYRNSIGARQKNRSRSKSRTHHLKTTSSKHASSSH >Manes.18G066400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5977987:5983114:-1 gene:Manes.18G066400.v8.1 transcript:Manes.18G066400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTCCFRLSAEEKEKKADAVSSGRAEFNKGRKTLKIRLEHPVKPFENDELKATTFSVSVDSVPFVRKDSPSVKLIRHESLGGDEAPEIAYEGEDEHEENASMKRELSDINLQDHVPDSGEDFTSGSPKFSFSNPFAIKVNEQIANGDEKDKGINEIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETRKLLKRMDDHFVPSKSPYSGESQELDEKLREPGSPVSLISHRSADRVILKKHSSSQVLPSRSRKLWWKLFLWSHRNLHRPWTVKPKPQIVNNLKQQCGYTSDTVEPNRATTSSNIQSPGSFTGESLNKGCNNSYDDNQSWHGFHGGISGGLWPQKHWVAFSMETSPFTRVDEWVKDLETQEPTHIHEDNDAGNSDKGIVFPPSPDTGRSPGRTVANFTRTNLPEEILHANAVIQSLNSSSTVAHISGIGLKAIPSISCFTSLRSVNLSNNFIVYITQGSLPKGLHTLNLSRNKINTIEGLRELTRLRVLDLSYNRISRIGQGLSNCTIIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNISDDQLRKAVCSLLPKLVYLNKQSIKPQRAREVLTDSVAKAALGTSSSWGSRRRATKRTNSSGSASSNMYRNSIGARQKNRSRSKSRTHHLKTTSSKHASSSH >Manes.18G066400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5977987:5983114:-1 gene:Manes.18G066400.v8.1 transcript:Manes.18G066400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTCCFRLSAEEKEKKADAVSSGRAEFNKGRKTLKIRLEHPVKPFENDELKATTFSVSVDSVPFVRKDSPSVKLIRHESLGGDEAPEIAYEGEDEHEENASMKRELSDINLQDHVPDSGEDFTSGSPKFSFSNPFAIKVNEQIANGDEKDKGINEIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETRKLLKRMDDHFVPSKSPYSGESQELDEKLREPGSPVSLISHRSADRVILKKHSSSQVLPSRSRKLWWKLFLWSHRNLHRPWTVKPKPQIVNNLKQQCGYTSDTVEPNRATTSSNIQSPGSFTGESLNKGCNNSYDDNQSWHGFHGGISGGLWPQKHWVAFSMETSPFTRVDEWVKDLETQEPTHIHEDNDAGNSDKGIVFPPSPDTGRSPGRTVANFTRTNLPEEILHANAVIQSLNSSSTVAHISGIGLKAIPSISCFTSLRSVNLSNNFIVYITQGSLPKGLHTLNLSRNKINTIEGLRELTRLRVLDLSYNRISRIGQGLSNCTIIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNISDDQLRKAVCSLLPKLVYLNKQSIKPQRAREVLTDSVAKAALGTSSSWGSRRRATKRTNSSGSASSNMYRNSIGARQKNRSRSKSRTHHLKTTSSKHASSSH >Manes.04G088900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29446259:29448048:1 gene:Manes.04G088900.v8.1 transcript:Manes.04G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAMEISGYIIVIILAHVAFLGSFMAAAAASASIDLSTAVLIRVDQSGKGDFKKIQDAIDSVPPNNSQLVFIWVKPGIYREKVVVPADKPFITLSGTEASKTIITWSDGGNIFESPTLSVLASDFVGRYLTIQNTYGSGDKAVALRVSGDRAAFYGCRILSYQDTLLDDTGSHYYSNCYIEGATDFICGNAVSLFENCHLHSISKNNGSITAQHRDSSTQNSGFTFLGCKITGIGSAYLGRPWGDYSRVVFAFSYMSKAIAPAGWDTWAGQTKQSTVFYAEYKCYGPGANRAQRVEWSRSLSKEEAAPFLTKAMIGGQRWLRRAPTYFKRGSTIIKGHVGNN >Manes.18G105300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10253578:10258473:1 gene:Manes.18G105300.v8.1 transcript:Manes.18G105300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSASSSDSPDIRAPLLYDTVEGSVDYKGRSVYKFNSGGWRSASFIIGVEVAERFAYYGIASNLITFLTGPLGQSTATAAENVNAWSGTASLLPLLGAFVADSFLGRFRTIIVASLIYILGLGLLTLSAILPSFSASDCPSTNISTKCSANPIQVVLFFFSLYLVALGQGGHKPCVQAFGADQFDGQDPKEYKAKSSFFNWWYFCMCSGTLVTLLFLNYIQDNLNWALGFGIPCIMMVIALILFLLGTKTYRYSVKVEEKSAFLRIGLVFVSAIKNWRTSPSALALEEETRGMLPHQSSEQFKFLNKALLTPNGSKETGKVCSLSEIEEAKAVLRLVPIWATCLVYAVAFAQSSTFFTKQGVTMDRSISPGFKVPPATLQSFISLAIVLFIPIYDRIFVPIARALTGKPSGITMLQRIGTGMFLSALSMAIAALIETKRLEVAREHGLIDKPNVTVPMSIWWLVPQYVLFGLADVFTMVGLQEFFYDQVPSELRSVGLSLYLSIFGVGSFISSFLVSAIEKATGGEGHDSWFANNLNRGHLNYFYWLLAALSVVQLFVYLYFAKNYVYNR >Manes.18G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10253937:10258563:1 gene:Manes.18G105300.v8.1 transcript:Manes.18G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSASSSDSPDIRAPLLYDTVEGSVDYKGRSVYKFNSGGWRSASFIIGVEVAERFAYYGIASNLITFLTGPLGQSTATAAENVNAWSGTASLLPLLGAFVADSFLGRFRTIIVASLIYILGLGLLTLSAILPSFSASDCPSTNISTKCSANPIQVVLFFFSLYLVALGQGGHKPCVQAFGADQFDGQDPKEYKAKSSFFNWWYFCMCSGTLVTLLFLNYIQDNLNWALGFGIPCIMMVIALILFLLGTKTYRYSVKVEEKSAFLRIGLVFVSAIKNWRTSPSALALEEETRGMLPHQSSEQFKFLNKALLTPNGSKETGKVCSLSEIEEAKAVLRLVPIWATCLVYAVAFAQSSTFFTKQGVTMDRSISPGFKVPPATLQSFISLAIVLFIPIYDRIFVPIARALTGKPSGITMLQRIGTGMFLSALSMAIAALIETKRLEVAREHGLIDKPNVTVPMSIWWLVPQYVLFGLADVFTMVGLQEFFYDQVPSELRSVGLSLYLSIFGVGSFISSFLVSAIEKATGGEGHDSWFANNLNRGHLNYFYWLLAALSVVQLFVYLYFAKNYVYNR >Manes.18G086800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8005539:8008689:-1 gene:Manes.18G086800.v8.1 transcript:Manes.18G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAGKVIRCKAAVAWEAGKPLVIEEVEVAPPQASEVRLKILFTSLCHTDVYFWEAKGQNPLFPRIYGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKDCAHCKSEESNMCSLLRINTDRGVMLNDGKSRFSIKGKPIYHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDVNSNRFEEAKKFGVTEFVNPQHHNKPVQEVIAEMTDGGVDRSVECTGNVDAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPINVLNERTLKGTFFGNYKPRTDLPSVVEKYMNKELELEKFITHSVPFSKINEAFELMLKAESLRCIIRME >Manes.13G058700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6731202:6739208:-1 gene:Manes.13G058700.v8.1 transcript:Manes.13G058700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MALSRSAKRPLQIMLSTRHGFSGKNYSTSTCKAVSTTISQLSDTKTPSESAFGMFFRRFSSQAPVAQEQMSLIKQLRERTSAPIKDVKAALVDCNWDIEEAQKDLRKRGKVLASKKSGRTAAEGLIALAQDEGRAALIELNCETDFVARNEIFQYLALSLAKQALLIDNSSHKISGIHPVGPECLEELKLNLEHPRISGETTVQNAITEIAAMMGENVRLRRGVIMSTSSPAVISTYLHTSPQPGLGRIAGLLSLEIEDGNSQLEALQHVGSELAMHVVAAKPLFLTKELVSSNALESEREILKSQAESSGKSQMAIEKMVEGRLRKYYEEVVLLEQKFIMNDTINVKMLLNNLSKEVGSPVKVGNFFRMEVGEGIQR >Manes.13G058700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6731202:6739208:-1 gene:Manes.13G058700.v8.1 transcript:Manes.13G058700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MALSRSAKRPLQIMLSTRHGFSGKNYSTSTCKAVSTTISQLSDTKTPSESAFGMFFRRFSSQAPVAQEQMSLIKQLRERTSAPIKDVKAALVDCNWDIEEAQKDLRKRGKVLASKKSGRTAAEGLIALAQDEGRAALIELNCETDFVARNEIFQYLALSLAKQALLIDNSSHKISGIHPVGPECLEELKLNLEHPRISGETTVQNAITEIAAMMGENVRLRRGVIMSTSSPAVISTYLHTSPQPGLGRIAGLLSLEIEDGNSQLEALQHVGSELAMHVVAAKPLFLTKELVSSNALESEREILKSQAESSGKSQMAIEKMVEGRLRKYYEEVVLLEQKFIMNDTINVKMLLNNLSKEVGSPVKVGNFFRMEVGEGIQRLEASGADEPMAQAA >Manes.13G058700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6731202:6739208:-1 gene:Manes.13G058700.v8.1 transcript:Manes.13G058700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MALSRSAKRPLQIMLSTRHGFSGKNYSTSTCKAVSTTISQLSDTKTPSESAFGMFFRRFSSQAPVAQEQMSLIKQLRERTSAPIKDVKAALVDCNWDIEEAQKDLRKRGKVLASKKSGRTAAEGLIALAQDEGRAALIELNCETDFVARNEIFQYLALSLAKQALLIDNSSHKISGIHPVGPECLEELKLNLEHPRISGETTVQNAITEIAAMMGENVRLRRGVIMSTSSPAVISTYLHTSPQPGLGRIAGLLSLEIEDGNSQLEALQHVGSELAMHVVAAKPLFLTKELVSSNALESEREILKSQAESSGKSQMAIEKMVEGRLRKYYEEVVLLEQKFIMNDTINVKMLLNNLSKEVGSPVKVGNFFRMEVGEGIQRLEASGADEPMAQAA >Manes.12G002800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:482574:494620:1 gene:Manes.12G002800.v8.1 transcript:Manes.12G002800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVYMDIDDTQQLAADPLPFARSYQLEALEKALEQNTVLFLETGSGKTLVAIMLLRSYAYLIRKPSPSIAVFLVPRVVLVQQQAEAVEMHTDLVVGKYWGEMGVDFWDAATWEEHLEKHEVLVMTPQILLNGLKHCFLKLDLIKVLIFDECHHARDNHPYACILTEFYHRQLSCGNFNLPRIFGMTASLIKSKGANSEQAYWQEICELENMMHSKVYTCVSESVLMEFLPFSTPKFKCYNHMDIPCDLYVSLAEKLKILKAKCEYKLKQMDLTDSMVESTIKNLTKVHSTLIYCLAELGVWLAFKAAQILSCRGSNFPSWDKLDVFGENIVKEFSLVASQEFDNCIKTAIEHLGPKWSIGDNAKIDADAGLLTSKIFCLIDSLLEYRDLKDIRCIVFVERVITAIVLNSLLNELLPRYNGWKSEYIAGNNLGLQTQTRKTQNEIVEEFRKGKVNIVVATSILEEGLDVPNCNLVVRFDPSATVSSFIQSRGRARMRNSDYLLMVKSGDRSTCSRLQNYLSSGHIMRKEAIRHASVPCSPLKFELHDDEFYCIESTGAVVTLTSSVSLIYFYCSRLPSDGYFKPAPRCVIIKEREICTLHLPKSCPIENISLQGNVKTLKQKACLEACKQLHKIGALTDYLVPDIVMEEAVAQEIGKVPYDDEHPLYFPPELVSQGSKESKGTYYCYLIELNENFDSDIPVCNIILVMGSELESDISSLDFDLEVDRGLVTMKLKYIGELDLTPELVLICRKFLIALAKVLMYDNVNELEEIINGLQLRKDHEIDYFLLPSMGSCQKPAIDWNSVVSVLFSYENAWEDHVKCPLKESARIMWTKNGQLCKCMLENSLVSTPHNGKVYCIRAVFDHLDGRSLLKLKNGDYITYKEYYKNKHNIDLIFDQELLLSGRHIFSLQNHLHGCRQHKGKDSQNAFVELPPELCCIKMSPISLSTFYSFTFVPSIMHRLESLLIAVNLKKMLSDHCMQNVYIPTMKVLEAITTKKCQEKFHLESLETLGDSFLKYAASQQLFKTYQSHHEGLLSIKKDKLISNATLCKLGCDCKLPGFIRNESFDPKNWMIPGDTSGSYSLSLEFLSNTRSIYSTGRRKLKRKIIADVVEALIGAYLSAGGEIAALLFLNWLGIKVDFFNTPYEPQFKVNPEKYINIHGLESLLNYSFKNHYLLLEALTHGSYMLPEIPRCYQRLEFLGDSVLDYLITVHLYGKYPGLSPGLLTDMRSASVNNDCYAISAVRKGLHKHILHLSQKLHQDIVVTVKNLENYSSESTFGWESEVSFPKVLGDVIESLAGAIFVDSGYNKEVVFQSIRPLLEPLVTPDTLKLHPVRELNELCQVQHFEKRKAVPSSINGTSSVTVEVGAHGLTFKHTSSAADKKTAKKLASKEVLKALKD >Manes.08G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4594221:4598529:1 gene:Manes.08G046400.v8.1 transcript:Manes.08G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAKKRGRPEVANGGFKKSKQEMDSLSTGVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYNAVAQMMNLPPAVTPVSRNMAAPPAIPNGSAPSAVKSRLCNKYNTAEGCKFGDKCHFAHGEWELGKLIAPSHEDPRAIGTVPGRMGGRMEPPPPGPAASFGASATAKISVEASLAGAIIGKGGVHSKQICRQTGAKLSIREHETDPNLRNIEFEGSFEQIKQASSMVSELIASLSSANAPGKTIGAPAGHAHPGSNYKTKMCENFTKGSCTFGQRCHFAHGAAELRKSGM >Manes.08G046400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4594221:4598529:1 gene:Manes.08G046400.v8.1 transcript:Manes.08G046400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLPPAVTPVSRNMAAPPAIPNGSAPSAVKSRLCNKYNTAEGCKFGDKCHFAHGEWELGKLIAPSHEDPRAIGTVPGRMGGRMEPPPPGPAASFGASATAKISVEASLAGAIIGKGGVHSKQICRQTGAKLSIREHETDPNLRNIEFEGSFEQIKQASSMVSELIASLSSANAPGKTIGAPAGHAHPGSNYKTKMCENFTKGSCTFGQRCHFAHGAAELRKSGM >Manes.08G046400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4594221:4598529:1 gene:Manes.08G046400.v8.1 transcript:Manes.08G046400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSTGVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYNAVAQMMNLPPAVTPVSRNMAAPPAIPNGSAPSAVKSRLCNKYNTAEGCKFGDKCHFAHGEWELGKLIAPSHEDPRAIGTVPGRMGGRMEPPPPGPAASFGASATAKISVEASLAGAIIGKGGVHSKQICRQTGAKLSIREHETDPNLRNIEFEGSFEQIKQASSMVSELIASLSSANAPGKTIGAPAGHAHPGSNYKTKMCENFTKGSCTFGQRCHFAHGAAELRKSGM >Manes.14G125900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9994968:9995845:1 gene:Manes.14G125900.v8.1 transcript:Manes.14G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFCSCNHHQELTDDYQLLGFNDNFMSVFSDSFINPLFEFDDQLFYSDSYTNLLPYFSSSPSDNIMSLSPDIFPLQDFDSYQYPKRQKSYADISRSSSAPSFFDGFVANSDLLLPEFPAPALPKFQVPEAAFNEGRSDCSAVAKKPNGESLSAQSIAARERRRKITEKTQELGKLIPGGSKMNTAEMLQAASQYVKFLQAQVKLLQLMESRRQENEESHLQTSELQILLASPTIQEKLYSQEKCLVPREFLQTIANDE >Manes.04G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32025754:32032201:1 gene:Manes.04G118500.v8.1 transcript:Manes.04G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFTAKLWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRIFDLNRQDAPPREVGSSPGSIRTLAWLHSDQTILSSCTDMGGVRLWDIRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYNMPCNVESASLEPKLGNKFVAGGEDMWIHVFDFNTGEQIGCNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPANLEENDSLPRNGQAGKVKASADDVAHKIEGFHISKEGKTSEKDKATDA >Manes.04G118500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32025754:32032201:1 gene:Manes.04G118500.v8.1 transcript:Manes.04G118500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASASADFTAKLWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRIFDLNRQDAPPREVGSSPGSIRTLAWLHSDQTILSSCTDMGGVRLWDIRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYNMPCNVESASLEPKLGNKFVAGGEDMWIHVFDFNTGEQIDLPSSINSSLAALCYCSFQSGIILGYVKMSLQK >Manes.01G171300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35326005:35329681:1 gene:Manes.01G171300.v8.1 transcript:Manes.01G171300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDQSLLLKYDTLLFDLDDTLYPLSTGFSKECTNNIQEYMVQRLGIQESKVSQLNQELYKNYGTSMAGLKAIGYDFDNDEYHSFVHGRLPYEKLKPDHVLRSLLQSLPFRKVIFSNADKAHVAKTLSKLGLEDCFERIVCFETLNRTTSDHKIGSETLEIFESDNGQMLPKTPIVCKPFEDAFEQAFKLADIHPQRTIFFDDSIRNIQTGKQMGLRTVLVGKSNRISGADYVVESIHNMKEALPELWEANRSESETRKYTGNVSIETSVTA >Manes.01G010900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:3686556:3687586:1 gene:Manes.01G010900.v8.1 transcript:Manes.01G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTPFHDHHAKPNHINNNGLCPPPLKINKVSHMIKKSSSSSLSSPPSSSSSSSTSSLGVVGPAKPPHQRHPVIIYTHSPKIIHTHPKDFMALVQKLTGLSRSDEDQSAHVKQKKGNSSPEEENNSNGKRAGNGNDDNESSSVITEENCGSIGDGQVNSCFVPPIFEPSNPYMTNIPIFTPNSADFLCANQPFYNYTDSLFFTTPNMRTSISSSSSTMEGINEFHEY >Manes.10G092300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23764345:23770300:-1 gene:Manes.10G092300.v8.1 transcript:Manes.10G092300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLPTTIPCLVFISVLLLYLYSTSLFPYSSTSVTIPSLSTPCNLFEGHWVLDPQAPKPFYDESCPFHRNAWNCLRNKRDNMGLINSWKWVPQKCNLPRINPERFLEIMRNRNIGFVGDSLNENFLVSFLCILRVADADAKRWKRKGAWRGAYFPKFNVTVAYHRAVLLAKYQWQPKQSVLANDGLKGTYRVDVDIPADEWATINDFYDVLIFNTGHWWGYDKFPKETPVVFYLAGQPILPPLGLFDGLKVVLENMVSYIQSEIPGKTLKFWRLQSPRHFYGGEWNQNGSCLFNEPLKEYELDLWFDPSKNGVNKEARKINHAIEQILQGTDIHLLDLTHLSEYRADAHPAIWLGKKDAVAIWGQDCMHWCLPGVPDTWVDILSELIRQGVMRN >Manes.05G003600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:331942:338133:1 gene:Manes.05G003600.v8.1 transcript:Manes.05G003600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMMGLHKVYHRLTILSLFPLCPPPLFPSLKLSRFTLGHLRTHHSANSARLRSIMAAATSRLGNLVSATSFVAEDGGGGSNVSVNSSTGTSTLDDALGGKYQLPPPEIKDIVDAPPLPALSFSPQRDKILFLKRRSLPPLAELARPEEKLAGMRIDGKCNTRSRMSFYIGIGIHQLLPDGSLGPEKEVHGFPDGGKINFVTWSLDGRHLAFSIRVDEEDNSSSMLRVWVADVETGKARPLFQSQDVYLNAVFDNFVWVDNSSLLVCTIPSSRGDPPKKPLVPSGPKIQSNETKNVVQVRTFQDLLKDEYDEDLFDYYATSQLVLVSLDGTVKEIGPPAVYTSMDPSPDQKYLLISSIHRPYSFIVPCGRFPKRVELWTTDGKFVRELCDLPLAEDIPIAFNSVRKGMRSINWRADKPSTLYWAETQDEGDAKVEVSPRDIIYTQPAEPLEGEQPEILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGFKDASPRVLFDRSSEDAYSDPGSPMMRRTPSGTYVIAKIKKENDEGTYVLLNGIGATPEGNIPFLDLFDINTGSKERIWQSDKEKYFETVVALMSDHKEGDLYLDQLKLLTSKESKTENTQYYIQSWPDKKACPITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIQRGVAHPGKIAVGGHSYGAFMTANLLAHAPHIFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVVLPFESHGYAAYESIMHVLWETDRWLQKYCVSNASDVGTELDACKCDANKDVTDSENKAAAASGGGDPELEDFEHQGFHPVPRSLL >Manes.05G003600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:331895:338133:1 gene:Manes.05G003600.v8.1 transcript:Manes.05G003600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMMGLHKVYHRLTILSLFPLCPPPLFPSLKLSRFTLGHLRTHHSANSARLRSIMAAATSRLGNLVSATSFVAEDGGGGSNVSVNSSTGTSTLDDEALGGKYQLPPPEIKDIVDAPPLPALSFSPQRDKILFLKRRSLPPLAELARPEEKLAGMRIDGKCNTRSRMSFYIGIGIHQLLPDGSLGPEKEVHGFPDGGKINFVTWSLDGRHLAFSIRVDEEDNSSSMLRVWVADVETGKARPLFQSQDVYLNAVFDNFVWVDNSSLLVCTIPSSRGDPPKKPLVPSGPKIQSNETKNVVQVRTFQDLLKDEYDEDLFDYYATSQLVLVSLDGTVKEIGPPAVYTSMDPSPDQKYLLISSIHRPYSFIVPCGRFPKRVELWTTDGKFVRELCDLPLAEDIPIAFNSVRKGMRSINWRADKPSTLYWAETQDEGDAKVEVSPRDIIYTQPAEPLEGEQPEILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGFKDASPRVLFDRSSEDAYSDPGSPMMRRTPSGTYVIAKIKKENDEGTYVLLNGIGATPEGNIPFLDLFDINTGSKERIWQSDKEKYFETVVALMSDHKEGDLYLDQLKLLTSKESKTENTQYYIQSWPDKKACPITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIQRGVAHPGKIAVGGHSYGAFMTANLLAHAPHIFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVVLPFESHGYAAYESIMHVLWETDRWLQKYCVSNASDVGTELDACKCDANKDVTDSENKAAAASGGGDPELEDFEHQGFHPVPRSLL >Manes.05G003600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:331942:338133:1 gene:Manes.05G003600.v8.1 transcript:Manes.05G003600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMMGLHKVYHRLTILSLFPLCPPPLFPSLKLSRFTLGHLRTHHSANSARLRSIMAAATSRLGNLVSATSFVAEDGGGGSNVSVNSSTGTSTLDDEALGGKYQLPPPEIKDIVDAPPLPALSFSPQRDKILFLKRRSLPPLAELARPEEKLAGMRIDGKCNTRSRMSFYIGIGIHQLLPDGSLGPEKEVHGFPDGGKINFVTWSLDGRHLAFSIRVDEEDNSSSMLRVWVADVETGKARPLFQSQDVYLNAVFDNFVWVDNSSLLVCTIPSSRGDPPKKPLVPSGPKIQSNETKNVVQVRTFQDLLKDEYDEDLFDYYATSQLVLVSLDGTVKEIGPPAVYTSMDPSPDQKYLLISSIHRPYSFIVPCGRFPKRVELWTTDGKFVRELCDLPLAEDIPIAFNSVRKGMRSINWRADKPSTLYWAETQDEGDAKVEVSPRDIIYTQPAEPLEGEQPEILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGFKDASPRVLFDRSSEDAYSDPGSPMMRRTPSGTYVIAKIKKENDEGTYVLLNGIGATPEGNIPFLDLFDINTGSKERIWQSDKEKYFETVVALMSDHKEGDLYLDQLKLLTSKESKTENTQYYIQSWPDKKACPITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIQRGVAHPGKIAVGGHSYGAFMTANLLAHAPHIFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVVLPFESHGYAAYESIMHVLWETDRWLQKYCVSNASDVGTELDACKCDANKDVTDSENKAAAASGGGDPELEDFEHQGFHPVPRSLL >Manes.05G003600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:331942:338133:1 gene:Manes.05G003600.v8.1 transcript:Manes.05G003600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMMGLHKVYHRLTILSLFPLCPPPLFPSLKLSRFTLGHLRTHHSANSARLRSIMAAATSRLGNLVSATSFVAEDGGGGSNVSVNSSTGTSTLDDALGGKYQLPPPEIKDIVDAPPLPALSFSPQRDKILFLKRRSLPPLAELARPEEKLAGMRIDGKCNTRSRMSFYIGIGIHQLLPDGSLGPEKEVHGFPDGGKINFVTWSLDGRHLAFSIRVDEEDNSSSMLRVWVADVETGKARPLFQSQDVYLNAVFDNFVWVDNSSLLVCTIPSSRGDPPKKPLVPSGPKIQSNETKNVVQVRTFQDLLKDEYDEDLFDYYATSQLVLVSLDGTVKEIGPPAVYTSMDPSPDQKYLLISSIHRPYSFIVPCGRFPKRVELWTTDGKFVRELCDLPLAEDIPIAFNSVRKGMRSINWRADKPSTLYWAETQDEGDAKVEVSPRDIIYTQPAEPLEGEQPEILHKLDLRYGGISWSDDSLALVYESWYKTRRTRTWVISPGFKDASPRVLFDRSSEDAYSDPGSPMMRRTPSGTYVIAKIKKENDEGTYVLLNGIGATPEGNIPFLDLFDINTGSKERIWQSDKEKYFETVVALMSDHKEGDLYLDQLKLLTSKESKTENTQYYIQSWPDKKACPITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVIQRGVAHPGKIAVGGHSYGAFMTANLLAHAPHIFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVVLPFESHGYAAYESIMHVLWETDRWLQKYCVSNASDVGTELDACKCDANKDVTDSENKAAAASGGGDPELEDFEHQGFHPVPRSLL >Manes.10G033000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3322878:3345304:1 gene:Manes.10G033000.v8.1 transcript:Manes.10G033000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSPSRNSHGSSRFPLYQLSAYGSASRLRSSSIKKPPEPLRHAIADCLSSAAAAAVSTSHHGNPSVSVTEASRTLRDYLASPATTDLAYTVILEHTIAERERRYKPSEETLLQIDRFCVCTIAECDASPNKWLSPWSRSLNQQSVVSAASTNSSPSLPVSSFASGALVKSLNYVRSLVAKYVPKRSFQPAAFAGAPSASRQSLPSLSSLLSRSFNSQLNPANGGESSEKKDAITLPVSNLSNMEKVDAGEDLNYIAADVLKWYWVGEHSLSFLSAENGRAVDLQDMGIRNFLELGAAALLVGDMEAKMKGQPWKYFGTTDMPYLDQLLQPSSFTTITNSASARPHLRAITASKRSKSGPRQIWKDSPASTFRTRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVSSETYSPGANNFTLTSRLSNNSGKPSMDVAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLSSPKATCRVRAFDLILNLGVHGHLLEPMMVDDSSTIKEEYSQEAFADTDEQLAAQENRKADSTNKLGSLSAIDNFESWILNILYEILLLLVQTQEKEESVWASALSCLLYFVCDRGKILRKRLEGLDIRVIKRIVEISRINSWAELVHSRLICMLTNMCYQVPDEPTLDVSKAPVFLIDQVDLIGGIEFFFYEYSLSSLREDRRNLYLVLFDYALHQINEACIATGVSEYSDDEIQLLSALLSLAGAPEAFYISVKLGVEGIGELLRRSVSAALSRYSNNERLNMLLENITERLDAIIASFTHLDKEFSHLMQMSKSYKFLESIGNAGLRNSVIMKAKLAWATLHSLLHSERVAYRQNGYTWLGDLLIMEITDGRDESIWSNIKNLQHIIARASVHDSSDASDVPLSIWLMCGLLKSKDYVIRWGFLFVLERLLMRCKFLLDENEMQQLNSGSSGHGHLDNRLEKANAVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVLPSTVMPYGENTQQGTVYGGTVAEVKQIDGAERVSQQENSSQIEFEETDGRSSYSINNPLKCEMASMAAMLLKGQAIVPMQLVTRVPAVLFYWPLIQLAGAAADNISLGVAVGSKGRGNLPGAASDIRATLLLLLIGKCTADPSAFQEVGGEQFFRELLDDTDSRVAYYSSAFLLKRMMTEKPDEYQHMLQNLVFKAQQSNNEKLLENPYLQMRGILQLSNDGL >Manes.10G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3322879:3345303:1 gene:Manes.10G033000.v8.1 transcript:Manes.10G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTFSPSRNSHGSSRFPLYQLSAYGSASRLRSSSIKKPPEPLRHAIADCLSSAAAAAVSTSHHGNPSVSVTEASRTLRDYLASPATTDLAYTVILEHTIAERERSPQVVARCVALLKRYLLRYKPSEETLLQIDRFCVCTIAECDASPNKWLSPWSRSLNQQSVVSAASTNSSPSLPVSSFASGALVKSLNYVRSLVAKYVPKRSFQPAAFAGAPSASRQSLPSLSSLLSRSFNSQLNPANGGESSEKKDAITLPVSNLSNMEKVDAGEDLNYIAADVLKWYWVGEHSLSFLSAENGRAVDLQDMGIRNFLELGAAALLVGDMEAKMKGQPWKYFGTTDMPYLDQLLQPSSFTTITNSASARPHLRAITASKRSKSGPRQIWKDSPASTFRTRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVSSETYSPGANNFTLTSRLSNNSGKPSMDVAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLSSPKATCRVRAFDLILNLGVHGHLLEPMMVDDSSTIKEEYSQEAFADTDEQLAAQENRKADSTNKLGSLSAIDNFESWILNILYEILLLLVQTQEKEESVWASALSCLLYFVCDRGKILRKRLEGLDIRVIKRIVEISRINSWAELVHSRLICMLTNMCYQVPDEPTLDVSKAPVFLIDQVDLIGGIEFFFYEYSLSSLREDRRNLYLVLFDYALHQINEACIATGVSEYSDDEIQLLSALLSLAGAPEAFYISVKLGVEGIGELLRRSVSAALSRYSNNERLNMLLENITERLDAIIASFTHLDKEFSHLMQMSKSYKFLESIGNAGLRNSVIMKAKLAWATLHSLLHSERVAYRQNGYTWLGDLLIMEITDGRDESIWSNIKNLQHIIARASVHDSSDASDVPLSIWLMCGLLKSKDYVIRWGFLFVLERLLMRCKFLLDENEMQQLNSGSSGHGHLDNRLEKANAVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVLPSTVMPYGENTQQGTVYGGTVAEVKQIDGAERVSQQENSSQIEFEETDGRSSYSINNPLKCEMASMAAMLLKGQAIVPMQLVTRVPAVLFYWPLIQLAGAAADNISLGVAVGSKGRGNLPGAASDIRATLLLLLIGKCTADPSAFQEVGGEQFFRELLDDTDSRVAYYSSAFLLKRMMTEKPDEYQHMLQNLVFKAQQSNNEKLLENPYLQMRGILQLSNDGL >Manes.17G034799.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16718835:16720635:1 gene:Manes.17G034799.v8.1 transcript:Manes.17G034799.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQNSQNENQKSANQFTLDSAQLLSDIKLNDSNYVVWAKMMEMFITGRGKSNHLTGTPSPPTETDPAIYLWQTNDIIVRGWLIQTVEQKLRPNLLQHKTSKGLWDALNIRFNTGSNLEDLYNDLQAIWAEIDERQPTKIEGDNNIIIRNWEIQEEHLYLFLVGVQSDLDPVHREILNEEPLPTLDNAYSRLRGEKLCRAIHLPLPSPATAGSDLVGAGLLAKNRSDTDKSSLRDDKSGLKCTHCGESRHTRDGCFKIIGYSEWWKENKIRKKKGKGQGAGNTAAVTTSGTQKATCDNNLIGQMEENSGNGQSTGVAAALQGVEKGGGMGVPYDREGGYSYGHGTGPWY >Manes.07G038792.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4743226:4744258:-1 gene:Manes.07G038792.v8.1 transcript:Manes.07G038792.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSNALSTSLVALRLSKATAYSIRSASHGSVSGPSLCNPNSSSAMFKSSLMISLLRYSKGRRKRFLSVEYTTKWPFSATDAVSVLPTFCEDVILLRLMAAIFCHFLANFRSLLLLIILLIFFKN >Manes.14G167400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:26892850:26893570:1 gene:Manes.14G167400.v8.1 transcript:Manes.14G167400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLSSSSLRSSTQFLSHQNPNNKNFYGEWAQGFSCRAINKKKQQEEATEKETFYKVLSLNPQEAKPEDIKKAYRKMALRYHPDVCQNSTMSREECRRMFLQVHEAYRTLSDPVLREEYDLGLFLGLTRNLGDNHAGTGSCSWKEQLVELKRRSNLRVAQKEGSWARRVATQNTKMEE >Manes.09G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33994059:33996224:1 gene:Manes.09G141400.v8.1 transcript:Manes.09G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGFRGGRDGGRGGRGGRGRGGGRGGGGGFRDEGPPSEVVEISAFLHACEGDAVTKLTNEKIPYFNAPIFLQNKTQIGKVDEIFGPINESYFSIKMMEGIVATSYSPGDKFYIDPNKLLPLARFLPQPKGQAQAAARGGRGGGRGGGRGGGRGGGGFRGRGGPRGGRGRPPRGGRGGGFRGRGRF >Manes.S014061.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:99992:100804:-1 gene:Manes.S014061.v8.1 transcript:Manes.S014061.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G019000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1873614:1873847:-1 gene:Manes.10G019000.v8.1 transcript:Manes.10G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGCLLLTNALAILNEDRFLAPRGWSFSELSGGRTKSFKGQIMGLIYATQYMRVPLIILNVICIVVKLVAG >Manes.10G019000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1872075:1874816:-1 gene:Manes.10G019000.v8.1 transcript:Manes.10G019000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGCLLLTNALAILNEDRFLAPRGWSFSELSGGRTKSFKGQIMGLIYATQYMRVPLIILNVICIVVKLVAG >Manes.02G080600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6276876:6284088:-1 gene:Manes.02G080600.v8.1 transcript:Manes.02G080600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDKLTPLKSAVAGLNQISENEKNGFISLVARYLSGEAQQVEWSKIQTPTDEVVVPYDSLAPIPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLLLMNSFNTHDDTQKIIEKYSKSNVEIHTFNQSQYPRLVVEDFTPLPSKGQTGKDGWYPPGHGDVFPSLKNSGKLDSLLLQGKEYVFIANSDNLGAIVDLKILSHLIRNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVSEFKSIEKFKIFNTNNLWVSLSAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYILEDGYVIRNKARQNPANPSIELGPEFKKVSNFLSRFKSIPSIIELDSLKVTGDVWFGAGITLKGKVNIAAKPGVKLEIPDGAIIVNKDINGPEDL >Manes.02G080600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6276876:6283794:-1 gene:Manes.02G080600.v8.1 transcript:Manes.02G080600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDKLTPLKSAVAGLNQISENEKNGFISLVARYLSGEAQQVEWSKIQTPTDEVVVPYDSLAPIPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNSKYGCNVPLLLMNSFNTHDDTQKIIEKYSKSNVEIHTFNQSQYPRLVVEDFTPLPSKGQTGKDGWYPPGHGDVFPSLKNSGKLDSLLLQGKEYVFIANSDNLGAIVDLKILSHLIRNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVSEFKSIEKFKIFNTNNLWVSLSAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYILEDGYVIRNKARQNPANPSIELGPEFKKVSNFLSRFKSIPSIIELDSLKVTGDVWFGAGITLKGKVNIAAKPGVKLEIPDGAIIVNKDINGPEDL >Manes.10G151200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31834174:31836231:-1 gene:Manes.10G151200.v8.1 transcript:Manes.10G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGDASKPFGYMDKSRDITRTTSSSLGFCMALSIGPNPTNQQELEQQHSNDTSTDSEPTTKINTPTTFSPVDPPLIQLDLLPNTPVPRSNHHALPWPSSDYGSCEAASSGNMTRSGFDVNRLPAVEEAEDGAALSSSPPNSAASSFQMDFCIYSKTGNQEAEVDRASSRASDEDDNGSTRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELQELRALKTSNPFHMTLPATTLTMCPSCERVATTTSTTTATPTTTVTPTTPMNNNNSSSIEATSKATATGLTLARPGRYYPFSHNKPTSC >Manes.11G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1243630:1244990:1 gene:Manes.11G013000.v8.1 transcript:Manes.11G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFSSPHILLQNSGSIIKPKGKTMMLQHTQVPLKKSPALQPRSSYKHKVFENQSEGIICYRDESGEIICEGFDEGPRFHQQFPRTAYHSTSRDAEIINLLQQRLLQVVNGGEFNNSDKGVAAVQEDFEWEGFNKFC >Manes.17G043200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23679497:23684227:1 gene:Manes.17G043200.v8.1 transcript:Manes.17G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDGFGIDGEVIHTSDHRSFEEEDNESYSNFGSHSNFTTGEFPSGDVPIDHVSASSSDVFGFGSSSVDVDPIPNYSQSPFTPIHVENGNGYNAAGDGVFASDGPVLPPPSEMEPEEGYAFREWRRQNAIHLEEKEKREKEMQKQIIEEAEEYIHAFYEKRKLNIETNKINNREREKLYLANQEKFHEEADKQYWKAIAELIPREVPNIEKKRGKKDEEKKPSITVVQGPKPGKPTDLSRMRQIILKLKHTPPPHMLPPPPAPVKDTKDGKDGKDAKTGKGEASNARGSAVQEPVASAKDATSNASPLPPKEEVPPAGDQPTTQPESTTTA >Manes.15G063550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4817744:4818298:-1 gene:Manes.15G063550.v8.1 transcript:Manes.15G063550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQGALTNPSKPSRKIKGEKSMRVTELCKDPTCRECRMIQSNFDTEYTKKNGLLLSTHSEELCENMITLLKLKMERAVIVCRITAGIVLNKFNGVKEECNSTGSNALYSNSESLILGNPSAVLPCFVIMLT >Manes.15G027400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2171739:2180278:1 gene:Manes.15G027400.v8.1 transcript:Manes.15G027400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRVSMAAANGLAPRRRHRSSSIRDSPEDDGPVELQETARLRDRGTGKKDRDRDRERERDRDRDRDRDRERDRERDRDRDRDRMSSRGKRRRGDRLMHGSNREDGGDESSEESVNDDEDDEDDDGGGVGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPPAKVFRAAPATALWKAPDEMIGVSVPRKARSASTKRSHEWASSCGVGSEQIHRQASTSPVRSSGPSVAAMLASASASPAPVSPSSSNASIKKKMPNGPKQRLPKSCTKFTSSAQEEIEIEIAEVLYGLMRQPQVPTKPEIVVANDSIKFDLREVSNHKSTVDAKSRVSSPISNSPSTIPHSSSIPPTNSSSSATPMSATAPKRKRPRPVKYEDENPSVYHVRNSSISSTIKVDIDQPPKIETCSPNLDKNSGSAAENGVVPHDTPSSQAVPVPTEAQPQQEQVQVMSESNPLLDSKHCVQESESKDLDVSKEEPRSPKRESSQVGLRLDDRESVTATKAISTISDVETQREEKFQIDLMAPPPVRSSPERDSEIVSVAVDPKPGITDLETEMKPAVKEEDKAVKMGKDVNVEPQEKKTEVVAEEIESHKPNVILNKERNFDLQLDLEKSDKDSGVVSGSGSKAHQHVQKQLQQQQPNTDKAAQSNSLPLPMSMASWPGGLPHMGYMAPLQGVISMDGSAVASAAIQPPHLLFSQPRPKRCATHYYIARNIHYHQQFTRMNPFWPAAAGSALQFGAKACNVNVVPSTDFHSSKGMNSAQDKGHGLAIFPGHTGKEKSSQTSNVIDTAQRKQILLQQPLAPGAPSNILHGPAFIFPLNQQQAAAAASVRPGSVKSPVTGSTASSSACNSASISAATTAVAGATTMSFNYPNMSGNETQYLAILQNSPYPIPIPAHVGATTTYRGTAPQPMPFFNGSFYSSQMIHPPQLQQQQPPMPHSQQGQQVHQNSSISSGSSSSQKHLQNQQQRLHGSGINSGSGNLHGFPNSKNQPPQSSQLQQRHQMQNQNVPHQARQLDSEFGGEDSPSTADSRVSRTNMSIYGQNFAMPIQPPNFALMTPPTIGGASASGIPAEKKQQQSQPQGSKVGIEPSQAFAMSFASINGAATSSSLDISASAQNHAILQSLPEAARHGYHFMAAAAVAQAAQQKKNYRVSEEGKTGGADGSNVEEERKVIPGGKAQLNSGQSIAFSRPDLTDTSVSTIPVSTVIDSSARTLNLGSASARVTGSAMPSSISTINASNVQQLQRNQQQQQQQQQIIQLQKQQQFAVAAAASAWSKTPATSNGSVYTDHISSSSSMAGKFPNSLSGFPSILVQSSSSPAHSPQWKNSVRTTTSQVPSPSISSTSSSLKNLPQQQGRVQQGHAQISFASNPKPSAAPQGQSAPSSTQSPSPPVVVGSPTTSSISKGAGGSPRTTSTSATNKGAQSSILSSQQGKNSSVPTQKSPPVGGKNIPSILGHPHNSPSTSSSVTKPQLTPQQQQQLHALQQTQMLYNGSYMQAQVQHAANSTHTTSVASGLYFQRHRSDQQQQPQVSSAGMLCSPVSVPNTITTDPAKAVAAATAASNMKGGGLPSQGLIHAQFSAAQTTGKPHLVPAGFPYVHAVQVKPAEQKQPAAE >Manes.15G027400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2171739:2180278:1 gene:Manes.15G027400.v8.1 transcript:Manes.15G027400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNREARRVSMAAANGLAPRRRHRSSSIRDSPEDDGPVELQETARLRDRGTGKKDRDRDRERERDRDRDRDRDRERDRERDRDRDRDRMSSRGKRRRGDRLMHGSNREDGGDESSEESVNDDEDDEDDDGGGVGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPPAKVFRAAPATALWKAPDEMIGVSVPRKARSASTKRSHEWASSCGVGSEQIHRQASTSPVRSSGPSVAAMLASASASPAPVSPSSSNASIKKKMKPNGPKQRLPKSCTKFTSSAQEEIEIEIAEVLYGLMRQPQVPTKPEIVVANDSIKFDLREVSNHKSTVDAKSRVSSPISNSPSTIPHSSSIPPTNSSSSATPMSATAPKRKRPRPVKYEDENPSVYHVRNSSISSTIKVDIDQPPKIETCSPNLDKNSGSAAENGVVPHDTPSSQAVPVPTEAQPQQEQVQVMSESNPLLDSKHCVQESESKDLDVSKEEPRSPKRESSQVGLRLDDRESVTATKAISTISDVETQREEKFQIDLMAPPPVRSSPERDSEIVSVAVDPKPGITDLETEMKPAVKEEDKAVKMGKDVNVEPQEKKTEVVAEEIESHKPNVILNKERNFDLQLDLEKSDKDSGVVSGSGSKAHQHVQKQLQQQQPNTDKAAQSNSLPLPMSMASWPGGLPHMGYMAPLQGVISMDGSAVASAAIQPPHLLFSQPRPKRCATHYYIARNIHYHQQFTRMNPFWPAAAGSALQFGAKACNVNVVPSTDFHSSKGMNSAQDKGHGLAIFPGHTGKEKSSQTSNVIDTAQRKQILLQQPLAPGAPSNILHGPAFIFPLNQQQAAAAASVRPGSVKSPVTGSTASSSACNSASISAATTAVAGATTMSFNYPNMSGNETQYLAILQNSPYPIPIPAHVGATTTYRGTAPQPMPFFNGSFYSSQMIHPPQLQQQQPPMPHSQQGQQVHQNSSISSGSSSSQKHLQNQQQRLHGSGINSGSGNLHGFPNSKNQPPQSSQLQQRHQMQNQNVPHQARQLDSEFGGEDSPSTADSRVSRTNMSIYGQNFAMPIQPPNFALMTPPTIGGASASGIPAEKKQQQSQPQGSKVGIEPSQAFAMSFASINGAATSSSLDISASAQNHAILQSLPEAARHGYHFMAAAAVAQAAQQKKNYRVSEEGKTGGADGSNVEEERKVIPGGKAQLNSGQSIAFSRPDLTDTSVSTIPVSTVIDSSARTLNLGSASARVTGSAMPSSISTINASNVQQLQRNQQQQQQQQQIIQLQKQQQFAVAAAASAWSKTPATSNGSVYTDHISSSSSMAGKFPNSLSGFPSILVQSSSSPAHSPQWKNSVRTTTSQVPSPSISSTSSSLKNLPQQQGRVQQGHAQISFASNPKPSAAPQGQSAPSSTQSPSPPVVVGSPTTSSISKGAGGSPRTTSTSATNKGAQSSILSSQQGKNSSVPTQKSPPVGGKNIPSILGHPHNSPSTSSSVTKPQLTPQQQQQLHALQQTQMLYNGSYMQAQVQHAANSTHTTSVASGLYFQRHRSDQQQQPQVSSAGMLCSPVSVPNTITTDPAKAVAAATAASNMKGGGLPSQGLIHAQFSAAQTTGKPHLVPAGFPYVHAVQVKPAEQKQPAAE >Manes.01G271300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42486670:42487425:-1 gene:Manes.01G271300.v8.1 transcript:Manes.01G271300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHINLDIDAQEAFLASSSTASCASSSPSSSSSITFSCSKTEDSSCTAPKGSSKTAKELENGNNESRKRQKPDDENGNNKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYRTAEMAARAHDVAALAIKGSSAFLNFPLLAHELPRPVTKSPKDIQAAAAKAATAAFPERTHCQAEAEHISDTLALDNTQESTSSPSADSDDTLFDLPDLFIDGVDQRNGFCEYSSSWQLCAADTGFRLEEPYLWEYN >Manes.04G082900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28410088:28413530:1 gene:Manes.04G082900.v8.1 transcript:Manes.04G082900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFSGDETAPFFGFLGAAAALVFSSYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.04G082900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28410088:28413530:1 gene:Manes.04G082900.v8.1 transcript:Manes.04G082900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.15G132300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10588469:10589514:-1 gene:Manes.15G132300.v8.1 transcript:Manes.15G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLQTQRPLLLSLLFATLLLHLQSFAAVASHGPASQSNSTDYIRSSCVATLYPEICFTSLSRYASAVQQNPARLARVAIGVSLSRARRMTAYVSNLSRQADYGSDHRAAAALHDCFSNFGDAVDEIRGSLKQMRQLGAAGSSAEAFRFQMSNVQTWMSAALTDEETCTDGFEDVPEGPVKSEVCERAADVKKFTSNALALVNSYAAKGIA >Manes.11G161000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32638045:32641595:-1 gene:Manes.11G161000.v8.1 transcript:Manes.11G161000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWNYHLHNNNLCFQPPPFIEWLKPSSSSSSSSSSLTSSSSSSSSSVTQQVQLTNPMSILKLPLLYPQQQQQPQQQEIVKETIQCLPLLSRLTENKPLKEENMGVQETKEEKIDQKVTVALHIGLPNSSGDSQVETKVFDFKEQQQPMKKSIHGHCSFNTESRFWIPTPAQILVGPMQFECSICSKTFNRYNNMQMHMWGHGSEFRRGPDSLKGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCGKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPSLEGFEDDKECISASEEDELLH >Manes.05G150700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25941780:25943535:-1 gene:Manes.05G150700.v8.1 transcript:Manes.05G150700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLTLLLLALPFLLAFLLRKLKTKRNLHLPPGPEGLPFIGNLHQFDSLNPHSYLWQLSQKHGPVMSLRLGFVPILVVSSAKMAEAVMKTHDLIFCSRPALVGQQWLSYNGLDLAFSPYNAYWREIRKICMVYLFNSNRVQSFRPIREFELSHMLEKISKSAVASKPVNLSEAMMSLTSTIICRIAFGKRYEEDGVGRSRFQELLKETQALFTCFFVADYFPFLGFIDKFTGLLHRLEKNFREFDVFYEQIIQEHLDPSRSKPAEEDILDILLQLWKDPSFKVDLTFDNIKAVLMNVFVGGTDTSAATVVWAMTLLMKNPMAMKKAQEEVRKLVGRKDFVEEADCQQLPYLKAVIKETMRLQPTAPLLVPRESTEDCVLDGYDIAAKTVVYVNAWAIGRDPEIWENPEEFNPERFINSTIDLKGQDFELTPFGAGRRICPGIFMGLATVEVSLANLLHKFDWEMPVGMKKEDLDMDAQPGLAMHKKNALCLMARKYA >Manes.12G045000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4002272:4007571:1 gene:Manes.12G045000.v8.1 transcript:Manes.12G045000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINCFWVVFILFSGLWILGFCEDQDGFLSLSCGASKNYTDSFNIQWVLDSAYTSTGNTTTVDYSVGTSSSPVPVRFFPETDQGRKCYKLPVKNVSSVVLVRAQFVYKNYDKLGKPPAFSVSLGTAIVTTVNLTTTDPWNEEFVWPVKRDTLSFCLHAIPDGGSPVISSIEVRPLPQGAYQSGLGEFLDKSLRKSHRINSGYTNGSLRYPLDPHDRVWDADENYTPFHVSSGFNMLHSFNLSSLPENPPLAVLQTARVLARRNALTYNLALDTLGDYYIVLYFAGILPLSPSFDIFINGDIAQSNYTVTMSEPSALHLTRKGISSLNITLKSINFYPQINAIEVYEVVDIPLEASSTTVSALQVIQQSTGLDLGWEDDPCSPKSWDHIECEENLVTSLDLSDINLRSISPTFGDLLDLKALDLHNTSLAGEIQNLGSLQHLEKLNLSFNHLTSFGTELDDLIGLQILDLQNNSLQGIVPDGLGELVDLHLLNLENNKLQGSLPQSLNRESLEVRTRGNPCLSFSTMSCNDVASNPSIETPQVTIVTDKKPNKISHMAIILGAAGGTILVLLVISLSVFLYTKKQSSGMTYSDRAATDMRNWNSARIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSEGKLVAVKVRFDKTQLGADSFINEVYLLSQIRHQNLVCLEGFCHESKQQILVYEYLPGGSLVDHLYGPNSQRVSLSWVRRLKIAVDAAKGLDYLHNGNEPRIIHRDVKCSNILLDKDMNAKVCDFGLSKQVMQADASHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLRHSGTPDSFNLVLWAKPYLQAGAFEIVDDSLKGTFDVESMRKAAIVAVRSVERDASQRPNIAEVLADLKEAYNMQLSYLAARDM >Manes.17G063500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26329639:26331950:1 gene:Manes.17G063500.v8.1 transcript:Manes.17G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLETVNFGKSIIVPSVQKLAEESLHTIPPRYVRSDQDPPTISDERSLLSSVPVIDLERLAFGDSMDYELASLHSACREWGFFQVVNHGVSTDFLEEVKLEIESFFGLPYEQKKKLWQQPDNHEGFGQLFVVSEEQKLDWSDMFYITTLPFKIRKNELFDNLPLKLRNILETYASEMKKLALAILGHMAKALNMNAEEMNELFNDGVQSMRMNFYPPCPEPEKAIGFTPHSDAVALTILFQLNETEGLQIRKEGKWVPVKPLPNAFIVNIGDIMEIVSNGIYRSIEHRATVNSTKERLSIATFYSSKLDSELGPAASLIGPHNPAIFKKVPLEKYFKEFFARKLSGKSYLDFMKIEDGEDNI >Manes.17G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30003664:30007580:1 gene:Manes.17G091800.v8.1 transcript:Manes.17G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGRSGTVRQYIRSKVPRLRWTPELHQCFVRAIERLGGQDKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDLGRQDRGFTHQRRRSVEDHDGCVDEVKLIEESDSHFICSPISLKRARIETTSSISDQNLQCSQGICEIVTNPYSADDYMGIKEGNGGGFRWEQTHSKSKATAFSLPHDLCSLKSFKYAVEESNFLKIAKQDHGKGKSEASRSGRTEDEEGGDCCELSLLLSLPHASSQRSNASCTSEISEAFSSYVDNRSTLKECSGSSSGKHNINLDLSIALCGT >Manes.15G051715.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3975305:3988769:1 gene:Manes.15G051715.v8.1 transcript:Manes.15G051715.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNLFHCRKNSWPPEEYVSRTTLQLLDFDSAGPPEHAWRRRLNSHANILKEFSVTFMEAVKMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIVPSICDASPVMANQFSIFISRDGGNKSYASVLAPGQHEGLGKAGDEGISSWGWNLSGQHSTYHALFPRAWTIYDGEPDPDLKVSCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHFSGDHVNEPFIGEDGVSGVLLHHKTAKCNPPVTFAIAACETQNVSVSVLPCFGLSQASCITAKDMWGKMVQDGHFDRENFNCGPSMPSSPGETLCAAVSASAWVEAHGKCTVAFALAWSSPKIKFSKGSSYHRRYTKFYGTSERAAQNLVHDALTHYKRWEEEIEKWQNPILKDESLPEWYKFTLFNELYFLVAGGTVWIDSPLLTEDMRDGHHQSEEMETMDVNVTEAQVRRTKDAVKHTTINDYNVTSVRSEDNDETSNAECPRNIESAKSQGKENMDHSLQLSPLLETSNESDDVGRFLYLEGVEYIMWCTYDVHFYASFALLALFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNVGIRKARGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATQDMSFGVDVWPAVRTAMEYMEQFDRDDDALIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAFQVGDKYFAELCKSKFVKAKSAFEGKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASAGLPPLFDEAKIRSSLQKIYDFNVMKVRGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYAVAANMILAGMEDQAFTTAEGIFLGGWSEEGYGYWFQTPEGWTTDGHFRSLIYMRPLAIWGMQWALSLPKAILDAPKINIMDRLLLSPSTRFALHETGVRKIANKAKCFGASVFHCAC >Manes.02G124900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9491332:9492973:1 gene:Manes.02G124900.v8.1 transcript:Manes.02G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRCNRVVLVPCPFQGHINPMLQLGTILHARGFSITIVHTQLNSPKPSKHPEFDFQSIPEGLSKQEIASLNLVDIILALNERCRIPFQECLIRMMLQSESCEEITCIIYDDLMYFSEEVANHLNIPSIVLRTSSAASLLSRLAILQLKDEGYIPIPDDMSQDLVPEFPTLRYKDLPICDLGTPENFYQLLAHVCDTKTSSAVIWNTMDCLEESLLVEQQLKQFPIPIFTVGPMHKFAPACSSSLLKEDSSCTEWLDKQDPNSVLYISLGSMASTNEKELAEMAWGLANSKQPFLWVIRPGSIHGSEWIESLPEGFMETVGERGCIVKWAPQREVLAHPSVGGFWTHCGWNSTLETISEGVPMICRPCFADQMVTARFVSHVWRIGLQLENELERNEIEKVVRRLMVDEEGEEIRKRAEDLKEKVEACFKKGGSSYNSISKLVEFMLS >Manes.16G041900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5880838:5883182:-1 gene:Manes.16G041900.v8.1 transcript:Manes.16G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVVFHSPTVFNPPSGFSFSKHLNLGKPIYSLRDGPIAFASQKDKIQLSVSNTKSNGSRSGRPPRKSSASRRSKDDESEKSQSFDSKLPMSPKQEEIISLFRRIQSSISKGENQRTKSTKPSNPNSSPTESILEILHQSKKPIKDGTGRRGDKALTRKRGVKKDDKIQDDTQLPVANFNLTRPPSNFVKRSPIPSPSIPRGNAIETTDNNKMLMLPKIEEMKLSELKELAKSRGLKGYSKLKKGELLELLRS >Manes.05G023900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2106695:2113240:-1 gene:Manes.05G023900.v8.1 transcript:Manes.05G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQSTQEIQSSTQVSQDSQSDQQNNHTSEAPVADSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRSYYIRLKLKKQILLFNHLLEHQYHLMKFPVSPKVPLAPMQNGIHPMPVNNLPMGYPVMQQPPMPAPGQPHLDSMGCGISSCHVVNGVPAPGNFHPIRMNSGNNLVMGNNTPDIAPVVPPSSAMSSMSDMPLSPASVASSGHFPFTASEMSGMGVDTSALDTAFTSDVASSVGLQLGPDGVAGTSKSLDQFQWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPEHEDIVEEFFVDSVPGPPSQSDEEKS >Manes.05G023900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2105223:2113260:-1 gene:Manes.05G023900.v8.1 transcript:Manes.05G023900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQSTQEIQSSTQVSQDSQSDQQNNHTSEAPVADSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRSYYIRLKLKKQILLFNHLLEHQYHLMKFPVSPKVPLAPMQNGIHPMPVNNLPMGYPVMQQPPMPAPGQPHLDSMGCGISSCHVVNGVPAPGNFHPIRMNSGNNLVMGNNTPDIAPVVPPSSAMSSMSDMPLSPASVASSGHFPFTASEMSGMGVDTSALDTAFTSDVASSVGLQLGPDGVAGTSKSLDQFQWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPEHEDIVEEFFVDSVPGPPSQSDEEKS >Manes.05G023900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2106634:2113240:-1 gene:Manes.05G023900.v8.1 transcript:Manes.05G023900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQSTQEIQSSTQVSQDSQSDQQNNHTSEAPVADSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRSYYIRLKLKKQILLFNHLLEHQYHLMKFPVSPKVPLAPMQNGIHPMPVNNLPMGYPVMQQPPMPAPGQPHLDSMGCGISSCHVVNGVPAPGNFHPIRMNSGNNLVMGNNTPDIAPVVPPSSAMSSMSDMPLSPASVASSGHFPFTASEMSGMGVDTSALDTAFTSDVASSVGLQLGPDGVAGTSKSLDQFQWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPEHEDIVEEFFVDSVPGPPSQSDEEKS >Manes.05G023900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2106695:2113240:-1 gene:Manes.05G023900.v8.1 transcript:Manes.05G023900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQSTQEIQSSTQVSQDSQSDQQNNHTSEAPVADSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRSYYIRLKLKKQILLFNHLLEHQYHLMKFPVSPKVPLAPMQNGIHPMPVNNLPMGYPVMQQPPMPAPGQPHLDSMGCGISSCHVVNGVPAPGNFHPIRMNSGNNLVMGNNTPDIAPVVPPSSAMSSMSDMPLSPASVASSGHFPFTASEMSGMGVDTSALDTAFTSDVASSVGLQLGPDGVAGTSKSLDQFQWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPEHEDIVEEFFVDSVPGPPSQSDEEKS >Manes.05G023900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2106695:2113240:-1 gene:Manes.05G023900.v8.1 transcript:Manes.05G023900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQSTQEIQSSTQVSQDSQSDQQNNHTSEAPVADSGSVSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRSYYIRLKLKKQILLFNHLLEHQYHLMKFPVSPKVPLAPMQNGIHPMPVNNLPMGYPVMQQPPMPAPGQPHLDSMGCGISSCHVVNGVPAPGNFHPIRMNSGNNLVMGNNTPDIAPVVPPSSAMSSMSDMPLSPASVASSGHFPFTASEMSGMGVDTSALDTAFTSDVASSVGLQLGPDGVAGTSKSLDQFQWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPEHEDIVEEFFVDSVPGPPSQSDEEKS >Manes.03G139208.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26708234:26710194:1 gene:Manes.03G139208.v8.1 transcript:Manes.03G139208.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHVPFFQLLFAFLLVILPMIFNILHNLKNAKLPPGPWKLPLIGNLHQLVGSLPHHSLRNLANEYGPLVHLQLGQVSVVVISSPDMAKEVMKTHDVIFAYRPNLLAGRIMSYDSTNIAFSPYGNYWRQLRKICVMELLSPGRVQSFRSIREDDVASLTKTISSSAGSPINLAEKVFSMIYSITARAAFGEKCKDQEEFMSLILRSATLAGGFCLGDMYPSVKVLQVISGMKPKLEKLHKEMDKILDNILKEHREEKLAAKASDEEASEDLVDILLRFQEQGDLEFSLTDNNIKAVILDIFGAGSETSAATIEWAMSEMLRNPRVMKKAQAEVRRVFDGKADVDEKRIQELKYLKLVIKETLRLHPPVPLLLPRECSETCEINGYKIPVKTRVVVNAWALGRDPSYWSEAETFFPERFADSSIDFKGTNFEYIPFGAGRRICPGISFAQPNIELPLAHLLYHFDWKLANGLKREDLDMTETFGLTARKKLNLILIPIPYK >Manes.08G154200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38906717:38910447:1 gene:Manes.08G154200.v8.1 transcript:Manes.08G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Manes.08G154200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38906708:38910447:1 gene:Manes.08G154200.v8.1 transcript:Manes.08G154200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Manes.09G036300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6979724:6982502:1 gene:Manes.09G036300.v8.1 transcript:Manes.09G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETSDTMNLDLNLGPGPEAGSGSVPSEAVNLDEWIDDPFLRIREAVRISRARQRWRWRQAQVPHETHSLSVELNQLIGNSDGVSTLQAGEGSVAAEERTNEVPKMCENNNGFLEDEVSEKKGDVEKGSESDGSFFDCNICLDLATDPVVTCCGHLFCWPCLYRWLHMYSDAKECPVCKGEVTVKNVTPIYGRGNNTREPEEDTSIDIPVRPNARRVESWRQSIQRNTFSFPMEEMIRRLGSRFDLTRDLNPVQDANGTREMGDRTSFLSRIMTLRGMRTEQTPIAPVDDLVDLTQSGTSSPDARSARRLHSLLLRRSQSHSQRSSIHTSNSSALNSAERLIEAYFRNQPLGRNQEQPQPVDDRDSFSSIGAVINSESQIDTAVEIDSMGSLSTSSSRRRNDTARVSDLDTGDSRAPRRRRFN >Manes.09G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29702784:29704115:-1 gene:Manes.09G098600.v8.1 transcript:Manes.09G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPLKLLSFVGLVVVVIIAAGVDGAGECGKSSPDNEALKLAPCAEAAQDENAPVSSSCCLQVKKIGQNPSCLCAVMLSNTAKASGIKPEIAVTIPKRCNIPNRPVGYKCGDYTLP >Manes.06G074000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21002950:21013670:1 gene:Manes.06G074000.v8.1 transcript:Manes.06G074000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQRRPSSAITLTPRSEVRKSGVANVASTSLKIGGKGKEVAFMDGSMPPPLPPPVGSLSGNGAELDTEDMEDWRRFREAGLLDEVVMERKDRQALLEKASRLEKELFDYQYNMGLLLIEKKEWNLKYEELRQALAEAQEILRREQSANRIAFSEAEKREENLRKALSIEKQCVADFEKALRDLQEERRQIKHASESKLADAKALAVGMEEKSAEVEEKLRVAEAKLAEINRKNLELDVKLQELEDRESVFQRECLSLNTEREAHEASRCKQREDLLEWERKLQKGEERLCELRRTLNHREEKANENEKILEQKERDLEEAEKQIDLSFAKLKEREDDVNNRLSDLTAKEKKADSTRRIMERQENDLIALEVKLSAREKVEVQQLLDEHQTALDAKMHEVELELEEKRKELDHELRSTAEVLGQREGEILHREEKLRKREQALDKKLERVKEKEKDLDVKLKSFKDKEKSMKVEQKKLDFDQQKLLADKQSLQVLKDDCEKIRSEIAQQELQIGEKSENLKITNYERLEHLRMQAELKQELEKCRRHGEFLLKEGEDLKEERDKFEKEWEVLEGKRAQLSKELNKITEEKEQFVKFQRNEEERLKKEENATKEYIQRELEAVRLEKESFEVRKRDEQVLLSKNTDMEHDQMMQDFESQRITFEADLIRRREAMEKVLQERQRLFDEQREREHKDMDYLKEVAQKELKEIRSEKLKIEKEKQEVAKNKKQLEGQQFGMQKDIDELVVLSNKLRDQREQVIRDRNHFLAFVGKHKSCKNCVDITSEFILSDLLPPDMEDRMILPLRRQSDEILRNVEDDVDVPVVMNVNRSPGELDLGYSNSQERMSWFRKCTSKIFSISPTKKVEHCSVPILQEEKTDDFGAFASKAARRSRVSGDESGQLDYDGIKGKEDRYSVSVDDHSIMDSKVEDSEQSELKSSRRKPGRRRKAGISRTRSVKAVVEDAKLFLEKSSEEPECHAKDVRSNDIYHIVDESIEKPAGNIARKRERAPTESEQDAGDSEGGSESVTTGARRKRRQVVASAITPGQKRYNLRRHRTTGATSVNQASSGLTKMRERETDGCESVETTTKPETANALPLGVASKTGKSTDALNVTTVKNVESTGDRAVRVTILVLYWT >Manes.06G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21002950:21013629:1 gene:Manes.06G074000.v8.1 transcript:Manes.06G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQRRPSSAITLTPRSEVRKSGVANVASTSLKIGGKGKEVAFMDGSMPPPLPPPVGSLSGNGAELDTEDMEDWRRFREAGLLDEVVMERKDRQALLEKASRLEKELFDYQYNMGLLLIEKKEWNLKYEELRQALAEAQEILRREQSANRIAFSEAEKREENLRKALSIEKQCVADFEKALRDLQEERRQIKHASESKLADAKALAVGMEEKSAEVEEKLRVAEAKLAEINRKNLELDVKLQELEDRESVFQRECLSLNTEREAHEASRCKQREDLLEWERKLQKGEERLCELRRTLNHREEKANENEKILEQKERDLEEAEKQIDLSFAKLKEREDDVNNRLSDLTAKEKKADSTRRIMERQENDLIALEVKLSAREKVEVQQLLDEHQTALDAKMHEVELELEEKRKELDHELRSTAEVLGQREGEILHREEKLRKREQALDKKLERVKEKEKDLDVKLKSFKDKEKSMKVEQKKLDFDQQKLLADKQSLQVLKDDCEKIRSEIAQQELQIGEKSENLKITNYERLEHLRMQAELKQELEKCRRHGEFLLKEGEDLKEERDKFEKEWEVLEGKRAQLSKELNKITEEKEQFVKFQRNEEERLKKEENATKEYIQRELEAVRLEKESFEVRKRDEQVLLSKNTDMEHDQMMQDFESQRITFEADLIRRREAMEKVLQERQRLFDEQREREHKDMDYLKEVAQKELKEIRSEKLKIEKEKQEVAKNKKQLEGQQFGMQKDIDELVVLSNKLRDQREQVIRDRNHFLAFVGKHKSCKNCVDITSEFILSDLLPPDMEDRMILPLRRQSDEILRNVEDDVDVPVVMNVNRSPGELDLGYSNSQERMSWFRKCTSKIFSISPTKKVEHCSVPILQEEKTDDFGAFASKAARRSRVSGDESGQLDYDGIKGKEDRYSVSVDDHSIMDSKVEDSEQSELKSSRRKPGRRRKAGISRTRSVKAVVEDAKLFLEKSSEEPECHAKDVRSNDIYHIVDESIEKPAGNIARKRERAPTESEQDAGDSEGGSESVTTGARRKRRQVVASAITPGQKRYNLRRHRTTGATSVNQASSGLTKMRERETDGCESVETTTKPETANALPLGVASKTGKSTDALNVTTVKNVESTGDRAVRVNQADVAKSIEITELSEEVNVTTEYVDEDENGSTIHEEDEEEYDDDELEHPGEVSIGKKIWTFFTT >Manes.06G074000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21002950:21013629:1 gene:Manes.06G074000.v8.1 transcript:Manes.06G074000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLIEKKEWNLKYEELRQALAEAQEILRREQSANRIAFSEAEKREENLRKALSIEKQCVADFEKALRDLQEERRQIKHASESKLADAKALAVGMEEKSAEVEEKLRVAEAKLAEINRKNLELDVKLQELEDRESVFQRECLSLNTEREAHEASRCKQREDLLEWERKLQKGEERLCELRRTLNHREEKANENEKILEQKERDLEEAEKQIDLSFAKLKEREDDVNNRLSDLTAKEKKADSTRRIMERQENDLIALEVKLSAREKVEVQQLLDEHQTALDAKMHEVELELEEKRKELDHELRSTAEVLGQREGEILHREEKLRKREQALDKKLERVKEKEKDLDVKLKSFKDKEKSMKVEQKKLDFDQQKLLADKQSLQVLKDDCEKIRSEIAQQELQIGEKSENLKITNYERLEHLRMQAELKQELEKCRRHGEFLLKEGEDLKEERDKFEKEWEVLEGKRAQLSKELNKITEEKEQFVKFQRNEEERLKKEENATKEYIQRELEAVRLEKESFEVRKRDEQVLLSKNTDMEHDQMMQDFESQRITFEADLIRRREAMEKVLQERQRLFDEQREREHKDMDYLKEVAQKELKEIRSEKLKIEKEKQEVAKNKKQLEGQQFGMQKDIDELVVLSNKLRDQREQVIRDRNHFLAFVGKHKSCKNCVDITSEFILSDLLPPDMEDRMILPLRRQSDEILRNVEDDVDVPVVMNVNRSPGELDLGYSNSQERMSWFRKCTSKIFSISPTKKVEHCSVPILQEEKTDDFGAFASKAARRSRVSGDESGQLDYDGIKGKEDRYSVSVDDHSIMDSKVEDSEQSELKSSRRKPGRRRKAGISRTRSVKAVVEDAKLFLEKSSEEPECHAKDVRSNDIYHIVDESIEKPAGNIARKRERAPTESEQDAGDSEGGSESVTTGARRKRRQVVASAITPGQKRYNLRRHRTTGATSVNQASSGLTKMRERETDGCESVETTTKPETANALPLGVASKTGKSTDALNVTTVKNVESTGDRAVRVNQADVAKSIEITELSEEVNVTTEYVDEDENGSTIHEEDEEEYDDDELEHPGEVSIGKKIWTFFTT >Manes.06G074000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21002950:21013670:1 gene:Manes.06G074000.v8.1 transcript:Manes.06G074000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQRRPSSAITLTPRSEVRKSGVANVASTSLKIGGKGKEVAFMDGSMPPPLPPPVGSLSGNGAELDTEDMEDWRRFREAGLLDEVVMERKDRQALLEKASRLEKELFDYQYNMGLLLIEKKEWNLKYEELRQALAEAQEILRREQSANRIAFSEAEKREENLRKALSIEKQCVADFEKALRDLQEERRQIKHASESKLADAKALAVGMEEKSAEVEEKLRVAEAKLAEINRKNLELDVKLQELEDRESVFQRECLSLNTEREAHEASRCKQREDLLEWERKLQKGEERLCELRRTLNHREEKANENEKILEQKERDLEEAEKQIDLSFAKLKEREDDVNNRLSDLTAKEKKADSTRRIMERQENDLIALEVKLSAREKVEVQQLLDEHQTALDAKMHEVELELEEKRKELDHELRSTAEVLGQREGEILHREEKLRKREQALDKKLERVKEKEKDLDVKLKSFKDKEKSMKVEQKKLDFDQQKLLADKQSLQVLKDDCEKIRSEIAQQELQIGEKSENLKITNYERLEHLRMQAELKQELEKCRRHGEFLLKEGEDLKEERDKFEKEWEVLEGKRAQLSKELNKITEEKEQFVKFQRNEEERLKKEENATKEYIQRELEAVRLEKESFEVRKRDEQVLLSKNTDMEHDQMMQDFESQRITFEADLIRRREAMEKVLQERQRLFDEQREREHKDMDYLKEVAQKELKEIRSEKLKIEKEKQEVAKNKKQLEGQQFGMQKDIDELVVLSNKLRDQREQVIRDRNHFLAFVGKHKSCKNCVDITSEFILSDLLPPDMEDRMILPLRRQSDEILRNVEDDVDVPVVMNVNRSPGELDLGYSNSQERMSWFRKCTSKIFSISPTKKVEHCSVPILQEEKTDDFGAFASKAARRSRVSGDESGQLDYDGIKGKEDRYSVSVDDHSIMDSKVEDSEQSELKSSRRKPGRRRKAGISRTRSVKAVVEDAKLFLEKSSEEPECHAKDVRSNDIYHIVDESIEKPAGNIARKRERAPTESEQDAGDSEGGSESVTTGARRKRRQVVASAITPGQKRYNLRRHRTTGATSVNQASSGLTKMRERETDGCESVETTTKPETANALPLGVASKTGQPG >Manes.06G074000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21002950:21013629:1 gene:Manes.06G074000.v8.1 transcript:Manes.06G074000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLIEKKEWNLKYEELRQALAEAQEILRREQSANRIAFSEAEKREENLRKALSIEKQCVADFEKALRDLQEERRQIKHASESKLADAKALAVGMEEKSAEVEEKLRVAEAKLAEINRKNLELDVKLQELEDRESVFQRECLSLNTEREAHEASRCKQREDLLEWERKLQKGEERLCELRRTLNHREEKANENEKILEQKERDLEEAEKQIDLSFAKLKEREDDVNNRLSDLTAKEKKADSTRRIMERQENDLIALEVKLSAREKVEVQQLLDEHQTALDAKMHEVELELEEKRKELDHELRSTAEVLGQREGEILHREEKLRKREQALDKKLERVKEKEKDLDVKLKSFKDKEKSMKVEQKKLDFDQQKLLADKQSLQVLKDDCEKIRSEIAQQELQIGEKSENLKITNYERLEHLRMQAELKQELEKCRRHGEFLLKEGEDLKEERDKFEKEWEVLEGKRAQLSKELNKITEEKEQFVKFQRNEEERLKKEENATKEYIQRELEAVRLEKESFEVRKRDEQVLLSKNTDMEHDQMMQDFESQRITFEADLIRRREAMEKVLQERQRLFDEQREREHKDMDYLKEVAQKELKEIRSEKLKIEKEKQEVAKNKKQLEGQQFGMQKDIDELVVLSNKLRDQREQVIRDRNHFLAFVGKHKSCKNCVDITSEFILSDLLPPDMEDRMILPLRRQSDEILRNVEDDVDVPVVMNVNRSPGELDLGYSNSQERMSWFRKCTSKIFSISPTKKVEHCSVPILQEEKTDDFGAFASKAARRSRVSGDESGQLDYDGIKGKEDRYSVSVDDHSIMDSKVEDSEQSELKSSRRKPGRRRKAGISRTRSVKAVVEDAKLFLEKSSEEPECHAKDVRSNDIYHIVDESIEKPAGNIARKRERAPTESEQDAGDSEGGSESVTTGARRKRRQVVASAITPGQKRYNLRRHRTTGATSVNQASSGLTKMRERETDGCESVETTTKPETANALPLGVASKTGQPG >Manes.17G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30248033:30249121:-1 gene:Manes.17G094200.v8.1 transcript:Manes.17G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNSTQALILVLFASILGVGIANKDWQLGGWGPKRGYHRPGHKDTPQKIIVGGSANWTFGFNYSVWAFKSGPFFVNDTLVFKYDPPSETNIHPHSVYLLPDMWSFINCNLTRGVKIANETQGAGKGFEFVLKEWKPYYFACGASDGYHCNVGRMKFFVLPYLRRWY >Manes.18G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4856257:4856805:-1 gene:Manes.18G057100.v8.1 transcript:Manes.18G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITQSAPSPPPAATAPTGTTASTSKRRPGTSAMSRMKKDCLYFTVSLQEGFRYVKATILGQALKITAKNEKEATAADLQATKMQVEAADEAENIKKRLEKSL >Manes.13G042100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4987532:4991810:1 gene:Manes.13G042100.v8.1 transcript:Manes.13G042100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASHLTFLAPAPLKSSRALPPLCNFSTCLFPVDNSGSRAILCINVSTRRRPISVRAMASSFGSRLEETIKKTVAENPVVVYSKTWCSYSSEVKTLFKKLGAEPLVIELDELGPQGPQLQKVLERLTGQHTVPNVFIGGQHVGGCTDTLKLHRKGELETLLSEAKSKSSQG >Manes.13G042100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4987579:4988587:1 gene:Manes.13G042100.v8.1 transcript:Manes.13G042100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASHLTFLAPAPLKSSRALPPLCNFSTCLFPVDNSGSRAILCINVSTRRRPISVRAMASSFGSRLEETIKKTVAENPVVVYSKTWCSYSSEVKTLFKKLGAEPLVIELDELGPQGPQLQKVLERLTGQHTVPNVFIGKYCLQSLHLEVYLFGSILSMKGREKRI >Manes.13G042100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4987532:4991811:1 gene:Manes.13G042100.v8.1 transcript:Manes.13G042100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASHLTFLAPAPLKSSRALPPLCNFSTCLFPVDNSGSRAILCINVSTRRRPISVRAMASSFGSRLEETIKKTVAENPVVVYSKTWCSYSSEVKTLFKKLGAEPLVIELDELGPQGPQLQKVLERLTGQHTVPNVFIGGQHVGGCTGMFFISYL >Manes.17G079184.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28230033:28230832:1 gene:Manes.17G079184.v8.1 transcript:Manes.17G079184.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEIHFWIDAPADQFHDVFSSRPYLIPNMSPHKILSVDLLEGEWGKEGAIICWKYFYGGSVQVAKQVIETIDNVNLLTVYKVIEGDVLKEYKSFKLTVQATPKGEGSVVRWTLEYEKIHENIQDPYSLLEFIVQFSKDVSAHLVKCPKK >Manes.15G052800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4081183:4089070:1 gene:Manes.15G052800.v8.1 transcript:Manes.15G052800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVSSAGALLAMLNESHPLLKQHALYNLNKFVDQFWPEISTSVPLIESLYEDEDFDQHQRQLAALVVSKVFCYLGDLNDSLSYALGAGALFDVSEDSDYVHTLLAKAIDEYASLKAKASESTVEAENVDHRLEAIVERMLDKCIMDGKYQQAMGIAIECRRLDKLEEAITKSDNVHGTLSYCINVSHAFVSRREYRREVLHLLVNVYQKLPHPDYLSICQCLMFLDEPEGVASILEKLLRSENKDDVLLAFQIAFDLVENEHQAFLLNVRDCLSVPKSQSSESVQPKSSDPDSAQNDNSTASEDVQMTEGTSASIPIVQEADPNEAIYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMRPYLPQGGSGGGGSPYSEGGALYALGLIHANHGKGIKQFLRDSLRSTNVEVIQHGACLGLGLAALGTADEEIYDDIKSTLYTDSAVAGEAAGISMGLLMVGSASEKASEMLTYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQMNEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVVGLAVFSQFWYWYPLIYFISLSFSPTAFIGLNYDLKVPKFEFLSNAKPSLFEYPKPTTVPTATSAVKLPTAVLSTSAKAKARAKKEAEQKANAEKATEAESSSSATNTGKEKSSSEKDADSMQVECQPEKKAEPEPSFEILTNPARVVPAQEKFIKFMENSRYAPVKLVPSGFVLLRDLQPTEPEVLSLTDAPSSTVSTTGGSATGQQGSASAMAVDEEPQPPQPFEYTS >Manes.03G181300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30324828:30328439:-1 gene:Manes.03G181300.v8.1 transcript:Manes.03G181300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSFFLIIIFSLLSFSVSQFPPPRGILINCGTTASSIIAGRRWLPDAGFISRGTPKKLSMPVLAPILSTVQSFPLKNNLHEKFCYVVPVFRGAKYLIRTTYFYGGINGDAEPPVFDQIVDGTFWSVVNTTKDYTNGMSSYYEGVFLAQGKTMSLCIGANSYTHSDPFISALEFVILGDSLYNSTDFKRYGLSLVARHSFGYNGSVIRYPDDRFDRFWEPFGEKDPTISKNNNLSISGIWNLPPSKVFETELTTSQSGPLELRWPLAPLRNSIYYIALYFADDRNSSAVPSREFNVSINGITYYKNFTVTHVGSSVFATQWPLVGLTTVTLTPSAGSSSPPLINGGEIFEVMVLGGITRTRDVIALEKLKSSLRNPPVDWSGDPCMPRQYSWSGITCSEGPRIRVIALNLTNMGLSGSVSPGIARMTALTDIWLGNNNLSGSIPDIGSLKFLQTLHLENNQFTGEIPSSFGSIQNLRELFLQNNNLTGHIPNSLTGKPGLDLRISPGNQFSSPAPS >Manes.05G165700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27773388:27773933:1 gene:Manes.05G165700.v8.1 transcript:Manes.05G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCRIIFITAVSVSILLVILLSVFSPLPRKASSKDLSRPWVALSLYIQQPHISSTNVQQPAAWPDSGAFIFHRTLTEGPENTSRVVGKAQGFIIPIENFARSGFNIIHLSFDTPEYSGSLNVQARHVAQRDREELTVVGGTGSFAFARGLAVFARRDDLPATYYVKLQLRFPNRSQIIPG >Manes.08G043800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4321856:4327967:1 gene:Manes.08G043800.v8.1 transcript:Manes.08G043800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETSDTMNLDLNLGPGPEVGSGSVPSEDVNLDEWIDDPFRRLRESVRISRVRQRWRRRQAQIPETHPLSVQLSQLIENSDSESNLQAGEGSVAAEERTDEAPKMCENNNGFLEDEVSEKNGGDENRSKNDGSFFDCNICLDLATDPVVTCCGHLFCWSCLYRWLHAHSDANECPVCKGEVTVKNVTPIYGRGNNTRQPDEDSSLEVPVRPCARRVESWRQSMQRNSFSFPMEEMIRRLGSRFDLTRDLNPVQDSNGIREIGDRASFLSRIMTLRGMRTEQSPVAPIDNIVDLTQSGTSSSDARSARRLHSLLLRRSQSHSQRLSTDTSNSAALNSTERLIEAYFRNHSLGRNQDQPQPVDDRDSFSSITAVINSESQIDTAVEIDSMGSLLNSSRRRNDTARVSDVDSGDSRAPRRRRFN >Manes.08G043800.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4321795:4327967:1 gene:Manes.08G043800.v8.1 transcript:Manes.08G043800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETSDTMNLDLNLGPGPEVGSGSVPSEDVNLDEWIDDPFRRLRESVRISRVRQRWRRRQAQIPETHPLSVQLSQLIENSDSESNLQAGEGSVAAEERTDEAPKMCENNNGFLEDEVSEKNGGDENRSKNDGSFFDCNICLDLATDPVVTCCGHLFCWSCLYRWLHAHSDANECPVCKGEVTVKNVTPIYGRGNNTRQPDEDSSLEVPVRPCARRVESWRQSMQRNSFSFPMEEMIRRLGSRFDLTRDLNPVQDSNGIREIGDRASFLSRIMTLRGMRTEQSPVAPIDNIVDLTQSGTSSSDARSARRLHSLLLRRSQSHSQRLSTDTSNSAALNSTERLIEAYFRNHSLGRNQDQPQPVDDRDSFSSITAVINSESQIDTAVEIDSMGSLLNSSRRRNDTARVSDVDSGDSRAPRRRRFN >Manes.08G043800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4321795:4327967:1 gene:Manes.08G043800.v8.1 transcript:Manes.08G043800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETSDTMNLDLNLGPGPEVGSGSVPSEDVNLDEWIDDPFRRLRESVRISRVRQRWRRRQAQIPETHPLSVQLSQLIENSDSESNLQAGEGSVAAEERTDEAPKMCENNNGFLEDEVSEKNGGDENRSKNDGSFFDCNICLDLATDPVVTCCGHLFCWSCLYRWLHAHSDANECPVCKGEVTVKNVTPIYGRGNNTRQPDEDSSLEVPVRPCARRVESWRQSMQRNSFSFPMEEMIRRLGSRFDLTRDLNPVQDSNGIREIGDRASFLSRIMTLRGMRTEQSPVAPIDNIVDLTQSGTSSSDARSARRLHSLLLRRSQSHSQRLSTDTSNSAALNSTERLIEAYFRNHSLGRNQDQPQPVDDRDSFSSITAVINSESQIDTAVEIDSMGSLLNSSRRRNDTARVSDVDSGDSRAPRRRRFN >Manes.08G043800.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4321856:4327967:1 gene:Manes.08G043800.v8.1 transcript:Manes.08G043800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETSDTMNLDLNLGPGPEVGSGSVPSEDVNLDEWIDDPFRRLRESVRISRVRQRWRRRQAQIPETHPLSVQLSQLIENSDSESNLQAGEGSVAAEERTDEAPKMCENNNGFLEDEVSEKNGGDENRSKNDGSFFDCNICLDLATDPVVTCCGHLFCWSCLYRWLHAHSDANECPVCKGEVTVKNVTPIYGRGNNTRQPDEDSSLEVPVRPCARRVESWRQSMQRNSFSFPMEEMIRRLGSRFDLTRDLNPVQDSNGIREIGDRASFLSRIMTLRGMRTEQSPVAPIDNIVDLTQSGTSSSDARSARRLHSLLLRRSQSHSQRLSTDTSNSAALNSTERLIEAYFRNHSLGRNQDQPQPVDDRDSFSSITAVINSESQIDTAVEIDSMGSLLNSSRRRNDTARVSDVDSGDSRAPRRRRFN >Manes.08G043800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4321795:4327967:1 gene:Manes.08G043800.v8.1 transcript:Manes.08G043800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETSDTMNLDLNLGPGPEVGSGSVPSEDVNLDEWIDDPFRRLRESVRISRVRQRWRRRQAQIPETHPLSVQLSQLIENSDSESNLQAGEGSVAAEERTDEAPKMCENNNGFLEDEVSEKNGGDENRSKNDGSFFDCNICLDLATDPVVTCCGHLFCWSCLYRWLHAHSDANECPVCKGEVTVKNVTPIYGRGNNTRQPDEDSSLEVPVRPCARRVESWRQSMQRNSFSFPMEEMIRRLGSRFDLTRDLNPVQDSNGIREIGDRASFLSRIMTLRGMRTEQSPVAPIDNIVDLTQSGTSSSDARSARRLHSLLLRRSQSHSQRLSTDTSNSAALNSTERLIEAYFRNHSLGRNQDQPQPVDDRDSFSSITAVINSESQIDTAVEIDSMGSLLNSSRRRNDTARVSDVDSGDSRAPRRRRFN >Manes.08G043800.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4321936:4325784:1 gene:Manes.08G043800.v8.1 transcript:Manes.08G043800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETSDTMNLDLNLGPGPEVGSGSVPSEDVNLDEWIDDPFRRLRESVRISRVRQRWRRRQAQIPETHPLSVQLSQLIENSDSESNLQAGEGSVAAEERTDEAPKMCENNNGFLEDEVSEKNGGDENRSKNDGSFFDCNICLDLATDPVVTCCGHLFCWSCLYRWLHAHSDANECPVCKGEVTVKNVTPIYGRGNNTRQPDEDSSLEVPVRPCARRVESWRQSMQRNSFSFPMEEMIRRLGSRFDLTRDLNPVQDSNGIREIGDRASFLSRIMTLRGMRTEQSPVAPIDNIVDLTQSGTSSSDARSARRLHSLLLRRSQSHSQRLSTDTSNSAALNSTERLIEAYFRNHSLGRNQDQPQPVDDRDSFSSITAVINSESQIDTAVEIDSMGSLLNSSRRRNDTARVSDVDSGDSRAPRRRRFN >Manes.03G210301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32550337:32551142:-1 gene:Manes.03G210301.v8.1 transcript:Manes.03G210301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRGGSSGMEMYTVSGLGNAENYLIQSDINHLFTSPPLEQVEAMYNEGAPDFFVDQGLYYPTITNYGYYCTELESPGEWEDCHRIFGADGPEIHYAGVQTESSPCVNYTLSNGRAQSVYNPYSPCIPGAMIALMANMHKHNRNFIKKRPT >Manes.08G016600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1667376:1689385:-1 gene:Manes.08G016600.v8.1 transcript:Manes.08G016600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELAYVVAVQSQLAGSLGLSRGVPIDTQSQPETSEDVNCKRFKVAKVNGFIVYSRAKKNRASECDKTSEDVKIKRINSQVDAKLDVINGINTSFDEDLKCRLVETTIEENQAVVGTVSRAAEDVSGDQCFCNVELPVYKDEPISVPRPIEGVQKWVAEGAEVKTNKMRRLTRSTLKFKVEPVEVKVDGLEAIDSEMFSKVDVEMIAEGSALTPPKKNLELKMSKKIALDNVPMTVKELFETGLLEGVPVVYMGGKKFQAFCLRGKIKDVGILCSCSLCKGCRVIPPSQFEIHAIKQYRRAAQYICFENGKSLLDVLNACRSSPLDSLEATIHNAISGSPKEKTFTCKRCKGRYPTICVGKIGPLCNLCVESKESNGSPACETGIKASLEEPVLSVESSGSASLSTLSQDNTLRMITRKSSKPYLNTKLSRSAPVRMSSRNKNEWKIITKSSEPEIIIKSSKNASLHLSSRKRKQWKITPRTSKPATVTKSLKSALAGVSSQNKCQWRITTKYQRLHRLVFEEGGLPDGTEVAYYARGQKLLLGYKRGFGILCCCCNCEVSPSTFEAHAGWATRKKPYAYIYTSNGVSLHELAISLSKGRKYSAQDNDDLCIVCADGGSLVLCDGCPRAFHKGCASLPSIPRGKWFCQFCENMFQREKFVEHNVNAVAAGRVAGVDPIEQITKRCIRIVKNIEAELSGCVLCRGYDFSRSGFGPRTIILCDQCEKEFHVGCLRSHKMANLKELPKGKWFCCLDCGRIHSTLQKLLVREAEKLPVSLLNVMKKKNEEKGFEATNDDVRWRLLSGKLVSPESKLLLSQALAIFQECFDPIVDATGRDLIPLMVYGKNSKGQDYGGMYCAVLVVNSFVVSAGILRIFGQEVAELPLVATSNGNHGKGYFQLLFSCIEKLLAFLNVQSLVLPAAEEAESIWIDKFGFQKIKPDQLSKYRKSCCQMVNFKGTSMLQKLVPACRIVNQNADSVGVL >Manes.16G023850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2588694:2590175:1 gene:Manes.16G023850.v8.1 transcript:Manes.16G023850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQNPEVKMVKWLKIFNLSSLDLSQNSLTGSLPPSLFQLQNLTKLLLIANDIFGSIPPEVGNCVSLIRLRLVNNRISGEIPKEIGLLKNLSFLDLSENHIGGTLPTEIGNCNELQMLNLSNNTLRGTLPSSLSSLTRLEVLDLSINQFVGEISSGFGKFSSLNRLILNKNSLFRAIPSSLGHCLSFNFLILVAMHYLA >Manes.14G050500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4341348:4343368:1 gene:Manes.14G050500.v8.1 transcript:Manes.14G050500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGHVLPPPLPTVSRNPTPKSCPQHFKFAKFSLQNPALKPILFTNLVSLALTFTLNSPLPSLAIPSPNSLSPLLPPTTPFSESKNLPIGLEDGKIRPCPSINPSCVSTNPKSSSFAFPLRIPDSTTENAIQKLQEAILKTQKNPKIRVIEDTPYGQYLQAEVDGGFGQDVLEFLVKGDVVAYRCMATKVTYVYPFTTAFGDSKGQEERMKKIIDQLDWYAPSFESMD >Manes.06G162200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28961501:28966168:1 gene:Manes.06G162200.v8.1 transcript:Manes.06G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANADAEAVDFEPEEDDLMDEDGAVDVDNSPRAPLPKLKSAITGGASSSLSAPRKTKGRGFRDEADADRQSRLASRDFDSLGSDGGPGPQRSIEGWIILVSGVHEEAQEDDLQNAFGDFGEIKNLHLNLDRRTGFVKGYALIEFEKFEEAQNAIAAMHGAELLTQIINVDWAFCNGPIRRKNMRSGRAHRSRSPRRRY >Manes.17G004337.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2368348:2372545:-1 gene:Manes.17G004337.v8.1 transcript:Manes.17G004337.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVNLTEGAISKITSGRATAAELKPTLQVTELKQVQTKQPQQSDRFRLVLSDGSHLQQAMLGTQINHLVKDGHLRPGSVVQLIQYTCTTVQGRMIIIILELIVIVEECALMGHPVSAQKSLGPPQSSTDQPVNNLANPQSFGSSSLAGGMVENSNLAVASPQNPRMNQLHSSSHSSNFDSGRQGAPNIPPSHLKAEPVANAGFRNPRPEISQTPSTYSYAPRPAYQQPPPMYSNRGPVAKNEAPPRIMPISALNPYQGRWTIKARVTAKGELRHYNNPRGDGKVFSFDLLDSDGGEIRVTCFNAVADQFYHQIEAGKVYLISRGNLKPAQKNFNHLRNDLEIFLESTSIIQPCFEDDSAIPRQQFHFRSITEIEGIDNNSVVDLIGMVTSITPSASIMRKNGTETQKRTLQLKDMSGRSVELTLWGNFCNAEGQRLQNMCDSGGFPVLAVKSGRVSDFNGKAVGTISTSQLFIDPDFPEARRLKEWFEKEGRNMPSLSISREMASVGRTDVQKTVSQIKDERLGTSEKPDWITVSATVIFIKSDNFCYTACPLMSGDRPCNKKVTNNGDGKWRCEKCDQSMDECDYRYILQFQIQDHTGITWVTAFQESAEEIMGISAKDLHFLKYEEQDDERFSKIIRQVLFSKFMFKLKVKEETFSDEQRVKSTVVRAEKVNYSSQSKFLLEMMEKFNSGNSAPFAPKMEPNYPLSGANNTGFESGGRQGGPVGSNQGGNSSYAAREFVSLPNEVGHYGNQYSARFPTANYSCNSCGATTHTSSNCPSIMNAPRQSERGAYVNNTSSMGSGGGGECYKCHQIGHWARDCPGLTAVPPAYGSSGRFGGISKPQFSGF >Manes.04G131200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33271892:33273386:-1 gene:Manes.04G131200.v8.1 transcript:Manes.04G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALHLNVTSSAFLYPKPNIKTLTRPLTTTIATTIRCGGPRSNRGPLVKGRILSTEAILAVQSLKRAYNKSSSNSKDLPNLSRLIKSDLISILRELLRQDLCPLAIHVLSTLRSEYAGQIDLGLYADVISGLSRNKLYEHIDRLIEDLEKDEGVIQWETDKGLLRVIRGVVDAGRRESAVKICEMLRRSGCGERWPADEYVVGVLSKGLRRMGETELASEVDKEFGGIFRGNSEKLVV >Manes.04G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31870319:31872133:-1 gene:Manes.04G116400.v8.1 transcript:Manes.04G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRESCQRCGDSRAGGDFGGYGGRSGGSSFGFTGSDVRPGDWYCTAGNCGAHNFASRSSCFKCGVYKDDSTGGFDSDIPRSRGFGSGSNRSGWKSGDWICTRWGCNEHNFASRMECFKCNAPRELSNRPSY >Manes.10G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9477319:9484170:-1 gene:Manes.10G065600.v8.1 transcript:Manes.10G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEMDPEIVLWIIEFLTRQDSVPKVLLNRILTNTHIPISPTPRLKKTLALRAIQDEIASGSVASETILESLEIIEQLDQKQRIKILDSMKLAYCAVAVECTVKHMWVVGSKRKRDPETFFEAVKTIWRERVEKLEFLKKSELVTDELREFKEEMEAALWDSNACERLLERAMRNEALRLVMDYLREALDEMGPPLLEMLARTEKEREEEEKDADNVGEKASREPDGGVADGSAKMETQSNDMFHTVNNLKGPGVIMDSEKVGVDIPTYKYDNLPTPEVNRAKEALKSSSMELKALLNDPLPNALNFSESLMPERQALNKEILVEDQVGKRVDVPNPSVDVVVPVEIPNPSVTQAIEPIQTMKNSAGIPIYNHQNVAPKRSLMEYNSTARTYEWDDSIDGSPEDASGMSRFNLDKPRTKVVSPLKEYKIPKFAGRRKFKRWSVEEEDALREGVKMFGRGSWKAILDFKRDIFDDRTEVDLKDKWRNMTK >Manes.13G140700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35423061:35424597:1 gene:Manes.13G140700.v8.1 transcript:Manes.13G140700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTLQKTADQESYLLTPASRLLLKDNPLSTSSFVLLALDQVLLETYNCMSTWFQKDEPNPFVTVFGEPLWEYASHEARVNNLFNGAMANDSSLIGKAVVVKCKEVFQGLNSLVDVAGGTGNMAKAISDAFPVLKCTALDLPHVVADLEGNTKSNFLAGDMFKAVPPADAILLKWILHNWPDEECVKILKNCEEAIRKNGNEKQRGNKVIIIDMVMGNQTWNSIEEDDKITEAQLLFDMEMMCSAIGKERNEKEWAKLFLDSGFSNYKVIPVSGSRALIEIYP >Manes.13G140700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35421792:35424402:1 gene:Manes.13G140700.v8.1 transcript:Manes.13G140700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGGETIDELLEAQTHIWKNTFHIFKSMALEMCTIRDAVNSHGKAMTLSELVAALPVHPTKTHHLYRLMRLLKTADQESYLLTPASRLLLKDNPLSTSSFVLLALDQVLLETYNCMSTWFQKDEPNPFVTVFGEPLWEYASHEARVNNLFNGAMANDSSLIGKAVVVKCKEVFQGLNSLVDVAGGTGNMAKAISDAFPVLKCTALDLPHVVADLEGNTKSNFLAGDMFKAVPPADAILLKWILHNWPDEECVKILKNCEEAIRKNGNEKQRGNKVIIIDMVMGNQTWNSIEEDDKITEAQLLFDMEMMCSAIGKERNEKEWAKLFLDSGFSNYKVIPVSGSRALIEIYP >Manes.02G173100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13672261:13676546:-1 gene:Manes.02G173100.v8.1 transcript:Manes.02G173100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVVTALHCRSPSAFRSNSDQRHIKSAYPTVKMQTRIHRLIEEQGIVLMPGCYDALSAAIVQKTGFSAGFISGYALSASLLGKPDFGLLTPPEMAATARTVCAAAPLIPIIADADTGGGNALNVQRTIKDLIAAGAAGCFLEDQAWPKKCGHMRGKQVIAAEEHATKIASARDAIGDSDFFLVARTDARATSAKNGLYDAISRANLYMEAGADACFVEAPRDDDELKEIGRKTKGYRICNMIEGGVSPLHTPEELKAMGFHLIVHPLTSLYASARALVDVLKTLKENGTTRDHLHKMATFEEFNQLVNLESWFELEARYSNFKKLH >Manes.10G088716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23141129:23142974:-1 gene:Manes.10G088716.v8.1 transcript:Manes.10G088716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRITAQKKATTSRGVARYEKLESSENGIDQAEKDNKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEVNGTIKGVQYKTKTGQELAASAPLTIV >Manes.02G067800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5193033:5199674:-1 gene:Manes.02G067800.v8.1 transcript:Manes.02G067800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTNFEIEVKPEDTVADVKKNIETVQGADIYPAAQQMLIHQGKVLKDGTTLEENKVAEDSFIVIMLSKAQSASSLPSSATQPSTTQAPASTTQAPAQAPASTTQAPAPTVAPPQPATESTPAVVNSTSSESDVYGQAASNLVAGSNLETTIQQILDMGGGSWDRETVVRALRAAFNNPERAVEYLYSGIPEQAEVQPVARVPASGQAANPPAHAEQPAAPTSGAPNANPLDLFPQGLPSMGSNASAGTLDFLRNSQQFQALRAMVQANPQILQPMIQELGKQNPHLMRLIQEHQADFLRLINEPVEGEGNPLSQLASAMPQAVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHIHEFEE >Manes.02G067800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5193033:5199674:-1 gene:Manes.02G067800.v8.1 transcript:Manes.02G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVKTLKGTNFEIEVKPEDTVADVKKNIETVQGADIYPAAQQMLIHQGKVLKDGTTLEENKVAEDSFIVIMLSKSKVPSSGASTASAAPPSQAQSASSLPSSATQPSTTQAPASTTQAPAQAPASTTQAPAPTVAPPQPATESTPAVVNSTSSESDVYGQAASNLVAGSNLETTIQQILDMGGGSWDRETVVRALRAAFNNPERAVEYLYSGIPEQAEVQPVARVPASGQAANPPAHAEQPAAPTSGAPNANPLDLFPQGLPSMGSNASAGTLDFLRNSQQFQALRAMVQANPQILQPMIQELGKQNPHLMRLIQEHQADFLRLINEPVEGEGNPLSQLASAMPQAVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHIHEFEE >Manes.01G050500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9327070:9338992:1 gene:Manes.01G050500.v8.1 transcript:Manes.01G050500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDATESSCPKPMKATSNGVFQGDNPLDYALPLAIIQIILVVLLTRVLAFLLRPLRQPRVIAEIVGGILLGPSALGRNTHYLNSIFPSRSLTVLDTLANLGLLFFLFLVGLELDIKSLHRTGKMALAIAVAGISLPFLLGVGVSFLLRKSISEGVKEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMAAAAVNDVAAWILLALAIALSGSGHSPLTSLWVLLSGAGFIICCAIIIPPVFKWMASRCHQGEPVNEMYVCATLAIVLAAGFCTDSIGIHALFGAFIIGTLIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIRGAQSWGLLVLVISTACFGKIVGTVVVSLFCKIPFQESITLGFLMNTKGLVELIVLNIGKDRKVLNDQTFAICVLMAIFTTFITTPVVIAVYKPAKQAIKADYKHRTIERKDPNTQLRILACFHSTLNIPTIINLIEASRGTEKRQELRVYALHLMELSERTSAILMVHKARNNGLPFWNKLRSDANQVVVAFESFRQLNRVFIRPMTAISAMHDMHEDICTIAERKRAAIIILPFHKHQRLDGTLETTRNEFRWVNRRVLEHAPCSVGILVDRGLGGATHVSARNVSSNVTVLFFGGHDDREALAYGARMSEHPGISLTVIHVTASNDITQQTVKIDITEESSASSESTDRKFLASFKKNSDEGSVKFEERAVNNAREIVEVVKEFSRCNLFVVGRMPEGLVAAVLTEKVECPELGPIGNLLTSHEFATSASVLVVQQYSSSKSQLSSGSSTKVAELPGDDSETG >Manes.01G050500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9326779:9338992:1 gene:Manes.01G050500.v8.1 transcript:Manes.01G050500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDATESSCPKPMKATSNGVFQGDNPLDYALPLAIIQIILVVLLTRVLAFLLRPLRQPRVIAEIVGGILLGPSALGRNTHYLNSIFPSRSLTVLDTLANLGLLFFLFLVGLELDIKSLHRTGKMALAIAVAGISLPFLLGVGVSFLLRKSISEGVKEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMAAAAVNDVAAWILLALAIALSGSGHSPLTSLWVLLSGAGFIICCAIIIPPVFKWMASRCHQGEPVNEMYVCATLAIVLAAGFCTDSIGIHALFGAFIIGTLIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIRGAQSWGLLVLVISTACFGKIVGTVVVSLFCKIPFQESITLGFLMNTKGLVELIVLNIGKDRKVLNDQTFAICVLMAIFTTFITTPVVIAVYKPAKQAIKADYKHRTIERKDPNTQLRILACFHSTLNIPTIINLIEASRGTEKRQELRVYALHLMELSERTSAILMVHKARNNGLPFWNKLRSDANQVVVAFESFRQLNRVFIRPMTAISAMHDMHEDICTIAERKRAAIIILPFHKHQRLDGTLETTRNEFRWVNRRVLEHAPCSVGILVDRGLGGATHVSARNVSSNVTVLFFGGHDDREALAYGARMSEHPGISLTVIHVTASNDITQQTVKIDITEESSASSESTDRKFLASFKKNSDEGSVKFEERAVNNAREIVEVVKEFSRCNLFVVGRMPEGLVAAVLTEKVECPELGPIGNLLTSHEFATSASVLVVQQYSSSKSQLSSGSSTKVAELPGDDSETG >Manes.01G050500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9330657:9338992:1 gene:Manes.01G050500.v8.1 transcript:Manes.01G050500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDATESSCPKPMKATSNGVFQGDNPLDYALPLAIIQIILVVLLTRVLAFLLRPLRQPRVIAEIVGGILLGPSALGRNTHYLNSIFPSRSLTVLDTLANLGLLFFLFLVGLELDIKSLHRTGKMALAIAVAGISLPFLLGVGVSFLLRKSISEGVKEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMAAAAVNDVAAWILLALAIALSGSGHSPLTSLWVLLSGAGFIICCAIIIPPVFKWMASRCHQGEPVNEMYVCATLAIVLAAGFCTDSIGIHALFGAFIIGTLIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIRGAQSWGLLVLVISTACFGKIVGTVVVSLFCKIPFQESITLGFLMNTKGLVELIVLNIGKDRKVLNDQTFAICVLMAIFTTFITTPVVIAVYKPAKQAIKADYKHRTIERKDPNTQLRILACFHSTLNIPTIINLIEASRGTEKRQELRVYALHLMELSERTSAILMVHKARNNGLPFWNKLRSDANQVVVAFESFRQLNRVFIRPMTAISAMHDMHEDICTIAERKRAAIIILPFHKHQRLDGTLETTRNEFRWVNRRVLEHAPCSVGILVDRGLGGATHVSARNVSSNVTVLFFGGHDDREALAYGARMSEHPGISLTVIHVTASNDITQQTVKIDITEESSASSESTDRKFLASFKKNSDEGSVKFEERAVNNAREIVEVVKEFSRCNLFVVGRMPEGLVAAVLTEKVECPELGPIGNLLTSHEFATSASVLVVQQYSSSKSQLSSGSSTKVAELPGDDSETG >Manes.01G050500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9326779:9338992:1 gene:Manes.01G050500.v8.1 transcript:Manes.01G050500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDATESSCPKPMKATSNGVFQGDNPLDYALPLAIIQIILVVLLTRVLAFLLRPLRQPRVIAEIVGGILLGPSALGRNTHYLNSIFPSRSLTVLDTLANLGLLFFLFLVGLELDIKSLHRTGKMALAIAVAGISLPFLLGVGVSFLLRKSISEGVKEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMAAAAVNDVAAWILLALAIALSGSGHSPLTSLWVLLSGAGFIICCAIIIPPVFKWMASRCHQGEPVNEMYVCATLAIVLAAGFCTDSIGIHALFGAFIIGTLIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIRGAQSWGLLVLVISTACFGKIVGTVVVSLFCKIPFQESITLGFLMNTKGLVELIVLNIGKDRKVLNDQTFAICVLMAIFTTFITTPVVIAVYKPAKQAIKADYKHRTIERKDPNTQLRILACFHSTLNIPTIINLIEASRGTEKRQELRVYALHLMELSERTSAILMVHKARNNGLPFWNKLRSDANQVVVAFESFRQLNRVFIRPMTAISAMHDMHEDICTIAERKRAAIIILPFHKHQRLDGTLETTRNEFRWVNRRVLEHAPCSVGILVDRGLGGATHVSARNVSSNVTVLFFGGHDDREALAYGARMSEHPGISLTVIHVTASNDITQQTVKIDITEESSASSESTDRKFLASFKKNSDEGSVKFEERAVNNAREIVEVVKEFSRCNLFVVGRMPEGLVAAVLTEKVECPELGPIGNLLTSHEFATSASVLVVQQYSSSKSQLSSGSSTKVAELPGDDSETG >Manes.01G050500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9326919:9338992:1 gene:Manes.01G050500.v8.1 transcript:Manes.01G050500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDATESSCPKPMKATSNGVFQGDNPLDYALPLAIIQIILVVLLTRVLAFLLRPLRQPRVIAEIVGGILLGPSALGRNTHYLNSIFPSRSLTVLDTLANLGLLFFLFLVGLELDIKSLHRTGKMALAIAVAGISLPFLLGVGVSFLLRKSISEGVKEAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMAAAAVNDVAAWILLALAIALSGSGHSPLTSLWVLLSGAGFIICCAIIIPPVFKWMASRCHQGEPVNEMYVCATLAIVLAAGFCTDSIGIHALFGAFIIGTLIPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIRGAQSWGLLVLVISTACFGKIVGTVVVSLFCKIPFQESITLGFLMNTKGLVELIVLNIGKDRKVLNDQTFAICVLMAIFTTFITTPVVIAVYKPAKQAIKADYKHRTIERKDPNTQLRILACFHSTLNIPTIINLIEASRGTEKRQELRVYALHLMELSERTSAILMVHKARNNGLPFWNKLRSDANQVVVAFESFRQLNRVFIRPMTAISAMHDMHEDICTIAERKRAAIIILPFHKHQRLDGTLETTRNEFRWVNRRVLEHAPCSVGILVDRGLGGATHVSARNVSSNVTVLFFGGHDDREALAYGARMSEHPGISLTVIHVTASNDITQQTVKIDITEESSASSESTDRKFLASFKKNSDEGSVKFEERAVNNAREIVEVVKEFSRCNLFVVGRMPEGLVAAVLTEKVECPELGPIGNLLTSHEFATSASVLVVQQYSSSKSQLSSGSSTKVAELPGDDSETG >Manes.02G096700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7528574:7530372:1 gene:Manes.02G096700.v8.1 transcript:Manes.02G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFNFDHVKAEKTGAIIWYNHLPNLRKLFRLIEFCLALVFLFWIFNRLPFAIRISGEFFMRTAGVIASPIFVFFLCNAIIATLIAKSGRFSGENPATDNAETEFYEELLKNNENRCSKPLSENPSSPPRLPSHATKEMEYQDKEIISQVNNTTQTDKNGDGAVDMELYSSSDSDSDTDSHNPRVYRRSKSEKLERKPAEKVKAKKLRRSETEKCMNAVKSTGGEGLCPEDELSDEEFQRAIDEFIAKHLRFRRQESLAVVLQNHSSIPETEKNLKN >Manes.03G069648.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10484242:10532618:-1 gene:Manes.03G069648.v8.1 transcript:Manes.03G069648.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLENWFHDINGYGFQNGYFNSQSVLDLNTLASKGRETSFLDLGLHMFQHLGCLIGINPKIGTQKRLPNAKPLQTKIKPIAMLDTVQEIAIYIHRFHNLDLFQQGWYQIKISVRWEDNAYTSLGSPARVVQCEPPDLGSDNTCGVWKIDDADNSFSTQPFRIKYAKQDILLSIMISFNLPLGECKGPSTSAVILKFELLQAPASENGLELLAHLDASSVAVHEFRIPPKALLGLHSYCPVHFDAFHAVLVDVSVHASLLKASSYMKVSSDSCSHEDVAGKQIDRSNQSLGQVASVDMKQIMLVKALSVARDTLLEELQKLSKAIDQAIDLTDFSKTNNEEMFDSILRPNLGTVDGEVSGQGKPKNVLEKSSSIADFQSDVLPYTLSEDTVVKIFHSLGVQLSDLWSTFLQFHRVNKTKILEFLSTKWAEDRRAEWSIWMVCSKVEMPHHYISRRNKDFSHPTVPKRVASLWKLPDDPVQTLATRAELHRRSIAQMKINNQSIQDMHIFGDPLRIPIIIVERVMNAPRRALSEHSYFRNVDLIDSPILLSQPSIEAETSLFSSNLKQNGRELKVVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEVNEDKTSGDFREMGQRLAQEVVSFLKKKMDKVSKSCSLRGVKLSFVGHSIGNIIIRTALAESIMEPYLRYLYTYVSISGPHLGYLYSANSLFNSGIWLLKKLKGSQCFHQLTFTDDVDLRKTFLYRLCEQKTLESFRHTILLSSPQDGYVPHHSARIELCQAASLDFSKKGAVFLEMLNNCLDQIRAPTSENRLFMRCDVNFDTSSYGRSFNTLIGRAAHIEFLESDTFVKFIMWSFPELFR >Manes.07G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14071489:14084146:-1 gene:Manes.07G071100.v8.1 transcript:Manes.07G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEFRPLDEKSLIEYIKATPVLSNKVGRNYDDLKIKEVGDGNLNFVYIVVGSAGSFVIKQALPYIRCIGESWPMTKERAYFEALALKVHGQLCPEHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLIAGIKYPYLAEHMSTYMSKTLFYTSLLYRTTTEHKRDVAEFCGNVELCRLTEQVIFSDPYKVSQYNHWTSPYLDRDAEAVREDNVLKLEIAELKSKFCERAQALIHGDLHTGSVMVTSDSTQVIDPEFAFYGPMGFDVGAFIGNLFLAYFAQDGHADSFDDRRSYKEWILRMTEGTWNLFHKKFTAFWDKHKDGPGEAYLPAIYNNPELQQIVQEKFMKDLFHDTLGFGAAKMIRRIVGVAHVEDFESIKDDSKRAECERKALDFAKLLLKERRRFQSINEVVSAIPA >Manes.13G047900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5548853:5558223:-1 gene:Manes.13G047900.v8.1 transcript:Manes.13G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISEPVNPADPTSEISKTHQAPPQVPASYFDPETMRTTKPGLKRLFLTVSVLLSFLLGFPFLWKSVEIYRSPLPFEDMDTLSKEIESNPLQFPCQFQAIFIDSNPQSSFSVLNPHYLHQSILSQMTKLTPKTPQCGTCSSNFNLSVTLDFIGGDCTQSFPGNPSCLYKCGTIPAVDFDFGDEEGVDDVLESVLGDRCSGFGGKMYSVVVVNRDDVQVVRTVVGKYRHSWIVGRHLEAETVVERVAEIFVNVFVNGGREEGLIHGEFMPVGSDGRIVLSFNLLNANPRDWIYDWDFQTIDETLLPPVIEALEPIANISVESQVLYHTPKSSFSSWDEKLGSYVFSVKDLPFFVNSNEWHLDTSIAAGGRSKILQFVVYVPSAKECPLLLRLPDGQISATNGFITPMWGGVMVWNPSTCLKDSEDKLPGRHMISTQDLHKVFEVFMGQFRQLFDLKSDSIYVGAYGDYNLLTSEIGFTEWELDVLSRKHTCFNVHSSATTLGSLSRLVQSLPRMIIMDEIGKQVKFSLESAKLAQLNSTIGVYDASAVSSRHARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVAMHVLLAALREWKRYKQENAKYLLWKAKVKVAS >Manes.06G101400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23494798:23500826:1 gene:Manes.06G101400.v8.1 transcript:Manes.06G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRHLEPEDPKSRSEVNSPEEKRRRFDFKNVVQDVIKMQSVQHLLEPVLEPLIRRVIKEEVELALKKHLASIKRSGKEKDSSESRSLKLQFSNNLSLPVFTGARIEGEECSAIHVALIDILTGEIVNSGAEASAKVEIIVLEGDFDGDEGENWTHDEFMNNIVREREGKKPLLAGDVFLNLSEGIGIVGEISFTDNSSWTRSRRFRLGARVVDKFDGINVREAKTESFIVRDHRGELYKKHHPPSQFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTQLFIDPQRLRHVLGTGMSAKMWEVTIEHARTCVLDKRMYLYYTPGSQQKYGVVFNVVGQVMGILSDCQYVRVDKLSETEKVDAQNLVIAAFQHPEEVISFDDEASLVDGSSHLSNLPYSSNSSRTENSNGSKLLASSPKMGGLDYAQPNVSSPDIISSIYSVGSISSLDDYSLHSIENMDLRYDQTLSFAGQVSNPLICDADAMTRAFCDEGHLRFFDTDLQSQNLSMEAPADLQSALDGFLLTRSTAIAVDKAQRRWTKISSVLKWFSVRRLVALKKTCVREIHRY >Manes.08G037800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3719098:3722427:-1 gene:Manes.08G037800.v8.1 transcript:Manes.08G037800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVLSPTSSPSRCRDLVKCGNCGCSCSLVASSTRGAWFRSVKRKYDEFEEGNRFYIPGFDLFSNPRVQIENECAALRETVSSQQQAIQDLQAELEEERNASSTAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMAHDQQEFLALEDLLYKREQAIQSLTCEVQAYKHRMLSYGLTEAEAEGEKGERSELSRNPSMNENLDALQFEFPAYNYPPLKCNLNENPIALEGDDDVDVEKYAFGEIPHARDHLKDLEYRINQMENSPSSSQLDGASSGSKNIPEKVIVGQSPRRPRDRMSSAENSGSFVGTSRETGPDLVTESQSFRLNNSFKKMDYVSQSEDSSNLRKLDNASDFGDDMSSDRVYTIDSVYNGVPYNCAAEPKAGAGICEDYVSTPREVSNRPDVSDPDIKKLYMRLQALEADRESMRHAIISMRTDKAQMVLLKEIAQHLSKEMSPERRMPVKKPSLGTFSFMSIFKIYVRAFSKQRWSVTATGQRASHKAMEMCYKYTSVKQIVKPPYPLHAEVHKCELIMDYGCVAIIFFAFFFWILSCYRV >Manes.08G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3719097:3722428:-1 gene:Manes.08G037800.v8.1 transcript:Manes.08G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVLSPTSSPSRCRDLVKCGNCGCSCSLVASSTRGAWFRSVKRKYDEFEEGNRFYIPGFDLFSNPRVQIENECAALRETVSSQQQAIQDLQAELEEERNASSTAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMAHDQQEFLALEDLLYKREQAIQSLTCEVQAYKHRMLSYGLTEAEAEGEKGERSELSRNPSMNENLDALQFEFPAYNYPPLKCNLNENPIALEGDDDVDVEKYAFGEIPHARDHLKDLEYRINQMENSPSSSQLDGASSGSKNIPEKVIVGQSPRRPRDRMSSAENSGSFVGTSRETGPDLVTESQSFRLNNSFKKMDYVSQSEDSSNLRKLDNASDFGDDMSSDRVYTIDSVYNGVPYNCAAEPKAGAGICEDYVSTPREVSNRPDVSDPDIKKLYMRLQALEADRESMRHAIISMRTDKAQMVLLKEIAQHLSKEMSPERRMPVKKPSLGTFSFMSIFKWVASFIFWRKKARRSKYMCGLSASNVGLLLLLDKGPRTRQWRCVTSTQV >Manes.08G037800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3718966:3722507:-1 gene:Manes.08G037800.v8.1 transcript:Manes.08G037800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVLSPTSSPSRCRDLVKCGNCGCSCSLVASSTRGAWFRSVKRKYDEFEEGNRFYIPGFDLFSNPRVQIENECAALRETVSSQQQAIQDLQAELEEERNASSTAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMAHDQQEFLALEDLLYKREQAIQSLTCEVQAYKHRMLSYGLTEAEAEGEKGERSELSRNPSMNENLDALQFEFPAYNYPPLKCNLNENPIALEGDDDVDVEKYAFGEIPHARDHLKDLEYRINQMENSPSSSQLDGASSGSKNIPEKVIVGQSPRRPRDRMSSAENSGSFVGTSRETGPDLVTESQSFRLNNSFKKMDYVSQSEDSSNLRKLDNASDFGDDMSSDRVYTIDSVYNGVPYNCAAEPKAGAGICEDYVSTPREVSNRPDVSDPDIKKLYMRLQALEADRESMRHAIISMRTDKAQMVLLKEIAQHLSKEMSPERRMPVKKPSLGTFSFMSIFKWVASFIFWRKKARRSKYMCGLSASNVGLLLLLDKGPRTRQWRCVTSTQV >Manes.10G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:744958:747335:1 gene:Manes.10G005700.v8.1 transcript:Manes.10G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERFDEIGKKIKRESDVSSQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPEGQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLQAELNAVRAEILKYKYREANILPSSHVALLSTGAVSVAAQSPSLPPPPTTLPPPPPPPPPPPPLSPLSSSSSIYTHHQATSATDYSTISNENVSFFG >Manes.05G174200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29046293:29052942:-1 gene:Manes.05G174200.v8.1 transcript:Manes.05G174200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDGTMRLGALNLRPDRSGLELGQDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKERRQALQRRAQEARVSNEEQEEMMRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHSYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTILLENEDISNQEGAIDAEGHSVSDRAPWSMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLLGYPPFCSDDPRITCRKIINWKTCLKFPEEPKISNEARDLICHLLCDVETRLGTGGVDELKAHPWFRCIQWDALYEMEAAYTPTVNGDLDTQNFEKFPDLEGPPSTIPSVGPWRKMLTSKDTNFIGFTFKKSDVLESLESSGADVKSNVSSKAPSLISLLGQIDLQEDVVPNGDQTLEA >Manes.05G174200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29046293:29052942:-1 gene:Manes.05G174200.v8.1 transcript:Manes.05G174200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDGTMRLGALNLRPDRSGLELGQDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKERRQALQRRAQEARVSNEEQEEMMRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHSYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTILLENEDISNQEGAIDAEGHSVSDRAPWSMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLLGYPPFCSDDPRITCRKIINWKTCLKFPEEPKISNEARDLICHLLCDVETRLGTGGVDELKAHPWFRCIQWDALYEMEAAYTPTVNGDLDTQNFEKFPDMLTSKDTNFIGFTFKKSDVLESLESSGADVKSNVSSKAPSLISLLGQIDLQEDVVPNGDQTLEA >Manes.05G174200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29046293:29052942:-1 gene:Manes.05G174200.v8.1 transcript:Manes.05G174200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDGTMRLGALNLRPDRSGLELGQDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKERRQALQRRAQEARVSNEEQEEMMRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHSYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTILLENEDISNQEGAIDAEGHSVSDRAPWSMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLLGYPPFCSDDPRITCRKIINWKTCLKFPEEPKISNEARDLICHLLCDVETRLGTGGVDELKAHPWFRCIQWDALYEMEAAYTPTVNGDLDTQNFEKFPDLEGPPSTIPSVGPWRKMLTSKDTNFIGFTFKKSDVLESLESSGADVKSNVSSKAPSLISLLGQIDLQEDVVPNGDQTLEA >Manes.05G174200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29046293:29052942:-1 gene:Manes.05G174200.v8.1 transcript:Manes.05G174200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDGTMRLGALNLRPDRSGLELGQDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKERRQALQRRAQEARVSNEEQEEMMRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHSYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTILLENEDISNQEGAIDAEGHSVSDRAPWSMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLLGYPPFCSDDPRITCRKIINWKTCLKFPEEPKISNEARDLICHLLCDVETRLGTGGVDELKAHPWFRCIQWDALYEMEAAYTPTVNGDLDTQNFEKFPDMLTSKDTNFIGFTFKKSDVLESLESSGADVKSNVSSKAPSLISLLGQIDLQEDVVPNGDQTLEA >Manes.05G174200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29046293:29052942:-1 gene:Manes.05G174200.v8.1 transcript:Manes.05G174200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGDGTMRLGALNLRPDRSGLELGQDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKERRQALQRRAQEARVSNEEQEEMMRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHSYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSTILLENEDISNQEGAIDAEGHSVSDRAPWSMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLLGYPPFCSDDPRITCRKIINWKTCLKFPEEPKISNEARDLICHLLCDVETRLGTGGVDELKAHPWFRCIQWDALYEMEAAYTPTVNGDLDTQNFEKFPDLEGPPSTIPSVGPWRKMLTSKDTNFIGFTFKKSDVLESLESSGNHFEAWQFYYGV >Manes.16G137500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33953545:33955720:-1 gene:Manes.16G137500.v8.1 transcript:Manes.16G137500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVMVLSPPKLNYSRMSASPWRRMMNTTSATRHFFKPLHCVPVEQLQLQDEQVDSIIMCEPCNGKGWLLCDFCKGLKTNVKAENKRIYRRCPSCRAIGYVICPKCKVFKCVTFPNYNDGEDAYL >Manes.09G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8485062:8486388:1 gene:Manes.09G050000.v8.1 transcript:Manes.09G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSSQTSNTKRLQGLVAIITGGASGIGECCAKVFVKQEAKVVIADIQDELGNSLCRQLGSEQTISYIHCDVTCDSDVQNAVDLAITRYGKLDIMFSNAGIAGKPELSILSTENEDFKRVFDVNVYGAFLAAKHAARVMVPAKKGCIIFTASMVSVNCPQAPHPYVASKHALVGLAKNLCVELGQYGIRVNSISPYVVITPQARKTLSMPVNEMEDVICAAANLKKAVLEGEDVAEAAVYLGSNASKYVSGINLVVDGGFSLTNPSFALAMKGLIS >Manes.07G069748.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14943874:14949657:1 gene:Manes.07G069748.v8.1 transcript:Manes.07G069748.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIPPRPISVHQVLGGGAVADLLLWKKWFASVTVLLTATTMWMLFERAGYNLLSFVANVLFLLVAILFIWAKSATLLNRPLPPLPDLEISEETIVKAADALQVYANHALSIARLIAIDRNLKLFLQVAIGLWVASFIGSLCNFLTLVYIGVLLSFSVPVLYDKYQHHIDEKLSVTHRIIQTQYRKIDDSLLKKIPLPSGKEKKFQ >Manes.07G069748.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14943999:14945676:1 gene:Manes.07G069748.v8.1 transcript:Manes.07G069748.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIPPRPISVHQVLGGGAVADLLLWKKWFASVTVLLTATTMWMLFERAGYNLLSFVANVLFLLVAILFIWAKSATLLNRPLPPLPDLEISEETIVKAADALQVYANHALSIARLIAIDRNLKLFLQVAIGLWVASFIGSLCNFLTLVYIGVLLSFSVPVLYDKYQHHIDEKLSVTHRIIQTQYRKIDDSLLKKIPLPSGKEKKFQ >Manes.17G119771.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:34686750:34687210:1 gene:Manes.17G119771.v8.1 transcript:Manes.17G119771.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDVCMCLRSWVLVLGCMEGLGGLKCTKAEFWMNSGSAAEGGFGCRTCLMMQRLAA >Manes.09G159600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35500767:35504139:-1 gene:Manes.09G159600.v8.1 transcript:Manes.09G159600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMEPSHTHSPHIAVIPSAGMGHLTPFLRLASLLLSRNCSVTLLTAKSTVSNAESRHISFFLATHPRVKHLEFDLIPPLQSSNSSAQDPFSIQYNAISRSAHLLHPLLSSSSPPLSAIFSDLLVAKTVACISADLLIPYYLVITSSARFLSFMLYLPAFVSNPDKFSSNAVEVEIPGSSPLPISSIPLPFLNPNHLLAETILANLGVVPEAKGIMVNTFDWFEAETIAALNNGIVLSNLPSVLPIGPLQAYEFDKDQSQCLPWLDDQPEKSVVYVSFGSRTAMSNDQIRELGYGLDKSGCRFLWVVKTSKVDKEEKESLEELLGESFLERIKNRGMVVKEWVNQDKILAHPAIGGFISHCGWNSVTEAAERGIPILAWPLHGDQGVNAELLQKAGLGIWEKTWGMGNERLVKQEKIGKKIVELMDDAELRSRASKVGREARKATELGGSSDKAIMGIIENLT >Manes.11G039188.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3734030:3734958:1 gene:Manes.11G039188.v8.1 transcript:Manes.11G039188.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERTSSTIGPKQYSFEELAAATGRFSRKNLLGEGGYGEVFKGFLDEHRAIKKLRIISSDEQRKKELEHEIKVINSVSHRNLVKLVGYCIEENDVLLVLEYFPNKCLKYNLHERKGRILVWQERMQIAKGLAKGLEYLHEDCNFRIIHQDIKPGNILLDDNFESKIADFGLALFLPDNATHVSQ >Manes.12G089500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13842508:13852841:-1 gene:Manes.12G089500.v8.1 transcript:Manes.12G089500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPNSASGSGTAREETSLKVPSKDPKKKDEKKDEDLSDEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAYYEIMADSDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGECAQEYAKRQTEESSIEDLMELVTQIVAFHMKHNAEPEAVDLLMEVEDLDLLIDHVDKTNFKRTCLYLTSAARYLPGPDDMLVLDIAYMIYLKYEEYSNALQIALFLDNMQYVRQVFTVCDDLLRKKQFCYIVARHGITFELDDEMAADDEDREALQEIINNTKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSDSSSTGTPGNWLFKNKEHGKASAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGIKNDCDPALALLGDYIDKEDSSIRIGAIMGLGIAYAGSQNEQIRSKLSPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSELELQEPLTRFLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVSMAEELGLEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLTTMLHACLDMKAIILGKYHYVLYFLVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKENPEYREDH >Manes.12G089500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13841020:13852840:-1 gene:Manes.12G089500.v8.1 transcript:Manes.12G089500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPNSASGSGTAREETSLKVPSKDPKKKDEKKDEDLSDEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKAYYEIMADSDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGECAQEYAKRQTEESSIEDLMELVTQIVAFHMKHNAEPEAVDLLMEVEDLDLLIDHVDKTNFKRTCLYLTSAARYLPGPDDMLVLDIAYMIYLKYEEYSNALQIALFLDNMQYVRQVFTVCDDLLRKKQFCYIVARHGITFELDDEMAADDEDREALQEIINNTKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTVPSDSSSTGTPGNWLFKNKEHGKASAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGIKNDCDPALALLGDYIDKEDSSIRIGAIMGLGIAYAGSQNEQIRSKLSPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSELELQEPLTRFLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAQHLEKGETHQGPAVLGIAMVSMAEELGLEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLTTMLHACLDMKAIILGKYHYVLYFLVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKENPEYREDH >Manes.01G029700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5944385:5945992:1 gene:Manes.01G029700.v8.1 transcript:Manes.01G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAARSSSRRCLMLLEKCKSMKQLRQAHAQAITCGLANNSFALSRIIAFCSDPRYGSLNHAWKLFQHVQQPTLCICNTMIRAFLLKDELTNVFNLYSTMLQNGMSPDNYTLPCVLKACSKLQSCFHGELLHGHCLKLGFVINTVVGNSLMSMYCGFSNVKAARYVFDEMPSPCAVSWTLMISGCAKVGDIDSARLFFDGAPEKDRGIWGAMISGYVQNNCFKECLYMFRLMQMTDMVPDEGIFLSILCACAQLGALDTGIWIHRYLDRIGQPLSIRLTTSLIDMYAKCGNLDLAKKLFDEMPQRDTVCWNAMISGLAMHGDGEGALKLFWEMQEAGFKPDDVTLMAVFSACSYSGRAHEGLRILDRMCNVHNIEPKSEHYGCMVDLLSRAGLLQEAKEIIQRMPNSRSSSEEAIAWRALLSACCNQGQAQLAEVAAERLLQLELHSGAYVLLSNLYATAGKHNDAKRIKKMMRNKGVNKAPGCSSIKIDGIVHEFVAGEKTHKQMEEIESVLEKMKKQLNYLGCNPYPFLVDQT >Manes.S028316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:537699:538511:-1 gene:Manes.S028316.v8.1 transcript:Manes.S028316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.04G079001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28203805:28204104:1 gene:Manes.04G079001.v8.1 transcript:Manes.04G079001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILSAKKILQRSPSASQTAPVAMEVPKGYLAVYVGEKPMKRFVIPVSYLNAPSFQDLLIQAEEEFGYDHPMGGLTIPCSENMFIDVISGLNCS >Manes.10G075700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14129350:14136813:-1 gene:Manes.10G075700.v8.1 transcript:Manes.10G075700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDWVLVEPLTGNTIQEPTWMDSEIPRPLKVTFREPAKYWTDAIPIGNGRLGAMIWGGISSEIIQLNEDTLWTGIPSDFTNPDAPEALSVVRKFVDDGQYAEASAAAVKLFGKPADVYQLLGDIKLEFDDSHLTYAEETYYRELDLDTATAKVKYSVGDIEFTREYFASYPDQVIVVRLSASRSGSLSFKLSLDSMLDHRCYVNAESEIIMEGSCPGKRIPPKVEASDSPKGIQFSAVLDLQISDGIGAVHVLGNKMLKVEGSDWAVLLLVASSSFESPFIKPSDSRKDPTSESLRALKSIKNLSYSDLYARHLDDYQNLFHRVLFQLCKSSDLVMNNLRPSTDASYLKENKGDLVPTVERIKSFQFDEDPSLVELLFQFGRYLLISCSRPGTQVANLQGIWNKDLQPSWDSAPHLNINLEMNYWLSLPCNLSECQEPLFDFIKSLSTNGSKTARVNYEKCGWVVHHKSDIWAKSSADRENAVWALWPMGGAWLCTHLWEHYNYSMDKDFLKTEAYPLLEGCVSFLLDWLVERPEGYLETNPSTSPEHMFIAPDGKPASVSYSSTMDMAIIKEVFSSIVAASEILDRQNDDLVQKVRQAQPNLRPTQIAEDGSIMEWGIQ >Manes.10G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14129350:14136813:-1 gene:Manes.10G075700.v8.1 transcript:Manes.10G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDWVLVEPLTGNTIQEPTWMDSEIPRPLKVTFREPAKYWTDAIPIGNGRLGAMIWGGISSEIIQLNEDTLWTGIPSDFTNPDAPEALSVVRKFVDDGQYAEASAAAVKLFGKPADVYQLLGDIKLEFDDSHLTYAEETYYRELDLDTATAKVKYSVGDIEFTREYFASYPDQVIVVRLSASRSGSLSFKLSLDSMLDHRCYVNAESEIIMEGSCPGKRIPPKVEASDSPKGIQFSAVLDLQISDGIGAVHVLGNKMLKVEGSDWAVLLLVASSSFESPFIKPSDSRKDPTSESLRALKSIKNLSYSDLYARHLDDYQNLFHRVLFQLCKSSDLVMNNLRPSTDASYLKENKGDLVPTVERIKSFQFDEDPSLVELLFQFGRYLLISCSRPGTQVANLQGIWNKDLQPSWDSAPHLNINLEMNYWLSLPCNLSECQEPLFDFIKSLSTNGSKTARVNYEKCGWVVHHKSDIWAKSSADRENAVWALWPMGGAWLCTHLWEHYNYSMDKDFLKTEAYPLLEGCVSFLLDWLVERPEGYLETNPSTSPEHMFIAPDGKPASVSYSSTMDMAIIKEVFSSIVAASEILDRQNDDLVQKVRQAQPNLRPTQIAEDGSIMEWAQDFRDPEVHHRHLSHLFGLFPGHTITIEKNPDLCKAAENSLYKRGEDGPGWSTAWKSALWAHLHNSEHAYRMIKHLIKLVDPDHEVAFEGGLYSNLFAAHPPFQIDANFGFTAAVAEMVMQSTLKDLYLLPALPRDKWANGCVKGLKACGGLTVNISWKEGDLHEFGVWSKVESCAQRLHYRGITVKTSISPGKVYTFNAQLKCVKTSSLS >Manes.S043516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1302030:1302842:1 gene:Manes.S043516.v8.1 transcript:Manes.S043516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.02G127000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9629549:9632960:-1 gene:Manes.02G127000.v8.1 transcript:Manes.02G127000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGVPILQLQHRKNIEMAIANEENAVLPVTAQLTCNSICAFSKHENDTFELEERSKSTMKLSGLIIFYLIVMAVEIIGGLKANSLAVITDAAHLLTDVAGFCISLFTVWASGWKATSQHSFGFSRLEVLGALLSVQLIWVISGILVYEAIDRILHKNSEVNGGLMFAIAAFGFIINLIMIIWLGHDHSHHAFHDHDHDHNHDHDHNHDDHDHNHDHNHDHDHDHDHDHDHDHDHDHDHIHNHEVDELCAVNEGEGAKLVPNSPPKRKILNINIQGAYLHVMADLIQSVGVMVAGAIIWAKPDWLVVDLISTLVFSAFVLFSTIPMLMNIFSILMEKTPHDINVDRVESGLKCVQGVQDIHDLHVWAITLGKLVLSCHVVAEPGASSTELLNRIRDYCEKTYKIHHVTVQIE >Manes.02G127000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9629789:9632357:-1 gene:Manes.02G127000.v8.1 transcript:Manes.02G127000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGVPILQLQHRKNIEMAIANEENAVLPVTAQLTCNSICAFSKHENDTFELEERSKSTMKLSGLIIFYLIVMAVEIIGGLKANSLAVITDAAHLLTDVAGFCISLFTVWASGWKATSQHSFGFSRLEVLGALLSVQLIWVISGILVYEAIDRILHKNSEVNGGLMFAIAAFGFIINLIMIIWLGHDHSHHAFHDHDHDHNHDHDHNHDDHDHNHDHNHDHDHDHDHDHDHDHDHDHDHIHNHEVDELCAVNEGEGAKLVPNSPPKRKILNINIQGAYLHVMADLIQSVGVMVAGAIIWAKPDWLVVDLISTLVFSAFVLFSTIPMLMNIFSILMEKTPHDINVDRVESGLKCVQGVQDIHDLHVWAITLGKLVLSCHVVAEPGASSTELLNRIRDYCEKTYKIHHVTVQIE >Manes.17G114900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32253353:32256232:1 gene:Manes.17G114900.v8.1 transcript:Manes.17G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSSLPVDDEQKATKFHPLSLSSPHMRAFHLSWLNLFSCFFSTFSIPPLLPVIRDNLNLTDTDIGHAGIASFVGSIFSRLAMGPLCDLLGPRITSATLSLVTAPIIISTYFISSPSSFILVRFLVGFSLANFVANQFWMSCMFSGCVVGLANGVSAGWANMGAGVAHLVMPQIYFFITNSLHVSSFTAWRVSFVVPGIFQALTAIMVLAYGQDLPSGDYKYSKRAQKQQKQSFLAVLVNGLGNYRGWILGLTYGFSFGSELTTDNIIAQYFYDRFGVNLRVAGLIAASFGLANFFSRPMGGVLSDKMAKRFGIRGRLWGLWIVQTTSGLLCLFLGQVSSLWSSVAVMCLFSVFVQAASGLTFGVVPFVSVRSLGVISGMTGSGGTVGAAVTQLLLFSGSKFSKQTSISLMGLMITIFSLPVTFIYFPKSGGMFCGPSANINSAAEDAEDYHLLV >Manes.11G152188.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31935458:31936186:-1 gene:Manes.11G152188.v8.1 transcript:Manes.11G152188.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPQEPPSPRYFSCSSFKDINAILLEEQNGSKSQPQTPRRPSIFHRASPLHGHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILRGFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNWRRMST >Manes.15G085450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6569390:6570387:1 gene:Manes.15G085450.v8.1 transcript:Manes.15G085450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRKQNCNFFKVSLSLSLCLCSEEESVHGIDANQIITFPSGSPSTGYLIEIFCIISNLHASSIAARPY >Manes.18G090301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8116470:8117898:1 gene:Manes.18G090301.v8.1 transcript:Manes.18G090301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAVEGDKYRSHLHGEEEKNTKWRFGGPPNYDTVNKLFEEGRTKVWPPGSLEEQVQNLVKTWEMEMFHKTCFDDYKSIDPKKFTFGLNGRKPVTLEGITRLGGGYNIFMQTSLPVKLRAYDPEKETVDTAHVAFTTAFPRGFAFEVLQVYSGPPVIVYKFRHWAYMEGPFKGYAPTGELVELYGLSTVEVDEDMKIGKVELFFNGGELLGGLMRGGTMDDSTADAASSCPLLKSA >Manes.05G004300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:358199:360374:1 gene:Manes.05G004300.v8.1 transcript:Manes.05G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSPCSPKLDSRVSDLKSETQASKRRKAVEKTVVTVRIGANAGKEKHEGPPSDFWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCRTDASVLIITYTSTHNHTGPDLHNTNLNQQLKESQTESTYEPHPTTPKQEQQEEDNQNENHPIVAISSKDDNEGHNFHYLQSSINCSDDIMVNQEDPFTQNPAEKTDDTLTILLDEEPISCPRMMTFATPKSEENDFFDELEELPTYSAFTSFMRGNFYDERIPVVPS >Manes.04G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33243469:33244700:1 gene:Manes.04G130500.v8.1 transcript:Manes.04G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPETSEIDGTTQHSAPQPAEVAPQTWHQVGENIQPGILHQDYSSTAPVVLDNNIVLGHPWTTGLFDCHEHQTNAIMTAFFPCVTFGQIVEVLDEGQLTCPLGSLIYVIMMPSLCFQWIMGSKYREKLRRIYNLVEAPYSDVISHFFCPYCSLCQEFRELRNRGLDPALGWNGILAQQQGRHYHHEQVNVPPPGQVMSR >Manes.04G130500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33243469:33244700:1 gene:Manes.04G130500.v8.1 transcript:Manes.04G130500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPETSEIDGTTQHSAPQPAEVAPQTWHQVGENIQPGILHQDYSSTAPVVLDNNIVLGHPWTTGLFDCHEHQTNACPLGSLIYVIMMPSLCFQWIMGSKYREKLRRIYNLVEAPYSDVISHFFCPYCSLCQEFRELRNRGLDPALGWNGILAQQQGRHYHHEQVNVPPPGQVMSR >Manes.10G031400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3184223:3187205:1 gene:Manes.10G031400.v8.1 transcript:Manes.10G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVIPGPGDKPMIVVSYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYADNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKISSKLDASDKKKIEDAIEQAIQWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGGMDDDAPPARGSSGAGPKIEEVD >Manes.08G057000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6403675:6405875:-1 gene:Manes.08G057000.v8.1 transcript:Manes.08G057000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDAISTKEMQATKVVKPEADQASGSHDPKEDEASGSHFQPFEETQKLSIVIHGKPNTFGVTPERLESLKQAADQPAKPRIQRVPFMLRENEDFKQYYEPRVVAIGPIHHGKSKIFDYSETIKHRLAGKFMEEHGIDVHVLYDKIMANLEKLKLCYAEDVMKEKNYSDDELTWMFLVDGCSMLHFIDCIVKDDSKLEKLNIKKDQMAFAQQDMFLLENQLPYELLELLMNSVVQITEKEAIKSSISSFICNNLMTMKTSPGGKSGKNTLVTSGEEKPTCHLLELLRKELINFKSDKAVRSDETHSFRNVKELIGSGILLEPTGNTSLAISFQQSCFTGTLKLPSLIVDDSTGPKFLNLIAYETCPDFMNDFQVTSYICFLDSLIDHPEDVQELRKAKILTNVLGSDKEVAELFNKIGKDLVPNPDLYVKVKDDMEKHYKSTWKSYTAEAYNTYFTSPWSFLALIGALLALFFSAVQAYFSLPSEK >Manes.04G122800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32429954:32434885:1 gene:Manes.04G122800.v8.1 transcript:Manes.04G122800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSHRVEDFSCHETSLRITTEAVMPPMEIHSVCLPPQQTTLQKLKLRLGEIFFPDDPLYRFKNQTLRKKLLLGLQFLFPIFQWGPQYSLRLFRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSILGSSRHLGVGPVSIASLVMGSMLSEAVSPTEDQILYLKLAFTATFFAGVFQASLGLLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTSKMQFVPVMSSVFNNRDEWSWQTIVIGFSFLVFLLTTRHISMKNPKLFWVSAAAPLTSVIISTLLVFCLKSKIHQVSIIGHLPKGLNPPSANMLYFNGSYLEVAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGFMNIAGSCSSCYVTTGSFSRSAVNYNAGAQTAVSNIVMASAVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYQAAYQLWKVDKLDFLACLSSFFGVLFISVPLGLAIAVGVSVFKILLHVTRPNTVVMGNIPGTHIYQSLSRYREALRVPSFLILAVESPIYFANSTYLQERILRWVREEEEMIKANNGNALKCIILDMTAVTAIDTSGIELVCELRKIMEKRSLQLVLANPVGSVMEKLHQSKVLESFGLNGLYLTVGEAIADISAVWKSQP >Manes.04G122800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32430014:32434885:1 gene:Manes.04G122800.v8.1 transcript:Manes.04G122800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSHRVEDFSCHETSLRITTEAVMPPMEIHSVCLPPQQTTLQKLKLRLGEIFFPDDPLYRFKNQTLRKKLLLGLQFLFPIFQWGPQYSLRLFRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSILGSSRHLGVGPVSIASLVMGSMLSEAVSPTEDQILYLKLAFTATFFAGVFQASLGLLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTSKMQFVPVMSSVFNNRDEWSWQTIVIGFSFLVFLLTTRHISMKNPKLFWVSAAAPLTSVIISTLLVFCLKSKIHQVSIIGHLPKGLNPPSANMLYFNGSYLEVAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGFMNIAGSCSSCYVTTGSFSRSAVNYNAGAQTAVSNIVMASAVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYQAAYQLWKVDKLDFLACLSSFFGVLFISVPLGLAIAVGVSVFKILLHVTRPNTVVMGNIPGTHIYQSLSRYREALRVPSFLILAVESPIYFANSTYLQERILRWVREEEEMIKANNGNALKCIILDMTAVTAIDTSGIELVCELRKIMEKRSLQLVLANPVGSVMEKLHQSKVLESFGLNGLYLTVGEAIADISAVWKSQP >Manes.04G122800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32429954:32434885:1 gene:Manes.04G122800.v8.1 transcript:Manes.04G122800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSHRVEDFSCHETSLRITTEAVMPPMEIHSVCLPPQQTTLQKLKLRLGEIFFPDDPLYRFKNQTLRKKLLLGLQFLFPIFQWGPQYSLRLFRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSILGSSRHLGVGPVSIASLVMGSMLSEAVSPTEDQILYLKLAFTATFFAGVFQASLGLLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTSKMQFVPVMSSVFNNRDEWSWQTIVIGFSFLVFLLTTRHISMKNPKLFWVSAAAPLTSVIISTLLVFCLKSKIHQVSIIGHLPKGLNPPSANMLYFNGSYLEVAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGFMNIAGSCSSCYVTTGSFSRSAVNYNAGAQTAVSNIVMASAVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYQAAYQLWKVDKLDFLACLSSFFGVLFISVPLGLAIAVGVSVFKILLHVTRPNTVVMGNIPGTHIYQSLSRYREALRVPSFLILAVESPIYFANSTYLQERILRWVREEEEMIKANNGNALKCIILDMTAVTAIDTSGIELVCELRKIMEKRSLQLVLANPVGSVMEKLHQSKVLESFGLNGLYLTVGEAIADISAVWKSQP >Manes.12G040200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3538948:3546375:1 gene:Manes.12G040200.v8.1 transcript:Manes.12G040200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKMDQHKEAMLGKKRNRQTMLINIDEVKQAGPMKSSTPRRQPTTIRTVKEVRTVLPPVEHVGEKHVNPMIKDQKQVDLSCNEGGTSLESCQAKNESNGDVSSGQQVKPRRLNSDADFSGEGYPPPIPRQSSWKQPSDLRQPKNSQYPNRKPALMSQSSIDSKLGNKKHLPAKKPALVSTPYQDTSVERLIREVTNEKFWHHPEESELQCVPGRFESVEEYVKVFEPLLFEECRAQLYSTWEELTETNAHVMVRVKSIERRERGWYDVVVLPVSEYKFTFKEGDVAVLSTPRPGTVRSKRSNTSSNENDDEPEISGRVAGTVRRHIPLDTRDPPGAILHFFVGDSYDPYSKADEDHILRKLQPRGTWYLTVLGSLATTQREYVALHAFCRLNPQMQTAILKPSPEHFPKYEQQTPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSSGMTKRQDPWPFTLVQGPPGTGSIDEVLHNMDQNLFHSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEVSKWMQDLRGQEAYFSGQIADLQNKLTMAAADGRFQGSVGVDPDVLMARDQNRDALLQNLAAAVESRDKVLIEISRLLILEARFRGGSNFNLDEARSSLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAPRCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRHFYQGRLTDSESVVNLPDEIYYKDPLLRPYVFYDVTYGRESHRGGSVSYQNIHEAQLCLQLYEHLQKTLKSFGLGRISVGIITPYKLQLKCLQHEFSAILKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALIDDAKARNCYMDMDSLPKEFLVAKGMQGKSINTRGSRLGGPRHRSVDIHVESRSGTPSEDDDSSGAPAISRNGSYRPFKPLMDNSLDDFDQSGDKSRDAWHYGVQKKQSFSGIKRDS >Manes.12G040200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3538393:3546375:1 gene:Manes.12G040200.v8.1 transcript:Manes.12G040200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRPLFDLNEPPAEDDEEADRVICMQPQKALPSINPNPSDLFAESVVPQGIKICNAFSHASSGSGFQPFVRSKVDHGPEAGSEQKQTQDQNSKLASSLKSNYGDDAKTSSSLVSGSAVPVAVEREEGEWSDREGSADASAGNCLYELGKASQDQGKSELMQCSASGMGFENSSGSTRVTDNNRFEISGHASQVLDQDLNDQKSNSTRNSDSNVNGHASTDVQEETALVPKAREVKGVEANHALKCANNMGKRKMDQHKEAMLGKKRNRQTMLINIDEVKQAGPMKSSTPRRQPTTIRTVKEVRTVLPPVEHVGEKHVNPMIKDQKQVDLSCNEGGTSLESCQAKNESNGDVSSGQQVKPRRLNSDADFSGEGYPPPIPRQSSWKQPSDLRQPKNSQYPNRKPALMSQSSIDSKLGNKKHLPAKKPALVSTPYQDTSVERLIREVTNEKFWHHPEESELQCVPGRFESVEEYVKVFEPLLFEECRAQLYSTWEELTETNAHVMVRVKSIERRERGWYDVVVLPVSEYKFTFKEGDVAVLSTPRPGTVRSKRSNTSSNENDDEPEISGRVAGTVRRHIPLDTRDPPGAILHFFVGDSYDPYSKADEDHILRKLQPRGTWYLTVLGSLATTQREYVALHAFCRLNPQMQTAILKPSPEHFPKYEQQTPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSSGMTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPHSYKQANESNSNNITIGSIDEVLHNMDQNLFHSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEVSKWMQDLRGQEAYFSGQIADLQNKLTMAAADGRFQGSVGVDPDVLMARDQNRDALLQNLAAAVESRDKVLIEISRLLILEARFRGGSNFNLDEARSSLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAPRCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRHFYQGRLTDSESVVNLPDEIYYKDPLLRPYVFYDVTYGRESHRGGSVSYQNIHEAQLCLQLYEHLQKTLKSFGLGRISVGIITPYKLQLKCLQHEFSAILKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALIDDAKARNCYMDMDSLPKEFLVAKGMQGKSINTRGSRLGGPRHRSVDIHVESRSGTPSEDDDSSGAPAISRNGSYRPFKPLMDNSLDDFDQSGDKSRDAWHYGVQKKQSFSGIKRDS >Manes.12G040200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3538227:3546375:1 gene:Manes.12G040200.v8.1 transcript:Manes.12G040200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRPLFDLNEPPAEDDEEADRVICMQPQKALPSINPNPSDLFAESVVPQGIKICNAFSHASSGSGFQPFVRSKVDHGPEAGSEQKQTQDQNSKLASSLKSNYGDDAKTSSSLVSGSAVPVAVEREEGEWSDREGSADASAGNCLYELGKASQDQGKSELMQCSASGMGFENSSGSTRVTDNNRFEISGHASQVLDQDLNDQKSNSTRNSDSNVNGHASTDVQEETALVPKAREVKGVEANHALKCANNMGKRKMDQHKEAMLGKKRNRQTMLINIDEVKQAGPMKSSTPRRQPTTIRTVKEVRTVLPPVEHVGEKHVNPMIKDQKQVDLSCNEGGTSLESCQAKNESNGDVSSGQQVKPRRLNSDADFSGEGYPPPIPRQSSWKQPSDLRQPKNSQYPNRKPALMSQSSIDSKLGNKKHLPAKKPALVSTPYQDTSVERLIREVTNEKFWHHPEESELQCVPGRFESVEEYVKVFEPLLFEECRAQLYSTWEELTETNAHVMVRVKSIERRERGWYDVVVLPVSEYKFTFKEGDVAVLSTPRPGTVRSKRSNTSSNENDDEPEISGRVAGTVRRHIPLDTRDPPGAILHFFVGDSYDPYSKADEDHILRKLQPRGTWYLTVLGSLATTQREYVALHAFCRLNPQMQTAILKPSPEHFPKYEQQTPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSSGMTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPHSYKQANESNSNNITIGSIDEVLHNMDQNLFHSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEVSKWMQDLRGQEAYFSGQIADLQNKLTMAAADGRFQGSVGVDPDVLMARDQNRDALLQNLAAAVESRDKVLIEISRLLILEARFRGGSNFNLDEARSSLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAPRCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRHFYQGRLTDSESVVNLPDEIYYKDPLLRPYVFYDVTYGRESHRGGSVSYQNIHEAQLCLQLYEHLQKTLKSFGLGRISVGIITPYKLQLKCLQHEFSAILKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALIDDAKARNCYMDMDSLPKEFLVAKGMQGKSINTRGSRLGGPRHRSVDIHVESRSGTPSEDDDSSGAPAISRNGSYRPFKPLMDNSLDDFDQSGDKSRDAWHYGVQKKQSFSGIKRDS >Manes.12G040200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3538393:3546463:1 gene:Manes.12G040200.v8.1 transcript:Manes.12G040200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRPLFDLNEPPAEDDEEADRVICMQPQKALPSINPNPSDLFAESVVPQGIKICNAFSHASSGSGFQPFVRSKVDHGPEAGSEQKQTQDQNSKLASSLKSNYGDDAKTSSSLVSGSAVPVAVEREEGEWSDREGSADASAGNCLYELGKASQDQGKSELMQCSASGMGFENSSGSTRVTDNNRFEISGHASQVLDQDLNDQKSNSTRNSDSNVNGHASTDVQEETALVPKAREVKGVEANHALKCANNMGKRKMDQHKEAMLGKKRNRQTMLINIDEVKQAGPMKSSTPRRQPTTIRTVKEVRTVLPPVEHVGEKHVNPMIKDQKQVDLSCNEGGTSLESCQAKNESNGDVSSGQQVKPRRLNSDADFSGEGYPPPIPRQSSWKQPSDLRQPKNSQYPNRKPALMSQSSIDSKLGNKKHLPAKKPALVSTPYQDTSVERLIREVTNEKFWHHPEESELQCVPGRFESVEEYVKVFEPLLFEECRAQLYSTWEELTETNAHVMVRVKSIERRERGWYDVVVLPVSEYKFTFKEGDVAVLSTPRPGTVRSKRSNTSSNENDDEPEISGRVAGTVRRHIPLDTRDPPGAILHFFVGDSYDPYSKADEDHILRKLQPRGTWYLTVLGSLATTQREYVALHAFCRLNPQMQTAILKPSPEHFPKYEQQTPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSSGMTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPHSYKQANESNSNNITIGSIDEVLHNMDQNLFHSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEVSKWMQDLRGQEAYFSGQIADLQNKLTMAAADGRFQGSVGVDPDVLMARDQNRDALLQNLAAAVESRDKVLIEISRLLILEARFRGGSNFNLDEARSSLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAPRCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRHFYQGRLTDSESVVNLPDEIYYKDPLLRPYVFYDVTYGRESHRGGSVSYQNIHEAQLCLQLYEHLQKTLKSFGLGRISVGIITPYKLQLKCLQHEFSAILKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALIDDAKARNCYMDMDSLPKEFLVAKGMQGKSINTRGSRLGGPRHRSVDIHVESRSGTPSEDDDSSGAPAISRNGSYRPFKPLMDNSLDDFDQSGDKSRDAWHYGVQKKQSFSGIKRDS >Manes.12G040200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3538227:3546375:1 gene:Manes.12G040200.v8.1 transcript:Manes.12G040200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRPLFDLNEPPAEDDEEADRVICMQPQKALPSINPNPSDLFAESVVPQGIKICNAFSHASSGSGFQPFVRSKVDHGPEAGSEQKQTQDQNSKLASSLKSNYGDDAKTSSSLVSGSAVPVAVEREEGEWSDREGSADASAGNCLYELGKASQDQGKSELMQCSASGMGFENSSGSTRVTDNNRFEISGHASQVLDQDLNDQKSNSTRNSDSNVNGHASTDVQEETALVPKAREVKGVEANHALKCANNMGKRKMDQHKEAMLGKKRNRQTMLINIDEVKQAGPMKSSTPRRQPTTIRTVKEVRTVLPPVEHVGEKHVNPMIKDQKQVDLSCNEGGTSLESCQAKNESNGDVSSGQQVKPRRLNSDADFSGEGYPPPIPRQSSWKQPSDLRQPKNSQYPNRKPALMSQSSIDSKLGNKKHLPAKKPALVSTPYQDTSVERLIREVTNEKFWHHPEESELQCVPGRFESVEEYVKVFEPLLFEECRAQLYSTWEELTETNAHVMVRVKSIERRERGWYDVVVLPVSEYKFTFKEGDVAVLSTPRPGTVRSKRSNTSSNENDDEPEISGRVAGTVRRHIPLDTRDPPGAILHFFVGDSYDPYSKADEDHILRKLQPRGTWYLTVLGSLATTQREYVALHAFCRLNPQMQTAILKPSPEHFPKYEQQTPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSSGMTKRQDPWPFTLVQGPPGTGSIDEVLHNMDQNLFHSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEVSKWMQDLRGQEAYFSGQIADLQNKLTMAAADGRFQGSVGVDPDVLMARDQNRDALLQNLAAAVESRDKVLIEISRLLILEARFRGGSNFNLDEARSSLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAPRCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRHFYQGRLTDSESVVNLPDEIYYKDPLLRPYVFYDVTYGRESHRGGSVSYQNIHEAQLCLQLYEHLQKTLKSFGLGRISVGIITPYKLQLKCLQHEFSAILKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALIDDAKARNCYMDMDSLPKEFLVAKGMQGKSINTRGSRLGGPRHRSVDIHVESRSGTPSEDDDSSGAPAISRNGSYRPFKPLMDNSLDDFDQSGDKSRDAWHYGVQKKQSFSGIKRDS >Manes.12G040200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3538393:3546375:1 gene:Manes.12G040200.v8.1 transcript:Manes.12G040200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRPLFDLNEPPAEDDEEADRVICMQPQKALPSINPNPSDLFAESVVPQGIKICNAFSHASSGSGFQPFVRSKVDHGPEAGSEQKQTQDQNSKLASSLKSNYGDDAKTSSSLVSGSAVPVAVEREEGEWSDREGSADASAGNCLYELGKASQDQGKSELMQCSASGMGFENSSGSTRVTDNNRFEISGHASQVLDQDLNDQKSNSTRNSDSNVNGHASTDVQEETALVPKAREVKGVEANHALKCANNMGKRKMDQHKEAMLGKKRNRQTMLINIDEVKQAGPMKSSTPRRQPTTIRTVKEVRTVLPPVEHVGEKHVNPMIKDQKQVDLSCNEGGTSLESCQAKNESNGDVSSGQQVKPRRLNSDADFSGEGYPPPIPRQSSWKQPSDLRQPKNSQYPNRKPALMSQSSIDSKLGNKKHLPAKKPALVSTPYQDTSVERLIREVTNEKFWHHPEESELQCVPGRFESVEEYVKVFEPLLFEECRAQLYSTWEELTETNAHVMVRVKSIERRERGWYDVVVLPVSEYKFTFKEGDVAVLSTPRPGTVRSKRSNTSSNENDDEPEISGRVAGTVRRHIPLDTRDPPGAILHFFVGDSYDPYSKADEDHILRKLQPRGTWYLTVLGSLATTQREYVALHAFCRLNPQMQTAILKPSPEHFPKYEQQTPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSSGMTKRQDPWPFTLVQGPPGTGSIDEVLHNMDQNLFHSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEVSKWMQDLRGQEAYFSGQIADLQNKLTMAAADGRFQGSVGVDPDVLMARDQNRDALLQNLAAAVESRDKVLIEISRLLILEARFRGGSNFNLDEARSSLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAPRCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRHFYQGRLTDSESVVNLPDEIYYKDPLLRPYVFYDVTYGRESHRGGSVSYQNIHEAQLCLQLYEHLQKTLKSFGLGRISVGIITPYKLQLKCLQHEFSAILKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALIDDAKARNCYMDMDSLPKEFLVAKGMQGKSINTRGSRLGGPRHRSVDIHVESRSGTPSEDDDSSGAPAISRNGSYRPFKPLMDNSLDDFDQSGDKSRDAWHYGVQKKQSFSGIKRDS >Manes.12G040200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3538908:3546463:1 gene:Manes.12G040200.v8.1 transcript:Manes.12G040200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRPLFDLNEPPAEDDEEADRVICMQPQKALPSINPNPSDLFAESVVPQGIKICNAFSHASSGSGFQPFVRSKVDHGPEAGSEQKQTQDQNSKLASSLKSNYGDDAKTSSSLVSGSAVPVAVEREEGEWSDREGSADASAGNCLYELGKASQDQGKSELMQCSASGMGFENSSGSTRVTDNNRFEISGHASQVLDQDLNDQKSNSTRNSDSNVNGHASTDVQEETALVPKAREVKGVEANHALKCANNMGKRKMDQHKEAMLGKKRNRQTMLINIDEVKQAGPMKSSTPRRQPTTIRTVKEVRTVLPPVEHVGEKHVNPMIKDQKQVDLSCNEGGTSLESCQAKNESNGDVSSGQQVKPRRLNSDADFSGEGYPPPIPRQSSWKQPSDLRQPKNSQYPNRKPALMSQSSIDSKLGNKKHLPAKKPALVSTPYQDTSVERLIREVTNEKFWHHPEESELQCVPGRFESVEEYVKVFEPLLFEECRAQLYSTWEELTETNAHVMVRVKSIERRERGWYDVVVLPVSEYKFTFKEGDVAVLSTPRPGTVRSKRSNTSSNENDDEPEISGRVAGTVRRHIPLDTRDPPGAILHFFVGDSYDPYSKADEDHILRKLQPRGTWYLTVLGSLATTQREYVALHAFCRLNPQMQTAILKPSPEHFPKYEQQTPAMPECFTQNFVDHLHRTFNGPQLAAIQWAAMHTAAGTSSGMTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPHSYKQANESNSNNITIGSIDEVLHNMDQNLFHSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEVSKWMQDLRGQEAYFSGQIADLQNKLTMAAADGRFQGSVGVDPDVLMARDQNRDALLQNLAAAVESRDKVLIEISRLLILEARFRGGSNFNLDEARSSLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAPRCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRHFYQGRLTDSESVVNLPDEIYYKDPLLRPYVFYDVTYGRESHRGGSVSYQNIHEAQLCLQLYEHLQKTLKSFGLGRISVGIITPYKLQLKCLQHEFSAILKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALIDDAKARNCYMDMDSLPKEFLVAKGMQGKSINTRGSRLGGPRHRSVDIHVESRSGTPSEDDDSSGAPAISRNGSYRPFKPLMDNSLDDFDQSGDKSRDAWHYGVQKKQSFSGIKRDS >Manes.12G099198.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25086464:25087072:1 gene:Manes.12G099198.v8.1 transcript:Manes.12G099198.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLESFLHGFSFLITHACNRLRWERYLIGDLSAALEVELVLSEGTTMLATGCDGGDLQLVSYRADDCCKGRK >Manes.14G032100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2885188:2886717:-1 gene:Manes.14G032100.v8.1 transcript:Manes.14G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSRQSSPEPYIRRPKFPPDNVLSRKSSFISLNSILAHSYFTQSRARLLLSIFSVQIILLLALRSIPLSFPHRRFHFRSPYIAHLDANVSTDTVINISPNTVKIEDHECGSGRIFVYDLPSRFNTDLIRNCDELNPWSSRCDALANDGFGQKATGLSPLVPKNLAPAWYWTDQFVSEIIFHNRILKHNCRTTEPNNATAFYIPFYAGLAVGKFLWNNYTAKDRDRHCEMMLSWVQDQPYYKKSNGWDHFITMGRISWDFRRSKDRDWGSSCIYSRGMRNITRLLIERNPWDYFDVGVPYPTGFHPRSDSDILQWQEFVRNRNRTKLFCFAGAKRGAIENDFRGLLLSHCQNESESCRVVDCAGTRCSNGTSVILETFLDSHFCLQPRGDSFSRRSIFDCMIAGSIPVFFWKRTAYYQYEWFLPGEPESYSVFIHRNEVKNGTSIRKVLESYSKEDVRKMREKVIDYIPKFVYARGHEGLESIKDAFDVAIDGILKRFKEQEDWEYKW >Manes.11G043250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4715490:4720925:-1 gene:Manes.11G043250.v8.1 transcript:Manes.11G043250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQSPRSFGPRRYTYEALAEATDRFSNKNHLGEGGFGQVFKGSLHDQTFAIKKLRIVPDQETREEMEREIRLISYVSHINLVKLVGYCIEGANALLVLEYFPHGSLKVNLHDKDILDWQKRMKIALGTAEGLKYLHVHCDPKIIHQDIKPDNILLDSNFEPKIADFGLALKFPDPRVSHISRSIMGTDIYVDLEDPKRVSDKSDVYSYGVVLLELITGRKPKYQDIDIVNWAKSRIKQALEEEYRGFVDTRLQSFDKIEMKRMVYCAEACVYSRPQLRPSMEKIVLALKGHMPNLKDLHGSTENDTELPHITINKGISSSSTERFQRIFTYQELTIATEGFSGKNLVARSSQCQVYKGYLNGETVTVKKYNYMPGKKEDVFDHIKSISSSVHHNNLVNLLGFCNEGPNRLLVYEFVSEDKSLRSHLHGNVTSTLAWPIRMGIALSIARGMVDLHELYKPLNIYEQYKDDSIFLDGNFQPKFAEYGHGRFLSGAFGLTVNYAKADVYLFGEILMELVTGKPSNEDGVNIAEWAEPVLGMALLHKEYDIVDQKLKEFNATELIRMIKCALACVHRYAHFRPQMSQIAEVLAGNAPPETLK >Manes.17G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30954184:30956884:1 gene:Manes.17G102000.v8.1 transcript:Manes.17G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFQMLFPFSSTGPSYPVSSSAANSHVFNNFQGDPSNGFLGLKIDNQVEVAPRTEVKNLNSSSEGASFATGSEADLKPGKKKGEKKIRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDEGIVVTTYEGMHTHPIEKTTDNFEHILSQMQIYTPF >Manes.10G049200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5420888:5422546:-1 gene:Manes.10G049200.v8.1 transcript:Manes.10G049200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIRFSFSFKILPSRTTPFSFHLSRDFSQFNLCQNLSSPIFRTLSLPRKLVGFHFPGNFHVFGEKIEVISAWNGEGSLQELDDSPVSVELEPICSESQFDRVIAEAQQLEESIIVVWMASWCRKCIYLKPKLEKLAADYYPRLRFYCADVNNVPHKLVAYAGITVSSQFHITYVLCNYLAV >Manes.10G049200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5421687:5422546:-1 gene:Manes.10G049200.v8.1 transcript:Manes.10G049200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIRFSFSFKILPSRTTPFSFHLSRDFSQFNLCQNLSSPIFRTLSLPRKLVGFHFPGNFHVFGEKIEVISAWNGEGSLQELDDSPVSVELEPICSESQFDRVIAEAQQLEESIIVVWMASWCRKCIYLKPKLEKLAADYYPRYSPSFLLFYFHFFISSIIKRYWLIEHLKT >Manes.10G049200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5420845:5422546:-1 gene:Manes.10G049200.v8.1 transcript:Manes.10G049200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIRFSFSFKILPSRTTPFSFHLSRDFSQFNLCQNLSSPIFRTLSLPRKLVGFHFPGNFHVFGEKIEVISAWNGEGSLQELDDSPVSVELEPICSESQFDRVIAEAQQLEESIIVVWMASWCRKCIYLKPKLEKLAADYYPRLRFYCADVNNVPHKLVAYAGITLNS >Manes.10G049200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5417937:5422889:-1 gene:Manes.10G049200.v8.1 transcript:Manes.10G049200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIRFSFSFKILPSRTTPFSFHLSRDFSQFNLCQNLSSPIFRTLSLPRKLVGFHFPGNFHVFGEKIEVISAWNGEGSLQELDDSPVSVELEPICSESQFDRVIAEAQQLEESIIVVWMASWCRKCIYLKPKLEKLAADYYPSYGRIARNRQR >Manes.10G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5417937:5422888:-1 gene:Manes.10G049200.v8.1 transcript:Manes.10G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIRFSFSFKILPSRTTPFSFHLSRDFSQFNLCQNLSSPIFRTLSLPRKLVGFHFPGNFHVFGEKIEVISAWNGEGSLQELDDSPVSVELEPICSESQFDRVIAEAQQLEESIIVVWMASWCRKCIYLKPKLEKLAADYYPRLRFYCADVNNVPHKLVAYAGITKMPTIQLWKDSKKQAEVIGGHKAHIVINEVREMIENESTL >Manes.10G049200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5417937:5422888:-1 gene:Manes.10G049200.v8.1 transcript:Manes.10G049200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIRFSFSFKILPSRTTPFSFHLSRDFSQFNLCQNLSSPIFRTLSLPRKLVGFHFPGNFHVFGEKIEVISAWNGEGSLQELDDSPVSVELEPICSESQFDRVIAEAQQLEESIIVVWMASWCRKCIYLKPKLEKLAADYYPRLRFYCADVNNVPHKLVAYAGITLWKDSKKQAEVIGGHKAHIVINEVREMIENESTL >Manes.08G023800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2416888:2418799:1 gene:Manes.08G023800.v8.1 transcript:Manes.08G023800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRWQAPEGHRLCANNCGFFGSPATMNLCSKCYSDYCLKERQQRQASIKASLYVSSSLSSVPAGDSQPPPAIALPEVKSHTTEVPAAVEHPSRCFMCRKRVGLTGFKCRCGTMFCGTHRYPEKHGCTFDFKKVGREEIARANPLVVAEKLEKI >Manes.08G023800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2416888:2418799:1 gene:Manes.08G023800.v8.1 transcript:Manes.08G023800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRWQAPEGHRLCANNCGFFGSPATMNLCSKCYSDYCLKERQQRQASIKASLYVSSSLSSVPAGDSQPPPAIALPEVKSHTTEVPAAVEHPSRCFMCRKRVGLTGFKCRCGTMFCGTHRYPEKHGCTFDFKKVGREEIARANPLVVAEKLEKI >Manes.12G097502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26212490:26213262:1 gene:Manes.12G097502.v8.1 transcript:Manes.12G097502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKPWDDETDMKKLEEAVRSVEMPGLLWGASKLVPVCYGIKKLQIMLTIVDDLVSVDDLIEEHLTAEPCNEYVQSCDIVAFNKI >Manes.14G169400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27667005:27670171:-1 gene:Manes.14G169400.v8.1 transcript:Manes.14G169400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEMKKMKMEKSSTWAIMILLIMVSFSISMAKTSGVTEASLHKIAASLEMYVDELPEMPKLFGYSTDKYGSIKPANLSIGMYQIKWKFHRDLPATTVFAYGTSAATATVPGPTIEAIQGVPTSVTWQNFLPRSHILPWDPTVPTAIPKHGGVPTVVHLHGGVHPSTSDGHALAWFTARFRETGPTWSQPTYTYPNIQHSGNLWYHDHAVGLTRVNLLAGLIAAYVIRHPHLDAKLNLPMGSEFDRHLIIVDRSFYKDGSLYMNYTGNNPTIHPQWQPEYFGDAVIVNGKAWPYLKVQRRKYRFRIINASNARYFRFSLSNGLSFIQVGSDSSYLPYPISTPTILLAPAEIADVIIDFCEAAAGESILTNDAPYPYPTGNSVDELNSKIMKFIISSGSPTPPDSSRLPPSLVQYQTASTAGAAVTRYIVMYEYQSETGAPTHLYINGKSFEDPVTETPKSGSTEIWEVINLTGDNHPLHVHLGLIQALKAQELVDLAGFSACMSVKNDAIACNVSSHATGKVIETPENEKTWKNTVKIEPGYQTTVVVKFNLVENNKAKAYPFDASAEPGYVYHCHILDHEDNAMIRPLKLLP >Manes.06G042933.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13196750:13198024:-1 gene:Manes.06G042933.v8.1 transcript:Manes.06G042933.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKILDLSNNDISGSLPSDFSCSNMIGVHLSRNQLQGSLEDAFFDCLRLVVLDLSHNNMTGSIPSWFERFYQLGYMILGHNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSLSSGEEIEFTTKRNIYSYQTSMLDYFSGIDLSCNKLIGQIPIQIGYLNEIHVPNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGKTPEKVKQFATFDESSYRDNPLLCGWPLQKDCATMESSPSISRNSNDTEESNCFIDMEGFYVSFGVAYVMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLGCLSKFKLF >Manes.13G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4596683:4599655:1 gene:Manes.13G037900.v8.1 transcript:Manes.13G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGSSKDRRRRKQRHKVQPREQRNAGYNPVQSAVSLVQNNPEKSTNPVSEIRDNPEEKLSLSPRKKVTFNSSVTTYEHVSVEESTEFPAENEDERRKREEKEENLAKPTQSQSSSEDGSITSSSGSYPSNHRYQNCRDSDDELDYGESEIEDDEEEDEDGGELDYDDCYEDDGILESRPPPFTEEIENPAVVSGLPERELKPNPNVRDRSGYVHSVLNPVENLTQWKAVKSKGTTPLKQQKENITLSQEPRNSFSSEPSFREMRFSFDAKSDQSKKQNQEIAVDASLSNWLGSSENTPINKPSTIGFGAMSVGSNSPRSFEDRPILGALTVEELKQISVSSSPRKSPSHSPDEMAIIGSVGTYWNHSGSGKDSGSASTFKGIPNTTSKYREDKRVNWHSTPFETRLERALNGGAAAGTHTSNVQ >Manes.05G026700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2326318:2336846:-1 gene:Manes.05G026700.v8.1 transcript:Manes.05G026700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEGKSSNPDKSSSPGPLDQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGAPYAAVYSHGGVYAHPTVPIGLHPHVPGVPSSPASATPVNVETPTKSAGNTDRGLIKKLKRFDGLAMSIGNGSSNGDSADGGSQRLSQSVETEGSSDGSDGNTAGGRKRCCEGPPNTGGEVRTEMQASSVPTGEKNATSDKVLGAAVVSSGILGKSEGPVVSPRMSALGKPPVNAAKTGVTSIPQPCQVLPSEAWFQNERQLKRERRKQSNRESARRSRLRKQAETEELAHKVESLTSENMALKSEINQLTEKSEKLRLENAALLEKLKNSHVGHAHEIILNSSNEQRATVISTENLLSRVNSSASVDRNSGEERELYERNSNSGAKLHQLLGASPRADAVAAS >Manes.05G026700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2326318:2336846:-1 gene:Manes.05G026700.v8.1 transcript:Manes.05G026700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEGKSSNPDKSSSPGPLAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGAPYAAVYSHGGVYAHPTVPIGLHPHVPGVPSSPASATPVNVETPTKSAGNTDRGLIKKLKRFDGLAMSIGNGSSNGDSADGGSQRLSQSVETEGSSDGSDGNTAGGRKRCCEGPPNTGGEVRTEMQASSVPTGEKNATSDKVLGAAVVSSGILGKSEGPVVSPRMSALGKPPVNAAKTGVTSIPQPCQVLPSEAWFQNERQLKRERRKQSNRESARRSRLRKQAETEELAHKVESLTSENMALKSEINQLTEKSEKLRLENAALLEKLKNSHVGHAHEIILNSSNEQRATVISTENLLSRVNSSASVDRNSGEERELYERNSNSGAKLHQLLGASPRADAVAAS >Manes.05G026700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2326318:2336846:-1 gene:Manes.05G026700.v8.1 transcript:Manes.05G026700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEEGKSSNPDKSSSPGPLDQTNVHVYPDWAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGPPQPMMPPYGAPYAAVYSHGGVYAHPTVPIGLHPHVPGVPSSPASATPVNVETPTKSAGNTDRGLIKKLKRFDGLAMSIGNGSSNGDSADGGSQRLSQSVETEGSSDGSDGNTAGGRKRCCEGPPNTGGEVRTEMQASSVPTGEKNATSDKVLGAAVVSSGILGKSEGPVVSPRMSALGKPPVNAAKTGVTSIPQPCQVLPSEAWFQNERQLKRERRKQSNRESARRSRLRKQAETEELAHKVESLTSENMALKSEINQLTEKSEKLRLENAALLEKLKNSHVGHAHEIILNSSNEQRATVISTENLLSRVNSSASVDRNSGEERELYERNSNSGAKLHQLLGASPRADAVAAS >Manes.15G045700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3494631:3494942:-1 gene:Manes.15G045700.v8.1 transcript:Manes.15G045700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGKKRLGVGNSGGGEGIVARVTRTVSESAIVNTAKHAANDATYVAKRLAKSTGKAAWIAGTTFLILVVPLIIEMDREQQFNELELQQQSLLGAPPAPISK >Manes.07G117400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32290268:32294597:1 gene:Manes.07G117400.v8.1 transcript:Manes.07G117400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRSLKGLYGSVSGLKLNDEILSVLSGQNLVNGSNLDNCLVSQNYANVPSLLPDLTLTPNSSISSSSKSQIGDSHEDFDFSDVVLKYISEMLMEEDIEEKTCMFQESSTALQAAEKSLYELIGEKYPPSPNCESASELDQNHKSSDQNPDSNYVNYTSSSSCSSGSNLVDPGLNCNLSEYNPLRYVSQSASQSSHSSGNSTGAGVDWFVDSPASTISEIFSDSESIMQFRKGFEEASKFIPNGSLFIDLESNGLFLKDLNEESKDVAVKVEEKQEIGYFPDDSRKKKNPYSEDLNLEGGRSNKQSAVCTESTVSSADFDTILLNCGQSESALREALQNEKSKNVQQNGQIKGSGGGKGRGKKHGGKRNVVDLRTLLTLCAQAVAADDRRNANDLLKQIRQNASSTGDGMQRVAHIFADGLEARMAGSGTQIYKAFMRKHTSASDVLKAYHLFLAACPFRKLSNFFSNKTIMNVAQNARKLHIVDFGILYGFQWPCLIQRLSSRPGGPPKLRITGVDFPHPGFRPAVRVEETGRRLSNYAKTFNVPFEFNAIAQKWDTIKIEDLRIDKDEVLVVNCLYRLRNLLDETVVVESPRNTVLNLIREMNPDVFITGIVNGAYSAPFFITRFREALFHYTTLFDMLETNVPREIPERMLIERDIFGWEAMNVIACEGAERIERPETYKQWQVRALRAGFRQLPLDREIFAAAKEKVNALYHKDFVIDEDSQWLLQGWKGRIIYALSSWKPDS >Manes.07G117400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32290269:32294024:1 gene:Manes.07G117400.v8.1 transcript:Manes.07G117400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDRSLKGLYGSVSGLKLNDEILSVLSGQNLVNGSNLDNCLVSQNYANVPSLLPDLTLTPNSSISSSSKSQIGDSHEDFDFSDVVLKYISEMLMEEDIEEKTCMFQESSTALQAAEKSLYELIGEKYPPSPNCESASELDQNHKSSDQNPDSNYVNYTSSSSCSSGSNLVDPGLNCNLSEYNPLRYVSQSASQSSHSSGNSTGAGVDWFVDSPASTISEIFSDSESIMQFRKGFEEASKFIPNGSLFIDLESNGLFLKDLNEESKDVAVKVEEKQEIGYFPDDSRKKKNPYSEDLNLEGGRSNKQSAVCTESTVSSADFDTILLNCGQSESALREALQNEKSKNVQQNGQIKGSGGGKGRGKKHGGKRNVVDLRTLLTLCAQAVAADDRRNANDLLKQIRQNASSTGDGMQRVAHIFADGLEARMAGSGTQIYKAFMRKHTSASDVLKAYHLFLAACPFRKLSNFFSNKTIMNVAQNARKLHIVDFGILYGFQWPCLIQRLSSRPGGPPKLRITGVDFPHPGFRPAVRVEETGRRLSNYAKTFNVPFEFNAIAQKWDTIKIEDLRIDKDEVLVVNCLYRLRNLLDETVVVESPRNTVLNLIREMNPDVFITGIVNGAYSAPFFITRFREALFHYTTLFDMLETNVPREIPERMLIERDIFGWEAMNVIACEGAERIERPETYKQWQVRALRAGFRQLPLDREIFAAAKEKVNALYHKDFVIDEDSQWLLQGWKGRIIYALSSWKPDS >Manes.08G004904.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:919603:922539:1 gene:Manes.08G004904.v8.1 transcript:Manes.08G004904.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAAVAGCTTFVAKHFLALAQERPKEEENPFEDSIASAFQSPLFPNHGNGCGYDSNFQQPPDGIFRSGGSEKSARRLAVCLKKKRTAKSVPSKCRSRSSKDSSLFGCGLGIGIMYMMSAEKAEISKLSNAIDETAKTVKELRTELYKRRSTKVAAISKDLSSNNELEFYRAGTGHNNDPKVIKVYGIPMIDDVERPSSGLTEEPEPQLLEMDQLEAELASELQKLPWSYPEASGHEGVEPNMDKNETFSGGLHKLEGQSNISCQCHGVLPSELDRKLSHLLIELEFELHSAQSKLHEKEAELQALKDCVKRLTEFSLSTVSGNFFLPPSTQDDEAVTQEYVITNAGITYCKSKFQLKECDYQKARDYLIKT >Manes.01G039048.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7501522:7501954:-1 gene:Manes.01G039048.v8.1 transcript:Manes.01G039048.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIINWLEIKSLCQPTSSYIISHVWSLLLLLLLRRSKESTMGIITKIDLTAAITNVVPNTRKAMEAYRNSSGPHKTRSFFFELHRIFQIELVVYLIFFI >Manes.05G062000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5196984:5200842:1 gene:Manes.05G062000.v8.1 transcript:Manes.05G062000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDATDKNESEDFSTGPLSVLLMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >Manes.05G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5196984:5200842:1 gene:Manes.05G062000.v8.1 transcript:Manes.05G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMDEDATDKNESEDFSTGPLSVLLMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >Manes.02G041100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3364216:3366833:-1 gene:Manes.02G041100.v8.1 transcript:Manes.02G041100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIKIGAYKYISELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVIMVDIAHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKNRPSRRATWKRNNTLSLRRYR >Manes.02G041100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3365134:3367147:-1 gene:Manes.02G041100.v8.1 transcript:Manes.02G041100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHRSVSASTVKFDGQDFQAPVCKIKFSYKPLAFVSANPSQKQRSPALFQWRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVIMVDIAHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKNRPSRRATWKRNNTLSLRRYR >Manes.02G041100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3364216:3367463:-1 gene:Manes.02G041100.v8.1 transcript:Manes.02G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYISELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVIMVDIAHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKNRPSRRATWKRNNTLSLRRYR >Manes.03G140400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26864401:26867937:1 gene:Manes.03G140400.v8.1 transcript:Manes.03G140400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGANQPLLSGVVDSTLQTDNKHAPNRRRFRRVRSAPITECVPSDADRCRSLSHPESILGKLSFSPSLKQVAALLAGYLGVGTVCFYLYRDDIEGTKTNPIIDAVYFCVVTMTTVGYGDLVPNTAFAKLLASVFVFSGMAIVGLILSKAADYLVEKQEILLIKALNKYHKQGPSQIIKEFESNRVKYKCLLTLAIILALMLVGTIFIASVEGLELLDAFYCVCATVTTLGYGDESFSTRGGRAFAIFWILISTIGLGQFFLSTAEMFTESRQRALVNWVLTRKMINLDLEAADIDNDGVVGAAEFVIYKLKEMGKITEEDISLVMEEFEDLDVDESGTLSASDLVIAQSTQTKR >Manes.03G140400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26864401:26867937:1 gene:Manes.03G140400.v8.1 transcript:Manes.03G140400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGANQPLLSGVVDSTLQTDNKHAPNRRRFRRVRSAPITECVPSDADRCRSLSHPESILGKLSFSPSLKQVAALLAGYLGVGTVCFYLYRDDIEGTKTNPIIDAVYFCVVTMTTVGYGDLVPNTAFAKLLASVFVFSGMAIVGLILSKAADYLVEKQEILLIKALNKYHKQGPSQIIKEFESNRVKYKCLLTLAIILALMLVGTIFIASVEGLELLDAFYCVCATVTTLGYGDESFSTRGGRAFAIFWILISTIGLGQFFLSTAEMFTESRQRALVNWVLTRKMINLDLEAADIDNDGVVGAAEFVIYKLKEMGKITEEDISLVMEEFEDLDVDESGTLSASDLVIAQSTQTKR >Manes.03G140400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26864401:26867937:1 gene:Manes.03G140400.v8.1 transcript:Manes.03G140400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGANQPLLSGVVDSTLQTDNKHAPNRRRFRRVRSAPITECVPSDADRCRSLSHPESILGKLSFSPSLKQVAALLAGYLGVGTVCFYLYRDDIEGTKTNPIIDAVYFCVVTMTTVGYGDLVPNTAFAKLLASVFVFSGMAIVGLILSKAADYLVEKQEILLIKALNKYHKQGPSQIIKEFESNRVKYKCLLTLAIILALMLVGTIFIASVEGLELLDAFYCVCATVTTLGYGDESFSTRGGRAFAIFWILISTIGLGQFFLSTAEMFTESRQRALVNWVLTRKMINLDLEAADIDNDGVVGAAEFVIYKLKEMGKITEEDISLVMEEFEDLDVDESGTLSASDLVIAQSTQTKR >Manes.04G063969.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24278800:24281598:1 gene:Manes.04G063969.v8.1 transcript:Manes.04G063969.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFALFINSIFIFIQSYSCDAKDLKACKFDAIYQLGDSISDTGNSIVEMPQLYHARFPYGQTIHKATGRSSDGYLIIDYIAQSAGLPLLEPYENPNSTFSHGVDFAVAGATASSIKTIINWHIPLPYTNSSLYVQNKWLKKHLSAICNDKKECKRKLKHALYMIGTIGCNDYIIAFQYGKSIEEVKVMVPRVIQSIKTAIRKVIDYGAYRVVVPGAFQLGCAPSFLTVFSSNKSSYDSHGCLKDYNDFFMYHNNHLQVALQKIRKKNPHIHIIYGDLYGALEWILDNFSNLGFKSLRKGCCGIGGRFNYNPSIKKMCGAHGVPICSNPKEYVFWDGSHFTHQANKYMSKWLIKDILPQLHCNI >Manes.03G111300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23506497:23508448:-1 gene:Manes.03G111300.v8.1 transcript:Manes.03G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLDSLSIPRSHFFPKPTLSPCLTASASPISSTNRRPAVRLPEARGLKIRSFESIAQMPSLSRLVRGGRIVCEAQETAFKVLPVNEKTWRDLVLLSEIPVLVEFWAPWCGPCRMIHPVIDELAGEYAGKLKCYKLNTDDSPAIATEFGIRSIPTVMIFKDGEKKDAIIGAVPKSTLISSIEKFL >Manes.07G133156.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29669820:29676160:-1 gene:Manes.07G133156.v8.1 transcript:Manes.07G133156.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATRFRRLGAGAIITATFAATGGSILFSPNQATNDRGGGPALDFIRQKITDPNAVLPSRASQESVLTGASPANPLDILVIGGGATGSGVALDAVTRGFRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIDNAPHLCHALPCMTPCFDWFEAVYYWIGLKMYDLVAGSRLLHLSRYYSAQESLELFPTLAKKGKDRSLRGTVVYYDGQMNDSRLNVALACTAALAGAAVLNHAEVISFLKDEANERIIGARIRDNISGKEFDAYAKVVVNAAGPFCDSVRKMAKNDAQPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTTITLLPEPHEDEIQFILDAISDYLSVKVRRIDVLSAWSGIRPLAVDPSAKNTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVDAAIKSGKLSPTNGCLTQNLRLIGGDGWEPSSFTVLAQQYVRMKRTYGGKVVPGVMDTTAAKHLSHAYGTLAERVAAIAQNEGLGKRLAHGYPFLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAAGRALPRIIEILAKERSWDKTRQKQELENAKRFLETFKSSKNAQFHDGKH >Manes.11G027600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2687115:2691161:-1 gene:Manes.11G027600.v8.1 transcript:Manes.11G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQNFFHGTLEVTIFDATPYSPSFPLNCLFVNGKPTYVNVKIDKRKVAKTSLERERIWNQTFQILCAHPLDSTITITLKTKCSILGKFNIQAHKIVTQATLINGFFPLVMENGKPNPELKLRFMLWFKPAELEPTWTRIISHGQFQGLRNASFPLRSNCHVTLYQDAHHCSTFQPPLALCGSPRKLWEDVYKAIEGARHLIYIAGWSFNPKMVLVRDPETEMPQARGVKLGELLKRKAEEGVAVRIMVWDDETSLPIIKNKGVMGTHDEDAFAYFKHTKVICRLCPRLHHKFPTLFAHHQKTITVDVRANNDSINDREIMSFVGGLDLCNGRFDTEQHSLFQTLNKESHCCDFYQTNIAGACLHKGGPREPWHDTHACILGEAAWDVLTNFEQRWTKQCDPCLLLNTSSIPNLPRQLTVSSSNPNGRNWKVQVFRSIDHVSVTQMARNVTVERSIHEAYVEAIRRAERFIYIENQYFIGGCHLWDKDRHCGCRNLIPIEIALKIVNKIKAKERFAVYVLIPMWPEGVPESEPVQDILHWTRETMSMMYRLIGEALQESGQMGHPRDFLNFFCLANREEERKGEFVPPYSPHTSTQYWNAQNHRRFMVYVHSKLMIVDDAYILIGSANVNQRSMDGQRDTEIAIGCYQPQNDRNNTNPNDILAYRMSLWYEHTGLAEQTFLQPQSLECVQTIYSLGEAMWKIYSGEEVVDLEGVHLVNYPVNITKDGVVEDLVDGDGNFPDTKTPVKGRRSKVLPPIFTT >Manes.12G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32485476:32495821:1 gene:Manes.12G120300.v8.1 transcript:Manes.12G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQNKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKMVKCERKVNEYIGCTDFVEKGTENVVFRTCYEERNRVIFQIGTSDAVRALTAAQIVCKDVAAIDVNMGCPKSFSISGGMGAALLNKPELIHDILTTLKRNLSCPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRKVADRPRDPAKWSEIADVVSALSIPVIANGDVFDYGDFARIKAATGASSVMVARGALWNASVFSPEGKVHWEEVKREYVRKSILWDNDIKSTKHTLKEMIMHYSCLELPEGKGVIKSENLADIAKLYGEERYYQFVNENRFSSNGS >Manes.12G120300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32485476:32495821:1 gene:Manes.12G120300.v8.1 transcript:Manes.12G120300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQNKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKMVKCERKVNEYIGCTDFVEKGTENVVFRTCYEERNRVIFQIGTSDAVRALTAAQIVCKDVAAIDVNMGCPKSFSISGGMGAALLNKPELIHDILTTLKRNLSCPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRKVADRPRDPAKWSEIADVVSALSIPVIANGDVFDYGDFARIKAATVMVARGALWNASVFSPEGKVHWEEVKREYVRKSILWDNDIKSTKHTLKEMIMHYSCLELPEGKGVIKSENLADIAKLYGEERYYQFVNENRFSSNGS >Manes.12G120300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32485476:32495821:1 gene:Manes.12G120300.v8.1 transcript:Manes.12G120300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSLNEYIGCTDFVEKGTENVVFRTCYEERNRVIFQIGTSDAVRALTAAQIVCKDVAAIDVNMGCPKSFSISGGMGAALLNKPELIHDILTTLKRNLSCPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRKVADRPRDPAKWSEIADVVSALSIPVIANGDVFDYGDFARIKAATVMVARGALWNASVFSPEGKVHWEEVKREYVRKSILWDNDIKSTKHTLKEMIMHYSCLELPEGKGVIKSENLADIAKLYGEERYYQFVNENRFSSNGS >Manes.12G120300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32485476:32495821:1 gene:Manes.12G120300.v8.1 transcript:Manes.12G120300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSLNEYIGCTDFVEKGTENVVFRTCYEERNRVIFQIGTSDAVRALTAAQIVCKDVAAIDVNMGCPKSFSISGGMGAALLNKPELIHDILTTLKRNLSCPVTCKIRLLKSSQDTVELARRIEKTGVSALAVHGRKVADRPRDPAKWSEIADVVSALSIPVIANGDVFDYGDFARIKAATGASSVMVARGALWNASVFSPEGKVHWEEVKREYVRKSILWDNDIKSTKHTLKEMIMHYSCLELPEGKGVIKSENLADIAKLYGEERYYQFVNENRFSSNGS >Manes.02G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1988184:1993111:1 gene:Manes.02G022300.v8.1 transcript:Manes.02G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRFAQVSTSDDEDEAPPPPPKTRSSTKSEGNERKRKKIKLPEEDEEEVEEKMRGGKAKKKARGEKAVEPDSEEDVEEEEEEAPQEDAKPIGEPVKFSGKGRGRRSQYEAFEFDGNRYDLEDPVLLVPEDKKQKPYVAIIKDIAQTHNGSMMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQLPNRKQHPGFIVQKVYDTVERKLWKLTDKDYEDNKQHEIDVLVQKTLSRLGDLPDIETEDNAAEPEDISKVKRTLRKKNITPLDVSREEEARTDNLKAETPGSCTGNGSEYYTILEKFNALTGDTHRDKWLERLLLCIQYMCSSPDSTHDDDKVKGGSDGMDHEKEQNSQGTANGSKSSKTFCWPDAAVAAVSALEKASHEALSFDFQKYNQKLRQLQFNLKHNALLARRLLNGELEPTKILNMSPNELKEGLTAEETATKEPEESARMQMTDACCSRCNEFKVGVRDIIQAGHGDRYQLECTACGNSWYASRDEASMLTIDGPSSARSVGTAPWATAKFEDVEKKLVSPREPEKANEVIKKSSEPYIPVMETQKSFSKPKSEENAETIKKAE >Manes.01G096400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29686554:29692338:1 gene:Manes.01G096400.v8.1 transcript:Manes.01G096400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSASNPTTPSAQVVGNAFVEQYYHILHTSPELVYRFYQDSSVLSRPDANGMMTSVATMQGINEKILSLNFKDYKAEIKTADAQKSYKEGVTVLVTGCLMGKDNLKRKFAQSFFLAPQDNGYFVLNDVFRYVEDNEPLENHPVNGIDNTSTVPSIPDSEPSHVPDPPAPDPAISIVEQDKNVAEEVNDPVDSEKQLIYEKEVVVESESHSNGNDVSVVVESTSSATQEDTPKKSYASIVKVPRGSSGPTKVYVPTFARKVTSKKPEDQSVSVPPATEPEASVPSSTGTPDSSNAQEETEGHSIYIRNLPYNMTPAQLEVEFKKFGPIKQGGIQVRYNKQQGYCFGFVEFHSLSSMNNAIQASPMTIGGRQAVIEIKRTSTRVGSGRGRFPSGRAGFRSDSFRGRGNFGGSRSFGRNEYGNRGEFSGRGRSSTGRGEGYQQWRGRGGRSSGAKQNPNSA >Manes.17G003300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2473845:2479055:-1 gene:Manes.17G003300.v8.1 transcript:Manes.17G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLLSSPPTPHLSSSLRPSLRSSKPSPLPFTFNPVSSPLKCFPSLKTSLQSSQTLTPQFSAPQTPATAMRGAETDAMGLLLRERIVFLGNNIDDFVADAIISQLLLLDAQDPTKDIRLFINCPGGSLSATMAIYDVVQLVRADVSTVALGISASTASIILGGGTKGKRFAMPNARIMIHQPLGGASGQAIDVEIQAREIMHNKNNVIRIISGFTGRTTEQVQKDIDRDRYMSPIEAVEYGIIDGVIDRDSIIPLAPVPERVKPTLNYEEMRKDPMKFLTPDIPDDEIY >Manes.12G061600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6241222:6252405:-1 gene:Manes.12G061600.v8.1 transcript:Manes.12G061600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAGTGVFVKLSRFFVKLQRWALVKMSMNSKPSGLNGTFKRKKQNDPLHGPNSAKKWRRKILFLLFLWFSCVLIMASIWFLLSFENRALWKKDKNLDTCEEKAQVLLQHFNVSKSQLHALVSLFSESDQITSPECPKELGPEMAMTDGIACALKALCSKKQEFQPQGGWAAQDLKTNDQCAAQDTNIARKLEQSLRDELNILQTTKMRDHAEDHCKIFSFGLEKVCWSILFAMIVGCTLSGFHFKFWKKKTLKLVHLEPVPQQRHQLLQMKQQQLSKGPPKGAGKWRKKLLIIFVLLGIFTSFWLFWHLNKKIKLRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTDFERPLTSGVAYALKVLHSQREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIRDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNAELPPDATPELRIESTVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTNVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPPLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYWQMMELKIRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQRDYAKTAHDSGKDLISLINGVLDQAKIDSGRLELETVPFDLRSVLDNVLSLFSSKSNEKGIELAIYVSNQVPEVVIGDPGRFRQIITNLVGNSVKFTRDKGHIFVSVRLADEVRGPLGIRDAVLAQGLNSTQDMSDEIDNTLSGCPVVDRWKSWANFKMLNSTDTMEEREMIRLLVTVEDTGVGIPLDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTASFRKGELSSLDTKWQPYYPTVMEFQGWRALVIDKRRVRAEVTKYHLQRLGISVDIASSLKSACSYLSGGRYTSVPADLAVVLIDKDVWHNETGMALNHLLKESKQNGGTEISVNIPKVFLLATTISPDERNELVSAGLVDSVIMKPLRLSVLIACFQEAIGSGKKSPAKRKKPSTLRNLLRGRRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKEALELLKPPHTFDACFMDRHMPEMDGFEATRQIRLRERQFNEQIASGEASAEMFGNVTYWHTPILAMTADVIQATNEECMKCGMDDYISKPFEEEQLYNAVARFFESG >Manes.12G061600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6241222:6252405:-1 gene:Manes.12G061600.v8.1 transcript:Manes.12G061600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAGTGVFVKLSRFFVKLQRWALVKMSMNSKPSGLNGTFKRKKQNDPLHGPNSAKKWRRKILFLLFLWFSCVLIMASIWFLLSFENRALWKKDKNLDTCEEKAQVLLQHFNVSKSQLHALVSLFSESDQITSPECPKELGPEMAMTDGIACALKALCSKKQEFQPQGGWAAQDLKTNDQCAAQDTNIARKLEQSLRDELNILQTTKMRDHAEDHCKIFSFGLEKVCWSILFAMIVGCTLSGFHFKFWKKKTLKLVHLEPVPQQRHQLLQMKQQQLSKGPPKGAGKWRKKLLIIFVLLGIFTSFWLFWHLNKKIKLRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTDFERPLTSGVAYALKVLHSQREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIRDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNAELPPDATPELRIESTVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTNVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPPLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYWQMMELKIRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQRDYAKTAHDSGKDLISLINGVLDQAKIDSGRLELETVPFDLRSVLDNVLSLFSSKSNEKGIELAIYVSNQVPEVVIGDPGRFRQIITNLVGNSVKFTRDKGHIFVSVRLADEVRGPLGIRDAVLAQGLNSTQDMSDEIDNTLSGCPVVDRWKSWANFKMLNSTDTMEEREMIRLLVTVEDTGVGIPLDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTASFRKGELSSLDTKWQPYYPTVMEFQGWRALVIDKRRVRAEVTKYHLQRLGISVDIASSLKSACSYLSGGRYTSVPADLAVVLIDKDVWHNETGMALNHLLKESKQNGGTEISVNIPKVFLLATTISPDERNELVSAGLVDSVIMKPLRLSVLIACFQEAIGSGKKSPAKRKKPSTLRNLLRGRRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKEALELLKPPHTFDACFMDRHMPEMDG >Manes.12G061600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6241222:6252405:-1 gene:Manes.12G061600.v8.1 transcript:Manes.12G061600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAGTGVFVKLSRFFVKLQRWALVKMSMNSKPSGLNGTFKRKKQNDPLHGPNSAKKWRRKILFLLFLWFSCVLIMASIWFLLSFENRALWKKDKNLDTCEEKAQVLLQHFNVSKSQLHALVSLFSESDQITSPECPKELGPEMAMTDGIACALKALCSKKQEFQPQGGWAAQDLKTNDQCAAQDTNIARKLEQSLRDELNILQTTKMRDHAEDHCKIFSFGLEKVCWSILFAMIVGCTLSGFHFKFWKKKTLKLVHLEPVPQQRHQLLQMKQQQLSKGPPKGAGKWRKKLLIIFVLLGIFTSFWLFWHLNKKIKLRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTDFERPLTSGVAYALKVLHSQREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIRDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNAELPPDATPELRIESTVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTNVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPPLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYWQMMELKIRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQRDYAKTAHDSGKDLISLINGVLDQAKIDSGRLELETVPFDLRSVLDNVLSLFSSKSNEKGIELAIYVSNQVPEVVIGDPGRFRQIITNLVGNSVKFTRDKGHIFVSVRLADEVRGPLGIRDAVLAQGLNSTQDMSDEIDNTLSGCPVVDRWKSWANFKMLNSTDTMEEREMIRLLVTVEDTGVGIPLDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTASFRKGELSSLDTKWQPYYPTVMEFQGWRALVIDKRRVRAEVTKYHLQRLGISVDIASSLKSACSYLSGGRYTSVPADLAVVLIDKDVWHNETGMALNHLLKESKQNGGTEISVNIPKVFLLATTISPDERNELVSAGLVDSVIMKPLRLSVLIACFQEAIGSGKKSPAKRKKPSTLRNLLRGRRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKEALELLKPPHTFDACFMDRHMPEMDGFEATRQIRLRERQFNEQIASGEASAEMFGNVTYWHTPILAMTADVIQATNEECMKCGMDDYISKPFEEEQLYNAVARFFESG >Manes.12G061600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6241222:6252405:-1 gene:Manes.12G061600.v8.1 transcript:Manes.12G061600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAGTGVFVKLSRFFVKLQRWALVKMSMNSKPSGLNGTFKRKKQNDPLHGPNSAKKWRRKILFLLFLWFSCVLIMASIWFLLSFENRALWKKDKNLDTCEEKAQVLLQHFNVSKSQLHALVSLFSESDQITSPECPKELGPEMAMTDGIACALKALCSKKQEFQPQGGWAAQDLKTNDQCAAQDTNIARKLEQSLRDELNILQTTKMRDHAEDHCKIFSFGLEKVCWSILFAMIVGCTLSGFHFKFWKKKTLKLVHLEPVPQQRHQLLQMKQQQLSKGPPKGAGKWRKKLLIIFVLLGIFTSFWLFWHLNKKIKLRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTDFERPLTSGVAYALKVLHSQREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIRDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNAELPPDATPELRIESTVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTNVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPPLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYWQMMELKIRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQRDYAKTAHDSGKDLISLINGVLDQAKIDSGRLELETVPFDLRSVLDNVLSLFSSKSNEKGIELAIYVSNQVPEVVIGDPGRFRQIITNLVGNSVKFTRDKGHIFVSVRLADEVRGPLGIRDAVLAQGLNSTQDMSDEIDNTLSGCPVVDRWKSWANFKMLNSTDTMEEREMIRLLVTVEDTGVGIPLDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTASFRKGELSSLDTKWQPYYPTVMEFQGWRALVIDKRRVRAEVTKYHLQRLGISVDIASSLKSACSYLSGGRYTSVPADLAVVLIDKDVWHNETGMALNHLLKESKQNGGTEISVNIPKVFLLATTISPDERNELVSAGLVDSVIMKPLRLSVLIACFQEAIGSGKKSPAKRKKPSTLRNLLRGRRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKEALELLKPPHTFDACFMDRHMPEMDGFEATRQIRLRERQFNEQIASGEASAEMFGNVTYWHTPILAMTADVIQATNEECMKCGMDDYISKPFEEEQLYNAVARFFESG >Manes.12G061600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6241221:6252406:-1 gene:Manes.12G061600.v8.1 transcript:Manes.12G061600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAGTGVFVKLSRFFVKLQRWALVKMSMNSKPSGLNGTFKRKKQNDPLHGPNSAKKWRRKILFLLFLWFSCVLIMASIWFLLSFENRALWKKDKNLDTCEEKAQVLLQHFNVSKSQLHALVSLFSESDQITSPECPKELGPEMAMTDGIACALKALCSKKQEFQPQGGWAAQDLKTNDQCAAQDTNIARKLEQSLRDELNILQTTKMRDHAEDHCKIFSFGLEKVCWSILFAMIVGCTLSGFHFKFWKKKTLKLVHLEPVPQQRHQLLQMKQQQLSKGPPKGAGKWRKKLLIIFVLLGIFTSFWLFWHLNKKIKLRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKDPSAIDQKTFGEYTERTDFERPLTSGVAYALKVLHSQREQFEKQHGWTIKKMETEDQTLVQDCIPEKLDPAPIRDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNAELPPDATPELRIESTVGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPILMYGTNVTDTGLLHISNLDFGDPLRKHEMHCRFKHKPPLPWTAINASVGVLVITLLVGHIFYAAISRIAKVEEDYWQMMELKIRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDANQRDYAKTAHDSGKDLISLINGVLDQAKIDSGRLELETVPFDLRSVLDNVLSLFSSKSNEKGIEFTRDKGHIFVSVRLADEVRGPLGIRDAVLAQGLNSTQDMSDEIDNTLSGCPVVDRWKSWANFKMLNSTDTMEEREMIRLLVTVEDTGVGIPLDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKCLVDLMGGEIGFVSEPGTGSTFSFTASFRKGELSSLDTKWQPYYPTVMEFQGWRALVIDKRRVRAEVTKYHLQRLGISVDIASSLKSACSYLSGGRYTSVPADLAVVLIDKDVWHNETGMALNHLLKESKQNGGTEISVNIPKVFLLATTISPDERNELVSAGLVDSVIMKPLRLSVLIACFQEAIGSGKKSPAKRKKPSTLRNLLRGRRILVVDDNKVNRRVAEGALKKYGAIVTCVESGKEALELLKPPHTFDACFMDRHMPEMDGFEATRQIRLRERQFNEQIASGEASAEMFGNVTYWHTPILAMTADVIQATNEECMKCGMDDYISKPFEEEQLYNAVARFFESG >Manes.13G130000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33854244:33863147:-1 gene:Manes.13G130000.v8.1 transcript:Manes.13G130000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSKFSSLCHLINDSVRPFTEPEVISLTNDKEKDLLIALSGVLREIQLMKLELDCDSDKEAAPDPACDREYSDGSEMHHQELNCLIKILADLIALLTVESQFVQHLVGNILVVISELVAASGSEWDSFIHLLVACLKSAIANMLSLSLASSTSAAGDSSSYSSSFSLLKSRPENSNWSTAAAIIRVLRKTLKYLKQEDDDHLCEMYLDSVSSFLSDVPWDLMHEIQNGQSNDAKESDSQNSHFIDASFVRNDGEETRVVFLGNFIQFLCSLIEQSCAVESKGDSHHEHHPVHCMSISFVPKLLVWCLGEHGNFLKTSVSHYFRHKLLMLMLRLSYQTSLGCSILISWLQFLHGYFKELLWKPITKLDFGQDECLEGSPFLLCLSDGEHRINSYHLQRCTVLLFLKCCFSLISSTRKTSRQCGCATLNCSLTFDSISDLDSYGRRQGFLELYKWVQGHLPIDIFVDHEMNLEKCRCFALSFLQLYMHEDDVLFKVLLELLNIQPCLEQQSSKEKWTYEDVKQDTPFYVASIFNPVNLFHLFLAELHYDHQVLLDYLISKDTGITSAEYLLRCLRTVCNSWNLFITFSMHEKIVDHSSCKKGKMYLNDSNVQVEASSIPVKDISSSLEDKCKRDFGCNHKHYKTTSQLFKKAKNCLLSLKHSVESLHRKNLFPYNPEVLLKRRGPKIMPLPICGQLLSFLSIDDRLVKVMPYGTMTL >Manes.02G173700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13725874:13735658:-1 gene:Manes.02G173700.v8.1 transcript:Manes.02G173700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPTPIGGAQSVSPSLLRSNSGLLGAQGGPLPSQNAFPSLVSPRTQFNNMNILGNIPNVSSFLNQSFGNGGPNPGLSGPGSGQRGVIDCGAETDPLSSIGSGMGFNAPSSSFVPSNMVSPGPSGQVQGQQFSNTSGNQLLLDQQQSQQLEAQSFQHAQQQMQQFSAPHNAHQVQQQHQFQPIRGGIGGVGPVKLEPQVTNDQHGAQQQQPQQLQPVRNLGPVKLEPQQIHSMRNLAPVKLEPQHSDPSLFLHQQQQQQQQQQQQQFLQMSRQTSQAAAATINLLHQQRLLQLQQQQQQQLLKAMPQQRPQLSQQFQQQNLPMRSPVKAGYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPHAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPHEHQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSAPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTSASSGFHSLAQQPEEQLQQQQTVAQNSNNDQTSVQTGGVQIAASSGVSSINNSLSTASASTSASAIAGLLHQNSMNSRQQTSMNNASSPYGGNSVQIPSPGSSSTIPQAQPNPAPFQSPTPSSSNNPMQTSHSALTAANHIGSTSSPANIPMQQQALSVEPNHGDAQSSVQKIIHEIMMSNQLNGTGGMVGVGSLGNEMKNVNGILPTSNNAVLNGGNGLVGNGAVNNSGMGGGGFGTMGNGLGQSAMVNGIRAAMGNNSVINGRVSVPSMVRDQTMNHQQDLGNQLLSGLGAVNGFNNLPFDWKPSP >Manes.14G167600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:26916836:26921176:1 gene:Manes.14G167600.v8.1 transcript:Manes.14G167600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLSVNSSRTMASEVFEVSQNETCKRQRLSSISCEGSPGLIPNLPDEISIQILARLPRICYMKMKLVSRAWKAAIVSTELFNVRKELGTTEEWLYILVKIEDEKYLWYALDPLSRRWQRLPTMPGVSFEDEPKKGLAALRMWNVVSPSIKIADAVRGWLGKKATMDPLPFYGSAVGAIDGRIYVLGGLTKASAMRNVWQYNPILNAWSEMSPMSTGRAFCKTGILSNKLYVVGGVTKGRGGVTSLQSAEVFDPHTGLWSEIPTMPFSKAQVLPTAFLTDLLKPIATGMTSYRGRLFVAQSLYCWPFFVDVGGEVYDPELNSWNEMPTGMGEGWPVKQAGTKLSVTVEDELFALEPSSSLDSARIKVYDYRNDTWKVLLGDIPICDMSNSESSYLLAGLLGELHVITKDSNNNITVLQTDVRNRLASSSSSFSINNTSQEEAESAAESETCVWSVIATRNGRSVDLVSCQTLNL >Manes.06G018150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3033939:3035059:1 gene:Manes.06G018150.v8.1 transcript:Manes.06G018150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPKVRIEKFDGTYFGFWKIQIEDCLYQNNLHEPLSGEKPETMKQEIWNLKNRKALGLICLTLSRNVAFNIVKKTTTVGLLTALVNMYEKPSAINKLDSTGYRTVFGRSS >Manes.17G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30566684:30572549:-1 gene:Manes.17G099400.v8.1 transcript:Manes.17G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVHRRLDSVSRHLLLPSQVSNPALFPVSVKGGQTRQEEADPVVIGGMILDIHATPSIHPSPRTTTPGKVQYALGGVARNIAECMSKLGTKSYMISAVGNDMAGNMLLEYWNSAGLSTEGIRKHKDIRTPVICNIFDTRGEVMAGVANVEAVEKFLSSEWILKSKHNISPASVLMVDANLIPPALEAACQVAAEYNIPVWFEPVSVAKSTRITSVVSNVTFASPNEDELIAMANSLCGGNRFHPIEKDNWRKCSIESLFQILKPAILVLLEKGIKIVVVTVGADGVFLCSKGSNFMRSRLERTKKHGFSGRLYDTIASNCSSSKLLGAMQAQGNSYLFAVHFPALPASVVRLAGAGDCLVGGTLASLCEGLDIMQSVAVGIAVAKRAVEAETNVPSSFSLASIADDARLVHSAAKVLFHQSML >Manes.17G037800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20929162:20930601:1 gene:Manes.17G037800.v8.1 transcript:Manes.17G037800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPMNQPFPWHYLLASPAHFSYSVSPENYVHWAQTPDSHVYSADLPGVRKEEIKVEVEDSIYLIIRTEAIDESTKPAKDFMRKFRLPGLVDIDGISAGYEDGVLRVTVPRSYTRRGLITAMPERLEVTARAA >Manes.08G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3382506:3384518:-1 gene:Manes.08G035000.v8.1 transcript:Manes.08G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSSLPSSAQRLQGKVALITGGASGIGESTARLFARHGAKVLIADIQAELGDSLSKQISSESGQQVSYVHCDVTKESEVENAVNKAVSLHGKLDIMFNNAGITGKYDTSISSFEAEEFKKVFDINVYGGFLGAKHAARVMIPEKKGSILFTSSVASVTYGGVPHPYSASKHAIVGLTKNLAVELGQHGIRVNCISPSGMPTPLVARTMGGLDMKQVQEALLAVANLKGVKVDCNDVAEAALYLGSEDSKFVSGLNVVVDGGYSLRNAGYGINK >Manes.09G012500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2951988:2956147:1 gene:Manes.09G012500.v8.1 transcript:Manes.09G012500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHIVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCMESKIHKAVEFFDDMVARGYQPNVRTFNVIVNGLCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISPDVITYTSLIHSVCKLGQKNQALALMNEMVEWNILPNVYTFNVLIDALCKDGMVSESQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKQMVGRNISPDVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADILGYSILINGYCKCKMIDDAEELFDEMSHKGLVPNVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSIMIDGLCRQGNLDEALALLKAMEKSRLKPNVVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLLRNNDLILRLLKARN >Manes.03G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10253326:10257642:-1 gene:Manes.03G069800.v8.1 transcript:Manes.03G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVKFAFFFCLVVLILSSSHSLSSHNLHLMRQASILVSVKQSFESYDPSLNSWDMKNYLLLCSWAGIRCDVMNRSVVSLDISNFNISGSLSPVITDLRSLVNLSLPGNSISGEFPRDIHMLSRLQFLNVSDNQFSGELDWDFSQLKGLQVLDVYDNDFNASLPLGVTQLTKLKHLDLGGNYFTGTIPASYGSMVQLNYLSVKGNDLRGFLPGELGNLTNLERLYLGYFNEFDGGIPPELGKLINLIHLDLANCSLEGPLPPELGNLNKLDTLFLQTNELSGSIPRELGNLSSIKSMDLSNNFLTGDIPPEFSGLQELTLLNLFLNKLHGEIPGFIAELPKLEVLKLWRNNLTGAIPEKLGENGRLIELDLSSNKLTGLVPRSLCLGRKLKILILRINFLFGPLPDDLGHCDTLTRVRLGQNYLAGSIPSGFLYLPELSLMELHNNYLSGRVLEQTSKISSKLKQLNISNNRLSGSLPASIGNYSSLQILLLNGNQFTGEIPPEIGRLKNVLTLDMSRNNFSGNIPPEIGNCQMLTSLDLSQNQLSGPIPVEITQIHILNYFNISWNHLNQSLPKEIGSMKSLTSADFSHNNFSGFIPEFGQYPFFNSSSFSGNPYLCGYYLIPCNNSSIFSLQFHDQNNSRAQVPGKFKLLFALGLLACSLVFAILAVIKTRKVRTNSESWKLTAFQKLEFGCEDILECVKENNIIGRGGAGIVYRGVMPNGEQVAVKKLLGISKGCSHDNGLSAEIQTLGRIRHRNIVRLLGFCSNKETNLLIYECMSHGSLGEVLHGKRGGYLRWDTRLKIAIGAAKGLCYLHHDCSPLIIHRDVKSNNILINSDFEAHVADFGLAKFLQDTGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDIVQWTKIQTNSIKEMAVKILDQRLTDVALSEAMQVFFVAMLCVQEQSIERPTMREVVQMLAEAKQPNAPNMQ >Manes.11G157900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32394660:32396996:1 gene:Manes.11G157900.v8.1 transcript:Manes.11G157900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIVSCFSENAINVSHSYSCSSYPNNACISPSLIPSIQNAVSCFYKIILSTQKQLLVTVTWCKNHTAQGLTINFGNDSSTSFKLNTSGRLFRKKKGSKVIDSDISKIEVFWDLSSAKYDSGPAPVDGFYVLVMVDSEIGLVLGDIGEEIISKKLKASTPVAKTSLISRQEHCSGNPLYATKAQFCETGIQHEILIKCSGENEGLKYPVLSVCIDKKTVIRVKRLQWNFRGNQTIFIDGLLVDLMWDVHDWFYNPASGSAVFMFRTRSGMESRLWLEEKLLQKDQEKVEFSLLIYACKSP >Manes.09G006400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1597464:1598459:-1 gene:Manes.09G006400.v8.1 transcript:Manes.09G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKNTDASLNFNFPSSSSVPAAIPYHHHQKMRKKHTKLIKIEPRLVPSTSSVAVVSKPKYQGKKHDPSAPKITMPCSECGKKFWSWKALYGHMRCHPERLWRGINPPPHYRRTVSPIREMGNVEEAALNSEDNEAAACLLMLANSDGATTLAETECGGGIGGRDGASRSSFHGQEDLNCRFECSSCKKVFGSHQALGGHRASHKNVKGCFALNCEMVEDHNGSGGCGGGSDGGLKENVEDDSVDNKMLMVLGHKCSICLRVFSSGQALGGHKRCHWEKGEETLSSSMNQGGVLATKEEASGLDLNLPAPLEDESSSSYSLDLAVDLRLGL >Manes.09G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33087313:33092566:-1 gene:Manes.09G128300.v8.1 transcript:Manes.09G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEILCGLIVYKLFRRFFVDDDDLLEVESSDTTALFNVANRLEKLYGGKAYVGLRIPDADTGSRQNVDIVLVTKGEIVVISVKNFSGLVTISGDGSWVCENGKHKAQHFPDPVEEAKKQASVLESYLEQRGVALPEGYLSYKVVLPNPKFWTFHLSNFPSEVITYDQWVQLKPEPKGMFSGWIKGAFHGGKKDMQESIHEKLNFTLSTAPMWDRLELKGNKYVLGEFLEFKGKEEDIISLRNIKRSKVSRLVVQKTSMLGLAHSKLQVMYSPRDYRSEGASGSEWKEANVRSSTEVLFQPENSRKVRKFKLSSIISMSLTA >Manes.03G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25619221:25623646:1 gene:Manes.03G129500.v8.1 transcript:Manes.03G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSKDKRTQPRFINDAAGIHNNKRSQEPIIYQSKSPPQPAYQLPSQPPAQTPRKPDAPAPSPKPVNGPDTILEKPYEDIKLFYKVGKELGRGQFGVTHLCIEISTGKQYACKSISKRKLVTKNDKEDMKREIQIMQHLSGQPNIVEFKGAYEDKQSVHLVMEICAGGELFDRIIAKGHYSEKEAATICRAIVNVVHACHFMGVMHRDLKPENFLLSSKDHNALLKATDFGLSVFIQEGKVYRDIVGSAYYVAPEVLRRRYGKEMDIWSAGVILYILLSGVPPFWAETEKGIFDAILKGDIDFDSQPWPSISSSAKDLVSRMLTQDPKKRITSAQVLDHPWLKEGGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIAENLCTEEIQGLKSMFANIDTDNSGTITYDELKAGLARLGSKLTEAEVKQLMEAADVDGNGTIDYIEFITATMHRHRLERDEHLYKAFQYFDKDNSGFITTDELETAMKDFGMGDDETIKEIISEVDTDNDGRINYEEFCTMMRTGNQHQGRLF >Manes.05G164400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27685931:27691106:1 gene:Manes.05G164400.v8.1 transcript:Manes.05G164400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHREPPKFPCLEPEVDRISSLPGHVLDQILSQLSIRDAVRTSALSSKWRYKWAKIPQLVFDSNCVPNPSQDQTLIKNKLVNIVDHVLLLHTGPIHKFKLSHRDILGVSDIDRWILHLSRSSIKEFILEIWKGQRYKVPSSLFSCEHLIHLELFNCLLKPPLSFKGFRNLKSLDLQHITLTQHVFENLILSCPLLERLTLMNFDGFTHLNINATNLQFFDIGGVYDEVSFENTFQLTLISIGLYVNVKNDRNAVHGNSSKLLRFFANLPHIRRLEIQSLFLKYLAIGNIPSRLPRPCIDLNYLSIRINFNDMEENSAALCILRSSPNVQELEMLARPEEQASVGAISNFWEDDHWNSLFGQLRLVKIVGISGVKSELDFINFLLSNSPVLERMTVRPATNDGGFELLKELLRFRRASVRAEIIYLDP >Manes.05G164400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27685794:27690685:1 gene:Manes.05G164400.v8.1 transcript:Manes.05G164400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHREPPKFPCLEPEVDRISSLPGHVLDQILSQLSIRDAVRTSALSSKWRYKWAKIPQLVFDSNCVPNPSQDQTLIKNKLVNIVDHVLLLHTGPIHKFKLSHRDILGVSDIDRWILHLSRSSIKEFILEIWKGQRYKVPSSLFSCEHLIHLELFNCLLKPPLSFKGFRNLKSLDLQHITLTQHVFENLILSCPLLERLTLMNFDGFTHLNINATNLQFFDIGGVYDEVSFENTFQLTLISIGLYVNVKNDRNAVHGNSSKLLRFFANLPHIRRLEIQSLFLKYLAIGNIPSRLPRPCIDLNYLSIRINFNDMEENSAALCILRSSPNVQELEMLARPEEQASVGAISNFWEDDHWNSLFGQLRLVKIVGISGVKSELDFINFLLSNSPVLERMTVRPATNDGGFELLKELLRFRRASVRAEIIYLDP >Manes.05G164400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27685794:27690335:1 gene:Manes.05G164400.v8.1 transcript:Manes.05G164400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHREPPKFPCLEPEVDRISSLPGHVLDQILSQLSIRDAVRTSALSSKWRYKWAKIPQLVFDSNCVPNPSQDQTLIKNKLVNIVDHVLLLHTGPIHKFKLSHRDILGVSDIDRWILHLSRSSIKEFILEIWKGQRYKVPSSLFSCEHLIHLELFNCLLKPPLSFKGFRNLKSLDLQHITLTQHVFENLILSCPLLERLTLMNFDGFTHLNINATNLQFFDIGGVYDEVSFENTFQLTLISIGLYVNVKNDRNAVHGNSSKLLRFFANLPHIRRLEIQSLFLKYLAIGNIPSRLPRPCIDLNYLSIRINFNDMEENSAALCILRSSPNVQELEMLARPEEQASVGAISNFWEDDHWNSLFGQLRLVKIVGISGVKSELDFINFLLSNSPVLERMTVRPATNDGGFELLKELLRFRRASVRAEIIYLDP >Manes.05G164400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27685931:27691106:1 gene:Manes.05G164400.v8.1 transcript:Manes.05G164400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHREPPKFPCLEPEVDRISSLPGHVLDQILSQLSIRDAVRTSALSSKWRYKWAKIPQLVFDSNCVPNPSQDQTLIKNKLVNIVDHVLLLHTGPIHKFKLSHRDILGVSDIDRWILHLSRSSIKEFILEIWKGQRYKVPSSLFSCEHLIHLELFNCLLKPPLSFKGFRNLKSLDLQHITLTQHVFENLILSCPLLERLTLMNFDGFTHLNINATNLQFFDIGGVYDEVSFENTFQLTLISIGLYVNVKNDRNAVHGNSSKLLRFFANLPHIRRLEIQSLFLKYLAIGNIPSRLPRPCIDLNYLSIRINFNDMEENSAALCILRSSPNVQELEMLARPEEQASVGAISNFWEDDHWNSLFGQLRLVKIVGISGVKSELDFINFLLSNSPVLERMTVRPATNDGGFELLKELLRFRRASVRAEIIYLDP >Manes.15G187600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27485575:27496976:-1 gene:Manes.15G187600.v8.1 transcript:Manes.15G187600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGFVHKTWEKWALLNIGSTDKPLKAALLINYEPSGPSRLLSTIAEQEGIKVNPIELSQFIDFIKHNKLQTESFIIGPNQYMVTSIHENWFSARCLNTSKPAGEGAIVMQTAAFLLLALYDGSIGVASCAVMAVDQFAWQLGRRNL >Manes.15G187600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27486325:27496971:-1 gene:Manes.15G187600.v8.1 transcript:Manes.15G187600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGFVHKTWEKWALLNIGSTDKPLKAALLINYEPSGPSRLLSTIAEQEGIKVNPIELSQFIDFIKHNKLQTESFIIGPNQYMVTSIHENWFSARCLNTSKPAGEGAIVMQTAAFLLLALYDGSIGVASCAVMAVDQFAWQLGRRNL >Manes.15G187600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27486559:27493341:-1 gene:Manes.15G187600.v8.1 transcript:Manes.15G187600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGFVHKTWEKWALLNIGSTDKPLKAALLINYEPSGPSRLLSTIAEQEGIKVNPIELSQFIDFIKHNKLQTESFIIGPNQYMVTSIHENWFSARCLNTSKPAGEGAIVMQTAAFLLLALYDGSIGVASCAVMAVDQFAWQLGRRNL >Manes.15G187600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27485573:27496976:-1 gene:Manes.15G187600.v8.1 transcript:Manes.15G187600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGFVHKTWEKWALLNIGSTDKPLKAALLINYEPSGPSRLLSTIAEQEGIKVNPIELSQFIDFIKHNKLQTESFIIGPNQYMVTSIHENWFSARCLNTSKPAGEGAIVMQTAAFLLLALYDGSIGVASCAVMAVDQFAWQLGRRNL >Manes.15G000900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:405562:406968:-1 gene:Manes.15G000900.v8.1 transcript:Manes.15G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSGRSLASNTKTTSDFKEAFDILDADHDGKISRDDLRRFYAGLSSGDADNDEVLGSMISVADFNKDGFVEFEEFKRVLDGNGKKRSCNNGVMEDVFKVMDKDGDGKLSHDDLKSYMQWAGFDASDDDIKAMMKLGGGADKDGVSFGDLLKILSLDS >Manes.06G040250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12298181:12301854:-1 gene:Manes.06G040250.v8.1 transcript:Manes.06G040250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding STEGDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALDNLEVLHLTDNSFHKSILSSLSGLSSLKYLSLSVNRLKGIINIEEFNHLISLEELYLSANAIEGFISSNGSEELSKLSNLEHFDLSYNHVNISLLSPFIRLPSLRYLDLGYNQLEGLFNFKELGKSKLVALDLSGNIVTEFVDSKEIRASNNISELYLDDITITKGSKLLESLGVFSHLKSLSLQYCKFEGAILHQGKLITLLL >Manes.15G065210.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4988164:4988896:-1 gene:Manes.15G065210.v8.1 transcript:Manes.15G065210.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKIFLLLVGLTFAVLLISSEVFAHEFTEAVHQIQDSVKTDVNSFPAGKGYGHGHKHGHKHGHGHRKGHKHRHHGKHGYGGGKTKAMVEAETGN >Manes.05G027601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2383534:2384388:1 gene:Manes.05G027601.v8.1 transcript:Manes.05G027601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYSKLVQTPEEIGREERVQSRRVRYGYCYLSMPQHLLASSLTGYLSPDWFVVHAHFYQVHTILLFFPCLFTSWYL >Manes.01G151800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34002925:34005388:-1 gene:Manes.01G151800.v8.1 transcript:Manes.01G151800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSIISAATFTSSSLAPVFGSNDFSNRKLLPLPSRVNYPGRWSVGIDRKSFRLCPKASLRGNLEAVGVPTSVPIRVAHELLLAGHRYLDVRTPEEFSAGHVVGAINIPYMYRVGSGMSKNPKFLEQVSSHFGKYDEIIVGCQSGKRSMMAATDLLAAGYTAITDVAGGFAAWTQNGLPTED >Manes.08G159700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39585817:39587680:1 gene:Manes.08G159700.v8.1 transcript:Manes.08G159700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWFLIVISLCTSYLVNSVFKLFFPTRNLPPGPFALPIIGNFLFLRRSSFDLEPILRSIHAKFGPIITLYMGSRPAIFIADHSLAHQALVQSGALFADRPPAFPVSKIISSNQHNISSAFYGPTWRLLRRNLTAEILHPSRVKSYAHARKWVLQILRNRIVSQAKTGHPVSVLDNFQFSMFALLVLMCFGDRLDEDQIKQIERAQRDIILCYVKFNILNSFPRLSKIFMRRRWEEFFQIRQRHRDVLIPLIRARKKFKEDKKEYQDGYVICYADTLLDLDLPSEKRKLNEDEMVSLCSEFLNGGTDTTSTALQWIMANLVKYPQIQQNIFMEIKRVMTDEEEEEEVKEEDLQKMPYLKAVILEGLRRHPPGHFVLTHAVTEDAFLEKYLIPKNGIVNFMVAEMGWDPKVWEDPMAFKPERFVNSEGEVFDITGSREIKMMPFGVGRRICPAYGLAMLHLEYFVANLIWNFEWKAVNGDEVDLSEKLEFTVMMKNPLQAQVFPRLK >Manes.15G130200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10404938:10406019:-1 gene:Manes.15G130200.v8.1 transcript:Manes.15G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLKGKLDTEVELKSSAHEFFNFWRGQVHQTPNHTPANVQEIKLHEGDWESSGSIKIWHYTLDGKPGVFKERIEIDEENKIVKLIGLEGDVFKFYKVYNGIWHITPKPEGCSAKLTIEYEKLDETSPDPHIYLDFITRMTKDIDAGLVQA >Manes.05G195000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31907863:31911091:1 gene:Manes.05G195000.v8.1 transcript:Manes.05G195000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAAVPFWRAAGMTYITYSNICANLVRNCLKEPYKTEALTREKVHFSVSKWVDSKPQKPTLRSDTPEA >Manes.16G113500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31910254:31911571:1 gene:Manes.16G113500.v8.1 transcript:Manes.16G113500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIILVGTKLDLREDRGYLADHTSSNVITSAQG >Manes.05G092700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8482257:8483770:1 gene:Manes.05G092700.v8.1 transcript:Manes.05G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPCLFPCTTEKQPQTHPNDLISSESSTFSSLDSQQSLLSVPSLTPQSSQLHHHQIPIVHHQCLATLSGHSSSVSSLTLAGKLLYSGSSNNELRAWRPDPSNSVHPDSTDKIIATSNGAFKSLVIIGDKLFSAHQDCKIRVWKINKNSHQKYNCIATLPTMNDRFFRFFSGKNYVQVRRHKKCTWVHHVDTITALAISRDGSLLFSASWDRTFKVWRTTDFKCLESVSKAHDDAINAMILSNDGFVYTGSADKKIKVWNKHEGKKKHSLVATMEGHKSAVNALALDTELCVLYSGACDRSILVWEKADTGSGHMVVAGALRGHSKAILCLAVVSDLVCSGSADNTVRIWRRGEEKNYYCLAVLEGHTRPVKCLTAAVDHTNNEGRGSVFYSAGTSYLVYSGSLDCDVRIWHVFLGSNSSVS >Manes.06G017400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2877602:2880889:1 gene:Manes.06G017400.v8.1 transcript:Manes.06G017400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPTDLTSISMDPIFHTLKIIPFSVLRPPRLRLKLPTLTLPSPMTVFALVLLTYFMVVSGIVYDVIVEPPGIGSTQDPATGSIRPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIVLMDLALDKNRAKSVKVSYATAGISSLIIAYVMTVMV >Manes.12G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:454135:455906:-1 gene:Manes.12G002500.v8.1 transcript:Manes.12G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDSEKADKLKQSLPPGLSVQDL >Manes.08G031100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3017351:3018437:1 gene:Manes.08G031100.v8.1 transcript:Manes.08G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMKLPFLSKINTTDSSRSSSSSSSSWPWPAYCQQPKTLSFRTSENMFKTLNSAYIDVVDTPAESCFTNSSESASFSTASDDQSGAGDPAIETVIRGLRSERLFFEPGESNSILEEAKTSSGGDNELPFKESVILSMDSQDPCEDFKKSMEEMVEAHGIKDWEGLEELLCCYLKVNAKSNHGYIIGAFIDLLVGFAFVSSTTNDSCCSCSRSPSSPLSFYTSSFSDDSSSTPCVSSLEADQEDIHISPCLSSFEAKPQNEIIKEN >Manes.13G095300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27878173:27883627:-1 gene:Manes.13G095300.v8.1 transcript:Manes.13G095300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVHREDFRIHELCLKSGPNLGLVASEVRLLFDLYQAEPTWTVKHVGGAMRGAGADQISVLVRTMVESKASKNVLRLFYALGYKLDHELLRVGFAFHFQRGARITVAVSSVNKMLKLHATDGDEAVPVTPGIQLVEVTAPATSENYSEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >Manes.13G095300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27878173:27883633:-1 gene:Manes.13G095300.v8.1 transcript:Manes.13G095300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVHREDFRIHELCLKSGPNLGLVASEVRLLFDLYQAEPTWTVKHVGGAMRGAGADQISVLVRTMVESKASKNVLRLFYALGYKLDHELLRVGFAFHFQRGARITVAVSSVNKMLKLHATDGDEAVPVTPGIQLVEVTAPATSENYSEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >Manes.13G095300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27878173:27883627:-1 gene:Manes.13G095300.v8.1 transcript:Manes.13G095300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVHREDFRIHELCLKSGPNLENNKSSKKKSRLVASEVRLLFDLYQAEPTWTVKHVGGAMRGAGADQISVLVRTMVESKASKNVLRLFYALGYKLDHELLRVGFAFHFQRGARITVAVSSVNKMLKLHATDGDEAVPVTPGIQLVEVTAPATSENYSEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >Manes.07G071501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:13162028:13162988:1 gene:Manes.07G071501.v8.1 transcript:Manes.07G071501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTQTVPNDMLQDSVSNYVGEHGSWDWSQISHFVSHSSLLTLSSVSAPQATAGADNDWTRLRQAACGS >Manes.01G124000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31950159:31955062:-1 gene:Manes.01G124000.v8.1 transcript:Manes.01G124000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPETLEGFLAWAAELGISDSLHNFQSQKPRICLGNSLVVSFFPDAGGRGLGAARDLRKGELILRVPKSALLTRDSLLKDGILSSAANGHRCLSPTQIMTVCLLYEMGKGKNSFWYPYLKHLPRSYEILATFSEFEKQALQVDDAVWTTEKAISKAETEWKQATLLMQELKLKPRLLSLRAWIWASATISSRTLHIPWDEVGCLCPVGDLFNYAAPGGESKDIENVENLMHSSSLQDDSLSSGHSTDSLLVERYDAQLQRLTDGGYDDDIGAYCFYARNNYKKGEQVLLSYGTYTNLELLEHYGFLLNKNPNDKVFIPLEPSMYSCNSWPKESMYIHQDGQPSFALLSALRLWTTPQSQRRSIGHLAYSGSQLSVENEISVLKWISQNCRVILNTLPTTVEGDSLLLFTIDEIQNAGNPMELRKLLCQLESEACAFLEANSLQKEENGGELVLSRKTKRSIERWKLAVEWRLRREGD >Manes.01G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31951580:31955062:-1 gene:Manes.01G124000.v8.1 transcript:Manes.01G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPETLEGFLAWAAELGISDSLHNFQSQKPRICLGNSLVVSFFPDAGGRGLGAARDLRKGELILRVPKSALLTRDSLLKDGILSSAANGHRCLSPTQIMTVCLLYEMGKGKNSFWYPYLKHLPRSYEILATFSEFEKQALQVDDAVWTTEKAISKAETEWKQATLLMQELKLKPRLLSLRAWIWASATISSRTLHIPWDEVGCLCPVGDLFNYAAPGGESKDIENVENLMHSSSLQDDSLSSGHSTDSLLVERYDAQLQRLTDGGYDDDIGAYCFYARNNYKKGEQVLLSYGTYTNLELLEHYGFLLNKNPNDKVFIPLEPSMYSCNSWPKESMYIHQDGQPSFALLSALRLWTTPQSQRRSIGHLAYSGSQLSVENEISVLKWISQNCRVILNTLPTTVEGDSLLLFTIDEIQNAGNPMELRKLLCQLESEACAFLEANSLQKEENGGELVLSRKTKRSIERWKLAVEWRLRYKKILVDCISYCSETINYLSSQNFSTVRTK >Manes.01G124000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31950049:31955097:-1 gene:Manes.01G124000.v8.1 transcript:Manes.01G124000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPETLEGFLAWAAELGISDSLHNFQSQKPRICLGNSLVVSFFPDAGGRGLGAARDLRKGELILRVPKSALLTRDSLLKDGILSSAANGHRCLSPTQIMTVCLLYEMGKGKNSFWYPYLKHLPRSYEILATFSEFEKQALQVDDAVWTTEKAISKAETEWKQATLLMQELKLKPRLLSLRAWIWASATISSRTLHIPWDEVGCLCPVGDLFNYAAPGGESKDIENVENLMHSSSLQDDSLSSGHSTDSLLVERYDAQLQRLTDGGYDDDIGAYCFYARNNYKKGEQVLLSYGTYTNLELLEHYGFLLNKNPNDKVFIPLEPSMYSCNSWPKESMYIHQDGQPSFALLSALRLWTTPQSQRRSIGHLAYSVEGDSLLLFTIDEIQNAGNPMELRKLLCQLESEACAFLEANSLQKEENGGELVLSRKTKRSIERWKLAVEWRLREGD >Manes.01G124000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31950853:31955097:-1 gene:Manes.01G124000.v8.1 transcript:Manes.01G124000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPETLEGFLAWAAELGISDSLHNFQSQKPRICLGNSLVVSFFPDAGGRGLGAARDLRKGELILRVPKSALLTRDSLLKDGILSSAANGHRCLSPTQIMTVCLLYEMGKGKNSFWYPYLKHLPRSYEILATFSEFEKQALQVDDAVWTTEKAISKAETEWKQATLLMQELKLKPRLLSLRAWIWASATISSRTLHIPWDEVGCLCPVGDLFNYAAPGGESKDIENVENLMHSSSLQDDSLSSGHSTDSLLVERYDAQLQRLTDGGYDDDIGAYCFYARNNYKKGEQVLLSYGTYTNLELLEHYGFLLNKNPNDKVFIPLEPSMYSCNSWPKESMYIHQDGQPSFALLSALRLWTTPQSQRRSIGHLAYSVEGDSLLLFTIDEIQNAGNPMELRKLLCQLESEACAFLEANSLQKEENGGELVLSRKTKRSIERWKLAVEWRLRYKKILVDCISYCSETINYLSSQNFSTVRTK >Manes.01G124000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31951339:31955062:-1 gene:Manes.01G124000.v8.1 transcript:Manes.01G124000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPETLEGFLAWAAELGISDSLHNFQSQKPRICLGNSLVVSFFPDAGGRGLGAARDLRKGELILRVPKSALLTRDSLLKDGILSSAANGHRCLSPTQIMTVCLLYEMGKGKNSFWYPYLKHLPRSYEILATFSEFEKQALQVDDAVWTTEKAISKAETEWKQATLLMQELKLKPRLLSLRAWIWASATISSRTLHIPWDEVGCLCPVGDLFNYAAPGGESKDIENVENLMHSSSLQDDSLSSGHSTDSLLVERYDAQLQRLTDGGYDDDIGAYCFYARNNYKKGEQVLLSYGTYTNLELLEHYGFLLNKNPNDKVFIPLEPSMYSCNSWPKESMYIHQDGQPSFALLSALRLWTTPQSQRRSIGHLAYSVEGDSLLLFTIDEIQNAGNPMELRKLLCQLESEACAFLEANSLQKEENGGELVLSRKTKRSIERWKLAVEWRLRKPTPKTILFSVGYGRRGSFQNPALSISSRSERCL >Manes.01G124000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31950049:31955062:-1 gene:Manes.01G124000.v8.1 transcript:Manes.01G124000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPETLEGFLAWAAELGISDSLHNFQSQKPRICLGNSLVVSFFPDAGGRGLGAARDLRKGELILRVPKSALLTRDSLLKDGILSSAANGHRCLSPTQIMTVCLLYEMGKGKNSFWYPYLKHLPRSYEILATFSEFEKQALQVDDAVWTTEKAISKAETEWKQATLLMQELKLKPRLLSLRAWIWASATISSRTLHIPWDEVGCLCPVGDLFNYAAPGGESKDIENVENLMHSSSLQDDSLSSGHSTDSLLVERYDAQLQRLTDGGYDDDIGAYCFYARNNYKKGEQVLLSYGTYTNLELLEHYGFLLNKNPNDKVFIPLEPSMYSCNSWPKESMYIHQDGQPSFALLSALRLWTTPQSQRRSIGHLAYSVEGDSLLLFTIDEIQNAGNPMELRKLLCQLESEACAFLEANSLQKEENGGELVLSRKTKRSIERWKLAVEWRLRREGD >Manes.01G124000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31951339:31955062:-1 gene:Manes.01G124000.v8.1 transcript:Manes.01G124000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPETLEGFLAWAAELGISDSLHNFQSQKPRICLGNSLVVSFFPDAGGRGLGAARDLRKGELILRVPKSALLTRDSLLKDGILSSAANGHRCLSPTQIMTVCLLYEMGKGKNSFWYPYLKHLPRSYEILATFSEFEKQALQVDDAVWTTEKAISKAETEWKQATLLMQELKLKPRLLSLRAWIWASATISSRTLHIPWDEVGCLCPVGDLFNYAAPGGESKDIENVENLMHSSSLQDDSLSSGHSTDSLLVERYDAQLQRLTDGGYDDDIGAYCFYARNNYKKGEQVLLSYGTYTNLELLEHYGFLLNKNPNDKVFIPLEPSMYSCNSWPKESMYIHQDGQPSFALLSALRLWTTPQSQRRSIGHLAYSGSQLSVENEISVLKWISQNCRVILNTLPTTVEGDSLLLFTIDEIQNAGNPMELRKLLCQLESEACAFLEANSLQKEENGGELVLSRKTKRSIERWKLAVEWRLRKPTPKTILFSVGYGRRGSFQNPALSISSRSERCL >Manes.01G124000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31950186:31955062:-1 gene:Manes.01G124000.v8.1 transcript:Manes.01G124000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEPETLEGFLAWAAELGISDSLHNFQSQKPRICLGNSLVVSFFPDAGGRGLGAARDLRKGELILRVPKSALLTRDSLLKDGILSSAANGHRCLSPTQIMTVCLLYEMGKGKNSFWYPYLKHLPRSYEILATFSEFEKQALQVDDAVWTTEKAISKAETEWKQATLLMQELKLKPRLLSLRAWIWASATISSRTLHIPWDEVGCLCPVGDLFNYAAPGGESKDIENVENLMHSSSLQDDSLSSGHSTDSLLVERYDAQLQRLTDGGYDDDIGAYCFYARNNYKKGEQVLLSYGTYTNLELLEHYGFLLNKNPNDKVFIPLEPSMYSCNSWPKESMYIHQDGQPSFALLSALRLWTTPQSQRRSIGHLAYSGSQLSVENEISVLKWISQNCRVILNTLPTTVEGDSLLLFTIDEIQNAGNPMELRKLLCQLESEACAFLEANSLQKEENGGELVLSRKTKRSIERWKLAVEWRLREGD >Manes.12G154500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36206192:36210251:1 gene:Manes.12G154500.v8.1 transcript:Manes.12G154500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSLPPGFRFHPTDVELVKYYLKRKVMGKKLLIDAIAEVDIYKFAPWDLPDKSYLKTGDLKWYFFCPREKKYASGARMNRATDLGYWKITGRDRSINYNDKIVGMIKTLVFHRGKAPKGDRTDWVMHEYKLEDKGLDLRGVAQDAYVLCSIFKKDGRGPKNGAQYGAPFKEEDWDDDEAEEEEEVNFVSSFIMSAPVPVNPNGSVVTNPHVPEGTCIGSTALCPSEMPSASNIDTVVADNYVASKEPHQVGTENDDVAVLANFSEESPGSTALCPSELPSISHIDAVDAYDDFASEEPPQVGTENDDVVAMLAIFSEESPFILTNDMNEVHNINDGGNNVVNPSSDGIDIYDGLGDLGNLNSFGDYIPPTRDQMLGGDEMQYLELMDLDEPLD >Manes.12G154500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36206192:36210251:1 gene:Manes.12G154500.v8.1 transcript:Manes.12G154500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSLPPGFRFHPTDVELVKYYLKRKVMGKKLLIDAIAEVDIYKFAPWDLPDKSYLKTGDLKWYFFCPREKKYASGARMNRATDLGYWKITGRDRSINYNDKIVGMIKTLVFHRGKAPKGDRTDWVMHEYKLEDKGLDLRGVAQDAYVLCSIFKKDGRGPKNGAQYGAPFKEEDWDDDEAEEEEEVNFVSSFIMSAPVPVNPNGSVVTNPHVPEGTCIGSTALCPSEMPSASNIDTVVADNYVASKEPHQVGTENDDVAVLANFSEESPGSTALCPSELPSISHIDAVDAYDDFASEEPPQVGTENDDVVAMLAIFSEESPFILTNDMNEQVHNINDGGNNVVNPSSDGIDIYDGLGDLGNLNSFGDYIPPTRDQMLGGDEMQYLELMDLDEPLD >Manes.12G154500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36206192:36208281:1 gene:Manes.12G154500.v8.1 transcript:Manes.12G154500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSLPPGFRFHPTDVELVKYYLKRKVMGKKLLIDAIAEVDIYKFAPWDLPDKSYLKTGDLKWYFFCPREKKYASGARMNRATDLGYWKITGRDRSINYNDKIVGMIKTLVFHRGKAPKGDRTDWVMHEYKLEDKGLDLRGVAQDAYVLCSIFKKDGRGPKNGAQYGAPFKEEDWDDDEAEEEEEVNFVSSFIMSAPVPVNPNGSVVTNPHVPEGTCIGSTALCPSEMPSASNIDTVVADNYVASKEPHQVGTENDDVAVLANFSEESPGSTALCPSELPSISHIDAVDAYDDFASEEPPQVGTENDDVVAMLAIFSEESPFILTNDMNEVCDFLYSF >Manes.02G079600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6188188:6195498:-1 gene:Manes.02G079600.v8.1 transcript:Manes.02G079600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQFPEQLQTEAYKIFSSNDVKPMETLFSLLLSPQEPQRSHAYNLLLCCKDHYPCLFFIKLSYLLRFASNIDTRANCARVLNFVQVQNLWPKLPSLAQVNMKNLFLDCLQTEKFMPVLRNLCEVVSDVAGEVFKGQDQWQELFDFLGRSLALEDDKFQETALLVFAYMPYDCRRLICEALLPRIDLLYEGLLRALASENVDVKVAAFGALVNLLHLFSGSLGPEWFNDLLRAMMMGVFDLLKGEKEECAQKGLQELTKLAMEEPQILRPYLNTLVPEMLHLVDRRTVKDETKRCAIQFLMTMVQAKALEPAIQMLPSECLVGLFIIPMRMLIGIQDAVAWYDMGSVEGDNAGETDTYKYGIECLNQMSIVFGGKKIVPIAFKVLTVYMDATEWERRHAGITMLGVISKECSYEMVMMEDYLQRAVKIILTSFQDSHPRVCWAAFHFMQLPTDLVGTIQILHHSSIVPALVAAVDKEQNPRVEEEVASALFFFVKSISQDCLIMYIDLDIILRKLLALLQGNGRTDRCRSIALCTFNTIAKQWRGACVKHSANYLPVLLEACTDKNSELRKEAICGIRICAEFGALSKLTVVMAYPNRSCIEDLKASDIAVSAIGKICEFHRDIINATKLVPTWLSFLPIKDDLTEAKSVHEQLCSMVERFDKELFGPGCEHLPKIVIVFIEVISQGSNLATTNTVRKMRDLLKQIWTEFPRTVLDQILLSLNAMQREVLANVAGLSS >Manes.14G121828.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11798433:11800600:-1 gene:Manes.14G121828.v8.1 transcript:Manes.14G121828.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFKKSKMNATEKAAEVNESAASPSPKQQQQKQAEKGEEATKQNKFFTVKFKVEEVPIVSLFTRENSKSTKSSQKQNNTEDASAAASDEKRFSKDVMQRYLKKMKPLYIRVSKRYAEKLRFSGQLSLGSGLKASAPSLPPPPSTVASAQKTSPSKSMTSAEKSQPETESTEAPPASNAKSVRQGNLPAGLRIVCKHLGKSRSASSAVAAAPTAPVMSRRRDDSLLQQQDGIQSAILHCKRSFNASRDLDSTLLSRSVSDPSYEKSHELSRKSSGEGKFPLSEN >Manes.02G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8538828:8541281:-1 gene:Manes.02G110100.v8.1 transcript:Manes.02G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYPYPSRFSMPLLPSFGDFVGKVKEVCNFAVSAIIGNIFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETSFDEVQNIFDTGGAKGLPGDSVEKIPKIKITSNNNGDASGERVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLRHASCPLCRRDL >Manes.02G110100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8538828:8541285:-1 gene:Manes.02G110100.v8.1 transcript:Manes.02G110100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLLLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETSFDEVQNIFDTGGAKGLPGDSVEKIPKIKITSNNNGDASGERVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLRHASCPLCRRDL >Manes.15G176252.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:21087692:21091377:-1 gene:Manes.15G176252.v8.1 transcript:Manes.15G176252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGSSDADIENKWLKKVIKVFELMKLTDANKVDGWFDGIHSRHGVRLTWYLSESYRKGKQDAFFKLFQGSLSIREYIDKFEDLYCFVSVILSSEEAKCDRFRQGNNFRELVEATLKYEQKMSKKHMRGSQGFRERLAKRGSSSFQSKTEYSGSGRVSFVNTEQKFDKGSFECRSSGHFKKDCPLLIARDSGSQQGSVAPQNLKYGMTPLQGVPTAQVGSSASKASGATSSSQSRLIIQPGRPCTQARVFAMT >Manes.04G043800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6404185:6419981:1 gene:Manes.04G043800.v8.1 transcript:Manes.04G043800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEVEEVLRVLDSSLSNIKWRLKSPAKRRLQLDVLALCTEMRPVIMVDYGGKMPELQEHLCALLKLCQQESAIFERLRVMVIEDMIYLIHVRALAEYVKSSLNSKVELLFVNLEQDPPKMVTQAEESSLVAELLRVQNLFSLFFPLNGLDNDLLSSCHMKDTADNTESSTNKPITSWSSEFIDLSSCLQDSQVTVPTLNGWLLGYPVIYLFSKEHIADAIYNLSTKYLHIFRISVSRFVFPHSCLDYVKHYTGHTQFEG >Manes.04G043800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6404120:6427257:1 gene:Manes.04G043800.v8.1 transcript:Manes.04G043800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEVEEVLRVLDSSLSNIKWRLKSPAKRRLQLDVLALCTEMRPVIMVDYGGKMPELQEHLCALLKLCQQESAIFERLRVMVIEDMIYLIHVRALAEYVKSSLNSKVELLFVNLEQDPPKMVTQAEESSLVAELLRVQNLFSLFFPLNGLDNDLLSSCHMKDTADNTESSTNKPITSWSSEFIDLSSCLQDSQVTVPTLNGWLLGYPVIYLFSKEHIADAIYNLSTKYLHIFRISVSSFSVPYELSMGGSKEPWAEAFLAQMQSRWKKCKSVWKPLQMEVSEYYPQAIVL >Manes.04G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6404120:6427257:1 gene:Manes.04G043800.v8.1 transcript:Manes.04G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEVEEVLRVLDSSLSNIKWRLKSPAKRRLQLDVLALCTEMRPVIMVDYGGKMPELQEHLCALLKLCQQESAIFERLRVMVIEDMIYLIHVRALAEYVKSSLNSKVELLFVNLEQDPPKMVTQAEESSLVAELLRVQNLFSLFFPLNGLDNDLLSSCHMKDTADNTESSTNKPITSWSSEFIDLSSCLQDSQVTVPTLNGWLLGYPVIYLFSKEHIADAIYNLSTKYLHIFRISVSRNISPNKGSQPEELMSFSVPYELSMGGSKEPWAEAFLAQMQSRWKKCKSVWKPLQMEVSEYYPQAIVL >Manes.14G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:805419:808855:-1 gene:Manes.14G012200.v8.1 transcript:Manes.14G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGRKQGALSPCAACKLLRRRCTQDCVFAPYFPADEPHKFASVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQINSLQTQLAIAQAEVVHMRMRQYGSSSNNITGTLDMVIDQANSGESLWSC >Manes.01G231400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39552794:39558251:-1 gene:Manes.01G231400.v8.1 transcript:Manes.01G231400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSQPAGENYANPKTCFFHVLFKAAALAFYILSALFVNSFVIIFVVTVVLAALDFWVVKNVSGRILVGLRWWNEINEEGESVWKFECLDQQSLARMNKKDSWLFWWTLYLTAAAWIILGIFSIIRFEVDYILVVGVCLSLSIANIIGFTKCRKDAKKQIQDFATQTIASRFSSTIQSAFSVV >Manes.04G161700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35580136:35581914:1 gene:Manes.04G161700.v8.1 transcript:Manes.04G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILQWVLKLFFLYSIFLQFPMSLAQTSPLQTPICSEADRAALLGFKARILQDTTQILSTWTGRDCCGGDWEGVQCNPATGRVTVLALQGPERDSEHYMRGTLSTSLGSLSFLEVMVISGMKHIAGTIPESFSGLVHLSQMILEDNSLQGNIPPGLGLLSNLNTLSLNGNRLIGQIPPSLGNLRKLQILGLARNSLTGSIPTTFQNLHSLQTLELSFNLLSGSIPDILGQFQNLTLFDLSNNLLSGQLPTSIFNLPKLQDLSLDHNQLIGKISNQIGSLKSLTHLSLSSNMLTGQIPESISRLQNLWYLNLSSNAFSDPLPPIQSRGLASLLSVDLSHNNLSLGTVPNWILEKELSDVHLAGCKLKGSLPEFTKPGSLNSIDLSDNFFTGGISRFFTNMSNLQKLKLSNNRLKFDVLEIKLPDGISSVQLQRNQLFGTLSSILNNRTSSFLETLDVSGNQISGTIPEFTEGLSLKVLNIGSNEIAGRIPNSVSNLVELEKLDISRNKIMGTIPTSLGLVAQLQWLDMSINELTGSIPRSFLGIKNLRHANFRANRLCGEIPQGRPYNIFPASAYAHNQCLCGKPLPPCRGKN >Manes.09G058720.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9403599:9406776:-1 gene:Manes.09G058720.v8.1 transcript:Manes.09G058720.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLKQWRTQLHESEEQPSAKMPKLLMDPHQPHSQNPSAPLPLFLSESNSKISNLSALSDSTSAATPRFPRMEGSYFSLAQWQELELQALIYRYMLAGAAVPPELLQPIKKSLLHSPPYFIHHPLQHYYQPAWYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEIPTPTSSTTTTTSVGIGGGVAGGTFNSTTSTVATTLAAVTNGASLSFSGQSPSTDLLHLNNGSSDSKPEMKGLFGPQNDVANRNDGHILRHFFDDWPRSLQEPDNAGSNASPVNSATCLTISMPGNPPSDVSLKLSTGNGEEQTGPRGNEREHPQVNWTGAWGTTQVASMGGPLAEALRSSTSNSSPTSVLHQLPPGSASETSYLST >Manes.09G058720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9403599:9406776:-1 gene:Manes.09G058720.v8.1 transcript:Manes.09G058720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLKQWRTQLHESEEQPSAKMPKLLMDPHQPHSQNPSAPLPLFLSESNSKISNLSALSDSTSAATPRFPRMEGSYFSLAQWQELELQALIYRYMLAGAAVPPELLQPIKKSLLHSPPYFIHHPLQHYYQPALFQTGYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEIPTPTSSTTTTTSVGIGGGVAGGTFNSTTSTVATTLAAVTNGASLSFSGQSPSTDLLHLNNGSSDSKPEMKGLFGPQNDVANRNDGHILRHFFDDWPRSLQEPDNAGSNASPVNSATCLTISMPGNPPSDVSLKLSTGNGEEQTGPRGNEREHPQVNWTGAWGTTQVASMGGPLAEALRSSTSNSSPTSVLHQLPPGSASETSYLST >Manes.12G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:20495046:20498381:1 gene:Manes.12G095500.v8.1 transcript:Manes.12G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQRHFVLVHGACHGAWCWYKLITLLKSAGHKVTALDLAASGVHPKQVHELRSISDYCEPLTKFMMSLPAEERVILVGHSFGGLGISIAMEKFPEKISAGVFAAAIMPGPDFTYTTVKEELARRMDRNVDTQYTYDDGPNNPPTSSLFGPIGMSTMVYQLSPPEDLMLGMMLIRPDRLLSDAAVQIEAELTKERHGTVPRIYIVCGQDKTLKLDLQRWMIQQNPPDEVKLISDSDHMVMFSKAQELCCCLQEIANKYF >Manes.11G150000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31452199:31454178:1 gene:Manes.11G150000.v8.1 transcript:Manes.11G150000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGKIIGLVNGCAGGWGDMGGGVTQLLMPLVFHIIKLAGATPFTAWRIAFFVPGCLHVIMGVAVLTLGQDLPDGNLSTLQKKGHVAKDKFSKILKYATTNYRTWIFFLLYGFSMGIQLTTNNIITEYFYDRFNLKLHTAGVIAACFGMTNICARPFGGYASDFTAKRFGMRGRLWTLWILQTLGAVFCIWLGRANSLPIAILAMMLSSIGIQAACGATYGIIPFVSRRSLGLLSGLTGAGGNFGSGLNQLVFFSSSRLSTATGLSLMGTSAAVCTLSVALIHFPQWGSMFLPPSKNPTGSSEEYYYMSEWMEEEMQKGMHEGSLKFAENSRSERGRRDALVQAGNVSPANNV >Manes.10G066400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:9661185:9663066:1 gene:Manes.10G066400.v8.1 transcript:Manes.10G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTHPKVAKDLSPLIKIYEDGSVERFMGIDIVPPSLDDPKTDVQSKDVVYFQEANLSSRLYLPKSIDPSNQKLPLLIYFHGGGFCIETAFSPTYHNYLNTLVAEAKIIAVSVDYRRVPEHPLSTVYDDSWSALQWVASHVNGNGPEEWLNLHADFGKLFLAGDSAGANISHHMALRFGEEKLPGVILKGIVLIHPYFWGKEPVGDEPKDSETRSKVETLWLFASPTTSGSDDPLINPAFDPRLARLGCLRVLVIVAEKDLLQNRGWYYYENLKKSGWKGVVEIMETKEEDHVFHLFKPSCENAVAMLKLICSYLNEEK >Manes.14G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5875225:5882198:1 gene:Manes.14G070700.v8.1 transcript:Manes.14G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGEKVVAVIMVGGPTKGTRFRPLSLNVAKPLFPLAGKPMVHHPISACKRIPNLAQIYLIGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDIIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRRYGGMGTILVIKVSAESASQFGELVADPDTKELLHYTEKPETFVSDLINCGVYVFTPDIFTAIRGVYSQWKDRANLRRLSSFEALQSATRILPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSVKCSALYLAQFRHTSPHLLAIGDGSKSATIVGDVYIHPSAKVHPTAKIGPNVSISANARIGPGARLISCIILDDVQVMENAVVIHSIVGWKSSIGRWARVQAAGDFNAKLGITILGEAVSVEDEVVVLNSIVLPNKTLNVSVQEEIIL >Manes.10G105900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25562041:25562999:1 gene:Manes.10G105900.v8.1 transcript:Manes.10G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRFKNTSFVIPLLILPTLMATQANALIPYTRTLWDLIPSTEDPFRILEQTPFTIPKGVEALALAKADWKETPASHVISLDIPGIKRDEIKIEVEENRVLRISGERKGEEEVEGEKWHRAERTNGKFWRQFRLPNNADLDHIKAHLEDGVLKITVPKFAEEQRRQPKVIDIVEENSSGQDIKTTKAEM >Manes.05G141500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24124097:24125980:-1 gene:Manes.05G141500.v8.1 transcript:Manes.05G141500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASFSSSFLSPSDQENLIHKLGVFKIHGRDKRGRKVLLIIGKLFPARLVSSEVLNKYLREKIFPKLEEGPFSIVYVHTDVQRSENFPGISALRSIYEAIPINVKNLLQAVYFVHPGLQARLFLATFGRLMFTGGLYWKLKYVNRLEFLWDHVRRNEIEIPEFVYDHDEELEYRPMMDYGLESDHPRILHVDNPVSMYSMRCIA >Manes.12G083900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341392:12386251:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKGVPDAFYEKDNLFSGDIKESFPGSNIGPIPSSSSELNTNWGVFGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRHPNRQANVVKDKKIDHMASTNVEGEDIIPSKTHVSQDTQKWLMKLKDLDINWVE >Manes.12G083900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12342955:12359756:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACRLPLLQANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRHPNRQANVVKDKKIDHMASTNVEGEDIIPSKTHVSQDTQKWLMKLKDLDINWVE >Manes.12G083900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341392:12386257:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRFFSVA >Manes.12G083900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341392:12384680:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKGVPDAFYEKDNLFSGDIKESFPGSNIGPIPSSSSELNTNWGVFGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRFFSVA >Manes.12G083900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341392:12386251:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKGVPDAFYEKDNLFSGDIKESFPGSNIGPIPSSSSELNTNWGVFGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRFFSVA >Manes.12G083900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12342319:12365565:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACRLPLLQANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKGVPDAFYEKDNLFSGDIKESFPGSNIGPIPSSSSELNTNWGVFGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRHPNRQANVVKDKKIDHMASTNVEGEDIIPSKTHVSQDTQKWLMKLKDLDINWVE >Manes.12G083900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341392:12365565:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKGVPDAFYEKDNLFSGDIKESFPGSNIGPIPSSSSELNTNWGVFGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRHPNRQANVVKDKKIDHMASTNVEGEDIIPSKTHVSQDTQKWLMKLKDLDINWVE >Manes.12G083900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341392:12386251:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRFFSVA >Manes.12G083900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341392:12384680:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRFFSVA >Manes.12G083900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341500:12359756:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRHPNRQANVVKDKKIDHMASTNVEGEDIIPSKTHVSQDTQKWLMKLKDLDINWVE >Manes.12G083900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12341392:12386257:1 gene:Manes.12G083900.v8.1 transcript:Manes.12G083900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCKASRFVLENSICQKQQQKNYSLQGVVGNFQLRPSHYHHQPGIRCCSSSTDGQWTSSSYDYHVNIKKKLLKTEEIRKVNCQVEVISWRERRIKAQIAVNADIQSVWNALTDYERLADYIPNLISSGRIACPHPGRIWLEQRGLQRALYWHIEARVVLDLKEFPISANNHELHFSMVDGDFKKFDGKWSLKSGTRSGTTQLSYEVNVIPRFNFPAIFLERIIRSDLPVNLQALACQAERDFIGNQIAENGKSRAILTSLGKGVPDAFYEKDNLFSGDIKESFPGSNIGPIPSSSSELNTNWGVFGKVCRLDRPCTVDEVHLRRFDGLLENGGVHRCVIASITVKAPVREVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWLLEQLGSHHTLLKYTVESKMHKDSILSEVIMEEVIYKDLPSNLCAIRDYIEKREDSNSLEINELAHCSKELHSPNGNVHSDSSMKTMKVDDFNKPNSPKQRPRVPGLQRDIEVLKSELLKFISEHGQEGFMPMRKQLRLLGRVDIEKAITHMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQKSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRFFSVA >Manes.14G165101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26107525:26108060:1 gene:Manes.14G165101.v8.1 transcript:Manes.14G165101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWILHVWNDEDCIKILKKCREALPEKTGKLIIVEAVLTPQGQDLFEHTRLIYDLLMMVLVQGKERSEAEWKKLLEEGGFSSYKIIKVPALLSIIEAYPSHATN >Manes.02G156100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12218489:12222361:1 gene:Manes.02G156100.v8.1 transcript:Manes.02G156100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKETENYTVEELQQLDADDTGRRERAQWMLDSPDPPGILQDLISSVKEVVFLHGRTTAAKQTTSGRAISFLQGLFPILRWGRVYGVSKFKSDLMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVIPPLIYALMGSSREIAIGPVAVVSMLLSSMIQKIENPATDPAAYRKMVFTVTLFAGIFQAVFGMLRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGISHFTTETDVVSVLESVFTSIDHPWCPLNFVLGCSFLIFLLIARFIGRRKNKLFWFPAIAPLISVILSTLIVFLSKADKHGVKIVKHIKGGLNPSSLHEIQFKGPHVGQAAKIGLISAIIALTEAIAVGRSFASIKGYHLDGNKEMVAMGFMNMAGSLTSCYVATGSFSRTAVNFSAGCETVVSNIVMAITVFLTLELFTRLLYYTPIAILASIILSALPGLIDLHEAYYIWKVDKLDFLACIGAFFGVLFASVEIGLLAAVTISFAKILLNSIRPGIEDLGRLPRTETYCDIKQYPMAIKTPGILVVRVNSALLCFANANFIRERIMKWVTEEEDHVFDGNTKGGIQVVILDMSNVTNIDTAGILALEELNKKLLSIETELAIANPKWQVVQKLKVAKFVDRIGRGRIFMTVGEAVAASINTKLTSLNNC >Manes.10G042300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4391995:4392207:1 gene:Manes.10G042300.v8.1 transcript:Manes.10G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGWFLNNGGIYKNQQWPWRISISSLWTRLDFQLNIIDNLMFNVLYVVESVVLVSTVCFFYLCYGCSF >Manes.12G089712.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:15407680:15409439:1 gene:Manes.12G089712.v8.1 transcript:Manes.12G089712.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDLNSKPHVVLLSSPGLGHLIPVLELGKRIVTLCNFDVTIFMVGSDTSAAEPQVLRSAMTPKLCEIIQLPPPNISCLIDPEATVCTRLFVLMREIRPAFWAAVSALKFRPAAIIVDLFGTESLEVAKELGIAKYVYIASNAWFLALTIYVPILDKEVEGEFVLQKEPMKIPGCRPVRPEEVVDPMLDRTNQQYSEYFRLGIEIPTADGILMNTWEALEPTTFGALRDVKFLGRVAKVPVFPIGPLRRQAGPCGSNCELLDWLDQQPKESVVYVSFGSGGTLSLEQMIELAWGLERSQQRFIWVVRQPTVKTGDAAFFTQGDGADDMSGYFPEGFLTRIQNVGLVVPQWSPQIHIMSHPSVGVFLSHCGWNSVLESITAGVPIIAWPIYAEQRMNATLLTEELGVAVRPKNLPAKEVVKREEIERMIRRIMVDEEGSEIRKRVRELKDSGEKALNEGGSSFNYMSALGNEWEKSWKTQSSETITVVVGEDK >Manes.17G108550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31523089:31523491:1 gene:Manes.17G108550.v8.1 transcript:Manes.17G108550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPKFGDWDVNNPASAEGFTVIFSKARDEKKSNAAGGAGVPSQRNNIHKPQENYQDPAAKKWFCCF >Manes.07G082500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:26082229:26084532:1 gene:Manes.07G082500.v8.1 transcript:Manes.07G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGLRNLTSPPSSSLFKVRSLTQWSPEAQNYTQYQNPNQANPNRLNYPTHNFAHQQRQPQQNPNQWNSGTQNYPQYPNPGQVRPNVQDYRQPTRWNNQNQGHPQARNPVQWAPQGQNPNEGRGALETRASPAPAPAPSTLDLMHLCQEGKVKDAIELMDKGVKADADCFYALFELCSKFEDAKKVHDYFLQSTYRGDLKFNNKVIEMYGKCASMTDARRVFDHMPDRNMDSWHLMINGYANNNLGDEGLQLFEQMRKLGLKPTEDTFLAVLSACASADAVEEGFLHFESMKNEYGINPGMQHYLGVIDILGKSGYVNEIEEYIEKLPFAPTVEIWDAFRNYARIHGDIDLEDRAEELMVALDPSKSVANKIPTPLPKKYSAISMLEGKNRIPEFRNPTLYKDDEKLKAMSKAVSYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGSCSCGDYW >Manes.16G004500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:569706:571608:1 gene:Manes.16G004500.v8.1 transcript:Manes.16G004500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTRGRTIGRGSTSTVSIAKLHQSGQAIAVKSAELSRSEFLQKEQSILSALICPQIIAYKGFNITEENGKLMYNIFLEYASGGTLLDAIRKHGGWLDESIIRSYTRQILLGLRHLHSNGILHRDIKAQNILITSDGAKIADLGCARRVDEVAAFAGTPVYMAPEVARGEHQGFPADIWALGSTVVEMATGRAPWTNISDPVSALYRIGFSDNVPEIPSFMSKQAKDFLSKCLKRDPMERWSASELLEHDFITEEPSSVLKDTDVDTPTSVLEQGLWDSKEHLEATWKPTSTHKSCCHSPIERIRQLAAQGNGNMPNWAWDESWVTVRSKDSAKETFASSEDGVSGEHNLNNVIPSESISFGGIRAFMCFGQCTNHKQLSMSCICREDDFCESCNFEKEISYSLFLPPFQFI >Manes.06G122500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25309953:25311998:1 gene:Manes.06G122500.v8.1 transcript:Manes.06G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDNTFQLSVKQSEPTLVPPAENTEKGLYFLSNLDQNIAVIVRTIYCFKSDVKGNEDAVEVVKNALSKVLVHYYPLAGRLTISSEGKLIVDCTGEGAVFVEAEANCAITEIGDITKPDPVGKLVYDIPGAQNILQMPLVVAQVTRFKCGGFVLGLSVNHCMLDGIAAMEFVKSWAETARGLPLKVPPFIDRSILKARNPPKIEFPHHEFAEIEDVSNTSKLYEEEITFRSFCFDPEKLQKLKKKAMEDGGVAKCTKFEALSAFVWRTRCQALRMLPDQQTKLLFAVDGRSRFVPPIPYGYFGNGIVLTNSLCKAGELVDNHLSFAVGLVQEAVKLVDDSYMRSAIDYFEVTRARPSLAATLLITAWSRLSFHTADLGWGEPILSGPVGLPEKEVILFLSDGKEMKSINVLLGLPASAMKTFEELMQI >Manes.12G058200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5797376:5798267:1 gene:Manes.12G058200.v8.1 transcript:Manes.12G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLYKKGKVHPSTPSVSHHLSLLPTTILTLAAALSDEDKQVLAYLISCCGTSNPKSTSFTGQRKTTLPTGDDHYGDDGGGNHDPLFECNCFSCYMSFWALWDTSPNRQLIHEIIEAYEEELFQKKKKSLKKKKKEKSKRVRHESKENFEEKALQEELESVEKNSSGEIEAVQPEAEKGTVRKIASFIGEKFWEIWSRG >Manes.18G144909.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21690779:21692270:1 gene:Manes.18G144909.v8.1 transcript:Manes.18G144909.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.07G141400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34649249:34651298:1 gene:Manes.07G141400.v8.1 transcript:Manes.07G141400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIWVEVCLISARGLRHTSSLWKLQWFAVGWIDPNNKYCTKIDSSGNTNPIWKTKFATLVDDANFQDMALHVEVYSREPIFLRERRQGTATVLLKEFLSKYSKSSEAFKPGKEVGSYQLRKRNSSKPQGFVDISIRLSEDGGEPSSYAGNEGEIVLMDHGSNFASSSEGGSRQGYQSEIPLAPVRRPENQSSKFSYALPMPYPTNYSNPPMGGPSYPPAAGPSHHPPRTPPPPPPPPSNVGYIPTFLPNSDYINMPSSAAAPGLGPRPGLAMGVGAGALAAGAMIFGDDFMSGFDIPAGLPDPSLTISTDPPF >Manes.07G141400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34649350:34651285:1 gene:Manes.07G141400.v8.1 transcript:Manes.07G141400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIWVEVCLISARGLRHTSSLWKLQWFAVGWIDPNNKYCTKIDSSGNTNPIWKTKFATLVDDANFQDMALHVEVYSREPIFLRERRQGTATVLLKEFLSKYSKSSEAFKPGKEVGSYQLRKRNSSKPQGFVDISIRLSEDGGEPSSYAGNEGEIVLMDHGSNFASSSEGGSRQGYQSEIPLAPVRRPENQSSKFSYALPMPYPTNYSNPPMGGPSYPPAAGPSHHPPRTPPPPPPPPSNVGYIPTFLPNSDYINMPSSAAAPGLGPRPGLAMGVGAGALAAGAMIFGDDFMSGFDIPAGLPDPSLTISTDPPF >Manes.05G167000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27920527:27922566:-1 gene:Manes.05G167000.v8.1 transcript:Manes.05G167000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFPFSDRTMIIILCLLAPLVYLFWISTKASIKKRLPPEAAGGWPVIGHLRLLAGSQPPHIVLGNLADQMGPLFTIKLGVHRNLVVNSWELARECFTTNDKAFAGRPKSLAMEILGYNYSMFGTSQYGDYWRQIRKIVSLEVLSNHRLQMLKHVREAEVRTAIEDLYQQWMKNKNNSDKLLAEMKRWFSNVALNVVFKIIVGKRFVNSEKGEDGRESDDEWRSALRDFFKLIGKFVVSDAFPFLRRLDLGGDEKEMKKTAKELDNIVEGWLQEHKQKRASGKAYKGGEADFMDVLLSILEDAEELSGQDVDIINKSTCLALILGASDTTTVTLTWAFSLLLNNRHVLKKAQQELDIVVGRERQVNESDMKDLVYLQAIIKETFRLYPAAPLSVPHESIEDCSVGGYHIPAGTRLIVNLSKLHRDSRVWVNPSEFKPERFLTTHKDIDFKGQNFELIPFGSGRRMCPAVSFASQVVNLTLATLLHSFEIETPSGQPVDMSESAGMTNLKATPLAVLLMPRLPPALYTAPPEL >Manes.15G003720.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:106028:115694:1 gene:Manes.15G003720.v8.1 transcript:Manes.15G003720.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQIFLSRIPLSPAENKGYPFHIKRKQFPLKLCYAMTINKMSLQTIPNVGIYLHEHIFSHGQLYVALISMSTTKVSMKSNKTKRKKRTYTKNIIYKEVL >Manes.02G132300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10057083:10057662:1 gene:Manes.02G132300.v8.1 transcript:Manes.02G132300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWIFDKKGVARLVSNPTRESFEHREPPSPGTATAPGARPKVLVYLPTNHVIRSYTELEYRLNELGWTRYYNSNQPNLIQFHKSDNSAHLISLPKHFSNFRSIHMYDIVVKNRSFFEVRDPMAR >Manes.13G134400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34219589:34224597:1 gene:Manes.13G134400.v8.1 transcript:Manes.13G134400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVFKEVSLSSTIFGLTRVEQGQTKIRNVPIAVTPEGFWCCPSPVVFQKTVKTQNPLNKTKPSSPPPKTTVQKKQAPFNERKPPPVPLRPGVVSDDQRNCVSDTPTVSAPIANERGPRPKIESLPRKVAIEFGEPGTSDMKVVLLGKQGFCVKLSVHKKVLVENSSYFADKLSGEESDLSCLEIDDCDDVEIYVETVGLMYCKEMKQRLIKQSVSRVLRIIKIAEFIGFASCIQSCLEYLEAVPWVGEEEEEKVVSSVLRLQNEGVGVTPVLKRVSSEVVNLPKDTLSHIMELVLTSNEERGRREMKSVVLKLLRENNSLPSYAGSADICNETIYSSCRSCTDSLLSLFREAAEPEFANKPMDSREPVVKRIALEADNLSWLLEILADRQAADEFALMWASQQELTALHAKLPIVSRYHVSCITARLFVGIGRGELLPSKDTRHLLLQTWLEPLINDYSWLQHGCRSFDRKVVEEGIGRTILTLPLENQQSILLAWLGSFLKAGDNCPNLQRAFEVWWRRTFIRPYSETQGNTLQSDSSMTSKQ >Manes.13G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34219410:34224597:1 gene:Manes.13G134400.v8.1 transcript:Manes.13G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRLTRVEQGQTKIRNVPIAVTPEGFWCCPSPVVFQKTVKTQNPLNKTKPSSPPPKTTVQKKQAPFNERKPPPVPLRPGVVSDDQRNCVSDTPTVSAPIANERGPRPKIESLPRKVAIEFGEPGTSDMKVVLLGKQGFCVKLSVHKKVLVENSSYFADKLSGEESDLSCLEIDDCDDVEIYVETVGLMYCKEMKQRLIKQSVSRVLRIIKIAEFIGFASCIQSCLEYLEAVPWVGEEEEEKVVSSVLRLQNEGVGVTPVLKRVSSEVVNLPKDTLSHIMELVLTSNEERGRREMKSVVLKLLRENNSLPSYAGSADICNETIYSSCRSCTDSLLSLFREAAEPEFANKPMDSREPVVKRIALEADNLSWLLEILADRQAADEFALMWASQQELTALHAKLPIVSRYHVSCITARLFVGIGRGELLPSKDTRHLLLQTWLEPLINDYSWLQHGCRSFDRKVVEEGIGRTILTLPLENQQSILLAWLGSFLKAGDNCPNLQRAFEVWWRRTFIRPYSETQGNTLQSDSSMTSKQ >Manes.13G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:958038:960073:1 gene:Manes.13G003900.v8.1 transcript:Manes.13G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLMALSHFLLLIFVSLFGTDAAKIITILNKCDFTIWPGYQIGFPLGDGELRPVSSGFELSAGASLNLTVAHYATVWGRTGCSFNESGLGTCITGDCDGRLSCGDDETGWWYITPQPVTHASLRFGEDKFPDVFALNVGDGYNIPISITPYGGSNGGEGRCKATSCSIDLNKSCPDELKVRSNGSTVACRNPCLRYFSPEPQFCCPYGYERGDCQPTNYYEVFEAACPSAGAAPEFDSSKSSVCSYANNYLISFC >Manes.17G056800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25564152:25570860:1 gene:Manes.17G056800.v8.1 transcript:Manes.17G056800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWSPNGFRFLWFFILILGLEIQGYWSLNNEGIVLLEFRARVSSDPSGAFSNWNPSDNNPCLWLGVHCVAGKVQILDLSGLSLEGILAPDLGKLSHLRSLVLCENRFFGTIPEEIGGLSKLELLDLRNNNFSGAIPVSIGRMSSLKCLLVCHDKFEGSSPLEIAGLYLFSESQFDENLACAAASGTSCINRKFGNGMWQSSLKRLNDAYSLIIPIRGAVIRYFNSLPLQLFKLGKGSLHECDNSCCEKLASSSEPPMAQNVEILVNFARRKLFEESGNLPAPPASNGSSTQQIIALPASQSSGSFPAISTAKKTQPPAPPSSKGFQSDSVFSSTNNHSPRDETLKWIYFLIIPIMFVLAIITMCLLCMCRKRGVANIGPWKTGLSGQLQKAFVTGVPKLNRAELETACEDFSNIINTLPGSIIYKGTLSSGVEIAVASSLVASSKDWSKNLEMSYRKRIDTLSRLNHKNFVNLIGYCEENLPFSRMMVFEYAPNGSLFEHLHVKEMEHIDWSGRMRIIMGVAYCLQYMHHDLNPPLAHTHLSSHAIFLTDDYAAKIAEVCFMPHAPSKSKSSGDNASKHLTRPPMNDIEANVYCFGTLLLEIISGKLQYSEEQGSLEKWAAEYLNDKRSVSYLIDPTLKSFKNNELDIICEIIQECIQPNPPQRPTMRDIAPKLRGVIGVSPDQATPRLSPLWWAELEILSVETT >Manes.17G056800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25564164:25570816:1 gene:Manes.17G056800.v8.1 transcript:Manes.17G056800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRWSPNGFRFLWFFILILGLEIQGYWSLNNEGIVLLEFRARVSSDPSGAFSNWNPSDNNPCLWLGVHCVAGKVQILDLSGLSLEGILAPDLGKLSHLRSLVLCENRFFGTIPEEIGGLSKLELLDLRNNNFSGAIPVSIGRMSSLKCLLVCHDKFEGSSPLEIAGLYLFSESQFDENLACAAASGTSCINRKFGNGMWQSSLKRLNDAYSLIIPIRGAVIRYFNSLPLQLFKLGKGSLHECDNSCCEKLASSSEPPMAQNVEILVNFARRKLFEESGNLPAPPASNGSSTQQIIALPASQSSGSFPAISTAKKTQPPAPPSSKGFQSDSVFSSTNNHSPRDETLKWIYFLIIPIMFVLAIITMCLLCMCRKRGVANIGPWKTGLSGQLQKAFVTGVPKLNRAELETACEDFSNIINTLPGSIIYKGTLSSGVEIAVASSLVASSKDWSKNLEMSYRKRIDTLSRLNHKNFVNLIGYCEENLPFSRMMVFEYAPNGSLFEHLHVKEMEHIDWSGRMRIIMGVAYCLQYMHHDLNPPLAHTHLSSHAIFLTDDYAAKIAEVCFMPHAPSKSKSSGDNASKHLTRPPMNDIEANVYCFGTLLLEIISGKLQYSEEQGSLEKWAAEYLNDKRSVSYLIDPTLKSFKNNELDIICEIIQECIQPNPPQRPTMRDIAPKLRGVIGVSPDQATPRLSPLWWAELEILSVETT >Manes.09G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11225100:11228842:-1 gene:Manes.09G063800.v8.1 transcript:Manes.09G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLRLALGSRSSQTLSSTATNPVTARNYSLLASAARAFSSATAPIKATLFPGDGIGPEIAESVKQVFKVAEVPIEWEEHYVGTEIDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCNSLPGYKTRYDDVNLITIRENMEGEYCGLEHQVSVVESLKIITRQASLRVAEYAFHYAKTHGQEKVSAIHKANIMQKTDGLFLKCCREVAEKYREIIYEEVVIDNCCMLLVKNPACFDVLVMPNLYGDIISDLCAGLVGGLDLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVSMLRHLELNDRADKIQDAILNTIAEGKYRTADLGGTSSTTDFTKAICDHL >Manes.12G049491.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4358034:4360571:1 gene:Manes.12G049491.v8.1 transcript:Manes.12G049491.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRKHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSSPSDRQLIRLKGTSRYAWDESEEGMVRIAWEKVGKERLRDILNRVRSELLRKHKKTDVDYLYNLGPDWMETEIWNELVAYWSTPEWRKKSEAGKTNRNVEKDGTITKHSGGSIKLEVHENRLAKKLGRQPTQLKLFRATHTKKGSQGVYIDGKSRRVDVKSRRVDGAYLSAIAENVNDNCES >Manes.12G049491.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4358034:4360571:1 gene:Manes.12G049491.v8.1 transcript:Manes.12G049491.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRKHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSSPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYAWDESEEGMVRIAWEKVGKERLRDILNRVRSELLRKHKKTDVDYLYNLGPDWMETEIWNELVAYWSTPEWRKKSEAGKTNRNVEKDGTITKHSGGSIKLEVHENRLV >Manes.12G049491.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4358034:4360571:1 gene:Manes.12G049491.v8.1 transcript:Manes.12G049491.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRKHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSSPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYAWDESEEGMVRIAWEKVGKERLRDILNRVRSELLRKHKKTDVDYLYNLGPDWMETEIWNELVAYWSTPEWRKKSEAGKTNRNVEKDGTITKHSGGSIKLEVHENRLAKKLGRQPTQLKLFRATHTKKGSQGVYIDGKSRRVDVKSRRVDGAYLSAIAENVNDNCES >Manes.08G026100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2550924:2555700:1 gene:Manes.08G026100.v8.1 transcript:Manes.08G026100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRKLNSLAMVAVDSTRGTTKSKREKLELQTWSDLPTELLELIFCHLTLEDNIHASVVCKRWHTAAVSVRVVNQSPWLMYFPRYGNLYELYDPAQRKTYSLELPELYGSRVCYTKDGWLLLFRPRTQRVFFFNPFTKELIKLPRFELTYQIVAFSASPTSTSCIVFTVKHISPTVVAISTCHPGAAEWVTVNYQNRLPFVSSIWNKIVFCSGLFYCLSLTGWLGVFDPVQRTWNVLVVPPPKCPENFFAKNWWKGKFMSEHNGDILVIYTCCSENPIIFKLNQSNMMWEEMKTLDGVTLFASFLSSHSRTDLPGIMRNSIYFSKVRFFGKRCISYSLDDCRYYPRKQCYDWGEQDPFENIWIEPPQGLSSFI >Manes.16G128500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32956514:32965958:1 gene:Manes.16G128500.v8.1 transcript:Manes.16G128500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDKELEQQLMEAGIKLVNPPSSVDELLPLLDQVENCLSKVEQSPSKSMQIALAPSLNSLVARQLFRHSDVDVKVAVASCISEITRITAPDAPYEDYQMKDVFQLIVSSFQNLDDMSSQSYVKRTSILETVAKVRSCVVMLDLECDALIIEMFQHFLNAIRDDHPENVFSSMETIMILVLEESEEISPELLSPLLASVKRGNEEVLPVARKLGEKVLESCANKVKPYLQHAVKSLDISLDDYSEIVASICQSGTVEQNDAHAADENKVEESNPAGESLDEADKDMAAEGGSPKQADPVNDKTPKSIVSNGVAQTGEEDTLVDSCCLKKQDDGNHADQSKSIDMSSNAKTDILDTEKAVTEQSKPAHPTKKRGRKLSSSTKLTEPSESTRIDAEKGAEKLLDDEAHSKDVPVSPRKEPFAEAAVSSENKKEAGSSRPSFPKAVEGKCMTAASPSGSGSLLDESLSKKAARSKKKEILIKESEPSVDDVPKKVLEGEGASDLEAKPKKRSARKVHARVSCEEKTPIATDASNRGSGTTSESETKQLKQSSKKVDSSSKNGDESSLNHSQDKKHRNRGKSTSEKNVTKSSTKDDDMEKFSSPKLVTKLAKDEHQMEETPKVNSKRKCASGSEKASGTREYDASLVNLRVKVWWPRDHAFYEGVIRSYDPVKKKHEVAYDDGDTEILNLKRQKWEIIRDESAPDEGEASDPKSPDIPSEMRSKKKMKPNTDQSAKQEKVDASPLRDGGGSSSKSKSAATKTVRKSKKVSKMDGKSMDDSETVKKAEDEIAGKSKDNTNKSGTKSTDVTLKTASKSKNDDIAPSKTGKSKEEETTPITSKSKQETVKTGKSKQDAKDKSPRSGGKSSANGIGKLKSGSSKVKAIEGKEKPTDSGKPQESMKGKSLSSSKGQEIGGRSGKKRRRV >Manes.16G097700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30342048:30343208:-1 gene:Manes.16G097700.v8.1 transcript:Manes.16G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKDRSSREMENTFPQRRRTPSFSSSLLDAIYRSIDEPNGGEEEFFSQYRETKMIKKQSTAKSVSTAARRDAFLEADLRRDIMIESWMEKQSTRGSLHSNSTSSSSDSSSGAGGSGGGVFSSSEAESSVKENSTRVSILTPQRINPLSEKQQKPKCEGGFTKTKLRALKIYGELKKVKQPISPGGRIASFLNSIFNSGSGKKVKLCSIGAVDDVSSERKSKSACSSVTSFSRSCLSKTPPSRGKQSNRSKRSVRFYPVTVIVDEDSRPCGHKCIYEDDPGLMPMPIPQKIAKSSSLKGDVVKGANYIRKYQKKNISEFDFRGFHSYVENHEDSDDEEEDDDESCSSSDLFELDHLIGIGRYREELPVYETTSLKTNQAIANGLML >Manes.10G083300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20065363:20073719:-1 gene:Manes.10G083300.v8.1 transcript:Manes.10G083300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRPGFSLCLGFLLVYLMVPNVSLARFVVEKNSLKVISPDKIKGTHDSAIGNFGIPQYGGSMAGTVVYPKDNRKGCKEFDDFGISFKSKPGALPTFVLLDRGDCFFALKVWNAQKAGASAVLVADDIEEPLITMDSPQEDGGSAKYIENITIPSALIEKSFGETLKKAISSGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFRGPAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQDFSTGYEGKNVVLENLRQLCVFKVANESNRPWVWWDYVTDFQIRCPMKEKRYNKECADSVIRTLGLDVKKVEKCMGDPNADSENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICAGFEETTEPGVCLSGDVETNECMDNNGGCWQDKTSNITACKDTFRGRVCECPLVDGVQFKGDGYSHCEASGPGRCKLNNGGCWHESRNGHTFSACSDIDGVKCQCPAGFKGDGVKSCEDIDECKEKKACQCAECSCKNTWGSYDCTCNGDLLYMRDHDTCISKSGTQVRSAWAAFWVILIGLGMAAGGGYLVYKYRLRSYMDSEIRSIMAQYMPLDSQAEVPNHVNDERA >Manes.10G083300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20065127:20073721:-1 gene:Manes.10G083300.v8.1 transcript:Manes.10G083300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRPGFSLCLGFLLVYLMVPNVSLARFVVEKNSLKVISPDKIKGTHDSAIGNFGIPQYGGSMAGTVVYPKDNRKGCKEFDDFGISFKSKPGALPTFVLLDRGDCFFALKVWNAQKAGASAVLVADDIEEPLITMDSPQEDGGSAKYIENITIPSALIEKSFGETLKKAISSGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFRGPAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINRGRYCAPDPEQDFSTGYEGKNVVLENLRQLCVFKVANESNRPWVWWDYVTDFQIRCPMKEKRYNKECADSVIRTLGLDVKKVEKCMGDPNADSENPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICAGFEETTEPGVCLSGDVETNECMDNNGGCWQDKTSNITACKDTFRGRVCECPLVDGVQFKGDGYSHCEASGPGRCKLNNGGCWHESRNGHTFSACSDIDGVKCQCPAGFKGDGVKSCEDIDECKEKKACQCAECSCKNTWGSYDCTCNGDLLYMRDHDTCISKSGTQVRSAWAAFWVILIGLGMAAGGGYLVYKYRLRSYMDSEIRSIMAQYMPLDSQAEVPNHVNDERA >Manes.15G146300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12073588:12076215:1 gene:Manes.15G146300.v8.1 transcript:Manes.15G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNLAPSPSPAHHNRHHHFRWAPSPVATIAATTITTLNQSSSPPSNSKLPVDFSPPLIAMVVVVATAFLVITYSRLISRYLIRVLRRWRRWRRRRRLRYFPSSNGDLDSPPPLFDSPEGFHVYSPCGLDESVIKTLPLFIYTTKNGVHKQSSNSKDCAVCLLEFEDNDYVRTLPVCSHAFHVDCIDIWLRSHANCPLCRARIFRSESPFIPVMAARIRPSLDDTILHSISVEPVIHTPPQASAITTVTEITPCIEESSSRRNSNNFNNYSQSEDRFNGRDIFLKRSYSFGFERSSASERMLVMEPATASPWRYRRGSFWSKRPSPFGSLSKHRVFSFRHYRGMKSPFFRRRGGFFPLSERYPTVVGGGGGGGGSSRRSKSMASPMFQRSSVTAFSSSRLRCGDPEALLSPERYNRR >Manes.14G062400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5214599:5218603:-1 gene:Manes.14G062400.v8.1 transcript:Manes.14G062400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYERFWQQVGDKATVVIPGWQSISYFSDIGNLCWFLEPEFAKQLLRLHKIVGNAVTEDRHIVVGTGSTQLFQAVLYALARPGVEEPISVVSAAPYYSSYPAITESLKSGLYKWEGDALSFSKEGQFIELVTSPNNPDGYVRDSVVNKSGGVLVHDFAYYWPQYTPITSPADYDIMLFTLSKTTGHAGMRIGWALVKDREIAKRMVKYIELNSIGVSKDSQLRAAKVFKVVSDSCESSRDTTESLFEFAYHLMVERWQNLRAAVKRSGMFSLPEFSPGLCKFTGRFFEPQPAFAWLKCEEPIEDCESFLRSNKILTRSGTHFGVGPQYVRISLLDRDDNIDLFVERLSNIRKDNLYQHMGE >Manes.14G062400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5214599:5218603:-1 gene:Manes.14G062400.v8.1 transcript:Manes.14G062400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSIFTARNLMVLSLAINVSFILRLGYQTFDIKREQLIGGDGARREALSTQRAHLSVSTTSSSSPTTFPGEDRHRVINLDQQIFKPGGAKMKYHYQGGDPTMYERFWQQVGDKATVVIPGWQSISYFSDIGNLCWFLEPEFAKQLLRLHKIVGNAVTEDRHIVVGTGSTQLFQAVLYALARPGVEEPISVVSAAPYYSSYPAITESLKSGLYKWEGDALSFSKEGQFIELVTSPNNPDGYVRDSVVNKSGGVLVHDFAYYWPQYTPITSPADYDIMLFTLSKTTGHAGMRIGWALVKDREIAKRMVKYIELNSIGVSKDSQLRAAKVFKVVSDSCESSRDTTESLFEFAYHLMVERWQNLRAAVKRSGMFSLPEFSPGLCKFTGRFFEPQPAFAWLKCEEPIEDCESFLRSNKILTRSGTHFGVGPQYVRISLLDRDDNIDLFVERLSNIRKDNLYQHMGE >Manes.14G062400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5214599:5218660:-1 gene:Manes.14G062400.v8.1 transcript:Manes.14G062400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYERFWQQVGDKATVVIPGWQSISYFSDIGNLCWFLEPEFAKQLLRLHKIVGNAVTEDRHIVVGTGSTQLFQAVLYALARPGVEEPISVVSAAPYYSSYPAITESLKSGLYKWEGDALSFSKEGQFIELVTSPNNPDGYVRDSVVNKSGGVLVHDFAYYWPQYTPITSPADYDIMLFTLSKTTGHAGMRIGWALVKDREIAKRMVKYIELNSIGVSKDSQLRAAKVFKVVSDSCESSRDTTESLFEFAYHLMVERWQNLRAAVKRSGMFSLPEFSPGLCKFTGRFFEPQPAFAWLKCEEPIEDCESFLRSNKILTRSGTHFGVGPQYVRISLLDRDDNIDLFVERLSNIRKDNLYQHMGE >Manes.14G062400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5214419:5218661:-1 gene:Manes.14G062400.v8.1 transcript:Manes.14G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSIFTARNLMVLSLAINVSFILRLGYQTFDIKREQLIGGDGARREALSTQRAHLSVSTTSSSSPTTFPGEDRHRVINLDHGDPTMYERFWQQVGDKATVVIPGWQSISYFSDIGNLCWFLEPEFAKQLLRLHKIVGNAVTEDRHIVVGTGSTQLFQAVLYALARPGVEEPISVVSAAPYYSSYPAITESLKSGLYKWEGDALSFSKEGQFIELVTSPNNPDGYVRDSVVNKSGGVLVHDFAYYWPQYTPITSPADYDIMLFTLSKTTGHAGMRIGWALVKDREIAKRMVKYIELNSIGVSKDSQLRAAKVFKVVSDSCESSRDTTESLFEFAYHLMVERWQNLRAAVKRSGMFSLPEFSPGLCKFTGRFFEPQPAFAWLKCEEPIEDCESFLRSNKILTRSGTHFGVGPQYVRISLLDRDDNIDLFVERLSNIRKDNLYQHMGE >Manes.11G158533.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32449243:32449896:-1 gene:Manes.11G158533.v8.1 transcript:Manes.11G158533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEIASSSSPSSTQKPVSVVKKRKAGRTKFKETRHPVYRGVRRRNGNKWVCEVREPNMKSSRIWLGTFPTPEMAARAHDVAALAFRGEFAALNFIDSASILPRAKSSSARDIKRAVLDFVEAFNRPSVPSSSSSSSSSGCFNPCISTSDDFPGEKRQENEANAAAATLFLDEEALFNMPVLLDSLAEGLILTPPSIGKEFDWDEIASAVDMTLWTI >Manes.11G030400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2869110:2871783:1 gene:Manes.11G030400.v8.1 transcript:Manes.11G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIEQPHFGFKVDEKIPGDKKELVLDGGFVVPQTNAFGQTFRDYDAEGERQPGVESFYRINHICQTYDFVKRMREEYGKLNRVEMSIWECCELLNNVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLHPIFGELPQWAVVGDTFPVGCAFDESIVHHKYFKENPDYNNPVYNTKYGVYSEGCGLNNVMMSWGHDDYMYLVAKENKTTLPSAALFIIRYHSFYALHRSGAYRHLINEEDKENLKWLQIFNKYDLYSKSKVQIDVEKVKPYYTSLIEKYFPAKLKW >Manes.01G172400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35405768:35409335:1 gene:Manes.01G172400.v8.1 transcript:Manes.01G172400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGKSTKLNNQQLQQHQNGHLSPFKFAKLLDPEASWDKDQLGDVLHWIRQVVAILCGLLWGAIPVVGGIWIAVFLMISSGIVYGYYSMILKVDEEEFGGHGALLQEGLFASITLFLLSWTLVYSLAHF >Manes.01G058300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24580760:24583548:-1 gene:Manes.01G058300.v8.1 transcript:Manes.01G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIMFSCQIPQQTLSFKPMVAAATPAAMPFKLSSQAAYKNTKMGQQRICLRRHEQNKPFHNRRLDLIVPSAAESDDITLDQISAADTIRNFYACINEKKLKELNEYISDDCCFEDCTFISPIQGKKEVMRFYQQLTTGMGQNVKFIVEHVCEDNEFTAGVNWHMEWKKRPLPFTRGCSFYECSQIGDRLVIKKARVVVESPIKPGGMLLSLLKNVTSIFDDFPNFAEWFLKSTHVIVRFLLKIYSRLLAPIVNPLLAGYIKIWNFMARGFVFALNILLNISKKFFG >Manes.04G062200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:21683326:21686090:-1 gene:Manes.04G062200.v8.1 transcript:Manes.04G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSKSFRLSSSLNEEFMVSKKKGIVSILGSECERSKSAASLRRTLSADMSSKKWLSQHGFSPLKKIASSEEFPVSMADSSSSEGEEEYDNGTREKETEPRGQFEIWSSIQQEKQKQSSKEELEKPGQFDIWSSILSQKAKDDNKSLPPPYIHPLVKRSASGLSEKSLEICTESLGSETGSDGFSSYPPSETGDAEEEKEEEQEENRVVVAQKYEDIDDWRVSKYNLAATSKKLPQKSFPPPLPSLSRRDGASLHMRTRRDNGRLVLEAVSVPSQNNFRAERQHDRLVLTFINNPNEEEEPKTEEEKDMELFEVEIENSADEQIHEGDEEDDEEEEEGMEAEAEIEEEEELEKIVNERGRGGGGGGSGEMKFVMEQAPKFSSGVINVHRLALMMNKPMALANRDPTWPNKFNEMAKFEEGSVEEAMNPITPLTQSLPPRPPVSRMIPMPAGAAAAVTAKAAASFNAYEYYWKPKPMTATTKAAAAAAGCLSPMAPNPQSIRSKDNNSKKIISNEKKQDLVVLRGNKGEYLVPLSKGCKEARRSLLFWEPYCIATS >Manes.08G037400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3668287:3668616:1 gene:Manes.08G037400.v8.1 transcript:Manes.08G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVRSQLQGPRPAPLLVNRSSSKIKKTAAPNRRRHSPVVIYLKSPDIIHVRAEEFMGLVQRLTGKQAQNQSSISASSSCSPTARAMADVESIEKGSSSMGEEELDSE >Manes.10G078400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:18223994:18227089:-1 gene:Manes.10G078400.v8.1 transcript:Manes.10G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEEEEAKELVYGINIEMAERGTKLELTVDEVVEGYVGSLGISQILHVLLVSLAWIFDSQNALVTIFSDAQPPSWRCISPPFHSGNSTAEMAPVCSSVVGDGKGGGGSVCGLPPGTWEWVGGNRSSTVAEWGLICNRRFLAGVPASLFFLGSLLGSGVFGFLADAYLGRKRAVLLSCILTSTTAFLTSLSPNIWIYSLLRFANGFSRSGIGICCLVLSTESVGRKWRGQVGQYGFFFFSAGFLSLPLIAYHCRTSWRSLYQVISLFPLVYSLLFLPFVSESPRWLLVKGRSKEALEILQRYARLNGKKLPSNLTLANPSVSQVGGEALAETKANDKESLWSTKWAARRMIMVMITGFGVGFVYYGIQLNVENLNFNLYFTVAVNALMEIPAVVIGTVLLSFTNRRLLFSQSAFITGVSCILCIVFSRGRRAKGDESGGSWPQLILEGIGFMAASTAFDVLYIYCVELFPTNVRNFAVSMLRQALMLGASISPAMVVIGRLSPSLSFLVFGVLSIFSGILSLWLPETKNAPLYETLKQQEEDEKQSNEVQ >Manes.11G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1227280:1233001:1 gene:Manes.11G012700.v8.1 transcript:Manes.11G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVIGKAKSLLNPSYHSQNHTLLRPLSASQSRFLSSTSVLDSPSTSSASSMYPPVSLDTINPKVLQCEYAVRGEIVTLAQRLQDELKAKPGSQPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPAILDKSETQGLFSADAIERAWQVLDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPANPNDIFLTDGASPAVHMMMQLLISSEKDGILCPIPQYPLYSASIALHGGSLVPYYLDEATGWGLEISELKKQLEDAKSKGITVRALVVINPGNPTGQVLAEDNQKAIVEFCKQEGLVLLADEVYQENVYVPEKKFHSFKKISRSMGYGEEDICLVSFQSVSKGYYGECGKRGGYMEVTGFSPEVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESYSAEKEGILSSLARRAKTLEDAFNNLEGVTCNKAEGAMYLFPRIRLPQKAIKAAEAAKKAPDAFYCQRLLNATGIVFVPGSGFRQVPGTWHFRCTILPQENKIPAIVSRLTEFHKSFMDEFRD >Manes.08G091100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30750639:30753002:1 gene:Manes.08G091100.v8.1 transcript:Manes.08G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPISSSWSKISFQRNADCPAFNPTGHQVRCFQSKNFLNVGFLRCVKQKPLLSVSASTSPLSPDSETATDLEEAQSKTQESYQPNTVHVKFHLNKECSFGEQFALVGDDQMFGMWDPENAIPLNWSEGHVWTLELDIPIGKFVHFKFILREITGEILWQPGPDRILKTWETKNTIVVSEDWEDAAFQQVIEEGPIAGEPTGNSEMLFVADKLTTNSEMLVFAENLTHPKGDLVSDGNDYPANEQQPSNHEKPITVDNIPQPEETDTKEDPVEGINHEKDENKANLNKEAMTAGMNLASMNVEGNLVDAHEGDPFLVPGLPPSSAFPNDPVTHDEGETSLAFGASVVNDEVKNHNMPELVEKHEVVGDPHQEEETVEALSDQEQLHNEHEQKPLADEIETGVLQNDIQWGRKTLKKLLVNLGFM >Manes.08G091100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30750639:30753002:1 gene:Manes.08G091100.v8.1 transcript:Manes.08G091100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALPISSSWSKISFQRNADCPAFNPTGHQVRCFQSKNFLNVGFLRCVKQKPLLSVSASTSPLSPDSETATDLEEAQSKTQESYQPNTVHVKFHLNKECSFGEQFALVGDDQMFGMWDPENAIPLNWSEGHVWTLELDIPIGKFVHFKFILREITGEILWQPGPDRILKTWETKNTIVVSEDWEDAAFQQVIEEGPIAGEPTGNSEMLFVADKLTTNSEMLVFAENLTHPKGDLVSDGNDYPANEQQPSNHEKPITVDNIPQPEETDTKEDPVEGINHEKDENKANLNKEAMTAGMNLASMNVEGNLVDAHEGDPFLVPGLPPSSAFPNDPVTHDEGETSLAFGASVVNDEVKNHNMPEVNP >Manes.12G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34198536:34206262:1 gene:Manes.12G135000.v8.1 transcript:Manes.12G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVFGCVDNSQAKRSRIIELSRRLRHRGPDWSGLHCHGDCYLAHQRLAIVDPTSGDQPLYNEDKTVVVTVNGEIYNHKQLREKLKSHQFRTGSDCEVIAHLYEEYGENFVDMLDGMFSFVLLDTRDESFIAARDAIGITPLYMGWGLDGSVWFASEMKALSDDCEQFISFPPGHIYSSKQGGLRRWYNPPWYSEQIPSTAYDPLILREAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVTCRHLADSEAARQWGSQLHTFCIGLKGSPDLKAAREVANYLQTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHLYDCLRANKSTSAWGVESRVPFLDKEFINIAMSIDPEWKMIRPDLGRIEKWVLRNAFDDEKNPYLPKHILYRQKEQFSDGVGYSWIDGLRDHAEKHVTDATLMNARFIYPENTPTTKEAYYYRTIFEKFFPKNAARSTVPGGPSIACSTAKAVEWDAAWAKNPDPSGRAALGVHADAYKETEDSKTENLMNGSP >Manes.16G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:515612:518699:-1 gene:Manes.16G003500.v8.1 transcript:Manes.16G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLEDQAKIDNATAQQVAQSDMAAASAAMDGVAAAALRSVLQRVQQAAERAGRGSQHIRVVAVSKTKPVSVIRQVYDAGHRCFGENYVQEIVEKAPQLPEDIEWHFIGNLQSNKAKPLLAGVPNLAMVESVDDEKIANHLNRAVGNLGRKRLKVLIQVNTSGEESKSGVEPSACVELAKHVNQNCPNLEFCGLMTIGMLDYSSTPENFKTLTNCRSEVCKALGIPEEQCELSMGMSNDFEQAIEMGSTNVRIGSTIFGPREYQKK >Manes.11G152148.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31740881:31744187:-1 gene:Manes.11G152148.v8.1 transcript:Manes.11G152148.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFIFFSFCSWKFIRFRVLLLFLLYQNFIFCWSLNDEGLALLKFRERIVSDPYDALKNWKDEDGVVNPCYWFGVECSDGKVVELNLKDLYLGGTLAPDLRNLVRIKSIILHNNSFTGIIPEGIGELKELEGLDFGNNNFSGPLPPVLDSSLSLTILFLDNNRLLSNLSPEIHRLETHSEFQVDENQLASAAKGPSYNERSALRNAVQTENAINKRQLQVANAPRVNESPYLRSRFSVPEAPSESGKAPPRSVAPPFSLLPSPPVNNSIQSPPPEPNPAPSSPPAVVSLPTPLEPNPPSASPNGSASNPLLVPTPPSSNNPRKPSSSKKHVSIIAGAIGGALLAMSIVIFYVYKINKATVKPWATGLSGQLQKAFVTGVPKLKKDLSLKKAVKISVV >Manes.05G173100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28780904:28781465:1 gene:Manes.05G173100.v8.1 transcript:Manes.05G173100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLSNKAASVEIKKDQLRKIFMQFDENHDNVLSSGEIKKAFKHLGATIPLYRAILGKKYADGNKDGVIDMNELDDLVEYTYKLQYEIN >Manes.04G053700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:10726737:10729529:-1 gene:Manes.04G053700.v8.1 transcript:Manes.04G053700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNNKATFAYYASLLAIMIPDLRTQLDNTLADLRIVCYEVKLPSGADAVLIDLNLQTEFDYPQILVVFGCFILLPFKNINQMNYPNYMSNQIQEMRALLGVDPQAAVHIPFSMRKAEAIQSMMGSSSD >Manes.11G152084.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31610182:31610910:-1 gene:Manes.11G152084.v8.1 transcript:Manes.11G152084.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPQEPPSPRYFSSSSFKDINAILLEEQNGSKSQPQTPRRPSIFHRASPLYGHHGNHSKTFIISPPPNQDDHEIILYFTSLGIVRKTFEDCRTVRSILREFRIPIDERDLSMDAGYLDEIQMITCSKKVRLPAVFLGGKYVGGAEEIKEMNESGELSKLIGGLPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFTTCTSCNVNGLVKCGLCYPVNRRRMST >Manes.07G076601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20941911:20947076:1 gene:Manes.07G076601.v8.1 transcript:Manes.07G076601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVSDEPLTPAGRLFLQPEMKTVIHCLLGFKHNMDIEAIKSTIKNSLMVKHPRFCSLLVHDKNGFEHWRRTEVDVDRHIILVDETSITNSSDDVDKILNNYIADLSVSSPLSSDKPLWEIHIMKEKKCVIFRIHHALGDGISLMSMLLTSCRKAEDPMAVPTLMTGGRRDWREGKDWRGILMGVLKMVLFSLFFCVDFVLRCLWVRDRKTVISGGDGVELWPRKVATAKFLIEDMKMVKKVVANATINDVLFGVISTGISTYLDHRSPNSLKEGQQLTGIAMVNLRSQTGLQMMESNSTCRWGNKFGILLLPIYYYHKIEPLEHVKRAKEMIDRKKKTLEAHFSYKVGDLAMSWLGPKVASLLNYRIMCNTTFTISNVVGPKEEITIAGNPITFIRVNTSSLPQALVMHVVSYAGKAEMQIVVAKDIIPDPEFLAKCFQDSLLEMKEAALASL >Manes.07G076601.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20941911:20947076:1 gene:Manes.07G076601.v8.1 transcript:Manes.07G076601.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVSDEPLTPAGRLFLQPEMKTVIHCLLGFKHNMDIEAIKSTIKNSLMVKHPRFCSLLVHDKNGFEHWRRTEVDVDRHIILVDETSITNSSDDVDKILNNYIADLSVSSPLSSDKPLWEIHIMKEKKCVIFRIHHALGDGISLMSMLLTSCRKAEDPMAVPTLMTGGRRDWREGKDWRGILMGVLKMVLFSLFFCVDFVLRCLWVRDRKTVISGGDGVELWPRKVATAKFLIEDMKMVKKVVANATINDVLFGVISTGISTYLDHRSPNSLKEGQQLTGIAMVNLRSQTGLQMMESNSTCRWGNKFGILLLPIYYYHKIEPLEHVKRAKEMIDRKKKTLEAHFSYKVGDLAMSWLGPKVASLLNYRIMCNTTFTISNVVGPKEEITIAGNPITFIRVNTSSLPQALVMHVVSYAGKAEMQIVVAKDIIPDPEFLAKCFQDSLLEMKEAALASL >Manes.07G076601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20941911:20947076:1 gene:Manes.07G076601.v8.1 transcript:Manes.07G076601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVSDEPLTPAGRLFLQPEMKTVIHCLLGFKHNMDIEAIKSTIKNSLMVKHPRFCSLLVHDKNGFEHWRRTEVDVDRHIILVDETSITNSSDDVDKILNNYIADLSVSSPLSSDKPLWEIHIMKEKKCVIFRIHHALGDGISLMSMLLTSCRKAEDPMAVPTLMTGGRRDWREGKDWRGILMGVLKMVLFSLFFCVDFVLRCLWVRDRKTVISGGDGVELWPRKVATAKFLIEDMKMVKKVVANATINDVLFGVISTGISTYLDHRSPNSLKEGQQLTGIAMVNLRSQTGLQDMTKMMESNSTCRWGNKFGILLLPIYYYHKIEPLEHVKRAKEMIDRKKKTLEAHFSYKVGDLAMSWLGPKVASLLNYRIMCNTTFTISNVVGPKEEITIAGNPITFIRVNTSSLPQALVMHVVSYAGKAEMQIVVAKDIIPDPEFLAKCFQDSLLEMKEAALASL >Manes.07G076601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20942497:20947077:1 gene:Manes.07G076601.v8.1 transcript:Manes.07G076601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVSDEPLTPAGRLFLQPEMKTVIHCLLGFKHNMDIEAIKSTIKNSLMVKHPRFCSLLVHDKNGFEHWRRTEVDVDRHIILVDETSITNSSDDVDKILNNYIADLSVSSPLSSDKPLWEIHIMKEKKCVIFRIHHALGDGISLMSMLLTSCRKAEDPMAVPTLMTGGRRDWREGKDWRGILMGVLKMVLFSLFFCVDFVLRCLWVRDRKTVISGGDGVELWPRKVATAKFLIEDMKMVKKVVANATINDVLFGVISTGISTYLDHRSPNSLKEGQQLTGIAMVNLRSQTGLQDMTKMMESNSTCRWGNKFGILLLPIYYYHKIEPLEHVKRAKEMIDRKKKTLEAHFSYKVGDLAMSWLGPKVASLLNYRIMCNTTFTISNVVGPKEEITIAGNPITFIRVNTSSLPQALVMHVVSYAGKAEMQIVVAKDIIPDPEFLAKCFQDSLLEMKEAALASL >Manes.07G076601.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20941911:20947076:1 gene:Manes.07G076601.v8.1 transcript:Manes.07G076601.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVSDEPLTPAGRLFLQPEMKTVIHCLLGFKHNMDIEAIKSTIKNSLMVKHPRFCSLLVHDKNGFEHWRRTEVDVDRHIILVDETSITNSSDDVDKILNNYIADLSVSSPLSSDKPLWEIHIMKEKKCVIFRIHHALGDGISLMSMLLTSCRKAEDPMAVPTLMTGGRRDWREGKDWRGILMGVLKMVLFSLFFCVDFVLRCLWVRDRKTVISGGDGVELWPRKVATAKFLIEDMKMVKKVVANATINDVLFGVISTGISTYLDHRSPNSLKEGQQLTGIAMVNLRSQTGLQDMTKMMESNSTCRWGNKFGILLLPIYYYHKIEPLEHVKRAKEMIDRKKKTLEAHFSYKVGDLAMSWLGPKVASLLNYRIMCNTTFTISNVVGPKEEITIAGNPITFIRVNTSSLPQALVMHVVSYAGKAEMQIVVAKDIIPDPEFLAKCFQDSLLEMKEAALASL >Manes.12G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12656959:12659430:1 gene:Manes.12G084900.v8.1 transcript:Manes.12G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIKHNHVEVRGLKLHVAEIGTGPKVVLFLHGFPEIWYTWRHQMIAVGDAGYRSIAIDFRGYGLSDQPPEPEKGSFMDLVDDVIALLDTLGISKVFLVGKDSGALPANIIAAMYPERVCGLTTMGIPFMLPGLNAVQTDALPKGFYVTRWQEPGRAEADFGRLDVKTVIRNIYILFSGAELPIARDDQEIMDLVNPSTPLPPWFSEDDLAVYASLYENSGFRFPLQVPYRTLGVDCGLTDPKVTCPALLIMGEKDYVLKLPGMEEFVRSEQAKRFVPELETVLGRREPFCS >Manes.11G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8951570:8956302:1 gene:Manes.11G064500.v8.1 transcript:Manes.11G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRARPSFARFNLFPVRHFCTKPTNNINNSNSSSSSSRDMIESNVGKYEEVYRQLDKLDFMTSAKILFTEPPKKKKFGLDFHLVQLFFACLPSLAVYLVAQYARHEMRKMDEELELKKKKEEEEKAKEMELKAIKEKEARSDPELLEVKVRLDKLEEAIKEIVVDSKKQSTDYATKNQEDIGNVKHIAPTESAEAQSTSEPSKSLDKDLLGKQKSREPVQDKGKVTGLAPTPGASLQDQNGKTQTVGTSKETKK >Manes.06G120300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25134318:25139252:-1 gene:Manes.06G120300.v8.1 transcript:Manes.06G120300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKEDAFRAKQIAEKKFIERDISGAKRFAMKAHNLCPGLDGLSQFLATLNVYISAERRMHGETDWYRVLGVDPLADDDTIRKHYRKLALILHPDKNKSVGAEGAFKILSEAWSLLSDKTKRNAYDQKRTLCNRFQKVPDLNSPMPAGQNSFRNFFCDNKSNTIQKSAYPKPVPPPQFSNPNTFVTICKFCKLGFEYHISFAQSTVVCYNCNSPFVAVEIPRSPMNGNDPPSTWTPYMQGLNDAQRSRPEDLYASGMRPVSTPNLGPVTQSGIFGKVGSFAGVPSAARENNIRRESLLKQTGSYKVAGASLAAGSSNASVISTSKGDGLKKRRRIGEHRVKRTANQITNRNGGVGSQKGCFEMGWRNISRSNNSTRELSQLELRNMLMEKAKMDIRMKLKGCSIPTAVSMTSGKEMEKEEKAKQKASLTSMNTEGNKCPEFVDTKTRVQAELSMANFDDYPDTKGTHPLSMAVPDPDFHNFDKDRTEKSFGDNQVWAAYDDDDGMPRYYAIIHRVISRRPLRMQISWLNSKSNRELAPLNWIGAGFYKTSGVFWIGKHEVNKSLNSFSHKVKWAKGKRGTIQIYPRKGDVWALYRNWSPDWNELTPDEVIHKYDMVEVLEDYEEERGVTVAPLMKVYGFKTVFCRHSDSSKTKTIPREDLFRLSHQVPSYPLTGQEGLNVPSGCLELDPASMPSELLNMLPEVQEEEMGENAERTRDPLGDMKTSKEQLVEDGEMKEKDAKKDVAEVRMDKGKELKVEKLIVYKRKRQRN >Manes.06G120300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25133924:25139270:-1 gene:Manes.06G120300.v8.1 transcript:Manes.06G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKEDAFRAKQIAEKKFIERDISGAKRFAMKAHNLCPGLDGLSQFLATLNVYISAERRMHGETDWYRVLGVDPLADDDTIRKHYRKLALILHPDKNKSVGAEGAFKILSEAWSLLSDKTKRNAYDQKRTLCNRFQKVPDLNSPMPAGQNSFRNFFCDNKSNTIQKSAYPKPVPPPQFSNPNTFVTICKFCKLGFEYHISFAQSTVVCYNCNSPFVAVEIPRSPMNGNDPPSTWTPYMQGLNDAQRSRPEDLYASGMRPVSTPNLGPVTQSGIFGKVGSFAGVPSAARENNIRRESLLKQTGSYKVAGASLAAGSSNASVISTSKGDGLKKRRRIGEHRVKRTANQITNRNGGVGSQKGCFEMGWRNISRSNNSTRELSQLELRNMLMEKAKMDIRMKLKGCSIPTAVSMTSGKEMEKEEKAKQKASLTSMNTEGNKCPEFVDTKTRVQAELSMANFDDYPDTKGTHPLSMAVPDPDFHNFDKDRTEKSFGDNQVWAAYDDDDGMPRYYAIIHRVISRRPLRMQISWLNSKSNRELAPLNWIGAGFYKTSGVFWIGKHEVNKSLNSFSHKVKWAKGKRGTIQIYPRKGDVWALYRNWSPDWNELTPDEVIHKYDMVEVLEDYEEERGVTVAPLMKVYGFKTVFCRHSDSSKTKTIPREDLFRLSHQVPSYPLTGQEGLNVPSGCLELDPASMPSELLNMLPEVQEEEMGENAERTRDPLGDMKTSKEQLVEDGEMKEKDAKKDVAEVRMDKGKELKVEKLIVYKRKRQRN >Manes.02G136500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10362282:10370601:-1 gene:Manes.02G136500.v8.1 transcript:Manes.02G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTAFLLYLLSLSLLLFPSPSVSQLNQFCEVGTGYGEAGCGIPVSSSKLLIKGGTVVNAHHQEVADVYVEDGIIVAVKPNLKVADDVKVLDATGKFVMPGGIDPHTHLAISFMNTEAIDDFFSGQAAALAGGTTMHIDFVMPVNGNLTAGLQAYEKKAKNSCMDYGFHVAITKWDEEVSKEMEIMVKERGINSFKFFMAYKGFVMVNDELLLQGFKRCKSLGALAMVHAENGDAVFEGQNRMIELGITGPEGHALSRPPVLEGEATARAIRLASFVNTPLYVVHVMSIDAMEEIARARKSGQRVIGEPIISGLVLDDSKLWDPDFTTASKYVMSPPIRASGHDKALQAALSTGVLQLVGTDHCTFNSTQKALGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDFVRVTSTECAKIFNIYPRKGAILPGSDADIIIFNPNSSFEISARSHHSRSDTNVYEGKKGKGKVEVTIAGGRVVWENGELKVVPGSGKYIKMPPFSYLFNGINKADESYLSSLNAPVHRSKSTT >Manes.01G253300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41132255:41137155:1 gene:Manes.01G253300.v8.1 transcript:Manes.01G253300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNYYQIAFRSEMVSTLSKPWFLRAELPMSSDLSLHRSIPHGGSSSSSPSRRDLVFIVNPRGANGRTGKEWKKLLPYLRSRLDKDCNICESLTSGPYHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFWAGKPVSKHNKEDAHSTALGLIPLGTGSDFARTWGWKNDPYEAVDRIVKGLRSKVDVGVISGEKEESHYFINVADIHLSAKAGYYASRYKRFGNLCYVIGALQAFIGHKNWDLRIKVNGGEWEICPQVTALCIGNAKYFGGGMRITPSGDPCSREFEVVILQDFKWYDFILKLHKLYSGTHLTVKNVSSRSVHSIEVEDISGSGSIYVQSDGEHLGFLPRKFSILPSVIEMIC >Manes.09G157400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35281015:35312325:1 gene:Manes.09G157400.v8.1 transcript:Manes.09G157400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKHAEMADESVQLKTLQTILIIFQSRLHPENEENMAQALYICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVHAEALPVGKFGCGHISRSSSVTGDVSRSMNHSELLGHEPVSGARGILTNAGKLGLRLLEDLTALAAGGSALWLHVKSLQRIFALDILEFILSNYAVIFKALGPYEEVLRHQICSLLMTSLRTNAEVEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVEARTLKVLFQNFDMHPKNTNVVEGMVKALARVVSNLQVQETSEESLAAVAGMFSSKAKGIEWSLENDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAVDVGEIESPRCEYDSALKFAGKTAVLCVAMVDSLWLTILDALSLILSRSKGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTINFPLEAEKKSAVLPPGPKRLESLVEQRDSVVLTPKNVQALRTLFNISHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEISTAVQKLPRESSGQYSDFSVLSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMCEASSGFGPSVSQKIGSISFSVERMISILVNNLHRVEPLWDQVVGHFLDLADNPNQHLRNMAFDALDQSICAVLGSERFQDYVPSRHHGMSDDVVTMHKELRSLECSVISPLRVLYFSTQSADVRAGCLKILLHVLERHGEKLYYSWTDILETLRSVAVAPEKDIVALGFQSLRVIMNDGLASIPTECLNVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIVKGLLHGPPEEKETSVLVEHPISRQVDGELKDGETPKATDTVNDQASTVNITDCDKLLFSVFSLLQRLGADERPEVRNAAVRTLFQSLGSHGQKLSKSMWEECLWNYVFPALDRASHMAATSSKDESQGKELGTRGGKAVHMLIHHSRNTVQKQWDETLVLVLGGIARLLRSFFPLLSSLNDFWSGWESLLLFVKNSILNGSKEVAIAGINCLQTTVHSHCLKDNLPMPYLESILGVYKHVIQKSTIYSSNAASKVKQEILHGLGELYVQAQRMFNDEMFSQLIGIIDLAIKQAITTNENFESEFGHVPPVLRTVLEILPLLHPTELISSMWLVLLRELLQYLPRSDSSLQNDDDDVKQASSTDNVPGNNIKRENEMPNGTASISSKEAEAPPQGSGSTITVSGGIPNYVFAEKLVPVLIDLLLHAPAVEKYIIFPEIIQSLERCMTTRRDNPDGSLWRSAVEGFNLVLADDFCKFSGNFGSDSRISRPIRTRVWKEIADVYEIFLVGYCGRAIPSNSLSAAAHKADEALEMTILDVLVDKILKSPTDAPVDILERLVSTVDRCASRTCSLPIETVELMPLHCSRFSLACLQKLFFLSSSNNEAGDWNSTGSEVSKIAIRVLLSRCEYIMNRFLMDENLGERPLPSARLEEIFHVLQELAHLRIDPETASVLPLHPNLKIVLADEEDQKGRPHLFVLFPTLCELVTTREARVRELVQVLLRLITRELSLEEVGIAG >Manes.09G157400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35281015:35312325:1 gene:Manes.09G157400.v8.1 transcript:Manes.09G157400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRSLSSPSEIAHNEDILRIFLMACEVRTVKLSVIGLSCLQKLISHDAVAPSALKEILSTLKDHAEMADESVQLKTLQTILIIFQSRLHPENEENMAQALYICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVHAEALPVGKFGCGHISRSSSVTGDVSRSMNHSELLGHEPVSGARGILTNAGKLGLRLLEDLTALAAGGSALWLHVKSLQRIFALDILEFILSNYAVIFKALGPYEEVLRHQICSLLMTSLRTNAEVEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVEARTLKVLFQNFDMHPKNTNVVEGMVKALARVVSNLQVQETSEESLAAVAGMFSSKAKGIEWSLENDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAVDVGEIESPRCEYDSALKFAGKTAVLCVAMVDSLWLTILDALSLILSRSKGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTINFPLEAEKKSAVLPPGPKRLESLVEQRDSVVLTPKNVQALRTLFNISHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEISTAVQKLPRESSGQYSDFSVLSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMCEASSGFGPSVSQKIGSISFSVERMISILVNNLHRVEPLWDQVVGHFLDLADNPNQHLRNMAFDALDQSICAVLGSERFQDYVPSRHHGMSDDVVTMHKELRSLECSVISPLRVLYFSTQSADVRAGCLKILLHVLERHGEKLYYSWTDILETLRSVAVAPEKDIVALGFQSLRVIMNDGLASIPTECLNVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIVKGLLHGPPEEKETSVLVEHPISRQVDGELKDGETPKATDTVNDQASTVNITDCDKLLFSVFSLLQRLGADERPEVRNAAVRTLFQSLGSHGQKLSKSMWEECLWNYVFPALDRASHMAATSSKDESQGKELGTRGGKAVHMLIHHSRNTVQKQWDETLVLVLGGIARLLRSFFPLLSSLNDFWSGWESLLLFVKNSILNGSKEVAIAGINCLQTTVHSHCLKDNLPMPYLESILGVYKHVIQKSTIYSSNAASKVKQEILHGLGELYVQAQRMFNDEMFSQLIGIIDLAIKQAITTNENFESEFGHVPPVLRTVLEILPLLHPTELISSMWLVLLRELLQYLPRSDSSLQNDDDDVKQASSTDNVPGNNIKRENEMPNGTASISSKEAEAPPQGSGSTITVSGGIPNYVFAEKLVPVLIDLLLHAPAVEKYIIFPEIIQSLERCMTTRRDNPDGSLWRSAVEGFNLVLADDFCKFSGNFGSDSRISRPIRTRVWKEIADVYEIFLVGYCGRAIPSNSLSAAAHKADEALEMTILDVLVDKILKSPTDAPVDILERLVSTVDRCASRTCSLPIETVELMPLHCSRFSLACLQKLFFLSRI >Manes.09G157400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35281015:35312325:1 gene:Manes.09G157400.v8.1 transcript:Manes.09G157400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMAVLESDLRALSAEARRRYPAVKDGAEHAILKLRSLSSPSEIAHNEDILRIFLMACEVRTVKLSVIGLSCLQKLISHDAVAPSALKEILSTLKDHAEMADESVQLKTLQTILIIFQSRLHPENEENMAQALYICLRLLENNRSSDSVRNTAAATFRQAVALIFDHVVHAEALPVGKFGCGHISRSSSVTGDVSRSMNHSELLGHEPVSGARGILTNAGKLGLRLLEDLTALAAGGSALWLHVKSLQRIFALDILEFILSNYAVIFKALGPYEEVLRHQICSLLMTSLRTNAEVEGEAGEPSFRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKVTFLDLPLWHRILVLEILRGFCVEARTLKVLFQNFDMHPKNTNVVEGMVKALARVVSNLQVQETSEESLAAVAGMFSSKAKGIEWSLENDASNAAVLVASEAHAITLAVEGLLGVVFTVATLTDEAVDVGEIESPRCEYDSALKFAGKTAVLCVAMVDSLWLTILDALSLILSRSKGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTINFPLEAEKKSAVLPPGPKRLESLVEQRDSVVLTPKNVQALRTLFNISHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEISTAVQKLPRESSGQYSDFSVLSSLNSQLFESSALMHISAVKSLLSALCQLSQQCMCEASSGFGPSVSQKIGSISFSVERMISILVNNLHRVEPLWDQVVGHFLDLADNPNQHLRNMAFDALDQSICAVLGSERFQDYVPSRHHGMSDDVVTMHKELRSLECSVISPLRVLYFSTQSADVRAGCLKILLHVLERHGEKLYYSWTDILETLRSVAVAPEKDIVALGFQSLRVIMNDGLASIPTECLNVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIVKGLLHGPPEEKETSVLVEHPISRQVDGELKDGETPKATDTVNDQASTVNITDCDKLLFSVFSLLQRLGADERPEVRNAAVRTLFQSLGSHGQKLSKSMWEECLWNYVFPALDRASHMAATSSKDESQGKELGTRGGKAVHMLIHHSRNTVQKQWDETLVLVLGGIARLLRSFFPLLSSLNDFWSGWESLLLFVKNSILNGSKEVAIAGINCLQTTVHSHCLKDNLPMPYLESILGVYKHVIQKSTIYSSNAASKVKQEILHGLGELYVQAQRMFNDEMFSQLIGIIDLAIKQAITTNENFESEFGHVPPVLRTVLEILPLLHPTELISSMWLVLLRELLQYLPRSDSSLQNDDDDVKQASSTDNVPGNNIKRENEMPNGTASISSKEAEAPPQGSGSTITVSGGIPNYVFAEKLVPVLIDLLLHAPAVEKYIIFPEIIQSLERCMTTRRDNPDGSLWRSAVEGFNLVLADDFCKFSGNFGSDSRISRPIRTRVWKEIADVYEIFLVGYCGRAIPSNSLSAAAHKADEALEMTILDVLVDKILKSPTDAPVDILERLVSTVDRCASRTCSLPIETVELMPLHCSRFSLACLQKLFFLSSSNNEAGDWNSTGSEVSKIAIRVLLSRCEYIMNRFLMDENLGERPLPSARLEEIFHVLQELAHLRIDPETASVLPLHPNLKIVLADEEDQKGRPHLFVLFPTLCELVTTREARVRELVQVLLRLITRELSLEEVGIAG >Manes.02G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7370210:7373429:-1 gene:Manes.02G094200.v8.1 transcript:Manes.02G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAAQKPMLNWLMKMAGVEPHAVDIEPGTVMNFWVPVEAVKKQKPRKGEKTINTQTSLHLHKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYIPDLLFFGGSITNKTDRSPTFQAETLVKGLKKLGVDKCTAVGFSYGGMVAFKMAELYPELVQAMVVSGSILAMTDSISNATLSSLGFKSSSELLLPTSVKGLKALLSVATYKKLWFPNRLHKDFLEVMFANREERAELLEGLIISNKDPTIPRFSQKIHLLWGEDDQIFKLQLAHNMKEQLGENATFQGIKKAGHLVHLERPCVYNRRLKQFLASLQGVEVHN >Manes.02G094200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7370210:7373429:-1 gene:Manes.02G094200.v8.1 transcript:Manes.02G094200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAAQKPMLNWLMKMAGVEPHAVDIEPGTVMNFWVPVEAVKKQKPRKGEKTINTQTSLHLHKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYIPDLLFFGGSITNKTDRSPTFQAETLVKGLKKLGVDKCTAVGFSYGGMVAFKMAELYPELVQAMVVSGSILAMTDSISNATLSSLGFKSSSELLLPTSVKGLKALLSVATYKKLWFPNRLHKDFLEVMFANREERAELLEGLIISNKDPTIPRFSQKIHLLWGEDDQIFKLQLAHNMKDISSVDEQLY >Manes.02G094200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7371167:7373429:-1 gene:Manes.02G094200.v8.1 transcript:Manes.02G094200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVAAQKPMLNWLMKMAGVEPHAVDIEPGTVMNFWVPVEAVKKQKPRKGEKTINTQTSLHLHKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYIPDLLFFGGSITNKTDRSPTFQAETLVKGLKKLGVDKCTAVGFSYGGMVAFKMAELYPELVQAMVVSGSILAMTDSISNATLSSLGFKSSSELLLPTSVKGLKALLSVATYKKLWFPNRLHKDFLEVMFANREERAELLEGLIISNKDPTIPRFSQKIHLLWGEDDQIFKLQLAHNMKEYASLLTPHFLYSPTNIDH >Manes.13G070650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:10135089:10136066:-1 gene:Manes.13G070650.v8.1 transcript:Manes.13G070650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVCLYVDDLIFTGNDSGMFEKFKKSMMAEFEMSDLEKMHYFLGLEVVQSKMEFFVCQKKYVGEILDKFQMKDCNPASTPTEFGLKLHKDLEGKKVDSTLYRQIVGSLIYLTGTRPDIMYAVSLISKFMESPTKMHLLAAKRILRYLQGTKDFGMFFKANEKSELIGFTDSDYAGDQDSRRSTLGYIFMLGTGPISWSSKKQPIVTLSSTEAKFVTATSCACQAIWLRRILEELQLKQEGATTIFCDNSSAIKLSKNPVLHGRSKHIDVKYYFLRDLCNEGKIELKYCPGEEQLADIFTKSLKLHSFLNLINLMGVCSRKDAKL >Manes.15G024000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1893329:1897421:1 gene:Manes.15G024000.v8.1 transcript:Manes.15G024000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQLLLICCCFISISSSVAKTSSKPKALFLPVSKDATTLQYVTQLNMGTPLAQKDFVVDIGGRHLWMDCDDGSYHSSTYKQSFCGSASCSVAKATCGGACLPGHHRPGCNNETCYVLSENTVRGGYEVGDVSRDTIALQSTDGVKAGSSVSISDFIFACANAWDLNSLASGAKGMIGLGRERIALPTQLSSAFGGSFRRKFAICLPSTSKSNGVIFFGESPYVFYPGYNTSKAIDVSSRFQHTRLYLNTIFTGSSVVIRGPPSPEYFIKVTSIMVNKKPIPINSTLLEFKETGKGGAKLSTVEPYTKLETSIYKALVKAFDEEIAVWNVSKVAPTAPFTDCYTMGNMGMTGLGVSVPDIALGLENNKDLYWEMYGANSMVELSKDVVCLAFLDGGDEPIITTPIVIGAHQLQDNLLQFDLASNRLAFTSTLLWEEVQCSNFKF >Manes.15G024000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1893382:1896891:1 gene:Manes.15G024000.v8.1 transcript:Manes.15G024000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQLLLICCCFISISSSVAKTSSKPKALFLPVSKDATTLQYVTQLNMGTPLAQKDFVVDIGGRHLWMDCDDGSYHSSTYKQSFCGSASCSVAKATCGGACLPGHHRPGCNNETCYVLSENTVRGGYEVGDVSRDTIALQSTDGVKAGSSVSISDFIFACANAWDLNSLASGAKGMIGLGRERIALPTQLSSAFGGSFRRKFAICLPSTSKSNGVIFFGESPYVFYPGYNTSKAIDVSSRFQHTRLYLNTIFTGSSVVIRGPPSPEYFIKVTSIMVNKKPIPINSTLLEFKETGKGGAKLSTVEPYTKLETSIYKALVKAFDEEIAVWNVSKVAPTAPFTDCYTMGNMGMTGLGVSVPDIALGLENNKDLYWEMYGANSMVELSKDVVCLAFLDGGDEPIITTPIVIGAHQLQDNLLQFDLASNRLAFTSTLLWEEVQCSNFKF >Manes.15G024000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1893329:1897422:1 gene:Manes.15G024000.v8.1 transcript:Manes.15G024000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQLLLICCCFISISSSVAKTSSKPKALFLPVSKDATTLQYVTQLNMGTPLAQKDFVVDIGGRHLWMDCDDGSYHSSTYKQSFCGSASCSVAKATCGGACLPGHHRPGCNNETCYVLSENTVRGGYEVGDVSRDTIALQSTDGVKAGSSVSISDFIFACANAWDLNSLASGAKGMIGLGRERIALPTQLSSAFGGSFRRKFAICLPSTSKSNGVIFFGESPYVFYPGYNTSKAIDVSSRFQHTRLYLNTIFTGSSVVIRGPPSPEYFIKVTSIMVNKKPIPINSTLLEFKETGKGGAKLSTVEPYTKLETSIYKALVKAFDEEIAVWNVSKVAPTAPFTDCYTMGNMGMTGLGVSVPDIALGLENNKDLYWEMYGANSMVELSKDVVCLAFLDGGDEPIITTPIVIGAHQLQDNLLQFDLASNRLAFTSTLLWEEVQCSNFKF >Manes.09G108600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31074573:31075497:1 gene:Manes.09G108600.v8.1 transcript:Manes.09G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFRLVSPAIDHEGRLPRKYTDEGQGARRNLSPPLEWYNVPEGTKSLALVVQDIDAPDPDGPIVPWTCWVVVNIPPTLKNLPEGFSGKEEETGGDYAGIKEGNNDYKVPGWRGPKLPSHGHRFEFKLFALDEEMNLGKKVTKEKLLEAVEGHVLGEAVLMCKF >Manes.02G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:543677:548552:-1 gene:Manes.02G004100.v8.1 transcript:Manes.02G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMESRTMEEETHEMSTPLITKDEKVEDSKNISSASSSSSEAIAANSKSEIQQLQETEENSPVKQVALTVPTTDDPSLPVLTFRMWVLGTVSCVLLSFLNQFFWYRTEPLSITAISAQIAVVPLGQLMAAKITDRVFFKGTRWEFTLNPGPFNVKEHVLITIFANSGAGSVYAIHVVTVVKVFYKKHITFFVSLIVIITTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERPKGGVTRIQFFLIAFTCSFAYYVFPGYLFQMLTSLSWICWIYPQSVLAQQLGSGLYGLGIGALGIDWSTISSYLGSPLASPWFATANVAVGFFFVMYILTPLCYWLNVYKAKTFPIFSDELFTSTGQEYNITAIIDSHFHLDIAAYEKEGPLYLSTFFAMTYGVGFAALTATIMHVALFHGREIWEQSKASFQETKMDIHTKLMRKYKQVPESWFVCILLVNIAATIFACEYYNEQLQLPWWGVLLACGIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQIVGTIIACLTYLGTAWWLMETIPDMCDTTASNSVWTCPSDTVFYDASVIWGLIGPRRIFGDLGTYEAVNWFFLGGAIAPILVWLAAKAFPQQEWIKLINMPVLIGATGMMPPATAVNYTSWIILGFLSGFVVYRYRPDLWQRYNYVLSGSLDAGLAFMGVLIYLCLGLENISVDWWGNNLDGCSLATCPTAKGVVVEGCPVFS >Manes.15G139100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11257240:11258370:-1 gene:Manes.15G139100.v8.1 transcript:Manes.15G139100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWRRAAGALKDQRSILLAGLSRRSVYRNPDLETAIIKATNHNDSHVDYKNAQIVFAWIRSSPVTLKPLLRALSSRMEKTQSWVVALKGLMLLHDIFSCKTPAVQRIGRLPFNLSHFIDGDSKNSEMWGFNAFVRSYFAYLDQRSVHLYEQPKQNEEPLVQELLQLQNWQYLLDMLLQIKPEANNMRKTLILEAMDCVIIEVFDVYSRICNGIDKIPLGIYSAGKPEASMALKVLQKAMIQVEDLALYLQLCRNFGVFHAMEVPKVNHIPEKDIRNLERIINEVSDKAQENYYDYLHDDKAMVIREDACAIVEDKKPNGLQTIITDKWEVFDEDNFMYRIQENELFDFRTGNPSNCSSESLSPVCRQEIPDLISF >Manes.01G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30237726:30239932:1 gene:Manes.01G102600.v8.1 transcript:Manes.01G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISQNFILITAWLLVGVAAACSNGECRLLDECSSDQDCEAGLYCFSCPQGFSGSRCVRSTISDQFKLLNNSLPLNKYAFLTTHNAYAIDGYPSHTGVPRVTFTNQEDNVTQQLNNGARALMLDTYDFRNDVWLCHSFKGQCHDYTAFGPAIDTLKEIEAFLSANPSEIVTIILEDYVQAPKGLTKVFTDAGLMKYWFPLTNMPKNGQDWPLVSDMVKNNQRLLVFTSIKSKEESEGIAYQWNYMVENHYGDDGMKAGSCSNRGESSALDDKSKSLVLVNYFGSIPMKELTCEDNSGNLINMLQTCYGASGNRWANFVAVDYYKRSEGGGSFQAVDTLNGKLLCGCDDVHACLPGSTSRACSS >Manes.09G146900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34449487:34455862:1 gene:Manes.09G146900.v8.1 transcript:Manes.09G146900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVDAHILSSHHNQNPTVTSLLSPIQLAPLFSTQRSLLPPMAIPPKLTSFSSSSSTMAAARSLEEGLASRYWLKFRRETIFVMYTPFVVSLAAGNLKIESFRHFLAQDSYFLKAFAHACELAEECADDDDAKLAITKLRKGVLQGLKRHNSFVQEWGIDPSKDGTINSATVKYTDFLLATASGKIEGVTDPGKLVTPFERTKLPAYTLGALTPCVRLYAFLAKELLTHANSGDGSHPYKKWFASYSSEGFQAAALQTEDLLDKLSVPLTGEELDIIEKLYHQAMKLEIEFFNLQPLAQPTVVPLIKEHNPVEDRLVIFSDFDLTCTIVDSSAILAEIAIVTVPKADQAQSETQIARMSSAELRNSWNGISTQYTEEYEQCIESILPSAKGEFNYEVLCKAIEQISDFERRANARVIESGLLKGLNLEDIKRAGELLVLQNGCASFFQKIVKNENLNANIHIISYCWCADLIRSSFSSGGLDVLNIHANELSFEGSICTGEIIRKVESPVDKVEAFHNILKSYNTDKKNLTVYIGDTIGDLLCLLQADVGIVIGSSPSLRRVGGHFGVSFLPLFPSLIKKQKQYTDESSSKWKGQSGTLYTVTTWAEIQAFISGW >Manes.09G146900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34449488:34455862:1 gene:Manes.09G146900.v8.1 transcript:Manes.09G146900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVDAHILSSHHNQNPTVTSLLSPIQLAPLFSTQRSLLPPMAIPPKLTSFSSSSSTMAAARSLEEGLASRYWLKFRRETIFVMYTPFVVSLAAGNLKIESFRHFLAQDSYFLKAFAHACELAEECADDDDAKLAITKLRKGVLQGLKRHNSFVQEWGIDPSKDGTINSATVKYTDFLLATASGKIEGVTDPGKLVTPFERTKLPAYTLGALTPCVRLYAFLAKELLTHANSGDGSHPYKKWFASYSSEGFQAAALQTEDLLDKLSVPLTGEELDIIEKLYHQAMKLEIEFFNLQPLAQPTVVPLIKEHNPVEDRLVIFSDFDLTCTIVDSSAILAEIAIVTVPKADQAQSETQIARMSSAELRNSWNGISTQYTEEYEQCIESILPSAKGEFNYEVLCKAIEQISDFERRANARVIESGLLKGLNLEDIKRAGELLVLQNGCASFFQKIVKNENLNANIHIISYCWCADLIRSSFSSGGLDVLNIHANELSFEGSICTGEIIRKVESPVDKVEAFHNILKSYNTDKKNLTVYIGDTIGDLLCLLQADVGIVIGSSPSLRRVGGHFGVSFLPLFPSLIKKQKQYTDESSSKWKGQSGTLYTVTTWAEIQAFISGW >Manes.14G038900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3372432:3374242:-1 gene:Manes.14G038900.v8.1 transcript:Manes.14G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNPTPDESSALELIRQHLLGDFSSTDVFISNLDSHISSLNCNLQPIKLEYHDCLSASESYSPVSPTTHSTHQSCNFRPKTELVDSTSPERSDSAEHYPLPEPLLDPKPTDDEDKKHYRGVRRRPWGKFAAEIRDPNRKGSRVWLGTFDSDVDAAKAYDCAAFRLRGRKAILNFPLEAGLADPPANTGRKRRRVKKAELSPDSVDFPSAISEVEVIFCEEQLSPLPRKPVLMTC >Manes.12G049750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4483402:4485582:-1 gene:Manes.12G049750.v8.1 transcript:Manes.12G049750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAQPFRTIMDFSSNLIAILGVVALLLLRNLWRAKNKKKIKGKLVPEAPGGLPIIGHLHQLGGKKSLARTLGEMADKYGSIFSLRLGVHRSVIITDHQAMKDCFTTNDKLFASRPHSSQAIHVGYDYASFGFAPYGTYWRNMRKLATIELLSSHRTKILNYVQISEVNYLVKDLYLHYKNNANAKINMSERIEHLILNMVTRMVAGKRFFDGNKEARSESGRPIGEIIREFMFVTGALVPGDLIPFLGWLDIGGIVKTMKRVTKEVDVIVESWIEEHKKKTENEAKKDFIDVMLSVVEDEPSMKLKRETIIKATTTAIILAGSDTTAITTIWALSSLVNNRQALERAQQEIDEKIGRDRCVQVSDVDKLEYLSAIIKETLRLYPPGPLGVPREAAEDCFISGYFIPKGTRIFTHLWKLHRDPKVWKDPEAFIPERFLTTNANLDVTGQNFEYLPFSAGRRSCPGMNLAMQVLHLTLARLIQAFDLKTPANEPVDMTEAQGIVMPRLTPLEIVVVPRLDPEFYERE >Manes.06G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24386954:24389616:1 gene:Manes.06G113000.v8.1 transcript:Manes.06G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRFLLVALSITLVLRIAESFDFNENDLASEESLWDLYERWRSYHTVSRSLNEKHKRFNVFKHNVMHVHNTNKLDKPYKLKLNKFADMTSYEFRSIYASSNVKHHRMFRGLPQGNGSFVYEKVETAPPSIDWRKKGAVTGVKDQGQCGSCWAFSAIAAVEGINQIKTNKLVSLSEQELVDCDTECGGCNGGLMDYAFEFIMQHGGITTEANYPYKAEDEICNVLKENSPAVSIDGYEKVPENNENALLEGAFFRFMHDLKNNYQFMQNPKIFSDSVSVAKQPVSVAIDAGGSDFQFYSEGVFTGSCGTELDHGVAVVGYGTTLSGTKYWIVKNSWGAEWGEKGYIRMQRGISEKEGLCGIAMEASYPIKNSNNPAEVQSSAKDEL >Manes.07G098300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30255236:30256042:1 gene:Manes.07G098300.v8.1 transcript:Manes.07G098300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFASLPFYLLLILSTTYARKDEGEYWRGVMKDQPLPEPIQELLQASPTSSDGKTDSGMSKNFEPIPNASIYHDDIGLQTKNPLSQTHSLQNFEPIPDVSIYHDDIGKKPLNKESFAKKFEPRPDLTIYHNDEVFKEEKPSEEKSFTKDD >Manes.15G066800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5066619:5067329:-1 gene:Manes.15G066800.v8.1 transcript:Manes.15G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGGGATTIAAEQVVEPNRSAPQNIINGKEPKYRGVRKRPWGRYAAEIRDPLKKTRVWLGTYDSAEDAARAYDAAARTFRGPKAKTNFPIPASHLSPFTNQNFPDSFMDHRIYTTNGFEEHHVNAQRPTSSSLSSTVESFSGPRPPLQQPKAIKSSAPLAAAVAPRKHHPRTPPVVPEDCHSDCDSSSSVVDDGDIASSSSLCRKPLLLDLNFPPLDEVAFGSDDLHCTALWL >Manes.04G164300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35756346:35756897:-1 gene:Manes.04G164300.v8.1 transcript:Manes.04G164300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFFLYFILFSTFFSSLNCKFSEQFPLAMSTKRMEKMTRLHFYFHDIPSGSNPTAVLIAGPSSKMANRFGSTFIMDDPLTEGPEATSKLVGRAQGLYSLASQHDMGLLVVMNFAFVEGMYNGSTVSILGRDPVFNIVKEMPIVGGSGVFRYARGYALARTVSADQKTGNVVVEYNISVVHY >Manes.12G157750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36556391:36556620:1 gene:Manes.12G157750.v8.1 transcript:Manes.12G157750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDRSLGVLKLFQIVFVVVPLVKHCHVGCT >Manes.13G025900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3454274:3461222:1 gene:Manes.13G025900.v8.1 transcript:Manes.13G025900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLALIASERTEKPISVIKDQWQVSFSRFIIYPSVPSTCPFLVHRLNKRRCCASRGTWISSRSPTASLQLLNYHALSDAILSVCFSDKMLEEHYVSKLHFTWPHVSCVSGYPPRGSRAVFVSYKDSAGEIQKFALRFSVISEAERFINALKDILKEISETELLNSGSPTEISSPSVFLSTNETPSRACEEQSSVMTTGQAYSPQLSFDYEVEKESYIEKTQLNHISEGISCALPPSFASFLTNCCSEVKQDARRPSSSENIDLKSQIARYMEDSSFQGSHKLSVSETKTPYTCQAEGGGKIQDGENNNVPVQSLMTLQKRMLARDQ >Manes.14G105700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8872281:8878480:1 gene:Manes.14G105700.v8.1 transcript:Manes.14G105700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGSSHNRTPRELICHGGAGAAAGAIAATFVCPLDVIKTRLQVHGLPATPNSGRPGSIVVTSLKNIIRNEGFKGLYRGLSPTIIALLPNWTVYFIVYEQLKGLLLSHGDGNSQLTVGANMVAAAGAGAATAIATNPLWVVKTRLQTQGLRPDVVPYKSIHSAFRRIIHEEGMRGLYSGILPSLAGISHVAIQFPAYEKFKYYMAKKDNTTVDKLSPGDVAIAASSSKVLASIMTYPHEVVRSRLQEQGQVRNSEVQYSGVIDCIKKVFQKEGFPGFYRGCATNLMRTTPSAVITFTSYEMIHRFLDRVLPADKKHSEDQPKSGYPQHLRENQGNGMGNNIVLGQSQTQQNNRTTSAPLASKEQLTPAH >Manes.04G084400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28573862:28575203:-1 gene:Manes.04G084400.v8.1 transcript:Manes.04G084400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCVAERALKSSLRNELVYKSTQQALFEDFLCSNANNGVVSCDDFSVDCFFDFSNGECYIQEKNMLEVEEEEEEEEEEKDSLSDSYQDRVDDDNNSNFSTTFSNSLLSGELSVPVDDLAELEWVSQIMDDSSSEFSLLCPLKPENLSNRFQPQPEPANFIKTSCLFPAPIPSKPRSKRSRARTWCIGSPISDLSPSSPSSSSSPASSSASTPCIVLTNMVQSIDSILTEPPMKKLKKKPELQTGEAFGSTQFQRRCSHCLVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSADVHSNSHRKVLEIRKKKEIVVPEYGMVPNF >Manes.04G084400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28573453:28575475:-1 gene:Manes.04G084400.v8.1 transcript:Manes.04G084400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCVAERALKSSLRNELVYKSTQQALFEDFLCSNANNGVVSCDDFSVDCFFDFSNGECYIQEKNMLEVEEEEEEEEEEKDSLSDSYQDRVDDDNNSNFSTTFSNSLLSGELSVPVDDLAELEWVSQIMDDSSSEFSLLCPLKPENLSNRFQPQPEPANFIKTSCLFPAPIPSKPRSKRSRARTWCIGSPISDLSPSSPSSSSSPASSSASTPCIVLTNMVQSIDSILTEPPMKKLKKKPELQTGEAFGSTQFQRRCSHCLVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSADVHSNSHRKVLEIRKKKEIVVPEYGMVPNF >Manes.04G084400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28573455:28575234:-1 gene:Manes.04G084400.v8.1 transcript:Manes.04G084400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCVAERALKSSLRNELVYKSTQQALFEDFLCSNANNGVVSCDDFSVDCFFDFSNGECYIQEKNMLEVEEEEEEEEEEKDSLSDSYQDRVDDDNNSNFSTTFSNSLLSGELSVPVDDLAELEWVSQIMDDSSSEFSLLCPLKPENLSNRFQPQPEPANFIKTSCLFPAPIPSKPRSKRSRARTWCIGSPISDLSPSSPSSSSSPASSSASTPCIVLTNMVQSIDSILTEPPMKKLKKKPELQTGEAFGSTQFQRRCSHCLVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSADVHSNSHRKVLEIRKKKEIVVPEYGMVPNF >Manes.06G076750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21307937:21308604:1 gene:Manes.06G076750.v8.1 transcript:Manes.06G076750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSSSGVSNMQDLRCYSASYASSVYPTQSQAQTQVGNNDVRFKKGKSASGSVSKSWSFNDRELQRKKRVASYKVYSVEGKVKGSFRKSFRWLKDR >Manes.09G139700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33886645:33894229:-1 gene:Manes.09G139700.v8.1 transcript:Manes.09G139700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVFKSKIKWIALLVLILSTGSLVIHLSITKFSTSSLVSYASKKDSGVDFPPLPEAQSVRYFRNKKLWGVVKSLESLQPYANPRSTYPVPHEKNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLWSKGISKKFKSFSYLYNEEQFIASLKKDVIIVKSLPDNLKAARKRNEFPTFKPKSTASPSFYIQEILPKLKKAKVIGLVLADGGCLQSALPPGMYEFQRLRCRVAFHALQFRKEIQVLGYLMVERLRAWGQPFLAFHPGLVRDTLAYHGCAELFQDVHTELVQYRRAQMIKRGSLKEELNVDSHLHRENGSCPLMPEEVGLLLRAFGYPPKTTIYVAGSETFGGQRVLIPLIARFTKVVDRTSMCSKQELFDLVGPETPLPVDSFKLPPHKSEKQLKEEWKRAGPRPRPLPPPPDRPIYHHEKEGWYGWITESNSEPDPSPMYLRRQAQRLLWDALDYIVSMEADAFFPGFNNDGSGWPDFSSLVMGQRLYESAASRTYRPDRKLLAELFNITREDMYHPNHNWTLAVREHLNKSLGEDGLIRQSLQSKPSSFLSHPLPECSCRISSAEFQTPIEGVDGRYLYGGEDECPEWMQHGQEAAPLESMGAERGKNDDESDYENDVVQQESDDTGGKSSLSLPMDQDDEWDPND >Manes.09G139700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33886645:33894229:-1 gene:Manes.09G139700.v8.1 transcript:Manes.09G139700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVFKSKIKWIALLVLILSTGSLVIHLSITKFSTSSLVSYASKKDSGVDFPPLPEAQSVRYFRNKKLWGVVKSLESLQPYANPRSTYPVPHEKNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLWSKGISKKFKSFSYLYNEEQFIASLKKDVIIVKSLPDNLKAARKRNEFPTFKPKSTASPSFYIQEILPKLKKAKVIGLVLADGGCLQSALPPGMYEFQRLRCRVAFHALQFRKEIQVLGYLMVERLRAWGQPFLAFHPGLVRDTLAYHGCAELFQDVHTELVQYRRAQMIKRGSLKEELNVDSHLHRENGSCPLMPEEVGLLLRAFGYPPKTTIYVAGSETFGGQRVLIPLIARFTKVVDRTSMCSKQELFDLVGPETPLPVDSFKLPPHKSEKQLKEEWKRAGPRPRPLPPPPDRPIYHHEKEGWYGWITESNSEPDPSPMYLRRQAQRLLWDALDYIVSMEADAFFPGFNNDGSGWPDFSSLVMGQRLYESAASRTYRPDRKLLAELFNITREDMYHPNHNWTLAVREHLNKSLGEDGLIRQSLQSKPSSFLSHPLPECSCRISSAEFQTPIEGVDGRYLYGGEDECPEWMQHGQEAAPLESMGAERGKNDDESDYENDVVQQESDDTGGKSSLSLPMDQDDEWDPND >Manes.09G139700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33884833:33894262:-1 gene:Manes.09G139700.v8.1 transcript:Manes.09G139700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVFKSKIKWIALLVLILSTGSLVIHLSITKFSTSSLVSYASKKDSGVDFPPLPEAQSVRYFRNKKLWGVVKSLESLQPYANPRSTYPVPHEKNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLWSKGISKKFKSFSYLYNEEQFIASLKKDVIIVKSLPDNLKAARKRNEFPTFKPKSTASPSFYIQEILPKLKKAKVIGLVLADGGCLQSALPPGMYEFQRLRCRVAFHALQFRKEIQVLGYLMVERLRAWGQPFLAFHPGLVRDTLAYHGCAELFQDVHTELVQYRRAQMIKRGSLKEELNVDSHLHRENGSCPLMPEEVGLLLRAFGYPPKTTIYVAGSETFGGQRVLIPLIARFTKVVDRTSMCSKQELFDLVGPETPLPVDSFKLPPHKSEKQLKEEWKRAGPRPRPLPPPPDRPIYHHEKEGWYGWITESNSEPDPSPMYLRRQAQRLLWDALDYIVSMEADAFFPGFNNDGSGWPDFSSLVMGQRLYESAASRTYRPDRKLLAELFNITREDMYHPNHNWTLAVREHLNKSLGEDGLIRQSLQSKPSSFLSHPLPECSCRISSAEFQTPIEGVDGRYLYGGEDECPEWMQHGQEAAPLESMGAERGKNDDESDYENDVVQQESDDTGGKSSLSLPMDQDDEWDPND >Manes.09G139700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33884833:33894381:-1 gene:Manes.09G139700.v8.1 transcript:Manes.09G139700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVFKSKIKWIALLVLILSTGSLVIHLSITKFSTSSLVSYASKKDSGVDFPPLPEAQSVRYFRNKKLWGVVKSLESLQPYANPRSTYPVPHEKNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLWSKGISKKFKSFSYLYNEEQFIASLKKDVIIVKSLPDNLKAARKRNEFPTFKPKSTASPSFYIQEILPKLKKAKVIGLVLADGGCLQSALPPGMYEFQRLRCRVAFHALQFRKEIQVLGYLMVERLRAWGQPFLAFHPGLVRDTLAYHGCAELFQDVHTELVQYRRAQMIKRGSLKEELNVDSHLHRENGSCPLMPEEVGLLLRAFGYPPKTTIYVAGSETFGGQRVLIPLIARFTKVVDRTSMCSKQELFDLVGPETPLPVDSFKLPPHKSEKQLKEEWKRAGPRPRPLPPPPDRPIYHHEKEGWYGWITESNSEPDPSPMYLRRQAQRLLWDALDYIVSMEADAFFPGFNNDGSGWPDFSSLVMGQRLYESAASRTYRPDRKLLAELFNITREDMYHPNHNWTLAVREHLNKSLGEDGLIRQSLQSKPSSFLSHPLPECSCRISSAEFQTPIEGVDGRYLYGGEDECPEWMQHGQEAAPLESMGAERGKNDDESDYENDVVQQESDDTGGKSSLSLPMDQDDEWDPND >Manes.09G097800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29531055:29534155:-1 gene:Manes.09G097800.v8.1 transcript:Manes.09G097800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLPCLKACEEAICHKARKVHEIVFFLAIYLISIGTGGHKASLESFGADQFDDDHCEERKKKMSYFNWWNFGLCFGVILGVTLIVYVQDHMSWGAADIILIIVMALSLVIFTIGRPFYRYRAPSGSPLKPMLQVLVAAVRKRNLPHPPNPAELYQVSKVDHGRLLCHTEKLNKSGTPLSCRFLDKAAILEGTENFAEKQSPWRLATVTNVEEMKLIINMIPVWLATLPFGICVAQCSTFFIKQATTLNRKIGNDFEIPAASIFSLAAIGMIISVTTYEKILVPTLRRKTGNERGIKILQRIGTGMVFSIATMVVAALVEKKRLSVAEKDPLKGSRLSMSVFWLAPQYLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITVVDHVTEKYGNGWFGKDLNSSRLANFYWLLAAITAANLFVFVFLARRYSYKNVQRNVAVAACPPANNNNNNQGDLVLEIEVKR >Manes.09G097800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29531055:29534200:-1 gene:Manes.09G097800.v8.1 transcript:Manes.09G097800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPERADSIEVDGEKWVCDSSVDHKGRVPLRAHTGVWKASLFIIAIEFSERLSYFGIATSLIIYLTKVIHQDLKTAAKSVNYWSGVTTLMPLFGGFVADAYLGRFSTVLFSSLIYLLGLTLLTMSQFLPCLKACEEAICHKARKVHEIVFFLAIYLISIGTGGHKASLESFGADQFDDDHCEERKKKMSYFNWWNFGLCFGVILGVTLIVYVQDHMSWGAADIILIIVMALSLVIFTIGRPFYRYRAPSGSPLKPMLQVLVAAVRKRNLPHPPNPAELYQVSKVDHGRLLCHTEKLKFLDKAAILEGTENFAEKQSPWRLATVTNVEEMKLIINMIPVWLATLPFGICVAQCSTFFIKQATTLNRKIGNDFEIPAASIFSLAAIGMIISVTTYEKILVPTLRRKTGNERGIKILQRIGTGMVFSIATMVVAALVEKKRLSVAEKDPLKGSRLSMSVFWLAPQYLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITVVDHVTEKYGNGWFGKDLNSSRLANFYWLLAAITAANLFVFVFLARRYSYKNVQRNVAVAACPPANNNNNNQGDLVLEIEVKR >Manes.09G097800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29531055:29534163:-1 gene:Manes.09G097800.v8.1 transcript:Manes.09G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPERADSIEVDGEKWVCDSSVDHKGRVPLRAHTGVWKASLFIIAIEFSERLSYFGIATSLIIYLTKVIHQDLKTAAKSVNYWSGVTTLMPLFGGFVADAYLGRFSTVLFSSLIYLLGLTLLTMSQFLPCLKACEEAICHKARKVHEIVFFLAIYLISIGTGGHKASLESFGADQFDDDHCEERKKKMSYFNWWNFGLCFGVILGVTLIVYVQDHMSWGAADIILIIVMALSLVIFTIGRPFYRYRAPSGSPLKPMLQVLVAAVRKRNLPHPPNPAELYQVSKVDHGRLLCHTEKLNKSGTPLSCRFLDKAAILEGTENFAEKQSPWRLATVTNVEEMKLIINMIPVWLATLPFGICVAQCSTFFIKQATTLNRKIGNDFEIPAASIFSLAAIGMIISVTTYEKILVPTLRRKTGNERGIKILQRIGTGMVFSIATMVVAALVEKKRLSVAEKDPLKGSRLSMSVFWLAPQYLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITVVDHVTEKYGNGWFGKDLNSSRLANFYWLLAAITAANLFVFVFLARRYSYKNVQRNVAVAACPPANNNNNNQGDLVLEIEVKR >Manes.09G097800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29531055:29534155:-1 gene:Manes.09G097800.v8.1 transcript:Manes.09G097800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLPCLKACEEAICHKARKVHEIVFFLAIYLISIGTGGHKASLESFGADQFDDDHCEERKKKMSYFNWWNFGLCFGVILGVTLIVYVQDHMSWGAADIILIIVMALSLVIFTIGRPFYRYRAPSGSPLKPMLQVLVAAVRKRNLPHPPNPAELYQVSKVDHGRLLCHTEKLKFLDKAAILEGTENFAEKQSPWRLATVTNVEEMKLIINMIPVWLATLPFGICVAQCSTFFIKQATTLNRKIGNDFEIPAASIFSLAAIGMIISVTTYEKILVPTLRRKTGNERGIKILQRIGTGMVFSIATMVVAALVEKKRLSVAEKDPLKGSRLSMSVFWLAPQYLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITVVDHVTEKYGNGWFGKDLNSSRLANFYWLLAAITAANLFVFVFLARRYSYKNVQRNVAVAACPPANNNNNNQGDLVLEIEVKR >Manes.05G083700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6876932:6892621:1 gene:Manes.05G083700.v8.1 transcript:Manes.05G083700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYWSLVFSLLLCLFASGSLSIDNFHQAFPIIEPDPGHTKLRLSSDGLEAIRRIMTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVELDVNGVNTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDFAFEPAKLLWLIQRDFLEGKSVQEMVHEALQHVPNNDGDKNIDQVNQIRESLAIMGGNSTAFSLPQPHLQRTKLCDMKDDQLDPVYVKRRQQLKELVASIIRPKIVQGKLLNGQDFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKRYSETMLNLTLPLTAQSLRDAHERSRGDAMKSFDEQHFGRNHAKRSFMQLEEEIDKAYKNVILANDYQSAKLCEALYVRCEDEMDQLQVLRLPSMAKFNAGLLQCNQSFEQECVGPSKEKYEQRMMKMLGKSKSQFIKDYNQRLFNWLVTFSLVMVVVGRFIIKFILIEMGAWILFIFLETYTRMFWSAESLYYNPVWHFTVATWETLVYSPILDLDRWAVPIGFVLLILVIYWRCYCKRKHGHWLLPLHSNSKGGPSRPRSD >Manes.05G083700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6877167:6892621:1 gene:Manes.05G083700.v8.1 transcript:Manes.05G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYWSLVFSLLLCLFASGSLSIDNFHQAFPIIEPDPGHTKLRLSSDGLEAIRRIMTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVELDVNGVNTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDFAFEPAKLLWLIQRDFLEGKSVQEMVHEALQHVPNNDGDKNIDQVNQIRESLAIMGGNSTAFSLPQPHLQRTKLCDMKDDQLDPVYVKRRQQLKELVASIIRPKIVQGKLLNGQDFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKRYSETMLNLTLPLTAQSLRDAHERSRGDAMKSFDEQHFGRNHAKRSFMQLEEEIDKAYKNVILANDYQSAKLCEALYVRCEDEMDQLQVLRLPSMAKFNAGLLQCNQSFEQECVGPSKEKYEQRMMKMLGKSKSQFIKDYNQRLFNWLVTFSLVMVVVGRFIIKFILIEMGAWILFIFLETYTRMFWSAESLYYNPVWHFTVATWETLVYSPILDLDRWAVPIGFVLLILVIYWRCYCKRKHGHWLLPLHSNSKGGPSRPRSD >Manes.05G083700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6877721:6892632:1 gene:Manes.05G083700.v8.1 transcript:Manes.05G083700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLVTLAGFPIIEPDPGHTKLRLSSDGLEAIRRIMTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVELDVNGVNTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDFAFEPAKLLWLIQRDFLEGKSVQEMVHEALQHVPNNDGDKNIDQVNQIRESLAIMGGNSTAFSLPQPHLQRTKLCDMKDDQLDPVYVKRRQQLKELVASIIRPKIVQGKLLNGQDFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKRYSETMLNLTLPLTAQSLRDAHERSRGDAMKSFDEQHFGRNHAKRSFMQLEEEIDKAYKNVILANDYQSAKLCEALYVRCEDEMDQLQVLRLPSMAKFNAGLLQCNQSFEQECVGPSKEKYEQRMMKMLGKSKSQFIKDYNQRLFNWLVTFSLVMVVVGRFIIKFILIEMGAWILFIFLETYTRMFWSAESLYYNPVWHFTVATWETLVYSPILDLDRWAVPIGFVLLILVIYWRCYCKRKHGHWLLPLHSNSKGGPSRPRSD >Manes.05G083700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6877815:6892621:1 gene:Manes.05G083700.v8.1 transcript:Manes.05G083700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVELDVNGVNTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDFAFEPAKLLWLIQRDFLEGKSVQEMVHEALQHVPNNDGDKNIDQVNQIRESLAIMGGNSTAFSLPQPHLQRTKLCDMKDDQLDPVYVKRRQQLKELVASIIRPKIVQGKLLNGQDFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKRYSETMLNLTLPLTAQSLRDAHERSRGDAMKSFDEQHFGRNHAKRSFMQLEEEIDKAYKNVILANDYQSAKLCEALYVRCEDEMDQLQVLRLPSMAKFNAGLLQCNQSFEQECVGPSKEKYEQRMMKMLGKSKSQFIKDYNQRLFNWLVTFSLVMVVVGRFIIKFILIEMGAWILFIFLETYTRMFWSAESLYYNPVWHFTVATWETLVYSPILDLDRWAVPIGFVLLILVIYWRCYCKRKHGHWLLPLHSNSKGGPSRPRSD >Manes.05G083700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6876711:6892839:1 gene:Manes.05G083700.v8.1 transcript:Manes.05G083700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYWSLVFSLLLCLFASGSLSIDNFHQAFPIIEPDPGHTKLRLSSDGLEAIRRIMTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVELDVNGVNTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDFAFEPAKLLWLIQRDFLEGKSVQEMVHEALQHVPNNDGDKNIDQVNQIRESLAIMGGNSTAFSLPQPHLQRTKLCDMKDDQLDPVYVKRRQQLKELVASIIRPKIVQGKLLNGQDFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKRYSETMLNLTLPLTAQSLRDAHERSRGDAMKSFDEQHFGRNHAKRSFMQLEEEIDKAYKNVILANDYQSAKLCEALYVRCEDEMDQLQVLRLPSMAKFNAGLLQCNQSFEQECVGPSKEKYEQRMMKMLGKSKSQFIKDYNQRLFNWLVTFSLVMVVVGRFIIKFILIEMGAWILFIFLETYTRMFWSAESLYYNPVWHFTVATWETLVYSPILDLDRWAVPIGFVLLILVIYWRCYCKRKHGHWLLPLHSNSKGGPSRPRSD >Manes.08G140600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37695259:37697063:1 gene:Manes.08G140600.v8.1 transcript:Manes.08G140600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNGHPLQLLEINVISAQDLAPVSKSMRTYAVVWVHAERKLTTRVDQSGNTNPQWNEKFVFRVDDKFLNSETSGIMIEIYAAAWLRDIQIGSVRVLTSNLFPSNNNNSKMRFVALQVRRPSGRPQGILNMGVQLLDNTMRSMPLYTELSASAVGLNDLIDAKTNKQTIEEKTAKLRRTRSDHTDFSTMFDEFGLKGSSIAKSSVVNGSSLVNYSAVKFNSKEKLDTGNGGNGNGSMVNGSLCSDVGPSASVVAAAIAKGLIKPPGNEGTQTKSGGSSSIIDDWTENDSVEGLRTKLERWKAELPPIYDNDSSKMMSKSRRKHHRRRKSEGLFTCFGNVLGCEISITCGGGKKKHGKKVRHWSSLESGSYL >Manes.13G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36801594:36806290:-1 gene:Manes.13G154300.v8.1 transcript:Manes.13G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSRRLRAFKRWMNSQGIRWSSDALCFVDTPEQGISVKALRDVKEGEVVATIPKAACLTIKTSGGRDFIQAARLDGCLGLAVALMYERSLGNNSPWAAYLQLLPDRECIPLVWTLDEVDHLLPGTELHRIVKEDKALMYDDWKENIMPLVDALDLVPESFGAEQYFAARSLVASRSFQIDDYHGSGMVPLADLFNHKTQAEDVHFTCGAFDSKSDDEDEGEESSNNDSYTENAIDEEPSSALDCSSDDSKVLEMIMVKDVKTGAEIFNTYGIIGNAALLHRYGFTEPDNPYDIVNIDLELVLNWSLSLFSGRYSRARLSLWRKLDYSGCVSQNAEYFEISFNGEPQVELLILLYMMLLPEDEYCKLDLAVSIACNHKESISTILSEKCNISWENGSEVKKDLLLTESVCSALLWLADKRESLYGLSSMKDDMEALEKCNKKERKLYHSLMLRVSERRILEKLRTYATVGAELLETTKRASIRKRLKRT >Manes.17G047200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24427905:24430981:1 gene:Manes.17G047200.v8.1 transcript:Manes.17G047200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDKGGNEEIMKQNQDRRLKPMQGENQQQQQHQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRGKTSSSSSTSSSTSENSRSQSQPHSLSLGSSQNIMSTTNSGNIASPALRIKESSRDLVLPPGISSAGPYYPGGGGGFLTSLAAIQSLNNQPPQSFALNQPVNLGGELGVPSNLSLLHGFNAFGSQQQQQQIQQQRQIYHMYNRENKNGDNPFYGSSSQSQQQQQNWHQGFVSTTNPTESDTALWSISTSTTTGTTNSNSTATAGPFHLNPPADQWHDLPGYGPPP >Manes.17G047200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24427884:24430984:1 gene:Manes.17G047200.v8.1 transcript:Manes.17G047200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDKGGNEEIMKQNQDRRLKPMQGENQQQQQHQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRGKTSSSSSTSSSTSENSRSQSQPHSLSLGSSQNIMSTTNSAGPYYPGGGGGFLTSLAAIQSLNNQPPQSFALNQPVNLGGELGVPSNLSLLHGFNAFGSQQQQQQIQQQRQIYHMYNRENKNGDNPFYGSSSQSQQQQQNWHQGFVSTTNPTESDTALWSISTSTTTGTTNSNSTATAGPFHLNPPADQWHDLPGYGPPP >Manes.17G047200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24427905:24431521:1 gene:Manes.17G047200.v8.1 transcript:Manes.17G047200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDKGGNEEIMKQNQDRRLKPMQGENQQQQQHQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRGKTSSSSSTSSSTSENSRSQSQPHSLSLGSSQNIMSTTNSGNIASPALRIKESSRDLVLPPGISSAGPYYPGGGGGFLTSLAAIQSLNNQPPQSFALNQPVNLGGELGVPSNLSLLHGFNAFGSQQQQQQIQQQRQIYHMYNRENKNGDNPFYGSSSQSQQQQQNWHQGFVSTTNPTESDTALWSISTSTTTGTTNSNSTATAGPFHLNPPADQWHDLPGYGPPP >Manes.17G047200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24427905:24430666:1 gene:Manes.17G047200.v8.1 transcript:Manes.17G047200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDKGGNEEIMKQNQDRRLKPMQGENQQQQQHQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRGKTSSSSSTSSSTSENSRSQSQPHSLSLGSSQNIMSTTNSAGPYYPGGGGGFLTSLAAIQSLNNQPPQSFALNQPVNLGGELGVPSNLSLLHGFNAFGSQQQQQQIQQQRQIYHMYNRENKNGDNPFYGSSSQSQQQQQNWHQGFVSTTNPTESDTALWSISTSTTTGTTNSNSTATAGPFHLNPPADQWHDLPGYGPPP >Manes.17G047200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24427783:24430984:1 gene:Manes.17G047200.v8.1 transcript:Manes.17G047200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDKGGNEEIMKQNQDRRLKPMQGENQQQQQHQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRGKTSSSSSTSSSTSENSRSQSQPHSLSLGSSQNIMSTTNSGPYYPGGGGGFLTSLAAIQSLNNQPPQSFALNQPVNLGGELGVPSNLSLLHGFNAFGSQQQQQQIQQQRQIYHMYNRENKNGDNPFYGSSSQSQQQQQNWHQGFVSTTNPTESDTALWSISTSTTTGTTNSNSTATAGPFHLNPPADQWHDLPGYGPPP >Manes.17G047200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24427882:24430666:1 gene:Manes.17G047200.v8.1 transcript:Manes.17G047200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDKGGNEEIMKQNQDRRLKPMQGENQQQQQHQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRGKTSSSSSTSSSTSENSRSQSQPHSLSLGSSQNIMSTTNSAGPYYPGGGGGFLTSLAAIQSLNNQPPQSFALNQPVNLGGELGVPSNLSLLHGFNAFGSQQQQQQIQQQRQIYHMYNRENKNGDNPFYGSSSQSQQQQQNWHQGFVSTTNPTESDTALWSISTSTTTGTTNSNSTATAGPFHLNPPADQWHDLPGYGPPP >Manes.17G047200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24427882:24430666:1 gene:Manes.17G047200.v8.1 transcript:Manes.17G047200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDKGGNEEIMKQNQDRRLKPMQGENQQQQQHQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRGKTSSSSSTSSSTSENSRSQSQPHSLSLGSSQNIMSTTNSGPYYPGGGGGFLTSLAAIQSLNNQPPQSFALNQPVNLGGELGVPSNLSLLHGFNAFGSQQQQQQIQQQRQIYHMYNRENKNGDNPFYGSSSQSQQQQQNWHQGFVSTTNPTESDTALWSISTSTTTGTTNSNSTATAGPFHLNPPADQWHDLPGYGPPP >Manes.17G047200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24427783:24430984:1 gene:Manes.17G047200.v8.1 transcript:Manes.17G047200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDKGGNEEIMKQNQDRRLKPMQGENQQQQQHQPQKCPRCDSLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRGKTSSSSSTSSSTSENSRSQSQPHSLSLGSSQNIMSTTNSAGPYYPGGGGGFLTSLAAIQSLNNQPPQSFALNQPVNLGGELGVPSNLSLLHGFNAFGSQQQQQQIQQQRQIYHMYNRENKNGDNPFYGSSSQSQQQQQNWHQGFVSTTNPTESDTALWSISTSTTTGTTNSNSTATAGPFHLNPPADQWHDLPGYGPPP >Manes.13G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8996833:9000010:1 gene:Manes.13G068100.v8.1 transcript:Manes.13G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPAAASRSPPPTIQFPVHLNSTTHDHRRHNSDDNKRTVIDEMDFFAKKEHDDDDRVEPSNGHGFKDNKIPTRLEFDVNTGLNLLTTNTSSEQSMVDDGISSTMEDKRAKSEIVTLQAELERIKMENLRLREMINQVTSNYNALQIHLVRVMEDQKPRENNEDHEQDGELEDNKKNGGNEAVVPRQFMDLSLAAAAAAANGGGGDTDELSLSSSEGRSQDRSRSPGNNEENRNNNEDGMVFDQDKKANIGREDSPDQGSQGWSSNKVARFNSSKSNVDQTEATIRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGTHNHPLPPAAMAMASTTSSAARMLLSGSMSSADGIMNPNFLTRTLLPCSSSMATISASAPFPTVTLDLTQNPNPLQFQRQPSQFQVPFPNPTQNFANSPAAAASLLPQLFGQALYNQSKFSGLQMSQETEANNKLGHQSQAAAINQQQGHQNSLADTVTAATAAIAADPNFTAALAAAITSIIGGGGGGGHPSSISNSNASNSNLTTTTSTSGNNKNSSFQGN >Manes.09G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6599159:6599708:-1 gene:Manes.09G032700.v8.1 transcript:Manes.09G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGRCAACKYLRRRCPLDCIFSPYFPSNDPERFSCVHKIYGASNVGKMLQQLPDHLRAPAADCLCYEARCRIQDPVYGCVGIISLLDQQIQTAENQLAETKAEIAVLAMHNNFHK >Manes.01G039096.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7604629:7607750:-1 gene:Manes.01G039096.v8.1 transcript:Manes.01G039096.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDACCRWDGVTCDDITGHVVNLVLSWTSIRGNISLHLGNLSNLQYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELQLRYCELSIIGDVSHVNFTSLEVLDLESNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLETLILLNNSFSGSIPDNLGQFKRLKELSFSENSFWGSIPVSIGQLYNLEILDFSKNSLHGKVSELHLLNLRSLFELSMDGNSLVFDIDPEWIPPFQLSWIHLSSCEVGPFPQWLRTQKSIRFLDMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLTRSQFDATILDISNNLLHGQIPQNISDMMPSLRHLSLSNNYLNGTVPATLCRIESLQILDLSNNQLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKGQWDYYTNADPIFGFARASYGENVQVYVKGMELEYSITLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPTGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGSTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.01G039096.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7604851:7607750:-1 gene:Manes.01G039096.v8.1 transcript:Manes.01G039096.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDACCRWDGVTCDDITGHVVNLVLSWTSIRGNISLHLGNLSNLQYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELQLRYCELSIIGDVSHVNFTSLEVLDLESNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLETLILLNNSFSGSIPDNLGQFKRLKELSFSENSFWGSIPVSIGQLYNLEILDFSKNSLHGKVSELHLLNLRSLFELSMDGNSLVFDIDPEWIPPFQLSWIHLSSCEVGPFPQWLRTQKSIRFLDMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLTRSQFDATILDISNNLLHGQIPQNISDMMPSLRHLSLSNNYLNGTVPATLCRIESLQILDLSNNQLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKGQWDYYTNADPIFGFARASYGENVQVYVKGMELEYSITLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPTGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGSTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.12G021900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1908270:1915640:1 gene:Manes.12G021900.v8.1 transcript:Manes.12G021900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSRSMGSKKPNETMRLIVTTFLGVVFGFLIGVSFPTFSRTKLNISSSLVPSADLSYVDSRKLGLYTETTSKDNNKNTAQAENLNDTSKIWVPSNPHGAERLPPGMIEAESDFYLRRLWGNPSEDLTSTPKYLVTFTVGYDQRKNIDANIKKFSGNFTVLLFHYDGRVSEWDEFEWSKQAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIQLVKKHGLEISQPGLEPNKGLTWQMTKRRGDREVHKITEEKPGWCTDPLLPPCAAFVEIMAPVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQSVPSLGNQGETENGKAPWKGVRERCRKEWTMFQNRLTNAENAYFRSKAMESSNSTAH >Manes.09G189100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37511378:37512132:-1 gene:Manes.09G189100.v8.1 transcript:Manes.09G189100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAFKPISTTASTSLSILILLLVFSLSPLTRSSPEGEPTPTPWPHQFHAILFMNYSGILQKIDLWYDWPNGRNFNIIQHQLGKLLYDLEWNNGTSFFYTLDSNKECSSAQVEVGILRPNWLDGATYLGQKHVDGFLCNVWQKVDFIWYYEDVVTKRPVHWVFYTGREAHVMTFEVGAALEDAKWQAPAYCFDKQNTNAAGSAIADIGVLRGSVAQSM >Manes.05G109300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11080080:11083544:-1 gene:Manes.05G109300.v8.1 transcript:Manes.05G109300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGARIQLNQWQQAAVALGSAIGALLDPRRADLIAALGETTGKPAFERVLERMKRSPEGRAVLLERPRVISTEVGHAWDLPANTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTLFDLPTNLIGESALKVIEFEQMYLPMCIMSVVGGTARFSEKQRRLFFQHYFPWATQAGMRCTDLMCVYYEKHFHEDLDDVRRKWGIIPAPVAPKQ >Manes.09G011668.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2451203:2455308:1 gene:Manes.09G011668.v8.1 transcript:Manes.09G011668.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRAQFSRFLSALVKMKQYHTVFSMSRTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPDVVTFNTLINGLSIESKMDKALEFFDDMVARGYQPNVRTYSVIINALCKFGKTNVAIGLLKGMADRGFEPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYSSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGMVSNAENIIKIMIQRGVEPTVVTYSSLMGGYCLCKQIDKARKVFDLMVTNEIANIFSYNILINGYCKCKMIDDAKELFDEMSHKGLVSDSVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKIFRDMEKGGCLPNNFSYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNDLILSKLRNRSEASKAVQ >Manes.02G160800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12574068:12574585:-1 gene:Manes.02G160800.v8.1 transcript:Manes.02G160800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFTSFCSGVVKSKRSIWRLKTITDLFWAIINFIGVFFATMFSMEKSNAYRKGSGSGKKWDGGPGGPGSGPYGGGPRGPPRGLDNVRGIDHSSLPACGSCCG >Manes.02G160800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12574068:12576307:-1 gene:Manes.02G160800.v8.1 transcript:Manes.02G160800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKSKRSIWRLKTITDLFWAIINFIGVFFATMFSMEKSNAYRKGSGSGKKWDGGPGGPGSGPYGGGPRGPPRGLDNVRGIDHSSLPACGSCCG >Manes.16G092600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29876081:29877295:-1 gene:Manes.16G092600.v8.1 transcript:Manes.16G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKLTKLKSVLKKLNSFNKQSRPSGRSIAATVDDSSSPSYSGDLHPVYVGKSRRRYFISSDVIDHPVFRELAERSSESDTISIACEVVLFEHLLWMLENADPQPESLDELVEFYAC >Manes.11G040424.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4126856:4131780:1 gene:Manes.11G040424.v8.1 transcript:Manes.11G040424.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWEKESRGTLFSKPSPELNPSKSIGPRKYSYQQLANATNNFSNSHLLGEGGFGQVYKGLLDGENYAIKKLKNFPDLQSEGKLQDEIMVVSSVRHKNLVELLGYCNEGADKLLVFKYFHNKSLRSQLHKSNLDWQKRMNIAKGTARGLEYLHEHCDTRIIHLDIKSDNILLDEEFKPKLADFGLARFFSNAATHISESKTIGTRVYVDPFAIETRQYSDKSDVYSVGVILLELVTGRMPIENAHGIDIVKWAKSRIKKALNREFAAFVDFTLRFDHTEMYRMIFCANACISNPPNLRPSIKKIFQVLEGILSPDELSSQKGLDYGATDFLERHTALHGHGNQSTKYKEKMAEEGKVFASHTFEAWTEQFEKANRGKQLIVLDFSAAWCPHSRSMSPMLAELAKKMPNVTFLMVDANELCAVAMEWAVKVVPTFFFLKQGQLLDQFVGANVKQLISTIERHAGGAHGILESMTCNLPTMSNSAIIPNAANPQWTIPTNYPQPQVLIGTSSFHNPQMLPNYSILGTNNGFPV >Manes.02G190500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15279553:15293114:1 gene:Manes.02G190500.v8.1 transcript:Manes.02G190500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFTDRSSSLYPYHLLFNALSLIPFSHYFFAFAVFSFVFLYNFFEFHFFSDLFLRFRGSPIGLTYHPSSPIYNDVVSHCRILHGRYLATPWLSSPHFQTCFLNFFGRPPAFSYRRQIYHASDGGTIALDWLMHSDVSGGRCLMNNAISKDDTTPIVVVIPGLTSDSAAASDCFYNAGWTEDIRVVINYLHHEYPKAPLFAVGTSIGANVLVKYLGEDGERTHVAGAVALCNPWDLMIGDRFICRRLVQKFYDRALAIGLQGYAKLHETRFARLANWEGIKKSRSIRDFDNHATCHVGKFETVDTYYRYCSSSYYVGNVSVPLLCISALDDPVCTREAIPWDECRANKHIVLATPKHGGHLAFFEGLTASGLWWVRAVDEFLLVLHSGPHMHVQKEETSSLHSSEGTSIDQGPYVNIAEDGMVAAMSGEQTRGQVEEHPSEARSDFVSEKARTSEQHTDIQDVKFSNVTATVRRCLNQVSRQNQRSVWMLTLIAIVTSWPLLGSAFIFYRKKLRKILPATLIRR >Manes.02G190500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15279553:15293114:1 gene:Manes.02G190500.v8.1 transcript:Manes.02G190500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFTDRSSSLYPYHLLFNALSLIPFSHYFFAFAVFSFVFLYNFFEFHFFSDLFLRFRGSPIGLTYHPSSPIYNDVVSHCRILHGRYLATPWLSSPHFQTCFLNFFGRPPAFSYRRQIYHASDGGTIALDWLMHSDVSGGRCLMNNAISKDDTTPIVVVIPGLTSDSAAAYVKHIVFNMAKRGWNVVVSNHRGLGGVSITSDCFYNAGWTEDIRVVINYLHHEYPKAPLFAVGTSIGANVLVKYLGEDGERTHVAGAVALCNPWDLMIGDRFICRRLVQKFYDRALAIGLQGYAKLHETRFARLANWEGIKKSRSIRDFDNHATCHVGKFETVDTYYRYCSSSYYVGNVSVPLLCISALDDPVCTREAIPWDECRANKHIVLATPKHGGHLAFFEGLTASGLWWVRAVDEFLLVLHSGPHMHVQKEETSSLHSSEGTSIDQGPYVNIAEDGMVAAMSGQVEEHPSEARSDFVSEKARTSEQHTDIQDVKFSNVTATVRRCLNQVSRQNQRSVWMLTLIAIVTSWPLLGSAFIFYRKKLRKILPATLIRR >Manes.02G190500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15279553:15293114:1 gene:Manes.02G190500.v8.1 transcript:Manes.02G190500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSFTDRSSSLYPYHLLFNALSLIPFSHYFFAFAVFSFVFLYNFFEFHFFSDLFLRFRGSPIGLTYHPSSPIYNDVVSHCRILHGRYLATPWLSSPHFQTCFLNFFGRPPAFSYRRQIYHASDGGTIALDWLMHSDVSGGRCLMNNAISKDDTTPIVVVIPGLTSDSAAAYVKHIVFNMAKRGWNVVVSNHRGLGGVSITSDCFYNAGWTEDIRVVINYLHHEYPKAPLFAVGTSIGANVLVKYLGEDGERTHVAGAVALCNPWDLMIGDRFICRRLVQKFYDRALAIGLQGYAKLHETRFARLANWEGIKKSRSIRDFDNHATCHVGKFETVDTYYRYCSSSYYVGNVSVPLLCISALDDPVCTREAIPWDECRANKHIVLATPKHGGHLAFFEGLTASGLWWVRAVDEFLLVLHSGPHMHVQKEETSSLHSSEGTSIDQGPYVNIAEDGMVAAMSGEQTRGQVEEHPSEARSDFVSEKARTSEQHTDIQDVKFSNVTATVRRCLNQVSRQNQRSVWMLTLIAIVTSWPLLGSAFIFYRKKLRKILPATLIRR >Manes.01G192900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36756918:36763374:-1 gene:Manes.01G192900.v8.1 transcript:Manes.01G192900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKVESSVPLTRLTLIQALMAVLLVYLLFMGLEIPLIFKTGYGSVPADATLPRTLLVHDDGVTRTVLDPHRVFHGTPERGMRETKRLSGLLFNETIADSVDEFSVLHKAAKQAWLAGKKLWEELESGKFQPNPIDVDNRTEHCPVSITLSSSEFLARNRIIELPCGLTLGSHITVVGSPRWAHKEKDPKIALLKEGEEALMVSQFMMELLGLKTVDGEEPPRILHFNPRLKGDWSGKPVIEQNTCYRMHWGTPLRCEGWSSQADEETVDGLVKCEKWLRDDDTNSEDSKATWWLNRLVGRKKKISFNWPFPFAEGKLFILTLSTGLEGYHINVDGRHITSFPYRTGFVLEDATGLFLNGDVDVHSVFAASLPSSHPSVAPQQHLEMFRKWQAPPLLDGKVELFIGILSAGNHFAERMAVRKTWMQHKLITSSKVVARFFVALSGRKEINAQLKKEADFFGDIVIVPYVDTYDLVVLKTVAICEYGVHTMDAKYIMKCDDDTFVRVEVMIQEAKKVPRDRSLYIGNINYYHKVFRSGKWAVTYEEWPEEEYPPYANGPGYIISSDIAEFIVAEFQNHQLRLFKMEDVSMGMWVEKFNGSKPVEYVHSIKFCQDGCTEDYYTAHYQSPRQMICLWEKLQQGEPQCCNMR >Manes.12G107750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29561668:29562459:1 gene:Manes.12G107750.v8.1 transcript:Manes.12G107750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLASLIGSRNFRFWNRAMRTTLGTKQKLGFVDGTVLMPISKDLIDCFIYMRFGESNENSPVSLYFTRLKRLWDELGSIEVLPLCSYGASKAMDDMNNRNRLIQFLMNLNESFNYVRDQILVLDPLPSINRVYSMALKYESQKEVLSKKNHDSNETLVLFNQSQNGKQKKYDPKKGHYSHCNMDSHVRDICFKLIGYPDWFKNKTKIGG >Manes.05G184700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30325091:30327945:-1 gene:Manes.05G184700.v8.1 transcript:Manes.05G184700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTRAGFRGLFQSLGLASFQSKVGAYCNYASRAASSLQQACEPSTYSDDNYADLDWDNLGFRLLPTDFMYMVKCSKDGKFEKGQLSRYGNIELSPSAGVLNYGQGLFEGTKAYRKQDGGLLLFRPDQNAIRMKIGADRMCMPSPSIDQFVDAVKQTAVANKRWVPPPGKGSLYIRPLLMGSGPVLGLAPAPEYTFLVYASPVGNYFKEGLAPLNLHVEDEYHRASRGGAGGVKTISNYAPVLKAITRAKNRGFSDVLYLDSVNKKYLEEVSSCNIFIVKGNVISTPATMGTILPGITRKSIIEIAQDLGYEVEERVISVDELANVDEVFCTGSAVVVASVGSITYQDKRIEYRTGAHSVSRKLHSTLIGIQMGLIEDKKGWTVEIH >Manes.05G184700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30325091:30327452:-1 gene:Manes.05G184700.v8.1 transcript:Manes.05G184700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVKCSKDGKFEKGQLSRYGNIELSPSAGVLNYGQGLFEGTKAYRKQDGGLLLFRPDQNAIRMKIGADRMCMPSPSIDQFVDAVKQTAVANKRWVPPPGKGSLYIRPLLMGSGPVLGLAPAPEYTFLVYASPVGNYFKEGLAPLNLHVEDEYHRASRGGAGGVKTISNYAPVLKAITRAKNRGFSDVLYLDSVNKKYLEEVSSCNIFIVKGNVISTPATMGTILPGITRKSIIEIAQDLGYEVEERVISVDELANVDEVFCTGSAVVVASVGSITYQDKRIEYRTGAHSVSRKLHSTLIGIQMGLIEDKKGWTVEIH >Manes.05G184700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30325091:30327945:-1 gene:Manes.05G184700.v8.1 transcript:Manes.05G184700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTRAGFRGLFQSLGLASFQSKVGAYCNYASRAASSLQQACEPSTYSDDNYADLDWDNLGFRLLPTDFMYMVKCSKDGKFEKGQLSRYGNIELSPSAGVLNYGQGLFEGTKAYRKQDGGLLLFRPDQNAIRMKIGADRMCMPSPSIDQFVDAVKQTAVANKRWVPPPGKGSLYIRPLLMGSGPVLGLAPAPEYTFLVYASPVGNYFKEGLAPLNLHVEDEYHRASRGGAGGVKTISNYAPVLKAITRAKNRGFSDVLYLDSVNKKYLEEVSSCNIFIVKGNVISTPATMGTILPGITRKSIIEIAQDLGYEVTTVYP >Manes.03G013300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1132382:1142080:-1 gene:Manes.03G013300.v8.1 transcript:Manes.03G013300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFTDQDIEAIVTGSQIDRVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDLDKNFWVRYRMAVVNQKNPAKTVWKESSICTRTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVMASEDDHDALTTDPDELVDSEDSEGASGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPGKVKRLLLPTKLSSNSDGNKAAKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDLSDVNSKPSVDGNGAATPLECDRESGGTKSAQCPEYERLDSGVDDTTSASAVQSTDGNGIDMPGKSLHGQPTYAPATTAGASLENASFCSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVALVPKLVEHSEHPMAACALLERLQKPDAEPALRIPVFGALSQLECGSDVWERILFQSFELLANSNDEPLAATIDFIFKAASHCQHLPEAVRSVRVRLKKLGTDVAPCVLDFLSKIVNSWGDVAETILRDIECDNDFGDDSALPGLCLFGENGANPERMHVADEQAIHASCHFSDIYVLIEMLSIPCLAVEASETFERAVGRGAIMAQSVAMVLERCITQRLSYNARLVAENFQHTDGVLEGEASEQLRIQRDDFNVVLGLAETLALSRDPCVREFVKMLYTLLFKWYVDESYRGRMLKRLVDRATSTTDNSRDVNLDLDILVLLVCEEQEVVKPVLSMMREVAELANVDRAALWHQLCASEDEIIHMREERKTESSNLAREKTILSQKLSESEATNNRLKSEMRAEMDRFAREKRELSEQLQEVESQLEWIRSERDEEITKLTAEKTILQDRLHEAEAQLSQLKSRKRDELKRVVKEKNSLAERLKSAEATWKRFDEELKRYAAENMTREEIRQSLEDEVRRLTRTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETISRIHEEGLRQIRSIQQRKGSPSASPLVSPHAMTHNHGLYPAPPPPMAVGLPPSLIANGVGIHSNGHVNGAVGPWFSHT >Manes.03G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1132382:1142080:-1 gene:Manes.03G013300.v8.1 transcript:Manes.03G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTSSEAVPSSSPQAAASSSSYSAAAATVSALTDQTPPSTLLSSSSIPAEDLAVGSTRDGSGGAQETVTVDRRGEYSAICRWTVQNFPRVKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISVYLQIMDPRGTSSSKWDCFASYRLAIVNQTDDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDSKLGYLFNNDSVLITADILILNESVSFMRDNNDLQSVSSSIISSSVVAGPVSDVLSGKFTWKVRNFSLFKEMIKTQKIMSPVFPAGDCNLRISVYQSSVNGQDYFSMCLESKDTEKTAVSDRSCWCLFRMSVLNQKPGSNHMHRDSYGRFAADNKTGDNTSLGWNDYMKMSDFVGPDLGFLVDDTAVFSTSFHVIKEFSSFSKTGGLIGGRSGSGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVLNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFTDQDIEAIVTGSQIDRVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDLDKNFWVRYRMAVVNQKNPAKTVWKESSICTRTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVMASEDDHDALTTDPDELVDSEDSEGASGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPGKVKRLLLPTKLSSNSDGNKAAKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDLSDVNSKPSVDGNGAATPLECDRESGGTKSAQCPEYERLDSGVDDTTSASAVQSTDGNGIDMPGKSLHGQPTYAPATTAGASLENASFCSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVALVPKLVEHSEHPMAACALLERLQKPDAEPALRIPVFGALSQLECGSDVWERILFQSFELLANSNDEPLAATIDFIFKAASHCQHLPEAVRSVRVRLKKLGTDVAPCVLDFLSKIVNSWGDVAETILRDIECDNDFGDDSALPGLCLFGENGANPERMHVADEQAIHASCHFSDIYVLIEMLSIPCLAVEASETFERAVGRGAIMAQSVAMVLERCITQRLSYNARLVAENFQHTDGVLEGEASEQLRIQRDDFNVVLGLAETLALSRDPCVREFVKMLYTLLFKWYVDESYRGRMLKRLVDRATSTTDNSRDVNLDLDILVLLVCEEQEVVKPVLSMMREVAELANVDRAALWHQLCASEDEIIHMREERKTESSNLAREKTILSQKLSESEATNNRLKSEMRAEMDRFAREKRELSEQLQEVESQLEWIRSERDEEITKLTAEKTILQDRLHEAEAQLSQLKSRKRDELKRVVKEKNSLAERLKSAEATWKRFDEELKRYAAENMTREEIRQSLEDEVRRLTRTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETISRIHEEGLRQIRSIQQRKGSPSASPLVSPHAMTHNHGLYPAPPPPMAVGLPPSLIANGVGIHSNGHVNGAVGPWFSHT >Manes.03G013300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1132382:1142080:-1 gene:Manes.03G013300.v8.1 transcript:Manes.03G013300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFTDQDIEAIVTGSQIDRVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDLDKNFWVRYRMAVVNQKNPAKTVWKESSICTRTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVMASEDDHDALTTDPDELVDSEDSEGASGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPGKVKRLLLPTKLSSNSDGNKAAKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDLSDVNSKPSVDGNGAATPLECDRESGGTKSAQCPEYERLDSGVDDTTSASAVQSTDGNGIDMPGKSLHGQPTYAPATTAGASLENASFCSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVALVPKLVEHSEHPMAACALLERLQKPDAEPALRIPVFGALSQLECGSDVWERILFQSFELLANSNDEPLAATIDFIFKAASHCQHLPEAVRSVRVRLKKLGTDVAPCVLDFLSKIVNSWGDVAETILRDIECDNDFGDDSALPGLCLFGENGANPERMHVADEQAIHASCHFSDIYVLIEMLSIPCLAVEASETFERAVGRGAIMAQSVAMVLERCITQRLSYNARLVAENFQHTDGVLEGEASEQLRIQRDDFNVVLGLAETLALSRDPCVREFVKMLYTLLFKWYVDESYRGRMLKRLVDRATSTTDNSRDVNLDLDILVLLVCEEQEVVKPVLSMMREVAELANVDRAALWHQLCASEDEIIHMREERKTESSNLAREKTILSQKLSESEATNNRLKSEMRAEMDRFAREKRELSEQLQEVESQLEWIRSERDEEITKLTAEKTILQDRLHEAEAQLSQLKSRKRDELKRVVKEKNSLAERLKSAEATWKRFDEELKRYAAENMTREEIRQSLEDEVRRLTRTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETISRIHEEGLRQIRSIQQRKGSPSASPLVSPHAMTHNHGLYPAPPPPMAVGLPPSLIANGVGIHSNGHVNGAVGPWFSHT >Manes.13G014800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1928624:1934717:-1 gene:Manes.13G014800.v8.1 transcript:Manes.13G014800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSEKPRPINFYKEEVPPATRDNMIIEVVSSNGDLPPHQHHLHNPHQQQPPQQQQQMILGESSGEDNHEVKAPKKRAETWVQDETRSLIALRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIDEILRERSKNAQYKSPTPTKVDSYMQFADKGFDEASISFGPVEASGRSALNLERRLDHDGHPLAITAAEAVAASGVPPWNWRETPGNGAESQSFVGRVITVKYGDYTRRIGIDGTADAIKEAIKSAFRLRTKRAFWLEDEDQVIRSLDRDMPVGNYTLHLDEGLAVKVCLYDDSDHMPVHTEEKIFYTEDDYRDFLTRRGWSCLREFDGYRNIDNMDDLRPGAIYRGVS >Manes.13G114900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32166895:32169844:-1 gene:Manes.13G114900.v8.1 transcript:Manes.13G114900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEHQNNSLKCSSSQMLPDPTCTNPFCFFCTMNEKNPSLRKAKMAQCFKEMPLRDDQEHVLVLSGLWNIAMDQPDDPEFPSLGIFECMQKLIDRGIKDKEWLLSDQNIYIPYYAAHIIGSYTMNKAEFAEKAVNSGVVLPLMELLRRKITWVEQRVAVRALGHLTSHESTFEAIADCEEEIVELAKELASNCLETIYKEFLGVKDIKRLKYHSDLLTRGLGGIELENRKAEEWASQLQCWSLYLLNCFACKERSLHLICKKQFLKDLCGMWGGLENRTSPGGIGLIRSLCNTKIGRETIANIEEVIVSLCNTSRSSDDWQYMAIDSLILLLKDTDTRHKVIDIAAFFLADLVELGGLNGRTKIGEAITQILLQDYHKIKYGGLSLKSQNAEEALKEIWELKVERRKREELISEQELKERKDLVRILKQEGNKKFWSGYIEKAVIKYTKALDLCPLKMRRERIVLYSNRAQGYLLLRNPEAAISDTTRALSLSSTENPHSKSLWRRSQAFDMKGMAKESLMDCLMFINVRIKSEQTEHVKIPYYAARMINKQMNATWIFAGVKSKNKFQEKVDKTMMMDIKENRGMATVLEESLAEKGRGRSKQNKAKRRREKQHVSKGVEK >Manes.13G114900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32161417:32169844:-1 gene:Manes.13G114900.v8.1 transcript:Manes.13G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEHQNNSLKCSSSQMLPDPTCTNPFCFFCTMNEKNPSLRKAKMAQCFKEMPLRDDQEHVLVLSGLWNIAMDQPDDPEFPSLGIFECMQKLIDRGIKDKEWLLSDQNIYIPYYAAHIIGSYTMNKAEFAEKAVNSGVVLPLMELLRRKITWVEQRVAVRALGHLTSHESTFEAIADCEEEIVELAKELASNCLETIYKEFLGVKDIKRLKYHSDLLTRGLGGIELENRKAEEWASQLQCWSLYLLNCFACKERSLHLICKKQFLKDLCGMWGGLENRTSPGGIGLIRSLCNTKIGRETIANIEEVIVSLCNTSRSSDDWQYMAIDSLILLLKDTDTRHKVIDIAAFFLADLVELGGLNGRTKIGEAITQILLQDYHKIKYGGLSLKSQNAEEALKEIWELKVERRKREELISEQELKERKDLVRILKQEGNKKFWSGYIEKAVIKYTKALDLCPLKMRRERIVLYSNRAQGYLLLRNPEAAISDTTRALSLSSTENPHSKSLWRRSQAFDMKGMAKESLMDCLMFINVRIKSEQTEHVKIPYYAARMINKQMNATWIFAGVKSKNKFQEKVDKTMMMDIKENRGMATVLEESLAEKGRGRSKQNKAKRRREKQHVSKGVEK >Manes.13G114900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32161359:32169844:-1 gene:Manes.13G114900.v8.1 transcript:Manes.13G114900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEHQNNSLKCSSSQMLPDPTCTNPFCFFCTMNEKNPSLRKAKMAQCFKEMPLRDDQEHVLVLSGLWNIAMDQPDDPEFPSLGIFECMQKLIDRGIKDKEWLLSDQNIYIPYYAAHIIGSYTMNKAEFAEKAVNSGVVLPLMELLRRKITWVEQRVAVRALGHLTSHESTFEAIADCEEEIVELAKELASNCLETIYKEFLGVKDIKRLKYHSDLLTRGLGGIELENRKAEEWASQLQCWSLYLLNCFACKERSLHLICKKQFLKDLCGMWGGLENRTSPGGIGLIRSLCNTKIGRETIANIEEVIVSLCNTSRSSDDWQYMAIDSLILLLKDTDTRHKVIDIAAFFLADLVELGGLNGRTKIGEAITQILLQDYHKIKYGGLSLKSQNAEEALKEIWELKVERRKREELISEQELKERKDLVRILKQEGNKKFWSGYIEKAVIKYTKALDLCPLKMRRERIVLYSNRAQGYLLLRNPEAAISDTTRALSLSSTENPHSKSLWRRSQAFDMKGMAKESLMDCLMFINVRIKSEQTEHVKIPYYAARMINKQMNATWIFAGVKSKNKFQEKVDKTMMMDIKENRGMATVLEESLAEKGRGRSKQNKAKRRREKQHVSKGVEK >Manes.03G167700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29323670:29326403:-1 gene:Manes.03G167700.v8.1 transcript:Manes.03G167700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELHYPLDSSSYKILDEIGVGVSAVVYKAICIPMNSTVVAIKSIDLDQSRADFDNIRWETKTMSLLSHPNILKAHCSFTIGRRLWVVMPFMSAGSLQSIISSSFPDGLSEPCIAVVLKAILHALSYLHNQGHLHRDIKAGNILVDSNGHVKLADFGVSASIYESSTRGGWASSSCSSRLLLTDVAGTPYWMAPEVIHSHTGYSYKADIWSFGITALELAHGRPPLSHLPLSKSLIMRITKRFLFSDYENHEKNQNKKFSKAFKDMVAACLDQDPSKRPSAEKLLKHPFFKNCRGSDFLVKNILHGLPSVEERFKESKALHGITTVTNNGEEEEEEEEEGTEGETGSEIVKIRRISGWNFNEEGFELRPVFPTESKDDSIAKQVCVGSETISHDRKTELGESGDSGESSGRSSPGRVAEEMKLNHEHGETRKGDKGIDKETMVGGLMVLKRSLDEQRQKVVNLIGMLGGKVSREEQLLQVIERLGMELESEKQKNFDLELELESNKHLFSGAYNDCETE >Manes.18G057444.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5109693:5116918:1 gene:Manes.18G057444.v8.1 transcript:Manes.18G057444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRHFPDRLGYSAPRSLSIEEKRKLIKDNFSDHEDDYFILDNSTSSTPVYDLFTSSDDEKYHQRRNQYGQKDKYRKKKKQLANYLDWLSERHDNKLVSHVNLRTSSSDDEGKKPLITREPAARKPELIPDVNGKVSLPRQSSGCSYTGSWLSGTTLDGNYFSHVKDTGISTSRKDVVQAEENDEKGAQRTEEIYSLQLALAKRICFHSGLASELVFLQEGRPESSNAEAVSYRLWVSGRLSYSDRITDGFYNIFGMDPYLWVMCNDEDEGKRMPPLTSLREIEPSKVSMEVVVVDVHGDSRLKELENKAHEIYCASESTVVLVERLGKLVAICMGGTFPGEQGDLHKRWQIVSRRLRNFHKCIVIPIGGLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVAEHRASCLVKIEDDKQLSREYVVDLVGEPGNIHGPDSTINGFISSIPSPFQIPHLKDSNSYLDDSSCQILDSNHSCNLIEDNLYSGKEEEGQQTRGNLESSLYVPADQASLGNESSLIPLDLMRDVVAESSSREREADQVVIQQTPQKQIVVCGSPIIDNVGEKTEVSISCQSNVTEVESGLDNRGILPAGTIPRYLEIEPSLAIDWLEVSWDELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDNQLREFLREVAIIKRVRHPNVVLFMGAVTESPHLSIVTEYLPRGSLYRLIHRPSAGDMMDQRRRLRMALDVAKGVNYLHCMNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKASTFIPSKSVAGTPEWMSPEFLRGEPSNEKSDVYSFGVILWELITLKQPWSGLCPAQVVGAVAFQNRRLAIPENTPGALASLVESCWAEDPAQRPSFGYIVDSLKKMLKSSRQ >Manes.07G054300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7420118:7447149:-1 gene:Manes.07G054300.v8.1 transcript:Manes.07G054300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLSISRPQLGRFGLRAHISSLVKSEMAPPILSLVLPSETGRVLSIQSHTVQGYVGNKSSVFPLQILGFDVDPINSVQFSNHTGYPTFKGHVLNGQQLWDLIEGLEANDLLYYTHLLTGYIGSVSFLNAVLDVVSKLRSINPKLTYVCDPVMGDEGKLYVPPELVAVYRKKVVPVASMLTPNQFEAEQLTEFRIVTERDGREACNILHATGPSKVVITSINIDSNLLLIGSHQKEKDQPPEQFKIVIPKIPAYFTGTGDLMTALLLGWSNKYPDNLDKAAELAVSSLQALLQRTLNDYKRAGYDPQSSSLEIRLIQSQDDIRNPQVRYKAEKYH >Manes.01G160300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34534843:34535639:1 gene:Manes.01G160300.v8.1 transcript:Manes.01G160300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVGLCLCLVLVFAVLCDGRYTISGSENEMAMLGIGGRSLKVTLNDYNGPKANQGHDPPSRDNSGAGKKP >Manes.13G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16930489:16933624:-1 gene:Manes.13G081900.v8.1 transcript:Manes.13G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLESQIPQQKAFGWAARDSSGILSPIHFSRRENGVEDVSVKIMYCGVCHSDLKFCRNDWGITRYPLVPGHEIVGTVTKVGNNVKKFKVGDRVSVGVMAGSCMSCEYCNQGLENYCPRIIFTYNSIDIDGTITSGGYADSIVANQHFVFHFPDGLPSDAGAPLLCAGITVYSPMKYYGMTEPGKHLGVAGLGGLGHLAVKIAKAFGLRVTVISSSPGKESEAISKLGADAFIVSSDSEKMKGATGTMDYIIDTVSAVHPLAPLLSLLKANGKLITLGLPNRPLELPVFPLVLRRRLVGGSNIGSVKETEEMLEFCAKHNITADVEVIRIDEINKAMDRLAKSDVKYRFVIDMESSSSQP >Manes.13G123200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33108991:33111679:1 gene:Manes.13G123200.v8.1 transcript:Manes.13G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPISKVVLVLGCFLLITASLFSSAFAQSDAYIIHMDSAAMPKAFTDHHNWYLATISSISDTPKGAFMAISKHIYTFTSSVHGFSACLTSSELEQLKKSPGYISSTPDRPLKMHTTHTSQFLGLSSVSGAWPATNYGEDVIIGLVDTGIWPESESFKDEGMTVIPSRWKGECATGTRFNASLCNKKLIGAQFFNKGLLANRPKLKISMNSPRDTSGHGTHTASIAAGNYAKQASYFGYASGTASGMAPRARIAMYKAIWTYGVYESDVLAAIDQAIQDGVDILSLSLALASDDNFLEDDSIAVAAFAAMEKGILVAASAGNDGSPYWTVVNGAPWLLTVGAGTVDREFQGIFSLGDGDNINFTTLYPGNSSLIYRPLVLLDGCESVQKMENFKNSIIVCKDNLNIRDQFEKAESAKVSGAVFLTNISLSEYHLRSSFPAGFINLQSGQKVVDYIKNSNNPTGNLQFQKTIIGTKAAPKVDSYSSRGPFPSCQHVLKPDLLAPGSLVLASWSPISSVAKVQSHPLFSKFNLLSGTSMAAPHVAGVAALIKKAHPDWSPAAIRSALMTTANPLDNTHTPIKDAGNNNLAANPLDIGAGHIDPSKSVDPGLIYDATTEDYIKLLCAMNYTKKQIQIITKSTQNCLNKSLDLNYPSFIAYFNGDGSGSNGKFVHEFRRTLTNVGEGISSYTAKVTPMAGIKVNVEPWTLMFNKKNEKLSFKLTLEGPKLLEETVVHGSLSWVHDGGKYIVRSPIVATGLVLESP >Manes.06G058364.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19310869:19314236:1 gene:Manes.06G058364.v8.1 transcript:Manes.06G058364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCNFKRELNKVLFEGDSFYREVILNRPTKLNSLDYEVISQMLKNFRDFETDSKVKFVILKANGRAFSAGGDVVSIVGSMMTGHWCFGARFYKKQFNLDYLLATYKKPLLPLIDGIVMGGGAGLCMNGKFRIVTEKAVFAMPEASIGLFPDVGASHFLSRLPGHFGEFLGLTGGRLNGAEMLACGLATHFLFSKDLPLLENTLKTSDMATICQVVNKFTQKPNLKQDTIYQTQRLETINKCFSKDTIEEILLALENEAKNNPEIWITEAINSMKAASHTSLKITLRSIKEGRLQNLKQCLVREYTICCNVLRATVSYDFYEGSRALLFDKDKKPKWEPSKLELVSKEMVNRCFNGIDDDDWKCLQIPDRSVSSGDVLKPKL >Manes.15G065900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5008944:5013118:1 gene:Manes.15G065900.v8.1 transcript:Manes.15G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIFFLVLSLLLIPSTTPFQSNEQGYISVLLSDKGIDFAKDMLIKKAVSSMIPLHLPDIDKSVKIPLLGKVHVVLSNITINSVRIASSSVETGEMGIVLFASGATADLTMNWRYSYKNWIVVISDKGDASVQVKDMQIGVSVTLKEQDGTLSTSLLDCGCYVKDISIKLDGGASWLYQVVVDAFEAPIGSAVENAISKKIKEGILKLDSRLQSLPKQVSVDHTSAMNVTFVDDPVLRNSSVEIDIDGLFMSKDNILISGYYRKGLHPSDSSNCAAKMVGISLCENVFNTAAVVYFNAGYMHWIVDRFPNQSLLNTATWRFIYPQLYQKYPNDQMKLNISLTSPPLIRVAETNLDATIYLDVTVDVVDADEVVPVACVSLVINASCSPQILMNKLAGILKLKSFTVSYKWSNIGDLHMHLLRPVAFAILETIFLPYVNFRLLKGLPLPFLHGFTLKNAAIHYTNSRMMICSNLVLTQQYYIN >Manes.10G012900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1344706:1347796:1 gene:Manes.10G012900.v8.1 transcript:Manes.10G012900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARLISVALLWILVLFVTLAFIQNRLSDGGVSSDPKLNYSRLSQKEIKLEEEDLEAVTHKVYFDIEIDGKSVGRIVMGLFGKTVPKTAGEKGKGKSGKHLHYKGSIFHRIIPSFMIQGGDFTRGDGRGGESIYGEKFADENFKLKHTRPGLLSMANAGKDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVSKIEGQGNQSGVPRHKVVILDSGEMPM >Manes.10G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1344706:1347796:1 gene:Manes.10G012900.v8.1 transcript:Manes.10G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARLISVALLWILVLFVTLAFIQNRLSDGGVSSDPKLNYSRLSQKEIKLEEEDLEAVTHKVYFDIEIDGKSVGRIVMGLFGKTVPKTAENFRALCTGEKGKGKSGKHLHYKGSIFHRIIPSFMIQGGDFTRGDGRGGESIYGEKFADENFKLKHTRPGLLSMANAGKDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVSKIEGQGNQSGVPRHKVVILDSGEMPM >Manes.10G090900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23515650:23520677:1 gene:Manes.10G090900.v8.1 transcript:Manes.10G090900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPLGNTRLKVSSVGFGASPLGRVFGPVSEEEAIASVSEAFRRGINFFDTSPYYGGTLSEKMLGKGLKALGVPRNEYVVSTKCGRYKEGFDFSAQRVTRSIDESLERLQLEYVDILQCHDIEFGSLDQIVKETIPALQKLKEAGKIRFIGITGLPLGIFTYVLDRVPPGTVDVILSYCHYSINDSTLVDLLPYLKSKGVGIISASPLAMGLLTEDGPPEWHPASPELKVHLIPLQCSMMFSFHVIFLIIVLLMSKDILPAKILVCWHYRNKSSVLCLWNMNIIGMYLCILALLLCGFNCSFLYTLMYSHSYLS >Manes.10G090900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23515650:23520677:1 gene:Manes.10G090900.v8.1 transcript:Manes.10G090900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPLGNTRLKVSSVGFGASPLGRVFGPVSEEEAIASVSEAFRRGINFFDTSPYYGGTLSEKMLGKGLKALGVPRNEYVVSTKCGRYKEGFDFSAQRVTRSIDESLERLQLEYVDILQCHDIEFGSLDQIVKETIPALQKLKEAGKIRFIGITGLPLGIFTYVLDRVPPGTVDVILSYCHYSINDSTLVDLLPYLKSKGVGIISASPLAMGLLTEDGPPEWHPASPELKVHLIPLQCSMMFSFHVIFLIIVLLMSKDILPAKILVCWHYRNKSSVLCLWNMNIIGMYLCILALLLCGFNCSFLYTLMYSHSYLS >Manes.10G090900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23515650:23520677:1 gene:Manes.10G090900.v8.1 transcript:Manes.10G090900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPLGNTRLKVSSVGFGASPLGRVFGPVSEEEAIASVSEAFRRGINFFDTSPYYGGTLSEKMLGKGLKALGVPRNEYVVSTKCGRYKEGFDFSAQRVTRSIDESLERLQLEYVDILQCHDIEFGSLDQIVKETIPALQKLKEAGKIRFIGITGLPLGIFTYVLDRVPPGTVDVILSYCHYSINDSTLVDLLPYLKSKGVGIISASPLAMGLLTEDGPPEWHPASPELKSACQAAAAYCKEKGKNITNNAIQFVEQGYLHSAGWHELRSTGRGKC >Manes.07G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:788796:792251:1 gene:Manes.07G005900.v8.1 transcript:Manes.07G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRKMDSEDQETLFHSYPCAYYVQSPSTISLANSAELKTHNLESTFHSPSRSDTNVLLNKNPEVSRFTLSRYSSSHGSNNSFLNEKKINGDENGVSRLIIVDGHGKGGYGVEEEEEDDDEDYYYGRKGGWWWRYCSFRRSSSCAWVSLQICWRLLASLGVALLVFYIATKPPSPKLSIKMGGIQQFGLGEGVDGTGVTTKILSCNCSMNLLIENKSKLFGLHLQPPLMEMFFGRLPFAMSRGSKLYAESHGSTLFKLYVGTKNKPMYGAGRNMQDLLDSGNGLPILIRVRLSSHFRVIPNLINPKYHHQAECLLFLDSSYDKKHRTQAYNSTCTVS >Manes.07G093200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28962251:28977632:1 gene:Manes.07G093200.v8.1 transcript:Manes.07G093200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPSLSQIDEAAAATAATTTTSSSPTSTSSATDGVPNAGNGSSSSNNNNNDQGLHLIRDRLRFKRNPIGTSTATSNNQDRAAKSSVDRTSLRSRLHHTPNRNNRKGFLFWFPFRGAYLLYFVIFFAVFAFAMASMVLQSSITEIVFSEHRRSIREGLRLGSTLKFLPGRKSMGLAEGHGLDQARLQGRMGLRSPRLALILGNMKKDARSLMLVTVMKNLQKLGYALKIYAMENGKAEPLWNQISGQVSILQPEQYGHIDWSSLMQEPFCSVPLLWIIQEDTLANRLSVYEEMGWEYIMSYWRRAFKRANVVVFPDFTIPMLYSVLDTGNFFVIPGSPVDVWAAESYSKTHAKHQLRTDNGFNEDDVVVLVVGSSFFYDKLSWDYAVAMHSLGPLLVKYARRQDSEGSFKFAFLCGNSTDGDGLQEIASRLGLIHGSIRHYGLNGDVNGVLLMADIVLYGSSQDEQGFPSLVTRAMTFGVPVIAPDIPIIKKYVIDGVHGLLFQKYNPEALMKVFSLFISDGKLSKYAQTVAASGRLLARNMLASECMTGYARLLENLLSFPSDALLPGPSSQLQQKVWEWNLFQKKIVQETDDLLGMEGRDSSSRGSSIVYSLEDQLKNLIGWTNISANGTEIPVVDIPIKSDWDALREVDSFEEYERLEMEELEERMDKNPGAWDDLYRNARKAEKLKFEANERDEGELERTGQPVCIYEIYNGAGAWPFLHHGSLYRGLSLSTEARRSRSDDVDAAARLPILKDAYYRNTLCEIGGMFSVANKVDSIHSRPWIGFQSWRAAGRKVSLSINAEKALEEKIQNETRGDVMYFWARLDVDTGVTGNNNEPTFWSICDILNGGHCRTAFEAAFRQMYSLPSHLEVLPPMPEDGGHWSALHSWVMPTPSFLQFIMFARMFVDSLDALHTNSSQVNSCLLSSSELEERHCYCRLLELLVNVWAYHSARKMVYIDPQSGSLEEQHPIKQRKGSIWAKYFNLTLLKGMDEELAEAADDGDPPRERWLWPLTGEVHWQGIYEREREERYRQKMEKKRITKEKLNKRLKSGYIQKPLGRR >Manes.07G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28962251:28977632:1 gene:Manes.07G093200.v8.1 transcript:Manes.07G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPSLSQIDEAAAATAATTTTSSSPTSTSSATDGVPNAGNGSSSSNNNNNDQGLHLIRDRLRFKRNPIGTSTATSNNQDRAAKSSVDRTSLRSRLHHTPNRNNRKGFLFWFPFRGAYLLYFVIFFAVFAFAMASMVLQSSITEIVFSEHRRSIREGLRLGSTLKFLPGRKSMGLAEGHGLDQARLQGRMGLRSPRLALILGNMKKDARSLMLVTVMKNLQKLGYALKIYAMENGKAEPLWNQISGQVSILQPEQYGHIDWSSFEGVIVDSLEATEAISSLMQEPFCSVPLLWIIQEDTLANRLSVYEEMGWEYIMSYWRRAFKRANVVVFPDFTIPMLYSVLDTGNFFVIPGSPVDVWAAESYSKTHAKHQLRTDNGFNEDDVVVLVVGSSFFYDKLSWDYAVAMHSLGPLLVKYARRQDSEGSFKFAFLCGNSTDGDGLQEIASRLGLIHGSIRHYGLNGDVNGVLLMADIVLYGSSQDEQGFPSLVTRAMTFGVPVIAPDIPIIKKYVIDGVHGLLFQKYNPEALMKVFSLFISDGKLSKYAQTVAASGRLLARNMLASECMTGYARLLENLLSFPSDALLPGPSSQLQQKVWEWNLFQKKIVQETDDLLGMEGRDSSSRGSSIVYSLEDQLKNLIGWTNISANGTEIPVVDIPIKSDWDALREVDSFEEYERLEMEELEERMDKNPGAWDDLYRNARKAEKLKFEANERDEGELERTGQPVCIYEIYNGAGAWPFLHHGSLYRGLSLSTEARRSRSDDVDAAARLPILKDAYYRNTLCEIGGMFSVANKVDSIHSRPWIGFQSWRAAGRKVSLSINAEKALEEKIQNETRGDVMYFWARLDVDTGVTGNNNEPTFWSICDILNGGHCRTAFEAAFRQMYSLPSHLEVLPPMPEDGGHWSALHSWVMPTPSFLQFIMFARMFVDSLDALHTNSSQVNSCLLSSSELEERHCYCRLLELLVNVWAYHSARKMVYIDPQSGSLEEQHPIKQRKGSIWAKYFNLTLLKGMDEELAEAADDGDPPRERWLWPLTGEVHWQGIYEREREERYRQKMEKKRITKEKLNKRLKSGYIQKPLGRR >Manes.07G093200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28962251:28977632:1 gene:Manes.07G093200.v8.1 transcript:Manes.07G093200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPSLSQIDEAAAATAATTTTSSSPTSTSSATDGVPNAGNGSSSSNNNNNDQGLHLIRDRLRFKRNPIGTSTATSNNQDRAAKSSVDRTSLRSRLHHTPNRNNRKGFLFWFPFRGAYLLYFVIFFAVFAFAMASMVLQSSITEIVFSEHRRSIREGLRLGSTLKFLPGRKSMGLAEGHGLDQARLQGRMGLRSPRLALILGNMKKDARSLMLVTVMKNLQKLGYALKIYAMENGKAEPLWNQISGQVSILQPEQYGHIDWSSFEGVIVDSLEATEAISSLMQEPFCSVPLLWIIQEDTLANRLSVYEEMGWEYIMSYWRRAFKRANVVVFPDFTIPMLYSVLDTGNFFVIPGSPVDVWAAESYSKTHAKHQLRTDNGFNEDDVVVLVVGSSFFYDKLSWDYAVAMHSLGPLLVKYARRQDSEGSFKFAFLCGNSTDGDGLQEIASRLGLIHGSIRHYGLNGDVNGVLLMADIVLYGSSQDEQGFPSLVTRAMTFGVPVIAPDIPIIKKYVIDGVHGLLFQKYNPEALMKVFSLFISDGKLSKYAQTVAASGRLLARNMLASECMTGYARLLENLLSFPSDALLPGPSSQLQQKVWEWNLFQKKIVQETDDLLGMEGRDSSSRGSSIVYSLEDQLKNLIGWTNISANGTEIPVVDIPIKSDWDALREVDSFEEYERLEMEELEERMDKNPGAWDDLYRNARKAEKLKFEANERDEGELERTGQPVCIYEIYNGAGAWPFLHHGSLYRGLSLSTEARRSRSDDVDAAARLPILKDAYYRNTLCEIGGMFSVANKVDSIHSRPWIGFQSWRAAGRKVSLSINAEKALEEKIQNETRGDVMYFWARLDVDTGVTGNNNEPTFWSICDILNGGHCSLPPDVLLTIPS >Manes.14G047100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4084053:4088056:1 gene:Manes.14G047100.v8.1 transcript:Manes.14G047100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFARVQKELQECSRDIEASGITVTPKSENLARLTGTIPGPIGTPYEGGIFQIDISLPDGYPFEPPKMQFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPQPDDPQDAVVAQQYLKDYQTFSGTARYWTETFAKTSSLGVEEKVSRGSGFFLFIYNFSAYS >Manes.14G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4084053:4088056:1 gene:Manes.14G047100.v8.1 transcript:Manes.14G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFARVQKELQECSRDIEASGITVTPKSENLARLTGTIPGPIGTPYEGGIFQIDISLPDGYPFEPPKMQFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPQPDDPQDAVVAQQYLKDYQTFSGTARYWTETFAKTSSLGVEEKVQKLVEMGFPEATVRSTLDAVGGDENLALEKLCSG >Manes.01G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33334748:33336988:1 gene:Manes.01G142400.v8.1 transcript:Manes.01G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRQSITSLPTLEPLLQALQPLPFTIFLIIPLLFLLGLISRLRRRLPYPPGPRGLPIIGNMLMMDQLTHRGLAKLAKEYGGLFHLRMGNIHMMAVSSPDIARQVLQVQDAIFSNRPASRAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWESVRDEVDFMVKTVVANKGKPLNVGELISNLTMNIIYRAAFGFKNEGQEEFIRILQEFSRLFGAFNVADFIPWLGWIDPHGLKLNFRLIKARKSLDRFIDLIIDAHMQKRKQDNVSVSDDNTDMVDDLLAFYSDEAKVNESDDLLNSFKITRDNIKAIIMDVMFGGTETVASAIEWALTELIRTPEELKKVQEELAEVVGLERRVEESDFKKLTYLKCTLKETLRLHPPIPLLLHETVEDAEVAGYYIPAKSRVMINAWAMGRDKNSWEDPETFRPSRFLKGAPDFKGSNFEYIPFGSGRRSCPGMQLGLYALDLAVANLLHCFTWELPDGMKPSEIDTSDVFGLTAPRATRLIAVPNLRVSPPL >Manes.14G012206.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:817540:819951:1 gene:Manes.14G012206.v8.1 transcript:Manes.14G012206.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSDDTDSLFNFVVRDGYGVKGMVDSGLSKVPQQYVQPLEERIDKLKSIPSENPPIDLSKLDGPDHEQVGEEIVRTAETLGFFQVVNHGVPVELLESLKIAAHKFFGQPPEKKAIYLKGVSPSPLVKYGTSFVPDKETALEWKDYISMLYTNDSEALEVWPQECKDVALEYLRTSMKMVKTILKILIEKLGVTVEDEKIDALTGLKMVNMNFYPTCPNPELTVGVGRHSDMGTLTVLLQDEIGGLYVKVEETIDGKQKEEWLEIPPVPGALVINVGDTLQILSNGKYKSAEHRVRTTSEKSRVSIPIFTIPKPTEKIGPLAQLVERDGVALYKEVVFGDYMNNFFGNAHEGKKSLDFAKIN >Manes.13G140485.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34993352:34994219:1 gene:Manes.13G140485.v8.1 transcript:Manes.13G140485.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFCFLFGVGNGCCWVNSVVGGCLCDCSNTGAFFHELLFCFCSFFLIVCLCLDFVGITVGCCQNYFCRSFIVLCGDFDGSCFHLWPFISCRLGDCLIDLVYLI >Manes.12G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35090100:35120577:-1 gene:Manes.12G144600.v8.1 transcript:Manes.12G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFLGDETLPILQLHKWGASQCQLNLSEFREAFISPTRELLLLLSYQCEALLLPLVTESPIDDNISESCLNECLQNQSPAAFGSHPSMEPSWSDSQDNISLADISVADLDNGFSGEHRFLKSNNYPFICNVNSLAWGVCGDTYDAHEDVSFREFLFVTNDYGVTVHAFRVPDETALTRPKLEGEFGQGRWVTWGPSTKYAQNRKSQDILDMYSEGGSTTVAVQKTKGNEETQQDTHKETGVDHLSSGVASKRWLRSFFTKAKTIKFDGNIRTRFPEKTSFPGSAKVVSFSILDENLPVLDFLSHENFLSNKGGSQDTLDARPYKCSRVFSSNSHCLVGFVLTLSDSLFAHTPKEIESSIKALLLVARLDGLGIHWVSFVKLAESANVDPVSGWTDFGFSDNLLVCLNASGLIYFYAVMSGECIAHMDVLQACGFDPKSRPLQQEKVATVDPQMKPVHKIHDMSTSQVGDSFGRRMFRKLLVVSHTSLLAVVDECGIIYVICAGDYMPEKYYAYEKLLPHFQHLRLGTLVGWEVGGSDIGYQMVYCNNSFKRKVDNFHGRGEKSDFFSSITSDNSFPDSEVHLQPMRHVFLPTERFSEDDCICFSPLGITRLTKRHGIKNQPNVLIHLNLHMGLAVRDERCLDSGGKMFDLQGKEEASVGEAIGCTFHGCLYLVNKVGLAVVLPSVSFSSNFLPVETFGYRLHSFDKGARYPVKRTHHIRESKELFSAWKVEVLDRVLTFEGPEEADRLCLENGWDLKISRMRRLQMALDYLKFDEIEQSLKMLVDVSLAEEGILRLLFAAVYLMCNRNGSDIDVSAASRVLALATCFTTKMIRKFGLLQHKNGLLLGFRKTRFLSLPSVISDQVQSEMADSKRLCDMARFLEIIRNLQYRLGAKFKKPSQGLIDGGEAINSVDSVLSEDEAQFSVFPENTVSLETVNQQELSISVSSVGSKGEKLALMSKDALVSGADLDQDDSTAISIFVPQAGNLGKKNFPLENPKEMIARWKLENFDLKTVVKDALISGRLPSAVLQLHLHRSRDLDTDEDPSDTFSEVRDIGRAIAYDLFLKGETGHAIATLQRLGEDIETCLKQLLFGTVRRSIRIQVAEEVRKYGYLGPYEWKILEKISLIERLYPSSSFWKTFIGRQKALMGAMLTLKSPGEIKLHLLCPHLFSNLTIECGEIDGVVLGPWTSIKEDYCDPVVDEDTAHAGYWTAAAVWSSAWDQRTIDRIVLDQPFLMGVHVLWESQLEYYLGHNDWDEVFKLMDLIPSSVVSHGSLQITLDGSKHAPDIGCSSEFPDYSNYICSIEEVDAVCIDVPGVKILRLSVDVMCTIWLRMLMEQELAKKYIFLKDYWEGTEDIVALLARSGFITSRPNRMSSEDYSVKLSSDLNISDGGNFHVDTTQALDKLLVHHCVQYNLPNLLDLYLEHHKLARENDSLYLLQEAAGDCQWARWLLLSRIKGHEYDASFCNARAIMSRDSNLSVLDIDEIIRTVDDIAEGAGEMAALATLMYASIPIQNCLSSGTVSRLSSSTAQCTLENLRPTLQRFPTLWRTLVAASVGQDTSNLLVSRANNALSNYLCWRDNIFFSSARDTSLLQMLPCWFPKTVRRLIQLYIQGPLGWQSFSGLPVGDSLLDREIDFYIHADEHTEISAVSWEATIQKHVQEELYDSSLEETGHGLEHHLHRGRALAAFNHILGVRVQKLKLEGQSGAASHGQTNVQSDVQTLLAPLTQSEEAIVSSVIPLAITHFEDPVLGASCAFLLELCGLSASMLRVDISALKRISSFHKLSENKEKYGQISPKGTAFHLVSQEGGMVESLARSLADEYLRNDGVKEAKLKRTADLHTSKQPSRALMLVLQHLEKASLPAMMDGKTCGSWLLTGSGDGAELRSRQKAASQHWNLVKIFCQMHQLPLSTKYLSVLARDNDWVGFLSEAQSGGYPFDTVVQVAAKEFSDPRLKIHILTVLKSMQSRKKAGSPSYLDATEKGSGTSCADESVLIPVELFRILADCEKQKNAGEALLTKAKEMSWSLLAMIASCFPDVSPLSCLTIWLEITAARETSAIKVNDIASQIAENVGAAVLATNSLPVGNRAVTFHYKRQNPKRRRLLEPISQDQLVLSAADVSSTYYRPNISVAHGVSGEEESKCGIGEHVNVSSDPDEGPTSLSKMVAVLCEQHLFLPLLKAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARIKDESSNFQSNMVREEQTATSWLSSTAVKAANSMLSTCPSPYEKRCLLQLLAATDFGDGGCAATYYRQLFWKINLAEPLLRKDDGLHLGNETLDDASLLTALEKNGHWEQAINWARQLEASGGPWKSAVHHVVETQAESMVTEWKEFLWDVPEERVALWGHCQTLFIRYSFLPLQAGLFFLKHAEEVEKDLPARELLELLLLSLQWLSGMITLSIPVYPINLLREIETRAWLLAVESEAQVKSDGDFTSTAASRDPVIGNTSNIIEKTANLITKMDVHINKMSRATDKHDAKESTSGFQKNQVFDASNPTAGVSTKTKRRAKAFLPSRRPFMDSMDRSNDSEDVTIPLTSKNDLQLQDENLKLEISLSKWEERVGPAELERAVLSLLEFGQITAAKQLQHKLSPENSPPEFVLVDAALKLAAISTPHHKVSPSMLDEEVHSVVQSYNIFTDQHMIDPLEVLESLANMFTEGSGRGLCKRIIAFVKAANVLGLSFSEAFEKQPIELLQLLSLKAQESFEEASLLVQTHSMSAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLTWAELCPSQPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSVCLDGVDVLVALAATRVDAYVAEGDFPCLARLITGVGNFHALNFILGILVENGQLDLLLQKYSAVADTNAGTGDTVRGFRMAVLTSLKHFNPKDLDAFAMVYNHFDMKHETASLLESRAWQSAEQWFHRYDKDQNEDLLESMRYFIEAAEVHSSTDAGYKTCRTCAQASLISLQIRMPDVRWLCLSETNARRLLVEQSRFLEALIVAEAYGLNQPSEWALVLWNQMLKPELTEEFVAEFVAVLPLQPSMLNELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLKLQLAMVATGFNDVVDACSKALDKVPETAGPLVLRKGHGGAYLPLM >Manes.15G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3498120:3500488:1 gene:Manes.15G045900.v8.1 transcript:Manes.15G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAVGSSFSPSIHTLKCRNTKNFLSDSLLKVPSTRITTATSIISRSYAIEPLSLVAVSWRVPRVSAAVAQEEAAATTPVEEGLPQDEQQQVAGEGEQEADQVVLNTKLYFGNLPYNVDSAQLAGIIQDYGTPELVEVLYNRDTGRSRGFAFVTMSSVEDCNAVIENLDGSQYMGRILRVNFSDKPKPKEPLYPETEHKLFVGNLSWSVTSESLTEAFQEYGNVVGARVLYDGETGRSRGYGFVCYSTLSEMENALESLNGVELEGRALRVSLAQGKKS >Manes.13G006551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1122924:1125781:-1 gene:Manes.13G006551.v8.1 transcript:Manes.13G006551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFVKTMCLASAFRGFYSPLPTDLGLGTFHSLTPLYTFPFHSSSSSTSAHTHQDASLRSKFNSASFRDVDDALASFNHIILMHPLPSRVQFNLFLSALVRMKQYHIVIPLSRTIESLEISNDTYSLNILINCFCRLHHVDFGFSIFGKILKLGLQPSIVTFSTLINGLCMEGKINGAIDFFNHMVERGYQPNVLTYSAIVNGLCKCGNTNGAIGLLKKMVERGCKPNVVTYNAIIDALCKGKLFAEAVNLLSQMKDKGISPNVITYNCLIHCLCNLGKQNQALALWKEMVGHKILPDIFTFNILLDSLCKEGLLLEAQSTIKIMIQRGVEPDVFTYSSLIKGYCLHSQVDRALALLYEMVGQNMSPNVYNFSILIDSLFKEGMVSKVQDIVNLMVQKGIKPNVVTYNSLVDGYCMHSQMDEARKIFDLMGLWEAGRRQAALDFCKNMHYHGHQPDIITFSILLNGLCKQGDLDEALIIFKAMETSRFKPDCVIYNILIDGMCKVGKLNNAYELFSRLIRKGIKPNVCIYTTIIKGLCNERLLDEAYKVFREMEGGGCLPDERCYNVIIQGFLKHENVVKASQLIDEMVDKGFSADATTAELMPQPLN >Manes.03G044800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3907245:3921559:-1 gene:Manes.03G044800.v8.1 transcript:Manes.03G044800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMENGCPSTSPNPNTIHDELPRPQHVFMQKFRLYETRSKFYMIGRDKSRTYWRVLKIDRLDPYELNIREDSTTYTESECSDLLRRIHEGNNATGGLKFVTTCYGIIGFIKFLGPYYMLLITKRRQIGAICGHNIYAVSKSEMIPLPNSAVQSDITNSKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNLCNKETGQVVYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATVSISGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPIQISSVVQNRGSIPLFWSQETSRLNIKPDIILSRKDQNYEATRLHFENLVKRYGNPIIILNLIKTQEKRPRESILRAEFANAIDYINKDLSEENRLRFLHWDLHKHTRSKATNVLLLLGKVAAYALTLTGFFYCQITPALRTEGCTNWPSFLITENGHLSPKNRCNNGDGDANDMERQFNGGNCVANGNHSMKPPMFQQGVLRTNCIDCLDRTNVAQYAYGLAALGQQLHALGFIANPKIDLDAPLAEQLMGFYERMGDTLAHQYGGSAAHNKIFSQRRGQWKAAIQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQLGKPALWELNSDQNYSVGRNGQTYVDQDRRSTFKRSLSDGNILHESHSPMSAMNVKQEKISNSPLPDKWEGESNVLLESSPEISTCESDIAFSRYTPSMPRRQLFGEVEREQYLGSDHIYFSEDTFNCSNFVDLDWLSSSGNSCEEEPYERSSMLTSSPIAGTSAENFVNGIMSETTPCTSEYGSSMKPREDMGTDLSYGNPQNSNVLAEFSDSFVQWVNHGETLCH >Manes.07G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3105254:3110481:1 gene:Manes.07G028200.v8.1 transcript:Manes.07G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYRLIRRSTAAAATTKYYQPLARALHYLTISPTGTSFQNSDSNELISSLSSPISSTPLLPKFRTFAFSSAEEAAAERRRRKRRLRIEPPLHALQRNPNPPPRDPNAPRLPDSTNALVGPRLNLHNRVQSLIRAFDLDNASLLARNAVYTRTRPTVFTCNAIIAAMYRAKRYNDAISLFKYFFEQHDIVPNVVSYNNLINAHCDEGRVDTALEIYRHIIANAPFSPSHVTYKHLTKGLIDAGRVDEAVDLLREMLNKGHGADSLVFNNIIKAFLDLGNLDKANEFFSELTERCLWYDGTVNATFMDWWFKQGKDKEAMENYKSFMDRKLKIPPPTGNALLEVLLRYGKKEAAAALFESMLDNHTPPTNQGVNSETFNIMVNGCFKEQEFAQAMDMFRKAGTKPGSKPFQMDVAGYNNIIIRFCENEMLLEAEKFFAELLSKSLSPDVTSYRTLIDAYLKVEKIDDALRMLNKMVQANLWVVATYATRVFGELIKNGKAVDCAQILTKMGNRDPKPDPSVYDVVVRGLCEAGAFDASTDILEQMMRYAVGVPPSLKEFATEAYTTAGRNQEIQSVFDENRWRNMSGPPHVQRQPRMPWGSQMSREQPLRSPSLPGQAPSGQPQMARQQPFGHHQTEGQLLSGSHQAPSTQPLGYHNTAGQQSSSHQIVGQPSGPRQMAGQQQSWSSQIGQQPSRSFERGGQPSWSFQRGEQQSLASHSIAGQQTSGPHQMAWQQSSWHAQFGGQQPPLSSQRREQQPLASHNIERQQSSGPHQMAGQQPSWHAQLGGQQPPLSSQRGEEQPLASHGISGQQLSGPHQIAGQQPSWHAQYGGQQPPISSQRGEQQPLASHSISGHQSFGTHQMAGQRPSWNAKLGQQPPLSSQTVEQQPLASHGIAGLQSSGPHQMGGQHPSWHSQFGGQQPSQSSQIGGQQPSWSFQMGEQQPSGTTYNTRHQPSASMQMSRQFDPMGGRQPNGPSEMAEQQSSEPLEWQDNQQRAAALQS >Manes.03G112016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23635117:23642254:-1 gene:Manes.03G112016.v8.1 transcript:Manes.03G112016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAISSTALSDVNKKDLEGLLEVFGSAFALEDIASAYCRARRDSNLTAEILSGMHGTISTTVPAEKLAAEDATPLMWPSLLDSTKTLSSKWPSDNYVEKTSDGQKRKLKSKKCSASMGTVSSVIGKEYAKTRQLTNESVEAKKPLKLDSQEFPVSEIWNDKKPASVTRKDPSQVDIEEFLFKMLGEGFQLEMPVIHEVLDHCGYDIQKSIDELLDLSPSTLENCENVGCMADENEESFPLQEHMKLLNSAQSSRDGLMAGNLTSSPKKGKDRISLQEEVLQTLFDFSDRSEEAPKITRRVRLVKRSKAFGKPVVECSNNATREREPSTAKPQVVTKDEDDDNSYEVLRTAVKEYWNTMREYYKAAIDAFVEGDHARAHKLLEQGQFFNKKAREADDKSCQKLTEASDEEVVSLKLHELEPKEALDLMRFHLTSLSGIPSIKYLRVTVESNSEDTANGKRKRLIMKQLEKESIKWNEEENGKTILIQVDVIDPKRLSFANKYGVEDQGKRRLKPANLLYPS >Manes.09G014980.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3273470:3274346:-1 gene:Manes.09G014980.v8.1 transcript:Manes.09G014980.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRVLILLKRLPSNAKMRSKVRVTTIDICKEGGNKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDRQRHWLEELANKDALARERIENLKASDIFGTTQVKISSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSAPNSPVFPTYMAATESAKAKVR >Manes.02G100700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7838304:7843594:1 gene:Manes.02G100700.v8.1 transcript:Manes.02G100700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVGFSLPSLLLMLLTLMSLCLISVESKTYWQDVEVLKELKNGLDPTSVTPGSCLSSWDFSVDPCDNLFSERFTCGFRCDLLVSGTSRVTELSLDQAGYSGSLVNFSWNLPYLQIMDLSSNNFYGQIPESFSNLTRLSRLSLSRNWFSGQIPVSLGSLPNLEELYLDNNILQGTIPASFNGLISLRRLEIQSNKLNGEFPELGSLKNLYFLDASDNAISGKVPATLSPSLVQISMRNNSLEGSIPESFKNLGYLQVLDLSRNKLSGSAPSLLFNHPSLQQLTLSFNYFTAIQSPAPIATSTAIQSELIAIDLSNNQLQGLLPTFLALMPKLSALSLENNKLTGMIPTQFGIKTAEQGTEFLPFARLLLGGNYLFGPIPVALMELKAGSADVRLNDNCLFRCPVTLFFCQGGDQKSLMACKSFSPFIP >Manes.02G221285.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21724392:21726309:-1 gene:Manes.02G221285.v8.1 transcript:Manes.02G221285.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIFYRNKVNDDEIESPLTTLCLNDLPNFIGFIYKDIEESSAYEMNNRMEIVQSKTELVEKISILFSSLWLRLSKLQKLILYNCDLVKALFPPVAQQFGQLKELNISACCKMEYIVAEAKEQEKNKGISKIAFPNLTKLDLDDLPELVAFFADNDISFELYSLVYLKIWSCPKLKTHYCETQDSSTLNKSYDQSEHKVTFPTSSIAQPLLRRGEPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELYIFGCDFQEAA >Manes.01G239601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40113112:40114927:1 gene:Manes.01G239601.v8.1 transcript:Manes.01G239601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESLLRSSKTAITVICSAVSQKLNLLFFHSSSIIHYRQHQQQEQRGEEQMQHKRKQEPSNYIDISKSPIGSPSRVQKLIASQSDPLLAKEIFDFASRQPNFQHSYSSYLVLILKLGRSKYFSLIDDLLVDLKSKRYPVTSTLFSYIIKIYGEANLPDKVLKTFYKMLEFNFKPLPKHFNRILEFLVSHRNYVKPALDLFNNAHRYGVSPNTKSYNILMRALCLNGELSVAYKLFNQMFKRDVLPDVESYRILMQGLCRKSQVNGAVDLLEDMLNKGFVPDTLSYTTLLNSLCRKKKLKEAYKLLCRMKVKGCNPDIVHYNTVILGFCRDGRAMDACKVLDDMESNGCLPNLVSYRTLVGGLCDQGMFDEAKRYLEEMISKGFSPHFSVSHALLKGLSDVGKVEEACRVLEELLKHGEAPHTDTWMIMVPRICEVDDFGRMGEILDKIMMVEIKGDTRIVDAGVRLEEYLIKKIQTKWRV >Manes.18G140700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18446692:18448906:-1 gene:Manes.18G140700.v8.1 transcript:Manes.18G140700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSPDIRNQVRRTYLLKGPCQPHSHKFPQRVDGNRNRRFICLYCYLFSSGRSGSGHDAFVTEKKSKIEYHCRLNASIVCLHYLLMQGLTLRGNDECEESLNQRNFIELYLGDDLFFILVDECRDVSVKEQMGVFFLAIMHVNDTSASSLKKAIEFLFSTHGLSVSSLRDQGYDGASKVREKFNGLKNLILRENSSSYYIHCFAHQLQLTLIAIAKKYSSISIFFNIIARLCNVVGGCYKRRDMLQEKQREKVVEGIKIGEIAIGQGFKLRDNNKETKKYLLKNDNPPKVEAIELLDIISRFEFVFTLFLMRKILGITHNLSQAFQRRDQDIANAMQLVKESLLFEIMQFCSKHNIVVLEMDDLYTIRGRSRRRTEKMTKLHFYRVEQFYSVIDMQLQELNNHFDKVNTNLLLYMACLDPKDSFSAFNVSKLIELAKLHPFATATVERAFSAMHIIKNRLCNKMRDDLFNDYLITYIERDVFINVDNKDIINKFQAIKNRREIL >Manes.03G135600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26164485:26168346:-1 gene:Manes.03G135600.v8.1 transcript:Manes.03G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDPWMKEHNEAAKLAEDINGMIAERSSFPASGPDTQRHASAIRRKITILGTRLDSLQSLLTKLPGKQKISEKEMNRRRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQRQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHTRLIDDLDQHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVVGIVGLVVVIYLLIKYL >Manes.03G135600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26164485:26168346:-1 gene:Manes.03G135600.v8.1 transcript:Manes.03G135600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDPWMKEHNEAAKLAEDINGMIAERSSFPASGPDTQRHASAIRRKITILGTRLDSLQSLLTKLPGKQKISEKEMNRRRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQRQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHTRLIDDLDQHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVVGIVGLVVVIYLLIKYL >Manes.03G135600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26164485:26168346:-1 gene:Manes.03G135600.v8.1 transcript:Manes.03G135600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTDPWMKEHNEAAKLAEDINGMIAERSSFPASGPDTQRHASAIRRKITILGTRLDSLQSLLTKLPGKQKISEKEMNRRRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQRQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHTRLIDDLDQHVDVTDSRLRRVQKNLAVLNKRTKGGCSCLCMLLSVVGIVGLVVVIYLLIKYL >Manes.06G019300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3393184:3395875:-1 gene:Manes.06G019300.v8.1 transcript:Manes.06G019300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLSGGSRTYGFDLEIVKSPSTSTRTCHTSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKQLTNNPRKFTKPHQDTLLLDESSSELLWPFRVFNYSDLLLHQPIENEKPSFVNESKVSNFITSCENKSCQSVNSMEFCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENSEEWSNKVGNPLGFHFGGRFQLGVGNGMRKGVKALRNGDDGNWWNFPIVDMLQISPRLNRNNIKTSDSKPKVKTNSNSGDKKKKKVEKPAVELKNSELTKEENNSIPQPISGLLLKLNYDGVLDAWSDRGCPFSDDISGSEGNDVSARLAQIDLFSENGGLREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKVAFTCSYFLKIFSYFLKLGFERKTL >Manes.06G019300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3392361:3395875:-1 gene:Manes.06G019300.v8.1 transcript:Manes.06G019300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLSGGSRTYGFDLEIVKSPSTSTRTCHTSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKQLTNNPRKFTKPHQDTLLLDESSSELLWPFRVFNYSDLLLHQPIENEKPSFVNESKVSNFITSCENKSCQSVNSMEFCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENSEEWSNKVGNPLGFHFGGRFQLGVGNGMRKGVKALRNGDDGNWWNFPIVDMLQISPRLNRNNIKTSDSKPKVKTNSNSGDKKKKKVEKPAVELKNSELTKEENNSIPQPISGLLLKLNYDGVLDAWSDRGCPFSDDISGSEGNDVSARLAQIDLFSENGGLREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFVRRPNSSGSSQRREEEEE >Manes.06G019300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3392361:3395912:-1 gene:Manes.06G019300.v8.1 transcript:Manes.06G019300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLSGGSRTYGFDLEIVKSPSTSTRTCHTSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKQLTNNPRKFTKPHQDTLLLDESSSELLWPFRVFNYSDLLLHQPIENEKPSFVNESKVSNFITSCENKSCQSVNSMEFCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENSEEWSNKVGNPLGFHFGGRFQLGVGNGMRKGVKALRNGDDGNWWNFPIVDMLQISPRLNRNNIKTSDSKPKVKTNSNSGDKKKKKVEKPAVELKNSELTKEENNSIPQPISGLLLKLNYDGVLDAWSDRGCPFSDDISGSEGNDVSARLAQIDLFSENGGLREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFVRRPNSSGSSQRREEEEE >Manes.06G019300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3392361:3395875:-1 gene:Manes.06G019300.v8.1 transcript:Manes.06G019300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLSGGSRTYGFDLEIVKSPSTSTRTCHTSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKQLTNNPRKFTKPHQDTLLLDESSSELLWPFRVFNYSDLLLHQPIENEKPSFVNESKVSNFITSCENKSCQSVNSMEFCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENSEEWSNKVGNPLGFHFGGRFQLGVGNGMRKGVKALRNGDDGNWWNFPIVDMLQISPRLNRNNIKTSDSKPKVKTNSNSGDKKKKKVEKPAVELKNSELTKEENNSIPQPISGLLLKLNYDGVLDAWSDRGCPFSDDISGSEGNDVSIGADRFIFRKWRIERS >Manes.06G019300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3391902:3395918:-1 gene:Manes.06G019300.v8.1 transcript:Manes.06G019300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLSGGSRTYGFDLEIVKSPSTSTRTCHTSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKQLTNNPRKFTKPHQDTLLLDESSSELLWPFRVFNYSDLLLHQPIENEKPSFVNESKVSNFITSCENKSCQSVNSMEFCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENSEEWSNKVGNPLGFHFGGRFQLGVGNGMRKGVKALRNGDDGNWWNFPIVDMLQISPRLNRNNIKTSDSKPKVKTNSNSGDKKKKKVEKPAVELKNSELTKEENNSIPQPISGLLLKLNYDGVLDAWSDRGCPFSDDISGSEGNDVSARLAQIDLFSENGGLREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFVRRPNSSGSSQRREEEEE >Manes.06G019300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3391902:3395918:-1 gene:Manes.06G019300.v8.1 transcript:Manes.06G019300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLSGGSRTYGFDLEIVKSPSTSTRTCHTSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKQLTNNPRKFTKPHQDTLLLDESSSELLWPFRVFNYSDLLLHQPIENEKPSFVNESKVSNFITSCENKSCQSVNSMEFCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENSEEWSNKVGNPLGFHFGGRFQLGVGNGMRKGVKALRNGDDGNWWNFPIVDMLQISPRLNRNNIKTSDSKPKVKTNSNSGDKKKKKVEKPAVELKNSELTKEENNSIPQPISGLLLKLNYDGVLDAWSDRGCPFSDDISGSEGNDVSIGADRFIFRKWRIERS >Manes.06G019300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3393184:3395875:-1 gene:Manes.06G019300.v8.1 transcript:Manes.06G019300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLSGGSRTYGFDLEIVKSPSTSTRTCHTSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKQLTNNPRKFTKPHQDTLLLDESSSELLWPFRVFNYSDLLLHQPIENEKPSFVNESKVSNFITSCENKSCQSVNSMEFCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENSEEWSNKVGNPLGFHFGGRFQLGVGNGMRKGVKALRNGDDGNWWNFPIVDMLQISPRLNRNNIKTSDSKPKVKTNSNSGDKKKKKVEKPAVELKNSELTKEENNSIPQPISGLLLKLNYDGVLDAWSDRGCPFSDDISGSEGNDVSIGADRFIFRKWRIERS >Manes.06G019300.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:3392340:3395875:-1 gene:Manes.06G019300.v8.1 transcript:Manes.06G019300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCLSGGSRTYGFDLEIVKSPSTSTRTCHTSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSTKQLTNNPRKFTKPHQDTLLLDESSSELLWPFRVFNYSDLLLHQPIENEKPSFVNESKVSNFITSCENKSCQSVNSMEFCDGYEEDFDAESILDEEIEEGIDSIMGNLRVSNENSEEWSNKVGNPLGFHFGGRFQLGVGNGMRKGVKALRNGDDGNWWNFPIVDMLQISPRLNRNNIKTSDSKPKVKTNSNSGDKKKKKVEKPAVELKNSELTKEENNSIPQPISGLLLKLNYDGVLDAWSDRGCPFSDDISGSEGNDVSVCFSSY >Manes.09G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6373303:6376750:1 gene:Manes.09G031300.v8.1 transcript:Manes.09G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNLGMLHYVLDHIYGAFMHRTKISPPFFSRGWGGSKLELLERMIKQLFPEMEGQNWPPSSIQPIWRTIWENKTASLREGIFRTPCDEQLLSALPPESHTARVAFLAPKFIPPQRMACVVHLAGTGDHSFERRLRLGGPLLKENIATMVLESPFYGHRRPMLQRGAKLLCVSDLLLLGRATIEETRSLLHWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILRHGTAWDALRKDLAVQETAMTLEEVQERMRNVLSLTDVTRFPIPKNPNAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNGEFRKAIVDGLNRLEWKESPL >Manes.12G014500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1406091:1418523:-1 gene:Manes.12G014500.v8.1 transcript:Manes.12G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRYGGYRGNEFRRRESDIEYSRRNFAYSKEEYHQIGNGNHENKMRNQGRIRQRGIKDREVIGGGYRSSSTKSDSGSDGGTRGPRRCEFTARTMDREPGELSSDSGSDNAVESESQVNKDIEVSKGAQNGSQTPLGKKRKFSPIMWNRDDRKVIISSKSRISPAVITLTPPPTLPRAYSQSPNIVRDGGGVEISPIKGSEGLSLKSSPVKDPVAERPARYSVSESPVKKAALPQELCNDNEAELLEDADYVPTRNILSSRWAAGNNSPVDEGEIVEDLEMPERRKKMPHSESLDFRARNSSLTPDLGDLKGDDSDGVRRRSAVSDELGTHARSLSGDDYPDNDTYKDDYMEIDNGYDENNGSNGRSGTDSENEKDSLEVPEPSSPQRSINMLLGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDAKEVVVGSNLDSIFMVMEYMEHDLKGLMESMKQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPLFNGKTELDQLDKILRILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGLPVLSDSGFDLLNKLLTYDPEKRISADAALNHEWFREVPLPKSKEFMPTFPAQHAQDRRLRRILKSPDPLEDQHRNELQQGELGNGGLFG >Manes.06G056100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15286664:15289722:1 gene:Manes.06G056100.v8.1 transcript:Manes.06G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTIRKAIGAVKDQTSISIAKVAGNIAPDLEVLVVKATSHDEDPADEKYIREIINLTSYSRGYVGACVATVSRRLSKTHDWIVAIKALMLLHRLLVDGHPSFEEEIVYASRRGMRILNMSGFRDEAHSHSWDHAAFVRFYAMYLDEKLEVAVSERKSKAGERKYDERDDGFGPREHRDDFDYGMSRRSRSYEDLNDDSVGKEQRREATPIRQMRPERVLSKLNQLLRMLDRALACRPTGMAKNSRLVLVSLYRVVKESFGLYIDICEALGMVLDRFAEMEYADCVKGFDMYVSAAKMIDELVGFYGWCKDIGIARSSEFPAVQKITDQLLGTLEGFLREKTNNPSKTPERSEEKKVPVKQELEPDMDQVKALPPPENYTPPPPTEPQPKPQPQQASEDLVNLKDDAGSADDQSNKMALALFSGPPSTTTNGSWEAFSSNGDSEVTSAWRTPAAESGKADWELALVESASNLSKQKATLGGGMDPLLLNGMYDHGAVRQHVNTTQLSGGSSSSVALPGPGKNATPVLALPAPDGTVQPVGNQDPFAASLAVPPPSYVQIADMERKQHLLVQEQQMWQQYGRDGMQGQLGLANLSGASGYYGPSPQPPMMPYGMPQVTGMGQPGGYYAHY >Manes.01G200200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37380365:37385498:1 gene:Manes.01G200200.v8.1 transcript:Manes.01G200200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKISKKPDVTQDTKMIPIENYGVKCMSMGFLVEKDAPIVWRGPMVMSALEKMLRGVDWGNLDILVVDMPPGTGDAQLTMSQNLQLSGALIVSTPQDVALIDARRGAKMFSKVQVPILGFIENMSCFKCPHCGEPSFIFGEGGTRKTAASMGYNFIGEIPLEVEIRKGGDEGVPITISSPDSVVSKAYGDVAQNLVKGLHELAKEQTLQPEINL >Manes.01G200200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37380365:37385498:1 gene:Manes.01G200200.v8.1 transcript:Manes.01G200200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFFRPLTRLGGLRTYAASFSRSKLRLEGVKDVVAVASGKGGVGKSTTAVNLAVALANKCHLKVGLLDADVYGPSVPTMMKISKKPDVTQDTKMIPIENYGVKCMSMGFLVEKDAPIVWRGPMVMSALEKMLRGVDWGNLDILVVDMPPGTGDAQLTMSQNLQLSGALIVSTPQDVALIDARRGAKMFSKVQVPILGFIENMSCFKCPHCGEPSFIFGEGGTRKTAASMGYNFIGEIPLEVEIRKGGDEGVPITISSPDSVVSKAYGDVAQNLVKGLHELAKEQTLQPEINL >Manes.01G116300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31355242:31358895:1 gene:Manes.01G116300.v8.1 transcript:Manes.01G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTVKDVSPHEFVKAYAAHLKRSGKIELPHWTDIVKTGTLKELAPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGAIARHILQQLQNMNIVDVDPKGGRRITSSGQRDLDQVAGRIVVAP >Manes.18G021700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2164343:2168582:-1 gene:Manes.18G021700.v8.1 transcript:Manes.18G021700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLMASMISTTLPPIVNARDTLSKFSPRNATSSIPSPVKLHPRITDSHLNYLCKMGRLSEAVMALESIGQHGSKVHAHIDLVEEKTPFLDTKLVSMYAKCGSLRDARKLFDETRDKNLYTWSAIIGAYSREHRWKEVVGLFYMMMEYDCLPDGFLLPKILQACGNCRDIKTGELVHSLAVKCGVDSFPFINNSILAVYAKCAKLSLARRCFESMEKRDKVAWNTMISGYCQIGEIEEAQRLFDEMYEEGIKPCLVTWNIMISGYNQIGCCDVAMELMKKMENLGINPDVVTWTSMISGFAQNNRTDKALDLLNEMILAEVVPNGVTISSALSACASLKVLNQGLEIHALAVKMGFTDDVLVGNSLIEMYSKCGEPEAASEVFDMMSEKDIYSWNSMIGGYCQAGYCGKAYVLFMQMQKSEIQPNVITWNNMISGYIQNGDEDRAMDLFRRMEKDGKMKRDTASWNSLISGYLQIGQKDKAMSIFRQMQYCSVSPNTVTILSVLPACASLIALKKVKEIHGCVLRRNLVSVLAVSNSLIDTYAKSGNIGYSRTIFGRMLWKDFITWNTLIGGYVLYGCSDAALNLVDQMRKLGIKPNRSTFVSIILAHSLVGMVDEGERAFSSMIEDHQIIPSLEHYLAMVHLYGRSGKLKEALKFIEDMPMKPDSSVWSALLTACFIHGNFGLAIHAGESLLDLEPADSLIQQLVLQAHSLCGKPGDSSEVKRFEKDFKVPKLIGHSWIEVKNVVHSFVAGDGSKSCSDHLFSWIESVSKEVKAFDLHRGFYIEEEESEEIIGVHSEKLALAFAFVCGPSAPQSIRIVKNLRMCGDCHWMAKYISMKYGCEIYLSDSKCFHHFKSGRCSCGDYW >Manes.07G054700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7280466:7285825:1 gene:Manes.07G054700.v8.1 transcript:Manes.07G054700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIGRGGNVEKAKAVQASLFAMGINTMLQIWIGTRLSVSMESSQAYTIPIISIALSTFSNYSDSLDSHQRYEEFMRRVQGASLISSIFQMVIGFSGLGKYFARHLSPLASVPLVTLTGLGLYVRGFPLVAKSIEIGLPALAILVFLTQFLPRIWKAKKEMVGQVAIVLSVSIVWIYAEILTAAGAYDNTTQQTQTYSRTDSSGLIDAAPWIKIPLPFQWGTPIFEAGDALSMMAASLVAVIESSGTFLASSKLSGAPPIPPSALTRGIGTQGIGTMIDAVFGTGNGSTASVEDAGLLGLTQVGSRRVVIVSAIFLVFLSMLGKVGAFFASIPLPIVGALHTLLFPYVASTGLEYLEYCNVNSFRSKLILGFSLFMGLSVPQYFKEYVFLTGHGPVHTGSTWFNDVIQVIFSSPPTVALIVAFFLDRTHTPRARSTWKDSGRHLKEQSDESEKTREIYDLITSLGDMCS >Manes.07G054700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7280466:7285831:1 gene:Manes.07G054700.v8.1 transcript:Manes.07G054700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEMRVEMWVANCTCCQHTSPLDYFPTCPSRSHPFHCCQCVPSCPFCLQNKLAFGYCVLSCSFCPHPSTAPDGPTPETTKPVTEISNPGSETSETNKPGSETSETTKPGDKTTETSKPGDETPKTTKPGGGTPETTKPSRGGPGEPHRSTEDTIQFPISTNPSWSNPKLYGWGFQHCLVNVGSSLVVSSIMVNIGRGGNVEKAKAVQASLFAMGINTMLQIWIGTRLSVSMESSQAYTIPIISIALSTFSNYSDSLDSHQRYEEFMRRVQGASLISSIFQMVIGFSGLGKYFARHLSPLASVPLVTLTGLGLYVRGFPLVAKSIEIGLPALAILVFLTQFLPRIWKAKKEMVGQVAIVLSVSIVWIYAEILTAAGAYDNTTQQTQTYSRTDSSGLIDAAPWIKIPLPFQWGTPIFEAGDALSMMAASLVAVIESSGTFLASSKLSGAPPIPPSALTRGIGTQGIGTMIDAVFGTGNGSTASVEDAGLLGLTQVGSRRVVIVSAIFLVFLSMLGKVGAFFASIPLPIVGALHTLLFPYVASTGLEYLEYCNVNSFRSKLILGFSLFMGLSVPQYFKEYVFLTGHGPVHTGSTWFNDVIQVIFSSPPTVALIVAFFLDRTHTPRARSTWKDSGRHLKEQSDESEKTREIYDLITSLGDMCS >Manes.07G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7280466:7285619:1 gene:Manes.07G054700.v8.1 transcript:Manes.07G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEMRVEMWVANCTCCQHTSPLDYFPTCPSRSHPFHCCQCVPSCPFCLQNKLAFGYCVLSCSFCPHPSTAPDGPTPETTKPVTEISNPGSETSETNKPGSETSETTKPGDKTTETSKPGDETPKTTKPGGGTPETTKPSRGGPGEPHRSTEDTIQFPISTNPSWSNPKLYGWGFQHCLVNVGSSLVVSSIMVNIGRGGNVEKAKAVQASLFAMGINTMLQIWIGTRLSVSMESSQAYTIPIISIALSTFSNYSDSLDSHQRYEEFMRRVQGASLISSIFQMVIGFSGLGKYFARHLSPLASVPLVTLTGLGLYVRGFPLVAKSIEIGLPALAILVFLTQFLPRIWKAKKEMVGQVAIVLSVSIVWIYAEILTAAGAYDNTTQQTQTYSRTDSSGLIDAAPWIKIPLPFQWGTPIFEAGDALSMMAASLVAVIEFLQSSGTFLASSKLSGAPPIPPSALTRGIGTQGIGTMIDAVFGTGNGSTASVEDAGLLGLTQVGSRRVVIVSAIFLVFLSMLGKVGAFFASIPLPIVGALHTLLFPYVASTGLEYLEYCNVNSFRSKLILGFSLFMGLSVPQYFKEYVFLTGHGPVHTGSTWFNDVIQVIFSSPPTVALIVAFFLDRTHTPRARSTWKDSGRHLKEQSDESEKTREIYDLITSLGDMCS >Manes.07G054700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7280466:7285619:1 gene:Manes.07G054700.v8.1 transcript:Manes.07G054700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIGRGGNVEKAKAVQASLFAMGINTMLQIWIGTRLSVSMESSQAYTIPIISIALSTFSNYSDSLDSHQRYEEFMRRVQGASLISSIFQMVIGFSGLGKYFARHLSPLASVPLVTLTGLGLYVRGFPLVAKSIEIGLPALAILVFLTQFLPRIWKAKKEMVGQVAIVLSVSIVWIYAEILTAAGAYDNTTQQTQTYSRTDSSGLIDAAPWIKIPLPFQWGTPIFEAGDALSMMAASLVAVIEFLQSSGTFLASSKLSGAPPIPPSALTRGIGTQGIGTMIDAVFGTGNGSTASVEDAGLLGLTQVGSRRVVIVSAIFLVFLSMLGKVGAFFASIPLPIVGALHTLLFPYVASTGLEYLEYCNVNSFRSKLILGFSLFMGLSVPQYFKEYVFLTGHGPVHTGSTWFNDVIQVIFSSPPTVALIVAFFLDRTHTPRARSTWKDSGRHLKEQSDESEKTREIYDLITSLGDMCS >Manes.03G135500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26171399:26175041:-1 gene:Manes.03G135500.v8.1 transcript:Manes.03G135500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ftsZ1 MATLHLHLSNPNASSPSLSTSLHRKFSISQRTGRSSVWKRYRSGSGSVSCSFAPVESAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDSQALLQSAAQNPLQIGELLTRGLGTGGNPLLGEQAAEESKEAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPRAAKLVDKMSGSQEGKGVPLPLKPATSPSTVPSRPSPRKLFF >Manes.03G135500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26171399:26175041:-1 gene:Manes.03G135500.v8.1 transcript:Manes.03G135500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ftsZ1 MATLHLHLSNPNASSPSLSTSLHRKFSISQRTGRSSVWKRYRSGSGSVSCSFAPVESAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDSQALLQSAAQNPLQIGELLTRGLGTGGNPLLGEQAAEESKEAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVHCCDELG >Manes.11G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30042152:30043936:1 gene:Manes.11G135400.v8.1 transcript:Manes.11G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDIDLFPRLAKKEFGGDGGSYFAWCPSELAMLREGNIGAAKLALEKNGFALPRYSDSAKVAYVLQGNGVAGIVLPEKEEKVVPIKKGDAIALPFGVVTWWFNKEDTELVVLLLGDTSKGHKAGEFTDFFLTGSSGIFTGFSPEFVSRAWDVDEKTVSTLVGNQTGKGIVKLPASSKMPEPKKETRCGLVYNCEEAPLDVDIKNGGRVVVLNTKNLPLVAEVGLGADLVRLDGGAMCSPGFSCDSALQVTYIVRGSGRVQVVGIDGRRVLDTTVKAGNLFIVPRFYVVSKICDPDGMDWFSIITTPNPIFTHLAGRTSVWKALSPEVLEASFQVSSEVEKLFRSKRTSDEIFFPPPNC >Manes.07G011700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1504335:1509333:-1 gene:Manes.07G011700.v8.1 transcript:Manes.07G011700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLIESCLTCQLLWGFSLNSLCIWRFTKGDILFYIVVMSEDMVKDGYEDIMNIDISSVAIEMMKRKYEYIPQLKYMQMDVRDMSFFPDESFDSVIDKGTLDSLMCGNDAPISAAQMLGEVSRLLKPRGIYMLITYGDPTVRMLHLSRPVYNWKIILFIIPRPGFERPAGSSSSRSFLEPVPITEKGLLPADFVLEDPDSHFVYVCKKMDETEELQSIPDHPLMADVL >Manes.07G011700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1504335:1509348:-1 gene:Manes.07G011700.v8.1 transcript:Manes.07G011700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLIESCLTCQLLWGFSLNSLCIWRFTKGDILFYIVVMSEDMVKDGYEDIMNIDISSVAIEMMKRKYEYIPQLKYMQMDVRDMSFFPDESFDSVIDKGTLDSLMCGNDAPISAAQMLGEVSRLLKPRGIYMLITYGDPTVRMLHLSRPVYNWKIILFIIPRPGFERPAGSSSSRSFLEPVPITEKGLLPADFVLEDPDSHFVYVCKKMDETEELQSIPDHPLMADVL >Manes.07G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1504335:1509333:-1 gene:Manes.07G011700.v8.1 transcript:Manes.07G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDVSSCNTYNYGDALYWDARYVQEAGSFDWYQRYSSLRPFVRRYIPTSSRVLMVGCGNALMSEDMVKDGYEDIMNIDISSVAIEMMKRKYEYIPQLKYMQMDVRDMSFFPDESFDSVIDKGTLDSLMCGNDAPISAAQMLGEVSRLLKPRGIYMLITYGDPTVRMLHLSRPVYNWKIILFIIPRPGFERPAGSSSSRSFLEPVPITEKGLLPADFVLEDPDSHFVYVCKKMDETEELQSIPDHPLMADVL >Manes.04G163000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35669020:35670711:-1 gene:Manes.04G163000.v8.1 transcript:Manes.04G163000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMKQYLCIGLALFFVLGAWTSQSMARTFRDASLYERHEQWMARFGRVYQDANEKEIRYQIFKENIEQIDSFNSEAGRSYKLGVNQFADLTNEEFKTARNRFKGHMCSVQAGPFRYENVTAIPSSIDWRKKGAVTPIKDQGQCGSCWAFSAVAAVEGITEIKTGKLISLSEQELVDCDTSSEDQGCQGGLMDDAFIFIEKHGLASEATYPYHAADSTCNTKEEAKPAATITGYEDVPANNEAALLKAVAKQPVSVAIDAGGFEFQFYSSGIFTGSCGTQLDHGVAVVGYGDSDRKEYWLVKNSWGTQWGEEGYIRMQRNISAKEGLCGIAMQASYPTA >Manes.04G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1445830:1451951:-1 gene:Manes.04G010900.v8.1 transcript:Manes.04G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFCLFFKTPSPFPLAFSIPSSFSLYLLIIFIPISKLTFFFSLAHSLMASESETNSVEEEEEEKYQQDQEAAIDAPAHHPSAPPDELFDISTTVDPSYIISLIRKLIPTGLGNDRNTRGVNTGDATCYGSSADYMEECGPSQSRDQVPDSFNETENMHTVSGSDTCQDGDKQDSSFRCEQPCVPTGEEAWEEHGCVLWDLAASKTHAELMVENLILEVLLAHLAFSQSVRITEICLGIIGNLACHEVPMKHIISTNGLIEIIVDQLFLDDTQCLCEACRLLTSGLQSGKCNTWAEALQSEHILGRIMWVAENTLNAQLLEKNVGLLLAILESQQEASSVHLLSLMKLGLPSLLVNLLASEMSTLRGERVPERYGVLDVILHAIETLSTLDGHSQEICSNKELFQLVCDLIKLPDKVEIASSYATASVLLANILSDVPDLASEISQDFMCLQGLLDIFPLVSDDVEARSALWSIIARLLVRVKENEMSLSILHQYVLVLVSKTDIIEDDLLDRQLDNLNEECKSSTSSSIKFDTRSIALRRIVSILNQWTASKVSHKTDDVREEHCAIEVNIGGLLDCCCKHIK >Manes.11G158501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32426003:32426622:1 gene:Manes.11G158501.v8.1 transcript:Manes.11G158501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKNQKAVMSSPGDRKIMALAHKRKSFLPSIALSLVLLLLAISMLVSNNCFNRVQVEKPQMMSRPMPKMMFPQNNAQAVRSFVIGTETVRRLLAFVFGFQ >Manes.17G027800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19754111:19761407:-1 gene:Manes.17G027800.v8.1 transcript:Manes.17G027800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLSDLMSLRTFDLSDNNIQESIPYQLPPNLTSLNLARNNLSGSLPYSISSMLSLTYLNVSSNSLSQSVGDVFSNLSIFTTLDLSFNNFSGDLPSSFSALSNLSTLNVQNNQLTGSLDVLTGLPLTTLNVANNHFSGWIPRELSSIPNFIYDGNSFENSPAPPTPPHTPPSSPGRSHDNHRHSGSGDNSPQSSNAQSTESDKEISAGATIGITVGSAIILLIALLAVMFCIRKNKKDIGAIISLGSRSAGKNNVNTEIEEQGVKNIAAVTDLKPPPAEKLVVERLQGNSGSIKRMKSPITATSYTVASLQTATNSLSQEFLIGEGSLGRVYKGEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNLLSLVGYCAEHGQRLLVYEYIGNGSLHDVLHFADDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDDELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPGLNGMYPAKSLSRFADIIALCVQAEPEFRPPMSEVVQALVRLVQRASVVKRRSSDDSGFAYKTPEHEALDMSF >Manes.17G027800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19754111:19761407:-1 gene:Manes.17G027800.v8.1 transcript:Manes.17G027800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLSDLMSLRTFDLSDNNIQESIPYQLPPNLTSLNLARNNLSGSLPYSISSMLSLTYLNVSSNSLSQSVGDVFSNLSIFTTLDLSFNNFSGDLPSSFSALSNLSTLNVQNNQLTGSLDVLTGLPLTTLNVANNHFSGWIPRELSSIPNFIYDGNSFENSPAPPTPPHTPPSSPGRSHDNHRHSGSGDNSPQSSNAQSTESDKEISAGATIGITVGSAIILLIALLAVMFCIRKNKKDIGAIISLGSRSAGKNNVNTEIEEQGVKNIAAVTDLKPPPAEKLVVERLQGNSGSIKRMKSPITATSYTVASLQTATNSLSQEFLIGEGSLGRVYKGEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNLLSLVGYCAEHGQRLLVYEYIGNGSLHDVLHFADDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDDELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPGLNGMYPAKSLSRFADIIALCVQAEPEFRPPMSEVVQALVRLVQRASVVKRRSSDDSGFAYKTPEHEALDMSF >Manes.17G027800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19754110:19761407:-1 gene:Manes.17G027800.v8.1 transcript:Manes.17G027800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPTALSFSLVLSLTQSLFMLVFLVFIFALPLLVQCNTDPADVQALQVMYTSLNSPSQLTNWKSNGGDPCAESWKGVTCEGSAVVSIQLSGLGLSGTMGYLLSDLMSLRTFDLSDNNIQESIPYQLPPNLTSLNLARNNLSGSLPYSISSMLSLTYLNVSSNSLSQSVGDVFSNLSIFTTLDLSFNNFSGDLPSSFSALSNLSTLNVQNNQLTGSLDVLTGLPLTTLNVANNHFSGWIPRELSSIPNFIYDGNSFENSPAPPTPPHTPPSSPGRSHDNHRHSGSGDNSPQSSNAQSTESDKEISAGATIGITVGSAIILLIALLAVMFCIRKNKKDIGAIISLGSRSAGKNNVNTEIEEQGVKNIAAVTDLKPPPAEKLVVERLQGNSGSIKRMKSPITATSYTVASLQTATNSLSQEFLIGEGSLGRVYKGEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNLLSLVGYCAEHGQRLLVYEYIGNGSLHDVLHFADDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDDELNPHLSDCGLAALTPNTERFQHRWLDRLGIVLLNLPCQGCTL >Manes.17G027800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19754111:19761407:-1 gene:Manes.17G027800.v8.1 transcript:Manes.17G027800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPTALSFSLVLSLTQSLFMLVFLVFIFALPLLVQCNTDPADVQALQVMYTSLNSPSQLTNWKSNGGDPCAESWKGVTCEGSAVVSIGTMGYLLSDLMSLRTFDLSDNNIQESIPYQLPPNLTSLNLARNNLSGSLPYSISSMLSLTYLNVSSNSLSQSVGDVFSNLSIFTTLDLSFNNFSGDLPSSFSALSNLSTLNVQNNQLTGSLDVLTGLPLTTLNVANNHFSGWIPRELSSIPNFIYDGNSFENSPAPPTPPHTPPSSPGRSHDNHRHSGSGDNSPQSSNAQSTESDKEISAGATIGITVGSAIILLIALLAVMFCIRKNKKDIGAIISLGSRSAGKNNVNTEIEEQGVKNIAAVTDLKPPPAEKLVVERLQGNSGSIKRMKSPITATSYTVASLQTATNSLSQEFLIGEGSLGRVYKGEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNLLSLVGYCAEHGQRLLVYEYIGNGSLHDVLHFADDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDDELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPGLNGMYPAKSLSRFADIIALCVQAEPEFRPPMSEVVQALVRLVQRASVVKRRSSDDSGFAYKTPEHEALDMSF >Manes.17G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19754111:19761407:-1 gene:Manes.17G027800.v8.1 transcript:Manes.17G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPTALSFSLVLSLTQSLFMLVFLVFIFALPLLVQCNTDPADVQALQVMYTSLNSPSQLTNWKSNGGDPCAESWKGVTCEGSAVVSIQLSGLGLSGTMGYLLSDLMSLRTFDLSDNNIQESIPYQLPPNLTSLNLARNNLSGSLPYSISSMLSLTYLNVSSNSLSQSVGDVFSNLSIFTTLDLSFNNFSGDLPSSFSALSNLSTLNVQNNQLTGSLDVLTGLPLTTLNVANNHFSGWIPRELSSIPNFIYDGNSFENSPAPPTPPHTPPSSPGRSHDNHRHSGSGDNSPQSSNAQSTESDKEISAGATIGITVGSAIILLIALLAVMFCIRKNKKDIGAIISLGSRSAGKNNVNTEIEEQGVKNIAAVTDLKPPPAEKLVVERLQGNSGSIKRMKSPITATSYTVASLQTATNSLSQEFLIGEGSLGRVYKGEFPNGKAMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNLLSLVGYCAEHGQRLLVYEYIGNGSLHDVLHFADDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDDELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPGLNGMYPAKSLSRFADIIALCVQAEPEFRPPMSEVVQALVRLVQRASVVKRRSSDDSGFAYKTPEHEALDMSF >Manes.02G022200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1958688:1967310:-1 gene:Manes.02G022200.v8.1 transcript:Manes.02G022200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQAGPSYRLPAELTHCKTGQNVVFGVTNSIEASKLKETCVRFSSLSCSSIKTSWVPRESVRIRRGSQMVVAASPPTEDTVIAAEPLTKEDLVGYLASGCKPKEKWRIGTEHEKFGFELGTLRPMKYEQIADLLNGIAERFDWEKIMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWGLKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDNNRTGMLPFVFDDLFGFEQYVDYALDVPMYFVYRKKKYVDCTGMSFRDFMAGKLPCLPGELPNLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEISLQNVLDMVADWTPEERQMLRNKVPKTGLKTPFRDELLKHVAEDVLKLAKDGLERRGFKEVGFLNEVAEVVRTGVTPAEKLLDLYNGKWGQSVDPVFEELLY >Manes.08G132000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37916502:37917506:-1 gene:Manes.08G132000.v8.1 transcript:Manes.08G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVLLALAVAFSFASAFDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAVFGPNPPINPDVLAKAFQLDNYAVEKLQKLFANA >Manes.16G094302.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30090533:30092432:-1 gene:Manes.16G094302.v8.1 transcript:Manes.16G094302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITSPSSSSSLMSFCQDSSPTIQQRLQFILQSRPEWWVYAIFWQASNDPTGRLVLSWGDGHFRGAEEFAAKACSKQNQPKFRFNLGRKMTNKESQTLFSDNMEMDRLADVDVIDFEWFYTVSVTRSFAVEDGILGRTFGSGAFIWLTGNHELQMYECERIKEARMLGIQTLVCISTTYGVVELGSSNVIYKDWSLVQLCKSLFGGDTTCLLSKDPSHGSQLQIPNTCFPDIPMFSPYQKETSIEKQNEHDRKKDVTAQGASSDSAHSDSDGNFVAGNTDRFRKRGRKQLNGKEMPMNHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLADAVTYIKELKAKVDESESKLRAVSKKYKSANVTDDQNPDSMADNMRYPSSYKGKTMELDVKIVGTEAMIRFLSPDVNHPAVRLMDVLREAEFKVHHASMSSIRELVLLDVVARVPEGLTDEEVVRSAILQRMLN >Manes.04G051800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:10186755:10192234:-1 gene:Manes.04G051800.v8.1 transcript:Manes.04G051800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAHILPKGCSIFARRQDENDFPYEVDILHHKLNWDIALLHIKGVHDSCYGRLARDRSLNVAQMLLYIHPYSFVGSFLVGKVAFQCVDDVVLPTGTQTCQTYLSTALQSIPRYRIIGDVWNSHVFENFETNVKWTFEKSLHPLVPLIQIYGFVFGESSSGGPVFNTEGEIMGMLSMGSGGFDIAIHVSLLRQVMREKEEHLNIETLERKDTRIPGNKEGRVNRLKEHSTKPRGDRFGRDKASHSQGGSKRLKGNSTKA >Manes.14G158300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21867457:21875331:-1 gene:Manes.14G158300.v8.1 transcript:Manes.14G158300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGKKATHQESNKCSFSKTENGSVLIASFKLKKGSKLSHCKKHKPKPKSKSTSQSHIKAIGKNLLKSTVTDPSSRRIRNDSTSRKLISRKILKKALEPKKLASSKPRGKHSSVIASEENGKKANRDVTIKNLNKRRNKRRRKEKVELDEPSRLQRRARYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLDSLSTVGCIEDSVIAPDGSVSHEHIFCAKCKSNEVFPDNDIVLCDGTCNCAFHQKCLDPPLDTESIPPGDQGWYCKFCECRMEIIEAMNAHLGTQFSVNAAWQDIFKEEANFSDGGSMLLNPEQEWPSDDSADDDYDPERRENSISGAGTDNDASDDASSATSLGWSSDGEVFSGSRKWEMESTDFRNQSIYSSLDSDESSDGEIMCGPRRRRAVDYKKLYDEMFGKDAPAHEQVSEDEDWGPGKRKRREKESDAASTLMTLYESEKKSKKFETIEVKRKLSRDSHVRRPFFRIPPSAVERLREVFAENELPSRTVKENLSKELGLDPGKVSKWFKNARYLALKSRKAGTELCNSSTKILREPRLDDMKEQTADLMELNNALMETSICKLQNSNQVFQRKDPKSLSSSLEKNEWERASIGSPSKSNKINVEYSDDMSLKNLLKSKTKRGKRRSNSMSATMSQVAEAEMERLCRAKIRLESMKQKLQGLQTGKSRKSNKNQLRQESVIFVPIAEIREKV >Manes.14G158300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21867457:21874573:-1 gene:Manes.14G158300.v8.1 transcript:Manes.14G158300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAVLTLCLYFYTRQINMRGAGKKATHQESNKCSFSKTENGSVLIASFKLKKGSKLSHCKKHKPKPKSKSTSQSHIKAIGKNLLKSTVTDPSSRRIRNDSTSRKLISRKILKKALEPKKLASSKPRGKHSSVIASEENGKKANRDVTIKNLNKRRNKRRRKEKVELDEPSRLQRRARYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLDSLSTVGCIEDSVIAPDGSVSHEHIFCAKCKSNEVFPDNDIVLCDGTCNCAFHQKCLDPPLDTESIPPGDQGWYCKFCECRMEIIEAMNAHLGTQFSVNAAWQDIFKEEANFSDGGSMLLNPEQEWPSDDSADDDYDPERRENSISGAGTDNDASDDASSATSLGWSSDGEVFSGSRKWEMESTDFRNQSIYSSLDSDESSDGEIMCGPRRRRAVDYKKLYDEMFGKDAPAHEQVSEDEDWGPGKRKRREKESDAASTLMTLYESEKKSKKFETIEVKRKLSRDSHVRRPFFRIPPSAVERLREVFAENELPSRTVKENLSKELGLDPGKVSKWFKNARYLALKSRKAGTELCNSSTKILREPRLDDMKEQTADLMELNNALMETSICKLQNSNQVFQRKDPKSLSSSLEKNEWERASIGSPSKSNKINVEYSDDMSLKNLLKSKTKRGKRRSNSMSATMSQVAEAEMERLCRAKIRLESMKQKLQGLQTGKSRKSNKNQLRQESVIFVPIAEIREKV >Manes.14G158300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21867457:21875240:-1 gene:Manes.14G158300.v8.1 transcript:Manes.14G158300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGKKATHQESNKCSFSKTENGSVLIASFKLKKGSKLSHCKKHKPKPKSKSTSQSHIKAIGKNLLKSTVTDPSSRRIRNDSTSRKLISRKILKKALEPKKLASSKPRGKHSSVIASEENGKKANRDVTIKNLNKRRNKRRRKEKVELDEPSRLQRRARYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLDSLSTVGCIEDSVIAPDGSVSHEHIFCAKCKSNEVFPDNDIVLCDGTCNCAFHQKCLDPPLDTESIPPGDQGWYCKFCECRMEIIEAMNAHLGTQFSVNAAWQDIFKEEANFSDGGSMLLNPEQEWPSDDSADDDYDPERRENSISGAGTDNDASDDASSATSLGWSSDGEVFSGSRKWEMESTDFRNQSIYSSLDSDESSDGEIMCGPRRRRAVDYKKLYDEMFGKDAPAHEQVSEDEDWGPGKRKRREKESDAASTLMTLYESEKKSKKFETIEVKRKLSRDSHVRRPFFRIPPSAVERLREVFAENELPSRTVKENLSKELGLDPGKVSKWFKNARYLALKSRKAGTELCNSSTKILREPRLDDMKEQTADLMELNNALMETSICKLQNSNQVFQRKDPKSLSSSLEKNEWERASIGSPSKSNKINVEYSDDMSLKNLLKSKTKRGKRRSNSMSATMSQVAEAEMERLCRAKIRLESMKQKLQGLQTGKSRKSNKNQLRQESVIFVPIAEIREKV >Manes.14G158300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21867457:21875193:-1 gene:Manes.14G158300.v8.1 transcript:Manes.14G158300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLDSLSTVGCIEDSVIAPDGSVSHEHIFCAKCKSNEVFPDNDIVLCDGTCNCAFHQKCLDPPLDTESIPPGDQGWYCKFCECRMEIIEAMNAHLGTQFSVNAAWQDIFKEEANFSDGGSMLLNPEQEWPSDDSADDDYDPERRENSISGAGTDNDASDDASSATSLGWSSDGEVFSGSRKWEMESTDFRNQSIYSSLDSDESSDGEIMCGPRRRRAVDYKKLYDEMFGKDAPAHEQVSEDEDWGPGKRKRREKESDAASTLMTLYESEKKSKKFETIEVKRKLSRDSHVRRPFFRIPPSAVERLREVFAENELPSRTVKENLSKELGLDPGKVSKWFKNARYLALKSRKAGTELCNSSTKILREPRLDDMKEQTADLMELNNALMETSICKLQNSNQVFQRKDPKSLSSSLEKNEWERASIGSPSKSNKINVEYSDDMSLKNLLKSKTKRGKRRSNSMSATMSQVAEAEMERLCRAKIRLESMKQKLQGLQTGKSRKSNKNQLRQESVIFVPIAEIREKV >Manes.14G158300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21867457:21875217:-1 gene:Manes.14G158300.v8.1 transcript:Manes.14G158300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAKKQILKCKLGIRDAIHQLDSLSTVGCIEDSVIAPDGSVSHEHIFCAKCKSNEVFPDNDIVLCDGTCNCAFHQKCLDPPLDTESIPPGDQGWYCKFCECRMEIIEAMNAHLGTQFSVNAAWQDIFKEEANFSDGGSMLLNPEQEWPSDDSADDDYDPERRENSISGAGTDNDASDDASSATSLGWSSDGEVFSGSRKWEMESTDFRNQSIYSSLDSDESSDGEIMCGPRRRRAVDYKKLYDEMFGKDAPAHEQVSEDEDWGPGKRKRREKESDAASTLMTLYESEKKSKKFETIEVKRKLSRDSHVRRPFFRIPPSAVERLREVFAENELPSRTVKENLSKELGLDPGKVSKWFKNARYLALKSRKAGTELCNSSTKILREPRLDDMKEQTADLMELNNALMETSICKLQNSNQVFQRKDPKSLSSSLEKNEWERASIGSPSKSNKINVEYSDDMSLKNLLKSKTKRGKRRSNSMSATMSQVAEAEMERLCRAKIRLESMKQKLQGLQTGKSRKSNKNQLRQESVIFVPIAEIREKV >Manes.08G124000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36428018:36434480:-1 gene:Manes.08G124000.v8.1 transcript:Manes.08G124000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSVFGSILTIGAMVGAMMSGRIADYTGRRGTMGFSEMFCIIGWLAIAFSKASWLLDVGRLLLGYGMGLLSYVVPIYVAEITPKNLRGAFTTAHQLMICCGASMTFLIGAFVSWRILAIIGTIPCLLQLLGLFVIPESPRWLAKIGRWKECEAALQRLRGENADISDEAAEIKDYTETLQQHSEAGIFELFQWKYAHSLVVGVGLMVLQQFGGVNGIAFYASSIFVSAGFSGTVGTIAMVVVQVPLTALGVVLMDISGRRPLMMISAAGTCLGCFLVALSFLSQGLHKWMEFSPFLALVGVLIYIGSFALGIGGIPWVIMSEIFPINMKGSAGSLVTVVNWLGSWIVSYTFNFIMDWSSAGTFFIFSFICGITVLFVWKLVPETKGRTLEEIQASMNPFTAE >Manes.08G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36428018:36434480:-1 gene:Manes.08G124000.v8.1 transcript:Manes.08G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGIEEGDVSSSLLVKDRSQIRGRDDASVGGDGQSKGSPATAVVVISTLVAVSGSYVFGSAVGYSSPTQSGIMDDLGLSLAEYSVFGSILTIGAMVGAMMSGRIADYTGRRGTMGFSEMFCIIGWLAIAFSKASWLLDVGRLLLGYGMGLLSYVVPIYVAEITPKNLRGAFTTAHQLMICCGASMTFLIGAFVSWRILAIIGTIPCLLQLLGLFVIPESPRWLAKIGRWKECEAALQRLRGENADISDEAAEIKDYTETLQQHSEAGIFELFQWKYAHSLVVGVGLMVLQQFGGVNGIAFYASSIFVSAGFSGTVGTIAMVVVQVPLTALGVVLMDISGRRPLMMISAAGTCLGCFLVALSFLSQGLHKWMEFSPFLALVGVLIYIGSFALGIGGIPWVIMSEIFPINMKGSAGSLVTVVNWLGSWIVSYTFNFIMDWSSAGTFFIFSFICGITVLFVWKLVPETKGRTLEEIQASMNPFTAE >Manes.10G101066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24940923:24941348:-1 gene:Manes.10G101066.v8.1 transcript:Manes.10G101066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNCFILAFFVALSFSSMYVSLAARNLLQLPPLPSVPNFPKPALPPMPTIPTLPQPTLPTTQPSLPSPSLPPLPSFPSVPKLTLPPLPSMPSIPTIPSTIPSIPFLSPPPGN >Manes.01G179800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35894869:35901476:1 gene:Manes.01G179800.v8.1 transcript:Manes.01G179800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNERLANFALAGLTLAPLLVKVDPNLNVVLTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFALGIIALSATLLPAIKRYLPNHWNDDLITWHFPFFHSLEIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFSIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTRDAARPFSMLGLGDIVIPGIFVALALRFDVSRGKGSQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPSVIGFLAAHVIWNSEVKQLMEFDESKSAASSKDSSETKAGKKVE >Manes.02G030100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2460596:2468608:1 gene:Manes.02G030100.v8.1 transcript:Manes.02G030100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSCSINKTIERYQKKAKDLGISIKAIQENMQNMMKEDPLSLAKKIELLEVSKRRLLGDGLEPCCIDELQQLENQLERSLTRIRTRKNQLFREHIEKLKEEEKDLMEENTRLRFKCGLQPMDLSTTPERQQTADRDRESVEVETALFIGLPETRNTQKA >Manes.02G030100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2460193:2468854:1 gene:Manes.02G030100.v8.1 transcript:Manes.02G030100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSCSINKTIERYQKKAKDLGISIKAIQENMQNMMKEDPLSLAKKIELLEVSKRRLLGDGLEPCCIDELQQLENQLERSLTRIRTRKNQLFREHIEKLKEEEKDLMEENTRLRFKCGLQPMDLSTTPERQQTADRDRESVEVETALFIGLPETRNTQKA >Manes.02G030100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2460193:2468854:1 gene:Manes.02G030100.v8.1 transcript:Manes.02G030100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFSSCSINKTIERYQKKAKDLGISIKAIQENMQNMMKEDPLSLAKKIELLEVSKRRLLGDGLEPCCIDELQQLENQLERSLTRIRTRKNQLFREHIEKLKEEEKDLMEENTRLRFKCGLQPMDLSTTPERQQTADRDRESVEVETALFIGLPETRNTQKA >Manes.05G071900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5936672:5940407:-1 gene:Manes.05G071900.v8.1 transcript:Manes.05G071900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGSYAGITRPSFFLVHPDLPIFIYHKPQLQPVPALGVHTENMKGIGLITKFRCSVAERTYTFSSADSSVSKTKNVRLGQKQGGSSSLYTHPSLLEMKNKKIANRARVYEFLRSIGIVPDELDGLELPVTVEVMRERVDFLHQLGITIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSSFTEFLRRYPQVLHASVVVDLAPVVKYLQGLDIKQNDIPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGILTRYPEILGMRVGRVIKPFVEYLESLGIPRLAVARLIEKRPYILGFGLEDLVKPNVESLLEFNVRKTSLPSVVAQYPEIVGIDLKAKLHSQMSLLHAVIELGPEDFARVVEKMPQVVSLSRIPILKHVDFLKDCGFSLQQVREMVVGCPHVLALNIDVMKLSFDYFQMEMERPLNDLVTFPAFFTYGLESTIKPRHKRTAKKGLKCSLSWLLNCSDEKFEERMDYETIDMEEMEMPSFDMNTLMEPRREESDSDYEDSEDERV >Manes.05G071900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5935728:5940407:-1 gene:Manes.05G071900.v8.1 transcript:Manes.05G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGSYAGITRPSFFLVHPDLPIFIYHKPQLQPVPALGVHTENMKGIGLITKFRCSVAERTYTFSSADSSVSKTKNVRLGQKQGGSSSLYTHPSLLEMKNKKIANRARVYEFLRSIGIVPDELDGLELPVTVEVMRERVDFLHQLGITIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSSFTEFLRRYPQVLHASVVVDLAPVVKYLQGLDIKQNDIPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGILTRYPEILGMRVGRVIKPFVEYLESLGIPRLAVARLIEKRPYILGFGLEDLVKPNVESLLEFNVRKTSLPSVVAQYPEIVGIDLKAKLHSQMSLLHAVIELGPEDFARVVEKMPQVVSLSRIPILKHVDFLKDCGFSLQQVREMVVGCPHVLALNIDVMKLSFDYFQMEMERPLNDLVTFPAFFTYGLESTIKPRHKRTAKKGLKCSLSWLLNCSDEKFEERMDYETIDMEEMEMPSFDMNTLMEPRREESDSDYEDSEDERV >Manes.01G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27204059:27208178:-1 gene:Manes.01G071100.v8.1 transcript:Manes.01G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSDEDRLVPELRMSLEAHIDDEGDSEGDFVKLRDCEVEECGVIAATEGSSFCLWRWRGSVVWYWVKLALLFTCLGLLAAVFLKWVGPFFMDKELIPIIHWETTTFSTPVLALLVFSSVALFPTLLLPSSPSMWVAGMTFGYGFGFLLIISAAAVGVSLPYFIGSLFLHKIEGWLEKYPKKAAILRAAGEGNWFHQFRAVTLIRISPFPYILYNYCAVATNVKYGPYILGSLVGVVPEIFVAIYTGILIQTLADASDDGHSLSAQQIVFNVIGFCVTVITTIVFTVYAKSKLKVLQDEPLLS >Manes.15G189600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30320887:30332194:-1 gene:Manes.15G189600.v8.1 transcript:Manes.15G189600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMGRWKKLNHIPLVFSPPYRSVHRFSTVYTHLNYLNVGCNRRRDPFVGFQERYKWDNGFGSAGGGGNSNGGDSDIRKIRAETNCPRCYKHMDLLFSNRHFASPSLDLNPIHHYSNRGGPNNSSSDHNNDKNDSSSSSSSFQAVNFCFSCKTAYYFRPYKNAPLQGSFIEIGRVSNNNSKPKNRNSSTKQSNSATENYTNAISKRLRASFWDTFRTYAGDPPGNCPPPGGNVLAVHTPPASPFAPGVNVIRASGPGGGAGSNGGGNGGAAGGGERSGGWGGSNLGKDLPTPKEIRKGLDKFVIGQNRAKKVLSVAVYNHYKRIYHASLQKGLVAESGISDARDDDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATALTQAGYVGEDVESILYKLLTAAGFNVQAAQQGIVYIDEVDKITKKAESLHISRDVSGEGVQQALLKMLEGNIVNVPEKGARKHPRGDNIQIDTKDILFIVGGAFVDLDKTVSERRQDSSIGFGAPIRANMRASGITNAAVTSSLLESAESTDLVAYGLIPEFISRFPILVSLSALTEDQLVQVLTEPKNALGKQYKKLFSMNNVKLHFTEKALRLIAQKALAKNTGARGLRAILESILTEAMYEIPDLETGRDRVDAVAVDEESVGSVKAPGCGGKILRGDGALERYLAEYKLKESGENDKTADAELQGESEVSSRAMSM >Manes.02G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2278613:2291397:-1 gene:Manes.02G026900.v8.1 transcript:Manes.02G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTEESKLQRKIKRRGFWRWVIASVMFRLLLVYFPRNLNLSSRPEVSTPLTGLCRSMYHGSPLLLSVLGPLTVKRIEGQPDHVLCSLLFVIADIMSAMLIRGISWKLHMAYRQSLKALNIINLPESSDALASGDIAALMCLWNPFTTVACVGLSTSPIENLFIILTLYGACACNDLSPAFCDDGKYECFAICMISYKAFCFFASAIDMPVGICCRLVPLAAFGWVMATHLSFYLAILIISLILLLGYGLDAPPQKLFLQKGCYKIRDNSSSDVHCKQEKMINQLKPHIIFFMENKLMVEDMSPNIGVLWYFFAEVFNFFRNFFLIIFHINILFMILPLAIRLNHRPCFLAFSCIAILSMLKPYPSVGDSALYLGLLVLFLDELTGKLVDMQFSFFLFCGYVGVSLLSPVMHNLWIWRGTGNANFYFATAIAYACLQIILVVENVSTMLSHDRKLRKLSVANIQDGKS >Manes.10G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10473160:10486936:1 gene:Manes.10G068400.v8.1 transcript:Manes.10G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIGMKNLQISPSDSHPGKDQQAAGVGILLQIMMLVLSFILGHVLRRYKFYYLPEASASLLIGLIVGGLANISNTETSIRAWFNFHEEFFILFLLPPIIFESGFSLAPKPFFSNFGAIVTFAILGTFIASMVTGVLVYLGGLTYLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMTLVKSRASSGSNFFMLILRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTYSNLSENSQRFVSAFFHLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSILFIGVARAANVFSCAYLVNLVRPSHHKIPMNHQKALWYSGLRGAMAFALALQSIHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDGPIGESFEGNHGYLVASCDEDDDTSGNRLKMKLKEFHRSAGSFSALDRNYLTPFFTSHNGDEDHDDDATPSSRIGGFHGHL >Manes.10G068400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10473160:10486936:1 gene:Manes.10G068400.v8.1 transcript:Manes.10G068400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIGMKNLQISPSDSHPGKDQQAAGVGILLQIMMLVLSFILGHVLRRYKFYYLPEASASLLIGLIVGGLANISNTETSIRAWFNFHEEFFILFLLPPIIFESGFSLAPKPFFSNFGAIVTFAILGTFIASMVTGVLVYLGGLTYLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMTLVKSRASSGSNFFMLILRFLETFVGSMSAGVGVGFTSALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGIVMKHYTYSNLSENSQRFVSAFFHLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSILFIGVARAANVFSCAYLVNLVRPSHHKIPMNHQKALWYSGLRGAMAFALALQSIHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDGPIGESFEGNHGYLVASCDEDDDTSGNRLKMKLKEFHRSAGSFSALDRNYLTPFFTSHNGDEDHGKSRTW >Manes.12G098600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:24495280:24497396:-1 gene:Manes.12G098600.v8.1 transcript:Manes.12G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVNLGSWVHTSSVLNQATRSRSRSKSFSLPFNPLKSLAIPFVYRKSERPISSVSAIITKEEETLQEEQNNSTPSFDFKSYMLQKANSINQALEAAVSLREPAKIHESMRYSLLAGGKRVRPALCLAACELVGGNDSMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHIVFGEDVAVLAGDALLAFAFEHIAVSTLNVSPARIVRAVGELAKAIGAEGLVAGQVVDISSEGLSEVGLEKLEFIHVHKTAKLLEGAVVLGAILGGGTDEEVEKLRKYARGIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKVTYPKLLGIEKSKEFAEKLNKEAQEQLAEFDPEKAAPLIALANYIAYRQN >Manes.12G049200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4626765:4630656:1 gene:Manes.12G049200.v8.1 transcript:Manes.12G049200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDEGHFNVELEDGVKLDGLQLGIEAEPETLAIENAVAVLLQGLGEDINREGLKKTPLRVAKALLHGTKGYKQKAKDIVHSALFPEAGLDNTVGQAGGAGGIVIVRDLDLFSYCESCLLPFQVKCHVGYVPSGQRVVGLSKLSRVADVFARRLQDPQRMADEICSALHQGIKPAGVAIILQCLHIHFPNLESLLLDSNHQGWLQALVSSGSGAFENKNADIWCDFLSLLKFRGISVDKIQKKESMEQCWCPQSSLGAIISSKIGPPIPGMVTAVTSILRSLGEDPFRKELVGTPSRFVKWLMNFQNTKLEMKLNGFGFGRIDTPKANGDISHNKEQIHSVLNLPFWSQCEHHLLPFYGVVHIGYFCAEGFSPIGKSFLKSIVNFYGFKLQVQERLTRQIAETIASILGGDVIVVVEASHTCMISRGIEKFGSNTATIAVLGRFSTDPAARAIFLQSIPNTNTSGGFDAGNSLQKF >Manes.12G049200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4626947:4631100:1 gene:Manes.12G049200.v8.1 transcript:Manes.12G049200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDEGHFNVELEDGVKLDGLQLGIEAEPETLAIENAVAVLLQGLGEDINREGLKKTPLRVAKALLHGTKGYKQKAKDIVHSALFPEAGLDNTVGQAGGAGGIVIVRDLDLFSYCESCLLPFQVKCHVGYVPSGQRVVGLSKLSRVADVFARRLQDPQRMADEICSALHQGIKPAGVAIILQCLHIHFPNLESLLLDSNHQGWLQALVSSGSGAFENKNADIWCDFLSLLKFRGISVDKIQKKESMEQCWCPQSSLGAIISSKIGPPIPGMVTAVTSILRSLGEDPFRKELVGTPSRFVKWLMNFQNTKLEMKLNGFGFGRIDTPKANGDISHNKEQIHSVLNLPFWSQCEHHLLPFYGVVHIGYFCAEGFSPIGKSFLKSIVNFYGFKLQVQERLTRQIAETIASILGGDVIVVVEASHTCMISRGIEKFGSNTATIAVLGRFSTDPAARAIFLQSIPNTNTSGGFDAGNSLQKF >Manes.06G068000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18808362:18827239:-1 gene:Manes.06G068000.v8.1 transcript:Manes.06G068000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGCSTVIVRKTNLTPKAIIYQKFGTKACYKLEEVQESTQNGCPGLAIPQKGPSLYRCSLELPELFVVSDTFKKKKDAEQCAAEMALKKLGINPAGDNPTELEPWDALIERMTYLFSNEFLQSLHPLSGHLRATLQRDGELCGSIPASVVAVCDAKLSNLCKLLNPKVELNPFLALSFVMRTAARLSGIVVTSKGKLSIQKLNPYPSEIRKLSDIQKSDSPHNIMVEAVHIPVSVDRTVQPVSLNILSDKYYLDAMAQKLGVTDANKVVLSRTIGKASSETRLYFVAPESSMLDVSAELVNLEGHLNVRASYLCSQSIYGDAIMASVGYTWRSKELFHEDISLQSYYRMLISKMPSGSYKLSREAILAAELPSVYTTKSNWRGSLPREILCSFCRQHRLSEPVFSSVSLPFKDSSLSRSHNEVSDADGVELETEYANGRAISTGDQELVESASMFRCKVKIFSKCQDLIIECLPMQIYKKANDAIHNASLKLLSWLNEYFKDLSMPVEQLSYLAHPLNIQFYPENFFKEFLLCPSVHNLQHRVTGRKLPEIRTVDLPCTSSGQDVCSLKIEGLDSGVFPSNGSLLCISYSIFLVTEGKHVKELVERNDEFEFELGTGAVISPLESVLSQMSVGQSAFFSMDLPSCEYILAAADDSGRITSLLTSQTCCLDYAVTFLCVTEPPEERMEQALFSPPLSKQRLEYAVQHIKKSCATTLVDFGCGSGSLLDSLLDYPTSLEKIVGIDISQKSLSRAAKILHSKLSMKSDTGIKSAVIYDGSITDFDSRLCGFDIGTCLEVIEHMEEDQACMFGDVALNYFRPKILIVSTPNYEYNVILQRSSLTNPEEDPDEKTESQSCRFRNHDHKFEWTREQFNNWATELAKKHNYSVDFSGVGGSADVEPGFASQIAVFMRKSLLQEDGILKKENWAENCKVVWEWKSVDSSDSSL >Manes.16G059900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22958928:22962494:-1 gene:Manes.16G059900.v8.1 transcript:Manes.16G059900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKEREKERTDKKMFVGVVMNCAAELKLLLIALLVLCSIATLLQFLPSRFSISTSDLRFCISRITTTTTTTTTTTTSSIGHPETPLNSSLATAPNPSPLSVQQGEEVLENGVLKRIFNPYGAAAYNFITMGAYRGGLNTFAIIGLSSKPLHLYSNPTYQCEWVPQPSSSDNSSAVPITSTAYKILPDWGYGRVYTVVVVNCTFSQPINANNSGGKLFLLASTSGGGDKNFNITDRFEVLHEQAGNLNLTLFTSKPKYEYLYCGSSLYGGLSPQRVREWIAFHVRLFGQRSHFVIHDAGGVHEEVMEVLKPWMDLGYVTLQDIREQERFDGYYHNQFMVVNDCLHRYRFMTKWMFFFDVDEYIYVPPKNTIKSVLDSLSDYTQFTIEQMPMSSKLCLAADYGRYYRKWGFEKLVYRDIKRGIRRDRKYAIQPKNVFATGVHMSQNLAGKSTHKTESRIKYFHYHGTIAQRREPCRKLLNVTEINFENNPYVLDTTMRDIAWSVKKFEQKMIGSRLQNTRQ >Manes.17G112600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31937465:31943234:1 gene:Manes.17G112600.v8.1 transcript:Manes.17G112600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFQGIRSRELTGLQVRKRPYIGDKASDFAVCGALEVEHNGEETPPLAISFAKSSKNSHVLAVSDEDGYLSLFDTRKNFPPYASQQEYSDKSRISDWEAHQNAIFDICWIKEDTNLLTASGDQTIKVWDAQKQKCTGVLMGHTGSIKSIYCHPTNSDLLVSGSRDGSFAMWDLRCKNASKNRRTEACITPTTMIRRAHLSPQSKRVRRKKAASMSITSVLYLKDGISIATAGAVDSIVKFWDTRYLKAQVTQACPRPKSSTGKERRLHGTSSLSQDSNGVFLTASCMDNSIYLYNVLQLEKGPVRSFSGCRIESFYVKSEISPDAAQLLSGSSDGNAYIWQVNKPDTDPVTLKTHDGEVTAVNWCPLDGKIATASDDFTVRIWNIQSNYFSNSRSSSSIRRRIMALPTEECRKLLRNEEQMGLAKTPCSLHPSDEVCYEIDSPNSITMPSVFLLVATGGHFLTSYWIDVEMYRKKPEIFLVDFFVQSTYSTMSSWV >Manes.17G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31937465:31943234:1 gene:Manes.17G112600.v8.1 transcript:Manes.17G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFQGIRSRELTGLQVRKRPYIGDKASDFAVCGALEVEHNGEETPPLAISFAKSSKNSHVLAVSDEDGYLSLFDTRKNFPPYASQQEYSDKSRISDWEAHQNAIFDICWIKEDTNLLTASGDQTIKVWDAQKQKCTGVLMGHTGSIKSIYCHPTNSDLLVSGSRDGSFAMWDLRCKNASKNRRTEACITPTTMIRRAHLSPQSKRVRRKKAASMSITSVLYLKDGISIATAGAVDSIVKFWDTRYLKAQVTQACPRPKSSTGKERRLHGTSSLSQDSNGVFLTASCMDNSIYLYNVLQLEKGPVRSFSGCRIESFYVKSEISPDAAQLLSGSSDGNAYIWQVNKPDTDPVTLKTHDGEVTAVNWCPLDGKIATASDDFTVRIWNIQSNYFSNSRSSSSIRRRIMALPTEECRKLLRNEEQMGLAKTPCSLHPSDEVCYEIDSPNSITMPSVSTPESQKKRFSSDSDSKETFERTPEAATKSPSSVLNPPPSSLKRKTIRDYFLAA >Manes.17G112600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31937465:31943234:1 gene:Manes.17G112600.v8.1 transcript:Manes.17G112600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFQGIRSRELTGLQVRKRPYIGDKASDFAVCGALEVEHNGEETPPLAISFAKSSKNSHVLAVSDEDGYLSLFDTRKNFPPYASQQEYSDKSRISDWEAHQNAIFDICWIKEDTNLLTASGDQTIKVWDAQKQKCTGVLMGHTGSIKSIYCHPTNSDLLVSGSRDGSFAMWDLRCKNASKNRRTEACITPTTMIRRAHLSPQSKRVRRKKAASMSITSVLYLKDGISIATAGAVDSIVKFWDTRYLKAQVTQACPRPKSSTGKERRLHGTSSLSQDSNGVFLTASCMDNSIYLYNVLQLEKGPVRSFSGCRIESFYVKSEISPDAAQLLSGSSDGNAYIWQVNKPDTDPVTLKTHDGEVTAVNWCPLDGKIATASDDFTVRIWNIQSNYFSNSRSSSSIRRRIMALPTEECRKLLRNEEQMGLAKTPCSLHPSDEVCYEIDSPNSITMPSVSTPESQKKRFSSDSDSKETFERTPEAATKSPSSVLNPPPSSLKRKTIRDYFLAA >Manes.09G006500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1599441:1604379:-1 gene:Manes.09G006500.v8.1 transcript:Manes.09G006500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMATRAKLTRFLSNFVNNNSNKILNSTRSETCFSGFALHSTSATAADPDVVGARGVGLEILGLKDYEDYRRSLYGEITHKALLVDAVGTLVVPSQPMAQIYRKIGEKYGVEYSEDEILNRYRRAYEQPWGRSRLRYVNDGRPFWQFIVSSSTGCSDSQYFEELYNYYTTEKAWHLYDPDAENVFKAIRKAGVKLAVVSNFDTRLRPLLQALDCDHWFDAVAVSAEVAAEKPNPTIFLKACELLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVARRIGVNV >Manes.09G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1599441:1604536:-1 gene:Manes.09G006500.v8.1 transcript:Manes.09G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMATRAKLTRFLSNFVNNNSNKILNSTRSETCFSGFALHSTSATAADPDVVGARGVGLEILGLKDYEDYRRSLYGEITHKALLVDAVGTLVVPSQPMAQIYRKIGEKYGVEYSEDEILNRYRRAYEQPWGRSRLRYVNDGRPFWQFIVSSSTGCSDSQYFEELYNYYTTEKAWHLYDPDAENVFKAIRKAGVKLAVVSNFDTRLRPLLQALDCDHWFDAVAVSAEVAAEKPNPTIFLKACELLGVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVARRIGVNV >Manes.03G193100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31129905:31133257:1 gene:Manes.03G193100.v8.1 transcript:Manes.03G193100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWGLTSCWVLFFQCSYDEHGPEQTNKTTEKSSPIKVGHIDDVQELRKAKPTTIPERFVRDMTERPTLATTLPSMSEIPIINFSSFVNGNKDDYQTESLQLARACEQWGFFQVINHGIDLSLLESIEEVAKNFFMLPLEEKQQYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGIEPHCIRNPKLWPIKPPNFSETVEVYSREVKKLCQNLLKYMSKTLGLKSDTFEEIFGVAVQAIRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQGKGSSVGLQVLKDNKWVPVQPIPNALVINIGDTLEVLTNGKYKSVEHRAVTHKEKDRLSIVTFYAPSYEIELGPMPELVDENNPCKYRRYSHAEYNKHYVTNKLQVKKTVEFTKIKSNTSAE >Manes.03G193100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31129853:31134122:1 gene:Manes.03G193100.v8.1 transcript:Manes.03G193100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPSSPIKVGHIDDVQELRKAKPTTIPERFVRDMTERPTLATTLPSMSEIPIINFSSFVNGNKDDYQTESLQLARACEQWGFFQVINHGIDLSLLESIEEVAKNFFMLPLEEKQQYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGIEPHCIRNPKLWPIKPPNFSETVEVYSREVKKLCQNLLKYMSKTLGLKSDTFEEIFGVAVQAIRMNYYPPCSRPDLVLGLSPHSDGSALTVLQQGKGSSVGLQVLKDNKWVPVQPIPNALVINIGDTLEVLTNGKYKSVEHRAVTHKEKDRLSIVTFYAPSYEIELGPMPELVDENNPCKYRRYSHAEYNKHYVTNKLQVKKTVEFTKIKSNTSAE >Manes.03G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2966234:2969864:-1 gene:Manes.03G035300.v8.1 transcript:Manes.03G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEKDNLSMADLKSALNEEDRAGLVDALKSKLHSLAGQHTDVLENLSSKVRQRVENLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYAKRYEIVNGTVEADGAANEAAVDQEEDKAAEEKGVPDFWLIAMKNNDVLAEEITERDEGALKYLKDIKWCRVEEPKGFKLEFFFDPNPYFKNTVLTKTYHMIDEDEPILEKAIGTDIEWLPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFSPPQVPEEDEDIDEDTAEELQNQMEQDYDVGSTIRDKIIPHAVSWFTGEAIPGDEFGIDDDDDDDDDDDIDEDEDDEDEEEDDEDESNTKKSSAGQKLGRTQAGDGQQGERPPECKQQ >Manes.07G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32962061:32965936:-1 gene:Manes.07G127100.v8.1 transcript:Manes.07G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIIDLITLWPSTHQVHVVLLFFATFLCLHTPANCRLGNETDRLAFLEFKSKIASDPHDVLSSWNDSVNFCQWQGISCGRKHQRATSLDLYGLSLSGTISPYIGNLTFLRFLNLRNNSFHGVIPQEVGRLSRLRHLNLTDNRLEDEIPVNISYCSELRILTLARNNLVGKLHDELGSLKKLERLDIKENNLTGKIPHSFGNLSCLKHLSLGENNLVGNLPDKLGQLTGLVFFYVGLNNLTGIIPIALYNISSITGFSVSNNQLKGSLPTNVGLTLPNLQFISVGGNRFYGNIPVSLHNSSQLETIDFSANKFMGQVPNHLGHLKNLRMLNLEINLLGSNTSQDLSFITSLSNCSNLEILSFARNNFGGLLPVSIANLSTKLSLLAMGYNQISGRLPLEVGNLVNLYALGMEENLFSGSIPFSFGKLRKLQILYLDRNLLSGEIPSSLGNISNLIELYLYQNRLEGNITSSLANCQSLKALDIADNLLAGFIPQEILRLSSLSLFLNLSYNTLTGPLPLEVHKLININSLDVSHNKLFGEIPERIGDCLMLALLNMQDNFLQGAIPSTFASLRGLIHLDLSRNNLSGNIPKELEKLPFLEYLNLSFNNFEGKVPTKGVFSKINAFSLIGNNNLCGGIPELQLPACPIKRKKNKKSPAAIILAIVISSIVLFMAMAFIWIFYWRKSRKHISFNPIVGKLLRISYNELFRATQGFSSDSLIGQGRFGSVYKGSIDLQGEKTVAVKVLNLQQHGASKSFIAECKALRNIRHRNIVKILTYCSSIDFRGNDFRALVLDFMVNGSLEMWLHPEEDNNNQPRKLNLLQRLRIAIDVSSAVHYLHDHCEPQIIHCDLKPNNILLDNELTAHVGDFGLSRFLPNTTSDSSQGQTSSTGIKGTIGYIPPEYGIGSDGATTSGDVYSFGIILLEIFTGRTPTDELFTDGLSLHSFVRSKLPGQVLQVLDPMLIASGEVGAAEIVEDSERDDDHTEIQETRINVENLRQERDNVQKCIVSVLEIGLACSTELPGDRMNMSDVSRKLNIITEAFLRSRTS >Manes.04G048209.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5595859:5598128:1 gene:Manes.04G048209.v8.1 transcript:Manes.04G048209.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPIGVMKKLQALWHILELTDREIFAHLSRIGAESLHFAFRMLMVLFRQELSFHEALQLWEMMWAADFDESFTCDFEENYLEALVLHLPRDSGGEMKEIAEIIMMVQMMFHRLSMEEHSLSENTAMKSASAYPFCGLTRNFWLRSDRMQISIAVSSTKNGDDDSMSYPSSVLQPFSS >Manes.17G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27530875:27532191:-1 gene:Manes.17G074700.v8.1 transcript:Manes.17G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILVIGATGYIGKFIVDASAKLGHPTFAFVRESTASSNPEKSKLIQSFKSSGVTLIYGDIHDHESLVKAIKQVDVVISTVAGLQLPDQVKIIAAIKEAGNVKRFLPSEFGTDVDRVHPVEPAASNFGLKAKIRRAIEAEEIPYTYVVSNGFAGYFLPSLGQPNAQVPPRDKVVILGDGNTKAIIVAEEDVATYTIKAVDDPRTLNKILYMRPSANILSFNEIVALWEKKIAKTLEKIYVPESQLLKNIEDASPPMNLILAVCHSALVKGDATNFEIEASFGVEASQLYPEVKYITVDQFLDKLA >Manes.15G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7285343:7285914:-1 gene:Manes.15G093200.v8.1 transcript:Manes.15G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLTFTFHIASALCFSSLVNFALAGGLHVEGKVYCDPCRVEFETKISEMISGATVKLECHDRDNNSLTFSAEGVTNDKGVYRLPVDEGDYEQDICEVKLIKSGMADCNEPFKTTDRARVLLTKNVGVVQSTRYANPLGFMKKEVNPECGEVLKSMGFVPLNVVV >Manes.15G018400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1563560:1565265:1 gene:Manes.15G018400.v8.1 transcript:Manes.15G018400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSFSLAFFFLITIALAYAIGVCYGGELRKDFYKDTCPEAEDIVKSIIWKRVASNSTLPAKFLRMHFHDCFVRGCDASVLLDSTTNNTAEKAAIPNLSLGGFEVIDEVKAQLEKKCPGVVSCADIVALAARDSVSFQFKKPIWEVLTGRRDGSISRASEALSNIPSPFFNFTLLKQSFANKSLTVHDLVVLSGGHTIGVGHCNFFSNRLYNFTGKGDADPSLNSTYASFLKTQCRSLADNTTIVPMDPSTPLSFDNNYYKILKLKEGLFQSDAALLTDKGSRNIVDELLDSGKFFTEFSQSMKRMGSIQVLTGTAGEIRKNCRVVNS >Manes.10G113600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27652148:27667744:1 gene:Manes.10G113600.v8.1 transcript:Manes.10G113600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKVRHPNLVRLIGYCCEGYLKFLVLEFIPNKSLGFHLSDTESRSNLKWSTRMKIAIESAKGLEYLHEHYPHSQIKANDIFLDENFTPKIVDFWHAEFFPKTDPRRISADRKEASIYTDLKISDSQSIFDRSEIYSFGVVLLELITGRRNKDIVNWARPLIIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILEALEGLIPHQQLWAVEEHKEITEVSNQLWSKATPSQGTGPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVSRIKNSNVSTIGVYGGEGIGKTTLSEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLQIGRQLPLSGKKRINKYTLMSFLESVKFILILDGVNGFISLNIVGIPEPTPENGSKIVLTARSAEVCDRMSADLKINLEDLFRELFCENVGEIVYSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWKNAVDMLSRQPASPEQEIENIMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDSSLLLEHVFPSRLFLRRKGSTLTELLMDENWENSDEIYLMDNELTELSEKLSSQAQALFLQRNLKLRKISDTFFQDMLALQILNLSVTSIKFLPDSLFGLVNLKRLSLNRCVLLKLLPSRVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVIFDHPKKMIPDGVIKKLSKLKKLRIDVSPEDGRWKASVVSIVLEVCTLTTLDTLQFYFPNVKLLSQINWDTTPTSPPLSHFKFIVGDHTNRIICRLPHDVDVELGRYDKCLKYVNGEGAPEEIKKVLRHTSAFFLDRNMSVEKLSEFEISNMMQLKCCVAGECDKLQTIIEGDQMVTSASGEVELGLESLEYLYIYYAKSLRSICEGRLDNSSFKKLKYLTLHMCPELTIIFMPELLVNLSSLEELIVDDCSEVKSLVHCKDNEHEIKHILPALKKISFHFLPELDSISDVVSIAPRIEWMDFYYCPNLKSLPISKAIHTKLRQIKGEESWWQSLEWQNNEQDSNWEDIFTPVDEWD >Manes.10G113600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27652148:27667744:1 gene:Manes.10G113600.v8.1 transcript:Manes.10G113600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAIESAKGLEYLHEHYPHSQIKANDIFLDENFTPKIVDFWHAEFFPKTDPRRISADRKEASIYTDLKISDSQSIFDRSEIYSFGVVLLELITGRRNKDIVNWARPLIIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILEALEGLIPHQQLWAVEEHKEITEVSNQLWSKATPSQGTGPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVSRIKNSNVSTIGVYGGEGIGKTTLSEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLQIGRQLPLSGKKRINKYTLMSFLESVKFILILDGVNGFISLNIVGIPEPTPENGSKIVLTARSAEVCDRMSADLKINLEDLFRELFCENVGEIVYSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWKNAVDMLSRQPASPEQEIENIMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDSSLLLEHVFPSRLFLRRKGSTLTELLMDENWENSDEIYLMDNELTELSEKLSSQAQALFLQRNLKLRKISDTFFQDMLALQILNLSVTSIKFLPDSLFGLVNLKRLSLNRCVLLKLLPSRVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVIFDHPKKMIPDGVIKKLSKLKKLRIDVSPEDGRWKASVVSIVLEVCTLTTLDTLQFYFPNVKLLSQINWDTTPTSPPLSHFKFIVGDHTNRIICRLPHDVDVELGRYDKCLKYVNGEGAPEEIKKVLRHTSAFFLDRNMSVEKLSEFEISNMMQLKCCVAGECDKLQTIIEGDQMVTSASGEVELGLESLEYLYIYYAKSLRSICEGRLDNSSFKKLKYLTLHMCPELTIIFMPELLVNLSSLEELIVDDCSEVKSLVHCKDNEHEIKHILPALKKISFHFLPELDSISDVVSIAPRIEWMDFYYCPNLKSLPISKAIHTKLRQIKGEESWWQSLEWQNNEQDSNWEDIFTPVDEWD >Manes.10G113600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27635773:27667744:1 gene:Manes.10G113600.v8.1 transcript:Manes.10G113600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAEDKMASTESNSPSAPGEKQSVSPSQHTDLTESTSSENFQADTLRKFSYSELAEATNSFSNSVCLGGGTFGIVYRGCLPIGDFAVKKLYYTGDGQHIEEFENRINVIGMARHRHLVSLIGYCCEEYDRLLVLEFVPNRSLRFHLRDAERRSKLKWSKRMKIAIGSAKGLKYLHEYCEPKIIHGGIKSDNILLDRNFEPKVADFGLAILLEGYDAARIYVDSNISDLEKISELDIYSFGVTLLELITGRKIYEDEYIVKWARPLIIKRGSINVDYNSLVDSTLKGEYDQSEMERIIYCIAASVYRPWKLRPRMRQILKALEGVISHKELWIEYEHKEITEVSNQLWSKATPSQGTGPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVSRIKNSNVSTIGVYGGEGIGKTTLSEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLQIGRQLPLSGKKRINKYTLMSFLESVKFILILDGVNGFISLNIVGIPEPTPENGSKIVLTARSAEVCDRMSADLKINLEDLFRELFCENVGEIVYSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWKNAVDMLSRQPASPEQEIENIMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDSSLLLEHVFPSRLFLRRKGSTLTELLMDENWENSDEIYLMDNELTELSEKLSSQAQALFLQRNLKLRKISDTFFQDMLALQILNLSVTSIKFLPDSLFGLVNLKRLSLNRCVLLKLLPSRVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVIFDHPKKMIPDGVIKKLSKLKKLRIDVSPEDGRWKASVVSIVLEVCTLTTLDTLQFYFPNVKLLSQINWDTTPTSPPLSHFKFIVGDHTNRIICRLPHDVDVELGRYDKCLKYVNGEGAPEEIKKVLRHTSAFFLDRNMSVEKLSEFEISNMMQLKCCVAGECDKLQTIIEGDQMVTSASGEVELGLESLEYLYIYYAKSLRSICEGRLDNSSFKKLKYLTLHMCPELTIIFMPELLVNLSSLEELIVDDCSEVKSLVHCKDNEHEIKHILPALKKISFHFLPELDSISDVVSIAPRIEWMDFYYCPNLKSLPISKAIHTKLRQIKGEESWWQSLEWQNNEQDSNWEDIFTPVDEWD >Manes.10G113600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27647233:27667744:1 gene:Manes.10G113600.v8.1 transcript:Manes.10G113600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILEALEGLIPHQQLWAVEEHKEITEVSNQLWSKATPSQGTGPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVSRIKNSNVSTIGVYGGEGIGKTTLSEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLQIGRQLPLSGKKRINKYTLMSFLESVKFILILDGVNGFISLNIVGIPEPTPENGSKIVLTARSAEVCDRMSADLKINLEDLFRELFCENVGEIVYSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWKNAVDMLSRQPASPEQEIENIMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDSSLLLEHVFPSRLFLRRKGSTLTELLMDENWENSDEIYLMDNELTELSEKLSSQAQALFLQRNLKLRKISDTFFQDMLALQILNLSVTSIKFLPDSLFGLVNLKRLSLNRCVLLKLLPSRVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVIFDHPKKMIPDGVIKKLSKLKKLRIDVSPEDGRWKASVVSIVLEVCTLTTLDTLQFYFPNVKLLSQINWDTTPTSPPLSHFKFIVGDHTNRIICRLPHDVDVELGRYDKCLKYVNGEGAPEEIKKVLRHTSAFFLDRNMSVEKLSEFEISNMMQLKCCVAGECDKLQTIIEGDQMVTSASGEVELGLESLEYLYIYYAKSLRSICEGRLDNSSFKKLKYLTLHMCPELTIIFMPELLVNLSSLEELIVDDCSEVKSLVHCKDNEHEIKHILPALKKISFHFLPELDSISDVVSIAPRIEWMDFYYCPNLKSLPISKAIHTKLRQIKGEESWWQSLEWQNNEQDSNWEDIFTPVDEWD >Manes.10G113600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27664326:27667744:1 gene:Manes.10G113600.v8.1 transcript:Manes.10G113600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQEMPAESNYSTEHKEITEVSNQLWSKATPSQGTGPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVSRIKNSNVSTIGVYGGEGIGKTTLSEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLQIGRQLPLSGKKRINKYTLMSFLESVKFILILDGVNGFISLNIVGIPEPTPENGSKIVLTARSAEVCDRMSADLKINLEDLFRELFCENVGEIVYSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWKNAVDMLSRQPASPEQEIENIMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDSSLLLEHVFPSRLFLRRKGSTLTELLMDENWENSDEIYLMDNELTELSEKLSSQAQALFLQRNLKLRKISDTFFQDMLALQILNLSVTSIKFLPDSLFGLVNLKRLSLNRCVLLKLLPSRVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVIFDHPKKMIPDGVIKKLSKLKKLRIDVSPEDGRWKASVVSIVLEVCTLTTLDTLQFYFPNVKLLSQINWDTTPTSPPLSHFKFIVGDHTNRIICRLPHDVDVELGRYDKCLKYVNGEGAPEEIKKVLRHTSAFFLDRNMSVEKLSEFEISNMMQLKCCVAGECDKLQTIIEGDQMVTSASGEVELGLESLEYLYIYYAKSLRSICEGRLDNSSFKKLKYLTLHMCPELTIIFMPELLVNLSSLEELIVDDCSEVKSLVHCKDNEHEIKHILPALKKISFHFLPELDSISDVVSIAPRIEWMDFYYCPNLKSLPISKAIHTKLRQIKGEESWWQSLEWQNNEQDSNWEDIFTPVDEWD >Manes.10G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27635774:27667744:1 gene:Manes.10G113600.v8.1 transcript:Manes.10G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAEDKMASTESNSPSAPGEKQSVSPSQHTDLTESTSSENFQADTLRKFSYSELAEATNSFSNSVCLGGGTFGIVYRGCLPIGDFAVKKLYYTGDGQHIEEFENRINVIGMARHRHLVSLIGYCCEEYDRLLVLEFVPNRSLRFHLRDAERRSKLKWSKRMKIAIGSAKGLKYLHEYCEPKIIHGGIKSDNILLDRNFEPKVADFGLAILLEGYDAARIYVDSNISDLEKISELDIYSFGVTLLELITGRKIYEDEYIVKWARPLIIKRGSINVDYNSLVDSTLKGEYDQSEMERIIYCIAASVYRPWKLRPRMRQILKALEGVISHKELWIEYGSSEDFEAHGPRKFTFEELAIATCHFSNENVIGIGHFGNVYKGILPNGEMVAIKKLTYVDGLLKEEFENQIMAVGMVRHPNLVRLIGYCCEGYLKFLVLEFIPNKSLGFHLSDTESRSNLKWSTRMKIAIESAKGLEYLHEHYPHSQIKANDIFLDENFTPKIVDFWHAEFFPKTDPRRISADRKEASIYTDLKISDSQSIFDRSEIYSFGVVLLELITGRRNKDIVNWARPLIIKGDSINVDYDGLVDSTLKGQYDQSEVERIIYCIAASIYTPSSKLRPKMGQILEALEGLIPHQQLWAVEEHKEITEVSNQLWSKATPSQGTGPKIMQIQEMPAESNYSALEPAAMLSETSLEATAQSAIPPSRLMKIYHILNKIVSRIKNSNVSTIGVYGGEGIGKTTLSEALKIQPAIRDMFHFVIWVSVPKVWNLREVQLQIGRQLPLSGKKRINKYTLMSFLESVKFILILDGVNGFISLNIVGIPEPTPENGSKIVLTARSAEVCDRMSADLKINLEDLFRELFCENVGEIVYSFKLQPLAPKVVDLCCNHSHAIFLMSKALKDESDVRVWKNAVDMLSRQPASPEQEIENIMVNILKFSYDRLPDDTTRRCLKNCALFFEKQEIARESLIDNWISDDLMDMYQKGQKVIETLVTAGLLESSEDGQVFKLHEIDSSLLLEHVFPSRLFLRRKGSTLTELLMDENWENSDEIYLMDNELTELSEKLSSQAQALFLQRNLKLRKISDTFFQDMLALQILNLSVTSIKFLPDSLFGLVNLKRLSLNRCVLLKLLPSRVGDLSCLEVLHLEGTAIVALPREVEHLKNLTSLKVSFREPVIFDHPKKMIPDGVIKKLSKLKKLRIDVSPEDGRWKASVVSIVLEVCTLTTLDTLQFYFPNVKLLSQINWDTTPTSPPLSHFKFIVGDHTNRIICRLPHDVDVELGRYDKCLKYVNGEGAPEEIKKVLRHTSAFFLDRNMSVEKLSEFEISNMMQLKCCVAGECDKLQTIIEGDQMVTSASGEVELGLESLEYLYIYYAKSLRSICEGRLDNSSFKKLKYLTLHMCPELTIIFMPELLVNLSSLEELIVDDCSEVKSLVHCKDNEHEIKHILPALKKISFHFLPELDSISDVVSIAPRIEWMDFYYCPNLKSLPISKAIHTKLRQIKGEESWWQSLEWQNNEQDSNWEDIFTPVDEWD >Manes.14G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8963317:8966754:-1 gene:Manes.14G106500.v8.1 transcript:Manes.14G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSDLSMILPRVLIVSRRTVRKNKFVDFVGEFHLDLIVNYGAVPVIVPRVSGVHMLLESFEPIHGVVLCEGEDIDPSLYDAELSGFSPEELEEIRKAHASDTAIDKEKDTIELRLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDVEKEISKKIPEEERVMHMNYEDYDGHRHPVKIVENTPLHQWFKDSLEEKKMEIMVNSYHHQGVKKLAQRFVPMAFAPDGLVEGFYDPDAYNPEEGKFIMGLQFHPERMRHQDSDDFDYPGCPAAYKEFAKAVIAYEKRLNCSTRIHKAPTLNQELETKRRTIARSFSLAKNMYNSGRSIVLGQESELEIGAEFLEANTVLSLQQVNRLQQMGATVRNASAYRERLKMNEERERAARIIMRKMSIGQLSDLISFYHMMGKICSEALEIKLQDSVNQEVEL >Manes.14G106500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8963317:8965917:-1 gene:Manes.14G106500.v8.1 transcript:Manes.14G106500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESFEPIHGVVLCEGEDIDPSLYDAELSGFSPEELEEIRKAHASDTAIDKEKDTIELRLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDVEKEISKKIPEEERVMHMNYEDYDGHRHPVKIVENTPLHQWFKDSLEEKKMEIMVNSYHHQGVKKLAQRFVPMAFAPDGLVEGFYDPDAYNPEEGKFIMGLQFHPERMRHQDSDDFDYPGCPAAYKEFAKAVIAYEKRLNCSTRIHKAPTLNQELETKRRTIARSFSLAKNMYNSGRSIVLGQESELEIGAEFLEANTVLSLQQVNRLQQMGATVRNASAYRERLKMNEERERAARIIMRKMSIGQLSDLISFYHMMGKICSEALEIKLQDSVNQEVEL >Manes.15G035700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2818608:2822445:1 gene:Manes.15G035700.v8.1 transcript:Manes.15G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHTRLVSSLIFFLSFNYILNCLQAQPEPSTQGFTCTVNQTSNPCQTYAFYRATAPNFLDLGSVGDLFSVSRLMISKPSNISSPSSPLIPDQSLFVPLSCSCNTVNVTTGVNYSYANISYTIKQGDTFYLVSTYNFRNLTTYQAVEVVNPTLIPTLLDIGQNVIFPVFCKCPNQTQLQNQVNYLISYVFQPSDNLSLVASRFGVDTQSIIDVNGNNPQPFNTIFIPVNRLPILSQPIVTPVASGRKERKGLITGLAIGLGVTGVLLILISGVWVCREDKLKREREGDEEKRRLQFYGERKGSKDMETNLLADVSDCLDKYRVFKIDELREATDGFNENFLIQGSVYKGSINGEDYAIKKMNWNAYEELKILQKVNHGNLVKLEGFCIDTEDAGCYLIYEYIENGSLHSWLHGNNNEKLNWKTRLRIAIDVANGLQYIHEHTRPRVVHKDIKSSNILLDSTMRAKIANFGLAKSGCNAITMHIVGTQGYIAPEYLTDGVVSTKMDVFSFGVVLLELISGKEAIDEEGKVLWAKAKAVLDGNVEKKEKRLKALMDDVLSEESGSIIESVMNVMNVAGACLHRDPSKRPSVVDIVYALCKSDDLFFDISEDGLSEPQVTAR >Manes.07G110500.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31644464:31646890:1 gene:Manes.07G110500.v8.1 transcript:Manes.07G110500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISTKKLLKLARTWQNRAASKRKRIALPQTDGDADTSSCSTSLVAEKGHFVVYSADKKRFLLPLDYLNNEMIKELFDMAEEEFGLQSQGPLTLPCDKELMEYAIALIKNKVTRDVEKAFLMSISSHCSSSSFCLQDQVPGNQLPICSF >Manes.12G109300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30433886:30441017:1 gene:Manes.12G109300.v8.1 transcript:Manes.12G109300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMNLYVPDMDKGKALKFLFVKAETKTPSGLLARPVITSYYKSDHFKNRPYDPYNDYTSPYETMICPDTFESMYTQMLCGLIMREEVLRVGAVFASGLLRAIRFLQVNWKQLVEDISSGTLNPKVTDPSVMECMSKILKPKPELAELIVKQCSEENWEGIIKRIWPNTKYLEAIITGAMAQYIPTLEYYSADLPMASTLYASSECYCGLNLKPMCKPSDICYTIMPNMGYFEFLPHEPSAPKPSRDCPPRLLDLADVEVDKEYELIITTYSGLYRYRVGDILRVTGFYNKAPQFRFIRRKNALLSIDSDKTDEAELQKGIENASSLLREFNTSVVEYTSYAETKTIPGHYVIFWELLVKDPANSPTEEVLSQCCLAIEESLNSVYRQGRVADNSIGPLEIRVVKNGAFEELMDYAILRGASISQYKVPRCVSFTPFMELLDSRVVSNHFSPSLPHWTAERCR >Manes.01G152600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34041246:34042490:-1 gene:Manes.01G152600.v8.1 transcript:Manes.01G152600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRLPHLKKPLCSISHRLLPRRRRTLQISISILPSFCFFSTVPPPPLSPQHPLHTHYKLNHKDWLSPNEVLSIFQNLRDPNSVISVWNQYSKRKDYKPNEAIYTLVLNQLAQAKNFDAIEDIMQGIKLEKSCRLSNDFFYNVIKIYGHLAGRIKKAIETLFDMPKGYNCWPNVKTFNLVLNLLVSAKLFDVVHEIYVKAPMLGVEIDACCLNILIKGLCENGDLEAAFYVLDEFPKQRCRPTVRTFSTLMHYLCAKGEVNKAFGLLERMEREGIDADTITFNILISGLRKQGRIEEGMELLDKMKLKGCEPNPGSYQEVLYGLLDVGKFMEAKEFMSMMIREGNSPSFVSYKKLIHGLCNEKLTGDVDWVLKQMVKQGFVPKMGMWGQVLGSIFSGTNASNCFCISQIVSG >Manes.15G110300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8800258:8805477:-1 gene:Manes.15G110300.v8.1 transcript:Manes.15G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTAISSVGSLAAANGCVMDKKLAFSSNRLSSFASISGSSFGRRKNAVLRRPCTPKVSAMAKELHFNTDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTKGLVNELKSISKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLVNILEEAIRAGYPILIIAEDIEQEALATLVVNKLRGTLKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLALDKAGKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVAQIKNLIEAAEQDYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDSIKDSLENDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >Manes.15G110300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8800258:8805475:-1 gene:Manes.15G110300.v8.1 transcript:Manes.15G110300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTAISSVGSLAAANGCVMDKKLAFSSNRLSSFASISGSSFGRRKNAVLRRPCTPKVSAMAKELHFNTDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTKGLVNELKSISKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMAVEYENCKLLLVDKKITNARDLVNILEEAIRAGYPILIIAEDIEQEALATLVVNKLRGTLKIAALKAPGFGERKSQYLDDIAILTGGTVIRDEVGLALDKAGKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVAQIKNLIEAAEQDYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDSIKDSLENDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNPKYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >Manes.18G056800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4885500:4887860:-1 gene:Manes.18G056800.v8.1 transcript:Manes.18G056800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSRNSGAAPAPAPPPAPVRGANGPVMGGFGAAVADGLAFGTGTAVAHRAVDAVLGPRIVHHETVTSSAPAATPVQNTNNFGDACGGQSKALQDCLNNYGSDISKCQFYMDMLQECRRGSGAALGA >Manes.18G056800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4886242:4887010:-1 gene:Manes.18G056800.v8.1 transcript:Manes.18G056800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTIAAPAPAPPPAPVRGANGPVMGGFGAAVADGLAFGTGTAVAHRAVDAVLGPRIVHHETVTSSAPAATPVQNTNNFGDACGGQSKALQDCLNNYGSDISKCQFYMDMLQECRRGSGAALGA >Manes.18G056800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4885500:4887860:-1 gene:Manes.18G056800.v8.1 transcript:Manes.18G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSRNSGGSSGLRRPTATPLRNPPAPAAPAPAPPPAPVRGANGPVMGGFGAAVADGLAFGTGTAVAHRAVDAVLGPRIVHHETVTSSAPAATPVQNTNNFGDACGGQSKALQDCLNNYGSDISKCQFYMDMLQECRRGSGAALGA >Manes.03G198700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31557257:31559786:-1 gene:Manes.03G198700.v8.1 transcript:Manes.03G198700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPSSSSSNFAMKKCYRQENNVDADCSCCHLKEKQEETDAEDQISRLPNDVLVLILSHLPMKDAAKTNILSRRWRYLWTFFTGTLDFDASLTLEELRFPLGSGNLNSLREERLRFVRWVDQVLGLHQALTVDGLRIIFDVGYECDVDNWVKFALDKKVQKLELDFTDLRMADYSELYAFPSHLFENSNPSSLTALHLKRVNVTGGTLECLLSHCPFLEVLSVKNSSSLLSLNIWGPTLKLKYLEIWGCRNFEKLEISAVNLLSFSYRGPEVVHFKHVPQLLELSVAGSFFKVVVDKLFKHLSFLSQLKTLNLHFTGPLKLIMTGPQQFPELNNLKYLELKVSLLEFGDLFLLAPLLRASPSLHKLTLLICYAEMSSGDLQTLIDEHTYHCLKVVEFIGFVGSTAEVEFLLHLSKNSVSLEKMIIDPCRPYPLGELFKSRSQILKSKFRETKEYLLAKERAIELQQKLPSDLEIVVL >Manes.12G059600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5118812:5119291:1 gene:Manes.12G059600.v8.1 transcript:Manes.12G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTVHRLSSQLNRLPSLSLFTKSVLIRSSATATTSSAKVADRIVKLYAVDLDGKKQEIVGLAGQTLLKALTNKGLIDPASHRLEEIDACSAECEVSIAQEWLDKLPPRSYDEEYVLKRNSRARVLNKHARLGCQVVLTPDLQGMVVAIPEPKPWDIP >Manes.04G046200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7775530:7778049:1 gene:Manes.04G046200.v8.1 transcript:Manes.04G046200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSLTSLLWLIVFLADHGLCFEDCNTTRCGSYGPAVRFPFRIRGRQPRHCGYPQPEFHLSCSAKNDTVLELTTSWKLFIQKIDYKSQVIYANDTEGCLPKGLLNFNISLSPFHFMGDSYERTLFNCSSSGGCESYPQIPCLSSPQYQVCAVYSGRSVGDLDLLSCTKIRDIPYDYLYSEESIIGLRWVNPKCTPCEVKGKYCRLNTNSTWSETQCYGRLKPRKGQSTKFIETGGILGSLLLVVASILLYRKYRFNKTEREYQSKIENFLDDYKSFKPTRYSYDDIKRMTNQFKDELGQGAYGTVYRGKLSDEILVAVKVLNSSTGNGEDFVNEVGTIGKIHHVNVVRLVGFCADGFRRALVYEYLPNDTLQKFISSADTKNHFLGWKRLKDIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDHDFNPKVSDFGLAKLCAKDQSAVSMTTARGTIGYIAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKIVDVTEENDEQIYFPEWIYNLLEEGEDLRFEIEEEGDDKIAKKLAIVGLWCIQWNPTDRPSMKVAVHMLEREGENLPIPPNPFSSAVPTRMNARRTRRQLHQELEAISEAE >Manes.06G150900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27866515:27871182:1 gene:Manes.06G150900.v8.1 transcript:Manes.06G150900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAVLVSTPTSTSVSLYRRSSSSISYHITTRFGISMLTLQLGVRPFPRWSGLQHLGISITPKSPKNARKSRYKGKVVQASFFGVGAPEALVIGAVALLVFGPKELAEVARNLGKTLRAFQPTIRELQEVSREFKSSLEREISLDDISSQTQNTYSSHRANTASPPSQFGSQENSPIAADTNGALSQTRAYTSEDCLKISEEQLKASAAQQPGQTPSRPGEIQVEAQYPEARK >Manes.06G150900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27866515:27871118:1 gene:Manes.06G150900.v8.1 transcript:Manes.06G150900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAVLVSTPTSTSVSLYRRSSSSISYHITTRFGISMLTLQLGVRPFPRWSGLQHLGISITPKSPKNARKSRYKGKVVQASFFGVGAPEALVIGAVALLVFGPKELAEVARNLGKTLRAFQPTIRELQEVSREFKSSLEREISLDDISSQTQNTYSSHRANTASPPSQFGSQENSPIAADTNGALSQTRAYTSEDCLKISEEQLKASAAQQPGQTPSRPGEIQVEAQYPEARK >Manes.06G150900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27866515:27871118:1 gene:Manes.06G150900.v8.1 transcript:Manes.06G150900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAVLVSTPTSTSVSLYRRSSSSISYHITTRFGISMLTLQLGVRPFPRWSGLQHLGISITPKSPKNARKSRYKGKVVQASFFGVGAPEALVIGAVALLVFGPKELAEVARNLGKTLRAFQPTIRELQEVSREFKSSLEREISLDDISSQTQNTYSSHRANTASPPSQFGSQENSPIAADTNGALSQTRAYTSEDCLKISEEQLKASAAQQPGQTPSRPGEIQVEAQYPATVKETAGTMPLSQKPENEV >Manes.06G150900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27866515:27871182:1 gene:Manes.06G150900.v8.1 transcript:Manes.06G150900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAVLVSTPTSTSVSLYRRSSSSISYHITTRFGISMLTLQLGVRPFPRWSGLQHLGISITPKSPKNARKSRYKGKVVQASFFGVGAPEALVIGAVALLVFGPKELAEVARNLGKTLRAFQPTIRELQEVSREFKSSLEREISLDDISSQTQNTYSSHRANTASPPSQFGSQENSPIAADTNGALSQTRAYTSEDCLKISEEQLKASAAQQPGQTPSRPGEIQVEAQYPATVKETAGTMPLSQKPENEV >Manes.15G181160.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24370391:24373432:-1 gene:Manes.15G181160.v8.1 transcript:Manes.15G181160.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFEDGYTVETVFDGSKLGTEPYSVELSPSGELLVLDSENSNIYKIPTPLSRYRRPKLIAGSSEGYTGHVDRRLREARMNHPKGLTVYDQGNIYIADTMNMAIRKISDGGITTIAGGKWVDGDDHVDGPSEDAKFSNDFDVVYIGSSCSLFVIDRGNQAIREIQLHDEDCSYQYDDSFHLGVAVLAAAAFFGYMVALLQRRVQALFSSNYDSTAYIKKVALNAPYQGPPKSVSPLSVTNECEGEKPDGGVFGSIGRLVFNSNTSTSNISNIQMHGQ >Manes.15G181160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24370391:24373303:-1 gene:Manes.15G181160.v8.1 transcript:Manes.15G181160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFEDGYTVETVFDGSKLGTEPYSVELSPSGELLVLDSENSNIYKIPTPLSRYRRPKLIAGSSEGYTGHVDRRLREARMNHPKGLTVYDQGNIYIADTMNMAIRKISDGGITTIAGGKWVDGDDHVDGPSEDAKFSNDFDVVYIGSSCSLFVIDRGNQAIREIQLHDEDCSYQYDDSFHLGVAVLAAAAFFGYMVALLQRRVQALFSSNYDSTAYIKKVALNAPYQGPPKSVSPLSVTNECEGEKPDGGVFGSIGRLVFNSNTSTSNISNIQMHGQ >Manes.18G145364.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28262373:28265160:1 gene:Manes.18G145364.v8.1 transcript:Manes.18G145364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGEAPIAQNQKLICTEFPPNINSNGIWESLRATSVLKYTLPLLQLQTILIFFITQVCHFVLKHFGIPVIISQLLAGVILGPSLLGSNTKFKKLLFTINSQDILGTIGTLGYTFFIFLCGVKMDVGMIFKTGRKACGIGILSLAVPLVLGLSIEVALENSSLGKNLPDNLYIVTSILSATPFPVISTLLADLNILNSELGRLGLSAAMIGEMGTVGLFNLSILITVGKNSSLRVAFRSLGCTIGYIVAAVYVIRPAMLWVVKQTPKGRPVKDVYIIAIFVLVSLSGILSDSFAQSVFFGPFILGLAIPDGPPLGSAVVEKLDCIVSGFFIPLFVTTSAMRAEFGMLNSNKDLITVEIILILVTLMSKIAACLMASLCSKMPLNDSLALSLIMSCKGIVELATYNFLRDNQVVNGETFTVLITSVVVTASIIPMVIKKLYDPSRKYAGYQKRNITDLRPNSELRMLMCIHNPDDVTAATNLLDAYCPTLERPITVCILHLIKLIGRASPIFISHNIQVKSFFPHSYSENVIISFNQYQQKKLGAVTINNFTAVSPPKLMHEDICTLALDKLTSLIVLPFHRNWSADGSITSEDITIRNLNCHILETSPCSVGILVNRGHLRRTKTEQSRHVAMIFLGGNDDREALEFSKRMAKGSSINLTVVRMVAKDHEGIITWDEMLDSEALKDVKFNKDSSVTYKEKLVEDGPQTAYALRGMVCKYDLIIVGRRNGIDCPQTVGLCEWSEFPELGVLGDLLASSDLNGKASILVVQQQQQLT >Manes.13G077940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12397191:12406608:1 gene:Manes.13G077940.v8.1 transcript:Manes.13G077940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAGLSQNALEDLSPGQSLNGSFRKSSSVVSTRSVSSISASSKFIPTSRRVLKALKDYGKKLDNLEFFKHSLEDWVLENTDADTINDQSFRSPFSIDKLCKLDLALEGVLFQQLCRMPCSPYASNDSKEDKYFAIEDFLHAVVNGLWRTFWRKNGPLPFFLSCPCRPGSKFYTVQKARSRGRLEDLSGLALISKIGTDSQVHWGKVVELSLFRPDITSGDELKLSASCICEALFYGIHILVARSLSKFHTIDSGSVFILVFDSKFGGVVKLGGDLSTLEMKSSNPYQSVIDWIKYHAEVRVSPVDRVWNKLGNPNWGDIGTLQVLLATFYSIVQWNGPPRKSVASLASNHSLRLQKRRLECCLSEGENVHFQQMGHQGEIVELSQSDDSSRKQSSRLKLRQGDVLLLEDQQQGHKSFRIQNSLVGGNCFLYSVVSLDYPSDLLTLYVGAHPHRLEPSWEDMSLWYQVQRQTKVLNILKQQGVTSKYLPEIIASGRILHPGLCQKESPGGCCDHPWCGTPILVTSPVGEQLSFVVAQHNSFSSEEAVRCCRDCLAALRSATMAGVQHGDICPENIIRVMDSQGARNRFFYMPISWGRAVLEDRDSPGINLQFSSSHALQHGKICPSSDAESLIYLLYFVCGGAMQQQDSIESALQWRERSWAKRLIQQQLGEVSALLKAFADYIDSLCGTPYPVDYDVWLKRLNKAVDGSADRGKMVQELAVTLRLEDVAECSGASGGGV >Manes.13G077940.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:12397191:12406608:1 gene:Manes.13G077940.v8.1 transcript:Manes.13G077940.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSPYASNDSKEDKYFAIEDFLHAVVNGLWRTFWRKNGPLPFFLSCPCRPGSKFYTVQKARSRGRLEDLSGLALISKIGTDSQVHWGKVVELSLFRPDITSGDELKLSASCICEALFYGIHILVARSLSKFHTIDSGSVFILVFDSKFGGVVKLGGDLSTLEMKSSNPYQSVIDWIKYHAEVRVSPVDRVWNKLGNPNWGDIGTLQVLLATFYSIVQWNGPPRKSVASLASNHSLRLQKRRLECCLSEGENVHFQQMGHQGEIVELSQSDDSSRKQSSRLKLRQGDVLLLEDQQQGHKSFRIQNSLVGGNCFLYSVVSLDYPSDLLTLYVGAHPHRLEPSWEDMSLWYQVQRQTKVLNILKQQGVTSKYLPEIIASGRILHPGLCQKESPGGCCDHPWCGTPILVTSPVGEQLSFVVAQHNSFSSEEAVRCCRDCLAALRSATMAGVQHGDICPENIIRVMDSQGARNRFFYMPISWGRAVLEDRDSPGINLQFSSSHALQHGKICPSSDAESLIYLLYFVCGGAMQQQDSIESALQWRERSWAKRLIQQQLGEVSALLKAFADYIDSLCGTPYPVDYDVWLKRLNKAVDGSADRGKMVQELAVTLRLEDVAECSGASGGGV >Manes.15G179352.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16639207:16642625:1 gene:Manes.15G179352.v8.1 transcript:Manes.15G179352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRRNPPPVSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTLIREKNRRFQVAWFKDYEWLEYSVSKDKAYCLYCYLFANNNRNRRHAFVNHEGSPGSSHSGCRMKVEQYRNQRGNVNQLLARQTTAMEDDYRTRLSTVVSVARILLEEESLHRGNFLEHISWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIECCAMETRKIILNELGEKKFALLEQMSLVLRFVNDKGMVLERFLGLVHVNETSAKVLKNAIDTFFAKHDLSLAKLRGQGYNGAANMSGEFNGLKTLILKENKNAHYIHCFAHQLQLVVVTASHESESVGDFFETLSMIEVLNQVEIGEISTGRGQNQEISLARPGDTRWDSHYTTIVRLFDMWNSVERSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFCEGHSIDVPNMENFVHGRSRKRLKGGEPMTYLHHFWIDIFIKVIDVIAMEMDKHFTEANKELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQFQNYICDMRSNEIFSNISNLGDLAKKMVKINYHTYFPLVYRLIELALILPVGTASVERTFSVMNVVKTDLRNRLGDDLLSDCLVCYFEKEIFRSINDEVIMQSFQNLASRRNQLRPLKIRRPNPC >Manes.12G091600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:17558464:17583800:-1 gene:Manes.12G091600.v8.1 transcript:Manes.12G091600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRERALTHSFVQASEVIGRDDDKENIIRLLQDSSDSEQISIISIVGIGGLGKTSLAKFVYNDERVRNRFQLQIWVCVSEEFDIKILTEKIIKSTEDGMRHLEKLSKMEMDQLQRILREIIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPKAYELWGLPEDECVALFTKFAFKEAQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFHNWELIQFWMAHGLLESANQDEEPEDIGLRYFQELGSRSFFQDFEIVEDILIKCKMHDLVHDLALSLTQNEFLAIASSTTHISHSVHHLLFPNSALLPQDLSTLLQGLDRVRTAIFQRDEKSPSSQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRYLHVHGNSRIKKLPNSICKLYNLQTLQLCVGIEELPSDIRYLINLRYLMFSTKQKFLPKNGIGCLTSLRFLGIANCENLEHLFEDMQGLKHLRTLVIYGCESLISLPQSIKYLTALEILAIVNCENLNLTLEENEKDDHKHFAQFNLQKLILKKLPKLVDFPEWFLQGSSNTLQFLKLESCEYLKELPVCIQI >Manes.03G159100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28667460:28670759:-1 gene:Manes.03G159100.v8.1 transcript:Manes.03G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIIESNTDPRILHAQAIKSPRTQLSLFNRLITFYSNSTNPLIVSYSHRVFSQLSSPNIISWTSIISAHTHSFLSLNYFLSMLRYPLLPTQRSLASLFKACVNLSALSFGLALHSMAFKLSLNTQPFSGSALIHFYAKFRLPQNARKAFDEIIHRDEVCYGALIVGLAQNSRPLDAFSAFAEMKGCNVRSTIYTVSGALSAAGQMVALEHCRVIHGHAIVAGLDGNVVVGCTLIDGYGRVGHIVDARMVFDELLPGVNTVVWNAMMSVYAQHGDKSSVLGMYNAMQVEGLVPDRFTFLALLTAFCNAGLFLESEQWFQRMRMEYKIKPGLEHYKCLVGAMAGAGRLEEAEHIAMTMPFEPDAAVWRTLLSSCAYHGAVDKTCEMAKRLLELDPRDDSAYEIVANVLSAKGRWDEVAEMRKLMIYRRVKKKQGRSWIEVKGKVHVFLAEDRRHEKTEEIYSKLAELMEKIEKSGYVPVRDEMLPREDKREAHRYDSEKLALAFGVVAGAAPPGKALRIVKNLRICRDSHEAFKYFSRVMGREIIVRDTNKCHRFLNGSCTCGGIW >Manes.05G086329.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7691456:7692378:1 gene:Manes.05G086329.v8.1 transcript:Manes.05G086329.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSGKTTWPELVGANGDSAAATIEEENKNVKATVLKEGTPVTKDFRTDRVRVWVDENNVVTRAPTIG >Manes.01G214700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38437462:38437767:-1 gene:Manes.01G214700.v8.1 transcript:Manes.01G214700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMGLASEKGVVIFSKSSCCMCYAVKILFQGIGVDPLVYEIDQDPEGREMERALMRMGCSAPVPAVFIGGKLIGSTNEIMSHHLSGTLIQMLKPYQTLS >Manes.06G059330.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19386442:19389752:1 gene:Manes.06G059330.v8.1 transcript:Manes.06G059330.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFCNFKTELNKVLFEGDSFYREVILNRPTKLNSLDYEVISLMLKNFRDFETDSTVKFVILKANGRAFSAGGDVVSIVSSMITGHWCFGARFYKKQFNLDYLLATYKKPLVRGAGLCMNGRFRIVTEKAVFAMPEASIGLFPDVGASHFLSRLPGNFGEYLGLTGGRLNGAEMLACGLATHFLFSKDLSLLETALKTLPSSDMTIIYQVINKFAQKPKLKQDTIYQTQRLETINKCFSKDTVEEILLALENEVKNNPEIWINKAINSMKAASPTSLKITLRSIRAGRLQNLKLCLTREYTICCNVLRATVSNDLYEGSRAMLFDKDKKPKV >Manes.06G059330.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19386442:19389749:1 gene:Manes.06G059330.v8.1 transcript:Manes.06G059330.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFCNFKTELNKVLFEGDSFYREVILNRPTKLNSLDYEVISLMLKNFRDFETDSTVKFVILKANGRAFSAGGDVVSIVSSMITGHWCFGARFYKKQFNLDYLLATYKKPLLPLIDGIVMGGGAGLCMNGRFRIVTEKAVFAMPEASIGLFPDVGASHFLSRLPGNFGEYLGLTGGRLNGAEMLACGLATHFLFSKDLSLLETALKTLPSSDMTIIYQVINKFAQKPKLKQDTIYQTQRLETINKCFSKDTVEEILLALENEVKNNPEIWINKAINSMKAASPTSLKITLRSIRAGRLQNLKLCLTREYTICCNVLRATVSNDLYEGSRAMLFDKDKKPKWEPSKLELVSKEMVDRYFNGIDEDDWKYLQIPERSVTSMDVLMPKL >Manes.06G059330.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19386390:19389941:1 gene:Manes.06G059330.v8.1 transcript:Manes.06G059330.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFCNFKTELNKVLFEGDSFYREVILNRPTKLNSLDYEVISLMLKNFRDFETDSTVKFVILKANGRAFSAGGDVVSIVSSMITGHWCFGARFYKKQFNLDYLLATYKKPLVRGAGLCMNGRFRIVTEKAVFAMPEASIGLFPDVGASHFLSRLPGNFGEYLGLTGGRLNGAEMLACGLATHFLFSKDLSLLETALKTLPSSDMTIIYQVINKFAQKPKLKQDTIYQTQRLETINKCFSKDTVEEILLALENEVKNNPEIWINKAINSMKAASPTSLKITLRSIRAGRLQNLKLCLTREYTICCNVLRATVSNDLYEGSRAMLFDKDKKPKWEPSKLELVSKEMVDRYFNGIDEDDWKYLQIPERSVTSMDVLMPKL >Manes.11G056000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6856502:6859382:1 gene:Manes.11G056000.v8.1 transcript:Manes.11G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSPATTASSANSPPQASPEFNANCQSIPSLPFPNNPHLSFLPLRSNPTSRRRILQATFSPQPPPLSDPPPENDPILPEGNFASFSGLQDRVQIFLAVLLWISLFFWSAACDGRNNGSGRPNKWSQFRR >Manes.08G017500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1793224:1796183:1 gene:Manes.08G017500.v8.1 transcript:Manes.08G017500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFACTKLWGTSLCLLVLVCSVAHADPIVPALIIFGDSVVDVGNNNNLNTIIKANFPPYGRDFVNHRPTGRFCNGKLATDFTAEYLGFTSYPPAYLGQDARGNNLLTGVNFASAASGLYDGTARLYGAIPLTQQLNYYEEYRRNVVNLVGSAKADETFSRGIHLLSAGSSDFIQNYYINPLLNTVYSPDVFSDNLMTYYSTFIQNLYGMGARRIGVTSLPPTGCLPAAITLFGAGSNECVQRLNRDAISFNKKLNSTSKSLANILPGLKLVVFDIYEPLLDMILRPSDNGNVPYLQQLDSQCDK >Manes.08G017500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1793224:1796183:1 gene:Manes.08G017500.v8.1 transcript:Manes.08G017500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAIPLTQQLNYYEEYRRNVVNLVGSAKADETFSRGIHLLSAGSSDFIQNYYINPLLNTVYSPDVFSDNLMTYYSTFIQNLYGMGARRIGVTSLPPTGCLPAAITLFGAGSNECVQRLNRDAISFNKKLNSTSKSLANILPGLKLVVFDIYEPLLDMILRPSDNGFSEARRACCGTGMLETSVLCNARSLGTCSNATQFVFWDGFHPSEAANRVLAGDLLAQGLDLIS >Manes.08G017500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1793224:1796183:1 gene:Manes.08G017500.v8.1 transcript:Manes.08G017500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFACTKLWGTSLCLLVLVCSVAHADPIVPALIIFGDSVVDVGNNNNLNTIIKANFPPYGRDFVNHRPTGRFCNGKLATDFTAEYLGFTSYPPAYLGQDARGNNLLTGVNFASAASGLYDGTARLYGAIPLTQQLNYYEEYRRNVVNLVGSAKADETFSRGIHLLSAGSSDFIQNYYINPLLNTVYSPDVFSDNLMTYYSTFIQNLYGMGARRIGVTSLPPTGCLPAAITLFGAGSNECVQRLNRDAISFNKKLNSTSKSLANILPGLKLVVFDIYEPLLDMILRPSDNGFSEARRACCGTGMLETSVLCNARSLGTCSNATQFVFWDGFHPSEAANRVLAGDLLAQGLDLIS >Manes.05G086394.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7385573:7387604:1 gene:Manes.05G086394.v8.1 transcript:Manes.05G086394.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACICPPGKKAWPELVGIDGNCAAAIIEKENKHVKAIVLKEGTPATSDFRCGRVRVWVNEYNVVIQTPILG >Manes.05G086394.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7385574:7387601:1 gene:Manes.05G086394.v8.1 transcript:Manes.05G086394.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACICPPGKKAWPELVGIDGNCAAAIIEKENKHVKAIVLKEGTPATSDFRCGRVRVWVNEYNVVIQTPILG >Manes.01G030600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6078068:6080200:-1 gene:Manes.01G030600.v8.1 transcript:Manes.01G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLISQLHTHICRKPFYFHWRNLSYMTHQLPLENPQLEYDLSSVQYIFSNPCFYLLALCKNIYSLKKIHGLLIVDGLAGDLLCSTKLVSLYGSFGCVDDARRLFDRIPDPDLYSWKVMLRWYFLNDLYWEIIGLHTRMRICLREHDNVVFSIVLKACSELRNIHEGRKIHCQIIKAVSPDSFVLTGLVDMYAKCGEIECSRGVFDESPDKNVVSWTSMIVGYVQNDCPVEGLILFNRMREGLIEANRFTIGSLITACTKLGALHQGKWVHGYAMKNGVELNSYIVTALLDMYVKCGVIRDARSVFDELSSIDLVSWTAMIVGYTQSGFSSEALKLFTDKKWVDILPNYVTIASVLSACAQLGNLNFGRSVHGLGIKLGLEESTVVNALVDMYAKCHMNRDARYIFETVSDKDVIAWNSIISGYNQNGSAYEALELFCQMRMNSLLPDAVTLVSVFSACAFLGALRVGSSLHAYSIKGGLLSSNVYVGTALLTFYAKCGDAISARTIFDGMGEKNIVTWSAMIGGYGIQGDGDGSLALFHDMLRQELKPNEVIFTTILSACSHTGMVGEGWNLFNSMCQEYNFVPSMKHYACMVDLLARSGRLEEAWEFIEKMPVQPEVSLFGAFLHGCGLLSRFDLGEMAIRRMQELHPDKACYYVLMCNLYASDGRWNQVKEVRELMKQKGLIKSPGCSLMEMDIDNDFSLPRVASFS >Manes.09G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1342893:1348104:1 gene:Manes.09G005500.v8.1 transcript:Manes.09G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQSGVIKAWEATIRKTQAAKRRANSIFGSVTVAHADDENEDDHDHNNGAQEPYHAEKILSNGDYYTGQWYDNFPCGHGKYLWTDGCMYVGEWNKGKTMGRGKFSWPSGASYEGEFRTGFMDGNGIYTGPSGDTYKGQWVMNQKHGHGIKSFANGDVYDGEWRRGFQDGHGKYLWTNGNQYVGNWKNGMICGKGTFVWSNGNRYDGYWEDGVPKGNGTLKWPDGSFYVGNWSKDPSQQNGSYFPSGSPTDQNLGWNPQDVYTIHLSDSKICPSEKVSILPSQKRLAVWNSTKSGGERLRRMSVDGRVSVGEERPYDRMHLWEPDDVDASDRNPTVGKPFEADLLGLHLDEGFPKRLPMKVPKVVKRQGETICKGHKNYELMLNLQLGIRHSVGRPAPVASLDLKASAFDPKEKVWTRFPPEGTKCTPPHQSSEFKWKDYCPLVFRTLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTVKKAEVKMLIRMLAAYYNHVRAFENTLVTKFFGLHCVKLTGPTQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRITDKPESEIESTTILKDLDLNFIFRLQKTWFQEFCRQVDRDCEFLEQERIMDYSLLVGLHFREVSNSGELIPSCRNNSSGENENDHSGPRLSRADMDHLLLDPARWARIKLGINMPARVERTIRRNDYELQLVGEPTGEYYEVIMFFGIIDILQDYDISKKLEHAYKSIHYDPSSISAVDPKQYSRRFRDFIFKIFTEET >Manes.04G030000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3637651:3640167:-1 gene:Manes.04G030000.v8.1 transcript:Manes.04G030000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELSLFAILLFLVFFARKQRHRRRQLPPSPMALPIIGHLHLLGPLIHHSFRDISSRYGPLIYLRLGSVSAVVASTPELAKQLLKTHEFTFSSRKHSIAIDRLTYNSSFAFASYGPYWKFIKKISTFELLGNRMINQFLPIRKQELHRFLGVFYSKSKAGESVNITQELIKLSNNIISQMMLSMRSSSSDGEAETVITVVREGVRKRVEDIYFKYDGLLEKLITSREELRKKNRSNGVVHEARDFLDIMLDVMEDENAEIKLTRNHIKALFLDFFTAATDTTAITIEWALAELINQPRILEKAREEINSVVGNGRIIQESDNSNLPYIQAILKETFRLHPPIPMIARKSIQDCKINGYTIPANTLLFVNMWSIGRDPKYWRNPSQFQPERFLQSCNEDDDVTSSIDIRGQHFQLLPFGAGRRSCPGISLAMQALPTTLAAMIQCFDWKVVNQPEGQINGDDDHLLLDMTERPGLTAPRIHELVCTPVPRLPSDVLDPVCGN >Manes.04G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3637651:3640167:-1 gene:Manes.04G030000.v8.1 transcript:Manes.04G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELSLFAILLFLVFFARKQRHRRRQLPPSPMALPIIGHLHLLGPLIHHSFRDISSRYGPLIYLRLGSVSAVVASTPELAKQLLKTHEFTFSSRKHSIAIDRLTYNSSFAFASYGPYWKFIKKISTFELLGNRMINQFLPIRKQELHRFLGVFYSKSKAGESVNITQELIKLSNNIISQMMLSMRSSSSDGEAETVITVVREVTQIFGEFNISDVIWFCKNIDFQGVRKRVEDIYFKYDGLLEKLITSREELRKKNRSNGVVHEARDFLDIMLDVMEDENAEIKLTRNHIKALFLDFFTAATDTTAITIEWALAELINQPRILEKAREEINSVVGNGRIIQESDNSNLPYIQAILKETFRLHPPIPMIARKSIQDCKINGYTIPANTLLFVNMWSIGRDPKYWRNPSQFQPERFLQSCNEDDDVTSSIDIRGQHFQLLPFGAGRRSCPGISLAMQALPTTLAAMIQCFDWKVVNQPEGQINGDDDHLLLDMTERPGLTAPRIHELVCTPVPRLPSDVLDPVCGN >Manes.07G131900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33371129:33372163:-1 gene:Manes.07G131900.v8.1 transcript:Manes.07G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHPDPPFAPQETTTTTTTTPAAPSITTATTSDTNSDTNNNSSSDNSSRKCKGRGGPENGKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFATAEDAARAYDRAAIILYGSRAQLNLQPSKSSSAQSSSSSSRGSSSSSAQTLRPLLPRPSGFGFTFSLSASMPSPAAAAAAAAAAATASASSGFGPYGVYHHHPNVVGSSVLCPSNMVQNPQEQIMSHHYQYHYQNPLIPDVSNLNAANSTIPTTSYQNLNYDYNDHNNHHHHHQQQQESGLFEDISSLMGSVGSNLSLSGNIQPVVAPAVQDPVMHVGPGSPSLWPLTSDDDYAPSTIWDYGDPSFFDL >Manes.03G147401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27508410:27508862:1 gene:Manes.03G147401.v8.1 transcript:Manes.03G147401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQRERDRERSQSRSSGKGSKGKDDGLTPEQRRERDAKALQEKAAKKAAQASAGGEPSGGKGKNDKK >Manes.15G071100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5380617:5382611:-1 gene:Manes.15G071100.v8.1 transcript:Manes.15G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRHNLMRQGSGLWRSLRDGDFEEEDVWDVLRERKDSISKNDKSAESSFSEKSEESSFSVPRNLPSAARMIPIATSSGSSSNSSSHGTNAVQQSAPVNIPDWSGLCKNKSNKTSSQNDDDHESDGDDYHGAVNEDDDEDYKLPPHKLIATRLARSQISSFSVFEGVGRTLKGRDLSKVRNAVLTETGFLESP >Manes.11G101900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22914671:22958121:1 gene:Manes.11G101900.v8.1 transcript:Manes.11G101900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTTSSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLKHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIQNSRRALNSFRHNGSIKSIQEDDSANSEILNGDNQSSGQNHSSEKADGSVANLETDARKELLTGTAGVSNSDKDHSSDFGIIEERTDKLEDDLQSDQVPTLAIHEKTSFQNGSIGLCTNKVAAACASHPGSSHLNDQDESVMNGEVESRDASGKSVDRKHGGKGSCNNVENKPFGFAPSRQDIGLQKSAKGSVTLGGNELSRFSDPPGDASLDDLFHPLDKNPDDRAAEASTSTSTSHVNQGNASVLEAGKNDLATKLRATIAQKQMESDIGQKNGGGDLFRLMMGVLKDDVIDIDGLVFDEKLPAENLFPLQAVEFGRLVGSLRPEESEDVIASACQKLIAMFHQRPEQKIVFITQHGLLPLMELLEVPKTRVICSVLQLINQIVKDNTDFQENACLVGLIPVVMSFAGPDRPREVRMEAAYFLQQLCQSSDLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILFRLINTLYSLNEATRLASISVGTGFPLDGSVQRQRSGPLDTSLPIFVQSEALLCASDHPEVLKVRHGMIEHPMPTVSQEPSCASTSHSQRVDVTQPESRYTAPDTDRHQSSTASLETSAALEKAGNIDAKKSLATASKERDNLERWKVDSSRAEIDVRQQRIAGSTNRTSTDRPPKLIESASNGLPSMMSAQPEQVRPLLSLLEKEPPSKHFSGQLEYVRHISGLERHESILPLLHASAEKKTNGELDFLMAEFAEVTGRGRENGNLDSTPRVSHKTVNKKLGPLASNDGAASTSGIASQTTSGVLSGSGVLNARPGSATSSGLLSHMVSTKNAEVARDYLEKVADLLLEFSQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINNLSTDPNCLENLQRADAIKFLIPNLELKEGPLVEQIHNEVLHALFNLCKINKRRQEQAAENGIIPHLMHIIMTDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDVFWSVTALDSIAVCLAHDNDNRKVEQALLKKEAVQKLVKFFQSCPEQQFEHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQEAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Manes.11G101900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22914692:22958121:1 gene:Manes.11G101900.v8.1 transcript:Manes.11G101900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTTSSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLKHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIQNSRRALNSFRHNGSIKSIQEDDSANSEILNGDNQSSGQNHSSEKADGSVANLETDARKELLTGTAGVSNSDKDHSSDFGIIEERTDKLEDDLQSDQVPTLAIHEKTSFQNGSIGLCTNKVAAACASHPGSSHLNDQDESVMNGEVESRDASGKSVDRKHGGKGSCNNVENKPFGFAPSRQDIGLQKSAKGSVTLGGNELSRFSDPPGDASLDDLFHPLDKNPDDRAAEASTSTSTSHVNQGNASVLEAGKNDLATKLRATIAQKQMESDIGQKNGGGDLFRLMMGVLKDDVIDIDGLVFDEKLPAENLFPLQAVEFGRLVGSLRPEESEDVIASACQKLIAMFHQRPEQKIVFITQHGLLPLMELLEVPKTRVICSVLQLINQIVKDNTDFQENACLVGLIPVVMSFAGPDRPREVRMEAAYFLQQLCQSSDLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILFRLINTLYSLNEATRLASISVGTGFPLDGSVQRQRSGPLDTSLPIFVQSEALLCASDHPEVLKVRHGMIEHPMPTVSQEPSCASTSHSQRVDVTQPESRYTAPDTDRHQSSTASLETSAALEKAGNIDAKKSLATASKERDNLERWKVDSSRAEIDVRQQRIAGSTNRTSTDRPPKLIESASNGLPSMMSAQPEQVRPLLSLLEKEPPSKHFSGQLEYVRHISGLERHESILPLLHASAEKKTNGELDFLMAEFAEVTGRGRENGNLDSTPRVSHKTVNKKLGPLASNDGAASTSGIASQTTSGVLSGSGVLNARPGSATSSGLLSHMVSTKNAEVARDYLEKVADLLLEFSQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINNLSTDPNCLENLQRADAIKFLIPNLELKEGPLVEQIHNEVLHALFNLCKINKRRQEQAAENGIIPHLMHIIMTDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDVFWSVTALDSIAVCLAHDNDNRKVEQALLKKEAVQKLVKFFQSCPEQQFEHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQEAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Manes.11G101900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22914671:22958121:1 gene:Manes.11G101900.v8.1 transcript:Manes.11G101900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTTSSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLKHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIQNSRRALNSFRHNGSIKSIQEDDSANSEILNGDNQSSGQNHSSEKADGSVANLETDARKELLTGTAGVSNSDKDHSSDFGIIEERTDKLEDDLQSDQVPTLAIHEKTSFQNGSIGLCTNKVAAACASHPGSSHLNDQDESVMNGEVESRDASGKSVDRKHGGKGSCNNVENKPFGFAPSRQDIGLQKSAKGSVTLGGNELSRFSDPPGDASLDDLFHPLDKNPDDRAAEASTSTSTSHVNQGNASVLEAGKNDLATKLRATIAQKQMESDIGQKNGGGDLFRLMMGVLKDDVIDIDGLVFDEKLPAENLFPLQAVEFGRLVGSLRPEESEDVIASACQKLIAMFHQRPEQKIVFITQHGLLPLMELLEVPKTRVICSVLQLINQIVKDNTDFQENACLVGLIPVVMSFAGPDRPREVRMEAAYFLQQLCQSSDLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILFRLINTLYSLNEATRLASISVGTGFPLDGSVQRQRSGPLDTSLPIFVQSEALLCASDHPEVLKVRHGMIEHPMPTVSQEPSCASTSHSQRVDVTQPESRYTAPDTDRHQSSTASLETSAALEKAGNIDAKKSLATASKERDNLERWKVDSSRAEIDVRQQRIAGSTNRTSTDRPPKLIESASNGLPSMMSAQPEQVRPLLSLLEKEPPSKHFSGQLEYVRHISGLERHESILPLLHASAEKKTNGELDFLMAEFAEVTGRGRENGNLDSTPRVSHKTVNKKLGPLASNDGAASTSGIASQTTSGVLSGSGVLNARPGSATSSGLLSHMVSTKNAEVARDYLEKVADLLLEFSQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINNLSTDPNCLENLQRADAIKFLIPNLELKEGPLVEQIHNEVLHALFNLCKINKRRQEQAAENGIIPHLMHIIMTDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDVFWSVTALDSIAVCLAHDNDNRKVEQALLKKEAVQKLVKFFQSCPEQQFEHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQEAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Manes.11G101900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22916382:22958121:1 gene:Manes.11G101900.v8.1 transcript:Manes.11G101900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIWLMIVPFLSYTLLGLDALIFQQEIDLLKNLKHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIQNSRRALNSFRHNGSIKSIQEDDSANSEILNGDNQSSGQNHSSEKADGSVANLETDARKELLTGTAGVSNSDKDHSSDFGIIEERTDKLEDDLQSDQVPTLAIHEKTSFQNGSIGLCTNKVAAACASHPGSSHLNDQDESVMNGEVESRDASGKSVDRKHGGKGSCNNVENKPFGFAPSRQDIGLQKSAKGSVTLGGNELSRFSDPPGDASLDDLFHPLDKNPDDRAAEASTSTSTSHVNQGNASVLEAGKNDLATKLRATIAQKQMESDIGQKNGGGDLFRLMMGVLKDDVIDIDGLVFDEKLPAENLFPLQAVEFGRLVGSLRPEESEDVIASACQKLIAMFHQRPEQKIVFITQHGLLPLMELLEVPKTRVICSVLQLINQIVKDNTDFQENACLVGLIPVVMSFAGPDRPREVRMEAAYFLQQLCQSSDLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILFRLINTLYSLNEATRLASISVGTGFPLDGSVQRQRSGPLDTSLPIFVQSEALLCASDHPEVLKVRHGMIEHPMPTVSQEPSCASTSHSQRVDVTQPESRYTAPDTDRHQSSTASLETSAALEKAGNIDAKKSLATASKERDNLERWKVDSSRAEIDVRQQRIAGSTNRTSTDRPPKLIESASNGLPSMMSAQPEQVRPLLSLLEKEPPSKHFSGQLEYVRHISGLERHESILPLLHASAEKKTNGELDFLMAEFAEVTGRGRENGNLDSTPRVSHKTVNKKLGPLASNDGAASTSGIASQTTSGVLSGSGVLNARPGSATSSGLLSHMVSTKNAEVARDYLEKVADLLLEFSQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINNLSTDPNCLENLQRADAIKFLIPNLELKEGPLVEQIHNEVLHALFNLCKINKRRQEQAAENGIIPHLMHIIMTDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDVFWSVTALDSIAVCLAHDNDNRKVEQALLKKEAVQKLVKFFQSCPEQQFEHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQEAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Manes.11G101900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22914692:22958121:1 gene:Manes.11G101900.v8.1 transcript:Manes.11G101900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTTSSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLKHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIQNSRRALNSFRHNGSINIQEDDSANSEILNGDNQSSGQNHSSEKADGSVANLETDARKELLTGTAGVSNSDKDHSSDFGIIEERTDKLEDDLQSDQVPTLAIHEKTSFQNGSIGLCTNKVAAACASHPGSSHLNDQDESVMNGEVESRDASGKSVDRKHGGKGSCNNVENKPFGFAPSRQDIGLQKSAKGSVTLGGNELSRFSDPPGDASLDDLFHPLDKNPDDRAAEASTSTSTSHVNQGNASVLEAGKNDLATKLRATIAQKQMESDIGQKNGGGDLFRLMMGVLKDDVIDIDGLVFDEKLPAENLFPLQAVEFGRLVGSLRPEESEDVIASACQKLIAMFHQRPEQKIVFITQHGLLPLMELLEVPKTRVICSVLQLINQIVKDNTDFQENACLVGLIPVVMSFAGPDRPREVRMEAAYFLQQLCQSSDLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILFRLINTLYSLNEATRLASISVGTGFPLDGSVQRQRSGPLDTSLPIFVQSEALLCASDHPEVLKVRHGMIEHPMPTVSQEPSCASTSHSQRVDVTQPESRYTAPDTDRHQSSTASLETSAALEKAGNIDAKKSLATASKERDNLERWKVDSSRAEIDVRQQRIAGSTNRTSTDRPPKLIESASNGLPSMMSAQPEQVRPLLSLLEKEPPSKHFSGQLEYVRHISGLERHESILPLLHASAEKKTNGELDFLMAEFAEVTGRGRENGNLDSTPRVSHKTVNKKLGPLASNDGAASTSGIASQTTSGVLSGSGVLNARPGSATSSGLLSHMVSTKNAEVARDYLEKVADLLLEFSQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINNLSTDPNCLENLQRADAIKFLIPNLELKEGPLVEQIHNEVLHALFNLCKINKRRQEQAAENGIIPHLMHIIMTDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDVFWSVTALDSIAVCLAHDNDNRKVEQALLKKEAVQKLVKFFQSCPEQQFEHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQEAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Manes.11G101900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22914692:22958121:1 gene:Manes.11G101900.v8.1 transcript:Manes.11G101900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTTSSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLKHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIQNSRRALNSFRHNGSIKSIQEDDSANSEILNGDNQSSGQNHSSEKADGSVANLETDARKELLTGTAGVSNSDKDHSSDFGIIEERTDKLEDDLQSDQVPTLAIHEKTSFQNGSIGLCTNKVAAACASHPGSSHLNDQDESVMNGEVESRDASGKSVDRKHGGKGSCNNVENKPFGFAPSRQDIGLQKSAKGSVTLGGNELSRFSDPPGDASLDDLFHPLDKNPDDRAAEASTSTSTSHVNQGNASVLEAGKNDLATKLRATIAQKQMESDIGQKNGGGDLFRLMMGVLKDDVIDIDGLVFDEKLPAENLFPLQAVEFGRLVGSLRPEESEDVIASACQKLIAMFHQRPEQKIVFITQHGLLPLMELLEVPKTRVICSVLQLINQIVKDNTDFQENACLVGLIPVVMSFAGPDRPREVRMEAAYFLQQLCQSSDLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILFRLINTLYSLNEATRLASISVGTGFPLDGSVQRQRSGPLDTSLPIFVQSEALLCASDHPEVLKVRHGMIEHPMPTVSQEPSCASTSHSQRVDVTQPESRYTAPDTDRHQSSTASLETSAALEKAGNIDAKKSLATASKERDNLERWKVDSSRAEIDVRQQRIAGSTNRTSTDRPPKLIESASNGLPSMMSAQPEQVRPLLSLLEKEPPSKHFSGQLEYVRHISGLERHESILPLLHASAEKKTNGELDFLMAEFAEVTGRGRENGNLDSTPRVSHKTVNKKLGPLASNDGAASTSGIASQTTSGVLSGSGVLNARPGSATSSGLLSHMVSTKNAEVARDYLEKVADLLLEFSQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKVLHALFNLCKINKRRQEQAAENGIIPHLMHIIMTDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDVFWSVTALDSIAVCLAHDNDNRKVEQALLKKEAVQKLVKFFQSCPEQQFEHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQEAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Manes.15G038400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3011091:3012220:1 gene:Manes.15G038400.v8.1 transcript:Manes.15G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKQGNIFILTFIGDGEHRLSPSLIDSVLSALREVKSKATRGSVLITTSQGRFFSNGFDLAWAQAAGSSSKAMERLHHMVVSFKPVVAEMISLPMPTVAAVQGHAAAAGFLLALSHDYILIRSDKGVLYMSEIDIGLPLPDYFAAAFRAKLHAVSARRDVLLRGAKLKGEEAVRMGIVDAAYDSEGSLAAAAMRLAEELASSKWKGDTYKEIRTSLYPDLCGVLGLEEGKIVAKL >Manes.03G099600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22232715:22247113:1 gene:Manes.03G099600.v8.1 transcript:Manes.03G099600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYLWILTLISLSEELSLAKAAQSNILLPSQLNDDLSSPLFRCLAPDPKLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPREILFQKLNLVNGVLFTGGWAKSGLYYDIAKAVFKKALAKNDAGYHFPLYAICLGFELLTMIISKDNNILESFNAADQASTLQFMENVNIEGTVFQRFPPDLLKKMSTDCLVMQNHLYGISPERFQENQDLSSFFKILTTSADANNKKNAFEWGSSMIPHSEDAIQVTQHVANFLISEARKSLNRPPASEVLDNLIYNYSPTYCGKAGKGFDEVYIFEEP >Manes.03G099600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22232715:22247113:1 gene:Manes.03G099600.v8.1 transcript:Manes.03G099600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYLWILTLISLSEELSLAKAAQSNILLPSQLNDDLSSPLFRCLAPDPKLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPREILFQKLNLVNGVLFTGGWAKSGLYYDIAKAVFKKALAKNDAGYHFPLYAICLGFELLTMIISKDNNILESFNAADQASTLQFMENVNIEGTVFQRFPPDLLKKMSTDCLVMQNHLYGISPERFQENQDLSSFFKILTTSADANNKVYVSTVEANNYPVTAFQWHPEKNAFEMRLI >Manes.03G099600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22232715:22247113:1 gene:Manes.03G099600.v8.1 transcript:Manes.03G099600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYLWILTLISLSEELSLAKAAQSNILLPSQLNDDLSSPLFRCLAPDPKLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPREILFQKLNLVNGVLFTGGWAKSGLYYDIAKAVFKKALAKNDAGYHFPLYAICLGFELLTMIISKDNNILESFNAADQASTLQFMENVNIEGTVFQRFPPDLLKKMSTDCLVMQNHLYGISPERFQENQDLSSFFKILTTSADANNKVYVSTVEANNYPVTAFQWHPEV >Manes.03G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22232715:22247113:1 gene:Manes.03G099600.v8.1 transcript:Manes.03G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYLWILTLISLSEELSLAKAAQSNILLPSQLNDDLSSPLFRCLAPDPKLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPREILFQKLNLVNGVLFTGGWAKSGLYYDIAKAVFKKALAKNDAGYHFPLYAICLGFELLTMIISKDNNILESFNAADQASTLQFMENVNIEGTVFQRFPPDLLKKMSTDCLVMQNHLYGISPERFQENQDLSSFFKILTTSADANNKVYVSTVEANNYPVTAFQWHPEKNAFEWGSSMIPHSEDAIQVTQHVANFLISEARKSLNRPPASEVLDNLIYNYSPTYCGKAGKGFDEVYIFEEP >Manes.03G099600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22232715:22247113:1 gene:Manes.03G099600.v8.1 transcript:Manes.03G099600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNYLWILTLISLSEELSLAKAAQSNILLPSQLNDDLSSPLFRCLAPDPKLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPREILFQKLNLVNGVLFTGGWAKSGLYYDIAKAVFKKALAKNDAGYHFPLYAICLGFELLTMIISKDNNILESFNAADQASTLQFMENVNIEGTVFQRFPPDLLKKMSTDCLVMQNHLYGISPERFQENQDLSSFFKILTTSADANNKVYVSTVEANNYPVTAFQWHPEKNAFEWGSSMIPHSEIYTNLNGLLGFDI >Manes.10G039300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4043040:4051914:1 gene:Manes.10G039300.v8.1 transcript:Manes.10G039300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGNEETAVKSLVGQSSLNIAGVPVKKRRFIWPLSPTPEEQSSLPVENDSLEKVRISPSRDSELVDASIPGNSSVSSDLNKNFVPEENKKPLNDNLPKNSINHSIYRIAEPSMAQSDSLGKLDNDDEKLDNDDEKLVAEKSANILTKVKPLGGVPMKKRRFILPPSPAPEVQSLPVLENDSLQKEHGRLSQEPAISSASVAQSSCLSGENKNLLPEDNKMVPESIVQTNTINHSRVKIEEPLHTIQSESLDKLDNAEKLVASEKSASILVKSGETELNLATNKAPALFVDKVKCDQQIAEGKCKDKPTFSGNPELALDLKDGPLCALEDRSHDGNSCNHGNVEPVSLNLSLSNDERSTHYKMDDVQPNTVSANVCADRSNWDLNTTMDTWEDSVSGEVGEVTADGLQTVGVKHHIEPLILTGTVGTGVATEKEIVESDLRSNFSRISSQSGQQYNSEDSLHLRLSPSFLSFNNQEPSISSTNGDSQTGINISLPRGFLSAGNTVNSRTIKSEPFDDNLKRDSSRTEANLTVPLDSRAVPVKSELVEKFAQEAHEALNFSTVLQVDAKSMKSESFDEGNSEKPNTIDATSHQSCESAGQLTPEMVSLPVSHSGDNINGSGAVDAAVAEKENINDFDQCKLKVVDGLPSDPHQNGESTVSDEEKINLSGDMLEEDSYGTEYESDGNSVPMDIEEDGRGQDDYEDGEVREPQLLGVVERHICEKREGINHDDSDSKKVHSAKLHPDVHPTSSYVEGTETTAEEPVEMNKDNVEECIDTILDSKPMDDASAVEISATGADKRKVVETVQRKPLDLSAEKEVVKSLETEQSPDQAIVGRQVTPLSIVQGTDENVKTNDVERDDSALSEVETSINVDATKDANSGVNQSRIINLSVASNMSSSGKTRYVSGKPLSLHPGRERLPDVPLEGEKLHPRGRFSRERFQDQSSRSSRWNYVHARGRLASRIDAVCSDRDSERDCIPRHKYASAVAGSNSEFLNYDIGPDGAFVGSVRGGRKILEDETSIFRNLSSRRRSPGARDGPASHGFQMVRRVPRSIDEDNSKVVGVRHAENIMRGFPDDGEGHAYTRPQPPYEGLDGRFVQGPRNFSSVQRRGLPQMRSKSPIRSRSPGPWSSSRRRSPDGFGGPPELPHRRSPIYRMERIRSPDNPGFPAERVSRRRGSPPYLSRPNDLRDMDPGRGHGHPRSIISNRSPTGRIFLRNRRFGAGDHRERAENDEFYEGPMHPGRFHELGGDGNGEERRFGERRAPVRSFRPPFSGADGENFPLNTGDGPRSFRFFPENDQDFHERANLRERDFDRRIKNRQGNAPRRPRSIEEQEGNYRHGGQVMYDDSFDDMSRVKRKRF >Manes.10G039300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4043040:4051914:1 gene:Manes.10G039300.v8.1 transcript:Manes.10G039300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGNEETAVKSLVGQSSLNIAGVPVKKRRFIWPLSPTPEEQSSLPVENDSLEKVRISPSRDSELVDASIPGNSSVSSDLNKNFVPEENKKPLNDNLPKNSINHSIYRIAEPSMAQSDSLGKLDNDDEKLDNDDEKLVAEKSANILTKVKPLGGVPMKKRRFILPPSPAPEVQSLPVLENDSLQKEHGRLSQEPAISSASVAQSSCLSGENKNLLPEDNKMVPESIVQTNTINHSRVKIEEPLHTIQSESLDKLDNAEKLVASEKSASILVKSGETELNLATNKAPALFVDKVKCDQQIAEGKCKDKPTFSGNPELALDLKDGPLCALEDRSHDGNSCNHGNVEPVSLNLSLSNDERSTHYKMDDVQPNTVSANVCADRSNWDLNTTMDTWEDSVSGEVGEVTADGLQTVGVKHHIEPLILTGTVGTGVATEKEIVESDLRSNFSRISSQSGQQYNSEDSLHLRLSPSFLSFNNQEPSISSTNGDSQTGINISLPRGFLSAGNTVNSRTIKSEPFDDNLKRDSSRTEANLTVPLDSRAVPVKSELVEKFAQEAHEALNFSTVLQVDAKSMKSESFDEGNSEKPNTIDATSHQSCESAGQLTPEMVSLPVSHSGDNINGSGAVDAAVAEKENINDFDQCKLKVVDGLPSDPHQNGESTVSDEEKINLSGDMLEEDSYGTEYESDGNSVPMDIEEDGRGQDDYEDGEVREPQLLGVVERHICEKREGINHDDSDSKKVHSAKLHPDVHPTSSYVEGTETTAEEPVEMNKDNVEECIDTILDSKPMDDASAVEISATGADKRKVVETVQRKPLDLSAEKEVVKSLETEQSPDQAIVGRQVTPLSIVQGTDENVKTNDVERDDSALSEVETSINVDATKDANSGVNQSRIINLSVASNMSSSGKTRYVSGKPLSLHPGRERLPDVPLEGEKLHPRGRDDPYEGSRRFSRERFQDQSSRSSRWNYVHARGRLASRIDAVCSDRDSERDCIPRHKYASAVAGSNSEFLNYDIGPDGAFVGSVRGGRKILEDETSIFRNLSSRRRSPGARDGPASHGFQMVRRVPRSIDEDNSKVVGVRHAENIMRGFPDDGEGHAYTRPQPPYEGLDGRFVQGPRNFSSVQRRGLPQMRSKSPIRSRSPGPWSSSRRRSPDGFGGPPELPHRRSPIYRMERIRSPDNPGFPAERVSRRRGSPPYLSRPNDLRDMDPGRGHGHPRSIISNRSPTGRIFLRNRRFGAGDHRERAENDEFYEGPMHPGRFHELGGDGNGEERRFGERRAPVRSFRPPFSGADGENFPLNTGDGPRSFRFFPENDQDFHERANLRERDFDRRIKNRQGNAPRRPRSIEEQEGNYRHGGQVMYDDSFDDMSRVKRKRF >Manes.05G029900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2537200:2540119:1 gene:Manes.05G029900.v8.1 transcript:Manes.05G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGQLLSKLATNEGHGENSPYFDGWKAYDKNPFHPTDNPDGVIQMGLAENQLSFDLIRDWIKQHPEASICTAEGVDKLKDIANFQDYHGLREFRQAIAKFMGMVRGGRVTFDPDRVVMGGGATGANELIMFCLANPGDAFLVPSPYYPAFDRDLTWRTGVQIIPVDCYSSNNFQITKAALEAAYDKAQDAGINVKGLIVANPSNPLGTILDSETLKDLVSFINERNIHLVVDEIYAATIFRSPTFISVAEIIQEMDCNHDLIHIVYSLSKDMGLPGFRVGIVYSYNDDVVSCARKMSSFGLVSSQTQYLLAPMLSDEEFVKNFLAESSRRLNKRHSMFTKGLEQVGISCLKGNAGLYVWMDLRHLIKEPTFEGEMALWRVIINQVKLNVSPGSSFHCKEAGWFRVCIANMDDQTVETALKRIRAFVCKGKEEEEMPTKNKKRWQKNLRLSFSARRFEEGVMSPHMMSPNSPIPHSPLVRAK >Manes.09G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30942937:30944112:-1 gene:Manes.09G106800.v8.1 transcript:Manes.09G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQERSDLDARAKQGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRRDQLGTEGYKELGHRGGETRREQMGTEGYQEMGRKGGLSTIDKSGGERAAEEGIEIDESKYRTRS >Manes.17G056700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25510696:25517049:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25511542:25516996:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25510696:25516776:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25511542:25517006:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25511542:25516996:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25511542:25516776:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25510696:25516776:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25510696:25516776:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25510696:25517049:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25512848:25515573:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25511542:25516776:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.17G056700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25511542:25516776:-1 gene:Manes.17G056700.v8.1 transcript:Manes.17G056700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQKLYDACKESFSTNGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGYINGTNGRKGRNGSHQYPPPIKYLHLHECNRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGFDDPSQARPAKLVRDCEMTAPCGTTILYPTTGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRVPGSVLPEGAAQLCGIEPSEVAWLEETQPPENFVVQRGLYKGPNIRR >Manes.06G146700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27314674:27317910:1 gene:Manes.06G146700.v8.1 transcript:Manes.06G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEKKTRAPREEEVKKKKMGRTPCCDKDGVKKGAWSPEEDRILVQYIQKHGHGSWRSLPKNAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEEATIIQLHGMLGNKWASIASQLPGRTDNEIKNFWNTHLKKRLSSLDQKLQISCSSSEPNAKCDSPSTRHMVQWESARVEAEARLSMESLLLNPSSSVKMEYDYFLQLWNCEVGESFRNINGKVGEACESPISQASSSTKFGSGDNENAAQMMSIKQETVHEQEDNCKPNADVITGSDSISSNEFIDYSDTVLKMLLDVPVGNGMEFLE >Manes.06G146700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27314674:27317910:1 gene:Manes.06G146700.v8.1 transcript:Manes.06G146700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKDGVKKGAWSPEEDRILVQYIQKHGHGSWRSLPKNAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEEATIIQLHGMLGNKWASIASQLPGRTDNEIKNFWNTHLKKRLSSLDQKLQISCSSSEPNAKCDSPSTRHMVQWESARVEAEARLSMESLLLNPSSSVKMEYDYFLQLWNCEVGESFRNINGKVGEACESPISQASSSTKFGSGDNENAAQMMSIKQETVHEQEDNCKPNADVITGSDSISSNEFIDYSDTVLKMLLDVPVGNGMEFLE >Manes.08G047351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4735656:4737280:-1 gene:Manes.08G047351.v8.1 transcript:Manes.08G047351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIYLAFLFIFYYFAAVTLLICRGYLVLADCKNISFSLLVVHQVSLQFLLFVFVFYLMVLILLFISLAWRSVGRESICWLEFHLRLCSNKSFNISIYLMHATTYSLHRSYSLH >Manes.15G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6210584:6215558:1 gene:Manes.15G080800.v8.1 transcript:Manes.15G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNISMKDFKLLLSFFSVLFSFFIVSIAVDTISVNQTIRDGETIVSPSGRFALGFFGYSGSSSRYVGIWYPFSNNTVVWVANRAMPLNDSSGVLQLTSKGILVLHNSTNTVVWSTNTSREAQSPVAQLLDSGNLVVREANDTDEDNYLWQSFDFLSDTFLPGIKFGKNLVTGHDRYLVSWKSTTDPSLGDSTTRLDPGGYPQIYIRKDENIVFRSGPWNGLRFSGMPNLKPNPIYTYEFVYNQQEIYYRYDLISKSVISMMVLNNDGIFQRVTWSNSTQTWNLYLTTQMDNCDRYAICGAYGTCNINNSPPCACLDGFVPKDEQAWDSGDWLSGCVRKNESICRAGEGFQRISSVKLPDTRTSWFNRTMDLKECESVCLKNCSCTAYSTLNITDGSGCLLWFEELIDIRHYTEDGQDFFIRLAASDLESIHRPRSQTRVWIIAVSVLVAVAALLGLCLLFHFRRKRFQRRESIGRMQDRDYTDESRERDLELPLFDFLTIANATENFSEYNKLGEGGFGPVYKGTLKDGREIAVKRLSKDSTQGLDEFKNEVIFIAKLQHRNLVKLLGCCIQQEEKMLIYEYMPNKSLDAFIFDQKQRKLLDWPMRFHIINGIARGLLYLHQDSRLRIIHRDLKASNILLDIDMNPKISDFGMARSFGGNEIQGNTKRVVGTYGYMSPEYAIDGLFSIKSDVFSFGVLVLEIISGKRNRGFYHPDHKHNLIGHAWRLHLEEKSSELMDESLNDSCILSEAMRCIHVGLLCVQQSPDDRPNMSTVVLMLSSEIALPEPKEPGFFTERKVFEQESSSSKIDTCSANEITITLLAAR >Manes.02G007166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:881967:883229:-1 gene:Manes.02G007166.v8.1 transcript:Manes.02G007166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRYGSLVEDLFTGYQLRCEGWRSMFCNPDRPAFLGNAPISLVDLLNQQKRWAVGVFEVGFSKYSPIIYGIRQMGLFMSLLYSQYAFWPIWSIPITTYAFLPQLALINQLCVFPKVSESWFLLYPFLFLGAYSQDYFDFVSAKGTFQMWWNDQRIWTIRGLTCLLFGSLEFLLKSLGISTLGFSLTSKVVDAEQSKRYDQGIFDFGVPSPMFVPLTTAALINLVALILGLAQVLKGGNSLEGLLIQMFIAGFMVVNFWPIYEAMVFRKDEGKLPVRITLTAAFLAWALYIVASFIF >Manes.01G089300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29120723:29121897:1 gene:Manes.01G089300.v8.1 transcript:Manes.01G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLCSHAAVFLILAIGNAVLVTAGDPDLLKDFVVPLGLDPTTITSQYFTFTGFRALREANLTGRTTALVTKATLKEFSALEGQGVSVAAIMYPPSGMNPPHVHPRASELLIVLLGSLEVGFVDTTNKLYTQTLQAPDMFVFPKGLVHFQVNTKTDSPCIALGILGSANAGTVLLPSTLFGSGISADILAKAFKTDEQTINKLIEANK >Manes.03G119800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24613452:24616297:1 gene:Manes.03G119800.v8.1 transcript:Manes.03G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEPKNTLKPTNYNLGESNKRTLQFIEDVTSNADEVQKKVLEEILSLNAHVEYLQRHGLNGHIDRDSFKKFMPVITYEDIQPDINRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVMNQFVPGLEKAKGMYFLFVKSEAKTPGGLLARPVLTSYNKSSHFKNRPYDPYTNYTSPNETILCPDSYQSMYSQMLCGLCQRNEVLRVGAVFASGFIRAIHFLKKHWQLLRNDIRTGTINPQITDPCVREAVMKILKPDPKLADFIEAECSQDSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCSMYASSECYFGINLNPLCKPSEVSYTLIPTMAYFEFLPVHRNNGVINSISMPKSLNDKEKQELVDLVDLKLGQEYELVVTTYAGLYRYRVGDVLRVAGYKNKAPQFNFICRKNVALSIDSDKTDEVELHNAVKNAVNHLVPFDATLAEYTSYADTTTTPGHYVLLWELTLNGSTPIPPSVFEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVELGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRVMSSYFSPKCPKWVPGHKQWVNKN >Manes.S035316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:899494:899616:1 gene:Manes.S035316.v8.1 transcript:Manes.S035316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G107301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8513736:8518862:1 gene:Manes.15G107301.v8.1 transcript:Manes.15G107301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRTSSIPSFHIPTSLDTTQFTKPRFLNFQFNKLSTSSFSRIQGNVSNFKLFTTISSPSSVSTESFEPPEPEFEAATNGDKFDWYSQWYPLMPVCDLDKRVPHAKKVMGLDVVVWWDRNENAWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPVDGPPVHTFKKACVAVYPSTVHHDIVWFWPNPDPQYKDIITKKKPPSIPELDDPSFTKLMGNRDIPYGYDVLVENLMDPAHVPYAHYGIMRTRKPQVKVDREGGIPVDLSVKKLDKDGYIGNMAWGSSKFIAPCIFYAYTEPVEDQGNGTASSPQSKKQLSTHRRMALIFICIPVSPGNSRLIWVFPRNFGVWIDKIVPRWMFHVGQNLILDSDLYLLHVEERKIMDVGPANWQKACFVPTKSDALVVGFRRWLNKYAGGQVDWRGKYSGALPPTPPREQLMDRYWSHVVNCRSCNSAHKGLSALEVILPVISLVSIGIVAAAKQGVISAAARTTLVTLAVVCFAASRWLSHFIYKTFHYHDYNHALR >Manes.15G107301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8513736:8518862:1 gene:Manes.15G107301.v8.1 transcript:Manes.15G107301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRTSSIPSFHIPTSLDTTQFTKPRFLNFQFNKLSTSSFSRIQGNVSNFKLFTTISSPSSVSTESFEPPEPEFEAATNGDKFDWYSQWYPLMPVCDLDKRVPHAKKVMGLDVVVWWDRNENAWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPVDGPPVHTFKKACVAVYPSTVHHDIVWFWPNPDPQYKDIITKKKPPSIPELDDPSFTKLMGNRDIPYGYDVLVENLMDPAHVPYAHYGIMRTRKPQVKVDREGGIPVDLSVKKLDKDGYIGNMAWGSSKFIAPCIFYAYTEPVEDQGNGTASSPQSKKERKIMDVGPANWQKACFVPTKSDALVVGFRRWLNKYAGGQVDWRGKYSGALPPTPPREQLMDRYWSHVVNCRSCNSAHKGLSALEVILPVISLVSIGIVAAAKQGVISAAARTTLVTLAVVCFAASRWLSHFIYKTFHYHDYNHALR >Manes.18G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3063974:3066286:-1 gene:Manes.18G033200.v8.1 transcript:Manes.18G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRSPLQPPTYGNLITILSIDGGGIRGIIPGTILGFLESELQKLDGEDARLADYFDVITGTSTGGLVTAMLTAPNEQNRPLFAAKDITNFYLEHCPKIFHQDRSPFAPAANLVKAFTGPKYDGKYLHQVTREKLGDIKLEQTLTNVIIPTFDIKRLQPTVFSSYEVKKNPSINALLSDICISTSAAPTYLPAHHFETKDSTGKVIREFNLIDGGVAANNPALVAMNAVMKEINSENTDFFPLKPTDYKRFLVLSLGTGSPKSEEKYHAHDAAKWGLLGWLTSENSTPLVDVFMQASSDMVDFHIATLFQALHSEENYLRIQDDTLTGNLSSVDIATEENLKNLVKVGEALLKKQVGRVNLDNGAFEPAYKATNEEALKKLADILSKEKRLREVRSPQGHGKGVAHK >Manes.14G156601.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:20183651:20186919:1 gene:Manes.14G156601.v8.1 transcript:Manes.14G156601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLFPIKPVYPILKNKPTPPNPPLKFSSAKLPPPPFPPSSSHLSFHFDSLLQHLLHLSSPPNSTAQKLNGTKFSSSLQISNDSAQKRNPISALQFEVDKEEGVSNNGSLDYLSTKGKLILDSIMEQPLHSLSAFFDSCKYELLQVDLICLLKALDYSGNWEKALSLFEWTVLNLGAANEKLDNRAIELMVRILGRESQHSIASKLFDIIPLDDYLLDVRAYTTILHTYSRTGKYKRAIEIFEKMTESGLSPDLVTYNVILDVYGKMGRSWSKILGLLDEMRSRGLEFDEFTCSTVISACGREGLLNEAKDFLTGLKSHGYVPGTVTYNALLQVFGKAGMYSEALTILKEMEDNNCPPDAVTYNEIVAAYVRAGFYEEGASVIDAMTCKGIMPNAVTYTTMINAYGRAGEMDKALKLFDQMKEMGCVPNVCTYNAVLGMLGKKSRSEEMLKILCDMKLNGCAPNRITWNTMLAMCGNMGMQKYVNQVLREMKSCGFEPDKDTFNTLINAYGRCGSVIDVAKMLEEVIEAGFTPCVTTYNALLNALARRGDWRVAEAVILEMKNKGFKPNDTSYSLILHSYAKGGNVKGIERIEKDIYDGHIFRSWMLLRTLVLANFKCRSLMGMERAFKALQKHGYKPDLVLFNSMLSIFAKNNMYKRAHKMLHSIHESGLQPDLITYNSLMDMYARGGDCWKAEEILRMLQKSGGKPDLVSYNTVIKAFCRQGLMQEAIRILSEMTSIGIVPCIFTYNTFITGYAAQGMFTEISDVISYMIKHDCRPNELTYKIVVDGYCKAKQYKEAMDFVSKIKNIDSSFGDMSVRRLASRVRTNLAS >Manes.14G156601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:20183650:20186919:1 gene:Manes.14G156601.v8.1 transcript:Manes.14G156601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLFPIKPVYPILKNKPTPPNPPLKFSSAKLPPPPFPPSSSHLSFHFDSLLQHLLHLSSPPNSTAQKLNGTKFSSSLQISNDSAQKRNPISALQFEVDKEEGVSNNGSLDYLSTKGKLILDSIMEQPLHSLSAFFDSCKYELLQVDLICLLKALDYSGNWEKALSLFEWTVLNLGAANEKLDNRAIELMVRILGRESQHSIASKLFDIIPLDDYLLDVRAYTTILHTYSRTGKYKRAIEIFEKMTESGLSPDLVTYNVILDVYGKMGRSWSKILGLLDEMRSRGLEFDEFTCSTVISACGREGLLNEAKDFLTGLKSHGYVPGTVTYNALLQVFGKAGMYSEALTILKEMEDNNCPPDAVTYNEIVAAYVRAGFYEEGASVIDAMTCKGIMPNAVTYTTMINAYGRAGEMDKALKLFDQMKEMGCVPNVCTYNAVLGMLGKKSRSEEMLKILCDMKLNGCAPNRITWNTMLAMCGNMGMQKYVNQVLREMKSCGFEPDKDTFNTLINAYGRCGSVIDVAKMLEEVIEAGFTPCVTTYNALLNALARRGDWRVAEAVILEMKNKGFKPNDTSYSLILHSYAKGGNVKGIERIEKDIYDGHIFRSWMLLRTLVLANFKCRSLMGMERAFKALQKHGYKPDLVLFNSMLSIFAKNNMYKRAHKMLHSIHESGLQPDLITYNSLMDMYARGGDCWKAEEILRMLQKSGGKPDLVSYNTVIKAFCRQGLMQEAIRILSEMTSIGIVPCIFTYNTFITGYAAQGMFTEISDVISYMIKHDCRPNELTYKIVVDGYCKAKQYKEAMDFVSKIKNIDSSFGDMSVRRLASRVRTNLAS >Manes.15G070575.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5359928:5362970:1 gene:Manes.15G070575.v8.1 transcript:Manes.15G070575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISTINGGSEGAGFSGSIGGGVDVAAAAAIHQGGGDMHANVHHGVNGTGSCSGGNDGNGETHLKKGPWTAEEDAILAEYVRKHGEGNWNAVQRHSGLSRCGKSCRLRWANHLRPNLKKGAFSPEEERLIVELHAKFGNKWARMATLLPGRTDNEIKNYWNTRIKRHHRQGLPLYPADIQPQHPPSPHFHRPPSPHFHHRRSSSLSIPPTAPTSSFTFPTPNTATYSLTPTPATPPSLFPTHTSASFPTLPLLDPSQPQYHHHHHATHSTPPNPTSSFSFQSQLPSPTHAHTHNSACSTPPPVSPLSSPSINTPTNFPTLPLFDFSVHRTPPTIQTPVRFKRFFSSPNIASLATNDDTSTISPNSHFSLPLSPLPPASASNSPLDHQMPSCFSNLLSSNNSSEFHNELQRENQEMCSLLASVTQPELPSNQFSPTINQNRNLGIGIATSGSKFGRKSTKKKFGNSIKDQVNGNLGLTLEDLLQEAKGLAECGQISTEQSSLVLQEQKPKLLVSDGFGLHWDQSSSLALSSELEPEVDISDHLNDMPEDFNKVLDTLPYTMQPELYSDGADGPCSVITDDDMGFDMQQIASLFSPAEHGRTLGSCSWDNLPGIC >Manes.08G015200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:151190:154265:-1 gene:Manes.08G015200.v8.1 transcript:Manes.08G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHCFLLSILLLLFSILHTPSEASSSPTELVVKICKRTSNYSFCVESLYSDSHTSNADQYTLAFTAVRLAYVFANSTRAHISQLLKNDSQHRKPLQRCILGYDQAVSALEKAYNDLNSETFFELADFADQAAASANDCEAAFQGNPSPPLGNRNKDLKGLCEICGIIGKLFTGQ >Manes.01G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28649590:28654303:-1 gene:Manes.01G083900.v8.1 transcript:Manes.01G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSHYTGSLSHASGSNNPGSPGGETPDHGGTADGYASEDFVPGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEPADTPMASQEFLTVNDLQVETQTDSPDPLPAPPPLDEECESMDSNNSNEAEAVPPNPKPDSSQSFYPVMYPAYFPPFFPFSFPLWSGYGGEPSKKETHEVVKPTAVHSKSPINVDELVGMSKLSLGESIGDTGPSLSLKLVEGSSRQSAFHANTSSNSSNMNSSSSPIHAV >Manes.03G182700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30428911:30430751:-1 gene:Manes.03G182700.v8.1 transcript:Manes.03G182700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIELEDDLFFADISKQISLLVMEDDEDPVANYPSVSFQDFSRANYPTASSACMYEQRRESKGTGVFIPRSSQPRRKHRQGRHSSFNSKSHKQQDNARMVSHVSYNNSLYPRKAN >Manes.03G007100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:607385:612261:1 gene:Manes.03G007100.v8.1 transcript:Manes.03G007100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPSKVTQESGPVFDLAGQLGLFAFNTAFQSIKSNGCSSFKSDYLFFDSSVGKTKRKVAASLSFGSRGTSSVKRIWVEFNRVIRFHCQRIPIGFASVGVGSGYSNGDNSNGLRDNGCDVLVERGLPLSGVEAESPKKVLILMSDTGGGHRASAEAIKAAFNEEFGDDYQVFITDLWSEHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVIHQSNFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRAKGLLQKIAFTTVITDLSTCHPTWFHKLVTRCYCPTTDVAKRALKAGLQPSQIKVYGLPVRPSFVKPVRPKRELRRELGMDEDLPAVLLMGGGEGMGPIEATARALGKALYDENLGEPIGQVLVICGRNKKLANRLHSIDWKIPVQVLIYPLIVPARRFYHKWPFQLHILHFSNLS >Manes.03G007100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:607385:612261:1 gene:Manes.03G007100.v8.1 transcript:Manes.03G007100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPSKVTQESGPVFDLAGQLGLFAFNTAFQSIKSNGCSSFKSDYLFFDSSVGKTKRKVAASLSFGSRGTSSVKRIWVEFNRVIRFHCQRIPIGFASVGVGSGYSNGDNSNGLRDNGCDVLVERGLPLSGVEAESPKKVLILMSDTGGGHRASAEAIKAAFNEEFGDDYQVFITDLWSEHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVIHQSNFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRAKGLLQKIAFTTVITDLSTCHPTWFHKLVTRCYCPTTDVAKRALKAGLQPSQIKVYGLPVRPSFVKPVRPKRELRRELGMDEDLPAVLLMGGGEGMGPIEATARALGKALYDENLGEPIGQVLVICGRNKKLANRLHSIDWKIPVQVLIYPLIVPARRFYHKWPFQLHILHFSNLS >Manes.03G007100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:607385:612252:1 gene:Manes.03G007100.v8.1 transcript:Manes.03G007100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPSKVTQESGPVFDLAGQLGLFAFNTAFQSIKSNGCSSFKSDYLFFDSSVGKTKRKVAASLSFGSRGTSSVKRIWVEFNRVIRFHCQRIPIGFASVGVGSGYSNGDNSNGLRDNGCDVLVERGLPLSGVEAESPKKVLILMSDTGGGHRASAEAIKAAFNEEFGDDYQVFITDLWSEHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVIHQSNFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRAKGLLQKIAFTTVITDLSTCHPTWFHKLVTRCYCPTTDVAKRALKAGLQPSQIKVYGLPVRPSFVKPVRPKRELRRELGMDEDLPAVLLMGGGEGMGPIEATARALGKALYDENLGEPIGQVLVICGRNKKLANRLHSIDWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPYVVENGCGKFSKSPKEIARIVGQWFGPKADELKAMSQNALKLARPEAVFKIVHDIDELVRQRNLVPQYSCAS >Manes.02G205500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18014491:18017201:1 gene:Manes.02G205500.v8.1 transcript:Manes.02G205500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMFFSLALLLSFLLLAFNFCLRRSTQHRNLPTSPFALPIIGHLHLVNLPLHRSLHALSQKYGPIISLRFGFRRVIVLSSPSIVEECFTKNDIVFSNRPPLTILKYVTYNCTTLGTTSYGDHWRKLRRIGTHEVFSSSRLNVFTGIRRDEIKIFMNKLHSVSSHDFAKVVLRPMLMELTFNIMMRMVAGKRYYGEEVTANDKAEAEEFREMITEMFKYTGASYLGDFLPFLKLIDYQGFLKRVKRLGKRTDRFLQNLIDEHRCASPERKKDTMIGHLLSMQESQPEYYTDDIIKALILDVIFGGTESAAVTLEWAMSDLLNHPEAMEKVKKELDIHISENSLMNESDISKLSYLQNIITETMRLHPPGPLLIRHLSSQECSIGGYHVEPNTMLIVNAWAIHRDPEVWDDATGFKPERFESSAGQGSEVYKYMPFGLGRRSCPGMGLANRVMVFALGSMIHCFEWRKASDQKIDMSEGYGLTMPMAKPLKAMCKARSVMKNKLY >Manes.05G197201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32148035:32158647:1 gene:Manes.05G197201.v8.1 transcript:Manes.05G197201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWECITMTPSHRGNLSIGPESSIRNVRSRPALDLEINLSRTHLSNNSSHNSYHAGHPFDHSSSVDFSSQSSSAMTHNWCHTRTSTASGRMLVSDANGYTHETNHFLVGSSIPNASADVRGYHHDFISSRNPVVPQSFHSASAHSARGIRSSYSQRPSPTFRASSGSLRLGHMAPSDDGMPLVAENFSSRQPRLLSTASWRNSDRNGRSRNSYERYRSLPNEPSLHDRFSSEGFMVVDRSAFYGSRNLFDQHRDMRLDIDNMSYEELLALGERIGSVSTGFDEDLISKCLTETVYRSSGQSEDEGTCVICLEEYKDMDDVGSLKFCGHNYHVSCIKKWLSMKNLCPICKASAVADNMKE >Manes.06G111800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24339509:24343169:-1 gene:Manes.06G111800.v8.1 transcript:Manes.06G111800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSADYIKVLDYKGSSRAPKESSYLKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPQHMLKRIDRHAEKYIRRGRLDWPEGATSRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYEPSDRLTAREALRHPFFTGDHLRR >Manes.06G111800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24339509:24344626:-1 gene:Manes.06G111800.v8.1 transcript:Manes.06G111800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSADYIKVLDYKGSSRAPKESSYLKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPQHMLKRIDRHAEKYIRRGRLDWPEGATSRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYEPSDRLTAREALRHPFFTGDHLRR >Manes.06G111800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24340057:24344773:-1 gene:Manes.06G111800.v8.1 transcript:Manes.06G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERVTEFPLAHLDRRPRKRARLGWDVSQVPKAQVGIFCGQEVGSITSYASSGATPDHIISSSLFVKGVARNGSPPWREDDKDGHYMFAIGENLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSADYIKVLDYKGSSRAPKESSYLKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPQHMLKRIDRHAEKYIRRGRLDWPEGATSRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYEPSDRLTAREALRHPFFTGDHLRR >Manes.06G111800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24339511:24344471:-1 gene:Manes.06G111800.v8.1 transcript:Manes.06G111800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMERVTEFPLAHLDRRPRKRARLGWDVSQVPKAQVGIFCGQEVGSITSYASSGATPDHIISSSLFVKGVARNGSPPWREDDKDGHYMFAIGENLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSADYIKVLDYKGSSRAPKESSYLKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPQHMLKRIDRHAEKYIRRGRLDWPEGATSRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYEPSDRLTAREALRHPFFTGDHLRR >Manes.06G111800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24339511:24344471:-1 gene:Manes.06G111800.v8.1 transcript:Manes.06G111800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSADYIKVLDYKGSSRAPKESSYLKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPQHMLKRIDRHAEKYIRRGRLDWPEGATSRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYEPSDRLTAREALRHPFFTGDHLRR >Manes.06G111800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24339509:24344570:-1 gene:Manes.06G111800.v8.1 transcript:Manes.06G111800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIGENLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLHLIHTDLKPENILLVSADYIKVLDYKGSSRAPKESSYLKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPQHMLKRIDRHAEKYIRRGRLDWPEGATSRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYEPSDRLTAREALRHPFFTGDHLRR >Manes.12G120800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32527899:32533112:-1 gene:Manes.12G120800.v8.1 transcript:Manes.12G120800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGLEEEGQSDISLVASSTSIDCIPQNGCGLKERNYLGLSDCSSVDSSAVPSLSEENKNNLNLKATELRLGLPGSQSPQREDFSLLSTGKLDEKLLFPMLPLKDGIGSSSQKHIVSGNKRGFSDTMDGFSEVKGSLYSEKNWLFHSAGTESDSPQSMGHGKFPASSGINVRLPSRPAGAQSAMMKEVSQKGLNDQSQAANGANLNQTSSPNNSSSAPAAKAQVVGWPPVKSFMKNTLVTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRTYSTYQELSCALEKMFSCFTIGQCGSHGVPGKEKLSESKLRDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKSSEAIGLGTLSFLI >Manes.12G120800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32526780:32532635:-1 gene:Manes.12G120800.v8.1 transcript:Manes.12G120800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGLEEEGQSDISLVASSTSIDCIPQNGCGLKERNYLGLSDCSSVDSSAVPSLSEENKNNLNLKATELRLGLPGSQSPQREDFSLLSTGKLDEKLLFPMLPLKDGIGSSSQKHIVSGNKRGFSDTMDGFSEVKGSLYSEKNWLFHSAGTESDSPQSMGHGKFPASSGINVRLPSRPAGAQSAMMKEVSQKGLNDQSQAANGANLNQTSSPNNSSSAPAAKAQVVGWPPVKSFMKNTLVTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRTYSTYQELSCALEKMFSCFTIGQCGSHGVPGKEKLSESKLRDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKSSEAIGLAPRVMEKSKMKA >Manes.12G120800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32526780:32533185:-1 gene:Manes.12G120800.v8.1 transcript:Manes.12G120800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGLEEEGQSDISLVASSTSIDCIPQNGCGLKERNYLGLSDCSSVDSSAVPSLSEENKNNLNLKATELRLGLPGSQSPQREDFSLLSTGKLDEKLLFPMLPLKDGIGSSSQKHIVSGNKRGFSDTMDGFSEVKGSLYSEKNWLFHSAGTESDSPQSMGHGKFPASSGINVRLPSRPAGAQSAMMKEVSQKGLNDQSQAANGANLNQTSSPNNSSSAPAAKAQVVGWPPVKSFMKNTLVTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRTYSTYQELSCALEKMFSCFTIGQCGSHGVPGKEKLSESKLRDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKSSEAIGLAPRVMEKSKMKA >Manes.12G120800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32526780:32533112:-1 gene:Manes.12G120800.v8.1 transcript:Manes.12G120800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGLEEEGQSDISLVASSTSIDCIPQNGCGLKERNYLGLSDCSSVDSSAVPSLSEENKNNLNLKATELRLGLPGSQSPQREDFSLLSTGKLDEKLLFPMLPLKDGIGSSSQKHIVSGNKRGFSDTMDGFSEVKGSLYSEKNWLFHSAGTESDSPQSMGHGKFPASSGINVRLPSRPAGAQSAMMKEVSQKGLNDQSQAANGANLNQTSSPNNSSSAPAAKAQVVGWPPVKSFMKNTLVTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRTYSTYQELSCALEKMFSCFTIGQCGSHGVPGKEKLSESKLRDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKSSEAIGLGQPSSQDSGFVLLSKLQDRVTGMGVIDPLRL >Manes.12G120800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32526606:32533181:-1 gene:Manes.12G120800.v8.1 transcript:Manes.12G120800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGLEEEGQSDISLVASSTSIDCIPQNGCGLKERNYLGLSDCSSVDSSAVPSLSEENKNNLNLKATELRLGLPGSQSPQREDFSLLSTGKLDEKLLFPMLPLKDGIGSSSQKHIVSGNKRGFSDTMDGFSEVKGSLYSEKNWLFHSAGTESDSPQSMGHGKFPASSGINVRLPSRPAGAQSAMMKEVSQKGLNDQSQAANGANLNQTSSPNNSSSAPAAKAQVVGWPPVKSFMKNTLVTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRTYSTYQELSCALEKMFSCFTIGQCGSHGVPGKEKLSESKLRDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKSSEAIGLAPRVMEKSKMKA >Manes.12G120800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32526638:32533167:-1 gene:Manes.12G120800.v8.1 transcript:Manes.12G120800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLGLEEEGQSDISLVASSTSIDCIPQNGCGLKERNYLGLSDCSSVDSSAVPSLSEENKNNLNLKATELRLGLPGSQSPQREDFSLLSTGKLDEKLLFPMLPLKDGIGSSSQKHIVSGNKRGFSDTMDGFSEVKGSLYSEKNWLFHSAGTESDSPQSMGHGKFPASSGINVRLPSRPAGAQSAMMKEVSQKGLNDQSQAANGANLNQTSSPNNSSSAPAAKAQVVGWPPVKSFMKNTLVTSSKNNDEVDGKPGPGTLFVKVSMDGAPYLRKVDLRTYSTYQELSCALEKMFSCFTIGQCGSHGVPGKEKLSESKLRDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLKIMKSSEAIGLAPRVMEKSKMKA >Manes.06G097000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23106099:23113199:-1 gene:Manes.06G097000.v8.1 transcript:Manes.06G097000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGEVLEAVLKETVDLENIPIEEVFENLRCSKEGLTTEAAEERLTIFGHNKLEEKQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEQDAAVLVPGDIVSIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAAQASRTENQDAIDSAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSEGKMHRVSKGAPEQILNLARNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESISALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTDFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGLLLVVAFLVAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Manes.06G097000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23106125:23113199:-1 gene:Manes.06G097000.v8.1 transcript:Manes.06G097000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKGEVLEAVLKETVDLENIPIEEVFENLRCSKEGLTTEAAEERLTIFGHNKLEEKQESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEQDAAVLVPGDIVSIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAAQASRTENQDAIDSAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSEGKMHRVSKGAPEQILNLARNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESISALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWAAYKTDFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGLLLVVAFLVAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIIFYIPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQPPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Manes.04G128100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33104377:33107748:-1 gene:Manes.04G128100.v8.1 transcript:Manes.04G128100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIATEHFSNNVILGEGGFGQVYKGFIDGKLYAVKKLKNQPDEQTQATMEEEIRVISRVRHRNLVELIGYCIQGNNRLLVLEFLSNKSLKFHLHGSVGVVKQNENCYRFAKGLKYLHEDCKPTIIHRDIKADNIVLDENFEPKVTDFGLSLFFPENVTHISKSIKGTEVYVDPDCSGKVSYKSDVYSFGVVLLELITGKKPMIGDTTIVNWAKARIRPALEGDYKALVDSKLSQYDKEEMNRMIFCAAACLYKPLKSRPSMGQIIQILEAVVPLKHIWDENDHNYLNGN >Manes.08G156400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39324623:39327581:1 gene:Manes.08G156400.v8.1 transcript:Manes.08G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASSRAFKGCRALLAPSKSAATATTAAALKPKATKTAEKRKSKPADSIKRTGILQATPISSALRDFLGVPESSRTDAVKKIWVYIKDQKLQNPTDKKEIFCDEKLKTIFDGKEKVGFLEIGKLLSRHFVKTS >Manes.11G141900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30712763:30713890:-1 gene:Manes.11G141900.v8.1 transcript:Manes.11G141900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMLNLHGTYGLPLSVSGITHHLPLSNELVDHDRRREAIKKQRSQARERLHANQEMGFERLVVRDFQEGEMNEDLASFLQDPYCSLLGRTAKAA >Manes.02G200600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:20715085:20722741:-1 gene:Manes.02G200600.v8.1 transcript:Manes.02G200600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPFYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSREFIQNEMNVNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILHDILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLMKVLNDEEALVLFKETADDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEDISGMKAKVFSAIELSYNYLEDEEAQSCFLLCSLFPEDFNILVEDLLEFGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEGDDIWNESVKMHDLVRDVAISLASTNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELGTHHITALHITVVNAYISPKASVFRNLIRFHIFVGKSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSCLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVMSCEKMEGIFYRNKVNDEIESPLTTLCLNNLPNFIGFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTLRNCGLVKALFHPSVTQQFLQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLGDLPELVAFFADNDISFELYSLVCLDIWSCPKLKTHYCETPDSSTLNKSYDQSELKLMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFGCDFQEAAFPLSVAQQLEQLKDLTISSCEKMEYIVAKDKGRSKIVLFPSLTDLDLSHLPNLMGFCKDNNVSLEWSLLERLWFYEIVPSKIVSVPKSSTLSTNDEVDHLDTTFCATLIPRRRKKQDNNFSKKVSLIKNQRDPSVSNTDESCAFPSKLIQQLQNLKHLRIHGSDSVEVIFSFEGLINGVLNSVEEICLVNLPNLKHVWFKIPPEVTAFQNLRELIVIDCDNLINLFSICSAKLVGNLQSIEIRRCNRMEEIIGKEDEEISTQKIVFPQLRSLTLEDLPNLNSFCNRIYALEFPFLETLKFLNWKRMETFFYGSLSMPKLEKVMINRRLHQLMGSDPNLNAKMSELLKMNQ >Manes.01G233000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39656572:39659326:1 gene:Manes.01G233000.v8.1 transcript:Manes.01G233000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHSLSNSLFFYFYFVTFSAGQEHQSAEPGQKMLRHLSRMYFNLSLVAASSKAQPFPSFPHSSLLAHTHSHTHTCRLIPMEPQGTIVFSTVGRTNYGFDVFSIKLPSHLHDSKFSNSDQHRLTDGTSINFNAQFIHADDQAIVFVSERNGYAGIYLSRPGIPKLEQLPSVTESPFHDRPIIKHNRLYFVSAHEQPDQPFRSWSALYSVDLNHQKKNVTRLTPHGVVDYSPAISPSGKFVAVASYGFRPWGGEFHHLNTDIVVFRESDPNKRVVVCESGGWPTWSDDSTIYFHRQADDGWWSIFQVNFPEEVNFSGFPNAALRVTPPGVHCFTPAAFHDGKRIAVATRRRNKNYRHIEIFDLASRTFSPVTESLNPGFHHYNPFVSPNSSLLGYHRFRGESSPGDSTIPNLEPIMSPIKDIRMLRIDGSFPSFSPAGDFIAFNPNFDVNGGLKIIKADGSKRWILLKNRVAFYNSWSPTENAIFTSLGPIFESVEKTVQIARVTFDPSYLNSDREEIPWDVKILTGEDTGNNAFPSCSPDGKSLVFRSGRSGHKNLYIIDAVNGEFNGGIRRLTEGPWIDTMPSWSPRGDLIAFSSNRHNPENTSAFSIYVIKPDGSDLKRIHVAGSAGSSEVDRERINHVCFSKDGEWLLFTANLGGVTAEPVSWPNQYQPYGDLYLIRLDGTGLRRLTCNGYENGTPAWHCGNRLDLGHLRLDENVVGDKLTGKFDEPLWLTCEI >Manes.12G115600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31703767:31708343:-1 gene:Manes.12G115600.v8.1 transcript:Manes.12G115600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFRNSVFIRRILFLTFSIFCSLASAHERSLVVGESGKLQLSPSLQVVKSPGTKPGASVLCERVHIHGLSRLKNLKKFSHSLKVAVSHSTSSLGRPNVEVCFHRNASLGIGMCPQGKWEKVDKGSWARAMSPFDHKLLDIRMASSSVETIELSIQEEFFMYRVVFLILGIVLLSLASSLSKSLAFYYSSAMAIGVILVILVVLFQGMKLLPTGRKNSLAIFVYSSLVGLGSFLLRYLPGLLDSVLVEIGISKDMYYPLTIFLLAFVTLAGAWMGFWAVRKLVLTEEGSVDISTSIFVAWSIRILAVIMILQSSLDPLLALEALISGIVISSILRRIFRLRFLRRLYKKLFKFIKHVQIGSYVPEVSPFGNSHNEYMLRTPEDWRRTKRFNLASCSSPMQGLSKTPKHQLSDSDMYPSTFHSTPERREFSKASWEKFTRDSTQKAVKELVASPDFSQWVAANAERITVTPNSTSTSSQPLPRRKWLLW >Manes.12G115600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31703816:31708320:-1 gene:Manes.12G115600.v8.1 transcript:Manes.12G115600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSFRNSVFIRRILFLTFSIFCSLASAHERSLVVGESGKLQLSPSLQVVKSPGTKPGASVLCERVHIHGLSRLKNLKKFSHSLKVAVSHSTSSLGRPNVEVCFHRNASLGIGMCPQGKWEKVDKGSWARAMSPFDHKLLDIRMASSSVETIELSIQEEFFMYRVVFLILGIVLLSLASSLSKSLAFYYSSAMAIGVILVILVVLFQGMKLLPTGRKNSLAIFVYSSLVGLGSFLLRYLPGLLDSVLVEIGISKDMYYPLTIFLLAFVTLAGAWMGFWAVRKLVLTEEGSVDISTSIFVAWSIRILAVIMILQSSLDPLLALEALISGIVISSILRRIFRLRFLRRLYKKLFKFIKHVQIGSYVPEVSPFGNSHNEYMLRTPEDWRRTKRFNLASCSSPMQGLSKTPKHQLSDSDMYPSTFHSTPERREFSKASWEKFTRDSTQKAVKELVASPDFSQWVAANAERITVTPNSTSTSSQPLPRRKWLLW >Manes.11G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4999748:5001185:-1 gene:Manes.11G047100.v8.1 transcript:Manes.11G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNVRKERRRKIVERGGDRLALITGQVRALSASPSLPSPTPASSPSSATQRQRHAHTESSPSIMFMSNDNTQTQIHAGPEETDGDSDSKLIKGRTINEYPGARIFHRGNPKSVTSPDPLSGMDKNFEISSSVQKPLSQAKLFSSKRINSCIIASERTRAICSLIIACVVVISNIDYPLIGFDIMSSDSFIASRPFCIILLTDVTIVLALLFLESGNDSEEVDEEKVAGKEDEDNWTGAVKLLERGLVLYQIIRGIFIDCSVYLVVVIFGLSLV >Manes.03G104700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22816276:22818351:-1 gene:Manes.03G104700.v8.1 transcript:Manes.03G104700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSKSIVSPGRAREPSQISLSNSLSRRLRTNGSMKGGQASPMFPTNGKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKLRLRSHSQRRGEVSFRRVDQTNSTNTNNLEPSIHQDFAHNVNNQFLNQQQQQERLPHRNQRWVHLPLTICEALRAFGAEFNCFLPCRSSCTASEKEKEEKAAGSSNGSSCVAVLARWLVTVQEGEGKGREIELVVGEDEEDREEYTERRSYRRHVFEEIEFKEEKYGEGNESMQEEEARLSICIPPKNALLLMRCRSDPVKMAALANKFWEAPVPNVEDEEDGEDDTNKGEEKDHAEVGGGGDEEAQRPVLEQEMKHEEDLMNENWVSCENAEEHQVQEHEANLVVLDIGVVEEGNLGEQEEQTEETPLEGPSPLREEGEDTENSKKDENEELLQESEDNKENELVRAEENEQESNPSDDNVPIHQEQEPKEAGQELPEEDRDESESAETARALAEEVATQEAREEKDSICMPEEGGGESEETATHEGSEPVYPKTQEDEMNLKSKERESQPTLPDCLLLMMREPKLSMEVSKETWVCSTDFIRWLPEHSRPIKKKDGRDEPKKRISIDINPRPLHNNLQQPPRSSCSYPAKPPVRAAGSESMSTAIEQKLVGSKVYEPFVLTRCKSEPMRSAAKLSPAVAPEACFWKNRKLEPHRPATIGVGAAEVGY >Manes.07G063594.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11247879:11248458:1 gene:Manes.07G063594.v8.1 transcript:Manes.07G063594.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLEYFYSNGTRKPSSKLLREMLHCQSHIDQTLEFITLNKYYARSLRPPSLVTWACDLSGGIKVAGPLVQSRWLDFGLAKFWLAQSKPVVETRDLSESLGFMAPKVEGTALGGFTEQRPPPASGSGWIMSRCHAGPHSLMGCSNWTATFFFPNFFTTNFFINLCIFTIQYLNNLLFL >Manes.17G043300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23684445:23690606:-1 gene:Manes.17G043300.v8.1 transcript:Manes.17G043300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDSLRDINHFSYNELRSATDNFHSANKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITIISKVRHPNLVELIGCCAQGTNRILVYEYVENNSLDRALLGPKDTNIKLDWGKRSVICLGIAQGLAFLHEELAPHIVHRDIKASNILLDKKFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLIFEIISGKSSAKASWGGMQKLLLEWAWQLHEEGKLLELVDPEMEDFPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSRNIRLNEKLLSAPGFFQDSGGISGPTSSTKKSSADSISNQMSSVPVTITEVTPR >Manes.17G043300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23684399:23690606:-1 gene:Manes.17G043300.v8.1 transcript:Manes.17G043300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDSLRDINHFSYNELRSATDNFHSANKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITIISKVRHPNLVELIGCCAQGTNRILVYEYVENNSLDRALLGPKDTNIKLDWGKRSVICLGIAQGLAFLHEELAPHIVHRDIKASNILLDKKFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLIFEIISGKSSAKASWGGMQKLLLEWAWQLHEEGKLLELVDPEMEDFPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSRNIRLNEKLLSAPGFFQDSGGISGPTSSTKKSSADSISNQMSSVPVTITEVK >Manes.17G043300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23684445:23690606:-1 gene:Manes.17G043300.v8.1 transcript:Manes.17G043300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCFSAKGHANGAHHAADGDSLRDINHFSYNELRSATDNFHSANKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITIISKVRHPNLVELIGCCAQGTNRILVYEYVENNSLDRALLGPKDTNIKLDWGKRSVICLGIAQGLAFLHEELAPHIVHRDIKASNILLDKKFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLIFEIISGKSSAKASWGGMQKLLLEWAWQLHEEGKLLELVDPEMEDFPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSRNIRLNEKLLSAPGFFQDSGGISGPTSSTKKSSADSISNQMSSVPVTITEVTPR >Manes.17G043300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23684398:23690618:-1 gene:Manes.17G043300.v8.1 transcript:Manes.17G043300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCFSAKGHANGAHHAADGDSLRDINHFSYNELRSATDNFHSANKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITIISKVRHPNLVELIGCCAQGTNRILVYEYVENNSLDRALLGPKDTNIKLDWGKRSVICLGIAQGLAFLHEELAPHIVHRDIKASNILLDKKFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLIFEIISGKSSAKASWGGMQKLLLEWAWQLHEEGKLLELVDPEMEDFPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSRNIRLNEKLLSAPGFFQDSGGISGPTSSTKKSSADSISNQMSSVPVTITEVK >Manes.17G043300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23684399:23690620:-1 gene:Manes.17G043300.v8.1 transcript:Manes.17G043300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDSLRDINHFSYNELRSATDNFHSANKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITIISKVRHPNLVELIGCCAQGTNRILVYEYVENNSLDRALLGPKDTNIKLDWGKRSVICLGIAQGLAFLHEELAPHIVHRDIKASNILLDKKFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLIFEIISGKSSAKASWGGMQKLLLEWAWQLHEEGKLLELVDPEMEDFPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSRNIRLNEKLLSAPGFFQDSGGISGPTSSTKKSSADSISNQMSSVPVTITEVK >Manes.17G043300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23684445:23690606:-1 gene:Manes.17G043300.v8.1 transcript:Manes.17G043300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCFSAKGHANGAHHAADGDSLRDINHFSYNELRSATDNFHSANKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITIISKVRHPNLVELIGCCAQGTNRILVYEYVENNSLDRALLGPKDTNIKLDWGKRSVICLGIAQGLAFLHEELAPHIVHRDIKASNILLDKKFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLIFEIISGKSSAKASWGGMQKLLLEWAWQLHEEGKLLELVDPEMEDFPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSRNIRLNEKLLSAPGFFQDSGGISGPTSSTKKSSADSISNQMSSVPVTITEVK >Manes.17G043300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23684445:23690624:-1 gene:Manes.17G043300.v8.1 transcript:Manes.17G043300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCFSAKGHANGAHHAADGDSLRDINHFSYNELRSATDNFHSANKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITIISKVRHPNLVELIGCCAQGTNRILVYEYVENNSLDRALLGPKDTNIKLDWGKRSVICLGIAQGLAFLHEELAPHIVHRDIKASNILLDKKFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLIFEIISGKSSAKASWGGMQKLLLEWAWQLHEEGKLLELVDPEMEDFPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSRNIRLNEKLLSAPGFFQDSGGISGPTSSTKKSSADSISNQMSSVPVTITEVTPR >Manes.17G043300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23684445:23690625:-1 gene:Manes.17G043300.v8.1 transcript:Manes.17G043300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDSLRDINHFSYNELRSATDNFHSANKIGRGGFGTVYKGTLKSGRQVAVKTLSAQSKQGVREFLNEITIISKVRHPNLVELIGCCAQGTNRILVYEYVENNSLDRALLGPKDTNIKLDWGKRSVICLGIAQGLAFLHEELAPHIVHRDIKASNILLDKKFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLIFEIISGKSSAKASWGGMQKLLLEWAWQLHEEGKLLELVDPEMEDFPEEEVIRYMKVAFFCTQAAASRRPLMSQVVDMLSRNIRLNEKLLSAPGFFQDSGGISGPTSSTKKSSADSISNQMSSVPVTITEVTPR >Manes.12G047002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3932386:3936650:-1 gene:Manes.12G047002.v8.1 transcript:Manes.12G047002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHRVALNVYDLSQGLARQLSTTFLGKAIEGIWHTGVVVYGNEYYFGGGIQHDPAGRTPYGTPIKVVDLGITHVPQDVFEVYLQEISPRYTAETYSLLSHNCNNFSNEVAQFLVGVTIPEYILQLPNEVMSSPMGALIMPMIQNLETTLRAGAVPQAPQFRPQPSTVINKSLVNGPTASANTVVGAANKAVKSEDTKSEEPTVSEKPVAVKPSGVEKQSVNGIAGDPLGDARGKVQEEISREFAAIMATGTLRASEAAALATRRVMQRYGNLNVAVNQS >Manes.11G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27160463:27167517:-1 gene:Manes.11G115300.v8.1 transcript:Manes.11G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFKDIWTKKTLVGLGLGQFLSLLITSTGFSSSELARRGINAPTSQSFLNYVLLAIVYGSIMLYRKQALKAKWYYYIILAIVDVEANFLVVKAYQYTSLTSVMLLDCWSIPSVMLLTWLFLSTKYRLKKIAGVLVCVGGLVMVVFSDVHAGDRSGGSNPRKGDALVIAGATLYAISNVSEEFLVKNADRVELMSLLGFFGAIISAIQISILERNELKSIHWSAGAALPFVGFALAMFLFYSFVPVLLKINGSTMLNLSLLTSDMWSVVIRIFAYHDKVDWMYYVAFAAVAVGLVIYSGADKEEHRADVVDEGAERSKHFDEELGSGNRSQGTLVGSSKTGDSSKRVPATSGIREKQENYNKNIGKDALEKKS >Manes.18G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1132231:1134940:-1 gene:Manes.18G008600.v8.1 transcript:Manes.18G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLKVYGPTLSTAVSRVLACLLEKDVEFQLIPINMSKGEHKKPDFLRMQPFGQVPAFQDESVSLFESRAICRYVCDKYADKGNKGLYGTNPLAKASIDQWLEAEGQSFNPPSGALVFQLALAPRMNIPQDEGLIKQNEEKLGKVLDVYEKRLGESRFLAGDEFSLADLSHLPNAHYLVAATDRGELLTSRKNVGRWWGEISSRESWKKVVQMQRSG >Manes.18G139700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16920246:16923006:1 gene:Manes.18G139700.v8.1 transcript:Manes.18G139700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKHKAAAPFYCFFFFFFFCGFFTSTATCIGIRCSFSGKPDCHFQDFEKRRVKENMEFPLFTTRRILSGPGSSPPRCTGKCGNCTPCKPVHVAVPPGTPVTAEYYPEAWRCKCGNKLYMP >Manes.18G139700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:16921135:16922751:1 gene:Manes.18G139700.v8.1 transcript:Manes.18G139700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCSFSGKPDCHFQDFEKRRVKENMEFPLFTTRRILSGPGSSPPRCTGKCGNCTPCKPVHVAVPPGTPVTAEYYPEAWRCKCGNKLYMP >Manes.01G204200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37731368:37734553:-1 gene:Manes.01G204200.v8.1 transcript:Manes.01G204200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYYTVKEEYPGSSSPPSGDEQPITFLPQPMEGLHDTGPPPFLNKTFEMVDDPITNHVVSWSSGGSSFVVWDPHFFSASLLPRCFKHNNFSSFVRQLNTYGFKKIDPDRWEFANEGFLRGQKHLLRNIKRRKTPSQLLPQQQALGACVEVGRFGLDREIDRLKRDKQVLMMELVKLRHQQQNTRAHIQAMEQRLQCTEVKQQQMMQFLARAVQNPAFLQQLAQQKEERKELEAMTKKRRRPIDQGINTAESSYGSGSRNPIKAEPVELGDYGFEVSELEALALEMQGYGRARREEEEAEAEEDIEPPESGDRELDEGFWDELLSESHGGGEDEDVDVLAERFGFLGSSPK >Manes.01G204200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37731368:37734553:-1 gene:Manes.01G204200.v8.1 transcript:Manes.01G204200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYYTVKEEYPGSSSPPSGDEQPITFLPQPMEGLHDTGPPPFLNKTFEMVDDPITNHVVSWSSGGSSFVVWDPHFFSASLLPRCFKHNNFSSFVRQLNTYGFKKIDPDRWEFANEGFLRGQKHLLRNIKRRKTPSQLLPQQQALGACVEVGRFGLDREIDRLKRDKQVLMMELVKLRHQQQNTRAHIQAMEQRLQCTEVKQQQMMQFLARAVQNPAFLQQLAQQKEERKELEAMTKKRRRPIDQGINTAESSYGSGSRNPIKAEPVELGDYGFEVSELEALALEMQGYGRARREEEEAEAEEDIEPPESGDRELDEGFWDELLSESHGGGEDEDVDVLAERFGFLGSSPK >Manes.01G204200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37731368:37734553:-1 gene:Manes.01G204200.v8.1 transcript:Manes.01G204200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYYTVKEEYPGSSSPPSGDEQPITFLPQPMEGLHDTGPPPFLNKTFEMVDDPITNHVVSWSSGGSSFVVWDPHFFSASLLPRCFKHNNFSSFVRQLNTYGFKKIDPDRWEFANEGFLRGQKHLLRNIKRRKTPSQLLPQQQALGACVEVGRFGLDREIDRLKRDKQVLMMELVKLRHQQQNTRAHIQAMEQRLQCTEVKQQQMMQFLARAVQNPAFLQQLAQQKEERKELEAMTKKRRRPIDQGINTAESSYGSGSRNPIKAEPVELGDYGFEVSELEALALEMQGYGRARREEEEAEAEEDIEPPESGDRELDEGFWDELLSESHGGGEDEDVDVLAERFGFLGSSPK >Manes.05G187500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30844890:30848506:-1 gene:Manes.05G187500.v8.1 transcript:Manes.05G187500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQELEWAEAQKIAISEDLVAAAKQQLQFLAEVDRHRQLYDGSALNRAIHRYKYCWLPLLAKQAKSQVSEGPLVVPLDCEWVWHCHKLNPVRYKNDCKELYGRILGSQNVVSSTQASCKKQTEEIWSRMYPCEPYELNLSTQISEDSGNNVLGAQKSTNYDLVSAVKRQSSFYYQVSRSHMSDDVYLEGAVARYKGFLHLIKRNQEKSISQFCVPTYDIDLIWHSHQLRPVSYCKDLVAILGRVLEHDDTDSDRTKGNKLDTGCLQTTKQWEETFGSRYWRAGAMYRGSVPSPLTINVSHLDNLSKVVGSNKYQSMLQIPKKMFTEVMLDIVDVINLPVGCEENLFATFSMKQPDMFFKGNRSISIFPKAKERQVAIFQSEPKGELVCQLMTNSSSVRYLARPGRVLGTASISLQDLMKPDSSLSYERWIELFPNSGTLSSQPINLRIALSFTPPVQAPFMLHLVKAHRQGKGWTCIVDDAGNEIMSLQMRDSMKVEAKYGYLFKKEAVGMTTSGETPILAEFLGAGWSLLNSTWRFQLQNKLNNVGQIFELTGRQKVVILPGRKLEYENGSCEKHKRAENMMTAVEFSAKHPYGRAVALFNLKSGFVQINEEWLVFPGILLAFLLANTLRKEGDFNLSANRESPRELDDALKQAMISKMESDFDWETTGCENCYAVHAIGGTEYNTSNAECKVGDIMKSTTCGGCGGRCGGGSCGGGCGGGGCGGGSCRGGCGGGCNSGHETK >Manes.05G187500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30844890:30848369:-1 gene:Manes.05G187500.v8.1 transcript:Manes.05G187500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQELEWAEAQKIAISEDLVAAAKQQLQFLAEVDRHRQLYDGSALNRAIHRLYALYQLLKFVYVMGFFYHVQQYLFVNNKSNARYKYCWLPLLAKQAKSQVSEGPLVVPLDCEWVWHCHKLNPVRYKNDCKELYGRILGSQNVVSSTQASCKKQTEEIWSRMYPCEPYELNLSTQISEDSGNNVLGAQKSTNYDLVSAVKRQSSFYYQVSRSHMSDDVYLEGAVARYKGFLHLIKRNQEKSISQFCVPTYDIDLIWHSHQLRPVSYCKDLVAILGRVLEHDDTDSDRTKGNKLDTGCLQTTKQWEETFGSRYWRAGAMYRGSVPSPLTINVSHLDNLSKVVGSNKYQSMLQIPKKMFTEVMLDIVDVINLPVGCEENLFATFSMKQPDMFFKGNRSISIFPKAKERQVAIFQSEPKGELVCQLMTNSSSVRYLARPGRVLGTASISLQDLMKPDSSLSYERWIELFPNSGTLSSQPINLRIALSFTPPVQAPFMLHLVKAHRQGKGWTCIVDDAGNEIMSLQMRDSMKVEAKYGYLFKKEAVGMTTSGETPILAEFLGAGWSLLNSTWRFQLQNKLNNVGQIFELTGRQKVVILPGRKLEYENGSCEKHKRAENMMTAVEFSAKHPYGRAVALFNLKSGFVQINEEWLVFPGILLAFLLANTLRKEGDFNLSANRESPRELDDALKQAMISKMESDFDWETTGCENCYAVHAIGGTEYNTSNAECKVGDIMKSTTCGGCGGRCGGGSCGGGCGGGGCGGGSCRGGCGGGCNSGHETK >Manes.04G095900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176100:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKLPFISDRSICST >Manes.04G095900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176013:30184138:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176100:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30178216:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKVHIQSFISQG >Manes.04G095900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176416:30184138:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176100:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKLPFISDRSICST >Manes.04G095900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30178216:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKVHIQSFISQG >Manes.04G095900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30172904:30184495:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176442:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKLPFISDRSICST >Manes.04G095900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30172904:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKLPFISDRSICST >Manes.04G095900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30172904:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30172904:30184495:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30175455:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176442:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKLPFISDRSICST >Manes.04G095900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30172904:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKLPFISDRSICST >Manes.04G095900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176442:30184495:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176013:30183881:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30176416:30184138:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.04G095900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30172904:30184496:-1 gene:Manes.04G095900.v8.1 transcript:Manes.04G095900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATALSAAHDALAFQLSPAVARPSASYSRICCRRLPVGIGKANQILKNASRSTQPSSTGKITGVNAVVLGEALASEDDELVFPNDDFSRQALVPSLEKYLEMYKWSVEDPAGFWSGIASQFYWKQKWGQPVFSENFDFRKGNIKIEWFRGGITNICYNCLDRNVESGNGDKIAIYWEPNDPGSQDSLTYSQLLQSVCQLANYLKDKGVKKGDAVVIYLPMIMELPIAMLACARIGALHSVVFAGFSAESLAQRIVDSKPKIVITCNAVNRGSKVITLIDIVDAALIESAKTGISVDLCLTYENKSAMKRESTKWQEGRDVWWQDVVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVVIFEGALNYPDYGRCWDIVEKFKVTIFQAAPTLVRSLIRAGVEYVTRYPRKSLRVLASAGEPINPSTWRWLFNVVGDSRCPISDTWWQTETGGCMITPLPGAWPQKPGSATFPFFGIQPVIVNEKGAEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFSGDGCRRDKDGYYWLTGRVDDVINVSGRRIGTEEVESALVSHPQCAEAAVVGIEHEVKGQGVYAFVTLVEGVSYSEELRKSLILTVRNKIGAFAAPDKIHWAPDLPKTRSGKIMRRILRKIASRQLHELGDTSTLADPNVVEQLIALADR >Manes.18G145300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32199323:32214683:-1 gene:Manes.18G145300.v8.1 transcript:Manes.18G145300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNGRGISEEKRPPQGASYTYWVREATADAAPLPLPKKLSQNDIVSSQSQSPTLGSVWNRAGTWEEKSLNKWATDRIKELLVSAGTLEFSSGKAEIAEVSKCFGDAFLVTVRNKKRVGYNYELTLKIKGEWTVKEEKKMVKAHIDVPEFSFGELEDLQLEVRISDEKDLSQQDRLRISEDLKLFLQPVREKLLQFEGELKDR >Manes.11G001200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:208008:214825:-1 gene:Manes.11G001200.v8.1 transcript:Manes.11G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKISIFIYLTLAFLFLLLISRNPSKPSNRRHRRLKLRSTFNFSDPYHHHHQDPIVFDPLVADIERRREDKQWEKHYFEQAHPEIVNHADHHDSAPAHEAQPEWEDFLDAEDYLNDEEKFNVTNRLLLLFPKIDVDPVDGYVSVHELTEWNLNQAEREVVHRTQRELDVHDKNHDGFISFAEYEPPSWVQNSDKNSFGYDMGWWREEHFNASDTDGDGLLNVTEFNDFLHPADSKNPKLLQWLCKEEVRERDSDKDGKVSFKEFFHGLFDLVRNYDEESHSSSHLSDDSTEAPAKTLFAQLDKDGDRYLSDTELLPIIGKLHPSEHYYAKQQADYIISQADTDKDGRLSLMEMIENPYVFYSAVFNDEEDDDYDFHDEFR >Manes.05G011400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:860581:864292:-1 gene:Manes.05G011400.v8.1 transcript:Manes.05G011400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLVPTSGLRDPSGNTAGVDVLPDEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMGQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTMAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKSMPPEAVDLVSRLLQYSPNLRSTSLEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPVEMLVKLIPEHERKQCAFLGP >Manes.05G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:860581:865628:-1 gene:Manes.05G011400.v8.1 transcript:Manes.05G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLVPTSGLRDPSGNTAGVDVLPDEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGEAVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKMGQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTMAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKSMPPEAVDLVSRLLQYSPNLRSTSLEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPVEMLVKLIPEHERKQCAFLGP >Manes.18G137700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17351723:17366012:1 gene:Manes.18G137700.v8.1 transcript:Manes.18G137700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTRGKNKKIHHGVQQGSESEVDGKGKFAVNSGRKRKRIFADQHVDGKLSYNTKSKDLSKKGIKQMKDDTVKRKNSKGPARSIWVSGRMNNATSKKKHPSFDGIGATESAKEPSCKRSNRSKEEGKLDAGKYDLSKKQSKSKSDSSKRLVQSQTNHPKVPHSKPSKKTFQNKQSVADDNAEVDGQPKKKKRVIRLDPHDISNKRLDDGTVSGERVEEKKKEFEKDAEMSKNAQFRAIEPSPSMLSFVEDNFLGRRRLIELKRAGYNIELSAPLDNIPFSTSSERERIEENIFRNKLTFFASAKVSSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVARTSDKPGLTQTINFFKLGSKVCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDHELIDLMERYQTKYQIVLTKTDVVFPIDVARRATQIEESLKENKSIVQPVMMVSSKSGAGIRSLRTVLSKVARLVKL >Manes.18G137700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17351723:17366012:1 gene:Manes.18G137700.v8.1 transcript:Manes.18G137700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTRGKNKKIHHGVQQGSESEVDGKGKFAVNSGRKRKRIFADQHVDGKLSYNTKSKDLSKKGIKQMKDDTVKRKNSKGPARSIWVSGRMNNATSKKKHPSFDGIGATESAKEPSCKRSNRSKEEGKLDAGKYDLSKKQSKSKSDSSKRLVQSQTNHPKVPHSKPSKKTFQNKQSVADDNAEVDGQPKKKKRVIRLDPHDISNKRLDDGTVSGERVEEKKKEFEKDAEMSKNAQFRAIEPSPSMLSFVEDNFLGRRRLIELKRAGYNIELSAPLDNIPFSTSSERERIEENIFRNKLTFFASAKVSSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVARTSDKPGLTQTINFFKLGSKVCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDHELIDLMERYQTKYQIVLTKTDVVFPIDVARRATQIEESLKENKSIVQPVMMVSSKSGAGIRSLRTVLSKVARLVKL >Manes.18G137700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17351723:17366012:1 gene:Manes.18G137700.v8.1 transcript:Manes.18G137700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTRGKNKKIHHGVQQGSESEVDGKGKFAVNSGRKRKRIFADQHVDGKLSYNTKSKDLSKKGIKQMKDDTVKRKNSKGPARSIWVSGRMNNATSKKKHPSFDGIGATESAKEPSCKRSNRSKEEGKLDAGKYDLSKKQSKSKSDSSKRLVQSQTNHPKVPHSKPSKKTFQNKQSVADDNAEVDGQPKKKKRVIRLDPHDISNKRLDDGTVSGERVEEKKKEFEKDAEMSKNAQFRAIEPSPSMLSFVEDNFLGRRRLIELKRAGYNIELSAPLDNIPFSTSSERERIEENIFRNKLTFFASAKVSSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVARTSDKPGLTQTINFFKLGSKVCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDHELIDLMERYQTKYQIVLTKTDVVFPIDVARRATQIEESLKENKSIVQPVMMVSSKSGAGIRSLRTVLSKVARLVKL >Manes.18G137700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17351723:17366012:1 gene:Manes.18G137700.v8.1 transcript:Manes.18G137700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTRGKNKKIHHGVQQGSESEVDGKGKFAVNSGRKRKRIFADQHVDGKLSYNTKSKDLSKKGIKQMKDDTVKRKNSKGPARSIWVSGRMNNATSKKKHPSFDGIGATESAKEPSCKRSNRSKEEGKLDAGKYDLSKKQSKSKSDSSKRLVQSQTNHPKVPHSKPSKKTFQNKQSVADDNAEVDGQPKKKKRVIRLDPHDISNKRLDDGTVSGERVEEKKKEFEKDAEMSKNAQFRAIEPSPSMLSFVEDNFLGRRRLIELKRAGYNIELSAPLDNIPFSTSSERERIEENIFRNKLTFFASAKVSSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVARTSDKPGLTQTINFFKLGSKVCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDHELIDLMERYQTKYQIVLTKTDVVFPIDVARRATQIEESLKENKSIVQPVMMVSSKSGAGIRSLRTVLSKVARLVKL >Manes.18G137700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17351723:17366012:1 gene:Manes.18G137700.v8.1 transcript:Manes.18G137700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTRGKNKKIHHGVQQGSESEVDGKGKFAVNSGRKRKRIFADQHVDGKLSYNTKSKDLSKKGIKQMKDDTVKRKNSKGPARSIWVSGRMNNATSKKKHPSFDGIGATESAKEPSCKRSNRSKEEGKLDAGKYDLSKKQSKSKSDSSKRLVQSQTNHPKVPHSKPSKKTFQNKQSVADDNAEVDGQPKKKKRVIRLDPHDISNKRLDDGTVSGERVEEKKKEFEKDAEMSKNAQFRAIEPSPSMLSFVEDNFLGRRRLIELKRAGYNIELSAPLDNIPFSTSSERERIEENIFRNKLTFFASAKVSSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVARTSDKPGLTQTINFFKLGSKVCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDHELIDLMERYQTKYQIVLTKTDVVFPIDVARRATQIEESLKENKSIVQPVMMVSSKSGAGIRSLRTVLSKVARLVKL >Manes.18G137700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17351723:17366012:1 gene:Manes.18G137700.v8.1 transcript:Manes.18G137700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKTRGKNKKIHHGVQQGSESEVDGKGKFAVNSGRKRKRIFADQHVDGKLSYNTKSKDLSKKGIKQMKDDTVKRKNSKGPARSIWVSGRMNNATSKKKHPSFDGIGATESAKEPSCKRSNRSKEEGKLDAGKYDLSKKQSKSKSDSSKRLVQSQTNHPKVPHSKPSKKTFQNKQSVADDNAEVDGQPKKKKRVIRLDPHDISNKRLDDGTVSGERVEEKKKEFEKDAEMSKNAQFRAIEPSPSMLSFVEDNFLGRRRLIELKRAGYNIELSAPLDNIPFSTSSERERIEENIFRNKLTFFASAKVSSSFPPPDLPEIAFAGRSNVGKSSLLNALTRQWGVARTSDKPGLTQTINFFKLGSKVCLVDLPGYGFAYAKEEVKDAWEELVKEYVSTRVGLKRVCLLIDTKWGMKPRDHELIDLMERYQTKYQIVLTKTDVVFPIDVARRATQIEESLKENKSIVQPVMMVSSKSGAGIRSLRTVLSKVARLVKL >Manes.14G086000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7098050:7099629:1 gene:Manes.14G086000.v8.1 transcript:Manes.14G086000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHQSETTESLKSNPAHEKKLSTASSSSSSSFEEVQLSQGGTASPNHVKDPQLASKENVDRSGNESTLNTKSASESPGTMQMERPADPAANPAYRIPSAVFASKSSGLNDWSIASNESLFSIHMGNMSFTQDQSWLGKSGELGLMGDFPMPGGPLSPVIELSSKRYFADTFSNKKSGEIEQPTKEKAAEAMMEIIKENGADADKQKSNAGNSHQSASFRRSDVSGASVKSFAFPILTGDHKMDNSSKQNASSPITPKASQEQDLQQKSQEGTSNPEPSNKPSNAGPSKWFSCLPCFSSRN >Manes.01G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31593662:31597137:1 gene:Manes.01G119500.v8.1 transcript:Manes.01G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLNLSDTTEKIIAEYIWIGGSGMDMRSKARTLSGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRRGNNILVMCDAYTPAGVPIPTNKRHAAAKIFSHSDVVAEEPWYGIEQEYTLLQKDVKWPIGWPIGGFPGPQGPYYCGVGADKSFGRDIVDSHYKACLYAGVNISGINGEVMPGQWEFQVGPAVGISAGDELWIARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMREDGGYEVIKKAIEKLGLRHREHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKQGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Manes.04G055000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15796676:15812866:-1 gene:Manes.04G055000.v8.1 transcript:Manes.04G055000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDIRKWLMKAHDKGNGNAAKPAQPAPTNTEKKPPLAESKPEPMQGDQENSGRRKTSKYFAKDKQKPKDEKEMEEVPTKRNTQKDASVKPPPSKKIHKVDDSEDDDDFVLLHKNKSVDITPSKKLKTGSGRGVSQQPVGNAASDEDDVKDAESPLKPSGRGCGGRGGSSTSASRRGGSTTLAGGRGRGSGRGGFMNFGERKDPPRKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDEDIEGRKSSKAKELGTPFLTEDGLFDMIRSSNAKALARGDSMDPVRKVASMPKKSPHKAEDKLMSNSLKTNVNKKGSTTGGSPLKQKDQTMKHSSLPWAEKYRPKAPNDIIGNQSLVNQLHSWLKNWNEQFLDTGNKGKSKKQNDSSAKKAVLLSGTPGIGKTTSAKLVSQMLGFQAIEVNASDNRGKADAKISKGIGGSNANCIKELVSNEALAVNMDRSKHPKTVLIMDEVDGMSAGDRGGVADLIASIKISRIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMQVANAEGLQVNEIALEEIAERVNGDMRMALNQLQYMSLSMSVIKYDDVRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLITQENYINYRPSSIGKDESGMKRMSMLARAAESIANGDIVNVQIRRYRQWQLSQTGSLASCIIPAALLHGQRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDLHVHLLASREFSSRRETLRLEYLTVLLKQLTDPLRLLPKDEAVEKVVEFMNVYSISQEDTDTIVELSKFQGRKNPMDGIPSAVKASLTRAYKEGNKSRMVRAADLVSLPGMKKAPKKRIAAILEPTEDGLAEKNGDAVVESEGENSSDTDDLEATADGKNLQSELNSLNSKAIQVEMELKGTEDSNTKRTARGRGRGKAGSSTVEKKTAAKGKGEKAVSGSKRKR >Manes.04G055000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15796676:15812866:-1 gene:Manes.04G055000.v8.1 transcript:Manes.04G055000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDIRKWLMKAHDKGNGNAAKPAQPAPTNTEKKPPLAESKPEPMQGDQENSGRRKTSKYFAKDKQKPKDEKEMEEVPTKRNTQKDASVKPPPSKKIHKVDDSEDDDDFVLLHKNKSVDITPSKKLKTGSGRGVSQQPVGNAASDEDDVKDAESPLKPSGRGCGGRGGSSTSASRRGGSTTLAGGRGRGSGRGGFMNFGERKDPPRKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDEDIEGRKSSKAKELGTPFLTEDGLFDMIRSSNAKALARGDSMDPVRKVASMPKKSPHKAEDKLMSNSLKTNVNKKGSTTGGSPLKQKDQTMKHSSLPWAEKYRPKAPNDIIGNQSLVNQLHSWLKNWNEQFLDTGNKGKSKKQNDSSAKKAVLLSGTPGIGKTTSAKLVSQMLGFQAIEVNASDNRGKADAKISKGIGGSNANCIKELVSNEALAVNMDRSKHPKTVLIMDEVDGMSAGDRGGVADLIASIKISRIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMQVANAEGLQVNEIALEEIAERVNGDMRMALNQLQYMSLSMSVIKYDDVRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLITQENYINYRPSSIGKDESGMKRMSMLARAAESIANGDIVNVQIRRYRQWQLSQTGSLASCIIPAALLHGQRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDLHVHLLASREFSSRRETLRLEYLTVLLKQLTDPLRLLPKDEAVEKVVEFMNVYSISQEDTDTIVELSKFQGRKNPMDGIPSAVKASLTRAYKEGNKSRMVRAADLVSLPGMKKAPKKRIAAILEPTEDGLAEKNGDAVVESEGENSSDTDDLEATADGKNLQSELNSLNSKAIQVEMELKGTEDSNTKRTARGRGRGKAGSSTVEKKTAAKGKGEKAVSGSKRKR >Manes.04G055000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15796676:15812929:-1 gene:Manes.04G055000.v8.1 transcript:Manes.04G055000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDIRKWLMKAHDKGNGNAAKPAQPAPTNTEKKPPLAESKPEPMQGDQENSGRRKTSKYFAKDKQKPKDEKEMEEVPTKRNTQKDASVKPPPSKKIHKVDDSEDDDDFVLLHKNKSVDITPSKKLKTGSGRGVSQQPVGNAASDEDDVKDAESPLKPSGRGCGGRGGSSTSASRRGGSTTLAGGRGRGSGRGGFMNFGERKDPPRKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTNYLLCDEDIEGRKSSKAKELGTPFLTEDGLFDMIRSSNAKALARGDSMDPVRKVASMPKKSPHKAEDKLMSNSLKTNVNKKGSTTGGSPLKQKDQTMKHSSLPWAEKYRPKAPNDIIGNQSLVNQLHSWLKNWNEQFLDTGNKGKSKKQNDSSAKKAVLLSGTPGIGKTTSAKLVSQMLGFQAIEVNASDNRGKADAKISKGIGGSNANCIKELVSNEALAVNMDRSKHPKTVLIMDEVDGMSAGDRGGVADLIASIKISRIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMQVANAEGLQVNEIALEEIAERVNGDMRMALNQLQYMSLSMSVIKYDDVRQRLLSSAKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLITQENYINYRPSSIGKDESGMKRMSMLARAAESIANGDIVNVQIRRYRQWQLSQTGSLASCIIPAALLHGQRETLEPGERNFNRFGGWLGKNSTMGKNLRLLEDLHVHLLASREFSSRRETLRLEYLTVLLKQLTDPLRLLPKDEAVEKVVEFMNVYSISQEDTDTIVELSKFQGRKNPMDGIPSAVKASLTRAYKEGNKSRMVRAADLVSLPGMKKAPKKRIAAILEPTEDGLAEKNGDAVVESEGENSSDTDDLEATADGKNLQSELNSLNSKAIQVEMELKGTEDSNTKRTARGRGRGKAGSSTVEKKTAAKGKGEKAVSGSKRKR >Manes.11G093500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15537224:15550869:1 gene:Manes.11G093500.v8.1 transcript:Manes.11G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEDTEKKIKKLKDVEISVPIVYGNISFWLGKKANEYQSHKWTVYVRGATNEDLGVVIKRAVFQLHSSFNNPTRVVESPPFELSEAGWGEFEIAITLYFQSDVCDKPMNLYHHLKLYPEDESGPMSTKKPVVVESYDEIVFPEPSEGFFARVQSHPAVTLPRLPAGFTLPPVPVEDASKRKRGDTKDHPLSQWFMNFSEADELLQLAAARQQVQAHIAKLRRQISLIDGQHQQLKSTSDQ >Manes.16G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4664788:4666462:-1 gene:Manes.16G037000.v8.1 transcript:Manes.16G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKPFSHQQSFRYWSKEKKKNNKREMASQGLSAASDIAERWRELSGENNWEGLLDPLDIDLRRYILHYGDIAAAISGAFNDKVSSDCRGFSRYPPEEFFTKLGLTKRNPSLEYTLTDFIYSRLGHDVFDWDSEPLSTWCAYVAVATDEGKAKLGRRDIVVSWRGTSLALEWQKDFQALPYPALDLFGFHLLPPLVHSGFHSLYTSKDQTSTYNKASAREQVLAAVRKLVDQYKDEEVSITVTGHSLGAALATLNAVDIAYNGYNKPTGEPNKNFPVTAIVFASPQVGDLGFKKIYDDLKDVHVLRVTNATDPVPMCPPIGCIHVGENLPIDTKKSQFLKSNVSSHDLQVYFHGVAGTQGSKGGFNLEVPFDLAIINKYTDGLKDEYNKNIPAFWWVEENKGMIQNDDGTYTAHYYVPDPPAVPLNLN >Manes.15G110200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8783744:8800573:1 gene:Manes.15G110200.v8.1 transcript:Manes.15G110200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNSKESTQRKRKKALEAPKEPRRPKTHWDHVLEEMIWLSKDFESERKWKLAQAKKVALRASKGMLDQATREEKKLKEEEQRLRKIALNISKDVKKFWIKIEKLVLYKHQMELDEKKKRALDKQLEFLLGQTERYSTMLAENLVDKPLQQLSIVDQQNVPYEERQQNDPKEPAELSAEHQSDSGDNDNDYDLQSDESEDDEHTIEEDEALITEEERREELAALRDEVDIPVEELLKRYALENVSRENTPENNGADLTVEDGDHDESKGKDHSAVSDPEMSCSPVNTGRRCGEENGAMVTSEIHLSEIEMGETRNQSDVTGDLTKDLLYDSNEEQEDGDFVLANGEEKEHEMDDETTLLEEEELAKADPSNTMDEILLLQKESEIPLEELLARYKKEINNAEVSDDESGALSDNLLDSPEIKDVELKQQDASMDENVEPGELLPVEHHLVNEQEAAIGKTPEEGNDSENRIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSKRQRNLYEDFIASSETQATLASANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGIDIQLSSSVCAMLSLDPFSTVDLYGLGLLFTHLDFNMSSWEFEEVNAIVTPSSLIKERANLDNLEEIGSQTKHHKELTGTNFFEEIRKAVLEQRLREVKERAASIAWWNSLRCRKKPMYSTTFRELVTIKNQVHDIHRQKADQLTYLYSSKLADIILSPVEHFQKMIDLVESFMFAIPAARAPVPICWCSKTRTSVFLHPTYKEKCSEMLLPILSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAVLLRKLKSGGHRALIFTQMTKMLDLLEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLFGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKALPIKNGQKEKNNGHANEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFSEAIGRLEDDEFVNDDDMKADEPTELEMTTQSKDTGVNINMKEPIEEKTITFAGNEDDVDMLADVKQMAAAAAAAGQAILTLENQLRPIDRYAVRFLEVWDPIIDKAAMESEVQFEEAEWELDRIEKYKEEMEAEIDDDEEPLLYERWDADFATEAYRQQVEALAQHQLMEELEAEANEKEDADDGYCDSMMNEMASNTKPKSKKKQKKAKFKSLKKGSLTSELKHVKEEPSIETMSLDEDAVGIYYEEGAYSDMTSQYPSVQKKRKKVETVYGESGKSSKKKSKKLKATSETCLSDLDSSLSGKQQDESVELKPCENMVADHEQRQAGRSKMGGRISITAMPVKRVLMIKPEKLKKGNFWSRDCVPSPDSWLPQEDAILCAVVHEYGPHWSLACETLYGMTAGGFYRGRYRHPVHCCERYRELIQRYVLSAPENHFSEKTSSAGSGKALLKVTEDNIQMLLNVAAEQPDHELLLQRHFTAVLSSVWRMTSRADRQQNLSSRNGIYFGGKFFNSFNQISWNSVKEPAKRMRFTTSAQSCKLLAAALHEFNSRPLDDAVSISNRIEDTPCFSEQLEVTLEFEKEEGDLSIPLPPIINLTIPITDPQNFRIKDVGEHNLKAVTNVAESRFRDAARACFEGRPGWASSAFPANDLKLRAASKPQSLGKHKLSVSDSKPPRSKLKKTSERGEMHNLFAEPALQPLSTVSSRDPNLRFDLTPAIIQDGWMIDRDSCSISFWDEELPGEFASFKSIPHDYDADLISGLDDFSLLPEYTDIG >Manes.06G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8451392:8452749:-1 gene:Manes.06G032100.v8.1 transcript:Manes.06G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHCIAATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFKTMVKPLVSRKGTLDPALEAAEAKSRTITLSKDGRGEFKTLTDAIKSIPLDNKQRVIIKIGPGVYTEKIQIERNKPFITLLGDPKAMPTLAFGGTAHQYGTLYSATIAVESEYFMAVNIIFKNTAPGPITKNPGAQAVALRVSGDKAAFYNCQMLGFQDTLCDDNGHHFFKNCYIEGTVDFIFGKGRSLYLESQINVIDNKGVTFITAHSKEKKSDVVGYSFVQCKITGSASGAYLGRAWRAMPEVVFSYTEMGRVVNPLGWSNNNKPERESTVFFAEYKNSGPGSNPKKRVKFDKQLTDGEAKNFLTLGYIQGSKWLLPPPM >Manes.09G022632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4584410:4590128:-1 gene:Manes.09G022632.v8.1 transcript:Manes.09G022632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHSYQEICFAWGLKAELRKLEDILLTVKAVLMDAEEKQVNDHQLRLWLAKLKDALYDAEDVLDEFECEDQRRRVLQLYGTTSKKLWLGFQFKQCFRWSFERIA >Manes.09G051450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8609954:8611508:-1 gene:Manes.09G051450.v8.1 transcript:Manes.09G051450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPNDYKEEGSEGKPNGRKSTSPQEKEPSVFEDLAVAARGGFETLRPALQRVYVTRASAYRDALKRFIEGYEEGVQQVRKKK >Manes.08G086300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:27024767:27025278:-1 gene:Manes.08G086300.v8.1 transcript:Manes.08G086300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGKRRHKKKENKHQRPALATGGAYPANKGYRSGAGKGNDSGNKDGGMVIMTNIMAETAAAAAATVSSDSTCGGGGGGCGGGGGGGGCGGGGGGGC >Manes.17G098600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30480651:30486287:-1 gene:Manes.17G098600.v8.1 transcript:Manes.17G098600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGGSDDECYYSDDRESLEGLENEESDFHWVPAKGPTTKIITKESLLAAQREDLRRVMELLSLREHHARTLLIHYRWDVERLLAVFVEKGKSYLFTEAGVTVVEQLDIDLPLTLSSTIMCDICMEDVLGNKTTRMDCGHCFCNDCWTEHFIVKINEGQSRRIRCMAHKCNAICDEAIVRNLVSKKHPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRIEEDECCEVECSCSLQFCFSCLSEAHSPCSCLMWELWSKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQSFCWLCGGATGRDHTWSRISGHSCGRYKEDREKKTERAKQDLYRYMHYHNRYKAHTDSSKLESKLKETILEKVSISEEKESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTVEEREIKQNLFEDQQQQLESNVEKLSKFLEEPFEQYTDDKVMEIRMQVINLSTITDNLCKKM >Manes.17G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30480651:30486287:-1 gene:Manes.17G098600.v8.1 transcript:Manes.17G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGGSDDECYYSDDRESLEGLENEESDFHWVPAKGPTTKIITKESLLAAQREDLRRVMELLSLREHHARTLLIHYRWDVERLLAVFVEKGKSYLFTEAGVTVVEQLDIDLPLTLSSTIMCDICMEDVLGNKTTRMDCGHCFCNDCWTEHFIVKINEGQSRRIRCMAHKCNAICDEAIVRNLVSKKHPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRIEEDECCEVECSCSLQFCFSCLSEAHSPCSCLMWELWSKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQSFCWLCGGATGRDHTWSRISGHSCGRYKEDREKKTERAKQDLYRYMHYHNRYKAHTDSSKLESKLKETILEKVSISEEKESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTVEEREIKQNLFEDQQQQLESNVEKLSKFLEEPFEQYTDDKVMEIRMQVINLSTITDNLCKKMYECIESDLLGSLQLGTHNIAPYKSKGIEKASELSSCWSNKVSATDKCLLSDDNTSGGMSQHDRPSGSRSSADSECSSRKRARKEGGGFLDLNLPAEIVDRN >Manes.17G098600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30480651:30486293:-1 gene:Manes.17G098600.v8.1 transcript:Manes.17G098600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYGGSDDECYYSDDRESLEGLENEESDFHWVPAKGPTTKIITKESLLAAQREDLRRVMELLSLREHHARTLLIHYRWDVERLLAVFVEKGKSYLFTEAGVTVVEQLDIDLPLTLSSTIMCDICMEDVLGNKTTRMDCGHCFCNDCWTEHFIVKINEGQSRRIRCMAHKCNAICDEAIVRNLVSKKHPDLAEKFDRFLLESYIEDNKMVKWCPSTPHCGNAIRIEEDECCEVECSCSLQFCFSCLSEAHSPCSCLMWELWSKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQSFCWLCGGATGRDHTWSRISGHSCGRYKEDREKKTERAKQDLYRYMHYHNRYKAHTDSSKLESKLKETILEKVSISEEKESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGEELFKDEMTVEEREIKQNLFEDQQQQLESNVEKLSKFLEEPFEQYTDDKVMEIRMQVINLSTITDNLCKKMYECIESDLLGSLQLGTHNIAPYKSKGIEKASELSSCWSNKVSATDKCLLSDDNTSGGMSQHDRPSGSRSSADSECSSRKRARKEGGGFLDLNLPAEIVDRN >Manes.17G020400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11219330:11225666:-1 gene:Manes.17G020400.v8.1 transcript:Manes.17G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQASRVLARSINQQPKVMVRAFSTDVPATPTVDSTFIESWKKVIPNMEPPKTPSTFMQPRPPTPSTIPSKLTVNFVLPYASELSKKEVDMVIVPATTGQMGVLPGHVPTIAELKPGVLSVHEGNDVTKYFLSSGFAFVHANSVADIIAVEAVPLDQIDSSLVQKGLAEFTQKLSSATTDLEKAEAQIGVDVHSALNSALTG >Manes.09G095050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28740444:28743162:1 gene:Manes.09G095050.v8.1 transcript:Manes.09G095050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKRKLSLTMSIPFQQPFYPFHLIKSYHQLVLLHLRISTTEILCSRHHLCPHHPFLLLSSSLPSSFSAFFLSRSSIFVHSKDKNYLRSSSFLPSIFFFVYARST >Manes.05G040200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3269570:3270064:1 gene:Manes.05G040200.v8.1 transcript:Manes.05G040200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSASASEAPPPVPLPDLILSLEQATLMAKQLPSTAHPDHLLQIYSSLHQAHQNLSSFISQTQFSLSPPPPPQAADDGDQPMQVGDDDYEAGTEDNFKATIDKVEEKMRNCFIKNKRLKRPLSPSSVAEERRLVDDGDARGIPGFDPHATRLRALDLVFQFHG >Manes.09G129300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33148561:33151029:1 gene:Manes.09G129300.v8.1 transcript:Manes.09G129300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSNTSQVITCKAAVIWGIGERLKVEEIQVDPPNSSEVRVKMLYASICHTDISRAQGYPFPLFPRVLGHEGVGVVESIGDKVNGLKERDIVMPTFIAQCQECENCTSKETNLCLKFPVNRNGLMPDGTSRMSIKGHKLYQLFTCSTWTEYMVIDSNYVVKIDPTIPLPHASCLSCGFTTGFGAAWKEAKVKEGSSVAVLGLGSVGLGVIEGARMRGAATIIGVDKNGKKREKGQAFGMTHFINPEEFDKPISQLVKDLTSGIGVDYCFECSGAAPLVNEALQATKMGIGKAIVVGAGSETVKI >Manes.09G129300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33148561:33151029:1 gene:Manes.09G129300.v8.1 transcript:Manes.09G129300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYASICHTDISRAQGYPFPLFPRVLGHEGVGVVESIGDKVNGLKERDIVMPTFIAQCQECENCTSKETNLCLKFPVNRNGLMPDGTSRMSIKGHKLYQLFTCSTWTEYMVIDSNYVVKIDPTIPLPHASCLSCGFTTGFGAAWKEAKVKEGSSVAVLGLGSVGLGVIEGARMRGAATIIGVDKNGKKREKGQAFGMTHFINPEEFDKPISQLVKDLTSGIGVDYCFECSGAAPLVNEALQATKMGIGKAIVVGAGSETVKI >Manes.08G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3691875:3696749:-1 gene:Manes.08G037700.v8.1 transcript:Manes.08G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSFPCFGKNLHGLCSSSTLRCQIVFFYRFILVLMNPFWIQLSYFICLSFLGFGVLKALKPRTHDSFTPKNLDLFFTSVSATTVSSMSTVEMEVFSNPQLIVLTILMFIGGEVFTSMVGLHLSKSQLKRRWKLGGLVSAAETHEIEITSTAEMKHDSENLESGNETIVQYDQVSLDIKMLKFSSIKFLSFVVLGYLLFVHFLGISLVLLYLAIVTSASSVLKTKGLNKVTFSFFTIVSTFASCGFVPTNENMIVFSKNSGLLLILIPQILLGNTLFPPCLRFSVWFLGKFFKKEESRYLLKSNEIGYLHWLPRLHSSLLVVTVFGFIMVQFILFCSMEWNSDALNGLNSLEKIMGIIFQVVNSRHTGETIVDLSIISPAILVLFIVMMYLPPYTSFVPINDNPEASGKGKKRRGKLLENIIFSQLSYLVIFVILVCITERNKMKQDPLNFSVLNIVLEVISAYGNVGFTTGYSCKRQLHPDSNCKDKWFGFSGKWSDQGKVILIVVMFFGRLKKFNMNGGRAWILL >Manes.07G038975.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4758975:4778559:-1 gene:Manes.07G038975.v8.1 transcript:Manes.07G038975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKISNEPLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPNWMNGFYARSTTTKQKTRKTRTMKMEEL >Manes.09G183200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37092925:37096586:1 gene:Manes.09G183200.v8.1 transcript:Manes.09G183200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCFQSQKSSKSLSKREHESPPSPREVAETNSPETKKQKPGDEDANEEANQVGEANVAAKAFNFREIATATKNFRQECLLGEGGFGRVFKGTLASNGQVVAVKQLDRSGLQENKDFLAEVTKLSALHHPSLVELVGYCADGDQRLLVYDFIKGGSLQEHLHDVAPEKGTLDWFTRMKIAFGTAEGLEYLHDKANPPVIFGDLKPTNILLDEDYTSKLSDFGLVKFGPSGDSVPSRLMGTYGYSAPEYARGGELTMKSDVYSFGVILLELITGRKAIDTTKPNDEQNLVAWAQPIFRDPKRFPDMADPVLKKHFPEKDLNQAVAIAAMCLQEESAARPLMSDVVTALSFLSVAKDESFPSPPPPSTPPSAEVDGSSESSEEDSDSDDSNEGSKKWSKNSRSGSSRCGSISSSRSSSEESQHLNISLSRNSSRGSEEGSVSEDEKSSRDIDSPDRTESLSHRSSVSGDDC >Manes.01G196200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37043074:37045100:1 gene:Manes.01G196200.v8.1 transcript:Manes.01G196200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFFLRFLLISIVICFSFSFHSPNENSFHSIKSFQNASIKDRIFELANDHSTVNWMKKVRRKIHLNPELAFQEFETSKLIRQELDQLGVPYQWPVAGTGVVAAIGSGSPPFVALRADMDALPIQELTEWEYKSRIDGKMHACGHDAHVAMLLGAAKILQELRHTLQGTVILIFQPAEEQGQGAKAMIEEGVLDNVEAIFGMHLVQRYPTGVVASRPGEFLAGCGSFKAKISGRGGHAAIPQQSIDPILAASASVISLQQIVSRETDPLDSQVVSVSMINGGSAFNVIPDSATIGGTFRAFSQKNFHALRERIEEVIKGQAAVHRCSSQIDFNGNENPTIPPTINDAKIHEHARRVSIELVGEENAQAAPTFMGSEDFAFYLEKVPGSFLLLGIRNEKIGSIHPPHSPYFTVDEDVFPIGAAIHAAFAYSYLSNSTATLSSY >Manes.11G069400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9809987:9814761:-1 gene:Manes.11G069400.v8.1 transcript:Manes.11G069400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMSSKKSSVKLEVEESLEDQLGPLHKRSKLDSSLQMIPAAALCNPLDEPSPLGLRLKKSPSLLDLIQMKLSQQNTIKKDHKATSSTATDKLKASNFPASLLKIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQTTSDFTGGQASMHRRHFLQCQQGFLGKHFEKLVQCDPRLNFLSQQPEILLETPYFELRGPPFGDLNESAEVLDSKAEDRPSVFALGDAASPSGGLSSSLNDEHQVFIGRTPESCSQETPSPSTVMDNDAVEEIRSLKTSRQKIPSHWDQIKVPGIQPSMSMSDLVNHLGQCISEQISGNPYISNGDPMNREILGEITQYLLSDTQLTSASDEQCLMSRVNSLCCLLQRDPGSALNLQAKGCIDLEADVNERIDGTESLSAATCQNKFVEGFPVSEDQSNSVSSCKQPPSMSRKDSVGELLLNLPRIASLPQFLHNM >Manes.08G150511.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:20498145:20498826:1 gene:Manes.08G150511.v8.1 transcript:Manes.08G150511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEHNHGLKLNPLIIGVFGILSGAIMVGTLHCIAAGCRTQPGRSTSSPRPNRTRPQHPGNRPNPTRITVGIERFGKECSEEICSVCLCEFNDGEQIRVLSECSHMFHVPCIDMWLMSHSSCPLCRASTVPLPRNVVALRPSSSGNPLPEVHQLPDPGV >Manes.05G001300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:168760:173586:-1 gene:Manes.05G001300.v8.1 transcript:Manes.05G001300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNKYKVAVDAEEGRRRREDNMVEIRKNKREESLQKKRREGLQAQQQQLTSFSNSSTTDKKLESLPAMVAGVWSEDRNSQLEATTHFRKLLSIERSPPINEVVQSGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFIKLLSSPTDDVREQAVWALGNIAGDSPKCRDLVLGCGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPLFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHSSPTVLIPALRTVGNIVTGDDMQTQCMINHQTLPCLLNLLTHNYKKSIKKEACWTISNITAGNINQVQAIIEAGIIAPLVQLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVNQGCIKPLCDLLICPDPRIITVCLEGLENILKVGEAEKNLGNTGDANLYAQMIDDAEGLEKVENLQSHDNNDIYEKAVKILETYWLDEEDDDEATHQGDAPVDGYNFGGSEFTVPSGGFKFS >Manes.05G001300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:168718:172669:-1 gene:Manes.05G001300.v8.1 transcript:Manes.05G001300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVWSEDRNSQLEATTHFRKLLSIERSPPINEVVQSGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFIKLLSSPTDDVREQAVWALGNIAGDSPKCRDLVLGCGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPLFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHSSPTVLIPALRTVGNIVTGDDMQTQCMINHQTLPCLLNLLTHNYKKSIKKEACWTISNITAGNINQVQAIIEAGIIAPLVQLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVNQGCIKPLCDLLICPDPRIITVCLEGLENILKVGEAEKNLGNTGDANLYAQMIDDAEGLEKVENLQSHDNNDIYEKAVKILETYWLDEEDDDEATHQGDAPVDGYNFGGSEFTVPSGGFKFS >Manes.05G001300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:168760:172669:-1 gene:Manes.05G001300.v8.1 transcript:Manes.05G001300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVWSEDRNSQLEATTHFRKLLSIERSPPINEVVQSGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFIKLLSSPTDDVREQAVWALGNIAGDSPKCRDLVLGCGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPLFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHSSPTVLIPALRTVGNIVTGDDMQTQCMINHQTLPCLLNLLTHNYKKSIKKEACWTISNITAGNINQVQAIIEAGIIAPLVQLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVNQGCIKPLCDLLICPDPRIITVCLEGLENILKVGEAEKNLGNTGDANLYAQMIDDAEGLEKVENLQSHDNNDIYEKAVKILETYWLDEEDDDEATHQGDAPVDGYNFGGSEFTVPSGGFKFS >Manes.05G001300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:168441:173586:-1 gene:Manes.05G001300.v8.1 transcript:Manes.05G001300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLPAMVAGVWSEDRNSQLEATTHFRKLLSIERSPPINEVVQSGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFIKLLSSPTDDVREQAVWALGNIAGDSPKCRDLVLGCGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPLFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHSSPTVLIPALRTVGNIVTGDDMQTQCMINHQTLPCLLNLLTHNYKKSIKKEACWTISNITAGNINQVQAIIEAGIIAPLVQLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVNQGCIKPLCDLLICPDPRIITVCLEGLENILKVGEAEKNLGNTGDANLYAQMIDDAEGLEKVENLQSHDNNDIYEKAVKILETYWLDEEDDDEATHQGDAPVDGYNFGGSEFTVPSGGFKFS >Manes.05G001300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:168760:172686:-1 gene:Manes.05G001300.v8.1 transcript:Manes.05G001300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLPAMVAGVWSEDRNSQLEATTHFRKLLSIERSPPINEVVQSGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFIKLLSSPTDDVREQAVWALGNIAGDSPKCRDLVLGCGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPLFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHSSPTVLIPALRTVGNIVTGDDMQTQCMINHQTLPCLLNLLTHNYKKSIKKEACWTISNITAGNINQVQAIIEAGIIAPLVQLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVNQGCIKPLCDLLICPDPRIITVCLEGLENILKVGEAEKNLGNTGDANLYAQMIDDAEGLEKVENLQSHDNNDIYEKAVKILETYWLDEEDDDEATHQGDAPVDGYNFGGSEFTVPSGGFKFS >Manes.05G001300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:168441:173586:-1 gene:Manes.05G001300.v8.1 transcript:Manes.05G001300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNKYKVAVDAEEGRRRREDNMVEIRKNKREESLQKKRREGLQAQQQQLTSFSNSSTTDKKLESLPAMVAGVWSEDRNSQLEATTHFRKLLSIERSPPINEVVQSGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFIKLLSSPTDDVREQAVWALGNIAGDSPKCRDLVLGCGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPLFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHSSPTVLIPALRTVGNIVTGDDMQTQCMINHQTLPCLLNLLTHNYKKSIKKEACWTISNITAGNINQVQAIIEAGIIAPLVQLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVNQGCIKPLCDLLICPDPRIITVCLEGLENILKVGEAEKNLGNTGDANLYAQMIDDAEGLEKVENLQSHDNNDIYEKAVKILETYWLDEEDDDEATHQGDAPVDGYNFGGSEFTVPSGGFKFS >Manes.01G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4307617:4309436:1 gene:Manes.01G017900.v8.1 transcript:Manes.01G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRRAAAETHIIALPFPAQGHINPMLQFSKRLVSKGLKVTLVTFIDNELTKAKHGSVVVESISNNSEENFKISTMEDYWRNFSSLVRQKLSEIVEKQGESCCPISCLVYDSIMPWALDMARELGVAAASFFTQSCAVSAIYYHVYKGRLNIPTDVDLVSLEGMPPLESCDLPSSACGLEKNCPAMISLMSDQFSNVGEVDWILINTFNELEEEVMNWMASQCPLKLIGPLIPSKYLSKRLELDDSEYGLSLFKPRTNSFMQWLDSKEASSVVYVAFGSLASLEEKQMEDIASGLKLSNYNFLWVVRESEENKLPKNLMNETSEKGLIITWCPQPEVLAHKSVGCFMTHCGWNSTLEALSLGVPMVAMPYWSDQTTNAKFVADVWRVGVRVKVNEEGIVTKEEIEMCIREVMEGESSSILRRNSEKWKMLAQEAVAEGGSSDKNVERFVAEVTCNITA >Manes.12G018101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1627819:1629685:-1 gene:Manes.12G018101.v8.1 transcript:Manes.12G018101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNSQASAQADQNVSSSGQQNSAASSGQSTGQDSSASSTSSSTQQAQGTASGQTSASSSLEAQGAASGQTSASSSQQALGTANGQIDTTHQSQGSFSASGSASATFKGQFTKVFAFGDSFTDTGNAASLEGVKPFGHLPFAKPGNLPGHRMSNGKLLIDFLCEDLGLPSIKAYKDASGNFSSGANFAVAGSTCLASKFFTTHKMLHSLMFKQKPENALIQIDWFNNFLLNAECKGLDEAQCKAHLSNCLFWVGALGLSDYARIIGSAIHGKSLTEASVDHVCKILKDLLDKGAKYVVVQGLPPAGCCPLQMLLNPPRERDSMGCSSGLNALIQAHNEVLEQRLVEFREQYQGTVIIYADTWKAYKTIMLNHKKFHFEEPFKACCGAGGGPLNFDLHSLCGSSGTSVCKNPQNFISWDGIHFTEAMHKRLFDMFVHQGFCSPSFDVLIQAKMKGGLAQGAAAFAAKGKENWCMSCAGTCCFGNMCTIVVFNKFPVVKNMKLPPSFSLFVFLPVENREEKNGMYLLFGQQIYC >Manes.16G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32334552:32337972:1 gene:Manes.16G120300.v8.1 transcript:Manes.16G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQATSSIAASSLPSSSDRSSSSALQLEVKEGMESDEEIRRVPEISGEPAGASASGRDGGSVAGAERAQAPGEGQSQRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLNELETRVKDLEKKNSELEERLSTLQNENQMLRQILKNTTASRRGGSSNGNGDACL >Manes.06G177200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30050779:30052471:1 gene:Manes.06G177200.v8.1 transcript:Manes.06G177200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMRNGRVSPSSSPPTPPSPLPISTGPGNQKYSFSPSPSPSPPFSLPPSSHTSTENLPFLPDKLATAAKVASAFSLDLQHPRQMDSRSSCLQDLLEWFLQKCCNCCSKFL >Manes.04G013601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1809330:1812624:-1 gene:Manes.04G013601.v8.1 transcript:Manes.04G013601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGERMAKRSSAFGSIVKSRLSDITNPQSQHTLVGLDEKQPPIPNSTEDLINQLLKEKATLILFVVERDKIIALSDNQLRNLRMRYQKLQLQNWNLAQANSQMLAELNLGREKLKSLQHELVCKVALLKAKNLEQECCCAGKSRNYKSEDWLPGSRSNNNTEPGNRVRRRPARSQSMGPSTTSRQGMEKEKLESKRRCLRRQSARFKSQEQELSMENLFEIEEAKFPITHPLDNPMQEKGLKAQCPSSVMKEENFDPENEAQVAERSSLDRPVRRAVEKVQSYKEVPLNTKMRRTE >Manes.03G042200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3701528:3702208:1 gene:Manes.03G042200.v8.1 transcript:Manes.03G042200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRVDVKLNSTSLLPNPMLPPIFLLELRFHRYCRRLFRNLQGESIQLRPVAPTSAFLFEIHSHDLVSEQPCKSHLYYLFSSINLDEPVRDFLAYHIACFLVLMANQQPFLGRHVVADTDMTLEYLIAGDPIGRTMIVDEEPREVVPRGASTSALNKLKKQRFFAKKTGDSDELSDDCVICLEGLSGSREALTKMTCNHIFHERCIFGWLKVQNSCPTCRRELED >Manes.04G064966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:25845255:25847591:1 gene:Manes.04G064966.v8.1 transcript:Manes.04G064966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEHRDTLPFDPKIERTLRRLRKQAAEASSEAIEFYQQAAPMAEPIPQDAAPNGLAIQNQIVQENPANRPQEQRERIMGELATPVGDYAPLCITYPPLTVPFELKTGLIHILPKFSGRENENPHKHLKAFNMICSSMKPQGISEDQIKLRAFPFSLEDFTKDWLFYLPPGSITSWDDMVKAFLNKYFPTHKSIGIIREITSIKQKPSEDLYDYWERFETLCTECPQHDMSDKALIQFFYGGLSPLERKFIYVTCGGSIEDKTPRQMRELISTLAASSRQYGEERQLQRANEVNFPSMSELTSVIKNAVVDVVQQIQAPQPPRPCGICLCVGHLTDQCSTLQEDHQQANAIGRYNNQPRYDPYSTTYNPGWKDHPNFSYGKSNSDQNYQSYQRNQAQPAPSTPNQNLEKIMQTMMETMVSTMQGVRQDLGQMTTSMQGVRQDLSQMATSIGQLQSQGKLPSQTETNPRQNVSAITLRSGKELRDTNHEQEKEPKPPEASPSQSAAPPAQKTDPKVSFHIPPPFPKRFERTQKEKEEKEILDTFRKVQINIPLLDAVKQIPIYAKFLKELCTNRRKLAEREKVSVGEVVTAVIKRELPTKCKDKGMFAISCKIGNVDIKKAMCDLGASINVMPLSIYKSLNACALKETRVVIQLADRSVVYSIGVLEDVLVQVNELVFPADFYVIDTKEDSYNTSSDILLGRPFLSPARTKIDVHDGTLTMEFEGEVIKFNVYDAMKYPYDMSPVYGLDIVDCLSQEIFDKNQDDILNSDFCRDTDQV >Manes.03G159600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28700839:28704272:1 gene:Manes.03G159600.v8.1 transcript:Manes.03G159600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHAWLVPKGKDDVSSPLSAQILEFCDPELFLQNSEVTSSSNCCYEENSPYTTNLSLPSDNLSLPPDIENFNNCRDNNGNNSTTTPPTPSTTTPATTSTTTATAANANNINNNNLSIIFDSQDELDNEISASIDFSSSPTFSVPQLITTHHEQFDFSSMQPQLTLSGIVSADGLNQYPADSVHQFMGHQLPSVFEEDCLSSIPSYLPLNPSSPSCTYLGPAKSTYMSAGTMTAALSVDSSGIFGGGILLGSELQPQELEYQGENGGIYCPDTIPRVFNPADLQALNNEAQQLVGGTGNNTPLASDISTLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETNRAASNNHEEDDDNVRYLQPILHHFLVMSSLNLVCDPLLFCLPFFPADNSERRRRHG >Manes.03G159600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28699476:28704272:1 gene:Manes.03G159600.v8.1 transcript:Manes.03G159600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDAILPPAEQPSSIDDVSSPLSAQILEFCDPELFLQNSEVTSSSNCCYEENSPYTTNLSLPSDNLSLPPDIENFNNCRDNNGNNSTTTPPTPSTTTPATTSTTTATAANANNINNNNLSIIFDSQDELDNEISASIDFSSSPTFSVPQLITTHHEQFDFSSMQPQLTLSGIVSADGLNQYPADSVHQFMGHQLPSVFEEDCLSSIPSYLPLNPSSPSCTYLGPAKSTYMSAGTMTAALSVDSSGIFGGGILLGSELQPQELEYQGENGGIYCPDTIPRVFNPADLQALNNEAQQLVGGTGNNTPLASDISTLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETNRAASNNHEEDDDNIIVKEEEDMVDSADILAHISGVNSFKCNYSIQSWI >Manes.03G159600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28700510:28704272:1 gene:Manes.03G159600.v8.1 transcript:Manes.03G159600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLCLKDDVSSPLSAQILEFCDPELFLQNSEVTSSSNCCYEENSPYTTNLSLPSDNLSLPPDIENFNNCRDNNGNNSTTTPPTPSTTTPATTSTTTATAANANNINNNNLSIIFDSQDELDNEISASIDFSSSPTFSVPQLITTHHEQFDFSSMQPQLTLSGIVSADGLNQYPADSVHQFMGHQLPSVFEEDCLSSIPSYLPLNPSSPSCTYLGPAKSTYMSAGTMTAALSVDSSGIFGGGILLGSELQPQELEYQGENGGIYCPDTIPRVFNPADLQALNNEAQQLVGGTGNNTPLASDISTLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETNRAASNNHEEDDDNIIVKEEEDMVDSADILAHISGVNSFKCNYSIQSWI >Manes.03G159600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28700410:28704272:1 gene:Manes.03G159600.v8.1 transcript:Manes.03G159600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHAWLVPKGKDDVSSPLSAQILEFCDPELFLQNSEVTSSSNCCYEENSPYTTNLSLPSDNLSLPPDIENFNNCRDNNGNNSTTTPPTPSTTTPATTSTTTATAANANNINNNNLSIIFDSQDELDNEISASIDFSSSPTFSVPQLITTHHEQFDFSSMQPQLTLSGIVSADGLNQYPADSVHQFMGHQLPSVFEEDCLSSIPSYLPLNPSSPSCTYLGPAKSTYMSAGTMTAALSVDSSGIFGGGILLGSELQPQELEYQGENGGIYCPDTIPRVFNPADLQALNNEAQQLVGGTGNNTPLASDISTLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETNRAASNNHEEDDDNIIVKEEEDMVDSADILAHISGVNSFKCNYSIQSWI >Manes.03G159600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28700837:28704272:1 gene:Manes.03G159600.v8.1 transcript:Manes.03G159600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQFLTVLQDDVSSPLSAQILEFCDPELFLQNSEVTSSSNCCYEENSPYTTNLSLPSDNLSLPPDIENFNNCRDNNGNNSTTTPPTPSTTTPATTSTTTATAANANNINNNNLSIIFDSQDELDNEISASIDFSSSPTFSVPQLITTHHEQFDFSSMQPQLTLSGIVSADGLNQYPADSVHQFMGHQLPSVFEEDCLSSIPSYLPLNPSSPSCTYLGPAKSTYMSAGTMTAALSVDSSGIFGGGILLGSELQPQELEYQGENGGIYCPDTIPRVFNPADLQALNNEAQQLVGGTGNNTPLASDISTLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETNRAASNNHEEDDDNIIVKEEEDMVDSADILAHISGVNSFKCNYSIQSWI >Manes.03G159600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28699476:28704272:1 gene:Manes.03G159600.v8.1 transcript:Manes.03G159600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDAILPPAEQPSSIDDVSSPLSAQILEFCDPELFLQNSEVTSSSNCCYEENSPYTTNLSLPSDNLSLPPDIENFNNCRDNNGNNSTTTPPTPSTTTPATTSTTTATAANANNINNNNLSIIFDSQDELDNEISASIDFSSSPTFSVPQLITTHHEQFDFSSMQPQLTLSGIVSADGLNQYPADSVHQFMGHQLPSVFEEDCLSSIPSYLPLNPSSPSCTYLGPAKSTYMSAGTMTAALSVDSSGIFGGGILLGSELQPQELEYQGENGGIYCPDTIPRVFNPADLQALNNEAQQLVGGTGNNTPLASDISTLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETNRAASNNHEEDDDNVRYLQPILHHFLVMSSLNLVCDPLLFCLPFFPADNSERRRRHG >Manes.18G036800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3261122:3267151:1 gene:Manes.18G036800.v8.1 transcript:Manes.18G036800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKVAEVMPPPDSSASSQDNQHSHEEGLINPVTNGKPLAAENSGIEPLPTDIMVATSTADIIETDYPGMVKEGSKTAMQDISNGDKIETIEVSSKGQHYQQKTEAVPGSADGLLLQEKTEPLQNSSDIQQTQETSFTDSPHIHIDNTPIASSPVESSQSNELDLPHIKVRAETNEPTSASPYAMLASQILRTPLSINSPGDVKQGDISIGLIDTAAPFESVKAAVSKFGGIVDWKAHKIQTVERRKLVEQELDKVQEEMPRYRKQSEDAELAKTQVLKELDNTKRIIEELKLSLERAQMEEHQAKQDSELAKLRVEELEQGIADEASVAAKAQLEVAKARHAAAVSELKSVNDELETLKKEYASLVAEKYEAVKKAEETISASKEIEKTVEELTIELIATKESLESAHTAHLEAEEQRIGAAMAREQDSLFWEKELKQAEEELQKLNQHILSAKDLKSELETASALLLDLKVDLAAFMESKLKEETDQGNMIAEQEELEKRTHADIELAKKELEEVKLNIDRATDEVSCLKMAAASLQSELEKEKTSLATLRQREGMASVAVASLEAELDSTTSEIDLVQIKEKEAKEKMVEFPKQLQQAAKAADEAKQLAQIAREELRKAKEEAEQAKAGASTMERRLHAAQKEIEASKASEKLALAAIRALQESESAQSTKDIDSSDITLSLEEYYELSKRAHEAEEQANTRVAAAISQIEVAKESELKTAEKLEEVNKEMAARKEALKSAMMKAEKAKEGKLAVEQELRKWRAEHEQKRRTGEPGQRVAAAVKTPSASFEDGKGSNNFVKVTNASVEYESSHKAQLYGSDTETESSPEAKAQKKKKKTYFPRFLMFLTRKKTHTSKTG >Manes.18G036800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3261333:3267075:1 gene:Manes.18G036800.v8.1 transcript:Manes.18G036800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKVAEVMPPPDSSASSQDNQHSHEEGLINPVTNGKVESDNKLSVVEHSISEPIPDDFDTLILVQDQPLAAENSGIEPLPTDIMVATSTADIIETDYPGMVKEGSKTAMQDISNGDKIETIEVSSKGQHYQQKTEAVPGSADGLLLQEKTEPLQNSSDIQQTQETSFTDSPHIHIDNTPIASSPVESSQSNELDLPHIKVRAETNEPTSASPYAMLASQILRTPLSINSPGDVKQGDISIGLIDTAAPFESVKAAVSKFGGIVDWKAHKIQTVERRKLVEQELDKVQEEMPRYRKQSEDAELAKTQVLKELDNTKRIIEELKLSLERAQMEEHQAKQDSELAKLRVEELEQGIADEASVAAKAQLEVAKARHAAAVSELKSVNDELETLKKEYASLVAEKYEAVKKAEETISASKEIEKTVEELTIELIATKESLESAHTAHLEAEEQRIGAAMAREQDSLFWEKELKQAEEELQKLNQHILSAKDLKSELETASALLLDLKVDLAAFMESKLKEETDQGNMIAEQEELEKRTHADIELAKKELEEVKLNIDRATDEVSCLKMAAASLQSELEKEKTSLATLRQREGMASVAVASLEAELDSTTSEIDLVQIKEKEAKEKMVEFPKQLQQAAKAADEAKQLAQIAREELRKAKEEAEQAKAGASTMERRLHAAQKEIEASKASEKLALAAIRALQESESAQSTKDIDSSDITLSLEEYYELSKRAHEAEEQANTRVAAAISQIEVAKESELKTAEKLEEVNKEMAARKEALKSAMMKAEKAKEGKLAVEQELRKWRAEHEQKRRTGEPGQRVAAAVKTPSASFEDGKGSNNFVKVTNASVEYESSHKAQLYGSDTETESSPEAKAQKKKKKTYFPRFLMFLTRKKTHTSKTG >Manes.18G036800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3261333:3267075:1 gene:Manes.18G036800.v8.1 transcript:Manes.18G036800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKVAEVMPPPDSSASSQDNQHSHEEGLINPVTNGKVESDNKLSVVEHSISEPIPDDFDTLILVQDQPLAAENSGIEPLPTDIMVATSTADIIETDYPGMVKEGSKTAMQDISNGDKIETIEVSSKGQHYQQKTEAVPGSADGLLLQEKTEPLQNSSDIQQTQETSFTDSPHIHIDNTPIASSPVESSQSNELDLPHIKVRAETNEPTSASPYAMLASQILRTPLSINSPGDVKQGDISIGLIDTAAPFESVKAAVSKFGGIVDWKAHKIQTVERRKLVEQELDKVQEEMPRYRKQSEDAELAKTQVLKELDNTKRIIEELKLSLERAQMEEHQAKQDSELAKLRVEELEQGIADEASVAAKAQLEVAKARHAAAVSELKSVNDELETLKKEYASLVAEKYEAVKKAEETISASKEIEKTVEELTIELIATKESLESAHTAHLEAEEQRIGAAMAREQDSLFWEKELKQAEEELQKLNQHILSAKDLKSELETASALLLDLKVDLAAFMESKLKEETDQGNMIAEQEELEKRTHADIELAKKELEEVKLNIDRATDEVSCLKMAAASLQSELEKEKTSLATLRQREGMASVAVASLEAELDSTTSEIDLVQIKEKEAKEKMVEFPKQLQQAAKAADEAKQLAQIAREELRKAKEEAEQAKAGASTMERRLHAAQKEIEASKASEKLALAAIRALQESESAQSTKDIDSSDITLSLEEYYELSKRAHEAEEQANTRVAAAISQIEVAKESELKTAEKLEEVNKEMAARKEALKSAMMKAEKAKEGKLAVEQELRKWRAEHEQKRRTGEPGQRVAAAVKTPSASFEDGKGSNNFVKVTNASVEYESSHKAQLYGSDTETESSPEAKAQKKKKKTYFPRFLMFLTRKKTHTSKTG >Manes.18G036800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3261041:3267151:1 gene:Manes.18G036800.v8.1 transcript:Manes.18G036800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKVAEVMPPPDSSASSQDNQHSHEEGLINPVTNGKPLAAENSGIEPLPTDIMVATSTADIIETDYPGMVKEGSKTAMQDISNGDKIETIEVSSKGQHYQQKTEAVPGSADGLLLQEKTEPLQNSSDIQQTQETSFTDSPHIHIDNTPIASSPVESSQSNELDLPHIKVRAETNEPTSASPYAMLASQILRTPLSINSPGDVKQGDISIGLIDTAAPFESVKAAVSKFGGIVDWKAHKIQTVERRKLVEQELDKVQEEMPRYRKQSEDAELAKTQVLKELDNTKRIIEELKLSLERAQMEEHQAKQDSELAKLRVEELEQGIADEASVAAKAQLEVAKARHAAAVSELKSVNDELETLKKEYASLVAEKYEAVKKAEETISASKEIEKTVEELTIELIATKESLESAHTAHLEAEEQRIGAAMAREQDSLFWEKELKQAEEELQKLNQHILSAKDLKSELETASALLLDLKVDLAAFMESKLKEETDQGNMIAEQEELEKRTHADIELAKKELEEVKLNIDRATDEVSCLKMAAASLQSELEKEKTSLATLRQREGMASVAVASLEAELDSTTSEIDLVQIKEKEAKEKMVEFPKQLQQAAKAADEAKQLAQIAREELRKAKEEAEQAKAGASTMERRLHAAQKEIEASKASEKLALAAIRALQESESAQSTKDIDSSDITLSLEEYYELSKRAHEAEEQANTRVAAAISQIEVAKESELKTAEKLEEVNKEMAARKEALKSAMMKAEKAKEGKLAVEQELRKWRAEHEQKRRTGEPGQRVAAAVKTPSASFEDGKGSNNFVKVTNASVEYESSHKAQLYGSDTETESSPEAKAQKKKKKTYFPRFLMFLTRKKTHTSKTG >Manes.09G053000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8788961:8798374:1 gene:Manes.09G053000.v8.1 transcript:Manes.09G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAQRSSSGSSHSPRSPSSQPYLSISVTDPVKLGNGVQAYISYRVITKTNFPEYQGPEKIVIRRYSDFVWLRDRLFEKYKGVFIPPLPEKSAVEKFRFSAEFIELRRQALDIFVNRIASHHELQQSEDLRTFLQADEETMERLRSQDTGIFKKKPADLMQIFKEVQTKVSDVVLGKEKPVEESNPEYEKLKNYIFELENHLSEAQKHAYRLVKRHRELGQSLSDFGKAVKLLGACEGDALGKAFSDLGAKSESLCVRLQKEAHQLLMNFEEPLKDYVRSVQSIKATIAERANAFRHQCELAETIKLKEINLDKLMLTRSEKVGEAELEYKELKAESEEATRRFENIVRVMNEEIVRFQDQKTLDMGIAFHEFAKGQARLANNIADAWRSLLPKLEACSP >Manes.15G164500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13776787:13782449:-1 gene:Manes.15G164500.v8.1 transcript:Manes.15G164500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTIQRASSSGSCSTPLEAFVMDGGGEIHRSHSVNEPNHIQNEIETTSPEKLRQQALDEKKRYKVLKGEGKPDEALKAFKRGKELERQADALEMAIRKNRRRALHSGNMGEILNKDEPKESGTKSKLLAKASKEKDDLTAELRELGWTDADPRDEDKKSVNMSLEGELSSLLGDSSQTSGKAARTSGIDKTEVVAHKRKALALKREGKLAEAKEELKKAKVLEKQLEEQELLGASEESDDEISALIHSMDDNKQDELLVGYEQEQGFDFDHLMGTADDLADDKNLAVTDEDLVDPEMAATLKSLGWADDSENERNSMAESVPINREALLTEIHSLKTEALNQKRAGNVAEAMAQLKKAKLLERDLESLEGDADILDRHNPTIIQKDSSPQNINVKKLDSKPAPKNRLLIQKELLALKKKALSLRREGKLDEAEAELKKGRVLEQQLQELDNTSKATLVTIGGKDLDPDTAFEHPDIHGNKPVGEEKEDVTDQDMHDPAYLALLKNLGWKDEANEFASTLLKPSKENDNHPIQISDTSVTQASLNSSSRTLKRSKGEIQRELLGLKRKALALRREGKTDEAEEVLRSAKALENQLAEMEAPKKEIQVESERQKDDIKPPRQSVVEDKDADDVTREEMNDPALISMLKNLGWKDEEFEPVTAQGKLSKNVSVSSHHTAEPFVIPSSSSISSATPSVNAEIQQDHSGKMGIQGSTGTYQGLGFIPPHHQSGNVMDFLTGDDQTGSQKPAGKPEAHVQVDSLTSSSEILRRKDDKVSSGSDVSCQVESNVPERSLTSPPQNLGSKASFRAEVREETVNADEKPLSYGANSSQGLASQNNKNSIRQEVLARKRKAVALKREGKLMEAREELRQAKLLEKSLEAESSETEAGKQNVSLSTSNASPPVQQKESSTTILAPKPLSARDRFKLQQESLSHKRQALKLRREGRMEEAEAEFELAKSLEAQLEESASQDSSKSSVSTAEAVDDAVVEDLLDPQLLSALKAIGIEDGNIASEGPVRPGPAKLSPHKVESVGQERIQLEEQIKAEKVKALNLKRSGKQAEALEALRRAKLYEKKLTSLASN >Manes.15G164500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13776787:13782449:-1 gene:Manes.15G164500.v8.1 transcript:Manes.15G164500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPAKPSMRGSNWVVDASHCQGCSSQFTFIYRKHHCRRCGGLFCNSCTQQRMVLRGQGDSPVRICEPCKKLEEAARFELRYGHKNKAGRGSSKLTSKSEDEILNQILGSDGKESSSPQSSNTDMVSTIQRASSSGSCSTPLEAFVMDGGGEIHRSHSVNEPNHIQNEIETTSPEKLRQQALDEKKRYKVLKGEGKPDEALKAFKRGKELERQADALEMAIRKNRRRALHSGNMGEILNKDEPKESGTKSKLLAKASKEKDDLTAELRELGWTDADPRDEDKKSVNMSLEGELSSLLGDSSQTSGKAARTSGIDKTEVVAHKRKALALKREGKLAEAKEELKKAKVLEKQLEEQELLGASEESDDEISALIHSMDDNKQDELLVGYEQEQGFDFDHLMGTADDLADDKNLAVTDEDLVDPEMAATLKSLGWADDSENERNSMAESVPINREALLTEIHSLKTEALNQKRAGNVAEAMAQLKKAKLLERDLESLEGDADILDRHNPTIIQKDSSPQNINVKKLDSKPAPKNRLLIQKELLALKKKALSLRREGKLDEAEAELKKGRVLEQQLQELDNTSKATLVTIGGKDLDPDTAFEHPDIHGNKPVGEEKEDVTDQDMHDPAYLALLKNLGWKDEANEFASTLLKPSKENDNHPIQISDTSVTQASLNSSSRTLKRSKGEIQRELLGLKRKALALRREGKTDEAEEVLRSAKALENQLAEMEAPKKEIQVESERQKDDIKPPRQSVVEDKDADDVTREEMNDPALISMLKNLGWKDEEFEPVTAQGKLSKNVSVSSHHTAEPFVIPSSSSISSATPSVNAEIQQDHSGKMGIQGSTGTYQGLGFIPPHHQSGNVMDFLTGDDQTGSQKPAGKPEAHVQVDSLTSSSEILRRKDDKVSSGSDVSCQVESNVPERSLTSPPQNLGSKASFRAEVREETVNADEKPLSYGANSSQGLASQNNKNSIRQEVLARKRKAVALKREGKLMEAREELRQAKLLEKSLEAESSETEAGKQNVSLSTSNASPPVQQKESSTTILAPKPLSARDRFKLQQESLSHKRQALKLRREGRMEEAEAEFELAKSLEAQLEESASQDSSKSSVSTAEAVDDAVVEDLLDPQLLSALKAIGIEDGNIASEGPVRPGPAKLSPHKVESVGQERIQLEEQIKAEKVKALNLKRSGKQAEALEALRRAKLYEKKLTSLASN >Manes.12G016550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1513432:1546078:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQESSEKMTAKHKKRDANLLAFTGAAALLALTAGFAISAFNSHRRRSKKKDLPGSTVRVSLPAPEILKLADRIIAKSKEVHDTVTSIPLDKVSYANVIAPLADLEAQQFPLIQSCVFPKLVSTLEDVRRASAEAERRIDAHISTCSKREDLYRVVKAFSVKGEWMNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1518711:1545383:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1518089:1545383:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1513435:1545757:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1513435:1545383:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQESSEKMTAKHKKRDANLLAFTGAAALLALTAGFAISAFNSHRRRSKKKDLPGSTVRVSLPAPEILKLADRIIAKSKEVHDTVTSIPLDKVSYANVIAPLADLEAQQFPLIQSCVFPKLVSTLEDVRRASAEAERRIDAHISTCSKREDLYRVVKAFSVKGEWMNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1513434:1545383:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQESSEKMTAKHKKRDANLLAFTGAAALLALTAGFAISAFNSHRRRSKKKDLPGSTVRVSLPAPEILKLADRIIAKSKEVHDTVTSIPLDKVSYANVIAPLADLEAQQFPLIQSCVFPKLVSTLEDVRRASAEAERRIDAHISTCSKREDLYRVVKAFSVKGEWMNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1518090:1545428:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1518711:1545383:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1513435:1545831:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQESSEKMTAKHKKRDANLLAFTGAAALLALTAGFAISAFNSHRRRSKKKDLPGSTVRVSLPAPEILKLADRIIAKSKEVHDTVTSIPLDKVSYANVIAPLADLEAQQFPLIQSCVFPKLVSTLEDVRRASAEAERRIDAHISTCSKREDLYRVVKAFSVKGEWMNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1513435:1545831:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQESSEKMTAKHKKRDANLLAFTGAAALLALTAGFAISAFNSHRRRSKKKDLPGSTVRVSLPAPEILKLADRIIAKSKEVHDTVTSIPLDKVSYANVIAPLADLEAQQFPLIQSCVFPKLVSTLEDVRRASAEAERRIDAHISTCSKREDLYRVVKAFSVKGEWMNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLCRRHLYLKIS >Manes.12G016550.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1518711:1545427:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.12G016550.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1513435:1545831:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQESSEKMTAKHKKRDANLLAFTGAAALLALTAGFAISAFNSHRRRSKKKDLPGSTVRVSLPAPEILKLADRIIAKSKEVHDTVTSIPLDKVSYANVIAPLADLEAQQFPLIQSCVFPKLVSTLEDVRRASAEAERRIDAHISTCSKREDLYRVVKAFSVKGEWMNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLCRRHLYLKIS >Manes.12G016550.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1513435:1545383:1 gene:Manes.12G016550.v8.1 transcript:Manes.12G016550.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQESSEKMTAKHKKRDANLLAFTGAAALLALTAGFAISAFNSHRRRSKKKDLPGSTVRVSLPAPEILKLADRIIAKSKEVHDTVTSIPLDKVSYANVIAPLADLEAQQFPLIQSCVFPKLVSTLEDVRRASAEAERRIDAHISTCSKREDLYRVVKAFSVKGEWMNPEAKHYVKCLVTDFERNGLNLTVTKREEAQRLRAQIDELSLRYIRNLNDDSTCILFSEAELTGLPPEYLKSLDKAENGKYKVAMKSHHVLILLELCKVGTTRRIVATTYGKRCGDVNLSVLERLVELRHKYARLFGYSNYADYAVDLRMAKTSSKVFEFLEDISASLNDMATRELTMLKDLKKEEEGELPFGIEDLLYYVKRVEEKQFDLDFVALRQFFPVDLVLSGIFKILQDLFGLRFQKIADAEVWHSDVCVFSVFDLSSCELLGFFYLDLYKRDGKYDNTCVVALQSGALSSNGERQIPVALLISQLQKDNGGHNGLLRFSEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPSLVLENWCYESFSLKLISGFHQDITKPIKDEICRSLKRWRYFFSALKLKQEILYCLFDQIIHSADNVDIVELFKHLHQKVMLGLPMLDGANPASCFPRSAIGSEAACYSRIWSEVFAADIFTSKFRDDLLNHNVGMQFRDKVLAPGGAKEPIEILSDFLGREPSIQAFVASRAEYGL >Manes.09G081701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20795326:20799957:-1 gene:Manes.09G081701.v8.1 transcript:Manes.09G081701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYNSYDSRSSTTSSHFSDPSSSVEFTSNPSSRTTSRALVKSKPSDLSHAKIKTNDHNLSTMVKKFMEKKSAGKGSSSKGVAGLVIPSDFIAQDLKKTARKGTGFIGLQKKLFGKDKEEKKTIKALTDVKGSGNNSNTRTLAMVLRSERELLSANKEQELEIAELKLTLEAKNREVEKLKDLCLKQREEMKSLKNAILFPDVMNSQLQELLEKQGSELKQAKQLIPTLQRQVTSLTGQLQCLAEDLAEVKADKYTRGYMQHHGSSPTPRTPAYDHEEAANSLEFSSWDAASPGSPDDMFLKDVNPCLTPHYAKTKSKEFEAINYDSPFHDNLSKKNMQMYEDDEHGFNSTLRKMSKSSDCYKNNTTDSRMARAARRSNESKCTYGKQMHLRPF >Manes.09G081701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20790406:20799955:-1 gene:Manes.09G081701.v8.1 transcript:Manes.09G081701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYNSYDSRSSTTSSHFSDPSSSVEFTSNPSSRTTSRALVKSKPSDLSHAKIKTNDHNLSTMVKKFMEKKSAGKGSSSKGVAGLVIPSDFIAQDLKKTARKGTGFIGLQKKLFGKDKEEKKTIKALTDVKGSGNNSNTRTLAMVLRSERELLSANKEQELEIAELKLTLEAKNREVEKLKDLCLKQREEMKSLKNAILFPDVMNSQLQELLEKQGSELKQAKQLIPTLQRQVTSLTGQLQCLAEDLAEVKADKYTRGYMQHHGSSPTPRTPAYDHEEAANSLEFSSWDAASPGSPDDMFLKDVNPCLTPHYAKTKSKEFEAINYDSPFHDNLSKKNMQMYEDDEHGFNSTLRKMSKSSDCYKNNTTDSRMARAARRSNESKCTYGKQMHLRPF >Manes.09G081701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20790419:20799955:-1 gene:Manes.09G081701.v8.1 transcript:Manes.09G081701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYNSYDSRSSTTSSHFSDPSSSVEFTSNPSSRTTSRALVKSKPSDLSHAKIKTNDHNLSTMVKKFMEKKSAGKGSSSKGVAGLVIPSDFIAQDLKKTARKGTGFIGLQKKLFGKDKEEKKTIKALTDVKGSGNNSNTRTLAMVLRSERELLSANKEQELEIAELKLTLEAKNREVEKLKDLCLKQREEMKSLKNAILFPDVMNSQLQELLEKQGSELKQAKQLIPTLQRQVTSLTGQLQCLAEDLAEVKADKYTRGYMQHHGSSPTPRTPAYDHEEAANSLEFSSWDAASPGSPDDMFLKDVNPCLTPHYAKTKSKEFEAINYDSPFHDNLSKKNMQMYEDDEHGFNSTLRKMSKSSDCYKNNTTDSRMARAARRSNESKCTYGKQMHLRPF >Manes.11G028700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2751140:2759919:-1 gene:Manes.11G028700.v8.1 transcript:Manes.11G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVIVKWQKEVFPKVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWATVGVKEGQKLMMMGTADEIVKAPEKGPVFMEDLPEEEQVVAVGHTAGLFNLGNTCYMNSTIQCLHSVPELKSALVNYPSEKRSDLDQTSHMLTAATRELFNELDRSVKPVAPMQFWMVLRKKYPQFGQLHNSSFMQQDAEECWTQLLYTLSQSLRSPSLNGSLDTVKALFGIELVSRIHCQESGEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASPLLGRSAIYLKESRINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDDLRRKLEAPRQSLRDEEGKKLGLKANEKSSCSKDNDVKMTNAEESSNGSGESCKATLGEGGSSDRELHLTGIYDLVAVLTHKGRSADSGHYVAWVKQENGKWIEFDDDNPIPQREEDITKLSGGGDWHMAYICMYKARAVPM >Manes.04G070400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:26970112:26970676:1 gene:Manes.04G070400.v8.1 transcript:Manes.04G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVAEVKASSPKREIRSLRARNHRSLRNNLLESIAQANGGSVVSQVNEDGVVRMKLLVRKQDLRQMLEVMRGHGKNISQQQSFSTSSSLTVEQRLNLLRKKQLLRASAAAKESRRHSWTPQLQSIPEEF >Manes.12G026900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2368464:2371508:1 gene:Manes.12G026900.v8.1 transcript:Manes.12G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIKWLPLVRTITTLAPKYLPMSSSTSTLLLDSYCKSNTHEVVYTKNRSIDKMIRSGNFDVAHDIFDEMITRDVVTYNLLISGHGKYGIPEQALYFYSEMVSEDIRESPSTFPSVLSICSDLGFYREGIQVHCRILKVGFGFNLYIGGSLVGLYMRMGLDDLALRLFGELNERNLATWNLVLRGICELGRFDDLLGLYSEMQLDNMEANGLSFCYLIRGCSNGRFFNEGRQLHCHVIKLGWANSNIFVANAVVDFYSACGSLNEAKKSFLAIPFEDVISWNSILSVYADNGLFSEALDLFYTMQFWGKKPSIRSFVALLNLSSINGNILFGKQIHCCVLKMGFDNGSVHVQSALIDMYGKCGEITNSVSVYESLPKTTTECCNSLMTSLLHCGIVDDVVEMFGLMVDEGIGIDEVTFSTTLKAFSKSVLASLAGCRLLQCCAIKLGFESNVSVSCSLIDAYSRSGHVQLALQVFQQLPSSNVFCFTSIINGFARNGLGRECLEMLEAMIQKGLKPDKVTFLCVLTGCSHSGLVEEGRLVFNSMKSLHGISPDTEHFSCMVDLLGRAGLLDEAEELLQQAPESGDCVMWSSLLQSCRFYTNEIVGKIAAKVLLELDPNDFAVHLQVSKFFSEIGEFEASIQIREVAMARKMSKEIGHSLIDLNICY >Manes.05G148700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25163560:25164535:-1 gene:Manes.05G148700.v8.1 transcript:Manes.05G148700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETGNQQKHERLRTRWTHIQIGNRPNNVFDKKTWNHIRDEFNMQTDLNFNKNQLRKHLDVLRTRFHNLKSAFVQNDFAMDDSCCIGFDLWEDIGAQARHETVKVKDCPIYEQLCTIFTDTSADGKYHNQSSHPENPSSSRPVQGNSLPADKMIKNISNKKRKWPSGTQPSDQNKKDQEIIEAMAEALFDMVAASRWRKAALKQNNERFTITSCIRALDEMGDIDQQLYFAALDLFEEPSLRETFISLEGDQIRLSWMQGKYGKSTIFL >Manes.04G029700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3600844:3602305:1 gene:Manes.04G029700.v8.1 transcript:Manes.04G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMELFCASPSSTAICSSLDHRYMVRRGSTRPIEYQKSKSYKRSANKQGEFSRKISADDNSRETGIAACAKKVNDLRRKNSADHQTSDLHSPRGSSSRYLLNDDKVPYIDWISESDQRSKPSHKGSNDSPALARRSSSLANCSRDWILEPYQTTKPKNSSFVGHAPALKSSSSARSHDQVVVLWVSIHCKGCEGKVRKHISKMEGVTSFSIDLAAKKVTVIGNVTPLGVLASLSKVKNAQLWPFPVTSSTPSSIPSTGWST >Manes.08G174200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41440975:41445013:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41442038:41447494:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41440975:41446930:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41440975:41446861:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41440975:41445013:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41440975:41446930:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41440975:41446930:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41441823:41445013:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41442376:41443369:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41440975:41446930:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.08G174200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41441823:41445013:1 gene:Manes.08G174200.v8.1 transcript:Manes.08G174200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAATNGIHSIAKHLKPSKHAKPTSRVQHPGREDESKKMEGSTDVDNINSSNNSNSSTRLPLSDVVSDCVKRWFKDTLREAKAGDINMQVLVGQMYYSGYAVPRDAQKGRIWMTRASRTRTSVWKVSDKHPGYNASDSDSDELRGDS >Manes.02G004300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:554802:557341:-1 gene:Manes.02G004300.v8.1 transcript:Manes.02G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSREDDVEIAEKDPIGRYFRYDEILGRAFDEVDGIEVAWNQVNIEDVLQSPDQLERLYSEVHLLKSLKHENIMKFYYSWVDDKNKTINMITELFTSGNLRHHNPPIIHRGLKCDNVFVNGNYGEVKIGDLGLAIVMQQPTARSVIGTPEFMAPELYEEEYNELVDTYSFGMCMLEMVTLGIKPASLGKVNDPQVKQFIEQCLIPALMSKQVNTLQSESHPTYIDNNIKKLSISSCIKSINEVLPFSTLELHRFTEINEFRLRGEKNDENTISLTLHCGTILFLFICFVTGGGAKNIHFTFYLDTDTAFSIAEEMVEQLDLSNEDVAIIAELVDGLIMKLVPYRSPSFRSASRIPHCSSGGENGATSKAVSDHDLLPSVNVIGQGTQESFSSVVSTECHMTTTSDASTNKPLESSHCTIELNTANCGSDFFMHVDGTPKYDKDLENIFSELKLELDAIDMRYNQCFQDLLRTRE >Manes.02G218600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:33911991:33912571:1 gene:Manes.02G218600.v8.1 transcript:Manes.02G218600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLLCALFFMSFIIQGNCQPCGPSDIEISQSKTGKKVQNKPEWKVTIQNKCVCTQQDIELDCKGFQTTEPIDPSILAVNQNATGECLIVKDGGILHGSRVDQVVFNYAWDTQFPFKAIATSSLCS >Manes.17G031900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22683836:22693927:-1 gene:Manes.17G031900.v8.1 transcript:Manes.17G031900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKLPPLMIEHLKLTSIFVTSVLFKCMAKKSLFGRNKNILSRRNTNLNKSSVHLDFNETLLKSIGHTKKMLLKVLFLSLIFPFCIALDTITTNESLTGSNILLSKERNFALGFFSRGSSRHIYLGIWYYKLPEQTVVWVANRDNPINDSSASLSISSDGNLILYASHDHKFPVWFTNVSLKGRSACIAQLLDSGNLVLVQGERNVWQSFDHPTDTMLPGMKIGLNLKLGLNRFLTSWKSADDPGAGDTSFKMNPTGSPQFFLYKGLIPYWRSNPWPWNRSLTTLLPAYLYRYTFANTEDEIYYTYMVDDKSVITRTVVDNSGLIQRLTWDSSSRQWKQSWYAPKYKYGHCGAYSICSSTNVDAFECKCLPGYKPKSLMDWYLRDGSDGCVRNHQQTTMCGNGEGFVKVGGVKFPDTSIASLKNMSMSSFECEQLCLRNCSCNAFARLDVERKGFGCLMWHGQLRDVEEYAGGSDLYVRVDAIVLDEYTKKRRGFLKKKGKLAILVFSVAFTIFLIVIFSYLWLRKRRKTGVEKKGFFSTLLENELIESRESPDLPIFNLRAVSAATNNFSPVNKLGKGGFGTVYKGQLPHGQEIAVKRLSHSSGQGISEFKNEVMLIARLQHRNLIKLLGCCIQREERMLIYEYLPNKSLDYFIFDQRQRSILDWNKRFDIIVGIARGILYLHHDSRLRIIHRDLKTSNVLLDADMNPKISDFGMARIFRGDEVQDKTNRVVGTYGYMAPEYVMFGKFSTKSDVFSFGVVLLEIISGKKSYTYYPEDPSLNLIGHVWELWRQGRALDIVDSCLKELSLPLEVMRCIQIGLLCVQEDATDRPTMPNVVLMLNGESTLPCPKQPAFILRNCSDLNLSKPGLNCSLNEVTITKVQAR >Manes.02G212600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:26461738:26463078:1 gene:Manes.02G212600.v8.1 transcript:Manes.02G212600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVKDFGDDCMVSNTSLLPLREYYFLEDDVELQNLHMSPIASKHQAMDDVNSSNDTGPLIFPGDGMEVDECLSIVHLLKAYGEAMDIEMRELAEEITMRLKEKGYPTGSTLQRLAYYLVQALDKQVSFLREEAMINYEIAFSAFYQVFPYGRFAHFTANSVILEAIPEDAEMVHIVDFDIGKGVQWPPIIEALAIQGGQRVEVRFTSIKWEQEEEDPPLQSFEETQMLLHEHARHYGLRLKVEEKDLVSLDSEMKKTKKIGEGNYEWLAFNCMVGLPHMEERRNVRSVIEFLKLAKDSIRVKGGGGTITFGDGIGWGKGLQGWPGYGNIFEGQLGQFKTLLESMDNQLPHHLREAKIAIECLFLIPYVSSLIDMQMWKEIYRESRALSEVGLEPWSMRNDNVLEAKELVREGESSYWVRIEGVKQNQMVLGYRGTPLVKVSSWR >Manes.10G077272.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:17104608:17104973:1 gene:Manes.10G077272.v8.1 transcript:Manes.10G077272.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMVSFEEKTYYSFDSICKTSANLDGLEILYSDEFLNTLEFNCFPQHELNLKINAPIMLLRNLNSSISLCNGTRLIITDLRSRVITVIIITGSFAYNKVYISKIILSITNRKWPFILRRR >Manes.11G060053.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8339014:8339440:1 gene:Manes.11G060053.v8.1 transcript:Manes.11G060053.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGALHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFDADHLLPSWIDDPTSNCCKWERVMCNSTTGHVIELSLNNTR >Manes.01G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:13263370:13267949:1 gene:Manes.01G049100.v8.1 transcript:Manes.01G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAFEDENEQTITINEYLNKVEAEELEADLVLGGDEGKECTYAVGYMKRQAIFSCLTCTPDGTAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGEFFCKLFPKKDVENAENSYNHNFKGLYCTCGRPYPDPDVEEQEEMIQCIMCEDWFHEEHLDLESANEIPRDEEGEPLYEDFICKTCSAICSFLTPYPRTIWAAGGKRDDATASNRKDKNVLEDVSSACGSGKLENDACSHGSSGDDNAMANLNGDSVPVAEASVIGENSEKNIGSDQSTKKADLQSTCVLGVNPATLLVSESKPLFLSKNWRDILCKCEKCLEMYNHKHIIYLLDKEDSIAEYEKMAKEKREEKLQKQEGAELSFFNKLGHVEKMEILNGIADFKEEFRTFLESFDTSKTITSSDVHQIFENLAKKRRRVE >Manes.05G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3845003:3848842:1 gene:Manes.05G046200.v8.1 transcript:Manes.05G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEKEGRNNFFGMRDPFANFRGFGMMPSLSGRRDPFDDPFFTRPFGNMFESSRLEPPNSGSTDALHANGAQKLVIEELISDDEEEKGKDIHTGSGKEPSIEHPDDVLDEEKGKNVNHRHDYNKMEGNKPQAHNFSFQTCKVTYGGVDGAYYTSSRTRRAGSDGVVIEESKEADKTTGQATHRISRGLHDKGHSVTRKLNSDGKVDTLQTLHNLNEDELAGFEEAWNGNVKGQLPGTSNQYDINGASNRGQREMATWGRGALPSVEHSRNTGASAVGKTKNVVRINIE >Manes.02G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4862274:4868123:1 gene:Manes.02G063800.v8.1 transcript:Manes.02G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSVVCNNGLLISKENLVHSGKAKPLRRYTSVCYNSVGAYTFSNSQNFMLSRNKFRSLHHGNSEVPLLGFCVSCKSQRGLSCHNNTGPLIMRGDRGNERRHLGKRVTTGLRKRFSLRLRPRLRLLMIRLKRVSVRSMLNDFGMFLRKNIKRLMLYSSISLALGICYLFLRLTTLPSPKIVPYSDLITSLQNDSVSKVLLEEGSRRIYYNTKSQGIENFKDAEERSPGIDVSNENAAGPAATGSIASTAGHKSNMDILKKFSGSRASTPEWKFSTRKIDHDEKFLLSLMREKGTVYSSAPQSALMSMRNTLITIISLWIPLTPLMWLLYRQLSAANSPARKRRPNNLMVSFDDVEGVDAAKVELMEIVSCLQGATNYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFSAARKCAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDMKVIVVAATNRPEALDPALCRPGRFSRKVLVGEPDEEGRKKILAVHLRGVPVEEDTDIICDLVASLTAGFVGADLANIVNEAALLAARRGGETVTREDIMEALERAKFGINDRHLTPNAISKELGKLFPWIPSILGRNDTGQDGLQGPMGYQTLS >Manes.02G028100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2346249:2352838:1 gene:Manes.02G028100.v8.1 transcript:Manes.02G028100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSDVVNWLKANAIKGGTAAESLGAEKKLVPEVKNNDSKIFQVKTGLVTPSTNASFPSSWSSGILSNNQSSGGVFSNSQSSGMFTSSPNSVLFSNSQSTGLFSKSQSSGLFSNSHSSSLSSVNQSTGLFSTSQSFGGSFSNQTSGLFSSSHSSGLSFTNQSSGLFSNSQSSGLSSNNQSSGFFSSNQSTGVFSSTSTPILFGGQNSGSANHNTSDDVDDENEPEQPSSPSVKKSEEKGIVVVHEVKCKLYVKSSDPADKDTWKDKGTGQLSIKCKEDVGTGTKESKPTIIVRNDAGRVLLNALLYPGIKTNAQKNSLVAIFHTAGEDGGNNDNVVARTYLIRTKTEEDRNKLATAIQEYAPAS >Manes.02G028100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2346249:2352838:1 gene:Manes.02G028100.v8.1 transcript:Manes.02G028100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKRFAVSDAAADTNDTALVNKRIMTPSQQQLTATVPLDLHRAESSRQHVRALNTQFASWVQAQLKNHPDEIWEDGVRDYLAHASNIMEKFSDVVNWLKANAIKGGTAAESLGAEKKLVPEVKNNDSKIFQVKTGLVTPSTNASFPSSWSSGILSNNQSSGGVFSNSQSSGMFTSSPNSVLFSNSQSTGLFSKSQSSGLFSNSHSSSLSSVNQSTGLFSTSQSFGGSFSNQTSGLFSSSHSSGLSFTNQSSGLFSNSQSSGLSSNNQSSGFFSSNQSTGVFSSTSTPILFGGQNSGSANHNTSDDVDDENEPEQPSSPSVKKSEEKGIVVVHEVKCKLYVKSSDPADKDTWKDKGTGQLSIKCKEDVGTGTKESKPTIIVRNDAGRVLLNALLYPGIKTNAQKNSLVAIFHTAVTRFMLNFCTLYFARF >Manes.02G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2346249:2352838:1 gene:Manes.02G028100.v8.1 transcript:Manes.02G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKRFAVSDAAADTNDTALVNKRIMTPSQQQLTATVPLDLHRAESSRQHVRALNTQFASWVQAQLKNHPDEIWEDGVRDYLAHASNIMEKFSDVVNWLKANAIKGGTAAESLGAEKKLVPEVKNNDSKIFQVKTGLVTPSTNASFPSSWSSGILSNNQSSGGVFSNSQSSGMFTSSPNSVLFSNSQSTGLFSKSQSSGLFSNSHSSSLSSVNQSTGLFSTSQSFGGSFSNQTSGLFSSSHSSGLSFTNQSSGLFSNSQSSGLSSNNQSSGFFSSNQSTGVFSSTSTPILFGGQNSGSANHNTSDDVDDENEPEQPSSPSVKKSEEKGIVVVHEVKCKLYVKSSDPADKDTWKDKGTGQLSIKCKEDVGTGTKESKPTIIVRNDAGRVLLNALLYPGIKTNAQKNSLVAIFHTAGEDGGNNDNVVARTYLIRTKTEEDRNKLATAIQEYAPAS >Manes.02G028100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2346249:2352838:1 gene:Manes.02G028100.v8.1 transcript:Manes.02G028100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSDVVNWLKANAIKGGTAAESLGAEKKLVPEVKNNDSKIFQVKTGLVTPSTNASFPSSWSSGILSNNQSSGGVFSNSQSSGMFTSSPNSVLFSNSQSTGLFSKSQSSGLFSNSHSSSLSSVNQSTGLFSTSQSFGGSFSNQTSGLFSSSHSSGLSFTNQSSGLFSNSQSSGLSSNNQSSGFFSSNQSTGVFSSTSTPILFGGQNSGSANHNTSDDVDDENEPEQPSSPSVKKSEEKGIVVVHEVKCKLYVKSSDPADKDTWKDKGTGQLSIKCKEDVGTGTKESKPTIIVRNDAGRVLLNALLYPGIKTNAQKNSLVAIFHTAGEDGGNNDNVVARTYLIRTKTEEDRNKLATAIQEYAPAS >Manes.02G028100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2346747:2352838:1 gene:Manes.02G028100.v8.1 transcript:Manes.02G028100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSQQQLTATVPLDLHRAESSRQHVRALNTQFASWVQAQLKNHPDEIWEDGVRDYLAHASNIMEKFSDVVNWLKANAIKGGTAAESLGAEKKLVPEVKNNDSKIFQVKTGLVTPSTNASFPSSWSSGILSNNQSSGGVFSNSQSSGMFTSSPNSVLFSNSQSTGLFSKSQSSGLFSNSHSSSLSSVNQSTGLFSTSQSFGGSFSNQTSGLFSSSHSSGLSFTNQSSGLFSNSQSSGLSSNNQSSGFFSSNQSTGVFSSTSTPILFGGQNSGSANHNTSDDVDDENEPEQPSSPSVKKSEEKGIVVVHEVKCKLYVKSSDPADKDTWKDKGTGQLSIKCKEDVGTGTKESKPTIIVRNDAGRVLLNALLYPGIKTNAQKNSLVAIFHTAGEDGGNNDNVVARTYLIRTKTEEDRNKLATAIQEYAPAS >Manes.07G139600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34153306:34160383:-1 gene:Manes.07G139600.v8.1 transcript:Manes.07G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEHGKCWDTSKKDSWKTLLVLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYKMEHPPEKNNKSKVKLYLEKRKGLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSKEHHQYAVIPITCFILVCLFALQHYGTHRVGFFFAPVVLTWLLCISALGLYNIIYWNPHVYKALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFMVYPALILAYMGQAAYLSQHHDDNSHIGFYISVPEKLRLPVLIIAILSSVVGSQAIISGTFSIINQSQSLGCFPKVKVVHTSDKIHGQIYIPEINWILMVLCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKPPILALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRILVFVCIKSVPVPYVPPAERYLVGRVGPASHRSYRCIVRYGYRDVHQDVDSFESELVARLADFINYDWHRAHGTNSCTEDDASRSNESSSEYRLAVIGSNSLSGIPAYEIEETLQPASVSGGFSTVESMTDVIEMEPTVEKKVRFAIDDEPLSDMHLQLKEELEDLFAAQQAGTAFILGHSHVQAKQGSSLLKRLAINYGYNILGRNCRGPDVALKVPPVSLLEVGMVYVV >Manes.07G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30274152:30277423:-1 gene:Manes.07G098700.v8.1 transcript:Manes.07G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCHDNNGTPDPLNWNLAADSLKGSHLDEVKRMVNDYRKPVVRLGGETLTVAQVTAIANRDSGVKVELSEAARAGVKASSDWVLDSMNKGTDTYGITTGFGATSHRRTKQGGALQRELIRFLNAGIFGNGAESSHTLPHSGTRAAMLVRINTLLQGYSGIRFEILEAISKFINNNITPCLPLRGTITASGDLIPLAYIAGLLTGRPNSKSVGPDGESLDAAEAFKLAGINGGFFELQPKEGLALVNGTAVGSGLASLVLFEANVLAILSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKEAQKIHEIDPLQKPRQDRYALRTSPQWLGPQIEVIRTATKMIEREINSVNDNPLIDVSRNKALHCGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLKNTVKNTVSQVAKRVLTMGINGELHPSRFCEKDLLKVIDREYVFAYSDDPCSATYPLMQKLRQVLVDHALMNGDKEKNSSTSIFQKIAAFEEELKAILPKEVESCRIECENENPAIPNRIKECRSYPLYKFVREELGTNLLTGEKVRSPGEEFDKVFSAMCAGKMIDPMLECLKEWNGAPLPIS >Manes.14G165664.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25375395:25389651:1 gene:Manes.14G165664.v8.1 transcript:Manes.14G165664.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKLHSLTELAPELRNKTALLLSKGTASPCSCSKLGKITVVLAMGFSTTQMPPLGPIGPSYFKFSQSCLCFASLGVPSRPFSNALHRYWVEMPSGVIVNLQAKQEKRILASRNYTAASNLEFATEHYQSTKSSWRQKSETLREYRRLQKSENLREYQMGYSPVKNEHAEDGSSHSVEHGATVADKFNSQKQAFSRNGRGCVVDSNDHAAPVKFSTEQKTTSSRSRTLGNVNGHAIGDKRPSSLSLKIFSRESAQKNGSMVVKGSAPVDNINDEAVDKKKPNGFSLGKISKMHAQTDRSFSMKILKHQRNFNEIEHVGAGLIDGKQTNAKLLVVSDAEKPSNAKQASCLPDIKKRLASVYGKIMVVNNVSVADEVVYKLMHQYRHFVHACDTEVAKIDVKEETPIDHGEITCFSIYSGPKADFGNGKSCIWVDVLDGGGKEILAKFAPFFKDPNIRKVWHNYSFDNHVIENYDFPVYGFHADTMHMARLWNSSRRTDGGYSLEALTGDKRVMSGVQSCYEELIGKVSMKMIFGKNKLRKDGSEGKIITIAPVEELQREERELWICYSALDAISTWQLYESLKHKLCQMPWKVDGKPVGKSMYDFYQEYWKPFGELLVRMETEGILVDRAYLAELEKVAKAEQEVAANRFRNWACKYCPDAKYMNVGSDTQLRQLFFGGIANRKDDTESLPHERMFKVPNVDKVIEEGKKSPTKFRNIKLHKIGDFPAEKYTASGWPSVGGDALKTLAGKVSAEYDFMDNAIVDDCELDTIENSKSKMSSVPNIDKSAYGTAMLAFPRQEEGIEACHAIASLCEVCSIDSLISNFILPLQGSNVASKRGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLADCKSMLEAFKAGGDFHSRTAMNMYQHIHEAVDKGEVLLEWYPQPGEDKPPAPLLKDAFGSERRKAKMLNFSIAYGKTPVGLARDWKVSVEEAKATVDLWYKERQEVLKWQQARKQEARQDGRVHTLLGRARIFPSMAHASNAQKGHIERAAINTPVQGSAADVAMCAMLQISKNDRLKELGWKLLLQIHDEVILEGPTESAEIAKDIVVECMSKPFDGKNILKVDLSVDAKRAQNWYSAK >Manes.14G165664.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25375347:25389804:1 gene:Manes.14G165664.v8.1 transcript:Manes.14G165664.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSTTQMPPLGPIGPSYFKFSQSCLCFASLGVPSRPFSNALHRYWVEMPSGVIVNLQAKQEKRILASRNYTAASNLEFATEHYQSTKSSWRQKSETLREYRRLQKSENLREYQMGYSPVKNEHAEDGSSHSVEHGATVADKFNSQKQAFSRNGRGCVVDSNDHAAPVKFSTEQKTTSSRSRTLGNVNGHAIGDKRPSSLSLKIFSRESAQKNGSMVVKGSAPVDNINDEAVDKKKPNGFSLGKISKMHAQTDRSFSMKILKHQRNFNEIEHVGAGLIDGKQTNAKLLVVSDAEKPSNAKQASCLPDIKKRLASVYGKIMVVNNVSVADEVVYKLMHQYRHFVHACDTEVAKIDVKEETPIDHGEITCFSIYSGPKADFGNGKSCIWVDVLDGGGKEILAKFAPFFKDPNIRKVWHNYSFDNHVIENYDFPVYGFHADTMHMARLWNSSRRTDGGYSLEALTGDKRVMSGVQSCYEELIGKVSMKMIFGKNKLRKDGSEGKIITIAPVEELQREERELWICYSALDAISTWQLYESLKHKLCQMPWKVDGKPVGKSMYDFYQEYWKPFGELLVRMETEGILVDRAYLAELEKVAKAEQEVAANRFRNWACKYCPDAKYMNVGSDTQLRQLFFGGIANRKDDTESLPHERMFKVPNVDKVIEEGKKSPTKFRNIKLHKIGDFPAEKYTASGWPSVGGDALKTLAGKVSAEYDFMDNAIVDDCELDTIENSKSKMSSVPNIDKSAYGTAMLAFPRQEEGIEACHAIASLCEVCSIDSLISNFILPLQGSNVASKRGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLADCKSMLEAFKAGGDFHSRTAMNMYQHIHEAVDKGEVLLEWYPQPGEDKPPAPLLKDAFGSERRKAKMLNFSIAYGKTPVGLARDWKVSVEEAKATVDLWYKERQEVLKWQQARKQEARQDGRVHTLLGRARIFPSMAHASNAQKGHIERAAINTPVQGSAADVAMCAMLQISKNDRLKELGWKLLLQIHDEVILEGPTESAEIAKDIVVECMSKPFDGKNILKVDLSVDAKRAQNWYSAK >Manes.10G010250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1114522:1115479:1 gene:Manes.10G010250.v8.1 transcript:Manes.10G010250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPFSLLFFKRENFLQDTVPFNFLSFMLPFCHAHRTSCTARFQELDLIPSFAVNQQ >Manes.06G028880.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7148307:7150178:1 gene:Manes.06G028880.v8.1 transcript:Manes.06G028880.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHPRNPRQPPKTYLKASRRHEEADFGSPIFSKESKVRCDDFFQKCPSFNVKFQKKKKKKKKKKKKKKKKKKKKKKKKKKKKKLLGRKKKKKKKKKKKKKKKKKKLLGRKKKKKKLLGRKKKKKKLLGRKKKKKKKKKKKKKKKKKKLLGRKKKKKKKLLGRKKKKKKLLGRKKKKKKLLGRKKKKKKKKKKKLLGRKKKKKKKKKKKKKKKKKKKKKKKLLGRKKKKKKKKKLKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKKEEEEEEEEEEEEEEEEERRRRRRRRRRRRRKKKKKKKKKKKKKKL >Manes.13G054000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6232780:6237677:1 gene:Manes.13G054000.v8.1 transcript:Manes.13G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCVKQLKILNPGLRLAAYQLRYARNEAGQNRRRSKSPAFSAKKTDQKSQWWIVDGEMHEIGDHVPPRERFVIPRDNVPNRRRKQLREQFMRRTRLVLKESEHEPWCKRYLELYQELRENWERLYWDEGYSKKIAQDHANYDSAEDDDQDFNPYRSKRLEQMKDEGFGRNRQDDTWDKVNQIRDKFEYDRKKRMEEKAFAPMNRGIPSELPGPNFRNQPFDTQRYFADERD >Manes.13G054000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6232780:6237677:1 gene:Manes.13G054000.v8.1 transcript:Manes.13G054000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCVKQLKILNPGLRLAAYQLRYARNEAGQNRRRSKSPAFSAKKTDQKSQWWIVDGEMHEIGDHVPPRERFVIPRDNVPNRRRKQLREQFMRRTRLVLKESEHEPWCKRYLELYQELRENWERLYWDEGYSKKIAQDHANYDSAEDDDQDFNPYRSKRLEQMKDEGFGRNRQDDTWDKVNQIRDKFEYDRKKRMEEKGETKPQVERKKILDTTFKD >Manes.02G102100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7977829:7982646:1 gene:Manes.02G102100.v8.1 transcript:Manes.02G102100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRLIFIFFLFLCASAQRSPENLVEIQALMSFKLNLHDPLGALNGWDSSTPSAPCDWRGVACTNNSVTEIRLPRLQLAGPLSDQLANLRMLRKLSLRSNSFNGTIPSSLSKCTLLTAVFLQYNSLSGNLPPEISNLTQLQVLNVAQNHLSGQISPHLPPNLAYLDLSSNSFSGSIPPSVAILSQLQVINLSYNQLSGSIPASLGELQYLQFLWLDFNLLQGTIPSAVANCSSLVHLSATGNTLRGVIPAAIGALPKLQVLSLSQNNLSGSVPSSMFCNISIYPPSLRIVQLGFNAFTEVVGPQSGDCFSVLQVLDLQRNKIRGLFPLWLTKVATLTSLDFSGNSFSGLIPPEIGNMLRLEQLKMADNSFSGVVPAEIKQCKSLRVLDLEGNLFSGEIPVFLGDIRGLKELSLGANQFSGSVPETFRNLTELETLSLRDNGLNGSLPEELMGLSNLTTLDVSGNSFSGEIPVNIGNLSRIMSLNLSGNFFSGKIPGSLGELLRLTTLDLSKQKLSGQLPPELYGLPNLQVIALQENVLSGDVHEGFSSLTGLRYLNLSSNALSELGDCSDIEILELQSNFLTGNIPADLSRLSQLKVLDLSRNNLSDSLSNLSNLTSLDLSTNNLSGEIPANLTRITSLEYFNVSSNNLEGEIPLSLGSRFNNPSAFAGNADLCGKPLRRKCVDIAERDRRKRLILLIVVAATVACLLALCCCFYIFSLLRWRKRLKQGAAGEKKRSPARASSAASGGRGSSDNGGPKLVMFNNKITLAETIEATRQFDEENVLSRTRFGLVFKACYNDGMVLSIRRLPDGSMDENMFRKEAEFLSKVKHRNLTVLRGYYAGSPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHTSNIVHGDVKPQSVLFDADFEAHLSDFGLDRLTLPTPAEPSTSTTVGTLGYVSPEAVLTGELTKESDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQMGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMPDIVFMLEGCRVGPDIPSSADPTSQPSPALQPETF >Manes.02G102100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7977829:7982796:1 gene:Manes.02G102100.v8.1 transcript:Manes.02G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRLIFIFFLFLCASAQRSPENLVEIQALMSFKLNLHDPLGALNGWDSSTPSAPCDWRGVACTNNSVTEIRLPRLQLAGPLSDQLANLRMLRKLSLRSNSFNGTIPSSLSKCTLLTAVFLQYNSLSGNLPPEISNLTQLQVLNVAQNHLSGQISPHLPPNLAYLDLSSNSFSGSIPPSVAILSQLQVINLSYNQLSGSIPASLGELQYLQFLWLDFNLLQGTIPSAVANCSSLVHLSATGNTLRGVIPAAIGALPKLQVLSLSQNNLSGSVPSSMFCNISIYPPSLRIVQLGFNAFTEVVGPQSGDCFSVLQVLDLQRNKIRGLFPLWLTKVATLTSLDFSGNSFSGLIPPEIGNMLRLEQLKMADNSFSGVVPAEIKQCKSLRVLDLEGNLFSGEIPVFLGDIRGLKELSLGANQFSGSVPETFRNLTELETLSLRDNGLNGSLPEELMGLSNLTTLDVSGNSFSGEIPVNIGNLSRIMSLNLSGNFFSGKIPGSLGELLRLTTLDLSKQKLSGQLPPELYGLPNLQVIALQENVLSGDVHEGFSSLTGLRYLNLSSNALSGQIPSTYGFLRSLVVLSLSNNHISGLIPPELGDCSDIEILELQSNFLTGNIPADLSRLSQLKVLDLSRNNLSGEVPEEISKCSSLTSLLLDTNHLSGNIPDSLSNLSNLTSLDLSTNNLSGEIPANLTRITSLEYFNVSSNNLEGEIPLSLGSRFNNPSAFAGNADLCGKPLRRKCVDIAERDRRKRLILLIVVAATVACLLALCCCFYIFSLLRWRKRLKQGAAGEKKRSPARASSAASGGRGSSDNGGPKLVMFNNKITLAETIEATRQFDEENVLSRTRFGLVFKACYNDGMVLSIRRLPDGSMDENMFRKEAEFLSKVKHRNLTVLRGYYAGSPDLRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHTSNIVHGDVKPQSVLFDADFEAHLSDFGLDRLTLPTPAEPSTSTTVGTLGYVSPEAVLTGELTKESDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQMGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMPDIVFMLEGCRVGPDIPSSADPTSQPSPALQPETF >Manes.03G047400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4256399:4257665:-1 gene:Manes.03G047400.v8.1 transcript:Manes.03G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRPAMNRRLNRENPDILIVIIAVRQKMKGGKDDKERVGRMGPQKPATEMSDTAQRVICFPLLSFSLFLLFVWRFFLYLSLSILKLNGSISCISHFTAEAFLAFWLSLSCL >Manes.03G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3356557:3359894:1 gene:Manes.03G038100.v8.1 transcript:Manes.03G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDKSIREIERERQSLQTQEKKLIAEIKKSAKQGQMGAVKIMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNERMEMVTEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVNAPSSAVAAPAAKGKVAQVETTGNDDSGIDSELQARLDNLRRM >Manes.15G044100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3404316:3414470:-1 gene:Manes.15G044100.v8.1 transcript:Manes.15G044100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLQDYFSQYGDVLQAVVMRDKTTGRPRGFGFVVFADPSTLDRVLQEKHTIDGRTVEAKKALSREEQQTSARAGNVNPSRNTGNGGNIRTKKIFVGGLPPTMTEDGFRQYFEDYGHVTDVVIMYDQNTQRPRGFGFISFDSEDAVDRVLHKTFHDLNGKQVEVKRALPKDANPGGGSRSMSGGANGGAGGYQGYGASGGNANSYDGRMDSNRYMQPQSTGGGFPPYGSSGFNAPGYGYGPANNGVGYGGYGSYGGASAGYGGPAGAAYGNPNVPSAGYASGPPGAPRSSWSSQAPSGYGAMGYGHAPSWGTPNAGAGSTGPGPTPAGQSPGGTAGYGNQGYGYGSYVGNDGSYGNPAGYGAVGGRSGGTPNSNIGGSPPELQGSGSGYMGGGYGDANGNPGYGNAGWRSESQLSGNYGTQGNGLHGGQLGYGGGYSGSQNRQGQQQ >Manes.15G044100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3403725:3414470:-1 gene:Manes.15G044100.v8.1 transcript:Manes.15G044100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLQDYFSQYGDVLQAVVMRDKTTGRPRGFGFVVFADPSTLDRVLQEKHTIDGRTVEAKKALSREEQQTSARAGNVNPSRNTGNGGNIRTKKIFVGGLPPTMTEDGFRQYFEDYGHVTDVVIMYDQNTQRPRGFGFISFDSEDAVDRVLHKTFHDLNGKQVEVKRALPKDANPGGGSRSMSGGANGGAGGYQGYGASGGNANSYDGRMDSNRYMQPQSTGGGFPPYGSSGFNAPGYGYGPANNGVGYGGYGSYGGASAGYGGPAGAAYGNPNVPSAGYASGPPGAPRSSWSSQAPSGYGAMGYGHAPSWGTPNAGAGSTGPGPTPAGQSPGGTAGYGNQGYGYGSYVGNDGSYGNPAGYGAVGGRSGGTPNSNIGGSPPELQGSGSGYMGGGYGDANGNPGYGNAGWRSESQLSGNYGTQGNGLHGGQLGYGGGYSGSQNRQGQQQ >Manes.03G089900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19804192:19809578:-1 gene:Manes.03G089900.v8.1 transcript:Manes.03G089900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSQMMNPAQMMSQAQMMSQPQVMNPVQPQILSQNPAVLVQSQAMSQPPPQVLTPVQSQSQMMSHVGAMNQQQPPMMMRMNQRSYKPWPPQQQSSMEANKFQNQQNPSKFNSNINNNFVPSKPRSSTNWKGKNINNKDQRRMDKPMSNKPIISNAALAAAGPSGSGGYKPPSLNELQTQNRMKARKYYHPKKKFNNRFAPYAPRNTTSFIIRAKKAGGIASLVSPCPVTPAVLPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGNEAEVHDEEDEDEGNGSSESDVEEHVEVERRLDHDLSRFEMIYPTYGGGDYSYNNILENRVDDQDTHIAQLEEENLTLKERLFLMERELGDLRRRLQFLERQQGQGISVMPVPVEDINEEVVENVYENDENENESDGGSDVGGTGNDNNDEMEYVGGNGERRTIPNDVKGSHDVCMEETVSYNDEIGKDAVKNKISRSELVVRNEVIEKDDEAKGEEVGSDVVMEKLIAKEEELSKGDGFVNEIVQDVLAEESNLGKSIGSGD >Manes.03G089900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19804195:19809578:-1 gene:Manes.03G089900.v8.1 transcript:Manes.03G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSQMMNPAQMMSQAQMMSQPQVMNPVQPQILSQNPAVLVQSQAMSQPPPQVLTPVQSQSQMMSHVGAMNQQQPPMMMRMNQRSYKPWPPQQQSSMEANKFQNQQNPSKFNSNINNNFVPSKPRSSTNWKGKNINNKDQRRMDKPMSNKPIISNAALAAAGPSGSGGYKPPSLNELQTQNRMKARKYYHPKKKFNNRFAPYAPRNTTSFIIRAKKAGGIASLVSPCPVTPAVLPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGNEAEVHDEEDEDEGNGSSESDVEEHVEVERRLDHDLSRFEMIYPTYGGGDYSYNNILENRVDDQDTHIAQLEEENLTLKERLFLMERELGDLRRRLQFLERQQGQGISVMPVPVEDINEEVVENVYENDENENESDGGSDVGGTGNDNNDEMEYVGGNGERRTIPNDVKGSHDVCMEETVSYNDEIGKDAVKNKISRSELVVRNEVIEKDDEAKGEEVGSDVVMEKLIAKEEELSKGDGFVNEIVQDVLAEESNLGKSIGSGD >Manes.07G142600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34459361:34475792:-1 gene:Manes.07G142600.v8.1 transcript:Manes.07G142600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKGVVGGSGTGLKDLPYYIGEPYPSAWGSWTHHRGTSKDDGSPVSIFSLSGSNAQDGHLAAGRNGVKRLRTVRHPNILSFLYSTEVETLDSSSTSRITLYMVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHALDVLSEFDGNNETASGSMLQYEWLVGLQYKPMELAKSDWVAIRKSPPWAIDSWGLGCFIYELFSGIKLGKTEELRNTVSIPKSLLQDYQRLLSSVPSRRMNTSKLIENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLPAEEFNIKVLPTIVKLFASNDRAIRVSLLQHIDQYGESLSAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTARALRDTFSPARGAGIMALCATSSYYDMNEIAIRILPNVVVLTVDADSDIRSKAFQAVDQFVQILKQYHEKTSAGDTTADATMGLSSMPGNASLLEWAMSSLTLKGKPSEQASLPPLNSGAPLASTASNASSAPARVNSSTKLYDQPVSLSPTSTDGWGEIENGFQEEQDSEKDGWDDIEPFEEPKLSPALANIQAAQKRPVSQPVSQPKPQATSLRPKNTGKVPKDEDDDLWGSIAAPAPKTASKPLNVKTASTTDDDDDPWAAIAAAPPATRAKPLSAGRGRGAKPAAPKLGAQRINRT >Manes.07G142600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34459370:34475792:-1 gene:Manes.07G142600.v8.1 transcript:Manes.07G142600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKGVVGGSGTGLKDLPYYIGEPYPSAWGSWTHHRGTSKDDGSPVSIFSLSGSNAQDGHLAAGRNGVKRLRTVRHPNILSFLYSTEVETLDSSSTSRITLYMVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHALDVLSEFDGNNETASGSMLQYEWLVGLQYKPMELAKSDWVAIRKSPPWAIDSWGLGCFIYELFSGIKLGKTEELRNTVSIPKSLLQDYQRLLSSVPSRRMNTSKLIENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLPAEEFNIKVLPTIVKLFASNDRAIRVSLLQHIDQYGESLSAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKLSQRTFSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTARALRDTFSPARGAGIMALCATSSYYDMNEIAIRILPNVVVLTVDADSDIRSKAFQAVDQFVQILKQYHEKTSAGDTTADATMGLSSMPGNASLLEWAMSSLTLKGKPSEQASLPPLNSGAPLASTASNASSAPARVNSSTKLYDQPVSLSPTSTDGWGEIENGFQEEQDSEKDGWDDIEPFEEPKLSPALANIQAAQKRPVSQPVSQPKPQATSLRPKNTGKVPKDEDDDLWGSIAAPAPKTASKPLNVKTASTTDDDDDPWAAIAAAPPATRAKPLSAGRGRGAKPAAPKLGAQRINRT >Manes.07G142600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34459370:34475792:-1 gene:Manes.07G142600.v8.1 transcript:Manes.07G142600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKGVVGGSGTGLKDLPYYIGEPYPSAWGSWTHHRGTSKDDGSPVSIFSLSGSNAQDGHLAAGRNGVKRLRTVRHPNILSFLYSTEVETLDSSSTSRITLYMVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHALDVLSEFDGNNETASGSMLQYEWLVGLQYKPMELAKSDWVAIRKSPPWAIDSWGLGCFIYELFSGIKLGKTEELRNTVSIPKSLLQDYQRLLSSVPSRRMNTSKLIENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLPAEEFNIKVLPTIVKLFASNDRAIRVSLLQHIDQYGESLSAQVVDEQVYPHVATGFSDTSAFLRELTLKSMLILAPKLSQRTFSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTARALRDTFSPARGAGIMALCATSSYYDMNEIAIRILPNVVVLTVDADSDIRSKAFQAVDQFVQILKQYHEKTSAGDTTADATMGLSSMPGNASLLEWAMSSLTLKGKPSEQASLPPLNSGAPLASTASNASSAQHQPV >Manes.11G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11539438:11546195:1 gene:Manes.11G078100.v8.1 transcript:Manes.11G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT3 MALTDSSRQSLIPSFLYASSSPKTLTLSKLLHSNSTLPSPSPSPSLMRNTSSFVIPAPSEPGKIQMYSPAFYAACTAGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKGISSGFGVLLKEQGLRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPENAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGMADGLPKFIKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAIPTPKDQCSKPLQLGISFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPAAAPAVAATELAKV >Manes.06G141100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26844724:26846606:1 gene:Manes.06G141100.v8.1 transcript:Manes.06G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTPPENLTIDSYKHLRIIPLPDGTIHRLPEIISSSPIPHRPVPILTKDITINQSNKTWARIFVPQQSLDYTSSHPKLPLIVWFHGGGFILCSAASTIWHDSCVNLAIELAAIVVSIEYRLAPEHRLPAAYEDAVEALYWIKSSPDEWFRVFADLSNCFLMGNSAGANIAYRAGLRVAETVEDLDPLKIKGLILSQPFFGGSKRTESELRMVNDPILPLCSSDLMWDLALPIGADRDHEYCNPTVLEGDSKALIKIRELGWRIFVHWGDGDLLMDRQGELVKILREKGIIVESHFVEGHCHGVEVVDPSKYKAMYVAYKSFISSSVLA >Manes.08G028050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2692437:2693803:-1 gene:Manes.08G028050.v8.1 transcript:Manes.08G028050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKGNSININLENETENNVNQNFQETQELYQNQASNFQGDKFYYLKADKSLSDLDALIEVKDETDVKNMMDSYKKLPSKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATAATGSNIIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQIIRSVSCCPLQVKQWNKITDDKLDLMWSTILFTFEYSDARKGAIFGHMNALYRNYRHKLKKKYFDSKATYSLRLRNKPKDMDVKDWKYLVNLWTEIAFQVLKIRVKFNNLFYMNSVSVS >Manes.01G209100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38065050:38066879:1 gene:Manes.01G209100.v8.1 transcript:Manes.01G209100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSMSICQQTHHHMLDIPAGRLKMDIVTPRWQKAKVVILIGATGTGKSRLSIDLATQFPAEIVNSDKMQVYRGLEVTTNKITEEERSGIPHHLLGEVNPNADFSSKDFCNMASLAVDSISNRGLLPIIVGGSNSYIEALVDDEDFRFRSKYECCFLWVDVSLPVLNEFLCKRVDEMVSNGMVDEVRNIFDPYADYSKGIRRSIGVPELDKYLRAEAFLNGENSDRLLVEAIREIKNNNCKLARRQLEKIRCLKNVKGWNLHRIDATQVFRNRGNKKGEEAWKKQVTIPSSAIVREFLYSSVTAAKVPAAAAAAAAAAAAAGINKDYIAQCLVS >Manes.05G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2451819:2453100:-1 gene:Manes.05G028900.v8.1 transcript:Manes.05G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGQEAPQLPPHLFSPSSSLHPPFIDPQLLPHIDWVSLLSGQAAVGDERPSTIESASVIAEEQKDRRKSGRLMKKHSRPRFAFQTKSPDDILDDGYRWRKYGQKSVKNSAYPRSYYRCTNHTCNVKKQVQRLSKDTSMVVTTYEGIHNHPCEKLMETLTPLLKQMQFLSSF >Manes.15G011900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1052472:1060725:1 gene:Manes.15G011900.v8.1 transcript:Manes.15G011900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAAATALYDNAGGGPLHNAGPASDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSEPYTPAAQTSAGMPVSDGFYSPDFRGDFGAGLLDLHAMDDTELLSEVRKRPLNKKEIARKEDDIVTVSDNALTVHEPKLKVDLTAYVEKHEFCFDAVLDQHVTNDEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHQPVYRNQRFKLWLSFFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGSTGANEESSRSHAILQLAVKKHGEVKDSRRNNDGNDSKSGKVIGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNPRKDQNTNSLPPTNKDVSSSSSLPVFQDVDDVYEQQEVKPVDTGRRLVEKGTFSYNPTTDYDKQPPSFTSSYPLNGQEESMPSGSMDKERVEITNTYVVTEEKMQKVSPPRRKGSREEKTEKLGNWLKKDNIGSDLPLTNSRQQNSGNYPANNTGLRHFEPDPHSDEITNTYGGSTSQKVHSSSFQNYMDAEEKMQKVSPPRRKGSREEKTEKLGNWLKKDNIGSDLPLTNSRLQNPGNYPANNSGLRHFEPDTHSDEITNTYGGSTSQKVHSSSSQNYVDTEAKMQKVSPPRKKGSREEKTEKLGNWLKKDNIGSDLPPTNSRQQNPGNYPANNTGLRHFEPDPHSDGNIDAILEEEEALIKAHRKEIEDTMEIVREEMKLLAEVDQPGSLVDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Manes.15G011900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1052581:1060718:1 gene:Manes.15G011900.v8.1 transcript:Manes.15G011900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAAATALYDNAGGGPLHNAGPASDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSEPYTPAAQTSAGMPVSDGFYSPDFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGGSKGFDDNATSGKQQREQNDPDSCIPSSINEKENSTRENNVAKIKVVVRKRPLNKKEIARKEDDIVTVSDNALTVHEPKLKVDLTAYVEKHEFCFDAVLDQHVTNDEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHQPVYRNQRFKLWLSFFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGSTGANEESSRSHAILQLAVKKHGEVKDSRRNNDGNDSKSGKVIGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNPRKDQNTNSLPPTNKDVSSSSSLPVFQDVDDVYEQQEVKPVDTGRRLVEKGTFSYNPTTDYDKQPPSFTSSYPLNGQEESMPSGSMDKERVEITNTYVVTEEKMQKVSPPRRKGSREEKTEKLGNWLKKDNIGSDLPLTNSRQQNSGNYPANNTGLRHFEPDPHSDEITNTYGGSTSQKVHSSSFQNYMDAEEKMQKVSPPRRKGSREEKTEKLGNWLKKDNIGSDLPLTNSRLQNPGNYPANNSGLRHFEPDTHSDEITNTYGGSTSQKVHSSSSQNYVDTEAKMQKVSPPRKKGSREEKTEKLGNWLKKDNIGSDLPPTNSRQQNPGNYPANNTGLRHFEPDPHSDGNIDAILEEEEALIKAHRKEIEDTMEIVREEMKLLAEVDQPGSLVDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Manes.15G011900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1052473:1060724:1 gene:Manes.15G011900.v8.1 transcript:Manes.15G011900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAAATALYDNAGGGPLHNAGPASDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSEPYTPAAQTSAGMPVSDGFYSPDFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGGSKGFDDNATSGKQQREQNDPDSCIPSSINEKENSTRENNVAKIKVVVRKRPLNKKEIARKEDDIVTVSDNALTVHEPKLKVDLTAYVEKHEFCFDAVLDQHVTNDEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHQPVYRNQRFKLWLSFFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGSTGANEESSRSHAILQLAVKKHGEVKDSRRNNDGNDSKSGKVIGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNPRKDQNTNSLPPTNKDVSSSSSLPVFQDVDDVYEQQEVKPVDTGRRLVEKGTFSYNPTTDYDKQPPSFTSSYPLNGQEESMPSGSMDKERVEITNTYVVTEEKMQKVSPPRRKGSREEKTEKLGNWLKKDNIGSDLPLTNSRQQNSGNYPANNTGLRHFEPDPHSDEITNTYGGSTSQKVHSSSFQNYMDAEEKMQKVSPPRRKGSREEKTEKLGNWLKKDNIGSDLPLTNSRLQNPGNYPANNSGLRHFEPDTHSDEITNTYGGSTSQKVHSSSSQNYVDTEAKMQKVSPPRKKGSREEKTEKLGNWLKKDNIGSDLPPTNSRQQNPGNYPANNTGLRHFEPDPHSDGNIDAILEEEEALIKAHRKEIEDTMEIVREEMKLLAEVDQPGSLVDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Manes.11G161200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32667887:32670197:1 gene:Manes.11G161200.v8.1 transcript:Manes.11G161200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTAVVLMIGLLGMVYQARQLPPPQRESPPRKSPRVRLSDGRHLAYRERGVPKDKSKYKIIIVHGFGSSKEMTFMAPQEMIEELKIYFLLFDRAGYGESDPNPKRTVKSEALDIEELADQLQIGPKFYVIGVSMGSYPIWSCLKHIPHRLAGAAMIVPVINYRWPSLPQNLIREDYRRRIVQLALWFSKYAPGLLHWWVTQQWIPSTSVLEKNPMCFSTQDLEILKRIPGFPMLSKDSLRQKEVFDTLRRDFIVGFGDWDFDPIQLENPFPQNEGSVHIWQGYEDKVVPFQLQRYISGKLPWIQYHEVPHGGHLIVHYTGLCEAVLRALLLGEEPLKLEQVRP >Manes.11G161200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32667870:32670736:1 gene:Manes.11G161200.v8.1 transcript:Manes.11G161200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLLGMVYQARQLPPPQRESPPRKSPRVRLSDGRHLAYRERGVPKDKSKYKIIIVHGFGSSKEMTFMAPQEMIEELKIYFLLFDRAGYGESDPNPKRTVKSEALDIEELADQLQIGPKFYVIGVSMGSYPIWSCLKHIPHRLAGAAMIVPVINYRWPSLPQNLIREDYRRRIVQLALWFSKYAPGLLHWWVTQQWIPSTSVLEKNPMCFSTQDLEILKRIPGFPMLSKDSLRQKEVFDTLRRDFIVGFGDWDFDPIQLENPFPQNEGSVHIWQGYEDKVVPFQLQRYISGKLPWIQYHEVPHGGHLIVHYTGLCEAVLRALLLGEEPLKLEQVRP >Manes.11G161200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32667870:32670736:1 gene:Manes.11G161200.v8.1 transcript:Manes.11G161200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGTAVVLMIGLLGMVYQARQLPPPQRESPPRKSPRVRLSDGRHLAYRERGVPKDKSKYKIIIVHGFGSSKEMTFMAPQEMIEELKIYFLLFDRAGYGESDPNPKRTVKSEALDIEELADQLQIGPKFYVIGVSMGSYPIWSCLKHIPHRLAGAAMIVPVINYRWPSLPQNLIREDYRRRIVQLALWFSKYAPGLLHWWVTQQWIPSTSVLEKNPMCFSTQDLEILKRIPGFPMLSKDSLRQKEVFDTLRRDFIVGFGDWDFDPIQLENPFPQNEGSVHIWQGYEDKVVPFQLQRYISGKLPWIQYHEVPHGGHLIVHYTGLCEAVLRALLLGEEPLKLEQVRP >Manes.17G043100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23670925:23678874:1 gene:Manes.17G043100.v8.1 transcript:Manes.17G043100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSAVYIGEELLGEVEIYPQQLQHEQEEEENRNNNKRVIDEILTEKEIRISHFSQPSERCPPLAVLHTITCGMCFKMESKNSVSLDTPLHLLHSSCIQENKTAVVSLGEGELHLVAMYSRNDENQYPCFWGFNVSAGLYDACLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISTEVDPQRLSGMLSEVKRYQDDKTILKQYVENDQVIENGRVIKTQFEVVPALSDNHQTIVRPLIRLQERNIILTRINPQIRDTSVLVRLRPAWEELRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQNGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEASNAVPVLCVARNVACNVRGGFFKEFDEGLLQRMSEISYEDDMNDIPSPPDVSNYLVPEDDAANSNGHKDPLLFDGIADAEVEKRLKEALSASSTFPSTAANVEPKLVPPLQYTLAPSSSSTPVPTSQQSVMPFPSVQFPQPSSLVKPLAPVGPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHVPSESQFPVRPPMQVSVPGVQSHGSWIPAEEEMSPRQLNRAVPREFALDSEPMHSEKHRPHHPFFFPKVENPVPSDRMLHENQRFPKVLQAPYRDDRLRINHAISSYHSFSGEEIPLSQSSSSNRDLDFESERAVSSAETPVGVLQEIAMKCSAKVEFRPSLVDSRDLQFSIEAWFAGEKVGEGIGRTRREAQSLAAESSIRSLANLYMSRAKPDNGDASRYSSANDNGYLGNVNSFGSLPLPKDEPVSSSAALDPSRLLDPRLEGSKKSMGSVTPLKEFASFKEFSPKRQGSPRQFQGMSNKRLKSEFPRALQRMPSSARYPKNASPVP >Manes.17G043100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23670925:23678874:1 gene:Manes.17G043100.v8.1 transcript:Manes.17G043100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSAVYIGEELLGEVEIYPQQLQHEQEEEENRNNNKRVIDEILTEKEIRISHFSQPSERCPPLAVLHTITCGMCFKMESKNSVSLDTPLHLLHSSCIQENKTAVVSLGEGELHLVAMYSRNDENQYPCFWGFNVSAGLYDACLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISTEVDPQRLSGMLSEVKRYQDDKTILKQYVENDQVIENGRVIKTQFEVVPALSDNHQTIVRPLIRLQERNIILTRINPQIRDTSVLVRLRPAWEELRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQNGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEASNAVPVLCVARNVACNVRGGFFKEFDEGLLQRMSEISYEDDMNDIPSPPDVSNYLVPEDDAANSNGHKDPLLFDGIADAEVEKRLKEALSASSTFPSTAANVEPKLVPPLQYTLAPSSSSTPVPTSQQSVMPFPSVQFPQPSSLVKPLAPVGPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHVPSESQFPVRPPMQVSVPGVQSHGSWIPAEEEMSPRQLNRAVPREFALDSEPMHSEKHRPHHPFFFPKVENPVPSDRMLHENQRFPKVLQAPYRDDRLRINHAISSYHSFSGEEIPLSQSSSSNRDLDFESERAVSSAETPVGVLQEIAMKCSAKVEFRPSLVDSRDLQFSIEVS >Manes.17G043100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23670925:23678874:1 gene:Manes.17G043100.v8.1 transcript:Manes.17G043100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSAVYIGEELLGEVEIYPQQLQHEQEEEENRNNNKRVIDEILTEKEIRISHFSQPSERCPPLAVLHTITCGMCFKMESKNSVSLDTPLHLLHSSCIQENKTAVVSLGEGELHLVAMYSRNDENQYPCFWGFNVSAGLYDACLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISTEVDPQRLSGMLSEVKRYQDDKTILKQYVENDQVIENGRVIKTQFEVVPALSDNHQTIVRPLIRLQERNIILTRINPQIRDTSVLVRLRPAWEELRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQNGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEASNAVPVLCVARNVACNVRGGFFKEFDEGLLQRMSEISYEDDMNDIPSPPDVSNYLVPEDDAANSNGHKDPLLFDGIADAEVEKRLKEALSASSTFPSTAANVEPKLVPPLQYTLAPSSSSTPVPTSQQSVMPFPSVQFPQPSSLVKPLAPVGPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHVPSESQFPVRPPMQVSVPGVQSHGSWIPAEEEMSPRQLNRAVPREFALDSEPMHSEKHRPHHPFFFPKVENPVPSDRMLHENQRFPKVAPYRDDRLRINHAISSYHSFSGEEIPLSQSSSSNRDLDFESERAVSSAETPVGVLQEIAMKCSAKAWFAGEKVGEGIGRTRREAQSLAAESSIRSLANLYMSRAKPDNGDASRYSSANDNGYLGNVNSFGSLPLPKDEPVSSSAALDPSRLLDPRLEGSKKSMGSVTPLKEFASFKEFSPKRQGSPRQFQGMSNKRLKSEFPRALQRMPSSARYPKNASPVP >Manes.17G043100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23670925:23678874:1 gene:Manes.17G043100.v8.1 transcript:Manes.17G043100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSAVYIGEELLGEVEIYPQQLQHEQEEEENRNNNKRVIDEILTEKEIRISHFSQPSERCPPLAVLHTITCGMCFKMESKNSVSLDTPLHLLHSSCIQENKTAVVSLGEGELHLVAMYSRNDENQYPCFWGFNVSAGLYDACLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISTEVDPQRLSGMLSEVKRYQDDKTILKQYVENDQVIENGRVIKTQFEVVPALSDNHQTIVRPLIRLQERNIILTRINPQIRDTSVLVRLRPAWEELRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQNGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEASNAVPVLCVARNVACNVRGGFFKEFDEGLLQRMSEISYEDDMNDIPSPPDVSNYLVPEDDAANSNGHKDPLLFDGIADAEVEKRLKEALSASSTFPSTAANVEPKLVPPLQYTLAPSSSSTPVPTSQQSVMPFPSVQFPQPSSLVKPLAPVGPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHVPSESQFPVRPPMQVSVPGVQSHGSWIPAEEEMSPRQLNRAVPREFALDSEPMHSEKHRPHHPFFFPKVENPVPSDRMLHENQRFPKVLQAPYRDDRLRINHAISSYHSFSGEEIPLSQSSSSNRDLDFESERAVSSAETPVGVLQEIAMKCSAKAWFAGEKVGEGIGRTRREAQSLAAESSIRSLANLYMSRAKPDNGDASRYSSANDNGYLGNVNSFGSLPLPKDEPVSSSAALDPSRLLDPRLEGSKKSMGSVTPLKEFASFKEFSPKRQGSPRQFQGMSNKRLKSEFPRALQRMPSSARYPKNASPVP >Manes.17G043100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23670925:23678874:1 gene:Manes.17G043100.v8.1 transcript:Manes.17G043100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSAVYIGEELLGEVEIYPQQLQHEQEEEENRNNNKRVIDEILTEKEIRISHFSQPSERCPPLAVLHTITCGMCFKMESKNSVSLDTPLHLLHSSCIQENKTAVVSLGEGELHLVAMYSRNDENQYPCFWGFNVSAGLYDACLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISTEVDPQRLSGMLSEVKRYQDDKTILKQYVENDQVIENGRVIKTQFEVVPALSDNHQTIVRPLIRLQERNIILTRINPQIRDTSVLVRLRPAWEELRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQNGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEASNAVPVLCVARNVACNVRGGFFKEFDEGLLQRMSEISYEDDMNDIPSPPDVSNYLVPEDDAANSNGHKDPLLFDGIADAEVEKRLKEALSASSTFPSTAANVEPKLVPPLQYTLAPSSSSTPVPTSQQSVMPFPSVQFPQPSSLVKPLAPVGPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHVPSESQFPVRPPMQVSVPGVQSHGSWIPAEEEMSPRQLNRAVPREFALDSEPMHSEKHRPHHPFFFPKVENPVPSDRMLHENQRFPKVAPYRDDRLRINHAISSYHSFSGEEIPLSQSSSSNRDLDFESERAVSSAETPVGVLQEIAMKCSAKVEFRPSLVDSRDLQFSIEVS >Manes.17G043100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23670925:23678874:1 gene:Manes.17G043100.v8.1 transcript:Manes.17G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSAVYIGEELLGEVEIYPQQLQHEQEEEENRNNNKRVIDEILTEKEIRISHFSQPSERCPPLAVLHTITCGMCFKMESKNSVSLDTPLHLLHSSCIQENKTAVVSLGEGELHLVAMYSRNDENQYPCFWGFNVSAGLYDACLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKISTEVDPQRLSGMLSEVKRYQDDKTILKQYVENDQVIENGRVIKTQFEVVPALSDNHQTIVRPLIRLQERNIILTRINPQIRDTSVLVRLRPAWEELRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGSRKSLFNVFQNGICHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEASNAVPVLCVARNVACNVRGGFFKEFDEGLLQRMSEISYEDDMNDIPSPPDVSNYLVPEDDAANSNGHKDPLLFDGIADAEVEKRLKEALSASSTFPSTAANVEPKLVPPLQYTLAPSSSSTPVPTSQQSVMPFPSVQFPQPSSLVKPLAPVGPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHVPSESQFPVRPPMQVSVPGVQSHGSWIPAEEEMSPRQLNRAVPREFALDSEPMHSEKHRPHHPFFFPKVENPVPSDRMLHENQRFPKVAPYRDDRLRINHAISSYHSFSGEEIPLSQSSSSNRDLDFESERAVSSAETPVGVLQEIAMKCSAKVEFRPSLVDSRDLQFSIEAWFAGEKVGEGIGRTRREAQSLAAESSIRSLANLYMSRAKPDNGDASRYSSANDNGYLGNVNSFGSLPLPKDEPVSSSAALDPSRLLDPRLEGSKKSMGSVTPLKEFASFKEFSPKRQGSPRQFQGMSNKRLKSEFPRALQRMPSSARYPKNASPVP >Manes.01G240200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40149653:40153401:1 gene:Manes.01G240200.v8.1 transcript:Manes.01G240200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRWPRLLTPTHLSQIIRKQKNPLASLQIFKEANNKYPNYHHNGPVYATMIGILGSSGRITEMKEVIDQMKEDSCECKDSVFATTINTYARAGFLNEAISLFKNIPKFNCVNWTESFNTLLQIMVKESMLESAHSLFLESSYGWEVKSRVRSLNLLMDVLCQHNRSDLALHVFQEMSYQGCHPDRDSYQILMRGLCKDRRLNEAIHLLYSMFWRISLKGSAEDIVVYRILLDALCDNGQVEQAIEILSKILRKGLKAPKLCRHHLDLRQCNGGDDIEATKRLINEVLIRGTVPSLGSYTAMAVDLYHEGKISLADKVLEETQDRGFRPSLLTYEAKVSALCREGRIHEAVKVIEVEMVEGNCVPNVRLYNILLKGLCDTGNSATAVGYLKEMAKRVGCVANEETYCTLVHGLCQDGRFVEGSRLLEEMLIKSYQPPIDAYNVLIRGLCTVGRQYEATLWLEEMISRGESPEPSVWNSLVASVCCDLADLDVRFETFKRLCSS >Manes.02G003800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:516743:522700:1 gene:Manes.02G003800.v8.1 transcript:Manes.02G003800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYFMVFGGLTAVVAALELSKTSRDRINAPSVFNSFKNNYLLVYSLMMAGDWLQGPYVYYLYSQYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPEYKVLMLGRVLGGIATSLLFSAFESWLVAEHFKRGFDQQWLSITFSKAIFLGNGLVAIMSGLFGNVLVDTLGLGPVAPFDAAACFLAIGMAIILSSWTENYGDASENKDLLTQFKVAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNGEDIPHGFIFATFMLASMLGSSIASRLLARSFLKVESYMQIVFVISAASLLLPVITSFLIVPTGEKGGGISFSGSVQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDAFPIIVMFGMCSIFLFVASLLQRRLLVIAESQKPSKFWLPWTLFSACIRGHNLLS >Manes.02G174900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13814817:13817374:-1 gene:Manes.02G174900.v8.1 transcript:Manes.02G174900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMGISVMAFVIIYIARWIYKWRNPPSNGSKLPPGSMGLPLIGETLQFFIPSKSLDMPPFVKNRIQKYGTMFKTSLAGRPVVVSSDADFNYFILQQEGKLVELWYLDSFAELLGQNGSLKEGFLGFIHKHLKKLISEHFGPERLKGKLLPQLEEMVNRALHAWTVHDSVEVKHESSTMILDFTSKLLFGYDAEKQGQSLSETFASFIQGLFSFPLNIPGTAFHRCLQNQKKIFNIIRETMEERRTSPAKSREDFLDHLMEDMKAQNALTDDLVTFVIFALLLATSETVPSTLTLAIKLLTEHPLVMQELVKENEEIIRSRENKESGITWKEYKSMTFTMHVINEALRMSGSVGILRRTIEDIYVNGFRTKCSCEELHPIWWRNEVMRRS >Manes.02G174900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13814817:13817374:-1 gene:Manes.02G174900.v8.1 transcript:Manes.02G174900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMGISVMAFVIIYIARWIYKWRNPPSNGSKLPPGSMGLPLIGETLQFFIPSKSLDMPPFVKNRIQKYGTMFKTSLAGRPVVVSSDADFNYFILQQEGKLVELWYLDSFAELLGQNGSLKEGFLGFIHKHLKKLISEHFGPERLKGKLLPQLEEMVNRALHAWTVHDSVEVKHESSTMILDFTSKLLFGYDAEKQGQSLSETFASFIQGLFSFPLNIPGTAFHRCLQNQKKIFNIIRETMEERRTSPAKSREDFLDHLMEDMKAQNALTDDLVTFVIFALLLATSETVPSTLTLAIKLLTEHPLVMQELVKENEEIIRSRENKESGITWKEYKSMTFTMHVINEALRMSGSVGILRRTIEDIYVNGYTIPKGWTIMIVPSSLHLNSEIYKDPLTFNPWRWKDLGPNVRAKNFIPFGGGMRSCGGAEFSKVLIAVFLHVLVTKYRWANVKEGEIVRTPMLGFGDGHYIKVSKKPEDGECHV >Manes.01G200100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37375007:37380265:-1 gene:Manes.01G200100.v8.1 transcript:Manes.01G200100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVEMAENGTSFVKVQKILQFTTVLKFAACELFLNFLLLIDAVFSYLLTKFARHCKLQVPCILCSRLDHLLGNEKPGFYRNLLCSNHRSEISSLFSCSIHGKLADGHGMCEECLLSFIMNTKSNPEMHRLLMGNFGYDLSAYGCYSSFLNREFVTGSVGVRLCSCCNKPWRSRPNADKLLVLKSPQSGMTMPTIPLPRRLTHREGLKKMRERFPGSETSCNLKKTVFDPSSHVGYAELKFASDSESEFPFSDDDEGSNIVPEIKEPKEESKIRHASEILPKRLKNCMPLDKLNYTSYKPQMQPDVGKLQDLKFFQPDSDIDGGFDVLKRRQALRRNYPSAPELISLDNFPSLSNGMEAPVAVPTEKCELKFPLSENSVPHRLPELMSVVNASSSFNVVEHSFEASQLKSGTGTNNVISISINKHGEILKSVAATGGGGVKNDQVLNEVSCMNPPYVNQSDVWKSTLSIEEKEASGFAAKRPPLEELEIVNDDKKLLSIENISAQGVGLHSDKILPSFQGHDDELQTNEASNSDVVQMLRDTVPVEKTESSDVEFLDGSTVSEIEGENTVDRLKRQIEYDKRCINALYKELDEERSAAAIAANQAMAMITRLQEEKAAVHMEALQYLRMMEEQAEHDVEALERANDLLAEKEKDIQDLEADIEFLRLNFLDEPVETIAEGTCDLKGGISTSHSTSISYAKYDVNFQNCLNDQENSIDVRSSLAEFEDEKLCISQCLKDLEMKCFQFSQQEASACLSDSHSEETIEKGVNKGESLEKRETQINGETKENSSSLQKDIAVSNGTFPAHGQSNVKDDTDKIAGNEGNRSPSSGQKSSKKCREIDLFTLENEISDLNERLEALESDWNLLEHTFNSLQTGKEGLNYVKEIAHQLQELRKTMTRSRRQLAP >Manes.01G200100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37375007:37380265:-1 gene:Manes.01G200100.v8.1 transcript:Manes.01G200100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVEMAENGTSFVKVQKILQFTTVLKFAACELFLNFLLLIDAVFSYLLTKFARHCKLQVPCILCSRLDHLLGNEKPGFYRNLLCSNHRSEISSLFSCSIHGKLADGHGMCEECLLSFIMNTKSNPEMHRLLMGNFGYDLSAYGCYSSFLNREFVTGSVGVRLCSCCNKPWRSRPNADKLLVLKSPQSGMTMPTIPLPRRLTHREGLKKMRERFPGSETSCNLKKTVFDPSSHVGYAELKFASDSESEFPFSDDDEGSNIVPEIKEPKEESKIRHASEILPKRLKNCMPLDKLNYTSYKPQMQPDVGKLQDLKFFQPDSDIDGGFDVLKRRQALRRNYPSAPELISLDNFPSLSNGMEAPVAVPTEKCELKFPLSENSVPHRLPELMSVVNASSSFNVVEHSFEASQLKSAGTGTNNVISISINKHGEILKSVAATGGGGVKNDQVLNEVSCMNPPYVNQSDVWKSTLSIEEKEASGFAAKRPPLEELEIVNDDKKLLSIENISAQGVGLHSDKILPSFQGHDDELQTNEASNSDVVQMLRDTVPVEKTESSDVEFLDGSTVSEIEGENTVDRLKRQIEYDKRCINALYKELDEERSAAAIAANQAMAMITRLQEEKAAVHMEALQYLRMMEEQAEHDVEALERANDLLAEKEKDIQDLEADIEFLRLNFLDEPVETIAEGTCDLKGGISTSHSTSISYAKYDVNFQNCLNDQENSIDVRSSLAEFEDEKLCISQCLKDLEMKCFQFSQQEASACLSDSHSEETIEKGVNKGESLEKRETQINGETKENSSSLQKDIAVSNGTFPAHGQSNVKDDTDKIAGNEGNRSPSSGQKSSKKCREIDLFTLENEISDLNERLEALESDWNLLEHTFNSLQTGKEGLNYVKEIAHQLQELRKTMTRSRRQLAP >Manes.08G084700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25955952:25957627:1 gene:Manes.08G084700.v8.1 transcript:Manes.08G084700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETELGCRDQRWSLKGRTALVTGGTRGIGYAIVEELAGFGARVHICSRNEKELKERTEEWKSKGFDVSFSVCDLTSRAQREKLIDTVSSVFDGKLNILVNNAATVRLKNCLNYNMEDYSTITTTNLESPYHLCQLSHPLLKASGNGSIVFISSIAGEVALPMISLYAATKGAINQLTKNLACEWAQDNIRANTVSPSGTRTSVMQESVLPHLSVTHALS >Manes.08G084700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25955952:25957626:1 gene:Manes.08G084700.v8.1 transcript:Manes.08G084700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETELGCRDQRWSLKGRTALVTGGTRGIGYAIVEELAGFGARVHICSRNEKELKERTEEWKSKGFDVSFSVCDLTSRAQREKLIDTVSSVFDGKLNILVNNAATVRLKNCLNYNMEDYSTITTTNLESPYHLCQLSHPLLKASGNGSIVFISSIAGEVALPMISLYAATKGAINQLTKNLACEWAQDNIRANTVSPSGTRTSVMQESDPAVLKAYGGMLGQTPIARVAEPNEVSSLVAFLCLPAASYITGQVICVDGGLTANGFLPNDF >Manes.06G116400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24749381:24755673:1 gene:Manes.06G116400.v8.1 transcript:Manes.06G116400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITVEYMTYMFKYDTVHGQWKHHELKVKDDKTLLFGEKPVTVFGVRNPEEIPWAETGAEYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPDLHIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKATYDQIKAAIKEESEGKLKGILGYTEDDVVSTDFIGDNRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSTRVVDLIRHIASIQ >Manes.09G156400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35206302:35212132:-1 gene:Manes.09G156400.v8.1 transcript:Manes.09G156400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLEPSPLKWRQSLPIQLSPPRPLPSLPYPIPLRPAKFGDKGQSFDKFSVRCSFMDKILTNSDNNAPSLSSSSNSNPTSVASLITTNPFEIIYSTIIKALKVLKKPAIAAILVGLLLMYDPSSAFAASGGRMGGKSFSGSSSSSSRSYSVPRTSSGGFSYSVPYYAPSPFGGGGFYFGPAVGVGVGAGSSLFFILAGFAAFMLVSGFLSDRSEGGVLTATAKTSVLKLQVGLLGMGRSLQRDLNRIAEIADTSSSEGLSYVLTEASLALLRHPDYCISGYSYVDVKRSIEDGEKRFNQLSIEERGKFDEETLVNVNNIKKRSTSSQRANGFNNEYIVITILVAAEGVHKLPTINGSGDLKEALQKLGSIPSSKILAVEVLWTPQNENDTLTERELLEDYPLLRPL >Manes.01G225600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39117252:39117773:1 gene:Manes.01G225600.v8.1 transcript:Manes.01G225600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNGDFGHRAWNILRLALLWARKGGVFKRRLTVELRVLPKFLKSLGHHTTTPRTQLHYGERQLSFDKTPIFHVKMHRPASMRFNIPCITPQVDFDYDFDEDQEGGYEYDDGGRRSFLKSGGEEEEYEEETIPEEEKEIDLRAEEFIAKFYEQMKLQRQISYLQYNETQKTL >Manes.02G065550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4977162:4978566:-1 gene:Manes.02G065550.v8.1 transcript:Manes.02G065550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMDQTKFEEFEIKAFIEFHFAMIEEDELEQCVAGSKYHAMKISTEIKEEIYEKILEMRETQAKTLKIESFTNSLVPMGFIDFIRSS >Manes.09G016741.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3586159:3588603:1 gene:Manes.09G016741.v8.1 transcript:Manes.09G016741.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFDFRTSPMAKKTCWFVSQEKTRTEKKSRRWRWILGKLKFKQRPVLPSPQRIIDEAAEKQRKFAVTVALATAAAVAAAQAAAEVVKLTGSSQSRLHFAMKDENLAAIKIQSAFRGYLARKALRALKGVVKLQAIVRGQVVRRQVGTKLKRLPSNAKMRSKVRVTTIDICKEGGNKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDRQRHWLEELVNKDALARERIENLKASDIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.09G016741.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3586110:3588603:1 gene:Manes.09G016741.v8.1 transcript:Manes.09G016741.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDENLAAIKIQSAFRGYLARKALRALKGVVKLQAIVRGQVVRRQVGTKLKRLPSNAKMRSKVRVTTIDICKEGGNKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERRNTHVLEESIAKNEPDRQRHWLEELVNKDALARERIENLKASDIFGTTQVKMSSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.15G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2497854:2502796:-1 gene:Manes.15G032100.v8.1 transcript:Manes.15G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADFERPHPVVIPPAKSFLHSLKSGLKETLLPDDPFRQFKNQSASKKFILGLQYFVPILEWAPRYTFSFFKADVIAGITIASLAVPQGISYANLANLPPVIGLYSSFVPPLVYAMLGSSRDLAVGTVAVPSLLISSMLGKVVNPHEDPKHYIQLALTATFFAGVFQSALGILRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVHFTQGTDLISVMQSVFSQMHQWRWESGALGACFLFFLLLIRYFSKRKPCFFWINAMAPLTSVVLGSVLVYLTHAEKHGVQVIGHLKKGLNPPSVSELAFGSPHLMTAVKTGIIIGVIALAEGVAVGRSFAMFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTTGPFSRTAVNFNAGCKTAVSNIVMATAVMITLLFLTPLFYYTPLVVLSSIITAAMLGLIDYEAAIHLWEVDKFDFFVCISAYVGVVFGSVEIGLVVAVTISLLRMLLFVARPRTFRLGNISNSMIYRSMDQYPTANSVPGVLILQIDAPIYFANANYLRERISRWICDEEDRLKSTGGPTLQYVILDMSAIGSIDTSGISMLEEVKKHTDRRGLKLVLANPRSEVIKKLEKTKFIETVGQEWIYLTVGEAVASCNFMLHTCKSKRASVQESDAQENV >Manes.04G099300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30379087:30383919:1 gene:Manes.04G099300.v8.1 transcript:Manes.04G099300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLILFLLQHVWILVVSALNSDGLTLLSLMRHWTSVPPSINLGWNASDSNPCSWAGIGCTNKTNNVISLNLSGYAVSGQLGSEIARLAHLQTLNLGNNNFSGVIPSELSNCTLLEKLDLSENHFTREIPHSLKNLQNLKVLSLYSNWLSGEIPDWLFQFPHLETIYLDLNRFTGSIPSNIGNGTNVSKLWLNKNLLSGTIPDSIGNCSKLEQLDLSENKLVGFLPQSLNFLENLVSLDVNSNSLEGNILLGLGNWKNLESLVLSYNSFSGGLPPDLSNCSRLETLAIVDSNLTGHIPSSYGLLDKLLYLYLSENRLSGRIPPELGNCKSLKELMLYKNQLEGEIPSELGMLSELESLELFENHLTGEVPISIWKIQSLRNVTLYDNNLSGELPLELTHLKHLEFLFLFKNNFSGVIPQSLGVNSSLEKLDLMYNQFTGPIPPNLCFGRRLTVLNLGNNQLQGSIPSDVGKCRTLQRMKLEHNNLSGVLPEFLHNPDLEHLNIAWNNISGKIPSSLGNCTNLNFIDLSMNKVGGFIPPELGNLVNLRELFLAHNHLQGCLPPRLSTWKKLDKFNVGFNSLNCALPSSLGNMTSLSTLILEENQFTGGIPPFLSELKRLSLLRMGGNLLGGEIPSWIGTLKNLQYGLDLRSNGLIGQIPGSLGDLRMLVTLDISSNNLTGNLEVLNRMEALTEINISYNGFTGPIPEKLMKLLNDSSSSFLSNPGLCINCPPSDGLNCVRNSGFRACARSFNSHKSLSKTEIIMIALGSSLGVLLLLGLACVYLLCRMRKQHEVGRKSMEDEDPLFMQIMEATENLNDKYIVGKGAHGEVFKAALGPTNVYAVKKLKFSDHRDGSHSMVREIQIIENIRHRNLVKVEDFWFKKDYGLILYRYMENGNLHDVLYATDPPRNLEWSVRYKIAVGTAHGLAYLHYDCDPPVLHRDIKPQNILLDCEMEPRISDFGIAKLLDRSSASGTSMSISGTLGYIAPENAYMTARGMESDVYSYGVVLLELITRRKPSDSSFMEEIDFVGWVRSTWSKTQDINAVVDSSLMEDTLDSNIAEQISGVLLIALRCTEKEPSSRPTMRDVVKQLLDIRTRALSL >Manes.05G175800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29244858:29246839:-1 gene:Manes.05G175800.v8.1 transcript:Manes.05G175800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2.1 MEGECCSSSSSASATTTAEKRKPRQQQDKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPIAAARAYDTAVFYLRGPSARLNFPDLIYHEDNLRDMSAASIRKKATEVGAQVDALQQTALHASEKNPNRVVPEKPDLNQYPTPESSDEE >Manes.17G025400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:17392607:17394752:1 gene:Manes.17G025400.v8.1 transcript:Manes.17G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEMWTQLGSVIAGLMFGWAMFQQYFPHQLRGSFNRYTQRLVGFVYPYLQITFHEYTGERLKRSEVYSAIQSYLSANSSMRANKLKADVVNKSHSVVLSMDDHEEITDDFNGIKIWWSSNKITPQAQSFSFYPITEGRRYFKLTVHRRYRNVITNSYIDHVIKEGKAVAVKNRQRKLYTNNPSNNWYGWKATKWSHVVFEHPASFDTLAMATKEKEEIMKDLVKFSKGKSYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFLNYDVYDLELTTVKDNTELRKLLIDTTSKSIIVIEDIDCSLDLTGQRKPKEKEEDKDEEGQDPISKKEKEEEAENKKSSKVTLSGLLNFIDGIWSACGGERIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCCFEAFKVLAENYLDVESHELFAKIQNLLEETKMTPADVAENLMPKSEDEDEETCLKKLIAALEEAKEEEGRKKSEEEAKLKAEQDKEKDQPAKEDEKGKEIGIAKENGLISNGKEEISIESTKQGDS >Manes.16G126200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32755535:32762952:-1 gene:Manes.16G126200.v8.1 transcript:Manes.16G126200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETSCMIRVAVLPIGPVPPNILRDYYSMFLRYHTISLSSISSFYTEHQKSPFANQPWDNGSLRFKFILGGSPPSPWEDFQSNRKILAIIGVCHCPSSPDLDSVVDQFTAACKSYASALVTRCFFCPCDSQLEDGAKKGEKLKLFPPADRQTLDIHLQTMMQEIAASLLMDFEKWVLQAESAGTILKTPLDSQASLCSEEVIKAKKRRLARAQKTMGDYCLMAGSPVDANAHYSTALELARLTADFFWYAGALEGSVCALLIDQMGQKDAVFEDEVRCRYSSVISHYKKSFTPDNAQRVSPLSFGLEATLKLARFLCSDRLILYVDIARLFGSLGYQRKAAFFSRQVAQLYIQQENSLAAISAMQVLAMTTKAYHVQSRASFNHPRSSEEIGSSCADNWKMHHPSVVSLFESQWSTLQMVVLREILLSAIRAGDPLAAWSAAARLLRSYYPLITPAGQYGLASALNNSAQRLPSGARCADPALPFVRLYSFPFHPCQMDIIKRNPGREDWWAASAPSGPFIYTPFSKGEPNDSSKLELTWIVGEPVHVLVELANPCGFDLRVDSVCLSVHSGNFDAFPVAVDLPPNSSKVIILSGIPTSVGSVTIPGCIVHCFGVITEHLFRDVDNLLLGAAQGLVLSDPFRCCGSPKLRNVLVPNISVVPPLPLLVSHVIGGDGSIVLYEGEIRDVWISLANAGMAPVEEAHISLSGKNQDSVVSIAYETLKSALPLKPGTEVILPVTLKAWQLGPIDPDITGSKLASGSIRRKDGSSPTLLIHYAGPSIDSGDPPKKESVFPPGRRLVVPLHICVLQGLSFVKACLLSMEIPAHVGLNLPKPVCVGNNGSKEAIGSKSKMDGLVKIDPFRGSWGLRFLELELANPTDVVFEICVSVQLANCEDKLDNLSAIEDAAESSYPKTRIDRDYSARVLIPLEHFKLPILEGSFSIKDLQPDGACRSSSFSEKNAKDELNASIKNLISRIKLRWYSGQNSFGELNVKDAIHAALQTSVLDVLLPDPLTFGFRLIRNNVPEESAMHHDSRSEDSVNVHDMTPMEVIVRNNTREIIRMSLSVTCRDVAGENCIEGTKATLLWAGVLNGIAMEVPPLKESKHSFSLHFLIPGEYTLVAAAVIEEVNDILRTRAKTDSVDEPIFCRGPPFHVRVIGTG >Manes.16G126200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32755535:32762937:-1 gene:Manes.16G126200.v8.1 transcript:Manes.16G126200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETSCMIRVAVLPIGPVPPNILRDYYSMFLRYHTISLSSISSFYTEHQKSPFANQPWDNGSLRFKFILGGSPPSPWEDFQSNRKILAIIGVCHCPSSPDLDSVVDQFTAACKSYASALVTRCFFCPCDSQLEDGAKKGEKLKLFPPADRQTLDIHLQTMMQEIAASLLMDFEKWVLQAESAGTILKTPLDSQASLCSEEVIKAKKRRLARAQKTMGDYCLMAGSPVDANAHYSTALELARLTADFFWYAGALEGSVCALLIDQMGQKDAVFEDEVRCRYSSVISHYKKSFTPDNAQRVSPLSFGLEATLKLARFLCRRGITKDVVELLTCAANGAKSLIDASDRLILYVDIARLFGSLGYQRKAAFFSRQVAQLYIQQENSLAAISAMQVLAMTTKAYHVQSRASFNHPRSSEEIGSSCADNWKMHHPSVVSLFESQWSTLQMVVLREILLSAIRAGDPLAAWSAAARLLRSYYPLITPAGQYGLASALNNSAQRLPSGARCADPALPFVRLYSFPFHPCQMDIIKRNPGREDWWAASAPSGPFIYTPFSKGEPNDSSKLELTWIVGEPVHVLVELANPCGFDLRVDSVCLSVHSGNFDAFPVAVDLPPNSSKVIILSGIPTSVGSVTIPGCIVHCFGVITEHLFRDVDNLLLGAAQGLVLSDPFRCCGSPKLRNVLVPNISVVPPLPLLVSHVIGGDGSIVLYEGEIRDVWISLANAGMAPVEEAHISLSGKNQDSVVSIAYETLKSALPLKPGTEVILPVTLKAWQLGPIDPDITGSKLASGSIRRKDGSSPTLLIHYAGPSIDSGDPPKKESVFPPGRRLVVPLHICVLQGLSFVKACLLSMEIPAHVGLNLPKPVCVGNNGSKEAIGSKSKMDGLVKIDPFRGSWGLRFLELELANPTDVVFEICVSVQLANCEDKLDNLSAIEDAAESSYPKTRIDRDYSARVLIPLEHFKLPILEGSFSIKDLQPDGACRSSSFSEKNAKDELNASIKNLISRIKLRWYSGQNSFGELNVKDAIHAALQTSVLDVLLPDPLTFGFRLIRNNVPEESAMHHDSRSEDSVNVHDMTPMEVIVRNNTREIIRMSLSVTCRDVAGENCIEGTKATLLWAGVLNGIAMEVPPLKESKHSFSLHFLIPGEYTLVAAAVIEEVNDILRTRAKTDSVDEPIFCRGPPFHVRVIGTG >Manes.10G147400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31645468:31648739:-1 gene:Manes.10G147400.v8.1 transcript:Manes.10G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEHSLMLLGILIFLISMLLLTKPSMAEGDQDIIPANFSRSYFPDDFIFGTATSAYQIEGEANKSGRGPSVWDTFTHKTPERILDRSNGDVAVDFYHRYKEDILNVKKMGFKAFRMSISWSRVIPSGRRSKGVNHKGIKFYNDVINEIIANGLEPFITIFHWDTPQVLEDEYGGFLSSNIIKDYRNYADLLFKQFGDRVKYWMTFNEPWALSGFAYDEGIFAPGRCSSWVNRQCRAGNSSTEPYIIAHHLLLSHAAAVRVYRKHYKPIQGGQIGITLFTFWFEPLSNRTIDIEASKTALDFMFGLWMDPLTHGQYPKRVQNLVGDKLLNFTHKDVQLLIKSYDFIGLQYYTSYYAKPKLMIHDPNHVRYKTDSHVNVTPYDYNDKLIGPQAYSPWFYIFPKGIRYLLNYTKDTYDNPVIYITENGIDSKNNETQPINEATKDSFRIDYYKKHMWNALGSLRDYHVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKDKLKRYPKDSAFWFCRFLSTNSKLCDNLSSNKFAKISSRKLGKNN >Manes.15G016200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1318429:1323007:1 gene:Manes.15G016200.v8.1 transcript:Manes.15G016200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKCTVQGTRLLMAQQDIYSRAAKASFSTEASSAESTPTESVKELYDKILESVNVKRTMAPNAWLWSLIENCKSIEDVRLLFDSLHNLRKFRLSNLRIHENFNCHLCREVTKACAKVGAIDFGKKALWKHNVYGLTPNIGSANHLLSYAKEHNDVSFMVEVMKLLKKNHIPLQPSTADIVFSICYNAGNWELISKYLKKFLKAGVKLRKTAFDILMDFAIKRGDTESLWQIEELRSESTQQHTLVSGFSCAKGLILERKIEDAAAVIQILNETLSDTKKSGIVVQLQKLVSEWPLDVVKQQPEENRKAVAAALKTDIPAVVGLLNMGLELNVNLEDLTSKAEIPS >Manes.15G016200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1318429:1323006:1 gene:Manes.15G016200.v8.1 transcript:Manes.15G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLSNARRLARVLKPPVLLGSAATHKLLSSDIQITFSPRTSHQWESYNFYSSDSLYFSGTRLLMAQQDIYSRAAKASFSTEASSAESTPTESVKELYDKILESVNVKRTMAPNAWLWSLIENCKSIEDVRLLFDSLHNLRKFRLSNLRIHENFNCHLCREVTKACAKVGAIDFGKKALWKHNVYGLTPNIGSANHLLSYAKEHNDVSFMVEVMKLLKKNHIPLQPSTADIVFSICYNAGNWELISKYLKKFLKAGVKLRKTAFDILMDFAIKRGDTESLWQIEELRSESTQQHTLVSGFSCAKGLILERKIEDAAAVIQILNETLSDTKKSGIVVQLQKLVSEWPLDVVKQQPEENRKAVAAALKTDIPAVVGLLNMGLELNVNLEDLTSKAEIPS >Manes.07G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34172447:34178909:1 gene:Manes.07G140100.v8.1 transcript:Manes.07G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILEGLYSLMGKRCCLFSLYFLGLSWLIQVAVAGREGPLLQSYEKGSFGYSESFNRIFDTSKYGILQLKNGLARTPQMGWNSWNFFACNINETVIKETADALVSTGLADLGYVFVNIDDCWSFITRDAKGQLVPDPKTFPSGIKALADYVHGKGLKLGIYSDAGIFTCQVRPGSLSHENDDAELFASWGVDYLKYDNCYNLGIEPKKRYPPMRDALNATGRRIFYSLCEWGVDDPALWAGKVGNSWRTTDDINDSWASMTTLADLNDKWAAYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKAPLLIGCDVRNMTAETFEILTNKEVIAVNQDPLGVQGRKVYTAGTDGCLQIWAGPLSGNRLVVALWNRCSKAATITARWNVLGLESGTSVSVRDLWQHKDVAGDAVASFGARVDAHDCAMYIFTPQKARRSGF >Manes.04G068700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26666135:26667546:-1 gene:Manes.04G068700.v8.1 transcript:Manes.04G068700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEGTSVDTSWPPRSPTRRPMYYVQSPSNHDVEKMSYGSSPTGSPAHHYYHCSPIHHSRESSTSRFSESLKNPRSLSAWKHVKINQDDNDDDEMDGDDGGSTRNVRLYLFGLIIFVLLFTIFCLILWGASKAYKPEIFVKNMVFENFNVQAGNDQTGVPTDMLSLNSTVKIHYRNPATFFAVHVTSTPLELHYYQLKIASGQVSEYTLSSWVKKCSAA >Manes.04G068700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26664243:26667546:-1 gene:Manes.04G068700.v8.1 transcript:Manes.04G068700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEGTSVDTSWPPRSPTRRPMYYVQSPSNHDVEKMSYGSSPTGSPAHHYYHCSPIHHSRESSTSRFSESLKNPRSLSAWKHVKINQDDNDDDEMDGDDGGSTRNVRLYLFGLIIFVLLFTIFCLILWGASKAYKPEIFVKNMVFENFNVQAGNDQTGVPTDMLSLNSTVKIHYRNPATFFAVHVTSTPLELHYYQLKIASGQMKKFRQSRKSQRRVITVVQASEIPLYGGVPLLANAKDHIEKVAVPLNLTFVVRSRAYILGRLVKSKFYKHIRCPVTLHGNKLGNPIDLKSSCIYH >Manes.14G000600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:67679:81687:1 gene:Manes.14G000600.v8.1 transcript:Manes.14G000600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHLSGQISGQVPNQLAQQNGNPLPAAQLQNLAAASGGGLTSPNMFTMDPELHRARIFMREKIFAIILQRQPQPVSEPQKQKFKDIAKRLEEGLFKAAQSKEDYMNLNTLESRLSSLIKRAPVNNHNQRPGQLVNPSSSIGTMIPTPGMSHSGNSNLMVSSADTMMTASSGCDSISVTTMNMGSLLPSSSLHSSFSRSDAMVAGTMSNGYQQTLANFSISSGGNLPSMGGQRMTSQMIPTPGYNNINNNNKSNNQSYMNMESSSSLGGYSTVESTMASQPQQQKQYAGGQNSHIMQNLGSQMGSSIRSGLQQKSYGFSNGALNSGIGMIANNLQFVSEPCVSEGYMTGTPYASSPKPLQQHFDQQQQQIVHGEGYGISNADSFGSGNFYNAVTSVGSMMNAQNITSMSLQSMPKTNSSLVNNQLNLHGIQQAAQVKPQSADQSEKMNFQSLPSRDSILHTHQQQQFQQHLHQFPQQQQFVQQQYIKNQQNQQHQQLFHDAFDQSQPSDPSNQVKREPGVEHHNEALHSQTSQHLQMSELQNQFQQNVVEDHSQAAQSLSQPSGQHGMCSSLAQNSQEMQQVSHPHQLVSESQSDFTCHSIGAPSAKILQGQWRPHLPDRGCIPSMPHEQHVQEDFHQRISGQDEAQRNNFASEGSNIVQNAPPRNSSETQNSNGVICKSGIANRDRQFRNQQKWLLFLRHARRCTAPEGKCSDVNCITVQKLLRHMDRCNSSPCPYPRCHHTRILIQHNKHCRDAGCPVCVPVKNYVEAQMRARTRLSSDSCFSSKSSNTGDNSAKFISKNPAVVETSEELHPSLKRMKIEQSPQSFKPEDEIAAVSASMTTDSHISQDVKKQDYKQGVPVKSECMEVKLELPLSSGQGSPRNNEKKKDIVDRNSQKLNGESVVQDESTSSSKQESIKVENETDQGRQEISAQPADNAAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTSCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGSAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERQERARIQVKGYDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENVVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCTLMVSGKRWVCNQCKNFQICDNCYEAEQKREERERHPVNQREKHTLYPFEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYLHCRKVKGLFRHGIQCKIRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Manes.14G000600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:67642:81814:1 gene:Manes.14G000600.v8.1 transcript:Manes.14G000600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHLSGQISGQVPNQLAQQNGNPLPAAQLQNLAAASGGGLTSPNMFTMDPELHRARIFMREKIFAIILQRQPQPVSEPQKQKFKDIAKRLEEGLFKAAQSKEDYMNLNTLESRLSSLIKRAPVNNHNQRPGQLVNPSSSIGTMIPTPGMSHSGNSNLMVSSADTMMTASSGCDSISVTTMNMGSLLPSSSLHSSFSRSDGTMSNGYQQTLANFSISSGGNLPSMGGQRMTSQMIPTPGYNNINNNNKSNNQSYMNMESSSSLGGYSTVESTMASQPQQQKQYAGGQNSHIMQNLGSQMGSSIRSGLQQKSYGFSNGALNSGIGMIANNLQFVSEPCVSEGYMTGTPYASSPKPLQQHFDQQQQQIVHGEGYGISNADSFGSGNFYNAVTSVGSMMNAQNITSMSLQSMPKTNSSLVNNQLNLHGIQQAAQVKPQSADQSEKMNFQSLPSRDSILHTHQQQQFQQHLHQFPQQQQFVQQQYIKNQQNQQHQQLFHDAFDQSQPSDPSNQVKREPGVEHHNEALHSQTSQHLQMSELQNQFQQNVVEDHSQAAQSLSQPSGQHGMCSSLAQNSQEMQQVSHPHQLVSESQSDFTCHSIGAPSAKILQGQWRPHLPDRGCIPSMPHEQHVQEDFHQRISGQDEAQRNNFASEGSNIVQNAPPRNSSETQNSNGVICKSGIANRDRQFRNQQKWLLFLRHARRCTAPEGKCSDVNCITVQKLLRHMDRCNSSPCPYPRCHHTRILIQHNKHCRDAGCPVCVPVKNYVEAQMRARTRLSSDSCFSSKSSNTGDNSAKFISKNPAVVETSEELHPSLKRMKIEQSPQSFKPEDEIAAVSASMTTDSHISQDVKKQDYKQGVPVKSECMEVKLELPLSSGQGSPRNNEKKKDIVDRNSQKLNGESVVQDESTSSSKQESIKVENETDQGRQEISAQPADNAAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTSCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGSAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERQERARIQVKGYDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENVVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCTLMVSGKRWVCNQCKNFQICDNCYEAEQKREERERHPVNQREKHTLYPFEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYLHCRKVKGLFRHGIQCKIRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Manes.14G000600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:67679:81687:1 gene:Manes.14G000600.v8.1 transcript:Manes.14G000600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHLSGQISGQVPNQLAQQNGNPLPAAQLQNLAAASGGGLTSPNMFTMDPELHRARIFMREKIFAIILQRQPQPVSEPQKQKFKDIAKRLEEGLFKAAQSKEDYMNLNTLESRLSSLIKRAPVNNHNQRPGQLVNPSSSIGTMIPTPGMSHSGNSNLMVSSADTMMTASSGCDSISVTTMNMGSLLPSSSLHSSFSRSDAMVAGTMSNGYQQTLANFSISSGGNLPSMGGQRMTSQMIPTPGYNNINNNNKSNNQSYMNMESSSSLGGYSTVESTMASQPQQQKQYAGGQNSHIMQNLGSQMGSSIRSGLQQKSYGFSNGALNSGIGMIANNLQFVSEPCVSEGYMTGTPYASSPKPLQQHFDQQQQQIVHGEGYGISNADSFGSGNFYNAVTSVGSMMNAQNITSMSLQSMPKTNSSLVNNQLNLHGIQQAAQVKPQSADQSEKMNFQSLPSRDSILHTHQQQQFQQHLHQFPQQQQFVQQQYIKNQQNQQHQQLFHDAFDQSQPSDPSNQVKREPGVEHHNEALHSQTSQHLQMSELQNQFQQNVVEDHSQAAQSLSQPSGQHGMCSSLAQNSQEMQQVSHPHQLVSESQSDFTCHSIGAPSAKILQGQWRPHLPDRGCIPSMPHEQHVQEDFHQRISGQDEAQRNNFASEGSNIVQNAPPRNSSETQNSNGVICKSGIANRDRQFRNQQKWLLFLRHARRCTAPEGKCSDVNCITVQKLLRHMDRCNSSPCPYPRCHHTRILIQHNKHCRDAGCPVCVPVKNYVEAQMRARTRLSSDSCFSSKSSNTGDNSAKFISKNPAVVETSEELHPSLKRMKIEQSPQSFKPEDEIAAVSASMTTDSHISQDVKKQDYKQGVPVKSECMEVKLELPLSSGQGSPRNNEKKKDIVDRNSQKLNGESVVQDESTSSSKQESIKVENETDQGRQEISAQPADNAAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTSCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGSAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERQERARIQVKGYDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENVVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCTLMVSGKRWVCNQCKNFQICDNCYEAEQKREERERHPVNQREKHTLYPFEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYLHCRKVKGLFRHGIQCKIRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Manes.14G000600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:67643:81814:1 gene:Manes.14G000600.v8.1 transcript:Manes.14G000600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHLSGQISGQVPNQLAQQNGNPLPAAQLQNLAAASGGGLTSPNMFTMDPELHRARIFMREKIFAIILQRQPQPVSEPQKQKFKDIAKRLEEGLFKAAQSKEDYMNLNTLESRLSSLIKRAPVNNHNQRPGQLVNPSSSIGTMIPTPGMSHSGNSNLMVSSADTMMTASSGCDSISVTTMNMGSLLPSSSLHSSFSRSDGTMSNGYQQTLANFSISSGGNLPSMGGQRMTSQMIPTPGYNNINNNNKSNNQSYMNMESSSSLGGYSTVESTMASQPQQQKQYAGGQNSHIMQNLGSQMGSSIRSGLQQKSYGFSNGALNSGIGMIANNLQFVSEPCVSEGYMTGTPYASSPKPLQQHFDQQQQQIVHGEGYGISNADSFGSGNFYNAVTSVGSMMNAQNITSMSLQSMPKTNSSLVNNQLNLHGIQQAAQVKPQSADQSEKMNFQSLPSRDSILHTHQQQQFQQHLHQFPQQQQFVQQQYIKNQQNQQHQQLFHDAFDQSQPSDPSNQVKREPGVEHHNEALHSQTSQHLQMSELQNQFQQNVVEDHSQAAQSLSQPSGQHGMCSSLAQNSQEMQQVSHPHQLVSESQSDFTCHSIGAPSAKILQGQWRPHLPDRGCIPSMPHEQHVQEDFHQRISGQDEAQRNNFASEGSNIVQNAPPRNSSETQNSNGVICKSGIANRDRQFRNQQKWLLFLRHARRCTAPEGKCSDVNCITVQKLLRHMDRCNSSPCPYPRCHHTRILIQHNKHCRDAGCPVCVPVKNYVEAQMRARTRLSSDSCFSSKSSNTGDNSAKFISKNPAVVETSEELHPSLKRMKIEQSPQSFKPEDEIAAVSASMTTDSHISQDVKKQDYKQGVPVKSECMEVKLELPLSSGQGSPRNNEKKKDIVDRNSQKLNGESVVQDESTSSSKQESIKVENETDQGRQEISAQPADNAAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTSCGARIKRNAMYYTMGAGDTRHYFCIPCYNEARGDTIVVDGSAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEIERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRRLKQERQERARIQVKGYDEVPGAEALVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVILLFQKIEGVEVCLFGMYVQEFGSECQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKASKENVVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQSDLSGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCTLMVSGKRWVCNQCKNFQICDNCYEAEQKREERERHPVNQREKHTLYPFEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMADRDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYLHCRKVKGLFRHGIQCKIRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Manes.03G102700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22665633:22669804:1 gene:Manes.03G102700.v8.1 transcript:Manes.03G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYFGFPRSKPYFSLSCIKPLKTTDFLLGFLSEKRKMATHLFTRSLLCRSTSANKALILSLLSRSFSSLPTPSPASRSFSLLRCLRPLSAAASFSSSNLCHALTTRSFATRTTTSSINDPSPNWSNRPPKETILLDGCDFEHWLVVLEKPDDNLTRDEIIDSYIKTLAMVVGSEEEARKKIYSVSTRCYFAFGALVSEELSYKIKELPRVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNFDRSRNFERRRENMQNRDFQNTRAAPMDNQGMQNPASNMAGMPQNSASGPGGPPPPPHNNYMGGSPPPPQNNYMGGSPPPPQNNYMGRPPPPPPNNYMGGPPPPPPPSNYMGGPPPPPPNNYMGGPPPPPPLNNYMGPQNTGGIPQNNYGNSSPNNVGGMPQSPGWSSNMQQNFQDGRSDGGMHHQGTPSNYQNNYSPNRDGSFPGGNPYNA >Manes.09G050200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8489625:8490089:1 gene:Manes.09G050200.v8.1 transcript:Manes.09G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALTSYLVFLPSLILSVNYNYSCLGYCFTEGYLVHISNHLSDNRNLSLHCWSGDDNLGNHELSSSAEFEFHFCLNIWGTTKFWCDFSWNHHQYGGIFKVFWVGRKLVQMCNHKNCVWSARDDGIYLLDIVSGIFVKKYIWEAKWHQPQTRGAK >Manes.08G002302.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:544473:546087:-1 gene:Manes.08G002302.v8.1 transcript:Manes.08G002302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICDCGSEPISISISTILWSPFAAALYSAVLPSLLLASLSAPDSTNTLAHLIFPLPAAKCNGVLPSLSLKSNGPKLSNESKTSSVRSNAIATCSSLQPLDCHFASI >Manes.15G175300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19199555:19207213:-1 gene:Manes.15G175300.v8.1 transcript:Manes.15G175300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKDETLEAVLKEAVDLENVPIEEVFQTLRCNSNGLTTEAAEQRLAIFGYNKLEEKQESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKILRDGSWIEDDASILVPGDIISIKLGDILPADARLLEGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAMGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEILAKGVDADTVVLMAARASRVENQDAIDAAIVGMLADPKEARANIQEIHFLPFNPMDKRTALTYIDSEGKMHRVSKGAPEQILNLVHNKSEVERRVHAVIDKFAERGLRSLAVAYQDVPDGRKESSGGPWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGHNKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFTTGIILGGYLAMMTVIFFWAAYRTDFFPKTFGVSSLQKKDEEDFRKLASAVYLQVSTISQALIFVTRSRSWSFIERPGLLLVAAFVVAQLIATLIAVYANWSFAAIEGTGWGWAGVVWLYNLIFYFPLDFIKFIVRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLHPPDTKMFHERSNYTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Manes.15G175300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19199728:19207213:-1 gene:Manes.15G175300.v8.1 transcript:Manes.15G175300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKDETLEAVLKEAVDLENVPIEEVFQTLRCNSNGLTTEAAEQRLAIFGYNKLEEKQESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKILRDGSWIEDDASILVPGDIISIKLGDILPADARLLEGDPLKIDQSALTGESLPVTKGPGDSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAMGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEILAKGVDADTVVLMAARASRVENQDAIDAAIVGMLADPKEARANIQEIHFLPFNPMDKRTALTYIDSEGKMHRVSKGAPEQILNLVHNKSEVERRVHAVIDKFAERGLRSLAVAYQDVPDGRKESSGGPWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGHNKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTLGFMLLALIWQFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFTTGIILGGYLAMMTVIFFWAAYRTDFFPKTFGVSSLQKKDEEDFRKLASAVYLQVSTISQALIFVTRSRSWSFIERPGLLLVAAFVVAQLIATLIAVYANWSFAAIEGTGWGWAGVVWLYNLIFYFPLDFIKFIVRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLHPPDTKMFHERSNYTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Manes.04G006351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:886800:889200:-1 gene:Manes.04G006351.v8.1 transcript:Manes.04G006351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHRPPGLLSRMIYGIVFCLVAISVAADKFYVYASPPPPYYYKSPSLRSPSLPSYHYASPPSLKKSLPPPYYYKSPPSPSPSPPPPYHYTSPSPPKKSPPPLYYYKSPPPPSPFPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKYPPPPSPSPHPLYYYKSPPPPYPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSLPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPVKSPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPLPYYYKSPPPPSPSPPPPYYYTSPPPPVKSPPPPYYYKSPLPPSPSPPPPYHYTSPPPPKKSPPPSYYYKSPPPPSPSPPPPYHYTSPPPPVKSPPPPYYYKSPPSPSPSPPPPYHYTSPPSPKKSPPPPYYYKSPPPPSPSPPPPYYYTSPPPPVKSPPPPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPLPYHYTSLPPPVKSPPPPYYYKSPPPPSPSPPPPYHYTSPPPLKKSPPPPYYYKSPPPPSPSPLPPYHYISPPPPVKSPPPPYYYKSPPPPSPSPPPPYHYTSLPRPKKSPPPPYHYTSPPHPLKSSPPPNYYKPPPPPTPSPPPPYYYKSPPPPSHSPPLYYYTSPPPLKHY >Manes.16G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5586784:5590073:1 gene:Manes.16G040500.v8.1 transcript:Manes.16G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQNSQLAFFFLLLAPLLCLSFSLPSEYSIVGNDELHLQELLSEERVIDLFQQWKKKYRKVYRQTEETEKRFENFRRNLKYVLDKNTQRNSSSGHSVGLNKFADMSNEEFRQRYSSKVKRPIGKYSNLKSSSWRRSLQSCDAPSSLDWRKKGVVTAVKDQGDCGSCWSFSTTGAIEGINAIVSGDLISLSEQELVDCDSTNDGCDGGYMDYAFEWVINNGGIDTEADYPYTAVDGTCNTTKEEIKIVSIDGYEDVAESDSALLCAAAQQPISVGIDGSAIDFQLYTSGIYDGSCSGNPDDIDHAVLIVGYGSEDDEDYWIVKNSWGTEWGMEGYFYLRRNTNLTYGVCAVNAMASYPTKESSAPSPTSPPPPPSPPPPPSPPPPPPPPPPSPSPSECGDFSYCPNDETCCCIFEFYDFCLVYGCCPYENAVCCTGTEYCCPSDYPVCDVDEGLCLQSQGDYLGVAAEKRHMAKHKFPWSKKLDERKQETTYQPLLWKRNPFAAIG >Manes.02G201700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:19091331:19091864:-1 gene:Manes.02G201700.v8.1 transcript:Manes.02G201700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLVLLLFIFPVHAAIPSIINGNLINKTCKQTPYYELCVRSLISNPHSFNTDVKGLARIMILTIDAKATHALKHINNLLQRSSSYNSLSKKERRELKACADRYSVIIKGDVPQAIDAMRTGDYKFAEEGTYDAAAEAMSCEEEISGHHSQLSDMNMMVHDVSVVAGSIVQIILSS >Manes.03G202300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31816624:31819326:-1 gene:Manes.03G202300.v8.1 transcript:Manes.03G202300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLTDSNVRPLEVFMCSIVRKMGYGDGFKWLSQYIK >Manes.17G015162.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7943654:7945115:1 gene:Manes.17G015162.v8.1 transcript:Manes.17G015162.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVSKYGAKEGSDITKTLLSAWKGACAAAGSGKVVIPKGKYSLGVVDLLGTCKGAMHLQVEGTLVAPEKASQHRKNRWVTLRYMDRLTVSGGGAFDGQGEIAWQRKSCGGGCKKALPVNLTFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSSGKYEKEEPVSGIYVKNCTIYDTDNGMRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.11G126200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28823220:28825995:-1 gene:Manes.11G126200.v8.1 transcript:Manes.11G126200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENDNHYLPLYKAALHGDWITAKRIFDSDSNALTAKILGLHETALHVSISAGHSIEFVQKLVDRMSADELGIKNKYGDTPLHYAGVAGNTAAAKLLVNKNPKLPQERNSNNDTPLHRAAAYAHEDTVQYLLLVTEDEEDPIRSSPFTDEDGVRLLNLLIIADFYNIALRVLKRNPGLARKRDYNGFSALDRLVEKPRAFLSGSRLGFFQRFLYHYFAVTSADKASVHQGKDVETPGGSFDEYKNESLQFQFLQHIQKTKVMHKQAMELLRFLISEALKGSVLEADNLLGPPTRIAAILGIQEFVTEMIRSYPVMVWLRNMAGENIFLLAVKHRQEKIFNLLYQMGTHNIFAASLVDDLGNNMLHLAGQLEPSIKMSGAALQMQRELQWFKEVEKVVQPSYKELTNNDRQTPRMVFTAEHKDLVEKGEKWMKDTATSCATVAALVVTVVFAAAFTVPGGNNSDVGIPIYLKETSFMIFAVSDTLGLFSSSTSLLMFLGILTSRYSEEDFLRALPMRLSIGLIALFFSIASMLTAFTAAFHLVLFHRVRWITIPIGLLACAPVTLFALLQFPLLVEIVSSTFGPSIFYKESEEIIF >Manes.13G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3498388:3502565:-1 gene:Manes.13G026400.v8.1 transcript:Manes.13G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWWISALQLTELFVSIVVHLLYGLYIFSSGVAGDLSQTLNEWFCKPNMNIVVKEEERREASQNTTNGDDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDEKVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYENTSENWVLSLTSLSGAFNGTTRTYFDGMQPEDGRTLKPICLLQLLRLGVIIYDWLDIGMLKDYYNFGFDHFNMSWRKMGILGLLDCLLGNSGPFATGDWILPDLTIQGSMKLNSHLQTFPNTFYFSYATKCTTKIMGVTVPSSLLGIHPLFFIRVLQMSQWRFPPDVPPPYKGYRDEDWQVNDGALNTISTTHPRIPIEHPSCNVANDSECLPLQPGIWYYKTMEADHILFILNRERAGAQFDLIYDSIFERCRKHAFRKTRQTLPNQAGPHQ >Manes.02G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8969322:8973580:1 gene:Manes.02G116900.v8.1 transcript:Manes.02G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRRRQPKHLVRKESAGEVLNSFSNVHHLPPLKSTDYSPPLSLYLANALFFSLFFSVAYFLLHRWREKIRNSTPLHILSFPEIAALLCLVASVIYLLGFFGIGFVHSFSRASADTWDVEEYEDDNIISIEDTRPSGPCAASSLGYPLSPPAKIPAPNIAVTTTSTLSDDDEQIITSVLSGSIPSYSLESTLGNCRRAALVRREALQRMLGKSLEGLPLDGFDYDSIFGQCCEMPIGYVQIPVGIAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIYASGGATSVLLRDGMTRAPVVRFPTAKRAAGLKIFMEDPANFDTIAAIFNQSSRFARLQRIQCAIAGKNLYIRFSCSTGDAMGMNMVSKGVQYVLDYLQNIFPDMDVIGISGNFCSDKKPAAINWIEGRGKSVVCEALIKEEVVKKVLKTNVATLVELNTIKNLTGSAVAGALGGFNAHASNIVTAVYIATGQDPAQNVESSNCITMVEAVNDGKDLHISVSMPSIELGTVGGGTQLASQSACLNLLGVKGACKDSPGSNARLLATIVAGSVLAGELSLMSAIAAGQLVQSHMKYNRSIKDASKITT >Manes.02G116900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8969322:8973580:1 gene:Manes.02G116900.v8.1 transcript:Manes.02G116900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRRRQPKHLVRKESAGEVLNSFSNVHHLPPLKSTDYSPPLSLYLANALFFSLFFSVAYFLLHRWREKIRNSTPLHILSFPEIAALLCLVASVIYLLGFFGIGFVHSFSRASADTWDVEEYEDDNIISIEDTRPSGPCAASSLGYPLSPPAKIPAPNIAVTTTSTLSDDDEQIITSVLSGSIPSYSLESTLGNCRRAALVRREALQRMLGKSLEGLPLDGFDYDSIFGQCCEMPIGYVQIPVGIAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIYASGGATSVLLRDGMTRAPVVRFPTAKRAAGLKIFMEDPANFDTIAAIFNQSSRFARLQRIQCAIAGKNLYIRFSCSTGDAMGMNMVSKGVQYVLDYLQNIFPDMDVIGISGNFCSDKKPAAINWIEGRGKSVVCEALIKEEVVKKVLKTNVATLVELNTIKNLTGSAVAGALGGFNAHASNIVTAVYIATGQDPAQNVESSNCITMVEAVNDGKDLHISVSMPSIELGTVGGGTQLASQSACLNLLGVKGACKDSPGSNARLLATIVAGSVLAGELSLMSAIAAGQLVQSHMKYNRSIKDASKITT >Manes.18G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6875403:6878514:1 gene:Manes.18G075400.v8.1 transcript:Manes.18G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLCFRPSIFLTFSIPEHFLAKTFRRAISHYPSPMATLSTTEKDAVSGQSQSTQKTQQPLQVAKRLEKFKTTIFTQMSSLAIKHGAINLGQGFPNFDGPDFVKEAAIQAIRDAKNQYARGYGVPDFNSAIAARFKKDTGLVVDPEKEVTVTSGCTEAIAATMLGLINPGEEVILFAPFYDSYEATLSMAGAKIKCITLQPPDFAVPIEELKSIISKNTRAILINTPHNPTGKMFTREELSTIASLCIENDVLVFADEVYDKLAFEMDHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPDSYYVELKRDYMEKKAILVEGLKAVGFKVFPSSGTYFVIVDHTPFGQENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEETLRAAVERMKEKLKRN >Manes.14G086900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7198851:7201972:1 gene:Manes.14G086900.v8.1 transcript:Manes.14G086900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGDGEAPKPTKNNVEAPPKNLDPVASSGPAQKPTPAASPVEATKQTPAGVPANTTNNYFRADGQNCGNFLTDRRTTKVHAAPGGGSSLDYLFGGDKK >Manes.14G086900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7199005:7201964:1 gene:Manes.14G086900.v8.1 transcript:Manes.14G086900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGDGEAPKPTKNNVEAPPKNLDPVASSGPAQKPTPAASPVEATKQTPAGVPANTTNNYFRADGQNCGNFLTDRRTTKVHAAPGGGSSLDYLFGGDKK >Manes.18G139820.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:17064635:17065618:1 gene:Manes.18G139820.v8.1 transcript:Manes.18G139820.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRFKNMSSLIKTLIFLALIAPQVNALMPYTRSLWDMMLPSEDPFRILEQSPLAIPKGVESLALARADWKETPTSHVISMDIPGIKKDGVKIEVEENRVLRVSGERKGEQEVEGEKWHRAERINGKFWRQFRLPNNADLEHIKAHLEDGVLKVTVPKFAEQQKKQAKVIEIAEQGSSGQDIKATKAEM >Manes.01G183800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36190541:36193928:1 gene:Manes.01G183800.v8.1 transcript:Manes.01G183800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGGWLSSLKKVLKSSSNSKELPERKKDNVEKWQHEAPEVVSFEHFPAESSPDATNEDGTASSPVIEDRNHAIAVAVATAAAAEAAVAAAQAAAKVVRLAGYGRHSKDERAATLIQSNYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLAHEHLEMKFEEEQEGQRRSVGEGLNYPKSPLKSCGMKVWNNRQQSSERIKENASRKHAAMRRERALAYAYHQQQQNQHQQLLHSDPNDEFYAIGSENVQWGWNWLERWMSSQQPNEASYMKMTASTTTTDDMSEKTVEMDVVTPPVMSNTNKGLLDTSPYPANQQRQSSLNTHVPSYMAPTHSAKAKVRNQATIKQRGPYVAQWNSSTKKGPIVGSSWDSSSSGGGTTIYQAPRSPSPNNNGKRLQSRRNGGYSLDSNDGLEDWRLPPNDGHGWKNAF >Manes.01G183800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36190486:36193932:1 gene:Manes.01G183800.v8.1 transcript:Manes.01G183800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGGWLSSLKKVLKSSSNSKELPERKKDNVEKWQHEAPEVVSFEHFPAESSPDATNEDGTASSPVIEDRNHAIAVAVATAAAAEAAVAAAQAAAKVVRLAGYGRHSKDERAATLIQSNYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLAHEHLEMKFEEEQEGQRRSVGEGLNYPKSPLKSCGMKVWNNRQQSSERIKENASRKHAAMRRERALAYAYHQQQQNQHQQLLHSDPNDEFYAIGSENVQWGWNWLERWMSSQQPNEASYMKMTASTTTTDDMSEKTVEMDVVTPPVMSNTNKGLLDTSPYPANQQRQSSLNTHVPSYMAPTHSAKAKVRNQATIKQRGPYVAQWNSSTKKGPIVGSSWDSSSSGGGTTIYQAPRSPSPNNNGKRLQSRRNGGYSLDSNDGLEDWRLPPNDGHGWKNAF >Manes.12G041900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3820483:3822276:1 gene:Manes.12G041900.v8.1 transcript:Manes.12G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPFSSISSDLTELILSHLPIPSLLRASAVCKLWHSLISTPSFPSLPHHHLPWFFLHGLHNTSSKNNQSFAFDPSSNSWFRLPSFPFPSPDFIGSNGFLFSTAPSFSFSPVLKPRWRSTSPLCFSRINPLLGVFFDPKTSQSHTSKPQFIVVGGVRFIGNLVDIEDRLAVEIYKPSCDSWELCPPLPADFRSGNSSQTLSSALFKGRFFVFGIYTFFVSFFDLDKHVWSQVQTLRPPGVLFAFLIACQEMLVLAGMCNGPRGPSFNLWRIDEKNMEFSEIAIMPQDLLYGLVDNEEDDKFASLKCVGLGNLIYVFNEEYHKKYPACICEIINEYGKCSWRKVPQLPSPVNKFHKVISFCSTILPQNIFLAEEE >Manes.18G003200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:726295:729064:1 gene:Manes.18G003200.v8.1 transcript:Manes.18G003200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISNSLVPTRNPRVQFSPGKLQLATSRRAFTVKAAHSDSGRPSSAGIFVGGFVLGGLVVGALGCVYAPQISKALAGTDRKDLMRKLPKFIYDEEKALEKTRKILTEKIAQLNSAIDDVSAQLRTEDAPNGVAVNSDEIEAAI >Manes.18G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:726295:729064:1 gene:Manes.18G003200.v8.1 transcript:Manes.18G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISNSLVPTRNPRVQFSPVPLDICLQSSMPTKLSFNLNCPGKLQLATSRRAFTVKAAHSDSGRPSSAGIFVGGFVLGGLVVGALGCVYAPQISKALAGTDRKDLMRKLPKFIYDEEKALEKTRKILTEKIAQLNSAIDDVSAQLRTEDAPNGVAVNSDEIEAAI >Manes.04G043113.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5135304:5137181:-1 gene:Manes.04G043113.v8.1 transcript:Manes.04G043113.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLWSFLVICLCYVAWWKHESYKTNKLMREQGIKGPPPSLLLGNIPEMERMVSQNAETPQIDGPLIVLPYLKHWAKNYGKLFKFALGGIQLLYVNNLSIVREINLFTSFELGKPAYLQNDRGVLLGKGLITANGDVWHHQRKTIGPQLYMHKVKDMVNLMVESGSMVVKAWEKIIDREGEGGMVDIVVDEHARIFTTYIASKIIFGSDHHKGIKVFSKCHELLKAMGETTTLGIPLLRFLPIEGNRKTWRLAKEIHGMIMDIAKERSGSTSHQDLLQAIIEGSKNGELGKLTEDEFIVDNCKNMMFGGYQTPAVAAIWGLIPCSFEVLEICNGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAMQNVKLGELKVPKGMDIWIWLPALHRNPEYWGADADVFNPERFNNGVTGACKSSQAYIPFGLGARVCPGQNLALIELKVFFAVILSNFKLTISPKYRHSPTYGLLLEPEHGVNLLIQKI >Manes.15G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10575987:10578004:-1 gene:Manes.15G131900.v8.1 transcript:Manes.15G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAFLAIFNACVLFYSLWFVHGLPSCSETPFVQVCNDFIGQSLPLQTQHQTPFVFRDHSLLVTMNHANQAHQMISAMNLSSFDHKAKLAWADCLELYEDTIDHLARSMNSKNPLDSQTWLSAAIANQQTCQNGFLDFNMSSHLESLPYMIMSNLSKLLSNSLAINKAMAYNTKQVGGRRLLTGGFPSWVSSSDRRLLQLSRGAPAAHIIVAQDGSGNYKTISEAVAASVKRRSGTKRFVIYVKKGVYKENVEIKKSMKNLMFIGDGIGATIVTGNKNAQEGSTTFRSATFAVSGKGFIARDMTFENTAGPQKHQAVALRSSSDFSVFYSCSFKGYQDTLYVHSQRQFYRNCDIYGTIDFIFGDAIVVLQNCNIFVRKPMSSQQNTVTAQARKDPNENTGIVIHNSRVMAASDLRPVQGTFKTFLGRPWKKYSRTLFMKSNLDGLIDPAGWLAWNGNFGLSTVYYGEYMNTGSGASTRGRVKWAGYHVITKAMEAAKFTVGNFLAGDSWISAAGVPFDSGL >Manes.05G085600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7067938:7071124:1 gene:Manes.05G085600.v8.1 transcript:Manes.05G085600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEDANRAAVESCHRVLGLLSQPQDQVQCRNLMVETGEAVFRFKRVISLLNSSLGHARVRKLKKLMTPLSQSILLDNQHHRTDLPCKNFQFVQSSSYLDGHPIQELGSNAKNSLCFEAPSLELSSNGKNPLHLGQQTPSIHYQFLQQQQRLQLQQQQQMKQQAEMMFKRSNSGNILNFDYSSCTPTISSARSFISSLSIDGSVANLEGSAFHLNGAPRNSDQSSQLHKRKCSGRGEDGSVKYGSSGRCHCSKKRKHRVKRSVKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCFEDPSMLIVSYEGEHNHPRIPSQTTNA >Manes.05G085600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7067918:7071458:1 gene:Manes.05G085600.v8.1 transcript:Manes.05G085600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEDANRAAVESCHRVLGLLSQPQDQVQCRNLMVETGEAVFRFKRVISLLNSSLGHARVRKLKKLMTPLSQSILLDNQHHRTDLPCKNFQFVQSSSYLDGHPIQELGSNAKNSLCFEAPSLELSSNGKNPLHLGQQTPSIHYQFLQQQQRLQLQQQQQMKQQAEMMFKRSNSGNILNFDYSSCTPTISSARSFISSLSIDGSVANLEGSAFHLNGAPRNSDQSSQLHKRKCSGRGEDGSVKYGSSGRCHCSKKRKHRVKRSVKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCFEDPSMLIVSYEGEHNHPRIPSQTTNA >Manes.11G143100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30820962:30822058:-1 gene:Manes.11G143100.v8.1 transcript:Manes.11G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQFLFSFSLFLFFLHLTKTLALSPAAPPSPIGPANIMKILEKAGDFTTFIRLIKATHVDIQLSSQLKSSSDGITILVPSDTAFSSLKADSLNSLADKEKVELVQFHVLTQFLLFSQFDTVSNPVMTLAGSGGKLLLNITVTGNVVNITTGIDYATISDTIYSDNQVAIYQIDKVLLPFDLFAPGPASAKPAESPDNDVPKDVSKSSSLVLHYSIVLFEVGIVAVIVSL >Manes.15G159400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13240390:13242906:1 gene:Manes.15G159400.v8.1 transcript:Manes.15G159400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGLPMLFASSVFFLLNLFLMLKPHHGYGDGLSDPQEVGLCESSVRNHGYKCHEIDVKTQDGYILRVQRIPEGRNLSGDSVTRPPVLLQHGVLVDGMAWFMNAPEQNLPMILADKGFDVWIANTRGTRFSRRHAYLQPNQSEFWNWSWDELAAYDLPAMFDYVYNETGQKLHYVGHSLGGLVALASFSEGLLVNKVKSAALLSPIAYLSHIKTALGVVAAKAFVTQASTLSAEFNPKGEAAASFLKQVCAHPEVNCYDLLTAITGKNCCLNTSTVDLFSQNQPQSTSTKNMVHLSQSVRDKVIAKYNYENPNSNLIHYGAMKPPVYNLSNIPEKLPLFISYGGQDALSDAQDVEQLLDDLKFHDVLKYTVEFVKNYAHADFIMGVSAADIVYDQVYKFFLNHHF >Manes.15G039600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3094077:3097430:-1 gene:Manes.15G039600.v8.1 transcript:Manes.15G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSNNRGKDKEEKGGEEVRYRGVRRRPWGKFAAEIRDPTRHGARLWLGTFDTAEEAARAYDKAAFNLRGHLATLNFPSEYYPRVMGFSPRPPHQSFSSSSSSSSSSFSSTSTNNVASQSSERGSSSGRQERQVFEFEYLDDKVLEELLESEEEKKKRRQD >Manes.11G060028.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8155185:8167266:1 gene:Manes.11G060028.v8.1 transcript:Manes.11G060028.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVILWIQIHGNKCCFEEERLALLDLKAFVGSNGFDADHLLPSWIDTTSNCCEWEHVICNSTTGHVTELSLNNTRQYNLESDSFCCERLSKLKKLEVLDLTWNKFNNIILPSLGTLISLKTLILGSNSMEGSFPIQELKNLKNLTCLDISRNNFNGALLFKELKNLKSLEFLDISYNSFNSTLSIKEFLTFKRLEILNLKGNTFAGCISKDKLSNLKILKTLDVSYNQFNSSLSAAGLCGLKSLVELNLRGNQFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSKLEAFILSPGNSRLEVETENPTWFPAFQLKYIQLSNCNLNVRTRAIPSFLRYQHSIRFIDLSHNKLVGTFPSWILQNNSILGVINLKNNSFTGTFQLPNIKHGLVVLDILSNNLTGPIPRLLCHLTDLVFLDISCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVGLTFLETFNVSYNNLSDRVPDGAQFGTFDENNYRGNPGLCGEPIHKSCKSDEVPQTPPPSADVEEEDEGGIDMV >Manes.07G044532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5139962:5140997:-1 gene:Manes.07G044532.v8.1 transcript:Manes.07G044532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQNLQPHPNLKELRVLGYGGSRFPSWFSSLTNLVYLSICDCKRYQHLPPMDQIPSLQYLEISRVDDLKYMEIEGQPTSFFPSLKTLKLYLCPKLKGWQKKRDDSTALELLQFPCLSYFSCEVCPNLTSIPQFPSLDDSLELLHASPQLVHQIFTPSISSSSSIIPPLSKLKNLCIMDIKELESLPPDGLRNLTCLQNLTIVYCPAIKCLPQEMRSLTSLRELNINDCPQLKETCGNRKGADWAFISHIPNIEVDDQRIQREGRYLLDDEASINEG >Manes.05G118000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12217560:12221549:-1 gene:Manes.05G118000.v8.1 transcript:Manes.05G118000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTASSVGILPLAAPVYAVKTRSARKGQKETSCSPVSVSTQLQPYDYRSGFNNIALSSIGFEKQLCPIYGRKHSNRKQSASITCSAMNARCSASGQTQTVTREAPTITKAPVREPTKTPQLDDGGPGLPPGGDDGGGGGGGGGGGNWSGGFFFFGFLAFLGFLNDKDSEEDYQDSRR >Manes.05G118000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12217560:12221552:-1 gene:Manes.05G118000.v8.1 transcript:Manes.05G118000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTASSVGILPLAAPVKTRSARKGQKETSCSPVSVSTQLQPYDYRSGFNNIALSSIGFEKQLCPIYGRKHSNRKQSASITCSAMNARCSASGQTQTVTREAPTITKAPVREPTKTPQLDDGGPGLPPGGDDGGGGGGGGGGGNWSGGFFFFGFLAFLGFLNDKDSEEDYQDSRR >Manes.05G118000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12217560:12221552:-1 gene:Manes.05G118000.v8.1 transcript:Manes.05G118000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTASSVGILPLAAPVYAVKTRSARKGQKETSCSPVSVSTQLQPYDYRSGFNNIALSSIGFEKQLCPIYGRKHSNRKQSASITCSAMNARCSASGQTQTVTREAPTITKAPVREPTKTPQLDDGGPGLPPGGDDGGGGGGGGGGGNWSGGFFFFGFLAFLGFLNDKDSEEDYQDSRR >Manes.05G118000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12217560:12221552:-1 gene:Manes.05G118000.v8.1 transcript:Manes.05G118000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTASSVGILPLAAPVKTRSARKGQKETSCSPVSVSTQLQPYDYRSGFNNIALSSIGFEKQLCPIYGRKHSNRKQSASITCSAMNARCSASGQTQTVTREAPTITKAPVREPTKTPQLDDGGPGLPPGGDDGGGGGGGGGGGNWSGGFFFFGFLAFLGFLNDKDSEEDYQDSRR >Manes.05G118000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12217560:12221549:-1 gene:Manes.05G118000.v8.1 transcript:Manes.05G118000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTASSVGILPLAAPVYAVKTRSARKGQKETSCSPVSVSTQLQPYDYRSGFNNIALSSIGFEKQLCPIYGRKHSNRKQSASITCSAMNARCSASGQTQTVTREAPTITKAPVREPTKTPQLDDGGPGLPPGGDDGGGGGGGGGGGNWSGGFFFFGFLAFLGFLNDKDSEEDYQDSRR >Manes.05G118000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12217560:12221549:-1 gene:Manes.05G118000.v8.1 transcript:Manes.05G118000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTASSVGILPLAAPVKTRSARKGQKETSCSPVSVSTQLQPYDYRSGFNNIALSSIGFEKQLCPIYGRKHSNRKQSASITCSAMNARCSASGQTQTVTREAPTITKAPVREPTKTPQLDDGGPGLPPGGDDGGGGGGGGGGGNWSGGFFFFGFLAFLGFLNDKDSEEDYQDSRR >Manes.15G004000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:46454:56545:-1 gene:Manes.15G004000.v8.1 transcript:Manes.15G004000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTCFDCLQRRIKSDFSDQLLFSYGLSDSAFPFGSSAVVQMPNSKEEASASASQFILEQLPTEEHDCLTKYVDEYIIENVVEDSDNCRIGDMSLSEESQDPSKDKPKSRSSLCSREAEHKHPAVSARICCCMDGCSSHGLYADLKLSPSTDWHSQFHLWWKGELSNFEYLLVLNRLAGRRWGDHTFHTVMPWVIDFSTKPDENSDLGWRDLSKSKWRLAKGDEQLDFTYSTSEIPHHISDECLSELAVCSYKARRLPLSVLRLAVRSVYEPNEYPSNMLRLYQWTPDECIPEFYCDPQIFYSVHSGMTNLAVPSWAHSPEEFIKLHRDALESDRVSCQIHNWIDITFGYKMSGQAAVAAKNVMLPSSEPTKLRSVGRRQLFTRPHPGRLVSPMKKCYSTNISAVNECQSNGVERETPLLFENSYLQELENASAFSEHAGHLSPQYCYGPERLVRTTSSVDESETENIGKCISKSLEISKTYGFPSDINLSYLLEHIEEEVEGSMGFQELLLWKQRLLYSRNSSEDVAKDVFSIGCVLAELYLKRPLFNSTSLTMYIGSGVLPESILELPPHGKVLVEACIQKDWRRRPSAKSLLESPYFPRTVKSSYLFIAPIQLLASDGSRLQYAANFAKQGALKAMGAFAAEMCAPYCLPLVVNSQSDTEAECAYVLLKEFIKCLTPKAVKTLILPAIQKILQTTGYSHLKVSLLQGSFVQEIWNLIGKQAYLETIHPLVISNLYVSPHKSSAAVASVLLIGTSEELGVPITVNQTILPLIHCFGKGLCPDGIDVLVRIGGLLGDNFIVKQMLPLLKQVIHSCISVSYMNKPEPVHSWSGLSLIDCLNTLDGVVAFLQRELIVKELIEDRSCLHVTILMQTKLDMSVLQVAAMTLMAVCQRIGPELTALHVLPQLKELFDELAFSQETGNVSGSFGRNLRISKAKVDGEAQIESRMDLVLLLYPSFASLLGIEKLRQCCATWLLLEQFLLRFHNWKWEYSGESSRSGAENITGKRPAFTKSSTSEYNPAKLLLNGVGWSVPQSQGIKGGKNMIPQRLLDGIHQNSVESHVASNLLKREPWFWLPSPADSWEGPDFLGRVASLKDELPWKIRASIVHSIRAHHGALRSLAVTQDECLVFTAGIVPGFKGTVQKWELSKINCMSGYYGHEEVVNDICVLSSSGRVASCDGTIHVWNSRTGKVLSLFAEQSVDSTNISSSSSSKINVDHLNMLNSNTLSSGLLTSAFDGSLYTSMQYLESLEMLVVGTGNGSVRFIDVAHSRKLHLWRGEAVESTFPSLVSSICSCGSDKTRADGTLASPSWIATGLSSGNCRLFDLRSGNVIASWRAHDGYVTKLAAPEDHLLVSSSLDRTLRIWDLRRNWPPQPTIFKGHTDGISGFSVWGQDVISISKNKIGLSTLSTSAEEDGQQQILPQKLCAADHGMKNLSVLSSIDILPFSRLFVVGTEDGYLRICC >Manes.15G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:46454:56545:-1 gene:Manes.15G004000.v8.1 transcript:Manes.15G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTCFDCLQRRIKSDFSDQLLFSYGLSDSAFPFGSSAVVQMPNSKEEASASASQFILEQLPTEEHDCLTKYVDEYIIENVVEDSDNCRIGDMSLSEESQDPSKVSDLMVRSSTLEYSLNQSSYLLHGDRRSVNLMRSESSTCTCSSRFTCSRTFNALASVAHIGTCSSNAFEMLTSNFLSGYLENQVLCSLSCLIEGKASGKDSVNFLRLVGVPSFDENSIPGCLRHPNIAPILGYFKASGYIYSVLPKSPYNLDSILHYCPNVLKSEWHIRFLVYQLLSALTCLHGLGGYHGNIHPSNIMLTNSCWSWLCIKDKPKSRSSLCSREAEHKHPAVSARICCCMDGCSSHGLYADLKLSPSTDWHSQFHLWWKGELSNFEYLLVLNRLAGRRWGDHTFHTVMPWVIDFSTKPDENSDLGWRDLSKSKWRLAKGDEQLDFTYSTSEIPHHISDECLSELAVCSYKARRLPLSVLRLAVRSVYEPNEYPSNMLRLYQWTPDECIPEFYCDPQIFYSVHSGMTNLAVPSWAHSPEEFIKLHRDALESDRVSCQIHNWIDITFGYKMSGQAAVAAKNVMLPSSEPTKLRSVGRRQLFTRPHPGRLVSPMKKCYSTNISAVNECQSNGVERETPLLFENSYLQELENASAFSEHAGHLSPQYCYGPERLVRTTSSVDESETENIGKCISKSLEISKTYGFPSDINLSYLLEHIEEEVEGSMGFQELLLWKQRLLYSRNSSEDVAKDVFSIGCVLAELYLKRPLFNSTSLTMYIGSGVLPESILELPPHGKVLVEACIQKDWRRRPSAKSLLESPYFPRTVKSSYLFIAPIQLLASDGSRLQYAANFAKQGALKAMGAFAAEMCAPYCLPLVVNSQSDTEAECAYVLLKEFIKCLTPKAVKTLILPAIQKILQTTGYSHLKVSLLQGSFVQEIWNLIGKQAYLETIHPLVISNLYVSPHKSSAAVASVLLIGTSEELGVPITVNQTILPLIHCFGKGLCPDGIDVLVRIGGLLGDNFIVKQMLPLLKQVIHSCISVSYMNKPEPVHSWSGLSLIDCLNTLDGVVAFLQRELIVKELIEDRSCLHVTILMQTKLDMSVLQVAAMTLMAVCQRIGPELTALHVLPQLKELFDELAFSQETGNVSGSFGRNLRISKAKVDGEAQIESRMDLVLLLYPSFASLLGIEKLRQCCATWLLLEQFLLRFHNWKWEYSGESSRSGAENITGKRPAFTKSSTSEYNPAKLLLNGVGWSVPQSQGIKGGKNMIPQRLLDGIHQNSVESHVASNLLKREPWFWLPSPADSWEGPDFLGRVASLKDELPWKIRASIVHSIRAHHGALRSLAVTQDECLVFTAGIVPGFKGTVQKWELSKINCMSGYYGHEEVVNDICVLSSSGRVASCDGTIHVWNSRTGKVLSLFAEQSVDSTNISSSSSSKINVDHLNMLNSNTLSSGLLTSAFDGSLYTSMQYLESLEMLVVGTGNGSVRFIDVAHSRKLHLWRGEAVESTFPSLVSSICSCGSDKTRADGTLASPSWIATGLSSGNCRLFDLRSGNVIASWRAHDGYVTKLAAPEDHLLVSSSLDRTLRIWDLRRNWPPQPTIFKGHTDGISGFSVWGQDVISISKNKIGLSTLSTSAEEDGQQQILPQKLCAADHGMKNLSVLSSIDILPFSRLFVVGTEDGYLRICC >Manes.11G072350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10516577:10517627:1 gene:Manes.11G072350.v8.1 transcript:Manes.11G072350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFQSTHARLICTLSSRNVEAIDQSTCTGDMDLANAFFFLLFLWLLKKTTWLMFLFLLHSFSLAVNIMLYTRLPVWFLEGEYSTWRISICFIGNIILS >Manes.11G083300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12507275:12508949:-1 gene:Manes.11G083300.v8.1 transcript:Manes.11G083300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHGSAVSQLVVKHGEPTLVAPEMETDKGLYFLSNLDQNIAVIVRTIYCFKSDEEGNETAAEVIKNALKKVLVHYFPLAGRLTISSEGKLIIDCNGEGAVFVEAEANCAMEEIGDISKPDPDTLGKLVFDIPDAKNILQMPPLVAQVTKFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLPLSVPPFLDRSILKSRKPPKIENLHQEFAELEDKSNQYKDDEMIYSSFCFDSEMLERIKKAAMEDNILDKCTTFEGLSAFVWRARTKALNLLPDQQTKLLFAVDGRTKFNPPLPNGYFGNGIVLTNSISQAGELLEKPLSYAVGLVQDAINMVTDSYMRSAIDYFEVTRARPSLASTLLITTWSRLSFHTTDFGWGEPILSGPVALPEKEVILFLSHGKERKNINVLLGLPASAMKIFQELMQI >Manes.11G083300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12507275:12509190:-1 gene:Manes.11G083300.v8.1 transcript:Manes.11G083300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELEKVAAMENSHGSAVSQLVVKHGEPTLVAPEMETDKGLYFLSNLDQNIAVIVRTIYCFKSDEEGNETAAEVIKNALKKVLVHYFPLAGRLTISSEGKLIIDCNGEGAVFVEAEANCAMEEIGDISKPDPDTLGKLVFDIPDAKNILQMPPLVAQVTKFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLPLSVPPFLDRSILKSRKPPKIENLHQEFAELEDKSNQYKDDEMIYSSFCFDSEMLERIKKAAMEDNILDKCTTFEGLSAFVWRARTKALNLLPDQQTKLLFAVDGRTKFNPPLPNGYFGNGIVLTNSISQAGELLEKPLSYAVGLVQDAINMVTDSYMRSAIDYFEVTRARPSLASTLLITTWSRLSFHTTDFGWGEPILSGPVALPEKEVILFLSHGKERKNINVLLGLPASAMKIFQELMQI >Manes.09G092548.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28592793:28594354:1 gene:Manes.09G092548.v8.1 transcript:Manes.09G092548.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSSSASLLVSLLLLLLTSSSSAIGEQLIIVNNCNESIWPGMLGGAGHPTPKDGGFHLGSGEEVVVDLPQKWSGRLWGRQGCAFDKNGKGSCYTGDCSGLLHCQGIGGAPPATMVEMTLGSSTSPLHFYDVSLVDGFNLPVSMAPVGGGIGCGVASCEVDLNICCPSALEVKRDGKIVGCKSACLAMQSAKYCCTGDYSNPKTCKPTLFAHLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >Manes.04G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18378521:18382128:1 gene:Manes.04G061100.v8.1 transcript:Manes.04G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDEREEKGFHPYDKEDGKLLVEEAFKDTVVLPWTKQITLRAMVTSFILSIVFNFIVCKLNLTTGVIPSLNVAAGLLGFGILKFWTTLLGKIGLLRQPFTRQENTVIQTCVVASSGIAFSSGTASYMLGMSSTIAAQAEGGNTPSNVKNLSIGWMIGFLFVVSFVGLFSIVPLRKLMILNYKLTYPSGTATAYLINSFHTPKGAKLAKKQVGVLFKFFGFSFLWAFFQWFFTAADGCGFSSFPTFGLKAFDERFYFDFSSTYVGVGMICPYMVNISLLLGAIISWGIMWPAIEAKKGIWYSADLSASSLHGIQGYRVFIAIATMLGDGIYHVVSMLSRTLWDLARRNSKNIEASSITPAGDENTETISYDDQRRKEFFLKDQIPGWVALLGYICLAVISIITVPFIFNQLKWYHILVAYAIAPVLAFCNAYGCGLTDWSLASNYGKFAIIIFSAWVGHDGGIIAGLASCGVMMSIVSTASDLMQDFKTGYLTLSSAKSMFFSQVAGTAMGCLITPLVFWFFYSAYTIGDPEGTYPAPYGLIYRGIALLGVEGISSLPKHCLTLSISFFVAAIAINAIRDLLQKFETTYRIYRFIPSPMCMAIPFYLGGYFAIDMCIGSLILFLWERKNKQKATDFSPAVASGLICGDSLWGIPAAILSLTGVNPPICMKFLSAAANAKVDKLLAG >Manes.16G049421.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:10687224:10692573:-1 gene:Manes.16G049421.v8.1 transcript:Manes.16G049421.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLHQLLSDEGFVQTSFSKTRKQALKLRDESIKLPKYFCHDQQRLDSIKHKTEQASTRKGSSKFSSKRMSSDSGMTNFKSLSNGDGPAIDEAAVRAVISILGGYIGRYVKDLSFRKMIRDKCNSCLVKKIKDSDDGIFANMELGIEGIEKLVEDQGTKKELRMKSLRNSIQLLSIVASLNSEKSRNGSTCGIPNSHISACAQLYLSIVYKLEKNDRISAMHLLQVFSDSPFLARTHLLPDLWEHFFLPHLLHLKVWYHKELEKLSESANVDKEKRIKLLSKAYSDQIDMGTIQFSLYYREWLKVGGQAPSIPAVPLPYRPSHAPSRRKSSDSYSSHSSINRNLYQAVFGPMNEQRPVELTSQDRDLMDAWGPKEEKLCIEDGYNSCSYATNKMRTHRRSMSQNYVISTNELRAETQKSDYFSFLSCHSIVSQCLVNGNYIVRSNSIKSLENTHHPLSDLSRAISTICSSDSLNDCEIAIRVITKAWLDSHSNPVIEYVLSKEPVIEGILEVLFASDDDEILELAISILAEFVMRNETNRLIVLNSDPQLEIFMRLLKSSSLFLKAAILLYQLKPKAKQMISVEWVTVVLRVLEFGDQMQTLFTVRCIPQKAAMYFLEELLMGFSEDRNLENASHFVSLGGLSLLVRTFEKGDIDDKNIAAMLMSCCIQAEGSCRNYLAEHLNKSALLELIALGIQKRATGCALILLTELLCLTRRTQIIRFLTELNNGWSGLNTMHIFLVFLQRASPEEHPLVAAILLQLDLLGDPFKSSLYREDAVEAIIKALDCQMCNTKVQEQSARALLMLGGYFSHTGGAKAEEWLLQQAGLHERAVDLFFSNEIIDGNLREEEKEMEDWQTKVAIILLNTGGKRFLEALSNCIANATPSLAQASLFTVSWMNRVLHSVGDETFVAELPESSNHDRALGGKIHSSVSLKHHIKSSECISMLSTLDKELIDPIRSSSRQFEE >Manes.15G025900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2041111:2042856:1 gene:Manes.15G025900.v8.1 transcript:Manes.15G025900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAKYLPADLVSDILSRLPLKSLVRFRCVSNPWLHFITHPSFPYRLLYRHLDCDPLSPCPHNQSNLILSYNNRRETEGSESKLYSFGGGHQEDSFRVAVAIDCPLIRGKPFEIKTGCCHGMLCLSIEDNILVLWNPSIGDCRTIPLPEEIGVCGGVCGLGFDPSIDDYKVVSFCEKQVFIFSMKTSLWRNLGELPYSLFYEGVPANGCLYWAASKSHTFADRIICLNLSDETFREVSPPPFDPSISRPIWFQEEDEFVVVAELNLLLWGDSVCVFRQYEQTLWVMKEEKEENGGVRVIWTKMMTIPKISNQESRFRIYYHLHPKCFTKSGKLVVSVMRKWFVMYDGQRYHDLHIEGLGDGHYQKAIVYTESLISPNSIRGMNDID >Manes.15G025900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2041001:2043117:1 gene:Manes.15G025900.v8.1 transcript:Manes.15G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAKYLPADLVSDILSRLPLKSLVRFRETEGSESKLYSFGGGHQEDSFRVAVAIDCPLIRGKPFEIKTGCCHGMLCLSIEDNILVLWNPSIGDCRTIPLPEEIGVCGGVCGLGFDPSIDDYKVVSFCEKQVFIFSMKTSLWRNLGELPYSLFYEGVPANGCLYWAASKSHTFADRIICLNLSDETFREVSPPPFDPSISRPIWFQEEDEFVVVAELNLLLWGDSVCVFRQYEQTLWVMKEEKEENGGVRVIWTKMMTIPKISNQESRFRIYYHLHPKCFTKSGKLVVSVMRKWFVMYDGQRYHDLHIEGLGDGHYQKAIVYTESLISPNSIRGMNDID >Manes.18G043901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3911975:3914239:1 gene:Manes.18G043901.v8.1 transcript:Manes.18G043901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTNFSGTGVGFGFGVGCGFGVGWGFGGMPINILGLGAGGGCGIGLGLGWGFGTGFGSQYRSSRVTFHGIEFGRKEESDGGESKALLKSSWEGRASQ >Manes.01G083500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28630129:28631705:-1 gene:Manes.01G083500.v8.1 transcript:Manes.01G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDCSLVDLLLFYTQERVIFNRMVCSMGKSSKQVKKVIAFWLVLEEIGYHDLIRAINCLDNTAIEALHHEALQCLECIQPNFVTSVQAQELLGLFDEPMRRRFLYCNRDFIYRRYEHVMETVCDKIFGENKAVEAEESGFSQISEPPGKCFYEQGLAASQSSLHPDAIEFNPAQTPEESRTMFLTFSMGHPLSREEIIRFFTKKWGEVVKDVYIERTEAGQDPQFGRMVLKNTWVIARILNGEAKAKFLVNGKHLWARIYVPRRRGIVEKPPRPSTKH >Manes.09G070519.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15003654:15006113:-1 gene:Manes.09G070519.v8.1 transcript:Manes.09G070519.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTSALPIPPSAPSLPSDPSSNYHHHKASRCTVSPPPSPTLAASSVRSRLSRLCVEGQLHLARQLFDAIPRPTTIIWNTIIIGFICNKMPLEALSLYSQLKITHTKCDSYTYSSTLKACAVTGNLTIGKTIHCHFIRCLSYPSRIVYNSLLNMYSTCLSSRCPLNDFDFSKYDLVCNVFKTMPERDVIGWNTVVSWYVKTERYTEAIRQFKIMMKTGINPSLVSFINVFPALSSFGHCKYANALYGLLLKLGSEYVNDLFVVSSAISVYAELCCLDLARKVFDHCLEKNTEVCNTMIGGYVQNDYFFEGIDLFLQAMETEQIVPDDVTFLLVLTAVSQLQLLDLGQLLHAFVIKKLSVLPVRILNALIAMYSRCNSVHTSFRVFLKMPERDVVSWNTMISGFIQNGLYDEGLMLVHEMQEEEYTVDSVTVTCLLSAASNLRNQEIGKQTHAYLVRQGIKFDGMDSYLIEMYAKTGLIRTAQQVFEKNYTRNRDQATWNAMIAGYTQNGLVEEAFVTFSQMLEQNLRPNSVTLASSLPACNPLGSIDSGKQLHGVAIRFLLDHNIFVRTALVDMYSKSGAINYAESIFTKSSEKNSVMYTSMILGYGQNGMVERALSLFHSMQKSGIETDAITFVAVLSACSYAGLVDEGLQIFESMKRDFKIQPSIQHHCCIADMLGRVGRVIEAYEFVKQLGEEGNVMQIWGSLLGACRLHGLIELGEVVANELLEMGSVDSTAGYKVLLSNMYAEEANWESVDKLRKEMREKGLRKEAGCSWIHIAGHVFHFMSKDKNHPHCDEIYEVLERLVLEMKDTDHSPFPGCV >Manes.10G125312.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28768352:28769576:1 gene:Manes.10G125312.v8.1 transcript:Manes.10G125312.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHRWNESLIAQLFNDKDRSCILNIPLSLSSRPDAWCWKFESKGHYSVKSAYKFLVAGRVPVDSMCPLCHEAPETVLHILVQCPFAHSCWLSSPLNWPAFSTASFWEWFSLAFLTTSTKNASLILMICWALWHNRNNVIWKAQGRTASGVFFMALNFLQQWRGACSDSTSCTNGLIKVNIDASLNLQRSSLGFGCVVRDANGRFMAVKAGCFCSQMEVKYDLSHFGLLVQDCKLLLSSYEEARCGFIHKPANDVAHVLATSAHSESSQGVWVHVLPLHIISLITLS >Manes.07G061600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8892027:8897228:-1 gene:Manes.07G061600.v8.1 transcript:Manes.07G061600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSDANNVRYVANELATDFIVTVGNVKFYLHKFPLLAKSARLQKLAATANEQNIDEVDIFDIPGGSASFEICAKFCYGMVVTLSAYNVIAVRCAAEYLGMHENIEKGNLIYKIDVFLGSSIFRSWKDSIIALQTTKPLMPLCEELEIMNNCVDGVATKACIDVSKVDWSYGYNRKKRLEENGNDPDVNAIRNHLVPKDWWVEDLCDLDIDLYKHVITAIKTKGIVPSEVIGEALKAYAYRRLQGLSKGVIQYGEAIKYKSTVDTIVCLLPADRGGLSCSFLLKLLKAAIYVGLGDMSKGQLIRKIGQQLEEASVHDLLIPSAEGVSMMYDVDTIKKIVEEFLMRDQNAEVDSLEEGQQVQEITGPGILSNASKLIVAKLVDGYLAEIAKDFNLPLLKFVELAEMVSGIFRPAHDGLYRAIDMFLREHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAATSGSSTPDLPKELRYLSHGSSRSASAVTNPDEDWDAVVTVEELKALKAEIASLRLSNAKRNGNGKNGVDKATSNRMKGLVKSKMMFTKLWSSKGEKSENSGSDSSDSLGSTIPEEAKSTPSRNRRHSVS >Manes.07G061600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8892027:8897228:-1 gene:Manes.07G061600.v8.1 transcript:Manes.07G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSDANNVRYVANELATDFIVTVGNVKFYLHKFPLLAKSARLQKLAATANEQNIDEVDIFDIPGGSASFEICAKFCYGMVVTLSAYNVIAVRCAAEYLGMHENIEKGNLIYKIDVFLGSSIFRSWKDSIIALQTTKPLMPLCEELEIMNNCVDGVATKACIDVSKVDWSYGYNRKKRLEENGNDPDVNAIRNHLVPKDWWVEDLCDLDIDLYKHVITAIKTKGIVPSEVIGEALKAYAYRRLQGLSKGVIQYGEAIKYKSTVDTIVCLLPADRGGLSCSFLLKLLKAAIYVGLGDMSKGQLIRKIGQQLEEASVHDLLIPSAEGVSMMYDVDTIKKIVEEFLMRDQNAEVDSLEEGQQVQEITGPGILSNASKLIVAKLVDGYLAEIAKDFNLPLLKFVELAEMVSGIFRPAHDGLYRAIDMFLREHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAATSGSSTPDLPKELRYLSHGSSRSASAVTNPDEDWDAVVTVEELKALKAEIASLRLSNAKRNGNGKNGVDKATSNRMKGLVKSKMMFTKLWSSKGEKSENSGSDSSDSLGSTIPEEAKSTPSRNRRHSVS >Manes.07G061600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8892027:8897228:-1 gene:Manes.07G061600.v8.1 transcript:Manes.07G061600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSDANNVRYVANELATDFIVTVGNVKFYLHKFPLLAKSARLQKLAATANEQNIDEVDIFDIPGGSASFEICAKFCYGMVVTLSAYNVIAVRCAAEYLGMHENIEKGNLIYKIDVFLGSSIFRSWKDSIIALQTTKPLMPLCEELEIMNNCVDGVATKACIDVSKVDWSYGYNRKKRLEENGNDPDVNAIRNHLVPKDWWVEDLCDLDIDLYKHVITAIKTKGIVPSEVIGEALKAYAYRRLQGLSKGVIQYGEAIKYKSTVDTIVCLLPADRGGLSCSFLLKLLKAAIYVGLGDMSKGQLIRKIGQQLEEASVHDLLIPSAEGVSMMYDVDTIKKIVEEFLMRDQNAEVDSLEEGQQVQEITGPGILSNASKLIVAKLVDGYLAEIAKDFNLPLLKFVELAEMVSGIFRPAHDGLYRAIDMFLREHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAATSGSSTPDLPKELRYLSHGSSRSASAVTNPDEDWDAVVTVEELKALKAEIASLRLSNAKRNGNGKNGVDKATSNRMKGLVKSKMMFTKLWSSKGEKSENSGSDSSDSLGSTIPEEAKSTPSRNRRHSVS >Manes.07G061600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8891862:8897228:-1 gene:Manes.07G061600.v8.1 transcript:Manes.07G061600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSDANNVRYVANELATDFIVTVGNVKFYLHKFPLLAKSARLQKLAATANEQNIDEVDIFDIPGGSASFEICAKFCYGMVVTLSAYNVIAVRCAAEYLGMHENIEKGNLIYKIDVFLGSSIFRSWKDSIIALQTTKPLMPLCEELEIMNNCVDGVATKACIDVSKVDWSYGYNRKKRLEENGNDPDVNAIRNHLVPKDWWVEDLCDLDIDLYKHVITAIKTKGIVPSEVIGEALKAYAYRRLQGLSKGVIQYGEAIKYKSTVDTIVCLLPADRGGLSCSFLLKLLKAAIYVGLGDMSKGQLIRKIGQQLEEASVHDLLIPSAEGVSMMYDVDTIKKIVEEFLMRDQNAEVDSLEEGQQVQEITGPGILSNASKLIVAKLVDGYLAEIAKDFNLPLLKFVELAEMVSGIFRPAHDGLYRAIDMFLREHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAATSGSSTPDLPKELRYLSHGSSRSASAVTNPDEDWDAVVTVEELKALKAEIASLRLSNAKRNGNGKNGVDKATSNRMKGLVKSKMMFTKLWSSKGEKSENSGSDSSDSLGSTIPEEAKSTPSRNRRHSVS >Manes.07G061600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8892027:8897228:-1 gene:Manes.07G061600.v8.1 transcript:Manes.07G061600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSDANNVRYVANELATDFIVTVGNVKFYLHKFPLLAKSARLQKLAATANEQNIDEVDIFDIPGGSASFEICAKFCYGMVVTLSAYNVIAVRCAAEYLGMHENIEKGNLIYKIDVFLGSSIFRSWKDSIIALQTTKPLMPLCEELEIMNNCVDGVATKACIDVSKVDWSYGYNRKKRLEENGNDPDVNAIRNHLVPKDWWVEDLCDLDIDLYKHVITAIKTKGIVPSEVIGEALKAYAYRRLQGLSKGVIQYGEAIKYKSTVDTIVCLLPADRGGLSCSFLLKLLKAAIYVGLGDMSKGQLIRKIGQQLEEASVHDLLIPSAEGVSMMYDVDTIKKIVEEFLMRDQNAEVDSLEEGQQVQEITGPGILSNASKLIVAKLVDGYLAEIAKDFNLPLLKFVELAEMVSGIFRPAHDGLYRAIDMFLREHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAATSGSSTPDLPKELRYLSHGSSRSASAVTNPDEDWDAVVTVEELKALKAEIASLRLSNAKRNGNGKNGVDKATSNRMKGLVKSKMMFTKLWSSKGEKSENSGSDSSDSLGSTIPEEAKSTPSRNRRHSVS >Manes.07G061600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8892027:8897228:-1 gene:Manes.07G061600.v8.1 transcript:Manes.07G061600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYEILLMLTIEKSTIQFPLLAKSARLQKLAATANEQNIDEVDIFDIPGGSASFEICAKFCYGMVVTLSAYNVIAVRCAAEYLGMHENIEKGNLIYKIDVFLGSSIFRSWKDSIIALQTTKPLMPLCEELEIMNNCVDGVATKACIDVSKVDWSYGYNRKKRLEENGNDPDVNAIRNHLVPKDWWVEDLCDLDIDLYKHVITAIKTKGIVPSEVIGEALKAYAYRRLQGLSKGVIQYGEAIKYKSTVDTIVCLLPADRGGLSCSFLLKLLKAAIYVGLGDMSKGQLIRKIGQQLEEASVHDLLIPSAEGVSMMYDVDTIKKIVEEFLMRDQNAEVDSLEEGQQVQEITGPGILSNASKLIVAKLVDGYLAEIAKDFNLPLLKFVELAEMVSGIFRPAHDGLYRAIDMFLREHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAATSGSSTPDLPKELRYLSHGSSRSASAVTNPDEDWDAVVTVEELKALKAEIASLRLSNAKRNGNGKNGVDKATSNRMKGLVKSKMMFTKLWSSKGEKSENSGSDSSDSLGSTIPEEAKSTPSRNRRHSVS >Manes.07G061600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8891863:8897230:-1 gene:Manes.07G061600.v8.1 transcript:Manes.07G061600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSDANNVRYVANELATDFIVTVGNVKFYLHKFPLLAKSARLQKLAATANEQNIDEVDIFDIPGGSASFEICAKFCYGMVVTLSAYNVIAVRCAAEYLGMHENIEKGNLIYKIDVFLGSSIFRSWKDSIIALQTTKPLMPLCEELEIMNNCVDGVATKACIDVSKVDWSYGYNRKKRLEENGNDPDVNAIRNHLVPKDWWVEDLCDLDIDLYKHVITAIKTKGIVPSEVIGEALKAYAYRRLQGLSKGVIQYGEAIKYKSTVDTIVCLLPADRGGLSCSFLLKLLKAAIYVGLGDMSKGQLIRKIGQQLEEASVHDLLIPSAEGVSMMYDVDTIKKIVEEFLMRDQNAEVDSLEEGQQVQEITGPGILSNASKLIVAKLVDGYLAEIAKDFNLPLLKFVELAEMVSGIFRPAHDGLYRAIDMFLREHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRVAATSGSSTPDLPKELRYLSHGSSRSASAVTNPDEDWDAVVTVEELKALKAEIASLRLSNAKRNGNGKNGVDKATSNRMKGLVKSKMMFTKLWSSKGEKSENSGSDSSDSLGSTIPEEAKSTPSRNRRHSVS >Manes.18G053701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4537702:4538055:-1 gene:Manes.18G053701.v8.1 transcript:Manes.18G053701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPPSRLSTQSNLLIGALPNSSVASTVVPGGDLARVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVDEGTEEGEFPEAREDLAALEKDYEEVGAESAEGDDGEEGDE >Manes.09G178400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36834095:36837915:-1 gene:Manes.09G178400.v8.1 transcript:Manes.09G178400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSNSKRKTPQDLHFKSGSIVEVSSDDEGFRGSWYVGTIIRRASSKNGNKYEVQYEKLFDDDAGKKPLREILDFVQLRPVPPREKKRKFKFGERVDAYHNDGWWEGSITEECEDGKFAVFFRGTREQIVFGEEDLRLHREWENGEWQPPLEGVQEEKEEEEVKEREKKDEAKDEVEAVIRKVVNSIEAMTEEKCSEEMLVEEAPRMKEVEPIETVTEDKFSKGMLVEVSSDEEGFEGAWFAATIIEAVGKDKYLIEYQSLRKEDDSDFLREEIDTQNIRPYPPETIVVDRFKLLDEVDASYNDGWWVGVIAKVLADSKYIVYFRDSEEEMMFRHSELRLHQDWIGGEWILPSLSVKL >Manes.05G198300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32273430:32274513:1 gene:Manes.05G198300.v8.1 transcript:Manes.05G198300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVEQLLLLLLVPVFLLVSVSARGGLLGGLQPVEDVKSNQQVQELGRFSITEFNKQLLNQANGGEELIFSEVVEAKVQVVRGIMYYLKIEATTKGSQETGIYDSKVATQPWLHQRKLIRFQPSMDLRIRKSGK >Manes.01G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5712761:5716369:1 gene:Manes.01G027200.v8.1 transcript:Manes.01G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENQNRSSSSSRNTSSKAMEMLCLEINRYPRDLLQRFISSDKQQDQISPSEGEDAEEIELNLGLSLGGRFGVDKSAKKLTRSSSIAGSIPLVREHDAFNTPPASYSALMRTSSLPTETEEWRKRKEMQSLRRMEAKRRRSEKQKNLNANLRGDLNLEEEKRGLNASRGNSVPTWTRQGVIGAMNLNRGPTLQGLMGPQQGSQGSVESQGGSSSGMSEMDSNPVQGSSSGSEARNHTSNQSLQDQSNQEAVGSAETKTSKNTCSRTSRQEMENPSKKLDSAENEGRKSATNVMEDMPCVFTIGGGPNGRRVEGILYKYGKGEEVRIMCVCHGSFMSPAEFVKHAGGGDVDHPLRQIVVNTSGCSLF >Manes.09G017600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3809308:3811861:1 gene:Manes.09G017600.v8.1 transcript:Manes.09G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTDAILVFLASLVFLLHSSFPLHFAEAQGNETPYTYIEATGRGPSRRGQLNPELQACGNGRMQSPIDIRLQDVKLAPALGDLPLQYQPAAASIKSLGRVIQVSWKGNAGNIIVNGDRYDLKQCHWHIPTEHAIEGIRYDLELHIVHQNSDGAFAVVAILFKLGRPDQFLSRLLPFIKSVTKEEKDLGIINPRDIGFWSRNYFRYNGSLTAPPCSEGVVWTIFQEVIMVSEEQVNALRDAVDNEFKMNARPIQALNGRSVFLYQAI >Manes.05G069500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5741088:5742603:1 gene:Manes.05G069500.v8.1 transcript:Manes.05G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHFLFVCVIVLSVIGVCQGGALRKKFYKTSCPQAEDIVRRITWSHVLSNPNLPAKLLRMHFHDCFVRGCDGSILLNSTATSTAEKDAIPNLTLSGFDTIDAIKSEIENACPGVVSCADILALAARDSVSFQFQKPMWEVLTGRRDGNVSLASDVFSNLPSPFFDFSTLLKNFKNKGLNVHDLVVLSGGHTIGVGHCNLFSNRLYNFTGKGDQDPSLNSTYAAFLKTKCQSLSDSTTTVEMDPDSSLNFDGNYFVILKENKGLFQSDAALLTNKIARNIAGELVDSGAFFVEFAQSMKRLGAVQVLTGAEGEIRNKCSVVNA >Manes.11G129708.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29317422:29318001:-1 gene:Manes.11G129708.v8.1 transcript:Manes.11G129708.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAPVIFFAANGFSPLSKKLTDEEDALEENRNRLLSPSEQLLKDLISSAEGRVSLQSSRNCLKTLLVASLFLSISNVEFGVRILEG >Manes.18G062950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5551409:5553233:-1 gene:Manes.18G062950.v8.1 transcript:Manes.18G062950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLNIPPQLNHGLLLKLLLFLFMLPFMAAPKGTLASSPPESTNSFDQAPQFNPSLAILMVIIVSAFFFMGFFSVYIRRCSERRFHRGNFNPTGAPQIFDGGRRSRRGQQGLDAAVIETFPTFLYAAVKGHKIGKVSLECAVCLNEFEDDQTLRLIPKCSHVFHPDCIDAWLASHTTCPVCRANLVPKPGESSFNSAQLFENDGDTVEPNQHSSICDESQNHILIHVSDANDRNGSQSPELTLSNTDTTQNRPPRSWSTGWRLDKLFPRSHSTGHSLVQPGQNLERFTLRLPEEVRSQLMNTHLNRTKSCVAFTRATSSRKGYRSRSGGNWRSRNYYYYERFDREGRPELDCWGLTVTPFMSRSGSVPASKHGVGGSEEVNATPPKSLLKSVKSPFDNLFEKSSINNVGERSSDRLRDDVSDSQV >Manes.05G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4890269:4894803:1 gene:Manes.05G058800.v8.1 transcript:Manes.05G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKARDLNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEEMVDVNLKSNTNASQSQPQSGGCAC >Manes.13G062600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7281697:7287162:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEASAEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7281697:7287213:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7282295:7286023:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEASAEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7282304:7287162:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7281697:7287162:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7281697:7287162:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7282295:7286023:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7281697:7287162:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEASAEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7281697:7287213:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEASAEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.13G062600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7282304:7287162:-1 gene:Manes.13G062600.v8.1 transcript:Manes.13G062600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSESTKVVYNRIQQLEPENVSKIIGYLLLQDHGEREMIRLAFSPDNLIYSLITKAKSDLGLYKPPVPTPISPPQVNSSPASDIPLQFAPFSPASSLPASSPVASHRAARTYWDAQLTVDQQQVHNLEFVAPGFSDSVAEDCRLQNQMQYLTLDDQLELINYSDISSNHVYPEPAMGPRTNRRSPSLPDFPVKVCHYFNKGFCKHGNSCRYFHGHMMPESLSQIFSPKSNEISNEEHVISPGSLEKLEMEITELLRSRRGTPVSIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRVIDRPHGQHSVILSEDVPKYMEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSSYFSKFGPVQDVRIPCQQKRMFGFVTFVFVETVKQILAKGNPHFVCGARVLVKPYREKSKLVDRKYAEKIQHPMYYSPHFVDRDFELHSMTRVDNLRMLRKQLMEEQEHALELERIRLSEMKLSSKSLNHHSHFRYSMDELKFSEASAEHAEFPSADRFNYFPASEDKLKHINTIYSDQDSQGLNLPESPFASMGSGILHREDTVQT >Manes.04G078834.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28076602:28077236:1 gene:Manes.04G078834.v8.1 transcript:Manes.04G078834.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYVDVCGWFFNLFFFFSVRFVMSLLHAGFDFIDQQLCSSV >Manes.06G164300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29091549:29094160:-1 gene:Manes.06G164300.v8.1 transcript:Manes.06G164300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAASRFSSFCSTQPSWRPNLAASTFVSRNPIIGGGQSLLGGLGNNFSGLLDSSHRLTCSNFMSQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIIASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRKKKHVKILTLAEHIA >Manes.05G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1774350:1776882:-1 gene:Manes.05G019200.v8.1 transcript:Manes.05G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLSLLKSPVLPRTPPFIHSKLPSLPKQPRLDACKKDFNSRLNLFSQTTHHLPSSSLRLTAFTFPFLLDAKDALAAGGEFGILEGRTFALIHPIVMGFLFFYTLWAGYLGWQWRRVRTIQNEINELKKQVKPTPVTPEGTPVEAAPSPVEIKIQQLTEERKELIKGSYKDRHFNAGSILLGFGVFEAIGGGVNTWFRTGKLFPGPHLFAGAGITVLWAAAAALVPAMQKGNETARNLHIALNALNVLLFVWQIPTGIDIVFKVFEFTKWP >Manes.05G147800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24991106:24997824:1 gene:Manes.05G147800.v8.1 transcript:Manes.05G147800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNLADKGEALPSQAPSISGGGGGAAKRFKLPSKELFHGCNGVDHVSVPRKLRSAMKKRNLESLSPPFPDSKKLNHSTGGVESPKRVGLKKLKQNLKQGNPNWSLKQNAGGPITKDEEEVVETLYALAGMFPNIEHAGNNKLDTSPSALPEAVDRHPPKLEDSVAIEEDLNEICESRSDEAVNPASDIEKSTGETAKVYSMIGPSIQEPCNLSSSEKHHGENSFVAQVNLHKLVKHEEQKTPCNLFNPCFLPGPRQDTGELKQPAKPETSLIDGKTELALAPTTATGNQLDQYHTIGASKNNSPVLWPGLSSSKSHGVCHGPLSQSCAAKVPAWLGAQPGSFQNVSTGKVFKISTDRGSWKRCAAHVYIGHLIRALQIPRSKESLQRPLNQLRPHEILKQGVLRTINDFNGIRNDLNGITSIGTVVNAPVKNPNDGKNDIFQHLRPHQDRSQSALASQKQGFNFLSLSAGGGGMEPNNSFNGPGNGLEPFAQLQVPYHAQYPTLMPFSMSQTRYTPAYHDSPSTVAQQAQLQLIPHLTGPYCVPHASSKALTKQPQQQQQQLWAAQLGVQYGNTSAAMTQFPSWQNERQESPRLMPYIPPSLPSTSTLEVLGPKYPHITQQQQFTAVTLPQARMKRQDHHIPPVYEETGVGFRAGGGTLPLRLLCSEQL >Manes.05G147800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24991476:24997824:1 gene:Manes.05G147800.v8.1 transcript:Manes.05G147800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNLADKGEALPSQAPSISGGGGGAAKRFKLPSKELFHGCNGVDHVSVPRKLRSAMKKRNLESLSPPFPDSKKLNHSTGGVESPKRVGLKKLKQNLKQGNPNWSLKQNAGGPITKDEEEVVETLYALAGMFPNIEHAGNNKLDTSPSALPEAVDRHPPKLEDSVAIEEDLNEICESRSDEAVNPASDIEKSTGETAKVYSMIGPSIQEPCNLSSSEKHHGENSFVAQVNLHKLVKHEEQKTPCNLFNPCFLPGPRQDTGELKQPAKPETSLIDGKTELALAPTTATGNQLDQYHTIGASKNNSPVLWPGLSSSKSHGVCHGPLSQSCAAKVPAWLGAQPGSFQNVSTGKVFKISTDRGSWKRCAAHVYIGHLIRALQIPRSKESLQRPLNQLRPHEILKQGVLRTINDFNGIRNDLNGITSIGTVVNAPVKNPNDGKNDIFQHLRPHQDRSQSALASQKQGFNFLSLSAGGGGMEPNNSFNGPGNGLEPFAQLQVPYHAQYPTLMPFSMSQTRYTPAYHDSPSTVAQQAQLQLIPHLTGPYCVPHASSKALTKQPQQQQQQLWAAQLGVQYGNTSAAMTQFPSWQNERQESPRLMPYIPPSLPSTSTLEVLGPKYPHITQQQQFTAVTLPQARMKRQDHHIPPVYEETGVGFRAGGGTLPLRLLCSEQL >Manes.05G147800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24991043:24997824:1 gene:Manes.05G147800.v8.1 transcript:Manes.05G147800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNLESLSPPFPDSKKLNHSTGGVESPKRVGLKKLKQNLKQGNPNWSLKQNAGGPITKDEEEVVETLYALAGMFPNIEHAGNNKLDTSPSALPEAVDRHPPKLEDSVAIEEDLNEICESRSDEAVNPASDIEKSTGETAKVYSMIGPSIQEPCNLSSSEKHHGENSFVAQVNLHKLVKHEEQKTPCNLFNPCFLPGPRQDTGELKQPAKPETSLIDGKTELALAPTTATGNQLDQYHTIGASKNNSPVLWPGLSSSKSHGVCHGPLSQSCAAKVPAWLGAQPGSFQNVSTGKVFKISTDRGSWKRCAAHVYIGHLIRALQIPRSKESLQRPLNQLRPHEILKQGVLRTINDFNGIRNDLNGITSIGTVVNAPVKNPNDGKNDIFQHLRPHQDRSQSALASQKQGFNFLSLSAGGGGMEPNNSFNGPGNGLEPFAQLQVPYHAQYPTLMPFSMSQTRYTPAYHDSPSTVAQQAQLQLIPHLTGPYCVPHASSKALTKQPQQQQQQLWAAQLGVQYGNTSAAMTQFPSWQNERQESPRLMPYIPPSLPSTSTLEVLGPKYPHITQQQQFTAVTLPQARMKRQDHHIPPVYEETGVGFRAGGGTLPLRLLCSEQL >Manes.05G147800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24991191:24998001:1 gene:Manes.05G147800.v8.1 transcript:Manes.05G147800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNLADKGEALPSQAPSISGGGGGAAKRFKLPSKELFHGCNGVDHVSVPRKLRSAMKKRNLESLSPPFPDSKKLNHSTGGVESPKRVGLKKLKQNLKQGNPNWSLKQNAGGPITKDEEEVVETLYALAGMFPNIEHAGNNKLDTSPSALPEAVDRHPPKLEDSVAIEEDLNEICESRSDEAVNPASDIEKSTGETAKVYSMIGPSIQEPCNLSSSEKHHGENSFVAQVNLHKLVKHEEQKTPCNLFNPCFLPGPRQDTGELKQPAKPETSLIDGKTELALAPTTATGNQLDQYHTIGASKNNSPVLWPGLSSSKSHGVCHGPLSQSCAAKVPAWLGAQPGSFQNVSTGKVFKISTDRGSWKRCAAHVYIGHLIRALQIPRSKESLQRPLNQLRPHEILKQGVLRTINDFNGIRNDLNGITSIGTVVNAPVKNPNDGKNDIFQHLRPHQDRSQSALASQKQGFNFLSLSAGGGGMEPNNSFNGPGNGLEPFAQLQVPYHAQYPTLMPFSMSQTRYTPAYHDSPSTVAQQAQLQLIPHLTGPYCVPHASSKALTKQPQQQQQQLWAAQLGVQYGNTSAAMTQFPSWQNERQESPRLMPYIPPSLPSTSTLEVLGPKYPHITQQQQFTAVTLPQARMKRQDHHIPPVYEETGVGFRAGGGTLPLRLLCSEQL >Manes.12G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2133123:2134216:1 gene:Manes.12G025100.v8.1 transcript:Manes.12G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIFKWVQRSGLASLKMGSSSLILMAVIACFSICNLVLYGLATESPKYEVVHLESDYEIRVYGEVPWISALVHGTSFDKSTREGFHRIYQYIHGANLNSSQFPMTSPVLTSVIRSSSETVYYVKLFLSKGNPPQPSPELNLQLEKWSAQCMAVRKFSGFAEDDNVKKEMEALVASLIARPTANKALDANVSYTIAQYNSSRHLSGRLNEVWIDVSGFNVEGCLPQHR >Manes.02G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9302701:9306650:-1 gene:Manes.02G122300.v8.1 transcript:Manes.02G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITQWIRLLVLVACLQLFPAPVKCMVRHYKFNVVMKNTSRLCSSKPIVTVNGKFPGPTLVAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNFVYNFTITGQRGTLWWHAHILWLRATVHGAIVILPKRGVPYPFPTPHKEEIIILGEWWKSDVEAVINEAMKSGLAPNVSDAHIINGHPGPVPSCPSQGGFTLPVQSSKTYMLRIINAALNEELFFKIAGHQLTVVEVDAAYVKPFKTDTILIAPGQTTNVLLTADRSSGKYLVAASPFMDAPIAVDNVTAIATLHYSGTLSNAATTLTVPPPQNATPIATNFSNALRSLNSKKYPAKVPLKIDHSLFFTVGLGVNPCSTCVNGSRVVADINNVTFVMPKISLLQAHFFNISGVFTEDFPGNPPIPYNYTGTQPTNFQTMNGTKVYRLAYKSTVQLVLQDTGMIAPENHPVHLHGFNFFQVGRGIGNFNPKKDPKNFNLVDPVERNTIGVPSGGWTAIRFTADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESLLPPPPDLPKC >Manes.16G131200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33201039:33205011:-1 gene:Manes.16G131200.v8.1 transcript:Manes.16G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDEENMKASCCEEALEENEPRRGGFCDFLCTPIYWFKMLAKETHWSFVFGVLAVYGISQGLGGAFSRVGTEYYMKDVQKVQPSESQIYQGIISIPWLVKPLWGILTDVLPVLGYRRRPYFILAGLLGVVSMLLLSLHENLHLVFALLSLTAGSAGVAIADVTIDACVAQNSNIHPLLAPDMQSLCALSSSIGGLVGFSISGIFVHLIGPKGVFGLLTIPAGLVLSVGLLLDEPLMANFSYREVNQKFVDAGKAMWTTLKFPDVWRPCLYMYLSFALSVNIHEGMFYWYTDSKGGPSFSQETIGFIFSVGSVGSLLGAILYQNVLKDYPFKDLLFWSQLLFGLSGILDLIMVLRLNLKFGIPDYVFIVIDESVSRMIGNLKWMPLLVLSSKLCPRGIEGTFFALLMSIDNVGLLSSSWGGGLLLHLLDVTRTKFDNLWLAIILRSILRVSPLCLIFLVPRGDPNASVLPSEILGTEERTESAEDEKNIELVSLVNSVDGK >Manes.S055016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1897860:1898672:1 gene:Manes.S055016.v8.1 transcript:Manes.S055016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G149500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31524081:31527878:-1 gene:Manes.10G149500.v8.1 transcript:Manes.10G149500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMVFKHSLPLAMLVFLIGLLPLNEPAEVDDNCIPDNFNSSYFGDKFIFGTATSAYQIEGGTDQIETGRGLSVWDTFTHDTPERIKDHTNGDIAVDFYHRYGEDIKNVKKMGFKAFRMSISWPRVIPTGRISKGKNQKGIAFYHQVLDKIIQEGLEPFVTIFHWDTPQFLETEYRGFLSPNIVDDYRDYVRLLFDEFGKKVKYWMTFNEPWALSGFAYDKGVFAPGRCSSWINSQCLDGNSATEPYIVAHHLLLAHAAAVELHRSQEYKSKLKEDSKIGITLFTFWFEPLSNKRVDIEASETALDFMFGLWMEPLTYGRYPRRVKNLVGDKLHSFTERQSQLLKKSYDFIGLQYYTAYYAKPHFQVEQEFSRYETDSHVEVTALDYKDKPIGKRAYSPWFYIYPKGIRHLLKYTKERYSDPLIYITENGIDTNDIEGQPVKEAVKDTIRIDYYRNHTWNVLRAIKDDEVNVQGYFAWSYIDNFEWNIGYTSRFGLYYVDFKDPKLPRTAKDSAIWFCEFLKNKKSELCKLRPDDADVPAPAPALAPPPPPLRPIHAPPPPPPIHAPPPATPPTQPGLQMWTQSFPSESDITSGRSRKFGKYYIF >Manes.13G116700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32318049:32320614:1 gene:Manes.13G116700.v8.1 transcript:Manes.13G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLLYLFLFILFFLALKVFQSRIRRQNLPPSPPAIPIIGHLNLLKPPMHRTFHSLAEKYGPIIFLRFSCRPVVIVSSSSAAEECFTKNDIVFANRPKLLTGKHIAYNYTTLLHAPYGDHWRNLRRIGSIEIFSTHRLNVLQSIRKDEIKRLLTKLSYQSLRDFAKVELKSVFNELTFNIMMRMIAGKRYYGDDVSNEKEARKFREMMKEIITYSGVSNPGDFMPILNWISERKVIMLAKKVDKFLQGLIDEHRNNKENLERKHTMIDHLLALQESQPDYYTDEIIKGLIQTMLFAGTDTSAVTLEWAMSNLLNQPSILRKARDEIETQVGQECLLDESHLPKLPYLQNIVSETLRLYPAAPLLVPHMSSDDCTVGGYDIPRGTLLLVNAWAIHRDPTLWDDPTSFRPERYGGGEEDVHYKLMPFGLGRRSCPGSGLAQRVVGLTLGSLVQCFEWERVSDEEIDMREGRGITMPKAEPLEAMCKVRPFAEKILPLAQPI >Manes.03G141900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27027403:27033319:-1 gene:Manes.03G141900.v8.1 transcript:Manes.03G141900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTSRPKIPPRSSPSFSSASVLSAGMTTATPSLLIRRCLSFLIFFLSECCFGSLVEFPINRHNFFIFPRQELAAGGPSNEFLCQTTSMDFLARYQFDFNTCIHEGVSYLSRGQEEEALRRLNLRYENNGLDNLNEVRDVPVASVTDVLFCERMKNRLHEWRDWLLRNGNGGSQFQWASNHSTQQVQTIFYKLRPALCLNGFTSHQLKLIQLVTRKHFKDLSYVRFGGETSCSQQLVVYTDSKNDRDLLMKEVKDNYLKEAEMKIRAAIGFRHVIDLLSSAQKLIVGHNCFLDIAHIYSKFLGPLPLTAEEFVSSINTYFPHIVDTKVLLNSNNVRLPRMKKSNTSLSSAFSLLCPQIAVNSNKNSDLAFRPCVNVEVQVDDTRSSNWSSGVKHEAGYDAFMTGCVFAQACKNIGIDFKSHSSSENLTHNDKLQKHINLLYLSWISGDIIDLSSGNMIAEPSGSNNFRIRRPKVLFENIVLIWRFPPRLNASKLRECISKVFGPNSVTSVYLVDETAIFLQFSKAELVSEFLVLKESLERSNDAISVLHPLSELLQGGNTCAAGYETYKEICSSPISKVLFAEQADAIGIRWKTKLVGSEPEAQSQEPESFSKENAATTAPFLSKKIEVGNKQRVIDDLLSGRSRCDEVIDSFLTAEVKQIGATN >Manes.03G141900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27027403:27033319:-1 gene:Manes.03G141900.v8.1 transcript:Manes.03G141900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRWPLRAFSRMLARAYTSYSSSSTFPLKHVTKSNFEYALSELKSHVGAADFVAIDLEMTGVTSAPWRESFEFDRFDVRYLKAKDSAEKFAIVQFGVCPFRWDDHRHSFIAHPHNFFIFPRQELAAGGPSNEFLCQTTSMDFLARYQFDFNTCIHEGVSYLSRGQEEEALRRLNLRYENNGLDNLNEVRDVPVASVTDVLFCERMKNRLHEWRDWLLRNGNGGSQFQWASNHSTQQVQTIFYKLRPALCLNGFTSHQLKLIQLVTRKHFKDLSYVRFGGETSCSQQLVVYTDSKNDRDLLMKEVKDNYLKEAEMKIRAAIGFRHVIDLLSSAQKLIVGHNCFLDIAHIYSKFLGPLPLTAEEFVSSINTYFPHIVDTKVLLNSNNVRLPRMKKSNTSLSSAFSLLCPQIAVNSNKNSDLAFRPCVNVEVQVDDTRSSNWSSGVKHEAGYDAFMTGCVFAQACKNIGIDFKSHSSSENLTHNDKLQKHINLLYLSWISGDIIDLSSGNMIAEPSGSNNFRIRRPKVLFENIVLIWRFPPRLNASKLRECISKVFGPNSVTSVYLVDETAIFLQFSKAELVSEFLVLKESLERSNDAISVLHPLSELLQGGNTCAAGYETYKEICSSPISKVLFAEQADAIGIRWKTKLVGSEPEAQSQEPESFSKENAATTAPFLSKKIEVGNKQRVIDDLLSGRSRCDEVIDSFLTAEVKQIGATN >Manes.03G141900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27027403:27033319:-1 gene:Manes.03G141900.v8.1 transcript:Manes.03G141900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRLHEWRDWLLRNGNGGSQFQWASNHSTQQVQTIFYKLRPALCLNGFTSHQLKLIQLVTRKHFKDLSYVRFGGETSCSQQLVVYTDSKNDRDLLMKEVKDNYLKEAEMKIRAAIGFRHVIDLLSSAQKLIVGHNCFLDIAHIYSKFLGPLPLTAEEFVSSINTYFPHIVDTKVLLNSNNVRLPRMKKSNTSLSSAFSLLCPQIAVNSNKNSDLAFRPCVNVEVQVDDTRSSNWSSGVKHEAGYDAFMTGCVFAQACKNIGIDFKSHSSSENLTHNDKLQKHINLLYLSWISGDIIDLSSGNMIAEPSGSNNFRIRRPKVLFENIVLIWRFPPRLNASKLRECISKVFGPNSVTSVYLVDETAIFLQFSKAELVSEFLVLKESLERSNDAISVLHPLSELLQGGNTCAAGYETYKEICSSPISKVLFAEQADAIGIRWKTKLVGSEPEAQSQEPESFSKENAATTAPFLSKKIEVGNKQRVIDDLLSGRSRCDEVIDSFLTAEVKQIGATN >Manes.03G141900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27027403:27034748:-1 gene:Manes.03G141900.v8.1 transcript:Manes.03G141900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRWPLRAFSRMLARAYTSYSSSSTFPLKHVTKSNFEYALSELKSHVGAADFVAIDLEMTGVTSAPWRESFEFDRFDVRYLKAKDSAEKFAIVQFGVCPFRWDDHRHSFIAHPHNFFIFPRQELAAGGPSNEFLCQTTSMDFLARYQFDFNTCIHEGVSYLSRGQEEEALRRLNLRYENNGLDNLNEVRDVPVASVTDVLFCERMKNRLHEWRDWLLRNGNGGSQFQWASNHSTQQVQTIFYKLRPALCLNGFTSHQLKLIQLVTRKHFKDLSYVRFGGETSCSQQLVVYTDSKNDRDLLMKEVKDNYLKEAEMKIRAAIGFRHVIDLLSSAQKLIVGHNCFLDIAHIYSKFLGPLPLTAEEFVSSINTYFPHIVDTKVLLNSNNVRLPRMKKSNTSLSSAFSLLCPQIAVNSNKNSDLAFRPCVNVEVQVDDTRSSNWSSGVKHEAGYDAFMTGCVFAQACKNIGIDFKSHSSSENLTHNDKLQKHINLLYLSWISGDIIDLSSGNMIAEPSGSNNFRIRRPKVLFENIVLIWRFPPRLNASKLRECISKVFGPNSVTSVYLVDETAIFLQFSKAELVSEFLVLKESLERSNDAISVLHPLSELLQGGNTCAAGYETYKEICSSPISKVLFAEQADAIGIRWKTKLVGSEPEAQSQEPESFSKENAATTAPFLSKKIEVGNKQRVIDDLLSGRSRCDEVIDSFLTAEVKQIGATN >Manes.04G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:539133:540223:1 gene:Manes.04G002500.v8.1 transcript:Manes.04G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKLGLFFFVIILFQIQLHPLHTHPLQNTSTATTGLQGIVSVDRRGGGGGGHGGGGHASHSTGSRAHGGRINGDEGYKHGSTVVPLYVAGAMSHHQNNHQRHGSNEASPNHAGSSYLVLTALAVSLLTFFA >Manes.10G103550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25158668:25159480:1 gene:Manes.10G103550.v8.1 transcript:Manes.10G103550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADIMCPICQQELETVEHALFWCDHARASWFASPCAYKPQVGVIPSISSWWTDIIEEYRQQRIYEEGLIVIIMSDPVQTVEKMKQACCEATGVRDSKISDRSALSNGRQASNDRWMTPLLGWVKINFAVLVRDDKGQIVDGMTKTRRSINTLMREASAILLAHLRRSGNEAANCLAKFVVSNSLPCNCLSNIPRNLLHLCIKDYSFNA >Manes.14G014400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1169850:1175289:1 gene:Manes.14G014400.v8.1 transcript:Manes.14G014400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPCIAIIGAGIFVRTQYIPRLAEISNLFKLKAIWSRTEESARGAMEIARGKSFPEVECKWGDKGLDEIIQDGSILAVAVVLAGQIQVDISLKLLKAGKHVLQEKPAAASINEIETVLSTYKSIYTNSPSQPIWAVAENYRFEPAFVEGKKLLPEIGDMMSVQVIVEGSMNSANPYFSSSWRRNFIGGFILDMGVHFIAGLRMLVGCDVISVSANISHVDKTLPPPDNISSIFQLENGCSGVFVMIVSSRSPKIYWRIVGLKGTLEIGRGMQDGQHGYTVSFYGADGHSKNWFYPFSGVTEELTTFLRDVSHANLKGSNHEIEPRLSFIEGARDVAVLDAMLESGMKNGAQIQVKKL >Manes.14G014400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1169850:1175594:1 gene:Manes.14G014400.v8.1 transcript:Manes.14G014400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPCIAIIGAGIFVRTQYIPRLAEISNLFKLKAIWSRTEESARGAMEIARGKSFPEVECKWGDKGLDEIIQDGSILAVAVVLAGQIQVDISLKLLKAGKHVLQEKPAAASINEIETVLSTYKSIYTNSPSQPIWAVAENYRFEPAFVEGKKLLPEIGDMMSVQVIVEGSMNSANPYFSSSWRRNFIGGFILDMGVHFIAGLRMLVGCDVISVSANISHVDKTLPPPDNISSIFQLENGCSGVFVMIVSSRSPKIYWRIVGLKGTLEIGRGMQDGQHGYTVSFYGADGHSKNWFYPFSGVTEELTTFLRDVSHANLKKGSNHEIEPRLSFIEGARDVAVLDAMLESGMKNGAQIQVKKL >Manes.14G014400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1169850:1175374:1 gene:Manes.14G014400.v8.1 transcript:Manes.14G014400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPCIAIIGAGIFVRTQYIPRLAEISNLFKLKAIWSRTEESARGAMEIARGKSFPEVECKWGDKGLDEIIQDGSILAVAVVLAGQIQVDISLKLLKAGKHVLQEKPAAASINEIETVLSTYKSIYTNSPSQPIWAVAENYRFEPAFVEGKKLLPEIGDMMSVQVIVEGSMNSANPYFSSSWRRNFIGGFILDMGVHFIAGLRMLVGCDVISVSANISHVDKTLPPPDNISSIFQLENGCSGVFVMIVSSRSPKIYWRIVGLKGTLEIGRGMQDGQHGYTVSFYGADGHSKNWFYPFSGVTEELTTFLRDVSHANLKGSNHEIEPRLSFIEGARDVAVLDAMLESGMKNGAQIQVKKL >Manes.14G014400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1169850:1175289:1 gene:Manes.14G014400.v8.1 transcript:Manes.14G014400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPCIAIIGAGIFVRTQYIPRLAEISNLFKLKAIWSRTEESARGAMEIARGKSFPEVECKWGDKGLDEIIQDGSILAVAVVLAGQIQVDISLKLLKAGKHVLQEKPAAASINEIETVLSTYKSIYTNSPSQPIWAVAENYRFEPAFVEGKKLLPEIGDMMSVQVIVEGSMNSANPYFSSSWRRNFIGGFILDMGVHFIAGLRMLVGCDVISVSANISHVDKTLPPPDNISSIFQLENGCSGVFVMIVSSRSPKIYWRIVGLKGTLEIGRGMQDGQHGYTVSFYGADGHSKNWFYPFSGVTEELTTFLRDVSHANLKKGSNHEIEPRLSFIEGARDVAVLDAMLESGMKNGAQIQVKKL >Manes.09G066201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10892978:10894306:-1 gene:Manes.09G066201.v8.1 transcript:Manes.09G066201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATPYYQKVSHATSRKDYFSTYEIEKKKVKALLKDTDKHISYMVITAHFVDSEWMLQKRVVICDVLQKCLVEWGIEDKVWTISVDNTSYNDVVVRMLKDNLAYKNSLALNSKLFHVRCCAYILNLLIQDGLSEIACIIKNVRESVKYLVAYESHRLIFSEIAKQLKLPFKKLLLDCGTRWNATYFMLSAQRDSSYTDLSKFNEVTNVISSTEYPTSNLFLSELYSIKKLLDEAHENENNYMKAMVDKMKCKFDKYWEGIHICQDDSNFDALV >Manes.02G136900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10394767:10396326:-1 gene:Manes.02G136900.v8.1 transcript:Manes.02G136900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQVSINPSFFASAPTSPSSPFHQNMCFYSVPNSPSKRTLDSTSVLTNTNSTTSSTTTSTTTTPKSCDGLNLDDIEFETNRRFSENDLVYHDDDDSDSDSSDDTIDYQQERLRKHQRKRHESLPAMAFADELFCEGKVMPLNPPPCQKYSNGNATGGDHKAGKFSLSPNSIYKIPFTKRSLWNDDFDPFVEALETVKKGRHHRRAWSMLPLRAFTHWNSDEIMKYDHQNCLHASPVILCPTKPMEANGSPAPIWMSPQRPVNEGSKSPIRLAEPKGVLFARRARIVQMGMKMGIKYPPKPTTTAVPHAEPMVQGGDHAGLSARPCHSGNKWHRIMSFSFRSSSMNRASNEHKHRGHNEEFSRPKILRKLSFSSKKLVHGNEEKAASQMTKMTLVRYRPKLLLCMGYGAKYAK >Manes.01G020350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4770903:4771046:1 gene:Manes.01G020350.v8.1 transcript:Manes.01G020350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKDSTAGDSKQRLSYNKGFSGLLREGRGRLYLIKRCVVMLLCWSD >Manes.13G024400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3346100:3346972:-1 gene:Manes.13G024400.v8.1 transcript:Manes.13G024400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAFVHFLGRIQGSHKESGKEIGKSPLSLDMCSQSKDISVRIVHAGGREELYQHAVPASHLMEKYPGMCVARPEVFRNPQESLLWPDENLLPGHKYLLIPSTTAQKLKRKQMEKTKVADYAGSKNEMSDVNITWEAGKDITEESVSSAKEFYTSKGRWSRDSKSSAKRSFRARKPFVPPHPKPRILRGPGWEPSLTSVQELSP >Manes.09G049800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8458305:8459411:-1 gene:Manes.09G049800.v8.1 transcript:Manes.09G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTNISAATSTTKRLQGKVAMITGGASGIGKCSAMVFVKQGAKVVIADVQDELGLSVCKEIGSEETISYVHCDVTCDSDTQNAVDFAVSKFGSLDIMLSNAGTCDIVDLDPTLPNILVAENEDFKRGFDVNVFGAFLAAKHAARVMIPSKKGCILFNSSVASVINLGGDHSYVASKYALLGLAKNLCVELGQYGIRVNCISPFVVVTPTLRKVMRVMEVDKKKIQEAISASANLKEAVLEEEDIAEAAVYLGSDDGINLVVDGGFSLVNPSFGMAMKSLFP >Manes.16G066300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26892201:26898646:-1 gene:Manes.16G066300.v8.1 transcript:Manes.16G066300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHLPRLRLSIFTHPPSPLYASLDFNLVPRLKLPTIVRPKSSLSTTEPVPISHISSNAHEFLASPEENQIQISLDKLFVPPETEVSLNDASLSTRILKGSNIVLSKYARDAQVVQAEFVKSSVRTEDCPADGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFWINDSWYLVDLPGYGYAAAPQELRTDWDKFTKDYFLKRSSLVSVFLLIDASIPAKKIDLEYASWLGQNQIPMTLIFTKCDKRKKKKNGGKRPDENVGDFQELISGFFETPPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >Manes.05G053400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4436842:4446330:1 gene:Manes.05G053400.v8.1 transcript:Manes.05G053400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKVAKKGNEKVVVPSFPEIEDEVSCSYSNKAVDWTSLPDDTVIQLFSCLNYRDRASLSSTCRTWRALGVSPCLWSSLDLRAHKCDAAMAASLASRCVNLQKLRFRGAESADAIIHLQDRNLREISGDYCRKITDATLSVIAARHELLESLQLGPDFCERISSDAIKAIAFCCPQLRKLLLSGIRDVSADAINALAKHCPNLFDIGFLDCLNVDEVALGNVVSVCFLSVAGTSNIKWEMIHLWHKLPNLIGLDVSRTNIPPTAVSGLLSSCHRLKVLCALNCSVLEADTTFNANMCKGKLLISLFTDIFKGLASLFAVTTNSRKGKNVFLDWRNSKNKDKNFDDIMTWLEWILSHTLLRTAESNPQGLDDFWLKQGAPLLLILMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLDLARSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINVLAGLARSMNRLVAEEAAGGLWNLSVGEEHKGSIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNTNNAAVGREAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQACSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRMDEFGLMGTSTESTSKSVSLDGARRMALKHIEAFVLTFSDQQTFAIAAASSAPASLTQLTERARIPEAGHLRCSGAEIGRFVTMLRNPSSTLKTCAAFALLQFTIPGGRHAMHHASLMQNAGATRVVRAAAAAATAPLEAKIFARIVLRNLEHHQMEPSIGRLSNLA >Manes.05G053400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4436842:4446331:1 gene:Manes.05G053400.v8.1 transcript:Manes.05G053400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKVAKKGNEKVVVPSFPEIEDEVSCSYSNKAVDWTSLPDDTVIQLFSCLNYRDRASLSSTCRTWRALGVSPCLWSSLDLRAHKCDAAMAASLASRCVNLQKLRFRGAESADAIIHLQDRNLREISGDYCRKITDATLSVIAARHELLESLQLGPDFCERISSDAIKAIAFCCPQLRKLLLSGIRDVSADAINALAKHCPNLFDIGFLDCLNVDEVALGNVVSVCFLSVAGTSNIKWEMIHLWHKLPNLIGLDVSRTNIPPTAVSGLLSSCHRLKVLCALNCSVLEADTTFNANMCKGKLLISLFTDIFKGLASLFAVTTNSRKGKNVFLDWRNSKNKDKNFDDIMTWLEWILSHTLLRTAESNPQGLDDFWLKQGAPLLLILMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLDLARSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINVLAGLARSMNRLVAEEAAGGLWNLSVGEEHKGSIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNTNNAAVGREAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQACSNASPGLQERAAGALWGLSVSEANRYADYYYYYFKIFPFIRGNVG >Manes.05G053400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4436842:4446330:1 gene:Manes.05G053400.v8.1 transcript:Manes.05G053400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKVAKKGNEKVVVPSFPEIEDEVSCSYSNKAVDWTSLPDDTVIQLFSCLNYRDRASLSSTCRTWRALGVSPCLWSSLDLRAHKCDAAMAASLASRCVNLQKLRFRGAESADAIIHLQDRNLREISGDYCRKITDATLSVIAARHELLESLQLGPDFCERISSDAIKAIAFCCPQLRKLLLSGIRDVSADAINALAKHCPNLFDIGFLDCLNVDEVALGNVVSVCFLSVAGTSNIKWEMIHLWHKLPNLIGLDVSRTNIPPTAVSGLLSSCHRLKVLCALNCSVLEADTTFNANMCKGKLLISLFTDIFKGLASLFAVTTNSRKGKNVFLDWRNSKNKDKNFDDIMTWLEWILSHTLLRTAESNPQGLDDFWLKQGAPLLLILMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLDLARSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINVLAGLARSMNRLVAEEAAGGLWNLSVGEEHKGSIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNTNNAAVGREAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQACSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRMDEFGLMGTSTESTSKSVSLDGARRMALKHIEAFVLTFSDQQTFAIAAASSAPASLTQLTERARIPEAGHLRCSGAEIGRFVTMLRNPSSTLKTCAAFALLQFTIPGGRHAMHHASLMQNAGATRVVRAAAAAATAPLEAKIFARIVLRNLEHHQMEPSIGRLSNLA >Manes.05G053400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4436842:4446330:1 gene:Manes.05G053400.v8.1 transcript:Manes.05G053400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKVAKKGNEKVVVPSFPEIEDEVSCSYSNKAVDWTSLPDDTVIQLFSCLNYRDRASLSSTCRTWRALGVSPCLWSSLDLRAHKCDAAMAASLASRCVNLQKLRFRGAESADAIIHLQDRNLREISGDYCRKITDATLSVIAARHELLESLQLGPDFCERISSDAIKAIAFCCPQLRKLLLSGIRDVSADAINALAKHCPNLFDIGFLDCLNVDEVALGNVVSVCFLSVAGTSNIKWEMIHLWHKLPNLIGLDVSRTNIPPTAVSGLLSSCHRLKVLCALNCSVLEADTTFNANMCKGKLLISLFTDIFKGLASLFAVTTNSRKGKNVFLDWRNSKNKDKNFDDIMTWLEWILSHTLLRTAESNPQGLDDFWLKQGAPLLLILMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLDLARSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINVLAGLARSMNRLVAEEAAGGLWNLSVGEEHKGSIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNTNNAAVGREAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQACSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRMDEFGLMGTSTESTSKSVSLDGARRMALKHIEAFVLTFSDQQTFAIAAASSAPASLTQLTERARIPEAGHLRCSGAEIGRFVTMLRNPSSTLKTCAAFALLQFTIPGGRHAMHHASLMQNAGATRVVRAAAAAATAPLEAKIFARIVLRNLEHHQMEPSIGRLSNLA >Manes.05G053400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4436842:4446330:1 gene:Manes.05G053400.v8.1 transcript:Manes.05G053400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKVAKKGNEKVVVPSFPEIEDEVSCSYSNKAVDWTSLPDDTVIQLFSCLNYRDRASLSSTCRTWRALGVSPCLWSSLDLRAHKCDAAMAASLASRCVNLQKLRFRGAESADAIIHLQDRNLREISGDYCRKITDATLSVIAARHELLESLQLGPDFCERISSDAIKAIAFCCPQLRKLLLSGIRDVSADAINALAKHCPNLFDIGFLDCLNVDEVALGNVVSVCFLSVAGTSNIKWEMIHLWHKLPNLIGLDVSRTNIPPTAVSGLLSSCHRLKVLCALNCSVLEADTTFNANMCKGKLLISLFTDIFKGLASLFAVTTNSRKGKNVFLDWRNSKNKDKNFDDIMTWLEWILSHTLLRTAESNPQGLDDFWLKQGAPLLLILMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLDLARSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINVLAGLARSMNRLVAEEAAGGLWNLSVGEEHKGSIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNTNNAAVGREAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQACSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRMDEFGLMGTSTESTSKSVSLDGARRMALKHIEAFVLTFSDQQTFAIAAASSAPASLTQLTERARIPEAGHLRCSGAEIGRFVTMLRNPSSTLKTCAAFALLQFTIPGGRHAMHHASLMQNAGATRVVRAAAAAATAPLEAKIFARIVLRNLEHHQMEPSIGRLSNLA >Manes.02G130900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9922058:9925944:-1 gene:Manes.02G130900.v8.1 transcript:Manes.02G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLQELPDSMNRFKLQNTFEYFPISLSSNKDLLSGYELNNNSINPYSSFASFGPHIQPTNDFTSSSSSSLSSEGHDPNNNVVLKYISDMLMEEDLEGKTCMLQDSLALQAAEKSLYDVLGQEYPHSLDHCSQKVESTDDTSPWSSSVDSSKTYPAADNTSVEKSNWVFNQVDLDFSGTQSSLIDSPESALIGPDFHSEIQSLTVFRQGIVDGDSLVLATKSNSDKERDYSPNGSRGRKNHQREDGDYLEEERSNKQSAISLAESEQSEMFDEVLLCSSESNESETCAPFEKSQNGASRNYGQPKGSNSRSMRGRRQGNKGEVVDLPTLLTQCAQAVAINDQRTATELLRQIGQHSSAYGDGNQRLAHYFSKALETRLAGTRMPKYIPLVSNKAPVSDILKAYQVYVKACPFKKMSNFFANQTIFKLAEKSTRLHVIDFGILYGFQWPCLIQRLSQRPGGPPKLRITGIELPQPGFRPAERVEETGHRLQRYCERFNVPFEYNVIAQKWETIKYEDLNIDRDEMTVVNCLFRFRNLPDDTVVANSARDTVLKLIRRIKPDIFIHGVVNGTYTAPFFVTRFKEALFHFSSLFDMFEVTIPREDEQRMLYEKEIFGRDIMNVIACEGTERVERPETYKQWQVRNMRAGFRQLSLDQEILKKVRCTVRSEYHKDFVVDEDGRWMLQGWKGRVINALSVWKPVRQ >Manes.03G081224.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21469510:21472954:-1 gene:Manes.03G081224.v8.1 transcript:Manes.03G081224.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLVGSGWPAIVLCFFFFFFIASSANIPARKVPSRTNNNPALGVQLQVTDKQVVVDNGIVQVTFSNPGGDVIGIKYKTIDNVLEIKNKEDNRGYWDVVWNRPGESNIFDKLQATKFSIIVQNNDRVEISFSKIWSPSMDKTIVPLKVDKRYIVQRGSSGLYLYAIMERLKGWPDVDMDQIRVVFKLQSKKFHYMAISDDRQREMPMPQDRVTGQPLAFPEAVRLTNPVNPKQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNAAYRNGEPWKKVFGPVFVYLNSVPSVNPKALWEDAKRQMSTEVKSWPYNFPRSQDFPSSGQRGNVVGRLVVREQYINKRSMDASFAYVGLAAPGVAGSWQTEAKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFIGDYKYNVDIIIQPGSNIKLGVLTYDPPRNGPTLWEIGIPDRTAAEFYIPDPNPTLINKLYINSPANKFRQYGLWDRYTDLYPKNDLIYTVGVSNYAKDWFYAHVNRRVGNMAYEATTWQIIFELKSAKLSGSYTLQIALASATNSELQVRFNNAKAKRPLFTTRLIGRDNAIARMGIHGLYWLYSIQVPSTQLLQGKNIIYLTQSRKGSPFTGIMYDYIRLEAPIKA >Manes.09G148600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34644184:34646112:1 gene:Manes.09G148600.v8.1 transcript:Manes.09G148600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSLKNNYHLCEELGRGRFGTIYRCFFPIKNDFFACKTIDKKILTDPADRECLHNEPKIMTILSPHPNIVQIHDVYDTDESLTMVMELCEHYTLYDRIIKNNGGFCEGKSASVMKQLLNAIAHCHRLNIVHRDIKPDNILFDSRNRVKLADFGSADWLGENRTMSGVVGTPYYIAPEVVMGREYNEKVDVWSAGVILYVMLAGIPPFYGETVEEIFEAVVRGNLRFPPRIFRTVSPAAKDLLRNMICRDVSRRFSAEQALRHQWIASGRETISMD >Manes.17G017500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9460872:9474868:-1 gene:Manes.17G017500.v8.1 transcript:Manes.17G017500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMVTLIGLVNRIQRACTVLGDYGGDTASSSLPTLWESLPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTESGTQEYAQFLHLPDQRFTDFSMVRKEIQTETDRMTGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVNDIENMVHSYVEKPNCIILAITPANQDIATSDAIKLSREVDPTGERTFGVLTKIDLMDKGTNALDVLEGRAYSLQHPWVGIVNRSQADINKNIDMIAARRQECEFFATNPDYRHLAGRMGSEYLAKLLSKHLESVIKARIPGIISIVNRSIDELEAELDHLGRPVAVDAGTQLYTILELCRAFDRATWGGRIYGVFDNQLPSALRKLPFDRHLSLQNIRKLVSEADGYQPHLIAPEHGYRRLIDGAINYFRGPAEASVDAVHFILKELVRRAIGETQELKRFQTLQAEIASAANDALERFREDSKKTTLRLVDMESSYLTVDFFRKLPMEAERGGNPTANDADRYTEGHFRRIGSNVSSYILMVSETLRISIPKAVVYCQVREAKRSLLDHFYTQIGKKEAKQLAHLLAEDPALMERRQKCAKRLELYKSARDEIDSVSWAR >Manes.01G118800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31541047:31542881:1 gene:Manes.01G118800.v8.1 transcript:Manes.01G118800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLVGLQNAGKTSFVNAVATGGYNEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVSAILYVVDAADRDSIPAARNELHELLVKPALSEIPLLVLGNKIDKPEALSKQALVDQLALESIKDREVCCYMISCKESANIDVVIDWLIKHSKKAK >Manes.01G118800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31537933:31543534:1 gene:Manes.01G118800.v8.1 transcript:Manes.01G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSFLNWLRSLFFKQEMELSLVGLQNAGKTSFVNAVATGGYNEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRSMWERYCRGVSAILYVVDAADRDSIPAARNELHELLVKPALSEIPLLVLGNKIDKPEALSKQALVDQLALESIKDREVCCYMISCKESANIDVVIDWLIKHSKKAK >Manes.14G122800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9691650:9693807:-1 gene:Manes.14G122800.v8.1 transcript:Manes.14G122800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSEKESTREASKEASAEFKTLINEDDLDSLKQLQHLILGRLQDSNAVLSHFNQYSEHCFAEVSGDFSRNTRLLRSMKSDLDYIFQKLRSMKTKILATYPDAFPDESTQEVLDRRPDLEMPQTQ >Manes.12G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2387052:2395224:1 gene:Manes.12G027200.v8.1 transcript:Manes.12G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNEFRFFLSCDINLPVTFRVERLEGLLPSTKSPNSVIDPATEERRSELYVECALYIDGAPFGLPVRTRLESAGPSYCWNELITLSTKYRDLTAHSQLAVTVWDVSRGKDEGLIGGATLLLFNSKMQLKTGKQKLRLWPGKEADGSFPTNTPGKVPRHERGELERLEKLVNKYERGQIQAIDWLDRLTFKAMDKTKERESCRNGSSHLYLVIDFCSFEHRVVFQESGANFLLPSPIASTNELVIVWDPELGKINPSEHKQLKLARSLTRGTIDRDLKPSSNERKSIQRILKYPPTRTLNGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALEMMGRWEMIDVCDALELLSPVFEREEVRAYAVSVLERADDEELQCYLLELVQALRFERSDKSRLSQFLVQRSLNNIELASFLRWYVAVELYDPAYAKRFYCTYEILEENMMKLAGDPNGEEDGFKLWQSLVRQTELTAQLCSITRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFEEPIRSPLAPGVLITGIVPSESSIFKSALHPLRLTFRTQCGGTCKIIFKKGDDIRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILSEHRSIISYLQKFHPDDHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLIAGSNIPDIASDPEKSILKLQEKFRLDLDDEAAIHFFQDLINESVSALFPQMVETIHRWAQYWR >Manes.05G171301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28612491:28614853:1 gene:Manes.05G171301.v8.1 transcript:Manes.05G171301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESEGLSLEFELEKLDGEEARIADYFDVIAGTSTGGLVTAMLTAPNEKNRPLFAAKEIKDFYLTHCPKIFPQPKCCPLLRQVKKLIRGISGPKYDGKYLHGIVKEKLAKTRLHQTLTNIVIPTFDIKQLQPTIFSSYQAKEKPCLDALLSNICIATSAAPTYLPAHYFETKDEQTGK >Manes.05G171301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28612491:28614853:1 gene:Manes.05G171301.v8.1 transcript:Manes.05G171301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVESEGLSLEFELEKLDGEEARIADYFDVIAGTSTGGLVTAMLTAPNEKNRPLFAAKEIKDFYLTHCPKIFPQPKCCPLLRQVKKLIRGISGPKYDGKYLHGIVKEKLAKTRLHQTLTNIVIPTFDIKQLQPTIFSSYQAKEKPCLDALLSNICIATSAAPTYLPAHYFETKDEQTGKLY >Manes.04G135500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33588687:33589285:1 gene:Manes.04G135500.v8.1 transcript:Manes.04G135500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNSIILEYFSHHKCNTKLPAKGSQQNPRLFLCNFLAQPCEGDLQGLITQCGVYVQKGGPRMDPSQGCCNVIKSIDIPCVCKYISRVIEEVIDMDKVVHVADFCGKPLTHGMKCGSYIVPRAG >Manes.17G118357.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33373928:33375713:-1 gene:Manes.17G118357.v8.1 transcript:Manes.17G118357.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKERIENLEAGLGQLHETVSKMEQGFRNELQQITAAITKISELSLPSKEASSSAGDQTSQVRDKQVGPRDGGKPFYSAKLAKLEFPKYSGDDPTEWFTRVDQFFEYQGTPAAEKVSLASYHLRGEANEWWQWLCRTHTEAGTTVTWDIFFEELWSRFGPTDCEDFDESLSKIQQTGPLRDYQREFERLGNRVKGWTQKALVGTFMGGLKPEIAEGIRMFKPKTLKDAISLARMKDEQLQRQKSDSKHESVLSPTRNKPSTPLKRLNWEEMQNRRTAGLCFNCDEKFTPGHRCAKPQLLLLDGRLETKEDDEGGGSEKALKLQRGLQF >Manes.04G025800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3033254:3040863:-1 gene:Manes.04G025800.v8.1 transcript:Manes.04G025800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNHLIGLLNFFTFLLSIPILGGGIWLSSRANNTDCLKFLEWPLIVIGVSIMVVSLAGFAGACYRNTFLMWLYLFVMFFIIAALIGFIIFAYAVTDKGSGRPVMNRAYLDYYLQDYSGWLEERVASESYWSKISSCIRDSKICAKMGVSINGVPEAADMFYQRKLSPIQSGCCKPPTDCGFTYVNETIWTYEGGVVYNTDCNNWSNDQQQLCYSCNSCKAGVLGSLRKSWRKVSVINIIVLIILVIVYVVGCAAFRNNRRMDNDEPYGQARMTKAQPSWIHF >Manes.03G205900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:32118340:32118801:1 gene:Manes.03G205900.v8.1 transcript:Manes.03G205900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVSTKPTSVFRTAFDIIDTDHDEKISRDDLRRFYVGLSSGGVDVDDDVIGFMMSVADFNKDGFVEYEEFERVLDVNGKTRGSNKEVLEDVFKLIDKDGDGKLSRDDLKSYMQWAGFDVSDNDIETMMKLGGGDDKGGVCFDDFVSLFSNF >Manes.05G117700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12195452:12202335:-1 gene:Manes.05G117700.v8.1 transcript:Manes.05G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTRSQINNQDQNGSNTEQRTRNTSDQEGTHASVPLRNPHQGLKEKLKALTLLYEQQKKASSTLRNLSPKPEDKRFSTNPSVDLLSSCRKKEKDFEETKDSEQDNVIKDSKIPTVPTLPYAMLNSTVTRNLQAPANDAKENPVMDPDRVIGFSLCARKANVSTTVARKLSMESSVPQTEPRGFLGNENVLEVGKLEAVYQKNEASESRILVFVRLRPMFRKEREAGLRCCVKIVNRKDVYLTEFANENDYLRLKRIRGRHFAFDASFSDSTSQQEVYSTTTADLVEAVLQGRNGSVFCYGATGAGKTYTMLGTTENPGVMVLAIKDLFTKVRQRSCDGNHTVRLSYLEVYNETVRDLLSPGRPLVLREDKQGIIAAGLTQYRAYSTDEVIALLQKGNQNRTTEPTRANETSSRSHAILQVVVEYRVKDASMNVVNRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGVCNTVMIANISPGNLSFGETQNTLHWADRAKEIRTKACEANFQIQHLPESETDKAKLLLELQKENRELRIQLARQQQKLLTLQVQSLAANASSTPSSISSLLTPNSQTNDKRKTRPSTLAGNCFTPESRKRREDDTVRELQRTVKTLEAEIERMKKEHGSQIKKKDERIYELSRKSVKTLGRDSKEGTKRVVTRASLRPKESNIGELKSPSHRFKSPAPTTKKRSFWDITTVNSPSMATLTNGRKTRGCVIAEPAVAPSMLLQVRTRGTEDPNSESAASVSCQS >Manes.02G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7071824:7078248:1 gene:Manes.02G090500.v8.1 transcript:Manes.02G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFRSNRQQLRRALCYSNDGRRQAASPSVIVIGGGVAGVAAARALHDASFQVVLLESRDRLGGRVHTDFSFGFPVDLGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVPQELVSKVGETFERILQETEKVRLEYSEDMSIISAFSIVFERIPELRLEGLAHKVLQWYLCRMEGWFAADADTISLKSWDQEELLPGGHGLMVRGYLPVVNTLAKGLDIRLGHRVTRIVRHYNGVKVSTENGRTFVADAAIVAAPLGVLKSRAITFEPKLPDWKEKAINDLGVGIENKIALHFDKVFWPNVEFLGVVAETSYSCSYFLNLHKATGHSVLVYMPAGQLAKDIEKMSDEAAANFAFLQLKKILPEASAPIQYLVSHWGSDINSLGSYSYDTVGNSHDLYERLRIPVDNLFFAGEATSTNYPGSVHGAFSTGLMAAEDCRMRVLERYGELDLFQPVMGEEASVSVPLLISRM >Manes.01G237700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39939431:39942754:1 gene:Manes.01G237700.v8.1 transcript:Manes.01G237700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRRIPCLSLSYLVAVLAFSHLVAVLGAQKQLRFGQNGNFKILQVADMHFADGKITPCLDVFPGQMRTCSDLNTTAFIERMIRAENPDLIVFTGDNIFGFDATDAAKSLTAAFAPAISSNIPWVAVLGNHDQESTLSRDGVMKHIVGLKNTLSQVNPAEAHVIDGFGNYNLEIGGVRGSEFENKSVLNLYFLDSGDYSTVSSIPGYGWIKPSQQFWFQRTSAKLRRAYENKPQPQKGPAPGLVYFHIPLPEFARFDSSNFTGVRQEGISSASVNSGFFTTMVEAGDVKAVFTGHDHLNDFCGELTGIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKSEKGDWGAVKSIKTWKRLDDQHFTAIDGQALWSKSPAGGRRKKEVGRA >Manes.17G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23719885:23722537:1 gene:Manes.17G043600.v8.1 transcript:Manes.17G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLAREVTDLCLGKPALRSLSVSATVGDALSALRRSSDPYLSVWSCDHHRNASRSKLDANECRCISKVCMVDIICFLCKEDNLKNPGKALQEPVSVLLPKVPGLVRHLEPNASLLEAIDLILEGAQNLVIPIHIPLSRKKLIPKPSSNSTLHNNCEYCWLTQEDIIRYLLNCIGLFSPIPNHSIESLNIVDTKSTLALCYDEPASSALPLISQSLVKQTAVAIVDIDGKLIGEISPYTLNSCNESVAAALATLSAGDLLAYIDCGDPPEDLICLVKERLEHRNLGEALELLEEEWGISSSSSCSSYSSSSDEEFGLGRIGRFGGHSSRVVRTTDAIVCFQWSSLVAVMIQALSHRVSYVWVIEEDGTLVGVVTFSGMMKVFRERLKSMM >Manes.01G192200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36726715:36729238:-1 gene:Manes.01G192200.v8.1 transcript:Manes.01G192200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNLLKVNRNATDDDLKKAYKRLAMKWHPDKNPVNKIDAEAKFKQISEAYYVLSDSQKRQIYDLYGEEGLKSFEFCGPSDEEKTSTNNTKYGFNRRDADDIFNEFFGGSDGGGMGGGSGKSGFYSNGDTGNHGNKKAAAIESKLICSLEELYKGARRKMRISRSVPDDFGKPKTVEEILKIDIKPGWKKGTKITFPEKGNQEPGVIPADLIFVVDEKPHPVFRREGNDLVVNQKISLLEALTGKVVDFTTLDGRYLTIPVMDIIKPGHEIVISNEGMPISKEPHKKGNLRIKFDVTFPSRLTAEQKSDLQRILGGADI >Manes.07G065855.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12391694:12398106:1 gene:Manes.07G065855.v8.1 transcript:Manes.07G065855.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRNCFRNADRLTDSETRERFGPKKYTFRELANATGHFSNSNSLGEGGFGQVYKGILDGKEVAIKKLKYLPNEQPSEGLLQEIKIVSSVIHKNLVKLLGYCIEGDDILLVLEYFPQKSLNFHLHGNKILEWKPRMKIAKGSAEALAYLHGGCKPKIIHRDVTSSNILLDDDLEAKVTDFGLALFFPETGNLTHISRSNKGTDVYADPDPQNYPSRKVSERSDVYSYGVVLLELITGRKTKFEGVDIVTWAKPRIEYALSSGDYSKLVDSKLQKNYVEEELKTMISCTAACLYKPSKSRPLMKQIVRALESCKDIEDIWDEKNDNQFLTNDPMPNGTYNINNFQHMLPKPLVISEASNGIEFTQQEGLYNASNGTERFQAYFRKGYRLRKFSYQLLTEATGGFSEDNRLDEGPLGQVYMGTLNGEKVAIKKFNNPRKHEEEYKHMKAIGSDFHHRNLVNLIGYCEERANRLLVYEFVPHYRSVRCFLKGESSTLEWSTRYIICFDALTIKCCLFNEDKFWWGGDWMDDYFLDDDFQPKLAEYGREKFFSDFAATRKSTRCTAPEYTSSRTFTQKTDVYSYGVMLVEMITGKEPDDDIVQWVVPRLKRAQSKGNYDFVDKRLKEYDKIEMDRMIACALACLHDNPQDRPEMNQIIEVLKGNIDPQDLCKRELQLSSGH >Manes.07G065855.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12391694:12398848:1 gene:Manes.07G065855.v8.1 transcript:Manes.07G065855.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLRNCFRNADRLTDSETRERFGPKKYTFRELANATGHFSNSNSLGEGGFGQVYKGILDGKEVAIKKLKYLPNEQPSEGLLQEIKIVSSVIHKNLVKLLGYCIEGDDILLVLEYFPQKSLNFHLHGNKILEWKPRMKIAKGSAEALAYLHGGCKPKIIHRDVTSSNILLDDDLEAKVTDFGLALFFPETGNLTHISRSNKGTDVYADPDPQNYPSRKVSERSDVYSYGVVLLELITGRKTKFEGVDIVTWAKPRIEYALSSGDYSKLVDSKLQKNYVEEELKTMISCTAACLYKPSKSRPLMKQIVRALESCKDIEDIWDEKNDNQFLTNDPMPNGTYNINNFQHMLPKPLVISEASNGIEFTQQEGLYNASNGTERFQAYFRKGYRLRKFSYQLLTEATGGFSEDNRLDEGPLGQVYMGTLNGEKVAIKKFNNPRKHEEEYKHMKAIGSDFHHRNLVNLIGYCEERANRLLVYEFVPHYRSVRCFLKGESSTLEWSTRYIICFDALTIKCCLFNEDKFWWGGDWMDDYFLDDDFQPKLAEYGREKFFSDFAATRKSTRCTAPEYTSSRTFTQKTDVYSYGVMLVEMITGKEPDDDIVQWVVPRLKRAQSKGNYDFVDKRLKEYDKIEMDRMIACALACLHDNPQDRPEMNQIIEVLKGNIDPQDLCKRELQLSSGH >Manes.15G174600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15569805:15576860:-1 gene:Manes.15G174600.v8.1 transcript:Manes.15G174600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGIGGSKSEVGPVARKRINDALDKHLERSSPSTSRTINGKEFSSQSLLMGKQPSDHKDPLSKNNASDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQHELVESAAEMLYGLIHARYILTSKGMAAMMDKYKNCDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKICCPRCEDIYYPRSKYQDIDGAYFGTTFPHLFLMTYGHLKPQKPSQSYVPRVFGFKIHKP >Manes.15G174600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15569805:15576860:-1 gene:Manes.15G174600.v8.1 transcript:Manes.15G174600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGIGGSKSEVGPVARKRINDALDKHLERSSPSTSRTINGKEFSSQSLLMGKQPSDHKDPLSKNNASDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQHELVESAAEMLYGLIHARYILTSKGMAAMMDKYKNCDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKICCPRCEDIYYPRSKYQDIDGAYFGTTFPHLFLMTYGHLKPQKPSQSYVPRVFGFKIHKP >Manes.15G174600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15569805:15576860:-1 gene:Manes.15G174600.v8.1 transcript:Manes.15G174600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGIGGSKSEVGPVARKRINDALDKHLERSSPSTSRTINGKEFSSQSLLMGKQPSDHKDPLSKNNASDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQHELVESAAEMLYGLIHARYILTSKGMAAMMDKYKNCDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKICCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQSYVPRVFGFKIHKP >Manes.15G174600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15569805:15576860:-1 gene:Manes.15G174600.v8.1 transcript:Manes.15G174600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGIGGSKSEVGPVARKRINDALDKHLERSSPSTSRTINGKEFSSQSLLMGKQPSDHKDPLSKNNASDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHEEQHELVESAAEMLYGLIHARYILTSKGMAAMMDKYKNCDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKICCPRCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPSQSYVPRVFGFKIHKP >Manes.09G011961.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2912003:2915252:1 gene:Manes.09G011961.v8.1 transcript:Manes.09G011961.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFYLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHMHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPDVVTFTTLINGLCIECKMDKAVEFFYDMVAGGYQPNVYTFNVIANGLCKFGKTNEAIGLLKGMADRGCEPDVVTYSAIIDALCKDELVGKALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILIDNICKKGLVSNAQIIIKIMIQRGVEPDVVTYNLLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYNILINGYCKCKMIDDAKELFDEMSHKGLVPDVVTYSTLIEGMFQAGRPQTAQELFKNLCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEMGLQPDVYVYSAIMKGLCQQGLMDDAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLSLNNDLILSKLRNRSKASNGVQ >Manes.08G023132.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2347283:2348324:-1 gene:Manes.08G023132.v8.1 transcript:Manes.08G023132.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLLHICWPCWEETSPSAEHIKDILSSVEADLDDDRIKFLLSEVKGKDITELIAAGRKQLASVPSAGGVAVASAAPGGAAAAAAAPAAAEAKKEEKVEEKEESDEVSLCFADPY >Manes.06G060700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19445685:19454890:1 gene:Manes.06G060700.v8.1 transcript:Manes.06G060700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKIQKEERVQICKERKRLMKQLVILRGEFADAQLTYLRALKNTGVTLRQFTESESLELENPSYSQALPPSPPLPLPPSPPPPPPFSPDLRGSIDNQREEIDQEESIILDEDDCCTPPPPIYSSSWNWESESLEQPFPLNRGKNKMVETAIDEENWAEAKADFEEEDREEEYVGKVLSVSLLQKQQKQQPAKLLDDDSSVVSCCTKDTADMAMVHWRSKKTLEGIVKELDDYFLKASAGGKELAVLMDVSKGDTSLHQNSKENKRKRSNSAKVFSALSWSWSSKSLQFAKYATEVYNPSESCKPGAHCVTLDKLYAAEQTLYTEVKEEEMTKIELEKKSMLLLKQEEENHDWTKTEKTRLSVEGLETDLRRLQHSISNTCSLILEIIDAELYPQLVTLTSGLKNTWRTMYECHQVQNHISLQLNHLTDSEGLDLTTDYHRQATTQLETEVTSWYLSFCKLIKSQQEYVSTLCKWIKLTDCLVDDNQHSSCSSAVRSLCEEWQLVFDRLPDKIASEAIKSLLDAIQTIMLQQTEEDNLHKKSDKLEKRFQKELFSLAEMEKKVSWSSSDGDVQSDLSPKNPLSLKRAKTEALKNRLDSEKTKYLNSVQVTRCMTLNKLQTGLPSLFQALMGFSSASAQAFEAVHSHGRPAVDCDASESSMS >Manes.06G060700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19446325:19454637:1 gene:Manes.06G060700.v8.1 transcript:Manes.06G060700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKIQKEERVQICKERKRLMKQLVILRGEFADAQLTYLRALKNTGVTLRQFTESESLELENPSYSQALPPSPPLPLPPSPPPPPPFSPDLRGSIDNQREEIDQEESIILDEDDCCTPPPPIYSSSWNWESESLEQPFPLNRGKNKMVETAIDEENWAEAKADFEEEDREEEYVGKVLSVSLLQKQQKQQPAKLLDDDSSVVSCCTKDTADMAMVHWRSKKTLEGIVKELDDYFLKASAGGKELAVLMDVSKGDTSLHQNSKENKRKRSNSAKVFSALSWSWSSKSLQFAKYATEVYNPSESCKPGAHCVTLDKLYAAEQTLYTEVKEEEMTKIELEKKSMLLLKQEEENHDWTKTEKTRLSVEGLETDLRRLQHSISNTCSLILEIIDAELYPQLVTLTSGLKNTWRTMYECHQVQNHISLQLNHLTDSEGLDLTTDYHRQATTQLETEVTSWYLSFCKLIKSQQEYVSTLCKWIKLTDCLVDDNQHSSCSSAVRSLCEEWQLVFDRLPDKIASEAIKSLLDAIQTIMLQQTEEDNLHKKSDKLEKRFQKELFSLAEMEKKVSWSSSDGDVQSDLSPKNPLSLKRAKTEALKNRLDSEKTKYLNSVQVTRCMTLNKLQTGLPSLFQALMGFSSASAQAFEAVHSHGRPAVDCDASESSMS >Manes.06G060700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19445680:19454890:1 gene:Manes.06G060700.v8.1 transcript:Manes.06G060700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKIQKEERVQICKERKRLMKQLVILRGEFADAQLTYLRALKNTGVTLRQFTESESLELENPSYSQALPPSPPLPLPPSPPPPPPFSPDLRGSIDNQREEIDQEESIILDEDDCCTPPPPIYSSSWNWESESLEQPFPLNRGKNKMVETAIDEENWAEAKADFEEEDREEEYVGKVLSVSLLQKQQKQQPAKLLDDDSSVVSCCTKDTADMAMVHWRSKKTLEGIVKELDDYFLKASAGGKELAVLMDVSKGDTSLHQNSKENKRKRSNSAKVFSALSWSWSSKSLQFAKYATEVYNPSESCKPGAHCVTLDKLYAAEQTLYTEVKEEEMTKIELEKKSMLLLKQEEENHDWTKTEKTRLSVEGLETDLRRLQHSISNTCSLILEIIDAELYPQLVTLTSGLKNTWRTMYECHQVQNHISLQLNHLTDSEGLDLTTDYHRQATTQLETEVTSWYLSFCKLIKSQQEYVSTLCKWIKLTDCLVDDNQHSSCSSAVRSLCEEWQLVFDRLPDKIASEAIKSLLDAIQTIMLQQTEEDNLHKKSDKLEKRFQKELFSLAEMEKKVSWSSSDGDVQSDLSPKNPLSLKRAKTEALKNRLDSEKTKYLNSVQVTRCMTLNKLQTGLPSLFQALMGFSSASAQAFEAVHSHGRPAVDCDASESSMS >Manes.08G134700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37162135:37170454:1 gene:Manes.08G134700.v8.1 transcript:Manes.08G134700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPPPEGLGDDFFEQILAVQPGYGGGGGGGSGGGAEVVGSTMPMMGLQLGTPGAGGLRTNNIGMMPLGLNLEHHGFLRQQEDGGGALDDINHANNNVSSSSTTSGINERDSVHMASLFPTFGQLQTQSIRPTPPPPPPGPRHHQPFHGQPTPGAVSAVSQPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPTANKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLSLAEGESIEGANQQAWEKWSNDGTEQQVAKLMEEDIGAAMQFLQSKALCIMPISLASAIFQTHPPDPPSIVKPESNTPS >Manes.07G025300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2737761:2740014:-1 gene:Manes.07G025300.v8.1 transcript:Manes.07G025300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADHPSDFRTIPIQDEIAESEPRGGQTDPPQAPPKPIVKKRNKYLDYQGNWLEKTRGNLMIVATVIASMAFQAVINPPSELWKDDFQETVCRVGMIKDALANKRIIEARNLAITCINKYNSQEFIICNTVSFSASLSIIFLLTVLPLRNKISMWILLVAMSITVVFIAATYVILISLGGGGSKQERFNNAILLYYVVFWVLFLTMVVIFVVLKVMFWLSKKLAIGVFYAIKFLWKTGKQQKKLKVIKPPATRVSE >Manes.03G163633.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29035674:29039051:-1 gene:Manes.03G163633.v8.1 transcript:Manes.03G163633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPAFLHNPVSSTVQATAPPSAEELELAMALNASIQSAMAEAPIIDAHSGGASASTSWNEPVNAGSQSVLPSKATGSMWAAHEAGPSGNPTQHQIQNSDISTVQTATQAPDSVPSAPSIIDEIVEDGPIHYPSIDSSPIDMCSPPVDNLAASTGEKKENGGSSSCTICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRANIDQVIRLYAV >Manes.01G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26205511:26211772:-1 gene:Manes.01G063600.v8.1 transcript:Manes.01G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSARWRSDKNKIKAVFKLQFHVTQVSQLNADALVIAVIPGDVGKPTARLEKCIIREGTFRWEYPVYETVKFTQDARTGKINERTYHFIVSAGSSKNNLVGEVSIDFAKYAEATNASTVSLPLRNSKSNGVLHVSIQKLQGNIDQSDVEETEDTNAKIRIRTLNTLLSNSGVEGGIKSNSNEVTLNTLLSNSEVEGGIKSNSNEVTLNTFLSNSKVEEGIKSNSNEVGPRNSAIHNSEVNDDRGTSSGSDITMSSSESSSGHNTPRELGSRNNNILQDSTSFLSSRSHASAAHKPSANASATIYEEHQQSQWEWSADSDHGISTDDSLNSSLDNLTRGRSQHVSDLEIEKFKSEIVALSRQVDLSELELQTLRKQIVKESKRGQDLSREVTSLKQERDVLKAECEKLKAFQKRIEQVNTKNKSQFEGGDAQVLLEEVRQELNYEKDLNANLRLQLQKTQESNAELILAVKDLEEMLEQKSRESPYPPKSRSSENAMSKSESDDDDEEQKALEELVKEHRDAKEAYLLEQRIMDLSSEIEIYRRDKDELEMQMEQLALDYEIMKQENHDMSYKLEQSRLQDQLKLQYECSSFANINELETQIESLENELKNQSKELSETLVTINELRTYIKSLEDELEKKTEGFEADIEALTCAKVEQEQRAIRAEDALLKTRWKNANTAEKLHEEFKKLSMQMTSTFDANEKVALKALTEANELQMQKSQLEEMLQKANEGLQSARIGYEAKLLDLSNQLNLKVDQIEHMLVEIDDKRKQLEHQKEREEELVGSFSQEIARLKGELEKLTIESNILSEQAEQKEDMRAELERLKVSIKHTEKLVEIGTIERDELVSKLALMKMEGEKLMEELDRMKSLKDEKETALHLHHSEVETLKAQSNDLKHSLFEDELEKEKLRTQVFQLKGDLKRKDDTITCIEKKLKENNKLAAVSDGTKTTLRDNKSATVPHGFKEAANLRDKIRLLEGQVRLKETALEISANAFLEKERDLLNKIEELEHRVEELNQNSAIFFDNQHQKLHEDNTGVTLNGGASEDLSTDETLSSTAWLPRENGNAKSLVKSNCEIVSEKELKTCVISNGESNTNELINELEEVKERNKSMENELKEMQERYSEISLKFAEVEGERQQLVITVRSLKNAKKS >Manes.01G063600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26205511:26211772:-1 gene:Manes.01G063600.v8.1 transcript:Manes.01G063600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSARWRSDKNKIKAVFKLQFHVTQVSQLNADALVIAVIPGDVGKPTARLEKCIIREGTFRWEYPVYETVKFTQDARTGKINERTYHFIVSAGSSKNNLVGEVSIDFAKYAEATNASTVSLPLRNSKSNGVLHVSIQKLQGNIDQSDVEETEDTNAKIRIRTLNTLLSNSGVEGGIKSNSNEVTLNTLLSNSEVEGGIKSNSNEVGPRNSAIHNSEVNDDRGTSSGSDITMSSSESSSGHNTPRELGSRNNNILQDSTSFLSSRSHASAAHKPSANASATIYEEHQQSQWEWSADSDHGISTDDSLNSSLDNLTRGRSQHVSDLEIEKFKSEIVALSRQVDLSELELQTLRKQIVKESKRGQDLSREVTSLKQERDVLKAECEKLKAFQKRIEQVNTKNKSQFEGGDAQVLLEEVRQELNYEKDLNANLRLQLQKTQESNAELILAVKDLEEMLEQKSRESPYPPKSRSSENAMSKSESDDDDEEQKALEELVKEHRDAKEAYLLEQRIMDLSSEIEIYRRDKDELEMQMEQLALDYEIMKQENHDMSYKLEQSRLQDQLKLQYECSSFANINELETQIESLENELKNQSKELSETLVTINELRTYIKSLEDELEKKTEGFEADIEALTCAKVEQEQRAIRAEDALLKTRWKNANTAEKLHEEFKKLSMQMTSTFDANEKVALKALTEANELQMQKSQLEEMLQKANEGLQSARIGYEAKLLDLSNQLNLKVDQIEHMLVEIDDKRKQLEHQKEREEELVGSFSQEIARLKGELEKLTIESNILSEQAEQKEDMRAELERLKVSIKHTEKLVEIGTIERDELVSKLALMKMEGEKLMEELDRMKSLKDEKETALHLHHSEVETLKAQSNDLKHSLFEDELEKEKLRTQVFQLKGDLKRKDDTITCIEKKLKENNKLAAVSDGTKTTLRDNKSATVPHGFKEAANLRDKIRLLEGQVRLKETALEISANAFLEKERDLLNKIEELEHRVEELNQNSAIFFDNQHQKLHEDNTGVTLNGGASEDLSTDETLSSTAWLPRENGNAKSLVKSNCEIVSEKELKTCVISNGESNTNELINELEEVKERNKSMENELKEMQERYSEISLKFAEVEGERQQLVITVRSLKNAKKS >Manes.05G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7026330:7029980:-1 gene:Manes.05G085300.v8.1 transcript:Manes.05G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGDKKRCLFLEAAFSGDLKLMKTLSKLLDTCDGFLAKRVESIKDHDGRTALHLAAEQGKANVCEFLIGEVKMDVNLRDRKGNTPLHCAILEDHSHIAVSLLENGANPNAATGQKFTPLHYAAKRGCRKVLQLLISKGAEIDAQADSGTPLQEAASLRMDEAVKILLDNNANPNLTFRHLFSPLLLSLCAGSIECVKQLLKAGADPNMRTVGPTPLEYAASLGDTKSIKYLLDEGALPNMASNLGLTPVEVAARQGHHTAVEILFPVTSSIASVADWSCAGIMKHFQSIEVKKKLEQRKNEQFVLFKSKGQDAFNRKDYHDAIYCYTEAECLEPMDARVKSNRSLCWACLNEGYRALADGQKSLLLDPKWQKAYYREGVGWKLLKDFEKAADSFYIGWRLDVGNKELLQAYREARQMQIQQAAAAAPAREPCFDGCCCCANRQNL >Manes.05G085300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7026330:7029980:-1 gene:Manes.05G085300.v8.1 transcript:Manes.05G085300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGDKKRCLFLEAAFSGDLKLMKTLSKLLDTCDGFLAKRVESIKDHDGRTALHLAAEQGKANVCEFLIGEVKMDVNLRDRKGNTPLHCAILEDHSHIAVSLLENGANPNAATGQKFTPLHYAAKRDSGTPLQEAASLRMDEAVKILLDNNANPNLTFRHLFSPLLLSLCAGSIECVKQLLKAGADPNMRTVGPTPLEYAASLGDTKSIKYLLDEGALPNMASNLGLTPVEVAARQGHHTAVEILFPVTSSIASVADWSCAGIMKHFQSIEVKKKLEQRKNEQFVLFKSKGQDAFNRKDYHDAIYCYTEAECLEPMDARVKSNRSLCWACLNEGYRALADGQKSLLLDPKWQKAYYREGVGWKLLKDFEKAADSFYIGWRLDVGNKELLQAYREARQMQIQQAAAAAPAREPCFDGCCCCANRQNL >Manes.05G085300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7026330:7029980:-1 gene:Manes.05G085300.v8.1 transcript:Manes.05G085300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGDKKRCLFLEAAFSGDLKLMKTLSKLLDTCDGFLAKRVESIKDHDGRTALHLAAEQGKANVCEFLIGEVKMDVNLRDRKGNTPLHCAILEDHSHIAVSLLENGANPNAATGQKFTPLHYAAKRGCRKVLQLLISKGAEIDAQADSGTPLQEAASLRMDEAVKILLDNNANAGADPNMRTVGPTPLEYAASLGDTKSIKYLLDEGALPNMASNLGLTPVEVAARQGHHTAVEILFPVTSSIASVADWSCAGIMKHFQSIEVKKKLEQRKNEQFVLFKSKGQDAFNRKDYHDAIYCYTEAECLEPMDARVKSNRSLCWACLNEGYRALADGQKSLLLDPKWQKAYYREGVGWKLLKDFEKAADSFYIGWRLDVGNKELLQAYREARQMQIQQAAAAAPAREPCFDGCCCCANRQNL >Manes.01G094000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29578376:29579506:-1 gene:Manes.01G094000.v8.1 transcript:Manes.01G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAQRVGPHPVNKSISRITKSLPFGSGRLILQDKEYRRALSASFFQPKHFCGHGG >Manes.09G129700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33182081:33186995:-1 gene:Manes.09G129700.v8.1 transcript:Manes.09G129700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGMLAMRSPFTVSQWQELEHQALIFKYMMAGLPVPPDLVLPIQKSFESISHRFFHHPTVGYCSFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQNITQSSSAVTSLTVTGSSSGTGSFQNLPLHAFGNLQGTGSGTNQSHYNLDSIPYGIPSKDYRCGQGIKPEAGEHSFFSGASGSNRGLQIDSQLDSAWSWRQSRVSSFPTSKSIDNSMLQNDYPQHSFFTGEFTTGEPVKQGGQSLRPFFDEWPKTRDSWSGLEDDRSNPASFSTTRLSISTPMASSDLSTPSSHSPHDN >Manes.05G134200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23556981:23561474:1 gene:Manes.05G134200.v8.1 transcript:Manes.05G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIGIIGAGISGLLACKHAMEKGFNPMVFEARSGLGGVWSQTIESTKLQTPKNFFQFSDFAWPSSVKESFPDHNQVMEYLQGYAANFNIIPRIMFNSRVTCIDYVTPSEEDLLSWDLWGGTGIAISPTGKWDVTLQDARNPSAQVVVYRMDFVILCIGNFSDLPNIPDFPLNKGPEVFGGQVLHSMDYAAMEDDCAAEFIKNKRVTVVGFQKSALDVAAEVSNRNGVENPCTLLFRSVYWTVPEYLHLFMFKCLTRFTGLMVHKPNEGFLSWILAFLLSPLLWIFSKIIECYLKWTQPLKEYNMIPTHGFFDQISSCLLSVLPDNFYGKVKEGSLLLKKSQSFSFCKSGLIIEGEGKPIAADIVIFATGYKGDEKLKNMFKSSFFQKCITGSSAPFYRECIHPRIPQLAILGYADNYTTLFTTEMRSKWVAHFLAGKFKLPSVSEMENEVTKWEECKRYYAKERYRRSCLNAMLQIYCNDQLCKDMGYNRRRKSCLITELFAPCYPKDYKYLKYH >Manes.16G014850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1491294:1494993:1 gene:Manes.16G014850.v8.1 transcript:Manes.16G014850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEIRSCCLGNVGGRVVRPSCNFRFESYIFYTPTADTLPPESLGSPPPVSPPLREGRDDKKPVNSTAIIVISVVIIAVLIISCCTFARARKRKEKPKTLDENRLAKSLQFDFGIIRIATDDFSDANKLGQGGFGVVHKGRLLNGQDIAVKRLSRDSGQGNTEFENEILLVAKLQHRNLVRLLGFCLEGNERVLIYEFVPNGSLDNFIFDPIKRTQLDWETRYKIIRGIARGILYLHEDSQLRIIHRDLKASNVLLDQEMNPKISDFGMARLFVMDQTQGETSTIVGTYGYMAPEYAMHGHFSVKSDVFSFGVLLLEIISGRKNVCFRNGKQIENLLSFAWRNWEEGTIVNLIDPCLKDGSASKIERLIQVGLLCIQKDITKRPTMASVVIMLNSSSYRLQVPSPPAYPAPTTADSNMAMETNWMGLDQCGSSQMSVNDVSITELYPR >Manes.16G014850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1491294:1494993:1 gene:Manes.16G014850.v8.1 transcript:Manes.16G014850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPLRLPFFFSCFVFYFIVVSAARPGDIQAPYYDCSDVGNFTANSNYQTNLKTLFSILPSQPNKDNFRFFNLSFGQVPDEVYVIALCRGDLAADACRSCVRASAHNISEICPLNKEAFAYVDDCQLRYSNKTLFGVVENQPTLYYTNPQFVSSSDGALFNSEVERLLKRLRIRAASADSKFATGNATVNSKTIYGLTQCSSGLSNQQCDECLVMAIGEIRSCCLGNVGGRVVRPSCNFRFESYIFYTPTADTLPPESLGSPPPVSPPLREGRDDKKPVNSTAIIVISVVIIAVLIISCCTFARARKRKEKPKTLDENRLAKSLQFDFGIIRIATDDFSDANKLGQGGFGVVHKGRLLNGQDIAVKRLSRDSGQGNTEFENEILLVAKLQHRNLVRLLGFCLEGNERVLIYEFVPNGSLDNFIFDPIKRTQLDWETRYKIIRGIARDQEMNPKISDFGMARLFVMDQTQGETSTIVGTYGYMAPEYAMHGHFSVKSDVFSFGVLLLEIISGRKNVCFRNGKQIENLLSFAWRNWEEGTIVNLIDPCLKDGSASKIERLIQVGLLCIQKDITKRPTMASVVIMLNSSSYRLQVPSPPAYPAPTTADSNMAMETNWMGLDQCGSSQMSVNDVSITELYPR >Manes.16G014850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1491294:1494993:1 gene:Manes.16G014850.v8.1 transcript:Manes.16G014850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPLRLPFFFSCFVFYFIVVSAARPGDIQAPYYDCSDVGNFTANSNYQTNLKTLFSILPSQPNKDNFRFFNLSFGQVPDEVYVIALCRGDLAADACRSCVRASAHNISEICPLNKEAFAYVDDCQLRYSNKTLFGVVENQPTLYYTNPQFVSSSDGALFNSEVERLLKRLRIRAASADSKFATGNATVNSKTIYGLTQCSSGLSNQQCDECLVMAIGEIRSCCLGNVGGRVVRPSCNFRFESYIFYTPTADTLPPESLGSPPPVSPPLREGRDDKKPVNSTAIIVISVVIIAVLIISCCTFARARKRKEKPKTLDENRLAKSLQFDFGIIRIATDDFSDANKLGQGGFGVVHKGRLLNGQDIAVKRLSRDSGQGNTEFENEILLVAKLQHRNLVRLLGFCLEGNERVLIYEFVPNGSLDNFIFDPIKRTQLDWETRYKIIRGIARGILYLHEDSQLRIIHRDLKASNVLLDQEMNPKISDFGMARLFVMDQTQGETSTIVGTYGYMAPEYAMHGHFSVKSDVFSFGVLLLEIISGRKNVCFRNGKQIENLLSFAWRNWEEGTIVNLIDPCLKDGSASKIERLIQVGLLCIQKDITKRPTMASVVIMLNSSSYRLQVPSPPAYPAPTTADSNMAMETNWMGLDQCGSSQMSVNDVSITELYPR >Manes.05G103201.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9634204:9635216:1 gene:Manes.05G103201.v8.1 transcript:Manes.05G103201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVISTLISAWLKFLHAILSDVFLFYSQHLKEFISSIHNAPTKEHEEKLLISGEDVKMVMNRLGILLECDSDGDDKLRERYSESEVSGVFEEEEPSLEEVRDAFEIFDENKDGFIDGEELHRVLCCLGFMKEGSLQVEKCEEMISAAVRKYGSDGKLDFNAFVRFMERCF >Manes.18G075800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6907514:6911139:1 gene:Manes.18G075800.v8.1 transcript:Manes.18G075800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASMAAVAATATARPSSITLLTRRRPRSIFVPLAFSSFSSSLKTRKLVLYSKPGCCLCDGLKEKLQAAFLLSGPHSLHDVDLQVRDITSNPEWERAYQYEIPVLAKVLSDGTEAGFSQAK >Manes.18G075800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6907514:6910748:1 gene:Manes.18G075800.v8.1 transcript:Manes.18G075800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASMAAVAATATARPSSITLLTRRRPRSIFVPLAFSSFSSSLKTRKLVLYSKPGCCLCDGLKEKLQAAFLLSGPHSLHDVDLQVRDITSNPEWERAYQYEIPVLAKVLSDGTEAF >Manes.18G075800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6907514:6911462:1 gene:Manes.18G075800.v8.1 transcript:Manes.18G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASMAAVAATATARPSSITLLTRRRPRSIFVPLAFSSFSSSLKTRKLVLYSKPGCCLCDGLKEKLQAAFLLSGPHSLHDVDLQVRDITSNPEWERAYQYEIPVLAKVLSDGTEETLPRLSPRLGVELIHKKIVAALSQ >Manes.18G075800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6907514:6907950:1 gene:Manes.18G075800.v8.1 transcript:Manes.18G075800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASMAAVAATATARPSSITLLTRRRPRSIFVPLAFSSFSSSLKTRKLVLYSKPGCCLCDGLKEKLQAAFLLSGPHSLHDVDLQVRDITSNPEWERAYQYEIPVLAKVLSDGTEVSFSFP >Manes.13G092620.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23906064:23910112:-1 gene:Manes.13G092620.v8.1 transcript:Manes.13G092620.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIWSQVSALWQKPGSAAEGAFGRRTWLGRQAFRLPKLPPKRDFRLCLGLSAAEGAAEPAWVSALEALSAAEGAAEPALFSLLLHYLGVPLLHSRVTRKTYQYIIDNIKKKLTALGMRKLSLASRITLALSVISAIPSYTMQTSIMQNYICEEVDKLCRQLIWGSLEGVRKVPLVPWKQVMKPKEYGGLGFRSAKKGIVWSLGNGNSISFWLDAWLPDGQILAQQITLSLNESLLTRTVSSYICENGQWDWEVIGGHFQMSTLLKIANVPAPSSRESKDFLVWKLTSEGKATLRSAHLLLSNLNENDKDTVWKLIWKWNGPQWVKTFLWLVNHDKILTNVERRRRHLYILSLCELCNLKYEASLHALRDCPAAAHVWKRLIPPEWINAFFNENSSKCWLRWNLELPLMFGLVVWKLWNCRNTYIFTKESMDINTLLHLALTEAKKTRQALNQRNATWQKILENVLKLNTNTTVTRQRHLAFGGGIFRDSTGGWVKGFIVSLDYKKLLVECDNLNVVNMLIKGSSSSE >Manes.01G042700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8477977:8495573:-1 gene:Manes.01G042700.v8.1 transcript:Manes.01G042700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQRTQLVASPMYPNAIAWSDENLIAVACGPIVTILICSPDVCSPMLCLGIVDHVCVQFLGLQLEWLLTLVCTTEGHVKLYRSPFCDFCAEWIEVIDISDRLYVYLARTNFGESEILNSEIVHDQTTQVKLGDNHADVFPSTITGKERKRRRGHTTNGINGEKSHAGSGSHTDTREEKLHKIPADQYASRSDMLSSIVVAWSPVLCLSSKICTAAQDVSSPRFSIFAVGGKSGKISIWRIHAPQCYSIEHSRVSPGVVLVGILQAHISWVTAINLALLGSKANNQVLLASASSDGSVKIWLGNGDELLESESSNAPFFLLKEVIFGSFVPVSVLSLVTPVQAPHKILLAVGKGSGSIEVWTCDIPSCKFDKVCSQDAHDYVVTGLAWAFDGCCLYSCGQDNYVRSWLLCGNSLCEVSIPSCTPSLRSSTDLPDVFFSCMGVASSPGNLVVATVRNLDIEQLDHMYEARAQKAIVEFFWIGGQEIDMLSNTSQDFIKESFLGFSKSELLYWESNILWCLKTFENPDKPLVIWDIIASLLAFKQLMPDYVDHVLASWLAMLYLGSDTDLSINEVLTRISPNLTKITSRQLHMLNIICRCLVLSVLKADEINSKLNFEEPTSAAGEQQTLWIELLSRSEKELRERLLVFSFSFSAKNFSATGYWRPVGIAQMEQWIQLNQDHVNDQVKVLASEIQKHKSRLSSDVYRVEEQCSYCSASVPFNSPEIAFCEGVKNSNVDVQNHKLVRCAVSMQVCPATPLWHCKCCNRWASKLAPETLFTMPGYPVDLKSLVAEELTKPLCPFCGIQLQRLQPDFLLSATPV >Manes.01G042700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8477977:8495572:-1 gene:Manes.01G042700.v8.1 transcript:Manes.01G042700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQRTQLVASPMYPNAIAWSDENLIAVACGPIVTILNPALTFGPRGLITIRTCEPYSIGLVKKEDLLAGCLLSNALSRDRRPCVRSISWSPVGMAPNFGCLLAVCTTEGHVKLYRSPFCDFCAEWIEVIDISDRLYVYLARTNFGESEILNSEIVHDQTTQVKLGDNHADVFPSTITGKERKRRRGHTTNGINGEKSHAGSGSHTDTREEKLHKIPADQYASRSDMLSSIVVAWSPVLCLSSKICTAAQDVSSPRFSIFAVGGKSGKISIWRIHAPQCYSIEHSRVSPGVVLVGILQAHISWVTAINLALLGSKANNQVLLASASSDGSVKIWLGNGDELLESESSNAPFFLLKEVIFGSFVPVSVLSLVTPVQAPHKILLAVGKGSGSIEVWTCDIPSCKFDKVCSQDAHDYVVTGLAWAFDGCCLYSCGQDNYVRSWLLCGNSLCEVSIPSCTPSLRSSTDLPDVFFSCMGVASSPGNLVVATVRNLDIEQLDHMYEARAQKAIVEFFWIGGQEIDMLSNTSQDFIKESFLGFSKSELLYWESNILWCLKTFENPDKPLVIWDIIASLLAFKQLMPDYVDHVLASWLAMLYLGSDTDLSINEVLTRISPNLTKITSRQLHMLNIICRCLVLSVLKADEINSKLNFEEPTSAAGEQQTLWIELLSRSEKELRERLLVFSFSFSAKNFSATGYWRPVGIAQMEQWIQLNQDHVNDQVKVLASEIQKHKSRLSSDVYRVEEQCSYCSASVPFNSPEIAFCEGVKNSNVDVQNHKLVRCAVSMQVCPATPLWHCKCCNRWASKLAPETLFTMPGYPVDLKSLVAEELTKPLCPFCGIQLQRLQPDFLLSATPV >Manes.01G042700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8477977:8495573:-1 gene:Manes.01G042700.v8.1 transcript:Manes.01G042700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNFGCLLAVCTTEGHVKLYRSPFCDFCAEWIEVIDISDRLYVYLARTNFGESEILNSEIVHDQTTQVKLGDNHADVFPSTITGKERKRRRGHTTNGINGEKSHAGSGSHTDTREEKLHKIPADQYASRSDMLSSIVVAWSPVLCLSSKICTAAQDVSSPRFSIFAVGGKSGKISIWRIHAPQCYSIEHSRVSPGVVLVGILQAHISWVTAINLALLGSKANNQVLLASASSDGSVKIWLGNGDELLESESSNAPFFLLKEVIFGSFVPVSVLSLVTPVQAPHKILLAVGKGSGSIEVWTCDIPSCKFDKVCSQDAHDYVVTGLAWAFDGCCLYSCGQDNYVRSWLLCGNSLCEVSIPSCTPSLRSSTDLPDVFFSCMGVASSPGNLVVATVRNLDIEQLDHMYEARAQKAIVEFFWIGGQEIDMLSNTSQDFIKESFLGFSKSELLYWESNILWCLKTFENPDKPLVIWDIIASLLAFKQLMPDYVDHVLASWLAMLYLGSDTDLSINEVLTRISPNLTKITSRQLHMLNIICRCLVLSVLKADEINSKLNFEEPTSAAGEQQTLWIELLSRSEKELRERLLVFSFSFSAKNFSATGYWRPVGIAQMEQWIQLNQDHVNDQVKVLASEIQKHKSRLSSDVYRVEEQCSYCSASVPFNSPEIAFCEGVKNSNVDVQNHKLVRCAVSMQVCPATPLWHCKCCNRWASKLAPETLFTMPGYPVDLKSLVAEELTKPLCPFCGIQLQRLQPDFLLSATPV >Manes.01G042700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8477977:8495572:-1 gene:Manes.01G042700.v8.1 transcript:Manes.01G042700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQRTQLVASPMYPNAIAWSDENLIAVACGPIVTILNPALTFGPRGLITIRTCEPYSIGLVKKEDLLAGCLLSNALSRDRRPCVRSISWSPVGMAPNFGCLLAVCTTEGHVKLYRSPFCDFCAEWIEVIDISDRLYVYLARTNFGESEILNSEIVHDQTTQVKLGDNHADVFPSTITGKERKRRRGHTTNGINGEKSHAGSGSHTDTREEKLHKIPADQYASRSDMLSSIVVAWSPVLCLSSKICTAAQDVSSPRFSIFAVGGKSGKISIWRIHAPQCYSIEHSRVSPGVVLVGILQAHISWVTAINLALLGSKANNQVLLASASSDGSVKIWLGNGDELLESESSNAPFFLLKEVIFGSFVPVSVLSLVTPVQAPHKILLAVGKGSGSIEVWTCDIPSCKFDKVCSQDAHDYVVTGLAWAFDGCCLYSCGQDNYVRSWLLCGNSLCEVSIPSCTPSLRSSTDLPDVFFSCMGVASSPGNLVVATVRNLDIEQLDHMYEARAQKAIVEFFWIGGQEIDMLSNTSQDFIKESFLGFSKSELLYWESNILWCLKTFENPDKPLVIWDIIASLLAFKQLMPDYVDHVLASWLAMLYLGSDTDLSINEVLTRISPNLTKITSRQLHMLNIICRCLVLSVLKADEINSKLNFEEPTSAAGEQQTLWIELLSRSEKELRERLLVFSFSFSAKNFSATGYWRPVGIAQMEQWIQLNQDHVNDQVKVLASEIQKHKSRLSSDVYRVEEQCSYCSASVPFNSPEIAFCEGVKNSNVDVQNHKLVRCAVSMQVCPATPLWHCKCCNRWASKLAPETLFTMPGYPVDLKSLVAEELTKPLCPFCGIQLQRLQPDFLLSATPV >Manes.01G042700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8477977:8495572:-1 gene:Manes.01G042700.v8.1 transcript:Manes.01G042700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDLLAGCLLSNALSRDRRPCVRSISWSPVGMAPNFGCLLAVCTTEGHVKLYRSPFCDFCAEWIEVIDISDRLYVYLARTNFGESEILNSEIVHDQTTQVKLGDNHADVFPSTITGKERKRRRGHTTNGINGEKSHAGSGSHTDTREEKLHKIPADQYASRSDMLSSIVVAWSPVLCLSSKICTAAQDVSSPRFSIFAVGGKSGKISIWRIHAPQCYSIEHSRVSPGVVLVGILQAHISWVTAINLALLGSKANNQVLLASASSDGSVKIWLGNGDELLESESSNAPFFLLKEVIFGSFVPVSVLSLVTPVQAPHKILLAVGKGSGSIEVWTCDIPSCKFDKVCSQDAHDYVVTGLAWAFDGCCLYSCGQDNYVRSWLLCGNSLCEVSIPSCTPSLRSSTDLPDVFFSCMGVASSPGNLVVATVRNLDIEQLDHMYEARAQKAIVEFFWIGGQEIDMLSNTSQDFIKESFLGFSKSELLYWESNILWCLKTFENPDKPLVIWDIIASLLAFKQLMPDYVDHVLASWLAMLYLGSDTDLSINEVLTRISPNLTKITSRQLHMLNIICRCLVLSVLKADEINSKLNFEEPTSAAGEQQTLWIELLSRSEKELRERLLVFSFSFSAKNFSATGYWRPVGIAQMEQWIQLNQDHVNDQVKVLASEIQKHKSRLSSDVYRVEEQCSYCSASVPFNSPEIAFCEGVKNSNVDVQNHKLVRCAVSMQVCPATPLWHCKCCNRWASKLAPETLFTMPGYPVDLKSLVAEELTKPLCPFCGIQLQRLQPDFLLSATPV >Manes.18G106200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10389045:10391875:-1 gene:Manes.18G106200.v8.1 transcript:Manes.18G106200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPANDWCSVCHGRFRVPCQANCSHWFCGDCIMLVWHHGSAIQPCKCPLCRRQITLLVPSETSQRERHNPEVAEILQKVQAYNRLFGGQTSGLIQRMQDLPFLLKRLLREIMDPQRSLPLVIRARVYIAVK >Manes.18G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10386156:10391985:-1 gene:Manes.18G106200.v8.1 transcript:Manes.18G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPANDWCSVCHGRFRVPCQANCSHWFCGDCIMLVWHHGSAIQPCKCPLCRRQITLLVPSETSQRERHNPEVAEILQKVQAYNRLFGGQTSGLIQRMQDLPFLLKRLLREIMDPQRSLPLVIRARVYIAMILSAIYILSPVDIIPEGILGIVGLLDDFLIGLICFLHVAAIYRSVLYYRHGGS >Manes.06G013900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2296520:2305885:1 gene:Manes.06G013900.v8.1 transcript:Manes.06G013900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGQAVLSAFFKVLFDRLGSSELLKYARQEKVYAEFKKWEKILRKIHAVLDDAEEKQLTNQFVKIWLAELRDLAYDVDDILDEFTTEAIHRGLIVESEVSRSKRPKVIQACSNLIPSNVVFRVRMGSKIKGITSRLQEISSQKNDLELRENLEGSSKKVRKRLPTTSLVNESQIFGRERDKEIMLEFLMMDYACDSKICVIAILGMAGVGKTTLAQLVYNDNQVKDCFDLKVWASVSDDFDVLSMTKTILESLTHRTLGADLNLLQVRLQGILTDKKFLLVLDDVWNENYYNWEVLCSPFRSGAPGSKVVVTTRNEGVASIMGAVAAYRLKELPYDSCFPLFTQLALGTNNFDGHPNLKAIGERIVEKCKGLPLAAKTLGSLLQTKGSQDEWEDILNSKIWDLPEEQSNILPALRLSYHYLPSHLKQCFAYCSIFPKDYEFSREQVILLWMAEGFLQQPKGAKRMENLGAKYFDDLLARSFFQQSSRNGALFVMHDLINDLAQYVAGEVCFRLEDGLESVHKARHVSYIRQKYDVFKKFEVLYKTESLRTFLPLPVHMGLGFRNSYLTKKVIDELLPKLRCLRVLSLSGYCINALPNGIGKLKHLRYLDLSHTLIKILPESVSTLCNLETLILHGCGALLNLPAGIVNLISLRHLDIRNTKQLLELPLRIGKLTNLRTLSKFAVGKESGSKLTELKDLLRLRGTLTITGLHNVANISEARDANLQYKQDLQELVMEWSSNIELQNECNDRREVDVLDMLQPHKNLEVLKIEFYPGTTFPSWIGHSSFSKIKSLTLKSCAKCSSLPSLGKLPFLADLFIEGMHSLKSVGLEFYGEDFSLISFPSLKILTFSDMLEWEYWSSTIAEASFDGVFPYLSELCIRNCPKLIRQLPSNLSSLDKLDINKCPLLEVRFPKLLSLSVLSLEECNEVAVSSLADLPSSSLTTLQLRNISNISQLPKKCSLALKVMHIINCNDLTTLWQIVDQSMPIRIEQLEICNCSSFKELPHGLFSSTSLASLKIKRCPKLVSFPKLQSPYMLRHLIIEECESLECLPDGMMMHGSYGSNNICHLESLEIIKCPSLKSFPRGQLPTSLKVLKIWDCMELESLPGMILQSTMSLECLSVRKYTNLDTLPECFDCLTHLTELHISYCVGLEFFPETGLSASNLKRFYVFNCPNLKSLPENMYSLTALQHLGISSCPQLVSFPEGGLPSNLKSIRINNCESLPHLSEWGLHRILTLKDLTISSGWPNLVSIAQECWLPASLTYLRIGKLINLESLSIRLEHLTSLQVLEITECPKLRSLPKEGWPPTLSVLEILDSPILKRQVLQKKGKYASIIANIPRVEIDDVLL >Manes.07G032600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3591299:3598408:-1 gene:Manes.07G032600.v8.1 transcript:Manes.07G032600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTTVQPPGLAVDILPFVDMTTLSQSELRTLSRCSSSFFEPRRTDDTRTITIDPASFNESAGSRRQTYSRLSSHHHHHRHRLAGLLPKTPNPPSPTPLPSNDPSTDTDHLENHSIIGFLKQFLSTHPEFQQLDLVDFDSFSHLNDAINFNSNPPPLAAVVEAPVVNGNFVIGERKRKRGRKPKVKVLSERAMGAEIVNKNGVVVDLVGLSSLEEPFMEELRRRTEGMDKEEELLGFLRDIGGQWCSRRRKRRIVDASEFGDILPVDWKLLLGLKRKEGRTWVYCRRYISPGGQQFVSCKEVAAYLQSIFGSYDARPLKDHAGDIIQQVHRLASESQHAGANKKDEDQSQASEREKAVALLGIDNLELAEVQIHDLFECHKCNMTFDEKDTYLQHLLSFHQRTTRRYRLGSSVGDGVIVKDGKYECQFCHKVFHERRRYNGHVGIHVRNYVRGIEESPISRMALQKRSDSPNKDELPTRISKMDALIEIAQNSIRETSSSGPNGESNGGFTSNKQNLVPNAELLASVSDHELKSDSSLSEPEIECEYQELELSQKKSDHMIDDVSNVLDVEIDSLFANEQHSKALAGTDRLAAFTDVIDSSFIEQERGSEHCSLVPVSDQKICSIENNVNLVGTDKQDNHKTDKVDKMGSIEVEIGFVSNKREADVTSIQENVQESFKEDELQHGVPEPPVSLLQPSDGFSVPNVSLDKGATEFSMIDQGHQKIAGFEELKLEEIEELKYSSGTGQLPISLPEVPINMENNTEMEGSYDASVKFEPKIVDTAGGQQLMTVCVWCGVEFSHEAVDTEMQSDSVGYMCPTCKARISGQLNVLGGDCS >Manes.07G032600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3591299:3598408:-1 gene:Manes.07G032600.v8.1 transcript:Manes.07G032600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTTVQPPGLAVDILPFVDMTTLSQSELRTLSRCSSSFFEPRRTDDTRTITIDPASFNESAGSRRQTYSRLSSHHHHHRHRLAGLLPKTPNPPSPTPLPSNDPSTDTDHLENHSIIGFLKQFLSTHPEFQQLDLVDFDSFSHLNDAINFNSNPPPLAAVVEAPVVNGNFVIGERKRKRGRKPKVKVLSERAMGAEIVNKNGVVVDLVGLSSLEEPFMEELRRRTEGMDKEEELLGFLRDIGGQWCSRRRKRRIVDASEFGDILPVDWKLLLGLKRKEGRTWVYCRRYISPGGQQFVSCKEVAAYLQSIFGSYDARPLKDHAGDIIQQVHRLASESHAGANKKDEDQSQASEREKAVALLGIDNLELAEVQIHDLFECHKCNMTFDEKDTYLQHLLSFHQRTTRRYRLGSSVGDGVIVKDGKYECQFCHKVFHERRRYNGHVGIHVRNYVRGIEESPISRMALQKRSDSPNKDELPTRISKMDALIEIAQNSIRETSSSGPNGESNGGFTSNKQNLVPNAELLASVSDHELKSDSSLSEPEIECEYQELELSQKKSDHMIDDVSNVLDVEIDSLFANEQHSKALAGTDRLAAFTDVIDSSFIEQERGSEHCSLVPVSDQKICSIENNVNLVGTDKQDNHKTDKVDKMGSIEVEIGFVSNKREADVTSIQENVQESFKEDELQHGVPEPPVSLLQPSDGFSVPNVSLDKGATEFSMIDQGHQKIAGFEELKLEEIEELKYSSGTGQLPISLPEVPINMENNTEMEGSYDASVKFEPKIVDTAGGQQLMTVCVWCGVEFSHEAVDTEMQSDSVGYMCPTCKARISGQLNVLGGDCS >Manes.06G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26082315:26084530:-1 gene:Manes.06G132200.v8.1 transcript:Manes.06G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAEYYRSLPPVSKAYGVACLMTTAAYYLDLYNIRNIALFYGDVIKRFQVWRLFTNFFFLGPFSFPFAFRLIIIARYGVSLERGPFDKRSADFVWMFFFGALSLLLMAAVPMLWSPFMGVSLVFMIVYVWGREFPNARIDIYGLVSLKGFYLPWAMLALDLIFGNPLKPDILGMVAGHLYYFLTVLHPLSGGRFILRTPLWVHKLVAFWGEGTQVNAPVQRDPSAGVAFRGRSYRLNGTRARPAEESQGTTPAQQPNTSADGVAFQGRSYRLGGR >Manes.12G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4864418:4867974:-1 gene:Manes.12G051700.v8.1 transcript:Manes.12G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVSKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKELELLMMHNRTYCAEIAHDVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Manes.06G046300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14586226:14596688:1 gene:Manes.06G046300.v8.1 transcript:Manes.06G046300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEISEKASMDRHAPQPTSSIPATENTVWADASPLLEAACRELRDGELIHGENFNLFAAMSALEIMDPKMDSGIISRYCSVDEAIEDGAAPIPISFDKTTDVQCTIDIMDYLLACEATWHKGHSLAQTVFSCIYLLRPERTASHTLLDSFCKVIRATCKAVVSVVSDARTHEEEDLFIMAYGLPLNVHGDDKCLSLLNAVEENISRQLRACKAPSSKRKVLEDIEPLQANPDLEEGYCKALLCRIRFRKHFFHVLTCMKRPQGRGMELARKHIATCISELESIYKSAEFLLSNASGTCEDGMEDRTTASGCQPVGFDTSLNCRISAPTPPRSIKLLSWIKAIEYFEKLLQDLDLICSFMLDPSLEVLLHFLVQFQKSQPDLVARAHLQLLLVQDGKLYGRDPIFSVITRAAGLPEAAKNHDIQKNEYIIQLGQLVINMLKILCTNAAWQRRKLGKILQDWRVIYVQLELAFTKEYREVSNNSNNEVCCTFLGV >Manes.06G046300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14586226:14599728:1 gene:Manes.06G046300.v8.1 transcript:Manes.06G046300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEISEKASMDRHAPQPTSSIPATENTVWADASPLLEAACRELRDGELIHGENFNLFAAMSALEIMDPKMDSGIISRYCSVDEAIEDGAAPIPISFDKTTDVQCTIDIMDYLLACEATWHKGHSLAQTVFSCIYLLRPERTASHTLLDSFCKVIRATCKAVVSVVSDARTHEEEDLFIMAYGLPLNVHGDDKCLSLLNAVEENISRQLRACKAPSSKRKVLEDIEPLQANPDLEEGYCKALLCRIRFRKHFFHVLTCMKRPQGRGMELARKHIATCISELESIYKSAEFLLSNASGTCEDGMEDRTTASGCQPVGFDTSLNCRISAPTPPRSIKLLSWIKAIEYFEKLLQDLDLICSFMLDPSLEVLLHFLVQFQKSQPDLVARAHLQLLLVQDGKLYGRDPIFSVITRAAGLPEAAKNHDIQKNEYIIQLGQLELAFTKEYREVSNNSNNESASVRIFKQILVWIEEQTYWIAHRFLILGFELDLYAPGEYCMVYWYLYVILIKLSEKTQIKMSAADGTAKRKGKKRKDSPKDLARESRIPPAVLFLQCQMYLAEGLTVLLAALKNELMILQSPSPFNSEHERFIQHFELLQKACIPDHVSYPSFKESTAYARFSTIVVYNYFKDAQKIAKEIKSSFSNDPDRLAELRKLELVAEHNSVALNVICQVGALDPSLKVSLEFIHHPCFATAIVKRS >Manes.06G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14586227:14599728:1 gene:Manes.06G046300.v8.1 transcript:Manes.06G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEISEKASMDRHAPQPTSSIPATENTVWADASPLLEAACRELRDGELIHGENFNLFAAMSALEIMDPKMDSGIISRYCSVDEAIEDGAAPIPISFDKTTDVQCTIDIMDYLLACEATWHKGHSLAQTVFSCIYLLRPERTASHTLLDSFCKVIRATCKAVVSVVSDARTHEEEDLFIMAYGLPLNVHGDDKCLSLLNAVEENISRQLRACKAPSSKRKVLEDIEPLQANPDLEEGYCKALLCRIRFRKHFFHVLTCMKRPQGRGMELARKHIATCISELESIYKSAEFLLSNASGTCEDGMEDRTTASGCQPVGFDTSLNCRISAPTPPRSIKLLSWIKAIEYFEKLLQDLDLICSFMLDPSLEVLLHFLVQFQKSQPDLVARAHLQLLLVQDGKLYGRDPIFSVITRAAGLPEAAKNHDIQKNEYIIQLGQLVINMLKILCTNAAWQRRKLGKILQDWRVIYVQLELAFTKEYREVSNNSNNESASVRIFKQILVWIEEQTYWIAHRFLILGFELDLYAPGEYCMVYWYLYVILIKLSEKTQIKMSAADGTAKRKGKKRKDSPKDLARESRIPPAVLFLQCQMYLAEGLTVLLAALKNELMILQSPSPFNSEHERFIQHFELLQKACIPDHVSYPSFKESTAYARFSTIVVYNYFKDAQKIAKEIKSSFSNDPDRLAELRKLELVAEHNSVALNVICQVGALDPSLKVSLEFIHHPCFATAIVKRS >Manes.08G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5911875:5914464:-1 gene:Manes.08G054700.v8.1 transcript:Manes.08G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPPSSFLKGKTIRLLGFFMILFLFFIPDKSDHCVPSNKSSSNIPWLENLNLDGYSSFDDIEHAAKDFGNRYHFQPSAVLHPKSVFDISSTIKYIFDMGSSSEITVAARGHGHSVQGQAQAHRGIVINMESLQGQEMRIHTGETPYVDVSGGELWINVLHETVKYGLAPKSWTDYLHLTIGGTLSNAGISGQAFRHGPQINNVYQMEVITGKGEIVTCSEEQNTDLFYGVLGGLGQFGIITRAIISLERAPNMVKWIRGLYSEFSKLSKDQEYLISAENSFDYIEGFVIINRTGLLNSWRTSFSPKDPLKANQFTSDGRVLYCLEIAKYFNPDEYDSMNQKTEDLLSELSYIPSTLFTSEVSYVEFLDRVHVSEIKLRAKGLWEVPHPWMNLLIPKSKISEFAHEVFGNILSERSNGPVLIYPVNKSKWNNRTSLITPAEDIFYLVAFLSSAAPSSTGKDGLQYILTQNQKILDFCNKANLEVKQYLPHYGTQEEWQAHFGPQWEIFVQRKSTYDPFAILAPGQSIFKKGSSLPTTVSTQVSHKINEVR >Manes.16G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32824143:32827056:-1 gene:Manes.16G127100.v8.1 transcript:Manes.16G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKMVPLPRKKKTGTVPVYLNVYDLTTINGYAYWVGLGIYHSGVQVHGVEYGFGAHDHASTGIFEVEPRQCPGFSFRKSMLIGRTDLGPKEVRSFMEKLSQDYPGNSYHLITKNCNHFCNDVCTKLTGKAIPRWVNRLARLGLLCNCVLPAELNESRVRQVKSQTNGQDGDKKKLRSRSSRIVSTTPNAVPTPALTPCTSGSDRQRYCLPQTLVHDPPTT >Manes.01G114000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31174465:31175430:-1 gene:Manes.01G114000.v8.1 transcript:Manes.01G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYLSRKLVNPLLFKNPKSIKVVFPSGEIRQIHQPTKAADLMMETPNFFVVNSASLKIGKKFRALSADDDLHKANVYFMLPMDRKNYVVTASDLGALFRTAHTRAVKRIAGGKFRVRPDTAEGSVDAVVVPKLRLEGIGEVSTPEFKYMLSMSRSRKPLLATIDEEPVRSISAGGRM >Manes.13G103400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30276089:30278033:-1 gene:Manes.13G103400.v8.1 transcript:Manes.13G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFFFFSLLVLPSADSLNFKLTRFDSDVNSIIYRGDAEPAAGAVELISSFTYTCRVGRVTHAERVRIWDSSSGQLSNFTTHFSFIIDTQGRSAYGHGIAFFLAPVGSDIPLNSADSDWDTEPAGHVGINNNSLSSAAHTPWNASFHSGDTADVRITYDAITKNLSVSWSYQETSNPLENSSLSYIIDLMKILPEWVNIGFSSATGSYLERNKLLSWEFSSTLEVKDTNESISKRIRVIVGVAVSVCVLTFGVILTSWRRRKQALTKKDGEKINLTSINEDLERRAGPRRFSYEELVSATNNFSNERMLGKGGFGAVYKGYLVEMDLAIAVKKISRGSKQGRKEYVTEVKTIGLLRHRNLVQLLGWCHENGEFLLVYEFMPKGSLDAHLFSKKSPLNWAARYKISLGLASALLYLHEEWDQCVVHRDVKSSNVMLDANFNAKLGDFGLAKLTDHELGPQTTGLAGTLGYMAPEYITTRRASKESDVYSYGVVALEIGSGRRAIDHIEEEHEMSLLEWIWELYGRNKLHVAIDKSLHMDYDQKQIECLMIVGLWCTHPDHHLRPSIRQAIQVLNFEAPVPNLPAKMPVPMYSVQVNNANSGEAFITNSSIALGR >Manes.12G119200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32234835:32242908:-1 gene:Manes.12G119200.v8.1 transcript:Manes.12G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAITRSGLLRNTLRGGSRASAPTKRRFSSSAHNDDAYEAAEASKWEKITYLGIATCTILAFYNLSKGHPHYEEPPPYPYLHIRNKEFPWGPYGLFEKKPH >Manes.05G061300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5154202:5154477:-1 gene:Manes.05G061300.v8.1 transcript:Manes.05G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFSNAKFLSAFITKTINGRGFSAAAPQGVVPNVPKGGASTVKKIAEEKIASSEKVAWIPDPRTGCYRPENVAKEIDAAELRAMLLKKH >Manes.15G094032.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7317889:7318963:-1 gene:Manes.15G094032.v8.1 transcript:Manes.15G094032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFQQLFLSLCLSFTIFSLISQASVPPSATFKYVNEGDYGERRVEYDALYRALEPFAQPFQLCFYSNSTTPDQFTLALRMGTWTNFSRMRWVWEANRGNPVGENATFSLGTDGNLVLADADGRIAWQSYTANKGVVGFQLLSNGNMVLHDSKGRFIWQSFDYPTDTLLVGQSLRLGGPTKLVSRVSEEKTADGPYSLVLEDKTMTMYYRSQIPQNHSFTSHFLNYLLHQRLL >Manes.S041216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1199489:1199650:1 gene:Manes.S041216.v8.1 transcript:Manes.S041216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.08G133500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37048778:37052354:-1 gene:Manes.08G133500.v8.1 transcript:Manes.08G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQRLVIPMVKLGNQGLEVSKLGFGCMGLSGGYNAPLPEEVGISTIKEAFNRGITFFDTADVYGPNTNEILIGKALKQLPREKIQLATKFGIVCENNDFKAASFNGKPEYVRACCEASLKRLEVEYIDLYYQHRIDPSVPIEETMGELKKLVEEGKIKYIGLSEPSPDTIRRAHAVHPITALQMEWSLWTRELEEEIVPLCRELGIGIVPYSPLGQGFFAGKAVVEAIPSDTLQGLFPRFVGENLEQNKVIYGRIDNLAKKYGCSPAQLALAWVLNQGDDVVPIPGTTKIKNLEDNIGALRVKLTKDEFKEVSDAVPADQVAGLRTIDIRYTWKFGNTPLRDGQI >Manes.15G125000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9947076:9950066:1 gene:Manes.15G125000.v8.1 transcript:Manes.15G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFVPFNNRNLDTSFFVFRPTVVLVDEFVDTLKHFSGSTESLGCVRSSIFRSIHGNMIIWYGAWTKKSSENKELLTSALLSMLTSISSMAILTDHGFFDAYAGESRDGSSAAKFSTGDAISMNVIVPQSGDINDLSYANLAIFKSHFLKMEGVSAGVCLNCQTMPRVVSLYVWKSLQFCYSWIINSDHRKTMLPYLERFSLDIKYDIFRVVFVSSDNLLSYQHLPSHMMLQNEETRKEKSQVIMQD >Manes.13G085978.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:15944090:15945675:1 gene:Manes.13G085978.v8.1 transcript:Manes.13G085978.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTCMLCMAIWEIRGINSLGANLGPGSSLMPSTSHINYFQRAAISSDLVHGAPFGSDFSFDPSQSRPAWSILRFQDPMAVSSGGLYGGDSLNVFVDGALFPYFKLSGCGVVGETQEGDFAFALATRFNVAGKANLVRLLLSEKLYFVLFITLQTWSSYLWIPSVLFTFYILTPRTVRHLVVYFQIVNF >Manes.13G045200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5333251:5335813:1 gene:Manes.13G045200.v8.1 transcript:Manes.13G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCSCFSSPEKRVSKRSSFSKGSPQPTMSPRNKETYSAAPARSAENPKAKTKGGNSSIPAQTFTFRELATATKNFRQECLIGEGGFGRVYKGKLDKTNQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMASGSLDGHLLEHPPEQKPLKWFSRMKIALGAAQGLEYLHDKASPPVIYRDLKSSNILLDEEFNAKLSDFGLAKLGPVGDRSHVSSRIMGTYGYCAPEYQRTGRLTIKSDVYSFGVVLLELITGRRVIDNTRPNEEQNLVTWAQPMFKESKRYPELADPLLRGEFPIRSLNQAVAVAAMCLQEDAGVRPLMSDVVSALSFLGACPEVGTVTPYSFSSSPSESKAAESTREQELGNAMGWGSFSRLEASLSQRGSTSSL >Manes.14G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1439314:1442618:-1 gene:Manes.14G002200.v8.1 transcript:Manes.14G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELESMRQYFNGGNTRDASWRESQLKGLLLFMREKEAEIFKALKEDLGKHPVEAFRDEVGTLIKSINFALKGLKNWMSSEKVKLPKIAILSSAELVPQPLGLVLIISSWNFPFGLSLEPLIGAIAAGNTVVLKPSELAPACSSLLADVMSTYLDTKAIKVIQGGPSVGEQLLQQKWDKIFFTGSSRVGRTVMSAAAKHLTPVVLELGGKCPAVVDSLSSSWDKQVAVNRIIVSKFGNCTGQACIAVDYILVEKRFASALVELMKDSIKKMFGDNPRESNTIGRIVNKHHFLRLQNLLSDLAVQKSIVYGGSMDEENLFIEPTVLLDPPLEADIMTEEIFGPLLPIITLEKVEDSIQFINSKPKALAIYAFTKNEPFKRRMVAETSSGSLVFNDAVIQYVADTLPFGGIGKSGIGRYHGKFSFDAFTHYKPVARRSFLSDFWFRFPPWNIHKLMLLETAYNLDYLGLVLVILGLKRSTRNIHVI >Manes.16G123000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32509823:32514087:1 gene:Manes.16G123000.v8.1 transcript:Manes.16G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQGISEAIRVVPGFPKPGIMFQDITTLFLDHKVFKDTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPGKLPGSVISEQYVLEYGTDCLEMHVGAVQPGERALVIDDLIATGGTLSAAIRLLERMGAEVVECACVIGLPEVKGQRRLNGKPLYILVEPRDIDCYQGMIL >Manes.16G123000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32509823:32514087:1 gene:Manes.16G123000.v8.1 transcript:Manes.16G123000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLQGISEAIRVVPGFPKPGIMFQDITTLFLDHKVFKDTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPGKLPGSVISEQYVLEYGTDCLEMHVGAVQPGERALVIDDLIATGGTLSAAIRLLERMGAEVVECACVIGLPEVKGQRRLNGKPLYILVEPRDIDCYQDV >Manes.15G176096.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:21301386:21303097:1 gene:Manes.15G176096.v8.1 transcript:Manes.15G176096.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQHFCNAISPNLRSAIDAAARGDLIGKTEGEAYAFLDKIAYNNYQWNSERANVKCEVKKPARIFEIDAMPMINAKFDSLARRMDKITMGMEAKKSSSSSKTQGKPPSQPENPRECKVVHLRSGKVVGDESEKKKKKKDKLSEKKERVVEKQGIKRKQEVEKEEEERYIPPKPYKSPLPFPQRFQKAKLDKQFDKCLEVLKKLYVNIPFIDALSQMPLYAKFLKDILSNKRRLEEYETMALMEECSALLQNKLSPKLKDPRSFSIPCQISETDIGKSLCDLGASLKIGDLMPTTISLQLADRSIKYPIGILENMPLKVDKFFISIDFLVLKMEKDINISIILERPFLATVEAIINVKNRRLKLK >Manes.14G139500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12223123:12228878:1 gene:Manes.14G139500.v8.1 transcript:Manes.14G139500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGKRRTNGNKNSRNDNSNSSKNKGRRRSNTVSASSSSSGRIGNSLFVEGGVLSDWPLSSSYPGRNPNSNSKSGLKLKAPSASKSGPCKSNGSAFGYNYPSVELQDGLHKELSVKGNDRDDDLDASQPILLVDSKETQIVAYLDETSPLKADNIGFTYDYDSSFVLGDSFHRGLGFCDESETTPGAIGSSSKQMDEQPEGSSFDSSFSEKEMDADETINCKVGEGMIEEVQTEAFSSKKNSGFLSIGGMKIFTQDIFEGESDRETQDGEGSESSEVGEHIDLSDSDVSENMSESDSDIDEEVAEDYLEGIGGSDNILDAKWLVENHLDDSDEDSSSSSGSFHDTLEKLSGIALQDASREYGMKKSQSTKKYTVGGRDSGPSGLDDLMLVKDPRTLSAKKKHIARLPQSWPFEAQKSKNSRRFPGEKKKHRKEMIAVKRRERMLQRGVDLEKINMKLEQIVLDEVEMFAFQPMHSRDCSQVQRLAAIYRLRSGCQGSGKKRYVIVTRTQHTSMPSANDKLRLEKLIGAGNEDADFTVNECSRAKSVTMQRNRGNKPLDVQARSKSLKNCANSQNVGSSKRQGGKRCLYANQPLSFISSGIMSEKVDDMIMDSQETETTSENKVIVNSAKVGAFEVHTKGFGSKMMAKMGYVEGGGLGKAGQGMAEPIEVIQRPKSLGLGANFPNSIDDPLENKPQSTGRSENHAKHKSLGAFEKHTKGFGSKMMARMGFVEGTGLGKNCQGIVNPLSAVRLPKSRGLGAKG >Manes.06G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22776093:22778071:-1 gene:Manes.06G092600.v8.1 transcript:Manes.06G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIIHLQALLGNRWAAMASYLPQRTDNDIKNYWNTHLKKKLKKLQDGKNDDGFSSASQQCKGQWERRLQTDIRLAKKALYEALSLDKSSSLTDTKPSTTNVSHPSTRPHQVEGSAYASSAENIARLLENWMKKSPKPAQTNSDTTQTSFNNAVATNSSSSEGAHSETALDHHGFDSLFSFNSSNSPDASQSVDENANLTPETSLFQDESKPNMENQVPFSLLEKWLFDEGGAQGPEDLINMCLEDNTVGDF >Manes.08G101900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33957313:33968480:1 gene:Manes.08G101900.v8.1 transcript:Manes.08G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAMLDDSPMFRKQIQSMEESAELLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNVDLHEVKEARKRFDKASLLYDQAREKFLSLRKGTKTDVATLLEEELHTARSAFEEARFNLVTAVSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALSERMLEYKRQIDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQHSGQRNSSELGSGLLSRWLSTHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLPASPMGSGHHRSASESSSFESADFDHSAVDELTSERSLAGAHHERPSRILQQRSSAEKPIDVLQRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNAFANSVWEELLQSRSTFQVDLIPTGPHKSDKSQPHFICKPSPVESISVKEKFIHAKYAEKLFVPKPRDSQYPHSVSHHIVEAVRANDKKSVYRLIVNHEVDVNAVYEQASCSSYLTLAKVMLLQEQTGLDHCSSCSTGNSLDRSSTSSLNLAGTGEGQILEDLDGCSLLHLACETADIGMLELLLQYGANINSTDARGQTPLHRCIIRGRAAFAKLLLSRGADPRAVNGEGKTPLELAIETNFADADVITFLSDSNG >Manes.04G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33327552:33331106:-1 gene:Manes.04G132400.v8.1 transcript:Manes.04G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINGQKVPPLEVAVQLPDSKVAATENSGTMSGPLMGTPRPFGRKAEVLHVALRLLCMVTSVAALSFMVTAHQSSSISIYGFMLRVYSKWSLSHSFEYLVGVSAAVVAHSSLQLLISLSRLLRKSPLIPSRSHAWLIFAGDQVFAYATMSAGAAASGVTNLNRTGIQHTPLPNFCKSLHYFCDHVAVSIAFTFFSCFLLAALAVHEVMWLSKSKY >Manes.18G053600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4526280:4535393:1 gene:Manes.18G053600.v8.1 transcript:Manes.18G053600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTLPHDSLFLGFDSSTQSLKATVLDSNLNIVKSDLVHFDSDLPHYKTKDGVYRDPSDNGRIVSPTLMWVEALDLILQRLLKSGIDFGKIAAVSGSGQQHGSVYWKKGSSAILSSLDSSKPLVDQLSNAFSIKESPIWMDSSTTTQCREIEKAVGGALELSRLTGSRAYERFTGPQIRKIFQSQPEAYNATERVSLVSSFMASLFIGAYACIDHTDGSGMNLMDIKQKVWSEIALEATAPGLKEKLGKLAPAYDVAGHIASYFVERYKFNKDCLVVQWSGDNPNSLAGLTVSVPGDLAISLGTSDTVFGIAIDPQPRLEGHVFPNPVDTEGYMVMLCYKNGSLTREDIRNRCAEKSWVVFNKLVEQTPPLNDGKLGFYYKDHEILPPLPVGFHRYKLHNFTGDSLEGVNVQEMQEFDPASEVRAVIEGQFLSMRAHAERFGMPIPPKRIIATGGASANLSILNLVASIFGCDVYTVQRPDSASLGAALRAAHGWMCSKKGSFVPIASLYKDKLEMSALNCKLSISAWSQELTSKYALLMKKRIEIENQLVQKLGRC >Manes.18G053600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4526280:4535360:1 gene:Manes.18G053600.v8.1 transcript:Manes.18G053600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTLPHDSLFLGFDSSTQSLKATVLDSNLNIVKSDLVHFDSDLPHYKTKDGVYRDPSDNGRIVSPTLMWVEALDLILQRLLKSGIDFGKIAAVSGSGQQHGSVYWKKGSSAILSSLDSSKPLVDQLSNAFSIKESPIWMDSSTTTQCREIEKAVGGALELSRLTGSRAYERFTGPQIRKIFQSQPEAYNATERVSLVSSFMASLFIGAYACIDHTDGSGMNLMDIKQKVWSEIALEATAPGLKEKLGKLAPAYDVAGHIASYFVERYKFNKDCLVVQWSGDNPNSLAGLTVSVPGDLAISLGTSDTVFGIAIDPQPRLEGHVFPNPVDTEGYMVMLCYKNGSLTREDIRNRCAEKSWVVFNKLVEQTPPLNDGKLGFYYKDHEILPPLPVGFHRYKLHNFTGDSLEGVNVQEMQEFDPASEVRAVIEGQFLSMRAHAERFGMPIPPKRIIATGGASANLSILNLVASIFGCDVYTVQRPDSASLGAALRAAHGWMCSKKGSFVPIASLYKDKLEMSALNCKLSISAWSQELTSKYALLMKKRIEIENQLVQKLGRC >Manes.06G054100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17886969:17898681:-1 gene:Manes.06G054100.v8.1 transcript:Manes.06G054100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGRTRNQPRSNRSMPLGGLDYADPKRKGNYAGKILLAAFLTALCIIMLKQSPTFNTPSPFSLHEEGVIHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDATSVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHDVKTLIYSSTCATYGEPEKMPITEDTPQIPINPYGKAKKMAEDIILDFSKNSNMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAAHGIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVFSDPTKIRLQLNWTAQHTDLQQSLQTAWRWQKAHRNGYGSPLVMAS >Manes.06G054100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17886969:17899155:-1 gene:Manes.06G054100.v8.1 transcript:Manes.06G054100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGRTRNQPRSNRSMPLGGLDYADPKRKGNYAGKILLAAFLTALCIIMLKQSPTFNTPSPFSLHEEGVIHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDATSVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHDVKTLIYSSTCATYGEPEKMPITEDTPQIPINPYGKAKKMAEDIILDFSKNSNMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAAHGIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVFSDPTKIRLQLNWTAQHTDLQQSLQTAWRWQKAHRNGYGSPLVMAS >Manes.06G054100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17886969:17899155:-1 gene:Manes.06G054100.v8.1 transcript:Manes.06G054100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGRTRNQPRSNRSMPLGGLDYADPKRKGNYAGKILLAAFLTALCIIMLKQSPTFNTPSPFSLHEEGVIHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDATSVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHDVKTLIYSSTCATYGEPEKMPITEDTPQIPINPYGKAKKMAEDIILDFSKNSNMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAAHGIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVFSDPTKIRLQLNWTAQHTDLQQSLQTAWRWQKAHRNGYGSPLVMAS >Manes.06G054100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17886969:17899155:-1 gene:Manes.06G054100.v8.1 transcript:Manes.06G054100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGRTRNQPRSNRSMPLGGLDYADPKRKGNYAGKILLAAFLTALCIIMLKQSPTFNTPSPFSLHEEGVIHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDATSVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHDVKTLIYSSTCATYGEPEKMPITEDTPQIPINPYGKAKKMAEDIILDFSKNSNMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAAHGIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVFSDPTKIRLQLNWTAQHTDLQQSLQTAWRWQKAHRNGYGSPLVMAS >Manes.06G054100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17886969:17899227:-1 gene:Manes.06G054100.v8.1 transcript:Manes.06G054100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVGRTRNQPRSNRSMPLGGLDYADPKRKGNYAGKILLAAFLTALCIIMLKQSPTFNTPSPFSLHEEGVIHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDATSVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHDVKTLIYSSTCATYGEPEKMPITEDTPQIPINPYGKAKKMAEDIILDFSKNSNMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAAHGIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVFSDPTKIRLQLNWTAQHTDLQQSLQTAWRWQKAHRNGYGSPLVMAS >Manes.07G117300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32280328:32285315:1 gene:Manes.07G117300.v8.1 transcript:Manes.07G117300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKRQDYGFNGFHVPFIPRAPRSARLRGLHKKKVVDGQICPFELLAALAGKLLLESEGSSASSSASDTNQSAFGDGVIKQERNEDKLLKTECFDQGSCEESIFLPEVGPSNGDKKCLLKESQHVESEAVLERSSIITNSESSEKNSSNVKSVICKSKISCDNFSCKLEGRSPHVEEHSADFVKNGFSRQREADGMENGGLTIDNASNLKDPMDLCRKFPALINSDNNVKFPPCRNPVPDASVLRLRNDSKLGIRDDDENFSRCNKPGTKPRAFRYQSRIGDRRMRKLLASKYWKVAPKLRDCELSNVGFSDGGIRPLYRKRKICYSRERYQHDTLYKRRKFSDHSLTATSDGGFSSESVCNSPEKINMDKNGPASMFSGANGFSTSSIIGHQSFHSKNSHVKFSIKSFRIPELVIEVPETATVGSLKRTVMETVTAILGSGLCVGVLLHGKKVRDDNTTLLQTGISSKENLDTLGFTLEPSPVQAPPTVCTEDPPIPLPCDMSQLVPRSPAAPVLDLGTSDALPDPPMLTNSESRIDSNHKSVSSHTDKLADKTMSESRALVAVPPVSVEALAVVPVNQKARRSDLVQRRTRRPFSVSEVEALVQAVEDLGTGRWRDVKLRSFENADHRTYVDLKDKWKTLVHTAKIAPQQRRGEPVPQAVLDRVLAAHAYWSQHQAKQHSKNQGAVVKITETHGHGGRNGVEGELQLL >Manes.07G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32280328:32285315:1 gene:Manes.07G117300.v8.1 transcript:Manes.07G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKRQDYGFNGFHVPFIPRAPRSARLRGLHKKKVVDGQICPFELLAALAGKLLLESEGSSASSSASDTNQSAFGDGVIKQERNEDKLLKTECFDQGSCEESIFLPEVGPSNGDKKCLLKESQHVESEAVLERSSIITNSESSEKNSSNVKSVICKSKISCDNFSCKLEGRSPHVEEHSADFVKNGFSRQREADGMENGGLTIDNASNLKDPMDLCRKFPALINSDNNVKFPPCRNPVPDASVLRLRNDSKLGIRDDDENFSRCNKPGTKPRAFRYQSRIGDRRMRKLLASKYWKVAPKLRDCELSNVGFSDGGIRPLYRKRKICYSRERYQHDTLYKRRKFSDHSLTATSDGGFSSESVCNSPEKINMDKNGPASMFSGANGFSTSSIIGHQSFHSKNSHVKFSIKSFRIPELVIEVPETATVGSLKRTVMETVTAILGSGLCVGVLLHGKKVRDDNTTLLQTGISSKENLDTLGFTLEPSPVQAPPTVCTEDPPIPLPCDMSQLVPRSPAAPVLDLGTSDALPDPPMLTNSESRIDSNHKSVSSHTDKLADKTMSESRALVAVPPVSVEALAVVPVNQKARRSDLVQRRTRRPFSVSEVEALVQAVEDLGTGRWRDVKLRSFENADHRTYVDLKDKWKTLVHTAKIAPQQRRGEPVPQAVLDRVLAAHAYWSQHQAKQHSKNQGAVVKITETHGHGGRNGVEGELQLL >Manes.01G183200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36148032:36151169:1 gene:Manes.01G183200.v8.1 transcript:Manes.01G183200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPTFFTHLKVLTTHHHRHSPPFVITLRFLSFSSPEEAAAERRRRKRRQRIEPPLSSLHRAQQQSQPQQIPKPIQNPNAPKVPEPISEVSGKRLDLHNRILTLIRQNDLEEAALFTRHSIYSNCRPTIYTVNAVLNAQLRQSKYSDLLSLHRFIIQAGVAPNIITHNLILQTYLDCRKPDTALEHYKQLINDAPFNPSPTTYRILIKGLVDNGKVEKALELKDEMVVIKGFTPDPLVYHYLMVGCARNSDSDGVFRLFEELKEKMGGSVDDGVVYGVLMKGYFMRGMESEAMECYEEAVGENSKVKMSAVAYNSVLDALCKNGKFDEALRLFDRMVQEHNPPRRLAVNLGSFNVMVDGYCAQGRFEDAIEVFRKMGDYRCNADVLSYNNLIDQLCKNGLLVKGEELYGEMDGKGVKPDEYTYGLLMDTCFEENRVDDGAAYFRKMVDSGLRPNLAVYNRLVDKLVSVGKIDEAKSFYDLMVKKLKMDNASYKFMMTALADAGQMDELLKMVEAMLDDEAIEFDSELQVFAKELLGRDNREEELTELMEKKEREKAEAKAKEAEAAEAAKRSARAAVSSLLPSKLFGNKENETESNTTNGNAVEETSANGGVQTEKEETVEEAAVVGSAADDMNLNATR >Manes.15G068700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5210147:5224017:1 gene:Manes.15G068700.v8.1 transcript:Manes.15G068700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPRHYSAEQEAHSLPRSRADHNPLSTPSPPSTPQSQVIVANHENNDFLDPLRGWCANVAVSNEDSPDIDYASAATSSASLSSGTVSEIPSKEWTSFKRFLMQKFPVSKMISVSSMSDVIIKSGKALDKSKKGTYSEEMNDSQKDPEDDAKVITRQEYVSQMHELKHEIMRAWHAEDRVTALKLSIKVAKLLMDTSVLQFYPTLFVLATDVMDMLGDMVWKRIRQKAECAEDGTFIGKLPENFKASDICSDAKETCNNWFCKVGSIRELVPRIYLELAILPCWRFLLDQPADNIQRLVMMTRGLADPLASTYCRLYLVHCARKLPASDKGYLVTCVNDIKILLIHLLSAKETPNIHFSGKIGLLVSLIEPTIEYIMKFIFEDASQRQAYSVFMELGLGRDRSNLSESIPCVSVVLHHLLKELPAEVISCNSVDILHLIKCSNDDSFDQCLNYRLLGFRLGESRSQLDIVISVVDEVIQVVTQYVKLDEYLKVVDAYMDIVLQNQMDNYLNTLLEGLYTRACNKEIVNDEQRILQSILLKLLYHFKDLDIIFALKHFLEILDVMYGSSRSVINMQILNMATRNDHIRDPTTIQFLFEISQSLHDDMDLANMKDDGNQQSAHLISRFIQKVDFGAEMERHLTFLVECRGAFGSINELTETLVHLSNYLATKALKDRKTLLTFVKSCIAFSEVTIPSISSQVRQLNLYLETAEVALLGGLVSHSDGLIVSAVSCLENVECTDGSRAPTDVDGILSSIRKLCSLLVMVPGNSDQVVTKIPRSILSLIHSRSWMTPRITARTFCAIILLLATLSQNKLPYHLCPTENLGNDVLFFGDSSYLRELVSLSEHVLQNLLNAIEQEPSKAVRGSMALEACNCIALSFKASDDVLKVCWKLIEAAQLCLSANDKYLQSTIEYLDEQLSTSRATPAVVI >Manes.15G068700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5210147:5224017:1 gene:Manes.15G068700.v8.1 transcript:Manes.15G068700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPRHYSAEQEAHSLPRSRADHNPLSTPSPPSTPQSQVIVANHENNDFLDPLRGWCANVAVSNEDSPDIDYASAATSSASLSSGTVSEIPSKEWTSFKRFLMQKFPVSKMISVSSMSDVIIKSGKALDKSKKGTYSEEMNDSQKDPEDDAKVITRQEYVSQMHELKHEIMRAWHAEDRVTALKLSIKVAKLLMDTSVLQFYPTLFVLATDVMDMLGDMVWKRIRQKAECAEDGTFIGKLPENFKASDICSDAKETCNNWFCKVGSIRELVPRIYLELAILPCWRFLLDQPADNIQRLVMMTRGLADPLASTYCRLYLVHCARKLPASDKGYLVTCVNDIKILLIHLLSAKETPNIHFSGKIGLLVSLIEPTIEYIMKFIFEDASQRQAYSVFMELGLGRDRSNLSESIPCVSVVLHHLLKELPAEVISCNSVDILHLIKCSNDDSFDQCLNYRLLGFRLGESRSQLDIVISVVDEVIQVVTQYVKLDEYLKVVDAYMDIVLQNQMDNYLNTLLEGLYTRACNKEIVNDEQRILQSILLKLLYHFKDLDIIFALKHFLEILDVMYGSSRSVINMQILNMATRNDHIRDPTTIQFLFEISQSLHDDMDLANMKDDGNQQSAHLISRFIQKVDFGAEMERHLTFLVECRGAFGSINELTETLVHLSNYLATKALKDRKTLLTFVKSCIAFSEVTIPSISSQVRQLNLYLETAEVALLGGLVSHSDGLIVSAVSCLENVECTDGSRAPTDVDGILSSIRKLCSLLVMVPGNSDQVVTKIPRSILSLIHSRSWMTPRITARTFCAIILLLATLSQNKLPYHLCPTEVQVLVCCQLGFESGQ >Manes.15G068700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5210147:5224017:1 gene:Manes.15G068700.v8.1 transcript:Manes.15G068700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSQKDPEDDAKVITRQEYVSQMHELKHEIMRAWHAEDRVTALKLSIKVAKLLMDTSVLQFYPTLFVLATDVMDMLGDMVWKRIRQKAECAEDGTFIGKLPENFKASDICSDAKETCNNWFCKVGSIRELVPRIYLELAILPCWRFLLDQPADNIQRLVMMTRGLADPLASTYCRLYLVHCARKLPASDKGYLVTCVNDIKILLIHLLSAKETPNIHFSGKIGLLVSLIEPTIEYIMKFIFEDASQRQAYSVFMELGLGRDRSNLSESIPCVSVVLHHLLKELPAEVISCNSVDILHLIKCSNDDSFDQCLNYRLLGFRLGESRSQLDIVISVVDEVIQVVTQYVKLDEYLKVVDAYMDIVLQNQMDNYLNTLLEGLYTRACNKEIVNDEQRILQSILLKLLYHFKDLDIIFALKHFLEILDVMYGSSRSVINMQILNMATRNDHIRDPTTIQFLFEISQSLHDDMDLANMKDDGNQQSAHLISRFIQKVDFGAEMERHLTFLVECRGAFGSINELTETLVHLSNYLATKALKDRKTLLTFVKSCIAFSEVTIPSISSQVRQLNLYLETAEVALLGGLVSHSDGLIVSAVSCLENVECTDGSRAPTDVDGILSSIRKLCSLLVMVPGNSDQVVTKIPRSILSLIHSRSWMTPRITARTFCAIILLLATLSQNKLPYHLCPTEVQNLGNDVLFFGDSSYLRELVSLSEHVLQNLLNAIEQEPSKAVRGSMALEACNCIALSFKASDDVLKVCWKLIEAAQLCLSANDKYLQSTIEYLDEQLSTSRATPAVVI >Manes.15G068700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5210147:5224017:1 gene:Manes.15G068700.v8.1 transcript:Manes.15G068700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSQKDPEDDAKVITRQEYVSQMHELKHEIMRAWHAEDRVTALKLSIKVAKLLMDTSVLQFYPTLFVLATDVMDMLGDMVWKRIRQKAECAEDGTFIGKLPENFKASDICSDAKETCNNWFCKVGSIRELVPRIYLELAILPCWRFLLDQPADNIQRLVMMTRGLADPLASTYCRLYLVHCARKLPASDKGYLVTCVNDIKILLIHLLSAKETPNIHFSGKIGLLVSLIEPTIEYIMKFIFEDASQRQAYSVFMELGLGRDRSNLSESIPCVSVVLHHLLKELPAEVISCNSVDILHLIKCSNDDSFDQCLNYRLLGFRLGESRSQLDIVISVVDEVIQVVTQYVKLDEYLKVVDAYMDIVLQNQMDNYLNTLLEGLYTRACNKEIVNDEQRILQSILLKLLYHFKDLDIIFALKHFLEILDVMYGSSRSVINMQILNMATRNDHIRDPTTIQFLFEISQSLHDDMDLANMKDDGNQQSAHLISRFIQKVDFGAEMERHLTFLVECRGAFGSINELTETLVHLSNYLATKALKDRKTLLTFVKSCIAFSEVTIPSISSQVRQLNLYLETAEVALLGGLVSHSDGLIVSAVSCLENVECTDGSRAPTDVDGILSSIRKLCSLLVMVPGNSDQVVTKIPRSILSLIHSRSWMTPRITARTFCAIILLLATLSQNKLPYHLCPTENLGNDVLFFGDSSYLRELVSLSEHVLQNLLNAIEQEPSKAVRGSMALEACNCIALSFKASDDVLKVCWKLIEAAQLCLSANDKYLQSTIEYLDEQLSTSRATPAVVI >Manes.15G068700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5210147:5224017:1 gene:Manes.15G068700.v8.1 transcript:Manes.15G068700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPRHYSAEQEAHSLPRSRADHNPLSTPSPPSTPQSQVIVANHENNDFLDPLRGWCANVAVSNEDSPDIDYASAATSSASLSSGTVSEIPSKEWTSFKRFLMQKFPVSKMISVSSMSDVIIKSGKALDKSKKGTYSEEMNDSQKDPEDDAKVITRQEYVSQMHELKHEIMRAWHAEDRVTALKLSIKVAKLLMDTSVLQFYPTLFVLATDVMDMLGDMVWKRIRQKAECAEDGTFIGKLPENFKASDICSDAKETCNNWFCKVGSIRELVPRIYLELAILPCWRFLLDQPADNIQRLVMMTRGLADPLASTYCRLYLVHCARKLPASDKGYLVTCVNDIKILLIHLLSAKETPNIHFSGKIGLLVSLIEPTIEYIMKFIFEDASQRQAYSVFMELGLGRDRSNLSESIPCVSVVLHHLLKELPAEVISCNSVDILHLIKCSNDDSFDQCLNYRLLGFRLGESRSQLDIVISVVDEVIQVVTQYVKLDEYLKVVDAYMDIVLQNQMDNYLNTLLEGLYTRACNKEIVNDEQRILQSILLKLLYHFKDLDIIFALKHFLEILDVMYGSSRSVINMQILNMATRNDHIRDPTTIQFLFEISQSLHDDMDLANMKDDGNQQSAHLISRFIQKVDFGAEMERHLTFLVECRGAFGSINELTETLVHLSNYLATKALKDRKTLLTFVKSCIAFSEVTIPSISSQVRQLNLYLETAEVALLGGLVSHSDGLIVSAVSCLENVECTDGSRAPTDVDGILSSIRKLCSLLVMVPGNSDQVVTKIPRSILSLIHSRSWMTPRITARTFCAIILLLATLSQNKLPYHLCPTEVQNLGNDVLFFGDSSYLRELVSLSEHVLQNLLNAIEQEPSKAVRGSMALEACNCIALSFKASDDVLKVCWKLIEAAQLCLSANDKYLQSTIEYLDEQLSTSRATPAVVI >Manes.15G180436.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18922019:18940231:1 gene:Manes.15G180436.v8.1 transcript:Manes.15G180436.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLYYSAQQSKGGDFSIKAKVSFPFLSFSLPFPNCIFLSFSPPPQHISSSPSSPSPPFYTISFHLPQDLPLSFNSFQFLSTIKRRSSHYQIQSEANIMAQRCKLRKGNSININLENETENNVNQNFQETQELHQNQASNFQGSNTIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQIIRSVSCCPLQVKQWNKITDDKLDYMWSTILEKFTFEYSDARKGAIFGHMNALYRNYRHN >Manes.03G161350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28826726:28837385:-1 gene:Manes.03G161350.v8.1 transcript:Manes.03G161350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVIGSGITGLVSAYVLAKAGVNVVLYEKDDYLGGHSKTVSIDGVDVDLGFMVFNRVTYPNMMEFFESLGVDMELSDMSFAVSLDKGKGYEWGTRNGLSALFAQKKNLFDPRFLRMLRELVKFKHDVLSYLQMLENNPDIDRNETLGNFIKCKGYSDVFQNAYLIPMCGAIWSCNSEKVLSFSAYSILSFCRNHHLLQLTGRPQWLTVRCRSHTYVNKVREMLESWGCQIRTSCEVLSVSTDDEGCRVVGVDGSEEMFTGCIIASHAPDTLKMLGEQATFDERRILGAFQYVYSDIFVHHDKEFMPKNPVAWCAWNFLGSEDNKVCLTYWLNVLQNLGDTGLPFFVTLNPDHTPDHTLLKWTTSHPVPSVAATNAALEFENIQGKRGLWFCGAYQGYGFHEDGLKSGMLAAHGFLGKSCALLSNPKHMVPSMLEIGARLVVTRFLGNYISTGSLIILEEGGTIFTFEGTAKKCSLKVVLKVQSPQFYWKIMTQADLGLADAYINGDFSFVDKDAGLLNLFMVLIANRDANTTASKLNWKNITSAKFFIQHVSRQNTLTQARRNISRHYDLSNDLFALFLDETMTYSSAVFKTEDEDLKEAQMRKISLLIEKARVSKEHEVLEIGFGWGTLAIEVVKRTGCRYTGITLSEEQLKYAENKVKEAGLQDSIRFLLADYRQLPRNIKYDRIISCEMLEAVGHEYMEEFFGCCDAALAKDGLIVMQFISIPEERYEEYRQSSDFIKEYIFPGGCLPSLTRVTTAMAAKTRLCVEHVENIGLHYFQTLRYWRKNFLENQSKILALGFNEKFIRTWEYYFDYSAAGFKTHTLGDYQVVFTRPGNVAALGNPYKGFPAASY >Manes.03G161350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28826726:28837385:-1 gene:Manes.03G161350.v8.1 transcript:Manes.03G161350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVIGSGITGLVSAYVLAKAGVNVVLYEKDDYLGGHSKTVSIDGVDVDLGFMVFNRVTYPNMMEFFESLGVDMELSDMSFAVSLDKGKGYEWGTRNGLSALFAQKKNLFDPRFLRMLRELVKFKHDVLSYLQMLENNPDIDRNETLGNFIKCKGYSDVFQNAYLIPMCGAIWSCNSEKVLSFSAYSILSFCRNHHLLQLTGRPQWLTVRCRSHTYVNKVREMLESWGCQIRTSCEVLSVSTDDEGCRVVGVDGSEEMFTGCIIASHAPDTLKMLGEQATFDERRILGAFQYVYSDIFVHHDKEFMPKNPVAWCAWNFLGSEDNKVCLTYWLNVLQNLGDTGLPFFVTLNPDHTPDHTLLKWTTSHPVPSVAATNAALEFENIQGKRGLWFCGAYQGYGFHEDGLKSGMLAAHGFLGKSCALLSNPKHMVPSMLEIGARLVVTRFLGNYISTGSLIILEEGGTIFTFEGTAKKCSLKVVLKVQSPQFYWKIMTQADLGLADAYINGDFSFVDKDAGLLNLFMVLIANRDANTTASKLNWKKGWWTPMFFTASITSAKFFIQHVSRQNTLTQARRNISRHYDLSNDLFALFLDETMTYSSAVFKTEDEDLKEAQMRKISLLIEKARVSKEHEVLEIGFGWGTLAIEVVKRTGCRYTGITLSEEQLKYAENKVKEAGLQDSIRFLLADYRQLPRNIKYDRIISCEMLEAVGHEYMEEFFGCCDAALAKDGLIVMQFISIPEERYEEYRQSSDFIKEYIFPGGCLPSLTRVTTAMAAKTRLCVEHVENIGLHYFQTLRYWRKNFLENQSKILALGFNEKFIRTWEYYFDYSAAGFKTHTLGDYQVVFTRPGNVAALGNPYKGFPAASY >Manes.12G005100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:641681:647032:-1 gene:Manes.12G005100.v8.1 transcript:Manes.12G005100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGLNCLRSSPIVCYATSNHFHSSDAAFIRRAAELADKSAGFTSPHPNFGCVIATPAGNVAGEGYLYAQGTKPAEVQAVEAASELSKGATAYLNMEPGDCHGDHTAVSALVEAGITRVVVGIRHPLKHLQGNAVRALRSQGLQVDVLGEDLQSKIIEDARKSCLLVNAPLIHRANSRVPFSILKYAMTLDGKIAASSGHAAWISSRKSRNRVFELRGRSDAIIVGGNTVRRDNPRLTARHGGGHMPMRIVMSQTLDLPEEANLWDICDVSTIVVTQRGAKRSFQKLLASKGVEVMEFDVLNPREVMEYFHDRGYLSILWECGGTLAASAISAGVIHKVFAFVAPKIIGGKSAPTPVGELGMVEMSQALDLIDVCFEKVGPDMLISGFLQPIPELTPIIPSEDETFAIDPTVSPYESSIIFFYKTWDPYGAFSNFSPHPIQMFDSNGDYATWSSVEHYYQAHKFVGVNDPAAKDCIEKIKSAKSPEEAARMGRSMQRQCPHLVRSDWDNIKIDVMYKALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEFLGESSASSESTCLAL >Manes.09G162800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35721842:35726454:1 gene:Manes.09G162800.v8.1 transcript:Manes.09G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCHHHHHHLKFLPKRIILIRHGESEGNIDTAAYTTTPDYKIPLTSLGLSQARIAGSHLHNLLSNHGTCTTNWRVYFYVSPYQRTLSTLREIGRSFERERIIGVREECRIREQDFGNFQIEDRMKVIKETRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMNRLHQEPSHDLNLTIVSHGLTCRVFLMKWFKWTVEQFEHLSNLENCEFRVMELGDGGDYSLAIHHTDEEMQEWGMSPEMIADQKWRIHANKGDWNGNCPWYFDAFFDHLKTDPDKENDEEVDDS >Manes.05G170500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28457027:28458420:-1 gene:Manes.05G170500.v8.1 transcript:Manes.05G170500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMPPTGMDFDFNDANPMPFMSAPSTPKRFGDITLSAPTSPSRIAEFYSRFDDFAWDDNATPASPMPVPNHSGDDFAFDFRSELEESSLSADELFDGGKIRPLKPPPRLQIEERRGFLSPRSARSPIAQGKKIIREVFSPRKKKDSDPFAVADENTRKRTENGRGRGRERHQALATSSSRRSTRSLSPHRVSEYPWEEEERKLLETTKQSAAPNSKASGPSTSSSSAAAAASTTKSSSKKWRLMRDFLLFRSASEGRAADKDPLRTKFPSFFRKPEDAKNTSSRSKESSGSVPASRRKGQLSAHELHYTKNKAASEDMKKKTFLPYKQGILGRKAFNPKAR >Manes.17G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24669176:24681656:-1 gene:Manes.17G049300.v8.1 transcript:Manes.17G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSEGENQNRGTSGGNNNIGNSNEGQSKPKRQMKTPFQLEALEKAYALETYPSEAMRAELSQKLGLSDRQLQMWFCHRRLKDKKETPAKKPRKAVQLTESPVEEMRAVEPDPDPDPDPVSDYGSGSGSGSSPFMEPRKVYLDDVPMMRRHYESSPQSVMELRAIACVEAQLGEPLREDGPILGMEFDPLPPDAFGAPIAMTEQQKRPLHSYDAKAYERHDAKSSKASARASHEYPFIQDQSTMRSDAYGQVARSPYHDPALDILKGKASFVHEDEPLAKVQGVRLSSQPGKKGHVFSSLHRDDDYLLQHESFTNNRISAHAIGHPIMGSENPDIFPDAQIFHTDTGPQVGKKCKNDEVRIAREVEAQENQIRKELEKQDQLKRKSEERMRKEMERHERERRKEEERLMRERQREEERSLREQKRELERREKFLQKEYLRAEKRRQKEELRREREAVKRQAAIEKATARRIAKESLDLIEDEQLELMELAAASKGLTSIVHLNYDTLQNLESFRDSLSLFPPESIQLKKPFAIQPWMDSEEHIGNLLMVWRFFITFADVLGLWPFTLDEFVQAFHDYDSRLLGEVHFALLKLIIKDIEDVARTPTGLGTNQNCAANPEGGHLQIVEGAYMWGFDIRNWQRHLNPLTWPEIFRQLALSAGFGPQLKKRSSTWKYLGDNDEVKGCEYTISTLRNGSAAENAFSLMRERGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCLRAAYRKDPADAEAILSAARKKIRIFENGFLGGEDGDDVERDEDSEGDVDEDPEVDDLTTPLSANRSGDHAIDANTCSGSRKDNICNGIPLTAQNDPVKEPSSIHLNGPKDAKTPSSTVQCVPREDVVVRNVDQENIEIDESKSGESWIQGLAEGEYAHLSVEERLNALVALVGIANEGNTIRAVLEDRLEAANALKKQMWAEAQLDRSRLKEDILSKLDFPTYIGGKAEMQVTGSVVEGGQSPLLLDDSKNKEASPRIAEDQKLFPASGNVQSNYNSGPTEKALMVQDLSMNPDNFSSQQHGYASKRLRSQLKAYIGHIAEETYVYRSLPLGQDRRRNRYWQFVASASKNDPCSGRIFVELHDCNWRLIDSEEAFDALLSSLDTRGIRESHLRIMLQKIEKSFKQNVRRNFTSKNIVCQNGIAAENEAGEADSSPNCSAGISSPSSMVCGSNSDTLDTSSLFKIELGRNEMEKKGAMKRYQDFQKWTWKECFNSLTLHAMKYGKKRCSELLTTCDWCFDSYLAEDPDSVSCHQTLNAANKSSNFSEHVIQCKVKRKLEPGVCDTSLPLGIRLLKALLAFIEVSVPPEALESFWMEIHRKTWATKLNMSSSPEELLQILTVLENATKRDFLSANFEMTKELLGTSISTESALFGSTDLGPLPVLPWIPKTTAAVALRLFELDGSITYIQHEKAEPSEDKSAKVHLKLPARYSPFKNKEIELKGPRQYEHVNEERLTDLHSKRNSQKRRRGGRDQGYGTKWPRRVPGLKSDSNRRNARENEKFNIGSKQGRRANAKGSGRGLRTVRRRRTEMMVAEEPVIGRMSNTVGPSSYGGSLRNLGEENWGVEKVRMDVDDADNSNSVEAEESDDNVEAEGYEQGNWEQSFNRASNRWNRTVMEMSDDDGDGDGDGDGDGDGDGDGDGDGDASGDDNGIEEIGAEESEGDIEISEGSDGVGNKIENEEGSDSEVSDEYSD >Manes.15G037900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2981845:2982741:-1 gene:Manes.15G037900.v8.1 transcript:Manes.15G037900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQIKPRLSTSPIVFSRFVTLANPWWTGHIGLAGLDPSSNSSSLNKGELSINETSNRSGDDEDKDTGDEPKEGAVEIGTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVVGGADIAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLVAAGPVMVIAATFANATYERLPLEDDEEAGSGGQGQIQGGSSNSPPPIGGSGGQAGLPDPSAMPVYNLPPNLIPNGGQLGHDAYAWAHARPPY >Manes.S024216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:326744:326902:1 gene:Manes.S024216.v8.1 transcript:Manes.S024216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.17G015710.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5812413:5816606:1 gene:Manes.17G015710.v8.1 transcript:Manes.17G015710.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLHESHLTNKMENRPNLNATGTQDSDIMKPLEQKERFRSRNDMMTRRLKNRERQRRYRARKRLEADMEKSSVTNQSSIPQGELEINGNHNNYITRVYCKRNWKKDARRAHTCKSLQEASAAVISGVTLNSESQTHSLVPEVAIQPLIERKSHSENSLSMSGETKTSLGRRDWKAEARRKKN >Manes.17G015710.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5812631:5814489:1 gene:Manes.17G015710.v8.1 transcript:Manes.17G015710.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLHESHLTNKMENRPNLNATGTQDSDIMKPLEQKERFRSRNDMMTRRLKNRERQRRYRARKRLEADMEKSSVTNQSSIPQGELEINGNHNNYITRVYCKRNWKKDARRAHTCKSLQEASAAVISGVTLNSESQTHSLVPEVAIQPLIERKSHSENSLSMSGETKTSLGRRDWKAEARRKKN >Manes.17G015710.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5812413:5815517:1 gene:Manes.17G015710.v8.1 transcript:Manes.17G015710.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLHESHLTNKMENRPNLNATGTQDSDIMKPLEQKERFRSRNDMMTRRLKNRERQRRYRARKRLEADMEKSSVTNQSSIPQGELEINGNHNNYITRVYCKRNWKKDARRAHTCKSLQEASAAVISGVTLNSESQTHSLVPEVAIQPLIERKSHSENSLSMSGETKTSLGRRDWKAEARRKKN >Manes.17G015710.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5812413:5816549:1 gene:Manes.17G015710.v8.1 transcript:Manes.17G015710.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLHESHLTNKMENRPNLNATGTQDSDIMKPLEQKERFRSRNDMMTRRLKNRERQRRYRARKRLEADMEKSSVTNQSSIPQGELEINGNHNNYITRVYCKRNWKKDARRAHTCKSLQEASAAVISGVTLNSESQTHSLVPEVAIQPLIERKSHSENSLSMSGETKTSLGRRDWKAEARRKKN >Manes.17G015710.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5812413:5816549:1 gene:Manes.17G015710.v8.1 transcript:Manes.17G015710.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLHESHLTNKMENRPNLNATGTQDSDIMKPLEQKERFRSRNDMMTRRLKNRERQRRYRARKRLEADMEKSSVTNQSSIPQGELEINGNHNNYITRVYCKRNWKKDARRAHTCKSLQEASAAVISGVTLNSESQTHSLVPEVAIQPLIERKSHSENSLSMSGETKTSLGRRDWKAEARRKKN >Manes.17G015710.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5812413:5815517:1 gene:Manes.17G015710.v8.1 transcript:Manes.17G015710.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLHESHLTNKMENRPNLNATGTQDSDIMKPLEQKERFRSRNDMMTRRLKNRERQRRYRARKRLEADMEKSSVTNQSSIPQGELEINGNHNNYITRVYCKRNWKKDARRAHTCKSLQEASAAVISGVTLNSESQTHSLVPEVAIQPLIERKSHSENSLSMSGETKTSLGRRDWKAEARRKKN >Manes.17G077300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732411:27736839:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEILFCISAKMMLGELEKRGILYLASVIEHLSRSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732826:27733722:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQKSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732826:27733722:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732411:27736839:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQKSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732411:27736876:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732411:27736876:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQKSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732411:27736839:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSSYSRLCPQLFRFQYSRQKSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732411:27736839:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732411:27736839:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEILFCISAKMMLGELEKRGILYLASVIEHLSRSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQKSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQWQQLDLALSSPIVCRDNMRKHHGLASLSVNQSNLHGTGTGLCG >Manes.17G077300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27732411:27736839:-1 gene:Manes.17G077300.v8.1 transcript:Manes.17G077300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWEILFCISAKMMLGELEKRGILYLASVIEHLSRSLSLLLEVCYVHNLVHDIVHLSIKKSELGMDSVSSYSRLCPQLFRFQYSRQKSTDGFHLANADLLLHGRGNLLRKKQKGHFPQFPIARLDIIDENVLQEAHASQ >Manes.02G173600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13722406:13725762:1 gene:Manes.02G173600.v8.1 transcript:Manes.02G173600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNCFQVSTVCTGARAGVLFAPVEKLHLPTSCRGFNNQSNSSSLSSTSSSSSFAHSLTTLRGRSQKSRFVCKAREALDEVKAVTDSSWDDLVIASETPVLVEFWAPWCGPCRMIAPVINELAKEYAGKIACFKVNTDECPNIANKYGIRSIPTVLFFNKGEKKESVIGAVPKTTLSSTLEKYIDA >Manes.14G119500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11493270:11496664:-1 gene:Manes.14G119500.v8.1 transcript:Manes.14G119500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKQNDKNKKRAAGQGVDSNGKQNSRKAYDKDTAVFISMSQELKEEGNRLFQKRDHEGAMMKYEKAIKLLPRNHIDVSHLRSNMAACYMQMGVNEYPRAIHECDLALQVTPKYSKALLKRARCYEALNRLDLALNDVSTVLKMEPNNIMATEVAERVNIALERRGLRVNGTVIELPAEYVEPPSTSSVPTALKEKKPKKKNRKVEEKKAKDESEAKKAEKAAKDEIKEKAKDESEAKMGEKAAKDEIEEKMVDKKIEEKETEDKVVVEQQSSGAKEESKKTVKLVFGQDIRCTQVPLNCSLLQLREVISDRFSGIGAFLIKYRDQEGDLVTITTDEELRWAEASAESKASIKLYLVKVNPQQDPFYWKLNNEKLHKIDLQQKLVNGNMDKGEEAENGSCHIDEWIVEFATLFKDHVGFDSDAYLSLHELGVKVYSEAMEEAITSEEAQDLFNTAAGTFQEMAALALFNWGNVHMSRARKKVYFTEDASKESILERIKSAYDWAQKEYVEAGQKYEAALRIKPDFYEALLALGHQQFEQAKLAWYYTVGNNVELEPWLPEEIVQLYNSAENNMEKGMQMWEEQEAQRRNQQSISLKIESHSEKTGLDGLFKDISAVAAAEQAKTMRSQINLLWGTILYERSIMEFKLGLPVWQDCLEIAVEKFELAGASPTDIAVMIKNHISNNSALEGLGFRIDEIVQAWNEMYEAKKWHSRVPSLRLEPILRRRVPKLYHALELA >Manes.14G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11492126:11496664:-1 gene:Manes.14G119500.v8.1 transcript:Manes.14G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKQNDKNKKRAAGQGVDSNGKQNSRKAYDKDTAVFISMSQELKEEGNRLFQKRDHEGAMMKYEKAIKLLPRNHIDVSHLRSNMAACYMQMGVNEYPRAIHECDLALQVTPKYSKALLKRARCYEALNRLDLALNDVSTVLKMEPNNIMATEVAERVNIALERRGLRVNGTVIELPAEYVEPPSTSSVPTALKEKKPKKKNRKVEEKKAKDESEAKKAEKAAKDEIKEKAKDESEAKMGEKAAKDEIEEKMVDKKIEEKETEDKVVVEQQSSGAKEESKKTVKLVFGQDIRCTQVPLNCSLLQLREVISDRFSGIGAFLIKYRDQEGDLVTITTDEELRWAEASAESKASIKLYLVKVNPQQDPFYWKLNNEKLHKIDLQQKLVNGNMDKGEEAENGSCHIDEWIVEFATLFKDHVGFDSDAYLSLHELGVKVYSEAMEEAITSEEAQDLFNTAAGTFQEMAALALFNWGNVHMSRARKKVYFTEDASKESILERIKSAYDWAQKEYVEAGQKYEAALRIKPDFYEALLALGHQQFEQAKLAWYYTVGNNVELEPWLPEEIVQLYNSAENNMEKGMQMWEEQEAQRRNQQSISLKIESHSEKTGLDGLFKDISAVAAAEQAKTMRSQINLLWGTILYERSIMEFKLGLPVWQDCLEIAVEKFELAGASPTDIAVMIKNHISNNSALEGLGFRIDEIVQAWNEMYEAKKWHSRVPSLRLEPILRRRVPKLYHALELA >Manes.09G025100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5352930:5353746:-1 gene:Manes.09G025100.v8.1 transcript:Manes.09G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNPRTRRFKQLQRQEQVVSTSKGTSLDDLSCLDDDMAASSSSCSTPKAQKFRISERLSCPPAPMKRRVAPKCSSKESSINFFAPPDIELFFFFAFSNITTLHSLQK >Manes.10G150800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31815619:31819945:-1 gene:Manes.10G150800.v8.1 transcript:Manes.10G150800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHSQNQSHSHHLTHTHNPNPTWGTWDELLLACAVKRHGFKNWDSVAMEVQTKSSLPHLLTTAENCQQKYHDLHRRFTTTTTCNEQDNINNNNNNTADKVVNIPWLEELRKLRVAELKQEVQRYDVSILSLQLKVKRLEEERDKSLQSNQNDGGIPDLEQEASQNDKKDEPEKKDSVSGGEESDRENRSVNESNSTGSEEKTAEKDGVKLEDEPVREGPGEAAPVLSVSNSKPVGEESHELGDSVTQLSSEVQSSASLGRKRKRKERKRGEEIGGDGIKGTTEKSEPLVGLIQMIRAHRHGSLFESRLEIQETDAYKNIVRQHLDLETIQTKLEQGSYSSSNLAFYRDLLLLFNNAIVFFPKSSTESFAAYDLRLLVSNEMKKETQKSEFTVASQNIVPQPKSELERSNSLLKGSAPIVVCRKRSSLSMKPSPSSFGQKIELQQQQQQQQHSNDNELPSDPKPPIVEQSTLKIESKEKPATGTRSSRRSNKNLNKGTPSKKQNASPTIKADAEKSEAPKTDKKKTEALALDKKRSAVDFLKRIKKNSPVETSKNTRGAVNGGGDHKTDGAMKVEKGKERILRRNNDEKQVEESSPSKRNVGRPSKKGVETSRVSGKRGREGGGKEAVKRPTKRSRR >Manes.01G057800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24460984:24473368:-1 gene:Manes.01G057800.v8.1 transcript:Manes.01G057800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKLNNQLLSKIATGKGHGEDSPYFDGWKAYDSDPYHPTKNPSGVIQMGLAENQLCFDLIQEWIKNNPKASICTPEGADEFRDIAIFQDYHGLEEFRIALAKFMAKGRGDRVTFDPDRIVMSGGATGAHEMIAFCLADPGDAFLVPTPYYPGFDRDLRWRTGVQLIPVDCESSNQFKVTREALEYAYEMAQLDNIRVKGLLITNPSNPLGTILDRETLKSIVNFVNEKNIHLVCDEIYAATVFSQPEFVSISEIIEEVECNLDLIHIVYSLSKDMGFPGFRVGIVYSYNDAVVNCARKMSSFGLVSSQTQHMIASMLSDDEFVENFIMQSKNRLASRYSNFTKGLAQVGIKCLKTSNAGLFVWMDLRRLLKEQTVEGETALWRVIIKDVKLNVSPGSSFHCTEPGWFRVCFANMDDQTMEVALSRIRTFVLKNKEAMKPVKKLCWQGSLKLSFSSRIYDDFVMSPHSPIPQSPLVRART >Manes.01G119001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31556649:31560272:1 gene:Manes.01G119001.v8.1 transcript:Manes.01G119001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISLACVVFFAIWFQIVCSAPRAMDFPCWCFVFRIAHLWPLPPFNVAWVSK >Manes.15G181500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24499888:24502503:-1 gene:Manes.15G181500.v8.1 transcript:Manes.15G181500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAAPTRALTQKELDIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESSLGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPQGHKWDVMVDLFFYREPEETKEHEEEEAVPVADYALPSTDFGLSAPDWGAQITEGQWTAEAAPPPISAVPAANFYPEQSSGFSNEWDAAAPPPQFAGAVAPAAPAAPAAPTAPTGWE >Manes.15G105000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8320715:8324012:-1 gene:Manes.15G105000.v8.1 transcript:Manes.15G105000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESNSNPKAPLLVVNERKRIGRLSGRNSVRTLRDEFFNRLPDKVRSGVDVESPFHIDVSKTKGLTEDQKKYYETQFATLKSFEEVDALESDDTIHEEDDEEQLQAERAMKISNYANILLLAFKIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQILVQAVEELIKNKPTAKMSSDQLVWLYTIMITATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLVAAVLGDKFYWWIDPAGAIILAIYTITNWSGTVMENAVSLVGQSAPPEVLQKLTYLVIRHPQVKRIDTVRAYTFGVLYFVEVDIELPEELPLKEAHTIGETLQNKIEKLPEVERAFVHLDFECEHKPEHSVLSRLPNSSA >Manes.17G046200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24278585:24281696:1 gene:Manes.17G046200.v8.1 transcript:Manes.17G046200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYRLPEGSSGSKLAMKPPPLLLLFLLNMIVSCQPISAIDFVFNGFNSSSLLLYGNATIESNILSLTNRISFAVGRALYPSKIPTKAPNSSYVYPFSTSFIFAMAPYKNVLPGHGFVFIFAPFTGIDGTNSAQNLGLFNRTNDGKFSNHVFGVEFDVFANQEFNDINDNHVGIDLNSLTSKFAEDAGYWPDNEKGNSKFKELKLNNGRLIQSHKILAWSFSNSNFALSESLITTGLPSFVLPKDPVFKSKGFIAGATVASFLVIVLIALIALFYIRRKQRRARARADMEDWELEYWPHRITYQEIEAATKGFSEDNVIGVGGNGKVFKGVLPGGTEVAVKRISHENDGMREFLAEISSLGRLKHRSLVGLRGWCKREKGSFMLVYDYMENGSLDKRVFDCEDNKMLSCEERIRILKDVASGVLYLHEGWESKVLHRDIKASNVLLDKEMNGRLGDFGLARMHSHGQLPSTTRVVGTVGYLAPEVVRSGRASAQTDVFGFGVLILEVMCGRRPIEEGKPPLVELVWKSMMEEQLLSVFDPRLKARGGFDEDEVERVLHLGLLCTYPEPSSRPTMRQVLKILEGKNESNEAESEDIDAYLLQQMNSETMWMNYSESSGFSSHPTFEEIRKSKSSSMSFSWTNSVVEDFRSETRLGKQLPKWGQMRSNST >Manes.17G046200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24278694:24282123:1 gene:Manes.17G046200.v8.1 transcript:Manes.17G046200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYRLPEGSSGSKLAMKPPPLLLLFLLNMIVSCQPISAIDFVFNGFNSSSLLLYGNATIESNILSLTNRISFAVGRALYPSKIPTKAPNSSYVYPFSTSFIFAMAPYKNVLPGHGFVFIFAPFTGIDGTNSAQNLGLFNRTNDGKFSNHVFGVEFDVFANQEFNDINDNHVGIDLNSLTSKFAEDAGYWPDNEKGNSKFKELKLNNGRLIQSHKILAWSFSNSNFALSESLITTGLPSFVLPKDPVFKSKGFIAGATVASFLVIVLIALIALFYIRRKQRRARARADMEDWELEYWPHRITYQEIEAATKGFSEDNVIGVGGNGKVFKGVLPGGTEVAVKRISHENDGMREFLAEISSLGRLKHRSLVGLRGWCKREKGSFMLVYDYMENGSLDKRVFDCEDNKMLSCEERIRILKDVASGVLYLHEGWESKVLHRDIKASNVLLDKEMNGRLGDFGLARMHSHGQLPSTTRVVGTVGYLAPEVVRSGRASAQTDVFGFGVLILEVMCGRRPIEEGKPPLVELVWKSMMEEQLLSVFDPRLKARGGFDEDEVERVLHLGLLCTYPEPSSRPTMRQVLKILEGKNESNEAESEDIDAYLLQQMNSETMWMNYSESSGFSSHPTFEEIRKSKSSSMSFSWTNSVVEGR >Manes.17G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24278694:24281696:1 gene:Manes.17G046200.v8.1 transcript:Manes.17G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYRLPEGSSGSKLAMKPPPLLLLFLLNMIVSCQPISAIDFVFNGFNSSSLLLYGNATIESNILSLTNRISFAVGRALYPSKIPTKAPNSSYVYPFSTSFIFAMAPYKNVLPGHGFVFIFAPFTGIDGTNSAQNLGLFNRTNDGKFSNHVFGVEFDVFANQEFNDINDNHVGIDLNSLTSKFAEDAGYWPDNEKGNSKFKELKLNNGENYQVWIDYADSVINITMAPVGMKRPSRPLLNVSLNLTDIFEEEMYIGFTSSTGRLIQSHKILAWSFSNSNFALSESLITTGLPSFVLPKDPVFKSKGFIAGATVASFLVIVLIALIALFYIRRKQRRARARADMEDWELEYWPHRITYQEIEAATKGFSEDNVIGVGGNGKVFKGVLPGGTEVAVKRISHENDGMREFLAEISSLGRLKHRSLVGLRGWCKREKGSFMLVYDYMENGSLDKRVFDCEDNKMLSCEERIRILKDVASGVLYLHEGWESKVLHRDIKASNVLLDKEMNGRLGDFGLARMHSHGQLPSTTRVVGTVGYLAPEVVRSGRASAQTDVFGFGVLILEVMCGRRPIEEGKPPLVELVWKSMMEEQLLSVFDPRLKARGGFDEDEVERVLHLGLLCTYPEPSSRPTMRQVLKILEGKNESNEAESEDIDAYLLQQMNSETMWMNYSESSGFSSHPTFEEIRKSKSSSMSFSWTNSVVEDFRSETRLGKQLPKWGQMRSNST >Manes.15G161500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13420390:13424231:1 gene:Manes.15G161500.v8.1 transcript:Manes.15G161500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAISVNLMASFRGLSLSSSSSSSFFKGDSGSFNVSSTRNSVSLPLKAPFPLTIEMAHKKGAGSTKNGRDSRGQRLGVKIFGDQVAKPGAIIVRQRGTKFHPGKNVGIGKDHTIFSLMDGLVKFEKYGPDKKKVSVYPREVQPENPNSYRARKREYFRLQRERKKARKEGIVPEPQLVLASDLDAADNSSNC >Manes.12G071232.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7665084:7665547:1 gene:Manes.12G071232.v8.1 transcript:Manes.12G071232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSNLSSFSIHLNEDIAGDYTSSDRPLGVKKAKLKKKLDESFSSALKCLHADNEKFVESLANATAEREKGRLMKSRALDLKEFKEENKILLLDLNSISDPIARETFRQEKIRISEKRA >Manes.01G037600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7245887:7255339:-1 gene:Manes.01G037600.v8.1 transcript:Manes.01G037600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLIGAFKPACNISITFNDGKTRKQVPMKKENGQTVMVPLFQSQENIAGKISVDPLQGKKVEHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTISRGYAGSIIEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGAGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQENS >Manes.01G037600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7246105:7255339:-1 gene:Manes.01G037600.v8.1 transcript:Manes.01G037600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLIGAFKPACNISITFNDGKTRKQVPMKKENGQTVMVPLFQSQENIAGKISVDPLQGKKVEHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTISRGYAGSIIEYQDFMVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGAGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQENS >Manes.05G191300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31435729:31440038:-1 gene:Manes.05G191300.v8.1 transcript:Manes.05G191300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTLQFGFSSISYLRCSARQSHHLLSQKPQVVMQLQSNGSGRRVWRRRKLSKKDDMLRYKLGRTPFLEERIRKVREEGKLLTMDIERLLLSEDNRFDFVNEVAAEANEYVESNRDEYGGKKKAILHVLSNRMNDAGFYRTEAYQESDPYKPGPGYLKEEFT >Manes.07G112100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31749074:31751454:-1 gene:Manes.07G112100.v8.1 transcript:Manes.07G112100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSIFAKILLLGLLEPTIDQNLFYTGMKYTTATFASTMCNILPAIAFLMAWAFRLEKVNLRKLHSQAKILGTLVTVGGAMVMTLFKGAKLDLPWTKGHEYHGSTSDLTTHDDPIKGAIMIGVGCLCWSSFIILQAITLKTYPAQLSLTALICLMGTIEGSIFALIMERRNPSAWSINFDSRLLAAVYGGVVCSGVTYYVQGVVMKSKGPVFVTAFNPLSMVIITILGSFVLSEIVYLGRVVGAVAIIIGLYLVLWGKSKDQSPFTSANEKEALEMDSVKETSNQELVVIDFNKVRPTHESV >Manes.07G112100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31749074:31751468:-1 gene:Manes.07G112100.v8.1 transcript:Manes.07G112100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSIFAKILLLGLLEPTIDQNLFYTGMKYTTATFASTMCNILPAIAFLMAWAFRLEKVNLRKLHSQAKILGTLVTVGGAMVMTLFKGAKLDLPWTKGHEYHGSTSDLTTHDDPIKGAIMIGVGCLCWSSFIILQAITLKTYPAQLSLTALICLMGTIEGSIFALIMERRNPSAWSINFDSRLLAAVYGGVVCSGVTYYVQGVVMKSKGPVFVTAFNPLSMVIITILGSFVLSEIVYLGRVVGAVAIIIGLYLVLWGKSKDQSPFTSANEKEALEMDSVKETSNQELVVIDFNKVRPTHESV >Manes.07G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31749074:31751576:-1 gene:Manes.07G112100.v8.1 transcript:Manes.07G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGKFCEKAKPFLAVIVLQFGYVGLSIISKFALNKGMSQHVLVVYRHVVATLVISPFAIIFDRKVRPKMTVSIFAKILLLGLLEPTIDQNLFYTGMKYTTATFASTMCNILPAIAFLMAWAFRLEKVNLRKLHSQAKILGTLVTVGGAMVMTLFKGAKLDLPWTKGHEYHGSTSDLTTHDDPIKGAIMIGVGCLCWSSFIILQAITLKTYPAQLSLTALICLMGTIEGSIFALIMERRNPSAWSINFDSRLLAAVYGGVVCSGVTYYVQGVVMKSKGPVFVTAFNPLSMVIITILGSFVLSEIVYLGRVVGAVAIIIGLYLVLWGKSKDQSPFTSANEKEALEMDSVKETSNQELVVIDFNKVRPTHESV >Manes.10G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24876920:24886393:1 gene:Manes.10G099600.v8.1 transcript:Manes.10G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPSSSVSKEPLSFPLSIQGSNSKYLSLREQTIFGAKGKLGAFEQTQLKLYNDSNYSGLKMKASRDPSWLISEIKSDKLESPATKSDTDLFDEMKQRFLSFKKHKYIENLEHFQNLAKGQAPKFMVIACADSRVCPSNILGFQPGEAFMVRNVANMVPSYESGPSETNAALEFAVNSLKVENILVIGHSCCGGIRALMSMHDDVETSSFIGSWVVVGMNARLRTKAAASNLNFDRQCRHCEKESVNCSLGNLLTYPWIEEKVRNGELSIHGGYYDFIDCTFEKWTLDYKASNLKEESRKVAIKNKTFWC >Manes.13G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5415887:5420527:-1 gene:Manes.13G046400.v8.1 transcript:Manes.13G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLKSTLFLLFLILVSNIFAPIISFGSSIDQEREEFYEELLLRPLPDRKVLAHFHFQSTAPPSNSNGRHHHLFPKAISQLVQKFQIKEMELSFTQGRWNYEKWGGFDPISSNNAKPPGVELWAVFDVPQDQVDASWRNLTHTLSGLFCASINFLESSTMYSAPQWSFRPATGNIRYGMLPREAVCTENLTPWLKLLPCRDKAGISALMDRPSIYRSFYHSQRLHLISNKSGPEGMNPGIVLEQVLTVVLQPNSQRTGMTFSGEKNVQPSWSLSSIFGRKVSGKCVLAKSSNVYLQLERALVAKLKKNKNKGADNIASEGFWSETGFELSVRPDRVFEEENNSHRKDSSVLFEFSVDKYSDSQPFDLGLTWKFPVIWSCKPAPLHASRFLMGSGNERGAIAILLKSADLHDASLGTCSSSDGYKFRVDVFQVVPWYVKVYYHTLQLYVNGQPKAVGDVIEKIHVSPSKDKISPGVMEMVLQFPCDVKSAVLTLEFDKGFLHIDEYPPDANQGFDIPSAAISFPNFYTSLFFPGNGSVDKSPVLMKFQEKNPVLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRVNEEERLLKSKAGKTTRLSRLLSKLSAKLRRKPQETPETPSNSSSFISSKLLLKIFLVAVLAVAWQYYFE >Manes.06G062100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19648934:19661544:-1 gene:Manes.06G062100.v8.1 transcript:Manes.06G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSQLCPILIVNLLFLLSSIAASFPNGSRSILRELGNDNAVKVDYAVDVNVTNFDAVLRDTPATFAIVEFFAHWCPACRNYKPYYERVARLFNGPDAVHPGIVLMTRVDCALKINNKLCDRFSVGHYPMLFWGPPSKFVSGSWEPKKEKSEIHVIDDGRTAERLLNWINKQLGSSYGLDDEKFENEHPPSNISDSEQIARAVYDVEEATSIAFEIIVEHKMIRSETRASLIKFLQLLAVHHPSKRCRKGSAEVLVNFDELCPPDKNQEIVGNGKSVLGNFQICGKEVPRGYWMFCRGSKNDTRGFSCGLWVLMHSLSVRIEDAESQFAFTAICDFIHNFFICQECRQHFFQMCSSVSSPFNTSHEFALWLWNAHNMVNERLMEEEASLGTGDPKFPKIVWPPKQLCSSCSPSHGQRDDGTNRIDWDKDEVYEFLTNYYGKTLASLYKDKGLLGSEVTDGAIEDLVASTNAVVVPVGAALAIALASCAFGALACYWRSQQKSRKYYHQLHSLKNI >Manes.06G062100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19648934:19661544:-1 gene:Manes.06G062100.v8.1 transcript:Manes.06G062100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSQLCPILIVNLLFLLSSIAASFPNGSRSILRELGNDNAVKVDYAVDVNVTNFDAVLRDTPATFAIVEFFAHWCPACRNYKPYYERVARLFNGPDAVHPGIVLMTRVDCALKINNKLCDRFSVGHYPMLFWGPPSKFVSGSWEPKKEKSEIHVIDDGRTAERLLNWINKQLGSSYGLDDEKFENEHPPSNISDSEQIARAVYDVEEATSIAFEIIVEHKMIRSETRASLIKFLQLLAVHHPSKRCRKGSAEVLVNFDELCPPDKNQEIVGNGKSVLGNFQICGKEVPRGYWMFCRGSKNDTRGFSCGLWVLMHSLSVRIEDAESQFAFTAICDFIHNFFICQECRQHFFQMCSSVSSPFNTSHEFALWLWNAHNMVNERLMEEEASLGTGDPKFPKIVWPPKQLCSSCSPSHGQRDDGTNRIDWDKDEVYEFLTNYYGKTLASLYKDKGLLGSEVTDGAIEDLVASTNAVVVPVGAALAIALASCAFGALACYWRSQQKSRKPRRKPELR >Manes.08G145700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38717159:38725350:1 gene:Manes.08G145700.v8.1 transcript:Manes.08G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKSKIKWIALLVLILSTVSLVVHLSITKFSSSNLVSYAPKDTGLDFPPLSETPSVRYVRNKKLWGVVKSLESLQPYANPRSSYPVPHEKNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESIRSKGISRKFKSFSYLYDEEQFIASLKKDVIIVKSLPENLKAARKRSEFPTFKPKSAASPNFYIKEILPKLKKSKVIGLVLADGGCLQSILPPSMYEFQRLRCRVAFHALQFRQEIQVLGHQMVERLRAWGQPFVAFHPGLVRDTLAYHGCAELFQDVHTELVQYQRAQMIKRGILKEELSVDSHLRRENGSCPLMPEEVGLLLRAIGYPPKTTIYMAGSETFGGQRVLIPLRAMFANVVDRTSVCSKQELFDLVGPEPPLSVDSFKLPPLKSEKQLKDEWQRAGPRPRPLPPPPDRPIYRHEKEGWYGWITETKSEPDPSPMDLRRQAHKLLWDALDYIVSVEADTFFPGFNNDGSGWPDFSSLVMGQRLYESAASRTYRPDRKAFAKLFNITRNDMYHPKHNWTLAVRELLNKSLGEDGLVRQSLLSKPSSFLSHPLPECSCRISSAEFQTPLEDIDGRYLYGGEDECPEWMQHGQEALSSESIGLEGAKHDDNESEYENETVQQESDDSGGKSSLTLSIDQDDEWDPND >Manes.08G145700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38717159:38725350:1 gene:Manes.08G145700.v8.1 transcript:Manes.08G145700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKSKIKWIALLVLILSTVSLVVHLSITKFSSSNLVSYAPKDTGLDFPPLSETPSVRYVRNKKLWGVVKSLESLQPYANPRSSYPVPHEKNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESIRSKGIRKFKSFSYLYDEEQFIASLKKDVIIVKSLPENLKAARKRSEFPTFKPKSAASPNFYIKEILPKLKKSKVIGLVLADGGCLQSILPPSMYEFQRLRCRVAFHALQFRQEIQVLGHQMVERLRAWGQPFVAFHPGLVRDTLAYHGCAELFQDVHTELVQYQRAQMIKRGILKEELSVDSHLRRENGSCPLMPEEVGLLLRAIGYPPKTTIYMAGSETFGGQRVLIPLRAMFANVVDRTSVCSKQELFDLVGPEPPLSVDSFKLPPLKSEKQLKDEWQRAGPRPRPLPPPPDRPIYRHEKEGWYGWITETKSEPDPSPMDLRRQAHKLLWDALDYIVSVEADTFFPGFNNDGSGWPDFSSLVMGQRLYESAASRTYRPDRKAFAKLFNITRNDMYHPKHNWTLAVRELLNKSLGEDGLVRQSLLSKPSSFLSHPLPECSCRISSAEFQTPLEDIDGRYLYGGEDECPEWMQHGQEALSSESIGLEGAKHDDNESEYENETVQQESDDSGGKSSLTLSIDQDDEWDPND >Manes.15G145600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11948655:11951224:-1 gene:Manes.15G145600.v8.1 transcript:Manes.15G145600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHKFPSSQILLHNKLKSATVILSNQRDSVALFSSTPCSNTNHTQTETPYQSFSTDSPPVSTHQALLNSIQSSQWHFVKHLAPNLTPDLISSALLSLQKTPDLALQFVTHTGFKNLDIRTKCLALAVISRSPSPKPTLQLLKETLASGISTIKEVFDELAVARERLNAKSIILFDLLIRACCELKKGDDAFECFSMMKEKGVVPKIETCNAMLSLFLKLNRTQTAWVLYAEMFRLRINSTVYTFNIMINVLCKEGKLKKAKEFIGFMESLGVKPNVVTYNTIIHGYCWLGRVEGAQTILDAMKSKGLEPDSYTYGSLISGMCKEGRLDEASRMLEKMKEIGLLPNAVTYNTLINGYCNKGDLEKAFGYKDEMVGRGILPTVSTYNLLIHALFMEGKMDEADDMIKDMRDNGLVPDSITYNILINGFCRCGNAKKAFSLHDEMVCKGIQPTRVTYTSLIYVLGKRNRMKEADDLYEKTVCKGIVPDLILFNALIDGHCANGNMDRAFALLKEMDRRKVAPDEVTYNTLMQGRCREGKVEEARELLEEMKNRGIKPDHISYNTLISGYSRRGDMNDAFRVRDEMLSIGFNPTLLTYNALIQVLCKNQEGEHAEELLKEMVSKGIVPDDSTYISLIEGMQKVNNVTSTTDS >Manes.14G099700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8265892:8269814:-1 gene:Manes.14G099700.v8.1 transcript:Manes.14G099700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGDFEEVFVIISIFIAGFSASYIKLYPTMKSYEYGFRVFLLTYCIVMVSGKSVYVERAFYRLLLIAIGAGICLVVNICIFPIWAGEDLHKLVVKNFKGVAASLEGCVNGYLQCVEYERVPSKILTYQASDDPLYSGYRSAVQSSSQEDSLLDFAIWEPPHGPYRSFKYPWENYVKLSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFCSELQRVGNEGAKVLRELGNKVEKMEKSSPGDILLKVHEAAEELQMKIDQKSYILVNPESWGTDRPTKVFEDSENFNEVKYNENWDAQNSNTSFNSSIPEWISESILRRPVSWPSFKAGSLPTEQESRVYESASSLSLATFASLLIEFVARLQNLVDAFQELSEKASFSEVTDPSQEKMEAAGFWTRLRRCFQFKK >Manes.14G099700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8265892:8269814:-1 gene:Manes.14G099700.v8.1 transcript:Manes.14G099700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIGSFRHSFAERSKERLLSRKGYSDFGINSSDGNEEGVKFSCCQSLSDWITNIWNGLQDGAVELYNMGRTDPRKVFFAMKMGLSLALVSLVIFFKEPLKNVNQYSIWAILTVVVVFEFSVGATLNKGFNRALGTLSAGGLALGISELCMLAGDFEEVFVIISIFIAGFSASYIKLYPTMKSYEYGFRVFLLTYCIVMVSGKSVYVERAFYRLLLIAIGAGICLVVNICIFPIWAGEDLHKLVVKNFKGVAASLEGCVNGYLQCVEYERVPSKILTYQASDDPLYSGYRSAVQSSSQEDSLLDFAIWEPPHGPYRSFKYPWENYVKLSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFCSELQRVGNEGAKVLRELGNKVEKMEKSSPGDILLKVHEAAEELQMKIDQKSYILVNPESWGTDRPTKVFEDSENFNEVKYNENWDAQNSNTSFNSSIPEWISESILRRPVSWPSFKAGSLPTEQESRVYESASSLSLATFASLLIEFVARLQNLVDAFQELSEKASFSEVTDPSQEKMEAAGFWTRLRRCFQFKK >Manes.14G099700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8265892:8269814:-1 gene:Manes.14G099700.v8.1 transcript:Manes.14G099700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIGSFRHSFAERSKERLLSRKGYSDFGINSSDGNEEGVKFSCCQSLSDWITNIWNGLQDGAVELYNMGRTDPRKVFFAMKMGLSLALVSLVIFFKEPLKNVNQYSIWAILTVVVVFEFSVGATLNKGFNRALGTLSAGGLALGISELCMLAGDFEEVFVIISIFIAGFSASYIKLYPTMKSYEYGFRVFLLTYCIVMVSGKSVYVERAFYRLLLIAIGAGICLVVNICIFPIWAGEDLHKLVVKNFKGVAASLEGCVNGYLQCVEYERVPSKILTYQASDDPLYSGYRSAVQSSSQEDSLLDFAIWEPPHGPYRSFKYPWENYVKLSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFCSELQRVGNEGAKVLRELGNKVEKMEKSSPGDILLKVHEAAEELQMKIDQKSYILVNPESWGTDRPTKVFEDSENFNEVKYNENWDAQNSNTSFNSSIPEWISESILRRPVSWPSFKAGSLPTEQESRVYESASSLSLATFASLLIEFVARLQNLVDAFQELSEKASFSEVTDPSQEKMEAAGFWTRLRRCFQFKK >Manes.14G099700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8265892:8268776:-1 gene:Manes.14G099700.v8.1 transcript:Manes.14G099700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIGSFRHSFAERSKERLLSRKGYSDFGINSSDGNEEGVKFSCCQSLSDWITNIWNGLQDGAVELYNMGRTDPRKVFFAMKMGLSLALVSLVIFFKEPLKNVNQYSIWAILTVVVVFEFSVGATLNKGFNRALGTLSAGGLALGISELCMLAGDFEEVFVIISIFIAGFSASYIKLYPTMKSYEYGFRVFLLTYCIVMVSGKSVYVERAFYRLLLIAIGAGICLVVNICIFPIWAGEDLHKLVVKNFKGVAASLEGCVNGYLQCVEYERVPSKILTYQASDDPLYSGYRSAVQSSSQEDSLLDFAIWEPPHGPYRSFKYPWENYVKLSGALRHCAFMVMAMHGCILSEIQAPAEKRQVFCSELQRVGNEGAKVLRELGNKVEKMEKSSPGDILLKVHEAAEELQMKIDQKSYILVNPESWGTDRPTKVFEDSENFNEVKYNENWDAQNSNTSFNSSIPEWISESILRRPVSWPSFKAGSLPTEQESRVYESASSLSLATFASLLIEFVARLQNLVDAFQELSEKASFSEVTDPSQEKMEAAGFWTRLRRCFQFKK >Manes.15G078766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6051157:6054158:1 gene:Manes.15G078766.v8.1 transcript:Manes.15G078766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVSASAHPPNAKTADVKRRSAGYQPSIWGDHFLSNNYHSLQNTNDGMYEHHAKLKQEVRSVLMMNVDKLSHKIDLIDSIQRLGVSYHFETEIDEILKQISSESDDDINDLYAIALKFRLVRQQGYNMSSDVFNKFKDSQGNFKDALVNDHRGMLSLYEATHLRVHGEDILEEALAFTTAHLESMVTPGMPLAPQITHALKQPIRKNLPRLEARRYFSIYEGESSCNPVLLSFAKLDFNILQKQHQKELSDIAKWWKELDFANKLPFARDRIVECYFWILGVYFEPEYSPARRILTKVIAMTSVMDDIYDVYGTPEELELFTAAIERWDISAINQLPEYMKEYYKTFLNVYTEIEKNLFDQKRLYRFYYAKEAMKNQVRAYFMESIWFHQKHLPTTEEYMSIALTTSAYALLAVTSLVGMGDIVTKDSFDWLFNEPKMITASQIICRLMDDIVSYEFEQKRGHLASSIECYMKQHSATKEEAVQEFKKWVVSAWKDINEECLYPTSVPMHVLTRILNLSRVMDVVYKNEDGYTHAGVLKDFVSSLLVDPV >Manes.16G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2440046:2443218:-1 gene:Manes.16G022100.v8.1 transcript:Manes.16G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVITSLGGPEVLQLQEVDDPQIKDDEVLIKVEATAVNRADTLQRIGKHPPPKGASPYPGLECSGTIEAVGKLVSRWKVGDQVCALLSGGGYAEKVAVPAGQILPVPPGISLKDAGGFPEVACTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKYQGVRVFVTAGSEEKLAVCKELGADVCINYKTEDFVARVKEETGGKGVDVILDCIGASYFQRNLDSLNVGGRLFIIGFMGGAVTELNLSILLAKRLTVQAAGLRGRSAENKAEIVNEVEKNVWPAIVEGKVKPVVYKHFPLAEAAEAHRLLESSQHIGKILLVP >Manes.11G160700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32625731:32627069:1 gene:Manes.11G160700.v8.1 transcript:Manes.11G160700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCQAQRLCVNNCGFFGSPATQNLCSKCYRDLQLKEQQSSNAKLVLNQTLVSASSSSSSSSSSSSSSSSSSSSTASVSISNPSAVEISSNQPVSATVAVNDKEETPVVRPNRCLTCRKRVGLTGFKCRCGLMFCGSHRYPEQHGCTFDFKAMGKEQIAKANPMVKAEKLQKI >Manes.04G041140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7001034:7004546:1 gene:Manes.04G041140.v8.1 transcript:Manes.04G041140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGISCEQETWVSSTNLNQQHDESETPHGDEIFYQETDEIWKKMNQSTTNNYQNSHSSTFSSRSRSNSKKKKNQVLLEGYVDVANTNEDDLKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEHHKSPERSCPAPAETASSPIANWKISTPGDHPEDVKARLKFWAQAVACTVRLCS >Manes.11G117050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27430302:27430896:-1 gene:Manes.11G117050.v8.1 transcript:Manes.11G117050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVVISMRVHPILCSLTSAKTINEVHRLNGRVTALGQFISCSTRRYLPFFKALKGQGKFEWEKDCAEAFESLKTFLSSPSLLSSPVESKVLFLYLSIT >Manes.03G068700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9938419:9940987:1 gene:Manes.03G068700.v8.1 transcript:Manes.03G068700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYLSDTSIISLLSSTFSSSQPFQPLSKTKLKLPTNITKQNKSHLKRRRSAMAKLLSLFSFFLLTALSSSESPNPYPPTAAHVQLTNYGFPIGLLPSSVLNYTLDSPSGVFSIDFGGACKVTLPPDNYLATYSKKVTGKIAQGQIAELDGIRVRAFFKWWSITGIRANGENLVFEVGMVTAKYPSKKFNESPECEGKHSAS >Manes.07G037100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4015349:4019653:1 gene:Manes.07G037100.v8.1 transcript:Manes.07G037100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSESESFGGRDYGNGILSSTKHAVKTDGFELRGQSWYVATDIPSDLLVQIGDANFHLHKYPLLSRSGKINRVIYESRDPGLNKIELNDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLEEENLQIVRRCSESIAWKACANPKGIRWAYTGKPPKVSSPRWNDMKDSSPSRNQTVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGASIMQYAAKWLPGLIKDGAVSIDEGSNSSNSSSSSSWKGGYHMIVAASKDEPPLTQGKDQRLIVESLISIIPSQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLIQRLLEHFLVQEQTESSSPSRQSFSDQRGNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANSSLKDASETQYQPMIPNRKTLLEGTPQSFQEGWTAAKKDINTLKFELESVKTKYLELQNDMENLQRQFDKLTNKKQTSAWTSGWKKLSKLTKMTNVENHHIESQVPEATIEQQTRKTPRRWRNSIS >Manes.07G037100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4015377:4019699:1 gene:Manes.07G037100.v8.1 transcript:Manes.07G037100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSESESFGGRDYGNGILSSTKHAVKTDGFELRGQSWYVATDIPSDLLVQIGDANFHLHKYPLLSRSGKINRVIYESRDPGLNKIELNDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLTPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPKVSSPRWNDMKDSSPSRNQTVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGASIMQYAAKWLPGLIKDGAVSIDEGSNSSNSSSSSSWKGGYHMIVAASKDEPPLTQGKDQRLIVESLISIIPSQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLIQRLLEHFLVQEQTESSSPSRQSFSDQRGNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANSSLKDASETQYQPMIPNRKTLLEGTPQSFQEGWTAAKKDINTLKFELESVKTKYLELQNDMENLQRQFDKLTNKKQTSAWTSGWKKLSKLTKMTNVENHHIESQVPEATIEQQTRKTPRRWRNSIS >Manes.07G037100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4015349:4019653:1 gene:Manes.07G037100.v8.1 transcript:Manes.07G037100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQKYPLLSRSGKINRVIYESRDPGLNKIELNDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLEEENLQIVRRCSESIAWKACANPKGIRWAYTGKPPKVSSPRWNDMKDSSPSRNQTVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGASIMQYAAKWLPGLIKDGAVSIDEGSNSSNSSSSSSWKGGYHMIVAASKDEPPLTQGKDQRLIVESLISIIPSQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLIQRLLEHFLVQEQTESSSPSRQSFSDQRGNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANSSLKDASETQYQPMIPNRKTLLEGTPQSFQEGWTAAKKDINTLKFELESVKTKYLELQNDMENLQRQFDKLTNKKQTSAWTSGWKKLSKLTKMTNVENHHIESQVPEATIEQQTRKTPRRWRNSIS >Manes.07G037100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4015377:4019653:1 gene:Manes.07G037100.v8.1 transcript:Manes.07G037100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDSESESFGGRDYGNGILSSTKHAVKTDGFELRGQSWYVATDIPSDLLVQIGDANFHLHKYPLLSRSGKINRVIYESRDPGLNKIELNDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLTPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPKVSSPRWNDMKDSSPSRNQTVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGASIMQYAAKWLPGLIKDGAVSIDEGSNSSNSSSSSSWKGGYHMIVAASKDEPPLTQGKDQRLIVESLISIIPSQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETMYDVDLIQRLLEHFLVQEQTESSSPSRQSFSDQRGNNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAIANSSLKDASETQYQPMIPNRKTLLEGTPQSFQEGWTAAKKDINTLKFELESVKTKYLELQNDMENLQRQFDKLTNKKQTSAWTSGWKKLSKLTKMTNVENHHIESQVPEATIEQQTRKTPRRWRNSIS >Manes.12G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5974134:5977491:-1 gene:Manes.12G060400.v8.1 transcript:Manes.12G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAGAVASSSTNMGLDGNENEGSAEKTGNLETETSHQEGGDDSSHKYKRQMSESSMDATDHDDEDDEEGNKIQLGPQCTLKEQLEKDKDDESLRKWKEQLLGTVDFENIGETLEPDVKILSLSIISPGRPDIVLSVPEDGKPEGIWFTLKEGSHYNLMFSFQVHNNIVSGLKYINTVWKTGVKVDSSKEMLGTFSPQPESYTHMMPEETTPSGMFARGSYSARSKFLDDDNKCYLEINYTFDIRKEWAAT >Manes.12G060400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5973333:5977571:-1 gene:Manes.12G060400.v8.1 transcript:Manes.12G060400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAGAVASSSTNMGLDGNENEGSAEKTGNLETETSHQEGGDDSSHKYKRQMSESSMDATDHDDEDDEEGNKIQLGPQCTLKEQLEKDKDDESLRKWKEQLLGTVDFENIGETLEPDVKILSLSIISPGRPDIVLSVPEDGKPEGIWFTLKEGSHYNLMFSFQVHNNIVSGLKYINTVWKTGVKVDSSKEMLGTFSPQPESYTHMMPEETTPSGMFARGSYSARSKFLDDDNKCYLEINYTFDIRKEWAAT >Manes.12G060400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5974236:5977491:-1 gene:Manes.12G060400.v8.1 transcript:Manes.12G060400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAGAVASSSTNMGLDGNENEGSAEKTGNLETETSHQEGGDDSSHKYKRQMSESSMDATDHDDEDDEEGNKIQLGPQCTLKEQLEKDKDDESLRKWKEQLLGTVDFENIGETLEPDVKILSLSIISPGRPDIVLSVPEDGKPEGIWFTLKEGSHYNLMFSFQVHNNIVSGLKYINTVWKTGVKVDSSKEMLGTFSPQPESYTHMMPEETTPSGMFARGSYSARSKVSFPDQTQEILACSWLIRSSSLLQIYF >Manes.12G060400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5974956:5977491:-1 gene:Manes.12G060400.v8.1 transcript:Manes.12G060400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAGAVASSSTNMGLDGNENEGSAEKTGNLETETSHQEGGDDSSHKYKRQMSESSMDATDHDDEDDEEGNKIQLGPQCTLKEQLEKDKDDESLRKWKEQLLGTVDFENIGETLEPDVKILSLSIISPGRPDIVLSVPEDGKPEGIWFTLKEGSHYNLMFSFQVHNNIVSGLKYINTVWKTGVKVDSSKEMLGTFSPQPESYTHMMPEETTPSGMFARGSYSARSKL >Manes.01G266500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42097325:42101446:1 gene:Manes.01G266500.v8.1 transcript:Manes.01G266500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSSCCGIRILCCFRSYLTPSLTSCSVSSLPWLTRSPSSRFIDNAKNMSSSFPSFGHSCGSGRGSEMKPDRERSRGHGGTGGKDKIDALGRLLTRILRHRASELNLNIRSDGYVKVQDLLKLNMKTFANIPLRSHTVDEIKEAVRKDNKQRFSLLEENGELLIRANQGHTVKTVESESLLKAILSAEEVPVCVHGTYKRNLESILKSGLKRMKRLHVHFACGLPTDGEVISGMRRDVNVLIHLDVKKALEEGMKLYISDNGVILTEGFDGIVPVKYFKKIESWPDGQLIHFQTQI >Manes.06G125500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25528186:25534813:1 gene:Manes.06G125500.v8.1 transcript:Manes.06G125500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYSRQWSGDSSSTGSSSPVMSPAHASLRLGSGMSTIMRTQNVAAKAAAQRLAQVMASQTTDDDDEDDDLGFRFSAPPLPAPSSFSSRLNHSGNNGVPPSISIARANRSPSPALGRNLAEHVPSVRSTSAGRPSMSVRTGTLVPPSKSSLRTPVSIPPIEPPSNRNKEKRFTLDVRQLKTKDARDQREASALRDEVCIALRDELDMLQEENEIILDKLRCAEERREEAEARARELEKQVAALGEGVSLEAKLLSRKEAKLRQREAALRAAKQTKEGRDEEIAALRSELESLQEGAAAAVEQFREAESEAKALRTMTQRMILTQEEMEEVVLKRCWLARYWGLAVKHGICADVAVAKHEHWSALAPLPFEVVISAGQKAKEEPLDRGGDDPDRSKPARDMSDLTGEGNIETMLSVEMGLRELASLKVEDAVMLALALHRRPNLRSSLDPKFIEAFELSEEEAEDVIFKEAWLTYFWRRAKVHGVEEDIAEERLQFWISRSGQSPTSHDAVDVERGLLELRRLSIEQQLWEASRKEIDQPPSNFVANHKPTADSETY >Manes.06G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25527975:25535590:1 gene:Manes.06G125500.v8.1 transcript:Manes.06G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYSRQWSGDSSSTGSSSPVMSPAHASLRLGSGMSTIMRTQNVAAKAAAQRLAQVMASQTTDDDDEDDDLGFRFSAPPLPAPSSFSSRLNHSGNNGVPPSISIARANRSPSPALGRNLAEHVPSVRSTSAGRPSMSVRTGTLVPPSKSSLRTPVSIPPIEPPSNRNKEKRFTLDVRQLKTKDARDQREASALRDELDMLQEENEIILDKLRCAEERREEAEARARELEKQVAALGEGVSLEAKLLSRKEAKLRQREAALRAAKQTKEGRDEEIAALRSELESLQEGAAAAVEQFREAESEAKALRTMTQRMILTQEEMEEVVLKRCWLARYWGLAVKHGICADVAVAKHEHWSALAPLPFEVVISAGQKAKEEPLDRGGDDPDRSKPARDMSDLTGEGNIETMLSVEMGLRELASLKVEDAVMLALALHRRPNLRSSLDPKFIEAFELSEEEAEDVIFKEAWLTYFWRRAKVHGVEEDIAEERLQFWISRSGQSPTSHDAVDVERGLLELRRLSIEQQLWEASRKEIDQPPSNFVANHKPTADSETY >Manes.06G125500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25527975:25535590:1 gene:Manes.06G125500.v8.1 transcript:Manes.06G125500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTGTLVPPSKSSLRTPVSIPPIEPPSNRNKEKRFTLDVRQLKTKDARDQREASALRDELDMLQEENEIILDKLRCAEERREEAEARARELEKQVAALGEGVSLEAKLLSRKEAKLRQREAALRAAKQTKEGRDEEIAALRSELESLQEGAAAAVEQFREAESEAKALRTMTQRMILTQEEMEEVVLKRCWLARYWGLAVKHGICADVAVAKHEHWSALAPLPFEVVISAGQKAKEEPLDRGGDDPDRSKPARDMSDLTGEGNIETMLSVEMGLRELASLKVEDAVMLALALHRRPNLRSSLDPKFIEAFELSEEEAEDVIFKEAWLTYFWRRAKVHGVEEDIAEERLQFWISRSGQSPTSHDAVDVERGLLELRRLSIEQQLWEASRKEIDQPPSNFVANHKPTADSETY >Manes.09G021500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4457385:4498015:1 gene:Manes.09G021500.v8.1 transcript:Manes.09G021500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEILKPRTDKRDYRRIVLKNSLQVLLISDPETDKCAASMNVSVGSFSDPVGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGITNAFTSSEHTNYHFDVNADCFEDALDRFAQFFIKPLMSPDATTREIKAVDSENQKNLLSDAWRMNQLQKHLSDEGHPYHKFSTGNWDTLEVRPKAKGLDTRQELIKFYEENYSANLMHLVIYSKESLDKIQILAEDKFQEITNKDRSRFSFPGQPCTSEHLQILVRAVPIKQGHKLNIIWPITPGILHYKEGPCRYLSHLIGHEGEGSLFYVLKTLGWATGLTAGEGDWTTEFSFFKVVIDLTDVGHEHMQDIIGVLFKYIHLLQQSGVCKWMFDELAAVCETMFHYQDKSSPIDYVVKTASNMEIYPPQDWLVGSSLPSNFNSSTIQMVLDELSPNNVRIFWESKKFEGKTEMVEPWYGTAYSIEKITTCAIQEWMLSAPVVNLHLPEPNVFIPTDLSLKTAQEKQVKFPVLLRKSSLSSLWYKPDTMFSTPKAYVKIDFSCPHAGSSPDAEVLTDMFTRLLMDYLNEYAYHAQIAGLYYGITNTDSGFQVTVVGYNHKLRILLEAVIEKIAKFKVNPERFSVIKEMVIKEYENFKFQQPYQQAMYYCSLILQNQAWPWMDELEVLPHLEAEDLAKFAPMMLSRSFLECYIAGNIESSEAESIIEHIEDVFYKGPNPICQPLFRSQHLSNRVIKLEKGKSYFYPIKGLNPTDENSALVHYIQVHRDDFVPNVKLQLFALIAKQPAFHQLRSVEQLGYITALMPRNDFGVQGVQFIIQSTVKGPARIDLRVEAFLKIFETKLYEMTNEEFQKNANALIDMKLEKHKNLREESRFYWQEIYYGTLKFDRKDCEVAALRQLEQKEFVEFFNEYIKVSAPQRRTLSVRVYGAPHLSEYASDKTESVSSNSVQIDDIFSFKRSQPLYGSFKGGFGYMKL >Manes.11G108100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25081480:25087689:-1 gene:Manes.11G108100.v8.1 transcript:Manes.11G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSAFTAKVLLFKRPNFHPQPHFSHSPRASLHGLQHHHFNRRHFLSQTAAISLPLLAPLIHQPANAEETLSEWDKISLPIDPGVVLLDIAFVPDDMNHGFLLGTRQTILETKDGGETWVSRSIPSAEDEDFNYRFNSISFNGKEGWIVGKPAILLYTSDAGETWQRIPLSAQLPGDMVYIKATGEKSAEMVTDEGAIYVTSNGGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGNYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVPVQSRGFGILDVGYRSKDEAWAAGGSGILLRTTNGGKTWTRDKAADNIAANLYSVKFIDDRKGFVLGNDGVLLRYLG >Manes.18G030800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2588312:2595514:-1 gene:Manes.18G030800.v8.1 transcript:Manes.18G030800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRWLSKIFKGSSHNISDDHYHGNYGEDPNYYAPSTSEVVWSEQENEGLSEKENEDINRAIALSLLEENHYGKIVIDNETQLEEDEQLARAIQESLNVESPPPQYGYEIGNSHGYQGNGHGYQGNGNAYQGNGNAYQGNVYQPIPVQFPMGYRICAGCNMEIGHGRFLNCLNAFWHPECFRCHACNLPISDYEFSMSGNYRYHKSCYKERFHPKCDVCKCFIPTNPAGLIEYRAHPFWIQKYCPSHEHDGTPRCCSCERMEPRDTGYIALNDGRKLCLECLESAVMDTNQCQPLYLDIQEFYESLNMRVEQQVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEEQTVSRVLRRPKFGAGNRAMGMVTEPFKLTRRCEVTAILILFGLPRLLTGSILAHEMMHAWMRLKGFQHLSQDVEEGICQVLAHMWLESQLQSGSGSNVASSSASQAPNWVTRSTFEKKLGDFFKHQIESDTSPVYGDGFRIGHQAVQKYGLPQTLDHIRITGRFPY >Manes.18G030800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2588312:2595514:-1 gene:Manes.18G030800.v8.1 transcript:Manes.18G030800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRWLSKIFKGSSHNISDDHYHGNYGEDPNYYAPSTSEVVWSEQENEGLSEKENEDINRAIALSLLEENHYGKIVIDNETQLEEDEQLARAIQESLNVESPPPQYGYEIGNSHGYQGNGHGYQGNGNAYQGNGNAYQGNVYQPIPVQFPMGYRAHPFWIQKYCPSHEHDGTPRCCSCERMEPRDTGYIALNDGRKLCLECLESAVMDTNQCQPLYLDIQEFYESLNMRVEQQVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEEQTVSRVLRRPKFGAGNRAMGMVTEPFKLTRRCEVTAILILFGLPRLLTGSILAHEMMHAWMRLKGFQHLSQDVEEGICQVLAHMWLESQLQSGSGSNVASSSASQAPNWVTRSTFEKKLGDFFKHQIESDTSPVYGDGFRIGHQAVQKYGLPQTLDHIRITGRFPY >Manes.08G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35407793:35410229:1 gene:Manes.08G115300.v8.1 transcript:Manes.08G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASNLSTLFTISLLFMLLSPSLASFFTPVSGTPEKFCNSTPYPYFCRSSFPFDKPANIHDYGRMSISKTLSHSGKFLSMIQYLLRLPSSFFASNTILALEDCRFLAQLNIDFLSYTWESINYTDSLQSLQASDLQTLLSATLTNLQTCLDGLRASKSVSGIMNTLLTPLSNGTKHCSISLAFFTHGWVPARKKGRILSERKHIFSELRNGISDGLPLKMSNQDQQVYESLNKRKLLQTTVNASVTVSQMVVVNKNGTGNFTTINDAVAAAPNNTAIGSGYFVIYVVKGVYQEYVSISKNKQNIMMIGDGIGKTVITGNRSVVDGWTTFNSATFAVVGQGFVAVNITFRNTAGPIKQQAVAVRNGADMSAFYSCSFEGYQDTLYTHSLRQFYRDCQIYGTIDFIFGNAAVVFQNCKISSRLPLVGQFNTITAQGRSDPNQNTGISIQNCSIKAAKDLATANVTVETYLGRPWKEYSTTIFMQSYIASLIDPAGWAPWSGDFALATLYYAEFNNTGAGSKTSNRVTWPGYHLINATDAANFTVSNFTQGDFWLPATGVPYTGGLM >Manes.12G059700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5128808:5129997:1 gene:Manes.12G059700.v8.1 transcript:Manes.12G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAELQRVFQMFDKNGDGKITRKELGESLQDLGIFISDKELIQMIEKMDGNRDGFVDIDEFGGLYESISNEKDEDEDIREAFNVLDKNGDGFITWDELRSVLASLGLNQGRGLEDCKRMIKKLDVDGNGMVDFKEFKQMTKGGGFAALDSI >Manes.06G055900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15247882:15251399:1 gene:Manes.06G055900.v8.1 transcript:Manes.06G055900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLRLISKSSTRRLLFGIPLVLVSIFMIGIFTYAQKISYFFRPLWDNPPPPFEHLPHYYAENVSMEHLCHLHGWSLRHEPRRVFDGIIFSNELDILEVRWRELHPYITKFVILESNTTFTGVPKPLFFASNQGRFAFAEGKIVHGVFSGRTTIHGCHEDPFVLESEQRAAMNSLILRSGISGGDLLIMSDADEIPSPHTVKLLQWCDGIPPILHLELRNYMYSFEFPVDYSSWRATVHIYGPWTRYRHSRQTDIIFSDSGWHCSFCFRRLQEIVFKMTAYSHADRVRKKDYLNHSRIQKIICTGNDLFDMLPEEYTFQELIKKLGSIPHSASAVHLPAYLLENAEKFKFLLPGGCLRSAE >Manes.06G055900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15247931:15251305:1 gene:Manes.06G055900.v8.1 transcript:Manes.06G055900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLRLISKSSTRRLLFGIPLVLVSIFMIGIFTYAQKISYFFRPLWDNPPPPFEHLPHYYAENVSMEHLCHLHGWSLRHEPRRVFDGIIFSNELDILEVRWRELHPYITKFVILESNTTFTGVPKPLFFASNQGRFAFAEGKIVHGVFSGRTTIHGCHEDPFVLESEQRAAMNSLILRSGISGGDLLIMSDADEIPSPHTVKLLQWCDGIPPILHLELRNYMYSFEFPVDYSSWRATVHIYGPWTRYRHSRQTDIIFSDSGWHCSFCFRRLQEIVFKMTAYSHADRVRKKDYLNHSRIQKIICTGNDLFDMLPEEYTFQELIKKLGSIPHSASAVHLPAYLLENAEKFKFLLPGGCLRSAE >Manes.06G055900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15247882:15251305:1 gene:Manes.06G055900.v8.1 transcript:Manes.06G055900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLRLISKSSTRRLLFGIPLVLVSIFMIGIFTYAQKISYFFRPLWDNPPPPFEHLPHYYAENVSMEHLCHLHGWSLRHEPRRVFDGIIFSNELDILEVRWRELHPYITKFVILESNTTFTGVPKPLFFASNQGRFAFAEGKIVHGVFSGRTTIHGCHEDPFVLESEQRAAMNSLILRSGISGGDLLIMSDADEIPSPHTVKLLQWCDGIPPILHLELRNYMYSFEFPVDYSSWRATVHIYGPWTRYRHSRQTDIIFSDSGWHCSFCFRRLQEIVFKMTAYSHADRVRKKDYLNHSRIQKIICTGNDLFDMLPEEYTFQELIKKLGSIPHSASAVHLPAYLLENAEKFKFLLPGGCLRSAE >Manes.06G055900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15247931:15251311:1 gene:Manes.06G055900.v8.1 transcript:Manes.06G055900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLRLISKSSTRRLLFGIPLVLVSIFMIGIFTYAQKISYFFRPLWDNPPPPFEHLPHYYAENVSMEHLCHLHGWSLRHEPRRVFDGIIFSNELDILEVRWRELHPYITKFVILESNTTFTGVPKPLFFASNQGRFAFAEGKIVHGVFSGRTTIHGCHEDPFVLESEQRAAMNSLILRSGISGGDLLIMSDADEIPSPHTVKLLQWCDGIPPILHLELRNYMYSFEFPVDYSSWRATVHIYGPWTRYRHSRQTDIIFSDSGWHCSFCFRRLQEIVFKMTAYSHADRVRKKDYLNHSRIQKIICTGNDLFDMLPEEYTFQELIKKLGSIPHSASAVHLPAYLLENAEKFKFLLPGGCLRSAE >Manes.06G055900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15247882:15251399:1 gene:Manes.06G055900.v8.1 transcript:Manes.06G055900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLRLISKSSTRRLLFGIPLVLVSIFMIGIFTYAQKISYFFRPLWDNPPPPFEHLPHYYAENVSMEHLCHLHGWSLRHEPRRVFDGIIFSNELDILEVRWRELHPYITKFVILESNTTFTGVPKPLFFASNQGRFAFAEGKIVHGVFSGRTTIHGCHEDPFVLESEQRAAMNSLILRSGISGGDLLIMSDADEIPSPHTVKLLQWCDGIPPILHLELRNYMYSFEFPVDYSSWRATVHIYGPWTRYRHSRQTDIIFSDSGWHCSFCFRRLQEIVFKMTAYSHADRVRKKDYLNHSRIQKIICTGNDLFDMLPEEYTFQELIKKLGSIPHSASAVHLPAYLLENAEKFKFLLPGGCLRSAE >Manes.06G055900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:15247931:15251305:1 gene:Manes.06G055900.v8.1 transcript:Manes.06G055900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSLRLISKSSTRRLLFGIPLVLVSIFMIGIFTYAQKISYFFRPLWDNPPPPFEHLPHYYAENVSMEHLCHLHGWSLRHEPRRVFDGIIFSNELDILEVRWRELHPYITKFVILESNTTFTGVPKPLFFASNQGRFAFAEGKIVHGVFSGRTTIHGCHEDPFVLESEQRAAMNSLILRSGISGGDLLIMSDADEIPSPHTVKLLQWCDGIPPILHLELRNYMYSFEFPVDYSSWRATVHIYGPWTRYRHSRQTDIIFSDSGWHCSFCFRRLQEIVFKMTAYSHADRVRKKDYLNHSRIQKIICTGNDLFDMLPEEYTFQELIKKLGSIPHSASAVHLPAYLLENAEKFKFLLPGGCLRSAE >Manes.08G030500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2953356:2959222:-1 gene:Manes.08G030500.v8.1 transcript:Manes.08G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQSPPANPRFASAIRAFTPHELDDLKQFFVSLAAQSQSKGDYVSSSVFQAYFGLNGPSGERLFDLVTQKRKDDKLTFEDLVIAKSIYEKGTKDEIEEFIYQLLDITDDGILGRSDLESVLIAILKSVFLPKVNGDGSSSCQDVADVFLKAATFSKDVQGASEKSMTFEDFRCWCGLLPSVRKFLGSLLIPSDAGRPGSQVPQLLHGENIDPNMILLRKEYAWHIGGALSHQELEEWKLLYHSAVNGLSFNTFLGSVSNGEGPTVLIVKDKEDCIYGGYASQPWDRHGDFYGDMKSFLFQLYPKASIFKPTGANSNIQWCAANFSSENIPNGIGFGGRVNHFGLFLSASFDVGHSFTCTTFGSPSLSKNNRILPEVIECWGIVQKGVQQEKQDAMKGTVLERFKEDRHMLNMVGLANSSE >Manes.12G034400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3052077:3053828:1 gene:Manes.12G034400.v8.1 transcript:Manes.12G034400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADNKAEAKKMKGLLKGLRYISQIFENEKEAEMQIGLPTDVKHVAHIGWDGPAVNSPSWMTEFKATPGVSSTTSNGNGDAKDETKWVSEDSACRKTSRRASDFLSRDLPELPKSSRRHSSAGGAGDSPPKEKTEKKHSKRSSKKESKELTDGKPSRSKDSSDSSSNPDAPKKSRRKKSKDAASVGASTSKSSRSKAKATEDFGSESGPLSQSLNIDNCGSAANFALNENAENGLNEIS >Manes.12G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3051304:3053828:1 gene:Manes.12G034400.v8.1 transcript:Manes.12G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADNKAEAKKMKGLLKGLRYISQIFENEKEAEMQIGLPTDVKHVAHIGWDGPAVNSPSWMTEFKATPGVSSTTSNGNGDAKDETKWVSEDSACRKTSRRASDFLSRDLPELPKSSRRHSSAGGAGDSPPKEKTEKKHSKRSSKKESKELTDGKPSRSKDSSDSSSNPDAPKKSRRKKSKDAASVGASTSKSSRSKAKATEDFGSESGPLSQSLNIDNCGSAANFALNENAENGLNEIS >Manes.02G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9530807:9532118:-1 gene:Manes.02G125800.v8.1 transcript:Manes.02G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLLGLWASPYSLRVELVLKLKGIQYQYIEEDLFNKSPLLLKSNPVHKKIPVLIHNGKPIAESLVILEYIDETWKNNPILPENPYDRAIARFWAKFIDEKIVQTASKFRSANEEEKEQILEELGEQLKVIEKELEGKEFFGGESIGYVDVVAFLLVYSFQVRQEVMQKDWINEEKFPVLCKWMGKLHEIDVVNQCLPPKDKHYAYLRARIEAAKSASK >Manes.18G003300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:729216:732931:1 gene:Manes.18G003300.v8.1 transcript:Manes.18G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAALALSRIPASTRLPYKSVHSIPTQCSSKRFEVTEFSGLRASSSVTYGKNASETSFFDLVAAQMTPKVAASTPTRAETLAKLKVAINGFGRIGRNFLRCWHGRKNSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDDTTISVDGNPIKVVSNRDPLQLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEKDYSHEVSNIVSNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNAAFRKAAEGPLKGILDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWSGVSSSGSGDPLEDFCETNPADEECKVYEA >Manes.15G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7443482:7453322:1 gene:Manes.15G095600.v8.1 transcript:Manes.15G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPNLDNSPLNLKSLRELSQRELVNILKNIRGKKCLVIDPKLSGSLSLIIQTSILREHGVELRHLSADPIQTDCTKVVYLVRSRFNLMRCICSHVHNDTSKGLEREYYIYFVPRREVVCEKVLEEEKVHHLMTIGEFPLYIVPLDEDILSFELDFTNKDCQVDGDTSSLWHIAKAIHKLESSFGVIPHVRAKGKASVRVADILSHMQTEEPVNSSDMGVPEINTVILLDREVDMVTPMCSQLTYEGLLDEFLHINNGSVELDASIMGAQQQEGKKMKVPLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKPSFLARLDMEHTIVEAQSYDICFEHIEELIHKQEPLVSVLRLLILLSVTSSGLPKKHFDYLRRELLHSYGFEHMATLNNLEKAGLLKKQETKSNWLTVKRALQLVVEDTDTANPNDISYVFSGYAPLSIRLVQHAVRSGWRPMEEILKMLPGPHSETKRTGVLSSPSSDTLYRASEAADRLADGRRALVLVVFIGGVTFAEISALRYLSAQEGMAYDLIIGTTNIVNGNTLAEAYVERFG >Manes.06G094801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22969102:22969796:-1 gene:Manes.06G094801.v8.1 transcript:Manes.06G094801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYFTKLPKNSEPLNSKPKEKVAFVEKESLASDDDIIGDPGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVGHEFPFTLIREKNRRFQVAWFKDYEWLEYSVSKDKAYCLYCYLFANNNRSGGNVFTEIGFNNWKDGRRAFVNHEGSPGSSHSGCRMKVEQYRNQRGNVNQLLARQTAAMEDDYRTRLSTVVCVA >Manes.07G035800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3863632:3866233:-1 gene:Manes.07G035800.v8.1 transcript:Manes.07G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARATSYSLPSFLQLMHRYRAFCSRNCALGYVEDKKICSNGYHAMHHRGLQMMISPASGGGTRRNLHTHMVKVKDDMVSPPPVPPVPNHRRINFVKWAKWVLGSLLSFILPFWKPKWEKLKIIQEEVEIIEEEIETAATVVQKVATMAENVSAEMAEKLPENGKLKETAMLIEKVSKATADDAQLTRDFIHKVDELKHDIEDLETMVEPVIEKLLQQKSEGK >Manes.11G015100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1604617:1610117:1 gene:Manes.11G015100.v8.1 transcript:Manes.11G015100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRALWQASLNATKKALTWNLEDLMPPSEKLIFNFNSKEELKNWHLYSDSEYGGLSSASLEITDAGNGLKGVFSGNLSLEVSQDSKWNISRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRCYISTIYTENWVNSPGQMEDNSWQAFVSVPKDNWYIAKIPLARYLPTWRGNVIDAKLEMNQSRILGMSLSVNAGGGIPGTRSGPGDFNVEIDWIKALRTQ >Manes.11G015100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1604615:1610334:1 gene:Manes.11G015100.v8.1 transcript:Manes.11G015100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRALWQASLNATKKALTWNLEDLMPPSEKLIFNFNSKEELKNWHLYSDSEYGGLSSASLEITDAGNGLKGVFSGNLSLEVSQDSKWNISRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRCYISTIYTENWVNSPGQMEDNSWQAFVSVPKDNWYIAKIPLARYLPTWRGNVIDAKLEMNQSRILGMSLSVNAGGGIPGTRSGPGDFNVEIDWIKALRTQ >Manes.11G015100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1604615:1610334:1 gene:Manes.11G015100.v8.1 transcript:Manes.11G015100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRALWQASLNATKKALTWNLEDLMPPSEKLIFNFNSKEELKNWHLYSDSEYGGLSSASLEITDAGNGLKGVFSGNLSLEVSQDSKWNISRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRCYISTIYTENWVNSPGQMEDNSWQAFVSVPKDNWYIAKIPLARYLPTWRGNVIDAKLEMNQSRILGMSLSVNAGGGIPGTRSGPGDFNVEIDWIKALRTQ >Manes.11G015100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1604617:1610117:1 gene:Manes.11G015100.v8.1 transcript:Manes.11G015100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRALWQASLNATKKALTWNLEDLMPPSEKLIFNFNSKEELKNWHLYSDSEYGGLSSASLEITDAGNGLKGVFSGNLSLEVSQDSKWNISRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRCYISTIYTENWVNSPGQMEDNSWQAFVSVPKDNWYIAKIPLARYLPTWRGNVIDAKLEMNQSRILGMSLSVNAGGGIPGTRSGPGDFNVEIDWIKALRTQ >Manes.11G083125.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:18584819:18585394:1 gene:Manes.11G083125.v8.1 transcript:Manes.11G083125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERITGKVKWFSDQKGFGFITPDDGGEDLFVHQSSIRSEGYRSLGEGEEVEFQIEQSDDGRTKAVDVTGPDGNPVQGSRGGGSGGGRGGRSGGGGGGYGGGGYGGGGGGGGYGSGRGGRSGGGYGSSGGGGGGCFNCGEMGHMARDCPQGGGGGGGGGGRYGGGGGGGGNCFNCGGSGHFARECPNSGR >Manes.05G078700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6424978:6426605:1 gene:Manes.05G078700.v8.1 transcript:Manes.05G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSELRDGSCILIKKDAEVFFESNFVGRRSIVKERFSKKYRQPSLDSKLTLKRLNVEARCMTKARRLGVSTPVLFALVEGYSVKGIFLELGLHGVVEERLDNIATQIGDAIGKLHDGGLIHGDLTTSNMLIHNVTNQLVFIDFGLSFISALPEDKAMDRIIAAYKKSSKQWSSMMNKLAQVRQRGRKRTMIG >Manes.18G005100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:882764:893339:-1 gene:Manes.18G005100.v8.1 transcript:Manes.18G005100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKSFGDRAYRGRPPELDEKIKKSKKKKERDSLSEPVPSRQAKKRRLREESVLSSTEEGVYQPKTKETRAAYEAMLSIIQQQLGGQPLNIVSAAADEILAVLKNESVKTPDKKKEIEKLLNPIPNHVFDQLVSIGRLITDFQDGGDAAGPAVANGDDALDDDVGVAVEFDEDNEDDEEESDLDAVPDEEEEEDDVAEPNGSGAMQMGGGIDDEDMQDANEGMGLNVQDIDAYWLQRKISQAYEQQIDPQQCQKLAEEVLKILAEGDDREVETKLLLHLQFEKFSLIKFLLRNRLKIVWCTRLARAKDQQERKLIEEEMMNSGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGEDGDRDRRGLVDRDMDNGWVKGQPQLLDLDSIAFEQGGLLMANKKCDLPVGSYRHQSKGYEEVHVPALKPKPIAPDEKLVKISDMPDWAQPAFKGMQQLNRVQSRVYETALFKADNVLLCAPTGAGKTNVAVLTILQQIALNRNPDGSFNHGNYKIVYVAPMKALVAEVVGNLSNRLQEYGVKVRELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNFEDVALFLRVDIERGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMTVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGRFLREDSASREILQSHTDMVKSNDLKDLLPYGFAVHHAGMTRADRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWLGYTYLYVRMLRNPTLYGLAPDVLTRDITLEERRADLIHSAATIVDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALNLCKMVNKRMWSVQTPLRQFSGIPNEILMKLEKKDLAWERYYDLSSQEIGELIRFPKMGRTLHKFIHQFPKVNLAAHVQPITRTVLRVELIVTPDFQWEDKVHGYVEPFWVIVEDNDGECILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFALLRNYQKGPDSAMRAAYIAPLEAIAKERYRDWERKFGRGLGMRVVELTGETATDLKLLEKGQIIISTPEKWDALSRRWKQRKYVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYIASQIENKIRIVALSSSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARIQAMTKPTYTAIVQHAKNEKPAIVFVPTRKHVRLTAVDLMTYSSVDSGEKPAFLLRSSEELEPFVGKIQDEMLRATLLHGVGYLHEGLGSLDQEVVSQLFEAGWIQVCVMSSSMCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVAGVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLSDLEAGKCVAIEDDTDLSPLNLGMIASYYYISYTTIERFSSSLTPKTKMKGLLEILASASEYALLPIRPGEEEVLRRLINHQRFSFENPRYADPHVKANVLLQAHFSRQSVGGNLALDQREVLLSASRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKELAKKCQENPEKSIETVFDLVEMEDDERRELLQMSDSQLLDIVRFCNRFPNIDMSYEVMDGEHVKAGEDITLLVTLERDLEGRTDVGTVDAPRYPKAKEEGWWLVVGDTKSNQLLAIKRVSLQRKSKVKLEFAAPSEAGRKSYTLYFMCDSYLGCDQEYGFSVDVKEAGGSDEDSARE >Manes.18G005100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:882764:892513:-1 gene:Manes.18G005100.v8.1 transcript:Manes.18G005100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPESLWGKIDPKSFGDRAYRGRPPELDEKIKKSKKKKERDSLSEPVPSRQAKKRRLREESVLSSTEEGVYQPKTKETRAAYEAMLSIIQQQLGGQPLNIVSAAADEILAVLKNESVKTPDKKKEIEKLLNPIPNHVFDQLVSIGRLITDFQDGGDAAGPAVANGDDALDDDVGVAVEFDEDNEDDEEESDLDAVPDEEEEEDDVAEPNGSGAMQMGGGIDDEDMQDANEGMGLNVQDIDAYWLQRKISQAYEQQIDPQQCQKLAEEVLKILAEGDDREVETKLLLHLQFEKFSLIKFLLRNRLKIVWCTRLARAKDQQERKLIEEEMMNSGPDLAAILEQLHATRATAKERQKNLEKSIREEARRLKDESGEDGDRDRRGLVDRDMDNGWVKGQPQLLDLDSIAFEQGGLLMANKKCDLPVGSYRHQSKGYEEVHVPALKPKPIAPDEKLVKISDMPDWAQPAFKGMQQLNRVQSRVYETALFKADNVLLCAPTGAGKTNVAVLTILQQIALNRNPDGSFNHGNYKIVYVAPMKALVAEVVGNLSNRLQEYGVKVRELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNFEDVALFLRVDIERGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMTVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGRFLREDSASREILQSHTDMVKSNDLKDLLPYGFAVHHAGMTRADRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWLGYTYLYVRMLRNPTLYGLAPDVLTRDITLEERRADLIHSAATIVDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALNLCKMVNKRMWSVQTPLRQFSGIPNEILMKLEKKDLAWERYYDLSSQEIGELIRFPKMGRTLHKFIHQFPKVNLAAHVQPITRTVLRVELIVTPDFQWEDKVHGYVEPFWVIVEDNDGECILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFALLRNYQKGPDSAMRAAYIAPLEAIAKERYRDWERKFGRGLGMRVVELTGETATDLKLLEKGQIIISTPEKWDALSRRWKQRKYVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYIASQIENKIRIVALSSSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARIQAMTKPTYTAIVQHAKNEKPAIVFVPTRKHVRLTAVDLMTYSSVDSGEKPAFLLRSSEELEPFVGKIQDEMLRATLLHGVGYLHEGLGSLDQEVVSQLFEAGWIQVCVMSSSMCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVAGVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLSDLEAGKCVAIEDDTDLSPLNLGMIASYYYISYTTIERFSSSLTPKTKMKGLLEILASASEYALLPIRPGEEEVLRRLINHQRFSFENPRYADPHVKANVLLQAHFSRQSVGGNLALDQREVLLSASRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKELAKKCQENPEKSIETVFDLVEMEDDERRELLQMSDSQLLDIVRFCNRFPNIDMSYEVMDGEHVKAGEDITLLVTLERDLEGRTDVGTVDAPRYPKAKEEGWWLVVGDTKSNQLLAIKRVSLQRKSKVKLEFAAPSEAGRKSYTLYFMCDSYLGCDQEYGFSVDVKEAGGSDEDSARE >Manes.01G211500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38234536:38237048:1 gene:Manes.01G211500.v8.1 transcript:Manes.01G211500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLIKPPHQNNLHLKPLRDILSFMLCRNTLSGRFSTMIRILNKRFRRFCSRLRWPIRRSKSKILIRRFGKTKSKTQNESISGFAVVHPNALFAEVRPIKVATFNAALFFMAPAVPKALDSSTFDFENEHHQMVTRSANGIFRTKSSNDRPKSILKQSPLHPNTTNRDENLSRQDKFAKSKLRVSINLPDNEISLLRNRQLGFVEEKETTIGSSLSRILRGKAPMRSQSAISARNVGNRVDGDSYRSTRTVVEVLEELDADILALQDVKAEEEKSMKPLSDLAAALGMNYVFAESWAPEYGNAILSKWPIKSWKVQKIFDDTDFRNVLKATIDVPENGEVNFYCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLDQTDYSSERWMDIVKYYEEMGKPTPKVEVMRFLKSKHYTDAKDFAGEYEPVVMIAKGQNVQGTCKYGTRVDYILASSTSPYKFIPGSYSVLSSKGTSDHHIVKVDMIKLRSDQENFTRKRRQPKHKVVKITNSSPSKGIWKAQTLD >Manes.01G006900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2575422:2576384:1 gene:Manes.01G006900.v8.1 transcript:Manes.01G006900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHVSYCKNCSLSISVNYWILLLLTKGSMNSSCSSVSTQDYYDQDRHQEQYHHQDDGDQEHPKETTHSEATVINLIDSLNAGSWSTTRKRTFCFCDSSCLNHVNQKILERIPTMILLKSMGFAEKNISDVLRDMGNEKMKQNIEETVQQWCSTNNSDTISEEPAATPTDRRKKRCTDMGVLKKSMKKKKKMDIKLKSLSELVDSKGSYVCKECNKVFDDFRALGGHTASHNRNKKAENAPSEELGTGGGDLDRGSSLAELAVDNKGKRYECGMCSRRFSTGQALGGHKSYHRKTACGEVQEVSGEGTVPAKDADLKFEI >Manes.07G073900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21965464:21967983:-1 gene:Manes.07G073900.v8.1 transcript:Manes.07G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELENTKLHPIFLHTFICSLLGILTATMGTESDISCLKSIKASLEDPYGYLKSSWYFSNYTQGFICSFTGVDCWHDDEDRVLNLRLSDMGLRGRFPQGIENCTSLTGLDLSNNELEGPIPSDISKKLPFVTSLDLSFNKFSGEIPSSIAGCYHLNVLKLDHNRLTGHIPQELGWLSRIKTFSVSDNQLSGSVPVFLNPTVSADVYANNIGLCGGPLQRCPDNSRKFNWRFDYSFKSGFAIGYAVSLISALVIYASYCVPWVYMGKKNGMITIPELVKLMLIRKNEKPELDQLGSLSTMEFLLEKEVSTSETFVTRMSSVDLCNATENFSKHNIIGVGLTGIMYKASLLNGWSLAVKKLYISEQTEEQFISELKTLGRLRHDNLIPLIGFCKEQKTRFLVYKYVSNGNLFDWLHSEGDKKKILKWPLRIRIAAGVAKGLAWLHHCCSFRVAHLNISSKSVLLDKNFEPKLSNFGMSSFINPNEVNSSSRGFIMDVEFWEECFLKEDVFNFGNLLLELITGKMGIALSSCYGSLDEWLRDLSCSSPSVSDSIDEVVKGQGHDHEIFQCLKIACDCVQRFPEKRPTMLDVYMKISNIYS >Manes.18G037400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3297939:3301514:-1 gene:Manes.18G037400.v8.1 transcript:Manes.18G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFVSVLIFLLSLLSYIAKRRCKKELCKGVKLPPGSMGWGYIGETLQLYSQDPNVFFANKQKRYGEIFKTHILGCPCVMLASPEAARFVLVNQAHLFKPTYPESKEHLIGPSALFFHQGDYHMRIRKLVKDSLSLDALRNLVVDISDIAASTLDSWSEGHVINTFQEMKKFSFEVGILAIFGHLESHYREELKKNYRIVDKGYNSFPTSLPGTSYKKALSARKELSKILSDIISERKEKRLLEKNLLGRLLNSKDDVGQVLTDDQIADNIIGVLFAAQDTTASALTWIVKYLHDNPKLLEAVKAEQKAIYKMNDEGNKPLTWSQTRNMPFTNKVVLESLRMASIISFTFREAVADVEYKGYLIPKGWKVMPLFRNIHHNPEYFSDPQKFDPSRFEDAPKPNTFMPFGSGVHACPGNELARLEMLIITHHLVTKFRWEVVGSEDGIQYGPFPVPLHGLPARFWKESSI >Manes.04G068900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26694153:26699429:1 gene:Manes.04G068900.v8.1 transcript:Manes.04G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISSDEGSDQQSERCGSYSLSADVSESESCSSFSCRRFDGEGASCSLTSSPRPAVASSFCFQSPVLLPVVGGKDMMIWDVKPEKREMDLSEVEMMKERFAKLLLGEDMSGGGKGVCSALAISNAITNLSATVFGELWRLEPLALLKKSMWRREIEWLLCVSDSIVELVPSIQQFPGGGTYEVMATRPRSDLYVNLPALKKLDAMLISMLDGFCETEFWYVDRGIIVADGGDCGAYPSSNSSGRPSIRQEEKWWLPCPKVPSNGLSKDARKRLQQCRDCTNQILKAAMAINSTVLAEMEIPSVYLESLPKSGKACLGDIIYRYITAEQFKPECLLDCLDLSTEHHTLEIANRIEAAVHVWKQKDNKKLTRHLKASHSSWGGKVKGLVADTKKNHFLAQRAETLLQSLRIRFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDATKSAAAESMSLFNRNGLGGIPIQKRMSPSPFSIQHSPFASPFATPTFCSSTPVGGSPGRVPSSFRKNNAKEASDQKLEKPCPAEFEKVWSYTGNLSARRVSGDAPERD >Manes.08G118300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35756263:35757855:1 gene:Manes.08G118300.v8.1 transcript:Manes.08G118300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQLNIGHLDANGHYTGQFTTFALSGFVRAQGDADSALDRLWQKKKVEHRQQ >Manes.08G118300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35756541:35757589:1 gene:Manes.08G118300.v8.1 transcript:Manes.08G118300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQLNIGHLDANGHYTGQFTTFALSGFVRAQGDADSALDRLWQKKKVEHRQQ >Manes.04G077500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27898502:27906025:-1 gene:Manes.04G077500.v8.1 transcript:Manes.04G077500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCHDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFRLRVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYTHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGRPSIDCTANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >Manes.04G077500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27898502:27906025:-1 gene:Manes.04G077500.v8.1 transcript:Manes.04G077500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKAELCHDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFRLRVIEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDTVEDSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYTHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGRPSIDCTANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >Manes.01G270400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402910:42405934:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFTSAVLRGKSWEIPLPPFGQG >Manes.01G270400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402912:42406010:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFTSAVLRGKSWEIPLPPFGQGWFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402911:42405959:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402858:42406014:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFSRFTSAVLRGKSWEIPLPPFGQGWFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402912:42406010:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFSRFTSAVLRGKSWEIPLPPFGQGWFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402858:42406014:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFTSAVLRGKSWEIPLPPFGQGWFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402912:42405963:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFTSAVLRGKSWEIPLPPFGQGWFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402916:42405947:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFSRFTSAVLRGKSWEIPLPPFGQG >Manes.01G270400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402916:42405934:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFTSAVLRGKSWEIPLPPFGQGWFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402958:42403669:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFTSAVLRGKSWEIPLPPFGQGW >Manes.01G270400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402911:42405934:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402858:42405981:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402912:42405963:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402958:42403669:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFSRFTSAVLRGKSWEIPLPPFGQGW >Manes.01G270400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402912:42405934:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402911:42405934:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402912:42405963:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFSRFTSAVLRGKSWEIPLPPFGQGWFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402916:42405934:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRFESVYIDDDIRVVKDIRGDYLVVDRAPYAWKE >Manes.01G270400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42402911:42405934:1 gene:Manes.01G270400.v8.1 transcript:Manes.01G270400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFSQPIPLKPKTSPKSTSFYTLKVTCSSATNQSQSAKQHLLSLISDQDRGLKTQNDPEKRATIIQAIYAMAEQGKGTVTTGNSLSATWRLLWTTEKEQLFIIEKAPLFGTKAGDVLQVIDVEKKTLNNVITFPPDRVFFVRSNIEIASSQRVNFRSLNSTPLELLCIL >Manes.S031616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:756836:757003:1 gene:Manes.S031616.v8.1 transcript:Manes.S031616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.16G069700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:26552565:26555564:1 gene:Manes.16G069700.v8.1 transcript:Manes.16G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSLSVLSERQKLAELLRCCSKKLLLNQGLQVHGALVKGGLGFDLMLNNDLVDMYGKCGRVDAACAVFDRMLKRNVVSWTALMCGHIQNGYAKESLLLLSQMGLSDVKPNEFTFSMNLKACSMLNLSDIGMQIHDTCVKTGFDMVIVVGNSIVDMYSKCGRINEAARMFDVMPVRNLISWNSMIAGYVIAGFGKKAVVLFKKMIENEQAPDEFTFTSTLKACSGLGAIKEGSQIHSFLITSGFPYLITTSIAGSLVDQYVKCGKICEARRVFGQIEQKHLISWSALILGYAQEGNLAEAMDLFKQLRESDIQIDGFVLSSLIGVFADFALVEQGKQMHAYTIKVPYGLDISVCNSIMDMYLKCGLMDVAERFFSKMPTKDLFSWTTMINGYGKHGLGEEAISLFNKMQSDNIEPDDVAYLAVLLACSHSGLIEEGQEFFSRLCSDRRTKARVEHYACMVDLLGRAGRLKEAKNIIDRMHLKPNAGIWQALLSACRMHGDVELGREVGDIVLRLDGDNPVNYVMLSNIYADAGYWNECEKIRELVKTKRLKKEAGRSWVEIDKEVHFFYGGDDTHPLIEKIHEVLKEMENKMKEELGYVQWMKYALRDVEEESKEESLRVHSEKLAIGLALVCGGWEERGRVIRVFKNLRICGDCHQFIKGLSKILEMVFVVRDANRFHRFENGICSCRDYW >Manes.07G091000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28385654:28387315:-1 gene:Manes.07G091000.v8.1 transcript:Manes.07G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLCCAKSFCRIDALRKSSKSLWIYLSLFSCSDATHTKAKCAPLQETRMRDRFTLHAKGGDGGNGCSSFRPSRHDRRGRPNDENGGRGGDVILECSSAIWDFSGLHHHINVARGGNGASKSMIGTREKDKSVSKSTNMEKEAEPHKLKELEEFASIQAIQREPAGVEHIHYDVVELTKLGHQIIVARGGEGGLGNVSSPDVSKKAKLSKPGVNRDIVFDPDMSSEDQSCLSSAVLLLELKSIADAGLVGMPNVGKSTLLGALSRAKPKVGHYAFTTPTANLGKLKFDEQHTKNKRQKTGMLLYYCKQVQCRR >Manes.S048616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1543007:1543819:1 gene:Manes.S048616.v8.1 transcript:Manes.S048616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G005200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:735193:736093:-1 gene:Manes.07G005200.v8.1 transcript:Manes.07G005200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSFKSLALVFLVAVFAAVASVSAQDLGDLAPAPAPAMDKGAAYSLGMSAAVICSSLFFSMLALFKH >Manes.14G171500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28174970:28180933:1 gene:Manes.14G171500.v8.1 transcript:Manes.14G171500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASTLASSVFSRTLATTYDGGATTTNRRLLQAALYCTGGAGGSYNHNRWFYRLSNSFSARAASVGVAGALVSVAATTFLAQEAYAKEPPPQELVPKDVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPISKKEIKWSDYKKVPILMVDGEQLVDSSVIIDKLRSKISKKKIDLASDGGGEEEKWRRWVDDHLVHVLSPNIYRNTSEALESFDYITSHGNFSFTEKFTVKYAGAAAMYFVSKKLKKKYNITDERAALYEAAETWVDALNGREFLGGSKPNLADLAVFGVLRPIRYLRSGRDMVEHTRIGEWYTRMEHAVGESSRIRA >Manes.18G051400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4392439:4396053:-1 gene:Manes.18G051400.v8.1 transcript:Manes.18G051400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRGSCLQNLLQSLRLGSLSQRGACICYSSQAASSLQQVSKPLASCSVDGENEYANLDWDNLGFGITPADFMYSMKCSKGGSFEQGQLSRYGNVELSPSAGVLNYGQGLYEGTKAYRKEDGRLLLFRPDQNAIRMKMGADRLCMPCPSIDQFVDAVKGVALANKRWVPPPGKGTLYIRPLLMGSGPVLGLAPAPEYTFLVYASPVGNYFKEGLAPLNLYIEEEFHRASRGGAGGVKSITNYAPVMKAIGRAKSRGFSDVLYLDSVNKKYLEEVSSCNIFLVKGNVISTPAASGTILQGVTRRSVIEIARDHGYQKLNQVEERAIPVEELMDADEVFCTGTAVGVAPVGNITYQNRRVEYQMRAESVSQELYSTLEGIKTGIIEDKKGWILEI >Manes.18G051400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4392439:4396053:-1 gene:Manes.18G051400.v8.1 transcript:Manes.18G051400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRGSCLQNLLQSLRLGSLSQRGACICYSSQAASSLQQVSKPLASCVDGENEYANLDWDNLGFGITPADFMYSMKCSKGGSFEQGQLSRYGNVELSPSAGVLNYGQGLYEGTKAYRKEDGRLLLFRPDQNAIRMKMGADRLCMPCPSIDQFVDAVKGVALANKRWVPPPGKGTLYIRPLLMGSGPVLGLAPAPEYTFLVYASPVGNYFKEGLAPLNLYIEEEFHRASRGGAGGVKSITNYAPVMKAIGRAKSRGFSDVLYLDSVNKKYLEEVSSCNIFLVKGNVISTPAASGTILQGVTRRSVIEIARDHGYQVEERAIPVEELMDADEVFCTGTAVGVAPVGNITYQNRRVEYQMRAESVSQELYSTLEGIKTGIIEDKKGWILEI >Manes.18G051400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4392439:4396053:-1 gene:Manes.18G051400.v8.1 transcript:Manes.18G051400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRGSCLQNLLQSLRLGSLSQRGACICYSSQAASSLQQVSKPLASCSVDGENEYANLDWDNLGFGITPADFMYSMKCSKGGSFEQGQLSRYGNVELSPSAGVLNYGQGLYEGTKAYRKEDGRLLLFRPDQNAIRMKMGADRLCMPCPSIDQFVDAVKGVALANKRWVPPPGKGTLYIRPLLMGSGPVLGLAPAPEYTFLVYASPVGNYFKEGLAPLNLYIEEEFHRASRGGAGGVKSITNYAPVMKAIGRAKSRGFSDVLYLDSVNKKYLEEVSSCNIFLVKGNVISTPAASGTILQGVTRRSVIEIARDHGYQVEERAIPVEELMDADEVFCTGTAVGVAPVGNITYQNRRVEYQMRAESVSQELYSTLEGIKTGIIEDKKGWILEI >Manes.18G051400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4392439:4396053:-1 gene:Manes.18G051400.v8.1 transcript:Manes.18G051400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRGSCLQNLLQSLRLGSLSQRGACICYSSQAASSLQQVSKPLASCVDGENEYANLDWDNLGFGITPADFMYSMKCSKGGSFEQGQLSRYGNVELSPSAGVLNYGQGLYEGTKAYRKEDGRLLLFRPDQNAIRMKMGADRLCMPCPSIDQFVDAVKGVALANKRWVPPPGKGTLYIRPLLMGSGPVLGLAPAPEYTFLVYASPVGNYFKEGLAPLNLYIEEEFHRASRGGAGGVKSITNYAPVMKAIGRAKSRGFSDVLYLDSVNKKYLEEVSSCNIFLVKGNVISTPAASGTILQGVTRRSVIEIARDHGYQKLNQVEERAIPVEELMDADEVFCTGTAVGVAPVGNITYQNRRVEYQMRAESVSQELYSTLEGIKTGIIEDKKGWILEI >Manes.02G073100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5611070:5611770:-1 gene:Manes.02G073100.v8.1 transcript:Manes.02G073100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLPSSYASLIKPSLKQAFRLDLKVQARSKRYGVKASNMVDADMRVLRLRIKEIRRKERIERCCRCKHGWNYEPSGFNSKIVKKEKDLLGYFELAGLIGVTFGITCLTGAIFLSLVSLVVHLNLYQ >Manes.15G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10597825:10602386:1 gene:Manes.15G132400.v8.1 transcript:Manes.15G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSYSFLGGFPAVGVAFCLLLFLFFSPVSSGGHDYHDALHKSILFFEGQRSGKLPPDQRVKWRGDSALHDGFSVGRDLTGGYYDAGDNIKFGFPMAFTTTLLSWSIIDFGRNMGPELKNAVKAVKWASDYLLKVTAVPGVVFVQVGDAYSDHNCWERPEDMDTLRTVYKIDGSHPGSDVAGETAAALAAASIVFRSRDPGYSRLLLDRAVRVFEFADRHRGAYSSSLHSAVCPFYCDVNGYQDELLWGAAWLHKASRRRQYREYIVKNEVVLHAGDTINEFGWDNKHAGINVLISKEVLMGKADYFESFKRNADEFICSLLPGISHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKVVPCGETTASSALLKQLAKRQVDYILGENPMRMSYMVGYGERYPQRIHHRGSSLPSVKTHPAHIGCKAGSRYFLSPNPNPNVHVGAVVGGPNVSDAFPDSRPFFQESEPTTYINAPLVGLLAFFSAHS >Manes.05G178900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29543285:29543860:1 gene:Manes.05G178900.v8.1 transcript:Manes.05G178900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSKITGISPIKKNNKLFGDRYFGGNEGGNSNEWLNSSISQPGDLVDIEAVSLSNSIPNKIFSCNFCKRKFRSSQALGGHQNAHKRERGAIIRCQYGRQISANGMFDSKTVALSFGIQFQVHSLVHKPYKERNAMVARFNNVNQRCMGGWKSTLPEEATMANWPGSSRIPAEQNSQPSDLLKVDLTLRL >Manes.17G100800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30788529:30793774:-1 gene:Manes.17G100800.v8.1 transcript:Manes.17G100800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGTDTSTNNNDNSLPHKLTSKTSFLNLKLYVLIAIISICILLVLLLVFLCIRLNKASRKRNRVLARRSSGLIPLVSKEIVEIKALDRKGNDEKEEVKVGNVNLIKKKEIEAVVDLETAEGKKKQQKKKSGESDDASCSTSSDVSSVEAQQNIGWGRWYSVKELEIATRGFARENVIGEGGYGIVYRGVLEDGSSVAVKNLLNNKGQAEKEFRVEVEAIGKVRHKHLVGLIGYCAEGARRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGLAKLLGSESSYVTTRVMGTFGYVSPDYANTGMLNEGSDVYSFGILLMEMITGRSPIDYSRPAGEMNLVDWFKGMVASRRGEEVLDPLIEVQPSPRVFKRTLLVCLRCIDLDASKRPKMGQVVHTLEADDFPFRAEIRSIKEKDLPPSHAAMSNKVPHPTKQARGSDVERSKQR >Manes.17G100800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30789696:30793867:-1 gene:Manes.17G100800.v8.1 transcript:Manes.17G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGTDTSTNNNDNSLPHKLTSKTSFLNLKLYVLIAIISICILLVLLLVFLCIRLNKASRKRNRVLARRSSGLIPLVSKEIVEIKALDRKGNDEKEEVKVGNVNLIKKKEIEAVVDLETAEGKKKQQKKKSGESDDASCSTSSDVSSVEAQQNIGWGRWYSVKELEIATRGFARENVIGEGGYGIVYRGVLEDGSSVAVKNLLNNKGQAEKEFRVEVEAIGKVRHKHLVGLIGYCAEGARRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGLAKLLGSESSYVTTRVMGTFGYVSPDYANTGMLNEGSDVYSFGILLMEMITGRSPIDYSRPAGEMNLVDWFKGMVASRRGEEVLDPLIEVQPSPRVFKRTLLVCLRCIDLDASKRPKMGQVVHTLEADDFPFRAEIRSIKEKDLPPSHAAMSNKVPHPTKQARGSDVERSKQR >Manes.17G100800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30788529:30793774:-1 gene:Manes.17G100800.v8.1 transcript:Manes.17G100800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGTDTSTNNNDNSLPHKLTSKTSFLNLKLYVLIAIISICILLVLLLVFLCIRLNKASRKRNRVLARRSSGLIPLVSKEIVEIKALDRKGNDEKEEVKVGNVNLIKKKEIEAVVDLETAEGKKKQQKKKSGESDDASCSTSSDVSSVEAQQNIGWGRWYSVKELEIATRGFARENVIGEGGYGIVYRGVLEDGSSVAVKNLLNNKGQAEKEFRVEVEAIGKVRHKHLVGLIGYCAEGARRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGLAKLLGSESSYVTTRVMGTFGYVSPDYANTGMLNEGSDVYSFGILLMEMITGRSPIDYSRPAGEMNLVDWFKGMVASRRGEEVLDPLIEVQPSPRVFKRTLLVCLRCIDLDASKRPKMGQVVHTLEADDFPFRAEIRSIKEKDLPPSHAAMSNKVPHPTKQARGSDVERSKQR >Manes.01G110401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30937495:30937800:1 gene:Manes.01G110401.v8.1 transcript:Manes.01G110401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFCNEEESIYHVLVDCALAKEMWRQQDWLIHL >Manes.04G059400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:20109334:20111610:1 gene:Manes.04G059400.v8.1 transcript:Manes.04G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAISQPRSSKTESYVDNKRKEDIRHANIVAARAVADAVRTSLGPKGMDKMISTASGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDAAAGDGTTTVVVIAGALLKQCLSLLFSGIHPTVISDSLHKASTKAVDVLTAMAVPVELSDRESLIKSASTSLNSKVVSQYSTLLAPLAVEAVLSVVDPAKPDMVDLRDIKIVKKLGGTVDDTEMVKGLVFDKKVSHAAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFKEEKLGQADLVEEVSLGDSKIVKISGIRNMGRTTTVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCVRSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >Manes.04G124100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32491972:32494908:-1 gene:Manes.04G124100.v8.1 transcript:Manes.04G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELILLSSEYSLFAERVKIALAEKGITNYQLRNEDFSNKSPLLLQMNPVHKQVPVLIHNGKPICESLNIVHYIDDFWNHNSPLLPSDPYQRAHARFWVDYIDKKIYPNGRMLWSSKGETMEAARKELIESLKTLYRELGEKAYFNGESFGYIDLALIPFSNVFYTFESLGNMSMEVECPKLVEWAERCSQKETVSKSMWDKHKFYEMALRFKSKWVVD >Manes.14G170800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28067979:28069236:-1 gene:Manes.14G170800.v8.1 transcript:Manes.14G170800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLRSLLRLFLSILILSYITEVSSTTITLYNKCTHPVWPGIQPSAGKPVLARGGFKLLPNKAYSLNLPPLWSGRFWGRHGCIFDASGRGHCATGDCGGALYCNGLGGAPPATLAEITLGNDQDFYDVSLVDGYNLAISITPFRGSGKCSYAGCVSDLNLMCPVGLQVRARDNRRVVACKSACFAFNSPRYCCTGSFGNPQSCKPTAYSRIFKAACPKAYSYAYDDPTSIATCTRGSYLVTFCPHHR >Manes.17G038100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20913225:20918452:-1 gene:Manes.17G038100.v8.1 transcript:Manes.17G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQGQVTSCSLTDPPLKRKRGRPRKDESMAQGEIPPALPVAKNKQSAFITGAVVEEMVGQVVSGVIEGSFDAGYLLKVKIGDTDTYLRGVVFLPGRFSPITALNDVAPQAKMYRRTEMPIPVANPQTLVPGPVPSLEQSDKHPAELQNFGPMIQVQGLPSELQPGVPISQENQPASSVLPSSNVLPLTDNPPMSSTGSSSGGRVAPHKIKIMESGHGSQSTIVIPQMVHDKVAEQDEAVKEFDCSATKVPNVNLEATVQSKSVSQSVPSTDSIPSSGAVNLELQIQHQAVSDELKPNQSISDGVKSPNLEHNQVPVTNEPEFISAEPIGLKIWMEKLASPNKAAVPELAVNVISGNDASHLNGRPGSRAANVTRVDSESAPIGGLPVTLFEREAIPSASKLATEGSPTQRMIEPQLCNPSSATSILKADSDSASMTSLPVTLFEREAIPSDPKLGIDGTALPRITEPLFYSPSGAANNVDCNLKDAIPPAES >Manes.17G038100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20913225:20918452:-1 gene:Manes.17G038100.v8.1 transcript:Manes.17G038100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRTEMPIPVANPQTLVPGPVPSLEQSDKHPAELQNFGPMIQVQGLPSELQPGVPISQENQPASSVLPSSNVLPLTDNPPMSSTGSSSGGRVAPHKIKIMESGHGSQSTIVIPQMVHDKVAEQDEAVKEFDCSATKVPNVNLEATVQSKSVSQSVPSTDSIPSSGAVNLELQIQHQAVSDELKPNQSISDGVKSPNLEHNQVPVTNEPEFISAEPIGLKIWMEKLASPNKAAVPELAVNVISGNDASHLNGRPGSRAANVTRVDSESAPIGGLPVTLFEREAIPSASKLATEGSPTQRMIEPQLCNPSSATSILKADSDSASMTSLPVTLFEREAIPSDPKLGIDGTALPRITEPLFYSPSGAANNVDCNLKDAIPPAES >Manes.17G038100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20913225:20918452:-1 gene:Manes.17G038100.v8.1 transcript:Manes.17G038100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRTEMPIPVANPQTLVPGPVPSLEQSDKHPAELQNFGPMIQVQGLPSELQPGVPISQENQPASSVLPSSNVLPLTDNPPMSSTGSSSGGRVAPHKIKIMESGHGSQSTIVIPQMVHDKVAEQDEAVKEFDCSATKVPNVNLEATVQSKSVSQSVPSTDSIPSSGAVNLELQIQHQAVSDELKPNQSISDGVKSPNLEHNQVPVTNEPEFISAEPIGLKIWMEKLASPNKAAVPELAVNVISGNDASHLNGRPGSRAANVTRVDSESAPIGGLPVTLFEREAIPSASKLATEGSPTQRMIEPQLCNPSSATSILKADSDSASMTSLPVTLFEREAIPSDPKLGIDGTALPRITEPLFYSPSGAANNVDCNLKDAIPPAES >Manes.07G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5307698:5308516:-1 gene:Manes.07G046800.v8.1 transcript:Manes.07G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPKYSYPYPPPGAYQGPPPPVMAPPQYYPAPPPQPQRQVGFLEGCLAALCCCCLLDECCCDPSVVFVT >Manes.04G139000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33831387:33841135:-1 gene:Manes.04G139000.v8.1 transcript:Manes.04G139000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRIHREAYNDLRGYPPERPFIRGPPLPHPPPHPALLEDELEMQQAEIRGLLGDNRRLIDDRMAMQQELGAAKEELHHMNLVIAEIRAEHEVHSRELIEKGLKLEADIRATEPLKNEVVHLRADVQKLNNVKQELLGQIQSLKQDLARSQADNKQISLLRGEIEGLHQEIMHARTAIDYEKKANIELVEQRQAMEKNMVSMAREVEKLRAELASTDARPRVAGGPYGMKYGNPEGAFPHAPYGDGYGVHMAADKGPLYGPGPASWDKSRMPRH >Manes.04G139000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33839286:33840155:-1 gene:Manes.04G139000.v8.1 transcript:Manes.04G139000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRIHREAYNDLRGYPPERPFIRGPPLPHPPPHPALLEDELEMQQAEIRGLLGDNRRLIDDRMAMQQELGAAKEELHHMNLVIAEIRAEHEVHSRELIEKGLKLEADIRATEPLKNEVVHLRADVQKLNNVKQELLGQIQSLKQDLARSQADNKQISLLRGEIEGLHQEIMHARTAIDYEKKANIELVEQRQAMEKNMVSMAREVEKLRAELASTDARPRVAGISVTHVPLKVCFNEFS >Manes.04G139000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33838841:33840155:-1 gene:Manes.04G139000.v8.1 transcript:Manes.04G139000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRIHREAYNDLRGYPPERPFIRGPPLPHPPPHPALLEDELEMQQAEIRGLLGDNRRLIDDRMAMQQELGAAKEELHHMNLVIAEIRAEHEVHSRELIEKGLKLEADIRATEPLKNEVVHLRADVQKLNNVKQELLGQIQSLKQDLARSQADNKQISLLRGEIEGLHQEIMHARTAIDYEKKANIELVEQRQAMEKNMVSMAREVEKLRAELASTDARPRVAGGPYGMKYGNPEGAFPHAPYGDGYGVHMV >Manes.04G139000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33831387:33841135:-1 gene:Manes.04G139000.v8.1 transcript:Manes.04G139000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRIHREAYNDLRGYPPERPFIRGPPLPHPPPHPALLEDELEMQQAEIRGLLGDNRRLIDDRMAMQQELGAAKEELHHMNLVIAEIRAEHEVHSRELIEKGLKLEADIRATEPLKNEVVHLRADVQKLNNVKQELLGQIQSLKQDLARSQADNKQISLLRGEIEGLHQEIMHARTAIDYEKKANIELVEQRQAMEKNMVSMAREVEKLRAELASTDARPRVAGGPYGMKYGNPEGAFPHAPYGDGYGVHMAADKGPLYGPGPASWDKSRMPRH >Manes.04G139000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33838651:33840155:-1 gene:Manes.04G139000.v8.1 transcript:Manes.04G139000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRIHREAYNDLRGYPPERPFIRGPPLPHPPPHPALLEDELEMQQAEIRGLLGDNRRLIDDRMAMQQELGAAKEELHHMNLVIAEIRAEHEVHSRELIEKGLKLEADIRATEPLKNEVVHLRADVQKLNNVKQELLGQIQSLKQDLARSQADNKQISLLRGEIEGLHQEIMHARTAIDYEKKANIELVEQRQAMEKNMVSMAREVEKLRAELASTDARPRVAGGPYGMKYGNPEGAFPHAPYGDGYGVHMAADKGPLYGPGPASWDKSRMPRH >Manes.15G019700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1638245:1641427:-1 gene:Manes.15G019700.v8.1 transcript:Manes.15G019700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVPEPSDSSCNGSQDTAREDQENAAISVTGRALKRYGFSDSFSSNEFVSEMKSVNLEQQEGVVESSPRGVLEDCTISLESETPSKDSTNSLEPETASRASTSGSEVAIHWREFFRGLRKGAARRIQTFPPPKKHAPKLTRSKTKRIREELIPVISTPLETDLYCLKSSWRNFALSEIKNATSNFSPENLIGEGGYAEVYKGQLLDGQLVAIKKLTRGSPEEMTVDFLSELGMMVHVDHHNIAKVIGYGVEGGMHLVLELSAHGSLASILYGPKEKLDWGIRYKIATGTADGLHYLHEGCQRRIIHKDIKASNILLTEDFQPQISDFGLAKWLPEHWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGKQAIDSSQQSLVMWAKPLLMKNSINDLVDPTLAGAYDEEQMEVLARVASFCIHQSAVQRPQMNQIVEILKGNKEVLEEVKEMQNSMHQRTYSEELFDAEEYNSTKYLSDMSKYMEVIMGEGDESSEIAEAES >Manes.15G019700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1638245:1640884:-1 gene:Manes.15G019700.v8.1 transcript:Manes.15G019700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVNLEQQEGVVESSPRGVLEDCTISLESETPSKDSTNSLEPETASRASTSGSEVAIHWREFFRGLRKGAARRIQTFPPPKKHAPKLTRSKTKRIREELIPVISTPLETDLYCLKSSWRNFALSEIKNATSNFSPENLIGEGGYAEVYKGQLLDGQLVAIKKLTRGSPEEMTVDFLSELGMMVHVDHHNIAKVIGYGVEGGMHLVLELSAHGSLASILYGPKEKLDWGIRYKIATGTADGLHYLHEGCQRRIIHKDIKASNILLTEDFQPQISDFGLAKWLPEHWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGKQAIDSSQQSLVMWAKPLLMKNSINDLVDPTLAGAYDEEQMEVLARVASFCIHQSAVQRPQMNQIVEILKGNKEVLEEVKEMQNSMHQRTYSEELFDAEEYNSTKYLSDMSKYMEVIMGEGDESSEIAEAES >Manes.14G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6574070:6577754:1 gene:Manes.14G079000.v8.1 transcript:Manes.14G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENNSKDPAIRLFGKKIPLNSDGDLPQISDEDLASSEKVEEVENEEKSEKDFPSGKFSDTSGEDDASVQDTEESADPETSPDTNVNPKTPSIDEDSAKSKTSKTDKEQNDSSNKQEKTLKKPDKLLPCPRCNSMDTKFCYYNNYNINQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKNSASHYHHITISEALQAARIEAPNGTHNPALKTNGRVLSFGLDAPICDSMASVLNLADKKVLNGTRNGFHNIEEQRIPVSCKGRENGDDCSSGSSVTVSSSMGEGGRTCSQEPFMQRINGFASPIPCLPGVPWPYPWNSALPPPAFCPPGFPMPFYPPFWNCGIPGGWSIPLVSPQSSSSLSSQKASSNPSSPLGKHSRDGDTLKPDDLEKEEPAKHRNGRVLVPKILRIDDPTEAAKSSIWATLGIKNESFNGGGLFKAFQPKRDEKKHVPETSSVLRANPAALSRSINFHESS >Manes.07G137401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33916391:33918485:-1 gene:Manes.07G137401.v8.1 transcript:Manes.07G137401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCPKLTLYVYFNQVEALPEWLGCCLSVNDHLMLFEVYTRVDCLRRLKDCKIISDAQLHCFHCNSVLSFQPVARIQKIVQTEYKACAWTSEPLTLSHEKDK >Manes.09G154500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35080775:35088170:1 gene:Manes.09G154500.v8.1 transcript:Manes.09G154500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVLYPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQNIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLRHPYLEPYVFKIHLKINSPRHNALPVQWPESNYIKKTRFADAEELSLKSYRKKRHSFSNDRALNPNISVGEQDSFCSTKGIHGRLSELSIGSSNDGTVICKSITVSKPSNIAKASKLTPTKASVTTRRRTEPVKKRESLPITRTPTKTSVPTNRRSSLPLPTRSTNIRTLHDIKSPDVSVNAPRIDRIAEFPLASYEETFFPVRRTSSTSAQGSSGTPRHGDYSITKDKCTVQVDRSSARPTFNDEWQGIEHGMFQVDEDEGSNSSNQNATAGASSRTSSDTRRCRFDTSSFRQRAEALEGLLEFSARLLQDERYEELGVLLKPFGPGKVSPRETAIWLTKSFKENTLKAEEL >Manes.09G154500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35081340:35087393:1 gene:Manes.09G154500.v8.1 transcript:Manes.09G154500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVLYPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQNIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLRHPYLEPYVFKIHLKINSPRHNALPVQWPESNYIKKTRFADAEELSLKSYRKKRHSFSNDRALNPNISVGEQDSFCSTKGIHGRLSELSIGSSNDGTVICKSITVSKPSNIAKASKLTPTKASVTTRRRTEPVKKRESLPITRTPTKTSVPTNRRSSLPLPTRSTNIRTLHDIKSPDVSVNAPRIDRIAEFPLASYEETFFPVRRTSSTSAQGSSGTPRHGDYSITKDKCTVQVDRSSARPTFNDEWQGIEHGMFQVDEDEGSNSSNQNATAGASSRTSSDTRRCRFDTSSFRQRAEALEGLLEFSARLLQDERYEELGVLLKPFGPGKVSPRETAIWLTKSFKENTLKAEEL >Manes.09G154500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35080775:35088170:1 gene:Manes.09G154500.v8.1 transcript:Manes.09G154500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVLYPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQNIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLRHPYLEPYVFKIHLKINSPRHNALPVQWPESNYIKKTRFADAEELSLKSYRKKRHSFSNDRALNPNISVGEQDSFCSTKGIHGRLSELSIGSSNDGTVICKSITVSKPSNIAKASKLTPTKASVTTRRRTEPVKKRESLPITRTPTKTSVPTNRRSSLPLPTRSTNIRTLHDIKSPDVSVNAPRIDRIAEFPLASYEETFFPVRRTSSTSAQGSSGTPRHGDYSITKDKCTVQVDRSSARPTFNDEWQGIEHGMFQVDEDEGSNSSNQNATAGASSRTSSDTRRCRFDTSSFRQRAEALEGLLEFSARLLQDERYEELGVLLKPFGPGKVSPRETAIWLTKSFKENTLKAEEL >Manes.09G154500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35080656:35087393:1 gene:Manes.09G154500.v8.1 transcript:Manes.09G154500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVLYPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQNIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLRHPYLEPYVFKIHLKINSPRHNALPVQWPESNYIKKTRFADAEELSLKSYRKKRHSFSNDRALNPNISVGEQDSFCSTKGIHGRLSELSIGSSNDGTVICKSITVSKPSNIAKASKLTPTKASVTTRRRTEPVKKRESLPITRTPTKTSVPTNRRSSLPLPTRSTNIRTLHDIKSPDVSVNAPRIDRIAEFPLASYEETFFPVRRTSSTSAQGSSGTPRHGDYSITKDKCTVQVDRSSARPTFNDEWQGIEHGMFQVDEDEGSNSSNQNATAGASSRTSSDTRRCRFDTSSFRQRAEALEGLLEFSARLLQDERYEELGVLLKPFGPGKVSPRETAIWLTKSFKENTLKAEEL >Manes.09G154500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35080656:35088170:1 gene:Manes.09G154500.v8.1 transcript:Manes.09G154500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVLYPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQNIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLRHPYLEPYVFKIHLKINSPRHNALPVQWPESNYIKKTRFADAEELSLKSYRKKRHSFSNDRALNPNISVGEQDSFCSTKGIHGRLSELSIGSSNDGTVICKSITVSKPSNIAKASKLTPTKASVTTRRRTEPVKKRESLPITRTPTKTSVPTNRRSSLPLPTRSTNIRTLHDIKSPDVSVNAPRIDRIAEFPLASYEETFFPVRRTSSTSAQGSSGTPRHGDYSITKDKCTVQVDRSSARPTFNDEWQGIEHGMFQVDEDEGSNSSNQNATAGASSRTSSDTRRCRFDTSSFRQRAEALEGLLEFSARLLQDERYEELGVLLKPFGPGKVSPRETAIWLTKSFKENTLKAEEL >Manes.09G154500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35080775:35088170:1 gene:Manes.09G154500.v8.1 transcript:Manes.09G154500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVLYPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQNIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLRHPYLEPYVFKIHLKINSPRHNALPVQWPESNYIKKTRFADAEELSLKSYRKKRHSFSNDRALNPNISVGEQDSFCSTKGIHGRLSELSIGSSNDGTVICKSITVSKPSNIAKASKLTPTKASVTTRRRTEPVKKRESLPITRTPTKTSVPTNRRSSLPLPTRSTNIRTLHDIKSPDVSVNAPRIDRIAEFPLASYEETFFPVRRTSSTSAQGSSGTPRHGDYSITKDKCTVQVDRSSARPTFNDEWQGIEHGMFQVDEDEGSNSSNQNATAGASSRTSSDTRRCRFDTSSFRQRAEALEGLLEFSARLLQDERYEELGVLLKPFGPGKVSPRETAIWLTKSFKENTLKAEEL >Manes.09G154500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35080656:35088170:1 gene:Manes.09G154500.v8.1 transcript:Manes.09G154500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKKANGVLYPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKEQNIRLGDFGLAKILTSDDFTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTALKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAAELLRHPYLEPYVFKIHLKINSPRHNALPVQWPESNYIKKTRFADAEELSLKSYRKKRHSFSNDRALNPNISVGEQDSFCSTKGIHGRLSELSIGSSNDGTVICKSITVSKPSNIAKASKLTPTKASVTTRRRTEPVKKRESLPITRTPTKTSVPTNRRSSLPLPTRSTNIRTLHDIKSPDVSVNAPRIDRIAEFPLASYEETFFPVRRTSSTSAQGSSGTPRHGDYSITKDKCTVQVDRSSARPTFNDEWQGIEHGMFQVDEDEGSNSSNQNATAGASSRTSSDTRRCRFDTSSFRQRAEALEGLLEFSARLLQDERYEELGVLLKPFGPGKVSPRETAIWLTKSFKENTLKAEEL >Manes.04G088000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29314233:29317286:-1 gene:Manes.04G088000.v8.1 transcript:Manes.04G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVEKVAAMENSNGNAFQLTVKQGEPTLVAPEKETEKGLYFLSNLDQNIAVIVRTVYCFKSDEKGNENAAEVIKSALEKVLVHYYPLAGRLTISSEGKLIINCTGEGAVFVEAEANCGLEDIGDITKPDPDTLGKLVYDIPDAKNILQMPPLVAQVTKFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLPLSVPPFTDRSILKSRNPPKIEYLHQEFAEIEDKSNTNSLYKADEMLYNSFCFNPEMLERIKTKAMEDGALEKCTTFEALSAFVWRARTKALKLLPDQQTKLLFAVDGRPKFNPPLPKGYFGNGIVLTNSMSQADELLEKPLSRAVGLVQDAIKMVTDSYMRSAIDYFEVTRARPSLASTLLITTWSRLSFHTTDFGWGEPILSGPVALPEKEVILFLSHGKERKSINVLLGLPASAMKIFQELMQI >Manes.08G089900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30020839:30023380:-1 gene:Manes.08G089900.v8.1 transcript:Manes.08G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGVHRHFEPVTKCSTEGRSDQTVAADLDGTLLVSTSAFPYYFLVALEGGTILRALFLLASVPFVYFLYSFVSEAMAIKTLVFITFAGLKIRDVELVSRSVLPKFYADDVHPETWRVFNSFGKRYIVTANPRIMVEPFVKTLLGTDKVFGTELEVTKSGRATGFIKKPGILVGKHKRDAILKEFVNNLPDLGLGDRESDHDFMSICKEGYVVPRTRSEPLPRNKLLSPLIFHEGRFVQRPTPLVALLTFLWMPIGIILSILRVYLNIPLPERIAWYNYKILGIKVVVKGTPPPPPMNGQSGSLFVCNHRTVLDPVVTAVALRRKISCVTYSISKFTEIISPIKAVALSRERDKDAANIKRLLEKGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQTVFHGTTVRGHKLLDPYFVFMNPMPTYEITFLNQLPTELTCKSGKSAIEVANYIQRVLAGTLGFECTNLTRKDKYATLAGTDGRVPSKKEKDKEKEKA >Manes.08G086600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26855712:26858363:1 gene:Manes.08G086600.v8.1 transcript:Manes.08G086600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSILRKASASVLPLVIRSVGPQRTFHSAISAVLGVERRSLSNEFCRQVLLPFFRFSTATAAKSSAEENLIRVLDSEIEYAEKPTDVEDLPARFPFEIQDNPGERTILLERKFRDEIIKVEVDLPSIPQEDEDDNYDEDEKTGDLDDSPSIPLVVSICKGNGQHLEFGITAYPDQVTIDTLSVKNSDYSEDQLAYEGPDFSDLDENLQKAFHKYLEIRGINPSTTNFLFEYMSNKDAKEYRLWLKNLKNFMER >Manes.09G121000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32382642:32388851:1 gene:Manes.09G121000.v8.1 transcript:Manes.09G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVVERFLSAVAASVENQAAGESVIGTIKIAVLPIAKVFTMCFLGFLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAVTMKKMLEWWFIPINVVLAAISGSLIGFIVAYIVRPPYPFFKFTVIQIGIGNIGNVPLVLISALCRDKSNPFGDSDKCSTDGTAYISFGQWVGAIILYTYVFHMLAPPPEGTFDIEDGNLPLKSPAKDAPPKDAAPEQVPLLTQEDDKPIDSNAPKQGKIRRFLVFLYEKLKLKQIVQPPIIASILAMFLGAVPFFKQLIFTTDAPLYFFTDSCNILGEAMIPCILLALGGNLVDGPGSSKLGLRTTAAIIFARLVLVPPVGLGIVMSADKLGFLPAGDKMFRFVLLLQHTMPTSVLSGAVANLRGCGREAAAVLFWVHIFAVFSMAGWIILYINILF >Manes.09G121000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32382642:32388851:1 gene:Manes.09G121000.v8.1 transcript:Manes.09G121000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVVERFLSAVAASVENQAAGESVIGTIKIAVLPIAKVFTMCFLGFLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAVTMKKMLEWWFIPINVVLAAISGSLIGFIVAYIVRPPYPFFKFTVIQIGIGNIGNVPLVLISALCRDKSNPFGDSDKCSTDGTAYISFGQWVGAIILYTYVFHMLAPPPEGTFDIEDGNLPLKSPAKDAPPKDAAPEQVPLLTQEDDKPIDSNAPKQGKIRRFLVFLYEKLKLKQIVQPPIIASILAMFLGAVPFFKQLIFTTDAPLYFFTDSCNILGEAMIPCILLALGGNLVDGPGSSKLGLRTTAAIIFARLVLVPPVGLGIVMSADKLGFLPAGDKMFRFVLLLQHTMPTSVLSGAVANLRGCGREAAAVLFWVHIFAVFSMAGWIILYINILF >Manes.06G067700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20409312:20409632:1 gene:Manes.06G067700.v8.1 transcript:Manes.06G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRNVDAENTKATNRAAMAKKMKAKRAVEKVQDKNIGGEWTQGFVENCINWEELPWLGGVVDEQMSWGSIWLPFWDVQYMGEACTEMFSDVVWDYDLWNLRSIN >Manes.09G006000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1508057:1509002:-1 gene:Manes.09G006000.v8.1 transcript:Manes.09G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCNFSRSVVIMVVFTVSLLVLPPIFPPLPPPPSILLFAPVMIMSLLVFTAFIPPQMPEFSP >Manes.18G011260.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1269177:1275369:1 gene:Manes.18G011260.v8.1 transcript:Manes.18G011260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTADSQSHSSQSPRGAGSGDGVNSPHSRKNLPSPWAQVVRGEPESIPAFHPLPSPSSPREQQSNFSDCFPHKPLSPLQTAADNFSGSDTSDSNNGNAGRPKKPAWKKPSNGAAEGSSVMGADSWPALSESTKPSPKSTGADSSSKIASDGPQGPVRPDSPHSQASSNAKSNSISNYAMPARQKSMRRGGSGGSSSGGGHSQSSVSHGPPAPPPLPIFPMSPNGYGNLVPPIPDQSPRDHPYRNNNWEPRRAGGFGPQPPMVNDHRHSSRRGNFGPRGDGPYQNNFGGRRDQDRGHYGNVRDVQGHPQRSPRGFVRPPPPNTAAFVPPQPVRPFGNPMGFSDLVYIPTLPLETFRMPFIAHAPAPTMIVPVHETPLRAMLVFQIEYYFSDANLVKDEYLKSNMDSHGWVPITLIAGFNRVKSMTKDIQLILESLMTSTVLEVQEDKVRRRNDWMKWIPSSSRNPSDSGLQSSSAPSPDVLTKSFQKMTVEEMTPDQHSMTLKPSPSPEDALTSSSELTSQSQISQGEGIDNAS >Manes.18G011260.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1269177:1275369:1 gene:Manes.18G011260.v8.1 transcript:Manes.18G011260.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTADSQSHSSQSPRGAGSGDGVNSPHSRKNLPSPWAQVVRGEPESIPAFHPLPSPSSPREQQSNFSDCFPHKPLSPLQTAADNFSGSDTSDSNNGNAGRPKKPAWKKPSNGAAEGSSVMGADSWPALSESTKPSPKSTGADSSSKIASDGPQGPVRPDSPHSQASSNAKSNSISNYAMPARQKSMRRGGSGGSSSGGGHSQSSVSHGPPAPPPLPIFPMSPNGYGNLVPPIPDQSPRDHPYRNNNWEPRRAGGFGPQPPMVNDHRHSSRRGNFGPRGDGPYQNNFGGRRDQDRGHYGNVRDVQGHPQRSPRGFVRPPPPNTAAFVPPQPVRPFGNPMGFSDLVYIPTLPLETFRMPFIAHAPAPTMIVPVHETPLRAMLVFQIEYYFSDANLVKDEYLKSNMDSHGWVPITLIAGFNRVSELY >Manes.01G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6655287:6657185:1 gene:Manes.01G034500.v8.1 transcript:Manes.01G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALAGVFFVGLLAMVSFVNGYGGGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIRCVNDPKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQHIAQYRAGIVPVAYRRVSCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTGWQPMSRNWGQNWQSNTLLNGQSLSFKVTTSDGRSVVSYNVAPPNWSFGQTFSGAQFR >Manes.01G034500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6655663:6657185:1 gene:Manes.01G034500.v8.1 transcript:Manes.01G034500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGVFFVGLLAMVSFVNGYGGGWTNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEIRCVNDPKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQHIAQYRAGIVPVAYRRVSCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHAVAIKGSRTGWQPMSRNWGQNWQSNTLLNGQSLSFKVTTSDGRSVVSYNVAPPNWSFGQTFSGAQFR >Manes.02G226700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36545209:36546470:-1 gene:Manes.02G226700.v8.1 transcript:Manes.02G226700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESISKGEEDIGYNSSNVKKAKLQSTLAALLDDPILADVPRKPTLTDVDTLISLELGSAMRISVLKLDGTSFDVAMMNSATVKDLKLAIKKKVIEMEQSKMGHRHISWKHVWENFAISCNNQKLLDDNSALHDFGVRNNSQVNFVPYVMSKGFGKHSKRRKHRFFHGLNNIGQ >Manes.02G126300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9588923:9590021:-1 gene:Manes.02G126300.v8.1 transcript:Manes.02G126300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVKLIGAWASPLSRRIELALKLKGIKYEYIDEDLPNKSPLLLKYNPVHKKVPVLVHNGKGISESLVILEYIDETWTHNPILPKEPYHRAVARFWAKFIDEKILQTALKIGSAIAEEKEKLIEEVHHDLKLLENELEVKEKEFFGGLEIGYLDIAAFFIGHWLQVRQEVMEIELIHEEKHPHLCKWLAKLLKIDVVHGCVPPKEKHIAFMKARIEAAKFSSK >Manes.05G192730.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31547840:31551800:-1 gene:Manes.05G192730.v8.1 transcript:Manes.05G192730.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMGTVHMEETKGIEKENSEEMGDEPEYTSRIAPWNKQITVRGVVASLVIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLLQKAGIVTNPFTRQENTIIQTCAVACYSIAVGGGFGSYLLALNRKTYEQAGVDTEGNAPGSIKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTSKGDKLARKQVHGFMKFFSISFIWAFFQWFYSGGERCGFSQFPTFGLKAWKNSFFFDFSSTYVGAGMICSHLVNLSLLLGAVLSWGIMWPLIGGNKGDWFPATLPESNMKSLNGYKVFISIALILGDGLYNFVKILYFTANSIRARAKTNNSRTDESKQAPDDLRRNEFFIRETIPMWVACLGYTAFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFILAAISGGNNGVVAGLVGCGLIKSIVSYSSDLMHDFKTGHLTLTSPRSMLLSQVIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYGLIYRNMAILGVEGFSALPQHCLQLCYGFFAFAIAANLVRDLFPKNIGKWIPLPMAMAVPFLVGANFAIDMCVGSLVVFAWHKLNSRRAGLMIPAVASGLICGDGLWILPSSILALAKIHPPICMSFK >Manes.05G192730.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31547839:31551874:-1 gene:Manes.05G192730.v8.1 transcript:Manes.05G192730.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMGTVHMEETKGIEKENSEEMGDEPEYTSRIAPWNKQITVRGVVASLVIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLLQKAGIVTNPFTRQENTIIQTCAVACYSIAVGGGFGSYLLALNRKTYEQAGVDTEGNAPGSIKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTSKGDKLARKQVHGFMKFFSISFIWAFFQWFYSGGERCGFSQFPTFGLKAWKNSFFFDFSSTYVGAGMICSHLVNLSLLLGAVLSWGIMWPLIGGNKGDWFPATLPESNMKSLNGYKVFISIALILGDGLYNFVKILYFTANSIRARAKTNNSRTDESKQAPDDLRRNEFFIRETIPMWVACLGYTAFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFILAAISGGNNGVVAGLVGCGLIKSIVSYSSDLMHDFKTGHLTLTSPRSMLLSQVIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYGLIYRNMAILGVEGFSALPQHCLQLCYGFFAFAIAANLVRDLFPKNIGKWIPLPMAMAVPFLVGANFAIDMCVGSLVVFAWHKLNSRRAGLMIPAVASGLICGDGLWILPSSILALAKIHPPICMSFK >Manes.05G192730.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31547840:31551871:-1 gene:Manes.05G192730.v8.1 transcript:Manes.05G192730.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMGTVHMEETKGIEKENSEEMGDEPEYTSRIAPWNKQITVRGVVASLVIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLLQKAGIVTNPFTRQENTIIQTCAVACYSIAVGGGFGSYLLALNRKTYEQAGVDTEGNAPGSIKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTSKGDKLARKQVHGFMKFFSISFIWAFFQWFYSGGERCGFSQFPTFGLKAWKNSFFFDFSSTYVGAGMICSHLVNLSLLLGAVLSWGIMWPLIGGNKGDWFPATLPESNMKSLNGYKVFISIALILGDGLYNFVKILYFTANSIRARAKTNNSRTDESKQAPDDLRRNEFFIRETIPMWVACLGYTAFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFILAAISGGNNGVVAGLVGCGLIKSIVSYSSDLMHDFKTGHLTLTSPRSMLLSQVIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYGLIYRNMAILGVEGFSALPQHCLQLCYGFFAFAIAANLVRDLFPKNIGKWIPLPMAMAVPFLVGANFAIDMCVGSLVVFAWHKLNSRRAGLMIPAVASGLICGDGLWILPSSILALAKIHPPICMSFK >Manes.05G192730.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31547833:31551873:-1 gene:Manes.05G192730.v8.1 transcript:Manes.05G192730.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMGTVHMEETKGIEKENSEEMGDEPEYTSRIAPWNKQITVRGVVASLVIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLLQKAGIVTNPFTRQENTIIQTCAVACYSIAVGGGFGSYLLALNRKTYEQAGVDTEGNAPGSIKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTSKGDKLARKQVHGFMKFFSISFIWAFFQWFYSGGERCGFSQFPTFGLKAWKNSFFFDFSSTYVGAGMICSHLVNLSLLLGAVLSWGIMWPLIGGNKGDWFPATLPESNMKSLNGYKVFISIALILGDGLYNFVKILYFTANSIRARAKTNNSRTDESKQAPDDLRRNEFFIRETIPMWVACLGYTAFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFILAAISGGNNGVVAGLVGCGLIKSIVSYSSDLMHDFKTGHLTLTSPRSMLLSQVIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYGLIYRNMAILGVEGFSALPQHCLQLCYGFFAFAIAANLVRDLFPKNIGKWIPLPMAMAVPFLVGANFAIDMCVGSLVVFAWHKLNSRRAGLMIPAVASGLICGDGLWILPSSILALAKIHPPICMSFK >Manes.05G192730.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31547833:31551859:-1 gene:Manes.05G192730.v8.1 transcript:Manes.05G192730.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLMGTVHMEETKGIEKENSEEMGDEPEYTSRIAPWNKQITVRGVVASLVIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFIRTWTKLLQKAGIVTNPFTRQENTIIQTCAVACYSIAVGGGFGSYLLALNRKTYEQAGVDTEGNAPGSIKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTSKGDKLARKQVHGFMKFFSISFIWAFFQWFYSGGERCGFSQFPTFGLKAWKNSFFFDFSSTYVGAGMICSHLVNLSLLLGAVLSWGIMWPLIGGNKGDWFPATLPESNMKSLNGYKVFISIALILGDGLYNFVKILYFTANSIRARAKTNNSRTDESKQAPDDLRRNEFFIRETIPMWVACLGYTAFSIISIIVIPLMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFILAAISGGNNGVVAGLVGCGLIKSIVSYSSDLMHDFKTGHLTLTSPRSMLLSQVIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYGLIYRNMAILGVEGFSALPQHCLQLCYGFFAFAIAANLVRDLFPKNIGKWIPLPMAMAVPFLVGANFAIDMCVGSLVVFAWHKLNSRRAGLMIPAVASGLICGDGLWILPSSILALAKIHPPICMSFK >Manes.01G160100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34528630:34531409:-1 gene:Manes.01G160100.v8.1 transcript:Manes.01G160100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILYIFLAAALLLHVPFSTFVISINIDVFRTEERDALLQLRDSMTSSLDLHSNWTGPPCIANFSRWVGVVCSDWHVIHLVLEGIQLSGPLPPAFLHNVSLLTKLSFRNNSVSGPLPNLNNLVLLESVLLSYNRFTGSIPPEYIELPNLKELEFQQNYLEGQIPPFDQHNLTDFNVSYNYFQGSIPRTETLRRFPESSYGHNLDLCGFPLEPCSAPSPAPAIISPPPPPPIRPRQDERKKLEIWIVVLIAALAAFVPLLVVFVLVCCYKKVHGKETAKEPQSGVGPGGWTEKKLSHSQSSKDPERRVELEFFDRNIPVFDLDDLLRASAEVLGKGKHGTTYKANLESGEVVAVKSLKNINGLIKKEFIQQMQLLGKLRHENLVQIISFYNSKEEKLVVYEFVPTGNLFELLHENRGIGRVPLNWAARLTIIKDIAKGLEFLHRSLPSHKVPHANLKASNVLIHQDGQSYCAKLTNYGFLPLLPSRKLSQRLAIGRSPEFSQGKKLTHKTDVYCFGIILLEVITGRIPGEGSPGNDEIVEDLSDWVRTVVNNDWSTDILDVEILTTREGHDEMLKLIEMALQCTDVTPKTRPKMSEILRRLEEIELRN >Manes.11G015600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1641964:1648897:1 gene:Manes.11G015600.v8.1 transcript:Manes.11G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSFEVDHYSSSGRSRNHHHRRQRRHRTTPATSHYASPSFTQFSSTEISNISTPRRTPRDFLPSPFASDNDMSWQGDLSWQFEPSGWQDNRNFGALFTPWAPGTPSNHSRVFRRSANDYYLSRTYYGGFRRFANPCYDHNSSYSLVTSGRLELQSHVDVARDNDQSLVVHVKNYSSNGYGKSHDGVSRFDAKRKDPLVGFSPLATLDELSVIDYHTAEDAKSEVADVSETESNLHGGKNSRWHSVSHARMDLQHDGTSQVNHGMSHGGHYHHHDHGILHGVVHEHHHSGKHHMRQQKHDDYRFTSHHYSAESDNGHHGIDRISKYSDDDDDEEDDEDDDYDEEPPKQVGLFGLFKYSTKWDIVLVILGCLGALINGGALPWYSYLFGNFVNKLSKDDKSQMMKDVEKICVQMTLLAAIVVVGAYLEITCWRLVGERSAHRIRTMYLRAVLRQDISFYDTEVSTSDVMHGISSDVAQIQEVIGEKMAHFVHQICTFICGYTVGFLRSWKVSLVVFSVTPLMMFCGMAYKAIYVGLATKEEASYRKAGGVAEQAFSSIRTVISFVAEDHLAEKYADFLVKSVPIGAKIGFAKGIGMGVIYLVTYSTWALAFWYGAILVARGEITGGAAIACFFGVNVGGRGLALSLTYFAQFAQGTVAAGRVYEIIDRIPDIDPYGSQGRTMAIVRGRIEFKGLTFAYPSRPDTLILNSLNLVIPSSKTVALVGASGGGKSTIFALIERFYDPINGVITLDGHDLKTLQVKWLRDQIGMVGQEPVLFATSILENLMMGKENATKKEAINACISANAHSFISSLPYGYDTQVGDRGTQLSGGQKQRIALARAMIKDPQILLLDEPTSALDAESESIVQQAIDKISNGRTTIVIAHRLATVRNANTIVVLDRGSVVEIGNHRQLMEKAGAYYDLVKLASEAVSKPTMKEMGADREAEYSMHGKSIDDSRSKNVEKTSRSRHLKSLDLENQAEEKKQEKPMPGEYQLSEIWKLQRPEIVMLLLGFLFGIHAGAILSVFPFLLGLALQIYFDPDSSKLKRDVGHISLALMGLGVGCILAMTGQQGLCGWAGTKLTMRVRNLLFRSILKQEPAWFDFDENSTGVLVSRLSIECISFRSVLGDRISVLLMGLSSAAVGIGVSFYLEWRLTLLAAALAPFTLGASYLNLIINVGPKLDNSAYGKASSIAAGAVSNIRTITTFSAQEQIVRSFDEALDEPKKKSVKRSQILGLTLGLFQGAMYGAYTLTLWFGAYLVKQGKTDFGEVYKIFLILVLSSFSVGQLAGLAPDTTMARTAIPAIFDIIYRRPLIGNDQQKLRKIDRSKPFDIELRMVSFAYPSRPEITVLRNFCLKVKGGTMVALVGGSGSGKSTVIWLIQRFYDPDQGKVIMGGMDLRDLNVKWLRRQIALVGQEPALFAGTMRENIAFGNPQASWAEIEEAAMEAYIHKFISSLPQGYETQVGQSGVQLSGGQKQRIAIARAILKRSRVLLLDEASSALDLESEKHVQEALKKVSKRATTVVVAHRLSTIREANMIAVVKDGAVVEYGSHDALLNSHLNGVYAGLVRAETEATAFA >Manes.04G120900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32241810:32243569:-1 gene:Manes.04G120900.v8.1 transcript:Manes.04G120900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAHGLGLEIVTELTLGLPGRSEGLEYNKKEKKRVFSEVSGSEASSTTNDDRKISTKSQVVGWPPVCSYRKKNSLNEKEGVETSKLYVKVSMDGAPFLRKIDLGMHKEYSDLVVAFEKLFGCFGIGKALKDAECSDYVYVPIYEDKDGDWLLLGDVPWDIFIESCKRLRIMKKSEAKGFGLQQKKEITMM >Manes.11G125600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28683290:28684655:-1 gene:Manes.11G125600.v8.1 transcript:Manes.11G125600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTFCPTTASAVARTSLTSSKLQKPTKPLFSFSKYPFTFKPLNPTTPTALTLTPLKSSSSASDLSPINTTTTEDSISVGTSSLKSLKSRLRSGDTLYGLFLLSFSPTLAEIAGLAGYDFVVVDMEHGPGGITEALNCLRALGATHTPAIIRLPESCPTWAKKALDLGPQGIMFPMIESPKMAKKAVSYCRFPPAGIRGTAHTVVRASSYGIDEGYLSNYEDELLIMCQVESEEGVKKAAEIAAVEGVDCIQMGPLDLSASMGYLWDPGHKKVREMMRVAEKAVVGSNPGSGGGAYLAGFALPHDGPTNMKSRGYHMVSGAVDVGLFRSSAVEDVKRFKMSLVEGSDDEREDGHDADEKYWSE >Manes.08G056300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6167201:6169654:1 gene:Manes.08G056300.v8.1 transcript:Manes.08G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAHVDFGLSNEVLFLYKRMRELDVGHDTFTLPIINTAVLSLKSDVVLGQMVHSVSIRLGFGLEQYFCNTMIEVYAKYGWVRYARKVFDEMLHRDLVSWTSMICGVVFEGNVSGALELFNKMRLEVEPNSVTLIVMLQGCYAFDGLIEGTQLHCYIIKNGLLVDGSVQNSILRIYSKLGCVKEVETSFTDIDKRDVISWNTLISFYSLKGDVEQLAESCNKMYSEVALSSETLTLLISAFAKMGNLMEGEKLHSYSIKAGLCDDILLASLMDFYAKCGELRNSVQLFEAIPCRSSITWNAMMSGHIQHGYFDDAINLFRRMQSAGVQLPAGILGSLVDACSHLGALQLGKEIHGYLIRNLFYSSEEENIHLGTSVLNMYVRCGIISLARVCFDRMPQKDNITWTSMIEGYGIHGLAIEALQLFPQMLVERIIPNRVTFLSLLSACSHSGLIREGCELFFSMKWIFGIEPDLDHYTCMVDLLGRSGKLKEALAMIVKMVIVADSRIWGALVASCRVHGDRKIGEFAAQRLLEVEPDNVGYHTVLSNIQACAGKWETVEEVRKIMHEKELRKTPGWSYIEEKMKNYFFVSGDRSHEQSEEIYEILGHLSRKIQEFG >Manes.16G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30594441:30601835:-1 gene:Manes.16G100200.v8.1 transcript:Manes.16G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLEGVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGEYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYMIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANPPARALGPGIGTSSGVPPAIASADRQTGGEQVGQSIDSARRRLSGPMLNTGSYAMQKSPVCNDSPVSKDPMLPSSALMGRASRSSRQAAAVSTSRDMFIGNETDPQRSRTTDASPGTMHKISSGQRSPPRGTAAAADPRRSSTTRNATQMKAYETTLKGIESLNFDSDEKVHY >Manes.05G082200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6769632:6770243:-1 gene:Manes.05G082200.v8.1 transcript:Manes.05G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIPQNLDDYSPSSTTIKFDYPIPLLRGPIHAGPSENPSSGPYVLAFRNPQSWAAAYKTCESKIIEQCEGGARIGCAIAASDKCKPPWWHNLIGGKLPDLKEREMCEEREMEGCLAAAKEKCIWFAKDKCWRPFSEARIAAEEGTVSEKMVRKLVCLVSMPERSKWAGLIEFDESEFGITNHKASELLGSDPNYEWFFKQR >Manes.02G039800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3269067:3277638:-1 gene:Manes.02G039800.v8.1 transcript:Manes.02G039800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPFHVMEDQTDEDFFDKLVDDDFGPTNSNLVPKLTEGSDSDEAKAFANLSIEDATGEGRDEGKDESDLVHASAGLSSVLAEESDTLDSSLALVSNTKVDSNNDWIESEVVSDPLIGETSGSTKSGVKEVGWGSFYADSVPNGNHEFGAYSDFFNDLGNTAGDFPEQAGEAANLEKKDGDRLHSSVSSYGEYQEGTQGYGGSIEESVNEQDLNSSQYWENMYPGWKFDANTGQWYQVDNFDVTASVQGSSNVNTGNEWAAVSDGKIQMNYLQQTSHSVLGTVAEASSSESVSTWNQVSQGINNGYPEHMFFDPQYPGWYYDTIVQEWRSLESYTSSVQSATVQNHDHQATVQNHHQQKQNEFACADLGSQNTNSIYAGYEQVDKHDSQGHNSQDKHRSWGESYGDYNQQGLNMWQPDAVAKTDTVSDFGRNQQLLNSYDSNVSMNNHVEQHKPFNSLGSVLSYDNLSQGRVDSNGFIGSQNFMPSESFGQQFNQGTVEQSKPMNISNDHYSSQKPINFSQKSFHNLQQLSYAPNAGMSSAGRPPHALVTFGFGGKLIVMKDSSSSSLTNASFASQEPVGGSIYVLNLMEVILGNDNNALNIRGSTCNYFHALCQQSFPGPLLGGNVGSKELNKWIDDRIANCESPDMDYRNGKVLKLLLSLLKIACQHYGKFRSPFGTDVSLKESEAPESAVAKLFASAKRNGTEFSDYGALSRCLQSLPSEGQIQATASEVQNLLVSGRKKEALQCAQIGQLWGPALVLASQLGDQFYVDTVKQMALRQLVAGSPLRTLCLLIAGQPADVFSSDATTGSGLPGAISQQPIQFEANGMLDDWEENLAVITANRTKDDELVIIHLGDCLLKERSEITGAHICYLVAEANFESYSDSARLCLIAADHWKHPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKISDSLKYCQAILKSLKVGRAPEVETWKQLILSLEERIRTHQQGGYTANLAPAKLVGKLLNFFDSTAHRVVGGLPPPVPSTSPGSMQGTDRHHQPTVPRVSASQSTMAMSSLMPSASMEPISEWAADGNRMTMHNRSASEPDIGRTPRQVESPKGTSSNAQGKTSGSGTASRFGRFGFGSQLLQRTVGLVLRPRSDRQAKLGETNKFYYDEKLKRWVEEGAEPPAEEAALPPPPTTSAFQNGVSDYNLKSALKSDGSPTNGSPTSKTPNPVEHASGIPPIPTSSNQFSARGRMGVRARYVDTFNQGGRSSAKLFQSPSVPSVKPAVSANAKFFVPTPLPSSGNSTENVAENVQESAGFAEYPSTSIDDSLQSSSLSSKMNMQRAPSMDNIPRRERTINDNIPMSSHARRTASWSGSFSDSFSPPKMVENKPLGEAAGMPPSSFIPGDPSITQMPMNGGGIGDDLHEVAL >Manes.08G004117.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:728493:730251:1 gene:Manes.08G004117.v8.1 transcript:Manes.08G004117.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGATETQEPSSPKDTCIGQVRVKRSKQAKTQPSKIKCFCKWVRNTQFYQHSNRATLRPKCTLLSWRKWVMFFKVGVRRESKIREDSSKVEPKFGNTSEDAGQESEVEDEENKMYVSSSISPPKNALLLTRSRSAPCRSSSVACRFWGSPLESEETEQN >Manes.05G162600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27530031:27535161:1 gene:Manes.05G162600.v8.1 transcript:Manes.05G162600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGSIVPSRLKGKSATRFSLFPKPRSTSYGPGSAPVYLNVYDLTPMNGYVYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTCLDPIQVRDVMERLAASYHGDTYHLIVKNCNHFCKDICYKLTGKPIPKWVNRLAKIGSICNCILPESLKISAVRHDPDGQSYNNGRKRLRSAFSFLSSISMRQKQLSTSSMFLQSPLKGCLPWELRRSINGSFKER >Manes.12G016300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1501575:1505977:-1 gene:Manes.12G016300.v8.1 transcript:Manes.12G016300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRPLFPGHLPDDNLVSPMRIANGYLVEGLPDKAENCFDYGRDRTDRCGSHESVHKDILDLLPSDPFGMGISTTFTALTGWLEDLEVDYGGYGRDQIATNDGNYQLFAGLNFIWNNAMRFQAFPGNMEFDTSLNAVGGFGDRFLEKEVGGASGHGTFRFSCNVEDILSLGNELICNKSDGVVDKISGEFHESDGVCYDRDGGDPHVAFAFALGYLGVRDLLVVEMVCKSLRSTVQNDPLLWRSIHIDQPLNEKITDEVLLQLTNRAQGNLRCLSLIECPRITDDGLKFVLQNNPRLTKLCVPGCTRLSIEGIVTSLKAFKTMGTQGVKHLRIGGLYGVTEKHFEELKFLLGTDKYKQQNACKPHFYHRGNFYLSCDDDRTIDIEKCPRCQNLRLVYDCPAEDCQKKAHPIQACRACTLCIPRCIQCGRCINDNEYVETFCLELLCSDCWKQLQKCQEKQDSRISPPKSLLGESSCSLRLHG >Manes.12G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1501575:1505977:-1 gene:Manes.12G016300.v8.1 transcript:Manes.12G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRPLFPGHLPDDNLVSPMRIANGYLVEGLPDKAENCFDYGRDRTDRCGSHESVHKDILDLLPSDPFGMGISTTFTALTGWLEDLEVDYGGYGRDQIATNDGNYQLFAGLNFIWNNAMRFQAFPGNMEFDTSLNAVGGFGDRFLEKEVGGASGHGTFRFSCNVEDILSLGNELICNKSDGVVDKISGEFHESDGVCYDRDGGDPHVAFAFALGYLGVRDLLVVEMVCKSLRSTVQNDPLLWRSIHIDQPLNEKITDEVLLQLTNRAQGNLRCLSLIECPRITDDGLKFVLQNNPRLTKLCVPGCTRLSIEGIVTSLKAFKTMGTQGVKHLRIGGLYGVTEKHFEELKFLLGTDKYKQQNACKPHFYHRGNFYLSCDDDRTIDIEKCPRCQNLRLVYDCPAEDCQKKAHPIQACRACTLCIPRCIQCGRCINDNEYVETFCLELLCSDCWKQLQKCQEKQDSRISPPKSLLGESSCSLRLHG >Manes.14G133200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10642266:10647420:-1 gene:Manes.14G133200.v8.1 transcript:Manes.14G133200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFWLSKRICILNRGFHACQHFTNPSTGDIVFKAICINLKHRRWNILEQMSPSLTSLLVSRVICQFQNSPQLALEFYNWVLEKKSVLQSVESCCNVIHVLVKLKKFDDALSLMRNLMSINGRSHWEVLDALVKTYRMYDSSPAVFDALVRACTQIGATESAYEVIKKLQLEGYWVTIHAWNNFLSHLLKLNEISRFWKVYKEIVSSGYVENIYTFNLIIYALCKESKLLEAMSIFYQSLKHSIWPNVVTFNMIIDGACQMGAMDLALKLISKMEVMSGGTVKPDCVTYNCLINGFCKTGKASMAEKFKNEMMDNDIEPNLRTYATLVDGYSRVGSLEEAFRLCDEMLKKGLLPNSIIYNSIIQWHYIEGDVEGASLLLSDMIDKRIHPDQVTYSILTLGLCRNGYVNEAFKFHNKLLAENLIGDSFSYNILINYLCRSNNLAGAKQLLASMYVRGFVPDVVTFGTLIDWHCKERSIETAVQVYEKMMKAGEKPNLLIYNSVINGLCKKESLDLAGVLKDTLQRMDIFDVITYNTLINGYCKSGKIDEAFALSSEMRNVGILANHVTYNTLINFLCKLGCVQQAKELMKMMILWGLVPNSITYTTLINSCNKNCSPEEVIELHDYMVLKGVVPDKQTYRAIVSPLLQEDGVET >Manes.14G133200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10642265:10647420:-1 gene:Manes.14G133200.v8.1 transcript:Manes.14G133200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFWLSKRICILNRGFHACQHFTNPSTGDIVFKAICINLKHRRWNILEQMSPSLTSLLVSRVICQFQNSPQLALEFYNWVLEKKSVLQSVESCCNVIHVLVKLKKFDDALSLMRNLMSINGRSHWEVLDALVKTYRMYDSSPAVFDALVRACTQIGATESAYEVIKKLQLEGYWVTIHAWNNFLSHLLKLNEISRFWKVYKEIVSSGYVENIYTFNLIIYALCKESKLLEAMSIFYQSLKHSIWPNVVTFNMIIDGACQMGAMDLALKLISKMEVMSGGTVKPDCVTYNCLINGFCKTGKASMAEKFKNEMMDNDIEPNLRTYATLVDGYSRVGSLEEAFRLCDEMLKKGLLPNSIIYNSIIQWHYIEGDVEGASLLLSDMIDKRIHPDQVTYSILTLGLCRNGYVNEAFKFHNKLLAENLIGDSFSYNILINYLCRSNNLAGAKQLLASMYVRGFVPDVVTFGTLIDWHCKERSIETAVQVYEKMMKAGEKPNLLIYNSVINGLCKKESLDLAGVLKDTLQRMDIFDVITYNTLINGYCKSGKIDEAFALSSEMRNVGILANHVTYNTLINFLCKLGCVQQAKELMKMMILWGLVPNSITYTTLINSCNKNCSPEEVIELHDYMVLKGVVPDKQTYRAIVSPLLQEDGVET >Manes.14G133200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10642266:10647420:-1 gene:Manes.14G133200.v8.1 transcript:Manes.14G133200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFWLSKRICILNRGFHACQHFTNPSTGDIVFKAICINLKHRRWNILEQMSPSLTSLLVSRVICQFQNSPQLALEFYNWVLEKKSVLQSVESCCNVIHVLVKLKKFDDALSLMRNLMSINGRSHWEVLDALVKTYRMYDSSPAVFDALVRACTQIGATESAYEVIKKLQLEGYWVTIHAWNNFLSHLLKLNEISRFWKVYKEIVSSGYVENIYTFNLIIYALCKESKLLEAMSIFYQSLKHSIWPNVVTFNMIIDGACQMGAMDLALKLISKMEVMSGGTVKPDCVTYNCLINGFCKTGKASMAEKFKNEMMDNDIEPNLRTYATLVDGYSRVGSLEEAFRLCDEMLKKGLLPNSIIYNSIIQWHYIEGDVEGASLLLSDMIDKRIHPDQVTYSILTLGLCRNGYVNEAFKFHNKLLAENLIGDSFSYNILINYLCRSNNLAGAKQLLASMYVRGFVPDVVTFGTLIDWHCKERSIETAVQVYEKMMKAGEKPNLLIYNSVINGLCKKESLDLAGVLKDTLQRMDIFDVITYNTLINGYCKSGKIDEAFALSSEMRNVGILANHVTYNTLINFLCKLGCVQQAKELMKMMILWGLVPNSITYTTLINSCNKNCSPEEVIELHDYMVLKGVVPDKQTYRAIVSPLLQEDGVET >Manes.14G133200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10642265:10647420:-1 gene:Manes.14G133200.v8.1 transcript:Manes.14G133200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFWLSKRICILNRGFHACQHFTNPSTGDIVFKAICINLKHRRWNILEQMSPSLTSLLVSRVICQFQNSPQLALEFYNWVLEKKSVLQSVESCCNVIHVLVKLKKFDDALSLMRNLMSINGRSHWEVLDALVKTYRMYDSSPAVFDALVRACTQIGATESAYEVIKKLQLEGYWVTIHAWNNFLSHLLKLNEISRFWKVYKEIVSSGYVENIYTFNLIIYALCKESKLLEAMSIFYQSLKHSIWPNVVTFNMIIDGACQMGAMDLALKLISKMEVMSGGTVKPDCVTYNCLINGFCKTGKASMAEKFKNEMMDNDIEPNLRTYATLVDGYSRVGSLEEAFRLCDEMLKKGLLPNSIIYNSIIQWHYIEGDVEGASLLLSDMIDKRIHPDQVTYSILTLGLCRNGYVNEAFKFHNKLLAENLIGDSFSYNILINYLCRSNNLAGAKQLLASMYVRGFVPDVVTFGTLIDWHCKERSIETAVQVYEKMMKAGEKPNLLIYNSVINGLCKKESLDLAGVLKDTLQRMDIFDVITYNTLINGYCKSGKIDEAFALSSEMRNVGILANHVTYNTLINFLCKLGCVQQAKELMKMMILWGLVPNSITYTTLINSCNKNCSPEEVIELHDYMVLKGVVPDKQTYRAIVSPLLQEDGVET >Manes.14G133200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10642266:10647420:-1 gene:Manes.14G133200.v8.1 transcript:Manes.14G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFWLSKRICILNRGFHACQHFTNPSTGDIVFKAICINLKHRRWNILEQMSPSLTSLLVSRVICQFQNSPQLALEFYNWVLEKKSVLQSVESCCNVIHVLVKLKKFDDALSLMRNLMSINGRSHWEVLDALVKTYRMYDSSPAVFDALVRACTQIGATESAYEVIKKLQLEGYWVTIHAWNNFLSHLLKLNEISRFWKVYKEIVSSGYVENIYTFNLIIYALCKESKLLEAMSIFYQSLKHSIWPNVVTFNMIIDGACQMGAMDLALKLISKMEVMSGGTVKPDCVTYNCLINGFCKTGKASMAEKFKNEMMDNDIEPNLRTYATLVDGYSRVGSLEEAFRLCDEMLKKGLLPNSIIYNSIIQWHYIEGDVEGASLLLSDMIDKRIHPDQVTYSILTLGLCRNGYVNEAFKFHNKLLAENLIGDSFSYNILINYLCRSNNLAGAKQLLASMYVRGFVPDVVTFGTLIDWHCKERSIETAVQVYEKMMKAGEKPNLLIYNSVINGLCKKESLDLAGVLKDTLQRMDIFDVITYNTLINGYCKSGKIDEAFALSSEMRNVGILANHVTYNTLINFLCKLGCVQQAKELMKMMILWGLVPNSITYTTLINSCNKNCSPEEVIELHDYMVLKGVVPDKQTYRAIVSPLLQEDGVET >Manes.15G045500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3481941:3487192:-1 gene:Manes.15G045500.v8.1 transcript:Manes.15G045500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLKEKLSNQLSRFFVESQKPPPSPPHPSSSPDNFQARQFSNRGKSFSSYFPFTAPFFNFGGSRSNKYQFELEPIRSPQRRGSKDLNQQDEHSVRYHDCNILNSREDSKESITVFVNKQTDKIHDSNEDNASGGSSSDSDVFEEAQEQQTPKSSIPHLINESSLISSELYEFLHSSLPNIVKGCQWVLLYSTLKHGISLRTLIRKSADLSGPCLLIVGDRQGAVFGGLLDCPLKPTPKRKYQGTNQSFVFTTIYGEPRLFRPTGANRYYYICLNDLLALGGGGNFALCLDGDLLNGTSGPCETFGNLCLAHQPEFVLKNVELWGFTHSSKYLS >Manes.05G150101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25355907:25357278:1 gene:Manes.05G150101.v8.1 transcript:Manes.05G150101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFVGGTDTGAATVVWAMTLLMKNPITMKKAQEEIRKLVGKKGFVEEDDVQQLPYLKAVIKETMRLQPTVPLLVPRESTEDCVLDGYDIPAKTVVYVNAWAIGRDPEIWKNPEELNPERLINSSIDLKGQDFELTPFGAGRRICPGIFMGLATVEVSLANLLYKFDWEMPVGMKKEDLDMVVQPGITMHKKNALCLMARKYL >Manes.14G118300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11358691:11363776:-1 gene:Manes.14G118300.v8.1 transcript:Manes.14G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRTSSHHNASHLHPSSPDNMPCSSRVELLSSEKPSYFLESRELKPLSPGMDATDSTMKLLNVHSSVAHQHYNVGHSISLKRSRHYYGHKYSRRNSGNHAKASTSHGKIAPLRDERPPFMFSGSAFGHQTGSREKAFGRPDRIRLSSLVMDASDTVKIVCGICQKLLRRKPYFLGETLSAECSVVAVLVCGHVYHADCLEQRTSLEDKRDPPCPLCLGPLSQEDTTRE >Manes.14G118300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11358691:11363776:-1 gene:Manes.14G118300.v8.1 transcript:Manes.14G118300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSRVELLSSEKPSYFLESRELKPLSPGMDATDSTMKLLNVHSSVAHQHYNVGHSISLKRSRHYYGHKYSRRNSGNHAKASTSHGKIAPLRDERPPFMFSGSAFGHQTGSREKAFGRPDRIRLSSLVMDASDTVKIVCGICQKLLRRKPYFLGETLSAECSVVAVLVCGHVYHADCLEQRTSLEDKRDPPCPLCLGPLSQEDTTRE >Manes.14G118300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11359021:11359979:-1 gene:Manes.14G118300.v8.1 transcript:Manes.14G118300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNVHSSVAHQHYNVGHSISLKRSRHYYGHKYSRRNSGNHAKASTSHGKIAPLRDERPPFMFSGSAFGHQTGSREKAFGRPDRIRLSSLVMDASDTVKIVCGICQKLLRRKPYFLGETLSAECSVVAVLVCGHVYHADCLEQRTSLEDKRDPPCPLCLGPLSQEDTTRE >Manes.14G118300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11359021:11360341:-1 gene:Manes.14G118300.v8.1 transcript:Manes.14G118300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSRVELLSSEKPSYFLESRELKPLSPGMDATDSTMKLLNVHSSVAHQHYNVGHSISLKRSRHYYGHKYSRRNSGNHAKASTSHGKIAPLRDERPPFMFSGSAFGHQTGSREKAFGRPDRIRLSSLVMDASDTVKIVCGICQKLLRRKPYFLGETLSAECSVVAVLVCGHVYHADCLEQRTSLEDKRDPPCPLCLGPLSQEDTTRE >Manes.03G039500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3471424:3473601:-1 gene:Manes.03G039500.v8.1 transcript:Manes.03G039500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGTNKFPFTASQWQELEHQALIFKYMVSGIPIPTDLLFTIKRSCFDSPLSSKLFPRQPPHIGWSCFQMGIGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVATQTVTAKNHSSLLTPPSHSLSLLSSETHQNHLHYSGYHSHLNHQFLSSQTCSRPPGISLSARDNAAPLLLDSGGSCSLTNTGDRSAYGLKEEVDEHAFFSESSGSWQFTPLTMSSSSTASSSNQRNSSSLHNEYSYLQLHSLNDHDNPKQQKHYQRSYLLGTDMKCHLPSKIDREEEPQKTFHRFFDEWPPKNKDSWLDLDDKSTNSTSISTTRLSISIPSSSHDFTIFNSRTHNGKNLDK >Manes.03G039500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3470787:3473601:-1 gene:Manes.03G039500.v8.1 transcript:Manes.03G039500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVATQTVTAKNHSSLLTPPSHSLSLLSSETHQNHLHYSGYHSHLNHQFLSSQTCSRPPGISLSARDNAAPLLLDSGGSCSLTNTGDRSAYGLKEEVDEHAFFSESSGSWQFTPLTMSSSSTASSSNQRNSSSLHNEYSYLQLHSLNDHDNPKQQKHYQRSYLLGTDMKCHLPSKIDREEEPQKTFHRFFDEWPPKNKDSWLDLDDKSTNSTSISTTRLSISIPSSSHDFTIFNSRTHNDG >Manes.03G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3470787:3473601:-1 gene:Manes.03G039500.v8.1 transcript:Manes.03G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGTNKFPFTASQWQELEHQALIFKYMVSGIPIPTDLLFTIKRSCFDSPLSSKLFPRQPPHIGWSCFQMGIGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVATQTVTAKNHSSLLTPPSHSLSLLSSETHQNHLHYSGYHSHLNHQFLSSQTCSRPPGISLSARDNAAPLLLDSGGSCSLTNTGDRSAYGLKEEVDEHAFFSESSGSWQFTPLTMSSSSTASSSNQRNSSSLHNEYSYLQLHSLNDHDNPKQQKHYQRSYLLGTDMKCHLPSKIDREEEPQKTFHRFFDEWPPKNKDSWLDLDDKSTNSTSISTTRLSISIPSSSHDFTIFNSRTHNDG >Manes.01G022150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4963873:4964747:1 gene:Manes.01G022150.v8.1 transcript:Manes.01G022150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQMCDGLASMRGFAVHHRVASAGGGSVPRRWPSTREEILNRDNLSLRSPQRNIVHLQNDARRAVHQPSVVPSSPRSGGCPTVISATTDAAAAHGTRASLQRPSSDSGCSRTFPGDADHLSFFFLILFLINLISNKSYS >Manes.12G092501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18054377:18055133:1 gene:Manes.12G092501.v8.1 transcript:Manes.12G092501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLKLKIPSLQPLLLPLRILYKFSFSLMIHSVFNFCPLSKGEAPTIKSNQKQTSWLDDASCGKGIPSILTWKMRLKIMLTRTFKKLKSYTKIRLQIFKGILVRRQ >Manes.06G041950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12944605:12950175:-1 gene:Manes.06G041950.v8.1 transcript:Manes.06G041950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLVYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPSCLRSTSNFASLSNGEQIEFTTKRNIYSYQPSMLAYFSGIDLSCNKLIGQIPIQIGYLNEIHVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKDCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVENWAKASQWHWT >Manes.08G037300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3652543:3662427:-1 gene:Manes.08G037300.v8.1 transcript:Manes.08G037300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPQNGFLQSVTAAVWRTQPPNRLPAPSKEENSEKDDESKKSEGSKKGSDKDNASSQSVPPETVVIPNGTPPKVLDSEKSIKPEMRDAGVHKPPEEPKPKKKPSNVKRSSSAGLQADSVLGRKTGNLKEIYSLGRKLGQGQFGTTYLCIEKATGREFACKSIAKRKLTVDDDVEDVRREIRIMHHLEGHPNVIKIFDAYEDAVAVHVLMELCAGGELFDRIIQKGHYTERKAAELARVIVGVVEACHSLGVMHRDLKPENFLFVDHEEDAPLKTIDFGLSIFFRPGETFSDVVGSPYYVAPEVLQKHYGPECDVWSVGVIIYILLSGVPPFWDETEQGIFEQVLRGELDFLSEPWPSVSESAKDLVRRMLARDPKKRLTAHQVLRHPWVQVDGVAPDKPLDSAVLSRLKQFSAMNKLKRIAIRVIAESLSEEEIAGLKEMFKMIDADNSGHITLEELKNGLERVGANLKDSEVAGLMQAADIDNSGTIDYSEFIAAMLHINKIEKEDHLFAAFSYFDKDGSGYITQDELQQACDQFGLGDVHLGDLIREIDKDNDGRIDYNEFVDMMQDTRWKT >Manes.08G037300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3653287:3662397:-1 gene:Manes.08G037300.v8.1 transcript:Manes.08G037300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPQNGFLQSVTAAVWRTQPPNRLPAPSKEENSEKDDESKKSEGSKKGSDKDNASSQSVPPETVVIPNGTPPKVLDSEKSIKPEMRDAGVHKPPEEPKPKKKPSNVKRSSSAGLQADSVLGRKTGNLKEIYSLGRKLGQGQFGTTYLCIEKATGREFACKSIAKRKLTVDDDVEDVRREIRIMHHLEGHPNVIKIFDAYEDAVAVHVLMELCAGGELFDRIIQKGHYTERKAAELARVIVGVVEACHSLGVMHRDLKPENFLFVDHEEDAPLKTIDFGLSIFFRPGETFSDVVGSPYYVAPEVLQKHYGPECDVWSVGVIIYILLSGVPPFWDETEQGIFEQVLRGELDFLSEPWPSVSESAKDLVRRMLARDPKKRLTAHQVLRHPWVQVDGVAPDKPLDSAVLSRLKQFSAMNKLKRIAIRVIAESLSEEEIAGLKEMFKMIDADNSGHITLEELKNGLERVGANLKDSEVAGLMQAADIDNSGTIDYSEFIAAMLHINKIEKEDHLFAAFSYFDKDGSGYITQDELQQACDQFGLGDVHLGDLIREIDKDNDGRIDYNEFVDMMQDTRWKT >Manes.08G037300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3653287:3662397:-1 gene:Manes.08G037300.v8.1 transcript:Manes.08G037300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPQNGFLQSVTAAVWRTQPPNRLPAPSKEENSEKDDESKKSEGSKKGSDKDNASSQSVPPETVVIPNGTPPKVLDSEKSIKPEMRDAGVHKPPEEPKPKKKPSNVKRSSSAGLQADSVLGRKTGNLKEIYSLGRKLGQGQFGTTYLCIEKATGREFACKSIAKRKLTVDDDVEDVRREIRIMHHLEGHPNVIKIFDAYEDAVAVHVLMELCAGGELFDRIIQKGHYTERKAAELARVIVGVVEACHSLGVMHRDLKPENFLFVDHEEDAPLKTIDFGLSIFFRPGETFSDVVGSPYYVAPEVLQKHYGPECDVWSVGVIIYILLSGVPPFWDETEQGIFEQVLRGELDFLSEPWPSVSESAKDLVRRMLARDPKKRLTAHQVLRHPWVQVDGVAPDKPLDSAVLSRLKQFSAMNKLKRIAIRVIAESLSEEEIAGLKEMFKMIDADNSGHITLEELKNGLERVGANLKDSEVAGLMQAADIDNSGTIDYSEFIAAMLHINKIEKEDHLFAAFSYFDKDGSGYITQDELQQACDQFGLGDVHLGDLIREIDKDNDGRIDYNEFVDMMQDTRWKT >Manes.08G037300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3652543:3662432:-1 gene:Manes.08G037300.v8.1 transcript:Manes.08G037300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPQNGFLQSVTAAVWRTQPPNRLPAPSKEENSEKDDESKKSEGSKKGSDKDNASSQSVPPETVVIPNGTPPKVLDSEKSIKPEMRDAGVHKPPEEPKPKKKPSNVKRSSSAGLQADSVLGRKTGNLKEIYSLGRKLGQGQFGTTYLCIEKATGREFACKSIAKRKLTVDDDVEDVRREIRIMHHLEGHPNVIKIFDAYEDAVAVHVLMELCAGGELFDRIIQKGHYTERKAAELARVIVGVVEACHSLGVMHRDLKPENFLFVDHEEDAPLKTIDFGLSIFFRPGETFSDVVGSPYYVAPEVLQKHYGPECDVWSVGVIIYILLSGVPPFWDETEQGIFEQVLRGELDFLSEPWPSVSESAKDLVRRMLARDPKKRLTAHQVLRHPWVQVDGVAPDKPLDSAVLSRLKQFSAMNKLKRIAIRVIAESLSEEEIAGLKEMFKMIDADNSGHITLEELKNGLERVGANLKDSEVAGLMQAADIDNSGTIDYSEFIAAMLHINKIEKEDHLFAAFSYFDKDGSGYITQDELQQACDQFGLGDVHLGDLIREIDKDNDGRIDYNEFVDMMQDTRWKT >Manes.07G125100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32808893:32810605:1 gene:Manes.07G125100.v8.1 transcript:Manes.07G125100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLYPSLYMSNSNWFSQSAEWTTEENKVFERALAIFDEHEPDRWTKVAAMIPGKTVYDVIKQYKELEDDVSDIEAGKVPIPGYPSSPITLNWVEDRNFDAHRKRPLANKNGEQERKKGVPWTEDEHRRFLLGLLKHGKGDWRNISRNFVVSKTPTQVASHAQKYFIRQQLSGMKDKRRPSIHDITTVNLTDFTPSDGSRPPSQDPNHAFLTQNAIGMQKMLIDWDKSKDGSIMVFDSTHGNLLVSSPCELASNGFILQKQNLYAGFHINPHTLVFKRSQIPGHRGYP >Manes.07G125100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32808689:32810573:1 gene:Manes.07G125100.v8.1 transcript:Manes.07G125100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGKTVYDVIKQYKELEDDVSDIEAGKVPIPGYPSSPITLNWVEDRNFDAHRKRPLANKNGEQERKKGVPWTEDEHRRFLLGLLKHGKGDWRNISRNFVVSKTPTQVASHAQKYFIRQQLSGMKDKRRPSIHDITTVNLTDFTPSDGSRPPSQDPNHAFLTQNAIGMQKMLIDWDKSKDGSIMVFDSTHGNLLVSSPCELASNGFILQKQNLYAGFHINPHTLVFKRSQIPGHRGYP >Manes.07G125100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32808689:32810573:1 gene:Manes.07G125100.v8.1 transcript:Manes.07G125100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLYPSLYMSNSNWFSQSAEWTTEENKVFERALAIFDEHEPDRWTKVAAMIPGKTVYDVIKQYKELEDDVSDIEAGKVPIPGYPSSPITLNWVEDRNFDAHRKRPLANKNGEQERKKGVPWTEDEHRRFLLGLLKHGKGDWRNISRNFVVSKTPTQVASHAQKYFIRQQLSGMKDKRRPSIHDITTVNLTDFTPSDGSRPPSQDPNHAFLTQNAIGMQKMLIDWDKSKDGSIMVFDSTHGNLLVSSPCELASNGFILQKQNLYAGFHINPHTLVFKRSQIPGHRGYP >Manes.07G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32808689:32810573:1 gene:Manes.07G125100.v8.1 transcript:Manes.07G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLYPSLYMSNSNWFSQSAEWTTEENKVFERALAIFDEHEPDRWTKVAAMIPGKTVYDVIKQYKELEDDVSDIEAGKVPIPGYPSSPITLNWVEDRNFDAHRKRPLANKNGEQERKKGVPWTEDEHRRFLLGLLKHGKGDWRNISRNFVVSKTPTQVASHAQKYFIRQQLSGMKDKRRPSIHDITTVNLTDFTPSDGSRPPSQDPNHAFLTQNAIGMQKMLIDWDKSKDGSIMVFDSTHGNLLVSSPCELASNGFILQKQNLYAGFHINPHTLVFKRSQIPGHRGYP >Manes.07G051400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6086099:6089737:1 gene:Manes.07G051400.v8.1 transcript:Manes.07G051400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRTSSRNARTRGFKVKHVLQICLLLGVCFWLIYQVKHSHDKKKEFDEKEAKISQRTQNGDGISNLGRKGLHPHNQEFPKNEKHEEDDDEKTTLEEEVNKMEENMHEQKQLEYETKHEEEDQEEEGSKHEEGEREEEEGEGEGSKHDVEEREEETNKHEDEEQEDETKSDETEDGRREGGDDEIDEHDQEKIEGEADHEEDFVDEEKEREEEGEEKEGGNGEVGDKEDYETSTEDQDHDGGLQNDHEAREELYKADDASSAVTHDVETISIEPERVSSENSVTNNLELEKNLNKSNTNGVNEDKKNSTSQLGGVATENDPPANVTAGEKKDDEIINSKEQSTKNATVFSELNDQPVLSNNPMEATSDSSQHNETVVISDSNQAQNAKNDDASRGEASNLKTIELEQVNDNTVADSSRTDSNSTVPDKIENMDDGARSSNSSTNSELDRSVNFIKPEAEGKTNGSDEITKPEVEADAEVNSESSSTTKETADTAKDENSDVKNELGGTDENKGSSATDGTEAVVHDHIDSSNSSIGQEKKDTGIHLDTLPGDSRDGVNSRNVAAE >Manes.07G051300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6075459:6077074:1 gene:Manes.07G051300.v8.1 transcript:Manes.07G051300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACSAAISIANRLEGKVGLITGGASGIGAATARLFLKHGAKVIVADVQDDLGHSLCQELGSEEIITYAHCDVTRDSQVHNAVDLAVSKYGKLDIMYSNAGLPANMDGILSSDNEEFKRVLDVNVFGGFLAAKHAARVMIPAKKGSIIFTASNLSVTCFQCAHAYIASKHAVVGLAKNLCVELGQYGIRVNCVSPYAVVTPLLKSGLGLTGMENEKIQEAVSAAGNLKQAVLKAEDIAEAALYLGSDESKYVSGLNLVVDGGYNLTNPSIEMAIKRLHSS >Manes.07G039909.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4289365:4289937:1 gene:Manes.07G039909.v8.1 transcript:Manes.07G039909.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKCLIFAALFIALLFDVGLGARHLQQLPPLPKPTFPPLPSIPTLPQPTLPTNPSLPPLPSLPTLPKVALPPLPSFPTIPTTIPSIPFLSPPPGN >Manes.07G111000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31662230:31664905:1 gene:Manes.07G111000.v8.1 transcript:Manes.07G111000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKADATKKTDPKAQAVKAAKAVKSGPTFKKKATKIRTKVTFHRPKTLKKERNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Manes.07G111000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31662230:31664905:1 gene:Manes.07G111000.v8.1 transcript:Manes.07G111000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKADATKKTDPKAQAVKAAKAVKSGPTFKKKATKIRTKVTFHRPKTLKKERNPKYPRISAPPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Manes.03G198100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31526107:31530740:1 gene:Manes.03G198100.v8.1 transcript:Manes.03G198100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRISLKQRLSTSATRFLFPSTHKASSPLSPSLVTELGHPSLGVFFLRRFCSNASESFQSITNSIDSRIRCKVNKCCFSTHALADLPVGGIVDVPLAQTGEGIAECELLKWFVRQGDEVEEFQPLCEVQSDKATIEITSRYKGKVAQILSVPGDVVKVGETLLKIIVEECQAPIQMHDGSEDKKSVDPKLEKIHIGGVLSTPAVRNLAKQYNINLNDVHGTGKDGRILKEDVLKYGIQKGVIEESPGTVRADSGNQFLGEKEKYSDASAEIRPLHEDKIVPLRGFQRTMVKTMSMAARVPHFHYVEEINCNTLVELKASFQNNNPDPGVKHTFLPLLIKSLSMALNKYPWLNSSFNEETVEVILKGSHNIGIAMATPHGLVVPNIKDVQSLSILEITKELARLQQLASENKLNPEDITGGTITLSNVGAIGGKFGAPILNLPEVAIIAIGRIQKVPQFADDGNVYPASIMTVNVGADHRVLDGATVAKFCTEWKQLIEKPELLMLYLR >Manes.03G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4252544:4255784:-1 gene:Manes.03G047300.v8.1 transcript:Manes.03G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLTRLFVLICDFIGCSGAMENRLFSVPVDVVGRNSIAMEGIGSQITPNSLVQFDSFDLNNQNQTLDGYTLPGAPINDLHTDNRAAIVDSEVFVTSLEKNVARGEEFQEQFLGGIPISGPALATLAASRSGHQENLTNLAISEPLMYPLEALKTYASNGFFNGLNSSFASSVNNGFCEVFGNTNAKEDINRFPASVEHSGKTPTRTGFQSYSSIGNLEPNSWISANSVIVSSDNPYGSCHFSNELSLSLATSQPSAINGSRVTDQCSEISCSDVTRHCLEETRLCLEQTSSSSKELCLSCGSYENGQFSQVIAGSIYVQVIQEILAQIASYSLENLGQISTSGIMIGPNIPSFSSYPIEGRMRLMGSDESPNVDNIFGVQVDPALQKRALDSKKIQLLTLLQVVDDRYNQCLDEIHTVVSAFHAATELDPQIHTRFALHTISFLYKSLRWRISNQILAMGAYFDGGGAKEAEGSLETSCFQKQWTLQQLKKKDHQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVRSGLTKSQVSNWFINARVRLWKPMIEEMYAEMNRRKVSQKEEGANRNHRNHISNLRF >Manes.09G048156.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10178247:10178777:-1 gene:Manes.09G048156.v8.1 transcript:Manes.09G048156.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVISWNCQGAASPTFRRAFLEYKRLFKPDIFCIMEPRVSGTHADAICGRLGFDNWIRVESLGFSGGIWIFWTENDFSIQLIESHPQFVACKVLLVSGVSWNLCFIYASPYSPCRRILWTDLKLDSIDLSDEWMALGDFNCVPFQSELQGYSIFNISGAKLFSDWIFDNGLLDMGFE >Manes.10G120100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28497510:28503226:1 gene:Manes.10G120100.v8.1 transcript:Manes.10G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLIQPNVQQCAAVSTSSSISHFPSSSFFRIIALGHSISSLKSVVCCLSQSNSQVAVVNGRTDTRVSGRNEIRLGLPSKGRMASDTLDLLKDCQLSVKQVNPRQYVAEIPQLSNLEVWFQRPKDIGNEDLILVHDALEYGDCCLSLAIPNYGIFENINSVRELAQMPQWKAEKPLRVATGFTYLGTKFMKENGLEHVTFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGIVLESQTDLVASRKSLIQRKGALDASHDILERLEAHLRAIGQFTVTANMRGSSAGEVAERVLSQPSLSGLQGPTVSPVYCKRDGKVSPDYYAIVICVPKKASYKSVQQLRAIGGSGVLISPLTYIFDEETPRWRQLLSKLGL >Manes.15G006200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:669213:674579:1 gene:Manes.15G006200.v8.1 transcript:Manes.15G006200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIIAPNVPAMYEAHFGVPMAGAVVNCVNIRLNSPTVAFLLGHSKSEVVMVDQEFFSLAEEALKILAESGNSFKPSLLIVIADKSCDPGALKHAVGKGAIEYENFLESGDPDFAWKPPEDEWQSIALGYTSGTTASPKGVVLSHRGAYLMSLSNPLNWGMNEGAVYLWTLPMFHCNGWCFTWALAALCGTNICLRQVTASAVYSAIANNGVSHFCAAPVVLNTIVNAPKEETILPLPRVVHVMTAGAAPPPSILFAMSEKGFRVTHTYGLSETYGPSTVCAWKPEWDTEPPIIQARLNARQGVRYIGLEGLDVVDPKTMKPVPADGTTMGEIVMRGNVVMKGYLKNPKANEEAFANGWFHSGDLAVKHPDSYIEIKDRSKDIIISGGENISSLEVENILYTHPAVYEVSVVARPDQQWGESPCAFVTLKPEVDKTNEGRLIEDIIKFSRSKMPAYWVPKSVVFGPLPKTATGKIQKHLLRAKAKEMGPLKKSKL >Manes.15G006200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:669213:674579:1 gene:Manes.15G006200.v8.1 transcript:Manes.15G006200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAHFGVPMAGAVVNCVNIRLNSPTVAFLLGHSKSEVVMVDQEFFSLAEEALKILAESGNSFKPSLLIVIADKSCDPGALKHAVGKGAIEYENFLESGDPDFAWKPPEDEWQSIALGYTSGTTASPKGVVLSHRGAYLMSLSNPLNWGMNEGAVYLWTLPMFHCNGWCFTWALAALCGTNICLRQVTASAVYSAIANNGVSHFCAAPVVLNTIVNAPKEETILPLPRVVHVMTAGAAPPPSILFAMSEKGFRVTHTYGLSETYGPSTVCAWKPEWDTEPPIIQARLNARQGVRYIGLEGLDVVDPKTMKPVPADGTTMGEIVMRGNVVMKGYLKNPKANEEAFANGWFHSGDLAVKHPDSYIEIKDRSKDIIISGGENISSLEVENILYTHPAVYEVSVVARPDQQWGESPCAFVTLKPEVDKTNEGRLIEDIIKFSRSKMPAYWVPKSVVFGPLPKTATGKIQKHLLRAKAKEMGPLKKSKL >Manes.15G006200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:669213:674579:1 gene:Manes.15G006200.v8.1 transcript:Manes.15G006200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIDDLPKNLANYTALTPLWFLDRAATIHPTRSSLLHGSFRYTWLQTYKRCRQLASALTKHSVGIGSTVAIIAPNVPAMYEAHFGVPMAGAVVNCVNIRLNSPTVAFLLGHSKSEVVMVDQEFFSLAEEALKILAESGNSFKPSLLIVIADKSCDPGALKHAVGKGAIEYENFLESGDPDFAWKPPEDEWQSIALGYTSGTTASPKGVVLSHRGAYLMSLSNPLNWGMNEGAVYLWTLPMFHCNGWCFTWALAALCGTNICLRQVTASAVYSAIANNGVSHFCAAPVVLNTIVNAPKEETILPLPRVVHVMTAGAAPPPSILFAMSEKGFRVTHTYGLSETYGPSTVCAWKPEWDTEPPIIQARLNARQGVRYIGLEGLDVVDPKTMKPVPADGTTMGEIVMRGNVVMKGYLKNPKANEEAFANGWFHSGDLAVKHPDSYIEIKDRSKDIIISGGENISSLEVENILYTHPAVYEVSVVARPDQQWGESPCAFVTLKPEVDKTNEGRLIEDIIKFSRSKMPAYWVPKSVVFGPLPKTATGKIQKHLLRAKAKEMGPLKKSKL >Manes.12G073700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8067539:8070782:1 gene:Manes.12G073700.v8.1 transcript:Manes.12G073700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRNIWSFMGVVLLVTCISGEDPYRFYSWNVTYGDIYPLGEKQQGILINGQFPGPQIESVTNDNLIINVFNSLDEPFLISWNGVQQRRNSWQDGVYGTNCPILPGQNFTYVLQVKDQIGSYFYFPSLGMHKAAGGFGGFKIASRSVIPVPFPPPAGDFTILAGDWFKRNHTDLRAILDGGSDLPFPDGVLINGRGSNGYTFAVDQGKTYRFRISNVGLTTSLNFRIQGHKLLLVEVEGTHTLQNTYDSLDIHLGQSYSVLVTADQPAQDYNIVISTRFTTQVLTTTSILRYSNSAGSVSGPPPGGPTTQIDWSLEQARSLRRNLSASGPRPNPQGSYHYGLINTTRTIRLQNTAPIINGKQRYAVNSVSFIPADTPLKLADHFNIQGVFSPGSIPDNPTGGGAYLQTSVMAADFRGYAEVVFENPEDTVQSWHIDGHNFFVVGMDGGEWTPASRLTYNLRDTISRCTVQVYPKSWTAVYMPLDNVGMWNVRSENWARQYLGQQFYLRVFSPANSWRDEYPIPGNALLCGRAVGRMT >Manes.12G073700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8067536:8070782:1 gene:Manes.12G073700.v8.1 transcript:Manes.12G073700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRNIWSFMGVVLLVTCISGEDPYRFYSWNVTYGDIYPLGEKQQGILINGQFPGPQIESVTNDNLIINVFNSLDEPFLISWNGVQQRRNSWQDGVYGTNCPILPGQNFTYVLQVKDQIGSYFYFPSLGMHKAAGGFGGFKIASRSVIPVPFPPPAGDFTILAGDWFKRNHTDLRAILDGGSDLPFPDGVLINGRGSNGYTFAVDQGKTYRFRISNVGLTTSLNFRIQGHKLLLVEVEGTHTLQNTYDSLDIHLGQSYSVLVTADQPAQDYNIVISTRFTTQVLTTTSILRYSNSAGSVSGPPPGGPTTQIDWSLEQARSLRRNLSASGPRPNPQGSYHYGLINTTRTIRLQNTAPIINGKQRYAVNSVSFIPADTPLKLADHFNIQGVFSPGSIPDNPTGGGAYLQTSVMAADFRGYAEVVFENPEDTVQSWHIDGHNFFVVGMDGGEWTPASRLTYNLRDTISRCTVQVYPKSWTAVYMPLDNVGMWNVRSENWARQYLGQQFYLRVFSPANSWRDEYPIPGNALLCGRAVGRMT >Manes.11G138000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30341853:30343185:1 gene:Manes.11G138000.v8.1 transcript:Manes.11G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFSVLLIVLFISFSSFQASPTNSRLFREYIGAEDTGVTFSDVPVNQNIEVHYILSFAIDYTSSSKPSPTNGYFNVYWDTENLTPSAVSSFKAHHGNVKVAMSLGGDTINKQHVYFDPKSINSWVSNAIHSISDIASKYNLDGIDIDYEHFRTDPDTFAECIGKLLFYLKQQRIVSFASIAPYEDDSVQPYYLALWKKYGHLIDYVNFQFYAYPKGTNVSQFLKYFETQNSNYEGGKVLVSFGTDKSGGLSPKNGFFEACSNLRRMNKLNGIFIWSADDSKKDGFTYELQAQSFLADSK >Manes.17G116000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32317678:32322550:1 gene:Manes.17G116000.v8.1 transcript:Manes.17G116000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKRVMGSSVQQFTVPHKNLSLDIKGNKTDIVICSYDDHILVIATQIGTMGTILHARKEEGVSIHPTFSVSVIFGKRDEAMLVACARQLIEHISSSGSSRPLVLSLGLKDHSMETLKGIVSAVVENRTW >Manes.17G116000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32317678:32322550:1 gene:Manes.17G116000.v8.1 transcript:Manes.17G116000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKRVMGSSVQQFTVPHKNLSLDIKGNKTDIVICSYDDHILVIATQIGTMGTILHARKEEGVSIHPTFSVSVIFGKRDEAMLVACARQLIEHISSGSSRPLVLSLGLKDHSMETLKGIVSAVVENRTW >Manes.11G042900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4694537:4698917:-1 gene:Manes.11G042900.v8.1 transcript:Manes.11G042900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHSPRPFGPRRYTYEELAEAAHHFSNRFLLGEGGFGQVYEGSLNGETFAIKKLRIVPDQETKEELEREIRFISHVSHLNLVKLVGYCMEGANGLLVLEYFPNRSLKVNLHERDVLDWPKRMKIAIGTARGLEYLHEYCKPKIIHQDIKPDNILIDSNFEPKIADFGLALRFPDSVSHISRSIMGTEVYADPEDCKRVSDKSDVYSFGIVLLELITGRKSKYQDIDIVKWAKNRIKEALEDEYKGFVDSKLQMYDKEQMKRMINCAAICVYNRPQFRPSIKKIVLALEGHMPLKNLWDGNNDDELPRIKEISSSGTERFQRIFTYEQLTAVTEGFSGKNLLVKGKQYQVYKGYLNGEAVTVKKFTYSPEKKEEMFEHIKSISSSVHHNNLVNMLGFCHEGPHRLLVYEFVSEDKSLGSHLHGNVKPALDWPTRMEVALRIARGMIDLHELFYRVWTWRICLRNFWLLFDIEIC >Manes.11G042900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4694537:4698917:-1 gene:Manes.11G042900.v8.1 transcript:Manes.11G042900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHSPRPFGPRRYTYEELAEAAHHFSNRFLLGEGGFGQVYEGSLNGETFAIKKLRIVPDQETKEELEREIRFISHVSHLNLVKLVGYCMEGANGLLVLEYFPNRSLKVNLHERDVLDWPKRMKIAIGTARGLEYLHEYCKPKIIHQDIKPDNILIDSNFEPKIADFGLALRFPDSVSHISRSIMGTEVYADPEDCKRVSDKSDVYSFGIVLLELITGRKSKYQDIDIVKWAKNRIKEALEDEYKGFVDSKLQMYDKEQMKRMINCAAICVYNRPQFRPSIKKIVLALEGHMPLKNLWDGNNDDELPRIKEISSSGTERFQRIFTYEQLTAVTEGFSGKNLLVKGKQYQVYKGYLNGEAVTVKKFTYSPEKKEEMFEHIKSISSSVHHNNLVNMLGFCHEGPHRLLVYEFVSEDKSLGSHLHGNVKPALDWPTRMEVALRIARGMIDLHELYKPLNIFEQYKDEDIFLDRNFQPKFTEYGRGGFVSGTFGYCSTLKYAKVDVYFFGEILMELITGEPSSDHDGVKIAEWAQPVIGMSLFRKDYSFIDEKLKEKLNENELIRMSRCALACVHCYPDHRPQMSQVVEVLAGNALPDTLK >Manes.02G094900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7414916:7415173:-1 gene:Manes.02G094900.v8.1 transcript:Manes.02G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLSSSRNSASSWTPKQNKQFEKALALYDKDTPDRWQNVAKAVGGKSPEEVKRHYEILINDVREIESGRVPFPNYRSGENTN >Manes.13G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29951812:29958445:1 gene:Manes.13G102000.v8.1 transcript:Manes.13G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRLDNLYQDPETLFPISSASKVFEWENDVKLDEERKDSAIGGDWDDLVDSMICDSNSRLIPSGFARSNCTDEIVMFVNAGDEASIEADSTIKVLGDTNFEGGNVLRTNELINEAGDYPFIYQSARLGNFCYRFNNLPSGVYLVDLHFAEIINTNGPKGMRVFNVFMQEEKVLTEFDIFAIVGANKPLQLVESRVSVKEDGILVIRFEGIIGSPVVSGICIRKARKLSVPCRSQEYLKCNNCAAEIEVSSDQKKLMRTKATDKYEKKIQELITECQRKTNECHEAWMSLTTANEQLEKIRMELDNKTFQTRSLDQTVGKQSENLRNLTSIYERDKKYWAAAVKNLQQEIKIMKEEHCQLSREAHECADSIPQLNNMVTGVKALVAQCEDLKAKYSQEQAKRKELYNQIQEAKGNIRVFCRCRPLSKEETSAGYTTVVDFEAAKDGELAILTGGSTRKTFKFDRVYTPKDNQVDVFADASPLVVSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLGQLFETAKERSETFAYSLSVSVLEVYNEQIRDLLATSPTSKKLEIKQSSEGSHHVPGIVEAKVDNLKEVWNVLQAGSNARSVGSNNVNEHSSRSHCMLCVMVKAKNLMNGECTKSKLWLVDLAGSERLAKTEVQGERLKEAQNINRSLSAIGDVIYALATKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEQDLSETLSSLNFATRVRGIELGPAKKQIDTSELQRMKLMLDKARQESKAKDESLRKIEENLQNMENKARSKDHIYKVQQEKIKELEGQLELKSNLNSQSEKQVLQLSDKLKGREEICNALQQKVKELENKLRERQQSDSAAFQQKVKELENKLKEQVQESEFHSLTLQNKVKELERKLMEQEQSSETLLLQQKIKELEEKLREQEKQLQWMQNQDISGMIRATPSAGKIRTRDDEVMSEIECHVLRSSNSINHPLSHGSAQSKGNDSLLHETRKNRQYRSGEIENIIHRKSDPPRIARVMRTAKPVTAAVAAPGPLTHKRISRDQGPGIKERDAKKKIWSR >Manes.01G109700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30859772:30866378:1 gene:Manes.01G109700.v8.1 transcript:Manes.01G109700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGKKKVLKDMADAVNEDDDSCWWFVHKGIESSFLCIAVFGLLVRATVSLHPYSGAGNPPKFGDYEAQRHWMEITINLPVKEWYRNSTNNDLKYWGLDYPPLTAYQSYIHGIFLRYFEPDSVALFTSRGYESYLGKQLMRWTVLSSDALIFFPAVLYFVLVYYRNHSSRRKSDVAWHIAMILINPCLILVDHGHFQYNCISLGLTVGAIAAVLSHKDLVASVLFCLSLNHKQMSAYFAPAFFSHLLGKCLKHKNPVLEVSKLGLVVLGTFTIIWWPYLNSRDTVMEVLFRLAPFERGIYEDYVANFWCTTSILIKWKRLFTTQSLKFVSLLATVLTFLPSMVQQIMAPSRKGFLYALVNSSFSFYLFSFQVHEKSILLPLLPASLLATELRGPFELLMHYALFSMFPLLCRDKLIVPYVALYALFFLLYFTPSGRKDAKIHNSTTLTCLSILLTFLYLCSLLLHILYLIIHPPKKYPFLFEAMIMLLCFSHFILFVSYTNAQQWMLSRPYTLKDKEKKLN >Manes.09G068933.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11430437:11433700:1 gene:Manes.09G068933.v8.1 transcript:Manes.09G068933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIGSSGVHGRRRYGSRRRHPTPPPPAPPQPEITANRYVFAAATPYPSEYPNLNPPPYYQYPGYHRPPAPSAMPVPLPVPYDHHHRVDPAHWVGGRYPCGPMMAPMAPYVEYQKAVTIRNDVNLKKESLRLEPDVENPGRFLVAFTFDANVAGSMTIIFFAKEGEDCILAPMKENLLPPVTVNFQQGLSQKFRQPSGTGIDLAVFEEKELMKEGVDVYPLAVKAEAYPPNLNGEEGNQPSGTMNSQITQAVFEKEKGEYQLRVTKQILWVNGMRHEMQEIYGIGNSVEGDVDANDPGKECVICLSEPRDTAALPCRHMCMCSGCAKVWRYQTNRCPICRQPVERLLEIKVNNGADE >Manes.06G088700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22460624:22465359:1 gene:Manes.06G088700.v8.1 transcript:Manes.06G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPHRSRDGSSSSSGEGRPRFFDHNAKKICWANAETVPGRHPERWRKDAAGNVVCKRLCNCHGCLCFQYDHIVPFSKGGESTADNCQILQARVNSFKSDKQEVDKTQLKGYSCDLKFTDKELDIIEMAVYGDVIRPGNQCRCRTVAEMLGEYKSKDRAAACKLPYGEEKL >Manes.12G106300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28816167:28886088:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLESITKKAISEEKQLRTDWEITAASEKLAECQETILNLGKQLKALSTPSDAVLFDKVISTSDDTNAAPVTTMTSTALSKPKDKIMNQRSSLLDHLLAEDNAAANNKSPKVKESDNYSSAFFSNEVIESLEKILSLDGTKHQDDEVAVSSLAIVPSKKRGGASLWRKLLWRKRKSNSRKPPFPFAP >Manes.12G106300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28879439:28886088:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLESITKKAISEEKQLRTDWEITAASEKLAECQETILNLGKQLKALSTPSDAVLFDKVISTSDDTNAAPVTTMTSTALSKPKDKIMNQRSSLLDHLLAEDNAAANNKSPKVKESDNYSSAFFSNEVIESLEKILSLDGTKHQDDEVAVSSLAIVPSKKRGGASLWRKLLWRKRKSNSRKPPFPFAP >Manes.12G106300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28879639:28886089:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLERIGR >Manes.12G106300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28879433:28886119:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLESITKKAISEEKQLRTDWEITAASEKLAECQETILNLGKQLKALSTPSDAVLFDKVISTSDDTNAAPVTTMTSTALSKPKDKIMNQRSSLLDHLLAEDNAAANNKSPKVKESDNYSSAFFSNEVIESLEKILSLDGTKHQDDEVAVSSLAIVPSKKRGGASLWRKLLWRKRKSNSRKPPFPFAP >Manes.12G106300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28879617:28886166:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLESITKKAISEEKQLRTDWEITAASEKLAECQETILNLGKQLKALSTPSDAVLFDKVISTSDDTNAAPVTTMTSTALSKPKDKIMNQRSSLLDHLLAEDNAAANNKSPKVKESDNYSSAFFSNEVIESLEKILSLDGTKHQDDEVAVSSLAIVPSKKRGGASLWRKLLWRKRKSNSRKPPFPFAP >Manes.12G106300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28879433:28886174:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLESITKKAISEEKQLRTDWEITAASEKLAECQETILNLGKQLKALSTPSDAVLFDKVISTSDDTNAAPVTTMTSTALSKPKDKIMNQRSSLLDHLLAEDNAAANNKSPKVKESDNYSSAFFSNEVIESLEKILSLDGTKHQDDEVAVSSLAIVPSKKRGGASLWRKLLWRKRKSNSRKPPFPFAP >Manes.12G106300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28879639:28886089:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLESITKKAISEEKQLRTDWEITAASEKLAECQETILNLGKQLKALSTPSDAVLFDKVISTSDDTNAAPVTTMTSTALSKPKDKIMNQRSSLLDHLLAEDNAAANNKSPKVKESDNYSSAFFSNEVIESLEKILSLDGTKHQDDEVAVSSLAIVPSKKRGGASLWRKLLWRKRKSNSRKPPFPFAP >Manes.12G106300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28879639:28886138:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLERIGR >Manes.12G106300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28879639:28886097:-1 gene:Manes.12G106300.v8.1 transcript:Manes.12G106300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKTWLWRKKSTEKMIVATDRVNFSPGGNEEEIQTLLADKAELEKTLKSLNDKLSSTLSECNAKDDLVRKQAKMLEEAKSGLEKAEARTVSLKQELDEAMRQRAAGEERLTHLDATLKEYMQQLQFVREEQEQRVHDAVMKVSGEYEKSQMILEEKLAETSKRLAKIGVENTHLSKAHLAKEKLIEDLTKQKTQMEADLNALMIRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTADASHKQQLESAKKIAKLESECQRLRLLVRKRLPGPAALAKMKSEVDILGRDSIDKRKRRTSSSPSGLIVDTAVDSSPDTPSKKIDFLAEQLYAMEEENKALREAFTRKANELQISRTMFASTASKLSQVELHLDELSKGQTTLEPSRSGLVPHDLSLASMSDVCSDDKVSCAESWASALISELEHFKHGKQWGSPSVKTVGGSDINLMDDFVEMERLAIVSVDKQSGSTHVPSDGANTEVSPTKIGLDEYASQVTGKNIVPTLESGSVVPNQVIKSKDGVIGKLPDWLQEILKVLLEQTHITQRKPNEILEDVKVALIGIINESPGEYIDTRESSKHLDASNSPHVGGDISWKLTNKSLLMDSSCGINNVDVILTDRNNQSNLSKSLHNIIAHIQRITSPNYGSSDTLSRKDRNFFPYKNSETSSGYMVCLLQWKTSELTAVLQQFVHACYDLLNGKSDVNRFAQELSYALDWIMDHCFSLQDVSSLRDAIKKQFDRNESRSESEPEVGRISQFPGVDKLSLPRDEYSCLSIVATSNGFHNCSEKDEFQYTVRDENQKLNDELINIESTEKDLEGRLQSAIDKSESLMNQLRESEKTIKSMQNEVETLKMSKTMIENQSENHKLMKEDLDTQLKVAKAELNEAHQKFSSMEVELENKNSCCEDLETTCLELQLQLERIGR >Manes.03G046600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4175480:4178723:1 gene:Manes.03G046600.v8.1 transcript:Manes.03G046600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGESVLEAIYEVDDGFEEAEDVEMPDVEEGELLQPNSQNDGGQSSGGEGLCDTHGIQASQSKNRRRRINKKKNRKKKGSLGPNVTDINRFVLDTCRRLKEKKSYMIYTAVGCLGISALSDMVKEVDAIQSCGGQMTADGRRLRTGGGILWNIMKTREPMAYKEIMKKAKDFEKQFKRQNIQQAQQQNKEGSSQETAISLTDPAPSSVQEGSQLVTQAQHEHSNTEKKHRSVHDRIRVPVSYDDLLGDDPKNDSV >Manes.07G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1441740:1446009:-1 gene:Manes.07G010800.v8.1 transcript:Manes.07G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPSGNRLSAKKRSWRGPTAIHLLDHDILCIIFSFLGFFDLVRCSAVCKSWNAIIKRSKLLQVLYLKQMKENSVGFSNSSSGLEESLSRYLEELAMDHHRRALLKASSIHIDQWTAHSARVDQCRMRMGLILTGVGDKVMRLWSLESYKCVEEYSLPDACPLVDFDFDESKIVGLVGTRLCLWRRNGRMSTFPSHQGTFMKGLCMRYLDPEAVVGCEDGTVRVFDMYSRKCSKIIRMHPEPVTCLSLGDDQLILSGSSLGRITVSGYSSDQWKTTLRPTDSTGIKTLCFNPRSHLVFAGTTAGYTSCWDLRMMRRLWETRVSPNVVYSLQHLSNDKSTLVVGGIDGVLRILDQDSGEVLSSYVMDHDASSSSSNYAHGEIKRRRGKKLSVDANIDQIPRSVRPSITCLAVGMKKVVTTHNSKEIRLWKFKK >Manes.03G062500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7260497:7263114:-1 gene:Manes.03G062500.v8.1 transcript:Manes.03G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTRVFSVGLRSRSLIIPYKFQLSFFCNSSNPLISKLLRLPASQIKSTLDSEHPFPLNRFEFSWNTLVTSLSSSSPEKARLVLEWRLERMLKDDEIDLDHYSDLISLCAHIQDVPLALRAFTSMEASGIKPSTNVFNSLIHACLSSTSTVITALSLFQVMDNSKEYKPNSQTYDTFILGFSHLRYVDKIQAWFAAKKAAGFPANLQNYESLIFACVRKKDFDSVDRFYEEMLSAGVMPSMRILEYVLEGLCKRRKCDRVRDFLSFLLDCRFEISGNMIEKIVELYYELGEVDEMEKLLETIMELNQVGEALLSLHCGLIRLYSKLDRLDDVEYAVGRMMSQGMSFRSSDEFEKVISSYFRKEAYDRLDLFMEHIKGYCKLGRSTYDLLVAGYRRAGLTEKLDLIVKEMKLAGF >Manes.14G152700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19096033:19100708:-1 gene:Manes.14G152700.v8.1 transcript:Manes.14G152700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.14G152700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19096033:19100708:-1 gene:Manes.14G152700.v8.1 transcript:Manes.14G152700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.14G152700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19096033:19100708:-1 gene:Manes.14G152700.v8.1 transcript:Manes.14G152700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.14G152700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19096033:19100708:-1 gene:Manes.14G152700.v8.1 transcript:Manes.14G152700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.14G152700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19096033:19100708:-1 gene:Manes.14G152700.v8.1 transcript:Manes.14G152700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.14G152700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19096033:19100708:-1 gene:Manes.14G152700.v8.1 transcript:Manes.14G152700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESVKEDCFAWAARDPSGVLSPYKFKRRTTGEDDVSLKITHCGICYADVIWTRNKLGDSKYPLVPGHEIVGMVQEAGPNVSRFKPGDRVGVGTYVNSCKECEYCNDREEVHCEKGSVFTFNAIDLDGTITKGGYSSYIVVHERYCFMIPDDYPLALAAPLLCAGITVYNPMMRHGMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVFSTSISKKEEALGVLGADNFVVSSDQEQMKALSKSLDFIVDTASGDHPFDPYMSLLKTAGVLVLVGFPSEVKFSPASLILGMRTVSGSITGGTKVTQEMLDFCAAKKIYPEIELIPIDYANEALERVVKRDVKYRFVIDIENSLK >Manes.13G122900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33084272:33096522:-1 gene:Manes.13G122900.v8.1 transcript:Manes.13G122900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQSAAAGRRRSRGAEATARAEALERLKALRRGGRRSENGGGYDVKVETPIFDTVSEDDYDKLVARRREEAQAFIVDDDGLGYGDEGQEEDWSQAGLPPSSDESDGGEPNTRSKRKKTEKKEKETQQKKVNSSLSAAAAMMGKQRISAMFTSTVFKNKDSGKVKSLDCENIVDDVIAEFAPDDADRERRRRGQLTLRNFRPIKTEGHVVSNVDLAVTTEMNGVITNNDDSVLNLGTNSNVDERKGLIKEVKEEKVEQPFDMEAGVEVTDEQVENSTEVKAEPVVKKEEGGHTLNAKITVEERDPALSAVADWQAVRSVGNGSVGSGTEEVKSGSSYEEQSDFELEADGSLPFYIIDVHEEVFGANMGTLYLFGKVKAGNSYHSCCVVVKNMQRCLYAIPNGSIFHTEEMIKLEKEAEESRISPSEFRKKLQDVAYGLKNEIASQLLTLNVSSFSMAPVKRRYAFERSDIPTGENYVLKINYPFKEPPLPADLKGETFCALLGTHCSALELFLVKRKVKGPLWLSVSKFSVCPASQRVSWCKFEIIVDSPKDIRVSSSSKSTIEIPPVVVTAINLKTVINEKQNINEIVSASLICCHKAKIDSPMVASEWKKQGMLSHFTVVRKLEGGIFPMGFSKEVTERNTKAGSNVLGIESSERALLNRLMIALNKLDSDVLVGHNISGFDLDVLLHRAQACRVPSSMWSKIGRLKRSVMPKLTKGNVMFGSGASAGIMSCIAGRLLCDTYLSSRDLLKEVSYSLTQLTKNRLNKDRKEIAPHDIPKMFQTSKSLIELIECGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYVVPDKNSFHVKETKMTKRRINHGVEERNAEELDADHTNFDNDGPENDHAKGKKGPAYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTIEKSADGLVPRLPSSKTTGVLPELLKNLVERRRMVKSWMKNVTGLKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPIAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDITKAKAVAGKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKLQFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLAQILSGGSCEDVVESIHNSLMKVQEDMRNGQVALEKYVITKTLTKPPEAYPDAKNQPHVLVALRLKQSGYTTGCSAGDTVPYIICCEQGASGNLTGIAQRARHPDELKSDDGKWMIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFKSRSGEAISNDPSSSLLFAVNDEERYGSCEPFILSCPSCSSTFECPSVISSICKSTSENAIRQQEEESIFNFWHRLRCPKCPEEGDMGRIYPAMIANQVKRQAEGFVSAYYKGLMMCDDETCKHMTRSLNLRLVGDAERGTVCPNYPRCNGRLVRKYTEADLYKQLSYFCHLLDTMDGSTRIPVEKELTKIRPMVELAVSTVQKLRDRCAYGWIKLNDLTVTV >Manes.13G122900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33084272:33096521:-1 gene:Manes.13G122900.v8.1 transcript:Manes.13G122900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQSAAAGRRRSRGAEATARAEALERLKALRRGGRRSENGGGYDVKVETPIFDTVSEDDYDKLVARRREEAQAFIVDDDGLGYGDEGQEEDWSQAGLPPSSDESDGGEPNTRSKRKKTEKKEKETQQKKVNSSLSAAAAMMGKQRISAMFTSTVFKNKDSGKVKSLDCENIVDDVIAEFAPDDADRERRRRGQLTLRNFRPIKTEGHVVSNVDLAVTTEMNGVITNNDDSVLNLGTNSNVDERKGLIKEVKEEKVEQPFDMEAGVEVTDEQVENSTEVKAEPVVKKEEGGHTLNAKITVEERDPALSAVADWQAVRSVGNGSVGSGTEEVKSGSSYEEQSDFELEADGSLPFYIIDVHEEVFGANMGTLYLFGKVKAGNSYHSCCVVVKNMQRCLYAIPNGSIFHTEEMIKLEKEAEESRISPSEFRKKLQDVAYGLKNEIASQLLTLNVSSFSMAPVKRRYAFERSDIPTGENYVLKINYPFKEPPLPADLKGETFCALLGTHCSALELFLVKRKVKGPLWLSVSKFSVCPASQRVSWCKFEIIVDSPKDIRVSSSSKSTIEIPPVVVTAINLKTVINEKQNINEIVSASLICCHKAKIDSPMVASEWKKQGMLSHFTVVRKLEGGIFPMGFSKEVTERNTKAGSNVLGIESSERALLNRLMIALNKLDSDVLVGHNISGFDLDVLLHRAQACRVPSSMWSKIGRLKRSVMPKLTKGNVMFGSGASAGIMSCIAGRLLCDTYLSSRDLLKEVSYSLTQLTKNRLNKDRKEIAPHDIPKMFQTSKSLIELIECGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYVVPDKNSFHVKETKMTKRRINHGVEERNAEELDADHTNFDNDGPENDHAKGKKGPAYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTIEKSADGLVPRLPSSKTTGVLPELLKNLVERRRMVKSWMKNVTGLKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPIAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDITKAKAVAGKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKLQFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLAQILSGGSCEDVVESIHNSLMKVQEDMRNGQVALEKYVITKTLTKPPEAYPDAKNQPHVLVALRLKQSGYTTGCSAGDTVPYIICCEQGASGNLTGIAQRARHPDELKSDDGKWMIDIDYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFKSRSGEAISNDPSSSLLFAVNDEERYGSCEPFILSCPSCSSTFECPSVISSICKSTSENAIRQQEEESIFNFWHRLRCPKCPEEGDMGRIYPAMIANQVKRQAEGFVSAYYKGLMMCDDETCKHMTRSLNLRLVGDAERGTVCPNYPRCNGRLVRKYTEADLYKQLSYFCHLLDTVRGMEQMDGSTRIPVEKELTKIRPMVELAVSTVQKLRDRCAYGWIKLNDLTVTV >Manes.10G085400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22019511:22021055:1 gene:Manes.10G085400.v8.1 transcript:Manes.10G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSISSRESDVPVSASPSHAKYKEIISSLPRIENGWGISQYLHQYQGFWINTNLLEGIIYAQHYLKAQPTDIFICSHPKAGTIWLKALSFAIFTRKLYSNASTNPLFSESPHDVMPFIDVLAFTDIISRDPKLPFLSTHIPYPSLPKSIVECKCKIIYICRDPKDLFTSFWHFSGKLRAASTKTLPLEEAFRDFCEGHYPYGPYWDHVLGYWKASLQFPERILFIKYEDLQNDTFSYVKRMAEFMGCPFSIEEESQGFVQKVVDFCSFERLSNLEVNKSKNPSSSSGFLKIEKKACFRKGKLGDWENYLTAEMAAEIDQITEQKFSSSGLFL >Manes.09G128000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33073303:33080412:1 gene:Manes.09G128000.v8.1 transcript:Manes.09G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVMKRFLDASSFRSSSRPTASLPFLLYPRRNIVSVSSVEEEDQTANSSRDREDTGFSSGLNWALAGKGVIVKDKSFRNLNTSELQQKGATVAESLSGIPVLVRGNALGGASEISKPQFSKLLKHVTTHISSVSNIYVHDGAVGSSLKYGAKVRIISDSPSAILSLSNVLWKAPSRAISHDSCPLTVYVASSISQGAVDAVRLGAQANESVIAADIDRASLILCGKAFSDANATKMALATLSEPIISARGGIPLPARLLVSDDSVILLLAPEDIIQSCAAQLVSTDAGVILSSQDAAPYFPVKNYSAPSLFKFPVGVVLVTSDSSGTIPSISKLSPGQAAYHFLAGYQNGKFMLAYNRGPSSLDALELAKAFLSKLKDNQISSFLINVSEGQKSVTGKVFLRMVESALSKDIPSFRPKGGDLKAKYNSFLSNKFQEIPEELSF >Manes.15G051025.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3912316:3913204:-1 gene:Manes.15G051025.v8.1 transcript:Manes.15G051025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILSIDFICLILWNEPIYRTQSFKRLLIGSREGKQYIDKESLTLLTVLLAATAQNRCTALVCSCYVPSRNYSNKGEEINRSNNTKKQILEEKKDGVMEEAPNNHESQGQEKSNGGSNSGGCGSNGPHIEPITLKSNLKRTATVEEINQSRTEKRKVSWPDAHGNDIAHVHEFEPSLSEDGELEGVRNSCICTIQ >Manes.14G082000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6820184:6825412:-1 gene:Manes.14G082000.v8.1 transcript:Manes.14G082000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPRSIFDQVKCPNPFLYSAVIRGYSLQGPLSESIKLYGLMRTEGVRPVSFTFSAILKACSALLDVSLGRQMHAQSILIGGFCSDLFVGNTLIDMYIKCGFLECGRKVFDEMPDKDVISWTGLIVAYAKIGDMETSRELFDGLPVKDMMAWTAMVTGFAQNARPREAIEFFERMQNAGVGTDEVTLIGVISACAQLGAAKYADWIRDIVEKRGSAAGHSVVLGSALIDMYSKCGNVEDAYKVFAGMNDRNVFSYSSMIMGFSVHGRADDAIKLFNEMVKTEIKPNKVTFIGVLTACVHRGMVDEGMSIFDSMEKCYGVKPSADHYTCIVDLLGRAGRLEEALELVKTMPIEPHGGVWGALLGACRIHGNPDIATIAASHLFELEPNAIGNYIMLSNIYASAGRWLDVSWARKLMRRKGLKKNPGCSWIEPRKGVIHEFFSGDMTHPMSSEIKQVLMDLLDRLEATGYQPKLSSVPYDVSDEEKRRILITHSEKLALAFGLISMNPGCSIRIMKNLRICEDCHLVMCGASQITKRDIVVRDNMRFHHFCNGTCSCGNFW >Manes.14G082000.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6820184:6825412:-1 gene:Manes.14G082000.v8.1 transcript:Manes.14G082000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPRSIFDQVKCPNPFLYSAVIRGYSLQGPLSESIKLYGLMRTEGVRPVSFTFSAILKACSALLDVSLGRQMHAQSILIGGFCSDLFVGNTLIDMYIKCGFLECGRKVFDEMPDKDVISWTGLIVAYAKIGDMETSRELFDGLPVKDMMAWTAMVTGFAQNARPREAIEFFERMQNAGVGTDEVTLIGVISACAQLGAAKYADWIRDIVEKRGSAAGHSVVLGSALIDMYSKCGNVEDAYKVFAGMNDRNVFSYSSMIMGFSVHGRADDAIKLFNEMVKTEIKPNKVTFIGVLTACVHRGMVDEGMSIFDSMEKCYGVKPSADHYTCIVDLLGRAGRLEEALELVKTMPIEPHGGVWGALLGACRIHGNPDIATIAASHLFELEPNAIGNYIMLSNIYASAGRWLDVSWARKLMRRKGLKKNPGCSWIEPRKGVIHEFFSGDMTHPMSSEIKQVLMDLLDRLEATGYQPKLSSVPYDVSDEEKRRILITHSEKLALAFGLISMNPGCSIRIMKNLRICEDCHLVMCGASQITKRDIVVRDNMRFHHFCNGTCSCGNFW >Manes.14G082000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6820184:6825415:-1 gene:Manes.14G082000.v8.1 transcript:Manes.14G082000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPRSIFDQVKCPNPFLYSAVIRGYSLQGPLSESIKLYGLMRTEGVRPVSFTFSAILKACSALLDVSLGRQMHAQSILIGGFCSDLFVGNTLIDMYIKCGFLECGRKVFDEMPDKDVISWTGLIVAYAKIGDMETSRELFDGLPVKDMMAWTAMVTGFAQNARPREAIEFFERMQNAGVGTDEVTLIGVISACAQLGAAKYADWIRDIVEKRGSAAGHSVVLGSALIDMYSKCGNVEDAYKVFAGMNDRNVFSYSSMIMGFSVHGRADDAIKLFNEMVKTEIKPNKVTFIGVLTACVHRGMVDEGMSIFDSMEKCYGVKPSADHYTCIVDLLGRAGRLEEALELVKTMPIEPHGGVWGALLGACRIHGNPDIATIAASHLFELEPNAIGNYIMLSNIYASAGRWLDVSWARKLMRRKGLKKNPGCSWIEPRKGVIHEFFSGDMTHPMSSEIKQVLMDLLDRLEATGYQPKLSSVPYDVSDEEKRRILITHSEKLALAFGLISMNPGCSIRIMKNLRICEDCHLVMCGASQITKRDIVVRDNMRFHHFCNGTCSCGNFW >Manes.14G082000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6820146:6825412:-1 gene:Manes.14G082000.v8.1 transcript:Manes.14G082000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSRKFFSTNSISYLPKQLSQYKLTPTFVPFSQLQQQLSLLESQLVSTLDACTNLAQIKQVHNHILRTGLDQCCYVVTKLVRTLTNLNVPMDPYPRSIFDQVKCPNPFLYSAVIRGYSLQGPLSESIKLYGLMRTEGVRPVSFTFSAILKACSALLDVSLGRQMHAQSILIGGFCSDLFVGNTLIDMYIKCGFLECGRKVFDEMPDKDVISWTGLIVAYAKIGDMETSRELFDGLPVKDMMAWTAMVTGFAQNARPREAIEFFERMQNAGVGTDEVTLIGVISACAQLGAAKYADWIRDIVEKRGSAAGHSVVLGSALIDMYSKCGNVEDAYKVFAGMNDRNVFSYSSMIMGFSVHGRADDAIKLFNEMVKTEIKPNKVTFIGVLTACVHRGMVDEGMSIFDSMEKCYGVKPSADHYTCIVDLLGRAGRLEEALELVKTMPIEPHGGVWGALLGACRIHGNPDIATIAASHLFELEPNAIGNYIMLSNIYASAGRWLDVSWARKLMRRKGLKKNPGCSWIEPRKGVIHEFFSGDMTHPMSSEIKQVLMDLLDRLEATGYQPKLSSVPYDVSDEEKRRILITHSEKLALAFGLISMNPGCSIRIMKNLRICEDCHLVMCGASQITKRDIVVRDNMRFHHFCNGTCSCGNFW >Manes.14G082000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6820184:6825412:-1 gene:Manes.14G082000.v8.1 transcript:Manes.14G082000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQQLSLLESQLVSTLDACTNLAQIKQVHNHILRTGLDQCCYVVTKLVRTLTNLNVPMDPYPRSIFDQVKCPNPFLYSAVIRGYSLQGPLSESIKLYGLMRTEGVRPVSFTFSAILKACSALLDVSLGRQMHAQSILIGGFCSDLFVGNTLIDMYIKCGFLECGRKVFDEMPDKDVISWTGLIVAYAKIGDMETSRELFDGLPVKDMMAWTAMVTGFAQNARPREAIEFFERMQNAGVGTDEVTLIGVISACAQLGAAKYADWIRDIVEKRGSAAGHSVVLGSALIDMYSKCGNVEDAYKVFAGMNDRNVFSYSSMIMGFSVHGRADDAIKLFNEMVKTEIKPNKVTFIGVLTACVHRGMVDEGMSIFDSMEKCYGVKPSADHYTCIVDLLGRAGRLEEALELVKTMPIEPHGGVWGALLGACRIHGNPDIATIAASHLFELEPNAIGNYIMLSNIYASAGRWLDVSWARKLMRRKGLKKNPGCSWIEPRKGVIHEFFSGDMTHPMSSEIKQVLMDLLDRLEATGYQPKLSSVPYDVSDEEKRRILITHSEKLALAFGLISMNPGCSIRIMKNLRICEDCHLVMCGASQITKRDIVVRDNMRFHHFCNGTCSCGNFW >Manes.14G082000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6820147:6825415:-1 gene:Manes.14G082000.v8.1 transcript:Manes.14G082000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSRKFFSTNSISYLPKQLSQYKLTPTFVPFSQLQQQLSLLESQLVSTLDACTNLAQIKQVHNHILRTGLDQCCYVVTKLVRTLTNLNVPMDPYPRSIFDQVKCPNPFLYSAVIRGYSLQGPLSESIKLYGLMRTEGVRPVSFTFSAILKACSALLDVSLGRQMHAQSILIGGFCSDLFVGNTLIDMYIKCGFLECGRKVFDEMPDKDVISWTGLIVAYAKIGDMETSRELFDGLPVKDMMAWTAMVTGFAQNARPREAIEFFERMQNAGVGTDEVTLIGVISACAQLGAAKYADWIRDIVEKRGSAAGHSVVLGSALIDMYSKCGNVEDAYKVFAGMNDRNVFSYSSMIMGFSVHGRADDAIKLFNEMVKTEIKPNKVTFIGVLTACVHRGMVDEGMSIFDSMEKCYGVKPSADHYTCIVDLLGRAGRLEEALELVKTMPIEPHGGVWGALLGACRIHGNPDIATIAASHLFELEPNAIGNYIMLSNIYASAGRWLDVSWARKLMRRKGLKKNPGCSWIEPRKGVIHEFFSGDMTHPMSSEIKQVLMDLLDRLEATGYQPKLSSVPYDVSDEEKRRILITHSEKLALAFGLISMNPGCSIRIMKNLRICEDCHLVMCGASQITKRDIVVRDNMRFHHFCNGTCSCGNFW >Manes.12G069200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7329397:7335754:1 gene:Manes.12G069200.v8.1 transcript:Manes.12G069200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDRKITGNSEGEMCKTIEVAPVDHRDLSPPSPAFLSSPVVGDDLTFIPPLNFAMVDNGIFRSGFPDSANFSFLQTLGLRSIICMCPEPYPEQNNDFLNANGIRLFQFGIEGYKEPFVNIPEDVIREALKVVLDVRNHPVLIHCKRGKHRTGCVVGCLRKLQRWCLSSIFDEYQRFAAAKARVSDQRFMELFDVSSLRHVPMPFSCSKR >Manes.09G087801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24423622:24425086:1 gene:Manes.09G087801.v8.1 transcript:Manes.09G087801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADATDAWKEACAAAGSSKILIPAGKFLAGIVNVTGHCKGAIEVEVQGTVQAPPELAGDDGQGQVAWKGVSCDKDLKNCKKHPMNIRFNFITKGKCFHVNVLGCDDFTFEGFIVSTLEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLIYSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.03G043300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3786164:3789102:-1 gene:Manes.03G043300.v8.1 transcript:Manes.03G043300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGGSKVTGIRQIVRLKEIIQKWQSVTLGSKATTPRRSNSTSHGGISPAINKRIAEIKNCCDSDEESCHSPDPPPDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAEEEFGFDHSGGLTLPCEIETFKFLLKCMEHHPKDHQDDSTTGENSLTIEE >Manes.03G043300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3786164:3789102:-1 gene:Manes.03G043300.v8.1 transcript:Manes.03G043300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGGSKVTGIRQIVRLKEIIQKWQSVTLGSKATTPRRSNSTSHGGISPAINKRIAEIKNCCDSDEESCHSPDPPPDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAEEEFGFDHSGGLTLPCEIETFKFLLKCMEHHPKDHQDDSTTGENSLTIEE >Manes.03G043300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3786272:3788735:-1 gene:Manes.03G043300.v8.1 transcript:Manes.03G043300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGGSKVTGIRQIVRLKEIIQKWQSVTLGSKATTPRRSNSTSHGGISPAINKRIAEIKNCCDSDEESCHSPDPPPDVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKAEEEFGFDHSGGLTLPCEIETFKFLLKCMEHHPKDHQDDSTTGENSLTIEE >Manes.10G077100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:17548988:17550680:-1 gene:Manes.10G077100.v8.1 transcript:Manes.10G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNSQYQPLQSNFLLISSMETPNTRAPTWPEVLGSNNWENLLDPLDLTVRQLVLRCGDFCQVTYDAFNNDQNSKYCGTSRYGKNSIFQKVMLEDAENYRVSSFLYGTARVSLPEAFLLHSLSRDSWDRETNWIGYIAVTSDEYTKVLGRREIYIVFRGTTRNYEWINVFGAKLQSAKSLLRGSSHRVEETNSSSDSDNDDNEKVPKVMQGWLTIYISDDPKSPFTKLSARTQLLTKIHQLREQYREDNLSIILTGHSLGASLAILAAFDLVENGINDIPVAAFVFGSPQVGNKSFNARFNKYPNLKVLHTKNKIDVITHYPGLLLGYAYTGTELEIDTRKSPNLKDSKNPSDWHNLQAMLHIVAGWNGEKGEFKLRVKRSLALVNKSCEFLKDECLVPGSWWVEKNKGMVRGEDGEWMIASPNDEDQPVPEF >Manes.05G183600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30189039:30189682:-1 gene:Manes.05G183600.v8.1 transcript:Manes.05G183600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHTELNYSVPFSWEHKPGVSKITHQEASTWPFSLALPPPPPCSSNRGRVSFDDSRSAAAFRAPSKSSFKKVVGYREEPDPFLVAYKKCTDDRYVPVKAKVAGDGKSRERSKMRRQQRQRIGAASFSCKYSCGVAGDNLVRMSQIPRHNIKGKDRDLKVYS >Manes.08G052700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5640306:5642098:-1 gene:Manes.08G052700.v8.1 transcript:Manes.08G052700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSHQQVLPFNAQAGQKQSQVIVVMVPLPAQGHLNQLLQLSRLILSYSIPVHFVGTTTHNRQAKLRVNFWDVLFMSSIHFHDFEIPPFACPPPNPNAKNKFPSHLIPAFNSAASHLREPVSVLLRSLSRKARRVVVIHDSLMASVVQEVALISNANSYVFHSVSAFTIALFQWERKGMHSIQENEAIPKEIPSLEGCFTDEFLALIASEYQYHKFNSGCVYNTCRLIEGAFMELIEKQQQETMDKRTKKYWALGPFNPVTKSSETDQGSTGKHFCLEWLDKQARNSVIYVSFGTTTAMNDEQIKNLAIGLKQSNQKFIWVLREADKGDVFNGENEREAELPKGYENSVDGMGLVVRDWVPQLEILAHEATGGFMSHCGWNSCMESITMGVPIAAWPMHSDQPRNAVLITELLKIGVLVKEWARRDEIVTANMVEHSVKKLMASDEGDGMRKRAAELCEAVRRSMVEGGASRMEIDSFIAHISS >Manes.02G027800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2325190:2327274:-1 gene:Manes.02G027800.v8.1 transcript:Manes.02G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLSSPPHSCKTNLDPSTKDEVQKPDTLTPLVLKNSTFHSLKQNPEKTHLSLAINEAKCIANIALPMILTGLLLYSRSMISMLFLGRLGDLALAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAKRYKLLGLTMKRTILLLILISFPIAFSWFNMKKILLFCGQEEDIAAEAQTYILYSLPDLILQSFLHPLRIYLRTQSITLPLTYCAAISILLHIPINYFLVSVLNLGTKGVALSGVWTNFNLVTSLIIYVMVSGVHKKTWGGITLECLRGWKSLLNLAIPSCISVCLEWWWYEIMIMLCGLLLNPRATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGANHPQKAKLAAIIGLCSSFALGFSALFFAVMVRKVWAIMFTEDAEIIALTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKMGANINLGCFYLVGMPVAIWLSFYAGFDFKGLWLGLLAAQGSCVVTMLFVLTGTDWEWQAHRAKELTGNVNGGDAFEEYETLKNDNDSSA >Manes.18G018000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1831685:1839050:-1 gene:Manes.18G018000.v8.1 transcript:Manes.18G018000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAPVSPWMPEDDLLLKNAVEAGASLESLAKGAVQFSQKFTVREIQERWHSLLYDPIVSAEAAFHMIEFERSASTLPSKFCRVGNTKKRKAESVRSCYYALRKRIRNEPFNSMDLSFLIAPTDSNYMGNEDEPFSGHCILGDPVPNHFVLQESNLDIMQLPFPQIVDDTAAHSFHAQFQNTIQEDFPVEQDNVHKEIPQICGENMLHARNGSVIEEFGGPKELAANSDQVHECSKFGGDQAFSSPIPECAVSFHNLDYSSPLPEMPIWKTVEGGSPPSIPVSMHTGDPFSLPGDDDTKNTCLSEYGSNLKLEIPSEEMKNVAASTEGYLAELSNSLLNFTNEEELLFTDVDGKDAIDKSYYDGLSSLLLSSPNGANQDHMANITEPEVANVTELESSVNPDYLINKSGAGREELDEDRGSHHSSDAVGDSDVHYHTSASSSNPQFPEMGIGVIICTLNTEDPDIPCNDDVVFTKHLRPKSFSSIARQNVHDVYKPNPSTKEFSVNTKTSEGGSVLIQGDIKNPGQSHACSQVIRSRVVPEISSLHPVGDGGVKFDLPSSSSTHKNVSVGYGGSSLVHSANASAETVLPAKLKKEAPEAVPVKHFSHDTADASMEKTNASDVKLDLDAPPRFQTHQASHAKLGSTEIAPLEHGVNHTPDPEEPPIESDDDVPYFSDIEAMILGMDLDPEEKDLYSSEEVSRYQHEDMKRVIIRLEQGAHSYVQRAIASQGAIAVLYGRYSKHYIKKPEVLLGRATEDVIVDIDLGREGRANKISRRQATIYLDKGGSFHLKNLGKCSISVNDKEIAPGQSLNLTSSCLIEIRGMPFIFETNQSCVKQYLDSVTQKNQKEEH >Manes.18G018000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1831641:1839292:-1 gene:Manes.18G018000.v8.1 transcript:Manes.18G018000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAPVSPWMPEDDLLLKNAVEAGASLESLAKGAVQFSQKFTVREIQERWHSLLYDPIVSAEAAFHMIEFERSASTLPSKFCRVGNTKKRKAESVRSCYYALRKRIRNEPFNSMDLSFLIAPTDSNYMGNEDEPFSGHCILGDPVPNHFVLQESNLDIMQLPFPQIVDDTAAHSFHAQFQNTIQEDFPVEQDNVHKEIPQICGENMLHARNGSVIEEFGGPKELAANSDQVHECSKFGGDQAFSSPIPECAVSFHNLDYSSPLPEMPIWKTVEGGSPPSIPVSMHTGDPFSLPGDDDTKNTCLSEYGSNLKLEIPSEEMKNVAASTEGYLAELSNSLLNFTNEEELLFTDVDGKDAIDKSYYDGLSSLLLSSPNGANQDHMANITEPEVANVTELESSVNPDYLINKSGAGREELDEDRGSHHSSDAVGDSDVHYHTSASSSNPQFPEMGIGVIICTLNTEDPDIPCNDDVVFTKHLRPKSFSSIARQNVHDVYKPNPSTKEFSVNTKTSEGGSVLIQGDIKNPGQSHACSQVIRSRVVPEISSLHPVGDGGVKFDLPSSSSTHKNVSVGYGGSSLVHSANASAETVLPAKLKKEAPEAVPVKHFSHDTADASMEKTNASDVKLDLDAPPRFQTHQASHAKLGSTEIAPLEHGVNHTPDPEEPPIESDDDVPYFSDIEAMILGMDLDPEEKDLYSSEEVSRYQHEDMKRVIIRLEQGAHSYVQRAIASQGAIAVLYGRYSKHYIKKPEVLLGRATEDVIVDIDLGREGRANKISRRQATIYLDKGGSFHLKNLGKCSISVNDKEIAPGQSLNLTSSCLIEIRGMPFIFETNQSCVKQYLDSVTQKNQKEEH >Manes.14G047600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4161625:4163379:1 gene:Manes.14G047600.v8.1 transcript:Manes.14G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSTHNPFALAFGILGNITSFVVFLAPMPTFFRICKKKSTEGFQSFPYVVALFSAMIWLYYASLKSDAFLLITVNSFGCFIETIYLTLFIAYASKQARMSTLRMLLLLNFGGFCLILLLSHFLAKGSSRVRILGWVCVIFSVSVFAAPLSILRVVIRTRSVEFMPFNLSFFLTLSAIMWFFYGILLKDLYVAIPNVLGFIFGVLQMILYVIYKNFKTAEEPKLPEHTIDNAKFSTSLTCGIQEAASPQLNGADKEENIHEKQDMDDPNGDQPIVCQV >Manes.14G047600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4161625:4163379:1 gene:Manes.14G047600.v8.1 transcript:Manes.14G047600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFFRICKKKSTEGFQSFPYVVALFSAMIWLYYASLKSDAFLLITVNSFGCFIETIYLTLFIAYASKQARMSTLRMLLLLNFGGFCLILLLSHFLAKGSSRVRILGWVCVIFSVSVFAAPLSILRVVIRTRSVEFMPFNLSFFLTLSAIMWFFYGILLKDLYVAIPNVLGFIFGVLQMILYVIYKNFKTAEEPKLPEHTIDNAKFSTSLTCGIQEAASPQLNGADKEENIHEKQDMDDPNGDQPIVCQV >Manes.16G004900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:605326:606588:1 gene:Manes.16G004900.v8.1 transcript:Manes.16G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQASGLISSSRVCSSKRINAAISVPKLPRIRFPVPKTQSTNLVEDLILRNGFTNTISIEKSVTLPRIDEEPFVNSSTSKATAKLYAILEAVADRVEMHKNVGEQRDNWNKLLLNSINMITLTATTMAGVAATGEEGVPLLALKLGSTLLFIAATGMLFIMNKIQPSQLAEEQRNATKLFRQLQSQIQTTLALYDPTELDVKDALEKVLALDKAYPLPLLGKMIEKFPAKFEPAVWWPNTKNFQRNSKRSGNNGWSEGLEQEMREVIEVIKGKDTEDYMRLGNLVLKINKVLAISGPLLTGIAAAGSAFVGNSPWAAIVAVAAGALATTVNTFEHAVQVGMVVEMYRNCAGFLSLLDESIESTLEEADFDRREDGEIFEMMVALQLGRSTSELRDLAQKSSYSSIEGTTIDEFASKLF >Manes.17G064900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26490506:26496821:-1 gene:Manes.17G064900.v8.1 transcript:Manes.17G064900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSTSLHCYSTLLPSHHSLFFPLRLRFSSTASPSQLSFKPLRSYLHALRPLRSTATQEIVETAKSGAGFVEVGYISNVHGLQGEICVKPSTEFPELRFSKPGRRWLRQTVSGKDTIQEVELVEGRGHPGQKRWILRFGGIDAVEQARQLVGSTLLVREEDRPELEQGEFYTRDLVGMRVILKVMLHPSADVLEGAERLMPEETDLSGPLVWVPFVEAIVPDIDMTRRKMWITPPKGLLELNIRTDERSKKERRKLEWKERKKFQRRLIEAKKKLCEMEQKHVFDGLRYGEKSQRSLLADQIVGVNSKLLQQALQNIEMPSKRLSISELISAAKNKLTTSYLKLSKECFTPCVGEENLAANLQEKGVHLLSKDKVAIVLVVNDIEEERGRGDSPDVVGSKRTEDATFSVLQKSLSDDKKFLKMEDRASVPLLLVCPVQEIQSVKRLFSNNDYFGFDTDKVWFLEEEKLPIVSIPVEEQSKHKILMKSPWEILQSPIGSGGVISLLLSHKFREILSEMGVEYIENLNLFPGM >Manes.17G064900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26490506:26496821:-1 gene:Manes.17G064900.v8.1 transcript:Manes.17G064900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSTSLHCYSTLLPSHHSLFFPLRLRFSSTASPSQLSFKPLRSYLHALRPLRSTATQEIVETAKSGAGFVEVGYISNVHGLQGEICVKPSTEFPELRFSKPGRRWLRQTVSGKDTIQEVELVEGRGHPGQKRWILRFGGIDAVEQARQLVGSTLLVREEDRPELEQGEFYTRDLVGMRVILKETGDHVGTVVNVFNSGASDLLQVMLHPSADVLEGAERLMPEETDLSGPLVWVPFVEAIVPDIDMTRRKMWITPPKGLLELNIRTDERSKKERRKLEWKERKKFQRRLIEAKKKLCEMEQKHVFDGLRYGEKSQRSLLADQIVGVNSKLLQQALQNIEMPSKRLSISELISAAKNKLTTSYLKLSKECFTPCVGEENLAANLQEKGVHLLSKDKVAIVLVVNDIEEERGRGDSPDVVGSKRTEDATFSVLQKSLSDDKKFLKMEDRASVPLLLVCPVQEIQSVKRLFSNNDYFGFDTDKVWFLEEEKLPIVSIPVEEQSKHKILMKSPWEILQSPIGSGGVISLLLSHKFREILSEMGVEYIEVCSVSQNYGLGDAPLLGFVNSCGAEIGIQIFEAMEISDESFDMIFSITFMKKLMQHMDKLQFSPILKQNSHVKMIDKEWIDVVPSSPNSYQFHCSIYSALSTCPLDNICLMEVTE >Manes.17G064900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26490506:26496821:-1 gene:Manes.17G064900.v8.1 transcript:Manes.17G064900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSTSLHCYSTLLPSHHSLFFPLRLRFSSTASPSQLSFKPLRSYLHALRPLRSTATQEIVETAKSGAGFVEVGYISNVHGLQGEICVKPSTEFPELRFSKPGRRWLRQTVSGKDTIQEVELVEGRGHPGQKRWILRFGGIDAVEQARQLVGSTLLVREEDRPELEQGEFYTRDLVGMRVILKETGDHVGTVVNVFNSGASDLLQVMLHPSADVLEGAERLMPEETDLSGPLVWVPFVEAIVPDIDMTRRKMWITPPKGLLELNIRTDERSKKERRKLEWKERKKFQRRLIEAKKKLCEMEQKHVFDGLRYGEKSQRSLLADQIVGVNSKLLQQALQNIEMPSKRLSISELISAAKNKLTTSYLKLSKECFTPCVGEENLAANLQEKGVHLLSKDKVAIVLVVNDIEEERGRGDSPDVVGSKRTEDATFSVLQKSLSDDKKFLKMEDRASVPLLLVCPVQEIQSVKRLFSNNDYFGFDTDKVWFLEEEKLPIVSIPVEEQSKHKILMKSPWEILQSPIGSGGVISLLLSHKFREILSEMGVEYIENLNLFPGM >Manes.17G064900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26490506:26496821:-1 gene:Manes.17G064900.v8.1 transcript:Manes.17G064900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSSTSLHCYSTLLPSHHSLFFPLRLRFSSTASPSQLSFKPLRSYLHALRPLRSTATQEIVETAKSGAGFVEVGYISNVHGLQGEICVKPSTEFPELRFSKPGRRWLRQTVSGKDTIQEVELVEGRGHPGQKRWILRFGGIDAVEQARQLVGSTLLVREEDRPELEQGEFYTRDLVGMRVILKVMLHPSADVLEGAERLMPEETDLSGPLVWVPFVEAIVPDIDMTRRKMWITPPKGLLELNIRTDERSKKERRKLEWKERKKFQRRLIEAKKKLCEMEQKHVFDGLRYGEKSQRSLLADQIVGVNSKLLQQALQNIEMPSKRLSISELISAAKNKLTTSYLKLSKECFTPCVGEENLAANLQEKGVHLLSKDKVAIVLVVNDIEEERGRGDSPDVVGSKRTEDATFSVLQKSLSDDKKFLKMEDRASVPLLLVCPVQEIQSVKRLFSNNDYFGFDTDKVWFLEEEKLPIVSIPVEEQSKHKILMKSPWEILQSPIGSGGVISLLLSHKFREILSEMGVEYIEVCSVSQNYGLGDAPLLGFVNSCGAEIGIQIFEAMEISDESFDMIFSITFMKKLMQHMDKLQFSPILKQNSHVKMIDKEWIDVVPSSPNSYQFHCSIYSALSTCPLDNICLMEVTE >Manes.11G063700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8884070:8884864:-1 gene:Manes.11G063700.v8.1 transcript:Manes.11G063700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPIIFPSPPPLVPSPPPPELIPSPILPWLSPPDDASPASPLVPTFDPPTQPDMPPELTPTPPLLPIFDPPTQPDVPPELTPAPPLFPIFPPPTQPNISPYLSPAPPLVPMFDAPIQPNLPPYFTLPPPFVPIFDTPLPNIPPEFTPAPPVVPIFDAPTQPDIPPVFTPAPPLVPILDTTQPNTPPRVYTSGTTGVNFLSPPMVPEIPKNPEKLQPFSEPVQPLTPTLPFLPPVELSPPFLVPP >Manes.01G204600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37776715:37779847:1 gene:Manes.01G204600.v8.1 transcript:Manes.01G204600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAQPYRKSDVEAGTRPLYPMMLESPQLRWAFIRKVYSILSFQLLATIAVASVVVSVHPIANFFVSTGTGLALYIVLIITPFVALCPLYYYHQKHPVNYLLLGIFTISLSFAVGLTCAFTSGKVILESVILTTVVVLSLTLYTFWAARRGHDFNFLGPFLFGAVLVLMVFALIQILFPLGRISVMIYGCLASIIFCGYIIYDTDNLIKRYSYDEYIWASVSLYLDVINLFLSLLTLFRAAES >Manes.18G043000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3813215:3816158:-1 gene:Manes.18G043000.v8.1 transcript:Manes.18G043000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHYYLALLLFIFLLKRVLFRQKQNLPPSPRALPVLGHLHLIRKPLPLALETLLSQYGPVLSLKFGSRSVLVVSSPSAVEECLTRNDIIFANRPRSMAGDHFTYNYSSFVWAPYGDFWRILRRLSVVEIFSSKSLQKTCAIREEEVRILLCRLFKISAGAKKQVNLKFLFSLLTCNVMMRMTVGKRCVEVEGEGSELEKQLFQEFKEIFFPSISLNICDFIPVLRVIGFKGIEKSMIKLNDVRNEFLQNLLDELKLKRINSKTSDEKEKRWVVETLLSLQELEPEFYTDEVIKSTMVIMLIAGAETSAVTLEWAMSLLLNNPEALQKLKAEIDHHVGHGNLLNDLDLVKLPYLRCVINETLRLYPAAPLMLPHLSSENCTVGGFEIPKDTMLLVNVLAMHRDPKNWEDPNEFKPERFEGDLGEQHGYKFIPFGVGRRACPGAAMGIRMVSLALGLLIQCFEWEKDGLEKVDMSQSFGLSLSRAKPLVALCSPRQESVELISQI >Manes.18G043000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3813215:3816158:-1 gene:Manes.18G043000.v8.1 transcript:Manes.18G043000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHYYLALLLFIFLLKRVLFRQKQNLPPSPRALPVLGHLHLIRKPLPLALETLLSQYGPVLSLKFGSRSVLVVSSPSAVEECLTRNDIIFANRPRSMAGDHFTYNYSSFVWAPYGDFWRILRRLSVVEIFSSKSLQKTCAIREEEVRILLCRLFKISAGAKKQVNLKFLFSLLTCNVMMRMTVGKRCVEVEGEGSELEKQLFQEFKEIFFPSISLNICDFIPVLRVIGFKGIEKSMIKLNDVRNEFLQNLLDELKLKRINSKTSDEKEKRWVVETLLSLQELEPEFYTDEVIKSTMVIMLIAGAETSAVTLEWAMSLLLNNPEALQKLKAEIDHHVGHGNLLNDLDLVKLPYLRCVINETLRLYPAAPLMLPHLSSENCTVGGFEIPKDTMLLVNVLAMHRDPKNWEDPNEFKPERFEGDLGEQHGYKFIPFGVGRRACPGAAMGIRMVSLALGLLIQCFEWEKDGLEKVDMSQSFGLSLSRAKPLVALCSPRQESVELISQI >Manes.13G103564.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30237077:30237701:1 gene:Manes.13G103564.v8.1 transcript:Manes.13G103564.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGPSWVVRTGRQDGRISSASEASAQLPSPFASINQLKQNFAAKGLSVKDLVVLSG >Manes.02G137601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10468010:10479949:1 gene:Manes.02G137601.v8.1 transcript:Manes.02G137601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFESGLTWFFISCFVAGFCLSGKVNGGYVKYNTGGGVVQGKLNVHLVAHSHDDVGWLKTVDQYYVGSNNRIQGACVENVLDSVVESLLRDANRKFVFAEMAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKGDKSLEVIWRASKTFGSSSQIFANAFPVHYSPPPGFHFEVFDYFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADGENAYWTGFFTSRPGMKRYVRQLSGFYLATRQLEFLVGKKSNGPSTYSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVISDSSGKTIEAQFVIMDNVTSNLRKFYLKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGNLKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYIFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFDDLRGVGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEEAWKASHLTEGTVMDPDYSLPLNVALITLQELDDGSVLLRLAHLYEEGEDANYSALAKVELKKMFSGKIIRELKEMSISANQYKSEMKRMTWKVEGESGDEASPVRGGPVDSSTFVVELGPMEIRTFLLKF >Manes.02G137601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10467846:10479810:1 gene:Manes.02G137601.v8.1 transcript:Manes.02G137601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFQRWWLEQSEEMQEQMRKLVDAGQLEFVNGGWCMHDEATTHYVDMIDQTTLGHLAIKQQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKGDKSLEVIWRASKTFGSSSQIFANAFPVHYSPPPGFHFEVFDYFVPVQDNPLLFDYNVEQRVNDFINAAITQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANEAWPLKTDDYFPYADGENAYWTGFFTSRPGMKRYVRQLSGFYLATRQLEFLVGKKSNGPSTYSLGDALGIAQHHDAVSGTAKQHTTDDYAKRLAIGASEAEATGNSALSCLVSNKSRDQCATTATFSQCQLLNISYCPPAEEAGVGKSLVIVVYNPLGWNRTEIVRIPVNDANLVISDSSGKTIEAQFVIMDNVTSNLRKFYLKAYLGLSSNQVPQYWLVFPVSVPPLGWSTYFIANAPAIGKRRNGLSVTESPLNETVEIGPGNLKMSFSSTTGQLKRMHNSKTGVDVPLQQSYLWYGSSSDLQQPSGAYIFRPDGSPPHIVARSVPLKVYRGSVVDEVHQQFNPWIYQVTRLYKEKEHAEIEYTIGPIPTEDSIGKEVITQMTANMVTDKVYYTDSNGRDFLKRIRDYRADWNLSVNQPQAGNYYPLNLGIYIEDKKSELSVLVDRATGGASIKDGQIELMLHRRTIFDDLRGVGEALDESVCVEDKCEGLTVRGNYYLSINQLGAGSIWRRTVGQEVYSPLLLAFTHEKEEAWKASHLTEGTVMDPDYSLPLNVALITLQELDDGSVLLRLAHLYEEGEDANYSALAKVELKKMFSGKIIRELKEMSISANQYKSEMKRMTWKVEGESGDEASPVRGGPVDSSTFVVELGPMEIRTFLLKF >Manes.01G001951.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1565220:1566667:-1 gene:Manes.01G001951.v8.1 transcript:Manes.01G001951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSKQSKRHVGERERERRVWVAVGRVSQNREKRHARSNISQNGALLQLFQSVPVSPFLPTHCSPLSLSPLPLSIFFLLLFNLLPFIQLFVVHLSSPFTSKA >Manes.12G153600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36125107:36131903:-1 gene:Manes.12G153600.v8.1 transcript:Manes.12G153600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPGQQLNLNESPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHDENAKRQKLQHPQQHGRIPPIQQSGQAHPQMRSGPNQPMHNSQPPVATGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGGGGYGSGPYPPQGRAPPYGSSGMTGAPRGGGSSGYGVGAPNYPQGAPYGSSGAGRGSNMMGGNRNQQYGWQQ >Manes.12G153600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36124911:36131957:-1 gene:Manes.12G153600.v8.1 transcript:Manes.12G153600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPGQQLNLNESPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHDENAKRQKLQHPQQHGRIPPIQQSGQAHPQMRSGPNQPMHNSQPPVATGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGGGGYGSGPYPPQGRAPPYGSSGMTGAPRGGGSSGYGVGAPNYPQGAPYGSSGAGRGSNMMGGNRNQQYGWQQ >Manes.12G153600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36124918:36131954:-1 gene:Manes.12G153600.v8.1 transcript:Manes.12G153600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPGQQLNLNESPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHDENAKRQKLQHPQQHGRIPPIQQSGQAHPQMRSGPNQPMHNSQPPVATGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGGGGYGSGPYPPQGRAPPYGSSGMTGAPRGGGSSGYGVGAPNYPQGAPYGSSGAGRGSNMMGGNRNQQYGWQQ >Manes.12G153600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36124706:36131971:-1 gene:Manes.12G153600.v8.1 transcript:Manes.12G153600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPGQQLNLNESPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHDENAKRQKLQHPQQHGRIPPIQQSGQAHPQMRSGPNQPMHNSQPPVATGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGGGGYGSGPYPPQGRAPPYGSSGMTGAPRGGGSSGYGVGAPNYPQGAPYGSSGAGRGSNMMGGNRNQQYGWQQ >Manes.12G153600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36124706:36131957:-1 gene:Manes.12G153600.v8.1 transcript:Manes.12G153600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAPGQQLNLNESPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHDENAKRQKLQHPQQHGRIPPIQQSGQAHPQMRSGPNQPMHNSQPPVATGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGGGGYGSGPYPPQGRAPPYGSSGMTGAPRGGGSSGYGVGAPNYPQGAPYGSSGAGRGSNMMGGNRNQQYGWQQ >Manes.17G027423.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16034653:16036755:1 gene:Manes.17G027423.v8.1 transcript:Manes.17G027423.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRSLTRLIDMAFPLHHHGFIFHSQEDNDHLLSPSSLNSLPSSCPPQLFPGGGGHFMMKRSMSFSGVEKCEEVHGDDDLSDDGSQIGEKKKRLNLEQVKALEKSFEMRNKLEPERKMQLAKALGLQPRQVAIWFQNRRARWKTKQLEKDYEILKKQFDVVKADNDALQALNKRLHAELMALRSSTESNSTEVNPKKETDQGSWSNASENGHDANLDISITTVATSPVSNSQLSTKLLFSTSIRPTSMTQLLQSSSPRPDLQCLKVDQLVQEENICNMFNGMEEQQGFWPWPEQQAPFSLNQTHFSKN >Manes.08G103700.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMEESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291837:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMEESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291850:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.44.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291836:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMEESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291850:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMEESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMEESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.08G103700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34281874:34291815:-1 gene:Manes.08G103700.v8.1 transcript:Manes.08G103700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRKLRGFALRYGDYKSRRDIRPSPPSDELAQASKDMQDIRNCYDSLLSAAAATANSAYEFSESLHEMGACLLEKTSLNDDEESGKVLLMLGKVQFELQKLVDSYRSHIFQTITIPSESLINELQTVEDMKRQCEEKRNAYEYMIMRQREKGRGRSGKAETFSLQQLQAAHDEYDEETTLFFFRLKSLKQGQSRSLLTQAARHHAAQLCFFKKALKSLEELEPHVKLVTEQQHIDYHFTGLEDDDRDDVDGDNDEDDDTYDAPDDGELSFDRGQNDQERDVVSTEQNSMELDMMDVTFPQAATLHVAKESLDSRYEKSFSFKGENRKISQSAPLFAENKSDPAERLKQMQPSSSRRLNTYVLPTPVEPKSSNTTATGSQVHQNLKTGLSGHNLWHSSPLEQKKCEKLTGDDKFCGSTVKNAHSVLRKSNKNTASTQLPPPLAEGLLISRLDSKKIKRFSFSGPIPSKSWPTKPVNTEPPGSFSGSLFQNPTVQLPSSPKVSPKVSPTASPTFVSSPKISELHELPRPPSSSTPKSSRPLAVHSSLLAPKGHMHPRGKSLVSNSASPLPTPSHVVSRSFSIPSSSFRAMAMNISKPLETTQNSEMPQAKVSPPLTPVSLSNIQP >Manes.10G072500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:13436979:13438695:1 gene:Manes.10G072500.v8.1 transcript:Manes.10G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTDSVLSLYSNEENWIIMMKMLLNKEELVCDIDNPPYCISKAPKAIRSIKEEAVVPQRIAIGPYHHFKSNLYKMEKCKLEHVKVAHQHLQLPSFELLVDHFQTNATRLRGYYQEVLDVSDDTLSWIMVIDGLFLLQLICNEDPLEFVDSSGRSVTMETILRDVIMLENQIPFFVLENLLAESNHATKIPSLFTLAMKFLPKISPMELQGIFMEERHGNALHLLDFVYNLVCVGGSPVPSTTLDFAVETISLTVWSTLWNTVKTLNFSFLALPVKIIDSTLNVLASMGISFSLQIVEEKALIPTASELSKVKVKLSNTAYGIRTIHFSHADTTLHLPKLTMNINSGTTIGNLLAYEAVAKPETPNFARYMQMMAAMVHTGEDLELLKSQNILKHEGESGNVVKLFNGLRHVLIPEGQSDLDFCIKNINDYYNSRGKIRVKNFIKQLAHSSWRALTVLAIILVLALMALQNFCSIYSCQGLFMASKNNLISSF >Manes.09G062200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10507270:10508715:-1 gene:Manes.09G062200.v8.1 transcript:Manes.09G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQQVIMDSIKGKRSKRQRLPSPLRLAFTSSSSSAGDGGVERVDNNMSSSTSPSTSIEFAESTGGEEEDMANCLILLAQGSVHHQSPKLSEPPAAVALSTTAAPANKVSSGSSYVYQCKTCNRSFPSFQALGGHRASHKKPSNKAHNEVIEEVEDRQLLNAMSTNLSLQMPMNIKTNKVHECSICGAEFSSGQALGGHMRRHRAAFATSSTTTRSLVTSSPESQESKKPRNSLLLDLNLPAPEDDLRESNLRFTSKEQVLVFSPSSLVDCHY >Manes.03G134200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26314140:26318012:-1 gene:Manes.03G134200.v8.1 transcript:Manes.03G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIIQVDAAPFKQWYLQHYGVDIGRKKKTAAAKKEGEEGEAATEEVKKSNHVLRKLEKRQQTRKLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAGAA >Manes.06G016500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2707843:2732994:-1 gene:Manes.06G016500.v8.1 transcript:Manes.06G016500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKRGRRKGSKNKLSPEITKMLGDATVLYAHGRYEDAISVLNEVVRLAPHVPDSYHTLGLVHIALGNTEKAMGFYTIAARLMPKDSPLWRVLFDWHNERGDVARARLCLSKAIRADPNDIALRVLHASLYAKLGDCQRAAESYEQISRVCPEDVEVLKISAKLYAECGQTERSISILENHLKSHPSGADFGVIDLLAAILMETNAYNNALQHIEHAHQVYYSGKELPLELKIKAGICHVRLGNIEKAEIMFSDIETESDSSHAGLIMDVADAFMSLGHFESALKYYHMLESNAGIENEGYVHLKVGQCYISLEDRVKAVMFFYKALHALEDSVDCRLALASLVLEDGKEDEAISLLSPPENLDSVNLSSDKQKAWWLDGKIKLKLCQIYRAKGMLEDFVNTIFPLVRESLYVKTLRQKVKKRLTISVLRQRTKILDVGETVDVFGGVRPLASRSDLLKASRARKLLQKKEEQKAVERAAGIDWHSDDSDDESLEEEIRVPPLPNFLKDEEHHNLIIDLCKALQSLERYWEALEIINLTRKLVYKKLPVEKKEELQSIAAQISYRTTDPKHGFDCVKSIVLQHPDSHAAWNCYYKIALRLGKNYSKHAKFLRHMRTKHDDCVPPIVIYGHQFTMASHYQDAVREYLAAYKLLPENPLVNLCVGTAFINLALGFRLQNKHQCVAQGLSFLYNNLRLAENSQVSLQEAFYNIACAFHHVGLVSLAASYYEKVLETRERDYPIPKLLNENSDPPENLNPGYCDLRREAAYNLHLIYKRSGAFDLARQVLKDHCTY >Manes.06G016500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2707843:2732994:-1 gene:Manes.06G016500.v8.1 transcript:Manes.06G016500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNKGNDMSDFDGFALDMSSEEEEEEEVVVVEEDEDEDEDEDEGDDGEFEEQEKEAISLGRIEGDGAADGSGIQFYQQFDHVEYEALAAKKRKGLGDSKGAGSHKKARQEDLSGASIDEIMEAMNYGIRRKSRKLKKRGRRKGSKNKLSPEITKMLGDATVLYAHGRYEDAISVLNEVVRLAPHVPDSYHTLGLVHIALGNTEKAMGFYTIAARLMPKDSPLWRVLFDWHNERGDVARARLCLSKAIRADPNDIALRVLHASLYAKLGDCQRAAESYEQISRVCPEDVEVLKISAKLYAECGQTERSISILENHLKSHPSGADFGVIDLLAAILMETNAYNNALQHIEHAHQVYYSGKELPLELKIKAGICHVRLGNIEKAEIMFSDIETESDSSHAGLIMDVADAFMSLGHFESALKYYHMLESNAGIENEGYVHLKVGQCYISLEDRVKAVMFFYKALHALEDSVDCRLALASLVLEDGKEDEAISLLSPPENLDSVNLSSDKQKAWWLDGKIKLKLCQIYRAKGMLEDFVNTIFPLVRESLYVKTLRQKVKKRLTISVLRQRTKILDVGETVDVFGGVRPLASRSDLLKASRARKLLQKKEEQKAVERAAGIDWHSDDSDDESLEEEIRVPPLPNFLKDEEHHNLIIDLCKALQSLERYWEALEIINLTRKLVYKKLPVEKKEELQSIAAQISYRTTDPKHGFDCVKSIVLQHPDSHAAWNCYYKIALRLGKNYSKHAKFLRHMRTKHDDCVPPIVIYGHQFTMASHYQDAVREYLAAYKLLPENPLVNLCVGTAFINLALGFRLQNKHQCVAQGLSFLYNNLRLAENSQVSLQEAFYNIACAFHHVGLVSLAASYYEKVLETRERDYPIPKLLNENSDPPENLNPGYCDLRREAAYNLHLIYKRSGAFDLARQVLKDHCTY >Manes.06G016500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2707843:2732994:-1 gene:Manes.06G016500.v8.1 transcript:Manes.06G016500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKRGRRKGSKNKLSPEITKMLGDATVLYAHGRYEDAISVLNEVVRLAPHVPDSYHTLGLVHIALGNTEKAMGFYTIAARLMPKDSPLWRVLFDWHNERGDVARARLCLSKAIRADPNDIALRVLHASLYAKLGDCQRAAESYEQISRVCPEDVEVLKISAKLYAECGQTERSISILENHLKSHPSGADFGVIDLLAAILMETNAYNNALQHIEHAHQVYYSGKELPLELKIKAGICHVRLGNIEKAEIMFSDIETESDSSHAGLIMDVADAFMSLGHFESALKYYHMLESNAGIENEGYVHLKVGQCYISLEDRVKAVMFFYKALHALEDSVDCRLALASLVLEDGKEDEAISLLSPPENLDSVNLSSDKQKAWWLDGKIKLKLCQIYRAKGMLEDFVNTIFPLVRESLYVKTLRQKVKKRLTISVLRQRTKILDVGETVDVFGGVRPLASRSDLLKASRARKLLQKKEEQKAVERAAGIDWHSDDSDDESLEEEIRVPPLPNFLKDEEHHNLIIDLCKALQSLERYWEALEIINLTRKLVYKKLPVEKKEELQSIAAQISYRTTDPKHGFDCVKSIVLQHPDSHAAWNCYYKIALRLGKNYSKHAKFLRHMRTKHDDCVPPIVIYGHQFTMASHYQDAVREYLAAYKLLPENPLVNLCVGTAFINLALGFRLQNKHQCVAQGLSFLYNNLRLAENSQVSLQEAFYNIACAFHHVGLVSLAASYYEKVLETRERDYPIPKLLNENSDPPENLNPGYCDLRREAAYNLHLIYKRSGAFDLARQVLKDHCTY >Manes.06G016500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2707843:2732994:-1 gene:Manes.06G016500.v8.1 transcript:Manes.06G016500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNKGNDMSDFDGFALDMSSEEEEEEEVVVVEEDEDEDEDEDEGDDGEFEEQEKEAISLGRIEGDGAADGSGIQFYQQFDHVEYEALAAKKRKGLGDSKGAGSHKKARQEDLSGASIDEIMEAMNYGIRRKSRKLKKRGRRKGSKNKLSPEITKMLGDATVLYAHGRYEDAISVLNEVVRLAPHVPDSYHTLGLVHIALGNTEKAMGFYTIAARLMPKDSPLWRVLFDWHNERGDVARARLCLSKAIRADPNDIALRVLHASLYAKLGDCQRAAESYEQISRVCPEDVEVLKISAKLYAECGQTERSISILENHLKSHPSGADFGVIDLLAAILMETNAYNNALQHIEHAHQVYYSGKELPLELKIKAGICHVRLGNIEKAEIMFSDIETESDSSHAGLIMDVADAFMSLGHFESALKYYHMLESNAGIENEGYVHLKVGQCYISLEDRVKAVMFFYKALHALEDSVDCRLALASLVLEDGKEDEAISLLSPPENLDSVNLSSDKQKAWWLDGKIKLKLCQIYRAKGMLEDFVNTIFPLVRESLYVKTLRQKVKKRLTISVLRQRTKILDVGETVDVFGGVRPLASRSDLLKASRARKLLQKKEEQKAVERAAGIDWHSDDSDDESLEEEIRVPPLPNFLKDEEHHNLIIDLCKALQSLERYWEALEIINLTRKLVYKKLPVEKKEELQSIAAQISYRTTDPKHGFDCVKSIVLQHPDSHAAWNCYYKIALRLGKNYSKHAKFLRHMRTKHDDCVPPIVIYGHQFTMASHYQDAVREYLAAYKLLPENPLVNLCVGTAFINLALGFRLQNKHQCVAQGLSFLYNNLRLAENSQVSLQEAFYNIACAFHHVGLVSLAASYYEKVLETRERDYPIPKLLNENSDPPENLNPGYCDLRREAAYNLHLIYKRSGAFDLARQVLKDHCTY >Manes.06G016500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2707843:2732994:-1 gene:Manes.06G016500.v8.1 transcript:Manes.06G016500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRMRMKMRVMMVNLKSRRKKQFHLVELKVMVLLMVQEFNFINNLIMLNMKLLLQKSVKDLVIVRGSHKKARQEDLSGASIDEIMEAMNYGIRRKSRKLKKRGRRKGSKNKLSPEITKMLGDATVLYAHGRYEDAISVLNEVVRLAPHVPDSYHTLGLVHIALGNTEKAMGFYTIAARLMPKDSPLWRVLFDWHNERGDVARARLCLSKAIRADPNDIALRVLHASLYAKLGDCQRAAESYEQISRVCPEDVEVLKISAKLYAECGQTERSISILENHLKSHPSGADFGVIDLLAAILMETNAYNNALQHIEHAHQVYYSGKELPLELKIKAGICHVRLGNIEKAEIMFSDIETESDSSHAGLIMDVADAFMSLGHFESALKYYHMLESNAGIENEGYVHLKVGQCYISLEDRVKAVMFFYKALHALEDSVDCRLALASLVLEDGKEDEAISLLSPPENLDSVNLSSDKQKAWWLDGKIKLKLCQIYRAKGMLEDFVNTIFPLVRESLYVKTLRQKVKKRLTISVLRQRTKILDVGETVDVFGGVRPLASRSDLLKASRARKLLQKKEEQKAVERAAGIDWHSDDSDDESLEEEIRVPPLPNFLKDEEHHNLIIDLCKALQSLERYWEALEIINLTRKLVYKKLPVEKKEELQSIAAQISYRTTDPKHGFDCVKSIVLQHPDSHAAWNCYYKIALRLGKNYSKHAKFLRHMRTKHDDCVPPIVIYGHQFTMASHYQDAVREYLAAYKLLPENPLVNLCVGTAFINLALGFRLQNKHQCVAQGLSFLYNNLRLAENSQVSLQEAFYNIACAFHHVGLVSLAASYYEKVLETRERDYPIPKLLNENSDPPENLNPGYCDLRREAAYNLHLIYKRSGAFDLARQVLKDHCTY >Manes.11G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:919406:925131:1 gene:Manes.11G008600.v8.1 transcript:Manes.11G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNVCNGMQSTVPKVKASKSLSLSGKKMKHLLFEYYGTCNSEEGFRLLKDYATRQFNAFLWISLVTITALLLEKLFKLFSLWSKARRIAGPPCSSFFGHNHFGSRGNFIDLLSELHNKYGSVFKLWLGPTQLLVSIKDPELIKEMLLKAEDKLPITGKAFRLAFGQSSLFFCSYEQAEKRRESLALQLNEKLLGRADIFPKSVIDSIMERIDEIMSEGGVDCKIVSQHMAFTILGTTLFGEAFLAWSKANFYEDLLMMIAKDASFWASYRVTPFWKQGFWRYQSLCTNLKYLTQDMVQQCRKNCKLFHHVDQNSKFETVKYGMKTASGIPSSGVVLQDKFSRRLDGHLNSKEDPCGNIMGMMFHGCIATAGLVSSILERLVTTPEIQDKIYSEIIMVRHGLSEDAQNVDKMVLLLATIYESARLLSPGPLLQRCSLKDDLRLKNGVTIPAGAVLVVPVHLLQMDETSWGSDASKFNPYRFLSKIGKATDSEQNISFAEVAEGSVDPTRSSFILKDPNDNAAFLSFGSGIRACVGEKFVIRGVATLFASLLERYEVRRQPRLGNDPKSSGPEMVFVRRNSQEED >Manes.03G137901.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26403670:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSQGLNLPESPFASPIASSISTVI >Manes.03G137901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26403597:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSLSQLFVLDPTSFRLGIVYLYLCCAVKD >Manes.03G137901.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26403670:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSSSS >Manes.03G137901.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26404990:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSQGLNLPESPFASPIASSISTVI >Manes.03G137901.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26405041:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSSSS >Manes.03G137901.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26405041:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSSSS >Manes.03G137901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26403748:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSLSQLFVLDPTSFRLGIVYLYLCCAVKD >Manes.03G137901.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26405041:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSQGLNLPESPFASPIASSISTVI >Manes.03G137901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26404990:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSLSQLFVLDPTSFRLGIVYLYLCCAVKD >Manes.03G137901.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26405051:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSQGLNLPESPFASPIASSISTVI >Manes.03G137901.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26404244:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSQGLNLPESPFASPIASSISTVI >Manes.03G137901.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26404990:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEG >Manes.03G137901.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26400404:26403670:-1 gene:Manes.03G137901.v8.1 transcript:Manes.03G137901.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEHTRIVFNRIKKLEPENVTKIIGYLLLQDGGDQEMINLAMSPDVIIQEVIYKAKAELNQLALKSATSPISPPMNSPPVSDLSSQFNPFFTVLSRSFLSSPPAFRVPSSYWESQVGAQHNSDFASMGYLDSVSELQNQTQFLSLEDQMEPVNLGNMGFPSDYFNSDTALGHLAARTGRRYSSLNEIPVKTCHYFNKGFCKHGSNCRYYHGQVSDNFPRTFDAINDDQLFSPGSLKKLELEIIELLKSRKGGPVSIASLPMIYYEKYGKVLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRCILPHGQHAVILAEDVPKYMETWGDRNDPGPIVSGSRQIYLTFPAESTFTEDDVSNYFNTFGSVEDVRIPCQQKRMFGFVTFESAETVKMILAKGNPHFVCGARVLVKPYREKSKLIDRKYQERIEPSMCFSSQYVDMDAELHPMPRGYETSRLLRKQLMEEQEQALEFQRRQLAELRIARKPISNRSYFGYSMDGLKVSEDNINLPTTEDFNYLLDFLNIGSVSDDKFRRTETNYMDSDSSSS >Manes.02G193200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15607319:15612007:-1 gene:Manes.02G193200.v8.1 transcript:Manes.02G193200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSQACSSSYWQIILLQVFLWNLGSGLSMVNTLASSSSRKFVSAIGDPGMKSPNVRVALEAWNFCNEVGFEAPNMGSPRLADCADLSCPPSSDYPSLNNFYTKSKCEAHHKVNDSDNNLGVGDKFPIEGFESYEDPDMFAVQKELYLASLCEVHESSKPWQFWMIMLKNGNFDKNTTLCPENGKKVTKIITDRNFPCFGEGCMNQPLVYHNYSQLVFHGNKRVSLTGGFYGTYDLNADLSKGIGNNSYFSVIWQKNLSTGSWIFSHKLTTSAKYPWLMLYLRADATEGFNGGYHYNGSGIMKKIPESPNFKVRLTLNVTRGGGDSSQFYLLDIGSCWKNNGDPCDGDVLTDVTRYSEMIINPATTSWCRPNNLVSCPPYHVSPTGEIIHRNETSRFPYSAYHLYCSPGNADYMEKPYDICDPYSNPQAQELVQILPHPEWAVHGYPEKKGDGWIGDSRTWELDVGALSSRLYFYQDPGTKPARRVWSSINVGTEVYISKTGDRAEWTVSDFDVLVPEDDGYGGYSSY >Manes.10G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1213178:1216071:-1 gene:Manes.10G011400.v8.1 transcript:Manes.10G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLATGGHLQPKFVNLQARHATGFEVEKLYFSKFRPHLNNRITCAFSPLEKVAFTCKEHEKNWPLVKMCGITSAEDAAMAAEAGANFIGMILWPNSKRSISLSKAKEISKVAREYGAKPVGVFVDDDADTILRAADACDLEFVQLHGNGSRAAFLDLVQEKQIVYVLQANADGDLLNQISDEDCSTVDWILVDSATGGSGKGFNWAQFKLPPIRSKHGWLLAGGINPNNVCEALTTLKPHGVDVSSGICGSDGIKKDQSQISSFMNAVRSVQY >Manes.10G011400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1213178:1216071:-1 gene:Manes.10G011400.v8.1 transcript:Manes.10G011400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLATGGHLQPKFVNLQARHATGFEVEKLYFSKFRPHLNNRITCAFSPLEKVAFTCKEHEKNWPLVKMCGITSAEDAAMAAEAGANFIGMILWPNSKRSISLSKAKEISKVAREYGAKPVGVFVDDDADTILRAADACDLEFVQLHGNGSRAAFLDLVQEKQIVYVLQANADGDLLNQISDEDCSTVDWILVDSATGAAKDLTGLSLSYHQLEASMGGSWQEGSTLTMFVKR >Manes.02G047166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3851617:3852087:1 gene:Manes.02G047166.v8.1 transcript:Manes.02G047166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCFLRVLLVLALLALTVSQGFSRKVMETAEAGGDSLVQAEEIGGKSREVVAVMDYQLDPGPNTNPKTGFIFGPPPQG >Manes.02G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9275687:9281313:1 gene:Manes.02G121900.v8.1 transcript:Manes.02G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSKDIQILVWRILNFFMNICCRFVQNHPFVSSALLFSFVVYFLFPKLFLYLLYSSPLLVCTAVFIRFYLHSQCSKTQSDLRDHAISSTKSQPRTADLVLSGDDKSSTQSKTLSHKNVKEKREELESQAVMEEKNRVSSNDDSIGRAVVNEGKSRGITEKDHEKVVSRDASIGENIAALGEASNPSLSSLDGLEARAAKCGGGGGGEAEVENSSSDEEETQRSRNKQPMEWTEDDQQNLMDLGISELERNKAMESLIARRKERKLYKMRTDKFLINLSRNKIEVGPALVARGNPFDVHHNPAERFPGSAPSVLLPTRNPFDLPYDPLEEKPDLLADSFQQEFMPAHPKDMLFCRHESFILGPSFPLESKHNDYNIAGVTRLRRPQDTGDEDRPIDNLLSQNGETLHRTISVTDLVTEGEEGEEVEEAAAAEEVEEAEAEAEEEEEEEEEEAEAEEEKEGKYSNQISNKLEEDGEAYHNVMETKEKMTENTHDMDSSLGIGNNMKTRTNTINRNKNKSSSSPLSGNTKSIIKPDKLEIQPPIFRFPDVMSPSPSSVPCPIPKARSVNELSNAVSPSTIYRSRLENHLLYTDNLPLHTPTHSIASDMQVEFSEIGSPPLTGDGSVSSRDADSLTFDGDIEKDGSEEIWASLPYASTPQEREMTGGFSGFHKDPEDPLASSSRIEIPQEGHTHLINSNHKIFNDVEQAVEAVGEQRPSNAVHAVSQEKSMGGTCSLLEGYTQNPQASSSPPEKSAEELNIIYDMDVPFHAFDDMEGPKSVEDGNAGAETSAVGDISKVDEVIYSESSKRSKRNSLNTPELSGKEVNVACSMDEHLSEPDKENIIVASKHIESKPSKSPGMPAEEVNIPYNIDDSLVHIDVTKPEMKPSENRDRIEKCIEQEVLVDLTKQAEERTSEIGKHTENDSDKPPDNQPIIESLMPTAEDNNSNEGKIDQIPSRDVKKEPSAGEVQVSGVNQRLNDPSTSWMQQETTVEQASTVSSFSSPLKSVLPERSPLDQSPSELNRHIRTDVSESDMEEMIVRKSLLDEQATEHVAHSAPHNVHHVTDHPFTESMSEISEETSVSLKKSTDEAREMFNISKAVIGDGDAKEDLKSTNTTHGEPEASINSEDAKELSKTPVENIPEFTVKEEALPQNCTPINDAVVDDVMSKEKLELAADKKADTTEISKAMEDPSSGHSKDTKAESGNMTEDAVGIFHLQPAGEGSTSNEIRNIEDVASREGNDNPKVVEVSKVDPQI >Manes.06G088001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22430558:22430713:1 gene:Manes.06G088001.v8.1 transcript:Manes.06G088001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLEYLSYLITSSGHKHKKKKKKQLQTVELKVRMDCDGCEERGNFGF >Manes.02G117900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9019579:9029976:-1 gene:Manes.02G117900.v8.1 transcript:Manes.02G117900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLDLESQDGVRMPWNVLPGTKQEASNCVVPVSAIYTPIKRFPDLPVLPYDPLRCRTCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDDNLPAELFPQYTTIEYETPAEKSSSSSVFMFVVDICIIEEEMAFLKSALSQAIDLLPDNSLVGLITFGTFVHVHELGFGQIPKTYVFKGSKDVSKDQLLDQMGFFLKKPKPPTGVIAGARDGLSSESISRFLLPASECEFTLNSVLEELQKDPWPVPSDQRATRCTGTALSVAASLLGACVPGSGARIMAFIGGPSTEGLGAIVSKDLSEPIRSHKDLDKDSDPHYHKAVKLYEGLSKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLRRIFQSDDYDLGLSSNGVFEINCSKDIKIQGIIGPCSSLEKKGPLCSDTVIGQGNTSAWKMCGLDKATTLCIIFEIVKKDGPDVSIQPSSNQFYFQFLTYYQHSSGQMRLRVTTLSRRWVAGSGSTQDLVAGFDQEAAAVAMARLVSFKMEIEAEFDPIRWLDKALIHLCSRFGDYQKDSPSSFSLSPRLSIFPQFMFNLRRSQFVQ >Manes.02G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9019579:9029976:-1 gene:Manes.02G117900.v8.1 transcript:Manes.02G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFLDLESQDGVRMPWNVLPGTKQEASNCVVPVSAIYTPIKRFPDLPVLPYDPLRCRTCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDDNLPAELFPQYTTIEYETPAEKSSSSSVFMFVVDICIIEEEMAFLKSALSQAIDLLPDNSLVGLITFGTFVHVHELGFGQIPKTYVFKGSKDVSKDQLLDQMGFFLKKPKPPTGVIAGARDGLSSESISRFLLPASECEFTLNSVLEELQKDPWPVPSDQRATRCTGTALSVAASLLGACVPGSGARIMAFIGGPSTEGLGAIVSKDLSEPIRSHKDLDKDSDPHYHKAVKLYEGLSKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLRRIFQSDDYDLGLSSNGVFEINCSKDIKIQGIIGPCSSLEKKGPLCSDTVIGQGNTSAWKMCGLDKATTLCIIFEIVKKDGPDVSIQPSSNQFYFQFLTYYQHSSGQMRLRVTTLSRRWVAGSGSTQDLVAGFDQEAAAVAMARLVSFKMEIEAEFDPIRWLDKALIHLCSRFGDYQKDSPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRIILNRENVANSVVMIQPSLISYSFHSGPEPALLDVAAIAADRILLLDSYFTVVIFHGATIAQWRKAGYHNQPEHQAFAQLLQAPHDDAAVIIKERFPVPRLVVCDQHGSQARFLLAKLNPSATYNSDAPLPGGDVLFTDDVSFEVFLDHLQRLAVQ >Manes.17G015186.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8129394:8130855:1 gene:Manes.17G015186.v8.1 transcript:Manes.17G015186.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLAAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWHRKSCGGGCKTVLPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKFIPRGC >Manes.09G039100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7254304:7254888:-1 gene:Manes.09G039100.v8.1 transcript:Manes.09G039100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLPNFRFLLLSSIFLLSYSTLATAKSNGFSRILSPATLGLKKEKLTHLRFYFHDIVSGRNATAVPVAKAAMTNTSLSAFGLVTMMDDPLTLEPHRSSKLIGKAQGIYASASQTELSFLMVLNFAFTEGKYNGSNLSVLGRNSIFSGVREMPIVGGSGLFRFARGYAQAKTHDLDFKTGDAVVEYNVYVFHY >Manes.16G099100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30508726:30515314:1 gene:Manes.16G099100.v8.1 transcript:Manes.16G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGILKPNINLKLSLPPPDEVSKFLTRSGTFMDGDLLVNRYGVRTVSQSESEAPPPIKPTDNQLNLADIDAIKVIGKGSGGIVQLVQHKWTGQFFALKVVQMNIDANARKAIAQELKINQSSQCPYVVMCYQSFYDNGAISIILEYMDGGSFADLLRKVKTIPEPYLAAICKQVLKGLLYLHHEKHIIHRDLKPSNLLLNHRGEVKITDFGVSAIMASTSGQANTFIGTYNYMSPERIIGGRYGYKSDIWSLGLVLLECATGQFPYSPPQKDEGWVNVYELMEAVVEQPQPCAPSDQFSPEFCSFISSCVQKDPKDRLSANELMTHPFVNMYDDLHIDLSSYFTNSGSPLANLNI >Manes.16G099100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30508726:30515315:1 gene:Manes.16G099100.v8.1 transcript:Manes.16G099100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGILKPNINLKLSLPPPDEVSKFLTRSGTFMDGDLLVNRYGVRTVSQSESEAPPPIKPTDNQLNLADIDAIKVIGKGSGGIVQLVQHKWTGQFFALKVVQMNIDANARKAIAQELKINQSSQCPYVVMCYQSFYDNGAISIILEYMDGGSFADLLRKVKTIPEPYLAAICKQVLKGLLYLHHEKHIIHRDLKPSNLLLNHRGEVKITDFGVSAIMASTSGQANTFIGTYNYMSPERIIGGRYGYKSDIWSLGLVLLECATGQFPYSPPQKDEGWVNVYELMEAVVEQPQPCAPSDQFSPEFCSFISSWYRLCGAS >Manes.15G179664.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:17002803:17004882:1 gene:Manes.15G179664.v8.1 transcript:Manes.15G179664.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPFSSFKTAVAAIDSNDLSSSSPNPPDKQQANKYYFVVANAKFMLDEEEHFKELLFERHRNYGERNKEQDFWLVIEPKFLDKFPNISKRLKRPAVALVSTNGPWIT >Manes.15G179664.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:17002803:17004882:1 gene:Manes.15G179664.v8.1 transcript:Manes.15G179664.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQANKYYFVVANAKFMLDEEEHFKELLFERHRNYGERNKEQDFWLVIEPKFLDKFPNISKRLKRPAVALVSTNGPWIT >Manes.15G179664.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:17003615:17004882:1 gene:Manes.15G179664.v8.1 transcript:Manes.15G179664.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQANKYYFVVANAKFMLDEEEHFKELLFERHRNYGERNKEQDFWLVIEPKFLDKFPNISKRLKRPAVALVSTNGPWIT >Manes.13G141400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35496614:35497084:1 gene:Manes.13G141400.v8.1 transcript:Manes.13G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAIPYKSWVPLYTTTTNPLTRPPPALTLSRTTANDNEQFLKGSKNMVSMVQENAIIVFARRGCCMSHVVKRLLLGLGVNPPIYEIDEEDEISVLQELEMIVNKIVGGGNDNVKKVQLPGVFIGGKLFGGLDRLMATHISGELVPILKEAGALWL >Manes.09G076414.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13237106:13243293:1 gene:Manes.09G076414.v8.1 transcript:Manes.09G076414.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAAVSPHLNRLLQPASFPSVNNAFRGFSAADTSLRDKMMKQMAAGSCAKILKEPTSKYCLVKLPLGVEKLIDSQCRVTIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.01G204100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37714636:37719079:-1 gene:Manes.01G204100.v8.1 transcript:Manes.01G204100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAMSNSTSLSEDASVSSGNRVQDFCGLNPVVSTVSPQQQQQPKIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEIKKRAYVCPEPSCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSAHQLVSANPTAQTLLLQNPQPNPSLLPLTTHHHHQLSLNPWDPPPQNPSDPPQNPVQIKPETHQFQLAPLFQEPPLSLPTHKGLMTSPFQNLSNPAVSASSHHLSATALLQKAATVGATQSVGLSHMTQLDMGEFRAVSQTAGDSVGQNPCQGSYLDNLAAWQKNDRLTRDFLGLKGEGHAANGNGNVANGNGGVNVSINMRGMLTYTGGVGLQQYSERDHTMSKPRGFAYPQQPPPASETWGDC >Manes.02G044800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3688838:3696097:-1 gene:Manes.02G044800.v8.1 transcript:Manes.02G044800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPYTRGSAWEQPPPDLASYLFKNQIVYMGMPLVPSVTELILAEVLYLQYEDEETPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAATSGCWVEGKSVCSTFINYHDKAANWKISRSSNRCQPREKEVTNVKTELVNLLVKNIGKSPGQIEADISHPKYFNPGEAVEYGIIDKILYNQHKGWCGWMTTQGYHRYVR >Manes.13G022000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3156244:3159020:-1 gene:Manes.13G022000.v8.1 transcript:Manes.13G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSLGAHRLVYRARIAFCVKTGLIENAIQMFDEMTQSNCRVFSVDFNRLIGVLIRHSLFDFAHQYYTKMGPLGFSLSPFTYSRFISGLCQVKNFTLINELLHDMEKLNYVPDFWAFNIYLNLLFREGMIELALEVFERMVERGREPDVVTYTIIVDGLCKIKKYNTAIWFWRDMINKGLRPDNKACYALVVGLCGGGKVELAYELTIDVMKSGQVKFSTTIYNTLISGFCRAGRIDKARAIKSFMRRNGSEPDLVTYNVLLNYCCDELMLEEAEKLIKKMERSGIEPDVYSYNQLLKGFCKANRPDEAYLLMNKMGSKGLCDVVSYNTIIKAFCEGSDVRRAYKLFQEMRQKGIPPDLVTFTILAKALLRQGFSNIAKKLVDQMTEIGLSPDRIFYTTIMDHLCKSGKVDMAHTLFCDMIEQGISPDVTAYNALINGFCKAFRVSEALHLFEEMESRGYYPDEVTYKLIIGGLIAEKKLSVACRVWGQMMEKGLTLDRASSETLISASSREFAST >Manes.14G052401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4456304:4459044:-1 gene:Manes.14G052401.v8.1 transcript:Manes.14G052401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKSSLEFLAHPEGYCPSRSAVAKFQAEVVYAEFMKRWNIGVYFSLRFQEIAGALDSALAATILVPAQNSHSGHGKLQDLTLKQSAVLLESLRSCWREDALIISCSDKFLHLSLQLLARFSSWLFSGLAARKTSNAGSNSAYEWVLSAVPDDFVYIIHGITCLATEVCGDYLDHVLQCLSSCSADTLDLVKQSILHGGESLDDISPLAINAIIEILVDKAVEDLGLVKGITATYRMTNKPLPIRYSPYVSGVLRPLKAFSDGERAMTYLTKESRNELLLGGATDTTSRHYEWAAELVSVARKTESSLERIRQGAQRRAGASSDVSDQSVSDTDKICMQLLLDVQQEQVISFIMGNHLGGIVVSMLAIQ >Manes.15G147750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12187879:12190626:-1 gene:Manes.15G147750.v8.1 transcript:Manes.15G147750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCLLQIIILIFSFLRTNWHKEAVKAEEHIRNWGGSEEAQQNSSENNVNGDEFVLERTR >Manes.17G028100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19771423:19776033:1 gene:Manes.17G028100.v8.1 transcript:Manes.17G028100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFHALLFLSLKLKAEANCKTGCDLALASYNVWAGVNLTYISNLFSKSITEILPYNPHVPNQDSILTDTRINVPFSCDCLNGDFLGHTFTYNTQPGDTYNKIATIAFANLTTEDWVHRVNIYDPTRIPDHASINVTVNCSCGDKRVSKNYGLFTTYPLRPGENLSFGLPADLLQRYNPGMNFSAGTGIIYVPAKDSTGNYPPLKISAAGISSRVIAGISIAGVAGALLFASFIYFRFYKKNKVHKSLLSETTEHHYSQHGRGITVDKSVEFSYEELAKATHDFSMANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVESSLFLVYEFIENGNLSQHLRGSERDPLPWVTRVQIALDSARGLEYIHEHTVPVYIHRDVKSANILIDKNFRGKVADFGLTKLTEYGSASVHTRLVGTFGYMPPE >Manes.17G028100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19771423:19776033:1 gene:Manes.17G028100.v8.1 transcript:Manes.17G028100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFHALLFLSLKLKAEANCKTGCDLALASYNVWAGVNLTYISNLFSKSITEILPYNPHVPNQDSILTDTRINVPFSCDCLNGDFLGHTFTYNTQPGDTYNKIATIAFANLTTEDWVHRVNIYDPTRIPDHASINVTVNCSCGDKRVSKNYGLFTTYPLRPGENLSFGLPADLLQRYNPGMNFSAGTGIIYVPAKDSTGNYPPLKISAAGISSRVIAGISIAGVAGALLFASFIYFRFYKKNKVHKSLLSETTEHHYSQHGRGITVDKSVEFSYEELAKATHDFSMANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVESSLFLVYEFIENGNLSQHLRGSERDPLPWVTRVQIALDSARGLEYIHEHTVPVYIHRDVKSANILIDKNFRGKVADFGLTKLTEYGSASVHTRLVGTFGYMPPDLRMF >Manes.17G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19771423:19776033:1 gene:Manes.17G028100.v8.1 transcript:Manes.17G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFHALLFLSLKLKAEANCKTGCDLALASYNVWAGVNLTYISNLFSKSITEILPYNPHVPNQDSILTDTRINVPFSCDCLNGDFLGHTFTYNTQPGDTYNKIATIAFANLTTEDWVHRVNIYDPTRIPDHASINVTVNCSCGDKRVSKNYGLFTTYPLRPGENLSFGLPADLLQRYNPGMNFSAGTGIIYVPAKDSTGNYPPLKISAAGISSRVIAGISIAGVAGALLFASFIYFRFYKKNKVHKSLLSETTEHHYSQHGRGITVDKSVEFSYEELAKATHDFSMANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVESSLFLVYEFIENGNLSQHLRGSERDPLPWVTRVQIALDSARGLEYIHEHTVPVYIHRDVKSANILIDKNFRGKVADFGLTKLTEYGSASVHTRLVGTFGYMPPEYAQYGDVSPKIDVYAFGVVLYELISAKEAVVKTNEIVTESKGLVALFEDVLIEPDPKEDIRELVDPRLGDSYPLDSVCKMAQLAKACTQENPQLRPSMRSIVVALMTLSSSTEDWDVGSLYENQALVNLMSGR >Manes.05G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3922577:3926922:-1 gene:Manes.05G047100.v8.1 transcript:Manes.05G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGRRGIHILQKLNAANIPAELIEKGQNRVIDASLTLIRERAKLKGELVRALGGAVASSSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYHAFEGNAYSHASSFARIMEGGYARRLLQVGIRSITKEGQAQGKRFGVEQFEMRTFSRDRQLLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Manes.17G094000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30196209:30196691:1 gene:Manes.17G094000.v8.1 transcript:Manes.17G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSFYLQFVCIIILLSFLFFSSESADHVTANHSKTPKLKEKSAMQQKYLGESKVSAIQNPPPPAYKAIGSRSPPGGTP >Manes.18G144880.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21626267:21627758:1 gene:Manes.18G144880.v8.1 transcript:Manes.18G144880.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPISLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGGLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRSSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.03G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24574412:24578921:1 gene:Manes.03G119600.v8.1 transcript:Manes.03G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVYIVYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLPDEVLGKMSAPPKSDVPIITPNELAEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRAQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGSRQPSELELEQAFHQGKYIATITKKLKGAA >Manes.03G119600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24576351:24578054:1 gene:Manes.03G119600.v8.1 transcript:Manes.03G119600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLPDEVLGKMSAPPKSDVPIITPNELAEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRAQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTYAGDGSRQPSELELEQAFHQGKYIATITKKLKGAA >Manes.S036616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:956342:956509:1 gene:Manes.S036616.v8.1 transcript:Manes.S036616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.02G210261.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25066661:25089273:1 gene:Manes.02G210261.v8.1 transcript:Manes.02G210261.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRDQHCFALAHLPTTHFGSKGSQPPSHLFMLTRPFTSFKTAVAAIDSNDLSSSSPNPPDKQQANKYYFVVANAKFMLDEEEHFKELLFERHRNYGERNKEQDFWLVIEPKLKRPAVALVSTNGPWITFMKLRLDRVLADSYEADTLEEALASNPTTLEFEKPEKWMAPYPKYEYGWWEPFLPAESKESKV >Manes.06G063400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19791183:19800909:-1 gene:Manes.06G063400.v8.1 transcript:Manes.06G063400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRMRRKEHLVHQNGDTTMPSFGDVDPWTAWAYKPRTISLLLIGACLLIWASGVLDPESSASGDMVTSVKRGIWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYAADCRIYTPGNPTSRFKNVYDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELLEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMLSVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCMVFLTVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHAKSERSKRTRLC >Manes.06G063400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19791154:19800909:-1 gene:Manes.06G063400.v8.1 transcript:Manes.06G063400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRMRRKEHLVHQNGDTTMPSFGDVDPWTAWAYKPRTISLLLIGACLLIWASGVLDPESSASGDMVTSVKRGIWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYAADCRIYTPGNPTSRFKNVYDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELLEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMLSVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCMVFLTVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHAKSERSKRTRLC >Manes.06G063400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19792573:19800909:-1 gene:Manes.06G063400.v8.1 transcript:Manes.06G063400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRMRRKEHLVHQNGDTTMPSFGDVDPWTAWAYKPRTISLLLIGACLLIWASGVLDPESSASGDMVTSVKRGIWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYAADCRIYTPGNPTSRFKNVYDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELLEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMLSVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCMVFLTVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPNSMPVWLVIFWSSIGVALLIFLIVWSWQLHRSLGRKMQ >Manes.06G063400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19791183:19800909:-1 gene:Manes.06G063400.v8.1 transcript:Manes.06G063400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRMRRKEHLVHQNGDTTMPSFGDVDPWTAWAYKPRTISLLLIGACLLIWASGVLDPESSASGDMVTSVKRGIWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYAADCRIYTPGNPTSRFKNVYDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELLEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMLSVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCMVFLTVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPNSMPVWLVIFWSSIGVALLIFLIVWSWQLHRSLGRKMQ >Manes.06G063400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19792361:19800909:-1 gene:Manes.06G063400.v8.1 transcript:Manes.06G063400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRMRRKEHLVHQNGDTTMPSFGDVDPWTAWAYKPRTISLLLIGACLLIWASGVLDPESSASGDMVTSVKRGIWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYAADCRIYTPGNPTSRFKNVYDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELLEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMLSVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCMVFLTVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPNSMPVWLVIFWSSIGVALLIFLIVWSWQLHRSLGRKMQ >Manes.06G063400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19791155:19800909:-1 gene:Manes.06G063400.v8.1 transcript:Manes.06G063400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRMRRKEHLVHQNGDTTMPSFGDVDPWTAWAYKPRTISLLLIGACLLIWASGVLDPESSASGDMVTSVKRGIWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYAADCRIYTPGNPTSRFKNVYDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELLEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMLSVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCMVFLTVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPNSMPVWLVIFWSSIGVALLIFLIVWSWQLHRSLGRKMQ >Manes.06G063400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19791311:19800909:-1 gene:Manes.06G063400.v8.1 transcript:Manes.06G063400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRRMRRKEHLVHQNGDTTMPSFGDVDPWTAWAYKPRTISLLLIGACLLIWASGVLDPESSASGDMVTSVKRGIWAMIAVFLTYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYAADCRIYTPGNPTSRFKNVYDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELLEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMLSVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCMVFLTVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSLAICIIELLICIKFGHGLYPNSMPVWLVIFWSSIGVALLIFLIVWSWQLHRSLGRKMQ >Manes.13G008300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1201754:1203235:-1 gene:Manes.13G008300.v8.1 transcript:Manes.13G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNNNKSPAEVKDFQIMIANKDDTKKQLAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAIGASVSSPSGLHTKMEGLGPSVGSRQRANWAMMSNNLGRSNVASGIWPSVGGIGASFASNTSHSASNFGNENSNTLPKSGFHGVEFPNINMGLMSFYSMFSGSNQQVPGLELGLSQDGHNGVLNSQALNQFYQQMGQSRSNLNSLNQQPQQQNPDEDFQGSRHSKIERDQLLMEKKIE >Manes.03G067266.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8948814:8975674:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLGMSKDHAVFLF >Manes.03G067266.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8945650:8975666:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPLGAHS >Manes.03G067266.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8948587:8975655:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPLGAHS >Manes.03G067266.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8948587:8975655:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPSIAVIASDNELCIIDNPPTDAMRVIL >Manes.03G067266.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8945650:8975648:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPSIAVIASDNELCIIDNPPTDAMRVIL >Manes.03G067266.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8945650:8975648:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPLGAHS >Manes.03G067266.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8948194:8975660:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPSIAVIASDNELCIIDNPPTDAMRVIL >Manes.03G067266.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8948194:8975660:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPLGAHS >Manes.03G067266.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8948194:8975678:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPSIAVIASDNELCIIDNPPTDAMRVIL >Manes.03G067266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8948194:8975674:-1 gene:Manes.03G067266.v8.1 transcript:Manes.03G067266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISSLVYSISPTSFSGNSTSCPRRRSTAICFPIARATTLLHAAKHTVDTYIKSGMVIGLGSGRASGMAIQYLGHLLHAGALKDIVGIPMSVASANEAAKAGIPLEKYEDGSQIDFAFDDADIIEQKTLIGIIGRQNMQGEESIIQEKSILNAADKHVFMVTEKQYKGTLDGSIPVVVQSLNWMETAEEIDDMFLGDAEVWRRSSIGQAGPLGGDFPLITREGHNVLDVIFTSPIQSLAEVAESLDKVNGVVDHGIVYKFPLGAHS >Manes.13G121600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33017440:33018284:-1 gene:Manes.13G121600.v8.1 transcript:Manes.13G121600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHLRSISLPSRSHPLRVNIEEQLCKLRTSETSSIGHKLNGLKNLFESVDDFLQLSFVQQTISNERQNQCIGDAKKKHRLYFTREVDAYMVSRKKLNKAISKCLRNLKKKEMHFTITTLDSNLENMISMLRGVQRISLVMFKSILSFISQPKVKSLPSGWFLIPKLLQSKRVSYDVETEENEVEKIDAELLILKSSKDISLSQIQSLLKDLEAFESSMQEAEEELECLYRLLVKTRVSLLNILNH >Manes.03G030998.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2524392:2531370:1 gene:Manes.03G030998.v8.1 transcript:Manes.03G030998.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRKNSTSNNQGSMGFPNAQYQGTKIRFQDEDEELTTDSSKRDESTVAGDKVMAMEDDSMCEPDVSFVDGDGDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNKFLFKNKIVLDVGAGTGILSLFCAKAGAAHVYAVECSDMADMAKEIVESNGFSEVVTVLKGKIEEIELPVAKVDIIISEWMGYFLLYENMLNTVLYARDKWLVSDGILLPDKASLYLTAIEDADYKEDKIEFWNNVYGFNMSCTKKQAIMEPLVDTVDQKQIVTDCQLLKIMDISQMVSGDASFTVPFKLVAERDDYIHALVAYFDVSFTKCRKLMGFSTGPRSRATHWKQTILYLEDVLTICEGEVLSGNMTVAPNQKDPRDIDIMIKYAFNGQRCVVSRTQYYKMW >Manes.06G168900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29374277:29378327:-1 gene:Manes.06G168900.v8.1 transcript:Manes.06G168900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPQAVDFALKETSPNIGAGALTTDKLACTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGTTKHFEKKTNPEWNQVFAFSKERIQASVLEVSVKDKDVVVDDLIGRVLFELNDIPKRVPPDSPLAPQWYRLEDRKGDKIKHGELMLAVWMGTQADEAFPDAWHSDAAAVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPGDKSRFPESFVKVTLGNQALRTRISQSRSIHPMWNEDLIFVVAEPFEEPLILTVEDREGPNKDELLGKCVIPLQIVQRRLDYKPVNTRWYNLEKHVVADGEQKKEIKFASRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAVGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDSFTPKWNEQYTWEVFDPCTVISIGVFDNGHVQGGKDSRIGKVRIRLSTLETDRVYTHSYPLIVLQQSGVKKTGEVQLAVRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRQQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLRGLIAVGKWFDQICNWKNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVVFCLGAAVVLYVTPFQVVALLIGIYVLRHPRFRHKLPSVPLNFFRRLPARSDSMI >Manes.06G168900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29374315:29378327:-1 gene:Manes.06G168900.v8.1 transcript:Manes.06G168900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPQAVDFALKETSPNIGAGALTTDKLACTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGTTKHFEKKTNPEWNQVFAFSKERIQASVLEVSVKDKDVVVDDLIGRVLFELNDIPKRVPPDSPLAPQWYRLEDRKGDKIKHGELMLAVWMGTQADEAFPDAWHSDAAAVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPGDKSRFPESFVKVTLGNQALRTRISQSRSIHPMWNEDLIFVVAEPFEEPLILTVEDREGPNKDELLGKCVIPLQIVQRRLDYKPVNTRWYNLEKHVVADGEQKKEIKFASRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAVGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDSFTPKWNEQYTWEVFDPCTVISIGVFDNGHVQGGKDSRIGKVRIRLSTLETDRVYTHSYPLIVLQQSGVKKTGEVQLAVRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRQQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLRGLIAVGKWFDQICNWKNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVVFCLGAAVVLYVTPFQVVALLIGIYVLRHPRFRHKLPSVPLNFFRRLPARSDSMI >Manes.06G168900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29374277:29378328:-1 gene:Manes.06G168900.v8.1 transcript:Manes.06G168900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPQAVDFALKETSPNIGAGALTTDKLACTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGTTKHFEKKTNPEWNQVFAFSKERIQASVLEVSVKDKDVVVDDLIGRVLFELNDIPKRVPPDSPLAPQWYRLEDRKGDKIKHGELMLAVWMGTQADEAFPDAWHSDAAAVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPGDKSRFPESFVKVTLGNQALRTRISQSRSIHPMWNEDLIFVVAEPFEEPLILTVEDREGPNKDELLGKCVIPLQIVQRRLDYKPVNTRWYNLEKHVVADGEQKKEIKFASRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAVGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDSFTPKWNEQYTWEVFDPCTVISIGVFDNGHVQGGKDSRIGKVRIRLSTLETDRVYTHSYPLIVLQQSGVKKTGEVQLAVRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRQQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLRGLIAVGKWFDQICNWKNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVVFCLGAAVVLYVTPFQVVALLIGIYVLRHPRFRHKLPSVPLNFFRRLPARSDSMI >Manes.06G168900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29374320:29377775:-1 gene:Manes.06G168900.v8.1 transcript:Manes.06G168900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPQAVDFALKETSPNIGAGALTTDKLACTYDLVEQMQYLYVRVVKAKDLPGKDVTGSCDPYVEVKLGNYKGTTKHFEKKTNPEWNQVFAFSKERIQASVLEVSVKDKDVVVDDLIGRVLFELNDIPKRVPPDSPLAPQWYRLEDRKGDKIKHGELMLAVWMGTQADEAFPDAWHSDAAAVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPGDKSRFPESFVKVTLGNQALRTRISQSRSIHPMWNEDLIFVVAEPFEEPLILTVEDREGPNKDELLGKCVIPLQIVQRRLDYKPVNTRWYNLEKHVVADGEQKKEIKFASRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGILSAVGLMPMKTKDGRGTTDAYCVAKYGQKWIRTRTIVDSFTPKWNEQYTWEVFDPCTVISIGVFDNGHVQGGKDSRIGKVRIRLSTLETDRVYTHSYPLIVLQQSGVKKTGEVQLAVRFTCSSLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRQQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLRGLIAVGKWFDQICNWKNPLTTILIHILFIILVLYPELILPTIFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVVFCLGAAVVLYVTPFQVVALLIGIYVLRHPRFRHKLPSVPLNFFRRLPARSDSMI >Manes.03G097700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14899798:14902234:-1 gene:Manes.03G097700.v8.1 transcript:Manes.03G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQSPPPGIGIGMGTGMGNGTANRNKQRRRPDLTLPLPQRDPIVAVPLPLPPTTSSAPSTSHQQIQQLSFSDLDRINRIGSGAGGTVYKVIHRPTGRPFALKVIYGNHEDMVRSQICREIEILRGVNHPNVVKCHEFYEHNGEIQVLLEFLDGGSLEGTHISLEAHLSDVARQILSGMAYLHRRKIVHRDIKPSNLLIDSRKNVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGQYDGYAGDIWSLGVSILEFYLGRFPFAVGRQGDWASLMCAICMSQPPEAPPTASREFRNFIACCLQREPARRWTATQLLQHPFISRNSGGQREVNQNLHQLLPPPRPLSS >Manes.03G014300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1267226:1271217:1 gene:Manes.03G014300.v8.1 transcript:Manes.03G014300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPIRGSLIQEIFRVVNEVHSSATKKNKEWQEKLPVVVLRAEEIIYSKANSEAEYMDLKTLWDRTNDAINTIIRRDESTETGELLQPCIEAALNLGCTPRRASRSQRNCNPRCYLSASSQEPNTFSPGIVNSSVQVNHKTSPQCIPNYLNFIKPTFVNSTHLGSDKFLLATDNGCLSNFNQCLPVENRAVSRLCSVYPLYFGSCIEPQQGSGLLSKSVPSTWEPAKMGGIEQSPLGCNEYADVKINQSDFKDISMQHQDVGCDLSLRLGSLSASLPSSQNWQLQDVEDVGSGEGSKFNNQMLQTDKEFTLFARVDKDNSLDSCPSKLSERVNINAKMKKRKAVYGHPVDDQACHWQPKLPCKDLTCRMRSADL >Manes.12G113050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31304362:31304832:1 gene:Manes.12G113050.v8.1 transcript:Manes.12G113050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYRLVYGKACHLPVELEHKAYWAVKNCNMDLKEAGHHRKLQLQELEEIRRDAYENSWNYKAKTKATHDSHLSRKQFEVGDKVLLFDSRLKLFPGKLRSRWIGPFIVEHAYPHGAVDIKSIETGKIFKVNGHRLKPYYEGFAVQVVEEIPLSRSE >Manes.13G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17620497:17625167:-1 gene:Manes.13G077200.v8.1 transcript:Manes.13G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKVLKDDAQAPVTAPDPAVEEAVAVHQINREERSVPVVQEVKDKKVVENEGGDKVIKEIVDDDKADDQSTKRRSLSHLFQESEGEKESVKRVKPLAEPVKPESSTSMKSPEEPVPTKHKIASMESPAKPVKQQLAESVKSLEEPKKQESTESTESQEELVKQETAKSMTSPEEPLKQETTKSVKLQEEPVKQESAKSVTSLKEPVKQEAGKSVKSPEEPVKQESLETEKPKQLSVQEPITTNTTGPAIVEPPTAKESIEPAKEEKLGTVKPVESMKQESSTAEKPSEPKKKEHKVSEKPLEQVKQEPSRTENFGEVSKTELTKAEKSENLVEPTPSLTIVGGPVKVEGEKKVTGATSEVKPKN >Manes.08G137400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37406877:37408496:-1 gene:Manes.08G137400.v8.1 transcript:Manes.08G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWAGQVGLPGMDTSTSSSSPMKKPDLGISMSNSNRETTESSRREEEKEEEREHSDEPKEGAIDVGTRRPRGRPPGSKNKPKPPIFVTRDSPNTLKSHLMEIANGSDIADSLACFARKRQRGVCVLSGSGMVTNVTLKQPSAPGAIMALHGRFEILSLTGAFLPGPAPPGATGLTIYLAGGQGQVVGGSVVGSLVASGPVMVIAATFSNATYERLPLEEEEEGGGTGSGGQGQIGGGEGGSGGMGDPAASTPVYNNLSPNLMPNGGQMNLEGYGWAHGRQPF >Manes.01G247100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40715205:40720920:1 gene:Manes.01G247100.v8.1 transcript:Manes.01G247100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKLIPQFRFLNPSFTVACHQPMKSSPLISSSLAIPVLSRMFPFRLKYLGFVPHFNSQVGVLRFSTRPFRPRPQFSHGGRDRGDMRASKSLIEDEDELSDWVSDLRTSPFRGRITSENESDSDGARRRVRGRNQDRSRSRERSREGFSTKRRRDNISDEFGESDRRRVRNQADSFSRNSRTSERFDNGITRGDEDTFRRKRVGNKHLMNERRGGREIDSGFRRDRKGLKGKNGFVDDEEGDGDIDERMYERKELMEHLGDMVDEEESDDADEDVHDDGILKKRPSSSFDLAKERASSPRKSESYLSESRFDQCSISPLSLKGIKDAGYEKMTVVQEATLPVILKDKDVLAKAKTGTGKTVAFLLPAIEVIVKSPPIGRDQKRPPILVLVICPTRELASQAAAEANTLLKYHSSIGVQVIMGGTRLALEQKQMQANPCQILVATPGRLRDHIENTAGFATRLMGVKVLVLDEADHLLDMGFRKDIEKIIAAVPKQRQTLLFSATVPEEVRQISHIALRRDHEFINTVEEGTEETHSQVRQMHIIAPLDKQFPILYVLLKDHIADNLDYKILVFCTTAMVTRMVADLLGELSLNVREIHSRKPQSYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKEGQGILLLAPWEELFLSIIKDLPITKGPVPSVDPDTRRKVERALSNVEMKNKETAYQAWLGYYNSNKMVGRDKYRLVELANEFSRSMGLDNPPAIPKLILGKMGLRNIPGLRSK >Manes.08G123900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:36419858:36421261:-1 gene:Manes.08G123900.v8.1 transcript:Manes.08G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGTRGAASAAANLANLLPTGTVLIFEALVPSFTNNGECVQANKYLTLALIFCCSLVCFFSSFTDSFIGKNDKKLYYGIATLNGIFVFNDPDCTDGNGEEELDHNQRKNYRVTLIDFVHAFSSLTVFLVFSLSNSELQNCFFPKAGPNEKQLIVNLPLGAGLLSTFLFIIFPTKRRGIGYGDMTPSRPSILDGDSITSRSEVQNTQKHQA >Manes.18G144917.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21726480:21727971:1 gene:Manes.18G144917.v8.1 transcript:Manes.18G144917.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.01G076201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27912265:27915952:1 gene:Manes.01G076201.v8.1 transcript:Manes.01G076201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSENRYDSSKMSFKSNALNVECASSDVLIKHRKRIIGATRDFPIGCGPSKRFCTEALKNSVHFEMPGILDDLKSKENVTVSFDKREDLGLVAEVELLHKVLQDSLDTVGLVNPLEISVSEATKLPTKFAPWRRISAIRCFPQGCGRKTQQVDKEVAPECVSLETKGLGPHTPDVDILRKKEETIGKDKHQKNVANPSKTNVFQSYSECFETNKGKPHDMDKCLDGKLSGRSNSHPSQEKASDHMEVPGHRVVVLALMAAPNCPRRNRKRGSRLRPTNDVAKTKAKKH >Manes.09G123100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32554356:32557564:-1 gene:Manes.09G123100.v8.1 transcript:Manes.09G123100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLSGLTKTHMRKPLMSQFFSENPPDTGVTDHVELDFADVFGPLPVQASTEGNCGDLANPLNATDFAELIYDEPAVVYNRSHSLVGPSSCVSQSLKLNKLTLHETEDAMELVELEELSIDDEADEKAIENASGDSVDVHGVRIEDFEILKVVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKIVEKNHVEYMKAERDILTKVDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHHGLFREDLARIYAAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKQFEENTRSNSMCGTVEYMAPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFIGGNREKIQQKIVKDKIKLPAYLSSEAHSLLKGLLQKDASKRLGSGPTGSEEIKRHKWLKPINWKKLEARDIQPSFRPEVAGKHCIANFEKRWTDMPLSDSPAASPKSNVNPFVNFTYVRPAASFLQKNSPA >Manes.06G068600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20487792:20490774:-1 gene:Manes.06G068600.v8.1 transcript:Manes.06G068600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYKNVANAVGGKTARACDSCIRKRARWYCAADDAFLCQSCDSSVHSANPLASRHERVRLKTASLKSLDLVSKEKCVPSWHRGFTRKARTPRHGKPVSHSKIVEKLRNPVPLVPEVGSDEISHEETEEEQLLYRVPTFDPYVAQLCSSATISNNEAETTTAGGNYSDQTVAEAHGIESKASLDAGGQDVLHGFLPSDMDLAQFAEDVESLLGRGLENESFGMEGLGLMSCKEEKELQREYYPAREKVKLEDEQQIAAQKEEKIVRECHVDTDEIEMAREPPFELSFDDDSGTCGEEDEKVRIRQNDSKNNEEYEDDDENLTKKKKKRKILLSLDYEAVITAWASQRSPWTTGNRPDVDPDECWPEYCMGICGGELHHHGYGDHPSGVGLQHPAMGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASFAAPAFPLHAK >Manes.17G105100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31215748:31218760:1 gene:Manes.17G105100.v8.1 transcript:Manes.17G105100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSKSLINHPIINSKLCSPRYIQTISSLNSASSSNDHHHLTLNYLTNSCGMSLQRATSVCKLVRIKNTEKADLVLQLLRAKGFTQSQIVTLISSRPQLILCNPDKILKPKFEYFESLGLLAPWLRSALCADGKILTYSLKNRISPNVDFLKGLLKTEENFVWASKRCFSALRYNTESMLSKICALGAFGVPVPNIRRLIVLQPRLLITRLDIYEEKIQELVQMGIEPSSKAFISAFRSISAMSNSKWESKKKFLMSFGWSERDFLVAFRSQPLFMTTSEQKMKKVMEFCLTKAGLQLSDLVRSPHLFMISLERLAIPRCSVLKVLMSKGLIKKKVNVVSALNMTKKQFEDKYFTCFKEDYHELVQAYQFKTFPGFHNQIQM >Manes.12G019900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1758716:1759210:-1 gene:Manes.12G019900.v8.1 transcript:Manes.12G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMTLGAFIFWQSMEKVHVWIALHQDEKKERLEKEAEIRRVREELLRQTKEREDTLA >Manes.15G004200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:513534:517137:-1 gene:Manes.15G004200.v8.1 transcript:Manes.15G004200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVYVSVPLSVNMAFSFSINASILPQHQGKAGYRNSRCLESVRNMVRKFEVPSVVSAPQESLQKGNWVKLICGASFEDAVDIRNLSLVYTLAGVDCIDCAADESVVSAVNEGIEAAIEIVHIRRPWVMISVNDDKDLHFRKAEFDAEECPPDCSRPCENVCPADAISLEEDKSPAHFSYGTKMLNVLKGGVITERCYGCGRCFPICPYDKIRVATYVRDAIATAELLKRNDVDAIEIHTSGRQMASFKELWDGLGDSLGCLKLLAVSLPYAGDATVSSMNTMYSVMEPQLKCLNLWQLDGRPMSGDIGRGTTRESIAFAVRLAAANSRPHGFFQLAGGTNAHTVDGLKREGLFQTAVVAGSSKENKSVASSPCSNSLIGGIAYGGYARKIVGRVLRSMQSQHGLACIEDHPEHLLEALTEALGLVGSVKCYDPLPQDS >Manes.15G091900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7150267:7156406:-1 gene:Manes.15G091900.v8.1 transcript:Manes.15G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLFRVIKTLNNSTSSSHLLHRGYGSEAVPHRKVAVLGAAGGIGQPLALLMKLNPLVSDLALYDIANTPGVAADVSHINTKSEVKGYMGEEELGKALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCDAIAKYCPNALVNMISNPVNSTVPIASEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVPVTEVNVPVVGGHAGITILPLFSQATPKANLADEDIQALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVADVVECSFVQSSVTELPFFASKVRLGKNGVEEVMGLGALSDYEKEGLEKLKPELLASIEKGIKFANK >Manes.02G197100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16317606:16319151:1 gene:Manes.02G197100.v8.1 transcript:Manes.02G197100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLALSLLLTSLFAAAVCSPSSSDNQENHKYEDVVVKEGHRFILVETYDEHGKHNTKISISPPQDPISTAEDGKFPSGFIENAKQKVKRAASSISSSAVSKSSGGDHLGELACEALGKCSHEIVTAIGKGKDKVSEKVHEVVDEKKEVAHEAKGMAKEGIIKKRRIAHEAKEKVEDAYEMAKETVCRKAHEAKQAVKESATLHKAQSFQGTAKQAAEDVYEKAKESAIHGVKGIKETAKTAKDLSKTIRADAAGNVSAEWHKMITGQAAARISRFFSLLGFSDGLDSLMGVVNLLGFSTAYGMCVWVTFISSYVLGSALTRHQFSIVQSKIYPVYFRAMAYCIGAALLGHLFGQRKKFTKAEMFQVYNLLAAISVVLINALFLEPLATKLIFEELKIEKEEGRIRESLSEEGNGCSRGEEQPVTAAAANASNNPEQQEINSRMATLNARLKKLNSRSSFLNILTLMALGWHLVYLGQDLHRTC >Manes.13G115000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32195735:32197964:1 gene:Manes.13G115000.v8.1 transcript:Manes.13G115000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSVFTVALMCVIVAGVGGQAPTSAPTATPVTPEAPVAAPAKAPAKPKSPAPVTAPVSSPPAASPTKQTVPAPAQTPLATPPPAAAPVSSPPAVTPVSSPPAPVPVSSPPAKSPAKSPPAPAPVTPPTSSPPETAPSVPVVAPTAEVPAPTPSKKKPKKKHTAPAPAPELSSPPAPPTESPGPSAEANSPGPSVADESGAETIKSLQKMVGGLAAGLALMALIF >Manes.06G132500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26097724:26102870:-1 gene:Manes.06G132500.v8.1 transcript:Manes.06G132500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAGSPRWQEKANVFFSSSGAKLKEAGQSAGTFVGDVAKDAKGNVADVAGRFGSAVKNRWAFLREPATRHALQENLITAAATTGMFLRKGLSDTKEKVAVGKTKVEEVAKKTAQKSKTIWTDIERWQKGVASTDVFGVPVEVTVQRQQSSRLVPHILVKCADYLVLSGLNAMYLFKDEGDITVIQQLVSLYNQDSNASLPEGVNPIDVAALIKCYLASLPEPLTTFELYNGIKSARSSIQALKNILKKLPTVNYMTLELITALLLCVSQKSSLNKMDSRSLAMEIAPIIMWQKEQNPDSYKQYWSPTSRSPSKKSKDPSPTFSAWDMLSEDGEGEGEGEGTDVSSPIPLDDGTPVDFGAIEVVQCLIEQHNTIFTDANETVWR >Manes.06G132500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26097724:26102870:-1 gene:Manes.06G132500.v8.1 transcript:Manes.06G132500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAGSPRWQEKANVFFSSSGAKLKEAGQSAGTFVGDVAKDAKGNVADVAGRFGSAVKNRWAFLREPATRHALQENLITAAATTGMFLRKGLSDTKEKVAVGKTKVEEVAKKTAQKSKTIWTDIERWQKGVASTDVFGVPVEVTVQRQQSSRLVPHILVKCADYLVLSGLNAMYLFKDEGDITVIQQLVSLYNQDSNASLPEGVNPIDVAALIKCYLASLPEPLTTFELYNGIKSARSSIQALKNILKKLPTVNYMTLELITALLLCVSQKSSLNKMDSRSLAMEIAPIIMWQKEQNPDSYKQYWSPTSRSPSKKSKDPSPTFSAWDMLSEDGEGEGEGEGTDVSSPIPLDDGTPVDFGAIEVVQCLIEQHNTIFTDANETVWR >Manes.18G079600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7296292:7298964:-1 gene:Manes.18G079600.v8.1 transcript:Manes.18G079600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALDLPPGFRFHPTDEELVIHYLCRKCASQPIGVPIIAEIDLYKYDPWDLPGLALYGEKDWYFFSPRERKYPNGSRPNRAAGTGYWKATGADKPIGLPKPVGIKKALVFYAGKAPKGVKTNWIMHEYRLADVDRSVRKKNSLRLDDWVLCRIYNKKGTIEKQGQVSNRKLNSPEIMEDTKPDIRAPFPDPSSATGTANDYVYFDTSDSVPKLHTDSSCSEHVVSPEFTCEVQSEPKWKDWGDVNALDFSYNYMDASLDKTFASLFQGNNQMSPLQDMFMNLQKPF >Manes.02G021600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1909185:1911735:-1 gene:Manes.02G021600.v8.1 transcript:Manes.02G021600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLITGMAIAAAAYAGRYGIQAWQAFKARPPTARMRRFYEGGFQPVMTRREAALILGIRESTPSDKVREAHRRVMVANHPDAGGSHYLASKINEAKDILLGKAKGSGSAF >Manes.02G021600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1909185:1912009:-1 gene:Manes.02G021600.v8.1 transcript:Manes.02G021600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLITGMAIAAAAYAGRYGIQAWQAFKARPPTARMRRFYEGGFQPVMTRREAALILGIRESTPSDKVREAHRRVMVANHPDAGGSHYLASKINEAKDILLGKAKGSGSAF >Manes.01G014400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3875853:3879670:1 gene:Manes.01G014400.v8.1 transcript:Manes.01G014400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNREQQLRSKLINGGQVHLHHFISYILLFGCGLAFGFYLKDISFNFQLNQISIQTQTSLSKPPSPPPQLLHLGVVLNQTRNRTGLEEFLKPPNLSHDMQEKELLWRASMVPRVNEFPLKIVPKVAFLFLTRGPLPLAPLWELFFKGHEGFYSIYVHSSPSFKGTVPSNSVFYGRRIPSKEVQWGKFTMVEAERLLLANALLDFSNQRFVLLSESCIPLFNFSTIYHYLMGSSKSFLEVYDLLGPVGRGRYNSRMRPTIQLHQWRKGSQWFEMDRKLAVEVVSDRKYFDIFRRRCKGSCYGDEHYLPTFVSIKFWRWNSNRTVTWVDWSKGGPHPNRFGRMEITRDFLDRLRSNGNKGCEYNGKKVHICFLFARKFMRNALDRLLRFAPRVIQF >Manes.04G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2198536:2200164:1 gene:Manes.04G017900.v8.1 transcript:Manes.04G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKLLCLITFFISLFLNTLPISSQEIDDEEEFDYHNGHKGPVYWGHLRQEWSVCSNGSMQSPIDLLDESVQVVSNLGRLKRSYKPSNATLKNRFHDMTIQWESGAGYIQINGIRYYLKQCHWHSPSEHAINGRKYALESHMLHESLDGKVAVVGIVYKIGRPDSFLSSLTGHLRSVAGTVQKETKVGIVNPRNIKIGSRRYYRYMGSLTTPPCTENVLWTIVRKVRTVTIEQVRSLRVAVHDESNTNARPIQRINGRIVQLYRPEERLIMD >Manes.04G017900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2198536:2200164:1 gene:Manes.04G017900.v8.1 transcript:Manes.04G017900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPIDLLDESVQVVSNLGRLKRSYKPSNATLKNRFHDMTIQWESGAGYIQINGIRYYLKQCHWHSPSEHAINGRKYALESHMLHESLDGKVAVVGIVYKIGRPDSFLSSLTGHLRSVAGTVQKETKVGIVNPRNIKIGSRRYYRYMGSLTTPPCTENVLWTIVRKVRTVTIEQVRSLRVAVHDESNTNARPIQRINGRIVQLYRPEERLIMD >Manes.15G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10469396:10477064:1 gene:Manes.15G131300.v8.1 transcript:Manes.15G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQTWRKAYGALKDTTKVGLAHVNSDYAELDVAIVKATNHVECPPKERHLRKILVATSAIRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRLLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERIPRPAQGQDKGYSRTRELDSEELLEQLPALQQLLYRLVGCRPEGAAVANYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAFTALDVYKRAGQQAISLSDFYDVCKGLELARNFQFPVLREPPQSFLSTMEEYIREAPRVVSVPSEPLLQLTYRPEEGPDESEDAKLPSDEPEPVPSDEVAVSSIDNASPAPPRPPQNNMDTGDLLGLSYEAPDASDIEERNALALAIVPTEQDAAPTFNSGAGQAKDFDPTGWELALVSTPSSDISAANERQLAGGLDSLTLNSLYDEAAYRAAQRPVYGAPAPNPFEVQDPFAMSNSIAPPSAVQMAAMGQQPPNPFGPFQPTYPQPMQQQHLMMSPANPFGDTGLGTFPVNPVTHPTNPFGGSGIL >Manes.02G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2295860:2297777:-1 gene:Manes.02G027100.v8.1 transcript:Manes.02G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGSNLFFLVILLLPLLRLILKHLKPSTPPIPPGPFPWPILGNILQLGNKPHVALTHFARIYGPLFSLRLGTQLVVVGSSKEAAMEILKTHDRILSGRCVPHMAPTKSPELNKLSLGWIAECNDKWKYLRTICKTELFSSKALESQGCIREEKVMEMVSFIKKMEGKEVKIRDVAMATVFNSLSRILVSRDLMSLDQENADLEMNSLLERILQLASTPNISDFYPILGRFDLQGLQKKITELHNRYFNICEAIVEERRKGIRGDASSRRDFLDTLMDNGSSNDQINVLLLELLSASTDTSSTTIEWTMAELLKNPKCMKKVQAEVATKVTDGILLHESHISQLTYLQACVKETLRLHPTGPFLLPHRATDTCQVMNRTIPKNTQVLVNIWAVGRDPKYWEDPLVFEPERFLNSNLDFKGNDFEFIPFGSGRRICPGLPMATKQVPLIVAALIHFFDWSLADGKDFAELDVTEKYGLTLRMQQSLLLIPKAKEFRNEKGKDESTTSL >Manes.05G017500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1643502:1643948:-1 gene:Manes.05G017500.v8.1 transcript:Manes.05G017500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVHPNPPAPSFPLIPRPTKGFVSLALSATSQHSRHRSSPNRKKQQQQHRRIQKHEGENEKQRGAATNQLSGLDVLWAMQRASAEKNRAAIARSKNNQKRKESLSAGGQGDEYAVDYSNVRPLNIKNEWGDKLDELEQRLKELSETR >Manes.18G041200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3647285:3648546:1 gene:Manes.18G041200.v8.1 transcript:Manes.18G041200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGIDFSCALGSLRHGSFPDKDCLLPLISKLLGYCIVAASTTVKVPQILKILKHRSVRGLSIVAFELETIGYTIALAYCLHKGLPFSAYGELAFLLIQAIVLVATIYYFSQPVPIVTWIRPLLYCAVAPTILAGQIDPFLFEALYASQHAIFLFARIPQIWKNFSVSSNLGLHFAVKFSIF >Manes.18G041200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3646997:3651123:1 gene:Manes.18G041200.v8.1 transcript:Manes.18G041200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGIDFSCALGSLRHGSFPDKDCLLPLISKLLGYCIVAASTTVKVPQILKILKHRSVRGLSIVAFELETIGYTIALAYCLHKGLPFSAYGELAFLLIQAIVLVATIYYFSQPVPIVTWIRPLLYCAVAPTILAGQIDPFLFEALYASQHAIFLFARIPQIWKNFS >Manes.18G041200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3646998:3651123:1 gene:Manes.18G041200.v8.1 transcript:Manes.18G041200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGIDFSCALGSLRHGSFPDKDCLLPLISKLLGYCIVAASTTVKVPQILKILKHRSVRGLSIVAFELETIGYTIALAYCLHKGLPFSAYGELAFLLIQAIVLVATIYYFSQPVPIVTWIRPLLYCAVAPTILAGQIDPFLFEALYASQHAIFLFARIPQIWKNFSSKSTGELSFLTCFMNFAGSIVRVFTSMQEKAPNSVVLGSTLGVITNGTILSQILLYQKHEAKKEKKDK >Manes.09G079909.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12036782:12039295:-1 gene:Manes.09G079909.v8.1 transcript:Manes.09G079909.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPLVTVQSLPSLNDMATDSATTLALPDVMKASIRPDIVNFVHSNISKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAIPSLVMARGHRVESVPEMPLVISDSAESVEKTSAAIKLLKEIGAYSDAEKAKDSHAIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVDRLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFEKISENKKGYVLPRAKMVNADLARIINSDEVQSVVKPIKNEVKRAPLKKNPLKNLNVMLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRKAVTKEEAAAIKSAGKAWYHTMISDSDYTEFENFTKWLNVTQ >Manes.06G151600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27917921:27922178:-1 gene:Manes.06G151600.v8.1 transcript:Manes.06G151600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO5 MIRLIFLVVFLGWIVIWILLPTKVYKNTWTPKLESKLNSTYFEGEGNNLLLFSFPVMFIAAFGSVYLHFQKELRKPTCKSAVVSSNRLSFLKRPLLVMAPLGIVTAMEIAFAAMFIALLIWSLANYLYVSFGHLHMHKQGEKIWQAKFRSVSLRLGYIGNICWAFLFFPVTRGSSILPLVGLTSESSIKYHIWVGHLSMILFAAHAVGFIIYWAMTNQMAEMLEWSKTWVSNVAGEIAIVVGLAMWVTSFERIRRKMFEVFFYTHHLYSLYLFFYLLHVGAAYFCMILPGIFLFLVDRYLRFLQSRQRARLLSSRLLPCGTIELNFSKSRGPVEMSVEGPYGPISSHFLRHELLVMVGGGSGVTPFISIIRQIIFQSTQPDSHIPRILLVCAFKNSGDLAILDLLLPINGTTTQITQLQLQIEAYITREEEQPIADTKKLLQTIRFKPNQSDSPITAALGPNNWLWLGAVITSSFVMFLLLLGIVTRYYIYPIDHNTGEIYHFSYFVLWDLLLVCASIVIASGAAFLWCKKQNGVEKQQIENLEVPNPTASPGSDLYSADTELESVPHQSLVQATNVHFGARPDLKRILFECKGSDVGVLACGPKAMRHEVAKICSSGLADNLHFESISFDW >Manes.06G151600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27917921:27922178:-1 gene:Manes.06G151600.v8.1 transcript:Manes.06G151600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO5 MIRLIFLVVFLGWIVIWILLPTKVYKNTWTPKLESKLNSTYFEGEGGNNLLLFSFPVMFIAAFGSVYLHFQKELRKPTCKSAVVSSNRLSFLKRPLLVMAPLGIVTAMEIAFAAMFIALLIWSLANYLYVSFGHLHMHKQGEKIWQAKFRSVSLRLGYIGNICWAFLFFPVTRGSSILPLVGLTSESSIKYHIWVGHLSMILFAAHAVGFIIYWAMTNQMAEMLEWSKTWVSNVAGEIAIVVGLAMWVTSFERIRRKMFEVFFYTHHLYSLYLFFYLLHVGAAYFCMILPGIFLFLVDRYLRFLQSRQRARLLSSRLLPCGTIELNFSKSRGLYYNPTSILFLNVPSISKLQWHPFTVTSNCNMEPETLTVVIKRQGSWSQKLYQKISSSVGPVEMSVEGPYGPISSHFLRHELLVMVGGGSGVTPFISIIRQIIFQSTQPDSHIPRILLVCAFKNSGDLAILDLLLPINGTTTQITQLQLQIEAYITREEEQPIADTKKLLQTIRFKPNQSDSPITAALGPNNWLWLGAVITSSFVMFLLLLGIVTRYYIYPIDHNTGEIYHFSYFVLWDLLLVCASIVIASGAAFLWCKKQNGVEKQQIENLEVPNPTASPGSDLYSADTELESVPHQSLVQATNVHFGARPDLKRILFECKGSDVGVLACGPKAMRHEVAKICSSGLADNLHFESISFDW >Manes.06G151600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27917921:27922178:-1 gene:Manes.06G151600.v8.1 transcript:Manes.06G151600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO5 MIRLIFLVVFLGWIVIWILLPTKVYKNTWTPKLESKLNSTYFEGEGNNLLLFSFPVMFIAAFGSVYLHFQKELRKPTCKSAVVSSNRLSFLKRPLLVMAPLGIVTAMEIAFAAMFIALLIWSLANYLYVSFGHLHMHKQGEKIWQAKFRSVSLRLGYIGNICWAFLFFPVTRGSSILPLVGLTSESSIKYHIWVGHLSMILFAAHAVGFIIYWAMTNQMAEMLEWSKTWVSNVAGEIAIVVGLAMWVTSFERIRRKMFEVFFYTHHLYSLYLFFYLLHVGAAYFCMILPGIFLFLVDRYLRFLQSRQRARLLSSRLLPCGTIELNFSKSRGLYYNPTSILFLNVPSISKLQWHPFTVTSNCNMEPETLTVVIKRQGSWSQKLYQKISSSVGPVEMSVEGPYGPISSHFLRHELLVMVGGGSGVTPFISIIRQIIFQSTQPDSHIPRILLVCAFKNSGDLAILDLLLPINGTTTQITQLQLQIEAYITREEEQPIADTKKLLQTIRFKPNQSDSPITAALGPNNWLWLGAVITSSFVMFLLLLGIVTRYYIYPIDHNTGEIYHFSYFVLWDLLLVCASIVIASGAAFLWCKKQNGVEKQQIENLEVPNPTASPGSDLYSADTELESVPHQSLVQATNVHFGARPDLKRILFECKGSDVGVLACGPKAMRHEVAKICSSGLADNLHFESISFDW >Manes.06G151600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27917862:27922178:-1 gene:Manes.06G151600.v8.1 transcript:Manes.06G151600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO5 MIRLIFLVVFLGWIVIWILLPTKVYKNTWTPKLESKLNSTYFEGEGGNNLLLFSFPVMFIAAFGSVYLHFQKELRKPTCKSAVVSSNRLSFLKRPLLVMAPLGIVTAMEIAFAAMFIALLIWSLANYLYVSFGHLHMHKQGEKIWQAKFRSVSLRLGYIGNICWAFLFFPVTRGSSILPLVGLTSESSIKYHIWVGHLSMILFAAHAVGFIIYWAMTNQMAEMLEWSKTWVSNVAGEIAIVVGLAIYLRFLQSRQRARLLSSRLLPCGTIELNFSKSRGLYYNPTSILFLNVPSISKLQWHPFTVTSNCNMEPETLTVVIKRQGSWSQKLYQKISSSVGPVEMSVEGPYGPISSHFLRHELLVMVGGGSGVTPFISIIRQIIFQSTQPDSHIPRILLVCAFKNSGDLAILDLLLPINGTTTQITQLQLQIEAYITREEEQPIADTKKLLQTIRFKPNQSDSPITAALGPNNWLWLGAVITSSFVMFLLLLGIVTRYYIYPIDHNTGEIYHFSYFVLWDLLLVCASIVIASGAAFLWCKKQNGVEKQQIENLEVPNPTASPGSDLYSADTELESVPHQSLVQATNVHFGARPDLKRILFECKGSDVGVLACGPKAMRHEVAKICSSGLADNLHFESISFDW >Manes.06G151600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27917862:27922178:-1 gene:Manes.06G151600.v8.1 transcript:Manes.06G151600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO5 MIRLIFLVVFLGWIVIWILLPTKVYKNTWTPKLESKLNSTYFEGEGNNLLLFSFPVMFIAAFGSVYLHFQKELRKPTCKSAVVSSNRLSFLKRPLLVMAPLGIVTAMEIAFAAMFIALLIWSLANYLYVSFGHLHMHKQGEKIWQAKFRSVSLRLGYIGNICWAFLFFPVTRGSSILPLVGLTSESSIKYHIWVGHLSMILFAAHAVGFIIYWAMTNQMAEMLEWSKTWVSNVAGEIAIVVGLAIYLRFLQSRQRARLLSSRLLPCGTIELNFSKSRGLYYNPTSILFLNVPSISKLQWHPFTVTSNCNMEPETLTVVIKRQGSWSQKLYQKISSSVGPVEMSVEGPYGPISSHFLRHELLVMVGGGSGVTPFISIIRQIIFQSTQPDSHIPRILLVCAFKNSGDLAILDLLLPINGTTTQITQLQLQIEAYITREEEQPIADTKKLLQTIRFKPNQSDSPITAALGPNNWLWLGAVITSSFVMFLLLLGIVTRYYIYPIDHNTGEIYHFSYFVLWDLLLVCASIVIASGAAFLWCKKQNGVEKQQIENLEVPNPTASPGSDLYSADTELESVPHQSLVQATNVHFGARPDLKRILFECKGSDVGVLACGPKAMRHEVAKICSSGLADNLHFESISFDW >Manes.06G151600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27917862:27920946:-1 gene:Manes.06G151600.v8.1 transcript:Manes.06G151600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO5 MQMLEWSKTWVSNVAGEIAIVVGLAMWVTSFERIRRKMFEVFFYTHHLYSLYLFFYLLHVGAAYFCMILPGIFLFLVDRYLRFLQSRQRARLLSSRLLPCGTIELNFSKSRGLYYNPTSILFLNVPSISKLQWHPFTVTSNCNMEPETLTVVIKRQGSWSQKLYQKISSSVGPVEMSVEGPYGPISSHFLRHELLVMVGGGSGVTPFISIIRQIIFQSTQPDSHIPRILLVCAFKNSGDLAILDLLLPINGTTTQITQLQLQIEAYITREEEQPIADTKKLLQTIRFKPNQSDSPITAALGPNNWLWLGAVITSSFVMFLLLLGIVTRYYIYPIDHNTGEIYHFSYFVLWDLLLVCASIVIASGAAFLWCKKQNGVEKQQIENLEVPNPTASPGSDLYSADTELESVPHQSLVQATNVHFGARPDLKRILFECKGSDVGVLACGPKAMRHEVAKICSSGLADNLHFESISFDW >Manes.06G054300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:17930847:17932103:1 gene:Manes.06G054300.v8.1 transcript:Manes.06G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIAAAAAAVACNSTGSSRNGNNHQQMEQATLSPSLPLPPPSLPLSRYESQKRRDWNTFGQYLRNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGHPHPPAPCPCPLKQAWGSLDALIGRLRAAFEENGGLPETNPFGARAVRLYLREVRDSQSKARGIAYEKKKRKKPQQQQQQQLQSTNGYNNQIHHGGGGGGGGGVSGGFVERNMAIRGMINMGSVLN >Manes.09G096100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29024600:29026737:1 gene:Manes.09G096100.v8.1 transcript:Manes.09G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSYLLRRASAFVLPLAIRSVGSQRTFHSAISAVLSVEKRNLRYEARRHVFLPFFRFSTSTGPKPSTDENLIRVLESEIDCAEQPNDVEDIPNGFSFEIQDNPGARTILLKKNYQDETIKIEVDEPSIPDEDVQEDDDDDQDKNVEDTDNPASLPLIVSITKGKGQIVEFGITAYPDEITIDTLSIKDSESSEDKLAYGPDFCDLPENLQKDFHEYLDSIGIKPSMMNFLFEYMRNKDKKEYLIWLKNLKSFMER >Manes.04G004000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:617386:619804:1 gene:Manes.04G004000.v8.1 transcript:Manes.04G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPVEVIGNILSQLAVARDVVIASATCRKWREAYRKHLHTLSFNSHDWPVYRDLTTSRLEILITKTIFQTTALQGLSILMDDVDEFSASTVIAWLMYTRGTLRQLVYNVMTTPNVNILEVCGRQKLEILELSHNSIPGVELSYQRFPRLKTLSLSYVSISALDLSLLLAACLKIETLELINPDIATSDAQVTIELSSPTLKSVYVESISLDKFILEADSIERLHLKDCSLELFELIGKKTLKFFKIDDVSLIQFDTGETVENLEIVDVSNFTFIWPKFYQLISKSSKLKRLRLWNVVFDDEAIIVDLETIAVCFPQLSHLSLGYDLRDGALHYGLQGSSNLVNVFFLELGWTIINDLFPHWVEGLLKRCPNLRKLVIHGVVSEAKSQEECRMLATLTSTIVRLMRKYMNVEVQFEYE >Manes.01G054600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:22644018:22686011:-1 gene:Manes.01G054600.v8.1 transcript:Manes.01G054600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDGLPVPPDKEYLRDELSRIDESWATARFDSLPHVVHILTSKDREGEVQILKEQSDVVEEVVDEVVHAYHGGFNKAIQNYSQILRLFSESAESIGTLKVDLSEAKKRLGTRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPSRIEKLIAEKQYYAAVQLHVQSTLMLEREGLQTVGALQDVRSELTKLRGTLFYKVFEDLHAHLYNNGEYSSVASSMNERDDALPTTTAVAFTMSNSQSLSRRTRLMKGDNHGFMDGSYRLSSADGGSSFDGHDEEGTLESHDESNLNGHSATMRVNGGDGNTKDSKVVPHQVPHWLSNSTPDEFVETIKKSDALLHVKYLLTMVECLCMLGKVAAAGAMICQRLRPTVHDIITSKIKSHAELVNSSKSSICQAVQTAATGLHIMKGQLESYQLSKQKPQNGISLAVTLLSVSPVSPVMAPAGKAQAAAKDLLSSILDTVVQIFENHVVVGELLESKSTQNADMNTAQSMIDVNWNPDSEASQVAGGFSIGSSMSVLQSECQQLICEILRATPEAASADAAVQTARLASKAPSKEKKDGSEDGLTFAFRFTDASISIPNQGVDLIRQGWNRRGPNVLQEGYGSAAVLPEQGIYLAASVYRPVLEFTDKVASLLPKKYSQLGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHAAASYTSSIEKGRPVLQGLLAIDFLAKEVLGWAQAMPKFSSDLVKYVQTFLERAYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRLDPASSCLPNPPSKANVNNTSGSESAEVESELSELLLNLRPIRQENLIRDDNKLILLASLSDSLEYVADSIERLTLRRSSQAEVKGKDLASFSDDYRKLAVDCLKVLRVEMQLETIFHMQEMSNREYLEDQDAEEPDDFIISLTAQITRRDEEIAPFVAGVKRNYIFGGICGIAANASIKALADMKAINLFGVQQICRNSIALEQALAAIPSIDSEAVQQRLDHARTYYELLNMPFEALLAFITEHEHLFTGPEYGSLLKVRVPGREIPPDAQDRVSDILSH >Manes.12G117900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31920370:31923574:1 gene:Manes.12G117900.v8.1 transcript:Manes.12G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWSLLLLLTIFSSSNLSVSDPNDEACLTHLSQSLKDPTNSLQNWTKPNLANPCSGFNSYLSGATCNNGRIYKLSLTNLSLQGSISPYISNCTNLQTLDLSSNSLSGPIPADLQYLVNLAVLNLSSNRLEGEIPPQLALCAYLNVIDFHDNLLTGQIPQQLGLLVRLSAFDVSNNKLSGPIPGPLGNRSGNLPRFNATSFEGNKDLYGYPLPPMKSKGLSVLTIVGIGLGSGFASLVLSFTGVCIWLKITEQKMALEEGKNSQLMPDYGA >Manes.10G141750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31062218:31062739:-1 gene:Manes.10G141750.v8.1 transcript:Manes.10G141750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNEDTCEKNKKRIKKLKDSSSHTTNSSSFRSALSLQVSLPSSRVNLSESISSLPKSSTLILNHYSQGHMIFTIAYSEAIFRHNSRPFLHG >Manes.07G109000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31472108:31474135:1 gene:Manes.07G109000.v8.1 transcript:Manes.07G109000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSGNIRYDEEFILNSRGLKLFTCKWIPVNQYPKALIFICHGYAMECSITMNSTAHRLAKEGYAIYGIDYEGHGKSAGLDGYVENMDNVIDDCSAHFTGICEKEENRKKMRYLLGESMGGAVALLLHFKKPEFWDGAIFAAPMCKIADDMRPHPMVINVLTKLTTYIPTWRVLPSKDIIDLAFKVPEVRQEVSLPFLVLHGEDDKVTDKKVSQQLHRVASSKDKTIKLYPGMWHGLLYGEPLENIEIVFKDIIGWLEERVALGNTRLERELKQRNDK >Manes.07G109000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31472108:31474135:1 gene:Manes.07G109000.v8.1 transcript:Manes.07G109000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSGNIRYDEEFILNSRGLKLFTCKWIPVNQYPKALIFICHGYAMECSITMNSTAHRLAKEGYAIYGIDYEGHGKSAGLDGYVENMDNVIDDCSAHFTGICEKEENRKKMRYLLGESMGGAVALLLHFKKPEFWDGAIFAAPMCKIADDMRPHPMVINVLTKLTTYIPTWRVLPSKDIIDLAFKVPEVRQEIRSNPYCYKGRPRIKTGYELFKTTMELEQKLEGVSLPFLVLHGEDDKVTDKKVSQQLHRVASSKDKTIKLYPGMWHGLLYGEPLENIEIVFKDIIGWLEERVALGNTRLERELKQRNDK >Manes.09G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32191593:32196879:-1 gene:Manes.09G119100.v8.1 transcript:Manes.09G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLPWRQPLLPLRLHRRPIPLCNAVTVRAFQRSDFDRFARNAWRTANDGFEQFIFEAKKTAERIDRRYSVSRRLNSVAQSAADRFREVDREMEISVRWRTFSMDFVRNWPRYRRQLNDFLDTPLGRSFATIFFLWFALSGWLFRFLIYATWILPFAAPLLIGTVANNLVIKGACPACKRQFVGYKNQIIRCGSCGNIVWQPEGDFFSRGGRGRGSSSSKSDRDIIDVEFEEK >Manes.10G125200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29268569:29272736:1 gene:Manes.10G125200.v8.1 transcript:Manes.10G125200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSTPPCKYDVFISFRGKDIRGGFLSHLFDALQRKQINPFMDENLRKGEEISPALLETIQDSYVSIVVFSQNYADSPWCLDELVKILECKEILGQLVLPIFYHVDPTDIQDLIGNFGEAFAVAEHGEEFKGCLDKVDKWRRALMEISNLSGWDSKKIKSESKLVEEIANDVCKKLSLISSSDSYNDNFVGIESRLKKVESLLCIESKYDRRVIGIWGMGGIGKTTIALQVLNRIMDKFDGHYFVDNVREKMRKQTHVFLDEIINQLLGEKDLRVSTSLLPPSTRRRLQSKKVVIVFDDVDDPNHLKLLAGECALYHNGSRIIVTSRDRQVLKNVCSEGYIYEVEKLTDDEALCLFSLYTFKQLHPKKGYVEISKKLITYAQGIPLALVILGSNLYDKGIEEWESELEKLKEIPDMNIQAVLRISYDGLERHEKSIFLDIACFLKGEPKDRVERIFEGCGFFPRRAISRLIDKSLITVSNGKVGMHDLLQQMGKDVVCEESKQLGTRSRLWKYKDICHVLTRDKGTENIEGILLDTYGNGYLELSPTAFMNMCNLRFLKFFNVSTSGPGRVLLPSGLEFLPKELRYLHWEGYPLKSLPINFCPRNLVELRMPHSNLIQLWNQEKALESLKFLDLSCSFELTKVPDLSSAPNLEVLCLIGCSNLIEIPSSVGECKCLKEIDLVCCSKLHSIPQSICNSKSLTRLDITGCRNVKALPENMGDLELLKNLYTCGSGIKTLPSSINQLRRLEELRCVGCKGLTLPPLTGLSCVREIDLSDCGILEIPQSLWFLVSLEELHLGGNNFKTTPASIKHLTELKELLLKGCKRLKCLPELPSCLKDLDASECTSLESASTSFLFLEHDDEKEAKRLEFRNCINLDKNVHDKVMEDVLKTHLLKHKIVKLCIAGVEVPETMRYKNKSGSSLSFRLDQGNLTGFSLCAVFDPKNYSHDHIIFNCCTANFINKSGHSSEIFICEVLFSLHHPFYSEHVFLWNKLLDMEESFLEASFQFSIARHSFKPTCRLDRDYDSIIMCGVHPIFREDRLSRDKKRSRIEEDKEDEPSLQRLKNKTENLRIRTINHQEQEEELCLDVDH >Manes.13G011600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1490071:1491720:-1 gene:Manes.13G011600.v8.1 transcript:Manes.13G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNPEQLVITGESKDMLESKLEETQVSISNDSPIQNGRPSSMVIKKAHRVIPAHLIAEAISTIRGLDLRWSGPITPSEMEYVRQYVFAKYPQYCNGIVEERDSIHLSNLSIHEESSESTGNEKHNNSPKNLASKESSSPSFTRTLSLDKSQLEASRLVDILSKKTSFEGNFISIPEIQAQNRALKNCGLSEEDYLVIFMPNYKDAMLMIGESYPFFKGNYYMTILGEEVDTIREFAIQRESKVIPMPETWLDLRIKGSQLSQYFRRKCKYTPKGLFSYPAVVNGTRYSMHWISEAHRNSWHVLLDATGLVFGEDRLALALHRPDFVLCTLDNTHGQPSNITCLLVRKISFETSASLA >Manes.12G101900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25395375:25398865:1 gene:Manes.12G101900.v8.1 transcript:Manes.12G101900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKRKRTIVSLSSSSSSEEEEEEEEEVEDDDDDDDDDDDDDGDEEISEDEHEEESESDNVDEESEDDEDDGGMEEESLCNRVIRLLKEKKNLEVLSLKECKAYLRKHGLRRAGTKAVCVQRIKEHWRIKDGRGESLYPRSSFVINCTGDVCKGDVVLFTQKVYEKFDKVRRQGNLLGNRTVAGRVVKESYGSAKQQHTFTVEVLWSKGIKKLPPLFPLLVKGRNLYRLKTFRQRWNNEVERQIVLDEKHKRGRAARLVRAMKKSKKKLSANGRLNEGSFQTRQQAEPSKGKHAYGHKRDTFQKRAKYNNHHQEVPPSRQRNSKRNISSVVRSKTTWRNRKHEHLNVDIDPAFHPHTYIGEAPCPSKKEYHHGSIQFQFSGHDMGSTSTMTKLPPLRPCTDPHVMTTFQHQGPNHTNGIYHVHSNPCYNPGRRNLNHYLS >Manes.12G101900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25395366:25398954:1 gene:Manes.12G101900.v8.1 transcript:Manes.12G101900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKRKRTIVSLSSSSSSEEEEEEEEEVEDDDDDDDDDDDDDGDEEISEDEHEEESESDNVDEESEDDEDDGGMEEESLCNRVIRLLKEKKNLEVLSLKECKAYLRKHGLRRAGTKAVCVQRIKEHWRIKDGRGESLYPRSSFVINCTGDVCKGDVVLFTQKVYEKFDKVRRQGNLLGNRTVAGRVVKESYGSAKQQHTFTVEVLWSKGIKKLPPLFPLLVKGRNLYRLKTFRQRWNNEVERQIVLDEKHKRGRAARLVRAMKKSKKKLSANGRLNEGSFQTRQQAEPSKGKHAYGHKRDTFQKRAKYNNHHQEVPPSRQRNSKRNISSVVRSKTTWRNRKHEHLNVDIDPAFHPHTYIGEAPCPSKKEYHHGSIQFQFSGHDMGSTSTMTKLPPLRPCTDPHVMTTFQHQGPNHTNGIYHVHSNPCYNPGRRNLNHYLS >Manes.12G101900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25395367:25398897:1 gene:Manes.12G101900.v8.1 transcript:Manes.12G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKRKRTIVSLSSSSSSEEEEEEEEEVEDDDDDDDDDDDDDGDEEISEDEHEEESESDNVDEESEDDEDDGGMEEESLCNRVIRLLKEKKNLEVLSLKECKAYLRKHGLRRAGTKAVCVQRIKEHWRIKDGRGESLYPRSSFVINCTGDVCKGDVVLFTQKVYEKFDKVRRQGNLLGNRTVAGRVVKESYGSAKQQHTFTVEVLWSKGIKKLPPLFPLLVKGRNLYRLKTFRQRWNNEVERQIVLDEKHKRGRAARLVRAMKKSKKKLSANGRLNEGSFQTRQQAEPSKGKHAYGHKRDTFQKRAKYNNHHQEVPPSRQRNSKRNISSVVRSKTTWRNRKHEHLNVDIDPAFHPHTYIGEAPCPSKKEYHHGSIQFQFSGHDMGSTSTMTKLPPLRPCTDPHVMTTFQHQGPNHTNGIYHVHSNPCYNPGRRNLNHYLS >Manes.04G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34072873:34076225:1 gene:Manes.04G142300.v8.1 transcript:Manes.04G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAHSTNAVGNRPDEYILINDAEEPRLGMFDKPLPCFGCGIGWFSFLLGFVFPLMWYFATILYFGRYYHKDPRERSGLAACAIAATICTVAAVIALVVTLL >Manes.10G086050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22201427:22202245:-1 gene:Manes.10G086050.v8.1 transcript:Manes.10G086050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWGSVLFIWVSSIMCLICVSLGYNTVDDYLIDCGSSTNKSLGDRVFVADQFFSNLLSTPHITFANASSSPNSSAYDPSLFQTARIFNETSYYSFSVNKPGRHWIRLYFFPFMFKNYNLSTAKFSVSAQNFTLIHPLMEAKARRNG >Manes.02G163600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12824274:12825573:-1 gene:Manes.02G163600.v8.1 transcript:Manes.02G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWFVSLWFEILHENRRELLWIIAGTCFFRIANWAAVQFSSPDQYCILKQTNAGQIAKCLEGVRDFVVVSTASVIVKVEYESCLKGWFSWVACCII >Manes.17G001300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:1491712:1492329:1 gene:Manes.17G001300.v8.1 transcript:Manes.17G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVLFLFSLFSLFLVALHLHIDLAEAASRPLHIHPPTIPKASLRKPKPYFANLYAINRFKFTETEAFRPTSPGHSPGVGHENPPGAS >Manes.05G163000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27554115:27557320:-1 gene:Manes.05G163000.v8.1 transcript:Manes.05G163000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSTIAPPRRKPSWRERENNRRRERRRRAIAAKIFTGLRAQGNYNLPKHCDNNEVLKALCIEAGWVVEDDGTTYRKGCKPPPIDIVGTSARITPYSSQNPSPLSSSFPSPIPSYQVSPSSSSFPSPSRFENNAPSNLLPFLQNAIPLSLPPLRISNSAPVTPPLSSPTAKNPKPIPNWELIAKESMTSLNYPFYAVSAPASPTHRQFHAPATIPECDESDTSTVESGQWISFQKFPPSVAAMPTSPTYNLVKPVAEQILSSNVMKENGRSMEFEFGNGQVKPWEGERIHEVGLDDLELTLGNGKARS >Manes.05G163000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27554930:27557317:-1 gene:Manes.05G163000.v8.1 transcript:Manes.05G163000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSTIAPPRRKPSWRERENNRRRERRRRAIAAKIFTGLRAQGNYNLPKHCDNNEVLKALCIEAGWVVEDDGTTYRKGCKPPPIDIVGTSARITPYSSQNPSPLSSSFPSPIPSYQVSPSSSSFPSPSRFENNAPSNLLPFLQNAIPLSLPPLRISNSAPVTPPLSSPTAKNPKPIPNWELIAKESMTSLNYPFYAVSAPASPTHRQFHAPATIPECDESDTSTVESGQWISFQKFPPSVAAMPTSPTYNLVKPVAEQILSSNVMKENGRSMEFEFGNGQVKPWEGERIHEVGLDDLELTLGNGKARS >Manes.14G120900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11694094:11696094:1 gene:Manes.14G120900.v8.1 transcript:Manes.14G120900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRSSSGPVIRSLSPSGRFHLPRSPCSNAFASSTSSFASRSSTLFSSHHQNHRSASPTRVNLYGSTPSVPSVRFSLDRPVSPNRAISTINPSRGQQVVRKPSTPKRTCMCSPTNHPGSFRCSLHKNVNNSHSAVNCSPNTRLNARRSAMTNSLVRICGVEGDLVKRALSALIRPSSHQQRRRAAFQHRPSRLSVMSKAKDV >Manes.14G120900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11694094:11696023:1 gene:Manes.14G120900.v8.1 transcript:Manes.14G120900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRSSSGPVIRSLSPSGRFHLPRSPCSNAFASSTSSFASRSSTLFSSHHQNHRSASPTRVNLYGSTPSVPSVRFSLDRPVSPNRAISTINPSRGQQVVRKPSTPKRTCMCSPTNHPGSFRCSLHKNVNNSHSAVNCSPNTRLNARRSAMTNSLVRICGVEGDLVKRALSALIRPSSHQQRRRAAFQHRPSRLSVMSKAKDV >Manes.03G112150.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23685915:23692155:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRPVLLVTDKSSNCWYSELQSDAIPSLKWHGFLGSFEASNILTTEMLRKNGMSTLQLDYSWAPECAVLICFTSGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGFKIPKRFVLWTKPFPLTTTGKIRRDQIRREVDSLFRFLPCSL >Manes.03G112150.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23685915:23692155:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRPVLLVTDKSSNCWYSELQSDAIPSLKWHGFLGSFEASNILTTEMLRKNGMSTLQLDYSWAPECAVLICFTSGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGFKIPKRFVLWTKPFPLTTTGKIRRDQIRREVDSLFRFLPCSL >Manes.03G112150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23687570:23692156:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSQAHICQCLTRLSTVRRNSIVTIAGDRQKTGQQFVEDVLSLARGLLELGLKSGDIVAICAFNSDWYLEWLLAVAFVGAIVAPLNYRWSFEEAKSAMLSVRPVLLVTDKSSNCWYSELQSDAIPSLKWHGFLGSFEASNILTTEMLRKNGMSTLQLDYSWAPECAVLICFTSGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGYLSPSIHSCQCKTQSHSVLSVRFSF >Manes.03G112150.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23685915:23692155:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGFKIPKRFVLWTKPFPLTTTGKIRRDQIRREVDSLFRFLPCSL >Manes.03G112150.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23685915:23692155:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRPVLLVTDKSSNCWYSELQSDAIPSLKWHGFLGSFEASNILTTEMLRKNGMSTLQLDYSWAPECAVLICFTSGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGFKIPKRFVLWTKPFPLTTTGKIRRDQIRREVDSLFRFLPCSL >Manes.03G112150.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23685915:23692155:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKNGMSTLQLDYSWAPECAVLICFTSGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGFKIPKRFVLWTKPFPLTTTGKIRRDQIRREVDSLFRFLPCSL >Manes.03G112150.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23685915:23692155:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKNGMSTLQLDYSWAPECAVLICFTSGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGFKIPKRFVLWTKPFPLTTTGKIRRDQIRREVDSLFRFLPCSL >Manes.03G112150.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23685915:23692155:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSQAHICQCLTRLSTVRRNSIVTIAGDRQKTGQQFVEDVLSLARGLLELGLKSGDIVAICAFNSDWYLEWLLAVAFVGAIVAPLNYRWSFEEAKSAMLSVRPVLLVTDKSSNCWYSELQSDAIPSLKWHGFLGSFEASNILTTEMLRKNGMSTLQLDYSWAPECAVLICFTSGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGFKIPKRFVLWTKPFPLTTTGKIRRDQIRREVDSLFRFLPCSL >Manes.03G112150.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23685915:23692155:-1 gene:Manes.03G112150.v8.1 transcript:Manes.03G112150.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKNGMSTLQLDYSWAPECAVLICFTSGTTGRPKGVVINHSALIVQSLAKVAIVGYCEEDVYLHTTPLCHIGGLSSAMATLMVGACHVLIPKFEATLAAESIELHRVTSLITVPTILGDLISLSRAKETSKGSQTVEKILNGGGSLSVALTNDVRKFFPRAKLLSAYGMTESCSSLTFMTLYNPTTETPSQLLFQTSSKVKSASVNQPQGVCVGKPAPHIELKVCTDGSFQIGRILTRGPHVMLRYWDQNPSTTLNHTNEVWLDTGDIGYIDDSGNLWLIGRTNNRIKSGGENVHPEEVESILLQHPGVVAVIVLGIPDARLTEMVVACVKIKEGWQWSDNDCEPPAENQLFLCSEILHDYCREKNLSGFKIPKRFVLWTKPFPLTTTGKIRRDQIRREVDSLFRFLPCSL >Manes.08G159750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39589285:39590672:-1 gene:Manes.08G159750.v8.1 transcript:Manes.08G159750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVLMCFGDKLDQKQIEEVERVERAMLLNSCRFNLLNFWPRLSKIVFPKCWSQFLHLRKDGEDVLIPLIRARMKVKEEKLSKSNNGDDDYILSYVDTLLDLQLPHEKRKLSEDEIVTLCNEFLNAGIDTTSTALHWIMANLVKYPQIQEKLFVEIKGIIGEAAEEIKEEEVKQMAYLIAVVLEGLRRQPPGHFVLPHSVTDDAKLGNYLLPKNGTINFMVAEMGWDPKVWEDPMAFKPERFMGSEEVFDITGSREIKMMPFGVGRRMCPGYGFAMLNLEYFVANLVWKFERMEMMLTCLRRSSQW >Manes.08G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37108585:37112028:-1 gene:Manes.08G134400.v8.1 transcript:Manes.08G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEGTNYGAFTYENLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKDVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARISGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSIDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMADIVLSFENKKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKAQGIDLSIYGSSKVVGTQAPVQLGSLRAADGKE >Manes.16G086700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29349646:29350873:-1 gene:Manes.16G086700.v8.1 transcript:Manes.16G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWSREVTLLVNSWRTQTWLAYSLTLLVCVVASALYQLLENLRIRLRVKVIAATSGSAQEPLLQPKTGRGKWSAARLAGAVLFGVNSAIGYLLMLAVMSFNGGVFVAIVLGLVIGYLLFRSEDENLTVSENPCACA >Manes.05G121300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20393272:20402946:-1 gene:Manes.05G121300.v8.1 transcript:Manes.05G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAKQLKRGISRQFSTGSMRRTFSRQFSRQTSLDPRRNNMRFSFGRQSSLDPIRRSPIHDEQFNVPENLDTTMHLLFLACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHLEVVKLLLSRKANIDARDRWGSTACADAKYYGNVEIYNVLKARGAKAPKTRKTPMAVANPQDVPEYELNPLELQVRKSDGISKGSYQVAKWNGTKVTVKILDMDSYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQKIPMMIVSEYHPKGHLASYLQKKGRLSPSKFWRCSLDIARGMNYLHECKPDPIIHCDLKPKNVLLDNGGQLKISGFGLIKLSRISPDKVKLAPGTPIDPSDIYMAPEIYQDEVCDRSVDTYSFGVILYEMIEGVLPFHPKSPEEAVKLMCLEKKRPSFKSKSRNCPPDLKELIDECWHPEPVARPTFSEIIVRLDRIVGQCSKQGWWKDTFKLRWK >Manes.05G121300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20393272:20402946:-1 gene:Manes.05G121300.v8.1 transcript:Manes.05G121300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAKQLKRGISRQFSTGSMRRTFSRQFSRQTSLDPRRNNMRFSFGRQSSLDPIRRSPIHDEQFNVPENLDTTMHLLFLACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHLEVVKLLLSRKANIDARDRWGSTACADAKYYGNVEIYNVLKARGAKAPKTRKTPMAVANPQDVPEYELNPLELQVRKSDGISKGSYQVAKWNGTKVTVKILDMDSYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQKIPMMIVSEYHPKGHLASYLQKKGRLSPSKFWRCSLDIARGMNYLHECKPDPIIHCDLKPKNVLLDNGGQLKISGFGLIKLSRISPDKVKLAPGTPIDPSDIYMAPEIYQDEVCDRSVDTYSFGVILYEKKL >Manes.05G121300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20393271:20402947:-1 gene:Manes.05G121300.v8.1 transcript:Manes.05G121300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAKQLKRGISRQFSTGSMRRTFSRQFSRQTSLDPRRNNMRFSFGRQSSLDPIRRSPIHDEQFNVPENLDTTMHLLFLACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHLEVVKLLLSRKANIDARDRWGSTACADAKYYGNVEIYNVLKARGAKAPKTRKTPMAVANPQDVPEYELNPLELQVRKSDGISKGSYQVAKWNGTKVTVKILDMDSYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQKIPMMIVSEYHPKGHLASYLQKKGRLSPSKFWRCSLDIARGMNYLHECKPDPIIHCDLKPKNVLLDNGGQLKISGFGLIKLSRISPDKVKLAPGTPIDPSDIYMAPEIYQDEVCDRSVDTYSFGVILYEVD >Manes.12G015600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1472260:1473392:1 gene:Manes.12G015600.v8.1 transcript:Manes.12G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRWIRPEVFPLFAAVGVAVGICGMQLVRNICTNPEVRVTKENRAAGVLENFAEGEKYAEHSLRKFVRKRPPQIMPSVNGFFSDPDLPSSK >Manes.14G171000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28091645:28097035:-1 gene:Manes.14G171000.v8.1 transcript:Manes.14G171000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVEEREIQKNYWIEHSAELTLEAMMLDSKASDLDKEERPEVLSLLPPYEGKSVLELGAGIGRFTGELAQKAGQLVAVDFIESVIKKNESINGHYKNVKFMCADVTSSDLNFSEGSVDLIFSNWLLMYLSDKEVENLAERLVKWLKIGGYIFFRESCFHQSGDAKRKYNPTHYREPRFYTKVFKECHTSDGSGNSYELSLIGCKCIGAYVRKKKNQNQICWIWQKVHSQDDKGFQQFLDNVQYKSNGILRYERVFGQGYVSTGGIETTKEFVAKLDLKPGQKVLDVGCGIGGGDFYMAENFDVDVVGIDLSINMILFALERATGLKCNVEFEVADCTKKTYPDSTFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKLLISDYCKSAGTPSTEFAEYIKQRGYDLHDVQAYGQMLRDAGFDDVIAEDRTDQFNQVLQRELNAVEKGKDEFIRDFSEEDYNDIVGGWKAKLIRSSSGEQRWGLFIAKKN >Manes.03G102300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:22622855:22623938:1 gene:Manes.03G102300.v8.1 transcript:Manes.03G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREGAVVKKGEGGLKLAVSLYEEFGLPLGLLPLDDVIEVGFVRSSGYMWILQEKKAVHKFKMIGKLVSYDTQVTCYIEPNRIKKLKGVKAKELIFWTACGEIFVDDPPTGKVHFKAIGGLTVTFPSEAFGLGQ >Manes.08G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39007876:39022475:1 gene:Manes.08G152300.v8.1 transcript:Manes.08G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCKACARDVPGIRDGDGLLSCSRCGKVLKFDNYSTEATFVKNASGQSQLAGKLVRSIENENFSRQRLYDKAYDDLISIKNGLGMGENLAIVDQAMVYYRIAVERNFTKGRRTEQVQAVCLYIACRENRKPYLLIDFSNYLRINIYVLGAVFLQLCKVLNLTEHPICQKLLDPSIFIHKYTASLAGGKNKDISDSALTIIASMNRDWMQTGRRPSGLWGAALYISALSHGLNCSKSDILKLVHVCEATLSKRLVEFESTESGSLTIEELNAKAEELKESSTDQSDVMLKTSSSKELLCHHKGTSRLPHAYGLCNECYAYFIGFEGGMDPPAFQRAERQRKENPSAMDKTVDPNAFEELNSQHVGRDERLQSTEPQSLGGTAQDMPANDGGYGKFNGEDDTCSKAHDESDNFSDIDDAEVDGYLHNEEEAKYKKIIWEEMNREYLEEQAAKEAAAAAAKEAWEATFKNCPEDVQAAKKLEAAVAAAVAKSKKERQQKRAAEARNSAPPQSASEAARQMLTKKRISSKINYDALEKLFDEPGSKDPKKPRTESHSDDDDKFPHTDNEKDDLGQENKNVDEEDAEAYNYTNDPYYENGEEAYGYDYNENDDYSVY >Manes.08G152300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39010803:39022475:1 gene:Manes.08G152300.v8.1 transcript:Manes.08G152300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCKACARDVPGIRDGDGLLSCSRCGKVLKFDNYSTEATFVKNASGQSQLAGKLVRSIENENFSRQRLYDKAYDDLISIKNGLGMGENLAIVDQAMVYYRIAVERNFTKGRRTEQVQAVCLYIACRENRKPYLLIDFSNYLRINIYVLGAVFLQLCKVLNLTEHPICQKLLDPSIFIHKYTASLAGGKNKDISDSALTIIASMNRDWMQTGRRPSGLWGAALYISALSHGLNCSKSDILKLVHVCEATLSKRLVEFESTESGSLTIEELNAKAEELKESSTDQSDVMLKTSSSKELLCHHKGTSRLPHAYGLCNECYAYFIGFEGGMDPPAFQRAERQRKENPSAMDKTVDPNAFEELNSQHVGRDERLQSTEPQSLGGTAQDMPANDGGYGKFNGEDDTCSKAHDESDNFSDIDDAEVDGYLHNEEEAKYKKIIWEEMNREYLEEQAAKEAAAAAAKEAWEATFKNCPEDVQAAKKLEAAVAAAVAKSKKERQQKRAAEARNSAPPQSASEAARQMLTKKRISSKINYDALEKLFDEPGSKDPKKPRTESHSDDDDKFPHTDNEKDDLGQENKNVDEEDAEAYNYTNDPYYENGEEAYGYDYNENDDYSVY >Manes.08G152300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39010897:39022475:1 gene:Manes.08G152300.v8.1 transcript:Manes.08G152300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCKACARDVPGIRDGDGLLSCSRCGKVLKFDNYSTEATFVKNASGQSQLAGKLVRSIENENFSRQRLYDKAYDDLISIKNGLGMGENLAIVDQAMVYYRIAVERNFTKGRRTEQVQAVCLYIACRENRKPYLLIDFSNYLRINIYVLGAVFLQLCKVLNLTEHPICQKLLDPSIFIHKYTASLAGGKNKDISDSALTIIASMNRDWMQTGRRPSGLWGAALYISALSHGLNCSKSDILKLVHVCEATLSKRLVEFESTESGSLTIEELNAKAEELKESSTDQSDVMLKTSSSKELLCHHKGTSRLPHAYGLCNECYAYFEELNSQHVGRDERLQSTEPQSLGGTAQDMPANDGGYGKFNGEDDTCSKAHDESDNFSDIDDAEVDGYLHNEEEAKYKKIIWEEMNREYLEEQAAKEAAAAAAKEAWEATFKNCPEDVQAAKKLEAAVAAAVAKSKKERQQKRAAEARNSAPPQSASEAARQMLTKKRISSKINYDALEKLFDEPGSKDPKKPRTESHSDDDDKFPHTDNEKDDLGQENKNVDEEDAEAYNYTNDPYYENGEEAYGYDYNENDDYSVY >Manes.08G152300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39007876:39022475:1 gene:Manes.08G152300.v8.1 transcript:Manes.08G152300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCKACARDVPGIRDGDGLLSCSRCGKVLKFDNYSTEATFVKNASGQSQLAGKLVRSIENENFSRQRLYDKAYDDLISIKNGLGMGENLAIVDQAMVYYRIAVERNFTKGRRTEQVQAVCLYIACRENRKPYLLIDFSNYLRINIYVLGAVFLQLCKVLNLTEHPICQKLLDPSIFIHKYTASLAGGKNKDISDSALTIIASMNRDWMQTGRRPSGLWGAALYISALSHGLNCSKSDILKLVHVCEATLSKRLVEFESTESGSLTIEELNAKAEELKESSTDQSDVMLKTSSSKELLCHHKGTSRLPHAYGLCNECYAYFEELNSQHVGRDERLQSTEPQSLGGTAQDMPANDGGYGKFNGEDDTCSKAHDESDNFSDIDDAEVDGYLHNEEEAKYKKIIWEEMNREYLEEQAAKEAAAAAAKEAWEATFKNCPEDVQAAKKLEAAVAAAVAKSKKERQQKRAAEARNSAPPQSASEAARQMLTKKRISSKINYDALEKLFDEPGSKDPKKPRTESHSDDDDKFPHTDNEKDDLGQENKNVDEEDAEAYNYTNDPYYENGEEAYGYDYNENDDYSVY >Manes.05G142000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24172550:24173451:1 gene:Manes.05G142000.v8.1 transcript:Manes.05G142000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSAAVAIPSFTGLKAASTTTTAKVNAGAKVTASSVPKLAIKASMKDVGVAVVATAASAMLASNAMALEILLGADDGSLAFVPKNFSVASGEEIVFKNNAGFPHNVVFDEDEIPSGVDASAISMPEEELLNAAGETYKVTLKEKGSYAFYCAPHRGAGMSGNVTVN >Manes.18G064500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5834919:5837919:1 gene:Manes.18G064500.v8.1 transcript:Manes.18G064500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.18G064500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5836054:5837919:1 gene:Manes.18G064500.v8.1 transcript:Manes.18G064500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.03G042000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3692364:3694597:-1 gene:Manes.03G042000.v8.1 transcript:Manes.03G042000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAAKRLFSGAAVGKSRDAHLRFLNIQPIVTVSRFFTSVNPQSFSNQNPNSQNQIPRVNVEATANQSDSNASSASGGSPSFTADESRYRHQHRQERRIPRIEYQEEQARVLQASLRHVIRLGWSEGAMIAGARDAGLSPAIVGSFPRKEASLVEFFMDECLQNLIDRIDTGEELQNLAPSERISKLVRMRLEMQAPYISKWPQALSIQAHPSNVATSFKQRAMLLDEIWHAAGDDGSDIDWYVKRTVLGGIYSTTEIYMLTDSSPDFRDTWAFLDNRVKDAFDLKKTMQEAVYLAEAVGAGMGNPMQGFLKRVFQR >Manes.02G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1095982:1098410:1 gene:Manes.02G010500.v8.1 transcript:Manes.02G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWHATHRTTICNSLPNFFSLLQGGLSNESRGHCEDDVVMVASGRIMSESAKRSGSGETLLKRPNYNSQRRELDTNSMKALCSVDGVFLDDGGEYNTSKKRGPTEVTSSEDTNCRGKERKNHDNNSSEFSLSHKSEYSDPSKGKKVVKPVGINMAGCDGDDGHVSMTCVSHGSISVIGRRRAMEDALTVAPGVVVGEHGRYDFFAVYDGHGGARVAYACRDRMHQLVAKEVEKGRSINEKGLGYWEKVMGTCFNKMDEEVSPGGGDGGGGENGEELSEKTVGSTAAVVMVGREEVVVANCGDSRVVVCRGGVAVALSNDHKPDRSDERERVEAAGGRVINWHGSRVLGVLGTSRSIGDQYLKPYVSSEPEVTVIERNESDDFIIIATDGLWDVISSEFACEIVKKYFDGRIKNTRLAERFAGRSAAEAAAMLAELAMARGSKDNISVIIVELKSSKSSK >Manes.03G059300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6168958:6173316:-1 gene:Manes.03G059300.v8.1 transcript:Manes.03G059300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEPDQTCPMMFILMFLFLNCRMLHAEEELELLLSFKYSINDPLHYLSNWNPSVTFCKWQGVTCNNSSHIKVIDLSGKNISGNLSSSIFQLPYIETINLSSNQLSGEIPYGIFSSTSLQYLNLSNNNFTGSIPKGSVPCLEKLDLSNNMLSGKIPQEIGSFSSLKFLDLGGNVLVGTIPISITNITSLEFLTLASNQLVGQIPRELAQMKSLKWIYLGYNNLSGQIPKEIGELTSLNHLDLVYNNLTGSIPSSVGNLTNLHYLFLYQNKLTGSIPTSIFGIKRLISLDLSDNSLSGEIPELIVQLQNLEILHLFSNNFTGKIPSALSSLPRLQVLQLWSNNFYDEIPKGLGKQNNLTVVDLSTNSLTGKIPEGLCNSGNLFKLILFSNSLHGEIPMSLSTCKSLQRVRLQGNNLSGEFPAEFAKLPLVYFLDISSNNFSGRIDSNNWEMASLQVLSLSRNRFFGGLPDSFGSDQIETLDLSENSFSGTIPRTYGSFPELVQLKLSGNELSGEIPDELSSCNKLVSLDLSHNQLSGQIPASFSGMTVLGQLDLSQNQLSGEIPPSLGGAESLLLVNISHNHFHGSLPSTGAFLAINVSAVAGNELCRGDTSVGLPPCRSVKNPTRWYFVACILGATMVFSLAAFGIFLIRGQKNLEMKTVENEDGIWELQFFHPKASKSVTIDDIFSSKKEENIIHRGKKGLSYKGKSIINDMQFVVKEINDVKLLIPSNFWPEIAEFGKLQHPNIVNLIGACRSDKVAYLIYEYVDGTNLSEILPNLSWERRRKIAVGIAKALRFLHSYCSPTVLVGYKSTEKIMVDGKGEPRLRLSLPDQLSIDAKCFTSSAYVAPVNNSKDTNEKSDIYEFGFILMELLTGKNSADCEFGVHQSIVEWIRYCYSDYHLDRWIDPMIKEEALVNKNEMVRTMNLALHCTATDSAARPSSHDVLKVLHSALRTSSCVSLLNFPSSSL >Manes.03G059300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6168958:6173316:-1 gene:Manes.03G059300.v8.1 transcript:Manes.03G059300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEPDQTCPMMFILMFLFLNCRMLHAEEELELLLSFKYSINDPLHYLSNWNPSVTFCKWQGVTCNNSSHIKVIDLSGKNISGNLSSSIFQLPYIETINLSSNQLSGEIPYGIFSSTSLQYLNLSNNNFTGSIPKGSVPCLEKLDLSNNMLSGKIPQEIGSFSSLKFLDLGGNVLVGTIPISITNITSLEFLTLASNQLVGQIPRELAQMKSLKWIYLGYNNLSGQIPKEIGELTSLNHLDLVYNNLTGSIPSSVGNLTNLHYLFLYQNKLTGSIPTSIFGIKRLISLDLSDNSLSGEIPELIVQLQNLEILHLFSNNFTGKIPSALSSLPRLQVLQLWSNNFYDEIPKGLGKQNNLTVVDLSTNSLTGKIPEGLCNSGNLFKLILFSNSLHGEIPMSLSTCKSLQRVRLQGNNLSGEFPAEFAKLPLVYFLDISSNNFSGRIDSNNWEMASLQVLSLSRNRFFGGLPDSFGSDQIETLDLSENSFSGTIPRTYGSFPELVQLKLSGNELSGEIPDELSSCNKLVSLDLSHNQLSGQIPASFSGMTVLGQLDLSQNQLSGEIPPSLGGAESLLLVNISHNHFHGSLPSTGAFLAINVSAVAGNELCRGDTSVGLPPCRSVKNPTRWYFVACILGATMVFSLAAFGIFLIRGQKNLEMKTVENEDGIWELQFFHPKASKSVTIDDIFSSKKEENIIHRGKKGLSYKGKSIINDMQFVVKEINDVKLLIPSNFWPEIAEFGKLQHPNIVNLIGACRSDKVAYLIYEYVDGTNLSEILPNLSWERRRKIAVGIAKALRFLHSYCSPTVLVGYKSTEKIMVDGKGEPRLRLSLPDQLSIDAKCFTSSAYVAPEVNNSKDTNEKSDIYEFGFILMELLTGKNSADCEFGVHQSIVEWIRYCYSDYHLDRWIDPMIKEEALVNKNEMVRTMNLALHCTATDSAARPSSHDVLKVLHSALRTSSCVSLLNFPSSSL >Manes.17G013600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6453495:6470483:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEEVLRIKTEHQDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKFNDVLLEMFRNEYIGTAPYISCIPSLCHHQLCPRDLFLVLSSDGLYQYLTNQEVVSHIESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKCP >Manes.17G013600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6453495:6470335:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEEVLRIKTEHQDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKFNDVLLEMFRNEYIGTAPYISCIPSLCHHQLCPRDLFLVLSSDGLYQYLTNQEVVSHIESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKCP >Manes.17G013600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6453157:6470525:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEEVLRIKTEHQDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKFNDVLLEMFRNEYIGTAPYISCIPSLCHHQLCPRDLFLVLSSDGLYQYLTNQEVVSHIESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKCP >Manes.17G013600.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:6453495:6470335:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEVSSLFS >Manes.17G013600.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:6453495:6470335:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEVSSLFS >Manes.17G013600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6453495:6470482:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEEVLRIKTEHQDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKFNDVLLEMFRNEYIGTAPYISCIPSLCHHQLCPRDLFLVLSSDGLYQYLTNQEVVSHIESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKCP >Manes.17G013600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6453157:6470525:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEEVLRIKTEHQDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKFNDVLLEMFRNEYIGTAPYISCIPSLCHHQLCPRDLFLVLSSDGLYQYLTNQEVVSHIESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKCP >Manes.17G013600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6453495:6470335:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEEVLRIKTEHQDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKFNDVLLEMFRNEYIGTAPYISCIPSLCHHQLCPRDLFLVLSSDGLYQYLTNQEVVSHIESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKCP >Manes.17G013600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6453495:6470482:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEEVLRIKTEHQDDSHCIVNDRVKGRLKVTRAFGAGFLKQPKFNDVLLEMFRNEYIGTAPYISCIPSLCHHQLCPRDLFLVLSSDGLYQYLTNQEVVSHIESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKCP >Manes.17G013600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6453495:6470335:-1 gene:Manes.17G013600.v8.1 transcript:Manes.17G013600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSSLFPCFKPGTNQTQHEQPDLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRAGTASETRPGLPETWFKSISGASVSANAWTPRTVLQHENIYDDAIDSSGFATGVTGGGVRGSIVNVDGFESTASFSALRLQPVPRGGEGFFMSGPIERGAVSGPLDANSGTDNSGQVHFSAPLGGVYVKKKRRKGISGIKKAIYRNLSEKNRPWVVPVLNLVNRRDNSRTGEERESQAESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVYRELQGLFWDVEEPEEASNVASTVVVGSENTTNPSIERICKDYGECSAGEIESNLVYQDQGNVAKDDPSNEKVVERNWGGTIGGTESSSVPHERAKRVTFQSEGLEITQRRRLWEFLAEEDAEDGLDLSGSDRFAFSVDDAISISNAGSAVSRRWLLLSKLKQGLSKHREKNLFPWKFGLETKEKVGSSKVEERGSKRKRKEGPVDHELVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEDVGSSVGGDGLSMEGVAEGSTHVMRLTALQLSTDHSTSIEEEVLRIKTEHQDDSHCIVNDRVKGRLKVTRAFGAGFLKQGWISINY >Manes.04G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31922943:31927925:-1 gene:Manes.04G117300.v8.1 transcript:Manes.04G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQQHPSMGSGSRTARRTFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVALLGGFPCTAWFDVGEISENSPDDWEGLDASAAHIANLLSTEPTDVKVGIGGFSMGAATALYSATCAALGRYGNGNRYPINLQAVVGLSGWLPGSRALKNKIEGSHDAARRAASLPILLCHGSSDDVVPYNYGEKSFHSLHSAGFQHLTFKPYDGLGHYTVPKEMDEVRNWLIVKLGLEVPRV >Manes.11G060021.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8101274:8113524:1 gene:Manes.11G060021.v8.1 transcript:Manes.11G060021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVLILWIQIHGNKCCFEEERLALLDFKAFVGSNGFDEDYLLPSWIDDPTSNCCQWERVMCNSTTGHVTELSLNNTRQYNIESRSFYYDENIWYVNLSMFQQLKEFKTLNLSYNHFDCSIDDQGCERLSKLKKVEVLDLTWNRFNNIILPSLGTLTSLKTLILGSNRMEGCFPIQGFQILEELDLTMNSFNNSILSSLAALPSLNTLILRGNHMEGSFPNQGFERLEKLDISWNIFNGSILLSLGTLTSLNTLIFSYNGMEGSFPIQELKNLKSLKFLDISGNGFNNTLSFLEFSTFKRLETLNLGGNAFTGSISEGLCGLKDLQHLDLNYNKFGGTLPQCLGNLTSLTFLDLYGNQLIGYLPSFWPPKLQSLDLRYNHLEGVFSFNYSSLEVIRLSGNKITFENGWIPSFQLRALIMQDCGLESILEFLFHQFKLEDLDLSHNNLKGRFPYWLLQNNGGLEILNLMNNSFNGQLEIGAKMLPSMTYLNLARNHFEGDILFSAGDDCKLKTLDLSHNNFSGEVPERLLSNCTSLSLLRLSHNNFHGQIALFNLTQIDDLQLNDNQFEGTLSSLHTKFSHQSYGPIVLHLSNNRLHGEIPHWMGNFTGLIYLNLRDNLFQGQISCQLLSTEIEYLDLSYNSFSGLLPSCFNGNSLRQINLQGNRFSGSIPEALLNISTLNSLDLSDNELSGTILNKSGGNLSSLRVLLLRGNHFSGFIPNWFCQLNNVSLLDLSRNSFSGSIPHCLYNLSFAREGGHLYAPPFSDALFTWGIEYRGSSKTPLANTYIFQAEVDEESEFVTKYRADTYKNKALNYMSGLDLSDNNLTGEIPYELGALSHIHALNLSHNQLTGSIPTSFSNLSEIESLDLSYNILSGQIPVELIDLNFLEAFSVAHNNLSGRIPDMKRQFSTFESKSYEGNPFLCGTQVRRKCHNDNDEPSPSQMESRQEASGKWYEIDREIFFASFSVTFIIFFLSVITILYVNSYWQQRLIYHTRRYLFSCYYFLYDNLVKLFI >Manes.10G027300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2722294:2728843:1 gene:Manes.10G027300.v8.1 transcript:Manes.10G027300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAREITTATQFLQGTSKQTLFLQRDLPINRRNQLLWGTLRNRKSPLGLSNKRCVSLRCCAQSKPRAIVSGGVTSSVDEQSSLIEKPAQQIVHFYRLPLIQESATLELLKLVQAKVSNEIVGLQTEQCFNIGLQSEISSEKLGVLRWLLQETYEPENLETESFLEKKKKEGLNTVILEVGPRLSFTTAWSANAVSICRACGLTEVTRMERSRRYLLYSKGVLQEDQLNVFAAMVHDRMTECVYTRRLTSFETSVVPEEVRYVPVLEKGRKALEEINQEMGLAFDEQDLQYYTRLFKEDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFHVKQLRPVQPGLTCPLNATTCDIDILLTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVAATAGYCVGNLNIEGSYAPWEDHSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAVIDIRAIVVGDHTMSILEIWGAEYQEQDAILVKPESRDLLQSICERERVSMAVIGAINGEGRVVLVDSLAIKKCHSSGQPTPAPAVDLELEKVLGDMPQKTFEFHHVVHAREPLDIAPGISVMDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAASEVVKAPGNLVISVYVTCPDITKTVTPDLKLGDDGMLLHIDIAKGKRRLGASALAHAFDQVGDDCPDLEDVPYLKRVFECVQDLIADDLISSGHDISDGGLLVCAMEMAFAGNCGIALDLTSQGNSLFQTLFAEELGLLVEISTKNLDTVMQKLTSAGVSADIIGQVTASQMIELKVDSVTHLNEETSILRDMWEETSFHLEKFQRLVSCVDMEKEGLKSRHEPYWKLSFIPSFTEEKYMTATSKPKVAVIREEGSNGDREMAASFYAAGFEPWDVTMSDLLIGAISLLEFRGVVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQVGGVLGAGGDPSQPRFIHNESGRFECRFTSVTIKDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDLVIHSNLAPVRYCDDDGNPTEAYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPMNWNVDNKGPSPWLKMFQNAREWCS >Manes.03G029500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2366508:2370104:-1 gene:Manes.03G029500.v8.1 transcript:Manes.03G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHITRFNLFCMCFSVSSQAKGIMGPEMLQFPLTSTNQTDAYKEKSCRSKALCFFLCFLAISTVGLTCGGNLTTWVLRGGNKFHLRIRANDGEIVESEQGVVAADDGRCSEIGASMLRKGGHAVDAAVATALCVGVVNPMSSGIGGGAFMIVRSSLTSKTQAFDMRETAPSAASQNMYANNIEDKYSGPMSIGVPGEIAGLYEAWLQHGRLAWRTLFRPAIKLARDGFVVAPYLGLAIAYSAGKIMNDTGLKQVFAPNGKLLQAGDRCYNVELARSLEAIAEQGPQALYNGTVGEQLVKDVRKAGGILTMEDLKNYKVDVMDAMAANVMGYNVYGMPPPSSGTVGLSLVLNIFNSYGNSDAAKGNLGVHRLIEALKHTFAVRMDLGDPKFVDIHKYVSEMLSSNYAEQIRKKILDNATFPPEYYMNRWSQLRDHGTSHFCIVDGERNAVSMTTTINYYFGAGLLSPSTGIVLNDEMGDFSAPTDITPDMLPPAPANFIEPNKRPLSSMTPIIVTKDDKLVGVLGGSGGMYIIPAVIQVFINHFILGMEPLTAVQSPRVYHELIPNVVQYENFTVIDGDHIELAEETKLFLKEKGHQVESMELGAIVQLVVQTLESPIDMGRKNGKGSNNAQIFHGTLTAVSDPRKDGRPAAV >Manes.03G029500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2367037:2370104:-1 gene:Manes.03G029500.v8.1 transcript:Manes.03G029500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHITRFNLFCMCFSVSSQAKGIMGPEMLQFPLTSTNQTDAYKEKSCRSKALCFFLCFLAISTVGLTCGGNLTTWVLRGGNKFHLRIRANDGEIVESEQGVVAADDGRCSEIGASMLRKGGHAVDAAVATALCVGVVNPMSSGIGGGAFMIVRSSLTSKTQAFDMRETAPSAASQNMYANNIEDKYSGPMSIGVPGEIAGLYEAWLQHGRLAWRTLFRPAIKLARDGFVVAPYLGLAIAYSAGKIMNDTGLKQVFAPNGKLLQAGDRCYNVELARSLEAIAEQGPQALYNGTVGEQLVKDVRKAGGILTMEDLKNYKVDVMDAMAANVMGYNVYGMPPPSSGTVGLSLVLNIFNSYGNSDAAKGNLGVHRLIEALKHTFAVRMDLGDPKFVDIHKYVSEMLSSNYAEQIRKKILDNATFPPEYYMNRWSQLRDHGTSHFCIVDGERNAVSMTTTINYYFGAGLLSPSTGIVLNDEMGDFSAPTDITPDMLPPAPANFIEPNKRPLSSMTPIIVTKDDKLVGVLGGSGGMYIIPAVIQVFINHFILGMEPLTAVQSPRVYHEVF >Manes.16G118500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32205128:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTGGRDQEVVTGESHIQSVREANLGIRQAAGGGFSRPRPYNQSQHLHRPPRYNPNQHFRQPPPFVQSQNHQFRQASHFDQNPAFRPPQQFRPRPPKPLDYREWEYAKKVPPPNSDKFIVLSYNILADYLAISHRSKLYFHIPRYMLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLEQLTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212483:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLELTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212483:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAAPIRFIAAAAADTPAMSSRSSYRGGRKQWGRCFSDMPCTGGRDQEVVTGESHIQSVREANLGIRQAAGGGFSRPRPYNQSQHLHRPPRYNPNQHFRQPPPFVQSQNHQFRQASHFDQNPAFRPPQQFRPRPPKPLDYREWEYAKKVPPPNSDKFIVLSYNILADYLAISHRSKLYFHIPRYMLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLELTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLELTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAAPIRFIAAAAADTPAMSSRSSYRGGRKQWGRCFSDMPCTGGRDQEVVTGESHIQSVREANLGIRQAAGGGFSRPRPYNQSQHLHRPPRYNPNQHFRQPPPFVQSQNHQFRQASHFDQNPAFRPPQQFRPRPPKPLDYREWEYAKKEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLELTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32205128:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLELTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212579:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAAPIRFIAAAAADTPAMSSRSSYRGGRKQWGRCFSDMPCTGGRDQEVVTGESHIQSVREANLGIRQAAGGGFSRPRPYNQSQHLHRPPRYNPNQHFRQPPPFVQSQNHQFRQASHFDQNPAFRPPQQFRPRPPKPLDYREWEYAKKVPPPNSDKFIVLSYNILADYLAISHRSKLYFHIPRYMLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLEQLTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEVDILVL >Manes.16G118500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLEQLTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212483:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLEQLTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAAPIRFIAAAAADTPAMSSRSSYRGGRKQWGRCFSDMPCTGGRDQEVVTGESHIQSVREANLGIRQAAGGGFSRPRPYNQSQHLHRPPRYNPNQHFRQPPPFVQSQNHQFRQASHFDQNPAFRPPQQFRPRPPKPLDYREWEYAKKVPPPNSDKFIVLSYNILADYLAISHRSKLYFHIPRYMLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLEQLTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAAPIRFIAAAAADTPAMSSRSSYRGGRKQWGRCFSDMPCTGGRDQEVVTGESHIQSVREANLGIRQAAGGGFSRPRPYNQSQHLHRPPRYNPNQHFRQPPPFVQSQNHQFRQASHFDQNPAFRPPQQFRPRPPKPLDYREWEYAKKEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLEQLTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32205128:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLEQLTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.16G118500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32204837:32212579:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSAAPIRFIAAAAADTPAMSSRSSYRGGRKQWGRCFSDMPCTGGRDQEVVTGESHIQSVREANLGIRQAAGGGFSRPRPYNQSQHLHRPPRYNPNQHFRQPPPFVQSQNHQFRQASHFDQNPAFRPPQQFRPRPPKPLDYREWEYAKKVPPPNSDKFIVLSYNILADYLAISHRSKLYFHIPRYMLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLELTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEVDILVL >Manes.16G118500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32205128:32212364:1 gene:Manes.16G118500.v8.1 transcript:Manes.16G118500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTGGRDQEVVTGESHIQSVREANLGIRQAAGGGFSRPRPYNQSQHLHRPPRYNPNQHFRQPPPFVQSQNHQFRQASHFDQNPAFRPPQQFRPRPPKPLDYREWEYAKKVPPPNSDKFIVLSYNILADYLAISHRSKLYFHIPRYMLDWDWRKRNILFELGLWSADIMCFQEVDRFQDLEEQLKIWGYNGIWKMRTGNAVDGCAIFWRTSRFKLLHEESVEFNKLGLRDNVCQICVLELTSKHCNADSSALPTSSEGSDKVVICNIHVLYNPRRGEIKLGQVRTLLDKAHAVSKTWNAPVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAEIRPPRPYNPSHNTNPNPRTHSSNNSIPATLMADNQLGDSLSDTQIQNNPDKIEENISSSNYHSEPLHARTMLDGSDMSFKTMQSGTKENAISVEETKGTQQDVTNNCKEGSESTMSVLIDNIKENLTISHSESQFAVDQMNVQIYNLTPTLASNAQDAYPDKNEMEQMKSGSLSSQGLSCEHSHSNIYEENKGAESNNLSQSLKVSLLESSGNLPSQLIINDENCNPSDTCQTNISSVSTSIYHPDKKLDDAYPQNRNNIQGESRMLRESQSAFLSALHKSEDSPDFCQIGQSDFAHSQNEFSSDPNDSQSPSPSNEGLDDFSLDLDSEAVNVDRTAYDPSLWTPMEIATATGNPDSTSLEHPLKLKSTYAEVEDCSGTRDSNGEPLVTSYNRCFLGTVDYIWYSEGLQTIRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKNNE >Manes.18G018200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1853521:1856773:1 gene:Manes.18G018200.v8.1 transcript:Manes.18G018200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWFYLSGREGATSKQEEEKDQHNNLFLYRSNEEIYNKGFEIWPQYYQQQQNMNSYSSFGVGPSRRSFSDESSRSGFTVMRQGGIGGGGMNCQDCGNQAKKDCAHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQQEQQQQQHNQEQQQLQFRGENPKRLRENQGGASSLACTRLATTTSGLEVAHFPPEVNSTAVFRCVRVSAMDEPDEQYAYQTAVNIGGHVFRGVLYDQGPDGRYTSGGESSSGGVQQLNLITAATTSPAATTTNASNPGTGALLDPSLYPAPLNAFIAGTQFFPPPRS >Manes.01G172300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35399722:35404726:-1 gene:Manes.01G172300.v8.1 transcript:Manes.01G172300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNSNQSKGFFASMTSGLSMFGNAMHRSVNGFLGYEGVEVINPEGGKDDAEEEAQKGRWKQEERDGYWKMMQNYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSYLLDQADECEDPYMRLVYASSWAISVYYAYQRTWKPFNPILGETYEMINQGGITFISEQVSHHPPMGAGHAENEHFAYDITSKLKTKFLGNSVDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDTLGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESMSYQPCDLEGEPVTGSELKEAWHVADVPPNDKFQYTYFAHKLNSFDTAPRKLLASDSRLRPDRLALEKGDLSKAGAEKSSLEERQRAERREREVKAHKFTPRWFDMTDEVTPTPWGDLEIYQYNGDRESRTLNLSRLHDTLSTRRNLGVH >Manes.01G172300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35400173:35404726:-1 gene:Manes.01G172300.v8.1 transcript:Manes.01G172300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNSNQSKGFFASMTSGLSMFGNAMHRSVNGFLGYEGVEVINPEGGKDDAEEEAQKGRWKQEERDGYWKMMQNYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSYLLDQADECEDPYMRLVYASSWAISVYYAYQRTWKPFNPILGETYEMINQGGITFISEQVSHHPPMGAGHAENEHFAYDITSKLKTKFLGNSVDVYPVGRTRVTLKRDGVVLDLVPPPTKVNNLIFGRTWVDTLGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESMSYQPCDLEGEPVTGSELKEAWHVADVPPNDKFQYTYFAHKLNSFDTAPRKLLASDSRLRPDRLALEKGDLSKAGAEKSSLEERQRAERREREVKAHKFTPRWFDMTDEVTPTPWGDLEIYQYNGKYTEHRAVIDSSDSIEEVDVKSIEFNPWQFGNLSAE >Manes.05G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2972290:2974459:1 gene:Manes.05G035400.v8.1 transcript:Manes.05G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQDLSLSNRALGLLSLSHCHMNSSFAPVHRLSIPGFKLCSKFGFCSSVKRVSTPFGGLRRYGGLVMVSCGVSTNDARDEGRDDGFYVRRCVELARKAIGCTSPNPMVGCVIVKDGKIVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALIKAKVKKVVIGMVDPNPIVASSGVDKLRRAGIDVIAGVEEELCKKLNEAYIHQMLTGKPFVTLRYSLSVNGHLLNQLGEGATEFGGYYSKLLQEYDAIIVSSSFIDKYLVPTSQEPGANQPLCVITAVSHSSPIQIPSVGEEAASKLIIFTDKETTVEPVTAQKGIETVVLDGIHLNEILEYCKRRGLYSVLLDLRGSFDELEGLLSNCIEHNMLQKVMVEVLPLWDESNSKSSFVALKSVQKRLKVNNLQPRISHQSIILEGYF >Manes.02G043601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3582308:3583283:1 gene:Manes.02G043601.v8.1 transcript:Manes.02G043601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSSSWLPAEFFNNTTTKYTDNDQQQLPTYDPLSHVAKKEKSRLRSAENAIHLIPLVLVLCAIILWIFSSPESRV >Manes.03G118300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24424473:24425837:1 gene:Manes.03G118300.v8.1 transcript:Manes.03G118300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQKQNPSPNFHDYLPVMADKLGGDGLIGELCNGFNLLMDSEKGVITFESLKRNSAILGLQDLSDDDLRCMLKEGDFDGDGALNQMEFCVLMFRLSPELMEESRFLVEEALLQELNDFSY >Manes.01G061850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:25885830:25886872:1 gene:Manes.01G061850.v8.1 transcript:Manes.01G061850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRKYPIFIQLAPCIPKRLVNDSKDIITTTKVSEKRNGIALAFTCCLEDQSKQKIVIVNVPEITKTLHSPVLPEIINKKTLHLSSYEIHHSEFHTCK >Manes.02G107400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8351184:8355191:1 gene:Manes.02G107400.v8.1 transcript:Manes.02G107400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPITAAQGEELRKLINAPAYIECSSKTQENIKGVFDAAIRVVLQPPKTKKKKNKAQRACSIL >Manes.12G080900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11702018:11706052:-1 gene:Manes.12G080900.v8.1 transcript:Manes.12G080900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMGSQFGDATYTKVFVGGLAWETHKETMKKYFQQFGEIVEAVVIIDRNTGRSKGYGFVTFKEAEAARRACADPAPVIDGRRANCNLASLGVQRSRPSTPQRGGGRNFRVMKSFHAGFHGRVGTLPFPSTPTLPPYAIQQGIPYTLYGYPHNYTCPTSYYSSCGGTSSHYLVYGTMANGIESSSNFYPYMQYGVGFDFQYPQHHFQYPIIASSGVPPQHYSPPISLNAIPHSQAAGASVSTALSAPTPTVAALAPHYPSQINSLLPISSV >Manes.14G166140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26550686:26566492:-1 gene:Manes.14G166140.v8.1 transcript:Manes.14G166140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNSQEGQSVVRPPFFDGNDFLYWKNRMYYFLKSEGVDLVIDENQEQKPKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTTKEIWDALVVTHEGTNQVKENKMVFLIYQYEFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLSKVQLDELLGNLIDYEMTLKREQVEEPNKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLFQNKKFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTEEEDVGDEIANMCFMALEESSDEVTILDDSTLNDDVVEFSYDELVGALKLMNDELEKSHKKNKILKCELASLKRENSGIGIPIDNLGKFDSKTNEGIFLGYSTSSKSYRVFNKRTLIVEESMHVVFDESNPFFKR >Manes.02G119800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9147209:9158538:-1 gene:Manes.02G119800.v8.1 transcript:Manes.02G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFNGTEYLELQVDPARESFTRPSNADFVQEDEDDLLWEAISRLPSQKRGNFALLRRSFTGNAGGEEPNSSARTETIDVRKLDRSSRELVVKKALATNAQDNQKLLAGIKERLDRVGLTVPKIEVRFEQLNIVTDVRTGSRALPTLINVVRDTTEDVLTCLGIIRPKKHSLTILNDISGAINPGRMTLLLGPPGSGKSTLLLALAGKLDNNLKKTGTITYNGEKLDDFYVRRTSAYISQIDNHIPELTVRETLDFGASCQGASEGFAAYMKDLTRLEKERNIRPSPEIDAFMKASSVAGKKSVSTDYVLKVLGLDVCSETIVGSDMLRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTYQIVKCIGNFVHQMDATVLMALLQPPPETFELFDDLVLLSEGCMVYQGPRAQVLEFFESLGFRLPPRKGVADFLQEVTSRKDQAQYWADPSKPYMFMSVSEIAKAFKTSKFGRNVESTLSVQFDKSKAQPFALSRTKYAVPRWELLKACFAREILLIKRHRFLYCFRTCQVFFVGCITCTIFLRTRLHPTNESYGNLYLSCLFYGLVHMMFNGFSELSLLIFRLPVFFKQRDNLFHPGWGWSLASFLLRVPYSAIEAIVWSLVVYYSVGFAPSFGRFLRYILLLFTVHQMAMGLFRMMASLARDMVIANTFGSASLLIIFLLGGFIIPKDSIKPWWVWASWVSPLTYGQRAISVNEFSAERWMKRSSIGNNTIGYNVLHQHSLPADDNWYWISIGVLWAYAVLFNLIVTLALTHLNPLRKAQTVADPADDTEENSAGNAKSGTQSAQQPSQKRGMILPFKPLTMTFHNVNYFVDTPKEISKAGVPENKLQLLSNVSGTFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIQGDIKISGYPKDQRTFARISGYVEQNDIHSPQVTVEESLWFSSSLRLPKEVTKEQRHEFIEEVMKLVELNTLRKALVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYGGKLGVQSQIMIEYFQGIEGIPHITDGYNPATWMLEVTTPSVEERIGEDFAQLYKNSKQYREVEASIMHFSTPPAGSEPLKFASTYSQDMLNQFWICLRKQNLVYWRSPQYNAVRIFFTVIAALILGSVFWDIGSQRDSTKSLFIVMGALYSACLFLGVNNASSVQPIVSIERTVFYREKAAGLYSPLAYAAAQGLVEIPYIGLQTILYGIITYFTINFERTAGKFFLYLLFMFLTFTYFTFYGMMAVGLTPSQHMAAIISSAFYSLWNLLSGFLIPKPNIPAWWLWFYYLSPVAWTLRGIITSQLGDVENTIVGPGFEGSVREFLKVNYDFESDMLGATVAVLFGFCILFFLVFAFSVKLLNFQRR >Manes.02G119800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9147492:9154605:-1 gene:Manes.02G119800.v8.1 transcript:Manes.02G119800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTRLEKERNIRPSPEIDAFMKASSVAGKKSVSTDYVLKVLGLDVCSETIVGSDMLRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTYQIVKCIGNFVHQMDATVLMALLQPPPETFELFDDLVLLSEGCMVYQGPRAQVLEFFESLGFRLPPRKGVADFLQEVTSRKDQAQYWADPSKPYMFMSVSEIAKAFKTSKFGRNVESTLSVQFDKSKAQPFALSRTKYAVPRWELLKACFAREILLIKRHRFLYCFRTCQVFFVGCITCTIFLRTRLHPTNESYGNLYLSCLFYGLVHMMFNGFSELSLLIFRLPVFFKQRDNLFHPGWGWSLASFLLRVPYSAIEAIVWSLVVYYSVGFAPSFGRFLRYILLLFTVHQMAMGLFRMMASLARDMVIANTFGSASLLIIFLLGGFIIPKDSIKPWWVWASWVSPLTYGQRAISVNEFSAERWMKRSSIGNNTIGYNVLHQHSLPADDNWYWISIGVLWAYAVLFNLIVTLALTHLNPLRKAQTVADPADDTEENSAGNAKSGTQSAQQPSQKRGMILPFKPLTMTFHNVNYFVDTPKEISKAGVPENKLQLLSNVSGTFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIQGDIKISGYPKDQRTFARISGYVEQNDIHSPQVTVEESLWFSSSLRLPKEVTKEQRHEFIEEVMKLVELNTLRKALVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYGGKLGVQSQIMIEYFQGIEGIPHITDGYNPATWMLEVTTPSVEERIGEDFAQLYKNSKQYREVEASIMHFSTPPAGSEPLKFASTYSQDMLNQFWICLRKQNLVYWRSPQYNAVRIFFTVIAALILGSVFWDIGSQRDSTKSLFIVMGALYSACLFLGVNNASSVQPIVSIERTVFYREKAAGLYSPLAYAAAQGLVEIPYIGLQTILYGIITYFTINFERTAGKFFLYLLFMFLTFTYFTFYGMMAVGLTPSQHMAAIISSAFYSLWNLLSGFLIPKPNIPAWWLWFYYLSPVAWTLRGIITSQLGDVENTIVGPGFEGSVREFLKVNYDFESDMLGATVAVLFGFCILFFLVFAFSVKLLNFQRR >Manes.05G110000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11018507:11019274:-1 gene:Manes.05G110000.v8.1 transcript:Manes.05G110000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENQNRSRNRSSSSSSSKAMESLSLEINKYPRDLLQRFMSSDTQQQYKTSPTQGQDTEEIELNLGLSLGGRFGVDKTSKKLTRSSSIAGSIPLVREDDAFNIPPVSYPVLMRTSSLPTETEEEWRKRKEMQSLRRMEAKRRRSEKQKNLNANFRGDLNLEEERRGLNANRGNWTPSWTRLGAAGAINLNRGSAFQGLVGQHQGSQGSVESQGGSSSGMSEMESKPVQGNTLSLSLSVCVCVCVCVCVCVCCFI >Manes.05G110000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11013182:11019784:-1 gene:Manes.05G110000.v8.1 transcript:Manes.05G110000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENQNRSRNRSSSSSSSKAMESLSLEINKYPRDLLQRFMSSDTQQQYKTSPTQGQDTEEIELNLGLSLGGRFGVDKTSKKLTRSSSIAGSIPLVREDDAFNIPPVSYPVLMRTSSLPTETEEEWRKRKEMQSLRRMEAKRRRSEKQKNLNANFRGDLNLEEERRGLNANRGNWTPSWTRLGAAGAINLNRGSAFQGLVGQHQGSQGSVESQGGSSSGMSEMESKPVQGSSSGGEARSPMSNQSLQDRSNQETLGSSGTKTSENMCRSSRQEMENNPSKKLDSAESRGKEIGTNAMEDMPCVFTVGDGPNGRRVEGILYKYGKGEEVRIMCVCHGSFLSPAEFVKHAGGGDVDHPLRHIVVNTSGSSLF >Manes.05G110000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11013182:11019785:-1 gene:Manes.05G110000.v8.1 transcript:Manes.05G110000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENQNRSRNRSSSSSSSKAMESLSLEINKYPRDLLQRFMSSDTQQQYKTSPTQGQDTEEIELNLGLSLGGRFGVDKTSKKLTRSSSIAGSIPLVREDDAFNIPPVSYPVLMRTSSLPTETEEEWRKRKEMQSLRRMEAKRRRSEKQKNLNANFRGDLNLEEERRGLNANRGNWTPSWTRLGAAGAINLNRGSAFQGLVGQHQGSQGSVESQGGSSSGMSEMESKPVQDWK >Manes.05G110000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11013182:11019785:-1 gene:Manes.05G110000.v8.1 transcript:Manes.05G110000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEENQNRSRNRSSSSSSSKAMESLSLEINKYPRDLLQRFMSSDTQQQYKTSPTQGQDTEEIELNLGLSLGGRFGVDKTSKKLTRSSSIAGSIPLVREDDAFNIPPVSYPVLMRTSSLPTETEEEWRKRKEMQSLRRMEAKRRRSEKQKNLNANFRGDLNLEEERRGLNANRGNWTPSWTRLGAAGAINLNRGSAFQGLVGQHQGSQGSVESQGGSSSGMSEMESKPVQDFTYQK >Manes.S095209.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251181.1:11990:12499:1 gene:Manes.S095209.v8.1 transcript:Manes.S095209.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGGLFLVSLEIAPAGALEIAPAGALAKCTSRCNFQQICK >Manes.08G013200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1437403:1441903:-1 gene:Manes.08G013200.v8.1 transcript:Manes.08G013200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQGSGFDLSFKILLIGDSGVGKSSLLVSFISGSVEDLSPTIGVDFKIKQLTVDGKRLKLTIWDTVYDVTRRETFTNLSDIWAKEVGLYCTNNDCVKMLVGNKVDVESEEVVSREEGMALAKEHGCTFLECSAKTRQNVEKCFEELALKIMEVPSLLEEGSTAVKRNILKQKQENQAPSSRSNDGCCSS >Manes.08G013200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1437403:1441903:-1 gene:Manes.08G013200.v8.1 transcript:Manes.08G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQGSGFDLSFKILLIGDSGVGKSSLLVSFISGSVEDLSPTIGVDFKIKQLTVDGKRLKLTIWDTAGQERFRTLTSSYYRNAQGIILVYDVTRRETFTNLSDIWAKEVGLYCTNNDCVKMLVGNKVDVESEEVVSREEGMALAKEHGCTFLECSAKTRQNVEKCFEELALKIMEVPSLLEEGSTAVKRNILKQKQENQAPSSRSNDGCCSS >Manes.06G179501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30589552:30591972:-1 gene:Manes.06G179501.v8.1 transcript:Manes.06G179501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKNIHCNQMLSKVRSYSRIVPPPPAYAKPVVRVSNNVAHLGSPKDSPKPRQLLSLPPFPRLPLPGKNMVASHVTAISWLKYYFNEIPDSTIQSHFNKGLVHMQLPSCSNSIKQGGPTSMRKIKPSDVMEEGARIHISVSVAETSISKRFGVIPSGTLYPNADEIEYLQRLVQYKDSALIVLNKPPKLPVKGNLPVHNSMDALAAAALLVQRLDAESSGLILLGRTREGIGLLQWLFNDQNNPIFCCKAWNDAREAMYQRYWALVIGTPKEKEGLIWAPRSFSVVVLAQRSGLEALTEYRVLGPKINECSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQMPQVDIEPFFAKPYKLRRPEGLDVQKGSVLSKVPFLHLHCRELVIPNIAKFVDVLNQKSEKLCQALDAKPDLLRFVASMPNHMKISWNLMSSYLV >Manes.18G100200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9262451:9266612:-1 gene:Manes.18G100200.v8.1 transcript:Manes.18G100200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSHYSLSLSPNLSFFYSPHPLFFSALFTFIPLLSPCFFPPQLNQTNPFSFFINHFFCMLPCTSLARAKMKRYVYGTATSGFLPLSVSFFFFLIFPLGFSLSLNQTSTMINLAQHLNIPALSWDVELQTNPCLWKGVHCSSDNSSVIGLSFNGFGLSSSVFLSFVCKIESLQSLDLSNNQLSSIPIRFIDDCGRIPGLKLLNFSKNALVGPLPTFRSFAGLESLDLSFNNLSGSISLQFGELPALRKLYINFNGFSGSVPVNLGKSMALEELKLSVNSFQGEIPLEISKYQNLSLIDLSSNKLNGSIPESIGNLTKLKILILSSNNLVGEIPHTIANIPTLVRFAANQNGFVGRIPPGITRHLRFFDVSYNKLRGSIPSDLLSQSNLQTVDLSYNLLNGSIPENISTSLIRLRLGSNSLNGFVPSSFTSDHKLIYLELDNNSLTGLIPVQLGYCQSLALLNLAQNNLESQLPMELGNINSLQVLKLQLNRLFGEIPPSISRLQKLSTLNISWNSLTGLIPPSISNLQSLAHLNLQGNNLNGSIPDSISSMDSLLELQLGENQLGGRIPRMPEKLQIALNLSSNLFRGRIPNTLSQLQDLELMDLSNNNFTGEIPEFLTQLGSLTQLTLSNNQLTGIIPEFRQWVSVNTSGNVGLINATKTSNSAKSLNKRKSVVLAVVLAVAAAALAVGVSVIVAASFSRRFLKVNDQQSQSGEDFPLPQVLQGNLLTTNSIHRSRIDFIKAMEAVTDPWNIALKTRFSTYYKATMPSGATYFVKKLNWSDKIFQLGSHDKFDKELEALGKLNNSNVMTPLAYVLTVDSAFLFYEHAQKGSLFDVLHGKQENTLDWGSRYSIAVGVAQALTFLHGHSSGPILLLDLSSRNILLKSLKEPLVGDIELCKVIDPSKSTGSLSTVAGSVGYIPPEYAYTMRVTMAGNVYSFGVVLLELLTGKPAVSEGTELAKWVLNNSKQQDKWDHILDFNISGTSQAIRSQMLAILKIALCCVSISSEARPKMKSVLRMILHAR >Manes.14G139600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12230176:12233245:1 gene:Manes.14G139600.v8.1 transcript:Manes.14G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFCHFKIWVLTLCLISQSGCGFYLPGSYPHKYNVGEGLSVKVNSITSIDTEMPFSYYSLPFCQPPDGIKDSAENLGELLMGDRIENSPYRFKMHVNESEVFLCRTGPLSADNYMLLKKRIDEMYQVNLILDNLPAIRYTKKDAYLLRWTGYPVGIKVQESYYAFNHLKFKILVHKYEEANVAGVMGTGDAAEVIPTIGDRGSDIPGYMVVGFEVVPCNFMHNAQSVKNTKMYDKYPSLIKCDPTTVSMPIKENEPIVFTYEVTFEESDIKWPSRWDAYLKMEGSKVHWFSIMNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNASLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLMFYMILGIAAGYVAVRLWRTIGCGDHKGWASVAWRAACFFPGIAFFILTILNFLLWGSRSTGAIPISLFIVLILLWFFISVPLTLIGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWLGRVYYVFGFLLIVLILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLLMVLAIMFATGTVGFLSSFWFVHYLFSSVKLD >Manes.05G072500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5992374:5993873:-1 gene:Manes.05G072500.v8.1 transcript:Manes.05G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETSYAMDVCEKLYKAVTVFPAFQRVRRISQVVQRSRSASPASSSSPPASSVKIIGIQSKPPSPKPPKSSKNEEVDAVVPINFDYSSQNEKPKPATPLEPIQKKTQKAEVASKIETSANTIAGEVSKLNPKVKLKKESGKSGVHIEYITDRSMYKSGEAKNRDKLSGNGPAKDKFSDYIERTKKKLIGDEEEEERL >Manes.07G102804.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30879767:30880750:1 gene:Manes.07G102804.v8.1 transcript:Manes.07G102804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSLSSPCSCRHLRLLCDQTWTLEWAHLLHAFPFADLDSSSLFLDSFSLSRAAFIAPLEPPDFLQAGFSGRGTWNLYNPTSHNFTRENVICCCRVCFWRDSSYGELEEAGPNQILCLLDPYKERVSPNSSQV >Manes.08G004121.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:760074:764346:-1 gene:Manes.08G004121.v8.1 transcript:Manes.08G004121.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGVHFASQRNPAPMSNAFISSSHSVEVSYYQPDAAGPSHDPFLHSSTVGTFCAVPENHAHASSSNYDRQTINGVEGDLFDLTMGNGRGPQKRKSPGVPSSCEGGSTSRYYGAGSSSDPSVPSELRLEKPNLDPQYMVWECITMTPGHRGNLSIGPQSSIRNVRSRPALDLEINLSRTHLSNNFSHNSYHAGHPFDHSSSVDFSSQSSSAMTHNWSHTRTSTASGRMLVSDANGYAHETNHFLVGSSIPNASADVRGYHHDFISSRNPVVPQSFHSASAHSARGIRSSYSQRPSPTFRASSSSLRLGHMAPSDDGMPLVAENFSSRQPRLLSTAAWPNSDRNGRSRNSYERYRSLPNEPSLHDRFSSEGFMVVDRSAFYGSRNLFDQHRDMRLDIDNKSYEELLALGERIGSVSTGFDEDLISKCLTETVYRSSGQSEDEGTCVICLEEYKDMDDVGSLKFCGHNYHVSCIKKWLSMKNLCPICKASAVADNMKE >Manes.08G059400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6941265:6942207:1 gene:Manes.08G059400.v8.1 transcript:Manes.08G059400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSSRSPAITSWPAISSLYKSLMFRCYFSRSAFIMVVFTASLLVMPPLLPPLPPPPTVLLFVPVIIMSFLVFMAFIPSQMPEFSP >Manes.02G025300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2181593:2185364:1 gene:Manes.02G025300.v8.1 transcript:Manes.02G025300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTILVTGGAGFIASHTVVQLLKEGFRVSIIDNLDNSVTEAVDRVRELVGPQLSQKLEFNKGDIRNRDDLEKLFSRTKFDAVIHFAGLKAVGESVEKPRRYFDNNLIGTINLYEVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFQLMAMNPYGRTKLFLEEISRDIQKAEPEWRIILLRYFNPVGAHESGKLGEDPKGLPNNLMPYIQQVAVGRLPEVNVFGHDYPTKDGSAIRDYIHVMDLADGHIAALRKLLTTEKIGCVAYNLGTGRGTSVLEMVAAFEKASGKKIPIKLCPRRPGDATAVYASTDKAAKELDWKAKYGIEEMCRDQWKWASNNPWGYKSKP >Manes.04G059192.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14678003:14679662:-1 gene:Manes.04G059192.v8.1 transcript:Manes.04G059192.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPSPADQSQAIIDPIADLSQKLFQLIQNSQNGNQKSANQFTLDSAQPPSDIKLNDSNYVVWAKMMEMFITGRGKSNHLTGTPSPPTETDPAIYLWKTNDIIVRGWLIQTVEQKLRPNLLQHKTSKGLWDALKIRFNTGSNKLIIYELQSKAYKLTQQGSNLEDLYNDLQAIWAEIDERQLTRIEGDNNIIIRNREIQEERLYLFLAGVESDLDPVRREILNEEPLPTLDNAYSRLRGEKLRRAIHLPLPSPATAGSDLVGAGLLAKNRSDTDKSSLRDDKSGLKCTHCGGSRHTRDGCFKIIGYPEWWEENKIRKKKGKGQGAGNTHGTGLWY >Manes.09G068966.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11434035:11436015:-1 gene:Manes.09G068966.v8.1 transcript:Manes.09G068966.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFDKAKMEETWFEPKPRRVHRRVWLRIQKSMGVLVGGNHTSSRFLKPIWNSFECKEDVNLTTDVVRVLMVKQLLDYNTKALYVGEGSASAVYALRELGFSNACGSHRHPFFSLKHRKFVYELQYPDNSFEFVLSRDLDKVSVPAILVLEIERVLKPGGIGAMLVGVIGLNPNGLIRSATPVSSLLKASNVVHVSYVHEYTLVVFQKSFEKVGYFEQFRLPADCQSFSNNRPFMKHLEPLVENKEMGLEKKISFLPNFSDVPSRKKLVYVEIGADSSVANWSIPNYPVDHKVFDIYFVGHNASVLLSHVKKPGVTFIYYPGLAGDKITASISDGEDLEPSVEDEGFDILSWFRETVGHADFVIVKMKAGEAELKFLTDLYESGVICFVDELFLSCADHVDDNGVVSKDCMDILKSLRSSGMYVHQWWRD >Manes.S023816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:299598:299765:1 gene:Manes.S023816.v8.1 transcript:Manes.S023816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.06G043000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:13560228:13561603:1 gene:Manes.06G043000.v8.1 transcript:Manes.06G043000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRAPSDSKSQMKQAASQGRTCLCSPTTHPGSFRCSLHRNYQRVPSRRSSSSSSSNNWELAVIAKANSLKAFLLQIIKPSSHDLQRRRNFRPRPSRFCLMNANRDGFAVS >Manes.05G137700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13485638:13490979:1 gene:Manes.05G137700.v8.1 transcript:Manes.05G137700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTTRQLHTLLLLLQVLFSLQDLEFTTKRQKMKNQAQFLLTTPLIIIIIVFPITTHAKSMIEACSSSDSCPSLLSYTLPFDSQLSEIAFRFQVKISDILAANSINLTTPFPDNLIFHAKSLLKIPISCPCVDGIRRSMSTTYHVRPADTVDSISEGFGGLVSGDQISSSNGISVKDPLMNGQALVIPLPCTCFNNSDNGVPAVFMSYVVQRGESLDGLAMEFGSTVAHLEAVNGLDQPVVQPGDVLAIPISACSSANLNWYNESLIVPNGSYALTANNCIKCICSASTFSGRLHCMASETGVSCSHLQCKGSDLLIGDTCVNHTANGCNLRACVYRGHYGRKIFRSLVNFSNVQCPGNTQSYNNGRIPLGSPSFNPVGPSIGLPPFSSPTSAPCAAVRSNASNSSNNHNFTVSTSGYFTITPTNCFISFLVQLIFCLFF >Manes.05G137700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13485442:13490979:1 gene:Manes.05G137700.v8.1 transcript:Manes.05G137700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTTRQLHTLLLLLQVLFSLQDLEFTTKRQKMKNQAQFLLTTPLIIIIIVFPITTHAKSMIEACSSSDSCPSLLSYTLPFDSQLSEIAFRFQVKISDILAANSINLTTPFPDNLIFHAKSLLKIPISCPCVDGIRRSMSTTYHVRPADTVDSISEGFGGLVSGDQISSSNGISVKDPLMNGQALVIPLPCTCFNNSDNGVPAVFMSYVVQRGESLDGLAMEFGSTVAHLEAVNGLDQPVVQPGDVLAIPISACSSANLNWYNESLIVPNGSYALTANNCIKCICSASTFRLHCMASETGVSCSHLQCKGSDLLIGDTCVNHTANGCNLRACVYRGHYGRKIFRSLVNFSNVQCPGNTQSYNNGRIPLGSPSFNPVGPSIGLPPFSSPTSAPCAAVRSNASNSSNNHNFTVSTSGYFTITPTNCFISFLVQLIFCLFF >Manes.13G059050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6788108:6789478:-1 gene:Manes.13G059050.v8.1 transcript:Manes.13G059050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLTAEEKDEAENMFMASQVTRDANQQTWYIDSGCTSHIAKEESMFSKLDESVNTKVKLGNGQVVQAQGKVQLLCRPRKV >Manes.09G183900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37130771:37132786:1 gene:Manes.09G183900.v8.1 transcript:Manes.09G183900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPNGQATVEYEEDSLASANKKEDASIKIHAPIVSSYNDHIRPLLDAVDQLRNLKIMKEGIQLPTIVVVGDQSSGKSSVLESLAGIDLPRGQGICTRVPLVMRLQHHPSPTPELFLEFSGKIVATDEAHVADAINIATDEIAGDGKGISNTPLTLVVKKKGVPDLTMVDLPGITRVPVHGQPENIYEQIAGIIMEYIRPEESIILNVLSATVDFPTCESIRMSQQVDKTGERTLAVVTKSDKAPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEEAREEEAKLFKNHHLLSRIDKSMVGIPVLAQKLTQIQATIIARCLPDIVRKINDRLNASISELNRMPKTLSSTAEAMTAFMGIVGSAKESLRKILIRGEFDEYLDDYNMHCTARLGEMLNLYSDELHKCSESDPRRNFLVEEIRILEESRGIELPNFLPRAAFLSILQRKVEGIARMPIDFIEKVWAYIESVVVSVLMHHAENYHHLQLSARRAGHNLISKMKEQSINWISEIVQMEKMTDYTCSPEYMSEWNKLMGQLDTFRNRILSQGHPKAEIAGIGEVEVGNIRASQNVLHQAFDLRMRMTAYWKIVLRRLVDYMALHLQYSVQNLVNKEMEKEIISELMSSHEGAVEKMLEESPSVAGKREKLNVSIKLLGESKKILGNIMDKIATYND >Manes.13G086056.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:15950887:15952289:1 gene:Manes.13G086056.v8.1 transcript:Manes.13G086056.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIPAGTATAVSSEGAAAEHCISTEAGAGDWWLPSATFETSSICSVSVALEWKSGLAGTAGATIAKGTASAAVTGSETSESSSNCGSAADKPAVAAAVTGFEATESSSKRGSAVGKPAIFGDSCTKLGETSE >Manes.09G052700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8746960:8750380:1 gene:Manes.09G052700.v8.1 transcript:Manes.09G052700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNATTNNRSVSNSNNNEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKGSHCINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGDEHGVVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKVLGHPTLEKWPTLANLPHWQSDLQHIQGHKYENTGLHNVVHLSPKSAPYDLLSKMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGMPGGHGVANRSASRPMQIGMPRMQPQVMATYNMAQAGMGGAMNPAGIPMPRGVAAQPHQQQHLRRKDPPGMGSGYPPQQKSRRQ >Manes.09G052700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8746958:8750382:1 gene:Manes.09G052700.v8.1 transcript:Manes.09G052700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNATTNNRSVSNSNNNEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKGSHCINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGDEHGVVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKVLGHPTLEKWPTLANLPHWQSDLQHIQGHKYENTGLHNVVHLSPKSAPYDLLSKMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGMPGGHGVANRSASRPMQIGMPRMQPQVMATYNMAQAGMGGAMNPAGIPMPRGVAAQPHQQQHLRRKDPPGMGSGYPPQQKSRRQ >Manes.09G052700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8746958:8750380:1 gene:Manes.09G052700.v8.1 transcript:Manes.09G052700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNATTNNRSVSNSNNNEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKGSHCINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGDEHGVVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKVLGHPTLEKWPTLANLPHWQSDLQHIQGHKYENTGLHNVVHLSPKSAPYDLLSKMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGMPGGHGVANRSASRPMQIGMPRMQPQVMATYNMAQAGMGGAMNPAGIPMPRGVAAQPHQQQHLRRKDPPGMGSGYPPQQKSRRQ >Manes.09G052700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8746960:8750380:1 gene:Manes.09G052700.v8.1 transcript:Manes.09G052700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNATTNNRSVSNSNNNEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKGSHCINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGDEHGVVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKVLGHPTLEKWPTLANLPHWQSDLQHIQGHKYENTGLHNVVHLSPKSAPYDLLSKMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGMPGGHGVANRSASRPMQIGMPRMQPQVMATYNMAQAGMGGAMNPAGIPMPRGVAAQPHQQQHLRRKDPPGMGSGYPPQQKSRRQ >Manes.09G052700.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8746960:8750380:1 gene:Manes.09G052700.v8.1 transcript:Manes.09G052700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNATTNNRSVSNSNNNEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKGSHCINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGDEHGVVKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKVLGHPTLEKWPTLANLPHWQSDLQHIQGHKYENTGLHNVVHLSPKSAPYDLLSKMLEYDPRKRITAAQALEHEYFRIEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGMPGGHGVANRSASRPMQIGMPRMQPQVMATYNMAQAGMGGAMNPAGIPMPRGVAAQPHQQQHLRRKDPPGMGSGYPPQQKSRRQ >Manes.15G033500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2633422:2641611:-1 gene:Manes.15G033500.v8.1 transcript:Manes.15G033500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNHPQKHPKTSQNGKGPPPQETHNSKTQNQTLPPAKNRGRRRGRGGRKSDQGDAGMRPSSRPCTLAHKPVNPAGDLLASAPNGSIGNAGSTCEIEMGLGFPTSSKSLSYAARPGYGQMGTKCVVKANHFFAELPDKDLNQYDVTITPEVASRTTNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGQLPFAWKEFTIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRIKIKKALRGVKVEVTHRGHVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGREKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHSSMSKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSSGVGSGHGSKGTRVGESGVRPLPALKENVKRVMFYC >Manes.15G033500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2633422:2642556:-1 gene:Manes.15G033500.v8.1 transcript:Manes.15G033500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNHPQKHPKTSQNGKGPPPQETHNSKTQNQTLPPAKNRGRRRGRGGRKSDQGDAGMRPSSRPCTLAHKPVNPAGDLLASAPNGSIGNAGSTCEIEMGLGFPTSSKSLSYAARPGYGQMGTKCVVKANHFFAELPDKDLNQYDVTITPEVASRTTNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGQLPFAWKEFTIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRIKIKKALRGVKVEVTHRGHVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGREKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHSSMSKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSSGVGSGHGSKGTRVGESGVRPLPALKENVKRVMFYC >Manes.15G033500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2633422:2642525:-1 gene:Manes.15G033500.v8.1 transcript:Manes.15G033500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNHPQKHPKTSQNGKGPPPQETHNSKTQNQTLPPAKNRGRRRGRGGRKSDQGDAGMRPSSRPCTLAHKPVNPAGDLLASAPNGSIGNAGSTCEIEMGLGFPTSSKSLSYAARPGYGQMGTKCVVKANHFFAELPDKDLNQYDVTITPEVASRTTNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGQLPFAWKEFTIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRIKIKKALRGVKVEVTHRGHVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGREKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHSSMSKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSSGVGSGHGSKGTRVGESGVRPLPALKENVKRVMFYC >Manes.15G033500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2633422:2642525:-1 gene:Manes.15G033500.v8.1 transcript:Manes.15G033500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNHPQKHPKTSQNGKGPPPQETHNSKTQNQTLPPAKNRGRRRGRGGRKSDQGDAGMRPSSRPCTLAHKPVNPAGDLLASAPNGSIGNAGSTCEIEMGLGFPTSSKSLSYAARPGYGQMGTKCVVKANHFFAELPDKDLNQYDVTITPEVASRTTNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGQLPFAWKEFTIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRIKIKKALRGVKVEVTHRGHVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHSHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGREKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHSSMSKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSSGVGSGHGSKGTRVGESGVRPLPALKENVKRVMFYC >Manes.S023452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2040458:2040793:-1 gene:Manes.S023452.v8.1 transcript:Manes.S023452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.14G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3109134:3111306:-1 gene:Manes.14G035100.v8.1 transcript:Manes.14G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVALLGLAAAMSVLMKVSSQNYGDALTKSILFFEGQRSGKIPSTQRVTWRKDSALLDGSSIGMDLVGGYYDAGDNIKFNFPMAFTTTMLAWSVLEFGPLMGSDLEHALEAIQWSTDYLLKSTSVPGTVVAQVGEPISDHNCWERPEDMDTPRTTYVVNQTHPGSELSAEIAAALAVSSLVFKTTQANYSNILLERASSVFDFADNFQGSYDGSVGQGVCPYYCDYNGYQDELLWGAAWLYKATNDGKYWDYILKNASNSASPFVYRRASVDSIGNNLSEFGWDSKEAGINILVSGWAINTPNEKPFTVNGDNFVCSILPESPTKSVTFSPGGLLFKSGGTNLQHATSLSFLLIAYARYLEKAKRGVSCGNVAVTPARIINFAKGQVDYILGKNPLGMSYMVGFGEKYPQRIHHRGSSIPSVDKHPGHIACHEGTSYFESKDPNPNLHVGAVVGGPDSNDQFSDDRTNAAQLEPTTYINAPLVGVLAYFKSLQSRSEITH >Manes.S025052.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2137433:2138245:-1 gene:Manes.S025052.v8.1 transcript:Manes.S025052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.15G162750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13549600:13549956:-1 gene:Manes.15G162750.v8.1 transcript:Manes.15G162750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEVSLKLKHTEEDLLANRSTYMQLIAKLMYVALTKPGIAYSVHELSQFMDKLAQIHLDAAFKVLRYLKNAPDQGLFLSTKFKLKLVAFSNSDWAGCQETRRYVTVFYILLSESLIG >Manes.14G067066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5617682:5618920:1 gene:Manes.14G067066.v8.1 transcript:Manes.14G067066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGSSKGWPLDGSSWSDSQRCDWDVKEYTALDFCGLLGPYAYLFVVFDVSCFSFLYVISICIVLVAFASRRSFTSTVLLPIG >Manes.11G119900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27918716:27920322:1 gene:Manes.11G119900.v8.1 transcript:Manes.11G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTSDTSLPVALIVGVTGMVGLSLAEALKKPTPLSSSAGKFPWKVYGVARQPLPSWFPSSLLDQFISVDVLDQTQTIQKLTPISSQVTHVFWVALQLRETEEANVTLNSIMLANVLDVLISASPSRLRHITLQTGTKHYLGPIFDPQLADQLVHHEPPFEEHMPRLPYPNFYYALEDLVASYSPSITYSVHRSSIIIGASSRSFHNSLLTLSVYATICKHKGLPFRFPGSKYTWGHFCDMTDARVLAEQHVWAAVTDTAKNQAFNCTNGDVFTWKRLWKVLCEVFGVEFVDFDENERFDWVEMMKDKGKVWDEIVKKYELFKTKMEDITCFDAVNTVLHFKLQHVCSMNKSRELGFLGFADTPKSIRMWVGRLRDMKIIP >Manes.S054316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1867965:1868123:-1 gene:Manes.S054316.v8.1 transcript:Manes.S054316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.02G063500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4847630:4850376:-1 gene:Manes.02G063500.v8.1 transcript:Manes.02G063500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFSAIGFEGYEKRLEISFSEPGYFADPRGMGLRALSKSQLDEILKLAECTIVDSLSNDRVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPAILELADTLSLTVRSVRYTRGSFIFPGAQSFPHRSFSEEIAVLDGHFSKLGLNSIAYAMGNPDKSQKWHVYSASVDSGCNSCSAYTLEMCMTGLDRDRASVFHKTQSTSAAVMTEESGIRKILPESDICDFDFDPCGYSMNAIEGDAISTIHVTPEDGFSYASFEAVGYNFKDINLSQLLERVLVCFEPTEFSIALHSGLLHDELGKKLSLDVKGYCCGERNYEELGKGGTIIYQSFVRNNDCASPRSILKCCWSEDEKDEEVEEK >Manes.10G002100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:474682:477079:-1 gene:Manes.10G002100.v8.1 transcript:Manes.10G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFISLILQGVKLAKDLEPAVQNLATHQNQEMISKLDEIIRVFISARERLSSHQDVSQMLQQQQQPQIDPSLQEYWLRTKMVELFQSQLMAERMSSSSGLENKQAEGGLAMELSGRDVQAMASSSAQRPRRRKDDADKRTMRVPAPRMGNTEIPPEDGYTWRKYGQKEILGSRFPRGYYRCTHQKLYQCPAKKQVQRLDDDPYTFEVTYRGDHICHMSATAPSVPPPQLPEITREMTQSAMAAQPPPWLEFSLGGSGSSMAAAGPSTVRYGKEAEFPVADMADVMFNSGSSSSNSMESIFTYMEGKWEPEEKKN >Manes.10G002100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:474896:476970:-1 gene:Manes.10G002100.v8.1 transcript:Manes.10G002100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFISLILQGVKLAKDLEPAVQNLATHQNQEMISKLDEIIRVFISARERLSSHQDVSQMLQQQQQPQIDPSLQEYWLRTKMVELFQSQLMAERMSSSSGLENKQAEGGLAMELSGRDVQAMASSSAQRPRRSRKDDADKRTMRVPAPRMGNTEIPPEDGYTWRKYGQKEILGSRFPRGYYRCTHQKLYQCPAKKQVQRLDDDPYTFEVTYRGDHICHMSATAPSVPPPQLPEITREMTQSAMAAQPPPWLEFSLGGSGSSMAAAGPSTVRYGKEAEFPVADMADVMFNSGSSSSNSMESIFTYMEGKWEPEEKKN >Manes.05G003300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:326246:326677:1 gene:Manes.05G003300.v8.1 transcript:Manes.05G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAASYGSDSDPRYANVDERKRKRMISNRESARRSRMRKQKMLEDLVNEASLLQNENSRLRQNINDNTQRYVEIESANNVLRAQAMELTERLRSMNSVLQIVEEVSGLAVEIPEIPDPLLKPWQLPCPVQPIMASADILQY >Manes.09G081596.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20126675:20129177:1 gene:Manes.09G081596.v8.1 transcript:Manes.09G081596.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADSFNDIKDNTAPLPEQSVVTYAAECGPYSDKNGSRDAPAASEIAQSYGFKRYEYQKLAKATRFFSNVHRIGEGGFGIVYKASLDDDDVAIKKLKIVKLENKLEEIEYLSVVRHPNIVKMIGYCSEGEDKLLVLEFVPNKSLRHHLHDEDKLLEWSKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLSDNFEPKIADFSLANFLPDTGNINHISSILRGTNM >Manes.09G081596.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20126669:20129177:1 gene:Manes.09G081596.v8.1 transcript:Manes.09G081596.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSKSNAQADSFNDIKDNTAPLPEQSVVTYAAECGPYSDKNGSRDAPAASEIAQSYGFKRYEYQKLAKATRFFSNVHRIGEGGFGIVYKASLDDDDVAIKKLKIVKLENKLEEIEYLSVVRHPNIVKMIGYCSEGEDKLLVLEFVPNKSLRHHLHDEDKLLEWSKRIKIAINSARGLLYLHEECKPKIIHRDIKADNILLSDNFEPKVWYSHNKYKFSIYTIS >Manes.01G119450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31586604:31587200:1 gene:Manes.01G119450.v8.1 transcript:Manes.01G119450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSQLLLQGDCFKRKLSSALVLDKRCGEMRCNRIEYILQDKAKTLQLSLPNTGLENSTRASWTANGQPP >Manes.17G044166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23807947:23810192:-1 gene:Manes.17G044166.v8.1 transcript:Manes.17G044166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKWVYVVLLIILLFELGSCRILSDQKDQESSKPDNPGFLAGLGGTWKGIPFLGIRAGGGFLRIGSDRHGKGTFGGGGGGGGFNGGTSRPNGCNCDSTKNGGGGGFNGGTSRPNGCNCDSTKNGGGGGYPTHSNPSGPPVTCRPMNCSNESVSYSEGYRRYLDSSPTPLS >Manes.16G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24265387:24277807:1 gene:Manes.16G061800.v8.1 transcript:Manes.16G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRKKPGPSHSHLLLVFFTVLFPFSHGQETDAVGYGYVIDSVAVNLATKSLNADLILIKNSSVYGSDIQSLNLLASFETKERLRIRITDSQAQRWEIPQEIIPRRSPQPQYLKLQRYPGDDSPVNHRDLLENQILSSPTSDLVFTLHNTTPFGFSVSRKSNGDVLFDASPDSTDSGSFLVFKDQYIQLSSALPADRSSLYGLGEHTKRTFKLQANETLTLWNADLASANLDVNLYGSHPFYIDVRSSSDDGRVPAGSSHGVLLLNSNGMDIVYSGDRVTYKVIGGVIDLYIFAGASPSMVIEQYTELIGRPAAMPYWSFGFHQCRYGYKNVSDLEAVVAGYAKASIPLEVMWTDIDYMDAFKDFTLHPVNFPPDLMKKFVNQLHQNAQKYVVIIDPGISVNDSYGTYNRGMQADVYIKRDGVPYLGEVWPGLVYFPDFSKPDTTAFWTDEIRQFRDIIPVDGLWIDMNELSNFITSPSTPSSTLDDPPYKINNEGIQRPINNKTTPATCLHFGNFTEYDVHNLYGLLEAKATHEALLDITGRRPFVLSRSTFVSSGKYTAHWTGDIASTWDDLANTIPTMLSFGLFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHTEINSIPQELYLWDSVAATARKVLGLRYRLLPYFYTLMYEAHTKGTPIARPLFFSFPQDINTYEINFQFLIGKCVLVSPAVTSGAVSVDAYFPTGNWFSLFNYSDSISVSSGKYVTLDAPPDHINVHVKEGNILAMQGEAMTTTAARMTPFELVVVVSSNGDSSGELFLDDGEEPEMGGSQGKWVLLRFNGGLQRNNTIFVSSEILSGGLDLNQKLIVDKVSFVGLKKGEILKARKLRITKGSMRKGSPVVKVSLDSDSQFITIEISGLSLLLGETFRLELDLVVKNSS >Manes.02G219861.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35096193:35117084:1 gene:Manes.02G219861.v8.1 transcript:Manes.02G219861.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHNRQLSMNEIDEAVQVQEETQEHTPQALGGQANTSSSSSVRTRGPNLGHPIPSNLSDRQLIRLKGNVFLDSTVTRSITNDIKMRYTAPLKTWSEIPLKTKDELFGLFRSRYIWNESEEDMVQIAWEKDQIRWRQRYGMNL >Manes.16G069001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27174942:27178444:1 gene:Manes.16G069001.v8.1 transcript:Manes.16G069001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCTANLKTVLTQSITPKPHSADPSRLPFNKKLQNVEDPLGKIRDFLKKDENKLPVSSYDTAWVAMVPSQNGSKQPLFPECLDWIMENQQPDGSWALDPAHPLLIKDCLSSTLACLLALHKWNVGDQLVNKGLDFMASNIWAATDKHQLSPLGFDIIFPGMIEHARDTALNLPFNNSLIEGMLQKRDLEIKSFQGELNNLAKYAEGLTQLNDWQKLMKYQRSNGSLFNSPSSTAAALIHLHDEKCLAYLHSLVKKFHKGVPTTYPLDIYSRLYMIDNLAKLGIDRHVTEEIAATLDDIYRSWTQGSEEIFLDPECCALVFRLLRMNGYVVSSDALVNFDKQEDTLSSSSNNIKSALELYKASQITIFQSEPVLERIYAWTSTYLGEELASTGGIQDKSLHDEVDYAINHPLSYLERIESRRYIENYNMDDIELLKTSYRFFNIDKSDLLTLSLQDFNQCQAMYRKELEYLERWVKEYNFEKLEFARQKIAYAYFAVAAVLPHPDLSDARIAWAKNSVLTTVVDDFFDFGGSMEEIQNFMELIRRWDDHSTVGFKSKDVKILFYAVYGTTNELAEKAIKQQGRCIRNHLIDLWITLLDTMLKEVEWARENSVPTMYEYMTNGYVSFALGPVVLIPLYFMGSKLSEEVVQSQEYNNLFLHISMIGRLINDRQTVKRESEQGKLNSVSLYVIHGRGAITEKEAQEEVARIVESHRRELLRMVQQTEGSVVPKNCKELFWKMSKVLHLFYMCGDGYSSPHKMVSAVNAIINDPIPLPPYSKLKLKRYFYLN >Manes.02G074600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5672638:5672862:-1 gene:Manes.02G074600.v8.1 transcript:Manes.02G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPVCIQCGTTANPCRCKVVGPTLGFLAFAAAAIVEWPVGAFVYLFRHRSGRRIMAHPATVIYPSVTTAIPI >Manes.16G076500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28065277:28066232:1 gene:Manes.16G076500.v8.1 transcript:Manes.16G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSKPLPQIIVPDQQQPFRPTVKFLTASILGAAFLLLSGLTLTGTVIALIVATPILVLLSPILVPAGIMVFLVTAGLFFSGGCGLMAILALTWMYNYLTGKHPPGADKLEYARGQLARKAHDMKERAKEYGQYVQQKAQEAAHQTHSS >Manes.08G076650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16300787:16302557:1 gene:Manes.08G076650.v8.1 transcript:Manes.08G076650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQLNKNTKQREKMGVISSSPSLQEKEGRKPITEQREERREDLGLIGSESDITELKKMGLCLVSLSMVVNYFG >Manes.04G160200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35480795:35482820:1 gene:Manes.04G160200.v8.1 transcript:Manes.04G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAKIVTLDNHTCNGEWTIVLPRRGKQRRNFPKTRTSEAQQQPWVPTDLESDPNRQTKLIEKMQICMKKVENSQFYTNLLEQVRTPEIMDCFHRVLGSESKMQMVIYGIGSIESYETPRIQLSIAILMRKEFSWIGDIEVFDPVLSATESRVLEALGCYVLSVNEHGRRRVTKPTLFYMPHCEAELYDNLLQANWGVEPLNQIVLFGNSFDVYQYLSEFRNSTLVDSSRHIVAVREFTHEYVIKICSNDYFAAFHDSSWHFFSPVLETELQLFKKM >Manes.13G097400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:28831456:28835577:1 gene:Manes.13G097400.v8.1 transcript:Manes.13G097400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRKKIGISHTKLSSSCADDCNPRNHDGKCNDSCIQICPNVCKTAAAAPCPPFPGSPPPPQPPRQPLILPHPANAAQKHLLTTSMIIMGSLVGTAFLFCILCAYLRARHTRQRISRSSASGSPLSFGTQDDFLDEDQGPEIIHPIWFINTIGLQQSVIDSIAVLKYKKDDGLIEDTDCSVCLNEFQEDESLRLLPKCSHAFHVTCIDTWLRSHKNCPLCRAPIACDVQVDLAVPASSDLSSREETQMENSENNSGFISNHQVGEAETSEARGENSKMSVNLPVGNHLRMQSDLVERLQTGEAETQPMRRSVSMDSSSAMAIYNVMANFKQQGNSKLKNVSKRRSSGGLSFGKLIKSSSIGHALQKKPISMKRSSSSGNSSASRQSRSQDSILPL >Manes.13G097400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:28831590:28835488:1 gene:Manes.13G097400.v8.1 transcript:Manes.13G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRKKIGISHTKLSSSCADDCNPRNHDGKCNDSCIQICPNVCKTAAAAPCPPFPGSPPPPQPPRQPLILPHPANAAQKHLLTTSMIIMGSLVGTAFLFCILCAYLRARHTRQRISRSSASGSPLSFGTQDDFLDEDQGPEIIHPIWFINTIGLQQSVIDSIAVLKYKKDDGLIEDTDCSVCLNEFQEDESLRLLPKCSHAFHVTCIDTWLRSHKNCPLCRAPIACDVQVDLAVPASSDLSSREETQMENSENNSGFISNHQVGEAETSEARGENSKMSVNLPVGNHLRMQSDLVERLQTGEAETQPMRRSVSMDSSSAMAIYNVMANFKQQGNSKLKNVSKRRSSGGLSFGKLIKSSSIGHALQKKPISMKRSSSSGNSSASRQSRSQDSILPL >Manes.07G119600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32445932:32451279:1 gene:Manes.07G119600.v8.1 transcript:Manes.07G119600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVDFDKELCSRSINETVNGSHQFTIKGYSLAKGMGAGKCISSDIFTVGGYDWAIYFYPDGKNPEDSSMYVSVFIALASEGTDVRALFELTLVDQSGNGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLENSDYIKDDCLIMNCTVGVVRTRLEGPKQYSIPLPPSDMGQGLRELLESEVGCDIVFQVGDENFRAHKLILAARSPVFRAQFFGLVGDPNLDKIVVKDIDPSIFKAMLLFIYTDKLPDVHEITGTTSMCTSTNMVQHLLAAADLYNLDRLKLLCESKLCEELSADTVATTLALAEQHHCSQLKAICLKFAANPANLGGAFCS >Manes.07G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32445932:32451279:1 gene:Manes.07G119600.v8.1 transcript:Manes.07G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLKVDFDKELCSRSINETVNGSHQFTIKGYSLAKGMGAGKCISSDIFTVGGYDWAIYFYPDGKNPEDSSMYVSVFIALASEGTDVRALFELTLVDQSGNGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLENSDYIKDDCLIMNCTVGVVRTRLEGPKQYSIPLPPSDMGQGLRELLESEVGCDIVFQVGDENFRAHKLILAARSPVFRAQFFGLVGDPNLDKIVVKDIDPSIFKAMLLFIYTDKLPDVHEITGTTSMCTSTNMVQHLLAAADLYNLDRLKLLCESKLCEELSADTVATTLALAEQHHCSQLKAICLKFAANPANLGAVMQSEGFQHLEESCPSLLCEMLKTFALVDEESSMLSGRKRSGSSIYGLDLAADGAAAESMNPNARRVRRRY >Manes.13G019800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2906723:2912976:-1 gene:Manes.13G019800.v8.1 transcript:Manes.13G019800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQIDYEDEEYGGAPKTQYQGSGAIPALAEEEMGEDDEYDDLYNDVNIGENFLQMHRSEVPPPPASVGKGGFQAQNVNGSRVETSGSQGLNDPGVAIEGKYSNAGTHFDEQKESSMGVKGPETRPVGYPDGSSVAQKGRVMEMTRDSEGQNMGFQGLTSVPSNIGTDPSDMSRKIASEPATLPNSSASGPRVIQQLPANQMSMNMDVNHPVMNENQIHPPIDNGPTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFYDAAAAAACKEGMNGHVLNGRACVVAFASPQTLKQMGASYMNKNQNQPQSQNQGRRPMNDGAGRGGNMNYQGGDAGRNYGRGGWGRGGQGVLNRGPGGGGPMRGRGTMGAKNMVGGTGGLGSGANGGGYGQGIAGPAFGGPAGGMMPPQGMMGAGFDPTYMARGAGYGGFAGPGFPGMLPSFPAVNTMGFAGVAPHVNPAFFGRGMAPNGMGMMGPGMDGPNAGMWSDTSMGGWGEEPGRRTRESSYGGEDGASEYGYGEVNHEKGARSSAVSREKERVSERDWSGNSDRRHRDEREHDWDKSEREHREHRYRDEKDSYRDHRQRGRDAGYEDDWDRGQSSSRSQSRSRAVPEEDYRSRSRDADYGKRRRLPSE >Manes.13G019800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2908267:2912976:-1 gene:Manes.13G019800.v8.1 transcript:Manes.13G019800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQIDYEDEEYGGAPKTQYQGSGAIPALAEEEMGEDDEYDDLYNDVNIGENFLQMHRSEVPPPPASVGKGGFQAQNVNGSRVETSGSQGLNDPGVAIEGKYSNAGTHFDEQKESSMGVKGPETRPVGYPDGSSVAQKGRVMEMTRDSEGQNMGFQGLTSVPSNIGTDPSDMSRKIASEPATLPNSSASGPRVIQQLPANQMSMNMDVNHPVMNENQIHPPIDNGPTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFDERASGKSKGYCQVEFYDAAAAAACKEGMNGHVLNGRACVVAFASPQTLKQMGASYMNKNQNQPQSQNQGRRPMNDGAGRGGNMNYQGGDAGRNYGRGGWGRGGQGVLNRGPGGGGPMRGRGTMGAKNMVGGTGGLGSGANGGGYGQGIAGPAFGGPAGGMMPPQGMMGAGFDPTYMARGAGYGGFAGPGFPGMLPSFPAVNTMGFAGVAPHVNPAFFGRGMAPNGMGMMGPGMDGPNAGMWSDTSMGGWGEEPGRRTRESSYGGEDGASEYGYGEVNHEKGARSSAVSREKERVSERDWSGNSDRRHRDEREHDWDKSEREHREHRYRDEKDSYRDHRQRGRDAGYEDDWDRGQSSSRSQSRSRAVPEEDYRSRSRDADYGKRRRLPSE >Manes.06G029000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5813725:5816206:1 gene:Manes.06G029000.v8.1 transcript:Manes.06G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFLLIVFFLIISPPPASSSTSTIHGDSITLNQGSSLSIDRPDHVLISPNAIFTAGFYPVGDNAYSFAIWFTEPSCSNSCTVVWMANRDLPVNGRNSKLSLLKNGNLILTDAGKSVVWESNTFSFSSSYLQLYDIGNLVLITSRERVILWQSFASPTDTLLPLQPLTRDSLLVSSRRLKNFSSGFYKLFFDDDNVLRLVYDGPEVSSAFWPDHWLLSREAGRSSYNSSRIALLDSFGNFTSSDNFSFSSMDYGQQLQRRLTLDFDGNLRLYSRENGNGSWVVSWQVFSQPCKIHGACGPNSVCKYIPSFGRKCSCLPGYKIKNPADLSLGCEPEVSSVETEATFIGLPHVEMYGYDFDIFENYTLEMCKEVCLRRYDCKGFIFKFFYQNHPDNIPYCFPKLQLLNGYIAPNFKGDFYLKVPKNRPSKHWSAKEQNLDCPAGAVKQLDRRYDKSNGNWSLKFLLGFVISTGIIEILSLVLLWLYFTRQQKIYSTSEDYFHATDFRRFSYSELKEATRNFSEEIGRGATGIVYKGVLDDKRVAAIKRLNSASQGEAEFLAEVSTVGKLNHMNLIEMWGYCADKKHRLLVYANMEEGSLAKNLSSMELDWEKRYKIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDADYEPKVSDFGLSRLLSRGQLHNSSFSKIRGTRGYMAPEWIFNLPITSKVDVYSYGVVVLEMVTGRSPSMGGRVADKGRLVEHERLVEWVKEKKHGAFAKSWWVEEITDPAIGMDYNRRKLEGLVGVALKCVEECRDDRPTMSQVVEMLLRLENDHYPN >Manes.05G165800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27777704:27781324:1 gene:Manes.05G165800.v8.1 transcript:Manes.05G165800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLEYYGDDSSDPDENVNSDYEYDDDAILLAEKDDDSNKDFKPQNCRVLKLEDVRQRMEEDIANVSSVLSISKAEASILLRYFHWSVSKVNDVWFVDESEIRKKLGLFGKPEVVHHDDSVIIICGICFEYFSYDGLCSAACGHLYCKECWASYITTSINNDNLRCLSLRCPEPSCKAVVDQDMVDSLVSEEDRKKYSEFMVKSCVEESRNTKWCPGPGCEYVIEFIAGEGSFDVTCICLASFCWNCGEEGHRPLDCETVKLWLSKNMSESENVNYILSYCKPCPNCKRPIEKNQGCSNMTCRICGHNFCWTCLCPNGYHLACNIYKASDTEASRKMMGQKTMQKYMHYFERWDANKRSKAKAIAGFGNMKNEQFKRLSKKLKISDADFDFLSKAWLQIIECRGILGWSFVYGYNLPDNEPAKKQFFGYLQGEAESTLEKLHHCVEIEILSFLNVNNPLENFDDYRNKLIKLTFVTGNYFEKLVKALENDLPGVHESSSGGSSSSSSSKYQSEDEYWICARCSHANPYSVNKCKGCPADANLARINLDYFLFMVNNRTDYHFYPR >Manes.11G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1652682:1655775:-1 gene:Manes.11G015800.v8.1 transcript:Manes.11G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLEIIKMPYVKCSISFFHATVASPFSEFIPAIHRFISLLDFPFNRQNFLRQIMNRGRAPSFSNYSSPGGRSSRAADHSSHRGRHMAVADGDFSDDSFSRRNGTSHSRRRSANPISPSSFTGRSNYRPARVYRPKKPLEDGSIHSEMLQQDQNDSNASDCESKQLESFMACNSGPEGSSAFLSACSSLHDNVMKIQAGQVPTAGAVNEGTLCHDLSSKVNSSTLQNHPLPSLNSNVKDHLLQQERAKSTECNRDSEHQTPVETFDIFLPRTGTPVILKPSLLAKNREKRNEIKRAIEFEGKILRPGMVLLKRYLSMDDQVKMVKLCRELGIGPGGFCQPSYHDGAKMHLRMMCLGRNWDPNTSSYGERRPIDGAKPPKIPHEFCSLVEKAMKDTCALIERNPEASSVEDTFPWMSPDICIVNFYSASGRLGLHQDKDESERSLRKGLPVVSFSIGDEGEFLYGDNRDEKNAMKVILESGDVLIFGGKSRHIFHGVRSVHPNTTPKSLLEETNLRPGRLNLTFREY >Manes.11G015800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1653726:1655775:-1 gene:Manes.11G015800.v8.1 transcript:Manes.11G015800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLEIIKMPYVKCSISFFHATVASPFSEFIPAIHRFISLLDFPFNRQNFLRQIMNRGRAPSFSNYSSPGGRSSRAADHSSHRGRHMAVADGDFSDDSFSRRNGTSHSRRRSANPISPSSFTGRSNYRPARVYRPKKPLEDGSIHSEMLQQDQNDSNASDCESKQLESFMACNSGPEGSSAFLSACSSLHDNVMKIQAGQVPTAGAVNEGTLCHDLSSKVNSSTLQNHPLPSLNSNVKDHLLQQERAKSTECNRDSEHQTPVETFDIFLPRTGTPVILKPSLLAKNREKRNEIKRAIEFEGKILRPGMVLLKRYLSMDDQVKMVKLCRELGIGPGGFCQPSYHDGAKMHLRMMCLGRNWDPNTSSYGERRPIDGAKPPKIPHEFCSLVEKAMKDTCALIERNPEASSVEDTFPWMSPDICIVNFYSASGRLGLHQV >Manes.17G103100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31081526:31082551:1 gene:Manes.17G103100.v8.1 transcript:Manes.17G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIFLFILLVSFSSALTSSTPSFLDALDILSSSGYLSMALTLQITSKSFNLDSSATTIFAPCDSAFLRSGQPSIHELQYHISPVRLTMDGLNALPVGTKIPTLLPNQSLIVTSSSSTFNPKLSINGVSIQELAILDNGSTVIYGINQFFNYSSEISLNLAPATSPSSITEKGSSVLGVDSFGPASDFLMSRGYSIMATFLDLQLFGFMNQTMLTIFTPVDEAIEEYAKNVSDYSLVFRGHVLPGLFSWQDLVGLRDGTSLQTFSGGLMINVSRSGDVLVLNGVLVIFPDMYYSERLIMHGLMGLLISTPKEETLGDSFSALNGEDNLNQPDYGADNAL >Manes.01G128000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32208050:32210610:-1 gene:Manes.01G128000.v8.1 transcript:Manes.01G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNENKNPFQHDPFHYSNQRGSGGRSEFPFFDDNHPSTMYNNQPSLIRQTLPCSDPFMSFTECLSMDYNTLSTAFDHMPCSPSEVISSSIHHCSGAPAGKSSSPPANDGHPSTPDSSISSSSNDAATQQASPKTKEKHHHHHQKQHQDAMEKTKNKVCSKSKTKQKEKGEREARFCFLTKSEIDLLEDGYKWRKYGQKAVKNSSYPRSYYRCTTQMCTVKKRIERSFQDPSIVITTYEGKHNHQCPATIRPNAAPGILSPNSLLPSTSSIMPHRPSFPQDLLARLLPPDDNSHHGDPTAMFYPNLFAPQQQLHHLPDYGLLQDLLPSSFLPKQHP >Manes.05G144400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24628150:24629830:1 gene:Manes.05G144400.v8.1 transcript:Manes.05G144400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFPERNDYLSRNSSSPSKSSSTSSSPSSQLLNPSSARQAMEDVWKDINLTCLQDCPSGANHANHPAFPAGMILQDFLARPFNKEPPTEPSSGRANDFLDSLGPRPATMLSLNSGSDFEILESGTASRRPNPQMNTHARVDTPSFGSWLISPLDALGSSSVCPSICKKRLQENHNNSVDRRHKRMMKNRESAARSRARKQAYTQELELEVANLAEENARLRRQQEKPILCIAVSGSSCSASKKAQSLQNLNSSILRNTSLSRGSKGGEEKEGLRKKSRESQGKTLGILFFIFFLKIYSN >Manes.02G043700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3583178:3585811:-1 gene:Manes.02G043700.v8.1 transcript:Manes.02G043700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSSLLFLNSHVLSSSLPFPPTRPFPKPQSWFLLRAKFRPISLSITRAVKSDVSKEDIVIVGAGIAGLATAVSLQRLGIRSVVLEQAESLRTGGTSLTLFKNGWRVLDAIGVGSNLRSQFLEIEGMVVKSEDGRELRSFRFKDEDQSQEVRAVERRILLETLANQLPTEAVRFSSGLEKIETSEKGETLLKLNNGNQLLAKIVIGCDGIRSPVAKWMGFSDPKYVGHCAFRGLGVYSDGQPFEPRVNYVYGRGLRAGYVPVSPTKVYWFICFNSPSPGPKITDPSELKKQAKELVRNWPSELLNLIDISPDETVSKTPLVDRWLWPTVSPSASVGRTVLVGDAWHPMTPNLGQGACCALEDSVVLARKLANAINSGPASIEDAFRSYGSERWPRIFPLTIRANLVGSLLQWEDPIVCSVRNNIIIPKLVRLGPIMEHTNFDCEPLITQISETSGER >Manes.02G043700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3583178:3585811:-1 gene:Manes.02G043700.v8.1 transcript:Manes.02G043700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKSEDGRELRSFRFKDEDQSQEVRAVERRILLETLANQLPTEAVRFSSGLEKIETSEKGETLLKLNNGNQLLAKIVIGCDGIRSPVAKWMGFSDPKYVGHCAFRGLGVYSDGQPFEPRVNYVYGRGLRAGYVPVSPTKVYWFICFNSPSPGPKITDPSELKKQAKELVRNWPSELLNLIDISPDETVSKTPLVDRWLWPTVSPSASVGRTVLVGDAWHPMTPNLGQGACCALEDSVVLARKLANAINSGPASIEDAFRSYGSERWPRIFPLTIRANLVGSLLQWEDPIVCSVRNNIIIPKLVRLGPIMEHTNFDCEPLITQISETSGER >Manes.02G195960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24454441:24456032:-1 gene:Manes.02G195960.v8.1 transcript:Manes.02G195960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCMKTTLEESSGSAAETQNDCETEEGVESKEEEKEEDGDEDDDGDEDDDDEDEDDDNEDDDEDDDGEGGDDDDDDDDEEEENDDEDEDGEKWGCSLSKTGIKLSIRNSGAAGKSAIVESAKSVYFFSSNLCRCFHWVTKDLSKEAVLGR >Manes.12G043600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3694878:3695554:-1 gene:Manes.12G043600.v8.1 transcript:Manes.12G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCVSVMLVLVLALVAWQAGARDVKTTLTTAKNVPSDAGVSDQKNFVTYGGVGGFAGVGGNGIPFGGVGGVGGVTGLGGAGGIGGFGGVGGAPGGGSGLGGGVGSGGCGAAGGAVGGGTGALPYP >Manes.01G256801.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369638:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369638:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374598:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374598:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369638:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSVRWFFSCHLSSVPVVAVASLQPSITISGFHSGNPSQKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369378:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369638:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374601:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369483:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369687:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374601:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374598:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369638:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSVRWFFSCHLSSVPVVAVASLQPSITISGFHSGNPSQKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369638:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGYA >Manes.01G256801.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374598:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGYA >Manes.01G256801.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374601:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369663:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374598:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41374598:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRWLLIQAVYLGKCFCECKGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSVRWFFSCHLSSVPVVAVASLQPSITISGFHSGNPSQKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.01G256801.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41369638:41398888:1 gene:Manes.01G256801.v8.1 transcript:Manes.01G256801.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHAPSCTGAVNNPIGVASVRDTGRADSSSLPAINSRRPPSLSAYKLKCDKEPLNSRLGPPDFHPQIPNCPEETLIREYVQSGYRETVEGLEEAREVSLTHVQAFSKPVVFKCREAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRPCSEDFKKKWIEGLSQPHKRLQCLADHVPHGYRRKSLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSAGISPGTPDKTQLSRTELWTKDVIEYLQMLLDEFFSRSNSQSASHSRDRSPQMLYAGSVQHRSDPEPVFIDGEEPSLYFRWWYVVRLLHWHHAEGLLLPSVIIDWVLSQLQKKDVLEILQLLLSIIYGVLDTIVLSQTYVRALVGIAIRYIREPSPGGSDLVDNSRRAYTMSALIELLRYLILAVPDTFVALDCFPFPPSVLSYGVNDGAFVSKVSEEARKTKDHSAGVVGMFRNKGLDAQYQSLSFDQVVSSIQKQADNLAKASCPGYLVHSVATAVQPLDKVLLQGDIREAYSFLFENFCDGAVDECWIEEVSPCLRSSLKWIGTVSLSFVCSVFFLCEWATCDYRDFRAAPPLDLKFTGKKDFSQVHIASWLLKLQMRDLQSKPRRKNERSIGINSLAEGLSHHNYVGRVRVGNGYENKGNSKNVTGKNGNSSDIFESPGPLHDIIVCWIDQHEVQKGEGLKRLQLLIVELIRSGIFCPHSYVRQLIISGIMDPREPLGDHNRRKRHSQILKQLPGLFVHDVLEEARIVEGSELLEVMHVYSNERRLLLRGFLCEQYQNSSKSNISMQKQKYHLTCLKDGGSAASFDQWKIIQSCSNTLTSKKVKSNADIEELKTSILLLFQIPNLSTTSDVELDESQGSVKRAAESNSSGVDSVEATPGSEDCRRAKRQKLNEKKSSCFQGHSPISDDEDTWWMGKGHKSLDLSKVDIPQKSSKQVSKGRQKVVRKSLAQLTAARIEGSQGASASHVCDNRVGCPDQKNGTEGETLKSVDGIRTLQGGDIASIAKALKQMRYLEKRSITVWLVTTVKQLVEETERNVAKANQFTRPFVSADNRSSIRWKLGEDQLSAVLYLMDVCNDLVSASKLLLWLLPKVISNASPTINSGRNVMLLQRNVENHACEVGEAFLLSCLRRYETILVATDLIPEVLTAAMQCVAVLLASGGRVSGSAILTYSRYLLKKYANMPSVFEWDKNFKATCDKRLLSELEPSRSLDGQFGFPLGVPAGVEDLDNFLRQKISGNRITRAGISMKDIVQRHIDDAFHYYFGKERKFFNAGTQKGPGYEKSDGGYQIAQHVIVGLLDCFRQTGGAAQEGDPSLVSSAVSAIVNNIGPTMAKMPDFIVPSNHSNSSSAMASLTIAKRILRIHISCLCLLKEALGERQSRVFEIALAAEAFSALATAFSPGKSSRSQFQLSPEDSNVKNDMSNNSVRSARVTKIAAAISALVVGAVIHGVASLERMVNVFRLKEGLDVIQFIRTIKSNPNGNTCPIPAFKVDDSIEVYVHWFRLLVGNCRTLFDGLIVELLGEPSVVALSRMQRMLPLNLVFPPAYSIFAFVIWRQIILSKDLANREDIIQLYRSLTMAIGDAIKHHPFRDVCLRDSRGFYDIVATDASDAEFAAMLSGLDLQSKSAAFVPLRGRLFLNAIIDCKMPVSMSTQDDSNRASGPGGSKGQHTENKTKLLDKIVNVLDTLQPAKFHWQWVELRLLLNEQALVEKLEAQDISLADAIRASSPGPEKAAASENENNFIVIILTRLLVRPDAAPLFSELVHVFGRSLEDSMLLQAKWFLGGQDVLLGRKTIRQRLISIAESKSLSTKAQFSKPWGWCRSDFDPLTNRGDKKFEVTSLEEGEVVEDGSDTKKSGKGSTQMLNSDGFSVSQQSKTERAFIELVLPCIDQGSDESRNAFASDLIKQLNNIEQQINTAARSASKQAGSVSSGLEGPASKSSNRKVIRGGSAGMNRRTAGAADSALPPPAALRASMSLRLQLLLRLLPIICTDGEPSGRNMRHMLASVILRLLGNRVVHEDADLSFSPLQSSQSKMEFESPLETPSADLCGESLFDRLLLVLHGLLSNKQPIWLKSRSSSKLMNDFSGDSPGLDREVLESLQNDLDRMQLPSSIRWRIQSAMPVLLPSKNPLPLARTAMNVPGKSRPLPLQQENDMEIDPWTLLEDGTGSGPSLSNTAVIGSGDHPHLRATSWLKGAVRARRTDLTYIGAVDDDS >Manes.05G099401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9112450:9117565:-1 gene:Manes.05G099401.v8.1 transcript:Manes.05G099401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSASKVDATQGSHTPSGASRISSKTSRSSVPSTLTIPSYSGRSNFECLPTPRTEGEILSSPNLKAFSFNELRNATRNFRPDSLLGEGGFGYVFKGWIDENTMTAAKPGSGIVIAVKKLKPEGFQGHKEWLTEVNYLGKLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWALRIKVAIGAARGLTFLHDAKSQVIYRDFKASNILLDADFNAKLSDFGLAKEGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLVDWAKPYLGDKRKLFRIMDTKLGGQYPQKGAYVAANLALQCLSSEAKVRPRMSEVLATLEQIDSPKTATKHSQLELQTVHRKSPMRRHHSPLNLTPSASPLPSHRPSPRVR >Manes.12G057901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5546935:5549256:-1 gene:Manes.12G057901.v8.1 transcript:Manes.12G057901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLFLSEPNWKEDGVRGDSVVAKQWISLLNQLESLIWSLMTAGGGAEARLWLCSTISGVTSLTSRQQRDLFENLLRTRPTNHSLASQLLQMIFEKQPRKAGPIMAKRSYMLEKFFAGNPKRVMQWFSNFANGGGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQRTVENFLDNVPEFSSSTEFAESLRDGDILFIDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHTYLSMNMEPVDFGNSSCGLEFALSRFNDCESFDQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQICTISSTANSLDPLLNECFKMKTTEAIKFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSDKYANLHHDGLSEESDYELDNVASVKRKRRKKKKSRKKKRNFDDEEFYENELLDLDTSNNQLGLQSKAGSWLLSTDGFSASWTNVDLPDHLSKFCFSTWMKWVFAK >Manes.15G163500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13633264:13636290:-1 gene:Manes.15G163500.v8.1 transcript:Manes.15G163500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSNPKKRQRVLHHRHLSNAATTTHLSSTAALIDSFSDRTVAETSQKLRRTSSHPSFRSSFSSLSSYASTTTAADNSFNDPTTADVILRLFLDQTAPFNDSDDSISAVDEQSDVQIYLHSQVIRRAKYFSALLSDRWQHNSPIESTDPISDSKNLTSLKFGVTPSQGSIDIHLTVLELLYTDDFSNVINSASMALDILPVALQLLFEDCVKNCVKFLEAVPWSEEEEKRVLTLIPFLREEESEELLARFSPGKDDSCEEMLHGLILSAIHNHPNMAFVKAFVAKLLRDFSSKESARRVLERAFEESLKIVKESLEEYSSPDFRGDHNETEAIQRLNLHKALTNGKHLLWLVERMIELRVADSAVKEWSEQPAFTADLQRAFRDDAWRNIVPGLPAVLLRCTSKLANAVAAGTILAARQVRMKLVKDWLPVLIVCRDNVSPMSPGHKSLYIELEETFLRIISTLPMSEAQEMLQQCLSFSTRNVEDCPHLVSAFNTWFRRAMRPPQRNLF >Manes.06G058700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18577603:18581256:-1 gene:Manes.06G058700.v8.1 transcript:Manes.06G058700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPWKRAKVSRISRIVADLQPPKPNSMVVQTGFPTSLVDLFVKNRDRLKTPIKKKKKQHQHNQPREVEEFVVSDTTSLANAIEWPVEPVNIDKKCESPEKLEAKVKNVDRCEVLGGEYRNLDCNQGIKECGVVDYGNLESNRKEKRLLCSILKMFVVVVLALSTTRLAVGITMSASLLIFLEYEGRRLLCLLKTCMLALLSVFPRVVSLFRFKKDLWVSEKGTINVGEDDYASDSCDSTGSLESNIPLKDIQPMEPRIDMVGRVETNERVEYQTTNDLLYYDKRWDRVDGAAKAESDEGGQMICGKEHSQSCKLRRKFIKKLVPKKLRSVKRERKGNEREAEFRMRSDMSSCCWVEDKLWRSANTKEQSMLHQQNSGCKGKQTLMELLEEEKEEEQERFGTGRIEQFERRRSIPTQALQTKTEMIAVQERVDIVRGGNSGYLILFLVVLAGLIGGRMLALVATVASCSMLKLLGRRSRCEK >Manes.02G030800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2421927:2425376:1 gene:Manes.02G030800.v8.1 transcript:Manes.02G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSRLVSLLYPLLLAAIFVHCHGDGDDRKVHIVYMGDLPRGDFSAESTHHSMLESVLGSTSSAKESLVYSYGRTFNGFAAKLSDEEVGRLSEMDGVISVIPNHILKLHTTRSWDFMGFSKGKLTMPQEGNVIIGLLDTGVWPESASFSDTGMGPPPSTWKGICQGEGNFTCNNKIIGARYYNSENWYDVTDFKSPRDSEGHGTHTASTAAGREVQGVSYYGLAQGVARGGVPYARIAVYKVCWSFGCATADILKAFDDAIADGVDILSVSLGAPWAFPYMEDPIAIGSFHAMKYGILTSNSAGNSGPFPYTVANSAPWTLTVAASTIDRKFIANVVLGSGKVITGLSINNFVLNGTYPLVWGGDAANYSAGADPEIASYCVTGSLNSFIVQGKIVFCETIWDGSGVLIANGVGTIMAHPFYSKDFAFSYPLSATVISVEDGQQILDYIRSTEYPTATILAGETWDDIMAPSIVSFSSRGPNPISPDILKPDLTAPGVDILAAWSPVSPPSIYYADTRSVNFNIISGTSMSCPHASAAAAYVKAANPNWSPAAVKSALMTTAYVMDPRKHPDLEFAYGSGHINPIEATDPGLIYDASEADYINFLCKQGYNTTTLRLITGDNSSVCNSTEPGRAWDLNYPTFALAIEDGQPIQGVFTRTVTNVGKPNSTYSVSMYMPSSVSVTVEPSVLSFSAIGETQTFTVKVVGPKIAQQPIMSGAIAWNDGTYVVRSPLVVYNILPGAAYSTPFNSMTKPQKTPKFEGSSLYHKNGILGSN >Manes.06G145900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27247154:27250199:1 gene:Manes.06G145900.v8.1 transcript:Manes.06G145900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYLFSKTEFHVLILGIDKAGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRIEVSNSKLVFWDLGGQAGLRSIWEKYYEEAHAVVYVIDATCPSRFEESKSALEKVLRHEDLQGAPLLILANKQDLSEAVSAEEIARYLDLKKLDERIYMVEAVSAYDGMGIKESMEWLVEVMERSKRTETLRVRAGVAGPGA >Manes.06G145900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27246809:27250794:1 gene:Manes.06G145900.v8.1 transcript:Manes.06G145900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYLFSKTEFHVLILGIDKAGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRIEVSNSKLVFWDLGGQAGLRSIWEKYYEEAHAVVYVIDATCPSRFEESKSALEKVLRHEDLQGAPLLILANKQDLSEAVSAEEIARYLDLKKLDERIYMVEAVSAYDGMGIKESMEWLVEVMERSKRTETLRVRAGVAGPGA >Manes.06G145900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27246899:27250262:1 gene:Manes.06G145900.v8.1 transcript:Manes.06G145900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYLFSKTEFHVLILGIDKAGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRIEVSNSKLVFWDLGGQAGLRSIWEKYYEEAHAVVYVIDATCPSRFEESKSALEKVLRHEDLQGAPLLILANKQDLSEAVSAEEIARYLDLKKLDERIYMVEAVSAYDG >Manes.10G099101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24780991:24786524:1 gene:Manes.10G099101.v8.1 transcript:Manes.10G099101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAVDEEVESHAPSEAAAPAATPPPGAAGGLGQDALFQQIAELLRRVTQNVPEVPPPPVAVEVPPPVVPRPPIEKLRKYGATDFRGKKEDDPSAAEFWLESTERVLQQLQCSPAENLMCAVSLLKDEAYRWWTTLTQMVRLERQTWEFFLAEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEKRCKRFEQGLHSDIRMYLTAMHIRELSVLVETAHSLERIKEEEQSRKQKGQQKRSQSQYQGQSSASQTASKRQREFQQTGQRGPPRQIQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPICEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPIQTERFLPTGSRGRGRGRGESSSAQSHRVSETVDRPDSRAPARAYAIRAKEDQDKPDVIAGEGTSKGKEIARE >Manes.15G059200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4537208:4541203:-1 gene:Manes.15G059200.v8.1 transcript:Manes.15G059200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDHYKVLGLPSGEEGAKLTEKEISKAYKLKALDLHPDKRPDDPNANENFQKLKRSYEILKDEKARSLFDDLLRVKRERHFRTSQKDSKRQKMVSDLEERERSAFSPDPAARAREEEDRIVRKLKEEIARIRAMHANKGAPAASTLKTETEGVTKERASNPDREKMLKVSWDKGGEDYTSERLKELFSRFGEVEDVVISSSKKKRSALVQMATKEAAVAAMGTVSGSLSNPLLVVPIKPATTTEFPSVQQPVESARLNSLVGTGYKAYEDSILEKLQKAAAAKNQK >Manes.15G059200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4537208:4542185:-1 gene:Manes.15G059200.v8.1 transcript:Manes.15G059200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDHYKVLGLPSGEEGAKLTEKEISKAYKLKALDLHPDKRPDDPNANENFQKLKRSYEILKDEKARSLFDDLLRVKRERHFRTSQKDSKRQKMVSDLEERERSAFSPDPAARAREEEDRIVRKLKEEIARIRAMHANKGAPAASTLKTETEGVTKERASNPDREKMLKVSWDKGGEDYTSERLKELFSRFGEVEDVVISSSKKKRSALVQMATKEAAVAAMGTVSGSLSNPLLVVPIKPATTTEFPSVQQPVESARLNSLVGTGYKAYEDSILEKLQKAAAAKNQK >Manes.15G059200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4537208:4542185:-1 gene:Manes.15G059200.v8.1 transcript:Manes.15G059200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDHYKVLGLPSGEEGAKLTEKEISKAYKLKALDLHPDKRPDDPNANENFQKLKRSYEILKDEKARSLFDDLLRVKRERHFRTSQKDSKRQKMVSDLEERERSAFSPDPAARAREEEDRIVRKLKEEIARIRAMHANKGAPAASTLKTETEGVTKERASNPDREKMLKVSWDKGGEDYTSERLKELFSRFGEVEDVVISSSKKKRSALVQMATKEAAVAAMGTVSGSLSNPLLVVPIKPATTTEFPSVQQPVESARLNSLVGTGYKAYEDSILEKLQKAAAAKNQK >Manes.15G059200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4537208:4542185:-1 gene:Manes.15G059200.v8.1 transcript:Manes.15G059200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDHYKVLGLPSGEEGAKLTEKEISKAYKLKALDLHPDKRPDDPNANENFQKLKRSYEILKDEKARSLFDDLLRVKRERHFRTSQKDSKRQKMVSDLEERERSAFSPDPAARAREEEDRIVRKLKEEIARIRAMHANKGAPAASTLKTETEGVTKERASNPDREKMLKVSWDKGGEDYTSERLKELFSRFGEVEDVVISSSKKKRSALVQMATKEAAVAAMGTVSGSLSNPLLVVPIKPATTTEFPSVQQPVESARLNSLVGTGYKAYEDSILEKLQKAAAAKNQK >Manes.15G059200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4537208:4542185:-1 gene:Manes.15G059200.v8.1 transcript:Manes.15G059200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDHYKVLGLPSGEEGAKLTEKEISKAYKLKALDLHPDKRPDDPNANENFQKLKRSYEILKDEKARSLFDDLLRVKRERHFRTSQKDSKRQKMVSDLEERERSAFSPDPAARAREEEDRIVRKLKEEIARIRAMHANKGAPAASTLKTETEGVTKERASNPDREKMLKVSWDKGGEDYTSERLKELFSRFGEVEDVVISSSKKKRSALVQMATKEAAVAAMGTVSGSLSNPLLVVPIKPATTTEFPSVQQPVESARLNSLVGTGYKAYEDSILEKLQKAAAAKNQK >Manes.15G059200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4537208:4541203:-1 gene:Manes.15G059200.v8.1 transcript:Manes.15G059200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDHYKVLGLPSGEEGAKLTEKEISKAYKLKALDLHPDKRPDDPNANENFQKLKRSYEILKDEKARSLFDDLLRVKRERHFRTSQKDSKRQKMVSDLEERERSAFSPDPAARAREEEDRIVRKLKEEIARIRAMHANKGAPAASTLKTETEGVTKERASNPDREKMLKVSWDKGGEDYTSERLKELFSRFGEVEDVVISSSKKKRSALVQMATKEAAVAAMGTVSGSLSNPLLVVPIKPATTTEFPSVQQPVESARLNSLVGTGYKAYEDSILEKLQKAAAAKNQK >Manes.15G059200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4537208:4542185:-1 gene:Manes.15G059200.v8.1 transcript:Manes.15G059200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDHYKVLGLPSGEEGAKLTEKEISKAYKLKALDLHPDKRPDDPNANENFQKLKRSYEILKDEKARSLFDDLLRVKRERHFRTSQKDSKRQKMVSDLEERERSAFSPDPAARAREEEDRIVRKLKEEIARIRAMHANKGAPAASTLKTETEGVTKERASNPDREKMLKVSWDKGGEDYTSERLKELFSRFGEVEDVVISSSKKKRSALVQMATKEAAVAAMGTVSGSLSNPLLVVPIKPATTTEFPSVQQPVESARLNSLVGTGYKAYEDSILEKLQKAAAAKNQK >Manes.15G059200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4537208:4542185:-1 gene:Manes.15G059200.v8.1 transcript:Manes.15G059200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDHYKVLGLPSGEEGAKLTEKEISKAYKLKALDLHPDKRPDDPNANENFQKLKRSYEILKDEKARSLFDDLLRVKRERHFRTSQKDSKRQKMVSDLEERERSAFSPDPAARAREEEDRIVRKLKEEIARIRAMHANKGAPAASTLKTETEGVTKERASNPDREKMLKVSWDKGGEDYTSERLKELFSRFGEVEDVVISSSKKKRSALVQMATKEAAVAAMGTVSGSLSNPLLVVPIKPATTTEFPSVQQPVESARLNSLVGTGYKAYEDSILEKLQKAAAAKNQK >Manes.11G096100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19954358:19956507:1 gene:Manes.11G096100.v8.1 transcript:Manes.11G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQFPLSGSQDWVENGYGDGGGFNQLYCYYLQQEQQKQQLQQCNIQMIQNQLQKNQNLCFDNSFTGSSSSSLNTSNYQTSMAYSQCFSDDDEKQRQEIDHFIRLQNERLRLFLQEQRKQQLASLMKSIESMAMPLLRQKDEEIAQAAQRITELEDFIKRLEMENQLWQRVAQENEAMVISLNNTIEQLREKGLFCFENGAEDAESCCDMNREEEAEEKSRVFVDDNVTEEEERARKKMMTMVCRGCNSRNSCILFLPCRHLSSCEACETFLDSCPVCQAPKKATIEALIV >Manes.03G201800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31780467:31786226:-1 gene:Manes.03G201800.v8.1 transcript:Manes.03G201800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDVNKIARKVEVDNRIPLRHYYRIADNLLRQANIHREEKNIVDLYTILLRYSSLVSETIPYHRDYQILLPKERAAYKKRLFAVLDELEALKPEFHRRVDELNKAYARTQLHELDDPERTYYGSDSSSKCPSVNKVSYSSISVKRPSVVAPQSSWRYSNNHTQVSSSNPPQLDKPLQKLSISLPLPKQETLSRHSFLGPNGLRGQWRGPSAEIKVQYPSYTDLTSSENLSLDQAAQYSVEGVKDSDSGSIASTMESVLSLDDGRWSRPVEEASPALINEAREDPFQFVGIRQPSPPPVLAQVHDFSPIPPSKVADPRPGPAKSSQDVIPSSNSYQHLHVPVNLMEDFLRLAHANTQKNLETCGVLAGSLKNRVFHLTTLIIPKQESTSDSCQTVNEEEIFEVQDRLALFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDESSPHGIFHLSDPSGVSVIRNCQQRGFHPHEEPLDGSAIYEHCSHVYMNSNLKFEVVDLR >Manes.16G098100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30392668:30396820:1 gene:Manes.16G098100.v8.1 transcript:Manes.16G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAQYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQLCNPEKENLCLYGFPNEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKADRKRLFTMINDLPTIFEVVTGTAKKQVKEKSSVSNHSSNKSKSSSKGRGSESGKYSKGQPRDDDEGLEEDDEEEHGDTLCGACRENYAADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Manes.06G070500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20646227:20661847:1 gene:Manes.06G070500.v8.1 transcript:Manes.06G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDEPLYPIAVLIDELKNDDIQLRLNSIRKLSTIAGALGQERTRKELIPFLSENNDDDDEVLLTMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLRRIGSQMRETDLVDWYIPLVKRLAAGEWFTARVSACGLFHIAYSSAPDILKTELLSLYSQLCQDDMPMVRRSAASNLGKFAATIEPAHLKTDILSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAYILPVIVNFSQDKSWRVRYMVANQLYEICEAVGPEPTRTDLLPAYVRLLRDNEAEVRIAAAGKVTKLGSILNPELVIQHILPCVKELSSDSSQHVRSALASVIMGLAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNLVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDEKLGALCMQWLQDKVYSIREAAAINLKRVAEEFGPEWAMQHIIPQVLEMINNPHYLYRMTILRAISLLAPVMGSEITCSKLLPPVINASKDRVPNIKFNTAKVLQSLVPVVDQSVVENSIRPSLVELSEDPDVDVRFFANQALQAIDNIMMSS >Manes.04G111800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31629922:31631016:1 gene:Manes.04G111800.v8.1 transcript:Manes.04G111800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGKQTMAVAAMAVSKLCYSNSPNRDLLVKPTKKNLMGSPKFFLNAPNLSSNATRRVSSPIQASNSPEESSSTNNSNGISQEDLKYLWKLGGGSVAGAALIKYGSIIFPEITKPNILLALTMVLTPVILAIVILINKSRKQ >Manes.04G111800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31628704:31631016:1 gene:Manes.04G111800.v8.1 transcript:Manes.04G111800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGKQTMAVAAMAVSKLCYSNSPNRDLLVKPTKKNLMGSPKFFLNAPNLSSNATRRVSSPIQASNSPEESSSTNNSNGISQEDLKYLWKLGGGSVAGAALIKYGSIIFPEITKPNILLALTMVLTPVILAIVILINKSRKQ >Manes.12G045236.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3211954:3225098:1 gene:Manes.12G045236.v8.1 transcript:Manes.12G045236.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFLLAAAVVGSTTFFAKHFLALAQERPKEEENPFQDSIAPNHGNQCGYDSNFQQPPDGISGFSSSASASSSSGKKTRISRKKSGITGRRLNFGAENYKADRRYGGSEKSARRFAVCSKKKRTAKSVPSKYRSRSFKESSLFGCGLSIGIMYMMSAEKAEISELSNAMDETAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRAGIGHNNDPKVIKVSGIPMIDDVECPRSGLTEEPEPQLLEMDQLEAELASELQKLPWSYPEASGHEGVEPNMDKNEIFSGGLHKLEGQSNIFCQCHGVLPSELDRKLSHLLIKQQENQIEELESELHSAQSKLNEKEAELQALRDCVKLLTEIEIFPSTYCFTDDEAVTFAEQKYVIPGITYCKSKFD >Manes.02G025705.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2218953:2221193:-1 gene:Manes.02G025705.v8.1 transcript:Manes.02G025705.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLITFMALTILVMTFSCIPCQAQLSSTFYDRTCPSALSIIKGAVSAAVSRERRMAASLLRLHFHDCFVQGCDGSVLLDDTPSMTGEKNSLNNANSIRGFNVIENVKSQVESRCPGIVSCADIVAVAARDASVAAGGPSWTVKLGRRDSLTASGDLADQNLPRFTNTLSELTSSFSRKNLNQRDLVALSGAHTIGQSRCFSFRARVNSNASDIDPEFARSLREDLPCPADGSGNSNLAPLDLVTPNAFDNSFFRNLVNKKGLLQSDQVLFSGGATDSIVNQYVRDSSIFRSDFAAAMVKMGDLSPLTGSQGEIRKVCNVVN >Manes.03G189300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30853543:30861222:-1 gene:Manes.03G189300.v8.1 transcript:Manes.03G189300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLKNANVCDDESARYGSFARQGPNENSDYLDHEIAQLTKLRSVPSEFLSRNIPGRLRIPVSTVKMLVGREGNYSGRGRFSTADSCHVLSRYLPVNGPWRVDRMKSRAYVSQFSDDGTLFVAGFQGSHIRIYNVDKGWKVQKDILAKSLRWTITDTCLSPDQRYLVYASMSPVVHIVNVGSSATESLANVTEIHDGLDFSWNEEDDDDEFGIFSVKFSRDGRELVAGSGDDAIYVYDLEANKNILRIHAHQSDVNTVCFADDTGHIIYSGSDDSVCKVWDRRCFTGRGQAAGILIGHLEGITFIDSRGDGRYLISNGKDQTTKLWDIRKMSSNAARTPILRDTDWDYRWMEYPPQARTLKHPCDQSLATYRGHSVLRTLIRCYFSPEYSTGQKYIYSGSSDCSVYIYDLVTGAQVARLDYHEGAVRDCSWHPVYPMIISSSWDGVIAKWEFPGNGEAPAPPRRRSIRRWDFY >Manes.03G189300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30856358:30861222:-1 gene:Manes.03G189300.v8.1 transcript:Manes.03G189300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLKNANVCDDESARYGSFARQGPNENSDYLDHEIAQLTKLRSVPSEFLSRNIPGRLRIPVSTVKMLVGREGNYSGRGRFSTADSCHVLSRYLPVNGPWRVDRMKSRAYVSQFSDDGTLFVAGFQGSHIRIYNVDKGWKVQKDILAKSLRWTITDTCLSPDQRYLVYASMSPVVHIVNVGSSATESLANVTEIHDGLDFSWNEEDDDDEFGIFSVKFSRDGRELVAGSGDDAIYVYDLEANKNILRIHAHQSDVNTVCFADDTGHIIYSGSDDSVCKVWDRRCFTGRGQAAGILIGHLEGITFIDSRGDGRYLISNGKDQTTKLWDIRKMSSNAARCIILLPIFGILPTHTH >Manes.03G189300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30853543:30861222:-1 gene:Manes.03G189300.v8.1 transcript:Manes.03G189300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLKNANVCDDESARYGSFARQGPNENSDYLDHEIAQLTKLRSVPSEFLSRNIPGRLRIPVSTVKMLVGREGNYSGRGRFSTADSCHVLSRYLPVNGPWRVDRMKSRAYVSQFSDDGTLFVAGFQGSHIRIYNVDKGWKVQKDILAKSLRWTITDTCLSPDQRYLVYASMSPVVHIVNVGSSATESLANVTEIHDGLDFSWNEEDDDDEFGIFSVKFSRDGRELVAGSGDDAIYVYDLEANKNILRIHAHQSDVNTVCFADDTGHIIYSGSDDSVCKVWDRRCFTGRGQAAGILIGHLEGITFIDSRGDGRYLISNGKDQTTKLWDIRKMSSNAARTPILRDTDWDYRWMEYPPQARTLKHPCDQSLATYRGHSVLRTLIRCYFSPEYSTGQKYIYSGSSDCSVYIYDLVTGAQVARLDYHEGAVRDCSWHPVYPMIISSSWDGVIAKWEFPGNGEAPAPPRRRSIRRWDFY >Manes.03G189300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30853543:30861222:-1 gene:Manes.03G189300.v8.1 transcript:Manes.03G189300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLKNANVCDDESARYGSFARQGPNENSDYLDHEIAQLTKLRSVPSEFLSRNIPGRLRIPVSTVKMLVGREGNYSGRGRFSTADSCHVLSRYLPVNGPWRVDRMKSRAYVSQFSDDGTLFVAGFQGSHIRIYNVDKGWKVQKDILAKSLRWTITDTCLSPDQRYLVYASMSPVVHIVNVGSSATESLANVTEIHDGLDFSWNEEDDDDEFGIFSVKFSRDGRELVAGSGDDAIYVYDLEANKNILRIHAHQSDVNTVCFADDTGHIIYSGSDDSVCKVWDRRCFTGRGQAAGILIGHLEGITFIDSRGDGRYLISNGKDQTTKLWDIRKMSSNAARTPILRDTDWDYRWMEYPPQARTLKHPCDQSLATYRGHSVLRTLIRCYFSPEYSTGQKYIYSGSSDCSVYIYDLVTGAQVARLDYHEGAVRDCSWHPVYPMIISSSWDGVIAKWEFPGNGEAPAPPRRRSIRRWDFY >Manes.13G110900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31618801:31622361:-1 gene:Manes.13G110900.v8.1 transcript:Manes.13G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLYRVWNHGRYLGTLSRPFSATTDALVETQVKPGEIGMVSGIPDEHLRRRVVIYSPARTATQQGSGKVGRWKINFMSTQKWENPLMGWTSTGDPYANVGDAALGFDSEEAAKSFAERHGWEYVVRKHHTPLLKVKSYADNFKFKGFPKTEGK >Manes.12G058105.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5597905:5599603:1 gene:Manes.12G058105.v8.1 transcript:Manes.12G058105.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLFLSEPNRKEDGVRGDSVVAKQWISHLNQLESLIWSLMTAGGAEARLWLCSTISGITSLTSRQQRDLFVNLLRTRPTNHSLASQLLQMIFEKQPRKAGPIIAKRSYMLEKFFAGNPKRIMQWFSNFANGELEWKGKHGQSPALVATRPHYFLDLDVQRTVENFLDNVPEFSSSTEFAESLRDGDILFIDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHAYLSMNMEPVDFGNSSCWLEFALSRFNDCESFEQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQTCTISSTGNILDPLLNECFKMKTTEAIMFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSYKYAMLHHVGLSEESDYELDNLLDLDTSNNQLGLQSKAGSWLLSTDGFSASWTDVS >Manes.15G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7434482:7434773:-1 gene:Manes.15G095500.v8.1 transcript:Manes.15G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEAAGPAGPKVLRLLYFVGAGFIFTVAINKWREVERKSFQKQHQQQSDLPPNLLPQSSPNAIPKPSN >Manes.01G120400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31658870:31660345:-1 gene:Manes.01G120400.v8.1 transcript:Manes.01G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSSMDGIIIFLGLLGNITTGLVYLAPIKTFWRIVMNRSTEEFESDPYVWKLINAYFWVYYGVLKPHSVLVATVNGFGAVLELIFVTLFLIFAPPRMRVKTAILFGFLDVVFPAGTVLITQLFLKRKAQIDVAGFFCVCFSMAAYGSPLSAMKTVVTTKSVEYMPFLLSFFLFINGGVWTLYAVITRDWFIGLPNGTGFVLGTAQLILYAIYYKRPQQLKKSSDNLEDGWERQPLIPEADQATPKA >Manes.09G081628.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:20472075:20477099:-1 gene:Manes.09G081628.v8.1 transcript:Manes.09G081628.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQKHKNSAGIHGFYHQPQEIDPYGLSHIQILDNNVFSDVCSQGTTVSFQNYKEEYVTLESSSATGGFVVYDSPAASVSSNRSPFSPQGSHSCQSDPHHSPDNTYGSPMSGSSSADDDNLLMRQKLRELEFLLLGSESEITKKCDFCFHQADRLASWDWDQMVEMIPRLDMKQMLLVCAQCISDADIPRAAGLMHVLEQMVSVSGEPMQRLGAYMLEGLRARVELSGSKIYRALKCDAPLSPDLMTYMGILFKICPYWKFAYTAANVVIREAVEYEPIIHIIDFQIAQGTQWMFLIRSLADRPGGPPSVRITGVDDPQSAHARGGGLHIVGQKLSCFAESCNVPFEFYDDATSGCEVQREHLGVQPGEAVVVNFPYVLHHVPDESVNTWNHRDRLLRLVKSLSPKVVTLTEQESNTNTKPFLPRFKETLEYYNAMFESIDAGAFRDDKQRINAEQHCVARDIVNMIACEGADRVERHELFGKWRLRFSMAGFTQYPLTSTVASAVRDLLREYDRKYGLQEKDGALYLWWMNTAMSTFSAWR >Manes.11G055440.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7694741:7696786:-1 gene:Manes.11G055440.v8.1 transcript:Manes.11G055440.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEMWTQLGSVIAGLMFGWAMFKQYFPDQLRGSFNRYTQRLVGFVYPYLQITFHEYTGERLKRSEVYSAIQSYLSANSSMRANKLKADVVNESHSVVLSMDDHEEITDDFNGIKIWWSSNKITPQTQSFSFYPITEGRRYFKLTVHRRYRNVITNSYIDHVIKEGKAVAVKNRQRKLYTNNPSNNWYEWKATKWSHVVFEHPASFDTLAMATKEKEEIMKDLVKFSKGKSYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFLNYDVYDLELTTVKDNSELRKLLIETTSKSIIVIEDIDCSLDLTGQRKPKEKEEDKDGEGQDPISKKEKEEEAENKKSSKVTLSGLLNFIDGIWSACGGERIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCCFEAFKVLAENYLDVESHELFAKIQNLLEETKMTPADVAENLMPKSEDEDEETCLKKLIAALEEAKEEEGRKKSEEEAKLKAEQDKEKDQPAKEDEKGKEIGIAKENGLISNGKEEISIESTKQGDS >Manes.03G122000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24849714:24851220:1 gene:Manes.03G122000.v8.1 transcript:Manes.03G122000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCRLLQVHTEDQTPDKWSVSLTEEVFKRFFSQGNPTLHKIFGEGSLFSPLLFGKFFDPSDAFPLWEFESDILLSNLRSSGKTSVDWFQTDEAYVLKAEVPAGVGINTVRVYAENGKIVEISGQWKQQQQQKEAKAKDWRSGQWWEHGYVRRLELPEDADLRKIEAYVNNDVFLEVRIPKNTFDCDTDDTVAKKSD >Manes.03G122000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24849616:24851336:1 gene:Manes.03G122000.v8.1 transcript:Manes.03G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCRLLQVHTEDQTPDKWSVSLTEEVFKRFFSQGNPTLHKIFGEGSLFSPLLFGKFFDPSDAFPLWEFESDILLSNLRSSGKTSVDWFQTDEAYVLKAEVPGVGINTVRVYAENGKIVEISGQWKQQQQQKEAKAKDWRSGQWWEHGYVRRLELPEDADLRKIEAYVNNDVFLEVRIPKNTFDCDTDDTVAKKSD >Manes.03G122000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24849714:24851220:1 gene:Manes.03G122000.v8.1 transcript:Manes.03G122000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCRLLQVHTEDQTPDKWSVSLTEEVFKRFFSQGNPTLHKIFGEGSLFSPLLFGKFFDPSDAFPLWEFESDILLSNLRSSGKTSVDWFQTDEAYVLKAEVPGVGINTVRVYAENGKIVEISGQWKQQQQQKEAKAKDWRSGQWWEHGYVRRLELPEDADLRKIEAYVNNDVFLEVRIPKNTFDCDTDDTVAKKSD >Manes.03G146900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27475704:27479238:1 gene:Manes.03G146900.v8.1 transcript:Manes.03G146900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSSYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >Manes.13G000800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:625786:629957:-1 gene:Manes.13G000800.v8.1 transcript:Manes.13G000800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDPLAVGRVVGDVLDPFTRSISLQVTYNNRDHVNNGCELKPSQVVNQPRVDIGGDDLRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTAANFGQEVVCYESPRPSVGIHRFVFILFRQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVAAVYFNCQRETGSGGRRRGQ >Manes.08G086900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29202732:29206952:1 gene:Manes.08G086900.v8.1 transcript:Manes.08G086900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLSKQSSESGGGVVKPLPVDSVTVACPEHLVLADLPVAKGIGAATAATVVKTVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSICYLCDERIQKIQTIKMMEGIFICAAPHCLKSFLKRSEFECHIHENHTDLLQPNSEKENGNESEVQSAKQHSTSDSTVQASSRSVMPGSNSQFLDSEDKARRQQPREQLSRAMVQPRPPFFGQAQNYPPDLQPDNNRPPGFDRSGIPFQQSMQGGPQQESNQFSDKQQGILSETPLPEYPPMHPIQPSNFGVPMSSNPMMTPQYGLPPFQPEGAQPFYGAPYEMGQMARPDSTPEVGAEQGSLLGFPPGPAGANFMANYPQPWNSGATPGGQAIPDGFPNLSDSRGNIAYYQGDYGRNPGVMPMIPPTPPSGNKGMEAVQGGAMDSRDGKGILAPQPFQYPPPPPPPPPMLHSSQPKRSKYYSSDIGHEGQGFGWQHENRDGFGSGQE >Manes.08G086900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29202248:29206952:1 gene:Manes.08G086900.v8.1 transcript:Manes.08G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLSKQSSESGGGVVKPLPVDSVTVACPEHLVLADLPVAKGIGAATAATVVKTVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSICYLCDERIQKIQTIKMMEGIFICAAPHCLKSFLKRSEFECHIHENHTDLLQPNSEKENGNESEVQSAKQHSTSDSTVQASSRSVMPGSNSQFLDSEDKARRQQPREQLSRAMVQPRPPFFGQAQNYPPDLQPDNNRPPGFDRSGIPFQQSMQGGPQQESNQFSDKQQGILSETPLPEYPPMHPIQPSNFGVPMSSNPMMTPQYGLPPFQPEGAQPFYGAPYEMGQMARPDSTPEVGAEQGSLLGFPPGPAGANFMANYPQPWNSGATPGGQAIPDGFPNLSDSRGNIAYYQGDYGRNPGVMPMIPPTPPSGNKGMEAVQGGAMDSRDGKGILAPQPFQYPPPPPPPPPMLHSSQPKRSKYYSSDIGHEGQGFGWQHENRDGFGSGQE >Manes.03G207700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32337574:32342021:-1 gene:Manes.03G207700.v8.1 transcript:Manes.03G207700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMPTAATYPNPKQVHGNWFSRFGNTSFNFRKGFSSELFTSRKLSFGLRRSVTVGVKKQSNKKEDTHSFVPKPDEATGPFPEAVLLKEKKVQEDGKLLPEFADVEEQKLYEFLNLELESQLKVERMRHYEVVYLIHEKHAEEVGSVNEKVQGFLREKKGRIWRLNDWGMRRLAYNIKKAKNAHYILMNFELEAKWINEFKSLLDKDERVIRHLVIKRDEAITEDCPPPPEFHTLRAGMDDEEEEEEDLDYDDTYDDEDYDESWDGESEMEVYDDDMEDGIIIMNSDDMNDDSACVNGEGKRNRLKEKARR >Manes.18G082550.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571549:7603427:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571452:7603378:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571459:7603427:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETEKCIADDIGKTYHADNVAFLDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571453:7603437:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571512:7603442:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETEKCIADDIGKTYHADNVAFLDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571551:7603016:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571549:7603427:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETEKCIADDIGKTYHADNVAFLDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571577:7603010:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETEKCIADDIGKTYHADNVAFLDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571512:7603443:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571512:7603442:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETEKCIADDIGKTYHADNVAFLDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.18G082550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7571549:7603427:1 gene:Manes.18G082550.v8.1 transcript:Manes.18G082550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSTSELDRCLKFSQKDNAGGRVSSGDFTDTASVDRGIGLACESGSIVGFMPGKSLVDECGVDGGYLNDKKSGIDDCIVETDGLYVEKAGSNDENGGLVNSLEDYGASPELMPMSGSLGNSDQLIEQKDEGSGSVQREMEDKIGGSETETVTCGKMMSSSDCEMPAELISMDALSRNGLEQDMQESIASLEMVMVVIENKSVGLSRIQTDNNNQMCSSLGHGTLSEQITTIGMQSNCFQQEDQKDDKIINTSSAEEFTEVMKVSHVIETTTHDQLSSCEDFEKSLEPMLKIGFSEKIIQLDELKDDGSISASSEDFREIGSQPCQPLGFAENGSCKKLDLPNLLGKDVFGAIYSGTAADHSGQTDNERKDCAGNDGPSEIKCPDIGLSLQKSIQKIRTTRAARNGKNKGNVQDSQVFKAERRKRSCFSKPARSSNWGLLGNITQIFEQSNGLEFNEIRNHGPAKTKDGQGSVKQSRTWKNSQAGGSSQKSRGEKHASISGIRLKVKVGKEVPHNGLNIMVPEVIDTSASACGGVSGFESKSYRGTSSEIPNFVNSVEDTALQERTEEQLQCFLNKLEANVYSDASSSELHVADKDLNGILTSQKSVGDALGDYLGVHSHVDALRGVVEKSHADPGTSPDSEVINVAPEVPVNSGCQEDFLNAVLTSSQDFVAPGLITGINRGKKKDRLTCANDCFPEHISPPMASKNIVKAKKNDKGRQKKVGGFFSNEIHSSPTGANASINSSSSKEFSEVQLHLSKETEHGVSKEDFQVEVSAETKICSGLDDEHVLSESHNSNRLLPSAKYKECQLPRKSAASKGRSEVSDKAKRKRSNGYRQKQNEEKSIYENKVKQNNDRSHIIRKAENDAETEKCIADDIGKTYHADNVAFLDIGNLDMASKDVMDQHLPVDNAWVRCDDCHKWRRIPVALVDSIGQTNCEWICKDNMDTAFADCSIPQEKSNAEINAELGLSDADEDAYDIPSKDKGLECMRTIVSKEHEFTCISTNQFLHRSRKTQTIDEIMVCHCKPPVDGGLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRTYARMKWDRCGKKGFGLRLEEDIFKGKFLIEYLGEVLDMRTYEARQREYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAARRCYCGSPNCQGYIGGDPRNTEVIDQVDSDEEFLEPVMLEDGEDGDGFKNRVRRSSSFDGIELQVTDNNNIPEVRDTMVKSTTSAGKMEAVPEIEDSVNQSVSVSQFHSSLETDDFKGTFPFANQPIDIPVVANDVTSKSASAVKQVISKEEIERFPPATGLSRSSSDSTVANGKSKSATAEEKRVFVKSRFLIKTSCESSFAKKGKCTSNPSSVNKVRMEVNKSQVLYIKPRKFMDGTSNGRLEAVEEKLNELLDTDGGISKRKDAPKGYLKLLLLTAASGASVNGEAIQSNRDLSMILGALLKTKSRVVLVDIINKNGLRMLHNMIKQYQKDFKKTPILRKLLKVLEYLAVREILTPEHIHGGPPCPGMESFKDSMLSLTEHNDKQVHQIARNFRDKWFPRHSRKYSYMDREDGNKEFHRGLINNKIPTSSQNHLHDPGLRSTEAIDCDTQSKRATSVEIAVQKGYSAPCVVDGMRTRKRKSRWDQPANETTSSKSLQHDDQKIQSGLLQQSEYKPPPGIGNDVLDCMGKQTGENSYCPHCFRNYRQQDEASCSDNERLNIQSDVPPGFSSPHNLTLVPSNSSLITADLPVGHPQRKFISCLPVSYGIPLPIVQQFGLPQDGTVQNWTVAPGMPFHPFPPLPPLPHHKKETPSSSAINLMVIDKTTEGQWDRLDPAACYPNENNPGTNLNQPDLYVRGENVQHTLKRAKGFSHDLGKRYFRQQKWNKGLGPPWIWKSWMGGDA >Manes.06G059600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18415160:18416749:-1 gene:Manes.06G059600.v8.1 transcript:Manes.06G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIYFFFFIISVSLAADDYSTLESFLQCLPSHVNSSNPISKTIIKPSDPSFQSALEAYVKNLRFLTPATPKPLAIIAATDESHVQATVICAKSSGLQIRIRSGGHDYEGLSYTSEVPFIILDMNNFRKIVVQPSNESAFVEAGATIGELYYQIANQSGIHAFPAGVCPTLGTGGHISGGGYGNLMRKFGLSVDNIADARIVDANGTILDRQTMGEDLFWAIRGGGGASFGVILSWDINLVTIPDTVTVFKVGRTLEQGATDLVYRWQQVAPELDNDLFIRAMPTIVNGTSSGEKTVEVFFIGLFLGKSDGLLPLIQNSFPELGLQQNDCEEMSWIESTLFWAEFPKGTPIDVLLQRPSKPQFYSKFKSDYVKDLIPKSGLEAMWQMMLKVGNMWMQFNPYGGKMSEISESDTPFPHRAGYRFLIQYFTGWQEGDGIDTETQINLLRNMYDSMAPYVSKEPREAFQNYRDIDIGSNPSNFTNFEKAEVYGSKYFKNNFFRLTKVKASVDPDNFFKHQQSIPPGYAHNN >Manes.07G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31000762:31004514:1 gene:Manes.07G104200.v8.1 transcript:Manes.07G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPNPTVDNSHQHQYQRHAPATPSKQPAAPPNPVDTSSVSQRLQKELMSLMMSGGDLGVSAFPEGESIFTWCGTIEGGKGTAYEGLSYKLSLRFPLDYPFKPPQVKFETNCFHPNVDQYGNICLDILQEKWSSAYDCRTILLSIQSLLGEPNPDSPLNSYAAKLWNNKEEYKKMVHKQYYAGEVFES >Manes.07G104200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31000762:31004514:1 gene:Manes.07G104200.v8.1 transcript:Manes.07G104200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPNPTVDNSHQHQYQRHAPATPSKQPAAPPNPVDTSSVSQRLQKELMSLMMSGGDLGVSAFPEGESIFTWCGTIEGGKGTAYEGLSYKLSLRFPLDYPFKPPQVKFETNCFHPNVDQYGNICLDILQEKWSSAYDCRTILLSIQSLLGEPNPDSPLNSYAAKLWNNKEG >Manes.09G070319.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:14646215:14649828:1 gene:Manes.09G070319.v8.1 transcript:Manes.09G070319.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFEKLDLALSTANIFDPAHNPSSPYALHPNENPVLVLVSPALTKSNYLSWSRVMRIALWSKNKLKFVDGTLKILAKDKSSPLLCFLMVLRLFLIELPKPSGSSSNNKYCTYCGKHRHTMKTYYKKYGYPPSYKSRPYSTVNSIITDSHHQFNKLALTQDQYDNLTAILSSTQIDPKQSQSSISAFAPGINTSIAITNLVSTFESLPGTHCALSSLCTSAEWIIDIGATDHITHSLYFFTHHISILPLPVTLLNGSKVIAKVAGTVQLSEDLILTDVVYLPEFAFNILFVTKLTIKATYCLVFQQYSCFIQELISWRIIGITKVRHGLYTFVSSALVHTIFLLRSKAEARNYLQSFFNLVET >Manes.06G165900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29217260:29221170:-1 gene:Manes.06G165900.v8.1 transcript:Manes.06G165900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPHVSCIKSQHLIAKPLSSPFLRSDIHVRSVSPHRENYKKWNTKHSYVLSNPLLSLLENCRSLSQLMQIQAKIICTGFINNGFASSRLIAFCAVSESRNLDYCIKILYNTQNPSAFSWNVAIRGYLETENPEEAILLYKRMLRSGGSRPDNYTYPLLFKVCASLLLNCLGHQIRSHVLLLGLDKDNFVYNAMIYMLASVGELELARKVFDEGCVRNLVSWNSLINGYARSGKAREALMIYEEMMKEQVQCDEVTMIGVISSCAQLEKWKLGREFHQYLKENGLNLTIPLANALMDMYVKCRDLEAAKAIFDNMTDKTVVSWTTMIVGYARQGLLSTARKCFCAMPEKNVVTWNAIIGSYVKAEQSKEALVLFHEMQASNIKPDAVTMIHCLSACSQLGALEIGIWFHHYIEKYNIPVDVVLGTALVDMYAKCGNITKALQVFHEMSGRNSLTWTAIICGLALNGNPHDAISYFSNMIDIGVMPDEITFLGVLSACCHGGLVEEGRKCFTQMKSRFNLSPQLKHYSCMVDLLGRAGLLEEAEQLIKSMPLEADAVVWGAFFFACRIHGNLLMGEKAALKLLELDPSDSGIYVLLANMYREANMLEEARKVRKMMGQRGVEKIPGSSAIEVNGIVYEFIVMDKLHSQSEQIYECLTKLTRQMEFSERLSGVPSFSDGFSL >Manes.05G053000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4414611:4417858:-1 gene:Manes.05G053000.v8.1 transcript:Manes.05G053000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKEEYVEADNAEAIITRIEHKSRKIESLLKQFKSVEALKTALEGSPPNTRDERCKSANWIVVHRAIMAIKDVDGMFSTLDPEYYDILMKYLYRGLSTGDRTTCDQCLRIHEKLTEKAGLGCILRVLADTCEYCLTSSLTAPCV >Manes.05G053000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4414957:4417858:-1 gene:Manes.05G053000.v8.1 transcript:Manes.05G053000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFKSVEALKTALEGSPPNTRDERCKSANWIVVHRAIMAIKDVDGMFSTLDPEYYDILMKYLYRGLSTGDRTTCDQCLRIHEKLTEKAGLGCILRVLADTCEYCLTSSLTAPCV >Manes.05G053000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4414611:4417858:-1 gene:Manes.05G053000.v8.1 transcript:Manes.05G053000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKEEYVEADNAEAIITRIEHKSRKIESLLKQFKSVEALKTALEGSPPNTRDERCKSANWIVVHRAIMAIKDVDGMFSTLDPEYYDILMKYLYRGLSTGDRTTCDQCLRIHEKLTEKAGLGCILRVLADTCEYCLTSSLTAPCV >Manes.06G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23933864:23941581:-1 gene:Manes.06G107000.v8.1 transcript:Manes.06G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGSSSFSCVPTSFYSFTPKKSSQLSLVKRLKVELHHNSQKLRNGKVNLCQFIGGTVKLRGNFARQNVLYATPQDHTQYNEVKSDELEPEDEDDNSTAEIFSPISRSLDHSSGTDGKPGLLSFYNRPYKTEGVVIKSDVQKDKSRLLWFVGPAVLVASFIFPSLYLRRILSTVFEDSLLTDFLILFFTEALFYCGVAIFLLLIDHLRRPVELESAENGGTTLASMGQRISSVAALVLSLIIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYRKSPSWPVIPIIFQVYRLHQLNRAAQLVTALSFTVKGAEMTSHNLEISSSLGTLLNVLQFLGVICIWSLSSFLMKFYPSTGGTAE >Manes.07G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3111735:3115786:-1 gene:Manes.07G028300.v8.1 transcript:Manes.07G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIGDKEDRSDRHTGLLKLVQILSFLVVFMAGMIMGLATSSQINQYFTSQARLFFNNNIAATKFPASNCTILKPCKKVDCFSMDTFLHPNNLTHGMTDEELFWRASLMPTKEEYPFDRLPKVAFMFLTRGPLPMLPLWERFFRGHEKYFSIYIHTPEDYVLNVSRDSPFYSRQIPSQHVEWGTVSLVDAEKRLLANALLDFSNERFVLLSESCIPVYNFPIVYKYLINSEYSFVESYDDPSRYGRGRYNRKMLPDIKLFQWRKGSQWFEIHRALAVHVVSDTKYYSVFKKYCRPACYPDEHYIPTYLNMFHGPLNANRTVTWVDWSLGGPHPATYMKVNVTESLIQSIRNNGTECSYNSEMSSICYLFARKFHPSTLESLLNLTSTVMGF >Manes.07G028300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3111605:3115786:-1 gene:Manes.07G028300.v8.1 transcript:Manes.07G028300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIGDKEDRSDRHTGLLKLVQILSFLVVFMAGMIMGLATSSQINQYFTSQARLFFNNNIAATKFPASNCTILKPCKKVDCFSMDTFLHPNNLTHGMTDEELFWRASLMPTKEEYPFDRLPKVAFMFLTRGPLPMLPLWERFFRGHEKYFSIYIHTPEDYVLNVSRDSPFYSRQIPSQHVEWGTVSLVDAEKRLLANALLDFSNERFVLLSESCIPVYNFPIVYKYLINSEYSFVESYDDPSRYGRGRYNRKMLPDIKLFQWRKGSQWFEIHRALAVHVVSDTKYYSVFKKYCRPACYPDEHYIPTYLNMFHGPLNANRTVTWVDWSLGGPHPATYMKVNVTESLIQSIRNNGTECSYNSEMSSICYLFARKFHPSTLESLLNLTSTVMGF >Manes.17G007600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2884448:2891544:1 gene:Manes.17G007600.v8.1 transcript:Manes.17G007600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKSDVVLIMDQGNSKTPSMETELKDHIKREEKPQNTTRNLRRLSFSKPKARFIEYNYPNYTSQKTPNEMEPLFEKGYYSSNTEQNEEESDDDDDDEDDDEWKEDDGEMRPDQKRRMKKRKVPWRLVIEWVMFFMILTCLICSLTIESVKNMRKWGLEIWKWCLMVMVTFCGRLVSGWVMGFAVFLIERNFMLREKVLYFVYGLRKSIQNCVWLGLVLLAWTFMFNEKIHKKNKFLRKVFQALIAILLDATIWLVKIVLVKMLASSFHVATYFDRMKESVFHHYVLDTLSGPPMDEVVVEENKTHNLSASKSLPVSWKTKGKWKEGRNELKSKKFSSSRKIDVEKLRRLSMERTASVWSVKRLVNYVRSSGLSTISKTVDDFREAESEITSEWEARNAAKRIFKNVAKPGAKYIEEEDLMRFLKRIEIHTIFPLFEGAIETGRISKSAFRNWVVRAYFERKSLAHSLNDTKTAVQQLHKLASSIVAVIIIVVTLLVMGLATMKIVLFVATQLVILGVVFQNMCKTIFESIIFVFIMHPFDIGDRCVIDGVQMIVEEMNILTTVFLRYDMEKIYYPNSVLITKPISNFYRSPEMGDAIDFAIDLSTPMETIVALKKAIQLYIESKPSCWNPKHTVVVKEIEQANSLKMALYVKHTINHQNYSERSIRISELLLEMKKIFENLGINYHLLPQEIHLTKLNIEGLRLLPY >Manes.12G126600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33273167:33279159:-1 gene:Manes.12G126600.v8.1 transcript:Manes.12G126600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQRGALAGLLVLLLPLLLPGLFSPLSHASPSTFSEWNVPKPRHLSLLRSALQRETSHEKLSDLWAPLADQGWRPCVESTNAPSALPEKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHFEVNPVWQDSSSFVDIFDVDHFINVLKDDISIVKELPDEFSWSTREYYATAIRATRIKTAPVHASANWYLDNVLPVLQSYGIAAISPFSHRLTFDNLPMDIQRLRCKVNFQALVFVPHIRALGDALVSRLRYPSRRTEVLSTNYLRETTDVIDENKASKFVVIHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASSDERAQIKKKASLLAAVDYYVGLHSDIFISASPGNMHNALLGHRTYKNLKTIRPNMVLLGQLFLNKSISWSDFQQAVLEGHENRQGQIRLRKAKQSLYTYPAPHCMCQA >Manes.12G126600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33273167:33279166:-1 gene:Manes.12G126600.v8.1 transcript:Manes.12G126600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQQRGALAGLLVLLLPLLLPGLFSPLSHASPSTFSEWNVPKPRHLSLLRSALQRETSHEKLSDLWAPLADQGWRPCVESTNAPSLPEKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHFEVNPVWQDSSSFVDIFDVDHFINVLKDDISIVKELPDEFSWSTREYYATAIRATRIKTAPVHASANWYLDNVLPVLQSYGIAAISPFSHRLTFDNLPMDIQRLRCKVNFQALVFVPHIRALGDALVSRLRYPSRRTEVLSTNYLRETTDVIDENKASKFVVIHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASSDERAQIKKKASLLAAVDYYVGLHSDIFISASPGNMHNALLGHRTYKNLKTIRPNMVLLGQLFLNKSISWSDFQQAVLEGHENRQGQIRLRKAKQSLYTYPAPHCMCQA >Manes.09G031400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6396306:6398085:-1 gene:Manes.09G031400.v8.1 transcript:Manes.09G031400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILFLSLLISTLFSLSFSELCNHHDKKVLLQIKQHFGNPYLLASWKSDTDCCKWYQVKCDSTNHRIISLTIFAGELSGEIPPAVGDLPYLQTLEFHKLTNITGPIQPAIAKLKSLKILSLSWLSLTGPIPDFLSQLKSLTLLDLAFNSLSGSIPSSLALLPNLGTLHLDRNKLTGSIPESFGAFQGKVPYLYLSHNQLSGKIPASLGKTDFNVLDFSRNRLEGDASVLFGPNKTTEIVDLSRNLLKFDLSKVVFPSSLTWLDVNHNKIYGNIPVQMTQLNLQSLNVSYNRLCGQIPVGGDLQRFDHYTYFHNRCLCGAPLGSCK >Manes.07G133120.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29594915:29607543:1 gene:Manes.07G133120.v8.1 transcript:Manes.07G133120.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCLPCGHVYGLSCISRWLQHRFTSTKCPQCNAYCTLKDVRKLYASPVVINDKDLREKVQSLEAEIVCLKTERADLLDVQDSLLKIQDNLLKELDKIKEKQTFMGNMSFVDTGSKPFGVTNVKEAQSVQFERGSNLSRQQLLHCTFVLENELAVEGARLFDVNVSYQNLILARRISGMGGIHMLNKINMINPHENEDIQLPPGTKAVKDLKISPCGRFTLLASLGRKLSILSMGSNEIVATYELRVPAWSCAWDLNVPHYIYAGLQNGTILVFDTRLTRHPLQSIGGLTVQSIHTIHSLVHNPTLGHDAQKLLAASSLGPCVWSAGSGERPFAVPGLDNQGFCTSLAYGPLSNNILVSYRPKFSPPPPIATANSSSSNQGSSSVPGQGILGSQVLVKRVAGSFYSKLGSTSAHLSDVQMVKSAIIEMENCYPLLAYADGVTNGLRLRELPSLTVNQNLKPHHYPILDVKYAHNQGIGVLGCASEDKLQLFSAKIS >Manes.07G133120.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29594915:29607543:1 gene:Manes.07G133120.v8.1 transcript:Manes.07G133120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANFHFSASQSQDHNSTILASLFHQSQQHQDQPFVSLPQSSSSSSDDSVTPMMVDNEVEEGDQESDIWAGRRTEQREPCSSSQGKKVDSSDDTKSKSVSIESNLDRLFCPICMEPWESQGDHQVSCLPCGHVYGLSCISRWLQHRFTSTKCPQCNAYCTLKDVRKLYASPVVINDKDLREKVQSLEAEIVCLKTERADLLDVQDSLLKIQDNLLKELDKIKEKQTFMGNMSFVDTGSKPFGVTNVKEAQSVQFERGSNLSRQQLLHCTFVLENELAVEGARLFDVNVSYQNLILARRISGMGGIHMLNKINMINPHENEDIQLPPGTKAVKDLKISPCGRFTLLASLGRKLSILSMGSNEIVATYELRVPAWSCAWDLNVPHYIYAGLQNGTILVFDTRLTRHPLQSIGGLTVQSIHTIHSLVHNPTLGHDAQKLLAASSLGPCVWSAGSGERPFAVPGLDNQGFCTSLAYGPLSNNILVSYRPKFSPPPPIATANSSSSNQGSSSVPGQGILGSQVLVKRVAGSFYSKLGSTSAHLSDVQMVKSAIIEMENCYPLLAYADGVTNGLRLRELPSLTVNQNLKPHHYPILDVKYAHNQGIGVLGCASEDKLQLFSAKIS >Manes.07G133120.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29595803:29607543:1 gene:Manes.07G133120.v8.1 transcript:Manes.07G133120.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDGSCLPCGHVYGLSCISRWLQHRFTSTKCPQCNAYCTLKDVRKLYASPVVINDKDLREKVQSLEAEIVCLKTERADLLDVQDSLLKIQDNLLKELDKIKEKQTFMGNMSFVDTGSKPFGVTNVKEAQSVQFERGSNLSRQQLLHCTFVLENELAVEGARLFDVNVSYQNLILARRISGMGGIHMLNKINMINPHENEDIQLPPGTKAVKDLKISPCGRFTLLASLGRKLSILSMGSNEIVATYELRVPAWSCAWDLNVPHYIYAGLQNGTILVFDTRLTRHPLQSIGGLTVQSIHTIHSLVHNPTLGHDAQKLLAASSLGPCVWSAGSGERPFAVPGLDNQGFCTSLAYGPLSNNILVSYRPKFSPPPPIATANSSSSNQGSSSVPGQGILGSQVLVKRVAGSFYSKLGSTSAHLSDVQMVKSAIIEMENCYPLLAYADGVTNGLRLRELPSLTVNQNLKPHHYPILDVKYAHNQGIGVLGCASEDKLQLFSAKIS >Manes.07G133120.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29594915:29607545:1 gene:Manes.07G133120.v8.1 transcript:Manes.07G133120.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVSGFGGDIYNECCLPCGHVYGLSCISRWLQHRFTSTKCPQCNAYCTLKDVRKLYASPVVINDKDLREKVQSLEAEIVCLKTERADLLDVQDSLLKIQDNLLKELDKIKEKQTFMGNMSFVDTGSKPFGVTNVKEAQSVQFERGSNLSRQQLLHCTFVLENELAVEGARLFDVNVSYQNLILARRISGMGGIHMLNKINMINPHENEDIQLPPGTKAVKDLKISPCGRFTLLASLGRKLSILSMGSNEIVATYELRVPAWSCAWDLNVPHYIYAGLQNGTILVFDTRLTRHPLQSIGGLTVQSIHTIHSLVHNPTLGHDAQKLLAASSLGPCVWSAGSGERPFAVPGLDNQGFCTSLAYGPLSNNILVSYRPKFSPPPPIATANSSSSNQGSSSVPGQGILGSQVLVKRVAGSFYSKLGSTSAHLSDVQMVKSAIIEMENCYPLLAYADGVTNGLRLRELPSLTVNQNLKPHHYPILDVKYAHNQGIGVLGCASEDKLQLFSAKIS >Manes.07G133120.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29594915:29607543:1 gene:Manes.07G133120.v8.1 transcript:Manes.07G133120.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDGSCLPCGHVYGLSCISRWLQHRFTSTKCPQCNAYCTLKDVRKLYASPVVINDKDLREKVQSLEAEIVCLKTERADLLDVQDSLLKIQDNLLKELDKIKEKQTFMGNMSFVDTGSKPFGVTNVKEAQSVQFERGSNLSRQQLLHCTFVLENELAVEGARLFDVNVSYQNLILARRISGMGGIHMLNKINMINPHENEDIQLPPGTKAVKDLKISPCGRFTLLASLGRKLSILSMGSNEIVATYELRVPAWSCAWDLNVPHYIYAGLQNGTILVFDTRLTRHPLQSIGGLTVQSIHTIHSLVHNPTLGHDAQKLLAASSLGPCVWSAGSGERPFAVPGLDNQGFCTSLAYGPLSNNILVSYRPKFSPPPPIATANSSSSNQGSSSVPGQGILGSQVLVKRVAGSFYSKLGSTSAHLSDVQMVKSAIIEMENCYPLLAYADGVTNGLRLRELPSLTVNQNLKPHHYPILDVKYAHNQGIGVLGCASEDKLQLFSAKIS >Manes.12G062667.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6064856:6065179:1 gene:Manes.12G062667.v8.1 transcript:Manes.12G062667.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLARLGRNMQNIRKSPRVADESMFGGMNINNGTEYPMERSHRWSSGFSAMFRIVLAPLSLLSCFSQPHPSGSADGLWVSGGEFSQLSEMNHLMVNDSMRYAILM >Manes.01G211600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38239640:38240942:1 gene:Manes.01G211600.v8.1 transcript:Manes.01G211600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEDKRGGGGFNAVAASSYPLLKMNKAKWSEALSCTSPPEVISGTDVKAQMYCHLLCALRNSDLIDGIRAPCALGLVRAFSLLESKWEQICDDLENGFPGMQITDPAMRESVAKVLGGPQPDLSKRFRSIFEEKNWDGIVSKLWSNVRFIKCVTTGSMKQYYSKLKYYAGEVLILGGDYFASECPVAAYFEFLPFDLNEGSVDGNETVNFSDVKVGKTYEVVVTTYRGFYRYRLGDIVRVVGFHNSSPEVEFVMRAPNNAYEVITEKDLMSAMESFQLVMINAVAVEIVEFASFLDMESSPKQLKIFLEVKEGCVLLQEEKLQESSLGGLYKVQRDRSEIGPLLVYIVKPGSFDRIFQMAVENGAQASQYKPPKIIRNSKVISAMESSALVTVCLDSLD >Manes.08G164600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39954474:39957205:-1 gene:Manes.08G164600.v8.1 transcript:Manes.08G164600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSVNSSSNLKAQSRFPLQDQVLQRKNSKENLDRFIPNRSAIDWDYAHYMLTEGKKGKDDPAVSSPSREAYRKQLAETLNMNRTRILAFKNKPPAPVELIPQEHTSSSHLQAKPTKPRRHIPQTSERTLDAPDLLDDFYLNLLDWGSSNVLAIALGSTVYLWDASDGSTSELVTIDDEIGPVTSVNWAPDGRHIAIGLNNSEVQLWDSAANRQLRTLRGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSLASSNSATQWLHRLEDHTSAVKALAWCPFQGNLLATGGGGGDRCIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLFMTQSPDGCSVATAAGDETLRFWNVFGVPEAAKPAPKANPEPFSHLTRIR >Manes.02G206300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18188627:18190703:-1 gene:Manes.02G206300.v8.1 transcript:Manes.02G206300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLPEEEHPIRAFGWAARDESGVLSPFTFSRRATGEKDVCFKVLYCGMCHSDLHMVKNEWGSSTYPLVPGHEIVGVVTEVGSKVQKFKVGDKVGVGCMVGSCHSCHNCTNNLENYCPEMILTYSAKYHDGTTTYGGYSDIMVVDEHFIIRIPDTLPLDATAPLLCAGITVYSPLRYYGLDKPGMHVGVVGLGGLGHMAVKFAKAMGLNVTVISTSPSKKQEAIEHLGADSFLVSRDQDQMKAAMGTMDGIIDTVSAMHLLMPLIGLLKTNGKLVLVGAPEKPLELPAFSLLMGRKMVGGSAIGGMKETQEMIDFAAKHNITADIEVIPMKYVNTAMERILKADVRYRFVIDIGNTISSAH >Manes.01G000093.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:204724:208754:1 gene:Manes.01G000093.v8.1 transcript:Manes.01G000093.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLIQEKKFFTYWSVYCSSSSSSSLILLNSMAAIKSCEEWKPFFAMLTVDFAFAIVNILLKKVLDEGINHLVLITYRLSISAAFLGPIGYFWERTTRPKLTFRILCYLFVSAIVGASLTQLFFLIGIQYTSATFSCAFINIVPVITFIMALPFRMESVDIKSNSGRAKIVGTVVCVGGAMVLTLYKGMPLFDRPQSQTTVSIPQAMEHSIKLSYSKKAERWTIGCVALIVGTLLWSSWFLLQSNIGKRYPCQYSSTAIMSFFGAIQSAILCLSTERNFSIWVLKGKLEIITVLYAGMVGSGLCYVGMSWCVKKRGPVFTSAFSPLVQIMAAMFDIPILHEDLHLGSLLGSIIVIIGLYFLLWGKNKEMQNHAIKVAQEAEEMKEQESQLQVMTVSCDSRCP >Manes.03G144200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27170919:27189505:-1 gene:Manes.03G144200.v8.1 transcript:Manes.03G144200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQVKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHNGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDSAYKLRQSIVQDEERTESLKVQMQDLENKVQNVDAKIHQIETTLKDLRKLQEQISTKTAERRTLFKEQQRQYEALEEENEDTDEDLMTWKTKFDEHVASLESNKGKLKREMDDIDEEISRLRNSITDYIRESSKLQTEAEVHSSQKNERDSTIQKLCARHNLGSLPHTPFSDDVALNLTNRLKSRLIDLEKDLQDKKTSNDIEIKTAEDHYWDVNDRWKNTDAQKQAKLAIKNAIVNRIKDKERDRASLEEQISHVNLSHMDEREKNLQIEVERKTKQLAERDFESNIRQKQSELYGLEQQIKTLNREKDILAGDSEDRVKLSLMKAELENHKKKHRKIIDEFKDRIRGVLKGRLPADKDMKKEITQALRTLGMEYDDLNLKSREAEKEVNVLQTKIQEVNNNLSKHRKDMDSRKRFIESKLQSLDQQAFTIDLYLKALESAKEKRDVQKSKYNMADGMRQMFDPFERIARAHHMCPCCERSFSAEEEDEFVKKQRVKAASSAEHMKVLASESSNADSYFQQLDKLRIAFEEYVKIGKETIPLAEKNLHELTEEMDEKSQALDDVLGVLAQIKADKDSVDALVQPVETADRLYQEIQTWQIQIDELEYKLDFRGQGVKSMEEIQSELSILQGTRDALHAELEKLRDDRRYMENDLSHIQLRWHGLREEKTRTGNTLHDLKRVEEELEQLSDEKNQVELDEKHLEEALGPLSKEREKLESYCNDLKVKLGKEYEEQKKQLDNYQWEVNTLLNTASKIKEYNELKKGDRLKEVLEKLSLSESQLRSYDNRKEEISAELNKIKDILRNQDSLKRKIEDNLNYRKTKAEVDKLTQEIESLEDRKLKIGGVSTFEAELVRHSQERERLLSELNKCRGTMSVYQSNISKNKVDLKQAQYKDIDQRYLDQLIQLKTTEMANKDLDRYYNAVDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDAPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQKQHAERYYRVTKDDHQHSIIESQEIFD >Manes.03G144200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27171086:27189488:-1 gene:Manes.03G144200.v8.1 transcript:Manes.03G144200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQVKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHNGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDSAYKLRQSIVQDEERTESLKVQMQDLENKVQNVDAKIHQIETTLKDLRKLQEQISTKTAERRTLFKEQQRQYEALEEENEDTDEDLMTWKTKFDEHVASLESNKGKLKREMDDIDEEISRLRNSITDYIRESSKLQTEAEVHSSQKNERDSTIQKLCARHNLGSLPHTPFSDDVALNLTNRLKSRLIDLEKDLQDKKTSNDIEIKTAEDHYWDVNDRWKNTDAQKQAKLAIKNAIVNRIKDKERDRASLEEQISHVNLSHMDEREKNLQIEVERKTKQLAERDFESNIRQKQSELYGLEQQIKTLNREKDILAGDSEDRVKLSLMKAELENHKKKHRKIIDEFKDRIRGVLKGRLPADKDMKKEITQALRTLGMEYDDLNLKSREAEKEVNVLQTKIQEVNNNLSKHRKDMDSRKRFIESKLQSLDQQAFTIDLYLKALESAKEKRDVQKSKYNMADGMRQMFDPFERIARAHHMCPCCERSFSAEEEDEFVKKQRVKAASSAEHMKVLASESSNADSYFQQLDKLRIAFEEYVKIGKETIPLAEKNLHELTEEMDEKSQALDDVLGVLAQIKADKDSVDALVQPVETADRLYQEIQTWQIQIDELEYKLDFRGQGVKSMEEIQSELSILQGTRDALHAELEKLRDDRRYMENDLSHIQLRWHGLREEKTRTGNTLHDLKRVEEELEQLSDEKNQVELDEKHLEEALGPLSKEREKLESYCNDLKVKLGKEYEEQKKQLDNYQWEVNTLLNTASKIKEYNELKKGDRLKEVLEKLSLSESQLRSYDNRKEEISAELNKIKDILRNQDSLKRKIEDNLNYRKTKAEVDKLTQEIESLEDRKLKIGGVSTFEAELVRHSQERERLLSELNKCRGTMSVYQSNISKNKVDLKQAQYKDIDQRYLDQLIQLKTTEMANKDLDRYYNAVDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDAPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQKQHAERYYRVTKDDHQHSIIESQEIFD >Manes.03G144200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27170471:27189505:-1 gene:Manes.03G144200.v8.1 transcript:Manes.03G144200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQVKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHNGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDSAYKLRQSIVQDEERTESLKVQMQDLENKVQNVDAKIHQIETTLKDLRKLQEQISTKTAERRTLFKEQQRQYEALEEENEDTDEDLMTWKTKFDEHVASLESNKGKLKREMDDIDEEISRLRNSITDYIRESSKLQTEAEVHSSQKNERDSTIQKLCARHNLGSLPHTPFSDDVALNLTNRLKSRLIDLEKDLQDKKTSNDIEIKTAEDHYWDVNDRWKNTDAQKQAKLAIKNAIVNRIKDKERDRASLEEQISHVNLSHMDEREKNLQIEVERKTKQLAERDFESNIRQKQSELYGLEQQIKTLNREKDILAGDSEDRVKLSLMKAELENHKKKHRKIIDEFKDRIRGVLKGRLPADKDMKKEITQALRTLGMEYDDLNLKSREAEKEVNVLQTKIQEVNNNLSKHRKDMDSRKRFIESKLQSLDQQAFTIDLYLKALESAKEKRDVQKSKYNMADGMRQMFDPFERIARAHHMCPCCERSFSAEEEDEFVKKQRVKAASSAEHMKVLASESSNADSYFQQLDKLRIAFEEYVKIGKETIPLAEKNLHELTEEMDEKSQALDDVLGVLAQIKADKDSVDALVQPVETADRLYQEIQTWQIQIDELEYKLDFRGQGVKSMEEIQSELSILQGTRDALHAELEKLRDDRRYMENDLSHIQLRWHGLREEKTRTGNTLHDLKRVEEELEQLSDEKNQVELDEKHLEEALGPLSKEREKLESYCNDLKVKLGKEYEEQKKQLDNYQWEVNTLLNTASKIKEYNELKKGDRLKEVLEKLSLSESQLRSYDNRKEEISAELNKIKDILRNQDSLKRKIEDNLNYRKTKAEVDKLTQEIESLEDRKLKIGGVSTFEAELVRHSQERERLLSELNKCRGTMSVYQSNISKNKVDLKQAQYKDIDQRYLDQLIQLKTTEMANKDLDRYYNAVDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDAPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQKQHAERYYRVTKDDHQHSIIESQEIFD >Manes.02G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3964361:3966387:1 gene:Manes.02G048700.v8.1 transcript:Manes.02G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIAAIFLTPCQPQVLVGQFCSGKWEGKLKMQDWQCHAAAEPKLGRPFIKNQMATKPATYSSKISTDIPLYESPGASFDRYLEDKPRVFKAMFPDKRRSQQLNEDEWRIQMLPINFLFLTVLPVVDMRLRYKSGGRDYPPEVPINITKVLELDITRWQLEGLDNVLEPSDFSLEVKGVLYADRLGARARLKGQLEMNISFVLPPVLALIPEDIRRNVAELVLTRLVQDMKHKVNGSLLADYSEFKRERPMNKA >Manes.11G093300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:15605117:15614529:-1 gene:Manes.11G093300.v8.1 transcript:Manes.11G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNLPGVFAKQIFRQSLFPVRKASSTSLDVQKGFMAVYVGEAKKKRFLVPVSYLNHSSFQDLLILAEEEFGFDHPMGGLTIPCREDIFNAVISNLSRSQGKAF >Manes.06G147100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27334814:27342600:-1 gene:Manes.06G147100.v8.1 transcript:Manes.06G147100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNTDYFTSYPSPEETLSFLKLPIPHLPPRLLSFEEDFSRFDSQANISLEVERLPIDSALSKFISQVIPEIIDVDYEDFEDHQFRNAKLCADEQRKFSWGSDEIRYSEKDGEITVYEKHMQRLEVIQFEAPELDEFSENVCGFEESMRILSGVPELVNGSDIFKPGVKLLYSEKTKESVYSVEDVTLDHDMDIKACVLEADDSGSEHMNFHYYVFPFLEVDEISLRTFTNLSMEDELLSFLENTTTQWSPNDDVLGDGMELFASKPYDVLELFSNHCLTEQRLETELESIDKILVMDIISMIEKGDPALPTSPLVFQEFEFLEVDSSEIYEVFFKMQTTEEPETSEWMFRADKNFKNFNELIVSCELALVDDTFKSMPIPVHSDHHKVRSLHAIVDEILAELKPKHLSASDGIYLDWHLLEEDKCNSKILSLYQNVLESDLHNIDFDRGSFDKGKWVMDFVLSNDALDGPKVEEFKESPVIFSEGISSDQLLGVPTSKLLDDGCSKSGNGEHLRQENSAKVILFESMSKFNDLEFFLNPGKVTGDDISESAVKAHGTNATFPKEGESQSALHVEKNTDDQKLKEVLNVFPTEIKQHATASDKVEPTPIEVPEVSCSMKSEQTQACMIPFPDTIVVVNTQNFDKEMIISRRSTYQKILAMEKEGLQVVERDIDLPVDVVIISAICLVWYHCRNIRKKATTADEASSGLPFCIENIATNVLPLLSFTFSCCILLFEGEINFLSSVMESSDGLYATAASLGIDLQLFCSYSSELTDEIILSNILYAAKLCRGIFPKMPESETLAESFLTKFPSINPLTAHAILSSGSKLIEFLEWSNERRILALQHYHVPEESIVLFSAFCSYGEREDSKSIMTDCSSSVSSGPDSNKCHFNVASEKKPKKCIRSPSKIGMHMNDAWQFEPLNHFPDDVQGPPGVLKGDDCWMLRDTEILDDLQWPRPSLKDMFGQNQGMDIAQTVDYSTISKPRDSHNSKVPVILDEVENPGLYLNDKFWGQDEGSEMVINNKLDWKNTSQSENPHEDFLGEVINLTDIIGKDVPSITNSMYFSTWLPETEQDSTRKSAARRLLFGKNNCPTFPSAAAINSVSDLFSSVKDHRKSSQKNNSYAENDMPLKRTKKQLEDILMQGSVRNTKELAFKEEVSHYGGTPLSKAIHSAHPQPGSPWTIEFLNRVREKSRMRQQSFPCDTSTPDFGCSGGISKVTKRKSPSILEFFKYKGGSNPEKLHNQKKQKQYKQFPSSSNCGRYSASFLPTRTPADKRSRQTLSFAMNESGSQTRLVWSDGSAHRQSKKSRKQ >Manes.06G147100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27334815:27342599:-1 gene:Manes.06G147100.v8.1 transcript:Manes.06G147100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLEVIQFEAPELDEFSENVCGFEESMRILSGVPELVNGSDIFKPGVKLLYSEKTKESVYSVEDVTLDHDMDIKACVLEADDSGSEHMNFHYYVFPFLEVDEISLRTFTNLSMEDELLSFLENTTTQWSPNDDVLGDGMELFASKPYDVLELFSNHCLTEQRLETELESIDKILVMDIISMIEKGDPALPTSPLVFQEFEFLEVDSSEIYEVFFKMQTTEEPETSEWMFRADKNFKNFNELIVSCELALVDDTFKSMPIPVHSDHHKVRSLHAIVDEILAELKPKHLSASDGIYLDWHLLEEDKCNSKILSLYQNVLESDLHNIDFDRGSFDKGKWVMDFVLSNDALDGPKVEEFKESPVIFSEGISSDQLLGVPTSKLLDDGCSKSGNGEHLRQENSAKVILFESMSKFNDLEFFLNPGKVTGDDISESAVKAHGTNATFPKEGESQSALHVEKNTDDQKLKEVLNVFPTEIKQHATASDKVEPTPIEVPEVSCSMKSEQTQACMIPFPDTIVVVNTQNFDKEMIISRRSTYQKILAMEKEGLQVVERDIDLPVDVVIISAICLVWYHCRNIRKKATTADEASSGLPFCIENIATNVLPLLSFTFSCCILLFEGEINFLSSVMESSDGLYATAASLGIDLQLFCSYSSELTDEIILSNILYAAKLCRGIFPKMPESETLAESFLTKFPSINPLTAHAILSSGSKLIEFLEWSNERRILALQHYHVPEESIVLFSAFCSYGEREDSKSIMTDCSSSVSSGPDSNKCHFNVASEKKPKKCIRSPSKIGMHMNDAWQFEPLNHFPDDVQGPPGVLKGDDCWMLRDTEILDDLQWPRPSLKDMFGQNQGMDIAQTVDYSTISKPRDSHNSKVPVILDEVENPGLYLNDKFWGQDEGSEMVINNKLDWKNTSQSENPHEDFLETEQDSTRKSAARRLLFGKNNCPTFPSAAAINSVSDLFSSVKDHRKSSQKNNSYAENDMPLKRTKKQLEDILMQGSVRNTKELAFKEEVSHYGGTPLSKAIHSAHPQPGSPWTIEFLNRVREKSRMRQQSFPCDTSTPDFGCSGGISKVTKRKSPSILEFFKYKGGSNPEKLHNQKKQKQYKQFPSSSNCGRYSASFLPTRTPADKRSRQTLSFAMNESGSQTRLVWSDGSAHRQSKKSRKQ >Manes.06G147100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27334815:27342599:-1 gene:Manes.06G147100.v8.1 transcript:Manes.06G147100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLEVIQFEAPELDEFSENVCGFEESMRILSGVPELVNGSDIFKPGVKLLYSEKTKESVYSVEDVTLDHDMDIKACVLEADDSGSEHMNFHYYVFPFLEVDEISLRTFTNLSMEDELLSFLENTTTQWSPNDDVLGDGMELFASKPYDVLELFSNHCLTEQRLETELESIDKILVMDIISMIEKGDPALPTSPLVFQEFEFLEVDSSEIYEVFFKMQTTEEPETSEWMFRADKNFKNFNELIVSCELALVDDTFKSMPIPVHSDHHKVRSLHAIVDEILAELKPKHLSASDGIYLDWHLLEEDKCNSKILSLYQNVLESDLHNIDFDRGSFDKGKWVMDFVLSNDALDGPKVEEFKESPVIFSEGISSDQLLGVPTSKLLDDGCSKSGNGEHLRQENSAKVILFESMSKFNDLEFFLNPGKVTGDDISESAVKAHGTNATFPKEGESQSALHVEKNTDDQKLKEVLNVFPTEIKQHATASDKVEPTPIEVPEVSCSMKSEQTQACMIPFPDTIVVVNTQNFDKEMIISRRSTYQKILAMEKEGLQVVERDIDLPVDVVIISAICLVWYHCRNIRKKATTADEASSGLPFCIENIATNVLPLLSFTFSCCILLFEGEINFLSSVMESSDGLYATAASLGIDLQLFCSYSSELTDEIILSNILYAAKLCRGIFPKMPESETLAESFLTKFPSINPLTAHAILSSGSKLIEFLEWSNERRILALQHYHVPEESIVLFSAFCSYGEREDSKSIMTDCSSSVSSGPDSNKCHFNVASEKKPKKCIRSPSKIGMHMNDAWQFEPLNHFPDDVQGPPGVLKGDDCWMLRDTEILDDLQWPRPSLKDMFGQNQGMDIAQTVDYSTISKPRDSHNSKVPVILDEVENPGLYLNDKFWGQDEGSEMVINNKLDWKNTSQSENPHEDFLGEVINLTDIIGKDVPSITNSMYFSTWLPETEQDSTRKSAARRLLFGKNNCPTFPSAAAINSVSDLFSSVKDHRKSSQKNNSYAENDMPLKRTKKQLEDILMQGSVRNTKELAFKEEVSHYGGTPLSKAIHSAHPQPGSPWTIEFLNRVREKSRMRQQSFPCDTSTPDFGCSGGISKVTKRKSPSILEFFKYKGGSNPEKLHNQKKQKQYKQFPSSSNCGRYSASFLPTRTPADKRSRQTLSFAMNESGSQTRLVWSDGSAHRQSKKSRKQ >Manes.06G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27334815:27342599:-1 gene:Manes.06G147100.v8.1 transcript:Manes.06G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNTDYFTSYPSPEETLSFLKLPIPHLPPRLLSFEEDFSRFDSQANISLEVERLPIDSALSKFISQVIPEIIDVDYEDFEDHQFRNAKLCADEQRKFSWGSDEIRYSEKEAKPLFEDTKEGIEKAYGHESLEKDGEITVYEKHMQRLEVIQFEAPELDEFSENVCGFEESMRILSGVPELVNGSDIFKPGVKLLYSEKTKESVYSVEDVTLDHDMDIKACVLEADDSGSEHMNFHYYVFPFLEVDEISLRTFTNLSMEDELLSFLENTTTQWSPNDDVLGDGMELFASKPYDVLELFSNHCLTEQRLETELESIDKILVMDIISMIEKGDPALPTSPLVFQEFEFLEVDSSEIYEVFFKMQTTEEPETSEWMFRADKNFKNFNELIVSCELALVDDTFKSMPIPVHSDHHKVRSLHAIVDEILAELKPKHLSASDGIYLDWHLLEEDKCNSKILSLYQNVLESDLHNIDFDRGSFDKGKWVMDFVLSNDALDGPKVEEFKESPVIFSEGISSDQLLGVPTSKLLDDGCSKSGNGEHLRQENSAKVILFESMSKFNDLEFFLNPGKVTGDDISESAVKAHGTNATFPKEGESQSALHVEKNTDDQKLKEVLNVFPTEIKQHATASDKVEPTPIEVPEVSCSMKSEQTQACMIPFPDTIVVVNTQNFDKEMIISRRSTYQKILAMEKEGLQVVERDIDLPVDVVIISAICLVWYHCRNIRKKATTADEASSGLPFCIENIATNVLPLLSFTFSCCILLFEGEINFLSSVMESSDGLYATAASLGIDLQLFCSYSSELTDEIILSNILYAAKLCRGIFPKMPESETLAESFLTKFPSINPLTAHAILSSGSKLIEFLEWSNERRILALQHYHVPEESIVLFSAFCSYGEREDSKSIMTDCSSSVSSGPDSNKCHFNVASEKKPKKCIRSPSKIGMHMNDAWQFEPLNHFPDDVQGPPGVLKGDDCWMLRDTEILDDLQWPRPSLKDMFGQNQGMDIAQTVDYSTISKPRDSHNSKVPVILDEVENPGLYLNDKFWGQDEGSEMVINNKLDWKNTSQSENPHEDFLGEVINLTDIIGKDVPSITNSMYFSTWLPETEQDSTRKSAARRLLFGKNNCPTFPSAAAINSVSDLFSSVKDHRKSSQKNNSYAENDMPLKRTKKQLEDILMQGSVRNTKELAFKEEVSHYGGTPLSKAIHSAHPQPGSPWTIEFLNRVREKSRMRQQSFPCDTSTPDFGCSGGISKVTKRKSPSILEFFKYKGGSNPEKLHNQKKQKQYKQFPSSSNCGRYSASFLPTRTPADKRSRQTLSFAMNESGSQTRLVWSDGSAHRQSKKSRKQ >Manes.06G147100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27334815:27342672:-1 gene:Manes.06G147100.v8.1 transcript:Manes.06G147100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNTDYFTSYPSPEETLSFLKLPIPHLPPRLLSFEEDFSRFDSQANISLEVERLPIDSALSKFISQVIPEIIDVDYEDFEDHQFRNAKLCADEQRKFSWGSDEIRYSEKDGEITVYEKHMQRLEVIQFEAPELDEFSENVCGFEESMRILSGVPELVNGSDIFKPGVKLLYSEKTKESVYSVEDVTLDHDMDIKACVLEADDSGSEHMNFHYYVFPFLEVDEISLRTFTNLSMEDELLSFLENTTTQWSPNDDVLGDGMELFASKPYDVLELFSNHCLTEQRLETELESIDKILVMDIISMIEKGDPALPTSPLVFQEFEFLEVDSSEIYEVFFKMQTTEEPETSEWMFRADKNFKNFNELIVSCELALVDDTFKSMPIPVHSDHHKVRSLHAIVDEILAELKPKHLSASDGIYLDWHLLEEDKCNSKILSLYQNVLESDLHNIDFDRGSFDKGKWVMDFVLSNDALDGPKVEEFKESPVIFSEGISSDQLLGVPTSKLLDDGCSKSGNGEHLRQENSAKVILFESMSKFNDLEFFLNPGKVTGDDISESAVKAHGTNATFPKEGESQSALHVEKNTDDQKLKEVLNVFPTEIKQHATASDKVEPTPIEVPEVSCSMKSEQTQACMIPFPDTIVVVNTQNFDKEMIISRRSTYQKILAMEKEGLQVVERDIDLPVDVVIISAICLVWYHCRNIRKKATTADEASSGLPFCIENIATNVLPLLSFTFSCCILLFEGEINFLSSVMESSDGLYATAASLGIDLQLFCSYSSELTDEIILSNILYAAKLCRGIFPKMPESETLAESFLTKFPSINPLTAHAILSSGSKLIEFLEWSNERRILALQHYHVPEESIVLFSAFCSYGEREDSKSIMTDCSSSVSSGPDSNKCHFNVASEKKPKKCIRSPSKIGMHMNDAWQFEPLNHFPDDVQGPPGVLKGDDCWMLRDTEILDDLQWPRPSLKDMFGQNQGMDIAQTVDYSTISKPRDSHNSKVPVILDEVENPGLYLNDKFWGQDEGSEMVINNKLDWKNTSQSENPHEDFLETEQDSTRKSAARRLLFGKNNCPTFPSAAAINSVSDLFSSVKDHRKSSQKNNSYAENDMPLKRTKKQLEDILMQGSVRNTKELAFKEEVSHYGGTPLSKAIHSAHPQPGSPWTIEFLNRVREKSRMRQQSFPCDTSTPDFGCSGGISKVTKRKSPSILEFFKYKGGSNPEKLHNQKKQKQYKQFPSSSNCGRYSASFLPTRTPADKRSRQTLSFAMNESGSQTRLVWSDGSAHRQSKKSRKQ >Manes.06G147100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27334815:27342671:-1 gene:Manes.06G147100.v8.1 transcript:Manes.06G147100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLNTDYFTSYPSPEETLSFLKLPIPHLPPRLLSFEEDFSRFDSQANISLEVERLPIDSALSKFISQVIPEIIDVDYEDFEDHQFRNAKLCADEQRKFSWGSDEIRYSEKEAKPLFEDTKEGIEKAYGHESLEKDGEITVYEKHMQRLEVIQFEAPELDEFSENVCGFEESMRILSGVPELVNGSDIFKPGVKLLYSEKTKESVYSVEDVTLDHDMDIKACVLEADDSGSEHMNFHYYVFPFLEVDEISLRTFTNLSMEDELLSFLENTTTQWSPNDDVLGDGMELFASKPYDVLELFSNHCLTEQRLETELESIDKILVMDIISMIEKGDPALPTSPLVFQEFEFLEVDSSEIYEVFFKMQTTEEPETSEWMFRADKNFKNFNELIVSCELALVDDTFKSMPIPVHSDHHKVRSLHAIVDEILAELKPKHLSASDGIYLDWHLLEEDKCNSKILSLYQNVLESDLHNIDFDRGSFDKGKWVMDFVLSNDALDGPKVEEFKESPVIFSEGISSDQLLGVPTSKLLDDGCSKSGNGEHLRQENSAKVILFESMSKFNDLEFFLNPGKVTGDDISESAVKAHGTNATFPKEGESQSALHVEKNTDDQKLKEVLNVFPTEIKQHATASDKVEPTPIEVPEVSCSMKSEQTQACMIPFPDTIVVVNTQNFDKEMIISRRSTYQKILAMEKEGLQVVERDIDLPVDVVIISAICLVWYHCRNIRKKATTADEASSGLPFCIENIATNVLPLLSFTFSCCILLFEGEINFLSSVMESSDGLYATAASLGIDLQLFCSYSSELTDEIILSNILYAAKLCRGIFPKMPESETLAESFLTKFPSINPLTAHAILSSGSKLIEFLEWSNERRILALQHYHVPEESIVLFSAFCSYGEREDSKSIMTDCSSSVSSGPDSNKCHFNVASEKKPKKCIRSPSKIGMHMNDAWQFEPLNHFPDDVQGPPGVLKGDDCWMLRDTEILDDLQWPRPSLKDMFGQNQGMDIAQTVDYSTISKPRDSHNSKVPVILDEVENPGLYLNDKFWGQDEGSEMVINNKLDWKNTSQSENPHEDFLETEQDSTRKSAARRLLFGKNNCPTFPSAAAINSVSDLFSSVKDHRKSSQKNNSYAENDMPLKRTKKQLEDILMQGSVRNTKELAFKEEVSHYGGTPLSKAIHSAHPQPGSPWTIEFLNRVREKSRMRQQSFPCDTSTPDFGCSGGISKVTKRKSPSILEFFKYKGGSNPEKLHNQKKQKQYKQFPSSSNCGRYSASFLPTRTPADKRSRQTLSFAMNESGSQTRLVWSDGSAHRQSKKSRKQ >Manes.12G099747.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:25095212:25095535:-1 gene:Manes.12G099747.v8.1 transcript:Manes.12G099747.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSITSTSRTNELGIKILVIERSCGCDPFSSTTSKTVSFASSTSSITCSPCPSSFTTSSISSLMELSTTTTLDSYITTSSSISISMEVEIGTLASSFSFSFSTFPP >Manes.14G093800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7840953:7845123:-1 gene:Manes.14G093800.v8.1 transcript:Manes.14G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASIVFFCSVVLSCLWTSVFGLLSDKGVNYEVEALMGIRSSLNDPHNVLNWDATAVDPCSWTMITCSPDGLVIGLGAPSQSLSGTLSPSIGNLTNLQLVLLQNNNITGNLPSELGRLSKLKTLDLSNNFFSGQIPRTLSNLKNLQYLRLNNNSLYGPIPSSLANMTQLSFLDLSYNNLSAPVPSFHAKTSNIVGNPLICGTAKDCPGSILTPQSMFLNNSQNSQPSGSGSGKGHKVALAFGSSLGCVCLLILGFGFLLWWRRRHHQQIFFDVNEQRHEELNLGNLKRFQFKELQVATNNFSRKNLIGEGGFGNVYKGYLQDGTVVAVKRLKDGNAIAGEIQFQREVEMISLAVHRNLLRLFGFCMTATERLLIYPYMSNGSVATRLKAKPTLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHRDSHVTTTVRGTMGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRAGEFGKSANRKGAILDWVKKIHQENKLELLVDKDLKNNYDRIELEEMIRVALLCVQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAESTKSRANEFSSSERYSDLTDDSSLLVQAMELSGPR >Manes.01G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26609879:26617760:-1 gene:Manes.01G066100.v8.1 transcript:Manes.01G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKEENEQLNKTRGGFWTWVMASVIFRLILIYFPQNLNLSSRPEVSTPLTSLRRLAEGYWLKQASMSPYAGSMYHGSPLLLSVLGPLTVKSIEGQPDHVLCSVLFVIADIMSALLIRATGWKLQMAYKKSLEALGIINRLVTSGDIAALVYLWNPFTIVACVGLSTSPIENLFIILTLYGACTRLVPLAAFGWVMATHLSLYPAILIIPVILLLGYGPDAPPRKLFLQKGYCKTGDKNSSHVHCAQEEMINRSKEQIIFSRRPVVLFFFWATLWSIYVLVLCSISVKQYSSLWEMFQRTYGFILTVEDMSPNMGVLWYFFAEVFDFFRNFFLIVFHVNILFMVLPLAIRLNHRPCFLAFVYIAISSMLKSYPSVGDSALYLGLLVLFLDELADMQFSFFIFCGYVGISLLSPVMHNLWIWRGTGNANFYFATAIAYACLQIILVVESVGAMLNHDRKLRKLSVANLQDDES >Manes.01G066100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26609956:26617705:-1 gene:Manes.01G066100.v8.1 transcript:Manes.01G066100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKEENEQLNKTRGGFWTWVMASVIFRLILIYFPQNLNLSSRPEVSTPLTSLRRLAEGYWLKQASMSPYAGSMYHGSPLLLSVLGPLTVKSIEGQPDHVLCSVLFVIADIMSALLIRATGWKLQMAYKKSLEALGIINRLVTSGDIAALVYLWNPFTIVACVGLSTSPIENLFIILTLYGACTRLVPLAAFGWVMATHLSLYPAILIIPVILLLGYGPDAPPRKLFLQKGYCKTGDKNSSHVHCAQEEMINRSKEQIIFSRRPVVLFFFWATLWSIYVLVLCSISVKQYSSLWEMFQRTYGFILTVEDMSPNMGVLWYFFAEVFDFFRNFFLIVFHVNILFMVLPLAIRLNHRPCFLAFVYIAISSMLKSYPSVGDSALYLGLLVLFLDELADMQFSFFIFCGYVGISLLSPVMHNLWIWRGTGNANFYFATAIAYACLQIILVVESVGAMLNHDRKLRKLSVANLQDDES >Manes.01G066100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26609881:26617712:-1 gene:Manes.01G066100.v8.1 transcript:Manes.01G066100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLCTTVLHCYYQYLGHLLLRALKGNLIMCYADIMSALLIRATGWKLQMAYKKSLEALGIINRLVTSGDIAALVYLWNPFTIVACVGLSTSPIENLFIILTLYGACTRLVPLAAFGWVMATHLSLYPAILIIPVILLLGYGPDAPPRKLFLQKGYCKTGDKNSSHVHCAQEEMINRSKEQIIFSRRPVVLFFFWATLWSIYVLVLCSISVKQYSSLWEMFQRTYGFILTVEDMSPNMGVLWYFFAEVFDFFRNFFLIVFHVNILFMVLPLAIRLNHRPCFLAFVYIAISSMLKSYPSVGDSALYLGLLVLFLDELADMQFSFFIFCGYVGISLLSPVMHNLWIWRGTGNANFYFATAIAYACLQIILVVESVGAMLNHDRKLRKLSVANLQDDES >Manes.14G025100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2335494:2338495:-1 gene:Manes.14G025100.v8.1 transcript:Manes.14G025100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISASSVAKVSSGSLAVSNDIHSAVFPYFAQWQKSHGKVFVYWLGTEPFLYIAEPEFLRRMSKGVLGKSWGKPSVFKHDRKPMFGNGLLMLEGDDWIHHRRIITPAFSPANLQAMASSMVESTTKMLDKWTSLLNCGCLEIDVEREIILTAGEIIAKTSFGMDYANWRQVLEKLRALQITLFKTNRYVGVPFSTILFPRQTLEAKKLGKDIDYLLFSIIEDRRNSNAGYHQNNLLGLLLQENHEERRKTLTTRELVDECKTFFFGGHETSALALAWTMLVLAVHPEWQNQLREEITQVTGNKEIVFSRLAKLNKMGWVLNEVLRLYSPAPNAQRQTREDITVNNLTIPKGTNVWIDVVSMHHDPNLWGEDVNEFKPERFENDLYGGCKHKMGYLPFGFGGRMCIGRNLSMMEYKIVLSLMLTRFSFSLSPNYSHSPRIMLSLRPSLGIPLIVRPL >Manes.14G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2335494:2338354:-1 gene:Manes.14G025100.v8.1 transcript:Manes.14G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNLTFTGVFLYLLFRLLISFWISPARTYTKLKRNGFGGPTPKFPLGNIEEMKKISASSVAKVSSGSLAVSNDIHSAVFPYFAQWQKSHGKVFVYWLGTEPFLYIAEPEFLRRMSKGVLGKSWGKPSVFKHDRKPMFGNGLLMLEGDDWIHHRRIITPAFSPANLQAMASSMVESTTKMLDKWTSLLNCGCLEIDVEREIILTAGEIIAKTSFGMDYANWRQVLEKLRALQITLFKTNRYVGVPFSTILFPRQTLEAKKLGKDIDYLLFSIIEDRRNSNAGYHQNNLLGLLLQENHEERRKTLTTRELVDECKTFFFGGHETSALALAWTMLVLAVHPEWQNQLREEITQVTGNKEIVFSRLAKLNKMGWVLNEVLRLYSPAPNAQRQTREDITVNNLTIPKGTNVWIDVVSMHHDPNLWGEDVNEFKPERFENDLYGGCKHKMGYLPFGFGGRMCIGRNLSMMEYKIVLSLMLTRFSFSLSPNYSHSPRIMLSLRPSLGIPLIVRPL >Manes.14G025100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2335494:2338354:-1 gene:Manes.14G025100.v8.1 transcript:Manes.14G025100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVYWLGTEPFLYIAEPEFLRRMSKGVLGKSWGKPSVFKHDRKPMFGNGLLMLEGDDWIHHRRIITPAFSPANLQAMASSMVESTTKMLDKWTSLLNCGCLEIDVEREIILTAGEIIAKTSFGMDYANWRQVLEKLRALQITLFKTNRYVGVPFSTILFPRQTLEAKKLGKDIDYLLFSIIEDRRNSNAGYHQNNLLGLLLQENHEERRKTLTTRELVDECKTFFFGGHETSALALAWTMLVLAVHPEWQNQLREEITQVTGNKEIVFSRLAKLNKMGWVLNEVLRLYSPAPNAQRQTREDITVNNLTIPKGTNVWIDVVSMHHDPNLWGEDVNEFKPERFENDLYGGCKHKMGYLPFGFGGRMCIGRNLSMMEYKIVLSLMLTRFSFSLSPNYSHSPRIMLSLRPSLGIPLIVRPL >Manes.08G174400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41419146:41428328:1 gene:Manes.08G174400.v8.1 transcript:Manes.08G174400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLMAFEVWLDHGSEDKKPPEQLPIVLQVLLSQCHRFKALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRKGQEACIEAGLVHVCLKHLQGSMPNDGQHEPLFLQWLCLCLGKLWEDFTEAQILGLQADAPAIYAPLLSEPQPEVRASAVFALGTLLDVGDDACRDAVAADDECDDDDKFRAESSIIRSLLSVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLAHIKGTPHQYMAHTSILSSQIGPLTRVGNDNQSVCRDGRVSTSSPLTTSGILHGSPLSDDSSQHSDSGMLHDVVSNGVVHHSRPKPLDNAMYSQCVLAMCTLAKDPSPRIANLGRRVLSIIGIEQVVTKPVNSSGIRRPAESTSSPTPSLAGLARSSSWFDMNAGHLPLTFRTPPVSPPRPSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSSGSSSGSERSLLPQSTIYNWSCGHFSKPLLTTADYAEEMLVKREGREKLAVEQIAKCQHSSISRLNNQIAGWDTKFETGTKAALLHPFYPIVVAADENERIKIWNYEDAALLNGFDNHDFPDKGISKLCLVNELDDSLLLVASCDGNIRIWKDFTVKGKQKLVTAFSSIQGHKPGARSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLLNSIPSSSDCSISSLSASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCAKRPHTRVERVVGIGFQPGLDSGKFVSASQAGDIEFLDIRNPRDTYLTINAHRGSLTALAVHRHAPIIASGSAKQIIKVFSLEGEVLGTIRYYSTFMAQTIGPVSCLTFHPYQVLLAAGAADACVSIYTDDNAQAR >Manes.08G174400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41413184:41428329:1 gene:Manes.08G174400.v8.1 transcript:Manes.08G174400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRFSQTSVAVISNHYDDYAPSHGEDAGDSASLRRDSETASSSYGNAAVTATSMAYLPQTVVLCELRHDAFEASIPTGPSDSGLVSKWRPKDRMKTGYVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKTLSVQYERWQPKARYKVQLDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIVNAFLELHDWNSSSSSGSMKDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCKRSLLHESVDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLMAFEVWLDHGSEDKKPPEQLPIVLQSCQVDLVKDGGHAYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRKGQEACIEAGLVHVCLKHLQGSMPNDGQHEPLFLQWLCLCLGKLWEDFTEAQILGLQADAPAIYAPLLSEPQPEVRASAVFALGTLLDVGDDACRDAVAADDECDDDDKFRAESSIIRSLLSVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLAHIKGTPHQYMAHTSILSSQIGPLTRVGNDNQSVCRDGRVSTSSPLTTSGILHGSPLSDDSSQHSDSGMLHDVVSNGVVHHSRPKPLDNAMYSQCVLAMCTLAKDPSPRIANLGRRVLSIIGIEQVVTKPVNSSGIRRPAESTSSPTPSLAGLARSSSWFDMNAGHLPLTFRTPPVSPPRPSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSSGSSSGSERSLLPQSTIYNWSCGHFSKPLLTTADYAEEMLVKREGREKLAVEQIAKCQHSSISRLNNQIAGWDTKFETGTKAALLHPFYPIVVAADENERIKIWNYEDAALLNGFDNHDFPDKGISKLCLVNELDDSLLLVASCDGNIRIWKDFTVKGKQKLVTAFSSIQGHKPGARSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLLNSIPSSSDCSISSLSASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCAKRPHTRVERVVGIGFQPGLDSGKFVSASQAGDIEFLDIRNPRDTYLTINAHRGSLTALAVHRHAPIIASGSAKQIIKVFSLEGEVLGTIRYYSTFMAQTIGPVSCLTFHPYQVLLAAGAADACVSIYTDDNAQAR >Manes.08G174400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41416880:41428328:1 gene:Manes.08G174400.v8.1 transcript:Manes.08G174400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHTSFKLKSYSSQLRFCKRSLLHESVDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLMAFEVWLDHGSEDKKPPEQLPIVLQVLLSQCHRFKALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRKGQEACIEAGLVHVCLKHLQGSMPNDGQHEPLFLQWLCLCLGKLWEDFTEAQILGLQADAPAIYAPLLSEPQPEVRASAVFALGTLLDVGDDACRDAVAADDECDDDDKFRAESSIIRSLLSVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLAHIKGTPHQYMAHTSILSSQIGPLTRVGNDNQSVCRDGRVSTSSPLTTSGILHGSPLSDDSSQHSDSGMLHDVVSNGVVHHSRPKPLDNAMYSQCVLAMCTLAKDPSPRIANLGRRVLSIIGIEQVVTKPVNSSGIRRPAESTSSPTPSLAGLARSSSWFDMNAGHLPLTFRTPPVSPPRPSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSSGSSSGSERSLLPQSTIYNWSCGHFSKPLLTTADYAEEMLVKREGREKLAVEQIAKCQHSSISRLNNQIAGWDTKFETGTKAALLHPFYPIVVAADENERIKIWNYEDAALLNGFDNHDFPDKGISKLCLVNELDDSLLLVASCDGNIRIWKDFTVKGKQKLVTAFSSIQGHKPGARSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLLNSIPSSSDCSISSLSASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCAKRPHTRVERVVGIGFQPGLDSGKFVSASQAGDIEFLDIRNPRDTYLTINAHRGSLTALAVHRHAPIIASGSAKQIIKVFSLEGEVLGTIRYYSTFMAQTIGPVSCLTFHPYQVLLAAGAADACVSIYTDDNAQAR >Manes.08G174400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41417396:41428328:1 gene:Manes.08G174400.v8.1 transcript:Manes.08G174400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTLQLFCKRSLLHESVDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLMAFEVWLDHGSEDKKPPEQLPIVLQVLLSQCHRFKALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRKGQEACIEAGLVHVCLKHLQGSMPNDGQHEPLFLQWLCLCLGKLWEDFTEAQILGLQADAPAIYAPLLSEPQPEVRASAVFALGTLLDVGDDACRDAVAADDECDDDDKFRAESSIIRSLLSVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLAHIKGTPHQYMAHTSILSSQIGPLTRVGNDNQSVCRDGRVSTSSPLTTSGILHGSPLSDDSSQHSDSGMLHDVVSNGVVHHSRPKPLDNAMYSQCVLAMCTLAKDPSPRIANLGRRVLSIIGIEQVVTKPVNSSGIRRPAESTSSPTPSLAGLARSSSWFDMNAGHLPLTFRTPPVSPPRPSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSSGSSSGSERSLLPQSTIYNWSCGHFSKPLLTTADYAEEMLVKREGREKLAVEQIAKCQHSSISRLNNQIAGWDTKFETGTKAALLHPFYPIVVAADENERIKIWNYEDAALLNGFDNHDFPDKGISKLCLVNELDDSLLLVASCDGNIRIWKDFTVKGKQKLVTAFSSIQGHKPGARSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLLNSIPSSSDCSISSLSASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCAKRPHTRVERVVGIGFQPGLDSGKFVSASQAGDIEFLDIRNPRDTYLTINAHRGSLTALAVHRHAPIIASGSAKQIIKVFSLEGEVLGTIRYYSTFMAQTIGPVSCLTFHPYQVLLAAGAADACVSIYTDDNAQAR >Manes.08G174400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:41413185:41428328:1 gene:Manes.08G174400.v8.1 transcript:Manes.08G174400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRFSQTSVAVISNHYDDYAPSHGEDAGDSASLRRDSETASSSYGNAAVTATSMAYLPQTVVLCELRHDAFEASIPTGPSDSGLVSKWRPKDRMKTGYVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKTLSVQYERWQPKARYKVQLDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIVNAFLELHDWNSSSSSGSMKDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCKRSLLHESVDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLMAFEVWLDHGSEDKKPPEQLPIVLQVLLSQCHRFKALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRKGQEACIEAGLVHVCLKHLQGSMPNDGQHEPLFLQWLCLCLGKLWEDFTEAQILGLQADAPAIYAPLLSEPQPEVRASAVFALGTLLDVGDDACRDAVAADDECDDDDKFRAESSIIRSLLSVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLAHIKGTPHQYMAHTSILSSQIGPLTRVGNDNQSVCRDGRVSTSSPLTTSGILHGSPLSDDSSQHSDSGMLHDVVSNGVVHHSRPKPLDNAMYSQCVLAMCTLAKDPSPRIANLGRRVLSIIGIEQVVTKPVNSSGIRRPAESTSSPTPSLAGLARSSSWFDMNAGHLPLTFRTPPVSPPRPSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSSGSSSGSERSLLPQSTIYNWSCGHFSKPLLTTADYAEEMLVKREGREKLAVEQIAKCQHSSISRLNNQIAGWDTKFETGTKAALLHPFYPIVVAADENERIKIWNYEDAALLNGFDNHDFPDKGISKLCLVNELDDSLLLVASCDGNIRIWKDFTVKGKQKLVTAFSSIQGHKPGARSLSAVVDWQQQSGYLYASGEISSIMLWDLDKEQLLNSIPSSSDCSISSLSASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCAKRPHTRVERVVGIGFQPGLDSGKFVSASQAGDIEFLDIRNPRDTYLTINAHRGSLTALAVHRHAPIIASGSAKQIIKVFSLEGEVLGTIRYYSTFMAQTIGPVSCLTFHPYQVLLAAGAADACVSIYTDDNAQAR >Manes.09G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32124044:32130520:-1 gene:Manes.09G118400.v8.1 transcript:Manes.09G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKLGFFLCVWLLLCGSCFGRFVVEKNSLKVTSPESVKGVYECAIGNFGVPQYGGTLVGTVVYPKANQKACKAFDEVDISFKSKSGGLPTFLLADRGDCYFTMKAWNAQNGGAAAILVSDDKDEPLITMDSPEEENADADYLQKINIPSALISKSLGDSIKKALSNGEMVNINLDWTEALPHPDDRVEYEFWTNSNDECGPKCDSQIEFVRNFKGAAQILEQKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSRGYDGKDVVVQNLRQACFFKVANESGKPWLWWDYVTDFAIRCPMKDKKYTKECADQVIQSLGVDLKKVDKCIGDPEADMENPVLKTEQDAQIGKGPRGDVTILPTLVVNNRQYRGKMDKGPVLKAICAGFEETTEPAICLSEDIETNECLENNGGCWQDKAANLTACRDTFRGRVCECPVVHGVKFVGDGYTHCEAASGFLRCEINNGGCWKKSQDGRTFSACVEDHSQGCKCPPGFKGDGVNSCEDVDECKERTACQCSECKCKNTWGSYECSCGSGLLYMREHDTCIRKDANTEVGWSFVWMIILGLAAAGFAGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQGDIPVHHASRGDI >Manes.09G118400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32124044:32130520:-1 gene:Manes.09G118400.v8.1 transcript:Manes.09G118400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKLGFFLCVWLLLCGSCFGRFVVEKNSLKVTSPESVKGVYECAIGNFGVPQYGGTLVGTVVYPKANQKACKAFDEVDISFKSKSGGLPTFLLADRGDCYFTMKAWNAQNGGAAAILVSDDKDEPLITMDSPEEENADADYLQKINIPSALISKSLGDSIKKALSNGEMVNINLDWTEALPHPDDRVEYEFWTNSNDECGPKCDSQIEFVRNFKGAAQILEQKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSRGYDGKDVVVQNLRQACFFKVANESGKPWLWWDYVTDFAIRCPMKDKKYTKECADQVIQSLGVDLKKVDKCIGDPEADMENPVLKTEQDAQIGKGPRGDVTILPTLVVNNRQYRGKMDKGPVLKAICAGFEETTEPAICLSEDIETNECLENNGGCWQDKAANLTACRDTFRGRVCECPVVHGVKFVGDGYTHCEASGFLRCEINNGGCWKKSQDGRTFSACVEDHSQGCKCPPGFKGDGVNSCEDVDECKERTACQCSECKCKNTWGSYECSCGSGLLYMREHDTCIRKDANTEVGWSFVWMIILGLAAAGFAGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQGDIPVHHASRGDI >Manes.13G070500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10046647:10052242:1 gene:Manes.13G070500.v8.1 transcript:Manes.13G070500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALFLFIFIWVLLIAFVCCQRPKFVNIGAVFTYDSVIGRAAKPAIEAAVYDINRDTRILNGTEVRLFMKDAQCNVFVGSVGAFQVLEEQVVAIIGPQSSGIAHMIAEIANGLQVPQVSYAATDPTLSALQFPFFVRTTQSDSYQMTAMADLIGFYGWKEIIAIYVDDDSGRNGVAALDDQLEKQMAKIYKLRLSINFDDTEIMDLLKQSKLLGPRVYVVHLNPDPRLRFFSVAQKLQMMNDNYVWLATDWLSTTIDSFSQINQTSLSALQGVVGLRQHIPESSKKKAFLSRWRVTQEKGSASSEMNSYGLQAYDTVWTVAYAIDRFIDEFKNITFSSNDKLNDMKTSDLQLGKLKIFSNGSSLLNKILQMNFTGLSGHIQFNEDRNIESGGYDVINIAHMSINIVGYWSNISGFSILPPDTRQGKQTNYSRVDQKLQKITWPGGKTERPRGWVIADNERPLVIGVPYRASFVDFVTEVNKSHKIEGYCIDVFLEARKLVPYDVPYRFEPFGDGRFNPNYNELTQMVAEDVFDAAVGDIAIVTNRTKIVDFSQPYAATGLVIVAPVRNSKSSAWVFLKPFTVEMWCVTAASFVMIAVVIWILEHRVNDEFRGPPRRQIVTMFMFSFSTLFKTNQETTVSPLGRMVMVVWLFILMVISASYTASLTSILTVQQLSSPITDLFLFGPQRSMKKR >Manes.13G070500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10046647:10052242:1 gene:Manes.13G070500.v8.1 transcript:Manes.13G070500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAQCNVFVGSVGAFQVLEEQVVAIIGPQSSGIAHMIAEIANGLQVPQVSYAATDPTLSALQFPFFVRTTQSDSYQMTAMADLIGFYGWKEIIAIYVDDDSGRNGVAALDDQLEKQMAKIYKLRLSINFDDTEIMDLLKQSKLLGPRVYVVHLNPDPRLRFFSVAQKLQMMNDNYVWLATDWLSTTIDSFSQINQTSLSALQGVVGLRQHIPESSKKKAFLSRWRVTQEKGSASSEMNSYGLQAYDTVWTVAYAIDRFIDEFKNITFSSNDKLNDMKTSDLQLGKLKIFSNGSSLLNKILQMNFTGLSGHIQFNEDRNIESGGYDVINIAHMSINIVGYWSNISGFSILPPDTRQGKQTNYSRVDQKLQKITWPGGKTERPRGWVIADNERPLVIGVPYRASFVDFVTEVNKSHKIEGYCIDVFLEARKLVPYDVPYRFEPFGDGRFNPNYNELTQMVAEDVFDAAVGDIAIVTNRTKIVDFSQPYAATGLVIVAPVRNSKSSAWVFLKPFTVEMWCVTAASFVMIAVVIWILEHRVNDEFRGPPRRQIVTMFMFSFSTLFKTNQETTVSPLGRMVMVVWLFILMVISASYTASLTSILTVQQLSSPITGIDSLIASNWPIGYQSGTFSYDYLTESLYIPPSRLVPLRTPEEYEKALRLGPNNGGVAAIVDELPYVEVFLTNQSDFGIIGQPFTKAGWGFAFRRESPLAVDMSTAILKLSETGELQRIHKRWFCKKGCAGDKRRNSEPNQLHLISFWGLYLLCGVITSLALLLFLLRVVRQFVRYKRRQMQVASPSVISSATHCSQVIFHFFDFIDEKEEAIKKMFTPCENPTSQMSLGRS >Manes.13G070500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10046647:10052242:1 gene:Manes.13G070500.v8.1 transcript:Manes.13G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALFLFIFIWVLLIAFVCCQRPKFVNIGAVFTYDSVIGRAAKPAIEAAVYDINRDTRILNGTEVRLFMKDAQCNVFVGSVGAFQVLEEQVVAIIGPQSSGIAHMIAEIANGLQVPQVSYAATDPTLSALQFPFFVRTTQSDSYQMTAMADLIGFYGWKEIIAIYVDDDSGRNGVAALDDQLEKQMAKIYKLRLSINFDDTEIMDLLKQSKLLGPRVYVVHLNPDPRLRFFSVAQKLQMMNDNYVWLATDWLSTTIDSFSQINQTSLSALQGVVGLRQHIPESSKKKAFLSRWRVTQEKGSASSEMNSYGLQAYDTVWTVAYAIDRFIDEFKNITFSSNDKLNDMKTSDLQLGKLKIFSNGSSLLNKILQMNFTGLSGHIQFNEDRNIESGGYDVINIAHMSINIVGYWSNISGFSILPPDTRQGKQTNYSRVDQKLQKITWPGGKTERPRGWVIADNERPLVIGVPYRASFVDFVTEVNKSHKIEGYCIDVFLEARKLVPYDVPYRFEPFGDGRFNPNYNELTQMVAEDVFDAAVGDIAIVTNRTKIVDFSQPYAATGLVIVAPVRNSKSSAWVFLKPFTVEMWCVTAASFVMIAVVIWILEHRVNDEFRGPPRRQIVTMFMFSFSTLFKTNQETTVSPLGRMVMVVWLFILMVISASYTASLTSILTVQQLSSPITGIDSLIASNWPIGYQSGTFSYDYLTESLYIPPSRLVPLRTPEEYEKALRLGPNNGGVAAIVDELPYVEVFLTNQSDFGIIGQPFTKAGWGFAFRRESPLAVDMSTAILKLSETGELQRIHKRWFCKKGCAGDKRRNSEPNQLHLISFWGLYLLCGVITSLALLLFLLRVVRQFVRYKRRQMQVASPSVISSATHCSQVIFHFFDFIDEKEEAIKKMFTPCENPTSQMSLGRS >Manes.18G122550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:13225138:13226421:1 gene:Manes.18G122550.v8.1 transcript:Manes.18G122550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPWDLINERWRGEREEKKSDVEDAMTSERGFCEERRSRRLLWAEYRGEDGCVVEAERKMVAGIEGEGDRFWRGAGIGSKGENGCPFREGGEGSFLGLDETVAVFKRETEGAYFHPDSFKLERMIEVENSFFLFNDF >Manes.18G116701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12041863:12095370:1 gene:Manes.18G116701.v8.1 transcript:Manes.18G116701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAVDHVIGTIMSALQEEATLLVGIKDELEEISKELVSMRSFLQDAERNKVMSKGEETWVAEVRDTAHQIEDLIDEYMYYMYRKQYSTVAHRIFLTPKSLLEKRRIASKLQQINKNIIGMDERRKRFGNDHVEGSNAHCDLTLYPRDSAVFMKEDDVVGFVDESRLLKTWLIDGEKHLTLISVVGMGGSGKTTLVAKTCNNETAKSYFDCYAWVTVSQTYARDDLLRKLIKECHESGKARVPNDLGTKDFKDLVEYLIGYLKHKKYLVILDDVWDISLWENIKASLPNNEFGSRIIFTTRNEDVGSFSSNVRSHMLTIKPLKNEEAWDLFCKKAFFSNPDKSCPEELKPLALELVGKCDGLPLAIVALGGVMSSKKSTSEWSSVWDNLNWQLNSNPRLEIVKSILLLSFNYLPSPLKYCFLYCCLFPEDYKIRRQRLIRLWIAEGFIQNVDRTTPNEVAESYFMELTLRSMLQVGSRNACGRPRACQMHDLLREIGISMLEREKFGVVYDGKIKIKECQLHQARRLSIQTTNGDLQSYGNMRRLRSLLVFVDSSVSFSSTSLPNLKLMRSLDLENVAINILPEGLGTLLNLRYLNLRGTQVQKLPKSIGKLRNLESLDITNTKVKELPSEVAELQNLYHLIMWSKGIANNLGDFLYLNGLQVPFKISKLKKLQVLYYIEAKGDIIRQLGSMTQLRRMGISNLREADEHDLCSSILNLKLIRTLRLYVNNEAEFLRMDALETPPPQLQKLGLHGKLERVPHWFCSLQNLTSLGLLGSSLEEDQLPHVAALPNLGRVTLINSFVGENLHFYSGFAKLKELYLFKFRQLKGIIIEKGAMPDIQKLWIDSCFALDAVPRVATTSFCTFIHISVVSNGVASVHNCISFLRVRLKVHGSETYSTDYRRRHHYAVRKASWQELDEVSDILGDSIHDSGEIPYQYALSVFRSNAIWRRRRKFLRESSMFQAAAKGRKESWLGMLPGTWAYVSAGAFGRAIIQEESDIGLTGGNNSLLTLGLGLLATALAA >Manes.05G202900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32762614:32762793:-1 gene:Manes.05G202900.v8.1 transcript:Manes.05G202900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKMNLFVVLMVVLMAFSTMQMAAAAHAPAPSPTSDASVFIPTFFASLLALAFGFFL >Manes.02G213900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27931727:27938803:-1 gene:Manes.02G213900.v8.1 transcript:Manes.02G213900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILSIITWAFVIPSLLYIIFLRKKNPERKYKFPPGRRGWPLIGDSLQWYKAVAGSYPPEFVYQQVNRFGKIFSCSLFGRWVVVSADPNFNRFIMQNEGKLFESSYPKSFRDLVGKNGVITLQGDRQRKLHGIASNIMRLDKLKFHFLDDIQLVILQTLKFHDNQIIFLQDVCRKIAINLMVNQLLGVSTESEIIEMAQLFSDFADGCLSIPINLPGCTYHTAMKARENIIRKIKKTIEKHRGSRSKAGNGNGVLGRILNEENLGDEEVADFIINLLFAGNETTAKTMLFAVYFLSHCPSALQQLLDEQDKLRKNPMGNEFLTWEDYKNMSFTQCVIDETLRIGGIAIWLMRVAKEDVIYQEYMIPKGRAVIPFLSAVHLDENLYKGALNFDPWRWMDPQYQEKRNWRSSQFYSPFGGGGRFCPGAELARLQIALFLHYFLTTYRWTQLKEDKLSFFPSARLVNGFQIGLMRRESHFENGASECN >Manes.02G213900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27895351:27938803:-1 gene:Manes.02G213900.v8.1 transcript:Manes.02G213900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILSIITWAFVIPSLLYIIFLRKKNPERKYKFPPGRRGWPLIGDSLQWYKAVAGSYPPEFVYQQVNRFGKIFSCSLFGRWVVVSADPNFNRFIMQNEGKLFESSYPKSFRDLVGKNGVITLQGDRQRKLHGIASNIMRLDKLKFHFLDDIQLVILQTLKFHDNQIIFLQDVCRKIAINLMVNQLLGVSTESEIIEMAQLFSDFADGCLSIPINLPGCTYHTAMKARENIIRKIKKTIEKHRGSRSKAGNGNGVLGRILNEENLGDEEVADFIINLLFAGNETTAKTMLFAVYFLSHCPSALQQLLDEQDKLRKNPMGNEFLTWEDYKNMSFTQCVIDETLRIGGIAIWLMRVAKEDVIYQEYMIPKGRAVIPFLSAVHLDENLYKGALNFDPWRWMDPQYQEKRNWRSSQFYSPFGGGGRFCPGAELARLQIALFLHYFLTTYRIGFGRP >Manes.02G213900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27931727:27938803:-1 gene:Manes.02G213900.v8.1 transcript:Manes.02G213900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILSIITWAFVIPSLLYIIFLRKKNPERKYKFPPGRRGWPLIGDSLQWYKAVAGSYPPEFVYQQVNRFGKIFSCSLFGRWVVVSADPNFNRFIMQNEGKLFESSYPKSFRDLVGKNGVITLQGDRQRKLHGIASNIMRLDKLKFHFLDDIQLVILQTLKFHDNQIIFLQDVCRKIAINLMVNQLLGVSTESEIIEMAQLFSDFADGCLSIPINLPGCTYHTAMKARENIIRKIKKTIEKHRGSRSKAGNGNGVLGRILNEENLGDEEVADFIINLLFAGNETTAKTMLFAVYFLSHCPSALQQLLVIDETLRIGGIAIWLMRVAKEDVIYQEYMIPKGRAVIPFLSAVHLDENLYKGALNFDPWRWMDPQYQEKRNWRSSQFYSPFGGGGRFCPGAELARLQIALFLHYFLTTYRWTQLKEDKLSFFPSARLVNGFQIGLMRRESHFENGASECN >Manes.10G119700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28445293:28484981:-1 gene:Manes.10G119700.v8.1 transcript:Manes.10G119700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQKHNNKANSSSSKSKSQSSSSGPRLQISAENENRLRRLLLNSDRSTHPASAASVQDNLSKTQKAKRLKNVYEKLSCEGFSNDQIELALTSLKDNATFESALDWLCLNLPGNELPLKFSSGISLHTNQGSVSVVSTARGDWTPPVNPIKTEVDVQPVSVRIKGRWDDDDTLDSRQPSQADWIRQYMEQQEEDDSQMWEDYAVDGSFSNKDPALRSYDIIAKEYYAARLEATKAKEEGDKKSQEQAGHIIRKLKQELSSLGLSDEILAQEFSHNRGFGSGSEGTLTSTIPHEQLQAKTSSSTIDDARDVELGGLFFEDAASNEALPPEVLELQKKEKMRELSSGKNLEKLDGIWKKGDPQKIPKAVLHQLCQKSGWEAPKFNKILERKKGFSYSVSILRKASGRGKSRKSGGLITLQLPEQDEAYESAEDAQNRVAAFALHQLFPDIPIHLIVTDPYASLILQWKEGESSIKAENTLEDRKAGFVDWLLNADGSTETVATRLSETLDNSHVEDINNSRDAAIDPVAGRENHTRDVESSHLRQEQEKKKKMQKYKEMLKTRSALPIAGLKDDILQMLKENNFLVVCGETGSGKTTQVPQFILDDMIESGRGGQCNIICTQPRRIAAISVAERVSDERCEASPGLNGSLVGYQVRLDSARSEKTKLLFCTTGILLRRLAGDRNLTGITHVIVDEVHERSILGDFLLIVLKNLIEKQSDHGNPKLKVILMSATVDSTLFSSYFGHCPVLTAQGRTHPVTTYFLEDIYESINYHLASDSPAALRYETSTINKLQSGPVNNRRGKKNLVLSGWGDDSLLSEDYVNPHYVSSTYCSYGEQTQQNLKRLNEDVIDYDLLEDLICHVDETFDEGAILVFLPGVSEIYMLFDRLAASYRFGGESADWILPLHSSIASIDQKKVFLRPPENIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLTSMVEDWISQANARQRRGRAGRVKPGTCFCLYTCHRYEKVMRPYQVPEMLRMPLVELCLQIKILSLGHIKPFLSKALEPPKDEAMTSAISLLYEVGALEGDEELTPLGHHLAKLPVDLLIGKMMLYGAIFGCLSPILSISAFLSHKSPFVYPKDERQNVERAKLALLTDKLDGSSDSNESDRQSDHIVMMVAYKKWEKILNEKGVKAAQQFCSSYFLSSSVMFMIRDMRIQFGTLLADIGFINVPKKYQNLGKNKENLGSWLSDKSQPFNMYSHHSSIVKAILCAGLYPNVAATEQGITTTAINSLKQSSSPAIKGHPVWYDGRREVHIHPSSINSNLRAFQHPFLVFLEKVETNKVFLRDTTIISPFSILLFGGVINIQHQTGLVTIDGWLKLAAPAQSAVLFKELRSALHSLLKELIQKPKNASIVENEVIRSMIQLLLDEDKPLS >Manes.10G119700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28445293:28484981:-1 gene:Manes.10G119700.v8.1 transcript:Manes.10G119700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQKHNNKANSSSSKSKSQSSSSGPRLQISAENENRLRRLLLNSDRSTHPASAASVQDNLSKTQKAKRLKNVYEKLSCEGFSNDQIELALTSLKDNATFESALDWLCLNLPGNELPLKFSSGISLHTNQGSVSVVSTARGDWTPPVNPIKTEVDVQPVSVRIKGRWDDDDTLDSRQPSQADWIRQYMEQQEEDDSQMWEDYAVDGSFSNKDPALRSYDIIAKEYYAARLEATKAKEEGDKKSQEQAGHIIRKLKQELSSLGLSDEILAQEFSHNRGFGSGSEGTLTSTIPHEQLQAKTSSSTIGQSNFVAFPKESPVNVLDMENSSSKEFPEKPVLSCESAQGTIVIVDDARDVELGGLFFEDAASNEALPPEVLELQKKEKMRELSSGKNLEKLDGIWKKGDPQKIPKAVLHQLCQKSGWEAPKFNKILERKKGFSYSVSILRKASGRGKSRKSGGLITLQLPEQDEAYESAEDAQNRVAAFALHQLFPDIPIHLIVTDPYASLILQWKEGESSIKAENTLEDRKAGFVDWLLNADGSTETVATRLSETLDNSHVEDINNSRDAAIDPVAGRENHTRDVESSHLRQEQEKKKKMQKYKEMLKTRSALPIAGLKDDILQMLKENNFLVVCGETGSGKTTQVPQFILDDMIESGRGGQCNIICTQPRRIAAISVAERVSDERCEASPGLNGSLVGYQVRLDSARSEKTKLLFCTTGILLRRLAGDRNLTGITHVIVDEVHERSILGDFLLIVLKNLIEKQSDHGNPKLKVILMSATVDSTLFSSYFGHCPVLTAQGRTHPVTTYFLEDIYESINYHLASDSPAALRYETSTINKLQSGPVNNRRGKKNLVLSGWGDDSLLSEDYVNPHYVSSTYCSYGEQTQQNLKRLNEDVIDYDLLEDLICHVDETFDEGAILVFLPGVSEIYMLFDRLAASYRFGGESADWILPLHSSIASIDQKKVFLRPPENIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLTSMVEDWISQANARQRRGRAGRVKPGTCFCLYTCHRYEKVMRPYQVPEMLRMPLVELCLQIKILSLGHIKPFLSKALEPPKDEAMTSAISLLYEVGALEGDEELTPLGHHLAKLPVDLLIGKMMLYGAIFGCLSPILSISAFLSHKSPFVYPKDERQNVERAKLALLTDKLDGSSDSNESDRQSDHIVMMVAYKKWEKILNEKGVKAAQQFCSSYFLSSSVMFMIRDMRIQFGTLLADIGFINVPKKYQNLGKNKENLGSWLSDKSQPFNMYSHHSSIVKAILCAGLYPNVAATEQGITTTAINSLKQSSSPAIKGHPVWYDGRREVHIHPSSINSNLRAFQHPFLVFLEKVETNKVFLRDTTIISPFSILLFGGVINIQHQTGLVTIDGWLKLAAPAQSAVLFKELRSALHSLLKELIQKPKNASIVENEVIRSMIQLLLDEDKPLS >Manes.10G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28445293:28484981:-1 gene:Manes.10G119700.v8.1 transcript:Manes.10G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQKHNNKANSSSSKSKSQSSSSGPRLQISAENENRLRRLLLNSDRSTHPASAASVQDNLSKTQKAKRLKNVYEKLSCEGFSNDQIELALTSLKDNATFESALDWLCLNLPGNELPLKFSSGISLHTNQGSVSVVSTARGDWTPPVNPIKTEVDVQPVSVRIKGRWDDDDTLDSRQPSQADWIRQYMEQQEEDDSQMWEDYAVDGSFSNKDPALRSYDIIAKEYYAARLEATKAKEEGDKKSQEQAGHIIRKLKQELSSLGLSDEILAQEFSHNRGFGSGSEGTLTSTIPHEQLQAKTSSSTIGQSNFVAFPKESPVNVLDMENSSSKEFPEKPVLSCESAQGTIVIVDDARDVELGGLFFEDAASNEALPPEVLELQKKEKMRELSSGKNLEKLDGIWKKGDPQKIPKAVLHQLCQKSGWEAPKFNKILERKKGFSYSVSILRKASGRGKSRKSGGLITLQLPEQDEAYESAEDAQNRVAAFALHQLFPDIPIHLIVTDPYASLILQWKEGESSIKAENTLEDRKAGFVDWLLNADGSTETVATRLSETLDNSHVEDINNSRDAAIDPVAGRENHTRDVESSHLRQEQEKKKKMQKYKEMLKTRSALPIAGLKDDILQMLKENNFLVVCGETGSGKTTQVPQFILDDMIESGRGGQCNIICTQPRRIAAISVAERVSDERCEASPGLNGSLVGYQVRLDSARSEKTKLLFCTTGILLRRLAGDRNLTGITHVIVDEVHERSILGDFLLIVLKNLIEKQSDHGNPKLKVILMSATVDSTLFSSYFGHCPVLTAQGRTHPVTTYFLEDIYESINYHLASDSPAALRYETSTINKSGPVNNRRGKKNLVLSGWGDDSLLSEDYVNPHYVSSTYCSYGEQTQQNLKRLNEDVIDYDLLEDLICHVDETFDEGAILVFLPGVSEIYMLFDRLAASYRFGGESADWILPLHSSIASIDQKKVFLRPPENIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLTSMVEDWISQANARQRRGRAGRVKPGTCFCLYTCHRYEKVMRPYQVPEMLRMPLVELCLQIKILSLGHIKPFLSKALEPPKDEAMTSAISLLYEVGALEGDEELTPLGHHLAKLPVDLLIGKMMLYGAIFGCLSPILSISAFLSHKSPFVYPKDERQNVERAKLALLTDKLDGSSDSNESDRQSDHIVMMVAYKKWEKILNEKGVKAAQQFCSSYFLSSSVMFMIRDMRIQFGTLLADIGFINVPKKYQNLGKNKENLGSWLSDKSQPFNMYSHHSSIVKAILCAGLYPNVAATEQGITTTAINSLKQSSSPAIKGHPVWYDGRREVHIHPSSINSNLRAFQHPFLVFLEKVETNKVFLRDTTIISPFSILLFGGVINIQHQTGLVTIDGWLKLAAPAQSAVLFKELRSALHSLLKELIQKPKNASIVENEVIRSMIQLLLDEDKPLS >Manes.10G119700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28445293:28484981:-1 gene:Manes.10G119700.v8.1 transcript:Manes.10G119700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQKHNNKANSSSSKSKSQSSSSGPRLQISAENENRLRRLLLNSDRSTHPASAASVQDNLSKTQKAKRLKNVYEKLSCEGFSNDQIELALTSLKDNATFESALDWLCLNLPGNELPLKFSSGISLHTNQGSVSVVSTARGDWTPPVNPIKTEVDVQPVSVRIKGRWDDDDTLDSRQPSQADWIRQYMEQQEEDDSQMWEDYAVDGSFSNKDPALRSYDIIAKEYYAARLEATKAKEEGDKKSQEQAGHIIRKLKQELSSLGLSDEILAQEFSHNRGFGSGSEGTLTSTIPHEQLQAKTSSSTIDDARDVELGGLFFEDAASNEALPPEVLELQKKEKMRELSSGKNLEKLDGIWKKGDPQKIPKAVLHQLCQKSGWEAPKFNKILERKKGFSYSVSILRKASGRGKSRKSGGLITLQLPEQDEAYESAEDAQNRVAAFALHQLFPDIPIHLIVTDPYASLILQWKEGESSIKAENTLEDRKAGFVDWLLNADGSTETVATRLSETLDNSHVEDINNSRDAAIDPVAGRENHTRDVESSHLRQEQEKKKKMQKYKEMLKTRSALPIAGLKDDILQMLKENNFLVVCGETGSGKTTQVPQFILDDMIESGRGGQCNIICTQPRRIAAISVAERVSDERCEASPGLNGSLVGYQVRLDSARSEKTKLLFCTTGILLRRLAGDRNLTGITHVIVDEVHERSILGDFLLIVLKNLIEKQSDHGNPKLKVILMSATVDSTLFSSYFGHCPVLTAQGRTHPVTTYFLEDIYESINYHLASDSPAALRYETSTINKSGPVNNRRGKKNLVLSGWGDDSLLSEDYVNPHYVSSTYCSYGEQTQQNLKRLNEDVIDYDLLEDLICHVDETFDEGAILVFLPGVSEIYMLFDRLAASYRFGGESADWILPLHSSIASIDQKKVFLRPPENIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLTSMVEDWISQANARQRRGRAGRVKPGTCFCLYTCHRYEKVMRPYQVPEMLRMPLVELCLQIKILSLGHIKPFLSKALEPPKDEAMTSAISLLYEVGALEGDEELTPLGHHLAKLPVDLLIGKMMLYGAIFGCLSPILSISAFLSHKSPFVYPKDERQNVERAKLALLTDKLDGSSDSNESDRQSDHIVMMVAYKKWEKILNEKGVKAAQQFCSSYFLSSSVMFMIRDMRIQFGTLLADIGFINVPKKYQNLGKNKENLGSWLSDKSQPFNMYSHHSSIVKAILCAGLYPNVAATEQGITTTAINSLKQSSSPAIKGHPVWYDGRREVHIHPSSINSNLRAFQHPFLVFLEKVETNKVFLRDTTIISPFSILLFGGVINIQHQTGLVTIDGWLKLAAPAQSAVLFKELRSALHSLLKELIQKPKNASIVENEVIRSMIQLLLDEDKPLS >Manes.01G233400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39679861:39686812:-1 gene:Manes.01G233400.v8.1 transcript:Manes.01G233400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFGGEAQAYHFCRMGTTDMRAVGKRNLEWDLNDWKWDGDLFIASPLKPVPSGGMGRQLFPVTTGIPVNGNPSNSSSSCSDEVNPGIENGKTELEKKRRAIVIEDDNLHGEEVGNLSLKLGGHGFPIIEREMGSWEGNSGKKTKVVGGRMSSAVCQVEYCGADLSSAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPEAVGNGTSLNDERTSSYLLISLLRILSNLHSNRSDQVTDQDLLSHLLRSLASHSIQHGGRDISGLLQEPRDLLNDGTFGNSEQVVCAHGANGANMQTSCLVKPSIPNNYPAYSEVRDSSLGQVKMNNFDLNDMYVDSDDGAEDIERSPVPTNMGTSSLDCPSWVQQDSHQSSPPQTSGNSDSASAQSPSSSNGDAQSRTDRIIFKLFGKEPNDCPLVLRAQILDWLSHSPTDIESYIRPGCVILTVYLRQAEATWEELCCNLSSSLSRLLDVSDDAFWRTGWVYIRVQHQIAFVCNGQVVVDTSLPLKSNNYSKILSVTPIAISASERAQFVIKGINLYRPTTSRLLCAVEGTYMFQENTQELMDSVDNLKGYEELQCVNFCCSIPTVSGRGFIEIEDHGFSNSYFPFIVAEEDVCSEIRMLESVLELGETDADAAGTGKMEAKNQAIDFIHEIGWLFHRSQLTSRLGHLDPCMDLFPLKRFKWLMEFSMDHEWCAVVKKLLSILLKGVVGTGEHSSLNLALSELGLLHRAVRKNSRPLVELLLRYVPGNAGPENKLLIEGSHDYNLFRPDVTGPAGLTPLHIAAGKDGSEDVLDALTEDPGMVGIKAWKSARDSTGFTPEDYARLRGHYSYIHLVQKKINKRPAVGHVVLDIPGTLSDCSTNHKQNEGVTTSFEIGQTAVRPIQLPCKVCHQKLDYGSAGRSLLYRPAMLSMVAIAAVCVCVALLFKSCPEVVYVFRPFRWELLDYGTS >Manes.11G086700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12611061:12615914:-1 gene:Manes.11G086700.v8.1 transcript:Manes.11G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKKGPKFAAMKKIITSKAIKKYKEEVLNPAKQDLSKDKLPRNVPQVSSALYFKYNTALGPPYRVLVDTNFINFSIQNKLDLEKAMLDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCIHKGTYADDCIVDRVTQHKCYIVATCDRDLKRRIRKIPGVPIMYITQHKYSIERMPEATIGGAPRF >Manes.14G022400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2185234:2188023:-1 gene:Manes.14G022400.v8.1 transcript:Manes.14G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSKSRRLNILEILWVFVLLLFAETKSVQILKKETTIKYAAISCRKHTAVLTEFGGVGDGKTLNTKAFKSAIANLSNYASDGGAQLLVPPGKWLTGSFNLTSHFTLFLQKGAVLLASQNEADFPIIDPLPSYGREKNFTAGRFASLILGMNLTDVVITGENGTIDGQGAPWWDKYKKGLFKASRPFLIELMYTNRLQISNVTLINSPSWHLHPIYCRNVVIQWLTILAPVQVPNTDGINPDSCANVRIEDIFIVSGDDCIAVKSGWDEYGIKVGMPTKHLIVRRLTCISPHSATIAIGSEMSGGVQDVRAEDIAAFNTESAVRIKTAPGRGGYVKDIFVRRMTLKTMKYVFWVSGGYKSHPDDGYDPNAFPKINNINYIDVVAENVNITGSLDGLPNDPFTGICIFNATITLSGTAKKVQWNCTHVQGVSNNVTPKPCDLLPEKATRCSFPKDKLRIERVHMKTCSVKKICL >Manes.10G104900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25255431:25256763:-1 gene:Manes.10G104900.v8.1 transcript:Manes.10G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTMAISSADSFDLTDFVINKGNGVKGLSDLGIKSLPSQYIQPQEALINIIPQKSIPVIDMSNWETDPKVAESVCEAAQQFGFFQLVNHGVPLEVLDGVKDATHRFFGLPAEEKRKYSKELSSTNSVRFGTSFSPDAEKALEWKDYLSLFYVSEEEAFALWPNACRDEVLEYMKRSQILCRKLMNALMENLNVKEIDETKESLLMGSKRINLNYYPRCPNPNLTVGVGRHSDVSSLTFLLQDEIGGLYVRVNEGKGEEDGWVHVPPIEGSLVINVGDALQILSNGRYRSVEHCVIASGSKNRISIPIFVNPRPSDVIGPLPELIAAGEKPKYKDILYSDYVKHFFRKAHDGKKTVAFAEI >Manes.06G010300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1562683:1567332:1 gene:Manes.06G010300.v8.1 transcript:Manes.06G010300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDAFASKENPKKAKDKKKKNKKKKGGSSKKKMTAEQTLAFKAVTEWVYLDQQSSPPSVSSASACVVDDFGVQKTMGRGGEKVIFDLHSHSKCSDGFLFPSKLVERAHGNGVKVLALTDHDTMAGIPEAMEAAQRFGIKIIPGVEISCIYSPSRNTEAEEPVHILAYYSSCGPANSEDLEKLLANIRDGRYLRAKDMILKLNKLNLPLKWEHVARIAGKGVAPGRVHVAQAMVEAGHVENLKQAFAKYLYDGGPAYSRGSEPLAEEAVQLICQTGGLAVLAHPWALKNPVAVIRRLKDAGLHGLEVYRSDGKLAVYSDLADVYDLLKLGGSDFHGRVRNSESELGSVNLPVLALHEFLKVARPIWCSAIRNILEKYAEEPSDANLERITRYGRTKILKGISPSSYGTSLIDRCLSLWLTNEERQNSEFDAIKLKLSQISINQGELQSS >Manes.06G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1562683:1567332:1 gene:Manes.06G010300.v8.1 transcript:Manes.06G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDAFASKENPKKAKDKKKKNKKKKGGSSKKKMTAEQTLAFKAVTEWVYLDQQSSPPSVSSASACVVDDFGVQKTMGRGGEKVIFDLHSHSKCSDGFLFPSKLVERAHGNGVKVLALTDHDTMAGIPEAMEAAQRFGIKIIPGVEISCIYSPRNTEAEEPVHILAYYSSCGPANSEDLEKLLANIRDGRYLRAKDMILKLNKLNLPLKWEHVARIAGKGVAPGRVHVAQAMVEAGHVENLKQAFAKYLYDGGPAYSRGSEPLAEEAVQLICQTGGLAVLAHPWALKNPVAVIRRLKDAGLHGLEVYRSDGKLAVYSDLADVYDLLKLGGSDFHGRVRNSESELGSVNLPVLALHEFLKVARPIWCSAIRNILEKYAEEPSDANLERITRYGRTKILKGISPSSYGTSLIDRCLSLWLTNEERQNSEFDAIKLKLSQISINQGELQSS >Manes.15G067900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5178694:5179122:1 gene:Manes.15G067900.v8.1 transcript:Manes.15G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFNKYQILMALSLLVAFLFVTPLLSSSLRPKYLYFIINLLIIALGTEAGLLSVAFSKPLEDKKHVVPVSTKPQVAAPKASSSPEAKLVPPASREKKARVVEESASEKILRSVKVEKVKKWPSRPSLFFIGGGETEVEDVF >Manes.14G032800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2948728:2950125:-1 gene:Manes.14G032800.v8.1 transcript:Manes.14G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETWLMKVKTAISHGFDSVITSAPIPKAYKKSTVGVLAFEIAGLMSKLFHLWQSLSDDNIIRLRNESISLEGVRKIVSNDESFLLGLACAEIAENLRIVTKAVSRLSKRCEDSNLFRFERLFDEFANSGRDPNPWVLSCKDMEAKNKKMDRYVTITATLYKEMEELSILENGLRKALQCSEHEQTTKEQKIMDLQQKILWQRQQVKYLKERSLWNRSFDGVVSMLVRSIFTVLARIKVVFSIGHGYPTSLPRSLSASATVHPTENPSTFSFVSGPLKSSKPEANKELANGFFESNSKVLKPPKTTLGAAALALHYANLIIVMEKMIKSPQLVGMDARADLYSMLPSSIRSSLRARLKGVGFSASDPVLAGEWKDALGRILGWLSPLAHNMIKWQSERSFEQQNLLPKTNVLLLQTLFFANKEKTEAAITELLVGLNYIWRFEREMTAKALFECANFNGLQSSS >Manes.04G093200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29865459:29867083:1 gene:Manes.04G093200.v8.1 transcript:Manes.04G093200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKRPLPSSDEAKEDQISYTQKPFFQNQGQYVLAQHVMANEHDELMQANLESARIKHFTSQNADQGEIMRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAATAYDEAALKFKGTKAKLNFPERRLQGGSDNNFCTSFSASANYQNPPLLVSNNNSTVDSSMNDPPPPPLMTHEAFPDLFHYAHLLSCNEDGYLEYAAPSGLYHNYNQEPFLCPSLSSASLAVPHQQQTEDEDFSSFSPQMAATDQHFLDQKEEFDNATPRE >Manes.02G188701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15110421:15111497:-1 gene:Manes.02G188701.v8.1 transcript:Manes.02G188701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLILVVLVLSSCSNVGRIAIYWGQNGNEGIPAETCATRNYDFVNIAFLWTFGNGRTSMINLAGHCDPYSNGCTGIKVMLSIGGGAGSYSLASSDDARQVADHLWDNFLVGQSESSSRVSDLYWDDLARYLSGYSKKGKKVYLTAAPQCPFLDASVGNALKTSLFFFTTNFSSLEDARKQWNSDIPATEIFLGLPASPEAAGNGFIPVFDLTSMVLPAIKGSFKYGGVMLLQIILFFIIMRLIIFVNIFYFLK >Manes.14G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5299984:5304835:1 gene:Manes.14G063800.v8.1 transcript:Manes.14G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTHQMQSSCTTFLVRPLSWSKGFKLKQHVATLYMCGRTDRRSPIKRSHRINVGACFHERRMKFLKISAFKGIAQSEESGNRANGSKVAKSSVKVSYVPKERGEIIKESSKVHSVPVSYTSDGNEGIAGSPAIHKLFKKWLNMLRTNSPIQVADENLGEGPSSSEELQQSQSTAQTKEGGEILKGVWFHFLGLDATLKIPLLIFIPLYLAVNVIYGVDVSKELTPLWISGPLIVAFHIKMLRVLWALYVFSFRQTVKLIKNWPTYYLVASSFFSQGKLKQDAQAHIFQPVLNIKNLDYKELSRKKMKEFEEWFMDKCLDFVEFIWPFYFRTIRFLKRANFI >Manes.14G063800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5299984:5304835:1 gene:Manes.14G063800.v8.1 transcript:Manes.14G063800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRTDRRSPIKRSHRINVGACFHERRMKFLKISAFKGIAQSEESGNRANGSKVAKSSVKVSYVPKERGEIIKESSKVHSVPVSYTSDGNEGIAGSPAIHKLFKKWLNMLRTNSPIQVADENLGEGPSSSEELQQSQSTAQTKEGGEILKGVWFHFLGLDATLKIPLLIFIPLYLAVNVIYGVDVSKELTPLWISGPLIVAFHIKMLRVLWALYVFSFRQTVKLIKNWPTYYLVASSFFSQGKLKQDAQAHIFQPVLNIKNLDYKELSRKKMKEFEEWFMDKCLDFVEFIWPFYFRTIRFLKRANFI >Manes.03G171200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29629391:29635525:-1 gene:Manes.03G171200.v8.1 transcript:Manes.03G171200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLHLFTQTNRSPNLTFTKHPFPKSNLPASNCWFPVTSAPKSISVRTSAASDPNSAPSSQVLVSSNGTGGGVVATEYSSLSVADVCSIEVDAVTEAELKENGFRSTRRTKIVCTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHKSVIERVRKLNEEKGFAVAIMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRAFDSPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVINHLKSYIAARTRDTDIALIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQKIVQLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPEKALAVLRSVSVRIEKWWREEKRHEAMELPAVGSTFSDFISEEICNSAAKMANNLAVDALFVYTKNGHMASLLSRCRPDCPIFAFTTTASVRRRLNLQWGLIPFRLSFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >Manes.01G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30103497:30111169:1 gene:Manes.01G100400.v8.1 transcript:Manes.01G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQVSSATKPAPPPPARPTISLPPRPSMDTLFTGGLSPGPMTLVSSFFSDNYPDSDCRSFSQLLAGAMASPIARPAFFTDISVPNNNNTSSVKQDGAENSSNLGFKQSRPVNLVVAPSPFFTVPPGLSPSGLLNSPGFFSPPQSPFGMSHQQALAQVTAQAAVAAQSHMHLQAQYQPAPVAASTEILMPHPSFTTGEASQQQQMLPSTSEPPSSMAESSGLSHSDRNQQPPIPVDKPSDDGYNWRKYGQKPIKGCECPRSYYKCTHLNCLVKKKVGRSSDGQITEIIYKGLHNHEQPQPNKRAKDNSDINGGIHPQAKPELSSQDLAGNSNKLKETIPIHSVPGREQESTQVDPIELSGSSDSEEAADGARRAEEGDDDEPNPKRRHTDVEKSEAALPHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERAAADPKAVVTTYEGKHNHDIPAARNSSHNTANKNAPQLKPQKVVADKHPLLKGMDFGNSDQRPVLLRLKEEEIAV >Manes.01G100400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30103497:30111169:1 gene:Manes.01G100400.v8.1 transcript:Manes.01G100400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQVSSATKPAPPPPARPTISLPPRPSMDTLFTGGLSPGPMTLVSSFFSDNYPDSDCRSFSQLLAGAMASPIARPAFFTDISVPNNNNTSSVKQDGAENSSNLGFKQSRPVNLVVAPSPFFTVPPGLSPSGLLNSPGFFSPPQSPFGMSHQQALAQVTAQAAVAAQSHMHLQAQYQPAPVAASTEILMPHPSFTTGEASQQQQMLPSTSEPPSSMAESSGLSHSDRNQQPPIPVDKPSDDGYNWRKYGQKPIKGCECPRSYYKCTHLNCLVKKKVGRSSDGQITEIIYKGLHNHEQPQPNKRAKDNSDINGVPGREQESTQVDPIELSGSSDSEEAADGARRAEEGDDDEPNPKRRHTDVEKSEAALPHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERAAADPKAVVTTYEGKHNHDIPAARNSSHNTANKNAPQLKPQKVVADKHPLLKGMDFGNSDQRPVLLRLKEEEIAV >Manes.18G034822.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2951813:2953146:-1 gene:Manes.18G034822.v8.1 transcript:Manes.18G034822.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNMCSTSSESSSSESSFNDNSTPRKGNKTERIKGPWSAEEDRILTRLVERHGPRNWSLISRYINGRSGKSCRLRWCNQLSPNVEHRPFSPAEDETILAAQARYGNRWATIARLLPGRTDNAVKNHWNSTLKRRTREQQHQMTMESTIDHNSINEGGGVAAAATSGSGRCMAVGEEEEEALTALTLGQPGIGGSGGICSGSSEMETERGVDNFPSGFWDVMRNVIAREVRDYMSSTVNETTWGFH >Manes.03G115600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142430:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142451:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142305:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142431:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142443:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142276:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142431:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142443:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142430:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142305:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142274:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142451:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142451:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142451:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142430:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142431:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142426:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142431:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.03G115600.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24142451:24153635:1 gene:Manes.03G115600.v8.1 transcript:Manes.03G115600.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGQEGLITKRSLRKKAGLRNYDENLMDELIENHLGGSLKKRNRTREDLEKETETEAMIAISLGFPIDALLEEEIQAGVVRQLGGKEQNDYIVVRNHILARWRSNVKAWLSKGQIKETVSNEYENLISSAYDFLLYNGYINFGVFSSLTPPMPELINEGSVIIVGAGLAGLSAARQLMSFGFKVVVLEGRNRPGGRVYTQKMGRNDQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYRPDGAPVDKEVDYKIEFIFNKLLDKVMELRKIMGGFANDVSLGSVLERLRKLYAVARSTEERQLLDWHLANLEYANAGCLANLSATYWDQDDPYEMGGDHCFLAGGNWRLIKELCQGIPILYGKTVNTIRYGSEGVMVVAGEQVFQADMVLCTVPLGVLKKRTINFEPELPGRKLAAIDRLGFGLLNKVAMVFPHVFWGEELDTFGCLNEHSSKRGEFFLFYGNHTVSGGPVLIALVAGEAAQMFEYTDPSIILHRVLSVLRGIYNPKGIDVPDPIQTICTRWGSDPFSYGSYSHVRVQSSGSDYDLLAESVGGQLFFAGEATTRQYPATMHGAFLSGLREASRILRVNRSWQNNSRKAFPRSGGVSNDTLVDLFKRPDLAFGKFSFISNPLIQDPNSMGIMRIIFDNCGEDLKKELEGSLQNPLHLPLQLYVVLSRQQAYELQLMTGGNEDKLSYVVKNLGLRLMGLNALLNHCGSLVSSITNARRGRGRKRLSAGQYNCV >Manes.13G059100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6802449:6805675:1 gene:Manes.13G059100.v8.1 transcript:Manes.13G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFILLSMASGFWFSVLVGLVGAFFGLILNHFLPMFLKGGHVPKGSFGWPILGETLGFLKPHPSNSLGAFLQDHCSRYGKVFKSHLFFSPTVVSCDQELNYFILQNEGKLFQCSYPKPIHGILGNVSLLVAVGDTHKRLRNVALSLVTITKSKPEFLNDIERTAIQILNSWKDKQQVIFCEEARKFTFNVIVKQVLGLTPEEPETTKILEDFLTFMRGLISLPVYIPGTPYARAVQARIRISSTVKAIIEERRRNGCSSRKRGSDFLEILLGVDTLSEDEKVSFILDSLLGGYETTSLLMAMAVHFLSQSPTAFEQLKLEHQAIRSLKEKDDEYLNWEDYKKMEFTQNVINEALRYGNIVKFVHRKALKDVKFRDYIIPCGWKVLPVFTAVHLDSSLHANAFQFYPWRWETQDQTCKKFTPFGGGSRCCPGSELAKVEVAFFLHHLVQNFRWKAEDVEQPMAYPYVEFQRGLSLHLDHI >Manes.04G055500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:11539232:11544535:1 gene:Manes.04G055500.v8.1 transcript:Manes.04G055500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFECQGSTEGLKNYNFEENSNPKPKSSGISNSTLDCYSKKGISIADSSKQLPCNGVLSNCCRSITDLPPALICEVLNYLDPKELGIVSCVSTILNRLASENQVWKEVYCQRWGLPLVPAPLGVGVSDEKSWKELFVEREFRSRTFLGRYSIDVLYGHTEAVRTVFLLASAKLIFTSGYDSIVRMWDMENGLSIASSRPLGCTIRAVAADTKLLVAGGTDGFIQGWRAVEAVPYLFDLKGSEEPNSEFRLWEHGGPITSLALDLMRIYSGSWDMTVRTWDRSSLKCLKVLRHSDWVWSLVPHDTTVASTSGSDVYVWDTCSGTLLSVINHAHVGNTYSLARSHTGDFLFTGGEDGAIHMFEIIGHSNMANVFKVATWVPHSGPVYSLAFEFPWLISASSDGKLSLIDVRKLLRTSRRSLAKNVSRTKNVDCSIEPPQRMLHGFGPNLFSVDIGADRIVCGGEEGVVRIWNFSQALETERRARALRGIRLENRLRRRKLQIEMSKGARTDQCSVAAKNSMRGDKSNVWNGKRGMSSKVKA >Manes.04G055500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:11539282:11542795:1 gene:Manes.04G055500.v8.1 transcript:Manes.04G055500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFECQGSTEGLKNYNFEENSNPKPKSSGISNSTLDCYSKKGISIADSSKQLPCNGVLSNCCRSITDLPPALICEVLNYLDPKELGIVSCVSTILNRLASENQVWKEVYCQRWGLPLVPAPLGVGVSDEKSWKELFVEREFRSRTFLGRYSIDVLYGHTEAVRTVFLLASAKLIFTSGYDSIVRMWDMENGLSIASSRPLGCTIRAVAADTKLLVAGGTDGFIQGWRAVEAVPYLFDLKGSEEPNSEFRLWEHGGPITSLALDLMRIYSGSWDMTVRTWDRSSLKCLKVLRHSDWVWSLVPHDTTVASTSGSDVYVWDTCSGTLLSVINHAHVGNTYSLARSHTGDFLFTGGEDGAIHMFEIIGHSNMANVFKVATWVPHSGPVYSLAFEFPWLISASSDGKLSLIDVRKLLRTSRRSLAKNVSRTKNVDCSIEPPQRMLHGFGPNLFSVDIGADRIVCGGEEGVVRIWNFSQALETERRARALRGIRLENRLRRRKLQIEMSKGARTDQCSVAAKNSMRGDKSNVWNGKRGMSSKVKA >Manes.04G055500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:11539232:11543359:1 gene:Manes.04G055500.v8.1 transcript:Manes.04G055500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFECQGSTEGLKNYNFEENSNPKPKSSGISNSTLDCYSKKGISIADSSKQLPCNGVLSNCCRSITDLPPALICEVLNYLDPKELGIVSCVSTILNRLASENQVWKEVYCQRWGLPLVPAPLGVGVSDEKSWKELFVEREFRSRTFLGRYSIDVLYGHTEAVRTVFLLASAKLIFTSGYDSIVRMWDMENGLSIASSRPLGCTIRAVAADTKLLVAGGTDGFIQGWRAVEAVPYLFDLKGSEEPNSEFRLWEHGGPITSLALDLMRIYSGSWDMTVRTWDRSSLKCLKVLRHSDWVWSLVPHDTTVASTSGSDVYVWDTCSGTLLSVINHAHVGNTYSLARSHTGDFLFTGGEDGAIHMFEIIGHSNMANVFKVATWVPHSGPVYSLAFEFPWLISASSDGKLSLIDVRKLLRTSRRSLAKNVSRTKNVDCSIEPPQRMLHGFGPNLFSVDIGADRIVCGGEEGVVRIWNFSQALETERRARALRGIRLENRLRRRKLQIEMSKGARTDQCSVAAKNSMRGDKSNVWNGKRGMSSKVKA >Manes.04G055500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:11539282:11542795:1 gene:Manes.04G055500.v8.1 transcript:Manes.04G055500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFECQGSTEGLKNYNFEENSNPKPKSSGISNSTLDCYSKKGISIADSSKQLPCNGVLSNCCRSITDLPPALICEVLNYLDPKELGIVSCVSTILNRLASENQVWKEVYCQRWGLPLVPAPLGVGVSDEKSWKELFVEREFRSRTFLGRYSIDVLYGHTEAVRTVFLLASAKLIFTSGYDSIVRMWDMENGLSIASSRPLGCTIRAVAADTKLLVAGGTDGFIQGWRAVEAVPYLFDLKGSEEPNSEFRLWEHGGPITSLALDLMRIYSGSWDMTVRTWDRSSLKCLKVLRHSDWVWSLVPHDTTVASTSGSDVYVWDTCSGTLLSVINHAHVGNTYSLARSHTGDFLFTGGEDGAIHMFEIIGHSNMANVFKVATWVPHSGPVYSLAFEFPWLISASSDGKLSLIDVRKLLRTSRRSLAKNVSRTKNVDCSIEPPQRMLHGFGPNLFSVDIGADRIVCGGEEGVVRIWNFSQALETERRARALRGIRLENRLRRRKLQIEMSKGARTDQCSVAAKNSMRGDKSNVWNGKRGMSSKVKA >Manes.15G179404.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16685336:16688154:1 gene:Manes.15G179404.v8.1 transcript:Manes.15G179404.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTPKLYITQEFAYSFFYVLYYLIIVQIVEVYLIDYERSVLSTVRDGANSGSPTSMSAILTFKSINNHIERIQVDYSSLKRVCKNVYLELCIWFDFILCILNIPLSLSSCSDTWCWKFESKGHYSVKSAYRFLVDDFQHREGSEIWKRVPVDPSCPLCHVAPENVLHILIQRPFARSCWLSSPLGWPAPSAYSLNEWFSLAFSSASVENASLMLMILWALWQNRNNVVWKGQGQTASGQWKAARVVSSVSTIVDPARPILSPPPHGWIKANIDASLSLQRGSVGFDCVIRKDDGSFVATRAGSFYSQMDAKCAEAIAFREALSWIKELLVMSVNCVLLDDLSSFGLLVQDSKCVFVHRSANDVAHVLATSAHSESGQGVWIDIPPPHIVSLFSLN >Manes.11G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2111223:2114215:1 gene:Manes.11G019200.v8.1 transcript:Manes.11G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAWIAYIAVVAVILLTHRVCRRKLNLAPGPKSWPIIGNLNLIGSLPHRSLHSLSQKYGPIMQLKFGSFPVVVGSSVEIAKTILKTNDLSFVGRPKTAAGKYTTYDYKDITWSPYGPYWRQARKICVMELFSAKRLESYEYIRAEELRSLLKNLYSSSGNPINVKYHLTDLSLNVISRMVLGKKYTVKNEGEDEIVTPEEFKEMLDELFLLNGVLDIGDSIPWLSFLDLQGNIKRMKALSKKFNRFLEHVLDEHEARRKGVDSYVAKDMVDVLLQLADDPNLEIKLDRNGVKGFTQDLIAGGTESSAVTVEWALSELLKKPEIFDKATEELDRVIGRERWVEEKDIVNLPFIDAIAKETMRLHPVAPMLVPRLCREDTKIAGYDILKGTRVLVNVWTIGRDPSIWDSPNEFCPERFLGKDIDVKGHDFELLPFGAGRRMCPGYPLGIKVIQASLANLLHGFKWKLPGDMKKEDLNMEEIFGLSTPKKFPLLVVAERRLPSHVYCL >Manes.11G009700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1025415:1030685:1 gene:Manes.11G009700.v8.1 transcript:Manes.11G009700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTHQYRHQGRSRNPSSSHSSSSSSSSSSSSSSSLTESSRFTPPDRNSTKFSRFNPNTRSNNVSNNTAGTRDGSEWRPRRGSRSNSTTHHGNLKAGAQADEVRVKDEDSSILIGTCPFMCPESEMAQREQLRDLAVFERLHGNPRKTSPSLAVKKFCRTISTKHLQTSDVRPLPVLEDTLSYLLKVADSADHPFEVVHDFIFDRTRSIRQDMSMQNIVNDKAIYMYEKMVTFHVVSHYKLRCCGSNANISSVHYLNMEQLIKALTSLFELYDANRNTTSIFENEAEFRSFYVLLHLHSRSQPTGESLSLWFSRVPHWIIKSERMCFARSILRTFRMGNYKLFFCTIAAKASYLQYCIIEPYINEESDLQRFCNACGLETCTDEMGNKLLPTKQTTFCIPKEGFQRNDFPGLEQFEK >Manes.11G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1025415:1030685:1 gene:Manes.11G009700.v8.1 transcript:Manes.11G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTHQYRHQGRSRNPSSSHSSSSSSSSSSSSSSSLTESSRFTPPDRNSTKFSRFNPNTRSNNVSNNTAGTRDGSEWRPRRGSRSNSTTHHGNLKAGAQADEVRVKDEDSSILIGTCPFMCPESEMAQREQLRDLAVFERLHGNPRKTSPSLAVKKFCRTISTKHLQTSDVRPLPVLEDTLSYLLKVADSADHPFEVVHDFIFDRTRSIRQDMSMQNIVNDKAIYMYEKMVTFHVVSHYKLRCCGSNANISSVHYLNMEQLIKALTSLFELYDANRNTTSIFENEAEFRSFYVLLHLHSRSQPTGESLSLWFSRVPHWIIKSERMCFARSILRTFRMGNYKLFFCTIAAKASYLQYCIIEPYINEVRALSLSCLNNVGYKLHPYPLVHLSKLLMMKESDLQRFCNACGLETCTDEMGNKLLPTKQTTFCIPKEGFQRNDFPGLEQFEK >Manes.07G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2839030:2843660:-1 gene:Manes.07G026500.v8.1 transcript:Manes.07G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQERWSRITGCICSKGARANENVGKNAASKQATKTSKLSVSSSKRDEAALEVDGATNQHRNNNESSTFVPSNEDNKKSAKTSALKACRILSLTSGQKGAHVIAGWPSWLTAVAGEAINGWVPRRADSFEKLEKVGQGTYSNVYKALDLETNKTVALKKVRFSNRDPKSVRFMAREITILRRLDHPNIMKLEGIITSKMSDSLYLIFEYMEHDLSGLLATPGVQFTETQIKCYMQQLLLGLEHCHSHGVLHRDIKASNLLIDQNGNLKVADFGLATFFCLPQKQPLTSRVVTLWYRAPELLLGATSYGVAVDLWSTGCVLAELFAGKPIMPGRTEVEQLHKIFKLCGSPSDSYWERSKLKHSAISKPQQPYKCCISDTFKDFPSTALALLNVLLAIEPEDRGTASSAIGSEFFTTEPLPCDPSSLPKYPPAKDFDTKVRDNDSRRQRGTGGKRRALEAMKKNFRGARAVPAPDANAELPASTQIRKGQPNTESISKQHNPAGYGGSDLTC >Manes.04G082400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28366627:28368360:1 gene:Manes.04G082400.v8.1 transcript:Manes.04G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTANLSLPFNSKSLKPPLSLLPKTLHKPSLCPLMPSSVSHTVAFSSKNPISHVISANDNYPDDYSFCFHSDDDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVAVNNKVLQSVTGVGLVSDVFSESKLDQLPGDLAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYTKLRATLEDNGSIFNTSSDTEVVLHLIAISKARPFFLRIVDACEKLEGAYSMVFVTEDKLVAVRDPFGFRPLVMGRRSNGAIVFASETCALDLIEATYEREVYPGEVLVVDKEGIQSLCLMPHPEPKQCIFEHIYFALPNSEVFGRSVYESRRLFGEILATEAPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLIKEAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMTVDEIKEFIGCDSLAFLPLDSLKNLLGDDSPNYCYACFSGRYPVQPKELKVKRVGDFLDDGLNGSIESIDGGWVQGPRRQDIDKVSDARKLSSLS >Manes.18G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6433263:6435162:-1 gene:Manes.18G071600.v8.1 transcript:Manes.18G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNRAQEDQFPTMARQGSLYNLTFDQLGNVGKPLHSMKLDELFKNVISVEEGHLQQNANASSSSSSSSSSSSASASASAPLFLGNFDLNGRLTEKTADEEVWKNIVHQEHVNASENQSRIQQQLGETTLEDFLVRTGMINIGNQNAMLNPQPIMGIDPMGVVSQQADWLQLQMAAVQRQQQQQQITVLDSNFHVSEPSVFENPVVEVGYSKNQLGMAVSATSSESQAAAEKKRRYSDEMMEKTIERRQKRMIKNRESAARSRARKQAYTNKLEHEVFRLRKTNTWLKKMKEVEMLLSNNQTPLPRYQLRRTSSATF >Manes.02G203150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17372435:17396748:1 gene:Manes.02G203150.v8.1 transcript:Manes.02G203150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLVDKVFSWSLSDVLNEELYKEKVKKIPESFQAVDQYFESFVYPLLEETRAELCSCLENLSRAPYAHVTSFKIIKQNGKLFYDIEVDYWRNKYGVDGERPYRTFAGHFFVFTDAKPDAVSDLRQLENRWALLSNITDTKNEHGLSVTCLGVQTNKEIQDKLSSGRPLFIVFLMNMRTNERIWNSLQMGGNMNVIKQILCSDTQQDEKNCALCRARGDFLVFEESSRILPSELNKSQRKVVTDILCKIECNHRSFVELILGLPGTGKTRTLSILIYILLRMNCRTLICASTDVAVAELASRVLKLVKESSEASYLLGRVLYFGDEGLWRVNADIGEIYMDHQVESLNSSQLLTASLIFCTASSSFALHSVLENTLDLLMVDDAAHMRECELSIPLQLPGVRHIVLFGDPCQLTATVTSNVSARADFGRSLFERLNSIGHSKRILDMQYRMHPLISLLPNSEFYGNQILDAPNVKNKRYRKYFLLGPLFGPYSFINVSCGREETVSFTYSYKNMVEAAMVTKIIRKLFKAWGESKEKLSVGILAPYTAQVVAIKEKVGQKYDSFGRFSLKVSTIDGFQGGEEDVIILTTVRSNAEGSVGIISDWQITNVALTRARCCLWIVGDGRTLSSSNTVWKSIVQDAKLRNCFYNAEEDEDLADVVLEVKKETDQIDDFLNADSLLFKNARWKVLFSENFRKSFEKLKSSETKKLVMNLLLRLSCGWRPKWRNTNLVCDNFSQMLKQFKVKDLHIICSIDVVKDSCYIQVLKVWDMLPLEDIPLLAVRLSAVFQSYTDDFVKRCKQKCLEGDLEVPMSWDTFIARFKKDCVQGGNTSSTFDEIGCVESCKTSDSFLLMKFYSLSAGALSHLLSSCDGNEIDLPFELTDEEKDIIQLCGSSFILGRSGTGKTTVLIMKLFHKEQLYHLASEGFHKVESSSSISNENGGSEVENKGNILRQIFVTVNVKLCYAVRQYILRLKRSTCGWNSSAEVPQSDLDDVDEGSQFSDIPDSFSDIPLSSYPLVITYNKFLMMLNGTVGSSFFGRFPEFREHSQLKRRSSRSMTLKTFIRTKEVHYDKFCSAYWPCFSIQLTKKLDPSTIFAEIVSHIKGGSSVCVQDDKLSREEYISYSGRRLSHLSEQERSTIYDIFLQYEKKKKARGEFDISDLVIDLHNRLRDEKYEGEIMDFVYVDEVQDLTMRQISLFKYICRNFKEGFVFSGDTAQTIVRGVDFRFKDIKALFYREFMACERNGKGQISDIFNLSQNFRSHAGVLKLANSVLNLLYHFFPFSVDKLQPETSLVNGEQPVWVQMRNEDNTLCSFFKSNENFDRGIVGFGAEQVILVRDDSLKNEVLSHLGKQALVLTIMECKGLEFKDVLLYNFFSSSPLKDQWDVIYGYMIEQNLFHSPHPKSFPIFNEGKHITLCLELKQLYVAITRTRERLWIFENQLCSMFNYWEKLHLVQIREFEYNFLHEIQVQSSQREWKDRGMKFFYQQNYDKARFCFEKAAESYLEKWAMAAGHVSTADQMRDSNPKMADNHLTEAAHIYETIGKNESAAQCFFELHEYEKAGIIYLEKCGASKLEEAGDCFYLAGCHERAANIYARCSLFSECLSACADGILFKVGYDFIQLWKENDFLIGEGFLGSIEIQSFLERGALYFLKLQDSESMMKFVKEFQNEKLMRTFLFSKCLSACADGILFEVGYDFIQLWKENNFLIGQGFLGCKEIQSFLERGALYFLKLQDSESMMKFVKEFQNEKLMRTFLKNVDCLDELMLLEKESGNFFEAAKVANLKGDILLEADLLEMAGRFEAASKVILFYVTYNSIWKHRSKGWPLKQFENKQELLIKAKTYGKEVSQEFHNFVCIEADILSHGKGSLSMMKKNWMDSSRLGSFTGKILGARKILDAYLCASASQHEREIHLVKDLIEYSQKKISWEQTSVENMLYYWWFWKKEMENVLSSLQGSEVDSYSEFCFSYFGISKQLKNEKTVCLLLYPDAEWIKGIHLQNVKRNGNQIWIDADQFARAATRYWSSEMLTVGVKVLEILEAFYVFSLDNALCLNCQAMLLIQIFKVSNSLIKSKSLNCSDFSNILRRFLEIPIENFFGLVCPLDCRMPMTKDMIYLRETWSSRNLLKEVIERIIRSRAITNGQLGMLMTIILSGKFSIDLYVQIAASLCRSVSWQEFMEIFILTLSNDNPFEHPGESALVWKFYIALANTDYTDFSPSFYLYLVDRLVILVSYLKGYFFTTKSPLVEWLMCSGWNTLPNLDYLSDVQMQPLLEETVGFVADTVNKIICNEPQKWLKRFNLKMDFYPHLVLRLIVTLCLLCINFDGWYYGLLFTLLDKREVTSLLPWKFYKSLWRLRENDEEHIKIRVVSEALKCIGNPLVVVNLGIHCAEFSFPDAIFLYLTVEQGRQSILRRLFLKNDLVSSKRTRSSKRVREDSFGRAILSPSASSFISVSDPVNAQQSNRNFWVLLGTVEFDLLTDAPWFKETILEHILLVNAAKQSFCESNHCQSSGNAVGDVNEFLDELQQLYSALDSREWEVQENRMVEALLKKLLLKRERMESLLDELLMCDNNKRNESTSC >Manes.17G008200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2952224:2974331:1 gene:Manes.17G008200.v8.1 transcript:Manes.17G008200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEILAELDNVETQIQDVQGQIQVLLDQQEKLYERQSELKAILEACRAFGSPKATDDAPPTALEDWSGTFDWDSKADHYKFHVFGISKYRANQHEIINAVMSGRDVLVIMAAGGGKSLCYQLPAILCDGISLVVSPLISLIQDQVMGLKALDIPASMLTSTTGKEEEKFIYKALEKGEGVKILYVTPEKISKSKRFMSKLEKCHHGGHLSLIAIDEAHCCSQWGHDFRPDYKNLGILKIQFPKVPMLALTATATQKVQYDLMEMLHIPKCVKFVSTVNRPNLFYMVREKASVAKVVIDEIAEFIEECYPNNESGIVYCFSRKECEQVAAELRERGISADYYHADMDVKSREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGAPSECLLFFRPADVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFSESLQDCNGMCDNCAFSSDIIEVDVSEHAKMMVSFLQDMQENDQRLTLLQLVDKMKNKHKEIGAELKREELEQLVIQLILESVLKEEFQHTAYATNAYVKIGPLARQVLQGI >Manes.17G008200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2952224:2974331:1 gene:Manes.17G008200.v8.1 transcript:Manes.17G008200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEILAELDNVETQIQDVQGQIQVLLDQQEKLYERQSELKAILEACRAFGSPKATDDAPPTALEDWSGTFDWDSKADHYKFHVFGISKYRANQHEIINAVMSGRDVLVIMAAGGGKSLCYQLPAILCDGISLVVSPLISLIQDQVMGLKALDIPASMLTSTTGKEEEKFIYKALEKGEGVKILYVTPEKISKSKRFMSKLEKCHHGGHLSLIAIDEAHCCSQWGHDFRPDYKNLGILKIQFPKVPMLALTATATQKVQYDLMEMLHIPKCVKFVSTVNRPNLFYMVREKASVAKVVIDEIAEFIEECYPNNESGIVYCFSRKECEQVAAELRERGISADYYHADMDVKSREKVHMRWSKNKLQVIVGGIWYGNQQTRWFVIHHSLSKSMETYYQESGRAGRDGAPSECLLFFRPADVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFSESLQDCNGMCDNCAFSSDIIEVDVSEHAKMMVSFLQDMQENDQRLTLLQLVDKMKNKHKEIGAELKREELEQLVIQLILESVLKEEFQHTAYATNAYVKIGPLARQVLQGKKTVKLEISSKQNKAGNLKKVKRSLTLSGMEFKLDKLRKELSSCHGTTPHAVLSAQQISVISAQKPNSTEELEKIIGKLKTERYGSKILEEIKKFVDSDEPDYDKLNGESSKKRPTKKRKTKNNVVLIESSEDDK >Manes.17G008200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2952209:2964768:1 gene:Manes.17G008200.v8.1 transcript:Manes.17G008200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEILAELDNVETQIQDVQGQIQVLLDQQEKLYERQSELKAILEACRAFGSPKATDDAPPTALEDWSGTFDWDSKADHYKFHVFGISKYRANQHEIINAVMSGRDVLVIMAAGGGKSLCYQLPAILCDGISLVVSPLISLIQDQVMGLKALDIPASMLTSTTGKEEEKFIYKALEKGEGVKILYVTPEKISKSKRFMSKLEKCHHGGHLSLIAIDEAHCCSQWGHDFRPDYKNLGILKIQFPKVPMLALTATATQKVQYDLMEMLHIPKCVKFVSTVNRPNLFYMVREKASVAKVVIDEIAEFIEECYPNNESGIVYCFSRKECEQVAAELRERGISADYYHADMDVKSREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGAPSECLLFFRPADVPRQSSMVFYENSGLQNLYDIVRYCQVLSQLQTGAYHCSSNNFTRWKSSAECH >Manes.17G008200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2952224:2974331:1 gene:Manes.17G008200.v8.1 transcript:Manes.17G008200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEILAELDNVETQIQDVQGQIQVLLDQQEKLYERQSELKAILEACRAFGSPKATDDAPPTALEDWSGTFDWDSKADHYKFHVFGISKYRANQHEIINAVMSGRDVLVIMAAGGGKSLCYQLPAILCDGISLVVSPLISLIQDQVMGLKALDIPASMLTSTTGKEEEKFIYKALEKGEGVKILYVTPEKISKSKRFMSKLEKCHHGGHLSLIAIDEAHCCSQWGHDFRPDYKNLGILKIQFPKVPMLALTATATQKVQYDLMEMLHIPKCVKFVSTVNRPNLFYMVREKASVAKVVIDEIAEFIEECYPNNESGIVYCFSRKECEQVAAELRERGISADYYHADMDVKSREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGAPSECLLFFRPADVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFSESLQDCNGMCDNCAFSSDIIEVDVSEHAKMMVSFLQDMQENDQRLTLLQLVDKMKNKHKEIGAELKREELEQLVIQLILESVLKEEFQHTAYATNAYVKIGPLARQVLQGKKTVKLEISSKQNKAGNLKKVKRSLTLSGMEFKLDKLRKELSSCHGTTPHAVLSAQQISVISAQKPNSTEELEKIIGKLKTERYGSKILEEIKKFVDSDEPDYDKLNGESSKKRPTKKRKTKNNVVLIESSEDDK >Manes.17G008200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2952224:2974331:1 gene:Manes.17G008200.v8.1 transcript:Manes.17G008200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEILAELDNVETQIQDVQGQIQVLLDQQEKLYERQSELKAILEACRAFGSPKATDDAPPTALEDWSGTFDWDSKADHYKFHVFGISKYRANQHEIINAVMSGRDVLVIMAAGGGKSLCYQLPAILCDGISLVVSPLISLIQDQVMGLKALDIPASMLTSTTGKEEEKFIYKALEKGEGVKILYVTPEKISKSKRFMSKLEKCHHGGHLSLIAIDEAHCCSQWGHDFRPDYKNLGILKIQFPKVPMLALTATATQKVQYDLMEMLHIPKCVKFVSTVNRPNLFYMVREKASVAKVVIDEIAEFIEECYPNNESGIVYCFSRKECEQVAAELRERGISADYYHADMDVKSREKVHMRWSKNKLQVIVGGIWYGNQQTRWFVIHHSLSKSMETYYQESGRAGRDGAPSECLLFFRPADVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRSAFFRHFSESLQDCNGMCDNCAFSSDIIEVDVSEHAKMMVSFLQDMQENDQRLTLLQLVDKMKNKHKEIGAELKREELEQLVIQLILESVLKEEFQHTAYATNAYVKIGPLARQVLQGI >Manes.09G044800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7880406:7883251:-1 gene:Manes.09G044800.v8.1 transcript:Manes.09G044800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFPPNLEDGELWLPSDIFLNEVPSKYNPYRISCMEDFAGHFAALSLLQNHSSPTPSRPHPKSPLNSQRFKIAVRELSASHLPPVSLGVNGGAELGQRLNGYGSGPLLARSEPFHEFQVQPQVDSYPDTTLRVCERQRNPPQIRLHPFPGSGFGFRGGGGGGGGVRESGGTGVFHPRIVNPTTASPATTDVKRKQVVRSRAENQVNQQRNSMKSPGVNKQEDCYYHLPPEMGLPHDWTY >Manes.03G138850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26648760:26650578:-1 gene:Manes.03G138850.v8.1 transcript:Manes.03G138850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQFLAFPILFTSFIFFFMVLKVRRRSKTNLSTTNLPPGPSKLPIIGNMHQLIGSRPHYRLRDLANQYGSIMHLQLGEVSNIVISSPEAAKQVMKTHDIVFAQRPSLVAASIIFYNFSDIVFSPYSDNWRQLRKICILELLSAKRVQSYRSIREEEVSNLISTITTSAGQPVNFSRKLFSLTYGITARATFGKKCKDQEEFIPLVEQIIEVVGGFNIADLFPSMKLLHVISAPMSRLVRLHREADRIIENVIDDHRARKKTSKTDSEVEEDDLVDVLLRLQEQGNLEFPLTNDNIKAVILEIFIAGSETSSTTVEWAMSEMLRNPRVMEKAQEEVRRVFDKKGYVDETEIHELKYLKSVIKETLRLHPSAPLIPREGRERCEINGYEIPAKTKVIVNAWAINRDTNHWTEAETFYPERFLDSSIDYKGNNFEFIPFGGGRRMCPGILFGIANVELPLAQFLYHFDWKLPNGLKAECLDMMESFGATVRRKNDLHLIPILYKQSLTTC >Manes.16G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27597480:27600463:-1 gene:Manes.16G073600.v8.1 transcript:Manes.16G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNRFLNQIPKQEKWSGNQKASEDKKLELRLGPPGESFSIKNITTTNGIKRLLDSDRLEDRNWFRNTHEKQCKKLSSGYEGSGEKVFSSSWSPSSCSISSAFQRETQREITQTKPSYLRCSTVAESQCPADKKPESGPASASFPAATSGTRGPHDKRVAPAPVVGWPPIRSFRKNLASSSNSKQTDADLPNKTPTEGCKLKPESFRNDLYVKINMEGVPIGRKINLNAYDSYEKLSVAIDELFRGLLAAQRENSTARNGNKINEAKANSGSSGTRSGEYTLVYEDSEGDRILVGDVPWHMFVSTAKRLRVLKSYELSTEQLSVGSGEKEKTPLSSLVEIGRLY >Manes.16G073600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27598018:27600316:-1 gene:Manes.16G073600.v8.1 transcript:Manes.16G073600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNRFLNQIPKQEKWSGNQKASEDKKLELRLGPPGESFSIKNITTTNGIKRLLDSDRLEDRNWFRNTHEKQCKKLSSGYEGSGEKVFSSSWSPSSCSISSAFQRETQREITQTKPSYLRCSTVAESQCPADKKPESGPASASFPAATSGTRGPHDKSRVAPAPVVGWPPIRSFRKNLASSSNSKQTDADLPNKTPTEGCKLKPESFRNDLYVKINMEGVPIGRKINLNAYDSYEKLSVAIDELFRGLLAAQRENSTARNGNKINEAKANSGSSGTRSGEYTLVYEDSEGDRILVGDVPWHMFVSTAKRLRVLKSYELSTEQLSVGSGEKEKTPLSSLVEIGRLY >Manes.02G192750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15580718:15583118:-1 gene:Manes.02G192750.v8.1 transcript:Manes.02G192750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLRRVYLLKCLCQSHSHKFLQRKNERLREHVRDHNSDHNRCSLACQDLMNQAQHIEESISKQSEKSKIECCCLAFRGNDEYEGSLNKEKFIELLKVLASFNEKINNVALKNAPENLKLIAPSIQKDIINICVVETTNVIIRDVEDDLFSILQMGVVIRYVNKFGCVVERFLGIVHINDTSASSLKKTIESLFSTHGEFNGLKSLILRENSSVCYIHCFAHQLQLTLIAIAKKHSNISIFFYIVARLCNIVGGS >Manes.01G120800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31695434:31712009:1 gene:Manes.01G120800.v8.1 transcript:Manes.01G120800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRERDIRNRKKEDEQKESEYEIEDVSDAIDSYRGSGFNHIENELGLEAGARRKFSRDSVINGIRYVSRGLFIHPESRWYRAWTKFILIWAIYSSFFTPLEFGFFRGLPENLSILDIVGQIAFLFDIILQFFIAYRDSQTYCMVYKRTPIALRYLKSHFFIDLLGCLPWDIIYKACGRKEEVRYLLWIRLSRVRKVTDFFQKMEKDIRINYLFTRIIKLTAVELYCTHTAACTFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAVVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMKDLINYMNKNRLGKDIRNQIKGHFRLQYESSYTEASVLQDIPISIRAKVIRLHEEFFLPGEVIMEHGNVVDQLYFVCHGVLEVVGSGGDGSEETVSLLQPNSSFGEISILCNIPQPYTIRVCELSRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDIAFHIGKQEAELALRVNSAAYHGDLYELKSFVRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQERVDINIKDKFGNTPLLEAVKYGHDQVASLLVKEGADLSVDDAGSFLCLIVSKGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGIYIMAKLLLEAGASVFSKDR >Manes.01G120800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31695434:31712009:1 gene:Manes.01G120800.v8.1 transcript:Manes.01G120800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRERDIRNRKKEDEQKESEYEIEDVSDAIDSYRGSGFNHIENELGLEAGARRKFSRDSVINGIRYVSRGLFIHPESRWYRAWTKFILIWAIYSSFFTPLEFGFFRGLPENLSILDIVGQIAFLFDIILQFFIAYRDSQTYCMVYKRTPIALRYLKSHFFIDLLGCLPWDIIYKACGRKEEVRYLLWIRLSRVRKVTDFFQKMEKDIRINYLFTRIIKLTAVELYCTHTAACTFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAVVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMKDLINYMNKNRLGKDIRNQIKGHFRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIENVPLFKGCSGEFINQIVIRLHEEFFLPGEVIMEHGNVVDQLYFVCHGVLEVVGSGGDGSEETVSLLQPNSSFGEISILCNIPQPYTIRVCELSRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDIAFHIGKQEAELALRVNSAAYHGDLYELKSFVRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQERVDINIKDKFGNTPLLEAVKYGHDQVASLLVKEGADLSVDDAGSFLCLIVSKGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGIYIMAKLLLEAGASVFSKDR >Manes.01G120800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31695434:31712009:1 gene:Manes.01G120800.v8.1 transcript:Manes.01G120800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRERDIRNRKKEDEQKESEYEIEDVSDAIDSYRGSGFNHIENELGLEAGARRKFSRDSVINGIRYVSRGLFIHPESRWYRAWTKFILIWAIYSSFFTPLEFGFFRGLPENLSILDIVGQIAFLFDIILQFFIAYRDSQTYCMVYKRTPIALRYLKSHFFIDLLGCLPWDIIYKACGRKEEVREIDIWKRYVTSLYFAVVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMKDLINYMNKNRLGKDIRNQIKGHFRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIENVPLFKGCSGEFINQIVIRLHEEFFLPGEVIMEHGNVVDQLYFVCHGVLEVVGSGGDGSEETVSLLQPNSSFGEISILCNIPQPYTIRVCELSRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDIAFHIGKQEAELALRVNSAAYHGDLYELKSFVRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQERVDINIKDKFGNTPLLEAVKYGHDQVASLLVKEGADLSVDDAGSFLCLIVSKGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGIYIMAKLLLEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAQLSEFSCNSEITDKIHRRKCTIFPFHPWGPKEHKRPGIVLWVPETMEELIKTAAEQLQLPYDSCILTEDAGKILDTNMIDDGGKLYLVSETR >Manes.01G120800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31695434:31712009:1 gene:Manes.01G120800.v8.1 transcript:Manes.01G120800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRERDIRNRKKEDEQKESEYEIEDVSDAIDSYRGSGFNHIENELGLEAGARRKFSRDSVINGIRYVSRGLFIHPESRWYRAWTKFILIWAIYSSFFTPLEFGFFRGLPENLSILDIVGQIAFLFDIILQFFIAYRDSQTYCMVYKRTPIALRYLKSHFFIDLLGCLPWDIIYKACGRKEEVRYLLWIRLSRVRKVTDFFQKMEKDIRINYLFTRIIKLTAVELYCTHTAACTFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAVVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMKDLINYMNKNRLGKDIRNQIKGHFRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIENVPLFKGCSGEFINQIVIRLHEEFFLPGEVIMEHGNVVDQLYFVCHGVLGKESSLRDKQLESDIAFHIGKQEAELALRVNSAAYHGDLYELKSFVRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQERVDINIKDKFGNTPLLEAVKYGHDQVASLLVKEGADLSVDDAGSFLCLIVSKGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGIYIMAKLLLEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAQLSEFSCNSEITDKIHRRKCTIFPFHPWGPKEHKRPGIVLWVPETMEELIKTAAEQLQLPYDSCILTEDAGKILDTNMIDDGGKLYLVSETR >Manes.01G120800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31698428:31712009:1 gene:Manes.01G120800.v8.1 transcript:Manes.01G120800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKRTPIALRYLKSHFFIDLLGCLPWDIIYKACGRKEEVRYLLWIRLSRVRKVTDFFQKMEKDIRINYLFTRIIKLTAVELYCTHTAACTFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAVVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMKDLINYMNKNRLGKDIRNQIKGHFRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIENVPLFKGCSGEFINQIVIRLHEEFFLPGEVIMEHGNVVDQLYFVCHGVLEVVGSGGDGSEETVSLLQPNSSFGEISILCNIPQPYTIRVCELSRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDIAFHIGKQEAELALRVNSAAYHGDLYELKSFVRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQERVDINIKDKFGNTPLLEAVKYGHDQVASLLVKEGADLSVDDAGSFLCLIVSKGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGIYIMAKLLLEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAQLSEFSCNSEITDKIHRRKCTIFPFHPWGPKEHKRPGIVLWVPETMEELIKTAAEQLQLPYDSCILTEDAGKILDTNMIDDGGKLYLVSETR >Manes.01G120800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31695434:31712009:1 gene:Manes.01G120800.v8.1 transcript:Manes.01G120800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRERDIRNRKKEDEQKESEYEIEDVSDAIDSYRGSGFNHIENELGLEAGARRKFSRDSVINGIRYVSRGLFIHPESRWYRAWTKFILIWAIYSSFFTPLEFGFFRGLPENLSILDIVGQIAFLFDIILQFFIAYRDSQTYCMVYKRTPIALRYLKSHFFIDLLGCLPWDIIYKACGRKEEVRYLLWIRLSRVRKVTDFFQKMEKDIRINYLFTRIIKLTAVELYCTHTAACTFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAVVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMKDLINYMNKNRLGKDIRNQIKGHFRLQYESSYTEASVLQDIPISIRAKVIRLHEEFFLPGEVIMEHGNVVDQLYFVCHGVLEVVGSGGDGSEETVSLLQPNSSFGEISILCNIPQPYTIRVCELSRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDIAFHIGKQEAELALRVNSAAYHGDLYELKSFVRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQERVDINIKDKFGNTPLLEAVKYGHDQVASLLVKEGADLSVDDAGSFLCLIVSKGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGIYIMAKLLLEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAQLSEFSCNSEITDKIHRRKCTIFPFHPWGPKEHKRPGIVLWVPETMEELIKTAAEQLQLPYDSCILTEDAGKILDTNMIDDGGKLYLVSETR >Manes.01G120800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31695434:31712009:1 gene:Manes.01G120800.v8.1 transcript:Manes.01G120800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRERDIRNRKKEDEQKESEYEIEDVSDAIDSYRGSGFNHIENELGLEAGARRKFSRDSVINGIRYVSRGLFIHPESRWYRAWTKFILIWAIYSSFFTPLEFGFFRGLPENLSILDIVGQIAFLFDIILQFFIAYRDSQTYCMVYKRTPIALRYLKSHFFIDLLGCLPWDIIYKACGRKEEVRYLLWIRLSRVRKVTDFFQKMEKDIRINYLFTRIIKLTAVELYCTHTAACTFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAVVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMKDLINYMNKNRLGKDIRNQIKGHFRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIENVPLFKGCSGEFINQIVIRLHEEFFLPGEVIMEHGNVVDQLYFVCHGVLEVVGSGGDGSEETVSLLQPNSSFGEISILCNIPQPYTIRVCELSRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDIAFHIGKQEAELALRVNSAAYHGDLYELKSFVRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQERVDINIKDKFGNTPLLEAVKYGHDQVASLLVKEGADLSVDDAGSFLCLIVSKGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGIYIMAKLLLEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAQLSEFSCNSEITDKIHRRKCTIFPFHPWGPKEHKRPGIVLWVPETMEELIKTAAEQLQLPYDSCILTEDAGKILDTNMIDDGGKLYLVSETR >Manes.01G120800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31695434:31712009:1 gene:Manes.01G120800.v8.1 transcript:Manes.01G120800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDIRINYLFTRIIKLTAVELYCTHTAACTFYYLATTLPSSEEGYTWIGSLKMGDYSYSHFREIDIWKRYVTSLYFAVVTMATVGYGDIHAVNLREMIFVMVYVSFDMVLGAYLIGNMTALIVKGSKTEKFRDKMKDLINYMNKNRLGKDIRNQIKGHFRLQYESSYTEASVLQDIPISIRAKISQTLYMPYIENVPLFKGCSGEFINQIVIRLHEEFFLPGEVIMEHGNVVDQLYFVCHGVLEVVGSGGDGSEETVSLLQPNSSFGEISILCNIPQPYTIRVCELSRLLRLDKQSFSNILEIYFYDGRKILNNILEGKESSLRDKQLESDIAFHIGKQEAELALRVNSAAYHGDLYELKSFVRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQERVDINIKDKFGNTPLLEAVKYGHDQVASLLVKEGADLSVDDAGSFLCLIVSKGDSDLLKRILSNGIDPNSKDYDHRTPLHVAASEGIYIMAKLLLEAGASVFSKDRWGKTPLDEGRMSGNKNLIKLLEDAKSAQLSEFSCNSEITDKIHRRKCTIFPFHPWGPKEHKRPGIVLWVPETMEELIKTAAEQLQLPYDSCILTEDAGKILDTNMIDDGGKLYLVSETR >Manes.15G005200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:559548:562335:1 gene:Manes.15G005200.v8.1 transcript:Manes.15G005200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKYNLKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPCDTEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTNPNGALGSLDYKKEERRVLAIKSREAAPRFGTPERQKLIDEIHQYMLSKAPPVPPVNPSQDSEEQPTNREGEAQSSLQEDESLAAHVPNPVDGENMAVGDRITEEVPEASVSVNPGTTAVRESREIPASGSSDQLLHKPEMRVQKPADDRLFTWAAVGLTIAIVVLLLKKFMKSNGHGALFMDGS >Manes.11G046900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:4994119:4994814:1 gene:Manes.11G046900.v8.1 transcript:Manes.11G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISNKSIFIFLFFSSILSFSTTTSATSEVPSELDLKFFLPDSPSPSPAVQASHPTFSSFNITTFIAMHFPKVPTSSIHSSLENICSVTQNPKMCVTLLASHVTQPVTPLTSLQAVIKTLDVLVKDASFVAMDVHKDPSTPLKLKKSLEMSMEQYWRASNSIGHASAAFSSHDIEEVKSMLVVAVTNFGFADEAFYKHGLKKSPMNDINEFLIQFAEFGIDISSNLTKNY >Manes.07G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26760231:26787985:1 gene:Manes.07G085300.v8.1 transcript:Manes.07G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSLSTTPHILTSPALSSTTRKRHSIASTSISFSLNPPPPPPTEPDNSSSIRRPKSLNSTTTPSTPTPTPTHKSPNNPLKSFLNASHVPSPSPPGTSSSSGRSTNTTNRHSLSTKLRLSSKLSPPPPPPPPPPSAVASISPPPPCPLPKTKGQENESGKTEFRQEGKIFVGNLPNWIKKREISEFFRQFGPIKNVILIKGHNETERNAGFGFIIYDGPTAAKSAMKAVEFDGIEFHGRTLTVKLDDGRRLKAKAEERSRWVQGEDGDDYKSKWHEEREGSRKAFRRVLETEPENWQAVVSAFERIRKPSRREYGLMVSYYARRGDMHHARQTFESMRARGIEPTSHVYTSLIHAYAVGRDMEEALSCVRKMKEEGVELSLVTYSIIVGGFAKIGNAQAADHWFKEAKERHSNMNAIIYGNIIYSHCQTCNMDKAEALVREMEEEGIDAPIDIYHTMMDGYTMIGNEDKCLIVFQRLKECGFTPSIVSYGCLINLHTKIGKVSKALEVSKMMESAGIKHNMKTYSMLINGFLKLKDWANAFAIFEDVVKDGLKPDVVLYNNIIKAFCGMGNMDRAISMVKKMQKERHRPTSRTFMPIIHGFAKAGEMRRALDIFDMMRWSGCIPTVHTFNALILGLVEKRQMEKAVEILDEMALAGVSPDEHTFTTIMHGYAALGDTGKAFEYFTKLRNEGLELDVYAYEALLKACCKSGRMQSALAVTKEMSAQKIRRNTFVYNILIDGWARRGDVWEAADLMQQMKREGVQPDIHTYTSFINACCKGGDMLRATRTMEEMETTGVKPNVKTYTTLIHGWARASLPEKALKCFEEMKMAGLQPDKAVYHCLITSLLSRAAVAEECVYSSILSICREMVESELIVDMGTAVHWSKSLRKIERTGGELTEALQKTFPPDWNARHLVDAGPESYSDDELNSIDENNLYFEETDGGVDDGDGSDEDDYFKQPLLV >Manes.06G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23396931:23398690:-1 gene:Manes.06G099700.v8.1 transcript:Manes.06G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGEVLRRSLPEEVGVSNGSSSDSEELHVLAVDDSLVDRKVIERLLKISSCKVTAVESGTRALQFLGLDGDKSSVGFSDLKVNLIMTDYSMPGMTGYELLKKIKESSAFREIPVVIMSSENILARIDRCLEEGAEEYLVKPVKLSDVKRLKDFIMRGEGEEKGKRRILKRKLQDEIFSLCSELPDSDSDLVSSELQSSSPSSNMYMPKRPKFLNTA >Manes.06G099700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23396930:23398690:-1 gene:Manes.06G099700.v8.1 transcript:Manes.06G099700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGEVLRRSLPEEVGVSNGSSSDSEELHVLAVDDSLVDRKVIERLLKISSCKVTAVESGTRALQFLGLDGDKSSVGFSDLKVNLIMTDYSMPGMTGYELLKKIKVRESSAFREIPVVIMSSENILARIDRCLEEGAEEYLVKPVKLSDVKRLKDFIMRGEGEEKGKRRILKRKLQDEIFSLCSELPDSDSDLVSSELQSSSPSSNMYMPKRPKFLNTA >Manes.12G060703.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5897615:5899513:1 gene:Manes.12G060703.v8.1 transcript:Manes.12G060703.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEAMCIDGSKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDEEDDGAGDDYIEFEDEDIDKI >Manes.17G054200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25191473:25193308:1 gene:Manes.17G054200.v8.1 transcript:Manes.17G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSTVSCTSPPWVSARSVQTSRCPSASLSFFSRSRPRSNFSMNVISNSTARSGLLHCSFLSSSLSVPSSFSGLSLGLDLNSDNGVRKGRGYGLVVRAGKAALCQTKRNRSRKSLARTHGFRRRMRTTSGRAILKRRRAKGRRVLCTKSNPNSGKRHL >Manes.16G023800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2580131:2581591:-1 gene:Manes.16G023800.v8.1 transcript:Manes.16G023800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSGSHHPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVTIIAEVDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILSSNGNQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLVDNNNNTSSSKPPCVDSANKKGSLRLDDWVLCRIYKKNNSQRPMEREKEDSMEALLSSVAAHPKLPAASKPTAFVSVLDQNEENFFEGILTGNDGMQNSSNIISQLLSSNTKPHIFIPPSVSTSYTLAAKRLLPSQYWNEAPAAAAAATGSSMGASSGNKRFHGDLNSCSTATDQDNTSFVSLLSQLPQSTPFHPNTFLGSLGDGVLRQQFQLPSMNWNS >Manes.16G023800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2579910:2581899:-1 gene:Manes.16G023800.v8.1 transcript:Manes.16G023800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSGSHHPQLPPGFRFHPTDEELVVHYLKKKAASAPLPVTIIAEVDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILSSNGNQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLVDNNNNTSSSKPPCVDSANKKGSLRLDDWVLCRIYKKNNSQRPMEREKEDSMEALLSSVAAHPKLPAASKPTAFVSVLDQNEENFFEGILTGNDAKRLLPSQYWNEAPAAAAAATGSSMGASSGNKRFHGDLNSCSTATDQDNTSFVSLLSQLPQSTPFHPNTFLGSLGDGVLRQQFQLPSMNWNS >Manes.01G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7223884:7226868:1 gene:Manes.01G037300.v8.1 transcript:Manes.01G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPADLCLKIFCWLDHQNLAAAQQVCKKWKVLASDNVLWSNLFKERWGGDRATFYAPVDSKLWKHVYEVQDRCDRVGLGLKIIREGGDYYLVHQGEIQRYLGSRRKSKRAASCSLNTKGELRGDEFMKHEESCCGGILDKILFFIGDLEVASADAKRSRIQ >Manes.09G022840.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4635930:4641277:-1 gene:Manes.09G022840.v8.1 transcript:Manes.09G022840.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHTYQEICFAWGLKAELKKLEDILLTVKAVLMDAEEKQVNDHQLRLWLAKLKDALYDAEDVLDEFECEDQRRRVLQLYGTTTKKVGHFFSSSNPIAFRFKMSAKVKQIRERLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQPSEVIGRDDDKENIIRLLQDSSEGAQISIIPVVGIGGLGKTSLAKFVYNDERVMNHFQLQIWVCVSEEFDIKILTEKIIKSTEDGMRHVDKLKNMEMDQLQRILREIIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPKAYELSGLPEDECVALFTKCAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFNNWELIKFWMAHGLLESANQNEELEDIGSRYFQELGSRSFFQDFEVYAGIWITCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNFTSLPQDLSTLLQGLDRVRTAIIQSDEKSPSSQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRYLRIFFCKKLPNSICKLYNLQTLLLCEGIEELPSDIRYLINLRVLQFSTKQKCLPMNGIGCLTSLRFLGIAICENLEHLFEDMQGLKHLRTLVIYGCKSLISLPQSMKYLTALEILAIGNCENLNLTWEEKGKSDKHLAQFNLQKLTLAKLPKLVNFP >Manes.08G151711.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39109233:39111557:1 gene:Manes.08G151711.v8.1 transcript:Manes.08G151711.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPALFVGKWARKSASTIISATTYIVFLIFDFLDMIFCIIYGCLDELFEGKPSHCYCGDRGEIQGRVWDDGDNELSETLYGRNNVFRQMRFLGFGRNWENRKKSFGGGSGKRIWSDCGCESCVSWMKDGGQNLHVVVKEPSAIRDHLEMIEKSVINPFELKSFHIVAHSMGCIISLALAAKYSNCVKSITLVAPPYFSSLKEEASFTALQKLAGKRLWSPLLFCSSFMSWYEHLGRFVCFLVSQNHRIWERILKLLTWRSLLIFFGDLHFIIIDMTRHTHHSAWHSMHNVICGGAKFLEEMLENLMKSEVKICVIQGDGDEVVPLECSKNIKSKVSNTEISIIPNADHISVIIGREKDFTRNLAHIWASSADSE >Manes.05G201101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32543986:32544468:1 gene:Manes.05G201101.v8.1 transcript:Manes.05G201101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERICCVRFGASKASTLQIDITSTQAVLKLSAKLYGPYKIIERVERVAYDLELPPESVIHPVFHASLLKKEIGDSVSICTDLPQLQHDSFIAAPEKVLQTRRIIRGGEYVEQGLIKWFNLPHEDAIWEDKSFIAAQFPDHLLSWGQESSSPGGIVTYYRKKY >Manes.10G094466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24149939:24150706:1 gene:Manes.10G094466.v8.1 transcript:Manes.10G094466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFVACFEATVHGIMLRNFILGLGIVDNIARPLRTYCDNFAAVFFPKNDKYSRSTNHIDLKYLSVKEEVQKYKVPIEHINTDLIVADPLTKGLSSKTFIGHIERMSIINKFLLA >Manes.03G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21715172:21717248:-1 gene:Manes.03G092600.v8.1 transcript:Manes.03G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASWVRVLMCNIIIIIILCHCQLLAAAAEAITIGSSNSTSCDYFQGSWVYDDSYPLYNSTTCPFIGQGFDCQKNDRPDQDYLKYRWQPTSCDIPRFNGVDLLEKYRGKKIMFVGDSLSNNMWVSLACLLYASVPNSKYTFQREGLLSTFTLPEYGVSVMWFKNGFLVDVVRDEKLGRIVKLDSISAGQQWLGVDSLIFNTYHWWFHKGRYQNWNYFQVGDKLLEDMDRLEALKIALTTWARWVDNNIDPSATSVFYQGVAVPHQNAKEWNDPNPKARGCMRQTEPVKGSTYPGPNHQGEAAVKEIISNMTSPAYLLDITLLTQLRKDGHPSIYAGSGTKFSDCSHWCLAGVPDTWNQLLYAALLDK >Manes.03G092600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21715172:21717248:-1 gene:Manes.03G092600.v8.1 transcript:Manes.03G092600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASWVRVLMCNIIIIIILCHCQLLAAAAEAITIGSSNSTSCDYFQGSWVYDDSYPLYNSTTCPFIGQGFDCQKNDRPDQDYLKYRWQPTSCDIPRFNGVDLLEKYRGKKIMFVGDSLSNNMWVSLACLLYASVPNSKYTFQREGLLSTFTLPEYGVSVMWFKNGFLVDVVRDEKLGRIVKLDSISAGQQWLGVDSLIFNTYHWWFHKGRYQNWNYFQVGDKLLEDMDRLEALKIALTTWARWVDNNIDPSATSVFYQGVAVPHQKNGMIQTLKQGDVCDKQNQLKDQRIQGLTIKGKLL >Manes.06G176400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29985196:29989918:1 gene:Manes.06G176400.v8.1 transcript:Manes.06G176400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAPTTLSLLKTTSSSSSSSTRARPSLLPVSSAGLRSTSLRRLGFAAADPILTRHVASKIQSFGSGKASRAVVLMAKKSVGDLTAADLKGKKVFVRADLNVPLDDNQNITDDTRVRAAIPTIKHLIQKGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKADDCIGPEVEKLVASLPEGGVLLLENVRFYKEEEKNEPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLGLATSLLEKAKSKGVSLLLPSDVVIADKFSPDANSKVVPSSAIPDGWMGLDIGPESVKTFSGALETTKTVIWNGPMGVFEFDKFAVGTEAIAKKLAQLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVLALDEAIPVAV >Manes.05G116902.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11993685:11994067:1 gene:Manes.05G116902.v8.1 transcript:Manes.05G116902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPILYLEGRYDVLCSWMTASENKEIISSLFSSFIQPRLELVISSADIVSRCSSTSPVTSKDAISLCFWFDGVTIYCAIADATIERVGGSLSWARFLGSFLSFFYGFDLG >Manes.07G001960.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:476071:479916:1 gene:Manes.07G001960.v8.1 transcript:Manes.07G001960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMGFGAFRFSISWSRVIPSGRVREGVNEQGIEFYKNLIDEIIKREMVPYVTIFHWDTPQALEDKYGGFLNSEIVDDFRDFANLCFEKFGEKVKHWITINEPWSLASFGYDLGVHAPGRCSEWVNRACSEGNSGTEPYIVSHNLLRAHAAAVDLYRRKYKAKQNGMIGIALNSMWFEPYSNSIEDKEAAKTALDFMFGWFMDPITYGQYPRSMRILIGDRLPKFKSKESKLLKGSYDFIGLNYYAANYAKGNAVVDPHKPTYSTDHHVHQSHLGQNGEPIGEKTYSPWFYIYPKGIRYLLNYTKDVYKNPTIYITENGVDEHYKQGLEDHLRKKYYQDHLKNVLRSIKEYNVNVKGYFAWSYADNFEWNIGYTSRFGLIHVDYENNRARDLKQSANWFMEFLKNKTSGNQQIYDDGLSHLYRD >Manes.18G011121.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1323093:1338192:1 gene:Manes.18G011121.v8.1 transcript:Manes.18G011121.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSTLLVLVISIYKWTFRESLNVLKKDTRNRRSKTMQFHLCRVASPASPSSSFSFSCSLIALAFLATSIHVWTTTTALIKLPPNVTIPAVLVFGDSIVDAGNNNDIQTLIKCDFPPYGLDFEGGIPTGRFCDGKIPSDIIAEELGIKDTVPAYLDPMVMPPELVTGVTFASGGTGYDPLTPKLTSVLSLADQLQYFKEYIEKLNGFFGEEKTNFILANSLYLVVAGSDDIANTYFVLRARKQYDVPAYTDLMADSASTFIQDLYNLGARRIGVFSAPPIGCVPSQRTLAGGAQRDCAENYNQAATLFNSKLSKKLDSLNAILPNSRIVYIDIYNPLLNLIQNPNKYGFQVANKGCCGTGALEVAILCNKFTPVTCINVSDHVFWDSYHPTERAYRVLASQLLSKFVESFF >Manes.18G011121.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1323093:1326316:1 gene:Manes.18G011121.v8.1 transcript:Manes.18G011121.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSTLLVLVISIYKWTFRESLNVLKKDTRNRRSKTMQFHLCRVASPASPSSSFSFSCSLIALAFLATSIHVWTTTTALIKLPPNVTIPAVLVFGDSIVDAGNNNDIQTLIKCDFPPYGLDFEGGIPTGRFCDGKIPSDIIAEELGIKDTVPAYLDPMVMPPELVTGVTFASGGTGYDPLTPKLTSVLSLADQLQYFKEYIEKLNGFFGEEKTNFILANSLYLVVAGSDDIANTYFVLRARKQYDVPAYTDLMADSASTFIQDLYNLGARRIGVFSAPPIGCVPSQRTLAGGAQRDCAENYNQAATLFNSKLSKKLDSLNAILPNSRIVYIDIYNPLLNLIQNPNKYGFQVANKGCCGTGALEVAILCNKFTPVTCINVSDHVFWDSYHPTERAYRVLASQLLSKFVESFF >Manes.07G070070.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:15927147:15927839:1 gene:Manes.07G070070.v8.1 transcript:Manes.07G070070.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIPYASAIGSIMYAMLCTRPDVSYALSTTSRYQADPGESHWTAVKNILKYLRRTKDAFLVYGGLEDELVVNGYTDASFQTDIDDFRSQSGFVFTLDVGAVSWKSSKLSTIVDSTTEAEYIAALDAAKEAVWLKKFITELGVVPSITNPMDLYCDNNGAIVQAKKPRSHQRSRHILRRYHLIREIINRGDIKICKVDTNDNIANPLTKALSQVKHNQHTRSMGIRYLYD >Manes.03G165700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29188199:29191449:-1 gene:Manes.03G165700.v8.1 transcript:Manes.03G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTFSTGSLYRRFKPHLLMVLAQIGYTFLYFITEASFEHGMNPHVYITYRHIVSSIVMLPFAYFLERKKRPKLTIGLFVEIFILSLLGVGLTLNMYFASLRYTSPTFVASMVNTIASLTFIIAVALRLEGLDLGNPRGIAKVVGTLVSLAGVMTMTLYKGPIMANLWPPLIHIHRKTGSNHESWLKGSILTVASCISWSAWYIMQAFILKRYPAQLSLTTWMSFLGAAQSAFFTLIVERRKLAWTIGFNIDFWSTVYGGVVVSGIIVLIQLWCTEVKGPVFVTMFNPLSTILVAILAYFVLGEKLYLGSILGAAVVIIGLYLLLWGKEEQKGCSKSQDRPLSCCDEQKETMKRTLGSVESKITASEP >Manes.03G165700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29188956:29191449:-1 gene:Manes.03G165700.v8.1 transcript:Manes.03G165700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTFSTGSLYRRFKPHLLMVLAQIGYTFLYFITEASFEHGMNPHVYITYRHIVSSIVMLPFAYFLERKKRPKLTIGLFVEIFILSLLGVGLTLNMYFASLRYTSPTFVASMVNTIASLTFIIAVALRLEGLDLGNPRGIAKVVGTLVSLAGVMTMTLYKGPIMANLWPPLIHIHRKTGSNHESWLKGSILTVASCISWSAWYIMQAFILKRYPAQLSLTTWMSFLGAAQSAFFTLIVERRKLAWTIGFNIDFWSTVYGGVVVSGIIVLIQLWCTEVKGPVFVTMFNPLSTILVAILAYFVLGEKLYLGSILGAAVVIIGLYLLLWGKEEQKGCSKSQDRPLSCCDEQKETMKRTLGSVESKITASEP >Manes.05G047900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3985466:3985972:-1 gene:Manes.05G047900.v8.1 transcript:Manes.05G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIIGFKIGKRLVRISRWIFRRARSPSSYNRLCSPGKTCRPKPLAKLINWGRRLTTGAKSLCSAKPRSGYIPLVEEPVHEKSVTVPKGHLAIYVGQKDGDFQRVLMPVIYVNHPLFGELLREAEAEYGFNQQGGITIPCRYSEFERVQTRIAAGSGGRKLALKRNHN >Manes.15G128000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10211602:10217227:-1 gene:Manes.15G128000.v8.1 transcript:Manes.15G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTKLTCFLQLLIFSFLCQSLASSSFTIVDSNSTFPSEALPTKSGYLPINPNTNSAIFYTFYEAQSPGLPLSQTPLLIWLQGGPGCSSMIGNFFELGPYRVVDIQNENIQHLSLERNLGSWNRIFGLIFLDNPIGVGFSFAATPEEIPRDQHSVAKHLFAAITGFIGLDPDFKNRPLYITGESYAGKYVPAIGYYILKQNMRLPEGKQVNLKGVAIGNGLTDPITQVKTHAVNAYFSGFINERQKGELEEAQWKAVEFVKTGNWSEATNARSEALDLLQNMTGLATLYDFTKKAPYRTGLVTKFLQSADVKRKLGANESIVFDECSDAVGEALSEDVMKSVKYMVELLVKKSKVLLYQGHFDLRDGVVSTEAWVRTMKWEGIKRFLIAERKVWKVNGELAGYAQKWGSLSHVVVLGAGHLVPTDQALNSQAMIEDWVLDKGVFAYEKKRVSASDTRAVGDRELGFLGRFIPVELFDASSFLRIANTNIGI >Manes.05G014104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:708677:718509:1 gene:Manes.05G014104.v8.1 transcript:Manes.05G014104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QISSRRLLDKDHIVIYKGDGGGVTSRGVRAHISNKVAVGLKRGVGVGGGGDSGSGGDTDNGVGGGKSGGVGGGGSGDSRSRGDQGGVGESVGGNVGVGGGGSLGAGGGSSIGVWGGRGTANNIGVGGGVSGEDGGGGGIGNRRFHGSRGDNLGAVGGVGGRLGGNGSVGAGRGTSVGVGGSNGNNVAGVGGDTSGGINEAGGASGNIGVEGGVNGVGGGVGSGGCYGGGSGLSGIIGNTSASSGVGRASGIDNGGSRGSGNGSLGAKDSASGGVGGDGELGVGGGASGGISRGEGGCVGNGGSHGGGGGLRDGEGTSSGVGGGSNISVGGGGGLGAAGGVSGGGVGGSGVIIGNISIGGGIGGIAGEGINGEVGRGGSISSGGSHDSKGGLGAGRGTVNGVEGASNFNVGGGTDLGVVGGTSGGSRVREGGGPVGNISVGGGIDGGGVGGDGGGGLGATGDANGSGEVGRGVSDRVGGVGGSGGGTNGGVEGAGSVSSGGTHGGGGALGVGGGTDSRIGGGDSGSLGDVGGVHNGGEIGKGGDTIGNISIGGVSGLGVRGGASGGISEGGGGGLGATRDASGGDGVKGGGGIIGNIGIDGEVGGADGINNGRNCNGESDDLEGRSGAGGRIGGGGSEINRGGGSNSNIEVGGSINGGVGECTNSQIGGGGNVSVDGGGGLGAARGVSSGDGGIGKGVDSGVGGGSSANIGRGGSLGDTGGASSGFGVGGVGRVAGGRVGGGASGGISEGGGTHGNIQTRGDINKRVGGSGSINSGKSHSSGGDLGVERSTGNRVASDSASVGGDGGSGVAGGANDSSRVGGDGV >Manes.01G135600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32835145:32835966:-1 gene:Manes.01G135600.v8.1 transcript:Manes.01G135600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEEQQEDDLQEIDPISTLLLPQDHDNALNMPVDGAANQQLHHHHHISSIDSTVLIRQLPSQGLSFQLWPAATTLLTLLDHHSSHSATSQLSPILAALSSNTRPLNVLELGSGTGLAGIAAAITLGANVTVTDLPNVIPNLQFNVDANANTVALHGGSVKVAPLRWGEDGDGDVEIIGKDFDLILASDVVYHDHLFEPLLYTLRVLMGLGEEEEKKKVFVMGHLRRWKKDSVFFRRARKWFDVEAIHKDSPCDGSRIGVAVYSFVRKGQKL >Manes.04G142100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34064546:34068570:1 gene:Manes.04G142100.v8.1 transcript:Manes.04G142100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAMTLSLGNSMCDSSGISTHVEIARRKLVTDTVSLLSDPEDFAALSASKDGGVGGTDSLKILPENGTISVPSDVIQESDEDEVLSVVEDNNGIMSEELLALEAGSEINLPKSVGIEDSQIIAKAIIVESSNEVQVPTAKLLIAAVNPNADISDGSDLRASAVVLKLPSEKNLSKGTTRSVFEIDCIPLWGSVSICGRRPEMEDAVAAVPRFAKIPINMLIGDRVVDGLSESLTHITSHFFGVYDGHGGVQVANYCRDRIHWALAEEIANVKNDLSDASMEGNQQVQWEKAFTSCFLKVDDEIGGKGTRGTIEDAGHASEATSEPLAPETVGSTAVVALVCSSHIIVANCGDSRAVLYRGKESIALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEIMFIPRARDDECLILASDGLWDVMTNDEACEVARKKILLWHKKNGVTSPVERGNGIDPASQAAADCLSMLALQKGSKDNISVIVVDLKAQRKFKSKS >Manes.04G142100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34064546:34068570:1 gene:Manes.04G142100.v8.1 transcript:Manes.04G142100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAMTLSLGNSMCDSSGISTHVEIARRKLVTDTVSLLSDPEDFAALSASKDGGVGGTDSLKILPENGTISVPSDVIQESDEDEVLSVVEDNNGIMSEELLALEAGSEINLPKSVGIEDSQIIAKAIIVESSNEVQVPTAKLLIAAVNPNADISDGSDLRASAVVLKLPSEKNLSKGTTRSVFEIDCIPLWGSVSICGRRPEMEDAVAAVPRFAKIPINMLIGDRVVDGLSESLTHITSHFFGVYDGHGGVQVANYCRDRIHWALAEEIANVKNDLSDASMEGNQQVQWEKAFTSCFLKVDDEIGGKGTRGTIEDAGHASEATSEPLAPETVGSTAVVALVCSSHIIVANCGDSRAVLYRGKESIALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEIMFIPRARDDECLILASDGLWDVMTNDEACEVARKKILLWHKKNGVTSPVERGNGIDPASQAAADCLSMLALQKGSKDNISVIVVDLKAQRKFKSKS >Manes.04G142100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34064546:34068570:1 gene:Manes.04G142100.v8.1 transcript:Manes.04G142100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPAVAMTLSLGNSMCDSSGISTHVEIARRKLVTDTVSLLSDPEDFAALSASKDGGVGGTDSLKILPENGTISVPSDVIQESDEDEVLSVVEDNNGIMSEELLALEAGSEINLPKSVGIEDSQIIAKAIIVESSNEVQVPTAKLLIAAVNPNADISDGSDLRASAVVLKLPSEKNLSKGTTRSVFEIDCIPLWGSVSICGRRPEMEDAVAAVPRFAKIPINMLIGDRVVDGLSESLTHITSHFFGVYDGHGGVQVANYCRDRIHWALAEEIANVKNDLSDASMEGNQQVQWEKAFTSCFLKVDDEIGGKGTRGTIEDAGHASEATSEPLAPETVGSTAVVALVCSSHIIVANCGDSRAVLYRGKESIALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEIMFIPRARDDECLILASDGLWDVMTNDEACEVARKKILLWHKKNGVTSPVERGNGIDPASQAAADCLSMLALQKGSKDNISVIVVDLKAQRKFKSKS >Manes.03G186100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30658546:30666683:1 gene:Manes.03G186100.v8.1 transcript:Manes.03G186100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKSSVDVDFFTQYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKSIPFSHKFPNADPLALRLLEKMLAFEPKDRPTAEEALANPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGATAAPPERQHASLPRPCVLYSNNTVQNSAEVTNDLSKCSIKDTEKPRMDHSGGIPMTRLPLQVPQIIQAGAARPGKVVGSAMRYNNCGVAVTAEALDQRRIVRNPAISNQYTASNCSYPRRNPVCKSERGEDEGEGSNGLQPKPQYMARKVAAAQGGPGNHWY >Manes.03G186100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30658523:30666716:1 gene:Manes.03G186100.v8.1 transcript:Manes.03G186100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKSSVDVDFFTQYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAEAIARVRNEKARRYLSSMRKKKSIPFSHKFPNADPLALRLLEKMLAFEPKDRPTAEEALANPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGATAAPPERQHASLPRPCVLYSNNTVQNSAEVTNDLSKCSIKDTEKPRMDHSGGIPMTRLPLQVPQIIQGAARPGKVVGSAMRYNNCGVAVTAEALDQRRIVRNPAISNQYTASNCSYPRRNPVCKSERGEDEGEGSNGLQPKPQYMARKVAAAQGGPGNHWY >Manes.14G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1529286:1531319:1 gene:Manes.14G003800.v8.1 transcript:Manes.14G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKPISSGKGIIVLCIASFIAGSLFTSRTWIHTSPSQAKDHQNVPLISHYVNKLQEVKRDCDHKRKFAEGKPGDIMGEVKKTHQAIKSLENTISALEMELTAARTSKSSSQISLERPTNHSLQKAFVVIGINTAFSSRKRRDSVRETWMPKGAKLKELEKEKGIVIRFVIGHSATPGGALDKALDLEEAEYKDFLRLQHVEGYHQLSTKTRLYFSTAVSIWDAHFYVKVDDDVHVNLGTLTTTLAKHRSKSRIYIGCMKSGPVLSQKGVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLANYISINSPILHRYANEDVSLGSWFIGLEVEHVDERSLCCGTPPDCEWKAQAGNVCVASFDWSCSGICNSVERMKQIHSSCGEAEGGVWNVDV >Manes.06G059700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17644502:17647835:-1 gene:Manes.06G059700.v8.1 transcript:Manes.06G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLSLHILSPIPTDCITSRRLSKNFGTRNLAVRTSVSRSSKWSLRLSLVEQSPPVESTAVDVKQLVDFLYDDLPHLFDDQGIDRTAYDEHVKFRDPITKHDSISGYLFNISLLKVLFRPQFFLHWVKQTGPYEITTRWTMVMKFMLLPWKPELIFTGTSVMGINPETGKFCSHLDFWDSIKNNEYFSLEGLWDVFKQLRIYKTPDLETPKYQILKRTGGYEIRKYAPFIVVETRGNKLSGSTGFNDVAGYIFGKNSTMEKIPMTTPVFTEANDVESSEVSIQIVIPLEKEFSSLPDPNEEKINLRKVEGGIAAVLKFSGKPTDEIVREKERVLRSSLIKDGIKPTMGCLLARYNDPGRTWSFTMRNEVLIWLEEFSLE >Manes.11G013800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1283099:1286509:1 gene:Manes.11G013800.v8.1 transcript:Manes.11G013800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLSSLFFVVFHLQLVLISATSEAKSDEHPKKHVHFFIFGDSFLDAGNNNYINTTTLDQANFWPYGETHFNFPTGRFSDGRLMVDFIAEYANLPLILPFLQPGIDQYRFGVNFASGGAGALVETFQGDDVYELGGRKFAFINLPELGCLPAMRIIKPENNGKCLEEASLLATLHNKALSKLLFGLEKQLKGFRYSIYDFKTSLHQRMKHPSKYGLKQGKAACCGTGKFRGVYSCGGKRAVKEFELCKNPNEYLFWDSFHLTEMAYKQLADEMWGGNGNSLAVGPYNLKQLFQN >Manes.11G013800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1283099:1285248:1 gene:Manes.11G013800.v8.1 transcript:Manes.11G013800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLSSLFFVVFHLQLVLISATSEAKSDEHPKKHVHFFIFGDSFLDAGNNNYINTTTLDQANFWPYGETHFNFPTGRFSDGRLMVDFIAEYANLPLILPFLQPGIDQYRFGVNFASGGAGALVETFQGDVIDLKTQLSYYKKVEKWLRDKLGNNEAKMTISKAVHLFSIGSNDYMSPFLTNSTVLNSYSNSRFVGMVIGNLTAVLKDVYELGGRKFAFINLPELGCLPAMRIIKPENNGKCLEEASLLATLHNKALSKLLFGLEKQLKGFRYSIYDFKTSLHQRMKHPSKYGTYCK >Manes.11G013800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1283099:1286509:1 gene:Manes.11G013800.v8.1 transcript:Manes.11G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRLSSLFFVVFHLQLVLISATSEAKSDEHPKKHVHFFIFGDSFLDAGNNNYINTTTLDQANFWPYGETHFNFPTGRFSDGRLMVDFIAEYANLPLILPFLQPGIDQYRFGVNFASGGAGALVETFQGDVIDLKTQLSYYKKVEKWLRDKLGNNEAKMTISKAVHLFSIGSNDYMSPFLTNSTVLNSYSNSRFVGMVIGNLTAVLKDVYELGGRKFAFINLPELGCLPAMRIIKPENNGKCLEEASLLATLHNKALSKLLFGLEKQLKGFRYSIYDFKTSLHQRMKHPSKYGLKQGKAACCGTGKFRGVYSCGGKRAVKEFELCKNPNEYLFWDSFHLTEMAYKQLADEMWGGNGNSLAVGPYNLKQLFQN >Manes.09G132600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33369349:33370656:-1 gene:Manes.09G132600.v8.1 transcript:Manes.09G132600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVALAIFTFCLFCLVSCDAASEPGNGFSVELINRDSPTSPFYRPEETHTQRFSNAFRRSIGRVHHFNQESSSVFPTTVESEIFNNQGEYLMKISLGTPAFEILAIADTGSDLIWTKCRPCVECYQEDAPIFNPKASSTYRDLSCSTRQCRSLSERKCKRNQCHYSYSYGDGSFTVGNLAAETITLGSTSGRPVALAKSVFGCGHNNSGAFSGQVSGLVGLGGGSISLISQLGSSIGGKFSYCLVPFFSRTANSSKLNFGSKGVVSGEGTMSTPLIRKNPDTFYFLTLEAISVGKKRIKFPSFSSETIEGNIIIDSGTTLTLVPEEFYSELESEVESSINGERVSDPTGTLSLCYTVESDIKVPILTAHFTGADVKLKPLNTFVQISESVTCFSFAPIRSGAIFGNLAQVNFLVGYDLQEKTVSFKPTDCSKK >Manes.03G025601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2085146:2091785:-1 gene:Manes.03G025601.v8.1 transcript:Manes.03G025601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSEKKKREGAVSATLSCRADQIWPQLEDFFNIHKWISAIVDTCYGIEGISGQPGCIRYCAKKSISDDGSGEVVSWAKEKLLSIDPNGRCLSSSLLENNIGFESHMATMKLLPSGSQEVLHNISTCYGIEGISGQPGCIRYCAKTSISDDGSGEMVTWAHEKLLSIDPNERGLSYSLVENNLGFESHMATIKLLPSGSQEQEECEVIWSFSLEPIEGQTLDATVSTYDTAIQSLKKQMEDHLQVKSKE >Manes.06G077600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21414908:21417710:-1 gene:Manes.06G077600.v8.1 transcript:Manes.06G077600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKQNAKLFSYISHFPTHFSHSDLLLSFKKSKKATMALAPLLHSKLPSLQLLLLISIFIFCSSLYASDDPPLSLDYYASTCPAVFDIIRKEMECEVLSDPRNAALVVRLHFHDCFVQGCDGSVLLDDTITLQGEKKASPNVNSLIGFSIIDKIKNKVESECPGIVSCADILTIAARDAVILVGGPYWDVPVGRKDSKTASYELASANIPTADEGLVSIISKFLYQGLSVKDMVALSGAHTIGMARCANFRARIYGDFETTSERSPISETYLSNLKSTCPAAGGGDDNVSAMDNVTPNLFDNSYFQILLKGEGLLNSDQELYSSMLGVQTKKLVAKYAHDPVGFFKQFSDSMVKLGNITNPDSFTNGEVRKNCRFVNT >Manes.12G042300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3800711:3805922:1 gene:Manes.12G042300.v8.1 transcript:Manes.12G042300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVNRQKVLLGHLQPSSSSSSSLSQSHESACFSTSVCAAGDSAAYHRTAAFGDDVVIVGAYRTAICKAKRGGFKDTHPDDLLGSVLKALIEKTNVNPSEVGDIVVGTVLPPGSQRATECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAASIKAGFYDIGIGAGLECMTVDAIGRVPQVNPRVDAFVQARDCLLPMGITSENVAQRYGVTRQEQDHAAVESHRKAAAATISGKFKDEIIPVSTKIVDPKTGEEKSVTISVDDGIRPNTNMADLAKLKPAFKKDGSTTAGNASQVSDGAGAVLLTKRSVAVQKGLPVLGVFRSFAAVGVDPAVMGVGPAFAIPAAVKAAGLELNDIDLFEINEAFASQYVYCCKKLELDPEKVNVNGGAIALGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDCVDELCNARMVKNNKLLSKDAL >Manes.01G019600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4590119:4594909:1 gene:Manes.01G019600.v8.1 transcript:Manes.01G019600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMICKQATDRFGPFCICNIEHFLLFYFVWLLLLIFPLLNFAEVLKELLHGFPNLAMTTDLSCTTALHTAAAQGHIDVVNLLLETDSNLVKIARNNGKSALHTAARMGHLEVVRSILSKDPSTGLRTDKKGQTALHMAVKGQNKEIVLELLKADISVMSLEDNKGNTALHIATKKGRIQNVRCLLSVEGINVNATNKAGETPLDIAEKLGISQVVSMLKELGAFNSKDLGKPPNPAKQLKQTVSDIKHEVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTEGTSLGQAHIAKNPAFLVFCVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLSYVVVGQKSRWLAICATVIGGSIMLTTIGSMCYCVILHRMEESRLRSIRRESRSGSYSMSMVSDHEVLDSEYKRMYAL >Manes.01G019600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4590128:4594909:1 gene:Manes.01G019600.v8.1 transcript:Manes.01G019600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEKQSSFKGRTMEKQQSFKQKVMEKLPSFRGGTENQSTSRGVMEKHPSFRGALEKQKSFRGFVENQKTFRSVMERQLSFIGGGERKKSKESPGKRGDSQIHLAARAGNLSRIREILQNCDGNHAKDLLAIQNQEGETPLYAAAENGHAGVVAEMLGYMDLQTASIAARNGFDPFHVAAKQGHLEVLKELLHGFPNLAMTTDLSCTTALHTAAAQGHIDVVNLLLETDSNLVKIARNNGKSALHTAARMGHLEVVRSILSKDPSTGLRTDKKGQTALHMAVKGQNKEIVLELLKADISVMSLEDNKGNTALHIATKKGRIQNVRCLLSVEGINVNATNKAGETPLDIAEKLGISQVVSMLKELGAFNSKDLGKPPNPAKQLKQTVSDIKHEVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTEGTSLGQAHIAKNPAFLVFCVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLSYVVVGQKSRWLAICATVIGGSIMLTTIGSMCYCVILHRMEESRLRSIRRESRSGSYSMSMVSDHEVLDSEYKRMYAL >Manes.01G019600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4590119:4594909:1 gene:Manes.01G019600.v8.1 transcript:Manes.01G019600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLSCTTALHTAAAQGHIDVVNLLLETDSNLVKIARNNGKSALHTAARMGHLEVVRSILSKDPSTGLRTDKKGQTALHMAVKGQNKEIVLELLKADISVMSLEDNKGNTALHIATKKGRIQNVRCLLSVEGINVNATNKAGETPLDIAEKLGISQVVSMLKELGAFNSKDLGKPPNPAKQLKQTVSDIKHEVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTEGTSLGQAHIAKNPAFLVFCVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLSYVVVGQKSRWLAICATVIGGSIMLTTIGSMCYCVILHRMEESRLRSIRRESRSGSYSMSMVSDHEVLDSEYKRMYAL >Manes.01G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4590128:4594965:1 gene:Manes.01G019600.v8.1 transcript:Manes.01G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEKQSSFKGRTMEKQQSFKQKVMEKLPSFRGGTENQSTSRGVMEKHPSFRGALEKQKSFRGFVENQKTFRSVMERQLSFIGGGERKKSKESPGKRGDSQIHLAARAGNLSRIREILQNCDGNHAKDLLAIQNQEGETPLYAAAENGHAGVVAEMLGYMDLQTASIAARNGFDPFHVAAKQGHLEVLKELLHGFPNLAMTTDLSCTTALHTAAAQGHIDVVNLLLETDSNLVKIARNNGKSALHTAARMGHLEVVRSILSKDPSTGLRTDKKGQTALHMAVKGQNKEIVLELLKADISVMSLEDNKGNTALHIATKKGRIQNVRCLLSVEGINVNATNKAGETPLDIAEKLGISQVVSMLKELGAFNSKDLGKPPNPAKQLKQTVSDIKHEVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTEGTSLGQAHIAKNPAFLVFCVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLSYVVVGQKSRWLAICATVIGGSIMLTTIGSMCYCVILHRMEESRLRSIRRESRSGSYSMSMVSDHEVLDSEYKRMYAL >Manes.01G019600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4590128:4594909:1 gene:Manes.01G019600.v8.1 transcript:Manes.01G019600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKSKDQYFREVFCMIMEKQSSFKGRTMEKQQSFKQKVMEKLPSFRGGTENQSTSRGVMEKHPSFRGALEKQKSFRGFVENQKTFRSVMERQLSFIGGGERKKSKESPGKRGDSQIHLAARAGNLSRIREILQNCDGNHAKDLLAIQNQEGETPLYAAAENGHAGVVAEMLGYMDLQTASIAARNGFDPFHVAAKQGHLEVLKELLHGFPNLAMTTDLSCTTALHTAAAQGHIDVVNLLLETDSNLVKIARNNGKSALHTAARMGHLEVVRSILSKDPSTGLRTDKKGQTALHMAVKGQNKEIVLELLKADISVMSLEDNKGNTALHIATKKGRIQNVRCLLSVEGINVNATNKAGETPLDIAEKLGISQVVSMLKELGAFNSKDLGKPPNPAKQLKQTVSDIKHEVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTEGTSLGQAHIAKNPAFLVFCVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLSYVVVGQKSRWLAICATVIGGSIMLTTIGSMCYCVILHRMEESRLRSIRRESRSGSYSMSMVSDHEVLDSEYKRMYAL >Manes.01G019600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4590128:4595134:1 gene:Manes.01G019600.v8.1 transcript:Manes.01G019600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEKQSSFKGRTMEKQQSFKQKVMEKLPSFRGGTENQSTSRGVMEKHPSFRGALEKQKSFRGFVENQKTFRSVMERQLSFIGGGERKKSKESPGKRGDSQIHLAARAGNLSRIREILQNCDGNHAKDLLAIQNQEGETPLYAAAENGHAGVVAEMLGYMDLQTASIAARNGFDPFHVAAKQGHLEVLKELLHGFPNLAMTTDLSCTTALHTAAAQGHIDVVNLLLETDSNLVKIARNNGKSALHTAARMGHLEVVRSILSKDPSTGLRTDKKGQTALHMAVKGQNKEIVLELLKADISVMSLEDNKGNTALHIATKKGRIQNVRCLLSVEGINVNATNKAGETPLDIAEKLGISQVVSMLKELGAFNSKDLGKPPNPAKQLKQTVSDIKHEVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTEGTSLGQAHIAKNPAFLVFCVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLSYVVVGQKSRWLAICATVIGGSIMLTTIGSMCYCVILHRMEESRLRSIRRESRSGSYSMSMVSDHEVLDSEYKRMYAL >Manes.01G019600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4590128:4594909:1 gene:Manes.01G019600.v8.1 transcript:Manes.01G019600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEKQSSFKGRTMEKQQSFKQKVMEKLPSFRGGTENQSTSRGVMEKHPSFRGALEKQKSFRGFVENQKTFRSVMERQLSFIGGGERKKSKESPGKRGDSQIHLAARAGNLSRIREILQNCDGNHAKDLLAIQNQEGETPLYAAAENGHAGVVAEMLGYMDLQTASIAARNGFDPFHVAAKQGHLEVLKELLHGFPNLAMTTDLSCTTALHTAAAQGHIDVVNLLLETDSNLVKIARNNGKSALHTAARMGHLEVVRSILSKDPSTGLRTDKKGQTALHMAVKGQNKEIVLELLKADISVMSLEDNKGNTALHIATKKGRIQNVRCLLSVEGINVNATNKAGETPLDIAEKLGISQVVSMLKELGAFNSKDLGKPPNPAKQLKQTVSDIKHEVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKTEGTSLGQAHIAKNPAFLVFCVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLSYVVVGQKSRWLAICATVIGGSIMLTTIGSMCYCVILHRMEESRLRSIRRESRSGSYSMSMVSDHEVLDSEYKRMYAL >Manes.01G005700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2385404:2387627:-1 gene:Manes.01G005700.v8.1 transcript:Manes.01G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPNPHFTVILIPMQIVKSLSGPSHSHLYNLLLNPKQPSFPSFSSFAKISKTPNPAVFQFLIETFNLSVTQALPLSARHSSLKSLEKAQSVVHFFKNLGVSNSQLQSAARLSPKIIFANPDKTLAPKIKVFQDLGIVGHDLGKLISRSSPILSASLTTKLVPCVEILQKHLLNDKKNKDLVTVLIRCYRIVTCKNPQARLLSSIAYLESCGIVGSQLSFLLINQPRLLACQESVLRNTVSQTLSMGFSAKSRMLVHGLIAVFGSGDKTVERKYGLFRSFGYSEYECREIFGKAPYLLSRSEEKLKLRINFFLNTVKLEKEKLVRYAPILMQSLERRVLPRFRVWEILKSKKFLEEKVRVAMFFSTEEVFVQKFISSFPDEAEELLLAYKGHALLHLQKKKDLDVS >Manes.02G123000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9360604:9363750:1 gene:Manes.02G123000.v8.1 transcript:Manes.02G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLEAIKDLVNDANFDCSSTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNISMGMNLNNMSKMLKCSGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFAKICKDLASIGDTVVISVTKEGVKFSTRGDIGTANIVVRQNTTVDKPEEATIVEMNEPVSLTFALRYMNSFTKATPLSNTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEDETKP >Manes.18G073500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6630297:6635420:-1 gene:Manes.18G073500.v8.1 transcript:Manes.18G073500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRLVDEQSDVVSSAKKKKSGEGDRVDKVLVLVADKDSSKSHPLVVSRPPQPIVVNRARSQSANRRVTPTNTIATAQVDNGFSTAVEKLLPNGDLYIGSFSGNAPHGSGKYLWTDGCMYEGEWRRGKASGKGKFSWPSGATFEGEFKSGRMEGFGTFIGSDGDTYRGSWSSDRKHGYGQKRYANGDFYEGTWKKNLQDGKGRYVWKNGNVYDGEWKNGVISGRGVLMWANGNRYDGQWENGVPKGNGIFTWPDRSCYIGTWNSNKDTQELNGTFYPGNGKEHGLKGSESDLVLPATVTRKRSSVDGARGSNMNFPRICIWESDGEAGDITCDIIDTVEASMIYRDGLDRDGIRQFRRGSCCFNGAEVKKPGETISKGHKNYDLMLNLQLGIRYSVGKHAQIRRDLKPSDFDPKEKFWTRFPPEGSKTTPPHQSVEFRWKDYCPVVFRHLREHFQIDTADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVCRYEKSLVTKFFGVHCVKPIGGQKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDKPEGEIDETTTLKDLDLNFMFRLQRNWYQELIKQINRDCEFLEAERIMDYSLLVGLHFRDDSTCDKMGLSPFILRSGNKDSYQNEKFMRGCRFLEAELQDRDRILSGRKSLIRLGANMPARAERMARRSDFDQYTPGGISHLTPSRSGEIYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKHYSKRFRDFLGRIFVEER >Manes.13G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32214098:32215565:-1 gene:Manes.13G115300.v8.1 transcript:Manes.13G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITTSFLRIFKGLPSARRIAFVMGKLYKQFVDKDIKNFEEFHIAVLDIFNTLNSALPGKHYDAPSRKEVEACFASLKDVSEAKRKQRFIHFMKKRVDRCRREDSTMVTGVITPVAAMAAKKAAENVPQLDIIKVVPDVVFVPTVTLLAIFFVKLIRIILLRRIASPYM >Manes.18G003801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:757916:769057:1 gene:Manes.18G003801.v8.1 transcript:Manes.18G003801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATPESKKPNPKTKNVYHIGGIKVEFPYQPYGTQLAFMGRVISTLDRAQKDGHCHALLESPTGTGKSLSLLCSTLAWQQNYTSKQQQANQSHQKPNPEAMSDPLAHGGGFIPETQPSSIPPSGNAEPPQSVAVNKSQKKKVAPTIFYSSRTHSQISQVIREYRKTAYRVPMAVLASRKHYCTNAHVRGGENIDEECKLLLKDPDAGCSQFKNANKVKAHPSLQSGGCHEVHDIEDLVKVGKVVKGCSYYAARSMADDAQLIFCPYSYIINPVIRGAMDVDIKEAIIILDEAHNIEDIARDAGSVDIEEEVLYKLQMELQELCMLKPAIYQPLHDMAQDLLSWINRRKSTLQKREFQHYCSCWSGDKALRELEEANISQQCFPILLDCATKAIKAATDAESDTDHLSGMSVIVLEGLFCSLTYFFSRNGCHTSDYQLALQRSIKRDRKNPVGDWKHTLSLWCLNPAVVFGDIANISLSVILTSGTLSPMNSFSSELGVQFGTCLEAPHVVDTESQVWAAVISTGPDNYPLNASYKTADEFAFQDALGKTLEDIFTVVPAGSLVFFPSYKLMEKLCNRWRETGQWSRLNVEKSLFVEPRRGSQEDDFDSVLTGYYDSIHQRTKHTVGKKRKIKKIDQNHFKAAEPTENSQKGGAAFLAVCRGKVSEGIDFSDDNARVVIVVGIPFPNIHDIQVSLKKNYNDIYKTSKKLLSGNDWYCHQAFRALNQAAGRCIRHRFDYGAIILLDERYNKEQNKAYISKWLKKSVRHYDSYKTSLEGLKSFFRDVKGNIGKRTVDLLLNSDTSKGKNMTAGDQVKVCARNEKQMLNKSDHSGGTPVPLAKCDATSPEKFEDDVEGQASMRMNEDIDSQREIIDLECDFQKDSSSRCSEASFQDYPEVTLVKETPGMGCTGATTKSSSFSKDGNSSLTMVQASAEFIDQVSSCPLSPTNVSKAVRKSQCLAEVTPKKDFTENTCNLMPEAESTLNLSVNSHTQKKSKCMVWPLIDLVEEKSDSPSAQTPGCVSFIRNTVANKDANRKIEFDFPTNPSECQSTKSRASQFLPVGNCAPSYISSDPAMDQKLLISCSRCNSPLGLSENQLYVRCSLTSSSKVYLMSLVNGGLEPCSENASTCIPVLMTDITSVDQRLCNNTIKDKPGRGVWCEEDGCVFHSLFCPFCNTSNCLGVQIMATDASNVQLLNKILFYLDRLDIQNPESAMGESSEKDLPDSQGVDKISAFNSIGRFSYSPQQNSGGWRATRPKLRLPNRGQVSNRK >Manes.18G003801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:757979:767811:1 gene:Manes.18G003801.v8.1 transcript:Manes.18G003801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATPESKKPNPKTKNVYHIGGIKVEFPYQPYGTQLAFMGRVISTLDRAQKDGHCHALLESPTGTGKSLSLLCSTLAWQQNYTSKQQQANQSHQKPNPEAMSDPLAHGGGFIPETQPSSIPPSGNAEPPQSVAVNKSQKKKVAPTIFYSSRTHSQISQVIREYRKTAYRVPMAVLASRKHYCTNAHVRGGENIDEECKLLLKDPDAGCSQFKNANKVKAHPSLQSGGCHEVHDIEDLVKVGKVVKGCSYYAARSMADDAQLIFCPYSYIINPVIRGAMDVDIKEAIIILDEAHNIEDIARDAGSVDIEEEVLYKLQMELQELCMLKPAIYQPLHDMAQDLLSWINRRKSTLQKREFQHYCSCWSGDKALRELEEANISQQCFPILLDCATKAIKAATDAESDTDHLSGMSVIVLEAGLFCSLTYFFSRNGCHTSDYQLALQRSIKRDRKNPVGDWKHTLSLWCLNPAVVFGDIANISLSVILTSGTLSPMNSFSSELGVQFGTCLEAPHVVDTESQVWAAVISTGPDNYPLNASYKTADEFAFQDALGKTLEDIFTVVPAGSLVFFPSYKLMEKLCNRWRETGQWSRLNVEKSLFVEPRRGSQEDDFDSVLTGYYDSIHQRTKHTVGKKRKIKKIDQNHFKAAEPTENSQKGGAAFLAVCRGKVSEGIDFSDDNARVVIVVGIPFPNIHDIQVSLKKNYNDIYKTSKKLLSGNDWYCHQAFRALNQAAGRCIRHRFDYGAIILLDERYNKEQNKAYISKWLKKSVRHYDSYKTSLEGLKSFFRDVKGNIGKRTVDLLLNSDTSKGKNMTAGDQVKVCARNEKQMLNKSDHSGGTPVPLAKCDATSPEKFEDDVEGQASMRMNEDIDSQREIIDLECDFQKDSSSRCSEASFQDYPEVTLVKETPGMGCTGATTKSSSFSKDGNSSLTMVQASAEFIDQVSSCPLSPTNVSKAVRKSQCLAEVTPKKDFTENTCNLMPEAESTLNLSVNSHTQKKSKCMVWPLIDLVEEKSDSPSAQTPGCVSFIRNTVANKDANRKIEFDFPTNPSECQSTKSRASQFLPVGNCAPSYISSDPAMDQKLLISCSRCNSPLGLSENQLYVRCSLTSSSKVYLMSLVNGGLEPCSENASTCIPVLMTDITSVDQRLCNNTIKDKPGRGVWCEEDGCVFHSLFCPFCNTSNCLGVQIMATDASNVQLLNKILFYLDRLDIQNPESAMGESSEKDLPDSQGVDKISAFNSIGRFSYSPQQNSGGWRATRPKLRLPNRGQVSNRK >Manes.18G003801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:757964:768465:1 gene:Manes.18G003801.v8.1 transcript:Manes.18G003801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATPESKKPNPKTKNVYHIGGIKVEFPYQPYGTQLAFMGRVISTLDRAQKDGHCHALLESPTGTGKSLSLLCSTLAWQQNYTSKQQQANQSHQKPNPEAMSDPLAHGGGFIPETQPSSIPPSGNAEPPQSVAVNKSQKKKVAPTIFYSSRTHSQISQVIREYRKTAYRVPMAVLASRKHYCTNAHVRGGENIDEECKLLLKDPDAGCSQFKNANKVKAHPSLQSGGCHEVHDIEDLVKVGKVVKGCSYYAARSMADDAQLIFCPYSYIINPVIRGAMDVDIKEAIIILDEAHNIEDIARDAGSVDIEEEVLYKLQMELQELCMLKPAIYQPLHDMAQDLLSWINRRKSTLQKREFQHYCSCWSGDKALRELEEANISQQCFPILLDCATKAIKAATDAESDTDHLSGMSVIVLEGLFCSLTYFFSRNGCHTSDYQLALQRSIKRDRKNPVGDWKHTLSLWCLNPAVVFGDIANISLSVILTSGTLSPMNSFSSELGVQFGTCLEAPHVVDTESQVWAAVISTGPDNYPLNASYKTADEFAFQDALGKTLEDIFTVVPAGSLVFFPSYKLMEKLCNRWRETGQWSRLNVEKSLFVEPRRGSQEDDFDSVLTGYYDSIHQRTKHTVGKKRKIKKIDQNHFKAAEPTENSQKGGAAFLAVCRGKVSEGIDFSDDNARVVIVVGIPFPNIHDIQVSLKKNYNDIYKTSKKLLSGNDWYCHQAFRALNQAAGRCIRHRFDYGAIILLDERYNKEQNKAYISKWLKKSVRHYDSYKTSLEGLKSFFRDVKGNIGKRTVDLLLNSDTSKGKNMTAGDQVKVCARNEKQMLNKSDHSGGTPVPLAKCDATSPEKFEDDVEGQASMRMNEDIDSQREIIDLECDFQKDSSSRCSEASFQDYPEVTLVKETPGMGCTGATTKSSSFSKDGNSSLTMVQASAEFIDQVSSCPLSPTNVSKAVRKSQCLAEVTPKKDFTENTCNLMPEAESTLNLSVNSHTQKKSKCMVWPLIDLVEEKSDSPSAQTPGCVSFIRNTVANKDANRKIEFDFPTNPSECQSTKSRASQFLPVGNCAPSYISSDPAMDQKLLISCSRCNSPLGLSENQLYVRCSLTSSSKVYLMSLVNGGLEPCSENASTCIPVLMTDITSVDQRLCNNTIKDKPGRGVWCEEDGCVFHSLFCPFCNTSNCLGVQIMATDASNVQLLNKILFYLDRLDIQNPESAMGESSEKDLPDSQGVDKISAFNSIGRFSYSPQQNSGGWRATRPKVRNLCMR >Manes.15G034700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2713999:2717834:-1 gene:Manes.15G034700.v8.1 transcript:Manes.15G034700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIARFHSIYGPFGTWKGGREKAPAAFCRKAITSTHVFEMWGDGLQTWSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEIKKLPIHHIPGPEGVRGRNSDNTLIKEKLGSAPSMKLKDGLRITYFWIKEQIEKEKAQGVDLTIYGSSKVVGTQAPVRLGSLRAGDGEE >Manes.15G034700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2713999:2717834:-1 gene:Manes.15G034700.v8.1 transcript:Manes.15G034700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIARFHSIYGPFGTWKGGREKAPAAFCRKAITSTHVFEMWGDGLQTWSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEIKKLPIHHIPGPEGVRGRNSDNTLIKEKLGSAPSMKLKDGLRITYFWIKEQIEKEKAQGVDLTIYGSSKVVGTQAPVRLGSLRAGDGEE >Manes.15G034700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2713999:2717834:-1 gene:Manes.15G034700.v8.1 transcript:Manes.15G034700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIARFHSIYGPFGTWKGGREKAPAAFCRKAITSTHVFEMWGDGLQTWSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEIKKLPIHHIPGPEGVRGRNSDNTLIKEKLGSAPSMKLKDGLRITYFWIKEQIEKEKAQGVDLTIYGSSKVVGTQAPVRLGSLRAGDGEE >Manes.15G034700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2713999:2717834:-1 gene:Manes.15G034700.v8.1 transcript:Manes.15G034700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCLKVTSGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIARFHSIYGPFGTWKGGREKAPAAFCRKAITSTHVFEMWGDGLQTWSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEIKKLPIHHIPGPEGVRGRNSDNTLIKEKLGSAPSMKLKDGLRITYFWIKEQIEKEKAQGVDLTIYGSSKVVGTQAPVRLGSLRAGDGEE >Manes.09G173501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37558176:37558532:1 gene:Manes.09G173501.v8.1 transcript:Manes.09G173501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQSLFSSIDTSYRTKVKLGNGMIVEAQGKGSVPIFARQGTKIITEVLFIPELDKNLLSVPQMESKGYSILFKDNNCFIYDPANVEVARVKKFDNSYVLHLKHLNQTTLSIKNDET >Manes.04G001100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:407003:421378:-1 gene:Manes.04G001100.v8.1 transcript:Manes.04G001100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSIEQILKDKQSLNPTSITSLSLTHRALSDVSCLSQFTNLERLDLASNSLTSLEGLCSCVKLKWLSVVQNKLRSLKGIEGLSNLAVLNAGKNMLRSMDEVSSLVSLRALILNDNEIVSICKLDQIKELNTLVLSRNPIREIGESLVKAKSITKLSLSNCELQSIDSSLKSCIELKELRLAYNDIKTLPAELAYNKKLQNLDLGNNVITRWSDVKVLNFLADLKNLNLQGNPIAERDKLAKKVLKLLPNLHIFNARPVDKSIKKGDSGRVDNADEVSVIPANELEAPKGKKKDSMRENKLSEHEIDQSRGGHLGNLSDANKKRDLKKKKKVEEKLSCKEVVPGYGGDNMSERKLKRKTSQEQNNGDDNILEDLKQKRRKTDDKFLKKDVKVQGDDKSTVEKKPKSKKSREEQGELDVIDNGETSFADLFAVNAAESPKLGDEKKMVDKAEDASSMGGGLVASAKKKITKNRGRGAAVQLPAAVEVGMGGPSTWADE >Manes.09G143000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34125614:34128580:1 gene:Manes.09G143000.v8.1 transcript:Manes.09G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILGGQGSVMVEGKRRPKTKIVCTLGPQSRSVDLLERLLKAGMNVARFNFSHGTHAYHQETLNNLRTAMNNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEILISTDYSLKGDENMICMSYKKLAKDVKPGSIILCSDGTISFRVLACDKENGLVHCCCENSAVLGEKKNVNLPGVIVDLPTLTEKDKEDILQWGIPNKIDMIALSFVRKGSDLKEVRELLGEHAKKILLMSKVENQEGVTNFDEILANSDAFMVARGDLGMEIPIEKIFLAQKLMIHKANIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMARICIEAEDFINYGDLSKRIMENAPMPMNPLESLASSAVRTANSVRAAFILVLTRGGNTAKLLSKYRPSVPILSVVVPEIKTEFFEWSCSDETPARHSLIYRGLVPVLSSGSAGASDSEFTEETIEHALEYAKDKGFCKPGDSVVILHKIDVASVIKILLVQ >Manes.01G254600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41198109:41202013:-1 gene:Manes.01G254600.v8.1 transcript:Manes.01G254600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDQVEKMQTRQSYVNVWHTDLMNTVVDDTPYCCFALICGPCASYMLRRRALYNDMSRYLCCAGYVPCSGKCGEKNCPELCLCTEVFCCFANSVASTRFLLQDEFNIQTTQCDNCIIGFMICLQQVACICSLVACITGSDEIGDLADLLTCLSDLVFCSVCPCLQTQHKIEMDKRDGKLGRELVMAVPPMQQMSRIDQQTPPLVGYPPQQVYAPYGQPPPPYAQGYPPAGYPPSAYPPPPPGAYPPPPPGAYPPPPPGAYPPPPPGAYPPPPPGAYPPAPGYPSADYSK >Manes.01G254600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41198109:41202013:-1 gene:Manes.01G254600.v8.1 transcript:Manes.01G254600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICQGTSAVLDMYHAAVSAEKRIALNCVFALRSSVALQIQLPQLASYCKMNSTSRLHNGFMICLQQVACICSLVACITGSDEIGDLADLLTCLSDLVFCSVCPCLQTQHKIEMDKRDGKLGRELVMAVPPMQQMSRIDQQTPPLVGYPPQQVYAPYGQPPPPYAQGYPPAGYPPSAYPPPPPGAYPPPPPGAYPPPPPGAYPPPPPGAYPPPPPGAYPPAPGYPSADYSK >Manes.09G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4940675:4946033:-1 gene:Manes.09G024500.v8.1 transcript:Manes.09G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCLTPSRAVNHDNKKNKKKQNPFAVDYGHSNGVQHKLTVLKDPTGREIEQRYELGRELGRGEFGVTYLCTDKETGDNFACKSISKKKLRTAVDIEDVRREVQIMRHLPKHPNIVSLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGEKFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVLDFKRDPWPKVSDNAKDLVKKMLNPDPKRRLTAQQVLDHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLPVEEVAGIKEGFQVIDTSNKGKVNIDELRIGLQKLGHQITETDLQMLMEAGDVDRDGHLDYGEFVTISVHLRKMGNDEHLRKAFEFFDKNQSGYIEIEELRDALADEVDQNSEEIINAIIHDVDTDKDGRISYDEFATMMKAGTDWRKASRQYSRERFNSLSLKLMKDGSLQLNNEAR >Manes.09G024500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4941387:4946033:-1 gene:Manes.09G024500.v8.1 transcript:Manes.09G024500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCLTPSRAVNHDNKKNKKKQNPFAVDYGHSNGVQHKLTVLKDPTGREIEQRYELGRELGRGEFGVTYLCTDKETGDNFACKSISKKKLRTAVDIEDVRREVQIMRHLPKHPNIVSLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFKPGEKFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVLDFKRDPWPKVSDNAKDLVKKMLNPDPKRRLTAQQVLDHPWLQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLPVEEVAGIKEGFQVIDTSNKGKVNIDELRIGLQKLGHQITETDLQMLMEAGDVDRDGHLDYGEFVTISVHLRKMGNDEHLRKAFEFFDKNQSGYIEIEELRDALADEVDQNSEEIINAIIHDVDTDKDGRISYDEFATMMKAGTDWRKASRQYSRERFNSLSLKLMKDGSLQLNNEAR >Manes.05G205100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33170474:33175858:-1 gene:Manes.05G205100.v8.1 transcript:Manes.05G205100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGIGANDEIRERRSDFENSEDERRQSKIGTLKKKALNASNKFTHSLKKRGNRKIDYRVSSVSIEDVRDEKEESTVFELRQRLLERNLLPPRHDEYHTLLRFLKAREYNIEKTIQMWEEMLHWRKEYGTDTILEDFDFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTTDRYLKYHVQEFERALLEKFPASSIAARKQMCSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLDRMYIVNAGPGFRKMLWPAAQKFLDSKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCTCSAEGGCLRSNKGPWNDPDVMKLVHNAEAAFVRQITRVPSNQLKFDTHIQMPLQKGSSDTSAAESGSEIDDPSPINPSYVFPRLAPVHEEVRASDPNAYYSSDENFPLVEKAVQSNLGAEHPQDRFLESNDLGDLPSEILSSVEGGLASYWLEIIKEKIGKRHNVAKMLMSFMVKLVAFACSLTLKFWTRQNNIHPSNLVEHNADGHSTAVGTVSEDLVRPCIERLQSLEKLVEELSNKPAAIPLEKEQMLMESLERIKSVEFDLEKTKRVLHATVVKQLEISKLLDDLRESKRRRRRLFC >Manes.05G205100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33170474:33175858:-1 gene:Manes.05G205100.v8.1 transcript:Manes.05G205100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGIGANDEIRERRSDFENSEDERRQSKIGTLKKKALNASNKFTHSLKKRGNRKIDYRVSSVSIEDVRDEKEESTVFELRQRLLERNLLPPRHDEYHTLLRFLKAREYNIEKTIQMWEEMLHWRKEYGTDTILEDFDFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTTDRYLKYHVQEFERALLEKFPASSIAARKQMCSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLDRMYIVNAGPGFRKMLWPAAQKFLDSKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCTCSAEGGCLRSNKGPWNDPDVMKLVHNAEAAFVRQITRVPSNQLKFDTHIQMPLQKASFCLREVATHQQLNQVLKLMILLQSIRVMCFHVWPPFMRKASDPNAYYSSDENFPLVEKAVQSNLGAEHPQDRFLESNDLGDLPSEILSSVEGGLASYWLEIIKEKIGKRHNVAKMLMSFMVKLVAFACSLTLKFWTRQNNIHPSNLVEHNADGHSTAVGTVSEDLVRPCIERLQSLEKLVEELSNKPAAIPLEKEQMLMESLERIKSVEFDLEKTKRVLHATVVKQLEISKLLDDLRESKRRRRRLFC >Manes.05G205100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33170474:33176725:-1 gene:Manes.05G205100.v8.1 transcript:Manes.05G205100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGIGANDEIRERRSDFENSEDERRQSKIGTLKKKALNASNKFTHSLKKRGNRKIDYRVSSVSIEDVRDEKEESTVFELRQRLLERNLLPPRHDEYHTLLRFLKAREYNIEKTIQMWEEMLHWRKEYGTDTILEDFDFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTTDRYLKYHVQEFERALLEKFPASSIAARKQMCSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLDRMYIVNAGPGFRKMLWPAAQKFLDSKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCTCSAEGGCLRSNKGPWNDPDVMKLVHNAEAAFVRQITRVPSNQLKFDTHIQMPLQKGSSDTSAAESGSEIDDPSPINPSYVFPRLAPVHEEVRASDPNAYYSSDENFPLVEKAVQSNLGAEHPQDRFLESNDLGDLPSEILSSVEGGLASYWLEIIKEKIGKRHNVAKMLMSFMVKLVAFACSLTLKFWTRQNNIHPSNLVEHNADGHSTAVGTVSEDLVRPCIERLQSLEKLVEELSNKPAAIPLEKEQMLMESLERIKSVEFDLEKTKRVLHATVVKQLEISKLLDDLRESKRRRRRLFC >Manes.05G205100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33170474:33176725:-1 gene:Manes.05G205100.v8.1 transcript:Manes.05G205100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGIGANDEIRERRSDFENSEDERRQSKIGTLKKKALNASNKFTHSLKKRGNRKIDYRVSSVSIEDVRDEKEESTVFELRQRLLERNLLPPRHDEYHTLLRFLKAREYNIEKTIQMWEEMLHWRKEYGTDTILEDFDFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTTDRYLKYHVQEFERALLEKFPASSIAARKQMCSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLDRMYIVNAGPGFRKMLWPAAQKFLDSKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCTCSAEGGCLRSNKGPWNDPDVMKLVHNAEAAFVRQITRVPSNQLKFDTHIQMPLQKGSSDTSAAESGSEIDDPSPINPSYVFPRLAPVHEEVRASDPNAYYSSDENFPLVEKAVQSNLGAEHPQDRFLESNDLGDLPSEILSSVEGGLASYWLEIIKEKIGKRHNVAKMLMSFMVKLVAFACSLTLKFWTRQNNIHPSNLVEHNADGHSTAVGTVSEDLVRPCIERLQSLEKLVEELSNKPAAIPLEKEQMLMESLERIKSVEFDLEKTKRVLHATVVKQLEISKLLDDLRESKRRRRRLFC >Manes.05G205100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33170474:33176725:-1 gene:Manes.05G205100.v8.1 transcript:Manes.05G205100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGIGANDEIRERRSDFENSEDERRQSKIGTLKKKALNASNKFTHSLKKRGNRKIDYRVSSVSIEDVRDEKEESTVFELRQRLLERNLLPPRHDEYHTLLRFLKAREYNIEKTIQMWEEMLHWRKEYGTDTILEDFDFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTTDRYLKYHVQEFERALLEKFPASSIAARKQMCSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLDRMYIVNAGPGFRKMLWPAAQKFLDSKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCTCSAEGGCLRSNKGPWNDPDVMKLVHNAEAAFVRQITRVPSNQLKFDTHIQMPLQKASFCLREVATHQQLNQVLKLMILLQSIRVMCFHVWPPFMRKASDPNAYYSSDENFPLVEKAVQSNLGAEHPQDRFLESNDLGDLPSEILSSVEGGLASYWLEIIKEKIGKRHNVAKMLMSFMVKLVAFACSLTLKFWTRQNNIHPSNLVEHNADGHSTAVGTVSEDLVRPCIERLQSLEKLVEELSNKPAAIPLEKEQMLMESLERIKSVEFDLEKTKRVLHATVVKQLEISKLLDDLRESKRRRRRLFC >Manes.05G205100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33170474:33176725:-1 gene:Manes.05G205100.v8.1 transcript:Manes.05G205100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGIGANDEIRERRSDFENSEDERRQSKIGTLKKKALNASNKFTHSLKKRGNRKIDYRVSSVSIEDVRDEKEESTVFELRQRLLERNLLPPRHDEYHTLLRFLKAREYNIEKTIQMWEEMLHWRKEYGTDTILEDFDFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTTDRYLKYHVQEFERALLEKFPASSIAARKQMCSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLDRMYIVNAGPGFRKMLWPAAQKFLDSKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCTCSAEGGCLRSNKGPWNDPDVMKLVHNAEAAFVRQITRVPSNQLKFDTHIQMPLQKASFCLREVATHQQLNQVLKLMILLQSIRVMCFHVWPPFMRKASDPNAYYSSDENFPLVEKAVQSNLGAEHPQDRFLESNDLGDLPSEILSSVEGGLASYWLEIIKEKIGKRHNVAKMLMSFMVKLVAFACSLTLKFWTRQNNIHPSNLVEHNADGHSTAVGTVSEDLVRPCIERLQSLEKLVEELSNKPAAIPLEKEQMLMESLERIKSVEFDLEKTKRVLHATVVKQLEISKLLDDLRESKRRRRRLFC >Manes.05G205100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33170281:33176725:-1 gene:Manes.05G205100.v8.1 transcript:Manes.05G205100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGIGANDEIRERRSDFENSEDERRQSKIGTLKKKALNASNKFTHSLKKRGNRKIDYRVSSVSIEDVRDEKEESTVFELRQRLLERNLLPPRHDEYHTLLRFLKAREYNIEKTIQMWEEMLHWRKEYGTDTILEDFDFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSRLMRITTTDRYLKYHVQEFERALLEKFPASSIAARKQMCSTTTILDVQGLGIKNFTRTAANLLAAMTKIDNSYYPETLDRMYIVNAGPGFRKMLWPAAQKFLDSKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCTCSAEGGCLRSNKGPWNDPDVMKLVHNAEAAFVRQITRVPSNQLKFDTHIQMPLQKGSSDTSAAESGSEIDDPSPINPSYVFPRLAPVHEEVRASDPNAYYSSDENFPLVEKAVQSNLGAEHPQDRFLESNDLGDLPSEILSSVEGGLASYWLEIIKEKIGKRHNVAKMLMSFMVKLVAFACSLTLKFWTRQNNIHPSNLVEHNADGHSTAVGTVSEDLVRPCIERLQSLEKLVEELSNKPAAIPLEKEQMLMESLERIKSVEFDLEKTKRVLHATVVKQLEISKLLDDLRESKRRRRRLFC >Manes.15G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2127815:2140366:1 gene:Manes.15G026900.v8.1 transcript:Manes.15G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAQRRIQAINSHVIAAVDTPSQLRLKPAAGEFFSEQGYSVVLPEKLQTGKWNVYRSVRSPHKLVSRFPDNPDIGTLHDNFTRSVDAFRDYKYLGARIRIDGKIGEYKWMTYGEAGTERTRIGSGLRYQGMSNGSCIGLYFINRPEWLLVDHACSAYSFISVPLYDTLGPDAVKYIANHADIRAIFCLPQILTPLLSFLSEISTVRLIVVVGGMEDQMPSLSSSTGVQVITYSKLLSQGRSNPHPLSPPKPEDVATICYTSGTTGTPKGVTLTHGNLIAHVAGLSVKANFYTSDVYLSYLPFPHIYERAFQVLLAYYGVAVGFYQGDTTKLMDDAAALRPTIFCSVPRLYNRIYTSIINSVKTSGALRERLFNAAYNAKKQALLNGESPSPMWDRLVFNKIRERLGGRVRYMGSGASPLSPDVMEFLKICFGCRVTEGYGMTETSCVISNVDEGDNLTGHVGSPNPACEIKLVDVPEMKYTSEDQPYPRGEICVRGPTVFQGYHKDEAQTREIIDEDGWLHTGDIGLWLPEGRLKIIDRKKNIFKLAQGEYIAPEKIENIYAKCKFIAQCFVYGDSLNSSLVAVVSVDRAILKAWAASEGIKYDSLEQLCNNPRARAAVLADMDVLGREAKLRGFEFAKAVTLVAQPFSIEKGFLTPTLKIKRPQAKAFFEKEISKMYAELATSVPSSKGKL >Manes.13G073500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10844460:10846904:1 gene:Manes.13G073500.v8.1 transcript:Manes.13G073500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCEFCGVVRAVVYCKSDSARLCLNCDEFVHSANCLSRRHSRSLLCDKCNSQPSILRCLDDKLSICQNCDWNNTNSCSSSGHHLQVLSCYTGRPSLAEFSRIWSSVLDASPSTTTSYDPEGLGTTSLPSLQGNEDSMISCLKNKDNEGTFGLVTRKLNELEPCMRSSNVIPQDPNSVPHGRDQESFLPEDPNMSKAGCSNFKDLEIPDGEDLCEGLNMDDVALNFENGDDIFGCPQVQPRYHQGDIRKDCILMEKSLSVTESNAGRVDNTIEVTSSGQQDCIAFQSPQVACSTSMIQAMYGSTSCLYMNPSFNRSINLGFPTTTRQIHSSISLSPSNITGESSAADYQDCGISPVFLTKESPRELNLETSCPQAREKAKMRYKEKKKTRIFGKQIRYASRKALADTRKRVKGRFVKVGEAYDYDPFVNKYKLCLS >Manes.11G092164.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15389223:15390215:1 gene:Manes.11G092164.v8.1 transcript:Manes.11G092164.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPGLYSGTSTFALVARVSAFSVGLVYGNLKLKYLRIKLLSYFRCLTGYLFVGLQRFEDCLNLRTTKLNVQWAMPNHGWIMRIDQMEKLS >Manes.10G138300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30631838:30634515:-1 gene:Manes.10G138300.v8.1 transcript:Manes.10G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSISERVKYMWEHWNIRVAVQFSLALQILLIGLAPSRKWTSNKLVNLLVWSSYLLADATANFAVGLIFNGKSSPPDHVSPAENSKLLAFWAPFLLLHLGGPDTITAFALEDNQLWLRHLLAFIVRFATTGYVFFRNLRKNKVIIPTALLFLAGTIKYLERTYSLYLASKDKFRASMLRKPDPGINFAKFAEVVASKKPEELPEVKTENRREAMVTDAVTDFCLDIRKLEDLDVVQEAHKYFKIFKGLIVGIIYSFEQRDKSRHFFNSISAEDAFKVIAAELNFLYEVLYTKVVVVHSMLGLDGVSILMAIFSNWTIVAVLESMPRPEDRSHWVLRLFSKFLILKRPKMYVCEPDKHTTLLTCIPFRSWSESVPGYNVIRYCLKQRPRKIHNVMHSIQRVICYLGIDKIANQCCGIINKVGQCINISSRKVIQVLFDEEIQVLLIDNVIPCLNSFKKGLIQCLKSLKRGLIDLVGLKDFLDELKYASSKPLTVELWAFIFSELQMKSWLIDDPETARKICSARGEWVLQSHGLDKIRSDLMAYVVDVRYDESVLMWHIATELLCNDTKGIDNCSNEREFSKILSDYMLYLLIMQPTMMAAVAGISKLRFEDTCADAKRFFKKRGIRSNNVKKACRLILEVNTEFKPAQVKRDGSLSVLFTASMLAKELRKLREQKWKILSQVWVEMLSYAASHCNATSHAVQLSKGGELVTFVWLLMSQFGVGNQFQSNCSLLLQG >Manes.06G089800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22568048:22574088:1 gene:Manes.06G089800.v8.1 transcript:Manes.06G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEQNMHKESGGVGNSKSGDGLIDRSRVRILLCDNDTKSSEEVFTLLLKCSYQVTSVRSARQVIDALNAEGPDIDIILAEVDLPMKKGMKMLKYITRDRELRRIPVIMMSAQDEVPVVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRHMLGLVEKNILNYEFDLVASDPSDANTNSTTLFSDDTDDKSQKCTNPEMGVSIHLEIEAAAAVEPSSIALEYRPDVPGISDCRTGQLSSGPKKSELKIGESSAFFTYVKSSKIKNNSQGLTSIGDNAGLKLGLQEKVQACGQQVVNDAQSHENGEKRESFSHDDFRSSSSIPDSLSLERSCTPPMSREFPQRNFKDENLPYVLMHARNELQHDASSLSTPNVYPYYMPGVVNQVIMSSSAQLYQKNMHELQSNAPSAVLPQYNHLPQCSPHVTGMTSFPYYPVNLCLQPGQTPATHSWPSFGSSSSPGVKLNKLDRREAALLKFRQKRKERCFDKKIRYVNRKKLAERRPRVRGQFVRKVNGLNVDLNGEPAPIDYDEDEEEDDDEQASRDSSPDLDASGS >Manes.01G066500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26671843:26673351:-1 gene:Manes.01G066500.v8.1 transcript:Manes.01G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVAADGNNLFFPMILLLPLLLLILKHLRTSSSPPLPPGPSPLPILGNLLQMGNNPHVTLTHFAKTYGPLLKIKLGTQLVVVGSSKEAAIEILKTHDRVLSGRLTPHAAPTKSMELDGFQVGWVDECNDHWKYLRTIYKTELFSGRAMMESQAVAREKMVKDVVRLMNKMEGQVVQIRQVAFAAIFNMLGNVMVSRDVVNFEQESVEGVIGGPLRDLIEVGINISDLFPILARFDLQGLQRKCRDWFKKTCKIWEAIINERREMNMSNSSTQRDFLDALIKNGSSNDQINMLLQEIFTAGTDSSSSTIEWMMTELIRNPKYMKKVEEEIERETNQETVKDSHLLHLPFLQACFKETLRMHPPGPLLVPHRAVETCNVMNYTIPKNAQVMINFWAIGRDPKIWEDPLVFKPERFLNSSLDFKGNDFEYIPFSSGRRICPGLPMAAKHVPLLVACLVQFFDWSLPDGEDPDNVDMNEKYGFTLMKEQPLFLIPKPKKSEPYV >Manes.08G139500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37584025:37592185:-1 gene:Manes.08G139500.v8.1 transcript:Manes.08G139500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDLISKGNGFDLLDQFEHILDSDPLIDEVGFIHPSQFVILNKEAESSKQQSKDEIWSSDNSLDHEDSNFWNRDHKLGISAHVLLPLYKAAKDAFMDSIRQYKIVENLPGASEDEMDSPRSSAMESEVMKHSKALLLLSCDFGTAWNSRKLILSKKRHMSLFSDELLFSGLVLSYSPKSEQAWCHRRWVIKMIAGQYSAVQEIVGKESDLVEKIAERSKMNYRAWNHRCWLVFYMTREQVLLELNKSRKWAGLHVADNSCFHYRAQLMLTILDLSWHKQEDETSDHDVEVYQIWKEELLWNEDLIKRYVGREALWLYRRFLSLYWTRHFATNVGDATHQSRHTSCVADDVNIFLDNELRLVNSCSTIPDNKFEDFHAQSIHAATYILWLTKQLPKSQEIELKKKLNAGSLLTMMNEACPERSPLWSNCLHGSI >Manes.08G139500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37584025:37592185:-1 gene:Manes.08G139500.v8.1 transcript:Manes.08G139500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDLISKGNGFDLLDQFEHILDSDPLIDEVGFIHPSQFVILNKEAESSKQQSKDEIWSSDNSLDHEDSNFWNRDHKLGISAHVLLPLYKAAKDAFMDSIRQYKIVENLPGASEDEMDSPRSSAMESEVMKHSKALLLLSCDFGTAWNSRKLILSKKRHMSLFSDELLFSGLVLSYSPKSEQAWCHRRWVIKMIAGQYSAVQEIVGKESDLVEKIAERSKMNYRAWNHRCWLVFYMTREQVLLELNKSRKWAGLHVADNSCFHYRAQLMLTILDLSWHKQEDETSDHDVEVYQIWKEELLWNEDLIKRYVGREALWLYRRFLSLYWTRHFATNVGDATHQSRHTSCVADDVNIFLDNELRLVNSCSTIPDNKFEDFHAQSIHAATYILWLTKQLPKSQEIELKKKLNAGSLLTMMNEACPERSPLWSNCLHGSI >Manes.08G139500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37584025:37592185:-1 gene:Manes.08G139500.v8.1 transcript:Manes.08G139500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDLISKGNGFDLLDQFEHILDSDPLIDEVGFIHPSQFVILNKEAESSKQQSKDEIWSSDNSLDHEDSNFWNRDHKLGISAHVLLPLYKAAKDAFMDSIRQYKIVENLPGASEDEMDSPRSSAMESEVMKHSKALLLLSCDFGTAWNSRKLILSKKRHMSLFSDELLFSGLVLSYSPKSEQAWCHRRWVIKMIAGQYSAVQEIVGKESDLVEKIAERSKMNYRAWNHRCWLVFYMTREQVLLELNKSRKWAGLHVADNSCFHYRAQLMLTILDLSWHKQEDETSDHDVEVYQIWKEELLWNEDLIKRYVGREALWLYRRFLSLYWTRHFATNVGDATHQSRHTSCVADDVNIFLDNELRLVNSCSTIPDNKFEDFHAQSIHAATYILWLTKQLPKSQEIELKKKLNAGSLLTMMNEACPERSPLWSNCLHGSI >Manes.08G139500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37584025:37592185:-1 gene:Manes.08G139500.v8.1 transcript:Manes.08G139500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDLISKGNGFDLLDQFEHILDSDPLIDEVGFIHPSQFVILNKEAESSKQQSKDEIWSSDNSLDHEDSNFWNRDHKLGISAHVLLPLYKAAKDAFMDSIRQYKIVENLPGASEDEMDSPRSSAMESEVMKHSKALLLLSCDFGTAWNSRKLILSKKRHMSLFSDELLFSGLVLSYSPKSEQAWCHRRWVIKMIAGQYSAVQEIVGKESDLVEKIAERSKMNYRAWNHRCWLVFYMTREQVLLELNKSRKWAGLHVADNSCFHYRAQLMLTILDLSWHKQEDETSDHDVEVYQIWKEELLWNEDLIKRYVGREALWLYRRFLSLYWTRHFATNVGDATHQSRHTSCVADDVNIFLDNELRLVNSCSTIPDNKFEDFHAQSIHAATYILWLTKQLPKSQEIELKKKLNAGSLLTMMNEACPERSPLWSNCLHGSI >Manes.08G139500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37584025:37592185:-1 gene:Manes.08G139500.v8.1 transcript:Manes.08G139500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDLISKGNGFDLLDQFEHILDSDPLIDEVGFIHPSQFVILNKEAESSKQQSKDEIWSSDNSLDHEDSNFWNRDHKLGISAHVLLPLYKAAKDAFMDSIRQYKIVENLPGASEDEMDSPRSSAMESEVMKHSKALLLLSCDFGTAWNSRKLILSKKRHMSLFSDELLFSGLVLSYSPKSEQAWCHRRWVIKMIAGQYSAVQEIVGKESDLVEKIAERSKMNYRAWNHRCWLVFYMTREQVLLELNKSRKWAGLHVADNSCFHYRAQLMLTILDLSWHKQEDETSDHDVEVYQIWKEELLWNEDLIKRYVGREALWLYRRFLSLYWTRHFATNVGDATHQSRHTSCVADDVNIFLDNELRLVNSCSTIPDNKFEDFHAQSIHAATYILWLTKQLPKSQEIELKKKLNAGSLLTMMNEACPERSPLWSNCLHGSI >Manes.08G139500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37584011:37592188:-1 gene:Manes.08G139500.v8.1 transcript:Manes.08G139500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDLISKGNGFDLLDQFEHILDSDPLIDEVGFIHPSQFVILNKEAESSKQQSKDEIWSSDNSLDHEDSNFWNRDHKLGISAHVLLPLYKAAKDAFMDSIRQYKIVENLPGASEDEMDSPRSSAMESEVMKHSKALLLLSCDFGTAWNSRKLILSKKRHMSLFSDELLFSGLVLSYSPKSEQAWCHRRWVIKMIAGQYSAVQEIVGKESDLVEKIAERSKMNYRAWNHRCWLVFYMTREQVLLELNKSRKWAGLHVADNSCFHYRAQLMLTILDLSWHKQEDETSDHDVEVYQIWKEELLWNEDLIKRYVGREALWLYRRFLSLYWTRHFATNVGDATHQSRHTSCVADDVNIFLDNELRLVNSCSTIPDNKFEDFHAQSIHAATYILWLTKQLPKSQEIELKKKLNAGSLLTMMNEACPERSPLWSNCLHGSI >Manes.08G139500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37584011:37592188:-1 gene:Manes.08G139500.v8.1 transcript:Manes.08G139500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGDLISKGNGFDLLDQFEHILDSDPLIDEVGFIHPSQFVILNKEAESSKQQSKDEIWSSDNSLDHEDSNFWNRDHKLGISAHVLLPLYKAAKDAFMDSIRQYKIVENLPGASEDEMDSPRSSAMESEVMKHSKALLLLSCDFGTAWNSRKLILSKKRHMSLFSDELLFSGLVLSYSPKSEQAWCHRRWVIKMIAGQYSAVQEIVGKESDLVEKIAERSKMNYRAWNHRCWLVFYMTREQVLLELNKSRKWAGLHVADNSCFHYRAQLMLTILDLSWHKQEDETSDHDVEVYQIWKEELLWNEDLIKRYVGREALWLYRRFLSLYWTRHFATNVGDATHQSRHTSCVADDVNIFLDNELRLVNSCSTIPDNKFEDFHAQSIHAATYILWLTKQLPKSQEIELKKKLNAGSLLTMMNEACPERSPLWSNCLHGSI >Manes.12G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4897953:4910877:1 gene:Manes.12G052100.v8.1 transcript:Manes.12G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQSRVESWIRDQRAKILKVSWAPLQWRMRWPPWIHSDREHRKKIQQEYERRRKQLHDLCLAVKADSVSDLQDILCCMVLSECVYKRPATEMVRAVNKFKADFGGQVVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVVTDVNILQGAIFHEDAMEDAAQMEAIESVQGESQRGNGEGQQNPLESKPKHLKDQPKPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAASSSLKEDAKIQVKCITFSQPPVGNAALRDYVHEKGWQHYFKSYCIPEDLVPRILSPAYFHHYNAQPLSMNNEVESTSQSVVKDEKWIEKSGAQKPKENERERLVLGLGPVQTSFWRLSRLVPLEGFRRQFNKYTSKQFGPMGTSETPNSDVTSPIEDVVAAPQSLEIQEGSDGISLKPLTETNNVLSEEAMDGKLGEKGNDKGGDKRNWRRVPYLPSYVPFGQLHLLGNSSVELLSGAEYSKLISVRSVIAELRERFQSHSMRSYRSRFQRIYDMCMGDGASSFPGMEQLPQFLHLQQWLGLAVAGTVELAQIVELPVIRTATSIVPLGWNGAPGGKNAEPLKVDIIGFGLHLCNLVNAQVNGNWCATTVESFPPAPSYSSSHEVQPELQKMRVLVGAPLRRPPKHPIVADPLMPIFPSIDSDADNLNREHSLGHEEKLLRPEGLSDFCIFCTSDFATVSKEVHVRTRRVRLLGLEGAGKTSLFRAIMGQGRLSTIANFENMCVEADIQEGISGGVCYVDSAGVNLQELNKEVSRFRDELWMGIRELSRKTDLIILVHNLSHKIPRSSNQNASSQQPVLSLVLDEAKALGIPWVLAVTNKFSVSAHQQKTAIDAVLHAYQSSLSTMEVVNSCPYVIHTAAASASLSLAAAERDSGGRMGAQNLIFAPFNLVRRPFQRRDTVFPVEGVNSLCQLVHRVLRSHEEASLQELARDRLLAELTRERATAIDARREAQAKSSSLTAAAVGASLGAGVGLALAIVMGAASALRKP >Manes.12G052100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4897953:4910877:1 gene:Manes.12G052100.v8.1 transcript:Manes.12G052100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGSSFTISVSPSRLIQSRICRTFSVAWSSPSVSIRYLLAEAGDTLFASFIGTKQYKDVVTDVNILQGAIFHEDAMEDAAQMEAIESVQGESQRGNGEGQQNPLESKPKHLKDQPKPAAHRGFLARAKGIPALELYRLAQKKKRKLVLCGHSLGGAVAALATLAILRVIAASSSLKEDAKIQVKCITFSQPPVGNAALRDYVHEKGWQHYFKSYCIPEDLVPRILSPAYFHHYNAQPLSMNNEVESTSQSVVKDEKWIEKSGAQKPKENERERLVLGLGPVQTSFWRLSRLVPLEGFRRQFNKYTSKQFGPMGTSETPNSDVTSPIEDVVAAPQSLEIQEGSDGISLKPLTETNNVLSEEAMDGKLGEKGNDKGGDKRNWRRVPYLPSYVPFGQLHLLGNSSVELLSGAEYSKLISVRSVIAELRERFQSHSMRSYRSRFQRIYDMCMGDGASSFPGMEQLPQFLHLQQWLGLAVAGTVELAQIVELPVIRTATSIVPLGWNGAPGGKNAEPLKVDIIGFGLHLCNLVNAQVNGNWCATTVESFPPAPSYSSSHEVQPELQKMRVLVGAPLRRPPKHPIVADPLMPIFPSIDSDADNLNREHSLGHEEKLLRPEGLSDFCIFCTSDFATVSKEVHVRTRRVRLLGLEGAGKTSLFRAIMGQGRLSTIANFENMCVEADIQEGISGGVCYVDSAGVNLQELNKEVSRFRDELWMGIRELSRKTDLIILVHNLSHKIPRSSNQNASSQQPVLSLVLDEAKALGIPWVLAVTNKFSVSAHQQKTAIDAVLHAYQSSLSTMEVVNSCPYVIHTAAASASLSLAAAERDSGGRMGAQNLIFAPFNLVRRPFQRRDTVFPVEGVNSLCQLVHRVLRSHEEASLQELARDRLLAELTRERATAIDARREAQAKSSSLTAAAVGASLGAGVGLALAIVMGAASALRKP >Manes.10G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:605211:609652:-1 gene:Manes.10G000500.v8.1 transcript:Manes.10G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHAEPARKAAEKGEESVAVAIDVDKGSQYALKWAVEHFLTKGQSVTLLHVKQKAPLGIHDVNKEDSRTNTKQLESEARELFLPFRCFCTRKDIRCNEVVVEDVDISKAIIDYAASHIIQTLILGTPRGGLLIRKFKTIDVPSTVSKAAPDFCTVYVISKGKVSSVRSASAPPPAKLPSQIQWPNKPSYISASPDVHEHQRTGRSLSASKRSSYMSNSIQEDSEMIKSPFIRNSGSMVKSYDPYPPEMDISYASSVRPTSNRMFPSFHDNSESVMNPQYAIGNNYDDRSFPSTDSASTSIDMGSPYNYSYSSQDSGQTSWYSQNTNDAESEIMRLRLELKQTMDMYNSACKGALSAQQKAREYQYWNLEEQHKFDESQPAQESAFALIEKEKTKRKAAMEAAHAAQNLADLEAPKRTSLEIKALKDYEEKEARESWVPSDLRYRRYTIEEIETATRNFSDSLKIGEGGYGPVYKCYLDHTEVAVKVLRADAAQGKPQFQKEVEILSCIRHPNMVLLVGACPEYGCLVYEHMANGSLDDRLFRRGNTPYLPWQVRFKIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRYFVSKISDVGLARLVPPSVADSVTQYHMTATAGTFCYIDPEYQQTGMLGTKSDIYSLGILLLQIITAKSPMGLAHLVERSIEDGTFIEVLDPTVPQWPVEDTLKFAKLALKCAELRKKDRPDLGKVILPELNRLKALGKENMPYPMFGGTIRRSRSTNHSHISSLQEMAMTDVLTVSESSSISSSLS >Manes.02G073600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5636416:5637226:-1 gene:Manes.02G073600.v8.1 transcript:Manes.02G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQLFSSPMLSRRPFSRRKNKDGLAVVVKARQEGLNGGNYWGHTVDENMIVLRMRIKEMELLERSHNDEPCSDWMDWEKKYYVHYHADVCVAMGLLQNYLMNVRPSLGIGTLAALTFSVLLSAGVVLGHALEISKEITSGFHLHLS >Manes.06G071200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20695927:20700661:-1 gene:Manes.06G071200.v8.1 transcript:Manes.06G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSPPTSKEEQSSIEKWTEGDPARRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMVLALSWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCDIVYMVTGGKCLKKFMEMACETCTPIRQSYWILIFGGIHFLLSQLPNFNSVAGVSLAAAVMSLSYSSIAWLGCLGHGRIDNVSYAYKHTSTADYMFRVFNALGQISFAFAGHAVVLEIQATIPSTPEKPSKIPMWKGAIGAYFINAICYFPVALVGYWAFGQDVDDNVLMALKRPGWLIASANLMVVVHVIGSYQVYAMPVFAMLENMMIKRLNFPPGIALRLVTRTAYVAFTLFAGVTFPFFGDLLGFFGGFGFAPTSYFLPCIMWLIIKKPKRYSTNWFINWASIIIGMFIMVASTIGGFRNIVTDASTYRFYT >Manes.02G161350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12671222:12675592:1 gene:Manes.02G161350.v8.1 transcript:Manes.02G161350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLCKQVEENMRLRTELQKKIQELEKYVLKLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.11G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2139533:2145493:1 gene:Manes.11G019500.v8.1 transcript:Manes.11G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIALYASPPSSVCSAPHPCQINSHATYDFELNSRTSATPSSSASSHKSVVGGLSCLFSSSPVKHSSFSGDREELGSLWHDRGEDLKELSCSFRFSPSKYLGGSSIKRDQSPISVLQGPVSCSTSPPVRIARERSADIGFQSSIHGSFRSGTSGLFNGFVRNALGSCVDYDSPSFEVHSVGTDVGSSSVAADELTFNMEDTFVEANNEPYAKELLLGAQMRHKVFFDDFVIKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLATIGANSTVVAAGLLHDTLDDAFLSYDYILRTFGAGVADLVEGVSKLSHLSKLARENNTASKTVEADRLHTMFLAMADARAVLIKLADRLHNMMTLDALPLIKQQRFAKETLEIFAPLANRLGIFSWKEQLENLCFKHLNPEQHRDLSSRLVLSFDEAMITSAIEKLEQALKDEGISYHVLSGRHKSLYSIYCKMLKKKLNMDEIHDIHGLRLILENEEDCYRALGVVHQLWAELPGKFKDYINHPKFNGYQSLHTVVRPEGMVPLEVQIRTKQMHLQAEFGFAAHWRYKEGDCQHSSFVLQMVEWARWVLTWQCEAMSKDRPSNGHADSVKSPCAFPTHSDDCPYSYKPQCGQDGPVFVIMIENDKMSVQEFLANSTMMDLLERVGRGSSRWSPYGFPVKEELRPRLNHKPLSDPTCKLKMGDVVELTPAIPDKCLTECREEIQRMYDKGSTNVSSTATASGGTVGWRS >Manes.05G115800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11855196:11860414:1 gene:Manes.05G115800.v8.1 transcript:Manes.05G115800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTEDFTNWEFESYPVASDFKFVPFFALFFFSLRLFLDTYVFENLAKRLIFGKASASVDVGTHENRKKINKFKEAAWKFVYFLSAELFALYVSYHEPWFTNTKYFWVGPADQIWPDQKLKLKLKGLYMYAAGFYTYSIFALVFWETRRSDFLVSMAHHVATIILLVMSYVFRFARVGSIILALHDATDVFMEIAKMSRYSGYESISSIFFVGFVLAWTILRIIYFPFWVIRSTCYEVVLTLDMEKYTVVGPLCYYIFNTLLFSLVVFNIYWWFLMLGMVVKQIQAKGIVSDDVRSGKQHDFQI >Manes.05G115800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11854949:11859450:1 gene:Manes.05G115800.v8.1 transcript:Manes.05G115800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTEDFTNWEFESYPVASDFKFVPFFALFFFSLRLFLDTYVFENLAKRLIFGKASASVDVGTHENRKKINKFKEAAWKFVYFLSAELFALYVSYHEPWFTNTKYFWVGPADQIWPDQKLKLKLKGLYMYAAGFYTYSIFALVFWETRRSDFLVSMAHHVATIILLVMSYVFRFARVGSIILALHDATDVFMEIAKMSRYSGYESISSIFFVGFVLAWTILRIIYFPFWVIRSTW >Manes.05G115800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11855196:11860886:1 gene:Manes.05G115800.v8.1 transcript:Manes.05G115800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTEDFTNWEFESYPVASDFKFVPFFALFFFSLRLFLDTYVFENLAKRLIFGKASASVDVGTHENRKKINKFKEAAWKFVYFLSAELFALYVSYHEPWFTNTKYFWVGPADQIWPDQKLKLKLKGLYMYAAGFYTYSIFALVFWETRRSDFLVSMAHHVATIILLVMSYVFRFARVGSIILALHDATDVFMEIAKMSRYSGYESISSIFFVGFVLAWTILRIIYFPFWVIRSTCYEVVLTLDMEKYTVVGPLCYYIFNTLLFSLVVFNIYWWFLMLGMVVKQIQAKGIVSDDVRSALSGF >Manes.05G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11854949:11864695:1 gene:Manes.05G115800.v8.1 transcript:Manes.05G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTEDFTNWEFESYPVASDFKFVPFFALFFFSLRLFLDTYVFENLAKRLIFGKASASVDVGTHENRKKINKFKEAAWKFVYFLSAELFALYVSYHEPWFTNTKYFWVGPADQIWPDQKLKLKLKGLYMYAAGFYTYSIFALVFWETRRSDFLVSMAHHVATIILLVMSYVFRFARVGSIILALHDATDVFMEIAKMSRYSGYESISSIFFVGFVLAWTILRIIYFPFWVIRST >Manes.05G115800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11854949:11864695:1 gene:Manes.05G115800.v8.1 transcript:Manes.05G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGTEDFTNWEFESYPVASDFKFVPFFALFFFSLRLFLDTYVFENLAKRLIFGKASASVDVGTHENRKKINKFKEAAWKFVYFLSAELFALYVSYHEPWFTNTKYFWVGPADQIWPDQKLKLKLKGLYMYAAGFYTYSIFALVFWETRRSDFLVSMAHHVATIILLVMSYVFRFARVGSIILALHDATDVFMEIAKMSRYSGYESISSIFFVGFVLAWTILRIIYFPFWVIRSTCYEVVLTLDMEKYTVVGPLCYYIFNTLLFSLVVFNIYWWFLMLGMVVKQIQAKGIVSDDVRSDSEGEDEHDD >Manes.14G098500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8172324:8182099:-1 gene:Manes.14G098500.v8.1 transcript:Manes.14G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCNSFLYNPCKTRLLSPLKPFSPTHFFSSCNRPICINTSTLKLPRNRLDKVVSAVVSEENAIGSTSAGTDVFRLTYLEGNSWLWEVGGVNILVDPILVGNLDFGIPWLYDAAKKVIKNFQLSNLPKLDCLLITQSLDDHCHLKTLKPLSEILPNLRVIATPNAKPLLDPLFNNVTYLEPGQSSEIEASGDSKVRVQATAGPVLGPPWQRPENGYLVNSPQGNMTLYYEPHCVYNKNFLEKERADIVITPVIKQLLPKFTLVYGQEDAVKLAKLLHAKFIVPMKNGDLDSKGFLASIIQAEGTVESFKELLAKELPEAQVLEPTPGVALEVSAP >Manes.04G089200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29468644:29471426:1 gene:Manes.04G089200.v8.1 transcript:Manes.04G089200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSKILFIGGTGYIGKYIVEASATAGHPTFALVREATLSSPSKSAIIDSFKSHGVNFLIGDLYDHGSLVKAIKQVDVVISTVGHGQFADQDKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKSEFEAKAKLRRAIEAAGIPYTYVSANSFAGYFLPTLNQPGVMAPPRDKVVIFGDGNPKAIFNKEEDIGTYTIKAVDDPRTLNKILYLKPPGNIYSFNDLVSLWEKKIGKTLERVYISEEQLLKSIQESAPPLNLVLGIGHSVFVKGDHTNFEIKESFGVEASELYPDVKYTTVDEFLTQFV >Manes.03G099316.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22189272:22190145:-1 gene:Manes.03G099316.v8.1 transcript:Manes.03G099316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGSFFPKALLPSATSTRLSYYLRKAMIITYCDKTKGKPSSGSGSQHN >Manes.11G005900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:709877:714097:1 gene:Manes.11G005900.v8.1 transcript:Manes.11G005900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASTFSFAFPWLLFSATIFNFGLSYGSFSAGCIESDREALLRFKHELIDPLNRLASWRTVDANCCRWSGIICDNFSGHVVEIHLRSLSEDEYFASDASGQYYEYRRMSTFRGKISPSLLNLKHLKYLDLSNNNFDESLIPKFLGSVKSLRHLDLANAGFGGMIPHQLGNLSNLQYLNLHSVYGTPYVDNLSWISGLSSLQFLDMTFLNLSQATNWLNVINTLPSLRELHFSFCHLPSVPPILNVNFSSLSILDLSGNFFQGPMFDFLQNITSLKALDLSFNDFNSSIPNWLYGFSHLEFLNLRGNDQLQGKISSDIGNMTSLIDLDLSLTKLEGTIPASFQNLCNLKSLILWETKLSQEINDIFEILFACASNGLEFLNLSGCQLSGHLPNNIGQFKHLSRLDLSTNYISGPLPISLGDLTLVKSMSLSQNNLNGTLPVSFGGLRELEEVDLSYNLLEGDVSAVHFSNLSKLQSFRASGNQLRLSVGPNWKPPSHIYEIDLGCWTIGPQFPHWIHSLKYLTSLNLSNSGIASTIPFWFWNMSSNFHYLNISYNQIFGVISNISSIPHRQLLGFSDQLVDLSSNSFQGPLPYMFSNVRALYLSDNSFSGPMSKFLCYKMHEPRYLEVLDLGGNLLSGEMPDCWMKWKHLEVLILRDNKLSGNIPWSIGTLSNLESLHLRKNNLSGKIPLSLQNCTSLSTLDFGENGLEGSIPIWMGETLANMVILNLRSNKFHGHIPKEICLMNSLYILDFADNNLSGTIPKCLNNFSAMASKDDSIGILLEGDASSWPFYESTFIVTKGNMNGYSSILKFVRFLDLSNNKLMGEIPKEITSLQGLQYLNLSQNSLTGKIPSNIGAMESLEAVDFSQNQLFGEIPQSLAELTFLSYLNLSFNNLSGIIPSSTQLQSLSSSSFVGNEELGGLPLKNCSADGATPPTGAETGDDGKESTSFAWFNFYVSIAPGFVVGFWAVLGPLVFNRRWRLLYFSFLDRLWDKIISVVYVHVVRVIRGHGF >Manes.10G049666.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5474063:5474374:1 gene:Manes.10G049666.v8.1 transcript:Manes.10G049666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYLAPPPPVFLGENYLIWSVKMKAYLKAYDLWEVVETGRDPPPLRDNAMVAQMKQHSEECAKKFKALYCLYFAISDVIFTRIMACESVKEAWDKLKEEF >Manes.11G135850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30068849:30071153:1 gene:Manes.11G135850.v8.1 transcript:Manes.11G135850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVGFIKCVTVGDGAVGKTCILISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELRHYAPGVPVILVGTKLGNNLAVIPSSLWY >Manes.12G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5505939:5514341:-1 gene:Manes.12G057100.v8.1 transcript:Manes.12G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETKKFERGPRELTGAVDLISHYKLLPHHDFFCKRSLPLSISDTHYLHNVVGDAEIRKGEGMQLDQLMQNNSYSRDSNTRIQPFDLDVLREAFLFKETTPIELPPSEKGTPTIAAKSKSDSKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKDRSGHHDSGGDHSKKHHEKKRKHDGDEDINDVHKHKKSKHKSSKIDEIGAIRVAG >Manes.12G057100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5505939:5514341:-1 gene:Manes.12G057100.v8.1 transcript:Manes.12G057100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETKKFERGPRELTGAVDLISHYKLLPHHDFFCKRSLPLSISDTHYLHNVVGDAEIRKGEGMQLDQLMQNNSYSRDSNTRIQPFDLDVLREAFLFKETTPIELPPSEKGTPTIAAKSKSDSKDKERKHKKHKDKDKEKDKEHKKHKHRHKDKDRSKDKDKEKKDRSGHHDSGGDHSKKHHEKKRKHDGDEDINDVHKHKKTQKLKN >Manes.02G199600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16868806:16869648:-1 gene:Manes.02G199600.v8.1 transcript:Manes.02G199600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAISFVLPLAVLFFTNARAATFTITNNCPYTIWPATLTGSGTRLSSTGFELASKAALPLQVTPPWSGRVWARSGCTTDPSGKFTCATADCGSGQVQCIGGAIPPASLAEFTIAAPGGKDFFDVSLVDGFNLPLSISPQGNPACSSTSCPSDINAVCLPELAVKGANGAVVACKSACLAFGQPQYCCTGDYNSPEKCPPTSYSKFFKSLCPQAYSYAFDDKSSTFTCDGANYLITFCP >Manes.17G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19812586:19813895:-1 gene:Manes.17G028500.v8.1 transcript:Manes.17G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSHFLVILSLIFPFHSQALARNDEVFPEETLGGNQEWHTVENINTENGVAFANLGSWKAHRGHHFRNAHGILNIIGWGGLLPIGVIVARNFRRVPLKCDEWYNLHVRCQTSGYIVGAIGWGVGLWLGSSSKQQTLRTHRNLGTIIFTFATVQMLAICLQPKREDDHRRWWKIYHQVLGYALIAMIIANIFQGIQNEAHSEKWKWVYVGVLVALGFVGISLEIFRWVKPRIHINF >Manes.01G051605.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:11187202:11187526:1 gene:Manes.01G051605.v8.1 transcript:Manes.01G051605.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQHCLVMTLLLLFLLPLLIIGSHQTLCLIQPSSNTTVRLRTKSTCKLINGICKTQIFNTIAKM >Manes.16G003800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:528220:528923:1 gene:Manes.16G003800.v8.1 transcript:Manes.16G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKLFFLLSMLVASAAIALPTTPSEEESFMDDNDDAAEENSDIPWQENQETTSSLRETMRFLAQKTRAEKMTCDKYPRVCRAKGSPGPDCCKKKCVNVMTDKLNCGKCGKKCKYPEICCKGVCVNPMSNKKHCGGCNNKCKKGSACVYGMCSYA >Manes.09G174800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36646424:36650178:-1 gene:Manes.09G174800.v8.1 transcript:Manes.09G174800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLMCFSVLQVSRCRIHTLANFWHESFHSLHRTCRNKSSFRLVGSKANGTEGGYSRRWIKRPVSTKTEGTDKTTRNRTKSTSIRHEILDETVSTSVNIKKNEIIELQKTQYCSSQQKIPENGDLAELVTVIVFDLETTGFSRENERIIEIALQDLQGGENSTFQTLVNPDRYVPNSNIHGITTHMVCRPGIPRMEELIPILLQYIRSRQKPGGYVLLVAHNARTFDVPFLTNEFNRCGVDIPSNWLFVDTVPLAREWMKSEGVKLSSRVSLATLRDKFGIKLTGTAHRAMSDVKTLSVVFQRLTFELKLTLRSLVAKSFTASDLDNVKKKNST >Manes.09G174800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36646072:36650181:-1 gene:Manes.09G174800.v8.1 transcript:Manes.09G174800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLMCFSVLQVSRCRIHTLANFWHESFHSLHRTCRNKSSFRLVGSKANGTEGGYSRRWIKRPVSTKTEGTDKTTRNRTKSTSIRHEILDETVSTSVNIKKNEIIELQKTQYCSSQQKIPENGDLAELVTVIVFDLETTGFSRENERIIEIALQDLQGGENSTFQTLVNPDRYVPNSNIHGITTHMVCRPGIPRMEELIPILLQYIRSRQKPGGYVLLVAHNARTFDVPFLTNEFNRCGVDIPSNWLFVDTVPLAREWMKSEGVKLSSRVSLATLRDKFGIKLTGTAHRAMSDVKTLSVVFQRLTFELKLTLRSLVAKSFTASDLDNVKKKNST >Manes.17G089030.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29738159:29740183:-1 gene:Manes.17G089030.v8.1 transcript:Manes.17G089030.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKPTILPLIFAAFIICFCSFSSLAELQRFQQPLKSDGSLSFLVIGDWGRRGLFNQSEVASQMGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDNRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSSSTAKWKFVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSSHSNIQFITSGGGSKAWRGDIRKWDPEELMLYYDGQGFMSVQMTDSTTTFAFYDAFGSVLHQWSISKESHSAA >Manes.01G151650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33986489:33988187:1 gene:Manes.01G151650.v8.1 transcript:Manes.01G151650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQTLFPLKSYPDILISPHNSSELATSLFLKKIHLLPLSLFQTLWFSLSPLSFRCFDLEMMAFSFLIYRFVGLKESRKHVASVLSIENVYEIAIVILAWFTQTWKTYP >Manes.10G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31157324:31160415:-1 gene:Manes.10G143400.v8.1 transcript:Manes.10G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRLRSGLPLLNRILRSDSLSASGSAVERSVLCPAFTSESSKHFATASGQKEEKVKVPLVLFGASGNYASALYIAAKKANALDKVESEILDLVEASKRSPTFSQFTKDSSVRAETRVKAIDEICGQAKFSDLTRNFLVVVAENGRLRNLDTFAKRFVELTMADKGEVKAIVTSVIPLPPEEERELKETLQEIIGKGKKVKLEQKIDPSILGGLVVEFGQKVFDMSIKTRAKQMERFLREPINLGTI >Manes.03G080300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15464810:15494457:1 gene:Manes.03G080300.v8.1 transcript:Manes.03G080300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MNS1 MGRSRSSSSSSKWRYCNPSYYLKRPKRLAFLLVAFVCASLLVWDRQTLVREHEVELSKLSEEVNQLKATLEELKNVHGDSIKSITSKSSKYSQKAIHDDPIDIERREKVKEAMLHAWSSYEKYAWGHDELQPQTKNGVDSFGGLGATLIDALDTLFIMGFDEQFQRAREWVANSLDFNKDYDASVFETTIRVVGGLLSAYDLSSDKVFLEKAKDIADRLLPAWNTSTGIPYNIINLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQLKAEKVILELNKTFPDDGLLPIYINPDKGTGSYSTITFGAMGDSFYEYLLKVWIQGNKTSTVRHYREMWEKSMKGLLSLVRKTTPSSFTYICEKSGDMLADKMDELACFAPGMIALGSSGYGPADSQKFLSLAEELAWTCYNFYQSTPTKLAGENYFFHPGQDMSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRHETGYVGLKDEVVAEVAGINCHG >Manes.03G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15464810:15494457:1 gene:Manes.03G080300.v8.1 transcript:Manes.03G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MNS1 MGRSRSSSSSSKWRYCNPSYYLKRPKRLAFLLVAFVCASLLVWDRQTLVREHEVELSKLSEEVNQLKATLEELKNVHGDSIKSITSKSSKYSQKAIHDDPIDIERREKVKEAMLHAWSSYEKYAWGHDELQPQTKNGVDSFGGLGATLIDALDTLFIMGFDEQFQRAREWVANSLDFNKDYDASVFETTIRVVGGLLSAYDLSSDKVFLEKAKDIADRLLPAWNTSTGIPYNIINLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQLKAEKVILELNKTFPDDGLLPIYINPDKGTGSYSTITFGAMGDSFYEYLLKVWIQGNKTSTVRHYREMWEKSMKGLLSLVRKTTPSSFTYICEKSGDMLADKMDELACFAPGMIALGSSGYGPADSQKFLSLAEELAWTCYNFYQSTPTKLAGENYFFHPGQDMSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRHETGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLRIVTRREGDASGYSEYKQTSRTHGRKGGQFTDN >Manes.03G080300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15464810:15494457:1 gene:Manes.03G080300.v8.1 transcript:Manes.03G080300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MNS1 MGRSRSSSSSSKWRYCNPSYYLKRPKRLAFLLVAFVCASLLVWDRQTLVREHEVELSKLSEEVNQLKATLEELKNVHGDSIKSITSKSSKYSQKAIHDDPIDIERREKVKEAMLHAWSSYEKYAWGHDELQPQTKNGVDSFGGLGATLIDALDTLFIMGFDEQFQRAREWVANSLDFNKDYDASVFETTIRVVGGLLSAYDLSSDKVFLEKAKDIADRLLPAWNTSTGIPYNIINLAHGNAHNPGWTGGDSILADSGTEQLEFIALSQRTGDPKYQLKAEKVILELNKTFPDDGLLPIYINPDKGTGSYSTITFGAMGDSFYEYLLKVWIQGNKTSTVRHYREMWEKSMKGLLSLVRKTTPSSFTYICEKSGDMLADKMDELACFAPGMIALGSSGYGPADSQKFLSLAEELAWTCYNFYQSTPTKLAGENYFFHPGQDMSVGTSWNILRPETIESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRHETGYVGLKDEVVAEVAGINCHG >Manes.18G046500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4075264:4077550:1 gene:Manes.18G046500.v8.1 transcript:Manes.18G046500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQRGKKIVIKTSKKSGVGNQKFNQTTQVQCSGKRAKKRGTSACSSKRSHTVLSLLIDNNVVLIGARVHYRTGRPGNQLTEGWITRGGIKCTCCERIFKLTEFESHAGSTQHRPSENILLEDGRSLSDCNKQLCGKYKRQKSTTRVCKRKDEQCGKEPSDYVCSVCRDGGDLILCDQCPSAFHTSCIGLEDVPDGDWFCPFCCCIICGHAQFQEIVQQDGCTVSCDQCHLKFHVGCARDRGLIKFEGRNFHDNFCSNKCEEIFSSLQKLVGKSIVVGEDNLTWTLLKPLKDFSANNRRLNLALDVMHECFESVEEAYTGRDIIEDVIFNRGSVLNRLNFRGFYTVLLERDGEAMSAANVRVCGDKVAEVPFVGTRFEHRRLGLCRILMCELEKHLMNLGVERLVLPSAAGMVDTWINSFGFSKMTDFDTRENLAYTVLNFQDAIMCQKILRKLKYTSDSVK >Manes.06G033300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8915372:8924668:1 gene:Manes.06G033300.v8.1 transcript:Manes.06G033300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAEQASNTNAESTSDQSLKLAVAVSLLRSKLLQRQAPLLDPPPESDALRWKRKAKERKEELLRLREDLKEAEDASHCDLFPQSASCKCYFFDKLGKLSPKRDEDGCDRRFNDVLRRRFLRQVRLGERRRTDARKQRLRFRDLNIEDEVEQLRASIDFLVELCDTASPCLQGQEANFANWSHQAVDFILASLRNLLSTGKNMNFIEELINSLIMRLGRRMYSPSQGDESQFDTDAQFYIQHLIRKLGSESYIGQRAILSVSQKISVGAENLLFMDPFDNAFPNMHRCLYIMIQLLEFLVSDFLLSWSKDEGFDNVLFEEWVTSLLHARKALELLESRNGLYVIYMDRVLGQLAKQVGQISTFRKLNREILDNLFC >Manes.06G033300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8915374:8924246:1 gene:Manes.06G033300.v8.1 transcript:Manes.06G033300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAEQASNTNAESTSDQSLKLAVAVSLLRSKLLQRQAPLLDPPPESDALRWKRKAKERKEELLRLREDLKEAEDASHCDLFPQSASCKCYFFDKLGKLSPKRDEDGCDRRFNDVLRRRFLRQVRLGERRRTDARKQRLRFRDLNIEDEVEQLRASIDFLVELCDTASPGQEANFANWSHQAVDFILASLRNLLSTGKNMNFIEELINSLIMRLGRRMYSPSQGDESQFDTDAQFYIQHLIRKLGSESYIGQRAILSVSQKISVGAENLLFMDPFDNAFPNMHRCLYIMIQLLEFLVSDFLLSWSKDEGFDNVLFEEWVTSLLHARKALELLESRNGLYVIYMDRVLGQLAKQVGQISTFRKLNREILDNLFC >Manes.06G033300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8915374:8924246:1 gene:Manes.06G033300.v8.1 transcript:Manes.06G033300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAEQASNTNAESTSDQSLKLAVAVSLLRSKLLQRQAPLLDPPPESDALRWKRKAKERKEELLRLREDLKEAEDASHCDLFPQSASCKCYFFDKLGKLSPKRDEDGCDRRFNDVLRRRFLRQVRLGERRRTDARKQRLRFRDLNIEDEVEQLRASIDFLVELCDTASPCLQGQEANFANWSHQAVDFILASLRNLLSTGKNMNFIEELINSLIMRLGRRMYSPSQGDESQFDTDAQFYIQHLIRKLGSESYIGQRAILSVSQKISVGAENLLFMDPFDNAFPNMHRCLYIMIQLLEFLVSDFLLSWSKDEGFDNVLFEEWVTSLLHARKALELLESRNGLYVIYMDRVLGQLAKQVGQISTFRKLNREILDNLFC >Manes.06G033300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8915307:8924668:1 gene:Manes.06G033300.v8.1 transcript:Manes.06G033300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAEQASNTNAESTSDQSLKLAVAVSLLRSKLLQRQAPLLDPPPESDALRWKRKAKERKEELLRLREDLKEAEDASHCDLFPQSASCKCYFFDKLGKLSPKRDEDGCDRRFNDVLRRRFLRQVRLGERRRTDARKQRLRFRDLNIEDEVEQLRASIDFLVELCDTASPGQEANFANWSHQAVDFILASLRNLLSTGKNMNFIEELINSLIMRLGRRMYSPSQGDESQFDTDAQFYIQHLIRKLGSESYIGQRAILSVSQKISVGAENLLFMDPFDNAFPNMHRCLYIMIQLLEFLVSDFLLSWSKDEGFDNVLFEEWVTSLLHARKALELLESRNGLYVIYMDRVLGQLAKQVGQISTFRKLNREILDNLFC >Manes.17G098000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30459288:30460165:1 gene:Manes.17G098000.v8.1 transcript:Manes.17G098000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSSGPSTTVTAKLILEDGRLEQFHVPVRVSQILQRNPSCFICNADDLGFDDLVSAINDDEELELGQLYFELPVSWLDTPIKAQEMAALAVKASLAVKMVGGRDGCCWFRLRSVDLVELNTKKTLEDSPLVTIGDHGGGLVARRRRGGGGRERKLNNKLSAILEE >Manes.14G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11761014:11761961:-1 gene:Manes.14G121700.v8.1 transcript:Manes.14G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAYTSSKGGFNRVAWTPHEDKRLTNYISIHGPGKWERLAKELGLNRCGKSCRLRWLNYLRPGIKRGNFSKDEEDLITRLHKLLGNKWSQIAGRLPGRTDNKIKNHWNTYLAKKAKELHFMTLPRLHMEKECPASSTGEKTGEQDTQLVNEAM >Manes.13G043900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5177533:5183063:-1 gene:Manes.13G043900.v8.1 transcript:Manes.13G043900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPRCFCVCALIVLVLFVSVKSSTEKSRFEQKQGLQSSKNSSMADGSIHNGTARRNLGFFSCVTGNPIDDCWRCDPNWQRNRRRLADCGIGFGRNAIGGRDGRYYVVTDPRDDDPVNPKPGTLRHAVIQERPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPTHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYARDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPNNAFAKEVTKRVDTSDHVWRHWNWRSEGDLLLNGAYFTPSGAGAAASYARASSLGAKSSSMVGTITSSAGALSCRKGRQC >Manes.13G043900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5177533:5183063:-1 gene:Manes.13G043900.v8.1 transcript:Manes.13G043900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPRCFCVCALIVLVLFVSVKSSTEKSRFEQKQGLQSSKNSSMADGSGDAWNEHAVENPEVVASVVDQSIHNGTARRNLGFFSCVTGNPIDDCWRCDPNWQRNRRRLADCGIGFGRNAIGGRDGRYYVVTDPRDDDPVNPKPGTLRHAVIQERPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPTHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYARDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPNNAFAKEVTKRVDTSDHVWRHWNWRSEGDLLLNGAYFTPSGAGAAASYARASSLGAKSSSMVGTITSSAGALSCRKGRQC >Manes.13G043900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5177533:5183063:-1 gene:Manes.13G043900.v8.1 transcript:Manes.13G043900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPRCFCVCALIVLVLFVSVKSSTEKSRFEQKQGLQSSKNSSMADGSGDAWNEHAVENPEVVASVVDHRSIHNGTARRNLGFFSCVTGNPIDDCWRCDPNWQRNRRRLADCGIGFGRNAIGGRDGRYYVVTDPRDDDPVNPKPGTLRHAVIQERPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPTHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYARDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPNNAFAKEVTKRVDTSDHVWRHWNWRSEGDLLLNGAYFTPSGAGAAASYARASSLGAKSSSMVGTITSSAGALSCRKGRQC >Manes.13G043900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5177533:5183063:-1 gene:Manes.13G043900.v8.1 transcript:Manes.13G043900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPRCFCVCALIVLVLFVSVKSSTEKSRFEQKQGLQSSKNSSMADGRSIHNGTARRNLGFFSCVTGNPIDDCWRCDPNWQRNRRRLADCGIGFGRNAIGGRDGRYYVVTDPRDDDPVNPKPGTLRHAVIQERPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPTHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYARDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPNNAFAKEVTKRVDTSDHVWRHWNWRSEGDLLLNGAYFTPSGAGAAASYARASSLGAKSSSMVGTITSSAGALSCRKGRQC >Manes.13G043900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5177532:5180411:-1 gene:Manes.13G043900.v8.1 transcript:Manes.13G043900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWICDFSRSIHNGTARRNLGFFSCVTGNPIDDCWRCDPNWQRNRRRLADCGIGFGRNAIGGRDGRYYVVTDPRDDDPVNPKPGTLRHAVIQERPLWIVFKRDMVITLKQELIMNSFKTIDARGVNVHIANGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPTHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVMLLGHSDSYARDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPNNAFAKEVTKRVDTSDHVWRHWNWRSEGDLLLNGAYFTPSGAGAAASYARASSLGAKSSSMVGTITSSAGALSCRKGRQC >Manes.13G119900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32656620:32661402:-1 gene:Manes.13G119900.v8.1 transcript:Manes.13G119900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFKSIPIIDVGPFVAKCDDPNMAQDPIVCEVVKQLDQACREAGFFYVCYREMKPGVYGELGNPMEGNNQWPLDPPNFKTLMEEYVALCTDLSRKILRGIALALCSSADAFEGEIAGDAFWVLRIIGYPGASNEKSEELAQNDIGCGAHTDYGLLTLVNQDDDITALQVRNLSGEWISAPPIPGTFVCNIGDMLKIWSNGLYDSTLHRVINNSPKYRVCVAYFHEPNFDAAVEPSDICVKRTGGIRKSGKAVYGEHLVGKVQKNFV >Manes.13G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32656620:32661402:-1 gene:Manes.13G119900.v8.1 transcript:Manes.13G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFKSIPIIDVGPFVAKCDDPNMAQDPIVCEVVKQLDQACREAGFFYVKGHGIPDPLIKEVKNVSHRFFHLPYEEKLNIKMTPAAGYRGYQRIGENITKGIPDMHEAIDCYREMKPGVYGELGNPMEGNNQWPLDPPNFKTLMEEYVALCTDLSRKILRGIALALCSSADAFEGEIAGDAFWVLRIIGYPGASNEKSEELAQNDIGCGAHTDYGLLTLVNQDDDITALQVRNLSGEWISAPPIPGTFVCNIGDMLKIWSNGLYDSTLHRVINNSPKYRVCVAYFHEPNFDAAVEPSDICVKRTGGIRKSGKAVYGEHLVGKVQKNFV >Manes.06G025800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5377242:5379477:-1 gene:Manes.06G025800.v8.1 transcript:Manes.06G025800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHDLHDYHQHRPHGGASPQSLAPKSNPKVLSMILKAIIMTAITTLFFLFLGVAAILLLLATAAFHRHSGPSDSADGLSPKGLKKLPQFKFSRRTKPEDEADCVVCLEGIRQGQWCRKLIACGHVFHRKCIDAWLIKVSACPVCRTRVWLDSGTLPADRPLWGFGWTSESRVW >Manes.04G110484.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31460778:31464911:1 gene:Manes.04G110484.v8.1 transcript:Manes.04G110484.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSVADMRAILTIPLPLFPKPDKLIWHLHKKGVYSIKSAYFCVLELSGRTGVLGYNEGWNRLWSLDVPPKVRDFLCRACRGVLPTRDILSRRGIHVPAACLFCDHDESISHVFLHCPMAVELWRLAGFSTAVDFSIFMDFFIHIYNAFGREMTSRMAIHAWKLWHARNERLWVNKVLSPSEVHHAASSYFSDYVASLVSRQRMLSHPSVPHVLPLVEATTLEVEWIAFIDCAVFASADLFGFAAVFEDLEGFFSIAISGFYERGGQPVIAETLALRQCLSYARDCFLQVGCIFTDNQSLTLAIRSPLNDFSEFGLVVSDCKDVMRSHSNIHVRWVRRSENRVAPLLARESIHHEALHVLELADLKNLETLGLSYNELESLSMEGFERLSSLKKLKFLDLSYNVLNGSAMSALSNLISLKTLILNSNEMVGDSNIFIGIFLIVGLCRLKRLTELDLKNNKFSGPLPQCLRNISSLQYLDLSSNQFAGNMESILSKLTSLKCLVLSGNNFEGLFSFSALANHSQLEIFELSSGSTDWSIPSWVLQNNSKLQVMNLMNNSFTGSFQLPNFNHRDLVKLEISSNNITGQLPKEFGLVLSNLCYINMSRNSFHGNVPSSVGEIRQLRYMDLSYNNFSGVLPGSILGNGTDLYYLYLSNNNFNGIGGESSSISVELFVLDMSNNKLSDTIPLQLCNMVSLRILYLSENRLHGSLPSCFNSPWLQFLFLQKNSLSGSIPYVLSTSPSLVVLDLRDNKFTGNLPTWINQLSELRVLSLGGNPLGGHLPEQLCELRNVSILDLSRNLLSGSIPSCFNNISFGNVREHNNFEYTPKSLGDFLPFTSYYSLYDGTLEFEVEELFHMSSSKEVEVEFAMKYKYNPYKGDIVNLLAGIDLSCNELNGSIPSEFGDLHEILSLNLSQNHLSVYIPISFSNLESLESLDLSFNNLSGEIPSQLVALSFLETFCVSYNNLSGRIPDEGQCGTFDESSYRGNPGLCGPIVNRSCDAAEVPPTPPSNDKEEEEEGGVDMAWFNWSFNASYVTIVFVLMVTLSINREWRMLWFYWVDVCIYYISIQLFGTDRLCL >Manes.11G036200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3500102:3506504:-1 gene:Manes.11G036200.v8.1 transcript:Manes.11G036200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRAVESYWRSKMVDAATSDEDKVAPVYKLEEICELLRASHVSIVKEMSEFILKRLEHKSPIVKQKALRLIKYAVGKSGVEFRREMQRHSAAVRQLFHYRGQTDPLKGDALNKAVRDTAHEAISAIFSEENKPATTEDLNKRIEGFGNTNFEMPSEDKKSFLSEVVGIGSASIKQGLSSFTQGHSLRKNDNGSYKSPNLRKSLTIENDHSDRYEPVQLRVETQSSFGASKSATSGPWIQDNRGLNAETKNGGSISSFRESKTREERLLETVVTSGGVRLQPTRDCIQAFLVEAAKFDALALSHALESKLQSPVWQVRMKAVCVLDAILRKRDDEQFSIVASYFSENKDLVIRCTESPQSSLREKSTKVLNLLGGEQAGGLMGNSEKSVKAETTSFQMPDLIDTGDSNDLFETNDSRQEPIDHNTANLTTSTSHLIDDLFGDSYGDGGNSREQKTDDDPFADVSFHTSESREHVDDLFSGLTFDSKPGTNENHMTTKNGPEPFDIFGSNSEITKDQGVSKADVDDLMAGMSITENVPKMNQPGTTSGASINENFLKMNQPGATSGVLPESIFTDSNNHSGHQPSNDALTSMLGSQVTGMNANSMFPSGNMPYTVPPGIILNPAFYTQPVNYGPMGNFLAHQQFLATMSNFQHLSNLNAQNPGVSLAVGTDGVGYSKALPDVFQSNYPNQAPSSLMNNSKKEETKAFDFISDHLAAARDPKRVA >Manes.11G036200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3500493:3506437:-1 gene:Manes.11G036200.v8.1 transcript:Manes.11G036200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRAVESYWRSKMVDAATSDEDKVAPVYKLEEICELLRASHVSIVKEMSEFILKRLEHKSPIVKQKALRLIKYAVGKSGVEFRREMQRHSAAVRQLFHYRGQTDPLKGDALNKAVRDTAHEAISAIFSEENKPATTEDLNKRIEGFGNTNFEMPSEDKKSFLSEVVGIGSASIKQGLSSFTQGHSLRKNDNGSYKSPNLRKSLTIENDHSDRYEPVQLRVETQSSFGASKSATSGPWIQDNRGLNAETKNGGSISSFRESKTREERLLETVVTSGGVRLQPTRDCIQAFLVEAAKFDALALSHALESKLQSPVWQVRMKAVCVLDAILRKRDDEQFSIVASYFSENKDLVIRCTESPQSSLREKSTKVLNLLGGEQAGGLMGNSEKSVKAETTSFQMPDLIDTGDSNDLFETNDSRQEPIDHNTANLTTSTSHLIDDLFGDSYGDGGNSREQKTDDDPFADVSFHTSESREHVDDLFSGLTFDSKPGTNENHMTTKNGPEPFDIFGSNSEITKDQGVSKADVDDLMAGMSITENVPKMNQPGTTSGASINENFLKMNQPGATSGVLPESIFTDSNNHSGHQPSNDALTSMLGSQVTGMNANSMFPSGNMPYTVPPGIILNPAFYTQPVNYGPMGNFLAHQQFLATMSNFQHLSNLNAQNPGVSLAVGTDGVGYSKALPDVFQSNYPNQAPSSLMNNSKKEETKAFDFISDHLAAARDPKRVA >Manes.11G036200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3500523:3506370:-1 gene:Manes.11G036200.v8.1 transcript:Manes.11G036200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRAVESYWRSKMVDAATSDEDKVAPVYKLEEICELLRASHVSIVKEMSEFILKRLEHKSPIVKQKALRLIKYAVGKSGVEFRREMQRHSAAVRQLFHYRGQTDPLKGDALNKAVRDTAHEAISAIFSEENKPATTEDLNKRIEGFGNTNFEMPSEDKKSFLSEVVGIGSASIKQGLSSFTQGHSLRKNDNGSYKSPNLRKSLTIENDHSDRYEPVQLRVETQSSFGASKSATSGPWIQDNRGLNAETKNGGSISSFRESKTREERLLETVVTSGGVRLQPTRDCIQAFLVEAAKFDALALSHALESKLQSPVWQVRMKAVCVLDAILRKRDDEQFSIVASYFSENKDLVIRCTESPQSSLREKSTKVLNLLGGEQAGGLMGNSEKSVKAETTSFQMPDLIDTGDSNDLFETNDSRQEPIDHNTANLTTSTSHLIDDLFGDSYGDGGNSREQKTDDDPFADVSFHTSESREHVDDLFSGLTFDSKPGTNENHMTTKNGPEPFDIFGSNSEITKDQGVSKADVDDLMAGMSITENVPKMNQPGTTSGASINENFLKMNQPGATSGVLPESIFTDSNNHSGHQPSNDALTSMLGSQVTGMNANSMFPSGNMPYTVPPGIILNPAFYTQPVNYGPMGNFLAHQQFLATMSNFQHLSNLNAQNPGVSLAVGTDGVGYSKALPDVFQSNYPNQAPSSLMNNSKKEETKAFDFISDHLAAARDPKRVA >Manes.12G111200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30843381:30846034:1 gene:Manes.12G111200.v8.1 transcript:Manes.12G111200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKPYDKEYMRMAMLKHEETFKEQVHELHRLYQIQKILMRNIGNNRTNTHCEELCNFKNINFADTNHAREMQRKPMMKLDLERPAEDYAAESSCRDRVLELIDESEIELTLGPSSYYNRTRKKPETPLTSDSGPSFSSSSTGSSQINRTSSLRHQIVNTKREESGGLELGLVQVPDMNTLGGYQTENTTSIDVDEQFRQERLKQQPWLIPVMSLNMT >Manes.12G111200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30843593:30846033:1 gene:Manes.12G111200.v8.1 transcript:Manes.12G111200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKPYDKEYMRMAMLKHEETFKEQVHELHRLYQIQKILMRNIGNNRTNTHCEELCNFKNINFADTNHAREMQRKPMMKLDLERPAEDYAAESSCRDRVLELIDESEIELTLGPSSYYNRTRKKPETPLTSDSGPSFSSSSTGSSQINRTSSLRHQIVNTKREESGGLELGLVQVPDMNTLGGYQTENTTSIDVDEQFRQERLKQQPWLIPVMSLNMT >Manes.12G111200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30843312:30846050:1 gene:Manes.12G111200.v8.1 transcript:Manes.12G111200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKPYDKEYMRMAMLKHEETFKEQVHELHRLYQIQKILMRNIGNNRTNTHCEELCNFKNINFADTNHAREMQRKPMMKLDLERPAEDYAAESSCRDRVLELIDESEIELTLGPSSYYNRTRKKPETPLTSDSGPSFSSSSTGSSQINRTSSLRHQIVNTKREESGGLELGLVQVPDMNTLGGYQTENTTSIDVDEQFRQERLKQQPWLIPVMSLNMT >Manes.12G111200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30843349:30846383:1 gene:Manes.12G111200.v8.1 transcript:Manes.12G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLKPYDKEYMRMAMLKHEETFKEQVHELHRLYQIQKILMRNIGNNRTNTHCEELCNFKNINFADTNHAREMQRKPMMKLDLERPAEDYAAESSCRDRVLELIDESEIELTLGPSSYYNRTRKKPETPLTSDSGPSFSSSSTGSSQINRTSSLRHQIVNTKREESGGLELGLVQVPDMNTLGGYQTENTTSIDVDEQFRQERLKQQPWLIPVMSLNMT >Manes.02G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8158668:8160509:-1 gene:Manes.02G104300.v8.1 transcript:Manes.02G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEGKLVVMGRAEIDTRPPFRSVKDAVMLFGERVLAGEIANKLKKIEASESGHSHSRMGALTAELEETKLGLQKAREEAELMANCMRSLREELEQAKKELRWLKAKEMFKKQPIIIDDPEIEDLKFIENATKMEMKNRTIDYDNDDDDDDAEFGKKRYVKFASPPSLARIIVTKEETPSTKKTMKKSLVPILGWLRISSKKGNQEAESPRN >Manes.08G026300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2561915:2568875:-1 gene:Manes.08G026300.v8.1 transcript:Manes.08G026300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQLSTVASRHFSSTYLSRNNHRSFSLSKWRRTSSDFCSSWSGSCSVASLSSRNLFAKEIWGWVNCKSVTIKRDFHGVVKAEMFGQLTSGLESAWSKLKGEEVLTKDNIAEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGVGLIRGVKPDQQLVKIVHDELVKLMGGEVSELVFAKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSCMLVAGDVYRPAAIDQLVILGEQVGVPVYAEGTEVKPSEIAKRGLEEAKKKSVDVVIVDTAGRLQIDKAMMDELKEVKRVLNPTEVLLVVDAMTGQEAAVLVSTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEDLQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKITPAQIREAEKSLKIMEAMIEAMTPEEREKPELLAESPARRKRIAQESGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSVPALSNLEDALKAEQKAPPGTARRKRRSESRRQFADSASSRPSPRGFGSRN >Manes.08G026300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2561915:2568875:-1 gene:Manes.08G026300.v8.1 transcript:Manes.08G026300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQLSTVASRHFSSTYLSRNNHRSFSLSKWRRTSSDFCSSWSGSCSVASLSSRNLFAKEIWGWVNCKSVTIKRDFHGVVKAEMFGQLTSGLESAWSKLKGEEVLTKDNIAEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGVGLIRGVKPDQQLVKIVHDELVKLMGGEVSELVFAKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSCMLVAGDVYRPAAIDQLVILGEQVGVPVYAEGTEVKPSEIAKRGLEEAKKKSVDVVIVDTAGRLQIDKAMMDELKEVKRVLNPTEVLLVVDAMTGQEAAVLVSTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEDLQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKITPAQIREAEKSLKIMEAMIEAMTPEEREKPELLAESPARRKRIAQESGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSVPALSNLEDALKAEQKAPPGTARRKRRSESRRQFADSASSRPSPRGFGSRN >Manes.08G082900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28615605:28621727:1 gene:Manes.08G082900.v8.1 transcript:Manes.08G082900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIGREASKLWKRICAEIATEINLLFDNWKYILAGLICQYIHGLAARGVHYLHRPGPTLQDAGFFLLPELGQDKGYISETVFTCVFLSFVLWTFHPFICKSKKIYTVLIWCRVLAFLVASQFLRIITFYSTQLPGPNYHCRQGSKLARLPRPESVLEVLLINFPRGIVFGCGDLIFSSHMIFTLVFVLTYQKYGTQRFIKQLGWLIAVVQSLLIIASRKHYTVDVVVAWYTVNLVVFFIDKKLPELPDRSNGASSLLLPLSNKDRDVKNKEETHKLMNGNSVDPADRRQRTQVNGKIQEDANGVHNDTNAMNGV >Manes.08G082900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28615605:28621727:1 gene:Manes.08G082900.v8.1 transcript:Manes.08G082900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVTRQQQEQLPLQDDALHWSRGFKVSASDVKLWKRICAEIATEINLLFDNWKYILAGLICQYIHGLAARGVHYLHRPGPTLQDAGFFLLPELGQDKGYISETVFTCVFLSFVLWTFHPFICKSKKIYTVLIWCRVLAFLVASQFLRIITFYSTQLPGPNYHCRQGSKLARLPRPESVLEVLLINFPRGIVFGCGDLIFSSHMIFTLVFVLTYQKYGTQRFIKQLGWLIAVVQSLLIIASRKHYTVDVVVAWYTVNLVVFFIDKKLPELPDRSNGASSLLLPLSNKDRDVKNKEETHKLMNGNSVDPADRRQRTQVNGKIQEDANGVHNDTNAMNGV >Manes.13G116566.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32310540:32310863:-1 gene:Manes.13G116566.v8.1 transcript:Manes.13G116566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIDEIVQWFLRDFKEGKLLENGWPLTVSAYNISKAAINAYTRLLARKFLRFRVNCVHPGLVKTDLAGNKGNLTPEEGARAPVMLALLPDTGPSGFYFSEMDVSSF >Manes.16G108000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31345292:31357710:1 gene:Manes.16G108000.v8.1 transcript:Manes.16G108000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQVSASEYYLHDLPSSYNLVLKEVLGRGRFFKSILCKHDEGLVLVKVYFKRGDPINLREYERRLEQIKETFLALDHPHVWPFQFWQETDKAGYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLLAVKQCHEKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLRPSMDIFAVGCVIAELFLEGQQLFELSQLLAYRRGQYDPSQHLEKIPDSGIRKMILHMIQLEPEARLSAESYLQNYANVVFPAYFSPFLHNFYCCWNPLHSDMRVAMCQSVFHEILKQMMSDKTGENIETASVNSMSGKLALDMVEKPNLDLTMDSVKNEKTEKGLVRDQYKLLGDISTLLGDVKQSNDYAGVNLGPESTPNSAFSHDIKQCGMQSPGELLQAISNAFRKNDHPFLKKITMDDLNSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKSSSLYIDDEDRLQRVLPYIIAMLSDPAAIVRCASLETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSISLSEAGVLDEMNSARKSLASSNETSGRLQRVNNDSQLAQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRALFYGKIVYVCFFVGQRSVEEYLLPYIEQALSDQTEAVIVNALDCLAILCKRGFLRKRILLEMIEHAFPLLCYPSQWVRRSAVTFIAASSESLGAVDSYVFLAPVIRPFLRRQPASLASEKSLLLCLKPPVSRQVFYQVLENARSSDMLERQRKIWYNSSAQSKQWEITDVLKREDGELNSVKSWSDKKVISETQKHDGDVFKKPEDGEAKLRAMGYMSSVSSMVDIRDPLCSEKLQFSGYMSPQIGGVNSFIYDKSSEGIPLYSFSMDRRAVKIPPAASDSSLRMNSLGIGSSYMPWMDPVNKSFSLASSVPAPKLVSGSFSISNGSKQFYRVVHEPESRENDQTTYINSKFQEMGLSGGTKGSSFAVEDASAPTDLAGLPSFSRTTSIPDSGWRPRGVLVAHLQEHRSAVNDIAISNDHSLFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRALCTVMLRNFPQVVVGACDGSMHVFSVDHISRGLGSVVEKYSGIADIKKKDIKEGAILSLLNYTSDNNASQIVMYSTQNCGIHLWDIRANANAWTLKAVPEEGYVSSLVTSPCGNWFVSGSSRGVLTLWDLRFLIPVNSWQYSLVCPIEKMCLFVTSPNVSVSNTRPMIYVAAGCSEVSLWNAENGSCHQVLRLANYDNDTEMSDTPWALAKPSSKANFKPDIRRNVSPKYRVDELNDPPSRLPGVRSMLPLPGGDLLTGGTDLKIRRWDHCSPERSYCISGPNLNGVGNDDLFEIRSSFGVQVVQETKRKHQTTKLTAKAVLAAAATDSAGCHRDSILSLASVKLNQRLLISSGRDGAIKVWK >Manes.16G108000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31345324:31356554:1 gene:Manes.16G108000.v8.1 transcript:Manes.16G108000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSVKMCWLLPGIGFILLTLHPLSQLTFLMMILRISPSFLTLEEGGSVILHLRCVIAELFLEGQQLFELSQLLAYRRGQYDPSQHLEKIPDSGIRKMILHMIQLEPEARLSAESYLQNYANVVFPAYFSPFLHNFYCCWNPLHSDMRVAMCQSVFHEILKQMMSDKTGENIETASVNSMSGKLALDMVEKPNLDLTMDSVKNEKTEKGLVRDQYKLLGDISTLLGDVKQSNDYAGVNLGPESTPNSAFSHDIKQCGMQSPGELLQAISNAFRKNDHPFLKKITMDDLNSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKSSSLYIDDEDRLQRVLPYIIAMLSDPAAIVRCASLETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSISLSEAGVLDEMNSARKSLASSNETSGRLQRVNNDSQLAQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRALFYGKIVYVCFFVGQRSVEEYLLPYIEQALSDQTEAVIVNALDCLAILCKRGFLRKRILLEMIEHAFPLLCYPSQWVRRSAVTFIAASSESLGAVDSYVFLAPVIRPFLRRQPASLASEKSLLLCLKPPVSRQVFYQVLENARSSDMLERQRKIWYNSSAQSKQWEITDVLKREDGELNSVKSWSDKKVISETQKHDGDVFKKPEDGEAKLRAMGYMSSVSSMVDIRDPLCSEKLQFSGYMSPQIGGVNSFIYDKSSEGIPLYSFSMDRRAVKIPPAASDSSLRMNSLGIGSSYMPWMDPVNKSFSLASSVPAPKLVSGSFSISNGSKQFYRVVHEPESRENDQTTYINSKFQEMGLSGGTKGSSFAVEDASAPTDLAGLPSFSRTTSIPDSGWRPRGVLVAHLQEHRSAVNDIAISNDHSLFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRALCTVMLRNFPQVVVGACDGSMHVFSVDHISRGLGSVVEKYSGIADIKKKDIKEGAILSLLNYTSDNNASQIVMYSTQNCGIHLWDIRANANAWTLKAVPEEGYVSSLVTSPCGNWFVSGSSRGVLTLWDLRFLIPVNSWQYSLVCPIEKMCLFVTSPNVSVSNTRPMIYVAAGCSEVSLWNAENGSCHQVLRLANYDNDTEMSDTPWALAKPSSKANFKPDIRRNVSPKYRVDELNDPPSRLPGVRSMLPLPGGDLLTGGTDLKIRRWDHCSPERSYCISGPNLNGVGNDDLFEIRSSFGVQVVQETKRKHQTTKLTAKAVLAAAATDSAGCHRDSILSLASVKLNQRLLISSGRDGAIKVWK >Manes.16G108000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31348182:31357710:1 gene:Manes.16G108000.v8.1 transcript:Manes.16G108000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVIAELFLEGQQLFELSQLLAYRRGQYDPSQHLEKIPDSGIRKMILHMIQLEPEARLSAESYLQNYANVVFPAYFSPFLHNFYCCWNPLHSDMRVAMCQSVFHEILKQMMSDKTGENIETASVNSMSGKLALDMVEKPNLDLTMDSVKNEKTEKGLVRDQYKLLGDISTLLGDVKQSNDYAGVNLGPESTPNSAFSHDIKQCGMQSPGELLQAISNAFRKNDHPFLKKITMDDLNSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKSSSLYIDDEDRLQRVLPYIIAMLSDPAAIVRCASLETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSISLSEAGVLDEMNSARKSLASSNETSGRLQRVNNDSQLAQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRALFYGKIVYVCFFVGQRSVEEYLLPYIEQALSDQTEAVIVNALDCLAILCKRGFLRKRILLEMIEHAFPLLCYPSQWVRRSAVTFIAASSESLGAVDSYVFLAPVIRPFLRRQPASLASEKSLLLCLKPPVSRQVFYQVLENARSSDMLERQRKIWYNSSAQSKQWEITDVLKREDGELNSVKSWSDKKVISETQKHDGDVFKKPEDGEAKLRAMGYMSSVSSMVDIRDPLCSEKLQFSGYMSPQIGGVNSFIYDKSSEGIPLYSFSMDRRAVKIPPAASDSSLRMNSLGIGSSYMPWMDPVNKSFSLASSVPAPKLVSGSFSISNGSKQFYRVVHEPESRENDQTTYINSKFQEMGLSGGTKGSSFAVEDASAPTDLAGLPSFSRTTSIPDSGWRPRGVLVAHLQEHRSAVNDIAISNDHSLFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRALCTVMLRNFPQVVVGACDGSMHVFSVDHISRGLGSVVEKYSGIADIKKKDIKEGAILSLLNYTSDNNASQIVMYSTQNCGIHLWDIRANANAWTLKAVPEEGYVSSLVTSPCGNWFVSGSSRGVLTLWDLRFLIPVNSWQYSLVCPIEKMCLFVTSPNVSVSNTRPMIYVAAGCSEVSLWNAENGSCHQVLRLANYDNDTEMSDTPWALAKPSSKANFKPDIRRNVSPKYRVDELNDPPSRLPGVRSMLPLPGGDLLTGGTDLKIRRWDHCSPERSYCISGPNLNGVGNDDLFEIRSSFGVQVVQETKRKHQTTKLTAKAVLAAAATDSAGCHRDSILSLASVKLNQRLLISSGRDGAIKVWK >Manes.16G108000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31345324:31356379:1 gene:Manes.16G108000.v8.1 transcript:Manes.16G108000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIARTTQVSASEYYLHDLPSSYNLVLKEVLGRGRFFKSILCKHDEGLVLVKVYFKRGDPINLREYERRLEQIKETFLALDHPHVWPFQFWQETDKAGYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLLAVKQCHEKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLRPSMDIFAVGCVIAELFLEGQQLFELSQLLAYRRGQYDPSQHLEKIPDSGIRKMILHMIQLEPEARLSAESYLQNYANVVFPAYFSPFLHNFYCCWNPLHSDMRVAMCQSVFHEILKQMMSDKTGENIETASVNSMSGKLALDMVEKPNLDLTMDSVKNEKTEKGLVRDQYKLLGDISTLLGDVKQSNDYAGVNLGPESTPNSAFSHDIKQCGMQSPGELLQAISNAFRKNDHPFLKKITMDDLNSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKSSSLYIDDEDRLQRVLPYIIAMLSDPAAIVRCASLETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSISLSEAGVLDEMNSARKSLASSNETSGRLQRVNNDSQLAQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRALFYGKIVYVCFFVGQRSVEEYLLPYIEQALSDQTEAVIVNALDCLAILCKRGFLRKRILLEMIEHAFPLLCYPSQWVRRSAVTFIAASSESLGAVDSYVFLAPVIRPFLRRQPASLASEKSLLLCLKPPVSRQVFYQVLENARSSDMLERQRKIWYNSSAQSKQWEITDVLKREDGELNSVKSWSDKKVISETQKHDGDVFKKPEDGEAKLRAMGYMSSVSSMVDIRDPLCSEKLQFSGYMSPQIGGVNSFIYDKSSEGIPLYSFSMDRRAVKIPPAASDSSLRMNSLGIGSSYMPWMDPVNKSFSLASSVPAPKLVSGSFSISNGSKQFYRVVHEPESRENDQTTYINSKFQEMGLSGGTKGSSFAVEDASAPTDLAGLPSFSRTTSIPDSGWRPRGVLVAHLQEHRSAVNDIAISNDHSLFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRALCTVMLRNFPQVVVGACDGSMHVFSVDHISRGLGSVVEKYSGIADIKKKDIKEGAILSLLNYTSDNNASQIVMYSTQNCGIHLWDIRANANAWTLKAVPEEGYVSSLVTSPCGNWFVSGSSRGVLTLWDLRFLIPVNSWQYSLVCPIEKMCLFVTSPNVSVSNTRPMIYVAAGCSEVSLWNAENGSCHQVLRLANYDNDTEMSDTPWALAKPSSKANFKPDIRRNVSPKYRVDELNDPPSRLPGVRSMLPLPGGDLLTGGTDLKIRRWDHCSPERSYCISGPNLNGVGNDDLFEIRSSFGVQVVQETKRKHQTTKLTAKAVLAAAATDSAGCHRDSILSLASVKLNQRLLISSGRDGAIKVWK >Manes.01G270700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42434137:42436984:-1 gene:Manes.01G270700.v8.1 transcript:Manes.01G270700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYEWGSPSTMMLLSGDEPTEGPAQNRQIFDHYAAQSFNDNLVPQPTTNLFHHPPIHQVNSLYDPRAYAIASSYTPPHPSLLSLDPITNPAGAAPSYFLVPKSEEVSRPSDYTARIGLNLGGRTYFSSAEDDFVNRLYRRCRQVEAGSSNAPRCQAEGCNADLSHAKHYHRRHKVCEFHSKASTVIAAGLAQRFCQQCSRLFPRFHLLSEFDNGKRSCRRRLADHNRRRRKSHQINQETHKLQPAESARNYSSENLTRSPPESGVHNSSSSVTVAVSPPRKFLDCFRHIPYQATASSSSASSSSLFFSSG >Manes.01G270700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42433851:42437281:-1 gene:Manes.01G270700.v8.1 transcript:Manes.01G270700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYEWGSPSTMMLLSGDEPTEGPAQNRQIFDHYAAQSFNDNLVPQPTTNLFHHPPIHQVNSLYDPRAYAIASSYTPPHPSLLSLDPITNPAGAAPSYFLVPKSEEVSRPSDYTARIGLNLGGRTYFSSAEDDFVNRLYRRCRQVEAGSSNAPRCQAEGCNADLSHAKHYHRRHKVCEFHSKASTVIAAGLAQRFCQQCSRFHLLSEFDNGKRSCRRRLADHNRRRRKSHQINQETHKLQPAESARNYSSENLTRSPPESGVHNSSSSVTVAVSPPRKFLDCFRHIPYQATASSSSASSSSLFFSSG >Manes.01G270700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42434020:42437147:-1 gene:Manes.01G270700.v8.1 transcript:Manes.01G270700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYEWGSPSTMMLLSGDEPTEGPAQNRQIFDHYAAQSFNDNLVPQPTTNLFHHPPIHQVNSLYDPRAYAIASSYTPPHPSLLSLDPITNPAGAAPSYFLVPKSEEVSRPSDYTARIGLNLGGRTYFSSAEDDFVNRLYRRCRQVEAGSSNAPRCQAEGCNADLSHAKHYHRRHKVCEFHSKASTVIAAGLAQRFCQQCSRFHLLSEFDNGKRSCRRRLADHNRRRRKSHQINQETHKLQPAESARNYSSENLTRSPPESGVHNSSSSVTVAVSPPRKFLDCFRHIPYQATASSSSASSSSLFFSSG >Manes.01G270700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42434137:42436984:-1 gene:Manes.01G270700.v8.1 transcript:Manes.01G270700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYEWGSPSTMMLLSGDEPTEGPAQNRQIFDHYAAQSFNDNLVPQPTTNLFHHPPIHQVNSLYDPRAYAIASSYTPPHPSLLSLDPITNPAGAAPSYFLVPKSEEVSRPSDYTARIGLNLGGRTYFSSAEDDFVNRLYRRCRQVEAGSSNAPRCQAEGCNADLSHAKHYHRRHKVCEFHSKASTVIAAGLAQRFCQQCSRFHLLSEFDNGKRSCRRRLADHNRRRRKSHQINQETHKLQPAESARNYSSENLTSKVTTRVWSS >Manes.04G057896.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:14181907:14182530:1 gene:Manes.04G057896.v8.1 transcript:Manes.04G057896.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPPSANDLIIQLTAQLDQAGLQGRVLIGEGYDNTNVEQHGEWRFCVVGRLFSDRAVNFDAFQHTMAIAWRPDPGMFVKELDNNVFIF >Manes.12G060111.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5273714:5274610:1 gene:Manes.12G060111.v8.1 transcript:Manes.12G060111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGGEEEDSDIKKHKDIILKALEDLVTVNSLFTMAVFVGISMASPNNNTFETRPECQSDTKKEKSVIVFEIISFGCFIFSSMLAKSLGIYLNMFYSGKVNGSLLKPIRGLVFLVSLLATIAGVALLTWSVIYVVEIKVGNLSCETEEALFAVVSLVVLVGVALLSYLFSMAFAILHCMVF >Manes.17G029500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22213164:22220632:-1 gene:Manes.17G029500.v8.1 transcript:Manes.17G029500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITIILLLFLINHMEAKPTYISHVCSNTTHNTYMLNVELLLSSFSSYANSSSGFYNASAGQDPDVVYGLFLCRGDLSPEICQDCVNFATQDIIHRCPTQKMGITWYDECFIRYSNEYIFSTVAVKPFAYQMIISRTTFRLHNASHSQLVAAISVTAANAAFSPSGYKINFATGEFEAGPSEKLHNILQCTPDILSSDCYKCLLKGIGELPNLKIVKQGGIFLSSCIIRFNLIPVNPSPQDPRAPAQPPSLPVPTDNKTGRADVAREKAAWIIVGSSLSTILGILFFSFCVYTLWKRKSEKNAVNIEQIIIEDDGQTTILVNNFHQMPLYTLQIATQFFSKENKLGQGGFGPVYKGTLEDGREIAIKRRSRTSRQGLDEFMNEVKLIANLQHRNLVRLLGYCLEQNGKLLVYEYMPNKSLDAFLFDSNKSFELNWQRRLHIINGIGRGLLYLHEDSRLKIIHMDMKTSNILLDNDLNPKISDFGMSRIFEENQSEANTKKIAGTYGYMAPEYAIRGLFSIKSDVFSFGVILLEIISGKKNNRFHSSEVDENLLTFAWKLWSKNQELELMDPLILESSVAFEVSKCIHIGLLCVQEDPADRPTMSSVVIMLSSDNITLPQPKQPAVSVRRLLSRLASSNQKVSSNNQVSLSDISPR >Manes.15G140050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11381003:11381958:-1 gene:Manes.15G140050.v8.1 transcript:Manes.15G140050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKISPRMMKIAKNIEKKQVIQSLHGVLRPKVYITDISSFKRLVQELTGNGRSTVSSSPSPDRPQTISEKFLAADLENNNLDVSMDAAVDLQKHSQGLVWMDQAVDAYRELESWLLDVC >Manes.08G124300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36467744:36471495:-1 gene:Manes.08G124300.v8.1 transcript:Manes.08G124300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGFSSPAESGILDELGLSLTEYSLFGSISTVGSLLGAVLCGKITDVIGRRGGLWVSDAFCIVGWLAIAFSKGVWSLDVGRLSVGIGTGILSYVTPTYIAEITPQNLRGACAALSMLMTGFGISLVFIIGSICHWRILALLGVIPCLLQLLGIFLIPESPRWLANAGQEKELEFALQLLRGKNVDISYEVAEITDYMQSLQYQKAEAGIMELFQRKYSYAILVGVGLVALQQFGGLSAYTSYMSFILESAGFSSAIGSAITSIAQLVMNISSIFLIDRYGRRPLLLVSSSGMCLGSLTTGVSFLLKSSHMGKETSPVLALIGVVVCVSSISIGLGGIPWIIIAEVIPVNVKGSAGSILNLLNSSSNWIVVYTFNFLFVWSSAGKCVPFPFCIDGNFVLPHLGFFCSAAASSFVLIRENIFQNFILFFT >Manes.08G124300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36467744:36471495:-1 gene:Manes.08G124300.v8.1 transcript:Manes.08G124300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDTEMKTSSLLWKEKQKEDDNGECSGDGTSSVTFMLIFSTFICAVGSFLSGNAMGFSSPAESGILDELGLSLTEYSLFGSISTVGSLLGAVLCGKITDVIGRRGGLWVSDAFCIVGWLAIAFSKGVWSLDVGRLSVGIGTGILSYVTPTYIAEITPQNLRGACAALSMLMTGFGISLVFIIGSICHWRILALLGVIPCLLQLLGIFLIPESPRWLANAGQEKELEFALQLLRGKNVDISYEVAEITDYMQSLQYQKAEAGIMELFQRKYSYAILVGVGLVALQQFGGLSAYTSYMSFILESAGFSSAIGSAITSIAQLVMNISSIFLIDRYGRRPLLLVSSSGMCLGSLTTGVSFLLKSSHMGKETSPVLALIGVVVCVSSISIGLGGIPWIIIAEVIPVNVKGSAGSILNLLNSSSNWIVVYTFNFLFVWSSAGVFFIYSAICGLGIIFVAKLVPETKGRKLEEIQASIIID >Manes.08G124300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36467744:36471495:-1 gene:Manes.08G124300.v8.1 transcript:Manes.08G124300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGFSSPAESGILDELGLSLTEYSLFGSISTVGSLLGAVLCGKITDVIGRRGGLWVSDAFCIVGWLAIAFSKGVWSLDVGRLSVGIGTGILSYVTPTYIAEITPQNLRGACAALSMLMTGFGISLVFIIGSICHWRILALLGVIPCLLQLLGIFLIPESPRWLANAGQEKELEFALQLLRGKNVDISYEVAEITDYMQSLQYQKAEAGIMELFQRKYSYAILVGVGLVALQQFGGLSAYTSYMSFILESAGFSSAIGSAITSIAQLVMNISSIFLIDRYGRRPLLLVSSSGMCLGSLTTGVSFLLKSSHMGKETSPVLALIGVVVCVSSISIGLGGIPWIIIAEVIPVNVKGSAGSILNLLNSSSNWIVVYTFNFLFVWSSAGVFFIYSAICGLGIIFVAKLVPETKGRKLEEIQASIIID >Manes.08G124300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36467744:36471495:-1 gene:Manes.08G124300.v8.1 transcript:Manes.08G124300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDTEMKTSSLLWKEKQKEDDNGECSGDGTSSVTFMLIFSTFICAVGSFLSGNAMGFSSPAESGILDELGLSLTEYSLFGSISTVGSLLGAVLCGKITDVIGRRGGLWVSDAFCIVGWLAIAFSKGVWSLDVGRLSVGIGTGILSYVTPTYIAEITPQNLRGACAALSMLMTGFGISLVFIIGSICHWRILALLGVIPCLLQLLGIFLIPESPRWLANAGQEKELEFALQLLRGKNVDISYEVAEITDYMQSLQYQKAEAGIMELFQRKYSYAILVGVGLVALQQFGGLSAYTSYMSFILESAGFSSAIGSAITSIAQLVMNISSIFLIDRYGRRPLLLVSSSGMCLGSLTTGVSFLLKSSHMGKETSPVLALIGVVVCVSSISIGLGGIPWIIIAEVIPVNVKGSAGSILNLLNSSSNWIVVYTFNFLFVWSSAGKCVPFPFCIDGNFVLPHLGFFCSAAASSFVLIRENIFQNFILFFT >Manes.12G119301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32245218:32246835:1 gene:Manes.12G119301.v8.1 transcript:Manes.12G119301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSLSPAAATSMSSSVSLDRPSRKPNISSSLQTPSIIHFPKHSSTATSYPPSPSISTTIPKKIATTVPSVDKSLAPMQNQWNFLQKAAAMALDAVESALVSHERRFPLPKIADPAVQIAGNFAPVSERPVVRNLPVTGTIPDTIRGVYVRNGANPLHEPVAGHHFFDGDGMVHAVRFEKGSVSYACRFTETNRLVQERELGHSVFPKAIGELHGHSGIARLLLFCARGLFGIVDSSHGTGVANAGLVYFDGRLLAMSEDDLPYHVRVLPSGDLKTVGRYNFNGQLKSSMIAHPKVDPCSGELFALSFFRATQVQIQAKKIHMGFHMERRAFLEKIIISHL >Manes.09G142700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34102334:34109156:-1 gene:Manes.09G142700.v8.1 transcript:Manes.09G142700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTAQGRMKLLFNCDGVQLKLLRNGFAGGLNSFQLQKKTLEYIGSCTRLYYSLSKKSRHFNARASLKAREDGDSDESEDFDSEFETDDLASFRGLVLDISYRYCSSRENLTIDHVLPTAQGGEWTWENLVTACAKCNSRKGQKTIEEANMKLIKLPKAPKDFEILAIPLTSVAIKMLRMTKGTPEEWRQYLATPSSQP >Manes.09G142700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34102334:34109156:-1 gene:Manes.09G142700.v8.1 transcript:Manes.09G142700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTAQGRMKLLFNCDGVQLKLLRNGFAGGLNSFQLQKKTLEYIGSCTRLYYSLSKKSRHFNARASLKAREDGDSDESEDFDSEFETDDLASFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVISPSGSFYIPAVLRVPHLLQVVKRRRIRSNLSRKNILFRDNYTCQYCSSRENLTIDHVLPTAQGGEWTWENLVSFFIIITNSVIICFLVKGKQQNHLKEETRSEK >Manes.09G142700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34102334:34109156:-1 gene:Manes.09G142700.v8.1 transcript:Manes.09G142700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTAQGRMKLLFNCDGVQLKLLRNGFAGGLNSFQLQKKTLEYIGSCTRLYYSLSKKSRHFNARASLKAREDGDSDESEDFDSEFETDDLASFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVISPSGSFYIPAVLRVPHLLQVVKRRRIRSNLSRKNILFRDNYTCQYCSSRENLTIDHVLPTAQGGEWTWENLVTACAKCNSRKGQKTIEEANMKLIKLPKAPKDFEILAIPLTSVAIKMLRMTKGTPEEWRQYLATPSSQP >Manes.17G078400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27823439:27826228:-1 gene:Manes.17G078400.v8.1 transcript:Manes.17G078400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTGDENDLNMNDSVHFAEFLPTLSPKPKIKNTHITKTQPYSTCTHSTGSTLLGLDDQLLGNHANASDQTRREMFMNEQPLMGMSSRWNPTPEQLLALEEMYRRGTRTPTAEQIQQIAAQLRRFGKIEGKNVFYWFQNHKARERQKRRREVETGCKVRKHDTTEALNMKESAAGLRSTVYEAEQKKTLVSSSSCSEHLQGSVSMLRAVTTESTTHGWPQFEERELHQHKSYSVEKNATWQAMDLSPSSCHIQLINNFTTRSSSSRFLNSHQKQNSWLMKPRRKSEKETNPDDDVEEVETLELFPLCSSDDCNKVQGRKNDTEVPITDINTKLNPNQFFEFLPLKN >Manes.17G078400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27823439:27826228:-1 gene:Manes.17G078400.v8.1 transcript:Manes.17G078400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTGDENDLNMNDSVHFAEFLPTLSPKPKIKNTHITKTQPYSTCTHSTGSTLLGLDDQLLDSGNHANASDQTRREMFMNEQPLMGMSSRWNPTPEQLLALEEMYRRGTRTPTAEQIQQIAAQLRRFGKIEGKNVFYWFQNHKARERQKRRREVETGCKVRKHDTTEALNMKESAAGLRSTVYEAEQKKTLVSSSSCSEHLQGSVSMLRAVTTESTTHGWPQFEERELHQHKSYSVEKNATWQAMDLSPSSCHIQLINNFTTRSSSSRFLNSHQKQNSWLMKPRRKSEKETNPDDDVEEVETLELFPLCSSDDCNKVQGRKNDTEVPITDINTKLNPNQFFEFLPLKN >Manes.01G154700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34144552:34147324:-1 gene:Manes.01G154700.v8.1 transcript:Manes.01G154700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSRLSEMFGNLGSFLRICIFRVLSMGPIPNHFAFIMDGNRRYAKKKNMKEGAGHRAGFLALISLLKYCYELGVKYVTIYAFSIDNFKRSPDEVKDLMDLLLEKIEELLSDESIVNQYGVRIYFIGNLMLLSEPVRIAAEKVMKATANNTNYTLLICIAYTSRDEIVHAVQVSCKNKEEEIQSLSFCKVNNDAIDEVEDNKKFNGVVPFGYLDSQKDKTDESQATRANVTSSCITTGVEEDGNKNNMTLRAVQGSYVDTWYNYQAMTENRMGNGASASKECGDMQGECSIIKLVDIEKHMYMAVAPKPDILIRSSGETRLSNFLLWQASECLLYSPDALWPEVGLWHLLWAVLNFQRNHSYLEKKRRQL >Manes.14G121954.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11856195:11859006:1 gene:Manes.14G121954.v8.1 transcript:Manes.14G121954.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMKVCSMVLAMIMVLLLQGIWCSDDCWETEKIALLQLQSHFNYSLQDDFYYGPLSFLYDYSTFSPEIDVIKCCNWRRVRCSATTGRITQLNLEGIRYFSAKMWYLNASLFLPFQYLNHINLNHNHIAGCLKNEGFQRLSSLENLEFLNLGYNNFNTDILSSLTHLLSLKYLYLDGNHMKGRINIEELNRLTNLKNLSIWNNEIEGFKSFNVIEELLNMSNLQLLDMSENYIESDVLSSLRGLSSLKILRIYHNQLKGPFDLKELDTMSNLEELDLGKNNITKFIGSKRIRSLRNLRALYLRDIITINGSSMLFESLGALAHLEFLDGSIFEGATLSLGVSTNLKILHMIGSDLKGTKFSQGWYFL >Manes.11G091900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14415292:14422947:-1 gene:Manes.11G091900.v8.1 transcript:Manes.11G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQSLLHSSHTPFRTHEPIFLSQSLILSKKSPLSLASPKSFPSSLLPPSLRTIKSRSRLVTPSISPSQELFDNVLSENDANTASDSFCDEEEKEEEMKMEISSREGLENQSIWYQIKEIVKFTAPATGLWICGPLMSLIDTAVIGQGSSLELAALGPGTVLCDNMSYVFMFLSISTSNMVATSLAKQDKNEVQHQLSVLVFIGLTCGFLMILFTKFFAASVLAAFAGSNNLHIVPAANTYVQIRGLAWPAILIGWVAQSASLGMKDSLGPLKALIVASAINGIGDIFLCRFLDYGIAGAAWATMVSQVVAAYMMIDSLNKKGYNAYAISIPSPSDLMTIFGLAAPVFVMMMSKVAFYSLLVYFATSMGTLSLAAHQVMIQAFMTCSVWGEPLSQTAQSFMPELMYGTKRSLVKARMLMKSLTIIGTILGLMLGIVGTSVPWLFPKIFTPDQQVIQEMHKVLVPFFMALAVTPCILSFEGTLLAGRDLKYLSLSTGGCFSLGAVVLLIVSSRGYGLLGCWCTLLGFQWARFFLTLQRLLSPNGIFSLKIQASLNYTS >Manes.11G116001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27266348:27278253:1 gene:Manes.11G116001.v8.1 transcript:Manes.11G116001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADDADSDACVGTKRRMTEIEETLLQQQEEPSASISAQEEEVSKESSFTSSSPQEDQEIVQDIHSPTSSKKVKCSVIQPYNCIEENQGTLGCVDEGKVISCDLVSSSIGCEGNIADFARTVENLGMQVQETNNCMMDSVFGSEKGQGEIEGTLNDGKSEKEGQLGSAKSEMGFHGAEEVDKHRALDLGDHKGTEIEIGSVIVEECFVKGKAEAETLLDAKKKQLLAKLEAGSIFKDKSHMEKVPVPNLTAGILEGLKRIDESVRPSLKVEVIDDTALIETVPVPKTGNVGVKVAERKGKKNEKQEADEKKAKRPRRKGKDGKKILEPSYEQNQMIPVRKAINNTSQVGEAQNGGKKDGDQIRKYSREEMEALRFVNIVDQRRLWRLIHTGLGDAVVKEYNDLTGSKHQKNICVNFDPRQLFGRKEVAPGILGEMSSENVDSKLKNTEEDEVESLNILGPSHESFGGEDACTFLEEECNEDEDSDEDYASIQRPAFLVEGEPDFESGPPEDGLEYLRRVRWEAAHIPKVKVAKHDKSKVHKEQSVYMPQIPEIAKCPENLMPLKRWEDAFLADFSELRMFSSRNEASGTEISCKLQSLSIVHEQNTSSPQLAESIILEKFNNLVADEVDSSLDDSCLNDTVDQSSSANAEGGKALAYSQHKSLRSSTSESSSNYPTLSAILAMDSVARASALRKRITQAETMTTLSKNDCLWLFALCAAVDTPLDADTSAALRSLLRKCASLRAAKCELDDEVIMLNILATISGRYFGQSES >Manes.13G096000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28230306:28236281:1 gene:Manes.13G096000.v8.1 transcript:Manes.13G096000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKSTDLQSLFYHIGTKQERGSQPQRGANPNIHHMRASEKNMINRFSCTTKTKLKGDMRKALMKKRLTRIGPEGSRRPSVLAVAESVQRLPEFCRSEVVQEDVKGKGFGYVIK >Manes.13G096000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28230306:28236281:1 gene:Manes.13G096000.v8.1 transcript:Manes.13G096000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKSTDLQSLFYHIGTKQERGSQPQRGANPNIHHMRASEKNMINRFSCTTKTKLKGDMRKALMKKRLTRIGPEGSRRPSVLAVAESVQRLPEVSRTKINLLF >Manes.14G164400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25988814:25991755:1 gene:Manes.14G164400.v8.1 transcript:Manes.14G164400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEVSRSQKKKHKKKNTAQAMEEPDTKPKDTNDAAGDFMIKPQSFTPSIDTSQWPILLKNYDRLNVRTGHYTPLPSGYSPLKRPLVEYIRYGVMNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHDKVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDPDRHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGILGERDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIAEGKLDKHGKPNGNTPQEWMRNLVLPTGGDSIVASLAAADEPAKEISAVNEESGEVEKEEKKKKKSKDGEDGEGRKRKLDESTNSPTAQAPAKKVKVVEVEKEEAIVVKKVKEGRVEESEDDKKEKKKKKKKSKEDGDVETLEVKETEKVEKKEHSDKGEAGSPDTEKSEKKKKKKKKEKEAEEAATIDDGRSNGGVDRSEKKDKKKKKKKDKDTEEV >Manes.10G121600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29078076:29079264:1 gene:Manes.10G121600.v8.1 transcript:Manes.10G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMLLPFLFSFFSLLLCFPETSNASLLPFVDRPGSLLTDPWSDRFPDPFRVLEQIPFGIERDDSVELSPARVDWKETPEGHLIMIDVPGLKKEELKIEVEENRVLRVSGERKKEEEKKGDHWHRVERSYGKFWRQFRLPDNVDMDSVKAKLENGVLTLSFSKLSPDKIKGPRVVSIAGEEKPEPAKLKNSEAKQEL >Manes.15G130600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10429287:10430053:1 gene:Manes.15G130600.v8.1 transcript:Manes.15G130600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKKLEASFEVNVPANQFHNVYSCRPHHVSIMSPERIQGVELHEGEWGKEGSVICWNYTHDGSLKIAKEIIEVIDDVNLSTTFKVIGGDLLKDYKSFKFIVQATQKGDGSLVHWTLEYEKVHENTPAPNTLMDFVVHCSKDISAHLKQAQAN >Manes.11G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3532889:3537152:-1 gene:Manes.11G036800.v8.1 transcript:Manes.11G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKEHDYIGLSENSPMERISDKLSSSSSSSSTLSTEENGSNTNKTSLNLKETELRLGLPGSQSPDRKPLPGVSLFGKEIETNTNGYSLSPLKNSVSGAKRGFSDAIDGSSGKWVFSVNNGSDAGLNKGAVLFSPRGDNGNSQKSSACGSTKKEVAGVITQSPKTVQEKNNQVSGANENSNAPAAKAQVVGWPPIRSFRKNTMASNLTKNNEDAEAKSGFGCLYVKVSMDGAPYLRKVDLRTYTNYVELSSALEKMFSCFTIGQCGSHGFPGRDGLTETCLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTESCRRLRIMKGSEAIGLAPRAMEKCKNRNY >Manes.07G075742.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20769636:20770843:-1 gene:Manes.07G075742.v8.1 transcript:Manes.07G075742.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFIDKGYTLLEDEASVKSIVSQIKRYGKTLEDSHQALLLALKDVENNDARSWLLDNGASNHMYEDKEIFVLLDEEMYGKVSFTKSLTLQIYGKAIILISSEYGFHKLVTIIYYMPQLKSNCLSLGQILKRGCEIHMKYFCF >Manes.13G118400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32503483:32505777:-1 gene:Manes.13G118400.v8.1 transcript:Manes.13G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGKDVDRIKGPWSPEEDEALQKLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFSPEEDETIIRAHTRFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCLSSAVDDGSFGSRDGYDGNLGGNCQPLKRSVSAGSGMPVSTGLYMNPGSPSQSDVSDSSVPVLSSSHVYRPVARAGPVFPPTETTSSCNTNDPPTSLSLSLPGADSSEVSNRVAESTPPTNTISLMPATTQVPPPATATATAQAQVAAAAVAAGMQQQAAVNGVGGGFVGFTADFMAVMHEMIRREVRNYMMEQSSRGGGGGGNGGGVGMCFQAAGGEGFRNVAMNRIGVSKIE >Manes.12G105500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:28738339:28739172:-1 gene:Manes.12G105500.v8.1 transcript:Manes.12G105500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQLAEVSSAFERMLRRRDLSLFLPLILGVTGTNPDQERIILINPFTQGMVMIEGAGDLGSLLRELATKNGQPPASKASIEALPSVEISEIGDRDCECVICLEEWELGGLAKEMPCNHRFHAHCINKWLEIHGSCPVCRYTMHVDEVDLGKQKEEEEERRERRRFEREIWVGFSFNSNRRSEE >Manes.02G094400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7383218:7394091:1 gene:Manes.02G094400.v8.1 transcript:Manes.02G094400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTLMEPMDLKVSELLKEVQVDYTPAFTELVDGTVSAIKEAINRIPDGLEVTIEEAPGFVKDIGADKVDFKFKRPKSIEIGGSYSIKCIVKPDINVDLFIQLPKECFHEKDYLNYRYHAKRCLYLCIIKKYLKSSTSVRKVEWSSFHNEARKPALLVYPARKLDEVPGFFVRVIPTAKFLFNAAKLDLKRNNIRALNQEGNLLLPTPRYNSSILEDMFLEDNTEFIKKTFLGWRELREALILLKVWARQRSSIYAHDCLNGFIIAFILSYLATYGKINNSMKPLQIFRVAMDFIASSKSWSQGLYFGQQREVKVSKEERMLYKEAFPVVICDSCGHVNLAFRMNSNGFLELQDEAALALKCLEKSGDVAFEDIFMTKIDFSSKFDYYIRLNLKGNREVCASGFCLDDECWRLYEQKVLSILSQGLSDRAKFIRVIWRNFQSDCDIENGLSTLDTEPMLIGISVSSLEKAFRVVDIGPDAENKEEALKFRKFWGEKAELRRFRDGKIAESTVWECEQWAKHLILKRIIEFVLLRHFSLSKADILPVVDQLDFSLLHGVEDPMSCSANLLAVFEVLSKRLRQIEDIPLKVSSVQPLDPAFRFTSVFPPRPHPLATEKGHLPKLQKHISSCIQPLEVMIQLEGSGNWPMDEVAIEKTKSAFLLKIGESLQNSWGMTCTVTEDDVDVFLSGFAFRLIILHERGLSLVKREIGSDIVKRVPSADKKLFIRGQHSSMINGLQGIYQMYGPVVRLANRWVTSHLFSACLVEEAVELLVAHLFVKPLPFTAPCSRITGFLRFLRLLADYDWTFSPLIVDINNDLTPNDKKEIYDNFTLSRKGHEVNTQNISPSMFLATSYDKASEAWTRFAPNSLELKRLVAYARSSASLLTRLVLEDQTDSCRWECLFRTPLNNYDAVILLHADRLPYPQRLLFPSNSNQVRVGTLVVHGNASKAFRPFMLPGDLRGSPEDLKHKLMVNFDPLRSYIADLQGKFNTLKLWYDSLGGDAIGLTWETKKRGREEAGEGEDPVDVLRAVGEVGKGFVRSVYFLKAPRLIK >Manes.02G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7383218:7394099:1 gene:Manes.02G094400.v8.1 transcript:Manes.02G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTLMEPMDLKVSELLKEVQVDYTPAFTELVDGTVSAIKEAINRIPDGLEVTIEEAPGFVKDIGADKVDFKFKRPKSIEIGGSYSIKCIVKPDINVDLFIQLPKECFHEKDYLNYRYHAKRCLYLCIIKKYLKSSTSVRKVEWSSFHNEARKPALLVYPARKLDEVPGFFVRVIPTAKFLFNAAKLDLKRNNIRALNQEGNLLLPTPRYNSSILEDMFLEDNTEFIKKTFLGWRELREALILLKVWARQRSSIYAHDCLNGFIIAFILSYLATYGKINNSMKPLQIFRVAMDFIASSKSWSQGLYFGQQREVKVSKEERMLYKEAFPVVICDSCGHVNLAFRMNSNGFLELQDEAALALKCLEKSGDVAFEDIFMTKIDFSSKFDYYIRLNLKGNREVCASGFCLDDECWRLYEQKVLSILSQGLSDRAKFIRVIWRNFQSDCDIENGLSTLDTEPMLIGISVSSLEKAFRVVDIGPDAENKEEALKFRKFWGEKAELRRFRDGKIAESTVWECEQWAKHLILKRIIEFVLLRHFSLSKADILPVVDQLDFSLLHGVEDPMSCSANLLAVFEVLSKRLRQIEDIPLKVSSVQPLDPAFRFTSVFPPRPHPLATEKGHLPKLQKHISSCIQPLEVMIQLEGSGNWPMDEVAIEKTKSAFLLKIGESLQNSWGMTCTVTEDDVDVFLSGFAFRLIILHERGLSLVKREIGSDIVKRVPSADKKLFIRGQHSSMINGLQGIYQMYGPVVRLANRWVTSHLFSACLVEEAVELLVAHLFVKPLPFTAPCSRITGFLRFLRLLADYDWTFSPLIVDINNDLTPNDKKEIYDNFTLSRKGHEVNTQNISPSMFLATSYDKASEAWTRFAPNSLELKRLVAYARSSASLLTRLVLEDQTDSCRWECLFRTPLNNYDAVILLHADRLPYPQRLLFPSNSNQGTLVVHGNASKAFRPFMLPGDLRGSPEDLKHKLMVNFDPLRSYIADLQGKFNTLKLWYDSLGGDAIGLTWETKKRGREEAGEGEDPVDVLRAVGEVGKGFVRSVYFLKAPRLIK >Manes.02G094400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7383218:7394092:1 gene:Manes.02G094400.v8.1 transcript:Manes.02G094400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTLMEPMDLKVSELLKEVQVDYTPAFTELVDGTVSAIKEAINRIPDGLEVTIEEAPGFVKDIGADKVDFKFKRPKSIEIGGSYSIKCIVKPDINVDLFIQLPKECFHEKDYLNYRYHAKRCLYLCIIKKYLKSSTSVRKVEWSSFHNEARKPALLVYPARKLDEVPGFFVRVIPTAKFLFNAAKLDLKRNNIRALNQGNLLLPTPRYNSSILEDMFLEDNTEFIKKTFLGWRELREALILLKVWARQRSSIYAHDCLNGFIIAFILSYLATYGKINNSMKPLQIFRVAMDFIASSKSWSQGLYFGQQREVKVSKEERMLYKEAFPVVICDSCGHVNLAFRMNSNGFLELQDEAALALKCLEKSGDVAFEDIFMTKIDFSSKFDYYIRLNLKGNREVCASGFCLDDECWRLYEQKVLSILSQGLSDRAKFIRVIWRNFQSDCDIENGLSTLDTEPMLIGISVSSLEKAFRVVDIGPDAENKEEALKFRKFWGEKAELRRFRDGKIAESTVWECEQWAKHLILKRIIEFVLLRHFSLSKADILPVVDQLDFSLLHGVEDPMSCSANLLAVFEVLSKRLRQIEDIPLKVSSVQPLDPAFRFTSVFPPRPHPLATEKGHLPKLQKHISSCIQPLEVMIQLEGSGNWPMDEVAIEKTKSAFLLKIGESLQNSWGMTCTVTEDDVDVFLSGFAFRLIILHERGLSLVKREIGSDIVKRVPSADKKLFIRGQHSSMINGLQGIYQMYGPVVRLANRWVTSHLFSACLVEEAVELLVAHLFVKPLPFTAPCSRITGFLRFLRLLADYDWTFSPLIVDINNDLTPNDKKEIYDNFTLSRKGHEVNTQNISPSMFLATSYDKASEAWTRFAPNSLELKRLVAYARSSASLLTRLVLEDQTDSCRWECLFRTPLNNYDAVILLHADRLPYPQRLLFPSNSNQVRVGTLVVHGNASKAFRPFMLPGDLRGSPEDLKHKLMVNFDPLRSYIADLQGKFNTLKLWYDSLGGDAIGLTWETKKRGREEAGEGEDPVDVLRAVGEVGKGFVRSVYFLKAPRLIK >Manes.02G094400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7383218:7394092:1 gene:Manes.02G094400.v8.1 transcript:Manes.02G094400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTLMEPMDLKVSELLKEVQVDYTPAFTELVDGTVSAIKEAINRIPDGLEVTIEEAPGFVKDIGADKVDFKFKRPKSIEIGGSYSIKCIVKPDINVDLFIQLPKECFHEKDYLNYRYHAKRCLYLCIIKKYLKSSTSVRKVEWSSFHNEARKPALLVYPARKLDEVPGFFVRVIPTAKFLFNAAKLDLKRNNIRALNQGNLLLPTPRYNSSILEDMFLEDNTEFIKKTFLGWRELREALILLKVWARQRSSIYAHDCLNGFIIAFILSYLATYGKINNSMKPLQIFRVAMDFIASSKSWSQGLYFGQQREVKVSKEERMLYKEAFPVVICDSCGHVNLAFRMNSNGFLELQDEAALALKCLEKSGDVAFEDIFMTKIDFSSKFDYYIRLNLKGNREVCASGFCLDDECWRLYEQKVLSILSQGLSDRAKFIRVIWRNFQSDCDIENGLSTLDTEPMLIGISVSSLEKAFRVVDIGPDAENKEEALKFRKFWGEKAELRRFRDGKIAESTVWECEQWAKHLILKRIIEFVLLRHFSLSKADILPVVDQLDFSLLHGVEDPMSCSANLLAVFEVLSKRLRQIEDIPLKVSSVQPLDPAFRFTSVFPPRPHPLATEKGHLPKLQKHISSCIQPLEVMIQLEGSGNWPMDEVAIEKTKSAFLLKIGESLQNSWGMTCTVTEDDVDVFLSGFAFRLIILHERGLSLVKREIGSDIVKRVPSADKKLFIRGQHSSMINGLQGIYQMYGPVVRLANRWVTSHLFSACLVEEAVELLVAHLFVKPLPFTAPCSRITGFLRFLRLLADYDWTFSPLIVDINNDLTPNDKKEIYDNFTLSRKGHEVNTQNISPSMFLATSYDKASEAWTRFAPNSLELKRLVAYARSSASLLTRLVLEDQTDSCRWECLFRTPLNNYDAVILLHADRLPYPQRLLFPSNSNQGTLVVHGNASKAFRPFMLPGDLRGSPEDLKHKLMVNFDPLRSYIADLQGKFNTLKLWYDSLGGDAIGLTWETKKRGREEAGEGEDPVDVLRAVGEVGKGFVRSVYFLKAPRLIK >Manes.12G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4674495:4676955:-1 gene:Manes.12G049700.v8.1 transcript:Manes.12G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLMHALQYDRYGGGAAGLKHVEVPVPAPKKDEVLLKIEAISVNPFDWKIQKGVSPLLPRRFPHIPGTDVAGEVLEVGARVKNFKTGDKVVAFLTHASGGALAEFAVAKESLTVIRPPEVSAAEAAGLPVAALTAHEALTKTAGIKLDGSVKQANILITAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKRLGADEVLDYKTPEGEALKSPSGRRYDAVIHCATGIPWSSFEPNLSENGKVIDLTPGVSAIITWALKKLTFSKKQLVPLIATPKGENLDFVIKLVKEGKLKTVIDSKHPLSKAEDAWAKSIESHATGKIIVEP >Manes.10G089500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22821017:22825216:1 gene:Manes.10G089500.v8.1 transcript:Manes.10G089500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNLSYFPFCQALPVFLDSLITAWGAILISVTLILLFGEIIPQSVCSRHGLAIGAKVVPFVRVLVWICFPFAYPISKLLDYMLGHGHVALFRRAELKTLVNFHGNEAGKGGELTHAETTIIAGALELTEKTVGDAMTPISETFTIDFNAKLDKSLMNLVLEKGHSRVPVYYEQPANIIGLVLVKNLLTIYPKDETPIKNVTIRKIPRVEENLPLYDLLHEFQKGHSHMAVVVKQCNKIEEKPTSNAAGDPIEEVKVDIDVEKSPQENTPKNRRPLQRWKSCPTPSPSRVNNSLKESRSKKRTKDVDSDILHINGILLPQIPEEGAIGVITMEDVIEELLQEEIYDETDHHLEAS >Manes.10G089500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22819318:22825216:1 gene:Manes.10G089500.v8.1 transcript:Manes.10G089500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYECCGTDFFIDIVVIVLLVMFAGLMSGLTLGLMSMSIVDLEVIAQSGTPKDRKYAAKILPIVKNQHLLLCTLLICNAAAMEALPVFLDSLITAWGAILISVTLILLFGEIIPQSVCSRHGLAIGAKVVPFVRVLVWICFPFAYPISKLLDYMLGHGHVALFRRAELKTLVNFHGNEAGKGGELTHAETTIIAGALELTEKTVGDAMTPISETFTIDFNAKLDKSLMNLVLEKGHSRVPVYYEQPANIIGLVLVKNLLTIYPKDETPIKNVTIRKIPRVEENLPLYDLLHEFQKGHSHMAVVVKQCNKIEEKPTSNAAGDPIEEVKVDIDVEKSPQENTPKNRRPLQRWKSCPTPSPSRVNNSLKESRSKKRTKDVDSDILHINGILLPQIPEEGAIGVITMEDVIEELLQEEIYDETDHHLEAS >Manes.14G165618.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26405825:26406832:-1 gene:Manes.14G165618.v8.1 transcript:Manes.14G165618.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKIFSDFGLARIFKGDKNQEKTNRIVGTLGYMSPEYVVFGKFSTKSDVFSFGVILFEIITGKKSNGFCQGDSSLSLIGHIWQSWKAERPLEIIDSSLKDSYPPHEVLRCIQIGLLCVQEDALDRPTMSAVVVMLNSEITLPSPKQPAFVFKNSRNNSYSLIDEEQFGSVNELTISDIVSR >Manes.14G119100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11436623:11440261:-1 gene:Manes.14G119100.v8.1 transcript:Manes.14G119100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSVSSAETNLWACSVIEAMAVETALAAWKSLACLLFMAESLPNDINASPKLTAMDERFPLDELFKMKRPDSENKDASDTEDDDEEDDDGNAEDQDDENDGDFSGEEGEEAGDPEDDPEANGEGGSDDDDDDDDDDGDEDDDEDEEDEEEEEEEEEEEVPQPPSKKRK >Manes.14G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11436861:11440261:-1 gene:Manes.14G119100.v8.1 transcript:Manes.14G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSVSSAETNLWACSVIEAMAVETALAAWKSLACLLFMAESLPNDINASPKLTAMDERFPLDELFKMKRPDSENKDASDTEDDDEEDDDGNAEDQDDENDGDFSGEEGEEAGDPEDDPEANGEGGSDDDDDDDDDDGDEDDDEDEEDEEEEEEEEEEEVPQPPSKKRK >Manes.09G174100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36592724:36602679:1 gene:Manes.09G174100.v8.1 transcript:Manes.09G174100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFFFNMKYFEEKVQAGEWEEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVSDLKVFSTFNEELYKEITQLLTLGNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGPLAPAPVNLPVAAVAKPLSYTSLGAHGPFPPAAAAANASALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPKTPPTAPGMVDYQSPDHEQLMKRLRPAQSVEEVTYPAARQQASWSLEDLPRTVALNLHQGSAVTSMDFHPSHHLLLLVGSANGEITLWELGLRERLVSKPFKIWEMTTYSLQFQASFVKDTPVSVNRVTWSPDGSLVGAAFNKHLIHLYAYTGSNDLRQQLEIDAHVGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLGGRKLFNFEGHEAPVYSICPHHKETIQFIFSTAIDGKIKAWLYDNLGSRVDYDAPGRWCTTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGAIKRHYAGFRKKSTTGVVQFDTTQNHFLAAGEDSQIKFWDMDNTNVLTGTDADGGLPSLPRLRFNKEGNLLAVTTADNGFKILANASGLRSLRTVETPGFEALRSPIESAAIKVPGASGVTNVSPVNCKVERSSPVRPSPILNGVDNLNRSMEKPRTVDEVTDKTKPWQLAEIVDPGDCRLVTLPDNTDTSNKVVRLLYTNSGVGILALGSSGIQKLWKWARNDQNATGKATASVVPQHWQPNSGLHMANDVSGVNLEEAVPCIALSKNDSYVMSAAGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPLDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQRRITGLAFSTNLNILVSSGADAQLCVWSIDTWEKRKSVMIQIPTGKAPNGDTRVQFHSDQTRLLVVHETQLAIYDASKMERVRQWVPQDALSAPLSYAAYSCNSQLIYATFCDGNIGVFDADSLRLRCRIAASSYLSQAILNGSQSIYPLVVAAHPQEANQFAIGLTDGSVKVLEPTESEGKWGSTPPVDNGMLNGRTTSSSTTSNHTPELQR >Manes.02G008800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1000267:1003347:-1 gene:Manes.02G008800.v8.1 transcript:Manes.02G008800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLVLLFFCIIISTVITQPKSLAVPRELRNFSNSLIHFHTPSQTPSYSSQISPSPPPKPPLVGRLVPALFVIGDSSVDCGTNNYLGTFARADRPPYGRDFDTHKPTGRFSNGRIPVDYLALRLGLPFIPSYLGQMGTVEDMIHGVNYASAGAGIIFASGSELGQRISLIQQIQQFSDTSQSFILALGEDTANDLISNSVFYISIGINDYIHYYLRNVSNVQNLYLPWSFSQFLASIVRQEIKNLYNMSVRKVVVMGLAPIGCAPHYLWRHNSKNGECITEINDMIMEYNFFMRYMIEELGQELPDAKLTFCDLYEGAMNIIKNHDRYGFNVTTNACCGLGKYKGWIMCIAPEMACSNASNHIWWDQYHPTDAVNAILADNVWNGLHTKMCYPMNLQDMVQT >Manes.S024252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2068365:2069177:1 gene:Manes.S024252.v8.1 transcript:Manes.S024252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G027000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3610262:3612767:1 gene:Manes.13G027000.v8.1 transcript:Manes.13G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETAGLGSQTDEKFALPVDSEHKATEFLLFSIAAPHMRAFHLSWISFFSCFVSTFAAPPLLPIIRDNLNLSASDIGNAGIASVSGAVFARVAMGTACDLFGPRIASASLTLLTAPAVYFTSIISSPVSFLLVRFFTGFSLATFVSTQFWMSSMFSAPVVGTANGVAGGWGNLGGGATQLIMPLVFGLIRDIGAVKFTAWRIAFFVPALFQMLSAFAVLVFGQDLPDGNFRRLQKSGDKAKDKFSKIFYCGVTNYRGWILALTYGYCFGVELTIDNIIAEYFYDRFDLKLHTAGIIAASFGLANLVSRPAGGLISDVVAKRFGMRGRLWALWIMQTIGGVLCIILGRVGSLTASIIVMIAFSFFCQAACGLTFGVVPFVSRRSLGLISGMTGGGGNVGAVLTQLIFFKGSKYSKEKGLTLMGIMIICCTLPLCLIYFPQWGGMFCGPSSKNIATEEDYYMSEWNSKEKEQGLHQASLKFADNSRRERGRRA >Manes.03G000450.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:111058:142193:1 gene:Manes.03G000450.v8.1 transcript:Manes.03G000450.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISYSRVPLCLADGSVSVSAVDSCLRAGCFSSSMNKVIALNSRDCGGFARRHFSQVSRAKSQNEDGGFGKLFKQAKLLKSHVKKDIDSGLLASNDVNAMASAEDVVGDMKLGSSISSSSLSNNNPKSKVRGRQKKQSRTNTKKGQPSGVIASDEAAVVDSYPKSSTTMINSQSPTEGLEKKSNLKTPKELLDGSASTKKQSNKKNRNPSGKGKSVKAVNESAEKLKPQAMDKLKPLVQGTQKPLYPPTGKSVVVVESITKAKVFQGYLGDMFEVLPSYGHVRDLAARSGSVRPDEDFSMVWEVPSAAWTHLKSIKVALSGAKNLILASDPDREGEAIAWHIIEMLQQQDALHEGITLARVVFHEITEQSIKNALQAPREIDLNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIAVELYKSNPGSSMNTHLTHFDSNKLNQLSISSHSEAKDIEQKIKVTSFLVAGVKESKMRRNPPTPYITSTLQQDAANKLHFPSMYTMKLAQKLYEGIQLSDGKAMGLITYIRTDGLHISKEAVKEIRSLVIERYGKDFASGGQLRYFKKVKNAQEAHEAIRPTNIWMLPSMLVDVLDEDSLKLYTLIWSRTVACQMEPVIINQMQVDIRNADGSITLRSSCSGVGFLGYQAVYEDIKAGAVRYKENEAYDHQEAFASLKSLKPGDLLNLGEVKLKQHFTQPPPRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRHYVTVKSRVLYPEFRGRMVSAFLSHHFTEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCNRAESIHIHQVEKMLEKAFGDFLFGSLPDNSRACPSCLEGTLIFKVSRYGAGYFIGCDQHPKCKYIAKTLYGDEEEEEETPQNKSMEEPRLLGVHPVSNEKVLLKNGPYGFYVQLGEDRKDFTPKRASVSHIKDVDSITLDGALQLLRYPVTLGNHPKDGHPVILKLAKVGFAVRHRRTIASVPKSMKPDDITLEKALELLSGDNVRHIGRPKGKPKVKQVMEVL >Manes.03G000450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:113498:142193:1 gene:Manes.03G000450.v8.1 transcript:Manes.03G000450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMPRSLLVASTCPSSFFCRTSSPSPLCPFMTKLHCGALQNHPAFHFLSSPFGTFHKHGQCSQLRLRKPRVSSMISISYSRVPLCLADGSVSVSAVDSCLRAGCFSSSMNKVIALNSRDCGGFARRHFSQVSRAKSQNEDGGFGKLFKQAKLLKSHVKKDIDSGLLASNDVNAMASAEDVVGDMKLGSSISSSSLSNNNPKSKVRGRQKKQSRTNTKKGQPSGVIASDEAAVVDSYPKSSTTMINSQSPTEGLEKKSNLKTPKELLDGSASTKKQSNKKNRNPSGKGKSVKAVNESAEKLKPQAMDKLKPLVQGTQKPLYPPTGKSVVVVESITKAKVFQGYLGDMFEVLPSYGHVRDLAARSGSVRPDEDFSMVWEVPSAAWTHLKSIKVALSGAKNLILASDPDREGEAIAWHIIEMLQQQDALHEGITLARVVFHEITEQSIKNALQAPREIDLNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIAVELYKSNPGSSMNTHLTHFDSNKLNQLSISSHSEAKDIEQKIKVTSFLVAGVKESKMRRNPPTPYITSTLQQDAANKLHFPSMYTMKLAQKLYEGIQLSDGKAMGLITYIRTDGLHISKEAVKEIRSLVIERYGKDFASGGQLRYFKKVKNAQEAHEAIRPTNIWMLPSMLVDVLDEDSLKLYTLIWSRTVACQMEPVIINQMQVDIRNADGSITLRSSCSGVGFLGYQAVYEDIKAGAVRYKENEAYDHQEAFASLKSLKPGDLLNLGEVKLKQHFTQPPPRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRHYVTVKSRVLYPEFRGRMVSAFLSHHFTEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCNRAESIHIHQVEKMLEKAFGDFLFGSLPDNSRACPSCLEGTLIFKVSRYGAGYFIGCDQHPKCKYIAKTLYGDEEEEEETPQNKSMEEPRLLGVHPVSNEKVLLKNGPYGFYVQLGEDRKDFTPKRASVSHIKDVDSITLDGALQLLRYPVTLGNHPKDGHPVILKLAKVGFAVRHRRTIASVPKSMKPDDITLEKALELLSGDNVRHIGRPKGKPKVKQVMEVL >Manes.03G000450.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:113506:142193:1 gene:Manes.03G000450.v8.1 transcript:Manes.03G000450.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMPRSLLVASTCPSSFFCRTSSPSPLCPFMTKLHCGALQNHPAFHFLSSPFGTFHKHGQCSQLRLRKPRVSSMISISYSRVPLCLADGSVSVSAVDSCLRAGCFSSSMNKVIALNSRDCGGFARRHFSQVSRAKSQNEDGGFGKLFKQAKLLKSHVKKDIDSGLLASNDVNAMASAEDVVGDMKLGSSISSSSLSNNNPKSKVRGRQKKQSRTNTKKGQPSGVIASDEAAVVDSYPKSSTTMINSQSPTEGLEKKSNLKTPKELLDGSASTKKQSNKKNRNPSGKGKSVKAVNESAEKLKPQAMDKLKPLVQGTQKPLYPPTGKSVVVVESITKAKVFQGYLGDMFEVLPSYGHVRDLAARSGSVRPDEDFSMVWEVPSAAWTHLKSIKVALSGAKNLILASDPDREGEAIAWHIIEMLQQQDALHEGITLARVVFHEITEQSIKNALQAPREIDLNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIAVELYKSNPGSSMNTHLTHFDSNKLNQLSISSHSEAKDIEQKIKVTSFLVAGVKESKMRRNPPTPYITSTLQQDAANKLHFPSMYTMKLAQKLYEGIQLSDGKAMGLITYIRTDGLHISKEAVKEIRSLVIERYGKDFASGGQLRYFKKVKNAQEAHEAIRPTNIWMLPSMLVDVLDEDSLKLYTLIWSRTVACQMEPVIINQMQVDIRNADGSITLRSSCSGVGFLGYQAVYEDIKAGAVRYKENEAYDHQEAFASLKSLKPGDLLNLGEVKLKQHFTQPPPRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRHYVTVKSRVLYPEFRGRMVSAFLSHHFTEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCNRAESIHIHQVEKMLEKAFGDFLFGSLPDNSRACPSCLEGTLIFKVSRYGAGYFIGCDQHPKCKYIAKTLYGDEEEEEETPQNKSMEEPRLLGVHPVSNEKVLLKNGPYGFYVQLGEDRKDFTPKRASVSHIKDVDSITLDGALQLLRYPVTLGNHPKDGHPVILKLAKVGFAVRHRRTIASVPKSMKPDDITLEKALELLSGDNVRHIGRPKGKPKVKQVMEVL >Manes.03G000450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:113506:142193:1 gene:Manes.03G000450.v8.1 transcript:Manes.03G000450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMPRSLLVASTCPSSFFCRTSSPSPLCPFMTKLHCGALQNHPAFHFLSSPFGTFHKHGQCSQLRLRKPRVSSMISISYSRVPLCLADGSVSVSAVDSCLRAGCFSSSMNKVIALNSRDCGGFARRHFSQVSRAKSQNEDGGFGKLFKQAKLLKSHVKKDIDSGLLASNDVNAMASAEDVVGDMKLGSSISSSSLSNNNPKSKVRGRQKKQSRTNTKKGQPSGVIASDEAAVVDSYPKSSTTMINSQSPTEGLEKKSNLKTPKELLDGSASTKKQSNKKNRNPSGKGKSVKAVNESAEKLKPQAMDKLKPLVQGTQKPLYPPTGKSVVVVESITKAKVFQGYLGDMFEVLPSYGHVRDLAARSGSVRPDEDFSMVWEVPSAAWTHLKSIKVALSGAKNLILASDPDREGEAIAWHIIEMLQQQDALHEGITLARVVFHEITEQSIKNALQAPREIDLNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIAVELYKSNPGSSMNTHLTHFDSNKLNQLSISSHSEAKDIEQKIKVTSFLVAGVKESKMRRNPPTPYITSTLQQDAANKLHFPSMYTMKLAQKLYEGIQLSDGKAMGLITYIRTDGLHISKEAVKEIRSLVIERYGKDFASGGQLRYFKKVKNAQEAHEAIRPTNIWMLPSMLVDVLDEDSLKLYTLIWSRTVACQMEPVIINQMQVDIRNADGSITLRSSCSGVGFLGYQAVYEDIKAGAVRYKENEAYDHQEAFASLKSLKPGDLLNLGEVKLKQHFTQPPPRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRHYVTVKSRVLYPEFRGRMVSAFLSHHFTEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSSYCNRAESIHIHQVEKMLEKAFGDFLFGSLPDNSRACPSCLEGTLIFKVSRYGAGYFIGCDQHPKCKYIAKTLYGDEEEEEETPQNKSMEEPRLLGVHPVSNEKVLLKNGPYGFYVQLGEDRKDFTPKRASVSHIKDVDSITLDGALQLLRYPVTLGNHPKDGHPVILKLAKVGFAVRHRRTIASVPKLVGGKIGGKAA >Manes.03G000450.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:113913:127598:1 gene:Manes.03G000450.v8.1 transcript:Manes.03G000450.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMPRSLLVASTCPSSFFCRTSSPSPLCPFMTKLHCGALQNHPAFHFLSSPFGTFHKHGQCSQLRLRKPRVSSMISISYSRVPLCLADGSVSVSAVDSCLRAGCFSSSMNKVIALNSRDCGGFARRHFSQVSRAKSQNEDGGFGKLFKQAKLLKSHVKKDIDSGLLASNDVNAMASAEDVVGDMKLGSSISSSSLSNNNPKSKVRGRQKKQSRTNTKKGQPSGVIASDEAAVVDSYPKSSTTMINSQSPTEGLEKKSNLKTPKELLDGSASTKKQSNKKNRNPSGKGKSVKAVNESAEKLKPQAMDKLKPLVQGTQKPLYPPTGKSVVVVESITKAKVFQGYLGDMFEVLPSYGHVRDLAARSGSVRPDEDFSMVWEVPSAAWTHLKSIKVALSGAKNLILASDPDREGEAIAWHIIEMLQQQDALHEGITLARVVFHEITEQSIKNALQAPREIDLNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDEFKPQEYWTIAVELYKSNPGSSMNTHLTHFDSNKLNQLSISSHSEAKDIEQKIKVTSFLVAGVKESKMRRNPPTPYITSTLQQDAANKLHFPSMYTMKLAQKLYEGIQLSDGKAMGLITYIRTDGLHISKEAVKEIRSLVIERYGKDFASGGQLRYFKKVKNAQEAHEAIRPTNIWMLPSMLVDVLDEDSLKLYTLIWSRTVACQMEPVIINQMQVDIRNADGSITLRSSCSGVGFLGYQAVYEDIKAGAVRYKENEAYDHQEAFASLKSLKPGDLLNLGEVKLKQHFTQPPPRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRHYVTVKSRVLYPEFRGRMVSAFLSHHFTEVTDYSFTADMETEVISLNWIEKSVCLVLNAVYLFKW >Manes.14G000500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:83490:113106:-1 gene:Manes.14G000500.v8.1 transcript:Manes.14G000500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSSVVQSSLSQSDSTSNFFRPGLLNVGSEAQIDLVNELRCNDPPLEVNSIIDEAKIFGSSIKSNNSFPYRIQLEHDVQRLQQRLQEEMEMHAILEDAIGKNAVKLSCLPHHAQDLLSAIAILEITVLKLEKEIVSLHFQLSQERNERRLAEYRLRHSASQSISVCSSTNVKAVISSSLRHPKHFNCAVHVHHYAKIPCEDQPSESVSETSCTESMMEHVVKSSALLHEIISVKMDTEPTQPVELAKLSKGMPSKGLWNYPNQLSEEMVRCMQNIFMSLADSTLPSKSSAMESQSSPVSPRGQFSKLSWRSSSERSVISSWVQSPQVDMQGNSEVLASENVFDPYRVHGKVSWADIGNYVLATEVSWMSVGKKQLEYASGALRRFRTLVEQLAKVNPIHLTCNEKLAFWINLYNALIMHAYLAYGVPGSELKLFSLIQKAAYTVGGHSFNAAAIEYVILKMKPPLHRPQIALLLALHKLKISEEQRKSAIDAHEPLVAFALSCGMYSSPAVRVYTAKNVREELQEAQHDFIRASVGVSNKGKLLVPKMLHCFAKGLVDDSNLAVWISRYLPSNQAVLVEQCISQRRQNLLGSRNCGILPFDSRFRYLFMPGKISVS >Manes.09G092175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28512966:28516214:1 gene:Manes.09G092175.v8.1 transcript:Manes.09G092175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYWYPSLASMAAILLSSLLLPYSVEAITRHYTFNITYKNITRLCNTRSVVTVNGKFPGPRLVAREGDQVLVKVVNQVSYNITIHWHGIRQLTSGWADGPAYVTQCPIKTGQSYTYNFTITGQRGTLLWHAHISWLRSSVYGPIIILPKRNESYPFRKPYKEFPILFGEWFNVDPEAIIAQALQTGAGPNVSDAYTINGLPGPLYNCSSKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHTLTVVEADAIYVKPFDADTLLISPGQTTNVLLKTKPASPSAIFFMSARPYFTGRGSIDNSTTAAILEYSHPSNSSRKVPLFKPTLPPINATGFVANFTRKFRSLANAKFPANVPQTVDRKFFFTVGLGTNPCPANTTCQGPTNTTKFSASINNVSFALPSVALLQSYFFGMSNGVFTSDFPQNPPVTFNYTGTPPNNTNVSNGTKALILRFNTSVELVLQGTSILGAESHPLHLHGFNFFVVGQGFGNYDPNKDPANFNLIDPIERNTAGVPAGGWIAIRFLADNPGVWFMHCHLDVHTSWGLRMAWVVLDGPQPNQKLQPPPSDLPSC >Manes.03G049800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4599715:4605221:-1 gene:Manes.03G049800.v8.1 transcript:Manes.03G049800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQGSALSANVASLVDGSHAGQQFSYIDTMPVYVKELIAGGAAGGFAKTAVAPLERTKILLQTRTEGFQSLGVSQSLKKILKHEGIYGFYRGNGASVIRIVPYAALHFMTYERYRGWILNNCPALGSGPVVDLLAGSVAGGTAVMCTYPLDLARTKLAYQVSDTSASYRSSMRSVCAQPACGGLKDVLTSVHKEGGTRALYRGIGPTIIGILPYAGLKFYVYEELKCHIPEEHQKSIVMRLSCGALAGLFGQTVTYPLDVVRRQMQVEHLQPSIQGHVRYRSTWDGLSKIVRNQGWKQLYAGLSINYIKIVPSVAIGFTAYDMMKIWLRIPPRQKSQSG >Manes.03G049800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4599715:4605220:-1 gene:Manes.03G049800.v8.1 transcript:Manes.03G049800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQGSALSANVASLVDGSHAGQQFSYIDTMPVYVKELIAGGAAGGFAKTAVAPLERTKILLQTRTEGFQSLGVSQSLKKILKHEGIYGFYRGNGASVIRIVPYAALHFMTYERYRGWILNNCPALGSGPVVDLLAGSVAGGTAVMCTYPLDLARTKLAYQVSDTSASYRSSMRSVCAQPACGGLKDVLTSVHKEGGTRALYRGIGPTIIGILPYAGLKFYVYEELKCHIPEEHQKSIVMRLSCGALAGLFGQTVTYPLDVVRRQMQVEHLQPSIQGHVRYRSTWDGLSKIVRNQGWKQLYAGLSINYIKIVPSVAIGFTAYDMMKIWLRIPPRQKSQSG >Manes.18G141029.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25860313:25862131:-1 gene:Manes.18G141029.v8.1 transcript:Manes.18G141029.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDSLSLKTPKNEEQEVPAESIVDDSDNGAFGIMDEFNGILGIEAEEKSSNDDNTYGLLSFDCMDWEEPPNDDHREEEEEEEEDSLFYSIKREITAVGLLDGDDDHNRVSLNLNLNYQEVLDAWSDRGPLWANHSSLSSFPNNACYMGEVAVIEEEKTRREASVMRYKEKRQTRLFSKKIRYQVRKLNADKRPRLKGRFVKRIC >Manes.18G141029.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25860313:25862131:-1 gene:Manes.18G141029.v8.1 transcript:Manes.18G141029.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDSLSLKTPKNEEQEVPAESIVDDSDNGAFGIMDEFNGILGIEAEEKSSNDDNTYGLLSFDCMDWEEPPNDDHREEEEEEEEDSLFYSIKREITAVGLLDGDDDHNRVSLNLNLNYQEVLDAWSDRGPLWANHSSLSSFPNNACYVSMGEVAVIEEEKTRREASVMRYKEKRQTRLFSKKIRYQVRKLNADKRPRLKGRFVKRIC >Manes.05G129701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22098356:22098962:-1 gene:Manes.05G129701.v8.1 transcript:Manes.05G129701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTTNIAHASGRFLISINDTHIFWVLSKFLMSVTQQRKQVANLDYEYWECQDQLFFTALRSSLSFYVMNVVADAETFVEALKKLQITYANKLATRILSLLEGLSLCGSPVSNVDLVVRVLEGVGHEFCDIVATIHPRDTVISFDEL >Manes.05G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9617829:9618640:-1 gene:Manes.05G103000.v8.1 transcript:Manes.05G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINLVRQFVILLLLSQFILIQNAAPTSARVLLHGVEDVLSSTNTDQLQGIRGLGNRRQDSELNDYSDPGANIRHTPPIPPPAKSA >Manes.08G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2219280:2223156:-1 gene:Manes.08G022100.v8.1 transcript:Manes.08G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKPLHQLLEEDQEPFLLDNYIADKRYQLKKPSSKTHFQIKKRKPISYTSSFSHNFCKNACFFSVNNSPGLRKSPLFQFPSPSKSPCKSPNAIFLHIPAKTAALLLEAALRIQKQSSAQKPKNNNGFGLFGSIIKRITHRNKTRMQEISGDGVKASVKDSRRWGSSLNRTKLPNERENKREQEIMVNAHEKSTCEVGSSCSCNGRLSSAIWSESNEDKSWDLDLETASSSQSEEEEDCLGNFEFVSKLIDVDGINTDFASYDNHSSENLFHFVLRGSPSAGHRTPDFSSPATSPSHHKTEGKENNDVENLKNFQVEQEKQEEEEEEEDKEQCSPVCVLDPPFEDDDDGHEDESEDDGFDIECSYAIVRRAKQQLLQKLHRFEKLAELDPVELGKRMLEQEEEEEEEEEVESDMEQSIDRFIIEELSKTIFCRVRKIPRDMKRLVSDLINEEQQQQKYFAADREVMAKRVCKRFESWKEVESNTIDMMVEQDFKTEELNGWKSYQEQVKETALEIELGIFRLLVEELSEELVMGNKF >Manes.01G239100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40087107:40089199:-1 gene:Manes.01G239100.v8.1 transcript:Manes.01G239100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSISSSSSLIDAKAPRQSTAASPQCVTLPTLPLPPVQSQNLPGKTTAYCRKIARNVMAMATGEAPAEVATTEPPELVKTLQEAWDKVEDKYAVSSLAVAGVVALWGSTGMISAIDRLPLIPGVLEVVGIGYTGWFAYKNIIFRPEREALVAKIKATYREITGSSY >Manes.02G047133.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3847365:3847541:1 gene:Manes.02G047133.v8.1 transcript:Manes.02G047133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTLGIRNDKADSISGLGLFKALESKGDEKNHTTETSSVSQANPAALSGSLKFQESS >Manes.15G190551.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:28172612:28173034:-1 gene:Manes.15G190551.v8.1 transcript:Manes.15G190551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKVNHLCFADVLFLFSHGDYESVKGLGNALKHFEIVSGLQVNDSKSSVYFVRVSDQVKRQILQTLNFREGTLPVTYLGVPLVSRISDQVKRQILQTLNFREGKFPVTYLRVPLVSTAIKNGHCISLIDKIMARVSNWVAK >Manes.16G122500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32465119:32470743:-1 gene:Manes.16G122500.v8.1 transcript:Manes.16G122500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVICCCSVMRILNFSFIVVWVFQVVTAQPTTDPNEVAALSKMIDYWNLRGKLNITTDPCAQNATWANENANPRVACDCLGNTCHITHLKIYALDIAGEIPSDLFVLKELMDLNLGQNVLNGSIPPGIGHLSKMQYLSLGINNLSGPVPPELGNLTKLLSLSFSSNNFFGRLPITLGNLTSLEQLYIDSSGVSGQIPQEFANLKSLQILWASDNLFNGKLPDFFGTLTKLRVLRLQGTSLQGPIPSSFSALNNLEDLRIGDLSGEDSSLEFLKNQTNLSILILRNCLLSGQIPEQLGTFSKLKLLDLSFNKLTGHIPTSFQDLASLQYMYLGNNNLSGGLPANIIGPQLNAIDVSFNPLSGNLPQNFAKVGSSMIIVGTSINANGLQDSKVSGMLQCLKADLKCSNKFPSSSFSIKCGGTGQTSASGIEYDDDSETLGAASQRASSDNLWAVSNVGNFISNPAPEFIAQTDSQITETLESELYKTARISPSSLRYYGLGLENGRYSVELHFAEIVMDDVSQSWKGLGRRLFDVYIQGKRVLQDFNIQKEAGGSKRAMIKTFEANVTNTIMDIHFFWAGKGTCCIPFQSTFGPLVSAIHVSQVSDGTESSKRDKKQAGKLVGIAVGCAAGLVIISSLFYLWWTKDSPKHILIQRESPGK >Manes.12G150700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35900938:35901609:-1 gene:Manes.12G150700.v8.1 transcript:Manes.12G150700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSTTQLFQDFLGDFYSRRLLLQAPLYQSPAAAAPQAPEYSNNSSETYTGDKTFDANVVMVLSVLLCALICSLGLNSIIRCALRCSNLVASESASNSTTQLANTGVKRKALKTFPTVNYSTDLKLPGLDTECAICLSDFTPGERVRLLPKCNHGFHVRCIDKWLSSHSSCPTCRHCLIETCQKIVGCSQATSSEPPPVQESIVNIAPLEPEGLIRNYRVS >Manes.18G086601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7984779:7986724:-1 gene:Manes.18G086601.v8.1 transcript:Manes.18G086601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNDDEQIVIPEVSGSKPVAIIPGRVQVVSINNNMVPFEETKLRVMLEITGGDSSNDRPGLDLVAILDVSGSMAGEKLAKAKTAMLFVIKKLSFIDRLSIVTFSGDAKRLSPLSQLTENSQKDFENLINGLKADEKYFQKHVRILCVNGACSPVILRTHLLSGGRSVGIMLMSDGEQNAGGDAAQVPVGNVPVHTFGFGINHEPRVLKAIADNSIEGTFSEVQNVDQLSVSFSQCLAGLLTRVVEDLKLTVKPFKGESTIEQVIAGTYPKSKNDATGSVTVMFGGLYAIEVRKVLVDLLLPSVTQERGVDVLEITYSYSFQGTPFGSIPGILTVRRTGKFTDQQERPEVIAEETRLRIASMIREARVLADSKNLDKARDKLIEAQNLLEDVDDESNPFVEMLRSEIQQLLKLMKSQEIYEKKGRPFALSSETSHERQRFAARGDVESLRLFATPRMDKYLQQAQSFDEDPSKPLPSVDEDVKEEIAANPLAPIAGALSFYIKTAIQALQAIEKILNRGL >Manes.16G017500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1571140:1573357:-1 gene:Manes.16G017500.v8.1 transcript:Manes.16G017500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLIHHLSLFSLPWIQKEMEIFILLSTLMLLTNLSLADTNEVLGLQCSGSDNATLENQYQVNLNNLLDSLAANGPIHNGFYTATEGKGTYRIFGITQCRGDVSPTDCAACIRNSTMVRGCSTSKNVTMWLTWCVLRYSNMSFFGVWDQSAMALTNDTNFENSNVVSQGLNFMNELAATAPQSPLMFQTAVLDVGQSGKRYGMAQCSRDISKSDCGRCFVYLLATFRTTIGNKRGWEIHGASCSMWYHDYQFYFNFSIPANEGAMKISHERVAIRLMIPVLLFLLSSL >Manes.16G017500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1571151:1573354:-1 gene:Manes.16G017500.v8.1 transcript:Manes.16G017500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLIHHLSLFSLPWIQKEMEIFILLSTLMLLTNLSLADTNEVLGLQCSGSDNATLENQYQVNLNNLLDSLAANGPIHNGFYTATEGKGTYRIFGITQCRGDVSPTDCAACIRNSTMVRGCSTSKNVTMWLTWCVLRYSNMSFFGVWDQSAMALTNDTNFENSNVVSQGLNFMNELAATAPQSPLMFQTAVLDVGQSGKRYGMAQCSRDISKSDCGRCFVYLLATFRTTIGNKRGWEIHGASCSMWYHDYQFYFNFSIPANEGAMKISHERVAIRLMIPVLLFLLSSL >Manes.08G051501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5464675:5464848:1 gene:Manes.08G051501.v8.1 transcript:Manes.08G051501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLAMLHTSLLFLCFYWVTFKDAEAKEGFQFILISIIPGIPEHVLCQIYLSRNLY >Manes.14G128000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10206055:10206258:-1 gene:Manes.14G128000.v8.1 transcript:Manes.14G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSRAISTWFNFHEDDEAEHGYYCAPAPCIEGDGDDDDGDYDYAPAASMEGDDDDDDDFDCAPAA >Manes.11G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30756201:30764922:-1 gene:Manes.11G142300.v8.1 transcript:Manes.11G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNHAVTEVEKNAFCTNGDSSSSSCSSNSAPSPLPSSIYLELWHACAGPLTLLPKKGNVVVYFPQGHLEQVASSAPFSPMEMPTFDLQPQIFCKVVNVQLLANKENDEVYTQLTLLPQPQLVGQDLEGKELEELVVDEEGGGRLPAKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQQRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEDGELRLGIRRAVRPRNGLPDSVIGKQNSYPSVLSVVANAMSTNSMFNVLYSPRASHAEFVVPYKKYMKSIMNPVCIGTRFKMRFEMDDSPERRCSGVVTGISDLDPYRWPNSKWRCLMVRWDEDIVSDHQDRVSPWEIDPSVSLPPLSIQSSPRLKKLRTGLSATPPDNPITGGGGFLDFEESGRSSKVLQGQENVGFASPLYGRDTLNRPPDFGMQNMAHQNLVSTGREKADIGEITRARSTTYTGFAESDRFPKVLQGQEICPLRSLTGKSDLNLSAWCRPNLGCGPFNTYQAPRPSFYPLAAESLQKMYITYGDLHKTGQDPRMSSYATKFPREKLPFDASSVQTGAARDVVGKPNQSSEHKSQEIISASPGLGANLINQKENSFNGTASGCKLFGFSLTADSPTPNSQNSGKRSCTKVHKQGSLVGRAIDLSRLNGYGDLLNELERLFSMEGFLRDHNKGWRILYTDSENDVMVVGDDPWHEFCNVVSKIHIYTQEEVEKMSIGVIGDDTQSCLDQAPVVLEASKSSSVGQPDSSPQ >Manes.04G150800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34692757:34694997:1 gene:Manes.04G150800.v8.1 transcript:Manes.04G150800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFGFTYLVWQVELRKKAHEKPKQTYLLSSLVSQGSSCWIERKMFLTSCGVQLVTAIISSQFSQEPTSVEKVMEIDEHIGCAMSGYADAHARVETQNHRFAFGEPMTVESATQAICDLALRFGEGDEESMVQYSIFNHLGKKF >Manes.04G150800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34692757:34696520:1 gene:Manes.04G150800.v8.1 transcript:Manes.04G150800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFGFTYLVWQVELRKKAHEKPKQTYLLSSLVSQGSSCWIERKMFLTSCGVQLVTAIISSQFSQEPTSVEKVMEIDEHIGCAMSGYADAHARVETQNHRFAFGEPMTVESATQAICDLALRFGEGDEESMDITLQEGETIALSIMKQVMEEKVTPNNVDIARVAPTYHLYTPAEVESVISRL >Manes.04G150800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34693541:34696520:1 gene:Manes.04G150800.v8.1 transcript:Manes.04G150800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFKQLIKTLSIWYMYCLETDTRHSCGVQLVTAIISSQFSQEPTSVEKVMEIDEHIGCAMSGYADAHARVETQNHRFAFGEPMTVESATQAICDLALRFGEGDEESMDITLQEGETIALSIMKQVMEEKVTPNNVDIARVAPTYHLYTPAEVESVISRL >Manes.05G005300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1327340:1331128:1 gene:Manes.05G005300.v8.1 transcript:Manes.05G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNSSGMTCPPQSDSQNLPVGWRFHPSDEELVDYYLKRKRLGHPIYGLDISEVQVCDYDPRDLPGLSMNNSRDKVWYFFCLRLYHNNRGQAKRKAKDGYWKGTGDLRSVTPEDSDEEIGTKRTLVFHNPKATQWVIHEYEYTAALNLPTKGDYVLCKLKISKNKKKASKKDEKAEPDSKKTRPNKKSRKSESNGNLASASASTSKNRKLEGMTTNSAYGEGEPNSLMILDLENQNLNTMASISTYNKDEMSSLMTSNFENGYYKRAIVSTCNKGETSCPMASDLENHCPEEMTAMSSYKKVNPSCPRASVLENLSPNEITSLSTNSKGDTSFFRTCDIENKKSDAFAKVKSIDLVTWDFEKQNPTKNIDIPVPTEGEQIPQSPSVASNAGETTCQEVQSQYKKTSVPIPEDYAGSSTTSNEEAMFQVQSQYKNTEMPILKDNGDLFTAFDAEAKFPEINSQMLEELLAFYELEDSLNSAPQQPIPEESPSIK >Manes.03G074300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12707045:12720414:-1 gene:Manes.03G074300.v8.1 transcript:Manes.03G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRAKSAEPPENRPIRVYADGIYDLFHFGHARSLEQAKKLFPNTYLLVGCCNDEITHKYKGKTVMTDKERYESLRHCRWVDEVIPDAPWVLTQEFLDKHQIDYVAHDSLPYADTSGAGKDVYEFVKAIGKFMETKRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRAHMGLKKLGERVKKQQEKVGEKIQTVAKTARVHRNEWVENADRLVAGFLEMFEEGCHKMGTAIRDRIQEQLRKPQLTGLICDKDHDDEEDDDDDEYYYDYSTEEEDYSDEDK >Manes.08G066900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10070783:10083941:1 gene:Manes.08G066900.v8.1 transcript:Manes.08G066900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDARHGGGGTAYSLLRHQTLSTALSLPHHTIFLGDCADPRSDGLDNETSSSFDSHNNSNNNYYFSKPIMVLDLIWNLAFVAVSVAVLFSASKEKPSTPLRIWVSGYSLQCLLHVAFVYFQHQNRRRIDRDDGDGGRVRIEVPPSSQTHSSVMKRLDAISTMISSIWWVIGFYWILVGGQTLLQDSPRLYWRGASEEDIRSLPKYRYCQENQLRALDNDRKGELLEERAGSSKTSAANELALLPEDSQIK >Manes.08G066900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10070783:10083941:1 gene:Manes.08G066900.v8.1 transcript:Manes.08G066900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDARHGGGGTAYSLLRHQTLSTALSLPHHTIFLGDCADPRSDGLDNETSSSFDSHNNSNNNYYFSKPIMVLDLIWNLAFVAVSVAVLFSASKEKPSTPLRIWVSGYSLQCLLHVAFVYFQHQNRRRIDRDDGDGGRVRIEVPPSSQTHSSVMKRLDAISTMISSIWWVIGFYWILVGGQTLLQDSPRLYWLTVLFLAFDVFFIIFCIGTACIIFFAIFCCIPILAIAYAVVIRRGASEEDIRSLPKYRYCQENQLRALDNDRKGELLEERAGSSKTSAANELALLPEDSECCICLSRYVDGVELYTLPCNHHFHCVCISKWLQINATCPLCKFNIRRG >Manes.08G066900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10070783:10083941:1 gene:Manes.08G066900.v8.1 transcript:Manes.08G066900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDARHGGGGTAYSLLRHQTLSTALSLPHHTIFLGDCADPRSDGLDNETSSSFDSHNNSNNNYYFSKPIMVLDLIWNLAFVAVSVAVLFSASKEKPSTPLRIWVSGYSLQCLLHVAFVYFQHQNRRRIDRDDGDGGRVRIEVPPSSQTHSSVMKRLDAISTMISSIWWVIGFYWILVGGQTLLQDSPRLYWLTVLFLAFDVFFIIFCIGTACIIFFAIFCCIPILAIAYAVVIRRGASEEDIRSLPKYRYCQENQLRALDNDRKGELLEERAGSSKTSAANELALLPEDSGMILCCDNNPSPKYIVDLQKLLQGFAPRN >Manes.08G066900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:10070783:10083941:1 gene:Manes.08G066900.v8.1 transcript:Manes.08G066900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDARHGGGGTAYSLLRHQTLSTALSLPHHTIFLGDCADPRSDGLDNETSSSFDSHNNSNNNYYFSKPIMVLDLIWNLAFVAVSVAVLFSASKEKPSTPLRIWVSGYSLQCLLHVAFVYFQHQNRRRIDRDDGDGGRVRIEVPPSSQTHSSVMKRLDAISTMISSIWWVIGFYWILVGGQTLLQDSPRLYWLTVLFLAFDVFFIIFCIGTACIIFFAIFCCIPILAIAYAVVIRRGASEEDIRSLPKYRYCQENQLRALDNDRKGELLEERAGSSKTSAANELALLPEDSQIK >Manes.10G139500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30711287:30713470:-1 gene:Manes.10G139500.v8.1 transcript:Manes.10G139500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVFPLLAFFLLFNGSALAANYMEGFLKNGNFEQKPKPSDLNKTVLKGKYALPGWITNGLVEYISAGPQPGGMYFAVAHGVHAVRLGNEASISQTIPVKPGSLYALTFGASRTCAQDEVLRVSVPPLSGDLPLQTLYSSNGGDTYAWGFRAKSNVATVTFHNPGVQEDPACGPLIDAVAIKELFPPRPTRDNLVKNPGFEEGPHRLLNSSNGVLLPPKQEDLTSPLPGWIIESLKAVKFIDSKHFNVPFGLAAVELLAGRESAIAQILRTIPNKIYNLTFTVGDAKNGCHGSMMVEAFADKETFKVPFESQGKGISKTVSFKFKAISARTRITFYSSFYHTRVDDFGSLCGPVLDQVRVFPVA >Manes.05G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1889966:1892993:-1 gene:Manes.05G021000.v8.1 transcript:Manes.05G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSYSFMIVCLSLIMSGGYTVLSAADWPGGGSTRFYDFKVHTMTVKKLCNSKEIVAVNNKYPGPVVYAQQGDRIIVKVTNESPHNVTIHWHGVRQILSCWFDGPSYITQCPIQPGQSFTYEFTLVRQKGTFFWHAHVSWLRGTVYGAIVVYPKAGVPYPFKHPYEEHIVILGEYWLQDVVQLEQQVLASGAPSPPSNAYTINGHPGPNYNCSANDVYRLNVVPGKTYLLRLINAGVNMENFFAIANHKLTIVEADAEYTKPFTTDRVMLGPGQTMMVLVTADQPIGKYSMAVGPYMSAHGVSFQNNSAIAYFQYAGVVPNSISLPAKLPNFNDNLAVKTVMDGLRSLNASNVPKEIDANLFVTIGINVNKCRSKTPKQSCHGLNNGTMAASMNNISFIMPTVSILEAYYKGIEGFFTDDFPGAPLRFYDFVNGAPNNAPNDTNSVNGTRTKVLEYGSRVQIIMQDTGTVTTENHPIHLHGYSFYVVGYGTGNYDPKTAKLNLVDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHFDVHQSWGLATVLIVKNGKGHLQTLPHPPADLPRC >Manes.04G115700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31815394:31817120:1 gene:Manes.04G115700.v8.1 transcript:Manes.04G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENMENPLHLKSLNHISLLCRSLEESIEFYQNILGFVPIRRPGSFDFDGAWLFGYGIGIHLLQSEDPEKMPKKSSINPKDNHISFQCESMGAVEKNLKDMEIKYARSMVEEGGIRVDQLFFHDPDGFMIEICNCDNLPVVPLAGEIARTCSLVNLPILQHNKQQIQHQLVQQ >Manes.13G021000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3016815:3027359:-1 gene:Manes.13G021000.v8.1 transcript:Manes.13G021000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKGSSGRHIPSSSSWNQYGYPQASPYPYQSPQQNQYYMPQHHQPSTSYSYEAERPQPQRRLDRKYSRISDDYKTLDQVTAALAQSGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQDVFSFHQDEKFCNGFEEVLTRYREIVPQLRLAGPTSFAPIIDMAITIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEKKTIDAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNVNESRKETEFALAALMEIPAQYKATIELGLLGRRSGNASERVPLPPPLRGPSSFSNSGKTSRSSSFQQRVPSYSGYDSSVPSYSGYDSPVSGYDTPVNTAPYSSSTSTYDNQVCPICLTNRKDMAFGCGHQTCCECGEDLQSCPICRSSINTRIRLY >Manes.13G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3016820:3027359:-1 gene:Manes.13G021000.v8.1 transcript:Manes.13G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKGSSGRHIPSSSSWNQYGYPQASPYPYQSPQQNQYYMPQHHQPSTSYSYEAERPQPQRRLDRKYSRISDDYKTLDQVTAALAQSGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQDVFSFHQDEKFCNGFEEVLTRYREIVPQLRLAGPTSFAPIIDMAITIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEKKTIDAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNVNESRKETEFALAALMEIPAQYKATIELGLLGRRSGNASERVPLPPPLRGPSSFSNSGKTSRSSSFQQRVPSYSGYDSSVPSYSGYDSPVSGYDTPVNTAPYSSSTSTYDNQVCPICLTNRKDMAFGCGHQTCCECGEDLQSCPICRSSINTRIRLY >Manes.13G021000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3016820:3027359:-1 gene:Manes.13G021000.v8.1 transcript:Manes.13G021000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKGSSGRHIPSSSSWNQYGYPQASPYPYQSPQQNQYYMPQHHQPSTSYSYEAERPQPQRRLDRKYSRISDDYKTLDQVTAALAQSGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQDVFSFHQDEKFCNGFEEVLTRYREIVPQLRLAGPTSFAPIIDMAITIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEKKTIDAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNVNESRKETEFALAALMEIPAQYKATIELGLLGRRSGNASERVPLPPPLRGPSSFSNSGKTSRSSSFQQRVPSYSGYDSSVPSYSGYDSPVSGYDTPVNTAPYSSSTSTYDNQVCPICLTNRKDMAFGCGHQTCCECGEDLQSCPICRSSINTRIRLY >Manes.13G021000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3016820:3027040:-1 gene:Manes.13G021000.v8.1 transcript:Manes.13G021000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKGSSGRHIPSSSSWNQYGYPQASPYPYQSPQQNQYYMPQHHQPSTSYSYEAERPQPQRRLDRKYSRISDDYKTLDQVTAALAQSGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQDVFSFHQDEKFCNGFEEVLTRYREIVPQLRLAGPTSFAPIIDMAITIVEQSGGQYHVLLIIADGQVTRSVDTQHGQLSPQEKKTIDAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNVNESRKETEFALAALMEIPAQYKATIELGLLGRRSGNASERVPLPPPLRGPSSFSNSGKTSRSSSFQQRVPSYSGYDSSVPSYSGYDSPVSGYDTPVNTAPYSSSTSTYDNQVCPICLTNRKDMAFGCGHQTCCECGEDLQSCPICRSSINTRIRLY >Manes.06G129250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25845118:25846483:1 gene:Manes.06G129250.v8.1 transcript:Manes.06G129250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHCIIAAHCVLIVILVVATTVSSDDTTPIPSDDSKVSDWFKTMVKPLVSRKGTLDPALEAAEAKSRTITLSKDGRGEFKTLTDAIKSIPSDNKQRVIIKIGPGVYTEKLQIERNKPFITLLGDPKAMPILAFGGTAHQYGTLYSATIAVESEYFMAVNIIFKNTAPGPITKNPGAQAVALRVSGDKAAFYNCKMLGFQDTLCDDNGRHFFKNCYIEGTVDFIFGKGRSLYLESQINVIDNKGVTFITAHSKEKKSDVVGYSFVQCKITGSASGAYLGRAWRAMPEVVFSYTEMGSVVNPLGWSNNNKPERERTVFFAEYENSGPGSNPKRRVKFDKQLTDKEAKNFLTLGYIQGSKWLLPPPM >Manes.08G085511.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25824145:25825030:1 gene:Manes.08G085511.v8.1 transcript:Manes.08G085511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAPLVEEATEEQLHPQLPHFTKSLDREGEEVSESTRKSIKQLALKFKMMVEMLMVLVEVVTCLEVVMICVLEISQQLKLCHHHSLPRKISQFLPLLH >Manes.01G190501.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36614786:36620815:1 gene:Manes.01G190501.v8.1 transcript:Manes.01G190501.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLVLIEKSFDTCYSIGAREALDELPECFTITDPSISGHPIVFASSCFLKMSRYSEDEVIGRNGRIFQGPKTNRRSVMEIREAIREERALQISLLNYRKDGTPFWMLFHMCPVFCKEDGRVVHFVAVQVPILGRQRLNGTSLSEEGSGFREIVFGSCRREVCSDSLVDTGRVLSLDSDTRGLNIAETCEASEAEKRRAASAIKNILSVLTQHSESTGKLVCGKRCSLPGATLVSSSLNISLGRIKQSFVLTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKIKESIQTEQACTVRILNYRKNKSTFRNLLHISPVRNATGKDGLPLPALSCCQKRSHVTLRDL >Manes.01G190501.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36615149:36616714:1 gene:Manes.01G190501.v8.1 transcript:Manes.01G190501.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLVLIEKSFDTCYSIGAREALDELPECFTITDPSISGHPIVFASSCFLKMSRYSEDEVIGRNGRIFQGPKTNRRSVMEIREAIREERALQISLLNYRKDGTPFWMLFHMCPVFCKEDGRVVHFVAVQVPILGRQRLNGTSLSEEGSGFREIVFGSCRREVCSDSLVDTGRVLSLDSDTRGLNIAETCEASEAEKRRAASAIKNILSVLTQHSESTGKLVCGKRCSLPGATLVSSSLNISLGRIKQSFVLTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKIKESIQTEQACTEE >Manes.01G190501.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36614786:36620815:1 gene:Manes.01G190501.v8.1 transcript:Manes.01G190501.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLVLIEKSFDTCYSIGAREALDELPECFTITDPSISGHPIVFASSCFLKMSRYSEDEVIGRNGRIFQGPKTNRRSVMEIREAIREERALQISLLNYRKDGTPFWMLFHMCPVFCKEDGRVVHFVAVQVPILGRQRLNGTSLSEEGSGFREIVFGSCRREVCSDSLVDTGRVLSLDSDTRGLNIAETCEASEAEKRRAASAIKNILSVLTQHSESTGKLVCGKRCSLPGATLVSSSLNISLGRIKQSFVLTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKIKESIQTEQACTEE >Manes.01G190501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36614786:36620822:1 gene:Manes.01G190501.v8.1 transcript:Manes.01G190501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLVLIEKSFDTCYSIGAREALDELPECFTITDPSISGHPIVFASSCFLKMSRYSEDEVIGRNGRIFQGPKTNRRSVMEIREAIREERALQISLLNYRKDGTPFWMLFHMCPVFCKEDGRVVHFVAVQVPILGRQRLNGTSLSEEGSGFREIVFGSCRREVCSDSLVDTGRVLSLDSDTRGLNIAETCEASEAEKRRAASAIKNILSVLTQHSESTGKLVCGKRCSLPGATLVSSSLNISLGRIKQSFVLTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKIKESIQTEQACTVRILNYRKNKSTFRNLLHISPVRNATDSILCGCADRRRVQEPGKAWIEPREEAA >Manes.01G190501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36614786:36620816:1 gene:Manes.01G190501.v8.1 transcript:Manes.01G190501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLVLIEKSFDTCYSIGAREALDELPECFTITDPSISGHPIVFASSCFLKMSRYSEDEVIGRNGRIFQGPKTNRRSVMEIREAIREERALQISLLNYRKDGTPFWMLFHMCPVFCKEDGRVVHFVAVQVPILGRQRLNGTSLSEEGSGFREIVFGSCRREVCSDSLVDTGRVLSLDSDTRGLNIAETCEASEAEKRRAASAIKNILSVLTQHSESTGKLVCGKRCSLPGATLVSSSLNISLGRIKQSFVLTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKIKESIQTEQACTVRILNYRKNKSTFRNLLHISPVRNATGKVLCMHKFLLLSCMHKFLLLSCMHKFLLLSFIYLLLKCPLI >Manes.01G190501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36614786:36620815:1 gene:Manes.01G190501.v8.1 transcript:Manes.01G190501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLVLIEKSFDTCYSIGAREALDELPECFTITDPSISGHPIVFASSCFLKMSRYSEDEVIGRNGRIFQGPKTNRRSVMEIREAIREERALQISLLNYRKDGTPFWMLFHMCPVFCKEDGRVVHFVAVQVPILGRQRLNGTSLSEEGSGFREIVFGSCRREVCSDSLVDTGRVLSLDSDTRGLNIAETCEASEAEKRRAASAIKNILSVLTQHSESTGKLVCGKRCSLPGATLVSSSLNISLGRIKQSFVLTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKIKESIQTEQACTVRILNYRKNKSTFRNLLHISPVRNATGKIAYYVGVQIEEEYKSQERHGLNPEKRQLSCVGAVRIAVRSTSIGAGSSKS >Manes.01G190501.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36614786:36620815:1 gene:Manes.01G190501.v8.1 transcript:Manes.01G190501.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLVLIEKSFDTCYSIGAREALDELPECFTITDPSISGHPIVFASSCFLKMSRYSEDEVIGRNGRIFQGPKTNRRSVMEIREAIREERALQISLLNYRKDGTPFWMLFHMCPVFCKEDGRVVHFVAVQVPILGRQRLNGTSLSEEGSGFREIVFGSCRREVCSDSLVDTGRVLSLDSDTRGLNIAETCEASEAEKRRAASAIKNILSVLTQHSESTGKLVCGKRCSLPGATLVSSSLNISLGRIKQSFVLTEPHLPDMPIVYASDAFLELTGYARNEVLGRNCRFLSGVETNSSVLQKIKESIQTEQACTEE >Manes.13G105340.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:30627802:30628269:1 gene:Manes.13G105340.v8.1 transcript:Manes.13G105340.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSFLVGLPPKFETAKSQILSNSEISSLHEVFTRILLTESSSPVLSHTTSVFVSRNDSGRQNNGDGNRGAFNGSRGSQHPEEAVPTFDSGGIICYYYHEPEHTKKTCLKLQNNNQCSQMAHMAVEASPDQRILIVADVYVQFSQYQASMNIAK >Manes.18G004600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:827646:831813:-1 gene:Manes.18G004600.v8.1 transcript:Manes.18G004600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGEEEGNRGGGEEIESYESNPRAETEIAQAILNLIESVAHLGGYPRTHKKYCFGLVRRMKLLLPLLEEIRDYNEQISEKGISCLSNLKETFLLAEKLLKVCSEGSKIDLAVESEAFMVKFRTIDEKLCQALEGVPFDQLGISDETKEQLKRAKGKTDTLDVELEMDMMAVLSKKDGQNADSAIIERLAKKLDLHTVEDLNNETIAIRNLVKERGGFNAENILQITDLLKKFKQIIGMEVTDVLNNPVMPETLDICTSLVIPHEFLCPIMLEVMTDPVIIASGQTYEKESIEKWFNSNHWTCPKTGQTLAHLSVTPNYALKNLILQWCEENKFHLPKKADCASSESSTDHSKGICSLVKDLSCSHLEMLRKAVKKIRMLSKENAENRILIASKGGIQPLIQILFYPDSEIQEHSVTALLNLSLEVTNKRLIVKRGGVPAIIEVLQHGRRQARENSAAALFSLSMLYENKIIVGLSNGIPPLVDLLQSGTQRGKKDAVSALFNLSLNHSNKGRAIDAGIVTTLVQLLKDKNLGIVDEALSFLLLLVSHPEGRSEIGQISCIESLVEFIKEGNPKNKEYATSVLLELGSSNSSFILAALQFGVYEHLLEISTSGTHRAQKKANSLLQLINKTEQI >Manes.18G004600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:827646:831813:-1 gene:Manes.18G004600.v8.1 transcript:Manes.18G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGEEEGNRGGGEEIESYESNPRAETEIAQAILNLIESVAHLGGYPRTHKKYCFGLVRRMKLLLPLLEEIRDYNEQISEKGISCLSNLKETFLLAEKLLKVCSEGSKIDLAVESEAFMVKFRTIDEKLCQALEGVPFDQLGISDETKEQVELMWMQLKRAKGKTDTLDVELEMDMMAVLSKKDGQNADSAIIERLAKKLDLHTVEDLNNETIAIRNLVKERGGFNAENILQITDLLKKFKQIIGMEVTDVLNNPVMPETLDICTSLVIPHEFLCPIMLEVMTDPVIIASGQTYEKESIEKWFNSNHWTCPKTGQTLAHLSVTPNYALKNLILQWCEENKFHLPKKADCASSESSTDHSKGICSLVKDLSCSHLEMLRKAVKKIRMLSKENAENRILIASKGGIQPLIQILFYPDSEIQEHSVTALLNLSLEVTNKRLIVKRGGVPAIIEVLQHGRRQARENSAAALFSLSMLYENKIIVGLSNGIPPLVDLLQSGTQRGKKDAVSALFNLSLNHSNKGRAIDAGIVTTLVQLLKDKNLGIVDEALSFLLLLVSHPEGRSEIGQISCIESLVEFIKEGNPKNKEYATSVLLELGSSNSSFILAALQFGVYEHLLEISTSGTHRAQKKANSLLQLINKTEQI >Manes.01G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31888228:31889513:1 gene:Manes.01G123300.v8.1 transcript:Manes.01G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGEDVVLLDFWASPFCMRVKIALAEKGVSYEAREEDLIGGKSELLLKSNPIYQKVPVFLHNEMPLCESTVIVSYIDETWASPPLLPPCPYGRAQARFWADYVDKKLFDAVRDVVLSKGEATEAAKKELIEILKVLEGALGEKDFFVGKAFGFVDILAIAVSSWFLAAEKLGNFQVEAEFPKISGWIKRCMQKESVAKIIPDPEKILEFALMMRKMHGIDH >Manes.06G103233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23616975:23617654:1 gene:Manes.06G103233.v8.1 transcript:Manes.06G103233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLAEMNRIWEQKFKILLEKNNNIASPMEDSQDDEIGG >Manes.13G153900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36787987:36794713:1 gene:Manes.13G153900.v8.1 transcript:Manes.13G153900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVMSNIVGMLLFMEMIVSGFRFGVDGLSMDYYLMRCPFAEQIVKNIVSRALQDDPTLAAGLVRMHFHDCFIEGCDASILIDSTKDNTAEKDSPANLSLRGYEVIDEAKEEIENQCPGVVSCADIVAMAARDAVFFAGGPVYDIPKGRKDGRRSKIEDTINLPFPTFNASELIRQFGQHGFTAQEMVALSGAHTLGVARCATFKNRLSSSDPTMDSEFAKTLSKTCRGGDNAEQPFDPTSNTFDNLYFSTLQRKSGLLFSDQTLFTSPRTRAIVNGYAFNEAMFFFDFQQAMVKMGLLDVKDGSKDPVRRKTEQREMEKMNQAFEKMKMLVGMEVEDEEQAPEPEDANSFSFMDDFNRHCTLSTKQRLYGFAICFVSGLACTLLSMLVFFNPIKFGITFTFGNLLSLGSTAFLIGPKRQVTMMLDPVRIYATALYLASIIIALFCALYVHNKLLTLLAIILEFGALVWYSLSYIPFARSMVSKVMVACFDTEF >Manes.13G109500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31482218:31482832:-1 gene:Manes.13G109500.v8.1 transcript:Manes.13G109500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAFISGSCVNWCQSKESQLVEPKGLSQTSQSCVVPALRKLDSVASWLLNGVAAVFFSSLERCSCVYIDTKDDSDYSNHHLPLILNDGFKCEQIDD >Manes.14G010000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:569360:593449:-1 gene:Manes.14G010000.v8.1 transcript:Manes.14G010000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDIHKHYQYNAWEPGREGLHPASQAFLLDPTSRRNTNVRFPDLNELEVKPVLNFSLQTGEEFALEFMRDRVHHKKTFIPNALGDPIHAPGHMELKGILDTSRAGSESGSGVSILPLAEKGQKDNERANLSLHVERSNYGSAHSLPQTSSEYGSRALLHGFTPSVASDSSSTKLKVLCSFGGTILPRPSDGKLRYVEGETHIICISRDISWEELKQKTLAIYYQLHVIKYQLPGEDLDALVSVSCDEDLRNMMEEWNEVGDREGSQKLRMFLFSMSDLEDSQFGLGSLEGGSEIQYVVAVNGMDIGSRKNSIVHGLASSSGNNLSELDRLIIDREASRIATVSAGVGTSTLTSTFQPVQSILQNSSSANEMHPQFCHGQMMDHKEAQQFLSYYPHNSSNHSPSEEIPHSASAQGFMHERDSNGEKSWDSFLGQNSQTLVKKAKWKPDGSVQHEDGIEKPCPQEKAVPVNEISVAAQGDLRSLPSKNEDKREESENASSSVYENNQVQVSKSFEDDQCSTSSSVFGLGGADSVSHLIDLSYLEPSVPPQRVYFSERIPREQEEMMNRLSKSDDLHGSQFLISHSEQKQITKSAENFNQSNMDLRSEHPMPTAEPFHLQNYKEFVDAVSQMNNTLSDSQDVSQNGLKLSVPNNVDDKDSSNKDAMLQAEHDHTVGNQKKILIEETSESRSGNPAASNSLMHLKHPVTDLPGPKVREITVKDFDTKNLGHSLLFSLTESWTNDISQGIPCIGVSATKQADISVDINDRFPRDFLCEIYSRGILVEDRTGASPMHKDGAGMSVVMENHEPKHWSYFQKLALEEFVQKDVSLIDQELLDGDEKSYCFAPLTADGVSIDHKYSQFNFVEDINEKNLPGGADFTVHSDFDHSQAKGREIMQFGAMMGNLKSPESQYEGGNLEKWNIGLPPIDPSLLDFNLNTLQQVIKNEDLEELRGLGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLLHHPNVVAFYGVVQDGPGGTLATVTEDMVDGSLRHVLLRKDRHLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIRRNTLVSGGVWGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGTIIGGIVNNTLRPAILIFCDPEWKRLMEQCWALNPAARPSFTEIAGRLRIMSTAANQTKEHVHRNPK >Manes.09G005702.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1437120:1438026:-1 gene:Manes.09G005702.v8.1 transcript:Manes.09G005702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWVFLCDEEERELGRQQAPGSCPYCGGKVVAMDVERKWSFCFLPICYKIKRKYFCSLCARRLELYH >Manes.03G065728.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8471316:8473569:1 gene:Manes.03G065728.v8.1 transcript:Manes.03G065728.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAFLCNSPALSPALLPFTAQGYPSPSLLPPRAFHWHREQLLTSIHPHGFLAGFVPLEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTKVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKV >Manes.12G082400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11223007:11223291:-1 gene:Manes.12G082400.v8.1 transcript:Manes.12G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKSRAWTAVGSAAAVEELKDNKLCKLKSLHRQHLHNKKVSMSTNQVEREFSPTSNTNSEVELRREGNKQQLNQSAEETLRTVMYLSCWGPNS >Manes.05G123800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:23050793:23052604:-1 gene:Manes.05G123800.v8.1 transcript:Manes.05G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRKSASTPHLHLISAGRGTSTSAFSSSLFETMRRRAFATSLFAFLTLFLCVSFSLYSSRNSIYATQLIFPSANLCNSDSSLLAIQEDINRPTRHVSSFKDSNFIDSVSLLLPEWQVLVIVSPEIDSSLLSGGNFTCLYPNNATAPARFSGILPSTNQTTYKCLLPRSIRRRLPYSVPMLMRLPEEELPVPLPYSPPKELLRWQYLVYESFSTEDDVVLFVKGINNRQGINKPSQEFKCMFVDEASNTTVKTAVTSSIQEVFRCDHPDLTAFGSGEDHYPIKLKVFLETMAIGPKKIVPSVAYYTPRQKIAESQPKFKMCASTMVYNVGKFLREWIMYHSKIGVEKFILYDNDSDDDLISVVNDLNQEGYNVETFLWLWPKTQEAGFSHAALYANDSCNWMMFIDVDEFVFSPSWNDSIQPSDQMLNSLLPSSSHAHHHMIGEVAIMCNEFGPSHQTSHPAEGVTQGYTCRRKAENRHKSIVLLEAIDESLLTVIHHFSLKPSYRMKRSSLEAAVVNHYKYQAWPEFQAKFRRRVSAYVVDWMSALNPLSKDRTPGLGHEAVKPRGWENKFCEVRDERLKLLTKRWFGTETGTGYKMAWQS >Manes.01G097000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29849004:29850762:-1 gene:Manes.01G097000.v8.1 transcript:Manes.01G097000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSHVLVLPFPAQGHANPLMHFAMRIANLGSKVTFVNTDFNHNRVLSAMAGRNEPTGSCVNLVSIPDGMDPENDRTDLGKLCEAMLITMPKKLQDLIEDINKNHPITCIVVDGTMGWAREVADKLGIRVAMFWPASAAIFCQFANIPNLIRDGYIDSDGFAIKKHKIQISPSGPIFYTDNLTWKIGNSYSQRALFKYIEGAMEDSQLIEWQLCNSFHELEAEVFSSVPKLLPIGPLLAGYDTGNSGAQFWPEDSSCLKWLDQQPSQSVIYVAFGSFTIFDQSQLQELTLGLKLTNKPFLWVVRPGTSTQESNLNEFEDSHGKIISWTPQQKVLSHPAIACFVSHCGWNSTIEGVSNGIPFLCWPYFGDQFVNKSYICDVWKIGLGLEKDEKGIITKEEFKQKVELLLGDKIIRKKALELKQIAENNIGEGGQSSTNFSNFIKWVDA >Manes.05G208200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33530254:33537066:1 gene:Manes.05G208200.v8.1 transcript:Manes.05G208200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEA14-A MASSDKPEVIDRDVKEKDHNEDDDKGGFIDKVKDFIHDIGEKIEGAIGFGKPTADVTGIHIPSINLEKADLVVDVLVKNPNPVPIPLVDINYLIESDGRKLISGLIPDAGTIHAHGEETVKIPVTLIYDDIRNTYNDIKPGSIIPYRIKVDLIVDVPVIGRLTLPLEKTGEIPIPYKPDIDLEKIHFESFSFEETVAILHLKLENMNDFDLGLNGLDYEVWLSDVSIGGAELAKSTKIEKKGISYIDIPITFRPKDFGSALWDMMRGKGTGYTMKGHINVDTPFGAMKLPISKEGGTTRLKKNKEDGGDDDDDED >Manes.05G208200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33530254:33537066:1 gene:Manes.05G208200.v8.1 transcript:Manes.05G208200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEA14-A MASSDKPEVIDRDVKEKDHNEDDDKGGFIDKVKDFIHDIGEKIEGAIGFGKPTADVTGIHIPSINLEKADLVVDVLVKNPNPVPIPLVDINYLIESDGRKLISGLIPDAGTIHAHGEETVKIPVTLIYDDIRNTYNDIKPGSIIPYRIKVDLIVDVPVIGRLTLPLEKTGEIPIPYKPDIDLEKIHFESFSFEETVAILHLKLENMNDFDLGLNGLDYEVWLSDVSIGGAELAKSTKIEKKGISYIDIPITFRPKDFGSALWDMMRGKGTGYTMKGHINVDTPFGAMKLPISKEGGTTRLKKNKEDGGDDDDDED >Manes.06G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2065989:2069167:-1 gene:Manes.06G012700.v8.1 transcript:Manes.06G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLVIRSVVRGLLVNGSRGGRYISTATAATTAGVLKPTEVLTEFRSRNGASVGVLYWRRMMSTSAETAVAEKETTEKSVGKQGEKEVASLKTSDGSVISSYWGISRPKILREDGTEWPWNCFMPWETYRANTSIDLSKHHVPKTFLDKVAYRTVKLLRLPTDIFFQRRYGCRAMMLETVAAVPGMVAGMLLHLRSLRRFQQSGGWIKALLEEAENERMHLMTMVELVQPRWYERFLVLAVQGVFFNAYFVLYLLSPKLAHRITGYLEEEAIHSYTEFLKDIKNGQIENVPAPAIAIDYWRLPNDATLEDVITVIRADEAHHRDVNHFASDIHYQGKELREAPAPLGYH >Manes.01G259500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41581260:41585226:1 gene:Manes.01G259500.v8.1 transcript:Manes.01G259500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQEAKKLEAESPVTPTPPPAPEPVEFKQDAVQEKPIVAPPEQKPEESKALAVIDKVPESAPKKLSGGSHDRDVALAEVEKEKRNSFIKAWEDSEKTKAENKAQKKLSAVAAWENSKKASLEAQLRKIEEKLEKQKAEYAEKMKNKVALVHKQAEEKRAMVEAQRGEEILKAEEMAAKYRATGQTPKKFLGCF >Manes.07G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33881642:33882718:-1 gene:Manes.07G136400.v8.1 transcript:Manes.07G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVVELKVGLHCDECIKKILKAVKKIQDIETYNVDIELNKVIVTGNVTTEEVIRVIQKIGKTATAWDGDQTNS >Manes.17G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29248598:29250246:-1 gene:Manes.17G085100.v8.1 transcript:Manes.17G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRINKYQNVRHCTYRREMSDSTATMPESHPMNGGDGTYSYTKNSTFQREAVKNAKWLVDETIAHKLDIKDLLATSSPFQIADLGCSVGPNTFFCMESVIQAVKHKFQSHGLASQVPDFEVFFCDHTFNDFNTLFRSLPPERPYFAAGVPGSFHGRLFPESSLHFVHSSSALHWISQVPKEILDKNSPAWNKGRIHYASAPDEVAKAYAMHFAKDVEMFLDARAKELVVGGMMVLILPGIRNGIPHSCAIGNAMFDLLGSCLMDMSKEGLVNEAQVDSCNLPMYLPSPEEMRELVERNGCFRIERLELFDPVTSNEAKILVNSGHAWKMHFRAGLEGVLSKYFASEVIDELFDRFSDKAGKLKTTSTESSLLFIALKRK >Manes.02G137300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10427234:10432186:-1 gene:Manes.02G137300.v8.1 transcript:Manes.02G137300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFFGVTYIYTSKTKRRSGRVQSGKMTGDELIRRMNGEEESKEEEIVCLDESFFINDDYQLTTFTFGSHVLQLLCLQSASTDFDLTGQLVWPGAKLLNDYLSKNAEMLQGCSVLELGSGVGVTGILCGRFCRQVVLTDHNDEVLKIIKKNIELHAPSVNPNSCAELEAVKLEWGSSDQINQILGRYSGGFDLILGADICFQQSSIPLLFDTVEQLLRFRGEHCKFILAYVSRAKIMDTLVIKEAAQHGMRMNEVPGTRALIGNLEGVIFEVSINKNHQISLILGQ >Manes.01G207400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37955045:37957439:1 gene:Manes.01G207400.v8.1 transcript:Manes.01G207400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKVTLEIFSKLEQKWLFHCDNAKKTRILSIDGGGTTGIVAGAALIHLEDQIRIKIGDPHARLADFFDIIAGTGIGALLAAMLAADDGSGRPLYTARDAVEFLSDKNSQLFKVKLAGFLHRRRLFSGKSIDEALKGAFRRDDGKILTLKDTCKPLLIPCFDLNSSAPFVFSRADASESPSFNFDLWKVCRATLATPSLFKPFNLKSVDGKISCCAIDGGLVMNNPTAAAVTHVLHNKRDFPSVNGVEDLLVLSLGSGPLSGSLSKRNNRRNGECSTSSVVNIVLDGVSETVDQMLGNAFCWNRSDYVRIQANGLRSGGLMGPKVEDEVLNERGVESLPFGGKRLLTETNGQRIESFAQSLVASGRSSLPPSPCKDSAGR >Manes.05G043700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3550464:3554464:-1 gene:Manes.05G043700.v8.1 transcript:Manes.05G043700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTLRRSSAFSDYLLSFSGGGPHGSDEDELRKLIEVKKSYQVREGAESPSIKLRNGIGDHRGSVIKYGKALLTAAQLGELQRQVIIYKYIAAGLPVPSSLVLPISKNVASSFGFDNGGNHRLNPSLSVVGFSPLAVDYRNMMDPEPGRCRRTDGKKWRCKRNVVSGQKYCERHMHRGRQRLRKTLEVDAPNAIPLNNSSKTPQNSKVSGSSSIHCNTACSEVDAKMTGSTKAAFMVSCPKSISASLNPRVIAGCVMIPSTVPTDSTITTAIVGTNEGRSNKNHKTETIVDMNVNCSENVSLKNLIGGNNSRNITNTKGLAFQGLNFSPKSVLQVQNCGTTCLCRNYIDVEPGRCKRTDGKKWRCRRDVVPSEKYCEMHMHRGAKKRVEASQSPALLNANPEVISTTSSCMHPTAIFPKRGHDINLNTNLSISIAANPQPVVIGNSSDTTISNNSDTTISNPIIASCKDGDFSS >Manes.10G149200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31491959:31497759:-1 gene:Manes.10G149200.v8.1 transcript:Manes.10G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNNAKRVSYIYVPSQVINTLSSSSLQTLLHSPKKSSRNRFLSWSFCKSPRVWFFALFLFGFLGMFKLWFDLDPLVPFYPYPCVTKTQGNSSSTGYSTSQFVFPSDGEKLGQKDKDVVPVNGMGSKAEVGLASNDGKGGESAAKDEPLKSIVQSKPLVSNRHAKSDGDGKDNEFWKQPDGLGYKPCLEFSSEYRKGSEVILKNRRKYLLVVVSGGMNQQRNQIVDAVVIARILGASLVVPILQVNVIWGDESEFSDIFDLEHFKKVLANDVRIVSSLPSTHIRTRPVEESRTPLHVSPQWIRARYLKRLNREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPSILELGNRLAERMRSKGPYLALHLRMEKDVWVRTGCLPGLSPEYDEIINNERKRRPELLTGRSNMTYHDRKLAGLCPLNAAEVTRLLKALGAPKDARIYWAGGQPLGGKEALMPLTREFAHFYNKEDIALPGELEPFARRASLMAAIDYIVSEKSNVFMPSHGGNMGHAIQGQRAYAGHKKYITPNKRHMLPYFLNSSLPEAEFNRIIKDLHRDSLGQPELRGSKAGRDVTKYPVPECMCKDSHTHFSL >Manes.14G142800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13699006:13709653:-1 gene:Manes.14G142800.v8.1 transcript:Manes.14G142800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPEAFTVIRKSFDARKMLKEPRFVYTVDMDASQLISLEPRTRDFISDLEPRVGFVEYRPRGGASGDLLSIIHNSNGADKQKSSTEGGNSIGASFVDTYKNPTIKKPKIAVVGGGPSGLFATLVLAELGADVTLIERGQPVERRGRDIGALMVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSDSVLAVMKTLVHFGAPKNILINGKPHLGTDRLVPLLRNFRQHLQRLGVSIKFGTRVDDLMIENGHVVGIKVSDSNDRLQHGGRKLGYDGVILAVGHSARDIYEMLLSHNFHLVPKDFAVGLRIEHPQELINSIQYSSLAAEVHRGRGKVPVADYKIASYVSEEDVESSANLEPKTRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRDSKWANAALVVTVSTQDFEALNFQGPLAGVEFQREFERRAAVMGGGNFVVPAQIVTDFLENKLSEKSLPPSSYRLGVMAANLHELFPARITDALQYSISMFDKELPGFISNKALLHGAETRTSSPVQILRNTDTYESTSLKGLYPIGEGAGYAGGIISAAVDGMHAGFAVAKNFDLLLNDIEFLGKAQSVGFVKY >Manes.14G142800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13698655:13709653:-1 gene:Manes.14G142800.v8.1 transcript:Manes.14G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHFKLFPLSLPYPNPHPVLPYLYSRLRYHCPQTLQILCAAKRTGKQRYPSEKRKLKLKRKEASSDVKNKFDGIWRLSKLAVSVQNDPGKDFLGVSDGLLQEIAKAIKFPVASMLPPEAFTVIRKSFDARKMLKEPRFVYTVDMDASQLISLEPRTRDFISDLEPRVGFVEYRPRGGASGDLLSIIHNSNGADKQKSSTEGGNSIGASFVDTYKNPTIKKPKIAVVGGGPSGLFATLVLAELGADVTLIERGQPVERRGRDIGALMVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSDSVLAVMKTLVHFGAPKNILINGKPHLGTDRLVPLLRNFRQHLQRLGVSIKFGTRVDDLMIENGHVVGIKVSDSNDRLQHGGRKLGYDGVILAVGHSARDIYEMLLSHNFHLVPKDFAVGLRIEHPQELINSIQYSSLAAEVHRGRGKVPVADYKIASYVSEEDVESSANLEPKTRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRDSKWANAALVVTVSTQDFEALNFQGPLAGVEFQREFERRAAVMGGGNFVVPAQIVTDFLENKLSEKSLPPSSYRLGVMAANLHELFPARITDALQYSISMFDKELPGFISNKALLHGAETRTSSPVQILRNTDTYESTSLKGLYPIGEGAGYAGGIISAAVDGMHAGFAVAKNFDLLLNDIEFLGKAQSVGFVKY >Manes.14G142800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13698654:13709653:-1 gene:Manes.14G142800.v8.1 transcript:Manes.14G142800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHFKLFPLSLPYPNPHPVLPYLYSRLRYHCPQTLQILCAAKRTGKQRYPSEKRKLKLKRKEASSDVKNKFDGIWRLSKLAVSVQNDPGKDFLGVSDGLLQEIAKAIKFPVASMLPPEAFTVIRKSFDARKMLKEPRFVYTVDMDASQLISLEPRTRDFISDLEPRVGFVEYRPRGGASGDLLSIIHNSNGADKQKSSTEGGNSIGASFVDTYKNPTIKKPKIAVVGGGPSGLFATLVLAELGADVTLIERGQPVERRGRDIGALMVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSDSVLAVMKTLVHFGAPKNILINGKPHLGTDRLVPLLRNFRQHLQRLGVSIKFGTRVDDLMIENGHVVGIKVSDSNDRLQHGGRKLGYDGVILAVGHSARDIYEMLLSHNFHLVPKDFAVGLRIEHPQELINSIQYSSLAAEVHRGRGKVPVADYKIASYVSEEDVESSANLEPKTRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRDSKWANAALVVTVSTQDFEALNFQGPLAGVEFQREFERRAAVMGGGNFVVPAQIVTDFLENKLSVTWIYL >Manes.14G142800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13698654:13709653:-1 gene:Manes.14G142800.v8.1 transcript:Manes.14G142800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHFKLFPLSLPYPNPHPVLPYLYSRLRYHCPQTLQILCAAKRTGKQRYPSEKRKLKLKRKEASSDVKNKFDGIWRLSKLAVSVQNDPGKDFLGVSDGLLQEIAKAIKFPVASMLPPEAFTVIRKSFDARKMLKEPRFVYTVDMDASQLISLEPRTRDFISDLEPRVGFVEYRPRGGASGDLLSIIHNSNGADKQKSSTEGGNSIGASFVDTYKNPTIKKPKIAVVGGGPSGLFATLVLAELGADVTLIERGQPVERRGRDIGALMVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSDSVLAVMKTLVHFGAPKNILINGKPHLGTDRLVPLLRNFRQHLQRLGVSIKFGTRVDDLMIENGHVVGIKVSDSNDRLQHGGRKLGYDGVILAVGHSARDIYEMLLSHNFHLVPKDFAVGLRIEHPQELINSIQYSSLAAEVHRGRGKVPVADYKIASYVSEEDVESSANLEPKTRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRDSKWANAALVVTVSTQDFEALNFQGPLAGVEFQREFERRAAVMGGGNFVVPAQIVTDFLENKLSVTWIYL >Manes.14G142800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13699098:13709653:-1 gene:Manes.14G142800.v8.1 transcript:Manes.14G142800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPEAFTVIRKSFDARKMLKEPRFVYTVDMDASQLISLEPRTRDFISDLEPRVGFVEYRPRGGASGDLLSIIHNSNGADKQKSSTEGGNSIGASFVDTYKNPTIKKPKIAVVGGGPSGLFATLVLAELGADVTLIERGQPVERRGRDIGALMVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSDSVLAVMKTLVHFGAPKNILINGKPHLGTDRLVPLLRNFRQHLQRLGVSIKFGTRVDDLMIENGHVVGIKVSDSNDRLQHGGRKLGYDGVILAVGHSARDIYEMLLSHNFHLVPKDFAVGLRIEHPQELINSIQYSSLAAEVHRGRGKVPVADYKIASYVSEEDVESSANLEPKTRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRDSKWANAALVVTVSTQDFEALNFQGPLAGVEFQREFERRAAVMGGGNFVVPAQIVTDFLENKLSEKSLPPSSYRLGVMAANLHELFPARITDALQYSISMFDKELPGFISNKALLHGAETRTSSPVQILRNTDTYESTSLKGLYPIGEGAGYAGGIISAAVDGMHAGFAVAKNFDLLLNDIEFLGKAQSVGFVKY >Manes.07G100506.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30546091:30546240:1 gene:Manes.07G100506.v8.1 transcript:Manes.07G100506.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITTVFFLICSSFDLLTRPQFLAIHVSAVLESSSRFGFALMDATALL >Manes.07G100506.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30545949:30548704:1 gene:Manes.07G100506.v8.1 transcript:Manes.07G100506.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPITTVFFLICSSFDLLTRPQFLAIHVSAVLESSSSNHGTFNKIWICPHGC >Manes.13G094602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27009779:27010373:-1 gene:Manes.13G094602.v8.1 transcript:Manes.13G094602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDPIPYGQHYHTLFLCHLPLSGWPFWDLSWFNSPMWWPL >Manes.04G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:383052:384421:-1 gene:Manes.04G000900.v8.1 transcript:Manes.04G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFPTSSTSTVVGLGSSSLSSRSPISSPKRVSLSSGFVKSAVTERNLLRQTGANGGKFMCFERDWLRKDLNVIGFGLIGWLAPSSIPAIDGKSLTGLFFESIGTELAHFPSPPPLTSQFWLWLITWHLGLFLCLTFGQIGFKGRTEDYF >Manes.03G193700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31174712:31176379:-1 gene:Manes.03G193700.v8.1 transcript:Manes.03G193700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPIITDQDVVKQEPEVLVSPSNPTPHETIFLSNIDQAVAFPVETLFFFDVSLTKYTSSTMDIHERVKRAVEMLLVPYYFMAGRLNFNKETRRLDLLCNNAGVLFVTANSRLVLKDLGNLSLPNSTFHHFIQRPGLYKSLADKALFTIQVTRFSCGSFSIGFVTNHCMLDGTSAGDMFESLASICRGEGLKANVVYNDRTFLKARNPPIVKYPHREYVKIREISSLASLFTAAGQLSPSPLVFSNKFNCIQKVFSFSPEMINTLKGKAESSCTSFEAIVAHVWRARSKAVFDNLEEFSTVLFAVDIRSIISPNLPDGFAGNAVITAFATEKVGDLVKKPLSFGVRKMKEGRERVTDDYIRSVIDWLEVHRGIPATQNGNFYVSAWWKLPFNELDVGFGKAIHGGPVLSANDEFVLLLSDGKSLENGGGGINVWMGLEEEKMKKFMVHILEI >Manes.01G044200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8953257:8954173:-1 gene:Manes.01G044200.v8.1 transcript:Manes.01G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLAVMAELLEEYTVALARFTERLLPPRRGIYGFHWLRNFHLGSSSSIFSQDSSSFIVYF >Manes.04G144900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34295695:34296321:1 gene:Manes.04G144900.v8.1 transcript:Manes.04G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILFLVALLFFPSSQAADFCVAKLKGAGNPSGYACRNPAIVTVDDFVFKGLGVAGNTTNIISAAVTPAFVQQFPGVNGLGLSTARLDLAPGGVIPMHTHPAASEILFVVTGKITAGFISSSANMVYVKTLNKGDVMIFPQGLLHFQINAGGTAAVAVVSFNSPEPGLQITDFALFGNSLPSKLVEKTTFLDDAQVKKLKGVLGGTG >Manes.14G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3848904:3865731:1 gene:Manes.14G044400.v8.1 transcript:Manes.14G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGIKRPIQETSLEISMELPETDGNHPEFCGSEEANGDGDVLQQRKEEVTEKVGCRRLGKKQGRKPKKKEETLQVQEAERETGKQSGEKVEKLEVESPENGQAMEEKCEDEGAGAEHIRRRGRKKGSRTGGKVTRLKKGQNGFVSEKGKACGEELQESTGKDEEDGKDEENGKDDENGKDGLKGKKKVIFTENQEDKELENEMEGKIDGTNEVILKWEDRVSLEDDEGVNPEKRGTDLGNQGMDTEKGKENVGSVEVRGNSYWKRLRANDTKVSYAESSEDDEEVLTSKKPGRKGRKRTKVRGKEGSEMEVVDENGCLAEGNGKEVNERWRKKNNKEKDDGEWESLNSCIGYSLCDRQVFQQDVKDVKMNKYSEEYTAKICLMCHQCQRNDKGAVVRCQKCNRKRYCDPCLKNWYPKMTKDEVADACPVCRGNCNCKACLRDTPNEGLNKLKKLVVTDDRKVLHSKYLLQTLLPYLKQLNEEQMMERKIEARTQGVSLAELEIENAYCPEDERMYCDNCRTSIFDYHRSCSNCYSDLCLICCREICDGHLQGGGEEVVMEYINRGFGYLHGEDSKVILPYELPPGSSSKDSLTSSVGWKANEDGRIVCRCGLGYLDLKCLFPGNWVSELVMRAEDVAQRYEINTAKTPVERCVCFDSSGDIDIASNQLLKAASREDSDDNYLYCPRASDIKEEDLKHFQYHWMRAEPVVVSNVLETGTGLSWEPMVMWRAFRQIKNEKHDTLLDVKAIDCLDWCEVDINVRQFFLGYMKGRFDRQDWPQILKLKDWPPSTMFDKHLPRHDAEFTYCLPFKEYTHPHDGPLNLAVRLPKNTLKPDMGPKTYIAYGCDQELGRGDSVTKLHCDMSDAVNVITHTAEVTIDAAKLAKIEELKKLHREQDQREMFEDKQVEEEDVDGEMHGRCAESSGLSNCENVSVQLDEGGAVWDIFRREDVPKLQEYLNKHFKEFRHIYCCPVQKVVHPIHDQTFYLSLEHKRKLKEEYGIEPWTFVQKLGDAVLIPAGCPHQVRNLKSCIKVALDFVSPENVGECVRLTEEFRLLPPNHRAKEDKLEVKKMFLHSINWAVEVLEDAGEPNGTEEDEKKTTARKQKRKKRKSLR >Manes.01G060700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652867:25658621:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQIPGSMSQYDSVFHALKEIGKKEGLKGLYRGLIPRLVMYVSQGALFFASYEFFKRLFSLEVAQFSSRRIQYKESRGR >Manes.01G060700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652909:25658568:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQIPGSMSQYDSVFHALKEIGKKEGLKGLYRGLIPRLVMYVSQGALFFASYEFFKRLFSLEVAQFSSRRIQYKESRGR >Manes.01G060700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652909:25658587:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQIPGSMSQYDSVFHALKEIGKKEGLKGLYRGLIPRLVMYVSQGALFFASYEFFKRLFSLEVAQFSSRRIQYKESRGR >Manes.01G060700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652880:25658584:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQEAIVSLSFSPQWVGLSGRFLVR >Manes.01G060700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652910:25658568:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQEAIVSLSFSPQWVGLSGRFLVR >Manes.01G060700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652909:25658578:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQEAIVSLSFSPQWVGLSGRFLVR >Manes.01G060700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652867:25658622:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQIPGSMSQYDSVFHALKEIGKKEGLKGLYRGLIPRLVMYVSQGALFFASYEFFKRLFSLEVAQFSSRRIQYKESRGR >Manes.01G060700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25653806:25658568:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQEAIVSLSFSPQWVGLSGRFLVR >Manes.01G060700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652910:25658562:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQIPGSMSQYDSVFHALKEIGKKEGLKGLYRGLIPRLVMYVSQGALFFASYEFFKRLFSLEVAQFSSRRIQYKESRGR >Manes.01G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25653723:25658587:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQIPGSMSQYDSVFHALKEIGKKEGLKGLYRGLIPRLVMYVSQGALFFASYEFFKRLFSLEVAQFSSRRIQYKESRGR >Manes.01G060700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25652880:25658584:1 gene:Manes.01G060700.v8.1 transcript:Manes.01G060700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCKRPPQSDRPSITYRWNQVEEASFDLTDFFPEQNSSTHSQKKKQSSINTELKHPEILSTTELISAAGQLWSLINPLPTSKSEAKPNYTGTGSQRSIIFGDLVREDGGAALKDVDSRYSGVDARTASNIKPSLQPKFEFLTVTQKLSVFEPCRRNCNPLFSQFSKGNTKLSNEYWVRKRLANVACSYEVETIYGWMKEIIPGESQYPVNITKIEEENLNKICSSGNTFNNADGYISMDATHTAINFALRNADCCTDSVKSKDTTLGDNMEVEMETGAVRSLCSDYFLGSLHDNNVHNSVSKMPTSSLYVDYHLQILAPQNNTYGEFKHHTNDNQMAETRKQPKEFVYGDKNVMEVCPSACARPHYALAKQEHAYAGAFAGIFVSLCLHPVDTIKTVTQSCRSEQKSICDIGRLIVSERGVTGLYRGIASNIASSAPISAIYTFTYETAKGSLLPLFSKEYHSLAHCVAGGCASIATSFVFTPSERIKQQMQIGSRYHNCWNALIGIIGKGGLPSLYAGWGAVLCRNVPHSIIKFYTYESLKQLMLSPQNPNAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQIQEAIVSLSFSPQWVGLSGRFLVR >Manes.02G055000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4376426:4379707:-1 gene:Manes.02G055000.v8.1 transcript:Manes.02G055000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFPRYKRRQMYITGESYAGHYVPQLAREIMMYNKKSKQPINLKGIMVGNALTDYYYDNLGTVTYWWSHAMISDKTYQQLVNSCDFRRQKESDECESLYSYAMNQEFGNIDQYNIYAPPCNNSDGSTSTRQTIRLPHRPHKILRQLSGYDPCTKKYTEIYYNRPDVQKALHANLTKIPYKWTACSEVLNRNWNDTEVSILPIYRQMIAGGLRVWVFSGDIDSVVPVTATRFSLAQLKLQTQIPWYPWYVKKQVGGWTEVYAGLTFATVRGAGHEVPLFKPRAALKLFKSFLKGEPLPRSQKFNKLILYCYCCRQKEGKREA >Manes.05G047200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3931104:3935050:1 gene:Manes.05G047200.v8.1 transcript:Manes.05G047200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGYILRAICDLCCAILVLFLKESYLTIHSLAVAMLPTGLKDNQLRESNSQKVHPQPMEESMNQNPEAVEALISKIFTNISSLKSAYIQLQSAHTPYDPEKIQEADKVVISELKNLSELKHFYRENYPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDTEIVQMQQQIEEALQKRAKLEKNLKLRGLSTKESEGSGDESGLFPVDLTPELFMSAVEVAFKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESHICQKMFSGFQQESYSIKTENLTLTKESFFHQFLALREIDPLDVLGQNPDSMFGQFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPNVKVFQVKRGSEFSEVYMESVVKNLILDENQKPTVGLMVMPGFWIGGSVIQSRVYLAGVKVAE >Manes.05G047200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3931019:3935307:1 gene:Manes.05G047200.v8.1 transcript:Manes.05G047200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGLKDNQLRESNSQKVHPQPMEESMNQNPEAVEALISKIFTNISSLKSAYIQLQSAHTPYDPEKIQEADKVVISELKNLSELKHFYRENYPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDTEIVQMQQQIEEALQKRAKLEKNLKLRGLSTKESEGSGDESGLFPVDLTPELFMSAVEVAFKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESHICQKMFSGFQQESYSIKTENLTLTKESFFHQFLALREIDPLDVLGQNPDSMFGQFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPNVKVFQVKRGSEFSEVYMESVVKNLILDENQKPTVGLMVMPGFWIGGSVIQSRVYLAGVKVAE >Manes.05G047200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3931078:3935308:1 gene:Manes.05G047200.v8.1 transcript:Manes.05G047200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGLKDNQLRESNSQKVHPQPMEESMNQNPEAVEALISKIFTNISSLKSAYIQLQSAHTPYDPEKIQEADKVVISELKNLSELKHFYRENYPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDTEIVQMQQQIEEALQKRAKLEKNLKLRGLSTKESEGSGDESGLFPVDLTPELFMSAVEVAFKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESHICQKMFSGFQQESYSIKTENLTLTKESFFHQFLALREIDPLDVLGQNPDSMFGQFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPNVKVFQVKRGSEFSEVYMESVVKNLILDENQKPTVGLMVMPGFWIGGSVIQSRVYLAGVKVAE >Manes.05G047200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3931355:3935052:1 gene:Manes.05G047200.v8.1 transcript:Manes.05G047200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGLKDNQLRESNSQKVHPQPMEESMNQNPEAVEALISKIFTNISSLKSAYIQLQSAHTPYDPEKIQEADKVVISELKNLSELKHFYRENYPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDTEIVQMQQQIEEALQKRAKLEKNLKLRGLSTKESEGSGDESGLFPVDLTPELFMSAVEVAFKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESHICQKMFSGFQQESYSIKTENLTLTKESFFHQFLALREIDPLDVLGQNPDSMFGQFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPNVKVFQVKRGSEFSEVYMESVVKNLILDENQKPTVGLMVMPGFWIGGSVIQSRVYLAGVKVAE >Manes.05G047200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3931355:3935052:1 gene:Manes.05G047200.v8.1 transcript:Manes.05G047200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGLKDNQLRESNSQKVHPQPMEESMNQNPEAVEALISKIFTNISSLKSAYIQLQSAHTPYDPEKIQEADKVVISELKNLSELKHFYRENYPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDTEIVQMQQQIEEALQKRAKLEKNLKLRGLSTKESEGSGDESGLFPVDLTPELFMSAVEVAFKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESHICQKMFSGFQQESYSIKTENLTLTKESFFHQFLALREIDPLDVLGQNPDSMFGQFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPNVKVFQVKRGSEFSEVYMESVVKNLILDENQKPTVGLMVMPGFWIGGSVIQSRVYLAGVKVAE >Manes.05G047200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3931019:3935307:1 gene:Manes.05G047200.v8.1 transcript:Manes.05G047200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGLKDNQLRESNSQKVHPQPMEESMNQNPEAVEALISKIFTNISSLKSAYIQLQSAHTPYDPEKIQEADKVVISELKNLSELKHFYRENYPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDTEIVQMQQQIEEALQKRAKLEKNLKLRGLSTKESEGSGDESGLFPVDLTPELFMSAVEVAFKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESHICQKMFSGFQQESYSIKTENLTLTKESFFHQFLALREIDPLDVLGQNPDSMFGQFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPNVKVFQVKRGSEFSEVYMESVVKNLILDENQKPTVGLMVMPGFWIGGSVIQSRVYLAGVKVAE >Manes.05G047200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3931078:3935308:1 gene:Manes.05G047200.v8.1 transcript:Manes.05G047200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTGLKDNQLRESNSQKVHPQPMEESMNQNPEAVEALISKIFTNISSLKSAYIQLQSAHTPYDPEKIQEADKVVISELKNLSELKHFYRENYPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDTEIVQMQQQIEEALQKRAKLEKNLKLRGLSTKESEGSGDESGLFPVDLTPELFMSAVEVAFKAIHDFSKPLINMMKAAGWDLDAAANSIEPDVVYAKRAHKKYAFESHICQKMFSGFQQESYSIKTENLTLTKESFFHQFLALREIDPLDVLGQNPDSMFGQFCRSKYLVVVHPKMEASFFGNLDQRNYVMGGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPNVKVFQVKRGSEFSEVYMESVVKNLILDENQKPTVGLMVMPGFWIGGSVIQSRVYLAGVKVAE >Manes.03G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22780263:22786867:1 gene:Manes.03G104300.v8.1 transcript:Manes.03G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEAICGICQESFSTTITALTEPIDIYSEWIDECERVNNLEDDGAYRAD >Manes.08G109900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34863608:34864102:-1 gene:Manes.08G109900.v8.1 transcript:Manes.08G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNEEIKAKAEVYHGDEICQEKTKLLLKEVGLPNGLLPLHDIIECGIVRETGFVWLKQKKSITHKFEKIGKLVTYATEVTAMVEPGKIKKLTGVKTKELLIWVSLCDIYLDDPPTGKITFQTPTGLYRTFPCSAFEVEETKDVKEENKEVKEVNAAVEVQDA >Manes.04G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2224415:2229952:1 gene:Manes.04G018200.v8.1 transcript:Manes.04G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKEMGSKWRKAKLALGLNMCLSVPHNLEQDSSPSPSRFSDAVSLSPAGSLSRGSTTPTPSSSGLRLSKSGTKSSKSTCAICLTAMKPGQGHAIFTAECSHAFHFHCITSNVKHGNQICPVCRAKWKEVPFQNPTSDISRGRQRINAVGWPRDDAWMTVLRRLPPARIDANRHISSLYHGQEPPIFDDDETIGQQREITERSASAKDDVDDPDSVGTVDVKTYPEVSAVSRSASHDNFTVLIHLKAPVTSQRQNSSSYHTELPENSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSVVAFSSTARRLFPLRRMTEAGRQEALQAVNSLISNGGTNIAEGLRKGAKVIGDRKWKNSVASIILLSDGQDTYTITSPSGTHRRTDYKSLLPISLHQNGGTGFQIPVHTFGFGADHDAASMHSISEASGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQELQVKVECVHRSLHIGSIKAGSYRTRIMGNARMGTVDVGDLYAEEERDFLVTVNIPVDRSVDQMSLLKVGCVYRDPITKDVVTLEGASQVTIQRPEVIGAQVVSMEVDRQQNRLHAAEAMAEARVAAENGDLARAVSVLDRCYKSLSETASAQAGDRLCVALCAELKEMQERMANRQVYETSGRAYVLSGLSSHSWQRATARGDSTDSTSLVQAYQTPTMVDMVTRSQTMLLGSPSSHRKLRQALSFPAARLEPR >Manes.04G018200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2225543:2229952:1 gene:Manes.04G018200.v8.1 transcript:Manes.04G018200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGQGHAIFTAECSHAFHFHCITSNVKHGNQICPVCRAKWKEVPFQNPTSDISRGRQRINAVGWPRDDAWMTVLRRLPPARIDANRHISSLYHGQEPPIFDDDETIGQQREITERSASAKDDVDDPDSVGTVDVKTYPEVSAVSRSASHDNFTVLIHLKAPVTSQRQNSSSYHTELPENSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSVVAFSSTARRLFPLRRMTEAGRQEALQAVNSLISNGGTNIAEGLRKGAKVIGDRKWKNSVASIILLSDGQDTYTITSPSGTHRRTDYKSLLPISLHQNGGTGFQIPVHTFGFGADHDAASMHSISEASGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQELQVKVECVHRSLHIGSIKAGSYRTRIMGNARMGTVDVGDLYAEEERDFLVTVNIPVDRSVDQMSLLKVGCVYRDPITKDVVTLEGASQVTIQRPEVIGAQVVSMEVDRQQNRLHAAEAMAEARVAAENGDLARAVSVLDRCYKSLSETASAQAGDRLCVALCAELKEMQERMANRQVYETSGRAYVLSGLSSHSWQRATARGDSTDSTSLVQAYQTPTMVDMVTRSQTMLLGSPSSHRKLRQALSFPAARLEPR >Manes.04G018200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2225089:2229953:1 gene:Manes.04G018200.v8.1 transcript:Manes.04G018200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGQGHAIFTAECSHAFHFHCITSNVKHGNQICPVCRAKWKEVPFQNPTSDISRGRQRINAVGWPRDDAWMTVLRRLPPARIDANRHISSLYHGQEPPIFDDDETIGQQREITERSASAKDDVDDPDSVGTVDVKTYPEVSAVSRSASHDNFTVLIHLKAPVTSQRQNSSSYHTELPENSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSVVAFSSTARRLFPLRRMTEAGRQEALQAVNSLISNGGTNIAEGLRKGAKVIGDRKWKNSVASIILLSDGQDTYTITSPSGTHRRTDYKSLLPISLHQNGGTGFQIPVHTFGFGADHDAASMHSISEASGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQELQVKVECVHRSLHIGSIKAGSYRTRIMGNARMGTVDVGDLYAEEERDFLVTVNIPVDRSVDQMSLLKVGCVYRDPITKDVVTLEGASQVTIQRPEVIGAQVVSMEVDRQQNRLHAAEAMAEARVAAENGDLARAVSVLDRCYKSLSETASAQAGDRLCVALCAELKEMQERMANRQVYETSGRAYVLSGLSSHSWQRATARGDSTDSTSLVQAYQTPTMVDMVTRSQTMLLGSPSSHRKLRQALSFPAARLEPR >Manes.14G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3061405:3070077:1 gene:Manes.14G034300.v8.1 transcript:Manes.14G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASPKIPDNQDPPETTSSSSTSTPTSYKLRKIPPIPIRRTHFRENAESSPFDDTEDEKEDDELSDFPKEGKNSPVILASSLGLNHIKTQSAPAPSPLRFSSTAGRLSNLRNNNNSTVNKEDHAGAFIESTKLKWALPLHSVSSMDQGKKVGWNQSKSLKYPSPVPALESNHAAFAKELQSPRFQAILRVTSGRKKKAPDVKSFSHELNSKGVRPFPVWRSRAFGHMEEVMVAIRAKFDKLKEEVDSDLGIFAGDLVSILDKTSDSHPEWRERLEDLLIVARQCSRMPPNEFWINCEAIVQNLDDKRHELPMGILKQAHTRLLFILTRCTRLLQFQKESGYKDDHILGLHQLSDVGVYPEQILEIATQDFSGALVGGKLASEKQRKKSHGQEQEPLVLQEEQTVEVSTAKSVDSTTSSYRMSSWKKFPSAAGKNQKGFGSIDTPTEDKSERLHIKDETRTVGDDNSQNLGTPELAASAKMSKVSWGFWGEHHNVAFDNSMICRICEVEIPIVHVEEHSMICTIADRCDLKGLTINERLERVAETLDKILESWAPKSSDTPTRSPGAVKLSRLGMHEDIDELSPKENRYSRQCSEDTLDVMHEPDNALIMEELNVLSEISYEARSPLTADLDTKTSSAGSLTPRSPLLTPRTSQIELLLSRRRTMTELENNQQITKLLAIARCVANVNDSDYSSLESMLDRLDDLKYAIQDRKVDALIVETFGRRIEKLLQEKYVHLCAQIDDENFDSSNHMADEESSVEDDGVQSLRASPMNPYSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARIYIAEVVLALEYLHSLNVIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTEDLSGPSFNTSAFLDDDETKNQNSSEREQRQKHSVVGTPDYLAPEILLGMGHGATADWWSVGVILFELLVGIPPFNAETPQQIFDNIMNRDIPWPRVPEEMSCEAYDLIDGFLTENPVQRLGATGAKEVKQHPFFGDINWDTLARQKAMFIPSAEAQDTSYFMSRYIWNPEGENFQGGSDFDELTDSCSTGSFSNSHDEDGDECGSLADFNAPTLAVKYSFSNFSFKNLSQLASINYDMVIKSAKEAADASKPSVP >Manes.14G034300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3061405:3070077:1 gene:Manes.14G034300.v8.1 transcript:Manes.14G034300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSASPKIPDNQDPPETTSSSSTSTPTSYKLRKIPPIPIRRTHFRENAESSPFDDTEDEKEDDELSDFPKEGKNSPVILASSLGLNHIKTQSAPAPSPLRFSSTAGRLSNLRNNNNSTVNKEDHAGAFIESTKLKWALPLHSVSSMDQGKKVGWNQSKSLKYPSPVPALESNHAAFAKELQSPRFQAILRVTSGRKKKAPDVKSFSHELNSKGVRPFPVWRSRAFGHMEEVMVAIRAKFDKLKEEVDSDLGIFAGDLVSILDKTSDSHPEWRERLEDLLIVARQCSRMPPNEFWINCEAIVQNLDDKRHELPMGILKQAHTRLLFILTRCTRLLQFQKESGYKDDHILGLHQLSDVGVYPEQILEIATQDFSGALVGGKLASEKQRKKSHGQEQEPLVLQEEQTVEVSTAKSVDSTTSSYRMSSWKKFPSAAGKNQKGFGSIDTPTEDKSERLHIKDETRTVGDDNSQNLGTPELAASAKMSKVSWGFWGEHHNVAFDNSMICRICEVEIPIVHVEEHSMICTIADRCDLKGLTINERLERVAETLDKILESWAPKSSDTPTRSPGAVKLSRLGMHEDIDELSPKENRYSRQCSEDTLDVMHEPDNALIMEELNVLSEISYEARSPLTADLDTKTSSAGSLTPRSPLLTPRTSQIELLLSRRRTMTELENNQQITKLLAIARCVANVNDSDYSSLESMLDRLDDLKYAIQDRKVDALIVETFGRRIEKLLQEKYVHLCAQIDDENFDSSNHMADEESSVEDDGVQSLRASPMNPYSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARIYIAEVVLALEYLHSLNVIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTEDLSGPSFNTSAFLDDDETKNQNSSEREQRQKHSVVGTPDYLAPEILLGMGHGATADWWSVGVILFELLVGIPPFNAETPQQIFDNIMNRDIPWPRVPEEMSCEAYDLIDGFLTENPVQRLGATGAKEVKQHPFFGDINWDTLARQKAMFIPSAEAQDTSYFMSRYIWNPEGENFQGGSDFDELTDSCSTGSFSNSHDEDGDECGSLADFNAPTLAVKYSFSNFSFKNLSQLASINYDMVIKSAKEAADASKPSVP >Manes.11G016200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1671100:1675850:1 gene:Manes.11G016200.v8.1 transcript:Manes.11G016200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPVNVNEFQELAKQALPKMYYDYYAGGAEEQHTLKENVEAFRRIRFRPRILVDVSQIDMSTTLLGYRISAPIMLAPTAYHKLANPEGECATARAAAACNTIMILSYMSSCTVEEVASSCDAIRFFQLYMYKRRDISAQLVQRAERNGYKAIVLTVDAPRLGRREADIKNKMALPQLKNFEGLMSTEVSSDEGSKLELFAKETFDPSLSWKDIGWLKSITNLPILIKGVLTREDAIKAVEVGVAGIVVSNHGARQLDYSPASITVLEEVVHAVGGKIPVLFDGGVRRGTDVFKALALGAQAVLVGRPVVFGLAAKGEYGVKRVIEMLKDELELTMALSGCPSLKDITRSHVRTERERFQSML >Manes.02G068550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5252440:5254784:1 gene:Manes.02G068550.v8.1 transcript:Manes.02G068550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTAIFAPENEETVSKQGNEAGPYPCPRPHQSRKHNRPLGSSYFSSQSLVRTYQSGIQFIAVRAFYFCELQWLRIFSLVRKAMSRRPSAATWLRPWKL >Manes.02G068550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5252446:5254784:1 gene:Manes.02G068550.v8.1 transcript:Manes.02G068550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTTAIFAPENEETVSKQGNEAGPYPCPRPHQSRKHNRPLGSSYFSSQSLVRTYQSGIQFIAVRAFYFCELQWLRIFRWSPGDASASVCVLKPGLLVVYLWRSTSIKGSLPIWLTRATIIEAEF >Manes.15G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5549467:5556007:-1 gene:Manes.15G073600.v8.1 transcript:Manes.15G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAPKSSKPTKPPNQNQSTSRSSSISTHLAMVELKQRILIALSKLADRDTHQIALEDLHSIIQSISHEALPMLLNSLYDSLSDSSNAKPSVKKESLHLLSLTCQSHRDLTLPHLSKIISHIVKRLKDSDSSVKDACRDAFGILSRLYLRSGSGGEGSGDSNGMGSVMGLFVRPLFEAMGEQNKGVQSGAAVCMAKMVECAAKNENDAGGNAVSGNVPIAAFQKLCPRICKLLNGQNFQAKAALLGVVTSLAQVGAIAPQGFEPLLESIRDCLRSTDWATRKAAADALSALALHSSSFIADEAANSMLTVLEASHFDKIKPVRESMTEALQLWKKIAGKAEDGVLDGQKAFSCDGQHPEQANLPDKVSNPSDQKTEPLVRESSSSSSPNTDSVSKNKTSILDKAVVILKKKAPALTDKDLNPEFFQKLERSEAGDLPVEVVVPRRCINSSNLNNEEELEPNDSESRGRSTRIGNSQLDDAHGSFSNKYRNIERGIVGKDSRARTFDNDKLDVNYRESSGSRAGLSKSDGQSEGSSINSKGNWLAIQRQLLQLERQQAHLMNMLQDFIGGSHNSMVTLEDRVRGLERIVEDMAHDLSISSSRRGSNFPSGFEGTSNRPVGKYNGFSDYSSGKYNARVPFGERFIQSDVTAAGMRGKGPNWRSGVSDALEFPTYGASRSGQVNSRRAPGNASLDVRSPKSETESDQVGSRRAWDKGAGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGLTQTARVAIPELTAEALEDDNGGTQERDPIWASWSNAMDALKIGDTDTAYAEVLSTGDDFLLVKLMDRSGPVVDQLSNETACEILHAITQFLLEQNMFDICLSWIQQLVEILLENGPDTLGIPMELKKELLLNLHEASTENDPAEDWEGATPDQLLMQLASAWRIELQH >Manes.15G073600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5549467:5556007:-1 gene:Manes.15G073600.v8.1 transcript:Manes.15G073600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAPKSSKPTKPPNQNQSTSRSSSISTHLAMVELKQRILIALSKLADRDTHQIALEDLHSIIQSISHEALPMLLNSLYDSLSDSSNAKPSVKKESLHLLSLTCQSHRDLTLPHLSKIISHIVKRLKDSDSSVKDACRDAFGILSRLYLRSGSGGEGSGDSNGMGSVMGLFVRPLFEAMGEQNKGVQSGAAVCMAKMVECAAKNENDAGGNAVSGNVPIAAFQKLCPRICKLLNGQNFQAKAALLGVVTSLAQVGAIAPQGFEPLLESIRDCLRSTDWATRKAAADALSALALHSSSFIADEAANSMLTVLEASHFDKIKPVRESMTEALQLWKKIAGKAEDGVLDGQKAFSCDGQHPEQANLPDKVSNPSDQKTEPLVRESSSSSSPNTDSVSKNKTSILDKAVVILKKKAPALTDKDLNPEFFQKLERSEAGDLPVEVVVPRRCINSSNLNNEEELEPNDSESRGRSTRIGNSQLDDAHGSFSNKYRNIERGIVGKDSRARTFDNDKLDVNYRESSGSRAGLSKSDGQSEGSSINSKGNWLAIQRQLLQLERQQAHLMNMLQDFIGGSHNSMVTLEDRVRGLERIVEDMAHDLSISSSRRGSNFPSGFEGTSNRPVGKYNGFSDYSSGKYNARVPFGERFIQSDVTAAGMRGKGPNWRSGVSDALEFPTYGASRSGQVNSRRAPGNASLDVRSPKSETESDQVGSRRAWDKGAGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGLTQTARVAIPELTAEALEDDNGGTQERDPIWASWSNAMDALKIGDTDTAYAEVLSTGDDFLLVKLMDRSGPVVDQLSNETACEILHAITQFLLEQNMFDICLSWIQQRSVSA >Manes.02G027300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2299712:2302179:-1 gene:Manes.02G027300.v8.1 transcript:Manes.02G027300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDSAEGNNLLFPIILLLPLLLLILKHFKTSPQPLPPGPFSWPILGNLLQLGNKPHITLTQLSKTYGPIFSIRLGPRLVVVGSSQEAAIEILKTRDRVLSGRSPPHAAPPKSMKLNDLSFGWIVECNDQWKYLRTMCRTELFSSRALESQAGAREKMVMDVVRFINKMEGKAVKIRQVAFAANFNMLGNVMVSRDVINFEHESVEGEIYRPLREMMEVGTTLNVSDLFPLFAGLDLQGIQTKSREWYKSLCKLWEDIIIERRKRNTGDASIPRDFLDALINNGSTDAQINMLLQDILTAGTDSSSSTIEWMMAELMKNPKYLKRVEEEIERETNQETIKESHLPHLTFLQACFKETLRLHPPAPLLIPHRAVEACQVMNYTIPKNAQVLVNFWAIGRDPKIWEDHLVFKPERFLNSSLDFKGNDFEYIPFSSGRRICPGLPMAAKHVPLLVGSMVQSFDWSLPNGEHPAHMDMNEKYGLTVTKEQPLLLIPNAKK >Manes.11G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4906409:4910021:-1 gene:Manes.11G045600.v8.1 transcript:Manes.11G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINQRSQIVLSFLCYFLLIGPSHSFTDTLLQGQQLKDQDHLISADGSFKLGFFSPGTSRSRYLGIWYNVVDENKIFIAKKKEVWVANRDNPISDASGILTIDKSGKLTVLHGDNSTIPLSSVEAASNVSAELLNSGNFVLKEMNLDGSTKQILWQSFDYPTDTLLPGMKLGFDNKKMHIWSLTSWINDNNPAQGSFSLTLGMAFNTSQLVIWWKGSIYWTSGMWQSGRFELASQLSNECHPDFSFISNDGLNYFTYSLSQSENHSLSRYMIDSSGSLLEIGGMAPFGACSYKSDPGCVAQKMPDCRSQNVWFEAKKGFMSAEGQKFMESSNLSSFDCQAKCLNNCSCAAYAYSSANQTVCEIWSQGITFTEKYDETRVIYVLRGKKAKRWIWAAITFPVLMATLVACSVYYFIQRRNRMAAENDAEQEILLCVLETEATDSSPTGKLNDVKRDRKKSHELNFFSFESIVSATNNFAAANKLGEGGFGPVFKGKLNDSQQQQVAVKRLSRNSGQGLAEFKNELLLIAKLQHTNLVRLIGCCIQREEKILIYEFMPNKSLDSFLFDPEKKHLLDWKKRLHIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDAEMNPKISDFGMARIFGKNESEAKTIRIIGTHGYMAPEYALKGVVSIKIDVFSFGVLLLEIVSSKKSYKDYSSEYPLNLIGLAWELWSEARGLEFMDPTLEESFSPNEVLRSIHIGLLCVQDQATDRPTMSDIVSMLTNETLDLPAPKQPAFFLDRSADEREVHRNSSENSLNSASITVVEAR >Manes.11G045600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4906409:4910021:-1 gene:Manes.11G045600.v8.1 transcript:Manes.11G045600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINQRSQIVLSFLCYFLLIGPSHSFTDTLLQGQQLKDQDHLISADGSFKLGFFSPGTSRSRYLGIWYNVVDENKIFIAKKKEVWVANRDNPISDASGILTIDKSGKLTVLHGDNSTIPLSSVEAASNVSAELLNSGNFVLKEMNLDGSTKQILWQSFDYPTDTLLPGMKLGFDNKKMHIWSLTSWINDNNPAQGSFSLTLGMAFNTSQLVIWWKGSIYWTSGMWQSGRFELASQLSNECHPDFSFISNDGLNYFTYSLSQSENHSLSRYMIDSSGSLLEIGGMAPFGACSYKSDPGCVAQKMPDCRSQNVWFEAKKGFMSAEGQKFMESSNLSSFDCQAKCLNNCSCAAYAYSSANQTVCEIWSQGITFTEKYDETRVIYVLRGKKAKRWIWAAITFPVLMATLVACSVYYFIQRRNRMAENDAEQEILLCVLETEATDSSPTGKLNDVKRDRKKSHELNFFSFESIVSATNNFAAANKLGEGGFGPVFKGKLNDSQQQQVAVKRLSRNSGQGLAEFKNELLLIAKLQHTNLVRLIGCCIQREEKILIYEFMPNKSLDSFLFDPEKKHLLDWKKRLHIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDAEMNPKISDFGMARIFGKNESEAKTIRIIGTHGYMAPEYALKGVVSIKIDVFSFGVLLLEIVSSKKSYKDYSSEYPLNLIGLAWELWSEARGLEFMDPTLEESFSPNEVLRSIHIGLLCVQDQATDRPTMSDIVSMLTNETLDLPAPKQPAFFLDRSADEREVHRNSSENSLNSASITVVEAR >Manes.13G044800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5279484:5284231:-1 gene:Manes.13G044800.v8.1 transcript:Manes.13G044800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRIPLRQKYKVLRKVRQHHKKKAKEAKKLGLNKKRKVEKDPGIPNDWPFKEQELKALEARRARVIEEMEQKKAARKERSKKRKLGLLEDDDISKFGDLASAKEQKFEERSSNDDFGGTAKNRDNSDRAFYKELVKVIEESDVILEVLDARDPLGTRCVDMEKMVMKSGHDKRLVLLLNKIDLVPREAVEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSSKRAKTSNLLQTSDCLGAETLIKLLKNYSRSHDIKKSITVGVIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGVVLLKSGENDASIALRNCKRIEKLDDPVSPVKEILKLCPDRLLVTLYKIPSFESVDDFLQKVATVRGRLKKGGVVDVEAAARMVLHDWNEGKIPYYTMPPARNQDEPLEAKIVSELGKEFNVDEVYSGESSFIGSLKSVNDFNPVEVPPSCPVNFDESMIEGDVETKTSARGDENPDHASDGEDQPMSSEEEDANKNNAKTATSRQNEKLYAVEGMLNTKMKRAEKKRKKKAAKVDAMDDDYDFKVDYIKKKGSTMDVEDESGNKDDDNQIIGEVPMSGVKFDDE >Manes.13G044800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5279484:5284218:-1 gene:Manes.13G044800.v8.1 transcript:Manes.13G044800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRIPLRQKYKVLRKVRQHHKKKAKEAKKLGLNKKRKVEKDPGIPNDWPFKEQELKALEARRARVIEEMEQKKAARKERSKKRKLGLLEDDDISKFGDLASAKEQKFEERSSNDDFGGTAKNRDNSDRAFYKELVKVIEESDVILEVLDARDPLGTRCVDMEKMVMKSGHDKRLVLLLNKIDLVPREAVEKWLKYLREELPAVAFKCSTQEQRSNLGWKSSSKRAKTSNLLQTSDCLGAETLIKLLKNYSRSHDIKKSITVGVIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGVVLLKSGENDASIALRNCKRIEKLDDPVSPVKEILKLCPDRLLVTLYKIPSFESVDDFLQKVATVRGRLKKGGVVDVEAAARMVLHDWNEGKIPYYTMPPARNQDEPLEAKIVSELGKEFNVDEVYSGESSFIGSLKSVNDFNPVEVPPSCPVNFDESMIEGDVETKTSARGDENPDHASDGEDQPMSSEEEDANKNNAKTATSRQNEKLYAVEGMLNTKMKRAEKKRKKKAAKVDAMDDDYDFKVDYIKKKGSTMDVEDESGNKDDDNQIIGEVPMSGVKFDDE >Manes.09G097750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29508795:29509996:1 gene:Manes.09G097750.v8.1 transcript:Manes.09G097750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KALSLTYSKIGNDAQIYDIRNKIHGTKQGEMTISQFYSELCGLWQELDYYQDFQADCTGDAVKFRKMIEKERVYDFLAGLNNDYDSIRVQVLGRNPFPSLEEAHAHVQQEESRRHAMLHTASVEKAGLTTSLSTPQPPTSEKDHLHCDYCGKPRHTKETCWKLHGRPTKGRGGKRGTSRNQAKLAETVEEPFKETTTTEFLSPNELQSLKRLLSHIDTSSSSGATSNFVKSGSSHREDDWQW >Manes.08G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9467691:9472043:1 gene:Manes.08G065300.v8.1 transcript:Manes.08G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAKQKIHKDKNADPTEFEESVAQTLFDLENTNPELKSDMKDLYINSAIHIDVAGNRKAVVIHVPFRLRKAYRKIHVRLVRELEKKFSGKDVVLIATRRILRPPKKGSAAQRPRSRTLTAVHEAMLEDIILPAEIVGKRTRYRMDGSKIMKVFLDPKERNNTEYKLETFAAVYRKLSGKDVVFEFPVTEA >Manes.01G249900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40888412:40892746:-1 gene:Manes.01G249900.v8.1 transcript:Manes.01G249900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEDGKSSKPEKSSSPVPVDQTNFHVYPDWAAMQAYYGPRVALPPYYNSAIASGHAPHPYMWGPPQPIMPPYGAPYAAVYSHGGVYAHPAVPIGSHPHVPGVPSAPAAATPVSVETPTKSTGNTDQGLMKKLKRFDGLAMSIGNASSNTESAEGGDHRLSQSVETEGSSDGSDGNTAGGRKRSCEGKPTIVGEMRTETQARSVPTGKVGGSPDKVLVAAAASASASGKSLGAVVSSGMSTALELRNPPMDAAKANAANIPQPCQVLPSEAWIQNERELKRERRKQSNRESARRSRLRKQAESEELARKVESLTSENLALKSEMNQLIEKSEKLRLENAALLEKLKNSQLGRKQEVILNGSEKQSASAVSTENLLSRVSNSTSVDRSKGEDEELYERSSNPRAKLHQLLGASPRTDAVAAG >Manes.01G249900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40888412:40892684:-1 gene:Manes.01G249900.v8.1 transcript:Manes.01G249900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEDGKSSKPEKSSSPVPVDQTNFHVYPDWAAMQAYYGPRVALPPYYNSAIASGHAPHPYMWGPPQPIMPPYGAPYAAVYSHGGVYAHPAVPIGSHPHVPGVPSAPAAATPVSVETPTKSTGNTDQGLMKKLKRFDGLAMSIGNASSNTESAEGGDHRLSQSVETEGSSDGSDGNTAGGRKRSCEGKPTIVGEMRTETQARSVPTGKVGGSPDKVLVAAAASASASGKSLGAVVSSGMSTALELRNPPMDAAKANAANIPQPCQVLPSEAWIQNERELKRERRKQSNRESARRSRLRKQAESEELARKVESLTSENLALKSEMNQLIEKSEKLRLENAALLEKLKNSQLGRKQEVILNGSEKQSASAVSTENLLSRVSNSTSVDRSKGEDEELYERSSNPRAKLHQLLGASPRTDAVAAG >Manes.01G249900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40888412:40892746:-1 gene:Manes.01G249900.v8.1 transcript:Manes.01G249900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEDGKSSKPEKSSSPVPVDQTNFHVYPDWAAMQAYYGPRVALPPYYNSAIASGHAPHPYMWGPPQPIMPPYGAPYAAVYSHGGVYAHPAVPIGSHPHVPGVPSAPAAATPVSVETPTKSTGNTDQGLMKKLKRFDGLAMSIGNASSNTESAEGGDHRLSQSVETEGSSDGSDGNTAGGRKRSCEGKPTIAVGEMRTETQARSVPTGKVGGSPDKVLVAAAASASASGKSLGAVVSSGMSTALELRNPPMDAAKANAANIPQPCQVLPSEAWIQNERELKRERRKQSNRESARRSRLRKQAESEELARKVESLTSENLALKSEMNQLIEKSEKLRLENAALLEKLKNSQLGRKQEVILNGSEKQSASAVSTENLLSRVSNSTSVDRSKGEDEELYERSSNPRAKLHQLLGASPRTDAVAAG >Manes.01G249900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40888412:40892684:-1 gene:Manes.01G249900.v8.1 transcript:Manes.01G249900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNEDGKSSKPEKSSSPVPVDQTNFHVYPDWAAMQAYYGPRVALPPYYNSAIASGHAPHPYMWGPPQPIMPPYGAPYAAVYSHGGVYAHPAVPIGSHPHVPGVPSAPAAATPVSVETPTKSTGNTDQGLMKKLKRFDGLAMSIGNASSNTESAEGGDHRLSQSVETEGSSDGSDGNTAGGRKRSCEGKPTIAVGEMRTETQARSVPTGKVGGSPDKVLVAAAASASASGKSLGAVVSSGMSTALELRNPPMDAAKANAANIPQPCQVLPSEAWIQNERELKRERRKQSNRESARRSRLRKQAESEELARKVESLTSENLALKSEMNQLIEKSEKLRLENAALLEKLKNSQLGRKQEVILNGSEKQSASAVSTENLLSRVSNSTSVDRSKGEDEELYERSSNPRAKLHQLLGASPRTDAVAAG >Manes.11G031500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3093052:3093515:-1 gene:Manes.11G031500.v8.1 transcript:Manes.11G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAILKINFMGLAILAMAGILIFNSSVALSQSCQGDLQGLITECAMYVQKRGPIMDPSPGCCNVIKSVDIPCVCKYISKEIESVIDMDKVVHVADFCGKPLSHGMKCGSYIVP >Manes.14G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4957510:4959568:-1 gene:Manes.14G059400.v8.1 transcript:Manes.14G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFFINILPLFFLFSFLVVRRFLNPPVTKNLPPSPPKFPILGNLHQLGPYPHRSLRSLAQRYGPLMQLHFGSIPVLVASSADVAREIMKTHDLTFSNRPKFNIADKLLYEGQDVSTAPYGEYWRQMRSICVLQLLTNKRVQSFRSVREEETTILAEKIKESAYSSSPVNLSENFASLTNDIVCRAALGRKYSEGEGGKKFKELLGEFMELLGTFTVGDFIPWLGWVNGINGFDAKVEKIAKEFDKFLDEVVDEHMDIAKRRGNINHRNIEIEDQKNFVDVLLKLQEDDMAGFSMTKVNIKALILDMFAAGTDTTYTVLEWAMSELLRHPRVMKEVQNEVRKIGNGKAEITEDDLGQMHYLKAVIKETLRLYPPIPLLVPRASTQDVKIQGYDIVAGSTVFTNAWAIGRDPARWDQPEEFRPERFLNSSLDFKGQDFELIPFGAGRRGCPGILFAMITNELVLAKIVNQFDWELPGGASGEDLDMTECPGLTIHRKFPLLAIAVPRS >Manes.16G138300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34060287:34063310:1 gene:Manes.16G138300.v8.1 transcript:Manes.16G138300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDNQAKPIDRRDFATVLASQGVDFLISVEGKVPLSSCDGKIICLFFSANWCRPCKTFVPQLVQLYNSLRDEGKNLEIILISFDRDEDGFKEHFKCIPWLAVPFDVELNRKLSEIYGINRIPSFVSLASDEISIEEDLIGLIEDYGSQAFPFTWRRREELRAIDDEKRRGGKLEQLLAHEGRNYVLSRDGRQMTISELVGKTIGLYFGAYWCPPSRAFTTQLVKAYNELVTTRNGCFEIVLVSTDRDLIEFNTNLSNMPWLAIPYEDRTRQDLCRIFNIKGIPALVMIGEDGKTISTDGKGMISSYGAKAFPFTERRIAEIEAGLKKEGDALPQQVKDIKHQHELKLDMAKAYVCDSCKRQGRFWAFSCQVCDYDLHPGCVQETE >Manes.16G138300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34060329:34063310:1 gene:Manes.16G138300.v8.1 transcript:Manes.16G138300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDNQAKPIDRRDFATVLASQGVDFLISVEGKVPLSSCDGKIICLFFSANWCRPCKTFVPQLVQLYNSLRDEGKNLEIILISFDRDEDGFKEHFKCIPWLAVPFDVELNRKLSEIYGINRIPSFVSLASDEISIEEDLIGLIEDYGSQAFPFTWRRREELRAIDDEKRRGGKLEQLLAHEGRNYVLSRDGRQMTISELVGKTIGLYFGAYWCPPSRAFTTQLVKAYNELVTTRNGCFEIVLVSTDRDLIEFNTNLSNMPWLAIPYEDRTRQDLCRIFNIKGIPALVMIGEDGKTISTDGKGMISSYGAKAFPFTERRIAEIEAGLKKEGDALPQQVKDIKHQHELKLDMAKAYVCDSCKRQGRFWAFSCQVCDYDLHPGCVQETE >Manes.16G138300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34060448:34063310:1 gene:Manes.16G138300.v8.1 transcript:Manes.16G138300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDNQAKPIDRRDFATVLASQGVDFLISVEGKVPLSSCDGKIICLFFSANWCRPCKTFVPQLVQLYNSLRDEGKNLEIILISFDRDEDGFKEHFKCIPWLAVPFDVELNRKLSEIYGINRIPSFVSLASDEISIEEDLIGLIEDYGSQAFPFTWRRREELRAIDDEKRRGGKLEQLLAHEGRNYVLSRDGRQMTISELVGKTIGLYFGAYWCPPSRAFTTQLVKAYNELVTTRNGCFEIVLVSTDRDLIEFNTNLSNMPWLAIPYEDRTRQDLCRIFNIKGIPALVMIGEDGKTISTDGKGMISSYGAKAFPFTERRIAEIEAGLKKEGDALPQQVKDIKHQHELKLDMAKAYVCDSCKRQGRFWAFSCQVCDYDLHPGCVQETE >Manes.16G138300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34060257:34063310:1 gene:Manes.16G138300.v8.1 transcript:Manes.16G138300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDNQAKPIDRRDFATVLASQGVDFLISVEGKVPLSSCDGKIICLFFSANWCRPCKTFVPQLVQLYNSLRDEGKNLEIILISFDRDEDGFKEHFKCIPWLAVPFDVELNRKLSEIYGINRIPSFVSLASDEISIEEDLIGLIEDYGSQAFPFTWRRREELRAIDDEKRRGGKLEQLLAHEGRNYVLSRDGRQMTISELVGKTIGLYFGAYWCPPSRAFTTQLVKAYNELVTTRNGCFEIVLVSTDRDLIEFNTNLSNMPWLAIPYEDRTRQDLCRIFNIKGIPALVMIGEDGKTISTDGKGMISSYGAKAFPFTERRIAEIEAGLKKEGDALPQQVKDIKHQHELKLDMAKAYVCDSCKRQGRFWAFSCQVCDYDLHPGCVQETE >Manes.14G040101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3427749:3428688:-1 gene:Manes.14G040101.v8.1 transcript:Manes.14G040101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYVLVATSLFCLKNQEVMSFSVLTETKCSSLAKTELGNQKDQLSNGTLNAWAELENQQGSHRNGVSEHVIPPPSNTAQTAATMVAASNNEIPQVQAPPQNEEPMT >Manes.01G070300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27159768:27161696:-1 gene:Manes.01G070300.v8.1 transcript:Manes.01G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQYQENLPLVLLHRLPSLNLPHRNRLSPHFLLLDPADSQEPISSFLSIHANSVRALLCVGYTPITSETLSLLPSLELIVAGSAGVDHIDLQECHRRGINITNASVAFAEDAADHAVALLIDVLRRVSPADRFVRGGSWPVMGDYPLGSKLGGKRVGIVGFGSIGSEVAKRLVAFGCSIAYNSRRRKPSVPFTYYANVGDLAAHSDILILSCSLTEETHHMINEDVMTSLGKEGVIINIGRGSLIDEKELVKFLVQGKIGGAGLDVFENEPDVPKELFCLDNVVLSPHRAVFTPESMEALIDLTCANLKAFFSNEPLQSLVQIY >Manes.07G071116.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14015212:14019985:-1 gene:Manes.07G071116.v8.1 transcript:Manes.07G071116.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEFRPLDEKSLIEYIKATHVLFNKVGNNYDKLKIKEVGDGNLNFVYIVVGSAGSFVIKQALPYIRCIGESWPMTKERAYFEALALKVHGQLCPEHVPEVYHFDRAMSLIGMRYLEPPHIILRKGLIAGIKYPYLAEHISTYMSKTLFHTSLLYRTTTEHKRDVAEFCGNVELCRLTEQVIFSDPYKVSQYNHWTSPYLDRDAEAVREDNVLKLEIAELKSKFCERAQALIHGDLHTGSVMVTSDSTQVIDPEFAFYGPMGFDVGAFIGNLFLAYFAQDGHADSFDDRKSYKEWLLRMTEDTWNLFHKKFTAFWDEHKDGPGEAYLPAIYNNPELQQLVQKKFMKDLFHDTLGFGAAKMIRRIVGVAHVEDFESIKDNSKRAECERKALDFAKLLLKERRRFQSINEVVSAIPA >Manes.07G071116.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14012888:14019985:-1 gene:Manes.07G071116.v8.1 transcript:Manes.07G071116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEFRPLDEKSLIEYIKATHVLFNKVGNNYDKLKIKEVGDGNLNFVYIVVGSAGSFVIKQALPYIRCIGESWPMTKERAYFEALALKVHGQLCPEHVPEVYHFDRAMSLIGMRYLEPPHIILRKGLIAGIKYPYLAEHISTYMSKTLFHTSLLYRTTTEHKRDVAEFCGNVELCRLTEQVIFSDPYKVSQYNHWTSPYLDRDAEAVREDNVLKLEIAELKSKFCERAQALIHGDLHTGSVMVTSDSTQVIDPEFAFYGPMGFDVGAFIGNLFLAYFAQDGHADSFDDRKSYKEWLLRMTEDTWNLFHKKFTAFWDEHKDGPGEAYLPAIYNNPELQQLVQKKFMKDLFHDTLGFGAAKMIRRIVGVAHVEDFESIKDNSKRAECERKALDFAKLLLKERRRFQSINEVVSAIPA >Manes.02G161700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12690461:12691845:-1 gene:Manes.02G161700.v8.1 transcript:Manes.02G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSEQKIQSGTSKAMAAKSSLSAAAAAAAAASKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSANLNFPITSSHYIPDTVMSPKSIQRVAAAAANSFVDNAAATTTTTTTTTTTPSSVSSPPLPSSFSSAPSPSSSMSSSVSDQVDDDVSLMKSFGNYSEPIPLLDDAWFNFEDLQSPKFLDHIFNPPTTMMMDDFFEGDIRLWSFAE >Manes.11G068178.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15694974:15695698:-1 gene:Manes.11G068178.v8.1 transcript:Manes.11G068178.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHSCKEASTSHKPPWARTSSIHAYGPAHKCKLPPKSRTSIFSFTWPTKAPKQAQSTQMGHCTFTTPAHDRKFTIPSSGPVHAQSIAHGLHLTLHANQAPPRRVNGPSILDGPHSHAHSRTRIKPPRHLHMAHQSNSSNSSSRTGRSRKAPKHFAWARFKTPTLTHAHCNDPKIGSLPALGSRSA >Manes.14G001700.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1396066:1402051:1 gene:Manes.14G001700.v8.1 transcript:Manes.14G001700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGLGGRFLSGPTGGLLDLESPIHRQQQTQLGHPPLTHQNHMNLIGGLDNDHQTMGLTEVKGSIPKGYSANFSKGKAVSPLNAANNGNASEDDEPSFTEDWNGENSSGAKGKKGSQWQRMKWTDNVVRLLIAVVACVGDDNEGVDGLKRKSGILQKKGKWKTVSKIMMSKGCHVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGCSLPRERCSKDNDGSEEEEAEGHDDSDDDEWDGEDDSNAKVDGERMGKYERDNVSEEDAHLWTQSGGCTGFEVEMAGIFQDPTVSVWEKKEWIKKQKLQVLEQRVSIQAQAFELEKQHFKWLRYCSKKDREFERLRLENERIKLENEQSILQLRQKQLEMDFRSSKTSQDPTSFGVDRIQARDQIDLGRHQ >Manes.14G001700.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1396041:1402051:1 gene:Manes.14G001700.v8.1 transcript:Manes.14G001700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGLGGRFLSGPTGGLLDLESPIHRQQQTQLGHPPLTHQNHMNLIGGLDNDHQTMGLTEVKGSIPKGYSANFSKGKAVSPLNAANNGNASEDDEPSFTEDWNGENSSGAKGKKGSQWQRMKWTDNVVRLLIAVVACVGDDNEGVDGLKRKSGILQKKGKWKTVSKIMMSKGCHVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGCSLPRERCSKDNDGSEEEEAEGHDDSDDDEWDGEDDSNAKVDGERMGKYERDNVSEEDAHLWTQSGGCTGFEVEMAGIFQDPTVSVWEKKEWIKKQKLQVLEQRVSIQAQAFELEKQHFKWLRYCSKKDREFERLRLENERIKLENEQSILQLRQKQLEMDFRSSKTSQDPTSFGVDRIQARDQIDLGRHQ >Manes.14G001700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1396118:1402051:1 gene:Manes.14G001700.v8.1 transcript:Manes.14G001700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYCFLVSQCGDISWDEPGLGGRFLSGPTGGLLDLESPIHRQQQTQLGHPPLTHQNHMNLIGGLDNDHQTMGLTEVKGSIPKGYSANFSKGKAVSPLNAANNGNASEDDEPSFTEDWNGENSSGAKGKKGSQWQRMKWTDNVVRLLIAVVACVGDDNEGVDGLKRKSGILQKKGKWKTVSKIMMSKGCHVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGCSLPRERCSKDNDGSEEEEAEGHDDSDDDEWDGEDDSNAKVDGERMGKYERDNVSEEDAHLWTQSGGCTGFEVEMAGIFQDPTVSVWEKKEWIKKQKLQVLEQRVSIQAQAFELEKQHFKWLRYCSKKDREFERLRLENERIKLENEQSILQLRQKQLEMDFRSSKTSQDPTSFGVDRIQARDQIDLGRHQ >Manes.14G001700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1396066:1399319:1 gene:Manes.14G001700.v8.1 transcript:Manes.14G001700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGLGGRFLSGPTGGLLDLESPIHRQQQTQLGHPPLTHQNHMNLIGGLDNDHQTMGLTEVKGSIPKGYSANFSKGKAVSPLNAANNGNASEDDEPSFTEDWNGENSSGAKGKKGSQWQRMKWTDNVVRLLIAVVACVGDDNEGVDGLKRKSGILQKKGKWKTVSKIMMSKGCHVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGCSLPRERCSKDNDGSEEEEAEGHDDSDDDEWDGEDDSNAKVDGERMGKYERDNVSEEDAHLWTQSGGCTGFEVEMAGIFQDPTVSVWEKKEWIKKQKLQVLEQRVSIQAQAFELEKQHFKWLRYCSKKDREFERLRLENERIKLENEQSILQLRQKQLEMDFRSSKTSQDPTSFGVDRIQARDQIDLGRHQ >Manes.14G001700.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1396045:1402051:1 gene:Manes.14G001700.v8.1 transcript:Manes.14G001700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGLGGRFLSGPTGGLLDLESPIHRQQQTQLGHPPLTHQNHMNLIGGLDNDHQTMGLTEVKGSIPKGYSANFSKGKAVSPLNAANNGNASEDDEPSFTEDWNGENSSGAKGKKGSQWQRMKWTDNVVRLLIAVVACVGDDNEGVDGLKRKSGILQKKGKWKTVSKIMMSKGCHVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGCSLPRERCSKDNDGSEEEEAEGHDDSDDDEWDGEDDSNAKVDGERMGKYERDNVSEEDAHLWTQSGGCTGFEVEMAGIFQDPTVSVWEKKEWIKKQKLQVLEQRVSIQAQAFELEKQHFKWLRYCSKKDREFERLRLENERIKLENEQSILQLRQKQLEMDFRSSKTSQDPTSFGVDRIQARDQIDLGRHQ >Manes.14G001700.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1396036:1402051:1 gene:Manes.14G001700.v8.1 transcript:Manes.14G001700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGLGGRFLSGPTGGLLDLESPIHRQQQTQLGHPPLTHQNHMNLIGGLDNDHQTMGLTEVKGSIPKGYSANFSKGKAVSPLNAANNGNASEDDEPSFTEDWNGENSSGAKGKKGSQWQRMKWTDNVVRLLIAVVACVGDDNEGVDGLKRKSGILQKKGKWKTVSKIMMSKGCHVSPQQCEDKFNDLNKRYKRLNDILGRGTSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEMCAYHNGQRIPNCQDLDLQGCSLPRERCSKDNDGSEEEEAEGHDDSDDDEWDGEDDSNAKVDGERMGKYERDNVSEEDAHLWTQSGGCTGFEVEMAGIFQDPTVSVWEKKEWIKKQKLQVLEQRVSIQAQAFELEKQHFKWLRYCSKKDREFERLRLENERIKLENEQSILQLRQKQLEMDFRSSKTSQDPTSFGVDRIQARDQIDLGRHQ >Manes.06G004700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:891576:904413:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRSLEQVQVELFRKQICGGSKMSIFQYAMVLSRDKDKEESWLPRSLFISGAHVFLCGEELKQFKYPLADASTSPYFSLDWCCCITDVSKLKFSYLEYAD >Manes.06G004700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:891575:904409:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKNSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRRFRLSCFGNKFVEVQK >Manes.06G004700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:897044:904459:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKER >Manes.06G004700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:891575:904409:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRSLEQVQVELFRKQICGGSKMSIFQYAMVLSRDKDKEESWLPRSLFISGAHVFLCGEELKQFKYPLADASTSPYFSLDWCCCITDVSKLYHRNFPI >Manes.06G004700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:891575:904409:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKNSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRSLEQVQVELFRKQICGGSKMSIFQYAMVLSRDKDKEESWLPRSLFISGAHVFLCGEELKQFKYPLADASTSPYFSLDWCCCITDVSKLYHRNFPI >Manes.06G004700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:890968:904415:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRRFRLSCFGNKFVEVQK >Manes.06G004700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:891575:904409:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRRFRLSCFGNKFVEVQK >Manes.06G004700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:890735:904420:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRSLEQVQVELFRKQICGGSKMSIFQYAMVLSRDKDKEESWLPRSLFISGAHVFLCGEELKQFKYPLADASTSPYFSLDWCCCITDVSKLVIESRESLILTLILEHATRKLCSSSKAHKDIATIDKENTGSSLTSKLKWFSEESLFNFLALLSAIHSGVTTSALLVTCV >Manes.06G004700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:890969:904409:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKNSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRSLEQVQVELFRKQICGGSKMSIFQYAMVLSRDKDKEESWLPRSLFISGAHVFLCGEELKQFKYPLADASTSPYFSLDWCCCITDVSKLVIESRESLILTLILEHATRKLCSSSKAHKDIATIDKENTGSSLTSKLKWFSEESLFNFLALLSAIHSGVTTSALLVTCV >Manes.06G004700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:890969:904409:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKNSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRRFRLSCFGNKFVEVQK >Manes.06G004700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:891030:903552:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRSLEQVQVELFRKQICGGSKMSIFQYAMVLSRDKDKEESWLPRSLFISGAHVFLCGEELKQFKYPLADASTSPYFSLDWCCCITDVSKLVIESRESLILTLILEHATRKLCSSSKAHKDIATIDKENTGSSLTSKLKWFSEESLFNFLALLSAIHSGVTTSALLVTCV >Manes.06G004700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:891030:904409:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIECCWIMQVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRSLEQVQVELFRKQICGGSKMSIFQYAMVLSRDKDKEESWLPRSLFISGAHVFLCGEELKQFKYPLADASTSPYFSLDWCCCITDVSKLVIESRESLILTLILEHATRKLCSSSKAHKDIATIDKENTGSSLTSKLKWFSEESLFNFLALLSAIHSGVTTSALLVTCV >Manes.06G004700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:891576:904413:-1 gene:Manes.06G004700.v8.1 transcript:Manes.06G004700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMAIVTGDRYLEKLVNFVEHHAGSLIDGSLVLKVNPAGLHYVQSRLDALHELESLLSGAPVDYLRAYISDLGDHRALEQLRRILRFLPSLKVVSVLPPPIRDPTPLCLLRFERLKVLELRGCDLSTSAARGLLELRHTLEKIICHNSTDALRHVFASRIAEIKKSPQWNRLSFVSCALNRLVLMDESLQLLPAVETLDLSRNKFEKVDNLWKCTKLKHLDLGFNHLRTVAPCIEVSCHIVKLVLRNNALTTLRGLEKLKSLEGLDVSYNIISKFSELECLASLTSLQNLWLEGNPLCCARWYRAQVFSYFSHLDTLKLDDREISRREIWKRQIIIASRQKRPSSYGFYCPAKDDAQDEGCTIRKKRKVSRLAAIERGEENTYFSSDQESPSCNNDLQSKEENGISDNEADIVDLINRVELMKRKDSILWLRDVKEWMDHESENSVDGGMHSRATLHHVKENHLKRTNDKHDCEGSRNARNSLYASGDETSTDGLESDASFGGMSLPDMGRTRQKYKKSHSHESLTIPGDHGVVETGSLSSSTVIDDRIESHFSSVYPGSPPHYQADILHHRHNLMEEILQLSTDSYSVVSSDSDTSSSEDDIYEYEHLAHEDRLKNEEYLHSNIGVLRDNQRYLLDSHDLQTSIRTESSSTGGEIAQFADEEVDYLEKTKSKRGPRRRVISLSLAGNWVNKTGTSGTPRVEMDTSVANEGDEQGKQIADKEKFQKSAVKTPAVTNIGRFSDDFVEKYFNANVADSRINETCRHYLHCNCVIEPESLYKEREVALLLSSANKLYVLLIGVAFDGSGNILSLLGWHRVEDVKEILVGLGLQVVRVCIERGATYLFLTRSIEKSQQLLYTLQAFGPCAANDKCILRRFRLSCFGNKFVEVQK >Manes.05G073300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6035831:6045977:1 gene:Manes.05G073300.v8.1 transcript:Manes.05G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLQPNQPTLWDSVLQLTKSAQEKNSDPLLWAIQLSSSLNSAGVVLPSTELAHLLVSHICFENNVPITWKFLEKALTVNIAPPMLVIALLSTRVIPNRQLHPAAYRLYLELVKRHAFAFASQISGPNYPKIMRSVDEVLHLSHIFGLQVCEPGMLLVEFVFSMVWQLLDSSLDDEGLLELTSEKKSKWLTSLQDVEIDGHETFGGKRNELHEGLRKANTTMAIQLIGEFLQKKVTSRILYLARQNMHSHWRGFVQRVRLIAAHSAALRNSEHLTAEILLQLTSDTLPLLSQECKKISQQNFHAVISSGSLTSSTGQCHGASWSVLWLPIDLFLEDAMDGSQVAAVSAVENLTCLVKALQAVNGTTWHDTFLGLWIAALRLVQREREPSEGPVPRIDTCLCMLLSITTVAVANLIEEEEGELIDESEHSPTDQMKEKQSPGKRRKGLITALQLLGDYDSLLTPPQSVTSVANQAAAKATLFISGVSSSNGYYESISMNDMPISCSGNMRHLIVEACIARNLLDTSAYFWPGFVVAHSSQIPRGVLGQIPGWSSLMKGSPLTPSMINTLVATPASSLSEIEKVYEIAVSGSNDEKIAAATILCGASLFRGWNIQEHTVLFIIKLLSPPVPLDYSGSESHLIGYAPILNILLTGIASVDCVQILSLHGLAPLLAGALMPICEVFGSSVPKVSSILSSGEEISCHAVFSNAFSLLVRLWRFHYPPLQNVMGDKTPVGSQIGPEYLLLVRNSQLASFGNSPRDRIKSRRYSKNLNIPSDPIFMDSFPKLKRWYRQHLECIASTFSGLIHGTPVHQLVDALLNMMFRRINRSVQSMPSTTSGSSNSSGPGAEEAYVRLQVPAWDILEATPFALDAALTACAHGKLSPRELATGLKDLADFLPASLATIVSFLSAEVTRGLWKPVFMNGTDWPSPAANLATVEQQIKKIISATGVDVPSLPVGGNSPATLPLPLAALVSLTITYRLDKVSERFLVLVGPALNALAAGCPWPCMPIIAALWVQKVKRWSDFLVFSASGTVFHHNGDAVVQLLKSCFTSTLGFSPPHISSNGGVGALLGHGFGSHFSGGISPVAPGILYLRVHRSIRDVMFMTEKILSILMHSVKEIANSGLPSETVEKLKRMKYGMRYGQISLAAAMMRVKLAASLGASIVWISGGLSLVQSLIQETLPSWFLSAHGSDQDGGKSGGLVAMLGGYALAYFVVLCGTFAWGVDSESSASNRRPKVLGCHLEFLASALDGKISFGCDRSTARAYVSGFLSLMVACTPKWVLEVNVDLLKRLSKGLRRWNEEELAVSLLGLGGVGAMGAAAEFIIETAL >Manes.03G044200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3871346:3874438:-1 gene:Manes.03G044200.v8.1 transcript:Manes.03G044200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFKNSSSPSKDSTRTHQKIAALFIFFTLTTLLLASFFRESFLDSREKQGLSDPAASATPTPIPHPIIRTACSRTLYPSLCFNTLSSIPISKNFITLRYILEFTMDAAEKSAARARTHVLGFFTFQDLISQEKNALNDCVEMLDQTLYELGLAMDDLHAFPASIGHLHLLYANIKTLLSAAMTNQHTCIDGFSDLDESAFENRKDLKVKLHHLFAPITRTISNCLSIITYMEAINESRIMNDHQMFVKKISPNRFPAWISSSDRILMERRRNMKPNITVASDGSGDYRLIAEAIKMAPERSKNRFVIKIKAGVYNENVKITREKINIMLIGDGMTKTIIKGSKNFVDGFSTFDSATLTVAGDKFLARDLTIINTSGPQKYQAVAARVTSNSAFYRCNFSSYQDALFAHSLRQFYRECTIQGTIDFIFGNAAAIFQNCLILVRKPIPGQSNMITAQGRRDPNQNTGFSLQNCTILAAPDLKSAKRQHISTFLGRPWGNHSRTVVMKSYLGDLIHPQGWYTWDNYSSLDTVEYIEHLNYGPGSNTRHRVTWKGYKKNCSEEKARQFTVGKFLHEADHWLESTGFPLFTGS >Manes.03G044200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3871346:3874438:-1 gene:Manes.03G044200.v8.1 transcript:Manes.03G044200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFKNSSSPSKDSTRTHQKIAALFIFFTLTTLLLASFFRESFLDSREKQGLSDPAASATPTPIPHPIIRTACSRTLYPSLCFNTLSSIPISKNFITLRYILEFTMDAAEKSAARARTHVLGFFTFQDLISQEKNALNDCVEMLDQTLYELGLAMDDLHAFPASIGHLHLLYANIKTLLSAAMTNQHTCIDGFSDLDESAFENRKDLKVKLHHLFAPITRTISNCLSIITYMEAINESRIMNDHQMFVKKISPNRFPAWISSSDRILMERRRNMKPNITVASDGSGDYRLIAEAIKMAPERSKNRFVIKIKAGVYNENVKITREKINIMLIGDGMTKTIIKGSKNFVDGFSTFDSATLTVAGDKFLARDLTIINTSGPQKYQAVAARVTSNSAFYRCNFSSYQDALFAHSLRQFYRECTIQGTIDFIFGNAAAIFQNCLILVRKPIPGQSNMITAQGRRDPNQNTGFSLQNCTILAAPDLKSAKRQHISTFLGRPWGNHSRTVVMKSYLGDLIHPQGWYTWDNYSSLDTVEYIEHLNYGPGSNTRHRVTWKGYKKNCSEEKARQFTVGKFLHEADHWLESTGFPLFTGS >Manes.03G044200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3871624:3874438:-1 gene:Manes.03G044200.v8.1 transcript:Manes.03G044200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFKNSSSPSKDSTRTHQKIAALFIFFTLTTLLLASFFRESFLDSREKQGLSDPAASATPTPIPHPIIRTACSRTLYPSLCFNTLSSIPISKNFITLRYILEFTMDAAEKSAARARTHVLGFFTFQDLISQEKNALNDCVEMLDQTLYELGLAMDDLHAFPASIGHLHLLYANIKTLLSAAMTNQHTCIDGFSDLDESAFENRKDLKVKLHHLFAPITRTISNCLSIITYMEAINESRIMNDHQMFVKKISPNRFPAWISSSDRILMERRRNMKPNITVASDGSGDYRLIAEAIKMAPERSKNRFVIKIKAGVYNENVKITREKINIMLIGDGMTKTIIKGSKNFVDGFSTFDSATLTVAGDKFLARDLTIINTSGPQKYQAVAARVTSNSAFYRCNFSSYQDALFAHSLRQFYRECTIQGTIDFIFGNAAAIFQNCLILVRKPIPGQSNMITAQGRRDPNQNTGFSLQNCTILAAPDLKSAKRQHISTFLGRPWGNHSRTVVMKSYLGDLIHPQGWYTWDNYSSLDTVEYIEHLNYGPGSNTRHRVTWKGYKKNCSEEKARQFTVGKFLHEADHWLESTGFPLFTGS >Manes.05G194201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31783701:31785717:-1 gene:Manes.05G194201.v8.1 transcript:Manes.05G194201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIGTSSASKDNMGFNNGVEIEELMKKKIKNLKATGNEVEEEEEEDEEEEEEQEQESDSKLIKSVKQLDIGPQVSFKEHLEKDKDDDSLRRWKEQLLGRIDLASVGESKEAEVKKINLRISSPGREDVVLPISSITKSKRTLFTLKEGSHYRLFLSFTVSNNIVSGLKYITTVWKTGVRVDHRKVMLGTFGPRQEPYTYELEEETTPSGIFARGSYFAASKMVDDDGKCYLEVSYYFEIQKKWPSPP >Manes.05G194201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31783700:31785717:-1 gene:Manes.05G194201.v8.1 transcript:Manes.05G194201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIGTSSASKDNMGFNNGVEIEELMKKKIKNLKATGNEVEEEEEEDEEEEEEQEQESDSKLIKSVKQLDIGPQVSFKEHLEKDKDDDSLRRWKEQLLGRIDLASVGESKEAEVKKINLRISSPGREDVVLPISSITKSKRTLFTLKEGSHYRLFLSFTVSNNIVSGLKYITTVWKTGVRVDHRKVMLGTFGPRQEPYTYELEEETTPSGIFARGSYFAASKMVDDDGKCYLEVSYYFEIQKKWPSPP >Manes.05G194201.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31783716:31785717:-1 gene:Manes.05G194201.v8.1 transcript:Manes.05G194201.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAIGTSSASKDNMGFNNGVEIEELMKKKIKNLKATGNEVEEEEEEDEEEEEEQEQESDSKLIKSVKQLDIGPQVSFKEHLEKDKDDDSLRRWKEQLLGRIDLASVGESKEAEVKKINLRISSPGREDVVLPISSITKSKRTLFTLKEGSHYRLFLSFTVSNNIVSGLKYITTVWKTGVRVDHRKVMLGTFGPRQEPYTYELEEETTPSGIFARGSYFAASKMVDDDGKCYLEVSYYFEIQKKWPSPP >Manes.12G010700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1075200:1078852:1 gene:Manes.12G010700.v8.1 transcript:Manes.12G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGQYLTEKSWLLCVAIAGLLIGGLILSSFMRSGDTTFLCSLASSKARATAEYAATPIQLQAIVHYATSRIVPQQSLAEISVTFDVLKALAPCNFLVFGLGHDSLMWTSLNPRGTTIFLEEDPKWVQTVLKDAPTLQAHTVQYRTQLQEAHQLLSTYRSEPYCSPTKAYLRGNYNCKLALTGLPDAVYDKEWDLIMIDAPRGYFAEAPGRMAAIFSAAVMARARKGSGVTHVFLHDIDRKVEKVYAEEFLCRKHLVKAVARLWHFEIPPAANVTQGGGATGGEWFC >Manes.01G156800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34295504:34301252:1 gene:Manes.01G156800.v8.1 transcript:Manes.01G156800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKLLFWLYLCVLCGRGLLVMAASSDSTQRKLDQTPTWAVASVCAVMIIISILVEKVLHKLGTWLTERHKRALFEALEKVKSELMILGFISLLLTFGQTYIIKICISQKVADTMLPCRSDGKNDQTEERRRRLLGYERRFLAGAETTSKCKTGYEPLITVDGLHQLHILIFFLAVFHVLYSFTTMMLGRLKIRGWKEWEQETSSHDYEFSNDPSRFRLTHETSFVRAHTSFWTRIPFFFYIGCFFRQFYRSVSKADYLTLRNGFITVHFAPGSKFNFRKYIKRSLEDDFKVVVGVSPVLWASFVIFLLLNVKGWQALFWASTIPVIIILAVGTELQSVLTKMALEIAERHAVVQGMPLVQGSDKYFWFGRPHLVLYLIHFALFQNAFQITYFLWIWYSFGLKSCFHANFKLAIVKVSIGAGVLVLCSYITLPLYALVTQMGSHMKKSVFDEQTSKALKKWHMAVKKRHKKGGKSPTRTLGGNASPSTINSSVHTLHRFKTTGHSTRSSYAYEDQEMSDMEAETLSPTSSTANLIVRTSQDDEASELSEPHHNEETSNEDDFSFAKPAVTKQT >Manes.01G156800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34295504:34301255:1 gene:Manes.01G156800.v8.1 transcript:Manes.01G156800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKLLFWLYLCVLCGRGLLVMAASSDSTQRKLDQTPTWAVASVCAVMIIISILVEKVLHKLGTWLTERHKRALFEALEKVKSELMILGFISLLLTFGQTYIIKICISQKVADTMLPCRSDGKNDQTEERRRRLLGYERRFLAGAETTSKCKTGYEPLITVDGLHQLHILIFFLAVFHVLYSFTTMMLGRLKIRGWKEWEQETSSHDYEFSNDPSRFRLTHETSFVRAHTSFWTRIPFFFYIGCFFRQFYRSVSKADYLTLRNGFITVHFAPGSKFNFRKYIKRSLEDDFKVVVGVSPVLWASFVIFLLLNVKGWQALFWASTIPVIIILAVGTELQSVLTKMALEIAERHAVVQGMPLVQGSDKYFWFGRPHLVLYLIHFALFQVLYGLLFLRYLIYLCLYNGVVIELYI >Manes.01G156800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34295504:34301252:1 gene:Manes.01G156800.v8.1 transcript:Manes.01G156800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKLLFWLYLCVLCGRGLLVMAASSDSTQRKLDQTPTWAVASVCAVMIIISILVEKVLHKLGTWLTERHKRALFEALEKVKSELMILGFISLLLTFGQTYIIKICISQKVADTMLPCRSDGKNDQTEERRRRLLGYERRFLAGAETTSKCKTGYEPLITVDGLHQLHILIFFLAVFHVLYSFTTMMLGRLKIRGWKEWEQETSSHDYEFSNDPSRFRLTHETSFVRAHTSFWTRIPFFFYIGCFFRQFYRSVSKADYLTLRNGFITVHFAPGSKFNFRKYIKRSLEDDFKVVVGVSPVLWASFVIFLLLNVKGWQALFWASTIPVIIILAVGTELQSVLTKMALEIAERHAVVQGMPLVQGSDKYFWFGRPHLVLYLIHFALFQYSFGLKSCFHANFKLAIVKVSIGAGVLVLCSYITLPLYALVTQMGSHMKKSVFDEQTSKALKKWHMAVKKRHKKGGKSPTRTLGGNASPSTINSSVHTLHRFKTTGHSTRSSYAYEDQEMSDMEAETLSPTSSTANLIVRTSQDDEASELSEPHHNEETSNEDDFSFAKPAVTKQT >Manes.01G156800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34295504:34301255:1 gene:Manes.01G156800.v8.1 transcript:Manes.01G156800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKLLFWLYLCVLCGRGLLVMAASSDSTQRKLDQTPTWAVASVCAVMIIISILVEKVLHKLGTWLTERHKRALFEALEKVKSELMILGFISLLLTFGQTYIIKICISQKVADTMLPCRSDGKNDQTEERRRRLLGYERRFLAGAETTSKCKTGYEPLITVDGLHQLHILIFFLAVFHVLYSFTTMMLGRLKIRGWKEWEQETSSHDYEFSNDPSRFRLTHETSFVRAHTSFWTRIPFFFYIGCFFRQFYRSVSKADYLTLRNGFITVHFAPGSKFNFRKYIKRSLEDDFKVVVGVSPVLWASFVIFLLLNVKGWQALFWASTIPVIIILAVGTELQSVLTKMALEIAERHAVVQGMPLVQGSDKYFWFGRPHLVLYLIHFALFQVLYGLLFLRYLIYLCLYNGVVIELYI >Manes.06G147800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27377210:27378065:-1 gene:Manes.06G147800.v8.1 transcript:Manes.06G147800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDDCKLKFLELKAKRNYRFIVFKIEAQQVVVDKLGGPEETYADFTSSLPTDECRYAVYDFDFTTDENCQKSKIFFIAWSPDTSKVRMKMVYASSKDRFKRELDGIQFELQATDPSEMSLDIVKGRAI >Manes.06G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27377210:27378504:-1 gene:Manes.06G147800.v8.1 transcript:Manes.06G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVHDDCKLKFLELKAKRNYRFIVFKIEAQQVVVDKLGGPEETYADFTSSLPTDECRYAVYDFDFTTDENCQKSKIFFIAWSPDTSKVRMKMVYASSKDRFKRELDGIQFELQATDPSEMSLDIVKGRAI >Manes.17G002000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1879628:1894027:-1 gene:Manes.17G002000.v8.1 transcript:Manes.17G002000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWLNPGAAHFGTQNPCGHYTALETAFLRRREAKMEERIKYLALVGAGALLGAGSTLLLLKQLPRTFAGKSSKKPMENGKELVPEMSSRSCAVAGDGNSGTSCPDLLTDEIVSEQLTRNIQFFGLESQRKVTASYVVIIGLGGVGSHAASMLLRSGIGRLLLVDFDQVSLSSLNRHAVATRADVGIPKAECLKKHFSLIFPECHIEAKVLLYDSSSEEEILSGNPDFVLDCIDNIDTKVALLAACVHRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRHRLRKDYGIDGGIPVVFSLEKPKAKLLPFKGPSGEEDNPSDYQIVPGFRVRIIPVLGTVPAIFGQVMASYVVTQLAGLQVQMEPVVNFDLDHYRMLHQRLVEHEESLYGTAMEVQVDIEEVKYIAKELWHGRSARDQSAKDVGRGMWRSVNELMLVRWDMTKPASVSNLILLKFKEADEHESRTLDDIKENEPEFFNQVTAVLRQAELDFNL >Manes.03G064200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7612735:7668809:1 gene:Manes.03G064200.v8.1 transcript:Manes.03G064200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDPFLTFVIFTLIVVWTRLNIDLLSRVLSRRKGYEVSWHVIQEKLLCIYGEALSSNCRQIVEIIQVIQDDLLSQEIETSRALDNNQIPPPLERFQSYLTEMKVDLDLNDPVSSLNVVVSFCMRDMYHYARVSGLHVLECVMDVALSFVKREQLQEASNVLMLFPRLRPLVALMGWDLLSGKTAARRKLLQLLWTCSKSQVFRLEESSLYSSQVDEISCVEHLCNSLCYQLDLASFVACVNSGRSWNSKSSLLLSGNQQIVSGNEDNQSAPFVENFVLERLSVQSPLRVLFDVVPVIKFQDAIELISMQPIASTAAAWKRMQDIELMHMRYALESIVIALGVMERSMTDERGSHYQAALCHLKDLRNHLEAITNIPRKILMVNVIISLLHMDGISLNLMHCASLGSNSESPSTCQWEHTNVTTCEGGNELVISFTGLLLDILRRNLPLGVIDEHALNDTMNTGGRQALEWRISIAKHFIEDWQWRLSILQRLLPLSDRQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDGAFRRASESRLVEDAVSRAADGTSSGQDLDFSSLRSQLGPLAAILLCIDVAATCARSTNVSQQLLDQAQVMLSEIYPGGSPKTGSTYWDQIHEVGVISVSRRVLKRLHELLEQDENPGLQAILSGEIIISTSKELTHQGQKDRALAMLHQMIEDAHKGKRQFLSGKIHNLARAIADEEAELNFIKGDHQHVERKPLADLDKDGVLGLGLKAVKHMTISSGGGESSTQPVGYDLKDAGSGKRFFGSLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVFEWPKDLLTRLVFDRGSTDAASKVAEIMCADFVHEVISACVPPVYPPRSGRGWACIPVIPAYPKSCSDNKLLSASFKEAKPNCYSCPSVTPGVPLYPLQLDVVKHLVKLSPVRAVLACVFGSGILNSSSDSAISSSLDDGLLPAPDSDRLFYEFALDQSERFPTLNRWIQMQTNRHRVSEVAVTSKHKTNKDDVKNDTRTAIKRLREHDNSDTELEIDDIVSGSNLSAALPDLSIEGGAAPGPQQDSSKSDYVESDTTVYLSLDWENEEPYEKAVERLIGEGKLMDALALSDRFLRDGASDLLLQLLIERGEENLPMSGQPQSYGGQSIWSNSWQYCLRLKNKQLAARLALKYMHRWELDAALDVLTMCSCHLPENDAVRNEVLHTRQALQRYSHILSADDHYSSWQEVEAECKVDPEGLALRLAGKGAVSAALEVAESSGLSIALRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVVRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRDNSVIVSYATKAIAVSISSPPREPRISVSGTRPKPKTKTGVPARSSFSSSLSNLHKEARRAFSWTPRNTGDKNTPKDVYRKRKSSGLPPSERVAWEAMAGIQEDRVASYSADGQERLPSVSIAEEWMLTGDASKDEAVRAAHRYESAPDIILFKALLSLSSDELVSAKSALDLCMNQMKNVLNSHQLPANASMETIGRAYHATETFVQGLFYSKSLLRKLVGGNDLSSNSERSKDADDASSDAGSSSMGSQSTDEVSEILSLADTWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLILDEQYSMAVYTCRKCKIDVFPVWNAWGHALNRMEHYAQARVKFKQALQFYKGDPAPVILEIINTIEGGPPVDVSDVRSMYEHLARSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRFQESISNNSAYNTDFEDGPRSNLDSIRYLECVNYLQEYARQHLLGFMFRHGRYTDACILFFPPNAISPPPQPSAMGAVASSSSPQRPDPLATDYGTIDDLCDLCIGYGAMSVLEEVISTRMASAKQEDVAVIQHVTTALARICSYCETHKHFNYLYKFQVIKKDFVAAGLCCIQLFMNSSSQEEAVQHLEHAKMHFDEGLSARYKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQLEVVKSSNNPDGPQWKLSLFGNPNDPETFRRRCEIAEKLVEKNFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Manes.03G064200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7612735:7668809:1 gene:Manes.03G064200.v8.1 transcript:Manes.03G064200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETELLSRLAANHLHLSQFEPLRATLLALRSKNPDLALAILQTVVTNSGRFENILWSPSCPSPSLLTYLATIELLQYSNSTSQTWSFDPGTLRLRAEFLLLVQVISDMVTENMRKNVDLESIEREKENDDDFSEKERIGGREELLGRTRSEDLKDVGGELGGCLQVLDKVLDLGVKRLKPDVGPDANGAVKEEQGSERRAESAVCSVEEGEIMCLKRVVLDHSDVFEALCWNIEQQLKVDEVENSGLAITVRTDEKASTDFLEGEEEVKVLSLIQRSVQLAHLDAMKECMEAGDEQGSFSHIRYLHLDRGVDQAEYRTVLQDLLSRVLSRRKGYEVSWHVIQEKLLCIYGEALSSNCRQIVEIIQVIQDDLLSQEIETSRALDNNQIPPPLERFQSYLTEMKVDLDLNDPVSSLNVVVSFCMRDMYHYARVSGLHVLECVMDVALSFVKREQLQEASNVLMLFPRLRPLVALMGWDLLSGKTAARRKLLQLLWTCSKSQVFRLEESSLYSSQVDEISCVEHLCNSLCYQLDLASFVACVNSGRSWNSKSSLLLSGNQQIVSGNEDNQSAPFVENFVLERLSVQSPLRVLFDVVPVIKFQDAIELISMQPIASTAAAWKRMQDIELMHMRYALESIVIALGVMERSMTDERGSHYQAALCHLKDLRNHLEAITNIPRKILMVNVIISLLHMDGISLNLMHCASLGSNSESPSTCQWEHTNVTTCEGGNELVISFTGLLLDILRRNLPLGVIDEHALNDTMNTGGRQALEWRISIAKHFIEDWQWRLSILQRLLPLSDRQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDGAFRRASESRLVEDAVSRAADGTSSGQDLDFSSLRSQLGPLAAILLCIDVAATCARSTNVSQQLLDQAQVMLSEIYPGGSPKTGSTYWDQIHEVGVISVSRRVLKRLHELLEQDENPGLQAILSGEIIISTSKELTHQGQKDRALAMLHQMIEDAHKGKRQFLSGKIHNLARAIADEEAELNFIKGDHQHVERKPLADLDKDGVLGLGLKAVKHMTISSGGGESSTQPVGYDLKDAGSGKRFFGSLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVFEWPKDLLTRLVFDRGSTDAASKVAEIMCADFVHEVISACVPPVYPPRSGRGWACIPVIPAYPKSCSDNKLLSASFKEAKPNCYSCPSVTPGVPLYPLQLDVVKHLVKLSPVRAVLACVFGSGILNSSSDSAISSSLDDGLLPAPDSDRLFYEFALDQSERFPTLNRWIQMQTNRHRVSEVAVTSKHKTNKDDVKNDTRTAIKRLREHDNSDTELEIDDIVSGSNLSAALPDLSIEGGAAPGPQQDSSKSDYVESDTTVYLSLDWENEEPYEKAVERLIGEGKLMDALALSDRFLRDGASDLLLQLLIERGEENLPMSGQPQSYGGQSIWSNSWQYCLRLKNKQLAARLALKYMHRWELDAALDVLTMCSCHLPENDAVRNEVLHTRQALQRYSHILSADDHYSSWQEVEAECKVDPEGLALRLAGKGAVSAALEVAESSGLSIALRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVVRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRDNSVIVSYATKAIAVSISSPPREPRISVSGTRPKPKTKTGVPARSSFSSSLSNLHKEARRAFSWTPRNTGDKNTPKDVYRKRKSSGLPPSERVAWEAMAGIQEDRVASYSADGQERLPSVSIAEEWMLTGDASKDEAVRAAHRYESAPDIILFKALLSLSSDELVSAKSALDLCMNQMKNVLNSHQLPANASMETIGRAYHATETFVQGLFYSKSLLRKLVGGNDLSSNSERSKDADDASSDAGSSSMGSQSTDEVSEILSLADTWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLILDEQYSMAVYTCRKCKIDVFPVWNAWGHALNRMEHYAQARVKFKQALQFYKGDPAPVILEIINTIEGGPPVDVSDVRSMYEHLARSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRFQESISNNSAYNTDFEDGPRSNLDSIRYLECVNYLQEYARQHLLGFMFRHGRYTDACILFFPPNAISPPPQPSAMGAVASSSSPQRPDPLATDYGTIDDLCDLCIGYGAMSVLEEVISTRMASAKQEDVAVIQHVTTALARICSYCETHKHFNYLYKFQVIKKDFVAAGLCCIQLFMNSSSQEEAVQHLEHAKMHFDEGLSARYKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQLEVVKSSNNPDGPQWKLSLFGNPNDPETFRRRCEIAEKLVEKNFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Manes.03G064200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7631625:7668810:1 gene:Manes.03G064200.v8.1 transcript:Manes.03G064200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQMIEDAHKGKRQFLSGKIHNLARAIADEEAELNFIKGDHQHVERKPLADLDKDGVLGLGLKAVKHMTISSGGGESSTQPVGYDLKDAGSGKRFFGSLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVFEWPKDLLTRLVFDRGSTDAASKVAEIMCADFVHEVISACVPPVYPPRSGRGWACIPVIPAYPKSCSDNKLLSASFKEAKPNCYSCPSVTPGVPLYPLQLDVVKHLVKLSPVRAVLACVFGSGILNSSSDSAISSSLDDGLLPAPDSDRLFYEFALDQSERFPTLNRWIQMQTNRHRVSEVAVTSKHKTNKDDVKNDTRTAIKRLREHDNSDTELEIDDIVSGSNLSAALPDLSIEGGAAPGPQQDSSKSDYVESDTTVYLSLDWENEEPYEKAVERLIGEGKLMDALALSDRFLRDGASDLLLQLLIERGEENLPMSGQPQSYGGQSIWSNSWQYCLRLKNKQLAARLALKYMHRWELDAALDVLTMCSCHLPENDAVRNEVLHTRQALQRYSHILSADDHYSSWQEVEAECKVDPEGLALRLAGKGAVSAALEVAESSGLSIALRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVVRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRDNSVIVSYATKAIAVSISSPPREPRISVSGTRPKPKTKTGVPARSSFSSSLSNLHKEARRAFSWTPRNTGDKNTPKDVYRKRKSSGLPPSERVAWEAMAGIQEDRVASYSADGQERLPSVSIAEEWMLTGDASKDEAVRAAHRYESAPDIILFKALLSLSSDELVSAKSALDLCMNQMKNVLNSHQLPANASMETIGRAYHATETFVQGLFYSKSLLRKLVGGNDLSSNSERSKDADDASSDAGSSSMGSQSTDEVSEILSLADTWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLILDEQYSMAVYTCRKCKIDVFPVWNAWGHALNRMEHYAQARVKFKQALQFYKGDPAPVILEIINTIEGGPPVDVSDVRSMYEHLARSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRFQESISNNSAYNTDFEDGPRSNLDSIRYLECVNYLQEYARQHLLGFMFRHGRYTDACILFFPPNAISPPPQPSAMGAVASSSSPQRPDPLATDYGTIDDLCDLCIGYGAMSVLEEVISTRMASAKQEDVAVIQHVTTALARICSYCETHKHFNYLYKFQVIKKDFVAAGLCCIQLFMNSSSQEEAVQHLEHAKMHFDEGLSARYKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQLEVVKSSNNPDGPQWKLSLFGNPNDPETFRRRCEIAEKLVEKNFDLAFQVIYEFNLPAVDIYAGVAASLAERKKGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Manes.03G064200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7612735:7668810:1 gene:Manes.03G064200.v8.1 transcript:Manes.03G064200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETELLSRLAANHLHLSQFEPLRATLLALRSKNPDLALAILQTVVTNSGRFENILWSPSCPSPSLLTYLATIELLQYSNSTSQTWSFDPGTLRLRAEFLLLVQVISDMVTENMRKNVDLESIEREKENDDDFSEKERIGGREELLGRTRSEDLKDVGGELGGCLQVLDKVLDLGVKRLKPDVGPDANGAVKEEQGSERRAESAVCSVEEGEIMCLKRVVLDHSDVFEALCWNIEQQLKVDEVENSGLAITVRTDEKASTDFLEGEEEVKVLSLIQRSVQLAHLDAMKECMEAGDEQGSFSHIRYLHLDRGVDQAEYRTVLQDLLSRVLSRRKGYEVSWHVIQEKLLCIYGEALSSNCRQIVEIIQVIQDDLLSQEIETSRALDNNQIPPPLERFQSYLTEMKVDLDLNDPVSSLNVVVSFCMRDMYHYARVSGLHVLECVMDVALSFVKREQLQEASNVLMLFPRLRPLVALMGWDLLSGKTAARRKLLQLLWTCSKSQVFRLEESSLYSSQVDEISCVEHLCNSLCYQLDLASFVACVNSGRSWNSKSSLLLSGNQQIVSGNEDNQSAPFVENFVLERLSVQSPLRVLFDVVPVIKFQDAIELISMQPIASTAAAWKRMQDIELMHMRYALESIVIALGVMERSMTDERGSHYQAALCHLKDLRNHLEAITNIPRKILMVNVIISLLHMDGISLNLMHCASLGSNSESPSTCQWEHTNVTTCEGGNELVISFTGLLLDILRRNLPLGVIDEHALNDTMNTGGRQALEWRISIAKHFIEDWQWRLSILQRLLPLSDRQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDGAFRRASESRLVEDAVSRAADGTSSGQDLDFSSLRSQLGPLAAILLCIDVAATCARSTNVSQQLLDQAQVMLSEIYPGGSPKTGSTYWDQIHEVGVISVSRRVLKRLHELLEQDENPGLQAILSGEIIISTSKELTHQGQKDRALAMLHQMIEDAHKGKRQFLSGKIHNLARAIADEEAELNFIKGDHQHVERKPLADLDKDGVLGLGLKAVKHMTISSGGGESSTQPVGYDLKDAGSGKRFFGSLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVFEWPKDLLTRLVFDRGSTDAASKVAEIMCADFVHEVISACVPPVYPPRSGRGWACIPVIPAYPKSCSDNKLLSASFKEAKPNCYSCPSVTPGVPLYPLQLDVVKHLVKLSPVRAVLACVFGSGILNSSSDSAISSSLDDGLLPAPDSDRLFYEFALDQSERFPTLNRWIQMQTNRHRVSEVAVTSKHKTNKDDVKNDTRTAIKRLREHDNSDTELEIDDIVSGSNLSAALPDLSIEGGAAPGPQQDSSKSDYVESDTTVYLSLDWENEEPYEKAVERLIGEGKLMDALALSDRFLRDGASDLLLQLLIERGEENLPMSGQPQSYGGQSIWSNSWQYCLRLKNKQLAARLALKYMHRWELDAALDVLTMCSCHLPENDAVRNEVLHTRQALQRYSHILSADDHYSSWQEVEAECKVDPEGLALRLAGKGAVSAALEVAESSGLSIALRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVVRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRDNSVIVSYATKAIAVSISSPPREPRISVSGTRPKPKTKTGVPARSSFSSSLSNLHKEARRAFSWTPRNTGDKNTPKDVYRKRKSSGLPPSERVAWEAMAGIQEDRVASYSADGQERLPSVSIAEEWMLTGDASKDEAVRAAHRYESAPDIILFKALLSLSSDELVSAKSALDLCMNQMKNVLNSHQLPANASMETIGRAYHATETFVQGLFYSKSLLRKLVGGNDLSSNSERSKDADDASSDAGSSSMGSQSTDEVSEILSLADTWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLILDEQYSMAVYTCRKCKIDVFPVWNAWGHALNRMEHYAQARVKFKQALQFYKGDPAPVILEIINTIEGGPPVDVSDVRSMYEHLARSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRFQESISNNSAYNTDFEDGPRSNLDSIRYLECVNYLQEYARQHLLGFMFRHGRYTDACILFFPPNAISPPPQPSAMGAVASSSSPQRPDPLATDYGTIDDLCDLCIGYGAMSVLEEVISTRMASAKQEDVAVIQHVTTALARICSYCETHKHFNYLYKFQVIKKDFVAAGLCCIQLFMNSSSQEEAVQHLEHAKMHFDEGLSARYKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQLEVVKSSNNPDGPQWKLSLFGNPNDPETFRSVLPVYQCRDN >Manes.03G064200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7612735:7668810:1 gene:Manes.03G064200.v8.1 transcript:Manes.03G064200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDPFLTFVIFTLIVVWTRLNIDLLSRVLSRRKGYEVSWHVIQEKLLCIYGEALSSNCRQIVEIIQVIQDDLLSQEIETSRALDNNQIPPPLERFQSYLTEMKVDLDLNDPVSSLNVVVSFCMRDMYHYARVSGLHVLECVMDVALSFVKREQLQEASNVLMLFPRLRPLVALMGWDLLSGKTAARRKLLQLLWTCSKSQVFRLEESSLYSSQVDEISCVEHLCNSLCYQLDLASFVACVNSGRSWNSKSSLLLSGNQQIVSGNEDNQSAPFVENFVLERLSVQSPLRVLFDVVPVIKFQDAIELISMQPIASTAAAWKRMQDIELMHMRYALESIVIALGVMERSMTDERGSHYQAALCHLKDLRNHLEAITNIPRKILMVNVIISLLHMDGISLNLMHCASLGSNSESPSTCQWEHTNVTTCEGGNELVISFTGLLLDILRRNLPLGVIDEHALNDTMNTGGRQALEWRISIAKHFIEDWQWRLSILQRLLPLSDRQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDGAFRRASESRLVEDAVSRAADGTSSGQDLDFSSLRSQLGPLAAILLCIDVAATCARSTNVSQQLLDQAQVMLSEIYPGGSPKTGSTYWDQIHEVGVISVSRRVLKRLHELLEQDENPGLQAILSGEIIISTSKELTHQGQKDRALAMLHQMIEDAHKGKRQFLSGKIHNLARAIADEEAELNFIKGDHQHVERKPLADLDKDGVLGLGLKAVKHMTISSGGGESSTQPVGYDLKDAGSGKRFFGSLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVFEWPKDLLTRLVFDRGSTDAASKVAEIMCADFVHEVISACVPPVYPPRSGRGWACIPVIPAYPKSCSDNKLLSASFKEAKPNCYSCPSVTPGVPLYPLQLDVVKHLVKLSPVRAVLACVFGSGILNSSSDSAISSSLDDGLLPAPDSDRLFYEFALDQSERFPTLNRWIQMQTNRHRVSEVAVTSKHKTNKDDVKNDTRTAIKRLREHDNSDTELEIDDIVSGSNLSAALPDLSIEGGAAPGPQQDSSKSDYVESDTTVYLSLDWENEEPYEKAVERLIGEGKLMDALALSDRFLRDGASDLLLQLLIERGEENLPMSGQPQSYGGQSIWSNSWQYCLRLKNKQLAARLALKYMHRWELDAALDVLTMCSCHLPENDAVRNEVLHTRQALQRYSHILSADDHYSSWQEVEAECKVDPEGLALRLAGKGAVSAALEVAESSGLSIALRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVVRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRDNSVIVSYATKAIAVSISSPPREPRISVSGTRPKPKTKTGVPARSSFSSSLSNLHKEARRAFSWTPRNTGDKNTPKDVYRKRKSSGLPPSERVAWEAMAGIQEDRVASYSADGQERLPSVSIAEEWMLTGDASKDEAVRAAHRYESAPDIILFKALLSLSSDELVSAKSALDLCMNQMKNVLNSHQLPANASMETIGRAYHATETFVQGLFYSKSLLRKLVGGNDLSSNSERSKDADDASSDAGSSSMGSQSTDEVSEILSLADTWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLILDEQYSMAVYTCRKCKIDVFPVWNAWGHALNRMEHYAQARVKFKQALQFYKGDPAPVILEIINTIEGGPPVDVSDVRSMYEHLARSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRFQESISNNSAYNTDFEDGPRSNLDSIRYLECVNYLQEYARQHLLGFMFRHGRYTDACILFFPPNAISPPPQPSAMGAVASSSSPQRPDPLATDYGTIDDLCDLCIGYGAMSVLEEVISTRMASAKQEDVAVIQHVTTALARICSYCETHKHFNYLYKFQVIKKDFVAAGLCCIQLFMNSSSQEEAVQHLEHAKMHFDEGLSARYKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQLEVVKSSNNPDGPQWKLSLFGNPNDPETFRSVLPVYQCRDN >Manes.03G064200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7612735:7668810:1 gene:Manes.03G064200.v8.1 transcript:Manes.03G064200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDPFLTFVIFTLIVVWTRLNIDLLSRVLSRRKGYEVSWHVIQEKLLCIYGEALSSNCRQIVEIIQVIQDDLLSQEIETSRALDNNQIPPPLERFQSYLTEMKVDLDLNDPVSSLNVVVSFCMRDMYHYARVSGLHVLECVMDVALSFVKREQLQEASNVLMLFPRLRPLVALMGWDLLSGKTAARRKLLQLLWTCSKSQVFRLEESSLYSSQVDEISCVEHLCNSLCYQLDLASFVACVNSGRSWNSKSSLLLSGNQQIVSGNEDNQSAPFVENFVLERLSVQSPLRVLFDVVPVIKFQDAIELISMQPIASTAAAWKRMQDIELMHMRYALESIVIALGVMERSMTDERGSHYQAALCHLKDLRNHLEAITNIPRKILMVNVIISLLHMDGISLNLMHCASLGSNSESPSTCQWEHTNVTTCEGGNELVISFTGLLLDILRRNLPLGVIDEHALNDTMNTGGRQALEWRISIAKHFIEDWQWRLSILQRLLPLSDRQWRWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDGAFRRASESRLVEDAVSRAADGTSSGQDLDFSSLRSQLGPLAAILLCIDVAATCARSTNVSQQLLDQAQVMLSEIYPGGSPKTGSTYWDQIHEVGVISVSRRVLKRLHELLEQDENPGLQAILSGEIIISTSKELTHQGQKDRALAMLHQMIEDAHKGKRQFLSGKIHNLARAIADEEAELNFIKGDHQHVERKPLADLDKDGVLGLGLKAVKHMTISSGGGESSTQPVGYDLKDAGSGKRFFGSLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVFEWPKDLLTRLVFDRGSTDAASKVAEIMCADFVHEVISACVPPVYPPRSGRGWACIPVIPAYPKSCSDNKLLSASFKEAKPNCYSCPSVTPGVPLYPLQLDVVKHLVKLSPVRAVLACVFGSGILNSSSDSAISSSLDDGLLPAPDSDRLFYEFALDQSERFPTLNRWIQMQTNRHRVSEVAVTSKHKTNKDDVKNDTRTAIKRLREHDNSDTELEIDDIVSGSNLSAALPDLSIEGGAAPGPQQDSSKSDYVESDTTVYLSLDWENEEPYEKAVERLIGEGKLMDALALSDRFLRDGASDLLLQLLIERGEENLPMSGQPQSYGGQSIWSNSWQYCLRLKNKQLAARLALKYMHRWELDAALDVLTMCSCHLPENDAVRNEVLHTRQALQRYSHILSADDHYSSWQEVEAECKVDPEGLALRLAGKGAVSAALEVAESSGLSIALRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVVRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSAALILKEFPSLRDNSVIVSYATKAIAVSISSPPREPRISVSGTRPKPKTKTGVPARSSFSSSLSNLHKEARRAFSWTPRNTGDKNTPKDVYRKRKSSGLPPSERVAWEAMAGIQEDRVASYSADGQERLPSVSIAEEWMLTGDASKDEAVRAAHRYESAPDIILFKALLSLSSDELVSAKSALDLCMNQMKNVLNSHQLPANASMETIGRAYHATETFVQGLFYSKSLLRKLVGGNDLSSNSERSKDADDASSDAGSSSMGSQSTDEVSEILSLADTWLGRAELLQSLLGSGIAASLDDIADKESSAHLRDRLILDEQYSMAVYTCRKCKIDVFPVWNAWGHALNRMEHYAQARVKFKQALQFYKGDPAPVILEIINTIEGGPPVDVSDVRSMYEHLARSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRFQESISNNSAYNTDFEDGPRSNLDSIRYLECVNYLQEYARQHLLGFMFRHGRYTDACILFFPPNAISPPPQPSAMGAVASSSSPQRPDPLATDYGTIDDLCDLCIGYGAMSVLEEVISTRMASAKQEDVAVIQHVTTALARICSYCETHKHFNYLYKFQVIKKDFVAAGLCCIQLFMNSSSQEEAVQHLEHAKMHFDEGLSARYKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQLEVVKSSNNPDGPQWKLSLFGNPNDPETFRSVLPVYQCRDN >Manes.06G161200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28863515:28871357:-1 gene:Manes.06G161200.v8.1 transcript:Manes.06G161200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYAKLYNKYDALKKKRISELDEINKDQELKFLNYVTAAEELIQHLKVENDKLQEQVSELRNQVASIRSTKDNECAQYQKLLMEENQKSEMLSKEVERLQKLQEQLFSSSKNYANENMQHNMLETPQVTPGEVISDSIRTRRKRSREDGTQMEGVTAPGHLDDLLLRESAQDPSRETLSIVDLENEQQPECCKRTVCRSANGVMNDGSYATCRFQDLIECLLGMKFSSVNKTEGICISAQHQSSGYAFNLTWIKKGGGEEPELLYQVSTLGTFERVAPEWMRSVLMFSTSMCPIFFERVARVIKMHH >Manes.06G161200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28863722:28866521:-1 gene:Manes.06G161200.v8.1 transcript:Manes.06G161200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYAKLYNKYDALKKKRISELDEINKDQELKFLNYVTAAEELIQHLKVENDKLQEQVSELRNQVASIRSTKDNECAQYQKLLMEENQKSEMLSKEVERLQKLQEQLFSSSKNYANENMQHNMLETPQVTPGEVISDSIRTRRKRSREDGTQMEGVTAPGHLDDLLLRESAQDPSRETLSIVDLENEQQPECCKRTVCRSANGVMNDGSYATCRFQDLIECLLGMKFSSVNKTEGICISAQHQSSGYAFNLTWIKKGGGEEPELLYQVSTLGTFERVAPEWMRSVLMFSTSMCPIFFERVARVIKMHH >Manes.06G161200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28863448:28870251:-1 gene:Manes.06G161200.v8.1 transcript:Manes.06G161200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYAKLYNKYDALKKKRISELDEINKDQELKFLNYVTAAEELIQHLKVENDKLQEQVSELRNQVASIRSTKDNECAQYQKLLMEENQKSEMLSKEVERLQKLQEQLFSSSKNYANENMQHNMLETPQVTPGEVISDSIRTRRKRSREDGTQMEGVTAPGHLDDLLLRESAQDPSRETLSIVDLENEQQPECCKRTVCRSANGVMNDGSYATCRFQDLIECLLGMKFSSVNKTEGICISAQHQSSGYAFNLTWIKKGGGEEPELLYQVSTLGTFERVAPEWMRSVLMFSTSMCPIFFERVARVIKMHH >Manes.06G161200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28863515:28871356:-1 gene:Manes.06G161200.v8.1 transcript:Manes.06G161200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYAKLYNKYDALKKKRISELDEINKDQELKFLNYVTAAEELIQHLKVENDKLQEQVSELRNQVASIRSTKDNECAQYQKLLMEENQKSEMLSKEVERLQKLQEQLFSSSKNYANENMQHNMLETPQVTPGEVISDSIRTRRKRSREDGTQMEGVTAPGHLDDLLLRESAQDPSRETLSIVDLENEQQPECCKRTVCRSANGVMNDGSYATCRFQDLIECLLGMKFSSVNKTEGICISAQHQSSGYAFNLTWIKKGGGEEPELLYQVSTLGTFERVAPEWMRSVLMFSTSMCPIFFERVARVIKMHH >Manes.10G031100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3153862:3155007:1 gene:Manes.10G031100.v8.1 transcript:Manes.10G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITDIKHRSHPAHDLKLSHPATPYICDGCKEIGYGICYRCRECRFYLHKECAIPHSPTYHHLFKGSKFDFYRRSPTSGGRICDACGKDVNGFLYHCSSTGYDMHPNCAKLPLILNGEGLTLELKGKASACQKCRVSGRRSNGWSYVSSCGKYCLHVACVKEMIIEAWEKKYFNLQKGHEINRNHLKLPSKESKNGRVITRGRGRSSSPGRRDGRTEKEGKRRYSSCGRSSNNREKITGRNAGRNPSMIWLNTGVTVLGILLSAFLGVPITPLASAAVLLAKNLF >Manes.03G062900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7134256:7139238:-1 gene:Manes.03G062900.v8.1 transcript:Manes.03G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGGKWRVLRSILTLSLNFMLAFVLVSAERGLKREFTGVPAQTDEGLSSLFLKAANFLWQPNESGYHHVWPEMKFGWQIVLGTIVGFLGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSATAISKCMIMGAAGSTVYYNLKLRHPTIDMPIIDYDLTLLIQPMLMLGISIGVAFNVIFADWMVTILLIILFVGTSTKAFFKGVETWKKETRTKQEAAKRLESNGGGQVEYKPLPSGPEKETKEAEVTILENVYWKELGLLVFVWVAFLALQIAKSHMTTCSTAYWIANLLQVPVSVAVTSYEAVSLYKGRRIIASKGDDGTNFKVHQLVLYSACGVLAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYAVYFIAVATLAALIGQHIVRRLIIMFGRASLIIFILAFTIFVSAISLGGVGISNMIWKIKHNEYMGFENLCKYE >Manes.16G116700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32126223:32129251:1 gene:Manes.16G116700.v8.1 transcript:Manes.16G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYSKTSSVPYASVPSHPDPVPQNVIVLTHYHPPPNPSLLFLRRCIFFTFAILLLSAAVFFFYPSDPTLQITRIRLNHVRVNSSPTLTIDLSFSLILRVRNRDFFSLDYNSLDVSVGYRGRELGLVSSHGGKLRARGSSYVNASLDLDGLEIINDVFFLIEDLARGVIPFDTDTNVNGELGLFFFKIPIEAIVSCEVLVNINNQTIVQQDCYPE >Manes.09G076000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18452010:18457477:1 gene:Manes.09G076000.v8.1 transcript:Manes.09G076000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLDVIRISQLQLVSVCAYKQRKSLLFVSASALTPRLLVLNTKNPFHISSSSSPANPLLFAMAENDSFSGFLETAVEAAKRAGEIIRKGFYQTKHVEHKGQVDLVTETDKACEDLIFNHLKQCYPTHKLIGEETTAAYGITELTDEPTWIVDPLDGTTNFVHGFPFVCISIGLTIGKVPTVGVVYNPILNELFTGILGKGAFLNGNPIKVSSQTELVKSLLATEVGTKRDKATVDTTTNRINSLLFKVRSLRMSGSCALNLCGIACGRLDMFYETGFGGPWDVAGGTVIVKEAGGLVYDPSGKDFDITSHRVAASNPLLKDAFVDALQQSG >Manes.17G033205.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23034341:23037627:-1 gene:Manes.17G033205.v8.1 transcript:Manes.17G033205.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFLKSEGVDLWDIVENGPFFPTRVIDGNQEQKPKSEWSELEKRRVALNDKAIHILLCALSRSEYNKVCMKSTAKEIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLSKVQLDELLGNLIDYEMTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKHIKKFKKKAFKATWDESSDTEEEEVGDEIANMCFMALEESSDEVCLKSSKIESKWYLDSGCSRHMTGNSSHFISLEKKDGSGQVTFGDNGKGKIVGIGKVGKENSPMLDKVLLVDGLKHNLLSVSQLCDKGCRIIFEPKSCFVSRMSDNKILFVGERVENIYLIDLQAMTNLDMKCFVSISDNSWIWHRRLSHPSMDLLKNLSKDELVDGLPKIKYKKDKVCDACQMGKQVKSSFKSINKVISSRPLQLLHMDLFGPTRVASLGGMHYGFVIVDDYSRYTWVVFLAHKDDCFDTFKTINTACYVSNRVLIRPLLNKIPYELWNGRKPRVSYFRVFGCKCFILNNKDNLGKFESKTDEGIFLGYSISSKSYRVFNKRTLIVKESMHVVFDESNPFAPRKEVSCDDDLVGNLDELTIEDPQPHGDQSQPKEDSIEAKEDEVGLQ >Manes.05G097400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8961559:8964489:1 gene:Manes.05G097400.v8.1 transcript:Manes.05G097400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLRPGAHSSAPSPATQDSISTADPPQPQPTFINSGEKTAQQQLDLLRSNNPYVVEDLNRPLKQEIFQTNAAVSPCFKSTSSPTALSLLLKSSMFKELVEKNLNAHEDEEDEAKLVPEMGNYNGVRDVFYDGIRQIPYYEVGLPGLVFKEENTWPFYNRTG >Manes.05G097400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8961947:8964414:1 gene:Manes.05G097400.v8.1 transcript:Manes.05G097400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASGNSQIGSSAAAGGRRSFCTIGGDAMEIKCIKRRRRDSSLSALRSNNERELGHQLKGDQTAATATATATAAAATTVKRSSRFRGVSRHRWTGRYEAHLWDKGSWNPTQRKKGKQVYLGAYDEEESAARAYDLAALKYWGTSTFTNFPVSEYEKEIEIMKNVSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLRPGAHSSAPSPATQDSISTADPPQPQPTFINSGEKTAQQQLDLLRSNNPYVVEDLNRPLKQEIFQTNAAVSPCFKSTSSPTALSLLLKSSMFKELVEKNLNAHEDEEDEAKLVPEMGNYNGVRDVFYDGIRQIPYYEVGLPGLVFKEENTWPFYNRTG >Manes.05G097400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8961559:8964489:1 gene:Manes.05G097400.v8.1 transcript:Manes.05G097400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLRPGAHSSAPSPATQDSISTADPPQPQPTFINSGEKTAQQQLDLLRSNNPYVVEDLNRPLKQEIFQTNAAVSPCFKSTSSPTALSLLLKSSMFKELVEKNLNAHEDEEDEAKLVPEMGNYNGVRDVFYDGIRQIPYYEVGLPGLVFKEENTWPFYNRTG >Manes.01G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30608837:30615260:1 gene:Manes.01G107000.v8.1 transcript:Manes.01G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRLPPPHLRRPLPGPGMVHPDPFVPGVRPPLGPFPPFDILPPPEVMEQKIAAQHLEMQRLATENQRLAATHGNLRQELAAAQHELQILHAHTGAIKSEREQQMRSLVDKIAKMESELKAAEPVRLELQQARAEADNLVVARQELMSKVHQLTQDLHRAHADVQQIPVLMSELESLRQEYQRCRVSYDYEKKLFSDHLDSLQAMEKNYVTMAREVEKLRLELTNTANVDLRTAAGGPYGSAPGNNENEASGRPVGQNIYEDSYGVPQGQGHAAIPGNSGAGAANASTVANAGAGTPTYAGAQSGSVAPRSAYDAPRGPGYEGSKGPGYDPSRGPGYDVPRGAGYDAQRGHSYDPQRGPGYGGPTYDPQRLPGYDAQRLPGYDVQRGPAYGVQRGPHYDASRGGGYDPTSRAPAGPHGQMAAASNVPYGSGTPPGSGYEAPARGGNNPVRR >Manes.05G103750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9677056:9677808:1 gene:Manes.05G103750.v8.1 transcript:Manes.05G103750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVSGTHANAICGRLGFDNWIRVESLGFSGGVWIFWTENNFSIQLIESHPQFVTCKVLPVSGISWNLCFIYASSYSPCRRILWTDLKLDSGDLSDEWMALGDFNCVPFQYELQGYSTFNISGAKLFSDWIFDNGLLDMGFEGLAFTWSRGLSSHSLQRAQLDRALCSPNWQFRFPHDYVTHPAKFHSDHCPLVVSLNKHVHRMEGPFHFQLAWMNHADLGMIVGNALNSSTDFSDFIKNLASDLSLWN >Manes.03G049950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4615490:4616603:1 gene:Manes.03G049950.v8.1 transcript:Manes.03G049950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCFSPYKSENMVLMDDLTKHVLTTKTYFFIRNTFSLWYSILCLFWSKNKIFDGTCCIHLFSQ >Manes.07G105300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31150075:31150473:-1 gene:Manes.07G105300.v8.1 transcript:Manes.07G105300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINQKLEAKGYILTWTGSMCDQEGPWPRSVTSIALRRGAGLRSPQVGRAYVVICGRGGLRSRGPYQISDQSYFDVHLITDMFSDNGVHGRLLHFAVNLFYPHYFMVLLNGLEAQASICPRLRYAPFNKWVK >Manes.18G073709.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6658942:6661053:-1 gene:Manes.18G073709.v8.1 transcript:Manes.18G073709.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRADEELFDQKKYLEDSCKPKCVKPLIEYEACMKRIEGDDSGEKHCTGQYFDYLFCVDKCVAPMLFSKLK >Manes.18G073709.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6658573:6661155:-1 gene:Manes.18G073709.v8.1 transcript:Manes.18G073709.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEELFDQKKYLEDSCKPKCVKPLIEYEACMKRIEGDDSGEKHCTGQYFDYLFCVDKCVAPMLFSKLK >Manes.18G073709.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6658813:6661108:-1 gene:Manes.18G073709.v8.1 transcript:Manes.18G073709.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRADEELFDQKKYLEDSCKPKCVKPLIEYEACMKRIEGDDSGEKHCTGQYFDYLFCVDKCVAPMLFSKLK >Manes.11G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6958132:6969876:-1 gene:Manes.11G057400.v8.1 transcript:Manes.11G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNNGEAHIAPPGTGGPPVPPPPVPAQPSYTVLAPQTPPTPAEAEAKLEEKARKWQQLNTKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLEMDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHTPMVMFIKAEDPDLPAFYYDPLIHPITSTNKERREKKAYDDDEDDDFLLPEGVEPFLHDTQLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLAATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGTAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSALIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRLHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYQNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIITEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTNHARILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTVSMKYGIKLGTPREYYHEDHRPTHYLEFSNLEEGDVAEGDREDTFT >Manes.11G066800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9502863:9530956:-1 gene:Manes.11G066800.v8.1 transcript:Manes.11G066800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLPRHILQMSHLFLVDHESATGNPSSAQNIFFIGGQVLECLTESSVVLLDIMFGLSINIIRIKQAYSKGSSMEDPLLSIDMDIEGGCPQDSGHAWSSWDLMTFKSITSVMKRGVRKQLDFEDLLLLPNDMEPSTCHDRLLSCWGAQQSSSNPFLFKAICCAYGWPYFSIGLLKMLNDCIGFVGPLLLNKLIRFLQQGSGHWNGYVLALSLGLTSILKSFLDTQYTFHLAKLKLKLRSGIMTLIYQKCLCVNLAERSKFSEGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGLALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILAHIRTLKMYGWERLFSSWLMDTRSSELKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLEAATVFTCVALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCQEYKHELNQRAESPSVFSNHQSDIISEDMAVIMHDTCCSWSSSNEQQQNLVLNHVTLCVPKGSFIAIIGEVGSGKSSLLSAILGEMCLIHGSVHSNGSLAYVPQVPWIFSGTVRDNILFGKNYESKRYSDTLKACALDVDISLMNGGDMAYIGEKGVNLSGGQRARLALARAIYQGSDVNMLDDVLSAVDAEVARFILHNAILGPLMDQKTRVLCTHNVQAISSADMIVVLDKGHVKWVGSSADLSVSSYSAMSPHNEFDISPNVQEQELRISTSIEGRKSYTLEKEFIHTSEEAQEIVEVELRKEGKVELAVYKNYAAFSGWFITVVICLSAILMQASRNGNDLWLSYWVDATGSSQADYSTSFYLVHNTLLNKIIDAPVQFFDQTPAGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLLYVQVLFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGSSTIRAFKSEDSFLVKFKELVALYQRTSYSEIIASLWLSLRLQLLAAFIMSFVAMMAVVGSRGYLPISFGTPGLVGLALSYASPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQPLKLDWPLQGLIQFQNVTMRYMASLPPALDGVTFTILGGTQVGIVGRTGAGKSSVLNALFRLTPICSGCILVDGLNITDVPVRDLRAHFSVVPQSPFLFEGSLRDNLDPLQMSNDLEIWNILEKCHVKEEVKMVGGLDVHIKQSGSSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASVIQNAISTECKGMTVITIAHRISTVMNMDNILVLDRGHVIEQGNPQVLLQDEFSRFSRFAKASTM >Manes.11G066800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9502863:9533194:-1 gene:Manes.11G066800.v8.1 transcript:Manes.11G066800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNLICPNSPFVWDGNKFSECFNNLVLGFGANVLTILMISILAITLRNARGSHRLNFTEKVVFHFLPAFGACVSFADTIFLLKKELYGDFIVYHEWLFKGSQLILWMSITISVKWAGYRGIFCKCLICFWWIMKVLLEILHLHRTFSSLEVLECLTESSVVLLDIMFGLSINIIRIKQAYSKGSSMEDPLLSIDMDIEGGCPQDSGHAWSSWDLMTFKSITSVMKRGVRKQLDFEDLLLLPNDMEPSTCHDRLLSCWGAQQSSSNPFLFKAICCAYGWPYFSIGLLKMLNDCIGFVGPLLLNKLIRFLQQGSGHWNGYVLALSLGLTSILKSFLDTQYTFHLAKLKLKLRSGIMTLIYQKCLCVNLAERSKFSEGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGLALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILAHIRTLKMYGWERLFSSWLMDTRSSELKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLEAATVFTCVALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCQEYKHELNQRAESPSVFSNHQSDIISEDMAVIMHDTCCSWSSSNEQQQNLVLNHVTLCVPKGSFIAIIGEVGSGKSSLLSAILGEMCLIHGSVHSNGSLAYVPQVPWIFSGTVRDNILFGKNYESKRYSDTLKACALDVDISLMNGGDMAYIGEKGVNLSGGQRARLALARAIYQGSDVNMLDDVLSAVDAEVARFILHNAILGPLMDQKTRVLCTHNVQAISSADMIVVLDKGHVKWVGSSADLSVSSYSAMSPHNEFDISPNVQEQELRISTSIEGRKSYTLEKEFIHTSEEAQEIVEVELRKEGKVELAVYKNYAAFSGWFITVVICLSAILMQASRNGNDLWLSYWVDATGSSQADYSTSFYLVVLCIFCIANSFLTLVRAFSFAFGGLRAAIQVHNTLLNKIIDAPVQFFDQTPAGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLLYVQVLFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGSSTIRAFKSEDSFLVKFKELVALYQRTSYSEIIASLWLSLRLQLLAAFIMSFVAMMAVVGSRGYLPISFGTPGLVGLALSYASPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQPLKLDWPLQGLIQFQNVTMRYMASLPPALDGVTFTILGGTQVGIVGRTGAGKSSVLNALFRLTPICSGCILVDGLNITDVPVRDLRAHFSVVPQSPFLFEGSLRDNLDPLQMSNDLEIWNILEKCHVKEEVKMVGGLDVHIKQSGSSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASVIQNAISTECKGMTVITIAHRISTVMNMDNILVLDRGHVIEQGNPQVLLQDEFSRFSRFAKASTM >Manes.11G066800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9502863:9533194:-1 gene:Manes.11G066800.v8.1 transcript:Manes.11G066800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNLICPNSPFVWDGNKFSECFNNLVLGFGANVLTILMISILAITLRNARGSHRLNFTEKVVFHFLPAFGACVSFADTIFLLKKELYGDFIVYHEWLFKGSQLILWMSITISVKWAGYRGIFCKCLICFWWIMKVLLEILHLHRTFSSLEVLECLTESSVVLLDIMFGLSINIIRIKQAYSKGSSMEDPLLSIDMDIEGGCPQDSGHAWSSWDLMTFKSITSVMKRGVRKQLDFEDLLLLPNDMEPSTCHDRLLSCWGAQQSSSNPFLFKAICCAYGWPYFSIGLLKMLNDCIGFVGPLLLNKLIRFLQQGSGHWNGYVLALSLGLTSILKSFLDTQYTFHLAKLKLKLRSGIMTLIYQKCLCVNLAERSKFSEGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGLALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILAHIRTLKMYGWERLFSSWLMDTRSSELKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLEAATVFTCVALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCQEYKHELNQRAESPSVFSNHQSDIISEDMAVIMHDTCCSWSSSNEQQQNLVLNHVTLCVPKGSFIAIIGEVGSGKSSLLSAILGEMCLIHGSVHSNGSLAYVPQVPWIFSGTVRDNILFGKNYESKRYSDTLKACALDVDISLMNGGDMAYIGEKGVNLSGGQRARLALARAIYQGSDVNMLDDVLSAVDAEVARFILHNAILGPLMDQKTRVLCTHNVQAISSADMIVVLDKGHVKWVGSSADLSVSSYSAMSPHNEFDISPNVQEQELRISTSIEGRKSYTLEKEFIHTSEEAQEIVEVELRKEGKVELAVYKNYAAFSGWFITVVICLSAILMQASRNGNDLWLSYWVDATGSSQADYSTSFYLVVLCIFCIANSFLTLVRAFSFAFGGLRAAIQVHNTLLNKIIDAPVQFFDQTPAGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLLYVQVLFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGSSTIRAFKSEDSFLVKFKELVALYQRTSYSEIIASLWLSLRLQLLAAFIMSFVAMMAVVGSRGYLPISFGTPGLVGLALSYASPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQPLKLDWPLQGLIQFQNVTMRYMASLPPALDGVTFTILGGTQVGIVGRTGAGKSSVLNALFRLTPICSGCILVDGLNITDVPVRDLRAHFSVVPQSPFLFEGSLRFSIGFLHCEFLGII >Manes.11G066800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9502863:9515715:-1 gene:Manes.11G066800.v8.1 transcript:Manes.11G066800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRALLNTMYKSSSYDFFSLPLQIGLALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILAHIRTLKMYGWERLFSSWLMDTRSSELKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLEAATVFTCVALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCQEYKHELNQRAESPSVFSNHQSDIISEDMAVIMHDTCCSWSSSNEQQQNLVLNHVTLCVPKGSFIAIIGEVGSGKSSLLSAILGEMCLIHGSVHSNGSLAYVPQVPWIFSGTVRDNILFGKNYESKRYSDTLKACALDVDISLMNGGDMAYIGEKGVNLSGGQRARLALARAIYQGSDVNMLDDVLSAVDAEVARFILHNAILGPLMDQKTRVLCTHNVQAISSADMIVVLDKGHVKWVGSSADLSVSSYSAMSPHNEFDISPNVQEQELRISTSIEGRKSYTLEKEFIHTSEEAQEIVEVELRKEGKVELAVYKNYAAFSGWFITVVICLSAILMQASRNGNDLWLSYWVDATGSSQADYSTSFYLVHNTLLNKIIDAPVQFFDQTPAGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLLYVQVLFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGSSTIRAFKSEDSFLVKFKELVALYQRTSYSEIIASLWLSLRLQLLAAFIMSFVAMMAVVGSRGYLPISFGTPGLVGLALSYASPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQPLKLDWPLQGLIQFQNVTMRYMASLPPALDGVTFTILGGTQVGIVGRTGAGKSSVLNALFRLTPICSGCILVDGLNITDVPVRDLRAHFSVVPQSPFLFEGSLRDNLDPLQMSNDLEIWNILEKCHVKEEVKMVGGLDVHIKQSGSSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASVIQNAISTECKGMTVITIAHRISTVMNMDNILVLDRGHVIEQGNPQVLLQDEFSRFSRFAKASTM >Manes.11G066800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9502863:9533194:-1 gene:Manes.11G066800.v8.1 transcript:Manes.11G066800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSQNVLITYILYYTLRKFSLLHYKTLMGVVAMNCSSLTMHTVLGFGANVLTILMISILAITLRNARGSHRLNFTEKVVFHFLPAFGACVSFADTIFLLKKELYGDFIVYHEWLFKGSQLILWMSITISVKWAGYRGIFCKCLICFWWIMKVLLEILHLHRTFSSLEVLECLTESSVVLLDIMFGLSINIIRIKQAYSKGSSMEDPLLSIDMDIEGGCPQDSGHAWSSWDLMTFKSITSVMKRGVRKQLDFEDLLLLPNDMEPSTCHDRLLSCWGAQQSSSNPFLFKAICCAYGWPYFSIGLLKMLNDCIGFVGPLLLNKLIRFLQQGSGHWNGYVLALSLGLTSILKSFLDTQYTFHLAKLKLKLRSGIMTLIYQKCLCVNLAERSKFSEGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGLALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILAHIRTLKMYGWERLFSSWLMDTRSSELKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLEAATVFTCVALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCQEYKHELNQRAESPSVFSNHQSDIISEDMAVIMHDTCCSWSSSNEQQQNLVLNHVTLCVPKGSFIAIIGEVGSGKSSLLSAILGEMCLIHGSVHSNGSLAYVPQVPWIFSGTVRDNILFGKNYESKRYSDTLKACALDVDISLMNGGDMAYIGEKGVNLSGGQRARLALARAIYQGSDVNMLDDVLSAVDAEVARFILHNAILGPLMDQKTRVLCTHNVQAISSADMIVVLDKGHVKWVGSSADLSVSSYSAMSPHNEFDISPNVQEQELRISTSIEGRKSYTLEKEFIHTSEEAQEIVEVELRKEGKVELAVYKNYAAFSGWFITVVICLSAILMQASRNGNDLWLSYWVDATGSSQADYSTSFYLVVLCIFCIANSFLTLVRAFSFAFGGLRAAIQVHNTLLNKIIDAPVQFFDQTPAGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLLYVQVLFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGSSTIRAFKSEDSFLVKFKELVALYQRTSYSEIIASLWLSLRLQLLAAFIMSFVAMMAVVGSRGYLPISFGTPGLVGLALSYASPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQPLKLDWPLQGLIQFQNVTMRYMASLPPALDGVTFTILGGTQVGIVGRTGAGKSSVLNALFRLTPICSGCILVDGLNITDVPVRDLRAHFSVVPQSPFLFEGSLRDNLDPLQMSNDLEIWNILEKCHVKEEVKMVGGLDVHIKQSGSSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASVIQNAISTECKGMTVITIAHRISTVMNMDNILVLDRGHVIEQGNPQVLLQDEFSRFSRFAKASTM >Manes.11G066800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9502863:9533194:-1 gene:Manes.11G066800.v8.1 transcript:Manes.11G066800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFILVIFQILGFTEIIAICMNYSSMEDPLLSIDMDIEGGCPQDSGHAWSSWDLMTFKSITSVMKRGVRKQLDFEDLLLLPNDMEPSTCHDRLLSCWGAQQSSSNPFLFKAICCAYGWPYFSIGLLKMLNDCIGFVGPLLLNKLIRFLQQGSGHWNGYVLALSLGLTSILKSFLDTQYTFHLAKLKLKLRSGIMTLIYQKCLCVNLAERSKFSEGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGLALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILAHIRTLKMYGWERLFSSWLMDTRSSELKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLEAATVFTCVALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCQEYKHELNQRAESPSVFSNHQSDIISEDMAVIMHDTCCSWSSSNEQQQNLVLNHVTLCVPKGSFIAIIGEVGSGKSSLLSAILGEMCLIHGSVHSNGSLAYVPQVPWIFSGTVRDNILFGKNYESKRYSDTLKACALDVDISLMNGGDMAYIGEKGVNLSGGQRARLALARAIYQGSDVNMLDDVLSAVDAEVARFILHNAILGPLMDQKTRVLCTHNVQAISSADMIVVLDKGHVKWVGSSADLSVSSYSAMSPHNEFDISPNVQEQELRISTSIEGRKSYTLEKEFIHTSEEAQEIVEVELRKEGKVELAVYKNYAAFSGWFITVVICLSAILMQASRNGNDLWLSYWVDATGSSQADYSTSFYLVVLCIFCIANSFLTLVRAFSFAFGGLRAAIQVHNTLLNKIIDAPVQFFDQTPAGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLLYVQVLFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGSSTIRAFKSEDSFLVKFKELVALYQRTSYSEIIASLWLSLRLQLLAAFIMSFVAMMAVVGSRGYLPISFGTPGLVGLALSYASPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQPLKLDWPLQGLIQFQNVTMRYMASLPPALDGVTFTILGGTQVGIVGRTGAGKSSVLNALFRLTPICSGCILVDGLNITDVPVRDLRAHFSVVPQSPFLFEGSLRDNLDPLQMSNDLEIWNILEKCHVKEEVKMVGGLDVHIKQSGSSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASVIQNAISTECKGMTVITIAHRISTVMNMDNILVLDRGHVIEQGNPQVLLQDEFSRFSRFAKASTM >Manes.11G066800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9502863:9533194:-1 gene:Manes.11G066800.v8.1 transcript:Manes.11G066800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAITLRNARGSHRLNFTEKVVFHFLPAFGACVSFADTIFLLKKELYGDFIVYHEWLFKGSQLILWMSITISVKWAGYRGIFCKCLICFWWIMKVLLEILHLHRTFSSLEVLECLTESSVVLLDIMFGLSINIIRIKQAYSKGSSMEDPLLSIDMDIEGGCPQDSGHAWSSWDLMTFKSITSVMKRGVRKQLDFEDLLLLPNDMEPSTCHDRLLSCWGAQQSSSNPFLFKAICCAYGWPYFSIGLLKMLNDCIGFVGPLLLNKLIRFLQQGSGHWNGYVLALSLGLTSILKSFLDTQYTFHLAKLKLKLRSGIMTLIYQKCLCVNLAERSKFSEGEIQTFMSVDADRTVNLCNSFHDMWSLPLQIGLALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILAHIRTLKMYGWERLFSSWLMDTRSSELKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLEAATVFTCVALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCQEYKHELNQRAESPSVFSNHQSDIISEDMAVIMHDTCCSWSSSNEQQQNLVLNHVTLCVPKGSFIAIIGEVGSGKSSLLSAILGEMCLIHGSVHSNGSLAYVPQVPWIFSGTVRDNILFGKNYESKRYSDTLKACALDVDISLMNGGDMAYIGEKGVNLSGGQRARLALARAIYQGSDVNMLDDVLSAVDAEVARFILHNAILGPLMDQKTRVLCTHNVQAISSADMIVVLDKGHVKWVGSSADLSVSSYSAMSPHNEFDISPNVQEQELRISTSIEGRKSYTLEKEFIHTSEEAQEIVEVELRKEGKVELAVYKNYAAFSGWFITVVICLSAILMQASRNGNDLWLSYWVDATGSSQADYSTSFYLVVLCIFCIANSFLTLVRAFSFAFGGLRAAIQVHNTLLNKIIDAPVQFFDQTPAGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLLYVQVLFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGSSTIRAFKSEDSFLVKFKELVALYQRTSYSEIIASLWLSLRLQLLAAFIMSFVAMMAVVGSRGYLPISFGTPGLVGLALSYASPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQPLKLDWPLQGLIQFQNVTMRYMASLPPALDGVTFTILGGTQVGIVGRTGAGKSSVLNALFRLTPICSGCILVDGLNITDVPVRDLRAHFSVVPQSPFLFEGSLRDNLDPLQMSNDLEIWNILEKCHVKEEVKMVGGLDVHIKQSGSSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASVIQNAISTECKGMTVITIAHRISTVMNMDNILVLDRGHVIEQGNPQVLLQDEFSRFSRFAKASTM >Manes.11G066800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9502863:9517405:-1 gene:Manes.11G066800.v8.1 transcript:Manes.11G066800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYTVFSLYFGSNCNFLVVLDLNYFVSACMKRALLNTMYKSSSYDFFSLPLQIGLALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILAHIRTLKMYGWERLFSSWLMDTRSSELKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLEAATVFTCVALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCQEYKHELNQRAESPSVFSNHQSDIISEDMAVIMHDTCCSWSSSNEQQQNLVLNHVTLCVPKGSFIAIIGEVGSGKSSLLSAILGEMCLIHGSVHSNGSLAYVPQVPWIFSGTVRDNILFGKNYESKRYSDTLKACALDVDISLMNGGDMAYIGEKGVNLSGGQRARLALARAIYQGSDVNMLDDVLSAVDAEVARFILHNAILGPLMDQKTRVLCTHNVQAISSADMIVVLDKGHVKWVGSSADLSVSSYSAMSPHNEFDISPNVQEQELRISTSIEGRKSYTLEKEFIHTSEEAQEIVEVELRKEGKVELAVYKNYAAFSGWFITVVICLSAILMQASRNGNDLWLSYWVDATGSSQADYSTSFYLVVLCIFCIANSFLTLVRAFSFAFGGLRAAIQVHNTLLNKIIDAPVQFFDQTPAGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAIVLLYVQVLFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGSSTIRAFKSEDSFLVKFKELVALYQRTSYSEIIASLWLSLRLQLLAAFIMSFVAMMAVVGSRGYLPISFGTPGLVGLALSYASPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQPLKLDWPLQGLIQFQNVTMRYMASLPPALDGVTFTILGGTQVGIVGRTGAGKSSVLNALFRLTPICSGCILVDGLNITDVPVRDLRAHFSVVPQSPFLFEGSLRDNLDPLQMSNDLEIWNILEKCHVKEEVKMVGGLDVHIKQSGSSFSVGQRQLLCLARALLKSSKVLCLDECTANVDTQTASVIQNAISTECKGMTVITIAHRISTVMNMDNILVLDRGHVIEQGNPQVLLQDEFSRFSRFAKASTM >Manes.08G170100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40429467:40432142:-1 gene:Manes.08G170100.v8.1 transcript:Manes.08G170100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWFPACALVLLCLASVIFVAFAQNYMPTDKILLDCGATSDDTDTDGQKWTADKGSKFLASSANSSISPAATQDPAVSQVPFMTARVFRSTFTYSFPLGDGRKFVRLYFYPSSYAGLNASDALFSVTAGSFTLLKNFSVAQTTEALNYAFIVKEYSINVDGGILNITFGPSSNSPKAYAFVNGIEIVSMTDIYGNTDGTLMIVGNDASFTIDNSTALENVYRLNVGGNEISPSGDTGMLRSWSDDQPYLYGAAFGVPETADPNTTIKSPVPPYVAPLNVYSTSRSMGPNANINLNYNLTWIFSVDSGFSYLVRLHFCEVASNITKINQRVFNIFLNNQTAENGADVIAWAGHNGVPVHKDYVVLVPDGSPQQDMWLALHPNTDSALKSQFYDAILNGVEIFKISSTDGNLAGPNPIPAPKQEVIDPSLVRPSSGSGHSKNQKAIIAGGVSGGVVLALVIGYCVIAASRRHRQGKEASSSDAPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATNNFDEALVLGVGGFGKVYKGEVDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQIVDPYLKGRIAPECFKKFAETAMKCVADQGIERPSMGDVLWNLEFALQLQESAEESGKGISVIDDEEMPFNTASKGKKDPDAAPGFDGNITDSRSSGMSMSIGGRSLASEVSDGLTPSAVFSQIMNPKGR >Manes.08G170100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40429036:40432914:-1 gene:Manes.08G170100.v8.1 transcript:Manes.08G170100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIYGNTDGTLMIVGNDASFTIDNSTALENVYRLNVGGNEISPSGDTGMLRSWSDDQPYLYGAAFGVPETADPNTTIKSPVPPYVAPLNVYSTSRSMGPNANINLNYNLTWIFSVDSGFSYLVRLHFCEVASNITKINQRVFNIFLNNQTAENGADVIAWAGHNGVPVHKDYVVLVPDGSPQQDMWLALHPNTDSALKSQFYDAILNGVEIFKISSTDGNLAGPNPIPAPKQEVIDPSLVRPSSGSGHSKNQKAIIAGGVSGGVVLALVIGYCVIAASRRHRQGKEASSSDAPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATNNFDEALVLGVGGFGKVYKGEVDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDNTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQIVDPYLKGRIAPECFKKFAETAMKCVADQGIERPSMGDVLWNLEFALQLQESAEESGKGISVIDDEEMPFNTASKGKKDPDAAPGFDGNITDSRSSGMSMSIGGRSLASEVSDGLTPSAVFSQIMNPKGR >Manes.04G031200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3941202:3946100:1 gene:Manes.04G031200.v8.1 transcript:Manes.04G031200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGADGDPSFDNPSRIEPKRSHQWFVDAAEPELFPNKKQALQTPNSTSSSQVSCTNISSWDNPSGFQSVQNQFIHRFFGPETARSVNFSERTICPAMDDSNASVSLSMSHTFEDPEACLSYNGFRKVKVNQVKDIDNSVHDPKGHSFINESSIDISEGHTFNRENESSFISMGQAYDKEDGNVTLMAHSYNRDAHIVSTCAAYMKGDDNSNPISDTYSKEDANMISFGGFHDARDIIPVGRPISSYDQSYNQPSVQTPEAVREKELDTSNANAAASNTRVAKSKPESVSKNKQEVKTARKEAPNSFPSNVRSLISTGMLDGVPVKYVSLAREELRGIIKGSGYLCSCQSCNYSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESMLFDVIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >Manes.04G031200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3941202:3946100:1 gene:Manes.04G031200.v8.1 transcript:Manes.04G031200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGFWMAKGADGDPSFDNPSRIEPKRSHQWFVDAAEPELFPNKKQALQTPNSTSSSQVSCTNISSWDNPSGFQSVQNQFIHRFFGPETARSVNFSERTICPAMDDSNASVSLSMSHTFEDPEACLSYNGFRKVKVNQVKDIDNSVHDPKGHSFINESSIDISEGHTFNRENESSFISMGQAYDKEDGNVTLMAHSYNRDAHIVSTCAAYMKGDDNSNPISDTYSKEDANMISFGGFHDARDIIPVGRPISSYDQSYNQPSVQTPEAVREKELDTSNANAAASNTRVAKSKPESVSKNKQEVKTARKEAPNSFPSNVRSLISTGMLDGVPVKYVSLAREELRGIIKGSGYLCSCQSCNYSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESMLFDVIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >Manes.04G031200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3941202:3946100:1 gene:Manes.04G031200.v8.1 transcript:Manes.04G031200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNKGFWMAKGADGDPSFDNPSRIEPKRSHQWFVDAAEPELFPNKKQALQTPNSTSSSQVSCTNISSWDNPSGFQSVQNQFIHRFFGPETARSVNFSERTICPAMDDSNASVSLSMSHTFEDPEACLSYNGFRKVKVNQVKDIDNSVHDPKGHSFINESSIDISEGHTFNRENESSFISMGQAYDKEDGNVTLMAHSYNRDAHIVSTCAAYMKGDDNSNPISDTYSKEDANMISFGGFHDARDIIPVGRPISSYDQSYNQPSVQTPEAVREKELDTSNANAAASNTRVAKSKPESVSKNKQEVKTARKEAPNSFPSNVRSLISTGMLDGVPVKYVSLAREELRGIIKGSGYLCSCQSCNYSKKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESMLFDVIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >Manes.04G031200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3941202:3946100:1 gene:Manes.04G031200.v8.1 transcript:Manes.04G031200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGADGDPSFDNPSRIEPKRSHQWFVDAAEPELFPNKKQALQTPNSTSSSQVSCTNISSWDNPSGFQSVQNQFIHRFFGPETARSVNFSERTICPAMDDSNASVSLSMSHTFEDPEACLSYNGFRKVKVNQVKDIDNSVHDPKGHSFINESSIDISEGHTFNRENESSFISMGQAYDKEDGNVTLMAHSYNRDAHIVSTCAAYMKGDDNSNPISDTYSKEDANMISFGGFHDARDIIPVGRPISSYDQSYNQPSVQTPEAVREKELDTSNANAAASNTRVAKSKPESVSKNKQEVKTARKEAPNSFPSNVRSLISTGMLDGVPVKYVSLAREELRGIIKGSGYLCSCQSCNYSKKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESMLFDVIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >Manes.04G031200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3941202:3946100:1 gene:Manes.04G031200.v8.1 transcript:Manes.04G031200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNKGFWMAKGADGDPSFDNPSRIEPKRSHQWFVDAAEPELFPNKKQALQTPNSTSSSQVSCTNISSWDNPSGFQSVQNQFIHRFFGPETARSVNFSERTICPAMDDSNASVSLSMSHTFEDPEACLSYNGFRKVKVNQVKDIDNSVHDPKGHSFINESSIDISEGHTFNRENESSFISMGQAYDKEDGNVTLMAHSYNRDAHIVSTCAAYMKGDDNSNPISDTYSKEDANMISFGGFHDARDIIPVGRPISSYDQSYNQPSVQTPEAVREKELDTSNANAAASNTRVAKSKPESVSKNKQEVKTARKEAPNSFPSNVRSLISTGMLDGVPVKYVSLAREELRGIIKGSGYLCSCQSCNYSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESMLFDVIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >Manes.04G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3941202:3946100:1 gene:Manes.04G031200.v8.1 transcript:Manes.04G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGFWMAKGADGDPSFDNPSRIEPKRSHQWFVDAAEPELFPNKKQALQTPNSTSSSQVSCTNISSWDNPSGFQSVQNQFIHRFFGPETARSVNFSERTICPAMDDSNASVSLSMSHTFEDPEACLSYNGFRKVKVNQVKDIDNSVHDPKGHSFINESSIDISEGHTFNRENESSFISMGQAYDKEDGNVTLMAHSYNRDAHIVSTCAAYMKGDDNSNPISDTYSKEDANMISFGGFHDARDIIPVGRPISSYDQSYNQPSVQTPEAVREKELDTSNANAAASNTRVAKSKPESVSKNKQEVKTARKEAPNSFPSNVRSLISTGMLDGVPVKYVSLAREELRGIIKGSGYLCSCQSCNYSKKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESMLFDVIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >Manes.06G113600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24446327:24452662:1 gene:Manes.06G113600.v8.1 transcript:Manes.06G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEGFLTDEQREMLKIASLNVDNLSSSPKTLSSSPRSPSMLLSEHQLKVPAAGKAPTAGIAVRHVRRSHSGKFVRVKKDGGGGKGTWGKLLDTGGESHIDRNDPNYDSGEEPYQLVGATISDPLDEYKKAVVSIIEEYFSTGDVEVATSDLRELGSREYHPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPSQIRDGFVILLESADDLAVDILDAVDILALFIARAVVDDILPPAFLTRAKKTLPESSKGFQVLKTAEKSYLSAPHHAELVERRWGGSTHITVEEVKKKIADLLREYVENGDAFEACRCIRELGVSFFHHEVVKRALILAMEIRTAELLILKLLKEASEEGLISSSQMVKGFARLTESLDDLALDIPSAKTLFQSLVAKAISEGWLDASFLKPSSEDRQVLAKDKKLIQYKEEIVTIIHEYFLSDDIPELIRSLEDLGMPEFNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVILLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEISGKLPPNCSGSETVHMARSLIAARHAGERILRCWGGGTGWAVEDAKDKIQKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQECFNEGLITINQMTKGFTRIKDGLDDLALDIPNAKEKFSSYVEYACKKGWLQACFG >Manes.06G113600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24446327:24452662:1 gene:Manes.06G113600.v8.1 transcript:Manes.06G113600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDRHDKEKEMASVLLSALYADVISPSQIRDGFVILLESADDLAVDILDAVDILALFIARAVVDDILPPAFLTRAKKTLPESSKGFQVLKTAEKSYLSAPHHAELVERRWGGSTHITVEEVKKKIADLLREYVENGDAFEACRCIRELGVSFFHHEVVKRALILAMEIRTAELLILKLLKEASEEGLISSSQMVKGFARLTESLDDLALDIPSAKTLFQSLVAKAISEGWLDASFLKPSSEDRQVLAKDKKLIQYKEEIVTIIHEYFLSDDIPELIRSLEDLGMPEFNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFVILLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEISGKLPPNCSGSETVHMARSLIAARHAGERILRCWGGGTGWAVEDAKDKIQKLLEEYESGGVVSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQECFNEGLITINQMTKGFTRIKDGLDDLALDIPNAKEKFSSYVEYACKKGWLQACFG >Manes.07G051900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6149756:6151145:-1 gene:Manes.07G051900.v8.1 transcript:Manes.07G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACSAAISITKRLEGKVGLITGGASGIGAATAKLFLKHGAKVIVADVQDDLGHSLCQELGSEEIITYAHCDVTCESDVRNAVDLAVSKYGKLDIMHSNAGLLSNVVGILDTDNEEFRRAFDVNVFGGFLAAKHAARVMIPAKKGSIIFNASNVSMTYLKAAHAYVSSKNAVVGLAKNLCVELGQYGIRVNCVSPYGIVTPLLKFGFGLMGKENEKIQEEIEASGNLKQVVLEAENVAEAALYLGSDESKYVSGLNLVVDGGYSLTNPAIEMAMKRLHSS >Manes.18G067300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6029848:6033758:-1 gene:Manes.18G067300.v8.1 transcript:Manes.18G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCSIVWFRRDLRIEDNPALAAGVRAGAVVAVFIWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTPLVTKRSTDSVSTLLEVVKSTGATHIFFNHLYDPLSLVRDHRAKEILTAQGIAVRSFNADLLYEPWDVNDAQGRPFTTFAAFWETCLSMPYDPEAPLLPPKRIISGDISRCPSDLLIFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGRAFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWNAPESLLQAAGIELGSNYPLPIVGIDAAKVRLQEALSEMWQQEAAFRAAIENGTEEGLGDSSESAPFAFPQDMQMEETHELVRNNLPTTIRRYEDQMVPSITSLLRVEDQETSSDIQNVAEDSRGVVPRNVNVNQEQSRYALNQGAAQTIHGNNNLPHFSVVSDPGNVEDSTAESSSSSRGIVPVWSPPTASYSDQFAGDENGIGTSSYLQRHPQSHQIMNWRRLSQNG >Manes.18G067300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6029848:6033758:-1 gene:Manes.18G067300.v8.1 transcript:Manes.18G067300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYDPEAPLLPPKRIISGDISRCPSDLLIFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGRAFDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWNAPESLLQAAGIELGSNYPLPIVGIDAAKVRLQEALSEMWQQEAAFRAAIENGTEEGLGDSSESAPFAFPQDMQMEETHELVRNNLPTTIRRYEDQMVPSITSLLRVEDQETSSDIQNVAEDSRGVVPRNVNVNQEQSRYALNQGAAQTIHGNNNLPHFSVVSDPGNVEDSTAESSSSSRGIVPVWSPPTASYSDQFAGDENGIGTSSYLQRHPQSHQIMNWRRLSQNG >Manes.12G063951.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6826687:6827694:1 gene:Manes.12G063951.v8.1 transcript:Manes.12G063951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLLKVHKLTPFGRNGAQSKPAPTPAAPQEPEDSPSVLKIVHAGGKVECYYMAIPAVKILEKYPSFVLAKPEVFRRPWDSVVRPEKILTPGHRFFLVPLRTVHKLRRRIKKPREDDVSAASSACRKASGIKKHVTFVGVDVKHKASGSCNSEKKGKSRRGNEKNSKSEPGGGRRIVNAIAWRPSLHAITESRRLRD >Manes.13G044000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5197137:5198885:-1 gene:Manes.13G044000.v8.1 transcript:Manes.13G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVKISQTNVEEDSSRARVFPCLFCSRKFYSSQALGGHQNAHKKERSAARKVKKVSEYAPQPPLPLPVVFAPTHHHLGLLHPSIYITARAATLQCYPTHQFTDGFGSNGAPRFDDGLFYGGHYCSSHISRYHKCEEGEQSFLNSQRSMRFDSLHGGAESSQYPALIVNNARRYVGSNGNKDQSLDLSLHL >Manes.04G124800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32518041:32521186:-1 gene:Manes.04G124800.v8.1 transcript:Manes.04G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGAVTVYNSNAITDAKKKNPFSIKIGLAQMLRGGAILQVTNLRAAKIAEEAGACAVIVAEPTRQGIRRMPDPSLIKEIKRAVSIPVMAQSRVGHFVEAQILETVGVDYIDESEILATADEDNFINKHNFRCPFICGCQNLGEALRRVREGAAMIRIQGEILGHGNIAETVKNVRNVMKEIRVLNNMDEDEVFAFAKKIAAPYDLVAQTKQMGRLPVVQFAAGGIVTPADAALMMQLGCDGIFVGHEVFDCADPHKRVRAIVQAVRHYNDPHVLVETSCGLEDAMRELNLSEDRIQQFGVD >Manes.12G082000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11350864:11352696:1 gene:Manes.12G082000.v8.1 transcript:Manes.12G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNGLKKGPWTPEEDNKLISYIQLHGPGNWRALPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSIMGNKWSSIAARLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHSPRLDLLDLSSILGSALCNPSLLNLSSLLGNHAILNPELLRLATILSSLKQENTEMFLQNLQDNQLLSSLVQNQFPLSQVSQFQNPVEEATSAPFLSPTQLMQTDLGGLSCLNSLENSVPSSLSDCLVSQPNSVSCNTNPPIPDKLVENSGLQPITNGCQNYSIESVLSTPLSSPAPLNSSSTFVNSSSPEDERESYCSSLFKFEIPESLNMDDFL >Manes.06G106700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23908280:23915064:1 gene:Manes.06G106700.v8.1 transcript:Manes.06G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELYPFNSSPSDYPMQVVLPENIIPPPPDYHVFQSPGSSSFPAVGSDHLFSGSSVSDTASMVAEMQRGGGAGGGSSGVGSEEEVSSTIRAKIASHPLYPKLLQAYIDCQKVGAPPEMVYLLDEIRQESNLPNRSTVVPSCLGADPELDEFMETYCDILVKYKSDLSRPFNEATTFLNDVEAQLNTLCNNSSRLTHVSDEAVGSSDEDASGGEVEMQDCVRANEDRELKDKLLRKYSGYISTLKQEFSKKKKKGKLPKEARQILLNWWNIHYKWPYPTEADKVALADATGLDQKQINNWFINQRKRHWKPSENMQFAVVESIYGPFFMND >Manes.15G062500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4761234:4763304:-1 gene:Manes.15G062500.v8.1 transcript:Manes.15G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFPSLILFFTSLLFLYTVLKLLGAFSSAKLPPGPRRLPIIGNLHQLKGAPHRSLKELAAKHGPIMHLRLGELRTIVLSSPECAKEILTTHDVIFAQRPKTMASQILSYNFLDIGFAPYGPYWRQLRRICKLELLSQSRVDSFRSIREEEVSNLVKEISSCRGSPIDLSKKLFEMTSGIAMSAAFGKHCKHKEEYISAAYRIIKQNTGFTLVNLFPSFKILGWISGARPKLEKMHGEKDKIVQKILDEHKEKREKRMKDGEQVEAEDDEEDQIDVLLKLREQGDLEFPLTDDTIKAILWDLVTAGSETSSATMEWAMSEMLRFPETMKEAQAEVRRVYGEKGSVDESNLHELQYLKAVVKETLRLHPPSPLLTPRESSEACQIHGYDIPAKSRVTINAWAIERDPKYWPEPEKFSPERFLNSCIDYKGMDFEYIPFGAGRRMCPGIYFAQANIELLLAKLLFHFDWELPEGMRKEDIDMSESFGLALSRKVGLVVIPIPWANN >Manes.01G145900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33627203:33630280:-1 gene:Manes.01G145900.v8.1 transcript:Manes.01G145900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWKTTTNDFSQSVTADVAPSTSTHTLPPSNSIPDHRQRLEPEVKDPIAARKVQKADREKLRRDRLNEHFLELGNTLDPDRPKNDKATILTDTIQVLKDLTAEVSRLRADYAALSEESHELMQEKNELREEKASLKSDIENLNSQYQQRVRVMFPWPAVDPSVVMAPTYPYPVPVHVPPGPIPMHPSMQPFPFFGNQNPNAIPSPCSTFIPYPATANPANEQPSVQYASTSHISSKLDSKSKSADHPRGSNSERCDDSDDVATELELKMPGSSSQQDMSTGERKGKHSHRKEKNITNGSSSSRNSSPLGIQDSSSNSVGDVPKSTD >Manes.01G145900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33625873:33630375:-1 gene:Manes.01G145900.v8.1 transcript:Manes.01G145900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWKTTTNDFSQSVTADVAPSTSTHTLPPSNSIPDHRQRLEPEVKDPIAARKVQKADREKLRRDRLNEHFLELGNTLDPDRPKNDKATILTDTIQVLKDLTAEVSRLRADYAALSEESHELMQEKNELREEKASLKSDIENLNSQYQQRVRVMFPWPAVDPSVVMAPTYPYPVPVHVPPGPIPMHPSMQPFPFFGNQNPNAIPSPCSTFIPYPATANPANEQPSVQYASTSHISSKLDSKSKSADHPRGSNSERCDDSDDVATELELKMPGSSSQQDMSTGERKGKHSHRKEKNITNGSSSSRNSSPLGIQDSSSNSVGDVPKSTD >Manes.08G055050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5997118:5998461:-1 gene:Manes.08G055050.v8.1 transcript:Manes.08G055050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQSSPASRLVRACQDLLSMICSSSSFGRPPEDDFAVCWPSATLFKRNTEIGFYDFPWEDSKTCVETRKVIMIEDEKIEDSMASLETTENAEALRGKDTVERKNRQSNFRFQNVVTKLDHKPSPPGSFGSCELRNRSAHLLLLMSTCSAAMSLLGAFQLPNLLPVKVSSNHSGGMFRLLLFFNSTIFFASMALIAILMHKLPILPWLLISASSTIGAYMYAIIANSPPDVVPVLFIGSSIFLSAFLQCVAPQTKSLNLLQWVCSRIHII >Manes.03G196900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31452082:31458257:1 gene:Manes.03G196900.v8.1 transcript:Manes.03G196900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTLEMEPVEPQSVKKLSFKSLKRALDLFSPIHGQLAPPDPESKKIRLSHKINAEYKGIKSSNELPKPVSSTTTVRGDQSSTPSNALALPGPDDSKDSQKGGAQNALVVGPSLQPKGPNDLGAQGKSTALISALGSSERFSTSAIMERIPSKWPRPVWHPPWKNYRVISGHLGWVRSIAFDPSNSWFCTGSADRTIKIWDVASGRLKLTLTGHIEQIRGLAVSQRHTYMFSAGDDKQVKCWDLEQNKVVRSYHGHLSGVYCLALHPTIDLLLTGGRDSVCRVWDVRTKVQVFALSGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMLTLTHHKKSVRAMAQHPTENCFASASADNIKKFSLPKGEFLHNMLSQQKTIINAMAINEDGVMVTGGDNGSMWFWDWRSGHNFQQAQTIVQPGSLDSEAGIYALSYDVTGSRLVSCEADKTIKMWKEDETATPETHPLNFKPPKDIRRF >Manes.16G081000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28699446:28700670:1 gene:Manes.16G081000.v8.1 transcript:Manes.16G081000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVFPSSKSAANGTAANPTAAPANPAAPPNKSHLYNPTARPPYRPQSYNRRRTRSGRSICCCCFFWSLLILLVLILLAAIAGAAFYIMYHPHRPVFSIPSLRIHRLNLTTSADSVSSHLSTLLNLTIISKNPNSHMTFFYDSFTIASFSNDVFLGNGTLPAHSLSKKNETSFRNVVISGSNDLDAESVNTLRSDLKKKSGAVTLKIQLDTKVKVKMGGLKTKKVGIRVTCEGIKGAAPKGKSPTVAVTTSSKCNVDLRIKIWKWTF >Manes.05G071400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5867376:5872281:1 gene:Manes.05G071400.v8.1 transcript:Manes.05G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKEDIEDGNDGAHQEMREPLVGKNLADEEDGSREQYSSKESVWMVYLSTAVAVCGSFEFGCCAGYSSPTQDAITDDLSLSLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGAMRVSTAFCVAGWLSIYFAKGALALDIGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTTINQLMICSGVSVFYIIGTVVTWRALALTGLIPCAILLLGLFLIPESPRWLAKMGREKQFETALKTLRGKDTDISHEAAEIKDYIETLERLPKAKLLDLFQRRYLRSVIIGVGLMFFQQFGGINGVCFYVSNIFESAGFSATIGTITYAIIQVVVTALNTTVIDKGGRRPLLLVSASGLVTACAITAISFYLKANELALKSVPILAVTGILLYIGSFSAGMGAVPWVVMSEIFPINIKGVAGSLATLMNWFGAWTISYTYNFLMSWSSYGTFILYAAINALGIFFVAKVVPETKGRTLEQIQAAINA >Manes.14G077600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6450729:6454907:1 gene:Manes.14G077600.v8.1 transcript:Manes.14G077600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLMEMRANVDNSLLEGVYIVAMRNYGREGKVQEAVDVFERMDFYNCEPSVLSYNTAMNILVEYGYFKQAHKLYLRMKDKGIVSDVYTFTIRIKSFCRTKRPHAALRLLNNMPFQGCELNAVAYCTAISGFYEEDYQVEAYELFNMMLSMGIFPDIATFNKLINILCRKGHVQESEKLLNKVLKKGACPNLFTLNIFIQGLCRKGALDGAISLFDSVTREGLSPDVVTYNTLICGLCKNSKVVEAVNYLHKMVNKGLEPDGFTYNTIIDGFCKMGMTQEADKIMNDAIFKGFVPDEFTYGSLINGLCQDGDIDRALALFNEVLGKGIKCSIGLYNTLIKGLSQHGLILEALQLMNDMSEKGSSPDIWSYNIVINGLCKMGFVSDANNLMNDAIGKGYNPDIFTFNTLIDGYCKQLKLDNAIEILNSMLIHGITPDVITYNSVLNGLCKAGKSEDVMETFKMMMEKGCVPNIITYNILVENLCKARKVNEALDLLEEIRNKGMALDTVSFGTVISGFSNNGDLDGAYQLFRKMEQQYNVCHTVVTYNIMINAFSEKLDMHMAEKLFHEMRDKGCAPDSYSFRVMIDGFCKMGNVDSGYNFLLEKIKKGFIPSLTTFGRVINCLSVQHRVHEAVGIIRLIVHNGIVPEAVDTIFEADKKAIAAPKIVLEDLLKKSHITYYAYELLYDGIRDKKLQKKKLPSGS >Manes.14G077600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6450729:6454907:1 gene:Manes.14G077600.v8.1 transcript:Manes.14G077600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPALLPKHVAAVLKYQKDPLKALAIFNSVKKDGFKHTLLTYKCIIEKLGFHGEFEAMENVLMEMRANVDNSLLEGVYIVAMRNYGREGKVQEAVDVFERMDFYNCEPSVLSYNTAMNILVEYGYFKQAHKLYLRMKDKGIVSDVYTFTIRIKSFCRTKRPHAALRLLNNMPFQGCELNAVAYCTAISGFYEEDYQVEAYELFNMMLSMGIFPDIATFNKLINILCRKGHVQESEKLLNKVLKKGACPNLFTLNIFIQGLCRKGALDGAISLFDSVTREGLSPDVVTYNTLICGLCKNSKVVEAVNYLHKMVNKGLEPDGFTYNTIIDGFCKMGMTQEADKIMNDAIFKGFVPDEFTYGSLINGLCQDGDIDRALALFNEVLGKGIKCSIGLYNTLIKGLSQHGLILEALQLMNDMSEKGSSPDIWSYNIVINGLCKMGFVSDANNLMNDAIGKGYNPDIFTFNTLIDGYCKQLKLDNAIEILNSMLIHGITPDVITYNSVLNGLCKAGKSEDVMETFKMMMEKGCVPNIITYNILVENLCKARKVNEALDLLEEIRNKGMALDTVSFGTVISGFSNNGDLDGAYQLFRKMEQQYNVCHTVVTYNIMINAFSEKLDMHMAEKLFHEMRDKGCAPDSYSFRVMIDGFCKMGNVDSGYNFLLEKIKKGFIPSLTTFGRVINCLSVQHRVHEAVGIIRLIVHNGIVPEAVDTIFEADKKAIAAPKIVLEDLLKKSHITYYAYELLYDGIRDKKLQKKKLPSGS >Manes.14G077600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6450729:6454907:1 gene:Manes.14G077600.v8.1 transcript:Manes.14G077600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLMEMRANVDNSLLEGVYIVAMRNYGREGKVQEAVDVFERMDFYNCEPSVLSYNTAMNILVEYGYFKQAHKLYLRMKDKGIVSDVYTFTIRIKSFCRTKRPHAALRLLNNMPFQGCELNAVAYCTAISGFYEEDYQVEAYELFNMMLSMGIFPDIATFNKLINILCRKGHVQESEKLLNKVLKKGACPNLFTLNIFIQGLCRKGALDGAISLFDSVTREGLSPDVVTYNTLICGLCKNSKVVEAVNYLHKMVNKGLEPDGFTYNTIIDGFCKMGMTQEADKIMNDAIFKGFVPDEFTYGSLINGLCQDGDIDRALALFNEVLGKGIKCSIGLYNTLIKGLSQHGLILEALQLMNDMSEKGSSPDIWSYNIVINGLCKMGFVSDANNLMNDAIGKGYNPDIFTFNTLIDGYCKQLKLDNAIEILNSMLIHGITPDVITYNSVLNGLCKAGKSEDVMETFKMMMEKGCVPNIITYNILVENLCKARKVNEALDLLEEIRNKGMALDTVSFGTVISGFSNNGDLDGAYQLFRKMEQQYNVCHTVVTYNIMINAFSEKLDMHMAEKLFHEMRDKGCAPDSYSFRVMIDGFCKMGNVDSGYNFLLEKIKKGFIPSLTTFGRVINCLSVQHRVHEAVGIIRLIVHNGIVPEAVDTIFEADKKAIAAPKIVLEDLLKKSHITYYAYELLYDGIRDKKLQKKKLPSGS >Manes.03G182600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30424594:30428174:-1 gene:Manes.03G182600.v8.1 transcript:Manes.03G182600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCFLSRQNPKVHPDPYEDSDVDQLRRFSLEELQLATDNFSNENILGRGGFGMVYRGRLDDGSLVAVKRLENQLTPCKELHFQTTKTIQAVLVHQNILSLRGFCTTPSERLLVYPYLANGSLASCLRERPLSQPALDWPTRKRIALGSARGLVYLHDQCDPKIVHRDVKAANILLDENFEAVVGDFGLAKLLHYNDTHITTEVCGTIGHIAPEYLSSGTCSEKTDVFGYGVMLLELIAGLRAFDLARLANDDDVMLLDWVKGVLREKSLEKMVDPNLHGNYIEAEMEQLIQIALLCTQSLPLYRPKMSEVTRMQEDGGYELAEWWGALQEMDTSDQDLGLPCYFIADSTEQLPPIELSGPR >Manes.03G182600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30424355:30428174:-1 gene:Manes.03G182600.v8.1 transcript:Manes.03G182600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRGRLDDGSLVAVKRLENQLTPCKELHFQTTKTIQAVLVHQNILSLRGFCTTPSERLLVYPYLANGSLASCLRERPLSQPALDWPTRKRIALGSARGLVYLHDQCDPKIVHRDVKAANILLDENFEAVVGDFGLAKLLHYNDTHITTEVCGTIGHIAPEYLSSGTCSEKTDVFGYGVMLLELIAGLRAFDLARLANDDDVMLLDWVKGVLREKSLEKMVDPNLHGNYIEAEMEQLIQIALLCTQSLPLYRPKMSEVTRMQEDGGYELAEWWGALQEMDTSDQDLGLPCYFIADSTEQLPPIELSGPR >Manes.03G182600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30424594:30428174:-1 gene:Manes.03G182600.v8.1 transcript:Manes.03G182600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCFLSRQNPKVHPDPYEDSDVDQLRRFSLEELQLATDNFSNENILGRGGFGMVYRGRLDDGSLVAVKRLENQLTPCKELHFQTTKTIQAVLVHQNILSLRGFCTTPSERLLVYPYLANGSLASCLRERPLSQPALDWPTRKRIALGSARGLVYLHDQCDPKIVHRDVKAANILLDENFEAVVGDFGLAKLLHYNDTHITTEVCGTIGHIAPEYLSSGTCSEKTDVFGYGVMLLELIAGLRAFDLARLANDDDVMLLDWVKGVLREKSLEKMVDPNLHGNYIEAEMEQLIQIALLCTQSLPLYRPKMSEVTRMQEDGGYELAEWWGALQEMDTSDQDLGLPCYFIADSTEQLPPIELSGPR >Manes.05G142600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24356337:24358740:1 gene:Manes.05G142600.v8.1 transcript:Manes.05G142600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFDLQDFILRARVLKLYRQALRTAKRAPEHARVELKHTIRQEMEKNRNCKEKQRIRFLISEGLERLKGLDEMLDMQGH >Manes.05G142600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24356337:24358714:1 gene:Manes.05G142600.v8.1 transcript:Manes.05G142600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFDLQDFILRARVLKLYRQALRTAKRAPEHARVELKHTIRQEMEKNRNCKEKQRIRFLISEGLERLKGLDEMLDMQGH >Manes.04G059132.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:9422777:9423427:-1 gene:Manes.04G059132.v8.1 transcript:Manes.04G059132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLRNLSKKSQHELEKNYNPINLDYIFKEDDHPWLEERENFVLDGEENPWLEEDEVAPSQSQQVNAPTHGHNIGGGGDAELKDSFILSSSSDDDDGGSGQGGRGEGRGATSSLQSHDDPSSYQRHNPSPSPALQHTYHRSRGSGGSGDKGKDVAHGECSMDADNYGYGTYGTSESSMEATSTGDYGYRRNFQWEYLNPYPYQPPPSHSDLSLSK >Manes.11G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9799765:9806007:1 gene:Manes.11G069100.v8.1 transcript:Manes.11G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDREDGFDLYSVQPGTGYVMRSERQKQGDKSPMSASFPQSKKQIGPFELQDEVDDLADIFDNMARQTTSFPTRNVHGMPQSYAQEMAFPVIKGDISQCNVSHNPETGLGYLYEPVSGFPDLVGNVNKFCEYHALGDPIDASNIHASDLDIFQVERNKLTPGIDVGGGLQMSIASREANDSGTYRPWQTESKITHADTTPVSGHNPSSGEFGMNISQMCRSGGEANGNNIHIPRVFGKQLIHAGSTPVLGYNPRINGELRMNNSLMAGVARSSHPEMFDGNFLNLGYGSNLGTKAKYKVSSKDNNQSTKGIALPMLNTYSGQNVARSYLNSSADVAGFSSFQKYNSGCTMLALNESYSKSIGHAQHAHLVSGSGQDADGLSHQVWHVDEFSSLVQNVPGFSHQAQYIDRSYGLIQNVGRLSKPSRDGSVGNLLPLVDRQLYNHIPSSWNVGLGKRKERFANISPHTSFEGLPTELSIPNYSNQVSLPDAAWFGVNELSPPLSLRRSAIQPSSDTLQSSRVGAVRNLSPEPSMVLPFTGVTRSIGWQDQSGNLGQSQASVPIKLFGSLAALAHSNGEEIPAVNRPTQSSSVPSGPSLDRDAPMCPSEAPKPRCKKARSIKPASHLSAPTLVRTGPPHAPVASVGSLSPPIAQSVPSRPPLACTSSRLPSFPGASSPHYIKWQGGKGEYTTPRPSGNQCHICKRDLSFNPEGPIYQPEKPITAAVLPCGHHFHDSCLQRITPQNQAQDPPCIPCAMADNN >Manes.14G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1078294:1083582:1 gene:Manes.14G015300.v8.1 transcript:Manes.14G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSHFSQKSLLSRSLRPPLISSLRASKFSLYPSSFLCSQLHPLSQQPSLACHVTNPPSSRSVSMDSSSPEPTVSIDSLSDDFKNQNLGADGGLHDKNSTSKGKLTLADLNWDHSFVRELPGDSRTDTIPRQVLHACYTKVSPSAEVENPHLVAWSESVSELLDLDPKEFDRPDFPLLFSGASPLVGALPYAQCYGGHQFGMWAGQLGDGRAITLGEIINSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAIHHLGIPTTRALCLVTTGKYVTRDMFYDGNPKEEPGAIVCRVAQSFLRFGSYQIHASRGKEDLDIVRALADYAIKNHFSHIENMSKSESLSFSTGDEDHSVVDLTSNKYAAWAVEIAERTASLVASWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFTASLTAAQLIDDKEANYAMERYGTKFMDEYQAIMTRKLGLPKYNKQLISKLLNNMAVDKVDYTNFFRLLSNIKADPNTPQDELLVPLKAVLLDIGKERKEAWINWVQSYVHELAASGISDEQRKIQMDSVNPKYVLRNYLCQTAIDSAELGDFGEVRRLLKLMERPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >Manes.18G073900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6760723:6766828:-1 gene:Manes.18G073900.v8.1 transcript:Manes.18G073900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDASNQRDASNVINDESKHQNFQSMESDISLRTDGAASLLAKRTILAQDQLHQLGNFLGQPAIQSSVVGSSCATTTSVHSTSAPMRNSTCYYSRSHAESGTSVRVEPLGDVNVNSLHIIQRNMEHLSYTSLKDTNGMLIDQKAIAAQASTSAIDAQMNEHHLPREKQGYLAKETNISGNPSYVDDSTTKERGSVDNVHDIQAQNPLSKNPSSDVKLEPFKPEKQENVTSGNTVPVPRKKNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLNRKNNIIQLIDYEVTDKNWLCEVMNGSASNKDGRVKDDGYIYMILEYGEIDLAHMLSQKWKELDSTNQSIDENWLRFYWQQILQAVNTIHEERILHSDLKPANFLLVKGALKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPESFMCNESDANGNAIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWAKFKVITDPNHEISYVPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPRQRSLSNEQGSVLLQLVAEACASNQEASKLCRDLQQMLTDPVALLIHQSLTSRDQQCKLLAKMSMLSFQLRECLSKLERDSK >Manes.18G073900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6760882:6766640:-1 gene:Manes.18G073900.v8.1 transcript:Manes.18G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREANLPVSAAPPLGNLVRHTINSDTTSSSSSSSSFSSSSPPDFLKHVQAAFKRHRPICTMPTYSIKPRRILVPECEISKAAVSDIDPKAGMKKSERVIPFDLKDSTMQCKNPAAVMETQEESITPPSISGTITKTLDENFNPPDVQKSQSPSIVDCKANNPMSLLHVDGKRRVQFSVVKIANSQEMEWDASNQRDASNVINDESKHQNFQSMESDISLRTDGAASLLAKRTILAQDQLHQLGNFLGQPAIQSSVVGSSCATTTSVHSTSAPMRNSTCYYSRSHAESGTSVRVEPLGDVNVNSLHIIQRNMEHLSYTSLKDTNGMLIDQKAIAAQASTSAIDAQMNEHHLPREKQGYLAKETNISGNPSYVDDSTTKERGSVDNVHDIQAQNPLSKNPSSDVKLEPFKPEKQENVTSGNTVPVPRKKNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLNRKNNIIQLIDYEVTDKNWLCEVMNGSASNKDGRVKDDGYIYMILEYGEIDLAHMLSQKWKELDSTNQSIDENWLRFYWQQILQAVNTIHEERILHSDLKPANFLLVKGALKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPESFMCNESDANGNAIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWAKFKVITDPNHEISYVPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPRQRSLSNEQGSVLLQLVAEACASNQEASKLCRDLQQMLTDPVALLIHQSLTSRDQQCKLLAKMSMLSFQLRECLSKLERDSK >Manes.18G073900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6760567:6766819:-1 gene:Manes.18G073900.v8.1 transcript:Manes.18G073900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDASNQRDASNVINDESKHQNFQSMESDISLRTDGAASLLAKRTILAQDQLHQLGNFLGQPAIQSSVVGSSCATTTSVHSTSAPMRNSTCYYSRSHAESGTSVRVEPLGDVNVNSLHIIQRNMEHLSYTSLKDTNGMLIDQKAIAAQASTSAIDAQMNEHHLPREKQGYLAKETNISGNPSYVDDSTTKERGSVDNVHDIQAQNPLSKNPSSDVKLEPFKPEKQENVTSGNTVPVPRKKNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLNRKNNIIQLIDYEVTDKNWLCEVMNGSASNKDGRVKDDGYIYMILEYGEIDLAHMLSQKWKELDSTNQSIDENWLRFYWQQILQAVNTIHEERILHSDLKPANFLLVKGALKLIDFGIAKAIMSDTTNIQRDSQKQLEFLI >Manes.18G073900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6760567:6766819:-1 gene:Manes.18G073900.v8.1 transcript:Manes.18G073900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDASNQRDASNVINDESKHQNFQSMESDISLRTDGAASLLAKRTILAQDQLHQLGNFLGQPAIQSSVVGSSCATTTSVHSTSAPMRNSTCYYSRSHAESGTSVRVEPLGDVNVNSLHIIQRNMEHLSYTSLKDTNGMLIDQKAIAAQASTSAIDAQMNEHHLPREKQGYLAKETNISGNPSYVDDSTTKERGSVDNVHDIQAQNPLSKNPSSDVKLEPFKPEKQENVTSGNTVPVPRKKNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNKLNRKNNIIQLIDYEVTDKNWLCEVMNGSASNKDGRVKDDGYIYMILEYGEIDLAHMLSQKWKELDSTNQSIDENWLRFYWQQILQAVNTIHEERILHSDLKPANFLLVKGALKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPESFMCNESDANGNAIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWAKFKVITDPNHEISYVPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPRQRSLSNEQGSVLLQLVAEACASNQEASKLCRDLQQMLTDPVALLIHQSLTSRDQQCKLLAKMSMLSFQLRECLSKLERDSK >Manes.06G167200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29292090:29298952:1 gene:Manes.06G167200.v8.1 transcript:Manes.06G167200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFLLFNFLILLFMSLLCGLGVSKECTNIPTQLSSHTFRYELLSSNNETWKEEVFAHYHLIPTDDSAWSNLLPRKILKEEDEFDWAVTYRKMKRTLQSSGQFLKEVSLHNVRLDSSSIQWRAQQTNLEYLLMLDVDRLVWSFRKTAGLPTPGTAYGGWEAPDCELRGHFVGHYLSASAHMWASTHNFTLQKKMSAVVSALSACQEKIGSGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTFADDAQSLKIVKWMVEYFYNRVQKVIFQYSIERHFLSLNEETGGMNDVLYRLFSITGDPKHLILAHLFDKPCFLGLLAVQADDISGFHANTHIPVVIGSQMRYEVTGDTLYKEIGTFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLSTENEESCTTYNMLKVSRHLFRWTKEMAYADYYERALTNGVLGIQRGTEPGVMIYMLPQRPGSSKARSYHGWGTQFDSFWCCYGTGIESFSKLGDSIYFEEEGETPGLYVVQYVSSSLDWKIGQMVLNLKADPVVSWDPYLRVTFTFSPNEGASQQSTLNFRIPIWTNSDGAKATLNAQSLSVPAPGSFLSITRKWSNSDKFSLQLPLSLRTEAIKDDRSEYASVQAILYGPYLLAGHTSGAWNIDSGSANSFSDWITPIPATHNNNLVSFTQQSGDSAFVLTNSNQTITMEKLPESGTDASVYATFRLISNASSSSELSTTEVCLGNYVMLEPFDLPGMVLVQQGEDGIAIANSDGGDGSSIFRLVSGLDGKNGTISLESVSHENCYVFSGADYKAGTSLKLTCNTESSDIHQGASFIMSKGLSEYHPISFVAKGGKRNYVLEPLMSFRDESYTIYFNILGRNQKT >Manes.04G023300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2742374:2744174:1 gene:Manes.04G023300.v8.1 transcript:Manes.04G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQSLFLSSLLLLFLHCSKILAQSPAAAPAPAKAPAATPPPPSTPAAAAPAPALVPVMPSKGPLNVVKVLQKAGHFTFFVRLIKTTQEDIQLFSQLNDSSDGVTIFAPTDGAFSSIIKSGTLNSLSDQEKIELVQFHIIPRFLSVSQFQTVSNPLKTLSGAGNRYALNVTTTENLVNISTGLTNTSVSAIVYTDGKVAIYQVDKVLLPLDLFAPKPPAPAPAPPKAKKDEGAESPVVPKDISGAVLSCLAQENLVIFGVIGMFGAIFSL >Manes.01G132500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32563440:32579903:-1 gene:Manes.01G132500.v8.1 transcript:Manes.01G132500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNKDEQEVVSREKKDRRDFEHLSELANRMGLHSRQYSRVVVFSKVPLPNYRPDLDDKRPQREVILPFGLQREVDAYLNAYLSKKSMKRGNFSANSLSSLSGGNMAMNEGFCEQPEPLLRNNVVMEKIIGRKSLQLRNKQQEWQESPDGQKMNEFRRSLPAYKERDALLKVISENQVVIVSGETGCGKTTQLPQYILESEIEAARGAACSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGVLLRRLLVDRSLNGVTHVIVDEIHERGMNEDFLLIVLRDLLPRRPELRLILMSATLNAELFSSYFGGAPTLHIPGFTYPVRAHFLEDILEITGYRLTPYNQIDDYGQDKVWKMQKQTQAFRKRKSQIASAVEDALEAANFRGYRLRTQESLSCWNPDSIGFNLIEHVLCHIVKKERPGAVLVFMTGWDDINSLKDQLASHPILGDSSKVLLLACHGSMASSEQRLIFDKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLIPSWISKAAARQRRGRAGRVQPGECYHLYPRCVYDAFADYQPPELLRTPLQSLCLQIKSLQLGSISEFLSRALQPPEPLSVQNAVEYLKVIGALDENENLTVLGRHLSILPVEPKLGKMLILGAIFNCLGPVMTVVAGLSVRDPFLMPFDKKDLAESAKAQFSARDYSDHLALVRAYDGWKDAERQQSGYEYCWQNFLSAQTMKAIDSLRKQFFCLLKDTGLIDLKTEDCNMWSHDEHLIRAVICAGLFPGICSVVNKEKSIALKTMEDGQVLLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNLSRGGLDGHLKMLGGYLEFFMKPALGNTYLSLRRELEELIQKKLQDPKLDIQDDNKLLRALRLLISRDQCEGKFVFARQLPAPPQKATKDVTTGKQPYDNSKNELQTLLVRAGHGAPSYKTKQLKNNQFRSTVIFNGLDFVGRPCSSKKLAEKDAAAEALLWLKGEVHSSSSSRDINHVSMLLKKSKRKSKDKTPVHGGKWS >Manes.01G132500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32565789:32579897:-1 gene:Manes.01G132500.v8.1 transcript:Manes.01G132500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYSTIFQSSIRTSRNMAMRTTTASLHFHFHRPPSKTFRHPILFPLASFVSFTNPQIVASKAALFSPLDFKHRGTVRCTGAFCFLDCRTSALPVSCLQNTNYGRFAYHEVSSDDSDREFGSPSQQSLTGSTLDNVDDWRWKLTMLLRNKDEQEVVSREKKDRRDFEHLSELANRMGLHSRQYSRVVVFSKVPLPNYRPDLDDKRPQREVILPFGLQREVDAYLNAYLSKKSMKRGNFSANSLSSLSGGNMAMNEGFCEQPEPLLRNNVVMEKIIGRKSLQLRNKQQEWQESPDGQKMNEFRRSLPAYKERDALLKVISENQVVIVSGETGCGKTTQLPQYILESEIEAARGAACSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGVLLRRLLVDRSLNGVTHVIVDEIHERGMNEDFLLIVLRDLLPRRPELRLILMSATLNAELFSSYFGGAPTLHIPGFTYPVRAHFLEDILEITGYRLTPYNQIDDYGQDKVWKMQKQTQAFRKRKSQIASAVEDALEAANFRGYRLRTQESLSCWNPDSIGFNLIEHVLCHIVKKERPGAVLVFMTGWDDINSLKDQLASHPILGDSSKVLLLACHGSMASSEQRLIFDKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLIPSWISKAAARQRRGRAGRVQPGECYHLYPRCVYDAFADYQPPELLRTPLQSLCLQIKSLQLGSISEFLSRALQPPEPLSVQNAVEYLKVIGALDENENLTVLGRHLSILPVEPKLGKMLILGAIFNCLGPVMTVVAGLSVRDPFLMPFDKKDLAESAKAQFSARDYSDHLALVRAYDGWKDAERQQSGYEYCWQNFLSAQTMKAIDSLRKQFFCLLKDTGLIDLKTEDCNMWSHDEHLIRAVICAGLFPGICSVVNKEKSIALKTMEDGQVLLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNLSRGGLDGHLKMLGGYLEFFMKPALGNTYLSLRRELEELIQKKLQDPKLDIQDDNKLLRALRLLISRDQCEGKFVFARQLPAPPQKATKDVTTGKQPYDNSKNELQTLLVRAGHGAPSYKTKQLKNNQFRSTVIFNGLDFVGRPCSSKKLAEKDAAAEALLWLKGEVHSSSSSRDINHVSMLLKKSKRKSKDKTPVHGGKWS >Manes.01G132500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32565789:32579897:-1 gene:Manes.01G132500.v8.1 transcript:Manes.01G132500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYSTIFQSSIRTSRNMAMRTTTASLHFHFHRPPSKTFRHPILFPLASFVSFTNPQIVASKAALFSPLDFKHRGTVRCTGAFCFLDCRTSALPVSCLQNTNYGRFAYHEVSSDDSDREFGSPSQQSLTGSTLDNVDDWRWKLTMLLRNKDEQEVVSREKKDRRDFEHLSELANRMGLHSRQYSRVVVFSKVPLPNYRPDLDDKRPQREVILPFGLQREVDAYLNAYLSKKSMKRGNFSANSLSSLSGGNMAMNEGFCEQPEPLLRNNVVMEKIIGRKSLQLRNKQQEWQESPDGQKMNEFRRSLPAYKERDALLKVISENQVVIVSGETGCGKTTQLPQYILESEIEAARGAACSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGVLLRRLLVDRSLNGVTHVIVDEIHERGMNEDFLLIVLRDLLPRRPELRLILMSATLNAELFSSYFGGAPTLHIPGFTYPVRAHFLEDILEITGYRLTPYNQIDDYGQDKVWKMQKQTQAFRKRKSQIASAVEDALEAANFRGYRLRTQESLSCWNPDSIGFNLIEHVLCHIVKKERPGAVLVFMTGWDDINSLKDQLASHPILGDSSKVLLLACHGSMASSEQRLIFDKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLIPSWISKAAARQRRGRAGRVQPGECYHLYPRCVYDAFADYQPPELLRTPLQSLCLQIKSLQLGSISEFLSRALQPPEPLSVQNAVEYLKVIGALDENENLTVLGRHLSILPVEPKLGKMLILGAIFNCLGPVMTVVAGLSVRDPFLMPFDKKDLAESAKAQFSARDYSDHLALVRAYDGWKDAERQQSGYEYCWQNFLSAQTMKAIDSLRKQFFCLLKDTGLIDLKTEDCNMWSHDEHLIRAVICAGLFPGICSVVNKEKSIALKTMEDGQVLLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNLSRGGLDGHLKMLGGYLEFFMKPALGNTYLSLRRELEELIQKKLQDPKLDIQDDNKLLRALRLLISRDQCEGKFVFARQLPAPPQKATKDVTTGKQPYDNSKNELQTLLVRAGHGAPSYKTKQLKNNQFRSTVIFNGLDFVGRPCSSKKLAEKDAAAEALLWLKGEVHSSSSSRDINHVSMLLKKSKRKSKDKTPVHGGKWS >Manes.01G132500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32563440:32579903:-1 gene:Manes.01G132500.v8.1 transcript:Manes.01G132500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYSTIFQSSIRTSRNMAMRTTTASLHFHFHRPPSKTFRHPILFPLASFVSFTNPQIVASKAALFSPLDFKHRGTVRCTGAFCFLDCRTSALPVSCLQNTNYGRFAYHEVSSDDSDREFGSPSQQSLTGSTLDNVDDWRWKLTMLLRNKDEQEVVSREKKDRRDFEHLSELANRMGLHSRQYSRVVVFSKVPLPNYRPDLDDKRPQREVILPFGLQREVDAYLNAYLSKKSMKRGNFSANSLSSLSGGNMAMNEGFCEQPEPLLRNNVVMEKIIGRKSLQLRNKQQEWQESPDGQKMNEFRRSLPAYKERDALLKVISENQVVIVSGETGCGKTTQLPQYILESEIEAARGAACSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGVLLRRLLVDRSLNGVTHVIVDEIHERGMNEDFLLIVLRDLLPRRPELRLILMSATLNAELFSSYFGGAPTLHIPGFTYPVRAHFLEDILEITGYRLTPYNQIDDYGQDKVWKMQKQTQAFRKRKSQIASAVEDALEAANFRGYRLRTQESLSCWNPDSIGFNLIEHVLCHIVKKERPGAVLVFMTGWDDINSLKDQLASHPILGDSSKVLLLACHGSMASSEQRLIFDKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLIPSWISKAAARQRRGRAGRVQPGECYHLYPRCVYDAFADYQPPELLRTPLQSLCLQIKSLQLGSISEFLSRALQPPEPLSVQNAVEYLKVIGALDENENLTVLGRHLSILPVEPKLGKMLILGAIFNCLGPVMTVVAGLSVRDPFLMPFDKKDLAESAKAQFSARDYSDHLALVRAYDGWKDAERQQSGYEYCWQNFLSAQTMKAIDSLRKQFFCLLKDTGLIDLKTEDCNMWSHDEHLIRAVICAGLFPGICSVVNKEKSIALKTMEDGQVLLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNLSRGGLDGHLKMLGGYLEFFMKPALGNTYLSLRRELEELIQKKLQDPKLDIQDDNKLLRALRLLISRDQCEGKFVFARQLPAPPQKATKDVTTGKQPYDNSKNELQTLLVRAGHGAPSYKTKQLKNNQFRSTVIFNGLDFVGRPCSSKKLAEKDAAAEALLWLKGEVHSSSSSRDINHVSMLLKKSKRKSKDKTPVHGGKWS >Manes.01G132500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32565788:32579897:-1 gene:Manes.01G132500.v8.1 transcript:Manes.01G132500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNKDEQEVVSREKKDRRDFEHLSELANRMGLHSRQYSRVVVFSKVPLPNYRPDLDDKRPQREVILPFGLQREVDAYLNAYLSKKSMKRGNFSANSLSSLSGGNMAMNEGFCEQPEPLLRNNVVMEKIIGRKSLQLRNKQQEWQESPDGQKMNEFRRSLPAYKERDALLKVISENQVVIVSGETGCGKTTQLPQYILESEIEAARGAACSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGVLLRRLLVDRSLNGVTHVIVDEIHERGMNEDFLLIVLRDLLPRRPELRLILMSATLNAELFSSYFGGAPTLHIPGFTYPVRAHFLEDILEITGYRLTPYNQIDDYGQDKVWKMQKQTQAFRKRKSQIASAVEDALEAANFRGYRLRTQESLSCWNPDSIGFNLIEHVLCHIVKKERPGAVLVFMTGWDDINSLKDQLASHPILGDSSKVLLLACHGSMASSEQRLIFDKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLIPSWISKAAARQRRGRAGRVQPGECYHLYPRCVYDAFADYQPPELLRTPLQSLCLQIKSLQLGSISEFLSRALQPPEPLSVQNAVEYLKVIGALDENENLTVLGRHLSILPVEPKLGKMLILGAIFNCLGPVMTVVAGLSVRDPFLMPFDKKDLAESAKAQFSARDYSDHLALVRAYDGWKDAERQQSGYEYCWQNFLSAQTMKAIDSLRKQFFCLLKDTGLIDLKTEDCNMWSHDEHLIRAVICAGLFPGICSVVNKEKSIALKTMEDGQVLLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNLSRGGLDGHLKMLGGYLEFFMKPALGNTYLSLRRELEELIQKKLQDPKLDIQDDNKLLRALRLLISRDQCEGKFVFARQLPAPPQKATKDVTTGKQPYDNSKNELQTLLVRAGHGAPSYKTKQLKNNQFRSTVIFNGLDFVGRPCSSKKLAEKDAAAEALLWLKGEVHSSSSSRDINHVSMLLKKSKRKSKDKTPVHGGKWS >Manes.01G132500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32563440:32579903:-1 gene:Manes.01G132500.v8.1 transcript:Manes.01G132500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNKDEQEVVSREKKDRRDFEHLSELANRMGLHSRQYSRVVVFSKVPLPNYRPDLDDKRPQREVILPFGLQREVDAYLNAYLSKKSMKRGNFSANSLSSLSGGNMAMNEGFCEQPEPLLRNNVVMEKIIGRKSLQLRNKQQEWQESPDGQKMNEFRRSLPAYKERDALLKVISENQVVIVSGETGCGKTTQLPQYILESEIEAARGAACSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGIKGRDTRLLFCTTGVLLRRLLVDRSLNGVTHVIVDEIHERGMNEDFLLIVLRDLLPRRPELRLILMSATLNAELFSSYFGGAPTLHIPGFTYPVRAHFLEDILEITGYRLTPYNQIDDYGQDKVWKMQKQTQAFRKRKSQIASAVEDALEAANFRGYRLRTQESLSCWNPDSIGFNLIEHVLCHIVKKERPGAVLVFMTGWDDINSLKDQLASHPILGDSSKVLLLACHGSMASSEQRLIFDKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLIPSWISKAAARQRRGRAGRVQPGECYHLYPRCVYDAFADYQPPELLRTPLQSLCLQIKSLQLGSISEFLSRALQPPEPLSVQNAVEYLKVIGALDENENLTVLGRHLSILPVEPKLGKMLILGAIFNCLGPVMTVVAGLSVRDPFLMPFDKKDLAESAKAQFSARDYSDHLALVRAYDGWKDAERQQSGYEYCWQNFLSAQTMKAIDSLRKQFFCLLKDTGLIDLKTEDCNMWSHDEHLIRAVICAGLFPGICSVVNKEKSIALKTMEDGQVLLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNLSRGGLDGHLKMLGGYLEFFMKPALGNTYLSLRRELEELIQKKLQDPKLDIQDDNKLLRALRLLISRDQCEGKFVFARQLPAPPQKATKDVTTGKQPYDNSKNELQTLLVRAGHGAPSYKTKQLKNNQFRSTVIFNGLDFVGRPCSSKKLAEKDAAAEALLWLKGEVHSSSSSRDINHVSMLLKKSKRKSKDKTPVHGGKWS >Manes.01G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32327511:32330895:1 gene:Manes.01G129500.v8.1 transcript:Manes.01G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLNLSQSKLSHLCPMGPLLSFCIHTIALVLACNILAASGNNETDLLALLQLKANINGDPLGVVRSWNSTLNFCHWPGVTCGRRHKRVTVVDLRSLKLSGSISPHIGNLSFLRELNLQNNSFTQAIPPQIGRLHRLQKLFLNNNSFRGQIPPNISGCSNLVSLQLQYNQLVGSIPEELGFLSKLEIFSVGKNNLVGTIPPSLGNSSSLQVIYASENNLFGSLPHSLGKLMNLSILALFENGFSGTIPPSIYNLSSILAFDVGYNQFEGSLPSELGANTFPNIQTFFISANKFTGSIPNSLSNASNIAILHLSRNKLTGKVPSFGNLNKLTRFSVSNNSLGSGEDGDLSFLPSLTNATGLVRVGIEMNNFGGRLPGRICNLSRHLSEIYFSQNQIYGDIPSGIDNLISLQIFDGSINKLSGNIPSNVGKLRNLRYLYLLANNFSGYIPSSLGNLTELLLLTLRENNLHGNIPSSLAQCQKLLALELSFNNLSGNIPPQIMNISSLSILLDLSNNYHLNGVLPMEVGKLEILGVLDVSNNNLSGRIPESIGSCASLEVLRLDGNFFQGSIPSSLSSLRGLRVLDLSRNNFSGKIAEFIQDFRLLARLNLSYNDFEGEVPTNGVFKNPSATGIKGNKKLCGGIPEFQLPRCSFDNKPKKRSMEKMMISIIAPLLGATLIFACFILYLSRKRRSDKNNNRSSSYENTLLKVSYHSLLRATNEFSSANLIGAGSFGSVYKGILEEDGRAISIAVKVINLERRGSCRSFMAECEALRSIRHRNLVKVLTACSSIDHQGNDFKALVYEFMTNGSLEEWLHPSTAVPVEEAKTLNLLQRINIAIDIASAVEYLHLHCETPIIHCDLKPSNILLDNEMTGHVSDFGLAKFFSEENLHNSTNESSSFGVRGTIGYAPPEYGTGREVSTYGDIYSYGILLLEMFTGKRPTDSTFMEGLNLHNFVKVALPERVSNIIDPILIEGRSTNMGNSFPNHTHMQNNDILFECSISIFEIGISCSAELPRERMSISDAFSQLGRIKNRLLQARLLQR >Manes.08G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:291928:293766:-1 gene:Manes.08G000500.v8.1 transcript:Manes.08G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVRLAMSVWHLLLFVSCVPGFVISAVVTLDSIKIYNSHEWLKTSKPIVYFSCKGEKKTRLPDVKEVNVSYAFKGQESWQPLTELPSKKCKRCGFYEEDKLKSDDVFDEWEFCPSDFVDSDGKYRRIKDREFDATFLCPQCVPLAADSNTASGSHDRPKKGMHVAVVILISALVSTVLIIGIVVAFKYWQKKKRQQEQARFLKLFEDGDDIEDELGLGTVI >Manes.12G009900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1014220:1015286:1 gene:Manes.12G009900.v8.1 transcript:Manes.12G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKVERFEKNITKRGAVPETTTKKGNDYPVGPVLLGFFIFVVIGSSLFQIIRTATSGGMA >Manes.04G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31670458:31673097:1 gene:Manes.04G112600.v8.1 transcript:Manes.04G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSAATTTTTAAPTPLYNRKNIKVTPIDGVDMVKKIGNSNGVPHGVYGFDPSFMKWTLRDVVNVAKRHWLPCFLGLGLLFFMGVEYTLRMVPASSPPFDLGFVATRRLHLLLSSWPELNTLLAALNTVFVGMQTAYIMWTWLIEGRPRATISALFMFTCRGILGYSTQLPVPEGFLGSGVDFPVGNVSFFLFFSGHVAGSVIASLDMRRMGRWELAWTFDVLNVLQAVRLLGTRGHYTIDLAAGVGAGFLFDSLAGKYEEGKRKEAIGYYS >Manes.18G088700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8164334:8167842:1 gene:Manes.18G088700.v8.1 transcript:Manes.18G088700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSNSPRASGHASSCMDHPPVIYVHSISEAGRLLPSASRWNSVEVDFHVVPKSNSPYDSLPSQYSKSIDCELVITDKQYFKRFLYVSLAIVFVIIAFVLLVQFLPHRHNHHENSKNLTLALHQALTFFDAQKSGHYPSNSPVKFRGNSGLQDGESVPGGLVGGFYDSGNNIKFSFPTAYTVTLLSWTVIEYHEKFADIGELDHVKDIIRWGSDYLLEIFVPPNSSLNSITLYSQVGTGNGTQVDNDISCWQRPEDMSYKRPVSVCDVTASDLAGEIMAALSAASIVFQEDTAYSGKLIHSAKILFDVATKNDTDHRQGTYTAVDNCGGEARMFYNSSGYKDELVWGGTWLFFATGNVSYLRYATNHFGAAEEEEAISEKGVFYWNNKLTATAVLLTRLLYFQDMGYSYKDGAGSSSDETNLLVCSYLSQETFDRTPATASFLSKLYSDYLELIRSSGLNCSGDDLSLEMLRNFAMSQVNYILGDNPMKMSYMVGFGNQYPTQVHHRAASIRQDNQHYTCQEGERWLYSNDGNPYILYGAVVAGPDQNDYFLDKRDKPQFTEPSLAGNAGFVAALIALHDPPLNSPDTNGFNLGIDQNGIFEKIHKPPPAP >Manes.18G088700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8164334:8167842:1 gene:Manes.18G088700.v8.1 transcript:Manes.18G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSNSPRASGHASSCMDHPPVIYVHSISEAGRLLPSASRWNSVEVDFHVVPKSNSPYDSLPSQYSKSIDCELVITDKQYFKRFLYVSLAIVFVIIAFVLLVQFLPHRHNHHENSKNLTLALHQALTFFDAQKSGHYPSNSPVKFRGNSGLQDGESVPGGLVGGFYDSGNNIKFSFPTAYTVTLLSWTVIEYHEKFADIGELDHVKDIIRWGSDYLLEIFVPPNSSLNSITLYSQVGTGNGTQVDNDISCWQRPEDMSYKRPVSVCDVTASDLAGEIMAALSAASIVFQEDTAYSGKLIHSAKILFDVATKNDTDHRQGTYTAVDNCGGEARMFYNSSGYKDELVWGGTWLFFATGNVSYLRYATNHFGAAEEEEAISEKGVFYWNNKLTATAVLLTRLLYFQDMGYSYKDGAGSSSDETNLLVCSYLSQETFDRTPGGLILLSPNNDKPIQFAATASFLSKLYSDYLELIRSSGLNCSGDDLSLEMLRNFAMSQVNYILGDNPMKMSYMVGFGNQYPTQVHHRAASIRQDNQHYTCQEGERWLYSNDGNPYILYGAVVAGPDQNDYFLDKRDKPQFTEPSLAGNAGFVAALIALHDPPLNSPDTNGFNLGIDQNGIFEKIHKPPPAP >Manes.15G187100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27224300:27225818:-1 gene:Manes.15G187100.v8.1 transcript:Manes.15G187100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILFISLLAFILVIIQANAATENFWCSNPGTRCYGKYVKCPDECPSTSSENPKAKVCYVNCNNPHCKPECKRRKPDCNTPGSACYDPRFIGGDGVVFYFHGKSNENFNLVSDSNLHINGRFIGHRPAGRTRDFTWIQALGILFNSYTFSLEATKAATWDGEVDHLKFTYNGQDLVIPEGSLSIWYSPQKDVKVERVSSKNSVIITLKDIAEILVNVVPVTKEDDRVHKYQVPANDCFAHLEVQFRFFKLSPMVDGVLGRTYRPDFENSAKPGVAMPILGDEEKYKTPSLLSAKCESCIFSEGGTEQKTSSIIDFGTLDCTRGASAGYGIVCRK >Manes.01G174266.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35558981:35561658:-1 gene:Manes.01G174266.v8.1 transcript:Manes.01G174266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAGKSTLLGALSRAKPRVGHYAFTTLRPNLGKLNFDDFSITVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAAGLDGRKGFPPWEQLKDLVLELEHHQEGLSDRPSLVVANKIDEAGADEVYEELKRRVQDVPIYPVCAVLEEGVPELKAGLRMLMDSVKLQRLGLVKIDCS >Manes.05G087000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7863224:7868715:1 gene:Manes.05G087000.v8.1 transcript:Manes.05G087000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFPSSSSSSSASPSLLSIDEALTLIPGLPNDIAAQILSMVPYSHHSRIKCTCKAWYAFLSSKTLISLRHHLRHLTHLLCIFPQDPSISSPYLFDPNNLAWCPLPLMPCNPHVYGLCNFTSISLGPNLYVLGGSLFDTRSFPMDRPSPSSSAFRFNFVESSWDQLSPMLSPRGSFACAAIPNLGQIIVAGGGSRHTLFGAAGSRMSSVEKYDVGRDEWVAMDGLPRYRAGCVGFLVGSGEEREFWVMGGYGESRTISGVFPVDEYYKDAVVMGVKKNGCGKWRELGDMWREGERARLGKIVVVEDYEWDQPGVFMLDNNDIFRYDLVSNSWQKESGLPRKAPCNSSCGFVVLNGELHVLSLLKGGDTTESRRSRQQKRAGSLLIQIYQPRKRTWRSLITKPPFHCPLDFNTAVMCTIQL >Manes.15G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7834691:7844397:1 gene:Manes.15G100000.v8.1 transcript:Manes.15G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEEQRVLPFQLQFDKPVTSQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVCLNWEEDGQLNRDDSPNLSTYEDRTPRFFPPAPRPPRTLGVVCGDTGFMDDNEDLYQELSNSSYQRFNVLCSADNDGNICFSIFGIFPIGKINIHKSSVSTPFVDKQSAHQLMNASIYKVALSKDLCRLIVMCSGELDENMTELRQSQMAAHGMNGSHGLVLDTSIFFNRKNELHQLAQQASNIEELTEVIRASLSVMIKQWSDAMRTFHEKFASLSTLIIDHALDSSPQEEFLSLLGGARTSPAVHQFLVNTLGEVGVKRVSKVVCGAAKELQRIVLDHMQPAAEIIAFRMGELRALSRWRARFQGIGLDEVLINNATERSGMILVQIERFMRVLASVEQQFSNFFNWLLKCIKLLMQEPSDQLLPYNSELVVIFLKFLYDQDPVSQFLDLSEVHNIEVDLETMQRVKELVQFGGFSDSEYLRRTLAKEFQEMECSFKEAFQMPFLSISRKIVCEDLLPLFPLPSSSASTSMIIPMSISYYEEVSESVSSCQHGLVDYICFQVPNEPTSNISNCIGIARGFMCNLSSLKKSQTSLEAVLLSIPAGYECVDLSLYKDTHIVLLLNGTVTSSESSGDACMMVVQARDLPFISLSRSSSLNVWTSHQLKDAVVQLQMENEKVRYIPHSVVAPLAVSASRGVACVFAARKRALVYILEEDEDEVLDSE >Manes.15G100000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7834691:7844397:1 gene:Manes.15G100000.v8.1 transcript:Manes.15G100000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVCLNWEEDGQLNRDDSPNLSTYEDRTPRFFPPAPRPPRTLGVVCGDTGFMDDNEDLYQELSNSSYQRFNVLCSADNDGNICFSIFGIFPIGKINIHKSSVSTPFVDKQSAHQLMNASIYKVALSKDLCRLIVMCSGELDENMTELRQSQMAAHGMNGSHGLVLDTSIFFNRKNELHQLAQQASNIEELTEVIRASLSVMIKQWSDAMRTFHEKFASLSTLIIDHALDSSPQEEFLSLLGGARTSPAVHQFLVNTLGEVGVKRVSKVVCGAAKELQRIVLDHMQPAAEIIAFRMGELRALSRWRARFQGIGLDEVLINNATERSGMILVQIERFMRVLASVEQQFSNFFNWLLKCIKLLMQEPSDQLLPYNSELVVIFLKFLYDQDPVSQFLDLSEVHNIEVDLETMQRVKELVQFGGFSDSEYLRRTLAKEFQEMECSFKEAFQMPFLSISRKIVCEDLLPLFPLPSSSASTSMIIPMSISYYEEVSESVSSCQHGLVDYICFQVPNEPTSNISNCIGIARGFMCNLSSLKKSQTSLEAVLLSIPAGYECVDLSLYKDTHIVLLLNGTVTSSESSGDACMMVVQARDLPFISLSRSSSLNVWTSHQLKDAVVQLQMENEKVRYIPHSVVAPLAVSASRGVACVFAARKRALVYILEEDEDEVLDSE >Manes.15G100000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7834690:7844397:1 gene:Manes.15G100000.v8.1 transcript:Manes.15G100000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEEQRVLPFQLQFDKPVTSQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVCLNWEEDGQLNRDDSPNLSTYEDRTPRFFPPAPRPPRTLGVVCGDTGFMDDNEDLYQELSNSSYQRFNVLCSADNDGNICFSIFGIFPIGKINIHKSSVSTPFVDKQSAHQLMNASIYKVALSKDLCRLIVMCSGELDENMTELRQSQMAAHGMNGSHGLVLDTSIFFNRKNELHQLAQQASNIEELTEVIRASLSVMIKQWSDAMRTFHEKFASLSTLIIDHALDSSPQEEFLSLLGGARTSPAVHQFLVNTLGEVGVKRVSKVVCGAAKELQRIVLDHMQPAAEIIAFRMGELRALSRWRARFQGIGLDEVLINNATERSGMILVQIERFMRVLASVEQQFSNFFNWLLKCIKLLMQEPSDQLLPYNRETMQRVKELVQFGGFSDSEYLRRTLAKEFQEMECSFKEAFQMPFLSISRKIVCEDLLPLFPLPSSSASTSMIIPMSISYYEEVSESVSSCQHGLVDYICFQVPNEPTSNISNCIGIARGFMCNLSSLKKSQTSLEAVLLSIPAGYECVDLSLYKDTHIVLLLNGTVTSSESSGDACMMVVQARDLPFISLSRSSSLNVWTSHQLKDAVVQLQMENEKVRYIPHSVVAPLAVSASRGVACVFAARKRALVYILEEDEDEVLDSE >Manes.15G100000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7834690:7844397:1 gene:Manes.15G100000.v8.1 transcript:Manes.15G100000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEDSKILLHRFNWQRLWTISPGRCITSLCWRPDGKAIAVGLEDGTISLHDVENGKLLRSLKSHTVAVVCLNWEEDGQLNRDDSPNLSTYEDRTPRFFPPAPRPPRTLGVVCGDTGFMDDNEDLYQELSNSSYQRFNVLCSADNDGNICFSIFGIFPIGKINIHKSSVSTPFVDKQSAHQLMNASIYKVALSKDLCRLIVMCSGELDENMTELRQSQMAAHGMNGSHGLVLDTSIFFNRKNELHQLAQQASNIEELTEVIRASLSVMIKQWSDAMRTFHEKFASLSTLIIDHALDSSPQEEFLSLLGGARTSPAVHQFLVNTLGEVGVKRVSKVVCGAAKELQRIVLDHMQPAAEIIAFRMGELRALSRWRARFQGIGLDEVLINNATERSGMILVQIERFMRVLASVEQQFSNFFNWLLKCIKLLMQEPSDQLLPYNRETMQRVKELVQFGGFSDSEYLRRTLAKEFQEMECSFKEAFQMPFLSISRKIVCEDLLPLFPLPSSSASTSMIIPMSISYYEEVSESVSSCQHGLVDYICFQVPNEPTSNISNCIGIARGFMCNLSSLKKSQTSLEAVLLSIPAGYECVDLSLYKDTHIVLLLNGTVTSSESSGDACMMVVQARDLPFISLSRSSSLNVWTSHQLKDAVVQLQMENEKVRYIPHSVVAPLAVSASRGVACVFAARKRALVYILEEDEDEVLDSE >Manes.S014942.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251224.1:174134:175586:-1 gene:Manes.S014942.v8.1 transcript:Manes.S014942.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRLGSFWDGSRNRAPDVTWVIDMAVFGMEAIRPKSGTGCNMGDRLGSFWDGSY >Manes.03G042300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3705203:3707181:-1 gene:Manes.03G042300.v8.1 transcript:Manes.03G042300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIASTSLTSLPTSIRYLDLPQKAFLGKISTCQPGKIKVKLNATKGVSSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPESLKWFAQAELMHGRWAMLAVAGILIPEWLESLGFIENYNWFDAGAREYFADPTTLFIVQLVLMGWVEGRRWADMINPGCVDIEPTLPNKSKPKPDVGYPGGLWFDPMMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAVYTGEGPIENLMAHIADPGHCNIFSAFTTQ >Manes.03G042300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3705077:3712625:-1 gene:Manes.03G042300.v8.1 transcript:Manes.03G042300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIASTSLTSLPTSIRDLPQKAFLGKISTCQPGKIKVKLNATKGVSSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPESLKWFAQAELMHGRWAMLAVAGILIPEWLESLGFIENYNWFDAGAREYFADPTTLFIVQLVLMGWVEGRRWADMINPGCVDIEPTLPNKSKPKPDVGYPGGLWFDPMMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAVYTGEGPIENLMAHIADPGHCNIFSAFTTQ >Manes.03G042300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3705077:3707181:-1 gene:Manes.03G042300.v8.1 transcript:Manes.03G042300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIASTSLTSLPTSIRDLPQKAFLGKISTCQPGKIKVKLNATKGVSSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPESLKWFAQAELMHGRWAMLAVAGILIPEWLESLGFIENYNWFDAGAREYFADPTTLFIVQLVLMGWVEGRRWADMINPGCVDIEPTLPNKSKPKPDVGYPGGLWFDPMMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAVYTGEGPIENLMAHIADPGHCNIFSAFTTQ >Manes.16G090700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29708907:29710331:-1 gene:Manes.16G090700.v8.1 transcript:Manes.16G090700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYFKFLSTKEYDSIPVDASVISVYDLKRKIFEYKYKSYKTDSNGLCLGTDLDLLVINSQTNDCYVDDMLIPNNTSVLIRRVPGTRRRKPYDTATVVVIEKQQPLGVSCRTDSSSFNASSETVVTASFGSNSENTGVSSVSASTLTSLSSKKCSEESNFDYGDGFGDDVYAIPRMKPVQSSMSIVDAESDVDSKIKGLVNTPALDWQLEGSDGAGSGTRGFGRMYGHGFGGLGKKTPPEGYICHRCNVPGHFIQHCPTNGDPNYDMRRVRPPTGIPKSMLMPNPDGSYALPSGATAVLRPNDGSFEKEIVGCVPPKRSWSVSDLPPELLCPLCKQVMKDAVLTSKCCFKSFCDKCIRDHLIICKLKCACGATNVLADYLIPNMTLRDTINRFLESCSGNSSIGENGKSNSLQVSNRESANWSQNQMSKTKLPAESFHDEQKTTPDNLEDGANKRKLLDAPSQMAKKSRTIKSI >Manes.05G097001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8910649:8914496:-1 gene:Manes.05G097001.v8.1 transcript:Manes.05G097001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYADIGKKARDLLYKDYQGDHKFTFTTYTSTGVAITSTGVKKGELYLADVSSQLKNKNITTDVKVDTNSNLFTTITVDQPAPGLKTIFSFKVPDQRSGKVELQYQHEYAGISTSIGFTANPIVNFSGVVGNNAVAVGTDLSFDTATGNFTKLNAGLSYTNADLIASLTVNEKGDTLNASYYHTVSPLTNTAVGAELTHSFSSNENTLTIGTQHALDPLTTVKARVNNYGRASALIQHEWRPKSLFTISGEVDTRAIEKSAKIGLAVALKP >Manes.09G025877.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5899400:5904589:-1 gene:Manes.09G025877.v8.1 transcript:Manes.09G025877.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGLIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAFVGLFRIGTGTGPWSFLRR >Manes.03G012300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1025951:1028846:1 gene:Manes.03G012300.v8.1 transcript:Manes.03G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMMRTIIVRRNYLHFIKKYQRYEKRHSNIPAHISPCFRVKEGDHVSIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTAI >Manes.03G012300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1025951:1028846:1 gene:Manes.03G012300.v8.1 transcript:Manes.03G012300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGMMIDKKCPFTGTVSIRGRILAGTCHSAKMMRTIIVRRNYLHFIKKYQRYEKRHSNIPAHISPCFRVKEGDHVSIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTAI >Manes.15G043700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3385378:3391415:1 gene:Manes.15G043700.v8.1 transcript:Manes.15G043700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKDWTKEWGLGIRGRLWKMMKCIRSGEQLRVEDMAASSESLATRDYSASGYSSRAGEIDTKIDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALQVFDGIDIAAVTSKMKVSLSRRCEQNRRRSQSDAAPTMSMHAITLLLEAIFLKAKSQQGLGRFGEAAQSCKAILDTVESALPEGVPENFSSDCKLQEILNKAVELLPELWILAGAPQEAILSYRLALLYYWNLETETKAKIEKEFAIFLLYSGTEASPPNLRSQMEGSFVPRNNVEEAVLLLMILLRKFANRRIGWDPTIIDHLSFALSVSGELRALARQIEELLPGILERKERYCTLALCYHEDGEAMVALNLLRNLLNNRENPDCILELLLASKICAENMICVEQGMTYTSKAISELQGICGQMVSVANCLLGLLLSTQSRSVPSDSERTSKQSEALEALGTAEKVMRERDPHIIFHLSLENAEQRKLDIALYYAKQLLKLEAGSSVKSYILLARILSAQKRIVDAETVISAALDQTGKWDQGELLLTKARLQIAQGQLKNAIQTYTHLLAVVQVQTKTFGGRKKLLKSRGNHDRRLEMETWHDLAYVYTSLSQWRDAEICLAKSKAINPYSASRWHATGFLYEAKGLHQDALKSFRAALDVDPSHVPSLISTASVLRLLGSQSMPIIRSFLTDALRLDKMNHSAWYNLGLLYKDDASASALEAAECFEAAAVVEESAPVEPFRS >Manes.09G016759.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3630410:3633937:-1 gene:Manes.09G016759.v8.1 transcript:Manes.09G016759.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLKNARFFTNNFKSASFTRLDDAIASFNHVIHMHPLPSRVHFNIFLSALVKMKQYHTVLSISKTIELLGISHNIYSLNILINCFCRLHLVDFGFSVFGKMFKFRLEPTTVTFTTLINGLCIESKMDKAVEFFDDMVARGYQPNVYTFNVIVKGLCKFGKTNLAIELLKEMADRGCEPDVVTYNAIIDTLCKDELVGEALELFSQMRNKGISPHVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDHFKEALDLLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAQNIIKIMIQRCVEPNVVIYNSLMDGYCLCKQIDKARKVFDLMVTNEIANIFSYNILINGYCNRKMIDDAKGIFDEMSHKGLVPNAVTYHTLIKGMFEAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGLCQEGLMDEAYKVFKDMEKVGCLPNNFCYNIIIKGFLRHEDLPKASELINEIVDKGFCADDATTELVVHLSRNNYLILRLLKVRNEGSAN >Manes.18G087900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8072031:8076563:-1 gene:Manes.18G087900.v8.1 transcript:Manes.18G087900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSPSTVEPREETSKRKFLRDKGLRDVEKALQVPIQYRNLNCKLSTLKLVLVVIALGTLVTFFHSPAVHIADHPSTSISRPSLVDLWIGEDAAADPRYISTAEVDWEQISDIIDKLNDSTEYQGIGLLNFNVSEIDNWKHLLPDLEHVVLNLDHLPKNVTWESLYPEWIDEEEEFEVPTCPSVPKLKVPGKPRIDIIAVKLPCDKSGRWSRDVARLHLQLAAASLAASAKSYHPVRVLLVTDCFPVPNLFTCKELISHEGNLWLYQPNLNVMREKLNLPVGSCELAVPLKAKENFYSEGAHREAYATILHSAQVYVCGAIVAAQSIRMAGSTRDLVILVDETISDYHRGGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEITATGNNATLFNSGVMVVEPSNCTFRLLMDHIHEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKQMKTHLFGADPPILYVLHYLGNKPWICFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPENLHKYCLLRSKQKAALEWDRRQAEKGNYTDGHWKIKIKDKRLKTCFEDFCYWESMLWHWGEKNWTDNATNVTPSPPPPGAITTSLSSL >Manes.18G087900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8072031:8076563:-1 gene:Manes.18G087900.v8.1 transcript:Manes.18G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSPSTVEPRHRLSASAEETSKRKFLRDKGLRDVEKALQVPIQYRNLNCKLSTLKLVLVVIALGTLVTFFHSPAVHIADHPSTSISRPSLVDLWIGEDAAADPRYISTAEVDWEQISDIIDKLNDSTEYQGIGLLNFNVSEIDNWKHLLPDLEHVVLNLDHLPKNVTWESLYPEWIDEEEEFEVPTCPSVPKLKVPGKPRIDIIAVKLPCDKSGRWSRDVARLHLQLAAASLAASAKSYHPVRVLLVTDCFPVPNLFTCKELISHEGNLWLYQPNLNVMREKLNLPVGSCELAVPLKAKENFYSEGAHREAYATILHSAQVYVCGAIVAAQSIRMAGSTRDLVILVDETISDYHRGGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEITATGNNATLFNSGVMVVEPSNCTFRLLMDHIHEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKQMKTHLFGADPPILYVLHYLGNKPWICFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPENLHKYCLLRSKQKAALEWDRRQAEKGNYTDGHWKIKIKDKRLKTCFEDFCYWESMLWHWGEKNWTDNATNVTPSPPPPGAITTSLSSL >Manes.07G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32333765:32337748:1 gene:Manes.07G117900.v8.1 transcript:Manes.07G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAVDLTGDGGVIKTIIRQAKPGALGPSEDLPLVDVHYEGTLAESGQVFDTTREDNTVFSFEIGKGSVIQSWDIALRTMKVGEVAKITCKPEYAYGSAGSPPDIPSDATLIFEVELVACKPRKGSSMSSVSEERARLEELKKQRELAAATKEEEKKKREEAKAAAAARMQAKLESKKGKGKGKSK >Manes.04G090520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29607575:29609663:1 gene:Manes.04G090520.v8.1 transcript:Manes.04G090520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEMNTSSFEVKSLGFSYPTRVPHRSFFMTQVIFRVLAVAFTVASISVMVTSDQNVLVFGVRTRARYSYSSAFKFLVGADAVVSGFSVLSLIFVCLGSRPTAQFMNCFYLFLHDMAMMVLLISGCSAATAIGYVGRHGAKQMTWVPVCDYVGKFCNRVMASLALSYLAFLCYLALVNFSAYKLMIRPTD >Manes.15G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1927939:1932544:1 gene:Manes.15G024500.v8.1 transcript:Manes.15G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPSQQDHETVQFSEIFKKFKRLRLFEPSVGVLGFFLVTVCVICCFFYLDFKSVINKGYRVPGKSERFMWLQINGLGPSRRLEFWGQEGDGCDVFDGDWVWDDSYPLYHSKDCSFLDEGFRCTENGRPDLFYTKWRWQPKHCNLPRFDAKMMLEKLRNKRLVFAGDSIGRNQWESLLCMLSSAVPNKDSIYEVNGSPITKHKGFLIFRFQDYNCTVEYYRAPFLVLQSRPPAGVPRNVRLTLKLDQMDWNSGKWRDTDVLVLNMGHWWNYDKTIRGGTYFQEGTEVKLEMGVEQAYHRSIETVLQWIHNEVNTSKTHVFFRTFAPVHFRGGDWRSGGSCHLETLPELSSSLVPSQTWTQYKIAADVLSAHSNQELKFDILNITRMSAQRKDGHASVYYRHSQPTSFRRQDCSHWCLPGVPDSWNELLYALLLKHKAMKTYNISSYKAHSSD >Manes.15G024500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1927939:1932544:1 gene:Manes.15G024500.v8.1 transcript:Manes.15G024500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPSQQDHETVQFSEIFKKFKRLRLFEPSVGVLGFFLVTVCVICCFFYLDFKSVINKGYRVPGKSERFMWLQINGLGPSRRLEFWGQEGDGCDVFDGDWVWDDSYPLYHSKDCSFLDEGFRCTENGRPDLFYTKWRWQPKHCNLPRFDAKMMLEKLRNKRLVFAGDSIGRNQWESLLCMLSSAVPNKDSIYEVNGSPITKHKGFLIFRFQDYNCTVEYYRAPFLVLQSRPPAGVPRNVRLTLKLDQMDWNSGKWRDTDVLVLNMGHWWNYDKTIRGGTYFQEGTEVKLEMGVEQAYHRSIETVLQWIHNEVNTSKTHVFFRTFAPVHFSVWKLLLSDLCRGERGSPRDIELSPSYLDCCSNSFQGCILFQTTCLSS >Manes.15G024500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1927939:1932544:1 gene:Manes.15G024500.v8.1 transcript:Manes.15G024500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNPSQQDHETVQFSEIFKKFKRLRLFEPSINGLGPSRRLEFWGQEGDGCDVFDGDWVWDDSYPLYHSKDCSFLDEGFRCTENGRPDLFYTKWRWQPKHCNLPRFDAKMMLEKLRNKRLVFAGDSIGRNQWESLLCMLSSAVPNKDSIYEVNGSPITKHKGFLIFRFQDYNCTVEYYRAPFLVLQSRPPAGVPRNVRLTLKLDQMDWNSGKWRDTDVLVLNMGHWWNYDKTIRGGTYFQEGTEVKLEMGVEQAYHRSIETVLQWIHNEVNTSKTHVFFRTFAPVHFRGGDWRSGGSCHLETLPELSSSLVPSQTWTQYKIAADVLSAHSNQELKFDILNITRMSAQRKDGHASVYYRHSQPTSFRRQDCSHWCLPGVPDSWNELLYALLLKHKAMKTYNISSYKAHSSD >Manes.07G095818.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30069695:30070876:-1 gene:Manes.07G095818.v8.1 transcript:Manes.07G095818.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTQPNSRVLKRLFFFTFENPFLSLTNHRYQNLPQQYKKTCNYVDVYMKWKKDSYYEWIEHIHKGLEFDVKIKEFVGPKYNLPWFRLTEEAAAIDREEKKVLEEHKEDLRERLKKFILMSMEKVLPFKIIKGMLWYLGLPEDFLQYPDKNFDSYFRVVELEDGLKGLGVESREKLLSVLQKNAMRKGLYSGEPMETIDFPFFPSKGLRLRRKIQDCDIAQKRVVGLLHELLSLFVEHSAERKKLLCLKKYFELPQKVHKAFERHPHMFYLSFRNKTCTAILKEAYGDDELAMERHPMAMIRKKYIKLMKESEVILKRRRTNNPFVQ >Manes.11G164401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32906679:32907454:1 gene:Manes.11G164401.v8.1 transcript:Manes.11G164401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPIETTHGNPQACITGPAVSSTTRRPEPKLRIQCFFVVGLLPSSWLFEAAIEMSPSSNSVLVSLVRDFEYHQQP >Manes.18G063900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5809241:5810393:1 gene:Manes.18G063900.v8.1 transcript:Manes.18G063900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLILGIGRAMRRKRTSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVPEKLPNYVVPDLTDFKLKPYVSQCPREVKTTESSEAAK >Manes.10G096601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24423524:24440192:-1 gene:Manes.10G096601.v8.1 transcript:Manes.10G096601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKKISSGMLNQIGNVLPKVTALVMSSYEELYPKPLLEDLKSRCSSLLNVGFVTVTIPPPPLPLSETDSTGCLSWLDSQKTMSVVYISFGIAVNFPPNEMKELAEALSESKIPFLWSLKENLRRNLPGDFLEKTSFHGKVVPWAPQAQVLAHKSISVFVTHCGANSVYESLANGVPMICRPVVFADNVTNAKIIEDIWEAGVRVDGGVFTKNGVIKSLELIFAHEQGRRIRRKAQALHSFFCRLQLPLDMLHKISRLCWK >Manes.01G180000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35910311:35912245:-1 gene:Manes.01G180000.v8.1 transcript:Manes.01G180000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELQIATEEAEVLHRMSNWGVYSTFDGSYDPRTIGGKLDPDELRLAKLEGMKRELARLRARDGNREFDVVLREVEFEVMIQLGMILAKSIDPVLKGLKKVKIEEGEVCGVCQEEMEMGDEGRAMECMHKFHGFCIVQWLKMKKTCPLCRYEMHIHQDTSF >Manes.12G087200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13340516:13344479:-1 gene:Manes.12G087200.v8.1 transcript:Manes.12G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAASFNIDTNGSHGYYDSIAWFDSISEKAGLVQTETLRRILELNWGVEYLKKWIGDIKVQDMDSCALESLYTSLVPLACHADLEPYIHRIADGDTSPLLTQQPISTLSLSSGTTDGRPKFVPFTRHSSRTTLQIFTLAAAYRSRVYPTREGGKILEFIYSSKQFKTKGGLTVGTATTHYYASEEFRIKQEKTKSFTCSPPEVISGGDYKQSTYCHLLLGLFFYDQVEFITSTFAYSIVQSFAAFEEIWREICNDLKEGTLSSRITSPEMRKAVLNIISSPNPMLLASRIEESCNKLENLDWFGLIPKLWPNAKYVYSIMTGSMQPYVKKLRHYAGDLPLVSADYGSTESWIGVNMDPSLSPENVTFAVIPTFSYFEFMPLHRQNQDCSPAIDDFVEDEPVPLSKVKLGQEYEIILTTFTGLYRYRLGDVVEVAGFHKGTPKLNFICRRKLILTVNIDKNTEKDLQLVVERGSQLLSKARAELVDFTSHADVANQPGYYIIYWEIKGEVEEGVLEECCREMDASFLDHGYVVSRKANSIGPLELCIVERGTFKKIMDYFIGNGSALSQFKTPRCTSNQVLLRILNTCTIKRFRSTAYG >Manes.12G087200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13340460:13343777:-1 gene:Manes.12G087200.v8.1 transcript:Manes.12G087200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSGTTDGRPKFVPFTRHSSRTTLQIFTLAAAYRSRVYPTREGGKILEFIYSSKQFKTKGGLTVGTATTHYYASEEFRIKQEKTKSFTCSPPEVISGGDYKQSTYCHLLLGLFFYDQVEFITSTFAYSIVQSFAAFEEIWREICNDLKEGTLSSRITSPEMRKAVLNIISSPNPMLLASRIEESCNKLENLDWFGLIPKLWPNAKYVYSIMTGSMQPYVKKLRHYAGDLPLVSADYGSTESWIGVNMDPSLSPENVTFAVIPTFSYFEFMPLHRQNQDCSPAIDDFVEDEPVPLSKVKLGQEYEIILTTFTGLYRYRLGDVVEVAGFHKGTPKLNFICRRKLILTVNIDKNTEKDLQLVVERGSQLLSKARAELVDFTSHADVANQPGYYIIYWEIKGEVEEGVLEECCREMDASFLDHGYVVSRKANSIGPLELCIVERGTFKKIMDYFIGNGSALSQFKTPRCTSNQVLLRILNTCTIKRFRSTAYG >Manes.05G086319.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7230029:7231764:1 gene:Manes.05G086319.v8.1 transcript:Manes.05G086319.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELLLSFLLCIFSFFFIFFFFPTGSSKSEKSISTHLPKSYPIIGSSFAINENFDRLVQWTSDILQKRPSATFVLHRPMGHRQIFTGNPSNVRHILKTHFHHYQKGPFTRHTLFDFLGNGIFNSDGNTWKFQRQVASHEFSNKSLRKFVETVVDTELSERLIPILSAAAANRTVLDLQDILQRFAFDNICKIAFGYDPEYLLPSLPPAPFAEAFEESVRIISKRFNCSFPVIWKIKKFFLIGSERRLEKSMSQVRDFAMNIVKEKKEELKQKSSLESIDLLSRFLISGVSDETFVTDIVISFILAGRDTTSAALTWFFWLISKNPHVEAQILQEIQEKSDVPIFEEVKDMVYTHASLCETMRLYPPVPVDSKIAISDDVLPTGTSLKKGTRVTYHPYAMGRLEALWGPDWADFKPERWLQDGDGVNNKRSFVGRDPYTYPVFQAGPRVCLGKEMAFLQMKRVVSGVVKRFKVVPATEDGLEPVFIAYLTNKMKGGFPVRIEERSA >Manes.07G055200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7117109:7125441:-1 gene:Manes.07G055200.v8.1 transcript:Manes.07G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDTCISDCPYCRHRPPPLHHSSFSSPPHCPNCLNHLYTFNYGFPATASSAYPYKSPPHESPPPLPPPPPSNLPPEPLKPPPPPHQVRRRRREPTRSRSEIEKACVEHFQKRRVEAKLSKVKIPIGENPAWSDPSLYLMGLQTCIVIIWTAMVIPSTLAEVIGGGNVEKAEAIQMSLFTAAVNTGLQVLFGSRLPAVMQISQAFINAAISIAVSINNKFGDTLTPRQRFEESMRRIQGASIIGSFLQIIIGYSGLVEIFISKLDLVASIPLVTLTGLALRDRGFPQVRTRGTEDPSSEIAALESCQS >Manes.12G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36150839:36162145:1 gene:Manes.12G153700.v8.1 transcript:Manes.12G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSQLISTWLTPQLHCPYTRISTSQQNRSHANHLHRLTVQRHHLEWTSFVSQQGRRELGCGHLSSSVKAVLLDESKERTRLPKGNMWSVHKFGGTCVGTSERIKNVAEIIFNDGSEGKLVVVSAMSKVTDMMYDLIYKAQSRDDSYIAAVDAVFEKHRLTAADLLDGDDLTSFLAQLRDDVNNLRAMLHAIYIAGHATESFSDFVVGHGELWSAQMLSYVVRKSGLDCKWMDTREVLIVNPTSSNQVDPDFVKSEKRLEEWLSRNPCKTIVATGFIASTPQNIPTTLKRDGSDFSAAIMGALLRARQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIMIRNIFNLASPGTMICQPSMNVSEDGQKVDSPVKGFATIDNVALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSICFAVPEKEVKAVAEVLQSRFHQALDAGRLSQVAIIPNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKREDCIRALRAVHSRFYLSKTTIAMGIIGPGLIGGTLLDQLRDQAAVLKEEFNIDLRVMGIIGSRRMILSEVGIDLSRWRELTKENGEIAELEKFTHHVHGNHFIPNTVLVDCTADSNVASCYYDWLRKGIHVITPNKKANSGPLGQYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILQIEGIFSGTLSYIFNNFIGSRSFSEVVAEAKQAGYTEPDPRDDLSGTDVARKVIILARECGLKLELSDIPVQSLVPAPLKASASAKEFMKQLPQFDQDMAKERQNAEDSGDVLRYVGVVDAVRQEGRVELRRYKKDHPFAQLSGSDNIIAFTTTRYKEQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >Manes.08G169200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40374405:40379790:-1 gene:Manes.08G169200.v8.1 transcript:Manes.08G169200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAMAQCLKELIFLFFLAIFSKAAEGLKPYSRATVAPDAVNNNYCLSWRLAAEANNLRGWRTVPAQCMHYIEAYMMGGQYERDLDFITEQILSYVSEVVLSGDGMDAWILDVDDTCISNIFYYKKKRYGCEPYDPAGFKAWALKGRCPAIPAVLGLFQVLIESGFKVFLVTGRDQETLAQSTISNLHNQGFIGYDRLILRTASYEGQSAVAYKSDIRKQLVGEGYRIWGNVGDQWSDLEGEFSGNRTFKLPNPMYFVP >Manes.08G169200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40374405:40379790:-1 gene:Manes.08G169200.v8.1 transcript:Manes.08G169200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAMAQCLKELIFLFFLAIFSKAAEGLKPYSRATVAPDAVNNNYCLSWRLAAEANNLRGWRTVPAQCMHYIEAYMMGGQYERDLDFITEQILSYVSEVVLSGDGMDAWILDVDDTCISNIFYYKKKRYGCEPYDPAGFKAWALKGRCPAIPAVLGLFQVLIESGFKVFLVTGRDQETLAQSTISNLHNQGFIGYDRLILRTASYEGQSAVAYKSDIRKQLVGEGYRIWGNVGDQWSDLEGEFSGNRTFKLPNPMYFVP >Manes.08G169200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40375481:40379790:-1 gene:Manes.08G169200.v8.1 transcript:Manes.08G169200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAMAQCLKELIFLFFLAIFSKAAEGLKPYSRATVAPDAVNNNYCLSWRLAAEANNLRGWRTVPAQCMHYIEAYMMGGQYERDLDFITEQILSYVSEVVLSGDGMDAWILDVDDTCISNIFYYKKKRYGCEPYDPAGFKAWALKGRCPAIPAVLGLFQVLIESGFKVFLVTGRDQETLAQSTISNLHNQGFIGYDRLILRYINISPSNYFC >Manes.01G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:21076600:21079748:1 gene:Manes.01G053700.v8.1 transcript:Manes.01G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVKYLIGSAGPSGFGSKSTAEQVTAACDLRSITAIITGATSGIGAETARVLAKHGARLVLPARSLKAAEDTKARILSECPDSEIIVMALDLSSLNSVRNFVAKFESLNLPLNLLINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLKKMIETAKESGIQGRIVNVSSSIHGWFSGDIIWYLGEISRNKCHYDATRAYSLSKLANVLHTKELAQRLKQMEANVTINCVHPGIVRTRLTREREGLLTDMVFLMASKLLKTIPQAAATTCYVATHPRLLNVSGKYFADCNEASSTSKLGSSSTEAARLWSASEIMVSRDPKAVFDPLSATDYVVHKEYI >Manes.17G065700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26591108:26592772:1 gene:Manes.17G065700.v8.1 transcript:Manes.17G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPFCYSSSLKKGPWTPEEDEKLVDYINRNGHESWTNLPKLAGLNRCGKSCRLRWINYLRPDIKRGKFSQEEERLIVNLHSVLGNKWSRIATHLPGRTDNEIKNFWNTHIRKKLLQMGIDPNTHRPRTDFNHLSNLSFLLFGNLTSPWNNVFKLQHSDVAHLINIRLLHNLLQITNTRSFPSITVSGGTQNLNPLEQLLNKTATTSLYIEEPFPRTEDLPLQAPTEVTDAANIWAALEGEMLLDLDMENNKLMNSCCDTQIGNSLLDQLISASSSSSPESSMVNQMESNGNPLDDNEGSGSGSGSGSPTFSVFDSWEKLIDDESGSFWNDIQDLTSLSQSARDLFNVEIRI >Manes.07G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2925391:2928883:1 gene:Manes.07G027500.v8.1 transcript:Manes.07G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSRFSSACFSSSCLLSIINFLCFGLLAFAINKNNDTDRLALLELKSKITDPLGAMSSWNSTLHFCQWHHVTCGRRHQRVTILDLNSLKLSGSISPHVGNLSFLKKLSLQNNSFSHEIPTEIGRLRKLQILSLYNNSFAGQIPASISNCSNLVIFFLQNNINLVGRIPVELGSLLKLKEISLSNNNLTGTLPPSLGNISSLQLLHARKNNLHGAVPDTLGQLMNLSSLALSVNQFSGTIPPSFFNLSLIELFEMAYNNFEGSLPLSLGVSLPNLQFFFFSANQFTGSIPTSISNASSLEVLQLSGNSFTGTVPSLEKLHRLTKLVVSENNLGSGEADDLKFLSTLTNATNFRGFGLDQNNFGGKLPEKLCNFSRKLQKIYIQENQISGNIPSSISDCVSLETLIADENNLSGSIPTSMGKLLNLGELFLRYNDFSGFIPSSVGNMSNLIYMDLSDNKLQGMIPSSLGYCKNLLQLGLSNNNLSGPIPPQIFGSFSLSLGLDLSKNHLSGSIPPEVGNSKTIGYLSLSENRISGGIPNALSSCTSLEFLDLGANLLQGSIPSSLSSLRSLRRLNLSRNMFSGKIPEFLKGFSSLELLDLSHNDFEGIVPKVGVFKNVTAISVAGNENLCGGIPDLRLPPCNELQQSKRGLTTQLKIIISTASAIVGAILVMIGLILCMSTNRKRESLSKSDHGNDHELMKLSYQSLFKATNGFSSDNLIGSGSSGSVYKGILDPEGIVIAVKVFKLTNQEASKSFTAECEVLRKVRHRNLVKVFTACSSVDYHGNDFKALVYEFMVNGSLNDWLHPTLELEEAPRTLNVIQKLNIAIDIACALEYLQHHCGTPIIHCDLKPSNILLNEEMTALVCDFGLVKFTSDCSTDQSSSIGVRGTIGYCPPEYGMGSPASTSGDIFSFGILLLEMFTGRRPTDHMFQKEMSLCNFVKRALPEQVMQILDPNNNLPQMQPKANATSVLDNLSLGKMRNNMFIECLISIFEIGISCSTELPQERMVIGDVVSQLSSIRDNLLGTQLP >Manes.09G104925.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30603166:30629149:1 gene:Manes.09G104925.v8.1 transcript:Manes.09G104925.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGQSSASSSSSSFSPVDRGSGAAASPSVASSINGESSGAASSSYSGAREEEHQFGELESEQQHIGLSRGIFFAFNSASAIRDDTWSCVIVVLTFWFFVSMTLILGVYGSVTIILGPNSSALIQPSPLFVQSIKVEEVDSNNPGLILNGFYKPPSLDVVKSWTKSVNVSVPADSHKEWLYFLNEGSQINISYCVNSPSSSLFLVIAQGTEGLSQWLEDPTYPNTTLSWNVVHGSGIIHQKILKSSSYYVAAGNLNSGDVELQLNLSIKAFLYNTAEAYYSCTFVDGICSLSILFPNGNAAVLTSPGPEDDSPSNEWYVKMSYGPRWATYVVGIAAMTVLMLAAFHFLNKFRCIHEEGTGVQNGEVDPGRAPLLSYKDDDLSSWGSSYDSVSNDEEDLEDLLALGSLEGKSSRDGENGNNTRRLCAICFDAPRDCFFLPCGHCVSCFACGTRIVEAAGTCPICRRNMKKVRKIFTV >Manes.09G104925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30602829:30629149:1 gene:Manes.09G104925.v8.1 transcript:Manes.09G104925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGQSSASSSSSSFSPVDRGSGAAASPSVASSINGESSGAASSSYSGAREEEHQFGELESEQQHIGLSRGIFFAFNSASAIRDDTWSCVIVVLTFWFFVSMTLILGVYGSVTIILGPNSSALIQPSPLFVQSIKVEEVDSNNPGLILNGFYKPPSLDVVKSWTKSVNVSVPADSHKEWLYFLNEGSQINISYCVNSPSSSLFLVIAQGTEGLSQWLEDPTYPNTTLSWNVVHGSGIIHQKILKSSSYYVAAGNLNSGDVELQLNLSIKAFLYNTAEAYYSCTFVDGICSLSILFPNGNAAVLTSPGPEDDSPSNEWYVKMSYGPRWATYVVGIAAMTVLMLAAFHFLNKFRCIHEEGTGVQNGEVDPGRAPLLSYKDDDLSSWGSSYDSVSNDEEDLEDLLALGSLEGKSSRDGENGNNTRRLCAICFDAPRDCFFLPCGHCVSCFACGTRIVEAAGTCPICRRNMKKVRKIFTV >Manes.09G035100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6781712:6786540:1 gene:Manes.09G035100.v8.1 transcript:Manes.09G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPSPKKLESEILKRVPYSKPPFTLGQIKKAIPPHCFERSVLRSFSYVIVDLTVAFILYYIATNYFQLLPHPLSYVAWPIYWCIQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLEEDEVFVPKKKSNTRWFARYLNNPLGRLLTLIITLTLGWPLYLLFNVSGRHYDRFACHYDPYGPIYTDRERAEIFISDAGVIAVAYGLFRIAAAKGLAWVVCVYGVPLLVVNAFLVTITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSKMPHYYAMEATKAIKPILGEYYQFDGTPFYKAMWREAKECVYVEPDDRSKGVYWYKNKF >Manes.09G035100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6782525:6786540:1 gene:Manes.09G035100.v8.1 transcript:Manes.09G035100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPSPKKLESEILKRVPYSKPPFTLGQIKKAIPPHCFERSVLRSFSYVIVDLTVAFILYYIATNYFQLLPHPLSYVAWPIYWCIQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLEEDEVFVPKKKSNTRWFARYLNNPLGRLLTLIITLTLGWPLYLLFNVSGRHYDRFACHYDPYGPIYTDRERAEIFISDAGVIAVAYGLFRIAAAKGLAWVVCVYGVPLLVVNAFLVTITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSKMPHYYAMEATKAIKPILGEYYQFDGTPFYKAMWREAKECVYVEPDDRSKGVYWYKNKF >Manes.09G035100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6782525:6786540:1 gene:Manes.09G035100.v8.1 transcript:Manes.09G035100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSVPPSPKKLESEILKRVPYSKPPFTLGQIKKAIPPHCFERSVLRSFSYVIVDLTVAFILYYIATNYFQLLPHPLSYVAWPIYWCIQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLILHSCLLVPYFSWKHSHRRHHSNTASLEEDEVFVPKKKSNTRWFARYLNNPLGRLLTLIITLTLGWPLYLLFNVSGRHYDRFACHYDPYGPIYTDRERAEIFISDAGVIAVAYGLFRIAAAKGLAWVVCVYGVPLLVVNAFLVTITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSKMPHYYAMEATKAIKPILGEYYQFDGTPFYKAMWREAKECVYVEPDDRSKGVYWYKNKF >Manes.16G138000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34008069:34011079:-1 gene:Manes.16G138000.v8.1 transcript:Manes.16G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYNYSNLFYFFQIYKAPTMITSHIDDQLSNPRLATLPHQNNQADHANAPLLLQPANATSKSLLFDELRHFRISLKWCALDHSTCTAKFISYFTFIFFTIIVPIISSLCIKVPSDDPISFNNLVQFPESGLALIAFLTLSRFFRRYSLRQLLFLDGLQDDSSFVRRGYSRELDKAFRYLACILLPSFLVELAHKIIFFSTVKISLPYLSSGVPLNSTIFVLVLASWVYRTGVFLLVCVLFRLTCELQILRFEGLHKWFDGCGSDASVIFREHVRIKKQLSVTSHRYRFFIITCLVTITVTQLGALLLVLAFTSDKTFINSGGLVICSVVQLSGFFLCLLGAARITHRAQGIVSIATRWNMILTSSSARSDQGKCSVPEIDKTLVSESAVIGADSSEILVSISSQYPSSFQTRQAFVAYLQHNNGGITLFGFALDRGLLHTLFAFEFSLVLWILSKVVVLS >Manes.16G138000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34007949:34011079:-1 gene:Manes.16G138000.v8.1 transcript:Manes.16G138000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYNYSNLFYFFQIYKAPTMITSHIDDQLSNPRLATLPHQNNQADHANAPLLLQPANATSKSLLFDELRHFRISLKWCALDHSTCTAKFISYFTFIFFTIIVPIISSLCIKVPSDDPISFNNLVQFPESGLALIAFLTLSRFFRRYSLRQLLFLDGLQDDSSFVRRGYSRELDKAFRYLACILLPSFLVELAHKIIFFSTVKISLPYLSSGVPLNSTIFVLVLASWVYRTGVFLLVCVLFRLTCELQILRFEGLHKWFDGCGSDASVIFREHVRIKKQLSVTSHRYRFFIITCLVTITVTQLGALLLVLAFTSDKTFINSGGLVICSVVQLSGFFLCLLGAARITHRAQGIVSIATRWNMILTSSSARSDQGKCSVPEIDKTLVSESAVIGADSSEILVSISSQYPSSFQTRQAFGK >Manes.04G063973.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24492106:24492855:1 gene:Manes.04G063973.v8.1 transcript:Manes.04G063973.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNEEYRATHLYVLLNCPEIDPFIEIFYSHLRETIPNISDQKIERMR >Manes.16G113300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31899100:31901618:1 gene:Manes.16G113300.v8.1 transcript:Manes.16G113300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQKDIIKSSSMEAPSTTDEKNTTQKFKRKKGGLRTMPFIIANETFERVAGVGLLANMIVYLQNEYNLSGATGTTILFLWSAASFFTPIIGAFVSDSYLGRFRVIVLGTIVSLLGMIVLWLTAIIPSARPPHCDKKHGNSTGCAVPTAAQMVFLLSSFALMSIGAGGIRPCSLAFGADQFDQPGDPKNDKTLQSFFNWYYASVGFSVMIAVTFIVAIQDSAGWVVGFAVPVGFMFASTFLFIMGSSRYIKVKANTSLLSSFAQVIAAAWKNKHISLPPNDSDRWYHHKDSSLVIPTDKLSFLNKACVIIEPDKDVDSDGLAIDKWNLCTVKQVEELKSLIKVMPIWSTGIMIAVTLSQHTFPVLQAITMDRHFVGKAKIPPASFGVFTILTLTIWVAIYDRVLVPRIAKFTKRPRGLSNKQRMGIGLLLSCVSAAVAGVVEHQRRARAIREGLADKPRDLVNMSAMWLVPQHCLTGLAEAFNAIGQIEFYYSQFPKTMSSIGVALFSLGMAFGNLIGSLIVTILTRATRNDEKVSWVSDNLNRGHLDYYYWFLASLSVLNFFYYLICCRAYGSESNRIWDAETIEEEENENKPVGSPIKHATG >Manes.16G113300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31899100:31901618:1 gene:Manes.16G113300.v8.1 transcript:Manes.16G113300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYLQNEYNLSGATGTTILFLWSAASFFTPIIGAFVSDSYLGRFRVIVLGTIVSLLGMIVLWLTAIIPSARPPHCDKKHGNSTGCAVPTAAQMVFLLSSFALMSIGAGGIRPCSLAFGADQFDQPGDPKNDKTLQSFFNWYYASVGFSVMIAVTFIVAIQDSAGWVVGFAVPVGFMFASTFLFIMGSSRYIKVKANTSLLSSFAQVIAAAWKNKHISLPPNDSDRWYHHKDSSLVIPTDKLSFLNKACVIIEPDKDVDSDGLAIDKWNLCTVKQVEELKSLIKVMPIWSTGIMIAVTLSQHTFPVLQAITMDRHFVGKAKIPPASFGVFTILTLTIWVAIYDRVLVPRIAKFTKRPRGLSNKQRMGIGLLLSCVSAAVAGVVEHQRRARAIREGLADKPRDLVNMSAMWLVPQHCLTGLAEAFNAIGQIEFYYSQFPKTMSSIGVALFSLGMAFGNLIGSLIVTILTRATRNDEKVSWVSDNLNRGHLDYYYWFLASLSVLNFFYYLICCRAYGSESNRIWDAETIEEEENENKPVGSPIKHATG >Manes.16G113300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31899239:31901618:1 gene:Manes.16G113300.v8.1 transcript:Manes.16G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSTTDEKNTTQKFKRKKGGLRTMPFIIANETFERVAGVGLLANMIVYLQNEYNLSGATGTTILFLWSAASFFTPIIGAFVSDSYLGRFRVIVLGTIVSLLGMIVLWLTAIIPSARPPHCDKKHGNSTGCAVPTAAQMVFLLSSFALMSIGAGGIRPCSLAFGADQFDQPGDPKNDKTLQSFFNWYYASVGFSVMIAVTFIVAIQDSAGWVVGFAVPVGFMFASTFLFIMGSSRYIKVKANTSLLSSFAQVIAAAWKNKHISLPPNDSDRWYHHKDSSLVIPTDKLSFLNKACVIIEPDKDVDSDGLAIDKWNLCTVKQVEELKSLIKVMPIWSTGIMIAVTLSQHTFPVLQAITMDRHFVGKAKIPPASFGVFTILTLTIWVAIYDRVLVPRIAKFTKRPRGLSNKQRMGIGLLLSCVSAAVAGVVEHQRRARAIREGLADKPRDLVNMSAMWLVPQHCLTGLAEAFNAIGQIEFYYSQFPKTMSSIGVALFSLGMAFGNLIGSLIVTILTRATRNDEKVSWVSDNLNRGHLDYYYWFLASLSVLNFFYYLICCRAYGSESNRIWDAETIEEEENENKPVGSPIKHATG >Manes.02G172600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649180:13653703:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRICSSWCKNNMNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.02G172600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649180:13653703:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRICSSWCKNNMNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.02G172600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649180:13653703:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAELRSENLNQCFERLMTVAGGNSGIGGGISEGGVKMEGVVITEWKDIPIELLLRIVSLVDDRTVIMASGVCSGWRDALCLGLTHLSLSWCKNNMNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.02G172600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649180:13653703:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRICSSWCKNNMNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.02G172600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649128:13653703:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRICSSWCKNNMNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.02G172600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649180:13653703:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.02G172600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649087:13654013:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAELRSENLNQCFERLMTVAGGNSGIGGGISEGGVKMEGVVITEWKDIPIELLLRIVSLVDDRTVIMASGVCSGWRDALCLGLTHLSLSWCKNNMNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.02G172600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649180:13653703:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEAELRSENLNQCFERLMTVAGGNSGIGGGISEGGVKMEGVVITEWKDIPIELLLRIVSLVDDRTVIMASGVCSGWRDALCLGLTHLSLSWCKNNMNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.02G172600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13649180:13653703:1 gene:Manes.02G172600.v8.1 transcript:Manes.02G172600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICHMETRNSLLIIPGGSGFRIKSEMVGEAELRSENLNQCFERLMTVAGGNSGIGGGISEGGVKMEGVVITEWKDIPIELLLRIVSLVDDRTVIMASGVCSGWRDALCLGLTHLSLSWCKNNMNNLVLSLAPKFTKLETLVLRQDKPQLEDNAIGAIANYCHDLQNLDLSKSFKLSDHSLYALAHGCPKLTKLNISGCTAFSDGALEYLTNFCQKLKILNLCGCVKAATDHALQAIGRNCSQLQSLNLGWCENVGDLGVMSLAYGCPDLRTLDLCGCVCITDDSVIALAYRCLHLRSLGLYYCRNITDRAMYSLVHSRVKNKPPMWQSMKDRCNEEGLRSLNISQCTALTPPAVQALCDSFPALHTCSWRHSLVMSGCLNLTSVHCACAVQAHRTMSSIPNPAH >Manes.15G005300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:568501:587983:1 gene:Manes.15G005300.v8.1 transcript:Manes.15G005300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDFINMLVFLVAGNMALRKYIPSGDAASVGMKPLNFFSKVHENVQHGDPEPKMKPDADIDLREVYFLIMHFLSAGPCHRTFVQFWNELLEHQLLPRRYHAWYSRSGISNGDENDDGLSFPLNYTMLVERYPHIEKDHLVKLLKQLLHNTASSSQGLIGAPNAADVPTLLGAGSFSLLSHDSDNGKTQANHPPTYMRWPHMHADQVRGLSLREIGGGFSRHHRAPSVRAACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDCIIRVWRLPDGVPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARYSNFNPRIYIPRPSYSVTGKSSGPSSSSGLQSHQIFCCAFNANGTVFVTGSSDNLARVWNACKPNTDDSDQPNHEIDVLAGHENDVNYVQFSGCAVASRFSLSENSKEENAPKFRNSWFSHDNIVTCSRDGSAIIWIPRSRRSHGKAGRWTRHYHLKVPPPPVPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEISRFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGESQQDAKYDQFFLGDYRPLIQDTYGNILDQETQLVPYRRNMQDLLCDSGMNPYPEPYQSMYQKRRLGALNMEWKPPSIKLAAGPDFSLDPDYQMLPLADLDVVVEPLPEFVDAMDWEPGNEVHSDDTDSEYNATEEYSSGGEQGSLNSNSSIDPECSAEDSEVEGRDGFHRSKRKQKAEIEIMTSSGRRVKRRNLDDYHGNTFRSNRTRKSRTGRKASKRKSSALKGLRPQRVAARNALTLFSKITGTDTDGEDEDSSEGDSSESESTLQHSDIQSDESERSLQNERKRHLKGKEVSLYESEEFVKPRELPESHVNAGNRRKLILKLPVRDPTKIAMPDGRTPNDNQTDLVGSSSYKAPQAAPDINRVHFRSVDVGYSSSYADYIPVKGRGKGQTESSDLDLSEGYKNGDIKWGGVKARTPKRQRFGEAMSSAGHARFSVGLSDKQEENNLNGCLKSQYSCSTTSPLKVQDYADKVNEVAAFNGQDTEADASKVVNNLANGKEHLNFDGGMDSDEVPKLAHMANGNDSPPEFTGSSRPISTRLRIMSRKLSRDSSENEGSEGCDLLPGSLAKMNQNPVSEVSEQARAIKITPLNKHNEVQEADASIEEISMPMLDDSMGSHSHQKKMYNVVYKRSKLIRDRANSEGDSGTRESISHASTDEQYARGDLNEDVTDGSQTKHIMDSKATDDLMNCNTVLEQEHESEDSCRNANNGSINRRQLPGEEWGSSSRTAVGLRSTRNRRTSYYFRDASPVDRRKSNQSAKRGSWLMLTMHEEGSHYIPQQADEVVYLRQGHQEYLDYIKSKDPGPWKLVKGHIRAVEFCKVEGLEYSTLPGSGDSSCKMTLKFVDPTSNVFQKSFKLTLPEVAGFPDFLVERTRFDVAMQRNWTCRDKCKVWWKNDGEEDGSWWAGRVLSVKPKSPEFPDSPWERYTIQYRSDPRETHQHSPWELFDDDTEWEQPHIDDEIRNKLISSLAMLKQSGKKIQDHYGVEKLRQVSQKTNFTNRYPVPLSLDVIQLRLENNYYRTLEAVKHDIEVMLSNAESYFGKNAELSLKMRRLSDWFSRTLSSL >Manes.15G005300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:568423:588009:1 gene:Manes.15G005300.v8.1 transcript:Manes.15G005300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKYIPSGDAASVGMKPLNFFSKVHENVQHGDPEPKMKPDADIDLREVYFLIMHFLSAGPCHRTFVQFWNELLEHQLLPRRYHAWYSRSGISNGDENDDGLSFPLNYTMLVERYPHIEKDHLVKLLKQLLHNTASSSQGLIGAPNAADVPTLLGAGSFSLLSHDSDNGKTQANHPPTYMRWPHMHADQVRGLSLREIGGGFSRHHRAPSVRAACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDCIIRVWRLPDGVPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARYSNFNPRIYIPRPSYSVTGKSSGPSSSSGLQSHQIFCCAFNANGTVFVTGSSDNLARVWNACKPNTDDSDQPNHEIDVLAGHENDVNYVQFSGCAVASRFSLSENSKEENAPKFRNSWFSHDNIVTCSRDGSAIIWIPRSRRSHGKAGRWTRHYHLKVPPPPVPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEISRFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGESQQDAKYDQFFLGDYRPLIQDTYGNILDQETQLVPYRRNMQDLLCDSGMNPYPEPYQSMYQKRRLGALNMEWKPPSIKLAAGPDFSLDPDYQMLPLADLDVVVEPLPEFVDAMDWEPGNEVHSDDTDSEYNATEEYSSGGEQGSLNSNSSIDPECSAEDSEVEGRDGFHRSKRKQKAEIEIMTSSGRRVKRRNLDDYHGNTFRSNRTRKSRTGRKASKRKSSALKGLRPQRVAARNALTLFSKITGTDTDGEDEDSSEGDSSESESTLQHSDIQSDESERSLQNERKRHLKGKEVSLYESEEFVKPRELPESHVNAGNRRKLILKLPVRDPTKIAMPDGRTPNDNQTDLVGSSSYKAPQAAPDINRVHFRSVDVGYSSSYADYIPVKGRGKGQTESSDLDLSEGYKNGDIKWGGVKARTPKRQRFGEAMSSAGHARFSVGLSDKQEENNLNGCLKSQYSCSTTSPLKVQDYADKVNEVAAFNGQDTEADASKVVNNLANGKEHLNFDGGMDSDEVPKLAHMANGNDSPPEFTGSSRPISTRLRIMSRKLSRDSSENEGSEGCDLLPGSLAKMNQNPVSEVSEQARAIKITPLNKHNEVQEADASIEEISMPMLDDSMGSHSHQKKMYNVVYKRSKLIRDRANSEGDSGTRESISHASTDEQYARGDLNEDVTDGSQTKHIMDSKATDDLMNCNTVLEQEHESEDSCRNANNGSINRRQLPGEEWGSSSRTAVGLRSTRNRRTSYYFRDASPVDRRKSNQSAKRGSWLMLTMHEEGSHYIPQQADEVVYLRQGHQEYLDYIKSKDPGPWKLVKGHIRAVEFCKVEGLEYSTLPGSGDSSCKMTLKFVDPTSNVFQKSFKLTLPEVAGFPDFLVERTRFDVAMQRNWTCRDKCKVWWKNDGEEDGSWWAGRVLSVKPKSPEFPDSPWERYTIQYRSDPRETHQHSPWELFDDDTEWEQPHIDDEIRNKLISSLAMLKQSGKKIQDHYGVEKLRQVSQKTNFTNRYPVPLSLDVIQLRLENNYYRTLEAVKHDIEVMLSNAESYFGKNAELSLKMRRLSDWFSRTLSSL >Manes.15G005300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:568413:588248:1 gene:Manes.15G005300.v8.1 transcript:Manes.15G005300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKYIPSGDAASVGMKPLNFFSKVHENVQHGDPEPKMKPDADIDLREVYFLIMHFLSAGPCHRTFVQFWNELLEHQLLPRRYHAWYSRSGISNGDENDDGLSFPLNYTMLVERYPHIEKDHLVKLLKQLLHNTASSSQGLIGAPNAADVPTLLGAGSFSLLSHDSDNGKTQANHPPTYMRWPHMHADQVRGLSLREIGGGFSRHHRAPSVRAACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDCIIRVWRLPDGVPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARYSNFNPRIYIPRPSYSVTGKSSGPSSSSGLQSHQIFCCAFNANGTVFVTGSSDNLARVWNACKPNTDDSDQPNHEIDVLAGHENDVNYVQFSGCAVASRFSLSENSKEENAPKFRNSWFSHDNIVTCSRDGSAIIWIPRSRRSHGKAGRWTRHYHLKVPPPPVPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEISRFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGESQQDAKYDQFFLGDYRPLIQDTYGNILDQETQLVPYRRNMQDLLCDSGMNPYPEPYQSMYQKRRLGALNMEWKPPSIKLAAGPDFSLDPDYQMLPLADLDVVVEPLPEFVDAMDWEPGNEVHSDDTDSEYNATEEYSSGGEQGSLNSNSSIDPECSAEDSEVEGRDGFHRSKRKQKAEIEIMTSSGRRVKRRNLDDYHGNTFRSNRTRKSRTGRKASKRKSSALKGLRPQRVAARNALTLFSKITGTDTDGEDEDSSEGDSSESESTLQHSDIQSDESERSLQNERKRHLKGKEVSLYESEEFVKPRELPESHVNAGNRRKLILKLPVRDPTKIAMPDGRTPNDNQTDLVGSSSYKAPQAAPDINRVHFRSVDVGYSSSYADYIPVKGRGKGQTESSDLDLSEGYKNGDIKWGGVKARTPKRQRFGEAMSSAGHARFSVGLSDKQEENNLNGCLKSQYSCSTTSPLKVQDYADKVNEVAAFNGQDTEADASKVVNNLANGKEHLNFDGGMDSDEVPKLAHMANGNDSPPEFTGSSRPISTRLRIMSRKLSRDSSENEGSEGCDLLPGSLAKMNQNPVSEVSEQARAIKITPLNKHNEVQEADASIEEISMPMLDDSMGSHSHQKKMYNVVYKRSKLIRDRANSEGDSGTRESISHASTDEQYARGDLNEDVTDGSQTKHIMDSKATDDLMNCNTVLEQEHESEDSCRNANNGSINRRQLPGEEWGSSSRTAVGLRSTRNRRTSYYFRDASPVDRRKSNQSAKRGSWLMLTMHEEGSHYIPQQADEVVYLRQGHQEYLDYIKSKDPGPWKLVKGHIRAVEFCKVEGLEYSTLPGSGDSSCKMTLKFVDPTSNVFQKSFKLTLPEVAGFPDFLVERTRFDVAMQRNWTCRDKCKVWWKNDGEEDGSWWAGRVLSVKPKSPEFPDSPWERYTIQYRSDPRETHQHSPWELFDDDTEWEQPHIDDEIRNKLISSLAMLKQSGKKIQDHYGVEKLRQVSQKTNFTNRYPVPLSLDVIQLRLENNYYRTLEAVKHDIEVMLSNAESYFGKNAELSLKMRRLSDWFSRTLSSL >Manes.15G005300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:568329:588248:1 gene:Manes.15G005300.v8.1 transcript:Manes.15G005300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKYIPSGDAASVGMKPLNFFSKVHENVQHGDPEPKMKPDADIDLREVYFLIMHFLSAGPCHRTFVQFWNELLEHQLLPRRYHAWYSRSGISNGDENDDGLSFPLNYTMLVERYPHIEKDHLVKLLKQLLHNTASSSQGLIGAPNAADVPTLLGAGSFSLLSHDSDNGKTQANHPPTYMRWPHMHADQVRGLSLREIGGGFSRHHRAPSVRAACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDCIIRVWRLPDGVPISVLRGHTGAVTAIAFSPRPGSVYQLLSSSDDGTCRIWDARYSNFNPRIYIPRPSYSVTGKSSGPSSSSGLQSHQIFCCAFNANGTVFVTGSSDNLARVWNACKPNTDDSDQPNHEIDVLAGHENDVNYVQFSGCAVASRFSLSENSKEENAPKFRNSWFSHDNIVTCSRDGSAIIWIPRSRRSHGKAGRWTRHYHLKVPPPPVPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGRTIVWDIWEGTPIRIYEISRFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGESQQDAKYDQFFLGDYRPLIQDTYGNILDQETQLVPYRRNMQDLLCDSGMNPYPEPYQSMYQKRRLGALNMEWKPPSIKLAAGPDFSLDPDYQMLPLADLDVVVEPLPEFVDAMDWEPGNEVHSDDTDSEYNATEEYSSGGEQGSLNSNSSIDPECSAEDSEVEGRDGFHRSKRKQKAEIEIMTSSGRRVKRRNLDDYHGNTFRSNRTRKSRTGRKASKRKSSALKGLRPQRVAARNALTLFSKITGTDTDGEDEDSSEGDSSESESTLQHSDIQSDESERSLQNERKRHLKGKEVSLYESEEFVKPRELPESHVNAGNRRKLILKLPVRDPTKIAMPDGRTPNDNQTDLVGSSSYKAPQAAPDINRVHFRSVDVGYSSSYADYIPVKGRGKGQTESSDLDLSEGYKNGDIKWGGVKARTPKRQRFGEAMSSAGHARFSVGLSDKQEENNLNGCLKSQYSCSTTSPLKVQDYADKVNEVAAFNGQDTEADASKVVNNLANGKEHLNFDGGMDSDEVPKLAHMANGNDSPPEFTGSSRPISTRLRIMSRKLSRDSSENEGSEGCDLLPGSLAKMNQNPVSEVSEQARAIKITPLNKHNEVQEADASIEEISMPMLDDSMGSHSHQKKMYNVVYKRSKLIRDRANSEGDSGTRESISHASTDEQYARGDLNEDVTDGSQTKHIMDSKATDDLMNCNTVLEQEHESEDSCRNANNGSINRRQLPGEEWGSSSRTAVGLRSTRNRRTSYYFRDASPVDRRKSNQSAKRGSWLMLTMHEEGSHYIPQQADEVVYLRQGHQEYLDYIKSKDPGPWKLVKGHIRAVEFCKVEGLEYSTLPGSGDSSCKMTLKFVDPTSNVFQKSFKLTLPEVAGFPDFLVERTRFDVAMQRNWTCRDKCKVWWKNDGEEDGSWWAGRVLSVKPKSPEFPDSPWERYTIQYRSDPRETHQHSPWELFDDDTEWEQPHIDDEIRNKLISSLAMLKQSGKKIQDHYGVEKLRQVSQKTNFTNRYPVPLSLDVIQLRLENNYYRTLEAVKHDIEVMLSNAESYFGKNAELSLKMRRLSDWFSRTLSSL >Manes.S034116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:865824:865958:1 gene:Manes.S034116.v8.1 transcript:Manes.S034116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.13G032300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4100433:4100786:1 gene:Manes.13G032300.v8.1 transcript:Manes.13G032300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIANTCAGIYYYSSTFPGTNFTEFANIKGLKLEVDSWHASFDVPASFPLLVQFVYQIIAAPMLHDFYNYDSEDRECYKLFIKFTQDICF >Manes.05G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22896511:22900404:-1 gene:Manes.05G125400.v8.1 transcript:Manes.05G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAAAAPGDRSLQDTPTWALAVVCAVFVIISILIEHGIHSLGKWFQKRHNKAMTEALEKIKAELMLLGFISLLLTVGTKYIAKICVPAKYGERMLPCKSIYEEEGHKGKGKGGGGGGDYGDDDRRKLLSFAGDVVWHRVLAAAAGGDDYCGKKGKIPLISQTGVHQLHIFIFVLAVFHILYSVITIALAQAKMKKLKAWELETSSLEYQFTNDPARFRLAHQTSFVKRHSGISTAPGIRWIVAFFRQFFGSVTKVDYLTMRNGFINAHFASNSKFDFHKYIKRCMEDDFKVVVGISIPLWIFAIVFLLLNVYKWYTLTWLTVVPLIILLLVGTKLELVIMEMAQEIQARATVVRGAPVVEPNNKYFWFNRPQWILFLLHYTLFQNAFQMAFFLWTWYEFGLKSCFHENLAAILVRVFLGVALQFVCSYITFPLYSLVTQMGSHMKRAIFEEQTAKALRKWQKAAKERKKSRKAGAEGSSPGFKSGETTPAQGSSPIHLLHGHKHRSSQTDIESVLNSPRSCRSDTDFSDLSETEGSTHRRNESRNQDHQGNKDEPNNTDFSFVKI >Manes.16G020550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2270161:2271086:-1 gene:Manes.16G020550.v8.1 transcript:Manes.16G020550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNKLFSKKKKNHLLIMEKGRFGFHSIVLYRLDKLPHSPIEIRYFWSNQYTNESSLGTGVPKILCSLSSACNSRSLLVVGPSLKVPSYNGRERGLIYSFSH >Manes.13G024600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3352209:3361841:-1 gene:Manes.13G024600.v8.1 transcript:Manes.13G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYQQHHRYMRPPPTPQQPPPPSSADPLHYLHHQARPPVPSQSTWYSNQFQYLPPPPPSSHSPSPPPPPPPQQWAASPHSDHVAPAPPPSAYPPPSPPPHPYPSHLPPHHQFPPPPPPRPHLPAPPPHSQIPQSYAQVNQEWGNPNWGQHQSWDYQAHNNVEDWAARARAWAAQKAAMEDHHPQTQFTQVGRTEEQNRFHDQFPQTVGSHYQDIQQQPFPSSGYQHFPTSAATMHQQPIVYSQDNASFNSGESSNFPEGHIPYTVGGGTSSGPPTTSPSVLQQEVPSSYSSVTGKEEATDQKDQLYKSLPLPISSTQEGHHVQPSLPALGGSVLTEQPFAFGNQGADLTADLSNQPLDFTSSISRDRDPHMQSSYSAHHEGNVRGLGHVAPLPSINSWTPVATGSAYPPNPPGLPSGPQHDPLAGIPSPVSGHAAPPFGSFHGTSFQSTIPSAGVPYGLGPGSALHPTAGFPGDVYGVSERPKKASVPNWLKEEIIKNASVITRSSLEHPKEETQSVDDDGVDKSFGKGDQADSRSIDSSRSTEEEEEDEDDEEAARNAAINQEIKRVLTEVLLKVTDELFDEIATKVLHEDDPTAEVEHNTVTSNHEAAPSPPGVPTLKASAKVLVPVKARESETEDASEKSSSGAPGNVLGLANYASDDEEEDDDEIKSSSMPNLRKNGVLQQSSNSVPKFSQDMHDVAENGSSPLERGMGSRGQTNLEDVLRKTSSIESKSTTSAALSELSEPKVVPRGMDLEINIDSQKSTHAANGSGMRAAFGENVEEDSQVKETRMKLHEDNRHESKRSYPGKDIKEAQHGSRADEKEDGKRRRQDEAHVRKEKTDNQNDSKERMKERGDRTGENAKESESRKRSSHLDVKEDRKEAEKLHKSIAKEDNRKRGRAKDKEEDRARHKRTSDSNRYKRRRSSSTSSRGRNNKDNDSSDEVSDDPKRKLHSRKRNLSPSPVRSRRRQVSRSPHSKHSQRRHSPYSSLEPTRGRRSRSKSPVRRHR >Manes.10G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27064663:27070989:-1 gene:Manes.10G110100.v8.1 transcript:Manes.10G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGREVKLDYSREGSDIEIDHASSGGSTEAKEFNSMREPLLLRNRKNTTSQIAIVGANACPIESLDYEILENELFKQDWRTSKKVQIYQYVFLKWTFALLIGLGTGLVGLFNNLAVENIAGFKFLLTSSLMLEKNYYLAFAAYAGCNMILAIAAAVLCAYVAPAAAGSGIPEVKAYLNGVDAPSILAPTTLFVKIFGSIFGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWKWLRYFKNDRDRRDLVTCGAAAGVAAAFRAPVGGVLFALEEAVSWWRSALLWRTFFTTAVVAVVLRGFIGFCRTGKCGLFGEGGLIMFDVSSTKATYDTLDLLAVIFLGVVGGIFGSLYNYCIDKVVRTYSIINERGPSFKILLVIIISLLTSCCSYGLPWLAPCIPCPADLSELCPTEGRSGNYKNFQCPPNSYNDLASLFFNTNDDAIRNLLTPGTEKRFKLPTLLVFFFATYGLGIITYGIAIPSGLFIPVILAGASYGRLVGNLLVPLSSLDVSLFALLGAASFLGGTMRMTVSLCVILLELTNDLLMLPLMMLVLLVSKTVADSFNKGVYDQIVKLKGLPYMEAHAEPYMRNLVASDVVSGPLIAFSGVEKVGNILHTLKMTGHNGFPVIDEPPYTDAAELCGLVLRSHLLVLLKEKTFTKGRMMRRSGILSMFKAHDFAKAGSGKGVKLEDLNISEEEMEMYVDLHPISNTSPYTVIETMSLAKAAVLFRELGLRHLCVVPKTPGRPPIVGILTRHDFMPEHISGLYPHCKTHK >Manes.10G110100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27064663:27070989:-1 gene:Manes.10G110100.v8.1 transcript:Manes.10G110100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAIAAAVLCAYVAPAAAGSGIPEVKAYLNGVDAPSILAPTTLFVKIFGSIFGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWKWLRYFKNDRDRRDLVTCGAAAGVAAAFRAPVGGVLFALEEAVSWWRSALLWRTFFTTAVVAVVLRGFIGFCRTGKCGLFGEGGLIMFDVSSTKATYDTLDLLAVIFLGVVGGIFGSLYNYCIDKVVRTYSIINERGPSFKILLVIIISLLTSCCSYGLPWLAPCIPCPADLSELCPTEGRSGNYKNFQCPPNSYNDLASLFFNTNDDAIRNLLTPGTEKRFKLPTLLVFFFATYGLGIITYGIAIPSGLFIPVILAGASYGRLVGNLLVPLSSLDVSLFALLGAASFLGGTMRMTVSLCVILLELTNDLLMLPLMMLVLLVSKTVADSFNKGVYDQIVKLKGLPYMEAHAEPYMRNLVASDVVSGPLIAFSGVEKVGNILHTLKMTGHNGFPVIDEPPYTDAAELCGLVLRSHLLVLLKEKTFTKGRMMRRSGILSMFKAHDFAKAGSGKGVKLEDLNISEEEMEMYVDLHPISNTSPYTVIETMSLAKAAVLFRELGLRHLCVVPKTPGRPPIVGILTRHDFMPEHISGLYPHCKTHK >Manes.07G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31753900:31756700:-1 gene:Manes.07G112200.v8.1 transcript:Manes.07G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGKFCEKAKPYLAVIVLQFGYVGMSIISKFALNKGMSQHVFVVYRHALAALVMSPFAIVFDRKVRPKMTVPIFAKILLLGLLEPTIDQNLFYTGMKYTTATFAVTLSNILPAFAFLTAWALRLEEVNLRKLRSQAKILGTLVTVGGAMVMTLFKGAKLDLPWTKGHDYHGSTSDLTTHDDPLKGAIMIVVGTFCWSSFIILQAITLKTYPAQLSLTALICLMGTIEGSIFALIMERGNPSAWSIHFDSRFLAAVYGGVVCSGVTYYVQGVVMKSKGPVFVTAFNPLNMVIITILGSFVLSEIVYLGRVVGAVAIIIGLYLVLWGKSKDQSPITSTNEKEALEMDSIEETSNQESVAIDFNKVRPAHESV >Manes.02G227000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36585334:36605547:-1 gene:Manes.02G227000.v8.1 transcript:Manes.02G227000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLPVCKSVVTSTTPGLFMGRTGGIGSSQCSFMVGSRINFPRQRVRAAQVSRKAKKNGAGALGATCRADKILIANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLLIPNVLSAAISRGCTMLHPGYGFLAENAVFVEMCREHGINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSQGLLQSTEEAVKLANEIGYPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTAELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYTQENIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALEDTIITGVPTTIDYHKLILDIEDFRNGKVDTAFIPKHEQELAAPQNIVPVKELANATA >Manes.08G141100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37730970:37734409:1 gene:Manes.08G141100.v8.1 transcript:Manes.08G141100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCDCFYWSQITEFCFSDHQPFSLPAQIPQWPQGQGFATERINLGELEVIKITQFESVWSCSLLHGKKNGATFYRPVGIPDGFYCLGHYCQSNDRPLRGYVLVACDANTQRPEVGHLSRPKSVPPALRQPLNYSLVWSTNSHDDGVGYFWLPNPPTGYKAMGVVVTNKPEEPSVDEVRCVRADLTEKCETCDLIISSDSKTFENRFQVWNTRPCKRGMLCKGVSIGTFYCGTYLNSDDDLLDVACLKNLDSTLHAMPNLDQIHALIEHYGPAVFFHPDEDYLPSSVQWFFKNGALLYQEGKDQGEQIDYRASNLPIGGQNDGEYWIDLPNDDDARNNIKRGDLESAELYVHVKPALGGTFTDIAMWVFCPFNGPATLKVGLMSFPMTKIGQHVGDWEHFTLRISNFTGELWQVFFSEHSGGRWVDASDLEFIEGNRPIVYSSKHGHASYPHPGTYLQGSTKLGIGVRNDVARSKYYVDSSKKYQLVAAEYLGDGIVTEPHWLQFMREWGPTIIYDSRSEVDKIINHLPFFVRFSVENIFDLFPTELYGEEGPTGPKEKDNWLGDEIC >Manes.10G024133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2487684:2500901:1 gene:Manes.10G024133.v8.1 transcript:Manes.10G024133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHSNLPTSHLLGSVPAVVSEEKSTTTYEVPEAIMQTFPPNNNRGGGSSHGYQTLGNLSATFSEKVTRTVRKFSPHLAAKMRPPHMPVIRGRPSSKKSVFICGQPRGVFVFLFSAAASFILWFTSGTLLFALWAFGIGFLVTVLHASVRTPNLKARLNTFREEFRAVWRNYSEL >Manes.02G058900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4587916:4589587:1 gene:Manes.02G058900.v8.1 transcript:Manes.02G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWGVVQQGWRKGPWTPEEDKLLSEYVKLHGEGRWTSVARGSGLNRSGKSCRLRWVNYLRPGLKRGQITPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKKREKSSSHKQEKRKAQVLNKKLQLQQQQEQQQQQQLGDDKMKAINFTSEGKIHEAQEKQEMAFMGPDLESQCLPVMYQDIPSWADFMVEDGVLWGGLWNLDDQVDHASNCSKIAKQNQATAFSFAGGSDNTYSSAGGYIF >Manes.08G160700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39628562:39641702:-1 gene:Manes.08G160700.v8.1 transcript:Manes.08G160700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAAATDSPPPPAPDITENNLQPFFVLHQVSPQKSNKKSTRTAKTRRRIDLTPSLPKSVENLEVEKAEEGDHGYVNKRMETFERVWSKIELTIKDVLRNLNISGFNEIHRWVRESFNTIKSFGTPSFPEATQSFPIVKDATSKQVFTGLVLTKNMEFVDDLLTFEELGLHLKSQGCHVANLSSLDFSVKNGIGGCLRSLLRQLVMVTLDAPDISILATWYREQGNCNNPVVIIIDDLERCCGPVLSDFILTLSEWAFKIPVILIMGVATTLDALRNTLPSNVLHHLRPCKFILGTPFERMDAIVEAVLVKQCSGFRIGHKVAVFMRNFFVSQDGTITSFIKALKIACAQHFSAEPLSFMLLWFLIEEDSQVLEGENYGLPSEALLKHAFDLPSYRRNKVTELNWDTLVHGLSELKNLQNQWSTVLLCIHEAVKSDKIHLLDLYCEALDPESGILRVSNAPKGIQKDSTISPGNKDMHKKYPSLQKGGFIWQAICKLRDLPAMQLCTLLKGWEKHTAGIPEIHDKVKELLSMLKFEDSKSLKGDLIDMSKRSASRSHLNLGKDSKAASEKAARLAEFMVGNYMQALECIAFHEVVCFKDVDKLQAALIGDPRRRIQVDLLEFHNIVQCSCCGRHGNTLLPSMHDSSIMHALAQEHGDLINLHDWYQSFKTVLLSSSNKWKNRVKHSPSPKKRKVTTEHAKPSEAAIQARFCKAVTELQISGLVRMPSKRRPDYVQRVAFGL >Manes.08G160700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39628562:39639942:-1 gene:Manes.08G160700.v8.1 transcript:Manes.08G160700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVDDLLTFEELGLHLKSQGCHVANLSSLDFSVKNGIGGCLRSLLRQLVMVTLDAPDISILATWYREQGNCNNPVVIIIDDLERCCGPVLSDFILTLSEWAFKIPVILIMGVATTLDALRNTLPSNVLHHLRPCKFILGTPFERMDAIVEAVLVKQCSGFRIGHKVAVFMRNFFVSQDGTITSFIKALKIACAQHFSAEPLSFMLLWFLIEEDSQVLEGENYGLPSEALLKHAFDLPSYRRNKVTELNWDTLVHGLSELKNLQNQWSTVLLCIHEAVKSDKIHLLDLYCEALDPESGILRVSNAPKGIQKDSTISPGNKDMHKKYPSLQKGGFIWQAICKLRDLPAMQLCTLLKGWEKHTAGIPEIHDKVKELLSMLKFEDSKSLKGDLIDMSKRSASRSHLNLGKDSKAASEKAARLAEFMVGNYMQALECIAFHEVVCFKDVDKLQAALIGDPRRRIQVDLLEFHNIVQCSCCGRHGNTLLPSMHDSSIMHALAQEHGDLINLHDWYQSFKTVLLSSSNKWKNRVKHSPSPKKRKVTTEHAKPSEAAIQARFCKAVTELQISGLVRMPSKRRPDYVQRVAFGL >Manes.08G160700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39628562:39641703:-1 gene:Manes.08G160700.v8.1 transcript:Manes.08G160700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAAATDSPPPPAPDITENNLQPFFVLHQVSPQKSNKKSTRTAKTRRRIDLTPSLPKSVENLEVEKAEEGDHGYVNKRMETFERVWSKIELTIKDVLRNLNISGFNEIHRWVRESFNTIKSFGTPSFPEATQSFPIVKDATSKQVFTGLVLTKNMEFVDDLLTFEELGLHLKSQGCHVANLSSLDFSVKNGIGGCLRSLLRQLVMVTLDAPDISILATWYREQGNCNNPVVIIIDDLERCCGPVLSDFILTLSEWAFKIPVILIMGVATTLDALRNTLPSNVLHHLRPCKFILGTPFERMDAIVEAVLVKQCSGFRIGHKVAVFMRNFFVSQDGTITSFIKALKIACAQHFSAEPLSFMLLWFLIEEDSQVLEGENYGLPSEALLKHAFDLPSYRRNKVTELNWDTLVHGLSELKNLQNQWSTVLLCIHEAVKSDKIHLLDLYCEALDPESGILRVSNAPKGIQKDSTISPGNKDMHKKYPSLQKGGFIWQAICKLRDLPAMQLCTLLKGWEKHTAGIPEIHDKVKELLSMLKFEDSKSLKGDLIDMSKRSASRSHLNLGKDSKAASEKAARLAEFMVGNYMQALECIAFHEVVCFKDVDKLQAVSI >Manes.08G160700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39628562:39641702:-1 gene:Manes.08G160700.v8.1 transcript:Manes.08G160700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAAATDSPPPPAPDITENNLQPFFVLHQVSPQKSNKKSTRTAKTRRRIDLTPSLPKSVENLEVEKAEEGDHGYVNKRMETFERVWSKIELTIKDVLRNLNISGFNEIHRWVRESFNTIKSFGTPSFPEATQSFPIVKDATSKQVFTGLVLTKNMEFVDDLLTFEELGLHLKSQGCHVANLSSLDFSVKNGIGGCLRSLLRQLVMVTLDAPDISILATWYREQGNCNNPVVIIIDDLERCCGPVLSDFILTLSEWAFKIPVILIMGVATTLDALRNTLPSNVLHHLRPCKFILGTPFERMDAIVEAVLVKQCSGFRIGHKVAVFMRNFFVSQDGTITSFIKALKIACAQHFSAEPLSFMLLWFLIEEDSQVLEGENYGLPSEALLKHAFDLPSYRRNKVTELNWDTLVHGLSELKNLQNQWSTVLLCIHEAVKSDKIHLLDLYCEALDPESGILRVSNAPKGIQKDSTISPGNKDMHKKYPSLQKGGFIWQAICKLRDLPAMQLCTLLKGWEKHTAGIPEIHDKVKELLSMLKFEDSKSLKGDLIDMSKRSASRSHLNLGKDSKAASEKAARLAEFMVGH >Manes.15G030800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2417152:2420527:-1 gene:Manes.15G030800.v8.1 transcript:Manes.15G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGNGFAIVAADTSAVHSILVHKSNEDKIMILDSHKLIAASGEPGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYFVNILLAGYDKETGPSLYYIDYIATMHKVEKGAFGYGSYFALSMMDRHFHSGMSVEEAIDLVDKCILEIRSRLVVAPPNFLIKIVDKDGAREYAWRESVKNDVAA >Manes.04G056400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:17357647:17361056:-1 gene:Manes.04G056400.v8.1 transcript:Manes.04G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKIRRAIGAVKDQTSIGLAKVGSSTSLSELDVAIVKATSHEEYPAEERHIREILSLTSYSRAYISACINTLSRRLNKTKNWTVALKTLILIQRLLAEGDPTYEQEIFFATRRGTRILNMSDFCDTSHSNSWDYSAFVRTYALYLDERLEFRMHMRRRKRSALETDDEGQETSTTYGRSIPTRDMKIDHIFSRAQHLQHLLDRFLACRPTGGAKHSRVMMVAIYPIVKESFQIYYKIMEILGILTDRFMELEISDSIKVYDIFCHVSKQFDDLDNFYGWCKNVGISHSSGYPEVEKITQKKLNLMDDFIRNKSALAQTTKAITYEAKLDNEEESEGPDDKEVDMNATKALPPPDDFVEDSTQEVIEELIKEEIKEEKIEKEADLLNLGDDAQSLESHANQLALALFDVDGGVATNTSTLELFSDVTADWESALIQSASALSNKRKNLAGGFDMMLLDGMYQQGVTNAAMSNTASGSASSVALGSAGRPGTLALPAPPMANNSNVNLPIVDPFAASSTVAPPPYVQISDMEKKQKLLMDEQLMWEQYAKDGMRGQLELAQPNSYNMGGYTNGH >Manes.05G043800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3556080:3558605:1 gene:Manes.05G043800.v8.1 transcript:Manes.05G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLALRYLFLFLALSAFSATKAHNITDILAGQDDYSEFNKYLTQTKLADEINSRETITVLALNNAAMTALAGKHPLSVIKKALSLLVVLDYYDPKKLHQISNGTTLSTTLYQTTGNAPGNLGFVNITDLQGGKVGFGSAAPGSKLDSAFTKSIKQIPYNISVLEISAPIIAPGILTAPAPSASDVNITALLEKAGCKTFSGLLQSSGVIKTFQAAADKGLTIFAPNDEAFKADGVPDLSKLTNAEVVSLLQYHASASYSPFGSLKTNRDPISTLASNGAGKFDLTVTSAGDSVTLHTGVDSSRVADAVLDSPPMVIFTVDNVLLPEELFGKSPSPAPAPEPVSAPSPSPATTPSPAPASVEAPSPLAASPPAPPMETPEGAPSDAPVGSENSTADNAGIHVTASALFTVSATVVCSILMS >Manes.07G002040.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:488297:489104:1 gene:Manes.07G002040.v8.1 transcript:Manes.07G002040.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHLISIINISPLISLSEQPLPLIRSKVKRIQSVEDPLYGTYSPMIFQRG >Manes.15G178100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:20343184:20343513:1 gene:Manes.15G178100.v8.1 transcript:Manes.15G178100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTLQRSSVSFRRQGSSGRVWDNLHIDRKASEALSGPLSGQLLSMSVDKSQKLSLKNIEKEAIQEKENKEISNFKSSPSPMPSSKSDNKNQRCNVLSLFRSCMGSPTL >Manes.07G079499.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24587100:24588610:1 gene:Manes.07G079499.v8.1 transcript:Manes.07G079499.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGTASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.01G020700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4826871:4829449:1 gene:Manes.01G020700.v8.1 transcript:Manes.01G020700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSNNGNDPICYSDQQQVYNRPFFNDITSNSKHEELPFSCFHFPSPFLPYDQLDFQDHDVDLLHQNHDLFLHHPPLIRALASTSCTVASSAETFLDMVRSNQNDANKKSCSASSDQIPRKRSCKRDRHSKIYTAQGPRDRRMRLSLKVAREFFDLQDKLCFDKASKTVEWLLMQAKPAIKKLSSGLPQFNYSCSVHAKSASSTSECEVVSRNDDEAAAIKATSKVSNAKNSSSSCVTNKGKKAKPSRKIAFDPVARESRDKARARARERTREKLQNRRIDESKLSEEAKDLEFNQLNCWSPFEIGEESGKQSHPMNPNSLEIPTQEQDRLLVTSENMIDDSMVIMEKWSACCSSINNHLYNAAMPQEHQITDLQSFFKSLEAPYI >Manes.01G020700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4826866:4829449:1 gene:Manes.01G020700.v8.1 transcript:Manes.01G020700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSNNGNDPICYSDQQQVYNRPFFNDITSNSKHEELPFSCFHFPSPFLPYDQLDFQDHDVDLLHQNHDLFLHHPPLIRALASTSCTVASSAETFLDMVRSNQNDANKKSCSASSDQIPRKRSCKRDRHSKIYTAQGPRDRRMRLSLKVAREFFDLQDKLCFDKASKTVEWLLMQAKPAIKKLSSGLPQFNYSCSVHAKSASSTSECEVVSRNDDEAAAIKATSKVSNAKNSSSSCVTNKGKKAKPSRKIAFDPVARESRDKARARARERTREKLQNRRIDESKLSEEAKDLEFNQLNCWSPFEIGEESGKQSHPMNPNSLEIPTQEQDRLLVTSENMIDDSMVIMEKWSACCSSINNHLYNAAMPQEHQITDLQSFFKSLEAPYI >Manes.01G020700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4826866:4829449:1 gene:Manes.01G020700.v8.1 transcript:Manes.01G020700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSNNGNDPICYSDQQQVYNRPFFNDITSNSKHEELPFSCFHFPSPFLPYDQLDFQDHDVDLLHQNHDLFLHHPPLIRALASTSCTVASSAETFLDMVRSNQNDANKKSCSASSDQIPRKRSCKRDRHSKIYTAQGPRDRRMRLSLKVAREFFDLQDKLCFDKASKTVEWLLMQAKPAIKKLSSGLPQFNYSCSVHAKSASSTSECEVVSRNDDEAAAIKATSKVSNAKNSSSSCVTNKGKKAKPSRKIAFDPVARESRDKARARARERTREKLQNRRIDESKLSEEAKDLEFNQLNCWSPFEIGEESGKQSHPMNPNSLEIPTQEQDRLLVTSENMIDDSMVIMEKWSACCSSINNHLYNAAMPQEHQITDLQSFFKSLEAPYI >Manes.17G014002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6707768:6710424:1 gene:Manes.17G014002.v8.1 transcript:Manes.17G014002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYRLVYGKACHLPVELEHKAYWAVKNCNMDLKEAGHHRKLQLQELEEIRRDAYENSWNYKVKTKATHDSHLLRKQFEVGDKVLLFDSRLKLFPGKLRSRWIGPFIVEHAYPHGAVDIKSIETGKIFKVNGHRLKPYYEGFAVQVVEEIPLSRSE >Manes.05G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24134416:24138192:-1 gene:Manes.05G141700.v8.1 transcript:Manes.05G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRKSLKAFQSQAKQQNHPEEETQALLHNHEQSSNSDTQKEDIFVEIDTDNASGKETSAPTSSSKFAGSSKKLKVSFGDVLTEVVRQRSKDLPDQDSRFRSLNASFGCRSWRSVVNKTKSRLIDPPEERYQRTETADYGEDSEEERDDHHEENDSEDIPEEYKKMTLSGLTMFQRVILVLITAALVCSLSVPVLRKLTLWDLPLWKWEIMVLALISGHLVSGWGIKVAVIFFEPNLVLRKRVLYFVYGLRKSVQNCLWLGLVLLVWHWVFNKKVEETKSKILQYVTKILICLLVGTFIWLLKTLIVKVLASSFHVNTYFDRIQEALFNQYVIETLCGPPVFETQSTEEEEDDEGAGPSDLKATLLANTVGKRPRFSRKSSRKKEEEISIHHLHKLNHKNISAWNMRRMINIVRHGALCTLDEQILNSNIEDESLFHIRSENQAKEAAKKIYLKVAKTGSQFIFLDDLMRFMDKEEALRALHLFGATSENEGISKISLNTWLVNAFRERRALALSLNDTKTSVDELHNMLNILVAIIVTIVWLLILGVNITHFLVFISSQLLLVAFIFGNSCKTTFEAIIFVFVMHPFDVGDRCEVDGVQMVVEEMNILTTVFLRYDKQKITYPNSVLATKPIGNFYRSPDMDEVIDFSIHISTPVEKIGLMKERITEHIEGNSDHWHPSGKVIVKGIEDMNKINLSLVVTHKLNFQQMGERWIRRTLLLEQMIKVFKELDLEFRLLPLDVNVRSFQPLVSDRIPSNWTN >Manes.17G068500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26873998:26877667:1 gene:Manes.17G068500.v8.1 transcript:Manes.17G068500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEVVEEITEITETKVNEKNKIFVAGATGSTGKRIVEQLLAKGFEVKAGVRDLEKAKTTFAKDNPSLQIVKADVTEGSAKLAEAIGDDSEAVICATGFRPGWDLFAPWKVDNFGTVSLVDACRKLGVNRFILISSILVNGAAMGQMLNPAYIFLNVFGLTLIAKLQAEQYIRKSGINYTIIRPGGLRNDPPTGNVVMEPEDTLYEGTISRDLVAEVAVEALIHPESSYKVVEIVSRADAPKRTYQDLFGSIKQR >Manes.17G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26873998:26877667:1 gene:Manes.17G068500.v8.1 transcript:Manes.17G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGYGVTSGLRPEEILWQGRGWSKRNFKQLKTITSISMATPLILRTSLLWTLHSHHSHPLSFSKPFSSVSFSAISKSKSHSLNSTKMEGSEVVEEITEITETKVNEKNKIFVAGATGSTGKRIVEQLLAKGFEVKAGVRDLEKAKTTFAKDNPSLQIVKADVTEGSAKLAEAIGDDSEAVICATGFRPGWDLFAPWKVDNFGTVSLVDACRKLGVNRFILISSILVNGAAMGQMLNPAYIFLNVFGLTLIAKLQAEQYIRKSGINYTIIRPGGLRNDPPTGNVVMEPEDTLYEGTISRDLVAEVAVEALIHPESSYKVVEIVSRADAPKRTYQDLFGSIKQR >Manes.17G098100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30460166:30461272:1 gene:Manes.17G098100.v8.1 transcript:Manes.17G098100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSLYKLTPSTIHHHFHLSLTEKPEMGNCASPQYATNCAFTFTLHSQSTVKIVHTDGSLQEFKQPIKANLVLSQNPNCFLCNSESMYVNSHLPHVADDDELQLGQIYFLVPLSKSHALLSLQELCSFAIKASSALAHQTPDIHPQKYGRFSMEMVRDIENSDWV >Manes.07G019900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2227021:2234322:1 gene:Manes.07G019900.v8.1 transcript:Manes.07G019900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSASSTSVRSSIFPHFAFISLILLLSPVLASEADHKYQSDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPSGSAGHKWGGLGEVLGGNELIDSKIDIKFLRNVDKGTICSLELDEAKVKQFKEAIENNYWFEFFMDDLPLWGFVGELHPDKNSDNGKHVLYTHKSINVKYNKDQIIHVNLTQDGPKPLEVGRIYDMTYSVRWISTNITFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRSPRNLVVLSAVVGTGAQLALLVLLVILLAIGGTLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRHGGKTWIKSMILTASLFPFMCFGIGFLLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVNLAALFDWHRDI >Manes.07G019900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2227021:2234322:1 gene:Manes.07G019900.v8.1 transcript:Manes.07G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSASSTSVRSSIFPHFAFISLILLLSPVLASEADHKYQSDDPVTLWVNKVGPYNNPQETYNYYSLPFCHPSGSAGHKWGGLGEVLGGNELIDSKIDIKFLRNVDKGTICSLELDEAKVKQFKEAIENNYWFEFFMDDLPLWGFVGELHPDKNSDNGKHVLYTHKSINVKYNKDQIIHVNLTQDGPKPLEVGRIYDMTYSVRWISTNITFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRSPRNLVVLSAVVGTGAQLALLVLLVILLAIGGTLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRHGGKTWIKSMILTASLFPFMCFGIGFLLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYCVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >Manes.10G015715.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1549090:1552127:1 gene:Manes.10G015715.v8.1 transcript:Manes.10G015715.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQPEICLSFKMGNETDRLALLEFKAKIASDPYVTLRSWNNSVNFCKWQGVTCGRKHHRVTSLDLHELSLSGTISPYIGNLSFLRFLNLSDNRFYGEIPQEVGRLFRLRIFSLKTNILRGEIPVNISFCSELRIMTLAVNGLVGKIPAELSSLKKLMGLFLGTNKLTGKIPHSFGNLSSLQRLFLQYNHLEGNIPNELGRITSLTMLGMGINNLVGPIPSTLYNISSITALSVPDNQLNGRLPEKIGLTLPNLQFFHIGGNKFHGSIPASLTNASQLQILDIGSNRLTGPIPKNLGDLTGLQRLNMEKNFLGSNSSQDLAFITSLSNCSNLRKLYLADNNFGGVFPATIVNMSTLGDLGLGINQISGRIPADIGNLVNLYRLGLEQNLFYGSIPNSFGKLQKLQALLLHTNMLSGQIPQSLGNITQLSDLWLGRNKLEGNMTSITNCQNLHILDVGNNNLTGSIPPQIFALPFLSLVLNLSYNSFTGPLPREIGHLKNIGTLDITESKLFGEIPGSIGECLSLTDLYMPGNFLQGPIPSSLASLRGLRYLDLARNNLSGKIPKEIEKLPFLQFLNLSFNNLEGEVPTKGVFSSRSAVSLDGNKNLCGGIPELQLPACPIKQKKHKKPLVAIILAATMSLILLLSAITSLRLLYWRKSKKNLSSNPFTLDKLFQISYNELLQATQGFSSDNLIGEGSFGSVYRGSLDLEGERIVAVKVLNLQQHGASKSFIAECRALRSIRHRNLVKILTCCSSIDFKGNDFKALVLDFMENGSLETWLHPEEDGTSQSRNLNLLQRLRVAVDLSSALHYLHDLCETPIIHCDLKPSNILLDNDMTAHLGDFGLARLLSKNTSNSSQGQTNSIGMKGTIGYIPPEYGIGCKATTNGDVYSFGIILLEIFTGRRPTDEVFTDGLNLHSFVSSKLPGHVMQALDPKLIATGEFRAEEIVEGNESSDDGQIEIQENNIKIENLKLHVSNVKECVVS >Manes.03G206900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32308204:32310829:1 gene:Manes.03G206900.v8.1 transcript:Manes.03G206900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILSKERDFEVDLENGAKASVEDQSKDSISGLKIQAKQLFAKICGIFYDGTMKGEEGLKLCCDASEQVNLDGGKTISNVENQVVKEKRKKTSNKKASKPPRPPRGPSLDAADLMLIKEITELAMLKRARIERIKALKKMKAEKASSSNGNMFAMAFTILFFLVIIFQGMSSRVTSANIKGSPLSTETIQSSLKYFGNPSASVPNGPASESPNSVNPIAGSNPPENPRRAVE >Manes.08G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35303554:35304830:-1 gene:Manes.08G114200.v8.1 transcript:Manes.08G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTQVSAPDACPKCGSMEVPYPLSRGDTCGDPSINPSAYKLVIEPPMIQKNTCYSAELAFGGLKLAENLPFNISTHNTVLLFNCSENILLSPLNCSSTSYCKEYEAEERESGCKDTLCCHFLKDASMTQYRIRVRVGGCRAYTSVVDLKPGDPVDAWNYGIELQWLPPNLPF >Manes.17G059600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25832637:25847113:1 gene:Manes.17G059600.v8.1 transcript:Manes.17G059600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTQLLLLKSMAMASKLLMRVGSIRDAKIAPNPNPLLNATRRLFAHHPISAPPHSPPSSPPDNGKTTHDKSQPTSSLKDLELAKFSAIADSWWDSEGPFKPLHAMNPTRLAFIRSTLCRHFRKDPHVSRPFEGLKIVDVGCGGGILSEPLARMGATVTGIDALEKNINIARLHAGLDPVTSTIDYRCTTAESLVKEQKMFDAVIALEVIEHVADPAEFCKSLSALTHPGGATVISTINRSMRSYATAIVAAEYLLHWICSFPKGRTSGQVS >Manes.17G059600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25832637:25847113:1 gene:Manes.17G059600.v8.1 transcript:Manes.17G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTQLLLLKSMAMASKLLMRVGSIRDAKIAPNPNPLLNATRRLFAHHPISAPPHSPPSSPPDNGKTTHDKSQPTSSLKDLELAKFSAIADSWWDSEGPFKPLHAMNPTRLAFIRSTLCRHFRKDPHVSRPFEGLKIVDVGCGGGILSEPLARMGATVTGIDALEKNINIARLHAGLDPVTSTIDYRCTTAESLVKEQKMFDAVIALEVIEHVADPAEFCKSLSALTHPGGATVISTINRSMRSYATAIVAAEYLLHWLPKGTHQWSSFLTPEELVLILQRAFINVKEMAGFVYNPLTGRWSLSDDISVNFIAFGTKVANRDH >Manes.17G059600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25832636:25847113:1 gene:Manes.17G059600.v8.1 transcript:Manes.17G059600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTQLLLLKSMAMASKLLMRVGSIRDAKIAPNPNPLLNATRRLFAHHPISAPPHSPPSSPPDNGKTTHDKSQPTSSLKDLELAKFSAIADSWKDPHVSRPFEGLKIVDVGCGGGILSEPLARMGATVTGIDALEKNINIARLHAGLDPVTSTIDYRCTTAESLVKEQKMFDAVIALEVIEHVADPAEFCKSLSALTHPGGATVISTINRSMRSYATAIVAAEYLLHWLPKGTHQWSSFLTPEELVLILQRAFINVKEMAGFVYNPLTGRWSLSDDISVNFIAFGTKVANRDH >Manes.13G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34630897:34633583:1 gene:Manes.13G138200.v8.1 transcript:Manes.13G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYLLLLLVAFSLPLSLRGQNGLAPRDLWCVAKNNADDQALQAAIDWACGPGGADCGSIQQGGPCYDPNDIQKTASWCFNDYYLKHGLTDDACSFSNTAALISLNPSHDNCKFPSSLSVNNGIVSGSTTTTTTIGMGPDTADLSGCSKISGSWFWPIITSHLFVVLATIIRV >Manes.02G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3994910:4001880:-1 gene:Manes.02G049300.v8.1 transcript:Manes.02G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTHRGSSSVDVFLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQARLPRYLAVPPPDTMQQAKKIDEEILQEVVKMGFDRNQLIESLGNRMQNDATVAYYLLLDNRFRVSNGYLGAEFQETMDCGFNRIHPNEPTSPAVGHRLPGYMDYQGTGLKAQFPVDRKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWIPGIPGHHEGMVNDSVHNNNFFGDESTIIENDGVIKSPNVVKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >Manes.02G049300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3996097:4001880:-1 gene:Manes.02G049300.v8.1 transcript:Manes.02G049300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTHRGSSSVDVFLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGRLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQARLPRYLAVPPPDTMQQAKKIDEEILQEVVKMGFDRNQLIESLGNRMQNDATVAYYLLLDNRFRVSNGYLGAEFQETMDCGFNRIHPNEPTSPAVGHRLPGYMDYQGTGLKAQFPVDRKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWIPGIPGHHEGMVNDSVHNNNFFGDESTIIENDGVIKSPNVVKFEVQVM >Manes.06G133900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26220791:26223975:-1 gene:Manes.06G133900.v8.1 transcript:Manes.06G133900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHQVRLNCGITMPVLGLGTYSFQNDRETTELAAHMALKMGYRLFDTAKIYGSEPALGKVLTKAILDQRVNREDIFITSKLWGSDHHDPISALKQTLKNLGMEYLDMYLVHWPVKLKPWVCYPVPHEEDFEKLDLESTWAGMERCLDLGLCRCIGVSNFSTAKIVRLLDFASVPPAVNQVEMHPMWRQTKLRGVCADYKIHVSAYSPLGAPGNLWGTTAVVENPVIKSISVKHQATPAQVALKWGLSKGASVIVKSFNQNRLKENMEALDLKMDDQDLVDIDKLEERKIMRGDVYVNETTSPYKTIEDLWDDEI >Manes.09G025572.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5766111:5771116:1 gene:Manes.09G025572.v8.1 transcript:Manes.09G025572.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.09G025572.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5766372:5771430:1 gene:Manes.09G025572.v8.1 transcript:Manes.09G025572.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQVSKIAIFPLVSVTTSFVAEEESAGKSSNDENASLEDGLLVNKETEELLPKSGSISTKRHIPSASSALVIACVLGVIQALFLIFSAKPILSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.14G016200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:955027:962061:-1 gene:Manes.14G016200.v8.1 transcript:Manes.14G016200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTRGRPSRPSRSASSSRSRSKSRSRSYSGSDSRSSSRSRSLSSRSRSRSRSFSSSSSPSRSLSSGSRSPPAQRKSPAEVPKRGRTPPPQSRKTSPPPRKTSPIRESRVLHVDALSRNVHEGHLKEIFSNFGEVVHVELAMDRTVNLPKGYGYVEFKTRAAAEKALLYMDGAQIDGNVVRARFTLPPRQKVSPPPKPVAAAPKRDAPKTDNASADIEKDGPKRQREPSPHRKPPTSPRRRSPVARRGGSPRRLPDSPPRRRADSPVRRRVGSPYRHGDTPPRRRPASPPRGRSSSPPRRYRSPARGSPRRIRGSPVRRRSPPPPPRRRTPPRRVRSPPRRSPLRRRSRSPIRRPARSRSRSLSPRRGRGPAARRGRSSSYSGSPSPRKVTRRISRSRSPRRPLRGRSTSNSSSSSSPPRKP >Manes.03G160800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28775932:28779321:1 gene:Manes.03G160800.v8.1 transcript:Manes.03G160800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESFDSVRNQVHKAPSMLETLGRRTVTRFLGSFISTGCLILQEAGGTDLTFEGSGAKCSLKVHLKIRSPQFYWKVMTRADVGLADAYIDGDFSFADAGEGLVNLIMLLIANQSASKSNKKRGWWTPSLFTATFASAKLAYQRVLRQNTLTQARRNISRHYDLTEDEDLQTAQMRKISILIEKARIEPKQEVLDIGCGWGTFGIEVVKRTGCKYTGITLSEEQLKFAEKKVKEAGLQDHISLQLCDYRQLPETTKYDRIISCEMIEHVGHEYMEEFFGCCDKLLSEDGLFVLQFISVPDEYYEEYRRSAGFIGEYIFPGGCLPSFSRVISAMNAASRLCVEHVENIGSHYYHTLRRWRENFLDNQTKILAMGFDEKFIRTWEYYFDYCAAGFRTYTLGDYQVVFSRTGNIETLGYPYKGFPSAYAHLSSTQG >Manes.03G160800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28775932:28779321:1 gene:Manes.03G160800.v8.1 transcript:Manes.03G160800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESFDSVRNQVHKAPSMLETLGRRTVTRFLGSFISTGCLILQEAGGTDLTFEGSGAKCSLKVHLKIRSPQFYWKVMTRADVGLADAYIDGDFSFADAGEGLVNLIMLLIANQSASKSNKKRGWWTPSLFTATFASAKLAYQRVLRQNTLTQARRNISRHYDLSSDMFALFMGETMSYSTGIFKTEDEDLQTAQMRKISILIEKARIEPKQEVLDIGCGWGTFGIEVVKRTGCKYTGITLSEEQLKFAEKKVKEAGLQDHISLQLCDYRQLPETTKYDRIISCEMIEHVGHEYMEEFFGCCDKLLSEDGLFVLQFISVPDEYYEEYRRSAGFIGEYIFPGGCLPSFSRVISAMNAASRLCVEHVENIGSHYYHTLRRWRENFLDNQTKILAMGFDEKFIRTWEYYFDYCAAGFRTYTLGDYQVVFSRTGNIETLGYPYKGFPSAYAHLSSTQG >Manes.03G160800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28775932:28779321:1 gene:Manes.03G160800.v8.1 transcript:Manes.03G160800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESFDSVRNQVHKAPSMLETLGRRTVTRFLGSFISTGCLILQEAGGTDLTFEGSGAKCSLKVHLKIRSPQFYWKVMTRADVGLADAYIDGDFSFADAGEGLVNLIMLLIANQSASKSNKKRGWWTPSLFTATFASAKLAYQRVLRQNTLTQARRNISRHYDLSSDMFALFMGETMSYSTGIFKTEDEDLQTAQMRKISILIEKARIEPKQEVLDIGCGWGTFGIEVVKRTGCKYTGITLSEEQLKFAEKKVKEAGLQDHISLQLCDYRQLPETTKYDRIISCEMIEHVGHEYMEEFFGCCDKLLSEDGLFVLQFISVPDEYYEEYRRSAGFIGEYIFPGGCLPSFSRVISAMNAASRLCKILAMGFDEKFIRTWEYYFDYCAAGFRTYTLGDYQVVFSRTGNIETLGYPYKGFPSAYAHLSSTQG >Manes.03G160800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28775932:28779321:1 gene:Manes.03G160800.v8.1 transcript:Manes.03G160800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASESFDSVRNQVHKAPSMLETLGRRTVTRFLGSFISTGCLILQEAGGTDLTFEGSGAKCSLKVHLKIRSPQFYWKVMTRADVGLADAYIDGDFSFADAGEGLVNLIMLLIANQSASKSNKKRGWWTPSLFTATFASAKLAYQRVLRQNTLTQARRNISRHYDLSSDMFALFMGETMSYSTGIFKTEDEDLQTAQMRKISILIEKARIEPKQEVLDIGCGWGTFGIEVVKRTGCKYTGITLSEEQLKFAEKKVKEAGLQDHISLQLCDYRQLPETTKYDRIISCEMIEHVGHEYMEEFFGCCDKLLSEDGLFVLQFISVPDEYYEEYRRSAGFIGEYIFPGGCLPSFSRVISAMNAASRLCVEHVENIGSHYYHTLRRWRENFLDNQTKILAMGFDEKFIRTWEYYFDYCAAGFRTYTLGDYQVVFSRTGNIETLGYPYKGFPSAYAHLSSTQG >Manes.11G158700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32453421:32459309:-1 gene:Manes.11G158700.v8.1 transcript:Manes.11G158700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSDPQLSSQNPTDGGRRQATEKEGEKKAKLVVIMGPTGSGKSKLAIDLAAHFPIEIINADSMQVYHGLDVLTNKVPLHDQKGVPHHLLGTVSPNVEFTAKDFRDSAIPLITEIASRNHLPVIVGGTNYYIQALVSPFLLDDTAEDLDESFQNQSLGDELTDQVPDFGRSNFNSYDYLKDLDPVAANRIHPNNHRKINQFLNLYARFGILPSRLYQGRAAENWGRVDNCRFDCCFICVDAAIPVLDQYVGQRVDCMIDAGLLGEVYDIYKPNSDYTRGLRQAIGVREFEDFLTVYLSEGRNDKASDPTNESLFMESANKDKILKDNIKEVLCCSDNNELKVVLSEAIDKMKANTRRLVRRQKRMLTRLQTLFGWYIHCVDATESISCKSDESWSVQVVGPAVEIVRSFLSEDGSSVLDLGACDAATMKTIERNLWTQYVCKACGNRVLRGAHEWEQHKQGRGHRKRISHLRKLQGQGKASVEQNVETIINDQVSGS >Manes.14G150022.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16650108:16651244:1 gene:Manes.14G150022.v8.1 transcript:Manes.14G150022.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQLLVELGAKNRSQTLLPASSPFSKWVQQETIPKKFMMPPMAAYDGAGNPREHVLNYKTFMELQTLSDALMCKVFPTTLTGLARAWFNSLEARSIRSFGDLANVFISRFIAGVPADRKISYLETVRQRRNESLREYVARFNTEVLQIPELDESRAVEAMQKRTTSPEFFGSLSRKPPTSLAELMKRAEKYIRQDDALVTSRFAKEAVDRGKAPEERRPERQEKKQSKRPETYRQPWDRRDQRPFPPQVLETLTPLNASRAEVLMAVQNKEFLQWPKPIRVETSQRDPNKYCQYHRTHGHDTNNCYQLISEIERLIKRGHLQNFVKKSEGERPQQDPTAERPRRARAGPVNDGSSGTINMIVGGTGGRMSRRGKKRG >Manes.04G007200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:941580:943782:1 gene:Manes.04G007200.v8.1 transcript:Manes.04G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHKQILDKQQSQSQRFKNPSIINTIGSPAKDDDNEEEEEEISRSALATFRAKEEEIEKKKLEIRNKVQAQLARVEEATKRLAEIREELEALADPLRKEVTLVRRKIDMVNRELKPLGQTCQKKEKEYREALEGFNEKNKEKSKLVSKLMELVGESERLRLKKLEELSKNIETLP >Manes.06G043100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13472235:13476787:1 gene:Manes.06G043100.v8.1 transcript:Manes.06G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNRSNPPLFLLLVGSVFSLFLFTSSSPTTFTSHLQTLNFPEAVSERVDGRLVPWEKRRLSEEENSNSTLILAAKRTRRKDPLNSFKRYTGGYNISNEHYWASVGLTAAPFFVIGGIWFVLFGLSLAFICLCYCCCRREPYGYSRMCYALSLIFLILFTVAAIVGCVVLYTGQQKFHRITTHTLDYVVSQANVTAENLRNVSDYLAAARSVSVNNMFLPGNVRNSIGDIETKINSSSTILSSRTQDNSEDIQDGLDSMRLALIILAAVMLALAFLGFLFSILGLQCLVYFLVILGWILVAGTFILCGVFLLVHNVVADTCVAMDEWVLNPTAKTAMDDIIPCVDNATAQATLQQTKEVTYQLVNIVDGIIKNVSNRNFPPQAGPFYYNQSGPLMPVLCNPYNSDFTDRQCASGEVDLNNATEVWKNYTCQDQSGICKTPGRVTPSLYNQMASAVNLSYGLHRYGPFLVNLEDCTFVRQTFTVISSSYCPDLRQYTEWIYIGLVMVSAAVMLSLIFWVIYARERRHRVYTKQFMSGGMEGRDKAP >Manes.09G083100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21919207:21921184:-1 gene:Manes.09G083100.v8.1 transcript:Manes.09G083100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIRNSILSHVRLRSFAQQQLLTQRKNVFKQLHQQMCALSGSSPDQIMDRVIGLVKKFDNIDAAMVTETAYFQKDLSLDSLDRVELVMAFEQEFSMEIPDEEADKLTCCADVAKYIASGAEKKTSAS >Manes.09G083100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21919207:21920587:-1 gene:Manes.09G083100.v8.1 transcript:Manes.09G083100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIRNSILSHVRLRSFAQQQLLTQRKNVFKQLHQQMCALSGSSPDQIMDRVIGLVKKFDNIDAAMVTETAYFQKDLSLDSLDRVELVMAFEQEFSMEIPDEEADKLTCCADVAKYIASGAEKKTSAS >Manes.09G083100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21919207:21920587:-1 gene:Manes.09G083100.v8.1 transcript:Manes.09G083100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMDQFVEDKRKTIFYGLVANMQGIRNSILSHVRLRSFAQQQLLTQRKNVFKQLHQQMCALSGSSPDQIMDRVIGLVKKFDNIDAAMVTETAYFQKDLSLDSLDRVELVMAFEQEFSMEIPDEEADKLTCCADVAKYIASGAEKKTSAS >Manes.09G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21919207:21921187:-1 gene:Manes.09G083100.v8.1 transcript:Manes.09G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIRNSILSHVRLRSFAQQQLLTQRKNVFKQLHQQMCALSGSSPDQIMDRVIGLVKKFDNIDAAMVTETAYFQKDLSLDSLDRVELVMAFEQEFSMEIPDEEADKLTCCADVAKYIASGAEKKTSAS >Manes.08G045800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4557504:4567042:1 gene:Manes.08G045800.v8.1 transcript:Manes.08G045800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYELSDSSGTDDDLPPHQNTIAKGERFLGNGRSAVGCAAYSRMYTDMEAQIHHLEQEAYSAVLRAFKAQSDAISWEKEGLITELRKELRVSDDQHRELLTKVNGDEIIRRIREWRQTGGHQAARSSASQPVHNVVPSPSVSGSRKKQKTSQSGSMPGLPSMKYTHYPSSVPAGNHYVNRSSSGALAANEHTEAATSDPLIGRKVWTRWPEDNSFYEAVITDYNPAEGRHALVYDINTANETWEWVNLKEIPPEDIQWEGVDPGISLRGAHSGPGHGIKKSMSHVGYPSGGRGRGGSIKGESRREFLPTQNGFTKKVSDDIELFNTETLVQEVEKVFALNHPDPHELEKAKRMLKEHEQALVEAIARLADASDGESGEHQFLHGQAMDGDRG >Manes.08G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4557504:4567042:1 gene:Manes.08G045800.v8.1 transcript:Manes.08G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYELSDSSGTDDDLPPHQNTIAKGERFLGNGRSAVGCAAYSRMYTDMEAQIHHLEQEAYSAVLRAFKAQSDAISWEKEGLITELRKELRVSDDQHRELLTKVNGDEIIRRIREWRQTGGHQAARSSASQPVHNVVPSPSVSGSRKKQKTSQSQGSMPGLPSMKYTHYPSSVPAGNHYVNRSSSGALAANEHTEAATSDPLIGRKVWTRWPEDNSFYEAVITDYNPAEGRHALVYDINTANETWEWVNLKEIPPEDIQWEGVDPGISLRGAHSGPGHGIKKSMSHVGYPSGGRGRGGSIKGESRREFLPTQNGFTKKVSDDIELFNTETLVQEVEKVFALNHPDPHELEKAKRMLKEHEQALVEAIARLADASDGESGEHQFLHGQAMDGDRG >Manes.08G045800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4557504:4565729:1 gene:Manes.08G045800.v8.1 transcript:Manes.08G045800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYELSDSSGTDDDLPPHQNTIAKGERFLGNGRSAVGCAAYSRMYTDMEAQIHHLEQEAYSAVLRAFKAQSDAISWEKEGLITELRKELRVSDDQHRELLTKVNGDEIIRRIREWRQTGGHQAARSSASQPVHNVVPSPSVSGSRKKQKTSQSQGSMPGLPSMKYTHYPSSVPAGNHYVNRSSSGALAANEHTEAATSDPLIGRKVWTRWPEDNSFYEAVITDYNPAEGRHALVYDINTANETWEWVNLKEIPPEDIQWEGVDPGISLRGAHSGPGHGIKKSMSHVGYPSGGRGRGGSIKGESRREFLPTQNGFTKKVSDDIELFNTETLVQEVEKVFALNHPDPHELEKAKRMLKEHEQALVEAIARLADASDGESGNEYMHA >Manes.13G106900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30959946:30961947:-1 gene:Manes.13G106900.v8.1 transcript:Manes.13G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTHNLFFCQRLGYVTISFVLLLVSSSTHVRFKAEGRATPKSASIPQTENEEKMITRSQIGSRPPRCERRCSSCGHCEAIQVPTNPQVNNGNRNSSSVSNIAYARGDDSSNYKPMSWKCKCGNLIFNP >Manes.09G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22058420:22060804:1 gene:Manes.09G083900.v8.1 transcript:Manes.09G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVRRLSSSSLLWSYGGSKCISYMPSRFAAFSPGGNVPGPYPSRFQNSAGRNDAEMRRNPNPMDFVREIVEQGNSRFSQNNVVHNAGENVRGPYPSRFQNSAGLNDDGMRRNSNLMDYVRGIIDQENSRFSQNNVEHNADIVHMKLMRNNSFISVTDSKGNTKLVATSGSKKVVGDGKATRYAAEATAEYVGRMAREMGLKSVVVKVEGFTYFRRKRQAIMSFREGFCNSRADRNPIVYIEDTTRRPHNGCRLPKKRRI >Manes.05G101900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9578193:9580525:-1 gene:Manes.05G101900.v8.1 transcript:Manes.05G101900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPLIIHGHLLLAATLVLFVNLGESSPLLQGKSFPHMTSDVNEVSGKSFDYIIVGGGTVGCSLAATLSEKFSVLVVERGGSPYGNPLVASKMYYGFSLLQTDEFSSVAQSFISKDGVMGHRGRVLGGSSAVNGGFYSRASDDFVEKVGWDKELVKEAYEWVEFKIVSKPELTMWQSVVEFGLLEAGFLPYNGFSWEHIEGTKIGGTIFDEFGIRHTSADLLAAGNPGNITVLLTATVRNIIFYSNGERNETTTRGIQFIKSDGSSNQTFEAYLNQPDNSSSWGEVILSAGALGSPQILMLSGIGPKKHLQNFSIPVVLNLKGVGREMKDNPAIALLADTKPEYRLPDTPQVAGIAKDFKFIVQGGTVPISFNATRMPIAIKLAFPESKGKLKLNSTDPRQNPSVQFNYLAKEKDMEGCTEMVQVLERVTKSDSVALFLKTVPQNNLMSNPDELRNFCKKNVRTYYHYHGGCTVGSVVDKDYKVYGVKGLRVIDGSTFLESPGTNPMATVLMLGRYQGIKILRERQNASISTSQEYP >Manes.12G107400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29439790:29442294:-1 gene:Manes.12G107400.v8.1 transcript:Manes.12G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCNRCHRFDQTAREKTTFLFTLYQLPTSHSFLFTHFNFSLGFSFFHDLVSLIMAVELMMAFSNDGFVTKLEDNAVQEAASGLESVNKLIRLLSQQNQETIQSSSSPSATLRSSMDIDMDCKAVADVAVSKFKKVISLLGRTRTGHARFRKAPVAVAMAAALSHTQISQENQLLESKVYYATPIQQIPPTPPVPNHYHDYSSMGMMPNNNGVIGERKESSTTINFSYSSAGNSFVSSLTGDTTDGKQPSSSSAFQITNPSQVSSAGKPPLSSSSLKRKCSSENLGSANCSGPSGRCHCSKKSRKLRLKRVVRVPAISLKMSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGEHNHNLPIAEPTNLILESS >Manes.17G082100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28729475:28732757:-1 gene:Manes.17G082100.v8.1 transcript:Manes.17G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNSKESSAVVKEEVNSDGYKEIGFSKGSGVHWPFSNKISALPHLNSVKVAQEDKIERVLTDSSVSPGFLSISTADSFDHNQKQSMAETPTSNHDRQSGTHFTFTAYPVQHDVHSVHHPYDMKMFPFSNHATSISLSNPFFKNYYATSGKNTAGAVAKPEFGGFPVTTPQTIIPTIGSVSGMSESCVKASGSPAQLTIFYAGTVNVYDDISPEKAQAIMFMAGKSSSIACNMLQSKCQVQPPSSKSIATDVSPAKHGATTPPCSRLSSPLSVSSQTGAQSGSGSTSTEEIVATKTTEVATTPVSKLDTQKLTSAIGSVAATTLMPSAVPQARKASLARFLEKRKERAMSAAPYNLGKKSPESAIQNPME >Manes.08G061800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8188508:8198905:1 gene:Manes.08G061800.v8.1 transcript:Manes.08G061800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPETEEKMQMDVMLPVSADVSFASNGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETTQLSDQHKRLSVRDLASKFDKNLAAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARVVVLRFGEALEEEERNAQNSKNQAPDVEELIEEVQEARRIKLLHQPSKVMEMEHELRALRTQIREKSIFSVKLQNELAKSKRAEENKSAPYIIEGSETLGICLQLQPRSNASPPPLSKCSIQWCRVSCDGSQKEVISGANKSSYAPEPLDVGFILQAEIISNGQKIAVTTAGPIETAAGLGSYVETLLRKSSSEFNVVISQMNGQDHPSHSVHTFNVGKMRIKLCRGWITKAREIYSASVQLCGARVDSNAAAKALFWQPRKGLSFVLTFESERERNAAIMIARKYALDCNVRLVGPEDQV >Manes.08G061800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8189670:8198864:1 gene:Manes.08G061800.v8.1 transcript:Manes.08G061800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPETEEKMQMDVMLPVSADVSFASNGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETTQLSDQHKRLSVRDLASKFDKNLAAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARVVVLRFGEALEEEERNAQNSKNQAPDVEELIEEVQEARRIKLLHQPSKVMEMEHELRALRTQIREKSIFSVKLQNELAKSKRAEENKSAPYIIEGSETLGICLQLQPRSNASPPPLSKCSIQWCRVSCDGSQKEVISGANKSSYAPEPLDVGFILQAEIISNGQKIAVTTAGPIETAAGLGSYVETLLRKSSSEFNVVISQMNGQDHPSHSVHTFNVGKMRIKLCRGWITKAREIYSASVQLCGARVDSNAAAKALFWQPRKGLSFVLTFESERERNAAIMIARKYALDCNVRLVGPEDQV >Manes.08G061800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8188419:8198904:1 gene:Manes.08G061800.v8.1 transcript:Manes.08G061800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPETEEKMQMDVMLPVSADVSFASNGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETTQLSDQHKRLSVRDLASKFDKNLAAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARVVVLRFGEALEEEERNAQNSKNQAPDVEELIEEVQEARRIKLLHQPSKVMEMEHELRALRTQIREKSIFSVKLQNELAKSKRAEENKSAPYIIEGSETLGICLQLQPRSNASPPPLSKCSIQWCRVSCDGSQKEVISGANKSSYAPEPLDVGFILQAEIISNGQKIAVTTAGPIETAAGLGSYVETLLRKSSSEFNVVISQMNGQDHPSHSVHTFNVGKMRIKLCRGWITKAREIYSASVQLCGARVDSNAAAKALFWQPRKGLSFVLTFESERERNAAIMIARKYALDCNVRLVGPEDQV >Manes.08G061800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8189365:8198864:1 gene:Manes.08G061800.v8.1 transcript:Manes.08G061800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPETEEKMQMDVMLPVSADVSFASNGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETTQLSDQHKRLSVRDLASKFDKNLAAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARVVVLRFGEALEEEERNAQNSKNQAPDVEELIEEVQEARRIKLLHQPSKVMEMEHELRALRTQIREKSIFSVKLQNELAKSKRAEENKSAPYIIEGSETLGICLQLQPRSNASPPPLSKCSIQWCRVSCDGSQKEVISGANKSSYAPEPLDVGFILQAEIISNGQKIAVTTAGPIETAAGLGSYVETLLRKSSSEFNVVISQMNGQDHPSHSVHTFNVGKMRIKLCRGWITKAREIYSASVQLCGARVDSNAAAKALFWQPRKGLSFVLTFESERERNAAIMIARKYALDCNVRLVGPEDQV >Manes.08G061800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8188485:8198864:1 gene:Manes.08G061800.v8.1 transcript:Manes.08G061800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISPETEEKMQMDVMLPVSADVSFASNGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETTQLSDQHKRLSVRDLASKFDKNLAAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARVVVLRFGEALEEEERNAQNSKNQAPDVEELIEEVQEARRIKLLHQPSKVMEMEHELRALRTQIREKSIFSVKLQNELAKSKRAEENKSAPYIIEGSETLGICLQLQPRSNASPPPLSKCSIQWCRVSCDGSQKEVISGANKSSYAPEPLDVGFILQAEIISNGQKIAVTTAGPIETAAAGLGSYVETLLRKSSSEFNVVISQMNGQDHPSHSVHTFNVGKMRIKLCRGWITKAREIYSASVQLCGARVDSNAAAKALFWQPRKGLSFVLTFESERERNAAIMIARKYALDCNVRLVGPEDQV >Manes.10G063001.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8887347:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVSDDMACCLIKDPTELTG >Manes.10G063001.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8917038:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8887401:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVSDDMACCLIKDPTELTG >Manes.10G063001.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8914024:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8857687:8917048:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGKIKSLVRMMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864595:8917048:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8916264:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8914024:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8917036:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8910987:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864595:8917036:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8857678:8916264:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGKIKSLVRMMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8857686:8916756:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGKIKSLVRMMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.10G063001.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8864599:8916990:1 gene:Manes.10G063001.v8.1 transcript:Manes.10G063001.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKKMAVPNDFGFTSILLSWSLEDIFNENLFQVDEIPQSFESVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKEFLSADSVVKEKCGRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLKLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLNSTIDFFEDCVPQYYISLENELIKGKEHNNESENKDKTFSCKIEVRLKGNMSFLDFARERFESIALPLKQCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMNLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNMQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEGSGNTSKDEFQKINVGYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDVDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEMMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIEFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIYGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLSSAMQVASSQEEWKSQGYKLLHLGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALEKAGECFYLAGCYRFAAEVYAKGNQFTKCLSACTEGKLFDMGFQYIQYWKQHMTEDSCIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFQSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLEADLLGKAGHIRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSRQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNSSKYDWENDMVLDLTRFSESKISKDQVSLETLVYFWNIWKDNIQKIFEYLTSLEAQYASECASYGEFCLNYLGIRRQFSNLNAIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLNVLIKLESLYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKRLLLKFIGLTTEHLFGCIYPLGWKESLKKNMLSLRRTEGFRTLIKDVALETFNVNNQLSYGQLGRITLAILGSGKMCNELYKKIVGGLRWNTSWMALMEDLCSNSGSEISPDGKIEMPSDQLSVMLKLHGALVDTYNANWRTENDYISPGGFLYLVERQLILLSCSRGFFLTTKSSFTEWLIYLESDGSQISSSAEQALQSANGMLGFLANIVQQLLYNKMEMMEWIKKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELRGRNYIADQLPGEFYKALQKRWKNRNFLNANAFVNVLADAFQEIGNPLVIVSLDKTRPQYSCQNAIFFDMISQSKEAMFTILFPDINKADETNKESVELDTTTSCKGVVSPDGYDDGKRSNVDENIPCPRGQIWELLGHLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENVSLHGEAAILLEDLKQLFAALDVRVESTMAVSAFKSSTRRPTNSASASASSSKEETKSNSPNKPPSRRSRSVSAVSRSHQETSSTSSDFLFKRDNPLYWTTVSPPDNDNPKSSDADIKRGRSVSRDVAKNVSGSPKDVHRSLSRVDTGRRRSLSRGPLARTHPLNSESDAERGGSLLNKRTNRIANGSNVGKKVNLVRGSSSDTLEQIENLQAPARGSATNLSSSPTLSGDDGVLGSSFSEAEERTSKAIYEQMKSFQGDELGDDASNHIYETVRSEVRRAIADIQNDLESAVRRSNATTIAITNVTDVPPDLVNPSAVELVLDIRREYANKLEQSQERARKLRADLAVEEHRGLELSRILREVLPDPKISHTQKTRSGRKSSIERRKMSKRLTEEAMAYFDECVSLSTFDSSDFSSQEDPPISLVGIRTPVGYRTSISQANTTIAANHCPDSCPDDKQEWRTCTSSSRECIPDENNINSSEARWSHRTQFSFAHNQGDKFEFRNDIGKYVKNFERNNGKVNISSQILQSNRSDLDEYNLRASSESLLFDRVLFKNKIESGSMLLCGGGITCPFASSIM >Manes.04G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1437213:1441923:1 gene:Manes.04G010700.v8.1 transcript:Manes.04G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWILWFRKLWFVEPVRFQSYESQQCEKKEKIVASAKENDLEITKKRAKTRSKELGWVDYCCWMIGYICTIWWLLFLFYHCLSAILPGFQVPESPGTRLKREGLVAQHPVVLVPGFLAGGLELWEGKPCAEGLFRKRLWGGSFSEMLKRPLCWLDHLILHNETGLDPPGVRVRAVEGLAAADYFAPGYVVWALLIENLAQIGYEEKNLFMAAYDWRLSFQNTEIRDQSLSKLKSKIELMYATNGYKKVVVVPHSMGSICFLHFLKWVETPPPMGGGGGPGWCSNHIKAIMNIGPTFLGSPKMVTSILTMEGKDVAWLRAMFSGVLDPELLGIQTLEHVLRLSRTWDSTVSLLPKGGETIWGNLDRFPEEGQVCVLSKSRSLKTSKSNSSSDVEGGLQVKESIKYGRIVSFGKSASQLPSSELPSFDTKEFFGSHTIKNSESSCGEVWTEYDVINKESIRKFAENKTFTAATVLDLLRFVAPKMMQRAESQYSHGIADNLDDPKYEHYRYWSNPLETRLPDAPDMEIYCLYEVGVPTERSYVLKVSPTDRCKSIPFRIDTSVDGEEGSCLKSGVYLVDGDESVPALSAGFMCAKGWKGKTRFNPSGSRTYIREYQHKPSSSLLEGRNTEGGSHVEILGNYAFIEDLLRVAAGASAAELGGDRIHSDILKMSEKINLQL >Manes.06G014400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2341152:2349867:-1 gene:Manes.06G014400.v8.1 transcript:Manes.06G014400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNTSGFGSLVIKKRMEANFENWKECMKHYLIGQDLGSIVFGEEEKPQANDPNYKDWIKKNAMALHAIENSCEEDTISNLMRKYPSEVDSAKFVWEHLAEKPSKVKHYKEEETSSILQYKTLCKAIEKGDWNTAEEWLSKNPNDVRKKISLMGLNALQVAVLSGKWKIAEQLVKLMSEEDLEMTSNYGNTAFTLAALNGRIDMMKVMLAKNKNLVTKGNDYDGRLPIVEASLFDQRETIHYLLEKTPIQYLSPLRGDKNGATLLNCFISDGLYDEALHLLKEKEYRRLGFMEDFHRNLSISLLANKTSAFLSGSTLGFWNQWLYSCVPEPYQDDINPAKPKDEENVQTHRKQGSSCGTSTRKQVVNLAHALLWRSLKHLVPERIKDMKKRHAQALQLLKLLLKEIPTLGNEELRNLRFNLIIYDAIKNGLVEFIQELIKSNPELVWRVDNKGRTLFAYAILLRQEKIFSLIYGLGAKRRTIVTKRDVFSNNFLHMAAKLSPSFQLDRVPGAALQMQKELQWFKEIQSMVPTKFMERTNENGHTPSTLFTKEHAELMKEGERWMKNTTASCMVVAALIATVVFTTAFTIPGGTKNDTGIPIFLGYDAFLIFVITNALSLFLSNTSVLIFLGILTSRYAEKDFLKSLPRKLIIGLSTLFFSVVTMMIAFGSSIFILLQKRLSWIDIPLTILSTIPVTFFIFFQFPLLFRVVINTNKHSLFEQPKEQHVEEQLSEMGLDSTADE >Manes.06G014400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2341152:2349867:-1 gene:Manes.06G014400.v8.1 transcript:Manes.06G014400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNTSGFGSLVIKKRMEANFENWKECMKHYLIGQDLGSIVFGEEEKPQANDPNYKDWIKKNAMALHAIENSCEEDTISNLMRKYPSEVDSAKFVWEHLAEKPSKVKHYKEEETSSILQYKTLCKAIEKGDWNTAEEWLSKNPNDVRKKISLMGLNALQVAVLSGKWKIAEQLVKLMSEEDLEMTSNYGNTAFTLAALNGRIDMMKVMLAKNKNLVTKGNDYDGRLPIVEASLFDQRETIHYLLEKTPIQYLSPLRGDKNGATLLNCFISDGLYDEALHLLKEKEYRRLGFMEDFHRNLSISLLANKTSAFLSGSTLGFWNQWLYSCVPEPYQDDINPAKPKDEENVQTHRKQGSSCGTSTRKQVVNLAHALLWRSLKHLVPERIKDMKKRHAQALQLLKLLLKEIPTLGNEELRNLRFNLIIYDAIKNGLVEFIQELIKSNPELVWRVDNKGRTLFAYAILLRQEKIFSLIYGLGAKRRTIVTKRDVFSNNFLHMAAKLSPSFQLDRVPGAALQMQKELQWFKEIQSMVPTKFMERTNENGHTPSTLFTKEHAELMKEGERWMKNTTASCMVVAALIATVVFTTAFTIPGGTKNDTGIPIFLGYDAFLIFVITNALSLFLSNTSVLIFLGILTSRYAEKDFLKSLPRKLIIGLSTLFFSVVTMMIAFGSSIFILLQKRLSWIDIPLTILSTIPVTFFIFFQFPLLFRVVINTNKHSLFEQPKEQHVEEQLSEMGLDSTADE >Manes.11G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1068052:1071792:1 gene:Manes.11G010200.v8.1 transcript:Manes.11G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLLLLFLFSFLSCPLISSTSPLQTPKHYIVYMGSSRNDHKGEEGARLAESAHWHLLSSIIPSQETERISLIHSYHHAFRGFSAMLTEHEASLLSGHSEVLSVFPDTVLRLHTTRSWDFLDAQSGIASRLGYYQHHHSSLHDVIIGVIDTGIWPESPSFNDDHMGKIPSRWKGVCMEGFQFNKSNCNRKLIGARFYDVLSKFPGSPRDDAEGHGTHTASTAAGSPVANASFYGLARGTARGGAPSARIASYKVCSENGCSGAATLKAIDDAIMDGVDIISISMDANSQVEFLEDPIAIGAFHAEQMGVMVICSAGNFGPDAYTVSHTAPWILTVAASSIDRDFQSRVMLGNGRTIKGSAINFSGLTRSKMYRLALGEHVAINKTLVSDARNCVPDSLDSKKASGKIIICVTSNQDIPTTDRAFAVESVNAKGLILVGENTEDETYNAGSFPLTQVEKHEGHLIFNYMKFSKKPVATILPSVDVLGIRPSPIVASFSSRGPGNFTENILKPDIMAPGVDILAAVPPVTDEPGLPRGTSIFGIKSGTSMACPHVSGAAAFIKSVHPHWSSSMIRSALMTTATISNNIGKPLTNTSRYLANPHEAGAGEISPARALDPGLVFETTEEDNLRFLCYYGYPQERVKNMTKTKFKCPKKSQEELISSSINYPSISIGKLDRTQAAQIIRRKVTNVGSGNASYYSRVHAPKGLLVKVSPKKINFDESKRKASFRVLFNGKKAHKGYHFGHVIWSDGHHRVRVVFAVNVE >Manes.11G010200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1068052:1071792:1 gene:Manes.11G010200.v8.1 transcript:Manes.11G010200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIILSLQHYIVYMGSSRNDHKGEEGARLAESAHWHLLSSIIPSQETERISLIHSYHHAFRGFSAMLTEHEASLLSGHSEVLSVFPDTVLRLHTTRSWDFLDAQSGIASRLGYYQHHHSSLHDVIIGVIDTGIWPESPSFNDDHMGKIPSRWKGVCMEGFQFNKSNCNRKLIGARFYDVLSKFPGSPRDDAEGHGTHTASTAAGSPVANASFYGLARGTARGGAPSARIASYKVCSENGCSGAATLKAIDDAIMDGVDIISISMDANSQVEFLEDPIAIGAFHAEQMGVMVICSAGNFGPDAYTVSHTAPWILTVAASSIDRDFQSRVMLGNGRTIKGSAINFSGLTRSKMYRLALGEHVAINKTLVSDARNCVPDSLDSKKASGKIIICVTSNQDIPTTDRAFAVESVNAKGLILVGENTEDETYNAGSFPLTQVEKHEGHLIFNYMKFSKKPVATILPSVDVLGIRPSPIVASFSSRGPGNFTENILKPDIMAPGVDILAAVPPVTDEPGLPRGTSIFGIKSGTSMACPHVSGAAAFIKSVHPHWSSSMIRSALMTTATISNNIGKPLTNTSRYLANPHEAGAGEISPARALDPGLVFETTEEDNLRFLCYYGYPQERVKNMTKTKFKCPKKSQEELISSSINYPSISIGKLDRTQAAQIIRRKVTNVGSGNASYYSRVHAPKGLLVKVSPKKINFDESKRKASFRVLFNGKKAHKGYHFGHVIWSDGHHRVRVVFAVNVE >Manes.09G095200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28747956:28749237:-1 gene:Manes.09G095200.v8.1 transcript:Manes.09G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNQAIIRKSTEQMMWRFDDQWGSGIVRSYTCSFCNKCFSNAQALGGHMNIHRKDRAKLREASDENLLSLDISKSMKPADIPHQDSEETNPLASESMEEESNCLPKTPFSAYMDLMNCPDGDEDKKMQLSHRQTTQVELDLELRLGLEPYQASSTMSTREFF >Manes.17G044800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24070777:24072803:-1 gene:Manes.17G044800.v8.1 transcript:Manes.17G044800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNNLSELNRSIISRRTSAAAALSSQQSPRTKSPAPFLSKTYDLLEENEQESSPKGAHDDGKKIVSWNPQGNGFIVWSPAEFSELTLPRYFKHNNFSSFIRQLNTYGFKKTSSKQWEFKHEKFLKGSRDMLVEIGRKKCEPSIFPAYLKAANEDNAATSAEENDNLLALLEENNNLKRENLELQMQLAEFKALEIKLLDCVAQYMGSHHNKIKRLY >Manes.13G096300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28485468:28488859:-1 gene:Manes.13G096300.v8.1 transcript:Manes.13G096300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEVLKDIGSGNFGVAKLVKDKWSGELCAVKYIERGSKIDEHVQREIMNHRSLKHPNIIRFKEVFLTPTHLAIVMEYAAGGELFERICNAGRFSEDETRFFFQQLISGVSYCHSMQICHRDLKMENTLLDGSTSPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFKKTIQRITIPEIKKHPWILKSLPMEFMEKEEGGLQEEDEKCEESQSIEETLAIIHEARKSEKGPKMGGILIDGSMDLDDIDDADIDDIETSGDFVCAL >Manes.13G096300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28485468:28488859:-1 gene:Manes.13G096300.v8.1 transcript:Manes.13G096300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEVLKDIGSGNFGVAKLVKDKWSGELCAVKYIERGSKIDEHVQREIMNHRSLKHPNIIRFKEVFLTPTHLAIVMEYAAGGELFERICNAGRFSEDETRFFFQQLISGVSYCHSMQICHRDLKMENTLLDGSTSPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFKKTIQRILSVHYSIPDYVRVSTNCSHLLSRIFVADPEKRITIPEIKKHPWILKSLPMEFMEKEEGGLQEEDEKCEESQSIEETLAIIHEARKSEKGPKMGGILIDGSMDLDDIDDADIDDIETSGDFVCAL >Manes.13G096300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28485468:28488859:-1 gene:Manes.13G096300.v8.1 transcript:Manes.13G096300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEVLKDIGSGNFGVAKLVKDKWSGELCAVKYIERGSKIDEHVQREIMNHRSLKHPNIIRFKEVFLTPTHLAIVMEYAAGGELFERICNAGRFSEDEQICHRDLKMENTLLDGSTSPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFKKTIQRILSVHYSIPDYVRVSTNCSHLLSRIFVADPEKRITIPEIKKHPWILKSLPMEFMEKEEGGLQEEDEKCEESQSIEETLAIIHEARKSEKGPKMGGILIDGSMDLDDIDDADIDDIETSGDFVCAL >Manes.01G002400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1824462:1828221:1 gene:Manes.01G002400.v8.1 transcript:Manes.01G002400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNVFCGPHTLAYLFSISESREGAYQRAHLLLSFSLFSSSFDIFFLLSLSQHIPPKMADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Manes.10G032000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3245756:3248731:-1 gene:Manes.10G032000.v8.1 transcript:Manes.10G032000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDTSVQNDIKLWPFKVIPGPGDKPMIVVTYKGEDKQFSAEEISSMVLIKMREIAEAYLGSSVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKAASVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYSYNMRNTVKDEKISSKLSPDDKKKIEDAIEQAIQWLDANQLAEADEFEDKMKELESICNPIIAKMYQGAGPDMGGGMDEDIPSAGGSGAGPKIEEVD >Manes.17G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27634777:27639956:-1 gene:Manes.17G075900.v8.1 transcript:Manes.17G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFTKLGSIRLHPKLTDISCILCRSLICSAPNLKPLLTIISLKVKVTLPMAGDGSKRSEVSAIPPRKINVQKFVESRTFELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRNKRRKCGIAVDKSNNVALEQNQERLPPRRVRRRVELRRNSRSGFSTSSEGTKRLRTYVWHSKRFTMTKLWGFHLPLGLQGRGRGSRALLKWYKHGALVHDASYYTAVQLEGPEDSLTSIIRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGALSELIAPVTYMWRPYHLPNGENSGSHCNSDGCNELTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKHMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTTLGNPEKSEDLLWQPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKHRLRMDFVCLDDAKSGMPSTAVEVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISNGARAIGLREKRWIACEVGLPFFPSDFPDCNSYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIIRCDHSDITSLRVDGNSFDGIVARTSNMLADFMNEIYGDCSLLFPQAPNKRMKFLESINDESKLGQLQNGIRRMNSNRQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNEAGFQIPTSVVRAYFKEQSNSAAEESHGWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNEIPVKKRRKEIYVLVRNLRSSAYILAMASVILEQHQDDMEFL >Manes.14G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7957344:7970170:-1 gene:Manes.14G095900.v8.1 transcript:Manes.14G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTDDIAEEISFQSFDDDCKLLGNLLNDVLQREVGGRFMEKLERIRILAQSACNMRVAGIEDTAELLEKQLASEMSKMTLEEAVTLARAFSHYLNLMGIAETHHRVRKARSMTHLSKSCDDIFNQLLQGEVSPEELYNTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLDYNDRPDLTHEDREMLIEDLVREITSIWQTDELRRHKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAVDLYIREVDSLRFELSMNQCSDRMLKVANDILLEETSSEDRYENWNQPMTRSQIKPHGQQTPALPTQLPATADLPACTDCNTGGSQYPKLELPGTDYMPLNHQDALGSSNSESSFQDSTQGSRKSFPNGSLANSSGPPSSGTPRGSFTSSQLIAQRKLFAESKIGRSSFQKLLEPSLPQRPGIAPYRIVLGNVKDKLTRTRRRLELLLEDLPCEHDPWDYYETTEQLLEPLLLCYESLQSCGAGVLADGRLADLIRRVATFGMVLMKLDLRQESGRHAETLDAITKYLDMGMYSEWDEEKKLEFLTRELKGKRPLVPPTIEVAPDVKEVLDSFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVSGELGRPCPGGTLRVVPLFETVKDLRGAGSVIRKLLSIGWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPHTAVRQLEIYTTAVLLATLCPPLPPREKKWCNVMEEISKICCENYRSTVYDNPEFLAYFHEATPQAELGFLNIGSRPTRRKSSTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGACEKGFTEDLKAMYEEWPFFQSTIDLIEMVLGKADIPIAKHYDEVLVSESRRELGAALRRELLTTEKYVLVVSGHEKLSENNRSLRRLIESRLPYLNPMNMLQVEILKRLRSDDDNNKLRDALLITINGIAAGMRNTG >Manes.10G123600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28896226:28901888:-1 gene:Manes.10G123600.v8.1 transcript:Manes.10G123600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQSQSLKRRDAPVTREGDQLTLTPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINRSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICVIESTYGVQLHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFTDVGPSVVMASPSGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADYAQTSTFLRELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKAIGKLAEKTPDVGETVSGILVKKGFTYQIMAPEDLHVFSQLSTANITQRITIPFTGAFGVIKHRLEQIYESVVSGTDEESGVPTLQVHERVTVKHESDRHISLHWNADPISDMVSDSVVALVLNISREVPKVVVESEAVKSEEENGKKAEKVIYALLVSLFGDVKLGENGKLVITVDGNVAQLDKQSGDVESENEGLKERVRTVFRRIQSAVRPIPLSAS >Manes.10G123600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28896787:28901658:-1 gene:Manes.10G123600.v8.1 transcript:Manes.10G123600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQSQSLKRRDAPVTREGDQLTLTPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINRSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICVIESTYGVQLHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFTDVGPSVVMASPSGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADYAQTSTFLRELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKAIGKLAEKTPDVGETVSGILVKKGFTYQIMAPEDLHVFSQLSTANITQRITIPFTGAFGVIKHRLEQIYESVVSGTDEESGVPTLQVHERVTVKHESDRHISLHWNADPISDMVSDSVVALVLNISREVPKVVVESEAVKSEEENGKKAEKVIYALLVSLFGDVKLGENGKLVITVDGNVAQLDKQSGDVESENEGLKERVRTVFRRIQSAVRPIPLSAS >Manes.10G123600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28896787:28901658:-1 gene:Manes.10G123600.v8.1 transcript:Manes.10G123600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQSQSLKRRDAPVTREGDQLTLTPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINRSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICVIESTYGVQLHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFTDVGPSVVMASPSGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADYAQTSTFLRELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKAIGKLAEKTPDVGETVSGILVKKGFTYQIMAPEDLHVFSQLSTANITQRITIPFTGAFGVIKHRLEQIYESVVSGTDEESGVPTLQVHERVTVKHESDRHISLHWNADPISDMVSDSVVALVLNISREVPKVVVESEAVKSEEENGKKAEKVIYALLVSLFGDVKLGENGKLVITVDGNVAQLDKQSGDVESENEGLKERVRTVFRRIQSAVRPIPLSAS >Manes.10G123600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28896415:28901888:-1 gene:Manes.10G123600.v8.1 transcript:Manes.10G123600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQSQSLKRRDAPVTREGDQLTLTPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINRSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICVIESTYGVQLHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFTDVGPSVVMASPSGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADYAQTSTFLRELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKAIGKLAEKTPDVGETVSGILVKKGFTYQIMAPEDLHVFSQLSTANITQRITIPFTGAFGVIKHRLEQIYESVVSGTDEESGVPTLQVHERVTVKHESDRHISLHWNADPISDMVSDSVVALVLNISREVPKVVVESEAVKSEEENGKKAEKVIYALLVSLFGDVKLGENGKLVITVDGNVAQLDKQSGDVESENEGLKERVRTVFRRIQSAVRPIPLSAS >Manes.10G123600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28896226:28901847:-1 gene:Manes.10G123600.v8.1 transcript:Manes.10G123600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQSQSLKRRDAPVTREGDQLTLTPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINRSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICVIESTYGVQLHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFTDVGPSVVMASPSGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADYAQTSTFLRELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKAIGKLAEKTPDVGETVSGILVKKGFTYQIMAPEDLHVFSQLSTANITQRITIPFTGAFGVIKHRLEQIYESVVSGTDEESGVPTLQVHERVTVKHESDRHISLHWNADPISDMVSDSVVALVLNISREVPKVVVESEAVKSEEENGKKAEKVIYALLVSLFGDVKLGENGKLVITVDGNVAQLDKQSGDVESENEGLKERVRTVFRRIQSAVRPIPLSAS >Manes.14G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2553351:2558723:-1 gene:Manes.14G028100.v8.1 transcript:Manes.14G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGDLMITSCSNNVSSDGAGGSKIVADIVTRSNTMYNAGIAHQLPVLSPSIHKSLALSLSLKGKMDSHGDMGLLGEHFDPSIMERIKEDGYESRSGSDHLEGASGDDQETGENKRPRKKKYHRHTPHQIQELEFCFKECPHPDEKQRMELSRRLGLENKQIKFWFQNRRTQMKTQLERHENIILRQENDKLRAENELLKQNMTDPICNNCGGLAVLGPVSYEQQQLRIENSRLKDEIGRVCALANKFLGRPLSSSASPTPPFGSNSMLDLSVGINGYEALANIETTLPIGLDYNDGITMPFIKQMTSPVVNEIPYDRSMFIELALAAMDELVKIAQTDSPLWIKSLEGGKDALNYEGYTRTFSPCIGVKPSSFVAEATRETGTVIISSLALIETLMDVNRWTEAFPDLIARACTIDVISSGMAGTKNGALQVMHAEFQVVSPFVPVRQVRFIRFCKQLAEGVWAMVDVSIDANQESSFSLPSAACRRLPSGCIIQDMPNGCSKITWVEHSEYDESAVHHLYRSVLSSGVGFGAQRWIATLQRYCECMAILASPTISGDDQTVVNISGKKSMVKLAQRMVENFCSGVCASSARKWDKLTVENVGEDVRILTRKNINDPGEPPGVVLSATTSVWLPITRQRLFDFLRDERLRSEWDILSHGGMMQEMVHISKGHSRGNCVSLLRASAVSANANENNMLILQETWNDASSSLIVYAPVDVPSMSVVMNGGDSTYVALLPSGFVIHQGGPSFSNGTLVERDNDGGDGGGSLLTVGFQILVNNLPTAKLTVESVETVNNLISCTIQRINTVLQLT >Manes.04G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30241744:30243491:-1 gene:Manes.04G097400.v8.1 transcript:Manes.04G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPHVMLIPYPAQGHVTPLLKLAYNLADHGVKVTFVNTESIHAKLISTMPEKSTEKIRISLVSVPEGLESNLDEKEKENASSFMQGHLQNLVESVNLLNNDDQVTLVIADISLGWALEVAQKMGIKRAAFVPYGVGNLAMALHSPMLIEAGIIDVHGIPMKDEFIRLSNEIPAWNTKELVWSFPGDQELQKLIFEHFIRKTVQNVQISNWLLVNSFYELEQSACDLIPNILPIGPLFVRDHLEPYAGNFWPEDSTCLSWLDEQPPGSVIYAAFGSSTICNKEQFNELALGLEILGQPFLWVVRSDFTNGMSVQYPDGFIERVRKYGKIVEWAPQDKVLAHPSTACFFSHCGWNSTMEGLNMGVPFLCWPYCVDQFHNRTYICEAWKVGLELTPDDTGIVTRHQIKSKLQKLLSDKDIEANSLKLKALARNSTGEGGSSFNNFISFVEQINQ >Manes.09G166600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35945215:35952352:1 gene:Manes.09G166600.v8.1 transcript:Manes.09G166600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASGTTSPGGKGGVRIVVAGDRGTGKSSLIVTAATDNYPVNVPPVLPPTRLPDDFYPDRIPVTIIDTSSRVEDSGKVAEELKRADAVVLTYACDKPETLDRLSTFWLPELRRLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVEEKLPGGGATDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLADELIPTFKRAPDQSVELTNETIEFLRGIYELFDSDYDNNLRPVELEDIFSTAPESPWDEAPYKDAAERTALGGLSVNAFLSEWALMTLLDPSRALENLIYIGYPGDPSAAVRITRRRRLDRKKQQSERNVFQCFVFGPKNAGKSALLNSFIGRQFADTSSSTEEKYAVNVVDLPGSGIKKTLILREIPEDGVKKLLSNKESLALCDIAIFVHDSSDEFSWRRATELLVEVASHGEDTGYEVPCLIVAAKDDLSSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSVGAAVTIVGLAAYRVYAARKNTSG >Manes.09G166600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35945198:35952604:1 gene:Manes.09G166600.v8.1 transcript:Manes.09G166600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASGTTSPGGKGGVRIVVAGDRGTGKSSLIVTAATDNYPVNVPPVLPPTRLPDDFYPDRIPVTIIDTSSRVEDSGKVAEELKRADAVVLTYACDKPETLDRLSTFWLPELRRLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVEEKLPGGGATDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLADELIPTFKRAPDQSVELTNETIEFLRGIYELFDSDYDNNLRPVELEDIFSTAPESPWDEAPYKDAAERTALGGLSVNAFLSEWALMTLLDPSRALENLIYIGYPGDPSAAVRITRRRRLDRKKQQSERNVFQCFVFGPKNAGKSALLNSFIGRQFADTSSSTEEKYAVNVVDLPGSGIKKTLILREIPEDGVKKLLSNKESLALCDIAIFVHDSSDEFSWRRATELLVEVASHGEDTGYEVPCLIVAAKDDLSSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSVGAAVTIVGLAAYRVYAARKNTSG >Manes.09G166600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35945198:35952414:1 gene:Manes.09G166600.v8.1 transcript:Manes.09G166600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASGTTSPGGKGGVRIVVAGDRGTGKSSLIVTAATDNYPVNVPPVLPPTRLPDDFYPDRIPVTIIDTSSRVEDSGKVAEELKRADAVVLTYACDKPETLDRLSTFWLPELRRLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVEEKLPGGGATDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLADELIPTFKRAPDQSVELTNETIEFLRGIYELFDSDYDNNLRPVELEDIFSTAPESPWDEAPYKDAAERTALGGLSVNAFLSEWALMTLLDPSRALENLIYIGYPGDPSAAVRITRRRRLDRKKQQSERNVFQCFVFGPKNAGKSALLNSFIGRQFADTSSSTEEKYAVNVVDLPGSGIKKTLILREIPEDGVKKLLSNKESLALCDIAIFVHDSSDEFSWRRATELLVEVASHGEDTGYEVPCLIVAAKDDLSSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMFVSVGAAVTIVGLAAYRVYAARKNTSG >Manes.11G137650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30321573:30322506:-1 gene:Manes.11G137650.v8.1 transcript:Manes.11G137650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAASSRWCPTPEQLMILEELYRSGIRTPNASQIQRITSHLSLYGKIEGKNVFYWFQNHKARDRQKLRRKLLKQLQHNQIYLQNQSPPFHPLPYHHSPALLPQGGVEGAAAQMVMNQPWKVEIPQDAMMRMYGQDWMMMMMEVGPPSSCSSSNTRPLETLELFPITATNLKEECNINNTSSSSN >Manes.02G088800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6987963:6995183:1 gene:Manes.02G088800.v8.1 transcript:Manes.02G088800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSYQDQAELLLKEYLLADSFIPYTSIIVGISACKMVYDLTQLFSLVYFKSYSNLTKMQRVEWNNRAISTVHAIFITVISLYLVFCSDLYSDHRAELMIFQASSLSTFALGVIHHFISMVAVAYAMLIGEGQLYIYMVLISETTTPGVNLRWYLDTAGMKRSRAYLINGVVIFFAWLVARILLFMYFFYHVYLHYDQVKQLDNFGKVLILTVPLVLSVMNIMWFWKIIKGLKKTLAKRH >Manes.02G088800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6988096:6995183:1 gene:Manes.02G088800.v8.1 transcript:Manes.02G088800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSYQDQAELLLKEYLLADSFIPYTSIIVGISACKMVYDLTQLFSLVYFKSYSNLTKMQRVEWNNRAISTVHAIFITVISLYLVFCSDLYSDHRAELMIFQASSLSTFALGVSVGYFIVDLGMIIWFYPSLGGMEYVIHHFISMVAVAYAMLIGEGQLYIYMVLISETTTPGVNLRWYLDTAGMKRSRAYLINGVVIFFAWLVARILLFMYFFYHVYLHYDQVKQLDNFGKVLILTVPLVLSVMNIMWFWKIIKGLKKTLAKRH >Manes.02G088800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6988730:6995183:1 gene:Manes.02G088800.v8.1 transcript:Manes.02G088800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSYQDQAELLLKEYLLADSFIPYTSIIVGISACKMVYDLTQLFSLVYFKSYSNLTKMQRVEWNNRAISTVHAIFITVISLYLVFCSDLYSDHRAELMIFQASSLSTFALGVSVGYFIVDLGMIIWFYPSLGGMEYVIHHFISMVAVAYAMLIGEGQLYIYMVLISETTTPGVNLRWYLDTAGMKRSRAYLINGVVIFFAWLVARILLFMYFFYHVYLHYDQVKQLDNFGKVLILTVPLVLSVMNIMWFWKIIKGLKKTLAKRH >Manes.02G088800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6987903:6995895:1 gene:Manes.02G088800.v8.1 transcript:Manes.02G088800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSYQDQAELLLKEYLLADSFIPYTSIIVGISACKMVYDLTQLFSLVYFKSYSNLTKMQRVEWNNRAISTVHAIFITVISLYLVFCSDLYSDHRAELMIFQASSLSTFALGVSVGYFIVDLGMIIWFYPSLGGMEYVIHHFISMVAVAYAMLIGEGQLYIYMVLISETTTPGVNLRWYLDTAGMKRSRAYLINGVVIFFAWLVARILLFMYFFYHVYLHYDQVKQLDNFGKVLILTVPLVLSVMNIMWFWKIIKGLKKTLAKRH >Manes.02G088800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6988118:6995183:1 gene:Manes.02G088800.v8.1 transcript:Manes.02G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSYQDQAELLLKEYLLADSFIPYTSIIVGISACKMVYDLTQLFSLVYFKSYSNLTKMQRVEWNNRAISTVHAIFITVISLYLVFCSDLYSDHRAELMIFQASSLSTFALGVSVGYFIVDLGMIIWFYPSLGGMEYVIHHFISMVAVAYAMLIGEGQLYIYMVLISETTTPGVNLRWYLDTAGMKRSRAYLINGVVIFFAWLVARILLFMYFFYHVYLHYDQVKQLDNFGKVLILTVPLVLSVMNIMWFWKIIKGLKKTLAKRH >Manes.01G194300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36876637:36882614:-1 gene:Manes.01G194300.v8.1 transcript:Manes.01G194300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVKKKREKIPLTCQIQFPYPRNPSLPLPTENLNIHRSTLLNATDNHLLPPPIPATAKIHRLPNAKAQPIDIDTTNPQIQRHHTMSPKKRSPPPSTANDLKHRVITCLDKLSDRATLSLAATELESIAKTLNHDSFSPFINCIHNTDSSSKSPVRKQCVNLLTVLSNSHGNSLFPHLPKMISTVTRRLRDPDSAVRSACVEATAAMSSRITEAPFSTLSKPLIELLTLEQDFNAQIGASMCLAAAIDAAPEPETEQLRKLLPRLGKLVKGEGFRAKAALLTVIGNIVGVGGASSKGVLDWLVACLLEFLSSEDWAARKAAAEALGKMALAEKELSKEHKAACLSSLESRRFDKVKAVRETMNRTLELWKEVPGVFDEVSESSQSKSSSIDAIGESFPSASDDSHKVGFKSPQPKKTVHANRSPQFDSSSVTTARKQNSVKSQNDNPKTAMSHKMDHRKTSACKTEIALPKDAGCGDDIKRGNSGVFQSEEDANNEKCRPDSETKHVLCSSIREDKRHKFGGLRSGSRVVPFDDDDNFYNKNFEADNPDEEYFKNNEDIEDLSLICDQLRQIENQQSNLFVILQKFIGSSQHGINSLETRVHGLEMALEEISYDLALSSGRIANTDYADNTCCKLPGAEFLSSKFWRRTEDRYSTSRFCSPGSMQSLDAAQNNYSKDASSRTFNANSQRFQHQNKSGFILNSLTDVRSVAKRNLGFYTNRMSNNIIQDNEQVQKANLE >Manes.11G044700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4828040:4830550:1 gene:Manes.11G044700.v8.1 transcript:Manes.11G044700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLPLKASRDILKLMHHTCRNQGFQLFVSFFEIYGGKLFDLPSDRKKLCMKEDGKQQVCIVGLQEYKVSDVETIKDLIEKGNATRSTGATGANEESSRSHAILQLAIKRSADGSESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLKLKESTNVPLSSVLLATSTFEDDTDAWAEQDERDDFDASEDSYEQEKPMWKDTGKAESYNQSSSENRVWKPNGQTKWKDTSKCDFKNSHSDDDLNDFKSHMLA >Manes.11G044700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4828768:4830550:1 gene:Manes.11G044700.v8.1 transcript:Manes.11G044700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGKQQVCIVGLQEYKVSDVETIKDLIEKGNATRSTGATGANEESSRSHAILQLAIKRSADGSESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLKLKESTNVPLSSVLLATSTFEDDTDAWAEQDERDDFDASEDSYEQEKPMWKDTGKAESYNQSSSENRVWKPNGQTKWKDTSKCDFKNSHSDDDLNDFKSHMLA >Manes.16G079800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28515152:28518252:1 gene:Manes.16G079800.v8.1 transcript:Manes.16G079800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL10 MNFSYNSSGSSSWTIGERICAALVPFAALIEVLIFAVANCFELRPRVKRNAYRFTDLADLAHQSRFTVNEVEALYELYKKLSSSMIDDGLIHKVFDLFDEKKNGVIEFDEFVHALSVFHPCAPLEEKIDFAFRLYDLRQTGFIEREEVRQMLVALLMESDVNLPDELLDDIIDKTFAEVDADKDGKIGKDEWKIFVVKHPSILKNMTLPYLKDITTVFPSFIFNTEVED >Manes.16G079800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28515152:28518252:1 gene:Manes.16G079800.v8.1 transcript:Manes.16G079800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL10 MNFSYNSSGSSSWTIGERICAALVPFAALIEVLIFAVANCFELRPRVKRNAYRFTDLADLAHQSRFTVNEVEALYELYKKLSSSMIDDGLIHKEELQLAIFRTPNGENLFFDRVFDLFDEKKNGVIEFDEFVHALSVFHPCAPLEEKIDFAFRLYDLRQTGFIEREEVRQMLVALLMESDVNLPDELLDDIIDKTFAEVDADKDGKIGKDEWKIFVVKHPSILKNMTLPYLKDITTVFPSFIFNTEVED >Manes.15G105400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8345003:8346752:1 gene:Manes.15G105400.v8.1 transcript:Manes.15G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFAFFFLGFWHLFNHTRLFVQHPNSYTSSPWFPTPKFRYIELVLIMVGSSISVSMELFIGPERHQPFDPDGTIPSNHLHNFEHSSISMTFFVYALFAIIIDKMGVKAQYGLTQFLGALAFGQQLFMFHLHSADHMGVEGQYHLLLQIVIVVSLATTLMGMGMAKSFMVSFVRSASIMYQGLWFIVMGYVLWTPSLVPKGCFIHSEEGHQVVRCSGEEALHRAKSLVNIQFSWLLIGITIFVMCFYLGMHKYYGEENIEYSSLTKEDQQLLEEDSDDVESQKTDNKPNSFMNIGRSFAPIDMER >Manes.02G064800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4935360:4935557:-1 gene:Manes.02G064800.v8.1 transcript:Manes.02G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMGLERLMVTLKSKIRSLKMKKPYDKIDKSESMRVEIRSRKARKLIEETLKVADSPKSKTYAF >Manes.04G094900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30071707:30076380:1 gene:Manes.04G094900.v8.1 transcript:Manes.04G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLQNGKRSSAAASAMSRSPSQAVAAGIFQINTAVAGFRRLVDAIGTAKDTPEHRQKLHNTRQRILQLVKDTSAKLKSVSETDHDAKVNPSKKIEDAKLARDFQTTLQEFQKVQQLASERESTYSPSVPSSSLPVASGSGEYVAPGMDQDTQPFLMEQKRQEVFLLDNEIAFNEAVIDEREQGIKEIQEQIGQVNDIFKDLAVLVHEQGVVIDDIHSNIESSAAATNQAKVQLARASKSVKSRSSWCWWLLGIFILAVVIVLLILIM >Manes.12G070000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7469018:7477590:-1 gene:Manes.12G070000.v8.1 transcript:Manes.12G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTDTTWVGKKPLRRIGGMSDALSIAADLGFSVAPPPSQEELQNLSTTTGEKGDDLIKVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIETLSRITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVADFQWSQNFKESPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQDLRVGHSVSTLPKTPASNLSHRLAGESDCMTPPWRNESSFDDLAIKSLRKQEIEQQEADDESSEVGEFNQVDGTSHRRLSWPPSVKKSGRIRWRSYYTVQRL >Manes.13G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31576283:31577456:1 gene:Manes.13G110100.v8.1 transcript:Manes.13G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRQVVGLEEQAIWFSLMDNKTCKRGFKVLTPIHLKWQLSQSTSLCICRFSLMRREEKRSKLHEALLNTLYPPDPEPELQAEDEKKPLSTPREDFDVKLIPDDYGLRQSSSSTSDDNGESECSQQKLTRAQRKRLRKKKLKEENSRRREIIGPLLPSSSSDIGGDGSRAAEKDTPAARQNADESHCDQSSGRKVKQRRMTKRLGRERFKSQPGDV >Manes.03G091032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20753849:20754664:-1 gene:Manes.03G091032.v8.1 transcript:Manes.03G091032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLHMLFTKLSLVLSLLGSANFGLWQEPISSSSSRLEIYPPTCKRIECPSYDVIEVGDGYEIRRYNSSVWMSTSPIQDISIVEASRTGFLQLFNYIQGKNNYGEKIEMTGPVITEVSPSDGPFCESSFTVSFYVPKENQANPPPAEGLHVQRWKQTYAAVRQFSGFVSDSDVGEEAAALADSLAGTIWATAIQKSHEGDATSVYTVAQYNSPFEFDHRVNEIWMLFDIDDQLRI >Manes.06G090400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22605461:22607378:-1 gene:Manes.06G090400.v8.1 transcript:Manes.06G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCNADGLKKGAWTADEDQKLIAYIQEHGEGGRRTLPQKAGLQRCGKSCRLRWANYLRPDIKRGEFSTEEEQKIIQLHAFLGNRWSAIARHLPKRTDNEIKNYWNTHLNKRLAEMGIDPVTHKPLGPSSPTTDNNSNPTHTSPHSQLTQPTTQAQLLNKLAAKLAPSRCLVALKTSQSPSLRSLSTEAEKNVLPKRPISSTSARLLNKMAGRLTTPLHCLNTLKSILSSSLDYQSEGHNAIEAPHHDDFMHRSTSASSLSETGSDMSRSNAIATPDLSPLMESFNLDLLKDWNGVDDGGVSSINMVDTYSSCWESPFTSFKNKKTFSGSESMHEVMDALTYNDSDKTYGSAQYDNHFMDGFSIFSINGCSEDSHEDRVFEDATYSRHKDHFFENNYQLPDSNVV >Manes.02G076800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5825233:5837543:-1 gene:Manes.02G076800.v8.1 transcript:Manes.02G076800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNMALLSSPSSSSSSYSSPKLVLGYSTYLKNPTATRQFSRTRALPFTLRNYRTVPHSSRISISAIAHSRSSTGPRRVSHDRRLGADYLLSISSSAGQQTSSVGVNPQPLPSPSPSSQFGSPLFWIGVGVGLSALFSWVATNLKKYAMQQALKTMMNQMTTQNNQFTNPAVSPGSPFPFPIPPASGPASSPAYQTPSTSGAAISPSSPTSSGSFDSNSSPRVTSQSAITVDVPATKVEATPVSDAKDESEIKKEPKKYAFVDVSPEETFTKSPFKGDEDISGAGSSQFAKEVSQNGAASNQGSTTSTASDGSKSTRPRLSVEALEKMMEDPTVQKMVYPYLPEEMRNPSTFKWMLQNPQYRQQLEDMLNNMSGSGEWDNRMMESLKNFDLSSPEVKQQFDQIGLTPEEVISKIMANPDVALAFQNPRVQQAIMDCSQNPLSISKYQNDKEVMDVFNKISELFPGVSGS >Manes.02G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5826080:5837547:-1 gene:Manes.02G076800.v8.1 transcript:Manes.02G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNMALLSSPSSSSSSYSSPKLVLGYSTYLKNPTATRQFSRTRALPFTLRNYRTVPHSSRISISAIAHSRSSTGPRRVSHDRRLGADYLLSISSSAGQQTSSVGVNPQPLPSPSPSSQFGSPLFWIGVGVGLSALFSWVATNLKKYAMQQALKTMMNQMTTQNNQFTNPAVSPGSPFPFPIPPASGPASSPAYQTPSTSGAAISPSSPTSSGSFDSNSSPRVTSQSAITVDVPATKVEATPVSDAKDESEIKKEPKKYAFVDVSPEETFTKSPFKGDEDISGAGSSQFAKEVSQNGAASNQGSTTSTASDGSKSTRPRLSVEALEKMMEDPTVQKMVYPYLPEEMRNPSTFKWMLQNPQYRQQLEDMLNNMSGSGEWDNRMMESLKNFDLSSPEVKQQFDQIGLTPEEVISKIMANPDVALAFQNPRVQQAIMDCSQNPLSISKYQNDKEVMDVFNKISELFPGVSGS >Manes.09G098200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29649361:29650210:1 gene:Manes.09G098200.v8.1 transcript:Manes.09G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLVSFMAQILLVSFILLADAFVGLAMANVSDPPAPSPSISYRESQQPPLPRKLGNHQVVAPSRSPASAPDSGKELRSNTKKSSSEPENEEDVSIKGEEIKLLHERHHHSFDKSVAGGGVILGGLATTFLVAVFCYIKATGRHKAEVVVV >Manes.04G143500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34193361:34194754:1 gene:Manes.04G143500.v8.1 transcript:Manes.04G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIVQYSSPTLPEDMIFQVLTRVTYETLKQCRLASKWWNHLTYGSMFIELHCQRTAMVAGYFIQAFEKYKKKSIFICKDSPLVVHESPSLEFLPERMKIEAVSNEGLVYCVSSTYNGLKPRHYVCKPTTKEWVKMPNPKTKFLTYKAAIVLLHSKPLHYKIVRFSEPQYRQSRRYFIMLCEIFDSKRGVWKWSEDIKLGIPDFLNDGMAIYAKGAIHWLTNCGKILAFDVRSETWKLMSLPQQLVDDNNHELCSKKLVQREGKLAILCERGVKLEIWVMENYFRQTWKIEEEVLVNSCGSVVDLFANDIALVLGYGCVTFYNFHGGNSTTCKVDEHFYPHNAFPFYSDFEPVFLSG >Manes.13G065000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8148003:8151622:-1 gene:Manes.13G065000.v8.1 transcript:Manes.13G065000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLQKIWNHPAGPKTIHFWAPTFKWGVNTANIVDCTNSPEQLSYPQQSALACSGMIWARYSTVITPKNWNLFSVSAAMAAISMYQLGRKLGHDLSSNHQKIGAEHDC >Manes.12G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13429243:13435244:1 gene:Manes.12G086400.v8.1 transcript:Manes.12G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNNVKVPKVPGGGAASTLLKLGVIGGIGMYAAANSLYNVEGGHRAIVFNRIVGVKDKVYPEGTHLIIPWFERPVIYDVRTRPHLVESTSGSRDLQMVKIGLRVLTRPVAAQLPTIYRTLGENYNERVLPSIVHETLKTVVAQYNASQLITQREAVSREIRKILTERAAHFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAIIRAEGEATSAQLIGQAMANNPAFITLRKIEAARDVAQTISNSANKVFLNSEDLLLNLQKMELEVHGKK >Manes.09G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33665818:33666727:-1 gene:Manes.09G136400.v8.1 transcript:Manes.09G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKGFLSVTFLLIFFMSFSFVMISFAVPSTRSLESTEQNPPAVIQAFLPQDAMELNLGEEQVVDLEEGYSNGRMDLESTDYPGTGANNHHDPKPPGRA >Manes.08G004119.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:752273:754186:1 gene:Manes.08G004119.v8.1 transcript:Manes.08G004119.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAALAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNECGYDSNFQQPPDGTASSSSGKKTRISRNKSGITGRRLNFGAENYKADKRSGGSEKSARRFAVCLKKRRTAKSVPSKCGSRSSKDSSLFGCGLGIGIMYMMSAGKAEISKLSNAMDETAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYKAGTGHNNDSKVIKASGIPMIDDVECPSSGLIEEPEPQLLEMDQLEAELASELQKLLRVMKELDHGSFYSSDASSTSS >Manes.03G091176.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21981568:21982352:-1 gene:Manes.03G091176.v8.1 transcript:Manes.03G091176.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHEPINIYSGFSFLSHKHCNLNSELIGNKKRTFTLNFFLMALLGSSFLPQPTTLHIHTNVLKLLERKSSVNIIYCDKSKGKPSSGSGSQHNRVLRIQSQMRVEFKQELLCSGRRSNAFEDDKKTQVLDAAISMMIH >Manes.02G199400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16855976:16858303:1 gene:Manes.02G199400.v8.1 transcript:Manes.02G199400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFLRYTLSFLFLFLLFFPSFPSVLSLSTVAISQTSDQTLVCALGITSSRQSFLNCTSFPAGIQIPGLNSNFSYSGIVAGDGFLCSLISFSHSSIIVCWRFSTNGSNIVYKRVYQGSVLRELQAGNSHICGLVNATNRLQCWQWPEFNSNSAQNLSFSSVAVGEDFVCGLSGFGNITCLGNVSSVGNPPVGNFSVIAAGFRHACALTFDNELHCWGTMHGQKPQEKFKLLALGENRSCGLRLNDTVICWGQNNYSLEESLRESHFATIEAKRNIFCGVLRYNYSLVCWGNEILSSNLMVFDHEVMPGPCTGTCPYDTLPGSGRTNTTTPPAAGPPLGQSSPAPATEGSTSSGWSDKMIASLAVGCVGTSVFLLTIGFFLFRYCKCKGCRVHDSGRLDETGTVLEEDARQQQQHHPQIEQAAPPVLEKRLSQLVSMGHLEEFSLQLLLEATDNFSEDFKIGEGSFGSVYRCTLNDGRELAIKRAETLNSSSCAVETRRRVDKDNAFINELESLSRLHHKNLVRLLGFCEDCNERVLIYEYLSNGTLYNHLHKLQDTALMSWPARIKVALDAARGIEYLHEYAVPPIIHRDIKSSNILLDSSWTAKVSDFGLSLMGPEDEESHLSLCAAGTVGYMDPEYYVLQQLTTKSDVYSFGVVLLELLSGTKAIHKNKNGMPRNVVDFVVPYIVQDEIHRVLDKRVPPPTPFEIEAVAYVGSVAAECVNLEGQDRPSMTQIVNSLERALAACLVNHTSLSRSTSGSSM >Manes.17G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31873605:31878108:1 gene:Manes.17G112200.v8.1 transcript:Manes.17G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTETGKETAREIPKMKPRPIVLLGIFLISHCFLVSVVCCTAGVLALLLLPVLAKNTYISENALMPGSASSMLSNHDISEANRLAKDLSGLNLGLEDTGIESRRILARYLSDLGAEVSYHKFLSQQNHLHPLHFFSSPDGLMRENFSCSSYGVNTVGIIRAPRGDGKEAIVFVTPYNFGKSDLSETLSLSIAYSVFSLLTQVTWLAKDIIWLIADSQYGEYDSVAAWLRDYHTPSFTGLVSSNVDACVVGNNLEISNDNSVVQGKISDGFRRAGTMAAALVLKVTDGNEQWDDTLGIYAEASNGQMPNLDLINIVNYLAVHRQGLRVKVQKFWSLLDLKWLEFLGKTFELLGKLARSLNPDWKFGIPASDYIEGTATLASSLYFQALGIPTGPHGAFRDFQVDAITLEISPKVSSSSKAKLNEFVLRGGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPSKFVSVGVYMIAFALLVAPLPLVAASLYADANKLDFGMKFDKSTPVATASSERDITFRSWKWLSAAKEVFVIHMWGVVVLLLPYLISQIPDLSPTASFVSWVLLSMLSLLILYLILGSPFSHSHASLKGDWAMLKSATISAVFIGLLLMSVVNFATAEIGALLMVPLCLMAQPLKLDIRAASLRSFFRVSCTLILGFIAFPPVAFFTSKAVFEGPDSINLGDFWNWMESLRAWNSATYVYIGMVHLPCWMLCIHILFHSC >Manes.05G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7110396:7113389:-1 gene:Manes.05G086200.v8.1 transcript:Manes.05G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYHFRQNKVRKLIPRLKQKEGNRAEKLERFPHYVARQMGFADRRECPHLCKLAAEYICKSESCEEEIYAFFADEVEADSLFVKLLEELERCILSYFAFHWSHADLIMHQVLTAEVEPKKKLKNIVMAATREQRFERVTKDLKVARVFNTLVEEMKAMRIASNDDSRCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGAAGNAVVIEADAFKESDVIYRALSSSGHADMIRTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWAPFVVQTITMARNVHRKRYRMGVGYKQGSDGTITENYWEQTEEDECKRRKPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVRSQLTSHKRFANAFLTYCHLVDNARLYCTNALEGAPKLIGWKGRDKTLLVATDEIDCLKRVGRLNEKADSIYELYNSPNPNLVAGSIWKDIVLSPSRLNIQKELKYCIEKVERSKSQTKVQSSL >Manes.07G057801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6829050:6838232:1 gene:Manes.07G057801.v8.1 transcript:Manes.07G057801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKCFLTCFCSLILANFGWSLWLVNLLLIFVSFGRFQENFLFFMINGARCRFQENFLFFMINGARCRFQENFLFFMINGARCRFQENFLFFMINGARCRYDTNFQT >Manes.10G012300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1284978:1287806:-1 gene:Manes.10G012300.v8.1 transcript:Manes.10G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVSIDVEESENHPLQGTGVADGFFNQKVINLERFVLVMNFILELPAAVFDQLSSEHKPRYALLSMIMSFIMLFISIFDLVYKGRKGRVAWRIRGKMPWFYYPYPNPKPFGTFPDIIGLACSIFQCIFTSITYSFLSRGASNPININVWPVTFAFGLLCARFSGNPPEKMLTSLERRLQEFSLAELAAATNSFSPENRIGPLSFRIFVYRGELADGHQVTIKRAKADTGQWRKEFQKEFESELTLLSRLHHKNLVRLVGYCEDGDEILLVHEYMKNGSLYKHLHDENNIEKNSSMINSWTMRIKIALDAARGIEYLHNYASPPIIHRDVKSSNILLDENWTSRVSAFVFSLMCHEPESECMPMEIVGTPGYIDPEYYDLTVLTTKSDVYSLGVVLLELLTGKTATFWDVNEGNGTSLVHFAKHKMKSEKLPKLLDSRVNPPRPFEVEAVELLADTALACVALQGKDRPNITDIVANLERASTLIEARAWSLSGSS >Manes.10G074300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14944944:14954510:1 gene:Manes.10G074300.v8.1 transcript:Manes.10G074300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRQDSVTKADRKFEKKLQFYSKVRDTVASLTAQKSITKKKKLRSRQKKLKAYDLSTLTEFLPELDSQKQQSTPAAEFKLNCKTRQKLILKEGKQLNTVLNHPVFQSDPLEAIHQHLQSTQPVMDEKPKKKVTKNGGKKVKGKKAKVSNQCQSMDF >Manes.10G074300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14944965:14954376:1 gene:Manes.10G074300.v8.1 transcript:Manes.10G074300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSRQDSVTKADRKFEKKLQFYSKVRDTVASLTAQKSITKKKKLRSRQKKLKAYDLSTLTEFLPELDSQKQQSTPAAEFKLNCKTRQKLILKEGKQLNTVLNHPVFQSDPLEAIHQHLQSTQPVMDEKPKKKVTKNGGKKVKGKKAKVSNQCQSMDF >Manes.07G134100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33551643:33553519:1 gene:Manes.07G134100.v8.1 transcript:Manes.07G134100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPLIEVSDSEVRLHFMLNSKCRANVRLRSLSATTPIAFKVQTSSPHKFLVNPPTGLIPPSSSTIFQIILRPQTHLPSSFPRSPSDRFLIKTAPFPPNSSDLTNPDSLNSWFSSLPLWSTQDFKLKVAFVGPFLLRHAVSCGDVNSVKNIIKRQRSILSELSPREAESLLRVATELADPEGMVNLLLEAGLKIDARAKADDVGFHQMDAKWQSKGWSELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHLAAGRGNIKCARVLVESGADKDAKSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFEVARDKGHEEILGTLERGEVVLMAARRGNLEHLESLLKKDLECQDNEGHSSLHLAVEGGSLETVEVLVSRGANVNAMSNRGATPLYVARTLGYTDISQFLVSRGASLPSPPPSSLPSSLQSIQHDKVL >Manes.13G023700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3284448:3285659:-1 gene:Manes.13G023700.v8.1 transcript:Manes.13G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALRRLSGMTHVQESIPDNHKKSTNGAPAVASAAASTANKRSLKESGGGSGGTMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDRAARAMRGLKARTNFVYPTSDPHSSTDHFVPPVGLSSKQAQASIRDIPSRQCNSNSSNWPSFGNPDVGDFSGSAPQRSNSASFNMLLLRDFLDPSSGSSLYNHPQALYDQFPHINGSCSSLSNTFPSGKNPSNDSNVSDTFAGSSLSALPMNDDNQSYNSSGGSGKPNSQVDYLEFFPREPSDSGLLQEIIQGFLPKPASEKIHSSSSSLNCTGESIVAPGPEMSTSYPSLDEFRRSIESELLVKNENLGVYLGHYHGGSAQFKSSHGINSHVVPYGHVGLQEKLHHQMGADSILQDIFQHPDFM >Manes.03G117600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24285682:24291064:1 gene:Manes.03G117600.v8.1 transcript:Manes.03G117600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRYLAFGSARTVAHSLHPRGKTNISEVNNNGLYYIAGFPYTLWRLYNWNKHSFRRDYPSPLPQTRHCLLNSCVSRSFCAHSASSAISYHGQVVWKRFSQICFYNRPTLPPINRIACAVSIALTRSNLLVPRVIAFIIGELAWTRRTWAEGQSLRKRDAMYTQTQDGHIYLNAVVLSLFESIILLLRAVYLAILFLPCIAMAPFAGPLSVKFRNTWLYVVRLTLEKAGPAFIKWGQWAATRPDLFPQDLCRELATLHAKAPAHSFAFTRMTIERAFGRKLPEIFSNFEEEPIASGSEIEPIVVAVKVRHPSVADAIRRDFVLLNFLAKILKFVPTLKWSRLEESIQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPDVLVETYEQGESVLHYVDDVEGNKRIKSALAHIGTQALLKMLLVDNFIHADMHPGNILVRLMDHDPHKQLFGSRPHVVFLDVGMTAELSKRDRLNTLEFFKAIAVLDGRTAAECILRLSKQQNCPDPRAFIEEVEKCYNLWRSPDESGVRPADCLQQLLEHVRRHKVNIDGNVCIVMGWQRKLDPDYDVMHTLQSLLFKVDWADSLLYTFEGLMAP >Manes.16G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29184259:29187035:-1 gene:Manes.16G085300.v8.1 transcript:Manes.16G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSYGGPEFHRHHHHHQFMENDECCSSVLPISNPSQNLNLPFQSHPPQHKQLEHIFLQHPQNSIAHQLFHPQHQPQLQHFRQFELHEERLHSEVRQQHQQGQALSPHPPFFSMKFKLGLDQDGGSKESALNRQEASDFINGNEHNPPQVPLVIPHCWHPQEDSASIKEPFWKQLSASKNKQQYYENGEPEAQRNKNNHYKLLEIQQIDERSERCTDMENQYGPFGELEAIYSLAKAGEANQTGSGSALTGETSPKHAGLSVPFSAVHSQNVGAGNAGIGVDHGSENSIGEEASLRKSQKRTRKRKMKKKLSSMAGFFENLVKKVMDHQESLHRNFLEVIERMDKERTQREEAWRCQEAAKYNREAVSRTHEQALASSREEQIVSYVEKITGQSIDLPAKKTSLLLQPEIPKEPTKESTPITTDNHSRWPKAEVEALIQVRSSIETKFQEPGLKGPLWEEVSSLMASMGYQRSPKRCKEKWENINKYFRKTKESTKKRSKQSKVCSYFDQLDQLYSRSFINSPSFNKSSTPLSSSEIEVEKQGYSGLLEAFIAGRDIATSTNPSNGNVIIAEKGSFKLELDSNIKEKVEGGSYEQETEDHEDDFDEGEEDQSEEV >Manes.16G085300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29183488:29187035:-1 gene:Manes.16G085300.v8.1 transcript:Manes.16G085300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSYGGPEFHRHHHHHQFMENDECCSSVLPISNPSQNLNLPFQSHPPQHKQLEHIFLQHPQNSIAHQLFHPQHQPQLQHFRQFELHEERLHSEVRQQHQQGQALSPHPPFFSMKFKLGLDQDGGSKESALNRQEASDFINGNEHNPPQVPLVIPHCWHPQEDSASIKEPFWKQLSASKNKQQYYENGEPEAQRNKNNHYKLLEIQQIDERSERCTDMENQYGPFGELEAIYSLAKAGEANQTGSGSALTGETSPKHAGLSVPFSAVHSQNVGAGNAGIGVDHGSENSIGEEASLRKSQKRTRKRKMKKKLSSMAGFFENLVKKVMDHQESLHRNFLEVIERMDKERTQREEAWRCQEAAKYNREAVSRTHEQALASSREEQIVSYVEKITGQSIDLPAKKTSLLLQPEIPKEPTKESTPITTDNHSRWPKAEVEALIQVRSSIETKFQEPGLKGPLWEEVSSLMASMGYQRSPKRCKEKWENINKYFRKTKESTKKRSKQSKVCSYFDQLDQLYSRSFINSPSFNKSSTPLSSSEIEVEKQGYSGLLEAFIAGRDIATSTNPSNGNVIIAEKGSFKLELDSNIKEKVEGGSYEQETEDHEDDFDEGEEDQSEEV >Manes.13G058900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6771545:6776795:-1 gene:Manes.13G058900.v8.1 transcript:Manes.13G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAATGVLRLPSSSSSSSSSSSSSSSSYIYRRIPLQSLSFSSSNLSGDKIYSKVFSVSRGIAYNERTPMIVSPKAVSDTRNSQTCLDPDASKSVLGIILGGGAGTRLYPLTKKRAKPAVPLGGNYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLILAGDHLYRMDYERFIQAHRETDAEITVAALPMDENRATAFGLMKIDEEGRIIEFSEKPKGEQLKAMKVDTTILGLDDDRAKEMPYIASMGIYVVSKNAMLDLLRDKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMVEADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKYSHIKRAIIDKNARIGDNVKIINSDDVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >Manes.16G121500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32399309:32400774:-1 gene:Manes.16G121500.v8.1 transcript:Manes.16G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRQWGSWVSEIRHPLLKRRIWLGTFETAEAAARAYDQAAILMNGQNAKTNFPRSDLEETGNDHNQCNTDQYPLPPKALSELLNTKLRKCCKDPSPASLTCLRLDNDNSHIGVWQKGAGSHSRSNWVMKVELGNKKTQVVDDGFSLSSSSSSAVHEMEPAHGLDDEQDIIATQMIEELLNWN >Manes.12G009800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:997132:1005078:-1 gene:Manes.12G009800.v8.1 transcript:Manes.12G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHVFGFGLKVVNLLWMLCCWILSVISMNWFVNDETKTGLLGDGGKMWLMFWEANSCKMHHHYYQYIGSKRVRKTWWRRLLVAWVIGWIMVSLWILWYMSSQAAEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDTLEQNPVHKDDYTPELLEPSPIQEEYAPVIFAQDTISHVVSLDMLSGKEDRENVLRARESGTGVLTAPFRLLKTNRLGVILTFAVYKGDIPSNATPNERIKATDGYLGGVFDIESLVEKLLQQLASKQTILVDVYDTTNQSHPISMYGSNVSDDELQHVSSLNFGDPHRMHEMHCKFKQKPPWQWLAITTSFGVLVIALLIGHIFHATVNRIAKVEDDYHEMMELKKLAEAADIAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDVTQQDYVGIAQASGKALVSLINEVLDQAKIESGKLELEDVRFNLRAILDDVLPLFSDKSQGKGIELAVYISDNVPEMLIGDPGRFRQIITNLMGNSIKFTHQGHIFVTVHLVEEVIDFIDVETESSSRNTLSGFPIADRRRSWAGFRTFSQDGSSRTLLASSPDLVNLIVSVEDTGEGIPLEAQSRIFTPFMQVGPSTSRKYGGTGIGLSISKCLVGLMNGEIGFVSIPKIGTTFTFTAVFGNGCSSSHDYKSRKFSNQSNAIFSEFQGMTALVVDPRPERAKVSRYHIQRLGIHVEVVSDLNQAFCSISNGNAVVNMVLIEREVWDRDSSVSALFINNTRKIDHGVSPKLFLLANSISSSKSDAATSGVYTPSAIMKPLRASMLAASLQRAMGVGNKGNPRNGDLSNLLLGRKILIVDDNAVNLKVASGALKKYGADVVCAESGEKAITLLTPPHQFDACFMDIQMPEMDGFEATRKIRDKEDNFNNSIQNGDASAEAYENFPNWKVPILAMTADVIQATHEECLKCGMDGYVSKPFEAEQLYREVSRFFQSTSNVIL >Manes.12G155600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36408398:36432144:-1 gene:Manes.12G155600.v8.1 transcript:Manes.12G155600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVTVRARPLSAEDSKTSPWRISGNSVFIPNHSTKFEFDRIFGEDCKTEEVYKARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSASEPGVIPLAVHDLFDIIQEDTDREFLLRMSYMEIYNEDINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPQQVLDLMQFGESHRHIGETNMNVYSSRSHTIFRMIIESRKRTEDEDIGSTCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHTDETKSSLQFASRALRVTNCAHVNEILTDAALLKRQKKEIEELRAKLQGSHSEHLEEEILNLRNTLLQSELERERIALELEEEKRAQAEREKVLQEQAKKIKNLSSMVLHSNRDENRDQQKKGKRRDTWCPGELSRQAVQEMMDTNIPSRASSIKPMKGSRDMGPLIPFDELVTETEVGADSPQQDENCSNNTLEDCTLPDPCTLLHVTNRRKVPLRKKSLLEDNELVEMQAEYEELLLKFETQRTLTDIQIDCLTRQLAEAELYSSDTYNDFSTSHCYRSSNYADKNVSLRELEAIHVIKQLQEKIKTLEAEKCSSQQNLNSVVELATEQNICARQKLEELHEELKSAREEAKVTCEQLALKESARVIDVTLDSEIKLSMEIQDIVSEVQNSRKVVDTLSSLLDEVFQSLSTIFDVFNDFKAFACESSQKQKLIIGNHETLYCHTRQKIADIENEKLLLYNQSIELRKETLELRHDAQNYEESLRSISKLQELEKEEFLSQIQSLEKEISCLSSCSLAKEKDNLRKDIEKTKVKLKETEFKLKSVIQEKTKLEGEKAFAEKEIKRLNGQNTRLERDISKRESLAVRRRDSVADRSSKVLDPKKSKGLASSLEFTMQEDYRKLEVLAFEMETTIASLEEEVADAQNEKEEAIFRNDTLTLELENLTEKLKISVAEFHVLQEEVACLQLTDSLLEMEEEKAIWSAKEKASIETIEAKTNVNKMEIASVSKALVEV >Manes.12G155600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36408398:36432144:-1 gene:Manes.12G155600.v8.1 transcript:Manes.12G155600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVTVRARPLSAEDSKTSPWRISGNSVFIPNHSTKFEFDRIFGEDCKTEEVYKARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSASEPGVIPLAVHDLFDIIQEDTDREFLLRMSYMEIYNEDINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPQQVLDLMQFGESHRHIGETNMNVYSSRSHTIFRMIIESRKRTEDEDIGSTCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHTDETKSSLQFASRALRVTNCAHVNEILTDAALLKRQKKEIEELRAKLQGSHSEHLEEEILNLRNTLLQSELERERIALELEEEKRAQAEREKVLQEQAKKIKNLSSMVLHSNRDENRDQQKKGKRRDTWCPGELSRQAVQEMMDTNIPSRASSIKPMKGSRDMGPLIPFDELVTETEVGADSPQQDENCSNNTLEDCTLPDPCTLLHVTNRRKVPLRKKSLLEDNELVEMQAEYEELLLKFETQRTLTDIQIDCLTRQLAEAELYSSDTYNDFSTSHCYRSSNYADKNVSLRELEAIHVIKQLQEKIKTLEAEKCSSQQNLNSVVELATEQNICARQKLEELHEELKSAREEAKVTCEQLALKESARVIDVTLDSEIKLSMEIQDIVSEVQNSRKVVDTLSSLLDEVFQSLSTIFDVFNDFKAFACESSQKQKLIIGNHETLYCHTRQKIADIENEKLLLYNQSIELRKETLELRHDAQNYEESLRSISKLQELEKEEFLSQIQSLEKEISCLSSCSLAKEKDNLRKDIEKTKVKLKETEFKLKSVIQEKTKLEGEKAFAEKEIKRLNGQNTRLERDISKRESLAVRRRDSVADRSSKVLDPKKSKGLASSLEFTMQEDYRKLEVLAFEMETTIASLEEEVADAQNEKEEAIFRNDTLTLELENLTEKLKISVAEFHVLQEEVACLRKRLEESTLNQQMMESSIKVLVQEKEVLAMQLTDSLLEMEEEKAIWSAKEKASIETIEAKTNVNKMEIASVSKALVEV >Manes.12G155600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36405955:36431661:-1 gene:Manes.12G155600.v8.1 transcript:Manes.12G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVTVRARPLSAEDSKTSPWRISGNSVFIPNHSTKFEFDRIFGEDCKTEEVYKARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSASEPGVIPLAVHDLFDIIQEDTDREFLLRMSYMEIYNEDINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPQQVLDLMQFGESHRHIGETNMNVYSSRSHTIFRMIIESRKRTEDEDIGSTCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHTDETKSSLQFASRALRVTNCAHVNEILTDAALLKRQKKEIEELRAKLQGSHSEHLEEEILNLRNTLLQSELERERIALELEEEKRAQAEREKVLQEQAKKIKNLSSMVLHSNRDENRDQQKKGKRRDTWCPGELSRQAVQEMMDTNIPSRASSIKPMKGSRDMGPLIPFDELVTETEVGADSPQQDENCSNNTLEDCTLPDPCTLLHVTNRRKVPLRKKSLLEDNELVEMQAEYEELLLKFETQRTLTDIQIDCLTRQLAEAELYSSDTYNDFSTSHCYRSSNYADKNVSLRELEAIHVIKQLQEKIKTLEAEKCSSQQNLNSVVELATEQNICARQKLEELHEELKSAREEAKVTCEQLALKESARVIDVTLDSEIKLSMEIQDIVSEVQNSRKVVDTLSSLLDEVFQSLSTIFDVFNDFKAFACESSQKQKLIIGNHETLYCHTRQKIADIENEKLLLYNQSIELRKETLELRHDAQNYEESLRSISKLQELEKEEFLSQIQSLEKEISCLSSCSLAKEKDNLRKDIEKTKVKLKETEFKLKSVIQEKTKLEGEKAFAEKEIKRLNGQNTRLERDISKRESLAVRRRDSVADRSSKVLDPKKSKGLASSLEFTMQEDYRKLEVLAFEMETTIASLEEEVADAQNEKEEAIFRNDTLTLELENLTEKLKISVAEFHVLQEEVACLRKRLEESTLNQQMMESSIKVLVQEKEVLAMQLTDSLLEMEEEKAIWSAKEKASIETIEAKTNVNKMEIASVSKALVEAQSELEICREECKVLKERLTSTEENAKWEMKCSTEKSLEIDQLKDDLKRVDAQGKQSQEDEINRSKERAKLRMRLRGTQASLDAVRHKYKEALEESDVMNREYKDATRKLKDKLAVYAKEVLNLKKQISLTKGPANDCINPS >Manes.12G155600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36405955:36431661:-1 gene:Manes.12G155600.v8.1 transcript:Manes.12G155600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVTVRARPLSAEDSKTSPWRISGNSVFIPNHSTKFEFDRIFGEDCKTEEVYKARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSASEPGVIPLAVHDLFDIIQEDTDREFLLRMSYMEIYNEDINDLLAPEHRKLQIHENLERGIYVAGLREEIVASPQQVLDLMQFGESHRHIGETNMNVYSSRSHTIFRMIIESRKRTEDEDIGSTCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHTDETKSSLQFASRALRVTNCAHVNEILTDAALLKRQKKEIEELRAKLQGSHSEHLEEEILNLRNTLLQSELERERIALELEEEKRAQAEREKVLQEQAKKIKNLSSMVLHSNRDENRDQQKKGKRRDTWCPGELSRQAVQEMMDTNIPSRASSIKPMKGSRDMGPLIPFDELVTETEVGADSPQQDENCSNNTLEDCTLPDPCTLLHVTNRRKVPLRKKSLLEDNELVEMQAEYEELLLKFETQRTLTDIQIDCLTRQLAEAELYSSDTYNDFSTSHCYRSSNYADKNVSLRELEAIHVIKQLQEKIKTLEAEKCSSQQNLNSVVELATEQNICARQKLEELHEELKSAREEAKVTCEQLALKESARVIDVTLDSEIKLSMEIQDIVSEVQNSRKVVDTLSSLLDEVFQSLSTIFDVFNDFKAFACESSQKQKLIIGNHETLYCHTRQKIADIENEKLLLYNQSIELRKETLELRHDAQNYEESLRSISKLQELEKEEFLSQIQSLEKEISCLSSCSLAKEKDNLRKDIEKTKVKLKETEFKLKSVIQEKTKLEGEKAFAEKEIKRLNGQNTRLERDISKRESLAVRRRDSVADRSSKVLDPKKSKGLASSLEFTMQEDYRKLEVLAFEMETTIASLEEEVADAQNEKEEAIFRNDTLTLELENLTEKLKISVAEFHVLQEEVACLQLTDSLLEMEEEKAIWSAKEKASIETIEAKTNVNKMEIASVSKALVEAQSELEICREECKVLKERLTSTEENAKWEMKCSTEKSLEIDQLKDDLKRVDAQGKQSQEDEINRSKERAKLRMRLRGTQASLDAVRHKYKEALEESDVMNREYKDATRKLKDKLAVYAKEVLNLKKQISLTKGPANDCINPS >Manes.02G090300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7063822:7063971:1 gene:Manes.02G090300.v8.1 transcript:Manes.02G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVDSKEICFLNLLVPREQVFITCSYVCKKNDGDDDDDDDGADVAPAA >Manes.04G116700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31885014:31892529:-1 gene:Manes.04G116700.v8.1 transcript:Manes.04G116700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKLKSVITSFTPEGDFLAVLSPNGTVKIWNTGNGSLLAEWKHSDDNPVNYSCMACSFVGKKRKKGRGSFLLALGTSDGDISAIDAFTNDTKWKSSGCHPGGVIGLAFSNKGRTLHSIGTNGMSSKMKSESGELIMEFKASKKPIAALSFSIDKQILAVASSKSKARVLSLENGKELLKFPDDLGPELYISIANNAKSIITSDFREKNLQIWRCDLSSKSVSSGPVLPMRHTPLAFECKSTGEEKEDGLVVLAVSESGVCYVWNLNTISEDEVNPTKITVKGNNTETEKLKGESAKKSRTSIFAAKLHDLEDDKQMTAVIAYGSIDSPQFSIVNIINSGENIVVNAVGETETARENGILPRKEVHDLESEAASSQNKKTKKKRAASDIEDVDTVNGEAMDGVLVEDDNEPTMGEKLAILNLQDIDKTKSPEKQESPPLAKPPSADSVNVVLKQALHAEDRALLLDCLYNQDEKVIANSISQLNPSDVLKLLHSLLTIIDSSSLSPEAQLSDQLFNCQVA >Manes.04G116700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31885014:31892528:-1 gene:Manes.04G116700.v8.1 transcript:Manes.04G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKLKSVITSFTPEGDFLAVLSPNGTVKIWNTGNGSLLAEWKHSDDNPVNYSCMACSFVGKKRKKGRGSFLLALGTSDGDISAIDAFTNDTKWKSSGCHPGGVIGLAFSNKGRTLHSIGTNGMSSKMKSESGELIMEFKASKKPIAALSFSIDKQILAVASSKSKARVLSLENGKELLKFPDDLGPELYISIANNAKSIITSDFREKNLQIWRCDLSSKSVSSGPVLPMRHTPLAFECKSTGEEKEDGLVVLAVSESGVCYVWNLNTISEDEVNPTKITVKGNNTETEKLKGESAKKSRTSIFAAKLHDLEDDKQMTAVIAYGSIDSPQFSIVNIINSGENIVVNAVGETETARENGILPRKEVHDLESEAASSQNKKTKKKRAASDIEDVDTVNGEAMDGVLVEDDNEPTMGEKLAILNLQDIDKTKSPEKQESPPLAKPPSADSVNVVLKQALHAEDRALLLDCLYNQDEKVIANSISQLNPSDVLKLLHSLLTIIDSRGAILVCTLPWLRSLLLQHASGIMSHDSSLHALNSLYQLIESRSSTFRSALQLSSCLDFLYAGVVDDTSEENETVIPVIYEDKDESDEQESDEAMETDQDSEEEEDEEEFGGLSDLEGGDNIDMSE >Manes.10G088075.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22652456:22653107:-1 gene:Manes.10G088075.v8.1 transcript:Manes.10G088075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWKISAFVACVMGLALVLPSHAQNSPQDYLNVHNAARSAILGANIPALKYDTILETEVRLYLTTLLGVCNPNVDFSLNGINVIVKANALTGLEAVSAWVSEGIFYNYITNLCIGGVCKHYTQVIWKSSVNVGCFRTQCLNNLNLWIVGCQYSPPGNIPGQRPY >Manes.07G016500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1933613:1948419:1 gene:Manes.07G016500.v8.1 transcript:Manes.07G016500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCGILGSKVDGMIFRSCLIRSGKFDISTKLFHKMKQNGLTPDVITYSTLLAGCMKVKHGYSKALDLVQELKYNGLQMDSIMYGTLLAVCASNDQWEAAESYFNQMKDEGHSPNLFHYSPLLNAYASGGHYKKAEELVQDMKSLGLVPNKVIWTTLLKVYVKGGLFEKSRELLLELEALGYAEEEMPYCLLMDGLAKTGQINEARSIFDEVKEKGVKSGGYSHSIMISAYCRQGLFGEAKQLAKDFEAQYDKYDLVILNSMLCAYCRADDMESVMRTLRKMDELRISPGYNTFHILIKYFCKQKLYLLAYRTMEDMHRKGHQPQEELCSSLIFYLGKTKAHAEAFSVYTMLKYGKRTMCKALHEKILHILIAGQLLKEAYVVVKDNSELISQAAIKKFANAFMKLGNINMINDVMKVIHGSGYKIDQELFQIAISRYIENPEKKDLLLQLLQWMPGQGYAVDSSTRNLILKNSHLFGRQLIAEILSRQQMMSKALKSH >Manes.07G016500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1933594:1948419:1 gene:Manes.07G016500.v8.1 transcript:Manes.07G016500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAIGTGFNHVLTRSTHSSFPIIPSFSAPTTTTRRKSSQSTSPAAATPLLGEPRNEPQPPLLHGQRRHSKSYLARQAAILEVLQSPDLESALQRLEGILKVQDLNVILRNFGKQSRWNDLSQLFDWMQQYGKISVASFSSYIKFMGKSLNPMKALEIYNNIPDESIKSNVFICNSVLSCLIRSGKFDISTKLFHKMKQNGLTPDVITYSTLLAGCMKVKHGYSKALDLVQELKYNGLQMDSIMYGTLLAVCASNDQWEAAESYFNQMKDEGHSPNLFHYSPLLNAYASGGHYKKAEELVQDMKSLGLVPNKVIWTTLLKVYVKGGLFEKSRELLLELEALGYAEEEMPYCLLMDGLAKTGQINEARSIFDEVKEKGVKSGGYSHSIMISAYCRQGLFGEAKQLAKDFEAQYDKYDLVILNSMLCAYCRADDMESVMRTLRKMDELRISPGYNTFHILIKYFCKQKLYLLAYRTMEDMHRKGHQPQEELCSSLIFYLGKTKAHAEAFSVYTMLKYGKRTMCKALHEKILHILIAGQLLKEAYVVVKDNSELISQAAIKKFANAFMKLGNINMINDVMKVIHGSGYKIDQELFQIAISRYIENPEKKDLLLQLLQWMPGQGYAVDSSTRNLILKNSHLFGRQLIAEILSRQQMMSKALKSH >Manes.07G016500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1933593:1948419:1 gene:Manes.07G016500.v8.1 transcript:Manes.07G016500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYGKISVASFSSYIKFMGKSLNPMKALEIYNNIPDESIKSNVFICNSVLSCLIRSGKFDISTKLFHKMKQNGLTPDVITYSTLLAGCMKVKHGYSKALDLVQELKYNGLQMDSIMYGTLLAVCASNDQWEAAESYFNQMKDEGHSPNLFHYSPLLNAYASGGHYKKAEELVQDMKSLGLVPNKVIWTTLLKVYVKGGLFEKSRELLLELEALGYAEEEMPYCLLMDGLAKTGQINEARSIFDEVKEKGVKSGGYSHSIMISAYCRQGLFGEAKQLAKDFEAQYDKYDLVILNSMLCAYCRADDMESVMRTLRKMDELRISPGYNTFHILIKYFCKQKLYLLAYRTMEDMHRKGHQPQEELCSSLIFYLGKTKAHAEAFSVYTMLKYGKRTMCKALHEKILHILIAGQLLKEAYVVVKDNSELISQAAIKKFANAFMKLGNINMINDVMKVIHGSGYKIDQELFQIAISRYIENPEKKDLLLQLLQWMPGQGYAVDSSTRNLILKNSHLFGRQLIAEILSRQQMMSKALKSH >Manes.07G016500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1933613:1948419:1 gene:Manes.07G016500.v8.1 transcript:Manes.07G016500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAIGTGFNHVLTRSTHSSFPIIPSFSAPTTTTRRKSSQSTSPAAATPLLGEPRNEPQPPLLHGQRRHSKSYLARQAAILEVLQSPDLESALQRLEGILKVQDLNVILRNFGKQSRWNDLSQLFDWMQQYGKISVASFSSYIKFMGKSLNPMKALEIYNNIPDESIKSNVFICNSVLSCLIRSGKFDISTKLFHKMKQNGLTPDVITYSTLLAGCMKVKHGYSKALDLVQELKYNGLQMDSIMYGTLLAVCASNDQWEAAESYFNQMKDEGHSPNLFHYSPLLNAYASGGHYKKAEELVQDMKSLGLVPNKVIWTTLLKVYVKGGLFEKSRELLLELEALGYAEEEMPYCLLMDGLAKTGQINEARSIFDEVKEKGVKSGGYSHSIMISAYCRQGLFGEAKQLAKDFEAQYDKYDLVILNSMLCAYCRADDMESVMRTLRKMDELRISPGYNTFHILIKYFCKQKLYLLAYRTMEDMHRKGHQPQEELCSSLIFYLGKTKAHAEAFSVYTMLKYGKRTMCKALHEKILHILIAGQLLKEAYVVVKDNSELISQAAIKKFANAFMKLGNINMINDVMKVIHGSGYKIDQELFQIAISRYIENPEKKDLLLQLLQWMPGQGYAVDSSTRNLILKNSHLFGRQLIAEILSRQQMMSKALKSH >Manes.07G016500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1933592:1948999:1 gene:Manes.07G016500.v8.1 transcript:Manes.07G016500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAIGTGFNHVLTRSTHSSFPIIPSFSAPTTTTRRKSSQSTSPAAATPLLGEPRNEPQPPLLHGQRRHSKSYLARQAAILEVLQSPDLESALQRLEGILKVQDLNVILRNFGKQSRWNDLSQLFDWMQQYGKISVASFSSYIKFMGKSLNPMKALEIYNNIPDESIKSNVFICNSVLSCLIRSGKFDISTKLFHKMKQNGLTPDVITYSTLLAGCMKVKHGYSKALDLVQELKYNGLQMDSIMYGTLLAVCASNDQWEAAESYFNQMKDEGHSPNLFHYSPLLNAYASGGHYKKAEELVQDMKSLGLVPNKVIWTTLLKVYVKGGLFEKSRELLLELEALGYAEEEMPYCLLMDGLAKTGQINEARSIFDEVKEKGVKSGGYSHSIMISAYCRQGLFGEAKQLAKDFEAQYDKYDLVILNSMLCAYCRADDMESVMRTLRKMDELRISPGYNTFHILIKYFCKQKLYLLAYRTMEDMHRKGHQPQEELCSSLIFYLGKTKAHAEAFSVYTMLKYGKRTMCKALHEKILHILIAGQLLKEAYVVVKDNSELISQAAIKKFANAFMKLGNINMINDVMKVIHGSGYKIDQELFQIAISRYIENPEKKDLLLQLLQWMPGQGYAVDSSTRNLILKNSHLFGRQLIAEILSRQQMMSKALKSH >Manes.07G016500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1933613:1948419:1 gene:Manes.07G016500.v8.1 transcript:Manes.07G016500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAIGTGFNHVLTRSTHSSFPIIPSFSAPTTTTRRKSSQSTSPAAATPLLGEPRNEPQPPLLHGQRRHSKSYLARQAAILEVLQSPDLESALQRLEGILKVQDLNVILRNFGKQSRWNDLSQLFDWMQQYGKISVASFSSYIKFMGKSLNPMKALEIYNNIPDESIKSNVFICNSVLSCLIRSGKFDISTKLFHKMKQNGLTPDVITYSTLLAGCMKVKHGYSKALDLVQELKYNGLQMDSIMYGTLLAVCASNDQWEAAESYFNQMKDEGHSPNLFHYSPLLNAYASGGHYKKAEELVQDMKSLGLVPNKVIWTTLLKVYVKGGLFEKSRELLLELEALGYAEEEMPYCLLMDGLAKTGQINEARSIFDEVKEKGVKSGGYSHSIMISAYCRQGLFGEAKQLAKDFEAQYDKYDLVILNSMLCAYCRADDMESVMRTLRKMDELRISPGYNTFHILIKYFCKQKLYLLAYRTMEDMHRKGHQPQEELCSSLIFYLGKTKAHAEAFSVYTMLKYGKRTMCKALHEKILHILIAGQLLKEAYVVVK >Manes.07G016500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1933593:1949000:1 gene:Manes.07G016500.v8.1 transcript:Manes.07G016500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYGKISVASFSSYIKFMGKSLNPMKALEIYNNIPDESIKSNVFICNSVLSCLIRSGKFDISTKLFHKMKQNGLTPDVITYSTLLAGCMKVKHGYSKALDLVQELKYNGLQMDSIMYGTLLAVCASNDQWEAAESYFNQMKDEGHSPNLFHYSPLLNAYASGGHYKKAEELVQDMKSLGLVPNKVIWTTLLKVYVKGGLFEKSRELLLELEALGYAEEEMPYCLLMDGLAKTGQINEARSIFDEVKEKGVKSGGYSHSIMISAYCRQGLFGEAKQLAKDFEAQYDKYDLVILNSMLCAYCRADDMESVMRTLRKMDELRISPGYNTFHILIKYFCKQKLYLLAYRTMEDMHRKGHQPQEELCSSLIFYLGKTKAHAEAFSVYTMLKYGKRTMCKALHEKILHILIAGQLLKEAYVVVKDNSELISQAAIKKFANAFMKLGNINMINDVMKVIHGSGYKIDQELFQIAISRYIENPEKKDLLLQLLQWMPGQGYAVDSSTRNLILKNSHLFGRQLIAEILSRQQMMSKALKSH >Manes.03G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2819145:2827990:-1 gene:Manes.03G033900.v8.1 transcript:Manes.03G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVVKKRGRKPKPKDEKDQQQQSSAKMKEAKKVQQPSVDDKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLELAACKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVRKYKTIIHPGEVNRIRELPQNSRIVATHTDSPDVLIWDVEAQPNRHAVLGATNSRPDLILTGHQDNAEFALAMCPTEPLVLSGGKDKTVVLWSIQDHITASATDPATVKSPGSGGSIFKRAGDGNDKAADSPSVGPRGIYQGHEDTVEDVTFCPSSIQEFCSVGDDSCLILWDTRVGSSPAVKVEKAHDADLHCVDWNPHDDNLILTGSADNSVRMFDRRNLASNGVGTPVYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYEKVGMKVERPTRAPSSPAGLFFQHAGHRDKVVDFHWNASDPWTIVSVSDDCDTTGGGGTLQIWRMSDLIYRPEDEVLTELEKFKSHVIACASKT >Manes.01G091600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29302253:29307626:-1 gene:Manes.01G091600.v8.1 transcript:Manes.01G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYLWGVFFGSFFMLIVLRLGVMKNPFGESTLLSPVAVNLSDPLQLINPEAPPAFRSPESAGPLISTATLLSSLFIDRNFSHEVESSLLTWNRMGHLVNYSQGLPNAIEAIREAQVAWESLMESFNKGKQGDLNESSLHEVKGKQCPYFLNKMNATEFGSDGYKLRLPCGLIQGSAITIIGIPNGLLGSFRIDLSGEQLPGEPEPPIILHYNVRLLGDKITEDPVIVQNTWTAAQEWGEEERCPPSVPGENRKVDDLNQCNELVGKNESHKFAASRSSISRNRSRDGRYFPFKQGYLSVMTLRVGEEGIQMTVDGKHITSFAFRESLEPWLVSEVRISGDLKLISILASGLPSSEESDHIVDLDSLKSAQLSPKQSLDLFIGVFSTANNFERRMAVRRTWMQYPVVKTGAVAVRFFVGLHKNQMVNDQLLNELQTYGDIQLVPFVDYYNLITWKTVAICTFGAEVISAKYVMKTDDDSFVRVDEVLASLLRTNIRHGLLYGLINYDAQPHRNPNSKWYISIEEWPESSYPPWAHGPGYVVSQDIAEAVYKRHKKGQLKMFKLEDVAMGIWIAELKAGSLRVTYINENRIYNEGCKDGYVVAHYQSPREMLCLWQKLLEGHEPVCCSGR >Manes.08G021400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2161117:2164111:1 gene:Manes.08G021400.v8.1 transcript:Manes.08G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFKGKYADELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVEDNRRALRELLFTTPGALQYLSGVILFEETLYQKSKSGKPFVDILKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLAQRCQKYYEAGARFAKWRAVLKIGPNEPSQLAINENANGLARYAIICQENGLVPIVEPEILVDGPHDINKCAEVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSESKKVAPEVIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLKTKKPWSLSFSFGRALQQSTLKAWAGKEENVKKAQDSFIVRCKANSEATLGTYKGDATLGEGASESLHVKDYKY >Manes.01G130900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32411450:32412995:-1 gene:Manes.01G130900.v8.1 transcript:Manes.01G130900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGTAYASPKRVLACLIEKGIEFEAVPVDLIKGDHRTPEYLKLQPFGAVPVIQDGDYTLYESRAIIRYYAEKYRSHGTDLLGNSIEERGLVEQWLEVEAQNFHPHIYNLSLQILFAPALGFPPDEKLIKESEEKLGKVLDVYEERLSRSKYLAGDFFSLADLSHLPFTQYLVGPMNKEHMIRSRKHVSAWWDEISNRPSWKKVLQL >Manes.09G022200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4538142:4539258:1 gene:Manes.09G022200.v8.1 transcript:Manes.09G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPQSQNPEPSHRRRTNCTNCNRPLPVCLCHVIPTLPIPTATQIIIIQHPHESRHKLNTTPLLTKSLLNATSLISRRLTPNLHPLLTDHHPSTATFYLFPPSHSSPAIPLSDLKKSVNEFRNTSNDPVVLIVFDATWKHAKEMVSASEGFLSKCATRVCLDGFDEDREGGSIYDSELVLRKEPYGGCVSTLEAVARWLGATEENGPEIEERLIGVLREMVRLQAQFLKPMKPRPKRPKIDRREPFY >Manes.06G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19968110:19970985:1 gene:Manes.06G064500.v8.1 transcript:Manes.06G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPPQPPPASSLSTTTTTIAVTSATPIVTTAATTVPLHLAATNTSATAYPDSIDSSPRSRNTDSYFDDPPPLSSKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVDRHTTLSSLSSRLSNTLLNGRPFTLKYQLPSEDLDSLISVTTDEDLDNMIDEYDRSNINAANSSKPSRLRLFLFTLKSESSQSIGPILENSAKSEDWFLNALNGATGLLDRGFPDSASVNCLLGLDDDGLNGNNNTDLVGGGVRDVEGSQKNAKQGQDVHSVPDSPMLETTSSFGSTSSSPLLANLPPIRVHVEDGGGGGGGGVRGVVQDQKVVGIEEQFAQISVGGGSGVAGQKQDEGFVVLSSPPPMPVSIAVSGVAMGSPVTVGEYQNRVFSDDERSDHGVPVGYRKPPPPQTQPQTIAPQTQQKSIGGGSGGAVDLPSPDSVSSDNSLNNAMNRQKPMIYQDQVMQIPSGLSRVPANPVDPKFSFSDPNPRGQIQQQVQDSGYVLQAQFEQQQQQQQQQQQQFVHAGAHYIHHPAGAVPISAYYPVYPPQQQHHHQLDQQYPVYYIPARQTQAYNMPVQQASINEPSHTVPSTRPQTPPNPNMGPPPATYNPMRNTPIAKPEMAAAGMYRTANTGTQPLVQVPSGQHQQQYVGYSQVHHPSQSVAPTSAGPANYAYEFAEPSHAQIYYTQPLAPTMPSHYQTMTSSAAVVLPESAAQLPSDNMKQQIRTSQPF >Manes.01G174900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35604487:35609140:1 gene:Manes.01G174900.v8.1 transcript:Manes.01G174900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGGFGCVFKGWLDENTLAPTKPGTGIVVAVKRLKAESFQGHKEWLAEVNYLGQLRHENVVKLIGYCVESENRLLVYEFMPKGSLENHLFRKGDQPITWATRMRIAIDVARGLSFLHGLDAKVIYRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTKVVGTRGYAAPEYVATGRLTPKSDVYSFGVVLLELLSGRRAMDDERAYFVEETLVDWAKPFLSDPRRVLRIMDTRLGGQYFKKGAQAAAALALQCLHTDPKNRPLMIEVLTALEKLNTSKDAPKTPSPARLDSHWIKRGSSQE >Manes.01G174900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35604487:35609140:1 gene:Manes.01G174900.v8.1 transcript:Manes.01G174900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCLKPAKCAHVSSTNFSSGSKKSHIDELKQDSTTSSQKASLGSFNKSFKTSTVDLSVPSSLKSFSFSDLRNATKNFRSETMLGEGGFGCVFKGWLDENTLAPTKPGTGIVVAVKRLKAESFQGHKEWLAEVNYLGQLRHENVVKLIGYCVESENRLLVYEFMPKGSLENHLFRRDQPITWATRMRIAIDVARGLSFLHGLDAKVIYRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTKVVGTRGYAAPEYVATGRLTPKSDVYSFGVVLLELLSGRRAMDDERAYFVEETLVDWAKPFLSDPRRVLRIMDTRLGGQYFKKGAQAAAALALQCLHTDPKNRPLMIEVLTALEKLNTSKDAPKTPSPARLDSHWIKRGSSQE >Manes.01G174900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35604487:35609140:1 gene:Manes.01G174900.v8.1 transcript:Manes.01G174900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGGFGCVFKGWLDENTLAPTKPGTGIVVAVKRLKAESFQGHKEWLAEVNYLGQLRHENVVKLIGYCVESENRLLVYEFMPKGSLENHLFRKGDQPITWATRMRIAIDVARGLSFLHGLDAKVIYRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTKVVGTRGYAAPEYVATGRLTPKSDVYSFGVVLLELLSGRRAMDDERAYFVEETLVDWAKPFLSDPRRVLRIMDTRLGGQYFKKGAQAAAALALQCLHTDPKNRPLMIEVLTALEKLNTSKDAPKTPSPARLDSHWIKRGSSQE >Manes.01G174900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35604487:35609140:1 gene:Manes.01G174900.v8.1 transcript:Manes.01G174900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGGFGCVFKGWLDENTLAPTKPGTGIVVAVKRLKAESFQGHKEWLAEVNYLGQLRHENVVKLIGYCVESENRLLVYEFMPKGSLENHLFRRDQPITWATRMRIAIDVARGLSFLHGLDAKVIYRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTKVVGTRGYAAPEYVATGRLTPKSDVYSFGVVLLELLSGRRAMDDERAYFVEETLVDWAKPFLSDPRRVLRIMDTRLGGQYFKKGAQAAAALALQCLHTDPKNRPLMIEVLTALEKLNTSKDAPKTPSPARLDSHWIKRGSSQE >Manes.01G174900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35604487:35609140:1 gene:Manes.01G174900.v8.1 transcript:Manes.01G174900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCLKPAKCAHVSSTNFSSGSKKSHIDELKQDSTTSSQKASLGSFNKSFKTSTVDLSVPSSLKSFSFSDLRNATKNFRSETMLGEGGFGCVFKGWLDENTLAPTKPGTGIVVAVKRLKAESFQGHKEWLAEVNYLGQLRHENVVKLIGYCVESENRLLVYEFMPKGSLENHLFRKGDQPITWATRMRIAIDVARGLSFLHGLDAKVIYRDLKASNILLDSDYNAKLSDFGLARDGPTGDNTHVSTKVVGTRGYAAPEYVATGRLTPKSDVYSFGVVLLELLSGRRAMDDERAYFVEETLVDWAKPFLSDPRRVLRIMDTRLGGQYFKKGAQAAAALALQCLHTDPKNRPLMIEVLTALEKLNTSKDAPKTPSPARLDSHWIKRGSSQE >Manes.02G139600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10733026:10733865:-1 gene:Manes.02G139600.v8.1 transcript:Manes.02G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVCDKTNTPSRELSHTSDGSYPDQSLLKLPANSSSSSPKPRSLDKPSPDDRIQSAKEVQRKPRGRPPGSKNKPKRPSITTDESESYLKPAILEISAGSDIIEAIIGFALKNDTCITLVSATGSVSNVTFRQQTPDVPPLSLHGTFNLIGLWGSFLGSFDPKNCSSDSSSLLSPSSFGISLAGPERQVFGGIVAGKVVAASIVVVVAATFRNPTFDRLPTDHDEAVETETGVYIPATDFLTDPGMPMAFYGEDIAVPMDCQMSPDILLWDFPPRPYF >Manes.14G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2104658:2108744:-1 gene:Manes.14G021300.v8.1 transcript:Manes.14G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRPPLPVISSRLEVTTFSNNTGSVVVCALKGPRPRYPRVWKSRTRIGTISKSAKLVDCIKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLENEQEWKRIIQVIKWMLSKGQGRTMGTYFTLLNALAEDGRLDEAEELWTKLFSENLESMPRVFFNKMIAIYYKRDMHEKMFEIFADMEELGVRPNVAIVNMMGNVFKKLGMLDKYHKLKKKYPRPKWEYKYIKGKRVRIRAKEVDEFDASNEDVNRDNEISRVLNESEEVDTNFNEADVEAPCS >Manes.14G021300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2104658:2108744:-1 gene:Manes.14G021300.v8.1 transcript:Manes.14G021300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRPPLPVISSRLEVTTFSNNTGSVVVCALKGPRPRYPRVWKSRTRIGTISKSAKLVDCIKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLENEQEWKRIIQVIKWMLSKGQGRTMGTYFTLLNALAEDGRLDEAEELWTKLFSENLESMPRVFFNKMIAIYYKRDMHEKMFEIFADMEELGVRPNVAIVNMMGNVFKKLGMLDKYHKLKKKYPRPKWEYKYIKGKRVRIRAKEVDEFDASNEDVNRDNEISRVLNESEEVDTNFNEADVEAPCS >Manes.09G081668.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20690866:20694207:1 gene:Manes.09G081668.v8.1 transcript:Manes.09G081668.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSIFLVTLIFAALAPSKSDGEFKEWCIADEQTPEEELQIALDWACGKGGADCRMIQKNQPCYLPNTVKDHASYAFNNYYHKFKHLGATCYFNSAAMITDLDPSHQSCKFD >Manes.15G104500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8287748:8288074:1 gene:Manes.15G104500.v8.1 transcript:Manes.15G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSEISSPAIKTSSSNVIKPKKPPFRPAQDDTKPPLQDPILRSDPIETEEAVLKLPPFPTVKSKLQS >Manes.04G025300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2909129:2910851:-1 gene:Manes.04G025300.v8.1 transcript:Manes.04G025300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSEAANSNSSSPSSSSSPPTTPPPTVVMSPCAACKILRRRCAEKCVLAPYFPPTEPAKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICHLQKQVNELQAQLAKAQAELVNMQCQQANLVALLCMSPQQLSGQSVDDFISTPQSYQSNPCFFDDTNCLGSQWEPLWT >Manes.16G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32135182:32143465:1 gene:Manes.16G117000.v8.1 transcript:Manes.16G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLQVGVRRSQRVKQNQNPSGVVPTARRGAGRAGRGRGSRAMNQDENAKLLGGGVRGRGRAVLDMPARQAVERSAEKLAAGEEEGRISPLPERVQLGNSPVYKLERKLGKGGFGQVYVGRRVSGGAGRTGPDAVEVALKLEHMNSKGCSYGPPYEWQVYSTLNGCYGLPLVHYKGQQGDYYILVMDMLGPSLWDVWNSNNQTLSEQMVACIAVEAISILEQLHLRGFVHGDVKPENFLLGQPGTPSEKKLYLIDLGLASRWRDATSGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLKGKLPWQGYVGENKGFLVCKKKMATSPEMLCFLCPPPFQQFHDMVTNMRFDEEPNYSKLISLFDNSIGANIMLRPILTDGAIKVGQKRGRSFVDLEDGGQLKKKVRLGTPATQWISVYNFRSSMKQRYHYNVMDSRIDQHVVKGKEDGLYISCVASSSNLWAIIMDAGTGFTSQVYELSPIFLHKEWIMEQWDKNYYITSVAGTANGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTTAGSKWGIVMSRNAGYPNQVVELDFLYPSEGIHRRWENGYRITATAATADQAAFILSTPKRKSQDVAQETLRTSAFPSTHVKDKWSKNLYIAAICYGRTVS >Manes.01G157600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34347948:34353168:-1 gene:Manes.01G157600.v8.1 transcript:Manes.01G157600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTPSRLRSLLKRARSFIGLAATTTSLAASSLSTSSAPKVTTSTAMEDLKTRLCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTSEVENFPGFPDGIQGGELMDRCRAQSVRFGTQIYTETVNKVDFSSNPFKVFTDSKAVLADSVIVATGAVAKRLNFPGSDTFWNRGVSACAVCDGAAPIFRDKPLAVIGGGDSAMEEATFLTKYGSKVYIIHRRDTFRASKIMQNRALSNPKIEVIWNSVVVEANGDRLLGGLKVKNIETGQVCDLKVSGLFFAIGHEPATKFLDGQLQLDSDGYVVTKPGTTQTSVRGVFAAGDVQDKKYRQAVTAAGTGCMAALEAEHYLQEIGSQEGKSD >Manes.10G080000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:19584535:19586177:-1 gene:Manes.10G080000.v8.1 transcript:Manes.10G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSPTAAPPLNYEDTWTKRKRSKRPARSESPPADDEYLALCLIMLARGGNGGSNKEASSSSPSPPPALSLSYKCTVCNKAFPSYQALGGHKASHKKSSSETATAVDNPSSSSNNTTTSAAAANANTASGRTHECSICHKTFPTGQALGGHKRRHYEGPGGGANNNSSSVVASSEGGGSQSQSQSQSQSRGGSGGFEFDLNLPAMPEFNDPREEEVESPLPGKKPRLSLLLKQEETEMGISLQD >Manes.14G094500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7881264:7883232:1 gene:Manes.14G094500.v8.1 transcript:Manes.14G094500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSLYQKDPSALSLAPPEGPNSGILVIQDEEADQPTCCFGLCKSNRAKNMPFPQNKNLEVRYTTQTGEHQHVNVNRVLFIPVLNLPLSSNQYYCIERKGRDRGAAYRNSKEEDMKTCCFCSCISDLEPQALDPQDIHQKFEIQQRKWGSFVAKSVAPDGFPPTFLRRKGWTVYTSSPPHEFELKEAPGLDKNLRARLPHFSFPLSCRSSPPVEVGKWYCPLMFIRDGAFKDQMDNSRYYEMTLEQQWQQIFSCESNYNEGNAVTVDVAVETEVVEVAGKESMLQSDKQVIDGVMWFRSGGNVGGEARVGLSLAVVERMKWEQERFGWIGASERQVRVKRVEEFGGQGGWRRFGCYVLVERFALKRMNGSLVMTYDFRHTQHIRSKWE >Manes.12G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:682800:684473:-1 gene:Manes.12G006100.v8.1 transcript:Manes.12G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRMERLVLLPFSIGCVSESSVAIGVHHPRRTKQPPPPPIRKKEDDEESLSSSESMKNGLKFLAVSKPNISDRFHRLVKGFKTFSQLFVYEEEIEELEMEIGVPTDVKHVTHIGWDGSANTNPIQGWDNLIPPELLSLQPPASLRQLEISMAAQSDSPALVKPSSA >Manes.05G097100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8939210:8949503:1 gene:Manes.05G097100.v8.1 transcript:Manes.05G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAIEPLYRYSPREKPLCHCRAKILKPSSLNFSPKLLSNGITSFCKFKPHRAYTVRASSTDTALLETFESSPSFFKETFSLARTETVEGKIFIRLDKDEDQQCWQLSVGCSLPGKWILHWGVSYLDDVGSEWDQPPKDMIPPGSIPVKDYAIETPLKKSSEGQVFHEVKINLDPKSSIAALNFVLKDEETGAWYQHRGRDFKVPLVDHLLIDGNVVGAKRGFNIWPGAFLPNMLLKAEELPSKDQDSNSDSKDAKQENKHVQGFYQEQPITKQVVIQNSATVSVTKCFKTAKNLLYLETDLPGEVVVHWGVCRDDAKNWEISAGPYPPETTVFKNRALRTLLQPKDGGDGCSGLFTLGKEFIGFLFVLKLNENTWLKCKENDFYIPLSSSISFPAQPGQRQFEGVPVSEKTEEANQEVSQVPYTDDIINEIRNLVHDISSEKSRQTKTKEAQESILHEIEKLAAEAYSIFRTSIPTSTEEAVSESEPQETPTKICSGTGTGFEILLQGFNWESNKSGRWYMELKEKALEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVRSLHEVGLKILGDAVLNHRCAHYQNQNGVWNIFGGRLNWDDRAIVADDPHFQGRGNKSSGDSFHAAPNIDHSQEFVRKDLKEWLCWLRDEIGYDGWRLDFVRGFWGGYVKDYIDATEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIIDWINATNGAAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPYGKEMQGYAYILTHPGTPAVFYDHIFSHYQSEIASLISLRNRKKVQCRSTVEITKAERDVYAAIVDEKVAMKIGPGHYEPPSESQRWVLAVEGKDYKVWEAS >Manes.05G097100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8941169:8949503:1 gene:Manes.05G097100.v8.1 transcript:Manes.05G097100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAEELPSKDQDSNSDSKDAKQENKHVQGFYQEQPITKQVVIQNSATVSVTKCFKTAKNLLYLETDLPGEVVVHWGVCRDDAKNWEISAGPYPPETTVFKNRALRTLLQPKDGGDGCSGLFTLGKEFIGFLFVLKLNENTWLKCKENDFYIPLSSSISFPAQPGQRQFEGVPVSEKTEEANQEVSQVPYTDDIINEIRNLVHDISSEKSRQTKTKEAQESILHEIEKLAAEAYSIFRTSIPTSTEEAVSESEPQETPTKICSGTGTGFEILLQGFNWESNKSGRWYMELKEKALEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVRSLHEVGLKILGDAVLNHRCAHYQNQNGVWNIFGGRLNWDDRAIVADDPHFQGRGNKSSGDSFHAAPNIDHSQEFVRKDLKEWLCWLRDEIGYDGWRLDFVRGFWGGYVKDYIDATEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIIDWINATNGAAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPYGKEMQGYAYILTHPGTPAVFYDHIFSHYQSEIASLISLRNRKKVQCRSTVEITKAERDVYAAIVDEKVAMKIGPGHYEPPSESQRWVLAVEGKDYKVWEAS >Manes.05G097100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8939210:8947896:1 gene:Manes.05G097100.v8.1 transcript:Manes.05G097100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAIEPLYRYSPREKPLCHCRAKILKPSSLNFSPKLLSNGITSFCKFKPHRAYTVRASSTDTALLETFESSPSFFKETFSLARTETVEGKIFIRLDKDEDQQCWQLSVGCSLPGKWILHWGVSYLDDVGSEWDQPPKDMIPPGSIPVKDYAIETPLKKSSEGQVFHEVKINLDPKSSIAALNFVLKDEETGAWYQHRGRDFKVPLVDHLLIDGNVVGAKRGFNIWPGAFLPNMLLKAEELPSKDQDSNSDSKDAKQENKHVQGFYQEQPITKQVVIQNSATVSVTKCFKTAKNLLYLETDLPGEVVVHWGVCRDDAKNWEISAGPYPPETTVFKNRALRTLLQPKDGGDGCSGLFTLGKEFIGFLFVLKLNENTWLKCKENDFYIPLSSSISFPAQPGQRQFEGVPVSEKTEEANQEVSQVPYTDDIINEIRNLVHDISSEKSRQTKTKEAQESILHEIEKLAAEAYSIFRTSIPTSTEEAVSESEPQETPTKICSGTGTGFEILLQGFNWESNKSGRWYMELKEKALEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVRSLHEVGLKILGDAVLNHRCAHYQNQNGVWNIFGGRLNWDDRAIVADDPHFQGRGNKSSGDSFHAAPNIDHSQEFVRKDLKEWLCWLRDEIGYDGWRLDFVRGFWGGYVKDYIDATEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIIDWINATNGAAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPYGKEMQGYAYILTHPGTPAVFYDHIFSHYQSEIASLISLRNRKKVQCRSTVSIKRISLFFHWKVLL >Manes.05G097100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8939210:8949503:1 gene:Manes.05G097100.v8.1 transcript:Manes.05G097100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASANSSPIGPIQSELAPPTPLFLKLLNLPLASSRRHFLSRELKREWDQPPKDMIPPGSIPVKDYAIETPLKKSSEGQVFHEVKINLDPKSSIAALNFVLKDEETGAWYQHRGRDFKVPLVDHLLIDGNVVGAKRGFNIWPGAFLPNMLLKAEELPSKDQDSNSDSKDAKQENKHVQGFYQEQPITKQVVIQNSATVSVTKCFKTAKNLLYLETDLPGEVVVHWGVCRDDAKNWEISAGPYPPETTVFKNRALRTLLQPKDGGDGCSGLFTLGKEFIGFLFVLKLNENTWLKCKENDFYIPLSSSISFPAQPGQRQFEGVPVSEKTEEANQEVSQVPYTDDIINEIRNLVHDISSEKSRQTKTKEAQESILHEIEKLAAEAYSIFRTSIPTSTEEAVSESEPQETPTKICSGTGTGFEILLQGFNWESNKSGRWYMELKEKALEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVRSLHEVGLKILGDAVLNHRCAHYQNQNGVWNIFGGRLNWDDRAIVADDPHFQGRGNKSSGDSFHAAPNIDHSQEFVRKDLKEWLCWLRDEIGYDGWRLDFVRGFWGGYVKDYIDATEPYFAVGEYWDSLSYTYGEMDHNQDAHRQRIIDWINATNGAAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPYGKEMQGYAYILTHPGTPAVFYDHIFSHYQSEIASLISLRNRKKVQCRSTVEITKAERDVYAAIVDEKVAMKIGPGHYEPPSESQRWVLAVEGKDYKVWEAS >Manes.01G103600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30326300:30339815:1 gene:Manes.01G103600.v8.1 transcript:Manes.01G103600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGSSVAQPEVILDWLQKEMGYRPLGPYTASTNKSQLPSIDALRKICRGNMLPIWSFLTKRVKSEKTVENIRKNMVVHGGVAVETGGSVNLGKEEGRSKGGRRKEKTVGESSSSAENREAALHERNLAAKEVERLRNIVRRQRKDLRARMMEVSREEAERKRMLDERAKNRHKQVMLEAYDQQCDEAAKIFVEYHKRLCHYVNGARNSQRSSVDSFLEVVNSLSANGKKEAVYSTVKGTKSADDIVLIETTREKNIRKACESLAVYMIDRIRNSFPAYEGSGIHLNPQLEAAKLGIDFDGELPNEVRTTIMNCLKNPPQLLEAITAYASHLKTLISREIEKIDVRADAETLRYKYENNKVMDISSPDVISPLNYQLYGNGKVATDVPSRGSQNQLLERQKAHVQQFLATEDAINKAAEARDMYQKLIKRLHGSGGVISSNSLSVGGPSQNTGSLRQFELEVWAKERETAGLRASLSTLMSEVQRLNKLCAERKEAEDSLRKKWKKIEEFDARRSELEAIYTALLKSNMDAAAFWNQQPLAAREFASSTIIPACTVVADIANNAKDLIDKEVNAFSQSPDNSLYMLPSTPQALLESMGSIGSTGPEALAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGFDSGLASVLESLEFCLKLRGSEASVLEDLAKVINLVHIRQDLVESGHAMLNHAYLSQQEYKRTTDYCLSLASEQEKIVTEKWLPELKTASLSAQKCLEDCKYVRGLLDEWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAFYDKELL >Manes.02G099400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7720517:7723792:1 gene:Manes.02G099400.v8.1 transcript:Manes.02G099400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSIISLTLGFNIKTISYQKYTLNIWDVGGQRTIRSYWRNYYEQTDGLVWVVDSSDLRRLEDCKMELDNLLKEERLSGASLLILANKQDLKGALTPEEIAKVLNLENMDKTRHWKIMGCSAYTGEGLLEGFDWLVQDIASRIYMLD >Manes.02G099400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7721127:7723432:1 gene:Manes.02G099400.v8.1 transcript:Manes.02G099400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILSCSHYLQIYTLNIWDVGGQRTIRSYWRNYYEQTDGLVWVVDSSDLRRLEDCKMELDNLLKEERLSGASLLILANKQDLKGALTPEEIAKVLNLENMDKTRHWKIMGCSAYTGEGLLEGFDWLVQDIASRIYMLD >Manes.02G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7720225:7723404:1 gene:Manes.02G099400.v8.1 transcript:Manes.02G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSIISLTLGFNIKTISYQKYTLNIWDVGGQRTIRSYWRNYYEQTDGLVWVVDSSDLRRLEDCKMELDNLLKEERLSGASLLILANKQDLKGALTPEEIAKVLNLENMDKTRHWKIMGCSAYTGEGLLEGFDWLVQDIASRIYMLD >Manes.13G061700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7184037:7185714:-1 gene:Manes.13G061700.v8.1 transcript:Manes.13G061700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPISTHLVPIWHCTKISHYVPLKNNPFLHCSSPSLHSPAPSLLHRHMATQTPRLTTAVVLTLSILLLASTTSYAHNITRILAKHPAFSTFNHYLTVTHLAAEINRRQTITVLALDNAAMSSLLDKQLSVYTLRNVLSFHVLVDYFGAKKLHQITNGTTLTATMFQSTGAATGSSGYVNITDLKAGKVAFGSEENDGKLDAVYVKSLVEIPYNISVLQISQPLNSAEAEAPTAAPTLNLTAIMNKQGCKAFSDLLIASGAKSTFEETLDGGLTVFCPPDTVVNGFMPKYKNLTKAQKVSLLLYHGIPVYQSLQMLKSNNGITNTLATDGASKYDFTVQSDGEDVTLETKVMTAKITGTVKDEEPLVIYKIDKMLMPRELFKPAPAAAPKAAKQAADGPEADAPTDDSEDQTAADDENGAIRKMDGGRLVMILLSLFLGLIFF >Manes.14G071300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5927701:5929657:1 gene:Manes.14G071300.v8.1 transcript:Manes.14G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGEVLRRSLPEEVGVSNGSSSVSDELHVLAVDDSHVDRKVIERLLKISSCKVTTVDSGTRALQYLGLDGEKKSVGFNDLKVNLIMTDYSMPGMTGYELLKKIKESSAFREIPVVIMSSENILARIDRCLEEGAEEFIVKPVKLSDVKRLKDFIMRGEGEEKGKTKIQKRKMEDDDIFSFSSQLPSSDSEVSSEQQSSTQTSTLYMSKRLKFLKTD >Manes.02G223500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37163176:37172695:1 gene:Manes.02G223500.v8.1 transcript:Manes.02G223500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLNHAAAAPRRLLSRCRSFLILGMSIMNHLESQMLEKAIPLVMRYMGSIDTDNDDGMDNEDQSNDSESDEVAEESDSSEDEVVPRNTIGDVPLKWYEDEKHIGYDITGKKITKKERQDKLESFLASADDSENWRKIYDEYNDEVVELTKEEIKVIRRLVKGKAPHLDFDPYPTYSDWFEWDGKHPLSNAPEPKRRFTPSKWEAKMVVKYVRAIRKGLLKFDKPKEEPRFHLLWGDDSGSAEKAAHLSYIPAPKPKLPGHEESYNPSLEYIPTQEEINSYQLMDEEDRPKFIPKRYTSLRSIPAYESAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLKPYPVTCYLEYKGHKDAVMSISTEASGQWIASGSTDGTVRVWEVETGRCLRIWEFSEAVQCVAWNPLHELPILVISVGQDVMVLNTGLGNGEVQEKIKELLNVGTPTASDDSGDKSSSLSWVQDDKYEGIKLRHFRTVASVEWHRKGDYFCSVMPAGESKAILIHQLSKKLTQRLPFKLHGLPVSSVFHPTRSVFFVATKKNVRVYDLLKHKLIKKLETGLREVSSIAVHPAGDNVIVGSKEGKLCWFDMDLSSKPYKILKCHPKDITSVVFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSKGRGIMDCKFHPRQPWLFTAGADSSIKLYCH >Manes.02G223500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37163176:37172695:1 gene:Manes.02G223500.v8.1 transcript:Manes.02G223500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPADGPRPQSRRRSSSPPPQSLQELSDSGDEYYESSGESDAGESNSSGDEGSIDTDNDDGMDNEDQSNDSESDEVAEESDSSEDEVVPRNTIGDVPLKWYEDEKHIGYDITGKKITKKERQDKLESFLASADDSENWRKIYDEYNDEVVELTKEEIKVIRRLVKGKAPHLDFDPYPTYSDWFEWDGKHPLSNAPEPKRRFTPSKWEAKMVVKYVRAIRKGLLKFDKPKEEPRFHLLWGDDSGSAEKAAHLSYIPAPKPKLPGHEESYNPSLEYIPTQEEINSYQLMDEEDRPKFIPKRYTSLRSIPAYESAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLKPYPVTCYLEYKGHKDAVMSISTEASGQWIASGSTDGTVRVWEVETGRCLRIWEFSEAVQCVAWNPLHELPILVISVGQDVMVLNTGLGNGEVQEKIKELLNVGTPTASDDSGDKSSSLSWVQDDKYEGIKLRHFRTVASVEWHRKGDYFCSVMPAGESKAILIHQLSKKLTQRLPFKLHGLPVSSVFHPTRSVFFVATKKNVRVYDLLKHKLIKKLETGLREVSSIAVHPAGDNVIVGSKEGKLCWFDMDLSSKPYKILKCHPKDITSVVFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSKGRGIMDCKFHPRQPWLFTAGADSSIKLYCH >Manes.12G037900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3288810:3293021:-1 gene:Manes.12G037900.v8.1 transcript:Manes.12G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNMELRILVFFCCCCIGFHSFCSAALNEEASALLSIKGGLLDPLNKLQDWKLSNNATHCNWTGVRCNSHGAVEKLDLSQMNLSGNISDDIQWLRSLASLNLCCNGFSSYLTKAIANLTSLISIDVSQNLFVGSFPTGLGRAAGLTLLNASSNSFSGFIPEDIGNATLLETLDLRGSFFEGSIPKSFNNLQKLKFLGLSGNNLTGKIPAELGQLSSLERIIIGYNEFEGGIPAEFGNLTNLKYLDLAVGNLGSEIPAGLGRLKVLETVFLYQNNFEGKIPDAIGNLTSLQLLDLSDNMLSGEIPAAIAELKNLKLLNLMCNQLTGAVPAGLGWLTQLQVLELWNNSLSGPLPIDLGRNSALQWLDVSSNAFSGEIPAGLCSGGNLTKLILFNNAFTGSIPPSLSACFLLVRVRMQNNFLSGTIPIGLGKLEKLQRLELANNSLTGQIPNDLASSSSLSFIDLSRNHLRSSLPSTILAIANLQTFIASNNNLDGEIPDQFQDCPSLSVLDLSSNHFSGTIPASTASCQKLVTLNLKNNQLTGEIPKAIAMMPTLAILDLSNNSLTGEIPENFGTSPALEVLNVSYNRLEGPVPANGVLKTINPDDLKGNAGLCGGILPPCSHSLLAESQQKGLHTKRIVAGWTIGISSVFAVIIALIVARSLYKRCYSNGSCFEESLEMGKGEWPWRLMAFQRLGFTSADILACVKESNVIGMGATGTVYKAEMPRLNTVVAVKKLWRSGRDIKTGSSDDFVGEVNLLGKLRHRNIVRLLGFLHNDTDMMIIYEYMPNGNLGEALHGKQAGRLLVDWVSRYNIAVGVAQGLAYLHHDCQPPVIHRDIKSNNILLDANLEARIADFGLAKMMIRKNETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSYGVVLLELLTGKQPLDPEFGESVDIVEWIRKKIRDNRPLEEALDNSVGNCKHVQEEMLLVLRIALLCTAKLPKERPSMRDVITMLGEAKPRRKSSSNSSDHDSNKDKPIFSTSPVNGLV >Manes.12G049400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637039:4644316:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHGESFPVYAIITLQCVYFYLLHGT >Manes.12G049400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637053:4644546:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637053:4644315:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637053:4645812:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4636622:4644361:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHGESFPVYAIITLQCVYFYLLHGT >Manes.12G049400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637053:4644315:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4636622:4644538:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHGESFPVYAIITLQCVYFYLLHGT >Manes.12G049400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637053:4644315:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637052:4644316:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHGESFPVYAIITLQCVYFYLLHGT >Manes.12G049400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637053:4644315:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637053:4645812:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637053:4644315:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKARIDDTDLLKKEKNKEKKHKKDRKEKEKREGKEKRDKDRSKEKNREKKDRKDKHKDKDRDKEKNHAADEKKVDGQHGFHNGQKFGSDAMQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4637039:4644315:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.12G049400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4636621:4644362:-1 gene:Manes.12G049400.v8.1 transcript:Manes.12G049400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHEIEDSLYMQELARRIKDEDRASGSQMFQKVVAADQRRDEVQGVALERRGEVQGMAVERNVGYQSEEKEKVKNKNEDNRTINGQRNHFDARGLGKAFHQNFSSMDQERVEGIVKLGEKKDAEKQMEGKEKSKNKEINVKSDKHKGKDREKNRKSKDKDRDKEEKKEEKAKEMTESIKEKPKLKENVSKLKEGGKDSLDLQNVKSSGTFRLSNASPAAEVNLGKRKELEKNGYLHDNGTRPNKIPRQMSSSFPAVENLNAFEKCQTGILSSEKRGLANNHKVDAKEQKLNGLVIVQQPNIRSRKPSSVGITANENGEISSKPHPDVKYLSQILSIPEMEELPDANDQEWLFSSNNLQPKKPSSSSSGVDGTRQVWAQALWIESADISALPYVIPY >Manes.16G078300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28303309:28306765:1 gene:Manes.16G078300.v8.1 transcript:Manes.16G078300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACTGAAVMGSLQQPVWTKGSAFPPKMFSVTGFPHQIELNSLKSCRYFSCTGSLVTGRSSSAVSVSVSVPVPETAGERSSFIDYGLSEADPEVREIINKEKNRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQERALAAFHLDGKKWGVNVQPLSGSPANFEVYTALLQPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLNESTGLVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADTVGAFLMMDMAHISGLVAASVVGDPFEYCDIVTTTTHKSLRGPRGGMIFFRKDPILGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKNYQKQVIANCRALANRLVELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFVATADYIHEGVQITIEAKKSVSGSKLQDFLKFVASPEFALKDKVADLQTRVEALTTQFPIPGV >Manes.16G078300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28303169:28306765:1 gene:Manes.16G078300.v8.1 transcript:Manes.16G078300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACTGAAVMGSLQQPVWTKGSAFPPKMFSVTGFPHQIELNSLKSCRYFSCTGSLVTGRSSSAVSVSVSVPVPETAGERSSFIDYGLSEADPEVREIINKEKNRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQERALAAFHLDGKKWGVNVQPLSGSPANFEVYTALLQPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLNESTGLVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADTVGAFLMMDMAHISGLVAASVVGDPFEYCDIVTTTTHKSLRGPRGGMIFFRKDPILGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKNYQKQVIANCRALANRLVELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFVATADYIHEGVQITIEAKKSVSGSKLQDFLKFVASPEFALKDKVADLQTRVEALTTQFPIPGV >Manes.16G078300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28303105:28306857:1 gene:Manes.16G078300.v8.1 transcript:Manes.16G078300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACTGAAVMGSLQQPVWTKGSAFPPKMFSVTGFPHQIELNSLKSCRYFSCTGSLVTGRSSSAVSVSVSVPVPETAGERSSFIDYGLSEADPEVREIINKEKNRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQERALAAFHLDGKKWGVNVQPLSGSPANFEVYTALLQPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLNESTGLVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADTVGAFLMMDMAHISGLVAASVVGDPFEYCDIVTTTTHKSLRGPRGGMIFFRKDPILGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKNYQKQVIANCRALANRLVELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFVATADYIHEGVQITIEAKKSVSGSKLQDFLKFVASPEFALKDKVADLQTRVEALTTQFPIPGV >Manes.07G011300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1458250:1464103:1 gene:Manes.07G011300.v8.1 transcript:Manes.07G011300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTIGGAILAPVFTALIDQLGSQNLLNYAREGKVLTELNKWKEMLEEIYVVLDDAEEQQMTNKLVKIWADKLRDLAYDVEDILDEFDIEARRRKMKAGESKVRKLIPSYVGMTFRAEMVSKIKEITARLEEIRSQKNRLDLRVIAGERSSKVRERRPTTSVVNKEEIYGRQEDKKAMLEFLNNESSDARVSVISITGMGGLGKTTLAQLLFNEAKLNFDLTAWVLVGDDFDVFRISQTIFQWFGGDFDGKDLNLLQVRLKEKLSDKKFFIVLDDVWNEKYEDWNLFRGPFEYGARGSRIIVTTRSERVSQMMGSVQSYPLKQLSYDDCLSVFVQHALGATNFDEHLDLKAIGEKIVERCQGLPLAAQAIGGVLRGERNLTVWEKLLSSDIWEDKTDVLPALRLSYHHLPSNLKRCFAYCAIFPKDYEFDENELVLQWMAEGFLQQQKEMKQMEELGHEYFQDLLLRSFFQQSTSNKSLYVMHDLINDLALSISREVCFCLNLDDKSKGIKSYSKVRHSAFTRNFQDIFQRFEVFNETNNLRTFSALPKFPKGYVYRLASNVVHDLVPRLKRLRVLSLSGYLFEGLPNSVGDLKHLRYLNLSYTNIERLPKSLSNLWNLQTLKLCECRDLIELHAGIGNLINLMHLDLSGTYHLLEMPREIASLTNLQTLSKFIVGKGDGPGIKELMKFPNLKGQLQIEGLHNVVDIQEAELADLKKKEGLDELALLWTDNLHETRSAEDELWVLSFLQPHQKLGKLLIKFYGGKKFPSWIGDPSFTNMVDVELCSCPNITTLPPLGGLPKLSKLIIEGMGGVKQVGVEFYGDNSSSLQPFPSLETLQIENMLELKQWACSDGLNEAGNFPKLCELRIINCPKLEGKLPSCLPSLKELNIEECQEMLLLSVPDLASLTNLKIRRISRLANLDKVVTQALVALKYLVIDYCDQLMCLWQDSTNLDELACLNHLMINGCRKLVSLVEGEEGLLPCNLEVLSIFGCHELENLPNGLCSLSSLGDLRISYCPKLASFPARGLPYALKRLYIFCCNSLESMPESIMQASDARNQTTHLEDLSVMECPFLASLPCGEFPHSVKSIKFCHWTTKSLESLDDRFSHLIELEIRDSPKLENFPESGLAIPNLRFFKIAKCGNLKSLPNRFQNLKSLTYLGIRNCGSVVSFPEGGLPPNLIRLEISYCENLAQPMFEWRLKRLTSLTNLTISGTSPSTDMVSFPDEEGQLLPSSLTFLSVDALKNLKSISRGLHKLTSLKKLQILYCPKLRSLPKEGLLAKLGTVYIIGCPLLQKRCTKKKGKYWPFIANIPQLEIH >Manes.07G011300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1458250:1464103:1 gene:Manes.07G011300.v8.1 transcript:Manes.07G011300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTIGGAILAPVFTALIDQLGSQNLLNYAREGKVLTELNKWKEMLEEIYVVLDDAEEQQMTNKLVKIWADKLRDLAYDVEDILDEFDIEARRRKMKAGESKVRKLIPSYVGMTFRAEMVSKIKEITARLEEIRSQKNRLDLRVIAGERSSKVRERRPTTSVVNKEEIYGRQEDKKAMLEFLNNESSDARVSVISITGMGGLGKTTLAQLLFNEAKLNFDLTAWVLVGDDFDVFRISQTIFQWFGGDFDGKDLNLLQVRLKEKLSDKKFFIVLDDVWNEKYEDWNLFRGPFEYGARGSRIIVTTRSERVSQMMGSVQSYPLKQLSYDDCLSVFVQHALGATNFDEHLDLKAIGEKIVERCQGLPLAAQAIGGVLRGERNLTVWEKLLSSDIWEDKTDVLPALRLSYHHLPSNLKRCFAYCAIFPKDYEFDENELVLQWMAEGFLQQQKEMKQMEELGHEYFQDLLLRSFFQQSTSNKSLYVMHDLINDLALSISREVCFCLNLDDKSKGIKSYSKVRHSAFTRNFQDIFQRFEVFNETNNLRTFSALPKFPKGYVYRLASNVVHDLVPRLKRLRVLSLSGYLFEGLPNSVGDLKHLRYLNLSYTNIERLPKSLSNLWNLQTLKLCECRDLIELHAGIGNLINLMHLDLSGTYHLLEMPREIASLTNLQTLSKFIVGKGDGPGIKELMKFPNLKGQLQIEGLHNVVDIQEAELADLKKKEGLDELALLWTDNLHETRSAEDELWVLSFLQPHQKLGKLLIKFYGGKKFPSWIGDPSFTNMVDVELCSCPNITTLPPLGGLPKLSKLIIEGMGGVKQVGVEFYGDNSSSLQPFPSLETLQIENMLELKQWACSDGLNEAGNFPKLCELRIINCPKLEGKLPSCLPSLKELNIEECQEMLLLSVPDLASLTNLKIRRISRLANLDKVVTQALVALKYLVIDYCDQLMCLWQDSTNLDELACLNHLMINGCRKLVSLVEGEEGLLPCNLEVLSIFGCHELENLPNGLCSLSSLGDLRISYCPKLASFPARGLPYALKRLYIFCCNSLESMPESIMQASDARNQTTHLEDLSVMECPFLASLPCGEFPHSVKSIKFCHWTTKSLESLDDRFSHLIELEIRDSPKLENFPESGLAIPNLRFFKIAKCGNLKSLPNRFQNLKSLTYLGIRNCGSVVSFPEGGLPPNLIRLEISYCENLAQPMFEWRLKRLTSLTNLTISGTSPSTDMVSFPDEEGQLLPSSLTFLSVDALKNLKSISRGLHKLTSLKKLQILYCPKLRSLPKEGLLAKLGTVYIIGCPLLQKRCTKKKGKYWPFIANIPQLEIH >Manes.07G011300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1458250:1464103:1 gene:Manes.07G011300.v8.1 transcript:Manes.07G011300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTIGGAILAPVFTALIDQLGSQNLLNYAREGKVLTELNKWKEMLEEIYVVLDDAEEQQMTNKLVKIWADKLRDLAYDVEDILDEFDIEARRRKMKAGESKVRKLIPSYVGMTFRAEMVSKIKEITARLEEIRSQKNRLDLRVIAGERSSKVRERRPTTSVVNKEEIYGRQEDKKAMLEFLNNESSDARVSVISITGMGGLGKTTLAQLLFNEAKLNFDLTAWVLVGDDFDVFRISQTIFQWFGGDFDGKDLNLLQVRLKEKLSDKKFFIVLDDVWNEKYEDWNLFRGPFEYGARGSRIIVTTRSERVSQMMGSVQSYPLKQLSYDDCLSVFVQHALGATNFDEHLDLKAIGEKIVERCQGLPLAAQAIGGVLRGERNLTVWEKLLSSDIWEDKTDVLPALRLSYHHLPSNLKRCFAYCAIFPKDYEFDENELVLQWMAEGFLQQQKEMKQMEELGHEYFQDLLLRSFFQQSTSNKSLYVMHDLINDLALSISREVCFCLNLDDKSKGIKSYSKVRHSAFTRNFQDIFQRFEVFNETNNLRTFSALPKFPKGYVYRLASNVVHDLVPRLKRLRVLSLSGYLFEGLPNSVGDLKHLRYLNLSYTNIERLPKSLSNLWNLQTLKLCECRDLIELHAGIGNLINLMHLDLSGTYHLLEMPREIASLTNLQTLSKFIVGKGDGPGIKELMKFPNLKGQLQIEGLHNVVDIQEAELADLKKKEGLDELALLWTDNLHETRSAEDELWVLSFLQPHQKLGKLLIKFYGGKKFPSWIGDPSFTNMVDVELCSCPNITTLPPLGGLPKLSKLIIEGMGGVKQVGVEFYGDNSSSLQPFPSLETLQIENMLELKQWACSDGLNEAGNFPKLCELRIINCPKLEGKLPSCLPSLKELNIEECQEMLLLSVPDLASLTNLKIRRISRLANLDKVVTQALVALKYLVIDYCDQLMCLWQDSTNLDELACLNHLMINGCRKLVSLVEGEEGLLPCNLEVLSIFGCHELENLPNGLCSLSSLGDLRISYCPKLASFPARGLPYALKRLYIFCCNSLESMPESIMQASDARNQTTHLEDLSVMECPFLASLPCGEFPHSVKSIKFCHWTTKSLESLDDRFSHLIELEIRDSPKLENFPESGLAIPNLRFFKIAKCGNLKSLPNRFQNLKSLTYLGIRNCGSVVSFPEGGLPPNLIRLEISYCENLAQPMFEWRLKRLTSLTNLTISGTSPSTDMVSFPDEEGQLLPSSLTFLSVDALKNLKSISRGLHKLTSLKKLQILYCPKLRSLPKEGLLAKLGTVYIIGCPLLQKRCTKKKGKYWPFIANIPQLEIH >Manes.07G011300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:1458248:1464103:1 gene:Manes.07G011300.v8.1 transcript:Manes.07G011300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATTIGGAILAPVFTALIDQLGSQNLLNYAREGKVLTELNKWKEMLEEIYVVLDDAEEQQMTNKLVKIWADKLRDLAYDVEDILDEFDIEARRRKMKAGESKVRKLIPSYVGMTFRAEMVSKIKEITARLEEIRSQKNRLDLRVIAGERSSKVRERRPTTSVVNKEEIYGRQEDKKAMLEFLNNESSDARVSVISITGMGGLGKTTLAQLLFNEAKLNFDLTAWVLVGDDFDVFRISQTIFQWFGGDFDGKDLNLLQVRLKEKLSDKKFFIVLDDVWNEKYEDWNLFRGPFEYGARGSRIIVTTRSERVSQMMGSVQSYPLKQLSYDDCLSVFVQHALGATNFDEHLDLKAIGEKIVERCQGLPLAAQAIGGVLRGERNLTVWEKLLSSDIWEDKTDVLPALRLSYHHLPSNLKRCFAYCAIFPKDYEFDENELVLQWMAEGFLQQQKEMKQMEELGHEYFQDLLLRSFFQQSTSNKSLYVMHDLINDLALSISREVCFCLNLDDKSKGIKSYSKVRHSAFTRNFQDIFQRFEVFNETNNLRTFSALPKFPKGYVYRLASNVVHDLVPRLKRLRVLSLSGYLFEGLPNSVGDLKHLRYLNLSYTNIERLPKSLSNLWNLQTLKLCECRDLIELHAGIGNLINLMHLDLSGTYHLLEMPREIASLTNLQTLSKFIVGKGDGPGIKELMKFPNLKGQLQIEGLHNVVDIQEAELADLKKKEGLDELALLWTDNLHETRSAEDELWVLSFLQPHQKLGKLLIKFYGGKKFPSWIGDPSFTNMVDVELCSCPNITTLPPLGGLPKLSKLIIEGMGGVKQVGVEFYGDNSSSLQPFPSLETLQIENMLELKQWACSDGLNEAGNFPKLCELRIINCPKLEGKLPSCLPSLKELNIEECQEMLLLSVPDLASLTNLKIRRISRLANLDKVVTQALVALKYLVIDYCDQLMCLWQDSTNLDELACLNHLMINGCRKLVSLVEGEEGLLPCNLEVLSIFGCHELENLPNGLCSLSSLGDLRISYCPKLASFPARGLPYALKRLYIFCCNSLESMPESIMQASDARNQTTHLEDLSVMECPFLASLPCGEFPHSVKSIKFCHWTTKSLESLDDRFSHLIELEIRDSPKLENFPESGLAIPNLRFFKIAKCGNLKSLPNRFQNLKSLTYLGIRNCGSVVSFPEGGLPPNLIRLEISYCENLAQPMFEWRLKRLTSLTNLTISGTSPSTDMVSFPDEEGQLLPSSLTFLSVDALKNLKSISRGLHKLTSLKKLQILYCPKLRSLPKEGLLAKLGTVYIIGCPLLQKRCTKKKGKYWPFIANIPQLEIH >Manes.S049316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1650959:1651333:-1 gene:Manes.S049316.v8.1 transcript:Manes.S049316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.01G220000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38833434:38840315:-1 gene:Manes.01G220000.v8.1 transcript:Manes.01G220000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLKVDELRAELAKRGLDTTGTKPFLVLRLESALEQENKQTTDTIDARGSRKRERDTQDGVSNGAGKIKAIENFREMNIKQLREQAKLRGVSTNGTKKEILERLCDGLSDSDGYSNTLQAKEEEGENKEKIITATKKGVAVLDHCIPEQIKLEYHVLQLGDDVYDAMLNQTNVGNNNNKFFVIQLLQSDDGGRFIVYNRWGRVGVRGQDKLFGPYTCQDTAIYEFEQKFYAKTKNYWSNRKEFKCYPNCYTWLEMDYSEMEKEPVVKVTSDSALAIQPRETKLEPRVAKFISLICNMSMMRQQMMEIGYNANKLPLGKLSRSTILKGYDVLKSIADIIGTANKLQLEQLSGEFYTVIPHDFGFKKMREFVIDTPQKLKCKLEMVEALGEIEVAIKLLEDEPGMQEDPLYYRYNHLHCELTPLEVNSEEFSMIAKYMQNTHAKTHTQYSVDIVQIFKVSKESDAQHYRKFSTVKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPSTGYMFGKGVYFADMFSKSANYCYATSAAAAGVLLLCEVALGDMAELLNANYDADKLPHGKLSTKGVGATAPDLSGAKILDDGVIVPLGKPEEQEGPKGTLLYNEYIVYNVDQIRMRYVVQVNFKFKK >Manes.03G069116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:9710835:9711236:-1 gene:Manes.03G069116.v8.1 transcript:Manes.03G069116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANNNSTLSLRSILEKDKLKENGTNFIDWFRNLRIVLKQEKKSYVLDEAVPEPPPADATNAVKNKHKKHMDDSNDIGCLMLATMCPELQKDLEHLEAYEMSVHLKQAFQQQARQDRYETTIALHDCKMAGCE >Manes.11G153300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32035118:32042708:1 gene:Manes.11G153300.v8.1 transcript:Manes.11G153300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGPHNVSVLDSSFLREPQSEAVPIRSDGSSGRTRASSVLQMWRELEDVHVVSHARERFGGRVLNNRSDGMSSDLSRMDMSEIHGSEHSGISEDVTVSENDYAQWSPGPIGSENGQEDSSDLGEIARERVRQIFQEWMNCAARERTSNISWRSTSSRAQWLGETEQERVRIIREWVQMNNRQRGTCIDNREEHDGELAGQIEQVLDGSVVNPNVGQTEHTRRGIRRLCGRQALLDMLKKAERERQHELQVLLEHRTVSQFAHRNRIQSLLRGRFLRNDRIIEDKRPPSTAASELGLLRQRHTVADLREGFSSRLDHSICGQVSSSTSDTSSNIEINGNESEQIQANNSQQVVDEFYEQTESNTEETDNHRLSDGKTDLGSNIIDYKSSQESTSASEVGSGQVSENEISDRQQTMSTEFVESRDGTGEEVNANWREVTNENVAGEHIPLPSAGEVFSHQLEPNGNESSAPILSNHVDDLEGNAVEDANQHGSAALVEQWQSQVLGSEDGGSGSNEWRDSIHDNIDGHQQEIAANEWLVNDDREEASEMWHHDGGFRETVQSWLQEPSEQESVPVGRMDPFYLSDDNNVYSMELRELLNRRSVSTLLRSGFRESLDQLIQSYVERQSHAPLDWELQETLPTSVSAEQEQHSGDQNEGQQDSVQNSPHALPSSSIPPVQQLWDQEAQHFPWSQHDMHQRFGIDWDIINDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSAGMCENGLPEDRSKWDHVRKGICCVCGDRNIDSLLYRCGHMCTCSTCANELVQKGDKCPMCRAPVIEVIRAYSIL >Manes.11G153300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32035801:32041673:1 gene:Manes.11G153300.v8.1 transcript:Manes.11G153300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGPHNVSVLDSSFLREPQSEAVPIRSDGSSGRTRASSVLQMWRELEDVHVVSHARERFGGRVLNNRSDGMSSDLSRMDMSEIHGSEHSGISEDVTVSENDYAQWSPGPIGSENGQEDSSDLGEIARERVRQIFQEWMNCAARERTSNISWRSTSSRAQWLGETEQERVRIIREWVQMNNRQRGTCIDNREEHDGELAGQIEQVLDGSVVNPNVGQTEHTRRGIRRLCGRQALLDMLKKAERERQHELQVLLEHRTVSQFAHRNRIQSLLRGRFLRNDRIIEDKRPPSTAASELGLLRQRHTVADLREGFSSRLDHSICGQVSSSTSDTSSNIEINGNESEQIQANNSQQVVDEFYEQTESNTEETDNHRLSDGKTDLGSNIIDYKSSQESTSASEVGSGQVSENEISDRQQTMSTEFVESRDGTGEEVNANWREVTNENVAGEHIPLPSAGEVFSHQLEPNGNESSAPILSNHVDDLEGNAVEDANQHGSAALVEQWQSQVLGSEDGGSGSNEWRDSIHDNIDGHQQEIAANEWLVNDDREEASEMWHHDGGFRETVQSWLQEPSEQESVPVGRMDPFYLSDDNNVYSMELRELLNRRSVSTLLRSGFRESLDQLIQSYVERQSHAPLDWELQETLPTSVSAEQEQHSGDQNEGQQDSVQNSPHALPSSSIPPVQQLWDQEAQHFPWSQHDMHQRFGIDWDIINDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSAGMCENGLPEDRSKWDHVRKGICCVCGDRNIDSLLYRCGHMCTCSTCANELVQKGDKCPMCRAPVIEVIRAYSIL >Manes.11G153300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32035801:32041673:1 gene:Manes.11G153300.v8.1 transcript:Manes.11G153300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGPHNVSVLDSSFLREPQSEAVPIRSDGSSGRTRASSVLQMWRELEDVHVVSHARERFGGRVLNNRSDGMSSDLSRMDMSEIHGSEHSGISEDVTVSENDYAQWSPGPIGSENGQEDSSDLGEIARERVRQIFQEWMNCAARERTSNISWRSTSSRAQWLGETEQERVRIIREWVQMNNRQRGTCIDNREEHDGELAGQIEQVLDGSVVNPNVGQTEHTRRGIRRLCGRQALLDMLKKAERERQHELQVLLEHRTVSQFAHRNRIQSLLRGRFLRNDRIIEDKRPPSTAASELGLLRQRHTVADLREGFSSRLDHSICGQVSSSTSDTSSNIEINGNESEQIQANNSQQVVDEFYEQTESNTEETDNHRLSDGKTDLGSNIIDYKSSQESTSASEVGSGQVSENEISDRQQTMSTEFVESRDGTGEEVNANWREVTNENVAGEHIPLPSAGEVFSHQLEPNGNESSAPILSNHVDDLEGNAVEDANQHGSAALVEQWQSQVLGSEDGGSGSNEWRDSIHDNIDGHQQEIAANEWLVNDDREEASEMWHHDGGFRETVQSWLQEPSEQESVPVGRMDPFYLSDDNNVYSMELRELLNRRSVSTLLRSGFRESLDQLIQSYVERQSHAPLDWELQETLPTSVSAEQEQHSGDQNEGQQDSVQNSPHALPSSSIPPVQQLWDQEAQHFPWSQHDMHQRFGIDWDIINDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSAGMCENGLPEDRSKWDHVRKGICCVCGDRNIDSLLYRCGHMCTCSTCANELVQKGDKCPMCRAPVIEVIRAYSIL >Manes.11G153300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32035251:32041691:1 gene:Manes.11G153300.v8.1 transcript:Manes.11G153300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGPHNVSVLDSSFLREPQSEAVPIRSDGSSGRTRASSVLQMWRELEDVHVVSHARERFGGRVLNNRSDGMSSDLSRMDMSEIHGSEHSGISEDVTVSENDYAQWSPGPIGSENGQEDSSDLGEIARERVRQIFQEWMNCAARERTSNISWRSTSSRAQWLGETEQERVRIIREWVQMNNRQRGTCIDNREEHDGELAGQIEQVLDGSVVNPNVGQTEHTRRGIRRLCGRQALLDMLKKAERERQHELQVLLEHRTVSQFAHRNRIQSLLRGRFLRNDRIIEDKRPPSTAASELGLLRQRHTVADLREGFSSRLDHSICGQVSSSTSDTSSNIEINGNESEQIQANNSQQVVDEFYEQTESNTEETDNHRLSDGKTDLGSNIIDYKSSQESTSASEVGSGQVSENEISDRQQTMSTEFVESRDGTGEEVNANWREVTNENVAGEHIPLPSAGEVFSHQLEPNGNESSAPILSNHVDDLEGNAVEDANQHGSAALVEQWQSQVLGSEDGGSGSNEWRDSIHDNIDGHQQEIAANEWLVNDDREEASEMWHHDGGFRETVQSWLQEPSEQESVPVGRMDPFYLSDDNNVYSMELRELLNRRSVSTLLRSGFRESLDQLIQSYVERQSHAPLDWELQETLPTSVSAEQEQHSGDQNEGQQDSVQNSPHALPSSSIPPVQQLWDQEAQHFPWSQHDMHQRFGIDWDIINDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSAVDIFLEDRVT >Manes.11G153300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32035241:32041673:1 gene:Manes.11G153300.v8.1 transcript:Manes.11G153300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGPHNVSVLDSSFLREPQSEAVPIRSDGSSGRTRASSVLQMWRELEDVHVVSHARERFGGRVLNNRSDGMSSDLSRMDMSEIHGSEHSGISEDVTVSENDYAQWSPGPIGSENGQEDSSDLGEIARERVRQIFQEWMNCAARERTSNISWRSTSSRAQWLGETEQERVRIIREWVQMNNRQRGTCIDNREEHDGELAGQIEQVLDGSVVNPNVGQTEHTRRGIRRLCGRQALLDMLKKAERERQHELQVLLEHRTVSQFAHRNRIQSLLRGRFLRNDRIIEDKRPPSTAASELGLLRQRHTVADLREGFSSRLDHSICGQVSSSTSDTSSNIEINGNESEQIQANNSQQVVDEFYEQTESNTEETDNHRLSDGKTDLGSNIIDYKSSQESTSASEVGSGQVSENEISDRQQTMSTEFVESRDGTGEEVNANWREVTNENVAGEHIPLPSAGEVFSHQLEPNGNESSAPILSNHVDDLEGNAVEDANQHGSAALVEQWQSQVLGSEDGGSGSNEWRDSIHDNIDGHQQEIAANEWLVNDDREEASEMWHHDGGFRETVQSWLQEPSEQESVPVGRMDPFYLSDDNNVYSMELRELLNRRSVSTLLRSGFRESLDQLIQSYVERQSHAPLDWELQETLPTSVSAEQEQHSGDQNEGQQDSVQNSPHALPSSSIPPVQQLWDQEAQHFPWSQHDMHQRFGIDWDIINDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSAGMCENGLPEDRSKWDHVRKGICCVCGDRNIDSLLYRCGHMCTCSTCANELVQKGDKCPMCRAPVIEVIRAYSIL >Manes.02G141600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10876903:10881162:-1 gene:Manes.02G141600.v8.1 transcript:Manes.02G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAICIVHNETATGVTNNLAEVRRILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPKALEATKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGFRAALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.02G141600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10876920:10880773:-1 gene:Manes.02G141600.v8.1 transcript:Manes.02G141600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAICIVHNETATGVTNNLAEVRRILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPKALEATKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGFRAALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.02G141600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10876892:10881323:-1 gene:Manes.02G141600.v8.1 transcript:Manes.02G141600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAICIVHNETATGVTNNLAEVRRILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPKALEATKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGFRAALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.02G141600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10876931:10881162:-1 gene:Manes.02G141600.v8.1 transcript:Manes.02G141600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAICIVHNETATGVTNNLAEVRRILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPKALEATKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGFRAALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.02G141600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10876892:10881323:-1 gene:Manes.02G141600.v8.1 transcript:Manes.02G141600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAICIVHNETATGVTNNLAEVRRILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPKALEATKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGFRAALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.02G141600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10876958:10881162:-1 gene:Manes.02G141600.v8.1 transcript:Manes.02G141600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESEWGQGANLDILASKLAADTAHTIKAICIVHNETATGVTNNLAEVRRILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCAGPKALEATKTAKSVRVFFDWNDYLKFYKLGTYWPYTPSIQLLYGFRAALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSSEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIPSRI >Manes.11G090900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17613651:17616632:1 gene:Manes.11G090900.v8.1 transcript:Manes.11G090900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSPLVSILLSILLCGFFTKITIAFSIEEATIDDLLLAFKQKNLTSRQLVQFYLDQISRLDPILLGVIEVNPDSLDLADKADQEREAKVPGSLPSLHGIPILLKDNIATKDKLNTTAGSYALLGSVVPRDAGVVVKLRKAGAIILGKASMSEWAGFRSRYLPSGFCERKGQGKNPYVQSKGPCGSSSGSGISVAANMAAVSLGTETDHSILCPASVNSVVGIKPTVGLTSRAGVIPTSPRQDTVGPICRTVRDAVYMLDAIVGVDYDDNATKDAAQFIPPSSYKQFLNPNGLEGKRLGIVRKPFFEFINDEGSVLALAFERHLNTLRQKGAILVDHLEISNIDEILDVTASGELVAMLAEFKPALNAYLKQLVKSPVRTLADIIAFNKKFSDLQQELLEESESMEGADEKLNVAVENMNKLSINGLEKLVREHNLDALVAPYDMERSSGISTVFAIGGYPGITVPAGYDTTGLPFGICFGGLKGTEPKLIEIAYGFEQATKIRKPPVIQAMSL >Manes.01G148700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33811223:33813821:1 gene:Manes.01G148700.v8.1 transcript:Manes.01G148700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITAAQGEELRKLIGAPAYIECSAKTQQNVKGVFDAAIRVVLQPPKQKKKKNKTQRGCSIL >Manes.14G013110.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3596867:3598700:-1 gene:Manes.14G013110.v8.1 transcript:Manes.14G013110.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKFPILLHLRNKQHLPKIYQREMDKKQLNFIFFSQYIVFVIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFDGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.09G124750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32699788:32699967:-1 gene:Manes.09G124750.v8.1 transcript:Manes.09G124750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICLFARKVSCFEILVGLGLLHNCGYLRKNGSKASEPQACKSVLGHHLVLYLRTRTGT >Manes.15G123932.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9877065:9877490:1 gene:Manes.15G123932.v8.1 transcript:Manes.15G123932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETELQTQKKLQWTNLKNSPDIVDNEEEHRYTSLKDIIVNSPPTSALSCEAYDFNPSNIMISNLLVKHAASVYLQSTAILISRNQNWFVRFWENLKNRIASVSCWRFCHVCDPLRALIWPLHHFVRYTVGDAFNRLLIRM >Manes.01G189000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36525969:36530024:1 gene:Manes.01G189000.v8.1 transcript:Manes.01G189000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVDMRSSIPMATSLEKPDAVLQEEKKVMKSNGDEDGILLGTTKGVDVMVHVKQEEKSRKRPSSMDDQLRSAKAEIGEVREENERLKQLLSKMLKDYQSLEKHFREVVQEEEANKSTKITPIYQENEESELVSLSLGRSSSTEPKKEEKKSSNLTDENEDNEELNNKGLSLGLDCKFELDSSVTMKNPSSENSFDDEEAKEEEATETWPPSKMLKTTISAGDEVLQQTQTKKTRVSVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVSPTCPVRKQVQRCAEDMSILITTYEGTHNHPLPLSATAMASTTSAAASMLQSRSSSSQPGLGTSVSAPASMSTANGLNFTVSQNNAIRPHQIYFPNSSSSASTSHPTITLDLTAPTSTSHFNRFPMRYSATCLNFSSSASSTSLEPNSALQTLWNPGYSTYDTLSHSRNNIIGSLNNIGKQPPQDHLYQPQMQMNRQTAATSQQSLTETIAAATKVIALDPNFRSALAAAITTFVGNGGSAVRENPGGGEHSAGLNSKLGDSLTFNTLYPSSNGGIGCASSYLNKSPPTQQQGSLVSFPPPFPFSASKSASGSPASSRDYNKG >Manes.02G198400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16557999:16562503:1 gene:Manes.02G198400.v8.1 transcript:Manes.02G198400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESNRTAFLEIQSRMIETTAKLKQVQNQMRTKEGEKKRAYLTLEELRQLPDETNTYKSIGRTFILEPKSVLMNEQEQKLKDSETALASLQTSKEYLEKQMAEVENNLRELLHQDPGLARQIMSMSVM >Manes.06G019101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3300160:3301809:-1 gene:Manes.06G019101.v8.1 transcript:Manes.06G019101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKNRLELKCWPCAVHGKVGVEAGPCAGVEVGVHVCKDCEGASSHIIREVSLISVANWVPFFILHVEFSSIFKKILNLECVVRPPDKSKEEILEEATTKTIRPSDLRHERIVSYKYVFPVPP >Manes.02G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3433635:3436298:1 gene:Manes.02G041800.v8.1 transcript:Manes.02G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEKEDLGLSLSLSFPQNYHSLQLNLMPSLVPSSQRPSWNDTLSLPSSDPNSDSCRADTRSFLRGIDVNRLPSTADCEDEAGVSSPNSTVSSVSGKRSEREGNGDDQDMERDCSRGISDEEDGDTSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPPSASSTVNPRPHPHMGPTLHHRPIPINPWAPAAAPFDALRPRS >Manes.05G074500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6122234:6124082:1 gene:Manes.05G074500.v8.1 transcript:Manes.05G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLVKYFLVDAFTDSAFKGNPAAVCLLEEEKDDNWLQAVATEFNISETCYLTPIIIDSDASNSNPRFRLRWFTPVAEVKLCGHATLAASHALFSNGLVNSNIIEFVTLSGILTAKKVPDGEAFLIELNFPAIPITEFNSIDLAPISKALNGASIIDIKGSADDLFVVLPSAKAVTELQPQFDEMLKCPGKDGILVSGAAPSESAFDFYSRYFCPKFGIYEDPVTGSAHCALAPYWSKKLGKCDFMAYQASKRGGILNIHLDEQNQRVLLRGKAVTVMEGSLLV >Manes.06G136200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26388266:26388901:1 gene:Manes.06G136200.v8.1 transcript:Manes.06G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSISLFSNCLQLLTSLLLASLLFASNVHNSSAAATTTTKTSKTTYIKYLKTACNTTTRPKLCYNSLSPYISTIETNDLKLCETALTITLEVAKKTYALVKNLSRQKRLSKLEVGIIQDCKEEIGDSINELKNALQVLDSLKGSNKNVELHIADIKTWVSAAITDESSCADEFDNLKVSTALTNKIRKSISEFDGLTSNALALINKLNQ >Manes.13G101100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29611015:29612740:-1 gene:Manes.13G101100.v8.1 transcript:Manes.13G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKKLHVALFPWLAFGHIIPFFELAKHIAQRGHNISFISTPRNIQRLPKIPSNLAPLVDLVSLHLPIVKHLPRDAEATSDLPSQKVPYLKIAYDGLQGPLLQFLKTSSPDWIICDFAQYWLPPMASNLGISLAFFSILGAWSVSFFGSSSSAMIKGEDPRSQPEDFTLVPEWIPFPSKVAFKLHEAKRIFQAEVKDSDVGVSDMFRVGSVLAGCDVIAVRSCNELEAEFLRLLGELHGKPCLPIGLLPPDDLDATCSEEDDTWLTIREWLDKQNKGSVVYVAFGSEAELSQPELNELALGLELSELPFFWVLRKQDSSVELPDGFKDRVKGRGIVWTSWVPQLRILGHESVGGFLTHCGYGSVVEALYSGLALIMLPINIIDQGLIARVFGKKKVGVEVTRDESNGSFTKESVADSLRLVMVEKEGEEYRDNAKEMRKLFADKGFHDQYLDHFVEFLQNDH >Manes.03G167600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29321055:29323162:-1 gene:Manes.03G167600.v8.1 transcript:Manes.03G167600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSLSNSLKDHSIGSRASSSSNNNSQNNKNKLKYSWNYNNQSCGEDYLSGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRQSPARDGQCPILNLNVNLNPNPSFSPPVTSTFPSSAPPLSALSSPSFASSSETKKWAIDDSPFLDPLSPKSSDLTKIGTGKALFDAEEFDGFTREDGCKLSKKAEIIRFIRHQLIRIPMKPLLVVLHQFVIIISCISV >Manes.03G167600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29321019:29323162:-1 gene:Manes.03G167600.v8.1 transcript:Manes.03G167600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSLSNSLKDHSIGSRASSSSNNNSQNNKNKLKYSWNYNNQSCGEDYLSGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRQSPARDGQCPILNLNVNLNPNPSFSPPVTSTFPSSAPPLSALSSPSFASSSETKKWAIDDSPFLDPLSPKSSDLTKIGTGKALFDAEEFDGFTREDGCKLSKKAEIIRLDLEIGLLSESKEDLDLELRLGYS >Manes.03G167600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29321055:29323162:-1 gene:Manes.03G167600.v8.1 transcript:Manes.03G167600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSLSNSLKDHSIGSRASSSSNNNSQNNKNKLKYSWNYNNQSCGEDYLSGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRQSPARDGQCPILNLNVNLNPNPSFSPPVTSTFPSSAPPLSALSSPSFASSSETKKWAIDDSPFLDPLSPKSSDLTKIGTGKALFDAEEFDGFTREDGCKLSKKAEIIRFIRHQLIRIPMKPLLVVLHQFVIIISCISV >Manes.03G167600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29321055:29323162:-1 gene:Manes.03G167600.v8.1 transcript:Manes.03G167600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSLSNSLKDHSIGSRASSSSNNNSQNNKNKLKYSWNYNNQSCGEDYLSGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRQSPARDGQCPILNLNVNLNPNPSFSPPVTSTFPSSAPPLSALSSPSFASSSETKKWAIDDSPFLDPLSPKSSDLTKIGTGKALFDAEEFDGFTREDGCKLSKKAEIIRLDLEIGLLSESKEDLDLELRLGYS >Manes.12G073500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7992472:7996033:-1 gene:Manes.12G073500.v8.1 transcript:Manes.12G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLYTVIFAEMALILTFLFKTPLRKLVIMTLDRVKRGRGPVMVKTIAGTVFIVLLSSVYSMIKIQNRMLEAGAPNPTDQVLMSRHMLEASLMGFLLFLSLMIDRLHHYIRELRLLRKTMEAAKKQSQSLDDGKNGNSEKTKGLKDEIVNLKTKIKNLESECEGKAKQAKAAETEAEALRKQSEGFLLEYDRLLEDNQNLRNQLESIDQTLLQSDDKKNM >Manes.01G079800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28280144:28287079:-1 gene:Manes.01G079800.v8.1 transcript:Manes.01G079800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYIYIYSKLSPLIRPAIVFCEYTFQQQIPHTLIIHIFPDLLQISFQRTAFILFFSFLFLNFPIFFEMSTGDILSIEPLELKFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNAGIVLPRSTCDVIVTMQAQKELPADMQCKDKFLLQSVRTHDGATVKDINAEMFNKEAGHVVEECKLRVLYVSPPQPPSPVPEGSEEGSSPRGSVSDNGNTNGAEFSSASKAFVERFEPQEKSSETKALISKLTEEKNSAIQQNTKLRQELELLKHEGSKSRAGVSFIFVAFVGLLGIILGYLMKKS >Manes.15G022150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1750167:1758308:-1 gene:Manes.15G022150.v8.1 transcript:Manes.15G022150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADILSREGRLEEAEEMIRSMPMKADIMIWGTLLAACRNSWECRCRRKGCRKFASLEPSHGASRILLSNIYADAGSWEEAYLVRRAMQLHRIQRLPGYNDKSGMNEGNR >Manes.01G034600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6658638:6663923:-1 gene:Manes.01G034600.v8.1 transcript:Manes.01G034600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENTYGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSSTGKPSMCKGKRSIEEVIAKFAQLTPQERAKRKLESLEVLKKTFKKLDHDVNIPEFLGTSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNLDKINNTEYLGQLENSLRESLNRIQAHKEYLGKQQLMSLECNSQFQSGTHVPFRIGTEQHLPPMPWIPNNDSQQIVLPEDPNLISNWDVECSASSSFGSYSGYFGTRKLSGQYPYLPYNLNLMSDAKFQSATEMNLLQSTVDFHVNGSFEAPKHGYDASPGSWASSSGQCAVTMFDEHLYSRQPN >Manes.01G034600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6658638:6663923:-1 gene:Manes.01G034600.v8.1 transcript:Manes.01G034600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENTYGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSSTGKPSMCKGKRSIEEVIAKFAQLTPQERAKRKLESLEVLKKTFKKLDHDVNIPEFLGTSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNLDKINNTEYLGQLENSLRESLNRIQAHKEYLGKQQLMSLECNSQFQSGTHVPFRIGTEQHLPPMPWIPNNDSQQIVLPEDPNLISNWDVECSASSSFGSYSGYFGTRKVSELSNSSQENGVNGIFNELSGSASLRLQLSGQYPYLPYNLNLMSDAKFQSATEMNLLQSTVDFHVNGSFEAPKHGYDASPGSWASSSGQCAVTMFDEHLYSRQPN >Manes.01G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6658638:6663923:-1 gene:Manes.01G034600.v8.1 transcript:Manes.01G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYMMGRVKLKIKKLENTYGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSSTGKPSMCKGKRSIEEVIAKFAQLTPQERAKRKLESLEVLKKTFKKLDHDVNIPEFLGTSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNLDKINNTEYLGQLENSLRESLNRIQAHKEYLGKQQLMSLECNSQFQSGTHVPFRIGTEQHLPPMPWIPNNDSQQIVLPEDPNLISNWDVECSASSSFGSYSGYFGTRKVSELSNSSQENGVNGIFNELSGSASLRLQLSGQYPYLPYNLNLMSDAKFQSATEMNLLQSTVDFHVNGSFEAPKHGYDASPGSWASSSGQCAVTMFDEHLYSRQPN >Manes.01G034600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6658638:6663923:-1 gene:Manes.01G034600.v8.1 transcript:Manes.01G034600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYMMGRVKLKIKKLENTYGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSSTGKPSMCKGKRSIEEVIAKFAQLTPQERAKRKLESLEVLKKTFKKLDHDVNIPEFLGTSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNLDKINNTEYLGQLENSLRESLNRIQAHKEYLGKQQLMSLECNSQFQSGTHVPFRIGTEQHLPPMPWIPNNDSQQIVLPEDPNLISNWDVECSASSSFGSYSGYFGTRKLSGQYPYLPYNLNLMSDAKFQSATEMNLLQSTVDFHVNGSFEAPKHGYDASPGSWASSSGQCAVTMFDEHLYSRQPN >Manes.01G254800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41210973:41218774:1 gene:Manes.01G254800.v8.1 transcript:Manes.01G254800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFRDGSPPLPDVLNSSIVELGPLSNASFEGLKGQNVQCYPMQKNILVELTNEQISPGVWYLGLFNGIGPTRSQSKMIVRSPDYSFSANVSVEGCTTSTMWGQYCNQTINSLSCFLSDSYNSTENMVSCKNFQTFCHGEGEVKVYLLEILGVAEQLTIMALNASLSTTVSNNTLKASGTNLTYYVRHGAMPSMALHDYSGDIHKAPLVIHSPKVGRWFIAVVPDLSKEVSGSQNSSIQVCYSITWQVDQCPLGKAGLNCTSERYVLQTVLRRDSSPFESYYLPVSGKVSPDSANFPLEPLSSNATNGGESDNSWTYFLLSIPRGAAGGNIHVRVTSDTKINYEIYARVGGSPSLDNWDYYYANRTRSSDGSAFFLLYNSSEEKIDFYILYVQEGTWTFGLRHLNTTSSTSDDQTIMSISVERCPRRCSSHGECKVALDASGLTSYSFCSCDRTHGGFDCSIEIVSHRGHIQQSIALIASNAAAVLPAYWALRQKAFAEWVLFTSSGISSGLYHACDVGTWCALSFSVLQFMDFWLSFMAVVSTFVYLTTIGEAYKRTIQIVVAILTALMAITKATRSSNIIIVMSIGALGLLIGWLIEFSTHFRSFSFSSEFCLNVPARWQTIRGWFNNLLKTLWRRFRWGFVLAGFTALAMAAISWKLESSESYWIWHSLWHVTIYSSSFFFLCSKVDTVNSENQRSPDGNYALTRQDSFARGE >Manes.01G254800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41210973:41218774:1 gene:Manes.01G254800.v8.1 transcript:Manes.01G254800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSILCSSCYPILSLFFLFSCLFSRSLSINDQGLSNTFTISSFRYPKSEVKPYDLRYIRVDLPPWFSSLSIEVESDVELDAKSISKVPKSTLPMICFRDGSPPLPDVLNSSIVELGPLSNASFEGLKGQNVQCYPMQKNILVELTNEQISPGVWYLGLFNGIGPTRSQSKMIVRSPDYSFSANVSVEGCTTSTMWGQYCNQTINSLSCFLSDSYNSTENMVSCKNFQTFCHGEGEVKVYLLEILGVAEQLTIMALNASLSTTVSNNTLKASGTNLTYYVRHGAMPSMALHDYSGDIHKAPLVIHSPKVGRWFIAVVPDLSKEVSGSQNSSIQVCYSITWQVDQCPLGKAGLNCTSERYVLQTVLRRDSSPFESYYLPVSGKVSPDSANFPLEPLSSNATNGGESDNSWTYFLLSIPRGAAGGNIHVRVTSDTKINYEIYARVGGSPSLDNWDYYYANRTRSSDGSAFFLLYNSSEEKIDFYILYVQEGTWTFGLRHLNTTSSTSDDQTIMSISVERCPRRCSSHGECKVALDASGLTSYSFCSCDRTHGGFDCSIEIVSHRGHIQQSIALIASNAAAVLPAYWALRQKAFAEWVLFTSSGISSGLYHACDVGTWCALSFSVLQFMDFWLSFMAVVSTFVYLTTIGEAYKRTIQIVVAILTALMAITKATRSSNIIIVMSIGALGLLIGWLIEFSTHFRSFSFSSEFCLNVPARWQTIRGWFNNLLKTLWRRFRWGFVLAGFTALAMAAISWKLESSESYWIWHSLWHVTIYSSSFFFLCSKVDTVNSENQRSPDGNYALTRQDSFARGE >Manes.01G254800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41210973:41218775:1 gene:Manes.01G254800.v8.1 transcript:Manes.01G254800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVIFEDAKSISKVPKSTLPMICFRDGSPPLPDVLNSSIVELGPLSNASFEGLKGQNVQCYPMQKNILVELTNEQISPGVWYLGLFNGIGPTRSQSKMIVRSPDYSFSANVSVEGCTTSTMWGQYCNQTINSLSCFLSDSYNSTENMVSCKNFQTFCHGEGEVKVYLLEILGVAEQLTIMALNASLSTTVSNNTLKASGTNLTYYVRHGAMPSMALHDYSGDIHKAPLVIHSPKVGRWFIAVVPDLSKEVSGSQNSSIQVCYSITWQVDQCPLGKAGLNCTSERYVLQTVLRRDSSPFESYYLPVSGKVSPDSANFPLEPLSSNATNGGESDNSWTYFLLSIPRGAAGGNIHVRVTSDTKINYEIYARVGGSPSLDNWDYYYANRTRSSDGSAFFLLYNSSEEKIDFYILYVQEGTWTFGLRHLNTTSSTSDDQTIMSISVERCPRRCSSHGECKVALDASGLTSYSFCSCDRTHGGFDCSIEIVSHRGHIQQSIALIASNAAAVLPAYWALRQKAFAEWVLFTSSGISSGLYHACDVGTWCALSFSVLQFMDFWLSFMAVVSTFVYLTTIGEAYKRTIQIVVAILTALMAITKATRSSNIIIVMSIGALGLLIGWLIEFSTHFRSFSFSSEFCLNVPARWQTIRGWFNNLLKTLWRRFRWGFVLAGFTALAMAAISWKLESSESYWIWHSLWHVTIYSSSFFFLCSKVDTVNSENQRSPDGNYALTRQDSFARGE >Manes.07G074115.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20278757:20279700:-1 gene:Manes.07G074115.v8.1 transcript:Manes.07G074115.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFTHLLTTFLMLLFSMQLLLAQSRTLKSEGHHVGNTLKFLQSLKEVQKGQNIIGLTEVKKHLMKFGYYPSGDANNLTDDFDESLESALKTYQNFYQLEVTGNLDSATIKKMMIPRCGVPDITNHTSSSKPTSTSHKSKIGIPRWPSSKYELTYTFRSGVQNPNEQDMRSACSQAFQRWESVSQFKFQEAPAGSHADIVIGFYRGDHGDEDPFDGPGNILAHSFPPQDGRFHYDADEDWSSNPNMNQMDLESVAVHEIGHLLGLAHSQDSNAIMYATIPPGTIKRELTQDDIDGIHALYDN >Manes.15G182400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25608447:25610471:-1 gene:Manes.15G182400.v8.1 transcript:Manes.15G182400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEEFEEYAEKAKTLPENTTNENKLILYGLYKQATVGPVNTSRPGIFNMRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLQEEAAAAST >Manes.15G182400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25608642:25610471:-1 gene:Manes.15G182400.v8.1 transcript:Manes.15G182400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEEFEEYAEKAKTLPENTTNENKLILYGLYKQATVGPVNTSRPGIFNMRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLQEEAAAAST >Manes.08G174101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40818853:40818999:1 gene:Manes.08G174101.v8.1 transcript:Manes.08G174101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKDYEFARGVLVEMAWIMLCVDYSRLFWLLFGVFSYENHEGKPRII >Manes.05G044854.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3719908:3721181:1 gene:Manes.05G044854.v8.1 transcript:Manes.05G044854.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLTSKTKAWEKEQGVPFLYDGERLLSRLEQYNNLRKAKEQEKIRQRDQKKLQVQLIAEQEALFGAKFSPSKSGKKAFRTSVGFASNRKLSLGGAMLQNLKADKSCPHMHINKKGDGLNQNVYLGSQQNGGFATQTYGRRNSEIAGHLVKKQSSAKAPSTELRLIRKPLSPIPLKMTSQAII >Manes.08G120200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35988158:35989696:-1 gene:Manes.08G120200.v8.1 transcript:Manes.08G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIGNQCLSRLKISANLTIPSSSFFSHNQFPNFKSPHINYKPTFTSFAIQNQETQNPLQENATANAAQDDESYGEVSKIIGSRALEGGTGMEYLIEWKDGHAPSWVPSNYIAKDVVAEYETPWWNAAKKADEPALSRILNDDDGRDVDAVDSYGRTALLFVSGLGSEACVKLLAEAGAALNHQDNSGGLTALHMAAGYVQPGVVKLLIDLGADPEVQDDRGLTPLDLAKEILKVTPKGNPMQFARRLGLENVIKILNEEIFEYAEVQEILEKRGKGDKTEYLVKWKDGSDNEWVKAQFIGEDLVRDFEAGLEYAVAEGVIGKRIGDDGKNEFLVKWTDIDEATWEPEENVDPDLIKEYAEAQLTKNENGSS >Manes.01G116900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31383911:31385756:-1 gene:Manes.01G116900.v8.1 transcript:Manes.01G116900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLRIHVHKGVNLAIRDVISSDPYVVVKMGKQKLKTRVVQKNVNPEWNEDLTLSIDNPNLPVKIGVYDKDTFSRDDKMGDAEFDISPFLEAVKMRLESLPSGTIITRIPPSRQNCLAEESHIIWVNGKVVQNIALRLRNVESGEVELQLQWIDIPGSRGL >Manes.02G179150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14184049:14185044:-1 gene:Manes.02G179150.v8.1 transcript:Manes.02G179150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAPLHKTKSKPPFSKEATFIWSNLAFVSDDDDDERNGKCRPADNTRRDYFNMAVTEEEWRIREELALEIEKELEREIMEGLLVLVRRLSNLKAKQFVMRADVCASYLCGFCNGSRPSSPASQVSSVAGDYVHQQLSDLG >Manes.08G015250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:163303:163541:1 gene:Manes.08G015250.v8.1 transcript:Manes.08G015250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHTHLGLKEPKQEKLYLPKFARLSFELRKAWFNLLIQEVPSSKICPRACWFN >Manes.14G082700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6887084:6888337:1 gene:Manes.14G082700.v8.1 transcript:Manes.14G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSCEFAGKYSNDVTLKELRDRLAEFAEARGWDQYHSPRNLLLALVGEVGELSEIFQWKGEVAKGLPNWSCDDKEHLEEQLSDVLIYLIRLADVCDLDLGQAALTKIVKNARKYPVPAHQTT >Manes.18G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1903566:1918494:-1 gene:Manes.18G019200.v8.1 transcript:Manes.18G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSSSFLSLQFGIRKLFFIHLLPERCCHRNDNYDSFRPYTVKCRVTRPKEASPANSSSFIREPHKYFDQVIITVRSGDGGHGAILSLPKQRSANSKGNDKDKTRKKSSFKRDFDGSLILPTGGHGGDVVIYADEGKDSLLEFHAKGRFNAKRGGNVDAMCVLTSQLHDGFAAPTLRIPVPIGTVVKHKRGKLLADLAQPGDEVLVARGGHGGISLLEAPDHRKKRLMALTTNVLRDDNDKVLVLGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLAAITHAKPDIADYPFTTLMPNLGRLDGDPTLGAAMYSSEATMADLPGLIEGAHLGKGLGRNFLRHLRRTRVLVHVVDAAAEDPVNDYRTVKEELRMYNPEYLERPYIVVLNKIDLPEARDKLPSLTEEISRIGSDKVNNGDNTGKEREDHPAPKTEKEIEDYPAPLAVVGVSVLKGIKVNGMLKEIRAALKKCSDSNKALEPSEGR >Manes.02G055800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4409610:4411019:1 gene:Manes.02G055800.v8.1 transcript:Manes.02G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNNWVKILEVGQVSPAKKSGESPSGSLPLTFYDAFFFGFPPAERIYFYKLTASPSTFFYSQIVPGLKHALSQTLVHFLPLAGKLTWPPHSSKPVIVYAPSDTVLLTIAESSADFTGLVSNEIREAAELRQYIPELLVSDAMASIIALQITLFPNKGFSIGIAMNHTAVDGKSASMFLKAWAHICKQSDNGKIIPLLPELIPSFDRASIEDAYGLEQFYLKGWRDTPVSDSESNQRSVKYVPSTLGVAPNLVRATFQLSRESIHKLRDNILNYHQHHVGLKCTQQLHLSTVVVASSYLSVCLVKARGGDSKRRVYFVIAVDCRSRIDLSVPANYFGNCLYVLDRAVEAGTFMEANGVAVVAEKLSDSIKGLGKGVFEGAKERHAKLRDEVEEVQKIGIIGSPKFKYYEEDFGWGRPEKVEIVSIDRVNGVSLMDDKDGRGGIDIGLVLPAHEMKAFASLFFQGLSVL >Manes.14G030600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2744851:2747359:-1 gene:Manes.14G030600.v8.1 transcript:Manes.14G030600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMKKGKVSAPMAEEGTKKRKMGERRETDSGKNASSKKWPLIKPKKNLQINRLKDTDLFTVQSFLTSAESKSFIKAAESVGFLHQGSLGPTKGEAYRDNDRISVNDPVLANIVWESGLNKLFSDIKIRGKVAVGLNPNIRFYRYKAGQRFGRHIDESVDLGDGKRTHYTLLIYLSGDSKARTKHDPNDSKDSSLEPLVGGETVFYGSRNGVVAEVAPTEGMALLHIHGDKCMLHEARNVTKGVKYVFRSDVVFA >Manes.14G030600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2744430:2747365:-1 gene:Manes.14G030600.v8.1 transcript:Manes.14G030600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMKKGKVSAPMAEEGTKKRKMGERRETDSGKNASSKKWPLIKPKKNLQINRLKDTDLFTVQSFLTSAESKSFIKAAESVGFLHQGSLGPTKGEAYRDNDRISVNDPVLANIVWESGLNKLFSDIKIRGKVAVGLNPNIRFYRYKAGQRFGRHIDESVDLGDGKRTHYTLLIYLSGDSKARTKHDPNDSKDSSLEPLVGGETVFYGSRNGVVAEVAPTEGMALLHIHGDKCMLHEARNVTKGVKYVFRSDVVFA >Manes.14G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2744565:2747336:-1 gene:Manes.14G030600.v8.1 transcript:Manes.14G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMKKGKVSAPMAEEGTKKRKMGERRETDSGKNASSKKWPLIKPKKNLQINRLKDTDLFTVQSFLTSAESKSFIKAAESVGFLHQGSLGPTKGEAYRDNDRISVNDPVLANIVWESGLNKLFSDIKIRGKVAVGLNPNIRFYRYKAGQRFGRHIDESVDLGDGKRTHYTLLIYLSGDSKARTKHDPNDSKDSSLEPLVGGETVFYGSRNGVVAEVAPTEGMALLHIHGDKCMLHEARNVTKGVKYVFRSDVVFA >Manes.11G016000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1661904:1666384:1 gene:Manes.11G016000.v8.1 transcript:Manes.11G016000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFEQQKPQHHRDLEEEEEEDYSEGGGSDAEYDSDMDPSYSVLEESTRCKLAKLSIKKKSNFRNGKNLDMDVDVEVGPEEAEMNVGEIDEKSFEQVQEIIGVGKLEKLKVEQCKVYLRKNGLRLTGKKDILIQRIREHQEILNEGGEKKYPASSFVLDCKGDACMGDVVMFEQNVYELYNIASRSASGPPCGTRIVAGRIVKESYGAAKQQHTFTIEVLWSKGEKPLPPLHPLLIKGRNLYKLKTLRQRWENEEERRKILIEKHSRGSLARHARETRIQEKERRKVLRENRTLTKEDRNRRQSQISSTLKANQEIRGRQSGSSINLQKAAPEPQVSGLSVDSRKMTIQSHGTMESRPLQPVVQPQKSRSSIDMLKMVGRPHWLGIQHQRSDTLADSFKQSNQPPQWSGFSVETEKQPFQPKLQTYRRENGNSHGVPHNLVDHIGTREVAQHNIEKVGSWFSNFYMNDDHHIFSKQPNKIGINRLSETHHQRQPLTSTHHHRAMYLVNKHGYQQEQLCKFYAQGRCYYGENCKFLHDPR >Manes.11G095480.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:19146560:19146895:1 gene:Manes.11G095480.v8.1 transcript:Manes.11G095480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSYFLLSIIFFHFLFNFLSFLIFLLSLNFCFIFFIFSHIFFFHFLIFSFIFSSTFYHFSRKLSFLSYIYSNFFLSFFSISSFLFIFLHFFFIFLSFSFLFFSFFFLFSHFI >Manes.03G005700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:494018:496845:-1 gene:Manes.03G005700.v8.1 transcript:Manes.03G005700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTNNPQQPNDARHDDDAALTEFLSSLMDYTPTIPDELVEHYLAKSGFQCPDVRLVRLVAVATQKFVAEVASDALQQCRARQSTVVKDKREKQQKDKRPILTMEDLSKALRDYGVNVKHQEYFADSPSTGMDPASRDE >Manes.02G133600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10197952:10203450:1 gene:Manes.02G133600.v8.1 transcript:Manes.02G133600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGKYEVGKTIGEGTFAKVKFARNSETGEPVALKILDREKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSRTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDACGNLKISDFGLSALSQQVRDDGLFHTACGTPNYVAPEVLNDRGYDGATADIWSCGVILFVLLAGYLPFDDDNLINLYKKISAAEFTCPPWLSFGAMKLITRILDPNPVTRITISEILEDEWFKKDYKPPVFEEKDDTNLDDVEAVFKDSKDHLVTEKKEEHPAAMNAFELISMSKGLNLGNLFDSEQGFKRETRFTSKCAANEIIHKIEEAAKPLGFDVQKKNYKMKLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWKTEEDMQEIK >Manes.02G133600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10197952:10201599:1 gene:Manes.02G133600.v8.1 transcript:Manes.02G133600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGKYEVGKTIGEGTFAKVKFARNSETGEPVALKILDREKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSRTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDACGNLKISDFGLSALSQQVRDDGLFHTACGTPNYVAPEVLNDRGYDGATADIWSCGVILFVLLAGYLPFDDDNLINLYKKISAAEFTCPPWLSFGAMKLITRILDPNPVTRITISEILEDEWFKKDYKPPVFEEKDDTNLDDVEAVFKDSKDHLVTEKKEEHPAAMNAFELISMSKGLNLGNLFDSEQVCMLEGLLYVVMLNYIFKIDGALCGCACA >Manes.02G133600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10197688:10203450:1 gene:Manes.02G133600.v8.1 transcript:Manes.02G133600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGKYEVGKTIGEGTFAKVKFARNSETGEPVALKILDREKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSRTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDACGNLKISDFGLSALSQQVRDDGLFHTACGTPNYVAPEVLNDRGYDGATADIWSCGVILFVLLAGYLPFDDDNLINLYKKISAAEFTCPPWLSFGAMKLITRILDPNPVTRITISEILEDEWFKKDYKPPVFEEKDDTNLDDVEAVFKDSKDHLVTEKKEEHPAAMNAFELISMSKGLNLGNLFDSEQGFKRETRFTSKCAANEIIHKIEEAAKPLGFDVQKKNYKMKLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWKTEEDMQEIK >Manes.02G133600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10197814:10203506:1 gene:Manes.02G133600.v8.1 transcript:Manes.02G133600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGKYEVGKTIGEGTFAKVKFARNSETGEPVALKILDREKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSRTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDACGNLKISDFGLSALSQQVRDDGLFHTACGTPNYVAPEVLNDRGYDGATADIWSCGVILFVLLAGYLPFDDDNLINLYKKISAAEFTCPPWLSFGAMKLITRILDPNPVTRITISEILEDEWFKKDYKPPVFEEKDDTNLDDVEAVFKDSKDHLVTEKKEEHPAAMNAFELISMSKGLNLGNLFDSEQGFKRETRFTSKCAANEIIHKIEEAAKPLGFDVQKKNYKMKLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWKTEEDMQEIK >Manes.02G133600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10198462:10203484:1 gene:Manes.02G133600.v8.1 transcript:Manes.02G133600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKIKRRVGKYEVGKTIGEGTFAKVKFARNSETGEPVALKILDREKVLKHKMAEQIKREIATMKLIKHPNVVRLFEVMGSRTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDACGNLKISDFGLSALSQQVRDDGLFHTACGTPNYVAPEVLNDRGYDGATADIWSCGVILFVLLAGYLPFDDDNLINLYKKISAAEFTCPPWLSFGAMKLITRILDPNPVTRITISEILEDEWFKKDYKPPVFEEKDDTNLDDVEAVFKDSKDHLVTEKKEEHPAAMNAFELISMSKGLNLGNLFDSEQGFKRETRFTSKCAANEIIHKIEEAAKPLGFDVQKKNYKMKLENMKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLDDVVWKTEEDMQEIK >Manes.15G154200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12783061:12785065:-1 gene:Manes.15G154200.v8.1 transcript:Manes.15G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSVSVLFLFFFTLLTPHLVISSPVPDHELVVQEVQRSINVSRRNLAFLSCGTGNPIDDCWRCDPNWERNRRRLADCAIGFGKDAIGGKDGRIYVVTDSSDDDAVNPKPGTLRHAVIQDEPLWIIFKRDMVIKLKQELLVSSFKTIDGRGASVHISGGPCISIQYVSNIIIHGLHIHDCKEGGNAQIRVSPSHYGWRGKSDGDGITILASKHIWVDHCSLSNCYDGLIDATHGSTALTFSNNHFTHHDKVMLLGHSDSCTQDKDMRVTVAFNHFGEGLVQRMPRCRHGFFHVVNNDYTQWEMYAIGGSASPTIFSQGNRFLASDDNSKKEVTKHENAPESEWSKWNWMSEGDLMENGAFFRQTGAGASARYARASSLTARPSSLVNSITRTAGVLSCRKGSRC >Manes.18G072500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6486040:6487930:-1 gene:Manes.18G072500.v8.1 transcript:Manes.18G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVEIAKALARPASYTTKYFGNELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIVITKTQMITLKCAACGFISDVDMRDKLTTFILKSPPEPKKGSKDKKAMRRAEKERLKEGEAADEEMKKHKKETKKKGSSGSSKDLSKGTSSKKKSNGSDEDHSPARSQADENDHGIANDDNDDEDDVEWQTDTSLEAAKQRIQEQLSAVTADMVMLSTVEEKAKSGKKSPEREAKSHQNGIKSNDPSEGLVNEIKGYLQMGSPASQLKSFLSSLSGTPQEVINALFAALFGGVEKGFSKEVTKKKNYLAAAVQEEGSQMLLLHAFESFCDNASPEVLKEVPLAVKVLYDKDVLEEEFILEWYQKGLSGGNKSSPVWKNMKPLVEWLQNAESESEEE >Manes.15G162200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13487032:13487238:-1 gene:Manes.15G162200.v8.1 transcript:Manes.15G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWAAPIIAAALFAFLSPGLVFQIPGKGRPLDFMNMKTSAASIFVHMIIYGLLLILFIVILHIHLYV >Manes.03G101000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22502006:22518107:-1 gene:Manes.03G101000.v8.1 transcript:Manes.03G101000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVGEKYFIQGGINQNLRSDGRKRDTYRPIFVETGVIPQANGSARVRIGTTEVIASVKAELGRPSALHPDKGKVAIFVDCSPVAEPTFEGRGGEDLSTELSVALQHCLLGGKSGAGAGIDPSSLVVAEGKVCWDLYIDGLIVSSDGNLLDALGAAIKAALSNTGIPRVHVTAAASGDEQPEVDISDEEFLQFDTSEVPVIVTLTKVGRHYIVDATSEEESQMSSAISFSINRKGHICGLTKRGGSGIDPSVISDMISVAQHISEQLITKLESEISAAEAGEDEL >Manes.03G101000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22502006:22518107:-1 gene:Manes.03G101000.v8.1 transcript:Manes.03G101000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVGEKYFIQGGINQNLRSDGRKRDTYRPIFVETGVIPQANGSARVRIGTTEVIASVKAELGRPSALHPDKGKVAIFVDCSPVAEPTFEGRGGEDLSTELSVALQHCLLGGKSGAGAGIDPSSLVVAEGKVCWDLYIDGLIVSSDGNLLDALGAAIKAALSNTGIPRVHVTAAASGDEQPEVDISDEEFLQFDTSEVPVIVTLTKVGRHYIVDATSEEESQMSSAISFSINRKGHICGLTKRGGSGIDPSVISDMISVAQHISEQLITKLESEISAAEAGEDEL >Manes.03G101000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22502006:22518107:-1 gene:Manes.03G101000.v8.1 transcript:Manes.03G101000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVGEKYFIQGGINQNLRSDGRKRDTYRPIFVETGVIPQANGSARVRIGTTEVIASVKAELGRPSALHPDKGKVAIFVDCSPVAEPTFEGRGGEDLSTELSVALQHCLLGGKSGAGAGIDPSSLVVAEGKVCWDLYIDGLIVSSDGNLLDALGAAIKAALSNTGIPRVHVTAAASGDEQPEVDISDEEFLQFDTSEVPVIVTLTKVGRHYIVDATSEEESQMSSAISFSINRKGHICGLTKRGGSGIDPSVISDMISVAQHISEQLITKLESEISAAEAGEDEL >Manes.03G211100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32637101:32640073:-1 gene:Manes.03G211100.v8.1 transcript:Manes.03G211100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSLSFSSSLLPSPLHHSNNSNTNTRTHQPKLRLVRCAYSACSATSVSSPKKKHWKQGEFPGTTESFVPRRTPLKNIKKKLDRKNNARAWVNTVTEALSDRILKKHWSQALEVFEMLRGQPFYYPKEGTYMKLLVLLGKSGQANRAHQLFDEMIEEGLEPTAELYTALLAAYCRNNLIDGAFSVLNQMKSLPRCQPDVFTYSTLLKACVDASRFELVDTLYQEMDERLITPNTVTQNIVLSGYGKAGMYDQMEKVLSGMLESTGCKPDVWTMNIILNVFGTKGQIDSMERWYEKFLNFGIEPETRTFNILISAYGKKRMYDKMSLVMEYMRKLQFPWTTATYNNVIEVFAEVGDVKHMEYTFDQMRAEGMKADTNTFCLLINGYANAGLFHKAEDLMEMERVFKRMKDNQCEPDSRTYSIMVEAYKKEGMNDKIYYLEQEKQRMIPSGSLSE >Manes.03G211100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32637101:32640073:-1 gene:Manes.03G211100.v8.1 transcript:Manes.03G211100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSLSFSSSLLPSPLHHSNNSNTNTRTHQPKLRLVRCAYSACSATSVSSPKKKHWKQGEFPGTTESFVPRRTPLKNIKKKLDRKNNARAWVNTVTEALSDRILKKHWSQALEVFEMLRGQPFYYPKEGTYMKLLVLLGKSGQANRAHQLFDEMIEEGLEPTAELYTALLAAYCRNNLIDGAFSVLNQMKSLPRCQPDVFTYSTLLKACVDASRFELVDTLYQEMDERLITPNTVTQNIVLSGYGKAGMYDQMEKVLSGMLESTGCKPDVWTMNIILNVFGTKGQIDSMERWYEKFLNFGIEPETRTFNILISAYGKKRMYDKMSLVMEYMRKLQFPWTTATYNNVIEVFAEVGDVKHMEYTFDQMRAEGMKADTNTFCLLINGQRI >Manes.03G211100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32637101:32640073:-1 gene:Manes.03G211100.v8.1 transcript:Manes.03G211100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSLSFSSSLLPSPLHHSNNSNTNTRTHQPKLRLVRCAYSACSATSVSSPKKKHWKQGEFPGTTESFVPRRTPLKNIKKKLDRKNNARAWVNTVTEALSDRILKKHWSQALEVFEMLRGQPFYYPKEGTYMKLLVLLGKSGQANRAHQLFDEMIEEGLEPTAELYTALLAAYCRNNLIDGAFSVLNQMKSLPRCQPDVFTYSTLLKACVDASRFELVDTLYQEMDERLITPNTVTQNIVLSGYGKAGMYDQMEKVLSGMLESTGCKPDVWTMNIILNVFGTKGQIDSMERWYEKFLNFGIEPETRTFNILISAYGKKRMYDKMSLVMEYMRKLQFPWTTATYNNVIEVFAEVGDVKHMEYTFDQMRAEGMKADTNTFCLLINGYANAGLFHKRI >Manes.03G210000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32514909:32516570:1 gene:Manes.03G210000.v8.1 transcript:Manes.03G210000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQSVLPPELANNAIRLYRECLRRAKYIGHRQHNTELLIAMVRQQFKKNMHETDPEKIQKLKDDAARGLINHILYESEKMSGRKFSKST >Manes.03G210000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32514909:32516570:1 gene:Manes.03G210000.v8.1 transcript:Manes.03G210000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQSVLPPELANNAIRQHNTELLIAMVRQQFKKNMHETDPEKIQKLKDDAARGLINHILYESEKMSGRKFSKST >Manes.03G210000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32514909:32516570:1 gene:Manes.03G210000.v8.1 transcript:Manes.03G210000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQSVLPPELANNAIRLYRECLRRAKYIGHRQFKKNMHETDPEKIQKLKDDAARGLINHILYESEKMSGRKFSKST >Manes.06G010100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1552182:1554616:1 gene:Manes.06G010100.v8.1 transcript:Manes.06G010100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLTPISPYLSPTSKPTSSLLNSLSFSLKMASNFSLILCIFFLFSISATHAASHRGAAAPAPSVDCSSLVLNMADCLSYVSNGSTTAKPEGTCCSGLKTVLKSDPECLCEAFKSSSQLGVVLNVTKALTLPDACKLHAPPVSNCGLSLAPAGAPGVSPPSIAAAPTTDSGLNVQAPAPSPGSSGSSSSHGLSISMGSLFIGFVLAAFSSF >Manes.14G074850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6259732:6260303:1 gene:Manes.14G074850.v8.1 transcript:Manes.14G074850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAELEELHLYFPELGISTIENWGRVISFIVLSRCSNSQIFQALFMVNAPSPSKNKELSISWSSIHNLRKKMYFVPQNPSGLYLGRHFL >Manes.06G077200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21347367:21350661:-1 gene:Manes.06G077200.v8.1 transcript:Manes.06G077200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETQDLISDLPQSIIESILTRLPIRDAVRTSVLSTKWRYRWASVTHLVFDDKCVPMYYDKGLLEINLIKFITRALFLHQGPIHKFQLSTSYLQSCPDIDQWILFLSRTDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPLGFKGFLCLKSLNLYQVLVAPEAIENLISGCPLLESLSLSYFDSLVLNIRAPNLKYLCLEGEFKDICLENTPLLVAMSVAMYITDDIAEHFEQSSSCNFIKFLGGVPRLERLIGHIYFTKYLSIGDYPRRLPITYSYLKIIELYQVSFEDMKEILVVLRLITNSPNLKELQISGSSNTLAAMEAPDLDFWIEECPKDCTFEKLQIVKMTDMSGVPHEMEFIKFLLANSPVLEMMSITPCVYVMDGRLSMLIELLRFRRASAQAEILFIRD >Manes.06G077200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21348544:21350661:-1 gene:Manes.06G077200.v8.1 transcript:Manes.06G077200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETQDLISDLPQSIIESILTRLPIRDAVRTSVLSTKWRYRWASVTHLVFDDKCVPMYYDKGLLEINLIKFITRALFLHQGPIHKFQLSTSYLQSCPDIDQWILFLSRTDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPLGFKGFLCLKSLNLYQVLVAPEAIENLISGCPLLESLSLSYFDSLVLNIRAPNLKYLCLEGEFKDICLENTPLLVAMSVAMYITDDIAEHFEQSSSCNFIKFLGGVPRLERLIGHIYFTKYLSIGDYPRRLPITYSYLKIIELYQVSFEDMKEILVVLRLITNSPNLKELQISGSSNTLAAMEAPDLDFWIEECPKDCTFEKLQIVKMTDMSGVPHEMEFIKFLLANSPVLEMMSITPCVYVMDGRLSMLIELLRFRRASAQAEILFIRD >Manes.06G077200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21347381:21350661:-1 gene:Manes.06G077200.v8.1 transcript:Manes.06G077200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETQDLISDLPQSIIESILTRLPIRDAVRTSVLSTKWRYRWASVTHLVFDDKCVPMYYDKGLLEINLIKFITRALFLHQGPIHKFQLSTSYLQSCPDIDQWILFLSRTDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPLGFKGFLCLKSLNLYQVLVAPEAIENLISGCPLLESLSLSYFDSLVLNIRAPNLKYLCLEGEFKDICLENTPLLVAMSVAMYITDDIAEHFEQSSSCNFIKFLGGVPRLERLIGHIYFTKYLSIGDYPRRLPITYSYLKIIELYQVSFEDMKEILVVLRLITNSPNLKELQISGSSNTLAAMEAPDLDFWIEECPKDCTFEKLQIVKMTDMSGVPHEMEFIKFLLANSPVLEMMSITPCVYVMDGRLSMLIELLRFRRASAQAEILFIRD >Manes.06G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21347368:21350661:-1 gene:Manes.06G077200.v8.1 transcript:Manes.06G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETQDLISDLPQSIIESILTRLPIRDAVRTSVLSTKWRYRWASVTHLVFDDKCVPMYYDKGLLEINLIKFITRALFLHQGPIHKFQLSTSYLQSCPDIDQWILFLSRTDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPLGFKGFLCLKSLNLYQVLVAPEAIENLISGCPLLESLSLSYFDSLVLNIRAPNLKYLCLEGEFKDICLENTPLLVAMSVAMYITDDIAEHFEQSSSCNFIKFLGGVPRLERLIGHIYFTKYLSIGDYPRRLPITYSYLKIIELYQVSFEDMKEILVVLRLITNSPNLKELQISGSSNTLAAMEAPDLDFWIEECPKDCTFEKLQIVKMTDMSGVPHEMEFIKFLLANSPVLEMMSITPCVYVMDGRLSMLIELLRFRRASAQAEILFIRD >Manes.06G077200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21347381:21350661:-1 gene:Manes.06G077200.v8.1 transcript:Manes.06G077200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVETQDLISDLPQSIIESILTRLPIRDAVRTSVLSTKWRYRWASVTHLVFDDKCVPMYYDKGLLEINLIKFITRALFLHQGPIHKFQLSTSYLQSCPDIDQWILFLSRTDIKELVLELGEGEWFRVPSCLFNCKKLTRLELTRCEFDPPLGFKGFLCLKSLNLYQVLVAPEAIENLISGCPLLESLSLSYFDSLVLNIRAPNLKYLCLEGEFKDICLENTPLLVAMSVAMYITDDIAEHFEQSSSCNFIKFLGGVPRLERLIGHIYFTKYLSIGDYPRRLPITYSYLKIIELYQVSFEDMKEILVVLRLITNSPNLKELQISGSSNTLAAMEAPDLDFWIEECPKDCTFEKLQIVKMTDMSGVPHEMEFIKFLLANSPVLEMMSITPCVYVMDGRLSMLIELLRFRRASAQAEILFIRD >Manes.02G217200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31175086:31203980:1 gene:Manes.02G217200.v8.1 transcript:Manes.02G217200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGEEKLMAMARHIAKTLGHNESMADDIFQIFSNFDGRFSRDKLSEKISGAAGDDLRDSASLKQTLDYLERQVSQYVVADHPIWSDSVDSSAFLDSIDELIATIRDWNPMASADKSISACLVRAEDFMQQAMFRLEEEFRLLMERGCESFELARPYGNGESTGNVSLDSDDDDEEAIITNGEDHNQIPVAQPLTHYNILIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSSCRREFLEESMSRLGMQKLSMEEVQRMPWQDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSMVQILNFADAVAIGSRSPERLFKLLDFFETLRDLMPDFESNFSDQYCLVLRNDAVAIWKRLGEAIRGIFMELQNLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSSQTLEQVFEENVKLIPSEDSSSSLSVQIAWIMELLESNLQMKSKIYGDSALCSLFMMNNGRYIVQKVNDSQLGSLLGDDWIRKHTAKIKQFQMSYQRSSWNKVLGILRADNGPAAPNVGGNSLSMKEKMMFFNSHFEETCKTQSHWIIFDEQLRKELRISLANLLLPAYVNFIRRFQNSPELGKRADKYIKYTLKDIEAHINVLFQGGSESAGSGK >Manes.02G217200.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31175023:31179395:1 gene:Manes.02G217200.v8.1 transcript:Manes.02G217200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGEEKLMAMARHIAKTLGHNESMADDIFQIFSNFDGRFSRDKLSEKISGAAGDDLRDSASLKQTLDYLERQVSQYVVADHPIWSDSVDSSAFLDSIDELIATIRDWNPMASADKSISACLVRAEDFMQQAMFRLEEEFRLLMERGCESFELARPYGNGESTGNVSLDSDDDDEEAIITNGEDHNQIPVAQPLTHYNILIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSSCRREFLEESMSRLGMQKLSMEEVQRMPWQDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSMVQILNFADAVAIGSRSPERLFKLLDFFETLRDLMPDFESNFSDQYCLVLRNDAVAIWKRLGEAIRGIFMELQNLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSSQTLEQVFEENVKLIPSEDSSSSLSVQIAWIMELLESNLQMKSKIYGDSALCSLFMMNNGRYIVQKVNDSQLGSLLGDDWIRKHTAKIKQFQMSYQRSSWNKVLGILRADNGPAAPNVGGNSLSMKEKMMFFNSHFEETCKTQSHWIIFDEQLRKELRISLANLLLPAYVNFIRRFQNSPELGKRADKYIKYTLKDIEAHINVLFQGGSESAGSGK >Manes.02G217200.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31175066:31177579:1 gene:Manes.02G217200.v8.1 transcript:Manes.02G217200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGEEKLMAMARHIAKTLGHNESMADDIFQIFSNFDGRFSRDKLSEKISGAAGDDLRDSASLKQTLDYLERQVSQYVVADHPIWSDSVDSSAFLDSIDELIATIRDWNPMASADKSISACLVRAEDFMQQAMFRLEEEFRLLMERGCESFELARPYGNGESTGNVSLDSDDDDEEAIITNGEDHNQIPVAQPLTHYNILIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSSCRREFLEESMSRLGMQKLSMEEVQRMPWQDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSMVQILNFADAVAIGSRSPERLFKLLDFFETLRDLMPDFESNFSDQYCLVLRNDAVAIWKRLGEAIRGIFMELQNLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSSQTLEQVFEENVKLIPSEDSSSSLSVQIAWIMELLESNLQMKSKIYGDSALCSLFMMNNGRYIVQKVNDSQLGSLLGDDWIRKHTAKIKQFQMSYQRSSWNKVLGILRADNGPAAPNVGGNSLSMKEKMMFFNSHFEETCKTQSHWIIFDEQLRKELRISLANLLLPAYVNFIRRFQNSPELGKRADKYIKYTLKDIEAHINVLFQGGSESAGSGK >Manes.02G217200.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31175086:31190464:1 gene:Manes.02G217200.v8.1 transcript:Manes.02G217200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGEEKLMAMARHIAKTLGHNESMADDIFQIFSNFDGRFSRDKLSEKISGAAGDDLRDSASLKQTLDYLERQVSQYVVADHPIWSDSVDSSAFLDSIDELIATIRDWNPMASADKSISACLVRAEDFMQQAMFRLEEEFRLLMERGCESFELARPYGNGESTGNVSLDSDDDDEEAIITNGEDHNQIPVAQPLTHYNILIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSSCRREFLEESMSRLGMQKLSMEEVQRMPWQDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSMVQILNFADAVAIGSRSPERLFKLLDFFETLRDLMPDFESNFSDQYCLVLRNDAVAIWKRLGEAIRGIFMELQNLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSSQTLEQVFEENVKLIPSEDSSSSLSVQIAWIMELLESNLQMKSKIYGDSALCSLFMMNNGRYIVQKVNDSQLGSLLGDDWIRKHTAKIKQFQMSYQRSSWNKVLGILRADNGPAAPNVGGNSLSMKEKMMFFNSHFEETCKTQSHWIIFDEQLRKELRISLANLLLPAYVNFIRRFQNSPELGKRADKYIKYTLKDIEAHINVLFQGGSESAGSGK >Manes.02G217200.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31175068:31179351:1 gene:Manes.02G217200.v8.1 transcript:Manes.02G217200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGEEKLMAMARHIAKTLGHNESMADDIFQIFSNFDGRFSRDKLSEKISGAAGDDLRDSASLKQTLDYLERQVSQYVVADHPIWSDSVDSSAFLDSIDELIATIRDWNPMASADKSISACLVRAEDFMQQAMFRLEEEFRLLMERGCESFELARPYGNGESTGNVSLDSDDDDEEAIITNGEDHNQIPVAQPLTHYNILIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSSCRREFLEESMSRLGMQKLSMEEVQRMPWQDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSMVQILNFADAVAIGSRSPERLFKLLDFFETLRDLMPDFESNFSDQYCLVLRNDAVAIWKRLGEAIRGIFMELQNLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSSQTLEQVFEENVKLIPSEDSSSSLSVQIAWIMELLESNLQMKSKIYGDSALCSLFMMNNGRYIVQKVNDSQLGSLLGDDWIRKHTAKIKQFQMSYQRSSWNKVLGILRADNGPAAPNVGGNSLSMKEKMMFFNSHFEETCKTQSHWIIFDEQLRKELRISLANLLLPAYVNFIRRFQNSPELGKRADKYIKYTLKDIEAHINVLFQGGSESAGSGK >Manes.02G217200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31175023:31184976:1 gene:Manes.02G217200.v8.1 transcript:Manes.02G217200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGEEKLMAMARHIAKTLGHNESMADDIFQIFSNFDGRFSRDKLSEKISGAAGDDLRDSASLKQTLDYLERQVSQYVVADHPIWSDSVDSSAFLDSIDELIATIRDWNPMASADKSISACLVRAEDFMQQAMFRLEEEFRLLMERGCESFELARPYGNGESTGNVSLDSDDDDEEAIITNGEDHNQIPVAQPLTHYNILIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSSCRREFLEESMSRLGMQKLSMEEVQRMPWQDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSMVQILNFADAVAIGSRSPERLFKLLDFFETLRDLMPDFESNFSDQYCLVLRNDAVAIWKRLGEAIRGIFMELQNLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSSQTLEQVFEENVKLIPSEDSSSSLSVQIAWIMELLESNLQMKSKIYGDSALCSLFMMNNGRYIVQKVNDSQLGSLLGDDWIRKHTAKIKQFQMSYQRSSWNKVLGILRADNGPAAPNVGGNSLSMKEKMMFFNSHFEETCKTQSHWIIFDEQLRKELRISLANLLLPAYVNFIRRFQNSPELGKRADKYIKYTLKDIEAHINVLFQGGSESAGSGK >Manes.02G217200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31175022:31184975:1 gene:Manes.02G217200.v8.1 transcript:Manes.02G217200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGEEKLMAMARHIAKTLGHNESMADDIFQIFSNFDGRFSRDKLSEKISGAAGDDLRDSASLKQTLDYLERQVSQYVVADHPIWSDSVDSSAFLDSIDELIATIRDWNPMASADKSISACLVRAEDFMQQAMFRLEEEFRLLMERGCESFELARPYGNGESTGNVSLDSDDDDEEAIITNGEDHNQIPVAQPLTHYNILIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSSCRREFLEESMSRLGMQKLSMEEVQRMPWQDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSMVQILNFADAVAIGSRSPERLFKLLDFFETLRDLMPDFESNFSDQYCLVLRNDAVAIWKRLGEAIRGIFMELQNLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSSQTLEQVFEENVKLIPSEDSSSSLSVQIAWIMELLESNLQMKSKIYGDSALCSLFMMNNGRYIVQKVNDSQLGSLLGDDWIRKHTAKIKQFQMSYQRSSWNKVLGILRADNGPAAPNVGGNSLSMKEKMMFFNSHFEETCKTQSHWIIFDEQLRKELRISLANLLLPAYVNFIRRFQNSPELGKRADKYIKYTLKDIEAHINVLFQGGSESAGSGK >Manes.02G217200.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:31175086:31203980:1 gene:Manes.02G217200.v8.1 transcript:Manes.02G217200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGEEKLMAMARHIAKTLGHNESMADDIFQIFSNFDGRFSRDKLSEKISGAAGDDLRDSASLKQTLDYLERQVSQYVVADHPIWSDSVDSSAFLDSIDELIATIRDWNPMASADKSISACLVRAEDFMQQAMFRLEEEFRLLMERGCESFELARPYGNGESTGNVSLDSDDDDEEAIITNGEDHNQIPVAQPLTHYNILIDALPSGTINDLHEIAKRMVAAGFGKECSHVYSSCRREFLEESMSRLGMQKLSMEEVQRMPWQDLEDEIDKWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSMVQILNFADAVAIGSRSPERLFKLLDFFETLRDLMPDFESNFSDQYCLVLRNDAVAIWKRLGEAIRGIFMELQNLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSSQTLEQVFEENVKLIPSEDSSSSLSVQIAWIMELLESNLQMKSKIYGDSALCSLFMMNNGRYIVQKVNDSQLGSLLGDDWIRKHTAKIKQFQMSYQRSSWNKVLGILRADNGPAAPNVGGNSLSMKEKMMFFNSHFEETCKTQSHWIIFDEQLRKELRISLANLLLPAYVNFIRRFQNSPELGKRADKYIKYTLKDIEAHINVLFQGGSESAGSGK >Manes.06G010001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1536995:1537421:1 gene:Manes.06G010001.v8.1 transcript:Manes.06G010001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKTKTELFNQLKDLKAEIPELRVAKVTGGDPNKLSKMSWQ >Manes.06G010001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1536995:1537222:1 gene:Manes.06G010001.v8.1 transcript:Manes.06G010001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRQKTKTELFNQLKDLKAEIPELRVAKVTGGDPNKLSKM >Manes.05G190400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31300334:31308104:-1 gene:Manes.05G190400.v8.1 transcript:Manes.05G190400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADRQSDTERACDNGIEIFYRTYGHGPTKVLLITGLAGTHDSWGPQIKGLTGSDRPNDDDKMRTVDQNSGAVGDSEMDGEIEVCAFDNRGMGSSSVPTQKSYYTTKIMAKDAIALLDHLGWQKAHIFGHSMGAMIACKLAALVPDRVLSLALLNVTGGGFECFPKLDRQTVSIAIRFLRAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRAILYQEYVKSISSTGMQSNYGFEGQINACWTHKMMQTEIEVIRSAGFLVTVIHGRHDIIAQIYYARRLAENLQPVARMIDLHGGHLVSHERTEEVNQALYDLIKASESKISPHNWTNLPQKNSGSV >Manes.05G190400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31300334:31308104:-1 gene:Manes.05G190400.v8.1 transcript:Manes.05G190400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADRQSDTERACDNGIEIFYRTYGHGPTKVLLITGLAGTHDSWGPQIKGLTGSDRPNDDDKMRTVDQNSGAVGDSEMDGEIEVCAFDNRGMGSSSVPTQKSYYTTKIMAKDAIALLDHLGWQKAHIFGHSMGAMIACKLAALVPDRVLSLALLNVTGGGFECFPKLDRQTVSIAIRFLRAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRAILYQEYVKSISSTGMQSNYGFEGQINACWTHKMMQTEIEVIRSAGFLVTVIHGRHDIIAQIYYARRLAENLQPVARMIDLHGGHLVSHERTEEVNQALYDLIKASESKISPHNWTNLPQKNSGSASLRWISPSRTSTDLSTFGIAEKINLCLLYMCKLFVSVFEHTRRTQRSLRPVRVEASLT >Manes.05G178800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29539781:29540008:-1 gene:Manes.05G178800.v8.1 transcript:Manes.05G178800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQVGMLQKLIFLILVSLFVVSGEKEEKLVVNNTYSQQPTRKEKQQYQRLSFSFDVFFSNKRKVPNASDPLHNR >Manes.15G084200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6482877:6486544:-1 gene:Manes.15G084200.v8.1 transcript:Manes.15G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSWLPIFLALVLFDLIGYYTSFATFSPPDNYLIACGSSQSVTFQGRTFVPDSQHSSLTLKSVTSVVAVSNSSFPSPIYQSARIFSKVASYKFEIQQEGRHWIRLYFYPVPNSGQNLTSASITVVTDEFVLLNNFTFKNYKGSYMFKEYAVNVTSDSLTLSFIPSNNSVAFVNAIEVVSIPDGIFPDQAFSVNPRAPFSGLSELAFETVYRLNMGGPLITAQNDTLGRTWENDAKYLHVNSSAVNVTANPASIKYPASVTTETAPNWVYATAEAMGNANVANMNFNITWVFPVNRNFSYFIRVHFCDIVSKALNSLVFNLYINDDSALESFDLSSFTNDLNVPYYRDFVSNSSLDSNTLTVSVGPDREADITNATMNGLEIIKISNEAKSLDGLSSVESLLPESPSKKSMTGIIIGSVVGAVAAFALIGFCYCCLVVRKSKTTLQGHPLLPLPLYGNSQTMTKMSTASQKSGTASFMSLASSNLGRFFSFQEILDATNKFDENLLLGVGGFGRVYKGTLEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDHIMDPNLVGKVNPASLKKFGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEETSSALMEPEDNSTNHIPGIPLTPLEPFDNSVSIIDGGNSGTDDDAEDAATSAVFSQLVNPRGR >Manes.15G084200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6482880:6486594:-1 gene:Manes.15G084200.v8.1 transcript:Manes.15G084200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEYAVNVTSDSLTLSFIPSNNSVAFVNAIEVVSIPDGIFPDQAFSVNPRAPFSGLSELAFETVYRLNMGGPLITAQNDTLGRTWENDAKYLHVNSSAVNVTANPASIKYPASVTTETAPNWVYATAEAMGNANVANMNFNITWVFPVNRNFSYFIRVHFCDIVSKALNSLVFNLYINDDSALESFDLSSFTNDLNVPYYRDFVSNSSLDSNTLTVSVGPDREADITNATMNGLEIIKISNEAKSLDGLSSVESLLPESPSKKSMTGIIIGSVVGAVAAFALIGFCYCCLVVRKSKTTLQGHPLLPLPLYGNSQTMTKMSTASQKSGTASFMSLASSNLGRFFSFQEILDATNKFDENLLLGVGGFGRVYKGTLEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDHIMDPNLVGKVNPASLKKFGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEETSSALMEPEDNSTNHIPGIPLTPLEPFDNSVSIIDGGNSGTDDDAEDAATSAVFSQLVNPRGR >Manes.09G057800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10076171:10079937:-1 gene:Manes.09G057800.v8.1 transcript:Manes.09G057800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGLVLYLLSGFSVAVLSTIIFVDKNAGDPSNNILLSSPHMSTTEKVWPKLELSWRLALATVIGFLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAAISKCMIMGASASSVWYNLRVPHPTKEVPIIDYDLALLFQPMLMLGITIGVALSVVFPYWLITVLIIILFLGTSTRSVFKGIEMWKEETILKKEMAKQQETVVNSRGELLVDTEYEPLVPKEEKSEFQTMCFNLKWKRLLVLVLVWASFLLLQVFKNDVAVCSTWYWVLFCLQFPIALAVFGHESVKLYKEHKERVSTGNTMSICEASIAWTPMHIAFCALCGIIGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMLFSSSLSVVEFYLLKRFPIPYALYLMAVSVLAGFWGQFFIRKLITILRRASLIVFILSGVIFASALTMGVIGIESSIEMIKNHEFMGFLGFCSSQ >Manes.14G098100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8143374:8153301:1 gene:Manes.14G098100.v8.1 transcript:Manes.14G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIIRTKIGSPLFFCYLQFFSCIARDTDRAMVFSASLSGTASVKLSPSPHSEPSSSSSWSKSTLFMGAPITFCPTRSGKQSDGRRTLVVFSKRISGLEEAMRIRRQRELQSKVKFRKRPPLRRGRVSPRLPVPDHIPKPPYVGSDVLPELSKEHQFHDSEGIARMRAACELAARVLEFAGKLVRPSVTTNEIDKAVHQMIIEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVSDALERLVKVTEECMEKGIAVCKDGASFKKIGKRISEHAEKYGYGVVDRFVGHGVGTVFHSEPIILHHRNEMPGTMVEGQTFTIEPILTMGGIKCVTWPDNWTTLTADGSPAAQFEHTILITKTGAEILTKC >Manes.09G030600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6303017:6309411:-1 gene:Manes.09G030600.v8.1 transcript:Manes.09G030600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTGPGVRSSPMVPQPDETGLDSVQFGEDIQHLISVPPENASSFTALLELPPNQAVELLHSPDSTLRARTVIDTHHHKPYLQPHFNGASLSFPTNSGLIERAARFSVFAGENLNNTSNNSAETSSVPSNSSANLEKVVKSEPAEAESYLNPSQPLVSDPTTVENEAQNQRPVKRKEREKKGKGSTKKSKSSGNDNSEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRIDFNLDSILAAEGGSLIDSNFPSMVMPLMWPEVQVNGNRQNYQQQWQFDTVNQPVWGREEDGYNFITPENSLLSYDSSANSATLHSNPLKMEL >Manes.09G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6303017:6309411:-1 gene:Manes.09G030600.v8.1 transcript:Manes.09G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTGPGVRSSPMVPQPDETGLDSVQFGEDIQHLISVPPENASSFTALLELPPNQAVELLHSPDSTLRARTVIDTHHHKPYLQPHFNGASLSFPTNSGLIERAARFSVFAGENLNNTSNNSAETSSVPSNSSANLEKVVKSEPAEAESYLNPSQPLVSDPTTVENEAQNQRPVKRKEREKKGKGSTKKSKSSGNDNSEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEGGSLIDSNFPSMVMPLMWPEVQVNGNRQNYQQQWQFDTVNQPVWGREEDGYNFITPENSLLSYDSSANSATLHSNPLKMEL >Manes.10G057800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7740238:7759279:-1 gene:Manes.10G057800.v8.1 transcript:Manes.10G057800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHFIFRFCYALFCFLYSFTEGEAHRQQHDHHHHEHGSVKLFVFGDSYADTGNWDKSAISWKEPYGFTFPGKPTGRFSDGRVLTDYIASFLGIKSPLPFRMRKTTRKSLLRFGMNFAYGGTGIFDTLNNGPDMSTQINYFQQLLEENVYTKQDLNSSIALVSLAGNDYNTYLYKNGNLTDLPDFSTSLIKQLSLNLKRIHGLGMQKIAVTALQPIGCLPELAASSSYENCSENWNSASKLHNQMLEKAVQKLNNETQKPVFEILDLFRAFMSAFDKAQHAGSLNSESPLKPCCRGVTSDSSCGEMDKSGSKKYDICKNPELSVFWDIVHPSQNVLQGQW >Manes.10G057800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7753379:7759125:-1 gene:Manes.10G057800.v8.1 transcript:Manes.10G057800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHFIFRFCYALFCFLYSFTEGEAHRQQHDHHHHEHGSVKLFVFGDSYADTGNWDKSAISWKEPYGFTFPGKPTGRFSDGRVLTDYIASFLGIKSPLPFRMRKTTRKSLLRFGMNFAYGGTGIFDTLNNGPDMSTQINYFQQLLEENVYTKQDLNSSIALVSLAGNDYNTYLYKNGNLTDLPDFSTSLIKQLSLNLKRIHGLGMQKIAVTALQPIGCLPELAASSSYENCSENWNSASKLHNQMLEKAVQKLNNETQKPVFEILDLFRAFMSAFDKAQHAGSLNSESPLKPCCRGVTSDSSCGEMDKSGSKKYDICKNPELSVFWDIVHPSQNGWYQVYLALQSSLHHLY >Manes.10G057800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7753586:7758537:-1 gene:Manes.10G057800.v8.1 transcript:Manes.10G057800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHFIFRFCYALFCFLYSFTEGEAHRQQHDHHHHEHGSVKLFVFGDSYADTGNWDKSAISWKEPYGFTFPGKPTGRFSDGRVLTDYIASFLGIKSPLPFRMRKTTRKSLLRFGMNFAYGGTGIFDTLNNGPDMSTQINYFQQLLEENVYTKQDLNSSIALVSLAGNDYNTYLYKNGNLTDLPDFSTSLIKQLSLNLKRIHGLGMQKIAVTALQPIGCLPELAASSSYENCSENWNSASKLHNQMLEKAVQKLNNETQKPVFEILDLFRAFMSAFDKAQHAGSLNSESPLKPCCRGVTSDSSCGEMDKSGSKKYDICKNPELSVFWDIVHPSQNGWYQVYLALQSSLHHLY >Manes.11G044900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:4836556:4837622:1 gene:Manes.11G044900.v8.1 transcript:Manes.11G044900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHSSSSSLLFLDHNTTHCKSQHSIDHSGDSLCWWWHMRRSWEAFDTLQNFKSLFFGGYQKLPKPFSCATTSIMNHQNDQCYADFCNEWCCDLEKTTKRKKNGMSLSKELAREEEGRNESSLKLPSSPLKKKEDGIKEEKSMKHSNSRREEKCIDKKDEGGYVLAKKMKELEMMDGSDMEHMLDVEEALHYYSHLRSPAYVDIVDKFFTDM >Manes.16G069051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27180508:27183720:1 gene:Manes.16G069051.v8.1 transcript:Manes.16G069051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSLDEGTAKEVLRQVEFYFSDSNLPRDTFMKNTINANEDGMVSLALICSFKKMKEVPEDTVKAVAETLRKSNNLKVSEDGKKVGRIVPLLKPEEAVEQLDIRTIAASPLEYDVKREDVESFFGKHAKVVSVRMPRHVADKRVFCGTALIEFSMEEDTENVLKQSLIFRVLRLELKLKKKFDAGRAKQEEEFKNSCTLMVSNNKNNPNAEAILVTNNLLFSGNGAQETTNIDSKICKADGELNSSENDAQENEHESENVSPDKENNQMDIEGKEDKADEEIISETKEIQVEDGKKSAEEPMGKAKEKEGRRKADVYRDDINVVMREDLKAVLGKFGTVKFIDFKIGEDSGYVRFEQPEAAQKARAAAVLAKEGGLIVKNFVAILEPVTGEAEEEYWDLLRGNQEKHWENRGNRARRGKHHRGGGKHRGSRDNYSTGRPNKAQKVGAS >Manes.06G038500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11644793:11650599:1 gene:Manes.06G038500.v8.1 transcript:Manes.06G038500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDKRSGLVNDDCFPVGMRILAVDDDPICLKVLENLLRKCQYHVTTTNQAIAALKMLRENKNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDKELVYKGVTHGAVDYLLKPVRIEELKNIWQHVIRRKKTQPGDQNRSPNQGKPLDEAQEGGQGLASSGSVDQNGKVNRKRKDQDEDEEEEGEENLNENEDPGTQKKPRVVWSVELHRKFVAAVNHLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISSVASQQANMVAAFGAKDSSYLRMGSLDGFGDFRTSNGPGRPSSTSISSYTTGGMFGRLNSPAGLTLRGIASSGLLQSGHSQSPSNSVITLGKFQPAVLPASPGANLFQGVQSSVEPNQLKVKSNAHIGQFTRNDDTSGFTLATNFVDARVTIGGLSNTVSNSTSNPLMLQVNPQLSQYRGAFATQSSLSVPTLNHESFDVRLHGSSNFLDHSRCTENWQGAVQLSKFPTNSLPLSEPFSHDSLSRSNLRDNLPSTSSQIGNSLNDFDSSSVHATPLYSRVDMQGQTGSISNVVQNMNYNSRQRWEEYSRDYNPNLNNSFSTINSLVSGNGVVGLLSQSMDQRNKFDASLPGQINNVTPSTFLHAEVDNSALDPKMRSNEDYLLEQTKSQNGFAQNNYDPLDDIMDAMIKQQEQQNEAMLMDGEFGFDDAYSL >Manes.06G038500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11644793:11650599:1 gene:Manes.06G038500.v8.1 transcript:Manes.06G038500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDKRSGLVNDDCFPVGMRILAVDDDPICLKVLENLLRKCQYHVTTTNQAIAALKMLRENKNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDKELVYKGVTHGAVDYLLKPVRIEELKNIWQHVIRRKKTQPGDQNRSPNQGKPLDEAQEGGQGLASSGSVDQNGKVNRKRKDQDEDEEEEGEENLNENEDPGTQKKPRVVWSVELHRKFVAAVNHLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISSVASQQANMVAAFGAKDSSYLRMGSLDGFGDFRTSNGPGRPSSTSISSYTTGGMFGRLNSPAGLTLRGANLFQGVQSSVEPNQLKVKSNAHIGQFTRNDDTSGFTLATNFVDARVTIGGLSNTVSNSTSNPLMLQVNPQLSQYRGAFATQSSLSVPTLNHESFDVRLHGSSNFLDHSRCTENWQGAVQLSKFPTNSLPLSEPFSHDSLSRSNLRDNLPSTSSQIGNSLNDFDSSSVHATPLYSRVDMQGQTGSISNVVQNMNYNSRQRWEEYSRDYNPNLNNSFSTINSLVSGNGVVGLLSQSMDQRNKFDASLPGQINNVTPSTFLHAEVDNSALDPKMRSNEDYLLEQTKSQNGFAQNNYDPLDDIMDAMIKQEQQNEAMLMDGEFGFDDAYSL >Manes.06G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11644793:11650599:1 gene:Manes.06G038500.v8.1 transcript:Manes.06G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDKRSGLVNDDCFPVGMRILAVDDDPICLKVLENLLRKCQYHVTTTNQAIAALKMLRENKNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDKELVYKGVTHGAVDYLLKPVRIEELKNIWQHVIRRKKTQPGDQNRSPNQGKPLDEAQEGGQGLASSGSVDQNGKVNRKRKDQDEDEEEEGEENLNENEDPGTQKKPRVVWSVELHRKFVAAVNHLGLDKAVPKKILDLMNVEGLTRENVASHLQKYRLYLKRISSVASQQANMVAAFGAKDSSYLRMGSLDGFGDFRTSNGPGRPSSTSISSYTTGGMFGRLNSPAGLTLRGIASSGLLQSGHSQSPSNSVITLGKFQPAVLPASPGANLFQGVQSSVEPNQLKVKSNAHIGQFTRNDDTSGFTLATNFVDARVTIGGLSNTVSNSTSNPLMLQVNPQLSQYRGAFATQSSLSVPTLNHESFDVRLHGSSNFLDHSRCTENWQGAVQLSKFPTNSLPLSEPFSHDSLSRSNLRDNLPSTSSQIGNSLNDFDSSSVHATPLYSRVDMQGQTGSISNVVQNMNYNSRQRWEEYSRDYNPNLNNSFSTINSLVSGNGVVGLLSQSMDQRNKFDASLPGQINNVTPSTFLHAEVDNSALDPKMRSNEDYLLEQTKSQNGFAQNNYDPLDDIMDAMIKQEQQNEAMLMDGEFGFDDAYSL >Manes.01G153900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34107362:34110480:-1 gene:Manes.01G153900.v8.1 transcript:Manes.01G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSDENNPNIAKPTLFQGELRMGNRKFGQEIRHNRRVLNVLNQKFIGAQAYPCVVSKRRLSENLGVSERNQLDPVHRPITRKFAAQLSSTHQHCPQQETKRQKPSAPSANGFGDCIFIDVEEENKAPADHAEPMFLEQTEAVLDEMEEVEMEDIIEEPILDIDGCDAKNPLAVVDYVEDMYAYYRKKESFSCVSPNYMEQQFDINEKMRAILIDWLIEVHDKFELMNETLFLTVNLIDRFLSQQTVVRKKLQLVGLVALLLACKYEEVSVPVVGDLILISDKAYNRKEILEMENLMLNRLQFNMSVPTPYVFMQRFLKAAQCDKKIELLSFFLIELSIVEYEMLKFPPSLLAAAAIYTAQCTIYGFKQWSRTCECHSSYSEDQLLECSRLMVGFHQKAGTGKLSGVHRKYNTSKFGYTSKCEAAHFLLENKE >Manes.01G153900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34107217:34110480:-1 gene:Manes.01G153900.v8.1 transcript:Manes.01G153900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSDENNPNIAKPTLFQGELRMGNRKFGQEIRHNRRVLNVLNQKFIGAQAYPCVVSKRRLSENLGVSERNQLDPVHRPITRKFAAQLSSTHQHCPQETKRQKPSAPSANGFGDCIFIDVEEENKAPADHAEPMFLEQTEAVLDEMEEVEMEDIIEEPILDIDGCDAKNPLAVVDYVEDMYAYYRKKESFSCVSPNYMEQQFDINEKMRAILIDWLIEVHDKFELMNETLFLTVNLIDRFLSQQTVVRKKLQLVGLVALLLACKYEEVSVPVVGDLILISDKAYNRKEILEMENLMLNRLQFNMSVPTPYVFMQRFLKAAQCDKKIELLSFFLIELSIVEYEMLKFPPSLLAAAAIYTAQCTIYGFKQWSRTCECHSSYSEDQLLECSRLMVGFHQKAGTGKLSGVHRKYNTSKFGYTSKCEAAHFLLENKE >Manes.01G099000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30011574:30015417:-1 gene:Manes.01G099000.v8.1 transcript:Manes.01G099000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSIALSVTPNSTESDVSNSRRHSIGNAGSSRSGDNVLPHYLRASTGSCHDFCKYGKKHAFEEKARPPFLRRNAKKPPDEQKSVEFQPERNMTSKDKHKIGHSTPPNTPEIMKGEISKKLLSRRTPAISEVVSEKKASSGVLLTKSADRQSPVLREVLAKKKTVAKDMLTRSVDSQSSVSSENWAEKRKKSTQQRKKTSVVELRASSESKTRLSPKIIKQEISSSSEKPDISLKHFSSKVKEENMSAKPVSFPKLKVSSPDSSRVFNVRENRDSKRGQRIVTSKIAIKREQTHPRALLSSKTSSGGNARGLASARASLSLKSSPRLQISPRVLLSPKTSGVGIVKELASSRGSLSLKPSLSRVASLKARKHRGSEITPPLKNKNKIGKANHEHANVKNADFDQSTEGLNNDNDVVQEKTLYVIQTETENKNLESDHNKNHSAESSPPPVQSANSPILPESPTFPSHNAEDEEESEYTVTEAEDDPLSEFDETEYMEEADTLQREHKGFYKKGRMVPSQDKDDQPVKLRFRRGKVIEVQTNHNGPRRLKFRRGRMLEENRNLKADAQRSFKRRGAEDANSEKPNSEKVVLKHQDLHGKKDAQGLFNNVIEETASKLVETRKRLAGK >Manes.01G099000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30010493:30015507:-1 gene:Manes.01G099000.v8.1 transcript:Manes.01G099000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSIALSVTPNSTESDVSNSRRHSIGNAGSSRSGDNVLPHYLRASTGSCHDFCKYGKKHAFEEKARPPFLRRNAKKPPDEQKSVEFQPERNMTSKDKHKIGHSTPPNTPEIMKGEISKKLLSRRTPAISEVVSEKKASSGVLLTKSADRQSPVLREVLAKKKTVAKDMLTRSVDSQSSVSSENWAEKRKKSTQQRKKTSVVELRASSESKTRLSPKIIKQEISSSSEKPDISLKHFSSKVKEENMSAKPVSFPKLKVSSPDSSRVFNVRENRDSKRGQRIVTSKIAIKREQTHPRALLSSKTSSGGNARGLASARASLSLKSSPRLQISPRVLLSPKTSGVGIVKELASSRGSLSLKPSLSRVASLKARKHRGSEITPPLKNKNKIGKANHEHANVKNADFDQSTEGLNNDNDVVQEKTLYVIQTETENKNLESDHNKNHSAESSPPPVQSANSPILPESPTFPSHNAEDEEESEYTVTEAEDDPLSEFDETEYMEEADTLQREHKGFYKKGRMVPSQDKDDQPVKLRFRRGKVIEVQTNHNGPRRLKFRRGRMLEENRNLKADAQRSFKRRGAEDANSEKPNSEKVVLKHQDLHGKKDAQGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDSKPPSNAVS >Manes.01G099000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30011525:30015417:-1 gene:Manes.01G099000.v8.1 transcript:Manes.01G099000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSIALSVTPNSTESDVSNSRRHSIGNAGSSRSGDNVLPHYLRASTGSCHDFCKYGKKHAFEEKARPPFLRRNAKKPPDEQKSVEFQPERNMTSKDKHKIGHSTPPNTPEIMKGEISKKLLSRRTPAISEVVSEKKASSGVLLTKSADRQSPVLREVLAKKKTVAKDMLTRSVDSQSSVSSENWAEKRKKSTQQRKKTSVVELRASSESKTRLSPKIIKQEISSSSEKPDISLKHFSSKVKEENMSAKPVSFPKLKVSSPDSSRVFNVRENRDSKRGQRIVTSKIAIKREQTHPRALLSSKTSSGGNARGLASARASLSLKSSPRLQISPRVLLSPKTSGVGIVKELASSRGSLSLKPSLSRVASLKARKHRGSEITPPLKNKNKIGKANHEHANVKNADFDQSTEGLNNDNDVVQEKTLYVIQTETENKNLESDHNKNHSAESSPPPVQSANSPILPESPTFPSHNAEDEEESEYTVTEAEDDPLSEFDETEYMEEADTLQREHKGFYKKGRMVPSQDKDDQPVKLRFRRGKVIEVQTNHNGPRRLKFRRGRMLEENRNLKADAQRSFKRRGAEDANSEKPNSEKVVLKHQDLHGKKDAQGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDSKPPSNAVS >Manes.01G099000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30011574:30015417:-1 gene:Manes.01G099000.v8.1 transcript:Manes.01G099000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSIALSVTPNSTESDVSNSRRHSIGNAGSSRSGDNVLPHYLRASTGSCHDFCKYGKKHAFEEKARPPFLRRNAKKPPDEQKSVEFQPERNMTSKDKHKIGHSTPPNTPEIMKGEISKKLLSRRTPAISEVVSEKKASSGVLLTKSADRQSPVLREVLAKKKTVAKDMLTRSVDSQSSVSSENWAEKRKKSTQQRKKTSVVELRASSESKTRLSPKIIKQEISSSSEKPDISLKHFSSKVKEENMSAKPVSFPKLKVSSPDSSRVFNVRENRDSKRGQRIVTSKIAIKREQTHPRALLSSKTSSGGNARGLASARASLSLKSSPRLQISPRVLLSPKTSGVGIVKELASSRGSLSLKPSLSRVASLKARKHRGSEITPPLKNKNKIGKANHEHANVKNADFDQSTEGLNNDNDVVQEKTLYVIQTETENKNLESDHNKNHSAESSPPPVQSANSPILPESPTFPSHNAEDEEESEYTVTEAEDDPLSEFDETEYMEEADTLQREHKGFYKKGRMVPSQDKDDQPVKLRFRRGKVIEVQTNHNGPRRLKFRRGRMLEENRNLKADAQRSFKRRGAEDANSEKPNSEKVVLKHQDLHGKKDAQGLFNNVIEETASKLVETRKRLAGK >Manes.01G099000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30010493:30015507:-1 gene:Manes.01G099000.v8.1 transcript:Manes.01G099000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSIALSVTPNSTESDVSNSRRHSIGNAGSSRSGDNVLPHYLRASTGSCHDFCKYGKKHAFEEKARPPFLRRNAKKPPDEQKSVEFQPERNMTSKDKHKIGHSTPPNTPEIMKGEISKKLLSRRTPAISEVVSEKKASSGVLLTKSADRQSPVLREVLAKKKTVAKDMLTRSVDSQSSVSSENWAEKRKKSTQQRKKTSVVELRASSESKTRLSPKIIKQEISSSSEKPDISLKHFSSKVKEENMSAKPVSFPKLKVSSPDSSRVFNVRENRDSKRGQRIVTSKIAIKREQTHPRALLSSKTSSGGNARGLASARASLSLKSSPRLQISPRVLLSPKTSGVGIVKELASSRGSLSLKPSLSRVASLKARKHRGSEITPPLKNKNKIGKANHEHANVKNADFDQSTEGLNNDNDVVQEKTLYVIQTETENKNLESDHNKNHSAESSPPPVQSANSPILPESPTFPSHNAEDEEESEYTVTEAEDDPLSEFDETEYMEEADTLQREHKGFYKKGRMVPSQDKDDQPVKLRFRRGKVIEVQTNHNGPRRLKFRRGRMLEENRNLKADAQRSFKRRGAEDANSEKPNSEKVVLKHQDLHGKKDAQGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDSKPPSNAVS >Manes.16G048001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8972995:8977079:1 gene:Manes.16G048001.v8.1 transcript:Manes.16G048001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVSGSNVVAFKSANFGSSGYRRVGQFRQWSPITTGVRQLQPGIGLKCGSTSSGIRAQVANAEAASAKATHKVEAPVVVVTGASRGIGKAIALSLGKAGCKVLVNYARSSKEAEEVSKEIEAYGGQALTFGGDVSKEADVESMMKTAIDAWGTVDVLVNNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKKKGRIINISSVVGLVGNVGQANYSAAKAGVIGLTKSVAKEYASRNINVNAVAPGFIASDMTAKLGSDIEKKILESIPLGRYGQPEEVAGLVEFLALSPAASYVTGQVFTIDGGMVM >Manes.16G048001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8972995:8977079:1 gene:Manes.16G048001.v8.1 transcript:Manes.16G048001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVSGSNVVAFKSANFGSSGYRRVGQFRQWSPITTGVRQLQPGIGLKCGSTSSGIRAQVANAEAASAKATHKVEAPVVVVTGASRGIGKAIALSLGKAGCKVLVNYARSSKEAEEVSKEIEAYGGQALTFGGDVSKEADVESMMKTAIDAWGTVDVLVNNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQGRIINISSVVGLVGNVGQANYSAAKAGVIGLTKSVAKEYASRNINVNAVAPGFIASDMTAKLGSDIEKKILESIPLGRYGQPEEVAGLVEFLALSPAASYVTGQVFTIDGGMVM >Manes.07G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3665002:3667063:-1 gene:Manes.07G033500.v8.1 transcript:Manes.07G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFKQLKKSISLESNCSSQPLSFFGYKKQHLASFFLIKFSFCRLLLHNTQAQMVPFAIARDKRPHAVCVPYPAQGHVNPMLKLAKILHSNGFHITFVNTEYNHRRLLSSRGPDSLDGLPDFYFDSIPDGLPPSDADATQDIPSLCASTSKYSLLPFRHLLSRLNSSSTVPPVTCVISDACMSFTLDAAQEFGIPNVLFWTPSSCGVLGYAHYRHLIERGLTPIKDESHLTNGYLETTIDWIPGMRNIRLRDLPSFIRTTDRNDIMLNFLAREIERTSRASAVILNTFEAFEKHVLDVLSTMLPPIYTIGPLQLLVDQIPNSNLRNIGSNLWKEQPECIHWLDSKDPNSVLYVNFGSITVITPQQMVEFAWGLANSKKPFLWIIRPDLVVGEAAMLPPEFVSETEDRGMLASWCPQEQVLKHPAIGGFLSHMGWNSTLDSVCGGVPMVCWPFFAEQQTNCWFACNEWGIGMEIDNDVTREEVENLVIELMDGKKGIEMKRQAMEWKTKAEKATTPGGSSHRNLVELLGFLQRK >Manes.09G049886.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10383053:10386387:-1 gene:Manes.09G049886.v8.1 transcript:Manes.09G049886.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGFGAAQRRKKGSPVFVLMPVDAVDEEGKVRRKKIMMHSLRALALAEVEGVVIEVWWGLVERDQPGAYNWQPYLELVQMAVKSGLKVRVVLAFHESGRGPGDPNRISLPNWVLNEIDKDPDLAYCDRFRLVQTHLSLWVSLNFTGLQDFQLVQGL >Manes.04G158300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35291491:35294613:-1 gene:Manes.04G158300.v8.1 transcript:Manes.04G158300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKRSMTATSFAFAFPLFLFAATIISLSLCHGSLNVSCIPSEKEALLQFKLGLKDSSNRLSSWGGDADCCGWFGIICDNLTGHVLQLHLRSLSPEEYYASNATGNYFEYWERQIFRGNINESLLNLKHLKYLDLSNNDFEGIHIPKFLGSMESLRYLNLSGAGFGGMIPHQLGNLSNLQYLNLNFEGGLYDYHEIYVESLHWLSSLSSLEFLDLSFVDLSKALDWWDVMNTLPSLVELHLSVCALNQKIPTLFKNSSSLAILDLSYNQFLGPIPIQFQNITSLRELDLSGNYFNSSMAFWLHNPPHLELLNLKSNNLQETIPSGFGNFTSLNSLDLSNNKLEGSIPSSIGNLTSLISLDLSYNKLEGAIPASFKKLCNLRSLYLSSIKLSQEINEVLEILSGCVSEGIEAMHLSSSQLLGHLNDLLAQFKNLESLDLSNNLISHPIPITLSQLTSLTSLLLFHNKLNGSLPIGFGALRKLVVADVSHNLLEGEVSEIHFANLTNLRSFDGSNNQLSLKVNPNWIPPFQLVEAISLQSWEVGPQFPTWLRSLKHLKFLDLSNSKISSTLPIWYHGWSSRLYDFNLSHNQMHGKIPYLSIDDSFSFSSIDLSFNNFDGQLPYFSSHPTSINLSNNLLSGSISTFLCQITHELKTTILNLGKNFLSGEIPDCWMNWKFSVVIELNDNYFSGKIPTSMGTLSDLSFLNLRNNNLSGKIPLSLQECKELKVLDLGENKLGGDISTWLDQQYYPVMAILNLRRNKFHGHIPKELCGMIWLQILDLANNNFNGTIPTCVSNLFAMANEIHDPMGGKIYFVDGSGGAFYGSSSIMIKGEMNAYSTILNFVRSIDLSNNKLSGDIPEEITRLKTLQSLNLSHNLLVRRIPKEIGSMKALESLDFSNNQISGKIPLSMSKLTFLSELNLSNNKLTGMIPSSTQLQSLDPSSFSGNKLCGAPLINKCNVDGAKPPTGTKRGEDGKGSESFDWFDFYVSLAPGFVVGFWGVVGPLAFNRRWRHSYFNFVYGLWDKIMVWYHVKVVRVLRGNG >Manes.01G158500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34394838:34399077:1 gene:Manes.01G158500.v8.1 transcript:Manes.01G158500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNIGDHPDHILAQNHQILAAVHGGSIEQARASHLYSYKHGFRGFAAKLTDEQASQISKMPGVVSVFPNLKRRLHTTHSWDFMGLLGEETMEIPGYSTKNQVNIIIGFIDTGIWPESPSFSDDDMPPVPARWKGRCQSGEAFNASYCNRKVIGARYYMSGYEAEEDSDKILSFRSPRDSSGHGSHTASTAAGRYVSNMNYKGLATGGARGGAPMARIAVYKTCWDSGCYDVDLLAAFDDAIRDGVHILSLSLGPDAPQGDYFNDAISIGSFHAAARGVLVVASAGNEGNQGSATNLAPWMITVAASSTDRDFASDIILGNAANFSGESLSLFEMNASARIISASEAYAGYFTPYQSSYCLESSLNSTKARGKVLVCRHAESSTESKLAKSTVVKEAGGVGMVLIDEADQDVAIPFLIPSAIVGKEIGNKILSYINGTRKPIAKISRAKTVLGSQPAPRIAAFSSKGPNALTPEILKPDVTAPGLNILAAWSPAVGKMHFNILSGTSMACPHVTGIAALIKAVNPSWSPSAIKSAIMTTATILDKNLNPITVDPSGRRGNAFDYGSGFIDPTSVLDPGLIYDAQPTDYKAFLCSIGYDERSLHLVTRDNSTCNQTLTTASDLNYPSITVPNLKGNISITRTVTNVGKPRSIYKAVVSNPVGINVTVVPKRLVFNSYGQKIKFTVNLKVAAPSKGYTFGFLTWRNRMTRVTSPIVVRVASSTMGLMR >Manes.01G158500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34394838:34399077:1 gene:Manes.01G158500.v8.1 transcript:Manes.01G158500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLCSCRWLCIFNLLLLCVFVGQFGFCFSSKAYVVYMGSKNIGDHPDHILAQNHQILAAVHGGSIEQARASHLYSYKHGFRGFAAKLTDEQASQISKMPGVVSVFPNLKRRLHTTHSWDFMGLLGEETMEIPGYSTKNQVNIIIGFIDTGIWPESPSFSDDDMPPVPARWKGRCQSGEAFNASYCNRKVIGARYYMSGYEAEEDSDKILSFRSPRDSSGHGSHTASTAAGRYVSNMNYKGLATGGARGGAPMARIAVYKTCWDSGCYDVDLLAAFDDAIRDGVHILSLSLGPDAPQGDYFNDAISIGSFHAAARGVLVVASAGNEGNQGSATNLAPWMITVAASSTDRDFASDIILGNAANFSGESLSLFEMNASARIISASEAYAGYFTPYQSSYCLESSLNSTKARGKVLVCRHAESSTESKLAKSTVVKEAGGVGMVLIDEADQDVAIPFLIPSAIVGKEIGNKILSYINGTRKPIAKISRAKTVLGSQPAPRIAAFSSKGPNALTPEILKPDVTAPGLNILAAWSPAVGKMHFNILSGTSMACPHVTGIAALIKAVNPSWSPSAIKSAIMTTATILDKNLNPITVDPSGRRGNAFDYGSGFIDPTSVLDPGLIYDAQPTDYKAFLCSIGYDERSLHLVTRDNSTCNQTLTTASDLNYPSITVPNLKGNISITRTVTNVGKPRSIYKAVVSNPVGINVTVVPKRLVFNSYGQKIKFTVNLKVAAPSKGYTFGFLTWRNRMTRVTSPIVVRVASSTMGLMR >Manes.11G163400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32784950:32787285:1 gene:Manes.11G163400.v8.1 transcript:Manes.11G163400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELPPGFRFYPTEEELVSFYLHNKLDGKRQELHRVIPVIDIYNIEPWNLPMLAGERCRGDTEQWFFFTPRQEREARGGRPSRTTASGYWKATGSPGYVYSSDSRVIGVKKTMVFYKGKAPAGRKTKWKMNEYRAIEGVADSSSTSVPKLRHEFSLCRVYVISGSFRAFDRRPIEAAAEYAQLPGEAATTAAQATRMVENTSSTENSNSGGDHVDPPGTEGSAHWEMVNDLVQPPWDWERLKWP >Manes.15G043400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3358322:3363320:1 gene:Manes.15G043400.v8.1 transcript:Manes.15G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQWHTLLRGGEGKREHKHRLFSAEMKTLASICETVLPPISIQENETPPSKPVQDFFAASGFQAPLPDEIAELISQRGLIEILIAMRLVLLMLSTKIGTVLLCGTLCLRKNWPYINTFSGMSLTDREKVLQKWFRHWFFTPIRLTFILLKVACLYVFFSRANEDGENPAWEAIDYRIEEDMSPNEGPKERPLEEGIIETMRENDSTLLHNLAQKGLRVTKYPRNNLCKINCDVAIVGSGCGGGVAAAMLATSGQKVVVLEKGNYFTAKDYSGLEGPSHKQLYESGGILPTADGKVMILAGSVVGGGSAINWSACLNTPKPVLKDWAENHKLKLFGSSEYLAAMDAVCERIGVTVNCKEEGFQNQVLRKGCQKLGLEVNPVPRNSSETHYCGSCGYGCKQGDKKGIDSTWLVDAVKHGAVIITGCKAERFILEKNKSGSKKKKKCLGVMAKSLSNDIQMKLQIEAKVTISACGALLTPPLLITSGLKNPNIGRNLHLHPVLMTWGYFPESNAEFKGKSYEGGIITSVHNVLSEDSTVRAIIENPQLGPASFASLAPWESGLDIKTRMVRYSRTAHMITIIRDRGSGQVKAEGRISYNLDALDKENLKAGLRQSLRILVAAGAVEVGTHRSDGQRIKCEGIGEEELEEFLDTVYAAGGPLTPVQDWMVYTSAHQMGSCRLGISETEGAVDENGETWEAEGLYVCDASVLPSAIGVNPMITVESTAFCLSKRLAESVKERTV >Manes.08G076274.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15569883:15570347:-1 gene:Manes.08G076274.v8.1 transcript:Manes.08G076274.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNFTLSLRSILEKDKLKENRTNFVDWFRNLRIILKQEKKTYVLEAILEPPPADATNAVKDKHKKHVDDSMTLDTFQQQARQDKYETTIALHDCKMAEGESVSAHVLKMKGYIDHLLGLAIL >Manes.03G134932.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26270897:26271916:-1 gene:Manes.03G134932.v8.1 transcript:Manes.03G134932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEAERFIKAWIIAIICLCYCYYAAAKIPKGILRLLSLLPVVYIFIILPLNLTSAHLCCITTYLLLWLANFKLLLFAFDHGPLSPLPPKLFHFITLASLPIKLKTKNNSNPSPQTSLFTRSILLVVKILLMAIILHSYKYKHLMHSYVVFALYFSLTYLLVEISQAMYSIPARALFGFELDPQFNEPYLSTTLQDFWSRRWNIMVRNILHPTVYFPTRCFFMPIIGSSGATLIAIMATFLVSGLMHEILFYYVIRVNPTWEVTCFFILHGVCSAIEVAVKKVAKDRWRVPVVVSRPFTIAFVAVTSYWLFFPQILRNGIDDKVRRELLNIVDFVKGTN >Manes.08G026000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2549092:2550923:1 gene:Manes.08G026000.v8.1 transcript:Manes.08G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLCRKQLQQDIIVNNGGRECFNHVVSLTSSTYGALKLDINEKLQQQQDAAATEEEPIKEPVSESKKMQQSSPPREDPEVINAWELMKDLEDGGIPISNHPKKSPKSRALLRGFADMDARTPLKFLNQIGSPRKARTFGGKENKVKRVSEFISPRPVLKANNSSGKSSKAVLRLSYPVKASPVSAKTENAGCDDSEDSSRRRRSFSPLFDPELVALYEKELSEEEGQIKRIISLTPRPQKSKNARELESFLHSFEQKCPPGGDNAVVIYTTTLRGIRKTFEDCNTVRSIIDSYHIHIIERDISMDSGFKEEIRRLMGSMEVKVPLVFVRGRLIGGADQVVKLEEEGKLGILFDGIPKRLSGGCEVCAGFRFVMCKECNGSCKILNQEQKKMVKCGECNENGLIHCPICC >Manes.04G155050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35018635:35023431:-1 gene:Manes.04G155050.v8.1 transcript:Manes.04G155050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIGVSVCSWRPSLPPQSPPNLKRFRVSSSSSPLAFTPTRENTLSLIHNSGVIACLRANSAELAFEAASAALRGGISVLEIVMSTPGVFQVLQQLVQEHPTVALGVGTLLNVEDAIKAKRAGAKFLMSPAMVMGIVDVIQDGEILYIPGAMTPTECLPLFNSCIGDRTHIAVSYTVLINGDFEPFSCYHFNRNLFLQILSAYDAGSKIVKVYPVSALGGFQYISALKKPFPHISLVASQGIMIDSIGDYISCGASSVVLSDAIFNKEAMAQQNFNTISQLASVAALQGKEAVLRFDAHQTRRTEDY >Manes.09G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11393332:11396674:-1 gene:Manes.09G068200.v8.1 transcript:Manes.09G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRLGSKSEAFHRQGHTWLRTTGLQSDVTIEIGEMSFNLHKFPQLSKSGLLEKLIEEISSENGSICALRLDDIPGGAKAFELISKFCYGVKLELTALNVVSLRCAAEYLRMTEEYGEGNLIAQTEAFFNEVFSNWEDSLKALETCEEVLPSAEELHIVSRCIDSLAMKACMDPKVFNLPLSGQTKSQNEGSVIVCNGISVATKPEPMGDNWWFPDVSFLNLPFYRRLIIAIESRGMKPETIAASLIHYAKKYLPLMNKQSSFNNINHVNPGTTASFSPEADQRIILEEIVTLLPINKGVTSTKFLLRLLRTAMKRVGAQLDQAVLVDLIPNMGYSVETLYDVDCFQRILDHFVSLHQEKALSTSPCIVVEGQVRDGDDVLTPITMVANLVDDLLAEVAADVNLKLPKFEVLAATIPDYARPLDDGVYHAIDVFLKAHPWLTDTEREQLCRLMNCQKLSIEASTHAAQNERLPLRAPGKLGIPKKDGSCPIDAAQDHDVNLDLRDRVSELEKDCSNMKEELQKLVNMKRKWKIFSKKFSFVQRLHPCNSKESCDLREPESATNGQPNHEKGYMAQ >Manes.17G010900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3993566:4010132:-1 gene:Manes.17G010900.v8.1 transcript:Manes.17G010900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPKKKEKELAAWEADLKRREKDIKRREDAVAKAGVPADDKNWPPFFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVFNVIAVLVNWIRGGGVKIFLLASIYALSGIPMSYVLWYRPLYRAMRTDSALKFGWFFLFYLVHIGFCIFAAIAPPIVFHGKSLTGILPAIDVISDHLLVGIFYLVGFGLFCLESLLSLWVLQKIYMYFRGHK >Manes.17G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3993566:4010132:-1 gene:Manes.17G010900.v8.1 transcript:Manes.17G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYHHDPNPFDEDEVNPFSNGATAPGSKTRTPPLGPEPSGFGHDATVDIPLDTMNDPKKKEKELAAWEADLKRREKDIKRREDAVAKAGVPADDKNWPPFFPIIHHDIANEIPVHAQRLQYLAFASWLGIVLCLVFNVIAVLVNWIRGGGVKIFLLASIYALSGIPMSYVLWYRPLYRAMRTDSALKFGWFFLFYLVHIGFCIFAAIAPPIVFHGKSLTGILPAIDVISDHLLVGIFYLVGFGLFCLESLLSLWVLQKIYMYFRGHK >Manes.07G144101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34443107:34450853:1 gene:Manes.07G144101.v8.1 transcript:Manes.07G144101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDESITLKRKQVIASALVKHLSLDPGTSLGVSGKDDIMSLYTKILKSSGKPFLQKENEEVMKWIEFAESFPVDCQACLDALTGFNQDLAQKSILLGNGTTPSDADVIVFSVIHSSVIGLSHLEREKLTHVMRWMDYIQHKEEFAHLFEKILLKKPAFEFLGTKGMAKVEVDSNAKKTLESTKNTEKSEADKSTKKNVAGVTENKEAVPEKKKPSEKERAEKDKELSVSLLNIQVGLIRKASKHPSADSLLVEEIDVGDAKLRQVVSGLAKYCSPDELTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHSVVEPLLPPEGAKIGERVSFSGIDGKPEDVLNPKKKQLEKITLNLFTDDKGVATFKGIPFMTSGGPCTSSIPKASIK >Manes.07G144101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34443107:34450853:1 gene:Manes.07G144101.v8.1 transcript:Manes.07G144101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDESITLKRKQVIASALVKHLSLDPGTSLGVSGKDDIMSLYTKILKSSGKPFLQKENEEVMKWIEFAESFPVDCQACLDALTGFNQDLAQKSILLGNGTTPSDADVIVFSVIHSSVIGLSHLEREKLTHVMRWMDYIQHKEEFAHLFEKILLKKPAFEFLLQGTKGMAKVEVDSNAKKTLESTKNTEKSEADKSTKKNVAGKKVTENKEAVPEKKKPSEKERAEKDKELSVSLLNIQVGLIRKASKHPSADSLLVEEIDVGDAKLRQVVSGLAKYCSPDELTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHSVVEPLLPPEGAKIGERVSFSGIDGKPEDVLNPKKKQLEKITLNLFTDDKGVATFKGIPFMTSGGPCTSSIPKASIK >Manes.07G144101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34443107:34450853:1 gene:Manes.07G144101.v8.1 transcript:Manes.07G144101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDESITLKRKQVIASALVKHLSLDPGTSLGVSGKDDIMSLYTKILKSSGKPFLQKENEEVMKWIEFAESFPVDCQACLDALTGFNQDLAQKSILLGNGTTPSDADVIVFSVIHSSVIGLSHLEREKLTHVMRWMDYIQHKEEFAHLFEKILLKKPAFEFLLQGTKGMAKVEVDSNAKKTLESTKNTEKSEADKSTKKNVAGVTENKEAVPEKKKPSEKERAEKDKELSVSLLNIQVGLIRKASKHPSADSLLVEEIDVGDAKLRQVVSGLAKYCSPDELTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHSVVEPLLPPEGAKIGERVSFSGIDGKPEDVLNPKKKQLEKITLNLFTDDKGVATFKGIPFMTSGGPCTSSIPKASIK >Manes.07G144101.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34444000:34450853:1 gene:Manes.07G144101.v8.1 transcript:Manes.07G144101.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYTKILKSSGKPFLQKENEEVMKWIEFAESFPVDCQACLDALTGFNQDLAQKSILLGNGTTPSDADVIVFSVIHSSVIGLSHLEREKLTHVMRWMDYIQHKEEFAHLFEKILLKKPAFEFLLQGTKGMAKVEVDSNAKKTLESTKNTEKSEADKSTKKNVAGVTENKEAVPEKKKPSEKERAEKDKELSVSLLNIQVGLIRKASKHPSADSLLVEEIDVGDAKLRQVVSGLAKYCSPDELTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHSVVEPLLPPEGAKIGERVSFSGIDGKPEDVLNPKKKQLEKITLNLFTDDKGVATFKGIPFMTSGGPCTSSIPKASIK >Manes.05G170400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28449031:28449723:-1 gene:Manes.05G170400.v8.1 transcript:Manes.05G170400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVHSSPYFQMDNPTILSLLRQTTGEKRSKSSSGGLLKMFKLFPMLTSGCKMVALLGRPRKPLLKDSATTGTLFGYRKGRVSLAIQEDPHCMPMFVIELPIHTSTFHKEMASDIVRIALESETKTHKKKVLEEFVWAVYCNGRKIGYSIRRKQMSDDELHVMQLLRGVSMGAGVLPSPNEKESAADGELTYIRARFERVVGSKDSEALYMINPDGAPGPELSIFFVRGH >Manes.03G202900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31873403:31874885:1 gene:Manes.03G202900.v8.1 transcript:Manes.03G202900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVICMLQIKLLSPFIMPIFSSSSRTTAMLLLILFIASLAITDAQIGACYGMLGNNLPPASEVISLYKRSNIRRMRLYDPNRAALEALRGSNIELILGVPNPDLQNLANPSNANSWVQRNVREFWPSVRFKYIAVGNEISPVNGGTAWMAQYVLPAMRNIYEAIRSAGLQDQIKVSTAIDMTLVGNSYPPSAGAFRGDVRSYLDPIIGFLNSVRSPLLANIYPYFSYANNPRDISLAYALFTSPSVVVWDGQRGYRNLFDALLDALYSSLERAGGGSVAVVVSESGWPSAGGFAATYDNARTYLSNLINHVKGGTPKRPGRATETYIFAMFDENQKQPELEKHFGLFYPNKQPKYNIPFGAERKWDISAEYNATVLMLKSDV >Manes.09G159100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35476052:35486518:1 gene:Manes.09G159100.v8.1 transcript:Manes.09G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSGNNIANNVARAIVAALDWNSTPDARKAAVSFLDSIKTGDVRVLANVSFLLVKKDWSSEIRLHAFKMLQHLVRLRWEELNPVERRNFANIAFELMSEIANSSEEWALKSQTAALVAEIVRREGVELWQELLPSLVSLSGKGPVQAELVAMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPDILPLFYNLLERHFGAALHEVGRQQLDSAKQHAATVTATLNAINAYAEWAPLPDLAKFGVIHGCGFLLSSTDFRLHACEFFRLVSPRKRPVDASASEFDSAMSNIFQILMNISREFLLKSGSSTGVIDESEYEFAEYICESMVSLGSSNLQCISSDSSILSLYLQQMLGYFQHYKLALHYQSLLFWLVLMRDLMSKPKATMLSPGDGSSTNNVGCASGQVDNEKTKILSLMNDDICSAILDITFQRMLKREKIGASLSLGALELWSDDFEGKGDFSQYRFKLSELMKFVASFKPLISSAKISERILSIISSLSISAISVQELAVMESTQVALENVVSAIFDGSHEYSGGRSEVHLALCRIFEGLLQQLLSLKWTEPALVEVLGHYFDALGPFMKYFPDAVGSVINKLFELLTSLPFVVKDPSTSSARHARLQICTSFIRIAKAADRSVLPHMKGIADTMAYMQREGCLHRSEHNLLGEAFLVMASAAGIQQQQEVLAWLLEPLSQQWIQLEWQNNFLSEPLGLIRLCSETPFMWSIFHTVTFFEKALKRSGTRKGNVNLQNSSTGSTSLHPMSSHLSWMLPPLLKLLRAIHSLWSPSIYQALPGELKAAMTMSDAERFALLGEGNPKLPKGSLTSADGSQVGMTKEGYAEANESDIRNWLKGIRDSGYNVLGLSMTVGDPFFKCLDVDYVGIALMENIQSMEFRHIRQLVHSVLIYLVKSCPSETWEVWLEKLLYPLFLHVQQVLHFSWSSLLHEGKAKAPDVHGMLAASDLKVEVMEEKLLRDLTRETCLLLSSIASPGLNSGLPSLEQSGQVSRADISSLKDLDAFASNSMVGFLLKHKGLALPALQICLEAFTWTDSEAVTKVSSFCAHVVLLAIATNNVELQEFVSKDLFYAIIKGLELESNAVISADLVGLCREIFIYLRDRDPAPRQVLLSLPCITTQDLYAFEEALTKTSSPKEQKQHLKSLLLLATGNKLKALAAQKSVNIITNVTARSRTAVTASETRIDEGDSVGLAAIL >Manes.13G137600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34594329:34598781:-1 gene:Manes.13G137600.v8.1 transcript:Manes.13G137600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAFSGTLSSHTPSLISLDFDSSVFKKEKISLAGHDEYIVRGGRDLFYLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLTDAKSDIVVKIGLRKGSHSFAEARAAGFTEENGTLGDIWETISGSDLVMLLISDSAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDIALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEEEAYKNTVECITGIISKTISTKGMLAVYNSLSEEGKKEFEIAYGASYYPCMDILYECYEDVACGNEIRSVVLAGRRIYEKDGLPAFPMGKIDQTRMWKVGERVRSVRQAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNDSPVNRDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSTN >Manes.16G086500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29334751:29339218:-1 gene:Manes.16G086500.v8.1 transcript:Manes.16G086500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIVIQNMGSLCSKQRRYSEADAEETAQAAEIERRIEQEAKAEKHIQKLLLLVVCQCCRFELKWLRKAWAKRGGGVIMRDFMERFILTNEGTIINNSISYWVAYNIKLLFQSGFDESELKSYISVIHANVYQTIKILHDGSKELAQNETDSSKYVISSENKEIGEKLAEIGGRLDYPCLTKELAQETETLWKDAAIQETYIRGNELQVPDCAQYFMENLQRLSDPNYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVKLYDQTLFEDENKNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDLFEKKVLKVPLNVCEWFKDYQPISTGKQEIEHAYEFVKKKFEELYFQSTTPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >Manes.03G205301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32088158:32089277:-1 gene:Manes.03G205301.v8.1 transcript:Manes.03G205301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNTGATERIVNSKDLQQQSKAFDELSDSVEDRLLDSSRVQEAMASIAASAEADGNVMRMREIIGCSNELELDKKVAERTLREHKGDAVAAIQHLLRLNTIVMNQTL >Manes.12G125900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33196985:33204996:1 gene:Manes.12G125900.v8.1 transcript:Manes.12G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPSDFDADPFTSIFSHTSRIPDDTVFFAIFPDSSLSNSFSSSSSPSLALQSLHLEILHFISPYTLSYIWQHEPFSLSISSFSSSCICSSKSPLPHLHGKLRFGDNLEDEWFTVFLLFLISSQFPSLSIRVWDNDGEFLLIEAAFHLPRWINPENSVNRVFIRGGDLHIVPKSRLSNPNLIDSLKFLTYYESESRAADSIQKAVKSRISDYPERARRNTHQVRVRVPVSVAQVLKHEPCLISLAVEGFYDRDIDTMKYAAKMEKFVSRGREEELVCVAIKMSRAMYAQLMQQKFQAPKCYPMPVRGDDASAYLEAELGMKITCGFEMMYQLRRKEGEEGKGSTWNKYKDSLVRSGYFEGLLPGSQEYKRLMEKAEEYYRNSTLFSSTSEMMSAPVRRIDEILAVPHSVDDFQSQEVPLSDNDSWLYDGEKDLNVALRERQKEMDLYNAKHKKKQKLKEPQDAGPSSDADFGDFGLGDIAKTMQAFVDKMSSYKGAEVPENRNLKEVDLDADQFFKDMESVMKRHGRQADASDVDTEEASSSDMDFDESEDGSDIMEASEDNEDGEDTFMHRYSDALNEELKDTTLQKSFVRANDHSNKNEGTSNAGKDMDEEFTPVDVDVNLVKSLLDSFSSQQGQAGPASNLLGLMGLQLPQDGNKGK >Manes.04G046616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7695936:7700649:-1 gene:Manes.04G046616.v8.1 transcript:Manes.04G046616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKPIENRNISLSLKQENKHMVYPSLCSPMIIESLIFLFLLISQTTCNSTEINDQCAASSCGNIHNISYPFRLHTDPKNCGDHNYELACENNLTVLSLFGGKYYVQAINYDNFTIRLVDAGVNQYDCSSIPRFPFTFDNLILGNHGYLVSDLTRMICFIKCPSPVHSPSYLDYSACINGGSALKMHTYAMIIDESNWISDLMDLCSLEMISLIPHELGLENKNNISCVEIHRRLAFGFQLYWHSNSSVIFLDVDNRISGICTLFNEFIYGISLIIETIESEYSTIFTDIGIFWFVRALLGLPCVIAFLIFKWRRKHMSEYNTIEEFLQSHSNLMPVRYSYSQIKKITGGLKEKLGEGGFGSVYKGKLRSGQFSAVKILDKSKANVQDFINEVATLGKIHHVNVVQLIGFCAETSKQALVYEFMSKGSLRKYIDLEGSISISWEKLFEISLGVAYGIEYLHRGCDMQILHFDIKPDNILLDENFIPKISDFGLAKFYPTKGSIASLTVKGGTEGYMAPELFYKNMGGVSYKADVYSFGQLVLQIADRGKKENNKVIESLSEVYSPYRLHDQLSSGNLPIEDITEEEKIKARKMIITGLWCVQFQPSDRPAMNKVIEMLEGDLESLQVPPRPTLFPLDSVNTNWRELLLMTDDFTESSRSIKNLDQGTQ >Manes.04G046616.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7695936:7700649:-1 gene:Manes.04G046616.v8.1 transcript:Manes.04G046616.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKPIENRNISLSLKQENKHMVYPSLCSPMIIESLIFLFLLISQTTCNSTEINDQCAASSCGNIHNISYPFRLHTDPKNCGDHNYELACENNLTVLSLFGGKYYVQAINYDNFTIRLVDAGVNQYDCSSIPRFPFTFDNLILGNHGYLVSDLTRMICFIKCPSPVHSPSYLDYSACINGGSALKMHTYAMIIDESNWISDLMDLCSLEMISLIPHELGLENKNNISCVEIHRRLAFGFQLYWHSNSSVIFLDVDNRISAEYSTIFTDIGIFWFVRALLGLPCVIAFLIFKWRRKHMSEYNTIEEFLQSHSNLMPVRYSYSQIKKITGGLKEKLGEGGFGSVYKGKLRSGQFSAVKILDKSKANVQDFINEVATLGKIHHVNVVQLIGFCAETSKQALVYEFMSKGSLRKYIDLEGSISISWEKLFEISLGVAYGIEYLHRGCDMQILHFDIKPDNILLDENFIPKISDFGLAKFYPTKGSIASLTVKGGTEGYMAPELFYKNMGGVSYKADVYSFGQLVLQIADRGKKENNKVIESLSEVYSPYRLHDQLSSGNLPIEDITEEEKIKARKMIITGLWCVQFQPSDRPAMNKVIEMLEGDLESLQVPPRPTLFPLDSVNTNWRELLLMTDDFTESSRSIKNLDQGTQ >Manes.07G112902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31845695:31848360:1 gene:Manes.07G112902.v8.1 transcript:Manes.07G112902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQGFQPKENDDTFNITAKIPQFFKIILQSTVLEGRLRIPKKFVKNHGKCLSSPMILKVPTGNTWKVELLRSGDDVWLAKGWQEFTEYHSLKHGNMLVFKYEGDCQFSVLIFDMSAVEIEYPDRDIHSGKETEKHENEEDFKGKVQNKRSKGNQSPVIDIDDSDCFIQESRGKSSKLRRKRGVGKKPGRPPNSNISTDHESANKFTSSYPYFEAILGANGTGQIYVRVPTSFIRAHMKCETHAVKLKVAEKTWRVNLYINLQNSASKLCGGFTLFLRENGLKKGDVCIFELIGRRMMNVHIIKRASDA >Manes.06G153700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28089002:28091342:1 gene:Manes.06G153700.v8.1 transcript:Manes.06G153700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRVIGRPLLAKVKQTTGIVGLDVVPNAREVLINLYTKTLKEIQAVPEDEGYRKAVESFTTHRLKVCQEEEDWEVIERRLGCGQVEELIEEAQDELKLIEKMIEWDPWGVPDDYECEVIENDAPVPKHVPLHRPGPLPEEFYKTLEAVQSKNDAVTSGQSESKD >Manes.18G111100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11086664:11087996:1 gene:Manes.18G111100.v8.1 transcript:Manes.18G111100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDDPESPEVPCEIKLINCAFCVFFNVIRRTNGIVYRFLRSAFDLKTCPSKKPIIGVKTTDITIDNSRNLWFRIYTPTNTGDDAATAGLPVIFFFHGGGFVFLAANSLPYELFCRGLAKHLSAIIISVNYRLAPDYRCPSQYEDGFDALKFIDATKLEDFSGNLKQCFLAGDSAGGNMVHNIAVKAMKHEFSKLKFIGNILMQPFFGGEERTESECRLTRAPLMSKDIADWMWKSFLPEGSNRDDPAVNIFGPNSVDISGVNLPSSIIFVGGLDPLQDWQKRYYEGLKKYGKEAQLVEFDNGFHSFYLFPELPERCCLMKETKEFMQKQLESSNI >Manes.05G013000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:761248:765771:1 gene:Manes.05G013000.v8.1 transcript:Manes.05G013000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRDSEHEVDLESGGTTSEEDGTYDLVSANRQTKKLLNSDWSGPLSFDGLGNSSKFGGDADENVEFLLDRNSEGEDGLQQIPFVNKKHLEQKKKKKNSRKAPKPPRPPKGPLLDAADQKLVQEITELAMRKRARIERIRVLKKMREAKSSSWNSTLYAMAFTVVFFLILVFQGICSRNSGSMYLGWSPEPAVTASEDLISVQFYKNSAYEGDGPGSSSPSLAGGQVSGSESSK >Manes.05G013000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:761280:765771:1 gene:Manes.05G013000.v8.1 transcript:Manes.05G013000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRDSEHEVDLESGGTTSEEDGTYDLVSANRQTKKLLNSDWSGPLSFDGLGNSSKFGGDADENVEFLLDRNSEGEDGLQQIPFVNKKHLEQKKKKKNSRKAPKPPRPPKGPLLDAADQKLVQEITELAMRKRARIERIRVLKKMREAKSSSWNSTLYAMAFTVVFFLILVFQGIFGCAGGESMSMQVHESIGRVNLFQEQWKHVSRMVP >Manes.05G013000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:761280:765771:1 gene:Manes.05G013000.v8.1 transcript:Manes.05G013000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRDSEHEVDLESGGTTSEEDGTYDLVSANRQTKKLLNSDWSGPLSFDGLGNSSKFGGDADENVEFLLDRNSEGEDGLQQIPFVNKKHLEQKKKKKNSRKAPKPPRPPKGPLLDAADQKLVQEITELAMRKRARIERIRVLKKMREAKSSSWNSTLYAMAFTVVFFLILVFQGICSRNSGSMYLGWSPEPAVTASEDLISVQFYKNSAYEGDGPGSSSPRYVFLVLVAVLLIVSMHFVAVGSNLP >Manes.05G013000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:761280:763223:1 gene:Manes.05G013000.v8.1 transcript:Manes.05G013000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRDSEHEVDLESGGTTSEEDGTYDLVSANRQTKKLLNSDWSGPLSFDGLGNSSKFGGDADENVEFLLDRNSEGEDGLQQIPFVNKKHLEQKKKKKNSRKAPKPPRPPKGPLLDAADQKLVQEITELAMRKRARIERIRVLKKMREAKSSSWNSTLYAMAFTVVFFLILVFQGIFGCAGGESMSMQVHESIGRV >Manes.05G013000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:761269:765771:1 gene:Manes.05G013000.v8.1 transcript:Manes.05G013000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRDSEHEVDLESGGTTSEEDGTYDLVSANRQTKKLLNSDWSGPLSFDGLGNSSKFGGDADENVEFLLDRNSEGEDGLQQIPFVNKKHLEQKKKKKNSRKAPKPPRPPKGPLLDAADQKLVQEITELAMRKRARIERIRVLKKMREAKSSSWNSTLYAMAFTVVFFLILVFQGICSRNSGSMYLGWSPEPAVTASEDLISVQFYKNSAYEGDGPGSSSPSLAGGQVSGSEST >Manes.05G013000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:761248:765771:1 gene:Manes.05G013000.v8.1 transcript:Manes.05G013000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRDSEHEVDLESGGTTSEEDGTYDLVSANRQTKKLLNSDWSGPLSFDGLGNSSKFGGDADENVEFLLDRNSEGEDGLQQIPFVNKKHLEQKKKKKNSRKAPKPPRPPKGPLLDAADQKLVQEITELAMRKRARIERIRVLKKMREAKSSSWNSTLYAMAFTVVFFLILVFQGICSRNSGSMYLGWSPEPAVTASEDLISVQFYKNSAYEGDGPGSSSPSLAGGQVSGSESSK >Manes.05G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:761280:765771:1 gene:Manes.05G013000.v8.1 transcript:Manes.05G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRDSEHEVDLESGGTTSEEDGTYDLVSANRQTKKLLNSDWSGPLSFDGLGNSSKFGGDADENVEFLLDRNSEGEDGLQQIPFVNKKHLEQKKKKKNSRKAPKPPRPPKGPLLDAADQKLVQEITELAMRKRARIERIRVLKKMREAKSSSWNSTLYAMAFTVVFFLILVFQGICSRNSGSMYLGWSPEPAVTASEDLISVQFYKNSAYEGDGPGSSSPRYVFLVLVAVLLIVSMHFVAVGSNLP >Manes.05G013000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:761269:765771:1 gene:Manes.05G013000.v8.1 transcript:Manes.05G013000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSISRDSEHEVDLESGGTTSEEDGTYDLVSANRQTKKLLNSDWSGPLSFDGLGNSSKFGGDADENVEFLLDRNSEGEDGLQQIPFVNKKHLEQKKKKKNSRKAPKPPRPPKGPLLDAADQKLVQEITELAMRKRARIERIRVLKKMREAKSSSWNSTLYAMAFTVVFFLILVFQGICSRNSGSMYLGWSPEPAVTASEDLISVQFYKNSAYEGDGPGSSSPSLAGGQVSGSEST >Manes.12G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3261569:3265359:1 gene:Manes.12G037600.v8.1 transcript:Manes.12G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGQGFASGRINLGEIEVVRVSRFELIWTCNLSQDKKTGVSFYKPVGVRDGFYSLGHFCQFNNKPFRGFLLVAREVAFAETQGANFGRPVNSPALQKPLDYTLIWSSYDGSEENYDGCGFFWLPQPPRGYKPLGFLVTEKPEKPDADEVRCVREDLTDECQAYRPILTSYTKLSTFPFQVWSTRPCHRGMLGRGVSVGTFFCSNHWSCGEELSIACLRNTNPELHSMPNLEQIHALINHYGPRVFFHPDEIYLPSSVSWFFKNGALLYKAGNLTGEPIDISGSNLPVGGTNDRAFWIDLPNDDRRDTVKLGNLESAKLYVHVKPAHGGTFTDIAMWVFCPFNGPATLKVGPVNVALSKIGQHVCDWEHFTLRICNFIGELWSLYFSQHSGGEWVEAYDLEYIEENKPIVYSSKSGHASYPHPGTYIQGSAKLGIGIRNDAARSNLYVDSSTNYEIVAAEYIQGNACIEPSWLQYMREWGPKIVYNSKNELDRIINFLPVRLRFSAHNIFYKLPLELYGEEGPTGPKEKNNWVGDERG >Manes.12G037600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3260725:3265359:1 gene:Manes.12G037600.v8.1 transcript:Manes.12G037600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCKCFHRKRIDNCLPSEPDSFSLPAPLPDWPSGQGFASGRINLGEIEVVRVSRFELIWTCNLSQDKKTGVSFYKPVGVRDGFYSLGHFCQFNNKPFRGFLLVAREVAFAETQGANFGRPVNSPALQKPLDYTLIWSSYDGSEENYDGCGFFWLPQPPRGYKPLGFLVTEKPEKPDADEVRCVREDLTDECQAYRPILTSYTKLSTFPFQVWSTRPCHRGMLGRGVSVGTFFCSNHWSCGEELSIACLRNTNPELHSMPNLEQIHALINHYGPRVFFHPDEIYLPSSVSWFFKNGALLYKAGNLTGEPIDISGSNLPVGGTNDRAFWIDLPNDDRRDTVKLGNLESAKLYVHVKPAHGGTFTDIAMWVFCPFNGPATLKVGPVNVALSKIGQHVCDWEHFTLRICNFIGELWSLYFSQHSGGEWVEAYDLEYIEENKPIVYSSKSGHASYPHPGTYIQGSAKLGIGIRNDAARSNLYVDSSTNYEIVAAEYIQGNACIEPSWLQYMREWGPKIVYNSKNELDRIINFLPVRLRFSAHNIFYKLPLELYGEEGPTGPKEKNNWVGDERG >Manes.01G252900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41103815:41110272:1 gene:Manes.01G252900.v8.1 transcript:Manes.01G252900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSATSIRSSVTMSHRTSPAQALPLVVTLNCIEDCAIEQDSLAGVASIEHVPLSRLADGKIESAAAVLLHSLAYLPRAAQRRLRPNQLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLATRSLAFKISVLYFDVHEGKGKVSRSSIRFPPAARRMDTLNDLLAASDLISLHCALTNETVQIINAECLQHIKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISLLQSFFFDGVIPKDAISDEEEESELADESEEFLKQDNASALQASVGEKLKDDILLSPESSNRKGNNQSTESSYPAKSSGLSQTAVRSEGRSSRSGKKAKKRHGRQKSLQKSDDPRQLENESNSNREDDTAMSGTDQVLSSGSRFGSPEDSSSRKTPIASMQESTSDQLLLSSKNLSRKSGELLKDGCVIALYARDQPALHVSRQRVKGGGWFLDAMSNVTKRDPAAQFLVVFRSKDTVGLRSFAAGGKLLQINRRMEFVFASHSFDVWESWMLEGSLEECRLVNCRNPLAILDVRVEILAVVGEDDGVTRWLD >Manes.01G252900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41103815:41110272:1 gene:Manes.01G252900.v8.1 transcript:Manes.01G252900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSATSIRSSVTMSHRTSPAQALPLVVTLNCIEDCAIEQDSLAGVASIEHVPLSRLADGKIESAAAVLLHSLAYLPRAAQRRLRPNQLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLATRSLAFKISVLYFDVHEGKGKVSRSSIRFPPAARRMDTLNDLLAASDLISLHCALTNETVQIINAECLQHIKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISLLQSFFFDGVIPKDAISDEEEESELADESEEFLKQDNASALQASVGEKLKDDILLSPESSNRKGNNQSTESSYPAKSSGLSQTAVRSEGRSSRSGKKAKKRHGRQKSLQKSDDPRQLENESNSNREDDTAMSGTDQVLSSGSRFGSPEDSSSRKTPIASMQESTSDQLLLSSKNLSRKSGELLKDGCVIALYARDQPALHVSRQRVKGGGWFLDAMSNVTKRDPAAQFLVVFRSKINRRMEFVFASHSFDVWESWMLEGSLEECRLVNCRNPLAILDVRVEILAVVGEDDGVTRWLD >Manes.15G164300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13756012:13763879:-1 gene:Manes.15G164300.v8.1 transcript:Manes.15G164300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRPAGTSAGAGVESPASAEPASSRRRVGGQKRKVNALSTSSSSSTPSKRLTREKGLISHPPIHNGGPLTRARQSPNNLASSASAGTAASGFKLEEKVAVSDAAMVAALDEEVSKLEELQAAIEVEFEGIRSRDSNAHVVPSHCGWFSWTKIHLLEERALPSFFNGKSQTRTPDTYMEIRNWIVKRFHTNPNIQIELKDLSELEVADLDAKQEVLEFLDYWGLINFHPFPQIDSNVSADGVRDVKNDSLLDKLFHFESIQPCPPVAPKPNLSTPALASGLFPESSLAEELVRAEGPAVEYHCNSCSTDCSRKRYHCQKQADYDLCAECFNNGKFGSDMSSSDFILMEPAEAPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFLDCDDDIDGSSKETTDQPATNDDTSVSKDVTETSGDKSGSKEDLPLSLALEASKPEDAVEAEKPNNASEAVIDEKSSKSEESSEVKAVLDSSENLALKALTEAFEAVGYPCAPENQPSFAEVGNPVMALAAFLARLVGSDVAMASARSSLKSLNSNSPGVQLAARHCFLLEDPPDDLKGPAGPNCAMEMANQDAQQYNHEGQTQKGLNGRDLSIDHNDKKTEDSAPEEKQPPDSINDDPTEKVNTANEAGTEISHEENESGKLKESSELEYQKNPQISSLKESNEMQAKPEHPLSFVQEKEGSSAALTSKQTEVSKDVEMVPDLKSSENNEPCQLVAPLLVEEASQSQAAETSKNVDIISDSLPAGKNVQLVKSNSVGDQSQPIEVPKDVDMSSELPSEAKECQQPAAPNSVVENGTITVKDQKGSKKEKPDCRKIKEDNTIDKLKRAAVSALSAAAVKARILEKQEEDQIRQLAALVIEKQLHKLEMKLAFFNEMDHIIMRVREQLDRSRQRLYHERAQIIAARLGLPASSSRGMPPTSPTNRVATNFVNSIPRPPMSMTSQRPPIARPMGTLASTPSNSFVPTTTAGSSIRPSGQDKLSSVGTK >Manes.15G164300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13756012:13763879:-1 gene:Manes.15G164300.v8.1 transcript:Manes.15G164300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRPAGTSAGAGVESPASAEPASSRRRVGGQKRKVNALSTSSSSSTPSKRLTREKGLISHPPIHNGGPLTRARQSPNNLASSASAGTAASGFKLEEKVAVSDAAMVAALDEEVSKLEELQAAIEVEFEGIRSRDSNAHVVPSHCGWFSWTKIHLLEERALPSFFNGKSQTRTPDTYMEIRNWIVKRFHTNPNIQIELKDLSELEVADLDAKQEVLEFLDYWGLINFHPFPQIDSNVSADGVRDVKNDSLLDKLFHFESIQPCPPVAPKPNLSTPALASGLFPESSLAEELVRAEGPAVEYHCNSCSTDCSRKRYHCQKQADYDLCAECFNNGKFGSDMSSSDFILMEPAEAPGLSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFLDCDDDIDGSSKETTDQPATNDDTSVSKDVTETSGDKSGSKEDLPLSLALEASKPEDAVEAEKPNNASEAVIDEKSSKSEESSEVKAVLDSSENLALKALTEAFEAVGYPCAPENQPSFAEVGNPVMALAAFLARLVGSDVAMASARSSLKSLNSNSPGVQLAARHCFLLEDPPDDLKGPAGPNCSAMEMANQDAQQYNHEGQTQKGLNGRDLSIDHNDKKTEDSAPEEKQPPDSINDDPTEKVNTANEAGTEISHEENESGKLKESSELEYQKNPQISSLKESNEMQAKPEHPLSFVQEKEGSSAALTSKQTEVSKDVEMVPDLKSSENNEPCQLVAPLLVEEASQSQAAETSKNVDIISDSLPAGKNVQLVKSNSVGDQSQPIEVPKDVDMSSELPSEAKECQQPAAPNSVVENGTITVKDQKGSKKEKPDCRKIKEDNTIDKLKRAAVSALSAAAVKARILEKQEEDQIRQLAALVIEKQLHKLEMKLAFFNEMDHIIMRVREQLDRSRQRLYHERAQIIAARLGLPASSSRGMPPTSPTNRVATNFVNSIPRPPMSMTSQRPPIARPMGTLASTPSNSFVPTTTAGSSIRPSGQDKLSSVGTK >Manes.01G086500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28842557:28844121:1 gene:Manes.01G086500.v8.1 transcript:Manes.01G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSSSWVPEESFKNTNTDKDQLQLPTYNPLSDVAKKERSRLRSAENAIHLVPLVLVLCAIILWFFSNPESRV >Manes.11G070300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10145102:10147724:1 gene:Manes.11G070300.v8.1 transcript:Manes.11G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVVLVLQIMLELARAAATTAILGQGCGGRCGDIEIQYPFGFRADCAMDKWFVIDCIQTANSTSPFISSINLEVLNINYTYSRLLVKGPIFSYNCSHPKAGQAVDLIRTSLTFSGYNNFTVVGCNNRAVLSSSEADGNGCQPTCDENVKPQGCSGNRCCQTSIPYFQQLFAPSFQDVDNDQCRMAFTAETQWFEANVTDPYKVQELDYVPVLLDWKINATALESLVIDEKSTYNDPIVYYDKFDFPYPYNTVLKCREGFIGNPYLPIGCQDINECEDPKVRSHCHGLCVNTQGSYKCVHSRSWITILGISVAFGALILLISTWWLYKFIRKSKQIKLKRKFFNKNGGLLLRQLSSSRERTKIFSCKELDDATDHFSVNRIIGQGGQGAVYKGTLLDGRVVAIKKSMKVDEAKVEEFINECVILSQINHRNVVKLLGCSLETEVPLLVYEFIPNGTLYQYLHHQNDEFQLTWEMRLRIATQVSRAISYLHSEVCMPIYHRDIKTTNILLDEKYTAKVSDFGVSRSIQIDRSHLTTHVKGTFGYVDPEYFQSSLLTEKSDVYSFGVVLVELLTGQKPISSERVEEGVGLAACFILSMEDDKLFDMLDPRIVDQCDIEEVIAVANLARRCLNLNGKLRPTMKEVLTELEGIRLSQKETSIQQNAFNEVEPNPSDVSSTSTSSCCDSPQISINIFKANTVFEPVKEDKALTV >Manes.12G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8024106:8038330:-1 gene:Manes.12G073600.v8.1 transcript:Manes.12G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPRKRISKPSIDDAEQGEHDFFREADGGSPSHGCGSDVELYVLSSHSDDSNSDDECEMVEEVSGKKQKANTKISIDIDDQEDNFLVSMSTRDLDISDYPEPSAEISIGSNSEQLPRAAQVKYVAEKGKRAYRSRRKRDKNRSEPPLMWEIWEEEYDKWIDEHLTDYVDLDHQHGIVNEAVEPPQDLIMPLLRYQKEWLAWSLKQEESETKGGILADEMGMGKTIQAISLVLAKREILQKNQESFGATTLPGSSIDIAGTKPTLVVCPVVAVSQWVSEIDRFTAKGSTKVLVYHGANREKSSKKFSDYDFVITTYSTVEAEFRKYMMPPKEKCPYCGKSFHENKLFIHQKYYCGPDAIRTAKQSKQDRKKLKNGSLASMQKKESGEVKSHEFQDNVMKGARKKKRKQHGVEDDIEAMENLDVEQALRKEKSLLHSVKWDRIILDEAHYVKDRRCNTAKAIFALESSYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYRSSAQCLSCPHSSVRHFCWWNKYISNPIQANGTIDIGKRAMVLLTQKVLRNIVLRRTKKGRAADLALPPRMVMLRRDTLDVKEEDYYQSLYNESQAQFNTYVTAGTVMNNYAHIFDLLTRLRQAVDHPYLVVYSKTAAQKEGNLVYAHNSEQGCGICHEPVEDPVVTACLHVFCKACLLDFSASLGQVSCPTCSKLLTVDLTANAGCGDQTAKTTIKGFKSSSILNRIQLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDLISYSLHKSGVNCVQLVGSMTLAARDAAIKKFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFIIENTIEERILQLQEKKELVFEGTVGGSSDALGKLTEADLRFLFIT >Manes.17G102700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31043975:31044290:-1 gene:Manes.17G102700.v8.1 transcript:Manes.17G102700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSS >Manes.16G091700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29804192:29804803:-1 gene:Manes.16G091700.v8.1 transcript:Manes.16G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLESPLEALAFNYFSFGIFTVVNNLWTWIALITAAISFWKIRTAGVARVSTFSAKTETLSSANCIGVRNVNEPKPVVEISEPESITQAPTSPTPTTSSASPASFSSVYEDVDGVTRGKFVKYYEDEKTKDGKGDDELTAVGEWVDGSGGCYGGSGAEWWERWERVLKLRTGDGMGWYTYQDWTAINGNVVRLWDGNVYGNW >Manes.02G145200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11136600:11139458:-1 gene:Manes.02G145200.v8.1 transcript:Manes.02G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENSNKAEAERLLGIAEKLLQSRDFNGTRDFAVLAQETESLLDGSDQILAVADVLLSAEKRINNHNDWYAILQIDRRSDDQELLKKQYRRLALLLHPDKNKFPFADQAFKLVADAWAVLSDPAKKSLYDNELNLFSRIDLSNSEKLPVRRSPRPAAKKHAGESVKTSISNSSEDRSQKMKLSSFWTACPYCYILYEYPRVYQDCCLRCQNCQRAFHAVLIPSLPPLVPGRDAYYCCWGFFPLGFTFGSSESGGKNTGTGSGSGQVFPNWMPPIFSTGQQVGDQNGGTSTANTPLVFATGQQVSDKNGNASVGAAPARTGMARGGGNVHVSAGSASGFLKRKRGRPRKYPLPGV >Manes.14G041000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3476603:3478205:-1 gene:Manes.14G041000.v8.1 transcript:Manes.14G041000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPLAKTILFPSSKELLTNTMDAILFEAAQRGEVNFLQQLLRENPLILDNVALLSTENLLNVALITGNVSFVKEITRLKPHFVKELNQDGFSHMHIAAAHGHVEIVKELIEVDPNLCRLEGREKRTPLHYAAIKGRVEVINVLLCSCPECIVAVTVERETALHLAVKNHQFQATEVLVKWIRENNKDEVFSITDEQRNTVLHLAIWKRQRQVVELLLDSRLVGSKCHEPLRPHCTGCAANVPQ >Manes.17G065900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26596826:26600519:1 gene:Manes.17G065900.v8.1 transcript:Manes.17G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSLKNILLLDSEGKRVAVKYYSDDWPTNSAKEAFEKCVFSKTQKTNARSEAEITMLENNIIIYKFVQDLHFFVTGSEDENELILAAVFQGFFDAVGLLLRGNVDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVASNSMDAGASLSEQTLTQALATAREHLTRSLLK >Manes.14G138100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12103824:12110276:1 gene:Manes.14G138100.v8.1 transcript:Manes.14G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTSRLGRRPSRARLNRSTRSRLFSSLICGGSSPRSTLEVEDHPDEILLNSEKHCDSITNEIWNLAEESSFPSGIGTSSTSSVAETGASLGSSITASEGTSTEEGLRNNGTSNQGKGFSEGGELVAPSQVSDYYSHDESCRHRSITEASTSFKDQQSSDPVSVNVLSNEDAVNGIDNSEDMGGSYICRDIMHPSSSFQGLGDAYADGVSIENHMAEATGVFNSDSDSVPHRTEVPVTFDSLGDESVPEAIPAGLGFLVADREQGTEDGGVIHVDVVSISSSIFSSSNADMRGRDARRNSRRLFWDAFSRRSSRRHLDSPTIFFSAENSDDLLSHERWLLDFSGDFFDDGMGGDSGYLGSRIHSLNGRRRHSRSEMWERLRGGFNEQGRGTTLCPSGLHPDGTCSCDSSPTTEESSNRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLKNHKKEDKVGGSDDVEVEQCYICLSEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVRQGANESSVSGNEFSVTNPEIPYI >Manes.14G138100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12103834:12110276:1 gene:Manes.14G138100.v8.1 transcript:Manes.14G138100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYICRDIMHPSSSFQGLGDAYADGVSIENHMAEATGVFNSDSDSVPHRTEVPVTFDSLGDESVPEAIPAGLGFLVADREQGTEDGGVIHVDVVSISSSIFSSSNADMRGRDARRNSRRLFWDAFSRRSSRRHLDSPTIFFSAENSDDLLSHERWLLDFSGDFFDDGMGGDSGYLGSRIHSLNGRRRHSRSEMWERLRGGFNEQGRGTTLCPSGLHPDGTCSCDSSPTTEESSNRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLKNHKKEDKVGGSDDVEVEQCYICLSEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVRQGANESSVSGNEFSVTNPEIPYI >Manes.14G138100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12105876:12110276:1 gene:Manes.14G138100.v8.1 transcript:Manes.14G138100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIFFIQVEDHPDEILLNSEKHCDSITNEIWNLAEESSFPSGIGTSSTSSVAETGASLGSSITASEGTSTEEGLRNNGTSNQGKGFSEGGELVAPSQVSDYYSHDESCRHRSITEASTSFKDQQSSDPVSVNVLSNEDAVNGIDNSEDMGGSYICRDIMHPSSSFQGLGDAYADGVSIENHMAEATGVFNSDSDSVPHRTEVPVTFDSLGDESVPEAIPAGLGFLVADREQGTEDGGVIHVDVVSISSSIFSSSNADMRGRDARRNSRRLFWDAFSRRSSRRHLDSPTIFFSAENSDDLLSHERWLLDFSGDFFDDGMGGDSGYLGSRIHSLNGRRRHSRSEMWERLRGGFNEQGRGTTLCPSGLHPDGTCSCDSSPTTEESSNRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLKNHKKEDKVGGSDDVEVEQCYICLSEYEEGDKIRVLPCHHEYHMSCVDKWLKEIHGVCPLCRGDVRQGANESSVSGNEFSVTNPEIPYI >Manes.14G138100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12103824:12110276:1 gene:Manes.14G138100.v8.1 transcript:Manes.14G138100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTSRLGRRPSRARLNRSTRSRLFSSLICGGSSPRSTLEVEDHPDEILLNSEKHCDSITNEIWNLAEESSFPSGIGTSSTSSVAETGASLGSSITASEGTSTEEGLRNNGTSNQGKGFSEGGELVAPSQVSDYYSHDESCRHRSITEASTSFKDQQSSDPVSVNVLSNEDAVNGIDNSEDMGGSYICRDIMHPSSSFQGLGDAYADGVSIENHMAEATGVFNSDSDSVPHRTEVPVTFDSLGDESVPEAIPAGLGFLVADREQGTEDGGVIHVDVVSISSSIFSSSNADMRGRDARRNSRRLFWDAFSRRSSRRHLDSPTIFFSAENSDDLLSHERWLLDFSGDFFDDGMGGDSGYLGSRIHSLNGRRRHSRSEMWERLRGGFNEQGRGTTLCPSGLHPDGTCSCDSSPTTEESSNRASISRIVMLAEALFEVLDEIHRQPVSLSLSMVSLPAPESVVDSFPLKNHKKEDKVGGSDDVEVEHVCPLCRGDVRQGANESSVSGNEFSVTNPEIPYI >Manes.10G052100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5987032:5996986:-1 gene:Manes.10G052100.v8.1 transcript:Manes.10G052100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSKAGNNSYDYAFKILLIGDSGVGKSTILLSFISNSVHDLSPTVGVDFKIKMVNVGGKRLKLTIWDTAGQERFGTLISSYYRGAHGIILVYDVTRRETFKNLSDMWAKEVELYSTNQDCIKILVGNKIDRDVERAVSREEGMALAAEHKCAFLECSAKTRENVVQCFKELMLKVHRQQRNVFLMVLEVPSLLEEGSVVVKQQVLSQKPGYHAPRSRGCCS >Manes.11G060425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9049557:9051118:1 gene:Manes.11G060425.v8.1 transcript:Manes.11G060425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLCKTLELPCFHLDSPSATSVSLRLPHLRPLKRHFSPLPVQHVNLVLPAVATNCFTLELSLVEEATKEQLHPQLSHFTKYLDHEGEEIGESTPESIKQLALKFKMMVEVVTRLEVVMICVPEISQKPKLCHHHSLPRKISQFLPFFTRSNWQSLTSP >Manes.11G101700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22488909:22507735:1 gene:Manes.11G101700.v8.1 transcript:Manes.11G101700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMVPETDQDSEVQNASSPTAMDGEQLRDQSQPAGSSTPAVPTPVQPPAQQQSPVVGPRHAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGAPSSAGNAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLSDVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAASETTTTASHAAAAAAASNLHAGKLPGRYGFVDERTRQAIPEAVPDGAVVLGNPVAPPINGDMYNDISTENAMLPGSRRTSKGVEYLVEASAAEAEAITATLAAAKARQVNGEVELPERDRGAEATPSGKQISTLIKPDSAVSNSIAPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDNAEKIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPISMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQATNDRGSLAWI >Manes.11G101700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22488909:22508080:1 gene:Manes.11G101700.v8.1 transcript:Manes.11G101700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMVPETDQDSEVQNASSPTAMDGEQLRDQSQPAGSSTPAVPTPVQPPAQQQSPVVGPRHAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGAPSSAGNAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLSDVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAASETTTTASHAAAAAAASNLHAGKLPGRYGFVDERTRQAIPEAVPDGAVVLGNPVAPPINGDMYNDISTENAMLPGSRRTSKGVEYLVEASAAEAEAITATLAAAKARQVNGEVELPERDRGAEATPSGKQISTLIKPDSAVSNSIAPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDNAEKIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPISMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGGRSSLEEALNFSRLKKGESQSHIFWNKSAYLIE >Manes.11G101700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22488902:22508054:1 gene:Manes.11G101700.v8.1 transcript:Manes.11G101700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSSMVPETDQDSEVQNASSPTAMDGEQLRDQSQPAGSSTPAVPTPVQPPAQQQSPVVGPRHAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGAPSSAGNAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLSDVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAASETTTTASHAAAAAAASNLHAGKLPGRYGFVDERTRQAIPEAVPDGAVVLGNPVAPPINGDMYNDISTENAMLPGSRRTSKGVEYLVEASAAEAEAITATLAAAKARQVNGEVELPERDRGAEATPSGKQISTLIKPDSAVSNSIAPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDNAEKIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPISMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQATNDRGSLAWI >Manes.S012099.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:87011:87178:1 gene:Manes.S012099.v8.1 transcript:Manes.S012099.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.11G061300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8595063:8597527:1 gene:Manes.11G061300.v8.1 transcript:Manes.11G061300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPATMKVLGLGLGIVLIILMGIVSEISVELLIRFSALCKASSYGEVVECALGKTARVLSEICIIVNNAGFMVVYLIIIGDVLSGSFHHVGVLDQWLGHGVWDHRKLVILVVVVIFLAPLCALDKIDSLSLTSAASVVLAVVFVVVCFIVAFIKLVEGKIEVPRMTPDFGSKKAILDLLVVIPITTNAYVCHFNVQPIYNELEGRSPQKMNQVGRITTILCVIVYASTAISGYLLFGKDTEADVLTNFDVDLGIPFSSAIDYIVRVGYVLHLVLVFPVVHFSLRQTVDDMVFEGSAPLAESRKRSLALTAVLLGLIYFGSTMVPNIWTAFKFTGATTAVSLGFIFPSLIALKLSDRGESLSHGEKLLSWFMLIMAIIVSIVGVIGNIYSLKGQSK >Manes.11G061300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8595135:8597687:1 gene:Manes.11G061300.v8.1 transcript:Manes.11G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYTAIPKNSFVELQLNHDPEGPSHPENTHIKLLPLNDREEFIDPQIENAKILGDNDGGGGGDYDDDDDFDIDSYPLVLSKSNNGSGIYGAVFNLTTTIIGAGIMALPATMKVLGLGLGIVLIILMGIVSEISVELLIRFSALCKASSYGEVVECALGKTARVLSEICIIVNNAGFMVVYLIIIGDVLSGSFHHVGVLDQWLGHGVWDHRKLVILVVVVIFLAPLCALDKIDSLSLTSAASVVLAVVFVVVCFIVAFIKLVEGKIEVPRMTPDFGSKKAILDLLVVIPITTNAYVCHFNVQPIYNELEGRSPQKMNQVGRITTILCVIVYASTAISGYLLFGKDTEADVLTNFDVDLGIPFSSAIDYIVRVGYVLHLVLVFPVVHFSLRQTVDDMVFEGSAPLAESRKRSLALTAVLLGLIYFGSTMVPNIWTAFKFTGATTAVSLGFIFPSLIALKLSDRGESLSHGEKLLSWFMLIMAIIVSIVGVIGNIYSLKGQSK >Manes.04G154450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34987668:34989012:-1 gene:Manes.04G154450.v8.1 transcript:Manes.04G154450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKASLIQTEMNLQPLATKAENLIVEMDIKKMGIQVDCLCQMAAGPGLGRGQGQGRGHGSSGNEPSESSNRNAGSRNGNGRGGRSWQGREHVSSSGRGDDSWNGSDFAYNSNHWGTNPKDKCADGDGKSMFCDGNGSGGSWDANRSGGNYWNASGKVGNAGGGKWARMVLIHRVFLEVVLQAAMTRWLVAVTLVGIVDGERTVLVVAEVVLIMIQRLLLLDGVLNLGRAPFWVVVVGADGPEIPAVICAMLPT >Manes.07G094000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29176628:29179915:1 gene:Manes.07G094000.v8.1 transcript:Manes.07G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCTAPIKKLRHACFGLTVKCSNLYPTSRCFRSCLFSSSSSPSKLEDILLVAFLTKNLSESGTRNLEPDSIPLSEPLVLQILRQSSLEPSRKIDFFKWCSLRHNYKHSACTYSNMFRTLCRAGNLEEIPNLLNLMKDDGVVVSSDTFKFLLDAFIRSGKFDFALEIFDHMEELGTNLNPHMYDSVIVALARKNQIGLALSIFFKLLEASNGMNKEDSVRNVGLSMPGSIACNALLVALRKADMRAEFRKVFDKLRATDEFELDTWGCNICIHAFGCWGDLATALMLFKEMKEKSLGSGPFGPDLCTYNSLIHVLCLFGKVNDALIVYEELKVSGHEPDAFTYRILIQGCSKSCRMNDTMKIFSEMQYSGFLPDTIVYNSLLDGMFKARKVLEACQLFEKMVQDGVRASSWTYNILIDGLCKNGRAEAGYRLFCDLKKKGKFVDAVTYSIIVLLLCREGQLEEALVLVEEMEERGFVVDLVTVSSLLIGFHKQGRYDCTEKLMKHIRDGNLVPNVLNWQADMEASLKNPQRRRKDYTPMFPSNGKLSEITSLLRYPDLEKCSSDGSAIEDENSSLNDTDQWSSSPYMDHLANQVQSNNLSSQLFSLSRGQRVQEKGIDSFDIDMVNTFLSIFLAKGKLSLACKLFEIFSDIGVNPVSYTYNSIMSSFVKKGYFNEAWDVLNEMGEKFCPADIATYNMIIQGLGKMGRADLAISVLDKLMKQGGYLDTVMYNTLINTLGKAGKIDEAKVLFEQMKSSGINPDVVTYNILIEIHSKAGHLKDAYKFLRMMLDAGCLPNHVTDTTLDFLAKEIEKQRYQKASILGKKDNST >Manes.07G094000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29176639:29179915:1 gene:Manes.07G094000.v8.1 transcript:Manes.07G094000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCTAPIKKLRHACFGLTVKCSNLYPTSRCFRSCLFSSSSSPSKLEDILLVAFLTKNLSESGTRNLEPDSIPLSEPLVLQILRQSSLEPSRKIDFFKWCSLRHNYKHSACTYSNMFRTLCRAGNLEEIPNLLNLMKDDGVVVSSDTFKFLLDAFIRSGKFDFALEIFDHMEELGTNLNPHMYDSVIVALARKNQIGLALSIFFKLLEASNGMNKEDSVRNVGLSMPGSIACNALLVALRKADMRAEFRKVFDKLRATDEFELDTWGCNICIHAFGCWGDLATALMLFKEMKEKSLGSGPFGPDLCTYNSLIHVLCLFGKVNDALIVYEELKVSGHEPDAFTYRILIQGCSKSCRMNDTMKIFSEMQYSGFLPDTIVYNSLLDGMFKARKVLEACQLFEKMVQDGVRASSWTYNILIDGLCKNGRAEAGYRLFCDLKKKGKFVDAVTYSIIVLLLCREGQLEEALVLVEEMEERGFVVDLVTVSSLLIGFHKQGRYDCTEKLMKHIRDGNLVPNVLNWQADMEASLKNPQRRRKDYTPMFPSNGKLSEITSLLRYPDLEKCSSDGSAIEDENSSLNDTDQWSSSPYMDHLANQVQSNNLSSQLFSLSRGQRVQEKGIDSFDIDMVNTFLSIFLAKGKLSLACKLFEIFSDIGVNPVSYTYNSIMSSFVKKGYFNEAWDVLNEMGEKFCPADIATYNMIIQGLGKMGRADLAISVLDKLMKQGGYLDTVMYNTLINTLGKAGKIDEAKVLFEQMKSSGINPDVVTYNILIEIHSKAGHLKDAYKFLRMMLDAGCLPNHVTDTTLDFLAKEIEKQRYQKASILGKKDNST >Manes.12G135200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34218465:34221309:1 gene:Manes.12G135200.v8.1 transcript:Manes.12G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann1 MSTLKVPAHVPSPSEDAEQLHKAFEGWGTNESLIISILAHRTAPQRNLIRKTYAEAYGEDLLKALDKELSSDFERSVLLWTLDPAERDAYLANEATKRFTSSNWVLMEIACTRSSLELFKVRQAYHARYKRSIEEDVAYHTTGDFRKLLVPLVSSFRYEGEEVNMSLAKSEAKILHGKISDKAYSDDEIIRILSTRSKAQLNATLNHYNNAFGNAINKNLKADPKDEFLLLLRATIKCLTSPEKYFEKVLRLAINKLGTDEWALTRVVTTRAEVDMQRIKEEYHRRNSVPLDRAIAGDTSGDYEKMLLALIGHGDI >Manes.15G044600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3428871:3432756:-1 gene:Manes.15G044600.v8.1 transcript:Manes.15G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMATHFSAALFLLPVGLRRLFFSSSLYLKNPSLFRSKTWYLSDPKWKNLDLYVLTVAFPIASFSEIFLFLTFTGHPTYRFSFMHQSLVLFLYWALAILFLLRDNIDPLTLNDNFLFVLTGIVFFIEYTVIGNGISGHISEVFYGLCGNLTVICCCCCLYLAIRPRAFFMEFCFCCGLVLRGTWFFQAALCLYTDVFAFKGCHKIMVSPESENAELKCDLEDDGLRGVALVNLLFIGHAIGVLLVSFGVFGVLSRHRNSRHGEASGPLLAELQSDSTVTLTLPEFELE >Manes.01G102800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30253183:30258052:1 gene:Manes.01G102800.v8.1 transcript:Manes.01G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFIVFLVIFLLLLCISSALLRWNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGSFFKSHILGCPTIISMDPELNKYILMNEAKGLVPGYPQSMLDILGNCNIAAVHGSTHKYMRGALLSLISPTMIRQQLLPTIDEFMRNHLSHWDNKIIDIQQKTKEMALLSSLKQIASRDSSSMSQAFMPEFFKLVLGTLSLPIDLPGTNYRRGFQARKSIVSMLRQLIEERRASKETHQDMLGCLLKSEESRYKLTDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPRVLQELRKEHLAIRENKRPEDPIDVNDLKSMRFTRAVIFETSRLATIVNGVLRKTTKEMELNGFVIPEGWRIYVYTREINYDPFLYPEPLSFNPWRWLEKSLESQNYMFIFGGGTRQCPGKELGIAEISAFLHYFVTRYRWEEVGGDTLMKFPRVEAPNGLRIRVSSC >Manes.18G062400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5481157:5484489:-1 gene:Manes.18G062400.v8.1 transcript:Manes.18G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRIRPLISSLRSGRLQFDDRNLSMFISSRASPMDKVGESSLKYSNANGNLKREILRLKLPGESAAYVLQNWVDNGGKVTISQLRYISGILAKSRRYNHALEIFRWMDTRNNFHMSAEDHALKLELVLKVHGLREAEGYFKLLSNSATRKAAALPLLHGYVKERDTVKAEALMMNLNDLGLIVNSHPFNEMMKLYMATSQYEKVLFVIQQMKHNKIPLTILSYNLWMNSLAELSKVTEAEMVYREMVNDKNVEVGWSTLSTLANIYIKAGLVDKAFLALENAEKKLSTNNRLGYLFLITQHSSLKNKEGVRRLWKVSKGVGGRITCANYICILSCFVKVGDLIEAERVFMEWESNCRTYDIRVSNVLLGAYVRNGLINKAESLHLHTLERGGCPNYKTWEILMEGWVKSQKMDKAIIAVKQAFSMLNNCKWRPSHGILVAIAEYLEKHRKFEDANHYIQAIHHLGLASLPLYKIILRMHLHARRTAPDILKMMDKDKIEMDDETSSLVQACYSCV >Manes.18G062400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5478790:5484489:-1 gene:Manes.18G062400.v8.1 transcript:Manes.18G062400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRIRPLISSLRSGRLQFDDRNLSMFISSRASPMDKVGESSLKYSNANGNLKREILRLKLPGESAAYVLQNWVDNGGKVTISQLRYISGILAKSRRYNHALEIFRWMDTRNNFHMSAEDHALKLELVLKVHGLREAEGYFKLLSNSATRKAAALPLLHGYVKERDTVKAEALMMNLNDLGLIVNSHPFNEMMKLYMATSQYEKVLFVIQQMKHNKIPLTILSYNLWMNSLAELSKVTEAEMVYREMVNDKNVEVGWSTLSTLANIYIKAGLVDKAFLALENAEKKLSTNNRLGYLFLITQHSSLKNKEGVRRLWKVSKGVGGRITCANYICILSCFVKVGDLIEAERVFMEWESNCRTYDIRVSNVLLGAYVRNGLINKAESLHLHTLERGGCPNYKTWEILMEGWVKSQKMDKAIIAVKQAFSMLNNCKWRPSHGILVAIAEYLEKHRKFEDANHYIQAIHHLGLASLPLYKIILRMHLHARRTAPDILKMMDKDKIEMDDETSSLVQACYSCV >Manes.18G062400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5479629:5484489:-1 gene:Manes.18G062400.v8.1 transcript:Manes.18G062400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRIRPLISSLRSGRLQFDDRNLSMFISSRASPMDKVGESSLKYSNANGNLKREILRLKLPGESAAYVLQNWVDNGGKVTISQLRYISGILAKSRRYNHALEIFRWMDTRNNFHMSAEDHALKLELVLKVHGLREAEGYFKLLSNSATRKAAALPLLHGYVKERDTVKAEALMMNLNDLGLIVNSHPFNEMMKLYMATSQYEKVLFVIQQMKHNKIPLTILSYNLWMNSLAELSKVTEAEMVYREMVNDKNVEVGWSTLSTLANIYIKAGLVDKAFLALENAEKKLSTNNRLGYLFLITQHSSLKNKEGVRRLWKVSKGVGGRITCANYICILSCFVKVGDLIEAERVFMEWESNCRTYDIRVSNVLLGAYVRNGLINKAESLHLHTLERGGCPNYKTWEILMEGWVKSQKMDKAIIAVKQAFSMLNNCKWRPSHGILVAIAEYLEKHRKFEDANHYIQAIHHLGLASLPLYKIILRMHLHARRTAPDILKMMDKDKIEMDDETSSLVQACYSCV >Manes.14G172000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:28290025:28291023:1 gene:Manes.14G172000.v8.1 transcript:Manes.14G172000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVADSNATPEQSRRRAGTGRRRVEIKKIQDKCSLLVTFSKRRTGLFKKLGEVCNLCGGEAAVITFSPAGKPYAFGAPSADSVALRYLTESISAASSSGLDLPEAAAVEEAEEKFWWEQPIEELDMEELREYKAGLVGLKSNLVMRIEDLKMRKTVTRNLFH >Manes.02G104900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8197567:8198576:1 gene:Manes.02G104900.v8.1 transcript:Manes.02G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSVSPSPHPKISIKSPYPAPRRTRFRPPFIANTATAYKERSNLYQQLPQRMASLYEILGIPVGATSQEIKSAYRRLARTWHPDVAALDRKDKSADEFMKIHTAYSTLSDPEKRAVYDRKFFRRKSPLTGSFPGYHGRNWETDQCW >Manes.18G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5582657:5583349:1 gene:Manes.18G063300.v8.1 transcript:Manes.18G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGSKRVVSAIFILISMMVPSLATVYTVGDSTGWTMGNDYSTWTSGKTFTVGDSLVFNYGGGHTVDEVSASDYNTCTVGNAITSDSSGATTVALKTAGTHYFICGVIGHCGSGMKIAVTAQAASSSGTTTPSGTATPTSGSGTPSDTPATTSPSGTNTSIYKPSSNNIPESASSTLSPFVAVMATCVALFFMMVFEL >Manes.11G025800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2565737:2567642:-1 gene:Manes.11G025800.v8.1 transcript:Manes.11G025800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERKGFALYISFFLSFPLSYCNYCRHQHKDIFDLEIKVTQEMAVKPTVALRAMLVGGIAVFAKVAGAMKAAGGAKLGAAATAMTIAASAAMSGSKQDSKDASPSK >Manes.02G027400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2307706:2310170:1 gene:Manes.02G027400.v8.1 transcript:Manes.02G027400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANLSSVFVDPNPLSRYGSLSSPSPTPYPTLEDLPESCVALILGYLDPPQICKLARLNRAFRGASWADFVWESKLPTNYDRLIQRVLGDDFPRKISKREIYTRLCRANTFDGGTKKVWLDKTTGGVCLSISSKGLAITGIDDRRYWNHIPTEESRFNSVAYVQQTWWFEVDTQFEFPFPGGTYSIFFRVHLGKAGKRFGRRICNTEHVHGWDIKPVRFQLWTSDGQHASSQCFLSDPGKWNLYHVGNFVVDNSNPSVNLKFSMTQIDCTHTKGGLCLDSVVILPCKVQG >Manes.02G030500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2432653:2436638:-1 gene:Manes.02G030500.v8.1 transcript:Manes.02G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQNLGIMGSDSGSALGGLNSGREVSMSPSGDQTRQLKAEIATHPLYEQLLAAHVSCLRVATPIDQLPLIDAQLSQSHHILRSYVSQHNQHGHTLSPHERQELDNFLAQYLIVLCSFKDQLQQHVRVHAVEAVMACREIENTLQALTGVTLGEGTGATMSDDEDDLQMDFSLDQPSADGHDLMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSATSLKSKRKR >Manes.16G054725.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21071998:21072999:-1 gene:Manes.16G054725.v8.1 transcript:Manes.16G054725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSTLSLRSILEKDKLKENGTNFVDWFRNLRIVLKQEKKSYVLDEAIPEPPSADATNAVKNKHKKHMDDSNDIGCLMLVTMCPELQKDLEHLEAYEMSVHLKQAFQQQARQDSSFSQFVMNYNMNNMEKSIPELHGMLKTAEVNVKKMPTQILNERGKPKWQSKLKVPKEIVSKEGICFHCNESGHWKRNCKLYLDECKKKKSSETTTSGRSGPT >Manes.18G010102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1218176:1222691:1 gene:Manes.18G010102.v8.1 transcript:Manes.18G010102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPKADTPIDENEIRITSQGRMRSYISYAMSLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQITAIGSTDITDTWEPIEEGLLPLETTRHVSMITITLSKKELNTSAVGYQPPLPAELVKGSAEFDYEGEGSPSGRGRGRGGRGRARGRGNGFVPEYEDGGWDRNRGHFRGRGRGRGRSFRGRGRGGYNGPYADMLQDGGYNYDPPAQGRGRGRGRGRGNRGRGRGFRSNGPIQAAA >Manes.09G169900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36178448:36179171:1 gene:Manes.09G169900.v8.1 transcript:Manes.09G169900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLASSFLVCIAALFISILMSTSSTVHGSGEHLHHLGWIPIRSSCKGSIAECLAIDGEEFELDSEISRRILATSNYISYGAMQRNTVPCSRRGASYYNCQPGAQANPYTRGCSAITRCRS >Manes.15G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1257579:1263417:1 gene:Manes.15G015300.v8.1 transcript:Manes.15G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGETLITEDKKVVLNRRNSDWSIHFEISASILCKMEIPKDQIATLLESELYSSAQILGSFLVSSSSINPETNPHLKAENLIFLGDALFREREYRRAIHTYKQALQYYKIVPKQNSTASRSSLSNRSSSPNSFNISAINENEVKFKIASCHSALNETRAALVEMEGVPSKARTLQMSLLMAKLYRNSRHNRFAVACYKECLRHCPYVLEAIIALAELGAAAKDIISTFSQASSRSGRAPFDHVDSNRWLQRYVEAQCCIASNDYKGGLEFFGELLQRFPNNLHILLEIAKVEAIIGKNDEAIVNFEKVRSLDPYVVTYMDEYAMLLKTKSDYSKLNKLVHDLLSIDPTRPEVFVALSVLWERKDERGALSYAEKSIRIDERHIPGYIMKGNLLLSLKRPEAAVVAFRGAQELRPDLRSYQGLVHSYLAFSKIKEALHAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEALSHYQAALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEENEVEDADADQEETELL >Manes.15G093801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7307414:7311856:-1 gene:Manes.15G093801.v8.1 transcript:Manes.15G093801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTKVNLRRLLAAALQQQNKAKRIHFIATLREQLEHLAEEINPDGLPRVSKAEVNDYTDKIEALASRIVAPSPQIQVYEESVAGSSAKESPKADEESHFSSLFHFESSGILAPDRPIKKCSLLSFKGALYSRLELEIFGQGRKDLCYHISPLAGIGTPVKLDTAAKAHIEKHRKLQEDLIDEMVGLAQQLKESSLMMSQSLENTEKILDSTEKGVEQSLASIGRANVRALEMHSKTSKTTCFTWLVIFVMACMVVLLTRVI >Manes.09G059122.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9568541:9601232:-1 gene:Manes.09G059122.v8.1 transcript:Manes.09G059122.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLLSGSFGTVHHADWHGSEVAVKILMEQDFDTERIKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGVREVLDEGRRLSMAYEVAKGMSYLHKRNPPIVHRELKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDGPSNEKSDVFSFGVILWELATLQQPWNNLNPAQVVAAVGFKGKRLDIPRDLNPQVAIIIEACWAKFVQVFNSITTDYRICLMTFLSMQVSLLQ >Manes.S012426.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:88126:91795:-1 gene:Manes.S012426.v8.1 transcript:Manes.S012426.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGPNSTEFVVGVEVLTAELDGNISTTKSQSPVHHLETRTSARAQHTNAMPTPCTSVHLTRPSIGINHRIISLNCHQWPWNGPHRARDGPHRVHHAREFFSMLNREVEVERGLTSLFACGEGANKLICRLYRCPRMA >Manes.S012426.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:88126:91795:-1 gene:Manes.S012426.v8.1 transcript:Manes.S012426.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGPNSTEFVVGVEVLTAELDGNISTTKSQSPVHHLETRTSARAQHTNAMPTPCTSVHLTRPSIGINHRIISLNCHQWPWNGPHRARDGPHRVHHAREFFSMLNREVEVERGLTSLFACYTDAHVWPSACPGPGLAGPPRGVTTHTPLKSLRNKLSCGRRRHHNVSGDTPPLKHSILGILT >Manes.15G165000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13848838:13876581:1 gene:Manes.15G165000.v8.1 transcript:Manes.15G165000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMERHFFTVREKVDKEKREVPAVQLNVIRLLADLTVVVNKSEVVDMILPLFIESLEEGDASTPGLLRLRLLDAVSRIASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEANTERVETLPAGFLLIANGLKIMKLRSDYRHRLLSLCSDVGLAAEAVSGRSGADFLGPLLPAVAEICSDFDPTTDVEPSLLKLFRNLWFYLALFGLAPPIQKIQSPAKPVSTTLNSVGSMGSVALQAVGGPYMWNALWASAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVTQRAALSAALGGRVDIAAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTGLTASRSAFSCAFEYLKTPNLMPAVFQCLTAIVHRAFEAAVQWLEDRISETGKEAEIRESTLFAHTCFLIKSMSQREEHIRDITVNLLTQLRDKFPQVLWSSSCFDSLLFSVQNDSSPAVVNDPAWILTVRSLYQRILREWICISLSYAPCTSQGLLQEKLCKANTWQRAQPTADVVSLLTEIRIGTGKNDWTGIRTANIPSVMAAAAAASGANLKLTEAFNLEVLSTGIVSATVKCNHAGEIAGMRRLYSSIGGFQPGNGNTPAGFSSGLQRLISGAFTQPPQAEDVSFNEILLNKFVHLLQQFVSTAEKGGEVDKSQFRDTCSQATALLLSNLVSDSRSNLDGFSQLLRLLCWCPAYISTSDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFAFEVKYSGPAAKLRPQLAPGEPELLPGTEPVEQIMAHRLWLGFFIDRFEVVHHNSVEQLLLLGRLLQGTMKVPWNFSRHPAATGTFFTCMLLGLKFCSCQSQGNLQGFKSGLQLLEDRIYRTCLGWFASEPEWYDMNNVNFAQSEAQSVSLFVHYLSNERKDAQSDAKGRGQENGSSVADMNDLHHPIWGQMDNYVVGREKRKQLLLMLCQHEADRLEVWAQPTNTKETTSRPKISSEKWIEHARTAFSVDPRIALSLASRFPANASLKAEVSHLVQSHILEIRCIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDEERLVEGYLLRAAQRSDIFAHILIWHIQGETCVPEAGKDAIPGVNNSFQEILPVVRQHIIDGFNPKALDIFEREFSFFDKVTSISGALYPLPKEERRAGIRKELEKIEMEGEDLYLPTDPTKLVRGIQVDSGIPLQSAAKVPIMVTFNVIDRDGDPNEIKPQACIFKVGDDCRQDVLALQVIALLRDVFEAVGLNLYLYPYGVLPTGPERGIIEVVPNSRSRSQMGETTDGGLYEIFQQDFGNVGSPNFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDSVGRLVHIDFGFIFEISPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWYQFVSLCVKGYLAARRYMDGIINTVMLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRVCTDAYNKWTTAGYDLIQYLQQGIEK >Manes.15G165000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13846357:13876581:1 gene:Manes.15G165000.v8.1 transcript:Manes.15G165000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALMQLCDVAAQNPAQFTEKLTWICSRCPQPDSLFSGSPRVSRSQLNAVLAVALFLSKCSSSADNKPQTIVIEFFRAIPSSFSQSFWPQSFSLDWISSFYVDFLGYVTKATELSPDFALEISDYAGEVVLAAINNNVSENLAISRAFLLALTQNFPPIEQSDAEKLVTCLLDQFCVPASANDSIVINSDTSSSQSSPLNNHNYNPSNEISSPANDLSHVSGSSGASVVSSMSAMLNGNSVMWKSGFDSMGMSFGFNDGGGGLFRQQVASFEEETVEGLEKQVIAFKLIIHVLDHVKIDNELLKNLSSLAKKQLQSLSAFLKIRKRDWTEQGQLLKSRVNAKLSVYQAAGRMKLKCLASIDADGKTSKRLVLETLALMIDAAEACLLSVWRKLRSCEELFSSLLTGTANIAVTKGGQPLRVLLIRLKPLVLTACAQADAWGGSQGAMFETVLNTSCQIIESGWTKDRSPVDTFIMGLATSIRERNDYDEQVDKEKREVPAVQLNVIRLLADLTVVVNKSEVVDMILPLFIESLEEGDASTPGLLRLRLLDAVSRIASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEANTERVETLPAGFLLIANGLKIMKLRSDYRHRLLSLCSDVGLAAEAVSGRSGADFLGPLLPAVAEICSDFDPTTDVEPSLLKLFRNLWFYLALFGLAPPIQKIQSPAKPVSTTLNSVGSMGSVALQAVGGPYMWNALWASAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVTQRAALSAALGGRVDIAAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTGLTASRSAFSCAFEYLKTPNLMPAVFQCLTAIVHRAFEAAVQWLEDRISETGKEAEIRESTLFAHTCFLIKSMSQREEHIRDITVNLLTQLRDKFPQVLWSSSCFDSLLFSVQNDSSPAVVNDPAWILTVRSLYQRILREWICISLSYAPCTSQGLLQEKLCKANTWQRAQPTADVVSLLTEIRIGTGKNDWTGIRTANIPSVMAAAAAASGANLKLTEAFNLEVLSTGIVSATVKCNHAGEIAGMRRLYSSIGGFQPGNGNTPAGFSSGLQRLISGAFTQPPQAEDVSFNEILLNKFVHLLQQFVSTAEKGGEVDKSQFRDTCSQATALLLSNLVSDSRSNLDGFSQLLRLLCWCPAYISTSDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFAFEVKYSGPAAKLRPQLAPGEPELLPGTEPVEQIMAHRLWLGFFIDRFEVVHHNSVEQLLLLGRLLQGTMKVPWNFSRHPAATGTFFTCMLLGLKFCSCQSQGNLQGFKSGLQLLEDRIYRTCLGWFASEPEWYDMNNVNFAQSEAQSVSLFVHYLSNERKDAQSDAKGRGQENGSSVADMNDLHHPIWGQMDNYVVGREKRKQLLLMLCQHEADRLEVWAQPTNTKETTSRPKISSEKWIEHARTAFSVDPRIALSLASRFPANASLKAEVSHLVQSHILEIRCIPEALPYFVTPKAVDENSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDEERLVEGYLLRAAQRSDIFAHILIWHIQGETCVPEAGKDAIPGVNNSFQEILPVVRQHIIDGFNPKALDIFEREFSFFDKVTSISGALYPLPKEERRAGIRKELEKIEMEGEDLYLPTDPTKLVRGIQVDSGIPLQSAAKVPIMVTFNVIDRDGDPNEIKPQACIFKVGDDCRQDVLALQVIALLRDVFEAVGLNLYLYPYGVLPTGPERGIIEVVPNSRSRSQMGETTDGGLYEIFQQDFGNVGSPNFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDSVGRLVHIDFGFIFEISPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWYQFVSLCVKGYLAARRYMDGIINTVMLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRVCTDAYNKWTTAGYDLIQYLQQGIEK >Manes.01G185900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36317305:36319283:1 gene:Manes.01G185900.v8.1 transcript:Manes.01G185900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLARNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASRS >Manes.14G127000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10083970:10090026:1 gene:Manes.14G127000.v8.1 transcript:Manes.14G127000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSATAVPGPGIGRAAGRGIPTAPVVQAQPGLAGPIRGVGGPAPGMMQPQLSRPPVPQLSAPPMTYPPAAAPPGGTAPVIRPPGQMPPPGYPGQAPPMGRGPPPPVPPQFAARPPQQGYPMPPQFAQRPMGMPPQPQAPMMRGPPPPAPRPGMQAPPPPRPGMPPPAGGVPVYGPPRPGMPPPLNPQQQQQNQQQQ >Manes.03G134801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25928769:25930927:-1 gene:Manes.03G134801.v8.1 transcript:Manes.03G134801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEHKNCPISVAKAKLISSFLQLGNFFRFLFFLIGLSLGFTVSVYLKSISFDFYATLFSLSPSPPPSPSPPPQLPPPPPPSNMSSLFISRAKLPVSLMHNMEDDELFWRASMVPRIRRIPQKLVPKSKVAFMFLTKGALPLASLWEEFFKGHEGLYNIYVHPHPSFNYTFPETSVFHERRIPSKPVEWGRASMIDAERRLLANALLDLSNDRFVLISETCIPLFNFSTTYNYLINARKSFVGSYDDPRKVGRGRYNPKMSPTITISNWRKGSQWFEVNRKVAIEIVSDTTYYPVFRQHCSPPCYVDEHYIPTLVNVVCPEENNNRSITWVDWSKSGPHPGKFGKKSVSVEFLDRISFRDCTRAATFQSFLFSFFYQCIVFI >Manes.03G134801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25928769:25930927:-1 gene:Manes.03G134801.v8.1 transcript:Manes.03G134801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEHKNCPISVAKAKLISSFLQLGNFFRFLFFLIGLSLGFTVSVYLKSISFDFYATLFSLSPSPPPSPSPPPQLPPPPPPSNMSSLFISRAKLPVSLMHNMEDDELFWRASMVPRIRRIPQKLVPKSKVAFMFLTKGALPLASLWEEFFKGHEGLYNIYVHPHPSFNYTFPETSVFHERRIPSKPVEWGRASMIDAERRLLANALLDLSNDRFVLISETCIPLFNFSTTYNYLINARKSFVGSYDDPRKVGRGRYNPKMSPTITISNWRKGSQWFEVNRKVAIEIVSDTTYYPVFRQHCSPPCYVDEHYIPTLVNVVCPEENNNRSITWVDWSKSGPHPGKFGKKSVSVEFLDRISFRGNCSYNGNASSVCFLFARKFLPDTLEILLQIAPGLPHFNHSYSASSTNV >Manes.07G132100.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSGARASVLRVQVQLLI >Manes.07G132100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSGARASVLRVQVQLLI >Manes.07G132100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSVCLASYLCLFLQDAHACTNRHSETCM >Manes.07G132100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33393933:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSDVKQSRE >Manes.07G132100.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSGARASVLRVQVQLLI >Manes.07G132100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSGARASVLRVQVQLLI >Manes.07G132100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391000:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSGARASVLRVQVQLLI >Manes.07G132100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391002:33393933:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSVCLASYLCLFLQDAHACTNRHSETCM >Manes.07G132100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391002:33393933:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSVCLASYLCLFLQDAHACTNRHSETCM >Manes.07G132100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSVCLASYLCLFLQDAHACTNRHSETCM >Manes.07G132100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSGARASVLRVQVQLLI >Manes.07G132100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSVCLASYLCLFLQDAHACTNRHSETCM >Manes.07G132100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSGKTL >Manes.07G132100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391002:33393933:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSDVKQSRE >Manes.07G132100.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391002:33393933:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSDVKQSRE >Manes.07G132100.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33391001:33394016:1 gene:Manes.07G132100.v8.1 transcript:Manes.07G132100.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIQTLPYKVISRLTPIREADVIAGLNARPSLPLPLSTSPTNPILFSIILHPLSLRRTLAPSAIHSPTSHTPLPLFPMDPIRSVHHSTRPSASGGGGGREDCWSEGATETLIEAWGDRYINLNRGNLRQKDWKEVADTVNGRQNGVKPRKTDVQCKNRIDTLKKKYKLEKAKPPPSKWPFYYRLDSLIGANATVNPKKKAAAATFPVKSTPSIKPELYTGVSNSTEASFDDEKDEDDDDIGFDERAIKKQHRIEDVDFSDGAACRELARAILKFGEIYERIESSKQQQMVELEKQRMEFTKELEFERMNMFMDAQLELEKKSSKRSKYASSGSGARASVLRVQVQLLI >Manes.04G133800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33464763:33471272:1 gene:Manes.04G133800.v8.1 transcript:Manes.04G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVFASSFKSRNEDEEALSWAAIERLPTYTRLRTSLFKSLAEDKNQGSKLVDVRKLNVDERNEFIERNFKVPEEDNEKFFRKLRDRIDKVGIQLPTVEVRFEQLRVEADCHVGIRALPTLLNTSRNIFESALGLCGIRMTMRTKYTILKDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPTLKVQGQVSYNGCRFDEFEPRKTSAYVSQNDVHLGDLTVKETFDYSAWFQGVGHRYDLLVELDRREKEADIIPDSDLDLFMKATAMEGAKNSLITDYTLRLLGLDICKDTVVGDEMTRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCMQQIVHLTEATVFMSLLQPDPETFELFDDVVLLSDGQIVYQGPKEQVVAFFESCGFKCPERKGTADFLQEVTSKKDQEQYWVDESKPYRYISVSEFARKFKAFRVGLQLENELPIPYEAKSHKAALVSQKCTIPKMQLLKASIDREKLLMRRTLPVYIFKAVQIFVVGIIAATVFLRTTLHVTYDDGSLYVGATIFAMIVNMFNGFAELSITIMRLPVFFKQRDLLFYPAWAFTLPNFLLGVPISVVESFVWTAVTYYSIGFAPEASRFFKQTLLIFLIQQMAAGLFRLMAGVCRTMIIAHTGGALALLILFLLGGFILPRGRIPVWWTWAHWISPMSYAFKSLTVNELLSPRWMNRLASDNVTRLGTAVLENIGVEQENYWYWIGAAALLGFTILFNVLFTFSLTYLNSLGKPQAIISPEVAKEQSFREGSEERSLKTRSTNGNDAGEIQMPKVSHVGHHAEATTGAAPKRGMILPFTPLSMSFDSVNYYVDMPSEMKGQGVTEDRLQLLQEVTGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKNQETFARNSGYCEQTDIHSPQVTVEESLIFSAFLRLPKEVNDKEKMVFVDEVMELVELTNLKHAIVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGKLIYSGPLGQNSQKIIKYFEEIPGVPRIKERQNPAAWMLEASSAATEVRLGIDFAEHYKSSALYQQTKAVVDDLSRPSEGASDLYFPTQYPQSTWGQFKSCLWKQWWTYWRSPDYNLVRYFYSLVTALVLGTVFWQVGTQREDATELTMIIGAMYVAVLFVGINNCSTVQPIVAVERTVFYRERAAGMYSALPYALAQVIVEIPYIFVQTVYYSLIVYVTVSFEWKLAKFFWFFFITFFSLLYFTYYGMMTVSITPNHQAAAIFASAFYALFTLFSGFFIPKPRIPKWWTWYYYICPVAWTVYGLIVTQYGDIEDRIKVSGIEPDPSIKWYVQNHFGYDSNFIGPTAAILVAFGAFFALMFAFCIKNINFQNR >Manes.08G090500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:30187033:30187608:1 gene:Manes.08G090500.v8.1 transcript:Manes.08G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEIIEEEKDDEKKRIRQIIEYQKSLYWSSYSSSSFSSSIASSTSSTLCNKSGRLLDLMKSGNTSLRRLFEMEHTTLATHFQDYCCSSITKTIPLWGSETDDEIHDPWAAMKRIEGLEDFGNDELSNYASDGSFMDGEFGFRDKRVSTRRKLTRKKSFRRLPRFPFWRFRRFRFRLRLKRLRIKICGKKF >Manes.09G064000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11217120:11217500:1 gene:Manes.09G064000.v8.1 transcript:Manes.09G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMKRKFLTACFNKWSKMESRVIPCGGCENCCRWSLWASSHEGKSIPRDVPKGHLVVYVGQNYKRFVIKISLLDHPLFRALLDEAQDEYEFTADSKLCIPCEESIFLDVVRCASSPQGSRTCLFL >Manes.05G194400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31815407:31817182:1 gene:Manes.05G194400.v8.1 transcript:Manes.05G194400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIIALNRYWFVIFVVSFLFFLFLYSFDYNPAFLSNNSLFNTRRKPNHDPKSNETHPIDSCSGRYIYVHRLPPRFNDHVLQNCTSLYRWYDMCPFVGNSGFGMQLGKSWFATNQFLLEVIFRNRMNQYDCLTDDSSLASAIFVPSYCGLDLGRYLWDYNASVRDTLSLDLVRWLAKQPQWKRMSGRDHFFVAGRISWDFRRDINNDEGWGSVLMSLPESMNMTMLTIESNSWTNEFAIPYPTHFHPSTDSEVIQWQETVRKHKRKYLFSFAGAPRPLLSDSIRSQIIDQCVASERLCKLLDCNSGPNKCDSPVEVLKLFQDSIFCLQPPGDSYTRRSTFDSILTGCIPVFFHPGSAYAQYFWYFPKNYTKYSVFIPGYQVKNASISINETLLQFSDEQIMDMREEVIKLIPKVIYADPSSKLETIEDAFDIAVKGVLERVEKVRREIKEGRDPGIAFAVGNSWKLKLSGTGLENDLDFFF >Manes.11G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30602263:30607424:1 gene:Manes.11G140500.v8.1 transcript:Manes.11G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNHLIGLLNFLTFLLSIPILGGGIWLSSRANNTDCLKFLQWPLIVIGVSIMVISLAGFAGACYRNTFLMWLYLFVMFFIIAALIGFIIFAYAVTDKGSGRPVMNRAYLDYYLQDYSGWLEERVASDSYWSKISSCIRDSKICAKMGVSINGVPETADMFYQRKLSPIQSGCCKPPTDCGFTYVNETVWTSSGGVVYNPDCNNWSNDQEQLCYSCNSCKAGVLGSLRKSWRKVSVINIIVLIILVIVYVIGCAAFRNNRRIDNDEPYGEARMTKAQPSRIHL >Manes.08G157600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39406127:39409199:-1 gene:Manes.08G157600.v8.1 transcript:Manes.08G157600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVHIPPSNYFLFILIFSLLFIAPPVISKSGRPITDAEVRQKKNECYADIESGLWGPVCKSSMTAKENCALRCLSPTCYELIYEGDPLEEGEKDLGRSQEYKYCMYKASLGESLEGIRGAFEY >Manes.02G097000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7579808:7580666:1 gene:Manes.02G097000.v8.1 transcript:Manes.02G097000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTVLKVDVSCQKCKKKLLKAVSQLDDVDKIEVDEGKGTLAVTGNADPYKIIVRTRKAGKHAEVVSIGAPPPPPKQPQASDQQKKPDDKKKDDKAQIHDLPLSFPHYQRIVVVPMGYHEPNPSCSIM >Manes.11G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29563756:29565906:1 gene:Manes.11G131000.v8.1 transcript:Manes.11G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSKNNTSSNMGTASFHEFKKQASFFLKEKFKSARLALTDVTPAQLLTEEATDGNPWAPDTRTLGSISRAAFEVDDYWRIVEILHKRFLRFERKKWRVSYNSLFVLEHLLSHGPESVAGEFQTDKDVIKELESFQYIDEKGFNWGLAVRKKSERIVKLLEKENLLKEERERARKLTRGIQGFGSFCHRSSSSQGVLQEQSHETFARSNSQFNHHDDSPENQLFSNGENLIKKDETSKKSTEYATSEPVNKVQNSNSADNFSNNWMLEKAETSFKENMAPKKEDLHRWNHTGENNPLLDSRRDEARIIEEDHPFSATEKETSASLLSAREGILQEG >Manes.06G132600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26103794:26106423:-1 gene:Manes.06G132600.v8.1 transcript:Manes.06G132600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAMTREERPYNGRITSFVVLSCMVAAMGGLIFGYDIGISGGVTSMEPFLRKFFPEVYNKMKEDTEISNYCKFDSQLLTSFTSSLYVAGLVTSFFASSVTRYFGRKPSIIAGGAAFLSGSALNGAASNLFMLIFGRVLLGVGVGFANQSVPLYLSEMAPPQYRGAISNGFQLCVGIGVLSANYINFGTEKIEGGWGWRISLAMAAVPASILTVGAFFLPETPNSLIQRHKDHQKAKAMLQRIRGTTDVQAEFNDLTKASSVSIKHPFKNIIQRKYRPQLVMAIAIPFFQQVTGINVISFYAPILFRTIGLSESLSLIMSALIAGVVGTAATFISMIIVDKLGRRVMFIFGGVQMLLSQIVIGCVMAAELGDQGSMSKVYAYLVLTMICIYVAGFAWSWGPLGWLVPSEIFSLEIRSAGQSIVVAVNFLFTFVIAQTFLAMLCHFKSGIFFFFGGWVAVMTAFVYFLLPETKNKPIELMDRVWEDHWFWKRTAGESDKNSEHQVA >Manes.03G196100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31398762:31407079:-1 gene:Manes.03G196100.v8.1 transcript:Manes.03G196100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAAATALYDHAGGGPLHNAGPASDAGDAVMARWLQSAGLQHLASPLASTGIDHRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSDPYTPTAQTSAGMAVSDSFYSQEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFIPGGSKGFDELNATTGNQLREQNNPDPSIQYTTNEKENTRENNVAKIKVVVRKRPLNKKEVARKEDDIVTVSDNSLTVHEPKLKVDLTAYVEKHEFCFDAVLDQHVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAVEDLVRLLHQPVYRNQKFKLWLSFFEIYGGKLFDLLGDRKKLCMREDGRQQVCIVGLQEFEVSDIQIVKEYIEKGNAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDGNEPKSGKLVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPRKDQPVNSLPPTSKDVPSATPLLVSSDVDDVFEQQAVTAADAGRRMVEKGTFSYNPTADFDKQSSSFTSSYPLSGRDETGPSGTVDKERIEINNSYGGATNKKIPSSYSQNSVDTEEKMQKVSPPRRKGPKEEKSEKLGNWLKKDNGVGSDLPSTNSRQPSTGNYSTGSLQHEPDPPSDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDSYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Manes.03G196100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31398065:31407079:-1 gene:Manes.03G196100.v8.1 transcript:Manes.03G196100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAAATALYDHAGGGPLHNAGPASDAGDAVMARWLQSAGLQHLASPLASTGIDHRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSDPYTPTAQTSAGMAVSDSFYSQEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFIPGGSKGFDELNATTGNQLREQNNPDPSIQYTTNEKENTRENNVAKIKVVVRKRPLNKKEVARKEDDIVTVSDNSLTVHEPKLKVDLTAYVEKHEFCFDAVLDQHVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAVEDLVRLLHQPVYRNQKFKLWLSFFEIYGGKLFDLLGDRKKLCMREDGRQQVCIVGLQEFEVSDIQIVKEYIEKGNAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDGNEPKSGKLVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPRKDQPVNSLPPTSKDVPSATPLLVSSDVDDVFEQQAVTAADAGRRMVEKGTFSYNPTADFDKQSSSFTSSYPLSGRDETGPSGTVDKERIEINNSYGGATNKKIPSSYSQNSVDTEEKMQKVSPPRRKGPKEEKSEKLGNWLKKDNGVGSDLPSTNSRQPSTGNYSTGSLQHEPDPPSDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDSYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Manes.03G196100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31398065:31407079:-1 gene:Manes.03G196100.v8.1 transcript:Manes.03G196100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAAATALYDHAGGGPLHNAGPASDAGDAVMARWLQSAGLQHLASPLASTGIDHRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSDPYTPTAQTSAGMAVSDSFYSQEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFIPGGSKGFDELNATTGNQLREQNNPDPSIQYTTNEKENTRENNVAKIKVVVRKRPLNKKEVARKEDDIVTVSDNSLTVHEPKLKVDLTAYVEKHEFCFDAVLDQHVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAVEDLVRLLHQPVYRNQKFKLWLSFFEIYGGKLFDLLGDRKKLCMREDGRQQVCIVGLQEFEVSDIQIVKEYIEKGNAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDGNEPKSGKLVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPRKDQPVNSLPPTSKDVPSATPLLVSSDVDDVFEQQAVTAADAGRRMVEKGTFSYNPTADFDKQSSSFTSSYPLSGRDETGPSGTVDKERIEINNSYGGATNKKIPSSYSQNSVDTEEKMQKVSPPRRKGPKEEKSEKLGNWLKKDNGVGSDLPSTNSRQPSTGNYSTGSLQHEPDPPSDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDSYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Manes.03G196100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31398764:31407079:-1 gene:Manes.03G196100.v8.1 transcript:Manes.03G196100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQMQQSNAAAATALYDHAGGGPLHNAGPASDAGDAVMARWLQSAGLQHLASPLASTGIDHRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSDPYTPTAQTSAGMAVSDSFYSQEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFIPGGSKGFDELNATTGNQLREQNNPDPSIQYTTNEKENTRENNVAKIKVVVRKRPLNKKEVARKEDDIVTVSDNSLTVHEPKLKVDLTAYVEKHEFCFDAVLDQHVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAVEDLVRLLHQPVYRNQKFKLWLSFFEIYGGKLFDLLGDRKKLCMREDGRQQVCIVGLQEFEVSDIQIVKEYIEKGNAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDGNEPKSGKLVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNPRKDQPVNSLPPTSKDVPSATPLLVSSDVDDVFEQQAVTAADAGRRMVEKGTFSYNPTADFDKQSSSFTSSYPLSGRDETGPSGTVDKERIEINNSYGGATNKKIPSSYSQNSVDTEEKMQKVSPPRRKGPKEEKSEKLGNWLKKDNGVGSDLPSTNSRQPSTGNYSTGSLQHEPDPPSDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDSYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Manes.16G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31092916:31096719:-1 gene:Manes.16G105400.v8.1 transcript:Manes.16G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLARNWRKSQDSKSSLPTWEDPSLDDSRPMDTEEQEAFVRELERTQAQQSLLWRRVFAGLLFCYAMFQLYSIYQQTTSPWELRYHAYFMEDIDSWRVISADWLAVFACSMAIVGLLHNSMHRRRWIWYSSFTGLILAVFWLYYMLRMSRFRWDVLWLPFGPLSGAVICLYIDHLLTQSSEEVRKLRGYMYAYKAS >Manes.16G105400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31093302:31096720:-1 gene:Manes.16G105400.v8.1 transcript:Manes.16G105400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLARNWRKSQDSKSSLPTWEDPSLDDSRPMDTEEQEAFVRELERTQAQQSLLWRRVFAGLLFCYAMFQLYSIYQQTTSPWELRYHAYFMEDIDSWRVISADWLAVFACSMAIVGLLHNSMHRRRWIWYSSFTGLILAVFWLYYMLRMSRFRWDVLWLPFGPLSGAVICLYIDHLLTQSSEEVRKLRGYMYAYKAS >Manes.16G105400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31093302:31096719:-1 gene:Manes.16G105400.v8.1 transcript:Manes.16G105400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLARNWRKSQDSKSSLPTWEDPSLDDSRPMDTEEQEAFVRELERTQAQQSLLWRRVFAGLLFCYAMFQLYSIYQQTTSPWELRYHAYFMEDIDSWRVISADWLAVFACSMAIVGLLHNSMHRRRWIWYSSFTGLILAVFWLYYMLRMSRFRWDVLWLPFGPLSGAVICLYIDHLLTQSSEEVRKLRGYIGLRDLINIPLWQKG >Manes.15G007700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:765456:767000:1 gene:Manes.15G007700.v8.1 transcript:Manes.15G007700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIFYEGQVASTVPPAKLFQKFVIESLSLLPKVLPQALESVVNLQGDGGPGTIRQVHFSNGSFVKETIDAVDKENFIFEYTVIEGDPALMSKELEKICFQIKFEASPDGGSILKRTSKSYTLEGIDVNEEEIKAAQAKTMEAFVGIFKAFEAYILANPDD >Manes.15G007700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:765598:767000:1 gene:Manes.15G007700.v8.1 transcript:Manes.15G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIFYEGQVASTVPPAKLFQKFVIESLSLLPKVLPQALESVVNLQGDGGPGTIRQVHFSNGSFVKETIDAVDKENFIFEYTVIEGDPALMSKELEKICFQIKFEASPDGGSILKRTSKSYTLEGIDVNEEEIKAAQAKTMEAFVGIFKAFEAYILANPDD >Manes.01G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25812193:25814845:-1 gene:Manes.01G061400.v8.1 transcript:Manes.01G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQAGQCGNQIGGKFWEVVCDEHGIDAAGNYVGNSQVQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRTGPYGNIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLSMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVAENEEVDEDEDEDEEAM >Manes.05G191500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31459915:31464859:-1 gene:Manes.05G191500.v8.1 transcript:Manes.05G191500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLSSFLLSLSSELANDEKPIDSRKLGGLILKNALDAKEQHRKLELVQRWLSLDANVKSQIKACLLKTLSSPVADARSTSSQVIAKIAGIELPQKQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQANFTNDMERDYIMRVVCEATLCPELKIRQAAFECLVSISSTYYEKLAPYIQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILEDYGGDFTGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLIPIVNVALNFMLSALTKDPNNHVKDTTAWTLGRIFEFLHGSTLDAPVITQANCQQIITVLLQSMKDAPNVAEKACGALYFLAQGYEEVGPSSPLTPYFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHNTLEGQKLSSDEREKQNELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATMHEEAMLAIGSLAYATGLDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDVCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAADLSAHTAGADDEMTEYANSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSEDHMIKESAEWAKLAISRAISV >Manes.05G191500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31460893:31464919:-1 gene:Manes.05G191500.v8.1 transcript:Manes.05G191500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLSSFLLSLSSELANDEKPIDSRKLGGLILKNALDAKEQHRKLELVQRWLSLDANVKSQIKACLLKTLSSPVADARSTSSQVIAKIAGIELPQKQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQANFTNDMERDYIMRVVCEATLCPELKIRQAAFECLVSISSTYYEKLAPYIQDIFSITAKAVREDEEPVALQAIEFWSSICDEEIDILEDYGGDFTGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLIPIVNVALNFMLSALTKDPNNHVKDTTAWTLGRIFEFLHGSTLDAPVITQANCQQIITVLLQSMKDAPNVAEKACGALYFLAQGYEEVGPSSPLTPYFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHNTLEGQKLSSDEREKQNELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATMHEEAMLAIGSLAYATGLDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDVCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAADLSAHTAGADDEMTEYANSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDEVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSEDHMIKESAEWAKLAISRAISV >Manes.04G064200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24768468:24777670:-1 gene:Manes.04G064200.v8.1 transcript:Manes.04G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSAFTAKILCFKRPHFSRLAPRASLHHHHHHFNRRHFLSQAATVSLPLFAPLIPQPANAEETLSEWERVYLPIDPGVVLLDIAFVPDELNHGFLLGTRQTILETKDGGNTWVSRSISSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGETWQRIPLSAQLPGDMVYIKATGEKSAEMVTDEGAIYITSNGGYNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGNYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLYLSKGAGISEDFEEIPVQSRGFGILDVGYRSKEEAWAAGGSGVLLRTTNGGKSWTRDKAADNIAANLYSVKFIDERRGFVLGNDGVLLRYLG >Manes.18G071500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6429748:6431662:-1 gene:Manes.18G071500.v8.1 transcript:Manes.18G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHLSTEKAKNLNDWLPITKSRNAKWWYSAFHNITAMVGAGVLGLPYAMSQLGWGPGAVVMVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWLIVPQQLMVEVGINIVYMVTGGKSLKKFHDTICQDCKDIKTTYFIMIFASVHFFLSHLSSFNSIAGVSLAAAAMSLSYSTIGWVASLDKGVQPDVQYTPRASTCTGEIFNFFSALGDVAFAFAGHNVVLEIQATIPSTPEKPSKKPMWKGVIVAYVVVALCYFPVAFIGYWVFGNKVEDNVLISLQKPRWLVAVANMFVVIHVIGSYQVFAMPVFDMLEAFLVLKMKFKPSLILRFITRTLYVALTMFLAMSFPFFGGLLSFFGGFAFAPTTYYLPCIIWLVLYKPKKFSLSWLANWVCIIIGVLLMVLAPIGALRQIILEAKDFKFYS >Manes.02G208300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23789750:23797946:-1 gene:Manes.02G208300.v8.1 transcript:Manes.02G208300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTRSTVYAGRRFFSSIASSHNNSHKDTHKFLEPNSFVGSWKVPKNPKEAEAQLARLRREYGKQVKEVRKDYIREMELMRLEKQRQDEARKEATRVANEKRRKLKAEAAKARAEERKIAEEEFRQMLLKERSAKLEFWRMKEQHKEEYKKEKKEQLRRQSSIWIDEINIEKRTIEAMVDISLL >Manes.02G208300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23790128:23797909:-1 gene:Manes.02G208300.v8.1 transcript:Manes.02G208300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTRSTVYAGRRFFSSIASSHNNSHKDTHKFLEPNSFVGSWKVPKNPKEAEAQLARLRREYGKQVKEVRKDYIREMELMRLEKQRQDEARKEATRVANEKRRKLKAEAAKARAEERKIAEEEFRQMLLKERSAKLEFWRMKEQHKEEYKKEKKEQLRRQSSIWIDEINIEKRTIEAMVDISLL >Manes.02G208300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23789771:23793582:-1 gene:Manes.02G208300.v8.1 transcript:Manes.02G208300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTRSTVYAGRRFFSSIASSHNNSHKDTHKFLEPNSFVGSWKVPKNPKEAEAQLARLRREYGKQVKEVRKDYIREMELMRLEKQRQDEARKEATRVANEKRRKLKAEAAKARAEERKIAEEEFRQMLLKERSAKLEFWRMKEQHKEEYKKEKKEQLRRQSSIWIDEINIEKRTIEAMVDISLL >Manes.S046916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1475262:1475636:-1 gene:Manes.S046916.v8.1 transcript:Manes.S046916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.05G059000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4895719:4899330:1 gene:Manes.05G059000.v8.1 transcript:Manes.05G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNQEPILNKDENTTAESTFLKLARWQWWLLVAINILFLIAGQSAAVLLGRFYYDGGGNSKWMATLVQTAAFPILYIPLFLLPSSQEPSTSSASPSIRILALIYFLLGVLIAGDNMLYSTGLLYLSASTYSLICASQLAFNAIFSYFINSQKFTSLILNSVIVLSFSAALIAVNDDSDGPSGVSKWKYIIGFLCTLGASAVYSLLLSLMQLSFQKIIKKDTFSVVLEMQIYTSLVATCVSIIGLFASGEWKTLHGEMLSFDKGRVSYIMTLVWTAVCWQVCSVGVVGLILLVSSLFSNVISTVALAVSPIAAVIVFHDKMNGVKVISLLMAFWGFGCYIYQNYLDNSKVRRKRHDVIEEGII >Manes.06G106600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23898865:23902855:-1 gene:Manes.06G106600.v8.1 transcript:Manes.06G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHCYLDGNADAVEFCPHDLHRHVLAAATYTLQEGDRPSRSGSISLFDVDADDGRFDLFHRVETAGIFDIKWNPVGGAVDRPMLAQADADGCLRIHDLECSSDGEKGGVLREISGEKISSSMCLCLDWNPSATSISVGLSNGSVSIVSFSESQLDVSQEWKAHDFELWATSFDMHQPQLVYTGSDDCKFSCWDLRDSPPNMAFQNSKAHKMGVCCIAKCPSNPNTLVTGSYDEYLRLWDVRSISKPVNETSIHLGGGVWRVKHHPYIPGLVLAACMHNGFAIVKIEDDKGELIETYGKHGSLAYGADWQRRELAQEDKQKSNVVATCSFYDRLLRVWMPGNNKVAVGRLYSSAAQS >Manes.06G106600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23898629:23902880:-1 gene:Manes.06G106600.v8.1 transcript:Manes.06G106600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHCYLDGNADAVEFCPHDLHRHVLAAATYTLQEGDRPSRSGSISLFDVDADDGRFDLFHRVETAGIFDIKWNPVGGAVDRPMLAQADADGCLRIHDLECSSDGEKGGVLREISGEKISSSMCLCLDWNPSATSISVGLSNGSVSIVSFSESQLDVSQEWKAHDFELWATSFDMHQPQLVYTGSDDCKFSCWDLRDSPPNMAFQNSKAHKMGVCCIAKCPSNPNTLVTGSYDEYLRLWDVRSISKPVNETSIHLGGGVWRVKHHPYIPGLVLAACMHNGFAIVKIEDDKGELIETYGKHGSLAYGADWQRRELAQEDKQKSNVVATCSFYDRLLRVWMPGNNKEHTLLG >Manes.06G106600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23900153:23902853:-1 gene:Manes.06G106600.v8.1 transcript:Manes.06G106600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHCYLDGNADAVEFCPHDLHRHVLAAATYTLQEGDRPSRSGSISLFDVDADDGRFDLFHRVETAGIFDIKWNPVGGAVDRPMLAQADADGCLRIHDLECSSDGEKGGVLREISGEKISSSMCLCLDWNPSATSISVGLSNGSVSIVSFSESQLDVSQEWKAHDFELWATSFDMHQPQLVYTGSDDCKFSCWDLRDSPPNMAFQNSKAHKMGVCCIAKCPSNPNTLVTGSYDEYLRLWDVRSISKPVNETSIHLGGGVWRVKHHPYIPGLVLAACMHNGFAIVKIEDDKGELIETYGKHGSLAYGADWQRRELAQEDKQKSNVVATCSFYDRLLRVWMPGNNKGM >Manes.06G106600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23898865:23902880:-1 gene:Manes.06G106600.v8.1 transcript:Manes.06G106600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAHCYLDGNADAVEFCPHDLHRHVLAAATYTLQEGDRPSRSGSISLFDVDADDGRFDLFHRVETAGIFDIKWNPVGGAVDRPMLAQADADGCLRIHDLECSSDGEKGGVLREISGEKISSSMCLCLDWNPSATSISVGLSNGSVSIVSFSESQLDVSQEWKAHDFELWATSFDMHQPQLVYTGSDDCKFSCWDLRDSPPNMAFQNSKAHKMGVCCIAKCPSNPNTLVTGSYDEYLRLWDVRSISKPVNETSIHLGGGVWRVKHHPYIPGLVLAACMHNGFAIVKIEDDKGELIETYGKHGSLAYGADWQRRELAQEDKQKSNVVATCSFYDRLLRVWMPGNNKGHC >Manes.02G204100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17636423:17642928:1 gene:Manes.02G204100.v8.1 transcript:Manes.02G204100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDKDKGVHGDDIESLRKKSLSAPISNVATTGGVPNERSKWKSKTIVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCVLSLAALARIWKNEGVTVDNRLTTTLDEVSVYPIPAALYLIKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYQLILKRKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQAPYQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMVFNAGAILIQDFDAVMNKGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKVYATSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSIGKSTR >Manes.02G204100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17636423:17642928:1 gene:Manes.02G204100.v8.1 transcript:Manes.02G204100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDKDKGVHGDDIESLRKKSLSAPISNVATTGGVPNERSKWKSKTIVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCVLSLAALARIWKNEGVTVDNRLTTTLDEVSVYPIPAALYLIKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYQLILKRKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQAPYQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMVFNAGAILIQDFDAVMNKGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKVYATSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSIGKSTR >Manes.S045616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1371324:1375127:1 gene:Manes.S045616.v8.1 transcript:Manes.S045616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPIFLMSLVLLWGAIAEAEYMKYKDPKQPINVRIKDLMKRMTLEEKIGQMTQIERSVASAEVMKKYFIGSVLSGGGSVPSKQASAETWIKMVNDFQNGSLSARLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGATRDPELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDSKIVQAMTEIVAGLQGDIPAGSPKGVPFVAEKTKVAACAKHYVGDGGTTDGINENNTVISRHGLLSIHMPGYYNSIIKGVSTVMVSYSSWNGVKMHANRDLVTGFLKDTLRFRGFVISDWEGIDRITSPPHANYSFSIQAGITAGIDMIMVPFNYTEFIDGLTYQVKNNIIPMSRIDDAVKRILRVKFVMGLFENPYADDSLVNQLGSQEHRELAREAVRKSLVLLKNGESGDKPSLPLPKKASKILVAGSHADNLGYQCGGWTIEWQGLSGNNLTSGTTILTAIKNTVDPSTEVVYKENPDSQFVKSGEFSYAVVVVGETPICRN >Manes.04G061414.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18852197:18854559:1 gene:Manes.04G061414.v8.1 transcript:Manes.04G061414.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIPIKRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKMCQYCTEPLRMEQIIERYQKITGTCIPEHDTREQLFGELAMLRKETRRLQLNMRRYTGEDMSSIPFEELNELEQELERSVAKVRDRKNELLQQQLDNLRRKERMLEEENGNMYRWIQEHRAALEYQQATMEGKPVEHQQLLEQFPFCGEPNSVLQLAIPSQMHSYHLQLAQPSLQGSSL >Manes.08G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34653657:34659180:-1 gene:Manes.08G107100.v8.1 transcript:Manes.08G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSELVYRLAKGKLDSSQEKNPTCSTDLSSGPENDFVELVWENGQIQSNRTRKIQFSSGFPSQTSRIQDKDIDNGSNTKVGRFGVMDSVIGEVPMSVPSVVMGLNQDDDMVPWFNYRIEDTLEHDPELFNELSGVTANEQPHNHYTSMEKRSCGNQSVRDSRSAPGHNGLSSEQGHITKVSSVGDVDANRPRNGSSQLYPSPSQQCQTSFPCFRSRGSANSGESTNNLSRHATSRDSMRVPPSGGGLPSIKVQNQVPVSSTTNSSLMNFSHFSRPAAFVKANLHNNGTRAGSGISSIERKVRKDKGSIANSSNPGECILIDTFSGSGKETSFNYNPVLVSSNVDAKQLDPKSGEESLPSKQPEALDRVDSKNDKHHCLIAESATRGFVDGEKTAEPLVVSSSVCSGNSVERVSDEPTQNLKRKHQETEESEVPSEDVEEESVAAKKTTPSRGGGGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPSMMLPPGMPHMQAAHMAQFSPVGIGMGMGMGMGFGMGMPDMIGGSSGCSMIPVPPMHGACFRGPSISGPSALHGMGASNLPIFALSAQGHPMSYPCAPLLPISGGPLLKTSIGLNVGGVAGPLDNLDSAPGCFSKDSIQNISSQAIKNCGANSSMNQTSGQCQMTNENFEQPSLLQHSGQSSEVADRGALNSANGNDNAPSGATAGCD >Manes.08G081422.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25322747:25324188:-1 gene:Manes.08G081422.v8.1 transcript:Manes.08G081422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNRYAALEINEPAPVEPMRLSPSNTIDKGSSRAGLSFSGPQTKRSASKNPVRPDAGDTGTDITSTPTNTSLVSNKVATVPKPRRAAEETKHVLVFGGKKYASVSRIVTNRGVFSKHDNTHANGNLDIPNVENQFSHPSAPNIDENLETNEIQEMDIISETPLERVISWNCQGATSPTCRRAFLEYKRLFKPDIFCIMEPRVSGTHADAICGRLGFDNWIRVESLGFSGGIWIFWTENNFSIQLIESHPQFFACKVLPVSGVSWNFCFIYASPYSPCRRILWTDLKLDSVDLSDEWMALGDFNCVPFQSELQGYNTFNISGAKLFSDWIFDNGLLDMGFEGSAFTWSRGLSSHSLQRARLDRALCSPNWQFRFPHAYVTHPAKFHSDHCPLVVSLNRHVHRMEGPFRFQLAWMNHADLGMIVGNALNSSTDIPDFI >Manes.S044416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1323478:1323645:1 gene:Manes.S044416.v8.1 transcript:Manes.S044416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.18G125450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:14280516:14280872:-1 gene:Manes.18G125450.v8.1 transcript:Manes.18G125450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPLTASLIVIQFNPVFQVPIELVGSHNFATWKVQISMLMHGDNLFGHLDGTSPAPSQTVTQDNQQIVNPEYNIWFRQDQLIQNAIMATIDLTIAPTLTAATTSKIAWDSLHTAYAK >Manes.11G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17419334:17422768:1 gene:Manes.11G090500.v8.1 transcript:Manes.11G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSSPWGKPGAWALDSEEHEEELRRQEPQKTDASGESEFPSLAAAAANKQPKKKKNQTISLAEFASYGSAKSSQPTQSRGPTHEDLLNLPTGPRQRSAEELERSRLGGGFKSYGMGSRNGDDSSNSRWSGGNSRVSSRDRDVNRDLAPSRADETDDWSKSKKSPVGNGYERRERGSFFDSQPKADELDSWVSNKPTETRRFGATNGGFERRGSFDSLSRDRSGFNSGGGSAADSDNWGRKKEEVYVTGSARPKLVLRPRTVPVSNENGTAAKPKGSNPFGEARPREEVLAEKGKDWKEIDEKLHSVKIDGNKEKGERGNSSSFGRWSFGNGRAGSGVERSWRKPDVDDSSSRPQSAETNENDDASENGHSAEEGPAEGN >Manes.17G086700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29416118:29418977:1 gene:Manes.17G086700.v8.1 transcript:Manes.17G086700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGKEMMKKVLKKVGENNLTPGVKESLKKSIPDSKVVMGRAKRGLFAGRHIQFGNRISEDGGNKTRRTWKPNVQEKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLKTPFQKMDTEMGLLWKAKIEKMYEELGKMEVAFFSPEDEAKFEQGFKDLKLSEREARKQFRQQMYATIAKQKQAEEGRSYISFVLASSDDYPDKVVANY >Manes.17G086700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29416118:29418977:1 gene:Manes.17G086700.v8.1 transcript:Manes.17G086700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGKEMMKKVLKKVGENNLTPGVKESLKKSIPDSKVVMGRAKRGLFAGRHIQFGNRISEDGGNKTRRTWKPNVQEKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLKTPFQKMDTEMGLLWKAKIEKMYEELGKMEVAFFSPEDEAKFEQGFKDLKLSEREARKQFRQQMYATIAKQKQAEEGRSYISFVLASSDDYPDKVVANY >Manes.01G198160.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37232396:37232701:-1 gene:Manes.01G198160.v8.1 transcript:Manes.01G198160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRILTFKLNIHFQLLKQLRIDWTRADSKNSMCICYTDDKLCKKFNQLFVKERMVILTFFLPFVLGNIANYLMLQEAFSVYFQVYVYFIFIGFDRVSSSK >Manes.11G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28993393:28995390:1 gene:Manes.11G127100.v8.1 transcript:Manes.11G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNPPPKPWEKGGGSSGWTPFRPPSYGSTSDVVEASGTGKPGEIFSSSDINASINRNAIGRHVPPTPWENNYGPTNFGGCSSNLTCNSGYGFGVYDAYGGHGGMYGGGMYGNNMYRGGYGGFHGSGMYGGGIHNGGFGGPMGSYGMGPYGAPDPHNPYGAPSSPPGFWISLLRMMQGVVNCFGRVAILIDQNTQALHMFMSALLQLFDRSGMLYGELARFVLKLLGIRTNPRKVQPDGLPIPPQNQYKPSID >Manes.07G072328.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:19737640:19738427:-1 gene:Manes.07G072328.v8.1 transcript:Manes.07G072328.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKKAKSFIGWKRIATLCCLSRQNNNLYRFRRLGSKRSLERERRSFSEDFFVRWGGASTLLSYFSLAMEGSLDFNVDISGSRLCVK >Manes.07G090800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28375112:28378155:1 gene:Manes.07G090800.v8.1 transcript:Manes.07G090800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVLGRRWFSQAIHRTLSISCPPLYQIPCNFDFLCTYTSNCSPEKKILEPGEEQEAPKSLSLRIEKLARGESVGLAFQSWMREGFPIHRGDIFHAINRLRKLKLNKRALEVMEWVIRERPYKPKELDYSYLLEFTTKLHGISHGEKLFTCIPSEFQNELLYNNLVIACLEKGVIRLSLDYMKKMRELGHPISHLIFNRLIILHSSHGRRKMIPKFLTLMKAYKTAPHVSTYNILMKIEANEHNIEGLVKVFDEMKISKVEPNDISFCILATAHAVARLYTVAEAYVEDVEKSCTGDNWSTLDVLIILYGYLGKGKELERTWAMVQELSHVRSKSYMLAIEAFGRIGKLSRAEELWLEMKSIKGSKSTEPFNSMLSVYCKYGLIKKATAVFREMEINGCKPNAITFRHLALGCLKAELVEEALKTLEMGMHLKTSNKVKNSTPWLETTLSIIEIFAEKGDIANAEKFFEEIAKAKYTRYTFVYNTLIKAYVKAKVYVPSLLRRMILGGAKPDAETYSLIKHIEQFQT >Manes.17G084777.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29234393:29236460:1 gene:Manes.17G084777.v8.1 transcript:Manes.17G084777.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFFVSVWRMLSRFLEKATQEKIVIVSNEEERKKFIKEIGEESLPEEYGGQAKLVALQDFILPELED >Manes.16G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8587489:8590791:1 gene:Manes.16G047400.v8.1 transcript:Manes.16G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPNLSSSLLLNSKSSASREREKSITSQNQIQIQNQTLPSHFQSTTATSSTISTTKTKPSSTNTSLFPPPPQGAQIKTAPRPQDEQEPQRGDFYVNLGLAVRTLREDLPLLFTKDLNYDIYRDDITFLDPLNKFTGIEKYKLIFWALRFHGKMLFREISLEVYRIWQPSENVILIRWNLKGVPRVPWEAKGQFQGTSRYKLDRNGKIYEHKVDNLAFNFPQPLKPAASVLDLVAACPASPNPTFLFGNGDVYSSSWVEFYRAVRETLDQEGYQLLLQDGFVTCS >Manes.04G002700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:542802:544752:-1 gene:Manes.04G002700.v8.1 transcript:Manes.04G002700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKPKLILPLSISPLSQRFPRFFSSSFSSSTISLPDNLNAALSPEESLLVDKFHSLIKDHHRKNPNLSYPTVPFLSLEFSQISATHFTPISPFVVSRVIEKCGAVRHGIPFLQALAFFNWATDRNGFVHSPEPYNEMADLAGKVRQFDLVWNVIDSMKARNVEIKIDTFSILIRRYARAGLAVEAVHAFHRMEDYNCKPDKVAFSIVISILCRKRRANEAQSFFDSLKDKFEADVIVYTNLVRGWCRAGNMPEAERVFREMKMAGIKPNVYTYSIVIDALCRCRQINRAYDVFAEMLDVGCEPNSVIFNNLMRVHVNAGKTEKVLQVYNQMKWLGCSPDTITYNFIIQTHCNEENLEEALKVLHSMVKKGCIPNASTFNILLGCIAKLGDVNGAHKMYAKMKELKCKPNTVTYNVLMRMFVNSKSTDMVLKLKREMDDNDVEPNVNTYRVLISMYCGMGHWNNAYKSFREMIEEKRLKPSLPVYEMVLQQLRKAGQLKKHEELVEVMVDRGFVTRPL >Manes.09G060500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9736357:9743614:-1 gene:Manes.09G060500.v8.1 transcript:Manes.09G060500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFLLHALIPSLNSVAVLIIFFGYLAIAGSILPGKLVPGVTLQDGSRLYYCCNGLLSLLLLIVLLGVGAKMDLLSPTVISESGLELLSTTFIFSVLVTFLLYAAGCNSQTQGSSLKPHVTGNLIHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLFINLSVLAKSIQDANLSCSMILYQLFCALYILDYFFYEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLGNKVELTTAAVIANCLVFLIGYMVFRGANKQKHVFKKNPKALIWGKPPRVIGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLIWRERRDEARCAEKYKEIWNEYRRLVPWRILPYFY >Manes.09G060500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9736357:9751947:-1 gene:Manes.09G060500.v8.1 transcript:Manes.09G060500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFLLHALIPSLNSVAVLIIFFGYLAIAGSILPGKLVPGVTLQDGSRLYYCCNGLLSLLLLIVLLGVGAKMDLLSPTVISESGLELLSTTFIFSVLVTFLLYAAGCNSQTQGSSLKPHVTGNLIHDWWFGIQLNPQFMGIDLKFFFVRAGMMGWLFINLSVLAKSIQDANLSCSMILYQLFCALYILDYFFYEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLGNKVELTTAAVIANCLVFLIGYMVFRGANKQKHVFKKNPKALIWGKPPRVIGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGISSPVPYFYPIYLLILLIWRERRDEARCAEKYKEIWNEYRRLVPWRILPYFY >Manes.14G120500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11659009:11664531:1 gene:Manes.14G120500.v8.1 transcript:Manes.14G120500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSSSSLHPLHHQTLFPKYTRLSPNFLNFQPRLRFSSQKFLSTIRASSAVALEPNLGTEKGKTLEGEPFACPICYKPLLRKGPPGFNLSAIYRSGFKCTKCNKTYSSKENFLDLTINAGLKEYTEVKPARTELFRSPLVSFLYERGWRQNFNRSGFPGPDEEFKMAQEYFKPAEGGLLVDVSCGSGLFSRKFAKSGAYSKVVALDFSENMLRQCYDFIKQDDTILNANLALVRADVSRLPFQSGSVDAVHAGAALHCWPSPSNAIAEICRILRSSGVFVGTTFLRYTAATPWIALPFRQRILGSYAYFTEEEIKDLCTSCGLTNYQSKVQQSFIMFSAEKPSD >Manes.14G120500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11659009:11664531:1 gene:Manes.14G120500.v8.1 transcript:Manes.14G120500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSSSSLHPLHHQTLFPKYTRLSPNFLNFQPRLRFSSQKFLSTIRASSAVALEPNLGTEKGKTLEGEPFACPICYKPLLRKGPPGFNLSAIYRSGFKCTKCNKTYSSKENFLDLTINAGLKEYTEVKPARTELFRSPLVSFLYERGWRQNFNRSGFPGPDEEFKMAQEYFKPAEGGLLVDVSCGSGLFSRKFAKSGAYSKVVALDFSENMLRQCYDFIKQDDTILNANLALVRADVSRLPFQSGSVDAVHAGAALHCWPSPSNAIAEICRILRSSGVFVGTTFLRYTAATPWIALPFRQV >Manes.02G204300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17667895:17674474:1 gene:Manes.02G204300.v8.1 transcript:Manes.02G204300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDEESSQSVSKKATKKEAAKQEKLRRRQEAALASAASSLAIEEEEDPLAANYGNVPLYELQSKEESNLSDWTRVEELTEGLKDKEVLIRGRAQTTRAVGKNMAFVVVRQKGFTVQCVVTAQPELVSRQMVKFVAGLSRESIVDVQGLVSVPSVPIKGTTQQVEVQVRKLHCISKALPTLPINIEDAARSEKEIEEALQAGEQLVRVNQDTRLNYRVLDMRTPANQGIFHIQHEVGSIFRKFLESEGFQEIHTPKLIAGASEGGSAVFKLDYKGQAACLAQSPQLHKQMAICGDKERVFVVGPVFRAEDSYTHRHLCEFVGLDAEMEIKKHYSEVMDIIDRLFVTMFDHLNDKCKKELDAVRRQYPFEPLKYLRKTLRLRFEEGVQMLKDAGVEIDPYGDLNTESERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDDAKYSNSFDVFIRGEEIISGAQRVHVPEFLEERAKACGIDVNTLTTYIDSFRYGAPPHGGFGVGLERVVMLFCGLNNIRKTSLFPRDPLRLAP >Manes.02G204300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17667895:17674475:1 gene:Manes.02G204300.v8.1 transcript:Manes.02G204300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDEESSQSVSKKATKKEAAKQEKLRRRQEAALASAASSLAIEEEEDPLAANYGNVPLYELQSKEESNLSDWTRVEELTEGLKDKEVLIRGRAQTTRAVGKNMAFVVVRQKGFTVQCVVTAQPELVSRQMVKFVAGLSRESIVDVQGLVSVPSVPIKGTTQQVEVQVRKLHCISKALPTLPINIEDAARSEKEIEEALQAGEQLVRVNQDTRLNYRVLDMRTPANQGIFHIQHEVGSIFRKFLESEGFQEIHTPKLIAGASEGGSAVFKLDYKGQAACLAQSPQLHKQMAICGDKERVFVVGPVFRAEDSYTHRHLCEFVGLDAEMEIKKHYSEVMDIIDRLFVTMFDHLNDKCKKELDAVRRQYPFEPLKYLRKTLRLRFEEGVQMLKDAGVEIDPYGDLNTESERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDDAKYSNSFDVFIRGEEIISGAQRVHVPEFLEERAKACGIDVNTLTTYIDSFRYPLWLSLSLS >Manes.01G249304.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40870343:40871800:1 gene:Manes.01G249304.v8.1 transcript:Manes.01G249304.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEGKRKGTVERKTSKGNLVKMQCDWWAGQILTNCRFADNTTAKSFRQEFRD >Manes.09G133000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33381727:33386556:-1 gene:Manes.09G133000.v8.1 transcript:Manes.09G133000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRIPETELNSSKSGIHAFSSPCSCEIRLRGFPVQTTSVPLVSSAEAIPDIHSIASSFYLEESDLKALLEPGCFYTHQACLEIVVFTGRKGSHCGVGVKRQQIGTFKLEVGPEWGEKKSAILFSGWISIGKNKQESRKPGAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRVPQVDPLSTYWSTSVDGIDLETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVRPDVCRPESWQPWGKLEAWRERGVRDSICCRFHLMSESQEGGEVLMSEILISAERGGEFFIDTDRQLRAAATPIPSPQSSGDFSGLGSTGGFVMSCRVQGEGKHSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIVACRPFRRRLRRGSRHSL >Manes.14G021200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2098773:2105602:1 gene:Manes.14G021200.v8.1 transcript:Manes.14G021200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLVYYIKTMSREIQVFDPYAILELEHGALESEIKKRYRRLSILYHPDKNPDPEAHKYFVESITKAYQALTDPISRENYEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVVAVIYLSRSSKYTGNYVMHQTLTAYYYLMKPSLAPSKVMEVFTKAAEYTEIPVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAVVKTELLIQTQLTRESAALSPGLLGDFRHVLELAPRLLEELMKMAVIPRPGQGHGWLRPAIGVVELSQCIIQAVPLSARKTTGGAEGIAPFLQLPHLSESAVKKMARKKVRTFQDFVDMALQDRADLLEQVAGLSTFEVQDIEMVLEMMPSLTMEVRCETEGEEGIQEGDILTVQAWVTLRRANGLVRALPHAPSFPFHKEENFWFLLADPISNKVWFSQKVNFMDEAAAVTAASKAIEESMEGSGASVKETSKAVREAVEKVRDGSRLVMGKFPAPAEGNYNLTCYCLCDSWIGCDKKINMKVKILKRTRAGTRGGAVSEEVPTAEDGLEDEEENEEEEYDDYESEYSEDEEDEKDTKKTGPAANGTVHKRGSSSEGSGSDKE >Manes.14G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2098773:2105602:1 gene:Manes.14G021200.v8.1 transcript:Manes.14G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSALFPIFILTIMALPLVPYTIMKLCRAASKKSKSINCRCSECFRSGKFRKSIFKRISNFSTCSNLTLILLWIIMIFLVYYIKTMSREIQVFDPYAILELEHGALESEIKKRYRRLSILYHPDKNPDPEAHKYFVESITKAYQALTDPISRENYEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVVAVIYLSRSSKYTGNYVMHQTLTAYYYLMKPSLAPSKVMEVFTKAAEYTEIPVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAVVKTELLIQTQLTRESAALSPGLLGDFRHVLELAPRLLEELMKMAVIPRPGQGHGWLRPAIGVVELSQCIIQAVPLSARKTTGGAEGIAPFLQLPHLSESAVKKMARKKVRTFQDFVDMALQDRADLLEQVAGLSTFEVQDIEMVLEMMPSLTMEVRCETEGEEGIQEGDILTVQAWVTLRRANGLVRALPHAPSFPFHKEENFWFLLADPISNKVWFSQKVNFMDEAAAVTAASKAIEESMEGSGASVKETSKAVREAVEKVRDGSRLVMGKFPAPAEGNYNLTCYCLCDSWIGCDKKINMKVKILKRTRAGTRGGAVSEEVPTAEDGLEDEEENEEEEYDDYESEYSEDEEDEKDTKKTGPAANGTVHKRGSSSEGSGSDKE >Manes.12G121500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32708179:32708547:-1 gene:Manes.12G121500.v8.1 transcript:Manes.12G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFEFLLQFEATTKSKLASLNEKLDTLERRLEVLEVQVGTATANPSLLST >Manes.14G027200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2496699:2499949:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYIFSKTEFHILILGIDKAGKTTFMEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.14G027200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2496651:2499949:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYIFSKTEFHILILGIDKAGKTTFMEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.14G027200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2496699:2499896:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYIFSKTEFHILILGIDKAGKTTFMEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.14G027200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2495608:2500024:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYIFSKTEFHILILGIDKAGKTTFMEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.14G027200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2496699:2499895:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYIFSKTEFHILILGIDKAGKTTFMEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.14G027200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2496699:2499921:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.14G027200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2495608:2499921:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.14G027200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2496651:2499949:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYIFSKTEFHILILGIDKAGKTTFMEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.14G027200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2496699:2499895:-1 gene:Manes.14G027200.v8.1 transcript:Manes.14G027200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWKYIFSKTEFHILILGIDKAGKTTFMEKLKSLFSNLEGIPPDRIVPTVGLNIGRVEVSNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYLIDATCPSRFEDSKSALEKILRHEDLQGAPFLILANKEDLSEAVSAEEVARYLDLKKLDERERVYMFEAVSAYNGMGIKESVEWLVEVMERSKRTEMLRVRAGGTPGPSA >Manes.10G016633.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1744874:1748965:1 gene:Manes.10G016633.v8.1 transcript:Manes.10G016633.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTFVILTPSLKMNPPTWLQVALGIDYHHNTLLSHQKVNPKEVIVGWYSTVLRVTGGSALIHEFYSREVPNPIHLTVDAGFRNGAGTIKAYVSVNLFLGDHQLALQFQEIPVDL >Manes.10G016633.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1744860:1748965:1 gene:Manes.10G016633.v8.1 transcript:Manes.10G016633.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTFVILTPSLKMNPPTWLQVALGIDYHHNTLLSHQKVNPKEVIVGWYSTVLRVTGGSALIHEFYSREVPNPIHLTVDAGFRNGAGTIKAYVSVNLFLGDHQLALQFQEIPVDL >Manes.10G016633.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1744901:1748396:1 gene:Manes.10G016633.v8.1 transcript:Manes.10G016633.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTFVILTPSLKMNPPTWLQVALGIDYHHNTLLSHQKVNPKEVIVGWYSTVLRVTGGSALIHEFYSREVPNPIHLTVDAGFRNGAGTIKAYVSVNLFLGDHQLALQFQEIPVDL >Manes.08G063732.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8727861:8747205:1 gene:Manes.08G063732.v8.1 transcript:Manes.08G063732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGLEIVEPNTCIRGCCSSNSIPLHLPPSSYTLLTPIARGAESVVYEGILDGRKVAVKKPILSTSEDIDKFHKELQLLCTLDHPGIARLVAAHAKPPNYLFFFEFYESGNLAGKLHVEEWTPSFDQVLMITVQLAKALQYLHAHGIVHRDVKPANVLLERNLYPHLADFGLAEYRRNLTRVSVESWKSSGKPTGGFHKRNMVGTLIYMAPEILRKEMHTEKSDVYSFGISINELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAGVVSSKLRPVLAGPESGASASMLTLIQRCWDENPQNRPSFSDIILELSPILEHRKKSMEENLAPVASAVLEAEVFIDDADNLRTFHEGVNWSTQGEKLSKESSLAINSHMNNWLDSSNEPLAYHPVLSWGSFATCGRRETMEDTHFLMPHMCSEKDIHLFGIFDGHRGAAAAEFSVQALPGYLRTLASMRSPTEALFEAFVSTDAAFRNELDSHRKSRGVIQKDWHPGCTAVAALIVRNKLFVANAGDCRTILCRAGHAFPLSMDHIASCIEERERVISAGGQVKWQVDTWRVGSAALQVTRSIGDDDLKPAVTAEPEITETTLSVEDEFLVMASDGLWDVVSNAEVISIIRDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >Manes.11G101800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:22855222:22855946:1 gene:Manes.11G101800.v8.1 transcript:Manes.11G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVSTTYRGSDEFSVNLSLDAIASAPRTNSSSTDLPIYDPISDSTKKDVGLHHRSLGENSVHLIPLVLFLCALILWVFSRSVGKS >Manes.10G107000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25831719:25843798:-1 gene:Manes.10G107000.v8.1 transcript:Manes.10G107000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPQPKRPRDDAKAIESPPIGSDRRLVIYEDPVVQLPESSHEPQPSDHMLCTYQCRQMVKSDFLDALSNAEKQVCDYLSKLENLNENFCKVEADRNKFRDQFLYAEQELAAAKGREQALQLQLLKEINDSQERLKKQLESCSKLEIKLENEKNLRIKAESSATSAEEKASLLEAKLSQLSESIDREKMRLNNELAQLQRDSKLSVSRITADLERMECRAKNAEKESELLKEQLGNLKLQLNECLHQKSELEKKLSSLTIPGGASTESNILVKHLQDELRNYESEVREARKLKASYENIELLKEKLLEEKSRKERAESELSKLREFELNMRKLEDELSSWKSMLKKIPDVSCCDDIPLKFSALQKYV >Manes.10G107000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25829539:25843798:-1 gene:Manes.10G107000.v8.1 transcript:Manes.10G107000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPQPKRPRDDAKAIESPPIGSDRRLVIYEDPVVQLPESSHEPQPSDHMLCTYQCRQMVKSDFLDALSNAEKQVCDYLSKLENLNENFCKVEADRNKFRDQFLYAEQELAAAKGREQALQLQLLKEINDSQERLKKQLESCSKLEIKLENEKNLRIKAESSATSAEEKASLLEAKLSQLSESIDREKMRLNNELAQLQRDSKLSVSRITADLERMECRAKNAEKESELLKEQLGNLKLQLNECLHQKSELEKKLSSLTIPGGASTESNILVKHLQDELRNYESEVREARKLKASYENIELLKEKLLEEKSRKERAESELSKLREFELNMRKLEDELSSWKSMLKKIPDVSCCDDIPLKFSALQKEVIDNMMKVGEANARLKQIEVALDAAQLGKQNAEAEAALAKEKAESLKLEVNRIELMFCCSLLAFICLLGNSSKKC >Manes.10G107000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25831099:25843822:-1 gene:Manes.10G107000.v8.1 transcript:Manes.10G107000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPQPKRPRDDAKAIESPPIGSDRRLVIYEDPVVQLPESSHEPQPSDHMLCTYQCRQMVKSDFLDALSNAEKQVCDYLSKLENLNENFCKVEADRNKFRDQFLYAEQELAAAKGREQALQLQLLKEINDSQERLKKQLESCSKLEIKLENEKNLRIKAESSATSAEEKASLLEAKLSQLSESIDREKMRLNNELAQLQRDSKLSVSRITADLERMECRAKNAEKESELLKEQLGNLKLQLNECLHQKSELEKKLSSLTIPGGASTESNILVKHLQDELRNYESEVREARKLKASYENIELLKEKLLEEKSRKERAESELSKLREFELNMRKLEDELSSWKSMLKKIPDVSCCDDIPLKFSALQNFVHVER >Manes.04G123500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32465294:32466160:-1 gene:Manes.04G123500.v8.1 transcript:Manes.04G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFHQSLNAYLSKIKNPTPFSLSSSKNWILSGCKNPKTTSFVANSSINIEEHINRDGAATLSDIDRFLFENFKSLYIKNDEEDHHQKKKYEEGRQLKEEDGDYDQVNLGGVLHHNHDDSSSEFYDIPPDLCGSHRFFVATGFNSLIEETQTNLTTTFEKEEISSTLTSNTIINSARNSSNGSNIKNINVALPNNCIALIKYSQGPNNDFRQPMQEIVEAKLQQKEKIDWDFVQDLLFCYLDLNEKKSHKFILSAFVDLVMSLRQCSSKVPTKSRRKKLIQSRGKGN >Manes.01G195100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36937739:36940448:-1 gene:Manes.01G195100.v8.1 transcript:Manes.01G195100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVVSRRWRSQKIDGFDLSPPDDEQVHVLAVDDSLVDRKVIERLLKISSCKVTAVDSGIRALQFLGLDEEKNSSVGFDGLKVDLVITDYCMPGMTGYELLKKIKESSTFREIPVVIMSSENVMTRIDRCLEEGAEDFIVKPVKLSDVKRIRDYMTARDFRMKDQQKGNSNNNNSNKRKLRESCDLSSSPPSNSFASSLSPWLSPSSSLSSPSSPSPSPSSSPSPSPPILSYSAPCSPTTLDSPTRRLKVNISD >Manes.01G195100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36937739:36940448:-1 gene:Manes.01G195100.v8.1 transcript:Manes.01G195100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVVSRRWRSQKIDGFDLSPPDDEQVHVLAVDDSLVDRKVIERLLKISSCKVDSGIRALQFLGLDEEKNSSVGFDGLKVDLVITDYCMPGMTGYELLKKIKESSTFREIPVVIMSSENVMTRIDRCLEEGAEDFIVKPVKLSDVKRIRDYMTARDFRMKDQQKGNSNNNNSNKRKLRESCDLSSSPPSNSFASSLSPWLSPSSSLSSPSSPSPSPSSSPSPSPPILSYSAPCSPTTLDSPTRRLKVNISD >Manes.08G110300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34926319:34927653:1 gene:Manes.08G110300.v8.1 transcript:Manes.08G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQLISNSRAVSFPQANSTPGFSRFFSKSPAYIVKVGIPEFLNGIGKGVEAHSAKLESEIGDFQKLLVIRTLKLKKLGIPCQHRKLILNYAHKYRVGLWKPRYDLVKAK >Manes.15G055400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4249153:4256666:-1 gene:Manes.15G055400.v8.1 transcript:Manes.15G055400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSGAGAIEEHKPAPPVNLSDRGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEGQQRQASRRWEREEGRRDATEDLSEDLSEGEKGDIVGEMLLSETPRKKFQRNFSNLEVWSEDKNEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALARMPGVYRVDLFTRQVSSHEVDWSYGEPTEMLTSGSEDADGNEVGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHILNMSKVLGEQIGGDGPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELALDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARSRRGVNCHGRYMPRMVVITPGMDFSNVVVQEDAPEVDGELASLIGGSDGSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMTGGNASVLMTVLKLIDKYDLYGLVAYPKHHKQYEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDINRALNNGLLVDPHDQQAIADALLTLVSEKNLWHECRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTDTPGDEMAAEESSLNDSLKDVQDMSLRLSIDGDKSSLNESLDYSAAAAGDPEIQAQVNQVMRKIKKPEAGPKDAEGGKNETGMSKYPMLRRRRRLIVIALDCYGAEGAPENKMIQVVQYVIKAVRSDSLFARTSGIALATAMPLSETVEFLASAKIQVNEFDALICSSGSELYYPGTYTEENGELLPDPDYASHIDYRWGCEGLKKTIWKLINTTEGAEQSKGSSSLIELDSKSSNAHCVAYWIKDRKKVMKVHDLRQKLRMRGLRCHPMYCRSSTRMQIIPLLASRAQALRYLFVRWRLNVANMYVILGETGDTDYEQMVAGAHKTIIMKGVVIKGSEELLRSMELKDDFVPKESRLIAHLSGESSASEIAEALKQVSKATGM >Manes.15G055400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4249153:4256666:-1 gene:Manes.15G055400.v8.1 transcript:Manes.15G055400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEWINGYLEAILDSGAGAIEEHKPAPPVNLSDRGHFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEGQQRQASRRWEREEGRRDATEDLSEDLSEGEKGDIVGEMLLSETPRKKFQRNFSNLEVWSEDKNEKKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELARALARMPGVYRVDLFTRQVSSHEVDWSYGEPTEMLTSGSEDADGNEVGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHILNMSKVLGEQIGGDGPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELALDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARSRRGVNCHGRYMPRMVVITPGMDFSNVVVQEDAPEVDGELASLIGGSDGSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMTGGNASVLMTVLKLIDKYDLYGLVAYPKHHKQYEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDINRALNNGLLVDPHDQQAIADALLTLVSEKNLWHECRKNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTDTPGDEMAAEESSLNDSLKDVQDMSLRLSIDGDKSSLNESLDYSAAAAGDPEIQAQVNQVMRKIKKPEAGPKDAEGGKNETGMSKYPMLRRRRRLIVIALDCYGAEGAPENKMIQVVQYVIKAVRSDSLFARTSGIALATAMPLSETVEFLASAKIQVNEFDALICSSGSELYYPGTYTEENGELLPDPDYASHIDYRWGCEGLKKTIWKLINTTEGAEQSKGSSSLIELDSKSSNAHCVAYWIKDRKKVMKVHDLRQKLRMRGLRCHPMYCRSSTRMQIIPLLASRAQALRYLFVRWRLNVANMYVILGETGDTDYEQMVAGAHKTIIMKGVVIKGSEELLRSMELKDDFVPKESRLIAHLSGESSASEIAEALKQVSKATGM >Manes.16G026751.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2871128:2871506:1 gene:Manes.16G026751.v8.1 transcript:Manes.16G026751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAWGHLRNCYFLLLSYFFGVCPCRFCWETQVPTFLYLSSVKGVNSNVGW >Manes.13G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4259041:4260713:1 gene:Manes.13G034500.v8.1 transcript:Manes.13G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNLLFSLSLCLLVLFHGSSAVRQHQPQHECQLDRIDALEPDNRVESEAGVVESWNPNEPQFQCTGVAVVRRTIEPRGLLLPSYSNAPQLVYIVRGRGVSGSIYAGCPETFQESQHAGGSSRDQDQHQKVRSFRPGDIIAIPAGVAHWCYNDGNEPVVAVSVLDVHNRANQLDVNPRHFYLAGNPEEEFPQRYDERRDPRDPGRGIFREPERRTCNNLFCGMDSRFLAEAFNVNEQLARKLQSENDFRGSIVRVERQLQVVRPPRTQQERQEQLQRERVSPGRGEHYNGLEETFCSMRVIENIADSSRVDVFVPEAGRVSTVNSHNLPILQRIQLSASHVVLRNNAVRLPHWHMNAHSVMYVVRGQAQVQVVDENGNAVFDGNVREGQVLTVPQNFAVVKRTERDMFEYVEFKTNDNAMTNDLAGRASTIRALPVEVVANAYRLSLEDARRLKYSTQETTLTSARPPTGRWADA >Manes.05G102800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9522293:9525794:1 gene:Manes.05G102800.v8.1 transcript:Manes.05G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQNLDNLATGRGNMETQNQLPQSQEPGKGTTFLRTCFNGINTLAGVGILSIPYALSQGGWLSLILLFLIAILCWYTGLLLRRCMDEDPAIKTYPDIGGRAFGYKGRALVSIFMYLELYLVAVEFLILEGDNLNKLFPNMSFRVGRMKIGGKQGFVLLTALVILPTTWLRSLGMLAYVSAGGVLASVVLLGCVLWAGAVDGVGFHEGDLLWNWGGLPTAISLFTFCYCGHAVFPTLCNSMKDRSQFSKVLLVCFITSTVTYGSMAVLGYLMYGENLNSQVTLNLPIRKISAKIAIYTTLVNPLTKYAVIITPIAKAIEDTLRLGNNRSLSILVRTLIMISTLIVALTIPFFGYIMAFIGAFLSVTVSVLFPCLCYLRINKAARRFGLELVVIVGILISGSFVAAVGTYTSLRQIINHL >Manes.09G059244.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9589163:9596343:-1 gene:Manes.09G059244.v8.1 transcript:Manes.09G059244.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENTRRSFDRSREPGLKKPRLSEEQTNIDSRHFPQSRRPATAVPATSSAARYRATSDRESGSNISSRTGAYQPQPQQYQDLVSRYKTALSELNFNSKPIISNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDCIVKNIGRDYIKYFAARLPQVFCKVYRQVDPSVHLSMRHLFGTWKGVFPPLSLQMIEKELGFASAANGSSSGTATSKPDSQSRHRQHRIHVNPKYLEIQSLQQSGRAKGLANDLTVPITNSTEDAESLERPERVAGIGPGRLLVDPPVKIHNIQRSHRETPNEPVHEKKINAMYGDLEHTSDISRNSGSGIGRISRKVAEQGYEKPQYGAGNSVTETIVDQTNGFSMKHGFPNFSMRKAANVDLHRQPTQSVTSKSSSAVSDSWKNTEEEEFMWNMHSRLSDQDAVNLSNKSKKELWTCDDGKKMEFEYQLRKQEDAHEVVSRFGNPPAPSFPARSHQQLQNSMEQDLSRPDYKAHHLSVNVLQSNVQLGNLQKLQPEDLPSSSPSLPSFQRSCRHPILQPRQADSKRVEPSGLVSKILTPTLGSSAPGHSTPLSAEVSGESSTSGLLAAVMSSGILSNITTVGFANKSSQDNGQNPVDSKIHPPLSSGTSPSQITSSWPRVTSPSGPLSLDVTSVTSNISQRKVEQPPGSPPSYVQTSSAVNKVDDPISNLWSSLIAKGLISASKSETSSSLPPQMPTQSETKNPSITNSSNTSTSSLPVSSVIPHSSTKDEVLLHVPDAKKSVVLPQSTSAEIRTLIGLEFKSDIIRVLHPLVICSLFDDLPHQCSVCGLKLKLKERLDRHLEWHTWRKSEPDGRNKVTRRWYACSGDWVTGKTELPLRIESSVFTDELVRTMDENEPMVPADGDQCLCVLCGELFEDYYSHERKKWMFKAAMHLSLTLRDGRIGTTSENAEGPIVHVNCISESSVYDLGLASDNEMDG >Manes.18G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4339107:4342299:1 gene:Manes.18G050400.v8.1 transcript:Manes.18G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNITGPVHLKSREKKLKFMNTPAERQLPAAIMDFKSSEISSWKEALSAYPARIQSLNKPNLVSLDQFYRVQLPSLLHQRNPHPYITTSELSKLMQWKLSRGKWRPRLLDFVSSLDEELVKSASQKAFESLPDLSKAVSELSVLKGVGPATASAVLAAYAPDVAPFMSDEAMEAALGSSKDYTLKQYLLFTDKLLRKSKELSSNGESFTVSDVERALWSFAVWSKLLVSQPDPNSKSNTGKDSKRKRKR >Manes.18G050400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4339114:4342299:1 gene:Manes.18G050400.v8.1 transcript:Manes.18G050400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNITGPVHLKSREKKLKFMNTPAERQLPAAIMDFKSSEISSWKEALSAYPARIQSLNKPNLVSLDQFYRVQLPSLLHQRNPHPYITTSELSKLMQWKLSRGKWRPRLLDFVSSLDEELVKSASQKAFESLPDLSKAVSELSVLKGVGPATASAVLAAYAPDVAPFMSDEAMEAALGSSKDYTLKQYLLFTDKLLRKSKELSSNGESFTVSDVERALWSFAVWSKLLVSQPDPNSKSNTGKDSKRKRKR >Manes.18G050400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4339114:4342299:1 gene:Manes.18G050400.v8.1 transcript:Manes.18G050400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNITGPVHLKSREKKLKFMNTPAERQLPAAIMDFKSSEISSWKEALSAYPARIQSLNKPNLVSLDQFYRVQLPSLLHQRNPHPYITTSELSKLMQWKLSRGKWRPRLLDFVSSLDEELVKSASQKAFESLPDLSKAVSELSVLKGVGPATASAVLAAYAPDVAPFMSDEAMEAALGSSKDYTLKQYLLFTDKLLRKSKMVAVLP >Manes.05G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12911696:12913093:1 gene:Manes.05G139200.v8.1 transcript:Manes.05G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEISWSREEEKAFENAIAKHWTEEEDESEELWEMIASLVPTKSVQELKLHYQLLVEDVNAIEAGNIPLPNYVGEETSSSAKDSHGISGAVAAEKRLNCGYGSGFLGLGHNSSGHGGKGGSRSDQERKKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNGDVSSNQPPITGQQANSNPAAAAAMGSKVKHSVQPHMPGLGIYGAPVGHPVAAPPGHMGSAVGTPVMLPHGHHPPYVVPVAYPVAPPQTMHQ >Manes.09G174500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36618515:36624352:-1 gene:Manes.09G174500.v8.1 transcript:Manes.09G174500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHVLPFSVVSVVEEILQQHGTKTSGTRSRQIDLASRKADEASVRRFEAAEWLRKLIGVVGAKDLPAEPSEEEFLLGLRSGIILCTVLNKVQPGAVPKVVAGPCDSVTVTDGAALSAFQYFENVRNFLVAVEEMGLPTFEASDLGQGGKSARVVNCVLALKSYSEWKQSGGIGTWKYGGSLKPQQPFTRKVAEPFLKTVSRTTSLPNGGDRSLYDDLDESSASRSLHKLVQAVISNKKQEEIPYVVESMLNKVTEEFERRLVSQQEMIKATAKDLVVSSPGMSLETTSSDTKMEEEISTPIKAEEASTEITTEEYSNQEDDHHDEESKAQRLKQQMMVEQQHIHIQELKHTLNSTKTGMQFLQIKYQEEFKNLGKRLHGLVHAATEYQKVFEENRKLYNQVQDLKGNIRVYCRVRPFLPGKSSRFSPVDHIEEGNISIITPSKYGKEGRKSFNFNRVFGPMATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPIELTEESQGVNYRALSDLFLLSDERKAIISYEISVQMLEIYNEQVRDLLSSDSINKRLEIRNSSQNGINVPDATQLQVASTADVIDLMKLGHRNRAVSATAMNDRSSRSHSCLTVHVQGTNLTSGTVSRGSMHLVDLAGSERVDKSEVIGERLKEAQNINKSLSTLGDVISSLAQKSNHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEHEALGETLSTLKFAERVATVELGASRINKDSSEVKELKEQIATLKAALARKEGDSEHSQNSQSSSPERLRMKPGSGDSSRRQSLDCVSNIQMRSNSSSLMRRRSLDINDLRTKSPPWPAVGSPAQNDKEEDKESACGDWVDKVMVNKHENLISEDHENLLGQWELDSRQLPEPFYHGYPRDPSKNKDNQEFDAHSRRSDVISTDSDELEAGTSDSSEPDLLWQSNLPRMRSLPNGLVSKPKKTTPKSIKRPEHKSLIPSLIPSPSKKPNGGFSPVGSKLGRYPVGADAKRKIGHGK >Manes.13G103000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:30190921:30191357:1 gene:Manes.13G103000.v8.1 transcript:Manes.13G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVEILTGTLFYIQVGNDATVADLKREIGDQQKLPHDRLILFLDNTQNRLIDEDGDAAALVDCGVHDESHIYLFFNPLEDHGSSSTHHFVFNFTDSFLGEA >Manes.02G226200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36411196:36468183:-1 gene:Manes.02G226200.v8.1 transcript:Manes.02G226200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLGESEVEDDEMTEMGSYRGKVKVLRDDQESPAEEIMLLWGIQQPTFSKPNSFVSQSSLQLRLDACGRSLSILQSPSSLGAPGVTGSVMWDSGVVLGKFLEHAVDSKMLMLQGKKVVELGAGCGLVGCIAALLGAQVAFTDLPDRLRLLKKNVEANLRDGDLRGSAVVKELIWGDEPDRDLMEPLPDYILGSDVIYSEEAVMDLLDTLTQLCGFQTTIFLAGELRNDTVLEYFLEAAMKVFVIGRVEQTQWHPDYCSSRVVLYVLTKK >Manes.07G025700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786389:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTQNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTQNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTQNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786389:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTQNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786389:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTQNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786403:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTNAACFDKNLISSVLKQSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.07G025700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2786389:2795110:-1 gene:Manes.07G025700.v8.1 transcript:Manes.07G025700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSEKTKVVVRHLPPTISQVTFMEQIDVAFAGRYNWVWFRPGKNSQKHQSHSRAYIDFKRPEDVIEFAEFFNGHLFVSEKGTQFRAIVEYAPSQRVPKQWFKKDGREGTILKDPAYLEFLDLISKPAENLPSAEIQLERREAERAGAAKDAPIITPLMDFVRQKRAAKSGSRRILANGKLNRRAGASGSPSSSSSKRSSDKKRVSTTMYVLRDSAKSTSGKDKSTYILVPKRDDQHLSDKVVASASASGTEVLEDESGVSGITDAGKKKILLLKGKEKEIPTSQRREASGRIIRSILLNKDSRQNLFSGAQSEQQTQTSNLEKDKRPHRPQHVQLNLKDANGAPDDKLVGNELHCFPGEKQEKRTRNKDRSDRVVWTPLRPSDGSYASDESLLSSASQSVQSGVDSSQGSLGDVKVDSSNSRGGEVKTLGSGRSGHSSLDNGSLKHFGRRGASHTVRDVDGSSGEGKLSKRGGASGYGSHEKQVWVQKSSSGS >Manes.18G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2539763:2541610:-1 gene:Manes.18G030000.v8.1 transcript:Manes.18G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSWCFLLLLVVSLGNLANATVFTLQNHCSYTIWPGTLSGNGAATLGDGGFVLPPGSSIQFQAPPNWSGRFWARTGCTFDDSGVGKCATGDCGGTLKCTGGGAPPVTLAEFTTAANPAEKDFYDVSLVDGYNVGLGVKALGGSGDCQYAGCLVDLNVNCPAELQMVDSGSIVACKSACAAFNSPEFCCTGEHSTPQTCLPTKYSVLFKNACPTAYSYAYDDASSTCTCSGSDYMITFCSNGSG >Manes.02G014500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1398281:1398997:1 gene:Manes.02G014500.v8.1 transcript:Manes.02G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSCNIVVFFIIMWLAVSSAYAAGCRKKKKNPKKPCKQMVLYFHDIIYDGTNADNSTAAIVAAPAGANLTTLAPQFHFGNIVVFDDPITTGHSLESPQVGRAQGMYIYDSKNTFTAWLAFSFVLNSTHHQGTINFIGADPIMNKARDISIVGGTGDFFMHQGIATITTDEPGDIYFRLRVHIKFYECW >Manes.11G032800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3158924:3162495:-1 gene:Manes.11G032800.v8.1 transcript:Manes.11G032800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKGRDNGGDSSSSISSEKREKTRWSFDKSGRDNSVIPRDNLQVKDAAWLRSYIAETERDQNKHAIAVAAATAAAADAAVAAAQAAMAVVRLTSNGRGTTYGSETEKWAAIKVQTVFRGFLARKALRALKGLVKIQALVRGYLVRKQAAATLHSMQALIRAQTSVRSQRARRSINKENRFQPENRPRKSIENFDDTKSEFHSERLFASYEFDESPKIVEIDTYKPRSRSRRAAAALSEYEDGVPYSSPLPCPIPARISIPYYKNHQDFDWYFTGEEFRCSTAQSTPRFANSVRSNAPATPAKSVCGDSFFRPHSNFPNYMSNTQSFKAKLRSHSAPKQRPEPGPKKKLSLNEIMAARNSISSVRMHRSCSQADEGLGL >Manes.11G032800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3158924:3162495:-1 gene:Manes.11G032800.v8.1 transcript:Manes.11G032800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKGRDNGGDSSSSISSEKREKTRWSFDKSGRDNSVIPRDNLQVKDAAWLRSYIAETERDQNKHAIAVAAATAAAADAAVAAAQAAMAVVRLTSNGRGTTYGSETEKWAAIKVQTVFRGFLARKALRALKGLVKIQALVRGYLVRKQAAATLHSMQALIRAQTSVRSQRARRSINKENRFQPENRPRKSIENFDDTKSEFHSERLFASYEFDESPKIVEIDTYKPRSRSRRAAAALSEYEDGVPYSSPLPCPIPARISIPYYKNHQDFDWYFTGEEFRCSTAQSTPRFANSVRSNAPATPAKSVCGDSFFRPHSNFPNYMSNTQSFKAKLRSHSAPKQRPEPGPKKKLSLNEIMAARNSISSVRMHRSCSQADEGLGL >Manes.11G032800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3159174:3162421:-1 gene:Manes.11G032800.v8.1 transcript:Manes.11G032800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATRWLKGLLGMKKDKGRDNGGDSSSSISSEKREKTRWSFDKSGRDNSVIPRDNLQVKDAAWLRSYIAETERDQNKHAIAVAAATAAAADAAVAAAQAAMAVVRLTSNGRGTTYGSETEKWAAIKVQTVFRGFLARKALRALKGLVKIQALVRGYLVRKQAAATLHSMQALIRAQTSVRSQRARRSINKENRFQPENRPRKSIENFDDTKSEFHSERLFASYEFDESPKIVEIDTYKPRSRSRRAAAALSEYEDGVPYSSPLPCPIPARISIPYYKNHQDFDWYFTGEEFRCSTAQSTPRFANSVRSNAPATPAKSVCGDSFFRPHSNFPNYMSNTQSFKAKLRSHSAPKQRPEPGPKKKLSLNEIMAARNSISSVRMHRSCSQADEGLGL >Manes.06G159800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28585653:28595547:1 gene:Manes.06G159800.v8.1 transcript:Manes.06G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHKSSKHSSRDARDYSDSEKDSSSKDRKSKEESTARVSKDSGSGEKRKLDSKENKDSFGSGDGDYTEEYPSLKRFKERPMNGVNDRWNGGDDDRGEVTKKLKEKSGESRSKRRDESARAYGESEEAVKKSSGKSEGKHRESSSRKEGREGGTERDREKEKERDRRGKEGRSDKLVDGQDLRAVKQASEKTELHTRDALQSPELESLPDKRSRRRRDGSGDGDKHQDGIGESNDRRLSSRENVGKDGRAKDEKEKHKDERYRDKYSEDVGRENRYRDDKQRDERASKDQINSRSDDKNLRDDKHAIDVKLKKYKLQDGDREHEHDHIFDLGWDHDHDIDGESSHCDRHRDRDRDRDHGRDHDRDWDCDQDGDHERDRGRERDRDRNLDYDDRRAIRYKDSRGRKLSPDDHDDYNDVRSRGVKTLALDVEKKLLSNSRVESDADRGRSQSHQAHRDNTTTSNKRRASPNTSSFGAADEYRKFNQEELKQRDAPIEQSSKSISSRDANSLPGVSDRASRYRSAEKPTKVDDGNIGELSLERSSRSKASPMGLADRSPSSSSLECRYTNRSGVRRSLDIEESGRRRSGSMGPRDMPYGDDSSNWDLPLEKSLADESTSVDSSFYNRNSQSNSALIPPSAFRGGVGSPSFMGSLEEDGRINSGARHKRSADPNMARGQGNVWRGAPNWSSSVPNGYIPFQHGPHHGGFQAMMPQFPAPPVFGVRPPMDINHSGFPYHIPDADRFSSHLRPLGWQHMMDGSGPAHMHGWDGRNGVFRDESHIYGGTEWDHSRHSMNGREWENSSDIWKGQNGDMKMDLPSTSLKEDFRVQAPGEDVLAGQEGQRSQNESSYHGVQEKIIETRVAAAPSAKKSYKSSPETTHLQEPDHPKLPSNDSVAHFYCAYLSKLDISTELTDPELHSQFMSVFNVEQSATADEDTAFLVNLKDGAKAVPKSSKTLLSSPLFPATSAAVFQRAMDIYKRQRVSLSLPMANGGKVDIILAPRIEEQVPVNDLDVGGEPVLDHNEEISDVQMLNLDEEKVKVHASISREKGNLEVACSQELAVHAHTSNLRLESASQALSHDIPEEPMMIFSGDKVDKMSSEMVNSEDKQGDFVSTPDDVPNADQVLPTDGQKMDENRKMEGSNTYNRAEEGEGVGNAICGSVIFSDGSPKASGALMPGSNEPESVILRRIHHSPESTH >Manes.18G142800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24523614:24527239:1 gene:Manes.18G142800.v8.1 transcript:Manes.18G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVLWDSIFRTYPLFHFSIRNLSNRNSIPRKNKWLCLASPQLNPVGSEVSFCVGTHLIPHPNKIERGGEDAFFVSRYNGGVIAVADGVSGWAEQNVDPSLFPQELMANASCLVGDEEVNYDPQILLGKAHAATSSIGSATVIVAMLERNGMLKIANVGDCGLRVVRRGQIIFSTSTQEHYFDCPYQLSSEMVGQTYLDAMVSNMELMEGDTIVMGSDGLFDNIFDSEIVATIARHDCVTGAAKALANLARIHSMDSKFESPYALEARSKGFDVPLWKKILGMKLTGGKLDDITVIVGRVVRS >Manes.18G142800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24523614:24527239:1 gene:Manes.18G142800.v8.1 transcript:Manes.18G142800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVLWDSIFRTYPLFHFSIRNLSNRNSIPRKNKWLCLASPQLNPVGSEVSFCVGTHLIPHPNKIERGGEDAFFVSRYNGGVIAVADGVSGWAEQNVDPSLFPQELMANASCLVGDEEVNYDPQILLGKAHAATSSIGSATVIVAMLERNGMLKIANVGDCGLRVVRRGQIIFSTSTQEHYFDCPYQLSSEMVGQTYLDAMVSNMELMEGDTIVMGSDGLFDNIFDSEIVATIARHDCVTGAGISQPSKNSFNGLKI >Manes.18G142800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24523614:24527239:1 gene:Manes.18G142800.v8.1 transcript:Manes.18G142800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVLWDSIFRTYPLFHFSIRNLSNRNSIPRKNKWLCLASPQLNPVGSEVSFCVGTHLIPHPNKIERGGEDAFFVSRYNGGVIAVADGVSGWAEQNVDPSLFPQELMANASCLVGDEEVNYDPQILLGKAHAATSSIGSATVIVAMLERNGMLKIANVGDCGLRVVRRGQIIFSTSTQEHYFDCPYQLSSEMVGQTYLDAMVSNMELMEGDTIVMGSDGLFDNIFDSEIVATIARHDCVTGAAKALANLARIHSMDSKFESPYALEARSKGFDVPLWKKILGMKLTGGKLDDITVIVGRVVRS >Manes.08G152811.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38995396:38996924:-1 gene:Manes.08G152811.v8.1 transcript:Manes.08G152811.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMKNTLQVTVARIKYLHFACRGDMGTRVALRDTIKHLMGNILIGSTLVPIITVIRGSARAIRLVAGGTDEFLCSCANCYSAIASKLVTYGNKWGFVQVGVYNKGFVQASMDTWETFMRVGLEPLIDSDLTGSFCFLSGIAGGAVCTLVGGTWTLVVHKNYVTEVSIYAFLIGYLKCRIAMAWPQACVSAYYVAYADNPHSLWLDPTIPVRIQQLQRYGT >Manes.08G152811.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38995397:38996923:-1 gene:Manes.08G152811.v8.1 transcript:Manes.08G152811.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMKNTLQVTVARIKYLHFACRGDMGTRVALRDTIKHLMGNILIGSTLVPIITVIRGSARAIRLVAGGTDEFLCSCANCYSAIASKLVTYGNKWGFVQVGVYNKGFVQASMDTWETFMRVGLEPLIDSDLTGSFCFLSGIAGGAVCTLVGGTWTLVVHKNYVTEVSIYAFLIGYLKCRIAMAWPQACVSAYYVAYADNPHSLWLDPTIPVRIQQLQRYGT >Manes.03G187800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30751943:30756386:1 gene:Manes.03G187800.v8.1 transcript:Manes.03G187800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCNRHSSTSDGDSRGHPEAACVGNKHVLTQRALEAISVPSHNLKLQYSALTQRGYYPDSPDKENQDSFCIRTQIQGNPSVHFFGVFDGHGLYGAECSNFVKDRLVEILANDPSLLNDPVKAYTSAFSRTNSELHSSKIDDSMSGTTAITVLVNGDKIYVANVGDSRAVIAVKRGNRIIAEDLSKDQTPFRKDEYERVKSCGARVLSVDQVEGYMDPNIQTWDDEESQGGDPPRLWVQNGMYPGTAFTRSVGDSTAETIGVIADPEVSIVQLTPNHLFFVVASDGVFEFLSSQAVVDMPGAVATDGTAQVNRNQVSSRTRRESSGFSIGSGSEIYRSARSEFSDQQLSVNRSAAIVVPSPSHQRSSELSGG >Manes.03G187800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30751944:30756385:1 gene:Manes.03G187800.v8.1 transcript:Manes.03G187800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCNRHSSTSDGDSRGHPEAACVGNKHVLTQRALEAISVPSHNLKLQYSALTQRGYYPDSPDKENQDSFCIRTQIQGNPSVHFFGVFDGHGLYGAECSNFVKDRLVEILANDPSLLNDPVKAYTSAFSRTNSELHSSKIDDSMSGTTAITVLVNGDKIYVANVGDSRAVIAVKRGNRIIAEDLSKDQTPFRKDEYERVKSCGARVLSVDQVEGYMDPNIQTWDDEESQGGDPPRLWVQNGMYPGTAFTRSVGDSTAETIGVIADPEVSIVQLTPNHLFFVVASDGVFEFLSSQAVVDMVAQYNDPRDACAAIAGESYKLWLEHENRTDDITIIIVHIKNSSSPGAVATDGTAQVNRNQVSSRTRRESSGFSIGSGSEIYRSARSEFSDQQLSVNRSAAIVVPSPSHQRSSELSGG >Manes.03G187800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30751944:30756385:1 gene:Manes.03G187800.v8.1 transcript:Manes.03G187800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCNRHSSTSDGDSRGHPEAACVGNKHVLTQRALEAISVPSHNLKLQYSALTQRGYYPDSPDKENQDSFCIRTQIQGNPSVHFFGVFDGHGLYGAECSNFVKDRLVEILANDPSLLNDPVKAYTSAFSRTNSELHSSKIDDSMSGTTAITVLVNGDKIYVANVGDSRAVIAVKRGNRIIAEDLSKDQTPFRKDEYERVKSCGARVLSVDQVEGYMDPNIQTWDDEESQGGDPPRLWVQNGMYPGTAFTRSVGDSTAETIGVIADPEVSIVQLTPNHLFFVVASDGVFEFLSSQAVVDMVAQYNDPRDACAAIAGESYKLWLEHENRTDDITIIIVHIKNSSSMELPKSIGIK >Manes.S026652.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2229897:2230915:-1 gene:Manes.S026652.v8.1 transcript:Manes.S026652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRDVRPRPCPRVFQPPIAVAIAAEDSAFGPAAGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G123525.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29007702:29008907:1 gene:Manes.10G123525.v8.1 transcript:Manes.10G123525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIANSGKQKQPKLPVIIAIRGSLDIQQSEIAYKLAESLNYPLIDERDINQMILDHEILSSTLSTATTPSASISRDLPFKIASQISSTLLLLKFPVIINTSISETYHLDHLLQLAISREALLIIIDCSSYQIDLVLEDYYWVKHFSISMETFDVEEFIPKILEAVENYEVASLQDPEDGGEADSSQDSMSIPVQSLLHEFSFTEEPVMASNEHHCSHCQEVISGPSYQCIECDEFILHKSCAELPADSEAISKYYPFYINPNPSNFNFPETHKCRVCESYSSECSSCLLQTHIRCGILPTICRYQRHEHPLSFVIMPFWFDYEYKCYDCGEYGKFIGYKCHGCCLDLHPSCAISKTKSGGVDEDANSDAEMVPKIIGGEPTEPALQEAYALRRDMIVKAVGA >Manes.12G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31839078:31841426:-1 gene:Manes.12G117000.v8.1 transcript:Manes.12G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRGRFLSFPMVIGAAVIGIVSGKAIFGPPLDEYWKKKLQEETAAKETDTSST >Manes.07G005300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:737420:739417:-1 gene:Manes.07G005300.v8.1 transcript:Manes.07G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSITKVLELCKVSPPPNSSPPTTLPLTFFDIPWLFFSPCQPLFFYEYSHSTSHFISSTLPNLKLSLSLALKHFFPFLGNLVVSSNDSNMPKIVYNEGDCVSFSVAESNGDFRCFTSNHGREVHEFYPLVPELATSGGAIPLLAVKVTIFPHMGICIGLAYHHVAADGRTFNNFIKAWSSLCANSCFLINSLPSFDRSVIKDSHGLEEIFLEELWKIKSSQEMIIGTETNVDLSNMVRSTFVVSSLDMERIKKWVIGQCKKKSQPQPIHLSPYVLTCSFIWVCLVKTQTQTSQPENHHYSDDEPNYFGFIAGGLTRFDYPVPTSYFGNCVGFGRSIATRGELKGEDGIIVAANVIGNMIKKLDKEMLFEAERWIWDWKKMFELEVHVMIFGSPKLDFYETDFGWGRPKKIEEISIDRSRAISLTESRDFKGGIEVGIALPKNQMEVFSSLFIEGLQILQ >Manes.01G039120.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7664359:7666728:-1 gene:Manes.01G039120.v8.1 transcript:Manes.01G039120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVVLDKCDNWLQSINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLRLDWNNFHSTIPSWLYNITKLQNLDLYSNAFRGSLSTDISNLNSLAYLNVGVNSLEGNMPNTLNRLCNLIELDLGNNKFSGEISGTFGNSSTCIKNSLENLSLLNNSFSGSIPDNLGQFKRLKVLYLSENSFWGSIPVSIGQLYNLERLRFSQNSLHGEVSELHLLNLRSLIELSMDGNSLVFDIDPEWIPPFQLDWIGLSSCEVGPSFPQWLKTQKSIRFLEMSNASISDNIPDWFENISSNIVGLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNLSEMMPSLRLLSLSNNYLNGTVPATLCWIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLENNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPWWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPTCFGNFTAIAMHEQKGHWEYYSNAGLYVGFERGYGENVQVYVKGIELEYTSTLRFLYSIDLSGNNFVGEIPQELMNLSGLQVLNLSTNKLDGHISWNIGKLSSLESLDLSENELSGSIPSSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.15G122600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9773385:9778173:-1 gene:Manes.15G122600.v8.1 transcript:Manes.15G122600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFRGLGATTALTSSNSFDPKNLQLPSRRPFSERSFFVVRSDGSVNLNLASNSRARRAEQLITNAVATKADGSAASTASKPGHELLLFEALREGLEEEMDRDPTVCVMGEDVGHYGGSYKVTKGLAKKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLCTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >Manes.15G122600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9773385:9778173:-1 gene:Manes.15G122600.v8.1 transcript:Manes.15G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFRGLGATTALTSSNSFDPKNLQLPSRRPFSERSFFVVRSDGSVNLNLASNSRARRAEQLITNAVATKADGSAASTASKPGHELLLFEALREGLEEEMDRDPTVCVMGEDVGHYGGSYKVTKGLAKKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLCTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >Manes.02G158666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12397385:12399325:-1 gene:Manes.02G158666.v8.1 transcript:Manes.02G158666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKSLNGKWHKAYCERHGFEQKAKAENRKQGLEELKSMKRIRVELERLHLLCERIIKCEKIKHDLVLCSHSILACKRDHVARSVPVHSPFFPPHVSSESATASLKRNTNGYKSCSDAIQRSDDVTADSTICLGIELKLLWMQIKRQMTAPHPNTFLPEGLWREYHLLASKSLLELPLHLIIH >Manes.08G120900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36052036:36058237:-1 gene:Manes.08G120900.v8.1 transcript:Manes.08G120900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIAANGATREKAQAQVRELEEEVKLLKNLSHPNIVRYLGTDKEEETLNILLEFVPGGSISSLLGKFGPFPEAVIKTYTKQLLLGLEYLHNNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYIGSTKSHPEIPEHLSAEAKDFLLKCLQKEPNMRADASKLLQHPFVTGWHEGYEPVIHTPFMETSGIPLQSCSKVLETLQMPSVSCGTLDVCNLGSLSSSIDPNKLLESKDLWRTNNDDDMCQIDGDDFATTNSEVKISPVLIADDFKKSCDSMHETSGDWRHKFDEGIEPEQAGTRLDINQPVQRDSNLSFPSGASLSEDDDELTESKITAFLDEKALELKKLQTPLLEEFYNSLNAICSPNFAENSRDETPPNYLKLPPKSRSPSRAPVGTPSAATDAVSNGSPRSTIRCVPNAGNASDQSSEDNSSPQYQDNDHKGLIVNDPSESSSPSVSFSDRQKKWKEELDQELERKREMMRQAGVGSKTSSPKDRALNRQRERTRFASPGK >Manes.08G120900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36052036:36058237:-1 gene:Manes.08G120900.v8.1 transcript:Manes.08G120900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCEIMVSHTLYFAFIFQFLHFQKRIYPTFSLLSLKLHFLTLLPTKIEHPTPQLPTNLSFSLSLSHSPSSIMQEFLGSVRRSIVFRPLPDNQDYGINPLTGNLVEKLNSCIRKSRIFSKPSSPSLSMAPPIRYRKGELIGCGAFGHVYMGMNLDSGELLAVKQVLIAANGATREKAQAQVRELEEEVKLLKNLSHPNIVRYLGTDKEEETLNILLEFVPGGSISSLLGKFGPFPEAVIKTYTKQLLLGLEYLHNNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYIGSTKSHPEIPEHLSAEAKDFLLKCLQKEPNMRADASKLLQHPFVTGWHEGYEPVIHTPFMETSGIPLQSCSKVLETLQMPSVSCGTLDVCNLGSLSSSIDPNKLLESKDLWRTNNDDDMCQIDGDDFATTNSEVKISPVLIADDFKKSCDSMHETSGDWRHKFDEGIEPEQAGTRLDINQPVQRDSNLSFPSGASLSEDDDELTESKITAFLDEKALELKKLQTPLLEEFYNSLNAICSPNFAENSRDETPPNYLKLPPKSRSPSRAPVGTPSAATDAVSNGSPRSTIRCVPNAGNASDQSSEDNSSPQYQDNDHKGLIVNDPSESSSPSVSFSDRQKKWKEELDQELERKREMMRQAGVGSKTSSPKDRALNRQRERTRFASPGK >Manes.08G120900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36052036:36058237:-1 gene:Manes.08G120900.v8.1 transcript:Manes.08G120900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIAANGATREKAQAQVRELEEEVKLLKNLSHPNIVRYLGTDKEEETLNILLEFVPGGSISSLLGKFGPFPEAVIKTYTKQLLLGLEYLHNNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYIGSTKSHPEIPEHLSAEAKDFLLKCLQKEPNMRADASKLLQHPFVTGWHEGYEPVIHTPFMETSGIPLQSCSKVLETLQMPSVSCGTLDVCNLGSLSSSIDPNKLLESKDLWRTNNDDDMCQIDGDDFATTNSEVKISPVLIADDFKKTSGDWRHKFDEGIEPEQAGTRLDINQPVQRDSNLSFPSGASLSEDDDELTESKITAFLDEKALELKKLQTPLLEEFYNSLNAICSPNFAENSRDETPPNYLKLPPKSRSPSRAPVGTPSAATDAVSNGSPRSTIRCVPNAGNASDQSSEDNSSPQYQDNDHKGLIVNDPSESSSPSVSFSDRQKKWKEELDQELERKREMMRQAGVGSKTSSPKDRALNRQRERTRFASPGK >Manes.03G031047.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2531760:2533273:1 gene:Manes.03G031047.v8.1 transcript:Manes.03G031047.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRKYGEGEETGRRKQRRRRNWKVWRRRRNWKKKAKKEKKLEEEKWRRNWKKKANSEEMVENGEIGDRRVETPRLCMHYCPVHAQRHAGDGRKVFGTINAEHFSGFKSGDAS >Manes.11G009800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1030040:1033415:-1 gene:Manes.11G009800.v8.1 transcript:Manes.11G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLKITGCSVLSLHRLGFNELPCKFISGVPRHFNLQKLENSSLHRSHARRICSVNGESNAYSSDPDISTSNLQGSREKTDDGQESVTSSEILKKLKRYGISGILSYGLLNTAYYLTTFLLVWFYVAPAPAKMGYFAAVERFLKVMAMVWAGSQVTKLVRAGGALALAPFVDRGLSWFTVKFKFESQGKAFMAIVGFCFGLAFILFLVVTLL >Manes.12G042100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3808699:3813011:-1 gene:Manes.12G042100.v8.1 transcript:Manes.12G042100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM5 MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >Manes.09G056900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9239936:9244046:1 gene:Manes.09G056900.v8.1 transcript:Manes.09G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMDKFHVENDSVLADSALSQKLIIDTDPGIDDSMAILMAFQSPELEILGLTTVFGNVTTKNATRNALLLCEIAGCPGVPVAEGSPEPLKGGEPRVADFVHGSDGLGNIFLPSPTVKKFEKTAAEFLVDKVSEYPGEVSVLALGPLTNLALAIKRDSSFASKVKRIVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTSGANIAVIGINITTQVKLTDDDLLELRQSKGKHAQILCDMCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFSFKKGVVRVETQGISVGHTLMDQGLKLWNTSNPWTGYSPIEVAWTVNVDEVLNYIRKLLMKP >Manes.02G091100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7124763:7130832:1 gene:Manes.02G091100.v8.1 transcript:Manes.02G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRHSSVRNQMQSMICLLPWMKVTRHIASSSAARNKVPILYKSSEINDQNKKDVVMLQIFSWGRGTSGQLGVGTEQTQLYPAPVVNLQVLPSSFVLSPTPGQLVNKDHYSINKQVLEVGISCGLFHSALLVDGNFWIWGKGDGGRLGFGHENPVFMPTLNPNLDNVRCIALGGVHSIALTSLGQVFTWGYGGFGALGHSVYHRELLPRLVKGNWNGKIQHIATSGAHTAAVTESGELYTWGRDEGDGRLGLGPGRGPNEGGGLSIPSKVNALPIPVAAVSCGGFFTTVLTEDGQIWNWGANSNYELGRGDKVGGWKPKLIPSLEDVRIIQIASGGYHSLALTDEGKVLSWGFGGHGQLGHSSKQNQKIPMVIDALADQRFVYIACGGSSSAAITDEGKLYMWGNAKDSQLGILGLPEVQLYPVEVKFLVEDDDLGAHKVLSVAVGASHAMCLVLRSS >Manes.02G091100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7124764:7130664:1 gene:Manes.02G091100.v8.1 transcript:Manes.02G091100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRHSSVRNQMQSMICLLPWMKVTRHIASSSAARNKVPILYKSSEINDQNKKDVVMLQIFSWGRGTSGQLGVGTEQTQLYPAPVVNLQVLPSSFVLSPTPGQLVNKDHYSINKQVLEVGISCGLFHSALLVDGNFWIWGKGDGGRLGFGHENPVFMPTLNPNLDNVRCIALGGVHSIALTSLGQVFTWGYGGFGALGHSVYHRELLPRLVKGNWNGKIQHIATSGAHTAAVTESGELYTWGRDEGDGRLGLGPGRGPNEGGGLSIPSKVNALPIPVAAVSCGGFFTTVLTEDGQIWNWGANSNYELGRGDKVGGWKPKLIPSLEDVRIIQIASGGYHSLALTDEGKVLSWGFGGHGQLGHSSKQNQKIPMVIDALADQRFVYIACGGSSSAAITDEGKLYMWGNAKDSQLGILGLPEVQLYPVEVKFLVEDDDLGAHKVLSVAVGASHAMCLVLRSS >Manes.02G091100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7124764:7130664:1 gene:Manes.02G091100.v8.1 transcript:Manes.02G091100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTRHIASSSAARNKVPILYKSSEINDQNKKDVVMLQIFSWGRGTSGQLGVGTEQTQLYPAPVVNLQVLPSSFVLSPTPGQLVNKDHYSINKQVLEVGISCGLFHSALLVDGNFWIWGKGDGGRLGFGHENPVFMPTLNPNLDNVRCIALGGVHSIALTSLGQVFTWGYGGFGALGHSVYHRELLPRLVKGNWNGKIQHIATSGAHTAAVTESGELYTWGRDEGDGRLGLGPGRGPNEGGGLSIPSKVNALPIPVAAVSCGGFFTTVLTEDGQIWNWGANSNYELGRGDKVGGWKPKLIPSLEDVRIIQIASGGYHSLALTDEGKVLSWGFGGHGQLGHSSKQNQKIPMVIDALADQRFVYIACGGSSSAAITDEGKLYMWGNAKDSQLGILGLPEVQLYPVEVKFLVEDDDLGAHKVLSVAVGASHAMCLVLRSS >Manes.02G091100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7124763:7130832:1 gene:Manes.02G091100.v8.1 transcript:Manes.02G091100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRHSSVRNQMQSMICLLPWVTRHIASSSAARNKVPILYKSSEINDQNKKDVVMLQIFSWGRGTSGQLGVGTEQTQLYPAPVVNLQVLPSSFVLSPTPGQLVNKDHYSINKQVLEVGISCGLFHSALLVDGNFWIWGKGDGGRLGFGHENPVFMPTLNPNLDNVRCIALGGVHSIALTSLGQVFTWGYGGFGALGHSVYHRELLPRLVKGNWNGKIQHIATSGAHTAAVTESGELYTWGRDEGDGRLGLGPGRGPNEGGGLSIPSKVNALPIPVAAVSCGGFFTTVLTEDGQIWNWGANSNYELGRGDKVGGWKPKLIPSLEDVRIIQIASGGYHSLALTDEGKVLSWGFGGHGQLGHSSKQNQKIPMVIDALADQRFVYIACGGSSSAAITDEGKLYMWGNAKDSQLGILGLPEVQLYPVEVKFLVEDDDLGAHKVLSVAVGASHAMCLVLRSS >Manes.06G121600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25248079:25250301:1 gene:Manes.06G121600.v8.1 transcript:Manes.06G121600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWCHTTTFPPSLVQPLITYSHRLIAYLQIIWCFHVALLVDLIMDLIHKFLNLVAPPFTFFSLCFVLPPLLLYRSFLWVFNSIFSEDVVGKVILITGAASGIGEHLAYQYAKKGARLALVARREQLLEEVANRAGELGSPHVLMIIADVQKVDDCSRLVEETIGHFGRLDHLVNCAGIMSMSMLEEINDISSFRTVMDTNFWGSAYTTRFAIPYLRDSGGKIIVLSSSASWLPAPRLSIYNASKAALLIFFETLRVELGSDVHVLIVTPGFIESEMIQGKVLTSEGRMDVDQDMRDILVSATPIRTVSGCAKAIVNSACRGDKYLTDPAWFRMTWLWKVFCPDVLEWCYRLLQLTRPGEPAAGAPSKKVLDMTGGKKVLYPETVQTPELKKE >Manes.09G095750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28868498:28868605:-1 gene:Manes.09G095750.v8.1 transcript:Manes.09G095750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGLLMLNSTGPPIKRRAGLRIKQAGRGSYRGS >Manes.16G034450.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4214173:4219401:1 gene:Manes.16G034450.v8.1 transcript:Manes.16G034450.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNSRRCNRARGGFEENILAILDATDSKHTQDANDDRIAFIEAVRAASVDQDDGAPPTNKMYEAVFQIMRVGKSLELIMESYLLLNELDKRFPRVYLSNKDASGSSELVVVEEAWSPFVFNLDITYGEKESAVKSYCGTLDSSVFHLLIQDIAEVVNETDLQKMQIKSLGKMLLFQYLINVLEGDFVPRNKAYEETMNWMLLRESLLSMLLSSRRINYKVLVKDCLSIMCGLGQFNSELSDDLKPFYSSAANSSQNVNPAIAIALPEVRCSTCAAMQKLLTVIMELDMSRKKADAQGCTTRADGVRTPLLEIILDELTYDRVMLSQFLEIFNEPKWKLEIILQYFSKYIAKPSVRTRRFNGPIEDDGTLSGVLKGFSNITGTKNITKRISQDAVQILLAHAFQAYLSLPSSQQEAEGISDSKDKVRSNSLVEICENVVSAFSNLKRTDHKMEILPFGKEALFTAASILSTKS >Manes.16G034450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4214318:4219401:1 gene:Manes.16G034450.v8.1 transcript:Manes.16G034450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNSRRCNRARGGFEENILAILDATDSKHTQDANDDRIAFIEAVRAASVDQDDGAPPTNKMYEAVFQIMRVGKSLELIMESYLLLNELDKRFPRVYLSNKDASGSSELVVVEEAWSPFVFNLDITYGEKESAVKSYCGTLDSSVFHLLIQDIAEVVNETDLQKMQIKSLGKMLLFQYLINVLEGDFVPRNKAYEETMNWMLLRESLLSMLLSSRRINYKVLVKDCLSIMCGLGQFNSELSDDLKPFYSSAANSSQNVNPAIAIALPEVRCSTCAAMQKLLTVIMELDMSRKKADAQGCTTRADGVRTPLLEIILDELTYDRVMLSQFLEIFNEPKWKLEIILQYFSKYIAKPSVRTRRFNGPIEDDGTLSGVLKGFSNITGTKNITKRISQDAVQILLAHAFQAYLSLPSSQQEAEGISDSKDKVRSNSLVEICENVVSAFSNLKRTDHSRCDAARWRFCLSEKKLCLQQQVFSQQSHRRSCLNDAGQYFLAQAFMLM >Manes.16G034450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4214318:4219401:1 gene:Manes.16G034450.v8.1 transcript:Manes.16G034450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNSRRCNRARGGFEENILAILDATDSKHTQDANDDRIAFIEAVRAASVDQDDGAPPTNKMYEAVFQIMRVGKSLELIMESYLLLNELDKRFPRVYLSNKDASGSSELVVVEEVAWSPFVFNLDITYGEKESAVKSYCGTLDSSVFHLLIQDIAEVVNETDLQKMQIKSLGKMLLFQYLINVLEGDFVPRNKAYEETMNWMLLRESLLSMLLSSRRINYKVLVKDCLSIMCGLGQFNSELSDDLKPFYSSAANSSQNVNPAIAIALPEVRCSTCAAMQKLLTVIMELDMSRKKADAQGCTTRADGVRTPLLEIILDELTYDRVMLSQFLEIFNEPKWKLEIILQYFSKYIAKPSVRTRRFNGPIEDDGTLSGVLKGFSNITGTKNITKRISQDAVQILLAHAFQAYLSLPSSQQEAEGISDSKDKVRSNSLVEICENVVSAFSNLKRTDHKMEILPFGKEALFTAASILSTKS >Manes.16G034450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4214173:4219442:1 gene:Manes.16G034450.v8.1 transcript:Manes.16G034450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNSRRCNRARGGFEENILAILDATDSKHTQDANDDRIAFIEAVRAASVDQDDGAPPTNKMYEAVFQIMRVGKSLELIMESYLLLNELDKRFPRVYLSNKDASGSSELVVVEEAWSPFVFNLDITYGEKESAVKSYCGTLDSSVFHLLIQDIAEVVNETDLQKMQIKSLGKMLLFQYLINVLEGDFVPRNKAYEETMNWMLLRESLLSMLLSSRRINYKVLVKDCLSIMCGLGQFNSELSDDLKPFYSSAANSSQNVNPAIAIALPEVRCSTCAAMQKLLTVIMELDMSRKKADAQGCTTRADGVRTPLLEIILDELTYDRVMLSQFLEIFNEPKWKLEIILQYFSKYIAKPSVRTRRFNGPIEDDGTLSGVLKGFSNITGTKNITKRISQDAVQILLAHAFQAYLSLPSSQQEAEGISDSKDKVRSNSLVEICENVVSAFSNLKRTDHKMEILPFGKEALFTAASILSTKS >Manes.16G034450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4214299:4219401:1 gene:Manes.16G034450.v8.1 transcript:Manes.16G034450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTNSRRCNRARGGFEENILAILDATDSKHTQDANDDRIAFIEAVRAASVDQDDGAPPTNKMYEAVFQIMRVGKSLELIMESYLLLNELDKRFPRVYLSNKDASGSSELVVVEEAWSPFVFNLDITYGEKESAVKSYCGTLDSSVFHLLIQDIAEVVNETDLQKMQIKSLGKMLLFQYLINVLEGDFVPRNKAYEETMNWMLLRESLLSMLLSSRRINYKVLVKDCLSIMCGLGQFNSELSDDLKPFYSSAANSSQNVNPAIAIALPEVRCSTCAAMQKLLTVIMELDMSRKKADAQGCTTRADGVRTPLLEIILDELTYDRVMLSQFLEIFNEPKWKLEIILQYFSKYIAKPSVRTRRFNGPIEDDGTLSGVLKGFSNITGTKNITKRISQDAVQILLAHAFQAYLSLPSSQQEAEGISDSKDKVRSNSLVEICENVVSAFSNLKRTDHSRCDAARWRFCLSEKKLCLQQQVFSQQSHRRSCLNDAGT >Manes.17G022725.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19314897:19315840:1 gene:Manes.17G022725.v8.1 transcript:Manes.17G022725.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFCALELSGRTSRPCRRVLPIRDILLRRGIHVPAACLFCDHDESISHVFLHCSMAVELLRLAGFFTAVDFPIFMDFFIHIYNTFGRERTAHMAIHAWKLWHARNERLWVNKILSPSEVHHAASSYFNDYVASLVARSIPHVFPLVEATTLEVDWIALIDCAVFASADLFSFAAVFEDLECFFSIAISSFYEGVGNLDCFLQTGCIFTDNQSLALAIRSPLDDFSEFGLVVSDCKDAMRSHDNIHIRWVRRSENKAVHLLVRESIYHGRFKI >Manes.16G134600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33542223:33551386:-1 gene:Manes.16G134600.v8.1 transcript:Manes.16G134600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEANGLVLEHCGGTHVIDLDGDGRLALSEHGDNAASGERDAFEQTGLVDLASQLNQNDGAYSESASASAVEMMDGLLASTLPLSEADGILGGVSDVVNQQSKVIYVDPSNREEAEMLSGTGQCGKSEGGSQIDRTVEACKQQFLPEDSLIFVGKSHEEPQLAKLTNSYVGLTTSAPVDACSINLSQLIEVTKGLNETEYGLLLRSIGLTDSLISFEHGHPLLTERFREELFLAICTKDILELQLTEHSYLQTECDNQFQQMDNELSVLRASLNEACERCNSLAQELVECRSELLAAASGREDFQLQFHAAKAEVKEVSARAKELQSSLESSQADISNLLKELADSKGLVGTLLAENDNLNQTIALLTEERKKLVHEKNTCLQENEKILKELADCRNSVAALLAENSNLSGTLASVTERSKQLEEEKEYLANGNEKLSIDLSDCKGLMETLQVENANLGGDLVMLSEDRKKLEEYKEYSVIEMERLSSELLVLHERISKDHGERKQLEDELKEVTLRLEELSEENIFLQSSLELHKAKIREIDDKQAQRSFPGGDILNQEGGEEVQIRSCEKEAVNEQSHKMQGTRDDGLSDGLSGRLQPEPFEHEVFDDTLGFIVLKGHLEEADRVLKKLEETVEGMFSHAGSSGRAAGKVSAPAVSKLIQTFESKQHHDENEAEEMILMDDPSAAADPFLLIKERTKDLKAVLKQLALDAVNATLLFKTERGGRSAANLSIKEFKFESESMKEHIDNLEATNLELVVLYEALKQHVSHVEEKNQQLEFLYETLKQKDSSLKAEKSELSKKLSECESRIDELQNQLCDSLKNSDELAFVLRGQLENFQTEAADRALAVEKEWNCTITQIIEAVERLDDSSGFLFTSSIATGSNGSLDIGSHAAASVNAAIKTIKDLKDKLEAAYSDHEATINLFKEVKKKCNELLGKNELACGTSHMLYCELRKLVIDSCGSVAESDIDIQDKEVCGPLEYSEYKTLVEKLENFLAERLHLQSVNYQLNLELTSKTKDAEELNRRCIDLSSIEKLIENVEGVVKLEDSEMDLGGTPISRLESLVSFLVCKCKGADEQVSSFREEFGSKVEELTELQERMHHLTDLKLQHETEILLLKEKLSQVEEAFISMQSELREKVSELEQSEQRVSSLREKLSIAVAKGKGLVVQRDSLKQTLSEASSELERCSQELQLKDAKLHELETKLKTYSEAGERVEALESELSYIRNSATALRESFLHKDSVLLRIEEILEDLDLPEIFHSRDIIEKVDWLARSATGNSLPPTEWEQKSSVGGSYSDAGFGVMDAWKEDIKQSSNTGDDLRRKYDDLQGKFFGLAEQNEMLEQSLMERNQLVQRWEELLDRINMPAYLRSAEPEDRIQWLGNALSEATSDRNSLLENIDKLEHYCGSLTAELEQSQKRISPLKAELEDTQRRLSNCQMDIQAVIHERHNLSERFEALSCDHEKLSAKAVHFGIENEKLQNEVNALQEQLVQSLGNEEHIQRMNGEICRLQDLVCDALKDTCTKDLVSGGNTIECLEGLVRRLIENYTTLSLIKTVSGDAVEEHHAREADKNLGEERTRDILDNLESDVALLKKDAVDSNEPNVDLLKKELEETLSELLHVNEERDRYVEKQQSLICEVEALERQRAELQELLNQEEQKSTSLREKLNVAVRKGKSLIQQRDSIKQTIEEMGAEMEHLKSEVKHRENALQDYEVKMRDLTAFSDRVDALESESLFLRNRLAENDRILQGKEHTLSIVLNTLGDIDLGGEIYNSDPIGKLEQVVKLCHDLNAAVACAEEESKKSRRAAELLLAELNEVQDRNDGLQEELAKVRYELVHLSKDREVAEAAKCEALSRLDKLSLDLIEEKKKQYSGYTSLKSAADQLRKSFSDINNLLAVFFSEDLEFLQNLESSMNSCLNRAESDLVVQVPHFSAYGGITSSRLGSKLNFVAVDFSSETNMLDHLDDFISEVCSSLQEFINEIAAVNSMLHKHSAIFHEKAGNLSKLMGSIHRDMSSQKESFEAMRQDIRLRDSVGKEKEMEIAALRRNISLLYEACTSLLMEIENRKAEVVTKSVAVRDLEMNLKAAAFGDGGLPFGGERNFSSEEHVRAMAEKLLLAVKEFACLIGEIREGNQKEMKITISNLQEELQEKDIQRERICKDLVNQIKQAEAAATSFSLDLQSSKSCVHDLERKVEILEDERNLLEQKVKELQDQQTISTELQDKVRSLADRLNAKDQEIEALMQALDEEEIQMDDLTKKVEELDRVVQQKNLDIEKLEAARGKVVKKLSTTVSKFDELHLFSESLLAEVEKLQSQLQDREAEISFLRQEVTRCTNDALVTSQTSNKRNSDELCELLTWLGSVVSLDVNLADSSQIHTYKEIIQEKITSVLSELEDLRVTAQSWDALLQIERSKADDLIRREKILEKSLHDKESLLKMLEVGRDMEQPTRASSEILEVEPVINKWTVPGPSAASQVRSLRKVNNDQVAVAIDMDPDGTNRLEDEDDEKVHGFKSLTSSRIVPKFTRPVTDMIDGLWVSCDRALMRQPALRLSIMIYWALLHALLAAFVV >Manes.16G134600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33542223:33553381:-1 gene:Manes.16G134600.v8.1 transcript:Manes.16G134600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNKNRTDMLAAGRKKLQQFRQKKDNKGSSSHGKSSKKSSKSEQHESYVDAAPNSANSTALPQVLEGETVSSINPVSGVEDSSVSSSIEDSVAPDANVVAVDPLSIPMTYETASIDNANMDKQEVCVHENNIELSNSNDGERIDVLASPAIVETIDSTTVTYELESSSREKAESLPLKENTPDMFLICARGDQVTDVGAMQEANGLVLEHCGGTHVIDLDGDGRLALSEHGDNAASGERDAFEQTGLVDLASQLNQNDGAYSESASASAVEMMDGLLASTLPLSEADGILGGVSDVVNQQSKVIYVDPSNREEAEMLSGTGQCGKSEGGSQIDRTVEACKQQFLPEDSLIFVGKSHEEPQLAKLTNSYVGLTTSAPVDACSINLSQLIEVTKGLNETEYGLLLRSIGLTDSLISFEHGHPLLTERFREELFLAICTKDILELQLTEHSYLQTECDNQFQQMDNELSVLRASLNEACERCNSLAQELVECRSELLAAASGREDFQLQFHAAKAEVKEVSARAKELQSSLESSQADISNLLKELADSKGLVGTLLAENDNLNQTIALLTEERKKLVHEKNTCLQENEKILKELADCRNSVAALLAENSNLSGTLASVTERSKQLEEEKEYLANGNEKLSIDLSDCKGLMETLQVENANLGGDLVMLSEDRKKLEEYKEYSVIEMERLSSELLVLHERISKDHGERKQLEDELKEVTLRLEELSEENIFLQSSLELHKAKIREIDDKQAQRSFPGGDILNQEGGEEVQIRSCEKEAVNEQSHKMQGTRDDGLSDGLSGRLQPEPFEHEVFDDTLGFIVLKGHLEEADRVLKKLEETVEGMFSHAGSSGRAAGKVSAPAVSKLIQTFESKQHHDENEAEEMILMDDPSAAADPFLLIKERTKDLKAVLKQLALDAVNATLLFKTERGGRSAANLSIKEFKFESESMKEHIDNLEATNLELVVLYEALKQHVSHVEEKNQQLEFLYETLKQKDSSLKAEKSELSKKLSECESRIDELQNQLCDSLKNSDELAFVLRGQLENFQTEAADRALAVEKEWNCTITQIIEAVERLDDSSGFLFTSSIATGSNGSLDIGSHAAASVNAAIKTIKDLKDKLEAAYSDHEATINLFKEVKKKCNELLGKNELACGTSHMLYCELRKLVIDSCGSVAESDIDIQDKEVCGPLEYSEYKTLVEKLENFLAERLHLQSVNYQLNLELTSKTKDAEELNRRCIDLSSIEKLIENVEGVVKLEDSEMDLGGTPISRLESLVSFLVCKCKGADEQVSSFREEFGSKVEELTELQERMHHLTDLKLQHETEILLLKEKLSQVEEAFISMQSELREKVSELEQSEQRVSSLREKLSIAVAKGKGLVVQRDSLKQTLSEASSELERCSQELQLKDAKLHELETKLKTYSEAGERVEALESELSYIRNSATALRESFLHKDSVLLRIEEILEDLDLPEIFHSRDIIEKVDWLARSATGNSLPPTEWEQKSSVGGSYSDAGFGVMDAWKEDIKQSSNTGDDLRRKYDDLQGKFFGLAEQNEMLEQSLMERNQLVQRWEELLDRINMPAYLRSAEPEDRIQWLGNALSEATSDRNSLLENIDKLEHYCGSLTAELEQSQKRISPLKAELEDTQRRLSNCQMDIQAVIHERHNLSERFEALSCDHEKLSAKAVHFGIENEKLQNEVNALQEQLVQSLGNEEHIQRMNGEICRLQDLVCDALKDTCTKDLVSGGNTIECLEGLVRRLIENYTTLSLIKTVSGDAVEEHHAREADKNLGEERTRDILDNLESDVALLKKDAVDSNEPNVDLLKKELEETLSELLHVNEERDRYVEKQQSLICEVEALERQRAELQELLNQEEQKSTSLREKLNVAVRKGKSLIQQRDSIKQTIEEMGAEMEHLKSEVKHRENALQDYEVKMRDLTAFSDRVDALESESLFLRNRLAENDRILQGKEHTLSIVLNTLGDIDLGGEIYNSDPIGKLEQVVKLCHDLNAAVACAEEESKKSRRAAELLLAELNEVQDRNDGLQEELAKVRYELVHLSKDREVAEAAKCEALSRLDKLSLDLIEEKKKQYSGYTSLKSAADQLRKSFSDINNLLAVFFSEDLEFLQNLESSMNSCLNRAESDLVVQVPHFSAYGGITSSRLGSKLNFVAVDFSSETNMLDHLDDFISEVCSSLQEFINEIAAVNSMLHKHSAIFHEKAGNLSKLMGSIHRDMSSQKESFEAMRQDIRLRDSVGKEKEMEIAALRRNISLLYEACTSLLMEIENRKAEVVTKSVAVRDLEMNLKAAAFGDGGLPFGGERNFSSEEHVRAMAEKLLLAVKEFACLIGEIREGNQKEMKITISNLQEELQEKDIQRERICKDLVNQIKQAEAAATSFSLDLQSSKSCVHDLERKVEILEDERNLLEQKVKELQDQQTISTELQDKVRSLADRLNAKDQEIEALMQALDEEEIQMDDLTKKVEELDRVVQQKNLDIEKLEAARGKVVKKLSTTVSKFDELHLFSESLLAEVEKLQSQLQDREAEISFLRQEVTRCTNDALVTSQTSNKRNSDELCELLTWLGSVVSLDVNLADSSQIHTYKEIIQEKITSVLSELEDLRVTAQSWDALLQIERSKADDLIRREKILEKSLHDKESLLKMLEVGRDMEQPTRASSEILEVEPVINKWTVPGPSAASQVRSLRKVNNDQVAVAIDMDPDGTNRLEDEDDEKVHGFKSLTSSRIVPKFTRPVTDMIDGLWVSCDRALMRQPALRLSIMIYWALLHALLAAFVV >Manes.16G134600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33542223:33553381:-1 gene:Manes.16G134600.v8.1 transcript:Manes.16G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNKNRTDMLAAGRKKLQQFRQKKDNKGSSSHGKSSKKSSKSEQHESYVDAAPNSANSTALPQVLEGETVSSINPVSGVEDSSVSSSIEDSVAPDANVVAVDPLSIPMTYETASIDNANMDKQEVCVHENNIELSNSNDGERIDVLASPAIVETIDSTTVTYELESSSREKAESLPLKENTPDMFLICARGDQEANGLVLEHCGGTHVIDLDGDGRLALSEHGDNAASGERDAFEQTGLVDLASQLNQNDGAYSESASASAVEMMDGLLASTLPLSEADGILGGVSDVVNQQSKVIYVDPSNREEAEMLSGTGQCGKSEGGSQIDRTVEACKQQFLPEDSLIFVGKSHEEPQLAKLTNSYVGLTTSAPVDACSINLSQLIEVTKGLNETEYGLLLRSIGLTDSLISFEHGHPLLTERFREELFLAICTKDILELQLTEHSYLQTECDNQFQQMDNELSVLRASLNEACERCNSLAQELVECRSELLAAASGREDFQLQFHAAKAEVKEVSARAKELQSSLESSQADISNLLKELADSKGLVGTLLAENDNLNQTIALLTEERKKLVHEKNTCLQENEKILKELADCRNSVAALLAENSNLSGTLASVTERSKQLEEEKEYLANGNEKLSIDLSDCKGLMETLQVENANLGGDLVMLSEDRKKLEEYKEYSVIEMERLSSELLVLHERISKDHGERKQLEDELKEVTLRLEELSEENIFLQSSLELHKAKIREIDDKQAQRSFPGGDILNQEGGEEVQIRSCEKEAVNEQSHKMQGTRDDGLSDGLSGRLQPEPFEHEVFDDTLGFIVLKGHLEEADRVLKKLEETVEGMFSHAGSSGRAAGKVSAPAVSKLIQTFESKQHHDENEAEEMILMDDPSAAADPFLLIKERTKDLKAVLKQLALDAVNATLLFKTERGGRSAANLSIKEFKFESESMKEHIDNLEATNLELVVLYEALKQHVSHVEEKNQQLEFLYETLKQKDSSLKAEKSELSKKLSECESRIDELQNQLCDSLKNSDELAFVLRGQLENFQTEAADRALAVEKEWNCTITQIIEAVERLDDSSGFLFTSSIATGSNGSLDIGSHAAASVNAAIKTIKDLKDKLEAAYSDHEATINLFKEVKKKCNELLGKNELACGTSHMLYCELRKLVIDSCGSVAESDIDIQDKEVCGPLEYSEYKTLVEKLENFLAERLHLQSVNYQLNLELTSKTKDAEELNRRCIDLSSIEKLIENVEGVVKLEDSEMDLGGTPISRLESLVSFLVCKCKGADEQVSSFREEFGSKVEELTELQERMHHLTDLKLQHETEILLLKEKLSQVEEAFISMQSELREKVSELEQSEQRVSSLREKLSIAVAKGKGLVVQRDSLKQTLSEASSELERCSQELQLKDAKLHELETKLKTYSEAGERVEALESELSYIRNSATALRESFLHKDSVLLRIEEILEDLDLPEIFHSRDIIEKVDWLARSATGNSLPPTEWEQKSSVGGSYSDAGFGVMDAWKEDIKQSSNTGDDLRRKYDDLQGKFFGLAEQNEMLEQSLMERNQLVQRWEELLDRINMPAYLRSAEPEDRIQWLGNALSEATSDRNSLLENIDKLEHYCGSLTAELEQSQKRISPLKAELEDTQRRLSNCQMDIQAVIHERHNLSERFEALSCDHEKLSAKAVHFGIENEKLQNEVNALQEQLVQSLGNEEHIQRMNGEICRLQDLVCDALKDTCTKDLVSGGNTIECLEGLVRRLIENYTTLSLIKTVSGDAVEEHHAREADKNLGEERTRDILDNLESDVALLKKDAVDSNEPNVDLLKKELEETLSELLHVNEERDRYVEKQQSLICEVEALERQRAELQELLNQEEQKSTSLREKLNVAVRKGKSLIQQRDSIKQTIEEMGAEMEHLKSEVKHRENALQDYEVKMRDLTAFSDRVDALESESLFLRNRLAENDRILQGKEHTLSIVLNTLGDIDLGGEIYNSDPIGKLEQVVKLCHDLNAAVACAEEESKKSRRAAELLLAELNEVQDRNDGLQEELAKVRYELVHLSKDREVAEAAKCEALSRLDKLSLDLIEEKKKQYSGYTSLKSAADQLRKSFSDINNLLAVFFSEDLEFLQNLESSMNSCLNRAESDLVVQVPHFSAYGGITSSRLGSKLNFVAVDFSSETNMLDHLDDFISEVCSSLQEFINEIAAVNSMLHKHSAIFHEKAGNLSKLMGSIHRDMSSQKESFEAMRQDIRLRDSVGKEKEMEIAALRRNISLLYEACTSLLMEIENRKAEVVTKSVAVRDLEMNLKAAAFGDGGLPFGGERNFSSEEHVRAMAEKLLLAVKEFACLIGEIREGNQKEMKITISNLQEELQEKDIQRERICKDLVNQIKQAEAAATSFSLDLQSSKSCVHDLERKVEILEDERNLLEQKVKELQDQQTISTELQDKVRSLADRLNAKDQEIEALMQALDEEEIQMDDLTKKVEELDRVVQQKNLDIEKLEAARGKVVKKLSTTVSKFDELHLFSESLLAEVEKLQSQLQDREAEISFLRQEVTRCTNDALVTSQTSNKRNSDELCELLTWLGSVVSLDVNLADSSQIHTYKEIIQEKITSVLSELEDLRVTAQSWDALLQIERSKADDLIRREKILEKSLHDKESLLKMLEVGRDMEQPTRASSEILEVEPVINKWTVPGPSAASQVRSLRKVNNDQVAVAIDMDPDGTNRLEDEDDEKVHGFKSLTSSRIVPKFTRPVTDMIDGLWVSCDRALMRQPALRLSIMIYWALLHALLAAFVV >Manes.05G036500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3009042:3014817:1 gene:Manes.05G036500.v8.1 transcript:Manes.05G036500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDAMAMSGPASFYMQRGMGGSGSGTQSGLNVSSGINALTSSNVSFHSNVGANAIGSTLPIENPTALQPHGANVGAPSVMPPSGEPMKRKRGRPRKYGPDGTVSLALSPPLSTHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAICVLSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLVTNNSGARNRAGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFLWGGLKTKNKKGEAPEGARDLDHQTVEHPITPTSIPPSQNLPPTSSVGLWPGSQPVDMRNTHVDIDLMRG >Manes.05G036500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3010501:3012740:1 gene:Manes.05G036500.v8.1 transcript:Manes.05G036500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDAMAMSGPASFYMQRGMGGSGSGTQSGLNVSSGINALTSSNVSFHSNVGANAIGSTLPIENPTALQPHGANVGAPSVMPPSGEPMKRKRGRPRKYGPDGTVSLALSPPLSTHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAICVLSANGAVSTVTLRQPSSSGGTVTYEVWAFDFSLLLYFGYLSVQMFLTWLGLTF >Manes.05G036500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3009042:3014484:1 gene:Manes.05G036500.v8.1 transcript:Manes.05G036500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDAMAMSGPASFYMQRGMGGSGSGTQSGLNVSSGINALTSSNVSFHSNVGANAIGSTLPIENPTALQPHGANVGAPSVMPPSGEPMKRKRGRPRKYGPDGTVSLALSPPLSTHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAICVLSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLVTNNSGARNRAGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFLWGGLKTKNKKGEAPEGARDLDHQTVEHPITPTSIPPSQNLPPTSSVGLWPGSQPVDMRNTHVDIDLMRG >Manes.16G066575.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25328854:25329659:-1 gene:Manes.16G066575.v8.1 transcript:Manes.16G066575.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAPIKNSQGISRILVIHSFQPSTLFSRFIDIASIERTSNTCFTFGRPCVISPVDSLYYLIYF >Manes.10G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29019924:29021448:-1 gene:Manes.10G122200.v8.1 transcript:Manes.10G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTQKKPGAPQVVILNKALKLAEQWVNSMSKGIEDAATEVEPEGRPSGLGLGAKVIRQSKVGPLNDPVGRKLHAKLEAGKRKATKSIEESLPSTRGGGNCNDNDDEDSDGEMESRTSAFTKKRAGPLTSSSQLKKKKK >Manes.11G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31005846:31014347:1 gene:Manes.11G145800.v8.1 transcript:Manes.11G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSATSSSSSGDGPEPNSETLENQFESVTIEESNRIVQKDEATEEPQLESSFNGSLDDNGNHEEFDVLEERIEVGSDARGIGDSGGGVVWGTNSELEVDGPSSPSSSGYAGERGSSSATSASRIGEVSEDEIQEVGNDGDVDRILDSQVAWVPGKRHVDEDDASISWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRGQLELIYSQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMSKHKVVSLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPVAFLYAYVHYLDVDTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSNVLSEVQRSMLDGGMRVEDLPGDPLPRSGIASHLGQHKLPTDSPERYREPYSGIGGPAGLWHFIYRSIYLDQYVSSEFSAPISSPQQQKRLYRAYQKVYASMHDKGNGPHKTQFRRDENYVLLCWVTPDFELYAAFDPLADKALAIKTCNRVCQWVKDVENEIFLLGASPFSW >Manes.11G145800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31005846:31014347:1 gene:Manes.11G145800.v8.1 transcript:Manes.11G145800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSATSSSSSGDGPEPNSETLENQFESVTIEESNRIVQKDEATEEPQLESSFNGSLDDNGNHEEFDVLEERIEVGSDARGIGDSGGGVVWGTNSELEVDGPSSPSSSGYAGERGSSSATSASRIGEVSEDEIQEVGNDGDVDRILDSQVAWVPGKRHVDEDDASISWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLRGQLELIYSQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMSKHKVVSLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPVAFLYAYVHYLDVDTYLMLLTTSSDAFYHLKDCRIRIEMVLLKSNVLSEVQRSMLDGGMRVEDLPGDPLPRSGIASHLGQHKLPTDSPERYREPYSGIGGPAGLWHFIYRSIYLDQYVSSEFSAPISSPQQQKRLYRAYQKVYASMHDKGNGPHKTQFRRDENYVLLCWVTPDFELYAAFDPLADKV >Manes.08G166200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40054498:40056155:-1 gene:Manes.08G166200.v8.1 transcript:Manes.08G166200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIHPAEKRCSQDLFLKEKEKVDGGGDGFFQHQRRRSPCVLTVWKRSSMSFQGTDGFTVFDPHGRLVFRVDNYSRKNRYAGGGLILMDGVGNALLSLKPQMLSMQYQWNAYRGDGYGKNPKMKVFSMRSTSVLFQTCKDVAEIFMGGLTRQGQLPDFRIEGSFRARDCKIKTSTGEVVAKMARKRVNTTILLSDDVFSLVVQPGVDTQLIMAFVIVLDRISNKPFAPVLCS >Manes.16G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31761923:31766698:1 gene:Manes.16G112000.v8.1 transcript:Manes.16G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIIPMASSIRPSLSSFRLCNSTSRSSLYVSSVTSSRRFASLGSAAPQSQFFGLKASKPWRGESNNLVVAAAGNMAQGSTIASQENSLEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGTGFGHFGIAVDDVAKTVELIKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDKNVVLELTYNFGVTEYDKGNAYAQIAIGTDDVYKTAEAIKLFGGKITREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELE >Manes.10G002357.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:454828:455145:-1 gene:Manes.10G002357.v8.1 transcript:Manes.10G002357.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYQFLYDFLEFIIFQQDFSEYFRRKSRNELSDSGMDLLQRLLTYDPARRITASCALNHPYLHT >Manes.01G049450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:15208412:15208802:1 gene:Manes.01G049450.v8.1 transcript:Manes.01G049450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESNVVVVENSINEFIEEVVNDEGHGEHVIDEVEEANETVLEVVEENGSHPQDLSMTNIFMPSSFVLDVQVVDENIHDESFLLFPPIQKEVFEDTWVPKTSLFDINISKIRGRIFSKEGVIRIQ >Manes.13G076600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847388:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRGDRRTIEIRKKSIWRGERAQNKKKEKKKRKEKPRRREPPLLPSLLFFFHTYFFFLNFPKHILQYTLCMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.13G076600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847475:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLCFPESINVNIYRSSTFVEFKAKVKTYCYGMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.13G076600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847679:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKKKPFTLLEPPKDLKPHELVYQVRFTKEIFRNYQMYLNRINLYRQRIWSCKISGKANLTFEEALVSEKHATEKVQEIPKELVGPALHIIQYSMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEGVVVGATNMEKKEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.13G076600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847478:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKKKPFTLLEPPKDLKPHELVYQVRFTKEIFRNYQMYLNRINLYRQRIWSCKISGKANLTFEEALVSEKHATEKVQEIPKELVGPALHIIQYSMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEGVVVGATNMEKKGKSEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.13G076600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847388:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRGDRRTIEIRKKSIWRGERAQNKKKEKKKRKEKPRRREPPLLPSLLFFFHTYFFFLNFPKHILQYTLCMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEGVVVGATNMEKKEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.13G076600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847475:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLCFPESINVNIYRSSTFVEFKAKVKTYCYGMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEGVVVGATNMEKKEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.13G076600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847679:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKKKPFTLLEPPKDLKPHELVYQVRFTKEIFRNYQMYLNRINLYRQRIWSCKISGKANLTFEEALVSEKHATEKVQEIPKELVGPALHIIQYSMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.13G076600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847388:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKKKPFTLLEPPKDLKPHELVYQVRFTKEIFRNYQMYLNRINLYRQRIWSCKISGKANLTFEEALVSEKHATEKVQEIPKELVGPALHIIQYSMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.13G076600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12847388:12855561:1 gene:Manes.13G076600.v8.1 transcript:Manes.13G076600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKKKPFTLLEPPKDLKPHELVYQVRFTKEIFRNYQMYLNRINLYRQRIWSCKISGKANLTFEEALVSEKHATEKVQEIPKELVGPALHIIQYSMLSLKDLADTIAKKLHEHLFVGAELNGKKGGDICPCKIVKVLDEGTFKTQYEVAWLDKNNKVMETSVAKRDDLMWKKFPFSRNILKSFIRESTYRSAPWVLHNNLAQKHGISCDPPQELKGKVFIQDGLVICNKKRKEEAEKASGKHKKKKVEGVVVGATNMEKKEDDQPMQDPIRYPIDDLLVQPAADDPVFTDRPSPSRDFNIQMDCVVDLLMVWDFCSSFGRMLHLWPFSLEDFENAICHKDSNLILIVETHSALLRLLIKDNSECFSALPKRSLKLKITLINWTEYLCHFIEKINIPDLSTNVTTIKRGHYGLLDVKAKLWILRELVNQVIETDLFREKLDDHVEQRQALGATRRGEALEEGRKRRQEKEKLKVEEKVEEKVDSLDNRAMEGFSVETAENYPSKLENGKHHNNNGEIAKEINEEFISAPEKNTSDKSESYHSEIAPQKMKQNIDLEVLEENIKNSSSKIGVKQLKNEKKEAVETRSKEQREEYYEREMEKLVLRTNSLGKDRNYNRYWWFRRDGRIFVESSDNKLWGYYCSKEELDALMGSLNCKGEREKALQKQLQKFYSRMCLKLQKRSKDLAQKIAAEEAVLRRSTRVRALPRENPTNAFLGYVNKWKED >Manes.16G102500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30790085:30790358:-1 gene:Manes.16G102500.v8.1 transcript:Manes.16G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPCSSLLYYYPSCMVADEDSAVILIGQQKWDMALWLKGVSPVTVPIILSTKISNFSKRTSV >Manes.01G164100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34803803:34806338:1 gene:Manes.01G164100.v8.1 transcript:Manes.01G164100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRTPPPPSLSFFSSSIFQIPKLASFSKPNSFNSQFKSLSISCQVATLPILSFTGEKIGETYLDIKSALPETARAVVHRAIITDQQNKRRGTASTLTRGEVRGGGKKPYPQKKTGRARRGSTRSPLRPGGGVIFGPKPRDWSIKINKKEKRLAISTALSSATESMVCVEEFNERFEKPKTKEFIAAMKRWGLDPKDKVMFLMMDVSDNVGLSSRNIGTLSMLTPRTLNLFDILNSDKLVLTPDAVDYLNGRYGVDVEISEDDDEEEGDFEQEGQDGGEGTEADESPAVTE >Manes.01G164100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34803803:34806338:1 gene:Manes.01G164100.v8.1 transcript:Manes.01G164100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVRTPPPPSLSFFSSSIFQIPKLASFSKPNSFNSQFKSLSISCQVATLPILSFTGEKIGETYLDIKSALPETARAVVHRAIITDQQNKRRGTASTLTRGEVRGGGKKPYPQKKTGRARRGSTRSPLRPGGGVIFGPKPRDWSIKINKKEKRLAISTALSSATESMVCVEEFNERFEKPKTKEFIAAMKRWGLDPKDKVMFLMMDVSDNVGLSSRNIGTLSMLTPRTLNLFDILNSDKLVLTPDAVDYLNGRYGVDVEISEDDDEEEGDFEQEGQDGGEVPIAQQAQFLGIFV >Manes.11G040249.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3988059:3990226:1 gene:Manes.11G040249.v8.1 transcript:Manes.11G040249.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWEKESRGTMFSKPSPELNPSKSIGPRKYSYQQLAKATNHFSNSHLLGEGGFGQVYKGLLDGEYYAIKKLKNFPDLQSEGKLQDEIMVVSSVRHKNLVELLGYCNEGADKLLVFKYFHNKSLSSQLHKSDQNLDWQKRMNIAKGTARGLEYLHEHCDVRIIHLDIKSDNILLDDEFKPKLADFGLARFFSNAATHISESKIIGTRVQNLELKKF >Manes.06G086300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22193508:22196023:1 gene:Manes.06G086300.v8.1 transcript:Manes.06G086300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVHFSIMGRKPHVIVVPCPAQGHVAPLMKLAYNLANHGVKVTFVNTESTHVKLMSAMSEKLKEKIPIRLVSVPEGLDGEDMKVFTERAPSTMPPLLQNLIENINELNIDEQVTHVIADVSAGWALQAAEKMGIERVAFVPCGVATLALALHTRRLTEAGMIDVDGTPMKDELISLSNRIPAWKKNELSWSFHGNPEWEKFVFQHFIRTTIEIVKISNWLLANSFYELEPSACDLIPNISPIGPLCASDHLGTFAGNFWPEDSTCLNWLDQQPPRSVIYAAFGSTRVCNQQQFNELALGLEMVGRPFLWVIRSDFTNGKVEFPDDFIKRVEKNGKIVKWAPQEKALTHPSTACFFSHCGWNSTMEGISNGVPFLCWPYFTDQFHNRNYICETWKVGLELIPDKNGIVTRHEIKTKLEKLLSDKDIEANSLKLKEMARKSISEGGSSFNNFISFVKQIKQ >Manes.11G018400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2070045:2078448:-1 gene:Manes.11G018400.v8.1 transcript:Manes.11G018400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKKEYEFFSEIGLSERNLGCFVNGTWKARGPVVTTLNPANNQTIAEVVEGSIEDYEEGMQACSEAAKIWMQVPAPKRGEIVRQIGDALRAKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCNFAVGLSRQLNGSIIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAGVLEKNSLPPAIFTSFCGGADIGQAIAKDTRIPLVSFTGSSKVGLMVQQTVNQRFGKCLLELSGNNAIIVMDDADIQLAVRSILFAAVGTAGQRCTTCRRLLLHESIYDRVIDQLLSVYKQVKVGDPLEKGTLLGPLHTSESRKNFEKGIETIKSQGGKILTGGSVIESEGNYVQPTIVEISPKADVVKEELFAPVLYVMKFQTLQDAIEINNSVPQGLSSSIFTRKPEVIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFGS >Manes.11G018400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2070045:2078448:-1 gene:Manes.11G018400.v8.1 transcript:Manes.11G018400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKKEYEFFSEIGLSERNLGCFVNGTWKARGPVVTTLNPANNQTIAEVVEGSIEDYEEGMQACSEAAKIWMQVPAPKRGEIVRQIGDALRAKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCNFAVGLSRQLNGSIIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAGVLEKNSLPPAIFTSFCGGADIGQAIAKDTRIPLVSFTGSSKVGLMVQQTVNQRFGKCLLELSGNNAIIVMDDADIQLAVRSILFAAVGTAGQRCTTCRRLLLHESIYDRVIDQLLSVYKQVKVGDPLEKGTLLGPLHTSESRKNFEKGIETIKSQGGKILTGGSVIESEGNYVQPTIVEISPKADVVKEELFAPVLYVMKFQTLQDAIEINNSVPQGLSSSIFTRKPEVIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFGS >Manes.01G063933.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26243212:26243677:-1 gene:Manes.01G063933.v8.1 transcript:Manes.01G063933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIPEEYVMRRRSEKRTAAAAGKKSEDPSRKRIVVEEGNVRPPPFRLENELIIASTSLSTENIIFSCFSA >Manes.11G094980.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:19362939:19363546:1 gene:Manes.11G094980.v8.1 transcript:Manes.11G094980.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFTTLKAREPSVPYASLVYIYVLKDLVNIPQPSIQVPVLYFFLLSLDVTISLANPLNSNLEVTHQIQLCKPKIAFATSQTARKLPYLPLGIILIDSIEFLSLLT >Manes.16G051500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:11558164:11559749:1 gene:Manes.16G051500.v8.1 transcript:Manes.16G051500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASEITRFLFFFLFHILLTAYQPLAKQRNPSTALVLGLRHSSASLPIPNASANSRNRQTQISDMMEPLREVRDGYLISLNIGTPPQVIQVYMDTGSDLTWVPCGNLSFDCVDCDDYKNSKLMGIFSSSYSSSSYRESCASPYCTDIHSSDNYFDPCTVAGCSLTTLLKSTCSRPCPSFAYTYGAGGVVTGTLTKDTLRVHGSGSSVTRDIPNFCFGCVGSTYHEPIGIAGFGRGALSLPSQLGLLQKGFSHCFLAFKYANNPNISSPLVIGDMALSSIDKMQFTPMLKSPMYPNYYYIGLEAVTVSNMSATQVPLSLREFDSQGNGGMLIDSGTTYTHLPEPFYSQLLSILESMINYPRAKDVEMRAGFDLCYKVPCPHNNNSLTDDDNIFPSITFHFLNNVSVVLPRGNHFYAMSAPSNSTVVKCLLFQSMDDGDYGPAGVFGSFQQQNLEVVYDLENQRIGFQLMDCASAATNQGLHKK >Manes.10G112000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26462523:26468148:1 gene:Manes.10G112000.v8.1 transcript:Manes.10G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVTAVGGSILSVCFQGLLDRLNSIDLMKYVGQGQVLAELKKWEKILKRIYAVLEDAEEKQTANRLVEIWLSDLRDLAYDLEDIIDELATEFRRRKLEDKPVRPNKVHKFFSVMCGGANLTLNAIKFNAEMVAKIEETSARLDEIIKQKDELRLVESIRRRVSHVTERPPSTSLVNEAKVYGREEDKKAMLKLLNAETSDAQVSVISIVGMGGLGKTTLAQLVYNDPTLELDLKAWVSVGEGFDVFRVTKTVLFQLGDGGDDNDLNLLQVKLKQKLSGKKFLVVLDDVWTQNYEQWTLFWGPFEAGAPQSRVIVTTRSQDVSLKVGATQAYALNKLSHHESMSVFAQHALGANNFDKHLKLKEMGEGIVKRCGGLPLAAKALGGILRGKPNPNLWKEVLSSQIWELPDNDNNILPALRLSYLHLPPHLKQCFSYCAILPKDREFDRNELVLLWMAEGFLYDQKKMKESEGLGHKYFDDLLSRSFFQQSNDNESKYIMHDLIIDLACFVSREICLHMVDKLDNAKSYAKIRHSSFIPHFMNTLQRFQSFYEMKNLRTLLSRGRDVGRCYLTSKVVHDLVPKLKCLRSLSLPHLVIEELPDSIGDLKHLRYLNLSVTLIKRLPESVDKLFNLQTLKLFDCYELIELPKGICNLLNLLHLDIIGTTKLKEMPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGQLHITSLENVEVEDIGDAAFAILKDKPGITELYLKWTDEFSYDSRNPSHEEQVLNSIRPHQSLSSLSITSFGGRKFPLWLGEPSFSGTVQVELSNCHQITSLPPLGRLKSLKRLSIKGLSGVKEVGVEFYGDESCFSCLETLEIVNMGEWEQWAWSNGLDEDSVAKFPKLQELHIQNCSKLVEKLPNFLPSLEKLVIINCPLLVELPKVLPSLITLNIRRCQVAFLKSVTNATCLTSLKRLEIGGCHELVSLVDGEEGLLPCNLEVLDIDLCPNLKELPSGLKDLKSLEDLKISQCRSLVSFPVGGLPHNLIYLSMNNCESLESLPEGIVCHSNYSSETSHLEELCIFGCGSLDSSSNGKFPDSLKTLNICNWTTQLLNSLYYGLSHLTDLQIWNCPQLESFPGKELPIPSLVSLKIADCQGLRSLSNHMQNFQSLQNLEIGGCHQLELFPEMGLPNPKLVYFHIHRCKNLRSLPNQMQSLTSLQSISVTDCGGGIECSIECLPPNLTMLSIGACLNLKQPMPEWGLHRLTSLRKLTIGSMKSTEDIVSFPDDDGFLLPAYLTHLFIAGFKNLKSISMGIQNLTSLKELEIWSCPKLQSFPAEGFPATLECLSIGNCPLLRDRCLKDKGGDYWPVISHIPRVEIHN >Manes.08G169500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40396288:40401113:1 gene:Manes.08G169500.v8.1 transcript:Manes.08G169500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSFLLALAFQLLLIFLSQTSADLYSDKQALLNFAAAVPHSRKLNWQSNTPVCSSWIGITCNTNGSHVVAVRLPGVGLYGPIPPNTLGRLDNLTTLSLRSNFFSEEIPSDLLSLPSLQYAYLQHNNFSGTIPSSLSPLLNSLDLSFNSLTGSIPPTIQNLKNLTSLNLQNNSLSGPVPELNISSLKQLNLSYNHLNGSVPSVLQKFPASSFEGNNMLCGPPLNQCLAFMPSPSPSPSSPSSPPPTFLPFPPTNSKKPANGSKKKLNTGSIVAIAIGGSMVPLFLFVMIVMCCLKKKDENNGAQKGKSVRNEKPKEDFGSGVQDAEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLKEVVAGKKEFEQQMEAVGRVGHHPNVIPLRAYYYSKDEKLLVYDYATSGSFFSLLHGSNNFGRTPLDWESRVKICLGTARGIAHIHSAGGGKFIHGNIKSSNVLLTQDQFHSCISDFGLTPIMSYPSVPTRCAGYRAPEVIETRKSTQKSDVYSFGVLLLEMLTGKSPIQSTGQDDIIDLPRWVQSVVREEWTAEVFDVELMRYHNIEEEMVEMLQIAMACVARVPDMRPTMDEVVRMIEEIRPSDSVSQPSEETKGSNIHTP >Manes.08G169500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40397711:40400500:1 gene:Manes.08G169500.v8.1 transcript:Manes.08G169500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSFLLALAFQLLLIFLSQTSADLYSDKQALLNFAAAVPHSRKLNWQSNTPVCSSWIGITCNTNGSHVVAVRLPGVGLYGPIPPNTLGRLDNLTTLSLRSNFFSEEIPSDLLSLPSLQYAYLQHNNFSGTIPSSLSPLLNSLDLSFNSLTGSIPPTIQNLKNLTSLNLQNNSLSGPVPELNISSLKQLNLSYNHLNGSVPSVLQKFPASSFEGNNMLCGPPLNQCLAFMPSPSPSPSSPSSPPPTFLPFPPTNSKKPANGSKKKLNTGSIVAIAIGGSMVPLFLFVMIVMCCLKKKDENNGAQKGKSVRNEKPKEDFGSGVQDAEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLKEVVAGKKEFEQQMEAVGRVGHHPNVIPLRAYYYSKDEKLLVYDYATSGSFFSLLHGSNNFGRTPLDWESRVKICLGTARGIAHIHSAGGGKFIHGNIKSSNVLLTQDQFHSCISDFGLTPIMSYPSVPTRCAGYRAPEVIETRKSTQKSDVYSFGVLLLEMLTGKSPIQSTGQDDIIDLPRWVQSVVREEWTAEVFDVELMRYHNIEEEMVEMLQIAMACVARVPDMRPTMDEVVRMIEEIRPSDSVSQPSEETKGSNIHTP >Manes.02G192600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15548411:15561517:1 gene:Manes.02G192600.v8.1 transcript:Manes.02G192600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVELWLIPSLENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRSSRVRGLHGMSSRLVKAMPSLIFTTVLEDNCTSMTCAICLEDYSVGEKLRILPCQHKFHAICVDSWLTTWRTFCPVCKRDARTRNGDPPASECTPLLSSSPASVASSSLLSSFRSASSLAIQIAPTSLQSSSVSHIPSLSSTPYVQQSLLSCHRSPSLSVSRSSADLRHVSSQRSIASHLVSFHSFGYPSISPLNSRYMSPYIPSPSNASPSFISSSNHQPHPLHCSESAASFSPFASAQSLPEC >Manes.02G192600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15548411:15561517:1 gene:Manes.02G192600.v8.1 transcript:Manes.02G192600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVELWLIPSLENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRSSRVRGLHGMSSRLVKAMPSLIFTTVLEDNCTSMTCAICLEDYSVGEKLRILPCQHKFHAICVDSWLTTWRTFCPVCKRDARTRNGDPPASECTPLLSSSPASVASSSLLSSFRSASSLAIQIAPTSLQSSSVSHIPSLSSTPYVQQSLLSCHRSPSLSVSRSSADLRHVSSQRSIASHLVSFHSFGYPSISPLNSRYMSPYIPSPSNASPSFISSSNHQPHPLHCSESAASFSPFASAQSLPEC >Manes.02G192600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15548412:15561517:1 gene:Manes.02G192600.v8.1 transcript:Manes.02G192600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVVVIFFFSLSTCCVMTSASVVLIGGNVTLSFDDVEANFAPAVKSSGECGVFYLAEPLDACSDLTNKVEKASNISSSYVLIIRGGCSFEDKVRRAQKAGFEAAIVHDNEDDGILVSMAGNSSGIEIHAVFISKYSGEKLKKYAGMSYVELWLIPSLENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRSSRVRGLHGMSSRLVKAMPSLIFTTVLEDNCTSMTCAICLEDYSVGEKLRILPCQHKFHAICVDSWLTTWRTFCPVCKRDARTRNGDPPASECTPLLSSSPASVASSSLLSSFRSASSLAIQIAPTSLQSSSVSHIPSLSSTPYVQQSLLSCHRSPSLSVSRSSADLRHVSSQRSIASHLVSFHSFGYPSISPLNSRYMSPYIPSPSNASPSFISSSNHQPHPLHCSESAASFSPFASAQSLPEC >Manes.02G192600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15548411:15561517:1 gene:Manes.02G192600.v8.1 transcript:Manes.02G192600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGNSSGIEIHAVFISKYSGEKLKKYAGMSYVELWLIPSLENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRSSRVRGLHGMSSRLVKAMPSLIFTTVLEDNCTSMTCAICLEDYSVGEKLRILPCQHKFHAICVDSWLTTWRTFCPVCKRDARTRNGDPPASECTPLLSSSPASVASSSLLSSFRSASSLAIQIAPTSLQSSSVSHIPSLSSTPYVQQSLLSCHRSPSLSVSRSSADLRHVSSQRSIASHLVSFHSFGYPSISPLNSRYMSPYIPSPSNASPSFISSSNHQPHPLHCSESAASFSPFASAQSLPEC >Manes.03G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4078319:4082181:1 gene:Manes.03G046200.v8.1 transcript:Manes.03G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRIVPLEEGNDPVIPIKTSQPKTFPLRLLQLFLLFLALCIPFSIISTYTIKRFGVNNVVTKVKTAPKLCLEEPNALDRWIRPPSNLFHKMNDEELFWRASFVPRIKKYPFSRTQKIAFMFLTKGPLPLAPLWERFLKGHEGLYSIYVHSIPTFEPKFPPSSVFHGRQIPSQIAEWGKMSICDAERRLLANALLDISNERFILLSESCIPLYNFTVIYNYIMKSKHSFIGAFDDHGPYGRGRYNENMAPEVNITQWRKGSQWFESNRRLAVKIVEDTTYYPKFEKFCRPHCYVDEHYFPTMLTIEAAHLLANRSLTWVDWSRGGAHPATFGGADITEEFFARIYQGQLCTYNTEPSSTCFLFARKFAPSAMEPLLHISHEVLGF >Manes.12G060109.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5235970:5237903:-1 gene:Manes.12G060109.v8.1 transcript:Manes.12G060109.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFGGVVIYDCFVCFPILVGLILVDCFKIKTCKSPLRSRRKMPHGLSDGVSAMEVFFTTLPREISVSLSPMPNSNLNRILSAAVISTASLSLKPMPAFAKISHPELLNFEVQENQALCYSTQPNRSQIENEMKDHPMWRLDCWKSPPPSLSFLDSSPEIPAGGKLPDQGESAKKLFVDSKLVVYEDIIVGTGQPINDPELLKVNFHYDFYESSERPHRWGNYGSKKNSKRKPEEIYLCKHNFGKGFEKGIQGMREGGIRKIFVPKEFSPGENPGAFYVELLQVCPSVQCHIRNKFP >Manes.08G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6696851:6698587:1 gene:Manes.08G058000.v8.1 transcript:Manes.08G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSPCCEKGGLKKGPWTPEEDQKLLAYLKEHGHGSWQALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEENCIIRLHALIGNKWSAIATHLPQRTDNEIKNYWNTHLKKRLDKMGIDPGTHKPKVDAFGSGSGVLKDAAHLSHMAQWESARLEAEARMVRESKLASNQLGFPSPHQLFTTTTAAAAAVPPVRPKCLDVLKAWQGIVSGKFFVSSDSLESPTSTLNFSAVNSVVEFHQQSSVAIPPPQFPVCNITCKGEMGEDVSNQMAPQVKEALDGSISVHGMSAYTTENAWALDSFEAAANENASIGNIAEGLSAIVPYNCGEQNASMPGEKTATSESCGGGGNLEDLQGDYWNSLLLNLVDDPLLQSVMITYQGK >Manes.18G118800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12413708:12414286:-1 gene:Manes.18G118800.v8.1 transcript:Manes.18G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISADAHQASPSRYRGIRQRKWGKWVSEIREPGKKTRIWLGSYELPEMAAAAYDVAALHLRGPGVHLNFPELVDSFPRPKSSRAEDVQMAAQEAALWFRNTRSMMRCSEMVDGSSGGGSDGGCGGGLGPVRTGLSPSQIQAINETPLDSPKMWMELAGALLLGEPMVFGDDDFEFNEFEEIQDALPIWDY >Manes.05G188300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31009534:31014570:1 gene:Manes.05G188300.v8.1 transcript:Manes.05G188300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGVQLIIQDRHVMIDNGILQVTLSNPEGIVTGIRYNGIDNLLEVLNEESNRGYWDLVWSTQGTTGTTGIFDVIKGTSLKVIVESEEQVELSFTRSWDPSLEGKLVPLNIDKRFHYMAVADNRQRYMPLPDDRLPPRGQALAYPEAVLLVNPVEPDLKGEVDDKYQYSSENKDNRVHGWICMDPPVGFWQITPSNEFRSGGPLKQNLTSHVGPTTLAMFLSAHYSGEDLVPKFQAGEAWKKVFGPVFMYVNSAMLGDDPLSLWEDAKEQMEIEVQSWPYCFPASEDFQKSDQRGNVSGRLLVKDRFLSDDYISANGAYVGLGPPGDVGSWQRECKDYQFWSKADENGYFSINDIRTGDYNLYAWVPDFIGDYRYEVVITITTGCDIDMGDLVFEPPRDGPTLWEIGIPDRSAAEFYVPDPNPSYINKLYVNHPDRFRQYGLWERYAELYPNGDLVYTIGSSDFTKDWFFAQVTRKKDDNTYQRTTWQIKFKLDSADQNGIYKLRIALASATVSELQVRINDPKANPIFSSGLIGKDNSIARHGIHGLYWLYNVDVGGTLLVQGDNTLFLTQPRSASPFQAILYDYLRFEAPSSSSP >Manes.05G188300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31009534:31014570:1 gene:Manes.05G188300.v8.1 transcript:Manes.05G188300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGVQLIIQDRHVMIDNGILQVTLSNPEGIVTGIRYNGIDNLLEVLNEESNRGYWDLVWSTQGTTGTTGIFDVIKGTSLKVIVESEEQVELSFTRSWDPSLEGKLVPLNIDKRFVMLRGSSGFYSYSIYEHLQEWPGFNLGETRIAFKLRKDKFHYMAVADNRQRYMPLPDDRLPPRGQALAYPEAVLLVNPVEPDLKGEVDDKYQYSSENKDNRVHGWICMDPPVGFWQITPSNEFRSGGPLKQNLTSHVGPTTLAMFLSAHYSGEDLVPKFQAGEAWKKVFGPVFMYVNSAMLGDDPLSLWEDAKEQMEIEVQSWPYCFPASEDFQKSDQRGNVSGRLLVKDRFLSDDYISANGAYVGLGPPGDVGSWQRECKDYQFWSKADENGYFSINDIRTGDYNLYAWVPDFIGDYRYEVVITITTGCDIDMGDLVFEPPRDGPTLWEIGIPDRSAAEFYVPDPNPSYINKLYVNHPDRFRQYGLWERYAELYPNGDLVYTIGSSDFTKDWFFAQVTRKKDDNTYQRTTWQIKFKLDSADQNGIYKLRIALASATVSELQVRINDPKANPIFSSGLIGKDNSIARHGIHGLYWLYNVDVGGTLLVQGDNTLFLTQPRSASPFQAILYDYLRFEAPSSSSP >Manes.12G096601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22618454:22620829:1 gene:Manes.12G096601.v8.1 transcript:Manes.12G096601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSCRGRGHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYAWDESEEGMVRIAWEKVGKERLRDILNRVRSELLHKHKKTDVAYLYNLGPDWMEAEIWNELVAYWSTPEWRKKSEAGKANRNVEKDGTITKHSGGSIKLEVHENRLAKKLGRQPTQLELFRATHTKRGVKVFTLMENHDELMELI >Manes.04G046727.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7378377:7380984:1 gene:Manes.04G046727.v8.1 transcript:Manes.04G046727.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISVTFRADFGTLFLSPLLMQFWDPIWGKFLVKREDNEAKSLTLEGCVDVMNLALQSIQYLGNVNFSGNDTVRFSANNKNGINEISVPAFVQSINDPPFINVPKFIILKRKEDKSLIFDKARDKFEFCVGDPDLLNFPGKKSHFIVAFSVDVNDGFLITSLPAELIDTTELKLINNYQWQPLQTYVTISKYFMVKAHGIRFQGTINDCNLVMQQLSYHPLDQLLLLNFLWFSLLE >Manes.04G046727.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7379578:7380984:1 gene:Manes.04G046727.v8.1 transcript:Manes.04G046727.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFGVVLLFFTCKCAILLVNERSSFKFQNSKQSTLRNFQKESSSADLSEKTTDLTGGCSRYLSIYHRTSSFRQRSSRHFEIAESGQDIHSY >Manes.S043216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1294169:1294543:-1 gene:Manes.S043216.v8.1 transcript:Manes.S043216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.03G079800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14014347:14018309:-1 gene:Manes.03G079800.v8.1 transcript:Manes.03G079800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEFEDGYAAERLFNQGFSYTYDDIIFLPHYIDFPTDAVSLSTNLSRNIPLSIPCVSSPMDTVTESYMAAAMAALGGIGIIHSNMPPSHQAHMVRSVKSRRVPILSNPVFKSPDSRIVDHFDDDSLPCVLVTESGTAKSKLLGYVFKSDWMSLSDKDAKLVDYMRAADSTISVPWSYDLAQIDAYLKKEERDFVLLEEGGEVLDVITKQEVERVKGYPKIGKGSVGSDGKWMVGAAIGTRESDKERVEHLVKAGANAVVLDSSQGNSIYQIEMINYIKRTYPQLDVIGGNVVTMNQAQNLIKAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAAQSGVPVVADGGISNSGHIVKALTLGASTVMMGGFLAGSTEAPGTYLYKDGYRIKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVVDKGSILRLLPYTMQAVKQGFQDLGATSLQSAHDLLRSKTLRLEVRTGAAQVEGGIHGLDSYEKKAF >Manes.11G005600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:689719:691421:-1 gene:Manes.11G005600.v8.1 transcript:Manes.11G005600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIKVVAISGSLRKASFNSGLIRSVCPLLLSLRSIIYLDANPSMYLANSVIFFFLFNSAIELSKSSVNGMQIEQIDISQLPLLNTDLIANGAYPPVVEAFRQKILRADSILFASPENNYSLTAPLKNAIDWASLAPNCWGDKAAAVVSAGGGFGGGRSQYHLRQIGVYLDLHFINKPEFFLNAFQPPAKFDNDGNLIDAEDKQKLKEILVALRDFSLRLEK >Manes.09G038800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7233894:7238394:1 gene:Manes.09G038800.v8.1 transcript:Manes.09G038800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVKRRFYKNWCKSKKKAFTKYSKKFESEEGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.09G038800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7233770:7238471:1 gene:Manes.09G038800.v8.1 transcript:Manes.09G038800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVKRRFYKNWCKSKKKAFTKYSKKFESEEGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.09G038800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7234762:7238394:1 gene:Manes.09G038800.v8.1 transcript:Manes.09G038800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVKRRFYKNWCKSKKKAFTKYSKKFESEEGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.09G038800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7233770:7238471:1 gene:Manes.09G038800.v8.1 transcript:Manes.09G038800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVKRRFYKNWCKSKKKAFTKYSKKFESEEGKKDIQAQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRVKA >Manes.06G015000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2466223:2469949:-1 gene:Manes.06G015000.v8.1 transcript:Manes.06G015000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATMSLNPNDNSITSATTTTTTKDDHEQDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIKTENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKAEISLCRVYKRAGVEDHPSLPRSLPSRASSSRGAQSEKKNPQQLSMERFQPFGGVQSQQIEMEKMSETDGSSSSDVTTALGLSKSTNNNNAYNPVPTINTSLGLPAAIEEEEIFLNQSRQACSLVPNNTHLFAGSASASSNPVDDLHRLINYQQACISHHQQQQYYNLHHQQQQQPSQFSIMAPQTQPLALNVLPNSLPAAFSERLWEWNPMPEANREYNVNNPFK >Manes.06G015000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2466223:2469949:-1 gene:Manes.06G015000.v8.1 transcript:Manes.06G015000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAATMSLNPNDNSITSATTTTTTKDDHEQDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIKTENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKVNNSAEISLCRVYKRAGVEDHPSLPRSLPSRASSSRGAQSEKKNPQQLSMERFQPFGGVQSQQIEMEKMSETDGSSSSDVTTALGLSKSTNNNNAYNPVPTINTSLGLPAAIEEEEIFLNQSRQACSLVPNNTHLFAGSASASSNPVDDLHRLINYQQACISHHQQQQYYNLHHQQQQQPSQFSIMAPQTQPLALNVLPNSLPAAFSERLWEWNPMPEANREYNVNNPFK >Manes.18G006830.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1013388:1017214:1 gene:Manes.18G006830.v8.1 transcript:Manes.18G006830.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNSSFEIDPRSGFCRSNSIFYSKRNPIPLPPNHSIDITTFISSQAHRGKTAFIDATTGRHLTFVDLWKAVDSVAASLSQMGVRKGHVILLLSPNSIFFPVVCLSVMSLGAIITTTNPLNTPREIAKQIADSKPLFAFTIPELVPKLVESNSNVPIILIDDQNSTKIQAKIVATLSEMMRKEPSESRVRERVNMDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTIVRRFNEDREHKFICTVPMFHIYGLAAFAMGLLASGSTIIVLSKFEIHEMLSTIERYRATDLPLVPPILVALINGAGQIRSKYDLSSLQTVLSGGAPLSKEVIEGFVEKYPTVRILQGYGLTESTGVGASTDTLEESRRYGTAGLLSPSMEAKIVDPENGKALAVNQTGELWLRGPSIMKGYFFNPEATSTTLDSEGWLRTGDLCYIDDDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHSDITDAAVIPYPDKEAGQVPMAYVVRKDGGNLSEKAVMDFVARQVAPYKRIRRVAFIAAIPKNPSGKILRKDLIKLATSKL >Manes.02G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6659843:6685864:1 gene:Manes.02G084900.v8.1 transcript:Manes.02G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTKSDGGPPRSLSRKMTRAQTMMVNLPDEDSAFDSELVPSSMSVIAPILRVANEVEKDNPRVAYLCRFHALEKAHKMDPFSNGRGVRQFKTYLLHRLEREEVETQRQLAGTDPKEIQLYYQKFYVENIKEGQYVKKPEEMAKLVQIAAVLYDVLITVVPTSKIENETQRYARDVDSLREQYEHYNILPLYAAGIKPAIMELPEIKAALHALRNVENLPMPRISLAHDAHHDVQKERVKSVNDILDWLSSVFGFQRGNVANQREHLILLLANIDARKRSLENYSELDSGTIEQLMDKIFKNYRSWCDYLRCESNLRFPQRSDRQQLELIYIGLYLLIWGEASNIRFMPECICYIFHNMAYEVYGILYSNVHPVSGETYETAAPDDEAFLRNVITPIYQVLRKESKRNKGGTASHSRWRNYDDLNEYFWSDKCFNLKWPMDLKADFFVHDEMSPVNERSNRGTSGHRKPKTNFVEVRTFWHLYRSFDRMWIFFILAFQAMVIIAWNSSGSIVDVFNEVVFRSVLSIFVTSAFLNFLQAALDIVLSLNAWRSLKATQILRYILKFAVAAMWAVVLPIGYSSSVQNPTGLVKFFSNWARDWQNQEFYNYAVALYLIPNVLAAVLFVLPPLRRRMERSNWRIMTFIMWWAQPKLYVGRGMHEDMFTLLKYTLFWVMLLISKLAFSYYVEILPLVGPTKLIMDMHIDNYQWHEFFPNVTHNIGVVIAIWAPIVLVYFMDGQIWYAIFSTLFGGIYGAFSHLGEIRTLGMLRSRFESVPSAFSRHLVPSSKEDKHSEKALIERKNIANFSRVWNEFIYSMRNEDLISNHERDLLLVPYSSTDVSVVQWPPFLLASKIPIALDMAKDFRGKEDADLFKKMDDYMLSAVIEAYEMLRDIVYGLLEDDADRKIIRHICYEVDISIQQNKFLSEFRMSGLPLLSEKLEMFLKVLLEVDNHEDVDVNRSHIINVLQDIIEIITQDIMIHGHEILERAHSTSSDDQNIKKEQSFGKINIALTQNKSWKEKVVRLRLLLTTKESAINVPSNLDARRRITFFANSLFMNMPTAPRVRDMLSFSVLTPFYKEDVLYSEEDLNKENEDGITMLFYLKTIYRDEWKNFEERIADHELNYSAKEKAEFLRQWVSYRGQTLARTVRGMMYYKKALEVQCNLEFTGDNASQTKELSRQDQLHQKAFLDHAQALADLKFTYVVSCQVYGNQKKSPDARDRSCYSNILNLMLTYPSLRVAYIDEREESHDTANGKLQKVYYSVLVKGGDKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFIKHKRGPRMPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGVSKASKIINLSEDIFSGFNSTLRGGYITHHEYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYMVMSGLEREILSSPSIHQSKALEEALATQSVFQLGLILVLPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHMKFAENYRTYSRSHFVKGLELIILLVLYEVFGESYRSSNLYWFITFSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIPFDKSWESWWDGEQEHLKHTNIRGRLLEIILAFRFFIYQYGIVYHLDIAHHSKSLLVYGLSWIVMITALLVLKMVSMGRRRFGIDFQLMFRILKALLFLGFMSVMAVLFIVFGLTVTDLFAAILAFMPTGWALLLIGQACKPLFKRIQFWDSIKELARAYEYIMGLILFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKRDGSDAGKKDKT >Manes.02G084900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6664254:6685864:1 gene:Manes.02G084900.v8.1 transcript:Manes.02G084900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRISLAHDAHHDVQKERVKSVNDILDWLSSVFGFQRGNVANQREHLILLLANIDARKRSLENYSELDSGTIEQLMDKIFKNYRSWCDYLRCESNLRFPQRSDRQQLELIYIGLYLLIWGEASNIRFMPECICYIFHNMAYEVYGILYSNVHPVSGETYETAAPDDEAFLRNVITPIYQVLRKESKRNKGGTASHSRWRNYDDLNEYFWSDKCFNLKWPMDLKADFFVHDEMSPVNERSNRGTSGHRKPKTNFVEVRTFWHLYRSFDRMWIFFILAFQAMVIIAWNSSGSIVDVFNEVVFRSVLSIFVTSAFLNFLQAALDIVLSLNAWRSLKATQILRYILKFAVAAMWAVVLPIGYSSSVQNPTGLVKFFSNWARDWQNQEFYNYAVALYLIPNVLAAVLFVLPPLRRRMERSNWRIMTFIMWWAQPKLYVGRGMHEDMFTLLKYTLFWVMLLISKLAFSYYVEILPLVGPTKLIMDMHIDNYQWHEFFPNVTHNIGVVIAIWAPIVLVYFMDGQIWYAIFSTLFGGIYGAFSHLGEIRTLGMLRSRFESVPSAFSRHLVPSSKEDKHSEKALIERKNIANFSRVWNEFIYSMRNEDLISNHERDLLLVPYSSTDVSVVQWPPFLLASKIPIALDMAKDFRGKEDADLFKKMDDYMLSAVIEAYEMLRDIVYGLLEDDADRKIIRHICYEVDISIQQNKFLSEFRMSGLPLLSEKLEMFLKVLLEVDNHEDVDVNRSHIINVLQDIIEIITQDIMIHGHEILERAHSTSSDDQNIKKEQSFGKINIALTQNKSWKEKVVRLRLLLTTKESAINVPSNLDARRRITFFANSLFMNMPTAPRVRDMLSFSVLTPFYKEDVLYSEEDLNKENEDGITMLFYLKTIYRDEWKNFEERIADHELNYSAKEKAEFLRQWVSYRGQTLARTVRGMMYYKKALEVQCNLEFTGDNASQTKELSRQDQLHQKAFLDHAQALADLKFTYVVSCQVYGNQKKSPDARDRSCYSNILNLMLTYPSLRVAYIDEREESHDTANGKLQKVYYSVLVKGGDKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFIKHKRGPRMPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGVSKASKIINLSEDIFSGFNSTLRGGYITHHEYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYMVMSGLEREILSSPSIHQSKALEEALATQSVFQLGLILVLPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHMKFAENYRTYSRSHFVKGLELIILLVLYEVFGESYRSSNLYWFITFSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIPFDKSWESWWDGEQEHLKHTNIRGRLLEIILAFRFFIYQYGIVYHLDIAHHSKSLLVYGLSWIVMITALLVLKMVSMGRRRFGIDFQLMFRILKALLFLGFMSVMAVLFIVFGLTVTDLFAAILAFMPTGWALLLIGQACKPLFKRIQFWDSIKELARAYEYIMGLILFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKRDGSDAGKKDKT >Manes.02G084900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6659843:6685864:1 gene:Manes.02G084900.v8.1 transcript:Manes.02G084900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTKSDGGPPRSLSRKMTRAQTMMVNLPDEDSAFDSELVPSSMSVIAPILRVANEVEKDNPRVAYLCRFHALEKAHKMDPFSNGRGVRQFKTYLLHRLEREEVETQRQLAGTDPKEIQLYYQKFYVENIKEGQYVKKPEEMAKLVQIAAVLYDVLITVVPTSKIENETQRYARDVDSLREQYEHYNILPLYAAGIKPAIMELPEIKAALHALRNVENLPMPRISLAHDAHHDVQKERVKSVNDILDWLSSVFGFQRGNVANQREHLILLLANIDARKRSLENYSELDSGTIEQLMDKIFKNYRSWCDYLRCESNLRFPQRSDRQQLELIYIGLYLLIWGEASNIRFMPECICYIFHNMAYEVYGILYSNVHPVSGETYETAAPDDEAFLRNVITPIYQVLRKESKRNKGGTASHSRWRNYDDLNEYFWSDKCFNLKWPMDLKADFFVHDEMSPVNERSNRGTSGHRKPKTNFVEVRTFWHLYRSFDRMWIFFILAFQAMVIIAWNSSGSIVDVFNEVVFRSVLSIFVTSAFLNFLQAALDIVLSLNAWRSLKATQILRYILKFAVAAMWAVVLPIGYSSSVQNPTGLVKFFSNWARDWQNQEFYNYAVALYLIPNVLAAVLFVLPPLRRRMERSNWRIMTFIMWWAQPKLYVGRGMHEDMFTLLKYTLFWVMLLISKLAFSYYVEILPLVGPTKLIMDMHIDNYQWHEFFPNVTHNIGVVIAIWAPIVLVYFMDGQIWYAIFSTLFGGIYGAFSHLGEIRTLGMLRSRFESVPSAFSRHLVPSSKEDKHSEKALIERKNIANFSRVWNEFIYSMRNEDLISNHERDLLLVPYSSTDVSVVQWPPFLLASKIPIALDMAKDFRGKEDADLFKKMDDYMLSAVIEAYEMLRDIVYGLLEDDADRKIIRHICYEVDISIQQNKFLSEFRMSGLPLLSEKLEMFLKVLDIIEIITQDIMIHGHEILERAHSTSSDDQNIKKEQSFGKINIALTQNKSWKEKVVRLRLLLTTKESAINVPSNLDARRRITFFANSLFMNMPTAPRVRDMLSFSVLTPFYKEDVLYSEEDLNKENEDGITMLFYLKTIYRDEWKNFEERIADHELNYSAKEKAEFLRQWVSYRGQTLARTVRGMMYYKKALEVQCNLEFTGDNASQTKELSRQDQLHQKAFLDHAQALADLKFTYVVSCQVYGNQKKSPDARDRSCYSNILNLMLTYPSLRVAYIDEREESHDTANGKLQKVYYSVLVKGGDKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFIKHKRGPRMPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGVSKASKIINLSEDIFSGFNSTLRGGYITHHEYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYMVMSGLEREILSSPSIHQSKALEEALATQSVFQLGLILVLPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHMKFAENYRTYSRSHFVKGLELIILLVLYEVFGESYRSSNLYWFITFSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIPFDKSWESWWDGEQEHLKHTNIRGRLLEIILAFRFFIYQYGIVYHLDIAHHSKSLLVYGLSWIVMITALLVLKMVSMGRRRFGIDFQLMFRILKALLFLGFMSVMAVLFIVFGLTVTDLFAAILAFMPTGWALLLIGQACKPLFKRIQFWDSIKELARAYEYIMGLILFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKRDGSDAGKKDKT >Manes.02G084900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6659843:6685864:1 gene:Manes.02G084900.v8.1 transcript:Manes.02G084900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTKSDGGPPRSLSRKMTRAQTMMVNLPDEDSAFDSELVPSSMSVIAPILRVANEVEKDNPRVAYLCRFHALEKAHKMDPFSNGRGVRQFKTYLLHRLEREEVETQRQLAGTDPKEIQLYYQKFYVENIKEGQYVKKPEEMAKLVQIAAVLYDVLITVVPTSKIENETQRYARDVDSLREQYEHYNILPLYAAGIKPAIMELPEIKAALHALRNVENLPMPRISLAHDAHHDVQKERVKSVNDILDWLSSVFGFQRGNVANQREHLILLLANIDARKRSLENYSELDSGTIEQLMDKIFKNYRSWCDYLRCESNLRFPQRSDRQQLELIYIGLYLLIWGEASNIRFMPECICYIFHNMAYEVYGILYSNVHPVSGETYETAAPDDEAFLRNVITPIYQVLRKESKRNKGGTASHSRWRNYDDLNEYFWSDKCFNLKWPMDLKADFFVHDEMSPVNERSNRGTSGHRKPKTNFVEVRTFWHLYRSFDRMWIFFILAFQAMVIIAWNSSGSIVDVFNEVVFRSVLSIFVTSAFLNFLQAALDIVLSLNAWRSLKATQILRYILKFAVAAMWAVVLPIGYSSSVQNPTGLVKFFSNWARDWQNQEFYNYAVALYLIPNVLAAVLFVLPPLRRRMERSNWRIMTFIMWWAQPKLYVGRGMHEDMFTLLKYTLFWVMLLISKLAFSYYVEILPLVGPTKLIMDMHIDNYQWHEFFPNVTHNIGVVIAIWAPIVLVYFMDGQIWYAIFSTLFGGIYGAFSHLGEIRTLGMLRSRFESVPSAFSRHLVPSSKEDKHSEKALIERKNIANFSRVWNEFIYSMRNEDLISNHERDLLLVPYSSTDVSVVQWPPFLLASKIPIALDMAKDFRGKEDADLFKKMDDYMLSAVIEAYEMLRDIVYGLLEDDADRKIIRHICYEVDISIQQNKFLSEFRMSGLPLLSEKLEMFLKVLLEVDNHEDVDVNRSHIINVLQDIIEIITQDIMIHGHEILERAHSTSSDDQNIKKEQSFGKINIALTQNKSWKEKVVRLRLLLTTKESAINVPSNLDARRRITFFANSLFMNMPTAPRVRDMLSFSVLTPFYKEDVLYSEEDLNKENEDGITMLFYLKTIYRDEWKNFEERIADHELNYSAKEKAEFLRQWVSYRGQTLARTASQTKELSRQDQLHQKAFLDHAQALADLKFTYVVSCQVYGNQKKSPDARDRSCYSNILNLMLTYPSLRVAYIDEREESHDTANGKLQKVYYSVLVKGGDKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFIKHKRGPRMPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGVSKASKIINLSEDIFSGFNSTLRGGYITHHEYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYMVMSGLEREILSSPSIHQSKALEEALATQSVFQLGLILVLPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHMKFAENYRTYSRSHFVKGLELIILLVLYEVFGESYRSSNLYWFITFSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIPFDKSWESWWDGEQEHLKHTNIRGRLLEIILAFRFFIYQYGIVYHLDIAHHSKSLLVYGLSWIVMITALLVLKMVSMGRRRFGIDFQLMFRILKALLFLGFMSVMAVLFIVFGLTVTDLFAAILAFMPTGWALLLIGQACKPLFKRIQFWDSIKELARAYEYIMGLILFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKRDGSDAGKKDKT >Manes.02G084900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6664254:6685864:1 gene:Manes.02G084900.v8.1 transcript:Manes.02G084900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRISLAHDAHHDVQKERVKSVNDILDWLSSVFGFQRGNVANQREHLILLLANIDARKRSLENYSELDSGTIEQLMDKIFKNYRSWCDYLRCESNLRFPQRSDRQQLELIYIGLYLLIWGEASNIRFMPECICYIFHNMAYEVYGILYSNVHPVSGETYETAAPDDEAFLRNVITPIYQVLRKESKRNKGGTASHSRWRNYDDLNEYFWSDKCFNLKWPMDLKADFFVHDEMSPVNERSNRGTSGHRKPKTNFVEVRTFWHLYRSFDRMWIFFILAFQAMVIIAWNSSGSIVDVFNEVVFRSVLSIFVTSAFLNFLQAALDIVLSLNAWRSLKATQILRYILKFAVAAMWAVVLPIGYSSSVQNPTGLVKFFSNWARDWQNQEFYNYAVALYLIPNVLAAVLFVLPPLRRRMERSNWRIMTFIMWWAQPKLYVGRGMHEDMFTLLKYTLFWVMLLISKLAFSYYVEILPLVGPTKLIMDMHIDNYQWHEFFPNVTHNIGVVIAIWAPIVLVYFMDGQIWYAIFSTLFGGIYGAFSHLGEIRTLGMLRSRFESVPSAFSRHLVPSSKEDKHSEKALIERKNIANFSRVWNEFIYSMRNEDLISNHERDLLLVPYSSTDVSVVQWPPFLLASKIPIALDMAKDFRGKEDADLFKKMDDYMLSAVIEAYEMLRDIVYGLLEDDADRKIIRHICYEVDISIQQNKFLSEFRMSGLPLLSEKLEMFLKVLDIIEIITQDIMIHGHEILERAHSTSSDDQNIKKEQSFGKINIALTQNKSWKEKVVRLRLLLTTKESAINVPSNLDARRRITFFANSLFMNMPTAPRVRDMLSFSVLTPFYKEDVLYSEEDLNKENEDGITMLFYLKTIYRDEWKNFEERIADHELNYSAKEKAEFLRQWVSYRGQTLARTVRGMMYYKKALEVQCNLEFTGDNASQTKELSRQDQLHQKAFLDHAQALADLKFTYVVSCQVYGNQKKSPDARDRSCYSNILNLMLTYPSLRVAYIDEREESHDTANGKLQKVYYSVLVKGGDKLDEEIYRIKLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFIKHKRGPRMPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGVSKASKIINLSEDIFSGFNSTLRGGYITHHEYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYMVMSGLEREILSSPSIHQSKALEEALATQSVFQLGLILVLPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHMKFAENYRTYSRSHFVKGLELIILLVLYEVFGESYRSSNLYWFITFSMWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIPFDKSWESWWDGEQEHLKHTNIRGRLLEIILAFRFFIYQYGIVYHLDIAHHSKSLLVYGLSWIVMITALLVLKMVSMGRRRFGIDFQLMFRILKALLFLGFMSVMAVLFIVFGLTVTDLFAAILAFMPTGWALLLIGQACKPLFKRIQFWDSIKELARAYEYIMGLILFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKRDGSDAGKKDKT >Manes.11G085700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12788417:12793414:-1 gene:Manes.11G085700.v8.1 transcript:Manes.11G085700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVGEGASVASPVAQWGHDAWRMYQYYLDKTTPHTIYRWIGSLVIVAIYCWRVYYVQGFYIVSYGLGIYLLNLLIGFLSPLVDPELEPSDGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFCIAFVMTFFSMFDVPVFWPILLCYWIVLFALTMRRQIAHMIKYKYIPFNIGKQKYVGKKASASSCGSRGD >Manes.02G216500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31541291:31547246:1 gene:Manes.02G216500.v8.1 transcript:Manes.02G216500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAATAKFQNPDFRPDFHPDISLTAHDGLHFWQFMIAGSIAGSVEHMAMFPVDTIKTHMQALGSCPIKSVSVTHAVRSILQSEGPSALYRGIAAMGLGAGPAHAVYFSVYEVCKKYFSGNNPNNSIAHAVSGVCATVCSDAVFTPMDMVKQRLQLGNNAYKGVWDCVKRVLREEGFGAFYASYRTTVLMNAPFTAVHFATYEATKRGLVEISPESANDERLVVHATAGAAAGALAASLTTPLDVVKTQLQCQGVCGCDRFKTGSIGDVIRTIVKKDGYRGLMRGWIPRMLFHAPAASICWSTYEASKAFFQELNEQSNSGMVT >Manes.09G047300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8259152:8260884:-1 gene:Manes.09G047300.v8.1 transcript:Manes.09G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSSSSWLISLLFLCYVFPLTSPATITIPLSPHTKQYPSSDPWKILNHLSSLSLSRAHHLKFPDTNSSLIKTPLFSRSYGGYSMSLSFGTPPQTVKFVFDTGSSLVWFPCTSRYLCFACNFPNVDITKIPKFIPKLSSSSKLLGCKNPKCAWIFGSNVQSQCQNCDPSSQNCTQTCPPYIIQYGLGSTTGRLLLETLDFPNKTVVDFLVGCSIISTRQPEGIAGFGRNPASLPLQLGLKKFSYCLVSRRFDETQVSSDLILDMGSDSGDAKTPGLSYTPFAKNPVGSDPAFQEYYYVMLRKIIVGDQRVKVPYRFLVPQSDGSAGTIVDSGSTFTFMEGPVFELVAKAFKKKMANYTIATKVQNQTGLRPCFDISGEKSVEVPELIFQFKGGAKMQLPLANYFAFVDSSVVCLTIISGRGGAGPAIILGNFQQQNFYIEYDLENERFGFKQQTCA >Manes.08G098100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33063618:33069400:-1 gene:Manes.08G098100.v8.1 transcript:Manes.08G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERKAAGFGVLGVVVVLIMAMTVIGVTEGERFNKTSSSGGLFWSTAKEESDLMLKAEPDEDSSAAAVVNDHDDLDGGFSSLDGMLQWAIGHSDPEKLKETAQDVQRLSPNELKERQVEIKELMEKMKMPSDSQLMQIAIDDLNNSSLSLEDHHRALQELLVLVEPIDNANDLTKLGGHHAVIRELNHPDPDIRRVSAWVLGKASQNNAFFQKQVLELGALTKLMKMVKSTSVEEAIKALYAVSALIRNNLAGQELFYAEAGDSMLQDILSNSSMDIRLQKKAVFLVADLAESQLENVERAELPFFRNHFFLKSVVNLMASTDLDLQEKALVAIKNLLQLKTTEALVFKDFCGLDDALERMRLQLQDLMVEEYHRDYAMDVERLRREVELIFLSKLGKVMRVPT >Manes.07G131500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33354824:33359932:1 gene:Manes.07G131500.v8.1 transcript:Manes.07G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGIFLLIVPLFTAILTLILTFTSQIINGRRNRKQAVGFFHPFTNDGGGGERVLWCAVKAIQEESRDLDCVIYTGDHDASPYSLTARAIDRFGVNLLYPPMVVHLYKRKWVEENSYPRFTMIGQSLGSIYLSWEALCKFTPSFYFDTSGYAFTYPLARIFGCKVICYTHYPTISLDMISRVRSRNSMYNNDASIARSGWLSQCKIIYYTFFSWMYGFAGSCAHLAMVNSSWTQSHIEKLWKIPKCIRRVYPPCDTSGLQALPLERPATTPIFISVAQFRPEKAHPLQLEAFSVAIRKLDPDLPRPKLQFVGSCRHKSDEERLQKLKDKAVELKVDGDVQFYKNVMYRELISLLGGAIAGMHSMTDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLEEDGQQTGFLAQTVEEYADAILRVLRMPETERLKMAAAARKRASRFSEQRFYEDFKAAVRLVLNHDSK >Manes.11G133700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29785207:29787393:-1 gene:Manes.11G133700.v8.1 transcript:Manes.11G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRSKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Manes.04G015600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1991967:1993840:1 gene:Manes.04G015600.v8.1 transcript:Manes.04G015600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQLSTFSIRLPEVSPSPSSPHTPSTIYISLPAITASLKMADNKHKKPHAIIIPYPLQGHVNPAVHLALKLASQGFTITFVHTDSIHYHTSKAHPNTGLELFAKVPESGLDIRYTTISDGLPVGFDRSLNHDQFCAALLHVFSAHAEEKVAEIVASGEDVHCLIADTFFVWPSKVAKKFGLVHVSFWTEPALVFTLYYHMDLLRLNGHFDCPDRREDAVNYIPGVKTIQPKDLVSYLQETDATSVCHQIHKNCFNDTKNSDFVLCNTVQELESDTISALQAKIQFYAIGPIFPNDFCTTVVATSLWSESPCTQWLDKQPIGSVLYVSFGSYAHVTEKDLLEIANGISLSKVNFVLVLRPDIVSSEDADPLPVGFKEEVADRGIVIPWCRQKEVLAHPAIGGFLTHCGWNSILESVWCQIPLLCFPLYTDQFTNRKLVVDDWKIGINLSDKKVITKEEVSYNINRLMYGESEDEIKNRIKELKKTLEDAVSPSGSSEQNLSQFIKKLMAKI >Manes.02G048100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3924106:3928811:1 gene:Manes.02G048100.v8.1 transcript:Manes.02G048100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCPCPKSFAAALSPSFECRSDPSGTDQPSHGRFSRRVNSVRHGTASFFGGGMSSLILKFPPNFVRQLSTKGRRNCSNIGVAQVVAASWSNNSSAGNPSAAANAAAAAVPAVEPVELIAGDEVAVVEGLKENELVQLDDLTNDLKYSSFLSSDGSLAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTAELIDYKEKRHASFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHLVTTTDCYRKTRIFIETILPKMGITATVIDPADVEALESALEKHNVSLFFTESPTNPLFRCVDIELVSELCHRKGALVCIDGTFATPLNQKALALGADLILHSATKFIGGHNDVLAGCISGSAKLVSEIRNLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEILEAHPKVKRVYYPGLPSHPEHHIAKRQMTGFGGVVSFEGS >Manes.02G048100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3924106:3928811:1 gene:Manes.02G048100.v8.1 transcript:Manes.02G048100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCPCPKSFAAALSPSFECRSDPSGTDQPSHGRFSRRVNSVRHGTASFFGGGMSSLILKFPPNFVRQLSTKGRRNCSNIGVAQVVAASWSNNSSAGNPSAAANAAAAAVPAVEPVELIAGDEVAVVEGLKENELVQLDDLTNDLKYSSFLSSDGSLAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTAELIDYKEKRHASFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHLVTTTDCYRKTRIFIETILPKMGITATVIDPADVEALESALEKHNVSLFFTESPTNPLFRCVDIELVSELCHRKGALVCIDGTFATPLNQKALALGADLILHSATKFIGGHNDVLAGCISGSAKLVSEIRNLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEILEAHPKVKRVYYPGLPSHPEHHIAKRQMTGFGGVVSFEIDGDLMTTIKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLSQSERENYGIKDNLIRFSFGVEDFDDLKADILQALESI >Manes.02G048100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3924106:3928811:1 gene:Manes.02G048100.v8.1 transcript:Manes.02G048100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCPCPKSFAAALSPSFECRSDPSGTDQPSHGRFSRRVNSVRHGTASFFGGGMSSLILKFPPNFVRQLSTKGRRNCSNIGVAQVVAASWSNNSSAGNPSAAANAAAAAVPAVEPVELIAGDEVAVVEGLKENELVQLDDLTNDLKYSSFLSSDGSLAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTAELIDYKEKRHASFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHLVTTTDCYRKTRIFIETILPKMGITATVIDPADVEALESALEKHNVSLFFTESPTNPLFRCVDIELVSELCHRKGALVCIDGTFATPLNQKALALGADLILHSATKFIGGHNDVLAGCISGSAKLVSEIRNLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEILEAHPKGS >Manes.02G048100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3924106:3928811:1 gene:Manes.02G048100.v8.1 transcript:Manes.02G048100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSCPCPKSFAAALSPSFECRSDPSGTDQPSHGRFSRRVNSVRHGTASFFGGGMSSLILKFPPNFVRQLSTKGRRNCSNIGVAQVVAASWSNNSSAGNPSAAANAAAAAVPAVEPVELIAGDEVAVVEGLKENELVQLDDLTNDLKYSSFLSSDGSLAIHAGERLGRGIVTDAITTPVVNTSAYFFKKTAELIDYKEKRHASFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHLVTTTDCYRKTRIFIETILPKMGITATVIDPADVEALESALEKHNVSLFFTESPTNPLFRCVDIELVSELCHRKGALVCIDGTFATPLNQKALALGADLILHSATKFIGGHNDVLAGCISGSAKLVSEIRNLHHILGGTLNPQQNSTALRMAEILEAHPKVKRVYYPGLPSHPEHHIAKRQMTGFGGVVSFEIDGDLMTTIKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLSQSERENYGIKDNLIRFSFGVEDFDDLKADILQALESI >Manes.02G065900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5019696:5022929:1 gene:Manes.02G065900.v8.1 transcript:Manes.02G065900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQLLLFLPLTIALASTGFQNFPGRTTSTRTTCRLSNPLIGEDDGRIYTCCERNFLAFESNGSIAWKLHLAYTCNASLPPVHGGRGKIFLVAENRVLNINFLDLGTSLPTKEVFFGQEDAGEIIGLAVSTLSSSVFINFRNQGLFAYLIGGQGQLLWSIGPMISQFGYRLGCRKDVTDCYFNSVPVIDQCEGSIYISNTAGELYSLSIRSPHFNWIQDLSSFDKDFTATPGNNAHLYVTVPIKALVLALDVSTGNILWQKSIGPLNAAALVPVVDSKGWVSIGSLDGFLYSISPTGDVKKFAKASVLNHVIQVSPFLDCSGYAVYISQAEMEGKFSQVIGEYNYVSALRPKGVVFTLLVPATGSVYWSEMHPGQFSSLLSQSDLQGFVLDEGILLAFITASRTGNALPCRSKYEKLLSSCSQATPKHVRIYTGDERTIMLFLIFESIALVVLGGLVRFCCVFWSKKKIQGQGLGDFLEKRRSLQLKKKAFDRTITELKQKAAEEAVANEVIEELGDLVRERQGIERKLSTTYSLGRDGNGQKSKSLLPVHDGKTTRSYSFQSAKKESVTIFHTLSDTTSGESSSEGDYSSWINAQEDNQSAATAKAQAKAKVKAKAPIEVDSSSDDGNCTQGSQSSSGPASCPDDMELCYEGNVVELTQTSGRSILKGKTLSSTN >Manes.02G065900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5019584:5023431:1 gene:Manes.02G065900.v8.1 transcript:Manes.02G065900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFGYRLGCRKDVTDCYFNSVPVIDQCEGSIYISNTAGELYSLSIRSPHFNWIQDLSSFDKDFTATPGNNAHLYVTVPIKALVLALDVSTGNILWQKSIGPLNAAALVPVVDSKGWVSIGSLDGFLYSISPTGDVKKFAKASVLNHVIQVSPFLDCSGYAVYISQAEMEGKFSQVIGEYNYVSALRPKGVVFTLLVPATGSVYWSEMHPGQFSSLLSQSDLQGFVLDEGILLAFITASRTGNALPCRSKYEKLLSSCSQATPKHVRIYTGDERTIMLFLIFESIALVVLGGLVRFCCVFWSKKKIQGQGLGDFLEKRRSLQLKKKAFDRTITELKQKAAEEAVANEVIEELGDLVRERQGIERKLSTTYSLGRDGNGQKSKSLLPVHDGKTTRSYSFQSAKKESVTIFHTLSDTTSGESSSEGDYSSWINAQEDNQSAATAKAQAKAKVKAKAPIEVDSSSDDGNCTQGSQSSSGPASCPDDMELCYEGNVVELTQTSGRSILKGKTLSSTN >Manes.11G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2774543:2782050:1 gene:Manes.11G028900.v8.1 transcript:Manes.11G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDDPNSNSKIIDASVGGLVWVRRRNGSWWPGRIMGLDEISEGSLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKANAANGNKKTVKYARREDAILHALEIENARLGKDRVDYFSRTNNSDGELGSSAKELPSMSGSGKEDVGMTEEESDYGDSKDDSDSGSGSGSAPELSQSGISFEEPNHLGASKVQSLQGKRRKTPNDSEDDGTEGIKRMRGLEDLGIVVGDTNAGTCLSNGSPINGSKGYNSPMKRKRSQVANVHEFLRRKNRRRPLTKVLECTAMVSVPVMCDQLPNSSGSPLNGLSDSKVSGIDSNESRKSISVLVNNNSDSTGISCENGASLNPSEHAYDTSQLKKENDVPVVSGFVENDSSDKLFDVPFVGEEKHSAGLSPMFVSSSGRHQIGGGFGKHCGQNNHAEAGPMKNEGLHESGSTSSAATHGTNVSQRIEKGTSKWQLKGKRNSRHINKNRKQDKRKHMAMDEEPYAYLAGIEHLDGFFPSSEQKVDCDGGTRRSLASYDCNLQVKSKRIADDHVDGVRDWSNHRESQVRGGMTSSLPPQRSLPYRQSRFTVNSRYQTSDFPGRTITDSKLYDVTLEVKANYQPQNVPLVSLMSKLNGKAIIGRPLTVEVLDDGYCDLIISPNECDPTHVSTMEAAELGYAAMRNSESGRIPAKHVTMQPRFSPSKSPKKKCGLLSKKIRKLSSLTGKREEERKPVVEKLKGPVIACIPLKLVFSRINEAVNGSARQTHRALT >Manes.15G105200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8336337:8337469:1 gene:Manes.15G105200.v8.1 transcript:Manes.15G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLMGHVLPGMAFLALGLWHLINHIKLYSLHPNSYISSPWFPTTKIRHLELFFIIIGSSISISMELFIGPAKHQPLDSDGTIPSNHLRNFEHSLISTFFLVYASFAIILDRFKPKAQLGITQLLAAVAFGNQFFLFYLHSTDHKGLEGQYHLHLQVLVLVSLATTLMGIGYPRSFLISFVRSVSIVFQGVWFIVMGYMLWTPELIPKGCALYNDDGHHVVRCSSEEALHRAKSLVNIEFSWYLTLISVFSIFLYLGMFERFGKRVEYSSLPKGFKDMEDEEKLCDDVESQKLFGESKGSTGYAPFNMERQKM >Manes.16G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26548645:26550470:1 gene:Manes.16G069800.v8.1 transcript:Manes.16G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTAAAREYRRKKILDRGADRLAFIAGRTPTLPSESNGMPHPDSSQQLDSQLHHQDPQLDLSAQIAASPSGEDGKLSSIQQNNDSTIDAGHSENSIKSSSYIHERSTETLRASASDGVSNEHSSSASSTDHKSTIPNSGTVQHLESQTCLNRFVTPSQISSAIAASERSRVFCSITFALLVVLSYMGFPLLGSNIINSIISFRPLYLVLLTNLTLVVARLLFNNRRGFGRVVAGEFSVPSTGKYDWAEQAGKALEVGLLMQKAIEAIFMDFSVYAVIVIAFFSFVQ >Manes.02G168000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13219716:13221373:1 gene:Manes.02G168000.v8.1 transcript:Manes.02G168000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKVNGSGEERAEAMAQIANGCEEWGFFQLVNHGIAEELLERVKKVCSECYKLEREENFKNSKMMNSLKDLAEKKNGEKLENVDWEDVFILLDDNQWPPETPGFKETMAEYRAELKKLAERVMEVMDENLGLHKGYIKKVLNGGEEDDCFFGTKVSHYPPCPHPELVNGLRAHTDAGGVILLFQDDEVGGLQILKDGQWIDVQPLKNTIIINTGDQIEVISNGRYKSTWHRVLVSHRGNRRSIASFYNPSLKATIAPATKLVEKANQEKMNHQEYPKFVFGDYMSIYAEQKFLPKEPRFNAVRAV >Manes.14G154300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18436563:18443626:-1 gene:Manes.14G154300.v8.1 transcript:Manes.14G154300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTDADLDLRTSTASSFLIGDYAFADASNLEHCAKYLNQTLVTFGFPASLDLFANDPVSIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLESQLQAKDREIATITRTEAKATAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQVHEMKKKEKEYVKLQEKLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVDMRDFINAPNGSTKQSLAVNEKLEADPSQSPLGGRTV >Manes.14G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18436563:18443626:-1 gene:Manes.14G154300.v8.1 transcript:Manes.14G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTDADLDLRTSTASSFLIGDYAFADASNLEHCAKYLNQTLVTFGFPASLDLFANDPVSIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLESQLQAKDREIATITRTEAKATAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQVHEMKKKEKEYVKLQEKLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVDMRDFINAPNGSTKQSLAVNEKLEADPSQSPLGGRTDVFDLPLHMARDQIEESLRTKISSIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLAKSERPRESIISSPAEGV >Manes.02G177200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13990972:14008202:1 gene:Manes.02G177200.v8.1 transcript:Manes.02G177200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIFLLLSSISSLAIAQQSIITLGSSLSRRNISYWSSESGHFAFGFYPQGVGFAIGIWLPRIHQKTVIWTANRDDPPLAKNSTLILNAQGELILQLQGSESKSIANIPKPASSASMLNSGNFVLYDSDSKIIWQTFDAPTDTILPGQSLVAREQLVSSISDTVHSSGRFAIRMRINGNLVMFPVEYPDQFDYFYWRSRTAYAGGNVKLNFDKNGLLYLLDTNGKNIRNLSNSITIFGKAMYRATIDADGIFRLYSHNLDGHSNWTVEWQSSDNKCDPNGLCGSNAYCTLVDQVAACACPPGFEFIDQSQKNLGCGPNSSADGCTNTRESGFTFEELEGISWASQSYSTLPSATREACREECLKDCYCEAAVYGSQQCKKHVLPLKFGKAQGNSSMTTFIKARIADLGMKTGGSKRKKELRTEGVLITCIAVSTLASLALVIFGILFCRHRVRNHKKIDQGNDALFEDITLRSFTFDELNEATNNFKDELGRGAFGTVFRGVMSNGITVAIKRLEKVVAEGEREFRNEMKAIGRTHHKNLVRLFGYCHDGINRLLVYEYMSNGSLANFLFKSEQKPAWEERIEIALNIARGIFYLHEECETQIIHCDIKPENILIDERGGVKIADFGLSKLLMPNQSKTDTRIRGTRGYVAPEWHRNLPITVKADVYSYGIMLLEIICYRRKLDVHVPDNEVVLADWVYECFEANKLRKLVQHEDVEESELEKMVKVGLWCIQEEPSLRPSIKRVVLMLEGTIDIPDPPTPPSFSISITNFAQK >Manes.16G007200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:716134:725989:1 gene:Manes.16G007200.v8.1 transcript:Manes.16G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGEPLERLFLWGHSACTLDGKKSKDILLFGGFGGMGRHARRNDTLLLDPLNGMLKAINAVGAPSPRLSHTASLVGDFLFVIGGRSDPLNILGDVWILNTASNEWRLSECTGSVFLPRHRHAAAVVGSNIYVFGGLNNDTIFSSMHVLNTGNLQWEEVLVGGEQPCARHSHSMVAYGSKLFMFGGYNGEKALGDLYSFDVQTHIWKKEKTSGGSPHARFSHSMFVYNNFIGIIGGCPIREHSKELALLDLRIHEWRHVTLDNIGKELLVRSTTNIVGDDLVVIGGGAACYAFGTKFSEPLKMSLLPLASLEDKIVSLDIGEKHVTDVYDGARGEKNVNIQGPQVGNAEISTYSCRFDFGAKQCPLVSSFWVLKLEKKYAKLGKDMLKKFGWLDLARKVRSEKDELHICFPITEKFYAMFSKIQHQYGGVVEGQNNITGDRLLSNEVSCSTTMVLLKEWGATVLADEAVEVRRTAKSPLQIMKESVASFIKCKGISSELLGKLPTRWERLGDIVVLPVSSFKDPTWDLIGEELWPIIARSLNSQRLARKGRVAPTGTRDSTLEILVGDNGWVDHRENGITYSFDATKCMFSWGNLSEKLRMAHLNCQDEVIVDLFAGIGYFVLPFLVRAGAKLVYACEWNPHAVEALKRNLEANFVSGRCIVLEGDNRIIAPKGVANRVCLGLLPSSEGSWVTAVRALRMEGGMLHVHGNVKDSEESSWTEHVLRSIDEIARSEGLCWEVSIEHVERVKWYAPHIRHLVADVRCRQIQR >Manes.16G007200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:716169:725989:1 gene:Manes.16G007200.v8.1 transcript:Manes.16G007200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKAAMLSSLGSSETDKSPKGTLDAPIIPLLNSLNSHPSYFTTSSCSGRISILAQPKSTNLTPTPPSKKKARGGSWLFITHDPANPDSVLSLLFPTHSPTQSSELVFRFEPLIVAVECRDIEAAQFLVSLAISSGFRESGITSANKKRVIVGIRCSIRMEVPLGDTDKLLVSPEYVRFLVEIANEKMEVNRKRTQSFLRALTENGFVGSNVSENGFHRFDDVQDGDADSDKLESANEDAHIGQVGVSGCCLSIVQMVIVGEPLERLFLWGHSACTLDGKKSKDILLFGGFGGMGRHARRNDTLLLDPLNGMLKAINAVGAPSPRLSHTASLVGDFLFVIGGRSDPLNILGDVWILNTASNEWRLSECTGSVFLPRHRHAAAVVGSNIYVFGGLNNDTIFSSMHVLNTGNLQWEEVLVGGEQPCARHSHSMVAYGSKLFMFGGYNGEKALGDLYSFDVQTHIWKKEKTSGGSPHARFSHSMFVYNNFIGIIGGCPIREHSKELALLDLRIHEWRHVTLDNIGKELLVRSTTNIVGDDLVVIGGGAACYAFGTKFSEPLKMSLLPLASLEDKIVSLDIGEKHVTDVYDGARGEKNVNIQGPQVGNAEISTYSCRFDFGAKQCPLVSSFWVLKLEKKYAKLGKDMLKKFGWLDLARKVRSEKDELHICFPITEKFYAMFSKIQHQYGGVVEGQNNITGDRLLSNEVSCSTTMVLLKEWGATVLADEAVEVRRTAKSPLQIMKESVASFIKCKGISSELLGKLPTRWERLGDIVVLPVSSFKDPTWDLIGEELWPIIARSLNSQRLARKGRVAPTGTRDSTLEILVGDNGWVDHRENGITYSFDATKCMFSWGNLSEKLRMAHLNCQDEVIVDLFAGIGYFVLPFLVRAGAKLVYACEWNPHAVEALKRNLEANFVSGRCIVLEGDNRIIAPKGVANRVCLGLLPSSEGSWVTAVRALRMEGGMLHVHGNVKDSEESSWTEHVLRSIDEIARSEGLCWEVSIEHVERVKWYAPHIRHLVADVRCRQIQR >Manes.16G007200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:716169:725989:1 gene:Manes.16G007200.v8.1 transcript:Manes.16G007200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGEPLERLFLWGHSACTLDGKKSKDILLFGGFGGMGRHARRNDTLLLDPLNGMLKAINAVGAPSPRLSHTASLVGDFLFVIGGRSDPLNILGDVWILNTASNEWRLSECTGSVFLPRHRHAAAVVGSNIYVFGGLNNDTIFSSMHVLNTGNLQWEEVLVGGEQPCARHSHSMVAYGSKLFMFGGYNGEKALGDLYSFDVQTHIWKKEKTSGGSPHARFSHSMFVYNNFIGIIGGCPIREHSKELALLDLRIHEWRHVTLDNIGKELLVRSTTNIVGDDLVVIGGGAACYAFGTKFSEPLKMSLLPLASLEDKIVSLDIGEKHVTDVYDGARGEKNVNIQGPQVGNAEISTYSCRFDFGAKQCPLVSSFWVLKLEKKYAKLGKDMLKKFGWLDLARKVRSEKDELHICFPITEKFYAMFSKIQHQYGGVVEGQNNITGDRLLSNEVSCSTTMVLLKEWGATVLADEAVEVRRTAKSPLQIMKESVASFIKCKGISSELLGKLPTRWERLGDIVVLPVSSFKDPTWDLIGEELWPIIARSLNSQRLARKGRVAPTGTRDSTLEILVGDNGWVDHRENGITYSFDATKCMFSWGNLSEKLRMAHLNCQDEVIVDLFAGIGYFVLPFLVRAGAKLVYACEWNPHAVEALKRNLEANFVSGRCIVLEGDNRIIAPKGVANRVCLGLLPSSEGSWVTAVRALRMEGGMLHVHGNVKDSEESSWTEHVLRSIDEIARSEGLCWEVSIEHVERVKWYAPHIRHLVADVRCRQIQR >Manes.16G007200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:716134:725989:1 gene:Manes.16G007200.v8.1 transcript:Manes.16G007200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKAAMLSSLGSSETDKSPKGTLDAPIIPLLNSLNSHPSYFTTSSCSGRISILAQPKSTNLTPTPPSKKKARGGSWLFITHDPANPDSVLSLLFPTHSPTQSSELVFRFEPLIVAVECRDIEAAQFLVSLAISSGFRESGITSANKKRVIVGIRCSIRMEVPLGDTDKLLVSPEYVRFLVEIANEKMEVNRKRTQSFLRALTENGFVGSNVSENGFHRFDDVQDGDADSDKLESANEDAHIGQVGVSGCCLSIVQMVIVGEPLERLFLWGHSACTLDGKKSKDILLFGGFGGMGRHARRNDTLLLDPLNGMLKAINAVGAPSPRLSHTASLVGDFLFVIGGRSDPLNILGDVWILNTASNEWRLSECTGSVFLPRHRHAAAVVGSNIYVFGGLNNDTIFSSMHVLNTGNLQWEEVLVGGEQPCARHSHSMVAYGSKLFMFGGYNGEKALGDLYSFDVQTHIWKKEKTSGGSPHARFSHSMFVYNNFIGIIGGCPIREHSKELALLDLRIHEWRHVTLDNIGKELLVRSTTNIVGDDLVVIGGGAACYAFGTKFSEPLKMSLLPLASLEDKIVSLDIGEKHVTDVYDGARGEKNVNIQGPQVGNAEISTYSCRFDFGAKQCPLVSSFWVLKLEKKYAKLGKDMLKKFGWLDLARKVRSEKDELHICFPITEKFYAMFSKIQHQYGGVVEGQNNITGDRLLSNEVSCSTTMVLLKEWGATVLADEAVEVRRTAKSPLQIMKESVASFIKCKGISSELLGKLPTRWERLGDIVVLPVSSFKDPTWDLIGEELWPIIARSLNSQRLARKGRVAPTGTRDSTLEILVGDNGWVDHRENGITYSFDATKCMFSWGNLSEKLRMAHLNCQDEVIVDLFAGIGYFVLPFLVRAGAKLVYACEWNPHAVEALKRNLEANFVSGRCIVLEGDNRIIAPKGVANRVCLGLLPSSEGSWVTAVRALRMEGGMLHVHGNVKDSEESSWTEHVLRSIDEIARSEGLCWEVSIEHVERVKWYAPHIRHLVADVRCRQIQR >Manes.05G140200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23892874:23896110:1 gene:Manes.05G140200.v8.1 transcript:Manes.05G140200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSMSLSVELWRPKAEIPAIAPPPSQLHCPSMTTSPVFVIAPKTPRSPLAIPMKKAIASVQCYLEEVGHFTKLDPQEAWLPITESRSGNAYFSAFHTLSSGIGVQALLLPLAFTALGWAWGLACLSLIFMWQLYTLWLLIQLHESESGIRYSRYLRLSMAAFGEKLGKLLALFPIMYLSGGTCVTLIMIGGGTMKILYQILCEETCNMKPLTTVDWYLVFTCSAIVLAQLPNLNSIAGVSLIGAITAVSYCTLIWVVSIIKGRPMNISYDPPQAKFDVASLCSILNALGIIAFAFRGHNLVLEIQGTMPSNVKQPSRLPMWRGVKFAYLIIAMCLFPLAIGGYWAYGNLIRGDGGMINALYKYHGHDPSKMVLGLTSMLIVINCLSSFQIYAMPVFDNWEIKYTSKTNKPCPRWLRSGFRVLFGCLAFCIAVAFPFLRSLAGLIGGIALPVTLAYPCFMWIIIKKPQKYGAIWWLNWMLGLLGVVLSVLVVTGAIWTIVTAGIEIHFFKPQ >Manes.12G158800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36630949:36637114:1 gene:Manes.12G158800.v8.1 transcript:Manes.12G158800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELCGSSLLLALPDDVFAIISRSLSPRDICNLSLCCRSLSALVASEKVWLTQCDLVGIVPHRDLIEWRKGVSSYKALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEDGPILWAPVFEIIGDLDGSILFFLHGREKGNDYIYPGSVKSVEQNCNVLLLEVEPRLQKNVNALLHSKSFAYNSDKETSRKISRSNSGLSRSHRMLGQWDAKVPFSRLAFCDRRKLIEIVTSQVHQTVPDSVNGPLFPRLRSDADNFQKDILLLFERRSLLLQNYKLRGSCFDWKVAPELPSDPTQLQLSEIKKSLDRSSGFHNSLNGDGQTKPIMKKTLSGYFRASLRQIWGKSPSNGSRTLSKNSSSSSESKHAQLHEFLRAGDTIGLTLHASTVKLSSYRAWPNMHDTRFALYKLPMRAPRADQEYAGLWGGTFGWPPGKPTEDKPGKALFFLLISYDESEGQRQLIATKILEGTHYVLHPNGSAMFMVNIDEPSEDPFPWDVDADSIPVSVRHAFAGEGIANGYGFRYPGSKPGSLFVIQNGLLAFIWKESRAVLTMQRLNLQELLKKGERVPALPPTANFSYLTKSYSNVFAGFSNASTCLSSPSSIYLDFGFWFNLLLKVQTSSEIC >Manes.12G158800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36630949:36639100:1 gene:Manes.12G158800.v8.1 transcript:Manes.12G158800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELCGSSLLLALPDDVFAIISRSLSPRDICNLSLCCRSLSALVASEKVWLTQCDLVGIVPHRDLIEWRKGVSSYKALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEDGPILWAPVFEIIGDLDGSILFFLHGREKGNDYIYPGSVKSVEQNCNVLLLEVEPRLQKNVNALLHSKSFAYNSDKETSRKISRSNSGLSRSHRMLGQWDAKVPFSRLAFCDRRKLIEIVTSQVHQTVPDSVNGPLFPRLRSDADNFQKDILLLFERRSLLLQNYKLRGSCFDWKVAPELPSDPTQLQLSEIKKSLDRSSGFHNSLNGDGQTKPIMKKTLSGYFRASLRQIWGKSPSNGSRTLSKNSSSSSESKHAQLHEFLRAGDTIGLTLHASTVKLSSYRAWPNMHDTRFALYKLPMRAPRADQEYAGLWGGTFGWPPGKPTEDKPGKALFFLLISYDESEGQRQLIATKILEGTHYVLHPNGSAMFMVNIDEPSEDPFPWDVDADSIPVSVRHAFAGEGIANGYGFRYPGSKPGSLFVIQNGLLAFIWKESRAVLTMQRLNLQELLKKGERVPALPPTANFSYLTKSYSNVFAGFSNASTCLSSPRQRH >Manes.12G158800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36630949:36639100:1 gene:Manes.12G158800.v8.1 transcript:Manes.12G158800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELCGSSLLLALPDDVFAIISRSLSPRDICNLSLCCRSLSALVASEKVWLTQCDLVGIVPHRDLIEWRKGVSSYKALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEDGPILWAPVFEIIGDLDGSILFFLHGREKGNDYIYPGSVKSVEQNCNVLLLEVEPRLQKNVNALLHSKSFAYNSDKETSRKISRSNSGLSRSHRMLGQWDAKVPFSRLAFCDRRKLIEIVTSQVHQTVPDSVNGPLFPRLRSDADNFQKDILLLFERRSLLLQNYKLRGSCFDWKVAPELPSDPTQLQLSEIKKSLDRSSGFHNSLNGDGQTKPIMKKTLSGYFRASLRQIWGKSPSNGSRTLSKNSSSSSESKHAQLHEFLRAGDTIGLTLHASTVKLSSYRAWPNMHDTRFALYKLPMRAPRADQEYAGLWGGTFGWPPGKPTEDKPGKALFFLLISYDESEGQRQLIATKILEGTHYVLHPNGSAMFMVNIDEPSEDPFPWDVDADSIPVSVRHAFAGEGIANGYGFRYPGSKPGSLFVIQNGLLAFIWKESRAVLTMQRLNLQELLKKGERVPALPPTANFSYLTKSYSNVFAGFSNASTCLSSPRQRH >Manes.12G158800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36630949:36639100:1 gene:Manes.12G158800.v8.1 transcript:Manes.12G158800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELCGSSLLLALPDDVFAIISRSLSPRDICNLSLCCRSLSALVASEKVWLTQCDLVGIVPHRDLIEWRKGVSSYKALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEDGPILWAPVFEIIGDLDGSILFFLHGREKGNDYIYPGSVKSVEQNCNVLLLEVEPRLQKNVNALLHSKSFAYNSDKETSRKISRSNSGLSRSHRMLGQWDAKVPFSRLAFCDRRKLIEIVTSQVHQTVPDSVNGPLFPRLRSDADNFQKDILLLFERRSLLLQNYKLRGSCFDWKVAPELPSDPTQLQLSEIKKSLDRSSGFHNSLNGDGQTKPIMKKTLSGYFRASLRQIWGKSPSNGSRTLSKNSSSSSESKHAQLHEFLRAGDTIGLTLHASTVKLSSYRAWPNMHDTRFALYKLPMRAPRADQEYAGLWGGTFGWPPGKPTEDKPGKALFFLLISYDESEGQRQLIATKILEGTHYVLHPNGSAMFMVNIDEPSEDPFPWDVDADSIPVSVRHAFAGEGIANGYGFRYPGSKPGSLFVIQNGLLAFIWKESRAVLTMQRLNLQELLKKGERVPALPPTANFSYLTKSYSNVFAGFSNASTCLSSPRQRH >Manes.12G158800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36630949:36637114:1 gene:Manes.12G158800.v8.1 transcript:Manes.12G158800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELCGSSLLLALPDDVFAIISRSLSPRDICNLSLCCRSLSALVASEKVWLTQCDLVGIVPHRDLIEWRKGVSSYKALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRIIPQELGPLGIEDGPILWAPVFEIIGDLDGSILFFLHGREKGNDYIYPGSVKSVEQNCNVLLLEVEPRLQKNVNALLHSKSFAYNSDKETSRKISRSNSGLSRSHRMLGQWDAKVPFSRLAFCDRRKLIEIVTSQVHQTVPDSVNGPLFPRLRSDADNFQKDILLLFERRSLLLQNYKLRGSCFDWKVAPELPSDPTQLQLSEIKKSLDRSSGFHNSLNGDGQTKPIMKKTLSGYFRASLRQIWGKSPSNGSRTLSKNSSSSSESKHAQLHEFLRAGDTIGLTLHASTVKLSSYRAWPNMHDTRFALYKLPMRAPRADQEYAGLWGGTFGWPPGKPTEDKPGKALFFLLISYDESEGQRQLIATKILEGTHYVLHPNGSAMFMVNIDEPSEDPFPWDVDADSIPVSVRHAFAGEGIANGYGFRYPGSKPGSLFVIQNGLLAFIWKESRAVLTMQRLNLQELLKKGERVPALPPTANFSYLTKSYSNVFAGFSNASTCLSSPSSIYLDFGFWFNLLLKVQTSSEIC >Manes.05G091800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8416408:8422307:1 gene:Manes.05G091800.v8.1 transcript:Manes.05G091800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFPGLRFCPTDEELVGHYLLRRITGELTAWQYGVIRECDLYSTEEPWELWQRYKLNVSEDVFFFTKLNKKNTGSSRINRKIGAGGGTWHGESGSVIHVSGLTAYKKRFSYRNPSKLDQDRCWNLIEYSMDLEVSNTSDYHVLCQLKMSNRNRFNSKKRKYGLHDEATHGFLPNKRSEIESNKFQESQPVPILQRSFDPAAVHQPSNDVQENLSVVISPSTIEVESSSSLMGIEDDPAFDLHSVTNNTSLEQLVQPLSYVGTTVSPINMEDTSPKQLQDACYDESLWLEHFTSKMKDDLPEINQDQNDWQIIN >Manes.05G091800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8416408:8422307:1 gene:Manes.05G091800.v8.1 transcript:Manes.05G091800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFPGLRFCPTDEELVGHYLLRRITGELTAWQYGVIRECDLYSTEEPWELWQRYKLNVSEDVFFFTKLNKKNTGSSRINRKIGAGGGTWHGESGSVIHVSGLTAYKKRFSYRNPSKLDQDRCWNLIEYSMDLEVSNTSDYHVLCQLKMSNRNRFNSKKRKYGLHDEATHGFLPNKRSEIESNKFQESQPVPILQRSFDPAAVHQPSNDVQENLSVVISPSTIEVESSSSLMGIEDDPAFDLHSVTNNTSLEQLVQPLSYVGTTVSPINMEDTSPKQLQDACYDESLWLEHFTSKMKDDLPEINQDQNDWQIIN >Manes.03G047900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4362836:4363348:-1 gene:Manes.03G047900.v8.1 transcript:Manes.03G047900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSQSPENDVIALTLPEFLVETDFEADDLSPEFPIKEEMIEEVMQELYKEITCPTSTCTETAASTSSETLPSGSPLLPQSPLSSLSSPFFVNSGKSESCGASVSNSESSVMAGVEFAGGALGQTGGESVKLSECEEEGMMDGCDEVKFGDEWLEKVMGWAPLELEGWS >Manes.06G019000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3276049:3294660:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLASSSKETCLHPGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.06G019000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3276049:3294660:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLVASSSKETCLHPGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.06G019000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3275827:3294726:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLASSSKETCLHPGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETAWSKHKDNLILMERYHFFASSCHQFGFNCKSLSELKSDESDSDGALASVLKVLRKIHHMFFDELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.06G019000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3275827:3293145:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQMSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLASSSKETCLHPGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETAWSKHKDNLILMERYHFFASSCHQFGFNCKSLSELKSDESDSDGALASVLKVLRKIHHMFFDELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.06G019000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3275837:3294660:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLVASSSKETCLHPGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.06G019000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3276049:3294660:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLVASSSKETCLHPGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETAWSKHKDNLILMERYHFFASSCHQFGFNCKSLSELKSDESDSDGALASVLKVLRKIHHMFFDELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.06G019000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3275827:3293145:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQMSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLVASSSKETCLHPGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETAWSKHKDNLILMERYHFFASSCHQFGFNCKSLSELKSDESDSDGALASVLKVLRKIHHMFFDELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.06G019000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3275551:3294714:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETAWSKHKDNLILMERYHFFASSCHQFGFNCKSLSELKSDESDSDGALASVLKVLRKIHHMFFDELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.06G019000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3275552:3294726:-1 gene:Manes.06G019000.v8.1 transcript:Manes.06G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMTDSPVHSSSSDDFAAFLDAELDSKSSDSSPNEEGAKNEFDSDSSDSSLNEEAENDSDSKSKRIKGSRVETLENVEDPKESTSNITHRIKRSRVEAWENIEDPKGSMSNGSLEQNLVASSSKETCLHPGSFGDMCILCGQKLNLETGVTFGYIHKGLRLGNDEIMRLRKTDMKNLLRHKKLYLVLDLDHTLLNSTQLMHMTSEEEYLKSQIDSLQDVSNGSLFMLDFMHMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPRREYFNARVISRDDGTQRNQKGLDIVLGQESAVLILDDTETAWSKHKDNLILMERYHFFASSCHQFGFNCKSLSELKSDESDSDGALASVLKVLRKIHHMFFDELVDNLDGRDVREVLKTVRKDVLKGCKIVFSRVFPTQFQADNHHLWKTAEQLGATCFRELDPSVTHVVSQEAGTEKSRWALKNNKFLVHPRWIEAANYLWQRQPEENFRVNQPKN >Manes.08G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35120941:35128544:1 gene:Manes.08G111900.v8.1 transcript:Manes.08G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSEGHTQILILVASLVGIGFALLQWFLVSKVRVSGESGNIYNDILIGEEEEGVDKHDVSLKCAEIQNAISVGATSFLFTEYRYLCIFMGVFGVIIFLFLGSVKGFSTKSEPCTYNKGNLCKPALANAFFSTIAFLLGALTSVLSGFLGMKIATYANARTTLQARKSVGKAFITAFRSGAVIGFLLSANGLLVLFVSINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISQFGVNHDYTAMSYPLIISSMGIIVCLITTLFGTDMFEIKNVSEIEPSLKKQLLISTILMTVGIAMVSFLALPSEFTLFDFGTDKLVKNWQLFFCVSIGLWAGLVIGYTTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIAIYVSFSMAAMYGIAMAALGMLSTISTGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFISRAGIKTVDVANPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRTKPDYANCVKISTDASLREMIPPGALVTLTPLVAGTLFGVETLAGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKLL >Manes.04G093800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29936002:29942787:1 gene:Manes.04G093800.v8.1 transcript:Manes.04G093800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKDIIQLEPESVIPILKHKLIAALSDHIEDAADREEFLKLCQRVEYTIRAWYLLHFEDLLQLYSLFEPIYGANKLEQHNLSPEDIDTCEQKFLTCLFQVMDKSNFKIATDDEINVALAAQYRLNLPIVVNETKLDSRLFTKYFSKHPHDNLPDFADKYIIFRRGFGIDKMTAYFVKAKINTILARIWSFFLTITLLKRLFCTKSKAGANKDQKPVQIRIEEDQSDLYVERIRIEKMNLSFSNLIGKVTIQEPTFQSIIVVYRRTSTKKEKERNIYVKHFKNIPMADMEIVLPEKNNPGLTPMDWVKFIVSAVIGLVTVIGSLSIPKIDIRVIFAVLSSVVGYCVKTYFTFQSNLVSYQSLITQSVYDKQLDSGRGTLLHLCDNVIQQEVKEVIVSFFVLMKQGQADRLELDQRCEDLIKEDFGESCNFDVDDAVQKLEKLGIVSQVKFSSFFQSCY >Manes.04G093800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29936002:29942787:1 gene:Manes.04G093800.v8.1 transcript:Manes.04G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKDIIQLEPESVIPILKHKLIAALSDHIEDAADREEFLKLCQRVEYTIRAWYLLHFEDLLQLYSLFEPIYGANKLEQHNLSPEDIDTCEQKFLTCLFQVMDKSNFKIATDDEINVALAAQYRLNLPIVVNETKLDSRLFTKYFSKHPHDNLPDFADKYIIFRRGFGIDKMTAYFVKAKINTILARIWSFFLTITLLKRLFCTKSKAGANKDQKPVQIRIEEDQSDLYVERIRIEKMNLSFSNLIGKVTIQEPTFQSIIVVYRRTSTKKEKERNIYVKHFKNIPMADMEIVLPEKNNPGLTPMDWVKFIVSAVIGLVTVIGSLSIPKIDIRVIFAVLSSVVGYCVKTYFTFQSNLVSYQSLITQSVYDKQLDSGRGTLLHLCDNVIQQEVKEVIVSFFVLMKQGQADRLELDQRCEDLIKEDFGESCNFDVDDAVQKLEKLGIVSQDAAGNYKSVALRIANDIIGTTTEEVVLKARHRNSTSKHWGKVKSF >Manes.04G093800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29936002:29942787:1 gene:Manes.04G093800.v8.1 transcript:Manes.04G093800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKDIIQLEPESVIPILKHKLIAALSDHIEDAADREEFLKLCQRVEYTIRAWYLLHFEDLLQLYSLFEPIYGANKLEQHNLSPEDIDTCEQKFLTCLFQVMDKSNFKIATDDEINVALAAQYRLNLPIVVNETKLDSRLFTKYFSKHPHDNLPDFADKYIIFRRGFGIDKMTAYFVKAKINTILARIWSFFLTITLLKRLFCTKSKAGANKDQKPVQIRIEEDQSDLYVERIRIEKMNLSFSNLIGKVTIQEPTFQSIIVVYRRTSTKKEKERNIYVKHFKNIPMADMEIVLPEKNNPGLTPMDWVKFIVSAVIGLVTVIGSLSIPKIDIRVIFAVLSSVVGYCVKTYFTFQSNLVSYQSLITQSVYDKQLDSGRGTLLHLCDNVIQQEVKEVIVSFFVLMKQGQADRL >Manes.04G093800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29936002:29942787:1 gene:Manes.04G093800.v8.1 transcript:Manes.04G093800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKDIIQLEPESVIPILKHKLIAALSDHIEDAADREEFLKLCQRVEYTIRAWYLLHFEDLLQLYSLFEPIYGANKLEQHNLSPEDIDTCEQKFLTCLFQVMDKSNFKIATDDEINVALAAQYRLNLPIVVNETKLDSRLFTKYFSKHPHDNLPDFADKYIIFRRGFGIDKMTAYFVKAKINTILARIWSFFLTITLLKRLFCTKSKAGANKDQKPVQIRIEEDQSDLYVERIRIEKMNLSFSNLIGKVTIQEPTFQSIIVVYRRTSTKKEKERNIYVKHFKNIPMADMEIVLPEKNNPGLTPMDWVKFIVSAVIGLVTVIGSLSIPKIDIRVIFAVLSSVVGYCVKTYFTFQSNLVSYQSLITQSVYDKQLDSGRGTLLHLCDNVIQQEVKEVIVSFFVLMKQGQADRL >Manes.04G093800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29936002:29942787:1 gene:Manes.04G093800.v8.1 transcript:Manes.04G093800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKDIIQLEPESVIPILKHKLIAALSDHIEDAADREEFLKLCQRVEYTIRAWYLLHFEDLLQLYSLFEPIYGANKLEQHNLSPEDIDTCEQKFLTCLFQVMDKSNFKIATDDEINVALAAQYRLNLPIVVNETKLDSRLFTKYFSKHPHDNLPDFADKYIIFRRGFGIDKMTAYFVKAKINTILARIWSFFLTITLLKRLFCTKSKAGANKDQKPVQIRIEEDQSDLYVERIRIEKMNLSFSNLIGKVTIQEPTFQSIIVVYRRTSTKKEKERNIYVKHFKNIPMADMEIVLPEKNNPGLTPMDWVKFIVSAVIGLVTVIGSLSIPKIDIRVIFAVLSSVVGYCVKTYFTFQSNLVSYQSLITQSVYDKQLDSGRGTLLHLCDNVIQQENLIT >Manes.01G031900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6250996:6253965:-1 gene:Manes.01G031900.v8.1 transcript:Manes.01G031900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPPSIDLCTVFSESKRIINAHTRHFLALSVFFLLPLSFSFTVYPTLQNLLAPSSTLNSKILLSTSFLLDQDPSNFVTLKTLVLSLLFSLFVFVFGLLAAGSITYSVLHGFYGRPVKLVASIRSALVSFFPLIITTILAQIIVLAIFVATGFLLFVVVMGIQLLGFQVELFSPYFIVFSVIVSIVLILVLVYLQVNWFLFGVIVVVESSWGLQPLKRSSFLIKGMRGLALALSLFFGFLVGVLLFISSVSGITLGIGTNGGWKSCAFVVQIVVTSTLLMLLLLYYSAANTILYMYCKAVHGELAWEIAEEFAREYISLPFDDGKVPHLVSVAYTLQN >Manes.13G152300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36689628:36689888:-1 gene:Manes.13G152300.v8.1 transcript:Manes.13G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRYISKEFLQPSSQKMQGVKDRSSKSDSRVKSLQEAATSKQMTSFPGNLSLKDLNKSGKNVDDYKLKQAEESLRTVMYLSCWGP >Manes.01G079400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28249389:28249743:1 gene:Manes.01G079400.v8.1 transcript:Manes.01G079400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSGFSFILGSVFGIYVAQNYEVPNIRKLANTGLLIAKHIEETYRKPKKRDEDE >Manes.03G209000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32435614:32438934:1 gene:Manes.03G209000.v8.1 transcript:Manes.03G209000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGLAPKKAGCSGQDNRQNGASGLQDDTGKEDEVAARSDQMNKGVSNNMFSSQSSDSTSQNKSDNWMAYLGLATKKSESSGPYASQKGSDVLQNATSDEARKLAAAALSAVKDAAAAASGRGKVEITEVRDFAGQQIEVKKFVDAESKEAAEKARAPPPSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGLEEELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRTDMREDDL >Manes.03G209000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32435578:32438988:1 gene:Manes.03G209000.v8.1 transcript:Manes.03G209000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTESSQKVDSVLCSEPKPAECDMEARVQAMWEQMNKGLGKKPVKPVLSKSISSTMNANSKKFNDNWMKYLGLAPKKAGCSGQDNRQNGASGLQDDTGKEDEVAARSDQMNKGVSNNMFSSQSSDSTSQNKSDNWMAYLGLATKKSESSGPYASQKGSDVLQNATSDEARKLAAAALSAVKDAAAAASGRGKVEITEVRDFAGQQIEVKKFVDAESKEAAEKARAPPPSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGLEEELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRTDMREDDL >Manes.03G209000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32435870:32438934:1 gene:Manes.03G209000.v8.1 transcript:Manes.03G209000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTESSQKVDSVLCSEPKPAECDMEARVQAMWEQMNKGLGKKPVKPVLSKSISSTMNANSKKFNDNWMKYLGLAPKKAGCSGQDNRQNGASGLQDDTGKEDEVAARSDQMNKGVSNNMFSSQSSDSTSQNKSDNWMAYLGLATKKSESSGPYASQKGSDVLQNATSDEARKLAAAALSAVKDAAAAASGRGKVEITEVRDFAGQQIEVKKFVDAESKEAAEKARAPPPSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGLEEELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRTDMREDDL >Manes.03G209000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32435583:32438988:1 gene:Manes.03G209000.v8.1 transcript:Manes.03G209000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTESSQKVDSVLCSEPKPAECDMEARVQAMWEQMNKGLGKKPVKPVLSKSISSTMNANSKKFNDNWMKYLGLAPKKAGCSGQDNRQNGASGLQDDTGKEDEVAARSDQMNKGVSNNMFSSQSSDSTSQNKSDNWMAYLGLATKKSESSGPYASQKGSDVLQNATSDEARKLAAAALSAVKDAAAAASGRGKVEITEVRDFAGQQIEVKKFVDAESKEAAEKARAPPPSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGLEEELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRTDMREDDL >Manes.18G136304.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:22757531:22758673:1 gene:Manes.18G136304.v8.1 transcript:Manes.18G136304.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLYHLTSSLSQVPIPLPHTGSHLPTSLSLKPQSQLKHADGSESLLTFLVPSPFSSFSPAATATHPPSRQSRSHPPTDSPTRESQPESFSPSFASSVPQPRPSTHPVGYSLSHCRFSEDEQALYIVIDCRFIFGSVIWRGENY >Manes.06G062800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19728236:19729050:-1 gene:Manes.06G062800.v8.1 transcript:Manes.06G062800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRDHADVSGDHRSHEICHIAYLHVVLRGPNFVYFDFIWWWLRFELRFVCHLFFQLSSMSVVFDSNSMLLSNFRWCWSWKERCVG >Manes.04G106700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31175126:31176817:1 gene:Manes.04G106700.v8.1 transcript:Manes.04G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRYSTMGSNANPNFDEHLWIINIRRSLDEELENDSEVPVSIFNVPKLLMASNPDSYIPQQVAIGPYHYWRPELYEMERYKLAAAKRIQKQLQNLKFQHIVDHLSKLEPKIRASYHKFLDFSHETLAWMMAINASFMIEFLQIYTIREGIMIRVSSRMSHLFDYAGRKSAHNAILRDMVMLENQIPLFVLRKILEIQFSSLKLADETLLSMLVGFCKELSPFKMMKDMQKIPVSQCSHLLEYLYDMIVPKVEAPALPEITEAEDQEEGSSGNPSSNIKDLFCEISKLFSKLNEGPIRLLKRILFSRPVTLILKLPWTILSNLPGFFILKQLVQHLFFAEDKEEAKPEKNEGSNNEVNKPPLMEEIAIPCVAELSKCGVRFLPTTDNISSISFDAKTFTFYLPIVSLDVNTEVVLRNLVAYEASNASGPLIFTRYTELMNGIIDTEEDVKLLREKGIVLNHLKNDVEAADLWNGMSKSVRLTKVPFLDKAIEDVNKYYDGRWNIKVENFMKLYVFGSWKSLTLLAAIFLLLLMTLQAFCSVYSRGL >Manes.S048716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1545402:1545899:-1 gene:Manes.S048716.v8.1 transcript:Manes.S048716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVHRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.05G070300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5781052:5782101:-1 gene:Manes.05G070300.v8.1 transcript:Manes.05G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITAAAATTTKGGRGKSKAKSVSRSLKAGLQFPVGRVARFLKKGRYAERVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIIPRHIQLAVRNDEELSKLLGGVTIANGGVLPNIHQNLLPKKAAKGKGEIGSASQEF >Manes.08G047600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4806800:4807153:1 gene:Manes.08G047600.v8.1 transcript:Manes.08G047600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQFTTASTMLRQRLASSLRTRGGAAASHSRWTSPGHEERPKGYLFNRTPLPAGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLSIETWAHQKALERLEMEKLGLSGSGESE >Manes.12G068100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7112795:7119345:1 gene:Manes.12G068100.v8.1 transcript:Manes.12G068100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRTNDPPFIRIHSLEMASLEDKSVLDFENEIHDVRGSLFTRSDGPRNRVLDSIKIVVLSNKINLLMVFGPLTILLDLMSDNHGWVFVFSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATELIISIYALRSGMIRVAQQSLLGSILSNMLLVLGCAFFCGGLVIVEREQVFDKGAATVNSSLLLMAVMGLLFPAVLHSTRTELQFGKSELALSRFSSCVMLAAYAAFLYFQLRSQKNLYVPVNGEESQNWNADDDESPEISKWEAIIWLFLLTVWISVLSEYVVDAIEGASTEWKLPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSTQISMFAEGTSNYFKGLMLIFCYLIVAASFFVHMDPSSVVDPPKPSE >Manes.12G068100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7112794:7119345:1 gene:Manes.12G068100.v8.1 transcript:Manes.12G068100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRTNDPPFIRIHSLEMASLEDKSVLDFENEIHDVRGSLFTRSDGPRNRVLDSIKIVVLSNKINLLMVFGPLTILLDLMSDNHGWVFVFSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATELIISIYALRSGMIRVAQQSLLGSILSNMLLVLGCAFFCGGLVIVEREQVFDKGAATVNSSLLLMAVMGLLFPAVLHSTRTELQFGKSELALSRFSSCVMLAAYAAFLYFQLRSQKNLYVPVNGEESQNWNADDDESPEISKWEAIIWLFLLTVWISVLSEYVVDAIEGASTEWKLPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSTQISMFAIPFLVVAGWIMGCPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLIFCYLIVAASFFVHMDPSSVVDPPKPSE >Manes.12G068100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7112823:7119345:1 gene:Manes.12G068100.v8.1 transcript:Manes.12G068100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMASLEDKSVLDFENEIHDVRGSLFTRSDGPRNRVLDSIKIVVLSNKINLLMVFGPLTILLDLMSDNHGWVFVFSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATELIISIYALRSGMIRVAQQSLLGSILSNMLLVLGCAFFCGGLVIVEREQVFDKGAATVNSSLLLMAVMGLLFPAVLHSTRTELQFGKSELALSRFSSCVMLAAYAAFLYFQLRSQKNLYVPVNGEESQNWNADDDESPEISKWEAIIWLFLLTVWISVLSEYVVDAIEGASTEWKLPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSTQISMFAIPFLVVAGWIMGCPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLIFCYLIVAASFFVHMDPSSVVDPPKPSE >Manes.12G068100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7112839:7119345:1 gene:Manes.12G068100.v8.1 transcript:Manes.12G068100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRTNDPPFIRIHSLEMASLEDKSVLDFENEIHDVRGSLFTRSDGPRNRVLDSIKIVVLSNKINLLMVFGPLTILLDLMSDNHGWVFVFSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATELIISIYALRSGMIRVAQQSLLGSILSNMLLVLGCAFFCGGLVIVEREQVFDKGAATVNSSLLLMAVMGLLFPAVLHSTRTELQFGKSELALSRFSSCVMLAAYAAFLYFQLRSQKNLYVPVNGEESQNWNADDDESPEISKWEAIIWLFLLTVWISVLSEYVVDAIEGASTEWKLPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSTQISMFAIPFLVVAGWIMGCPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLIFCYLIVAASFFVHMDPSSVVDPPKPSE >Manes.12G068100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7112795:7119345:1 gene:Manes.12G068100.v8.1 transcript:Manes.12G068100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRTNDPPFIRIHSLEMASLEDKSVLDFENEIHDVRGSLFTRSDGPRNRVLDSIKIVVLSNKINLLMVFGPLTILLDLMSDNHGWVFVFSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATELIISIYALRSGMIRVAQQSLLGSILSNMLLVLGCAFFCGGLVIVEREQVFDKGAATVNSSLLLMAVMGLLFPAVLHSTRTELQFGKSELALSRFSSCVMLAAYAAFLYFQLRSQKNLYVPVNGEESQNWNADDDESPEISKWEAIIWLFLLTVWISVLSEYVVDAIEGASTEWKLPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSTQISMFA >Manes.12G068100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7112800:7119345:1 gene:Manes.12G068100.v8.1 transcript:Manes.12G068100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRTNDPPFIRIHSLEMASLEDKSVLDFENEIHDVRGSLFTRSDGPRNRVLDSIKIVVLSNKINLLMVFGPLTILLDLMSDNHGWVFVFSLLGIIPLAERLGYATEQLAFYTGPTVGGLLNATFGNATELIISIYALRSGMIRVAQQSLLGSILSNMLLVLGCAFFCGGLVIVEREQVFDKGAATVNSSLLLMAVMGLLFPAVLHSTRTELQFGKSELALSRFSSCVMLAAYAAFLYFQLRSQKNLYVPVNGEESQNWNADDDESPEISKWEAIIWLFLLTVWISVLSEYVVDAIEGASTEWKLPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSTQISMFAIPFLVVAGWIMGCPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLIFCYLIVAASFFVHMDPSSVVDPPKPSE >Manes.09G020600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4226449:4229869:1 gene:Manes.09G020600.v8.1 transcript:Manes.09G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHSLLRQISHPWIQNLRTYCTEEMAVVNEVLPIVATAKPMEPALEPLVPFLSPGIVSSIIENPPSPQLCFRFFIWASKYKRFRSWVSRTVIVDMLIKDNGFELYWQVIKDIKNCHISISADAFTVLIQAYAKMGMVEKAVEAFEMMKDVDCEPDVFTYNTILHVIVSREVFLLALGIYNRMLKLNCLPNIFTYSMLIDVLCKSGKTQNALQMLDEMTQRGILPNKVLYTIIISGLCQAQRTDDAYRLLNTMKDSGCKPDLVTYNALLDGFCKLRRVDEALALLKLFKEDGYVLNKEGYSCLIDGLFRVRRFEDAQLWYRQMIEDNIEADVVLCTIMIKGLLKAGKVEDALKLLSEMTDRGVVPDTRCYNALIKGFCDMGLLDEAKSLHLEISNHDSFPNACTYTIFICGMCRKGLVGDAQQMFDEMEKHGCYPSVVTFNALIYGLCKAGKLEEAQLLFYRMEIGRNPSLFLRLSQGANRILDTASLQTMVEQLCISGFILKAYKTLMQLADSGVAPNIITYNILINGYCKAGNINGAFKLLKELQLKGLSPDSVTYGTLINGLLIVKRDEDAFKVFDQMLKAGCAPTTAVYKSLMTWSCRRKKVPLAFNIWLQYLHNTPGRDNEEVKKIEEYFEKGELEKAVRGLLEMDFRLNDFELAPYTIWLIGLCQAGRLEEALKIFFILQDCKAIITPPSCVKLIHGLCRDGNLDLAVEMFLYTIEKGYILMPRICNHLLKLLLRSNEKKDHAFDLLNRMESLGYNLEAHLHRTTKFLLHGDPVHFRDKKE >Manes.04G044700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6226898:6228820:1 gene:Manes.04G044700.v8.1 transcript:Manes.04G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKELAGLLSNKAATAEEWSRVLQQLNENEGPWYEILYGINRHLPLYLRRCLFYFVLFPEDFEVPARRLIGLWVAEGLGRQKGDQEPPECVSEKCFIELVNQNMIQVTKKKMNGKISRCRLPDALRVHWLPKAREANFLQDNMGINLSMNNTSVIRRLADHLDHKDASFDHIHGNRISSSVYSSYRNVVSFLSFDTQEESRAGEDIENFLERCISSGSFYFLWVLDLENVHKPKLPKAVSQLTRLRYLGLRSTYMETLPVFIDKLLNLQSLDLKRTCINTVPNSIWKMQSLRQLFLDESFCTVFDPRQEDSSLVDLQTLWRAFVDETSPVRNGLDRLSKLTKLGLKCKSSVSFQNEAMSSQLVAVANWVTKMKHLQYLRLKSFDESGQPWDLYLESLLDHKDLYSVYLVGRLKNQHLVSEYPLNLIELTLSASEIAKDPMQTLDKLPNLRILKLLSRSFTGKKMVSRSGGFAKLEILKFWELEALEEWNVEEGALCGLKDLEIRSCRNLKMLPDGLKLIRTLRELKLTRQPELSARIRDNQGEDWNLIFLLVLVAKCLLFNFCDTEKFDILIL >Manes.14G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6306528:6308446:1 gene:Manes.14G075400.v8.1 transcript:Manes.14G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADSDTAHLCDAISRLPDELLHTILEKIPLLDAVTTGFLSRRWRDVWKYISIVEFGPLWVQLTGKDVFSSLNQFIRLHKGQKIQNFSVSFKYQPEMSTHVDSWIHFAISKHVKDLHLDFDAIDFRFNETRTDMIRYIEYDPCYEIPPCVFNCKSLVRLILCCCVLELPMSIQLPSLKVLRLQGIELPQDAIQILTSNAPVLQYLLLIDCNSTSDLHINIAPNQHFHNLEIIEHFFAVNHRTRMFIKAPTAFQVAFLGSMPRSKYIIEEVSEYAQFHFSFPEMFNLSGKHRLNILGNDSRIQKYENRFQEILASCHKSNDIRMCNWCIQLLSLRELRNLERLKFDCTILAISCTFWKWELPGIIYMLKACPKVEELTLSMAPGEEKIKIAQDYLLQHDFQESRFLDNQNLGLELENLRTVRFVHGGDHKTWDHEYFDLKRFFNGAMLGAQFIGLLKNHAVNLQSLIFKSSEQELKCFLKKRKKKKDEEKETTTRRSPPIRIYWD >Manes.01G248000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40777958:40781376:-1 gene:Manes.01G248000.v8.1 transcript:Manes.01G248000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSNPFSSTKHKHFFVKFAVSLLLIGLSFRLFVSDSISFSSVGETPAIEQATNTESPEANALSIEDKEPGSTDFAVNGSQIQFSNDSAPNRTQILETGECDLFIGDWIPDPSGPFYTNRSCLAIEGHQNCMKNGRPDTGYLYWRWNPVGCDLPRFNPKKFLDLMRNKSWAFIGDSISRNHVQSLLCILSQVEQADEMYHDKEYRSKIWHFPAHNFTLSVIWTPFLIKADVFDDMNGVSSSEVQLHLDVLDHKWTNEYRNFDYAVIAGGKWFLKTALYHENDSVTGCHHCPGKNLTELGFDHAYRKALRLIFDFITGSDHSSFIFFRTTTPDHFENGEWFSGGSCNRTVPSKEGEIDMRDVDITMRNIELEEFEKAAELGSGKGRF >Manes.14G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3487140:3491243:-1 gene:Manes.14G041300.v8.1 transcript:Manes.14G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRHAARILGLDSKVEKSLLIPFREIKVECTIPKDDGTLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRELSLSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIEGLTFAVQGFGNVGSWASRLIHERGGKVIAVSDITGAVKNPKGLDVPELLRHKEATGSLRNFHGGDSMDASELLVHECDVLIPCALGGVLNRENAADVKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWEEEQVNKELQRYMTRAFRNIKNMCKTHDCNLRMGAFTLGVNRVARATLLRGWEA >Manes.12G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35809908:35812014:1 gene:Manes.12G149600.v8.1 transcript:Manes.12G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVEDVVIVGAGIAGLATAIALKRVGVRSLILEKSETLRSTGAALTLTPNAWLALDALGVSHKLKSLYTPFTRGSITNVATGAVQEIKFSVKAQGLITVHRKALLEALAEELPADSIRFSSKFTSIEQQKIGNVSIAVLHLEDGTPIKSKVLIGCDGVHSVVAKWLGLSAPVHSGRTAVRGLAVLPQGHGFNQEVTQFADVGRRGGYVPLTDKEIYWFLVCPEEENMARDPQLIQKEVIDKYAYNFPSQYIEVVRHADLSNLTWAPLMLRLPWDVIFGNLSKGNVTVAGDAMHPMTPDIAQGGCAALEDAVVLGRHIGNSFIKNGGLLVPEDMARALDGYVKERRWRAATLITGAYMSGWIQQGGLQWWKKFFKYIFYVFIFPMLSNVARYDCGTLPSFSASAELQHSSNKSD >Manes.15G132550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10648170:10648539:1 gene:Manes.15G132550.v8.1 transcript:Manes.15G132550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFCLGFISVWEGKQQLTCASNLVVLDSPRGWFVSEISADFGSVGALPAVAGLWTAAGDVTGTSAGADLWAAVVVAV >Manes.02G023800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2088300:2090234:1 gene:Manes.02G023800.v8.1 transcript:Manes.02G023800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIHPLPAESSSSSSSSYISLQEQAETFTVWMKSLVMQTNGCTVFNQNGDIVYRVDNYDKKGSREVYLMDLKGTVLFTIRKLWLFRQWKGYKCDGLTLNSQKPFFQVRKTSGIFKGDMSCEIVVRSGNAQDGCYKLETSAGKSAFKIKDSNGTTVAKATRKQTSSGIVLGDDVLNLVVEPQVDHSFIMALVTVYGLIHHKL >Manes.14G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6009316:6014002:-1 gene:Manes.14G072300.v8.1 transcript:Manes.14G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGDLDRQIEYLMQCKPLTESEVKALCEQARAILVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNASVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISQQFNHTNGLSLISRAHQLVMEGYSWSQDKNVVTVFSAPNYCYRCGNMAAILEVGENMEQNFLQFDPAPRQIEPDTTRRTPDYFL >Manes.06G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23664740:23669434:1 gene:Manes.06G104100.v8.1 transcript:Manes.06G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGVDFKWYDGFFLSMLATSVIIVAINWKRYHLCTYPLHIWIVVDYTTVFVFRLLMFIDNGLAAGMGLDFGPQQRYARFCGRVVVLSILSLLLYPFLWAWTIIGTLWFTSARNCLPEEGQKWGFIIWLLFSYCGLLCIACMSMGKWLTRRQAHLFRAQQGIPISEYGVLVDMVRVPDWAFEASGQEMRGMGQDAAAYHPGLYLTPTQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNLGAESERPSATVVTTSRYMRTQPSSQSYLLRLQGLLQPVRTENAEASGDVVLDLEAVENGNAVLATGEARDVEPVCSIGSMLLGQSQR >Manes.03G177100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30031986:30034088:1 gene:Manes.03G177100.v8.1 transcript:Manes.03G177100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNQATASSSARSSTTTATMEERDSCYYPGCRKDANCNCDICLASINATLDLMSVNRSSLAKFSSSRPNIERTPLSFSPSILSTPISNSCPRMESPLLKSTAKLNLSDIRDKKKRNWGYVGAFSRLVLGLSLLFIAETGFSRGLCGVLRPALSPDIVRKIGARSRFVQDLTGSLRFMENELKRFVADGKVSNCSHMHSIWKMDQDGVLLNSRCELYKSAVEEVSIWGWPLQTAGLLKTGFSTRYFSLLSGRFTEWSDGMIGYSTRKGNTSWVQRKWGASVVQLDPGTWILEYRRSSLLDCSRLSSSAAELFKYQMSKMIRRMNQEFWLLSALKDRFDENIMGHHIKIPT >Manes.01G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8577770:8584024:1 gene:Manes.01G043500.v8.1 transcript:Manes.01G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHTKVLSSGIRHSNLPESYIRPESERPRLSEVLPCKDVPVIDLGCEDRTKIAQLIGDACANYGFFQVVNHGVTIEDVEKMLGAADEFFKLPVEEKLKLYSDDPSKTMRLSTSFNVNKEKVHNWRDYLRLHCYPLHQYVPEWPSNPPSFKEIVSNYCAEVRKLGFRLQELISESLGLEGDYIKRVLGEQGQHMAVNYYPPCPEPELTYGLPGHTDPNALTILLQDSQVSGLQVLQNGKWFAVNPHPNAFVINIGDQLQALSNGKYKSVWHRAVVNAEKARMSVASFLCPHDDAVISPATQQHGVGAIYRDFTYAEYYKKFWSRNLDQEHCLELFKN >Manes.01G166700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35008125:35013126:-1 gene:Manes.01G166700.v8.1 transcript:Manes.01G166700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKLSLCWWPSNLKANLNESSDLENGDLLPVFREFSLEQLRAATSGFSADNIVSEHGEKAPNVVYRCRLQDEDRWIAVKRFNKSAWPDPRQFLEEARAVGQLRNEKLANLIGCCCEGDERLLVAEFMPNETLSKHLFHWENQPMKWAMRLRVALYLAQALEYCSSKGRALYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNAKTLVTALTPLQKETEVPSYALMDIPHGATSPKQTMSLTPLGEACSRMDLTAIHEILEKVGYKDDEGIANELSFQMWTDQIQETLNCKKRGDAAFRTKDFATAIDCYTQFIDGGTMVSPTVFARRCLCYLISDMPQQALGDAMQAQAVSPEWATAFYLQAAALFSLGMDSDAQETLKDGSSLEVQKQRN >Manes.18G128104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24207394:24224830:-1 gene:Manes.18G128104.v8.1 transcript:Manes.18G128104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGRFSHQLQPEETKLSRIWKTCQALNFQDFILRGGFGRGQGHSGGNETITGRACPKGLYGIFCEKNSIILEVEGMASSSL >Manes.15G089728.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6887327:6891569:1 gene:Manes.15G089728.v8.1 transcript:Manes.15G089728.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQKSDEIVALEVEKEVCSEFKAHVFSSSAELLERLHEKWSSVKKQPYPAMYSSVYGGIVLDPAMMVIPIDDHMVHRGHGVFDTTIIFDGYLYELDVHLDRFLRSASKARISSPFPRSTLRSILIQMTAVSKCKKGTLRFWLSAGPGNFLLSPAGCPTSAFYAVVIDDDFSQCKEGVKVITSTIPMKPPEFATMKNVNYLPNVLSVMEAEDKGAFASIWVDDEGYIAEGPIVNVAFITQDKELILPFFDKILRGCTALRLLQLAPKLVKQGRLKSVKMANVTVQEAKRAAEMMFVGSTFPPLPIIMWDEQPIGDGKVGELTMALSDLLWEDMVAGVGMRRIPVPYEKLTS >Manes.15G089728.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6887400:6890653:1 gene:Manes.15G089728.v8.1 transcript:Manes.15G089728.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVYGGIVLDPAMMVIPIDDHMVHRGHGVFDTTIIFDGYLYELDVHLDRFLRSASKARISSPFPRSTLRSILIQMTAVSKCKKGTLRFWLSAGPGNFLLSPAGCPTSAFYAVVIDDDFSQCKEGVKVITSTIPMKPPEFATMKNVNYLPNVLSVMEAEDKGAFASIWVDDEGYIAEGPIVNVAFITQDKELILPFFDKILRGCTALRLLQLAPKLVKQGRLKSVKMANVTVQEAKRAAEMMFVGSTFPPLPIIMWDEQPIGDGKVGELTMALSDLLWEDMVAGVGMRRIPVPYEKLTS >Manes.02G206600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:18350063:18351946:-1 gene:Manes.02G206600.v8.1 transcript:Manes.02G206600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYPLINHHKLDFKLFFCYQLILQTSLCFCIFHLRRKMVAKLPEEEHPKQAFGWAARDESGVLSPFTFSRRETGEKDVCFKVLYCGMCHSDLHMVKNEWGTSTYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCMVGSCHSCHNCTNNLENYCPEMILTYGAKYYDGTTTYGGYSDTMVADEHFIVRIPDTLPLDATAPLLCAGITVYSPLKHYGLDKPGMHVGVVGLGGLGHMAVKFAKAMGVKVTVISTSPSKKQETVEHLGADSFLVSRDQDQMKAAMGTVDGIIDTVSAIHPLVPLIGLLKTNGKLVLVGAPEKPLELPAFPLLIGRKMVGGSCVGGMKETQEMIDFAAKHNIAADIEVIPIEDVNTAMERILKADVRYRFVIDIGNTISSAH >Manes.02G107200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8343047:8346523:1 gene:Manes.02G107200.v8.1 transcript:Manes.02G107200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANACSPSFSAANSSVSKPKALSDFYLRFRNINALSLRSKRVGLPVLSFSRIRSPRISASSPQVMDQSVGEASSKAPTIVEVDLGNRSYPIYIGSGLLDQPELLQRHVHGKRVLVVTNNTVAPLYLDKVVDALTRGNPNVSVDSVILPDGEKYKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGLAEVIKYGLIRDAGFFEWQEKNMPKLMARDPSAMAYAIKRSCENKAEVVSLDEKESGLRATLNLGHGCRHVIPPWLD >Manes.02G107200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8343047:8346523:1 gene:Manes.02G107200.v8.1 transcript:Manes.02G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANACSPSFSAANSSVSKPKALSDFYLRFRNINALSLRSKRVGLPVLSFSRIRSPRISASSPQVMDQSVGEASSKAPTIVEVDLGNRSYPIYIGSGLLDQPELLQRHVHGKRVLVVTNNTVAPLYLDKVVDALTRGNPNVSVDSVILPDGEKYKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGLAEVIKYGLIRDAGFFEWQEKNMPKLMARDPSAMAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGQWLHGEAVAAGTVMAVDMSYRLGWIDDSIVKRVHNILEQAKLPTAPPETMTVEMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGDYDRKALDDTLCAFCKS >Manes.05G194300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31789080:31809804:-1 gene:Manes.05G194300.v8.1 transcript:Manes.05G194300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRVLHLSNSSLRANLHGKQLKFFHAPLNPGIYFRLRYQSRSIRRITCSASATETREEEKKMKKTKSMSGNGKVRLNVRLGCEVEFGEHVVILGSAKELGLWKKKVPMNWTESGWVCNVELRGGESIEFKFVVVKKDESMLWEGGGNRTLKLPKGGSYEIVCQWNATVEPMNLLPLDLKENEVEKENVDKKGSVSGATLLEGETSPFVGQWQGKSISFMRSNEHRNRETERTWDTSDLEGLALTVVEGDRNARNWWRKLEVVRELLVENLDTGDRLEALICSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRGLEQISCRKDTSPNEILVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRGDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITKNPGEFSDAFVEQFRIFHHELKDFFNAGSLAEQLESIRESLDERGASALTLFLECKKNLDTTGDSNNNFELIKTIRSLNALRDIIVKGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNALENVGGARWLSDNMELKNVSPWNDPLGALIVGVHQLSLSGWKPDECAAIESELLAWQEKGLFEKEGSEDGKIIWALRLKATLDRARRLTEEYSETLLQIFPLKVQMLGKALGIPENSVRTYTEAEIRAGVIFQVSKLCTLFLKAVRSTLGSQGWDVLVPGAASGTLFQVESIVPGSLPSTIGPVILVVNKADGDEEVTAAGSNIVGVVLLQELPHLSHLGVRARQEKVVFVTCEDEDKVDYIQSLTGKCVRLEASSTCVNLTPDSSNNVGEFTAKDISGNGVILLADADALSSGAKAAACGRLASLAAVSHKVHSDQGVPASFNVPKGAVIPFGSMELALKQSKTMETFRTLLEQAETARLEGGELDKLCSQLQELVSSLQPPKDILDGIGRIFPGNARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFANAVSQVWASLYTRRAVLSRRAAGVSQKDASMAVLVQEMLSPDISFVLHTVSPTDREHNLVEAEIAPGLGETLASGTRGTPWRLSCGKFDGLVRTMAFANFSEEMLVSGAGPADGEVIRLVVDYSKKPLTIDPIFRRQLGQRLGAVGFFLERKFGCPQDVEGCVVGNDIYIVQTRPQP >Manes.05G194300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31789080:31809804:-1 gene:Manes.05G194300.v8.1 transcript:Manes.05G194300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRVLHLSNSSLRANLHGKQLKFFHAPLNPGIYFRLRYQSRSIRRITCSASATETREEEKKMKKTKSMSGNGKVRLNVRLGCEVEFGEHVVILGSAKELGLWKKKVPMNWTESGWVCNVELRGGESIEFKFVVVKKDESMLWEGGGNRTLKLPKGGSYEIVCQWNATVEPMNLLPLDLKENEVEKENVDKKGSVSGATLLEGETSPFVGQWQGKSISFMRSNEHRNRETERTWDTSDLEGLALTVVEGDRNARNWWRKLEVVRELLVENLDTGDRLEALICSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRGLEQISCRKDTSPNEILVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRGDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITKNPGEFSDAFVEQFRIFHHELKDFFNAGSLAEQLESIRESLDERGASALTLFLECKKNLDTTGDSNNNFELIKTIRSLNALRDIIVKGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNALENVGGARWLSDNMELKNVSPWNDPLGALIVGVHQLSLSGWKPDECAAIESELLAWQEKGLFEKEGSEDGKIIWALRLKATLDRARRLTEEYSETLLQIFPLKVQMLGKALGIPENSVRTYTEAEIRAGVIFQVSKLCTLFLKAVRSTLGSQGWDVLVPGAASGTLFQVESIVPGSLPSTIGPVILVVNKADGDEEVTAAGSNIVGVVLLQELPHLSHLGVRARQEKVVFVTCEDEDKVDYIQSLTGKCVRLEASSTCVNLTPDSSNNVGEFTAKDISGNGTPTTETSGSHKSAIQSYSNQGFSSGGVILLADADALSSGAKAAACGRLASLAAVSHKVHSDQGVPASFNVPKGAVIPFGSMELALKQSKTMETFRTLLEQAETARLEGGELDKLCSQLQELVSSLQPPKDILDGIGRIFPGNARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFANAVSQVWASLYTRRAVLSRRAAGVSQKDASMAVLVQEMLSPDISFVLHTVSPTDREHNLVEAEIAPGLGETLASGTRGTPWRLSCGKFDGLVRTMAFANFSEEMLVSGAGPADGEVIRLVVDYSKKPLTIDPIFRRQLGQRLGAVGFFLERKFGCPQDVEGCVVGNDIYIVQTRPQP >Manes.05G194300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31790969:31809828:-1 gene:Manes.05G194300.v8.1 transcript:Manes.05G194300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRVLHLSNSSLRANLHGKQLKFFHAPLNPGIYFRLRYQSRSIRRITCSASATETREEEKKMKKTKSMSGNGKVRLNVRLGCEVEFGEHVVILGSAKELGLWKKKVPMNWTESGWVCNVELRGGESIEFKFVVVKKDESMLWEGGGNRTLKLPKGGSYEIVCQWNATVEPMNLLPLDLKENEVEKENVDKKGSVSGATLLEGETSPFVGQWQGKSISFMRSNEHRNRETERTWDTSDLEGLALTVVEGDRNARNWWRKLEVVRELLVENLDTGDRLEALICSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRGLEQISCRKDTSPNEILVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRGDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITKNPGEFSDAFVEQFRIFHHELKDFFNAGSLAEQLESIRESLDERGASALTLFLECKKNLDTTGDSNNNFELIKTIRSLNALRDIIVKGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNALENVGGARWLSDNMELKNVSPWNDPLGALIVGVHQLSLSGWKPDECAAIESELLAWQEKGLFEKEGSEDGKIIWALRLKATLDRARRLTEEYSETLLQIFPLKVQMLGKALGIPENSVRTYTEAEIRAGVIFQVSKLCTLFLKAVRSTLGSQGWDVLVPGAASGTLFQVESIVPGSLPSTIGPVILVVNKADGDEEVTAAGSNIVGVVLLQELPHLSHLGVRARQEKVVFVTCEDEDKVDYIQSLTGKCVRLEASSTCVNLTPDSSNNVGEFTAKDISGNGTPTTETSGSHKSAIQSYSNQGFSSGGVILLADADALSSGAKAAACGRLASLAAVSHKVHSDQGVPASFNVPKGAVIPFGSMELALKQSKTMETFRTLLEQAETARLEGGELDKLCSQLQELVSSLQPPKDILDGIGRIFPGNARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFANAVSQVWASLYTRRAVLSRRAAGVSQKDASMAVLVQEMLSPDISFVLHTVSPTDREHNLVEAEIAPGLGETLASGTRGTPWRLSCGKFDGLVRTMAFANFSEEMLVSGAGPADGEVIRLVVDYSKKPLTIDPIFRRQLGQRLGAVGFFLERKFGCPQDVEGCVVGNDIYIVQTRPQP >Manes.15G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10708152:10715157:-1 gene:Manes.15G132800.v8.1 transcript:Manes.15G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLFLLVVYAVSADEDAFIGVNVGTALSDMPSPTQVVALLKAQNIRHVRLYDADQAMLLALANTGIRVSVSVPNEQLLGIGQSNATAANWVARNVIVHVPATNITAIAVGSEVLTTIPNAAPVLVSALKFIHSALVASNLDGQIKVSTPHSSSIILDSFPPSQAFFNRTWDPVMVSLLKFLQSTGSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNIPIVVTESGWPSEGDSNEPYATIDNANTYNSNLIRHVLNNSGTPKHPGIAVSTYIYELYNEDLRTGPVSEKKWGLFDANGVPVYVLHLTGAGTVLANDTTNQTFCVSKEGVDPKMLQAALDWACGPGKVDCSPLLQGQPCYEPDNVVAHSTYAFNAYFQEMDKSPGTCDFKGVATITTTDPSYGSCRFPGSAGTNGTLTNTTSLAPSSNSTSSDSPPLHLHNIGSFTTSAIIGAFLMSAVFL >Manes.15G132800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10708152:10715157:-1 gene:Manes.15G132800.v8.1 transcript:Manes.15G132800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTQVVALLKAQNIRHVRLYDADQAMLLALANTGIRVSVSVPNEQLLGIGQSNATAANWVARNVIVHVPATNITAIAVGSEVLTTIPNAAPVLVSALKFIHSALVASNLDGQIKVSTPHSSSIILDSFPPSQAFFNRTWDPVMVSLLKFLQSTGSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNIPIVVTESGWPSEGDSNEPYATIDNANTYNSNLIRHVLNNSGTPKHPGIAVSTYIYELYNEDLRTGPVSEKKWGLFDANGVPVYVLHLTGAGTVLANDTTNQTFCVSKEGVDPKMLQAALDWACGPGKVDCSPLLQGQPCYEPDNVVAHSTYAFNAYFQEMDKSPGTCDFKGVATITTTDPSYGSCRFPGSAGTNGTLTNTTSLAPSSNSTSSDSPPLHLHNIGSFTTSAIIGAFLMSAVFL >Manes.15G132800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10708152:10715157:-1 gene:Manes.15G132800.v8.1 transcript:Manes.15G132800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLFLLVVYAVSADEDAFIGVNVGTALSDMPSPTQVVALLKAQNIRHVRLYDADQAMLLALANTGIRVSVSVPNEQLLGIGQSNATAANWVARNVIVHVPATNITAIAVGSEVLTTIPNAAPVLVSALKFIHSALVASNLDGQIKVSTPHSSSIILDSFPPSQAFFNRTWDPVMVSLLKFLQSTGSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNIPIVVTESGWPSEGDSNEPYATIDNANTYNSNLIRHVLNNSGTPKHPGIAVSTYIYELYNEDLRTGPVSEKKWGLFDANGVPVYVLHLTGAGTVLANDTTNQTFCVSKEGVDPKMLQAALDWACGPGKVDCSPLLQGQPCYEPDNVVAHSTYAFNAYFQEMDKSPGTCDFKGVATITTTDPMLEQMAP >Manes.15G132800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10708152:10715157:-1 gene:Manes.15G132800.v8.1 transcript:Manes.15G132800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPFLFLLVVYAVSADEDAFIGVNVGTALSDMPSPTQVVALLKAQNIRHVRLYDADQAMLLALANTGIRVSVSVPNEQLLGIGQSNATAANWVARNVIVHVPATNITAIAVGSEVLTTIPNAAPVLVSALKFIHSALVASNLDGQIKVSTPHSSSIILDSFPPSQAFFNRTWDPVMVSLLKFLQSTGSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNFTNIPIVVTESGWPSEGDSNEPYATIDNANTYNSNLIRHVLNNSGTPKHPGIAVSTYIYELYNEDLRTGPVSEKKWGLFDANGVPVYVLHLTGAGTVLANDTTNQTFCVSKEGVDPKMLQAALDWACGPGKVDCSPLLQGQPCYEPDNVVAHSTYAFNAYFQEMDKSPGTCDFKGVATITTTDPSYGSCRFPGRYYSKLLNQIFLINIVPYFLIFHLNIFLSFFSLLVF >Manes.10G082400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21323324:21332196:-1 gene:Manes.10G082400.v8.1 transcript:Manes.10G082400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYMRSNVDLKDKWRNMSVMANGWSSREKSKLAVKRVHHHVPKQEENPMSLYTVAQSDEDVSDTKSTVIANSLTQTGGPKRTTVRLDNLIMEAITSLKEPGGSNKTTITAYIEEQYWPPHDFKRILSAKLKYLTSNGKLIKVKRKYRIAPTSTFSDRRRNSSMSFLEGRQRISPRVERDDFNMLTKSQIDLELAKMRTMTAQEAAAAAARAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMKTLKSRTPPNMMVRA >Manes.10G082400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21323324:21332195:-1 gene:Manes.10G082400.v8.1 transcript:Manes.10G082400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYMRSNVDLKDKWRNMSVMANGWSSREKSKLAVKRVHHHVPKQEENPMSLYTVAQSDEDVSDTKSTVIANSLTQTGGPKRTTVRLDNLIMEAITSLKEPGGSNKTTITAYIEEQYWPPHDFKRILSAKLKYLTSNGKLIKVKRKYRIAPTSTFSDRRRNSSMSFLEGRQRISPRVERDDFNMLTKSQIDLELAKMRTMTAQEAAAAAARAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMKTLKSRTPPNMMVRA >Manes.06G097900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23202858:23212535:1 gene:Manes.06G097900.v8.1 transcript:Manes.06G097900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERPSSSPIPLNEAPDSATDSNHASAPLDEEPPPPVQAPAPPINRSNRPSRACTIRAAERLQAAQQQAALDRKQKPKKEQQQQQGCDESPQQKEQCSASSKIITPLVGPPEPAQLPRWTLRSMWELASVLNFLHVFRPLLNIQVEFSAEEFETALITPNDTLSDIHIPLMKAIPPITRMALTRDTWITVLCRKLRDWWHWVADGELPIVASHGVEIEVYKTLDPGTRVAILKALCDIRVEQEDIRNYIDNSVKHGIQLSVFRKERVGGDSQGINYWYEDDPIIGHRLYREIRKFEVKKAKAKGSQVLPNATYQWETVATNFDEFQDVSEKLFTSKNRTEASLGKKLKNDMLPEIEKVHKRKERLLKKQHRQALLLDNFLSVDGLSPGRSLRDRKPVTYTFDDYDRSISEAIKITKRKPPSPEPICRREGIIKPEAATNGKWVGSSHASEHGTFSLTIPGSPGFDDIDEEHKPELLDRSNRRRQRPQRYSTKEFVEAVSDNEADFDSDDDIVGEAVYDEEYLKKRKQRKLSSSSEGDEEYRWDEENGEEEEEEEEEEDSLSISEDSDEPQKFKKLPGRTRRGTKLRSVDELQSGLRRSKRATRNRINYRQYELSESETESMKPGKSNASDEHSDASEQEYSTGSQDSNDNEDEEQDMKVDQPVEDYTETAEKQQNQPPDRSNSPGQDELEGVRKRRFLDLNELAPGSGFEDGPNTIMKDDNRDNF >Manes.17G070801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27081063:27082359:-1 gene:Manes.17G070801.v8.1 transcript:Manes.17G070801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSALIAIAGVILGWIAIEIACKPCLEKGREAIDRSLNPDYDPDDDIVSSNIRAPLNPNPNPDLQNHHPNPKDASVETSASSIPVKII >Manes.17G070801.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27081063:27082359:-1 gene:Manes.17G070801.v8.1 transcript:Manes.17G070801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITSALIAIAGVILGWIAIEIACKPCLEKGREAIDRSLNPDYDPDDDIVSSNIRAPLNPNPNPDLQNHHPNPKDASVETSASSIPVKII >Manes.01G160501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34540817:34559115:1 gene:Manes.01G160501.v8.1 transcript:Manes.01G160501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPPISAAIDSIHLSLTGLCSFTDPDSFENSRLFSGYARRLQLLFNHLLRSFSPQDLPASVRTALKGISIDLSQAAETVSLYRKRCKIFVLVNCHSLRASLQDRTTAIGAWLALIESSLPDDVSDLRKKTADLSRDMKQAHFRVTENEERVHRILEKEAQGKQTTKAVQSAIVMDLARALGIDSENNAQLAEQVRLLKCDLALSNSVLERRILVSLERILENWSNEPDIATLKLDLHNEDDAYISPLKNFLCPLTKEVMKEPVVLESSQTYERKAINHWFHRCIEDGREPTCPVTGQVLKSLEVKPNIGLAGAIEEWTNRIIEVRVKSAVEDLSREPVQVDCIERALDNIYKISEEHPSNRYRVRSSGAVVLIIKLLKNSSKSIGTNLRAKSLSALLSMTKDEESKKIMLEGGVTRLAIHGLIGSSEKEREYAVKLLLEFTSDEAYCIKIASEKGALVLLSSMAGNLEFPALSKLAEEVLKRMERLEDNIQPLAAAGRFEPLLTRLCEGSDDVKIEMASIVGRMTLTNGSKEQIARQSAKILVELLSKPEGRAPSLQALYNLSSLDDNATILVDSAVLPALTDILFENQKDPPELEELASATMANIVSNPGHWELAYADSKGNSLQSESFIFSLLGLLSVASPHCQVSVLRILCGIASSPQAAESVTAHIKFADGIKTIIPFLEHPEVELRINAFRITSLLSGRFGQQLLHELKPCNKLPLLKEKLLDDTSTDGERSDAASILANLPLSEDEVKALLGASFVRWAVLLLKNLHRGTNGRISRPAPSMIEGILGLLLHFTKNLDQQTLGMVREYHLMTIFCEQLCFPSKPRAKQLSSLGLKNLSEACKMLTCDRSEPPPRHGLCASWIFMCGSGPPKPSTCPFHSVPCDETSQLCLLKSNCIKPLVDLLTDKDTNVQIAAVETLSTLVPDTANSCKRAIDELEQQGVVDAVIVLFTEVRPGDLQEKAIWMIDRILRVEGCSHRHSLNQSLVRALVEAFKHGNANTKRHAQDALINLKQLSCISGKSTSQAHSGR >Manes.18G088801.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8168180:8181972:1 gene:Manes.18G088801.v8.1 transcript:Manes.18G088801.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAQTSVAFAAGGARTLPVQCTLAKPSTTFVSAWTFSKMGFNSLKLGYGKNNTLPSRKLSVRAARTESQGVSLGFRAPHFELPEPLTEKMWTMEDFEAYPALLVMFICNHCPFVKHLKKDIVKLSNFYMKKGLAVVAISSNSVVTHPQDGPVFMAEEAKMFNYPFPYLYDESQEVARNFGAVSTPEFFLFKKDGRRPFELVYHGQFDDSRPSNNVPVTGRDLSLAIDCVLSGQPVSSVQKPSVGCSIKWHPGSGLQ >Manes.11G165000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32930544:32932606:1 gene:Manes.11G165000.v8.1 transcript:Manes.11G165000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQDSAMESKPIVIREVWSSNLESEFQLIRDLIDGFPFISMDTEFPGLVFRPSLDPTKSYSRQRRPSDHYKILKSNVDALNLIQVGLTLSDSNGNLPDLGTANRFIWEFNFRDFDVSRDAHAPDSIDLLRRQGIDFERNRDEGVDSGHFAELMMSSGLVCNDSVSWVTFHSAYDFGYLVKILTRRCLPGGLEEFLSLLRVFFGGRVYDLKHMMRFCDSLYGGLDRVARTLDVNRAVGKCHQAGSDSLLTWHAFQRMRDVYFVKDGPEKHAGVLYGLEVF >Manes.01G168700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35110185:35113810:-1 gene:Manes.01G168700.v8.1 transcript:Manes.01G168700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIFSHAIVEELAGLGAKVHTCSRNETHLNDCLHEWQAKGFQVTGTLCDVSSRVNREDLMNKVSSLFNGKLHILINNVGTAIGKPTLKYTAEDFSYLMGTNFESAYHMCQLAYPLLKASGAGSIVFVSSVSGVLSVNIGTIYGAAKAAMNQLTKNLACEWAKDNIRTNCVAPWFIRTPLTEPDLNYGIFSNSIISRTPIGRVGEPEEVSSLVAFLCLPAASYITGQTICVDGGVTVNGFFFPGTENSTATQVPNNT >Manes.01G168700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35110185:35113810:-1 gene:Manes.01G168700.v8.1 transcript:Manes.01G168700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDSSRSGSRWSLQGMTALVTGGTKGIGHAIVEELAGLGAKVHTCSRNETHLNDCLHEWQAKGFQVTGTLCDVSSRVNREDLMNKVSSLFNGKLHILINNVGTAIGKPTLKYTAEDFSYLMGTNFESAYHMCQLAYPLLKASGAGSIVFVSSVSGVLSVNIGTIYGAAKAAMNQLTKNLACEWAKDNIRTNCVAPWFIRTPLTEPDLNYGIFSNSIISRTPIGRVGEPEEVSSLVAFLCLPAASYITGQTICVDGGVTVNGFFFPGTENSTATQVPNNT >Manes.01G168500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35099414:35105330:1 gene:Manes.01G168500.v8.1 transcript:Manes.01G168500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVESGWLYLITHFSDFQLACLGSFFIHESVFFLSGLPFIYLERAGWLSKYKIQTKNNSPAAQEKCITRLLLYHLGVNLPVMLLSYPVFKHMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRILHTKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLMTLWLWMVLRVLETIEAHCGYHFPWSLSNFIPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKNTGVDDGVKQMKY >Manes.01G168500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35099535:35105330:1 gene:Manes.01G168500.v8.1 transcript:Manes.01G168500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVESGWLYLITHFSDFQLACLGSFFIHESVFFLSGLPFIYLERAGWLSKYKIQTKNNSPAAQEKCITRLLLYHLGVNLPVMLLSYPVFKHMGMRSSLPLPSWKVVLTQIIFYFILEDFVFYWGHRILHTKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLMTLWLWMVLRVLETIEAHCGYHFPWSLSNFIPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKNTGVDDGVKQMKY >Manes.01G097400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29877522:29894260:1 gene:Manes.01G097400.v8.1 transcript:Manes.01G097400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSNSNLTGSASSWSSGPRGIGLSNTIHSEVAPCLPLPSLPVFCGASDPLLRLFDDAADSSIRGVWSLNRSEIFAQSARIADLLRETDVSYLNLRDEIRPISVEIVEPLELHEQVLQCNPVAFDFSDKKQISGSTVFESKPIGPSIHVLGQGQRDYTVTQNHQFNPIPNEVASSSRRPKVKKKGTDDVPPLVQPDPAELQDATIGGFCDMLEDLCGRAEVVSDDRGEAEWFSLPASDIRMLVNEIMSIRSKKLLHLVPVDIFVRLLRVLDHQIHRAEGLSVDECDHDSDAVSLIFCSLESIHAALGVMAHNNMPKQLYKEENIERILEFSKHQIMDVMSAYDPSYRALHKPNENVAPEGDEDEEIETEYGSASKRRRTQKSTKLKKSISNKVSGAVNTILQKLCTVLGLLKDLLLIERLSDSCILQLVKTSFTTFLVDNIQLLQLKAIGLISGIFYSYAQHRAYIIDEVVQLLWKLPFSKRAVRAYHLPDEEQRQIQMITALLIQLVHSSANLPDPLREATTGNSILEVSLDASYPTKCHEAVTETCCLFWTRVLQRFASVKNQDASEMKVMMENLVIDLLTTLNLPEYPASAPILEVLCVLLLQNAGLKSKDISARSLAIDLLGTIATRLKQDAVICSRNKFWILMELTDGDNVDHNYPKDGCCVCLGGRAEKTLFMCQGCQRLFHADCMGVREHEAPNRSWQCQICVCKKQLIVLQSYCKSQSKDNGKKNNSKACDPITKVEIVQQLLLNHLQDSVSADDVHLFVRWFYLCLWFKDDPKSQQKIMYYLTRLKSKLVVRDAGTTHLNLMRDSAKKITSALGQNSSFCRGFDKILHMLLASLRENSPVIRAKALRAVSMIVEVDPEVLCDKRVQLAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDMCTSNASFSEFTTACIEIISRISDDESSIQDLVCKTFYEFWFKEPSGLQTQYFGDGSSVPLEVAKKTEQIVEMLRKMPNHQLLVTVIKRNLALDFFPQSAKAVGINPVSLASVRKRCELMCKCLLERILQVEEMSSEEGEVRTLPYVLALHAFCVVDATLCAPASDPSQFVVTLQPYLKSQVDNRAVAQLLESIIFIIDSVLPLIRKLPQSVVEELEQDLKHMIVRHSFLTVVHACIKCLCSLSRVAGKGAAIVEYLIQLVCRSLFCLGLLIRYGNSLLRISSNKNIDVVSNLNLFKKYLRVEDFGIKVRSLQALGFVLIARPEYMLEKDIGKILEATLSSGSDVRLKMQALQNMYEYLLDAESQMGTENASNDVNHRPEEGGQSVPVAAGAGDTNICGGIVQLYWDNILGRCLDSNEQVRQTALKIVEVVLRQGLVHPITCVPYLIALETDPQELNSKLAHHLLMNMNEKYPAFFESRLGDGLQLSFIFMQSCSVSPENLNLKIQARTAGNLKGKPEGGSLIQARLGVSRIYKLIRGNRVSRNKFMSSIVRKFDNPSWTNSVIPFLMYCTEVLAMLPFTTPDEPLYLIYAINRIIQVRAGALEANMKGLILHLSQRNSGKSPHENGVIQHEPGRPVLHHMATMDLNGLIQQEPVPQPDLTPLTSLDLNGTVQEEPHLFFNSNASREPKMDKSSADSFSITVDDVEKIQVNCLWAIALQLLLKLKRHLKVVYSLNDARCLAFSPNEPPKPGEVLSRQNIPFDISETSTSAPSTYQDLVQRYQEFKSALKEDAVDYTTYTANIKRKRPTPRKTKYGRMNGDGDGDDDDDDADWKGGVRRQGGSGRRGSYGRAGRQR >Manes.01G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29877522:29894260:1 gene:Manes.01G097400.v8.1 transcript:Manes.01G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSNSNLTGSASSWSSGPRGIGLSNTIHSEVAPCLPLPSLPVFCGASDPLLRLFDDAADSSIRGVWSLNRSEIFAQSARIADLLRETDVSYLNLRDEIRPISVEIVEPLELHEQVLQCNPVAFDFSDKKQISGSTVFESKPIGPSIHVLGQGQRDYTVTQNHQFNPIPNEVASSSRRPKVKKKGTDDVPPLVQPDPAELQDATIGGFCDMLEDLCGRAEVVSDDRGEAEWFSLPASDIRMLVNEIMSIRSKKLLHLVPVDIFVRLLRVLDHQIHRAEGLSVDECDHDSDAVSLIFCSLESIHAALGVMAHNNMPKQLYKEENIERILEFSKHQIMDVMSAYDPSYRALHKPNENVAPEGDEDEEIETEYGSASKRRRTQKSTKLKKSISNKVSGAVNTILQKLCTVLGLLKDLLLIERLSDSCILQLVKTSFTTFLVDNIQLLQLKAIGLISGIFYSYAQHRAYIIDEVVQLLWKLPFSKRAVRAYHLPDEEQRQIQMITALLIQLVHSSANLPDPLREATTGNSILEVSLDASYPTKCHEAVTETCCLFWTRVLQRFASVKNQDASEMKVMMENLVIDLLTTLNLPEYPASAPILEVLCVLLLQNAGLKSKDISARSLAIDLLGTIATRLKQDAVICSRNKFWILMELTDGDNVDHNYPKDGCCVCLGGRAEKTLFMCQGCQRLFHADCMGVREHEAPNRSWQCQICVCKKQLIVLQSYCKSQSKDNGKKNNSKACDPITKVEIVQQLLLNHLQDSVSADDVHLFVRWFYLCLWFKDDPKSQQKIMYYLTRLKSKLVVRDAGTTHLNLMRDSAKKITSALGQNSSFCRGFDKILHMLLASLRENSPVIRAKALRAVSMIVEVDPEVLCDKRVQLAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDMCTSNASFSEFTTACIEIISRISDDESSIQDLVCKTFYEFWFKEPSGLQTQYFGDGSSVPLEVAKKTEQIVEMLRKMPNHQLLVTVIKRNLALDFFPQSAKAVGINPVSLASVRKRCELMCKCLLERILQVEEMSSEEGEVRTLPYVLALHAFCVVDATLCAPASDPSQFVVTLQPYLKSQVDNRAVAQLLESIIFIIDSVLPLIRKLPQSVVEELEQDLKHMIVRHSFLTVVHACIKCLCSLSRVAGKGAAIVEYLIQVFFKRLDALGTDNKQLVCRSLFCLGLLIRYGNSLLRISSNKNIDVVSNLNLFKKYLRVEDFGIKVRSLQALGFVLIARPEYMLEKDIGKILEATLSSGSDVRLKMQALQNMYEYLLDAESQMGTENASNDVNHRPEEGGQSVPVAAGAGDTNICGGIVQLYWDNILGRCLDSNEQVRQTALKIVEVVLRQGLVHPITCVPYLIALETDPQELNSKLAHHLLMNMNEKYPAFFESRLGDGLQLSFIFMQSCSVSPENLNLKIQARTAGNLKGKPEGGSLIQARLGVSRIYKLIRGNRVSRNKFMSSIVRKFDNPSWTNSVIPFLMYCTEVLAMLPFTTPDEPLYLIYAINRIIQVRAGALEANMKGLILHLSQRNSGKSPHENGVIQHEPGRPVLHHMATMDLNGLIQQEPVPQPDLTPLTSLDLNGTVQEEPHLFFNSNASREPKMDKSSADSFSITVDDVEKIQVNCLWAIALQLLLKLKRHLKVVYSLNDARCLAFSPNEPPKPGEVLSRQNIPFDISETSTSAPSTYQDLVQRYQEFKSALKEDAVDYTTYTANIKRKRPTPRKTKYGRMNGDGDGDDDDDDADWKGGVRRQGGSGRRGSYGRAGRQR >Manes.10G122900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974708:28983609:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGNGASVSDGASKTKIPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLKSVLSDMQVDVVKTGMLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974869:28983465:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974708:28983583:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGLLSNSYIFNGVKKPVIPRSRFSKLIFHRSERVRTFMAMEGNGASVSDGASKTKIPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLKSVLSDMQVDVVKTGMLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974865:28983601:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGNGASVSDGASKTKIPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLKSVLSDMQVDVVKTGMLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974708:28983583:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGNGASVSDGASKTKIPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLKSVLSDMQVDVVKTGMLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974865:28983331:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGNGASVSDGASKTKIPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLKSVLSDMQVDVVKTGMLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974868:28983601:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGLLSNSYIFNGVKKPVIPRSRFSKLIFHRSERVRTFMAMEGNGASVSDGASKTKIPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLKSVLSDMQVDVVKTGMLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974869:28983452:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGSFLSEAALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974870:28983465:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGNGASVSDGASKTKIPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLKSVLSDMQVDVVKTGMLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974864:28983071:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGSFLSEAALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974708:28983609:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGLLSNSYIFNGVKKPVIPRSRFSKLIFHRSERVRTFMAMEGNGASVSDGASKTKIPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLKSVLSDMQVDVVKTGMLPSIGIVKILHESLREFPVRALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.10G122900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28974864:28983071:-1 gene:Manes.10G122900.v8.1 transcript:Manes.10G122900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGSFLSEAALVVDPVMVSTSGDVLAGPSILSSFREELLPMADIITPNIKEASALLGGMPLETVADMRSAAKALHAIGPRNVLVKGGDLPDSLDAIDIFFDGKDCHELRSSRIKTRNTHGTGCTLASCIAAELAKGSSMLSAVKVAKHYVETVLEYSKDIFIGNGRQGPFDHLLRLKNGIHNSHRQGTFNPSNLFLYAVTDSQMNKKWGRPMVDAIEAAIEGGATIVQLREKDAETREFLEMAKACVGICRSYGVPLLINDRVDVALACDADGVHVGQSDMPARIARTLLGPEKIIGVSCKTPEQAQQAWIDGADYIGCGGVYPTNTKANNPTLGLDGLKAVCLASKLPVVAIGGINASNAGSVMETGVSNLKGVAVVSALFDRESVLAETRNLHAILMEAASQVK >Manes.13G145959.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36075563:36083406:-1 gene:Manes.13G145959.v8.1 transcript:Manes.13G145959.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKDSRTNSPKERQSRKGSLDKKTNGSNVLYDDQIEKKQIENQIERKNVENCEVAVISHPQIEINKTEKRDVSVCSHPGWGRVPKSLEAEQIAVGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.13G145959.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36075563:36083406:-1 gene:Manes.13G145959.v8.1 transcript:Manes.13G145959.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILFLQIGQGTYSNVYKARDVTNDKIVAIKKVRFDNSDPDSVKFMAREIHILRRLDHPNIIKLEGLITSQTSSSLYLVFEYIEHDLTGLASLPGIKFTEPQIKCYMQQLLSGLDHCHSHGVLHRDIKGSNLLIDDKGILKIADFGLASFFDPKSSAQLTSRVVTLWYRAPELLLGATRYGVAIDLWSTGCILGELYDGKPILPGRTEVEQLHKIFKLCGSPSEDYWRNLKLPHSSVIKPQRPYRRCVAETFKDLPTPALGLMETLLSMDPANRGTAAFALRDKFFRSKPFACDPSSLPKYPPSKEIDAKLRDEARRQGAIGLKGNGPHESSAALASNANPRIATLMQERRHPNANSRGEVFNSHKGQTVSGFLVDPSKQTQAAKEGRRDFPENQHKKVSHSGPLVQGTGWTKAGKDGNNPSTVLSTRTKRQKLEGGRTFVSTEVSHQNNHWKPHLTEPKTPLLHTTLRARPPKVKSSLELEKEELEKIPKFKARPLNKKLSLNSEPHIANPVPRNTLPNPFHLHTEERGAKKDRKFVLDLILKRVEEERARIPKANPYPYTTDYRVVPPKPEPKPCTKPEPFQLESLVRHEEEMQREVEERQRLEKEEANMRIFKAQPVIKEDPIPLPEKVRKPVTQVDQFSLHTDNRAVDRAKFHHKLKVKEQLYKRYREESEAARMIEEEKALKQLRRTMVPHARPVPSFDHPFCPKKSSRETTKAKSPNLRVLRRKERQRMMINNAVSSPASCMR >Manes.05G077100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6282104:6288640:-1 gene:Manes.05G077100.v8.1 transcript:Manes.05G077100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFPFLFSQPKRPCTISNATTSRSFSAATVVACSFAAGAAAFSGIAAAQKITNPKRSFLQNALDFLLPNHSSLPWGSLSLADTSSTVVESKTGMSFPSVVSESQRLLGIGLRRKSVLGLKNIDVYAFGVYADNDEVKKVLGQKYGKLSISELKENEGFKEDLMEGDICMTVRLQIVYSKLSIRSVRSAFEESVGSRLQKFGGPDNKELLRRFASQFKDEYKIPKGSVIELSKDRGHVLRTRIDGKEVGSIQSKLLCRSILDLYMGEDPFDRQAKEEIESKLASFLQQ >Manes.05G077100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6282104:6288641:-1 gene:Manes.05G077100.v8.1 transcript:Manes.05G077100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFPFLFSQPKRPCTISNATTSRSFSAATVVACSFAAGAAAFSGIAAAQKITNPKRSFLQNALDFLLPNHSSLPWGSLSLADTSSTVVESKTGVYADNDEVKKVLGQKYGKLSISELKENEGFKEDLMEGDICMTVRLQIVYSKLSIRSVRSAFEESVGSRLQKFGGPDNKELLRRFASQFKDEYKIPKGSVIELSKDRGHVLRTRIDGKEVGSIQSKLLCRSILDLYMGEDPFDRQAKEEIESKLASFLQQ >Manes.17G015103.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7676826:7688302:1 gene:Manes.17G015103.v8.1 transcript:Manes.17G015103.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGLDITTALLSAWKGACGSGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQQRKNSLVTLRYLDRLTVSGGGAFDGQGEIAWQQKSCGGGCKKALLVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQGFSVKAPGHSPNTDGIHIGRSEEINIINSNIITGDDCLSIGLGSRQVRITNVWCGHGHGISIGSLGKYEKEEPMSRIYVKNYRIYDTDNGVRIKTWPALHSGSVSNIHFEDIVMQNISNPIIRDQMYCLHNECNRKMPSKVKISDVIFMNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIEKLIPRGC >Manes.12G152900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36078509:36083889:1 gene:Manes.12G152900.v8.1 transcript:Manes.12G152900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEEERLVQMVHDFIEPESSPPISSKCRRRHQAKYFALQEILGKMTKAEAKVVESVLKHMRSKKAPGKTSNFKKWLVMSLKIDGFNASICQTSWVRSLGCPAGDYEYIEIITFEEENGKTKRLIVDIEFRSQFELARPTPFYKELTETLPSIFVGSEEKLNETISLLCSASKQSLREVGLYVPPWRTTTYMKSKWLKVAANNNNNNNNNNNNNNNNCVGNSQENTEANSGSHGFSMWSPPMVKPKRRVSSGLSSQFSNMSINCC >Manes.08G111600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35109750:35112828:1 gene:Manes.08G111600.v8.1 transcript:Manes.08G111600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMVMADIRTHYMGLLITKMISSKIKVADTLLSLRPFGLKLNLTPHFLDKLEEDLNGYRSAAKAHHHPKVDDFSSQPISEKLKASNFSALLLRIGNWERRSRNEGELVAKCYYAKRKLVWEFLERGLKSKIEIQWNDIIAMRANIQENQPGILELELNQPPTFHEETDPQPRKHTIWRPTSDFTGGQASIYRRHYVIFPSGSLDKHYEKLIQCDSKLYELCQKPFPSRKSPYFETKDCSFTNFSLDYHPNSRDINLGSQFNFDIPPPLVTTQQVQSYQQAPLPSFKETPSPISVMDFLHPDEHISNNVIENPRISIWGQGINNNLAPADAFAPSTASFIQVNPVVPYQDYNNPLSYNQGGDPYVVHKMLNNLADHLFTDSKVEGYDEKYYMARVESLNALVNLSQEEKPASEHISQHTFYGRETSSADDMVLDTSEQAIGFGVKQVHQQLVSCLSSHICPNNPTMHQLWSNTSYPSVNPDLGLQNVDLVNNLNQFKNWTL >Manes.08G111600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35109750:35112828:1 gene:Manes.08G111600.v8.1 transcript:Manes.08G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELAGSSRSLLWSSDDWNGNGGYQNPLHGFVNHENDQLQGDDYVYLLRCTEAANNKIKETPKENIKQIKVADTLLSLRPFGLKLNLTPHFLDKLEEDLNGYRSAAKAHHHPKVDDFSSQPISEKLKASNFSALLLRIGNWERRSRNEGELVAKCYYAKRKLVWEFLERGLKSKIEIQWNDIIAMRANIQENQPGILELELNQPPTFHEETDPQPRKHTIWRPTSDFTGGQASIYRRHYVIFPSGSLDKHYEKLIQCDSKLYELCQKPFPSRKSPYFETKDCSFTNFSLDYHPNSRDINLGSQFNFDIPPPLVTTQQVQSYQQAPLPSFKETPSPISVMDFLHPDEHISNNVIENPRISIWGQGINNNLAPADAFAPSTASFIQVNPVVPYQDYNNPLSYNQGGDPYVVHKMLNNLADHLFTDSKVEGYDEKYYMARVESLNALVNLSQEEKPASEHISQHTFYGRETSSADDMVLDTSEQAIGFGVKQVHQQLVSCLSSHICPNNPTMHQLWSNTSYPSVNPDLGLQNVDLVNNLNQFKNWTL >Manes.08G111600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35109750:35112828:1 gene:Manes.08G111600.v8.1 transcript:Manes.08G111600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELAGSSRSLLWSSDDWNGNGGYQNPLHGFVNHENDQLQGDDYVYLLRCTEAANNKIKETPKENIKQIKVADTLLSLRPFGLKLNLTPHFLDKLEEDLNGYRSAAKAHHHPKVDDFSSQPISEKLKASNFSALLLRIGNWERRSRNEGELVAKCYYAKRKLVWEFLERGLKSKIEIQWNDIIAMRANIQENQPGILELELNQPPTFHEETDPQPRKHTIWRPTSDFTGGQASIYRRHYVIFPSGSLDKHYEKLIQCDSKLYELCQKPFPSRKSPYFETKDCSFTNFSLDYHPNSRDINLGSQFNFDIPPPLVTTQQVQSYQQAPLPSFKETPSPISDEHISNNVIENPRISIWGQGINNNLAPADAFAPSTASFIQVNPVVPYQDYNNPLSYNQGGDPYVVHKMLNNLADHLFTDSKVEGYDEKYYMARVESLNALVNLSQEEKPASEHISQHTFYGRETSSADDMVLDTSEQAIGFGVKQVHQQLVSCLSSHICPNNPTMHQLWSNTSYPSVNPDLGLQNVDLVNNLNQFKNWTL >Manes.08G111600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35109750:35112828:1 gene:Manes.08G111600.v8.1 transcript:Manes.08G111600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELAGSSRSLLWSSDDWNGNGGYQNPLHGFVNHENDQLQGDDYVYLLRCTEAANNKIKETPKENIKQIKVADTLLSLRPFGLKLNLTPHFLDKLEEDLNGYRSAAKAHHHPKVDDFSSQPISEKLKASNFSALLLRIGNWERRSRNEGELVAKCYYAKRKLVWEFLERGLKSKIEIQWNDIIAMRANIQENQPGILELELNQPPTFHEETDPQPRKHTIWRPTSDFTGGQASIYRRHYVIFPSGSLDKHYEKLIQCDSKLYELCQKPFPSRKSPYFETKDCSFTNFSLDYHPNSRDINLGSQFNFDIPPPLVTTQQVQSYQQAPLPSFKETPSPISVMDFLHPDEHISNNVIENPRISIWGQGINNNLAPADAFAPSTASFIQVNPVVPYQDYNNPLSYNQGGDPYVVHKMLNNLADHLFTDSKVEGYDEKYYMARVESLNALVNLSQEEKPASEHISQHTFYGRETSSADDMVLDTSEQAIGFGVKQGCKMLTLSITLINSKIGLSDMGF >Manes.12G067302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6971304:6974463:1 gene:Manes.12G067302.v8.1 transcript:Manes.12G067302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNKKLSSSSSSLSLGSPSFLVLIVAVPLVVISMVVFLLIPQSFSWSSLPSRATRRVGICGSYPCASFPLEEEAPGNFSIFNSSESLIAKELIQSGQAQETLSLKESDEVGVNATAKVIKRYSSLAKVEASLAKARSAIREAARVRNLTSVHEDPDYVPRGPIYRNANSFHRSYLEMEKLFKIYVYEEGEPPLFHEGPCKSIYSTEGRFIHEMERGNFFRTRNPNEALVYFLPFSVVRMVQYLYVPGAHEIHAIGRAVVDYINIISDKHPFWNRSLGADHFMLSCHDWGPRTSKYDSNLFNNSIRVLCNANTSEGFNPSKDASFPEIHLRTGEATGLVGGISPSQRTILAFFAGRLHGDIRPLLLAQWKNKDQDVQVYEQLPKQMSYDYMLKKSKFCLCPSGYEVASPRVVEAIYAECVPVLISENYVPPFSDVLNWKAFSVQIEVKDIPKIKEILMGISQSQYLRMHRRVKQVQRHFLVNNGAPKRFDMFHMTIHSIWLRRLNIHIQD >Manes.12G067302.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6971304:6974463:1 gene:Manes.12G067302.v8.1 transcript:Manes.12G067302.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPNKKLSSSSSSLSLGSPSFLVLIVAVPLVVISMVVFLLIPQSFSWSSLPSRATRRVGICGSYPCASFPLEEEAPGNFSIFNSSESLIAKELIQSGQAQETLSLKESDEVGVNATAKVIKRYSSLAKVEASLAKARSAIREAARVRNLTSVHEDPDYVPRGPIYRNANSFHSYLEMEKLFKIYVYEEGEPPLFHEGPCKSIYSTEGRFIHEMERGNFFRTRNPNEALVYFLPFSVVRMVQYLYVPGAHEIHAIGRAVVDYINIISDKHPFWNRSLGADHFMLSCHDWGPRTSKYDSNLFNNSIRVLCNANTSEGFNPSKDASFPEIHLRTGEATGLVGGISPSQRTILAFFAGRLHGDIRPLLLAQWKNKDQDVQVYEQLPKQMSYDYMLKKSKFCLCPSGYEVASPRVVEAIYAECVPVLISENYVPPFSDVLNWKAFSVQIEVKDIPKIKEILMGISQSQYLRMHRRVKQVQRHFLVNNGAPKRFDMFHMTIHSIWLRRLNIHIQD >Manes.04G157800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35266955:35269102:-1 gene:Manes.04G157800.v8.1 transcript:Manes.04G157800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCIPFRYRNYNENDDTVAEKIVEVLMINSTSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLMDFIGNYHFKSKTLQDECCPEGLCKASMFALFVKEELQSWPEQSTRTRSWLTISQAVENCRHKWMEEALKQFSIWLEDQM >Manes.04G157800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35266955:35270434:-1 gene:Manes.04G157800.v8.1 transcript:Manes.04G157800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVARTGRHQQRYDDGCRLVAGCIPFRYRNYNENDDTVAEKIVEVLMINSTSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLMDFIGNYHFKSKTLQDECCPEGLCKASMFALFVKEELQSWPEQSTRTRSWLTISQAVENCRHKWMEEALKQFSIWLEDQM >Manes.08G128700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36943543:36947617:-1 gene:Manes.08G128700.v8.1 transcript:Manes.08G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKNKRISKGKKGGKKKAVDPFAKKDWYDIKAPSVFNIRNIGKTLVTRTQGTKIASEGLKHRVFEVSLADLQKDEDHAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRRKMREIMVNQASSCDLKELVAKFIPEMIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSADDIGVKLDRPADETMAEGETEVVGAA >Manes.12G057700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5591609:5595594:-1 gene:Manes.12G057700.v8.1 transcript:Manes.12G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLFLSEPNWKEDGVRGDSVVAKQWISLLNQLESLIWSLMTAGGGAEARLWLCSTISGVTSLTSRQQRDLFENLLRTRPTNHSLASQLLQMIFEKQPRKAGPIMAKRSYMLEKFFAGNPKRVMQWFSNFANGGGLDHKKGAKALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFLDLDVQRTVENFLDNVPEFSSSTEFAESLRDGDILFIDTKYFVEFFVGLMYKEDSRDVWEVISQFLMNESFSFLCNRLLITLGDRELFTVLELLHTYLSMNMEPVDFGNSSCGLEFALSRFNDCESFDQLLLLNAVINQGRQLLRLVHDEESQEEQTKIKDIVSQICTISSTANSLDPLLNECFKMKTTEAIKFLGLQSWVIHYALSDESRISESWESLFSNNGISFQKSDKYANLHHDGLSEESDYELDNVASVKRKRRKKKKSRKKKRNFDDEEFYENELLDLDTSNNQLGLQSKAGSWLLSTDGFSASWTNVDLPDHLSKFCFSTWMKWVFAK >Manes.15G154400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12806313:12807173:1 gene:Manes.15G154400.v8.1 transcript:Manes.15G154400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISQLCVDEIFDPFLSMINKCPVLNTPTDWKETPEAHVFISDLPGLKKEDVKVEVDEGRVLQISGERPSADKDGENDKWHRVERCRGKFLRRFRLPENAKIDQVKASMENGVLVVTVPKEEVKKPGKKVIEIN >Manes.S056516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1993526:1994338:1 gene:Manes.S056516.v8.1 transcript:Manes.S056516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.18G099000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9113030:9116931:-1 gene:Manes.18G099000.v8.1 transcript:Manes.18G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLFRYHPKNCRLRSPHHRGFVDFAAIKHLRDRGLDHAVQREKHLKPMLNIKNLIKSEPAKSLPLSVITQQKDSLEIPTRPIEFIRKYPSIFEEFLPGGIGVHPHIKLKQEVLDIDAEEQLVYQSESYRQDTADRLLKLLMISRINKIPLKILNDIKWDLGLPEDYLKSIVPEFPDCFRVIGNKNLSSGLDSDLELELVCWSNELAVSFIENKAAKGKMDYKKGMPFAFPMHFSKGFEMDKQLKKWVDEWQKLPYISPYENATHLGPSTDESDKWTVGVMHEIISLFVSKKVEKHTLLCLGEWLGIRSRLKRALLHHPGIFYLSGKLGTYTVVLKEAYKRGMLMEKNPLISIRSQYVHLMNIVIEDRKATDVPGGSKQEKKPVPDSKEQREKDDSQGVLEMNSDFENDDDYKFVDEEEEEEGESMSHACRNARSSGSRTNKKKILNARGPSRKTGRQRLVQKHHGMTEGKVQPKDPNRDRLTSFKSKRKLLTERRTAT >Manes.14G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5093991:5095867:-1 gene:Manes.14G061100.v8.1 transcript:Manes.14G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSDSQISVDTIKFLCSYGGQILPRPVDGKLRYVGGLSRILAADRSISFAELMVKLGEFCGYSVELKCQLPKGNFEMLISIKSDGELALLLEEYDRCCPGSKIRAVLSPPQSLKTVSPPPSAPASVDFYPVKLPSNAFDYRQSGSYSPPIGYPIGFCKDYCGLLYNPCKDKENPGLCCGDPRFKGQGNPRFRRCDPYWSIIGINAQKIS >Manes.09G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8088514:8106574:-1 gene:Manes.09G046200.v8.1 transcript:Manes.09G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPCVLFSQTFVHPQLDEYVDEVLFAEPIVITACEFLEQNASSSSQVVPLVGATSPPSFALEVFVQCEGETRFRRLCQPFLYSHSSSNVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFNIEFDDSSLTNLVSSSEGKLEDLPLALRSANRAVEESLTSLKVLSLPLSASDISLEVKQFLQLILKMLGLSNLKDSLPKVVGALVTTVCSYVTHDIHSVGINQRCYKMDGSKEFDQLNCIVREARKQLLEVLQQGSEDESAELLAECIAFESHADLATSKQLVDMLIQYWCFNKHSSNVGHHQLSKNKNIILGLSLALLLCSGRESCFHFVNGGGMEQLADAFSLSMQNSSAIRLLLLGVVEKATRYPIGCEGVLGWWPREDENIPSGISKGYSQLLNLFLQKPWHDLASLATYVLHRLRFYEVAARYECAVLSVLGGLSAIGQVTRVTSDMLNNAKSQLKRLLKLISSRGPVEDPSVVACASRSLILGQTEGLLSYKSTKNLICSSNCCFSNWDIDLHLLALLKERGFLPLSAALLSSPILRSEVGDTMSTFIDIASNIGAILLSLLMSHSGLIFLSQHPELSTTLIDALRGSGYVSKEDCVPLRYASVLLSKGFVCTPREVGIIVEMHLRVVNAIDRLIASTPHTEEFLWVLWELCGLSRSDCGRQALLVLGYFPEAVSILIEALHFVKESEPVSKNSGASPINLAIFHSAAEIFEIIVNDSTASSLGSWIGHALELHKALHSSSPGSNRKDAPTRLLEWIDAGAVYHKNGAIGLLRYSAVLASGGDAHLTSTSILVSDLTDVENVIGDASGNSEINVMDNVGKLVLEKTFDGVTLRDSSIAQLTTAVRILAFISENSTVAAALYDEGAIIVIYAILVNCSFMLERSSNNYDYLVDEGTECNSTSDLLLERNREQSLVDLLVPSLVLLINLLQKLLEAKEQHRNTKLMNALVRLHREVSPKLAACAADLSSSYPDSALGFGAVCHLIVSALTCWPVYGWTPGLFHSLLSNVQVTSVLSLGPKETCSLLCLLNDLFPEECIWLWKNGMPLLSALRTLAVGTLLGPQKERQVNWYLEPSHLEKILNQLTPQLDKIAHIIQHYAISALLVIQDMLRVFVVRIASQKVENASILLRPILSSIHNHVADLSFPSELDAYKVCRYLDFIASILEHPSAKVPLLEEGFPQILIKVLEKCLGAIDSDEKQIPDSKSSAKDGFTLISWCLPVFKCLPLLLGAQISLLYSGRCDSLSSGDLSSTDCLSILTYLFKFCQVLPVGKELLSCLTCFKELGSCNEGRSALLTSLRHVNTISEELRSESVHERNGNHNHDDFEQKKYPLLCCWKKLMKSIYSKDALSACAVEAVNELSVGSLCFCMDGKSLNSNSVNAIKRLFGLQDNMNGTEGSSENMTYIQEMTTLLSSKASDDDCLATLEMGATLCQASESAKSLMLLLEKPTGSVALDHLMCSKGVSLLQNDVMVSQKMYHISDTNAGKSDDFLYLGDLGEKFLWECPETLPDRLSQSIPSKRKLSSLDGAGKRVKGENSVAEVTGQNSFSRGLGPSATSSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERNVDAGTNSNVIAVLRVGSTGGRPPSIHVDEFMARQRERQNPMAAVVGEQPSEQLKNAAPLIDTEREKANKSKQLKTDLDDDLQGIDIVFDGEESESDDKLPFPQPDDNLQQPAPVIVEQSSPHSIVEETESDANGSGQFPSLGTQMTSNIDENTLSEFSSRMSVSRSEMPLHREPSVSSDKKFFEHPDDMKNVIPVKTSTGFDSVAAASASGFPASVYNKAPADSRISPQNFYLKNSPQNASGSRGLYEQKVPLNQPPLPPMPPPSTILPLISQTPDPVPSQSSPFVNSLIEGQQPIPTGFHVQSDYLSAFGNSAASLASSLSISDSKYSRASISSPSGSAGPHPPLPPTPPPFSSSPYNLPSLKASTSQSSVYSVGTTELPQTSISPMIDARFGNISVTGGGLTSYMPPPLMPPLVFSRPGTIPATPYGSTPIQQQGDNPSIMQNLSIPQSSIQSIHQLQPLQPPLQRPPQPPQHHWPPAQSSQQIEQGVPLQNPVQMHQLQILQQPHISPMHTHYQSQQQQQVEHGQPQVLHQQGDASNTQQQELGMSLHEYFQDPKAITSLLSNKEELCRLLEQNPKLMQMLQERLGQQ >Manes.12G002901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:496257:496982:-1 gene:Manes.12G002901.v8.1 transcript:Manes.12G002901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANDMSTSRSKVMFCKRKTTLKKKAEELSKLCGVPVCLICFEPDGTKIDTWPEDKKEVDDILVKYIYILNKDNIDLQLGFMDANNKNQDLVAKEESCEHEEEKKKMFETWNTRLDYLPEESLHDILKFLEQKAEILEERIMQMAMIRVLAAKSNQCIT >Manes.09G180700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36962472:36968099:1 gene:Manes.09G180700.v8.1 transcript:Manes.09G180700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWAVDNPAPTNYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLVAAARSVWLWKSLLAGGPPLSDGELQQVGNTSFSSSLGTLQIPVSDAIHIKQPVDTYPENSNMGNQRTPYITKQKGKTTWRNSNHTNGSRISNVPFRSPDDQHNSNSFQPVISVPKGPLNIPSPDFVPGNPNFTWNELTHIHGNHQNHYPQPLRPNVAAMQLNFTAGSLYPPNIDAHPLPLIPTRPSGTTFTSVPNTNVPDIGNLNISTSSTSSHNPPTVQRRSGEQKHDPKKKAPKSLNSNNPQNGFMPQNIASGYHERPNRYPGFPEYPLSSSSATATTAIDVASDNVKLGTPGCLKAPTYVQGLIGVILLALNTLKSEKLIPTEANIADCIRYGDPKLRNTDIKKALESAVEQQMVVKQNLGVVQLYVGKNEKLWKCVNPIGGNPNSYPKATWDEIQKYLASPTGQSAILSSQCRYEAATILKSMCLKELALGNILQILNMVIAIKKWIVHHPSGWQPLTITLAETNTDLESDNKEKEWYSSSESDSWC >Manes.09G180700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36962472:36968099:1 gene:Manes.09G180700.v8.1 transcript:Manes.09G180700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRAEAQYVTAKTSVWWDIENCQVPRECDPHAIAQNISSALVKMNYCGPVSISAYGDTNRINSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPTNYLLISGDRDFSNALHQLRMRRYNILLAQPQRASAPLVAAARSVWLWKSLLAGGPPLSDGELQQVGNTSFSSSLGTLQIPVSDAIHIKQPVDTYPENSNMGNQRTPYITKQKGKTTWRNSNHTNGSRISNVPFRSPDDQHNSNSFQPVISVPKGPLNIPSPDFVPGNPNFTWNELTHIHGNHQNHYPQPLRPNVAAMQLNFTAGSLYPPNIDAHPLPLIPTRPSGTTFTSVPNTNVPDIGNLNISTSSTSSHNPPTVQRRSGEQKHDPKKKAPKSLNSNNPQNGFMPQNIASGYHERPNRYPGFPEYPLSSSSATATTAIDVASDNVKLGTPGCLKAPTYVQGLIGVILLALNTLKSEKLIPTEANIADCIRYGDPKLRNTDIKKALESAVEQQMVVKQNLGVVQLYVGKNEKLWKCVNPIGGNPNSYPKATWDEIQKYLASPTGQSAILSSQCRYEAATILKSMCLKELALGNILQILNMVIAIKKWIVHHPSGWQPLTITLAETNTDLESDNKEKEWYSSSESDSWC >Manes.12G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24323270:24325786:-1 gene:Manes.12G098800.v8.1 transcript:Manes.12G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKTLAERLFRISKISNQSLSNCRISSPAVQTRIPQNPSKSNISPDPGDNGIFRRLFHKGAVLPSSNSPELLSMQIGESLVDKLRSFDIAKNRIKLDGLIPPAIKYPDESRPEKEGLTVEDARKLLRAAQLEVVKSRLRGMENSWTLYPEFVRICGEGCSDLEQGIRIAKTLDESGTVIVLGNVVFLKPEQVLKAIGGLIPFPAIGPNDPRRKELEHLEKQKAAIDKKADKLVRRELWGGLGYFVVQTAAFMRLTFWELSWDVMEPICFYVTSMYCMAGYAFFLRTSKEPSFEGFYQSRFNAKQKKLMQHHNFNAQRYNELRKILYTGSAYSEQTPAISSIDQETSLANTN >Manes.07G135200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33631242:33632678:-1 gene:Manes.07G135200.v8.1 transcript:Manes.07G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAASLQLQRTTATTTALPCHKHSPPTVIWRVPLIWDSSSVPDYVSCYHTRSVGPNQCCSAVVKIINAPVSTVWSIVRRFDNPQAYKHFVKSCHLINGDGDIGTLRQVHVVSGLPAESSTERLEILDDERHVLSFSVIGGNHRLNNYRSVTTLHASPGENGTVVIESYVVDIPAGNTKEDTCSFADTIVRCNLESLAQMSENMAKNTQIKISSPSPSSS >Manes.11G040441.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4157979:4164840:1 gene:Manes.11G040441.v8.1 transcript:Manes.11G040441.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRERRRNESRGTNSFTDPRRSRLSPELNRSTSIGPRQYSYQQLAKATNYFSSNSLLGEGGFGQVYMGSVDGQSLAIKKLKNHRDLQSQGKLQDEIILVSSVRHKNLVELVGYCVEGADKLLVLKYFPNKSLGSQLHESEEDLDWETRMDIAKGSARGLEYLHEHCDPPVIHLDIKSDNILLDDDFKPKVADFGLARFYSEAATHIFESAIMGTKAYIDPYAIKTGQYSVKSDVYSFGVMLLELITGRRPIEDDGFDFVEWAKFEIKSALRDEEFKDFVDSTLQMFDHGEMYRMLFCIDVCINNRPKFRPSMKKILLALEGILPLDELCNEKGDNKLPRYPTLYKDPSPMKANNGTKMWRSTDEASSSERFQVRNRSTSNKMWRSADEALMRRSTGATNRLAEGIKRLDLSDDDEEEENEEEDDDEEAVSDEEEEEEFEENEDVDNDLSHKSKMAEGGEVIACHTVRAWTEQLEKAQKGKQLTVVDFSAAWCPPSRYMSSVLAKMAKEMPNVTFLVVDVDELTSVSKEWKIEAMPTFLFFKQGKVVDKIVGANTEELQSTIAKHAVDDTPTIFTYQQIEWATRGFSKFLGEGGLGSVFKGFLDGKDVAVRKLKDLSDEEEQEELEQSIKTIGSVSHPNLVQQFGHCIEGSNIYLVLEFFPSNSLRSLLNGKKWLAWSERMEIAIDSAKALEYLHDDCNIIHGYIMTNNILIDDNFQSKVANFGLIMYYRYERTDVYADPEDNESISKESDIYAFGVVLLELVTSKNNNDIDIVNWASTLIKRVLNGESGVLIDSNLRGDYNKKEVQRMIYCAAACLFKPPEYRPPMKKIVGVLEESIPLKNIWNENDNQFLPGKGGRSLKRRSKKTAPLYRVILHDEDYHTVDYVIEVLMKCIPGMTLANADNITRDAHYKGLSEVIVCAQADAEDYCMQLKGTGLGSTIEPVSGGR >Manes.02G008300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:947126:947380:-1 gene:Manes.02G008300.v8.1 transcript:Manes.02G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQVEEGSREPEVTKGLEKKIVKIVRKILKKENLYQLTEKKVREKASNELGMNLSDEPFKSIVRRAVEDFLEKLRNRAQKAVD >Manes.14G111200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17417820:17419561:1 gene:Manes.14G111200.v8.1 transcript:Manes.14G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQPNTSLHLSLPNNQINLELVLEPSSSSSSSPYSPAEPRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELSSAVRAHGGTNSRSGSSSMSGFNLARRNQAGFEHRHGHGARFVDEVGYGRREMNYGGSRDDSWSTGYRPENDQEELNQLDLSLRL >Manes.08G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:348161:350368:1 gene:Manes.08G000900.v8.1 transcript:Manes.08G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPCWRPRVDDDASGKVDGLLWYKDLGNHLYGEFSMAVIQANNSLEDQSQLESGPLSSDNSGPRGTFIGVYDGHAGTEASRFICHNLFSNFKAFVSEHQEVSENVLKKAFSATEEDFLSLVKQQWLKRPQIASAGSCCLVGVVCNGLLYIANAGDSRVVLGRAERGSRRVAAIQLSREHNANIESERNELRSLHPDDPQIVVLKHKVWRVKGIIQVSRSIGDAYLKKAEFNREPLQSKYRLAGHFHKPILSSEPSVLVHKLQPEDQFLVFASDGLWEHLSNQEAVDIVQNFPRNGIAKRLVKAALKEAAKKREMRYSDMEKIDKGVRRHFHDDITVMVVFIDSHIINTSYPTFSIRGGIPSPS >Manes.03G013800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1220521:1225025:-1 gene:Manes.03G013800.v8.1 transcript:Manes.03G013800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVVAAQPHPPFEYELFEGDSEHLITAVASSNHSTPWIDPAKLKLRHRIGRGPFGDLWLATHHQMTEDYDEYHEVAVKMLQPVQEENVKALLDKFDNLFLKCRGIDGVCFLYGFSIITGKICIVMKFYEGSIGDKMARIKGGKLSLADVLRYGIQLAQGILELHAKGIRVLNLKPSNFLLDKNGQAILGEVGIPYLLLGIPLPSPDVSPKLGTPNYMAPEQWQPEVRGPLSLEADSWGFACSILEILTGVQPWCGRGVEEIYDSVVRKQEKPLIPEGLPPSVENVLHGCFDYDFRNRPLMTDILHVFKSSHNAIYCDGGWTGLGSVTTSDKSSGNGYSEWFLLKDHLQVGDMVRSRKPPNACKSKNMDVPEAIVVGLERDTDQDGFVLVRIHGIHDPLRVPISTLERVTFGLAAGDWVRLKEDDKKHSPVGLLHSIDRDGSVAVGFIGLETFWKGDSSELQMAESFFVGQFVRLKANVLSPRFEWPRKRLGAWATGKIWQILPNGCLIVKFPGRLTFGDECSTSLADPAEVEVVSFKTCPGMVKKYQHLEDFHWGVRPLLIAVGVFTAIKVGVLAGKKMGRSKAKKLHSSVPLNDGQRVDGQSSGNSSNQVWFPPSVANILGVSAGSAR >Manes.01G053200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20767705:20774475:-1 gene:Manes.01G053200.v8.1 transcript:Manes.01G053200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVSVKTPPEAPPLRISVPEIQPQSQSQSQPRPEPAVPRTPNKKPPSPSPSRSKPSPTRSAKKPPPDSPNPLLLSDASLDNPDLGPFLLKLARDTIASGDGPNKALDYAIRASKSFERCAADGEPSLDLVMSLHVLAAIYCSLGRFDEAVPALERAITVPDLARGMDHALAAFSGYMQLGDTYSMLGQVDKSIAAYEEGLKIQIEALGETDPRVGETCRYLAEANVQAMNFDKAEELCKKTLEIHRAHSESASIEEAADRRLMALICEAKGDYESALEHLVLASMAMIANGQENEVAAIDVSIGNIYMSLCRFDEAIFSYQKALTVFKSSKGDNHPSVASVFVRLADLYNRTGKLRESKSYCENALRIYAKPVPGITTEEIAGGLTEISAIYESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMFYMVDRYEEARNSFESAVAKLRAGGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARGILEQECGPCNQDTLGVYSNLAATYDAMGRVDDAIEILEYVLKLREEKLGIANPDFEDEKNRLAELLKEAGRARNKKTKSLENLIDKNSKRNKKESTKRWPGLGFRI >Manes.14G111600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12666984:12671798:1 gene:Manes.14G111600.v8.1 transcript:Manes.14G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKVKQYSNVLDKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLRREARLG >Manes.14G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13327379:13329284:-1 gene:Manes.14G144200.v8.1 transcript:Manes.14G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNFLWLYIEHAATSCFRSNLPKPTFTLYPLYQTTIMDSCKLLFPFLLCSLFFFVLPLASHAHLLKACQFEAIYNLGDSISDTGNLIREDPASVFGRLPYGQNFCFSATGRCSNGLLMIDFIAKSAGVPLLNAYLNECSTKTHGVNFAVAGSTALPVQFLAENRIIAPVTNSSLSVQLNWMTAHFNSTCHNSKDCIEKYKKSLFMVGEIGGNDYNYALLQGKTIDELKPMIPDVVNAIKDAVARVIGFGATRVVVPGNFPIGCMPIYLTGFHTNDTNDSNAYDELHCLKGLNNFAIYHNELLQQGIIALQEEHPHGTIVYGDYYNAYKWVLQKAALLGFDPNSVQKACCGCGGDYDFSLERFCGAPDVPVCAKPEERMSWDGIHSTQKAYFFMARWLIRDIFQKLRCIA >Manes.11G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:503530:507584:1 gene:Manes.11G004000.v8.1 transcript:Manes.11G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKRKLKHKKKSASSSFCSPINNLDDGCLMHIFSFLSPIPDRYNTALVCHRWHFLACHPRLWLRVERSVKDLSEPGVFPSIEMAVSAARPGDTILISAGGSHQASNIQINKPLCLIGGGEAPDETTLLCSRGSDSALEFLSTCKLANLTVKAELGCCLLHRSGRLTIDGCILQCESNPLDYLSCPIVTTAGGSEIFSSSVKTSCDGVSVSQTRIEGGSKAVVTSGKLALQRVRVICSRTYVYFWFDVEDN >Manes.15G180448.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18952523:18952829:1 gene:Manes.15G180448.v8.1 transcript:Manes.15G180448.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFGMHNRENVEVFCYALSPNDGIEWRQHIQSEA >Manes.15G187301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27241291:27308525:-1 gene:Manes.15G187301.v8.1 transcript:Manes.15G187301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALRGPTLQIISSFQDKLPPLHTLFTHLPLSRFRSSRKKRLILSAKFALESEMAGQEGKTVPSDVKSGNMIFVPILDDGIFRFDCSGDDRLAAYPSLSFFNSKDRDTPITRHSVPSYIPTFECALGQQIVKLELPSGTSFYGTGEVSGPLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLAVTPNGEALGVLADTTLRCEIDLRKESIIQFTASAPYPVITFGPFASPNGVLKSLSRAIGTVFMPPKWSLGYQQCRWSYDSDTRVREVARTFRKKGIPCDVIWMDIDYMDGFRCFTFDQERFPDPQSLVKDLHDIGFNAVWMLDPGIKCEEGYFVYDSGSKIDVWIQTAEGRPFIGEVWPGPCVFPDFTQSKVRSWWASLVKAFSSNGVDGIWNDMNEPAVFKTVTKTMSERNIHRGDDELGGYQEHSYYHNVYGMLMARSTFEGMKLANENKRPFVLTRAGFIGSQKYAATWTGDNLSNWEHLHMSIPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGIGAMFPFCRGHSETGTFDHEPWSFGEECEEVCRLALKRRYRLIPHLYTLFYAAHTTGTPVATPTFFADLKNPSLRTLENSFLLGSLLVYASTIADQGTNKLQHDLPKGIWLRFDFHDSHPDLPTLYLQGGSIIPLGPPHQHVGEANLSDDLTLVVALDEYGKAKGVLFEDDGDGYEFTKGGYLLTHYVAELHSSVVTIRVSETEGSWNRPKRRLHVQLLLGGGAMVDSWGMDGDIVQIMMPSEHNVSELVSTSEKQYRTRLESSKCIPDFEEVSGPKGAELSRIPIEMKTDDWIVKIVPWIGGRIISMEHLPSATQWLHSRIEINGYEEYSGTEYRSAGCSEEYKVIERDLEHAGEDECLILEGDIGGGLVIQRQIFIQKGDPEILHIVSSIVAQKVAVGSGGFSRLVCLRIHPTFTLLHPMETFVSFTSIDGSKHEIRPEYGDQFYEGNLLPNGEWMLVDKCVGVGLVNRFNVKEVFKCYIHWGTGTVNLELWSEERPVSRQSPLRISHEYEVKATS >Manes.11G070850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10216529:10217008:-1 gene:Manes.11G070850.v8.1 transcript:Manes.11G070850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDVGWQYQGVNSLMDWFLAMFVLASVDKYKLILMVCWALWTNRSIVWEYKEQSPSQVFYMTSRFLQNWTAVVASSLAIAASSDHLRSWQRPPEGWMKVNVDASTGLSLSFVGLDAIVRNSYGEFVAAKVWRYPGFFSPKNTEL >Manes.09G106000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30733944:30754138:-1 gene:Manes.09G106000.v8.1 transcript:Manes.09G106000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSNFSGHDHAKKDAGAAKKAPITVLTGVAKENIEDKYLVDRELGRGEFGVTYLCIDRDSRELLACKSISKRKLRTAVDIEDVRREVAIMKHLPNNSSIVSLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKRDPWPNISESAKSLVKQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSIEEVEDIKEMFRKMDTDNDGIVSIEELKAGYQNFNSQLAESEVQMLVESVDTNGKGTLDYGEFIAVSLHLRRMANDEHLHKAFSYFDKDGNGYIEPDELRDTLMEDGADDCTNVADDIFQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLMKDGSLNLGNE >Manes.02G222301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:38564039:38564851:1 gene:Manes.02G222301.v8.1 transcript:Manes.02G222301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.11G116800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27360869:27364295:-1 gene:Manes.11G116800.v8.1 transcript:Manes.11G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSLLLFTRNTIHLMFERSLLSVGQRAFIFLLLLQICHGKNNPCAPSSCGNILKISYPFRLQTDPKRCGDHRYSLSCEKNTTVLHLFPGKFYYVQAINYNNYTIRLVDPGVVKGDCSSMPRFPLTSASFFTDGFPYTLVKYGSTYTSTRFSREIVFMNCVQPVNSTYYVDATSCINNGAKHSYVKIEMFGGLTAGDWMDTCTIVTAALLPAERNYKNMSYVEIHRELAYGFEISWLNHRCKMCKSKQCLFDETENKIYCFEDMPDYVGEVCYLGFSHFKNVRQCSYYNASSRAPGQSYKIIRSMPAILAYIGLFVAARSLCGTPFVIVFLIYKWRRRHLSGYNTIEEFLQSQNNLAPIRYSYSDLKKMTGGFKEKLGEGGFGCVYKGKLRSGRIAAVKMLSKSKTDGQDFINEVATIGRIHHAHIVQLIGFCAEGSKYALVYDFMANGSLDKYLSSREGSMSLSWDKLYEISLGVARGIAYLHQGCDMQILHFDIKPHNILLDESFTPKISDFGLSKLYGTNDSINTLTAARGTIGYMAPELFYRNIGRVSHKADVYSFGMLLLEMAGKRKNLNTLIENSSETYFPLWVYDEASSEKVEEISDAMEESNKITKMMAVVGLWCIQMKPSDRPSMNKVIEMLEGDIESLQLPSRLALFPEETTTQDEEDSSSTSMSFDISESISLIENAS >Manes.11G116800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27360869:27364295:-1 gene:Manes.11G116800.v8.1 transcript:Manes.11G116800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSLLLFTRNTIHLMFERSLLSVGQRAFIFLLLLQICHGKNNPCAPSSCGNILKISYPFRLQTDPKRCGDHRYSLSCEKNTTVLHLFPGKFYYVQAINYNNYTIRLVDPGVVKGDCSSMPRFPLTSASFFTDGFPYTLVKYGSTYTSTRFSREIVFMNCVQPVNSTYYVDATSCINNGAKHSYVKIEMFGGLTAGDWMDTCTIVTAALLPAERNYKNMSYVEIHRELAYGFEISWLNHRCKMCKSKQCLFDETENKIYCFEDMPDYVGEVCYLGFSHFKNVRQCSYYNGSMPAILAYIGLFVAARSLCGTPFVIVFLIYKWRRRHLSGYNTIEEFLQSQNNLAPIRYSYSDLKKMTGGFKEKLGEGGFGCVYKGKLRSGRIAAVKMLSKSKTDGQDFINEVATIGRIHHAHIVQLIGFCAEGSKYALVYDFMANGSLDKYLSSREGSMSLSWDKLYEISLGVARGIAYLHQGCDMQILHFDIKPHNILLDESFTPKISDFGLSKLYGTNDSINTLTAARGTIGYMAPELFYRNIGRVSHKADVYSFGMLLLEMAGKRKNLNTLIENSSETYFPLWVYDEASSEKVEEISDAMEESNKITKMMAVVGLWCIQMKPSDRPSMNKVIEMLEGDIESLQLPSRLALFPEETTTQDEEDSSSTSMSFDISESISLIENAS >Manes.11G116800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27360869:27364377:-1 gene:Manes.11G116800.v8.1 transcript:Manes.11G116800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIILVLLPHVVISSKLVTLSDYKLIQNAAETIDIHFPVRKIPQYCICSPENSTTFRQLTTTTTQSDWWILVLLKYGSTYTSTRFSREIVFMNCVQPVNSTYYVDATSCINNGAKHSYVKIEMFGGLTAGDWMDTCTIVTAALLPAERNYKNMSYVEIHRELAYGFEISWLNHRCKMCKSKQCLFDETENKIYCFEDMPDYVGEVCYLGFSHFKNVRQCSYYNGSMPAILAYIGLFVAARSLCGTPFVIVFLIYKWRRRHLSGYNTIEEFLQSQNNLAPIRYSYSDLKKMTGGFKEKLGEGGFGCVYKGKLRSGRIAAVKMLSKSKTDGQDFINEVATIGRIHHAHIVQLIGFCAEGSKYALVYDFMANGSLDKYLSSREGSMSLSWDKLYEISLGVARGIAYLHQGCDMQILHFDIKPHNILLDESFTPKISDFGLSKLYGTNDSINTLTAARGTIGYMAPELFYRNIGRVSHKADVYSFGMLLLEMAGKRKNLNTLIENSSETYFPLWVYDEASSEKVEEISDAMEESNKITKMMAVVGLWCIQMKPSDRPSMNKVIEMLEGDIESLQLPSRLALFPEETTTQDEEDSSSTSMSFDISESISLIENAS >Manes.18G079501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7289459:7292929:1 gene:Manes.18G079501.v8.1 transcript:Manes.18G079501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKAHTQFGTCLKISLAPSAKPPTRCAQCSCCFSNLSRGILNLNSPARQIARVCEKMTEVVSLMDIDEDENQNRNQNHSLKSNKGKNVVVAGTTPDAKATPWVEKYRPQSLADVAAHRDIVDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGAQYHNMILELNASDDRGIDVVRQQIQDFASTQSFSFGTKSFVKLVLLDESDAMTKDAQFALRRVIEKYTKNTRFALICNHVNKIIPALQSRCTRFRFAPLDPIHVTERLKHVIEAEGLDVPESGLQALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPLPRDVEQISYWLLNESFAESFKRISEIKMRKGLALVDIVREVTMFVFKIKMPSDVRIHLINDMADIEYRLSFGCNDKLQLGSLIASFTRARSALVAAAGK >Manes.17G010150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4302491:4308982:1 gene:Manes.17G010150.v8.1 transcript:Manes.17G010150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRQVLVPLLFVFLALAVCSASRLLLTLDEAAAGIPVYAYATGHGAGEGGVAAGGEHGVGYGGSGGGGGGGSGGGGGYAVGGEHGAGYGSGGGEGVGYGGEHGVGYGGGGGSGNGGGYGGVVGDHGTGYNHGVGYGGGGGSGSGYGGGGYGAGGGYGGGQGGGYGGAGLEHGGGGGGGGGGGGGGGGYGGGGAHGGGYGGGEGGGHGAGAIGGYGGVGGGGGGGSGGGGGGGYGEGGAHGEGYGGGEGGGHGAGAIGGCGGVGGGGGNGGGGGGGYGAGGAHGGGEGGGHGAGAIGGYGGIGGGGGGEGYGAGGGHGGGEGGGHGGGAIGGYGGGSGGGGGGGYGAGGAHGGGYGGGQGGGGGGGYGAGGAHEGYEGEGSGHGSGGALGGYGGASGGGSGSGYGAGGGYGSGGGQGGGHDGGYGP >Manes.04G043900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6399579:6403697:-1 gene:Manes.04G043900.v8.1 transcript:Manes.04G043900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNVGAQPGVPRPPTNPQPNPFGNAFYGAGSGLIKGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKVKIVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLNGKFSPEALNWLFVKGLLGWFLQVSLLKVTLLSLGSGEAPLLDIVAYAGYTFTGMCIAVLGKILFKYSYYFLMPWTCLCMGVFLVKTMKRVLFAEVRSYDSSRHHYLLLFIALAQFPLFIWLGNITVNWLF >Manes.18G087500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8045589:8046206:-1 gene:Manes.18G087500.v8.1 transcript:Manes.18G087500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLTTNTHQLHNPTQPFKISVLYLHMASSNNYYFPYLSPPPPSHPFQPPPHVRPPPPPPPPHVRPPPPHALPPPPPLPPAPSPSNNTTVIVIVFVSVGCLFFLAFLAAALCCFLKKKKKKKEVKETDLVHVDEHFKMKEAIVEGPHGPQVVMLEIEDDVHVDKVIKKDEKLGKGLHAKSVDGSAIEVGAPSSSSSNHPQIDHKA >Manes.08G037500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3670842:3680534:1 gene:Manes.08G037500.v8.1 transcript:Manes.08G037500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATPGSLTSNSLQIGGTALQVGPMVKRKTPSELRGEQLKRTNVEIVDESPAPLSGSMNNNGLKKPDLSRNPRYIDTRMDEVYPVKKSRLRMLSVTENAKENISTEQTKSLKNISMLSNLKAKRLLSCPENSAACSIVSKEGKVQANQTIERCTQSIFRSVTELSSNGENSSGMAHVDMDKALKGLVAHEPPTSSVLNAAASEKLGNHYSIHSGNFISECFITGQKAPLDFTLKTKMRLVSSCSVNWIHRSIMCSVYNGMPQLKSQFDCSKDGSSSGQEFLSQILSSKALHSWIYPQSTLPPSVISVLSSQALDGDFLRKRQMGWEDSFRSLYYMLRKDICNIFYVCTSHFIVMFISGSGLGRTKKLCNAYISQSTRGLRSLLREHGVCFSMPLCHSKVEQATTEDLVELSEIEKQNLGQTRRPTSLSDVDNSPQSLLAFCGNKNVHALYDFLLNYRYSLTFLSGMDVPVLYSPVPFQNAALSAPEIRCVEMKRVDQTAASPKESKAKDGESLQGSSSGLCSSIEVTDAYIPSWIVCRVCALIGSEGKSFEASFITERTSVGLNVALETVHEKSDSNAIASEGLQDGSHCVGIPEATVSPSLGSGFLKGLKYSDGSYTASLSPV >Manes.08G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3670842:3680534:1 gene:Manes.08G037500.v8.1 transcript:Manes.08G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVATPGSLTSNSLQIGGTALQVGPMVKRKTPSELRGEQLKRTNVEIVDESPAPLSGSMNNTSNNGLKKPDLSRNPRYIDTRMDEVYPVKKSRLRMLSVTENAKENISTEQTKSLKNISMLSNLKAKRLLSCPENSAACSIVSKEGKVQANQTIERCTQSIFRSVTELSSNGENSSGMAHVDMDKALKGLVAHEPPTSSVLNAAASEKLGNHYSIHSGNFISECFITGQKAPLDFTLKTKMRLVSSCSVNWIHRSIMCSVYNGMPQLKSQFDCSKDGSSSGQEFLSQILSSKALHSWIYPQSTLPPSVISVLSSQALDGDFLRKRQMGWEDSFRSLYYMLRKDICNIFYVCTSHFIVMFISGSGLGRTKKLCNAYISQSTRGLRSLLREHGVCFSMPLCHSKVEQATTEDLVELSEIEKQNLGQTRRPTSLSDVDNSPQSLLAFCGNKNVHALYDFLLNYRYSLTFLSGMDVPVLYSPVPFQNAALSAPEIRCVEMKRVDQTAASPKESKAKDGESLQGSSSGLCSSIEVTDAYIPSWIVCRVCALIGSEGKSFEASFITERTSVGLNVALETVHEKSDSNAIASEGLQDGSHCVGIPEATVSPSLGSGFLKGLKYSDGSYTASLSPV >Manes.17G022250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:14119627:14127150:1 gene:Manes.17G022250.v8.1 transcript:Manes.17G022250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLFYSILFDNLKMPRGGSDKPSQDIGWNFATPTGKKGEMTCNFCGKKITGGITRLKQHLANIPGQVAGCQKVSAQVKKDMGNMLRGFEAAKRDKAKRARELEDEIMKMTEVEGSDSDEEDIELEIARRESMRQFDEDAYRRRASHYESGESSHQAPPHSGISRSATVRERGREASRFVEQTSTPASRLAATEIQIEKNRSLKQTKIKTKWLKSQKEKLLKAFDNFVIHNRLPFSAVESPWTKPLLKTAAEVGPNVSPPSAYEISEIYLKNEYKEMKKYIASFEGMWNERGVTIMCDGWSGPTRMSIINFLVYSPRGTVFHKFIDASNVKRKNGEYYFKIMKEVVEEIGPSKIVQVVTDNEAAIKSGGKKLMEKFPNLYWTACSAHCIDLILEEFGKRKNIKTVIEQGKVITQFIYNHNWVVNYMKKFTNGQDIIHPGITRFATNFIALESLLRCRTGLRNMFESEQWVGSKYGQAISGPAYEAKKIVLSLDREGRNFWEKAEQIMKIQEPLLKVLRLVDGDEKPTMGFIYEAMERAKLVIKQNSRSYIDYWKIIDTRWNFQLHHDLHAAGKKHINLILNT >Manes.01G217900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38686017:38694096:1 gene:Manes.01G217900.v8.1 transcript:Manes.01G217900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWITSLSCSSSVIQSSGEASLPLIFQWLRFIFLSPCPQRALLSSVDILFLLVLLVFLCQKLFSRFTFSGHSSSDIDKPLIGNNRVHIMTTIWFKLSLIATALLAFGYTVICILALSGSTQFPWKLVAGLFWLVQAITHAAIAILIIHEKRFQAVTHPFSLRIYWVANFIIITLFTASGIIRLVAQETNLLVDDIVSVISFPLSILLLSVAIEGSTGITVKRETEQVIDDAETKLCETLSGKSNVSAFATASHISKAFWLWMNPLLSKGYKSPLKLADVPTLSPDHRAEKMSLLFASNWPKPHEQSKHPVRTTLLRCFRKEIAFTASLAIVRLCVMYVGPILIQSFVDFTAGKRTSPYEGYYLVLTLLAAKFVEVLSLHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQVAVALVLLYNALGVSVIAALIGIIGVIVFVVFGTRRNNRFQFNVMINRDSRMKATNEMLNYMRVIKFQAWEEHFNKRILNFRESEFGWLSKFMYSVSGNIIVMWCTPLLISSVTFGVALLLGVPLDAGTVFTTTSIFKILQEPIRNFPQSMISLSQAMVSLDRLDRYMLSKELEEKSVERVEGCDGRIAVEIKDACFNWDDENDDLVLKKINLEIKKGELTSIVGTVGSGKSSLLASILGEMHKISGKVTVCGTTAYVAQTSWIQNGTIQENILFGLPMDKEKYNEVIRVCCLEKDLEMMDYGDRTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSDIFKECVRGALKGKTILLVTHQVDFLHNVDLIMVMRDGMIVQAGKYNDLMESGMDFGALVAAHETAMELVEEAGATIPGESSPNPPKSPQAPSSVGESNSENKHLDQPKSDKGTSKLIEEEERETGKVGLHVYKQYCTAAFGWWGIVAVLFLSIIWQASLMAGDYWLAYETSEERSRVFDPSLFISIYTIIAAVSVVLLTVRSFFVTIMGLQTAQIFFTGILNSILHAPMSFFDTTPSGRILSRASTDQSNVDLFIPLILGVTVAMYITLLSIIIITCQYAWPTVILLIPLCWLNIWYRGYFLATSRELTRLDSITKAPIIHHFSESISGVMTIRSYNKQESFCKENVNRVNANLRMDFHNNGSNEWLGFRLELIGSFILCLSALFLTVLPSSIIRPENVGLSLSYGLSLNGVLFWAIYMSCFVENRMVSVERIKQFTNIPSEAAWKIQDQIPPPSWPAHGNVDLKDLQVKYRPNTPLVLKGITLSFYGGEKIGIVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDICRLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQHTDEDIWKSLERCQLKDVVAAKPEKLDAPVVDNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSKTDGVIQKIIREDFAACTIISIAHRIPTVMDCDRVLVIDAGRAKEFDKPSRLLERPSLFGALVQEYANRSAGL >Manes.01G217900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38686017:38694097:1 gene:Manes.01G217900.v8.1 transcript:Manes.01G217900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWITSLSCSSSVIQSSGEASLPLIFQWLRFIFLSPCPQRALLSSVDILFLLVLLVFLCQKLFSRFTFSGHSSSDIDKPLIGNNRVHIMTTIWFKLSLIATALLAFGYTVICILALSGSTQFPWKLVAGLFWLVQAITHAAIAILIIHEKRFQAVTHPFSLRIYWVANFIIITLFTASGIIRLVAQETNLLVDDIVSVISFPLSILLLSVAIEGSTGITVKRETEQVIDDAETKLCETLSGKSNVSAFATASHISKAFWLWMNPLLSKGYKSPLKLADVPTLSPDHRAEKMSLLFASNWPKPHEQSKHPVRTTLLRCFRKEIAFTASLAIVRLCVMYVGPILIQSFVDFTAGKRTSPYEGYYLVLTLLAAKFVEVLSLHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQVAVALVLLYNALGVSVIAALIGIIGVIVFVVFGTRRNNRFQFNVMINRDSRMKATNEMLNYMRVIKFQAWEEHFNKRILNFRESEFGWLSKFMYSVSGNIIVMWCTPLLISSVTFGVALLLGVPLDAGTVFTTTSIFKILQEPIRNFPQSMISLSQAMVSLDRLDRYMLSKELEEKSVERVEGCDGRIAVEIKDACFNWDDENDDLVLKKINLEIKKGELTSIVGTVGSGKSSLLASILGEMHKISGKVTVCGTTAYVAQTSWIQNGTIQENILFGLPMDKEKYNEVIRVCCLEKDLEMMDYGDRTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSDIFKECVRGALKGKTILLVTHQVDFLHNVDLIMVMRDGMIVQAGKYNDLMESGMDFGALVAAHETAMELVEEAGATIPGESSPNPPKSPQAPSSVGESNSENKHLDQPKSDKGTSKLIEEEERETGKVGLHVYKQYCTAAFGWWGIVAVLFLSIIWQASLMAGDYWLAYETSEERSRVFDPSLFISIYTIIAAVSVVLLTVRSFFVTIMGLQTAQIFFTGILNSILHAPMSFFDTTPSGRILSRASTDQSNVDLFIPLILGVTVAMYITLLSIIIITCQYAWPTVILLIPLCWLNIWYRGYFLATSRELTRLDSITKAPIIHHFSESISGVMTIRSYNKQESFCKENVNRVNANLRMDFHNNGSNEWLGFRLELIGSFILCLSALFLTVLPSSIIRPENVGLSLSYGLSLNGVLFWAIYMSCFVENRMVSVERIKQFTNIPSEAAWKIQDQIPPPSWPAHGNVDLKDLQVKYRPNTPLVLKGITLSFYGGEKIGIVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDICRLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQHTDEDIWKSLERCQLKDVVAAKPEKLDAPVVDNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSKTDGVIQKIIREDFAACTIISIAHRIPTVMDCDRVLVIDAGV >Manes.01G217900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38686017:38694096:1 gene:Manes.01G217900.v8.1 transcript:Manes.01G217900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWITSLSCSSSVIQSSGEASLPLIFQWLRFIFLSPCPQRALLSSVDILFLLVLLVFLCQKLFSRFTFSGHSSSDIDKPLIGNNRVHIMTTIWFKLSLIATALLAFGYTVICILALSGSTQFPWKLVAGLFWLVQAITHAAIAILIIHEKRFQAVTHPFSLRIYWVANFIIITLFTASGIIRLVAQETNLLVDDIVSVISFPLSILLLSVAIEGSTGITVKRETEQVIDDAETKLCETLSGKSNVSAFATASHISKAFWLWMNPLLSKGYKSPLKLADVPTLSPDHRAEKMSLLFASNWPKPHEQSKHPVRTTLLRCFRKEIAFTASLAIVRLCVMYVGPILIQSFVDFTAGKRTSPYEGYYLVLTLLAAKFVEVLSLHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQVAVALVLLYNALGVSVIAALIGIIGVIVFVVFGTRRNNRFQFNVMINRDSRMKATNEMLNYMRVIKFQAWEEHFNKRILNFRESEFGWLSKFMYSVSGNIIVMWCTPLLISSVTFGVALLLGVPLDAGTVFTTTSIFKILQEPIRNFPQSMISLSQAMVSLDRLDRYMLSKELEEKSVERVEGCDGRIAVEIKDACFNWDDENDDLVLKKINLEIKKGELTSIVGTVGSGKSSLLASILGEMHKISGKVTVCGTTAYVAQTSWIQNGTIQENILFGLPMDKEKYNEVIRVCCLEKDLEMMDYGDRTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSDIFKECVRGALKGKTILLVTHQVDFLHNVDLIMVMRDGMIVQAGKYNDLMESGMDFGALVAAHETAMELVEEAGATIPGESSPNPPKSPQAPSSVGESNSENKHLDQPKSDKGTSKLIEEEERETGKVGLHVYKQYCTAAFGWWGIVAVLFLSIIWQASLMAGDYWLAYETSEERSRVFDPSLFISIYTIIAAVSVVLLTVRSFFVTIMGLQTAQIFFTGILNSILHAPMSFFDTTPSGRILSRASTDQSNVDLFIPLILGVTVAMYITLLSIIIITCQYAWPTVILLIPLCWLNIWYRGYFLATSRELTRLDSITKAPIIHHFSESISGVMTIRSYNKQESFCKENVNRVNANLRMDFHNNGSNEWLGFRLELIGSFILCLSALFLTVLPSSIIRPENVGLSLSYGLSLNGVLFWAIYMSCFVENRMVSVERIKQFTNIPSEAAWKIQDQIPPPSWPAHGNVDLKDLQVKYRPNTPLVLKGITLSFYGGEKIGIVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDICRLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQHTDEDIWKSLERCQLKDVVAAKPEKLDAPVVDNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSKTDGVIQKIIREDFAACTIISIAHRIPTVMDCDRVLVIDAGRAKEFDKPSRLLERPSLFGALVQEYANRSAGL >Manes.13G085501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13741779:13743968:1 gene:Manes.13G085501.v8.1 transcript:Manes.13G085501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVHYIKCLIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.13G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35767354:35768118:-1 gene:Manes.13G144000.v8.1 transcript:Manes.13G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLFSCLASLVLLTVLLCGSATAAGEYNYCSKVFGDFLPCISYVGGLSNQDPTGKCCQGIRKLNGRAKQNGEAPKKICQCIEDMTYVMNLAFVSSQVASLQDKCNLNLSFPISNAMDCSKVG >Manes.03G069664.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10621925:10629324:1 gene:Manes.03G069664.v8.1 transcript:Manes.03G069664.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSSCSFNHSITNNERKSEKMLFFFLAGFGSHSCN >Manes.15G037100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2909514:2915388:-1 gene:Manes.15G037100.v8.1 transcript:Manes.15G037100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVTDICMDKEPDCVIVYSNGVSHDLDHETVPSHHDGLESYEPINGVPEPEVQSSEGSPEAKEYEVKECTTEISVETAEIPHDEKSNEQLSSKFENDLKEEKVKSGKEKTKDNSKSQPCRKLASKAASGVVRTKHTVPQPFALATEKRASSGTRPTGSELDAPATVDNASNANNVLRPNTTKQNQPLGVSRKPLQPKNKKHPDEEDNCSVTSITAASAPTNKSRTTVASAPVFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARIKEEKEAAIKQLRKSLLFKANPMPSFYHEGPPPKTELKKLPPTRAKSPKLGRRKSCGDSANPSMEDMVKGDCGEGNCQSLDSYKEETCTPVSTNGKDQHNTLNGHAIWKLKDEHDQAEEVNMSIPPVVNGHSNSSIDVEY >Manes.15G037100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2907806:2915388:-1 gene:Manes.15G037100.v8.1 transcript:Manes.15G037100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVTDICMDKEPDCVIVYSNGVSHDLDHETVPSHHDGLESYEPINGVPEPEVQSSEGSPEAKEYEVKECTTEISVETAEIPHDEKSNEQLSSKFENDLKEEKVKSGKEKTKDNSKSQPCRKLASKAASGVVRTKHTVPQPFALATEKRASSGTRPTGSELDAPATVDNASNANNVLRPNTTKQNQPLGVSRKPLQPKNKKHPDEEDNCSVTSITAASAPTNKSRTTVASAPVFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARIKEEKEAAIKQLRKSLLFKANPMPSFYHEGPPPKTELKKLPPTRAKSPKLGRRKSCGDSANPSMEDMVKGDCGEGNCQSLDSYKEETCTPVSTNGKDQHNTLNGHAIWKLKDEHDQAEEDHL >Manes.15G037100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2909514:2912788:-1 gene:Manes.15G037100.v8.1 transcript:Manes.15G037100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVTDICMDKEPDCVIVYSNGVSHDLDHETVPSHHDGLESYEPINGVPEPEVQSSEGSPEAKEYEVKECTTEISVETAEIPHDEKSNEQLSSKFENDLKEEKVKSGKEKTKDNSKSQPCRKLASKAASGVVRTKHTVPQPFALATEKRASSGTRPTGSELDAPATVDNASNANNVLRPNTTKQNQPLGVSRKPLQPKNKKHPDEEDNCSVTSITAASAPTNKSRTTVASAPVFRCSERAEKRKEFYSKLEEKHQALEAEKTQSEARIKEEKEAAIKQLRKSLLFKANPMPSFYHEGPPPKTELKKLPPTRAKSPKLGRRKSCGDSANPSMEDMVKGDCGEGNCQSLDSYKEETCTPVSTNGKDQHNTLNGHAIWKLKDEHDQAEEVNMSIPPVVNGHSNSSIDVEY >Manes.09G048100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10197711:10199797:1 gene:Manes.09G048100.v8.1 transcript:Manes.09G048100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLALELNVKPLISRHILYYLIYDHHIITTRQHYHFHPFAMASMASQPHFVLIPLMAQGHMIPVIDMARLIAAHGVIVSLITTPHNASRFQKVIIRARESAGLSINLVQIPFPCQEVGLPIGYENLDTLPSRDLLQKFYLALARLQQPLETILEKATPPPTCIISDKCLSWTSKTAQRFNIPRIVFHGMSCFSLLSAHNVRLHNAHLSVSSDSEPFVVPGMPRKFQVTRAQLPGSFVSLPDLDDVRNKMQEAESTAYGVVVNSFQELEHGCPEAYAKAIKKKIWCIGPVSLCNKENLDKFERGNKASIDEKQCLEWLDSQKPRSVIYACLGSLCRLEPSQLIELGLGLEASKKPFIWVAKTGEKASELEAWFMKEKFEERIKGRGMLIKGWAPQVLILSHPAIGGFLTHCGWNSTIEGVCAGVPMITWPLFSEQFFNEKLIVEILRIGVRVGVEVPVRWGEEEKVGVLVKKEEVEMAVNELMDGGEEGQKRRKRASELAERASKSMEFGGSSHLNLSFLIQDVIKHQTEAKSNYQYQ >Manes.05G038000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3156587:3159966:-1 gene:Manes.05G038000.v8.1 transcript:Manes.05G038000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEHGHGAEGAHGDFRAKVWSMSGGPYCRPKHWRRNTAIAMVGVVLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGTKDYNEVK >Manes.05G038000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3156526:3159990:-1 gene:Manes.05G038000.v8.1 transcript:Manes.05G038000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEHGHGAEGAHGDFRAKVWSMSGGPYCRPKHWRRNTAIAMVGVVLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGTKDYNEVK >Manes.05G038000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3156534:3160129:-1 gene:Manes.05G038000.v8.1 transcript:Manes.05G038000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEHGHGAEGAHGDFRAKVWSMSGGPYCRPKHWRRNTAIAMVGVVLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGTKDYNEVK >Manes.05G196500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065504:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVEGPDLVIHQSKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVCKIFIKCNGIC >Manes.05G196500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065113:32074008:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVEGPDLVIHQSKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRGLESAKNNAQFGTQTSVGCAE >Manes.05G196500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32063218:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVEGPDLVIHQSKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRDHRKAPSKENKHRAMDDIKESIRELKFYKENIFKAKSKK >Manes.05G196500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32063232:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVESKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRGLESAKNNAQFGTQTSVGCAE >Manes.05G196500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065172:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVESKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRDHRKAPSKENKHRAMDDIKESIRELKFYKENIFKAKSKK >Manes.05G196500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065172:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVESKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRDHRKAPSKENKHRAMDDIKESIRELKFYKENIFKAKSKK >Manes.05G196500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065504:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVESKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKIIGRPLQRKINIEPWMTLRRAFES >Manes.05G196500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065062:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVEGPDLVIHQSKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRDHRKAPSKENKHRAMDDIKESIRELKFYKENIFKAKSKK >Manes.05G196500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065504:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVEGPDLVIHQSKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKIIGRPLQRKINIEPWMTLRRAFES >Manes.05G196500.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065122:32074008:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVEGPDLVIHQSKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVCKIFIKCNGIC >Manes.05G196500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065172:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVEGPDLVIHQSKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRDHRKAPSKENKHRAMDDIKESIRELKFYKENIFKAKSKK >Manes.05G196500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32063218:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVESKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRDHRKAPSKENKHRAMDDIKESIRELKFYKENIFKAKSKK >Manes.05G196500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32063232:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVESKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRDHRKAPSKENKHRAMDDIKESIRELKFYKENIFKAKSKK >Manes.05G196500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32065172:32074004:-1 gene:Manes.05G196500.v8.1 transcript:Manes.05G196500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSNAFSVLDLDAEDDQVDAVMSSSVSSSTQVKPVSTNKTETSRNIVNGSLSGLEIENGKQIGQNLVTSAVEYKMPLVWIDMEMTGLNIEVDRILEIACIITDGNLTKSVESKECLEKMGEWCQSHHAASGLTEKVLESSVSEEEAEKQVMEFVRRHVGTYSPLLAGNSVYVDFLFLKKYMPDLASLFSHVVVDVSSVKALCIRWYPRGLESAKNNAQFGTQTSVGCAE >Manes.06G156800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28294211:28299846:1 gene:Manes.06G156800.v8.1 transcript:Manes.06G156800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELERTQKPWATPDQTARVEINSHDLLMSDSLVIDGAEPGLSVPHPRHSNCKSDWKLGLAERAFSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNITSRMAYFGPNMMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFYKIIRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDMFRNWMEGFTSQNIPGAMPYVPLVAGSLARSLACATCYPIELARTRMQAFKASQVGKPPGVWKTLLEVLSHVRSTSNAQNNLGGYRVLWTGMGAQLARDVPFSAICWSTLEPIRRRLLSLVGEESNVASVLGANFSAGFVAGSLAGAATCPLDVAKTRRQIEKDHVRALRMTTRQVLLDVWRDGGMKALFTGVGPRVGRVGPSVGIVVSFYEVVKYVLHRQYATS >Manes.06G156800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28294211:28299846:1 gene:Manes.06G156800.v8.1 transcript:Manes.06G156800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELERTQKPWATPDQTARVEINSHDLLMSDSLVIDGAEPGLSVPHPRHSNCKSDWKLGLAERAFSAAGAAFLSAIIVNPLDVVKMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFYKIIRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDMFRNWMEGFTSQNIPGAMPYVPLVAGSLARSLACATCYPIELARTRMQAFKASQVGKPPGVWKTLLEVLSHVRSTSNAQNNLGGYRVLWTGMGAQLARDVPFSAICWSTLEPIRRRLLSLVGEESNVASVLGANFSAGFVAGSLAGAATCPLDVAKTRRQIEKDHVRALRMTTRQVLLDVWRDGGMKALFTGVGPRVGRVGPSVGIVVSFYEVVKYVLHRQYATS >Manes.06G156800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28293791:28299846:1 gene:Manes.06G156800.v8.1 transcript:Manes.06G156800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELERTQKPWATPDQTARVEINSHDLLMSDSLVIDGAEPGLSVPHPRHSNCKSDWKLGLAERAFSAAGAAFLSAIIVNPLDVVKTRLQAQAAGVPYSHPLSNITSRMAYFGPNMMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFYKIIRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDMFRNWMEGFTSQNIPGAMPYVPLVAGSLARSLACATCYPIELARTRMQAFKASQVGKPPGVWKTLLEVLSHVRSTSNAQNNLGGYRVLWTGMGAQLARDVPFSAICWSTLEPIRRRLLSLVGEESNVASVLGANFSAGFVAGSLAGAATCPLDVAKTRRQIEKDHVRALRMTTRQVLLDVWRDGGMKALFTGVGPRVGRVGPSVGIVVSFYEVVKYVLHRQYATS >Manes.06G156800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28293791:28299846:1 gene:Manes.06G156800.v8.1 transcript:Manes.06G156800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELERTQKPWATPDQTARVEINSHDLLMSDSLVIDGAEPGLSVPHPRHSNCKSDWKLGLAERAFSAAGAAFLSAIIVNPLDVVKMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFYKIIRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDMFRNWMEGFTSQNIPGAMPYVPLVAGSLARSLACATCYPIELARTRMQAFKASQVGKPPGVWKTLLEVLSHVRSTSNAQNNLGGYRVLWTGMGAQLARDVPFSAICWSTLEPIRRRLLSLVGEESNVASVLGANFSAGFVAGSLAGAATCPLDVAKTRRQIEKDHVRALRMTTRQVLLDVWRDGGMKALFTGVGPRVGRVGPSVGIVVSFYEVVKYVLHRQYATS >Manes.05G005380.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1233389:1238451:1 gene:Manes.05G005380.v8.1 transcript:Manes.05G005380.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAPPNLPVGWRFHPTDFELLDPYLKNKRLGHLAHCFYIGEFELCNFNPSDLLPESSDEECYFFCRPEHYLENGRRKTKRKARTGFWKGTGKTISVTNKDDNEEIGTRKILVYHDPNRTKWVIHEYAFTAKLNLPFKGDFVLCKLHVNKKQTGNKKSTKIQPSSKNKKANQKLKDIKLGCKKGKPSKKARMDLSDCNAASASTFENQNLMTSSAYGEGEPHNHMTSDCENQNPNKMVAIPTHEVGDFGYQKDSNFSYGNPYDMSAFSTYNKGQESLSMTQTPYGIHNVSTCNKVETSCLLASHLEYQNPNEISIISSNEKCTPVCQWASGVEDQHPYEITTVSADNKDETSSLMDFQFETQNPLKMNFKSSYDNGIPTNPGILDFGSQNPSMNSNISVSEEGERNHLIGVPSYFENQNQYENTDNSIPGNYWSTCIASYIQDTTFQDVEFQHNTQDMSIFEGHMINHSLDSLLGEYSFSENEIFTRDEQEDTGCSALQQPIHNKENPCHSGFGTSVSTST >Manes.05G005380.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1233213:1238451:1 gene:Manes.05G005380.v8.1 transcript:Manes.05G005380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPMQSAPPNLPVGWRFHPTDFELLDPYLKNKRLGHLAHCFYIGEFELCNFNPSDLLPESSDEECYFFCRPEHYLENGRRKTKRKARTGFWKGTGKTISVTNKDDNEEIGTRKILVYHDPNRTKWVIHEYAFTAKLNLPFKGDFVLCKLHVNKKQTGNKKSTKIQPSSKNKKANQKLKDIKLGCKKGKPSKKARMDLSDCNAASASTFENQNLMTSSAYGEGEPHNHMTSDCENQNPNKMVAIPTHEVGDFGYQKDSNFSYGNPYDMSAFSTYNKGQESLSMTQTPYGIHNVSTCNKVETSCLLASHLEYQNPNEISIISSNEKCTPVCQWASGVEDQHPYEITTVSADNKDETSSLMDFQFETQNPLKMNFKSSYDNGIPTNPGILDFGSQNPSMNSNISVSEEGERNHLIGVPSYFENQNQYENTDNSIPGNYWSTCIASYIQDTTFQDVEFQHNTQDMSIFEGHMINHSLDSLLGEYSFSENEIFTRDEQEDTGCSALQQPIHNKENPCHSGFGTSVSTST >Manes.05G101716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9482047:9484369:-1 gene:Manes.05G101716.v8.1 transcript:Manes.05G101716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGLRNLTSPPSSSLFKVRSLTQWSPEAQNYTQYQNPNQGNPNRLNYPTHNFAHHQRQPQQNPNQWNSHTQNYPQYPNPGQVHPNVQDYRQPTRWNYQNQGQPQARNPVQWAPQGQNPNEGRGALETRASPAPAPAPAPSTVDLMHLCQEEKVKDAIELMDKGVKADADCFYALFELCSKVEDAKKVHDYFLQSTYRGDLKFNNKVIEMYGKCASMTDARRVFDHMPDRNMDSWHLMINGYANNNLGDEGLQLFEQMRKLGLKPTEDTFLAVLSACASADAVEEGFLHFESMKNEYGINPGMQHYLGVIDILGKSGYVNEIEEYIEKLPFAPTVEIWDAFRNYARIHGDIDLEDRAEELMVALDPSKSVANKIPTPLPKKYSAISMLEGKNRIPEFRNPTLYKDDEKLKAMSKAVSYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGSCSCGDYW >Manes.04G047700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5646054:5650988:1 gene:Manes.04G047700.v8.1 transcript:Manes.04G047700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPSPGTTPVELRDCIEKLMKFTLQAHIDQSLGFDLCLSKDFCFRLLNDSYNSNSEQALPQLLYQRLAFILCEIITYASFSGTTKFMESHNLENDVSERRKWEILIWDEGTQLLNILKSVSFELDVQEPFFTQLRDGMKTVEGRCAVGDYNKIESGALILFNKCLVLQVQDVHRYASFAEMLEVEGLEKVLPGVKTIDEGVKVYRRFYTEAKERANRVLAICVGQSAAQPYILLAGILSGLSCKGIASYLDQYHYPGTSPDK >Manes.04G047700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5646054:5650988:1 gene:Manes.04G047700.v8.1 transcript:Manes.04G047700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPSPGTTPVELRDCIEKLMKFTLQAHIDQSLGFDLCLSKDFCFRLLNDSYNSNSEQALPQLLYQRLAFILCEIITYASFSGTTKFMESHNLENDVSERRKWEILIWDEGTQLLNILKSVSFELDVQEPFFTQLRDGMKTVEGRCAVGDYNKIESGALILFNKCLVLQVQDVHRYASFAEMLEVEGLEKVLPGVKTIDEGVKVYRRFYTEAKERANRVLAICVGQSAAQPYILLAGILSVRLLSDMPVKVAI >Manes.08G013100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1435509:1437132:-1 gene:Manes.08G013100.v8.1 transcript:Manes.08G013100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSGQGSSYDHSFKIVMIGDSGVGKSTLLLSFITGAVTDIPNTIGVDMKMKHIKVGGKQLKLTIWDTAGQERYRTLTSSYYRDAHGIILVYDVTQKQTFTNLANIWTKEVELYSTNKDCVKILVGNKVDKESERAVSSEEGMALAKQHGFLFLEASAKTRANVEKCFEALALKMLEELERKQQEEMKRKQQEEMKKKPPEQPKPEPPKVEIKPSDPPRPSHPAPPSGGGGGCGCG >Manes.03G126500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25258836:25261129:-1 gene:Manes.03G126500.v8.1 transcript:Manes.03G126500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCCLSEKKLGRRSLKKSMKEYHDTNTLASYANISFRSNSGRRKNETEEVRKTGSISSDVFTFRELSVATKNFSLENLLGEGGFGRVYKGKLERINKVVAIKKLDRNGFQGNREFLVEVLMLSLLHHTHLVNLVGYCADGHQRILVYDYMPNGSLEDHLFDLAPGRKPLDWETRMKVASGAAKGLEYLHETANPPVIYRDFKASNILLDENFSPKLSDFGLAKLGPTGGKTHVSTRVMGTYGYCAPEYALTGQLTTKSDVYSFGVVFLELITGKRVIDNSRPTEEQNLVVWATPIFKDRRNYKTMADPLLGGKYPIKSLNQAVAVAAMCLQEGDSSRPLISDVVTALKYLSAKKEKEGENVDDHVNAALS >Manes.11G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29675626:29681473:1 gene:Manes.11G132200.v8.1 transcript:Manes.11G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPFNYPNSPPTVKFTSEIWHPNVYSDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRERRDEFKKKVSRCVRKSQEML >Manes.11G132200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29675758:29679550:1 gene:Manes.11G132200.v8.1 transcript:Manes.11G132200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTIIGPPDTLYEGGFFNAIMSFPFNYPNSPPTVKFTSEIWHPNVYSDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAVSDNWFSCLCLVFWKLTFQWNS >Manes.13G051100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5852603:5854214:1 gene:Manes.13G051100.v8.1 transcript:Manes.13G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDEENFNVELESGAKFDYTKLGLEEQPETLAIQNAVTVLLKGLGEDINREGLKKTPFRVAKALLYGTKGMVAAAASILSSLGEDPLRKALVGTPSRFVKWLMNFQNTKLEMKLNGFCCGRTDTLKANGDISGRNEEQIHSELNLSFWSQCEHHLLPFYGVVHIGYFQVQERLTRQIAETVSSILGGDVMVVVEAEHTCMISRGVEKFGSNTATIAELGRF >Manes.06G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1513196:1518248:-1 gene:Manes.06G009700.v8.1 transcript:Manes.06G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKSSRKMRGFMCQSTAATAVCKVGDPRSVIIPRRPEKSLKQLLDNSSSSSTTSRLLMKKNRDNGVKYSRLVDSPMKLIPPAATNRNRSLLISSIKKLDINDNNNSQENRPKQPSLASSDQVFQKVVMRVSLHCQGCAGKVKKHLSKMEGVTSFSIDLETKRVTVMGHVSPVGVLESISKVKPAEFWPCS >Manes.09G184100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37163782:37171205:1 gene:Manes.09G184100.v8.1 transcript:Manes.09G184100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPKLLLSHLRRSRAVSSLNSLSRAYVSHFLINPTPLLSQAPIPSHNPRGPHYANAFTSFHLFNNRSFSTRSDDDLEFFADSVTMSGVESKPPEFAVNEVAEAVVKGSTGGEESILPVRALISLLDGFHDLTGLPWWIVIITATVSMRVLLFPLLVLQLNKLKRISELLPKLPPPFPPLLSGKSYIDQISLFRKEKRALGCPSFLWFIAYVSVQVPCFLLWMTSIRRMSLDHHPGFDCGGTLWFWNLTELPHGVLSPIFPLLIATLHYINVQLSFEKFSVQKTGGLLGLLAKYYKKYLDFLSLPLGFISFCIPQGSLVYWVTNSSLSVIQQLSLKHPTVRAKLGLPVKESQAEAEAEESTELGMLERTSSNSPSKQGKISVENLSPKKLLALSVQLVSDGHRERAIPLLQLAIQKDPNYIEPLLVLGQTMLQKEMYAEAQEYLEHAISKIFVDGHPTEVKYADFVILASQWAGAACIRQGKNAEGMVHLERVASLEEPEDPNSKVHYYNSLVFLASALVNEGRKAEALKYLRLAVAYNPAYKELLEQCENEDEEFGSDLVNSRRRDY >Manes.04G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6904922:6908769:1 gene:Manes.04G041600.v8.1 transcript:Manes.04G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCISAPGKGGRIISRRKVHYDHRPKPMPETPMAAAPSPRPTRNGVVNVLKNPTGDNIRERYHLGKELGRGEFGVTYKCFDKETGVTYACKTISKAKLRTEIDLEDVRREVEIMRRLPKHPNIVSFKEAYEDKESVYLVMELCEGGELFDRIVTKGHYTERAAAMVTKTILEIVKICHDHGVIHRDLKPENFLFADSEESSQLKAIDFGLSIFFQPGQRFSEIVGSPYYMAPEVLKRNYGPEIDVWSAGVILYILLCGVPPFWAETEEGIAHAIVGGRIDFTREPWPRVSEQAKELVKRMLDQNPYNRLTIQEVLEHPWIHNARDMPNVNLGDNVRAKIKQFSLMNKFKKRVLRVVADNLPDEQIDGIKQMFYMMDTDNTGDLSFDELKAGLHNIGHVLPDPDVRMLMDAADIDGNGTLSIEEFVAMSIHLIKIGNDEHLSQAFRFFDKDQNGYIEFDELKDAMVNDNLGPNNEQIIKDIISDVDLDKDGKISYDEFKAMMKSGMDWKMASRQYSRAMLNAVSMKLLKDKSMQLTT >Manes.11G002200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:320341:327330:-1 gene:Manes.11G002200.v8.1 transcript:Manes.11G002200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHHHHHHLHAPLRPSSSSSSNQSFSSKLILLLTLLPLSLAALAFVLQWKGDRVLADPATITARWAPQGSHNHEIFPGMESSASILSPKPHHSSDCFSLARSGSPSFPYLRDWKFDFEANLRPKICITTSTSAGLDQILPWMFYHKVIGVTTFFLFVEGKAASPSVSKVLESIPGVKVIFRTRELEEQQARSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGSSEYSLRQLLLDVPGNVDMVIFPNYESGVERDDIKDPFSEVSLFKKNYDHLPKDSYFGMYKESTRGNPNYFLTYGNGKSVARIQDHLRPNGAHRWHNYMKTPNEVKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTTTDEEMLNWYHEHVVWGDKDLKLKLLRKGILTRIYAPMVIIQALRESGVFSSIIASAPTTISKDKFLASIDKSNSSRAIASESLPSRKMGRNREHQTAARKVLDLEATEFHEAAVPPLSPPGVNDDILVEM >Manes.11G002200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:320341:327330:-1 gene:Manes.11G002200.v8.1 transcript:Manes.11G002200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHHHHHHLHAPLRPSSSSSSNQSFSSKLILLLTLLPLSLAALAFVLQWKGDRVLADPATITARWAPQGSHNHEIFPGMESSASILSPKPHHSSDCFSLARSGSPSFPYLRDWKFDFEANLRPKICITTSTSAGLDQILPWMFYHKVIGVTTFFLFVEGKAASPSVSKVLESIPGVKVIFRTRELEEQQARSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGSSEYSLRQLLLDVPGNVDMVIFPNYESGVERDDIKDPFSEVSLFKKNYDHLPKDSYFGMYKESTRGNPNYFLTYGNGKSVARIQDHLRPNGAHRWHNYMKTPNEVKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAVSAVPSLGFHFSCCCLSITSGKCNLFFEEPMLENRQFLAGIYGMILVQWNIYSFFPFLIPYLFSKTRKEH >Manes.11G002200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:320341:327330:-1 gene:Manes.11G002200.v8.1 transcript:Manes.11G002200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHHHHHHLHAPLRPSSSSSSNQSFSSKLILLLTLLPLSLAALAFVLQWKGDRVLADPATITARWAPQGSHNHEIFPGMESSASILSPKPHHSSDCFSLARSGSPSFPYLRDWKFDFEANLRPKICITTSTSAGLDQILPWMFYHKVIGVTTFFLFVEGKAASPSVSKVLESIPGVKVIFRTRELEEQQARSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGSSEYSLRQLLLDVPGNVDMVIFPNYESGVERDDIKDPFSEVSLFKKNYDHLPKDSYFGMYKESTRGNPNYFLTYGNGKSVARIQDHLRPNGAHRWHNYMKTPNEVKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTTTDEEMLNWS >Manes.12G158300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36589052:36593928:1 gene:Manes.12G158300.v8.1 transcript:Manes.12G158300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFQYRTFFSSLPLQTHRLFSSASSLPTLQPSNDAQLLSQILLHHHNPFHAMESSLQLHGFSLTPHLLHQTLLRLCHHSKIALSLFQYALSIPSSSSIVTSVTYNIMIDILAKVHQFDVSWQLIVQMEQTNVQPTSHTFLILIRRLIAAGLTRQAIRAFDDMESFVAETVDETHFCFLLDTLCKYGYVKVAVEIFNKRKSTFCPSVRMYTVLICGWCKIGRIDMAERFLREMDERGIGPNVVTYNVLLDGICRRARLHPEDRFERTIMSAEKVFDEMRQRGIEPDVTSFSILLHVYSRAHKPQLTLDKLKLMQEKGICLTVATYTSVVKCLCSCGWVEDAEELLDEMVRNGISPNAATYNCFFKEYRGRKDAESALKLYRKMREDNLCDLSTHTYNILLGMFMKMNRMNIVNEIWNDLCASGSGPDLDSYTMLIHGLCEKQKWKEACQFFVEMIERGLLPQKVTFETLYRGLIQSDMLRTWRRLKKKLDEESIAFGSEFQNYHLKPYRR >Manes.12G158300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36589051:36594492:1 gene:Manes.12G158300.v8.1 transcript:Manes.12G158300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFQYRTFFSSLPLQTHRLFSSASSLPTLQPSNDAQLLSQILLHHHNPFHAMESSLQLHGFSLTPHLLHQTLLRLCHHSKIALSLFQYALSIPSSSSIVTSVTYNIMIDILAKVHQFDVSWQLIVQMEQTNVQPTSHTFLILIRRLIAAGLTRQAIRAFDDMESFVAETVDETHFCFLLDTLCKYGYVKVAVEIFNKRKSTFCPSVRMYTVLICGWCKIGRIDMAERFLREMDERGIGPNVVTYNVLLDGICRRARLHPEDRFERTIMSAEKVFDEMRQRGIEPDVTSFSILLHVYSRAHKPQLTLDKLKLMQEKGICLTVATYTSVVKCLCSCGWVEDAEELLDEMVRNGISPNAATYNCFFKEYRGRKDAESALKLYRKMREDNLCDLSTHTYNILLGMFMKMNRMNIVNEIWNDLCASGSGPDLDSYTMLIHGLCEKQKWKEACQFFVEMIERGLLPQKVTFETLYRGLIQSDMLRTWRRLKKKLDEESIAFGSEFQNYHLKPYRR >Manes.12G158300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36589033:36594492:1 gene:Manes.12G158300.v8.1 transcript:Manes.12G158300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFQYRTFFSSLPLQTHRLFSSASSLPTLQPSNDAQLLSQILLHHHNPFHAMESSLQLHGFSLTPHLLHQTLLRLCHHSKIALSLFQYALSIPSSSSIVTSVTYNIMIDILAKVHQFDVSWQLIVQMEQTNVQPTSHTFLILIRRLIAAGLTRQAIRAFDDMESFVAETVDETHFCFLLDTLCKYGYVKVAVEIFNKRKSTFCPSVRMYTVLICGWCKIGRIDMAERFLREMDERGIGPNVVTYNVLLDGICRRARLHPEDRFERTIMSAEKVFDEMRQRGIEPDVTSFSILLHVYSRAHKPQLTLDKLKLMQEKGICLTVATYTSVVKCLCSCGWVEDAEELLDEMVRNGISPNAATYNCFFKEYRGRKDAESALKLYRKMREDNLCDLSTHTYNILLGMFMKMNRMNIVNEIWNDLCASGSGPDLDSYTMLIHGLCEKQKWKEACQFFVEMIERGLLPQKVTFETLYRGLIQSDMLRTWRRLKKKLDEESIAFGSEFQNYHLKPYRR >Manes.08G079300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22772240:22776343:-1 gene:Manes.08G079300.v8.1 transcript:Manes.08G079300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSGSLNTPRSLKTCSLENPMDGNFISKVRVIVRVRPFLPHEITAKNGNPSSCISVVDQDCDPREEVTVRLKDPDSSRNEFYQLDSFFGQEDNSVIRIFEREVNPLIPGIFQGYNATVFAYGATGSGKTYTMQGTDQLPGLMVLAMSKILSIYQSTSSTVELSYYEVYMDRCYDLLELKAKEIAILDDKDGQTHLKGLSRIPVNSMSEFQEIFSRGTQRRKIAHTGLNDVSSRSHGVLVIGVSTPCNDGSGAVLAGKLNLIDLAGNEDNRRTGNEGIRLQESAKINQSLFTLSNVIHALNNSKPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESVHTVSLAARSRHVSNFVSSTKKLETPKGKVDMEAKLRAWLESKGKTKSAQRNGAYASPFTLSSVKQPINKSTVKAKVNSNRGTSTQESTKAVPVRNLFNTEGFNDSSLETPQFVAEDNREETSADSAETVLEPTTQTAVESLHKLEMNTLVDSNKSMGASPISGNKNALGNPSRKVLSPINANIEQLPHEEVASAERKCPVFFEPKTPITPFTVTRANDKFTTGETPLDKFSAMSATLKSSLMQEYIDFLNKASREELLEIKGIGLKMAEYIVDLREASPLKSLSDLEKLGLSSKQVHNMFSKAARGIFDRHEVPTPSWPETLTS >Manes.08G079300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22772240:22776343:-1 gene:Manes.08G079300.v8.1 transcript:Manes.08G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSGSLNTPRSLKTCSLENPMDGNFISKVRVIVRVRPFLPHEITAKNGNPSSCISVVDQDCDPREEVTVRLKDPDSSRNEFYQLDSFFGQEDNSVIRIFEREVNPLIPGIFQGYNATVFAYGATGSGKTYTMQGTDQLPGLMVLAMSKILSIYQSTSSTVELSYYEVYMDRCYDLLELKAKEIAILDDKDGQTHLKGLSRIPVNSMSEFQEIFSRGTQRRKIAHTGLNDVSSRSHGVLVIGVSTPCNDGSGAVLAGKLNLIDLAGHFYTYLKLTMGNEDNRRTGNEGIRLQESAKINQSLFTLSNVIHALNNSKPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESVHTVSLAARSRHVSNFVSSTKKLETPKGKVDMEAKLRAWLESKGKTKSAQRNGAYASPFTLSSVKQPINKSTVKAKVNSNRGTSTQESTKAVPVRNLFNTEGFNDSSLETPQFVAEDNREETSADSAETVLEPTTQTAVESLHKLEMNTLVDSNKSMGASPISGNKNALGNPSRKVLSPINANIEQLPHEEVASAERKCPVFFEPKTPITPFTVTRANDKFTTGETPLDKFSAMSATLKSSLMQEYIDFLNKASREELLEIKGIGLKMAEYIVDLREASPLKSLSDLEKLGLSSKQVHNMFSKAARGIFDRHEVPTPSWPETLTS >Manes.06G058300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18608476:18614478:-1 gene:Manes.06G058300.v8.1 transcript:Manes.06G058300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLLCFNRSNFLIKPYSPIQFQLVGAPLFTLRPSYLHFRGGLTLNRVRCAMKSYQLSELTRTEVESLKARPRIDFSSIFNLVNPIVDDVRSRGDAAVKDYTERFDKVKLEKLVDNVAELPDPELDAAVREAFDVAYDNIYAFHLAQKGAEKSVENMKGVRCKRVARSISSVGLYVPGGTAVLPSTALMLSIPAQIAGCKTIVLATPPSQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADEYASPVHIASDLLSQAEHGPDSQVVLVVVGDGVDLKSIEEEISKQCQSLPRGEYASKALSHSFTVFARDMVEAISFSNLYAPEHLIVNVEDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLEAHKRAVTLRLQYIEARSKFRM >Manes.06G058300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18608475:18614478:-1 gene:Manes.06G058300.v8.1 transcript:Manes.06G058300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYQLSELTRTEVESLKARPRIDFSSIFNLVNPIVDDVRSRGDAAVKDYTERFDKVKLEKLVDNVAELPDPELDAAVREAFDVAYDNIYAFHLAQKGAEKSVENMKGVRCKRVARSISSVGLYVPGGTAVLPSTALMLSIPAQIAGCKTIVLATPPSQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADEYASPVHIASDLLSQAEHGPDSQVVLVVVGDGVDLKSIEEEISKQCQSLPRGEYASKALSHSFTVFARDMVEAISFSNLYAPEHLIVNVEDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLEAHKRAVTLRLQYIEARSKFRM >Manes.06G058300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18608476:18614478:-1 gene:Manes.06G058300.v8.1 transcript:Manes.06G058300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYQLSELTRTEVESLKARPRIDFSSIFNLVNPIVDDVRSRGDAAVKDYTERFDKVKLEKLVDNVAELPDPELDAAVREAFDVAYDNIYAFHLAQKGAEKSVENMKGVRCKRVARSISSVGLYVPGGTAVLPSTALMLSIPAQIAGCKTIVLATPPSQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADEYASPVHIASDLLSQAEHGPDSQVVLVVVGDGVDLKSIEEEISKQCQSLPRGEYASKALSHSFTVFARDMVEAISFSNLYAPEHLIVNVEDAEKWESFIENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYMTVQSLTEEGLRNLGPYVATMAEVEGLEAHKRAVTLRLQYIEARSKFRM >Manes.09G178800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36850617:36854865:-1 gene:Manes.09G178800.v8.1 transcript:Manes.09G178800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMAIEFLCRVADVLSDYLEGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKMLSVVTGNSSNVSDTLPGATASCVPWRTTDIKYANNEVYVDLVEEMDAIINRDGALVKCEIYGEVKVNSHITGVPELTLSFTNPSILNDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRVKKLKSIPIYVKPQLTSDAGTCRINLMVGIKNDPGKTIDSITVQFQLPPSILSADLTSNHGVVNILSNKMCTWSIDRIPKDRTPSLSGTMVLETGVERLHVFPIFQLGFRIQGVALSGLQIDKLELKVVPNRLYKGFRASTRGGLYEVRS >Manes.09G178800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36850617:36854865:-1 gene:Manes.09G178800.v8.1 transcript:Manes.09G178800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEIMLEKQLTGHRVDRSICDWFWNQAISQGDSFKQQPVIASPTHYLFQILREGITFLACTQVEMPPLMAIEFLCRVADVLSDYLEGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKMLSVVTGNSSNVSDTLPGATASCVPWRTTDIKYANNEVYVDLVEEMDAIINRDGALVKCEIYGEVKVNSHITGVPELTLSFTNPSILNDVRFHPCVRFRPWESHQILSFVPPDGQFKLMSYRVKKLKSIPIYVKPQLTSDAGTCRINLMVGIKNDPGKTIDSITVQFQLPPSILSADLTSNHGVVNILSNKMCTWSIDRIPKDRTPSLSGTMVLETGVERLHVFPIFQLGFRIQGVALSGLQIDKLELKVVPNRLYKGFRASTRGGLYEVRS >Manes.09G178800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36850616:36854865:-1 gene:Manes.09G178800.v8.1 transcript:Manes.09G178800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCIFLLSDSGEIMLEKQLTGHRVDRSICDWFWNQAISQGDSFKQQPVIASPTHYLFQILREGITFLACTQVEMPPLMAIEFLCRVADVLSDYLEGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKMLSVVTGNSSNVSDTLPGATASCVPWRTTDIKYANNEVYVDLVEEMDAIINRVKKLKSIPIYVKPQLTSDAGTCRINLMVGIKNDPGKTIDSITVQFQLPPSILSADLTSNHGVVNILSNKMCTWSIDRIPKDRTPSLSGTMVLETGVERLHVFPIFQLGFRIQGVALSGLQIDKLELKVVPNRLYKGFRASTRGGLYEVRS >Manes.06G075100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21077612:21079040:1 gene:Manes.06G075100.v8.1 transcript:Manes.06G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVYGNMLHAVPTTVVTPQSSKNIKKMNPTLISQWNPSPRVPKIVQSRQPRGIFNALPLVHEAKEGGEANAPLTPQGKYKEIMSRFPRRDDWVLQPLYQYQGFWYFRDYLIGLLAAQENFKPQPSDIVLCTYPKTGTTWLKALAYAIVTRSRFSDSENPLLVKAPHDCVPFFEIDAARNTSNRDPQVPLVATHIPYTSLPTSISESGCKLVYLCRDPKDVLISMWHFLRGKLPEGIDKDTYINLNNSFEIFCEGIASNGPYWDHVLGYWKVSVESPEKVLFLVYEDLKKDTVSIVRKLAEFMGYPFTPEEETRGVVQEIVELCSFDSLKNLKANTSGVYSPDSPYAVRNTEFFRKGTTGDWKNYFNEEMAARLDQIIEEKLNGSGFSFLSR >Manes.12G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4753217:4763307:-1 gene:Manes.12G050900.v8.1 transcript:Manes.12G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQNYEFQEWWNKQRQFLDQSDNGLLTVEIRSPTTPDTTVDKGHTRSARQLSWLWLLKFQQLASSLAWFTNTLFYFLRTANRRIASSDSLSDSSSSRLYKVIRVFLILVVLLLCFELVAYFKGWHFSPPSVESAEAMVERVYATWLEIRANYLAPPLQSLTNVCVVLFLIQSVDRAFLLLGCFWIKVRKLKPVAAVEYGNVDGESVEEYPMVLVQIPMCNEREVYQQSIAAVCIQDWPKGRMLIQVLDDSDELDVQLLIKAEVHKWQQRGVHILYRHRLIRTGYKAGNLKSAMNCDYIKDYEFVAIFDADFQPGSDFLKKTVPYFKGNDDLALVQTRWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRTKALEDCGGWMERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFLDILRSKVSLGKKANLIFLFFLLRKLILPFYSFTLFCIILPLSMFLPEAQLPAWVVCYVPGIMSILNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRFGSSYEWIVTKKLGRSSEADLVAFVEKESDPLVQTGSILRSSSDSGLNELNKLEHKKSVKKRKNRLYRKELALAFILLTAAVRSLLSAQGIHFYFLLFQGISFLVVGLDLIGEQVS >Manes.04G123800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32479342:32479971:-1 gene:Manes.04G123800.v8.1 transcript:Manes.04G123800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMPTFALLLLSSILSFSSVHSSEMSPSATPAQQQPSKASSLFASQLSNAPVPPVDPSLEKICGVTEDPRKCISFMAPYTPGSTDAVSVVAMIMEAIYKQVDRAIVISKKAAKNPSQSPVISSCLNKCVESYNKVIDDLGNAMAASTAHDMKSVDDLLAAASSNFGFCDETFHKNGIQESPMEEIDETLILLAGFGVAISRKLIIKSN >Manes.05G124500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22991041:22993639:1 gene:Manes.05G124500.v8.1 transcript:Manes.05G124500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKTLFAFREKSREKETPENGYQDSSPKLPRKGEGSIGSSDSRNITELPVEILIDILCRLPIETLLSCRCVCTTLRRTITSNPQFAHHYFSISPVHALLCIGTRVSRFLCLLRLEEETAADLHVQVPKLKFRLPIHNLVILNSHNGFLCLGHPPLYNPVIVCNPITGEYVHLPSRTDGLEDKENRNQVVSGFGYCPKTNQYKVVRLICRKNIMTEVYTLGEESWRSARHAPKGSRKWFSSGFYFKGVFLNGKVHWVSDEMQSSDFIITFDLETESFGVVRPPSHFASAEYGVKWRVIIGELGGCLFLIDHSSSFHLWIMKDYGVQESWTEVCDIGEYRPIMYLKDEKILVNYKNTKLACYDPRTNIAKNIRISGIPPYFTTVAHVPSFYSLKEIMKNAQSHIKVSRDWEGINSFAI >Manes.08G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35400576:35404556:1 gene:Manes.08G115100.v8.1 transcript:Manes.08G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIRNVAHSISSRTLSDVSEIDTVRLSFDIVSAARRNIGFLRAVSESQWLHDTATVVEAIRRYDELWMPLISDLMVGSTPPMVLPPFDIEWVWFCHTLNPVSYRQYCEARFSKLIGKPVIFNEENEEYALMRCKELWLRRHPTECFENEVDSSLQSDSVVKIEDLFNEVRKQRFLYSKFSWPYMNELVYLIASRQRYKGFLYVLQRFTDGYSRIVPSLDIMLMWMTHHSYPTVYAEDMKDIEVEKIVGMWETLKEKEVEETNKAWENAFDQPYEKAGGTMEFHGLAPIQPPVYWEVSDTDVNTKYKSLFPRFLLEVCIFVRLKSTASLRVVADDKKHNFLRLRILRCHREMKIDKPISGFSLDSWKKVSQIFCEFGTRGLMLELHKHVGGCFKTKKVENTATFLWNDLLRAHSLTLERELDKQMRVVASITPPVQAPYLLKCVPDSVTDDSGTMVSDVILRMNHYRPQEGRWLSRTVLDHAGRECFVVRIRVGGGFWRRGGETPSTVKWEDRITEVREGSWSYVAGSIGRAPEKVVGTATPQEPPENWQASWSFSTGEEFLVSWQSPSTSLCDLRFCLINQTTPDSTLKLLKGRKMQYKVEKIRSESKEQERQNKEINSEEQEDEDEEGFVTLVRFTDDNPTGRATALLNWKLLIIELLPEEDAGLAILICISILRSVSEMRKEDAGNLLIRRRIKETKLGTRDWGSVIHHPSSSSSSSSSISSSHLEPWYWNPKAVVTSDRGPNAARQPAPNYSPVEGGDKLYKRGIIT >Manes.02G036600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3034163:3037382:1 gene:Manes.02G036600.v8.1 transcript:Manes.02G036600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSGVCVSSTPRWDFKVHEVAQSSFRQAHRLFCCISDTTHKRSIQEVSLIAQGAVNEFRNLLTLLDGPSQSDSKRIRKGPLPLPHDINPVELMDNSTSMPQSSGCNLTTETRIVRQLLPLQSIQATNSLSHTTSFSFDRGNSNSKANVDFTNPAMIPNLSFSLPSSPFLSLDGRGGIEKQLVQYSASETGASRDSSSMFPKSKSGTMSEETSTKCLASTGGCHCSKRRKLRMKKIIQVPALSCKLAEIPPDDYTWRKYGQKPIKGSPYPRSYYKCSSKRGCPARKHVERCLQDPTMLVVTYEGDHCHSKLLFSSPNLMIQV >Manes.11G048855.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6232878:6256562:1 gene:Manes.11G048855.v8.1 transcript:Manes.11G048855.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.12G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11778559:11792191:1 gene:Manes.12G080800.v8.1 transcript:Manes.12G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFHAVISTLEWVTVALDTPSARAVVFGVHIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLSKKEIDELCEEWVPEPLIPPITEEMRYEPPVFESAAGPHTTINCKEVVNFASANYLGLIGNEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMDSLQNTLEKITAENKRAKQLRRYIIVEAIYQNSGQIAPLNEIIRLKEKYRFRVLLDESNSFGVLGRSGKGLTEYYGVPVEKIDIITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLEGNPALVTKLKENIAILWKGLSNIQGLSIASNPESPIVFLKLDKSIGSVKDDLKVLEDIADRALKEDSVLVVVTKRSTIDKCRLPVGIKLFVSAAHSESDVLKACESLKRVSELVLRTSN >Manes.04G000200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:58748:67693:1 gene:Manes.04G000200.v8.1 transcript:Manes.04G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVHHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFTQLLLAVEYLHANFVLHRDLKCSNIFLTKEQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPALKTLIKGMLRKNPEHRPSASEVLKHPYLQPYVDQYRPSFTPPTTCSPEKPFSTCQDSRKNMAESQNSNSSSSDKDGLLSSDRNVQVMVSNCEHKASDTDLASIDDEDDDDQNMRSKEGSSPTLCTVKMDENRVVKPYHDEHGSNVESKQPKTIKSIMMALKEGKARENGSPMRGNRIKVAGSQRSNTEVPPKVQKPSALISCLKSNADTPTISPSKVAFDSAKRVQGSHHSKQQLPIIDSTPKAKPRHDGIPSSVPLKHVDDGLPAKQRQKTPPSNLVRRCAFPGRTRQVGTDVPNGVTHTIKLSPTEMTQAPENPQYQVHDGPLLHYPKEVREETRTAIFGASRGVQTDSSNSVSSSVSVQAFELCDDATTPLVDMTEQTLPDVELTKNIELHPPSCSVASLSDSKSSNLSGENCEYAYKSVTHSSETSKPVVVLYPQKNTVVCDGKVSSSATLDPSVTSFEEISICEDNIITSRPDTVPQSNLASRSSGGDKFTVRELLSSVQETASSIASVTSSSPKNLQPEKGVILQNPMTEKPSAAQLPAAFDDVIHVIRHSSFRVGSEQPVMETVEMGVQNVDVGKLLNVVRDELEARNMTTPVTLKSSSCSETMKSNMSDHSLRSNISDHSGTKEMDNKNVVPPVPKSGTSEPTKPTSLVMEEEAHAKETLDVKSFRQRAEALEGLLELSAELLEQNRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGMVLKTMDGAHES >Manes.09G149900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34734155:34736630:-1 gene:Manes.09G149900.v8.1 transcript:Manes.09G149900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYKEEKEAKKEAFRKYLESNGVLDSLTKVLVALYEQNDKPSSALEFIQQKLGGPSLSEYEKLQAELSDLQMKYNDLLSAHQETCKELEELKNSHSFTSTEEMTNGEAPKDGTQN >Manes.07G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23364786:23368080:1 gene:Manes.07G077900.v8.1 transcript:Manes.07G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAFVLVYICLFLSSLLSYPFIKKKTGVSKPRAKLPPGSMGWPYVGETLQLYSHDPNVFFAAKQIRYGEIFKTHILGCPCVMLASPEAARFVLVTHDHLFKPTYPKSKERLIGPSALFFHQGEYHYHLRKLVQGSLSPDTIRKLIPDIESMTISALESLADSRIINTFQEMKKISFQVGILSVFGLLDSNYREKLNENYHIMDKGYNSFPTNIPGTAYHKALLARKRLDQILGEIICERKEKRLLEKDLLGRFLNFKDENGQTLSEDQISDNIIGVLFAAQDTTATALTWILKYLHDHHKLLEAVKAEQMAIYEANNGGKNSLTWAQTRNMPLTYRVVLESLRMASIISFAYREAIIDVEYKGYLIPKGWKVMPLFRNIHHNPEIFTDPHIFDPSRFEVSPKPNTFIPFGNGVHACPGNELAKLEMLILIHHLVTKFRWEVVGTVEGVQYGPFPVPQQGLPARFWQESTTLKQDYLPLLH >Manes.07G115800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32129346:32133681:1 gene:Manes.07G115800.v8.1 transcript:Manes.07G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTIVSRSGREIVKGGLEINDSATVADLQEAIYKRTKKFYPSRQRLTLPLPPGSRERPVVLHYKKSLKEYCDGNSDNITVVFKDLGPQVSYRTLFFWEYLGPLIIYPIFYFFPVYEYFGYKRERVIHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGSYIAYYVNHPLYTPVSDLQMKIGFGFGLVCQVANFYCHILLKNLRTPEGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVATVIMTNWALAKHRRLKKLFDGKDGRPKYPRRWVILPPFL >Manes.03G111316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23544205:23544537:1 gene:Manes.03G111316.v8.1 transcript:Manes.03G111316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYFLISFILFSFLLFSSSFSIIFHSVTLLFFFFIHYFLPFPLIFFIFSIFFIHINFSHIIFLYYFLFIYNFFYYFLLINYFFSNNLFSFSIIFSSLIIFLSNNLFPFFSHF >Manes.17G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31391824:31395720:-1 gene:Manes.17G106800.v8.1 transcript:Manes.17G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAPSHQHHTHQPTDEQLAKQKTIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSGLGWGPGVVVLILSWVITLYTLWQMVEMHEMVPGKRFDRYHELSQYAFGEKLGLYIVVPQQLVVEVGVCIVYMVTGGKSLQKFHDLVCKTCKPIKQTYFIMIFASVHFVLSHLPNFDSISGVSLAAAVMSLSYSTIAWTASAHKGVQPDVQYGYKAKSTAGTVLNFFSALGDVAFAYAGHNVVLEIQATIPSSPEKPSKGPMWKGVVVAYIVVALCYFPVALIGYWIYGNGVEDNILLSLEKPAWLIGMANIFVVVHVIGSYQIYAMPVFDMVETLLVKKLHFKPSIILRFFVRNLYVVFTMFVAITFPFFGGLLSFFGGFAFAPTTYFLPCIMWLAIYKPRKFSLSWWANWICIVFGVLLMTLSPIGGLRQIILQAKTYSFYS >Manes.17G106800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31391824:31395720:-1 gene:Manes.17G106800.v8.1 transcript:Manes.17G106800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAPSHQHHTHQPTDEQLAKQKTIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSGLGWGPGVVVLILSWVITLYTLWQMVEMHEMVPGKRFDRYHELSQYAFGEKLGLYIVVPQQLVVEVGVCIVYMVTGGKSLQKFHDLVCKTCKPIKQTYFIMIFASVHFVLSHLPNFDSISGVSLAAAVMSLSYSTIAWTASAHKGVQPDVQYGYKAKSTAGTVLNFFSALGDVAFAYAGHNVVLEIQATIPSSPEKPSKGPMWKGVVVAYIVVALCYFPVALIGYWIYGNGVEDNILLSLEKPAWLIGMANIFVVVHVIGSYQIYAMPVFDMVETLLYSQCLLLLPSLSLVVSFHFLVDLLSPQLHTFSPA >Manes.01G089100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29103833:29107286:1 gene:Manes.01G089100.v8.1 transcript:Manes.01G089100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKELTSFTDMAAIQSSPMNTSFSNYLHSLSQTPHRLKRRMLATWTPDQELNQVRLRSGADMKRKLKWYDLIALGVGGMLGVGVFVTTGPVAREFIGYFAGTNILMEYVLSNAAVARSFTEYLCHAVGENDPNSWRVKVDGLVEGYDKLDFTAVALILLLTLCLCHSTKESSVLNLIMTVFHVIFFGFIIIAGICNGSAKNLVKPGGLAPFGVKGVINGAAIVYFSYIGYDSVSTMAEEIQNPSRNLPVGILGSVLIVCLLYCLMALSLCVMVPFSKIPKEASFSTVFQKVGWNWASNVVGAGASLGIVASLLVAMLGQARYLCVIGRAKLVPCWLAKVHPSTGTPLNATLFLGICTASIALFTDLDIVLEMISISTLLVFYLVANALIYRRYVIISQNPPSRTLLFLLLLSCSAIGFSISWKLEEQTWCLSLFVVVMVAITAFFQYKVPLLSQENKEWSVLLMPWPAAISIFLNVFLMTTLKLLSFQRFAIWACLITVFYMLYGVHSTYEAEEMEVVGVDQIPHPSIIHQTKLDIQVL >Manes.01G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29103833:29107286:1 gene:Manes.01G089100.v8.1 transcript:Manes.01G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQKELTSFTDMAAIQSSPMNTSFSNYLHSLSQTPHRLKRRMLATWTPDQELNQVRLRSGADMKRKLKWYDLIALGVGGMLGVGVFVTTGPVARETSGPAVFVSYIIAGISALLSSLCYTEFSVHMPVAGGAFSYLRVTFGEFIGYFAGTNILMEYVLSNAAVARSFTEYLCHAVGENDPNSWRVKVDGLVEGYDKLDFTAVALILLLTLCLCHSTKESSVLNLIMTVFHVIFFGFIIIAGICNGSAKNLVKPGGLAPFGVKGVINGAAIVYFSYIGYDSVSTMAEEIQNPSRNLPVGILGSVLIVCLLYCLMALSLCVMVPFSKIPKEASFSTVFQKVGWNWASNVVGAGASLGIVASLLVAMLGQARYLCVIGRAKLVPCWLAKVHPSTGTPLNATLFLGICTASIALFTDLDIVLEMISISTLLVFYLVANALIYRRYVIISQNPPSRTLLFLLLLSCSAIGFSISWKLEEQTWCLSLFVVVMVAITAFFQYKVPLLSQENKEWSVLLMPWPAAISIFLNVFLMTTLKLLSFQRFAIWACLITVFYMLYGVHSTYEAEEMEVVGVDQIPHPSIIHQTKLDIQVL >Manes.08G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32235962:32238161:-1 gene:Manes.08G095200.v8.1 transcript:Manes.08G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDQTGCSKTKAYCRRNQDESVSGEIDDDENRPKNGSSSSNSTVEESDKKISVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQDRATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQVMADHRHLVESGDRNIYNLLQGYNQRHGSSYRYGDASWNARETFLNNPQMGRCSVDETRQGLYGKVAERIFAGNSNSNWTNWKFHTGASSFSSQSCRKTEEMNSELRPSHNPKFWQPHSSSSFMELNHAAQFLKAKVGENNLFNRSNILISDMKSPASNLKDQVKPVKRKAADCNLDLDLSLKLTVPGNDDSRRSLEDGEVDSELSLSLFASSSSKAKLSRLKGGGG >Manes.06G036350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10698719:10705715:-1 gene:Manes.06G036350.v8.1 transcript:Manes.06G036350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSPHSRAQVSSCAPLVVFMLFLPIPQSFHEIYPRFEVLKLRSKFWELGAFGAWILHTSELEITQPSIFKRTGPEGPRRPSVLAVAESVQRLPEFCRSVVGQEDIKGKGLAHVIVLD >Manes.03G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:936281:938073:-1 gene:Manes.03G011000.v8.1 transcript:Manes.03G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNASQSSPSKLLSATLTAKLHRCYMASLQMTKQASLIEQQKAPTSHAYSTTSTTTTTTTTNGVAIKSEELGTRLPEKCPLQVQETEANWVVRKVQVDSIQQQFKTLEDHHIEQMIEELLDYGSLELCSTVPFQSM >Manes.09G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31031276:31036539:-1 gene:Manes.09G106200.v8.1 transcript:Manes.09G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDCDDTSKHEEKSGEEKRNSIVVILVGAPGSGKSTFCEHVMRSSPRPWARICQDTINNGKAGTKPQCLKTAASALKEGKSVFIDRCNLDREQRVDFVNLGGSQIEVHAVVLDLPAQLCIARSVKRTGHEGNLQGGKAAAVVNRMLQKKELPKLSEGFFRIMFCQSESDVQAAINTYSVLGPLDTLPNGSFGQRKPDTKVQLGIMKFLKKVDVPPNAGSTSGSVQDSAFPQVSKERDTSCKGPDNLSSLSTTAYKGVKESEDLPKHSIGPDVSPDSIPTLAFPSISTADFQFNIEKASDVIVEKVEEYVKKVGNARLVLVDLSHGSKILSLVRAKAAQRNIDNKKFFTFVGDITRLYSQGGLRCNVIANAANWRLKPGGGGVNAAIFSAAGPALEVATKEQAASLLPGHAVVVPLPSNSPLYNREGVSHIIHVLGPNMNPQRSNCLKDDYVKGCKVLRDAYTSLFDGFLSVLRNQANVTSKNLVSEKSMKDTSCGDLKNHLENGDQKTKRDGDSVSERSKKSKGSHDDNSKIDGSTSKSWTTWAQALYHIAMHPEKHKNVLLEVSDDVAVLNDLYPKAKKHLLILARYGGLDRLADVQQEHLHLLTTMHSVGLRWAEKFLQEDSSMIFRLGYHSVPSMRQLHLHVISQDFNSNHLKNKKHWNSFNTAFFRDSVDVIEEIRNHGKATLKDESYLSMELRCHRCRSAHPNIPRLKSHISNCQSPFPPSLLENGRLVPRQDENLSNS >Manes.09G106200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31031276:31036539:-1 gene:Manes.09G106200.v8.1 transcript:Manes.09G106200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKELPKLSEGFFRIMFCQSESDVQAAINTYSVLGPLDTLPNGSFGQRKPDTKVQLGIMKFLKKVDVPPNAGSTSGSVQDSAFPQVSKERDTSCKGPDNLSSLSTTAYKGVKESEDLPKHSIGPDVSPDSIPTLAFPSISTADFQFNIEKASDVIVEKVEEYVKKVGNARLVLVDLSHGSKILSLVRAKAAQRNIDNKKFFTFVGDITRLYSQGGLRCNVIANAANWRLKPGGGGVNAAIFSAAGPALEVATKEQAASLLPGHAVVVPLPSNSPLYNREGVSHIIHVLGPNMNPQRSNCLKDDYVKGCKVLRDAYTSLFDGFLSVLRNQANVTSKNLVSEKSMKDTSCGDLKNHLENGDQKTKRDGDSVSERSKKSKGSHDDNSKIDGSTSKSWTTWAQALYHIAMHPEKHKNVLLEVSDDVAVLNDLYPKAKKHLLILARYGGLDRLADVQQEHLHLLTTMHSVGLRWAEKFLQEDSSMIFRLGYHSVPSMRQLHLHVISQDFNSNHLKNKKHWNSFNTAFFRDSVDVIEEIRNHGKATLKDESYLSMELRCHRCRSAHPNIPRLKSHISNCQSPFPPSLLENGRLVPRQDENLSNS >Manes.15G147100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12137888:12142003:-1 gene:Manes.15G147100.v8.1 transcript:Manes.15G147100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGVEIVLAKAIELRLKVTNCIHKASTGTNNINGNSLSSEQNKLEKERAEEGVVLKGEKEQNPSSQSLNGGSLSEADEEDEENERLFIIRDALESLEHQLSNLQDLQRQHRYEREVALSEIEQCRKMLLDKLKEYAGEDLEVIQEASAFAGETVEHNSDLLLPPYPNRPPQSLVIDNHYLSYFPSTRKPVQNGVITGEAKKKLKESERNKAEAVSKNSSRGLGHFIGAAVKTVITIFGVISVLSLSGVGPSLGKRSIPFKFEGLFQQPTAKEERHNECPPGKVLVMEGGEARCIVKERIAIPFESLVAKPDINYGCG >Manes.15G147100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12137888:12141977:-1 gene:Manes.15G147100.v8.1 transcript:Manes.15G147100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGVEIVLAKAIELRLKVTNCIHKASTGTNNINGNSLSSEQNKLEKERAEEGVVLKGEKEQNPSSQSLNGGSLSEADEEDEENERLFIIRDALESLEHQLSNLQDLQRQHRYEREVALSEIEQCRKMLLDKLKEYAGEDLEVIQEASAFAGETVEHNSDLLLPPYPNRPPQSLVIDNHYLSYFPSTRKPVQNGVITGEAKKKLKESERNKAEAVSKNSSRGLGHFIGAAVKTVITIFGVISVLSLSGVGPSLGKRSIPFKFEGLFQQPTAKEERHNECPPGKVLVMEDTQSMERKRTRVSAFMEEKEIRMIKSISSSPLQYLIAK >Manes.15G147100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12137888:12141977:-1 gene:Manes.15G147100.v8.1 transcript:Manes.15G147100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGVEIVLAKAIELRLKVTNCIHKASTGTNNINGNSLSSEQNKLEKERAEEGVVLKGEKEQNPSSQSLNGGSLSEADEEDEENERLFIIRDALESLEHQLSNLQDLQRQHRYEREVALSEIEQCRKMLLDKLKEYAGEDLEVIQEASAFAGETVEHNSDLLLPPYPNRPPQSLVIDNHYLSYFPSTRKPVQNGVITGEAKKKLKESERNKAEAVSKNSSRGLGHFIGAAVKTVITIFGVISVLSLSGVGPSLGKRSIPFKFEGLFQQPTAKEERHNECPPGKVLVMEGGEARCIVKERIAIPFESLVAKPDINYGCG >Manes.04G040789.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7012192:7013373:-1 gene:Manes.04G040789.v8.1 transcript:Manes.04G040789.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPISSALISTNLRPSFASTNRFSPLLSAPIPPSTFKQAVTGPTILSPSSSNPLPTQEPTQTEYSYKSIDEYILTIEPEYWAQNPNLNIYQLCSTIFPRNHYYIPDNFQKSQQFYETILINTCSIVIHNNYDPQNPNKLRYCKVRILKIWTLTDWGLEPHKMREMIMTIGQIKQNIKYNYYDYQIAWERTFFKQNEQLSVSFFFFFDDNFSYPLPYWFYQWWNKFGICEINIPSQITIAKEQFFERQQLPETITLAPSWLVYSHHFHIPWILMIEYQIKDQTIDIFQVPTLVRKFKTKWWNKTNLEGCGSKAIEQFFQDHPQFCKKSSIAIITRQETFLARKQQIMSQMAACTSEEEYDQLINELNEVRSSAASPSPISLDNDNADFFTQAEM >Manes.14G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2088148:2089450:-1 gene:Manes.14G021000.v8.1 transcript:Manes.14G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRVIGRPLMAKVKQTTGIVGLDVVPNAREVLINLYTKTLKEIQVVPEDEGYRKAVESFTKHRLKVCQEEEDWEVIEKKLGCGQVEELIEEAQDELKLIEKMIEWDPWGVPDDYECEVIENDAPVPKHVPLHRPGPLPQEFYKTLEAVQSINGTPAVSSGQSELKA >Manes.18G045100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3984875:3990326:1 gene:Manes.18G045100.v8.1 transcript:Manes.18G045100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSTTINPKATPAAAAATHNHNLMRPPLLPSDPDNALAPRRPKSREVTSRYMSSSSSTAKRSSSPSISRPTGMMTPMPSAHSTIKRSHSVERRRPTTPRFLDLRIGNGIGAEVSNAQKMLITSTRSLSVSFQGESFSLQVSKAKPAPSPISSRKGTPERRKATPTPARGADQVENSRPLEQQRWPGRLRQPNSLTRSVDCTDDRKRLTGSGINTNVVRALQISMVDNRSSVESRLNSDSSNIDSEKPIDGNGPDGQSDPPVASDTESVSSGSTSEGISNIGGGRQPQRGQRGIMVPARFWQETNNKLRRQPEPASPVSRTVGLKGSAPTKFITPKRRIDSPVTSPKGVVNSRGQLSPIRGGALRPASPSKLGPLSPVRGVSPSRMRNAAGALVSSNLSNVNDTPSILSFAADIRRSKCGEHRIVEVHLLRILYNRLLQWRFVNARADTALSAQRLNAERNLYNARVTSSKLLESVKEKRRELQWLRQNLKLISILKGQMIYLEELALTDQDFSLSLSGAIEALRASTLRLPVVGGARADVQNVKDAICSAVDVMQAMASSICLLLSKVIFRPL >Manes.18G045100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3984875:3991578:1 gene:Manes.18G045100.v8.1 transcript:Manes.18G045100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSTTINPKATPAAAAATHNHNLMRPPLLPSDPDNALAPRRPKSREVTSRYMSSSSSTAKRSSSPSISRPTGMMTPMPSAHSTIKRSHSVERRRPTTPRFLDLRIGNGIGAEVSNAQKMLITSTRSLSVSFQGESFSLQVSKAKPAPSPISSRKGTPERRKATPTPARGADQVENSRPLEQQRWPGRLRQPNSLTRSVDCTDDRKRLTGSGINTNVVRALQISMVDNRSSVESRLNSDSSNIDSEKPIDGNGPDGQSDPPVASDTESVSSGSTSEGISNIGGGRQPQRGQRGIMVPARFWQETNNKLRRQPEPASPVSRTVGLKGSAPTKFITPKRRIDSPVTSPKGVVNSRGQLSPIRGGALRPASPSKLGPLSPVRGVSPSRMRNAAGALVSSNLSNVNDTPSILSFAADIRRSKCGEHRIVEVHLLRILYNRLLQWRFVNARADTALSAQRLNAERNLYNARVTSSKLLESVKEKRRELQWLRQNLKLISILKGQMIYLEELALTDQDFSLSLSGAIEALRASTLRLPVVGGARADVQNVKDAICSAVDVMQAMASSICLLLSKVKECSLRTHFIQVKRVPSSLTTKV >Manes.18G045100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3984875:3991578:1 gene:Manes.18G045100.v8.1 transcript:Manes.18G045100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSTTINPKATPAAAAATHNHNLMRPPLLPSDPDNALAPRRPKSREVTSRYMSSSSSTAKRSSSPSISRPTGMMTPMPSAHSTIKRSHSVERRRPTTPRFLDLRIGNGIGAEVSNAQKMLITSTRSLSVSFQGESFSLQVSKAKPAPSPISSRKGTPERRKATPTPARGADQVENSRPLEQQRWPGRLRQPNSLTRSVDCTDDRKRLTGSGINTNVVRALQISMVDNRSSVESRLNSDSSNIDSEKPIDGNGPDGQSDPPVASDTESVSSGSTSEGISNIGGGRQPQRGQRGIMVPARFWQETNNKLRRQPEPASPVSRTVGLKGSAPTKFITPKRRIDSPVTSPKGVVNSRGQLSPIRGGALRPASPSKLGPLSPVRGVSPSRMRNAAGALVSSNLSNVNDTPSILSFAADIRRSKCGEHRIVEVHLLRILYNRLLQWRFVNARADTALSAQRLNAERNLYNARVTSSKLLESVKEKRRELQWLRQNLKLISILKGQMIYLEELALTDQDFSLSLSGAIEALRASTLRLPVVGGARADVQNVKDAICSAVDVMQAMASSICLLLSKVGEVNSLVVELANVAVKERALLDQCKDLLSVIAAMQVKECSLRTHFIQVKRVPSSLTTKV >Manes.10G145200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31276192:31277592:-1 gene:Manes.10G145200.v8.1 transcript:Manes.10G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSIFRVLDHCKISPPPNSSPPTTLPLTFLDIPWLFFSPSQPLFFYEYSHSTSHFISSTLPNLKHSLSLALNHFFPFLGNLVISPCDSYKPKIVYTSGDFVSFSVVESSGDFRFFTSNHGRDVHEFYPLVPELGTHSVATGKEGFIPLLAVKVTIFPQMGICIGLAFHHVAADGRTFNNFIKGWASLCANSCFLFNSSPSFDRSVIKDEYGLEEIFLKELWKRKSSQEMVIGTETHVDLSNMVRATFVVSSLDMEKIKKWIISKCKKKGQPLPIHLSPYVLTCSFTWVCLVKAQTQKDQLENYHSEEDPIHFGFIAGGLTRLDYRIPASYFGNCVGFGRSTASRRELKGEDGIIVAANVIGDMIKKLDREIFCGAERWILDWEVLFGSEIHVMVSGSPKLNLYETDFGWGRPKKIEEISIDKSRAISLTESRDVESGIEVGLALHESEMEVFSSFFVEGLKILQ >Manes.01G122100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31798190:31802827:1 gene:Manes.01G122100.v8.1 transcript:Manes.01G122100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFGVNGDANGVMVGTVELEDSRASDENEIGVNSAEEDFQQDHDHKVHEESLDKDAIMDGVPSVAMVPADEPYVGQEFESEAAAHAFYNAYATHLGFIIRVSKLSRSRRDGSAIGRALVCNKEGYRMADKREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRRDCIYNQYPNEHDKIRELSQQLAIERKRAATYKRHLELIFEQIEEHNESLSKKIQHIVDDVREMENKEQQNRV >Manes.01G122100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31798197:31802466:1 gene:Manes.01G122100.v8.1 transcript:Manes.01G122100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFGVNGDANGVMVGTVELEDSRASDENEIGVNSAEEDFQQDHDHKVHEESLDKDAIMDGVPSVAMVPADEPYVGQEFESEAAAHAFYNAYATHLGFIIRVSKLSRSRRDGSAIGRALVCNKEGYRMADKREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHPLTPGKGRRDCIYNQYPNEHDKIRELSQQLAIERKRAATYKRHLELIFEQIEEHNESLSKKIQHIVDDVREMENKEQQNRV >Manes.09G120900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32369431:32373409:1 gene:Manes.09G120900.v8.1 transcript:Manes.09G120900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAAATQVFWSLILIGVCLLFKKLWDAMWLKPRRIRLTLSRQGIRGPKPSFLYGNIQEMQNIQSMLIKDQASEFHSQPAVSHNSWVTSIFPYLHLWAQQYGSIFMYATGNKQHLYIGQPELLKELNLHKSLDLGKPTYLSKTMEPMLGDGIIKANGSHWAYQRKLMAPEFFLHKAKYMLGLMEESTIDMIRTWQSQVDNNGGVADITVDKDLKCVSADIISKACFGNSYSQGKQIFAKMDALQGAMSKPSLLFGLANFRFLPTKSNREIWMLQKEVETLILEVVNARREELQSSSKSKKDLLEALLESSTNSEDFINPRSTDRFIVDNCKNIYFAGHETTALTASWTLMLLALYPEWQQRVRAEIFDICGGKVEDSFQDLDKLRQLKSLTMVIHETLRLYGPAVITSREAFADMKVGDLTVPKGTNIWIPVTTLHRDPDNWGPDVGEFKPERFARGIAEACKYPQSYIPFGFGSRLCIGQTFAMLELKILVSLMLSNFCFSMSPEYRHSPVFKMLLVPQHGIRLMARRLQREDVVAQK >Manes.01G089500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29126284:29126925:1 gene:Manes.01G089500.v8.1 transcript:Manes.01G089500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKICSFIALAIIMALANSFLGNAGDPDILKDFLVPLGLDPTNITSDFFTYTGFRELVNVNITGKTAAIVTKASMKEFPALEGQSVSVAALIYPPSGINPPHVHPRSAELLILLEGVLEVGFVDSTNKLFVQTLQVPDMFIFPKGLVHFQVNTRSDSPAYALGMFGSANAGTVPLPTTLFASGISADILAKAFKTDLETITKLIAANNVTKAA >Manes.01G102100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30202363:30205637:1 gene:Manes.01G102100.v8.1 transcript:Manes.01G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDHPGLYLVLLLHLLPSATCIKNSQPYTPTDLILLDCGASSSSTSLDGRNWVGDANSKFHASNSETASSAFVASQQDPSVTQVPYMTARVFHSQFTYTFPVSPGPKFVRLYFYPATYSTLDISKSFFSVSANNYTLLNNFSASLAVSAVNPSVASLIKEYIITVWDNQKLDLTFSPSPSSFSFINGIEIVSMPKDLYVSGNDNPLPYVGAENNPFHLDNTTALETFYRLNVGGKDISSKDDTGMYRTWLQDSNYIFGGGLGVTVIPRDVKVQYTAKTPPYTAPVMVYKTMRSMGGGSNVSLRYNLTWYFSVDAGFNYLLRLHFCEFRSEVTDSFQEVFFLFINNQTVEKMADVILWSGGNSVPVYRDYVVWIPKGSQSKQDLWLALHPNYDGKFTYADAFLNGLEIFKLNNSDGSLPSFGQVVLAAPPPLEGLPKFRGKANKKGSLVVIVGCSVFSGVLVLFLIICFFVVKQRRAKDSGRSEAKSFRLLFSHNSRSSATKAMRPTKTCRRFSIFEIEVATTKFDDEFVIGSGGFGDVYKGFIDDGATTVAIKRLNSSSRQGTREFRTEIDMLSKLHNPHLVSLIGYCDDPGEMILVYEYMHRGTLRDHLYKTRNPPLSWKQRLEICIGAARGLHYLHTGAKHPIIHRDVKSTNILIDENWVAKVSDFGLSRMGPTSESQTHVSTVVRGSFGYVDPEYYRRQHLTEKSDVYSFGVVLFEVLCARPPVIPGLPREQVNLADWARICYRRGALHQILDPHLRGNIDPVCLDKFGEIAESCLRDQGKQRPAMSDVICGLEYALQLQATAENNRNSVDRVSEEQESPFLLRGEAVTTDDDDLFSVSGGHKDESRSSVSSGKRSAARTDPDRMRSDTVFSEIMNSKGR >Manes.08G094700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:32066443:32069829:1 gene:Manes.08G094700.v8.1 transcript:Manes.08G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSFLMRFLLLPILTLVFGVHVALVSGVCQNDQQSLLLQLNNTLAFDQSKSVKLVRWNFNADCCEWAGVTCDLGGLGRVIGLNLSNESIFGGLENSNALFSLQYLQNLDLSFNNFNTSIPQSFANLSSLVSLNVSNAGFVGQIPVAISRMTTLVTLDLSSSLYYLGHRSLKLENPNLAMLVLNLNRLIELHLDGVNISSHGNEWCRALSSSLPNLQVLSLSSCFLSGPIDSSLVKLPSLSVIRLNGNNLSAPVPEFFANFSNLKILRLSDCSLQGKFPPEVFQVPTLEILDLSYNTELWGSLPDDLQKSSLKTLVLSNTNFSGSLPDSIGILGNLSRIELAACKFNGLIPMSMAKLTELVYLDFSSNSFSGPIPSFSRSKQLVYIDFSHNQLSGEILSTHFEGLWNLLYIDLRFNSISGSIPPSLFAIPSLQKIQLSFNNFTGQLPEFSGASSSSLDTLDLSSNKLEGSIPSSIFDIKRLNVLLLSSNKFNGTTQLDGIQKLSNLTKIDLSYNNLTVDNASNSTSSSFPQISTLKLASCKLRMFPNLSNQSKLTLLDLSDNLITGAVPHWIWKVGNGSLLYLNLSHNLLDDLEQPHSAPNLVVLDLHYNRLKGRIPSFPPSITYVDYSSNHFTSSIPDNIGTNLSVAIFFSLSNNSLTGVIPESICNATSLQVLDLSGNDLNGRIPSCLIERSENLGVLNLRKNNFGGNIQDNFPANCNLKTLDMSRNLLEGKVPQSLINCNTLEVLDLGSNKFNDTFPCLLRNMSSLRVLVLRDNNFYGNISCRRTDVKWTNLQIVDIASNNLSGRLPNIILSSWKAMMGGGNETHDHLKFEVLRLGQLYYQDSITVTSKGLEMNLVKILTVFTSIDVSNNNFEGLIPERLGQLNALYVLNLSHNALVGRIPSTLGSISHLESLDLSDNKLTGEIPQQLADLTFLSVLNLSFNMLVGRIPTSTQLQSFSAASFEGNKGLCGPPLAENCTNTSASPTTRQKNSRYEFDWQFIVPGLGFGLGAGAVVAPFMFLKQTNKWLDKRIDKILMVLLPMLGLIYYTSDDWRIEPGETFEEDITDVDDSDEEGDFFGGRYCVFCTKLDITRKRAIHDLKCTCYNSPPISSSSSTFSSRSSSGSS >Manes.18G090100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8269369:8274412:1 gene:Manes.18G090100.v8.1 transcript:Manes.18G090100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGYWNQQQQQQQHLLLSGGSLKRPRSDYDLPSSGLPTGHEIHSYYSRDDGHSRYQAVKDTKTIGSAYDRYLQNAQITPFSTGEASGLSVGLAKTAGNAMTGLPILDSGITARPQARGPDRAPSGQDIVFRSQPLVDKVARPVRETVPLPPDASSTLYVEGLPPDSTKREVAHIFRPFVGYKEVRLVSKESKHRGGDPIILCFVDFENPACAATALSALQGYKMDEHDHDSNYLRLQFSRYPGPRSGPGSRGKR >Manes.11G003075.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:413451:415534:-1 gene:Manes.11G003075.v8.1 transcript:Manes.11G003075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGKVALGIVRVFHTHGAKVCIADLQDTRGQDVCESLGGDRNACYFHCDVTVEDEVRSAIDFTVNKFGTLDIMVNNAGLSDFEKVFNVNVKGTFLGMKHAARVMIPLNKRSIISLCSVSSTILGAGPHAYTGSKHAVLGLTRNVAAELGKYGIRVNCVSPYAVPTGLALARLPEEERTEDAMAGFRAFVGGNANLQGVELTADDVANVVLFLASDESRDISGANLMVDGGCTIANHSLRVFR >Manes.14G154900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18779405:18783206:1 gene:Manes.14G154900.v8.1 transcript:Manes.14G154900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSSSHTQNWLGFSLSTHHHMNMSIPSSDPSHLCLFEAFNSSTARVGAVDRAQEEDATAGNTTVTDLSIFTRTGPKLEDFLGCSTTTAPHSQQPQHPPPPPPPPPSVQSLCPFPTETPVTNASDTSEIYDSELKTIAASFLRGFSSTTEQTDTTQKQQSIVQTESAPRKTVDTFGQRTSIFRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYQKELEEMKHMTRQEFVASLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANSNLPIGGISNKSKNSLDSASETKSIDGCRSADERDLSSASSVTFASQPPPATSTLSFAMPIKQDPSDFWSNILGCQNPTTTSTSLNNIVHDSNQNGLLFSGGYLQQQSGGGGGGVSANSSSSVPFATPIALTSSGSSYEGNSSYGSWIAQSLHSFQSAKPNLSMFQTPIFGME >Manes.14G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18779405:18783206:1 gene:Manes.14G154900.v8.1 transcript:Manes.14G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSSSHTQNWLGFSLSTHHHMNMSIPSSDPSHLCLFEAFNSSTARVGAVDRAQEEDATAGNTTVTDLSIFTRTGPKLEDFLGCSTTTAPHSQQPQHPPPPPPPPPSVQSLCPFPTETPVTNASDTSEIYDSELKTIAASFLRGFSSTTEQTDTTQKQQSIVQTESAPRKTVDTFGQRTSIFRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYQKELEEMKHMTRQEFVASLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANSNLPIGGISNKSKNSLDSASETKSIDGCRSADERDLSSASSVTFASQPPPATSTLSFAMPIKQDPSDFWSNILGCQNPTTTSTSLNNIGKNANCNVAQSVLFQSSTSFPSTTAFNMEFNNGSSTVHDSNQNGLLFSGGYLQQQSGGGGGGVSANSSSSVPFATPIALTSSGSSYEGNSSYGSWIAQSLHSFQSAKPNLSMFQTPIFGME >Manes.16G112450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31817928:31819934:-1 gene:Manes.16G112450.v8.1 transcript:Manes.16G112450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIKSLFILPLFFVSGFAEKFDQPNAYQVLNKEHILGPHELFGNPFGNPDDYKKVKVPDDFGTQIQGDDVAAPASNKPQNGPPDSPNLATLGFKGAWELVSKNSGVSAMHAILLPKINQVLMYDATIWKISNIRLPNGECRILNKTTGEKDCWCHSVLYDINNAKLTPLELHTDTWCSSGGLDVNGNLVSTGGFQGGANTVRYLGTCKGCNWREYPTALADRRWYSTQATLADGGFIVVGGRDAFSYEYIPAEGKCNAKPFLFEFLRQTTDPEENNLYPFVFLSTDGNVFIFANSRSVLLSPKSNKIVREFPVLPGGHRNYPASGMAALLPLKLKAEGQTMVNTEVLICGGSAHKDSYSKAEKNIFYTALQDCARMKITGNDSVWRRELMPTPRVMGDMMILPTGEALILNGAKRGASGWGFAREPNFAPVLYNPRAKKGERFTELAPSNIPRMYHSVSAVLPDGKVLVGGSNTNNGYIYDAMYPTELRIEKFSPPYLNPALAKKRPVIQGMPSAITYGGNVAVQIKLEGSQVQQQDLKVAMYCPAFTTHGVSMNQRLIDLGLKEVKSNVGTHTIVAVAPPSNMIAPPGFYLFSVVYQGVPSVAKWVQIK >Manes.12G140600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34663884:34670943:-1 gene:Manes.12G140600.v8.1 transcript:Manes.12G140600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEMSKQEEHDDSDEDLMRKLMLTLIYPGKLAPDKSDENYAQRAFEELIEIIKDEPNLFLPHLKELFQYIYLILDKPEFEEKTKFLAKELMELLLLHYRYEGPVVIETGKFLSRIFAMLTAIDDDPDWDGNDNFDDDSRVYIQGVKRLARFAPVIGGQYILEKFCLLIDWHYFSQQWQSRHAAVISHSIISNNCPKGSIDNLELLVELPIKAAEDTHFRVRWAAINAIEEFSNDLKPEFQLQYYQQVLPALSKALNLSMHPCIQVQAASSLFYFSKHCTSDQLTPYMDEIVHNLLRCIQKGNHLLEEEALTAAASLASSAEDWFQEHYRNVMPYLRVVMMKAAAESDSILLLKSLECITLVGVAIGKEKFSDYIQMVVQLLISLQESELKIEDLMRNQVLLAWGRLCKCLGQDFQPYLGVAVPYLLQSAQLQSRGTSLNQSESENSFRSTRSLNLEDERTESKNKVLKEKAKACKMLCLCATELKEGFDLWIDEVAHTLVPLINFDLYEEVREVSVLALPPLLKSYKAAKEKGYVEGYQELPFEKLCAFIVTALVEALHKEPLMEIQVTILEAIKECMEVSGPTLNTDQIKRFLDIIIKIIIATSTLSESEVENKHMEHFHNKAFDCFVTFTEAYKASLSQFLDQLLSCMSYMWKSGKSLEERRTALRIFTDVTEKCQEEALKYYNGKLKFLFDACYKRNPELQQIVAQGIGVIALLGGSAFKSHMRNALAGLNSIMLSPETMRPEYLPAHDAAISALGKICLHHHENLHEVMVFGIWLSHLPILNDLYQARIVHDQLCSLVEKFREKLTQDNSHLSKIFAVFAEILLSDHKLASEETVNRVIQQVRHFQSNLPPETWASIISSLVPSQAKLLLLKLST >Manes.01G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24518199:24522201:1 gene:Manes.01G058000.v8.1 transcript:Manes.01G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKDSTEGAHPTKIKGVPTHGGRYVQYNVYGNLFEVSSKYVPPIRPIGRGSIGIVCAAMNSETREEVAIKKIGNAFDNKIDAKRTLREIKLLRHMDHENVIAIRDIIRPPKKEAFNDVYIVYELMDTNLHHFIHSDQPLTCDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCSLKIGDFGLARTTSDTDFTTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYFRQLPKYKKQNFSVRFPNVSPGAADLLEKMLVFDPNKRITVDEALCHPYLSSLHDINNEPVCPRPFHFDFDHPSCTEEHIKELIWRESVKFNPDPPAY >Manes.17G011600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4716977:4722540:1 gene:Manes.17G011600.v8.1 transcript:Manes.17G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIQLSLNQTQKIRLQKALQKLESMHSKANSDASVTVADTIPISLEDNILKGHGTAERNGEVVATVCGVVERVNMLVYVRALRSRYKPEIGDIIVGRVVEVAQKRWKLEINYNQDAVLMLSSMNLPDGIQRRRTAVDELNMRNVFEENDVICAEVRNFQNDGSLQLQARSQKYGKLEKGQLLKVPPYLVKRQKQHFHHLVQYGVDLILGCNGFIWVGEHCDARDSLPEEQSNQTDEQTTKSTRVSETMPLETRQHICRVANAVRVLSTLGFSLTLEVIMEAFNLSRTLNLGIDEMLGSEFHVLVAEKEAERRSSLTKKKR >Manes.14G165900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24354058:24357653:1 gene:Manes.14G165900.v8.1 transcript:Manes.14G165900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEEGEHLEKRERQLELYKAAMSGDWVTAEGILDKYPEEFESTINAQGETALHIATAANHAEFVKQMVNKIPEEKKHYLAIKASNENNGQGNTAFFYAAVSGNVEVAQIMLKKNNSLAMIRGKKNALPIHVAALVGNKEMVRFLYKRCKEQLKTNDRKALLVPLIHSEIYDVALNMVENHLWLATAKDVNNETALHALATKSFNDKTSSSSSSFNLFSVLNIFRKKNIVVQKQGLELVKLLWKNVILMQDEDISRLMIAKSGKLIFIAAKQGNVEFLTTLIASYPDLVLKVNDNNYTIFHEAVLNRHIDIFKLIYEIGSIKNFINSNKDKEGNNILHLAAISVPSRLNDIPGPALQLQRELQWFEEVKAVVSPQQIEAKNKGGQTPRDMFIEKHQNLRKDGEDWMRNTANSCMVVATLITTVVFAAAFTVPGGNGQANGIPNLLNNIWFQIFAITDAISLIFSASSVLSFLSILTSRYSMDDFRISLPTKLIFGLFFLFIAIVTMMVAFVAAFFIIFKHGLLRFAFPIAGIAIFPILLFLFQHFLLFAQVIRSTYMSSRLFRRNENRLFSKRLVKNLNDTNKSS >Manes.14G165900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24353225:24357653:1 gene:Manes.14G165900.v8.1 transcript:Manes.14G165900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVEEGEHLEKRERQLELYKAAMSGDWVTAEGILDKYPEEFESTINAQGETALHIATAANHAEFVKQMVNKIPEEKKHYLAIKASNENNGQGNTAFFYAAVSGNVEVAQIMLKKNNSLAMIRGKKNALPIHVAALVGNKEMVRFLYKRCKEQLKTNDRKALLVPLIHSEIYDVALNMVENHLWLATAKDVNNETALHALATKSFNDKTSSSSSSFNLFSVLNIFRKKNIVVQKQGLELVKLLWKNVILMQDEDISRLMIAKSGKLIFIAAKQGNVEFLTTLIASYPDLVLKVNDNNYTIFHEAVLNRHIDIFKLIYEIGSIKNFINSNKDKEGNNILHLAAISVPSRLNDIPGPALQLQRELQWFEEVKAVVSPQQIEAKNKGGQTPRDMFIEKHQNLRKDGEDWMRNTANSCMVVATLITTVVFAAAFTVPGGNGQANGIPNLLNNIWFQIFAITDAISLIFSASSVLSFLSILTSRYSMDDFRISLPTKLIFGLFFLFIAIVTMMVAFVAAFFIIFKHGLLRFAFPIAGIAIFPILLFLFQHFLLFAQVIRSTYMSSRLFRRNENRLFSKRLVKNLNDTNKSS >Manes.14G165900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24353225:24357653:1 gene:Manes.14G165900.v8.1 transcript:Manes.14G165900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDWVTAEGILDKYPEEFESTINAQGETALHIATAANHAEFVKQMVNKIPEEKKHYLAIKASNENNGQGNTAFFYAAVSGNVEVAQIMLKKNNSLAMIRGKKNALPIHVAALVGNKEMVRFLYKRCKEQLKTNDRKALLVPLIHSEIYDVALNMVENHLWLATAKDVNNETALHALATKSFNDKTSSSSSSFNLFSVLNIFRKKNIVVQKQGLELVKLLWKNVILMQDEDISRLMIAKSGKLIFIAAKQGNVEFLTTLIASYPDLVLKVNDNNYTIFHEAVLNRHIDIFKLIYEIGSIKNFINSNKDKEGNNILHLAAISVPSRLNDIPGPALQLQRELQWFEEVKAVVSPQQIEAKNKGGQTPRDMFIEKHQNLRKDGEDWMRNTANSCMVVATLITTVVFAAAFTVPGGNGQANGIPNLLNNIWFQIFAITDAISLIFSASSVLSFLSILTSRYSMDDFRISLPTKLIFGLFFLFIAIVTMMVAFVAAFFIIFKHGLLRFAFPIAGIAIFPILLFLFQHFLLFAQVIRSTYMSSRLFRRNENRLFSKRLVKNLNDTNKSS >Manes.14G165900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24354230:24357653:1 gene:Manes.14G165900.v8.1 transcript:Manes.14G165900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIELTIFAEKRERQLELYKAAMSGDWVTAEGILDKYPEEFESTINAQGETALHIATAANHAEFVKQMVNKIPEEKKHYLAIKASNENNGQGNTAFFYAAVSGNVEVAQIMLKKNNSLAMIRGKKNALPIHVAALVGNKEMVRFLYKRCKEQLKTNDRKALLVPLIHSEIYDVALNMVENHLWLATAKDVNNETALHALATKSFNDKTSSSSSSFNLFSVLNIFRKKNIVVQKQGLELVKLLWKNVILMQDEDISRLMIAKSGKLIFIAAKQGNVEFLTTLIASYPDLVLKVNDNNYTIFHEAVLNRHIDIFKLIYEIGSIKNFINSNKDKEGNNILHLAAISVPSRLNDIPGPALQLQRELQWFEEVKAVVSPQQIEAKNKGGQTPRDMFIEKHQNLRKDGEDWMRNTANSCMVVATLITTVVFAAAFTVPGGNGQANGIPNLLNNIWFQIFAITDAISLIFSASSVLSFLSILTSRYSMDDFRISLPTKLIFGLFFLFIAIVTMMVAFVAAFFIIFKHGLLRFAFPIAGIAIFPILLFLFQHFLLFAQVIRSTYMSSRLFRRNENRLFSKRLVKNLNDTNKSS >Manes.18G089800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8256199:8256426:1 gene:Manes.18G089800.v8.1 transcript:Manes.18G089800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTHLVLLLLWLLLLVSHSHGSRQSAQIFKMKPMFQNSPRTFFGFFPKAMPIPPSGPSRKHNDIGLQSTKASSP >Manes.13G137700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34598854:34601047:-1 gene:Manes.13G137700.v8.1 transcript:Manes.13G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRKSPDSTALKTQYRIRANKLSYKLSSQIDDCNWLHHWKTTSVRGYILRNVSCEARPGEIMAIAGPSGAGKTTLLETLAGIIPPGRVSGQVLVNEQPMNARCFRRLSGYVTQEEVLFPLLTVEETLMYSARLRLRGEFQASAALRVQALLKELGLENVANVRIGSESNRGISGGEKRRVSIGVDLVHDPPVLLIDEPTSGLDSASALHVVLLLKSMATKQGKTIVLTIHQPGFRILELFDQILLLSNGTVLHQGSLDLLEQHLRFAGHSVPRHVNVLEFAVEVTETLVIDTEESETEGNVTEQDYEEIRRNPNIRNLREAKICYPNGRFKEILILAQRFSTIISRTNQLFLARIIQAMLAGIVLGTVFMNAANDPNKHKLQTQIGFFAFSLTFLLASTTEGLPIFLQERRILMRETSKGYYRISSYVISNTLVFIPFLLIVALLYSTPVYFLVGLRRETDGFIYFLLVVWMAFLMSNSFVVCFSALVPNFIMGTSIIAGLMGSFFLFSGYFIAKQDIPDYWIFMHYLSLFKYPFECFVINEYGGGRGKKKCLELIEGDCFMYGEEFLMQQGLEESKKWSNLGVMLSFILGYRFLCFLILWYRSQRTRF >Manes.02G182600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14595432:14598688:-1 gene:Manes.02G182600.v8.1 transcript:Manes.02G182600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKLSTNSPSTGLEKLKMENGYMGKNEYAGHRRSTGQRLSGRLNNTDPPPKNYTGGFGSSSSGGGSGGDKKLSDRSRDKEDIDGKSRKDSQRALLIDGEELVDGWPKWLTDNVPKKVLAGLVPKSAENYDKVDKVGQGTYSNVYKARDRDTGKIVALKKVRFETSEPESVKFMAREIMILQKLDHPNVVKLEGLATSRMQYSLYLVFDFMQSDLASIITNPEGRLTEPQVKCYMHQLLSGLQHCHERGILHRDIKGSNLLVDKSGMLKIADFGLANFYSPQHKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFAGRPILPGRTEVEQLHRIFKLCGTPSEDYWRKLKLSTTFRPPKSYKPSLFEAFGEFPESSLGLLSTLLALDPAYRGSASSALQNEFFYTSPLACELSGLPVIWREEDDLAEASELRKHKNSKMKRRSRTYREQRRKDLLAERPNEDSNETKQEPKRVQIQEPGSSTSSSSSGTKPPRHRQAESPPLLALPVPSSKQTIMSPRTRGLPPLPAYTAISKKYNNDGMYRLNQVNRSASTREFRKLNQREHFQVYAVEN >Manes.02G182600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14595425:14598688:-1 gene:Manes.02G182600.v8.1 transcript:Manes.02G182600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKLSTNSPSTGLEKLKMENGYMGKNEYAGHRRSTGQRLSGRLNNTDPPPKNYTGGFGSSSSGGGSGGDKKLSDRSRDKEDIDGKSRKDSQRALLIDGEELVDGWPKWLTDNVPKKVLAGLVPKSAENYDKVDKVGQGTYSNVYKARDRDTGKIVALKKVRFETSEPESVKFMAREIMILQKLDHPNVVKLEGLATSRMQYSLYLVFDFMQSDLASIITNPEGRLTEPQVKCYMHQLLSGLQHCHERGILHRDIKGSNLLVDKSGMLKIADFGLANFYSPQHKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFAGRPILPGRTEVEQLHRIFKLCGTPSEDYWRKLKLSTTFRPPKSYKPSLFEAFGEFPESSLGLLSTLLALDPAYRGSASSALQNEFFYTSPLACELSGLPVIWREEDDLAEASELRKHKNSKMKRRSRTYREQRRKDLLAERPNEDSNETKQEPKRVQIQEPGSSTSSSSSGTKPPRHRQAESPPLLALPVPSSKQTIMSPRTRGLPPLPAYTAISKKYNNDGMYRLNQVNRSASTREFRKLNQREHFQVYAVEN >Manes.02G182600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14595432:14598688:-1 gene:Manes.02G182600.v8.1 transcript:Manes.02G182600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKLSTNSPSTGLEKLKMENGYMGKNEYAGHRRSTGQRLSGRLNNTDPPPKNYTGGFGSSSSGGGSGGDKKLSDRSRDKEDIDGKSRKDSQRALLIDGEELVDGWPKWLTDNVPKKVLAGLVPKSAENYDKVDKVGQGTYSNVYKARDRDTGKIVALKKVRFETSEPESVKFMAREIMILQKLDHPNVVKLEGLATSRMQYSLYLVFDFMQSDLASIITNPEGRLTEPQVKCYMHQLLSGLQHCHERGILHRDIKGSNLLVDKSGMLKIADFGLANFYSPQHKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFAGRPILPGRTEVEQLHRIFKLCGTPSEDYWRKLKLSTTFRPPKSYKPSLFEAFGEFPESSLGLLSTLLALDPAYRGSASSALQNEFFYTSPLACELSGLPVIWREEDDLAEASELRKHKNSKMKRRSRTYREQRRKDLLAERPNEDSNETKQEPKRVQIQEPGSSTSSSSSGTKPPRHRQAESPPLLALPVPSSKQTIMSPRTRGLPPLPAYTAISKKYNNDGMYRLNQVNRSASTREFRKLNQREHFQVYAVEN >Manes.02G182600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14595432:14598688:-1 gene:Manes.02G182600.v8.1 transcript:Manes.02G182600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQGKLSTNSPSTGLEKLKMENGYMGKNEYAGHRRSTGQRLSGRLNNTDPPPKNYTGGFGSSSSGGGSGGDKKLSDRSRDKEDIDGKSRKDSQRALLIDGEELVDGWPKWLTDNVPKKVLAGLVPKSAENYDKVDKVGQGTYSNVYKARDRDTGKIVALKKVRFETSEPESVKFMAREIMILQKLDHPNVVKLEGLATSRMQYSLYLVFDFMQSDLASIITNPEGRLTEPQVKCYMHQLLSGLQHCHERGILHRDIKGSNLLVDKSGMLKIADFGLANFYSPQHKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFAGRPILPGRTEVEQLHRIFKLCGTPSEDYWRKLKLSTTFRPPKSYKPSLFEAFGEFPESSLGLLSTLLALDPAYRGSASSALQNEFFYTSPLACELSGLPVIWREEDDLAEASELRKHKNSKMKRRSRTYREQRRKDLLAERPNEDSNETKQEPKRVQIQEPGSSTSSSSSGTKPPRHRQAESPPLLALPVPSSKQTIMSPRTRGLPPLPAYTAISKKYNNDGMYRLNQVNRSASTREFRKLNQREHFQVYAVEN >Manes.16G076200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28031898:28041708:1 gene:Manes.16G076200.v8.1 transcript:Manes.16G076200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHSAFQLNCCLQKSSLSDRFYHFKTTHLSSFVKPPFPRELQLLRLQIDHVSKTFNNTSLKLLDAFVDSFFEFVDQPLLPSQSNFAPVDELKEAIVVDSIEGKIPYDFSEGVYVRNGPNPLFGGLKSATSMFGKSSHIWVEGEGMLHALYFDRATDGSWTVLYNNRHVETETFQQEKQRNKPSFLPAIEGNSAAILSAYLLNLLRFGKVNKYISNTNVFEHAGKFYSVAENHIPQEIDIFTLETLDNWDVNGSWNRPFTSHAKRAPGSGELVVMGVDAKKPFMELGVISADGKRMVHKVDIKLERCTLCHDIGVTERYNLILDFPLTLDIHRLLTGGPLIKYNKEDYARIGIMPRYGEADSMRWFEVEPNCTFHILNCFEDGNEVVVWGCKALDSIIPGPHLGLNKSEWFSRRFRHRESDSNTGEDGSLFSRCHEWRLNMETGNVRERYLSGTEFSIDFPMINGDFTGLKNKYGYTQVVDSEASSTSGMAKFGGLAKIYFEETERDNKFGELIKVEYHMLEKNTFCTGAAFVPKRPSLEEDDGWLITFVHNEDSNVSKAYIIDTKKFTSEPVARITLPCRVPYGFHGAFMPIPFSNVKNP >Manes.S055116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1909717:1909878:1 gene:Manes.S055116.v8.1 transcript:Manes.S055116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.14G046651.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4058095:4059714:-1 gene:Manes.14G046651.v8.1 transcript:Manes.14G046651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTVEAVTAHVWQLEYNLGTHFSYKYGSSRCIEHADKWLCIFSLIFYVFPFSEKFFFPFFRGSFKSESLFSAVLLAVPFFFFPHSLCVVSLLISDRENLEFVPFETVLFVFTADCAVGERATC >Manes.09G116450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31917640:31918544:1 gene:Manes.09G116450.v8.1 transcript:Manes.09G116450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIGARAGYKNLERSEYKAERKRVKWKLEESSLISSRLICRYSKFVAIFRENVLQIPEEEEEEDMADRADQPINRGKTFGEKR >Manes.01G118900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31543582:31548754:-1 gene:Manes.01G118900.v8.1 transcript:Manes.01G118900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTEKLAFLFLLVILLFDSWSFVDSDLASDRIALEALRKAVGGRSLLWNLSNSPCSWVGVFCERDRVVGLRLPAMGLSGQLPIALGNLTQLQTLSLRFNALSGPIPADIGSLASLRNLYLQENFFSGEISGFLFNLQNLVRLDLAHNNFSGEISPSFNNLTRLRTLYLEENQLNGSIPDLNFPSLDQFNVSYNKLIGAVPQRLSGRPATAFEGNSLCGKPLIPCNGTSNGNDKLSGGAIAGIAIGCVIGFLLILMILIFLCKRKRTKQGVAKDTQERKQSETEIARENVVADRGTASTGIASAAAVAKSESKRGGGTKNLVFFGNTSRVFDLEDLLRASAEVLGKGTFGTTYKATLEVGVAVAVKRLKDVTVTEKEFRGKIESVGKINHENLVPLKAYYYNKDEKLLVYDYMPMGSLSALLHGNSAAGRTPLNWETRSGIALGAARGITHLHSQGPTISHGNIKSSNILLTNSFEARVSDFGLANLAGPTPTPNRIDGYRAPEVTDARKISQKADVYSFGVLLLELLTGRAPTHSHLNDEGVDLPRWVQSVVKDEWTSEVFDLELLRYQNVEEDMVQLLQLAINCTAQYPDNRPSMEEVRNQIEQLCSHGGDTHQDIEDEKSSQQTYSIDSGA >Manes.01G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31544448:31548809:-1 gene:Manes.01G118900.v8.1 transcript:Manes.01G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQTEKLAFLFLLVILLFDSWSFVDSDLASDRIALEALRKAVGGRSLLWNLSNSPCSWVGVFCERDRVVGLRLPAMGLSGQLPIALGNLTQLQTLSLRFNALSGPIPADIGSLASLRNLYLQENFFSGEISGFLFNLQNLVRLDLAHNNFSGEISPSFNNLTRLRTLYLEENQLNGSIPDLNFPSLDQFNVSYNKLIGAVPQRLSGRPATAFEGNSLCGKPLIPCNGTSNGNDKLSGGAIAGIAIGCVIGFLLILMILIFLCKRKRTKQGVAKDTQERKQSETEIARENVVADRGTASTGIASAAAVAKSESKRGGGTKNLVFFGNTSRVFDLEDLLRASAEVLGKGTFGTTYKATLEVGVAVAVKRLKDVTVTEKEFRGKIESVGKINHENLVPLKAYYYNKDEKLLVYDYMPMGSLSALLHGNSAAGRTPLNWETRSGIALGAARGITHLHSQGPTISHGNIKSSNILLTNSFEARVSDFGLANLAGPTPTPNRIDGYRAPEVTDARKISQKADVYSFGVLLLELLTGRAPTHSHLNDEGVDLPRWVQSVVKDEWTSEVFDLELLRYQNVEEDMVQLLQLAINCTAQYPDNRPSMEEVRNQIEQLCSHGGDTHQDIEDEKSSQQTYSIDSGAPPP >Manes.12G042800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3733555:3736177:1 gene:Manes.12G042800.v8.1 transcript:Manes.12G042800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGSIPQSLTSLNLEKLNLSHNNFSGMLPIFYEPKFGPEVFEGNDPSLFGLPLRSCSDSSRLISGAIAGFVIGLMTGVVVLASVLIGYMQNKKRKDKEDSEDDIEEGEDDENGGTGRGEGKLILFEGGEHLTLEDVLNATGQVTEKTSYGSVYKAKLADGGTIALRLLKEGSCKDRSSCLQVIKELEKSRHENLIPLRAFYRGIKGEKLLIYDHLPNRNLHDLLHEAGKPVLNWAKRRKIALAIARGLAHLHAGFETPITHGNVRSKNVVVDELFVDRLTEFVLDKLMVPAVADEIVALAKNRWLQSTRASEDEEMQFQYRCFCIRNTFTGDFDRQKTWEEWEKL >Manes.18G073125.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6564200:6565186:1 gene:Manes.18G073125.v8.1 transcript:Manes.18G073125.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSKVNRKTDSQHQRATPFPLPSCPRKSDKDCHSNKKHSKVFDYTKVTSTEELQQLNRFADNSSFHLNAGKFNEKMQVPKLLCPQCRGQVKGWTVVEPAQKHLNTKKRTRMQENCSFIGAYKQLRKHVKANHPFARPLAVDPNSGHYRNRFHMDFDTLDEDDYGLHCPVATGPAALPGRGLHRLLLTRARRPWRLRGGNRGRNY >Manes.09G042001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7670565:7672780:-1 gene:Manes.09G042001.v8.1 transcript:Manes.09G042001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGFTKDTQVIQALDKFTQIPMPCSDPRCLLGSHSIQCDNESCKLLMVFTVLGIAALQGRVQCSCRLFRSSMCSSSSS >Manes.09G042001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7672003:7672885:-1 gene:Manes.09G042001.v8.1 transcript:Manes.09G042001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGFTKDTQVIQALDKFTQIPMPCSDPRCLLGSHSIQCDNESCKLLMVFTVLGIAALQGRVQCSCRLFRSSMCSSSSS >Manes.09G042001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7672323:7672655:-1 gene:Manes.09G042001.v8.1 transcript:Manes.09G042001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGFTKDTQVIQALDKFTQIPMPCSDPRCLLGSHSIQCDNESCKLLMVFTVLGIAALQGRVQCSCRLFRSSMCSSSSS >Manes.02G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4917113:4923036:1 gene:Manes.02G064400.v8.1 transcript:Manes.02G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQQPKRRVAFVLIDGLGDVSLPRFGYKTPLQIANVPNLDALASAGINGLMDPVEVGLACGSDTAHLSLLGYDPRVYYKGRGAFESMGAGLAMSPGDIAFKSNFATFDEKTGVVTSRRADRHFEEEGPILCAALDRMKLPSYPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLQAEALDDTDEAKHTAAVVNELSREISRILVSHPLNAKRAAEGKNIANVVLLRGCGIRIEVPSFEKKHGLQPCMVAPTKIIAGLGLSLDIDILEAPGATGDYRTLLTSKVTAMAKALSAPSQSSPNVFVPGEDEHKPGKPDGYDFGFLHIKAIDDAGHDKASLLKVKALEAVDRALGQLAKLLWQAESSGKFQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLTDFVGTIGGEPIVVATSLEPFPLPTVKAGEDLDEDNMEEDRTSKQLKASSGDSVCEFSEIAAARGCLGRFPGGEMMGVIKAFLKLNA >Manes.02G064400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4918271:4923036:1 gene:Manes.02G064400.v8.1 transcript:Manes.02G064400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPSYPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLQAEALDDTDEAKHTAAVVNELSREISRILVSHPLNAKRAAEGKNIANVVLLRGCGIRIEVPSFEKKHGLQPCMVAPTKIIAGLGLSLDIDILEAPGATGDYRTLLTSKVTAMAKALSAPSQSSPNVFVPGEDEHKPGKPDGYDFGFLHIKAIDDAGHDKASLLKVKALEAVDRALGQLAKLLWQAESSGKFQYFLCVTGDHSTPVEYGDHSFEPVPFAICRLTDFVGTIGGEPIVVATSLEPFPLPTVKAGEDLDEDNMEEDRTSKQLKASSGDSVCEFSEIAAARGCLGRFPGGEMMGVIKAFLKLNA >Manes.06G148850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27546558:27547307:1 gene:Manes.06G148850.v8.1 transcript:Manes.06G148850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDEVGLIGMTQCSDAGACRKQMTQCSDAGIFLEQLEKSQGVESSLGQ >Manes.08G155600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39249808:39253685:1 gene:Manes.08G155600.v8.1 transcript:Manes.08G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSLYLQLHKLSAINSEEALDHILTTLWKSRRTGLRSPEKSHIQSLLSLPSLSEVDPVLACLRSLIRKSVHERFTGDDLLKLFPPDLSLDLQSFLVLLLQKYQNQWKEEMSKEQHPLPRTSISYQVKASVPPSFSSSEISMPVWPRQDDLNGRLIRNDVGISMSIISDSSASHFAPVTIQHDACPSENLGVLPRLKSMTWTMENHNSAPANRVAIISLKLQDFTRSPSGEKEVKFQLTRDTLEAMLRSMTYISEQLSSMVNASAEPVQKKQKQ >Manes.08G155600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39249838:39254722:1 gene:Manes.08G155600.v8.1 transcript:Manes.08G155600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSLYLQLHKLSAINSEEALDHILTTLWKSRRTGLRSPEKSHIQSLLSLPSLSEVDPVLACLRSLIRKSVHERFTGDDLLKLFPPDLSLDLQSFLVLLLQKYQNQWKEEMSKEQHPLPRTSISYQVKASVPPSFSSSEISMPVWPRQDDLNGRLIRNDVGISMSIISDSSASHFAPVTIQHDACPSENLGVLPRLKSMTWTMENHNSAPANRVAIISLKLQDFTRSPSGEKEVKFQLTRDTLEAMLRSMTYISEQLSSMVNASAEPVQKKQKQ >Manes.03G120000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24637125:24638953:1 gene:Manes.03G120000.v8.1 transcript:Manes.03G120000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNSGSSCRGSHNKCNGTGKQQIIDSSYGGIDYISNLPEAIILYILSFLPLKEWIVLSLVSKRWKYIWTKISDLNLDEMEIASSIAQKAVSCSQCGSFTSPNCSYPCLYDLLCAARRKFAEFVDRMLLLHSGCTINTLRLYFFHDIQDGYTKRIDTWVRYALNSNIKELELDFSDTEHFKFFDAQGLVMRCTNPPQQYELPRGFFAPKVLEIFALTFCKFRASSFKTFGSLQSLHLKQLEVLDGSIAEIASKCPVLEDLIMEYCVIPDGFFVSEVDIMIKQLSMIHCASNEMLHVDISTPNLLMLTIVGKYLSSASIRKATRLTNALISISTISAHNADGDALNSLLRGLSYCQSLTLSTWNIQVIPVESTLLQWLHLPLQKLKHLSLIVGISKKELPRLSCLLMSCPNLESLAVVLSGPLENVGLDLPTPTVYNIEEETYWESQTQSFHFLKTSLKELAIIGLTGTRNEMPMITFLLKNAIVLKNLAISLCIPDKYFSGNLDEFINIRRNLDQMFNFIRESIQAEVGVIVEPFPYSAHMNHEVP >Manes.09G142200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34030325:34034717:1 gene:Manes.09G142200.v8.1 transcript:Manes.09G142200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSHPTAPSSLPLQDRVAIVTGSSRGIGKAIAVHLASLGAKIVINYSTNGDQAELLAQEINSSSPHSDSLRAITVQANVSDPTHVKTLFDVAERVFGAPAHVLVNSAGVLDPKYPSIANTPLEVFDLTFSVNTKGAFLCCKEAANRLKRGGGGRIILLTSSMVGGLKPGFGIYAASKAAVETMVKILAKELKGTGITANCIAPGPIATEMYFSGKTEEQIQKNIEECPLGRLGETKDIVPIVGFLATDASEWVNGQVIRVNGGYV >Manes.01G272500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42540541:42563787:1 gene:Manes.01G272500.v8.1 transcript:Manes.01G272500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRRKVAKKGNEKVVLPSFPEIEDEVSCSNSNEAVDWTSLPDDSVIQLFSYLNYRDRASLSSTCSTWRALGSSSCLWTSLDLHAHKCDAAMATSLASRCVNLQKLRFRGAESADAIIHLQARNLREISGDYCRKITDATLSVIVARHELLESLQLGPDFCERISSDAIRAIAFCCPKLRKLRLSGIRDVSADAINALAKHCPNLIDIGFLDCLNVDEVALGNIVSVCYLSVAGTSNMKWGVISTLSHKLPKLIGLDVSRTNIEPTAVSSLLSSSHSLKVLCALNCSALEADATFRPNKYKGKLLIALFTDLFKGLASLFADTTNSKKGENVFLDWRNSKTKDKNFDDIMTWLEWILSHTLLRTAESNPQGLDDFWLKQGAAILLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLDLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILTGLARSMNRLVAEEAAGGLWNLSVGEEHKGVIADAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLACNCKFEGVQEQAARALANLAAHGDSNTNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRMDEFALIGTLTESTSKSVSLDGARRMALKHIETFVLTFSDQQTFAVAAASSAPAALAQVTERVRIQEAGHLRCSGAEIGRFIAMLRNPSSILKACAAFALLQFTIPGGRHAMHHASLMQNAGATRVVRAAAAAATAPLEAKIFARIVLRNLEHHQIEPSI >Manes.07G028500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3131952:3140041:-1 gene:Manes.07G028500.v8.1 transcript:Manes.07G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSYCKPSPFLRKFPSLSGKPSTQQRVGSSVHVCKISALFWGSKKSVEPRLSDFSQQDFILTGSGSEGGSAKQVVPKKISVSVISSISEVSPVEWDACNLDSTGPEKLNPFLTHGFLSSLEESGCAVKETGWIPSHIVAKDESENILGVVPLYLKSHSYGEFVFDHSWADAYYGFGSRYYPKFQCCVPFTPVTGPRILVRDTSIRDQIFDVLVSALKDMTVKSKVSSLHITFPSETEWQKLKEKGFLQRIGMQYHWKNRNYKSFDDFLMDMKQSKRKNIRQERKKISVQNLTMKRLRGYEIKAHHWDSFYNFYRNTTDNKWGSPYLTKDFFHIMGSKMGDQVLLVIAEEGDELVAGALNIIGGDTLFGRLWGCHPRAYYPSLHFEACYYQAIEAAIELNLSTVEAGAQGEHKIQRGYLPVTTYSCHYLVDEAFRQAIGEFLVRESSQVNLVMQLIRDSGPFKEGIQ >Manes.07G028500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3132521:3140041:-1 gene:Manes.07G028500.v8.1 transcript:Manes.07G028500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSYCKPSPFLRKFPSLSGKPSTQQRVGSSVHVCKISALFWGSKKSVEPRLSDFSQQDFILTGSGSEGGSAKQVVPKKISVSVISSISEVSPVEWDACNLDSTGPEKLNPFLTHGFLSSLEESGCAVKETGWIPSHIVAKDESENILGVVPLYLKSHSYGEFVFDHSWADAYYGFGSRYYPKFQCCVPFTPVTGPRILVRDTSIRDQIFDVLVSALKDMTVKSKVSSLHITFPSETEWQKLKEKGFLQRIGMQYHWKNRNYKSFDDFLMDMKQSKRKNIRQERKKISVQNLTMKRLRGYEIKAHHWDSFYNFYRNTTDNKWGSPYLTKDFFHIMGSKMGDQVLLVIAEEGDELVAGALNIIGGDTLFGRLWGCHPRAYYPSLHFEACYYQAIEAAIELNLSTVEAGAQGEHKIQRGYLPVTTYSCHYLVDEAFRQAIGEFLVRESSQPWTGPMMTHSWPSS >Manes.07G028500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3131952:3140041:-1 gene:Manes.07G028500.v8.1 transcript:Manes.07G028500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLSYCKPSPFLRKFPSLSGKPSTQQRVGSSVHVCKISALFWGSKKSVEPRLSDFSQQDFILTGSGSEGGSAKQVVPKKISVSVISSISEVSPVEWDACNLDSTGPEKLNPFLTHGFLSSLEESGCAVKETGWIPSHIVAKDESENILGVVPLYLKSHSYGEFVFDHSWADAYYGFGSRYYPKFQCCVPFTPVTGPRILVRDTSIRDQIFDVLVSALKDMTVKSKVSSLHITFPSETEWQKLKEKGFLQRIGMQYHWKNRNYKSFDDFLMDMKQSKRKNIRQERKKISVQNLTMKRLRGYEIKAHHWDSFYNFYRNTTDNKWGSPYLTKDFFHIMGSKMGDQVLLVIAEEGDELVAGALNIIGGDTLFGRLWGCHPRAYYPSLHFEACYYQVNLVMQLIRDSGPFKEGIQ >Manes.05G177500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29395680:29397453:-1 gene:Manes.05G177500.v8.1 transcript:Manes.05G177500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAIAVHGGAGVDPNLPLERQEEAKQLLTRCLNLGISALRSNLPAIDVVELVVRELESDPLFNSGRGSALTENGTVEMEASIMDGPKRRYGAVSGLTTVKNPISLAKLVMEKSPHSYLAFSGAEKFARQQGVELVENDYFITKENVEMLRLAKEANSIVFDYRIPLETCRAIATTTMDNPLQMMNGLPINVYAAETVGCVAVDSESRCAAATSTGGLMNKKTGRIGDSPLIGAGTYACELCGVSCTGEGEAIIRGTLARDVAAVMEYKGLGLQEAADFVINERLDEGIAGLIAVSKNGEVICRFNSNGMFRVCTNEDGFMEVGIW >Manes.02G171600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13539427:13542009:1 gene:Manes.02G171600.v8.1 transcript:Manes.02G171600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPETESCVAHVLSFTSPPEACRLSLISRTFNEASKSDTVWNRFLPADYQSIVSRSSDSSLLSSRLPKKHIFLSLCDNPILIDDGKMSFALDKWSGKKCYMISARHLMIVWGDTPAYWRWISVPGSRFTEVAELKAVCWFEIRGKINTSMLSPRTLYKAYLVFKLTAGSYGNGFEDRPVEGIVGLVESGSSKRTIYLDNRIRRHNIDEASVASREDSGNYPKIRGDRWLEIELGEFLNEEGDSGELEISVLEVKRLNWKRGLIVEGIEIRPASGI >Manes.16G078000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28284438:28287141:-1 gene:Manes.16G078000.v8.1 transcript:Manes.16G078000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICISSASSEIHQAEDGLENVIYLEENIAFNKLCSLYSREGSKGLNQDSAILHQGYGVEDGAFCGVFDGHGKNGHIVSKIVRNSLPTLLLGQKNALEKMKRVADGNNEEVEDVSLPSKNFYTWKEACVNAFKVMDKEIKLQENLDCSCSGTTAVVVLKQGEDLVIANLGDSRAVLGTISENEIMPVQLTTDLKPTVPSEAERIRKCNGRVLALKEEPHIQRVWLPHEDTPGLAMSRAFGDFLLKNHGVIALPEISHRRLASNDQFIILATDGVWDVLSNSQVASIVWAADSEQAAAKAVVEAAVATWKKKFPCSKVDDCTAVCLFLNKRHRQDAADSGLSYSSS >Manes.18G009600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1192374:1195484:-1 gene:Manes.18G009600.v8.1 transcript:Manes.18G009600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFWQVENDWSVKLTLNTSRFIKLQKQVDFVQRGSGQPFPSYTWFLPLYMFCFLSFVLVPMPSLYLSFTFNSIFLYFRYYSDHMIDQLMEIYTSVSSSSSLALWVSAISCSLFLAISAFVFAVVGTTVGAISGALVGVKCRSSFLHGATIGTIIGCLLSAEIFKASFAFWDSDDYAIECFISLIEKASNRLKQTLIQRRFSPAIHVLQESQGDAAHVEVQRRHEIPKIKLTEENIVDILWNGPSCSICLQDFQLGEAACSLPRCHHTFHLPCIGKWVMGHNSCPLCRTRLPKTWIALICKMRKEIRNAS >Manes.18G009600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1192374:1195484:-1 gene:Manes.18G009600.v8.1 transcript:Manes.18G009600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFWQVENDWSVKLTLNTSRFIKLQKQVDFVQRGSGQPFPSYTWFLPLYMFCFLSFVLVPMPSLYLSFTFNSIFLYFRYYSDHMIDQLMEIYTSVSSSSSLALWVSAISCSLFLAISAFVFAVVGTTVGAISGALVGVKCRSSFLHGATIGTIIGCLLSAEIFKASFAFWDSDDYAIECFISLGDAAHVEVQRRHEIPKIKLTEENIVDILWNGPSCSICLQDFQLGEAACSLPRCHHTFHLPCIGKWVMGHNSCPLCRTRLPKTWIALICKMRKEIRNAS >Manes.08G167200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40185029:40185643:1 gene:Manes.08G167200.v8.1 transcript:Manes.08G167200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETGDHQLPTPRWHSHRCRIVGGTILGFLLLLFLIILILALSVFKARAVHVHLLSASLGSVSPRISSFPIFNVVLNLTLNLTLLVDNPNYASFRHGPGKSLLLYQGSQMGEANIDPGLIPSMGDVTIPCRLTVEGNELSSNLKSLLNDILAGQLVMETRTTIPGRITFLGIFKKHAVANSACKFTFVFPDAKIQRRECKNKAE >Manes.09G067414.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11041973:11047100:1 gene:Manes.09G067414.v8.1 transcript:Manes.09G067414.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRTLLSSPPTFPRRTHLKSCLSSPVLMFHEQAVSTVTPVPTTSIARNFPTSVLLQEQREEIRPLLNVVKEDRISQTTVDRKQMEVGTSVNEENGGLDQLVLESGKSPLQIAQSPSWSLTVQSITANTDKLMPVEATNVIDLAKKALSASKEAALLAEKCSDLDDVVSNSLRTTCSSDFSVEEVKTVRSTRHLERQSKTRRVPKARDVFHEPYNSRRADVQKKINEGFDPKDPLRLFLWGPETKQLLTAKEESELVAQVQDLMRLEKAKKSLQFQFGREPTLVEWADVVGLSCSALQSQLCACNISREKLITANLRMVVHIAKQYQGRGLSLQDLMQEGSMGLMKSIEKFKPQVGCRFGTYAYWWIRQTVRKAVFLHSRTIRLPENVYHILGKVMEAKRSYIQEGNHNPTKEELAKRTGITVDKLERLLFMARMPVSMQRTVWADQATTFQEITADTEIEIPDVGAAKQLMRQHVHGLLNILNLKERQIIRLRYGIEDGKPKSLSEIGNVFGLSKERVRQLESRALYKLKQCLGSHGLEAYADLLV >Manes.09G067414.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11041973:11047100:1 gene:Manes.09G067414.v8.1 transcript:Manes.09G067414.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTSVNEENGGLDQLVLESGYCSLGWPKFWNLKSPLQIAQSPSWSLTVQSITANTDKLMPVEATNVIDLAKKALSASKEAALLAEKCSDLDDVVSNSLRTTCSSDFSVEEVKTVRSTRHLERQSKTRRVPKARDVFHEPYNSRRADVQKKINEGFDPKDPLRLFLWGPETKQLLTAKEESELVAQVQDLMRLEKAKKSLQFQFGREPTLVEWADVVGLSCSALQSQLCACNISREKLITANLRMVVHIAKQYQGRGLSLQDLMQEGSMGLMKSIEKFKPQVGCRFGTYAYWWIRQTVRKAVFLHSRTIRLPENVYHILGKVMEAKRSYIQEGNHNPTKEELAKRTGITVDKLERLLFMARMPVSMQRTVWADQATTFQEITADTEIEIPDVGAAKQLMRQHVHGLLNILNLKERQIIRLRYGIEDGKPKSLSEIGNVFGLSKERVRQLESRALYKLKQCLGSHGLEAYADLLV >Manes.09G067414.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11041973:11047100:1 gene:Manes.09G067414.v8.1 transcript:Manes.09G067414.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGRTLLSSPPTFPRRTHLKSCLSSPVLMFHEQAVSTVTPVPTTSIARNFPTSVLLQEQREEIRPLLNVVKEDRISQTTVDRKQMEVGTSVNEENGGLDQLVLESGYCSLGWPKFWNLKSPLQIAQSPSWSLTVQSITANTDKLMPVEATNVIDLAKKALSASKEAALLAEKCSDLDDVVSNSLRTTCSSDFSVEEVKTVRSTRHLERQSKTRRVPKARDVFHEPYNSRRADVQKKINEGFDPKDPLRLFLWGPETKQLLTAKEESELVAQVQDLMRLEKAKKSLQFQFGREPTLVEWADVVGLSCSALQSQLCACNISREKLITANLRMVVHIAKQYQGRGLSLQDLMQEGSMGLMKSIEKFKPQVGCRFGTYAYWWIRQTVRKAVFLHSRTIRLPENVYHILGKVMEAKRSYIQEGNHNPTKEELAKRTGITVDKLERLLFMARMPVSMQRTVWADQATTFQEITADTEIEIPDVGAAKQLMRQHVHGLLNILNLKERQIIRLRYGIEDGKPKSLSEIGNVFGLSKERVRQLESRALYKLKQCLGSHGLEAYADLLV >Manes.15G040900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3176523:3177823:-1 gene:Manes.15G040900.v8.1 transcript:Manes.15G040900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKASAALEILSLSISKKENKILMSYSGLEKKLQPAKKAWKRFAKTIETKFRNNLNFSSAIKVIKTSSNRLCCSLRSYRPFRKHSTIRRPYRGYHYNNSNLYRHHYSSKNQVRKNFSPIYIDNLYSVESPRSSSSLEAKHSHAETSSRGEQVVDKHVLPRKVETEKEKKKEKVLYSIEDAWREVVAKSPQLRPVDERAEEFIANFRREIKLQREKSIGEFEEMLARGA >Manes.07G052900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7657226:7677101:1 gene:Manes.07G052900.v8.1 transcript:Manes.07G052900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLINCFRNTETNPSIGSRERFGLREYSYGKLADSTDKFSNANLLGEGGFGQVYKGSIDGKVVAIKKLKQLPDEQSREGLEQEIKVVSSVSHKNLVKLVGYCIEGPNRLLVLEYVPQRSLKFHLHGNNILEWKNRMKIAIGSAKGLEYLHELCKPKIIHRDIKADNILVDDNFEPKVADFGLALFFPEIGSLTHISRSNKGTEVYADPENYPSQKVSEKSDVYSYGVVLLELITGRKTKVEGIDIVTWAKSRIEYALRSGDFTNLLDPKLQMNCVEEELRIMICCSTACVYKPSHFRPLMKEIVRALEGYMSIKDIWDEKNDNKFLSDNPKPNGSHNVDEFQHTVPKPLVLSEAINGIESRNFTQQELMVTTNGSSNTTKVNKKFRAYFEDDYKLNSFTYQELIVATRGFSEDNRLDEGPLGQVYKGDLNGEKVTVKKFNNPRKQEEEYKKMKAIGSSFHHRNVVNLIGYCEEGANRLLVYEFIPQGKSFRCYLSDGGSTLPWITRIRICIRAADIQALLLTSDECWWDPSLHWKDIFLLGDDDLEPKLAEYGREKFFSDFSPHKSNSCMAPEYTSTRMFTQKTRVYSCGVMLLEMITGKEAVDAVLQWAVPQLERARSSGNYDFVDRRLKDYNKIEMDRMIACSLACLRDNPQDRPEMSQVEIF >Manes.07G052900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7657226:7677100:1 gene:Manes.07G052900.v8.1 transcript:Manes.07G052900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLINCFRNTETNPSIGSRERFGLREYSYGKLADSTDKFSNANLLGEGGFGQVYKGSIDGKVVAIKKLKQLPDEQSREGLEQEIKVVSSVSHKNLVKLVGYCIEGPNRLLVLEYVPQRSLKFHLHGNNILEWKNRMKIAIGSAKGLEYLHELCKPKIIHRDIKADNILVDDNFEPKVADFGLALFFPEIGSLTHISRSNKGTEVYADPENYPSQKVSEKSDVYSYGVVLLELITGRKTKVEGIDIVTWIVRALEGYMSIKDIWDEKNDNKFLSDNPKPNGSHNVDEFQHTVPKPLVLSEAINGIESRNFTQQELMVTTNGSSNTTKVNKKFRAYFEDDYKLNSFTYQELIVATRGFSEDNRLDEGPLGQVYKGDLNGEKVTVKKFNNPRKQEEEYKKMKAIGSSFHHRNVVNLIGYCEEGANRLLVYEFIPQGKSFRCYLSDGGSTLPWITRIRICIRAADIQALLLTSDECWWDPSLHWKDIFLLGDDDLEPKLAEYGREKFFSDFSPHKSNSCMAPEYTSTRMFTQKTRVYSCGVMLLEMITGKEAVDAVLQWAVPQLERARSSGNYDFVDRRLKDYNKIEMDRMIACSLACLRDNPQDRPEMSQIVEVLKGNIDLQDL >Manes.07G052900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7657226:7677100:1 gene:Manes.07G052900.v8.1 transcript:Manes.07G052900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLINCFRNTETNPSIGSRERFGLREYSYGKLADSTDKFSNANLLGEGGFGQVYKGSIDGKVVAIKKLKQLPDEQSREGLEQEIKVVSSVSHKNLVKLVGYCIEGPNRLLVLEYVPQRSLKFHLHGNNILEWKNRMKIAIGSAKGLEYLHELCKPKIIHRDIKADNILVDDNFEPKVADFGLALFFPEIGSLTHISRSNKGTEVYADPENYPSQKVSEKSDVYSYGVVLLELITGRKTKVEGIDIVTWAKSRIEYALRSGDFTNLLDPKLQMNCVEEELRIMICCSTACVYKPSHFRPLMKEIVRALEGYMSIKDIWDEKNDNKFLSDNPKPNGSHNVDEFQHTVPKPLVLSEAINGIESRNFTQQELMVTTNGSSNTTKVNKKFRAYFEDDYKLNSFTYQELIVATRGFSEDNRLDEGPLGQVYKGDLNGEKVTVKKFNNPRKQEEEYKKMKAIGSSFHHRNVVNLIGYCEEGANRLLVYEFIPQGKSFRCYLSDGGSTLPWITRIRICIRAADIQALLLTSDECWWDPSLHWKDIFLLGDDDLEPKLAEYGREKFFSDFSPHKSNSCMAPEYTSTRMFTQKTRVYSCGVMLLEMITGKEAVDAVLQWAVPQLERARSSGNYDFVDRRLKDYNKIEMDRMIACSLACLRDNPQDRPEMSQIVEVLKGNIDLQDL >Manes.02G036775.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3053284:3053713:1 gene:Manes.02G036775.v8.1 transcript:Manes.02G036775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLICHSNQNTLISLPIVFFLFQFLNCKSHIVKAEKCRNLRIEIPRLSNLISLTF >Manes.17G039650.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164982:23174278:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARKNIVLTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYELVMFQYLHVLWQDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLVAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164982:23174278:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPSTSSSSTSKKCFNSDCVDFKSRKGWRLRSGEFAELCDRCASAYEEGRFCETFHLSATGWRCCDSCGKTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYEDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164982:23174278:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPSTSSSSTSKKCFNSDCVDFKSRKGWRLRSGEFAELCDRCASAYEEGRFCETFHLSATGWRCCDSCGKRVHCGCIVSIHAFTLLDAGGIECMTCARKNIVLTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYELVMFQYLHVLWQDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLVAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164982:23174278:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPSTSSSSTSKKCFNSDCVDFKSRKGWRLRSGEFAELCDRCASAYEEGRFCETFHLSATGWRCCDSCGKTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYEDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLVAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164982:23174278:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARKNIVLTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYEDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164982:23174278:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARKNIVLTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYELVMFQYLHVLWQDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164982:23174278:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARKNIVLTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYEDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLVAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164979:23174571:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPSTSSSSTSKKCFNSDCVDFKSRKGWRLRSGEFAELCDRCASAYEEGRFCETFHLSATGWRCCDSCGKRVHCGCIVSIHAFTLLDAGGIECMTCARKNIVLTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYEDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164982:23174278:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPSTSSSSTSKKCFNSDCVDFKSRKGWRLRSGEFAELCDRCASAYEEGRFCETFHLSATGWRCCDSCGKRVHCGCIVSIHAFTLLDAGGIECMTCARKNIVLTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYELVMFQYLHVLWQDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.17G039650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23164979:23174571:1 gene:Manes.17G039650.v8.1 transcript:Manes.17G039650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPSTSSSSTSKKCFNSDCVDFKSRKGWRLRSGEFAELCDRCASAYEEGRFCETFHLSATGWRCCDSCGKRVHCGCIVSIHAFTLLDAGGIECMTCARKNIVLTSNSAWPPSFFYNTPLSERFKDLSVKSWSQLAGSGPVPWRQAPSLFNSSIPHSELHPRNLYEIDRLNPSERSSAPSLEKGRVEDFPDRLVNGSLKLGTRDILENGNAGTSSEEKPGVCLGISQHPVLKEDPSTPQLGMAISFASLNESNGQIGGLGTPLRQTAPPLLAKQFHGNLHNGVDSSPDTQIRIGRPRADARGRSQLLPRYWPRFTDEELQQISGNSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISHPEGLPLKVQDSKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQNMRLQAGDIVTFSRLEPEGKLVMGFRKASTAPSSDLDNDTSKAGNGVSTNGDVELVDPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQRLLRPPPDHVPSVVMIEGFEFEEYEDAPILGKATIFATDNLGQKIQWVQCEDCFKWRKLPANALLPSKWTCSSNSWDLERSLCSAAQELTAEQLEDLLPSCNLVAASKKMKAAKQDAENEALEGLDTLANLAILGENEALPTSSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKKQQETLPEKLLDDDPSPSTNTGSSSPNKKKVVSEGSDDDPNRSKSSTSPFKGQIDLNIQPEREEELSPGSDCGSMMKMLQDARQQMLSSDGDNISAGNQLLAGHGMGENISNGISLGTIHQESQKDHPSAFSMKVSASSPAAG >Manes.03G060432.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6416036:6418413:-1 gene:Manes.03G060432.v8.1 transcript:Manes.03G060432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISETNLPTELYPQYATVQYTLSNPNVNPNIPGNAAPAPSGSLVFLFVLDTCMIEEEFGFVKSVLKRAIGLLPDNALVGFVSFGTQAQVHELGFSDMSKVYVFRGNKEISKDQIMEQLGLGVSGRRAPVGYPQKGMQNGFPILGVTRFLLPASECEYTLNFLLDELQTDQWPVAPGNRASRCTGVALSVASGLLGACLPGIGARIIALVGGPCTEGL >Manes.03G060432.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6413831:6418413:-1 gene:Manes.03G060432.v8.1 transcript:Manes.03G060432.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISETNLPTELYPQYATVQYTLSNPNVNPNIPGNAAPAPSGSLVFLFVLDTCMIEEEFGFVKSVLKRAIGLLPDNALVGFVSFGTQAQVHELGFSDMSKVYVFRGNKEISKDQIMEQLGLGVSGRRAPVGYPQKGMQNGFPILGVTRFLLPASECEYTLNFLLDELQTDQWPVAPGNRASRCTGVALSVASGLLGACLPGIGARIIALVGGPCTEGL >Manes.06G005300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:975105:976527:-1 gene:Manes.06G005300.v8.1 transcript:Manes.06G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRLQKRLAGTILKCGEGKLWLDPNETNEISLANSRMNIRKLIKDGFIMRKPQKIHSRSRCRKVKEAKARGRHSGNGKRKGTREARLPSKHMWMKKMRVLRRLLRKYRDLEKIDKHMYHEMYMKVKGNVFKNKRVLMERIYKLKAEKAKDKLVLDQFEAKKEKISLRKEERLSQAICMC >Manes.08G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35807084:35810494:-1 gene:Manes.08G119100.v8.1 transcript:Manes.08G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHHARTATHHIPPDSDSEPDDHTSSSSSPPLFLPCTTHEPAQSPAIYHLSFNQDYGCFAAGLDNGFRIYNTDPFKPLFRRDLDSRAGIGLVAMLFRCNILCLVGGGPDPIHPMSKVMIWDDHQSRCIGELSFRSEVKNVKLRRDRIIVVLLQKIFVYNFADLKLLHQIETVLNPKGLCEISHTSSPMVLVCLGLQKGQIRVENYGSKRTKFVMAHDSRIACMTMTQEGRLLATASSKGTLIRVFNTLDGSLLQEVRRGADRAEIYSLAFSSNAQWLAVSSEKGTVHVFSLKVDSGLVTLTDDRLHVASEPNHSNGSGISSLSILKGVLPKYFSSEWSVAQFRLPEGLQYFVAFGHQKNTIVIIGMDGSFYRCEFNPGSGGEMTQLEYHNFLNVDNFLKLGETFLKSM >Manes.16G025800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2723845:2727829:1 gene:Manes.16G025800.v8.1 transcript:Manes.16G025800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLAREVSDLCLGKPALRSLSVSATVGDALSSLRRSGDPYLSVWSCDHHCNVSRSKVADVNECRCIGKICLVDVICFLCKEDNLKNPGRALQEPVSVLLPKVPGLVRHLEPNASLLEAIDFILEGAQNLVIPIHSPFSRKKLIHKPSSNSTLHNNRDKYCWLTQEDIIRYLLNCIGLFSPTSNHAVEDLNIIDTKSILAVCYDEPASSLLPLISQSLVKQTSVAVLDIDGKLTGEISPYTLNSCNESVAAAIATLSTGDFMAYIDSGVPPDDLIQLVKERLEERNLRQAVELLEEESVILSSSSSDEEFGPGRSARLARHSSRVMMNTDAIVCFPWSSLVAVMIQALSHRVNYVWVIEDDGTLVGIVTFAGMMKVFRERLKSMM >Manes.16G025800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2723845:2728039:1 gene:Manes.16G025800.v8.1 transcript:Manes.16G025800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLAREVSDLCLGKPALRSLSVSATVGDALSSLRRSGDPYLSVWSCDHHCNVSRSKVADVNECRCIGKICLVDVICFLCKEDNLKNPGRALQEPVSVLLPKVPGLVRHLEPNASLLEAIDFILEGAQNLVIPIHSPFSRKKLIHKPSSNSTLHNNRDKYCWLTQEDIIRYLLNCIGLFSPTSNHAVEDLNIIDTKSILAVCYDEPASSLLPLISQSLVKQTSVAVLDIDGKLTGEISPYTLNSCNESVAAAIATLSTGDFMAYIDSGVPPDDLIQLVKERLEERNLRQAVELLEEESVILSSSSSDEEFGPGRSARLARHSSRVMMNTDAIVCFPWSSLVAVMIQALSHRVNYVWVIEDDGTLVGIVTFAGMMKVFRERLKSMM >Manes.09G113450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31555231:31557361:-1 gene:Manes.09G113450.v8.1 transcript:Manes.09G113450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFANSTSLSLSKALDVKKRLFQGFDSLKQLKHVHAVLLRLGLDEDNYLLNMTLRFSFDFGDTRYTRLLFNQIKQPNIFLWNTMIRGLVSNDCFTESIEFFHSMRKEGFLPNNFTFPFVLKACARLSHFRFGIILHAFVVKVGFDSDVFVNTSLVSLYVKCGYIEHAFKVFDDIPCKNIVSWTAIISGYIAVGKCREAIDMFRRLLEMGLRPDSFTLVRVLSACVQIGDVGSGDWIDRYITGIGMARNVFVATSLVDLYAKYGNMEKARRVFDGMGEKDIVTWSSMIQGYASNGLPKEALDLFFKMLNEGLKPDRYAMVGFLCACARLGALELGDWGSNLMDRNEFLANPVLGTALVDMYAKCGSMAKAWEVFRGVKEKDRVVWNAAISGLAMNGHVKAAFGLFGQMEKFGIQPDGNTLVGLLCGCTHAGLVDDGRQFFNSMGPVFPFTPTIEHYGCMVDLLGRAGLLDEAHHLIKSMPMKANAIVWGALLGGCRLHRDTQLAEHVLKQLIALEPWNSGNYVLLSNIYSSGHKWDDAAKIRSIMNDKGIQKIPGCSWIEFNGIVHEFLVGDKSHPLSDSIYAKLGELEKALKAAGYVPKTDNVLFDIEEEEKEHFLGCHSEKLAIAFGLISTDPKHTIRVVKNLRVCGLCSCKEYW >Manes.10G004214.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:332061:339606:1 gene:Manes.10G004214.v8.1 transcript:Manes.10G004214.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRSRGHGVRTARLADLGRPPRDPTVAPPPLEGMADHELSESREGHGEFVSHGVESGAYPTTPSPSSAPAVAPPVAPAAPPFVPPVAPAHPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDRARRLGAYDFEGSSDADIADKWLKKVLKVFELMKLTDPDKVENVHGLLQSKADAWFDGIRRRHGVRLTWDQFIHEFRQEYLSESYRKGKQDAFFRLFQGSLSIREYVDKFEDLYCFVSDILPSEEAKCDRFRQGLHVNIRSSMTWFRGNNFRALVEAALNVEKVKQEEKEYEQKMSRKQLQGSQGFRERPAKRGSSSFQPQAGYSGSGRGSFGNTEQQVARPQSSQSSVAQPAGSSFGAQKRGQGQGYDSGFEQRKRHFPQCATCGKYHAGECRKFDRGCFECGSSGHFKRDCPLLIARDSGSQQGSVAPQNLRYGVTPSQGVPTAQVGPGTSRASGTTSSSQPRPMMQPGRPRTQARVFAMTQQEARASPEVVTGEDPASRIDNRGPSAT >Manes.10G004214.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:332061:339660:1 gene:Manes.10G004214.v8.1 transcript:Manes.10G004214.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRSRGHGVRTARLADLGRPPRDPTVAPPPLEGMADHELSESREGHGEFVSHGVESGAYPTTPSPSSAPAVAPPVAPAAPPFVPPVAPAHPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDRARRLGAYDFEGSSDADIADKWLKKVLKVFELMKLTDPDKVENVHGLLQSKADAWFDGIRRRHGVRLTWDQFIHEFRQEYLSESYRKGKQDAFFRLFQGSLSIREYVDKFEDLYCFVSDILPSEEAKCDRFRQGLHVNIRSSMTWFRGNNFRALVEAALNVEKVKQEEKEYEQKMSRKQLQGSQGFRERPAKRGSSSFQPQAGYSGSGRGSFGNTEQQVARPQSSQSSVAQPAGSSFGAQKRGQGQGYDSGFEQRKRHFPQCATCGKYHAGECRKFDRGCFECGSSGHFKRDCPLLIARDSGSQQGSVAPQNLRYGVTPSQGVPTAQVGPGTSRASGTTSSSQPRPMMQPGRPRTQARVFAMTQQEARASPEVVTGEDPASRIDNRGPSAT >Manes.10G004214.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:332061:339314:1 gene:Manes.10G004214.v8.1 transcript:Manes.10G004214.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRSRGHGVRTARLADLGRPPRDPTVAPPPLEGMADHELSESREGHGEFVSHGVESGAYPTTPSPSSAPAVAPPVAPAAPPFVPPVAPAHPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDRARRLGAYDFEGSSDADIADKWLKKVLKVFELMKLTDPDKVENVHGLLQSKADAWFDGIRRRHGVRLTWDQFIHEFRQEYLSESYRKGKQDAFFRLFQGSLSIREYVDKFEDLYCFVSDILPSEEAKCDRFRQGLHVNIRSSMTWFRGNNFRALVEAALNVEKVKQEEKEYEQKMSRKQLQGSQGFRERPAKRGSSSFQPQAGYSGSGRGSFGNTEQQVARPQSSQSSVAQPAGSSFGAQKRGQGQGYDSGFEQRKRHFPQCATCGKYHAGECRKFDRGCFECGSSGHFKRDCPLLIARDSGSQQGSVAPQNLRYGVTPSQGVPTAQVGPGTSRASGTTSSSQPRPMMQPGRPRTQARVFAMTQQEARASPEVVTGEDPASRIDNRGPSAT >Manes.04G119900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32100525:32101846:1 gene:Manes.04G119900.v8.1 transcript:Manes.04G119900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSTLNVPEIDLSNLKPGTTEWESARSQLWKAVEEYGCFRAVFKQIPQELPNAILSELKELFDLPIETKMRNNEISELAYGGYVGKTAFGPLYESIGFDDPFNLEKVEKLINALLPPGKPDFCKNVHGFTKLMSELENVIVRMIMESLGVEKYYEEHLNSVFYSLRVNKYEAPENDEGEVGLKIHKDQDVMTILYQNQVDGLEVETRDGKWVDAIPSPDNFTVVIGESLHAWTNGLAYSPYHRVTMRGKTDRYSTGLFTAFKEGYLVKAPEMLVDEEHPLLYKPFDHFEFLKMIQRDAEKFYAATAKPYIPLIAYYGVDV >Manes.08G024500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2454180:2455309:-1 gene:Manes.08G024500.v8.1 transcript:Manes.08G024500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIEPLIVGRVIGDVVDYFTPEVKMCVTYNNRQVCNGYELYPSTAVAKPKVEVQGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWVVSNIPGTTDATFGREVVSYEIPRPNIGIHRFVYLLFRQKRRQTINPPASRDNFSTRNFAAENDLGPPVAAVYFNAQRETAARRR >Manes.05G189700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31241937:31250310:1 gene:Manes.05G189700.v8.1 transcript:Manes.05G189700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRSGLDSSSKQLLDQEKKKLSKDTFDVENDHENDSYTVGKITSSTPTTTSITPTPVSDLFTSSDDEKYHQKKNQLGDNDKFQKKKKQLAAFYDWLAEKKADRLASHVKLHRLSSDDEDRPLLIAPEPPAPEPEVISEIIEERDVDRNFDRQVSLPRLSSGSSYACSLFSGTTLDGNFLSDVKDSVTTITSTTRHDVVQEEEVVVKVEVEEEEKNDEKVAQRTREIYHLQLALAKRLSFQSGLASEFLLLQEGGPDSFDAESVSYRLWVSGCLSYSDRISDGFYNILGMNPYLWVMCNDAEEGRRLPPLMSLREIDPSETSMEVVLVDGRGDSRLKELEDKAQEIYCASENTLVLVEKLGKLVAICMGGTFPAEQGELHKRWKIVSRRLRDFHKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVADHRSSCLVKIEDDRQLSREYVVDLVGQPGNVHGPDSTINGGFISSIPSPFQISRLKEFQHAYMDNTSCQNPGSKHSHALTENPLSSALAGREGGGQQTRGNVKFSSYVPVNQSPLKNESSLVPLDLTRNLEPLDVRGQSVLEFSNLELQADEVVIQQTYKKQIVVPGNPIIDNVGKQTEVNFSSQSDVMEVDSRLHKKGRLPAATVPRYLNLEPSLAMDWLEISWEELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLREFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGEILDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLGPAQVVGAVAFQNRRLAIPPTTSPVLVALMESCWADDPAQRPSFGNIVDTLKKLLKSPLKLIQMGGRIESSS >Manes.05G189700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31241937:31250310:1 gene:Manes.05G189700.v8.1 transcript:Manes.05G189700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRSGLDSSSKQLLDQEKKKLSKDTFDVENDHENDSYTVGKITSSTPTTTSITPTPVSDLFTSSDDEKYHQKKNQLGDNDKFQKKKKQLAAFYDWLAEKKADRLASHVKLHRLSSDDEDRPLLIAPEPPAPEPEVISEIIEERDVDRNFDRQVSLPRLSSGSSYACSLFSGTTLDGNFLSDVKDSVTTITSTTRHDVVQEEEVVVKVEVEEEEKNDEKVAQRTREIYHLQLALAKRLSFQSGLASEFLLLQEGGPDSFDAESVSYRLWVSGCLSYSDRISDGFYNILGMNPYLWVMCNDAEEGRRLPPLMSLREIDPSETSMEVVLVDGRGDSRLKELEDKAQEIYCASENTLVLVEKLGKLVAICMGGTFPAEQGELHKRWKIVSRRLRDFHKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVADHRSSCLVKIEDDRQLSSREYVVDLVGQPGNVHGPDSTINGGFISSIPSPFQISRLKEFQHAYMDNTSCQNPGSKHSHALTENPLSSALAGREGGGQQTRGNVKFSSYVPVNQSPLKNESSLVPLDLTRNLEPLDVRGQSVLEFSNLELQADEVVIQQTYKKQIVVPGNPIIDNVGKQTEVNFSSQSDVMEVDSRLHKKGRLPAATVPRYLNLEPSLAMDWLEISWEELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLREFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGEILDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLGPAQVVGAVAFQNRRLAIPPTTSPVLVALMESCWADDPAQRPSFGNIVDTLKKLLKSPLKLIQMGGRIESSS >Manes.05G189700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31241937:31250310:1 gene:Manes.05G189700.v8.1 transcript:Manes.05G189700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRSGLDSSSKQLLDQEKKKLSKDTFDVENDHENDSYTVGKITSSTPTTTSITPTPVSDLFTSSDDEKYHQKKNQLGDNDKFQKKKKQLAAFYDWLAEKKADRLASHVKLHRLSSDDEDRPLLIAPEPPAPEPEVISEIIEERDVDRNFDRQVSLPRLSSGSSYACSLFSGTTLDGNFLSDVKDSVTTITSTTRHDVVQEEEVVVKVEVEEEEKNDEKVAQRTREIYHLQLALAKRLSFQSGLASEFLLLQEGGPDSFDAESVSYRLWVSGCLSYSDRISDGFYNILGMNPYLWVMCNDAEEGRRLPPLMSLREIDPSETSMEVVLVDGRGDSRLKELEDKAQEIYCASENTLVLVEKLGKLVAICMGGTFPAEQGELHKRWKIVSRRLRDFHKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVADHRSSCLVKIEDDRQLSREYVVDLVGQPGNVHGPDSTINGGFISSIPSPFQISRLKEFQHAYMDNTSCQNPGSKHSHALTENPLSSGREGGGQQTRGNVKFSSYVPVNQSPLKNESSLVPLDLTRNLEPLDVRGQSVLEFSNLELQADEVVIQQTYKKQIVVPGNPIIDNVGKQTEVNFSSQSDVMEVDSRLHKKGRLPAATVPRYLNLEPSLAMDWLEISWEELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLREFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGEILDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLGPAQVVGAVAFQNRRLAIPPTTSPVLVALMESCWADDPAQRPSFGNIVDTLKKLLKSPLKLIQMGGRIESSS >Manes.05G189700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31241935:31250310:1 gene:Manes.05G189700.v8.1 transcript:Manes.05G189700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRSGLDSSSKQLLDQEKKKLSKDTFDVENDHENDSYTVGKITSSTPTTTSITPTPVSDLFTSSDDEKYHQKKNQLGDNDKFQKKKKQLAAFYDWLAEKKADRLASHVKLHRLSSDDEDRPLLIAPEPPAPEPEVISEIIEERDVDRNFDRQVSLPRLSSGSSYACSLFSGTTLDGNFLSDVKDSVTTITSTTRHDVVQEEEVVVKVEVEEEEKNDEKVAQRTREIYHLQLALAKRLSFQSGLASEFLLLQEGGPDSFDAESVSYRLWVSGCLSYSDRISDGFYNILGMNPYLWVMCNDAEEGRRLPPLMSLREIDPSETSMEVVLVDGRGDSRLKELEDKAQEIYCASENTLVLVEKLGKLVAICMGGTFPAEQGELHKRWKIVSRRLRDFHKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVADHRSSCLVKIEDDRQLSSREYVVDLVGQPGNVHGPDSTINGGFISSIPSPFQISRLKEFQHAYMDNTSCQNPGSKHSHALTENPLSSGREGGGQQTRGNVKFSSYVPVNQSPLKNESSLVPLDLTRNLEPLDVRGQSVLEFSNLELQADEVVIQQTYKKQIVVPGNPIIDNVGKQTEVNFSSQSDVMEVDSRLHKKGRLPAATVPRYLNLEPSLAMDWLEISWEELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLREFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGEILDQRRRLRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLGPAQVVGAVAFQNRRLAIPPTTSPVLVALMESCWADDPAQRPSFGNIVDTLKKLLKSPLKLIQMGGRIESSS >Manes.01G072000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27363227:27366831:-1 gene:Manes.01G072000.v8.1 transcript:Manes.01G072000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYVWLISFFFLIALIVIIVFQLMCLADLEFDYINPYDSSSRINKVILPEYITEGVLGLFYLLTGHWCMSLLCGPYLYYNVRLYTGKQHLVDVTEIFNMLHWEKKQRLFKLFYLIFLLFLTIFWMIFTALEEHDLE >Manes.12G121300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32686928:32690814:-1 gene:Manes.12G121300.v8.1 transcript:Manes.12G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSFFVSELLPSTAQSPLFTASVAAFCSFNEKFSPTTLRFHSASSPSLFPRSKLKSRAISHSFKTSSKASSFANVEVEEQGEVEVAEGYSVTQFCDKIIDVFLNEKPRVKEWRKYLVFREEWNKYRERFYKRCQTRADMETDPNLKQKFTSLAAKVKRIDEEMERHCDLLKEIQDSPTDLNAIVTKRRKDFTGDFFRYLNLLAETFDSLEDRDAIARLATRCLSAVSAFDRTLENVETLDAAQAKFDDILNSPSVDVACEKIKSLAKTKELDSSLILLINSAWAAAKDSPDMRNEVKEIMYRIYKATKSSLRSIAPKEIKLLKHLLNIADPEVRFSSLATAFCPGDEHDAKDPYALYTTPKELHKWIKIMLDAYHLNKEDTDIREARQMTQPVVIQRLFILKETIEEEYLDKTTFRTQTEDDAKPED >Manes.06G143600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27101996:27104120:1 gene:Manes.06G143600.v8.1 transcript:Manes.06G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLNSSLSSKCPCLLSIQDPEANMHTPFLIPKSPTSKQRQLEHKLPPQFQEPTHKTLFYLAIREAICIAKIALPMILTGLLLYSRSMISMLFLGRLGELALAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAQKHSLLGLTLQRTILLLIFTSLPISLLWLNMKRILLFCGQDMAIATEAQSFLLYSLPDLLAQSFLHPLRIYLRTQSITLPLTFCATLSMVLHIPINYLLVTHLDLGIKGVALSGVWTNLNLIVSLIIYILISGVHKKTWGGFSTECFKEWKTLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPRATVASMGILIQTTSLIYIFPSSLSFSVSTRVGNELGANQPKKAKLAAIVGLSCSFILGFSALSFTVTVRKIWASMFTQDKEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVAVWLAFFAGFDFEGLWLGLLTAQGSCVVTMLVVLGCTDWEFQAQRAKELTGTVGVVIDDVDANQETEENKQSKPEIKEDSLYNCGDSDQSPV >Manes.S030116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:661585:661752:1 gene:Manes.S030116.v8.1 transcript:Manes.S030116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.06G076300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21253855:21254760:1 gene:Manes.06G076300.v8.1 transcript:Manes.06G076300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNLSLIFTHLKRALNFLFQYPFFHDYPYFKPENSGDHEELSTCQCYKWEPTSAEAIECAVCLSKIEEGEEIRESRRCKHMFHRVCLDRWVSYGRMSCPLCRDSLAPRRAIFDHGVEVLLFKFSSFTSSDDRDTWWLR >Manes.15G133500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10754582:10756201:1 gene:Manes.15G133500.v8.1 transcript:Manes.15G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGTHQEYNHLEEVKRFDDAKIGVKGLLDSGITSLPRMFVHPTAALSDLKSVSRSESQVIPTIDLSGVHSDRRPAIVEQVSQACRKLGFFQIVNHGVPLEVMNRTISAVKAFHELPTEVKKQWYRREVGTGVSFMSNVDLYNAKAASWRDTLQVRLGPVLADVEHIPEICKNEIIEWNQQATQVAETLMELLCEGLGLDAGRLKEMTFLESKTLAAHYYPPCPQPDLTFGITSHTDPGVLTVLLRDHIGGLQVKYGEQWLEIEPVPGAIVINIGDILQILSNDEYKSVDHRVLANPSTDPRVSVAIFFNAGKRDCLYGPFPELVSPEKPACYRQFMLNDFMRRFFTKDLAEKTLADYYRI >Manes.17G029600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22339437:22342342:1 gene:Manes.17G029600.v8.1 transcript:Manes.17G029600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNIFINLLLTIVLCFFNLLDGADIVYLYTYCPKATISPVNSIYDKNINSLVSSLSSNSTQKNGFYNATAGQDPSTKVYGLYLCRGDVAQDVCQDCVATASKEIIRRCPRNNESIIYYDECMLRYSNESFFSQVQYRPWLRMWNTQNVSEVERFMKLLEKTMNDTAARAANDRSGSGKMFSTGEVNFTSFQSLYTLVQCTWDLSAQACNSCLHEAIARLPRCCDGKQGGRTIFPSCNVRYELYRFYRVEATAPAPSPVPVLLPPAPKPRGKSRISTGTIIAVVAPIVVSVLLFAIGCCFLVRKSRKKFSPTQEDRNGDEISTVESLQFDFGVIEAATNKFSQENKLGEGGFGVVYKGILPSGQEIAVKRLSLYSGQGLEEFKNEVVVVAKLQHRNLVRLLGFCVEGEEKMLIYEFVPNKSLDYFLFDPEKQGLLDWSRRYKILGGIARGILYLHEDSRLRIIHRDLKTSNILLDAEMNSKISDFGMARILGVDQTQDNTNRIVGTYGYLSPEYAIHGRYSLKSDIYSFGVIVLEIISGKKISSFDQSDDAEDLLSCAWKHWSEGTALQFLDKNLIDSYSKNEVMRCIQLGLLCVQENPAERPTMGTIVLMLDSYSVTLPMPQKPAFFLHSETNQWKELGSHQTTSKSMPYSVDEASITEVQPR >Manes.17G029600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22339437:22342342:1 gene:Manes.17G029600.v8.1 transcript:Manes.17G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNIFINLLLTIVLCFFNLLDGADIVYLYTYCPKATISPVNSIYDKNINSLVSSLSSNSTQKNGFYNATAGQDPSTKVYGLYLCRGDVAQDVCQDCVATASKEIIRRCPRNNESIIYYDECMLRYSNESFFSQVQYRPWLRMWNTQNVSEVERFMKLLEKTMNDTAARAANDRSGSGKMFSTGEVNFTSFQSLYTLVQCTWDLSAQACNSCLHEAIARLPRCCDGKQGGRTIFPSCNVRYELYRFYRVEATAPAPSPVPVLLPPAPKPRGKSRISTGTIIAVVAPIVVSVLLFAIGCCFLVRKSRKKFSPTQEDRSDGDEISTVESLQFDFGVIEAATNKFSQENKLGEGGFGVVYKGILPSGQEIAVKRLSLYSGQGLEEFKNEVVVVAKLQHRNLVRLLGFCVEGEEKMLIYEFVPNKSLDYFLFDPEKQGLLDWSRRYKILGGIARGILYLHEDSRLRIIHRDLKTSNILLDAEMNSKISDFGMARILGVDQTQDNTNRIVGTYGYLSPEYAIHGRYSLKSDIYSFGVIVLEIISGKKISSFDQSDDAEDLLSCAWKHWSEGTALQFLDKNLIDSYSKNEVMRCIQLGLLCVQENPAERPTMGTIVLMLDSYSVTLPMPQKPAFFLHSETNQWKELGSHQTTSKSMPYSVDEASITEVQPR >Manes.01G208800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38052282:38053299:-1 gene:Manes.01G208800.v8.1 transcript:Manes.01G208800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKPMKQAMVVFGALAFGWLAIEMAFKPFLDKARAAMDKSDPNRDPDDVKDESAREESPSDAVAPDGKPAIM >Manes.07G087101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27707683:27712817:1 gene:Manes.07G087101.v8.1 transcript:Manes.07G087101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSIVPEHLATHNYENWRIWMKNYLLAHDLWDIVEETTESPNSNQAEFKEWKKKNAAALHAIHISCSLDVFLKIKEIDSAGRCWNALADIKVECIPKPEIQSEKENVYLKFRPLCLAIENGDCEAVKEFLKNCPEAVRQKLTNFGDKALHLAAFNGNVKVVQELVELMKEEDLETLNNNKETALNIAAGSGILRLAECMINKNKKLACVTGTTHIPVNVACSKGHRDMTYFLYSVTPLDFLRPEAGAFGSLLLHEAINNHFFDIALDLVQHCPLLAITRNHLGTTPLIELSCLTRLFPESCRLSFWQRRIYSCINVHQLASSRDVRIYIPQNGRKEDGNFLKRGLNQLRSLGSKFLELSGIKQIYDLKLIHTQALQLLDCICGAISTLDDSKVEEYGIYEVYFIAIQNGIIEIVTKIIKAHPPVLIVKQLASNRNILSTAVMFRQEKVFSLVYGLDTRKNLLLVGRDNDRNNMLHMAAMLAPPNRLARISGAALQMQRELQWYKEVESILKPSYKEYVNKFGVKPPQLFTNTHKDLVTEGEKWMKETATSCTVVGALIITIMFTAAFTVPGGNIQETGYPMFLHEKAFKVFIIADAISLFSSSTSVLMFLGILTSRYSEDDFLKSLPTKLIIGLSTLFFSIATMMVAFCATLILMLEGELNLIIPLVLLASIPVTLFIFLQFPLLVEIFISTYGPGIFDRKSKYLHK >Manes.08G141001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37721929:37724490:-1 gene:Manes.08G141001.v8.1 transcript:Manes.08G141001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRNVVSWTSMVTGFAKHGFAAKALETFHNMLEAGVRPNEITYIAVLSACSHVGLISEGWKHFKSMNMEHGIVPRMEHYACMVDLLGRSGCLEEEMEFINSMPFKADALVLRTFLGVCRVHDNIDLGKHAAKMILEQDPNDPAAHILLSNLYASTGQWDEVAEIRKNMKERNLTKEAGCSWIEVENKVHKFYMGDTSHSEVVEISDELDQLALEIKELGYVPNTDFILHDVEEEQKEQSLFKHSEKIAVAFGFISTSKSKPIRVFKDLRVCGDFHTAFKYFSIFRGRKIVVRDSN >Manes.14G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11653387:11656350:-1 gene:Manes.14G120300.v8.1 transcript:Manes.14G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSCLIVLQQHTCAFKRDLQLSSMATTIKPFFPHFASPKQIIASNSPRISCKPISDSVANLSVSRLVRQYGQVAVSVSFNPSGNFELSLFDDEDDSTSASPPMPPTEDRFNVVIDNDVISRLDLSPFHKVTGINSPSSAEPKEFLERTIGFTINYKREDPRDPRELSEFPDVRLWFVRLDATYPWLPVLLDWRAGELARYAAMLVPHQMNMKMGIVFNPEALELFIMKKVFIVYSWLKQHNVPKPRLKTSDMARMLGFGIGDELFDLVDQSPPAS >Manes.S045016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1356197:1356556:1 gene:Manes.S045016.v8.1 transcript:Manes.S045016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDCHDSSHASLKSK >Manes.03G195800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31383068:31386877:-1 gene:Manes.03G195800.v8.1 transcript:Manes.03G195800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKNPGILFRCDSMCRHVVTNSRKLASMSNGPHSSESVGKFSSFMEGNHQKNQRKIGSIRQGVDDVCRILESGPWGPAVESALSMLDESPQPEFIIGVLRRLKDAKQAVNYFRWAGKKTDQALCPEAYNSLLMVMAKTRKYDYLEQILGEMSIAGFGPSNNTCIELILNCVKSRKLREAFDLIQCMRKFKFRPAFSAYTALIGALSEVHESDLMLTLFHQMQEIGYEVSVHLFTTLIRVFAREGRLDSALSLLDEMKSNCFKADIVLYNVCIDCFGKVGKVDMAWKFFHEMKAHGLLPDDVTYTSMIGVLCKANRLDEAVEIFEQMEQNRKVPCAYAYNTMIMGYGSAGKFDEAYSLLERQKAKGCIPSVIAYNCILTCLGKVGRLREALSIFEEMKKDAAPSLTTYNILVDMLCRAGEVESAFKVRGAMEEAGLFPNVMTVNIMIDRLCKAKKLSEACSIFEEMNHKVCTPDEVTFCSLIDGLGKKGRVDDAYRLYEQMLDSDKIPNAIVYTSLLRNFFLCGRKEDGHKIYKEMIHRGCSPDLMLLNTYMDCVFKAGETEKGRALFEEIKARGFVPDVRSYSILIHGLVKAGFARETYELFYAMKDQGCVLDTRAYNTVIDGFCKSGQVNKAYQLLEEMKTKGNQPTIVTYGSVIDGLAKIDRLDEAYMLFEEAKSNGLKLNVVIYSSLIDGFGKVGRIDEAYLIMEELMQKGLTPNVYTWNCLLDALVKAEEINEALVCFQSMKNLKCTPNHVTYGILINGLCMVRKFNKAFVFWQEMMKQGLEPSAITYTTMIAGLAKAGNVGEANSLFERFKARGGTPDSACYNAIIEGLSYADSAMDAYGLFEETRLKGQKIHAKTCIALWDALCRAECVEQAAIVGAVMREVAHSQHAARSW >Manes.10G109380.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26798414:26799083:1 gene:Manes.10G109380.v8.1 transcript:Manes.10G109380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSISLLYLAILNELNSMSQLVEGAKDEFRQVLEDHKNSLQLMIKTITRKDDYDWLLEHSAVLDSESMIHLLMMKMIPEEKLRDEELYNPLIRWSKNLDVKLYKKFKKKDLTDSQVLQDWLCKLCQILFKPQNLL >Manes.11G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2176806:2178846:1 gene:Manes.11G020200.v8.1 transcript:Manes.11G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNAPNTRNRSPFTPTQWQELEHQALIYKYMVSGVPIPPELIYSVKRSLESSLASRLFPHQPVGWGCFQVGFGRKADPEPGRCRRTDGKKWRCSKEAFPDSKYCERHMHRGRNRSRKPVELASTATSTTATATVTNPLPSINRNLSTPTSPFASSYSFSPLSSTMEPEIPVYQNPSQSSILNPFLYSHSSSSSRPPGSGFSPQNSATHNLFLDAGSSSHADKDYRYFHGMREVVDERAFFPEASAGSARSSQDPYQQLAMSSYKGYSQLQFQRLADTSKQQEEDQQHCFVLGTDFKSSSTRPIKLEREGETQKPLHHFFGEWPPKSTDSWLDLAPSSGLHTADS >Manes.14G170400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28030268:28038497:-1 gene:Manes.14G170400.v8.1 transcript:Manes.14G170400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWISSKLRVAETFFEQIDQQAAESLKKNENPSRSDDRKFHVPTKTGGGGGGGGTVSLKEQLKKKTTHETINSNTHEYFGKLNTDTNNIGDFSNVSSNSNGGEKEIANAPKPFVKARSTLTDSDWTELLSTPTQATTSSSSNRSNGVSVIRGLRKDGGRRQGSPGSNFSVLEGKMNLKSSGGVKSKKRLDVALGNRLNGKPSDEEESTSSARSSSVELHSDAKNLDREDLDHKDIVVDLMEKQSNKGYEKKGGLGLTESRNISEENILQGGKGDSSEMSCVSEKVGEASDVKKGVSNVYDRLRNTVKGKHRSGSASRSAASDDLKKGSSTSDEGSDSDSDSVSTSDSENEQEREMREKILAQKAAAKALEVIKERENMVARLEGEKQSLEKILEERAKQQAQEASELQKTMMETMQAVELEKQKHNNTRMEALARLVKLETATADLARSLATAEKNLEMEINRVAELRRQLELKEVAHEELRRKVSKTHQTGTYLGQGAASKGLEFERDILEAEYSFLTDKIGRLEDKAKKLEANIEMTKKDLEDPTEVEVELKRRLAQLTDHLIQKQAQVEALSSEKATLLFRIEAVSRLLEENRSISRDVESGTWEIPKSKLRPLLEDKIRSGSEHLGSLLLQLDAIFVAGAVFVRRNPTAKLWSLVYLVCLHFWVIYILLTHSQGSDESRSGAVISLENINNTAAM >Manes.03G048300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4391621:4395828:1 gene:Manes.03G048300.v8.1 transcript:Manes.03G048300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHEVSLVFIFFFLLVSGSSSSSNSDFFALLSFKESLLHSSQLLSSWVNSSNPCLDSWFGVTCNPTTHRVTRLVLENLNLTGSIHPLAQLPQLRLLSLKNNRLSSSSNLNFSSWPNLKHLYLSYNQLSGKFPSGISRLHRLHRLDLSHNHFSGDIPINEIALLPHLLTLRLEANSFAGTIDYANPLSSSIVEFNVSGNHLSGKIPAWLTRFPASSFAGNDHLCGEPLRIKCSNQTVRSQPVKSSSVTKEKRASNWLVFMIVGVDAAAIMAVIATITCCCYYRRRRRINGGNHGEVIKRKGGSHPQIGGYYYYGGGGGELREGEEMVLFEGCKGFSGVDDLLKSSAELLGKGSVGTTYKVEMDGGDVVVVKRVRERRRKREVSGWLRMVGGVRHSNIVSLRAYYNSKDEILLVYDHLPNGSLQFLLHGNRGPGRTPLEWTTRLKLASDSAQGLAFLHGYNKAKLFHGNLTSSNILVDHLGNACISEICLGQLLHSPPPFSNNSCKAPELVASIGHGHGKFTQKCDVYSFGVILLEILTGKMPTGEGETSLVRWVQRVRREEWTWEVFDFELYRCKEMEEEMVALMQVALLCLAPLPKDRPKMSMVVRMIEDIRTKGSTPNSLLNAISSDSSPSLSENTINFTTSS >Manes.03G048300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4391621:4396443:1 gene:Manes.03G048300.v8.1 transcript:Manes.03G048300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHEVSLVFIFFFLLVSGSSSSSNSDFFALLSFKESLLHSSQLLSSWVNSSNPCLDSWFGVTCNPTTHRVTRLVLENLNLTGSIHPLAQLPQLRLLSLKNNRLSSSSNLNFSSWPNLKHLYLSYNQLSGKFPSGISRLHRLHRLDLSHNHFSGDIPINEIALLPHLLTLRLEANSFAGTIDYANPLSSSIVEFNVSGNHLSGKIPAWLTRFPASSFAGNDHLCGEPLRIKCSNQTVRSQPVKSSSVTKEKRASNWLVFMIVGVDAAAIMAVIATITCCCYYRRRRRINGGNHGEVIKRKGGSHPQIGGYYYYGGGGGELREGEEMVLFEGCKGFSGVDDLLKSSAELLGKGSVGTTYKVEMDGGDVVVVKRVRERRRKREVSGWLRMVGGVRHSNIVSLRAYYNSKDEILLVYDHLPNGSLQFLLHGNRGPGRTPLEWTTRLKLASDSAQGLAFLHGYNKAKLFHGNLTSSNILVDHLGNACISEICLGQLLHSPPPFSNNSCKAPELVASIGHGHGKFTQKCDVYSFGVILLEILTGKMPTGEGETSLVRWVQRVRREEWTWEVFDFELYRCKEMEEEMVALMQVALLCLAPLPKDRPKMSMVVRMIEDIRTKGSTPNSLLNAISSDSSPSLSENTINFTTSS >Manes.02G154102.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11970941:11971294:1 gene:Manes.02G154102.v8.1 transcript:Manes.02G154102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLPSNSSSNVNVHDPVTSQPRLAFQTGTYIVQVPMDQIYLVPAPENAKQHRNPEAKKEAYRCYSQLCCFIFIVIVVISIALTLGFSFSLLKPKNPEFKVQRLAVKAFAKTCRTS >Manes.11G036400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3514501:3517016:1 gene:Manes.11G036400.v8.1 transcript:Manes.11G036400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNSYGLASMKAPHNLKQTTNTFKTLKPSTHSSFSRLKIRAVGTVPESKSDAKEPEDPPSISFAFVHSVLLPDGTPDVHFRTACGGQKLRDVMLDSNIELYGPYSRALLNCAGGGTCGTCMVEVIMGKELLNPRTDKEKELLKKKPKNWRLACQTTVGKPDSKGLVVIQQLPEWKAHEWKYEKLLPPELQQ >Manes.11G036400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3514501:3517016:1 gene:Manes.11G036400.v8.1 transcript:Manes.11G036400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNSYGLASMKAPHNLKQTTNTFKTLKPSTHSSFSRLKIRAVGTVPESKSDAKEPEDPPSISFAFVHSVLLPDGTPDVHFRTACGGQKLRDVMLDSNIELYGPYSRALLNCAGGGTCGTCMVEVIMGKELLNPRTDKEKELLKKKPKNWRLACQTTVGCHPATA >Manes.11G036400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3514501:3517016:1 gene:Manes.11G036400.v8.1 transcript:Manes.11G036400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQLNSYGLASMKAPHNLKQTTNTFKTLKPSTHSSFSRLKIRAVGTVPESKSDAKEPEDPPSISFAFVHSVLLPDGTPDVHFRTACGGQKLRDVMLDSNIELYGPYSRALLNCAGGGTCGTCMVEVIMGKELLNPRTDKEKELLKKKPKNWRLACQTTVGKPDSKGLVCIPNF >Manes.01G084900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28720354:28724872:-1 gene:Manes.01G084900.v8.1 transcript:Manes.01G084900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFPLSSSSGSSSISDKEQGNGGNNRAMLYLNVYDLTPINNYIYWFGVGIFHSGIEVHGMEFGFGAHEYPTSGVFEVEPKSCPGFIFRRSLLLGSTNLSLSEVRSFMEHLSTKYHGDTYHLIAKNCNHFTDEVCLQLTGKPIPGWVNRLARVGSFCNCLLPESIQMAAVRHLPDHPAYSDDDGLESITSSVSANSEGEDSDHLLLNVPNGDVAFLKEQPVRLAREHV >Manes.17G083500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29006988:29008965:-1 gene:Manes.17G083500.v8.1 transcript:Manes.17G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLVSRSGRELQRYDNQGRRQVVGCIPYRFKSCSDGSIAGDHKLEVLVITSQKGQGMMFPKGGWELDESVEEAASRESLEEAGVLGHVEDELGKWNFLSKRHGTFYEGYMFPLLVTEQLDLWPEKHVRQRIWMTVEEARDVCRHWWMKEALDKLVERLMSLQQQKEQNDDVFNAF >Manes.09G051500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8615505:8617896:1 gene:Manes.09G051500.v8.1 transcript:Manes.09G051500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHQPSAQKHHLFSLPIIIKRELVYAICLITFILISSIFVFDLIDSHQLSRLGFLSQVLLKNQNSSQGACDYSNGRWVRDQSYYKIQSYDETCPFLDPGFRCRQCGRKDVEYLKWRWKPEGCEIPRFNASDLLERSRNGRIVFAGDSIVRNQWESFLCMLSQGVLNTSTIYEERGQPITKHKGFLSMRFSEHNLTVEYYRVPFLVVVGRPPLDSSTDVKMTVKVDQLHWFSEKWVGADVLVFGGGHWWNEDKTLKMGCYFENGGKVNTSMHALEAFGRSLETWKSWAIQNLDPQRSHIFFRSFSPVHYRNGTWNTGGRCDVDMQPETNKTNLEPEPVNNQLISSVIKQMELEHINSKIQYLNITYLTQFRFDGHPSVHREQGTPVRAPQDCSHWCLPGVPDVWNQILYAHLLSMGFRTK >Manes.07G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31959766:31963314:-1 gene:Manes.07G114300.v8.1 transcript:Manes.07G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALITTLSMENYHPSTLLSMDSGSLQHDEFEREMNRSVILSRPPDINLPLSSEPSPPPSLAWDFPCDILDVSLAPQTYEVETVVNVPKAAKKCTKRLDSIWGAWFFFSFYFKPVLNEKSKCKVIRDGDGVSACEKSDLQLDSFLVQHDMENIYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDKGFVRSHRMQRKYYRGLSNPQCVHGIEIVRSPNLMSLDEEEKKRWVDLTGRDINFTIPPEASDFGSWRNLPNPEFELERPLHPLKTNGNSYLGKLLNGTGLNLSTQSSDHRNGGNMDPSPVCHKRKKDYLPHGHDDECFANNSHGDRVDMNIHPVEPAWINEFSGVMKNVYGPVTAAKTIYEDDQAFLIIISLPFADLQRVKVTWRNTKLHGIVKIACISTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNRIPEDAKLEAYRDETGTMLEIIVPKHRVGPEEHEVQMLG >Manes.08G076600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16238401:16244764:1 gene:Manes.08G076600.v8.1 transcript:Manes.08G076600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETESKKRSPSVIARLMGFDGLPPQQQSHKQHKRSSENYLQRMPPTGKSQRSSTLSSCRSSRKSSKEEQEFKDVFEVLDTSKMGSSSCSLQGTADSKITEAEMAFIKQTFMDVTHLSSDEKLYNLKEFHDAINHLNSNKDVLLKFLEQPDSLFTKHLNDLQAALPQSHCCRISTTRSLHAREYEGSVLGCKIDKEMQLKNHKQRHNDPLIHSYHKQAADDPLKPLKIQLEGKDGPSVPPTQIVVLKPNYGKVKNATRTVSSPHSSHDFLSDCKRYTELPSIKSREAELCANKRFPDHVAQPRYKSRESREIAKEITRKMRNSLGSGSTRISTSGYRGYAGDESSPNMSDNESANESDVMTVISRDSIGWSNRFRSSSSRSAESSVSREAKKRLSERWKMTHSHRSVDMGVISRGCTLGEMLALPDREERPANVDAMIVGKGFSDNFDGHDEPAGCVEPLGISSRDGWKDGCIRNLSRSRSLPASCTAFGSPTMHRETLFNDRHLPPKESMPQERIKAVKGNCNQREGSSSRNSRSRIRKYHFSEHTCRNHSDSSPEINLSHKQIQSSNDDPFKPYLVVSETPASIVTNISLVTENVADVAVENMADVAIENVATPAKSTDSELPAYVEPLNKPPDEGSAPEKHSVAELESPASSKQADQPSPVSVLETPFPDDLSSSSECFESLSADLQGLRMQLQLLKLESEAYAEGSMLISSDEDVEEGSIGFSVENVIVEESRESSYVVDILSESGINDADPETFMASWHSSECPVNLLVFEELEKKHCNLISWPRSERKLLFDRVNSALVVISQHFAHPLRWLRPGTTTIPRWIKHGLGNSILKLLANQEKTANNNVAEKALVSDSTWLDLRDDIHIVGREIERLMMEELVKEIVAV >Manes.08G076600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16238401:16244764:1 gene:Manes.08G076600.v8.1 transcript:Manes.08G076600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAPAVDSEKFTCELGRRPSKQAAGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQQQSHKQHKRSSENYLQRMPPTGKSQRSSTLSSCRSSRKSSKEEQEFKDVFEVLDTSKMGSSSCSLQGTADSKITEAEMAFIKQTFMDVTHLSSDEKLYNLKEFHDAINHLNSNKDVLLKFLEQPDSLFTKHLNDLQAALPQSHCCRISTTRSLHAREYEGSVLGCKIDKEMQLKNHKQRHNDPLIHSYHKQAADDPLKPLKIQLEGKDGPSVPPTQIVVLKPNYGKVKNATRTVSSPHSSHDFLSDCKRYTELPSIKSREAELCANKRFPDHVAQPRYKSRESREIAKEITRKMRNSLGSGSTRISTSGYRGYAGDESSPNMSDNESANESDVMTVISRDSIGWSNRFRSSSSRSAESSVSREAKKRLSERWKMTHSHRSVDMGVISRGCTLGEMLALPDREERPANVDAMIVGKGFSDNFDGHDEPAGCVEPLGISSRDGWKDGCIRNLSRSRSLPASCTAFGSPTMHRETLFNDRHLPPKESMPQERIKAVKGNCNQREGSSSRNSRSRIRKYHFSEHTCRNHSDSSPEINLSHKQIQSSNDDPFKPYLVVSETPASIVTNISLVTENVADVAVENMADVAIENVATPAKSTDSELPAYVEPLNKPPDEGSAPEKHSVAELESPASSKQADQPSPVSVLETPFPDDLSSSSECFESLSADLQGLRMQLQLLKLESEAYAEGSMLISSDEDVEEGSIGFSVENVIVEESRESSYVVDILSESGINDADPETFMASWHSSECPVNLLVFEELEKKHCNLISWPRSERKLLFDRVNSALVVISQHFAHPLRWLRPGTTTIPRWIKHGLGNSILKLLANQEKTANNNVAEKALVSDSTWLDLRDDIHIVGREIERLMMEELVKEIVAV >Manes.08G076600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16238401:16244764:1 gene:Manes.08G076600.v8.1 transcript:Manes.08G076600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETESKKRSPSVIARLMGFDGLPPQQQSHKQHKRSSENYLQRMPPTGKSQRSSTLSSCRSSRKSSKEEQEFKDVFEVLDTSKMGSSSCSLQGTADSKITEAEMAFIKQTFMDVTHLSSDEKLYNLKEFHDAINHLNSNKDVLLKFLEQPDSLFTKHLNDLQAALPQSHCCRISTTRSLHAREYEGSVLGCKIDKEMQLKNHKQRHNDPLIHSYHKQAADDPLKPLKIQLEGKDGPSVPPTQIVVLKPNYGKVKNATRTVSSPHSSHDFLSDCKRYTELPSIKSREAELCANKRFPDHVAQPRYKSRESREIAKEITRKMRNSLGSGSTRISTSGYRGYAGDESSPNMSDNESANESDVMTVISRDSIGWSNRFRSSSSRSAESSVSREAKKRLSERWKMTHSHRSVDMGVISRGCTLGEMLALPDREERPANVDAMIVGKGFSDNFDGHDEPAGCVEPLGISSRDGWKDGCIRNLSRSRSLPASCTAFGSPTMHRETLFNDRHLPPKESMPQERIKAVKGNCNQREGSSSRNSRSRIRKYHFSEHTCRNHSDSSPEINLSHKQIQSSNDDPFKPYLVVSETPASIVTNISLVTENVADVAVENMADVAIENVATPAKSTDSELPAYVEPLNKPPDEGSAPEKHSVAELESPASSKQADQPSPVSVLETPFPDDLSSSSECFESLSADLQGLRMQLQLLKLESEAYAEGSMLISSDEDVEEGSIGFSVENVIVEESRESSYVVDILSESGINDADPETFMASWHSSECPVNLLVFEELEKKHCNLISWPRSERKLLFDRVNSALVVISQHFAHPLRWLRPGTTTIPRWIKHGLGNSILKLLANQEKTANNNVAEKALVSDSTWLDLRDDIHIVGREIERLMMEELVKEIVAV >Manes.08G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16238401:16244764:1 gene:Manes.08G076600.v8.1 transcript:Manes.08G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFWLREPDIAACTNDCSSSRRFREGNVLVQKQRTFSQLTSDLNSENIDTTGKDSFTCELGRRPSKQAAGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQQQSHKQHKRSSENYLQRMPPTGKSQRSSTLSSCRSSRKSSKEEQEFKDVFEVLDTSKMGSSSCSLQGTADSKITEAEMAFIKQTFMDVTHLSSDEKLYNLKEFHDAINHLNSNKDVLLKFLEQPDSLFTKHLNDLQAALPQSHCCRISTTRSLHAREYEGSVLGCKIDKEMQLKNHKQRHNDPLIHSYHKQAADDPLKPLKIQLEGKDGPSVPPTQIVVLKPNYGKVKNATRTVSSPHSSHDFLSDCKRYTELPSIKSREAELCANKRFPDHVAQPRYKSRESREIAKEITRKMRNSLGSGSTRISTSGYRGYAGDESSPNMSDNESANESDVMTVISRDSIGWSNRFRSSSSRSAESSVSREAKKRLSERWKMTHSHRSVDMGVISRGCTLGEMLALPDREERPANVDAMIVGKGFSDNFDGHDEPAGCVEPLGISSRDGWKDGCIRNLSRSRSLPASCTAFGSPTMHRETLFNDRHLPPKESMPQERIKAVKGNCNQREGSSSRNSRSRIRKYHFSEHTCRNHSDSSPEINLSHKQIQSSNDDPFKPYLVVSETPASIVTNISLVTENVADVAVENMADVAIENVATPAKSTDSELPAYVEPLNKPPDEGSAPEKHSVAELESPASSKQADQPSPVSVLETPFPDDLSSSSECFESLSADLQGLRMQLQLLKLESEAYAEGSMLISSDEDVEEGSIGFSVENVIVEESRESSYVVDILSESGINDADPETFMASWHSSECPVNLLVFEELEKKHCNLISWPRSERKLLFDRVNSALVVISQHFAHPLRWLRPGTTTIPRWIKHGLGNSILKLLANQEKTANNNVAEKALVSDSTWLDLRDDIHIVGREIERLMMEELVKEIVAV >Manes.08G076600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16238401:16244764:1 gene:Manes.08G076600.v8.1 transcript:Manes.08G076600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLVWSRKCRNLEEKNVFSFFFLSKFKICWILLPALIIAAPAVESEKFTCELGRRPSKQAAGTPIKKLLAEEMSRETESKKRSPSVIARLMGFDGLPPQQQSHKQHKRSSENYLQRMPPTGKSQRSSTLSSCRSSRKSSKEEQEFKDVFEVLDTSKMGSSSCSLQGTADSKITEAEMAFIKQTFMDVTHLSSDEKLYNLKEFHDAINHLNSNKDVLLKFLEQPDSLFTKHLNDLQAALPQSHCCRISTTRSLHAREYEGSVLGCKIDKEMQLKNHKQRHNDPLIHSYHKQAADDPLKPLKIQLEGKDGPSVPPTQIVVLKPNYGKVKNATRTVSSPHSSHDFLSDCKRYTELPSIKSREAELCANKRFPDHVAQPRYKSRESREIAKEITRKMRNSLGSGSTRISTSGYRGYAGDESSPNMSDNESANESDVMTVISRDSIGWSNRFRSSSSRSAESSVSREAKKRLSERWKMTHSHRSVDMGVISRGCTLGEMLALPDREERPANVDAMIVGKGFSDNFDGHDEPAGCVEPLGISSRDGWKDGCIRNLSRSRSLPASCTAFGSPTMHRETLFNDRHLPPKESMPQERIKAVKGNCNQREGSSSRNSRSRIRKYHFSEHTCRNHSDSSPEINLSHKQIQSSNDDPFKPYLVVSETPASIVTNISLVTENVADVAVENMADVAIENVATPAKSTDSELPAYVEPLNKPPDEGSAPEKHSVAELESPASSKQADQPSPVSVLETPFPDDLSSSSECFESLSADLQGLRMQLQLLKLESEAYAEGSMLISSDEDVEEGSIGFSVENVIVEESRESSYVVDILSESGINDADPETFMASWHSSECPVNLLVFEELEKKHCNLISWPRSERKLLFDRVNSALVVISQHFAHPLRWLRPGTTTIPRWIKHGLGNSILKLLANQEKTANNNVAEKALVSDSTWLDLRDDIHIVGREIERLMMEELVKEIVAV >Manes.08G076600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16240174:16244764:1 gene:Manes.08G076600.v8.1 transcript:Manes.08G076600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETESKKRSPSVIARLMGFDGLPPQQQSHKQHKRSSENYLQRMPPTGKSQRSSTLSSCRSSRKSSKEEQEFKDVFEVLDTSKMGSSSCSLQGTADSKITEAEMAFIKQTFMDVTHLSSDEKLYNLKEFHDAINHLNSNKDVLLKFLEQPDSLFTKHLNDLQAALPQSHCCRISTTRSLHAREYEGSVLGCKIDKEMQLKNHKQRHNDPLIHSYHKQAADDPLKPLKIQLEGKDGPSVPPTQIVVLKPNYGKVKNATRTVSSPHSSHDFLSDCKRYTELPSIKSREAELCANKRFPDHVAQPRYKSRESREIAKEITRKMRNSLGSGSTRISTSGYRGYAGDESSPNMSDNESANESDVMTVISRDSIGWSNRFRSSSSRSAESSVSREAKKRLSERWKMTHSHRSVDMGVISRGCTLGEMLALPDREERPANVDAMIVGKGFSDNFDGHDEPAGCVEPLGISSRDGWKDGCIRNLSRSRSLPASCTAFGSPTMHRETLFNDRHLPPKESMPQERIKAVKGNCNQREGSSSRNSRSRIRKYHFSEHTCRNHSDSSPEINLSHKQIQSSNDDPFKPYLVVSETPASIVTNISLVTENVADVAVENMADVAIENVATPAKSTDSELPAYVEPLNKPPDEGSAPEKHSVAELESPASSKQADQPSPVSVLETPFPDDLSSSSECFESLSADLQGLRMQLQLLKLESEAYAEGSMLISSDEDVEEGSIGFSVENVIVEESRESSYVVDILSESGINDADPETFMASWHSSECPVNLLVFEELEKKHCNLISWPRSERKLLFDRVNSALVVISQHFAHPLRWLRPGTTTIPRWIKHGLGNSILKLLANQEKTANNNVAEKALVSDSTWLDLRDDIHIVGREIERLMMEELVKEIVAV >Manes.08G076600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16238401:16244764:1 gene:Manes.08G076600.v8.1 transcript:Manes.08G076600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETESKKRSPSVIARLMGFDGLPPQQQSHKQHKRSSENYLQRMPPTGKSQRSSTLSSCRSSRKSSKEEQEFKDVFEVLDTSKMGSSSCSLQGTADSKITEAEMAFIKQTFMDVTHLSSDEKLYNLKEFHDAINHLNSNKDVLLKFLEQPDSLFTKHLNDLQAALPQSHCCRISTTRSLHAREYEGSVLGCKIDKEMQLKNHKQRHNDPLIHSYHKQAADDPLKPLKIQLEGKDGPSVPPTQIVVLKPNYGKVKNATRTVSSPHSSHDFLSDCKRYTELPSIKSREAELCANKRFPDHVAQPRYKSRESREIAKEITRKMRNSLGSGSTRISTSGYRGYAGDESSPNMSDNESANESDVMTVISRDSIGWSNRFRSSSSRSAESSVSREAKKRLSERWKMTHSHRSVDMGVISRGCTLGEMLALPDREERPANVDAMIVGKGFSDNFDGHDEPAGCVEPLGISSRDGWKDGCIRNLSRSRSLPASCTAFGSPTMHRETLFNDRHLPPKESMPQERIKAVKGNCNQREGSSSRNSRSRIRKYHFSEHTCRNHSDSSPEINLSHKQIQSSNDDPFKPYLVVSETPASIVTNISLVTENVADVAVENMADVAIENVATPAKSTDSELPAYVEPLNKPPDEGSAPEKHSVAELESPASSKQADQPSPVSVLETPFPDDLSSSSECFESLSADLQGLRMQLQLLKLESEAYAEGSMLISSDEDVEEGSIGFSVENVIVEESRESSYVVDILSESGINDADPETFMASWHSSECPVNLLVFEELEKKHCNLISWPRSERKLLFDRVNSALVVISQHFAHPLRWLRPGTTTIPRWIKHGLGNSILKLLANQEKTANNNVAEKALVSDSTWLDLRDDIHIVGREIERLMMEELVKEIVAV >Manes.08G076600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16240184:16244764:1 gene:Manes.08G076600.v8.1 transcript:Manes.08G076600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRETESKKRSPSVIARLMGFDGLPPQQQSHKQHKRSSENYLQRMPPTGKSQRSSTLSSCRSSRKSSKEEQEFKDVFEVLDTSKMGSSSCSLQGTADSKITEAEMAFIKQTFMDVTHLSSDEKLYNLKEFHDAINHLNSNKDVLLKFLEQPDSLFTKHLNDLQAALPQSHCCRISTTRSLHAREYEGSVLGCKIDKEMQLKNHKQRHNDPLIHSYHKQAADDPLKPLKIQLEGKDGPSVPPTQIVVLKPNYGKVKNATRTVSSPHSSHDFLSDCKRYTELPSIKSREAELCANKRFPDHVAQPRYKSRESREIAKEITRKMRNSLGSGSTRISTSGYRGYAGDESSPNMSDNESANESDVMTVISRDSIGWSNRFRSSSSRSAESSVSREAKKRLSERWKMTHSHRSVDMGVISRGCTLGEMLALPDREERPANVDAMIVGKGFSDNFDGHDEPAGCVEPLGISSRDGWKDGCIRNLSRSRSLPASCTAFGSPTMHRETLFNDRHLPPKESMPQERIKAVKGNCNQREGSSSRNSRSRIRKYHFSEHTCRNHSDSSPEINLSHKQIQSSNDDPFKPYLVVSETPASIVTNISLVTENVADVAVENMADVAIENVATPAKSTDSELPAYVEPLNKPPDEGSAPEKHSVAELESPASSKQADQPSPVSVLETPFPDDLSSSSECFESLSADLQGLRMQLQLLKLESEAYAEGSMLISSDEDVEEGSIGFSVENVIVEESRESSYVVDILSESGINDADPETFMASWHSSECPVNLLVFEELEKKHCNLISWPRSERKLLFDRVNSALVVISQHFAHPLRWLRPGTTTIPRWIKHGLGNSILKLLANQEKTANNNVAEKALVSDSTWLDLRDDIHIVGREIERLMMEELVKEIVAV >Manes.05G024200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2142626:2148048:-1 gene:Manes.05G024200.v8.1 transcript:Manes.05G024200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASMTIPSSPATTMGAKGVFIEHNGLRRSDSSKDLRNQAILRRSVSENHLCHSVSRIHAASMQPKLKSSRSFGIFPFQISGSLIPKSLRSFLFDPETSKDMDMNVTTVESSAESSEEDEVKRANWVETLLEIRSYWKNRQQKGDIDGDEICDAEENGDCNFDGDEDLCTVDYDLEEGEEEMKYNRETFSRFLVHVPWSDTKLFSKLAFLCNMAYVIPEIKAKDLRRSYGLRFVTSSLEKKADAAAIKAKLDQDSTRLTVDSLDKSKLDETSDSEQKKRALRTSVYEIAASAACYVQSRRKNNLSCSPEIQDMGEGTEEEEEETSSRVYKSEVAAAVAASTMTAVVAAGEKEKQKAANALQSLHSSPCEWFVCDDLSTYTRCFVIQGSDSLASWQANLFFEPSKFEETEVLVHRGIYEAAKGIYEQFMPEIVEHLATHGERAKFQFTGHSLGGSLSLLVNLMLLTRKVVKPSALRPVVTFGSPFVFCGGQKILNDLGLDDNHVHNVMMHRDIVPRAFSCNYPNHVAQILKRLNGSFRSHPCLIKNKLLYSPLGKLFILQPDEKSSPPHPFLPPGSALYALDKTQYGYTTAVLKAFLNCPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNRVLRQNTQVVAHKIIKERNILWPLLTSPSPHSWNHENSLESSMLAIKEVITV >Manes.05G024200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2141064:2146337:-1 gene:Manes.05G024200.v8.1 transcript:Manes.05G024200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASMTIPSSPATTMGAKGVFIEHNGLRRSDSSKDLRNQAILRRSVSENHLCHSVSRIHAASMQPKLKSSRSFGIFPFQISGSLIPKSLRSFLFDPETSKDMDMNVTTVESSAESSEEDEVKRANWVETLLEIRSYWKNRQQKGDIDGDEICDAEENGDCNFDGDEDLCTVDYDLEEGEEEMKYNRETFSRFLVHVPWSDTKLFSKLAFLCNMAYVIPEIKAKDLRRSYGLRFVTSSLEKKADAAAIKAKLDQDSTRLTVDSLDKSKLDETSDSEQKKRALRTSVYEIAASAACYVQSRRKNNLSCSPEIQDMGEGTEEEEEETSSRVYKSEVAAAVAASTMTAVVAAGEKEKQKAANALQSLHSSPCEWFVCDDLSTYTRCFVIQGSDSLASWQANLFFEPSKFEETEVLVHRGIYEAAKGIYEQFMPEIVEHLATHGERAKFQFTGHSLGGSLSLLVNLMLLTRKVVKPSALRPVVTFGSPFVFCGGQKILNDLGLDDNHVHNVMMHRDIVPRAFSCNYPNHVAQILKRLNGSFRSHPCLIKNKLLYSPLGKLFILQPDEKSSPPHPFLPPGSALYALDKTQYGYTTAVLKAFLNCPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNRVLRQNTQVVAHKIIKERNILWPLLTSPSPHSWNHENSLESSMLAIKEVITV >Manes.05G024200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2141406:2146229:-1 gene:Manes.05G024200.v8.1 transcript:Manes.05G024200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASMTIPSSPATTMGAKGVFIEHNGLRRSDSSKDLRNQAILRRSVSENHLCHSVSRIHAASMQPKLKSSRSFGIFPFQISGSLIPKSLRSFLFDPETSKDMDMNVTTVESSAESSEEDEVKRANWVETLLEIRSYWKNRQQKGDIDGDEICDAEENGDCNFDGDEDLCTVDYDLEEGEEEMKYNRETFSRFLVHVPWSDTKLFSKLAFLCNMAYVIPEIKAKDLRRSYGLRFVTSSLEKKADAAAIKAKLDQDSTRLTVDSLDKSKLDETSDSEQKKRALRTSVYEIAASAACYVQSRRKNNLSCSPEIQDMGEGTEEEEEETSSRVYKSEVAAAVAASTMTAVVAAGEKEKQKAANALQSLHSSPCEWFVCDDLSTYTRCFVIQGSDSLASWQANLFFEPSKFEETEVLVHRGIYEAAKGIYEQFMPEIVEHLATHGERAKFQFTGHSLGGSLSLLVNLMLLTRKVVKPSALRPVVTFGSPFVFCGGQKILNDLGLDDNHVHNVMMHRDIVPRAFSCNYPNHVAQILKRLNGSFRSHPCLIKNKLLYSPLGKLFILQPDEKSSPPHPFLPPGSALYALDKTQYGYTTAVLKAFLNCPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNRVLRQNTQVVAHKIIKERNILWPLLTSPSPHSWNHENSLESSMLAIKEMMIIK >Manes.14G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10465329:10470785:1 gene:Manes.14G131400.v8.1 transcript:Manes.14G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTDMITESTRKTTRPTRPSYVPPPFRNTPFGYPTEAMHTGSFSGSAYGFARSSPSQPGSSRRGGGRSRGRGRGRSQRWSSHPANQNFNYQHQLVDVGENFDELEITEDGGSNNNTNENSVINFDAYEDIPVEITGSNIPPPVNTFTEIDLGQGLNENIMRCKYVKPTPVQKHAIPIAVAGRDLMACAQTGSGKTAAFCFPIISGVLRNRFHTAGSGRGRSRMAYPSALILSPTRELSCQIHEEAQKFAYNTGVKIVVAYGGAPIVQQFRNLEKGVDILVATPGRLVDMIERARVSLGMIKYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGERQTMLFSATFPLEIQRLASDFLSNYIFLTVGRVGSSTDLIAQRVELVQDMDKRSLLMDLLRSQKANGIHGKRPLTLVFVETKRGADALEHWLSMNGFPAIAIHGDKVQLERERALKSFKSGATPILVATDVASRGLDIPHVSHVINFDLPKDIDDYVHRIGRTGRAGKSGQATAFFCDKNIPLAKALVELMKEANQEVPSWLSQYAEHSSHGAGSRTKRYGGGRFGGYDLRGPYGGADTGLDSYAASSAYGNAVSASTGYPAATEDAGYSVAATGESYSTASHGYEFDNKSVSATGWD >Manes.14G131400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10465329:10470785:1 gene:Manes.14G131400.v8.1 transcript:Manes.14G131400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTDMITESTRKTTRPTRPSYVPPPFRNTPFGYPTEAMHTGSFSGSAYGFARSSPSQPGSSRRGGGRSRGRGRGRSQRWSSHPANQNFNYQHQLVDVGENFDELEITEDGGSNNNTNENSVINFDAYEDIPVEITGSNIPPPVNTFTEIDLGQGLNENIMRCKYVKPTPVQKHAIPIAVAGRDLMACAQTGSGKTAAFCFPIISGVLRNRFHTAGSGRGRSRMAYPSALILSPTRELSCQIHEEAQKFAYNTGVKIVVAYGGAPIVQQFRNLEKGVDILVATPGRLVDMIERARVSLGMIKYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGERQTMLFSATFPLEIQRLASDFLSNYIFLTVGRVGSSTDLIAQRVELVQDMDKRSLLMDLLRSQKANGIHGKERERALKSFKSGATPILVATDVASRGLDIPHVSHVINFDLPKDIDDYVHRIGRTGRAGKSGQATAFFCDKNIPLAKALVELMKEANQEVPSWLSQYAEHSSHGAGSRTKRYGGGRFGGYDLRGPYGGADTGLDSYAASSAYGNAVSASTGYPAATEDAGYSVAATGESYSTASHGYEFDNKSVSATGWD >Manes.18G121500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12942367:12952611:-1 gene:Manes.18G121500.v8.1 transcript:Manes.18G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALKGASFSFHPSNSTPDNNFPFPSTSFPVSTIKCSPFSQKSCRNGNWVSLRIRFLAKSDTNSKQQLPRETLAPSTDGSSSSSTATSFLSVLCPLLKLFSGGDPSQERNYVLEAATSSLSTFARLPWGSRSLLESFDKSETTSDSFKHLQLFEFETCPFCRRVREALTELDLSAEVYPCPKGSVRHREMVRNFGGKEQFPFLVDPNTGISMYESGDIVKYLFQQYGKGRSPSMGLLESTLFTGWVPTILRAGRGMTKWERARPDPPSEKLELFSFENNQYARIVREALCELELPYILQNVGAGSHRTNLLLELSGSQEVPFLVDPNTGARIGSYKNILAYLFTTYSAAAI >Manes.18G121500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12942367:12952611:-1 gene:Manes.18G121500.v8.1 transcript:Manes.18G121500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGFLSELDFSRNLIQILSNNFPGKLLHLPLMVVVHRQLQLVSCLFFVRCSSYSLTCCICCKCHLFSQFCFLWWSYASSYQCVIIISQGGDPSQERNYVLEAATSSLSTFARLPWGSRSLLESFDKSETTSDSFKHLQLFEFETCPFCRRVREALTELDLSAEVYPCPKGSVRHREMVRNFGGKEQFPFLVDPNTGISMYESGDIVKYLFQQYGKGRSPSMGLLESTLFTGWVPTILRAGRGMTKWERARPDPPSEKLELFSFENNQYARIVREALCELELPYILQNVGAGSHRTNLLLELSGSQEVPFLVDPNTGARIGSYKNILAYLFTTYSAAAI >Manes.13G062500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:7275086:7279355:1 gene:Manes.13G062500.v8.1 transcript:Manes.13G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANVEEALRAKEIAEKRFAEKDFAGAKNYALKAKTLCPGLEGISQMVATFEVYIASEMKCNGEIDYYSVLGLKPSADRDAVKKQYRKMAVLLHPDKNKTVGADGAFKLVSEAWTMLSDNLKRSSYDLKRNKQMAPCVAQTNLSSVHTAGVTGYNNCSNSSNSHGLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGTAPVNGSFPYTPWSYVSGSGYGSHGYDGVTYVPTTATVFTGNGISGLPTGHGYEYVSNVSFQWSSFSGTSSAVVGHNGSSALSTDAVYQVNGTATAARANLKSAATGKRAMKTAISNVNPNVSVGYNESLGFKAGRPDKKRKVVFGTNIRNGYEEKGLNSAPEVGLANGNASVEHNPKLSSPNELPARRISIAPAFDARKLLIDKARTDIRKKLEEMRSAAAAAAAAAAAAAAAAAAAAAAAAAATADSAAAIKQKAQDQSRGAGVAPKYDNSDVSSRQIKPSKAGPVSITVPDPDFHDFDKDRSEECFKPKQIWAIYDEDDGMPRLYCLIRQIISVSPFKILITYLNSKTDSEFGPVNWIDSGFTKSCGHFRAWNTDIVDQVNIFSHLLKGEKAGRGGCVRIYPKSGDIWAVYRNWSPDWNISTPDVVRHQYEMVEVLDDYSEELGVCIAPLIKLAGFKTVYQRNTSKDAIRWIPRREMLRFSHQVPSWSLEGEGNNLPDKCWDLDPAATPDELLHAATEGKD >Manes.08G116100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35561477:35564452:-1 gene:Manes.08G116100.v8.1 transcript:Manes.08G116100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSYEDVSSQNQVKASVQRKIRQSIADEYPGLEPVLDDLLPKKSPLIVAKCQNHLNLVMVNSVPLFFNVRDGPYMPTLRLLHQYPNIMKKLQVDRGAIRFVLAGANIMCPGLTSPGGALDEEVEAETPVAIMAEGKEHALAIGFTKMSAKDIKAINKGIGVDNMHYLNDGLWKMERLD >Manes.08G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35561219:35564484:-1 gene:Manes.08G116100.v8.1 transcript:Manes.08G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSYEDVSSQNQVKASVQRKIRQSIADEYPGLEPVLDDLLPKKSPLIVAKCQNHLNLVMVNSVPLFFNVRDGPYMPTLRLLHQYPNIMKKLQVDRGAIRFVLAGANIMCPGLTSPGGALDEEVEAETPVAIMAEGKEHALAIGFTKMSAKDIKAINKGIGVDNMHYLNDGLWKMERLD >Manes.10G110001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26141816:26142429:1 gene:Manes.10G110001.v8.1 transcript:Manes.10G110001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLLLLLMLIIGGTVLCSLGFELESLASENKSFGSQVAGKSNAGKKSYLGFEDHARRNHMKNMIGNFRSKHENIQTAASASNQNGDANFRYNHMIMSRSLLQGIPCSSIPANPSCSPPGGPS >Manes.01G248500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40828391:40834072:1 gene:Manes.01G248500.v8.1 transcript:Manes.01G248500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKTSESAVTTIVNLAEEAKLAREGVKAPSYAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEEASKGILWLYRQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTVNSPYQYRGMFHALSTVLREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKTKPFGLVQDSDLSVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASIVTGDGRSKVPLEYSGMIDAFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYEVVKDILGVEIRISD >Manes.15G077700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5833452:5834351:-1 gene:Manes.15G077700.v8.1 transcript:Manes.15G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGTSGSKAADDDS >Manes.16G038000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4832295:4838119:-1 gene:Manes.16G038000.v8.1 transcript:Manes.16G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKKRKAEENGTAVIPSLPAAVASTSLTPEEIRKVIEPFTKEQLLDILQTAAVRHPDVLDSIRTVADGDISLRKLFIRGLSTNTTTETIRSLFASYGELEEAVVIFDKNTGKSKGFGFITFKHVDGALIALKEPSKKIDGRMTVTQLASAGLSNPGSSSGDVWSRKIYVGNVPYDIPSERLLSFFLTYGEIEEGPLGFDKATGKSKGFAFIIYKTEEAAKAAIADTVKMIDGHQILCKMAVDNKKAKTEGGVGGDNAQSQPQPPPQPQPQSSMPGSHYGVPGSLPPYGGFSGLGSGGYGLNTSLPGSIGGGSNAGPYGVPQYGGPSSGEFGGMNNAGNFMYRMPPSSIGMASAGYPAGAPYGLSQQHHSSPLPPRVPPGGMYQGMPPYY >Manes.16G038000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4832295:4838119:-1 gene:Manes.16G038000.v8.1 transcript:Manes.16G038000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKKRKAEENGTAVIPSLPAAVASTSLTPEEIRKVIEPFTKEQLLDILQTAAVRHPDVLDSIRTVADGDISLRKLFIRGLSTNTTTETIRSLFASYGELEEAVVIFDKNTGKSKGFGFITFKHVDGALIALKEPSKKIDGRMTVTQLASAGLSNPGSSSGDVWSRKIYVGNVPYDIPSERLLSFFLTYGEIEEGPLGFDKATGKSKGFAFIIYKTEEAAKAAIADTVKMIDGHQILCKMAVDNKKAKTEGGVGGDNAQSQPQPPPQPQPQSSMPGSHYGVPGSLPPYGGFSGLGSGGYGLNTSLPGSIGGGSNAGPYGVPQYGGPSSGEFGGMNNAGNFMYRMPPSSIGMASAGYPAGAPYGLSQQHHSSPLPPRVPPGGMYQGMPPYY >Manes.16G038000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4832295:4838119:-1 gene:Manes.16G038000.v8.1 transcript:Manes.16G038000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKKRKAEENGTAVIPSLPAAVASTSLTPEEIRKVIEPFTKEQLLDILQTAAVRHPDVLDSIRTVADGDISLRKLFIRGLSTNTTTETIRSLFASYGELEEAVVIFDKNTGKSKGFGFITFKHVDGALIALKEPSKKIDGRMTVTQLASAGLSNPGSSSGDVWSRKIYVGNVPYDIPSERLLSFFLTYGEIEEGPLGFDKATGKSKGFAFIIYKTEEAAKAAIADTVKMIDGHQILCKMAVDNKKAKTEGGVGGDNAQSQPQPPPQPQPQSSMPGSHYGVPGSLPPYGGFSGLGSGGYGLNTSLPGSIGGGSNAGPYGVPQYGGPSSGYPAGAPYGLSQQHHSSPLPPRVPPGGMYQGMPPYY >Manes.16G038000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4832295:4838119:-1 gene:Manes.16G038000.v8.1 transcript:Manes.16G038000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKKRKAEENGTAVIPSLPAAVASTSLTPEEIRKVIEPFTKEQLLDILQTAAVRHPDVLDSIRTVADGDISLRKLFIRGLSTNTTTETIRSLFASYGELEEAVVIFDKNTGKSKGFGFITFKHVDGALIALKEPSKKIDGRMTVTQLASAGLSNPGSSSGDVWSRKIYVGNVPYDIPSERLLSFFLTYGEIEEGPLGFDKATGKSKGFAFIIYKTEEAAKAAIADTVKMIDGHQILCKMAVDNKKAKTEGGVGGDNAQSQPQPPPQPQPQSSMPGSHYGVPGSLPPYGGFSGLGSGGYGLNTSLPGSIGGGSNAGPYGVPQYGGPSSGYPAGAPYGLSQQHHSSPLPPRVPPGGMYQGMPPYY >Manes.11G137900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30332701:30335684:1 gene:Manes.11G137900.v8.1 transcript:Manes.11G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLLPKKPAFLFSFITFCLIFQPAFCITRHYKFDIKLQNVTRLCHSKTMVTVNGKFPGPRIVAREGDQLLIKVVNHVQNNISLHWHGIRQLQSGWADGPAYITQCPIQTGQSYVYNYTIIGQRGTLWWHAHISWLRSTVYGPIIILPKLGVPYPFAKPYKEVPIIFGEWFNADTEAIIKQALQTGGGPNVSEAYTINGLPGPLYNCSANDTFKLKVKPGKTYMLRMINAALNDELFFSIANHTLIVVDVDAVYVKPFETEILLIAPGQTTNVLLKTKPNFPNATFFMTARPYVTGLGTFDNSTVAAILEYEPSSSRTIHSSLSIKKLPLYKPALPPLNDTAYATNFTNKLRSLASAQFPANVPQKVDRQFFFTVGLGTNPCPANQTCQGPNGTMFSATVNNMSFTMPTTALLQSHFFGQSKGVYTPDFPVNPIIPFNYTGNPPNNTMVSNGTKLVVLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPAKFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVLDGKLPNQKLHPPPADLPKC >Manes.14G107100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8985121:8988666:-1 gene:Manes.14G107100.v8.1 transcript:Manes.14G107100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTSGFFLNPSALHSVYYQAKDDDGIIDLGLSLRTLQPEAYHPSGHFMSQEGYGELMAWPPQANRLAKHSNSGYQGITQEECDEDSEGVQSKENWAYVKVNMDGVVVGRKICILDHGGYSSLAIQLEDMFGRHAATGLRLFQAGSEFCLFYKDREENWRTVGDVPWKEFVESVKRLRIAQRK >Manes.11G040601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4397342:4400742:1 gene:Manes.11G040601.v8.1 transcript:Manes.11G040601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAHLLRRLLGQNHISYGELAKVTGHFSLNNLIGRGGFGHVFKASLDGEICAIKRLDFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWEKRMKIATGSAKGLEYLHEHCKPKIIHLDIKPDNILLNQDFEPKVR >Manes.04G154300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34980299:34983953:1 gene:Manes.04G154300.v8.1 transcript:Manes.04G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLIRNLLSQVQKRFLLASAIPFSSNPTWPTTTSSPSFKVQCLVNSSGIPLGSALLDSKKSQSVFEVFKAHNFSDAHVARLIEKRPAVLHCRVSKNLQPKFEYLVQNGFVGELLPELIVSNPTILRRALDAHIKPSFEFLRSYLCTNDKIVAAIKRCTWLLTFDLKGRMKPNIDFLIQEGVPPHILEYLIKSHPRTLMQKHDRIVYAVNVVKNLGIEPKSRSFVHSVRVMTSMSESTWKNKVELMKSFGWSEEQILSAFVREPLCLACSEEKIKNVMDFYMNTMKLEPNTIIVYPKFLMYAVDKRLRARHDVLKVLESKELIEGKRKIEWLLTITEKKFLKNYVNKYADEVPGLLEAYVGAKKAKKKRTA >Manes.15G142700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11672751:11681316:-1 gene:Manes.15G142700.v8.1 transcript:Manes.15G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCTSKPPKPNPYAPQNQPVNDLPDPTQTPKSPLPKPKQSPFFPFYTPSPAYLFKKSPSTESAAANSTPTPLRIFKKPFPPPSPAKHIRAVLRRRELGKKKKKAAIPEEGECESEDATGLDLDKRFGFSKEFTSRLELGQEVGRGHFGYTCSAKFKKGDRKGQQVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHNNLVQFHDAFEDFDNVYIVMELCEGGELLDRILSRGGKYSEDDAKGVLVQILNVVAFCHLQGVVHRDLKPENFLYTSKDENSQLKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPEAKDFVKRLLNKDPRKRMTAVQALSHPWIRNHNDVKVPLDILIFRLVKAYMRSSSLRRAALRALSKTLSVDELYYLKEQFALLEPNQSGSITLENFRMALMKNATDAMKDSRIPDFLSSLNALQYRRMDFEEFCAAAVSVHQLEALDNWEQRARSAYELFDKDGNRAIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFHGFVKLLHGMSSRTMAKVQ >Manes.15G142700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11672751:11681316:-1 gene:Manes.15G142700.v8.1 transcript:Manes.15G142700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCTSKPPKPNPYAPQNQPVNDLPDPTQTPKSPLPKPKQSPFFPFYTPSPAYLFKKSPSTESAAANSTPTPLRIFKKPFPPPSPAKHIRAVLRRRELGKKKKKAAIPEEGECESEDATGLDLDKRFGFSKEFTSRLELGQEVGRGHFGYTCSAKFKKGDRKGQQVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHNNLVQFHDAFEDFDNVYIVMELCEGGELLDRILSRGGKYSEDDAKGVLVQILNVVAFCHLQGVVHRDLKPENFLYTSKDENSQLKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPEAKDFVKRLLNKDPRKRMTAVQALSHPWIRNHNDVKVPLDILIFRLVKAYMRSSSLRRAALRALSKTLSVDELYYLKEQFALLEPNQSGSITLENFRMALMKNATDAMKDSRIPDFLSSVGTN >Manes.17G111600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31799668:31801233:1 gene:Manes.17G111600.v8.1 transcript:Manes.17G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAILGFLSILTFILWVWWWNANEVLINWPVIGMFPQFTWNIPRLHDFLTNILQQSQGTILLKGHWFGNKDYLLTSDSMNVHHITSKNFANYHKGEEFKQIFEPMGDGIFISDSDNWRTQRKIFHYILESKRFKLAAKSVMEQKILKGLFPVLEDASILASEVDLQVVLKQFMFDNICLLVLGFDPNSLSTELPQIPSVAKAYDVLSEGAIYRHLIPGCIWKLQRWLQIGNEKKFRKAWNTFDDFAEQCITSKRQQLGQSSKDRKEQDSFDLLTYFLVNDDDCSDDQGGEDVTMDIKSNKFLRDTAFNLLAAGRDTIAAGLVWLFWLVATHPYVENKILEEMKANLRERTDGKWKIFSIEEVDKLLYLHAVVCETLRLYPPVPFEHRASTRPDILPSGHKIPGNMKIIYSLYSMGRMEDIWGKDFLEFKPERWISERGRIKHIPSYKFITFHTGPRTCLGKDLAFLQMKIVASAVLWNFSLQVVKNHPIGPRISVILSMKKGLKVKVFERFASLNEGNHL >Manes.18G048200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4179096:4179737:1 gene:Manes.18G048200.v8.1 transcript:Manes.18G048200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMLNEGSIDTKINCKRLISMLIERKVFNSENGSSLSLLVGLLRLVKDKRHPNGVVAGLGLLKSLCSFEAVRNSFISIGAIPHLVELLPSLSNECLDFALYILEVLLAISDGNLALKDCANTIPNVARLLMKVSDRCTQLALTILWAACQFAPEECAALAVEAGLAAKLLLVLQSGCNPELKQRSAHLLKLCSLNYTATLFISKCKLTRTIR >Manes.11G092600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14599850:14609344:-1 gene:Manes.11G092600.v8.1 transcript:Manes.11G092600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCSPLFLGRCWTSTSINISQSPTIFNNSNSNRTSILPSLTLFHLCHASKDLQTGSMLCFNASLETNASCNKELTITQRKPSPFDFPLGSGGSWNNNNNNNNNPIDPWWWNDGSDSSESHFPFICFLCCSVVFWVFINLHLASSALAKATLSPEEEEVVDEKVWEIKGSKWIRLIPDFFKDEFLVAPSSSGPSHDNRSPSWLSIGGNLWLQCRALFIQLMLPEGFPLSVTSDYLDYSLWRGIQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIILSKYGRHFDVNPKGWRLFADLLENAAFGLEILTPAFPHLFVVIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKFIGIMLGIALANGIGSSTPLTLASFSVVTWIHMFCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPPIKEVNDEEPLFPALPFLNVNCKDKPQSAVLSSGARDAAAEIENRLQLGSKLSDIVKNKDEVLALFNLYRDEGYILTEHKGRFCVVLKESCSGQDMLKAVFQVNYLYWLERNAGIEARGTCADCRPGGRLQISLEYTQWEFTHVKNDSVSMGWVADGLIARPLPNRIGPGDMAPSIAT >Manes.11G092600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14599849:14609344:-1 gene:Manes.11G092600.v8.1 transcript:Manes.11G092600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCSPLFLGRCWTSTSININLQTGSMLCFNASLETNASCNKELTITQRKPSPFDFPLGSGGSWNNNNNNNNNPIDPWWWNDGSDSSESHFPFICFLCCSVVFWVFINLHLASSALAKATLSPEEEEVVDEKVWEIKGSKWIRLIPDFFKDEFLVAPSSSGPSHDNRSPSWLSIGGNLWLQCRALFIQLMLPEGFPLSVTSDYLDYSLWRGIQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIILSKYGRHFDVNPKGWRLFADLLENAAFGLEILTPAFPHLFVVIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKFIGIMLGIALANGIGSSTPLTLASFSVVTWIHMFCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPPIKEVNDEEPLFPALPFLNVNCKDKPQSAVLSSGARDAAAEIENRLQLGSKLSDIVKNKDEVLALFNLYRDEGYILTEHKGRFCVVLKESCSGQDMLKAVFQVNYLYWLERNAGIEARGTCADCRPGGRLQISLEYTQWEFTHVKNDSVSMGWVADGLIARPLPNRIGPGDMAPSIAT >Manes.11G092600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14599784:14609344:-1 gene:Manes.11G092600.v8.1 transcript:Manes.11G092600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCSPLFLGRCWTSTSINISQSPTIFNNSNSNRTSILPSLTLFHLCHASKDLQTGSMLCFNASLETNASCNKELTITQRKPSPFDFPLGSGGSWNNNNNNNNNPIDPWWWNDGSDSSESHFPFICFLCCSVVFWVFINLHLASSALAKATLSPEEEEVVDEKVWEIKGSKWIRLIPDFFKDEFLVAPSSSGPSHDNRSPSWLSIGGNLWLQCRALFIQLMLPEGFPLSVTSDYLDYSLWRGIQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIILSKYGRHFDVNPKGWRLFADLLENAAFGLEILTPAFPHLFVVIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKFIGIMLGIALANGIGSSTPLTLASFSVVTWIHMFCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPPIKEVNDEEPLFPALPFLNVNCKDKPQSAVLSSGARDAAAEIENRLQLGSKLSDIVKNKDEVLALFNLYRDEGYILTEHKGRFCVVLKESCSGQDMLKAVFQVNYLYWLERNAGIEARGTCADCRPGGRLQISLEYTQWEFTHVKNDSVSMGWVADGLIARPLPNRIGPGDMAPSIAT >Manes.11G092600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14599382:14609347:-1 gene:Manes.11G092600.v8.1 transcript:Manes.11G092600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCSPLFLGRCWTSTSINISQSPTIFNNSNSNRTSILPSLTLFHLCHASKDLQTGSMLCFNASLETNASCNKELTITQRKPSPFDFPLGSGGSWNNNNNNNNNPIDPWWWNDGSDSSESHFPFICFLCCSVVFWVFINLHLASSALAKATLSPEEEEVVDEKVWEIKGSKWIRLIPDFFKDEFLVAPSSSGPSHDNRSPSWLSIGGNLWLQCRALFIQLMLPEGFPLSVTSDYLDYSLWRGIQGVASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIILSKYGRHFDVNPKGWRLFADLLENAAFGLEILTPAFPHLFVVIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKFIGIMLGIALANGIGSSTPLTLASFSVVTWIHMFCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPPIKEVNDEEPLFPALPFLNVNCKDKPQSAVLSSGARDAAAEIENRLQLGSKLSDIVKNKDEVLALFNLYRDEGYILTEHKGRFCVVLKESCSGQDMLKAVFQVNYLYWLERNAGIEARGTCADCRPGGRLQISLEYTQWEFTHVKNDSVSMGWVADGLIARPLPNRIGPGDMAPSIAT >Manes.03G069017.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10772183:10773300:1 gene:Manes.03G069017.v8.1 transcript:Manes.03G069017.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSITTSTHFVYCLTKRLSFPYAWHHSFDENKKEYKKFEWLKLKQLLSK >Manes.12G045200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4025005:4031365:1 gene:Manes.12G045200.v8.1 transcript:Manes.12G045200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEAISIQENERAKSADLENSEEGKRRTRCRSLKKKAMSASTRLTHSLRKRGKRVADCRYAAISVNDVRDAEEEAAVNVFRQALIEKDLLPSQHDDYHTLLRFLKARKFDLEKTLLMWSQMLNWRREQGIDSIIQDFIYDEYEEVQQYYPHGYHGVDKEGRPVYIERLGKIEPSKLMSVTTVDRFLKYHVQGFEKTFAEKFFACSIAAKRHIDSTVTILDVHGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKSFLDPKTTAKINVLGNKFQNKLLEVIDSSQLPEFLGGSCSCANEGGCLRSDKGPWNDPEIMKLVHAGEAMCLRKMKSFSDEDDFEIMPFSSKVSRSETSSDNSGLDIKPGTSGFIQQMPLSEEGTRGDPASTCSLIEHIPARVEDSSSINDSTNGVSTTMVQKKFIPHLTTFVIQFMLKLLAWAYLLVPVVGRLFAARHADRQLPDRHNPPLAESNSQGQQISQEIKEDTLQPCWQRLQHIETMVNELVNKRAKIPPEKEDMLLESLSRIKSIEHDLQKTKRALLATASKQVELAESFENLKESALAGVNSCWPRNCRTFSPDR >Manes.12G045200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4025005:4031365:1 gene:Manes.12G045200.v8.1 transcript:Manes.12G045200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEAISIQENERAKSADLENSEEGKRRTRCRSLKKKAMSASTRLTHSLRKRGKRVADCRYAAISVNDVRDAEEEAAVNVFRQALIEKDLLPSQHDDYHTLLRFLKARKFDLEKTLLMWSQMLNWRREQGIDSIIQDFIYDEYEEVQQYYPHGYHGVDKEGRPVYIERLGKIEPSKLMSVTTVDRFLKYHVQGFEKTFAEKFFACSIAAKRHIDSTVTILDVHGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKSFLDPKTTAKINVLGNKFQNKLLEVIDSSQLPEFLGGSCSCANEGGCLRSDKGPWNDPEIMKLVHAGEAMCLRKMKSFSDEDDFEIMPFSSKVSRSETSSDNSGLDIKPGTSGFIQQMPLSEEGTRGDPASTCSLIEHIPARVEDSSSINDSTNGVSTTMVQKKFIPHLTTFVIQFMLKLLAWAYLLVPVVGRLFAARHADRQLPDRHNPPLAESNSQGQQISQEIKEDTLQPCWQRLQHIETMVNELVNKRAKIPPEKEDMLLESLSRIKSIEHDLQKTKRALLATASKQVELAESFENLKESALAGVNSCWPRNCRTFSPDR >Manes.12G045200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4024990:4031398:1 gene:Manes.12G045200.v8.1 transcript:Manes.12G045200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEAISIQENERAKSADLENSEEGKRRTRCRSLKKKAMSASTRLTHSLRKRGKRVADCRYAAISVNDVRDAEEEAAVNVFRQALIEKDLLPSQHDDYHTLLRFLKARKFDLEKTLLMWSQMLNWRREQGIDSIIQDFIYDEYEEVQQYYPHGYHGVDKEGRPVYIERLGKIEPSKLMSVTTVDRFLKYHVQGFEKTFAEKFFACSIAAKRHIDSTVTILDVHGLNWMSFGKVAHDLVMRMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKSFLDPKTTAKINVLGNKFQNKLLEVIDSSQLPEFLGGSCSCANEGGCLRSDKGPWNDPEIMKLVHAGEAMCLRKMKSFSDEDDFEIMPFSSKVSRSETSSDNSGLDIKPGTSGFIQQMPLSEEGTRGDPASTCSLIEHIPARVEDSSSINDSTNGVSTTMVQKKFIPHLTTFVIQFMLKLLAWAYLLVPVVGRLFAARHADRQLPDRHNPPLAESNSQGQQISQEIKEDTLQPCWQRLQHIETMVNELVNKRAKIPPEKEDMLLESLSRIKSIEHDLQKTKRALLATASKQVELAESFENLKESALAGVNSCWPRNCRTFSPDR >Manes.13G043400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5089532:5090583:-1 gene:Manes.13G043400.v8.1 transcript:Manes.13G043400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYVAASTEEDREMARWFCMLLVFLVFALASARNVPKDAGLQGQKNFFAFGGVGGFAGVGSGIGGGGFPSLGGGDGGIGGLGGGSGLGGGGGLGGFPGSGGGLGGGIGGGIGGGKGDCGDGGSGLLHP >Manes.07G041100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4963026:4971829:-1 gene:Manes.07G041100.v8.1 transcript:Manes.07G041100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPASPSPAAQSQSQAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLLQTKRKADGTEEEWGEFLHHPGKRFYDFSEIRREIQAETAKEAGDNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFIGGSKAVELALQQAKSSRAVPLPVRQKDGPETDKAPASERTLKSRAILARQVNGVVADQGVRPVADVEKIAPPGNVSSWGISSIFGGGDNSRMSAKESSTAKSHIEPVHSMDALEQSVSLIHLREVYN >Manes.07G041100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4961377:4971829:-1 gene:Manes.07G041100.v8.1 transcript:Manes.07G041100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPASPSPAAQSQSQAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLLQTKRKADGTEEEWGEFLHHPGKRFYDFSEIRREIQAETAKEAGDNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFIGGSKAVELALQQAKSSRAVPLPVRQKDGPETDKAPASERTLKSRAILARQVNGVVADQGVRPVADVEKIAPPGNVSSWGISSIFGGGDNSRMSAKESSTAKSHIEPVHSMDALEQSVSLIHLREPPTVLRPSESHSEQETIEIAVTKLLLRSYYDIVRKNVEDSVPKAIMHFLVNHTKRDLHNVFIKKLYRENLFEEMLQEPEEIAMKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSVYSTSSGSSDYTASPRNPRSRKSSHSGELQPHLYSNADSNGSGRSYMPGLYPTVDF >Manes.07G041100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4961592:4971829:-1 gene:Manes.07G041100.v8.1 transcript:Manes.07G041100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPASPSPAAQSQSQAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLLQTKRKADGTEEEWGEFLHHPGKRFYDFSEIRREIQAETAKEAGDNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSALVSVAKEHASYGEITESKAGQGALLLNILSKYSEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFIGGSKAVELALQQAKSSRAVPLPVRQKDGPETDKAPASERTLKSRAILARQVNGVVADQGVRPVADVEKIAPPGNVSSWGISSIFGGGDNSRMSAKESSTAKSHIEPVHSMDALEQSVSLIHLREPPTVLRPSESHSEQETIEIAVTKLLLRSYYDIVRKNVEDSVPKAIMHFLVNHTKRDLHNVFIKKLYRENLFEEMLQEPEEIAMKRKRTRETLRVLQQAFRTLDELPLEAETVERGYSLGSDPTGLPKIHGLPTSSVYSTSSGSSDYTASPRNPRSRKSSHSGELQPHLYSNADSNGSGRSYMPGLYPTVDF >Manes.15G006600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:698366:701735:1 gene:Manes.15G006600.v8.1 transcript:Manes.15G006600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTAEEDEILTSYILVNGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITKEEEETIVKLHSTLGNRWSLIAAHLPGRTDNEIKNYWNSHLRRKLYSFSNNNSLSSSINIAKLAALRKSGGGRSATRKHKPNTCMSAPKPNNIETLAEAVPDPPSPNNTSDEGNQRMGLVTESFTSEVENCRRGLGNGGVQGCSWKEKDETFSAVGVTCPRKEGQTEDWGPHVLLDSEINILKYALEGEDVDPSGNHIIDTLNKEREIGGSEERGHEVMGPDRVAANEQERASTSTATTAWSSNAETGELYNCGSSQFDEEWYNLSFDWESIGGIDDTVIRELWDEGEKIMSWLWD >Manes.15G006600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:696852:702041:1 gene:Manes.15G006600.v8.1 transcript:Manes.15G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKKGRWTAEEDEILTSYILVNGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITKEEEETIVKLHSTLGNRWSLIAAHLPGRTDNEIKNYWNSHLRRKLYSFSNNNSLSSSINIAKLAALRKSGGGRSATRKHKPNTCMSAPKPNNIETLAEAVPDPPSPNNTSDEGNQRMGLVTESFTSEVENCRRGLGNGGVQGCSWKEKDETFSAVGVTCPRKEGQTEDWGPHVLLDSEINILKYALEGEDVDPSGNHIIDTLNKEREIGGSEERGHEVMGPDRVAANEQERASTSTATTAWSSNAETGELYNCGSSQFDEEWYNLSFDWESIGGIDDTVIRELWDEGEKIMSWLWD >Manes.09G002100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:675281:693987:-1 gene:Manes.09G002100.v8.1 transcript:Manes.09G002100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQAIKSLDAFPRAEEHLLQKTQSGALVSIIGLVIMATLFLHELTYYLTTYTVHQMSVDLKRGETLPIHINITFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSYGNIVGTEYLSDLVEKEHADHKHDDDKDHHEDSDTKLHAHGFDQEAETLIKKVKNAMANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQTIFEGPSHVNVSHIIHDLSFGPKYPGLHNPLDGTTRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVTEYYSPMNGYDSRTWPAVYFLYDLSPITVTIREERRSFLHFITRLCAILGGTFALTGMLDRWMYRFIEAVTKPKTRFR >Manes.09G002100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:676813:693987:-1 gene:Manes.09G002100.v8.1 transcript:Manes.09G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQAIKSLDAFPRAEEHLLQKTQSGALVSIIGLVIMATLFLHELTYYLTTYTVHQMSVDLKRGETLPIHINITFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSYGNIVGTEYLSDLVEKEHADHKHDDDKDHHEDSDTKLHAHGFDQEAETLIKKVKNAMANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQTIFEGPSHVNVSHIIHDLSFGPKYPGLHNPLDGTTRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVTEYYSPMNGYDSRTWPAVYFLYDLSPITVTIREERRSFLHFITRLCAILGGTFALTGMLDRWMYRFIEAVTKPKTRFR >Manes.09G002100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:677385:693992:-1 gene:Manes.09G002100.v8.1 transcript:Manes.09G002100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQAIKSLDAFPRAEEHLLQKTQSGALVSIIGLVIMATLFLHELTYYLTTYTVHQMSVDLKRGETLPIHINITFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSYGNIVGTEYLSDLVEKEHADHKHDDDKDHHEDSDTKLHAHGFDQEAETLIKKVKNAMANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQTIFEGPSHVNVSHIIHDLSFGPKYPGLHNPLDGTTRILHDTSGTFKYYIKIVPTEYRYISKEVLPTNQFSVTEYYSPMNGYDSRTWPAVYFLYDLSPITVTIREERRSFLHFITRLCAILGGTFALTGMLDRWMYRFIEAVTKPKTRFR >Manes.03G071216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11267096:11268445:-1 gene:Manes.03G071216.v8.1 transcript:Manes.03G071216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSMNETDEAVQVHEETLEHTPQALGGQANASSSSSVRTRGPNLGHPIPSNPNCKTWSEIPLKTKDELFRIFWSRYVWDDSEEDMVRIAWKKVGKERLRDILNRVRSELLRKHKKTDVAYLYNLGLDWMKAEIWNELVAYWSTPEWRKKSEAGKANRNVEKDGTITKHSGGSIKLEVHENRLGAYLSAIAKNVNDNCESQSAFDLNKWIKISGSEGRVYGFGSSDIAKSETPTTSFSCT >Manes.S037116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:988305:989117:-1 gene:Manes.S037116.v8.1 transcript:Manes.S037116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.01G156500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34268932:34279408:1 gene:Manes.01G156500.v8.1 transcript:Manes.01G156500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSKTFSKKTRGGKVQKLVREVYLRDDIYCGASFCKVCDTSAARFTASASTILVLDTNVVLNQIDLLENPAIEDVVVLSVVLQEVKNKNTAVYNRIRALCSNPARKFFVFSNEFHRDTYVQNMTGESPNDRNDRAIRVATRWYQSHLGDAVKVLLITNDRENKKKATEEGVSAETIESYVKSLGQPALLDLLAQPASEDIIMEEVEDLRPSKRKVVYPEHKPMSEITAGLTSGIYHQGKLRVNRYNPFEAYVGSESIGDEIVVYGRGNMNRAFDGDIVAVEILPQDQWREDESLSIADEDDDNEEVHLVPSSADDAPRTTNSVSGSASDTNPISGRPCGRVIGIIKRNWHSYCGSLEPMPMPAGSGGLVYALFVSKDRRIPKIRIQTRQLENLLDKRIIVAVDSWDRQSRYPSGHYVRSIGEIGDRDTETEVVLIENDIDARPFSAQVLACLPPLPWSVSEQDLVNPIRTDLRHLRVFSVDPPGCKDIDDALHCMMLPNGNFEVGVHIADVTNFVHPGTPLDDEATQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPEAEIISTRYIKSVIKSCAALSYVEAQARMDDSRLMDPVTKDLRNMNTLAKTMRQRRIERGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAEQILKHFPGCSLLRRHPTPTKDMLEPLLRTAASVGLNLDISSSKALADSLDHAVSDDPYFNKLIRIMATRCMTQAVYFCSGDLSPPEFHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLEIYKLPTIFRDRPQQTSIADNLNYRHRNAQMASRGSVELHTLIYFRKRPIDTEARIVKIRSNGFIVFVPKYGIEGPVYLTPRGEKGGGEWFVDEQQQKIKKMDGSLSYGILQAVKIHLEVVEPQPNRPKLQLTLL >Manes.03G026200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2113200:2113898:-1 gene:Manes.03G026200.v8.1 transcript:Manes.03G026200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPNAFSSCLIDLSFDMDEALSFHSDFARQISKSVSLVADMPTVDVSDDVCSVCMEGFGGDVGGKRVPCGHVYHAGCISSWLSNCNSCPLCRCNISDDN >Manes.05G101800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9580951:9582521:-1 gene:Manes.05G101800.v8.1 transcript:Manes.05G101800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHNLQNQNMNLVLSTDAKPRLKWTPELHQRFVESVRQLGGADKATPKNLMRSMGIPGLTLYHLKSHLQKYRLGKSQPSQSQSQSQSQSQSQSQSQSQASIENKKEVLSDADYKEIQSSNCDPRAGIADGNQNPINESFQIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQEALSGYNSSLGIELAKAELSRLVSMVNTGCQSSSISELTEIGGSNLKDTERKLMRGTVCSVESSLTSSESSGRKEDMKQKNQLGNTNNSNPVIIELPLMDIHPQENPWDNHKSEQVKKRSCSTISDGICVEQPLVKRSKSGDKLRIFDLNSHYQNDFESGSKTLDLNCKGIEQVNGQV >Manes.15G009900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:874894:876141:-1 gene:Manes.15G009900.v8.1 transcript:Manes.15G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFVAVKRSRRWNAEDLWSELDTFSDFLGLDYPNNANKDQISTQLDLKLPEKQKEQQLLSQVAIGSEKSEKTTTATEGNKSQRIRKNIYRGIRQRPWGKWAAEIRDPHKGVRVWLGTYNTAEEAARAYDEAAKRIRGDKAKLNFGQSPSPPPPQATSASPTVPPPSKKRCLIASEMTAAGFQTCSVPAPPPYMGVGVGYQKELGSECELKEQISSLETFLELEPEQMVAQLSGSSCETSESVDLWMLDDLVSHHQNQRQLSF >Manes.01G076700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28002082:28004117:-1 gene:Manes.01G076700.v8.1 transcript:Manes.01G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINSNNSSPVLTDPAPINLLPYPQSGASFSSNIPRKKPEKLDEVHYNGWLDAMKSSSPPRKKLIKDLNFEDAADEIEIEIAYFSWMLKYPSALNSFGQITKFAKNKKIAIFLDYDGTLSPIVDDPDQALMSDDMRSAVRNVAKYFPTAIITGRNRDKVFELVGLTELYYAGSHGMDIMGPVNEAVSSNHPDCIKSTDQQGKEVNLLQPARDFIPMIDEVFTTLVENTKDIKGARVENHKFCASVHYRNVDEKNWPIIAQRVHDVLKLYPRLRLAHGRKVLEVRPEIDWNKGKAVEFLLESLGLGNSDDVLPIYIGDDRSDEDAFKVLREGNRGYGILVSSAPKETNAFYSLRDPSEVMKFLCWLVRWKKLGEGVSSVNKRWSI >Manes.16G063700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24901433:24911147:1 gene:Manes.16G063700.v8.1 transcript:Manes.16G063700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRFVLEDCKLQTREAFYRPSSYFQSRRLRTRLDLRVGISVPENGTISAIQCKPSSPVIAVAASSCFRQTLRNCQVLCKAATNVSGDVPDITPSGMTQYERIIETLTTLFPVWVILGTIVGICKPSAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFLIAMGLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTVGAIIMTPLLTKLLAGQLVPVDAASLAISTFQVVLVPTVVGVLSNEFFPKFTSKIITITPLIGVILTTLLCASPIGQVSDVLKAQGAQLIIPVALLHAAAFALGYWLSKISFGESTSRTISIECGMQSSALGFLLAKKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRPIPVDDKDDFKE >Manes.16G063700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24901433:24911147:1 gene:Manes.16G063700.v8.1 transcript:Manes.16G063700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYERIIETLTTLFPVWVILGTIVGICKPSAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFLIAMGLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTVGAIIMTPLLTKLLAGQLVPVDAASLAISTFQVVLVPTVVGVLSNEFFPKFTSKIITITPLIGVILTTLLCASPIGQVSDVLKAQGAQLIIPVALLHAAAFALGYWLSKISFGESTSRTISIECGMQSSALGFLLAKKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRPIPVDDKDDFKE >Manes.16G063700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24902456:24911147:1 gene:Manes.16G063700.v8.1 transcript:Manes.16G063700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNNLTASYRNCQVLCKAATNVSGDVPDITPSGMTQYERIIETLTTLFPVWVILGTIVGICKPSAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPMLGFLIAMGLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTVGAIIMTPLLTKLLAGQLVPVDAASLAISTFQVVLVPTVVGVLSNEFFPKFTSKIITITPLIGVILTTLLCASPIGQVSDVLKAQGAQLIIPVALLHAAAFALGYWLSKISFGESTSRTISIECGMQSSALGFLLAKKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRPIPVDDKDDFKE >Manes.16G130300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33123091:33123985:1 gene:Manes.16G130300.v8.1 transcript:Manes.16G130300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNNMGQSQFIKEASFKLKQQNLLQDFLGLQKDFVSKKRKLQMTKQKRDILLAEVRFLRQRHKYLMAMQWHNLQQAQEPIPPQNSSMQTEDVGKLWRTETKLKNGIINGKRVKKKISWKDQSTVMKV >Manes.02G191800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15381979:15389587:1 gene:Manes.02G191800.v8.1 transcript:Manes.02G191800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTRKKHREDTPTSSSNSDDDDSETSEDRRRRRRKDRRSSDGSSRREDRKRERRDEKRRKRKERKERDRKRRKSRKKRDYDSESESGSGSESGSGSDAEEPKRQRVKPEDVVKEMLMEFPDVGDDLKQLLQMIDSGQAVDIKGISEKPLIKHLKKLFVSLNLMEKGDRVFLLPSNNCPTLELVGPLIEAHIRSKEQKVDDVHSVPPVAESKQLSDNNNSVMPAPDDDASGPRRRMIGPEMPSAELLAAAAKLTEAHAELREAELEEDDELFIGPAPPAMVAEAESANEAERFEEVTRIMGVEGDSPYDVVGANHNTSAENIKKRYWKLSLLVHPDKCSHPQAHQAFIKLNKAFKELQDPDKRKLMDEKIKLKEEQEQFKVELKAMREAAQWRRSQGISMEGDDELLAETEVKVAPKRDEWMTTLPPERKPGMTMHSSKFSKGSREGRGDTSVWTDTPSDRAQKAKMNYLEAYNEAAALASNEEEKKRSSADADLVDKYNKAKRSKSLVQKHQEEAASRSKKKSKEQPEKEEWAGQHPWKPWDREKDLVAGRQNIKFDADNMAQGLSSRFSSGNFQRNFL >Manes.17G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11642083:11647946:-1 gene:Manes.17G021000.v8.1 transcript:Manes.17G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGAATAMTAATAAPTIKQVKLERESELRIEVANDTPLRLRLLNGTAEIFGTELPPEMWLTFPPRLKFAVFTWYGATIEMDGPTETDYTADETPMVSYVNVHAVLEGRRNRAKAPSSSGSEASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTYVDLDIGQGSITIPGCIAATPIELPIDPVEGISLEMPLVYFYGHTTPSQNVDLYKALVKELAQILERQFTGNAESRAAGMVINTMGWIEGVGYELILHAIDTFSANVVLVLGQEKLFSMLRDVLRNKPNVDVVKLQKSGGVVSRSSKVRQKARSYRIREYFYGIANDLSPHSNIANFSDLFVYRIGGGPQAPRSALPIGADPVANPLRVTAVNIDRDLLHVVLAVSYAQEPDQIVSSNIAGLIYITDIDLQRRKITYLTPTAGDLPSKYLIMGTLTWLET >Manes.09G067380.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11038507:11038683:-1 gene:Manes.09G067380.v8.1 transcript:Manes.09G067380.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKERNNSFNNQRVCEPCRSFGQKCSHLVKKQRAKFYILRRCVAMLICWHERERGEP >Manes.01G145000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33538734:33541636:-1 gene:Manes.01G145000.v8.1 transcript:Manes.01G145000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAASLSVRPLPGDFLPEDDESAAKPLRVEIGKATEDSGKSQSHKWTVYIRGATYEDLGVVIKRVVLQLHPSFNNPIRVVESPPFELLKCDWGEFKIVITLVFCRMYHHLKLYPEDETGPQSTKKPIVVESYNDILFPDHSENFLACVQNHPAVVVPRLPAGFTLPAPTLVESLSGKGRGDTKDHPLSHWFLNFSKADELLKVAAAHQQVATFGFK >Manes.10G133665.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29919445:29922410:-1 gene:Manes.10G133665.v8.1 transcript:Manes.10G133665.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLIVDLACFVCREICLHMVGKLENAKSFAKIRHSSFIPHFMNTFQRFQSFCEMKNLRTFLSVREDRIRCHITSKVVHELVPKLKCLRSLSLAGYEIEELPNSFGDLKHLRYLNLSDTPIQGLPESVDKLFNLQTLKLRDCDELIDLPKGICNLLNLQHLDIIGTRNLKEMPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGKLHITSLENVEVADIRDAGFVNLKDKPGITELHLEWAEADERFDDLRNPSHEEQVLNSIQPYQSLSSLSITSFGGRKFPSWLGEPSFSGMVQVQLRKCRQMTSLPPLGRLKSLKKLSIGDMRGVKEVGVEFYEDDSCFSCLEELEIRSMGEWELWAWSNGLDEDSVPKFPKLHQLQIRNCPKLVGKLPTFLPSLEKLFIVDCPLLVELPKVLPSLTALSIRRCQEAILRSVTNATCLTSLKYLEIALCDELVSLVDGEPGLLPCNLEVLNIYECPNLKELPSELKDLKSLKYLTIRRCRSLVSFPTGGLPHNMIRLRITSCESLESMPEGIVCPSDYSGETSQLEKLYISGCESLRCSSNGKFPYSLKTLRIHNWTPQFLNSLYCGLSHLTELHIEKCPQLESFPGKELPLPSLISLTIAHCEGLRSLSNHMQDFQSLQQLEIGGCHQLELFPEMGLPNPKLVSFQISWCKNLRSLPNQMQNLTSLQSIDISVCEGMESLGEGCLPPNLTSLHIRECLNMKQPMLEWGLHRLVSLRSLVLNVESTGDFISFPDDDGFLLPTSLTHLCIIGFKNLKSISMRIQKLTSLEKLSIWWCPKLQSFPAEGLPATLECLEIHSCPLLRDRCLKDKGGDYWPIISDIPCVDV >Manes.02G137200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10419696:10425626:1 gene:Manes.02G137200.v8.1 transcript:Manes.02G137200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHSFFLQFSFHLLTSQCCTTSGTRQILSINQSINQSAAVSSLRSLKDSGAGFLILVSNCDQPFAEAFDINWMDQYVKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPDFAKNPRVIKQFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQQPLFPGDSEIDELFKIFRVLGTPNEDTWPGVTSLPDFKSAFPKWPPKDLATVVPTLETAGVDLLTKMLCLDPSKRITARSALEHEYFKDIGFVP >Manes.03G017500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1472257:1474880:-1 gene:Manes.03G017500.v8.1 transcript:Manes.03G017500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAIFLLSVLLFATSQFSLSIRDGLVENGNFELGPNPSDMNGTHVMVPTAIPEWTISGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQSLKVIKGMYYSITFSAARTCAQEEKLNVSVSPDWGVLPMQTMYSSNGWDSYAWAFQAEFPVVDLVIHNPGVEEDPACGPLIDSVAIRALYPPRPTNKNILKNGGFEEGPYVFPNTSWGVLIPPNIEDDHSPLPAWMVESLKAVKYIDSDHFTVPQGRRAVELVAGKESAIAQIARTIIGKTYTLSFAVGDASNSCEGSMVVEAFAGRDTLKVPYESKGKGGFKRAVLRFVAVSNRTRIMFYSTFYTMRSDDFSSLCGPVIDDVKLLSVRNPGRKMM >Manes.09G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4088958:4095103:1 gene:Manes.09G019600.v8.1 transcript:Manes.09G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNKEEEEEEMEDSGLKNLVWEEVTVTTMADTYYKSFLMKKPANCRNLLNRITGFALPRRIMAIMGPSGSGKSTLLDALSGRLSPNVIMSGNVLLNGKQRRIGCKNISYVTQEDFLLGTLSVTETLTYSAKLRLPAKYTKNEIKTVVEDTMMKMGLQDCADKKIGNWHLRGISGGEKRRLSISLEILTQPHVMFLDEPTSGLDSASAFFVIEALRNIALDGRIVICSIHQPSSFVFDLFDDLCLLSSGETIYFGEANAAIKFFAEAGFPCPTRRNPSDHFLRCINSDFDKIAAIRLRSQKDLGSLEPSSSQINLSTEDIREILFQKYKNSAYSVDTRKRIRELTLYTEELVPGPNMNNISWWKQLCTLTNRSFTNMTRDLAYYWIRILFCALIALGAGIMFFDIGLSNSSILARVKCYTYFYDFLLCLCVGGLPSLSEEWKVVYYERYNGHYGEGVFVLANFLSSFPFLVMITLSSATIIFYMVKFHMGFSIYCYFCINLFCCLSTMESITMIVALLVPNFLMGIGVSSAVIMLLTIASGLYRPLAYLPKVFWKYPMSYISVTAWAVQGQYKNDVIGLEFEPWVPGELKLKGERILETDLGVKSRHSKWWDLAILFFLFLCHRFVFFMVLKYKERAVLLLRRLYAKQSFQSQIKRISDSFRRETYSFSKRHQPLHPLSSQEGLASPLP >Manes.09G078218.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:21200888:21202759:1 gene:Manes.09G078218.v8.1 transcript:Manes.09G078218.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNAITNTSSTSIIKDALPSFKDIYPLKNIFLLAYRALDEMDQTEHQELLEGGRVVRDKYQLLRRILQFLVAGLSLFSLFLCFYMGFSLFPKSFCVYFNARLLSLFAHTLERKYMFLICNGILAFLAKSSISCTSSSSRFDLVDQLSPMKPTVADIASIQEVALSAKAEEADKEEEEVEEAAPAAANHEQELQEQERETLQKGEGEDLTVEDEGNEEERGGGLVWKNEEDDQEEEEENEEVASTEELNRKVEEFIRKMKEEIRVEAYQQLIAV >Manes.11G091058.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17812063:17822061:1 gene:Manes.11G091058.v8.1 transcript:Manes.11G091058.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLLLVSILLSILLCGFFTKITIAFSIEEATIDELLLAFKQKILTSRQLVQFYLDQISRLDPILRGVIEVNPDSLVLADKADQEREAKVPGSLPSLHGIPILLKDNIATEDKLNTTAGSYALLGSVVPGDAGVVVKLRKAGAIILGKASMSEWSGFRSSSFADGFCERKGQGKNPYVQSQGPCGSSSGSGISVAANMVAVSLGTETDGSILCPASVNSVVGVKPTVGLTSRAGVIPISPRQDTVGYSEYICTSLAVDFILRFFIVCLTKQFSHVGWSRPMCRTVRDAVYILDAIVGVDYDDNATKAAAQFIPPNHYKQFLNPNGLKGKRLGIVRKPFFEFYNDNGSVVSQAFERHLNTLRQKGAILVDHLEISNISVILNPDASGELVALFAEFKPALNAYLKQLVKSPVRTLEDIIAFNKNFSLLDQDLLLMAESMEGADEQLKVAVENLNKLSRNGLEKLVREHNLDALVAPYDMERSSSISRVLAIGGYPGITVPAGYDTRGLPFGICFGGLKGTEPKLIEIAYGFEQATKIRKPPVVH >Manes.11G091058.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17812053:17822075:1 gene:Manes.11G091058.v8.1 transcript:Manes.11G091058.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLLLVSILLSILLCGFFTKITIAFSIEEATIDELLLAFKQKILTSRQLVQFYLDQISRLDPILRGVIEVNPDSLVLADKADQEREAKVPGSLPSLHGIPILLKDNIATEDKLNTTAGSYALLGSVVPGDAGVVVKLRKAGAIILGKASMSEWSGFRSSSFADGFCERKGQGKNPYVQSQGPCGSSSGSGISVAANMVAVSLGTETDGSILCPASVNSVVGVKPTVGLTSRAGVIPISPRQDTVGPMCRTVRDAVYILDAIVGVDYDDNATKAAAQFIPPNHYKQFLNPNGLKGKRLGIVRKPFFEFYNDNGSVVSQAFERHLNTLRQKGAILVDHLEISNISVILNPDASGELVALFAEFKPALNAYLKQLVKSPVRTLEDIIAFNKNFSLLDQDLLLMAESMEGADEQLKVAVENLNKLSRNGLEKLVREHNLDALVAPYDMERSSSISRVLAIGGYPGITVPAGYDTRGLPFGICFGGLKGTEPKLIEIAYGFEQATKIRKPPVVH >Manes.01G132000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32497033:32499387:1 gene:Manes.01G132000.v8.1 transcript:Manes.01G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIERGKDVAQGSNELHHQQSSSAAASPSRYESQKRRDWNTFGQYLKNQRPPVALSQCNCNHVLDFLRYLDQFGKTKVHLQSCMFYGQPEPPAPCACPLRQAWGSLDALIGRLRAAYEENGGPPESNPFAGGGIRVYLKEVRDCQAKARGIPYKKKKKKKPSPSKGDDESSSAMPF >Manes.01G132000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32495745:32499387:1 gene:Manes.01G132000.v8.1 transcript:Manes.01G132000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIERGKDVAQGSNELHHQQSSSAAASPSRYESQKRRDWNTFGQYLKNQRPPVALSQCNCNHVLDFLRYLDQFGKTKVHLQSCMFYGQPEPPAPCACPLRQAWGSLDALIGRLRAAYEENGGPPESNPFAGGGIRVYLKEVRDCQAKARGIPYKKKKKKKPSPSKGDDESSSAMPF >Manes.01G249100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40862509:40862923:1 gene:Manes.01G249100.v8.1 transcript:Manes.01G249100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCVSSVSFLRFFAFFALMFLIFSPYVRAQAPAPAPAPTSDGTSIDQGIAYSLMLVALVLTYLIHPLDSYNFF >Manes.12G087900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:13295223:13296647:1 gene:Manes.12G087900.v8.1 transcript:Manes.12G087900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISCSAKRRPHQWRAPDHHLSQPKLPAPEHKKCHVAATPPAASLEEETVKEVLSETPIPNLPQTSQSTQQKTTQIPIFHYPKREGNKRKDEKEIERTPEISQTSEVCSITDTYSTTTTATTATAVTEIREDEVTSKKTVNRSLVKAPIKRPYNGERERGIKPPVKRELSSQARAMQRNEGSVRVGRELRERSGQRSASAATRTISGSVVRGAGESPANITGKSGGRQGEAGVRVGSGVKKQVKSEENDSVPMHLQQQNEPVSLEDPLVSLECFIFV >Manes.16G063500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813414:24819380:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSSMQYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESADGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813173:24819464:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESDGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813414:24819380:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSSMQYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESAMD >Manes.16G063500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813173:24819464:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSSMQYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESDGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813410:24819333:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESDGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813410:24819333:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSSMQYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESDGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813414:24819380:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESAMD >Manes.16G063500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813414:24819333:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSSMQYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESADGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813414:24819333:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESADGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813173:24819464:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSSMQYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESDGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813173:24819464:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESDGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.16G063500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24813414:24819380:-1 gene:Manes.16G063500.v8.1 transcript:Manes.16G063500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGLSDSSGTDDDLPPSHRNRFQSGVRPAGNGRSTAVGSSSLPRVHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADDMIRRIREWRKTNGLQPGMLSTAQPAHDPTPSPTVSASRKKPKASQSVASLSIGAPSPALPSAQPSSSALRRGPPPGPRSKKPKSYTSTGLTGRAQAAIRSSSGAFAPNEPAEATSYDPLIGRKVWTRWPEDNQYYQAVITDYNPVEGRHALVYDINTVDETWEWVNLKEISPEDIRWEGENPGIFHRGSRSGPGPGPGRGNKKAMARGGALAGAGRGRGTMKGPSRKDIPLSQNGIGKKALGDIEILHTDTLIKEVEKVFGTNHPDPMEIEKAKKVLKEHEQALVDAIAKLEDASDGESADGEHPFSHGQSMDQDRVWRKRPYDEMGGEGRVSDSNKMARGGRVGSVEHQDDGDDI >Manes.06G103600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23641282:23642488:1 gene:Manes.06G103600.v8.1 transcript:Manes.06G103600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPMEKRRKIVMVLTLELIFVFAVVLVAFLAFPTPKKRAIFVGILCVILNIIMYASPLTVMRMVIKTKSVKYMPFFLSLANLCNGIIWVIYAMLKFDINVVLPNSLGAISGMIQIFLYAKYYKTTQWDNDEDNSRYKHEVEISSAV >Manes.04G156600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35169183:35188643:1 gene:Manes.04G156600.v8.1 transcript:Manes.04G156600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNMRRQRGLLALSLWVKGQKLFLMKSGSCLFSLRLNVCGILGNIFNLSWMGWKEKLTLMQVAHAVLKDYIFVHLNNYDDKFNLLIFMLQKLFSLVDRTSVPDNPDSLQNQEVLLPGHLITIYLKEKLEDWLRKAKKVIQDEIAKNNNFNLLSVSDVKKVMDRNPSTSISLAVENILKTGRLVTQTGLDLQQRAGYTVQAERLNFLRFLSFFRAVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTRSCRITSYFDSQGAVKDFFDIRKSILGVLTGLGMTSSLPKFVHIGPPQVLYVLLDGRFVGSIPSSEIEKVVAHLRRLKVSAASVIPDDLEVGYVPLSMGGAYPGLYLFTSPSRFIRPVRNISIPTEDGQNIELIGPFEQVFMEIRCPDGGNGGRSDSFPATHEESHPTDMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQSLRFRADQKLYHLQTPQTPIVRTSSYVKYCIDEYPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMCHGQIYQTETIDLAEEGSRSDRDQRMFRRSNLDKSLHSVIDSDGLPYVGQIIHPNEPYCCIYNEITNTTRTNNRKGSDPVIVDYVAVDTKNKKNLQKVNIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESLAAKGGSLNGKFVDATPFAGSVKKANGETRSESESLVDDLGEMLRSHGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQITRQPIKGRKRGGGIRFGEMERDAMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTSFVQQQKRVVRDIGGLPPVRAPKKVACHACKTSKGMETVAMPYVFRYLAAELAAMNIKMNVQLSAGA >Manes.04G156600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35173031:35188620:1 gene:Manes.04G156600.v8.1 transcript:Manes.04G156600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVRGSFRDRREGYTDKAVVIRCVREYQSSLTDQSSVTVKLYYLRSGSARLGFWIQGREYLLPVGIVLKALVEASEREIFEKLTCCYDEKYEKTKGAVGSQLVGERAKIILDEVRELSLFTQAECLRHIGEHFQPVMDGLERETYSNVAHAVLKDYIFVHLNNYDDKFNLLIFMLQKLFSLVDRTSVPDNPDSLQNQEVLLPGHLITIYLKEKLEDWLRKAKKVIQDEIAKNNNFNLLSVSDVKKVMDRNPSTSISLAVENILKTGRLVTQTGLDLQQRAGYTVQAERLNFLRFLSFFRAVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTRSCRITSYFDSQGAVKDFFDIRKSILGVLTGLGMTSSLPKFVHIGPPQVLYVLLDGRFVGSIPSSEIEKVVAHLRRLKVSAASVIPDDLEVGYVPLSMGGAYPGLYLFTSPSRFIRPVRNISIPTEDGQNIELIGPFEQVFMEIRCPDGGNGGRSDSFPATHEESHPTDMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQSLRFRADQKLYHLQTPQTPIVRTSSYVKYCIDEYPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMCHGQIYQTETIDLAEEGSRSDRDQRMFRRSNLDKSLHSVIDSDGLPYVGQIIHPNEPYCCIYNEITNTTRTNNRKGSDPVIVDYVAVDTKNKKNLQKVNIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESLAAKGGSLNGKFVDATPFAGSVKKANGETRSESESLVDDLGEMLRSHGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQITRQPIKGRKRGGGIRFGEMERDAMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTSFVQQQKRVVRDIGGLPPVRAPKKVACHACKTSKGMETVAMPYVFRYLAAELAAMNIKMNVQLSAGA >Manes.04G156600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35169173:35188620:1 gene:Manes.04G156600.v8.1 transcript:Manes.04G156600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKERSGAAMGDCRELQELARHHIDSFDYMVERGLEIMLDNIKPVKISDSSTNTSLKLYLSNPRVYEPLKESSRTKHKRLLPFECRQAKISYTGKFEAEVCFEYHGRAVIREKYNFGQFPIMLRSKLCHLSGADSQKLVSLKEESAEMGGYFILNGLERVVRLLIMPKRNYPMSMVRGSFRDRREGYTDKAVVIRCVREYQSSLTDQSSVTVKLYYLRSGSARLGFWIQGREYLLPVGIVLKALVEASEREIFEKLTCCYDEKYEKTKGAVGSQLVGERAKIILDEVRELSLFTQAECLRHIGEHFQPVMDGLERETYSNVAHAVLKDYIFVHLNNYDDKFNLLIFMLQKLFSLVDRTSVPDNPDSLQNQEVLLPGHLITIYLKEKLEDWLRKAKKVIQDEIAKNNNFNLLSVSDVKKVMDRNPSTSISLAVENILKTGRLVTQTGLDLQQRAGYTVQAERLNFLRFLSFFRAVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTRSCRITSYFDSQGAVKDFFDIRKSILGVLTGLGMTSSLPKFVHIGPPQVLYVLLDGRFVGSIPSSEIEKVVAHLRRLKVSAASVIPDDLEVGYVPLSMGGAYPGLYLFTSPSRFIRPVRNISIPTEDGQNIELIGPFEQVFMEIRCPDGGNGGRSDSFPATHEESHPTDMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQSLRFRADQKLYHLQTPQTPIVRTSSYVKYCIDEYPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMCHGQIYQTETIDLAEEGSRSDRDQRMFRRSNLDKSLHSVIDSDGLPYVGQIIHPNEPYCCIYNEITNTTRTNNRKGSDPVIVDYVAVDTKNKKNLQKVNIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESLAAKGGSLNGKFVDATPFAGSVKKANGETRSESESLVDDLGEMLRSHGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQITRQPIKGRKRGGGIRFGEMERDAMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTSFVQQQKRVVRDIGGLPPVRAPKKVACHACKTSKGMETVAMPYVFRYLAAELAAMNIKMNVQLSAGA >Manes.04G156600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35169129:35188643:1 gene:Manes.04G156600.v8.1 transcript:Manes.04G156600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKERSGAAMGDCRELQELARHHIDSFDYMVERGLEIMLDNIKPVKISDSSTNTSLKLYLSNPRVYEPLKESSRTKHKRLLPFECRQAKISYTGKFEAEVCFEYHGRAVIREKYNFGQFPIMLRSKLCHLSGADSQKLVSLKEESAEMGGYFILNGLERVVRLLIMPKRNYPMSMVRGSFRDRREGYTDKAVVIRCVREYQSSLTDQSSVTVKLYYLRSGSARLGFWIQGREYLLPVGIVLKALVEASEREIFEKLTCCYDEKYEKTKGAVGSQLVGERAKIILDEVRELSLFTQAECLRHIGEHFQPVMDGLERETYSNVAHAVLKDYIFVHLNNYDDKFNLLIFMLQKLFSLVDRTSVPDNPDSLQNQEVLLPGHLITIYLKEKLEDWLRKAKKVIQDEIAKNNNFNLLSVSDVKKVMDRNPSTSISLAVENILKTGRLVTQTGLDLQQRAGYTVQAERLNFLRFLSFFRAVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTRSCRITSYFDSQGAVKDFFDIRKSILGVLTGLGMTSSLPKFVHIGPPQVLYVLLDGRFVGSIPSSEIEKVVAHLRRLKVSAASVIPDDLEVGYVPLSMGGAYPGLYLFTSPSRFIRPVRNISIPTEDGQNIELIGPFEQVFMEIRCPDGGNGGRSDSFPATHEESHPTDMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQSLRFRADQKLYHLQTPQTPIVRTSSYVKYCIDEYPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMCHGQIYQTETIDLAEEGSRSDRDQRMFRRSNLDKSLHSVIDSDGLPYVGQIIHPNEPYCCIYNEITNTTRTNNRKGSDPVIVDYVAVDTKNKKNLQKVNIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESLAAKGGSLNGKFVDATPFAGSVKKANGETRSESESLVDDLGEMLRSHGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQITRQPIKGRKRGGGIRFGEMERDAMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTSFVQQQKRVVRDIGGLPPVRAPKKVACHACKTSKGMETVAMPYVFRYLAAELAAMNIKMNVQLSAGA >Manes.04G156600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35169173:35188643:1 gene:Manes.04G156600.v8.1 transcript:Manes.04G156600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKERSGAAMGDCRELQELARHHIDSFDYMVERGLEIMLDNIKPVKISDSSTNTSLKLYLSNPRVYEPLKESSRTKHKRLLPFECRQAKISYTGKFEAEVCFEYHGRAVIREKYNFGQFPIMLRSKLCHLSGADSQKLVSLKEESAEMGGYFILNGLERVVRLLIMPKRNYPMSMVRGSFRDRREGYTDKAVVIRCVREYQSSLTDQSSVTVKLYYLRSGSARLGFWIQGREYLLPVGIVLKALVEASEREIFEKLTCCYDEKYEKTKGAVGSQLVGERAKIILDEVRELSLFTQAECLRHIGEHFQPVMDGLERETYSNVAHAVLKDYIFVHLNNYDDKFNLLIFMLQKLFSLVDRTSVPDNPDSLQNQEVLLPGHLITIYLKEKLEDWLRKAKKVIQDEIAKNNNFNLLSVSDVKKVMDRNPSTSISLAVENILKTGRLVTQTGLDLQQRAGYTVQAERLNFLRFLSFFRAVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTRSCRITSYFDSQGAVKDFFDIRKSILGVLTGLGMTSSLPKFVHIGPPQVLYVLLDGRFVGSIPSSEIEKVVAHLRRLKVSAASVIPDDLEVGYVPLSMGGAYPGLYLFTSPSRFIRPVRNISIPTEDGQNIELIGPFEQVFMEIRCPDGGNGGRSDSFPATHEESHPTDMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQSLRFRADQKLYHLQTPQTPIVRTSSYVKYCIDEYPTGTNAIVAVLAYTGYDMEDAMILNKSSVERGMCHGQIYQTETIDLAEEGSRSDRDQRMFRRSNLDKSLHSVIDSDGLPYVGQIIHPNEPYCCIYNEITNTTRTNNRKGSDPVIVDYVAVDTKNKKNLQKVNIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESLAAKGGSLNGKFVDATPFAGSVKKANGETRSESESLVDDLGEMLRSHGFNYHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGTVDQITRQPIKGRKRGGGIRFGEMERDAMLAHGAAYLLHDRLHTCSDYHIADVCSLCGSILTTSFVQQQKRVVRDIGGLPPVRAPKKVACHACKTSKGMETVAMPYVFRYLAAELAAMNIKMNVQLSAGA >Manes.14G044900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3892851:3896217:-1 gene:Manes.14G044900.v8.1 transcript:Manes.14G044900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLEKEKDMEKLSGFIFLCNGKTKPECYMYRVFGLPKGKKEVVEKIKPGMGLFLFDFELKLLYGPYEATSTGKLNLEPAAFGGKFPVQVSFRICKDCFPLPERSFKHAIQDNYQEGKFKQELNDQQVRSLLSLFHPLMPASALVPPALPSGSTPLPVLENRFLANVPSREGPYIAGMQLSRDQSELTAQHSLHKIEGPQHAYYGASTHTHPSMKIQCMLSTRMPHFKDPYLARMYGASTHTHPSMKIQYMQSTRMPHFEDPYLARMQNNLVSQLLVSRYVEQRVLPSEAASLGSMENIGYIHQAMEPQILPVPTVPYHSADAQQANFPGNTY >Manes.14G044900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3890388:3896342:-1 gene:Manes.14G044900.v8.1 transcript:Manes.14G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLEKEKDMEKLSGFIFLCNGKTKPECYMYRVFGLPKGKKEVVEKIKPGMGLFLFDFELKLLYGPYEATSTGKLNLEPAAFGGKFPVQVSFRICKDCFPLPERSFKHAIQDNYQEGKFKQELNDQQVRSLLSLFHPLMPASALVPPALPSGSTPLPVLENRFLANVPSREGPYIAGMQLSRDQSELTAQHSLHKIEGPQHAYYGASTHTHPSMKIQCMLSTRMPHFKDPYLARMYGASTHTHPSMKIQYMQSTRMPHFEDPYLARMQNNLVSQLLVSRYVEQRVLPSEAASLGSMENIGYIHQAMEPQILPVPTVPYHSADAQQANFPGNTY >Manes.11G000500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:133137:144139:1 gene:Manes.11G000500.v8.1 transcript:Manes.11G000500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWIAKVKEGQHLLEDELQLLCEYVKKILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Manes.11G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:133137:144139:1 gene:Manes.11G000500.v8.1 transcript:Manes.11G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWIAKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Manes.14G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4610837:4617547:-1 gene:Manes.14G054400.v8.1 transcript:Manes.14G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLQTSYLFSFPKPLNPIPNRHSHLHHHRICHPLSSQTILSSSTTSSTPPKCFSSDEFPVDETFVQTFGPRDDAKEEEWRRKNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQSPEAIEAFRMLSPKYRLKKIKEMGLTEDEWYRKQFEIKGEIPEKLETLWTEPLVVRHVPPRDWPPRDWEVDRKELEFIREAHKLQAVRVAVDELGSMETTDTEGMCLDRYKMFLKQYNEWVAANKDRLEKESYKQDQDYYPGRRKRGKDYKEGMYELPFYYPGQICQGKVTTIHLYQGAFVDIGGVHDGWVPIRGNDWYWIRHHIKVGMHVFVEILAKRDPYRFRFPIEMRFVDPNIDHLIFNRFQFPPIFHRDEDTNPDELRRDCRRPPVPRKDPGTKPEEEPLLSNHPYVEKLWQIHVAEQMILDDWEANPEKYKDKKLSELTDDEDFDEENSVEYTTAYYKKTLLPKIIMKQSVKELDLEAVLAEREHHNKLNKEAEERGETYKIAKLRRNIEMDEYDLMHWRRSFEEREALIRDISCRQALGLPLEEPGRYKPASYFGKDQYDPDNPLYRYDYWGEPKNSEKSKQERMTDAHNKSIVGKGTVWYEMSYEDAIEQRMQREARSKEAKQKGDEEELDEDHRNESEDEDDDDDIDFSILNDLDGDISDQPLVNGTESPGLSDEGMFEN >Manes.14G113300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:14116660:14119237:1 gene:Manes.14G113300.v8.1 transcript:Manes.14G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSITKGSVHEGKLLVHIAENGHSFELDCDETTIVEGVMRYIESVTGINFNEQLVLCSDMKLESQRPLSAYKLPSSDRDVFIFNRAKLQNNSPPPPPEQVDILEVEEPPSPAGLNDPHPLDDALDPALKALPSYERQFRYQYHRGHAIYSRSCLKYGHCERLLKEQRVQEQAIEVARVNLDQYYRAISQNYSEFMKRYKQQHRIHSELLMNYKRDLEKLRSIKLHPALQTTTRKFLVDFVKEENLRKVVENCSNSHRQFEKKVSVFKQMFSEVKHKGEELFGCRNSIPIRNLELTIKEHWRFINEQKSVMQSLWLVDFHLSHFELNLTILN >Manes.02G057100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4493939:4494983:1 gene:Manes.02G057100.v8.1 transcript:Manes.02G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPALHLLLVVLIVCITRNSCRAAFLQKGNTTSRCEDGHLDECLIAEDFEIEVLMDSYITRILGDKGDPYTDFTYSRSEVKACSGNESPSYNHCQCPSYNPNCGK >Manes.04G057320.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:13661930:13665340:-1 gene:Manes.04G057320.v8.1 transcript:Manes.04G057320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTSSTAVLPKRERLFESLSGRSRGSSSSISLSKKSTKNKEILLAQDLDTSLNNWEFPPISSDLIYKSNFILLSEELIKRHQEKYSFLHIGMIQVAVKPATRLGLNTTAMLCVRDKRHFKFNDSLLGVVESSLCDGPIFFQCYPNLTLSLTDPYILQTLILDIKTMGYDMLPGSENLILIYRIHYKAMNTVVPNLREKATKLISPKGTTTLFVTNMSKRNLIIPKSIQWDQVNLPESWILEEAAPPMTRNPMAQASNPHAQKFALLDPYQPKKEESTTVQSIIQNNKGSVAISFARSRSFGGRRSYSNASLLSESLPPRSSVSTPIQRSNSMIGVQRTEEQIANPVYEKPLSPTPSDMGYDTESVISRSFKIMILEKEEMPFEKWFSMEISNKEQKLWRSKYKVYKHQKQGKNSKVECIPFSHDNSENPAARQQNFTNLSLHTIGQQLNRVENQVSKIASQPTGVYILPRKEKAESSGTKLEERVLFKPMDSKSVNIKLDKKEEILEELTKRLAKLGLKEDPKKKSIVPLTMESENETKREERQNEEEELTQLESMLRETEPAEVNRIKYPKAQATMDLKPYYPRPSPINLQYEDTSYNPVQYMTMHAIACRAKGNDNPAAARALIAGFSGQLKGWWDFSVSNEGKAQIFNMVKQEGAQQVPDVVNTLLYTIGLHFIGSVSMFTDRAQEQLINLEDSQNNVWKEKFLAGLPALFAERVRDQIRSKHNGNIPYHQYTYGELASEVVTAGIILCNEVKILKQM >Manes.03G114816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:24094199:24100561:1 gene:Manes.03G114816.v8.1 transcript:Manes.03G114816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGKVKEIAGPTVERIWNFEERRQALKRKVQELESMEIDINCQLKIKESLSGKKIKNEVENWLMNVERIKNEVKRTEQAVEESRWNRLMGEGMDKITEEVMELIEKGRFQGELVLDVHETGENLFIAAKVFSQVFQINKDKIWEYLTKDEVFSIGIYGMGGVGKTTLVTHIHNQLLESQNTFHHVYWITVSQDFNIYKLQNLIAKTIHLDLSNEDDEKKRAAKLSKALVLKQKVLLVLDDLWNHFSLEKLGIPVGVNQCRLIFTTRSLDVCRRMGCQQIIKVEPLSEKEAWTLFLEKLGETHHPEVNRIAKAIARECAGLPLAIVTMAGSLRGVDDACEWRNALRELEESKGTQGDMESEVFRILKFSYDRLIDSALQQSFLYCALYPEGHQIDRKELIEYLIVEGVIGRGSRQAEYDEGHTILNRLEKVCLLESVRELGRRCVKMHDLIRDMAIQIMKAKPLVKIKTSAQLSEMPDWGYWMADLVRISLMNNHIKEIPSGYSPKCPNLSTLLLCGNEQLRSIAGSFFEQLQGLKILDLSGTGIAELPKSVSNLVNLNALLLKFCYNLRHVPSVAKLRALRKLDLNISGLEELPEGMELLSNLRYLGLLRTKLKELPPGILPKLSSLQVLLLDPSLIVKGEEVASLRKLETLNCRFYDVAAELKPICSIFERSSSAFIDEPGQS >Manes.09G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31304847:31305832:1 gene:Manes.09G110500.v8.1 transcript:Manes.09G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWKAWLCFEQTFHFSAKRILLKLTSNLRPKARGNNQGLMNLYKDMESCGGYTDIQVMWEIIHSSSPPNGYCARRKRTYWKFCFRPT >Manes.04G041325.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6777966:6781637:-1 gene:Manes.04G041325.v8.1 transcript:Manes.04G041325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVYDGSYISACVKKSSLSSARSIGDGDAVELLVSLSSSSNLSYSFSEVQAVICAINCCFWARKISCLVMVDGLGVLLYCGWSRKNCSMACGPQACKLVLFHHLILYLRTKIGI >Manes.04G041325.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6777966:6779604:-1 gene:Manes.04G041325.v8.1 transcript:Manes.04G041325.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGSYISACVKKSSLSSARSIGDGDAVELLVSLSSSSNLSYSFSEVQAVICAINCCFWARKISCLVMVDGLGVLLYCGWSRKNCSMACGPQACKLVLFHHLILYLRTKIGI >Manes.01G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33736520:33738142:1 gene:Manes.01G147500.v8.1 transcript:Manes.01G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAQINKGAWTREEDERLVAYIQAHGQGSWRTLPKAAGLLRCGKSCRLRWINYLRPDVKRGNFTVEEDELIIELHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHMRRKLLSRGIDPSNHRSTSELYVDSMSNSLGAVLKEENIAGGFRYKHQKNPYERKCQELNLDLKICPPFQHQSEPLNREVLCFYCRLGLQKSKKCSCSDDLLHMKNGDGGETYSRELGYCLQGSM >Manes.01G147500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33736520:33738142:1 gene:Manes.01G147500.v8.1 transcript:Manes.01G147500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAQINKGAWTREEDERLVAYIQAHGQGSWRTLPKAAGLLRCGKSCRLRWINYLRPDVKRGNFTVEEDELIIELHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHMRRKLLSRVLKEENIAGGFRYKHQKNPYERKCQELNLDLKICPPFQHQSEPLNREVLCFYCRLGLQKSKKCSCSDDLLHMKNGDGGETYSRELGYCLQGSM >Manes.13G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33902813:33906229:1 gene:Manes.13G130500.v8.1 transcript:Manes.13G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRETQSVEANTNPNSPINDDQTPKMSPYELSREERIKSNRERMQKLGLVDLSLKLHSLTAPKRTPKNNPSPAKLISPLPASGPVRRSSRLHNVTPVSYSEAAFAKKDGLLEEEDVRLEVGSKPEVYTEEHEKLLGNTEKSWTLFVDGYGKDGKRIYDQVKGKTCHQCRQKTLGYRTYCSKCNIVQGQFCGDCLYMRYGENVLEAMENPNWICPVCRGICNCSLCRQAKGWAPTGALYRKISSLGYKSVAHYLIQTRRLQNNSGKDLATASHVSAKRSLPFSEMEIPSERPPIINDEHNGHCEHTMHDELKIKREKQLPNSGNLITDGQTKLLLPSEVKSENMGSIEVDDEANEHLGFSERTSEDKKESEAHSENLEPAAAKHEFCGHARLSELHVLEDKREIKSTDAKRNNCNIVLETSPNLEKKRALACKPNPDGVAGRLRQRHQKTNGQGIMEPTGVKEKNSDGKHSVNMFSNKEEPKEEELLVEDDKCTDRDIVLDISPKLNKKTARTVEPNPDSIGGRLRQRRRMGQGNEEIRV >Manes.13G122001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33029353:33029838:-1 gene:Manes.13G122001.v8.1 transcript:Manes.13G122001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHLRSNSLPSTSHPLTVSIEKQIYKLKASHSPSIAHKLSGIKNLFECVDDLLQLPHAQQTLSHEMQRQCVENALNGSLELLELCDSTRDFVSQMKECVQELELSLRRRKGRDSGLTGEVDAYMVSRKRLNKAICKYLKDLKKRRGIAQQQFWIVTLIC >Manes.02G118400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9066454:9069094:1 gene:Manes.02G118400.v8.1 transcript:Manes.02G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Manes.02G118400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9066452:9069111:1 gene:Manes.02G118400.v8.1 transcript:Manes.02G118400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Manes.02G118400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9066454:9069094:1 gene:Manes.02G118400.v8.1 transcript:Manes.02G118400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Manes.07G069764.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14892221:14900672:-1 gene:Manes.07G069764.v8.1 transcript:Manes.07G069764.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSKLRSSSSTARPSSMLPQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVSTLAYCAAYDHSTAHAAFVVVSVLVFHFLITGVVLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPLFVKLYVIHYFLSPLLVAHGLIPILLSNMLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGIVIVLSPILILSGFNPSRYFMNVYFSQRL >Manes.07G069764.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14892221:14900672:-1 gene:Manes.07G069764.v8.1 transcript:Manes.07G069764.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSSKLRSSSSTARPSSMLPQYLRRIVKWQQMDIEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVSTLAYCAAYDHSTAHAAFVVVSVLVFHFLITGVVLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPLFVKLYVIHYFLSPLLVAHGLIPILLSNMLFMVAASYYHYLNFLGYDACLI >Manes.04G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34335182:34340418:-1 gene:Manes.04G145800.v8.1 transcript:Manes.04G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKEIMGSSLIDKSSFASMSSKVLFNHSFHQKNQFLVKPVLVPLQHRRINVQRAAVRGPVAAISEDLIRANSNKDTVPEKAVTFKVRAVVTVRNKNKEDLKETIAKHWDAFADKIGRNVVLELISTEVDPKTNTPKRSKKAVLKDWSKKSNVKAEKVHYTAEFQVDSNFGVPGAITVSNKHQKEFFLETITLEGFACGPVHFPCNSWVQSSKDHPAKRIFFSNEPYLPSETPAGLRVLREKELRDIRGDGKGERKLSDRIYDFDVYNDLGNPDKGVALARPKLGGENIPYPRRCRTGRRPTDTDINAEGRVEKPLPMYVPRDEQFEESKQKTFSAGRLKAVLHSLIPSLKATISAENHDFNAFSDIDILYKEGLLLKVGLQDEIWRSLPLPKAVTKIQESSEGLLRYDTPKIISKDKFAWLRDDEFARQAISGVNPVNIESLKVFPPKSNLDPEIYGPQESALKEEHIIGHLNGMSVQEALEENKLFVVDYHDIYLPFLDRINALDGRKAYATRTIFFLTPLGTLKPIAIELSLPPVGPGSQSKRVVTPPVDATSNWIWQLAKAHVCSNDAGVHQLVNHWLRTHACLEPFILAAHRQLSAVHPIFKLLDPHMRYTLEINALARQSLINADGVIESCFTPGRYCMEISAAAYKNFWRFDMEGLPADLIRRGMAVPDPTQPHGLKLLIEDYPYAQDGLLIWSAIENWVRSYVNRYYPNSSLVCNDTELQAWYAESVNVGHADLKHAEWWPTLANVHDLVSILTTIIWLASAQHAALNFGQYPYGGYVPNRPPLIRRLIPEENGTEYASFLADPQKYFLSALPSLLQATKFMAVVDTLSTHSPDEEYIGERQQPSIWSGDAEIIDSFYEFSAEMRRIEKEIDRRNMDPSLRNRCGAGVLPYELLAPSSSPGVTCRGVPNSVSI >Manes.02G089600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7034893:7037069:1 gene:Manes.02G089600.v8.1 transcript:Manes.02G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETRGKKPNKHKSQKKKKKQTTRIFSSSKQEVVVAMLSKFSLLLVLLLLFSGAFEAIEGVHEHKKNLNSNISTVAGIELPEHMTFNAVSSSPMKTDCKLSRSRKDQPTQSIAAQEEEDDNDDDDDDLDVYVHGDEGKDSKTVKLELKRRHRSMNQESKAEESFIASTTRDLTRIQTLHKRITEKKNQNAISRLTKGMKEQRELLKQPVVAPAASPESYSTGISGQLMATLESGVSLGSGEYFMDVFIGTPPKHFPLILDTGSDLNWIQCVPCHDCFEQNGPYYDPKESTSFKNISCKDPRCHLVSSPDPPQPCKAVNQTCPYFYWYGDSSNTTGDFAVETFTVNLTSAAGNSAFKRVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSDSNVSSKLIFGEDKDLLSHPELNFTSLVAGKENPVDTFYYVQIKSIMVGGEVLNIPEKTWNVSSDGAGGTIVDSGTTLSYFAEPAYQIIKDAFVKKVKGYPVLKDFPILDPCYNVSGVEKMELPEFGILFADGAMWNFPVENYFIRFEPEEVVCLAILGTPQSALSIIGNYQQQNFHILYDTNKSRLGFAPMNCADV >Manes.13G140600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35416421:35418293:1 gene:Manes.13G140600.v8.1 transcript:Manes.13G140600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGGETVDELLEAQTHIWNNTFHIFKSMALKCAVQLGIPDAVNSHGKAMTLSELLAALPVHPTKTHHLYRLMRLLVHMGFFTLQKTADQEGYLLTPASRLLLKDNPLSTSSFVLIALDQVLLETYNCMSTWFQKDEPNPFVTVFGEPVWEYASHEARVNNLFNGAMANDSSLIGKAVVVKCKEVFQGLNSLVDVAGGTGNMAKAISDAFPDLKCTVLDLPHVVTDLEGNKNLNFLAGDMFKAVPPADAILLKWILHDWPDEECVKLLKNCKEAVRKNENEQQTGKVIIIDMVMENQAWNRTEVDDKITEAQLLLDMEMMCCVIGKERNEKEWAKLFFDAGFSNYKINHVLGSRALIEVYP >Manes.14G135400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9471416:9474335:-1 gene:Manes.14G135400.v8.1 transcript:Manes.14G135400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALFENNMTSSGENLATAIVPLMKLISLTIIGLILAHPKSQIIPKATFKLLSKLVFALFLPCLIFTELGESITLENFALWWFIPVNVLVSTIIGFFLGLLVVAICKPPPQFNRFTIIMTAFGNTGNLSLAIVGSVCHTKDSPFGAHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPLQFYEIVGEGIEIEEQRPATDVSRPLLVEAEWPGIEDKETEHAKTPFIARIFNSISNLSQTNFPDIDLSGDGSANSPRSIRCLAEPRVVRRIRIVAEETPIRHILQPPTIASLLAIIIGMVPQLKAFVFGYDAPLSFITDSLEILGGAMVPSVMLILGGMLAEGPNESTLGLRTTIGISVARLLVLPLLGIGIVALADKLNFLVQGDSMYRFVLLLQYTTPSAILLGAIASLRGYAVKEASALLFWQHVFALLSLSLYIVIYFKLLSYI >Manes.13G149000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36471321:36472840:1 gene:Manes.13G149000.v8.1 transcript:Manes.13G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHEAEELLRGQAQLWQHMFAFADSMALKCAVELRIPDIMHSHSSPITMSQIASSMDSSSHPDISYLTRIMRLLVRRKIFSAHRPSDGGETLYGLTHVSRWLVNDSDKSLAPLFLLENHPLMMDPWHRFSQSVKEGGFAFKKEHGSEVFEFASQNPEFNSMFNSGMASTSRVTIHAILEGYKDGFGCIGSVVDVGGGTGNLVSEIVKSHPHIKGINFDLPHVVATAPEYNGVTHVGGDMFEAIPNADAVIIKWILHDWGDEDCVKILKNCRKAIAEREGKVIIVEVILKPEGNEVFEDTGLVLDLVMIAHSSGGKERTEIEWKKLLEKGGFPRYNIIKIPALTSIIEAYPQ >Manes.04G086001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28754231:28757839:1 gene:Manes.04G086001.v8.1 transcript:Manes.04G086001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSFSCSCLFLVVLGLVLNVAVLCNGGKTSSFVRPVEKTIDMPLDSDVFRVPPGYNAPQQVHITQGDHDGKAVIVSWVTEDEPGSNSVIYWSENSSLKKEAKGQHYTYKFYNYTSGYIHHCNIRNLEYNTKYYYVVGIGNTTRQFWFITPPAVGPDVPYTFGLIGDLGQSFDSNKTLTHYEMNPQKGQTVLFVGDLSYADNYPNHDNVRWDTWGRFTERSAAYQPWIWTAGNHEIDFAPEIGETRPFKPYTNRYHVPYRASGSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEAELPKVNRTETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVKYKVDVVFAGHVHAYERSERISNVDYNIVNGKCTPIADQTAPVYITIGDGGNLEGLATNMTEPQPAYSAYREASFGHAIFDIKNRTHAYYSWHRNQDGYAVEADSMWFYNRHWHPVDDSTSAQTK >Manes.S054616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1890755:1891567:1 gene:Manes.S054616.v8.1 transcript:Manes.S054616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G096450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28581548:28582353:1 gene:Manes.13G096450.v8.1 transcript:Manes.13G096450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPSPADQSQAIIDPIADLSQKLFQLIQNSQNGNQKSTNQFTLDSAQPPSDIKLNDSNYVVWTKMMEMFITGRGKSNHLTGTPSPPTETDPAIYLWQTNDSIVRGWLIQTVEQKLRPNLLQYKTSKGLWDALKIRFNTDLYNDLQAIWAEIDERKPTRIEGDNNIIIQNQEIQKERLYLFLAGVQSDLDP >Manes.11G082995.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:13588252:13588915:-1 gene:Manes.11G082995.v8.1 transcript:Manes.11G082995.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFCTPQYLCELHLAGSGKFGRPGGMPSPLAARLPAAGPLLRMQIAFCVLQCLYKPHLGSRLHICEPHLLAGQLACLPAGLYASEPYLGAGTCALQLPRARAVLHQHCSHEPRFCNAGLVLSSSQQPVSSQLGLALPPVAYQCSSGPTTPPATLPIDAEPQPIRD >Manes.17G015855.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:5931465:5932388:-1 gene:Manes.17G015855.v8.1 transcript:Manes.17G015855.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLKCFHNNFMVLNGKDLSFEKNRPRRRLPGSAAESEVRPPNMERLRECFWPPKVLFERAKVRPPNMHEFRGHVRLPKVSDQATYKGPSDRKWASFLPFSRAQVSFCPPLAVFMFFFISFMFS >Manes.14G027100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2489472:2493628:1 gene:Manes.14G027100.v8.1 transcript:Manes.14G027100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGVSDDNWKSDDPLPAGWTVQVKVRSNGKKDKCYFPPSGEGKFYSKLEVVRYLNNSRPKSEEKDKSTDQDSENVVVEKAEPKGLPPGWTKEIKVTKKARKIRRDSYYTDPVSGYVFRSMKDALRYLETGELGKQAFKPKDKGNYDVELEDDKACSPAAAKKQMLAISGTTSPIASENSSKPFEVEKDEQVLSLATTGEFMPVSEHGGVGAQSNSSEVPEGKGSNQTVGRSDPAGSVIATAVGVVPNEPPVEGRVMKEEIKNAPQKYKKKNLDLPRRASKRLAGLPLDPTPELKTTNRARQASVKQSDDTVACRGGGFSHTELATELSKSTECSFQSNKSKHPIGHAAAPREHVGKVETEKRVMGSLDMLLFHL >Manes.14G008700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:487689:488123:-1 gene:Manes.14G008700.v8.1 transcript:Manes.14G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPLPNTNPQAPSKDYNKPPKKKPPTPQELISHYQTQGLDSQEASVKVIEDLQNVLFRVISSNNKNRKDKLVSETSRKVDMVNNRLAVLDMKLDSKPGYVETFAIGLASGAAFRGIESVWPHVLGGVGQIWNAVTSATKPPP >Manes.02G037602.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3111019:3112022:-1 gene:Manes.02G037602.v8.1 transcript:Manes.02G037602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVASIALLLSLNLLFFTLASSCSCSTPSPSPKPKAPAPHANNPSPKPKTPAPSNPSPKPKTPAPSNPSPNPKTPAPSNPSPKPNNPSPTPNLPSPKPSNPSPTPKLPSPKPSNPSPAPSKGACPRDTLKLGVCVDLLKGLLGITIGKPPTTPCCSLLKDLVDLEAAVCLCTTIKANLLGINLNLPIDLSLLLNSCGKKVPEGFKCT >Manes.14G092425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7700045:7700756:1 gene:Manes.14G092425.v8.1 transcript:Manes.14G092425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKLAFVLSFLLIGSCMSLSRASGGKRCLSDADCISVKCPEGLSDARCFHNGYCTCKPTQEGSSQFIDGRSSKDAQACKRDSDCTGFCPPKCKYVNCVGGVCFCSC >Manes.16G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30622758:30627420:1 gene:Manes.16G100600.v8.1 transcript:Manes.16G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRIGFSDSTEISNGSSTCCIETLSTPMPSNPEISALQQLSRSLESIFHSPDFDFFADAKIIISSANREVPVHRCILSARSSFFKAVFSGSAAKEKGAEFELKELAKDYDVGFDSLVAVLAYLYSGKVKPLPKDVCVCVDEDCSHVACRPAVDFMVEVLYASFTFQVPELVALYQRHLLDILDKVAIDDILVVLSVANMCGKSCERLISRCIEIIVKSDVDIVTLDKALPQHIVKKITDSRLEFGLETPESAGYPDKHVKRIHRALDSDDVELVRMLLKEAHTNLDDAHALHYAVAYCDAKTTTELLDLGIADVNHRNLRGYTVLHVAAMRKEPKIIVSLLTKGARPADLTSDGRKALQISKRLTRASDYHRYTEEGKASPKERLCIEILEQAERRDPLVGEASLSLAMAGDDLRMKLLYLENRVGLAKLLFPMEAKVAMDIAQVDGTSEFPLTNIKVKNLAGDQRTTVDLNEAPFRIQEEHLNRMKALSRTVELGKRFFPRCSEVLNRIMDAEDLSQLAYLGNDTPDERLQKKKRYMELQEVLGKAFTEDKQEFDRSTISSSTSSRTVGAVRSNGKLLNRGGGKA >Manes.01G126500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32112469:32125575:-1 gene:Manes.01G126500.v8.1 transcript:Manes.01G126500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGLFPADPLSGEDKYYIFTKGTYKVGRKGCDVIINKDKGVSRIHAEIVVDEMTSSNPMESKHRWSSRIRVRDFSKYGTFINKNLGSMEKVHEFPNKETTLKDGDLVSFGTGNATLRFTFVPLIFFVCCSESFQVNQTLEDKVSAIGAHITFQLSEKCTHILIDDRMPVNEDVVDAIVAKKPIVLQRWVELIAEKSIGTEIPSWNSYIPTLTVEGVPTKVVDSVTRAKCLDGYTCLLESTNMYKFGDRLQSLLEVSGANVIFIEEFCSNSQGLGCGENVQMVCVIPQGSNDKSGRYSKLISLSRVNEVDLLCAVISGHLDSSILISPAVLVSSSCSTDETVVADSDEEVGVSSIHSIHFIANISSEEAPKGLNTVEISTVHHPPKSEDNHVMSSMDNYCGMTTKKEKVEDPESANSGIIYSQGLIVRDWHLPVTTSSTINDKILNFKRFKKKNTQSGNSFNNLIPFSKYPYKDSDYGNQEMIDSMKEEKKRKQMEAIAEDLFNNEKGRRRGAAGSLHSLLSHG >Manes.04G077417.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29110230:29114343:-1 gene:Manes.04G077417.v8.1 transcript:Manes.04G077417.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLPCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFGDLLGLWDDVYNYESNIVLWNPTTSETKILPQSNLSHPPPERFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSWELPSYKFENGDSEYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSRYFWRTILSLNEHVALSLSTNRHVELWVLLEYGVEESWTKLFTVAHPEYLRMALPLGFSRKGELFFSSWNEHLLVWNPPEETISPVPLEGAVYASNCLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.02G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7865680:7870227:-1 gene:Manes.02G100900.v8.1 transcript:Manes.02G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNEAGGRDEKAEKNQNQTEAGGGGASKRKSCKGCLYYSSILKSKGINPRCIGIPRTLHQVPNYIVGQSAVEASIEGRTLAGFYYACAGYSVYISKDHSTDNQKQVTKTELPVCVGFEFLAYRRAATSDNASAPAHAHGREDGLEIHQPRTPKPTHSAGDDFLSRYTRNANLVASGVARNMRKVGNYIKENVDDILYPYRKRPK >Manes.17G052000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24872526:24880522:1 gene:Manes.17G052000.v8.1 transcript:Manes.17G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTEDAKHIEKLYEFGERLNESKDKSQNVKDYQGIIDAARTSVKAKQLAAQLIPRFFKFFPDLSSQAVDAHLDLIEEEELGVRVQAIRGLPLFCKDTPEYLSKIIDILVQLLVAEEIVERDAVHKALMSLLRQDVKASLTALFKHIGTTDEQGPEDVREKVLGFIRDKVFPLKAELLRPREEMERHITDLIKKGLEDVTAAEFRMFMDFLRSLSIFGDKAPPERMKELIEIIEGQADLDAQFNVSDGEHIDRLISCLYMALPFFLRGASGSKFLNYLNKHIIPVFDKLPEERKLDLLKAVAEISPYTLPQDSRQILPSIVQLLKNYMPRRKTGEEMNFTYVECLLYAFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSELYKDFTERLSNVEELTRATMKKLTQGMAEHNKAMAAAKSDEAKDNIKTQKQNTTTGLRTCNNILAMTKPLHSKTPSFIGDKSINPSWKEAAKPSVGSTTTATGGKRPVNAANGSGNTAKKGRGAGGLQNQLVNRALEGISHGGRGGTRGRGRGWGGRGRGRGYR >Manes.10G040200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQTNVGKPGNCLVAFEHKRDAYGFAVRPQHVERYREYANIYKEEEEERSDRWNSFLELQAESGQLPVNGLSLVDDNKALHSERTEQETGDGLEKGDDLSSEKAGPDVSPKDLTENEEKKLIANKKIHRVQIWSEIRPSLRAIEDSMSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVEQLEHEVSELRKAHADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKQAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVEQLEHEVSELRKAHADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKQAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142886:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKQAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4153039:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQTNVGKPGNCLVAFEHKRDAYGFAVRPQHVERYREYANIYKEEEEERSDRWNSFLELQAESGQLPVNGLSLVDDNKALHSERTEQETGDGLEKGDDLSSEKAGPDVSPKDLTENEEKKLIANKKIHRVQIWSEIRPSLRAIEDSMSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKQAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142860:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKQAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4149444:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQTNVGKPGNCLVAFEHKRDAYGFAVRPQHVERYREYANIYKEEEEERSDRWNSFLELQAESGQLPVNGLSLVDDNKALHSERTEQETGDGLEKGDDLSSEKAGPDVSPKDLTENEEKKLIANKKIHRVQIWSEIRPSLRAIEDSMSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARA >Manes.10G040200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4153039:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQTNVGKPGNCLVAFEHKRDAYGFAVRPQHVERYREYANIYKEEEEERSDRWNSFLELQAESGQLPVNGLSLVDDNKALHSERTEQETGDGLEKGDDLSSEKAGPDVSPKDLTENEEKKLIANKKIHRVQIWSEIRPSLRAIEDSMSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142860:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVEQLEHEVSELRKAHADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVEQLEHEVSELRKAHADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKQAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVEQLEHEVSELRKAHADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKQAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVEQLEHEVSELRKAHADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G040200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4142530:4153038:1 gene:Manes.10G040200.v8.1 transcript:Manes.10G040200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQTNVGKPGNCLVAFEHKRDAYGFAVRPQHVERYREYANIYKEEEEERSDRWNSFLELQAESGQLPVNGLSLVDDNKALHSERTEQETGDGLEKGDDLSSEKAGPDVSPKDLTENEEKKLIANKKIHRVQIWSEIRPSLRAIEDSMSIRVKKKGNQPKDQRETKKELPSEDATSAKAEPEEDSEDEFYDVERSDPVQDIPSSDSVSAAAIVATAACIFPLESLFPWKELEVLVRGGVPMALRGELWQAFVGVRTRRVENYYQDLLASDSISGNHVEQQSNSDSKSSTTDPISMTEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAAFLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVVWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFQTTLALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGYQNVNEIRLQKLRNKHRHAVINVVEERTKGLQGWGDSQDLASKLYNFKHEPKSLSIEIDKQNSGDLSHSGSGRSTNADEVLISWTGDMEIDGVPDLQDQVVWLKVELSKLLEEKRSAILRAEELETALMEMVKQDNRRQLSARVEQLEHEVSELRKAHADKQEQENAMLQVLMRVEQEQKVTEDARRYAEQDAAEQRCAAQVLQEKYEEAMTSIAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSNSYSPQSNQESAQDIPTRKIGLLARPFVLGWRDQNKAKPNAEEANNTKSSNEVQGPSTEQKVANGISV >Manes.10G113677.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27880660:27881043:1 gene:Manes.10G113677.v8.1 transcript:Manes.10G113677.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGREYVRFGVFWKVLEAGVQGLSSDELRFGRRRWFRPPNVPMDAWFGRLTLPPKVELWLESRLSAAEGNVRPPKVPDFRFWREGSAAEGAAEPTRVSSLEETFGRRRCRRKCLSSLFMAVFLCIFL >Manes.15G160700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13345028:13347291:1 gene:Manes.15G160700.v8.1 transcript:Manes.15G160700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVNRPPTPDAAEDPGKEPTLQEIINIKLIESGEKERLMELLRERLIECGWKDEMKALCRAFIKKKGRTNVTVDDLVHVITPKGRASIPDSIKAELLQRIRTFLVQAAV >Manes.12G044467.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3824362:3828734:1 gene:Manes.12G044467.v8.1 transcript:Manes.12G044467.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTKLQTWYVTFVYGNPNVQGRIAFINQLKQMAMSVDIPWLVMGDFNICSSQADKCGNRGIHRSSAHAYLDFLFDYNLEEMSYSGQKYTWLNKQDGRAQVMERIDKALCNGEWRNLFSHSHLMHENLVASDHRPLILLQNKPSFIRKPFVFDIRCLESQDCFPAIRDKWDTSSNNFVKKLSECQLTVEAWCRDHLENSRRRIEVLSKRLDKLLSSPSVNNTDQEIIAIRRQLQDLWELEEKYWFQKSRVNWLSFKDKNTKFFHATMMQRRHRNYIAKIRSMDGLWLDQQREIQQEAFIYFQKLYQSNTSFGSDQVLEAIPSLVSIDMN >Manes.01G037900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7276832:7278286:-1 gene:Manes.01G037900.v8.1 transcript:Manes.01G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTIVLAFLAIFTGFFLLRFLSKTSVLQILLRFWRSFEDHFHVYQFYKVPQFNDHFQENQLYRKVSTYLSSLPAMEDSDFTNLFSGPKSNDIVLHLDSKQVIQDNFLSARVWWSNEKSETNGKRTLLLKLRKKDKRRILRPYLQHILSEADEIEQSKKEIKLYMNLEREPHENGRWRWVSFTHPATMDTVVMDGDLKNKVKADLESFLKSKQYYHRLGRVWKRSYLLFGASGTGKSSFIAAMAKFLNFDVYDIDISKVSDDSDLKMLLLQTTSRSMIVIEDLDRFLLENSKVVSLSGVLNFMDGIVSCCGEERVMIFTMNSKDQVVEEAVMRPGRIDVHINFPLCDFSAFKSLANNYLGVKEHKLFPQVEEIFQTGGTSLLSPAEIGEIMISNRNSPTRALRSVISALQNNSKNSQKLIEHRSVRSRDESGEAEGGVLCRESVHTVREFRKLYGLLRMGSRRKEEQSLDLGSVDKEGSRHGG >Manes.09G072700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:12331536:12331991:1 gene:Manes.09G072700.v8.1 transcript:Manes.09G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENNKRGREGQEAKDSFQKTAKKQHLNIEIGSINIEVSSYDHDDNNQICESSDNYLALGVFDFPWLKEGVISKWEDWCFQDSFEFSLHESYTTAALAHEFSDQYLSETSETIVESTDIPSGKFEESVWPLEMENADCTLLNQPLTQEDA >Manes.09G072700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12331536:12332470:1 gene:Manes.09G072700.v8.1 transcript:Manes.09G072700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENNKRGREGQEAKDSFQKTAKKQHLNIEIGSINIEVSSYDHDDNNQICESSDNYLALGVFDFPWLKEGVISKWEDWCFQDSFEFSLHESYTTAALAHEFSDQYLSETSETIVESTDIPSDLHYLPSNRTENPNRSFISKLGMMDQNKSSYNCFVFPKRKPLLF >Manes.10G102400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25042544:25046040:-1 gene:Manes.10G102400.v8.1 transcript:Manes.10G102400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNPPTPSGSKRKQLDERSKRIPVMASRSLFFALRRKFGSPCTLEKGKFGRLLSSGALSSEVVEEESNSKENEDDLRSRIFRLRLPKRSATNIIQKWVSEGNTVTASELRSISRELRKSQRYKHALEISEWMVTHKENEFLDSDYATRIDLMTKVFGIDAAERYFEGLPVSVKTSETYTALLHSYAGLKLLEKAEELYERIKGSNLPFTALLYNEMMALYIDVGQVEKVSLVVDELKDKKVAPDIFTYNLWISSCAATLNINQVMRILDEMSNDPGCNDDWHRYIDIANIYVKAGHLVNAESSAVVETEKSFTQREWITYDFLIILYAGLRNKDKVAQIWKSLRMTKQKMTNRNFICILSSYLMLGHVKEAGEVLDQWKQSTITEFDITACGRLLHAFSGAGLTEIANKFHVLLIERNFDLTNLTK >Manes.10G102400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25040948:25046040:-1 gene:Manes.10G102400.v8.1 transcript:Manes.10G102400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNPPTPSGSKRKQLDERSKRIPVMASRSLFFALRRKFGSPCTLEKGKFGRLLSSGALSSEVVEEESNSKENEDDLRSRIFRLRLPKRSATNIIQKWVSEGNTVTASELRSISRELRKSQRYKHALEISEWMVTHKENEFLDSDYATRIDLMTKVFGIDAAERYFEGLPVSVKTSETYTALLHSYAGLKLLEKAEELYERIKGSNLPFTALLYNEMMALYIDVGQVEKVSLVVDELKDKKVAPDIFTYNLWISSCAATLNINQVMRILDEMSNDPGCNDDWHRYIDIANIYVKAGHLVNAESSAVVETEKSFTQREWITYDFLIILYAGLRNKDKVAQIWKSLRMTKQKMTNRNFICILSSYLMLGHVKEAGEVLDQWKQSTITEFDITACGRLLHAFSGAGLTEIANKFHVLLIERNFDLTNLTK >Manes.02G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10815995:10824601:1 gene:Manes.02G140500.v8.1 transcript:Manes.02G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEVHSEENGESTEKNTKRILKTPAQIMALEKFYNEHNYPTEEMKSELAKQIGLTEKQISSWFCHRRLKDKRLSKDEALDKRLSKDETFDRRLSKDETCAIGRQDRSSGIIQDRGSGLWQDSCGSTKQSDYRKVDLKEVESQRLYGHSLSAADVTYDHTSRYTGKVNGMDDTSSESSSSLQDKLFSQSEDPHDMKTSSYITQNGASRPLIPKGANNMGYKPSGYLKVKGEIENAAITAVKRQLGKHYREDGPPLGVEFQPLPPGAFSSSNRDAVNAYCVGNLARIHSPEVSGVHKQSSIIGRHGIYSSKVSSQDSYMKEPNCNLKYASDSHEKKSHHRLKKKASSCNFSNSTPGGKSAMDKCDDLAAEISVHSSKRNYKLNSKHAVEAIRPDTVSNDHHPDGGKVISEQTEHWLHDYGNGSPNVVQNNDYMSKSSNLIPGSSKSLDTQERVLYTRMAKEKLCGEMKGTKEYQDTVRVSKRFRSEFFQQDYVTKAAFPNVPRNTSNKGSAMERPSSFSEDETAETNSSVD >Manes.02G140500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10817236:10824252:1 gene:Manes.02G140500.v8.1 transcript:Manes.02G140500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKFYNEHNYPTEEMKSELAKQIGLTEKQISSWFCHRRLKDKRLSKDEALDKRLSKDETFDRRLSKDETCAIGRQDRSSGIIQDRGSGLWQDSCGSTKQSDYRKVDLKEVESQRLYGHSLSAADVTYDHTSRYTGKVNGMDDTSSESSSSLQDKLFSQSEDPHDMKTSSYITQNGASRPLIPKGANNMGYKPSGYLKVKGEIENAAITAVKRQLGKHYREDGPPLGVEFQPLPPGAFSSSNRDAVNAYCVGNLARIHSPEVSGVHKQSSIIGRHGIYSSKVSSQDSYMKEPNCNLKYASDSHEKKSHHRLKKKASSCNFSNSTPGGKSAMDKCDDLAAEISVHSSKRNYKLNSKHAVEAIRPDTVSNDHHPDGGKVISEQTEHWLHDYGNGSPNVVQNNDYMSKSSNLIPGSSKSLDTQERVLYTRMAKEKLCGEMKGTKEYQDTVRVSKRFRSEFFQQDYVTKAAFPNVPRNTSNKGSAMERPSSFSEDETAETNSSVD >Manes.02G140500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10816022:10824239:1 gene:Manes.02G140500.v8.1 transcript:Manes.02G140500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSEVHSEENGESTEKNTKRILKTPAQIMALEKFYNEHNYPTEEMKSELAKQIGLTEKQISSWFCHRRLKDKRLSKDEALDKRLSKDETFDRRLSKDETCAIGRQDRSSGIIQDRGSGLWQDSCGSTKQSDYRKVDLKEVESQRLYGHSLSAADVTYDHTSRYTGKVNGMDDTSSESSSSLQDKLFSQSEDPHDMKTSSYITQNGASRPLIPKGANNMGYKPSGYLKVKGEIENAAITAVKRQLGKHYREDGPPLGVEFQPLPPGAFSSSNRDAVNAYCVGNLARIHSPEVSGVHKQSSIIGRHGIYSSKVSSQDSYMKEPNCNLKYASDSHEKKSHHRLKKKASSCNFSNSTPGGKSAMDKCDDLAAEISVHSSKRNYKLNSKHAVEAIRPDTVSNDHHPDGGKVISEQTEHWLHDYGNGSPNVVQNNDYMSKSSNLIPGSSKSLDTQERVLYTRMAKEKLCGEMKGTKEYQDTVRVSKRFRSEFFQQDYVTKAAFPNVPRNTSNKGFVQTSALPYINALFFMCHCAWTCAYMAILPVNL >Manes.08G076885.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:17467950:17468709:1 gene:Manes.08G076885.v8.1 transcript:Manes.08G076885.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRDSAAEMERLDMAKCLILLSHTLHNKNPRKHFKSHDDDDNHLFECKTCNKKFPSFQALGGHRASHKKPKMATENKPRTEKFLTSLETSTVKTKVHECSICGVEFALGQALGGHMRRHRAAMTRRLNSSHARGVSKLPVLRRSTSSKRVFGLDLNLTPLENDLQYLFGKMAPKVDPFI >Manes.11G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23197886:23212073:-1 gene:Manes.11G102600.v8.1 transcript:Manes.11G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQATKIIKSVASTIHPHIPAVSPFAPSSSSSAYSLLQSRSLAAASSPSPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFLVDPQTEGIILIGEIGGTAEEDAAALIKESGTQKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLKEAGVTVVESPAKIGAAMLDIFKERGLVN >Manes.11G102600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23197886:23212073:-1 gene:Manes.11G102600.v8.1 transcript:Manes.11G102600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQATKIIKSVASTIHPHIPAVSPFAPSSSSSAYSLLQSRSLAAASSPSPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFLVDPQTEGIILIGEIGGTAEEDAAALIKLLYLGERVLPKTKSKL >Manes.07G081500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25298596:25300599:1 gene:Manes.07G081500.v8.1 transcript:Manes.07G081500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKSEADPKRLPLLSSAAMVLENSLDPLKKSYWRWSKQDFFPEPSFQNLLTYKNALSHTFPRLKDRLLSRSTDTNELVTLPKESENPMRKCLTWWDLMWLSFGSVVGSGIFVVTGQEARYHGGPAIVLSYAVSAGNILLEGVIGAAGLGRSWSSYFASMINTNNPDFLRIRIKSLPEGFDLLDPLAVVVLLVANTIAMTGTKRTSILNWISSILSGTIILFIIVVGFIHAKSSNLVPFFPYGPKGVFRAAAVVYWSYTGFDMVATMAEETEKPSRDIPIGLVGSMSMITVIYCLMAFALTSMVKYTEINPDAAFSVAFAQIGMNWAKYLVSICALKGMTTSLLVGSLGQGRYTTQIARSHMIPPLFALVHPKTGTPINATLLITILSAIVGFFSSLDVLSSVFSFSTLLIFMLMAIALLVRRYHVKDVTPKSHLVKFLMSLFVIICSSSGLTAIWGSNGRGWIGYVVTFVLWFLGTLGMALLEKQRVPQVWGVPLVPWLPSLSIGMNLFLIGSLGHEAFLRFIICSAVMILYYFFVGVHATYDVAHQNQESSSGNATGVI >Manes.07G081500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:25298584:25301364:1 gene:Manes.07G081500.v8.1 transcript:Manes.07G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKSEADPKRLPLLSSAAMVLENSLDPLKKSYWRWSKQDFFPEPSFQNLLTYKNALSHTFPRLKDRLLSRSTDTNELVTLPKESENPMRKCLTWWDLMWLSFGSVVGSGIFVVTGQEARYHGGPAIVLSYAVSGISALLSVFCYTEFAVEIPVAGGSFSFLRIELGDLVAYLAAGNILLEGVIGAAGLGRSWSSYFASMINTNNPDFLRIRIKSLPEGFDLLDPLAVVVLLVANTIAMTGTKRTSILNWISSILSGTIILFIIVVGFIHAKSSNLVPFFPYGPKGVFRAAAVVYWSYTGFDMVATMAEETEKPSRDIPIGLVGSMSMITVIYCLMAFALTSMVKYTEINPDAAFSVAFAQIGMNWAKYLVSICALKGMTTSLLVGSLGQGRYTTQIARSHMIPPLFALVHPKTGTPINATLLITILSAIVGFFSSLDVLSSVFSFSTLLIFMLMAIALLVRRYHVKDVTPKSHLVKFLMSLFVIICSSSGLTAIWGSNGRGWIGYVVTFVLWFLGTLGMALLEKQRVPQVWGVPLVPWLPSLSIGMNLFLIGSLGHEAFLRFIICSAVMILYYFFVGVHATYDVAHQNQESSSGNATGVI >Manes.09G079619.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15456252:15457956:-1 gene:Manes.09G079619.v8.1 transcript:Manes.09G079619.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDWGQFYHQQNLSASNFGGDGGLSEATVVTTSVTSAASAIPTSLGGCGNSPSSSAGGHLSPEGRVSKPVRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPSAPFAAGSQLNSPSFGFSLNSRQAHVNPSAVMVPGGASYHPQYQQQRQTPYMFSLGNNTSSTAPAPADLFFQSLVNPRPTGMDVSDGLVLEGLSSQVVAPPHPPPPRQPSSSSNENRSNTFLF >Manes.07G057200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6517566:6527979:1 gene:Manes.07G057200.v8.1 transcript:Manes.07G057200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERNNNIIEISKPYVLCLLSNFCFAAFNIISKLTLDNGMSRYVLVAYGHALATLTTALLALLFERKNESKLSLPVCGNIFFLGLLGAILRTTYLAGMECTSSTFASAMGNLIPAITFLSAICFRMEKLVLGKFSSKAQIVGTVVSFGGATLMTLYKGIVVISLQLGHNKRAHSQSKVTLDQDWIKGSFLLLVHCLSTAILYILQAKTIKKYPAPIALTTLSCLVGTAISTIVAAILDHKASSWRLSCNISLVATLYSGIVIFGIIVYVQMTVIRKKGPVFVTAFRPSSTVLAAVMGVLILGEALHLGSVLGAVLIIVGLYAILWGKEQEKAKENKLPNLAIVAVDHSIKEKPDSK >Manes.07G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6517521:6527049:1 gene:Manes.07G057200.v8.1 transcript:Manes.07G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERNNNIIEISKPYVLCLLSNFCFAAFNIISKLTLDNGMSRYVLVAYGHALATLTTALLALLFERKNESKLSLPVCGNIFFLGLLGAILRTTYLAGMECTSSTFASAMGNLIPAITFLSAICFRMEKLVLGKFSSKAQIVGTVVSFGGATLMTLYKGIVVISLQLGHNKRAHSQSKVTLDQDWIKGSFLLLVHCLSTAILYILQAKTIKKYPAPIALTTLSCLVGTAISTIVAAILDHKASSWRLSCNISLVATLYSGIVIFGIIVYVQMTVIRKKGPVFVTAFRPSSTVLAAVMGVLILGEALHLGSVLGAVLIIVGLYAILWGKEQEKAKENKLPNLAIVAVDHSIKEKPDSK >Manes.07G057200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6517521:6527301:1 gene:Manes.07G057200.v8.1 transcript:Manes.07G057200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERNNNIIEISKPYVLCLLSNFCFAAFNIISKLTLDNGMSRYVLVAYGHALATLTTALLALLFERKNESKLSLPVCGNIFFLGLLGAILRTTYLAGMECTSSTFASAMGNLIPAITFLSAICFRMEKLVLGKFSSKAQIVGTVVSFGGATLMTLYKGIVVISLQLGHNKRAHSQSKVTLDQDWIKGSFLLLVHCLSTAILYILQAKTIKKYPAPIALTTLSCLVGTAISTIVAAILDHKASSWRLSCNISLVATLYSGIVIFGIIVYVQMTVIRKKGPVFVTAFRPSSTVLAAVMGVLILGEALHLGSVLGAVLIIVGLYAILWGKEQEKAKENKLPNLAIVAVDHSIKEKPDSK >Manes.07G057200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6517566:6527295:1 gene:Manes.07G057200.v8.1 transcript:Manes.07G057200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERNNNIIEISKPYVLCLLSNFCFAAFNIISKLTLDNGMSRYVLVAYGHALATLTTALLALLFERKNESKLSLPVCGNIFFLGLLGAILRTTYLAGMECTSSTFASAMGNLIPAITFLSAICFRMEKLVLGKFSSKAQIVGTVVSFGGATLMTLYKGIVVISLQLGHNKRAHSQSKVTLDQDWIKGSFLLLVHCLSTAILYILQGIVIFGIIVYVQMTVIRKKGPVFVTAFRPSSTVLAAVMGVLILGEALHLGSVLGAVLIIVGLYAILWGKEQEKAKENKLPNLAIVAVDHSIKEKPDSK >Manes.07G057200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6517566:6527979:1 gene:Manes.07G057200.v8.1 transcript:Manes.07G057200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERNNNIIEISKPYVLCLLSNFCFAAFNIISKLTLDNGMSRYVLVAYGHALATLTTALLALLFERKNESKLSLPVCGNIFFLGLLGAILRTTYLAGMECTSSTFASAMGNLIPAITFLSAICFRMEKLVLGKFSSKAQIVGTVVSFGGATLMTLYKGIVVISLQLGHNKRAHSQSKVTLDQDWIKGSFLLLVHCLSTAILYILQGIVIFGIIVYVQMTVIRKKGPVFVTAFRPSSTVLAAVMGVLILGEALHLGSVLGAVLIIVGLYAILWGKEQEKAKENKLPNLAIVAVDHSIKEKPDSK >Manes.07G057200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6517566:6527046:1 gene:Manes.07G057200.v8.1 transcript:Manes.07G057200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERNNNIIEISKPYVLCLLSNFCFAAFNIISKLTLDNGMSRYVLVAYGHALATLTTALLALLFERKNESKLSLPVCGNIFFLGLLGAILRTTYLAGMECTSSTFASAMGNLIPAITFLSAICFRMEKLVLGKFSSKAQIVGTVVSFGGATLMTLYKGIVVISLQLGHNKRAHSQSKVTLDQDWIKGSFLLLVHCLSTAILYILQGIVIFGIIVYVQMTVIRKKGPVFVTAFRPSSTVLAAVMGVLILGEALHLGSVLGAVLIIVGLYAILWGKEQEKAKENKLPNLAIVAVDHSIKEKPDSK >Manes.12G150300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35870757:35873763:1 gene:Manes.12G150300.v8.1 transcript:Manes.12G150300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKEQVESTLKSKLNPSHLEIIDTSGGCGASFAIEIVSEQFEGKRLLERHRLVNAALEEEMKEIHALSIKKAVTPGQWKQQLESEKSTSAA >Manes.15G056000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4298372:4301257:-1 gene:Manes.15G056000.v8.1 transcript:Manes.15G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PT1 MARNQLGVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFSISLVTKLLGRIYYHVDGAPKPGTLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMLMVVCSVASGLSFGHSAKGVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALIVSASFDHAYSAPTYEVDPLGSTVPEADYIWRIILMFGAVPAAMTYYWRMKMPETARYTALVAKNAKQAASDMSRVLQVELEAEEHKIEQISQDPSNSFGLFSKEFARRHGVHLLGTTVCWFLLDIAYYSSNLFQKDIFSAIGWIPPAQTMNAIHEVYVIARAQTLIALCGTVPGYWFTVALIDRIGRFFIQVMGFFFMTVFMFALAIPYHHWTLRDNRIGFLIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAACGKAGAIVGSFGFLYAAQSTDPAKTDAGYPTGIGVKNSLIVLGVINFFGILFTLLVPESKGKSLEELTGENEDEPQEASWSRTVPVA >Manes.07G100524.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30596194:30599979:1 gene:Manes.07G100524.v8.1 transcript:Manes.07G100524.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRILLLLFTSIFFLFTLFCFTPLSHFSSPVLAKALSFFHHPTDHAEPVLSISTHQYHRQRSEKLILQSTSPAVDEKDVVVLTMNNFSDFVDRNQYIMIDFYAPWCYWSQKLAPEYAAAATMLKGKAVLAKIDCDQETELARKFKIQGYPTLLLLVGGVHKDSYYGERTRDAISNWVNQKINNVVQNVTTIDEAKRILAAESVMVLGFLDSLQGPQSEELAAVSKLHIDVNFYQTSNVDVSKMFHIDNQIKRPALILLIRENSANHTHFLYECQFNRLAIANFVSVYKLPSVITFRQEDASDIFENPMKQLWLFTPERSCKVVSIFKEVANAFKGKLLFVHVEIGNEFSIGRHLSHEFGLPEDSPTVVAYYTANGVVEKHKYNGKLSFCGIKSFAEELLEDQLLTKSEPATKKAVRLPLRSHASDPSSVLHAY >Manes.07G100524.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30596194:30599979:1 gene:Manes.07G100524.v8.1 transcript:Manes.07G100524.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATRILLLLFTSIFFLFTLFCFTPLSHFSSPVLAKALSFFHHPTDHAEPVLSISTHQYHRQRSEKLILQSTSPAVDEKDVVVLTMNNFSDFVDRNQYIMIDFYAPWCYWSQKLAPEYAAAATMLKGKAVLAKIDCDQETELARKFKIQGYPTLLLLVGGVHKDSYYGERTRDAISNWVNQKINNVVQNVTTIDEAKRILAAESVMVLGFLDSLQGPQSEELAAVSKLHIDVNFYQTSNVDVSKMFHIDNQIKRPALILLIRENSANHTHFLYECQFNRLAIANFVSVYKLPSVITFRQEDASDIFENPMKQLLFVHVEIGNEFSIGRHLSHEFGLPEDSPTVVAYYTANGVVEKHKYNGKLSFCGIKSFAEELLEDQLLTKSEPATKKAVRLPLRSHASDPSSVLHAY >Manes.14G049350.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4276598:4277044:-1 gene:Manes.14G049350.v8.1 transcript:Manes.14G049350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDSLKISSSREFQDHILQNMPADSRKALELWYPIEVSIYDVDTHETYKLNLAKKEAFWFEPLPDARQQNEISASSDRKTLENSTDELKEMMEKFAYSLQPFRNIVRKRNLSYDQEIGLQWSGSGTVNKIDFSVLYAPRSGLHNFRL >Manes.15G093650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7295759:7296371:1 gene:Manes.15G093650.v8.1 transcript:Manes.15G093650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYFSTTKCPLISIQSLCQDEEESFIILRVQMQKEGDVCYSIGQTTPLNRLMADYCRRMGCPYGTLRFSFDGTRVTETDSPFSLKMENYDIIDAWKEQNGGA >Manes.01G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31106536:31109586:1 gene:Manes.01G113000.v8.1 transcript:Manes.01G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIALFIFHCVALAASLNDEGLALLSFKQSLWNSTVYLDNWISSDIHPCSWYGVGCKEGRVIFLSIPDKRLSGFLYLDTGKLASLRHLNLKNNDFYGSLPAELFSGTGLKSLVLAGNSFSGRVPNEMGNLKDLRILDLSQNSFNGSVPPTLLQCRKLRQLLLSRNSFTGFLPDGLGTNLVMLQKLDLSFNNFSGFIPSDLGNLSSLQGTLDLSHNLFNGSIPASLANLPGSVYINLSYNNLSGAIPQNDVLLNLGPTAFLGNPLLCGLPLKTPCPSNPKPLPYQPNQLPTGNRSGRSRAFVIAIVGSTVLGFGLISVLFSYWYRKVYVCRKGKRVEGSICFEEKSIVRKEMFCFRTDDLESLSENMEQYIFVPLDSRVKFDLEQLLKASAFLLGKSRIGIVYKVVLEKGPTVAVRRLEDGGSQSYREFQTEVEAIGKIRHPNIVGLLAYCWCVNEKLLIYDYINNGDLATAIHGRTGMIYFKPLSWSTRLRIMKGVAKGLAFLHEFSPKKYVHGNLKPSNILLGENMEPRISDFGLNRLTYTTEESLTVHLDQMTSSTPHQGSPYALTPTNSSPIMRYYEAPEASRASKPSQKWDVYSFGVILLEIISGKSPIIQTGSSEMGLVQWTQLSTEVKPISDILDPFLVHDLEKRDEMVALLKIALACVHMSPDKRPAMRIVSDTLEKLASFA >Manes.18G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4206796:4207430:-1 gene:Manes.18G048700.v8.1 transcript:Manes.18G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLRTIFGLVLVLTLVMAQAARLQPGFTSDPVNLKTQKAETEESCEGVGEAECLTRRTLAAHVDYIYTQNENP >Manes.11G156150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32264094:32264797:-1 gene:Manes.11G156150.v8.1 transcript:Manes.11G156150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGYCIFRLSEVWFQIFGYKKRNVSSSQSYLFWLNTSIAAVFSALGLLQQFQQTDREVLMPKLISYLLMFDQARDYSLQLNIILCSYSELTICCVNKIYIFSKTFGSILYSIFMIKGFH >Manes.14G169200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27586011:27592175:-1 gene:Manes.14G169200.v8.1 transcript:Manes.14G169200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRESRFRLPFLILAVSLLCVADAATETIHFDTGGLSRESFPKNFVFGTATSAYQVEGMADKDGRGPSIWDEFVKIPGIIANNATGEVSVDQYHRYKEDVDIMANLNFDAYRFSISWSRIFPNGTGEVNWKGVAYYNRLINYMLKKGVTPYANLYHYDLPLALEKKYNGLLNHQVVNDFADFADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKAFGNCTVGNSATEPYIAAHNLILSHAAAVQRYRNKYQQKQKGRIGILLDFVWYEPLTRSKADNLAAQRARDFHVGWFLHPIVYGEYPKTIQNIVGNRLPKFTQEEVKIVKGSIDFVGINQYTTYYMFDPHQPKPKYLGYQQDWNCGFAYEKNGVSIGPRANSYWLYNVPWGLYKALIYIKERYGNPTVILSENGMDDPGNVTLPKGLHDTTRINFYKGYLTQLKKAVDDGANVVGYFAWSLLDNFEWRLGYTSRFGIVYVDYSNLKRYPKMSAYWFKQLLTRKEH >Manes.01G209200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38067929:38069445:-1 gene:Manes.01G209200.v8.1 transcript:Manes.01G209200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMTNVLVSTFLTGFLLLMPVEINGLPEPARSMCVSQINLANYACGRLAPSPASEVLNDHEHKHGHGHKHRHRHRHGKFAHETPAQSCCRWLDNVDNECVCDLLIHLPAFLSKPAHQITVVIGEICRVKFSCSGRVRP >Manes.01G209200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38067929:38073106:-1 gene:Manes.01G209200.v8.1 transcript:Manes.01G209200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEINGLPEPARSMCVSQINLANYACGRLAPSPASEVLNDHEHKHGHGHKHRHRHRHGKFAHETPAQSCCRWLDNVDNECVCDLLIHLPAFLSKPAHQITVVIGEICRVKFSCSGRVRP >Manes.01G209200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38067768:38073099:-1 gene:Manes.01G209200.v8.1 transcript:Manes.01G209200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEINGLPEPARSMCVSQINLANYACGRLAPSPASEVLNDHEHKHGHGHKHRHRHRHGKFAHETPAQSCCRWLDNVDNECVCDLLIHLPAFLSKPAHQITVVIGEICRVKFSCSGRVRP >Manes.01G209200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38067768:38073099:-1 gene:Manes.01G209200.v8.1 transcript:Manes.01G209200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEINGLPEPARSMCVSQINLANYACGRLAPSPASEVLNDHEHKHGHGHKHRHRHRHGKFAHETPAQSCCRWLDNVDNECVCDLLIHLPAFLSKPAHQITVVIGEICRVKFSCSGRVRP >Manes.01G209200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38067768:38073099:-1 gene:Manes.01G209200.v8.1 transcript:Manes.01G209200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEINGLPEPARSMCVSQINLANYACGRLAPSPASEVLNDHEHKHGHGHKHRHRHRHGKFAHETPAQSCCRWLDNVDNECVCDLLIHLPAFLSKPAHQITVVIGEICRVKFSCSGRVRP >Manes.18G022700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2218250:2221797:-1 gene:Manes.18G022700.v8.1 transcript:Manes.18G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYSVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPVVDKDAFVAPSASVIGDVQIGRGSSIWYGCVLRGDVNSISIGCGTNIQDNSLVHVAKSNLSGKALPTIVGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVQKHAMVAAGALVRQNTKIPAGEVWGGNPAKFLRKLTAEEIAFISQSATNYSNLAQVHATENAKPFDEIEFEKVLRKKFARRDEEYDSMLGVVREIPLELTLPQNILPDKAPKAT >Manes.03G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5288807:5292355:1 gene:Manes.03G054400.v8.1 transcript:Manes.03G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAEDDELIKDEKNPRPLDEDDIALLKTYGLGPYSNSIKKEEKEIKELAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCEREIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Manes.03G062932.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:7181363:7181665:-1 gene:Manes.03G062932.v8.1 transcript:Manes.03G062932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYFFIFSHIFSFIIFYFLFHFLLFCLPFPLIVLSFTFFLLIFYNFSFIPFYFILLFNYFFIFFHFFFVSFILFSFSFFYLLFFHFKKFSPFLIFSSFLSLF >Manes.15G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8377382:8379217:1 gene:Manes.15G105800.v8.1 transcript:Manes.15G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKALLLATGFMMVLGVAISDTEQDKTECANQLVGLATCLPYVGGTAKTPALDCCTGLKQVLEKSTKCLCLLIKDRDDPSLGIKINATLAATLPSACKAPANVTQCIDILHLAPNSTDAKVFAGFANIAKGSNSSSTGASGNSTKSGSTAEEKSDGGMGKRSKWVGVEMMICGYLLWALTAFGI >Manes.15G105800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8377382:8379217:1 gene:Manes.15G105800.v8.1 transcript:Manes.15G105800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKALLLATGFMMVLGVAISDTEQDKTECANQLVGLATCLPYVGGTAKTPALDCCTGLKQVLEKSTKCLCLLIKDRDDPSLGIKINATLAATLPSACKAPANVTQCIDILHLAPNSTDAKVFAGFANIAKGSNSSSTGASEEKSDGGMGKRSKWVGVEMMICGYLLWALTAFGI >Manes.07G080663.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24655782:24657294:1 gene:Manes.07G080663.v8.1 transcript:Manes.07G080663.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.14G063500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5286979:5289741:-1 gene:Manes.14G063500.v8.1 transcript:Manes.14G063500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSPSKIKISIMGFTRYKRVMRLCIVSLLILLLLAGGYLAFKPTKACDFYTVVVDCGSTGTRVNVYKWKTRNSGDWDLPILEHSYPDDATVSLFSRSSCKYHCMQTEPGLDKFVGNTTGVRLSLAPLMQWAGRWVPLERHGETPIFVLATAGLRRLPIEDARLVLDDVEHVLKEHSFVYRRSWIRILSGREEAYYGWVALNYKMGNLGNSSESPTLGLLDLGGSSLQIVIEVYDGARDNMHLIQTKIGSVEHQIFASSLPSFGLNEAFDRTVAMLHQLQPIKGSTDEKIKLRHPCLRSDFLQNYTCYACDLPNLIHKKSLSGQSHKSEYIYLVGDPDWEKCKVIARAAATNSSSLDWSHSQPTFHANCEARLSSSNGSDILNLIAYSSRRFHALSGFFAVYNTLDLVRANLTEIWEKGEQLCLESWDDSSNISRTQNYFGQYCFRVPYMVSLLEDALCLGDKEIVFGPGNISWTLGAALVEGEYLWLSFSRTSISSLKIMDVIASPVFVFLLLLSLLFIVYCSQIKLPVLGKRVTAVGPSLPPYLYPKRRPN >Manes.14G063500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5286375:5289956:-1 gene:Manes.14G063500.v8.1 transcript:Manes.14G063500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSPSKIKISIMGFTRYKRVMRLCIVSLLILLLLAGGYLAFKPTKACDFYTVVVDCGSTGTRVNVYKWKTRNSGDWDLPILEHSYPDDATVSLFSRSSCKYHCMQTEPGLDKFVGNTTGVRLSLAPLMQWAGRWVPLERHGETPIFVLATAGLRRLPIEDARLVLDDVEHVLKEHSFVYRRSWIRILSGREEAYYGWVALNYKMGNLGNSSESPTLGLLDLGGSSLQIVIEVYDGARDNMHLIQTKIGSVEHQIFASSLPSFGLNEAFDRTVAMLHQLQPIKGSTDEKIKLRHPCLRSDFLQNYTCYACDLPNLIHKKSLSGQSHKSEYIYLVGDPDWEKCKVIARAAATNSSSLDWSHSQPTFHANCEARLSSSNGSDILNLIAYSSRRFHALSGFFAVYNTLDLVRANLTEIWEKGEQLCLESWDDSSNISRTQNYFGQYCFRVPYMVSLLEDALCLGDKEIVFGPGNISWTLGAALVEGEYLWLSFSRTSISSLKIMDVIASPVFVFLLLLSLLFIVYCSQIKLPVLGKRVTAVGPSLPPYLYPKRRPN >Manes.14G063500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5286375:5289741:-1 gene:Manes.14G063500.v8.1 transcript:Manes.14G063500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSPSKIKISIMGFTRYKRVMRLCIVSLLILLLLAGGYLAFKPTKACDFYTVVVDCGSTGTRVNVYKWKTRNSGDWDLPILEHSYPDDATVSLFSRSSCKYHCMQTEPGLDKFVGNTTGVRLSLAPLMQWAGRWVPLERHGETPIFVLATAGLRRLPIEDARLVLDDVEHVLKEHSFVYRRSWIRILSGREEAYYGWVALNYKMGNLGNSSESPTLGLLDLGGSSLQIVIEVYDGARDNMHLIQTKIGSVEHQIFASSLPSFGLNEAFDRTVAMLHQLQPIKGSTDEKIKLRHPCLRSDFLQNYTCYACDLPNLIHKKSLSGQSHKSEYIYLVGDPDWEKCKVIARAAATNSSSLDWSHSQPTFHANCEARLSSSNGSDILNLIAYSSRRFHALSGFFAVYNTLDLVRANLTEIWEKGEQLCLESWDDSSNISRTQNYFGQYCFRVPYMVSLLEDALCLGDKEIVFGPGNISWTLGAALVEGEYLWLSFSRTSISSLKIMDVIASPVFVFLLLLSLLFIVYCSQIKLPVLGKRVTAVGPSLPPYLYPKRRPN >Manes.14G063500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5286375:5289741:-1 gene:Manes.14G063500.v8.1 transcript:Manes.14G063500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSPSKIKISIMGFTRYKRVMRLCIVSLLILLLLAGGYLAFKPTKACDFYTVVVDCGSTGTRVNVYKWKTRNSGDWDLPILEHSYPDDATVSLFSRSSCKYHCMQTEPGLDKFVGNTTGVRLSLAPLMQWAGRWVPLERHGETPIFVLATAGLRRLPIEDARLVLDDVEHVLKEHSFVYRRSWIRILSGREEAYYGWVALNYKMGNLGNSSESPTLGLLDLGGSSLQIVIEVYDGARDNMHLIQTKIGSVEHQIFASSLPSFGLNEAFDRTVAMLHQLQPIKGSTDEKIKLRHPCLRSDFLQNYTCYACDLPNLIHKKSLSGQSHKSEYIYLVGDPDWEKCKVIARAAATNSSSLDWSHSQPTFHANCEARLSSSNGSDILNLIAYSSRRFHALSGFFAVYNTLDLVRANLTEIWEKGEQLCLESWDDSSNISRTQNYFGQYCFRVPYMVSLLEDALCLGDKEIVFGPGNISWTLGAALVEGEYLWLSFSRTSISSLKIMDVIASPVFVFLLLLSLLFIVYCSQIKLPVLGKRVTAVGPSLPPYLYPKRRPN >Manes.10G079000.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18440251:18441381:-1 gene:Manes.10G079000.v8.1 transcript:Manes.10G079000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIQLGVLAACVVLFVPMVMAGWHLSRNKMLFFSGALFITLAVCVHLTPYFPSVSDFVTSVQSVVVFDNREDSCINLVNEVVWDVKPHHIQPNTSSNSNSNSENGSMSYDKMWHWSRTGKVKACEFQRLDRSDASDLLNGSWVVVAGDSQARLIVQSLLNLILDSKHMESVKGDLFKRHSDYEIVIEDIGMKLDFIWAPYVVNLTDLMMGFKQNRSYPDVLVMGAGLWHMLHITNASDYGVALQSLRSSVVSLLPFSPELGVDGPVTGAVSVRSLHLFWLGMPMLINGMLNTEEKREKMSDEMWHSYDRALRNSRLLRRYGGPLLLLDIQSMSWNCGPRCTVDGMHYDGAVYEAALHILLNSLLIESHQKLGAT >Manes.10G079000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18439912:18443179:-1 gene:Manes.10G079000.v8.1 transcript:Manes.10G079000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIQLGVLAACVVLFVPMVMAGWHLSRNKMLFFSGALFITLAVCVHLTPYFPSVSDFVTSVQSVVVFDNREDSCINLVNEVVWDVKPHHIQPNTSSNSNSNSENGSMSYDKMWHWSRTGKVKACEFQRLDRSDASDLLNGSWVVVAGDSQARLIVQSLLNLILDSKHMESVKGDLFKRHSDYEIVIEDIGMKLDFIWAPYVVNLTDLMMGFKQNRSYPDVLVMGAGLWHMLHITNASDYGVALQSLRSSVVSLLPFSPELGVDGPVTGAVSVRSLHLFWLGMPMLINGMLNTEEKREKMSDEMWHSYDRALRNSRLLRRYGGPLLLLDIQSMSWNCGPRCTVDGMHYDGAVYEAALHILLNSLLIESHQKLGAT >Manes.10G079000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18439912:18444164:-1 gene:Manes.10G079000.v8.1 transcript:Manes.10G079000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIQLGVLAACVVLFVPMVMAGWHLSRNKMLFFSGALFITLAVCVHLTPYFPSVSDFVTSVQSVVVFDNREDSCINLVNEVVWDVKPHHIQPNTSSNSNSNSENGSMSYDKMWHWSRTGKVKACEFQRLDRSDASDLLNGSWVVVAGDSQARLIVQSLLNLILDSKHMESVKGDLFKRHSDYEIVIEDIGMKLDFIWAPYVVNLTDLMMGFKQNRSYPDVLVMGAGLWHMLHITNASDYGVALQSLRSSVVSLLPFSPELGVDGPVTGAVSVRSLHLFWLGMPMLINGMLNTEEKREKMSDEMWHSYDRALRNSRLLRRYGGPLLLLDIQSMSWNCGPRCTVDGMHYDGAVYEAALHILLNSLLIESHQKLGAT >Manes.02G113800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8766328:8769368:-1 gene:Manes.02G113800.v8.1 transcript:Manes.02G113800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSPSSSSFTTTNPLTPGSSNNTTTTMNFSASSSSNAGKSQFSAAVSEVNDKPNPNGQILEAPNLKEFTFADLKSATKNFKPDTLLGQGGFGKVYKGWIDEKTFAPSKTGIGMVVAIKKLNSESMQGLQEWQSEVNFLGRLSHPNLVRLLGYCLEDTELLLVYEFMPKGSLENHLFRKNPAIEPLSWDLRLKIAIGAARGLAFLHASDKKVIYRDFKASNILLDGNYNAKISDFGLAKLGPSGGDSHVTTRIMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMLTGLRALDTRRPNGQQNLVEWLKPILSQKRKLKTVMDVRIEGQYSSKAMLQAAELALKCLESDPKSRPSMEEVLEALEQIEAIKEKPKGKKYISGSLRSSTSHQPSRHRSPLPLRPHGT >Manes.02G113800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8766072:8769368:-1 gene:Manes.02G113800.v8.1 transcript:Manes.02G113800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSPSSSSFTTTNPLTPGSSNNTTTTMNFSASSSSNAGKSQFSAAVSEVNDKPNPNGQILEAPNLKEFTFADLKSATKNFKPDTLLGQGGFGKVYKGWIDEKTFAPSKTGIGMVVAIKKLNSESMQGLQEWQSEVNFLGRLSHPNLVRLLGYCLEDTELLLVYEFMPKGSLENHLFRKNPAIEPLSWDLRLKIAIGAARGLAFLHASDKKVIYRDFKASNILLDGNYNAKISDFGLAKLGPSGGDSHVTTRIMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMLTGLRALDTRRPNGQQNLVEWLKPILSQKRKLKTVMDVRIEGQYSSKAMLQAAELALKCLESDPKSRPSMEEVLEALEQIEAIKEKPKGKKYISGSLRSSTSHQPSRHRSPLPLRPHGT >Manes.16G049740.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14507877:14510437:1 gene:Manes.16G049740.v8.1 transcript:Manes.16G049740.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSGKYPEGESRYNLSNNMIKAATMEYLVIAKNHGLHPVFLAIAFVASTIFGATSSWQLQETLNGCKIQLTPEIIEDINKVHARFPNPCP >Manes.07G063050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11061696:11062838:-1 gene:Manes.07G063050.v8.1 transcript:Manes.07G063050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRFIKTKNPQYTHFPCSSSSESTPNSHSSLPTLSLCEAFSLPITKAKAVTSPNVGRKATAERRKATPVRDQGENSRPLDQHRWPGRIREGNLGSAERKPMLSRSLDCSGGDKRSLGSGLMMVKSLQPSVMVDERRLSLDLGDAKQNPDANSVIEYSLTGDLTVSDSDSVSSGSTSGLHELGSGISKGKNGAHGIFVSARFWQETNSRLRRLQDPGSLLPTSPNSRMSISSKTSQSKRFGVDGTVTSPRTIASSTIRGATRPASPTKLWTPTASSPSRRISSPSRVRPMSSYPGSTPSILSFSVDLRRGKMGEDRIVDAHMLRLLYNRYL >Manes.12G025200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2134238:2134979:-1 gene:Manes.12G025200.v8.1 transcript:Manes.12G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINIKNLISFPLVFLAIATLLLAGEVKANTAVKRKDLCSGVDYKPLCRSVVKGITDPLTATKVAISYAIIKTKQAYTQSKTMANNDNIKICKEMYDDAITNLEKSLKSLHVKDKGTLNSDLSAAISYFSTCDDSYAESGEDSPFATLNKILEHMVDNCLVLVTQIR >Manes.16G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33742658:33744179:-1 gene:Manes.16G136700.v8.1 transcript:Manes.16G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYENVVGGRLRLKGKPLDVKTGGISKKKKKQHHYHDQRQPGGNNSSSTEHGEETNETDKDDENGEAAKYDDYLTPAERRYLQQWENIDLQRISKVASKSHRDRIQEFNQYLANLSEHYDIPKVGPG >Manes.03G031262.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2604695:2611625:1 gene:Manes.03G031262.v8.1 transcript:Manes.03G031262.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPIPFIEENYGLAFHQSMQQLVDEIHKETLNLSHFINVFYRLMQSKVDPPIETIWIYSALSFRSRKKANQDLSDHILIVKELFQLISRCSGPCSASKSIALLAPVVFQVYNLVVELLGKDLGARRVKKAAKEAKSLIGEIIGYVSVCCGKDVSKESDSNLSVSFLDLASLWIDGNDGLKGFLPLTSDEIYKEISVGGSTVANLAGVVISEVFLLKLCLDLRIGNRGEALEKELRSWIVGSITGLQSFYFFETLVRMLLEPALPVTSLLEMLKDVVRTKTYQNVIYQNKFLFKNKIVLDVGAGTGILSLFCAKAGAAHVYAVECSDMADMAKEIVESNGFSEVVTVLKGKIEEIELPVAKVDIIISE >Manes.03G031262.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2604744:2607823:1 gene:Manes.03G031262.v8.1 transcript:Manes.03G031262.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKPIPFIEENYGLAFHQSMQQLVDEIHKETLNLSHFINVFYRLMQSKVDPPIETIWIYSALSFRSRKKANQDLSDHILIVKELFQLISRCSGPCSASKSIALLAPVVFQVYNLVVELLGKDLGARRVKKAAKEAKSLIGEIIGYVSVCCGKDVSKESDSNLSVSFLDLASLWIDGNDGLKGFLPLTSDEIYKEISVGGSTVANLAGVVISEVFLLKLCLDLRIGNRGEALEKELRSWIVGSITGLQSFYFFETLVRMLLEPALPVTSLLVSFFFSPLFNSLDFLYCLICYFSQS >Manes.09G133600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33403498:33404775:-1 gene:Manes.09G133600.v8.1 transcript:Manes.09G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAASRVFKGCRALLAPAKSSAATTTTTASKPKATKTAAAKQKPNLAKPLKPKGILKVTPISPALRDFLGVSESSRTDAVKNIWTYIKHHNLQNPADKKEIFCDEKLKTIFDGKEKVGFLEIGKLLSRHFVKTG >Manes.06G025700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5355119:5366147:1 gene:Manes.06G025700.v8.1 transcript:Manes.06G025700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKNIECLNKTHSPVDSQQNPHHLMDIPTSAASTGSNVSSYDETPRVKFLCSFLGSIMPRPQDGKLRYVGGETRIVSLPRDICYEELMSKMRELYEGAAILKYQQPDEDLDALVSVVNDDDVTNMMEEYEKLGSGDGFTRLRIFLFSHQEQDASSHYVDGDERESERRYVDALNNLNDGADFRRQQSESPSIGPVEDIHLQEQLFNPMNLDSGLHSQRNSEMSMLQYNLHHIAVPQRFSEMEGSWSPAIYSPGHHGQPDPRPITEFPSSPPSRYRMQFGELPDRGMDRISEEYARSQLSHHPAFDHQLPYSENVIWMPSGAISSDKAGFPNNLLHGPSVIDGNNACEHCRVAFQRNQHHLEQPNIGNAVHQVANPCAECHPNRDHFMLNADAKVHHSLYPKDQNDPRSIYGEAHGHERGWNLQHQSSPCADEARVHISGAGRLNEHYILDGPGMNYPIGHANLVDGHHMSSNYIHHQTGYELGNEVFHDQPVAASHHLHVPLPDERAVRYGNFPYAYGADSLYPMSHGHSHPQNLWRNVQNPVHSTPYETSGTTPQVNGTVNPALLRGTMEGGQRVVTSMDNQHSRIESSPKILGFDGTTTPDYSYGHPLKLAPNHCSPENKQLLAHETTRPPLPREMHNSSPILGTSGYNPDLNSRTIAEAVKMDEKTALSMDKETNHVEKVEKLDVPNIPCPDQKMIPHTNSDAALAESAHSNVLRNTEGSGDIVKVGEKDPSAVMEETKLSIDQLSFLPELIATMKKVALEEAEEVKAIVKENPDSVVLSSIGKEATLTESEVVNAQEETELDSDNDNINSNKIEPTKAEAEALERGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALMLSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSHMVSEKIDVYSFGIVMWELLTGEEPYAGMHCASIIGGIVNNSLRPQIPTWCDPEWKSLMESCWAPDPAERPSFSEVSKKLRNMAAAVNVK >Manes.06G025700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5355175:5366085:1 gene:Manes.06G025700.v8.1 transcript:Manes.06G025700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKNIECLNKTHSPVDSQQNPHHLMDIPTSAASTGSNVSSYDETPRVKFLCSFLGSIMPRPQDGKLRYVGGETRIVSLPRDICYEELMSKMRELYEGAAILKYQQPDEDLDALVSVVNDDDVTNMMEEYEKLGSGDGFTRLRIFLFSHQEQDASSHYVDGDERESERRYVDALNNLNDGADFRRQQSESPSIGPVEDIHLQEQLFNPMNLDSGLHSQRNSEMSMLQYNLHHIAVPQRFSEMEGSWSPAIYSPGHHGQPDPRPITEFPSSPPSRYRMQFGELPDRGMDRISEEYARSQLSHHPAFDHQLPYSENVIWMPSGAISSDKAGFPNNLLHGPSVIDGNNACEHCRVAFQRNQHHLEQPNIGNAVHQVANPCAECHPNRDHFMLNADAKVHHSLYPKDQNDPRSIYGEAHGHERGWNLQHQSSPCADEARVHISGAGRLNEHYILDGPGMNYPIGHANLVDGHHMSSNYIHHQTGYELGNEVFHDQPVAASHHLHVPLPDERAVRYGNFPYAYGADSLYPMSHGHSHPQNLWRNVQNPVHSTPYETSGTTPQVNGTVNPALLRGTMEGGQRVVTSMDNQHSRIESSPKILGFDGTTTPDYSYGHPLKLAPNHCSPENKQLLAHETTRPPLPREMHNSSPILGTSGYNPDLNSRTIAEAVKMDEKTALSMDKETNHVEKVEKLDVPNIPCPDQKMIPHTNSDAALAESAHSNVLRNTEGSGDIVKVGEKDPSAVMEETKLSIDQLSFLPELIATMKKVALEEAEEVKAIVKENPDSVVLSSIGKEATLTESEVVNAQEETELDSDNDNINSNKIEPTKAEAEALERGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGKPSERERLIADFWKEALMLSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSHMVSEKIDVYSFGIVMWELLTGEEPYAGMHCASIIGGIVNNSLRPQIPTWCDPEWKSLMESCWAPDPAERPSFSEVSKKLRNMAAAVNVK >Manes.18G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3287535:3289293:-1 gene:Manes.18G037300.v8.1 transcript:Manes.18G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELKSDFLFDHLRRFLETDEGTKRRKKINFVYRFNIAPKKMGIDEVTYTVNLKTGEVIKGPYEGGEPDATFHMKDEDFINLVERKMNPQMAFMRGAVKIKGNLSAATKFTLDIFPKPSKL >Manes.18G068800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6203725:6206115:-1 gene:Manes.18G068800.v8.1 transcript:Manes.18G068800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVASDEKSAVDIRIRFLLPKSLFSPDGPKPLPAPRLAKKIETGGVATAAAKTNAWVNSMRDSSPTRVKSTCSLSESEEKNSWIQMNHPSALSMFEQIVNASKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMREAVRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSRKYKKDQQALLFQPASKFLPMIDEVCKVLVEKTKSIPGAKVENNKFCVSVHFRCVEEKMWAALAEQVRSALNDYPQLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANSNDVLPVYIGDDRTDEDAFKVLRNRGQGLGILVSKFPKETNASYSLQEPAEVKDFLRRLVEWKRISFGRACRV >Manes.18G068800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6203495:6206437:-1 gene:Manes.18G068800.v8.1 transcript:Manes.18G068800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVVASDEKSAVDIRIRFLLPKSLFSPDGPKPLPAPRLAKKIETGGVATAAAKTNAWVNSMRDSSPTRVKSTCSLSESEEKNSWIMNHPSALSMFEQIVNASKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMREAVRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSRKYKKDQQALLFQPASKFLPMIDEVCKVLVEKTKSIPGAKVENNKFCVSVHFRCVEEKMWAALAEQVRSALNDYPQLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANSNDVLPVYIGDDRTDEDAFKVLRNRGQGLGILVSKFPKETNASYSLQEPAEVKDFLRRLVEWKRISFGRACRV >Manes.01G139300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33100095:33105939:-1 gene:Manes.01G139300.v8.1 transcript:Manes.01G139300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKEVLPFFPHLRRSFFYLNPPTYLSLNRQRHAFILKYGISTATNLTTNLPSPCANHHSVPDPNVLYFSTRIYEFTKLNNFGQAIVISSQMLSQGLMFDTHVLPSVIKACTGLCFLKTGKLVHCLASVSGFDSDSRVLSSLVHMYLKCNRINDAHKVFDRLPQPDVVAYSALLSGYARMGRVKETKELFSKRRDEGVELNLVSWNGMITGFNHSGHHLEAVIMFQKMHSEGFKPDGTSISSVLSAVGDLEMLNMGFQIHGYLIRKGLGPDKCVASALIDMYGKCACTFEMSQAFDEMHQVDIGACNALVMGLSRNGLVDNALKVFRQFKGQGMELNVVSWTSIIASCSQNGKDIEALELFREMQVVGIKPNSVTIPCLLPACGNIAALMHGKAAHCFSLKGGISSNVYVGSALIDMYAKCGRIQLSRLCFDMMPTRNLVSWNALMAGYAMHGKANEAVNIFQWMQRSGQKPDFVSFICVLSACSQGGLTDEGWYYFHSMSKDYGIEARLEHYACMVNLLGRAGRLQEGYTMIQQMPFKPDACVWGALLSSCRVYNHVSLGEIAAKELFELEPRNPGNYILLSNIYASKAMWTEVHTVRTMMNSKGLRKNPGCSWIEIKGKVHMLLAGDNSHSQMTQIIEKLAELSMEMKKSGCFPDIDFVFQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGSPLQVIKNLRICGDCHAVIKFISSFEKREIFVRDTNRFHHFKDGVCSCRDYW >Manes.01G139300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33100095:33105939:-1 gene:Manes.01G139300.v8.1 transcript:Manes.01G139300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKEVLPFFPHLRRSFFYLNPPTYLSLNRQRHAFILKYGISTATNLTTNLPSPCANHHSVPDPNVLYFSTRIYEFTKLNNFGQAIVISSQMLSQGLMFDTHVLPSVIKACTGLCFLKTGKLVHCLASVSGFDSDSRVLSSLVHMYLKCNRINDAHKVFDRLPQPDVVAYSALLSGYARMGRVKETKELFSKRRDEGVELNLVSWNGMITGFNHSGHHLEAVIMFQKMHSEGFKPDGTSISSVLSAVGDLEMLNMGFQIHGYLIRKGLGPDKCVASALIDMYGKCACTFEMSQAFDEMHQVDIGACNALVMGLSRNGLVDNALKVFRQFKGQGMELNVVSWTSIIASCSQNGKDIEALELFREMQVVGIKPNSVTIPCLLPACGNIAALMHGKAAHCFSLKGGISSNVYVGSALIDMYAKCGRIQLSRLCFDMMPTRNLVSWNALMAGYAMHGKANEAVNIFQWMQRSGQKPDFVSFICVLSACSQGGLTDEGWYYFHSMSKDYGIEARLEHYACMVNLLGRAGRLQEGYTMIQQMPFKPDACVWGALLSSCRVYNHVSLGEIAAKELFELEPRNPGNYILLSNIYASKAMWTEVHTVRTMMNSKGLRKNPGCSWIEIKGKVHMLLAGDNSHSQMTQIIEKLAELSMEMKKSGCFPDIDFVFQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGSPLQVIKNLRICGDCHAVIKFISSFEKREIFVRDTNRFHHFKDGVCSCRDYW >Manes.01G139300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33100095:33105938:-1 gene:Manes.01G139300.v8.1 transcript:Manes.01G139300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKEVLPFFPHLRRSFFYLNPPTYLSLNRQRHAFILKYGISTATNLTTNLPSPCANHHSVPDPNVLYFSTRIYEFTKLNNFGQAIVISSQMLSQGLMFDTHVLPSVIKACTGLCFLKTGKLVHCLASVSGFDSDSRVLSSLVHMYLKCNRINDAHKVFDRLPQPDVVAYSALLSGYARMGRVKETKELFSKRRDEGVELNLVSWNGMITGFNHSGHHLEAVIMFQKMHSEGFKPDGTSISSVLSAVGDLEMLNMGFQIHGYLIRKGLGPDKCVASALIDMYGKCACTFEMSQAFDEMHQVDIGACNALVMGLSRNGLVDNALKVFRQFKGQGMELNVVSWTSIIASCSQNGKDIEALELFREMQVVGIKPNSVTIPCLLPACGNIAALMHGKAAHCFSLKGGISSNVYVGSALIDMYAKCGRIQLSRLCFDMMPTRNLVSWNALMAGYAMHGKANEAVNIFQWMQRSGQKPDFVSFICVLSACSQGGLTDEGWYYFHSMSKDYGIEARLEHYACMVNLLGRAGRLQEGYTMIQQMPFKPDACVWGALLSSCRVYNHVSLGEIAAKELFELEPRNPGNYILLSNIYASKAMWTEVHTVRTMMNSKGLRKNPGCSWIEIKGKVHMLLAGDNSHSQMTQIIEKLAELSMEMKKSGCFPDIDFVFQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGSPLQVIKNLRICGDCHAVIKFISSFEKREIFVRDTNRFHHFKDGVCSCRDY >Manes.01G139300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33100095:33105938:-1 gene:Manes.01G139300.v8.1 transcript:Manes.01G139300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKEVLPFFPHLRRSFFYLNPPTYLSLNRQRHAFILKYGISTATNLTTNLPSPCANHHSVPDPNVLYFSTRIYEFTKLNNFGQAIVISSQMLSQGLMFDTHVLPSVIKACTGLCFLKTGKLVHCLASVSGFDSDSRVLSSLVHMYLKCNRINDAHKVFDRLPQPDVVAYSALLSGYARMGRVKETKELFSKRRDEGVELNLVSWNGMITGFNHSGHHLEAVIMFQKMHSEGFKPDGTSISSVLSAVGDLEMLNMGFQIHGYLIRKGLGPDKCVASALIDMYGKCACTFEMSQAFDEMHQVDIGACNALVMGLSRNGLVDNALKVFRQFKGQGMELNVVSWTSIIASCSQNGKDIEALELFREMQVVGIKPNSVTIPCLLPACGNIAALMHGKAAHCFSLKGGISSNVYVGSALIDMYAKCGRIQLSRLCFDMMPTRNLVSWNALMAGYAMHGKANEAVNIFQWMQRSGQKPDFVSFICVLSACSQGGLTDEGWYYFHSMSKDYGIEARLEHYACMVNLLGRAGRLQEGYTMIQQMPFKPDACVWGALLSSCRVYNHVSLGEIAAKELFELEPRNPGNYILLSNIYASKAMWTEVHTVRTMMNSKGLRKNPGCSWIEIKGKVHMLLAGDNSHSQMTQIIEKLAELSMEMKKSGCFPDIDFVFQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGSPLQVIKNLRICGDCHAVIKFISSFEKREIFVRDTNRFHHFKDGVCSCRDY >Manes.01G139300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33100095:33105939:-1 gene:Manes.01G139300.v8.1 transcript:Manes.01G139300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKEVLPFFPHLRRSFFYLNPPTYLSLNRQRHAFILKYGISTATNLTTNLPSPCANHHSVPDPNVLYFSTRIYEFTKLNNFGQAIVISSQMLSQGLMFDTHVLPSVIKACTGLCFLKTGKLVHCLASVSGFDSDSRVLSSLVHMYLKCNRINDAHKVFDRLPQPDVVAYSALLSGYARMGRVKETKELFSKRRDEGVELNLVSWNGMITGFNHSGHHLEAVIMFQKMHSEGFKPDGTSISSVLSAVGDLEMLNMGFQIHGYLIRKGLGPDKCVASALIDMYGKCACTFEMSQAFDEMHQVDIGACNALVMGLSRNGLVDNALKVFRQFKGQGMELNVVSWTSIIASCSQNGKDIEALELFREMQVVGIKPNSVTIPCLLPACGNIAALMHGKAAHCFSLKGGISSNVYVGSALIDMYAKCGRIQLSRLCFDMMPTRNLVSWNALMAGYAMHGKANEAVNIFQWMQRSGQKPDFVSFICVLSACSQGGLTDEGWYYFHSMSKDYGIEARLEHYACMVNLLGRAGRLQEGYTMIQQMPFKPDACVWGALLSSCRVYNHVSLGEIAAKELFELEPRNPGNYILLSNIYASKAMWTEVHTVRTMMNSKGLRKNPGCSWIEIKGKVHMLLAGDNSHSQMTQIIEKLAELSMEMKKSGCFPDIDFVFQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGSPLQVIKNLRICGDCHAVIKFISSFEKREIFVRDTNRFHHFKDGVCSCRDYW >Manes.01G139300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33100095:33105938:-1 gene:Manes.01G139300.v8.1 transcript:Manes.01G139300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKEVLPFFPHLRRSFFYLNPPTYLSLNRQRHAFILKYGISTATNLTTNLPSPCANHHSVPDPNVLYFSTRIYEFTKLNNFGQAIVISSQMLSQGLMFDTHVLPSVIKACTGLCFLKTGKLVHCLASVSGFDSDSRVLSSLVHMYLKCNRINDAHKVFDRLPQPDVVAYSALLSGYARMGRVKETKELFSKRRDEGVELNLVSWNGMITGFNHSGHHLEAVIMFQKMHSEGFKPDGTSISSVLSAVGDLEMLNMGFQIHGYLIRKGLGPDKCVASALIDMYGKCACTFEMSQAFDEMHQVDIGACNALVMGLSRNGLVDNALKVFRQFKGQGMELNVVSWTSIIASCSQNGKDIEALELFREMQVVGIKPNSVTIPCLLPACGNIAALMHGKAAHCFSLKGGISSNVYVGSALIDMYAKCGRIQLSRLCFDMMPTRNLVSWNALMAGYAMHGKANEAVNIFQWMQRSGQKPDFVSFICVLSACSQGGLTDEGWYYFHSMSKDYGIEARLEHYACMVNLLGRAGRLQEGYTMIQQMPFKPDACVWGALLSSCRVYNHVSLGEIAAKELFELEPRNPGNYILLSNIYASKAMWTEVHTVRTMMNSKGLRKNPGCSWIEIKGKVHMLLAGDNSHSQMTQIIEKLAELSMEMKKSGCFPDIDFVFQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGSPLQVIKNLRICGDCHAVIKFISSFEKREIFVRDTNRFHHFKDGVCSCRDY >Manes.01G139300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33100095:33105939:-1 gene:Manes.01G139300.v8.1 transcript:Manes.01G139300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKEVLPFFPHLRRSFFYLNPPTYLSLNRQRHAFILKYGISTATNLTTNLPSPCANHHSVPDPNVLYFSTRIYEFTKLNNFGQAIVISSQMLSQGLMFDTHVLPSVIKACTGLCFLKTGKLVHCLASVSGFDSDSRVLSSLVHMYLKCNRINDAHKVFDRLPQPDVVAYSALLSGYARMGRVKETKELFSKRRDEGVELNLVSWNGMITGFNHSGHHLEAVIMFQKMHSEGFKPDGTSISSVLSAVGDLEMLNMGFQIHGYLIRKGLGPDKCVASALIDMYGKCACTFEMSQAFDEMHQVDIGACNALVMGLSRNGLVDNALKVFRQFKGQGMELNVVSWTSIIASCSQNGKDIEALELFREMQVVGIKPNSVTIPCLLPACGNIAALMHGKAAHCFSLKGGISSNVYVGSALIDMYAKCGRIQLSRLCFDMMPTRNLVSWNALMAGYAMHGKANEAVNIFQWMQRSGQKPDFVSFICVLSACSQGGLTDEGWYYFHSMSKDYGIEARLEHYACMVNLLGRAGRLQEGYTMIQQMPFKPDACVWGALLSSCRVYNHVSLGEIAAKELFELEPRNPGNYILLSNIYASKAMWTEVHTVRTMMNSKGLRKNPGCSWIEIKGKVHMLLAGDNSHSQMTQIIEKLAELSMEMKKSGCFPDIDFVFQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGSPLQVIKNLRICGDCHAVIKFISSFEKREIFVRDTNRFHHFKDGVCSCRDYW >Manes.01G139300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33100095:33105939:-1 gene:Manes.01G139300.v8.1 transcript:Manes.01G139300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKEVLPFFPHLRRSFFYLNPPTYLSLNRQRHAFILKYGISTATNLTTNLPSPCANHHSVPDPNVLYFSTRIYEFTKLNNFGQAIVISSQMLSQGLMFDTHVLPSVIKACTGLCFLKTGKLVHCLASVSGFDSDSRVLSSLVHMYLKCNRINDAHKVFDRLPQPDVVAYSALLSGYARMGRVKETKELFSKRRDEGVELNLVSWNGMITGFNHSGHHLEAVIMFQKMHSEGFKPDGTSISSVLSAVGDLEMLNMGFQIHGYLIRKGLGPDKCVASALIDMYGKCACTFEMSQAFDEMHQVDIGACNALVMGLSRNGLVDNALKVFRQFKGQGMELNVVSWTSIIASCSQNGKDIEALELFREMQVVGIKPNSVTIPCLLPACGNIAALMHGKAAHCFSLKGGISSNVYVGSALIDMYAKCGRIQLSRLCFDMMPTRNLVSWNALMAGYAMHGKANEAVNIFQWMQRSGQKPDFVSFICVLSACSQGGLTDEGWYYFHSMSKDYGIEARLEHYACMVNLLGRAGRLQEGYTMIQQMPFKPDACVWGALLSSCRVYNHVSLGEIAAKELFELEPRNPGNYILLSNIYASKAMWTEVHTVRTMMNSKGLRKNPGCSWIEIKGKVHMLLAGDNSHSQMTQIIEKLAELSMEMKKSGCFPDIDFVFQDVEEQDKEQILCGHSEKLAVVLGLLNTSPGSPLQVIKNLRICGDCHAVIKFISSFEKREIFVRDTNRFHHFKDGVCSCRDYW >Manes.05G164500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27696890:27700749:1 gene:Manes.05G164500.v8.1 transcript:Manes.05G164500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAPLLDGYAKSDHQENKYISVVRDFNEESKRLWKLAGPAIFTAICQYSLGALTQTFAGLVGELELAAVSVENSVIAGLAFGVMLGMGSALETLCGQAYGAGQLRMLGIYMQRSWVILLTTACLLVPIYVWSPPILELIGQTTQISTAAGKFALWMLPQLFAYAMNFPIQKFLQSQRKVFVMAWISAVVLLLHAFFSWLLILKLGWGLTGAAITLNTSWWIIVIAQLLYIFITKSDGAWSGFSWLAFSDLWGFVKLSLASAVMLCLEFWYLMVLVVITGRLPNPLVPVDAISICMNIQGWNAMIAIGFNAAISVRVSNELGAGNARLAKYSVIVVSVTSIAIGVICMAVVFATRDYFPYLFTTSEAVAKETTRLSILLGITVLLNSLQPVLSGVAVGAGWQSLVAYINIGCYYIVGLPAGILLGFTFSFGVMGIWSGMAGGILLQTIILIIVTSITNWQKEAEEAESRVRKWGGSIAEDRSEW >Manes.05G164500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27697095:27700749:1 gene:Manes.05G164500.v8.1 transcript:Manes.05G164500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAPLLDGYAKSDHQENKYISVVRDFNEESKRLWKLAGPAIFTAICQYSLGALTQTFAGLVGELELAAVSVENSVIAGLAFGVMLGMGSALETLCGQAYGAGQLRMLGIYMQRSWVILLTTACLLVPIYVWSPPILELIGQTTQISTAAGKFALWMLPQLFAYAMNFPIQKFLQSQRKVFVMAWISAVVLLLHAFFSWLLILKLGWGLTGAAITLNTSWWIIVIAQLLYIFITKSDGAWSGFSWLAFSDLWGFVKLSLASAVMLCLEFWYLMVLVVITGRLPNPLVPVDAISICMNIQGWNAMIAIGFNAAISVRVSNELGAGNARLAKYSVIVVSVTSIAIGVICMAVVFATRDYFPYLFTTSEAVAKETTRLSILLGITVLLNSLQPVLSGVAVGAGWQSLVAYINIGCYYIVGLPAGILLGFTFSFGVMGIWSGMAGGILLQTIILIIVTSITNWQKEAEEAESRVRKWGGSIAEDRSEW >Manes.05G164500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27696890:27700749:1 gene:Manes.05G164500.v8.1 transcript:Manes.05G164500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAPLLDGYAKSDHQENKYISVVRDFNEESKRLWKLAGPAIFTAICQYSLGALTQTFAGLVGELELAAVSVENSVIAGLAFGVMLGMGSALETLCGQAYGAGQLRMLGIYMQRSWVILLTTACLLVPIYVWSPPILELIGQTTQISTAAGKFALWMLPQLFAYAMNFPIQKFLQSQRKVFVMAWISAVVLLLHAFFSWLLILKLGWGLTGAAITLNTSWWIIVIAQLLYIFITKSDGAWSGFSWLAFSDLWGFVKLSLASAVMLCLEFWYLMVLVVITGRLPNPLVPVDAISICMNIQGWNAMIAIGFNAAISVRVSNELGAGNARLAKYSVIVVSVTSIAIGVICMAVVFATRDYFPYLFTTSEAVAKETTRLSILLGITVLLNSLQPVLSGVAVGAGWQSLVAYINIGCYYIVGLPAGILLGFTFSFGVMGIWSGMAGGILLQTIILIIVTSITNWQKEAEEAESRVRKWGGSIAEDRSEW >Manes.11G101550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:22853266:22853846:-1 gene:Manes.11G101550.v8.1 transcript:Manes.11G101550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHPVLHVSRLKPYHADVTDDTRNQPSRPAVSRAAPVHQGVEEILAERVIKTTKSPRYKEYLVKWKGLSIEETSWEKESSL >Manes.13G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3966662:3970231:1 gene:Manes.13G030700.v8.1 transcript:Manes.13G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGARRTLQFSSASARTLLARSPSSTSSKTIPSPFAPKASSLTGLFPKSSSASRLSPHKLSFSRLPVELGGALSLMPLHSVTASALFTSLLSLHNDTWGCLSEGFATPL >Manes.14G037132.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3254301:3254678:1 gene:Manes.14G037132.v8.1 transcript:Manes.14G037132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSKLGFKNMSFNSFFFSVGFVNENINMLLEICLSTIMSQETHIYSEKCPKAKIKHCSCRSRSRSRRIDNCKSIYIAFIVTDLTHSLKFPSPTWNVYFLSETPLIPQAALDPTTKFPNIFILLL >Manes.10G056500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:12523958:12525013:1 gene:Manes.10G056500.v8.1 transcript:Manes.10G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRPLNLSSSSSHLSSSFLSPNLRNLNPPNLIFHLPRSSPNNVSISIRATSLSSSSARKTAISTSQSDLFRVDILSESLPYIQRFRGKTIVVKYGGAAMKVPELKASVVSDLVLLSCVGLRPVLVHGGGPEINHWLQLLNIQPLFHEGLRVTDAKTMEIVSMVLVGKVNKDLVSLINKAGATAVGLSGMDGRVLMARPAPNSAQLGFVGEVTRVDSTVLQPLVDNGHIPVIASVAADESGQSYNINADTVAGELAAALGAEKLILLTDVAGILENRDDPKSLVKEIDIKGVKRMIEEKKVAGGMIPKVNCCVRSLAQGVRTASIIDGRVEHSLLHEIMSEEGIGTMITG >Manes.05G199032.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32370020:32374008:-1 gene:Manes.05G199032.v8.1 transcript:Manes.05G199032.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDICALQNNFKIFFTWKFKSDNNRVLSSKTLIQKPFSLLPKPLSCLHSSAPPHTIPQDNSTKHRTLLVESYHEHKRLKILLAKLNKKGSCPLEMLQDDGDWSKDHFWAVIRFLKQSSRTKEILQVFDTWKNIEKSRINEFNYEKIINLLCEEGIMEDASLTFIEMKSFGLSPSLQIFNSLIHGYASNGKFDDALFYLNQMKEINLSSESDTYDGLIQAYGKYKMYDEMGMCLKQMEIDGCSPDCFTYNLLIREFAQAGLLTKMERLYQSMRTKRMDLQSCTLIAMLEAYVNFGIVEKIDKVLRWVRKSKATLKEDLIRKIAVIYIENLMFSRLDDLGDDLSSRSGKTDIVWCLHLLSSACSEIPKFQVRPPNVVWFCIQFRQPKERRLATYKGPFDRPWRELEALFVVKVLSKKRKLWSLKLEELGFSMF >Manes.05G199032.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32370020:32374008:-1 gene:Manes.05G199032.v8.1 transcript:Manes.05G199032.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDICALQNNFKIFFTWKFKSDNNRVLSSKTLIQKPFSLLPKPLSCLHSSAPPHTIPQDNSTKHRTLLVESYHEHKRLKILLAKLNKKGSCPLEMLQDDGDWSKDHFWAVIRFLKQSSRTKEILQVFDTWKNIEKSRINEFNYEKIINLLCEEGIMEDASLTFIEMKSFGLSPSLQIFNSLIHGYASNGKFDDALFYLNQMKEINLSSESDTYDGLIQAYGKYKMYDEMGMCLKQMEIDGCSPDCFTYNLLIREFAQAGLLTKMERLYQSMRTKRMDLQSCTLIAMLEAYVNFGIVEKIDKVLRWVRKSKATLKEDLIRKIAVIYIENLMFSRLDDLGDDLSSRSGKTDIVWCLHLLSSACSEIPKFQVRPPNVVWFCIQFRQPKERRLATYKGPFDRPWRELEALFVVKVLSKKRKLWSLKLEELGFSMF >Manes.05G199032.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32368567:32374241:-1 gene:Manes.05G199032.v8.1 transcript:Manes.05G199032.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDICALQNNFKIFFTWKFKSDNNRVLSSKTLIQKPFSLLPKPLSCLHSSAPPHTIPQDNSTKHRTLLVESYHEHKRLKILLAKLNKKGSCPLEMLQDDGDWSKDHFWAVIRFLKQSSRTKEILQVFDTWKNIEKSRINEFNYEKIINLLCEEGIMEDASLTFIEMKSFGLSPSLQIFNSLIHGYASNGKFDDALFYLNQMKEINLSSESDTYDGLIQAYGKYKMYDEMGMCLKQMEIDGCSPDCFTYNLLIREFAQAGLLTKMERLYQSMRTKRMDLQSCTLIAMLEAYVNFGIVEKIDKVLRWVRKSKATLKEDLIRKIAVIYIENLMFSRLDDLGDDLSSRSGKTDIVWCLHLLSSACSEIPKFQVRPPNVVWFCIQFRQPKERRLATYKGPFDRPWRELEALFVVKVLSKKRKLWSLKLEELGFSMF >Manes.05G199032.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32370615:32373950:-1 gene:Manes.05G199032.v8.1 transcript:Manes.05G199032.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDICALQNNFKIFFTWKFKSDNNRVLSSKTLIQKPFSLLPKPLSCLHSSAPPHTIPQDNSTKHRTLLVESYHEHKRLKILLAKLNKKGSCPLEMLQDDGDWSKDHFWAVIRFLKQSSRTKEILQVFDTWKNIEKSRINEFNYEKIINLLCEEGIMEDASLTFIEMKSFGLSPSLQIFNSLIHGYASNGKFDDALFYLNQMKEINLSSESDTYDGLIQAYGKYKMYDEMGMCLKQMEIDGCSPDCFTYNLLIREFAQAGLLTKMERLYQSMRTKRMDLQSCTLIAMLEAYVNFGIVEKIDKVLRWVRKSKATLKEDLIRKIAVIYIENLMFSRLDDLGDDLSSRSGKTDIVWCLHLLSSACSEIPKFQVRPPNVVWFCIQFRQPKERRLATYKGPFDRPWRELEALFVVKVLSKKRKLWSLKLEELGFSMF >Manes.05G199032.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32368567:32374241:-1 gene:Manes.05G199032.v8.1 transcript:Manes.05G199032.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDICALQNNFKIFFTWKFKSDNNRVLSSKTLIQKPFSLLPKPLSCLHSSAPPHTIPQDNSTKHRTLLVESYHEHKRLKILLAKLNKKGSCPLEMLQDDGDWSKDHFWAVIRFLKQSSRTKEILQVFDTWKNIEKSRINEFNYEKIINLLCEEGIMEDASLTFIEMKSFGLSPSLQIFNSLIHGYASNGKFDDALFYLNQMKEINLSSESDTYDGLIQAYGKYKMYDEMGMCLKQMEIDGCSPDCFTYNLLIREFAQAGLLTKMERLYQSMRTKRMDLQSCTLIAMLEAYVNFGIVEKIDKVLRWVRKSKATLKEDLIRKIAVIYIENLMFSRLDDLGDDLSSRSGKTDIVWCLHLLSSACSEIPKFQVRPPNVVWFCIQFRQPKERRLATYKGPFDRPWRELEALFVVKVLSKKRKLWSLKLEELGFSMF >Manes.05G135700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:23762629:23763489:1 gene:Manes.05G135700.v8.1 transcript:Manes.05G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTEISKAACHVRYSSLPSKTHPLAAFVEEKLASLRTSSGSAGQKLGGLKDLYERVDDLLQLPLTQQALSHEHQDKHLEEMLDGSLRLLDVCGTTRDVYSQMKESMQELESSLRRKRGAESIIENEVQAYMNSRKRLSKVISKCLKKMSKELTAFPLDKDSDLVVVVRMLKEAEEISLTVFQSLLSSVSLSKTRSRHAGWSIMSKLLQSRQVTCQAEAYANEVDKVDAELLNLIGKTTSIVEMQKLLKGIKALESSIQQSEEELECIYRGLLKSRVSLLNLHSD >Manes.18G091100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8369055:8373030:1 gene:Manes.18G091100.v8.1 transcript:Manes.18G091100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKKYLEESCKPKCVKPLLEYEACVKRIKDDDSGHKHCTGQYFDYWSCIDKCVAPKLFSKLK >Manes.18G091100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8369705:8372671:1 gene:Manes.18G091100.v8.1 transcript:Manes.18G091100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKKYLEESCKPKCVKPLLEYEACVKRIKDDDSGHKHCTGQYFDYWSCIDKCVAPKLFSKLK >Manes.18G091100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8370516:8372671:1 gene:Manes.18G091100.v8.1 transcript:Manes.18G091100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKKYLEESCKPKCVKPLLEYEACVKRIKDDDSGHKHCTGQYFDYWSCIDKCVAPKLFSKLK >Manes.06G011400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1829315:1834232:-1 gene:Manes.06G011400.v8.1 transcript:Manes.06G011400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSSPSLCNHRINQAFVHLHSSHQTGMKCNFKLYMVPAQAISRYCTLRTFTSVASLNVEVACEGNSSAASSPSDLLRSKTLLQSPSNASDGMNDLERQLQELYDEVKAMTLMGNKDDAVDLLQANYGVVKEQINAGSRGIEEAATLEQFLSAFMNKVVESLKDDEPLLDSVLIHMGSMYSALGKFQSSMVVYKRAIGVLESKHGKSSIFLISPLLGMAKILGSIGRVKKAMEVYHRSIAILESSKGVESEDLVVPLFGLGNLMMKEGRTTDSEIHFNRILSIYRKLYGENDGRVGMALCSLAHAKCSTGNVEEAIDLYKKDLQLIKDTNYIALDDSIMEKMRIDLAELLHVVGRGKEGRELLEECLLISERSKGKDHPSSVSHLINLATSYSQSKNFVEAERLLRISLELMAKTVSPEDQSITFPMLHLAVTLYHLNRDEEAEQLALKVLRIREKAFEKDSSSWGGSRLFDIDSDQIKEGRC >Manes.01G228900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39396874:39402668:1 gene:Manes.01G228900.v8.1 transcript:Manes.01G228900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQTTYNFERPQAPQRLYVPPSSRHGHDNYEDTDLDNIDYVENDNARDTSADDIKDNGAEDEEIDPLDAFMQGIHEEMKAAPPPKPKQKAEKYRDDDDDDPMESFLKAKKDIGLTLAADALHAGYNSDEEVYAAAKAVDAGLVEYDSDDNPVVVDKKKIEPIPALDHSLIDYEPFDKDFYEEKPSISGMSEHDVAEYRKSLAIRVSGFDVPRPIKTFEDCSFSSQLMNAIAKQAYERPTAIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKGEGPIGVICAPTRELAHQIYLEAKKFAKSHGIRVSAVYGGMSKLDQFKELKAGCDIVVATPGRLIDLLKMKALNMFKATYLVLDEADRMFDLGFEPQIWSIVGQIRPDRQTLLFSATMPHKVEKLAREILTDPVRVTVGEVGMANEDITQVVQVIPSDAEKLPWLIEKLPGMIDDGDVLVFASKKATVDEIESQLVQKGFKVAALHGDKDQASRMDTLQKFKSGVYHVLIATDVAARGLDIKSIKSVVNFDISRDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSGELMDLAMKVGKKVEGGVEVVEVCVEWIMDLALAIIQRPTVLHLKLQQVDLLQLIHQGLG >Manes.01G228900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39396874:39402044:1 gene:Manes.01G228900.v8.1 transcript:Manes.01G228900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQTTYNFERPQAPQRLYVPPSSRHGHDNYEDTDLDNIDYVENDNARDTSADDIKDNGAEDEEIDPLDAFMQGIHEEMKAAPPPKPKQKAEKYRDDDDDDPMESFLKAKKDIGLTLAADALHAGYNSDEEVYAAAKAVDAGLVEYDSDDNPVVVDKKKIEPIPALDHSLIDYEPFDKDFYEEKPSISGMSEHDVAEYRKSLAIRVSGFDVPRPIKTFEDCSFSSQLMNAIAKQAYERPTAIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKGEGPIGVICAPTRELAHQIYLEAKKFAKSHGIRVSAVYGGMSKLDQFKELKAGCDIVVATPGRLIDLLKMKALNMFKATYLVLDEADRMFDLGFEPQIWSIVGQIRPDRQTLLFSATMPHKVEKLAREILTDPVRVTVGEVGMANEDITQVVQVIPSDAEKLPWLIEKLPGMIDDGDVLVFASKKATVDEIESQLVQKGFKVAALHGDKDQASRMDTLQKFKSGVYHVLIATDVAARGLDIKSIKSVVNFDISRDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSGELMDLAMKDGRFRSKRDARKGGGKKGRGRSGGGRGVRGVDYGLGIGYNPETNSTPSQAATSRSAAVNSPRTGMMGQFKSNFVAVSSNSQSSSANAKKRPALTGFVSGGSIGGDINRTQTPISIPGFVSGGSISGDANRTVTTSSLPGFVSGGSISGDTNRTVTTSSLPGFVSGGSVGGYADRTQPSSQKNQNTGGNPGQSKESSRDRGRERRRPSGWDH >Manes.01G228900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39396874:39402668:1 gene:Manes.01G228900.v8.1 transcript:Manes.01G228900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQTTYNFERPQAPQRLYVPPSSRHGHDNYEDTDLDNIDYVENDNARDTSADDIKDNGAEDEEIDPLDAFMQGIHEEMKAAPPPKPKQKAEKYRDDDDDDPMESFLKAKKDIGLTLAADALHAGYNSDEEVYAAAKAVDAGLVEYDSDDNPVVVDKKKIEPIPALDHSLIDYEPFDKDFYEEKPSISGMSEHDVAEYRKSLAIRVSGFDVPRPIKTFEDCSFSSQLMNAIAKQAYERPTAIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKGEGPIGVICAPTRELAHQIYLEAKKFAKSHGIRVSAVYGGMSKLDQFKELKAGCDIVVATPGRLIDLLKMKALNMFKATYLVLDEADRMFDLGFEPQIWSIVGQIRPDRQTLLFSATMPHKVEKLAREILTDPVRVTVGEVGMANEDITQVVQVIPSDAEKLPWLIEKLPGMIDDGDVLVFASKKATVDEIESQLVQKGFKVAALHGDKDQASRMDTLQKFKSGVYHVLIATDVAARGLDIKSIKSVVNFDISRDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSGELMDLAMKDGRFRSKRDARKGGGKKGRGRSGGGRGVRGVDYGLGIGYNPETNSTPSQAATSRSAAVNSPRTGMMGQFKSNFVAVSSNSQSSSANAKKRPALTGFVSGGSIGGDINRTQTPISIPGFVSGGSISGDANRTVTTSSLPGFVSGGSISGDTNRTVTTSSLPGFVSGGSVGGYADRTQPSSQKNQNTGGNPGQSKESSRDRGRERRRPSGWDH >Manes.13G140460.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34874399:34876837:1 gene:Manes.13G140460.v8.1 transcript:Manes.13G140460.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAHGKHKAELLEAQSHIWNHIFNFINSMSLKCAVQLGIPDAIHSHGKPITISELIAALSLHPAKANCIPRLMRILVHSGFFARAKISQNDQEEGYILTNASQLLLKDHPLSVSPLLLTVLDPSLTRPWHYVSNWFQNDDPTPFATANRRTIWDFAGHELEFNNLFNEAMASDARLVINVLMNDCKWVFEGLKSLVDVGGGTGTVAKAIAKEFPQLECFVLDLPHVVAGLHGTHNLKYVGGSMFEAIPPADAILLKWIMHDWSHENCVKILKRCKEAIKGREGGKLIIIDMVMEQKEKEDHESNETELLLDMLMMVLYNSQERNEKEWAQLFHDAGFSNYEIIPILGLRSIIEVYP >Manes.13G140489.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35002209:35003640:1 gene:Manes.13G140489.v8.1 transcript:Manes.13G140489.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLGDAELLEAQAHVWNHIFNFINSMSLKCAVQLGIPDVIHRHGKPISLSQIISTLPVHPAKARCIPRLMRILVHSGFFARAKISENDDEEEGYVLTNASQLLLKDNPLSVTPFLIAMLDPILTGPWHYMSTWFLNDDVTPFDTAHAKTFWEYCGHEPNLNNFFNKAMASDARLITRVLINECKGVFEGLKSLVDVGGGTGTVAIAIAKAFPDLDCTVFDLPHVVADLEGTQNLEYIGGDMFEEIPPSDAILLKWILHSWNDEECVKILKRCKEAIKGRKGGKLIIIDMIVGSHNRDDDSTETQLSFDMLMMVLVTGRERNEKEWAKLFSDAGFSYHKINPVLGLRSIIEVYP >Manes.11G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5129551:5133995:-1 gene:Manes.11G048000.v8.1 transcript:Manes.11G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSNRVEDFSSHETALRITTEAAMPPMVIHSVCPPPQQTTLQKLKQRLGEIFFPDDPLHRFKNQTLCKKLLLGFQFLFPILQWGPQYSLRLFRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSILGSSRHLAVGPVSIASLVMGSMLSEAVSPTENQILYLKLAFTATFFAGVFQASLGLLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTSKMQFVPVMASVFNHRDEWSWQTIVIGFIFLAFLLTTRHISMKRPKLFWVSAAAPLTSVIVSTLLVFCLRSKLHGVPIIGHLPKGLNPPSANMLHFKGSYLAVAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNMAGSCSSCYVTTGSFSRSAVNYNAGAQTAISNIVMASAVLVTLLFLMPLFYYTPNVILGAIIITAVIGLIDYQTAYQLWKVDKLDFLACLCSFFGVLFISVPLGLAIAVGVSVFKILLHVTRPNTVAMGNIPGTHVYQSLTRYREALRVPSFLILAVESPMYFANSTYLQERILRWVREEEDRIKSNNGSTLKCIILDMTAVTAIDTSGIELVCELRKMLEKRSLQLVLANPVGSVMEKLQKSEVLESFGLNGLYLTVGEAVADISSLWKSQP >Manes.16G022600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2469250:2473189:1 gene:Manes.16G022600.v8.1 transcript:Manes.16G022600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSLSLAAKIPQILGQRSAYTPNYVGKFFYFFSCSRTHQNSSLQIVSLSKLNQTQNFTSSIMEDPKRLSLSSPEPEKYSKEMEVAVRAVQMACSLCQKIQDSVISKATSQVQAKDDNSPVTVADWSVQATVSWILSESLGNTNVSILAEEDVQTLSKADKSGLLEAVVQNVNECLSEAPRFGLKAPQMFLTTAEVLEAISHCNSTGGPTGRFWALDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMRKEWLNYHHRYHRIISKLTPPTSESWDKGCVIYAKKGSGEAWMQPLIRGQKKLVWPNSARPVQVSSIDNPALATFCEPVEKANSSHSFTAGLAHSVGLRTQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIEEAGGVVTDAGGRPLDFSKGMYLEGLDRGIIACAGAKLHDKIIKAVDASWNSSSL >Manes.14G174000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28980969:28987266:1 gene:Manes.14G174000.v8.1 transcript:Manes.14G174000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQNDPLLQVETTSRSLLYELQIIWEEIGESNTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRHAIADSEAELAAICSAMGERPVHMRQAEQKVESLKEELQRIISQLDEMQKRKLDRRNQFLEVLEEIQKISNDIYEPAIHMYVDETNLSLRKLEELHRQLHALQKEKSDRLKQVQENLGTLNSLCLVLGMDFKHTVKEIHPSFGGGTEGLRNISKDTIQHLATATLKLQEIKIQRMQKLQDLATTMLELWNLMDTPTEEQQMFQNVTCNVAASEHEITEANTLSVDFINYVEAEVSRLEELKSSKVKDLVLKKRLELEEICRKAHIILKVDTAIEYAIEVIESGNLDPAGVLEQIELQIGNIKEEAFSRKEILEKVDKWLTACEEECWLEEYNKDVKRYSAGRGTHLTLKRAEKARTLVNKLPGMVEALASKTMAWEKERGTELLYDGVRLLDMLEEYTVLRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKSSPLKAQSVKKAPKVSTGGASNKRLSLGGAIVHSPKPDLIQSSKATPHSRPGKKVDQIHQYDPSNNRQDDSFSVLSVGKRGLDVAGLHAKKISFRTVNAREPESLMLRKPFSPIYST >Manes.14G174000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28980968:28987267:1 gene:Manes.14G174000.v8.1 transcript:Manes.14G174000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELEQECLEVYRRKVDQANRNRAQLRHAIADSEAELAAICSAMGERPVHMRQAEQKVESLKEELQRIISQLDEMQKRKLDRRNQFLEVLEEIQKISNDIYEPAIHMYVDETNLSLRKLEELHRQLHALQKEKSDRLKQVQENLGTLNSLCLVLGMDFKHTVKEIHPSFGGGTEGLRNISKDTIQHLATATLKLQEIKIQRMQKLQDLATTMLELWNLMDTPTEEQQMFQNVTCNVAASEHEITEANTLSVDFINYVEAEVSRLEELKSSKVKDLVLKKRLELEEICRKAHIILKVDTAIEYAIEVIESGNLDPAGVLEQIELQIGNIKEEAFSRKEILEKVDKWLTACEEECWLEEYNKDVKRYSAGRGTHLTLKRAEKARTLVNKLPGMVEALASKTMAWEKERGTELLYDGVRLLDMLEEYTVLRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKSSPLKAQSVKKAPKVSTGGASNKRLSLGGAIVHSPKPDLIQSSKATPHSRPGKKVDQIHQYDPSNNRQDDSFSVLSVGKRGLDVAGLHAKKISFRTVNAREPESLMLRKPFSPIYST >Manes.14G174000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28980969:28987266:1 gene:Manes.14G174000.v8.1 transcript:Manes.14G174000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQNDPLLQVETTSRSLLYELQIIWEEIGESNTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRHAIADSEAELAAICSAMGERPVHMRQAEQKVESLKEELQRIISQLDEMQKRKLDRRNQFLEVLEEIQKISNDIYEPAIHMYVDETNLSLRKLEELHRQLHALQKEKSDRLKQVQENLGTLNSLCLVLGMDFKHTVKEIHPSFGGGTEGLRNISKDTIQHLATATLKLQEIKIQRMQKLQDLATTMLELWNLMDTPTEEQQMFQNVTCNVAASEHEITEANTLSVDFINYVEAEVSRLEELKSSKVKDLVLKKRLELEEICRKAHIILKVDTAIEYAIEVIESGNLDPAGVLEQIELQIGNIKEEAFSRKEILEKVDKWLTACEEECWLEEYNKDVKRYSAGRGTHLTLKRAEKARTLVNKLPGMVEALASKTMAWEKERGTELLYDGVSYFGQVLCHDYLVSLIYLLQMITCFCCIIFC >Manes.14G174000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28983363:28987266:1 gene:Manes.14G174000.v8.1 transcript:Manes.14G174000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRKLDRRNQFLEVLEEIQKISNDIYEPAIHMYVDETNLSLRKLEELHRQLHALQKEKSDRLKQVQENLGTLNSLCLVLGMDFKHTVKEIHPSFGGGTEGLRNISKDTIQHLATATLKLQEIKIQRMQKLQDLATTMLELWNLMDTPTEEQQMFQNVTCNVAASEHEITEANTLSVDFINYVEAEVSRLEELKSSKVKDLVLKKRLELEEICRKAHIILKVDTAIEYAIEVIESGNLDPAGVLEQIELQIGNIKEEAFSRKEILEKVDKWLTACEEECWLEEYNKDVKRYSAGRGTHLTLKRAEKARTLVNKLPGMVEALASKTMAWEKERGTELLYDGVRLLDMLEEYTVLRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKSSPLKAQSVKKAPKVSTGGASNKRLSLGGAIVHSPKPDLIQSSKATPHSRPGKKVDQIHQYDPSNNRQDDSFSVLSVGKRGLDVAGLHAKKISFRTVNAREPESLMLRKPFSPIYST >Manes.14G174000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28980969:28987266:1 gene:Manes.14G174000.v8.1 transcript:Manes.14G174000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQNDPLLQVETTSRSLLYELQIIWEEIGESNTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRHAIADSEAELAAICSAMGERPVHMRQAEQKVESLKEELQRIISQLDEMQKRKLDRRNQFLEVLEEIQKISNDIYEPAIHMYVDETNLSLRKLEELHRQLHALQKEKSDRLKQVQENLGTLNSLCLVLGMDFKHTVKEIHPSFGGGTEGLRNISKDTIQHLATATLKLQEIKIQRMQKLQDLATTMLELWNLMDTPTEEQQMFQNVTCNVAASEHEITEANTLSVDFINYVEAEVSRLEELKSSKVKDLVLKKRLELEEICRKAHIILKVDTAIEYAIEVIESGNLDPAGVLEQIELQIGNIKEEAFSRKEILEKVDKWLTACEEECWLEEYNKDVKRYSAGRGTHLTLKRAEKARTLVNKLPGMVEALASKTMAWEKERGTELLYDGVRLLDMLEEYTVLRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKSSPLKAQSVKKAPKVSTGGASNKRLSLGGAIVHSPKPDLIQSSKATPHSRPGKKVDQIHQYDPSNNRQDDSFSVLSVDDIIEIEQ >Manes.14G174000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28980969:28987266:1 gene:Manes.14G174000.v8.1 transcript:Manes.14G174000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELEQECLEVYRRKVDQANRNRAQLRHAIADSEAELAAICSAMGERPVHMRQAEQKVESLKEELQRIISQLDEMQKRKLDRRNQFLEVLEEIQKISNDIYEPAIHMYVDETNLSLRKLEELHRQLHALQKEKSDRLKQVQENLGTLNSLCLVLGMDFKHTVKEIHPSFGGGTEGLRNISKDTIQHLATATLKLQEIKIQRMQKLQDLATTMLELWNLMDTPTEEQQMFQNVTCNVAASEHEITEANTLSVDFINYVEAEVSRLEELKSSKVKDLVLKKRLELEEICRKAHIILKVDTAIEYAIEVIESGNLDPAGVLEQIELQIGNIKEEAFSRKEILEKVDKWLTACEEECWLEEYNKDVKRYSAGRGTHLTLKRAEKARTLVNKLPGMVEALASKTMAWEKERGTELLYDGVRLLDMLEEYTVLRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKSSPLKAQSVKKAPKVSTGGASNKRLSLGGAIVHSPKPDLIQSSKATPHSRPGKKVDQIHQYDPSNNRQDDSFSVLSVGKRGLDVAGLHAKKISFRTVNAREPESLMLRKPFSPIYST >Manes.14G174000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28980969:28987266:1 gene:Manes.14G174000.v8.1 transcript:Manes.14G174000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELEQECLEVYRRKVDQANRNRAQLRHAIADSEAELAAICSAMGERPVHMRQAEQKVESLKEELQRIISQLDEMQKRKLDRRNQFLEVLEEIQKISNDIYEPAIHMYVDETNLSLRKLEELHRQLHALQKEKSDRLKQVQENLGTLNSLCLVLGMDFKHTVKEIHPSFGGGTEGLRNISKDTIQHLATATLKLQEIKIQRMQKLQDLATTMLELWNLMDTPTEEQQMFQNVTCNVAASEHEITEANTLSVDFINYVEAEVSRLEELKSSKVKDLVLKKRLELEEICRKAHIILKVDTAIEYAIEVIESGNLDPAGVLEQIELQIGNIKEEAFSRKEILEKVDKWLTACEEECWLEEYNKDVKRYSAGRGTHLTLKRAEKARTLVNKLPGMVEALASKTMAWEKERGTELLYDGVRLLDMLEEYTVLRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKSSPLKAQSVKKAPKVSTGGASNKRLSLGGAIVHSPKPDLIQSSKATPHSRPGKKVDQIHQYDPSNNRQDDSFSVLSVDDIIEIEQ >Manes.02G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:424617:432643:1 gene:Manes.02G003100.v8.1 transcript:Manes.02G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEELQLPNGGKSEPIPEPNFVPESVPAPASEPEPQSAAVVVKDADPDTEGPRDSLIQSNEADNPQSNEQNARPELRKDGGSRTFTMRELLTELKTGEGDHASPPQSHQSTPHHQAEQTSAAMELINSITGANEEGQSRQRILAFAAKRYASAIERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTNEAEELWKQATKNYEKAVRLNWNSPQALNNWGLALQELSAIVPAREKQTIVRTAISKFRAAIRLQFDFHRAIYNLGTVLYGLAEDTLRTGGATNPKDVSPTELYSQSAIYIAAAHALKPNYSVYNSALRLVRSMLPLPYLKVGYLTAPPAGKPMAPHSDWKRSQFVLNHEGFQQVNKLEQKQTQSLSGRPAMTNGEKRAVKVNISDITSVSACADLTLPPGAGLCIDTIHGPFFLVADSWESLEGWLDAIRLVYTIYARGKSEVLAAIVTS >Manes.02G003100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:424617:432643:1 gene:Manes.02G003100.v8.1 transcript:Manes.02G003100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEELQLPNGGKSEPIPEPNFVPESVPAPASEPEPQSAAVVVKDADPDTEGPRDSLIQSNEADNPQSNEQNARPELRKDGGSRTFTMRELLTELKTGEGDHASPPQSHQSTPHHQAEQTSAAMELINSITGANEEGQSRQRILAFAAKRYASAIERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTNEAEELWKQATKNYEKAVRLNWNSPQALNNWGLALQELSAIVPAREKQTIVRTAISKFRAAIRLQFDFHRAIYNLGTVLYGLAEDTLRTGGATNPKDVSPTELYSQSAIYIAAAHALKPNYSVYNSALRLVRSMLPLPYLKVGYLTAPPAGKPMAPHSDWKRSQFVLNHEGFQQVFLVA >Manes.02G003100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:424617:430585:1 gene:Manes.02G003100.v8.1 transcript:Manes.02G003100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEELQLPNGGKSEPIPEPNFVPESVPAPASEPEPQSAAVVVKDADPDTEGPRDSLIQSNEADNPQSNEQNARPELRKDGGSRTFTMRELLTELKTGEGDHASPPQSHQSTPHHQAEQTSAAMELINSITGANEEGQSRQRILAFAAKRYASAIERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTNEAEELWKQATKNYEKAVRLNWNSPQALNNWGLALQELSAIVPAREKQTIVRTAISKFRAAIRLQFDFHRAIYNLGTVLYGLAEDTLRTGGATNPKDVSPTELYSQSAIYIAAAHALKPNYSVYNSALRLVRSMLPLPYLKVGYLTAPPAGKPMAPHSDWKRSQFVLNHEGFQQVNKLEQKQTQSLSGRPAMTNGEKRAVKVNISDITSVSACADLTLPPGAGLCIDTIHGPFFLVGTSFILLEIYIE >Manes.08G015000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:132211:145266:1 gene:Manes.08G015000.v8.1 transcript:Manes.08G015000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METINSRLGDRDGETNVSIARGGPIYVPNLVGPLTRVPDFESALFHELQDLKDELCSHASSPSIDDDISVDELKIYSDEDLVEMALKETLDDEKNGSSLLPYSEDLNGRVASNNTDLESCRVGDPSAALESLNAETCCSGTIVNNKLRKRKRPLKFSDGSHSRTSSSGTIINNNSRKGKGKKANKCDIDESCFAKVDKLLKIKHKQDQDKAAVGLHSFNVNKDAIPSMESKSAERLEILRSTNSGKQQLKPSNIQEYIPVRHPEVVLCVEVYHNVRHWLKTQEFLVLGRQMLTEMRDRIYCMTDQVMQKAGQYDLSGYFLIEDVFCNDTRDPSAIDYSIPIIDWLMNSKDDALRKWECVINGELQRKQKAVIGEVTTSQIPQFRRVDMQKTRFCDLRFRLGAGYLYCHQGDCKHTIVIRDLRLVHPEDVQNRAAYPIVIFQLKLRVQKCNVCNIFRATKVTVDDKWTPDNPCYFCNDCYYLLHYSQNGSLLYNDFSTYDYLHD >Manes.08G015000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:132211:145266:1 gene:Manes.08G015000.v8.1 transcript:Manes.08G015000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METINSRLGDRDGETNVSIARGGPIYVPNLVGPLTRVPDFESALFHELQDLKDELCSHASSPSIDDDISVDELKIYSDEDLVEMALKETLDDEKNGSSLLPYSEDLNGRVASNNTDLESCRVGDPSAALESLNAETCCSGTIVNNKLRKRKRPLKFSDGSHSRTSSSGTIINNNSRKGKGKKANKCDIDESCFAKVDKLLKIKHKQDQDKAAVGLHSFNCSVNKDAIPSMESKSAERLEILRSTNSGKQQLKPSNIQEYIPVRHPEVVLCVEVYHNVRHWLKTQEFLVLGRQMLTEMRDRIYCMTDQVMQKAGQYDLSGYFLIEDVFCNDTRDPSAIDYSIPIIDWLMNSKDDALRKWECVINGELQRKQKAVIGEVTTSQIPQFRRVDMQKTRFCDLRFRLGAGYLYCHQGDCKHTIVIRDLRLVHPEDVQNRAAYPIVIFQLKLRVQKCNVCNIFRATKVTVDDKWTPDNPCYFCNDCYYLLHYSQNGSLLYNDFSTYDYLHD >Manes.08G015000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:132211:145266:1 gene:Manes.08G015000.v8.1 transcript:Manes.08G015000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METINSRLGDRDGETNVSIARGGPIYVPNLVGPLTRVPDFESALFHELQDLKDELCSHASSPSIDDDISVDELKIYSDEDLVEMALKETLDDEKNGSSLLPYSEDLNGRVASNNTDLESCRVGDPSAALESLNAETCCSGTIVNNKLRKRKRPLKFSDGSHSRTSSSGTIINNNSRKGKGKKANKCDIDESCFAKVDKLLKIKHKQDQDKAAVGLHSFNCSVNKDAIPSMESKSAERLEILRSTNSGKQQLKPSNIQEYIPVRHPEVVLCVEVYHNVRHWLKTQEFLVLGRQMLTEMRDRIYCMTDQVMQKAGQYDLSGYFLIEDVFCNDTRDPSAIDYSIPIIDWLMNSKDDALRKWECVINGELQRKQKAVIGEVTTSQIPQFRRVDMQKTRFCDLRFRLGAGYLYCHQGDCKHTIVIRDLRLVHPEDVQNRAAYPIVIFQLKLRVQKCNVCNIFRATKVTVDDKWTPDNPCYFCNDCYYLLHYSQNGSLLYNDFSTYDYLHD >Manes.08G015000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:132211:145266:1 gene:Manes.08G015000.v8.1 transcript:Manes.08G015000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METINSRLGDRDGETNVSIARGGPIYVPNLVGPLTRVPDFESALFHELQDLKDELCSHASSPSIDDDISVDELKIYSDEDLVEMALKETLDDEKNGSSLLPYSEDLNGRVASNNTDLESCRVGDPSAALESLNAETCCSGTIVNNKLRKRKRPLKFSDGSHSRTSSSGTIINNNSRKGKGKKANKCDIDESCFAKVDKLLKIKHKQDQDKAAVGLHSFNVNKDAIPSMESKSAERLEILRSTNSGKQQLKPSNIQEYIPVRHPEVVLCVEVYHNVRHWLKTQEFLVLGRQMLTEMRDRIYCMTDQVMQKAGQYDLSGYFLIEDVFCNDTRDPSAIDYSIPIIDWLMNSKDDALRKWECVINGELQRKQKAVIGEVTTSQIPQFRRVDMQKTRFCDLRFRLGAGYLYCHQGDCKHTIVIRDLRLVHPEDVQNRAAYPIVIFQLKLRVQKCNVCNIFRATKVTVDDKWTPDNPCYFCNDCYYLLHYSQNGSLLYNDFSTYDYLHD >Manes.08G015000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:132211:145266:1 gene:Manes.08G015000.v8.1 transcript:Manes.08G015000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METINSRLGDRDGETNVSIARGGPIYVPNLVGPLTRVPDFESALFHELQDLKDELCSHASSPSIDDDISVDELKIYSDEDLVEMALKETLDDEKNGSSLLPYSEDLNGRVASNNTDLESCRVGDPSAALESLNAETCCSGTIVNNKLRKRKRPLKFSDGSHSRTSSSGTIINNNSRKGKGKKANKCDIDESCFAKVDKLLKIKHKQDQDKAAVGLHSFNCSVNKDAIPSMESKSAERLEILRSTNSGKQQLKPSNIQEYIPVRHPEVVLCVEVYHNVRHWLKTQEFLVLGRQMLTEMRDRIYCMTDQVMQKAGQYDLSGYFLIEDVFCNDTRDPSAIDYSIPIIDWLMNSKDDALRKWECVINGELQRKQKAVIGEVTTSQIPQFRRVDMQKTRFCDLRFRLGAGYLYCHQGDCKHTIVIRDLRLVHPEDVQNRAAYPIVIFQLKLRVQKCNVCNIFRATKVTVDDKWTPDNPCYFCNDCYYLLHYSQNGSLLYNDFSTYDYLHD >Manes.08G015000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:132211:145266:1 gene:Manes.08G015000.v8.1 transcript:Manes.08G015000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METINSRLGDRDGETNVSIARGGPIYVPNLVGPLTRVPDFESALFHELQDLKDELCSHASSPSIDDDISVDELKIYSDEDLVEMALKETLDDEKNGSSLLPYSEDLNGRVASNNTDLESCRVGDPSAALESLNAETCCSGTIVNNKLRKRKRPLKFSDGSHSRTSSSGTIINNNSRKGKGKKANKCDIDESCFAKVDKLLKIKHKQDQDKAAVGLHSFNVNKDAIPSMESKSAERLEILRSTNSGKQQLKPSNIQEYIPVRHPEVVLCVEVYHNVRHWLKTQEFLVLGRQMLTEMRDRIYCMTDQVMQKAGQYDLSGYFLIEDVFCNDTRDPSAIDYSIPIIDWLMNSKDDALRKWECVINGELQRKQKAVIGEVTTSQIPQFRRVDMQKTRFCDLRFRLGAGYLYCHQGDCKHTIVIRDLRLVHPEDVQNRAAYPIVIFQLKLRVQKCNVCNIFRATKVTVDDKWTPDNPCYFCNDCYYLLHYSQNGSLLYNDFSTYDYLHD >Manes.08G015000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:139223:145266:1 gene:Manes.08G015000.v8.1 transcript:Manes.08G015000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METINSRLGDRDGETNVSIARGGPIYVPNLVGPLTRVPDFESALFHELQDLKDELCSHASSPSIDDDISVDELKIYSDEDLVEMALKETLDDEKNGSSLLPYSEDLNDLESCRVGDPSAALESLNAETCCSGTIVNNKLRKRKRPLKFSDGSHSRTSSSGTIINNNSRKGKGKKANKCDIDESCFAKVDKLLKIKHKQDQDKAAVGLHSFNCSVNKDAIPSMESKSAERLEILRSTNSGKQQLKPSNIQEYIPVRHPEVVLCVEVYHNVRHWLKTQEFLVLGRQMLTEMRDRIYCMTDQVMQKAGQYDLSGYFLIEDVFCNDTRDPSAIDYSIPIIDWLMNSKDDALRKWECVINGELQRKQKAVIGEVTTSQIPQFRRVDMQKTRFCDLRFRLGAGYLYCHQGDCKHTIVIRDLRLVHPEDVQNRAAYPIVIFQLKLRVQKCNVCNIFRATKVTVDDKWTPDNPCYFCNDCYYLLHYSQNGSLLYNDFSTYDYLHD >Manes.09G016680.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3455451:3466591:-1 gene:Manes.09G016680.v8.1 transcript:Manes.09G016680.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGCLPNNWCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNERSAN >Manes.02G190600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15302290:15304390:1 gene:Manes.02G190600.v8.1 transcript:Manes.02G190600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQPVIIISSSLVLISLATFINFFLSLSLFFTESSSSQMMEGLVEEEELLNLSLAIVSESGGERRRKRKIRALFDSSNSYEGGEGKIFKLLQMREQMLKPDNKRKRVVEDGRNALSARILTPKSPFYDMIMKEPTSEEKFLAFTDLYRVSPYYQLAHFTANQAILEAFEKEEESNNRALHVIDFDVSYGFQWPSLIQSLSEKATTGNQVSLRITGYGRSLEELQETESRLVSFTKGFRNLIFEFQGLLRGSSKLMNPRKKKNETVAVNLIFHLNTLNEFLKLSDTLNLIHSLNPSIVVLVEQEGIRNPGSFLSRFMESLHYFAAMFDSLEDCLPLESSERLSVEKNHLGKEIKSMLNYDKDNNDNDSKCSRYEKMETWKGRMESHGFSGIKLSSKTLIQAKLLLKIRTHYSSLQFDGESSNGFRVFERDEGRAISLGWQDRSLLTASAWHCV >Manes.03G069148.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9854850:9856737:1 gene:Manes.03G069148.v8.1 transcript:Manes.03G069148.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSFGKVWDCLCLSSGSSSSCFCLNSLENEDYVFEKRPLIASDKGQVLRMKDVVSDTQTLAFQLKPKMVVLKVSMHCHGCARKVEKHVSKLEGMTSYKVDLESKMVVVIGDIIPFEVLESVSKVKYAEIWNSPF >Manes.11G086800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12603089:12607885:-1 gene:Manes.11G086800.v8.1 transcript:Manes.11G086800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSAISVLVNQRPLQSAGSFIPKSRCRKLNFKTHRKSHRVCCDYSRFEVRCVSYRPPGTQLNLLNEVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGLSEPTSGSICIQRYGNDGNPCQPSEPLPPEKVGIVFQFPERYFVADTILNEVIFGWPRLKGSLQLKERLALNLQKAITWVQVPDLLILDEPLAGLDWKARADVINLLKQLKKELTVLVVSHDLKELAALVDHSWRMEMGGFLKEELLPI >Manes.11G086800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12603089:12607900:-1 gene:Manes.11G086800.v8.1 transcript:Manes.11G086800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSAISVLVNQRPLQSAGSFIPKSRCRKLNFKTHRKSHRVCCDYSRFEVRCVSYRPPGTQLNLLNEVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGLSEPTSGSICIQRYGNDGNPCQPSEPLPPEKVGIVFQFPERYFVADTILNEVIFGWPRLKGSLQLKERLALNLQKAITWVGLNGISLEKDPHSLSGGYKRRLALAIQLVQVPDLLILDEPLAGLDWKARADVINLLKQLKKELTVLVVSHDLKELAALVDHSWRMEMGGFLKEELLPI >Manes.01G146900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33698934:33700980:1 gene:Manes.01G146900.v8.1 transcript:Manes.01G146900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSFISCPSTGFLISLVLIFIFEGASGATFTLVNKCDYSVWPGILGSPELDTTGFELPKGSSRSFQAPTGWSGRFWARTGCKFDASGHGSCATADCGSSQLECNGNSATPPATLAEFTLGTGTQDFYDVSLVDGYNLPMVVDVNGGSGACMSTGCVTDLNKKCPNELKVEGGAACRSACDAFGKPEYCCSGAYNTPTTCKPSTYSEMFKSACPKSYSYAFDDASSTFTCTGADYTITFCPDLPSLKSATDSSPKPTGTAEEQGGGGAESGAVQEAELANSWLASLATGDSRRSQSISHMQFAFVLFTFFIFCYNIKL >Manes.01G146900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33698934:33701313:1 gene:Manes.01G146900.v8.1 transcript:Manes.01G146900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSFISCPSTGFLISLVLIFIFEGASGATFTLVNKCDYSVWPGILGSPELDTTGFELPKGSSRSFQAPTGWSGRFWARTGCKFDASGHGSCATADCGSSQLECNGNSATPPATLAEFTLGTGTQDFYDVSLVDGYNLPMVVDVNGGSGACMSTGCVTDLNKKCPNELKVEGGAACRSACDAFGKPEYCCSGAYNTPTTCKPSTYSEMFKSACPKSYSYAFDDASSTFTCTGADYTITFCPDLPSLKSATDSSPKPTGTAEEQGGGGAESGAVQEAELANSWLASLATGDSRRSQSISHMQFAFVLFTFFIFCYNIKL >Manes.04G136500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33640515:33643352:-1 gene:Manes.04G136500.v8.1 transcript:Manes.04G136500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGWDDSQVLADTEPLWSNPQHASPWWNNQENEESFNILSKMDLTEDIFNQFQDLQKRQVTTKMAENTVAARSGAEMSWGDASTQELYSSPSITGFGMNINQSRIINGLPNGKAGVSTAGSLESLDCLLSATNSNTDTSVEDDGISMIFSACRNLWNFAANSAVSSGESENNTSSARNKEMHCRVSELDESISQSSSDKKSSPTKPVPIKRTDDQNELKVGANNKHPCFDLLQTDSPTSDGGFQLISENPPKPKRFRSDKRPRSSNINFQQPSSSVSSSIDEVDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHTLDSVNFPPTPFNSQSFPHANSSFSLQNPNHIHHPQN >Manes.04G136500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33640515:33643954:-1 gene:Manes.04G136500.v8.1 transcript:Manes.04G136500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGWDDSQVLADTEPLWSNPQHASPWWNNQENEESFNILSKMDLTEDIFNQFQDLQKRQVTTKMAENTVAARSGAEMSWGDASTQELYSSPSITGFGMNINQSRIINGLPNGKAGVSTAGSLESLDCLLSATNSNTDTSVEDDGISMIFSACRNLWNFAANSAVSSGESENNTSSARNKEMHCRVSELDESISQSSSDKKSSPTKPVPIKRTDDQNELKVGANNKHPCFDLLQTDSPTSDGGFQLISENPPKPKRFRSDKRPRSSNINFQQPSSSVSSSIDEVDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHTLDSVNFPPTPFNSQSFPHANSSFSLQNPNHIHHPQN >Manes.04G136500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33640515:33643420:-1 gene:Manes.04G136500.v8.1 transcript:Manes.04G136500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGWDDSQVLADTEPLWSNPQHASPWWNNQENEESFNILSKMDLTEDIFNQFQDLQKRQVTTKMAENTVAARSGAEMSWGDASTQELYSSPSITGFGMNINQSRIINGLPNGKAGVSTAGSLESLDCLLSATNSNTDTSVEDDGISMIFSACRNLWNFAANSAVSSGESENNTSSARNKEMHCRVSELDESISQSSSDKKSSPTKPVPIKRTDDQNELKVGANNKHPCFDLLQTDSPTSDGGFQLISENPPKPKRFRSDKRPRSSNINFQQPSSSVSSSIDEVDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHTLDSVNFPPTPFNSQSFPHANSSFSLQNPNHIHHPQN >Manes.04G136500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33640515:33643954:-1 gene:Manes.04G136500.v8.1 transcript:Manes.04G136500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGWDDSQVLADTEPLWSNPQHASPWWNNQENEESFNILSKMDLTEDIFNQFQDLQKRQVTTKMAENTVAARSGAEMSWGDASTQELYSSPSITGFGMNINQSRIINGLPNGKAGVSTAGSLESLDCLLSATNSNTDTSVEDDGISMIFSACRNLWNFAANSAVSSGESENNTSSARNKEMHCRVSELDESISQSSSDKKSSPTKPVPIKRTDDQNELKVGANNKHPCFDLLQTDSPTSDGGFQLISENPPKPKRFRSDKRPRSSNINFQQPSSSVSSSIDEVDPEAIAQMKEMIYRAAAFRPVNLGLEVVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHTLDSVNFPPTPFNSQSFPHANSSFSLQNPNHIHHPQN >Manes.06G074100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21014294:21017302:1 gene:Manes.06G074100.v8.1 transcript:Manes.06G074100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVFPPKKVILVLLCLLVWCSGETENAAAPMEEGEQASLYSAIQGFVGNWWNGSDLYPDPCGWTPIQGVSCDIFDGLWYVTSLSIGPINDNSLDCASNAEFRPQLFELKHLKSLSFFNCFMSPFKHPTTINGKKWEKLAENLEILEFRSNPGLIGQVPDSFSSLVKLQSLVLLENGLTGELPRNVSKLASLKKLVLAGNCFNGKIPDSFGGLSELLILDLSRNSLSGSLPSSFRGLVSLLKLDLSNNLLEGNLPLEISYLKNLTLLDLRNNKFSGGLTNSLQKMDSLEEMALSNNPIGGDLQAIEWHNLQKLAILDLSNMGLTGGIPLSLAKLRRLRFLGLSDNNLTGNLSPKLATLPCVSALYINGNNLTGKLQFSEWFYRKMGRRFRAWNNPNLCFPIGLLSKGYVPYGVKSCQQEVTLLEPNSDSKLYSGKLNQSSHYMVSLGFSSCGIYGFWWILVQIFMMVLLLGSFQLALVE >Manes.06G027200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6225136:6249516:1 gene:Manes.06G027200.v8.1 transcript:Manes.06G027200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTSLLPSPKQSAVFNSGHRFSDRFFCSIPSGFVSNLGFGLDRSLSTAEGPRMMEYFRRIGGGWFRGGNGKALKVEADSCGEDIFDAASANARDPPDHLVIMVNGIVGSSADWRYAAEQFVKKLPEKVIVHRSECNYSKLTFDGVDLMGERLAKEVLGVVKHKPEVRKISFVAHSLGGLVARYAIARLYEHLPKLDLSSLSGNSSSQGHMNTVQCPEQPHEARIAGLEPMNFITFATPHLGSRGNKQLPFLCGLSFLERRASQTAHFIVGRTGKHLFLTDNDGGKPPLLVQMVNDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQHELPKPNLLVTDKKYPHIVYVEQETMGNSLNKALTIVRDQTTDLEEEMIKGLTQVPWERVDVSFHKSRQRYIAHSTIQVKTYWLNSDGADVVFHMIDNFLL >Manes.06G027200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6225427:6247368:1 gene:Manes.06G027200.v8.1 transcript:Manes.06G027200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTSLLPSPKQSAVFNSGHRFSDRFFCSIPSGFVSNLGFGLDRSLSTAEGPRMMEYFRRIGGGWFRGGNGKALKVEADSCGEDIFDAASANARDPPDHLVIMVNGIVGSSADWRYAAEQFVKKLPEKVIVHRSECNYSKLTFDGVDLMGERLAKEVLGVVKHKPEVRKISFVAHSLGGLVARYAIARLYEHLPKLDLSSLSGNSSSQGHMNTVQCPEQPHEARIAGLEPMNFITFATPHLGSRGNKQLPFLCGLSFLERRASQTAHFIVGRTGKHLFLTDNDGGKPPLLVQMVNDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQHELPKPNLLVTDKKYPHIVYVEQETMGNSLNKALTIVRDQTTDLEEEMIKGLTQVPWERVDVSFHKSRQRYIAHSTIQVKTYWLNSDGADVVFHMIDNFLL >Manes.06G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6225399:6247492:1 gene:Manes.06G027200.v8.1 transcript:Manes.06G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTSLLPSPKQSAVFNSGHRFSDRFFCSIPSGFVSNLGFGLDRSLSTAEGPRMMEYFRRIGGGWFRGGNGKALKVEADSCGEDIFDAASANARDPPDHLVIMVNGIVGSSADWRYAAEQFVKKLPEKVIVHRSECNYSKLTFDGVDLMGERLAKEVLGVVKHKPEVRKISFVAHSLGGLVARYAIARLYEHLPKLDLSSLSGNSSSQGHMNTVQCPEQPHEARIAGLEPMNFITFATPHLGSRGNKQLPFLCGLSFLERRASQTAHFIVGRTGKHLFLTDNDGGKPPLLVQMVNDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQHELPKPNLLVTDKKYPHIVYVEQETMGNSLNKALTIVRDQTTDLEGPEYWENDWNKI >Manes.06G027200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6225427:6247600:1 gene:Manes.06G027200.v8.1 transcript:Manes.06G027200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTSLLPSPKQSAVFNSGHRFSDRFFCSIPSGFVSNLGFGLDRSLSTAEGPRMMEYFRRIGGGWFRGGNGKALKVEADSCGEDIFDAASANARDPPDHLVIMVNGIVGSSADWRYAAEQFVKKLPEKVIVHRSECNYSKLTFDGVDLMGERLAKEVLGVVKHKPEVRKISFVAHSLGGLVARYAIARLYEHLPKLDLSSLSGNSSSQGHMNTVQCPEQPHEARIAGLEPMNFITFATPHLGSRGNKQLPFLCGLSFLERRASQTAHFIVGRTGKHLFLTDNDGGKPPLLVQMVNDSDDLKFISALRAFKRRVAYANANYDHMVGWRTSSIRRQHELPKRR >Manes.15G069200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5278648:5285323:-1 gene:Manes.15G069200.v8.1 transcript:Manes.15G069200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGVLRRRVAAGSSSSSVLRQSLQSIRPAASTPRVSSLPENEIFIHTRGFGHLRNFSHLISPGFSVSSGPMRDFANIELMAPKQMWSRPFSSDTGDSVDVVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVSSPEAGVIKEFVAKEGDTVEPGIKVAVISKSGEGVAHVAPSEKITEKPPPKKESAPQDKQQEKPKVETAPATEKPKTPPPQPPKPSASEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRSADKMNFADIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVTRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Manes.15G069200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5278648:5285323:-1 gene:Manes.15G069200.v8.1 transcript:Manes.15G069200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGVLRRRVAAGSSSSSVLRQSLQSIRPAASTPRVSSLPENEIFIHTRGFGHLRNFSHLISPGFSVSSGPMRDFANIELMAPKQMWSRPFSSDTGDSVDVVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVSSPEAGVIKEFVAKEGDTVEPGIKVAVISKSGEGVAHVAPSEKITEKPPPKKESAPQDKQQEKPKVETAPATEKPKTPPPQPPKPSASEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRSADKMNFADIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVTRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Manes.15G069200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5278515:5285455:-1 gene:Manes.15G069200.v8.1 transcript:Manes.15G069200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGVLRRRVAAGSSSSSVLRQSLQSIRPAASTPRVSSLPENEIFIHTRGFGHLRNFSHLISPGFSVSSGPMRDFANIELMAPKQMWSRPFSSDTGDSVDVVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVSSPEAGVIKEFVAKEGDTVEPGIKVAVISKSGEGVAHVAPSEKITEKPPPKKESAPQDKQQEKPKVETAPATEKPKTPPPQPPKPSASEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRSADKMNFADIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVTRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Manes.15G069200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5278515:5285455:-1 gene:Manes.15G069200.v8.1 transcript:Manes.15G069200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGVLRRRVAAGSSSSSVLRQSLQSIRPAASTPRVSSLPENEIFIHTRGFGHLRNFSHLISPGFSVSSGPMRDFANIELMAPKQMWSRPFSSDTGDSVDVVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVSSPEAGVIKEFVAKEGDTVEPGIKVAVISKSGEGVAHVAPSEKITEKPPPKKESAPQDKQQEKPKVETAPATEKPKTPPPQPPKPSASEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRSADKMNFADIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVTRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Manes.15G069200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5278514:5285323:-1 gene:Manes.15G069200.v8.1 transcript:Manes.15G069200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQMWSRPFSSDTGDSVDVVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVSSPEAGVIKEFVAKEGDTVEPGIKVAVISKSGEGVAHVAPSEKITEKPPPKKESAPQDKQQEKPKVETAPATEKPKTPPPQPPKPSASEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFVKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRSADKMNFADIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVTRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >Manes.02G094000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7363172:7365300:-1 gene:Manes.02G094000.v8.1 transcript:Manes.02G094000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRTRSHSRSLVEPSSTPESAAAAAAAKVSLIPCSSSSKIPYRQRKIRKLSTTTTTGASSFPLYTVKSLSVKGEIDLALEHLRNSDPLLSTLIDTNKPPTFDSQSPPFLSLARSILYQQLAPNAAKSIYTRFLTLCGGETGVLPENVLSLSALQLREIGISGRKASYLHDLADNFRNGSLSDSSILEMNDDTLLTSLTAVKGIGVWSVHMFMIFSLHRPDVLPVGDLGVRKGVQNLYGLKELPQPLQMEQVCEKWRPYRSVGSWYMWRLMEAKSLANKVAKKS >Manes.02G094000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7363170:7365300:-1 gene:Manes.02G094000.v8.1 transcript:Manes.02G094000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPRTRSHSRSLVEPSSTPESAAAAAAAKVSLIPCSSSSKIPYRQRKIRKLSTTTTTGASSFPLYTVKSLSVKGEIDLALEHLRNSDPLLSTLIDTNKPPTFDSQSPPFLSLARSILYQQLAPNAAKSIYTRFLTLCGGETGVLPENVLSLSALQLREIGISGRKASYLHDLADNFRNGSLSDSSILEMNDDTLLTSLTAVKGIGVWSVHMFMIFSLHRPDVLPVGDLGVRKGVQNLYGLKELPQPLQMEQVCEKWRPYRSVGSWYMWRLMEAKSLANKVAKKS >Manes.16G014233.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1429019:1432082:1 gene:Manes.16G014233.v8.1 transcript:Manes.16G014233.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLPFHPIQPTSVDSITPLLGRSPTTFMASFSAVVILAPMFAKIVSPWPPKLLSNAAPRKEAIIFYDECLLRYSNQFIFSRMAQEPVLYLLNSQNITDQERFNEIVDTTMDDTALQAANASAGKKFAIKKVNITQSQSLYTLAQCTPDISVSVCGQCLQLAISRLPGCCSGKQGGRVLFPSCNIRYEIYEFYNATALPPPPPPPPAVTRPQGKSGVSKATIIAIVASVTASILLIFMGYYLRCRRERKKYGTIRENSADSDITTVESLQFDLGTIEAATNNFSNDNKLGEGGFGEVYKGIMSNGQAIAVKRLSRNSLQGAEEFKNEVLLVAKLQHRNLVRLLGFCLEGEEKILVYEFVPNKSLDYFLFDPEKRRQLNWPRRYKIIEEIARGILYLHEDSRLRIIHRDLKASNILLNEDMHPKVSDFGMAKIFGVDQTQGNTSRIVGTYGYMSPEYAMHGQFSVKSDVYSFGVLLLEIICGKKNSSFYQTDGGEDLVSHIWKHWRNETPFEVVDSVLRDSYSRNEALRCIQIGLLCVQEDPSDRPTMAKIVLLFSSYSVTLPVPQQPAFFLHSKSEQIMPGNGLDSEQSTNKSVSWSVDEGSITEVYPR >Manes.15G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9672492:9674723:1 gene:Manes.15G121600.v8.1 transcript:Manes.15G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQTALDHYSAIKTCKPTGLFSGIPVINLTDPDAKTLIVEACQEFGFFKLVNHGVPFEFMTRLEALAINFFNLPQSEKDKVGPPDPFGYGNKRIGPNGDVGWIEYLLLNTNPQITSHKTLSIFQENPQIFRSAVEDYILAVKRMAYEVLELIADGLGIEPRNVLSKLLSDEKSDSCFRLNYYPPCPELQALSGRNLIGFGEHTDPQIISVLRSNNTTGLQICLRDGTWVSVPPDQTSFFINVGDALQVMTNGRFKSVKHRVLADTMKSRISMIYFGGPPLSEKIAALPSLLAEGEESLYEQFTWCEYKNSAYKSRLADYRLGLFEKKLQSILANV >Manes.15G089600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6853998:6856998:-1 gene:Manes.15G089600.v8.1 transcript:Manes.15G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLSSCLALPTKLKNLSLNSSCSTASSSSSTCPTFGSLSFSSALSHNVFNKGCLSMSIVRRPIGHSIVCEAAPKKKADSAEKRARQAEKRRIYNKARKSEIRTRMKKVLEALDDLRKKPDAQAEEVLPIEKLIAEAYSVIDKAVKVGTMHRNTGARRKSRLARRKKAVEIHHGWYTPAPAGVTAN >Manes.07G108200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31399952:31403899:-1 gene:Manes.07G108200.v8.1 transcript:Manes.07G108200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCFKSGTKAQADPQFGGNDTSKNSRKVSSATTPSIPRTDGEILPSPNLESFDYSELKEATCNFCQDFVLGKDGFGLVFKGWIDEHSLKPVRPETGMPIVVKRLNQKGSRGQQEWLAEIKYMGQLHHPNLVKLIGYCLEDDLRLLVYEFVPNGNLEYHLFGRDSYFQPLSWDLYVKVSHGAAKALAFLHYKADVIYRDFKTSNILLDSNYNPKLTDLGLAKDGPIGCNTHVSTRVLGTEGYAAPEYIRTGHLTAKNDVYSFGVVLLEMLSGRRAIDRNKPSEEQMLAPHAKRTINMRKFSQVLHPSILGQYPKSSVLKVAQLASQCVSDEPNFRPNMKDVVEVLEELQKSNDNERCKSSKLRKSSIASKYGRKTLR >Manes.18G092150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8516134:8516780:1 gene:Manes.18G092150.v8.1 transcript:Manes.18G092150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSENPQGGLCILMLGLCMPIMVMVAKAIVHFFWKRHDLRISLCFLSPEMNRL >Manes.16G107500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31280704:31282414:1 gene:Manes.16G107500.v8.1 transcript:Manes.16G107500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDIDGQGQHLTASAIVGHDGSVWAQSSSFPQFKPQEVTDIMKDFDEPGHLAPTGLHLGGTKYMVIQGEAGAVIRGKKGSGGITIKKTGQALVFGIYEEPVTPGQCNMVVERLGDYLVDQGL >Manes.03G096616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16307228:16308328:1 gene:Manes.03G096616.v8.1 transcript:Manes.03G096616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSCWPYVCVSIGACLLLSVVLSFLTFRWSSQGCSQCPSLFTSILRELLQSQDYAMLALLFEVVTECFDLNSSSSLWLV >Manes.03G163100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28977128:28977743:-1 gene:Manes.03G163100.v8.1 transcript:Manes.03G163100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGWNLNLCPKRPYAPPPIACRICNHVFMSSQALIEHIESHMADEDPNSRRPQNLISCQRNPFANPSSQASLPPTLPFTPNSYSQLASNFQERNPIFSSSPQMIVSMRPTSQPQISLMGVRNSWSHQVPFPPQLQRKMMMMEDPPSCDFTKPLLQQLEKPFPYKIELKGINDNRNSSDLDMLDLTLKL >Manes.18G082700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7609014:7611439:-1 gene:Manes.18G082700.v8.1 transcript:Manes.18G082700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVENTAPRSHPHQVYHVAVDIHAQTGSNRQDDYTRLKRTGTVWTTCAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMFLFSLVTYYTSTLLSACYRTGDPVNGKRHYTYMDAVLCNLGGAKAKSCGFVQYLVLFGVAVGCTISSSISMMAVKRSNCFHKSGGKDPCHMNANPYMIAFGIAEFFFSQIPDFDQLWWLSIIAAVMSFTYSFIALRLGITKVAENGKLMGSLTGISIDTITQTHKIWRSFQALGYIAFGYSFSIILIEIQDTIKSSPSEAKTMKKATLISVVVTTLIYMLCGCFGYAAFGDMSPGNLLTGFGFYNPYWLMDIANVAIVVHLVGAYQVYCQPLFAFIEKTAAQRFPDSDFIIKETKIPLPGSRSYNLNLFRLVWRTCFVILTTLISMLLPFFNDIVGLLGAFAFWPLTVYFPVEMYIAQKQIPRWSARWLCLKILSSACLIITIAAAAGSIAGMVGDLKSFKPFKTSY >Manes.03G173200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29755764:29759417:-1 gene:Manes.03G173200.v8.1 transcript:Manes.03G173200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLQRVKEEYAGASSSYSGITSAAIPQPMEGLQDAGPPPFLTKTYDIVEDTATNHIVSWSRGNNSFVVWDPQTFTMSLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKNIRRRKNLHPQVSQHCLDPCVEVGRFGLDGEVDRLRRDKEVLMMELVKLRQQQQNTKCYLKLMEYRLKRTETKQQQMMGFLAKAMRNPNFMQQLVQQRDMRKELEEAISKKRRLPIDQGPSNVQVGEFSHGEVLETFVKIEPQELGDLSEFEVSELDALAMTMQGVSGSQQNMEEECLERHEPEDKDLDEGFWDDLLNEDTVEEMDILGGDVEDIDALVEQLGYLGSSPKQTN >Manes.13G140472.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34966075:34966671:1 gene:Manes.13G140472.v8.1 transcript:Manes.13G140472.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKIMSYSLGLEIEQGVDGIFVSQMKYVIEMLKKFNLDKCKSMAVPFVVNEKLNKDDGTEPADIALYRCLVGSLIYLTTCKPDLMYSARLLYRFMHSPCQLYFVVDKRVLRYLKDIVEFGLWFQRGKTVKLEGYVDSDWVGSVDDSKSTSFNAFFLGSSPFSWNSRKAEVVAQSIIEAEYTSTVGIANQSIWLRKL >Manes.07G056100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6414170:6418989:1 gene:Manes.07G056100.v8.1 transcript:Manes.07G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSDSIIRCHQKIFAKHPILELENGVFRIAVGARGVSCSTRKLRSKARVGVRPLAEASVEGLKNFEGDLDFGLDAAAERELTEKGFSGMRKTKLVCTIGPACCSLEDLERLARGGMNVARLNMCHNTKEWHRDVIRKIKRLNDEKGFCVSVMIDTEGSQIHVVDHGAPSSLKAEEGSVWVFTAQKFEGSRPFTVRANYEGFSEGIMVGDELIIDGGMASFQVVERMGNDLRCKCTDPGLLLPRAKLSFWRNGKLSYQGQPTISEKDWEDIDFGISEGVDFVAVSFVNDAEPVKHLKNYLSTKASKSIRVLAKIESLESLQKLEEIVEASDGIMVARGDLGVEVPLEQIPAVQEAITDICRQLNKPVIIASQLLESMVEYPTPTRAEVADVSEAVRQYADAMMLSGESAIGSYGEKALSVLRMVSGRMELQSREENRQNALHQCHLGDSLLDCISEEICNSAVEMANKLGIDAIFVYTKHGEMASLLSRNRPNPPIFAFTDDTDARMALTLQWGVIPILTDLSDDMESNISKTIDLIKSKGMMKEGDAVLIVSDLTPACATRTAFQSIQVKTIV >Manes.15G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3391416:3392814:-1 gene:Manes.15G043800.v8.1 transcript:Manes.15G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATAAASGFLFANTCSNISFRKSQSPKLSSLKASVNPELGFVTSQLNGVRISYNLTQESKLVSSPSVPSFQPVVARRVCPFTGKRANKANKVSFSNHKTKKLQFVNLQYKKIWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLSKK >Manes.10G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:959005:960240:-1 gene:Manes.10G008300.v8.1 transcript:Manes.10G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEVADGVPIVSVVGYGFCVPYPVELIIKKKSRGLFGTRFEVLDASGNLFLQVDGFYRNFQKKRMMRDPAGFPILTMREKVLTIRERWLVHRGESSETNDLLFTVQRSHALQMKTRLDVFLASSTNGDISNFQVVGCYSSQSCKVYKGDTLVAEVNHKFTWGSFLDGREKFRLQVYPGVDYAFIVTLVIIFSEIDMN >Manes.04G123000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32435499:32437898:-1 gene:Manes.04G123000.v8.1 transcript:Manes.04G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGAVVWDPWLIVAQIVCLQCLYYLTLGFFLSILVGTRVSRMSLFYFFDFVAVTTSTVTGWCVIASFLFSSIVGAGYLLYLIERSKKCLDFSATLYIIHLFICIIYGGWPSSITWWIVNGTGLAVMALLGEYLCIRRELREIPITRYRSNV >Manes.08G118800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35793801:35801320:1 gene:Manes.08G118800.v8.1 transcript:Manes.08G118800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRLSSDEVIAKLIEMGFENSSAVQAVKQVGPLFNDAVEYILNGSCGDCRGAPSNSECSTKNSKALGKRTLSSAVLGQMRQSSILDHFQSTGRPKRRRTSNVPDTSISGSEVLDRPVNEVKESVTSKGCGNLGTVPEALQVSFKEEVEPGLHWEQRANNLLQKHFGYSSLKSFQKEALAAWRAHRDCLVLAATGSGKSLCFQIPALLSGKVVVVISPLISLMHDQCLKLSRHGISACFLGSGQPDSSVEQKAMRGLYDIIYVCPETVLRLIKPLQGLAESRGIALFAIDEVHCVSKWGHGFRPDYRRLSILRENFNASNLQFLKFDIPLMALTATATIQVREDILESLSMSKETKIVITSFFRPNLRFLVKHSRTSSSTSYKKDFCQLIGMYARKRKNGNKKQIMISNVTSDCSDSSTDISISEAYEYSDVDDDVHLTKENGSTPSKQRELSAEYLENELDVFQSVDDWDVAYGEFCGQSPHKDLEMSGLVETADAPGTIEERLALLQEPLEEGPTIIYVPTRKETLSIANYLCDSGVKAAAYNASLPKSHLRRVHKEFHDNMLEVVVATIAFGMGIDKLNVQRIIHYGWPQSLEAYYQEAGRAGRDGKLAECILYANLSRVPSLLPSKRSEDQTKQAYKMLSDCFRYGMNTSCCRAKILVEYFGEDFSNEKCLLCDVCVDGPPKAENLKEEANILMQIIASQNGQSCFLDGSYDDTYSDSKSHRLMQKPNLRMFVSKLREQSQKFWEKNQLWWQGLARVIEGKGYIREGDDKIHVQIKFPEPTKLGLEFLEVERDKPFYVYPEADMLLSMNKCKSYSTFADWGKGWADPEIRRQRLEKRRLDRKPRKPRRKRKSRKRKPDMTTARGRITAKLSKLG >Manes.03G115800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24160318:24162220:-1 gene:Manes.03G115800.v8.1 transcript:Manes.03G115800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYFPEELIVEILCRLPVKTLLRCIGLSKSWYDLIKTPNFIATHLHKTLSSDDHRLFLHRLYGNNDETFRLYFDNNDFGEYMTPHPPCDTKKHLHVAGSCNGLICLFRDDFSHGFGYGYRFILWNPSIRKSILLPSPHFIFANNFLRCRNFTGFGFDPTTQDYKVLRIMNLLVDDWIHFRADVYSLNSNSWKDITDIVPRYRVHESVTPAFVNGALHWIATENNYRNFIMVFDVRDDVFREMMLPESLANAWASELTVQVFQESVLAVIYRETCRDYESHIWLMLEYGRGASWVKLATLRNRMIERSKVLGFRKNGEVLMYTGEVGQGVGDIASVDIGSRMFKNPIAGNENEGGPFLYNYMESLALLDKGNMQCPSPKPLTIKFKRKYRSAHKQKNPTKLNQKKLGAPSVRWELEQHDQRSGREDVQHQLQAIKKRRGMRYYSWLSFAWRVIDSKKVGCKQDGSS >Manes.S018640.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:179397:180209:-1 gene:Manes.S018640.v8.1 transcript:Manes.S018640.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.S002289.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:4911:5246:-1 gene:Manes.S002289.v8.1 transcript:Manes.S002289.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.09G087700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24371649:24373119:1 gene:Manes.09G087700.v8.1 transcript:Manes.09G087700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADGSQAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAWDGWFNFNHIDQFTLSRKGTLDGQGQVAWKGVSCDKDLKNCKKHPINIRFNFITKGLVRDIASLNSKDFHVNVLGCDDFTFEGFIVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGYGINIGSLGKYENEDHVSEITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMKNVSNPIIVDQMYCPWNKCNKKMITEPSKVKFSDVSFKNIKGTFATALTVQLICSSGVPCEKVELTNIDLTYSGLEGPAKSECIDIKPTIVGKILEGCK >Manes.11G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2598304:2609939:-1 gene:Manes.11G026500.v8.1 transcript:Manes.11G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEECCSTQLIDGDGVFNATGLEQFIKDVRLAEFGLSYAVVSIMGPQSSGKSTLLNNLFRTNFIEMDAFRGRSQTTKGIWLARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDGVPKPDAHKETPISEFFNVEVVALSSFEEKEEQFREQVANLRQRFVHSIAPGGLAGDRQGVVPASGFYFSAQHIWKVIKENKDLDLPAHKVMVATVRCEEIASEKYANFSANEGWLQIEESVHSIPVSGFGKKLSSTLSACLSGYDEEAIFFDEGVRSAKRKQLEEKLLQLVQPAYQSMLAHIRSGTLDKFKEAFVKALDAGEGFSSAARTCTQSYVALFDEECADAVIEQANWDSSKVRDKLQRDIDAHIASVRAAKLSEFTSSFEAKLNEALAGPVEALLDGANSETWPAIRKLLQRETESAVGGLSSALIGFDMDEQSKDKMLKSLENHARGIVEAKAKEEAGRVLIRMKDRFSMLFSHDSDSMPRVWTGNEDIRAITKTARTASLKILSVMAAIRLGDEVDNIESTLSSALMDTKSNLAITDRSITTCDPLASSSWDEIPSSRTLITPVQCKSTWRQFKMETEYSVTQAISAQEASKRSNNWLPPPWAIVALIVLGFNEFMTLLRNPLYVGFIFLAYLLMKALWVQLDISGEFRNGALPGLISLSSKLLPTVMNLMKKLAEEGQMPATNDPRPQRNPSMAAKTFRNGNETSSAMSTASSGVTNAENGTEYSSTSKYD >Manes.18G008900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1149842:1151743:1 gene:Manes.18G008900.v8.1 transcript:Manes.18G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPKNLHSILGAAGVKDKRVSILPKDGLTNFTQSIIFKKQQTNEPFYVLDLGVVAALMDKWTRTLPMVRPFYAVKCNPDPALTGTLAALGSNFDCASRVEIESILSLGVAPERIIYANPCKAESHIKYAASVGVNLTTFDSKEELEKIRKCHPQCALLIRVKAPDDGGARCPLGPKFGALPEEVTPLLQAAKVARLKVVGVSFHIGSGATHSRAYRGAIAAAKTVFEAATRLGMPKMNVLNIGGGFTAGSQFDEAAIVIKSALQAYFPNEPGLTIISEPGRFFAESAFTLATNIIGKRVRGELREYWINDGIYGSMNCILYDHATITCTPLACTSNRLNPACKGLRTYSSTVFGPTCDALDTVLKDHQLPELQVNDWLVFPCMGAYTAAAGSNFNGFNTGSILTYLAYSNPS >Manes.14G163828.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24831167:24831808:-1 gene:Manes.14G163828.v8.1 transcript:Manes.14G163828.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVKSLHPSSPSFFSISFLHPSSLFLPSILLLPHIFSISFILFAHLSFFYFLSILSSLFFSLRFSNFLYISHIFKAPLYLNLQCQQFLLESFYFAKEFLFCDGESSTHALFRCDDEELVLVSETII >Manes.13G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10897554:10907853:1 gene:Manes.13G073600.v8.1 transcript:Manes.13G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTIALDTIYTKKQRPEEKSASFSCMISCNVILCSSDDSPKMIYSPMLLNTILFSCVFIFCSSLLNSFARQNISVHNPLNDGETLVSAGEEFELGFFTPAGSVDNKRYLGIWYYGLNPRTVIWVANRNHPLPDTGGRFAVDDGNLNVLDESGKVVWSTDLGPPSPRYYWVATLLDSGNLVFSNRSVTIWQSFKNPTDTFLSGMKMDKEIRLTSWISELDPSPGKFKFSQEDKDQFIIRNGSIPYWGSGVSGEFFRAELDIKVAYLLSNFDKKIWPFGSKHIPNSYYNKTRIVMNFTGQLQYWSLDNQNNWSLEWSEPKDRCSALEACGRFASCNNNNNIACKCLPGFKPLYPEDWKDGDFSGGCTRTSTSCEKNDIFLNLTMMKVSDTKSKSEFKNETECREECLSKCLCKAYSYTQDKNSFPRSPTFSNSTCWIWTEDLRNLQEEYPNGHELFVRVARSDIGRNCEPCGTSLIPYPLSTGSNCGDPMYLNFYCDNSTGELKFKASTGTYNVTSVNPNTRTFVIQAIEARSCYARNSAVLELDPSLPYKINCSNEVDNAGNKISSEGIDEIKISWDTPPEPSCNSSADCKDWPYSTCNVTAEGMRRCLCHANFRWDGSALNCTIETEKIGRDAVLLYGTEKRVKDLIGSEEFKEEDKKGIDVPFFNLDSILAATDNFSEANKLGRGGFGPVYKGIFPGGQEIAIKRLSSVSVQGLEEFKNEVVLIARLQHRNLVKLLGYCIHRNEKILLYEYMPNKSLDSFLFDAELAILLNWEVRFNIIMGVARGLVYLHQDSRLRIIHRDLKTSNILLDAEMNPKISDFGLARIFEGKQTEGNTNRVVGTYGYMSPEYALDGIFSVKSDAFSFGVVVLEILSGRRSTGVFRLEQGENLLSYAWRLWKEQKALDFMDKTISESCKSNEFLKCLCIALLCIQEDPADRPTMSNVLTMLSGETATFSTPKQPAFFEREGIADAASSSSSSKHEISTMWTTSLGGG >Manes.04G067300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25457126:25464777:1 gene:Manes.04G067300.v8.1 transcript:Manes.04G067300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQTADSNFNEYRRGDTESNSSSCDKQFPVAVKKTALRDVQNDNRIPNPIGTSPLPMDSGQAMDAVKVSGIKRPSPKSPVSSPHHQSASSNAANAQLVYVRRKSEAETGKSSICDGRSINADCTNSRQLDLAEENMQPKPHIKESKVSCFPAFAPLPVASLTSSSGKPSVPHPVGSSNMRFTPTESNYNHVASAVPLSNNLKGMRNLQWEERYHQLQVLLKKLDESDQEDYVQMLRSLSSVELSRHAVELEKRSIQLSLEEAKEMQRVGVLNVLGKSMKNIKASATCQGQP >Manes.04G067300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25457261:25463597:1 gene:Manes.04G067300.v8.1 transcript:Manes.04G067300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQTADSNFNEYRRGDTESNSSSCDKQFPVAVKKTALRDVQNDNRIPNPIGTSPLPMDSGQAMDAVKVSGIKRPSPKSPVSSPHHQSASSNAANAQLVYVRRKSEAETGKSSICDGRSINADCTNSRQLDLAEENMQPKPHIKESKVSCFPAFAPLPVASLTSSSGKPSVPHPVGSSNMRFTPTESNYNHVASAVPLSNNLKGMRNLQWEERYHQLQVLLKKLDESDQEDYVQMLRSLSSVELSRHAVELEKRSIQLSLEEAKEMQRVGVLNVLGKSMKNIKASATCQGQP >Manes.04G067300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25457126:25464777:1 gene:Manes.04G067300.v8.1 transcript:Manes.04G067300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQTADSNFNEYRRGDTESNSSSCDKQFPVAVKKTALRDVQNDNRIPNPIGTSPLPMDSGQAMDAVKVSGIKRPSPKSPVSSPHHQSASSNAANAQLVYVRRKSEAETGKSSICDGRSINADCTNSRQLDLAEENMQPKPHIKESKVSCFPAFAPLPVASLTSSSGKPSVPHPVGSSNMRFTPTESNYNHVASAVPLSNNLKGMRNLQWEERYHQLQVLLKKLDESDQEDYVQMLRSLSSVELSRHAVELEKRSIQLSLEEAKEMQRVGVLNVLGKSMKNIKASATCQGQP >Manes.02G119200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9119260:9123977:-1 gene:Manes.02G119200.v8.1 transcript:Manes.02G119200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQNFAAFRVVIFGGRLHLDIYYACVQSRMMFTIWGLLQLLERYPGMVPDVDLMFDCMDKPNINKTEHSSMPLPLFRYCTTQNHFDIPFPDWSFWGWPEINIRPWNVEFPDIKQVSQSQRWSRKWPRAYWKGNPDVFSPVRTGLLQCNHSRKWGAQIMRQDWDEAARGGFETSKLSNQCKYRYKIYAEGFAWSVSLKYIVSCGSLALIISPEYEDFFSRGLFPKKNYWPISSVKLCQSIKFAVDWGNANPSEAQAIGKNGQDFMEILSMDGVYEYMFHLITEYSKLQDFKPVPPSSALKVCRDSVLCFADEKQKQYLEMSTAFPSPRPPCTLQPANRNLIKSWLEQKQRVIEDVREMEKQKVLNR >Manes.02G119200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9119260:9123977:-1 gene:Manes.02G119200.v8.1 transcript:Manes.02G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLRVSAARSPSYFLPFLLGLLSLTAFFLYQLDSLVSRTKTVAGHNLDPTPWHIFPTRNFDEETRQARAYKIIQCSYFSCRYTNTTAAAAAAAASATPTGPRHSKSSAQECPEFFSFIHRDLEPWSRTGITKKHIMESQNFAAFRVVIFGGRLHLDIYYACVQSRMMFTIWGLLQLLERYPGMVPDVDLMFDCMDKPNINKTEHSSMPLPLFRYCTTQNHFDIPFPDWSFWGWPEINIRPWNVEFPDIKQVSQSQRWSRKWPRAYWKGNPDVFSPVRTGLLQCNHSRKWGAQIMRQDWDEAARGGFETSKLSNQCKYRYKIYAEGFAWSVSLKYIVSCGSLALIISPEYEDFFSRGLFPKKNYWPISSVKLCQSIKFAVDWGNANPSEAQAIGKNGQDFMEILSMDGVYEYMFHLITEYSKLQDFKPVPPSSALKVCRDSVLCFADEKQKQYLEMSTAFPSPRPPCTLQPANRNLIKSWLEQKQRVIEDVREMEKQKVLNR >Manes.16G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:365428:369290:1 gene:Manes.16G001400.v8.1 transcript:Manes.16G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSGTIVIFSLVLSSTIVFLVFFQPNPSPSQKPRPMARSFILWLHGLGDSGPANEPIKTLFTSPQFATTKWSFPSAPNNPVTCNYGAVMPSWFDIHEIPVTADSPKDESGLLKAVRNVHAMIDQEIAAGTNPNNVFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPLNFSMMEQIPSDAKKTPFLWSHGIADRTVLFEAGQAGPPFLEKAGISCEFKAYPGLGHSISNEELQYLESWIKTRLQTSSEV >Manes.04G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2870540:2874832:-1 gene:Manes.04G025200.v8.1 transcript:Manes.04G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRKRTQPPGLETEMDDPRGKVSLHSLLPEQPYNGEDDSFDSVIEEQRREIADAKTLHSDIDLAFKLQMEEAITASLSFHSSSSSSSHSPPKTADIVSVTNNVDEDNVLYDYMGLLLEDIEKLDQERRDRESCESLMREMRKDLDRRIHDQNLANEILNIPDNEWEKDGDNYQKPYEADGGSSSSFSLISELALVNSESFNVYCKGLESKEMIRGMNVVVGGVGVAICDSRDNVIFEVSKGLEVDISSKGKEVTSEEAVQIEALVEGLNAALSLDLKHITLFCDDFMVYQYVTGRVQPIQTRISTLVNQLSLLQKKFTVCKSSLVARNDVKFAFKLARDAIVSQITWPAESGKGKKSLKETCVICFEDTDVGQMFSVNGCLHRYCFSCMKQHVEVKLLNGMEARCPHEGCKSEVSIDACGKFLDPKLVEIMSQRKKEASIPVTDKVYCPNQRCSALMSKIEVLEYTNAFFVGAEKSGARKCMNCHYFFCINCKVPWHYNLTCNDYKRYNPPREEDAMLNSLAKRKLWRQCVKCSHLVELAEGCYHITCRCGYEFCYTCGAPWKNKKATCKCQIWDERNIIRDGRRQ >Manes.01G005536.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2373517:2375213:-1 gene:Manes.01G005536.v8.1 transcript:Manes.01G005536.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVKSLSGLSHSHLYNLLLNPKQPPFPSFSSFAKISKTPNPALFQFLIETFNFSETQALTLSARRSSLKSLENAQSVVNFFKNLGVSNSQIQSAAHLFPQIIFANPDKTLAPKIKVFQDLGIVGHDLGKLISKSSPILGASLTTKLVPCVEILQKHLLNDKKNKDLVTVLIRCYRIVTCKNPQARLLSSIAYLESCGIVGSQLSFLLINQPRLLACQESVRRGIVSQTLSMGFSAKSRMLVYGLIAVFCSGDRTVERKYRLFRSFGYSEYECRQIFGKAPYLLTRSEEKLKLKIKFFLNTVKLEKENLVRYAPILMQSMERRVLPRFRVWEILKSKKFLEEKVRVAMFFSTEEVFVQKYISSFPDEAEELLLAYKGHTWHHLQKKKDLDIS >Manes.07G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:553697:555620:1 gene:Manes.07G002900.v8.1 transcript:Manes.07G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTPKVSRNPDLVRGIGKYSRSQMYHKRGLWAIKAKNGGVFPKHDPKPKPAAPAEKPPKFYPADDVKKPLLNKRKPKPTKLRASITPGSVLIILAGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNVDKFGDKYFAKQVEKKKRKGESEFFEGDKEEKNVLPQEKKDDQKSVDAPLIKSIEGVPDLKAYLGARFSLKAGMKPHELVF >Manes.09G011624.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2295884:2305132:1 gene:Manes.09G011624.v8.1 transcript:Manes.09G011624.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLHDAIASFNHVIHMNPLPSRVHFNRFLSALVKMKQYHTVFSMSKTIELLGISHNVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPNTVTFTTLINGLCKESKIDKAVEFFDDMVAHGYQPNVYTYSAIINGMCKFGKTNVAIGLLNGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGVSPDVITYNSLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDHFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAQIIIKIMVQRGEEPNVVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIANIFSYTILINGYCKCKMIDDAKDVFVEMSHKGLVPDVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCSQGNLDEALTLLKKMEESQLKPNLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCRQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDNGFSADAATTELVVHLLRNNDLILRLLKVRNEGSAN >Manes.12G014000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1380023:1385487:-1 gene:Manes.12G014000.v8.1 transcript:Manes.12G014000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWETTRNGTSCDSLLKKHLELSLYELRKDGAMKGKLLGSAVINLADYGNIKDSITISSQIKFKKSSKSTVQPVLYGSIQPVDRYNSSLSKEVTPDKDVSEGNDKENEIASFTDDDIDDNVSSHSSRAVSSFAFESSKCSPAQEEKNIPGSVNKDTRRANVEPTLSLGVRPSNPEVNSMAEAFKYLNGASSTPSSSSLSSKLQNHENDIGAKVVLTHNCTQLDKNSNHVGLHVYQTNQEADRKGCNDDKCGLEMAKTSIVHVGLVEDKPKKEQEDNGQNEGLKNHALEVEQFVGMLPQEAMKKQANLRSNTLASSGRSNGVQGNTRGDKLKHLKSVQLQFNAAENDECFNKIQFRKKAEKIVHENFQKGGLNSIPSEKKKKEIDVAGEPKVDASFAPSDREQRESSFSGFKVELESEVEILKEELMEAAVLEHGIYSVVAEHGSSTNKVHAPARHLSRFYLHAWKASSRAKRASAARAIISGLVLTSKACGSDVPRLTFWLSNSIVLRAIVSQVVEKLEIAAAPSINTNGSQKRRQEASPLKDEETDKSKSSDEWEKHQTFVVALERVEAWIFSRIVESVWWQTLTPHMQPSAMKGLNSKKTHAQRSGLGDKEHENFAIDLWKKAFQDAFERLCPIRAGGHECGCLPVLARLIMEQLVRRLDVGMFNAILRESADEMPTDPISDPISDPMVLPIPAGKSTFGAGAQLKNAVGNWSRWLTDLFGIDDNDSLEDLNKHDSNKIECDTSLKAFHLLNTLSDLMMLPFEMLADRSARKEVCPIFGTRIIKRVLNNFVPDEFNPDPIPEAVFKSLDSEELTEDGEESITIFPCMAAPASYSPPPAASLTKIIGEVKKQTMQRTGSAVLKKSYTSDDELDEFDSPLNSIIIDNSRICAPTASNWMPKSNGGRKVVRYQLLRQLWNDGE >Manes.12G014000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1380000:1385487:-1 gene:Manes.12G014000.v8.1 transcript:Manes.12G014000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLKSKNRKGTLVQVDYLIHIQGIKPWPPSQSLKSAESVLLQWENGNQNSGSFTSNVGDGKIEFNENFRLPVMLYRETTRNGTSCDSLLKKHLELSLYELRKDGAMKGKLLGSAVINLADYGNIKDSITISSQIKFKKSSKSTVQPVLYGSIQPVDRYNSSLSKEVTPDKDVSEGNDKENEIASFTDDDIDDNVSSHSSRAVSSFAFESSKCSPAQEEKNIPGSVNKDTRRANVEPTLSLGVRPSNPEVNSMAEAFKYLNGASSTPSSSSLSSKLQNHENDIGAKVVLTHNCTQLDKNSNHVGLHVYQTNQEADRKGCNDDKCGLEMAKTSIVHVGLVEDKPKKEQEDNGQNEGLKNHALEVEQFVGMLPQEAMKKQANLRSNTLASSGRSNGVQGNTRGDKLKHLKSVQLQFNAAENDECFNKIQFRKKAEKIVHENFQKGGLNSIPSEKKKKEIDVAGEPKVDASFAPSDREQRESSFSGFKVELESEVEILKEELMEAAVLEHGIYSVVAEHGSSTNKVHAPARHLSRFYLHAWKASSRAKRASAARAIISGLVLTSKACGSDVPRLTFWLSNSIVLRAIVSQVVEKLEIAAAPSINTNGSQKRRQEASPLKDEETDKSKSSDEWEKHQTFVVALERVEAWIFSRIVESVWWQTLTPHMQPSAMKGLNSKKTHAQRSGLGDKEHENFAIDLWKKAFQDAFERLCPIRAGGHECGCLPVLARLIMEQLVRRLDVGMFNAILRESADEMPTDPISDPISDPMVLPIPAGKSTFGAGAQLKNAVGNWSRWLTDLFGIDDNDSLEDLNKHDSNKIECDTSLKAFHLLNTLSDLMMLPFEMLADRSARKEVCPIFGTRIIKRVLNNFVPDEFNPDPIPEAVFKSLDSEELTEDGEESITIFPCMAAPASYSPPPAASLTKIIGEVKKQTMQRTGSAVLKKSYTSDDELDEFDSPLNSIIIDNSRICAPTASNWMPKSNGGRKVVRYQLLRQLWNDGE >Manes.12G014000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1379996:1385487:-1 gene:Manes.12G014000.v8.1 transcript:Manes.12G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLKSKNRKGTLVQVDYLIHIQGIKPWPPSQSLKSAESVLLQWENGNQNSGSFTSNVGDGKIEFNENFRLPVMLYRETTRNGTSCDSLLKKHLELSLYELRKDGAMKGKLLGSAVINLADYGNIKDSITISSQIKFKKSSKSTVQPVLYGSIQPVDRYNSSLSKEVTPDKDVSEGNDKENEIASFTDDDIDDNVSSHSSRAVSSFAFESSKCSPAQEEKNIPGSVNKDTRRANVEPTLSLGVRPSNPEVNSMAEAFKYLNGASSTPSSSSLSSKLQNHENDIGAKVVLTHNCTQLDKNSNHVGLHVYQTNQEADRKGCNDDKCGLEMAKTSIVHVGLVEDKPKKEQEDNGQNEGLKNHALEVEQFVGMLPQEAMKKQANLRSNTLASSGRSNGVQGNTRGDKLKHLKSVQLQFNAAENDECFNKIQFRKKAEKIVHENFQKGGLNSIPSEKKKKEIDVAGEPKVDASFAPSDREQRESSFSGFKVELESEVEILKEELMEAAVLEHGIYSVVAEHGSSTNKVHAPARHLSRFYLHAWKASSRAKRASAARAIISGLVLTSKACGSDVPRLTFWLSNSIVLRAIVSQVVEKLEIAAAPSINTNGSQKRRQEASPLKDEETDKSKSSDEWEKHQTFVVALERVEAWIFSRIVESVWWQTLTPHMQPSAMKGLNSKKTHAQRSGLGDKEHENFAIDLWKKAFQDAFERLCPIRAGGHECGCLPVLARLIMEQLVRRLDVGMFNAILRESADEMPTDPISDPISDPMVLPIPAGKSTFGAGAQLKNAVGNWSRWLTDLFGIDDNDSLEDLNKHDSNKIECDTSLKAFHLLNTLSDLMMLPFEMLADRSARKEVCPIFGTRIIKRVLNNFVPDEFNPDPIPEAVFKSLDSEELTEDGEESITIFPCMAAPASYSPPPAASLTKIIGEVKKQTMQRTGSAVLKKSYTSDDELDEFDSPLNSIIIDNSRICAPTASNWMPKSNGGRKVVRYQLLRQLWNDGE >Manes.12G014000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1380023:1385487:-1 gene:Manes.12G014000.v8.1 transcript:Manes.12G014000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLKSKNRKGTLVQVDYLIHIQGIKPWPPSQSLKSAESVLLQWENGNQNSGSFTSNVGDGKIEFNENFRLPVMLYRETTRNGTSCDSLLKKHLELSLYELRKDGAMKGKLLGSAVINLADYGNIKDSITISSQIKFKKSSKSTVQPVLYGSIQPVDRYNSSLSKEVTPDKDVSEGNDKENEIASFTDDDIDDNVSSHSSRAVSSFAFESSKCSPAQEEKNIPGSVNKDTRRANVEPTLSLGVRPSNPEVNSMAEAFKYLNGASSTPSSSSLSSKLQNHENDIGAKVVLTHNCTQLDKNSNHVGLHVYQTNQEADRKGCNDDKCGLEMAKTSIVHVGLVEDKPKKEQEDNGQNEGLKNHALEVEQFVGMLPQEAMKKQANLRSNTLASSGRSNGVQGNTRGDKLKHLKSVQLQFNAAENDECFNKIQFRKKAEKIVHENFQKGGLNSIPSEKKKKEIDVAGEPKVDASFAPSDREQRESSFSGFKVELESEVEILKEELMEAAVLEHGIYSVVAEHGSSTNKVHAPARHLSRFYLHAWKASSRAKRASAARAIISGLVLTSKACGSDVPRLTFWLSNSIVLRAIVSQVVEKLEIAAAPSINTNGSQKRRQEASPLKDEETDKSKSSDEWEKHQTFVVALERVEAWIFSRIVESVWWQTLTPHMQPSAMKGLNSKKTHAQRSGLGDKEHENFAIDLWKKAFQDAFERLCPIRAGGHECGCLPVLARLIMEQLVRRLDVGMFNAILRESADEMPTDPISDPISDPMVLPIPAGKSTFGAGAQLKNAVGNWSRWLTDLFGIDDNDSLEDLNKHDSNKIECDTSLKAFHLLNTLSDLMMLPFEMLADRSARKEVCPIFGTRIIKRVLNNFVPDEFNPDPIPEAVFKSLDSEELTEDGEESITIFPCMAAPASYSPPPAASLTKIIGEVKKQTMQRTGSAVLKKSYTSDDELDEFDSPLNSIIIDNSRICAPTASNWMPKSNGGRKVVRYQLLRQLWNDGE >Manes.12G014000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1380023:1385487:-1 gene:Manes.12G014000.v8.1 transcript:Manes.12G014000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWETTRNGTSCDSLLKKHLELSLYELRKDGAMKGKLLGSAVINLADYGNIKDSITISSQIKFKKSSKSTVQPVLYGSIQPVDRYNSSLSKEVTPDKDVSEGNDKENEIASFTDDDIDDNVSSHSSRAVSSFAFESSKCSPAQEEKNIPGSVNKDTRRANVEPTLSLGVRPSNPEVNSMAEAFKYLNGASSTPSSSSLSSKLQNHENDIGAKVVLTHNCTQLDKNSNHVGLHVYQTNQEADRKGCNDDKCGLEMAKTSIVHVGLVEDKPKKEQEDNGQNEGLKNHALEVEQFVGMLPQEAMKKQANLRSNTLASSGRSNGVQGNTRGDKLKHLKSVQLQFNAAENDECFNKIQFRKKAEKIVHENFQKGGLNSIPSEKKKKEIDVAGEPKVDASFAPSDREQRESSFSGFKVELESEVEILKEELMEAAVLEHGIYSVVAEHGSSTNKVHAPARHLSRFYLHAWKASSRAKRASAARAIISGLVLTSKACGSDVPRLTFWLSNSIVLRAIVSQVVEKLEIAAAPSINTNGSQKRRQEASPLKDEETDKSKSSDEWEKHQTFVVALERVEAWIFSRIVESVWWQTLTPHMQPSAMKGLNSKKTHAQRSGLGDKEHENFAIDLWKKAFQDAFERLCPIRAGGHECGCLPVLARLIMEQLVRRLDVGMFNAILRESADEMPTDPISDPISDPMVLPIPAGKSTFGAGAQLKNAVGNWSRWLTDLFGIDDNDSLEDLNKHDSNKIECDTSLKAFHLLNTLSDLMMLPFEMLADRSARKEVCPIFGTRIIKRVLNNFVPDEFNPDPIPEAVFKSLDSEELTEDGEESITIFPCMAAPASYSPPPAASLTKIIGEVKKQTMQRTGSAVLKKSYTSDDELDEFDSPLNSIIIDNSRICAPTASNWMPKSNGGRKVVRYQLLRQLWNDGE >Manes.15G024300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1923086:1926049:1 gene:Manes.15G024300.v8.1 transcript:Manes.15G024300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGRGQPSAAAEVGSRAPVPYGISAYQSNQMGPSATGPLQSAAQPSGGTASQAQLAQHQLAYQHIHQQQQEQLQQQLQTFWTNQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTEIFDFLVDIVPREDLKDEVLASVPRGSLPVAGPAEAMPYYYMSPQFAPQITAPGKSVGQPVVDQNLYVQHARPYVTHPIWPQQQQQPPADS >Manes.15G024300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1923037:1926420:1 gene:Manes.15G024300.v8.1 transcript:Manes.15G024300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGRGQPSAAAEVGSRAPVPYGISAYQSNQMGPSATGPLQSAAQPSGGTASQAQLAQHQLAYQHIHQQQQEQLQQQLQTFWTNQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTEIFDFLVDIVPREDLKDEVLASVPRGSLPVAGPAEAMPYYYMSPQFAPQITAPGKSVGQPVVDQNLYVQHARPYVTHPIWPQQQQQPPADS >Manes.15G024300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1923037:1926420:1 gene:Manes.15G024300.v8.1 transcript:Manes.15G024300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRGRGQPSAAAEVGSRAPVPYGISAYQSNQMGPSATGPLQSAAQPSGGTASQAQLAQHQLAYQHIHQQQQEQLQQQLQTFWTNQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTEIFDFLVDIVPREDLKDEVLASVPRGSLPVAGPAEAMPYYYMSPQFAPQITAPGKSVGQPVVDQNLYVQHARPYVTHPIWPQQQQQPPADS >Manes.03G140201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26775581:26783674:-1 gene:Manes.03G140201.v8.1 transcript:Manes.03G140201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRSRVSSIVSRSKKPNRRTFSSNVDKESIISSQSIITDQAAEATAAAAESSAEAAASSDRKGWSLFKYGLIATLTGATGFAGYATYAYTLDEIEDKTKALRQSVNYKAGEDESSIEKYQGLLYSAAMTVPAKAVELYLDTRRAIEEQVKGFTEPTSDKLLPDLHPSEQHVFTLVLDLNETIIYSDWKRDRGWRTFKRPGVDDFLQHLSRLYEIVVYSDQLNMYVDPVVERLDTNHCIRYRLSRGATKYQDGKHYRDLSKLNRDPGKIIYVSAHAFENSLQPENCVPIKPFEIDEKGEGSVDTTLLDLIPFLEYVARASPSDIRKVLASYERKDIAQEFKERSKQTQRRMQEQRQQGFFRRM >Manes.03G140201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26775581:26783674:-1 gene:Manes.03G140201.v8.1 transcript:Manes.03G140201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSEVILIHWVLQSELILHFYVFSAYTLDEIEDKTKALRQSVNYKAGEDESSIEKYQGLLYSAAMTVPAKAVELYLDTRRAIEEQVKGFTEPTSDKLLPDLHPSEQHVFTLVLDLNETIIYSDWKRDRGWRTFKRPGVDDFLQHLSRLYEIVVYSDQLNMYVDPVVERLDTNHCIRYRLSRGATKYQDGKHYRDLSKLNRDPGKIIYVSAHAFENSLQPENCVPIKPFEIDEKGEGSVDTTLLDLIPFLEYVARASPSDIRKVLASYERKDIAQEFKERSKQTQRRMQEQRQQGFFRRM >Manes.08G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3137728:3145529:-1 gene:Manes.08G032800.v8.1 transcript:Manes.08G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPQTMVALRERAAFMKESLQKSQTITDSMVAILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKSLKAAEGILTHFDLTRKAEAKILRGPHEDLESYLEAIDQLRSNVNFFSSNKNFKSSDGFLNHANQLLTKAISKLEEEFRHLLTNYSKPVEPDRLFECLPNSLRPSPGSPRHHGEATGKSGSEHQNKSLETAIYTVPTLIPPRVIPLLHDLSQQMVQAGHQQQLFRMYRDIRASALEDSLRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLFAAEKKICDQILDGVDSLRDQCFAEVTVNSVAVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELHSEIEFLFGTKACIEMRESAMSLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQTTLKQLFQEFDASDPEAQLASVTTRIMQALQNNLDGKARQYKDPALTQLFLMNNIHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRVSWAKILQCLTVQGGGPGGDSGSGGLSRAMVKDRFKTFNVQFEEAHQRQSQWTVPDSELRESLRLAVAEVLLPAFRSFLKRFGPMIEGGKNPHKYIRYSPEDLDRMLNEFFEGKTWNEQKR >Manes.09G073700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16837107:16852009:-1 gene:Manes.09G073700.v8.1 transcript:Manes.09G073700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNLAPSSSCSQRMTKDKPRRLYQVWKGSNRFLFGGRLIFGPDVASMFLSALLIAGPAVAFCIKTYFKLVDNNTEHPEHWYPVLIVGSILTILDLVFLFLTSSRDPGIVCRNSRPPESDEAFDAATPSMEWVNGRTPHLKLPRTKDVVVNGHTVKVKYCDTCLLYRPPRSSHCSICNNCVQRFDHHCPWVGQCIGVTTYENFRYRYDKKENPYNRGMIRNIVEIFFTKIPPSMNNFRSFVEEDEQMVAASVVPHLTEDLISSKEKIDIEMGTKLAEDNNYALPEILRNLDYDDDSEDDFKMKEGGRPSFDQFFPDGQDVTESLKISVVGDELMESMRGPIIGDGVKESALSPSESVEGSTAGGVRGSTQRPNTEAEVRESPQKSNAEAELSDSAQTSNFKVEVGEYAQSPNVEGNRHAIWSSTSGDETK >Manes.09G073700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16837106:16852009:-1 gene:Manes.09G073700.v8.1 transcript:Manes.09G073700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNLAPSSSCSQRMTKDKPRRLYQVWKGSNDLVFLFLTSSRDPGIVCRNSRPPESDEAFDAATPSMEWVNGRTPHLKLPRTKDVVVNGHTVKVKYCDTCLLYRPPRSSHCSICNNCVQRFDHHCPWVGQCIGVRNYRFFFMFISTATILCVYVFVFSWIHIVSRKEHVLKAISQDILSDFLIVYCFIAVWFVGGLTIFHSYLICTNQTTYENFRYRYDKKENPYNRGMIRNIVEIFFTKIPPSMNNFRSFVEEDEQMVAASVVPHLTEDLISSKEKIDIEMGTKLAEDNNYALPEILRNLDYDDDSEDDFKMKEGGRPSFDQFFPDGQDVTESLKISVVGDELMESMRGPIIGDGVKESALSPSESVEGSTAGGVRGSTQRPNTEAEVRESPQKSNAEAELSDSAQTSNFKVEVGEYAQSPNVEGNRHAIWSSTSGDETK >Manes.09G073700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:16837107:16852009:-1 gene:Manes.09G073700.v8.1 transcript:Manes.09G073700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNLAPSSSCSQRMTKDKPRRLYQVWKGSNRFLFGGRLIFGPDVASMFLSALLIAGPAVAFCIKTYFKLVDNNTEHPEHWYPVLIVGSILTILDLVFLFLTSSRDPGIVCRNSRPPESDEAFDAATPSMEWVNGRTPHLKLPRTKDVVVNGHTVKVKYCDTCLLYRPPRSSHCSICNNCVQRFDHHCPWVGQCIGVRNYRFFFMFISTATILCVYVFVFSWIHIVSRKEHVLKAISQDILSDFLIVYCFIAVWFVGGLTIFHSYLICTNQTTYENFRYRYDKKENPYNRGMIRNIVEIFFTKIPPSMNNFRSFVEEDEQMVAASVVPHLTEDLISSKEKIDIEMGTKLAEDNNYALPEILRNLDYDDDSEDDFKMKEGGRPSFDQFFPDGQDVTESLKISVVGDELMESMRGPIIGDGVKESALSPSESVEGSTAGGVRGSTQRPNTEAEVRESPQKSNAEAELSDSAQTSNFKVEVGEYAQSPNVEGNRHAIWSSTSGDETK >Manes.03G053433.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5088725:5110567:1 gene:Manes.03G053433.v8.1 transcript:Manes.03G053433.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWCCLCFAFEDEEAPLKSNKVQDNAMREGILENDNNSESNIGNDVEDAEEAAATRLALALTDNGRERDDQDSLRLFEEMIRAMRGGGTNWDERAPVLDEVVHVVAPLALRKSAICFIRRLQGESSSSTAAAAPVTGRVSEDCDRDMHNKRAKVYSGFHDCRYTEAMSADAGNSSSSADRDFSLGQSSSAPSGNEIFYHNFMWSNSNDENPSDSSGGRDDEHEGGTSKSEDLEVRMDLTDDLLHMVFSFLDHINLSRAAMVCRQWRAASVHEDFWRCLNFENRNISIEQFEDMCQRYPNATEVNIHGVPNMHLLVMKAVYSLRNLQVLTLGRGQLGDHFFHALAECNMLKSLNVNDATLGNGVQEIPINHDRLHHLQLTKCRVVRISVRCPQLETLSLKRSNMAQAVLDCPLLHLLDIGSCHKLSDAAIRAAATSCPQLESLDMSNCSCVSDETLREIALTCVNLHILDASYCPNISLESVRLPMLTVLKLHSCEGIASASMAAISHSYMLEVLELVNCNLLTSVSLELPRLQNIQLVHCRKFADLNLRSIMLSSIMVSNCPALHRINITSNSLQKLSLQKQENLTTLALECQCLQEVDLTDCESLTNSVCEVFSDGGGCPMLRTLVLDNCESLTAVQFCSTSLVSLSLVGCRAIAALELSCPCLEKVCLDGCDHLERASFSLVALRSLNLGICPKLSMLNIEAPYMVSLELKGCGVLSEATINCPLLTSLDASFCSQLKDDCLSATTESCPLIESFILMSCPSVGSDGLYSLRWLPHLTLLDLSYTFLMNLQPVFESCLQLKVLKLQACKYLTDVSLEPLYKEGALPVLQELDLSYGTLCQSAIEELLACCTHLTHLSLNGCVNMHDLNWEYSGDQLSAYPSVYNSSTLLSDENIHQSTEQANRLLQNLNCVGCPNIRKVLIPPMACCFHLSSLNLSLSANLKEVDIVCFNLCFLNLSNCCSLEVLKLDCPRLTNLFLQVCS >Manes.03G053433.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5088725:5110567:1 gene:Manes.03G053433.v8.1 transcript:Manes.03G053433.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWCCLCFAFEDEEAPLKSNKVQDNAMREGILENDNNSESNIGNDVEDAEEAAATRLALALTDNGRERDDQDSLRLFEEMIRAMRGGGTNWDERAPVLDEVVHVVAPLALRKSAICFIRRLQGESSSSTAAAAPVTGRVSEDCDRDMHNKRAKVYSGFHDCRYTEAMSADAGNSSSSADRDFSLGQSSSAPSGNEIFYHNFMWSNSNDENPSDSSGGRDDEHEGGTSKSEDLEVRMDLTDDLLHMVFSFLDHINLSRAAMVCRQWRAASVHEDFWRCLNFENRNISIEQFEDMCQRYPNATEVNIHGVPNMHLLVMKAVYSLRNLQVLTLGRGQLGDHFFHALAECNMLKSLNVNDATLGNGVQEIPINHDRLHHLQLTKCRVVRISVRCPQLETLSLKRSNMAQAVLDCPLLHLLDIGSCHKLSDAAIRAAATSCPQLESLDMSNCSCVSDETLREIALTCVNLHILDASYCPNISLESVRLPMLTVLKLHSCEGIASASMAAISHSYMLEVLELVNCNLLTSVSLELPRLQNIQLVHCRKFADLNLRSIMLSSIMVSNCPALHRINITSNSLQKLSLQKQENLTTLALECQCLQEVDLTDCESLTNSVCEVFSDGGGCPMLRTLVLDNCESLTAVQFCSTSLVSLSLVGCRAIAALELSCPCLEKVCLDGCDHLERASFSLVALRSLNLGICPKLSMLNIEAPYMVSLELKGCGVLSEATINCPLLTSLDASFCSQLKDDCLSATTESCPLIESFILMSCPSVGSDGLYSLRWLPHLTLLDLSYTFLMNLQPVFESCLQLKVLKLQACKYLTDVSLEPLYKEGALPVLQELDLSYGTLCQSAIEELLACCTHLTHLSLNGCVNMHDLNWEYSGDQLSAYPSVYNSSTLLSDENIHQSTEQANRLLQNLNCVGCPNIRKVLIPPMACCFHLSSLNLSLSANLKEVDIVCFNLCFLNLSNCCSLEVLKLDCPRLTNLFLQSCNIDEEDVEAAISQCSMLETLDVRFCPKVRTFCLVSFYNIAPS >Manes.03G053433.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5088725:5110567:1 gene:Manes.03G053433.v8.1 transcript:Manes.03G053433.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWCCLCFAFEDEEAPLKSNKVQDNAMREGILENDNNSESNIGNDVEDAEEAAATRLALALTDNGRERDDQDSLRLFEEMIRAMRGGGTNWDERAPVLDEVVHVVAPLALRKSAICFIRRLQGESSSSTAAAAPVTGRVSEDCDRDMHNKRAKVYSGFHDCRYTEAMSADAGNSSSSADRDFSLGQSSSAPSGNEIFYHNFMWSNSNDENPSDSSGGRDDEHEGGTSKSEDLEVRMDLTDDLLHMVFSFLDHINLSRAAMVCRQWRAASVHEDFWRCLNFENRNISIEQFEDMCQRYPNATEVNIHGVPNMHLLVMKAVYSLRNLQVLTLGRGQLGDHFFHALAECNMLKSLNVNDATLGNGVQEIPINHDRLHHLQLTKCRVVRISVRCPQLETLSLKRSNMAQAVLDCPLLHLLDIGSCHKLSDAAIRAAATSCPQLESLDMSNCSCVSDETLREIALTCVNLHILDASYCPNISLESVRLPMLTVLKLHSCEGIASASMAAISHSYMLEVLELVNCNLLTSVSLELPRLQNIQLVHCRKFADLNLRSIMLSSIMVSNCPALHRINITSNSLQKLSLQKQENLTTLALECQCLQEVDLTDCESLTNSVCEVFSDGGGCPMLRTLVLDNCESLTAVQFCSTSLVSLSLVGCRAIAALELSCPCLEKVCLDGCDHLERASFSLVALRSLNLGICPKLSMLNIEAPYMVSLELKGCGVLSEATINCPLLTSLDASFCSQLKDDCLSATTESCPLIESFILMSCPSVGSDGLYSLRWLPHLTLLDLSYTFLMNLQPVFESCLQLKVLKLQACKYLTDVSLEPLYKEGALPVLQELDLSYGTLCQSAIEELLACCTHLTHLSLNGCVNMHDLNWEYSGDQLSAYPSVYNSSTLLSDENIHQSTEQANRLLQNLNCVGCPNIRKVLIPPMACCFHLSSLNLSLSANLKEVDIVCFNLCFLNLSNCCSLEVLKLDCPRLTNLFLQSCNIDEEDVEAAISQCSMLETLDVRFCPKICSISMGRLRSTCPSLKRVFSSLSPP >Manes.08G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33353129:33353741:-1 gene:Manes.08G100000.v8.1 transcript:Manes.08G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAEIEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRRKGD >Manes.18G022000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2173515:2180027:1 gene:Manes.18G022000.v8.1 transcript:Manes.18G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSVSKLLTIILMALFMGSEMIQCSVTYDKKSIIINGQRRILISGSIHYPRSTPEMWEDLIQKAKDGGLDAIDTYVFWDVHEPSPGNYNFEGRYDLVRFMKTIQKVGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKVAMQGFTQKIVQMMKNEKLFASQGGPIILSQIENEYGPEDKALGSAGHAYINWAAKMAVGLNTGVPWVMCKEDDAPDPVINACNGFYCDAFSPNKPYKPTLWTEAWSGWFTEFGGPIHQRPVQDLAFAVARFIQKGGSYLNYYMYHGGTNFGRSAGGPFITTSYDYDAPLDEYGLIREPKYGHLKELHRAIKLCEHALISSDPRVTSLGTYQQAHIFSSGKGSCAAFLSNYHANSAARVMFNNMHYDLPPWSISILPDCRRVVFNTARVGAQTSHMQMLPTGSELLSWESYDEDISSLAYSTRITSQGLLEQINVTRDSSDYLWYLTSVDISPSEAFLQNGQKPSLTVQSAGHGLHVFINGQYSGSAFGTREDRQITFTGPVNLRAGTNRISLLSIAVGLPNVGLHYETWKTGIQGPVILNGLNQGKKDLTWQKWSYQVGLKGEEMNLVSPNGASSVDWIQGSVASNQGQLLKWHKAYFDAPRGNEPLALDMRSMGKGQVWINGQSIGRYWMAYAKGDCSGCSYAGTYRPPKCQLGCGQPTQRWYHVPRSWLKPTKNLLVLFEELGGDASKISLVKRSITSVCADANEHHPAIEDYHTESNGESARLHHAKVHLRCAPGQSISAIKFASFGTPSGTCGSFQQGTCHAPNTHSVIEKKCVGQESCMVTISSSNFGPDPCPNVLKKLSVEAVCSTMSTAD >Manes.14G135200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9477354:9477803:-1 gene:Manes.14G135200.v8.1 transcript:Manes.14G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTILHSSSSPVLPPHPFPAKMLHFHLKLSWKPSHKISASRRDGFDYEGKSVDENMVVLRKRIQEMKMTEENYEYPTEWMEWEKQYYPEYNSHVCEAVGFLQSALMNTRPSLALGLLALFMLCVPTSVLIIMLHLWGCLAAHIPSLL >Manes.10G022900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2219257:2257056:-1 gene:Manes.10G022900.v8.1 transcript:Manes.10G022900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYFRFLALLAFKIFAAEQVDVAILEVGLGGTFDATNVVQTPVVCGISSLGYDHMEILGNTLGEIAGEKAGIFKHGVPPFTVPQPDEAMHVLEEKASKRDVPLQVAPPLDSNLLNGLKLGLEGEHQYINAGLAVALSSKWLQRTDHLEISYLEQTSSLPEQFIKGLTTACLQGRAQIVPDRCINNESDGDLVFYFDGAHSPESMEVCARWFSLAIKDDYPQNTLNCPSQNNFQSMLECVDKYHNGKSGKNLMQILLFNCMSVRDPQLLLPRLMKTCATHGKFLSKKHLQMKLV >Manes.10G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29513824:29516940:1 gene:Manes.10G128800.v8.1 transcript:Manes.10G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQASVSASPRESVIVVMNANRSKGAMDALDWALKRVVRRQDTVIVLGVSGGFGKKNSCFPLNMGISISGIWERLEFSSQGQGEARPRELGEEIQRKKEQYQNNLQPFYRICKKNEVNMEVKLAFGFCPEKITVEQAQNSNPRWIVLDSCFKRHKVCIYAHVGCNIAVMKGKDVATLTPSKAPPPGNFTQTDNPTFPTEDNQDGVDPSQEGDLSVQPRSPCWYPLSWRSGFPRAFTQTELEDITNGFSEENLIRVEDDIKVYEGMFEGTPVVIKSFSENDERFWTMLKILSRVRHRNISNLVGFCCTGTSVFLLSDYPCLGTLEENLLNNNSARKLSWKARWYIAVEIGGSLRYLHEECVDGGAIVHLSVCSSNVIFSSGCSSMLGNFTNARRLHKDNAPSNEDALAENSNLEEDEGFSIDVHDYGMFLIELISGKAALSHQNWNGGQSLIQWALPLLENGQISEVLDPRLQDSNDTRVVYHMINAAIHCLRSDADHRISMSEVLAVVRGDQLAMAKC >Manes.S095408.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:37831:47121:1 gene:Manes.S095408.v8.1 transcript:Manes.S095408.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLMNHALKRPKFAALLVKHNICLDMYSKRAVLKASPSIVGLISYSGKEEIFQGSGTIIESDGNSGVILTSATLIRCRMGRNSVADDVKVVAHLSNGHSFDCQILAFDFHYNIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIALGRYFIKPYDVMATTGEFSLDCCEYDCKELLRVNCQITRCGIGGPFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVMAGSSADSAGISPNDVIVQFGGKTIKSFLELFEAMWDKVGDPVEVIVIRASNSVPLRLSMVVDEATSDNLYSWPLWEHAR >Manes.S095408.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:37831:47609:1 gene:Manes.S095408.v8.1 transcript:Manes.S095408.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESKKRKLASPNPWQRDPKEASITFDDMNYLMNHALKRPKFAALLVKHNICLDMYSKRAVLKASPSIVGLISYSGKEEIFQGSGTIIESDGNSGVILTSATLIRCRMGRNSVADDVKVVAHLSNGHSFDCQILAFDFHYNIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIALGRYFIKPYDVMATTGEFSLDCCEYDCKELLRVNCQITRCGIGGPFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVMAGSSADSAGISPNDVIVQFGGKTIKSFLELFEAMWDKVGDPVEVIVIRASNSVPLRLSMVVDEATSDNLYSWPLWEHAR >Manes.S095408.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:37831:47121:1 gene:Manes.S095408.v8.1 transcript:Manes.S095408.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKRAVLKASPSIVGLISYSGKEEIFQGSGTIIESDGNSGVILTSATLIRCRMGRNSVADDVKVVAHLSNGHSFDCQILAFDFHYNIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIALGRYFIKPYDVMATTGEFSLDCCEYDCKELLRVNCQITRCGIGGPFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVMAGSSADSAGISPNDVIVQFGGKTIKSFLELFEAMWDKVGDPVEVIVIRASNSVPLRLSMVVDEATSDNLYSWPLWEHAR >Manes.S095408.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:37831:47121:1 gene:Manes.S095408.v8.1 transcript:Manes.S095408.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKRAVLKASPSIVGLISYSGKEEIFQGSGTIIESDGNSGVILTSATLIRCRMGRNSVADDVKVVAHLSNGHSFDCQILAFDFHYNIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIALGRYFIKPYDVMATTGEFSLDCCEYDCKELLRVNCQITRCGIGGPFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVMAGSSADSAGISPNDVIVQFGGKTIKSFLELFEAMWDKVGDPVEVIVIRASNSVPLRLSMVVDEATSDNLYSWPLWEHAR >Manes.S095408.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:37831:47121:1 gene:Manes.S095408.v8.1 transcript:Manes.S095408.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESKKRKLASPNPWQRDPKEASITFDDMNYLMNHALKRPKFAALLVKHNICLDMYSKRAVLKASPSIVGLISYSGKEEIFQGSGTIIESDGNSGVILTSATLIRCRMGRNSVADDVKVVAHLSNGHSFDCQILAFDFHYNIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIALGRYFIKPYDVMATTGEFSLDCCEYDCKELLRVNCQITRCGIGGPFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVMAGSSADSAGISPNDVIVQFGGKTIKSFLELFEAMWDKVGDPVEVIVIRASNSVPLRLSMVVDEATSDNLYSWPLWEHAR >Manes.S095408.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:37831:47560:1 gene:Manes.S095408.v8.1 transcript:Manes.S095408.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESKKRKLASPNPWQRDPKEASITFDDMNYLMNHALKRPKFAALLVKHNICLDMYSKRAVLKASPSIVGLISYSGKEEIFQGSGTIIESDGNSGVILTSATLIRCRMGRNSVADDVKVVAHLSNGHSFDCQILAFDFHYNIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIALGRYFIKPYDVMATTGEFSLDCCEYDCKELLRVNCQITRCGIGGPFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVMAGSSADSAGISPNDVIVQFGGKTIKSFLELFEAMWDKVGDPVEVIVIRASNSVPLRLSMVVDEATSDNLYSWPLWEHAR >Manes.S095408.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251176.1:37831:47121:1 gene:Manes.S095408.v8.1 transcript:Manes.S095408.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESKKRKLASPNPWQRDPKEASITFDDMNYLMNHALKRPKFAALLVKHNICLDMYSKRAVLKASPSIVGLISYSGKEEIFQGSGTIIESDGNSGVILTSATLIRCRMGRNSVADDVKVVAHLSNGHSFDCQILAFDFHYNIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIALGRYFIKPYDVMATTGEFSLDCCEYDCKELLRVNCQITRCGIGGPFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVMAGSSADSAGISPNDVIVQFGGKTIKSFLELFEAMWDKVGDPVEVIVIRASNSVPLRLSMVVDEATSDNLYSWPLWEHAR >Manes.06G104500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23692586:23696469:1 gene:Manes.06G104500.v8.1 transcript:Manes.06G104500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVMDGINEEAVVNEMDGNSVSIKENGVSNKSPRSTLSPQSPRSTGMDFPAGGMPASELVVDEVAVDETGHGVVDTSIEQLYENVCDMQSSDLSPSRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEVDKPEHDNHSNSSSKKGESSGSKDSGKLDKNQSASTKSVSSNTSKKGPQLALDSEASSKLNPKGKSPPEKPPTVVRSDKNLKKVNGAFRSTKKRRNSPLGGSKLKNGAEDSSESGLDNPDLGRFLLKQARDLISSGDNPQKALELALRAAKSFEICANGKPSLELVMSLHVVAAIYCSIGQYNEAIPVLKHSIEIPLIEEGQEHALAKFAGHMQLGDTYAMLGQLENSTKCYTTGLEVQKQVLGETDPRVGETCRYLAEAYVQALQFDEAQRLCAMALDVHKENGTPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQESEVASVDCSIGDTYLSLSRYDEAVFAYQKALTAFKTTKGENHPAVGSVFVRLADLYYKTGKLRECKSYCENALRIYEKPTPGVPPEEIASGLTDVSAIYESMNELEQAIELLQKALKIYNDAPGQQSTIAGIEAQMGVMYYMMGNYSESYNSFKDAISKLRASGERKTAFFGIALNQMGLACVQRYSISEAAELFEESKSILEQECGPYHPDTLGAYSNLAGTYDAMGRLDDAIEILEHVVGVREEKLGTANPDVDDEKKRLAELLKEAGRARSRKAKSLENLLDSNSHSVNKDGITVS >Manes.06G104500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23692586:23696493:1 gene:Manes.06G104500.v8.1 transcript:Manes.06G104500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVMDGINEEAVVNEMDGNSVSIKENGVSNKSPRSTLSPQSPRSTGMDFPAGGMPASELVVDEVAVDETGHGVVDTSIEQLYENVCDMQSSDLSPSRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEVDKPEHDNHSNSSSKKGESSGSKDSGKLDKNQSASTKSVSSNTSKKGPQLALDSEASSKLNPKGKSPPEKPPTVVRSDKNLKKVNGAFRSTKKRRNSPLGGSKLKNGAEDSSESGLDNPDLGRFLLKQARDLISSGDNPQKALELALRAAKSFEICANGKPSLELVMSLHVVAAIYCSIGQYNEAIPVLKHSIEIPLIEEGQEHALAKFAGHMQLGDTYAMLGQLENSTKCYTTGLEVQKQVLGETDPRVGETCRYLAEAYVQALQFDEAQRLCAMALDVHKENGTPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQESEVASVDCSIGDTYLSLSRYDEAVFAYQKALTAFKTTKGENHPAVGSVFVRLADLYYKTGKLRECKSYCENALRIYEKPTPGVPPEEIASGLTDVSAIYESMNELEQAIELLQKALKIYNDAPGQQSTIAGIEAQMGVMYYMMGNYSESYNSFKDAISKLRASGERKTAFFGIALNQMGLACVQRYSISEAAELFEESKSILEQECGPYHPDTLGAYSNLAGTYDAMGRLDDAIEILEHVVGVREEKLGTANPDVDDEKKRLAELLKEAGRARSRKAKT >Manes.06G104500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23692586:23696494:1 gene:Manes.06G104500.v8.1 transcript:Manes.06G104500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVMDGINEEAVVNEMDGNSVSIKENGVSNKSPRSTLSPQSPRSTGMDFPAGGMPASELVVDEVAVDETGHGVVDTSIEQLYENVCDMQSSDLSPSRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEVDKPEHDNHSNSSSKKGESSGSKDSGKLDKNQSASTKSVSSNTSKKGPQLALDSEASSKLNPKGKSPPEKPPTVVRSDKNLKKVNGAFRSTKKRRNSPLGGSKLKNGAEDSSESGLDNPDLGRFLLKQARDLISSGDNPQKALELALRAAKSFEICANGKPSLELVMSLHVVAAIYCSIGQYNEAIPVLKHSIEIPLIEEGQEHALAKFAGHMQLGDTYAMLGQLENSTKCYTTGLEVQKQVLGETDPRVGETCRYLAEAYVQALQFDEAQRLCAMALDVHKENGTPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQESEVASVDCSIGDTYLSLSRYDEAVFAYQKALTAFKTTKGENHPAVGSVFVRLADLYYKTGKLRECKSYCENALRIYEKPTPGVPPEEIASGLTDVSAIYESMNELEQAIELLQKALKIYNDAPGQQSTIAGIEAQMGVMYYMMGNYSESYNSFKDAISKLRASGERKTAFFGIALNQMGLACVQRYSISEAAELFEESKSILEQECGPYHPDTLGAYSNLAGTYDAMGRLDDAIEILEHVVGVREEKLGTANPDVDDEKKRLAELLKEAGRARSRKAKSLENLLDSNSHSVNKDGITVS >Manes.06G104500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23692511:23698597:1 gene:Manes.06G104500.v8.1 transcript:Manes.06G104500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVMDGINEEAVVNEMDGNSVSIKENGVSNKSPRSTLSPQSPRSTGMDFPAGGMPASELVVDEVAVDETGHGVVDTSIEQLYENVCDMQSSDLSPSRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEVDKPEHDNHSNSSSKKGESSGSKDSGKLDKNQSASTKSVSSNTSKKGPQLALDSEASSKLNPKGKSPPEKPPTVVRSDKNLKKVNGAFRSTKKRRNSPLGGSKLKNGAEDSSESGLDNPDLGRFLLKQARDLISSGDNPQKALELALRAAKSFEICANGKPSLELVMSLHVVAAIYCSIGQYNEAIPVLKHSIEIPLIEEGQEHALAKFAGHMQLGDTYAMLGQLENSTKCYTTGLEVQKQVLGETDPRVGETCRYLAEAYVQALQFDEAQRLCAMALDVHKENGTPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQESEVASVDCSIGDTYLSLSRYDEAVFAYQKALTAFKTTKGENHPAVGSVFVRLADLYYKTGKLRECKSYCENALRIYEKPTPGVPPEEIASGLTDVSAIYESMNELEQAIELLQKALKIYNDAPGQQSTIAGIEAQMGVMYYMMGNYSESYNSFKDAISKLRASGERKTAFFGIALNQMGLACVQRYSISEAAELFEESKSILEQECGPYHPDTLGAYSNLAGTYDAMGRLDDAIEILEHVVGVREEKLGTANPDVDDEKKRLAELLKEAGRARSRKAKSLENLLDSNSHSVNKDGITVS >Manes.06G104500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23692586:23696482:1 gene:Manes.06G104500.v8.1 transcript:Manes.06G104500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVMDGINEEAVVNEMDGNSVSIKENGVSNKSPRSTLSPQSPRSTGMDFPAGGMPASELVVDEVAVDETGHGVVDTSIEQLYENVCDMQSSDLSPSRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEVDKPEHDNHSNSSSKKGESSGSKDSGKLDKNQSASTKSVSSNTSKKGPQLALDSEASSKLNPKGKSPPEKPPTVVRSDKNLKKVNGAFRSTKKRRNSPLGGSKLKNGAEDSSESGLDNPDLGRFLLKQARDLISSGDNPQKALELALRAAKSFEICANGKPSLELVMSLHVVAAIYCSIGQYNEAIPVLKHSIEIPLIEEGQEHALAKFAGHMQLGDTYAMLGQLENSTKCYTTGLEVQKQVLGETDPRVGETCRYLAEAYVQALQFDEAQRLCAMALDVHKENGTPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQESEVASVDCSIGDTYLSLSRYDEAVFAYQKALTAFKTTKGENHPAVGSVFVRLADLYYKTGKLRECKSYCENALRIYEKPTPGVPPEEIASGLTDVSAIYESMNELEQAIELLQKALKIYNDAPGQQSTIAGIEAQMGVMYYMMGNYSESYNSFKDAISKLRASGERKTAFFGIALNQMGLACVQRYSISEAAELFEESKSILEQECGPYHPDTLGAYSNLAGTYDAMGRLDDAIEILEHVVGVREEKLGTANPDVDDEKKRLAELLKEAGRARSRKAKT >Manes.06G104500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23692586:23696493:1 gene:Manes.06G104500.v8.1 transcript:Manes.06G104500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMVMDGINEEAVVNEMDGNSVSIKENGVSNKSPRSTLSPQSPRSTGMDFPAGGMPASELVVDEVAVDETGHGVVDTSIEQLYENVCDMQSSDLSPSRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEVDKPEHDNHSNSSSKKGESSGSKDSGKLDKNQSASTKSVSSNTSKKGPQLALDSEASSKLNPKGKSPPEKPPTVVRSDKNLKKVNGAFRSTKKRRNSPLGGSKLKNGAEDSSESGLDNPDLGRFLLKQARDLISSGDNPQKALELALRAAKSFEICANGKPSLELVMSLHVVAAIYCSIGQYNEAIPVLKHSIEIPLIEEGQEHALAKFAGHMQLGDTYAMLGQLENSTKCYTTGLEVQKQVLGETDPRVGETCRYLAEAYVQALQFDEAQRLCAMALDVHKENGTPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQESEVASVDCSIGDTYLSLSRYDEAVFAYQKALTAFKTTKGENHPAVGSVFVRLADLYYKTGKLRECKSYCENALRIYEKPTPGVPPEEIASGLTDVSAIYESMNELEQAIELLQKALKIYNDAPGQQSTIAGIEAQMGVMYYMMGNYSESYNSFKDAISKLRASGERKTAFFGIALNQMGLACVQRYSISEAAELFEESKSILEQECGPYHPDTLGAYSNLAGTYDAMGRLDDAIEILEHVVGVREEKLGTANPDVDDEKKRLAELLKEAGRARSRKAKT >Manes.03G103400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22720274:22723923:-1 gene:Manes.03G103400.v8.1 transcript:Manes.03G103400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDCFRSLISLRKTKVHSANEKTNGSDSEGTSNSHRESNSPAPANDRPERSDGVPGISAEQRAAIRIQTAFRAYMAKKTMRRLKGVVRFNVLIHCNDTQKQASSTLSYIHSWSNIQAQIRARRHHMVTEGRIKQKKLENQLKLEAKLHDLEVEWCGSSDTMEEILFRIQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSIGKENWGWSWKERWIAARPWEIRVHSQLSNPKKGQILQVSKSEMKITQSIKPALSNGKASTKAQKLSNTATVDNQAVREANATVGSSSGSLIPS >Manes.03G103400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22720274:22723922:-1 gene:Manes.03G103400.v8.1 transcript:Manes.03G103400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTMRRLKGVVRFNVLIHCNDTQKQASSTLSYIHSWSNIQAQIRARRHHMVTEGRIKQKKLENQLKLEAKLHDLEVEWCGSSDTMEEILFRIQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSIGKENWGWSWKERWIAARPWEIRVHSQLSNPKKGQILQVSKSEMKITQSIKPALSNGKASTKAQKLSNTATVDNQAVREANATVGSSSGSLIPS >Manes.03G103400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22720274:22723922:-1 gene:Manes.03G103400.v8.1 transcript:Manes.03G103400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTMRRLKGVVRFNVLIHCNDTQKQASSTLSYIHSWSNIQAQIRARRHHMVTEGRIKQKKLENQLKLEAKLHDLEVEWCGSSDTMEEILFRIQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSIGKENWGWSWKERWIAARPWEIRVHSQLSNPKKGQILQVSKSEMKITQSIKPALSNGKASTKAQKLSNTATVDNQAVREANATVGSSSGSLIPS >Manes.03G103400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22720274:22723922:-1 gene:Manes.03G103400.v8.1 transcript:Manes.03G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDCFRSLISLRKTKVDRSKKVKVHSANEKTNGSDSEGTSNSHRESNSPAPANDRPERSDGVPGISAEQRAAIRIQTAFRAYMAKKTMRRLKGVVRFNVLIHCNDTQKQASSTLSYIHSWSNIQAQIRARRHHMVTEGRIKQKKLENQLKLEAKLHDLEVEWCGSSDTMEEILFRIQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSIGKENWGWSWKERWIAARPWEIRVHSQLSNPKKGQILQVSKSEMKITQSIKPALSNGKASTKAQKLSNTATVDNQAVREANATVGSSSGSLIPS >Manes.02G172300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13614098:13616287:-1 gene:Manes.02G172300.v8.1 transcript:Manes.02G172300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPSSKFPQSNHLHFSTHASGRITDGFAVTNHGFRAHKPPQNYANYQPWNSSPGFGPERKLIDDDGSGVVSPPLWRTSPPRSPQDRQSHYRSLSPSSRTQAIARGQRELMDMVSQMPEGCYELSLKDIVEQNMVDQAKEESFSKERSKNVEGMYIRERSEKKKNDKPVQINRSGSIDKGGFLLKMVFPISWGSRNKKKKNNNIYNNKSGLKNSAKDGRVSPKPLLLDGSAKGGENEWWKNRFSESCESENGGFSSNSGSSKSSGSSSSRSSSRNGSTRHGGGGCWSSIFGRRRKKQNKG >Manes.01G199100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37302710:37306248:1 gene:Manes.01G199100.v8.1 transcript:Manes.01G199100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDLRTNRAALFDGIEEGGIRASSSYSHEIDEQDNERGMDGLQDRVNLLKRLSGDIHEEVETHNRMLDRMGNDMDSSRGVLSGTMDRFKMVFETKSSRRMFTLVASFVVIFLIVYYLTR >Manes.01G199100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37303714:37306248:1 gene:Manes.01G199100.v8.1 transcript:Manes.01G199100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKDLRTNRAALFDGIEEGGIRASSSYSHEIDEQDNERGMDGLQDRVNLLKRLSGDIHEEVETHNRMLDRMGNDMDSSRGVLSGTMDRFKMVFETKSSRRMFTLVASFVVIFLIVYYLTR >Manes.01G185400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36291719:36295499:1 gene:Manes.01G185400.v8.1 transcript:Manes.01G185400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVVLYVDHLMRPDQVPPEPSAGPSSSTLNQETHAVALDQETQEDEPLIQVAECRICQEEDSVNNLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQSYQPGYTAPSRPPRTEDTAIDIGGGWTISGTPLDLRDPRLLAIAEAERHFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVTDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQHRGLQFTIASGPHVAPHQEPV >Manes.01G185400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36291719:36295499:1 gene:Manes.01G185400.v8.1 transcript:Manes.01G185400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVVLYVDHLMRPDQVPPEPSAGPSSSTLNQETHAVALDQETQEDEPLIQVAECRICQEEDSVNNLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQSYQPGYTAPSRPPRTEDTAIDIGGGWTISGTPLDLRDPRLLAIAEAERHFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVTDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQHRGLQFTIASGPHVAPHQEPV >Manes.01G185400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36291598:36295499:1 gene:Manes.01G185400.v8.1 transcript:Manes.01G185400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVVLYVDHLMRPDQVPPEPSAGPSSSTLNQETHAVALDQETQEDEPLIQVAECRICQEEDSVNNLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQSYQPGYTAPSRPPRTEDTAIDIGGGWTISGTPLDLRDPRLLAIAEAERHFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVTDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQHRGLQFTIASGPHVAPHQEPV >Manes.01G185400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36291719:36295499:1 gene:Manes.01G185400.v8.1 transcript:Manes.01G185400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVVLYVDHLMRPDQVPPEPSAGPSSSTLNQETHAVALDQETQEDEPLIQVAECRICQEEDSVNNLETPCACSGSLKSYQPGYTAPSRPPRTEDTAIDIGGGWTISGTPLDLRDPRLLAIAEAERHFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVTDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQHRGLQFTIASGPHVAPHQEPV >Manes.01G185400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36291598:36295499:1 gene:Manes.01G185400.v8.1 transcript:Manes.01G185400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVVLYVDHLMRPDQVPPEPSAGPSSSTLNQETHAVALDQETQEDEPLIQVAECRICQEEDSVNNLETPCACSGSLKSYQPGYTAPSRPPRTEDTAIDIGGGWTISGTPLDLRDPRLLAIAEAERHFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVTDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQHRGLQFTIASGPHVAPHQEPV >Manes.01G185400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36291719:36295499:1 gene:Manes.01G185400.v8.1 transcript:Manes.01G185400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVVLYVDHLMRPDQVPPEPSAGPSSSTLNQETHAVALDQETQEDEPLIQVAECRICQEEDSVNNLETPCACSGSLKSYQPGYTAPSRPPRTEDTAIDIGGGWTISGTPLDLRDPRLLAIAEAERHFLEAEYDEYAASNASGAAFCRSAALILMALLLLRHALTVTDADGDDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQHRGLQFTIASGPHVAPHQEPV >Manes.09G058852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9476713:9477327:-1 gene:Manes.09G058852.v8.1 transcript:Manes.09G058852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSQPSSSSSNASAAATQLQSSSSTSAVSGRHPVYRGVRRRSSGKWVSEIREPRRPNRIWLGTFPTPEMAAVAYDVAALALKGKDAELNFPNSASSLPVPASASSRDIQAAAASAAAAAGAAGDALGIGSHHHHQEGSNINQTVAQERPMSNEFMDEDLIFDMPNVLMNMAEGMLLSPPRLNITGDESAAAADENPDNLWKFP >Manes.08G166301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40075625:40077326:-1 gene:Manes.08G166301.v8.1 transcript:Manes.08G166301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVLLLVFHLIISTPAELLPVQALSTAAGEFQPVEPIGYRSIEATARGRRLAPFQLCLLCKCCTAAASTTCATMPCCFGIDCQLPNKPYGELKEKGWQWQASLC >Manes.09G176200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36707218:36709380:1 gene:Manes.09G176200.v8.1 transcript:Manes.09G176200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVATATATKERKILVAVDDSEESMHALSWCLENVISNNSRDTLILIYAKPPRPVYTTLDGTGYLFSSDIMATMEKYSSDVADCILEKAKKLCREQLAHNYVKVETRVECGDARDVICEMVEKLSADMLVMGSHGYGLIKRAFLGSVSNHCARNVKCPVLIIKKPKSSGGR >Manes.01G107600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30678768:30687745:-1 gene:Manes.01G107600.v8.1 transcript:Manes.01G107600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTPQRKVWSSWSLTPRSEAQKSGAGSDPNTNVNGAKNLNSVDGSLLKGKTVAFAEPVTPNGVGSALEGDVLEKISKLESELFDYQYNMGLLLIEKKEWNSKYEELRQAITETTDALKREQAAHLIAISDAERREEHLKKALGVEKQCVLDLEKAVREMRAENAELKFTADSKLAEANALITSVEEKSLEIEAKLRAADAKLAEVSRKSSEVDRKSQDMESRESALKRERLSFIAEREAHESALSRQREDLREWERKLQEGEERLSKAQRIINQREERANENDRIFKLKEKDLEEAQKKIDEANSILKSKEDDINSRLANLTLKEKEFDATRKKLEMKEEELHALEEKLNDREKVEIQKLIDEHDAILDGKKREFELEAEEKRKSLDEDLKSKVVEVEKKEVEIKHMEEKILKREQALDKRLDKIKEKEKDFESKSKTLKEREKIIRSEEKNLETERRQVNADREDFLNLKAELEKIRAANEEQLLKICEEKEQLKVSEEERAEYVRLQSELKEEIEKCRRQEGLLLKEAEDLKQQKEKFEREWEDLDEKRAEIEKELKSISEQKEKFEKQKVSEEERIKDEKKAVEDYVKREREALEMAKESFEANMEHERSVLAEKAQSEKKQMLYEFELQKSELENDLQKRQEEMENLLRKKDKLFEEEKERELNNINFLRDLARREMEEMKLERTKIEKERQEIEENKKHLQEQQLEMREDIDKLGDLSRKLKDHREQFIKEKERFILFVEQHKSCKNCGEITSEFVLSDIIASKEIENAEVLPKQGLVNNNVIGDDNQNLAAPARQEIDKSPTAVPSVSPVSWLRKCTSKIFNLSPGKKNEPGSLQSPTDVVENMEEPSKQLNSTVNERESSFAIGNDLLDLQRQSDSSIREVEATQDLSVDNQSNVNSEALEIQEETQPSNLKRDSQPHKRRRPRVSRTRSVKAVVQDAKAILGESLEVNETEDSSHLKAESRDESSLADKGTSRNARKRNRARASQNTVSEHDVGESEGHSDSVTAGKRRKRQQKVAPVQAPGEKRYNLRRPKRGVTVVTDKALSGNNGKDKEEGVRGLTSTGMVSENGGGQHTAQLEKVSDNQDGDADTPRNLVDSAAALSEEVNGTPEAAGQYGVGDEYRSESHIEDEEDDEEEEPEHPGEVSIGKKLWTFFTT >Manes.12G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4185253:4191749:1 gene:Manes.12G046500.v8.1 transcript:Manes.12G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVEAAAKRSVKERLNGNSTSDFTRQRQITGKRQRQDDKWEHDLYDEDGPQFSNRKVSSQDLRLKLQRKSLPQASQSGRGSVSGMLDLREKLSGTLNSQPVNADPPKRKSEAAKPARRSVAVEAPEPEIKKVASVAPRKKSQQKADTSIEAFLQSIGLEKYLITFQAEEVDMTALVHMNDEDLKAIGMPMGPRKKILLALESRG >Manes.S095220.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251210.1:11403:19176:-1 gene:Manes.S095220.v8.1 transcript:Manes.S095220.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLARFFLQFANSSKAANISSSNYGYFLSTPNTRKFTETPTTGAHKSTEELLVVVGGGAAGMFGAIRAKTVAPNLNVVVIEKGKPLSKVKISGGGRCNVTNGHCSDHRILAEHYPRGHRELRGSFFNTHGPVDTMSWFSDHGVALKIEDDGRVFPISNSSSSIIECLLSEAKHKGVSLQTGKVLENASVDAGGKFYLKVEKRTVVESVEADYLLIASGSSQQGYSLATQLGHSIVDPVPSLFTFKIERFRARRVVRVTFPKVEAKLKLDNVQRNIPHLTQVGPMLVTHWGLSGPVILRLSAWVLVNCLLQVTKECS >Manes.09G130901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33245531:33246143:1 gene:Manes.09G130901.v8.1 transcript:Manes.09G130901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFPASSRVCNPYLLFLLDFARQENCSLHSTYSFSEK >Manes.S031516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:756437:756811:1 gene:Manes.S031516.v8.1 transcript:Manes.S031516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.05G047700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3976599:3979224:1 gene:Manes.05G047700.v8.1 transcript:Manes.05G047700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRILLVLTLAPLLFSPPILGYKHVEVKSWCGKTPHPEPCEYFLSQNTKDTPITKESDFLKISMQLALDRALHAEANTYKLGTKCRNEHEKAAWTDCLELYEYVIDWLNQTTTSTCSKFDAQTWLSTALTNLETCRTGFIELGVPDYVLPLMQNNVSKLISNSLAINKVPYTEPSYQGGFPTWVKPGDRKLLQSSSPASQANIVVAQDGSGNYKTIAEAVAAASKRSGTGRYIIYVKAGTYKENIEIGKKLKNIMFVGDGIGKTIVTGSRSVGGGSTTFKSATFAAVGDGFIARDMTFRNTAGPQNHQAVAVRSGSDLSVFYRCSFEGYQDTLYVHSQRQFYRECDIYGTVDFIFGNAAVVLQNCNIYARNPPNKTNTLTAQGRTDPNQNTGISIHNSRVTAASDLKPVQSSVRTYLGRPWKQYSRTVFMKTFLDSLINPAGWMEWSGNFALSTLYYGEYMNTGPGSSTANRVNWKGYHVITSASEASKFTVQNFISGGSWLPGTNVPFTSGL >Manes.11G149300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31356254:31360049:1 gene:Manes.11G149300.v8.1 transcript:Manes.11G149300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNAVSSASILCSPKQGSLRRRVNHQHNQRLNYGQSVRRFTVRANAKDIAFDQNSRTALQSGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIEKTVHGLIEELEKKARAVKGRDDIKAVATISAGNDELIGTMIADAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKLICEFENARVLITDQKISAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGILNVAAIKAPGFGERRKAMLQDIAILTGAEFQASDLGLLIENTSVEQLGLARKVTITKDSTTIIADVASKDELQARIAQLKKELSETDSVYDTQKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGTALVHLSTHVPAIKDKIEDAEERLGADIVQKALVAPASLIAQNAGIEGEVVVEKIKTSEWEIGYNAMTDKYENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPAAAPPQGLTV >Manes.01G134100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32731318:32733944:1 gene:Manes.01G134100.v8.1 transcript:Manes.01G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKKPVSYFENRNNKIYMDLKDIIRENTLRYLPAKSLRRCTSVCRDWKLYISTPFFAHNQSNSFQDLSGFFCQSHLSLPSFLSLEPVAYGVPDPSLKFLPEPVDIRCASNGLLCCQGRTEYKAYYICSPVTQQWKKLPKPDANHGSEPALVLVFEPSLLNFVADYKLICAFQSDLDGLEFDIYSSAEGCWRTSGEICFGNRQIVPCTGVYVDGIVYWQGRSAIIAFDLTSERSTLLYSYSYGSLGKVNGKLCSASIHGSKLTIAELSNAYANTMQMHSKTKAWSVKNVTLDNSVFAGPTDQENVLCIKGEIVVIRLGRTLFSYNMKTTDIKQLATEADYHPRMIPYVNSLVEA >Manes.01G134100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32731101:32734153:1 gene:Manes.01G134100.v8.1 transcript:Manes.01G134100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKKPVSYFENRNNKIYMDLKDIIRENTLRYLPAKSLRRCTSVCRDWKLYISTPFFAHNQSNSFQDLSGFFCQSHLSLPSFLSLEPVAYGVPDPSLKFLPEPVDIRCASNGLLCCQGRTEYKAYYICSPVTQQWKKLPKPDANHGSEPALVLVFEPSLLNFVADYKLICAFQSDLDGLEFDIYSSAEGCWRTSGEICFGNRQIVPCTGVYVDGIVYWQGRSAIIAFDLTSERSTLLYSYSYGSLGKVNGKLCSASIHGSKLTIAELSNAYANTMQMHSKTKAWSVKNVTLDNSVFAGPTDQENVLCIKGEIVVIRLGRTLFSYNMKTTDIKQLATEADYHPRMIPYVNSLVEV >Manes.01G134100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32731139:32734154:1 gene:Manes.01G134100.v8.1 transcript:Manes.01G134100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKKPVSYFENRNNKIYMDLKDIIRENTLRYLPAKSLRRCTSVCRDWKLYISTPFFAHNQSNSFQDLSGFFCQSHLSLPSFLSLEPVAYGVPDPSLKFLPEPVDIRCASNGLLCCQGRTEYKAYYICSPVTQQWKKLPKPDANHGSEPALVLVFEPSLLNFVADYKLICAFQSDLDGLEFDIYSSAEGCWRTSGEICFGNRQIVPCTGVYVDGIVYWQGRSAIIAFDLTSERSTLLYSYSYGSLGKVNGKLCSASIHGSKLTIAELSNAYANTMQMHSKTKAWSVKNVTLDNSVFAGPTDQENVLCIKGEIVVIRLGRTLFSYNMKTTDIKQLATEADYHPRMIPYVNSLVEV >Manes.18G144945.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21752904:21754395:1 gene:Manes.18G144945.v8.1 transcript:Manes.18G144945.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.02G164800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12900754:12906475:-1 gene:Manes.02G164800.v8.1 transcript:Manes.02G164800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGSVWFCLLFCSLIAAISAGESEEKEFVLTLDHSNFTDTVSKHDFIVVEFYAPWCGHCKKLAPEYEKAASILHSHDPPIVLAKIDANEEVNKDLATQYEIKGFPTLKILRNGGKSIQEYKGPREADGIVEYLKKQSGPASSEIKSREDASSFIGDKKIFIVGLFPKFSGQEFENFMAVADKLRSDYEFGHTLDAKHLPSGDSSVTGPLVRLFKPFDELFVDFQDFNVDALEKFVEESSLPIVTIFNTDPSNHPYVIKFFNSPDAKAMLFTNFSSEASDSFKIKYHETAQQYRGNGMLFLLGDVEASQGAFQYFGLKEDQVPLIVIQTNDGQKYLKANLEPDHIAPWVKEYKEGKLLPYKKSEPIPEVNNEPVKVVVADSLQDMVLNSGKNVLLEFYAPWCGHCKNLAPILEEVAISYQNDPHVVIAKFDATANDVPNDTFDVKGYPTVYFRSASGNVVQYDGNRTKEDIIDFIEKNRSKDNISQEESEKEQAKDEL >Manes.11G052110.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5761020:5762388:-1 gene:Manes.11G052110.v8.1 transcript:Manes.11G052110.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPGLPDDVARECLVRLMYRQFSTVLSVSKGWRTQLESPEFYRRRKDTSTSQKLVVMAQARVDPNEIFKVVKYPLIPVHRLTLLEANTGDRCELPPIPEFSDGLPLFCQVVSVGSDIVVLGGLDPATWEVSGSVFVFDFVSATWRRGSDMPGVRRSFFGCASDSDRMVYVAGGHDSDKNALRSAMAYDVAKDDWIQLPDMARERDECKAIFHGGKLHVIGGYSTEMQGRFERDAEVLDLATWTWNHIQQFLESTTCPKTCTSGDDGIYMCQGEYVVALKGTTWQVVYMLPCDVDNVAYLAKWQDKLLVIGSAGFGEPHVAYVLDLNKYRWTKMETPRQYSGHVQSGCYLEI >Manes.15G114600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9103177:9109580:1 gene:Manes.15G114600.v8.1 transcript:Manes.15G114600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFKGKLYQGFTQPEEPSTASHTKRNPSSDNSNSEHSPSAQEFSKDNPKKDNNLPLLSPHKRDSIMRRSVETQSYYVLGHKTANIRDLFTLGRKLGQGQFGTTYLCIENSTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFESDPWPLISDSAKDLIRKMLCSQPSQRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRRYGSTMKDTEIRELMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGAY >Manes.15G114600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9103170:9109624:1 gene:Manes.15G114600.v8.1 transcript:Manes.15G114600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSFKGKLYQGFTQPEEPSTASHTKRNPSSDNSNSEHSPSAQEFSKDNPKKDNNLPLLSPHKRDSIMRRSVETQSYYVLGHKTANIRDLFTLGRKLGQGQFGTTYLCIENSTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFESDPWPLISDSAKDLIRKMLCSQPSQRLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRRYGSTMKDTEIRELMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGAY >Manes.05G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2617452:2620292:-1 gene:Manes.05G030900.v8.1 transcript:Manes.05G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMDCEQKILISELNQGKELAERLRKHLNSISSPESRQFLVEQILSSYEKALSMLNWGAPAVEITPTITTLESPCYYANCSPGSDVSDQDCKDQCHKNIYKKRKTEPRWTEQVKVISGTGLEGPVDDGYNWRKYGQKDILGANFPRGYYRCTHRHSQGCLATKQVQRSDQDPTIFEVTYRGRHTCFQTSRFAIASTSLINAKSKLDKEGCQLEEQEQKSKLLKELSFNFTEELKSQEWNTEDDIFHLFSFPETTIGSGDEENDIFKESMLENNILGSLSTAFISPATSDSSYLAMSPCHMNNLGIDHNVRTPESNSASTSGTNSPIGDWDLLDNVDFDTNFPLDNPELLA >Manes.01G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31049861:31051138:-1 gene:Manes.01G112200.v8.1 transcript:Manes.01G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHKFKLLATQCALAGSPTQSPTTSPVIHLRRRKTLRMLLSRSADHHRRRLSRHDDQPELQKDPPENKRVRRKLKDLFVSSSPPFEDEEKDHPEDADGEVELLPVTDSRDGSGDGTAGSFGVAGFAAGRVGGGSLRPVSGTFRYRLRRRAWRPVLLPCVGGQGC >Manes.03G172750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29732267:29735438:-1 gene:Manes.03G172750.v8.1 transcript:Manes.03G172750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDKTVAKCPEALQSPHSGTNSAVKDGFLAKHFGSVHPSSVTVNLGSAGVIAYSLDKQNPLLPRLFAVVDDVFCLFQGHIENVAVLKQQYGLNKTANEVIIVIEAYRTLRDRGPYPADQVVKDIEGKFAFILYDSASKTTFIAADADGSVPFFWGADSEDNLVLSDDVQILQHCCGKSFAPFPKGCFFTTSGGLRSFEHPLNEMKPVPRVDSSGQICGATFKVDAETKKEGGMPRVGSAFDWSSNY >Manes.15G062600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4766427:4766606:1 gene:Manes.15G062600.v8.1 transcript:Manes.15G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYVAFFCILLVCFSGVFSNLVIGVSSPGNRRQISGSSKDASVAVRSVGVWFSFACWTR >Manes.07G076500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:17865345:17866700:-1 gene:Manes.07G076500.v8.1 transcript:Manes.07G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNPCFSFFLLFTIIFQVAAGDHHPVIGSQREALEIVIGDGGDTPALPHEYEDCAPPPPPPELCTPPPPPPCLPPNIERDYNATQKFKEAIKTDPKGVTKSWNRADRNVCNFEGFTCAERPDTGVLSVAAANFNGYNLGGPNFQLKDFLDQLLDLSIFHANSNNFAGILPNEIGTQNLNFLFELDLSNNKYTGGFPISVLSATNLTFLDIRYNSFSGPVPGEVFNLDLDVLLLNNNQFSQQLPDNIGSTPALYLTFANNYFTGPIPSSIGSAKYLREVLFLNNNFTGCLPYEIGFLNESTLLDVSRNNLTGPIPHSFACLAKIQILNLASNEFYGPVPEMVCKLPYLQNLSLSGNYFTQVGPVCRKLIELKTLDVSNNCILDLPNQKSAKMCKEFFSEREKCPNEKSLTIVPCMENYRSTMTMFDQKPTFVAATPPISYDALSPRNSFRF >Manes.18G089400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8226131:8231393:-1 gene:Manes.18G089400.v8.1 transcript:Manes.18G089400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCQTCGDGGFPEALIFCQECQAYAVHRYCLHVLPATFDEYVVWLCEDCGPEVEKSSFLGKFSRDRENDSGNFGSVKVKKRNRVHKWKKKSKRKVYPCTLTKSRVLDEKDQEIVREENSEKDQEIVRQNRMDESGFDEVVESHGSESSQLDVHDCQLLEMNCRKDGKKDDRHGRQHHPNEGNFHEEAERLKTQNSPVAIPLEVICSEHVEIDQKVGRWNGLHEECFSEEAARLNGSGFNEGSESPETKNTRLDRCDSHPLDVDPLKDGENNCILGRQNNLDEGSSCEEAEPCITNDRQLVVSYSPNIVVHAQPIKDPIWRGSLSLVEKKFGTVSGLVAHVSSIACAKVIEEAKSLPALLSPELLPRAAVWPKGFAKSGPNDNSIALYFFPDSER >Manes.18G089400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8226131:8231393:-1 gene:Manes.18G089400.v8.1 transcript:Manes.18G089400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCQTCGDGGFPEALIFCQECQAYAVHRYCLHVLPATFDEYVVWLCEDCGPEVEKSSFLGKFSRDRENDSGNFGSVKVKKRNRVHKWKKKSKRKVYPCTLTKSRVLDEKDQEIVREENSEKDQEIVRQNRMDESGFDEVVESHGSESSQLDVHDCQLLEMNCRKDGKKDDRHGRQHHPNEGNFHEEAERLKTQNSPVAIPLEVICSEHVEIDQKVGRWNGLHEECFSEEAARLNGSGFNEGSESPETKNTRLDRCDSHPLDVDPLKDGENNCILGRQNNLDEGSSCEEAEPCITNDRQLVVSYSPNIVVHAQPIKDPIWRGSLSLVEKKFGTVSGLVAHVSSIACAKVIEEAKSLPALLSPELLPRAAVWPKGFAKSGPNDNSIALYFFPDSERNEKVFDSLVNDMISKELAMRVDVQNAELLIFTSRILPMEFWRFQAKFYLWGVFKGKQTSKAAVNAISGEEKGPMKALAWDRRSPVSPLSDGSYGCGSM >Manes.18G089400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8226131:8231393:-1 gene:Manes.18G089400.v8.1 transcript:Manes.18G089400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCQTCGDGGFPEALIFCQECQAYAVHRYCLHVLPATFDEYVVWLCEDCGPEVEKSSFLGKFSRDRENDSGNFGSVKVKKRNRVHKWKKKSKRKVYPCTLTKSRVLDEKDQEIVREENSEKDQEIVRQNRMDESGFDEVVESHGSESSQLDVHDCQLLEMNCRKDGKKDDRHGRQHHPNEGNFHEEAERLKTQNSPVAIPLEVICSEHVEIDQKVGRWNGLHEECFSEEAARLNGSGFNEGSESPETKNTRLDRCDSHPLDVDPLKDGENNCILGRQNNLDEGSSCEEAEPCITNDRQLVVSYSPNIVVHAQPIKDPIWRGSLSLVEKKFGTVSGLVAHVSSIACAKVIEEAKSLPALLSPELLPRAAVWPKGFAKSGPNDNSIALYFFPDSERNEKVFDSLVNDMISKELAMRVDVQNAELLIFTSRILPMEFWRFQAKFYLWGVFKGKQTSKAAVNAISGEEKGPMKALAWDRRSPVSPLSDGSYGCGSM >Manes.18G089400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8226131:8231393:-1 gene:Manes.18G089400.v8.1 transcript:Manes.18G089400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPCQTCGDGGFPEALIFCQECQAYAVHRYCLHVLPATFDEYVVWLCEDCGPEVEKSSFLGKFSRDRENDSGNFGSVKVKKRNRVHKWKKKSKRKVYPCTLTKSRVLDEKDQEIVREENSEKDQEIVRQNRMDESGFDEVVESHGSESSQLDVHDCQLLEMNCRKDGKKDDRHGRQHHPNEGNFHEEAERLKTQNSPVAIPLEVICSEHVEIDQKVGRWNGLHEECFSEEAARLNGSGFNEGSESPETKNTRLDRCDSHPLDVDPLKDGENNCILGRQNNLDEGSSCEEAEPCITNDRQLVVSYSPNIVVHAQPIKDPIWRGSLSLVEKKFGTVSGLVAHVSSIACAKVIEEAKSLPALLSPELLPRAAVWPKGFAKSGPNDNSIALYFFPDSERNEKVFDSLVNDMISKELAMRVDVQNAELLIFTSRILPMEFWRFQAKFYLWGVFKGKQTSKAAVNAISGEEKGPMKALAWDRRSPVSPLSDGSYGCGSM >Manes.09G008501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1925778:1926272:-1 gene:Manes.09G008501.v8.1 transcript:Manes.09G008501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQKEQEVFICQTKYAKEILKKFRMDECKFATTAMGKNEKVDKEDVVEKVDETLYRSLVSCLIYLTTTRPDILYYVSMLSRFTNCATKTHFIAAKRVSRHVRGTLDYGIKFSASQDCVLLGYSDSDHGGSDDMKNTSCYCFNLESGMFSWYCKKQEVVANHL >Manes.04G116800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31892798:31895421:1 gene:Manes.04G116800.v8.1 transcript:Manes.04G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHRSIGSKSFRQSSERTELYRPITPLVSAEDAPKTPLESAEEVKQWEEARCPICMEHPHNAVLLRCSSYDKGCRPYMCNTSYRHANCLDQFCKSSVSSPSTLTIQGNLSARSNLNRTAQSNAYGSESQHKLSCPMCRGEIFGWFVVEAARNFMNSKVRSCSTETCDFSGNYLELRQHARSDHPAVRPSEVDPERQHDWTRLQRESEFSDMMSMIRAMTGLGGGEEGDQTRLEYESDYLGMFSLFRAMNGEGEIELELHTPADSLQSLHSSIISIVEVIQSTMAAMSGLHYALENLRINRQYNSLGWSNNYRQGNFGRSNSSIQNNTGGQISNGFRSNFRDRSNYRQLNYNNSLNSRENYSRRGNLTERMRSNRTNNIRRGWDQQRYNLRRDRWNQQRWTGQ >Manes.13G093521.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26055337:26065715:-1 gene:Manes.13G093521.v8.1 transcript:Manes.13G093521.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHIWKDRNSQIFRNSQSHFNEVILAAIKHHEEFLEASSSVITDPPLFTFSREWAPPPYPILKLNVDVATEALNNRGASAVIVRNYLGNLLDWSCRLWQGISDPLILEVLTVREVLSLIRNKSWTNCIVEGTLLQSLIFV >Manes.02G188600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15105297:15108733:-1 gene:Manes.02G188600.v8.1 transcript:Manes.02G188600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKNTVDSISAATKTTTLPCSIPSLFLTFTVFSFITLSFFLLFSNPNPSIPNLQNTLQAPQNPIKVYLADLPRSFNYGLLDQYWSSSVPDSRISYDPDHSQIYGYKSSKFPPYPENPLIKQYSAEYWLMGDLMTPEHLRIQSFAKRVVDVDQADVVFVPFFATLSAEMELGRGKGTFRRKEGNEDYKRQKEVIELVKNSDAWKRSGGRDHVFVLTDPVAMWHVRAEIAPSILLVVDFGGWYRLDSKSSNGSSSNMIQHTQVSLLKDIIVPYTHLLPRLHLAENERRKTLLYFKGAKHRHRGGVVRENMWDLLVNEPGVIMEEGFPNATGREQSIRGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMVDYSEFSVFVAVNDALKPSWLVNHLKSISEKQRNEYRQKMAKVQPLFIYDNGHPGGIGPIPPDGAVNHIWKKVQQKLPMIKETIVREKRKPAGVSIPLRCHCT >Manes.02G215505.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:36090935:36091565:1 gene:Manes.02G215505.v8.1 transcript:Manes.02G215505.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDLSALDITDKYKGTDKVTIGNGFGLSIAHVGKRLVPTSTAHIKLNNILHVPSLQHQLLSVYRFAKDNACSFEFDSDGFVVKHKPSKQVLFQGPAEDGVYKLVLPSHESRKQAFYSARSTTPDWH >Manes.02G185300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14817626:14819983:-1 gene:Manes.02G185300.v8.1 transcript:Manes.02G185300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTARDYNIEGGSVLHLVLALRGGSI >Manes.03G072500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11760647:11762202:-1 gene:Manes.03G072500.v8.1 transcript:Manes.03G072500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDYLLKEERLSGASLLILANKQDLKGALTPDEIAKVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.14G083600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6931445:6931744:1 gene:Manes.14G083600.v8.1 transcript:Manes.14G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYAISRTEVLSLYRSLLRTARQFSDYNIREYTKRRTDDAFRENRNLSDSSSISAAFSDGKAQLDIAKRQAIVYSLYTTKIKSVMETNSYSSSSSSS >Manes.01G070000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27140372:27144228:-1 gene:Manes.01G070000.v8.1 transcript:Manes.01G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLAFSLTSPRVSSAPLRKPFSSLSSSPCSSSSRVQSIQLIGRHFSVRRRIFLLPIKATADQQGQVEENEVVDSKILQYCSIDKKDKKSIGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGKPILSDEEFDNLKLRLKQEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEISYLLELPEPFSFIFTWFAAVPLIVWLSQSFTNAIVKDFLILKGPCPNCGTENQSFFGTILSVSSGGTTNNVKCSNCGTDMVYDSKTRLITLPEGSSA >Manes.15G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:588387:590980:-1 gene:Manes.15G005400.v8.1 transcript:Manes.15G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDKEQKILLYACRNCDHQEIAENNCVYRNEVHHSVAERTQVLQDVAADPTLPRTKSVKCSMCNHQEAVFFQATARGEEGMTLFFVCCNPNCGNRWRD >Manes.17G010500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4128798:4135822:1 gene:Manes.17G010500.v8.1 transcript:Manes.17G010500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTVKVSNVSIGATERDLKEFFSFSGDIEYVEVHRCSDNEQSQVAYVTFKDSQGAETAVLLSGATIVDLAVTITPDPDYELPPAALAPPPTETPGGAESAFRKAEDVVTSMLAKGYILGKDAVNKAKTLDEKHQLTSTASFKVASLDKKIGLTEKISVGTTIVGDKVREVDQKFQVSEKTKSAFAVAEQKVSTAGSAIMKNRYIFTSAAWVTGAFNKVAKAAGDVGSKAKEKVEIAEEEQKRKVVDEFAQVHLSESPKAPAEREEPPSKPAPVQGLIL >Manes.09G093100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28303925:28306788:1 gene:Manes.09G093100.v8.1 transcript:Manes.09G093100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTSHMEGLLKVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVALLGR >Manes.09G093100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28303925:28306788:1 gene:Manes.09G093100.v8.1 transcript:Manes.09G093100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTSHMEGLLKVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVALLGR >Manes.09G093100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28305162:28306829:1 gene:Manes.09G093100.v8.1 transcript:Manes.09G093100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTSHMEGLLKVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVALLGR >Manes.09G093100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28303925:28306906:1 gene:Manes.09G093100.v8.1 transcript:Manes.09G093100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTSHMEGLLKVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVALLGR >Manes.09G093100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28303925:28307114:1 gene:Manes.09G093100.v8.1 transcript:Manes.09G093100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDYGSAGRMDTNDSLRVASLWHSMHAISQQLSPTVGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTSHMEGLLKVIYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVALLGR >Manes.05G138900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964425:12970554:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSWILFPVRFLLGLPLRLCHLFYSRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964425:12970427:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964060:12970554:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964060:12970428:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964425:12970427:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVDNVLAVTKESVKTFTFEALHTIVRLINGVSAFLLTILPGKANILEGIHGWELRPTVRGPRYPRWMENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGYWTGWPMFLLSWILFPVRFLLGLPLRLCHLFYSRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964060:12970428:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVDNVLAVTKESVKTFTFEALHTIVRLINGVSAFLLTILPGKANILEGIHGWELRPTVRGPRYPRWMENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964425:12970427:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSWILFPVRFLLGLPLRLCHLFYSRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964425:12970427:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSWILFPVRFLLGLPLRLCHLFYSRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964060:12970554:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVDNVLAVTKESVKTFTFEALHTIVRLINGVSAFLLTILPGKANILEGIHGWELRPTVRGPRYPRWMENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964425:12970427:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964425:12970427:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGYWTGWPMFLLSWILFPVRFLLGLPLRLCHLFYSRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.05G138900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12964425:12970427:-1 gene:Manes.05G138900.v8.1 transcript:Manes.05G138900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKFIHELSVDSDTSSEDYSSAEEDIDGLCPASPLSQNSQFSHANSFTKNTGRDSATSSVRGRRKPSRLCSFARVHRTTDRRRGVIEDLHLAIEMFIESIFDFFHKAAHFLLSPSEVKRVLYRWFSSQSRGNEDTYGLSDACVPTATLGENDPTLTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSRDHVDKNISSRKYWRYSVNEHGVEDIPAMIEKIHQVKTAELKISQPELEEERNGDQPYKLCAISHSLGGAAMLMYVITRHIEEKPHRLSRLILLSPAGFHHDSPLPLTAMEYLVLLLAPVLGPIVPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVVHHLAQIKHEKKFIMYDYGSASANMALYGSPKPLDLGEYYGVIDIPVDLVAGKKDNVIRPTMVQEHQRLMKDAGVDVSYKEYEYAHLDFTFSHHEELLAYVMSRLLLVQAAQKQPCNQKASRSTEKAK >Manes.12G051500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4846879:4852601:-1 gene:Manes.12G051500.v8.1 transcript:Manes.12G051500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRLTILCSHLRPIPLPATPLSPSNCLSDDKGILQNDCVFCKIIRGESPAFKLYEDDTCLCILDTNPLSYGHSLIIPKSHFSSLEATPPSVVAAMCSKVPFIGNAIMKATGSDSFNLLVNNGAAAGQVIFHQSKMDIYANFSMEILDAHPYNPSKGARLLMDH >Manes.12G051500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4846879:4852595:-1 gene:Manes.12G051500.v8.1 transcript:Manes.12G051500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRLTILCSHLRPIPLPATPLSPSNCLSDDKGILQNDCVFCKIIRGESPAFKLYEDDTCLCILDTNPLSYGHSLIIPKSHFSSLEATPPSVVAAMCSKVPFIGNAIMKATGSDSFNLLVNNGAAAGQVIFHTHIHIIPRKARDCLWTTESLQRHPLDLDQRASQLVNRVREQLSSNSENGKDQESSLPRN >Manes.16G045800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8148290:8158950:-1 gene:Manes.16G045800.v8.1 transcript:Manes.16G045800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRFEAKEQQANFEQLSILSRHYNRAYWELFLTSDANVDKQSAVTSNTAPMSEYHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIVHVPIRFRNFNLNDSQWFVKKDDKGVDLLASLCNKYDASEDELRKIMEKTNNLIADILKKTPCLASECKNENLDNINTDGLIFYEDLMEESSLQSSLAILEKDYEDAIRAKGELDERVFINEKDGSLGSGSPSVGATNVTGAKRKFDFFSSPTKTITSPLSPFRSPASNGILGSTNSKMAATPVSTAMTTAKWLRTVISPLPSKPSLQLERFLASCDRDVTNDVIRRAQIILEAIFPSSSSGERCVTGSLQSTNLMDNIWAEQRRLEALKLYYRVLEAMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTIARPSLSAEINRLGLLAEPMPSLDAIAMHINFSSGGLPPVPSHKPELSPGQNGDTRSPKRLCTDFRSALVERNSFTSPVKDRLLAFNNLKSKLLPPPLQSAFASPTRPNPVGGGETCAETGINIFFSKINKLAAVRINGMVERLQQSQQHVRENVYRLFQQVLSQQTFLFFNRHIDQIILCCFYGIAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWASARHNGRTGQDHVDIITFYNEIFIPAAKPLLVEVGSAGTITKASQVPDANNIHKDGQCPTSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALNSHSSKSYYACVGESTHAYQSPSKDLTAINNRLNSNRKIRGTLNFDDVDVGLVSDTMVANSLHLQNGSSASTSGAPLKTEQPDS >Manes.16G045800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8148956:8158918:-1 gene:Manes.16G045800.v8.1 transcript:Manes.16G045800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRFEAKEQQANFEQLSILSRHYNRAYWELFLTSDANVDKQSAVTSNTAPMSEYHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIVHVPIRFRNFNLNDSQWFVKKDDKGVDLLASLCNKYDASEDELRKIMEKTNNLIADILKKTPCLASECKNENLDNINTDGLIFYEDLMEESSLQSSLAILEKDYEDAIRAKGELDERVFINEKDGSLGSGSPSVGATNVTGAKRKFDFFSSPTKTITSPLSPFRSPASNGILGSTNSKMAATPVSTAMTTAKWLRTVISPLPSKPSLQLERFLASCDRDVTNDVIRRAQIILEAIFPSSSSGERCVTGSLQSTNLMDNIWAEQRRLEALKLYYRVLEAMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTIARPSLSAEINRLGLLAEPMPSLDAIAMHINFSSGGLPPVPSHKPELSPGQNGDTRSPKRLCTDFRSALVERNSFTSPVKDRLLAFNNLKSKLLPPPLQSAFASPTRPNPVGGGETCAETGINIFFSKINKLAAVRINGMVERLQQSQQHISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWASARHNGRTGQDHVDIITFYNEIFIPAAKPLLVEVGSAGTITKASQVPDANNIHKDGQCPTSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALNSHSSKSYYACVGESTHAYQSPSKDLTAINNRLNSNRKIRGTLNFDDVDVGLVSDTMVANSLHLQNGSSASTSGAPLKTEQPDS >Manes.16G045800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8148956:8158918:-1 gene:Manes.16G045800.v8.1 transcript:Manes.16G045800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRFEAKEQQANFEQLSILSRHYNRAYWELFLTSDANVDKQSAVTSNTAPMSEYHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIVHVPIRFRNFNLNDSQWFVKKDDKGVDLLASLCNKYDASEDELRKIMEKTNNLIADILKKTPCLASECKNENLDNINTDGLIFYEDLMEESSLQSSLAILEKDYEDAIRAKGELDERVFINEKDGSLGSGSPSVGATNVTGAKRKFDFFSSPTKTITSPLSPFRSPASNGILGSTNSKMAATPVSTAMTTAKWLRTVISPLPSKPSLQLERFLASCDRDVTNDVIRRAQIILEAIFPSSSSGERCVTGSLQSTNLMDNIWAEQRRLEALKLYYRVLEAMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTIARPSLSAEINRLGLLAEPMPSLDAIAMHINFSSGGLPPVPSHKPELSPGQNGDTRSPKRLCTDFRSALVERNSFTSPVKDRLLAFNNLKSKLLPPPLQSAFASPTRPNPVGGGETCAETGINIFFSKINKLAAVRINGMVERLQQSQQHVRENVYRLFQQVLSQQTFLFFNRHIDQIILCCFYGIAKISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWASARHNGRTGQDHVDIITFYNEIFIPAAKPLLVEVGSAGTITKASQVPDANNIHKDGQCPTSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALNSHSSKSYYACVGESTHAYQSPSKDLTAINNRLNSNRKIRGTLNFDDVDVGLVSDTMVANSLHLQNGSSASTSGAPLKTEQPDS >Manes.16G045800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8148956:8158918:-1 gene:Manes.16G045800.v8.1 transcript:Manes.16G045800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGADWESRFEAKEQQANFEQLSILSRHYNRAYWELFLTSDANVDKQSAVTSNTAPMSEYHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAILIVHVPIRFRNFNLNDSQWFVKKDDKGVDLLASLCNKYDASEDELRKIMEKTNNLIADILKKTPCLASECKNENLDNINTDGLIFYEDLMEESSLQSSLAILEKDYEDAIRAKGELDERVFINEKDGSLGSGSPSVGATNVTGAKRKFDFFSSPTKTITSPLSPFRSPASNGILGSTNSKMAATPVSTAMTTAKWLRTVISPLPSKPSLQLERFLASCDRDVTNDVIRRAQIILEAIFPSSSSGERCVTGSLQSTNLMDNIWAEQRRLEALKLYYRVLEAMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHNTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTIARPSLSAEINRLGLLAEPMPSLDAIAMHINFSSGGLPPVPSHKPELSPGQNGDTRSPKRLCTDFRSALVERNSFTSPVKDRLLAFNNLKSKLLPPPLQSAFASPTRPNPVGGGETCAETGINIFFSKINKLAAVRINGMVERLQQSQQHISKVNLTFREIIYNYRKQPQCKPQVFCSVFVDWASARHNGRTGQDHVDIITFYNEIFIPAAKPLLVEVGSAGTITKASQVPDANNIHKDGQCPTSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALNSHSSKSYYACVGESTHAYQSPSKDLTAINNRLNSNRKIRGTLNFDDVDVGLVSDTMVANSLHLQNGSSASTSGAPLKTEQPDS >Manes.04G108000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31228232:31231287:1 gene:Manes.04G108000.v8.1 transcript:Manes.04G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRNKSNGHSVCTCRMPPPLMSTPSASSSSSSSSSSSFASSTAASFTSRCSSSSSTSATLFARATSPTRVNMYSHHHRQNNHQASSSLRFSIHRPISPSRSISLRRHPSNANAVSNQKRTCACSPTTHPGSFRCAFHKALMRNNGFNHHSSSAHSVSVSYHSSSRLNFRRSAMTNSLVRIGGVEGELVKRALSALIHPSSHHLRRRGAFEMRPSRLSVMSKAEHL >Manes.10G123800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28881751:28887615:-1 gene:Manes.10G123800.v8.1 transcript:Manes.10G123800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKDSSNVRNFMYSGKHALLPPKIPFPSVTPSYVDYVPSGVIGSKAVQRPRDGNSHHQRTSSETLLIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYVDVANASNMDYAAQDEYRYKNMMSIPSWASQDFDFYKDARQASQSLYADINMTKQKNRAWDSSLNVATRTSGLPSGREITVSQSLGSSGAPQEADGVPTSVHEKQDSAESGQHDSRNSSEKKDNSHAKSSASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAEVEFINQQNLILSMENKALKQRLESLAQEQIIKYLEHEVLEREIGRLRTLYQQQQQQPQTQQQSSSSHRRTNSRELESQFSNLSLKHKDANSGRDPVTGPVRT >Manes.10G123800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28881751:28887615:-1 gene:Manes.10G123800.v8.1 transcript:Manes.10G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKDSSNVRNFMYSGKHALLPPKIPFPSVTPSYVDYVPSGVIGSKAVQRPRDGNSHHQRTSSETLLIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYVDVANASNMDYAAQDEYRYKNMMSIPSWASQDFDFYKDARQASQSLYADINMTKQKNRAWDSSLNVATRTSGLPSGREITVSQSLGSSGAPQEADGVPTSVHEKQDSAESGQHDSRNSSEKKDNSHAKSSASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAEVEFINQQNLILSMENKALKQRLESLAQEQIIKYLEHEVLEREIGRLRTLYQQQQQQPQTQQQSSSSHRRTNSRELESQFSNLSLKHKDANSGRDPVTGPVRT >Manes.10G123800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28882053:28887573:-1 gene:Manes.10G123800.v8.1 transcript:Manes.10G123800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKDSSNVRNFMYSGKHALLPPKIPFPSVTPSYVDYVPSGVIGSKAVQRPRDGNSHHQRTSSETLLIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYVDVANASNMDYAAQDEYRYKNMMSIPSWASQDFDFYKDARQASQSLYADINMTKQKNRAWDSSLNVATRTSGLPSGREITVSQSLGSSGAPQEADGVPTSVHEKQDSAESGQHDSRNSSEKKDNSHAKSSASETDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAKGSEVSAEVEFINQQNLILSMENKALKQRLESLAQEQIIKYLEHEVLEREIGRLRTLYQQQQQQPQTQQQSSSSHRRTNSRELESQFSNLSLKHKDANSGRDPVTGPVRT >Manes.02G060200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4683368:4690419:-1 gene:Manes.02G060200.v8.1 transcript:Manes.02G060200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGKMVFKSKMKWVGLVGLVLSAFSLFVHFLLARFTEEGIAEYQSSITIFSWRPVFENAELSKTSPLYRRLWGPVRRFESLHPDANPRGHYADPIPLSNGYIFVRIQGGFREIRNSICDVVVVARLLNATLVIPELQSTTSSKGISSEFKSFAYLYNEDQFTAALAKDIKVVKTLPKDLKGARRKKEIPSFRVSHSASPYFYLHHVLPVLNKHSVVELVVSDGGCLQAMLPPHLEEYQRLRCRVAYHALRFREEVQELTTKILNRLRAPGRPFIAFDPGMTRDALAYYGCAELFQDVHTELIQHKRAWMIKRRIVKGKLSVNSVEQRHNGSCPLMPEEVGILLRAYGYPWDTIIYVSGGEVFGGQRTLIPLHAMFENVVDRTSLSTVWELSRIYGREVNLVDKYRKPPPSAVEEKKLESWKTAGPRPRPLPPPPARPKYPYNIEGWWGWVAESDNEPESTVIELRTNAHKLLWDAIDYVICVEADVFIPGFDHDGKGHPNFASLVMGHRLYQSASSKTFRPDRKEIAKLLEETREHLYQANHTWLTSIRRHLRRSLVDGAIEASAKLKPFSFLFHPVPECSCSRSNITETSAHTSRPSSDTQVDAVLGVTHHCPRWMDGETISRLKDKETEEVLDEDVSSFGLFFKNGVGSHESGGGEINKEENQLEDQEELEGVE >Manes.02G060200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4683767:4690319:-1 gene:Manes.02G060200.v8.1 transcript:Manes.02G060200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEGKMVFKSKMKWVGLVGLVLSAFSLFVHFLLARFTEEGIAEYQSSITIFSWRPVFENAELSKTSPLYRRLWGPVRRFESLHPDANPRGHYADPIPLSNGYIFVRIQGGFREIRNSICDVVVVARLLNATLVIPELQSTTSSKGISSEFKSFAYLYNEDQFTAALAKDIKVVKTLPKDLKGARRKKEIPSFRVSHSASPYFYLHHVLPVLNKHSVVELVVSDGGCLQAMLPPHLEEYQRLRCRVAYHALRFREEVQELTTKILNRLRAPGRPFIAFDPGMTRDALAYYGCAELFQDVHTELIQHKRAWMIKRRIVKGKLSVNSVEQRHNGSCPLMPEEVGILLRAYGYPWDTIIYVSGGEVFGGQRTLIPLHAMFENVVDRTSLSTVWELSRIYGREVNLVDKYRKPPPSAVEEKKLESWKTAGPRPRPLPPPPARPKYPYNIEGWWGWVAESDNEPESTVIELRTNAHKLLWDAIDYVICVEADVFIPGFDHDGKGHPNFASLVMGHRLYQSASSKTFRPDRKEIAKLLEETREHLYQANHTWLTSIRRHLRRSLVDGAIEASAKLKPFSFLFHPVPECSCSRSNITETSAHTSRPSSDTQVDAVLGVTHHCPRWMDGETISRLKDKETEEVLDEDVSSFGLFFKNGVGSHESGGGEINKEENQLEDQEELEGVE >Manes.04G041320.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6982802:6983804:1 gene:Manes.04G041320.v8.1 transcript:Manes.04G041320.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSAGYSTNEDVLLCGVYLDVSQDPIIGKQQSSQRFWSRVAEAYEIAKNEFWESRNPRSLQCRLQVIEKAIRKLNGCYQQVENLHPSGASEQDLLNQVKNLLMQDPSYKKGFKFDHVWSMMKDAEKFKDCSSRKNKSDNPTPDLPMVPSSNLSSFSIHLNEDIAIDYTSSDRPLGVKKAKLKKKLDESFSSALKCLHTDNEKLVESLANATAEREKGRLMKSRALDLKEFKEENKILLLDLNSISDPIARETFRQEKIRISEKIAQRQQPPLSSASNIYGQYLNDIAGSGSDLPEY >Manes.12G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7180310:7182869:1 gene:Manes.12G068400.v8.1 transcript:Manes.12G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLTTPILVVILLSLSGITAAARTKLQLITRQDASLLSPNNTHSLCKSMVETQGYICQEHKVTSEDGYILSLQRMPAERSGKLADNPPVLLQHGLFSDGATWLSNSPDESLAFILADNGYDVWIANTRGSRFSRGHTSLTPNDPAYWDWTWDELAAHDLPAMFQYVHQQTGQKLHYVGHSLGTLTALAALSQEKLPNMLRSAALLSPIAYLNQITSLLTKAAADAFLAEDIYWLGLREFAPQGQATSKLLEDICSEPGVNCTNLWTAFTGPNCCLNSSKILDNPSQPTATKNMIHLSQMIRTGTIAMYDYGNEEDNMKHYKQSTPPVYNMKSIPKDFPLFLSYGEKDSLSDPTDVGVLLQNLKDHDGDKLTVLSVENYAHLDFVEGVNANKLVYHPIMAFFKRN >Manes.14G014236.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:97730:98002:-1 gene:Manes.14G014236.v8.1 transcript:Manes.14G014236.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHISKSYLLIDTVVKIWKVLSLTYSKIGNDAQIYDIQNKIHGTKQGEMTISQFYSELYGLWQEFDYYQDFQANCTGDAVKFRRMIEKE >Manes.02G116100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8913888:8918064:-1 gene:Manes.02G116100.v8.1 transcript:Manes.02G116100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSSVRSIDQAIEEIMRIHRSLPTRPGIDEVEAAKTLILNVDKEDQARLESISRQTKSPDVPQELFTILQEMQKNLVYFQSKEQKREAMKLLDLESVHALFDEFIQRASKCLHSTSTLSSSSSSNGSASTVSCSGFSRATAPTSVNKSSITAPSNLYYSEKNPARPAEFFTRDDSYVKKAKSSFYSDGTGVSSMPHIVDSTLKTTVTTTGQDGDKLSLIKLASLIEVSAKKNTRDLNLQNKLMDQIEWLPDSIGKLSTLVSLDLSENRIVALPATIGGLSSLTKLDLHSNRIAELPESIGDLLSLVFLDLRANQLSSLPATFGRLVRLQELDLSSNRLSLLPESIGSLISLKKLNVETNDIEELPHTIGRCSSLRELYADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLSNLKELDVSFNELESVPESLCFATSLVKMNIGNNFADLQSLPRSIGNLENLEELDISNNQIRALPDSFRMLTRLRVLRVEENPLEVPPRHIAEKGAQAVVQYMVELVEKRDVKTQVMKQKKSWAQICFFSRSNKRKRNGMDYVKA >Manes.05G067000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5571290:5573663:1 gene:Manes.05G067000.v8.1 transcript:Manes.05G067000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMGLASEKGVVIFSKSSCCMCYAVKILFQGIGVEPLVYEIDQDTEGREMERALMRLGCSAPVPAVFIGGKHMGSTNEIMSLHLSGTLIQMLKPYRALS >Manes.02G190200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15203782:15217779:1 gene:Manes.02G190200.v8.1 transcript:Manes.02G190200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGFNLMQSLSKENIKHLKEVVLPSEGVQNLISRDMEELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLERYFEKLGSELTPEKQLKEEADMMMLQLMTFVQYTAELYHEMHALDRFEQDYRRKLQEDDNSNVAQRGDSLAILRAELKSQRKHVKSLKKKSLWSRILEEVMEKLVDIVHFLHLEIHQAFGSADDDRPVKGSSCQKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPNVKSAFRSKLQSFHVKEELTVAQIKAEMEKTLQWLVPIAANTTKAHHGFGWVGEWANTGSEVTRKPAGQTDLLRIETLHHADKEKTEAYILELVVWLHHLVSQARASNGGIRSPVKSPIRSPNQKMIQLSTNKSSSPSPMLTIEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPINESRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSL >Manes.02G190200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15196428:15217779:1 gene:Manes.02G190200.v8.1 transcript:Manes.02G190200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRSSTVDNAPSGGFPHVNGHFNASGLVYQSRELKISSNTTTSPVGENVENKQVREPFSFPEVNAVPSYGMSADDIDDGIPRLSRALSNKSRSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGFNLMQSLSKENIKHLKEVVLPSEGVQNLISRDMEELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLERYFEKLGSELTPEKQLKEEADMMMLQLMTFVQYTAELYHEMHALDRFEQDYRRKLQEDDNSNVAQRGDSLAILRAELKSQRKHVKSLKKKSLWSRILEEVMEKLVDIVHFLHLEIHQAFGSADDDRPVKGSSCQKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPNVKSAFRSKLQSFHVKEELTVAQIKAEMEKTLQWLVPIAANTTKAHHGFGWVGEWANTGSEVTRKPAGQTDLLRIETLHHADKEKTEAYILELVVWLHHLVSQARASNGGIRSPVKSPIRSPNQKMIQLSTNKSSSPSPMLTIEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPINESRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSL >Manes.02G190200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15196187:15217779:1 gene:Manes.02G190200.v8.1 transcript:Manes.02G190200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRSSTVDNAPSGGFPHVNGHFNASGLVYQSRELKISSNTTTSPVGENVENKQVREPFSFPEVNAVPSYGMSADDIDDGIPRLSRALSNKSRSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGFNLMQSLSKENIKHLKEVVLPSEGVQNLISRDMEELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLERYFEKLGSELTPEKQLKEEADMMMLQLMTFVQYTAELYHEMHALDRFEQDYRRKLQEDDNSNVAQRGDSLAILRAELKSQRKHVKSLKKKSLWSRILEEVMEKLVDIVHFLHLEIHQAFGSADDDRPVKGSSCQKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPNVKSAFRSKLQSFHVKEELTVAQIKAEMEKTLQWLVPIAANTTKAHHGFGWVGEWANTGSEVTRKPAGQTDLLRIETLHHADKEKTEAYILELVVWLHHLVSQARASNGGIRSPVKSPIRSPNQKMIQLSTNKSSSPSPMLTIEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPINESRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSL >Manes.02G190200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15195773:15217779:1 gene:Manes.02G190200.v8.1 transcript:Manes.02G190200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRSSTVDNAPSGGFPHVNGHFNASGLVYQSRELKISSNTTTSPVGENVENKQVREPFSFPEVNAVPSYGMSADDIDDGIPRLSRALSNKSRSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGFNLMQSLSKENIKHLKEVVLPSEGVQNLISRDMEELLRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLERYFEKLGSELTPEKQLKEEADMMMLQLMTFVQYTAELYHEMHALDRFEQDYRRKLQEDDNSNVAQRGDSLAILRAELKSQRKHVKSLKKKSLWSRILEEVMEKLVDIVHFLHLEIHQAFGSADDDRPVKGSSCQKKLGSAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPNVKSAFRSKLQSFHVKEELTVAQIKAEMEKTLQWLVPIAANTTKAHHGFGWVGEWANTGSEVTRKPAGQTDLLRIETLHHADKEKTEAYILELVVWLHHLVSQARASNGGIRSPVKSPIRSPNQKMIQLSTNKSSSPSPMLTIEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPINESRKDPFPIRRPSSVPVIDFDIDRIKALDVIDRVDTIRSL >Manes.02G102200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7985522:7989084:-1 gene:Manes.02G102200.v8.1 transcript:Manes.02G102200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.02G102200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7984341:7989100:-1 gene:Manes.02G102200.v8.1 transcript:Manes.02G102200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.02G102200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7984341:7989100:-1 gene:Manes.02G102200.v8.1 transcript:Manes.02G102200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.02G102200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7985522:7989084:-1 gene:Manes.02G102200.v8.1 transcript:Manes.02G102200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.11G060300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:9036666:9040160:1 gene:Manes.11G060300.v8.1 transcript:Manes.11G060300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLKGDSIQIREVWNDNLEEEFALIREIVDDYPYIAMDTEFPGIVLRPVGNFRNSNEYHYQTLKDNVDLLKLIQLGLTFSDDQGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEEGVDAMQFSELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCQNLPDTQVGFFNLINMYFPTLYDIKHLMRFSNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKETFFSGSLEKYTGVLYGLGVENE >Manes.09G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29877870:29880410:-1 gene:Manes.09G100400.v8.1 transcript:Manes.09G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGKYNGDSELQLERLNVYYNEASGGRYVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEFPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELSQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMIAVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAYEDYEEEEEEIHDM >Manes.04G103600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30877275:30879699:-1 gene:Manes.04G103600.v8.1 transcript:Manes.04G103600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEGTVAVETPSQPLGEAMDLMTALQLVLRKSLAHGGLVRGLHEGAKVIEKHAAQLCVLAEDCNQPDYIKLVKGLCADHGVGLLTVPSAKTLGEWVGLCKIDPEGKARKVVGCSCAVVQDYGEESEGLNVVQQHVKSH >Manes.03G176300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29937349:29938857:-1 gene:Manes.03G176300.v8.1 transcript:Manes.03G176300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVPFRMVSVIAIALAVLIFPAAHGQINTPCTPSLMSSFTPCMNLLTNSSANGTSPTQDCCSALKNLTSNGMDCLCLIVTGSVPFQLPINRSLSISLPSACNMQGVPVQCKASRSPLPAPGPASLGPTPPPVVSPSPSPSPKASVVPEPTPSTLPPESSTTPILTPPSPTVDTGAPTSTTGSRIRPVLTPPSSAAISSCSFSHSFLRLAIGFVVLKYY >Manes.03G176300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29937357:29938690:-1 gene:Manes.03G176300.v8.1 transcript:Manes.03G176300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVPFRMVSVIAIALAVLIFPAAHGQINTPCTPSLMSSFTPCMNLLTNSSANGTSPTQDCCSALKNLTSNGMDCLCLIVTGSVPFQLPINRSLSISLPSACNMQGVPVQCKASRSPLPAPGPASLGPTPPPVVSPSPSPSPKAASVVPEPTPSTLPPESSTTPILTPPSPTVDTGAPTSTTGSRIRPVLTPPSSAAISSCSFSHSFLRLAIGFVVLKYY >Manes.10G108400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26128476:26129330:-1 gene:Manes.10G108400.v8.1 transcript:Manes.10G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVMLMILIVVGSTSFAIQLEVEARKEKIGNQRIMAINFAHEYPGQHTIMAKYDSDTIKKGSLILNADHQMPKLIGEQTPSPPSKSDPSISNPGGSG >Manes.01G048766.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11543225:11550774:1 gene:Manes.01G048766.v8.1 transcript:Manes.01G048766.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWGSSNRLSAAAGAHSPFTSRVSALLLAMFATTATIYVAGRLWQGSENRLHLVEGFERRNSQVKSAMSVDDTLKLASCGEQKKKLAAAEMDLAAARQAGFVSKHSAEKGDGHSKKNLLAVIGIITTFGRKKNRDAIRKAWMPTGAALKKLEDEKGIVVRFVIGRRFLFYMIEVLIFPCFVHLRSDYCSANHGDSLDREIDSENRQTNDFIVLDGKVEATEEAPKKTKLFFINAVENWNAEFYVKINDDVFVNIDALGATLSTHLDKPRVYIGCMKSGEVFSEPNHKWYEPDWWKFGDGKSYFRHASGEIYAISRALAQFISINRSILRTYAHDDVSTGSWFIGLAAKFINEGNFCCSSWSPGALCAAV >Manes.01G048766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:11543225:11550774:1 gene:Manes.01G048766.v8.1 transcript:Manes.01G048766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWGSSNRLSAAAGAHSPFTSRVSALLLAMFATTATIYVAGRLWQGSENRLHLVEGFERRNSQVKSAMSVDDTLKLASCGEQKKKLAAAEMDLAAARQAGFVSKHSAEKGDGHSKKNLLAVIGIITTFGRKKNRDAIRKAWMPTGAALKKLEDEKGIVVRFVIGRSANHGDSLDREIDSENRQTNDFIVLDGKVEATEEAPKKTKLFFINAVENWNAEFYVKINDDVFVNIDALGATLSTHLDKPRVYIGCMKSGEVFSEPNHKWYEPDWWKFGDGKSYFRHASGEIYAISRALAQFISINRSILRTYAHDDVSTGSWFIGLAAKFINEGNFCCSSWSPGALCAAV >Manes.04G015900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2069152:2070135:-1 gene:Manes.04G015900.v8.1 transcript:Manes.04G015900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRISFSLLFNCLLLLSSIVQGIRVIPPSVPSTMRPLIAQGGDFVKMRPHKQPVFGGNAANGCLPKGFRHSSAPSRYVNSQPFGSCSDKAIIENP >Manes.05G119700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15762970:15805465:1 gene:Manes.05G119700.v8.1 transcript:Manes.05G119700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNHTLKYQITFSLLFTLIIAFISDALGAVPDKASILSRDASFRKEFHEILMATVNAPVVEGFIGGVRLAWSVHLMLINDGITARDTLSSASSNDLGYLNSCLESIFMNNVFQFLLDNVLRTAAYQNDDEDMKYMYNAYLHKLVTCFLSHPSARDKVKDSKEKAMGALNSYRLSAPRDVMHDSNMHSQQATEIGPLSFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSTLASSQEGASKVYELLQGKAFRSVGWSTLFDCLTIYDEKFKQSLQTSGTVLPEFQEGDAKALVAYLSVLQKVIENGHPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDTIWGYLEQYDLPLVVGTRVGNSKPMAAQVYDMRYELNEIEARIERYPSTISFLNLLNALIAEEKDTSDRGRRFIGIFRFIYDDVFGQFPQRAYADSCEKWQLVVACLQNFYMMLSMYNVQDEDVDSVVDQSQTQSSSLEMQLPVLELLKDFMSGKTVFRNLIGILLPGVNSIITERTSKIHGQLLEKAVQLALEIIIIVLEKDILVSDYWRPLYQPLDIILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATCLVEDYAACLELRSEECQIIENSANDPGVLIMQLLIDNIGRPAPNVTHLLLNFDLDTPIERTVLQPKFHYSCLKVILEVLDKLLKPDINALLHEFGFQLLYELCLDPLTCGPTMDLLSNKKYQFFVKHLDTFGVAPLPKRNSGLPLRISSLHQRAWLLKLLAVELHSGDMGTPTHREACQNILAHLFGREIIEIGSDQVVSDSFGFQNSSEHAGTQAISKSKVLELLEVVQFRYPDTSMKLSQIVSNMKYDLLAEDVLGDPTASGKGGVYYYSERGDRLIDLTSFRDKLWQKFNSLYPQLSNFGNEAELNDVRETIQRLLRWGWKYNKNLEEQAAQLHMLTGWSQIVEVSASRRMSSLENRSEILYQVLDASLSASASPDCSLRMSFILSQVALTCMAKLRDERFICPAGLNPDSITCLDIITVKQLSNGACHSILFKLVMAILRNESSEALRRRQYALLLSYFQYCQHTLDPDVPTTIMQSLLLTEQDSEDMDLRQINREQAELAHAIFSILRKEAQAILDLVIKDATQGSEPGKTIALYVLDSLICIDHERYFLSQLQSRGFLRSCLMSISNVSYQDFRHSLDSLQRACTVEAELALLLRISHKYGKSGVQVLFSMGALEHIASCRAVNLQGSLRRLDPKIRRDAAVDIDKQRMITTSILRLVFSLTSLVDTSDIFEVKNKIVRELVGFVKGHQLLFDQILQEDISEADDLTMEQINLAVGILSKVWPYEENDEFGFVQELFSMMHALFSVETEALTLGRSVQSSEAKRKLELNSWRLCFSLSSYLYFLVTKKSLRLQVSDHPVDYHSPTGLQQPTLILLGSLLSSITSSLERAADEKSLILNKVRDINELSRQEVDEIINLCVRRECVSSSDDIQKRRYTAMVEMCQVAGNRNQLITILLPLVEQLLNVILIHFQDSSVISDANANGAMKAIGIKSDSEQDMSSLCGKLVPALERLELISEDKVGRRLKVFRRLVTSLKEKAIQRWSL >Manes.05G119700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15756414:15805465:1 gene:Manes.05G119700.v8.1 transcript:Manes.05G119700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRQLLSTVESSLLSPSPLSPAQRIELLHAIRSSLSSLQSLLSYPPPKPSDRSKVQSKEVRLPDSPPISLDDQDVQIALQLSDELHLNEIDCVRLLVSANQEWVLMGRERSEILRLAAGLWYTERRDLITSLFMLLRAVVLDQQLEADLVVDIQKCLEDLINGGLRERFISLIKELNREEPAGLGGPLCERYIIDSRGTLVERQSVVQKERHVLGHCLVLSVLVVRTSPKDVKDVFYVLKDSAIELMEVNHTLKYQITFSLLFTLIIAFISDALGAVPDKASILSRDASFRKEFHEILMATVNAPVVEGFIGGVRLAWSVHLMLINDGITARDTLSSASSNDLGYLNSCLESIFMNNVFQFLLDNVLRTAAYQNDDEDMKYMYNAYLHKLVTCFLSHPSARDKVKDSKEKAMGALNSYRLSAPRDVMHDSNMHSQQATEIGPLSFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSTLASSQEGASKVYELLQGKAFRSVGWSTLFDCLTIYDEKFKQSLQTSGTVLPEFQEGDAKALVAYLSVLQKVIENGHPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDTIWGYLEQYDLPLVVGTRVGNSKPMAAQVYDMRYELNEIEARIERYPSTISFLNLLNALIAEEKDTSDRGRRFIGIFRFIYDDVFGQFPQRAYADSCEKWQLVVACLQNFYMMLSMYNVQDEDVDSVVDQSQTQSSSLEMQLPVLELLKDFMSGKTVFRNLIGILLPGVNSIITERTSKIHGQLLEKAVQLALEIIIIVLEKDILVSDYWRPLYQPLDIILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATCLVEDYAACLELRSEECQIIENSANDPGVLIMQLLIDNIGRPAPNVTHLLLNFDLDTPIERTVLQPKFHYSCLKVILEVLDKLLKPDINALLHEFGFQHLDTFGVAPLPKRNSGLPLRISSLHQRAWLLKLLAVELHSGDMGTPTHREACQNILAHLFGREIIEIGSDQVVSDSFGFQNSSEHAGTQAISKSKVLELLEVVQFRYPDTSMKLSQIVSNMKYDLLAEDVLGDPTASGKGGVYYYSERGDRLIDLTSFRDKLWQKFNSLYPQLSNFGNEAELNDVRETIQRLLRWGWKYNKNLEEQAAQLHMLTGWSQIVEVSASRRMSSLENRSEILYQVLDASLSASASPDCSLRMSFILSQVALTCMAKLRDERFICPAGLNPDSITCLDIITVKQLSNGACHSILFKLVMAILRNESSEALRRRQYALLLSYFQYCQHTLDPDVPTTIMQSLLLTEQDSEDMDLRQINREQAELAHAIFSILRKEAQAILDLVIKDATQGSEPGKTIALYVLDSLICIDHERYFLSQLQSRGFLRSCLMSISNVSYQDFRHSLDSLQRACTVEAELALLLRISHKYGKSGVQVLFSMGALEHIASCRAVNLQGSLRRLDPKIRRDAAVDIDKQRMITTSILRLVFSLTSLVDTSDIFEVKNKIVRELVGFVKGHQLLFDQILQEDISEADDLTMEQINLAVGILSKVWPYEENDEFGFVQELFSMMHALFSVETEALTLGRSVQSSEAKRKLELNSWRLCFSLSSYLYFLVTKKSLRLQVSDHPVDYHSPTGLQQPTLILLGSLLSSITSSLERAADEKSLILNKVRDINELSRQEVDEIINLCVRRECVSSSDDIQKRRYTAMVEMCQVAGNRNQLITILLPLVEQLLNVILIHFQDSSVISDANANGAMKAIGIKSDSEQDMSSLCGKLVPALERLELISEDKVGRRLKVFRRLVTSLKEKAIQRWSL >Manes.05G119700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15758682:15805465:1 gene:Manes.05G119700.v8.1 transcript:Manes.05G119700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERSEILRLAAGLWYTERRDLITSLFMLLRAVVLDQQLEADLVVDIQKCLEDLINGGLRERFISLIKELNREEPAGLGGPLCERYIIDSRGTLVERQSVVQKERHVLGHCLVLSVLVVRTSPKDVKDVFYVLKDSAIELMEVNHTLKYQITFSLLFTLIIAFISDALGAVPDKASILSRDASFRKEFHEILMATVNAPVVEGFIGGVRLAWSVHLMLINDGITARDTLSSASSNDLGYLNSCLESIFMNNVFQFLLDNVLRTAAYQNDDEDMKYMYNAYLHKLVTCFLSHPSARDKVKDSKEKAMGALNSYRLSAPRDVMHDSNMHSQQATEIGPLSFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSTLASSQEGASKVYELLQGKAFRSVGWSTLFDCLTIYDEKFKQSLQTSGTVLPEFQEGDAKALVAYLSVLQKVIENGHPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDTIWGYLEQYDLPLVVGTRVGNSKPMAAQVYDMRYELNEIEARIERYPSTISFLNLLNALIAEEKDTSDRGRRFIGIFRFIYDDVFGQFPQRAYADSCEKWQLVVACLQNFYMMLSMYNVQDEDVDSVVDQSQTQSSSLEMQLPVLELLKDFMSGKTVFRNLIGILLPGVNSIITERTSKIHGQLLEKAVQLALEIIIIVLEKDILVSDYWRPLYQPLDIILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATCLVEDYAACLELRSEECQIIENSANDPGVLIMQLLIDNIGRPAPNVTHLLLNFDLDTPIERTVLQPKFHYSCLKVILEVLDKLLKPDINALLHEFGFQLLYELCLDPLTCGPTMDLLSNKKYQFFVKHLDTFGVAPLPKRNSGLPLRISSLHQRAWLLKLLAVELHSGDMGTPTHREACQNILAHLFGREIIEIGSDQVVSDSFGFQNSSEHAGTQAISKSKVLELLEVVQFRYPDTSMKLSQIVSNMKYDLLAEDVLGDPTASGKGGVYYYSERGDRLIDLTSFRDKLWQKFNSLYPQLSNFGNEAELNDVRETIQRLLRWGWKYNKNLEEQAAQLHMLTGWSQIVEVSASRRMSSLENRSEILYQVLDASLSASASPDCSLRMSFILSQVALTCMAKLRDERFICPAGLNPDSITCLDIITVKQLSNGACHSILFKLVMAILRNESSEALRRRQYALLLSYFQYCQHTLDPDVPTTIMQSLLLTEQDSEDMDLRQINREQAELAHAIFSILRKEAQAILDLVIKDATQGSEPGKTIALYVLDSLICIDHERYFLSQLQSRGFLRSCLMSISNVSYQDFRHSLDSLQRACTVEAELALLLRISHKYGKSGVQVLFSMGALEHIASCRAVNLQGSLRRLDPKIRRDAAVDIDKQRMITTSILRLVFSLTSLVDTSDIFEVKNKIVRELVGFVKGHQLLFDQILQEDISEADDLTMEQINLAVGILSKVWPYEENDEFGFVQELFSMMHALFSVETEALTLGRSVQSSEAKRKLELNSWRLCFSLSSYLYFLVTKKSLRLQVSDHPVDYHSPTGLQQPTLILLGSLLSSITSSLERAADEKSLILNKVRDINELSRQEVDEIINLCVRRECVSSSDDIQKRRYTAMVEMCQVAGNRNQLITILLPLVEQLLNVILIHFQDSSVISDANANGAMKAIGIKSDSEQDMSSLCGKLVPALERLELISEDKVGRRLKVFRRLVTSLKEKAIQRWSL >Manes.05G119700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15756414:15805465:1 gene:Manes.05G119700.v8.1 transcript:Manes.05G119700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRQLLSTVESSLLSPSPLSPAQRIELLHAIRSSLSSLQSLLSYPPPKPSDRSKVQSKEVRLPDSPPISLDDQDVQIALQLSDELHLNEIDCVRLLVSANQEWVLMGRERSEILRLAAGLWYTERRDLITSLFMLLRAVVLDQQLEADLVVDIQKCLEDLINGGLRERFISLIKELNREEPAGLGGPLCERYIIDSRGTLVERQSVVQKERHVLGHCLVLSVLVVRTSPKDVKDVFYVLKDSAIELMEVNHTLKYQITFSLLFTLIIAFISDALGAVPDKASILSRDASFRKEFHEILMATVNAPVVEGFIGGVRLAWSVHLMLINDGITARDTLSSASSNDLGYLNSCLESIFMNNVFQFLLDNVLRTAAYQNDDEDMKYMYNAYLHKLVTCFLSHPSARDKVKDSKEKAMGALNSYRLSAPRDVMHDSNMHSQQATEIGPLSFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSTLASSQEGASKVYELLQGKAFRSVGWSTLFDCLTIYDEKFKQSLQTSGTVLPEFQEGDAKALVAYLSVLQKVIENGHPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDTIWGYLEQYDLPLVVGTRVGNSKPMAAQVYDMRYELNEIEARIERYPSTISFLNLLNALIAEEKDTSDRGRRFIGIFRFIYDDVFGQFPQRAYADSCEKWQLVVACLQNFYMMLSMYNVQDEDVDSVVDQSQTQSSSLEMQLPVLELLKDFMSGKTVFRNLIGILLPGVNSIITERTSKIHGQLLEKAVQLALEIIIIVLEKDILVSDYWRPLYQPLDIILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATCLVEDYAACLELRSEECQIIENSANDPGVLIMQLLIDNIGRPAPNVTHLLLNFDLDTPIERTVLQPKFHYSCLKVILEVLDKLLKPDINALLHEFGFQLLYELCLDPLTCGPTMDLLSNKKYQFFVKHLDTFGVAPLPKRNSGLPLRISSLHQRAWLLKLLAVELHSGDMGTPTHREACQNILAHLFGREIIEIGSDQVVSDSFGFQNSSEHAGTQAISKSKVLELLEVVQFRYPDTSMKLSQIVSNMKYDLLAEDVLGDPTASGKGGVYYYSERGDRLIDLTSFRDKLWQKFNSLYPQLSNFGNEAELNDVRETIQRLLRWGWKYNKNLEEQAAQLHMLTGWSQIVEVSASRRMSSLENRSEILYQVLDASLSASASPDCSLRMSFILSQVALTCMAKLRDERFICPAGLNPDSITCLDIITVKQLSNGACHSILFKLVMAILRNESSEALRRRQYALLLSYFQYCQHTLDPDVPTTIMQSLLLTEQDSEDMDLRQINREQAELAHAIFSILRKEAQAILDLVIKDATQGSEPGKTIALYVLDSLICIDHERYFLSQLQSRGFLRSCLMSISNVSYQDFRHSLDSLQRACTVEAELALLLRISHKYGKSGVQVLFSMGALEHIASCRAVNLQGSLRRLDPKIRRDAAVDIDKQRMITTSILRLVFSLTSLVDTSDIFEVKNKIVRELVGFVKGHQLLFDQILQEDISEADDLTMEQINLAVGILSKVWPYEENDEFGFVQELFSMMHALFSVETEALTLGRSVQSSEAKRKLELNSWRLCFSLSSYLYFLVTKKSLRLQVSDHPVDYHSPTGLQQPTLILLGSLLSSITSSLERAADEKSLILNKVRDINELSRQEVDEIINLCVRRECVSSSDDIQKRRYTAMVEMCQVAGNRNQLITILLPLVEQLLNVILIHFQDSSVISDANANGAMKAIGIKSDSEQDMSSLCGKLVPALERLELISEDKVGRRLKVFRRLVTSLKEKAIQRWSL >Manes.05G119700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15756414:15805465:1 gene:Manes.05G119700.v8.1 transcript:Manes.05G119700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRQLLSTVESSLLSPSPLSPAQRIELLHAIRSSLSSLQSLLSYPPPKPSDRSKVQSKEVRLPDSPPISLDDQDVQIALQLSDELHLNEIDCVRLLVSANQEWVLMGRERSEILRLAAGLWYTERRDLITSLFMLLRAVVLDQQLEADLVVDIQKCLEDLINGGLRERFISLIKELNREEPAGLGGPLCERYIIDSRGTLVERQSVVQKERHVLGHCLVLSVLVVRTSPKDVKDVFYVLKDSAIELMEVNHTLKYQITFSLLFTLIIAFISDALGAVPDKASILSRDASFRKEFHEILMATVNAPVVEGFIGGVRLAWSVHLMLINDGITARDTLSSASSNDLGYLNSCLESIFMNNVFQFLLDNVLRTAAYQNDDEDMKYMYNAYLHKLVTCFLSHPSARDKVKDSKEKAMGALNSYRLSAPRDVMHDSNMHSQQATEIGPLSFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSTLASSQEGASKVYELLQGKAFRSVGWSTLFDCLTIYDEKFKQSLQTSGTVLPEFQEGDAKALVAYLSVLQKVIENGHPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDTIWGYLEQYDLPLVVGTRVGNSKPMAAQVYDMRYELNEIEARIERYPSTISFLNLLNALIAEEKDTSDRGRRFIGIFRFIYDDVFGQFPQRAYADSCEKWQLVVACLQNFYMMLSMYNVQDEDVDSVVDQSQTQSSSLEMQLPVLELLKDFMSGKTVFRNLIGILLPGVNSIITERTSKIHGQLLEKAVQLALEIIIIVLEKDILVSDYWRPLYQPLDIILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATCLVEDYAACLELRSEECQIIENSANDPGVLIMQLLIDNIGRPAPNVTHLLLNFDLDTPIERTVLQPKFHYSCLKVILEVLDKLLKPDINALLHEFGFQHLDTFGVAPLPKRNSGLPLRISSLHQRAWLLKLLAVELHSGDMGTPTHREACQNILAHLFGREIIEIGSDQVVSDSFGFQNSSEHAGTQAISKSKVLELLEVVQFRYPDTSMKLSQIVSNMKYDLLAEDVLGDPTASGKGGVYYYSERGDRLIDLTSFRDKLWQKFNSLYPQLSNFGNEAELNDVRETIQRLLRWGWKYNKNLEEQAAQLHMLTGWSQIVEVSASRRMSSLENRSEILYQVLDASLSASASPDCSLRMSFILSQVALTCMAKLRDERFICPAGLNPDSITCLDIITVKQLSNGACHSILFKLVMAILRNESSEALRRRQYALLLSYFQYCQHTLDPDVPTTIMQSLLLTEQDSEDMDLRQINREQAELAHAIFSILRKEAQAILDLVIKDATQGSEPGKTIALYVLDSLICIDHERYFLSQLQSRGFLRSCLMSISNVSYQDFRHSLDSLQRACTVEAELALLLRISHKYGKSGVQVLFSMGALEHIASCRAVNLQGSLRRLDPKIRRDAAVDIDKQRMITTSILRLVFSLTSLVDTSDIFEVKNKIVRELVGFVKGHQLLFDQILQEDISEADDLTMEQINLAVGILSKVWPYEENDEFGFVQELFSMMHALFSVETEALTLGRSVQSSEAKRKLELNSWRLCFSLSSYLYFLVTKKSLRLQVSDHPVDYHSPTGLQQPTLILLGSLLSSITSSLERAADEKSLILNKVRDINELSRQEVDEIINLCVRRECVSSSDDIQKRRYTAMVEMCQVAGNRNQLITILLPLVEQLLNVILIHFQDSSVISDANANGAMKAIGIKSDSEQDMSSLCGKLVPALERLELISEDKVGRRLKVFRRLVTSLKEKAIQRWSL >Manes.05G119700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15756414:15805465:1 gene:Manes.05G119700.v8.1 transcript:Manes.05G119700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRQLLSTVESSLLSPSPLSPAQRIELLHAIRSSLSSLQSLLSYPPPKPSDRSKVQSKEVRLPDSPPISLDDQDVQIALQLSDELHLNEIDCVRLLVSANQEWVLMGRERSEILRLAAGLWYTERRDLITSLFMLLRAVVLDQQLEADLVVDIQKCLEDLINGGLRERFISLIKELNREEPAGLGGPLCERYIIDSRGTLVERQSVVQKERHVLGHCLVLSVLVVRTSPKDVKDVFYVLKDSAIELMEVNHTLKYQITFSLLFTLIIAFISDALGAVPDKASILSRDASFRKEFHEILMATVNAPVVEGFIGGVRLAWSVHLMLINDGITARDTLSSASSNDLGYLNSCLESIFMNNVFQFLLDNVLRTAAYQNDDEDMKYMYNAYLHKLVTCFLSHPSARDKVKDSKEKAMGALNSYRLSAPRDVMHDSNMHSQQATEIGPLSFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSTLASSQEGASKVYELLQGKAFRSVGWSTLFDCLTIYDEKFKQSLQTSGTVLPEFQEGDAKALVAYLSVLQKVIENGHPIERKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDTIWGYLEQYDLPLVVGTRVGNSKPMAAQVYDMRYELNEIEARIERYPSTISFLNLLNALIAEEKDTSDRGRRFIGIFRFIYDDVFGQFPQRAYADSCEKWQLVVACLQNFYMMLSMYNVQDEDVDSVVDQSQTQSSSLEMQLPVLELLKDFMSGKTVFRNLIGILLPGVNSIITERTSKIHGQLLEKAVQLALEIIIIVLEKDILVSDYWRPLYQPLDIILSQDHNQIVALLEYVRYDFLPQIQQCSIKIMSILSSRMVGLVQLLLKSNAATCLVEDYAACLELRSEECQIIENSANDPGVLIMQLLIDNIGRPAPNVTHLLLNFDLDTPIERTVLQPKFHYSCLKVILEVLDKLLKPDINALLHEFGFQLLYELCLDPLTCGPTMDLLSNKKYQFFVKHLDTFGVAPLPKRNSGLPLRISSLHQRAWLLKLLAVELHSGDMGTPTHREACQNILAHLFGREIIEIGSDQVVSDSFGFQNSSEHAGTQAISKSKVLELLEVVQFRYPDTSMKLSQIVSNMKYDLLAEDVLGDPTASGKGGVYYYSERGDRLIDLTSFRDKLWQKFNSLYPQLSNFGNEAELNDVRETIQRLLRWGWKYNKNLEEQAAQLHMLTGWSQIVEVSASRRMSSLENRSEILYQVLDASLSASASPDCSLRMSFILSQVALTCMAKLRDERFICPAGLNPDSITCLDIITVKQLSNGACHSILFKLVMAILRNESSEALRRRQYALLLSYFQYCQHTLDPDVPTTIMQSLLLTEQDSEDMDLRQINREQAELAHAIFSILRKEAQAILDLVIKDATQGSEPGKTIALYVLDSLICIDHERYFLSQLQSRGFLRSCLMSISNVSYQDFRHSLDSLQRACTVEAELALLLRISHKYGKSGVQVLFSMGALEHIASCRAVNLQGSLRRLDPKIRRDAAVDIDKQRMITTSILRLVFSLTSLVDTSDIFEVKNKIVRELVGFVKGHQLLFDQILQEDISEADDLTMEQINLAVGILSKVWPYEENDEFGFVQELFSMMHALFSVETEALTLGRSVQSSEAKRKLELNSWRLCFSLSSYLYFLVTKKSLRLQVSDHPVDYHSPTGLQQPTLILLGSLLSSITSSLERAADEKSLILNKVRDINELSRQEVDEIINLCVRRECVSSSDDIQKRRYTAMVEMCQVAGNRNQLITILLPLVEQLLNVILIHFQDSSVISDANANGAMKAIGIKSDSEQDMSSLCGKLVPALERLELISEDKVGRRLKVFRRLVTSLKEKAIQRWSL >Manes.18G025000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5687062:5689742:-1 gene:Manes.18G025000.v8.1 transcript:Manes.18G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRQRMMIALMELGFITILWPTQILAVEPCLSICGDVIVQFPFGIGKGCYMDESFEVTCNYSFTSPKPFLTSINMELLDISTTGQVQVSNPVIYSDCSNKASNTTTVSLLGTPFVFSNSSSRFTALGCDNYARFMEDGGNIVGGCLSICPSGANASGCYGINCCQATIPPYVQFFEANMTSPFNSMAGRSSCKSAFMVDQNWFAPKSSSSHDDLNLEDHVPAVLDWAADQGYCDISREPNINCTSSYCWKKLHENQVCICRGCEDMVSCTDPRNYRCSLYCMYTPGGYNCSCPFGYERLSSSNRCYPYGWAYKKSRIKIIIIGCVSGLGLLFFVAGLWLLYKLLKRRKAMKLKQKFFKRNGGLLLQQQLSSTENNVEQTKVFTSKELEKATDHYHVNRILGQGGQGTVYKGMLTDGRVVAIKKAKVVDEDKLEQFINEVLILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLFQYIHNQNEELPLTWEMRLRIATEVAGALSYLHSAASMPIYHRDIKSSNILLDEKFRAKVADFGTSKSISIEQTHVTTRVQGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLTGRKPISSSLSAEQRSLATYFIFLMEENRLFEIIDDRVMKEGGKEEIIAIAKLARKCLNLNGKKRPTMKTVAMELEGIKASHGASSAIEQDYEEVDYIVGDYAAAWDVASSSTGSLSISIPTRSDLQPLISGASNPGPMKQ >Manes.06G134500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26255010:26256327:-1 gene:Manes.06G134500.v8.1 transcript:Manes.06G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTVDKLVVFLAKRDGIDKLVKTFQYVSKLVNWHVEAAHPDIAQRFKQWEVASGLSRKAFRTGRFLTGFNALRRNPGSTPTFKFLAVLANGGEMVYFFFDHFLWLSRIGTLDAKLAKRMSFISAFGESFGYIFFIVADFIIIRDGIRAERKLLASSEEDSKEVKGNIRKIRADRVMRFMAIAANVADLIIGVAEIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >Manes.17G010201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4343119:4350691:1 gene:Manes.17G010201.v8.1 transcript:Manes.17G010201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWYGSPGCTHSTSLARCKRKSRLYPFYVPGTLVCYDMIFKMSTFNSSEIVVSCKATTISQPSLNTQPASSSTHFRLEPSTPINSSHSLPANINPTGSLPPISNLGRKRKLTSTVWDHFEKSLHNHIEKCVKKGNQDIVKCLNKQKKISMDIRSDGKVHFKNFIFNQEKSRKELACAIMLHEYPLFIVEYVGFTKFVATLQPLFKMVSRNTIKKDILNIYDLEFNKLYKYLEKLKSRIAITTDMWTSNQKKGYMSITTHYINNSGCYKIEFCDNCSTNDGMVSIVIDKLFGNLLCDGVILHMRSCAHILNLVVKDGLTTIETSSQRVEKFEEMVRQLKITCTKKLSLDCKSRWNSTYHMLQTTIEYKNTFSRLRIRKKNEVHIVLVVAVILDPQYKTKVVEYYFPMIYSDDVSNEIEQVNVTCYNLLNDYQSRASKPKSQSSSSVPPISISENQGFLKKYLLISLQDLSNLVIFLNSSFTSIHVKFELDHYLEEPVLLWMQEFDILNWWKINGIKYPTLQMIARDFLVLPVSSVASESAFRTGGRVVSIHRSRLHEDTLEVLICSQNWLWSEIEGNYNNKLLFLFYFILT >Manes.07G133866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33541881:33542497:-1 gene:Manes.07G133866.v8.1 transcript:Manes.07G133866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSPSRAHTSPRQPPEPPSLRRPSIFHRASPLHRHHRNRSKTFIISPPPNQDDHKIILYFTSLGVVRKTFEDCRTVRSILRGFHVPIDERDLSMDAGYLDEIQMITASKKVRLPAVFLGGNYVGGAEEIKEMNESGELSKLIGGLPFVGNNIKIKFNSVCDVCGGLRYVLCA >Manes.16G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2598736:2599940:-1 gene:Manes.16G024000.v8.1 transcript:Manes.16G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLSSIAKNLSRNILHHHHYHQLHSRVPGASKPPCDVFINHRGIDTKRTIAGLLYDHLSRLGIKPFLDSKNMKPGDRLYEKIDSAIEECKLGVAVFSPRYCDSYFCLHELSLIMETKKRVIPIFYDVKPSQLRVKNNGSCPPHELQRFEDALEEAKYTVGLTFDSHKGDWSEFLTTATSAIVKNLIELEGERARKNRKNFVQKL >Manes.18G099600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9185764:9187727:1 gene:Manes.18G099600.v8.1 transcript:Manes.18G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGLEEEEELLNLSLAIVTDSGGDKKRKRKTRSLFDPLNSYAGCEGKIFRLLQIREQMLKPNHKRKGVVEDGKGLHLIHLLLITATAVDENNLGTALENLTELYQSVSLTGDSVERVVAYFSDGLAARLLTRKSPFYDMIMKEPTSEEEFLAFTDLYRVSPYYQFAHFTANQAIIETFEKEEESNNRALHVIDFDVSYGFQWPSLIQSLSEKATNGNRISLQITGYGRSLEELQETESRLVSFSKGFRNLVFEFHGLLRDSKLINLKKKKNETVAVNLVFHLNTLNDSLKISDTLKSIHSLQPSIVVLVEQEGSRSPRSFLSRFMESLHYFAAMFDSLEDCLPLESSERLNIEKNHLGKEIKGMLNYDRDNNYDSNSCPRYEKMETWKGRMESHGFSGIKLSSKSLIQAKLLLKIRTHYRPLQFEGESGSGFRVFERDDGRAISLGWQDRCLLTASAWHCV >Manes.01G000434.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1171131:1172228:-1 gene:Manes.01G000434.v8.1 transcript:Manes.01G000434.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPALEGMCSDLAANIMSRLDGLALASLACTSSDLRGIARNNSLWKELCHSTWPSTAQHLLSPSLICHFDKFFADSYPLIFYDEASNHSSNEPETSTSPSDFVSLVDIYYRNQCVLSRVLHGIPDAVDVFNSKIMDDNCYIISSEREKWFLNCPFNLQLLDLTYDEDDDGDEDDDHLSYLGHANNNERSTSLEPDHCKELMEDLRLSWILLDKKTGKAVNLSTWKPLSVQIIRPHGHYVMRFGSIISVEENVLPQKLARCTITTKFKVTETPGLIQWRQISMSIENVTGSLLDGKTSLMILNKALYSMRTTNWLKVENGFNQYDKQKRGIIRREELRETLANRIYISVEIVVFTLLYHAFRQLF >Manes.09G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31922252:31925722:-1 gene:Manes.09G116600.v8.1 transcript:Manes.09G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTRLGGRVDGAKAHLGYVETDPSGRYGRFREVLGKGAMKTVYKAFDEVLGMEVAWNQVKLNDVLHSPEELQRLYSEVHLLKNLNHDFIIKFYTSWIDIDRRTFNFITEMLTSGTLREYRKKYQRADIRAVKNWARQILLGLAYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRESQCAHSVIGTPEFMAPELYEEEYNELVDIYSFGMCVLEMLTSDYPYSECSNPAQIYKKVTSGKLPEAFYRIKDIEAQRFVGKCLETASKRLPARELLLDPFLASDESKLLPVPKIPFQMTSPDGTEEISPSLLADPTKATEMTITGTMNPEDDTIFLKVQISDEDGRTRNIYFPFDTMNDTAIDVAMEMVKELEITDWEPMEIAEMIEEQITSLIPSWKGWGFSQFFQRHHSFNYGDDEDDGTHHPFQSISSRSSSQASLLALNSSYEKQQHHGSNHDWLQGEGFANDDASSQSSFNYSGFKYFSGNEHESKTGGGEPRYFTGVHKSSRFCPADSLSAKQSRQGNWHLDSCECSSSNPQRKLSRIRSLVDVRSQLLHRSLLEEIHKRRLFKTVGAVENIGFQESGFQGNDEKQRFKW >Manes.15G099600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7810990:7813143:1 gene:Manes.15G099600.v8.1 transcript:Manes.15G099600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRKPSVPTPWKDQNKGNNFSKTKETHYYYHKLTFRYILLLIFLSSCFLFLVASFCFSSTNHTHLRFPVISTTAAGNCPGNLSLFVYQLPQEFNLGLLRDCTHLNVYTDMCPHVANHGLGQPLATSGSWFSTHQFLAEMIFHARLENHPCRTWDPNVANLFYVPFYGGLHASSKFREANQTARDELAVRLVEFLQMQPWWRRHDGKDHFLALGRTAWDFMRNANGGPDFGANCLLDLPPVKNMSVLTVERQPWQGQNQYGVPYPSYFHPSSVVQMHAWQQKMIRAERNHLFSFIGGPRKGVDKAAVRDELIKQCNESTQCKLLKCGRNSDSKCYEPLEVLKVLSQSHFCLQAAGDSFTRRSTFDSVLAGCIPVFFSRHTAYTQYGWYFPANEISLWGG >Manes.15G099600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7810969:7812836:1 gene:Manes.15G099600.v8.1 transcript:Manes.15G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRKPSVPTPWKDQNKGNNFSKTKETHYYYHKLTFRYILLLIFLSSCFLFLVASFCFSSTNHTHLRFPVISTTAAGNCPGNLSLFVYQLPQEFNLGLLRDCTHLNVYTDMCPHVANHGLGQPLATSGSWFSTHQFLAEMIFHARLENHPCRTWDPNVANLFYVPFYGGLHASSKFREANQTARDELAVRLVEFLQMQPWWRRHDGKDHFLALGRTAWDFMRNANGGPDFGANCLLDLPPVKNMSVLTVERQPWQGQNQYGVPYPSYFHPSSVVQMHAWQQKMIRAERNHLFSFIGGPRKGVDKAAVRDELIKQCNESTQCKLLKCGRNSDSKCYEPLEVLKVLSQSHFCLQAAGDSFTRRSTFDSVLAGCIPVFFSRHTAYTQYGWYFPANESEYSVYIDESEIEKKSIEEELSKISSDRAERMRRKIIEIMPTITYAHPNSSRVGFEDAVDVALAALLKLKHK >Manes.06G179100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30354481:30360089:1 gene:Manes.06G179100.v8.1 transcript:Manes.06G179100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAAIASNSFLRFRVHCSDSAQRRGFGAKNDPKKVSRSSREDEGTALQQRKSTDKQSTPLPTQAPGISSRFDGKSKSMDIDFEERLEAVRRSALEQKKADEIKEFGPIEYDAPVESEKKTIGLGTKIGVGVAVLVFGLVFALGDFLPSGSDGPPDDATVIDKKLSKEEKATLQNRLKQYEATLGVSPEDPSALEGAAVTSAELGEYARASSLLQDLAKEKPTDPDVFRLLGEVKYELKDYEGSADAYRSSAMVSKNVNFEVLRGLTNALLAAKKPDEAVQILLASRERLNSEKSSGMATKSEVDPIQVDLLLGKAYSDWGHVSDAVSVYDQLISSQPNDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKALVDRYARK >Manes.01G262100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41746920:41753892:-1 gene:Manes.01G262100.v8.1 transcript:Manes.01G262100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVETLENSAAASKSTNMGQPTKAAAAVRRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTPEEAARAYDEAARALRGENARTNFTSVNNNTNSNTTQYGSSPINGGFLSESDVRHSLSFSLLKAKLSKNIQSIMARTTESKSTKNRVSDHFTFASIFQFRSQQYQKPVDMNKIEKVVQPSIIVPRVTDDQHEPSSWETSRLSECSNEWIRLKEQGLDSDGSDTGEASIDDQRLTDQMMGWIDRPEISGCRGDDSRSKRFKVSSSVVVPPTFSGSPFRGNN >Manes.18G120000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12653411:12653760:1 gene:Manes.18G120000.v8.1 transcript:Manes.18G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSLYQKSSLVFSRRASLLVPTAQKPAAAMMGCKILGLRPSIAASNANRTERTYMKCSKTYFLVALECLSKLRSFIFRFWTV >Manes.S031216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:732989:733369:1 gene:Manes.S031216.v8.1 transcript:Manes.S031216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.05G154600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26587912:26591525:-1 gene:Manes.05G154600.v8.1 transcript:Manes.05G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAPSRKALSKIACNRLQKELAEWQLNPPTGFKHKVTDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSATAKQRPVDNDRYVKNCKSGRSPKETRWWFHDDKV >Manes.17G113700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32072753:32076112:-1 gene:Manes.17G113700.v8.1 transcript:Manes.17G113700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIDPSKKLSFTQYIRDGDLVIVYEKHDSMKAIKACETSVLQNRFGVFKHSDWIGKPFGSKVFSNKGGFVYLLAPTPELWTMVLSHRTQILYIADISFVITYLEIVPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAASAREDFEKTGVSSLVTVGVRDIQGEGFPDEYSGLADSVFLDLPQPWLAIPSAGKMLKQDGILCSFSPCIEQVQRSCETLRSNFTDIRTFEVLLRMYEVREGKMDCGQGDEGVSLGSPPYKRRQCSNESRKVHENTSSPVVMARPCGESRGHTGYLTFARLKCH >Manes.17G113700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32072790:32076105:-1 gene:Manes.17G113700.v8.1 transcript:Manes.17G113700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIDPSKKLSFTQYIRDGDLVIVYEKHDSMKAIKACETSVLQNRFGVFKHSDWIGKPFGSKVFSNKGGFVYLLAPTPELWTMVLSHRTQILYIADISFVITYLEIVPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAASAREDFEKTGVSSLVTVGVRDIQGEGFPDEYSGLADSVFLDLPQPWLAIPSAGKMLKQDGILCSFSPCIEQVQRSCETLRSNFTDIRTFEVLLRMYEVREGKMDCGQGDEGVSLGSPPYKRRQCSNESRKVHENTSSPVVMARPCGESRGHTGYLTFARLKCH >Manes.17G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32072641:32076123:-1 gene:Manes.17G113700.v8.1 transcript:Manes.17G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIDPSKKLSFTQYIRDGDLVIVYEKHDSMKAIKACETSVLQNRFGVFKHSDWIGKPFGSKVFSNKGGFVYLLAPTPELWTMVLSHRTQILYIADISFVITYLEIVPGCLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAASAREDFEKTGVSSLVTVGVRDIQGEGFPDEYSGLADSVFLDLPQPWLAIPSAGKMLKQDGILCSFSPCIEQVQRSCETLRSNFTDIRTFEVLLRMYEVREGKMDCGQGDEGVSLGSPPYKRRQCSNESRKVHENTSSPVVMARPCGESRGHTGYLTFARLKCH >Manes.S015042.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:120810:121622:-1 gene:Manes.S015042.v8.1 transcript:Manes.S015042.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.05G022300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1977983:1982412:1 gene:Manes.05G022300.v8.1 transcript:Manes.05G022300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRAAAALPYSRRFLAAKNTHDLASAVLELNKEMESVFGELPSGGLSGSVNNDYMAQEPQFSSHGLTSSTSNNYLAEAQESQSVYQNVNQNAPALTHVGSAGEAQMVDVSPKEASKRTAIASCKVILGKKVFDLVSANQMAKGDVLTVAKIAGINGAKNTSSLIPLCHNITLTRVRVDLILNPNDYSVEIEGEAASTGKTGVEMEALTAVTTAGLTVYDMCKAASKQIQITEVQLESKTGGKSGVWNRKLAIPINMSIKNEREPTKDKKLRRFILLTLRHIERLNLPESMVIERVSSCFTCRSIVVATEKQSEGGLCFRVGIVTTDAMSDTAKKRLKSKFMEFEESQFFVKFHKGWGKICEIITGQDKHPSVWGHYALEEILELGRASRYHRRIQMERSSSC >Manes.05G022300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1977983:1982412:1 gene:Manes.05G022300.v8.1 transcript:Manes.05G022300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRAAAALPYSRRFLAAKNTHDLASAVLELNKEMESVFGELPSGGLSGSVNNDYMAQEPQFSSHGLTSSTSNNYLAEAQESQSVYQNVNQNAPALTHVGSAGEAQMVDVSPKEASKRTAIASCKVILGKKVFDLVSANQMAKGDVLTVAKIAGINGAKNTSSLIPLCHNITLTRVRVDLILNPNDYSVEIEGEAASTGKTGVEMEALTAVTTAGLTVYDMCKAASKQIQITEVQLESKTGGKSGVWNRKLAIPINMSIKNEREPTKDKKLRRFILLTLRHIERLNLPESMVIERVSSCFTCRSIVVATEKQSEGGLCFRVGIVTTDAMSDTAKKRLKSKFMEFEESQFFVKFHKGWGKICEIITGQDKHPSVWGHYALEEILELGRASRYHRRIQMERSSSC >Manes.05G022300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1977983:1982412:1 gene:Manes.05G022300.v8.1 transcript:Manes.05G022300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRAAAALPYSRRFLAAKNTHDLASAVLELNKEMESVFGELPSGGLSGSVNNDYMAQEPQFSSHGLTSSTSNNYLAEAQESQSVYQNVNQNAPALTHVGSAGEAQMVDVSPKEASKRTAIASCKVILGKKVFDLVSANQMAKGDVLTVAKIAGINGAKNTSSLIPLCHNITLTRVRVDLILNPNDYSVEIEGEAASTGKTGVEMEALTAVTTAGLTVYDMCKAASKQIQITEVQLESKTGGKSGVWNRKLAIPINMSIKNEREPTKDKKLRRFILLTLRHIERLNLPESMVIERVSSCFTCRSIVVATEKQSEGGLCFRVGIVTTDAMSDTAKKRLKSKFMEFEESQFFVKFHKGWGKICEIITGQDKHPSVWGHYALEEILELGRASRYHRRIQMERSSSC >Manes.16G114200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31960166:31961702:-1 gene:Manes.16G114200.v8.1 transcript:Manes.16G114200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPERKTRWKKEIDWLLAVTDHIVEFVPSQQSKDGINMEIMVTRQRSDLLMNIPALRKLDSILIDQLEQFENQTEFWYVSRDGEDSEQGTMPRNGDKWWIPTVKVPPEGLSEVTRRWLKFQKDSVNQVLKAAMAINAQVLSEMEVPENYIESLPKNGRESLGDSIYKSITVEFFDPEQFLSTMDLSTEHKVLDLKNRIEASIEIWKRKMHQKDGRSSWSSGVSLEKREIFEERAEIILIILKQRFPGLPQSALDISKIQYSKDVGQAILESYSRTIESLAFTILSRIEDIFYSDSMTRTLKSVSDESSENEKPEEETDKLSSAETTPLTLSDFMGWNVGTKKNYFHNTESHFKGEHDKKLKLIGSKRFSYLEKLESLSVMRSPASRH >Manes.04G110518.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31480101:31482499:-1 gene:Manes.04G110518.v8.1 transcript:Manes.04G110518.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASHFSEMELANLAKWLLLGLFILCVQIHGNNGCFEVERLGLLDLKASIGSDGFDADHPFSSWVDDSLSDCCKWERVTCNFTTGHVIDLSLNNLRQYEENIWFLNLSMFESFKELRSLNLSHNRFGGLIDEKGFDGLKKLEILDLSDNYFNNSNFSSLAALPSLTTLILSRNNMKGSFPSKGMFIHIHSPIRLII >Manes.02G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8261310:8263350:-1 gene:Manes.02G106000.v8.1 transcript:Manes.02G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERFGKGNLQRALAMKEDYEVEEKKQAAADVLFQHSKFVMACIGNQVRPCDLRLHLMKEISGLPTSLKRELPQTAASPDAMGESSSSGMARLDKADSFRAL >Manes.15G096125.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7509516:7509789:-1 gene:Manes.15G096125.v8.1 transcript:Manes.15G096125.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLCIIPSKLGSRSSYIDPNVPTDKHFGRRRALLECSTRLSIPNLFLSCTQANSK >Manes.02G064700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4927969:4931576:1 gene:Manes.02G064700.v8.1 transcript:Manes.02G064700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRIPPPHLRRPHPGPGIMHPDAFVPGVRPPLGPFPPFDMLPPLEVMEQKLAAQHVEMQRLAIENQRLAATHGNLRQELAAAQHELQMLRAHIGAMKSEREQQMRSLVDNISKTETELKSAEPVRLELQQARAEAENLVVARQELVSKLHQLTQDMHRAHADVQQIPVLMSELETLRQEYQQCRVSYDYEKKLFSDHLESLQAMENNYVTMAREVENLHVELTNTANVDRRAVAGGTYGGVAGNNENETSGRPAGQNIYEDSYGAQHGQGQAAVPGKSGAGVAASTVTNSGARTPTYAGAQSGSTAPKSAYDASRGPGYDSSRGPAYDVLRGAGYDAQRGHIYDAQRGPGYDIPRGPTYDAQRLPGYDAQLQRLPGYDIQRGPHYDASRGAGYDAASRATTGPHGQMAPANNMHYGSATPPAHAGSGYEAPTRGGNHPVRR >Manes.02G064700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4928863:4931650:1 gene:Manes.02G064700.v8.1 transcript:Manes.02G064700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRIPPPHLRRPHPGPGIMHPDAFVPGVRPPLGPFPPFDMLPPLEVMEQKLAAQHVEMQRLAIENQRLAATHGNLRQELAAAQHELQMLRAHIGAMKSEREQQMRSLVDNISKTETELKSAEPVRLELQQARAEAENLVVARQELVSKLHQLTQDMHRAHADVQQIPVLMSELETLRQEYQQCRVSYDYEKKLFSDHLESLQAMENNYVTMAREVENLHVELTNTANVDRRAVAGGTYGGVAGNNENETSGRPAGQNIYEDSYGAQHGQGQAAVPGKSGAGVAASTVTNSGARTPTYAGAQSGSTAPKSAYDASRGPGYDSSRGPAYDVLRGAGYDAQRGHIYDAQRGPGYDIPRGPTYDAQRLPGYDAQLQRLPGYDIQRGPHYDASRGAGYDAASRATTGPHGQMAPANNMHYGSATPPAHAGSGYEAPTRGGNHPVRR >Manes.02G064700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4928863:4931576:1 gene:Manes.02G064700.v8.1 transcript:Manes.02G064700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRIPPPHLRRPHPGPGIMHPDAFVPGVRPPLGPFPPFDMLPPLEVMEQKLAAQHVEMQRLAIENQRLAATHGNLRQELAAAQHELQMLRAHIGAMKSEREQQMRSLVDNISKTETELKSAEPVRLELQQARAEAENLVVARQELVSKLHQLTQDMHRAHADVQQIPVLMSELETLRQEYQQCRVSYDYEKKLFSDHLESLQAMENNYVTMAREVENLHVELTNTANVDRRAVAGGTYGGVAGNNENETSGRPAGQNIYEDSYGAQHGQGQAAVPGKSGAGVAASTVTNSGARTPTYAGAQSGSTAPKSAYDASRGPGYDSSRGPAYDVLRGAGYDAQRGHIYDAQRGPGYDIPRGPTYDAQRLPGYDAQLQRLPGYDIQRGPHYDASRGAGYDAASRATTGPHGQMAPANNMHYGSATPPAHAGSGYEAPTRGGNHPVRR >Manes.05G037550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3095773:3098656:-1 gene:Manes.05G037550.v8.1 transcript:Manes.05G037550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVKRSSDTKYAVVTGANKGIGFEICRQLASHGIVVVLTARDEKRGLEALHKLKDSPLSELIVFHQLDVADDASIAAFANFIKTQFGKLDILVNNAGVNGIAVDDATFRPESGKEAANVDWQWHKKTTQNYELAEECLKINYYGAKRMVEEFIPLLQLSDSPRIVNVSSLLGILKYVCNGWAKEVLGDADNLSEERIDEVLSKYLEDFKEGSPESKGWPSFLSAYILSKAAMNAYTRILAKKLPTFRVNCVTPGFVKTDINYNNGLLSVEEGAESPVRLALLPNDGPSGCFFNQKEESPF >Manes.11G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6680180:6684382:-1 gene:Manes.11G054400.v8.1 transcript:Manes.11G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGDTVDSIKSIQIRQLLTQAVSLGMIVTSALIIWKGLMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERQETGEVEVLTKGDNNYGDDRLLYAQGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >Manes.14G173200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28683436:28692725:-1 gene:Manes.14G173200.v8.1 transcript:Manes.14G173200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYAMVCSSNQNRSMEAHSLLKRQGFDVSSYGTGSHVKLPGPSLREPNVYDFGTPYKQMFDDLRRKDPDLYKRNGILPMLKRNSSVKLAPQRWQENAADGSFDVVFSFEEKVFDMVIEDLYNRDQVLMKAVLVINLEVKDSHEEAAIGARLALDLCQDIEAAESWEDSIDEIITAFETKHRRKLLYSISFY >Manes.14G173200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28683436:28692725:-1 gene:Manes.14G173200.v8.1 transcript:Manes.14G173200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRYAMVCSSNQNRSMEAHSLLKRQGFDVSSYGTGSHVKLPGPSLREPNVYDFGTPYKQMFDDLRRKDPDLYKRNGILPMLKRNSSVKLAPQRWQENAADGSFDVVFSFEEKVFDMVIEDLYNRDQVLMKAVLVINLEVKDSHEEAAIGARLALDLCQDIEAAESWEDSIDEIITAFETKHRRKLLYSISFY >Manes.01G079300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28218333:28220870:-1 gene:Manes.01G079300.v8.1 transcript:Manes.01G079300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLICLLICFSLHACNARYIGLSAKETRTQDFTKDVLRVNLYETSVSSEMNSPIPEEFQAQREEVGEIDRRRRIPENSLGAGHKLIVSSQRNLQQTAKIEGLKKQARSYNKKALESGENDIVEDVVVMDYAQPHRKPPIHNEKP >Manes.01G079300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28218333:28220870:-1 gene:Manes.01G079300.v8.1 transcript:Manes.01G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLICLLICFSLHACNARYIGLSAKETRTQDFTKDVLRVNLYETSVSSEMNSPIPEEFQAQREEVGEIDRRRRIPENSLGATTKPKNVETLPKEEEGTKKKPSGAGHKLIVSSQRNLQQTAKIEGLKKQARSYNKKALESGENDIVEDVVVMDYAQPHRKPPIHNEKP >Manes.05G192760.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31580773:31581474:1 gene:Manes.05G192760.v8.1 transcript:Manes.05G192760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMGPRLYSCYNCRNHVSLHDDIISKAFQGRNGRAFLFSHAMNIIVGAKEDRHLITGLHTVADIYCADCREVLGWKYERAYEASQKYKEGKFILEKSKIVKENW >Manes.04G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27372685:27379636:1 gene:Manes.04G075900.v8.1 transcript:Manes.04G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEEQELTPEQLDEEFSVWKKNTPFLYDLVISHPLEWPSLTVQWVPLPDPLSHPTDPSSFSVHKLVLGTHTSDDFPNFLMVADAVLPIRTAEAKFDSQSESSLIPQVQITQKIHVDGEVNRARCMPQNPAIIAAKTSGCEAYVFDSSKQAEKQQQDSCDPDFRLRGHDKEGYGLSWSPFKQGYLISGSHDHKICLWDLSATSLDKVLDPMHVYESHESVVEDVSWHLKNENLFGSVGDDGQLMIWDLRTNQTQHSVKAHEKEVNYLSFNAYNEWILATASSDTTVGLFDMRKLTVPLHVLSGHTEEVFQVEWDPNHETVLASTADDRRLNVWDLNRIGEEQLELDADDGPPELLFSHGGHKAKISDFSWNKNEPWVISSVADDNTLQVWQMDEGIYRDDDDVIVADER >Manes.05G024600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2176988:2182790:1 gene:Manes.05G024600.v8.1 transcript:Manes.05G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRDRRLVQSSDRREPRGDPSTQVDQFSESAASDRKLIAIFILFFIVIPAVSVLVYRIKYAPNTYGTESHARQDGRVKTDLKYEEILSENSKVSKNASHRQYTYPVLAYITPWNSKGYEMAKRFTNKFTHLSPVWYDLRSQGTELILEGRHNADRGWISELRMKGGALVLPRVVLEAFPSEMLRKKKLRDKAIELVVSECKEMEYDGIVLESWSRWAAYGILSDPDMRNKALKFIKQLGHAIHSVSSSRSSKQPLQLVYVIGPPHSEKLQVHDFGPEDLQSLNDAVDGFSLMTYDFSSPHNPGPNAPLKWIHFTLQLLLGTTGNARTLAHKVFLGINFYGNDFLLSEGSGGGAIIGRDYLSLLEKHKPFLRWEENSAEHFFLYFDDENKKHAVFYPSLMSISLRLEEARSWGTGISIWEIGQGLDYFFDLL >Manes.13G108400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31152984:31161444:-1 gene:Manes.13G108400.v8.1 transcript:Manes.13G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFTCCQNSVTAITETAKVLQEQHLLIKLNRQLASLTHSNQFNIALHLFHKIRSLYHLNPDHYTLSTTLTACANLCNISFGNKLHAHAIKSGLKIYSHVSNTLLLLYAKVQDIVSVKWVFGEIENPDVFSYTTLLSACTKMGHVAYACEIFDEMPQRDLAVWNAMVTGCMESGNKEIGFGLFRDMCRLGVKRDNYSFASVLSGCNLITVDFGLQVHSLAIKTGLLVRISVVNALITMYFNRENVEDACLAFDEVEDSAHDQITYNVMIDGLASMGRVEEALMMFRKMLGKYLRPTEFTFVSLMSSCLHAEVGYQFHAQAIKLGFEAHTSLNNATISMYSICGDLSTACTVFQRLERKDIVSWNTMISSYAQGNFGTSAVLTYLEMQRNGIRADEFTFGSLLASSEIIETVEMIHALVFRNNLISIIQVSNALISSYSKHGNMKQAYQIFCDMSYTNLISWNTIISGFLLNRLAMQGLQQFSKLMVSEFRPNEYTLSIILSICASVLALRQGKQVHSYIIRLGFSLESSSGNALITMYSKCGLLDWSLRVFNAMTKRDLVSWNALISAYAQHGKGNEAVHWFETMQDLVQPDEATFTIILSACSHAGLVDDGIRIFNSMVSKYGVVPGFGHFSCIVDLLGRAGRFDEVEIIMNDENFEPHPDIWWTLLSACAARGNLKLGRLAAGLLLEAEQDNPSVYVLLSNMYAAAGQWEEAANVRQLMTDSKVMKQTGYSWISS >Manes.13G147100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36313066:36319046:1 gene:Manes.13G147100.v8.1 transcript:Manes.13G147100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAAHSSFAFSPSSRLKSSVPHPSPAFSPSGHRLLASFPEFRGLKIQMPSPKLSLLSTGARNSRVSRRSGGRVVCEAQETTIDIPAVTDATWQSLVLKADGPVLVEFWAPWCGPCRMIHPVVAELSVEYAGKLKFFKLNTDESPSIASKYGIRSIPTIMIFSNGEKKDAVIGAVPKTTLTTTIGKFL >Manes.13G147100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36313066:36319046:1 gene:Manes.13G147100.v8.1 transcript:Manes.13G147100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAAHSSFAFSPSSRLKSSVPHPSPAFSPSGHRLLASFPEFRGLKIQMPSPKLSLLSTGARNSRVSRRSGGRVVCEAQETTIDIPAVTDATWQSLVLKADGPVLVEFWAPWCGPCRMIHPVVAELSVEYAGKLKFFKLNTDESPSIASKYGIRSIPTIMIFSNGEKKDAVIGAVPKTTLTTTIGKFL >Manes.07G024300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2658265:2661909:1 gene:Manes.07G024300.v8.1 transcript:Manes.07G024300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFSKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLNKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGSEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGNTGQESHTAITEYDRLALVHVPSYSPVFNIMLLDIIQANS >Manes.07G024300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2658316:2662083:1 gene:Manes.07G024300.v8.1 transcript:Manes.07G024300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFSKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLNKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGSEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGNTGQESHTAITEYDRISHQWEDSPTMEW >Manes.07G024300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2658264:2662196:1 gene:Manes.07G024300.v8.1 transcript:Manes.07G024300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFSKDDPTKPCTLTAFLGYKAGMTHIVREVEKPGSKLNKKETCEAVTIIETPPMVVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFSKYSKKFESEDGKKDIQSQLEKMKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKHIPVDAVFQKDEMIDIIGVTKGKGSEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGNTGQESHTAITEYDRISHQWEDSPTMEW >Manes.09G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6640836:6647781:-1 gene:Manes.09G033200.v8.1 transcript:Manes.09G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMKKRKMEEASNGAEISPTQEQLRSLLDPLNKSQLVDLLSRLGSQYPSIAEEIKNVASADPVHRKLFVRGLAWNTTSETLCAAFRVHGEIEEGAVIYDKATGKSRGYGFITYKHIESTQSALAAPSKLIDGRMAVCNLACEGLSGATATSDSAQRKLYIGGLSPEITSEMLLNFFGRHGEIEEGSVAYDKDTNESRGFGFVTYKTVEAAKKAIEDPQKTLGGRTMIVKLADMHKGKTAQTQLPAPVVPMPLPMAAGYTQPGKEHPGPAPVGYSYPQTMAPYPASSYPSLSTAPPPYPPQSHISYPPVAVHKEPVRLSPSAPMAMGGYPYYLPKQ >Manes.11G112300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26011938:26022394:1 gene:Manes.11G112300.v8.1 transcript:Manes.11G112300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSSKVEEDKALHLCRERKKFVRQALDGRCSLAAAHVAYVQSLKNVGTALSKFIESEAPIESSLYTSTNATPEPLALTEKSLSHFSISSPSLSHPVDANLSLSPSPPSSSRFHANHMKFRGFSSRKVEEKPPVVVTETLTSSSIPQNTTPRSLEKPETSPFEGSSVPPGTTPWDYFELLHPIDHELSFQELKEMKPGLENIDDVRRLREEEGIPELEDEEEKHSFHASEESEDSECEFDDPPADTLVRSFENVNRVQDHVAPSASPAMPSAGSVTSENELLNGEKSNSPHLSPLRTPTSAVAVSSETKKTPVKEDGTENKISPKDFFSSIKDIEYLFIKASEAGKEVPRMLEANKLHFRPIAPAKENGSVLSMFLKACLSCGEDPSQVQEEPAQNSVKYLTWHRTTSSRSSSSRNPLGSHARNDTEDLSGDTFENFCMISGSHASTLDRLYAWERKLYDEVKASSMVRREYDVKRTILRQLESKGENNNKIDKTRAVVKDLHSRIRVAIHRIDSISKRIEELRDKELQPQLEELIDGLSRMWEVMFECHKLQFHIISIAYKNGSAKISMQSESHRQITILLENELDSLSSCFTKWIGAQSSYLQAINDWLFKCVFLPEKPTKKKRKQPSPSLTLRRSGPPIYVTCGVWLEKLENLPAKAVADAMKGLAGETANLLPRQEKNQGKSSNLGLSKADNGSDSGINMLRDEASEDFISGFERFRLSLEGFLGQLNNFAECSVKMYEELKKSIQDAKNPPSQPPFQPQVV >Manes.11G112300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26011938:26022394:1 gene:Manes.11G112300.v8.1 transcript:Manes.11G112300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSSKVEEDKALHLCRERKKFVRQALDGRCSLAAAHVAYVQSLKNVGTALSKFIESEAPIESSLYTSTNATPEPLALTEKSLSHFSISSPSLSHPVDANLSLSPSPPSSSRFHANHMKFRGFSSRKVEEKPPVVVTETLTSSSIPQNTTPRSLEKPETSPFEGSSVPPGTTPWDYFELLHPIDHELSFQELKEMKPGLENIDDVRRLREEEGIPELEDEEEKHSFHASEESEDSECEFDDPPADTLVRSFENVNRVQDHVAPSASPAMPSAGSVTSENELLNGEKSNSPHLSPLRTPTSAVAVSSETKKTPVKEDGTENKISPKDFFSSIKDIEYLFIKASEAGKEVPRMLEANKLHFRPIAPAKENGSVLSMFLKACLSCGEDPSQVQEEPAQNSVKYLTWHRTTSSRSSSSRNPLGSHARNDTEDLSGDTFENFCMISGSHASTLDRLYAWERKLYDEVKASSMVRREYDVKRTILRQLESKGENNNKIDKTRAVVKDLHSRIRVAIHRIDSISKRIEELRDKELQPQLEELIDGLSRMWEVMFECHKLQFHIISIAYKNGSAKISMQSESHRQITILLENELDSLSSCFTKWIGAQSSYLQAINDWLFKCVFLPEKPTKKKRKQPSPSLTLRRSGPPIYVTCGVWLEKLENLPAKAVADAMKGLAGETANLLPRQEKNQGKSSNLGLSKADNGSDSGINMLRDEASEDFISGFERFRLSLEGFLGQLNNFAECSVKMYEELKKSIQDAKNPPSQPPFQPQVV >Manes.11G112300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26011938:26022394:1 gene:Manes.11G112300.v8.1 transcript:Manes.11G112300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSSKVEEDKALHLCRERKKFVRQALDGRCSLAAAHVAYVQSLKNVGTALSKFIESEAPIESSLYTSTNATPEPLALTEKSLSHFSISSPSLSHPVDANLSLSPSPPSSSRFHANHMKFRGFSSRKVEEKPPVVVTETLTSSSIPQNTTPRSLEKPETSPFEGSSVPPGTTPWDYFELLHPIDHELSFQELKEMKPGLENIDDVRRLREEEGIPELEDEEEKHSFHASEESEDSECEFDDPPADTLVRSFENVNRVQDHVAPSASPAMPSAGSVTSENELLNGEKSNSPHLSPLRTPTSAVAVSSETKKTPVKEDGTENKISPKDFFSSIKDIEYLFIKASEAGKEVPRMLEANKLHFRPIAPAKENGSVLSMFLKACLSCGEDPSQVQEEPAQNSVKYLTWHRTTSSRSSSSRNPLGSHARNDTEDLSGDTFENFCMISGSHASTLDRLYAWERKLYDEVKASSMVRREYDVKRTILRQLESKGENNNKIDKTRAVVKDLHSRIRVAIHRIDSISKRIEELRDKELQPQLEELIDGLSRMWEVMFECHKLQFHIISIAYKNGSAKISMQSESHRQITILLENELDSLSSCFTKWIGAQSSYLQAINDWLFKCVFLPEKPTKKKRKQPSPSLTLRRSGPPIYVTCGVWLEKLENLPAKAVADAMKGLAGETANLLPRQEKNQGKSSNLGLSKADNGSDSGINMLRDEASEDFISGFERFRLSLEGFLGQLNNFAECSVKMYEELKKSIQDAKNPPSQPPFQPQVV >Manes.11G112300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26011938:26022394:1 gene:Manes.11G112300.v8.1 transcript:Manes.11G112300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSSKVEEDKALHLCRERKKFVRQALDGRCSLAAAHVAYVQSLKNVGTALSKFIESEAPIESSLYTSTNATPEPLALTEKSLSHFSISSPSLSHPVDANLSLSPSPPSSSRFHANHMKFRGFSSRKVEEKPPVVVTETLTSSSIPQNTTPRSLEKPETSPFEGSSVPPGTTPWDYFELLHPIDHELSFQELKEMKPGLENIDDVRRLREEEGIPELEDEEEKHSFHASEESEDSECEFDDPPADTLVRSFENVNRVQDHVAPSASPAMPSAGSVTSENELLNGEKSNSPHLSPLRTPTSAVAVSSETKKTPVKEDGTENKISPKDFFSSIKDIEYLFIKASEAGKEVPRMLEANKLHFRPIAPAKENGSVLSMFLKACLSCGEDPSQVQEEPAQNSVKYLTWHRTTSSRSSSSRNPLGSHARNDTEDLSGDTFENFCMISGSHASTLDRLYAWERKLYDEVKASSMVRREYDVKRTILRQLESKGENNNKIDKTRAVVKDLHSRIRVAIHRIDSISKRIEELRDKELQPQLEELIDGLSRMWEVMFECHKLQFHIISIAYKNGSAKISMQSESHRQITILLENELDSLSSCFTKWIGAQSSYLQAINDWLFKCVFLPEKPTKKKRKQPSPSLTLRRSGPPIYVTCGVWLEKLENLPAKAVADAMKGLAGETANLLPRQEKNQGKSSNLGLSKADNGSDSGINMLRDEASEDFISGFERFRLSLEGFLGQLNNFAECSVKMYEELKKSIQDAKNPPSQPPFQPQVV >Manes.12G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33346278:33347151:1 gene:Manes.12G127100.v8.1 transcript:Manes.12G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMQPMSRLYDSGTCSKKYNFHSAANFMDVLSYKKLLATSKSKEDTKVISAQKHKEAERKRRIRINDQYANLRTVLPNLIKRNKASVLAETIQRVKELENTVSELKKIYGIGSLDCVFSDGADMLRVEQSKWQGEKLVKVMFSCEDKKKLMSEIARAVRSVKGKLVRAEIATMCGWAECVLWVQGLNGNQQMEILRTALDAVIEPRMLNNKPH >Manes.S025152.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2139366:2139488:1 gene:Manes.S025152.v8.1 transcript:Manes.S025152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.16G039700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5148846:5156964:1 gene:Manes.16G039700.v8.1 transcript:Manes.16G039700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRPKDSAEGSSRSGGDHHHHQQQQVISQPAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCNCNHVLDFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSSDTNPFGNGAIRVYLREVRECQAKARGIPYKKKKKKKNQIKPREDAKPSMQTA >Manes.16G039700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5149020:5156079:1 gene:Manes.16G039700.v8.1 transcript:Manes.16G039700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRPKDSAEGSSRSGGDHHHHQQQQVISQPAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCNCNHVLDFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSSDTNPFGNGAIRVYLREVRECQAKARGIPYKKKKKKKNQIKPREDAKPSMQTA >Manes.14G149000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16893018:16900703:-1 gene:Manes.14G149000.v8.1 transcript:Manes.14G149000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTYKFSWFSSHLPWYPVVHCATLWRTDQVTYHRCFSLEPPLQNFFLTQGNRVTSLATKVSIFPYAKCSHNQGGKSKPNIRADESRKNVKKDGKGRHHLWKKRDPARSGVKAINLVRIISELPNEKEAVYGALDKWAAWETEFPLFAAAKALRILRENSQWLRVIQVAKWMLSKGQGTTMGTYDTLLLAFDKDNRIDEAESLWNMILHTHTRSISKRLFSRMISLYDHHNMPDELIEVFADMEELGVRPDEDTIRRVTHAFKILGQEDKQKMVVKKYLSRWKYIHFKGERIRVRRDI >Manes.18G144892.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21651964:21653452:1 gene:Manes.18G144892.v8.1 transcript:Manes.18G144892.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHLPLEEQRLRLSKLKDMEKPSFKSFKLQNLVSSSQLFKTRVKLIKTMKDLKKNHENTLRRSRPHLGQKERRNLLLFLPLGALIDEQPPQEFRCLNLAGYYILVPLKTRQSLRFDFITNSVNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVEVADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.14G168800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27408240:27420681:-1 gene:Manes.14G168800.v8.1 transcript:Manes.14G168800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLQNDDAYKDLSSDDDDDDGFDDDIEALRRACTLAGTNLSDLNIENGENNTRYPSAEVDRESSGGEGDEDEDDFKLFRNIKNRFLMGTDLGEPFYLKPICAIPFDLDDEEDDFETLCAVRKRFVAYDNSDISERRLENVADKSEGVDASSAVSELVTSDDSIIGRRACKVFPDTEESLNSTLISDSMEIQPSGYIEQQTLLDACKFSSLGLKDSSNFPKSAQVFVDAIKKNRSCQKFLRSKLAQIEARIEENKKLKERVKILRDFQASCKKITGRALAQRKDPRIQLISTPRISNSRDSKVNDKKVSAMHTGPLENSHVANYRMALTNFPLHLSRKKWTEAERENLRKGIRQQFQEMVLQFSVDEFSGLEGSPGNVNDLDAILASIREMEITPERIREFLPKVNWDQLASLYVVGRTGAECEAQWLNSEDPLINHNKWTAEEDKKLLFIVQEKGMTNWFNIAVSLGTNRTPFQCLARFQRSLNAHIIRKEWTEEEDAQLHNAVGIYGEHDWQSVASTLEGRTGTQCSNRWKKSIHPDKGRKGRWTLDERKRLKVAVMLFGPKNWNKIARFVPERTATQCRERWVNSEDPSLNMDQWTEEEDTRLKAAIEEYGYCWAKIAKCLPPRTDSQCRRRWKVLLPHEVPLLQAARKTQKVAIIGNFVDRESERPALGPHDFVPSSMITSVSGSEKTSQSRKRKRKTREGGVSGKETNAAASNMQDPGVTNGIEVEKWIKSSSEKNCLMKPSQDVIGDEGGDIRKKRKLPKLHLKRNMLFETYGNRHPECLELGMTNVDGLKTSGRESGTPSEEIRDQEQHSDSNVCTSTGEEERSIAITNVTELDHDHQVSNVLVINDDHGETVGQPDVSSKDVTNLSLKGKALMEIESRVDNGEGVGKSSLDGISLIKARKTPHCMSKSRTELSGQCNYVESIPCQHDGHRKPKLSKSRSKHVLEMNDEDDGMLASFCQNTAKKRRLQVVKNADKKSCNKQVLETNDEDDVTLASFCQSISKKKRLQVVKNLDQTSPPLYMGSDSVSKRVDQHNDHNKRSSLVKDGEVQTHCSGGLAENVLSDEPNMVDMANCWTDAPQEPMRQPIDHDDDLETSDITLASLLHDKQQKRGQVFTRSGGQPNNLPRVKKRSRSLAKGVKQCCNGRSSKTLSCCEIQSSGTSSMPTNPCHLVMESVSTSVGVHEDTEVLPPGL >Manes.14G168800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27409263:27420681:-1 gene:Manes.14G168800.v8.1 transcript:Manes.14G168800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLQNDDAYKDLSSDDDDDDGFDDDIEALRRACTLAGTNLSDLNIENGENNTRYPSAEVDRESSGGEGDEDEDDFKLFRNIKNRFLMGTDLGEPFYLKPICAIPFDLDDEEDDFETLCAVRKRFVAYDNSDISERRLENVADKSEGVDASSAVSELVTSDDSIIGRRACKVFPDTEESLNSTLISDSMEIQPSGYIEQQTLLDACKFSSLGLKDSSNFPKSAQVFVDAIKKNRSCQKFLRSKLAQIEARIEENKKLKERVKILRDFQASCKKITGRALAQRKDPRIQLISTPRISNSRDSKVNDKKVSAMHTGPLENSHVANYRMALTNFPLHLSRKKWTEAERENLRKGIRQQFQEMVLQFSVDEFSGLEGSPGNVNDLDAILASIREMEITPERIREFLPKVNWDQLASLYVVGRTGAECEAQWLNSEDPLINHNKWTAEEDKKLLFIVQEKGMTNWFNIAVSLGTNRTPFQCLARFQRSLNAHIIRKEWTEEEDAQLHNAVGIYGEHDWQSVASTLEGRTGTQCSNRWKKSIHPDKGRKGRWTLDERKRLKVAVMLFGPKNWNKIARFVPERTATQCRERWVNSEDPSLNMDQWTEEEDTRLKAAIEEYGYCWAKIAKCLPPRTDSQCRRRWKVLLPHEVPLLQAARKTQKVAIIGNFVDRESERPALGPHDFVPSSMITSVSGSEKTSQSRKRKRKTREGGVSGKETNAAASNMQDPGVTNGIEVEKWIKSSSEKNCLMKPSQDVIGDEGGDIRKKRKLPKLHLKRNMLFETYGNRHPECLELGMTNVDGLKTSGRESGTPSEEIRDQEQHSDSNVCTSTGEEERSIAITNVTELDHDHQVSNVLVINDDHGETVGQPDVSSKDVTNLSLKGKALMEIESRVDNGEGVGKSSLDGISLIKARKTPHCMSKSRTELSGQCNYVESIPCQHDGHRKPKLSKSRSKHVLEMNDEDDGMLASFCQNTAKKRRLQVVKNADKKSCNKQVLETNDEDDVTLASFCQSISKKKRLQVVKNLDQTSPPLYMGSDSVSKRVDQHNDHNKRSSLVKDGEVQTHCSGGLAENVLSDEPNMVDMANCWTDAPQEPMRQPIDHDDDLETSDITLASLLHDKQQKRGQVFTRSGGQPNNLPRVKKRSRSLAKGVKQCCNGRSSKTLSCCEIQSSGTSSMPTNPCHLVMESVSTSVGVHEDTEVLPPGL >Manes.14G168800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27408240:27420681:-1 gene:Manes.14G168800.v8.1 transcript:Manes.14G168800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLQNDDAYKDLSSDDDDDDGFDDDIEALRRACTLAGTNLSDLNIENGENNTRYPSAEVDRESSGGEGDEDEDDFKLFRNIKNRFLMGTDLGEPFYLKPICAIPFDLDDEEDDFETLCAVRKRFVAYDNSDISERRLENVADKSEGVDASSAVSELVTSDDSIIGRRACKVFPDTEESLNSTLISDSMEIQPSGYIEQQTLLDACKFSSLGLKDSSNFPKSAQVFVDAIKKNRSCQKFLRSKLAQIEARIEENKKLKERVKILRDFQASCKKITGRALAQRKDPRIQLISTPRISNSRDSKVNDKKVSAMHTGPLENSHVANYRMALTNFPLHLSRKKWTEAERENLRKGIRQQFQEMVLQFSVDEFSGLEGSPGNVNDLDAILASIREMEITPERIREFLPKVNWDQLASLYVVGRTGAECEAQWLNSEDPLINHNKWTAEEDKKLLFIVQEKGMTNWFNIAVSLGTNRTPFQCLARFQRSLNAHIIRKEWTEEEDAQLHNAVGIYGEHDWQSVASTLEGRTGTQCSNRWKKSIHPDKGRKGRWTLDERKRLKVAVMLFGPKNWNKIARFVPERTATQCRERWVNSEDPSLNMDQWTEEEDTRLKAAIEEYGYCWAKIAKCLPPRTDSQCRRRWKVLLPHEVPLLQAARKTQKVAIIGNFVDRESERPALGPHDFVPSSMITSVSGSEKTSQSRKRKRKTSNMQDPGVTNGIEVEKWIKSSSEKNCLMKPSQDVIGDEGGDIRKKRKLPKLHLKRNMLFETYGNRHPECLELGMTNVDGLKTSGRESGTPSEEIRDQEQHSDSNVCTSTGEEERSIAITNVTELDHDHQVSNVLVINDDHGETVGQPDVSSKDVTNLSLKGKALMEIESRVDNGEGVGKSSLDGISLIKARKTPHCMSKSRTELSGQCNYVESIPCQHDGHRKPKLSKSRSKHVLEMNDEDDGMLASFCQNTAKKRRLQVVKNADKKSCNKQVLETNDEDDVTLASFCQSISKKKRLQVVKNLDQTSPPLYMGSDSVSKRVDQHNDHNKRSSLVKDGEVQTHCSGGLAENVLSDEPNMVDMANCWTDAPQEPMRQPIDHDDDLETSDITLASLLHDKQQKRGQVFTRSGGQPNNLPRVKKRSRSLAKGVKQCCNGRSSKTLSCCEIQSSGTSSMPTNPCHLVMESVSTSVGVHEDTEVLPPGL >Manes.10G055300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6997701:7003613:1 gene:Manes.10G055300.v8.1 transcript:Manes.10G055300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGWETTAGKTKTATPNSWAQQTEESYQLQRALALRLSSQAALANDPNFLVFKSDDRLGGIDSFSDSAEAVSHRFWVNGSLTYFDKIPDGFYLIHGVDPYAWTLSTDQQDIGLVPSFESLKALDPCADLSIKVVSVDRFRDPGLKELLNSVINNSSSWLTTKDVIVQLANLVTNRMGGVTSNGEEHFGKCWNECTEVLINRLRSVVLPIGTLRVGLCVHRALLFKVLADSINLPCRIAKGCKHCRRDVSASCLVLVGNEREYLVDLFGKPGTLSQPDCSLNCTSSILVSSPLSHPSFRPIQTAEDFRTFAKLFFDSQSLNLAFDDTNSVTSTDHDEKSSQTLLKDSKNLVPTSSNNLGALPPLLKRVATNITHGKDLGVFNSSSESDKAKRPIPPVSVFFNPKSDVSNYQLFLEANQSFASKSSSELHLDEDDLDIPWSELDLKEKIGEGSFGTVYRADWHGSDVAVKILMEQDYHAERFNEFLREVKIMKRLRHPNIVLFMGAVTQPPSLSIVTEYLSRGSLHKLLHMPDVGLILDEKRRLNMAYDVAKGMNYLHQLRPPIVHRDLKSLNLLVDSTYTVKVSIRNLTHSYL >Manes.10G055300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6997701:7010316:1 gene:Manes.10G055300.v8.1 transcript:Manes.10G055300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGWETTAGKTKTATPNSWAQQTEESYQLQRALALRLSSQAALANDPNFLVFKSDDRLGGIDSFSDSAEAVSHRFWVNGSLTYFDKIPDGFYLIHGVDPYAWTLSTDQQDIGLVPSFESLKALDPCADLSIKVVSVDRFRDPGLKELLNSVINNSSSWLTTKDVIVQLANLVTNRMGGVTSNGEEHFGKCWNECTEVLINRLRSVVLPIGTLRVGLCVHRALLFKVLADSINLPCRIAKGCKHCRRDVSASCLVLVGNEREYLVDLFGKPGTLSQPDCSLNCTSSILVSSPLSHPSFRPIQTAEDFRTFAKLFFDSQSLNLAFDDTNSVTSTDHDEKSSQTLLKDSKNLVPTSSNNLGALPPLLKRVATNITHGKDLGVFNSSSESDKAKRPIPPVSVFFNPKSDVSNYQLFLEANQSFASKSSSELHLDEDDLDIPWSELDLKEKIGEGSFGTVYRADWHGSDVAVKILMEQDYHAERFNEFLREVKIMKRLRHPNIVLFMGAVTQPPSLSIVTEYLSRGSLHKLLHMPDVGLILDEKRRLNMAYDVAKGMNYLHQLRPPIVHRDLKSLNLLVDSTYTVKVVAAVGFKGEKLEVPTYVNPSVAALIDICLTSEPSKRPSFSYIMETLQKLINNSISQSPNVHVR >Manes.10G055300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6997701:7010316:1 gene:Manes.10G055300.v8.1 transcript:Manes.10G055300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGWETTAGKTKTATPNSWAQQTEESYQLQRALALRLSSQAALANDPNFLVFKSDDRLGGIDSFSDSAEAVSHRFWVNGSLTYFDKIPDGFYLIHGVDPYAWTLSTDQQDIGLVPSFESLKALDPCADLSIKVVSVDRFRDPGLKELLNSVINNSSSWLTTKDVIVQLANLVTNRMGGVTSNGEEHFGKCWNECTEVLINRLRSVVLPIGTLRVGLCVHRALLFKVLADSINLPCRIAKGCKHCRRDVSASCLVLVGNEREYLVDLFGKPGTLSQPDCSLNCTSSILVSSPLSHPSFRPIQTAEDFRTFAKLFFDSQSLNLAFDDTNSVTSTDHDEKSSQTLLKDSKNLVPTSSNNLGALPPLLKRVATNITHGKDLGVFNSSSESDKAKRPIPPVSVFFNPKSDVSNYQLFLEANQSFASKSSSELHLDEDDLDIPWSELDLKEKIGEGSFGTVYRADWHGSDVAVKILMEQDYHAERFNEFLREVKIMKRLRHPNIVLFMGAVTQPPSLSIVTEYLSRGSLHKLLHMPDVGLILDEKRRLNMAYDVAKGMNYLHQLRPPIVHRDLKSLNLLVDSTYTVKVCDFGLSRSKANTYLSSRTAAGTPEWMAPEVLRNDPSNEKADVYSFGVILWEIMTLQRPWRNLNQAQVVAAVGFKGEKLEVPTYVNPSVAALIDICLTSEPSKRPSFSYIMETLQKLINNSISQSPNVHVR >Manes.16G120100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32325824:32327113:1 gene:Manes.16G120100.v8.1 transcript:Manes.16G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRNFLNAAKYLTSKRNYTVNLHLMMYHCSRKSQDEVLPSRWYNKAFPSITKLTQQLKNVDLIDGKLVNINDDSIIIDDRIAEKMNTLKSLVRVFIGSPWVQQNLKENVEAASATTKFKPVVSFSKLSEREPMTVNSLTKISNFLGVSAQQRKLVRSTICPQVTQHRIFTGALEEILNGLKSEMDFLHYDCSGKGCNMGQQIISSCLNFLAHTDISDHESTSWMQLGSHKSVNPHCSGTWEDVLEMFNDLIRYLESEKGLVYHVAKLEVMKEGLSQIKDVLIDKAIGYKEVRHQESLVQKKLSKTLGYSSRCLSTLLLYYLYGHVRDIEVDLCGGVYGGEGGARFCLCMGRILTSDEEKMVRSGVKQLDRALGLFKFIWETARMQGVLELQGHLWCVGAKDRTFTYRGTLFFVHGIIDKIEINGSNEL >Manes.08G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34883917:34890591:-1 gene:Manes.08G110100.v8.1 transcript:Manes.08G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSTRTEVRKKAYKTGVDADEARRRREDNLVEIRKNKREDSLLKKRREGLLLQSQHLLDAAQNAAAIEKRLESIPLMVQALWSDDPASQLEATTQFRKLLSIERSPPIDEVIKAGVVPRFVEFLGRHGLPQLQFEAAWALTNVASGTSEHTRVVIEHGAVPMFVQLLGSASDDVREQAVWALGNVAGDSPSCRDLVLGHGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPPTPFDQVKPALPILRQLIHLNDEEVLTDACWALSYLSDGPNDKIQAVIDAGVCQRLVELLLHPSPTVLIPALRTVGNIVTGDDTQTQFVIDNQALPRLYQLLMQNHKKSIKKEACWTISNITAGNRTQIQAVIEANIIVHLVHLLQNAEFDIKKEAAWAISNATSGGSQEQIQFLVSQGCMKPLCDLLICPDPRIVTVCLEGLENILKVGEADKEMGKNNGVNLYAQMIDECDGLDKIENLQTHDNNEIYEKAVKILERYWAEEEEEQNVEDGDGNQQGFGFGTNQLNAPQGGFKFG >Manes.08G110100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34883889:34890591:-1 gene:Manes.08G110100.v8.1 transcript:Manes.08G110100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSTRTEVRKKAYKTGVDADEARRRREDNLVEIRKNKREDSLLKKRREGLLLQSQHLLDAAQNAAAIEKRAVWALGNVAGDSPSCRDLVLGHGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPPTPFDQVKPALPILRQLIHLNDEEVLTDACWALSYLSDGPNDKIQAVIDAGVCQRLVELLLHPSPTVLIPALRTVGNIVTGDDTQTQFVIDNQALPRLYQLLMQNHKKSIKKEACWTISNITAGNRTQIQAVIEANIIVHLVHLLQNAEFDIKKEAAWAISNATSGGSQEQIQFLVSQGCMKPLCDLLICPDPRIVTVCLEGLENILKVGEADKEMGKNNGVNLYAQMIDECDGLDKIENLQTHDNNEIYEKAVKILERYWAEEEEEQNVEDGDGNQQGFGFGTNQLNAPQGGFKFG >Manes.10G112149.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26551972:26574103:1 gene:Manes.10G112149.v8.1 transcript:Manes.10G112149.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLYNSPIEGNKYYADFLIRFSMDLLLMSANKFLGDEKAPLVLEFCNLLREVSNEDPLYQSCRTTLRKLLEIGNYEIHLNNGLRTMLEILDFFKESLVALLHGLGQAFFPSAHIQSLKIQFRDFRTFSRALWQAIHGQAETDEDGEVDNEDDETDEDDEVNNEDDERKVDNEDDKRTKMIVRVSTRAIKVGFIEVLKKMEVHLSRLPLIVQGLKYTDALVFNDAMRSISFLYLAILKELNSMSQLVKGGKDKFRQVLEGHKNSLPLMIKNVTRKDDYDWLLEYNADITRKDYHGWLLEHNDVLDSASRMHLLMMKMIPEKKLHDPLLYKPLIRWSKYMDEKLYEQFRKKNLTDSQVLQDCLCKLCQILFKPQNLLFLACPNDPTKFYPNPELKRQPLHLDSFKISGIVIALALMHEVHIGIAFHHLFLLLLAGNDISMEDIREACPSFCNKKAKEPSHDDNLIRKEFIQSVSEQINFFKQGFHSVFGESINQLLSYRGIELEDLNQVLQGNLNLKFNFGKKRKYEDNESDPLTSQNNESDPLMYQFFKVNRQRVSITEWQEGKFLGKGGFGVVYEGYAPGGFFFAIKEIKIENEGMIEEINHEIDLLYQLRHPNIVRYYGTERRGSKVYIFLKLVRPGSLKQIYKEDGFKLEDSQVSHYTKQILEGLNYLHGLGVAHRDIKCENILVNYKGRVKITDFGLAKVPELNAFMKSCCGTIPWMAPEVIKRDTEYGFKADIWSLGCTVLEMLTGKSPYSDLDCDHRPTAAELLQDPFVKGSDPRNRGPQQ >Manes.15G116500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9305686:9306443:1 gene:Manes.15G116500.v8.1 transcript:Manes.15G116500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQLKPVASLLLVLNFCMYVIILGIGGWAVNRAIDHGFIIGPGLDLPAHFSPIYFPMGNAATGFFVTFALIAGVVGAASALAGLNHIRSWNADSLPAAASVATIAWTLTLLAMGFGCKEIELHLRNARLRTMEAFLIILSATQLLYIAAIHGASAIRRT >Manes.04G134200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33507407:33514379:1 gene:Manes.04G134200.v8.1 transcript:Manes.04G134200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPFPPQSTFPPPPQPPQQQQQQQQQRPNQYTQNYSQMAPAGAASSSTFPQNYPQIPTQQNFSQHYPPRHAQQPAPPPPPPHQQYPYQPPPPPPAPESSYPPPPPPPAAPAQQQPPPPMYYPSSQYSQYGHQPLQQPMQPPPPPPPPSSPPSSSIPPPPPPSSPPPPPPKDSITERGKPVPEVRRERGHSSHSGVVKQKPQKPPVPPGGKKGNGPSGRVETEEERRLRKKKEFEKQRLEGKHRQQLKESQNTILQKTQLLSSQKGHGSIVGSRMGDRRATPLLGGERIENRLKKPTTFLCKMKFRNELPDPSAQPKFMTLKRDKDRFTKYTITSLEKMHKPQLYTEPDLGIPLDLLDLSVYNPPKVRQPLAPEDEELLRDDDELVTPVKRDGIRKKERPTDKGVSWLVKTQYISPLSMESAKQSLTEKQAKELRESKVGRNLLENLNNRESQIKEIEASFEASKLPPVHATNKNLRPVEILPLLPDFARYKDKFVSVTFDNAPTADSESYSKLDQSVRDACESQAIMKACVTSSSDPAKQEKFLAYMAPNPNELSKDVYDENEDISYNWVREYNWDQVRGDDANDPTTFLVSLDEDAARYVPLPTKINLRKKRASEGRSADEVEQFPPPSRITVRNRPTAAAIEIRNTGANSNSRGNILNSRMGMSDDDDDALARMRRLAKGKGIDHSGGAEDDLSD >Manes.04G134200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33507407:33514379:1 gene:Manes.04G134200.v8.1 transcript:Manes.04G134200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRPFPPQSTFPPPPQPPQQQQQQQQQRPNQYTQNYSQMAPAGAASSSTFPQNYPQIPTQQNFSQHYPPRHAQQPAPPPPPPHQQYPYQPPPPPPAPESSYPPPPPPPAAPAQQQPPPPMYYPSSQYSQYGHQPLQQPMQPPPPPPPPSSPPSSSIPPPPPPSSPPPPPPKDSITERGKPVPEVRRERGHSSHSGVVKQKPQKPPVPPGGKKGNGPSGRVETEEERRLRKKKEFEKQRLEGKHRQQLKESQNTILQKTQLLSSQKGHGSIVGSRMGDRRATPLLGGERIENRLKKPTTFLCKMKFRNELPDPSAQPKFMTLKRDKDRFTKYTITSLEKMHKPQLYTEPDLGIPLDLLDLSVYNPPKVRQPLAPEDEELLRDDDELVTPVKRDGIRKKERPTDKGVSWLVKTQYISPLSMESAKQSLTEKQAKELRESKVGRNLLENLNNRESQIKEIEASFEASKLPPVHATNKNLRPVEILPLLPDFARYKDKFVSVTFDNAPTADSESYSKLDQSVRDACESQAIMKACVTSSSDPAKQEKFLAYMAPNPNELSKDVYDENEDISYNWVREYNWDVRGDDANDPTTFLVSLDEDAARYVPLPTKINLRKKRASEGRSADEVEQFPPPSRITVRNRPTAAAIEIRNTGANSNSRGNILNSRMGMSDDDDDALARMRRLAKGKGIDHSGGAEDDLSD >Manes.03G196500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31429297:31435341:1 gene:Manes.03G196500.v8.1 transcript:Manes.03G196500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTITRIIADLEETHCNQNPQPFLSRSTLEDLQSLLDVNDPQLLDQFFFDLSSKSISPSLLLPPLTSTMDSGPTHLSLISSKVYLSLLLSPNSPVFTLFTPMSFLTLLRSLRRYFKHRPPPPMGEGHSSASGCGGALVKKRKGRASKQNGRNKGDNIEANSNYGHGERESEFFDARLLFFVLEKLILVLDLIHLNRFPDSMKSLIHTVVEIPAMAIDIGGSVLNFNQLTVLCSKILSQLLKSDHGEEGETAAEVLKSLAPLILAPKSPARSFALGFVKHLMTGKAKKNEGVKRALVHLPRYLAQKAPEKAEPRVLIVEAITEIVRAMELTDQIEFAEYAIKMTQGKANLRLMGVDLTLNLMMFLKDPFGVYLDCEVKDLWGFHCLEALIQRCSDSSAGIRTRALSNLAQLVGFLSSDDKNCAVLKEIMGLGEGGTKRMEGGINDMLRKRCMDEKANVRRAALVLVTKLTALMDANFDGVVLKIMGMACSDPLVSIRKAAISALSEALRIFSDEIVTIEWLHSVPRLITDNEPSIQEECENLFLELVLDRISRAGSVETPDSDSTFSHSNMRAKGFQREIETLFPEGVLVLLKEVCNGEVIPWVRKICSNLGKKKRLKPKLATALQNIIKTSESLWLSHSKPIEKWTAPPGTWFLLSEVSAYLSKAVGWEFLCHHWQLLDKFGTASGVENMYEHEEGSESNSVAWAGDRVFLLQTISNVSVELPPESAADLAHNLLKRIEEFNMHSTEVSAHVKALRTLCKRKALNPDEADALVIKWVRQVLSKASKILEKYISGDLAANNRNSFFTPPRSESTKSKRAAAMCQLQSEAVTAAYTIGSLVTVCPSADVSSIVPILHTIITSGNSDPKLSKLPGSTVNMKQKALSLYIHGWLTMGKICLADGKLAKSYIPLFVQEIDKSDCAALRNNLIVTMSDFCVRYTALVDCYISKITKCLCDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKVKAPLLAYNSFVEAIYVLNDCNAHNGHSGSKNSRTENRIFSISDCHLQGQ >Manes.03G196500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31429297:31435275:1 gene:Manes.03G196500.v8.1 transcript:Manes.03G196500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTITRIIADLEETHCNQNPQPFLSRSTLEDLQSLLDVNDPQLLDQFFFDLSSKSISPSLLLPPLTSTMDSGPTHLSLISSKVYLSLLLSPNSPVFTLFTPMSFLTLLRSLRRYFKHRPPPPMGEGHSSASGCGGALVKKRKGRASKQNGRNKGDNIEANSNYGHGERESEFFDARLLFFVLEKLILVLDLIHLNRFPDSMKSLIHTVVEIPAMAIDIGGSVLNFNQLTVLCSKILSQLLKSDHGEEGETAAEVLKSLAPLILAPKSPARSFALGFVKHLMTGKAKKNEGVKRALVHLPRYLAQKAPEKAEPRVLIVEAITEIVRAMELTDQIEFAEYAIKMTQGKANLRLMGVDLTLNLMMFLKDPFGVYLDCEVKDLWGFHCLEALIQRCSDSSAGIRTRALSNLAQLVGFLSSDDKNCAVLKEIMGLGEGGTKRMEGGINDMLRKRCMDEKANVRRAALVLVTKLTALMDANFDGVVLKIMGMACSDPLVSIRKAAISALSEALRIFSDEIVTIEWLHSVPRLITDNEPSIQEECENLFLELVLDRISRAGSVETPDSDSTFSHSNMRAKGFQREIETLFPEGVLVLLKEVCNGEVIPWVRKICSNLGKKKRLKPKLATALQNIIKTSESLWLSHSKPIEKWTAPPGTWFLLSEVSAYLSKAVGWEFLCHHWQLLDKFGTASGVENMYEHEEGSESNSVAWAGDRVFLLQTISNVSVELPPESAADLAHNLLKRIEEFNMHSTEVSAHVKALRTLCKRKALNPDEADALVIKWVRQVLSKASKILEKYISGDLAANNRNSFFTPPRSESTKSKRAAAMCQLQSEAVTAAYTIGSLVTVCPSADVSSIVPILHTIITSGNSDPKLSKLPGSTVNMKQKALSLYIHGWLTMGKICLADGKLAKSYIPLFVQEIDKSDCAALRNNLIVTMSDFCVRYTALVDCYISKITKCLCDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKVKAPLLAYNSFVEAIYVLNDCNAHNGHSGSKNSRTENRIFSIRGSDENSRSKRMHIYVSLLKQMAPEHLLATFAKLCAEILASASDGMLNVEDVTGQSVLQDAFQILACKEIRIPFGRGSQTDSGEIEEEGGDGGASSAAAKGRAITQAVRKGLVQNTIPIFIELKRLFESKNSPLIGSLMECLRILLKDYKNEIDEILVADKQLQKELIYDMQKYESTKAKLTAAEAVATMQNASGFLSPGVPKTVGRTNVKDKVTEKLHNDSRVASAMADAAAAARARSVLREVKKGTLTPPLRSISVPKLKSNQGASGSQSDRPRDVLESLRRRQSFNSDDEN >Manes.03G196500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31429297:31435275:1 gene:Manes.03G196500.v8.1 transcript:Manes.03G196500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTITRIIADLEETHCNQNPQPFLSRSTLEDLQSLLDVNDPQLLDQFFFDLSSKSISPSLLLPPLTSTMDSGPTHLSLISSKVYLSLLLSPNSPVFTLFTPMSFLTLLRSLRRYFKHRPPPPMGEGHSSASGCGGALVKKRKGRASKQNGRNKGDNIEANSNYGHGERESEFFDARLLFFVLEKLILVLDLIHLNRFPDSMKSLIHTVVEIPAMAIDIGGSVLNFNQLTVLCSKILSQLLKSDHGEEGETAAEVLKSLAPLILAPKSPARSFALGFVKHLMTGKAKKNEGVKRALVHLPRYLAQKAPEKAEPRVLIVEAITEIVRAMELTDQIEFAEYAIKMTQGKANLRLMGVDLTLNLMMFLKDPFGVYLDCEVKDLWGFHCLEALIQRCSDSSAGIRTRALSNLAQLVGFLSSDDKNCAVLKEIMGLGEGGTKRMEGGINDMLRKRCMDEKANVRRAALVLVTKLTALMDANFDGVVLKIMGMACSDPLVSIRKAAISALSEALRIFSDEIVTIEWLHSVPRLITDNEPSIQEECENLFLELVLDRISRAGSVETPDSDSTFSHSNMRAKGFQREIETLFPEGVLVLLKEVCNGEVIPWVRKICSNLGKKKRLKPKLATALQNIIKTSESLWLSHSKPIEKWTAPPGTWFLLSEVSAYLSKAVGWEFLCHHWQLLDKFGTASGVENMYEHEEGSESNSVAWAGDRVFLLQTISNVSVELPPESAADLAHNLLKRIEEFNMHSTEVSAHVKALRTLCKRKALNPDEADALVIKWVRQVLSKASKILEKYISGDLAANNRNSFFTPPRSESTKSKRAAAMCQLQSEAVTAAYTIGSLVTVCPSADVSSIVPILHTIITSGNSDPKLSKLPGSTVNMKQKALSLYIHGWLTMGKICLADGKLAKSYIPLFVQEIDKSDCAALRNNLIVTMSDFCVRYTALVDCYISKITKCLCDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRQLADFLFGNILKEIMCSQSTASGL >Manes.11G003480.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:475282:479496:-1 gene:Manes.11G003480.v8.1 transcript:Manes.11G003480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIKEALAEGKPIPTELRNEEAALRQEIDLEDEITAIPKTHIDDEYANATERDPKILLTTSRNPSAPLIQFVKELKFVFPNAERINRGGQVISEIIESCRAHDYTDIILVHEHRGVPDGLIISHLPFGPTAYFGLLNVVTRHDIKDKKAIGTMPEAYPHLILNNFKTKLGERTANILKHLFPMPKPDTKRIITFANQSDYISFRHHIYEKHGGPKSVELKEIGPRFEMRLYQIKLGTMDQSEAQIEWVIRPYMNTSKKRKFLGD >Manes.05G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9362800:9372033:-1 gene:Manes.05G100500.v8.1 transcript:Manes.05G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKFNADFLLEKRSFIGEIFNSLGRTDHSFRVVRRGRRIARFTKPSFFIWVLLLFAIFLISFAAFGLKFQLPGNFEILERSSSSSHKFDTSGDGERSVKFSNEVQQEFSGSYDVEKTLRSKRRKQHFPCEVGFSEAVDYLIEPQDLRNFTQFSLHYVDTEEKTLGITSFQPRFGGHQTLQEREKSFYARNQTVHCGFVKGPSGLPSTGFDLDEKDKAYMSNCLVVVSSCIFGTSDFLRRPTSRKISQFSKNIVCFVMFLDEQTLSKVSLEGHVRDDKGYIGLWRIVVVKNLPYDDMRRTGKVPKFLSHRLFPSSRYSIWLDSKMRLNTDPMLILEYFLWRTKSEYAISNHYDRHCVWEEVLQNKRLNKYNHTAIDEQFNFYQFDGLPKFDPSDPNIPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRSNPDQPFHLYMFKDCERRALAKLFHHRALPSPPLGP >Manes.05G100500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9362800:9372035:-1 gene:Manes.05G100500.v8.1 transcript:Manes.05G100500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKFNADFLLEKRSFIGEIFNSLGRTDHSFRVVRRGRRIARFTKPSFFIWVLLLFAIFLISFAAFGLKFQLPGNFEILERSSSSSHKFDTSGDGERSVKFSNEVQQEFSGSYDVEKTLRSKRRKQQEKTLGITSFQPRFGGHQTLQEREKSFYARNQTVHCGFVKGPSGLPSTGFDLDEKDKAYMSNCLVVVSSCIFGTSDFLRRPTSRKISQFSKNIVCFVMFLDEQTLSKVSLEGHVRDDKGYIGLWRIVVVKNLPYDDMRRTGKVPKFLSHRLFPSSRYSIWLDSKMRLNTDPMLILEYFLWRTKSEYAISNHYDRHCVWEEVLQNKRLNKYNHTAIDEQFNFYQFDGLPKFDPSDPNIPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRSNPDQPFHLYMFKDCERRALAKLFHHRALPSPPLGP >Manes.08G061025.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7739433:7740197:-1 gene:Manes.08G061025.v8.1 transcript:Manes.08G061025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVLYDPALLILIAILFCGILRHVPLFISKFPSNNQNPLTKINPHFPALATKGRNARFAQSYHPTALIWSRSHCPLIVLDAYSLHSLFPPYFHSSFPGSCPIFFLSADSAHPIPQLSSMALGHWKIGSNVFTNPNTLIKSTKFSLKIDYFTSHRKIPTLSNGLPPKITKGDHKSNSTSVVFKISTNT >Manes.16G047945.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:10063465:10066551:-1 gene:Manes.16G047945.v8.1 transcript:Manes.16G047945.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSKHPRFFGRHLNSVACKCVALVVILLFLRVVLLPSFSGFDSINHNNAQLTRSRSLSLESKYGIRRDKFLEVPQIVWGLNNQKIAFARASLTARMLNRTLLMPSLSASLFYKELDRLQPISFDKVFQFERFNSLCNGFVQLGQYSFLRNQTGVSELQKGSGRKWTIERDLDQLRQFSKDPYDKYEVIRIVGKNPFLWHDHWPVKDYARVFECLVLVEEIEREASKVVAKIREVGREISGQTKSGQNSIDSDGSSLQAVPYVAVHMRIEIDWVIHCRKLEQRSKMSQICSSKEEIMERVGNIAGLKAPMVVYLAVADSLLEDPSILTGWRDGLLPFEKKKLRVDGIYKKHPYLIQSAIDYEVCLRADVFVGNSFSTFSSLIALERTQKMIRMGITSSCGTHVRWPSYAYNILGESNGPQRWMTNMSDSSLKAISYGTNIISC >Manes.16G047945.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:10062973:10066514:-1 gene:Manes.16G047945.v8.1 transcript:Manes.16G047945.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSKHPRFFGRHLNSVACKCVALVVILLFLRVVLLPSFSGFDSINHNNAQLTRSRSLSLESKYGIRRDKFLEVPQIVWGLNNQKIAFARASLTARMLNRTLLMPSLSASLFYKELDRLQPISFDKVFQFERFNSLCNGFVQLGQYSFLRNQTGVSELQKGSGRKWTIERDLDQLRQFSKDPYDKYEVIRIVGKNPFLWHDHWPVKDYARVFECLVLVEEIEREASKVVAKIREVGREISGQTKSGQNSIDSDGSSLQAVPYVAVHMRIEIDWVIHCRKLEQRSKMSQICSSKEEIMERVGNIAGLKAPMVVYLAVADSLLEDPSILTGWRDGLLPFEKKKLRVDGIYKKHPYLIQSAIDYEVCLRADVFVGNSFSTFSSLIALERTQKMIRMGITSSCGTHVRWPSYAYNILGESNGPQRWMTNMSDSSLKAISYGTNIISC >Manes.16G047945.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:10063465:10066555:-1 gene:Manes.16G047945.v8.1 transcript:Manes.16G047945.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSKHPRFFGRHLNSVACKCVALVVILLFLRVVLLPSFSGFDSINHNNAQLTRSRSLSLESKYGIRRDKFLEVPQIVWGLNNQKIAFARASLTARMLNRTLLMPSLSASLFYKELDRLQPISFDKVFQFERFNSLCNGFVQLGQYSFLRNQTGVSELQKGSGRKWTIERDLDQLRQFSKDPYDKYEVIRIVGKNPFLWHDHWPVKDYARVFECLVLVEEIEREASKVVAKIREVGREISGQTKSGQNSIDSDGSSLQAVPYVAVHMRIEIDWVIHCRKLEQRSKMSQICSSKEEIMERVGNIAGLKAPMVVYLAVADSLLEDPSILTGWRDGLLPFEKKKLRVDGIYKKHPYLIQSAIDYEVCLRADVFVGNSFSTFSSLIALERTQKMIRMGITSSCGTHVRWPSYAYNILGESNGPQRWMTNMSDSSLKAISYGTNIISC >Manes.16G047945.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:10062973:10066514:-1 gene:Manes.16G047945.v8.1 transcript:Manes.16G047945.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSKHPRFFGRHLNSVACKCVALVVILLFLRVVLLPSFSGFDSINHNNAQLTRSRSLSLESKYGIRRDKFLEVPQIVWGLNNQKIAFARASLTARMLNRTLLMPSLSASLFYKELDRLQPISFDKVFQFERFNSLCNGFVQLGQYSFLRNQTGVSELQKGSGRKWTIERDLDQLRQFSKDPYDKYEVIRIVGKNPFLWHDHWPVKDYARVFECLVLVEEIEREASKVVAKIREVGREISGQTKSGQNSIDSDGSSLQAVPYVAVHMRIEIDWVIHCRKLEQRSKMSQICSSKEEIMERVGNIAGLKAPMVVYLAVADSLLEDPSILTGWRDGLLPFEKKKLRVDGIYKKHPYLIQSAIDYEVCLRADVFVGNSFSTFSSLIALERTQKMIRMGITSSCGTHVRWPSYAYNILGESNGPQRWMTNMSDSSLKAISYGTNIISC >Manes.17G022700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13380813:13387489:1 gene:Manes.17G022700.v8.1 transcript:Manes.17G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGYLEAIVRGHRSGLLTAADYNNLCQCETLDDIKMHLSATECGPYLQNEPSPLHTTTIVEKCMLKLVDEYKHMLCQATEPLSTFLQYCTYGHMIDNVVLIVTGTLHERDIQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDLDQVRAVMEKYPPYQSIFSKLSYGESQLFDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >Manes.01G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32923696:32925621:1 gene:Manes.01G136400.v8.1 transcript:Manes.01G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELSEGEEEGKITGGNQFLILDDDLREMGKKAAWSVSSCKLGNGVSSLRDDNLDSFWQSDGAQPHLVNIQFQKKVKLQLIVLYVDFKLDESYTPSKISIRTGDGFHNLKEIKAVELVKPTGWVYLSLSRNDPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSREFITYSSVR >Manes.18G072600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6516309:6517357:1 gene:Manes.18G072600.v8.1 transcript:Manes.18G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGYNRHQNLHKSTFFPMLCSRLSVKDVALPKLEDRSMSISGDPLSPKIGCMGQVKRHNKIIGFPTSNKITITRNDTAILPAAVKYSKLKRIFSAKNSFTPTATASCRRRGVISNDVRGSKIDDSKEINSVSIKIEDMDPPLPVIKKVHKPAADGGGEDTLWKRRSGGASLKNLQLQQIQLHRQNLAPTTV >Manes.12G104200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:28179582:28179806:1 gene:Manes.12G104200.v8.1 transcript:Manes.12G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSLKSKGKSSGGKGSKSMDEKSTAENLKEWSNWALKKAKVITHYGFIPLVIIIGMNSEPKPQLYQLLTPV >Manes.05G198000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32197295:32226766:-1 gene:Manes.05G198000.v8.1 transcript:Manes.05G198000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTAVSSSLLMSVPQIRTCFSPRDDSFSRSRRRRLSLIRPTLLHAPPLPRFHLKSQSIFYPQRWTHEVANGGSGSCRRKRNGALKSVSSLLRETQVDASFSKQYNCVTCSLNHRRKHSRIPRYFPGAFLDKSTFHLPCHSFDATSENRVHVPCASVGPNEPHAASTACPDGILERQDSDLLYPELITGLDEFLNAELPSHPKLCRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTATKDADGDLLPSVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDSISKTVHQIDTVFGKTGLENETASAPTPSAFGAMASFLVPKLSVGLSGSSSPEKSSSSIDQSKILKKERHAVRPPVQHNWSLPGHNVHMKPPQIFQHELLQNFSINMFCKIPVSKVRTYGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSIELDHSDSGREGCTVTTLTVTAEPKNWQSAIRVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLEFIMESDALGHTVMDQRQGHQSLVAVAGTVTLEEVNSIGAKVLEFISDFGKPTAPLPAAIVACVPKNVHIDGLGETEFKISPSEITAAIKSGLEEPIEAEPELEVPIELISASELEELRLQRRPSFTSLLSEVNVTKFHDQDTGIIQRCLSNGIAVNYKISKSESRGGVMRLIVGGGRAVEGSESKGAVIVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMRAAFELLHMVLEHSIWLDDAFDRARQLYLSYYRSIPKSLERATAHKLMIAMLNGDERFVEPTPESLQNLTLKSVKDAVMNQFVGGNMEVSIVGDFSEEEIESCIIDYLGTVRETRGSNEEKEFSPVLFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTVGGEDLFESISDFSVAPVTPPKSEEKLIEGKDVWKDSQRKLRSHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVISVTSTPGKVYKAVDACKSVLRGLHSNKIAQRELDRAKRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDISCIKDLTSLYEAATIEDIYLAYEQLKVDEDSLYSCIGVAGSQAGDEITAPLEVEETDDSFQGVTPVGRGLSTMTRPTT >Manes.17G067900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26816856:26817116:1 gene:Manes.17G067900.v8.1 transcript:Manes.17G067900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDMEKSSSSSSRRRKMKMWLFRKLKIKESWRWRFRFLGSAFNWKRIHFQLSFVDDVLFKIVSVFEAIVLVGSLCLFYLCCGCHI >Manes.11G158600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32430062:32431036:-1 gene:Manes.11G158600.v8.1 transcript:Manes.11G158600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEIASSSSPSSTQKPVSVVKKRKAGRTKFKETRHPVYRGVRRRNGNKWVCEVREPNMKSSRIWLGTFPTPEMAARAHDVAALAFRGEFAALNFIDSASILPRAKSSSARDIKRAVLDFVEAFNRPSVPSSSSSSSSSGCFNPCISTSDDFPGEKRQENEANAAAATLFLDEEALFNMPVLLDSLAEGLILTPPSIGKEFDWDEIASAVDMTLWTI >Manes.S022716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:229246:229581:-1 gene:Manes.S022716.v8.1 transcript:Manes.S022716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.03G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28561887:28566363:-1 gene:Manes.03G157300.v8.1 transcript:Manes.03G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHQSWRMRLSFKNATIIITVLNVIAVLFLLQGFLSSSSSRNNRLSSNQFNSAQLSYIKESEEIRLAMQPWALIKRVKEIEQEAYTEPETVQQKDTKQTAAVDLSKRLKDFRSINDAASLKALEEWRKRKMERARLRQLEKNGTGTSS >Manes.01G163700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34786572:34786859:1 gene:Manes.01G163700.v8.1 transcript:Manes.01G163700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPIAFASPTVRVYAATAAKGAGGSKEEKGFLDWVLGNLQKEEQFYETDPVLKKVEEKSGGGGGTTSGRKNSVSIPQKKKGNGGGFGGLFAKK >Manes.05G100800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9392693:9398300:-1 gene:Manes.05G100800.v8.1 transcript:Manes.05G100800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSNSADSRTRSSIQIFIVVGLCCFFYILGAWQRSGFGKADNLAMEITKNTGDCNLIPSLNFETHHGGEIATINDSESKLKEFKPCDAHYTDYTPCQDQRRAMTFPRENMNYRERHCPPEEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPITNGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYLKEVDRVLRPGGYWVLSGPPINWKINYKAWQRSKNELQEEQRKIEDVAKLLCWDKKYEKGETAIWQKRVNAESCPGRQDDSKVTFCKAADPDDVWYKKMEGCITPYPDVSSQDEVAGGQLKAFPDRLFAVPPRVASGSIPGVSVETYQEDNNNWKKHVNSYRKINKLIDTGRYRNIMDMNAGMGGFASALSSSKFWVMNVVPTVAEKSTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKDKCNIEDILLEMDRILRPEGAVIFRDEVDVLVKVRKLVGGMRWSTKMVDHEDGPLVPEKILVAVKQYWVAGGNSTSSQ >Manes.05G100800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9392693:9398300:-1 gene:Manes.05G100800.v8.1 transcript:Manes.05G100800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSNSADSRTRSSIQIFIVVGLCCFFYILGAWQRSGFGKADNLAMEITKNTGDCNLIPSLNFETHHGGEIATINDSESKLKEFKPCDAHYTDYTPCQDQRRAMTFPRENMNYRERHCPPEEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPITNGTVRTALDTGCGVASWGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYLKEVDRVLRPGGYWVLSGPPINWKINYKAWQRSKNELQEEQRKIEDVAKLLCWDKKYEKGETAIWQKRVNAESCPGRQDDSKVTFCKAADPDDVWYKKMEGCITPYPDVSSQDEVAGGQLKAFPDRLFAVPPRVASGSIPGVSVETYQEDNNNWKKHVNSYRKINKLIDTGRYRNIMDMNAGMGGFASALSSSKFWVMNVVPTVAEKSTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKDKCNIEDILLEMDRILRPEGAVIFRDEVDVLVKVRKLVGGMRWSTKMVDHEDGPLVPEKILVAVKQYWVAGGNSTSSQ >Manes.05G056000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4682440:4685077:-1 gene:Manes.05G056000.v8.1 transcript:Manes.05G056000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLVGEIIGRFEKKGFSLKGLKLITVERTFAEQHYADLSAKPFFNGLVEYIISGPVVAMVWEGKNVVTTGRKIIGATNPGDSAPGTIRGDYAIDIGRNVIHGSDSVESARKEIALWFPEGPVSWASSLHPWIYE >Manes.15G023900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1891250:1892833:1 gene:Manes.15G023900.v8.1 transcript:Manes.15G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRCLFFFCSLIFFISPSIAQTSFRPKALVLPVSKDPSSLLYLTQINQRTPLVPVDVALDLGGLYLWVDCEQGYESSSYRPARCNSAQCSLANANGCITQCFSSPRPGCNNNTCALLVDNTVTNIATDGELGQDVVSIQSTDGSKPGRSVSVSKFLFTCAPKFISEGLPSGVKGLAGLGRTKVSLPSQFSAAFSFDRKFAICLSSSTTAKGVVFFGDGPYVLRPNFDAAESLTYTPLILNPVSTAAAFVQGDPSSDYFIGVKSIKINGEVVPLNTTLLSIDREGFGGTKISTVNPYTVMETTIYNAFVNAFVKEISDVPRVAPVAPFGACFNSSKILGTRLGANVPSIDLVLQSNSVFWRIVGANSMVEVNNDVLCLGFVDGGVNPRTSIVIGGHQLEDNLLQFDLATSRLGFSSSLLSRQTTCSNFDFASKA >Manes.18G019775.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1958421:1960376:1 gene:Manes.18G019775.v8.1 transcript:Manes.18G019775.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEFDAVQAEKSDAMRRYRSCRIFRFCLLLSGAFTLFFCLFTWFPYVQKIASAYLSDFNSQLFVFLLINALVFFIYHLSNASAAGKNDSVSHRPDIYDQYVSSSSSRRKKIDDEEKRLVIVPPETVETVQRNENIFHKTQIVIRCENADCALVEENPVGDLAVSIETYKIDREKKNFRRMRSEKYSVEKIKRSDRPRELRRSETENGREMVVGGGHTPTRKSMQEMNNEEFRLTIESFIASKRKNLRDHNLAVLMEERKESFTEVTSIQNRRN >Manes.05G183900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30206521:30211415:-1 gene:Manes.05G183900.v8.1 transcript:Manes.05G183900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGAVGLEGLVGSVDNSAALASFASSDRETKQELYGSGFPKQERSGAADDDWRSSKLPKTTESLLLPQRNTSLKSQHQPQILSFSCPKSKALSVERSAQNATFPGFHPTSSAYSRSTGYNYGSFNDANINMHGVFTESRGPFTPSQWMELEHQALIYKYITAKVPIPSNLLNPIRKSLDSAAFSGYSGGLLRPNTLSWGTFHLGFSSTTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHLNRGRHRSRKHVEGQSGHSAAAATTTTGKPMPTVSSSVSASVVGLHGSGTSNSLGIAQHQPQLKDLKPDSSTPSSRMFLNKEDMSERLQESPGLSVSEIGLKSKDLFFFSKQQNSYQDSSQIEFGLVNSDSLLNPSQDSSSLFSSRNFCSSQDLTDQETMSQRGVHQFIEDWPKTQSDHSAVSLPQFDVQIDRTQLSISVPVAYTDLIPSTSSTNNEDVSRSLQRLSHDLDSVHMGTGLGIVLNEQNLRQANWISVPWEITTGGPLGEVLHNTNNGISECRNSSVLNLLAEGWDRSPQIGSSPTGVLQKTTFCSLSNSSTGSSPRAENKRSNEGASLCNDLGSILVHPSSLPAS >Manes.07G126000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32895634:32897332:1 gene:Manes.07G126000.v8.1 transcript:Manes.07G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSLTLISKCTVFPDQKSTMEDLKLSVSDLPMLSCHYIQKGCLFTRPSIPIESLVSLLKNSLSQTLSHFPPLAGRFKTDPSGDIYITCNDAGVDFLHATATHLSIRDILSPVHVPECVKSFFAFDRTVSYDGHFKPILAVQVTELADGVFIGCALNHSVTDGTSFWNFFNTFADISRGIKKIWRLPDFSRNSVLISPAVLQVPEGGPKVTFDENEPLSERIFSFTREAILKLKARANNKKWIQNSGIDTVELMGKQSNDLLYNQINGKTMTTILENLFKNAVSKPQEMESNKTPPAAATAEISSFQSLCALLWKAVTRARKLSPDKTTTFRMAVNCRHRLNPKLDPLYFGNAIQSIPTYASAGDVLSQDLRWCAEQLNKNVIAHNDEMVRRFVENWEENPRCFPLGNFDGASMTMGSSPRFPMYDNDFGWGRPLAVRSGTANKFDGKISAFPGREGGGSVDLEVILSPETMAGIECDDEFMQYVSN >Manes.14G103300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8603979:8606003:1 gene:Manes.14G103300.v8.1 transcript:Manes.14G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPFPTIHSHCTSHALPSLPFSLPFFKPSPRRPPRGVRMDSSDRPISTLSLRRQKDKILVIMGATGCGKSKLSIDLATRFQAEIINSDKMQVYKGLDITTNKIPIHERNGVAHHLLGVFDPLDGEVSASEFRLTAGLAISDILSRQKLPMVVGGSNSFIHALVVDRFNPDLDVFGGASNPVSTQLRYNCCFVWVDVSLPVLEDYLCYRVDEMLESGMPEELSEYYRWEDPASQPGLRKAIGVPEFERYFKRYPPGRAGAGAGGGEWDEAQRREYEEAVREIKENTCELAKRQIGKMMRLKGAGWELQRVDATEAFREAMKVRTASDSSKNNKKKKRKKKRWMEVWERDVVQPSMKIVNRFLEE >Manes.17G076300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27647644:27657165:-1 gene:Manes.17G076300.v8.1 transcript:Manes.17G076300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPDTSLTDLLDKVKSWISWGTNGLSPSSLPGEFQIGYSSLRMCCECDTSFTGLSSGHHCLSCGRWLCIKCARAYEAPAVIESSDVKSNGDFRDSIKSCKFCIGLHVKHEGGRKNSEKVHPSESPRESPEPPSPSFSGESIRSDNLSPYLESRDSVYSPLAVTTRSMTSFSAHPSLLSHRNSPSRSDEEEAEDSEKHFYSPSSEYCHDVSDIDASSISARLEFYSCKSVGSSPLGSPSRIDFNSHRVWRPVQQEQEGSSFSQFDGSFDQEAVAILSRPDKGIGDFKNTDDYSNLSFLPKQCDKSRKPLDFESNGCIWFPPPPEDENDEAESSFFTYDDDDDDIGESGALFSSTSSLSNMFPSKEKQNEGNKEPIRAVIQGHFRALVSQLLHGDGIEVSKADGGKDWLDIVTAIAWQAANFVKPDTSRGGSMDPGNYVKVKCIASGHPRDSALVKGVVCTKNIKHKRMTTQYKNPRLLLLGGSLEYQSVVNQLASFNTLVQQENDHLKMIMSKIEALRPNVLLVEKSVSPYAQEYLLAKEISLVLNVKRPLLERIARCMGAFISPSIDNISTTRLGHCELFRVERVSEEHESANQFNKKPSKTLMFFEGCPRRLGCTVLLRGACREELKKVKRVVQYAVFAAYHLSLETSFLADEGASLPKMTPKRSFAIPESTTTDSAISLIPSTDCHATASALARDEEPLYLKPEVVGLESSISLGTSNILPLFASTQDCRFVSAPSDAYHDNLESKMGLDSLDTSKFEDQKMLMMPPSDVKNVSRPELQDTIAQEERQLGEACKSTKLEGIDEDKVSSEYFSTADAHQSILVSFSSRCVVKGTVCERSRLLRIKFYGSFDKPLGRYLRDDLFDQTSYCRSCKELAEAHVLCYTHQQGNLTINVRSLSSVKLPGERDGKIWMWHRCLRCNHIDGVPPTTSRVVMSDAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGNMVAFFRYSPIDILNIHLPPSVLEFNVHIQQEWIRKEAAELLGNIEAFYAKISDALDSMEQKSKYFGNELSDMTELQNHIMELKDQLRKERNDYTGKLQVAAVEDSQFQGISDILELNHLRRALLIDSHVWDRQLYSLHSLLKTHFVVNVVHGGTSNSQLKELRGDISCDGSKLDYGHVENVPGYSKTPDSVGNESLSEQNKNSQSFQHLVNEDSMLALYHHHSEEEVHSDGEITLGNTSFDDIPSSPSNLSDRIDSAWTGTDQLLTKIQPPHVSQTDDLQFGSVRQIIVCDNPPFKRVLAPIRGHSFDSALRVRERVQKGLPPSSLYLSNLKSFHASGDYRRMVRDPISNSMMTYSPKLSLETQKLNLMPSAAPTVVSSGSNMAGGARLLLPQRSHNDIVIGVYDDDPASMISHALSSKEYEDWVADKSNENEGSWSMNEHCKENSATSTFSAWQSFGSVDMDYIHHGSYGSEDPSTSLGTLFMDSKRSPHLTITYADDSSTALGKVKFSVTCYFAKHFDSLRKKCCPNEVDFLRSLSRSHRWSAQGGKSNVYFAKSLDERFIIKQVKKTELDSFEEFASEYFKYLTDSLSSGSPTCLAKVLGVYQVSVKHLKGGRDMKMDLMVMENLFFRRNIARVYDLKGSARSRYNPDATGKNKVLLDTNLVETLRTEPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDNERKELVVGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTSYFLTVPDQWSS >Manes.12G012600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1264306:1275419:1 gene:Manes.12G012600.v8.1 transcript:Manes.12G012600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRLSPGDVAGFKFLFSLAIMYAIMSALVYSIVHMKFIKPLAIDAPLDRFSEARAVEHVRVLVQDGRQEGRPGLREAAKYIKAQLELIEDRAGSNIRIEIEESVVNGSFNMVFLGHSISLGYRNHTNIIMSPLGSPGAGDCGTCVASMLELARLIVDSGWIPPRPIIFLFNGAEELFMLGAHGFMKTYKWRDSIGASINVEASGTGGLDLVCQSGPGAWPSLIYAQAAIYPMAHSAAQDVFPVIPGDTDYRMFSQDYGSIPSLDIIFLLGGYYYHTSYDTLDKLLPGSMQARGDNLLSILKAFTNSSKLRTAQEREALRASSDDYRDEQAVFFDYLSWFMIFYSRRVAVVLHSIPIAIFLLMPFLLHFLELGLRSWFAMFCDFVKGLLLHAAGIILAIVFPVIFSIMRLFFSSCAMNWFAHPYLAFMMFIPCSLVGLLIPRTVWSCFPLSQDVSVLKKSKEVLSDEAWFWGAFGFYACLTLAYLVAGLGGGFLTFSVSAFMLLAWISFNAYIKSYHHQSLWSTVIYVVPLIPCILYSVYFGGFLVQFLIEKMGMMGAAPPPYGFYIADGVVAAIIGVVTGWCVGPLIPICGRWLARSSIIQFLLHISVLALALSSQFFPYSNTAPKRVVFQHTVVTTDANRILDSSYDFSIVDSNSLLFVFKYAPEVAKDLHVGTDFSFKTANMSHRETWMALFPVSHLFSRSLKFPASSDDIIKEYRYFPHLSNYKPHTISSKGSRKVYLELSLGDLKEVWVAVLNITGPLSSWSLADNVLPAPEAIDGGPPSYICRLSGASDDKWTFWLEASNSSDVRVELAVIDQFLIDGAKNLRGLFPDWVDVTAYASFMSSYVF >Manes.12G012600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1266934:1275420:1 gene:Manes.12G012600.v8.1 transcript:Manes.12G012600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQGAHGFMKTYKWRDSIGASINVEASGTGGLDLVCQSGPGAWPSLIYAQAAIYPMAHSAAQDVFPVIPGDTDYRMFSQDYGSIPSLDIIFLLGGYYYHTSYDTLDKLLPGSMQARGDNLLSILKAFTNSSKLRTAQEREALRASSDDYRDEQAVFFDYLSWFMIFYSRRVAVVLHSIPIAIFLLMPFLLHFLELGLRSWFAMFCDFVKGLLLHAAGIILAIVFPVIFSIMRLFFSSCAMNWFAHPYLAFMMFIPCSLVGLLIPRTVWSCFPLSQDVSVLKKSKEVLSDEAWFWGAFGFYACLTLAYLVAGLGGGFLTFSVSAFMLLAWISFNAYIKSYHHQSLWSTVIYVVPLIPCILYSVYFGGFLVQFLIEKMGMMGAAPPPYGFYIADGVVAAIIGVVTGWCVGPLIPICGRWLARSSIIQFLLHISVLALALSSQFFPYSNTAPKRVVFQHTVVTTDANRILDSSYDFSIVDSNSLLFVFKYAPEVAKDLHVGTDFSFKTANMSHRETWMALFPVSHLFSRSLKFPASSDDIIKEYRYFPHLSNYKPHTISSKGSRKVYLELSLGDLKEVWVAVLNITGPLSSWSLADNVLPAPEAIDGGPPSYICRLSGASDDKWTFWLEASNSSDVRVELAVIDQFLIDGAKNLRGLFPDWVDVTAYASFMSSYVF >Manes.12G012600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1264306:1275419:1 gene:Manes.12G012600.v8.1 transcript:Manes.12G012600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRLSPGDVAGFKFLFSLAIMYAIMSALVYSIVHMKFIKPLAIDAPLDRFSEARAVEHVRVLVQDGRQEGRPGLREAAKYIKAQLELIEDRAGSNIRIEIEESVVNGSFNMVFLGHSISLGYRNHTNIIMRMSSKDSKDADSSVLINGHFDSPLGSPGAGDCGTCVASMLELARLIVDSGWIPPRPIIFLFNGAEELFMLGAHGFMKTYKWRDSIGASINVEASGTGGLDLVCQSGPGAWPSLIYAQAAIYPMAHSAAQDVFPVIPGDTDYRMFSQDYGSIPSLDIIFLLGGYYYHTSYDTLDKLLPGSMQARGDNLLSILKAFTNSSKLRTAQEREALRASSDDYRDEQAVFFDYLSWFMIFYSRRVAVVLHSIPIAIFLLMPFLLHFLELGLRSWFAMFCDFVKGLLLHAAGIILAIVFPVIFSIMRLFFSSCAMNWFAHPYLAFMMFIPCSLVGLLIPRTVWSCFPLSQDVSVLKKSKEVLSDEAWFWGAFGFYACLTLAYLVAGLGGGFLTFSVSAFMLLAWISFNAYIKSYHHQSLWSTVIYVVPLIPCILYSVYFGGFLVQFLIEKMGMMGAAPPPYGFYIADGVVAAIIGVVTGWCVGPLIPICGRWLARSSIIQFLLHISVLALALSSQFFPYSNTAPKRVVFQHTVVTTDANRILDSSYDFSIVDSNSLLFVFKYAPEVAKDLHVGTDFSFKTANMSHRETWMALFPVSHLFSRSLKFPASSDDIIKEYRYFPHLSNYKPHTISSKGSRKVYLELSLGDLKEVWVAVLNITGPLSSWSLADNVLPAPEAIDGGPPSYICRLSGASDDKWTFWLEASNSSDVRVELAVIDQFLIDGAKNLRGLFPDWVDVTAYASFMSSYVF >Manes.12G012600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1264306:1272913:1 gene:Manes.12G012600.v8.1 transcript:Manes.12G012600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRLSPGDVAGFKFLFSLAIMYAIMSALVYSIVHMKFIKPLAIDAPLDRFSEARAVEHVRVLVQDGRQEGRPGLREAAKYIKAQLELIEDRAGSNIRIEIEESVVNGSFNMVFLGHSISLGYRNHTNIIMRMSSKDSKDADSSVLINGHFDSPLGSPGAGDCGTCVASMLELARLIVDSGWIPPRPIIFLFNGAEELFMLGAHGFMKTYKWRDSIGASINVEASGTGGLDLVCQSGPGAWPSLIYAQAAIYPMAHSAAQDVFPVIPGDTDYRMFSQDYGSIPSLDIIFLLGGYYYHTSYDTLDKLLPGSMQARGDNLLSILKAFTNSSKLRTAQEREALRASSDDYRDEQAVFFDYLSWFMIFYSRRVAVVLHSIPIAIFLLMPFLLHFLELGLRSWFAMFCDFVKGLLLHAAGIILAIVFPVIFSIMRLFFSSCAMNWFAHPYLAFMMFIPCSLVGLLIPRTVWSCFPLSQDVSVLKKSKEVLSDEAWFWGAFGFYACLTLAYLVAGLGGGFLTFSVSAFMLLAWISFNAYIKSYHHQSLWSTVIYVVPLIPCILYSVYFGGFLVQFLIEKMGMMGAAPPPYGFYIADGVVAAIIGVVTGWCVGPLIPICGRWLARSSIIQFLLHISVLALALSSQFFPYSNTAPKRVVFQHTVVTTDANRILDSSYDFSIVDSNSLLFVFKYAPEVAKDLHVGTDFSFKTANMSHRETWMALFPVSHLFSRSLKFPASSDDIIKEYRYFPHLSNYKPHTISSKGSRKVYLELSLG >Manes.12G012600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1264306:1272913:1 gene:Manes.12G012600.v8.1 transcript:Manes.12G012600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRLSPGDVAGFKFLFSLAIMYAIMSALVYSIVHMKFIKPLAIDAPLDRFSEARAVEHVRVLVQDGRQEGRPGLREAAKYIKAQLELIEDRAGSNIRIEIEESVVNGSFNMVFLGHSISLGYRNHTNIIMRMSSKDSKDADSSVLINGHFDSPLGSPGAGDCGTCVASMLELARLIVDSGWIPPRPIIFLFNGAEELFMLGAHGFMKTYKWRDSIGASINVEASGTGGLDLVCQSGPGAWPSLIYAQAAIYPMAHSAAQDVFPVIPGDTDYRMFSQDYGSIPSLDIIFLLGGYYYHTSYDTLDKLLPGSMQARGDNLLSILKAFTNSSKLRTAQEREALRASSDDYRDEQAVFFDYLSWFMIFYSRRVAVVLHSIPIAIFLLMPFLLHFLELGLRSWFAMFCDFVKGLLLHAAGIILAIVFPVIFSIMRLFFSSCAMNWFAHPYLAFMMFIPCSLVGLLIPRTVWSCFPLSQDVSVLKKSKEISQVLSDEAWFWGAFGFYACLTLAYLVAGLGGGFLTFSVSAFMLLAWISFNAYIKSYHHQSLWSTVIYVVPLIPCILYSVYFGGFLVQFLIEKMGMMGAAPPPYGFYIADGVVAAIIGVVTGWCVGPLIPICGRWLARSSIIQFLLHISVLALALSSQFFPYSNTAPKRVVFQHTVVTTDANRILDSSYDFSIVDSNSLLFVFKYAPEVAKDLHVGTDFSFKTANMSHRETWMALFPVSHLFSRSLKFPASSDDIIKEYRYFPHLSNYKPHTISSKGSRKVYLELSLG >Manes.12G012600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1264306:1272913:1 gene:Manes.12G012600.v8.1 transcript:Manes.12G012600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRLSPGDVAGFKFLFSLAIMYAIMSALVYSIVHMKFIKPLAIDAPLDRFSEARAVEHVRVLVQDGRQEGRPGLREAAKYIKAQLELIEDRAGSNIRIEIEESVVNGSFNMVFLGHSISLGYRNHTNIIMRMSSKDSKDADSSVLINGHFDSPLGSPGAGDCGTCVASMLELARLIVDSGWIPPRPIIFLFNGAEELFMLGAHGFMKTYKWRDSIGASINVEASGTGGLDLVCQSGPGAWPSLIYAQAAIYPMAHSAAQDVFPVIPGDTDYRMFSQDYGSIPSLDIIFLLGGYYYHTSYDTLDKLLPGSMQARGDNLLSILKAFTNSSKLRTAQEREALRASSDDYRDEQAVFFDYLSWFMIFYSRRVAVVLHSIPIAIFLLMPFLLHFLELGLRSWFAMFCDFVKGLLLHAAGIILAIVFPVIFSIMRLFFSSCAMNWFAHPYLAFMMFIPCSLVGLLIPRTVWSCFPLSQDVSVLKKSKEISQVLSDEAWFWGAFGFYACLTLAYLVAGLGGGFLTFSVSAFMLLAWISFNAYIKSYHHQSLWSTVIYVVPLIPCILYSVYFGGFLVQFLIEKMGMMGAAPPPYGFYIADGVVAAIIGVVTGWCVGPLIPICGRWLARSSIIQFLLHISVLALALSSQFFPYSNTAPKRVVFQHTVVTTGTLSSIPSVLKKFEVPCK >Manes.04G158400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35300768:35303919:-1 gene:Manes.04G158400.v8.1 transcript:Manes.04G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHLLSLVFLLFSLALGLNLNPKCNLQDQGSTLQVFHVYSPCSPFRPSTPLSWEESVLQMQAKDQARLQYLSSLVAGKSVVPIASGRQIIQSPTYIVRAKIGTPAQTMLLAMDTSNDVAWIPCSGCVGCSSAAFNSAKSTTFKTLGCQAAQCKQVPNPTCGGRACTFNMTYGSSSIAANLSQDNITLATDSVPGYTFGCITKATGISTPPQGLLGLGRGPLSLLSQSQKLYKSTFSYCLPSFRSLNFSGSLRLGPIGQPKRIKTTPLLKNPRRSSLYYVNLVAIRVGRRVVDIPPSALAFNPTTGAGTIFDSGTVFTRLVAPVYTAVRDAFRRRVGIATVTSLGGFDTCYSGPIAAPTITFMFTGMNVTLAPENLLIHSTAGSITCLAMAAAPDNVNSVLNVIANMQQQNHRILYDVPNSRLGVAREQCT >Manes.02G078500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6061856:6067967:-1 gene:Manes.02G078500.v8.1 transcript:Manes.02G078500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLLASRVLRPQTNPRNLLLLRSIVTKPELQSPEASAAAAAPDPTSDLPPRTPVAGARVHFPNPDDAIEVFVDGYPVKIPKGMTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEIAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRVVPRLNEDINEEWISDKTRFFYDGLKRQRLNDPMIRGADGLFKAVSWRDALAVVAEVIHQVKPEEIVGVAGKLSDAESMMALKDFLNKMGSNNVWCEGNGPTPNADLRSEYIMNTSISGLENADVFLLVGTQPRVEAAMVNARICKTVRASNAKVGYIGPPTDFNYDCEHLGTGPQTLVEIAEGRHPFFSTILNAKSPAIIVGAGIFERTDKDAIFSTVEAIAKKGNVVRPDWNGFNVLLLNAAQAAALDLGLVPESGNSLESAKFVYLMGSDDVNLDKLPKDAFVVYQGHHGDHGVYHANVILPAAAFTEKEGTYENTEGCAQQTLPAVPTVGDARDDWKIVRALSEVAGVRLPYDTIGAVRSRIRNVAPNLLNLDEREPATFGASLKPEGTQNVSSTPFKAAIENFYMTDPVTKASKIMAQCSALLLKK >Manes.08G021701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2192187:2193180:-1 gene:Manes.08G021701.v8.1 transcript:Manes.08G021701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLGCSFSLCEPKTQTQIHFSRQDSIIIHNYNVGFPYYMPEDFFLVTPPMAIEVKEEELVEEESATVQLVARLRKLLKNKNRATTKGKSLNISCNIRQRVHRPLEELTHKLQRFKIKTIKVKKDSKEI >Manes.14G161500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23385467:23387238:-1 gene:Manes.14G161500.v8.1 transcript:Manes.14G161500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIQGLDTCTAGWTVSARCCDSCKTATAAAFCRADSAFLCLNCDSKIHAANKLVSRHERVWMCEVCEQAPAAVNCKADAASLCVTCDADIHSANPLARRHERVPVEPFFDSAESIVKSSPFNFLVPCDQNGGPTGYHLHHEDDVEGFSWLLPNPTTLSSKLCMENPEMKAAGDLIFPETDPFLDMEFHPSHSAATDSVVPVQTKPAPIPVINNEVCYDVDFCRSKLSSFNYTTQSLSQSISSSSLDVVVPDGNSMSDISYPFGRNLNTGADPSAPISTSTTNQAAQMCGIDREARVLRYKEKRKNRKFKKTIRYASRKAYAETRPRIKGRFAKRTEIESEMDRLYNSPSSVSFMSDGQYGVVPSF >Manes.08G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3007093:3007947:1 gene:Manes.08G030900.v8.1 transcript:Manes.08G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAVEAPPSSTQSTERPVEVRSVWADNLESEFKLIRSLIDSYPLISMDTEFPGIVIRPSANDPYNRYRDPKAHYLNLKMNVDMLNLIQVGLTIADHEGNLPDLGTDSCYIWEFNFRDFDVSRDAHAHDSVEMLKSQGIDFEKNRQYGVDSGKFAELMMSSGLVLNDSVSWVAFHGAYDFGYLFLDTVRLFFGASVYDVKHLNRFCGLHGGLDRVGKSIGVERTVGKKHQAGSDSLLTLHAFQKIRENHSKDKKIDKYANVLYGLEVFT >Manes.04G159400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35375722:35377800:1 gene:Manes.04G159400.v8.1 transcript:Manes.04G159400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKGKGFKKLFNTLPGVTSQIITVTPASPSVAGMEKTEMGFEEDMLRGQATIWQCAFGFVDGMALKCVLQLGIPDIINSHGSPLSLTSIAKACNHPSLDTDRLSRVMTLLVRRGIFTSTPTTKGGESETLYGLTDSSKWLLSNSETSLAPFLLLEHHPHALESWHHLSDIVKEGGSGFAKSHNGQDYFEFAPANPGFSNLFNQAMAGASKIIVEAVKASYEDGFNEIETLVDVGGGIGGMISEIVKAHPHIKGINFDLPFVVAKAPEYVGVTHVAGDMFSSIPPTDAILLKWILHCWNDEDCIKILKNCKEAIAERKKGKIIIIDAVLSPEGNDLFDDIGVRFDLLMLATINTKERTEAQWKTLLEKAGFPSFRIIKIPALVSIIEAYPY >Manes.10G038600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3930982:3943015:-1 gene:Manes.10G038600.v8.1 transcript:Manes.10G038600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVNKAVEVGNKNNLTRAVKNYADTVVQQAGQAVAEGAKILQDRIGNRSYKSVKQTVKRLEEAAVSCRGPERVLLLKRWLLVLQEVEKVWSAVSEDKQIEQHPFPDEGRESPRKHFMVLYCDSEFGGEPMNFRDVFLKSQALEGIILSMILEAPTDEEVSLLMEMFRICLTGGKEVHNAVVSSILDLASAFAIYQDEVLVKREELLQFAQGAVGGLKVSVDLGRIDAEAIDLKNKLVGIIGADKPSSEDENRASNGTAKATVEALKEALAQIHICSRLEGLLVKKKNLNFGDSPEIHAQKVDKLKVLSESLASSAAKAEKRILDHRLQKEEALKVRVSKADEANEREKEISAEISVLEQERDELEAQLKNVNISLAAANAQLHNAREERDQFDEANDQIIEHLKTKEDDLSKSIAASRLEANVLSTWINFLEDTWVLQRSYTEMMEKQVIDELERHEDYFMNLVIHLLNEHKKELGPDISQIGKFVANLKNLSEGSEVAGMDRDGSKVLHPRKNLEEEYLVYETKIISTFSVVDSIREQLYAQQGDLLRKDDAKIEELFDDIEKLRKEFESIERPILEIENPPTPEAETAAEKPLGSPTQKSMQDPSSPKSETDRQPKAPGVEEQQELDPAAELAKLESEFRKDARDYSTEEIGDWEFDELERELMSDDAATRK >Manes.02G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8689583:8707141:1 gene:Manes.02G112700.v8.1 transcript:Manes.02G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGNAGEMPGTHMEDPEKHLSDMHRGSNGNLTKENGLQDDTPFTETAHEQLVQMVIELKFQNEFLTSQFEALKNLQSADDKSQHRTEASHQKTGEIEGLQKSIESLSRELHAETQTRGAAEEALKHLREAYSEAEAKAQELSANLAEAEQKLDKEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLVARFREINEAAERASSQQSTLQQELERTRQQAHDALKAMDAERQQLRSANNKLREKIEELHQSFQPKESALEALQQTLLEKEQMLEDMRGLLQAAEEKKQASLAELSAKHQKNIENLEGQLADALVDRVKATETISSLQVLIAEKETRIAEMDAASSGEAARLRAALESLKGELAHQKQEHEKEKESLEAASNTLKMKLEIAGSNCIRAEIEAAKIRSELELKVYVQKQMLNKKDGELLAAQEEINRLESEFSSYKVRAHALLQRKEVELAAAQDSEQLKALEEAIKEAERELSLISSERDEALQDLQDALANYDKELAERDAALNNSKQQMKSIEIKLDSANSRYQFEKEAWETNLQNLEESWRLRYEALKAEKEATSGQDIQKELEELKVRYKKLKEEHEAFRDLADRMIGEKDKEISKLVDDNKNLRYSLESRPQDDQNENNITVFSALKKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELQRENRLHGQQEAMLKAELRNLERSQKREGVDLTYLKNVILKLLETGEVEVLLPVVAMLLQFSPEEVLKCQEAYNASDAAPPSPSSDSSGSTLSLFSRFSFS >Manes.02G112700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8690051:8707030:1 gene:Manes.02G112700.v8.1 transcript:Manes.02G112700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGNAGEMPGTHMEDPEKHLSDMHRGSNGNLTKENGLQDDTPFTETAHEQLVQMVIELKFQNEFLTSQFEALKNLQSADDKSQHRTEASHQKTGEIEGLQKSIESLSRELHAETQTRGAAEEALKHLREAYSEAEAKAQELSANLAEAEQKLDKEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLVARFREINEAAERASSQQSTLQQELERTRQQAHDALKAMDAERQQLRSANNKLREKIEELHQSFQPKESALEALQQTLLEKEQMLEDMRGLLQAAEEKKQASLAELSAKHQKNIENLEGQLADALVDRVKATETISSLQVLIAEKETRIAEMDAASSGEAARLRAALESLKGELAHQKQEHEKEKESLEAASNTLKMKLEIAGSNCIRAEIEAAKIRSELELKVYVQKQMLNKKDGELLAAQEEINRLESEFSSYKVRAHALLQRKEVELAAAQDSEQLKALEEAIKEAERELSLISSERDEALQDLQDALANYDKELAERDAALNNSKQQMKSIEIKLDSANSRYQFEKEAWETNLQNLEESWRLRYEALKAEKEATSGQDIQKELEELKVRYKKLKEEHEAFRDLADRMIGEKDKEISKLVDDNKNLRYSLESRPQVCFSSFSIIC >Manes.02G112700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8690023:8706919:1 gene:Manes.02G112700.v8.1 transcript:Manes.02G112700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGNAGEMPGTHMEDPEKHLSDMHRGSNGNLTKENGLQDDTPFTETAHEQLVQMVIELKFQNEFLTSQFEALKNLQSADDKSQHRTEASHQKTGEIEGLQKSIESLSRELHAETQTRGAAEEALKHLREAYSEAEAKAQELSANLAEAEQKLDKEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLVARFREINEAAERASSQQSTLQQELERTRQQAHDALKAMDAERQQLRSANNKLREKIEELHQSFQPKESALEALQQTLLEKEQMLEDMRGLLQAAEEKKQASLAELSAKHQKNIENLEGQLADALVDRVKATETISSLQVLIAEKETRIAEMDAASSGEAARLRAALESLKGELAHQKQEHEKEKESLEAASNTLKMKLEIAGSNCIRAEIEAAKIRSELELKVYVQKQMLNKKDGELLAAQEEINRLESEFSSYKVRAHALLQRKEVELAAAQDSEQLKALEEAIKEAERELSLISSERDEALQDLQDALANYDKELAERDAALNNSKQQMKSIEIKLDSANSRYQFEKEAWETNLQNLEESWRLRYEALKAEKEATSGQDIQKELEELKEEHEAFRDLADRMIGEKDKEISKLVDDNKNLRYSLESRPQDDQNENNITVFSALKKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELQRENRLHGQQEAMLKAELRNLERSQKREGVDLTYLKNVILKLLETGEVEVLLPVVAMLLQFSPEEVLKCQEAYNASDAAPPSPSSDSSGSTLSLFSRFSFS >Manes.02G112700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8689956:8706851:1 gene:Manes.02G112700.v8.1 transcript:Manes.02G112700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGNAGEMPGTHMEDPEKHLSDMHRGSNGNLTKENGLQDDTPFTETAHEQLVQMVIELKFQNEFLTSQFEALKNLQSADDKSQHRTEASHQKTGEIEGLQKSIESLSRELHAETQTRGAAEEALKHLREAYSEAEAKAQELSANLAEAEQKLDKEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKAAYADVQKLSSNIVWRLSFQEKDDLVARFREINEAAERASSQQSTLQQELERTRQQAHDALKAMDAERQQLRSANNKLREKIEELHQSFQPKESALEALQQTLLEKEQMLEDMRGLLQAAEEKKQASLAELSAKHQKNIENLEGQLADALVDRVKATETISSLQVLIAEKETRIAEMDAASSGEAARLRAALESLKGELAHQKQEHEKEKESLEAASNTLKMKLEIAGSNCIRAEIEAAKIRSELELKVYVQKQMLNKKDGELLAAQEEINRLESEFSSYKVRAHALLQRKEVELAAAQDSEQLKALEEAIKEAERELSLISSERDEALQDLQDALANYDKELAERDAALNNSKQQMKSIEIKLDSANSRYQFEKEAWETNLQNLEESWRLRYEALKAEKEATSGQDIQKELEELKVRYKKLKEEHEAFRDLADRMIGEKDKEISKLVDDNKNLRYSLESRPQDDQNENNITVFSALKKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELQRENRLHGQQEAMLKAELRNLERSQKREGVDLTYLKNVILKLLETGEVEVLLPVVAMLLQFSPEEVLKCQEAYNASDAAPPSPSSDSSGSTLSLFSRFSFS >Manes.02G112700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8689956:8706851:1 gene:Manes.02G112700.v8.1 transcript:Manes.02G112700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGNAGEMPGTHMEDPEKHLSDMHRGSNGNLTKENGLQDDTPFTETAHEQLVQMVIELKFQNEFLTSQFEALKNLQSADDKSQHRTEASHQKTGEIEGLQKSIESLSRELHAETQTRGAAEEALKHLREAYSEAEAKAQELSANLAEAEQKLDKEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKAAYADVQKLSSNIVWRLSFQEKDDLVARFREINEAAERASSQQSTLQQELERTRQQAHDALKAMDAERQQLRSANNKLREKIEELHQSFQPKESALEALQQTLLEKEQMLEDMRGLLQAAEEKKQASLAELSAKHQKNIENLEGQLADALVDRVKATETISSLQVLIAEKETRIAEMDAASSGEAARLRAALESLKGELAHQKQEHEKEKESLEAASNTLKMKLEIAGSNCIRAEIEAAKIRSELELKVYVQKQMLNKKDGELLAAQEEINRLESEFSSYKVRAHALLQRKEVELAAAQDSEQLKALEEAIKEAERELSLISSERDEALQDLQDALANYDKELAERDAALNNSKQQMKSIEIKLDSANSRYQFEKEAWETNLQNLEESWRLRYEALKAEKEATSGQDIQKELEELKVRYKKLKEEHEAFRDLADRMIGEKDKEISKLVDDNKNLRYSLESRPQDDQNENNITGMGSVLYHLPTNIIFSALKKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELQRENRLHGQQEAMLKAELRNLERSQKREGVDLTYLKNVILKLLETGEVEVLLPVVAMLLQFSPEEVLKCQEAYNASDAAPPSPSSDSSGSTLSLFSRFSFS >Manes.02G112700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8690100:8706851:1 gene:Manes.02G112700.v8.1 transcript:Manes.02G112700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEEGNAGEMPGTHMEDPEKHLSDMHRGSNGNLTKENGLQDDTPFTETAHEQLVQMVIELKFQNEFLTSQFEALKNLQSADDKSQHRTEASHQKTGEIEGLQKSIESLSRELHAETQTRGAAEEALKHLREAYSEAEAKAQELSANLAEAEQKLDKEIKEREEKYSELDSKFQRLHKRAKQRIQEVQKEKDDLVARFREINEAAERASSQQSTLQQELERTRQQAHDALKAMDAERQQLRSANNKLREKIEELHQSFQPKESALEALQQTLLEKEQMLEDMRGLLQAAEEKKQASLAELSAKHQKNIENLEGQLADALVDRVKATETISSLQVLIAEKETRIAEMDAASSGEAARLRAALESLKGELAHQKQEHEKEKESLEAASNTLKMKLEIAGSNCIRAEIEAAKIRSELELKVYVQKQMLNKKDGELLAAQEEINRLESEFSSYKVRAHALLQRKEVELAAAQDSEQLKALEEAIKEAERELSLISSERDEALQDLQDALANYDKELAERDAALNNSKQQMKSIEIKLDSANSRYQFEKEAWETNLQNLEESWRLRYEALKAEKEATSGQDIQKELEELKVRYKKLKEEHEAFRDLADRMIGEKDKEISKLVDDNKNLRYSLESRPQDDQNENNITGMGSVLYHLPTNIIFSALKKQDAPNLSTSAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELQRENRLHGQQEAMLKAELRNLERSQKREGVDLTYLKNVILKLLETGEVEVLLPVVAMLLQFSPEEVLKCQEAYNASDAAPPSPSSDSSGSTLSLFSRFSFS >Manes.04G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32020590:32023244:-1 gene:Manes.04G118400.v8.1 transcript:Manes.04G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVITLNCSIATSIRASSGSTPKPDHNRRKTASSTWWAPLFGWSSDPDYVNPSFSTGASDIDGSNRQSATLKFDSELDRPRSRFALGCFTEEKARQLRKKTVEGSSFHDIMYHSAIASRLASDTSGRSDRQKGTKGEQGTFPV >Manes.04G097200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30233332:30238901:-1 gene:Manes.04G097200.v8.1 transcript:Manes.04G097200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIIGAFINLFGSIAINFGTNLLKLGHTERERHSTLDIDGTSSKSHLKPIIYFQTWRVGLVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLAEKYSNMTFLFYCMILVIVVALHHYIYRRGELLIAVSGQDLRPYWQMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYPLHSWFTYCMLLLFFSTAGFWMTRLNEGLSLFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDALRTTMFILGMMCVFIGISLLAPDESRGGEVKDNASLVSVVSSSVTSESDSDRLINPVEDAQNKDPRSFVQAMAVKVTEMLTKAKRAYVFFFLGRLLVLYH >Manes.04G097200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30233332:30238901:-1 gene:Manes.04G097200.v8.1 transcript:Manes.04G097200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIIGAFINLFGSIAINFGTNLLKLGHTERERHSTLDIDGTSSKSHLKPIIYFQTWRVGLVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLAEKYSNMTFLFYCMILVIVVALHHYIYRRGELLIAVSGQDLRPYWQMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYPLHSWFTYCMLLLFFSTAGFWMTRLNEGLSLFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDALRTTMFILGMMCVFIGISLLAPDESRGGEVKDNASLVSVVSSSVTSESDSDRLINPVEDAQNKDPRSFVQAMAVKVTEMLTKAKTACSLSLGFGEDSISASAVLVMPMVSSKITGFRGSVFDRPKIFSLRNSGWSKISMEEDGIKVLDSNPVLPQAP >Manes.04G097200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30233332:30238901:-1 gene:Manes.04G097200.v8.1 transcript:Manes.04G097200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWIIGAFINLFGSIAINFGTNLLKLGHTERERHSTLDIDGTSSKSHLKPIIYFQTWRVGLVFFFLGNCLNFISFGYAAQSLLAALGSVQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVYTPEQLAEKYSNMTFLFYCMILVIVVALHHYIYRRGELLIAVSGQDLRPYWQMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSNGYPLHSWFTYCMLLLFFSTAGFWMTRLNEGLSLFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDALRTTMFILGMMCVFIGISLLAPDESRGGEVKDNASLVSVVSSSVTSESDSDRLINPVEDAQNKDPRSFVQAMAVKVTEMLTKAKTACSLSLGFGEDSISASAVLVMPMVSSKITGFRGSVFDRPKIFSLRNSGWSKISMEEDGIKVLDSNPVLPQAP >Manes.01G166300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34996405:34997816:1 gene:Manes.01G166300.v8.1 transcript:Manes.01G166300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIFLAMSLLLHGALGELVCEQLPVELCSYSIASSGKRCLLENFATKDGKVKYQCKTSEVVVDVMQEWIESDECVSSCGLDRNTVGISSDGLLQPQFLAKLCSDDCYQGCPNIVDLYLNLALAEGISFSFN >Manes.01G166300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34996405:34998487:1 gene:Manes.01G166300.v8.1 transcript:Manes.01G166300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIIFLAMSLLLHGALGELVCEQLPVELCSYSIASSGKRCLLENFATKDGKVKYQCKTSEVVVDVMQEWIESDECVSSCGLDRNTVGISSDGLLQPQFLAKLCSDDCYQGCPNIVDLYLNLALAEGVNLSEFCTNPRRALSATSSSGYATPGPVSATDYPAAAPITGDADPACAPSAI >Manes.04G136600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33663855:33670955:1 gene:Manes.04G136600.v8.1 transcript:Manes.04G136600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKDEEKLLSPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFSSGSSAMLPLPPNTGSSLVLSMSSSHGGGPERSVFTQFCNSPAPSHSERPYSQSCSGVKLSNKMESQDQKSMSSRNDQSLNTTQPLSSSAKCNSFQSHNLSNFKSFSLKKLGYEHDFRLPSSAQSGAVLNCSSSQQSKDQENRPFWNLSFSMHFQNVSEKQKKVAGSINLKTKEGMWNQNDENTKISKAYPDPLERPASIQSIQVKPSIDVSSNPTGKVKTTESLKRAHPLSNQEHRSSSVVVLKSLHGEENAPKVRSETGPMLSLGDDIRSHNGIETGNKNHEDKRQGSLQVGNVEKHDDVLETAVVDCLSALDASPDDVVRVMGEKQFWKARRTIVNQQRVFTVQVFELHRIMKVQKLIAGSLDLFLEENIYLGKAPSESRVGKKVPSENAIEQPSLIVEPKDNFHEQHASAEFADENAIGKLPFVNNETGKGLLTQQSNYGSHSEGVLPDPLATNAKPSPWCILPPGNQWLVPVMSPSEGLVYKPYTGPCPPTAGFMAPVYGNCGPLSLTAGSGDFLNAAYGVPASRQQGIGILTSTPHLGQTYFPPYGMPVMTPSISGSAVDQVSPFTGPQSKDNQLSVGDINFNLPHQSSCNMSSQRSHVISRIGKFRASNESELQRSTASVPSERPKGDALPLFPTEPTAQESDQNGQTVEPRTCVVKVVPHNPRSATESAARILRSIQEERKQYD >Manes.04G136600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33663806:33670963:1 gene:Manes.04G136600.v8.1 transcript:Manes.04G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKDEEKLLSPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFSSGSSAMLPLPPNTGSSLVLSMSSSHGGGPERSVFTQFCNSPAPSHSERPYSQSCSGVKLSNKMESQDQKSMSSRNDQSLNTTQPLSSSAKCNSFQSHNLSNFKSFSLKKLGYEHDFRLPSSAQSGAVLNCSSSQQSKDQENRPFWNLSFSMHFQNVSEKQKKVAGSINLKTKEGMWNQNDENTKISKAYPDPLERPASIQSIQVKPSIDVSSNPTGKVKTTESLKRAHPLSNQEHRSSSVVVLKSLHGEENAPKVRSETGPMLSLGDDIRSHNGIETGNKNHEDKRQGSLQVGNVEKHDDVLETAVVDCLSALDASPDDVVRVMGEKQFWKARRTIVNQQRVFTVQVFELHRIMKVQKLIAGSLDLFLEENIYLGKAPSESRVGKKVPSENAIEQPSLIVEPKDNFHEQHASAEFADENAIGKLPFVNNETGKGLLTQQSNYGSHSEGVLPDPLATNAKPSPWCILPPGNQWLVPVMSPSEGLVYKPYTGPCPPTAGFMAPVYGNCGPLSLTAGSGDFLNAAYGVPASRQQGIGILTSTPHLGQTYFPPYGMPVMTPSISGSAVDQVSPFTGPQSKDNQLSVGDINFNLPHQSSCNMSSQRSHVISRIGKFRASNESELQRSTASVPSERPKGDALPLFPTEPTAQESDQNGQTVEPRTCVVKVVPHNPRSATESAARILRSIQEERKQYD >Manes.04G136600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33663736:33671389:1 gene:Manes.04G136600.v8.1 transcript:Manes.04G136600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKDEEKLLSPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFSSGSSAMLPLPPNTGSSLVLSMSSSHGGGPERSVFTQFCNSPAPSHSERPYSQSCSGVKLSNKMESQDQKSMSSRNDQSLNTTQPLSSSAKCNSFQSHNLSNFKSFSLKKLGYEHDFRLPSSAQSGAVLNCSSSQQSKDQENRPFWNLSFSMHFQNVSEKQKKVAGSINLKTKEGMWNQNDENTKISKAYPDPLERPASIQSIQVKPSIDVSSNPTGKVKTTESLKRAHPLSNQEHRSSSVVVLKSLHGEENAPKVRSETGPMLSLGDDIRSHNGIETGNKNHEDKRQGSLQVGNVEKHDDVLETAVVDCLSALDASPDDVVRVMGEKQFWKARRTIVNQQRVFTVQVFELHRIMKVQKLIAGSLDLFLEENIYLGKAPSESRVGKKVPSENAIEQPSLIVEPKDNFHEQHASAEFADENAIGKLPFVNNETGKGLLTQQSNYGSHSEGVLPDPLATNAKPSPWCILPPGNQWLVPVMSPSEGLVYKPYTGPCPPTAGFMAPVYGNCGPLSLTAGSGDFLNAAYGVPASRQQGIGILTSTPHLGQTYFPPYGMPVMTPSISGSAVDQVSPFTGPQSKDNQLSVGDINFNLPHQSSCNMSSQRSHVISRIGKFRASNESELQRSTASVPSERPKGDALPLFPTEPTAQESDQNGQTVEPRTCVVKVVPHNPRSATESAARILRSIQEERKQYD >Manes.04G136600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33663806:33670963:1 gene:Manes.04G136600.v8.1 transcript:Manes.04G136600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKDEEKLLSPMFPRLHVNDTEKGGPRAPPRNKMALYEQLSIPSQRFSSGSSAMLPLPPNTGSSLVLSMSSSHGGGPERSVFTQFCNSPAPSHSERPYSQSCSGVKLSNKMESQDQKSMSSRNDQSLNTTQPLSSSAKCNSFQSHNLSNFKSFSLKKLGYEHDFRLPSSAQSGAVLNCSSSQQSKDQENRPFWNLSFSMHFQNVSEKQKKVAGSINLKTKEGMWNQNDENTKISKAYPDPLERPASIQSIQVKPSIDVSSNPTGKVKTTESLKRAHPLSNQEHRSSSVVVLKSLHGEENAPKVRSETGPMLSLGDDIRSHNGIETGNKNHEDKRQGSLQVGNVEKHDDVLETAVVDCLSALDASPDDVVRVMGEKQFWKARRTIVNQQRVFTVQVFELHRIMKVQKLIAGSLDLFLEENIYLGKAPSESRVGKKVPSENAIEQPSLIVEPKDNFHEQHASAEFADENAIGKLPFVNNETGKGLLTQQSNYGSHSEGVLPDPLATNAKPSPWCILPPGNQWLVPVMSPSEGLVYKPYTGPCPPTAGFMAPVYGNCGPLSLTAGSGDFLNAAYGVPASRQQGIGILTSTPHLGQTYFPPYGMPVMTPSISGSAVDQVSPFTGPQSKDNQLSVGDINFNLPHQSSCNMSSQRSHVISRIGKFRASNESELQRSTASVPSERPKGDALPLFPTEPTAQESDQNGQTVEPRTCVVKVVPHNPRSATESAARILRSIQEERKQYD >Manes.08G044300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4395077:4402703:-1 gene:Manes.08G044300.v8.1 transcript:Manes.08G044300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLITTSAASSSATANTLSSRIPSALLHQRSNAIALCSQLTSIVCVQVNGNSSRSSCSAIKAMAESHTLSVPNNEPQTSASGNKQALISLSDKKDLAFLGNGLQNLGYTIVSTGGTASALESVGVSVTKVEQLTCFPEMLDGRVKTLHPTIHGGILARRDQKHHMEALNKHGIGTFDVVVVNLYPFYDKVTSTGQIEFDDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYAALLDFLKGNQDDQQFRRKLAWKAFQHVASYDSAVSEWLWKHTVGDKFPPSLTVPLSLKSSLRYGENPHQKAAFYVDKSISEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFKNPTCVIVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEIDEALAKEIREFRSPTDGETRMFYEIVVAPKYTKKGLEILKGKSKTLRILEAKKNEQGKLSLRQVGGGWLAQDSDDLTPQDIQFSVMSEKTPQENEFCDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIALKKAGDEVKGAALASDAFFPFAWNDAVEEACEAGIGVIAEPGGSIRDNDAIDCCNKRGVSLLFTNVRHFRH >Manes.05G032000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2710561:2713607:-1 gene:Manes.05G032000.v8.1 transcript:Manes.05G032000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDLITRVEAICKKYEKYDVDKQKDLNVAGDDAFACLYAVVEADLDAALQKSESAATEKNRATVIAMSAEIRRTKARLLEELPKLQRLAFKKVKGLSKEELEARSDLVSTLKERIEAIPDGSTSTAKQASGWAPSTSHKGFKFDSTYDGGFDGEYFQQTEESDRFRQEYEMRRMKQDQGLEVIAEGLDTLKNMAHDMNEEMDRQDPLMDEIDDKVDRATSDIKSTNVRLRDTLNQLRSSRNFCIDIILLCIILGIAAYLYNVLK >Manes.05G032000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2710561:2713571:-1 gene:Manes.05G032000.v8.1 transcript:Manes.05G032000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDLITRVEAICKKYEKYDVDKQKDLNVAGDDAFACLYAVVEADLDAALQKSESAATEKNRATVIAMSAEIRRTKARLLEELPKLQRLAFKKVKGLSKEELEARSDLVSTLKERIEAIPDGSTSTAKQASGWAPSTSHKGFKFDSTYDGGFDGEYFQQTEESDRFRQEYEMRRMKQDQGLEVIAEGLDTLKNMAHDMNEEMDRQDPLMDEIDDKVDRATSDIKSTNVRLRDTLNQLRSSRNFCIDIILLCIILGIAAYLYNVLK >Manes.05G032000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2710561:2713571:-1 gene:Manes.05G032000.v8.1 transcript:Manes.05G032000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDLITRVEAICKKYEKYDVDKQKDLNVAGDDAFACLYAVVEADLDAALQKSESAATEKNRATVIAMSAEIRRTKARLLEELPKLQRLAFKKVKGLSKEELEARSDLVSTLKERIEAIPDGSTSTAKQASGWAPSTSHKGFKFDSTYDGGFDGEYFQQTEESDRFRQEYEMRRMKQDQGLEVIAEGLDTLKNMAHDMNEEMDRQDPLMDEIDDKVDRATSDIKSTNVRLRDTLNQLRSSRNFCIDIILLCIILGIAAYLYNVLK >Manes.12G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7144109:7152344:1 gene:Manes.12G068200.v8.1 transcript:Manes.12G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGVGGSRDRYRRDFGSSRYEDSTSSNSSSKRSNNPPSRHLWVGNLSHSLVENELTDHFLHFGELDTVAFQPGRSYAFINFKREDDAIAAMKDLQGFPLAGNPLRIEFAKAEKSSAPSRDEDYLQRRDEQRSALKGAPFSQRDSRLRNSSPDPYYVDKSKINDKSAEPSEVLWIGFPALLKVDEMILRKAFSPFGEIEKITVFPGRSYAFVRFRSLTAACRAKETLQGKLFGNPRVHICFAKSESGSSSSGRTHLSPHYRSNGHSGTSENFRQDRNFGSLMSDPSIRSPRFMSNLDPDSDVHGFNRKGMLHPGGSNTFDNWRFGEELGPPPDVYERRGSPARERGPHFDELPHKFPPKASFYEEPWDLPEDSYVFHGAKKLKTGSFPPDKELPEYPFSELEEEKRAFPRPLSDFPQPEFLDKNYGYKPNSDHLSTLSLPLGERSDRWKASYDNVQIGSGSMPSNPVERKRITPEPEQSSLNLWKWEGTIAKGGTPVCHARGFPVGKSLDIMLPEFLDCTARTGLDMLAKHYYQAASAWVVFFAPASDADIGYYNEFMHYLEEKQRAAVAKLDDKTTLFLVPPSDFAEKVLRVPGKLCISGVVLRLELPGSNFGSLNYSNEKRELLSFHGDAQYTKPSTPSGHFRPMGSFPDLARSGGDPSFLRDVSTSGPPAAFSGSAHAAGRMSDSYNESRHDYQLQQRNPMPGPNWSPHHRQNSFPGNRNTPSQASNAAIDTAQEHHSVIPRAVQEDDVALHAAGMSSNPLSGTGKPSLMENKSSVPLSLPTAALQPQQLAQLASSLLGQRQPGSNANVSVGEDIRHGNTMNQLENQFRTAQTHGLQNNRVASEIPTSQFGQQQQLQQQQQHHLQASNVPKAVPASLQRDVQPGTSANPQIPSTSTQEAEDGDPQKRLQATLQLAAALLQQIQQGKGT >Manes.09G137450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33746906:33747097:1 gene:Manes.09G137450.v8.1 transcript:Manes.09G137450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPARRRLMRDSKRLQQDPPAGISVAAQGTLSCFGSRSGLLIEKQAKAPIAAALEGQGWRAV >Manes.02G210760.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29192698:29193649:1 gene:Manes.02G210760.v8.1 transcript:Manes.02G210760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAESSMEEINNLKGRLSLEFKMKDLDAAKQILGMRISRDRSTRILNLSQMQYIEKVLSRFKVDDVKPRNTPLANHLKLSNEQSPKTAMERDHMVKAHYASAVGSLMYDMVCTRPHIAHVMGAMSRYMSDPGKEYLKGAVSTSLCYGNGKVVLEDFVDADLSGDMDTSKSTSEYVYTIDETAVNWMFKLQKCVFMSSTEAKYVAIAEAELGKKQLDKVLFIDSQSVIQLVKNPVYHFRIKHIQHRYYFTRSLMEEGEMCLKKIEGTKNPADILTKGIDAKKLGLYKTSVGFLQ >Manes.09G025663.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5850922:5852354:1 gene:Manes.09G025663.v8.1 transcript:Manes.09G025663.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFMALEESSDEVTTLDDFTLNDDDVEFSYDELVGALKLMNDELEKSHRKNKILKCELASFKKESENSPKEPLPSNDSLQKSLDELSLENKNLKNEILELKNSLSKLLKGKDKLDEILDSQRSPSIKYGLGYDKSTQANFSKTVFVKATNSHEPKVSSSNGNVPKVSSSNMSMRNAPTRNAHVHQSTSYNTHIRHTPRQFAYKRNDHYRTHTSSSQNHHSNHISCSHAFNKQRRNGHMRTQTHSLTYGPRVRRFNGHCHYCGKFGHTNYKCSIRKLHLGYGSIWKLDSGMTNPQGPKYIWVPKSV >Manes.01G263300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41814540:41817904:1 gene:Manes.01G263300.v8.1 transcript:Manes.01G263300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIHKQEIEHDDDTRNVDLRISADGDAHTDKLDPTANSIFTTKEVAPKEEPDSEERSPAPLGVMPIAVHVPTAIRMPLAAAPPKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTSNANSEPNDPSVKKKRKRPANSEYIDISDAAVSVSAPLAPLMTPQPPPPQQQTATAVIPQGLVPMWAIPSNAVVPGAFFMVPPMAASIAGTPNQPQIFTFPAAATPLINISARPISSFVSSMQQAANIAVAMPVSSSTISGSKPTKATSVMAPSSSSAPISSSTANSTNTSTTTTQMLRDFSLEIYDKQELQFMTRPSKH >Manes.13G149200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36492622:36496221:1 gene:Manes.13G149200.v8.1 transcript:Manes.13G149200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSRSTCSSSRSNGDAVPPPCLGIGFCGQKKTKRTFSDHIAALQHLPSIPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDMTFCGVFDGHGPHGHLVARKVRDALPIKLLSFLHSNQSKQNGSGQTCFKGNSKKSDVLDSEKDGPTEDKLNSAWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQCFSSATLQSNHSGNAIESDDGQKSEPCLQRNFTVRSSEENDNYGKLGAEVDGTGETVTGEDQSWSGLEGVTRVNSLVQLPRFSEERPNP >Manes.13G149200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36492136:36496200:1 gene:Manes.13G149200.v8.1 transcript:Manes.13G149200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSRSTCSSSRSNGDAVPPPCLGIGFCGQKKTKRTFSDHIAALQHLPSIPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDMTFCGVFDGHGPHGHLVARKVRDALPIKLLSFLHSNQSKQNGSGQTCFKGNSKKSDVLDSEKDGPTEDKLNSAWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQCFSSATLQSNHSGNAIESDDGQKSEPCLQRNFTVRSSEENDNYGKLGAEVDGTGETVTGEDQSWSGLEGVTRVNSLVQLPRFSEERPNP >Manes.13G149200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36491046:36496297:1 gene:Manes.13G149200.v8.1 transcript:Manes.13G149200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSRSTCSSSRSNGDAVPPPCLGIGFCGQKKTKRTFSDHIAALQHLPSIPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDMTFCGVFDGHGPHGHLVARKVRDALPIKLLSFLHSNQSKQNGSGQTCFKGNSKKSDVLDSEKDGPTEDKLNSAWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQCFSSATLQSNHSGNAIESDDGQKSEPCLQRNFTVRSSEENDNYGKLGAEVDGTGETVTGEDQSWSGLEGVTRVNSLVQLPRFSEERPNP >Manes.13G149200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36491050:36496297:1 gene:Manes.13G149200.v8.1 transcript:Manes.13G149200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSRSTCSSSRSNGDAVPPPCLGIGFCGQKKTKRTFSDHIAALQHLPSIPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDMTFCGVFDGHGPHGHLVARKVRDALPIKLLSFLHSNQSKQNGSGQTCFKGNSKKSDVLDSEKDGPTEDKLNSAWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQCFSSATLQSNHSGNAIESDDGQKSEPCLQRNFTVRSSEENDNYGKLGAEVDGTGETVTGEDQSWSGLEGVTRVNSLVQLPRFSEERPNP >Manes.13G149200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36491134:36496200:1 gene:Manes.13G149200.v8.1 transcript:Manes.13G149200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSRSTCSSSRSNGDAVPPPCLGIGFCGQKKTKRTFSDHIAALQHLPSIPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDMTFCGVFDGHGPHGHLVARKVRDALPIKLLSFLHSNQSKQNGSGQTCFKGNSKKSDVLDSEKDGPTEDKLNSAWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQCFSSATLQSNHSGNAIESDDGQKSEPCLQRNFTVRSSEENDNYGKLGAEVDGTGETVTGEDQSWSGLEGVTRVNSLVQLPRFSEERPNP >Manes.13G149200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36491134:36496200:1 gene:Manes.13G149200.v8.1 transcript:Manes.13G149200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTSSRSTCSSSRSNGDAVPPPCLGIGFCGQKKTKRTFSDHIAALQHLPSIPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDMTFCGVFDGHGPHGHLVARKVRDALPIKLLSFLHSNQSKQNGSGQTCFKGNSKKSDVLDSEKDGPTEDKLNSAWREAFLKSYKAMDKELRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDNNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRLLTEKDQFIVLASDGVWDVLSNEEVVEIVSSAPTRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQCFSSATLQSNHSGNAIESDDGQKSEPCLQRNFTVRSSEENDNYGKLGAEVDGTGETVTGEDQSWSGLEGVTRVNSLVQLPRFSEERPNP >Manes.01G083200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28558246:28563165:-1 gene:Manes.01G083200.v8.1 transcript:Manes.01G083200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSYTVDSISKSQELASDILASSTPVQISSVCSSIDSFLHSHTADQSRHFFSLTFPTLICKLYGFCDAAAPPNGSYFASSNAGWMDIILQSNDSDLASRVFSLLSPNGVIFQSIFAVDDQSLVKYVFPIERLPEWARFVLASERDCQVLNNLCPLFRGKIKEDSIKGSLNYQVQLNVFEYFMFWFAYYPICKANCENLNNPPTKRTRKLNLESWTSSIPVFSHSKPGNEQKLEHNLYLRLLYAYLRAFVPILDLDLHQPYRSSLLHYGRGNAKSILLIAEFLVDTLVHYWLVDNDFSPLPVDVCKSSGLSFPHRSVLTETPPAPKLGEMVKLLVKYLNLSVTGVKNGSNCVDSSKWSRVSLCSFDLRLREFAVSVNDNMCVGASWNSWIQRPVYRFLLRTFLFCPVGTSIKNVEQVFLVWVSYLEPWKVELNDFAEFDATVDGLGKDLKKEKERSKEDGYSSLWQDYVLSNYLYYSSLIMHFIGFAHKFLHTDTELMVQMVLQVMQTLTSSKELTDLIKDMDAVFHSKQAGSSKLMLNSLHGYVPLILQQLQDWEDGLCEGDAAGSFLHENWNKDLRLFSDGEDGGKNLLQVSYLFGGCTVKAIPFPLEKEQHEHSQDAIFNPRKVGNQVLTDVKYKGDWMKRPISDNEVAWLAKLLVGLSGWLNENLGLNQAENSDGSSKWPYLEVASGVENVSGSSETIRMMLCAIGSWFLALGATVVRLMRKHGLRINLRILASKKIVLFFIMSVLFRILKNAFGLFHRV >Manes.01G083200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28558246:28563164:-1 gene:Manes.01G083200.v8.1 transcript:Manes.01G083200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSYTVDSISKSQELASDILASSTPVQISSVCSSIDSFLHSHTADQSRHFFSLTFPTLICKLYGFCDAAAPPNGSYFASSNAGWMDIILQSNDSDLASRVFSLLSPNGVIFQSIFAVDDQSLVKYVFPIERLPEWARFVLASERDCQVLNNLCPLFRGKIKEDSIKGSLNYQVQLNVFEYFMFWFAYYPICKANCENLNNPPTKRTRKLNLESWTSSIPVFSHSKPGNEQKLEHNLYLRLLYAYLRAFVPILDLDLHQPYRSSLLHYGRGNAKSILLIAEFLVDTLVHYWLVDNDFSPLPVDVCKSSGLSFPHRSVLTETPPAPKLGEMVKLLVKYLNLSVTGVKNGSNCVDSSKWSRVSLCSFDLRLREFAVSVNDNMCVGASWNSWIQRPVYRFLLRTFLFCPVGTSIKNVEQVFLVWVSYLEPWKVELNDFAEFDATVDGLGKDLKKEKERSKEDGYSSLWQDYVLSNYLYYSSLIMHFIGFAHKFLHTDTELMVQMVLQVMQTLTSSKELTDLIKDMDAVFHSKQAGSSKLMLNSLHGYVPLILQQLQDWEDGLCEGDAAGSFLHENWNKDLRLFSDGEDGGKNLLQLFILRVEAELQASSGNNLAHNLQLIDSLKKQVSYLFGGCTVKAIPFPLEKEQHEHSQDAIFNPRKVGNQVLTDVKYKGDWMKRPISDNEVAWLAKLLVGLSGWLNENLGLNQAENSDGSSKWPYLEVASGVENVSGSSETIRMMLCAIGSWFLALGATVVRLMRKHGLRINLRILASKKIVLFFIMSVLFRILKNAFGLFHRV >Manes.01G083200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28558246:28563164:-1 gene:Manes.01G083200.v8.1 transcript:Manes.01G083200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRSYTVDSISKSQELASDILASSTPVQISSVCSSIDSFLHSHTADQSRHFFSLTFPTLICKLYGFCDAAAPPNGSYFASSNAGWMDIILQSNDSDLASRVFSLLSPNGVIFQSIFAVDDQSLVKYVFPIERLPEWARFVLASERDCQVLNNLCPLFRGKIKEDSIKGSLNYQVQLNVFEYFMFWFAYYPICKANCENLNNPPTKRTRKLNLESWTSSIPVFSHSKPGNEQKLEHNLYLRLLYAYLRAFVPILDLDLHQPYRSSLLHYGRGNAKSILLIAEFLVDTLVHYWLVDNDFSPLPVDVCKSSGLSFPHRSVLTETPPAPKLGEMVKLLVKYLNLSVTGVKNGSNCVDSSKWSRVSLCSFDLRLREFAVSVNDNMCVGASWNSWIQRPVYRFLLRTFLFCPVGTSIKNVEQVFLVWVSYLEPWKVELNDFAEFDATVDGLGKDLKKEKERSKEDGYSSLWQDYVLSNYLYYSSLIMHFIGFAHKFLHTDTELMVQMVLQVMQTLTSSKELTDLIKDMDAVFHSKQAGSSKLMLNSLHGYVPLILQQLQDWEDGLCEGDAAGSFLHENWNKDLRLFSDGEDGGKNLLQLFILRVEAELQASSGNNLAHNLQLIDSLKKQVSYLFGGCTVKAIPFPLEKEQHEHSQDAIFNPRKVGNQVLTDVKYKGDWMKRPISDNEVAWLAKLLVGLSGWLNENLGLNQAENSDGSSKWPYLEVASGVENVSGSSETIRMMLCAIGSWFLALGATVVRLMRKHGLRINLRILASKKIVLFFIMSVLFRILKNAFGLFHRV >Manes.09G064100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11204079:11210972:1 gene:Manes.09G064100.v8.1 transcript:Manes.09G064100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEANLNKKFANMKCQTVKAGESCVPSLEDETVEVQHLLTEAKPDNAPGDGALCFGKENAWKHLEIEDFSYGFEYGLRRNCGGLDCNNAQGQDDLELGVLDGLLDEVDEVDDIHAANDISGACEDFLLDVELAGKISDLDFAHRGGLHLGNSSSESQSPGFSGSSNGAHGLSESSTATIPDSECKNGSVENKTVKCELNDSSGDNVFTTSHDLENSDELDDAKRLESGISSDDNEKKPIQASKMSTLLRQKRSRKPTKRYIEEFSVPKSKHALERQKILASETKYKCLTIGSHNELPHGRGQTLIKVESLSETITQETLEDDEKLSDLKSKCMTRRLKISSASNGKHSKTGSRNELCHVRGLKSAPRDSLAGTSTQASFDSRPRRGRPKKRPYVSTLERDDEHAASESEDDHTKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASTVQKQKMKQNKKEVEQKLKHAMRPLPKLVIHRILELATVHPYPRFTPGGRYIRRKKN >Manes.09G064100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11204028:11212208:1 gene:Manes.09G064100.v8.1 transcript:Manes.09G064100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEANLNKKFANMKCQTVKAGESCVPSLEDETVEVQHLLTEAKPDNAPGDGALCFGKENAWKHLEIEDFSYGFEYGLRRNCGGLDCNNAQGQDDLELGVLDGLLDEVDEVDDIHAANDISGACEDFLLDVELAGKISDLDFAHRGGLHLGNSSSESQSPGFSGSSNGAHGLSESSTATIPDSECKNGSVENKTVKCELNDSSGDNVFTTSHDLENSDELDDAKRLESGISSDDNEKKPIQASKMSTLLRQKRSRKPTKRYIEEFSVPKSKHALERQKILASETKYKCLTIGSHNELPHGRGQTLIKVESLSETITQETLEDDEKLSDLKSKCMTRRLKISSASNGKHSKTGSRNELCHVRGLKSAPRDSLAGTSTQASFDSRPRRGRPKKRPYVSVGKMTLERDDEHAASESEDDHTKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASTVQKQKMKQNKKEVSFVRNLL >Manes.09G064100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11203857:11212247:1 gene:Manes.09G064100.v8.1 transcript:Manes.09G064100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEANLNKKFANMKCQTVKAGESCVPSLEDETVEVQHLLTEAKPDNAPGDGALCFGKENAWKHLEIEDFSYGFEYGLRRNCGGLDCNNAQGQDDLELGVLDGLLDEVDEVDDIHAANDISGACEDFLLDVELAGKISDLDFAHRGGLHLGNSSSESQSPGFSGSSNGAHGLSESSTATIPDSECKNGSVENKTVKCELNDSSGDNVFTTSHDLENSDELDDAKRLESGISSDDNEKKPIQASKMSTLLRQKRSRKPTKRYIEEFSVPKSKHALERQKILASETKYKCLTIGSHNELPHGRGQTLIKVESLSETITQETLEDDEKLSDLKSKCMTRRLKISSASNGKHSKTGSRNELCHVRGLKSAPRDSLAGTSTQASFDSRPRRGRPKKRPYVSVGKMTLERDDEHAASESEDDHTKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASTVQKQKMKQNKKEVEQKLKHAMRPLPKLVIHRILELATVHPYPRFTPGGRYIRRKKN >Manes.09G064100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11204028:11212208:1 gene:Manes.09G064100.v8.1 transcript:Manes.09G064100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEANLNKKFANMKCQTVKAGESCVPSLEDETVEVQHLLTEAKPDNAPGDGALCFGKENAWKHLEIEDFSYGFEYGLRRNCGGLDCNNAQGQDDLELGVLDGLLDEVDEVDDIHAANDISGACEDFLLDVELAGKISDLDFAHRGGLHLGNSSSESQSPGFSGSSNGAHGLSESSTATIPDSECKNGSVENKTVKCELNDSSGDNVFTTSHDLENSDELDDAKRLESGISSDDNEKKPIQASKMSTLLRQKRSRKPTKRYIEEFSVPKSKHALERQKILASETKYKCLTIGSHNELPHGRGQTLIKVESLSETITQETLEDDEKLSDLKSKCMTRRLKISSASNGKHSKTGSRNELCHVRGLKSAPRDSLAGTSTQASFDSRPRRGRPKKRPYVSTLERDDEHAASESEDDHTKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASTVQKQKMKQNKKEVSFVRNLL >Manes.09G064100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11203857:11212247:1 gene:Manes.09G064100.v8.1 transcript:Manes.09G064100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEANLNKKFANMKCQTVKAGESCVPSLEDETVEVQHLLTEAKPDNAPGDGALCFGKENAWKHLEIEDFSYGFEYGLRRNCGGLDCNNAQGQDDLELGVLDGLLDEVDEVDDIHAANDISGACEDFLLDVELAGKISDLDFAHRGGLHLGNSSSESQSPGFSGSSNGAHGLSESSTATIPDSECKNGSVENKTVKCELNDSSGDNVFTTSHDLENSDELDDAKRLESGISSDDNEKKPIQASKMSTLLRQKRSRKPTKRYIEEFSVPKSKHALERQKILASETKYKCLTIGSHNELPHGRGQTLIKVESLSETITQETLEDDEKLSDLKSKCMTRRLKISSASNGKHSKTGSRNELCHVRGLKSAPRDSLAGTSTQASFDSRPRRGRPKKRPYVSTLERDDEHAASESEDDHTKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASTVQKQKMKQNKKEVEQKLKHAMRPLPKLVIHRILELATVHPYPRFTPGGRYIRRKKN >Manes.09G064100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11203859:11210972:1 gene:Manes.09G064100.v8.1 transcript:Manes.09G064100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEANLNKKFANMKCQTVKAGESCVPSLEDETVEVQHLLTEAKPDNAPGDGALCFGKENAWKHLEIEDFSYGFEYGLRRNCGGLDCNNAQGQDDLELGVLDGLLDEVDEVDDIHAANDISGACEDFLLDVELAGKISDLDFAHRGGLHLGNSSSESQSPGFSGSSNGAHGLSESSTATIPDSECKNGSVENKTVKCELNDSSGDNVFTTSHDLENSDELDDAKRLESGISSDDNEKKPIQASKMSTLLRQKRSRKPTKRYIEEFSVPKSKHALERQKILASETKYKCLTIGSHNELPHGRGQTLIKVESLSETITQETLEDDEKLSDLKSKCMTRRLKISSASNGKHSKTGSRNELCHVRGLKSAPRDSLAGTSTQASFDSRPRRGRPKKRPYVSVGKMTLERDDEHAASESEDDHTKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASTVQKQKMKQNKKEVEQKLKHAMRPLPKLVIHRILELATVHPYPRFTPGGRYIRRKKN >Manes.09G064100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11204079:11210972:1 gene:Manes.09G064100.v8.1 transcript:Manes.09G064100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEANLNKKFANMKCQTVKAGESCVPSLEDETVEVQHLLTEAKPDNAPGDGALCFGKENAWKHLEIEDFSYGFEYGLRRNCGGLDCNNAQGQDDLELGVLDGLLDEVDEVDDIHAANDISGACEDFLLDVELAGKISDLDFAHRGGLHLGNSSSESQSPGFSGSSNGAHGLSESSTATIPDSECKNGSVENKTVKCELNDSSGDNVFTTSHDLENSDELDDAKRLESGISSDDNEKKPIQASKMSTLLRQKRSRKPTKRYIEEFSVPKSKHALERQKILASETKYKCLTIGSHNELPHGRGQTLIKVESLSETITQETLEDDEKLSDLKSKCMTRRLKISSASNGKHSKTGSRNELCHVRGLKSAPRDSLAGTSTQASFDSRPRRGRPKKRPYVSVGKMTLERDDEHAASESEDDHTKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASTVQKQKMKQNKKEVEQKLKHAMRPLPKLVIHRILELATVHPYPRFTPGGRYIRRKKN >Manes.09G064100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11203859:11210972:1 gene:Manes.09G064100.v8.1 transcript:Manes.09G064100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEANLNKKFANMKCQTVKAGESCVPSLEDETVEVQHLLTEAKPDNAPGDGALCFGKENAWKHLEIEDFSYGFEYGLRRNCGGLDCNNAQGQDDLELGVLDGLLDEVDEVDDIHAANDISGACEDFLLDVELAGKISDLDFAHRGGLHLGNSSSESQSPGFSGSSNGAHGLSESSTATIPDSECKNGSVENKTVKCELNDSSGDNVFTTSHDLENSDELDDAKRLESGISSDDNEKKPIQASKMSTLLRQKRSRKPTKRYIEEFSVPKSKHALERQKILASETKYKCLTIGSHNELPHGRGQTLIKVESLSETITQETLEDDEKLSDLKSKCMTRRLKISSASNGKHSKTGSRNELCHVRGLKSAPRDSLAGTSTQASFDSRPRRGRPKKRPYVSTLERDDEHAASESEDDHTKRRKSKKSADRRKHQRMWTLSEVMKLIDGIAQYGTGRWTDIKKLLFSSSAYRTPVDLRDKWRNLLRASTVQKQKMKQNKKEVEQKLKHAMRPLPKLVIHRILELATVHPYPRFTPGGRYIRRKKN >Manes.10G105600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25531086:25534030:-1 gene:Manes.10G105600.v8.1 transcript:Manes.10G105600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTETNPTALALLILRNLVAPALIYADKSLVTLGQKYKLLEFIRYLFITGFLFFLRLLPSLLTCFNPITDHNHLLKSPKNDNHAALTLRGGDSGIARALLQILSLINDIPVSSRKYEVVRSLAEKLIEENQSGDIEALRLVNRTSLSAAFERTLRQLEAAMMELGYEPEENWQVRNQLNRVLRVVRSVKYGTLGALGGRTRDGMEQLEEKLAAELLWLSQKLAACGCGEEAVLRWASASNLAWFALSAEPRLQGSLLKISAFLFKQAKEWEVEEIEGDKKQQQRQINKKMLMAWLPLLCKACNGTDLPVLTTLEKAELEKILEELIGSLEHEEDQEQVLSLWLQHFTTSTSSDWPNLRPSFDHWCTAARKLLILQ >Manes.15G169600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14683315:14691621:-1 gene:Manes.15G169600.v8.1 transcript:Manes.15G169600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHSSLFPCFKPGTNRTKHEQADLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRSGTVSETRPGLPETGFKSISGASVSANASTPRTVLQLENIYDDAIDSGGLAAGVTGGGVRGSIVNVEGFESTASFSALRLQPVPRGGEGFFMSGPIDGGALSGPLDANSGTDNSGRVHFSAPLGGIYMKNKRRNGISGIKKAIYRNLSEKKRPWVVPVLNFVNRKETSGTGEERENQDESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFNELQGLFWDLEEPDEASNVASTTVMESDITTDSAVERNYQDYGESTLGDRESNLVYRDQEKVAKDDPSKETGDQKDAGGTIGGIESSSMPHERAKRVTFQSEGIEVTHRRRLWEFLAEDDAEDGLDLSGSDRFAFSVDDAISVGNAGSAVSRRWLLLSKLKQGLSKHGENNFFPWKFGLEKKEEKVESSRVEERVLKRKRKIGPVDHDLVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEHVGSSVDVSGLTVEGVTEGPAQAMRLTALQLSTDHSTSIEECWRCLGMTILAPHHIFPAGLLSVTINCVQEINLSSSHLMDCISI >Manes.15G169600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14683315:14691621:-1 gene:Manes.15G169600.v8.1 transcript:Manes.15G169600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHSSLFPCFKPGTNRTKHEQADLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRSGTVSETRPGLPETGFKSISGASVSANASTPRTVLQLENIYDDAIDSGGLAAGVTGGGVRGSIVNVEGFESTASFSALRLQPVPRGGEGFFMSGPIDGGALSGPLDANSGTDNSGRVHFSAPLGGIYMKNKRRNGISGIKKAIYRNLSEKKRPWVVPVLNFVNRKETSGTGEERENQDESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFNELQGLFWDLEEPDEASNVASTTVMESDITTDSAVERNYQDYGESTLGDRESNLVYRDQEKVAKDDPSKETGDQKDAGGTIGGIESSSMPHERAKRVTFQSEGIEVTHRRRLWEFLAEDDAEDGLDLSGSDRFAFSVDDAISVGNAGSAVSRRWLLLSKLKQGLSKHGENNFFPWKFGLEKKEEKVESSRVEERVLKRKRKIGPVDHDLVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEHVGSSVDVSGLTVEGVTEGPAQAMRLTALQLSTDHSTSIEEEILRIKTEHLDDSQCIVNDRVKGRLKVTRAFGAGFLKQAFSLSPSTVSKRSICRPLI >Manes.15G169600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14683315:14691621:-1 gene:Manes.15G169600.v8.1 transcript:Manes.15G169600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHSSLFPCFKPGTNRTKHEQADLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRSGTVSETRPGLPETGFKSISGASVSANASTPRTVLQLENIYDDAIDSGGLAAGVTGGGVRGSIVNVEGFESTASFSALRLQPVPRGGEGFFMSGPIDGGALSGPLDANSGTDNSGRVHFSAPLGGIYMKNKRRNGISGIKKAIYRNLSEKKRPWVVPVLNFVNRKETSGTGEERENQDESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFNELQGLFWDLEEPDEASNVASTTVMESDITTDSAVERNYQDYGESTLGDRESNLVYRDQEKVAKDDPSKETGDQKDAGGTIGGIESSSMPHERAKRVTFQSEGIEVTHRRRLWEFLAEDDAEDGLDLSGSDRFAFSVDDAISVGNAGSAVSRRWLLLSKLKQGLSKHGENNFFPWKFGLEKKEEKVESSRVEERVLKRKRKIGPVDHDLVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEHVGSSVDVSGLTVEGVTEGPAQAMRLTALQLSTDHSTSIEEEILRIKTEHLDDSQCIVNDRVKGRLKVTRAFGAGFLKQPKFNDAVLEMFRNDYIGTAPYISCRPSLCHHQLCPRDQFVVLSSDGLYQYLTNQEVVSHVESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYP >Manes.15G169600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14683315:14691621:-1 gene:Manes.15G169600.v8.1 transcript:Manes.15G169600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHSSLFPCFKPGTNRTKHEQADLIFTASEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSQSLRFSPSRSGTVSETRPGLPETGFKSISGASVSANASTPRTVLQLENIYDDAIDSGGLAAGVTGGGVRGSIVNVEGFESTASFSALRLQPVPRGGEGFFMSGPIDGGALSGPLDANSGTDNSGRVHFSAPLGGIYMKNKRRNGISGIKKAIYRNLSEKKRPWVVPVLNFVNRKETSGTGEERENQDESNVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFNELQGLFWDLEEPDEASNVASTTVMESDITTDSAVERNYQDYGESTLGDRESNLVYRDQEKVAKDDPSKETGDQKDAGGTIGGIESSSMPHERAKRVTFQSEGIEVTHRRRLWEFLAEDDAEDGLDLSGSDRFAFSVDDAISVGNAGSAVSRRWLLLSKLKQGLSKHGENNFFPWKFGLEKKEEKVESSRVEERVLKRKRKIGPVDHDLVLRALSRALEMTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEHVGSSVDVSGLTVEGVTEGPAQAMRLTALQLSTDHSTSIEEPKFNDAVLEMFRNDYIGTAPYISCRPSLCHHQLCPRDQFVVLSSDGLYQYLTNQEVVSHVESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHKLLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYP >Manes.12G094532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:19258658:19259844:-1 gene:Manes.12G094532.v8.1 transcript:Manes.12G094532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFRGHVRLPKVFDQATYKEPSDRKWASILPILELRFEA >Manes.18G102050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9689298:9692835:1 gene:Manes.18G102050.v8.1 transcript:Manes.18G102050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARKEEDVFRPLANFPPSVWGYSFAKFSFLDPEYELQTKEVELLKQEVNILMQSAEKLTDKIEFINLLYRLGVSYHFENEIEEQLNHIFNMLPDLLAENDYDLHTLALLFQVLRQYGYKMPCDVFEKFKDSDGAFKKTICSDVKGLLSLYEATFLSVHGEDILDEALVFTRQNLETLVAQSSPPLAERIRNALVRPFHQGIERLEARQYISFYEGDESRNETLLKFAKLDFNRLQLLYKQELAILSSWWKDLNLVEKLPYIRDRIVEVYLWAIGAHFEPEYALARLMITKYTKMVSVVDDTYDAYGTIDELQRFTDSFQRCNADAIDEVPEYMKVIYKSLLKLFDETESTDDEEMSYRTSYAKERFKELTRGYLLEAKWFNNGYVPSMRDYIENGLITSTYSVLPSASFIGMEKVVGTKEYHWLETNPKIVVASKLICRLMDDVTTREDEQRRGHCASSVECYMKEHGVSEKEAIGEIQKMCENAWKDMNEACMKPTAVPRALLKYYVNLARVIDFVYKYMDSYTYASSLKQDISSLFLGQLPM >Manes.05G204500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33102936:33110848:1 gene:Manes.05G204500.v8.1 transcript:Manes.05G204500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPDPPCPCQFPQLNSSSDERASLKLPLPEVDLPNPPLDHHTPLPNFSIRDYVFTARSKDIKKNWPFSQKNLQLCLKHGVKDVLPPFQPLASVRNQSLKRCTVETTSLEKQNTSDLYKEQPDNHVVLDSSDDVQLNNKLADSCLDISSCRSREENDFPSTTASVSQSEIESFPDNRQSSSLLKTETSRKNSLAVEAAGPSGNNKTESTSRPLSKKCRLIVKFGGNSDRNSTEDIASNSTTVSETMASKVCPVCKTFSSTSNTTLNAHIDQCLSVESTPKWTADSKLTRHRIKPRKTRLMVDIYMTASPCTLEELDRRNGTNWATISSLPSQETEKTETTNEGKKQRVSQIHPEDAGDVGPVYIDANGTKLRILSKFSDQPSVSKVGEDIGPRKPLKGDKGIKYISKKKKKRFAQKHHKYLKLAPQSKKIFSHKAHGSQMSGGQADCKGKARFCEKEHVMTKQIKTSDSGTLRPWVCSKRRDFTKKITSHEGHQPVRCNWHSPRDFLVDNGQSFVDDSPAARKHAQKFPNQSENPISPGNSERMDKSCHAVQVSNRNEHSPGRKSVGNLFEEGRTSDNVESSSSVNANSNQLGKSGTSVQASFMMGPSNSTRNHAFLLSKRIVNAGKEATKNPDVSVIASSKSSRNAHAIVTKAMKFSSFRKNMSVNGRSSMTESVSGKIKRNSAIKKSQVHFMKKRDKGAVTWHSKVHEQYDLMHGDAGKQVEREDIADEESHERSSVVEARQARGLSSISQGEEALALMSSKSSTSCYYHDEGVNADASVGFGNDLLQKVDNIDFGREQVHVYAEDTDVEPSSKTSDGRSGSSLIKSVDSEFYSLRNSLKVQSNSLQSVEDYRGLLCGNEAPGVPAKPDFVIDQEMFSADEVGNHMLGQDADIGVELDSEVGQGSSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNSSLTTSRVHSSPDQHDVVDGDSSDSPMSAASTISNSTAGRSDFNYSDPSSSVGPYVVEEKIRSTGASVQPSVQSAGAVPLATGAEVERTFDGEYMKLDRIYIEKGSLNIKNDQPCCCQRKERFSQSVALNFQDSQLLRRRKMSASLTVPASGKHMDFKSNLRPADLDVRPELAPPSICTDSGSEKVVFPVIKPLAGPIPSKDSPNSGLSFLARNDSDSASPSASNPILRLMGKNLMVVSKDEDASVPLGGVQPLVQNTHQNSPFLTFSRVYPGSIENPDCRPMHHMGPQASAIFGQNSHKIGHRFDGGLLNSYRSQSDSRLSIHSRLPAGMFQDQLTDCSFATAKECHEYKGDCSISSRHNRLRNRLNLSPTDNMERVRSTTDCHYQHTDSSINPAKEIIVIDDVPESENVVKYPEPGRESRVHASGISIPAAPNHNPSRVHPLSFYQSQEHPLLSESPIVQNANYHATPTKLGNSCPVRWGCASEGSGVLQRSPFTAASSSPGHLRSTALHYSPGFS >Manes.05G204500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33102949:33110848:1 gene:Manes.05G204500.v8.1 transcript:Manes.05G204500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPDPPCPCQFPQLNSSSDERASLKLPLPEVDLPNPPLDHHTPLPNFSIRDYVFTARSKDIKKNWPFSQKNLQLCLKHGVKDVLPPFQPLASVRNQSLKRCTVETTSLEKQNTSDLYKEQPDNHVVLDSSDDVQLNNKLADSCLDISSCRSREENDFPSTTASVSQSEIESFPDNRQSSSLLKTETSRKNSLAVEAAGPSGNNKTESTSRPLSKKCRLIVKFGGNSDRNSTEDIASNSTTVSETMASKVCPVCKTFSSTSNTTLNAHIDQCLSVESTPKWTADSKLTRHRIKPRKTRLMVDIYMTASPCTLEELDRRNGTNWATISSLPSQETEKTETTNEGKKQRVSQIHPEDAGDVGPVYIDANGTKLRILSKFSDQPSVSKVGEDIGPRKPLKGDKGIKYISKKKKKRFAQKHHKYLKLAPQSKKIFSHKAHGSQMSGGQADCKGKARFCEKEHVMTKQIKTSDSGTLRPWVCSKRRDFTKKITSHEGHQPVRCNWHSPRDFLVDNGQSFVDDSPAARKHAQKFPNQSENPISPGNSERMDKSCHAVQVSNRNEHSPGRKSVGNLFEEGRTSDNVESSSSVNANSNQLGKSGTSVQASFMMGPSNSTRNHAFLLSKRIVNAGKEATKNPDVSVIASSKSSRNAHAIVTKAMKFSSFRKNMSVNGRSSMTESVSGKIKRNSAIKKSQVHFMKKRDKGAVTWHSKVHEQYDLMHGDAGKQVEREDIADEESHERSSVVEARQARGLSSISQGEEALALMSSKSSTSCYYHDEGVNADASVGFGNDLLQKVDNIDFGREQVHVYAEDTDVEPSSKTSDGRSGSSLIKSVDSEFYSLRNSLKVQSNSLQSVEDYRGLLCGNEAPGVPAKPDFVIDQEMFSADEVGNHMLGQDADIGVELDSEVGQGSSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNSSLTTSRVHSSPDQHDVVDGDSSDSPMSAASTISNSTAGRSDFNYSDPSSSVGPYVVEEKIRSTGASVQPSVQSAGAVPLATGAEVERTFDGEYMKLDRIYIEKGSLNIKNDQPCCCQRKERFSQSVALNFQDSQLLRRRKMSASLTVPASGKHMDFKSNLRPADLDVRPELAPPSICTDSGSEKVVFPVIKPLAGPIPSKDSPNSGLSFLARNDSDSASPSASNPILRLMGKNLMVVSKDEDASVPLGGVQPLVQNTHQNSPFLTFSRVYPGSIENPDCRPMHHMGPQASAIFGQNSHKIGHRFDGGLLNSYRSQSDSRLSIHSRLPAGMFQDQLTDCSFATAKECHEYKGDCSISSRHNRLRNRLNLSPTDNMERVRSTTDCHYQHTDSSINPAKEIIVIDDVPESENVVKYPEPGRESRVHASGISIPAAPNHNPSRVHPLSFYQSQEHPLLSESPIVQNANYHATPTKLGNSCPVRWGCASEGSGVLQRSPFTAASSSPGHLRSTALHYSPGFS >Manes.05G204500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33103000:33110568:1 gene:Manes.05G204500.v8.1 transcript:Manes.05G204500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENPPPDPPCPCQFPQLNSSSDERASLKLPLPEVDLPNPPLDHHTPLPNFSIRDYVFTARSKDIKKNWPFSQKNLQLCLKHGVKDVLPPFQPLASVRNQSLKRCTVETTSLEKQNTSDLYKEQPDNHVVLDSSDDVQLNNKLADSCLDISSCRSREENDFPSTTASVSQSEIESFPDNRQSSSLLKTETSRKNSLAVEAAGPSGNNKTESTSRPLSKKCRLIVKFGGNSDRNSTEDIASNSTTVSETMASKVCPVCKTFSSTSNTTLNAHIDQCLSVESTPKWTADSKLTRHRIKPRKTRLMVDIYMTASPCTLEELDRRNGTNWATISSLPSQETEKTETTNEGKKQRVSQIHPEDAGDVGPVYIDANGTKLRILSKFSDQPSVSKVGEDIGPRKPLKGDKGIKYISKKKKKRFAQKHHKYLKLAPQSKKIFSHKAHGSQMSGGQADCKGKARFCEKEHVMTKQIKTSDSGTLRPWVCSKRRDFTKKITSHEGHQPVRCNWHSPRDFLVDNGQSFVDDSPAARKHAQKFPNQSENPISPGNSERMDKSCHAVQVSNRNEHSPGRKSVGNLFEEGRTSDNVESSSSVNANSNQLGKSGTSVQASFMMGPSNSTRNHAFLLSKRIVNAGKEATKNPDVSVIASSKSSRNAHAIVTKAMKFSSFRKNMSVNGRSSMTESVSGKIKRNSAIKKSQVHFMKKRDKGAVTWHSKVHEQYDLMHGDAGKQVEREDIADEESHERSSVVEARQARGLSSISQGEEALALMSSKSSTSCYYHDEGVNADASVGFGNDLLQKVDNIDFGREQVHVYAEDTDVEPSSKTSDGRSGSSLIKSVDSEFYSLRNSLKVQSNSLQSVEDYRGLLCGNEAPGVPAKPDFVIDQEMFSADEVGNHMLGQDADIGVELDSEVGQGSSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNSSLTTSRVHSSPDQHDVVDGDSSDSPMSAASTISNSTAGRSDFNYSDPSSSVGPYVVEEKIRSTGASVQPSVQSAGAVPLATGAEVERTFDGEYMKLDRIYIEKGSLNIKNDQPCCCQRKERFSQSVALNFQDSQLLRRRKMSASLTVPASGKHMDFKSNLRPADLDVRPELAPPSICTDSGSEKVVFPVIKPLAGPIPSKDSPNSGLSFLARNDSDSASPSASNPILRLMGKNLMVVSKDEDASVPLGGVQPLVQNTHQNSPFLTFSRVYPGSIENPDCRPMHHMGPQASAIFGQNSHKIGHRFDGGLLNSYRSQSDSRLSIHSRLPAGMFQDQLTDCSFATAKECHEYKGDCSISSRHNRLRNRLNLSPTDNMERVRSTTDCHYQHTDSSINPAKEIIVIDDVPESENVVKYPEPGRESRVHASGISIPAAPNHNPSRVHPLSFYQSQEHPLLSESPIVQNANYHATPTKLGNSCPVRWGCASEGSGVLQRSPFTAASSSPGHLRSTALHYSPGFS >Manes.17G055900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25394485:25400821:1 gene:Manes.17G055900.v8.1 transcript:Manes.17G055900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRPRDSAEGSSRSGGDHHHQQQQQQQQQIPQPAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCNCNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSQETNPFGNGAIRVYLREVRECQAKARGIPYKKKKKKKIQIKPREDAKPSMQQPA >Manes.01G256100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41320808:41327630:-1 gene:Manes.01G256100.v8.1 transcript:Manes.01G256100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHGPLFMIVAVLALTPWFASAGDIVHHDDVAPKRPGCDNNFVLVKVPAWVDGVEDIEYVGVGARFGLTLESKEKHANKTRLVLADPPDLCRPPKYKLNRDVILVHRGNCSFTTKSNIAEEANASAILIINNRTELFKMVCEANETDVSIGIPAVMLPQDAGASLENYIKNSSTVSVQLYSPQRPLVDVAEVFLWLMAVGTILGASYWSAWSAREVAIEQDKLLKDGSDDIMQTEGVTSSVVNINTTSAILFVVIASCFLVMLYKLMSLWFMDVLVVLFCIGGIEGLQTCLVALLSCFRCFQHAGESFIKVPFFGAVSFLTLAVSPFCVAFAVVWAVYRRVSFAWIGQDILGIALIVTVLQIVHIPNLKVGTVLLSCAFLYDIFWVFVSKLWFKESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFALRSPNHLYSFEHDGWPWPASAALHCSLHTWHLLDAGKEERRSQGSMGTRATLPTRPVSALTISITHEHAPHFTVVVLILGCPVHQGRRRETFYLCKR >Manes.01G256100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41320808:41327630:-1 gene:Manes.01G256100.v8.1 transcript:Manes.01G256100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHGPLFMIVAVLALTPWFASAGDIVHHDDVAPKRPGCDNNFVLVKVPAWVDGVEDIEYVGVGARFGLTLESKEKHANKTRLVLADPPDLCRPPKYKLNRDVILVHRGNCSFTTKSNIAEEANASAILIINNRTELFKMVCEANETDVSIGIPAVMLPQDAGASLENYIKNSSTVSVQLYSPQRPLVDVAEVFLWLMAVGTILGASYWSAWSAREVAIEQDKLLKDGSDDIMQTEGVTSSVVNINTTSAILFVVIASCFLVMLYKLMSLWFMDVLVVLFCIGGIEGLQTCLVALLSCFRCFQHAGESFIKVPFFGAVSFLTLAVSPFCVAFAVVWAVYRRVSFAWIGQDILGIALIVTVLQIVHIPNLKVGTVLLSCAFLYDIFWVFVSKLWFKESVMIVVARGDRSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFALRYDWLTKKNLRAGYFLWAMTAYGLGLLITYIALNMMDGHGQPALLYIVPFTLGTFLTLGKKRGDLKALWAPERLCPHVQFQPSQSQ >Manes.15G117700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRGNSAQFSSSETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMQAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRGNSAQFSSSETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRGNSAQFSSSETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMQAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMQAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRGNSAQFSSSETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMQAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEQALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMQAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEQALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRGNSAQFSSSETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMQAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G117700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9395667:9404285:1 gene:Manes.15G117700.v8.1 transcript:Manes.15G117700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGAAAAAINNTNNNGKGDSGISGIPSGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDPRARGANNTTHRGGRGGADRYGRETGVSHAKPAPKKENGTHAYTGSQSSGFSIAGNNTSWGPQAFLSDSVATENKMSTVGVDDGVSLPLQPPGFQSPWLGVPGQVSMADIVKMGRPHNRASAMPPHHCVNHHHASAPPLAASNNDFPENHAANMSEINSEPELSASQHVHPDDEWPSIQEPSASTMPSILEAPEDSEVYADQSNFPLDRVNQHMKSELDDVQPAEDGHVETLNGDHVGPASVSSRNIQEDGSVGSSIFDNNLYGNVSSYQPPRHAFEHEAEDGASSVAANLHQLSLQSDQGLPPEEDNPSVIIPNHLQVHAQNCSHLSFGSFRSGISSAFSGPFASGPLKNNPEETSEVVDASSAVHPDTRNSEYYGDEHLRNTADENLMHRAGVNAGNYDSASVPQAEVLKEEPPEAAQVNQYTFPSSATGYNYEDSQQSNAVFSNPQTSSQMQNFAPFSSVMAYTNSLPSTLLASTVQPGREPDLPYSPFPVTQSMPTKYSNTASSISGPSISVSEALRAGSISTTQPTPQTLPGASVATGPTLPQHLAVHPYSQPTLPLGPFTNMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSLPAGNFPLNAPTAPGGTTIGYDDVLSSQYKDGSHLISLQQQNENSAMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGAHGYPNYYHSQTGISLEQQQQQQNSRDGSLGGSQGQSLKQAQQLWQNSY >Manes.15G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2673940:2675409:-1 gene:Manes.15G033800.v8.1 transcript:Manes.15G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILTEEQIVEFKEAFCLFDKDGDGCITVDELATVIKSLDQNPTEEELQDMINEVDADGNGTIEFAEFLNLMAKKIKETDAEEELKEAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMSTVG >Manes.06G066300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20246984:20249916:1 gene:Manes.06G066300.v8.1 transcript:Manes.06G066300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGESGEKAKRLVMAMGTERSKPPLHNFNLPCLKWGNQKHLRCMKVSDSSTSKDKNISSGISTDRIRSSRSPPSKFVAPADYETRPFKRPKARYDGGGGGDGQGGIGEVREKLVFDFKTAADKMKDAILMKEVSGEGGQLRVVQRHEKVDKEEEVEEQSPSSVLPSAVVAEAEQEVRPWNLRKRRAACKAPIVGNSVTGKGLKIEEKNVWNYSPSRSESAKSPRLRGDKKEREDEKEKETEKVKTKFALALSRKEIEEDFMEMVGHRPARRPKKRNRNVQKQLDMLFPGLWLTENITVDTYKVPELPDNGKR >Manes.06G066300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20246984:20249969:1 gene:Manes.06G066300.v8.1 transcript:Manes.06G066300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGESGEKAKRLVMAMGTERSKPPLHNFNLPCLKWGNQKHLRCMKVSDSSTSKDKNISSGISTDRIRSSRSPPSKFVAPADYETRPFKRPKARYDGGGGGDGQGGIGEVREKLVFDFKTAADKMKDAILMKEVSGEGGQLRVVQRHEKVDKEEEVEEQSPSSVLPSAVVAEAEQEVRPWNLRKRRAACKAPIVGNSVTGKGLKIEEKNVWNYSPSRSESAKSPRLRGDKKEREDEKEKETEKVKTKFALALSRKEIEEDFMEMVGHRPARRPKKRNRNVQKQLDMLFPGLWLTENITVDTYKVPELPDNGKR >Manes.06G066300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20246999:20250055:1 gene:Manes.06G066300.v8.1 transcript:Manes.06G066300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGESGEKAKRLVMAMGTERSKPPLHNFNLPCLKWGNQKHLRCMKVSDSSTSKDKNISSGISTDRIRSSRSPPSKFVAPADYETRPFKRPKARYDGGGGGDGQGGIGEVREKLVFDFKTAADKMKDAILMKEVSGEGGQLRVVQRHEKVDKEEEVEEQSPSSVLPSAVVAEAEQEVRPWNLRKRRAACKAPIVGNSVTGKGLKIEEKNVWNYSPSRSESAKSPRLRGDKKEREDEKEKETEKVKTKFALALSRKEIEEDFMEMVGHRPARRPKKRNRNVQKQLDMLFPGLWLTENITVDTYKVPELPDNGKR >Manes.06G066300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20246999:20249916:1 gene:Manes.06G066300.v8.1 transcript:Manes.06G066300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGESGEKAKRLVMAMGTERSKPPLHNFNLPCLKWGNQKHLRCMKVSDSSTSKDKNISSGISTDRIRSSRSPPSKFVAPADYETRPFKRPKARYDGGGGGDGQGGIGEVREKLVFDFKTAADKMKDAILMKEVSGEGGQLRVVQRHEKVDKEEEVEEQSPSSVLPSAVVAEAEQEVRPWNLRKRRAACKAPIVGNSVTGKGLKIEEKNVWNYSPSRSESAKSPRLRGDKKEREDEKEKETEKVKTKFALALSRKEIEEDFMEMVGHRPARRPKKRNRNVQKQLDMLFPGLWLTENITVDTYKVPELPDNGKR >Manes.06G066300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20246999:20250055:1 gene:Manes.06G066300.v8.1 transcript:Manes.06G066300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGESGEKAKRLVMAMGTERSKPPLHNFNLPCLKWGNQKHLRCMKVSDSSTSKDKNISSGISTDRIRSSRSPPSKFVAPADYETRPFKRPKARYDGGGGGDGQGGIGEVREKLVFDFKTAADKMKDAILMKEVSGEGGQLRVVQRHEKVDKEEEVEEQSPSSVLPSAVVAEAEQEVRPWNLRKRRAACKAPIVGNSVTGKGLKIEEKNVWNYSPSRSESAKSPRLRGDKKEREDEKEKETEKVKTKFALALSRKEIEEDFMEMVGHRPARRPKKRNRNVQKQLDMLFPGLWLTENITVDTYKVPELPDNGKR >Manes.06G066300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20247049:20249916:1 gene:Manes.06G066300.v8.1 transcript:Manes.06G066300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSGESGEKAKRLVMAMGTERSKPPLHNFNLPCLKWGNQKHLRCMKVSDSSTSKDKNISSGISTDRIRSSRSPPSKFVAPADYETRPFKRPKARYDGGGGGDGQGGIGEVREKLVFDFKTAADKMKDAILMKEVSGEGGQLRVVQRHEKVDKEEEVEEQSPSSVLPSAVVAEAEQEVRPWNLRKRRAACKAPIVGNSVTGKGLKIEEKNVWNYSPSRSESAKSPRLRGDKKEREDEKEKETEKVKTKFALALSRKEIEEDFMEMVGHRPARRPKKRNRNVQKQLDMLFPGLWLTENITVDTYKVPELPDNGKVIALLH >Manes.05G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6317878:6321356:-1 gene:Manes.05G077400.v8.1 transcript:Manes.05G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSFLFPLSLCCPLTPSHSSSRTHRQNRTSWPHISSNTLKFAGIRGRTVVFGGNSSEPNETQFLDENGVVDDMDGYLNYLSLEYDSVWDTKPSWCQPWTITLTGVSAIACSWLILRSVVVTSIVLLLICFWWYIFLYSYPKAYSDMIAERRKRVTNGVEDTYGWRKIK >Manes.01G214600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38431178:38433003:-1 gene:Manes.01G214600.v8.1 transcript:Manes.01G214600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLLDWTGSLAVDSDELYLHVFTPSELFGQFTEKSTKVVMAEGGKQMELKFHIYDGTDIGHSTYASSMTVGSLKQRLVTEWTQGKTVLPKSVNDLKLIHAGKILENNKTLADSRITYGDLPGRVITMHVVEQPLAAKKKIPGKNPEEMKRQNSCSCVIL >Manes.02G065200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4957541:4961405:1 gene:Manes.02G065200.v8.1 transcript:Manes.02G065200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLGRRVKTLVTKSFKKPRRHPPYKSPTPSSSPSPPPPPASSPPTASTMATQSHLRPATFPFLFPKVQSSVLPDPSLFFSPSLLSSPLPTNTFFQNFTLKNGDQPEYIHPYLVKSSESSISVSYPSLFHNPSFIYQIFIPDITISASNKTYPGSNKSHIISSYSDLSVTLDIPYSNLRFFLVRGSPFLTCSVTGNTAISISTIHAILSFSSNNSLTKHTVTLNNGQTWLIYSSSQINLSHSLSSITSDGFSGMIRIAILPECDPRYEAILDRFSSCYAVSGDAVFTKPFCLEYKWEKKGWGDLLMLAHPLHVKLLSGENVIVLNDFKYKSIDGDLVGVVGDSWLLKSDPISVTWHSIKGVKEESFAEIVSALCKDVQDLNASAIATTSSYFYGKLIARAARLALIAEEVGFLDVIPVIRKYLKETIEPWLDGTFGANGFVYDDKWGGIVTKQGSSDSGADFGFGVYNDHHYHIGYFLYGIAVLAKFDPLWGRKYRPQAYTLVADFMNLGRRSNSNYPRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVSIGSMLAAMEIHAAQTWWQVREGDNLYEEDFTRENRVVGVLWANKRDSGLWFAPAEWRECRLGIQLLPILPISEVLFSDVGFVRELVNWTLPALGREGVGEGWKGFVYALQAIYDKESALKNVRNLNGHDDGNSLTNLLWWIYSRCYEEEEWCEGGGKFCWFGHYCH >Manes.17G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26248220:26250471:-1 gene:Manes.17G063200.v8.1 transcript:Manes.17G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASTVDNFLPVISVQELIKQPFVSVPQIYVQSDHGTFKPDASPSVPTIDFSRLLSEDSADLELQKLHSTCKEWGLFQLVNHGVSPSLLNNLKNEIEEFYNLPLEEKMKFKVRQGDVEGYGTVARVGGNFDWGDRFFMVTNPIHRRKTHLLPELPSSMRRNLESYILELQKLSMKLVGFLSKALTIDGKEMEEMFDDGMQSLRMTYYPPCPQPDLVLGITPHSDATGFTILNQINGVDGLQVKKDGVWIPVSFLEGSLVVNIGDILEILSNGIYHSIEHRATVNSEKERISIAFFVNPKFESEVGPATALINSQNPPLFRRVAMEKYVKDFFSRKLNGKSYLEHMKIQLEDDY >Manes.14G093300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7796635:7798207:1 gene:Manes.14G093300.v8.1 transcript:Manes.14G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLISYAKTLLVFSLAFISHAQEVHCRGLSDTPIASATKIFDVTKYGAIGDGKTDSAKVFTNVWNEACKKNADPAKVLVPKGTFLTSPVVFQGPCKSSNSIIVEVQGTVVATTNLSQYEDYWFLFEKINGVTLTGGGIFDGQGPKIWKCKENNDCTKLPSSIKFQSVTNGVISEITSVNSKFFHYHITECNHFTAKNLKIIAPDESPNTDGIHISSTNRVTVTKSTIRTGDDCISIGDGVTDGTFSEIFCGPGHGISIGSLGKYENEDDVNRILVTNCTLSNTQNGVRIKTWGGSPPSKASGITFEDIIMNNVKNPIIIDQNYGDISKPSQVKVSDIQYKKIRGTSVSKVAVSLLCSPSVPCEGIELNDIDLIYADAKLPNSSSVSASCENAEVTIAAANLQGCAN >Manes.10G072400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12012778:12032749:1 gene:Manes.10G072400.v8.1 transcript:Manes.10G072400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCMHFTAMSISCSSFFIPYSHPRFLPPRFHRCVFFGVASRQKPINPRETINSATVASFIPPMARSLYSMNREPMVPPYNVLITGSTKGIGYALAKEFLKAGDNVLICSRSAESVESTTRSLREEFGEHRVWGTKCDVREGQDVKDLVAFAQENLKYIDIWINNAGSNAYSYKPLAEASDEDLIEVVTTNTLGLMICCREAIKMMLKQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVQNVVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSMKPTYIRFLTGIKAYSQIFSRLAFGARRNRYVLED >Manes.07G089000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27847791:27851588:-1 gene:Manes.07G089000.v8.1 transcript:Manes.07G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASLDQQLLEIINYMEQEGIVDHHFKIVRSLKEATSPFFFAELIPTYCSDARLIIKELTNSLGQNNIDYNQLDNLCLKLKGGTSCLGACRMANACAEFRHAIDEKSKDGCVTMLNTIKEEFLVLQDNLDNILELEKRIISH >Manes.13G087750.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11844578:11848525:1 gene:Manes.13G087750.v8.1 transcript:Manes.13G087750.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNLQDSSRIKALTAPSKTTSLNSSLLKVQADTENTGSIKSVTSSVFVEQGWKAEEIKGKEIIGNDTRFHQKGLIKKSQSLGSGLCHEGRILCENDIEDDTDQGLSSDSLDQNGIGGPYCTKDAGVSTTSDRENVPQSQTAQFGSDIVNGKSILSIEDPRHSEKEGPENSEVLVSSEGGNESRNPMPSTPPMIDKSSSLPDIRSSSLSSGQAPRARSCEDLHMLHMRWKEVSFCEVEMQVMQEQERNYNDSKTEKNNFEISLDDGYDPYNYSASAKDWIIPVADEVNMATILHRKSPSGLYDELPNRDFKMKRIEEWIIDLQHCSPLEGSNELTDTNRPLNRDSTVISGLRGTRVDTNVTPGMEAAKKYISCLSANATTAQLSNHGLAVIPYLSAFVSLRVLNLSGNSIVRISAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLNLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEQLKKHLQGLLPHLLYFNRQPIKVSTLKDAADRVVRLGINAHQFERGQRSDNKGARKGGHGQAGTRLTSSTIHGRKNQAVGSPKRSRGRNARLPPTGTKATTDHRHHYFDISGKHLNYRSERSIRRSRSEGTLRVL >Manes.13G087750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11844545:11848659:1 gene:Manes.13G087750.v8.1 transcript:Manes.13G087750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFNSHIHSQKPKKPFHTSVESMRKNLQDSSRIKALTAPSKTTSLNSSLLKVQADTENTGSIKSVTSSVFVEQGWKAEEIKGKEIIGNDTRFHQKGLIKKSQSLGSGLCHEGRILCENDIEDDTDQGLSSDSLDQNGIGGPYCTKDAGVSTTSDRENVPQSQTAQFGSDIVNGKSILSIEDPRHSEKEGPENSEVLVSSEGGNESRNPMPSTPPMIDKSSSLPDIRSSSLSSGQAPRARSCEDLHMLHMRWKEVSFCEVEMQVMQEQERNYNDSKTEKNNFEISLDDGYDPYNYSASAKDWIIPVADEVNMATILHRKSPSGLYDELPNRDFKMKRIEEWIIDLQHCSPLEGSNELTDTNRPLNRDSTVISGLRGTRVDTNVTPGMEAAKKYISCLSANATTAQLSNHGLAVIPYLSAFVSLRVLNLSGNSIVRISAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLNLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEQLKKHLQGLLPHLLYFNRQPIKVSTLKDAADRVVRLGINAHQFERGQRSDNKGARKGGHGQAGTRLTSSTIHGRKNQAVGSPKRSRGRNARLPPTGTKATTDHRHHYFDISGKHLNYRSERSIRRSRSEGTLRVL >Manes.13G087750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11844785:11848525:1 gene:Manes.13G087750.v8.1 transcript:Manes.13G087750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFNSHIHSQKPKKPFHTSVESMRKNLQDSSRIKALTAPSKTTSLNSSLLKVQADTENTGSIKSVTSSVFVEQGWKAEEIKGKEIIGNDTRFHQKGLIKKSQSLGSGLCHEGRILCENDIEDDTDQGLSSDSLDQNGIGGPYCTKDAGVSTTSDRENVPQSQTAQFGSDIVNGKSILSIEDPRHSEKEGPENSEVLVSSEGGNESRNPMPSTPPMIDKSSSLPDIRSSSLSSGQAPRARSCEDLHMLHMRWKEVSFCEVEMQVMQEQERNYNDSKTEKNNFEISLDDGYDPYNYSASAKDWIIPVADEVNMATILHRKSPSGLYDELPNRDFKMKRIEEWIIDLQHCSPLEGSNELTDTNRPLNRDSTVISGLRGTRVDTNVTPGMEAAKKYISCLSANATTAQLSNHGLAVIPYLSAFVSLRVLNLSGNSIVRISAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLNLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEQLKKHLQGLLPHLLYFNRQPIKVSTLKDAADRVVRLGINAHQFERGQRSDNKGARKGGHGQAGTRLTSSTIHGRKNQAVGSPKRSRGRNARLPPTGTKATTDHRHHYFDISGKHLNYRSERSIRRSRSEGTLRVL >Manes.07G129600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33146635:33147525:1 gene:Manes.07G129600.v8.1 transcript:Manes.07G129600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSNHKSSRRRHKHTADDDDDEGDPEVWSTFNSTFRQVQSVLDRNRNLIQQVNENHQSRIPDNMVKNVALIQELNGNISKVVSLYSDLNSNFTTNYQQRNGSGSGNSNSSGRS >Manes.13G026600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3510638:3514016:-1 gene:Manes.13G026600.v8.1 transcript:Manes.13G026600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFWIWMDIKNRNKLCYSFDHRIRQYQCRQQQKYTDSANMASSWSSEISPIISIFLCFLLVLSLICPVYSLETGNSPANNQTFRPDHELKKLKLIREHLNKINKPAVKTIQSPDGDLIDCVLSHQQPAFDHPQLKGQKPLEPPDRPHGHNPTGMVTENFQLWGMSGESCPEGTVPIRRTSEQDMLRASSFRRFGRKLRRHVRRDTNSNGHEHAVGYVSGDQYYGAKASINVWAPRVSNQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYSGGQFDISLLVWKDPKHGNWWLEFGNGVLVGYWPSFLFTHLRDHASMIQFGGEIVNSRPSGFHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNNLIPLSNLRVLADHPNCYDIQGGINRVWGNYFYYGGPGRNVRCP >Manes.05G045300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3778270:3783300:-1 gene:Manes.05G045300.v8.1 transcript:Manes.05G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVIPIALLSILLGAVIALLFFKTYFIKRKSEINSIAKPEPQSDPKKPTKPAQSVTKKSHAKPHSHASDKDQNKRHHSLDLNTLKGHGDSVSGLCFSSDGRSLATACADGVVRVFKLDDASSKSFKFLRINLPAGGHPVAVTFSDDASSVVVASETHFGASLYMYGEEKAKPTNDSKQQSKLPLPEIKWEHHKIHDKSAVLTLVGAAATYGSADGSTVVASCSEGTEIKLWHGKTGKVLGSADTNQLKNTMATISPNGRFIAAAAFTADVKVWEIVYSKDGSVKEVPKVMQLKGHKSAVTWLCFTPNSEQIITASKDGSIRTWNINVRYHLDEDPKTVKVFPIPLHDSNGATLHYDRLSLSPDGKILAATHGSTLQWLCVETGKVLDTADKAHDGEITWMEWSPKTIPTGTGQTFVLATASVDKKVKLWAAPSLHST >Manes.05G045300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3778270:3783300:-1 gene:Manes.05G045300.v8.1 transcript:Manes.05G045300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVIPIALLSILLGAVIALLFFKTYFIKRKSEINSIAKPEPQSDPKKPTKPAQSVTKKSHAKPHSHASDKDQNKRHHSLDLNTLKGHGDSVSGLCFSSDGRSLATACADGVVRVFKLDDASSKSFKFLRINLPAGGHPVAVTFSDDASSVVVASETHFGASLYMYGEEKAKPTNDSKQQSKLPLPEIKWEHHKIHDKSAVLTLVGAAATYGSADGSTVVASCSEGTEIKLWHGKTGKVLGSADTNQLKNTMATISPNGRFIAAAAFTADVKVWEIVYSKDGSVKEVPKVMQLKGHKSAVTWLCFTPNSEQIITASKDGSIRTWNINVYLLMGRYWQQRMVQPCSGYVWKLGRFWTQLTRPMMAKSHGWSGLLRRFQRVLDRPSCWPQLVLTRK >Manes.04G074110.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28986035:28986160:-1 gene:Manes.04G074110.v8.1 transcript:Manes.04G074110.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSEIFLSGFMINSTYRRRTHLVQSFSVVFLYWLYYVS >Manes.14G128800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10272237:10275968:1 gene:Manes.14G128800.v8.1 transcript:Manes.14G128800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGQIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVATPALAPPEVQIDLAAQQQHEAELAAAASQPLPDDDDDTFE >Manes.15G044300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3421636:3424570:-1 gene:Manes.15G044300.v8.1 transcript:Manes.15G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDVKDANGFTNEPLITVDPEEVENHTDDAECYSESNTLLPPRRGGMSRNPERVRRKVQWNDKNGNKLAEVLVFEPRYHSTFFYRYLLDKSTKHSP >Manes.15G044300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3421697:3424570:-1 gene:Manes.15G044300.v8.1 transcript:Manes.15G044300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDVKDANGFTNEPLITVDPEEVENHTDDAECYSESNTLLPPRRGGMSRNPERVRRKVQWNDKNGNKLAEVLVFEPSDNSDSDEDDSDSCVCNIM >Manes.14G069900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5826460:5827752:1 gene:Manes.14G069900.v8.1 transcript:Manes.14G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFPFLSLLLLLLLFPSFPLSESKLSVDYYKTSCPDFQDIIRDTVTDKQITSPTTAAATLRLFFHDCMVDGCDASILISSNSFNKAERDAEVNLNLPGDAFDVVTRAKTALELSCPKIVSCADVVAQVTRDLIIMVGGPFYPVRLGRKDGLISMASHVEKSLPRVNMTMDEMIDLFKLNGFNVREMVALMGSHTIGFSHCKEFADRLYNFSNSNPTDPGYNPTYASALKTLCANYTKDTTMSAFNDVLTPGKFDNMYYQNLPRGLGLLRTDSMLVKDPRTKPFAELYAKDQAVFFMDFSHAMEKLSILDIKTGRKGEVRNRCDQFNSIKT >Manes.03G114600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24031531:24038125:-1 gene:Manes.03G114600.v8.1 transcript:Manes.03G114600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLSSSIISSHKLSFTGSIAPSIQKHHFLSTSFAFRLNQARANVTPRPGHLKIHCEPTKNYAKDLAYREDNPANLYTSTFGHGAKKLESLIALILIFVQISSPLPLAGWDFWSISTAEAVLYSPDTKVPRTGELALRRAIPANANMKAIQSSLEDISFLLRIPQRKPYGTMEGNVKKALKIAKDEKDSILASIPADLKERGTLLYESLIDGKGGLQALIQCIKDQDPDKVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRGTVEFTIQKGDGSTFSAEAGGEERKTAKIQVIDGAYNGAKLSSTNQAVLTDNGIAKNAGYSAPLEIMPSGQFEPLYRTTLSVQDGELPVLPLSVYGAVAMAHSEVSEEYSAPYQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTAGRDILAQIKTGDVIQSAKLVEGQDRLVLPNEN >Manes.03G114600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24031531:24038125:-1 gene:Manes.03G114600.v8.1 transcript:Manes.03G114600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLAYREDNPANLYTSTFGHGAKKLESLIALILIFVQISSPLPLAGWDFWSISTAEAVLYSPDTKVPRTGELALRRAIPANANMKAIQSSLEDISFLLRIPQRKPYGTMEGNVKKALKIAKDEKDSILASIPADLKERGTLLYESLIDGKGGLQALIQCIKDQDPDKVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRGTVEFTIQKGDGSTFSAEAGGEERKTAKIQVIDGAYNGAKLSSTNQAVLTDNGIAKNAGYSAPLEIMPSGQFEPLYRTTLSVQDGELPVLPLSVYGAVAMAHSEVSEEYSAPYQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTAGRDILAQIKTGDVIQSAKLVEGQDRLVLPNEN >Manes.03G114600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24031531:24038125:-1 gene:Manes.03G114600.v8.1 transcript:Manes.03G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLSSSIISSHKLSFTGSIAPSIQKHHFLSTSFAFRLNQARANVTPRPGHLKIHCEPTKNYAKDLAYREDNPANLYTSTFGHGAKKLESLIALILIFVQISSPLPLAGWDFWSISTAEAVLYSPDTKVPRTGELALRRAIPANANMKAIQSSLEDISFLLRIPQRKPYGTMEGNVKKALKIAKDEKDSILASIPADLKERGTLLYESLIDGKGGLQALIQCIKDQDPDKVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRGTVEFTIQKGDGSTFSAEAGGEERKTAKIQVVLDGYSAPLTAGNFAKLVIDGAYNGAKLSSTNQAVLTDNGIAKNAGYSAPLEIMPSGQFEPLYRTTLSVQDGELPVLPLSVYGAVAMAHSEVSEEYSAPYQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTAGRDILAQIKTGDVIQSAKLVEGQDRLVLPNEN >Manes.03G114600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24031531:24038125:-1 gene:Manes.03G114600.v8.1 transcript:Manes.03G114600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLAYREDNPANLYTSTFGHGAKKLESLIALILIFVQISSPLPLAGWDFWSISTAEAVLYSPDTKVPRTGELALRRAIPANANMKAIQSSLEDISFLLRIPQRKPYGTMEGNVKKALKIAKDEKDSILASIPADLKERGTLLYESLIDGKGGLQALIQCIKDQDPDKVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRGTVEFTIQKGDGSTFSAEAGGEERKTAKIQVVLDGYSAPLTAGNFAKLVIDGAYNGAKLSSTNQAVLTDNGIAKNAGYSAPLEIMPSGQFEPLYRTTLSVQDGELPVLPLSVYGAVAMAHSEVSEEYSAPYQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTAGRDILAQIKTGDVIQSAKLVEGQDRLVLPNEN >Manes.03G114600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24031531:24037545:-1 gene:Manes.03G114600.v8.1 transcript:Manes.03G114600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLAYREDNPANLYTSTFGHGAKKLESLIALILIFVQISSPLPLAGWDFWSISTAEAVLYSPDTKVPRTGELALRRAIPANANMKAIQSSLEDISFLLRIPQRKPYGTMEGNVKKALKIAKDEKDSILASIPADLKERGTLLYESLIDGKGGLQALIQCIKDQDPDKVSVGLASSLDTVAELELLQAPGLSFLLPEQYLKYPRLTGRGTVEFTIQKGDGSTFSAEAGGEERKTAKIQVVLDGYSAPLTAGNFAKLVIDGAYNGAKLSSTNQAVLTDNGIAKNAGYSAPLEIMPSGQFEPLYRTTLSVQDGELPVLPLSVYGAVAMAHSEVSEEYSAPYQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTAGRDILAQIKTGDVIQSAKLVEGQDRLVLPNEN >Manes.17G054500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25198464:25200429:-1 gene:Manes.17G054500.v8.1 transcript:Manes.17G054500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRESKLIFVAILLVGIWASQAWSRSLKDATMTEKHEMWMAKYGRVYKDSAEMERRFNIFSENVKFIESFNNAANKPYKLDINEFADLTNKEFRASRNGYKRSSVLKSLTTSSFRYENVTAVPTSIDWRNKGAVTPIKDQGQCGCCWAFSAVAAMEGITKLSTGKLISLSEQELVDCDTNGEDQGCEGGLMDDAFEFIIQNGGLTTEANYPYKGEDGTCNTGKASNHAAKITGYEDVPANSEEALKKAVANHPVSVAIDASGSAFQFYSNGVFTGDCGTELDHGVTAVGYGTSDDGTKYWLVKNSWGSSWGEDGYIRMERDIDAKEGLCGIAMEPSYPTA >Manes.04G165700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35884422:35887407:-1 gene:Manes.04G165700.v8.1 transcript:Manes.04G165700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGRYGGGCGSVSKCMAEFVDQGSAESLRYYLSRKTILEMLRDRGYDVADLEILVVFMGSDEIRTAAIRGLLCQIPNKESLHGLILILQSKMNHFARKELDKLQCKVETFQITELLLNITKHVIQPTYEILTAELTQQLLNKYKTEDKQLPRMLETDAIARYYGLEKGQVVKITCSGGLVDSLVTYRCVK >Manes.04G165700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35884422:35887407:-1 gene:Manes.04G165700.v8.1 transcript:Manes.04G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGRYGGGCGSVSKCMAEFVDQGSAESLRYYLSRKTILEMLRDRGYDVADLEVTRSLAEFRVEFGDKPELKRLRISASLRSNQRRQILVVFMGSDEIRTAAIRGLLCQIPNKESLHGLILILQSKMNHFARKELDKLQCKVETFQITELLLNITKHVIQPTYEILTAELTQQLLNKYKTEDKQLPRMLETDAIARYYGLEKGQVVKITCSGGLVDSLVTYRCVK >Manes.03G057750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5790495:5798108:-1 gene:Manes.03G057750.v8.1 transcript:Manes.03G057750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHALHFRKKSDGEWSIYYNNRYVESETFKLETQLNKPSFLPAIEGDSLAVLAAYVLNMWRFGKINKHLRNTSVFEHGGKVYAIAENYLPQEIDVSTLLSLEDWDVNGAWDRPFTSHPKKAPGTGELVVFGVDALEPYFIVGVISADGKKLLHKADLKFKRSILTHEIGVTQKYNIILDHPITIDISRLYKGGSLLDFEKGGPARIGVMPRYGDADSVKWFEVESYCTFHLLNCFEEGEEVVVRGCKAPAAILACPELGENKFQWFSRGFNFQNNAQTSEEYGYLFHHVHEWRLNMVTGQVHEQNLSGTHFSMDFPLVNGDFIGLKHKFGYTQVIDSKESSSAGMTKYGALAKLYFDEEPLANHQMEGNSEQAIKIEYHKFEENVFCTGMAFVPKVKGSKEDDGWIITYVHNEESNMSQAYIVDASKFESEPTAVVTLPQRVPYGFHGLFVCTQNQA >Manes.03G057750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5790495:5798108:-1 gene:Manes.03G057750.v8.1 transcript:Manes.03G057750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSCFALVQLHGSVHRPSHSHHSLDQLKTSLSSNFKTFFEELKKLPMAVNVSQTIRNTTSRTLDALVDSLFRFVDQPLLPSQANFAPVEEIGEAVEVNCIKGKIPTDFSQGVYVRNGPNPLFGGLKTCYSIFGRSDHNWAEGEGMLHALHFRKKSDGEWSIYYNNRYVESETFKLETQLNKPSFLPAIEGDSLAVLAAYVLNMWRFGKINKHLRNTSVFEHGGKVYAIAENYLPQEIDVSTLLSLEDWDVNGAWDRPFTSHPKKAPGTGELVVFGVDALEPYFIVGVISADGKKLLHKADLKFKRSILTHEIGVTQKYNIILDHPITIDISRLYKGGSLLDFEKGGPARIGVMPRYGDADSVKWFEVESYCTFHLLNCFEEGEEVVVRGCKAPAAILACPELGENKFQWFSRGFNFQNNAQTSEEYGYLFHHVHEWRLNMVTGQVHEQNLSGTHFSMDFPLVNGDFIGLKHKFGYTQVIDSKESSSAGMTKYGALAKLYFDEEPLANHQMEGNSEQAIKIEYHKFEENVFCTGMAFVPKVKGSKEDDGWIITYVHNEESNMSQAYIVDASKFESEPTAVVTLPQRVPYGFHGLFVCTQNQA >Manes.17G043400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23695385:23708007:1 gene:Manes.17G043400.v8.1 transcript:Manes.17G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQQQQQQQQQQQQVGSGGNKDPEEESVGQSLEIVAKAAGSDKAEPLSSEEESVEKPDDPMEEDSVSPATVFCIRLKQPRSNLQYKMSVPELCRNFSAVTWCGKLNAIACASETCARIPSSNTNPPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPANLVRDASCWQREHEWRQDIAVVTKWLSGVSPYRWLSSKSSSSANSKSTFEEKFLSQQSQTSARWPNFLCVCSVFSSGSVQLHWSQWPPSQSNATPKWFCTSKGLLGAGPSGIMAADAIVTDSGAMHVAGVPIVNPSTIVVWEVTPGPGPGFQATPKTSASTGVPPSLNPPNWSGFAPLAAYLFSWQEYLISEAKQGKKHADQDFSDIVSLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSLQPVVLHQIFGNPTSSFGGQAPMQTVWVSKVDTSIPPTNDFKTHQAVTAGLISDARKTSDSGVEKAKSLTFDPFDLPSDVRSLARIVYSAHGGEIAIAFLRGGVHIFSGPNFAPVDSYQINVGSAIAAPAFSSTSCCSASVWHDTSKDRTILKIIRVLPPAVPGSQVKANSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDNIVSLNSVIAVLDADFHSLPSTQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSNLVPEPWLASGETLSHIDPEAMAVEPNLIPSIQAYVDAILDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVNSPTQSSASPATSQGGQNGTTSSTGSTQMQAWVQGAIAKISSSGDAVSNSTPNPITGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQRGGAQRSADASMQKPQSVAPAKVEESNSVSSKPAPTMVRSDEGQAPRGSQLVPGAKGVEDGPAGRLRLGCGNAGQGYTFEEVKVLFLILMDLCRRTSALAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDMCSADDTPRMSSYTDSIDCSSLENCDVYYGVNGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSAFASPGAANAPSQNDREAWWISRWAYGCPMCGGTWVRVV >Manes.06G160866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28819329:28819820:-1 gene:Manes.06G160866.v8.1 transcript:Manes.06G160866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPRVQSYTETKVRHFRRPNLPSAAESPLSSQNSTFRGKVRRPIHASKGRFDGRSYFWWPNLSSSQKGRTQLLMHSSLPNLPNTPKQAPNFTNTCITHNHAQRSLTT >Manes.09G165300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35869935:35870624:-1 gene:Manes.09G165300.v8.1 transcript:Manes.09G165300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTFLFKSESLFSKSSLLFSRSRRTTITYPQYVNFLSQCHLFHSSCLLSYQTQIQKPIVCARKKKRGSRPRKFMKVLPALVSFVASNFKILPGPLDLVVAEIGGGDGGGLGIWKVLGNGGSGGWRRKGKTNLGILGALVVCGLGLLFGKELKNDLLSGVFELVLLVTFFIKGHRRQVKYWVLGLCFIGVLMGLRLRREDTQQWVQKIRVCLPASGLLMTKRRNGRRVA >Manes.09G165300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35866240:35870814:-1 gene:Manes.09G165300.v8.1 transcript:Manes.09G165300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTFLFKSESLFSKSSLLFSRSRRTTITYPQYVNFLSQCHLFHSSCLLSYQTQIQKPIVCARKKKRGSRPRKFMKVLPALVSFVASNFKILPGPLDLVVAEIGGGDGGGLGIWKVLGNGGSGGWRRKGKTNLGILGALVVCGLGLLFGKELKNDLLSGVFELVLLVTFFIKGHRRQVKYWVLGLCFIGVLMGLRLRREDTQQWVQKIRVCLPASGLLMTKRRNGRRDPTWETVETCSEITASVLGKRQPKMDVG >Manes.13G091400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23595574:23599578:1 gene:Manes.13G091400.v8.1 transcript:Manes.13G091400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLKIEHPNTKIDMTKADIPTTIIPENSIKYEKKKKFIIPKLFTSKRNSKAGSNDDTGSDGDEVSNSIADAENNISERRITFTESPVIARKNFSERRSSPAIEGLNLSNFENSMAPETEIKEIRIFTGTWNVGGRTPNSCLNLEDFLQVEGCADIYVCGFQEIVPLSAGNVLVSEDNEPAAKWLILINHALNKFPDSSSNLKDSKLFHKPSLKVVRKILRADSSLLKICNCPVENRSHERHRLTKLNESMDKLDPQTSSRHYNISNGSIFTTDMLVSPTQTNYSLIASKQMVGIFVSVWARRELVPHIGHLRVSSVGRGIMGYLGNKGCISVSMSLHRTSLCFVCCHLASGEKEGDEVKRNADVAEIIKSIQFPKICKNLDSPIPERIMEHDRIIWLGDLNYRVSLSYEETRILLEDNDWDTLLEKDQLNMEREAGRVFNGFSEGNIKFAPTYKYSNNSDSYAGENGKSKKKRRTPAWCDRILWCGKGIDQLSYIRVESRFSDHRPVCAIFVVEVEAKNRKESKFRKGYSCAAPRRVEYHECMPQRHSFYGV >Manes.13G091400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23595574:23599578:1 gene:Manes.13G091400.v8.1 transcript:Manes.13G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLKIEHPNTKIDMTKADIPTTIIPENSIKYEKKKKFIIPKLFTSKRNSKAGSNDDTGSDGDEVSNSIDAENNISERRITFTESPVIARKNFSERRSSPAIEGLNLSNFENSMAPETEIKEIRIFTGTWNVGGRTPNSCLNLEDFLQVEGCADIYVCGFQEIVPLSAGNVLVSEDNEPAAKWLILINHALNKFPDSSSNLKDSKLFHKPSLKVVRKILRADSSLLKICNCPVENRSHERHRLTKLNESMDKLDPQTSSRHYNISNGSIFTTDMLVSPTQTNYSLIASKQMVGIFVSVWARRELVPHIGHLRVSSVGRGIMGYLGNKGCISVSMSLHRTSLCFVCCHLASGEKEGDEVKRNADVAEIIKSIQFPKICKNLDSPIPERIMEHDRIIWLGDLNYRVSLSYEETRILLEDNDWDTLLEKDQLNMEREAGRVFNGFSEGNIKFAPTYKYSNNSDSYAGENGKSKKKRRTPAWCDRILWCGKGIDQLSYIRVESRFSDHRPVCAIFVVEVEAKNRKESKFRKGYSCAAPRRVEYHECMPQRHSFYGV >Manes.02G054600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4349635:4355002:-1 gene:Manes.02G054600.v8.1 transcript:Manes.02G054600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDAEERQIQARFVTKLKPPFKAPVAAITIPANITRLGLSTIVNSLLEAGNADWDNQPFDFLIDGELVRMSLEQFLLAKGISAEKILEIEYVKAVVPRKQEEPSLHDDWVSAVDGSCPRFILTGCYDGLGRVWKAAGLCTHILEGHSDAITSVSIISSGDEDSVTLATASKDQTLRLWKFDTEEPENSPAKIRAFKILRGHNASVQSVAAETSGSMICSGSWDCTINLWRTDKSDAEGDLVSIKKRKVKNKSEESQLEGEATSTFVGHTQRISSVVWPERETIYSASWDHSIRRWDVETSKDSAKIFCGKALNCLHVGGEGSALVAAGGSDPILRIWDPRKPGTSAPIYQFSSHTAWVSACKWHDKSWFHLLSASYDGKVMLWDLRTAWPLAVIESHEDKVLCADWWRGDCVVSGGVDCKLCISSDTAVQ >Manes.08G170700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40474951:40479285:1 gene:Manes.08G170700.v8.1 transcript:Manes.08G170700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFREIRCSRLRFVVGLVLCCTIIKEVSASIHEYRNEAFIPRSDGFFFHGGSEGLYASKVHDSSSSSSPDKPLQGKSFIRFEDVTFVRTKEAASKQNEMQQNTGLIEAIIFEVKDREKIGGAFLKLNAICCHPAVAQTGSCMLGEVIIQKDQDNPEWPKRIQTSFAGKNEETKMKPETVEINSTGMYYLYFMFCNPDLKGTLIKGRTVWKNPNGYLPGKMTPLMTFYGIMSLAYLALGLIWFLKFVQYWKDVIQLHYHITAVIALGMCETAVWYFEYANFNSTGLRPMGVTLWAVTSRTVKKTLSRLLLLVVSMGYGVVKPTLGGLTSRVVLLGLIYFIASEALELVEHLGNINDLSKKTELFLVLPVAFLDSCFIVWIFSSLSKTLEKLQMRRNMAKLDLYRKFTNSLAVFVLLSIAWIGFELYFNATDPLSELWQVAWIIPAFWTLLAYSLLVVICVLWAPSPNPTRYAYLEEAGEDFDEEGISLTSSVDMTTKLERKGLGEDLEEDKRE >Manes.16G092900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29905986:29909886:-1 gene:Manes.16G092900.v8.1 transcript:Manes.16G092900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDLIEKAKDGGLDVIETYVFWNLHEPRRKQYDFSGNLDVVKFFNLVQEGEAGMYGFLRIGPYACAEWNCGGFPVWLHNMKGIALRTDNLVYKMQTFTAKIVNLCKAANLFASQGGPIIFAQVSTQMAESLNVGIPWVMCQQNNAPKPIINTCNGYYCDQFTPNNPSSPKMWTENWIGWFKSWGAQDPRRTAEDAAFAVARFFQYNGYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLNQPKWGHLKQLHAAIKSGEKILTSGNSSVTQFPNNVNVNTQTSLMIKKPSSGNGTKNPLSWSWAAEPMDDTLKGKGTFKTTRLLEQKETTFDASDYLWYMTTVNLNGTSWNNANLSVNTSGHVLHAFVNGKLIGHYNFLFNKAATFNSGSNIISLLSATNYGSFFDLQPTGINGPVKLLGSGSDVMNLSSNSWSYKVKILGKLEKFWKHCFSIAYKLKITSCPFDFISGRRVGLNSEAHKFHHGDVSATKWPSNNLPSGQPFTWYKTSFQTPPGTDPVVVDLQGMAWVNGNSLGRFWPSYLAANAKCSDTCDYRGAYAAKKCVQNCGNPTQRWYHIPRSLLNKNNTLVLFEEFGGNPSQVGIQTVTVGTACGNAYDGNSLELSCQGGQKISAIQFASFGNPTGSCGSYQKGPCDSADSLSAAQKACVGKMSCSLNVSQGSFGSSSCGSTNRLAVQATC >Manes.13G063200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7701090:7704644:1 gene:Manes.13G063200.v8.1 transcript:Manes.13G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSKDSSVASSPFPSPNIGALLKIKIISWSQETGLPVTVRVRVGDRTFNLHKNPLTLKSGYFRKRLMETTELELPQEFPGGPEAFEMIALFLYGSSTLIDPFNVAALRCAAEFLEMTEEYCCGNLCERFDLYLNQVVFQSWDDTLIVLQRCQTLVPWSEDLLIVGRCIESLAFMACMEILDPERRRDKPVVTLESLADQAWSCETAKEIVIHDLWIKDLIALPFGFFRRIIGSLRRQGMKEKYVSPIIVFYANKWVLSNKTRQFWENSGNRISDIDANNKVSVILQGILDLLPVREKSRRVIPVRFYFALLSRSFEVGLRSESRAKLQDQIATQLHLAQAEDFLIPKTAVDSISSSMELATMESIFSTYLSLTKAANHPLSSTNSIIAELWDTYLSRIASDPKMEPRRLMELIETIPISYRHSHDQLYRAINAFLQEHPDVSQEEKGAVCKYLNCQKLSQEACIEAVQNELMPLRLIVQALFVQQLNTHQAFKECSDSFRYAQCGEFSGSLSSSRCPNSKSQNLAESPYSDGAEPVNRTLSFLLQNDIAAQRYELSRKEYESTSFRIQNLEQELLSLKKNLQLQSSSKPIPAKPQNMKPYGMESRSLSKKRNPFGQVTGCISSVNFASQRRYANRLLKVFRRITLFGSRKSKRKPAASGQLVKSV >Manes.08G167400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40201556:40202579:1 gene:Manes.08G167400.v8.1 transcript:Manes.08G167400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAASSLSDKPKPSLSRKSSSSSSFRLRSPSLNSLRLRRIFDLFDKNGDGMITVEDLSQALSLLGLDADFSELESTIRFHIRPGNDGLLFEDFMSLHQSLDEVFFSNEENIEGGQDAMTQEESDLSEAFKVFDEDGDGYISAHELQVVLKKLGMPEAKEIDRVEQMIFSVDRNQDGRVDFFEFKDMMRSVIVRSS >Manes.11G060069.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8357031:8359733:1 gene:Manes.11G060069.v8.1 transcript:Manes.11G060069.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILLRFLRFSFPSFDLRIGAEWWIQHFGNSFSLPSRVPFISCENYEFLGSMPWMIVLFSLNLEFF >Manes.11G156100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32261841:32264040:1 gene:Manes.11G156100.v8.1 transcript:Manes.11G156100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQGNQRIWKKTSQKVSLVAPFPFPTVSLKLCLPLFTSMYRHGLQRGVKIYIYIFFILYLSLYMCVYLSFMFVFLDIKMAREAADACMEDKDMISTTSQQSPVTIKHDPQVTGVVDDEEKEPNFGGGSGDSGGGGAIVAGSGGGSVIVEMTGKRKRGRPKKFDMDSEIISPLPSPPPGFPSSLSRTFEKRGRGRPRGSGRLQLLASLGSFAAETAGGSFIPHVVPVNTGEDIVSKISSFAERGSRAICILSATGVVSSVVIRQPGLSGGILRYEGRFEILSLCGSFTFDETSGANGKTGMLSVSLAKPDGRVFGGGIVGSLIASGPIQLIVASFKQNICKELKLRQLAESAAAAGCVLGNSEKVRSSFEIAGTIEGEGHCTSPTSPHSEQTNGTEAFTADPQNIFAQVEPPLDSRNEDL >Manes.14G089900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7449109:7451927:-1 gene:Manes.14G089900.v8.1 transcript:Manes.14G089900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSTTCLGAHILVYPYLSSGHIIPLLDLTNCLLNRGLIITVLVTANNLPLLDPLLSSHPSSQLQSLVLPHPENVPPSKNRLAANIRFLRQVHYPLLLNWFQSHSSPPVAIISDFFLGWTNEFASQVAVPRIIFSPSGAFAFSVSTYLWREQPQNDHPEDLNFSLSFPKVPNSPTYPWWQISHLYRMPKDADWEFFRNCNLANSESWGIIFNSFAELERVYLDHMKRKFQDDRVWAVGPVLPSDDDFKGAANRGGSSSVPCHDVLTWLDSRKDQSVVYVCFGSRTALTCKQMDELAAALEKSGVHFIWCVRQPVDQGQTAGDYGVLPDGFEDCVADRGFIIKGWAPQVAILRHHAVGAFLTHCGWNSVLEGIAAGVVMLTWPMGADQFTNAQLLVDQLHVGIRFGEGTLNVPESAELARILAQSVAETLPERLKAQKLQEEALGATKGGSSSTDLDGLVRRLNKLKLKRDGGAIN >Manes.04G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31755485:31756639:1 gene:Manes.04G114200.v8.1 transcript:Manes.04G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGKWLRDEIERTFPEWKGQFISYKKLKKQLKLINSRWPRFATTRFLEVNNMRLSIGFTRLLNNELNKINMFYFDKEEDYIIRLKELQDRAEELASDEEKLQVQKDILKFHGEMVLLLNYSVLNFTGLIKIVKKHNKRTGTTFQFSSMPRVMQQPFFSTDLLYKLMRECEEMLGRLFHPQDP >Manes.05G154200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26536532:26540652:1 gene:Manes.05G154200.v8.1 transcript:Manes.05G154200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKLLLTFAICRLIVTVGLTVDPAELLRLGVDGQLSVDPSDVEIASVDFGLLSRAEPMAVLHPASAEDIARLVRSAYNSATGFTVSARGHGHSINGQAQTSSGVVIEMSRRRSRGSQLGFGNPNPPQVSVKEMHADVWGGELWIDVLRSTLEYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGEILTCSEDENSELFHAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSSFSSFARDQEYLISLYGNPPTQKFDYVEGFVIVEEGLINNWRSSFFSPRNPVKISSIAADGGVLYCLEITKNYHQSNVDTIDQEVESLLKKLNFIPSSVFTTDLPYVDFLDRVHDAELKLRSKGLWDVPHPWLNLFVPKSRIADFDKGVFKGILDNKTSGPILIYPMNTNKWDQRSSAVTPDEDVFYLVAFLRSALDNGEETQTLEYLSNQNRQILRFCDDAGIKVKQYLPHYTTQQQWVDHFGDKWSQFYKKKMEFDPRRILATGQRIFTPSFLASSSSSANVAPW >Manes.05G154200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26536532:26540652:1 gene:Manes.05G154200.v8.1 transcript:Manes.05G154200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKLLLTFAICRLIVTVGLTVDPAELLRLGVDGQLSVDPSDVEIASVDFGLLSRAEPMAVLHPASAEDIARLVRSAYNSATGFTVSARGHGHSINGQAQTSSGVVIEMSRRRSRGSQLGFGNPNPPQVSVKEMHADVWGGELWIDVLRSTLEYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGEILTCSEDENSELFHAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSSFSSFARDQEYLISLYGNPPTQKFDYVEGFVIVEEGLINNWRSSFFSPRNPVKISSIAADGGVLYCLEITKNYHQSNVDTIDQEVESLLKKLNFIPSSVFTTDLPYVDFLDRVHDAELKLRSKGLWDVPHPWLNLFVPKSRIADFDKGVFKGILDNKTSGPILIYPMNTNNLRRCNELMVIKQELTGLLILLVIKLIINEAAFSLRDPL >Manes.16G136100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33653757:33659267:-1 gene:Manes.16G136100.v8.1 transcript:Manes.16G136100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYPSSTALIAQKTWELENNIITVDTPPTSTNSTTDSSSDAIFYYDARAQAKFQQDRPWVNDPHYFSRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGNAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQSGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDLVSEYQTIPLNKIEEFGVHCKQYYALDITCFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDFVAGQISDLAEKLEQAENQLAHSHFGPLIAPPQRKKEEEPPLAKLTRDSAKITVEQVHGLMSQVIKDILFNSIRQSSSLLTEGSGPEPMVES >Manes.10G028400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2834276:2841603:-1 gene:Manes.10G028400.v8.1 transcript:Manes.10G028400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTILAIVPTIIEFTVVPIKRHLCYAFNYKSKVEKLKNQLQKLTRQRDDLRQSVDQATRQGDRINNSVQEWLTCVNKAIEEAEEILIGEQQAKGKCLFGVIPDLKKRYQLSKKADKEALAVVELQDEGRFDRISYRPLLEPIVVPSIYDNEALHSRVSILKKVMDALMDPAVDMIGVYGMGGIGKTTLANEVHRKAIEDKLFDVVVMATVSETPELRKIQGTIADMLGLKFEEETEEGRACRLHQRLVNEKKILIILDDIWEKLEPKKVGIPFGSDHKGCKLLLTSRREDILSREMGTQESFELRVLSEAEAWSLFQTMVGDITNQALHSVATEVAKKCAGLPVLIVTVARALKNKDLHEWKLALKELSRVDNEGIQAKVYSALELSYNHLASHEAKSLFLLCAQIAQGDIQIRDLLIYSMGLDLLRSKYTVEDARNRVDKLVSNLKASCLLLDCNKNGYVKMHDVVRDAALSIASKSQHLLTFRDIESKIWPNRDLKNCSRIYLPYCEIDELPERLECPELELLVLGRGNIHSKFFEGITKLKVLRFTGMCLWSLSPSLGYLTNLLTLCLDRCVLRDASVIGELKRLEILSFRESKIEQLPREIAQLTGLKLLDLSNCYKLQVIPANVISRLSLLEELYMQNSFCQWELQSLSNSSKASLAELKYLSHLTTLEIYIPDSKMLPKDLFSSKLERYRIVIGKRWYWADEYESSRMLELNTSVYLDHGVGILLKETEDLSLNEVKGIKSILYDLNWEGFPHLKHLQIRKGYDIQYVINSTARILNSDAFPILESLHLENLVSLEKLYHGQLTAGSFTRLSILKVNKCNRLKNLLSISMIRSLSQLREMEVCNCKSMEEIVLDDSGVGDDKIEVAEFAQLRSMTLRRLPILKSFWFKVKEMPALQMQTTNEQGFEGVALQDEFHTPLPLFHKMVSFPKLEILNVCSVGCENKQDDLFFADSSNSMSSSSVLPCQDLKYLFTTSFVKTLLQLKKLEIKDCEFMEGIILTEEFVEERMNKILFPNLNELNLKNLPNLTRFCDGHLIDFCCLTKLSIEKCPAFKTFVSNSLCADIMVSKKPKEVDLDRSQDITSPPVFDEKVAFPSLEEMYITSMSNLERTWHTQLPEGSFCKLNSLRIQDCKNLKTLFPSNNWARFQRLEWLSLFDCHSLQEIYQLQGFNAEEASSVLSFDLKQLYISGFRGLKHIWSKDPQGILTFQNLESIRLLNCKILKNLFPSSIAKELLKLGSLELDSCGIEEIVTNADGVEAAPCFVFPRLVSMRLEGLPKIRNFYPGTCHLEFPKLKYLTLLRCGKGIQFASEFFSLQEKYGEDQCNNSIQQPMSLAEKVHNSLHSLKVHNSILSFMHTS >Manes.10G028400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2833679:2841603:-1 gene:Manes.10G028400.v8.1 transcript:Manes.10G028400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTILAIVPTIIEFTVVPIKRHLCYAFNYKSKVEKLKNQLQKLTRQRDDLRQSVDQATRQGDRINNSVQEWLTCVNKAIEEAEEILIGEQQAKGKCLFGVIPDLKKRYQLSKKADKEALAVVELQDEGRFDRISYRPLLEPIVVPSIYDNEALHSRVSILKKVMDALMDPAVDMIGVYGMGGIGKTTLANEVHRKAIEDKLFDVVVMATVSETPELRKIQGTIADMLGLKFEEETEEGRACRLHQRLVNEKKILIILDDIWEKLEPKKVGIPFGSDHKGCKLLLTSRREDILSREMGTQESFELRVLSEAEAWSLFQTMVGDITNQALHSVATEVAKKCAGLPVLIVTVARALKNKDLHEWKLALKELSRVDNEGIQAKVYSALELSYNHLASHEAKSLFLLCAQIAQGDIQIRDLLIYSMGLDLLRSKYTVEDARNRVDKLVSNLKASCLLLDCNKNGYVKMHDVVRDAALSIASKSQHLLTFRDIESKIWPNRDLKNCSRIYLPYCEIDELPERLECPELELLVLGRGNIHSKFFEGITKLKVLRFTGMCLWSLSPSLGYLTNLLTLCLDRCVLRDASVIGELKRLEILSFRESKIEQLPREIAQLTGLKLLDLSNCYKLQVIPANVISRLSLLEELYMQNSFCQWELQSLSNSSKASLAELKYLSHLTTLEIYIPDSKMLPKDLFSSKLERMLELNTSVYLDHGVGILLKETEDLSLNEVKGIKSILYDLNWEGFPHLKHLQIRKGYDIQYVINSTARILNSDAFPILESLHLENLVSLEKLYHGQLTAGSFTRLSILKVNKCNRLKNLLSISMIRSLSQLREMEVCNCKSMEEIVLDDSGVGDDKIEVAEFAQLRSMTLRRLPILKSFWFKVKEMPALQMQTTNEQGFEGVALQDEFHTPLPLFHKMVSFPKLEILNDLKYLFTTSFVKTLLQLKKLEIKDCEFMEGIILTEEFVEERMNKILFPNLNELNLKNLPNLTRFCDGHLIDFCCLTKLSIEKCPAFKTFVSNSLCADIMVSKKPKEVDLDRSQDITSPPVFDEKVAFPSLEEMYITSMSNLERTWHTQLPEGSFCKLNSLRIQDCKNLKTLFPSNNWARFQRLEWLSLFDCHSLQEIYQLQGFNAEEASSVLSFDLKQLYISGFRGLKHIWSKDPQGILTFQNLESIRLLNCKILKNLFPSSIAKELLKLGSLELDSCGIEEIVTNADGVEAAPCFVFPRLVSMRLEGLPKIRNFYPGTCHLEFPKLKYLTLLRCGKGIQFASEFFSLQEKYGEDQCNNSIQQPMSLAEKIFISLEHLSLDGRVIEAIIQYQFQKKFFNVKSIGLHHIQEKSSIALFGFLQRLSNLESLCVRDSSLKELFRNEGLDDGTTVPLIRKLYLYHLRDLKHMWKPHPKLDLVLAYVEAMTVWVCSNLINLAPSSASFQDLTTLDVGFCKALKYLVTSSAAKSMVQLLTMKIRTCKMLTEIVTDEGDGTEEIVFCKLKTLKLVHLKSLTGFCLGGLTFKFPCLEVITISGCPNMRIFCGGILSTPKLQCVGLQEIHFERWRWEGNLNATVQQSYLEMEGFYHFWNMKLSKFPHLREKWQSQLPLNFLVNISKLAVDKCEFLSKALSSNQMQFLKRLSVLYRRFMILRILCICISV >Manes.10G028400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2833679:2841603:-1 gene:Manes.10G028400.v8.1 transcript:Manes.10G028400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTILAIVPTIIEFTVVPIKRHLCYAFNYKSKVEKLKNQLQKLTRQRDDLRQSVDQATRQGDRINNSVQEWLTCVNKAIEEAEEILIGEQQAKGKCLFGVIPDLKKRYQLSKKADKEALAVVELQDEGRFDRISYRPLLEPIVVPSIYDNEALHSRVSILKKVMDALMDPAVDMIGVYGMGGIGKTTLANEVHRKAIEDKLFDVVVMATVSETPELRKIQGTIADMLGLKFEEETEEGRACRLHQRLVNEKKILIILDDIWEKLEPKKVGIPFGSDHKGCKLLLTSRREDILSREMGTQESFELRVLSEAEAWSLFQTMVGDITNQALHSVATEVAKKCAGLPVLIVTVARALKNKDLHEWKLALKELSRVDNEGIQAKVYSALELSYNHLASHEAKSLFLLCAQIAQGDIQIRDLLIYSMGLDLLRSKYTVEDARNRVDKLVSNLKASCLLLDCNKNGYVKMHDVVRDAALSIASKSQHLLTFRDIESKIWPNRDLKNCSRIYLPYCEIDELPERLECPELELLVLGRGNIHSKFFEGITKLKVLRFTGMCLWSLSPSLGYLTNLLTLCLDRCVLRDASVIGELKRLEILSFRESKIEQLPREIAQLTGLKLLDLSNCYKLQVIPANVISRLSLLEELYMQNSFCQWELQSLSNSSKASLAELKYLSHLTTLEIYIPDSKMLPKDLFSSKLERYRIVIGKRWYWADEYESSRMLELNTSVYLDHGVGILLKETEDLSLNEVKGIKSILYDLNWEGFPHLKHLQIRKGYDIQYVINSTARILNSDAFPILESLHLENLVSLEKLYHGQLTAGSFTRLSILKVNKCNRLKNLLSISMIRSLSQLREMEVCNCKSMEEIVLDDSGVGDDKIEVAEFAQLRSMTLRRLPILKSFWFKVKEMPALQMQTTNEQGFEGVALQDEFHTPLPLFHKMVSFPKLEILNVCSVGCENKQDDLFFADSSNSMSSSSVLPCQDLKYLFTTSFVKTLLQLKKLEIKDCEFMEGIILTEEFVEERMNKILFPNLNELNLKNLPNLTRFCDGHLIDFCCLTKLSIEKCPAFKTFVSNSLCADIMVSKKPKEVDLDRSQDITSPPVFDEKVAFPSLEEMYITSMSNLERTWHTQLPEGSFCKLNSLRIQDCKNLKTLFPSNNWARFQRLEWLSLFDCHSLQEIYQLQGFNAEEASSVLSFDLKQLYISGFRGLKHIWSKDPQGILTFQNLESIRLLNCKILKNLFPSSIAKELLKLGSLELDSCGIEEIVTNADGVEAAPCFVFPRLVSMRLEGLPKIRNFYPGTCHLEFPKLKYLTLLRCGKGIQFASEFFSLQEKYGEDQCNNSIQQPMSLAEKIFISLEHLSLDGRVIEAIIQYQFQKKFFNVKSIGLHHIQEKSSIALFGFLQRLSNLESLCVRDSSLKELFRNEGLDDGTTVPLIRKLYLYHLRDLKHMWKPHPKLDLVLAYVEAMTVWVCSNLINLAPSSASFQDLTTLDVGFCKALKYLVTSSAAKSMVQLLTMKIRTCKMLTEIVTDEGDGTEEIVFCKLKTLKLVHLKSLTGFCLGGLTFKFPCLEVITISGCPNMRIFCGGILSTPKLQCVGLQEIHFERWRWEGNLNATVQQSYLEMEGFYHFWNMKLSKFPHLREKWQSQLPLNFLVNISKLAVDKCEFLSKALSSNQMQFLKRLSVLYRRFMILRILCICISV >Manes.16G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28229738:28231711:-1 gene:Manes.16G077400.v8.1 transcript:Manes.16G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRYCSYGLVIEGMIILGMIFYGFGALESKMITEKKILESQRKINRLKSLAVMSIQSEDGDIIDCVDIYKQPAFDHPALKNHTIQMAPSYDPTMETSTEAASRTKTMNKRNNEDSPVTITSQVWQKSGSCPKGTIPIRRFQEKELLKTSSIEDYGRKKPSFSTPNKETSNLRLSNRSMSILLTVGYSYSGVKGDIKVWNPFVESDDEYSTSQISLKSGPYYDFESLESGWAVNPSVYGDRQTRLFVYWTADGSKKTGCFDLTCPGFVQTSSEVALGAAIYPLSVPSGLPYQITLYIFKDPATNNWWVQYGEKINLGYWPPNLFTLLHGNAEAAEWGGEVYSLKLGHPPHTRTAMGNGQFPDSIFGNSGAVRRLRIRENSLILKFPEWVSTFSDEYDCYRTLYVGDYVEDPEFYFGGPGQNPVCP >Manes.13G108600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31197503:31199468:-1 gene:Manes.13G108600.v8.1 transcript:Manes.13G108600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWFLLFLTILSSSTLTISDPNDEACLTHLSQSLKNPTNSLQNWTKPNLANPCSGFNSYLSGATCNNGRIYKLSLTNLSLQGSISPYISNCTNLQTLDLSSNALSGPIPEDLQYLVNLAVLNLSSNRLEGEIPPQLALCAYLNVIDFHDNFLTGQIPQQLGLLVRLSAFDVSNNKLSGPIPGPLGNRSGNLPRFNATSFEGNKDLYGYPLPPMKNKDF >Manes.17G029400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21827971:21830716:1 gene:Manes.17G029400.v8.1 transcript:Manes.17G029400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYALTHSLKCFMHYEFYQLHIILDSYLIKLNCEKRAKFHISTMASKDHQIKNGGWMFFLIIFIDMLSNGLIDGSKVSNPKTEESEDDIIKCVDIYKQPAFSHPFLKNHTIQMKPSSGGLRERKNTKLLQEWNKKASCPEGTIPILKSQKFSNEHKVASVLSKRMQPHVFDVNSGALEYCQFIINSGTYFGASGGFNIWHPTIYGEEFSSSQMWVQAGSGHDLNSLEAGWRVLSGETKTSFFIYWTTDIDEKTGCYNLECPGFVQTNNKIALGYDILPISIYGGKQYDIEITIFKDMKNGNWWLEMNGEAVGYWHPAILTSLSKQADTISWGGKIYNSQTNGRHTSTQMGSGHPSNEGNGKASMIYNVRYVDELGGFIVPEDIKFLESNPDCYNITFGDTPLNDRGMYFYFGGPGFSKDCP >Manes.15G149400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12386623:12389401:1 gene:Manes.15G149400.v8.1 transcript:Manes.15G149400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNLENLSLITILIIVLPFWSSRIKPCHARQGKYWRQTASTVSMSMQSHHHARGLFKFTDSYYISPDETMATQKGTSSAATFNVLDYGAKGDGHTDDTKAFEAVWAAACKVDGSTIVVPSGAVFLVLPISFSGPNCGEKIIFQLDGKIIASTSSGAWGSGLLQWIEFTKLKGITIRGKGVIDGQGSVWWNDLPTYSPDSGVTSELSAKMPSTKPTALRFYGSTDVTVTGITIQNSPQTHLKFDDCISVQVSGFTAASPENSPHTDGIHLQNSRDVVIYSSNLACGDDCVSIQTGCSNVYVHNVNCGPGHGISIGGLGRDNTKACVSNVTIRDVAIQNTLTGVRIKTWQGGSGSVQGITFSNIQVSGVETPIMIDQFYCDGNKCSNKSSAVAVSDINYVDIRGTYTRNPLHFACSDNLPCTGVSLDTIELKSVGEDAQPFCWNAYGDLRGTTVPPVHCLQSGKSSKPVVYC >Manes.15G031900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:2488704:2489849:-1 gene:Manes.15G031900.v8.1 transcript:Manes.15G031900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPYLTLALCGILFVFIKPSHQQASNSSVPPILNPRLLDAFIAFQAWKHSIISDPKNFTSNWCGPNVCNYTGVYCAPPPDDPRTITVAGIDLNHANISGSLPEELGLLTDLALFHLNSNRFYGSIPDSFRHLRLLYELDISNNQFSGEFPSVVLYLPSLKFLDIRFNAFHGKVPSKLFDMQLDALFINNNRFNSSLPENFGNSPVSVVVLANNNISGCFPSSLTKMAGNLTQIILVNMGLTGCLKSDIGLLNQVKVFDVSFNNLVGSLPDSMGEMKSLEQLNVAHNNLSGEVPESICSLPRLENFTYAYNYFCSEPSVCLELSANDDRKNCIPDRPLQRSPEECKAFYDYPVKCDAFGCSSSPPPLPPPPPPVHYYHQYP >Manes.01G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31641223:31653715:1 gene:Manes.01G120200.v8.1 transcript:Manes.01G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIRVDSESGKEITSCPSTPQNDLVGMDLRLQELSSYVGIGSDDVLFIGIYGMGGIGKTTMAKTYYNLMSCQFEGKAFLANVREIYSKRGILSLQEQLLSEILGEKVKIWNMYRGMNMIKSRLRFRRVLIVIDDVNQLNQLLELAGESNWFGSGSRIIITTRDEHLLVSHGVDQLYKAKELNQTEALQLFSLKAFKDSKPPENYLNLSASFVDYANGLPLAIEVLGSFLFGKTSNEWRTALDRIRENPRKEILDILQISFDGLEEIEKQIFLDIACFFKGKKQDWIKEILDSCGFYPEIGIRVLIDKSLITIMGEKLWMHDLLQEMGRKLVRQESPKEPGQRSRLWLYKDIFHVMSNNTGTEDVEGIVLELPEAEGAELNAKAFLKMKKLRLLIFRNVEFSHSLEYLSSELRFLKWHGYPFKSLPSNFQSKELVKLNMCFSRVEQLWDGVMQFNMLKIMKLSHSKNLVKTPDFRGTPRLEKLILEGCTKLLEIDQSIGVLERLVVLNLKDCKRLVSLPEGMYGLKALKVVNISGCSQLDYMVEELGLVECLEELDVSGTAIKQASCPMFHFRNLKVFSLNGCKGQTSSLLSLLPEKDSNSSGFCSLMVLDLSNCNIQDGTLPSNLSCLSSLREISLGGNSFISLPASINHLSKLERLYLNNCTNLQSLQAFPANVQFISAYGCSSLVKLPENLDASSSRSRRFNFSNCFKLARNQGCNNITFMMLRRYLQGMSDPKTGFDIVIPGSNIPKWFNHQRLGDSSAIDLPQMIWADRKWMGFALCAVFKINDRADRNSYFDLDLSCLLKINRRTWHHVFDEGFLTAMEQVGSDQLWLFYLSRYEFLGIDWQEISQTTSRHVLEVKFTAHGVGFRVKKFGVRLIYEQDVLEFNQTINQFQSCDYENLDKSDGALVKRGYDDYCENDGPESAGKCSFEEEPQPKRLKEFN >Manes.14G089000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7357486:7360053:1 gene:Manes.14G089000.v8.1 transcript:Manes.14G089000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPWPTTRFIRWRLKDCVSCFLACRFPLDDDPDRVCSSLPQQPTRNMVFDVKKDEKRGRRADIKLSRRNRYRNETQLNPEDGNTVSMENKSNDPGWQHFQDEDYIVFCFKEDGTFDVVKDSKSEEALELFDSGNRNPRPVIRKLNYSKVPETVKKSSHEKISNAHGNDTCLRIGEELITVEEDEEEQNSYLEIESPSVASSRCYKFEKMENHGMLSVESCDSNLSESSTGSFSFPM >Manes.14G089000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7357486:7360053:1 gene:Manes.14G089000.v8.1 transcript:Manes.14G089000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPWPTTRFIRWRLKDCVSCFLACRFPLDDDPDRVCSSLPQQPTRNMVFDVKKDEKRGRRADIKLSRRNRYRNETQLNPEDGNTVSMENKSNDPGWQHFQDEDYIVFCFKEDGTFDVVKDSKSEEALELFDSGNRNPRPVIRKLNYSKVPETVKKSSHEKISNAHGNDTCLRIGEELITVEEDEEEQNSYLEIESPSVASSRCYKFEKMENHGMLSVESCDSNLSESSTGSFSFPILHWELMGSPPQMPKSQGFYIKKQKFTCARFQCCRF >Manes.09G046750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8155236:8156723:1 gene:Manes.09G046750.v8.1 transcript:Manes.09G046750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQPQLNGAYYGPAIPPPNNYHRPGRSSGCGCGCCLLSCLLKIIITIVVVAGLAVFIFWLVVRPNKVKFHVTEANLSEFNLAGNNTLYYNLSLTVSVRNPNKKIGIYYDRIEARAFYKDQRFGYDTLNPFYQGHKNTSILTPTFQGKELIPALAGDELLEFNQEKTSGVYDIYVKLYLRIRFKIGKIKTHKFKPKIECDLKIPLSENGTVVSRIETTKCDLDY >Manes.16G087300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29401908:29402504:-1 gene:Manes.16G087300.v8.1 transcript:Manes.16G087300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQATPEQPSSSSDPKYKGVRKRKWGKWVSEIRLPNSRERIWLGSFDTAEKAARAFDAALYCLRGREAKFNFPDNPPDIPGGRSLNPQEIQVVASRYANENSSSPSTTTTMMESSSSSRQVMESSSSDGAAQVDWSSILNELDYNENAPEYGLYPGMDNEYYPPLPLPSVLDHDRNVNDDDDQNGDDVYPLWNFSSF >Manes.10G022400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2183874:2192623:1 gene:Manes.10G022400.v8.1 transcript:Manes.10G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLADPSMGLLAASGGDTIKLFDVSGEPGDPCILSYTPSPGCLVNSVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVAGTDSGDNIEESILAISFSNKGSRYMCSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGALYNCKDEHLASISLSGDLILHNLASGARATELKDPHEQVLRVLDYSRISRHLLVTAGDDGSVHLWDTTGRSPKISWLKQHSAPTAGIGFSPSNDKLIASVGLDKKLYTYDSGSRRPSSCISYEAPFSSLAFREDGWILAAGTSSGRVVFYDVRGKPQPFTVLRAYGSSEAVTSLCWQRSKPVVVNESTCTAETALLGGAVEDSVLMPDPLPSVTSSSVSLSTAVSSSRNLGRSGLSVEASSLPSTSSETALSMPSFAEETPHRSHLWPSGKLSRLHAPRTSYNFKDDMEVFSPLVDVQPITPSLSKLWDDHAAMKQDNISIDKKSSSLLFPSAGRRFPFPEDGTNDHPIFDWKASSTSKQEETRSSFSLLGGSTPAPSSKSEDSSITPPEAWGGEKLSDKLTHLRQPLNLPSRFGMLTSGVLHDLPSSASTSQTIINSLTNSNTSFSNLRPRDVSSTQESSSGFSELISSSSITQSLSTKSIIGQTNLDVPGSGSLTLPRRFSTYAERISTASSLSDGNSISVGSPKIKKTGAETREELLSSLLSRSDTSAVTEQGILPAVNGGISQPNKALQLDSQQGNSFTLQLFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHMQEMEFSSVMSSILENQAELMKEIKSLRKENQELRQLL >Manes.04G014200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1879104:1880177:-1 gene:Manes.04G014200.v8.1 transcript:Manes.04G014200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKPTTVMCTVLAIDHSNLSYRACSLCERTLPDTPNSICRFCNSFNPSSSSSSSSSKRLFRLLVSIATDTKVLNVICFDRAARILFGCSADEFFDFAKIHPFAAANAGLILEGEMFKMTLSKPKNGNAQHLRAVSVLPLRTGFQPAIVSLRELYGVRASS >Manes.17G087400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29459882:29466111:1 gene:Manes.17G087400.v8.1 transcript:Manes.17G087400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPGNVVMSDKMQFPAGGGGGGGGGGGVGNEIHQQHHHHRHQWFPVDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEPGEYDLVIGCIQQRRCNWNPVLHMQQYFSVGEVIVALQQVALRKQQQQHQRYYYDQPKVGGKEFKRSSSAGFNKGQRGGGDVVKEAINSSVGCNSFDGNSSGNIGNEKFEEIKSGGDVGKLEDKSQALAEDKKDNMKPHIDNHLKSSGNSEGTLSESLEAETEAVDEQSSPKENDPHSSQNQSVKQNLTMTPKTFVGAEMVDGKTVNVVDGLRFYEELLDDVEISKLVSLVNDMRASGRRGQLQGQTFVVSKRPMKGHGREMIQLGLPIVDAPAEDENAAGTSKDRRIESIPALLQEVIDRFVSMQIIAMKPDSCIIDIYNEGDHSQPYMWPPWFGKPVSVLFLTECDLTFGRVITADHPGDYRGSLKLPLAPGSLLVMQGKSTDVAKHAIPAIRKQRILVTFTKAQPKNFAQSDGQRLPSSAAASSTHWGPPPSRSPNHIRHPVPKHYTAIPTTGVLPAPAIRPQIPPPNGVQPLFVTAAVAAPMPFPAPVPIPSVSTGWPAAPPRHPPNRLSVPVPGTGVFLPPPGSGNASSPQVSTSEINFPVEATSSLPDKESGTGKSNLVTCASPKEKLDGKTQRQDCNGNPDVRVGTKEEQGSVDHTVVDKSAGTV >Manes.18G059500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5269978:5272799:1 gene:Manes.18G059500.v8.1 transcript:Manes.18G059500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPNGTSTAEMVWQSRIRSHPIITSLGFHVCLPFSRFTNSLVGFPRNCSISSSEKLQEKVEDNDRLGLPSSHKEVPFFRLDASISSLEQQLKSAKAQNMPKTGSGLVSFDEMKQRFLNFKKHKYLEEMEHFQTLADVQTPKFMVIACVDSRVCPSNILGFQPGEAFMVRNVANIVPPLENGPTETNAALQFAVNTLEVENIFIIGHSNCAGIQALMNMQDEENHSFVEKWVAIAKNAKLRTKADANGLSFDQQCKHCEKESINLSLLNLLTYPWIEERVRKETLSVHGGYYDFLNCTFEKWTLDFKTRSSGGHGSIFSAKDRELWS >Manes.18G059500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5269978:5272799:1 gene:Manes.18G059500.v8.1 transcript:Manes.18G059500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPNGTSTAEMVWQSRIRSHPIITSLGFHVCLPFSRFTNSLVGFPRNCSISSSEKLQEKVEDNDRLGLPSSHKLDASISSLEQQLKSAKAQNMPKTGSGLVSFDEMKQRFLNFKKHKYLEEMEHFQTLADVQTPKFMVIACVDSRVCPSNILGFQPGEAFMVRNVANIVPPLENGPTETNAALQFAVNTLEVENIFIIGHSNCAGIQALMNMQDEENHSFVEKWVAIAKNAKLRTKADANGLSFDQQCKHCEKESINLSLLNLLTYPWIEERVRKETLSVHGGYYDFLNCTFEKWTLDFKTRSSGGHGSIFSAKDRELWS >Manes.18G059500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5270027:5272690:1 gene:Manes.18G059500.v8.1 transcript:Manes.18G059500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYPFLVLPIPWLVSPETVLFREKLQEKVEDNDRLGLPSSHKEVPFFRLDASISSLEQQLKSAKAQNMPKTGSGLVSFDEMKQRFLNFKKHKYLEEMEHFQTLADVQTPKFMVIACVDSRVCPSNILGFQPGEAFMVRNVANIVPPLENGPTETNAALQFAVNTLEVENIFIIGHSNCAGIQALMNMQDEENHSFVEKWVAIAKNAKLRTKADANGLSFDQQCKHCEKESINLSLLNLLTYPWIEERVRKETLSVHGGYYDFLNCTFEKWTLDFKTRSSGGHGSIFSAKDRELWS >Manes.18G059500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5270027:5272690:1 gene:Manes.18G059500.v8.1 transcript:Manes.18G059500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPNGTSTAEMVWQSRIRSHPIITSLGFHVCLPFSRFTNSLVGFPRNCSISSSEKLQEKVEDNDRLGLPSSHNDSIFIYREVPFFRLDASISSLEQQLKSAKAQNMPKTGSGLVSFDEMKQRFLNFKKHKYLEEMEHFQTLADVQTPKFMVIACVDSRVCPSNILGFQPGEAFMVRNVANIVPPLENGPTETNAALQFAVNTLEVENIFIIGHSNCAGIQALMNMQDEENHSFVEKWVAIAKNAKLRTKADANGLSFDQQCKHCEKESINLSLLNLLTYPWIEERVRKETLSVHGGYYDFLNCTFEKWTLDFKTRSSGGHGSIFSAKDRELWS >Manes.18G059500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5270027:5272691:1 gene:Manes.18G059500.v8.1 transcript:Manes.18G059500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYPFLVLPIPWLVSPETVLFREKLQEKVEDNDRLGLPSSHKLDASISSLEQQLKSAKAQNMPKTGSGLVSFDEMKQRFLNFKKHKYLEEMEHFQTLADVQTPKFMVIACVDSRVCPSNILGFQPGEAFMVRNVANIVPPLENGPTETNAALQFAVNTLEVENIFIIGHSNCAGIQALMNMQDEENHSFVEKWVAIAKNAKLRTKADANGLSFDQQCKHCEKESINLSLLNLLTYPWIEERVRKETLSVHGGYYDFLNCTFEKWTLDFKTRSSGGHGSIFSAKDRELWS >Manes.17G037900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20925027:20929139:1 gene:Manes.17G037900.v8.1 transcript:Manes.17G037900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLKSLFGRFQEQFGSGPGLGPGSGTCLMKVEGIAPNFIKSIYKACAALYRTDPWRRLRPAHFFGIRVGKDSDWSSKKQPFPCVQFIGGDGGDVSFYLFRSENDARKMTGSRETICVPNVELLRVTYEPESLMFPSNRKMIKTLSLEVSGTDRFPVIDVARCMSSGVLRFRHPTLEELRFVYAFVKAMSLVHPLLQEDKEGAPKWSKLMYFEPFIETVDVQWPPEIGKFHDLVAVTISHPPGQSYGEKGRSAPTKYAERPREETFVDVRINTNSNLRKCIMCEKEVHVEQAFSCGQCLAVVYCDSHCQKQHWKETHKSMCGLYKAMMEREEELVIKIFVFPCSAEQPCKWLESLGVHQKGMWRRKCNCYSHCPFGLLPVKGGLWDSWGGLDDNEYPRDSPFHNHLRDGISSPILLSGWSEYYSLRSLPLSSPVADILSHPLTVYYILTALNISSKNLLLKGKEVILHYLGPEGELDWLPAFAEISHLLNGSGNIHIVMVGPEVPTNLSGTTSGISSRVRVNLVRGIYQEEATYLPSPHVIVALNCGLDSHMSWGGALELIKSSSVPAFFTEQSEISCGNAKQVFRGAGLHITHPVTPNPFRSPVKMQGTSSNLPSYSNGFILGVNT >Manes.08G100800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33574343:33578308:1 gene:Manes.08G100800.v8.1 transcript:Manes.08G100800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNNGEQANGEESNEIQQYKVRLMRAHVEREDPSAKAVDDLMLRRFLRARELDIEKASTLFLRYLSWRRSFLPNGFVSTSDILNELAQNKLFMQGVDKKNHPVLVFFAAKHKPSNGNLEEFKRFLVFGLERVCERMPTGQEKFVVIADLEGWGYTNSDIRGYLAALSILQDFYPERLAKLFIVHVPSMFMTAWKLISPFINNKTKKKITFVENKKLKSILLEDVDESQLPDIYGGTLALVPIQHI >Manes.06G089100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22491181:22514240:1 gene:Manes.06G089100.v8.1 transcript:Manes.06G089100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEYKVYLGGIVAQLQDHFPDASFMVFNFREGDRRSQISDILSQYDMTVMDYPRQYEGCPVLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYNGEQKTLEMIYKQAPRELLHLLSLLNPHPSQLRYLQYISRRNLGTDWPPSDTPLVLDCLMLKALPLFEGGKGCRPVVRVYGQDHSKPANRTSKLLFTSSKTKKHVRHYQKEECMLVKIDIRCRVQGDVVLECIHLDGDLVHEEMIFRVMFHTAFVRANTLMLRRDEIDTMWNAKDQFPKHFKAEVLFADGHTSIVPNLSTLRANEDGNEAESASPDEFFEAEEIFSNAIDTQEAKGHYDTHSDHDNLSVDVEHKEVFRDTFDDRSHKEAKNMDFNVDAVKDIAVDDVKYKMDEMVYPDLQAVKDIAVDDGDLKIDSMVAVDTLRNNAIKELDEDGRGDFKEMEAKANGETNTTEILQSILPQLKLSVDVGRQKPEKAVPTAPRSMKPVGADSTAVKENIKQPEPHGTNGKQARPNTVPRWVPPNKAPFANSMHVAHPPSRYNSAPPALAFCASPKDSNVDAHARTSPDDDAAAGDLASYEPSSSPVVAAHLCSAKVVPPCSTTLPRPPPPHSSNAFLPKTSVKVPPPPPPPPPSSLVRQNVEIVSHHESPAPPPPPLPPSSNRQKIGVVLRPAPSSLPWKSLFSSVVLASSVPPPPAPSAPRPPPHHYVTETVPKVGVGIPSPPPPPPPPMVGTALPPPPPPPPPPPPPCGAPPPPSLREYGAPTPPPPPPPPPLHEGTPSPPFALSSGSPPPPPPPSFGALLPPPPSPSRGAPPPPPISGPPPPPPLHGAPPPAPPPLCGAPPPPPPPLHGAPPPPPPPECGAPPPPPPPPLGCGAPPPPPPPPLGCGAPPPPPPPPLGCGAPPPPPPPPLGCGAPPPPPPPPLGCGAPPPPPPPGYGAPPPPPPPGYGAPSPPPPPGHGAPPPPPPPGGGGGPPPPPPPGGHIPGPPGPPGPPGVGPPPPPPLGGKGAVTDTRGLASGRGRGRGSTATASRRSSLKPLHWSKVSRALQGSLWEELQRHGEPQIAPEFDVSEIETLFSATVPKPADSGGKGGRRKSAAAKTDKVHLVDLRRANNTEIMLTKVKMPLPDMMAAVLAMDESVLDADQVENLIKFCPTKEEMELLKGYTGDKETLGKCEQFFLELMKVPRVESKMRVFSFKIQFGSQITEFKKSLNMVNSACDEVRNSVKLKEIMKKILYLGNTLNQGTARGSAVGFKLDSLLKLTDTRACNSKMTLMHYLCKVLASKSPALLDFHLDLLSIEAATKIQLKSLAEEMQAIIKGLEKLKQELAASENDGPVSEVFRKTLKEFISVAETEVTSVTNLYSVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELEKKKAEKDAEVEKTEKAKGGSPTKQE >Manes.09G173600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36561905:36563933:-1 gene:Manes.09G173600.v8.1 transcript:Manes.09G173600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFSAIPLLLILFFSLSHARFSPIFTSPETLCNSTPHPSFCKSSLPYNNPGTIHDYAKVSISQSLTNARNFLSLVQYYLTLPSTSYESTIRALEDCQFLAQLNIDSLSYAFETIDNSYDLPGSHSDDLLTLLSATLTNVETCLDGLQSTASASSILKAFSAPLSNGTQCYSVSLSLFRGGWVPKTAEGRLLTERKSINFPNFEKGARKFLPLQSTNGRKLLERFTDGVSVSKMVVVNPYGNGDFATITDAVNSAPNNTGSGDGYFVIYVVAGVYNEYVSIPKNKKYLMMIGDGINQTIITGNRSVVDGWTTFNSSTFAVVGKGFVAVNITFQNTAGAIKHQAVAVRNGADLSAFYNCSFEGYQDTLYTHSLRQFYRDCEIYGTIDYIFGNAAVVFQNCKIYSRLPLSGQFNTVTAQGRTDPNQNTGTSIQNCSILAAEDLASSNGTTKSYLGRPWKEYSRTVVMQSFIDSLIDPSGWAPWSGDFALDTLYYAEFDNTGPGSKTTERVTWPGYHLINATDAANFTVSNFTQGDVWLPATGVPYFDGLL >Manes.01G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34770375:34772640:1 gene:Manes.01G163300.v8.1 transcript:Manes.01G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGGGGGGFGLGNLPNNPSIYQPTPPLTALDRFLWGRSHFSPQQSQSNVKTNETPVSTNGLYDFTPSTGAIAGVPLPRFQEINFVDALFFDGDNLNCIYERNLNAGLDEEVKVSARISKGQAKKSKTGSCVTLIKGQWTEEEDRKLIKLVKQFGVRKWAQIAEKLAGRAGKQCRERWHNHLRPDIKKESWSEEEEKILVEAHSKIGNRWAEIAKLIPGRTENSIKNHWNATKRRQNSRRKNKQTENHIEKPQSSILQDYIRSKDLKNTSVTNGTTNVTTPSHSSITTTNTPSSSTTSDDPSSQFNYFLSELSEPTLDDSPPLITETYNDELLFLQDFFTNSSMKPLLENVSTNTSMETETSHNVDLHPKNASVLDSFGLYQDNGDQQLIETSDQCSFFTSTLTSPKTCSESLQAEERPSGHLYSDLYLSYLLDGGSSCSSSIDYGYDNIMNMELVMDQNFTNGKKDMDLIEMVSSSQFFQGK >Manes.11G116100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27282848:27287012:-1 gene:Manes.11G116100.v8.1 transcript:Manes.11G116100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVSLTIGPKSTIQFFMKRQNFKGTISFGAGFFFVVIGWPVIGMILEAYGSIILFSGFWPTLAIFLQRIPILGWVFQQPFIRSFVDRYRGKRVPV >Manes.11G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27282848:27287012:-1 gene:Manes.11G116100.v8.1 transcript:Manes.11G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDRKKIGLGLTGFGIFFSFLGIIFFFDKGLLAMGNILFISGVSLTIGPKSTIQFFMKRQNFKGTISFGAGFFFVVIGWPVIGMILEAYGSIILFSGFWPTLAIFLQRIPILGWVFQQPFIRSFVDRYRGKRVPV >Manes.09G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34389947:34424881:1 gene:Manes.09G146200.v8.1 transcript:Manes.09G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLDSLISIAGTVSTPSTKERVRIFRDVIPSIINNSEMTPEVASTLVDIVFKTLILYEDRRSRKAVDDMIEKALGEIMFMKNFAATLVQAMEKQSKFHSHVGCYRLLNWSCLLLSKSQFAAVSKNAVCRVAAVQASLLHIIIWRSFRERRACKRLFFHLFSQSSDIYSIYAEELKDARIPYKDSPELIWLLLEFSIGSSSFGQFKPIFLDIYVKAVLNAKEKPATGLSESFHPLFMHLLHEDFQNIVVPSSVKMLKRNPEIVLESVGILLKFVKLDLSKYAIELLSVVLSQARHTDESRRLGALAIVQCLSKKSSNPDAIEAMFAAIKAVIGGSEGRLQFPYQRIGMFSALQELSCAPEGKYLSSLSITICQFLLSCYKAEGNEEVKLAILLAISFWAARSADAVQADMVSFISSGLKEKEVLRRGYLRCLRVICKNADTVLRISSLLGPLLQLVKTGFTKAVQRLDGVYALLIAAKIASADIKAEETLAKEKIWSLISQNEPSLIQISLASKLSVEDCMACVELLQVLLVEHSRRVLDAFSVKFLLQLIVFFLCHPSWEVRKMSHDATRKIINSLPQLSEALLTEFTKFLSVVGEKIFVLKTSDTDYTSDNQVPFIPSVEVLVKAVVVLSSATLATSPMPSISTRIIFCSHHPCIVGTAKRDAVWRRVRKCLQTLGFDVIGIISADVENLCKGLLGPMGLMSSDELEQEAAINSLTTLMSITPRDTYTEFEKYLKKFEDRHSHDMLSENDIQIFHTPEGMLSSEQGVYVAESISAKNTKQAKGRFRMYDDQDGMDHISSNNSKREPAGVGKKDAGKLVKKADKGKTAKEEARELLLKEEAGVREKVQGIQNNLSLILRALGEMAVSNPVFAHSQLPSLVKFVEPLLRSPIVSDVAYESLVKLSRCTAPPLCNWAIDIATALRVIVTEEVDILLDLIPATGEGEANESPSMGLFDRIINGLSLSCKSGPLPVDSFTFVFPIMERILLSSKKTRLHDDVLRILYLHMDPQLPLPRLRMLSALYHVLGVVPAYQAPVGAALNELCLGLQPDEVASALFGVYAKDVHVRMACLNAIKCIPAVSSHSLPQDVVVATSIWIALHDPEKSIAEAAEDVWDRYGYDFGTDYSGLFKALSHINYNVRMAAAEALAAALDENPDSIQESLSTLFSLYIRDAAFGEDNADARWIGRQGIALALHAAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDKHGKENVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLEKDDPKVHAVVEKLLDVLNTPSEAVQRAVSSCLSPLMQSKKDDAAVLISRLLDQLMKSDKYGERRGAAFGLAGVVKGFGISCLKNYGIIAALREGLVDRNSAKSREGALLAFECFCEKLGKLFEPYVILILPLLLVSFSDQVVAVREAAECAARAMMSQLSAQGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPTIVPKLTEVLTDTHPKVQSAGQMALQQVGSVIKNPEISSLVPTLLMGLTDPNDYTKYSLDILLQTTFVNSIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSDNSNVERSGAAQGLSEVLAALGKEYFELVLPDIIRNCSHQRASVRDGYLTLFKYLPRSLGIQFQNYLQQVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMNTLISSLASSSSERRQVAGRSLGELVRKLGERVLPLIIPILSQGLKDPDAGRRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRTALCDSFPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTAAVLPHILPKLVHLPLSAFNAHALGALADVAGPGLNVHLGTVLPALLSAMGGDDKDVQTLAKEAAETVVLVIDEDGVEYLIAELLKGVGDSTASVRRSASYLIGYFFKNSKLYLVDEAPNMMSTLIVLLSDTDSATVAVAWEALSRVVSSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPVVIPGFCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQALKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIIIRKGGLALKPFLPQLQTTFIKCLQDNTRTVRTSAALALGKLSALSTRVDPLVSDLLSSLQASDGGVREAILMALKGVLKHAGKSVSNAVKIRVYSQLNDLVHHDDDQVRISAASILGITSQYMEAAQIVDLLQQLSNLASSPSWVSRHGSVLTISSLLRHNPSSVITSAEFPSLVDCLKNGLQDEKFPLRETSTKALGRLLLHQIERDPSKTAAYADIVSSIVSALHDDSSEVRRRALSALKTVAKASPSSIMAYVPIIGSALAECLKDSSTPVRLAAERCALHTFQLTKGAENVQSAQKFITGLDARRLSKLPEYSDDSEDSEEESTSG >Manes.18G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6377586:6378849:-1 gene:Manes.18G070700.v8.1 transcript:Manes.18G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGTLEYLSDMLSSVKKPKKKKQIQTVALKVRMDCEGCERKVKNVLSGVKGVKSVDVDLKQQKVTVTGYVEPKKVLKAAQSTKKKVELWPYVPYSLVANPYVSQAYDKKAPPNHVRAVPATATITETTVDDSYTNIFSDENPNACSIM >Manes.02G221225.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21722080:21724377:-1 gene:Manes.02G221225.v8.1 transcript:Manes.02G221225.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIVAKDKGRSNIVLFPRLTYLKLLRLPNLMGFCKDNNVSLEWSLLERLKFRSCQKMKIFCVSVLESSTLSTSVEVDHLDTTFCATLIPGKRKKQYNNFSKKVALIKNQRDPSVSNIDESCAFPSKLIQQLQNLKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWLLNLPNLKHLWFKIPPEITAFQSLRKLIVRDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLKLEDLPNLNSFCNMIYALEFPFLETLKFRNCKRMETFSYGSLSMPKLEVVKINGRWHQLMGSDPNLNAKMSELLKMNQYEKGKLSLSREEESDEAALNGE >Manes.02G221225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21722080:21724377:-1 gene:Manes.02G221225.v8.1 transcript:Manes.02G221225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYIVAKDKGRSNIVLFPRLTYLKLLRLPNLMGFCKDNNVSLEWSLLERLKFRSCQKMKIFCVSVLESSTLSTSVEVDHLDTTFCATLIPGKRKKQYNNFSKKVALIKNQRDPSVSNIDESCAFPSKLIQQLQNLKHLMINGSDSVEVIFSFEGLINGVLNSVEEIWLLNLPNLKHLWFKIPPEITAFQSLRKLIVRDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLKLEDLPNLNSFCNMIYALEFPFLETLKFRNCKRMETFSYGSLSMPKLEVVKINGRWHQLMGSDPNLNAKMSELLKMNQYEVEGEAEFES >Manes.04G036766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4882869:4883179:-1 gene:Manes.04G036766.v8.1 transcript:Manes.04G036766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSICPPDKDAWPELVGVDGDFVVATIEKENKDVNAILVMLDDFVIMNFNCNRVWVIVDKNNIVFFSVIR >Manes.13G039400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4741909:4744218:-1 gene:Manes.13G039400.v8.1 transcript:Manes.13G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSHLFFSILLFNFVFLHANTLQTYIVQLHPHGKTRSSFATEFHWHISFLEQIISTEEDSSSRLIYSYHSSMEGFAAQLYESELELLQKLPDVIAIRPDRRLQVQTTYSYKFLGLNRTGEEGAWYESRFGRGTIIGVLDTGVWPESPSFNDQGMPPVPKKWRGICQEGQDFDSYNCNKKLIGARFFNKGHRVASTSISSNTIQEYLSARDSHGHGTHTSSTAGGASVQMASVLGIGAGIARGMAPGAHIAVYKVCWFNGCYSSDILAAMDFAIIDGVDVLSLSLGGFSIPLFADSIAIGSFRAVEHGISVICAAGNNGPLQNSVANEAPWIATVGASTIDRKFPAIVQLGNGQFLYGESMYPGNKFSNTVKELELVYVTGGDMVSEFCFRGSLPRAKVRGKMVVCDRGVNGRAEKGQAVKESGGAAMILANTEINLEEDTVDVHVLPATSIGFSESVSLKAYINSTSKPRARILFGGTVTGKSRAPAVAQFSGRGPSFINPSILKPDMIAPGVNIIAAWPQNLGPSGLPEDSRRVNFTVLSGTSMACPHVSGIAALIHSVHPKWTPAAVKSAIMTTADVTDNSGNAIMDGEKPAGVFAMGAGHVNPERAMNPGLIYDIKPEDYVIHLCTLGYTRSEIFTITQRNISCNELLQTNKGFSLNYPSISVIFKHGMTSNMIKRQLTNVGSPNSIYSVEVTAPEGVKVRVKPRRLVFRHINQSLSYRVWFISRKKTTVKETVSFAQGHLTWVHSQNKLPRVRSPISVIWKYK >Manes.01G237200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39914077:39918553:1 gene:Manes.01G237200.v8.1 transcript:Manes.01G237200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTWALHRCSCLAYQRATFAATVISGTPQGITVSIPSGGGGFRALKFFRLAKNYCSSPTSTDTAVVVANDDKYGNKQVISLTPRLYDYMLSNVREPEILRQLREETATMRGSQMQVSPDQAQLLAMLVQILGAQRCIEVGVYTGYSSLAVALVLPESGCLVACERDANSLDVARRYYERAGVSHKVNVKHGIAADILNSLILNGEASSYDFAFVDAEKRMNQEYFELLLQLVRVGGVIVIDNVLWHGKVADPLVNDAKTISIRNFNKTIMEDKRVSISMVPIGDGMTICWKR >Manes.01G237200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39914090:39918553:1 gene:Manes.01G237200.v8.1 transcript:Manes.01G237200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTWALHRCSCLAYQRATFAATVISGTPQGITVSIPSGGGGFRALKFFRLAKNYCSSPTSTDTAVVVANDDKYGNKQVISLTPRLYDYMLSNVREPEILRQLREETATMRGSQMQVSPDQAQLLAMLVQILGAQRCIEVGVYTGYSSLAVALVLPESGCLVACERDANSLDVARRYYERAGVSHKVNVKHGIAADILNSLILNGEASSYDFAFVDAEKRMNQEYFELLLQLVRVGGVIVIDNVLWHGKVADPLVNDAKTISIRNFNKTIMEDKRVSISMVPIGDGMTICWKR >Manes.09G005901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1494725:1494937:1 gene:Manes.09G005901.v8.1 transcript:Manes.09G005901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFSSVSITFILLLTFLLLVLPLVLPPLPPPPLIVLSLPVLIMFFLIVLAFSPNQTPNFAFFAVDNSI >Manes.02G144200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11069190:11071856:1 gene:Manes.02G144200.v8.1 transcript:Manes.02G144200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISIVGELDEELDSHLDLSNLRAHPLKPVIH >Manes.02G144200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11069191:11071856:1 gene:Manes.02G144200.v8.1 transcript:Manes.02G144200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSTGPGLESLVDQTISVITNDGRNIVGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISIVGELDEELDSHLDLSNLRAHPLKPVIH >Manes.14G152600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19113056:19123884:1 gene:Manes.14G152600.v8.1 transcript:Manes.14G152600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIAEDIDCVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPANNAFVVAEEDAARHQQEEEDDDFDDFSGFSETQTQFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANISSDTQPMPKLDPSIIDLYKGLGEFLSRYTVGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGAKKAELFYRLVLLPRIRDDVKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQRYKNELTKEDKQNLRTLVGKQKHKLVTPEIIRELDSSRNRGEKEDPMSMTSPISVINKVIEEDRFDIPQVPMEED >Manes.14G152600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19113101:19120923:1 gene:Manes.14G152600.v8.1 transcript:Manes.14G152600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIAEDIDCVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPANNAFVVAEEDAARHQQEEEDDDFDDFSGFSETQTQFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANISSDTQPMPKLDPSIIDLYKGLGEFLSRYTVGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGAKKAELFYRLVLLPRIRDDVKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQR >Manes.14G152600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19113056:19123884:1 gene:Manes.14G152600.v8.1 transcript:Manes.14G152600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIAEDIDCVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPANNAFVVAEEDAARHQQEEEDDDFDDFSGFSETQTQFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANISSDTQPMPKLDPSIIDLYKGLGEFLSRYTVGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGAKKAELFYRLVLLPRIRDDVKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQRYKNELTKEDKQNLRTLVGKQKHKLVTPEIIRELDSSRNRGEKEDPMSMTSPISVINKVIEEDRFDIPQVPMEED >Manes.14G152600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19112062:19120923:1 gene:Manes.14G152600.v8.1 transcript:Manes.14G152600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIAEDIDCVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPANNAFVVAEEDAARHQQEEEDDDFDDFSGFSETQTQFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANISSDTQPMPKLDPSIIDLYKGLGEFLSRYTVGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGAKKAELFYRLVLLPRIRDDVKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQR >Manes.14G152600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19112062:19123883:1 gene:Manes.14G152600.v8.1 transcript:Manes.14G152600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIAEDIDCVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPANNAFVVAEEDAARHQQEEEDDDFDDFSGFSETQTQFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANISSDTQPMPKLDPSIIDLYKGLGEFLSRYTVGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGAKKAELFYRLVLLPRIRDDVKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQRYKNELTKEDKQNLRTLVGKQKHKLVTPEIIRELDSSRNRGEKEDPMSMTSPISVINKVIEEDRFDIPQVPMEED >Manes.14G152600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19112062:19123883:1 gene:Manes.14G152600.v8.1 transcript:Manes.14G152600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRARHQNPKPFIAEDIDCVASAKKRSKAAKHHQKQETMISSGMSSKILKEALIQQKEIQEEAEQANPANNAFVVAEEDAARHQQEEEDDDFDDFSGFSETQTQFGDEEIDEDEEKLLEAFLSKEAGPQRTLADLIIEKIKQENANISSDTQPMPKLDPSIIDLYKGLGEFLSRYTVGKMPKAFKHIPSMQLWEDVLYLTKPESWSPNAMYQATRIFASNLGAKKAELFYRLVLLPRIRDDVKQNKRLHFALYQALKKSLYKPAAFNKGILFPLCKSGTCNLREAVIVGSIIQKVSIPMLHSSAALLKLAEMEYCGTTSYFIKLLLEKKYALPYRVLDAVVAHFMRFLDETRIMPVIWHQSLLAFVQRYKNELTKEDKQNLRTLVGKQKHKLVTPEIIRELDSSRNRGEKEDPMSMTSPISVINKVIEEDRFDIPQVPMEED >Manes.04G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25671442:25675257:-1 gene:Manes.04G064800.v8.1 transcript:Manes.04G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTFFRNRYWILRHGKSIPNERGLIVSSLENGVLSQYQLASEGVEQAQLAGELFVKQLEERNIPLESVRICYSPFARTSHTAKVVASVLNLPFEGPQCKVIEDLRERYFGPLFELKSHDKYPEIWALDEKDPFMQPEGGESANDVATRLATAMATMESEFQGCAVLIVSHGDPLQILQTILNAVKQHNGSTCNDLSSRIQAVKIPSILSQHRKFALLTGELRLVI >Manes.07G105700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31195911:31201064:-1 gene:Manes.07G105700.v8.1 transcript:Manes.07G105700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVSYFNVSSPLTSSRDLSTHFSFSFISNSSASFANVKNPIKLHSHSLQFHQVASFLRKSRDAPAPTSASMATGSWKEDLPPALTSTSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPVDLQNRPTWYKEKVYPPNKVPSLEHNNEVKGESLDLIKYIDSNFDGPSLFPDDTAKKELAEELFAYTGLFNQALVSLFKGDASEAGTALDFIETTLSKYDDGPFFLGQFSLVDIAYAPFIERFQPALLDLRKYDITEGRPNLSAWIEEMKKNEGYNQTRRDPKEHVETYKKRFLAPL >Manes.07G105700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31195911:31201072:-1 gene:Manes.07G105700.v8.1 transcript:Manes.07G105700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVSYFNVSSPLTSSRDLSTHFSFSFISNSSASFANVKNPIKLHSHSLQFHQVASFLRKSRDAPAPTSASMATGSWKEDLPPALTSTSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPVDLQNRPTWYKEKVYPPNKVPSLEHNNEVKGESLDLIKYIDSNFDGPSLFPDDTAKKELAEELFAYTGLFNQALVSLFKGDASEAGTALDFIETTLSKYDDGPFFLGQFSLVDIAYAPFIERFQPALLDLRKYDITEGRPNLSAWIEEMKKNEGYNQTRRDPKEHVETYKKRFLAPL >Manes.07G105700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31195911:31201065:-1 gene:Manes.07G105700.v8.1 transcript:Manes.07G105700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVSYFNVSSPLTSSRDLSTHFSFSFISNSSASFANVKNPIKLHSHSLQFHQVASFLRKSRDAPAPTSASMATGWKEDLPPALTSTSDPPPIFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPVDLQNRPTWYKEKVYPPNKVPSLEHNNEVKGESLDLIKYIDSNFDGPSLFPDDTAKKELAEELFAYTGLFNQALVSLFKGDASEAGTALDFIETTLSKYDDGPFFLGQFSLVDIAYAPFIERFQPALLDLRKYDITEGRPNLSAWIEEMKKNEGYNQTRRDPKEHVETYKKRFLAPL >Manes.02G221080.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22588662:22596313:-1 gene:Manes.02G221080.v8.1 transcript:Manes.02G221080.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221080.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22588662:22596314:-1 gene:Manes.02G221080.v8.1 transcript:Manes.02G221080.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221080.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22588662:22597873:-1 gene:Manes.02G221080.v8.1 transcript:Manes.02G221080.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22588662:22597873:-1 gene:Manes.02G221080.v8.1 transcript:Manes.02G221080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.02G221080.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22588662:22596314:-1 gene:Manes.02G221080.v8.1 transcript:Manes.02G221080.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPQLDPFPESRLEVDAGAAFVLESKGKWWHAGFHLTTAIAGPSILTLPYAFRGLGWGLGCFCLTVMGVVTFYSYCLMSKVLDCCEKAGRRHLRFRELATDVLGSGWMFYFVIFIQTAINTGVSIGAILLAGECIQIMYSNLSPNGSLKLYEFIAMMTAVMVVLSQLPSFHSLRHLNMASLLLSLGYTFLVVGACIHAGLSKSAPKKDYTLESSETARVFNAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYSVILVTFYSAAVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLDLGLVFVLLQLFAIGLVYSQVAYEIMEKQSADVKQGMFSKRNLIPRLILRTLYVTFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPQKSSLTYWINISIIIVFTGAGIIGAFSSIRMLVLDAYKFKLFSSDVVD >Manes.03G032700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2748530:2753357:-1 gene:Manes.03G032700.v8.1 transcript:Manes.03G032700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMANLMCLNLQQRLPILATVYPSCSRLATTKAQRNWNSGFRTPRRRALGLGVSVWLQFMSMASAGGSKHFIASARQKGAVEEALKNVEWPEQFPFKEEDFQRFDESPDSLFYEAPRFVTHIDDPAIAALTKYYSEALPPSNTPGVSILDMCSSWVSHFPKGYKQDRIVGLGMNEDELKRNPVLTEYVVQDLNLNPKLPFEDNSFDVITNVVSVDYLTKPLDIFKEMSRILKPGGQAIMSFSNRCFWTKAISIWTSTGDADHALIVGSYFHYAGGFQPPQAVDISPNPGRSDPMYIVFSRKASTA >Manes.04G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34362394:34365000:-1 gene:Manes.04G146200.v8.1 transcript:Manes.04G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFDSFYCIKNPKFRGVFNGICSIILLFLFCHWVNVLKNPFSGPYPTIGAIFGSGSSHIDIIHLQMIEVDANSTSLIDGIDDKNDTSVQKPHLCGGLIDHKGYANRCEYLKANLDCSSGEFFDYIEFLYWDCGNFMVLGYVFLGVWLAALFYLLGNTAADYFCCSLKKLSSLLKFPPTVAGDSFLPLGNGAPVVFASIAAFVGKDAGEVGLNSVLGAIAFVSIYAVYGISVAAGEIFGKHTRRLKLDVITPLIPVQGSYEDDSRVYSSLLDIETDSDVPHLPPSLLGILLDEERTPWGWTDDGMEINNTSLSCSRVFSLIELPLTVPRWLTISLVDEETWSKPYAAASATLAPILMAFLWNREDDMGPESRILIYIFGYTLPSHPPQRLLLLWVFGGFCMSIIRFYMLANELVALLVAFGLIFGINPSILGLTVLAWGNSMGDLVSNFSRAMNGGDSVQIALSGCYAGPMFNTLVGMGISMLLGAWSQNTGIYVIPQDNSLLYTMGFLVSGLIWALVVLPQNNMRPTKTFGVGLITIYLSFI >Manes.14G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3130224:3133719:-1 gene:Manes.14G035500.v8.1 transcript:Manes.14G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRRIEILDPYYPSIYVREASIFTPKTLAFPSFLVEEETDALSFALDLLNPKPSPFELFDSVSDLIQIENPLSVCSYKRIQKRVGYDLCLQTLCDRVSVLESTFDRLVSAKVHSSDRKYTWTKEIEGPVERKYKWTAEIKEGKNNKEVKKGGVEKNYKWTAEIKKKEEEQPISRKYTFEVSSGDAGESSGTGKKEKKEKKGRNGVRLVEIEEPNDHGVVALKQAFAKRAGASKSSKGKQKELSPQDAALLIQLTFRAYLIRRSKALRALRELAIAKSKLKEIRALFNNFSYRRQVARDAEERQRFSEKIIVLLLTVDAIEGADLMVRAAKRTMVDELEAMLDVVDPQPPEKLLSIKRRIFDMPDGVIRKEIAEGVTRVVQMLDDE >Manes.15G040000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3117400:3118796:-1 gene:Manes.15G040000.v8.1 transcript:Manes.15G040000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKHHGNYINPCLTLRQPWASLLVHGINRVEGRSWPAPVRAGKVPDAATIKAMEDFYREIYAVNGILDIKFPEHYPVSKLLGHADFPLTRFF >Manes.15G040000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3117400:3118796:-1 gene:Manes.15G040000.v8.1 transcript:Manes.15G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKHHGNYINPCLTLRQPWASLLVHGINRVEGRSWPAPVRGRLWIHAAGKVPDAATIKAMEDFYREIYAVNGILDIKFPEHYPVSKLLGHADFPLTRFF >Manes.11G151700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31569302:31572015:1 gene:Manes.11G151700.v8.1 transcript:Manes.11G151700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETWRFAVHVLNGRWFSVFASFIIMAGSGATYLFGTYSKDIKSTLGYDQKTLNLIGFFKDLGANLGVISGLIAEVTPTWFVLLTGSAMNFVGYLMIWLAVTEKIPKPRVWHMCLYICIGANSQNFANTGALVTFVQNFPENRGVMLGLLKGFVGLSGAIFTQLYLAIYGDDSKFLILLVAWLPAAISVIFVYTIRTMKRERQPNELRVFYHFLYVSIALALFLMAMSVLEKIISFSRGAYAASATVVCIILFVPLLIAIKEEWVQWNLKKAEAMKPPTELTIQKPEDEESVKYSVSKEQKDQDQDQRSCFLTIFDKPERGEDYTILQALLSIDMLILFAATLCGLGASLTAVDNLGQIGESLGYPTKTVNSFVSLVSIWNYFGRVFSGFVSETMLIKYKTPRPLMMTFVLLLSCMGHLIIAFPFPNSVYIASVIMGFSFGAQLPLLFAIISELFGLKYYSTLFNCGQLASPLGSYILNVQITGMLYDNEATKLLKAKGLDRSAVKQLVCIGVECYRKPFIILASAALFGAFVSLILVVRTRKFYSGDIYKKFRERQ >Manes.11G151700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31569302:31572015:1 gene:Manes.11G151700.v8.1 transcript:Manes.11G151700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETWRFAVHVLNGRWFSVFASFIIMAGSGATYLFGTYSKDIKSTLGYDQKTLNLIGFFKDLGANLGVISGLIAEVTPTWFVLLTGSAMNFVGYLMIWLAVTEKIPKPRVWHMCLYICIGANSQNFANTGALVTFVQNFPENRGVMLGLLKGFVGLSGAIFTQLYLAIYGDDSKFLILLVAWLPAAISVIFVYTIRTMKRERQPNELRVFYHFLYVSIALALFLMAMSVLEKIISFSRGAYAASATVVCIILFVPLLIAIKEEWVQWNLKKAEAMKPPTELTIQKPEDEESVKYSVSKEQKDQDQDQRSCFLTIFDKPERGEDYTILQALLSIDMLILFAATLCGLGASLTAVDNLGQIGESLGYPTKTVNSFVSLVSIWNYFGRVFSGFVSETMLIKYKTPRPLMMTFVLLLSCMGHLIIAFPFPNSVYIASVIMGFSFGAQLPLLFAIISELFGLKYYSTLFNCGQLASPLGSYILNVQITGMLYDNEATKLLKAKGLDRSAVKQLVCIGVECYRKPFIILASAALFGAFVSLILVVRTRKFYSGDIYKKFRERQ >Manes.01G250650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40956136:40957304:1 gene:Manes.01G250650.v8.1 transcript:Manes.01G250650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRERALRSMLTSGPPFISKSSVFRLVGELRCPLEAVSPRTGAKDFRSQYPLTPEASVCFGLGSNWPQLRPYTPAQHLFNYQREKGKREEKRKG >Manes.06G126900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25690039:25695176:1 gene:Manes.06G126900.v8.1 transcript:Manes.06G126900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSSLYFFKLIFIFYYRFHVYDIDTQFHNLDVKMIKLDEQQFLGEASCVLSEILTKSNRSLTLDLLQKEDSTVSSHSPCGQLVVHAEECFSSKTTTEMILRCSDLEHKDLFSRINPFLVISKMVESGIPIAVCKTEVLKNDHNPIWKPIFLNIQQVGSKDSPLVIDCYNFNSSGKHDLIGEVTKSLADLEKLRSSGEGENLFSTTAVGHDHNKLLKSQLFVDKFSESIQHTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTNHCEVEGIQGIMMAYASALLNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGEILESSTGRVASRDIVQFVPFRHVQNGQISVVQELLAELPTQFLTYMRSRDLQPSI >Manes.06G126900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25688087:25696345:1 gene:Manes.06G126900.v8.1 transcript:Manes.06G126900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVYKKARDGSPTEVFRTEVILNSLNPTWIMKYAITFQFEVVQTLLFHVYDIDTQFHNLDVKMIKLDEQQFLGEASCVLSEILTKSNRSLTLDLLQKEDSTVSSHSPCGQLVVHAEECFSSKTTTEMILRCSDLEHKDLFSRINPFLVISKMVESGIPIAVCKTEVLKNDHNPIWKPIFLNIQQVGSKDSPLVIDCYNFNSSGKHDLIGEVTKSLADLEKLRSSGEGENLFSTTAVGHDHNKLLKSQLFVDKFSESIQHTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTNHCEVEGIQGIMMAYASALLNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGEILESSTGRVASRDIVQFVPFRHVQNGQISVVQELLAELPTQFLTYMRSRDLQPSI >Manes.06G126900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25684504:25696345:1 gene:Manes.06G126900.v8.1 transcript:Manes.06G126900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVYKKARDGSPTEVFRTEVILNSLNPTWIMKYAITFQFEVVQTLLFHVYDIDTQFHNLDVKMIKLDEQQFLGEASCVLSEILTKSNRSLTLDLLQKEDSTVSSHSPCGQLVVHAEECFSSKTTTEMILRCSDLEHKDLFSRINPFLVISKMVESGIPIAVCKTEVLKNDHNPIWKPIFLNIQQVGSKDSPLVIDCYNFNSSGKHDLIGEVTKSLADLEKLRSSGEGENLFSTTAVGHDHNKLLKSQLFVDKFSESIQHTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTNHCEVEGIQGIMMAYASALLNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGEILESSTGRVASRDIVQFVPFRHVQNGQISVVQELLAELPTQFLTYMRSRDLQPSI >Manes.06G126900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25683390:25696345:1 gene:Manes.06G126900.v8.1 transcript:Manes.06G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSDEAGGKAAVGGTSSATGGGAAAANDAIDYYLKSRGFHGIFSQIELSFSATNLCDRDVLSKSDPMLVVYKKARDGSPTEVFRTEVILNSLNPTWIMKYAITFQFEVVQTLLFHVYDIDTQFHNLDVKMIKLDEQQFLGEASCVLSEILTKSNRSLTLDLLQKEDSTVSSHSPCGQLVVHAEECFSSKTTTEMILRCSDLEHKDLFSRINPFLVISKMVESGIPIAVCKTEVLKNDHNPIWKPIFLNIQQVGSKDSPLVIDCYNFNSSGKHDLIGEVTKSLADLEKLRSSGEGENLFSTTAVGHDHNKLLKSQLFVDKFSESIQHTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTNHCEVEGIQGIMMAYASALLNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGEILESSTGRVASRDIVQFVPFRHVQNGQISVVQELLAELPTQFLTYMRSRDLQPSI >Manes.06G126900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25683390:25696345:1 gene:Manes.06G126900.v8.1 transcript:Manes.06G126900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSDEAGGKAAVGGTSSATGGGAAAANDAIDYYLKSRGFHGIFSQIELSFSATNLCDRDVLSKMIKLDEQQFLGEASCVLSEILTKSNRSLTLDLLQKEDSTVSSHSPCGQLVVHAEECFSSKTTTEMILRCSDLEHKDLFSRINPFLVISKMVESGIPIAVCKTEVLKNDHNPIWKPIFLNIQQVGSKDSPLVIDCYNFNSSGKHDLIGEVTKSLADLEKLRSSGEGENLFSTTAVGHDHNKLLKSQLFVDKFSESIQHTFLDYLKGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQQAIVEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSTNHCEVEGIQGIMMAYASALLNVSLAGPTLFGPVISTAALIASQSLANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGEILESSTGRVASRDIVQFVPFRHVQNGQISVVQELLAELPTQFLTYMRSRDLQPSI >Manes.05G019600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1789436:1791879:1 gene:Manes.05G019600.v8.1 transcript:Manes.05G019600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFPSHRRAKLTTAALLHPMTTTRSLSAANPNIPLPESYTVTPPIKPWPQRLYPKRLVSMITRQQNLDLALQIFHYAGKYHSGFFHNYDTYDSIIHKLSRARCFGPLEDLLLELHKAKIKCGENLFITVIRNYGLAGKPDLAFKTFIRIQDFNVQRSVRSLNTLLNGFVQNKRYDLVHDVFRNCRSKYGVVPNVFTCNILIKALCKKNDIEGATKVLDEMPAMGMIPNVVTYTTILGGYASRGDMVNAKKVFGDLFDRGWLPDATTYTILMDGYCKQGRLVDAIKLMDDMDGNGVEPNDVTYGVMIEAFCKEKKAGEARNMLDDMLEKKYVPSSALCCKVIDVLCEDGKVEEACDLWKRMLAKNCTPDNAITSTLIHWLCKEGKVWEARKLFGEFERGSIPSLLTYNTLIAGMCERGELSEAGRLWDDMMEKGCKPNAFTYNMLIKGISKIGNAKEGIRILEEMLDKGCTPNKSTYALLIEELCAKGMEGEVDKVVSMAMASGRIDRDSWKLFLDKVIGNLDRGTDALNGLLVENS >Manes.05G150106.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25522396:25524262:-1 gene:Manes.05G150106.v8.1 transcript:Manes.05G150106.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLLLLALPFLLSFLLRKLKTKRNLHLPPGPKGLPFIGNLHQFDSLNPHSYLWQLSQKHGPVMSLRLGFVPILIVSSAKMAKEVMKTHDLIFCSRPALVGIQKFSYNGLDVAFSPYNAYWREIRKICVVYLFNSNRVQSFRPIREFEISHMLEKISNSAVALKPVNLSEAMTSLTSTIICRTAFGKRYEEDGVERSRFQELLKEIQALFTCIFVSDYFPFLGGFIDKFTGLFHRLEKNFREFDIFYEQIIKEHLDPSRSKPAEEDFLDILLQLRKSRSFKIDLTFDHIKAVLMNVFVAGTDTSAATVVWAMTLLMKNPMAMKKAQEEVRKLVGKKGFVEEADCQQLPYLQAVIKETMRLQPTVPLLVLRESMENCVLDGYDIPAKTIVYVNTWAIGRDPEIWENPEEFNPERFMNSSIDLKGQDFELTPFGAGRRICPGMVMGLATVEVSLANLLYKFDWEMPVGMKKEDLDMDVQPGIAVHKKNALCLMARNYA >Manes.S055416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1938758:1939570:-1 gene:Manes.S055416.v8.1 transcript:Manes.S055416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.06G029901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:7793999:7794301:1 gene:Manes.06G029901.v8.1 transcript:Manes.06G029901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWSLEQQQIGAAVMWELWRFRNELVWNNKRSSPDAVIVTARRLIDDWRISVASKEVGKFSSPLPKTLKWTKPDFGKLKLNVDASFMPSTGTFGAGWIL >Manes.16G020600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2272081:2280738:-1 gene:Manes.16G020600.v8.1 transcript:Manes.16G020600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKREFAREDDAQPEQPESKRIRSDADGSDSPKIQPCRNSVVLNPADCNLDFDIGDDGLKGSALHEEGFAYCWSGARANFGITGGKYCFGCKVTSAQPVNMEDTPPDQQHLCRIGISRGDGAVGSLGETLHGFGLGGTGKFSTAGKFSDYGEKFGVGDTIVCAVDLESKPLASIGFAKNGKWLGIAKEFDAGPRGLGVLDSPIKELQWESALFPHVLLKNVVVQLHFSVEDGLVPEEGYKPWASALEDGNAIMGPVFPDIRDCEVMMMVGLPASGKTTWADKWVKEHPEKRYVLLGTNLILDQMKVPGLLRKHNYGERFDHLISRANAIFDILLSRAARTPHNYVIDQTNVFKSARKRKLRPFAKFHKIAVVVFPKPEELKFRADRRYKEMGKEVPADAVNNMLANYVLPMSKDMPGSDEFFDQVIFAELGRVEAQIYLEDMKHGLNTNSAPYYQETSVASSMGPSVQNQGVGGGNWGSSDPPHALGPRHLLNYGHQMANQVQTLYQGQGYCSGAYQSYQYHSVSRAPAPYSSNENFGPPHNGYLRSYGAIPADPYQSYGPVDRCSRPNLDVKSTAIYAAPTFDAYRNTSIEASQVGTMRSPSFTNAGGPQSVQAPIAPTYAPSACPPYGSYPTIF >Manes.16G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2272099:2280737:-1 gene:Manes.16G020600.v8.1 transcript:Manes.16G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKREFAREDDAQPEQPESKRIRSDADGSDSPKIQPCRNSVVLNPADCNLDFDIGDDGLKGSALHEEGFAYCWSGARANFGITGGKYCFGCKVTSAQPVNMEDTPPDQQHLCRIGISRGDGAVGSLGETLHGFGLGGTGKFSTAGKFSDYGEKFGVGDTIVCAVDLESKPLASIGFAKNGKWLGIAKEFDAGPRGLGVLDSPIKELQWESALFPHVLLKNVVVQLHFSVEDGLVPEEGYKPWASALEDGNAIMGPVFPDIRDCEVMMMVGLPASGKTTWADKWVKEHPEKRYVLLGTNLILDQMKVPGLLRKHNYGERFDHLISRANAIFDILLSRAARTPHNYVIDQTNVFKSARKRKLRPFAKFHKIAVVVFPKPEELKFRADRRYKEMGKEVPADAVNNMLANYVLPMSKDMPGSDEFFDQVIFAELGRVEAQIYLEDMKHGLNTNSAPYYQETSVASSMGPSVQNQGVGGGNWGSSDPPHALGPRHLLNYGHQMANQQVQTLYQGQGYCSGAYQSYQYHSVSRAPAPYSSNENFGPPHNGYLRSYGAIPADPYQSYGPVDRCSRPNLDVKSTAIYAAPTFDAYRNTSIEASQVGTMRSPSFTNAGGPQSVQAPIAPTYAPSACPPYGSHYGTSTRPMSTLSTSSPRPPYRNMPNVLQHPDGYNPRCTSKKYQKERKEVLGA >Manes.16G020600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2271247:2280925:-1 gene:Manes.16G020600.v8.1 transcript:Manes.16G020600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKREFAREDDAQPEQPESKRIRSDADGSDSPKIQPCRNSVVLNPADCNLDFDIGDDGLKGSALHEEGFAYCWSGARANFGITGGKYCFGCKVTSAQPVNMEDTPPDQQHLCRIGISRGDGAVGSLGETLHGFGLGGTGKFSTAGKFSDYGEKFGVGDTIVCAVDLESKPLASIGFAKNGKWLGIAKEFDAGPRGLGVLDSPIKELQWESALFPHVLLKNVVVQLHFSVEDGLVPEEGYKPWASALEDGNAIMGPVFPDIRDCEVMMMVGLPASGKTTWADKWVKEHPEKRYVLLGTNLILDQMKVPGLLRKHNYGERFDHLISRANAIFDILLSRAARTPHNYVIDQTNVFKSARKRKLRPFAKFHKIAVVVFPKPEELKFRADRRYKEMGKEVPADAVNNMLANYVLPMSKDMPGSDEFFDQVIFAELGRVEAQIYLEDMKHGLNTNSAPYYQETSVASSMGPSVQNQGVGGGNWGSSDPPHALGPRHLLNYGHQMANQQVQTLYQGQGYCSGAYQSYQYHSVSRAPAPYSSNENFGPPHNGYLRSYGAIPADPYQSYGPVDRCSRPNLDVKSTAIYAAPTFDAYRNTSIEASQVGTMRSPSFTNAGGPQSVQAPIAPTYAPSACPPYGSHYGTSTRPMSTLSTSSPRPPYRNMPNVLQHPDGYNPRCTRYY >Manes.16G020600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2272099:2280737:-1 gene:Manes.16G020600.v8.1 transcript:Manes.16G020600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKREFAREDDAQPEQPESKRIRSDADGSDSPKIQPCRNSVVLNPADCNLDFDIGDDGLKGSALHEEGFAYCWSGARANFGITGGKYCFGCKVTSAQPVNMEDTPPDQQHLCRIGISRGDGAVGSLGETLHGFGLGGTGKFSTAGKFSDYGEKFGVGDTIVCAVDLESKPLASIGFAKNGKWLGIAKEFDAGPRGLGVLDSPIKELQWESALFPHVLLKNVVVQLHFSVEDGLVPEEGYKPWASALEDGNAIMGPVFPDIRDCEVMMMVGLPASGKTTWADKWVKEHPEKRYVLLGTNLILDQMKVPGLLRKHNYGERFDHLISRANAIFDILLSRAARTPHNYVIDQTNVFKSARKRKLRPFAKFHKIAVVVFPKPEELKFRADRRYKEMGKEVPADAVNNMLANYVLPMSKDMPGSDEFFDQVIFAELGRVEAQIYLEDMKHGLNTNSAPYYQETSVASSMGPSVQNQGVGGGNWGSSDPPHALGPRHLLNYGHQMANQVQTLYQGQGYCSGAYQSYQYHSVSRAPAPYSSNENFGPPHNGYLRSYGAIPADPYQSYGPVDRCSRPNLDVKSTAIYAAPTFDAYRNTSIEASQVGTMRSPSFTNAGGPQSVQAPIAPTYAPSACPPYGSHYGTSTRPMSTLSTSSPRPPYRNMPNVLQHPDGYNPRCTSKKYQKERKEVLGA >Manes.16G020600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2271247:2280925:-1 gene:Manes.16G020600.v8.1 transcript:Manes.16G020600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKREFAREDDAQPEQPESKRIRSDADGSDSPKIQPCRNSVVLNPADCNLDFDIGDDGLKGSALHEEGFAYCWSGARANFGITGGKYCFGCKVTSAQPVNMEDTPPDQQHLCRIGISRGDGAVGSLGETLHGFGLGGTGKFSTAGKFSDYGEKFGVGDTIVCAVDLESKPLASIGFAKNGKWLGIAKEFDAGPRGLGVLDSPIKELQWESALFPHVLLKNVVVQLHFSVEDGLVPEEGYKPWASALEDGNAIMGPVFPDIRDCEVMMMVGLPASGKTTWADKWVKEHPEKRYVLLGTNLILDQMKVPGLLRKHNYGERFDHLISRANAIFDILLSRAARTPHNYVIDQTNVFKSARKRKLRPFAKFHKIAVVVFPKPEELKFRADRRYKEMGKEVPADAVNNMLANYVLPMSKDMPGSDEFFDQVIFAELGRVEAQIYLEDMKHGLNTNSAPYYQETSVASSMGPSVQNQGVGGGNWGSSDPPHALGPRHLLNYGHQMANQVQTLYQGQGYCSGAYQSYQYHSVSRAPAPYSSNENFGPPHNGYLRSYGAIPADPYQSYGPVDRCSRPNLDVKSTAIYAAPTFDAYRNTSIEASQVGTMRSPSFTNAGGPQSVQAPIAPTYAPSACPPYGSHYGTSTRPMSTLSTSSPRPPYRNMPNVLQHPDGYNPRCTRYY >Manes.16G020600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2272081:2280738:-1 gene:Manes.16G020600.v8.1 transcript:Manes.16G020600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKREFAREDDAQPEQPESKRIRSDADGSDSPKIQPCRNSVVLNPADCNLDFDIGDDGLKGSALHEEGFAYCWSGARANFGITGGKYCFGCKVTSAQPVNMEDTPPDQQHLCRIGISRGDGAVGSLGETLHGFGLGGTGKFSTAGKFSDYGEKFGVGDTIVCAVDLESKPLASIGFAKNGKWLGIAKEFDAGPRGLGVLDSPIKELQWESALFPHVLLKNVVVQLHFSVEDGLVPEEGYKPWASALEDGNAIMGPVFPDIRDCEVMMMVGLPASGKTTWADKWVKEHPEKRYVLLGTNLILDQMKVPGLLRKHNYGERFDHLISRANAIFDILLSRAARTPHNYVIDQTNVFKSARKRKLRPFAKFHKIAVVVFPKPEELKFRADRRYKEMGKEVPADAVNNMLANYVLPMSKDMPGSDEFFDQVIFAELGRVEAQIYLEDMKHGLNTNSAPYYQETSVASSMGPSVQNQGVGGGNWGSSDPPHALGPRHLLNYGHQMANQQVQTLYQGQGYCSGAYQSYQYHSVSRAPAPYSSNENFGPPHNGYLRSYGAIPADPYQSYGPVDRCSRPNLDVKSTAIYAAPTFDAYRNTSIEASQVGTMRSPSFTNAGGPQSVQAPIAPTYAPSACPPYGSYPTIF >Manes.11G055400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7379209:7380701:1 gene:Manes.11G055400.v8.1 transcript:Manes.11G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANTAKCLLLGVVILWIQIHGNKGCFEEERLVLLDFKAFVGSNGFDADHLLPSWIDDPTSNCCQWERVMCNSTTGHVTELSLNNTRQYDMESYSFYNDENIWYVNLSMFQQLKELKTLNLSYNHFDCSIDDQGCESLSKLKKLEVLDLTWNRFNNIILPSLGTLSSLKTLILNRNRMEGCFPIQGMFDL >Manes.12G059800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5131045:5135530:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5130496:5135659:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5131045:5135530:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5130496:5135659:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5131045:5135530:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5130496:5135659:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5131045:5135530:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5130496:5135659:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5131045:5135530:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.12G059800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5130496:5135659:-1 gene:Manes.12G059800.v8.1 transcript:Manes.12G059800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNQAFDSDDNGRCFHDYDRHEFADNELSKNVGLCSDEVDSVIEQSNENLLSTSDIVEPYFGMEFNSRDDAREFYIAYGRHIGFIVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYICRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFIKEHNHPLMSPDKVPWRGSAKNLFSEDEKDRKIRELTSELNNEKQRCKRRCAAYQEQLQMVLSYIEEHTNHLSSKVQDVVNNVKKLENELLDDSGCKYA >Manes.03G134000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26321052:26326828:-1 gene:Manes.03G134000.v8.1 transcript:Manes.03G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADSPNVNTDTDLVHTNQNPNPNSSNALAPESSSPAVCLLRFAGDSAGGAFMGSIFGYGSGLIKKQGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALAHSFSVKHRCRHCDAPGALALPGDYHNAPHPLALPLSVPLPNELKVAFSFFCKSLGNPSINFPTASDKRMEA >Manes.03G134000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26323246:26325013:-1 gene:Manes.03G134000.v8.1 transcript:Manes.03G134000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGNSCSGILHSCHCRFLIIYYDQRIASWKLYVEVNYLCGSGLIKKQGFKGSFVEAGSYAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALAHSFSVKHRCRHCDAPGALALPGDYHNAPHPLALPLSVPLPNELKVAFSFFCKSLGNPSINFPTASDKRMEA >Manes.06G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20620446:20628805:1 gene:Manes.06G070200.v8.1 transcript:Manes.06G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTDPSSRKHRRSPPDDEVEKSSKRHKHRHHRHHRSHRSKKHGEESNHAVDETARSSPPMNPIAGTANNRLDDDVEEGEILEEEVSGGVVVKAIELREEKVGSQNLGEHPYCIANGLTDSCNSSKFESKLNLPKGPMVEIQDELFLREELEVRTNGDLDYEYHKAGKKHHAESVFCNGSNKRKSYRHVDTPEGDESKLGDWGKSSSPECGGGKNHTETGSASHDMSHDDVIARSRSLSHDFTGERSHSHSIMEEEVLLKRGRHTGKLETFIDDERMSRRDRDLLHSSKDLEREHRTSYSRSLGGGDRHRSRDACGREVSREKDNWEWRKNQERERSWDRDQRREKDGKRSRDRDLRREMEQENRDWDGRREKERERSRDRDVRREKEKERSRDRDVRREKERERSRDKDVRREKEIERRTDQDMRREMERERIRDREMDRDRKREKQRGRSLERDRKRDMEEDLDKRRQKEGDKHIGKDVARSSDIHSDWEKEREKDSERYRERDRIKDQDRKRERERRSDRNNNKARDIKSDSQKVYNSHGDPLGDRDKLNRDQDEQDDFEERISIQLAEQEDDLDRVKEESRKRSQAILEKHRNKQLQQQNESQSDDVEKDVEPAQSPIQSLLASNVAPETLDTRIDIDIYVAEPSFSVGKLPPQNGAEASERCSVAVGLGEGTPKSERSEERYCDDIFGETPAGIRKLDKGDGLPIIRNGLHDNWDDSDGYYGYRFGEILDGRYEIVAAHGKGVFSTVVRAKDLKAGVGEPEEVAIKIIRNNETMQRAGQSEVKILNKLADLDKDNKRHCVRFLSNFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFSGKNEITPYLVSRFYRAPEVILGLPYDHPMDVWSVGCCLYELFTGKVLFPGTTNNEMLRLHMELKGTFPKKMLKKGAFVDQHFDQDLHFHATEEDPVTKKTVKRMILNIKPKDIGSVVTSSPGEDLKMLANFRDILDKIFVLDPEKRMTVHQAQLHPFITVVAVVEIRGGDVWSGVLAVLSWEIYCFYCKTTCQRILPLPLRQPSSA >Manes.13G010602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1439671:1440970:1 gene:Manes.13G010602.v8.1 transcript:Manes.13G010602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKVEKFEKNITKRGAVPETTTKKGKDYPVGPVLLGFFVFVVIGSSLFQIIRTATSGGMA >Manes.11G107600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24932177:24951702:-1 gene:Manes.11G107600.v8.1 transcript:Manes.11G107600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKKGANKAKAKNQLSLGDLVLAKVKGFPAWPAKISRPEDWDRAPDPKKYFVQFFGTEEIAFVAPTDIQVFTSELMNKLSARCQGKTKYFAQAVKEISAAFQELQKEKSSGIRGTDRAARGCDAASVDGIEDEMVLESNNDLGTSGTKRETGDEEGDFGSKLKHCSHRLSQTEYDLRPSVSADVNDNSFISLEEKVKISNGEQMQVVLSTSCLDDPSHAKDGESGDVHEDVTCAKSPGIAERAWANGHKSKSMAMETKRKPEVAIKGHKNNSPGSCVTFLPDNGKEEKDGMKEKNASGGTISGFSPDAIKPVSEDVKSDFEIRQKKANEHEKGKKSSMVPDCMQENILNSIDEISDKKKRAQSALGKASEALHPVKRPKHLGVGDAAAKESITESVRSDSPSSNAVNQSKSQEKREILLALGAQTGKGKFGGSAQVAKNKSDVSSRTGKVKPDVSIQMCKAKSDVATLAGKVKSDASAQMSKAKSDVSAQAGKVKSDVSSDEAVLPVSKRRRRAMEAMSDSASLNSNDKTEKGSVELKIDSTSNNARVPVNQVPKRRRAVCLYDDDDDEGEEPKTPIHGGSTKSDRALPSVLDTSTRTDPCFVGSINNQQGSSVNVQASVEGSVRFEKSSSRELSSQLRDESLSPSRLKSVKRPDTCDSKLMLSSPKRSPHSLPVTRPAVEQHKASKPLSKASNGSSLKKAQSGSTKVLGLVPDSLHSSQNNVMSQRNRPSISGERPKNTPKARMSEPAVLTEPSTEQEGGTEGRDIALVDSKTPDSVMSMKHLIAAAQAKRREAHSQHFSLGNHNSFISISDPQGISPTPSSVQPFLSGTSTALHIDLQGFQQRTNVVSPSTHGHQSASHNQVEAEEIDEQRVSSGHRGVGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLETEPSSHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQAALPRLLGGAAPPGTGSRENRRQCLKVLRLWLERKILPDSVLRRCMDDIGGSHDDSSAGLSLRRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFLCSNVFEDEDEEDGLPGSSLKEDGDVPSLEETPRTLVESETCTVTPNDRRHCILEDVDGELEMEDVSGHQKDEKQLFTSSFEVDAPQHCSDAFLEPAVTESIELPPLPEGSPPLPPDSPPPPPPLPPSPPPLPPPPPPTSPSPPPPPPSQPPPPPVPPLGPPQSLAPQQLALTQPTLVSQPILPSVSSFQSSPQLAYPQTVTHEYCSTSSGNQLAQMSGNIHGNHMDAGVKNELFTQQSPRFTPTAVCSSREPSGYNPSRQLDYGHNDLYSNNQPSQQNPHFQTGNVPFSQRPLHPALPQTASGQFSFAKPAIQQHPQPAMQQHPQPMQQHPQHPFPHPYAIHSHPDERRRVVGDELWRMPSSEFNIDNQHGTWMSGRNPSHSAPSFGQEGYFRPPLERPPTNNMGFQPNNLPAGAPIPGHGVSHMVPCRPDMSALNCWRPA >Manes.11G107600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24930931:24951702:-1 gene:Manes.11G107600.v8.1 transcript:Manes.11G107600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKKGANKAKAKNQLSLGDLVLAKVKGFPAWPAKISRPEDWDRAPDPKKYFVQFFGTEEIAFVAPTDIQVFTSELMNKLSARCQGKTKYFAQAVKEISAAFQELQKEKSSGIRGTDRAARGCDAASVDGIEDEMVLESNNDLGTSGTKRETGDEEGDFGSKLKHCSHRLSQTEYDLRPSVSADVNDNSFISLEEKVKISNGEQMQVVLSTSCLDDPSHAKDGESGDVHEDVTCAKSPGIAERAWANGHKSKSMAMETKRKPEVAIKGHKNNSPGSCVTFLPDNGKEEKDGMKEKNASGGTISGFSPDAIKPVSEDVKSDFEIRQKKANEHEKGKKSSMVPDCMQENILNSIDEISDKKKRAQSALGKASEALHPVKRPKHLGVGDAAAKESITESVRSDSPSSNAVNQSKSQEKREILLALGAQTGKGKFGGSAQVAKNKSDVSSRTGKVKPDVSIQMCKAKSDVATLAGKVKSDASAQMSKAKSDVSAQAGKVKSDVSSDEAVLPVSKRRRRAMEAMSDSASLNSNDKTEKGSVELKIDSTSNNARVPVNQVPKRRRAVCLYDDDDDEGEEPKTPIHGGSTKSDRALPSVLDTSTRTDPCFVGSINNQQGSSVNVQASVEGSVRFEKSSSRELSSQLRDESLSPSRLKSVKRPDTCDSKLMLSSPKRSPHSLPVTRPAVEQHKASKPLSKASNGSSLKKAQSGSTKVLGLVPDSLHSSQNNVMSQRNRPSISGERPKNTPKARMSEPAVLTEPSTEQEGGTEGRDIALVDSKTPDSVMSMKHLIAAAQAKRREAHSQHFSLGNHNSFISISDPQGISPTPSSVQPFLSGTSTALHIDLQGFQQRTNVVSPSTHGHQSASHNQVEAEEIDEQRVSSGHRGVGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLETEPSSHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQAALPRLLGGAAPPGTGSRENRRQCLKVLRLWLERKILPDSVLRRCMDDIGGSHDDSSAGLSLRRPSRAERAVDDPIREMEGMLVDEYGSNATFQLPGFLCSNVFEDEDEEDGLPGSSLKEDGDVPSLEETPRTLVESETCTVTPNDRRHCILEDVDGELEMEDVSGHQKDEKQLFTSSFEVDAPQHCSDAFLEPAVTESIELPPLPEGSPPLPPDSPPPPPPLPPSPPPLPPPPPPTSPSPPPPPPSQPPPPPVPPLGPPQSLAPQQLALTQPTLVSQPILPSVSSFQSSPQLAYPQTVTHEYCSTSSGNQLAQMSGNIHGNHMDAGVKNELFTQQSPRFTPTAVCSSREPSGYNPSRQLDYGHNDLYSNNQPSQQNPHFQTGNVPFSQRPLHPALPQTASGQFSFAKPAIQQHPQPAMQQHPQPMQQHPQHPFPHPYAIHSHPDERRRVVGDELWRMPSSEFNIDNQHGTWMSGRNPSHSAPSFGQEGYFRPPLERPPTNNMGFQPNNLPAGAPIPGHGVSHMVPCRPDMSALNCWRPA >Manes.13G150100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36563186:36575471:1 gene:Manes.13G150100.v8.1 transcript:Manes.13G150100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSNPFDEEEVNPFADQATKKGSGQSNYGGGSFYMTNPGSVPPATSRLSPLPPEPYDRGATVDIPLDSGKDMRAKEKELQAKEAELKKREQELKRREDAISRAGIVIEEKNWPPYFPIIHHDISTEIPIHLQRMQYVAFTTLLGLFVCLLWNIVAVTTAWIKGEGPTIWFLAIIYFISGVPGAYFMWYRPLYRAMRTDSALKFGWFFLSYLIHIGFCIFAAVAPPIIFKGKSLAGILPAIDLLGNSALVGIFYFIGFGFFCVESLLSIWVIQQVYMYFRGSGKAAEIKREAATRTMMAAL >Manes.07G009964.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1266117:1269439:1 gene:Manes.07G009964.v8.1 transcript:Manes.07G009964.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASKLSLQLTGMFIFFLISLLALMKPAMTDDADKIPTDFNRSYFPDDFIFGTATSAYQIEGAANISGKGPSVWDTFTHEYPERIRDKSNGDIAVDFYHRYQEDIQNVKNMGFNAFRFSIAWSRVIPSGKRREGVNEEGIEFYNRVINETIKQGLQPFVTIFHWDTPQALEDKYGGFLSRNIVRDYREYADLLFERFGDRVKHWMTFNEPWALSGFAYDDGLFAPGRCSSWVNNQCRAGNSATEPYIVAHNLLLSHSAAVHIYRKNYQKTQNGKIGITLFTFWFEPLSNRAADIKASKTAMDFMFGLWMDPLTYGRYPRTVQDLVGDKLLSFTEEETQLLRGSYDFIGLQYYTSYYAKPNASIDSDRIRYKTDSNISETPYDYEGNLIGPQAYSPWFYIYPKGIRHLLNYTKDRYNNPVIYITENGVDNLNDENQPIEEALKDEFRVDYYRKHIWNTLGSLKEYNVNVKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRIAKESAIWFTKFLNPSN >Manes.05G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5101267:5107593:-1 gene:Manes.05G060700.v8.1 transcript:Manes.05G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLHLSTTISTSFHRSSSFFSKEPRFPLGHGVRCNLVEPLKFENGKPCIQLLSPSEQSFPSFLASKSHLGNAIPSRHDTRLRIFSGTANPALSQEIASYMGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTITKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSGGFEPCSSLGID >Manes.08G147400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38323034:38324455:-1 gene:Manes.08G147400.v8.1 transcript:Manes.08G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFTSYVDLKKEAMKDLEAGPDADLEMQNATNTMDRNLSLFLEEAETAKKEMESIREILVRLQESNEESKSLHKPESLKSLRNKINTDIVTVLKKARAIKSQLEEMDRANAANKRLSGYKEGTPIYRTRLAVTNGLRKKLKDLMMDFQGLRQKMMTEHKETVERRYFTVTGEYPDEEIIDKIISDENGGEEFLKRAVQEHGKGRVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAVMVEAQGEQLDDIEHHVLNASHYVKDGAKELKSAKDYQRSSRKWMCIGIILLLLIILVIVIPVATSFSHS >Manes.02G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:658896:662540:-1 gene:Manes.02G006000.v8.1 transcript:Manes.02G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWRSRGVVVSAIVLFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMVLTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAALEKRQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKERIDARNGLETYVYNMKNQINDKDKLADKLESDEKEKVETAVKEALEWLDDNQNAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGESAEDDDSHDEL >Manes.08G012500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1411563:1411820:-1 gene:Manes.08G012500.v8.1 transcript:Manes.08G012500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLAAFLFLLVMANFSFAINRRMMMNGGEKSASVMEIKQNDNNSEVKKNSDVGGEPELINNHHNIPRQAFGGYDNNQDAPPGTP >Manes.08G012500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1405458:1411930:-1 gene:Manes.08G012500.v8.1 transcript:Manes.08G012500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLAAFLFLLVMANFSFAINRRMMMNGGEKSASVMEIKQNDNNSEVKKNSDVGGEPELINNHHNIPRQAFGGYDNNQDAPPGTP >Manes.05G189200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31145375:31163951:1 gene:Manes.05G189200.v8.1 transcript:Manes.05G189200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRSSLPSRLRQLFSGESVIGPSIKLDSEPPPKIKAFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSTRNDLLLSDSVSENDSPFPKQAVLQILRVMQIILENCHAKSSFDGIEHFKLLLASTDPEVLIATLETLSALVKINPSKLHGSGKLVGCGSVNSYLLSLAQGWGSKEEGLGLYSCVMTNERSQEEGLCLFPSEVENDHDKSQYRIGSTLYFELHGSGAESTREISDNANSSSLRVIHIPDLHLRKEDDLLLMKQCIEEYNVPPDLRFSLLTRIRYARAFRSPRVCRMYSRICLLAFIVLVQSSDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYSASHERARILSGSSISFAVGNRMILLNVLQRAVLSLRNSNDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDSEPNHMHLVYLAVKALQKLMDYSNSAVSLLRELGGVELLAQRLQIEVHRIIGLAGENENSMVIAECSRYTDEHIYCQKRLIKVLLKALGSATYAPTNNTRSLNSHDSSLPSTLSLIYGNADKFGGDIYYSAVTVMSEIIHKDPTCFPALHEMGLPDAFLSSVVAGLLPSSKALTCVPNGLGAICLNAKGLEAVKETSALHFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRGTSVDIIIEIIDRIASFGDNSFAGSSGKISGNTEMEMDSADKENDEHCCLVDEGDLGAEGVSNEQFIQLCIFHLMVLLHRTMENSETCRLFVEKLGIESLLKLLLRPSIVQSSEGMSIALHSTMVFKGFTQHHSATLARAFCSSLRDHLKKALTGLGANSGSFLLDPRITPDGEIFSSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGSVHREVLWQIALLEDAKLEVEEDGTGSGSGSGSELQQSELNANETEEQRFNSLRQFLDPLLRRRTSGWSIESQFFDLINLYRDLGGAIWVPQRSSADSPSNRFGSIHHSHHSETSDAAGTSSKKEYDKQRSYYTSCCDMVRSLSFHITRLFQELGKAMLLPSRRRDDTVNVSPSSKVVAGTFASISLGHMNFGGHASPGSEISISTKCRYFGKVIDFIDGILLDRPDSCNPILLNCLYGHGVVQSVLTTFEATSQLLFAVNRAPASPMETDDENVKQNDKEDADHSWIYGPLASYGKLMDHLVTSSFILSPFTKHLLAQPLANGALPFPRDAETFVKVLQSMVLKAVLPVWTHPQLTDCSSDFISTFLSIIRHVYSGVEVKNVNNTPSARITGPPPNETAISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSESDTKEDDVNANGQQLEEEMVQLPPVDELLSTCVKLLQVKEPLAFPVHDLLVLICSQSDGQYRSTVISFILDQVKDRNLTSDGRNSTMLSALFHVLALILHEDALARDIALKDGLVKIVSDLLAQWNNALVDKENHQVPKWVTTAFLAIDRLLQVDQKLNSEIFERLKSDDISSKQTSISIDEDKQNRLQSALRSPTEKIEAEEQKRLIRIACHCIKKQLPSETMHAVLQLCSTLTRSHFIAVCFLEAEGVSSLLNLPTSSLFPGFDNIAATIIRHVLEDPQTLQQAMESEIKHSLVAAANRHSNGRVTPRNFLLNLSSVISRDPMIFIQAAKSVCQVEMVGDRPYIVLLKDREKDKSKEKDKEKDKALEKDKLHTNDGKATLGNTNTLVSGNVHGKLHDLNSKSAKVHRKSPQSFITVIELLLDVVSSFVPPSKDDAVVGASSTDMDVDFAAIKGKGKAIATVPEDNETSSQEASAVLAKIVFILKLLTEIVLMYSSSVHVLLRRDAEVSSCRVPHVRGSTGLCTGGIFHHILHKFIPYSRILKKERKLDGDWRHKLATRASQLLVASCVRSTEARKRVFTDISCIFSDFVDSCNVSRPPTIDMQTYIDLLNDVLAARTPTGSYISPEASATFIDVGLVKSLTRTLEVLDMDHSDSPKLVTALIKALELVTKEHVNTVESNTGKSENSTKPPVQSQLGRAENIVDISQSTETVPQSNHDSVSVDHVEPFNEVQNFGRSEAVADDMEHDQDLDGGFAPANEDDYMQETSEEIRGLENGMDTVGIRFEIQPHGQETLDEDEMSGDDGDEADEDEDDDEDDEDDEEHNDLEEDEVHHLPHPDTDQDDHDIDDDEFEEELLEEEDEDEEEDEDGVILRLEEGINGINVFDHIEVFGREHSSPNETLHVMPVEVFGSRRQGRTTSIYSLLGRSGDSAAPSRHPLLVGPSTLHSASGRQSDNARDVVLSDRNLESTSSQLDSIFRSLRNGRHAHRMNLWSDDNPQSGGSSASVPQGLEELLVTQLRRPAPEKSSDQNTSTAEPKSNGEAGQLPGPGAAQPDTPVENNVNNGSSNVPPLSSVAVGRSGNIEMRPVISDSHSHSQSVEMQFEQNDATVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSADRMHLDSQASRMRRTNVSFGNATAVSGRDASLHSVTEVSENSSREAEQDGPAVEQQISGEAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEPQNTGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRTLFGMYPRSRRGESSRRGEGIGYSLERAGVASRRSMTAKLVEADGSPLVETESLQAMIRVLRIVQPLYKGPLQRLLLNLCAHGETRTALVKILMDMLMLDTKPANYLNASEPSYRLYACQSNVMYSRPQSFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFRLPLSALQQHEKNFDQSRGKAVMMVEEYGTDVKQFHEGHISIALLLSLLNQPLYSRSIAHLEQLLNLLEVIIDSAECKPSLSDKSGAAIEQPSAPQNSSSDSKTNMEVGSASSGVAASSSVAIDSSKTMAPGANNECDAQSVLLNLPQAELRLLCSFLAREGLSDNAYTLVAEVMKKLVAIAPTHCHLFITELADAVQNLTKSAMDELRLFGEEVKALLRTTSSDGAAILRVLQALSCLVASLVEKEKDQLLPEKEQSAALSQLCNINGVLEPLWLELSTCISKVESYSDSVPDLLLPRTSTSKPSGVTPPLPAGSQNILPYIESFFVMCEKLQPAQPGSSHDYGAVSEVEDVSTSAQQRTSVPVLKVDEKHVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFVDFDNKRAHFRSKIKHQHDHHQSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTEVTDHELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFNELIHRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPTAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >Manes.05G189200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31145371:31163951:1 gene:Manes.05G189200.v8.1 transcript:Manes.05G189200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRSSLPSRLRQLFSGESVIGPSIKLDSEPPPKIKAFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSTRNDLLLSDSVSENDSPFPKQAVLQILRVMQIILENCHAKSSFDGIEHFKLLLASTDPEVLIATLETLSALVKINPSKLHGSGKLVGCGSVNSYLLSLAQGWGSKEEGLGLYSCVMTNERSQEEGLCLFPSEVENDHDKSQYRIGSTLYFELHGSGAESTREISDNANSSSLRVIHIPDLHLRKEDDLLLMKQCIEEYNVPPDLRFSLLTRIRYARAFRSPRVCRMYSRICLLAFIVLVQSSDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYSASHERARILSGSSISFAVGNRMILLNVLQRAVLSLRNSNDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDSEPNHMHLVYLAVKALQKLMDYSNSAVSLLRELGGVELLAQRLQIEVHRIIGLAGENENSMVIAECSRYTDEHIYCQKRLIKVLLKALGSATYAPTNNTRSLNSHDSSLPSTLSLIYGNADKFGGDIYYSAVTVMSEIIHKDPTCFPALHEMGLPDAFLSSVVAGLLPSSKALTCVPNGLGAICLNAKGLEAVKETSALHFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRGTSVDIIIEIIDRIASFGDNSFAGSSGKISGNTEMEMDSADKENDEHCCLVDEGDLGAEGVSNEQFIQLCIFHLMVLLHRTMENSETCRLFVEKLGIESLLKLLLRPSIVQSSEGMSIALHSTMVFKGFTQHHSATLARAFCSSLRDHLKKALTGLGANSGSFLLDPRITPDGEIFSSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGSVHREVLWQIALLEDAKLEVEEDGTGSGSGSGSELQQSELNANETEEQRFNSLRQFLDPLLRRRTSGWSIESQFFDLINLYRDLGGAIWVPQRSSADSPSNRFGSIHHSHHSETSDAAGTSSKKEYDKQRSYYTSCCDMVRSLSFHITRLFQELGKAMLLPSRRRDDTVNVSPSSKVVAGTFASISLGHMNFGGHASPGSEISISTKCRYFGKVIDFIDGILLDRPDSCNPILLNCLYGHGVVQSVLTTFEATSQLLFAVNRAPASPMETDDENVKQNDKEDADHSWIYGPLASYGKLMDHLVTSSFILSPFTKHLLAQPLANGALPFPRDAETFVKVLQSMVLKAVLPVWTHPQLTDCSSDFISTFLSIIRHVYSGVEVKNVNNTPSARITGPPPNETAISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSESDTKEDDVNANGQQLEEEMVQLPPVDELLSTCVKLLQVKEPLAFPVHDLLVLICSQSDGQYRSTVISFILDQVKDRNLTSDGRNSTMLSALFHVLALILHEDALARDIALKDGLVKIVSDLLAQWNNALVDKENHQVPKWVTTAFLAIDRLLQVDQKLNSEIFERLKSDDISSKQTSISIDEDKQNRLQSALRSPTEKIEAEEQKRLIRIACHCIKKQLPSETMHAVLQLCSTLTRSHFIAVCFLEAEGVSSLLNLPTSSLFPGFDNIAATIIRHVLEDPQTLQQAMESEIKHSLVAAANRHSNGRVTPRNFLLNLSSVISRDPMIFIQAAKSVCQVEMVGDRPYIVLLKDREKDKSKEKDKEKDKALEKDKLHTNDGKATLGNTNTLVSGNVHGKLHDLNSKSAKVHRKSPQSFITVIELLLDVVSSFVPPSKDDAVVGASSTDMDVDFAAIKGKGKAIATVPEDNETSSQEASAVLAKIVFILKLLTEIVLMYSSSVHVLLRRDAEVSSCRVPHVRGSTGLCTGGIFHHILHKFIPYSRILKKERKLDGDWRHKLATRASQLLVASCVRSTEARKRVFTDISCIFSDFVDSCNVSRPPTIDMQTYIDLLNDVLAARTPTGSYISPEASATFIDVGLVKSLTRTLEVLDMDHSDSPKLVTALIKALELVTKEHVNTVESNTGKSENSTKPPVQSQLGRAENIVDISQSTETVPQSNHDSVSVDHVEPFNEVQNFGRSEAVADDMEHDQDLDGGFAPANEDDYMQETSEEIRGLENGMDTVGIRFEIQPHGQETLDEDEMSGDDGDEADEDEDDDEDDEDDEEHNDLEEDEVHHLPHPDTDQDDHDIDDDEFEEELLEEEDEDEEEDEDGVILRLEEGINGINVFDHIEVFGREHSSPNETLHVMPVEVFGSRRQGRTTSIYSLLGRSGDSAAPSRHPLLVGPSTLHSASGRQSDNARDVVLSDRNLESTSSQLDSIFRSLRNGRHAHRMNLWSDDNPQSGGSSASVPQGLEELLVTQLRRPAPEKSSDQNTSTAEPKSNGEAGQLPGPGAAQPDTPVENNVNNGSSNVPPLSSVAVGRSGNIEMRPVISDSHSHSQSVEMQFEQNDATVRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGERQGSADRMHLDSQASRMRRTNVSFGNATAVSGRDASLHSVTEVSENSSREAEQDGPAVEQQISGEAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEPQNTGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRTLFGMYPRSRRGESSRRGEGIGYSLERAGVASRRSMTAKLVEADGSPLVETESLQAMIRVLRIVQPLYKGPLQRLLLNLCAHGETRTALVKILMDMLMLDTKPANYLNASEPSYRLYACQSNVMYSRPQSFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFRLPLSALQQHEKNFDQSRGKAVMMVEEYGTDVKQFHEGHISIALLLSLLNQPLYSRSIAHLEQLLNLLEVIIDSAECKPSLSDKSGAAIEQPSAPQNSSSDSKTNMEVGSASSGVAASSSVAIDSSKTMAPGANNECDAQSVLLNLPQAELRLLCSFLAREGLSDNAYTLVAEVMKKLVAIAPTHCHLFITELADAVQNLTKSAMDELRLFGEEVKALLRTTSSDGAAILRVLQALSCLVASLVEKEKDQLLPEKEQSAALSQLCNINGVLEPLWLELSTCISKVESYSDSVPDLLLPRTSTSKPSGVTPPLPAGSQNILPYIESFFVMCEKLQPAQPGSSHDYGAVSEVEDVSTSAQQRTSVPVLKVDEKHVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFVDFDNKRAHFRSKIKHQHDHHQSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTEVTDHELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFNELIHRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPTAHTCFNQLDLPEYPSKQHLEERLLLAIHEANEGFGFG >Manes.06G088100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22430910:22433451:-1 gene:Manes.06G088100.v8.1 transcript:Manes.06G088100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKIYVIPEHEPTPMIPESDFVPVTQESDSISRITRSNSASVVRESDPPLFLEGTESKDGHVRRYSTGNVGIPYKSVKILSGYLAASVGSCHDYCNYEQRHDLETKTRVNSILETIMEGQGTGQDIGKVLTLAERKKILAVNCVSSHGSRIQKPDIAVVSKQEVPSSTKKETVLFKQLSLPIKEVDPRPRPMLPTSPSLPINGQLNQKQYGEIQENNEMGTSLVTSHGASSGREQRKLRSTNKMKNSTLDGKKVLSRATASLPALHSLKKVLTRPMASVSPKRSVKRVSSMDTKIFKSLKGVSHVKDCSVVGKAESQRSSNKSVPEKTSYVTGLNAENKAVKLTQHSDCSPSHSMLHKDKDSKHQKAISQVRLSLPSAAKILRRTRSGIHTSRSPKSPENESLRLTKEGIHVTGSSTSLASSKSNNGDNGGIFSEDPGTDINNGVVNAKVELRTRPRNGEAANTKDTNSTTRKLSFRKPKVPELHPVVSSPRRLKLRRRELIDGQIGKVGTTESTIRNTKTHVDKGDANVGKSESKKVALKHQDGNDGGCFPEDLETDIDNRVVHAKLEPRTRPKNVGAANTKYTDSSTRKLSFRKAKVLELRPVINSPRRLKFRGRKLIDFQLGNIETTESTIKNTKTHVDEGDANLGKSESKKVVLNHQDVEGKKEEQNLLNEVIEGTAGKLAESRKSKVKALVSAFETVISRQDTSINS >Manes.03G201501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31731249:31732167:1 gene:Manes.03G201501.v8.1 transcript:Manes.03G201501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAESTKDMEEFREAHWRNCNEDIQFEARPCSGLPGDDVRIPPPKRQPHPHPPPPPPPRYHKCSKSTGSAWNQKKKANTYPSSWSFSDAEVKRQRRVTKYKSYAVEGQMKASVRDSFRWFKNKWCSILHGY >Manes.04G055388.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:16462440:16465239:1 gene:Manes.04G055388.v8.1 transcript:Manes.04G055388.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKDPDETSKISLRQKTNETQRINVNLFSGQSSRPNVNASSSGLSPRQNTYLHKPMFTSSTSIINRPLSPMSSALITRPSSPQSSSQFTLLNKFSPLQPQKLITPSTFKQVVTGQSLSPTYSPTQSLQINQPEYSYKTIEDVILTIEPEYWSQNPNLNVYQLCESIFPKTHYYIPDNFAKNQSFYETILVQTNSILMYNNFDPHIKHKIRYCKVRIIRVLTISDWGQEPHKSKDISLSHGQVTKFNYYDYQTAWERTFLKQNDQLSISFFFYISDDFSYPIPYWFHQWWNKFGLDLTIIPEPIVSAQDQFFENSQLPENILLSPKWLIYSHLFHIPWIYMSEYQIKDYTLNNFQIPNLVRKHKIKWWPKTDLANCGPKAVDHFFNSQPQYAKKLSPMQVTKQETFFARKQQMMAQMAKCVSEEEYDKLIEEIKETRSSVSSPVDLSIDNDDFFTQTEP >Manes.18G054400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4621487:4625258:1 gene:Manes.18G054400.v8.1 transcript:Manes.18G054400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPAAYHDQTYQNGIASTNSPLSVAAPSHKFVTSNATKSSPFTSTAAPFFSFNAVPFCRFLSAVFLQKRKGFRRSFYRCLIFFIVGCLLGIFLFGHVENDVRNHDFSFEIKSPHVNVQLDDGDNRSIEQDVFALNTVSLGVDTQLNYVSRFDFVPRKQLIVITPTYNRALQAYFLNRLGQVLRLVQPPVLWIVVEMKAASMETADILRKTGVIYRHVVCERNSTTEKDRGVHQRNAALEHIEKHRLDGIVYFADDDNVYSLELFESLREISRFGTWPVAMLAQSKNKAILEGPVCNQSRVVGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWQRHSSSPIRQLDTVKEGFQETTFIEQVVEDESQMEGAPAGCSRILNWHLHLDAQGLLYPRGWLFQKNLDVVLPVK >Manes.18G054400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4621487:4625248:1 gene:Manes.18G054400.v8.1 transcript:Manes.18G054400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPAAYHDQTYQNGIASTNSPLSVAAPSHKFVTSNATKSSPFTSTAAPFFSFNAVPFCRFLSAVFLQKRKGFRRSFYRCLIFFIVGCLLGIFLFGHVENDVRNHDFSFEIKSPHVNVQLDDGDNRSIEQDVFALNTVSLGVDTQLNYVSRFDFVPRKQLIVITPTYNRALQAYFLNRLGQVLRLVQPPVLWIVVEMKAASMETADILRKTGVIYRHVVCERNSTTEKDRGVHQRNAALEHIEKHRLDGIVYFADDDNVYSLELFESLREISRFGTWPVAMLAQSKNKAILEGPVCNQSRVVGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWQRHSSSPIRQLDTVKEGFQETTFIEQVVEDESQMEGAPAGCSRILNWHLHLDAQGLLYPRGWLFQKNLDVVLPVK >Manes.18G054400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4621481:4625255:1 gene:Manes.18G054400.v8.1 transcript:Manes.18G054400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPAAYHDQTYQNGIASTNSPLSVAAPSHKFVTSNATKSSPFTSTAAPFFSFNAVPFCRFLSAVFLQKRKGFRRSFYRCLIFFIVGCLLGIFLFGHVENDVRNHDFSFEIKSPHVNVQLDDGDNRSIEQDVFALNTVSLGVDTQLNYVSRFDFVPRKQLIVITPTYNRALQAYFLNRLGQVLRLVQPPVLWIVVEMKAASMETADILRKTGVIYRHVVCERNSTTEKDRGVHQRNAALEHIEKHRLDGIVYFADDDNVYSLELFESLREISRFGTWPVAMLAQSKNKAILEGPVCNQSRVVGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWQRHSSSPIRQLDTVKEGFQETTFIEQVVEDESQMEGAPAGCSRILNWHLHLDAQGLLYPRGWLFQKNLDVVLPVK >Manes.18G054400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4621481:4625292:1 gene:Manes.18G054400.v8.1 transcript:Manes.18G054400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPAAYHDQTYQNGIASTNSPLSVAAPSHKFVTSNATKSSPFTSTAAPFFSFNAVPFCRFLSAVFLQKRKGFRRSFYRCLIFFIVGCLLGIFLFGHVENDVRNHDFSFEIKSPHVNVQLDDGDNRSIEQDVFALNTVSLGVDTQLNYVSRFDFVPRKQLIVITPTYNRALQAYFLNRLGQVLRLVQPPVLWIVVEMKAASMETADILRKTGVIYRHVVCERNSTTEKDRGVHQRNAALEHIEKHRLDGIVYFADDDNVYSLELFESLREISRFGTWPVAMLAQSKNKAILEGPVCNQSRVVGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWQRHSSSPIRQLDTVKEGFQETTFIEQVVEDESQMEGAPAGCSRILNWHLHLDAQGLLYPRGWLFQKNLDVVLPVK >Manes.18G054400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4621487:4625246:1 gene:Manes.18G054400.v8.1 transcript:Manes.18G054400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLPPAAYHDQTYQNGIASTNSPLSVAAPSHKFVTSNATKSSPFTSTAAPFFSFNAVPFCRFLSAVFLQKRKGFRRSFYRCLIFFIVGCLLGIFLFGHVENDVRNHDFSFEIKSPHVNVQLDDGDNRSIEQDVFALNTVSLGVDTQLNYVSRFDFVPRKQLIVITPTYNRALQAYFLNRLGQVLRLVQPPVLWIVVEMKAASMETADILRKTGVIYRHVVCERNSTTEKDRGVHQRNAALEHIEKHRLDGIVYFADDDNVYSLELFESLREISRFGTWPVAMLAQSKNKAILEGPVCNQSRVVGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWQRHSSSPIRQLDTVKEGFQETTFIEQVVEDESQMEGAPAGCSRILNWHLHLDAQGLLYPRGWLFQKNLDVVLPVK >Manes.04G060400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21335849:21340831:-1 gene:Manes.04G060400.v8.1 transcript:Manes.04G060400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLLTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNPDGRTLLCGLHENLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAPGIVNRFNGYSEAKSGASGNQAILTESTAKTSLGRLSVSQNSESLVKETKSLARLSVSQNSDPVKESTKESKVLASTGNLPGTPQRVSLNPGVKNTMAGPITGNAAAPKRNPIKAQLAVNAPIFNKADIVPVIVPRTNMRPESVAESRKDIGIAGRTMPFSLQSKTSDYRKFPSGRDDVDQSTISIPSESPSSKSTELSTIADRNTIPIVKGSLHGLSTVERNIKDDRPIGSGKQDSNLTTELPVRYQEENYGHKGNRDVTLTDNQKGGMIDFSCRFFEQSQVLTY >Manes.04G060400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21326916:21341387:-1 gene:Manes.04G060400.v8.1 transcript:Manes.04G060400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLLTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNPDGRTLLCGLHENLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAPGIVNRFNGYSEAKSGASGNQAILTESTAKTSLGRLSVSQNSESLVKETKSLARLSVSQNSDPVKESTKESKVLASTGNLPGTPQRVSLNPGVKNTMAGPITGNAAAPKRNPIKAQLAVNAPIFNKADIVPVIVPRTNMRPESVAESRKDIGIAGRTMPFSLQSKTSDYRKFPSGRDDVDQSTISIPSESPSSKSTELSTIADRNTIPIVKGSLHGLSTVERNIKDDRPIGSGKQDSNLTTELPVRYQEENYGHKGNRDVTLTDNQKGGRMHSLVVNWEKRGRSPNYDGPTFGCFPGTSAVNMLPFNTIKQRGPAQSTENETVSADNEDAIADVMEQHDQFISSMQSRLAKLQVVYRYWERNDVKGAIGAMEKMADHGVSNLLNSIPIHCSIF >Manes.04G060400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21326916:21341387:-1 gene:Manes.04G060400.v8.1 transcript:Manes.04G060400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLLTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNPDGRTLLCGLHENLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAPGIVNRFNGYSEAKSGASGNQAILTESTAKTSLGRLSVSQNSESLVKETKSLARLSVSQNSDPVKESTKESKVLASTGNLPGTPQRVSLNPGVKNTMAGPITGNAAAPKRNPIKAQLAVNAPIFNKADIVPVIVPRTNMRPESVAESRKDIGIAGRTMPFSLQSKTSDYRKFPSGRDDVDQSTISIPSESPSSKSTELSTIADRNTIPIVKGSLHGLSTVERNIKDDRPIGSGKQDSNLTTELPVRYQEENYGHKGNRDVTLTDNQKGGRMHSLVVNWEKRGRSPNYDGPTFGCFPGTSAVNMLPFNTIKQRGPAQSTENETVSADNEDAIADVMEQHDQFISSMQSRLAKLQVVYRYWERNDVKGAIGAMEKMADHGVLADVISIVTEKTDIVTLDLCTGLLPILAGLLESDMDRHLSVSLDMLLKLVRTFGSMIYSTISASTSVGVDIEAEQRLERCNLCFVELEKVKRCLPNLVRRGGSVAKSTQELNLALQEVS >Manes.04G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21326916:21341409:-1 gene:Manes.04G060400.v8.1 transcript:Manes.04G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLLTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNPDGRTLLCGLHENLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAPGIVNRFNGYSEAKSGASGNQAILTESTAKTSLGRLSVSQNSESLVKETKSLARLSVSQNSDPVKESTKESKVLASTGNLPGTPQRVSLNPGVKNTMAGPITGNAAAPKRNPIKAQLAVNAPIFNKADIVPVIVPRTNMRPESVAESRKDIGIAGRTMPFSLQSKTSDYRKFPSGRDDVDQSTISIPSESPSSKSTELSTIADRNTIPIVKGSLHGLSTVERNIKDDRPIGSGKQDSNLTTELPVRYQEENYGHKGNRDVTLTDNQKGGRMHSLVVNWEKRGRSPNYDGPTFGCFPGTSAVNMLPFNTIKQRGPAQSTENETVSADNEDAIADVMEQHDQFISSMQSRLAKLQVVYRYWERNDVKGAIGAMEKMADHGVLADVISIVTEKTDIVTLDLCTGLLPILAGLLESDMDRHLSVSLDMLLKLVRTFGSMIYSTISASTSVGVDIEAEQRLERCNLCFVELEKVKRCLPNLVRRGGSVAKSTQELNLALQEVS >Manes.04G060400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21326916:21341409:-1 gene:Manes.04G060400.v8.1 transcript:Manes.04G060400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLLTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNPDGRTLLCGLHENLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAPGIVNRFNGYSEAKSGASGNQAILTESTAKTSLGRLSVSQNSESLVKETKSLARLSVSQNSDPVKESTKESKVLASTGNLPGTPQRVSLNPGVKNTMAGPITGNAAAPKRNPIKAQLAVNAPIFNKADIVPVIVPRTNMRPESVAESRKDIGIAGRTMPFSLQSKTSDYRKFPSGRDDVDQSTISIPSESPSSKSTELSTIADRNTIPIVKGSLHGLSTVERNIKDDRPIGSGKQDSNLTTELPVRYQEENYGHKGNRDVTLTDNQKGGRMHSLVVNWEKRGRSPNYDGPTFGCFPGTSAVNMLPFNTIKQRGPAQSTENETVSADNEDAIADVMEQHDQFISSMQSRLAKLQVVYRYWERNDVKGAIGAMEKMADHGVSNLLNSIPIHCSIF >Manes.11G139600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30497851:30506731:-1 gene:Manes.11G139600.v8.1 transcript:Manes.11G139600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCTEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDSEGRCPACRVPYDKEKIVGMAASCGRLVAEINIERKKSQKAKIKPSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGAIQQFPNNTCSVYITYSKEDEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWRSGSTLPPPVDDYSSNSSASVAKPIVKSTSNNIVSTGKGSPPNGSSGRSIALPAAASWGMRASSQPQAASLTSSNGSTKPKLDTVNGMLGFPAAVASANHASTLHSDMGKRAIWSEDGQTTNGKGKQDPLISGKQNGEDFPANVPEKPAIIDAIVDVTSTNKSSSPPSNHDWGSALVSNGKNSAHVVPSSGSEKLGIAATDKEPVKFDNNGRSEVYDATRNGSPLTDDSVIKSPLSPRNRGLQQSYTNQCKESLGAEKMYGPRELQPDCRTDPQIQSIINTVSEAEEDIISFDNQRLKDPEVVSHTSYQPNSANISNHSRSHSSQHSEPFAAVNLTADPLFMDNRVGDHTLIHASSNAVLSNGYPEKLLSSSAGFDRNMEHSFSLPNEWEGEHKGRFQGDATAWDVGENSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNSSQSRFSFARQEESRNQAVDVDSSFSVFGKLTKNLSLNQEFSEERNSYLDKVGMGNGFSTCNFEESENFTTVPSVLNSNKPSVSRAQISAPPGFSVPNRAPPPGFSSHERMDHIFDPISGNHMLDSSSMLRNSYQPPSTGYISSGGDIEFMDPAILAVGKGRLQGGLNNPGFDMRANFPQQPGAFENEARLQLLMQRSLPPHQNLRFAEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNALLSNGHWDGLNEVQGGNNLGVAELLRNERLGLNKFYNAAYDDSKFQMPSSGDLYNRTLRW >Manes.11G139600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30497851:30506731:-1 gene:Manes.11G139600.v8.1 transcript:Manes.11G139600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCTEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDSEGRCPACRVPYDKEKIVGMAASCGRLVAEINIERKKSQKAKIKPSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGAIQQFPNNTCSVYITYSKEDEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWRSGSTLPPPVDDYSSNSSASVAKPIVKSTSNNIVSTGKGSPPNGSSGRSIALPAAASWGMRASSQPQAASLTSSNGSTKPKLDTVNGMLGFPAAVASANHASTLHSDMGKRAIWSEDGQTTNGKGKQDPLISGKQNGEDFPANVPEKPAIIDAIVDVTSTNKSSSPPSNHDWGSALVSNGKNSAHVVPSSGSEKLGIAATDKEPVKFDNNGRSEVYDATRNGSPLTDDSVIKSPLSPRNRGLQQSYTNQCKESLGAEKMYGPRELQPDCRTDPQIQSIINTVSEAEEDIISFDNQRLKDPEVVSHTSYQPNSANISNHSRSHSSQHSEPFAAVNLTADPLFMDNRVGDHTLIHASSNAVLSNGYPEKLLSSSAGFDRNMEHSFSLPNEWEGEHKGRFQGDATAWDVGENSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNSSQSRFSFARQEESRNQAVDVDSSFSVFGKLTKNLSLNQEFSEERNSYLDKVGMGNGFSTCNFEESENFTTVPSVLNSNKPSVSRAQISAPPGFSVPNRAPPPGFSSHERMDHIFDPISGNHMLDSSSMLRNSYQPPSTGYISSGGDIEFMDPAILAVGKGRLQGGLNNPGFDMRANFPQQPGAFENEARLQLLMQRSLPPHQNLRFAEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNALLSNGHWDGLNEVQGGNNLGVAELLRNERLGLNKFYNAAYDDSKFQMPSSGDLYNRTLRW >Manes.11G139600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30497851:30506731:-1 gene:Manes.11G139600.v8.1 transcript:Manes.11G139600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCTEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDSEGRCPACRVPYDKEKIVGMAASCGRLVAEINIERKKSQKAKIKPSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGAIQQFPNNTCSVYITYSKEDEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRVQQITGATNNMLWRSGSTLPPPVDDYSSNSSASVAKPIVKSTSNNIVSTGKGSPPNGSSGRSIALPAAASWGMRASSQPQAASLTSSNGSTKPKLDTVNGMLGFPAAVASANHASTLHSDMGKRAIWSEDGQTTNGKGKQDPLISGKQNGEDFPANVPEKPAIIDAIVDVTSTNKSSSPPSNHDWGSALVSNGKNSAHVVPSSGSEKLGIAATDKEPVKFDNNGRSEVYDATRNGSPLTDDSVIKSPLSPRNRGLQQSYTNQCKESLGAEKMYGPRELQPDCRTDPQIQSIINTVSEAEEDIISFDNQRLKDPEVVSHTSYQPNSANISNHSRSHSSQHSEPFAAVNLTADPLFMDNRVGDHTLIHASSNAVLSNGYPEKLLSSSAGFDRNMEHSFSLPNEWEGEHKGRFQGDATAWDVGENSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNSSQSRFSFARQEESRNQAVDVDSSFSVFGKLTKNLSLNQEFSEERNSYLDKVGMGNGFSTCNFEESENFTTVPSVLNSNKPSAVSRAQISAPPGFSVPNRAPPPGFSSHERMDHIFDPISGNHMLDSSSMLRNSYQPPSTGYISSGGDIEFMDPAILAVGKGRLQGGLNNPGFDMRANFPQQPGAFENEARLQLLMQRSLPPHQNLRFAEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNALLSNGHWDGLNEVQGGNNLGVAELLRNERLGLNKFYNAAYDDSKFQMPSSGDLYNRTLRW >Manes.11G139600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30497851:30506731:-1 gene:Manes.11G139600.v8.1 transcript:Manes.11G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEGEKTCPLCTEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDSEGRCPACRVPYDKEKIVGMAASCGRLVAEINIERKKSQKAKIKPSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFGQYGKVLKVSMSRTAAGAIQQFPNNTCSVYITYSKEDEAIRCIQSVHGFVLDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMLWRSGSTLPPPVDDYSSNSSASVAKPIVKSTSNNIVSTGKGSPPNGSSGRSIALPAAASWGMRASSQPQAASLTSSNGSTKPKLDTVNGMLGFPAAVASANHASTLHSDMGKRAIWSEDGQTTNGKGKQDPLISGKQNGEDFPANVPEKPAIIDAIVDVTSTNKSSSPPSNHDWGSALVSNGKNSAHVVPSSGSEKLGIAATDKEPVKFDNNGRSEVYDATRNGSPLTDDSVIKSPLSPRNRGLQQSYTNQCKESLGAEKMYGPRELQPDCRTDPQIQSIINTVSEAEEDIISFDNQRLKDPEVVSHTSYQPNSANISNHSRSHSSQHSEPFAAVNLTADPLFMDNRVGDHTLIHASSNAVLSNGYPEKLLSSSAGFDRNMEHSFSLPNEWEGEHKGRFQGDATAWDVGENSIISNILSLDLDGWDDPLTSPQNLAKLLGETDKQPSSLKMSGSWKVQNSSQSRFSFARQEESRNQAVDVDSSFSVFGKLTKNLSLNQEFSEERNSYLDKVGMGNGFSTCNFEESENFTTVPSVLNSNKPSAVSRAQISAPPGFSVPNRAPPPGFSSHERMDHIFDPISGNHMLDSSSMLRNSYQPPSTGYISSGGDIEFMDPAILAVGKGRLQGGLNNPGFDMRANFPQQPGAFENEARLQLLMQRSLPPHQNLRFAEIGDSFSSLTDSYGISSRLVDQSQMSNLSPFMQMSLQHSRNALLSNGHWDGLNEVQGGNNLGVAELLRNERLGLNKFYNAAYDDSKFQMPSSGDLYNRTLRW >Manes.16G050100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13720565:13722409:-1 gene:Manes.16G050100.v8.1 transcript:Manes.16G050100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLYFFPFPSFDFLRSLFCNSSLKTPSTPPPLHHSLSLAMKNLSSIVSLSFPLSLLCLPLLAYSECTCDQDPEENDKTKTIRYKLVAISSILIASALGVTIPIFGKKISPLNPEKSFFFLIKAFSAGVILSTGFVHIFPDAYKSLTSPCLNEKPWSEFPFAGFVAMVSAVMTMMIDSFATSYYRRLHFEKALPVSGNEEMERKHEGHVHVHTHASHGHAHGSALITDASSTSSHFRHRIVSQVLELGILVHSVIIGISLGVSESINTIKPLIAALTFHQFFEGMGLGGCISQAKFKAQSMVVMVIFYSLTTPIGIGIGMGITQSYNENSPTALIVEGVLNSAAAGILIYLALVDLLAEDFMKPKFQSNFRLQLGASISVLVGMGCMSLLAKWA >Manes.05G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1025727:1031977:1 gene:Manes.05G009300.v8.1 transcript:Manes.05G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENDINGVTSSHEASTSKSHEEEKISQVNGHPEETGKRKGDEKTNSVPFHRLFSFADFTDIMLMIIGSIGAVGNGISLPLMTIFLGDMINAFGENQNNKDVLHVVSKVSLKFVYLAVGSGVASFLQVACWMVTGERQAARIRGLYLKTILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFLQLVSTFIGGFVIAFVKGWLLTIVMLSSLPLLVLAGAAMSIVMARMASQGQNAYAKAATVVEQTIGSIRIVASFTGEKQAISNYEKFLVTAYNAGVREGFFSGLGLGLFTLIIFCSYGLAIWFGGKMILEKEYTGGEVINVIIAVLTGSTSLGQASPSMTAFAAGQAAAYKMFETINRKSEIDAYDTRGKILDDIHGNIELREVYFSYPARPNEQIFNGFSLSIPTGTTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIRNKIGLVSQEPVLFTSSIRDNIAYGKDEATTEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIHHGKIVQKGSHPELLADPDGAYSQLIRLQEINQDSEHAADENKGSDISSESFRRSSQRNSLQRSISRASNGVGNSHRHSFSASFGLPTGINASEPEVSPQEKQTSEVPISRLAYLNKPEIPVLVAGSIAAIINGVIFPIFGILISRVIKAFFEPPHELRKDSKFWAIIFMIIGIVSFLACVTQLYLFSVAGAKLIQRIRSMCFEKVVHMEVGWFDEVEHSSGTIGARLSADASILRALVGDTLAQTVQNIASAVAGLVIAFSASWQLAFIILVLIPLIGINGYVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRKKCQGPLKSGIRQGLISGIGFGVSFFLLFSVYATSFYAGAQLVKHGKTTFSDVFQVFFALVMAAIGVSQSSSFAPDSSKAKNAAASIFSILDDKSKIDPSDETGITLENVRGEIELVHVSFRYPSRPDIQIFQDLCLAIHSGKTLALVGESGSGKSTVISLLQRFYDPDSGNITLDGVEIRRLQLKWLRQQMGLVSQEPILFNDTIRDNIAYGKGGNATEAEILAASELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDSLINIRDGFYASLVALHMSASTA >Manes.18G055200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4713447:4718176:-1 gene:Manes.18G055200.v8.1 transcript:Manes.18G055200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGDETQLNLAEDRLSQSGLTSQVGLVIGKLSSSLDRGFVYDLVPTPPNDAGEPACSLIETTTAATFKDDKKKGSKSKSQPADSSTLAIDRDWVAEHARQVSRMLVGGMNVVGIYVWISDSSFKNSTITLCQLLQTVKGVAEAAPIQETDYDKRLLIHISYSPRRWTCRNCILSSNITSSSIRPCDFKMGRVLSLLQTFKCTYNFKLSLPICQENTSKSSTLSAILRHGISVHAKELRNAIALIDGNLVVNEEPCSNEGLHEVELLLPFMKNTQAEACSQKDAVGVLIFSGSVCSFAYLNPKEPVSQAIADIKDDIIRSLQSRLDIICDEADEDPGPIGEDDREAIQPSEKPVSRLILCLLRKTCSLAFPRRLFIPWLAGTFICDYLQPSETPEVLKDHCVELMSMEALADASTILQPEVEAPPMVAKSFWNVGVPGHSASSFSVEKSRRIDTSGALSSTGNIKSINFKMLGAFFFLILSIFVGFLLLKRS >Manes.05G161500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27422372:27424976:1 gene:Manes.05G161500.v8.1 transcript:Manes.05G161500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASLKGRYENDRSGGAATVAFNAGDVKLRASMTDATVVNGPSLNGLVLAVEKPGFFIVDYNVPKQDFRFQFMNTVKFADKPLNLTYIHSRGDNRTVLDGALVLDSANKVSANYMLGTGNCKLKYTYVHRGATTFEPCYDLAKNSWDFAVSRKVYADDVFRATYQTSSKALGLEWSRNSKFNGNFKISASVNLDEEKKVPKLSAETTWNFEM >Manes.01G178000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35786085:35788703:1 gene:Manes.01G178000.v8.1 transcript:Manes.01G178000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQELALASFLFLITHLFIRSLLRKPSRPLPPGPKGWPLIGALPLLGTMPHATLATMAKKYGPVMYLKMGTCNMAVASTPDAARAFLKTLDLNFSNRPPNAGATHLAYDAQDMVFADYGPRWKLLRKLSNLHMLGGKALEEWAQVRSVELGHMLRAMCEASQKNEAVVVPEMLTFAMANMIGQVILSRRVFVSKGSESNEFKDMVVELMTSAGLFNIGDFIPSIGWMDLQGIERGMKKLHKKFDVLLTKMMEEHMATTNQRKGKPDFLDVIMANRENSDGERLSITNIKALLLNLFTAGTDTSSSIIEWALSEMLKNPKILKRTHDEMDQVIGRSRRLEESDIPKLPYLQAICKETFRKHPSTPLNLPRVSTVACEVNGYYIPKNTRLSVNIWAIGRDPEVWENPNDFNPERFFTQKNAKIDPRGNDFELIPFGAGRRICAGTRMGIVLVEYILGTLVHSFDWKLAKGVDELNMDEAFGLALQKAVPLSAMVSPRLAPTAYAA >Manes.11G057500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6976700:6978508:1 gene:Manes.11G057500.v8.1 transcript:Manes.11G057500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGENGLQGDPRLKAISDAIGVVPNFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMNISVVAGVEARGFLFGPSIALAIGAKFVPLRKPKKLPVFEYVGKVISEKYVLEYGKDCLEMHVGDVNRGERAIIIDDLVATGGTLSAAIRLLERVGAEVVECACVIGLPEFKGQCRLNGKPLYILVEPRQQTN >Manes.11G057500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6976700:6979254:1 gene:Manes.11G057500.v8.1 transcript:Manes.11G057500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGENGLQGDPRLKAISDAIGVVPNFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMNISVVAGVEARGFLFGPSIALAIGAKFVPLRKPKKLPGKVISEKYVLEYGKDCLEMHVGDVNRGERAIIIDDLVATGGTLSAAIRLLERVGAEVVECACVIGLPEFKGQCRLNGKPLYILVEPRQQTN >Manes.11G057500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6976700:6979254:1 gene:Manes.11G057500.v8.1 transcript:Manes.11G057500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGENGLQGDPRLKAISDAIGVVPNFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMNISVVAGVEARGFLFGPSIALAIGAKFVPLRKPKKLPGKVISEKYVLEYGKDCLEMHVGDVNRGERAIIIDDLVATGGTLSAAIRLLERVGAEVVECACVIGLPEFKGQCRLNGKPLYILVEPRQQTN >Manes.12G090900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12783665:12785637:-1 gene:Manes.12G090900.v8.1 transcript:Manes.12G090900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVIVELQRNSTNWAKAVGEIVKMERKIFPKHESLANFFSEELKKKNSGLLYLELDGEVVGYVMYSWPSSLFASITKLAVRDNYRKQGHGEALLKAAIEKCRSRKVHRISLHVDPLRTPAMSLYKKLGFQVEDLIEGYYSPGRDAYKMYLEFDSE >Manes.13G053500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6180498:6181125:1 gene:Manes.13G053500.v8.1 transcript:Manes.13G053500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRLCNLLLLLFFFILAAYVSQGSRTHEVLLLPNNPRTSLSQESHTQVGQNSRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSPYHYKCVCHR >Manes.13G053500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6179893:6182132:1 gene:Manes.13G053500.v8.1 transcript:Manes.13G053500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDTLMSGIFDWAWSGGAVSSAWKPWKLHAAGSRTHEVLLLPNNPRTSLSQESHTQVGQNSRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSPYHYKCVCHR >Manes.13G053500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6180708:6181125:1 gene:Manes.13G053500.v8.1 transcript:Manes.13G053500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHHSSIAGSRTHEVLLLPNNPRTSLSQESHTQVGQNSRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSPYHYKCVCHR >Manes.04G038800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6019124:6021424:1 gene:Manes.04G038800.v8.1 transcript:Manes.04G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISATDPVSYLVNSCGLTLKSAQTISKRVCLKTPEGPDSVLRLLREHAFTNSQISSVVKMKPIMLLLHPERILLPKLEFLYSIGVSREELSIIVSKNPELLCRSIERYLSPHYHILKSILVCDEKVIKSMKRLLKASIVLSESDFFANLSLLRGLQIPQSSISVLVTYHPMIMCVKALNFAEGVKKIIKTGFDPSEVTFVKALNVLLGMTQKTWDHKMEAFKRWGFSEEEIWSIFRKSPSAMAISETNLMRKMNFFVCKMGWQPAAVGRVPVVLAYGLESRIMPRCSVVRVLLLKGLIKDDIPIPSILTSCEKSFLQRFVNKYQDQVPQLLDIFQGKMGLTELDFDFDDKPAILY >Manes.09G139500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33877307:33880166:-1 gene:Manes.09G139500.v8.1 transcript:Manes.09G139500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQKKEDDEGDHEKDKNKEKKKKKKKKEDEAKDGGDNLDEESEVDNETRETNKKEEKQDKQDERKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEEDKGEGKKKKKEKKHNDETKDVEEKKGEEKEEKKKKKKKNVERKEKHEDVIHEGEEKKEKEKKKEVNESKSDAKVVSREIKPESEGKGENEEEEKDKAKESKEKKKDKDKKENGDKIRKNGEKDKSKGLNNLKKKLVKIDRKIETLFEKKANIFRQINEAENASSVAAEKDKDTQIADSK >Manes.09G139500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33877307:33879294:-1 gene:Manes.09G139500.v8.1 transcript:Manes.09G139500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSVSGDISLNNKGFTLKVKLFCKISQMEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQKKEDDEGDHEKDKNKEKKKKKKKKEDEAKDGGDNLDEESEVDNETRETNKKEEKQDKQDERKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEEDKGEGKKKKKEKKHNDETKDVEEKKGEEKEEKKKKKKKNVERKEKHEDVIHEGEEKKEKEKKKEVNESKSDAKVVSREIKPESEGKGENEEEEKDKAKESKEKKKDKDKKENGDKIRKNGEKDKSKGLNNLKKKLVKIDRKIETLFEKKANIFRQINEAENASSVAAEKDKDTQIADSK >Manes.09G139500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33877307:33880131:-1 gene:Manes.09G139500.v8.1 transcript:Manes.09G139500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWREREDRRGVTQQTGKPGSFSLFQPAGTRIHLHFILHLPKSQQAKLQTPLFITFFQRKNHSSIIYKRQGDISLNNKGFTLKVKLFCKISQMEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQKKEDDEGDHEKDKNKEKKKKKKKKEDEAKDGGDNLDEESEVDNETRETNKKEEKQDKQDERKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEEDKGEGKKKKKEKKHNDETKDVEEKKGEEKEEKKKKKKKNVERKEKHEDVIHEGEEKKEKEKKKEVNESKSDAKVVSREIKPESEGKGENEEEEKDKAKESKEKKKDKDKKENGDKIRKNGEKDKSKGLNNLKKKLVKIDRKIETLFEKKANIFRQINEAENASSVAAEKDKDTQIADSK >Manes.09G139500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33877307:33880166:-1 gene:Manes.09G139500.v8.1 transcript:Manes.09G139500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSVSGDISLNNKGFTLKVKLFCKISQMEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQKKEDDEGDHEKDKNKEKKKKKKKKEDEAKDGGDNLDEESEVDNETRETNKKEEKQDKQDERKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEEDKGEGKKKKKEKKHNDETKDVEEKKGEEKEEKKKKKKKNVERKEKHEDVIHEGEEKKEKEKKKEVNESKSDAKVVSREIKPESEGKGENEEEEKDKAKESKEKKKDKDKKENGDKIRKNGEKDKSKGLNNLKKKLVKIDRKIETLFEKKANIFRQINEAENASSVAAEKDKDTQIADSK >Manes.09G139500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33877307:33880166:-1 gene:Manes.09G139500.v8.1 transcript:Manes.09G139500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSVSGDISLNNKGFTLKVKLFCKISQMEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQKKEDDEGDHEKDKNKEKKKKKKKKEDEAKDGGDNLDEESEVDNETRETNKKEEKQDKQDERKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEEDKGEGKKKKKEKKHNDETKDVEEKKGEEKEEKKKKKKKNVERKEKHEDVIHEGEEKKEKEKKKEVNESKSDAKVVSREIKPESEGKGENEEEEKDKAKESKEKKKDKDKKENGDKIRKNGEKDKSKGLNNLKKKLVKIDRKIETLFEKKANIFRQINEAENASSVAAEKDKDTQIADSK >Manes.09G139500.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33877307:33879375:-1 gene:Manes.09G139500.v8.1 transcript:Manes.09G139500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQKKEDDEGDHEKDKNKEKKKKKKKKEDEAKDGGDNLDEESEVDNETRETNKKEEKQDKQDERKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEEDKGEGKKKKKEKKHNDETKDVEEKKGEEKEEKKKKKKKNVERKEKHEDVIHEGEEKKEKEKKKEVNESKSDAKVVSREIKPESEGKGENEEEEKDKAKESKEKKKDKDKKENGDKIRKNGEKDKSKGLNNLKKKLVKIDRKIETLFEKKANIFRQINEAENASSVAAEKDKDTQIADSK >Manes.09G139500.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33877307:33880166:-1 gene:Manes.09G139500.v8.1 transcript:Manes.09G139500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQKKEDDEGDHEKDKNKEKKKKKKKKEDEAKDGGDNLDEESEVDNETRETNKKEEKQDKQDERKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEEDKGEGKKKKKEKKHNDETKDVEEKKGEEKEEKKKKKKKNVERKEKHEDVIHEGEEKKEKEKKKEVNESKSDAKVVSREIKPESEGKGENEEEEKDKAKESKEKKKDKDKKENGDKIRKNGEKDKSKGLNNLKKKLVKIDRKIETLFEKKANIFRQINEAENASSVAAEKDKDTQIADSK >Manes.09G139500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33877307:33880131:-1 gene:Manes.09G139500.v8.1 transcript:Manes.09G139500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWREREDRRGVTQQTGKPGSFSLFQPAGTRIHLHFILHLPKSQQAKLQTPLFITFFQRKNHSSIIYKRQGDISLNNKGFTLKVKLFCKISQMEGKSETAVEIEEHVKLKGKDKELSDANGEKTKVELELKTKSVEKEKPKQKGDEEGKNKKQQQKKEDDEGDHEKDKNKEKKKKKKKKEDEAKDGGDNLDEESEVDNETRETNKKEEKQDKQDERKEEKKKKKEEHVDDVKEKDKKEKEKENKDKETREVKDKSLRNKEEGIKEHLGEGKEKYEEKKNDRKEKKEKEKKVKEEGKKLGEASVEETEKKNEKEEDKGEGKKKKKEKKHNDETKDVEEKKGEEKEEKKKKKKKNVERKEKHEDVIHEGEEKKEKEKKKEVNESKSDAKVVSREIKPESEGKGENEEEEKDKAKESKEKKKDKDKKENGDKIRKNGEKDKSKGLNNLKKKLVKIDRKIETLFEKKANIFRQINEAENASSVAAEKDKDTQIADSK >Manes.04G155500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35037575:35042922:-1 gene:Manes.04G155500.v8.1 transcript:Manes.04G155500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSPCKLPNSLLSYLLSSSESKYYGTCYSEGSSGLLKDYATREFNAFLWISLVTITALLLGKVFKLFRLWSKARRIAGPPCTSFFGHNNFGSRGNFIDLLSELHNKYGSVFKLWLGPTQLLVSIKDPELIREMLLKAEDKLPFTGKAFRLAFGRSSLFFCSYDQAEKRRESLALQLNEKLLGRANVIPKYVTDCIMGRIDEIMSKGSIDCKMVSQHIAFTIMGTTLFGDAFLAWSKANFYEELLMMIAKDASFWASYRVTPFWKQGFWRYQSLCTNLKCLTQDIVQQCRKNCKLFHHMDQNPNDETVKYGTKAASSIRPHGVVLQDKFSRDLDGHLNSREEPCGNIMGMMFHGCIATAGLVTNILERLVTNPEIQDKIYSEIVMVRQGSTKDAQSVDKMVLLLATIYESARLLPPGPLLQRCSLRDDVRLKNGVIIPAGAVLVVPVHLLQTDDASWGSDASKFNPYRFLLKVGNSDPEQDKSFSEVAGGAVDTSSFILNDPHDNAAFLPFGAGMRACVGQKFVIQGVATLFASLLECYEVRLQQPRLGSDLKSTGPEIVFVRRSSQKE >Manes.14G161901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23689909:23691139:-1 gene:Manes.14G161901.v8.1 transcript:Manes.14G161901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFEKLKAQVIRHDSDNCYRMRHEIQYLIDKKKIVDPRRRPKIRGNPMLNHNFPPLFGLYMITTSKGEPSHIMELIQPIQSNSSDEDEAPIGIWSDSSLSVLEISTNGTPKEVTKALLVQGQDHIFFHNSNLTEGKNHIHLLYAINFSLLKFSSKLEIKLSYLGGFDMAIYAYDNSKREVIGVFKIVVKFGLIETEVKFIVLDILVVFSLLLGKVWFHPLERVPSIFYQKIKIPYNNKVVTIDAKKYRVVASLTIERLIPSLAGFQVGRIYEDM >Manes.18G033422.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3052792:3055136:1 gene:Manes.18G033422.v8.1 transcript:Manes.18G033422.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAVALQPPTYGNLITVLSIDGGGIRGVIPGTILNFLESELQKLDGEDARIADYFDVIAGTSTGGLVTAMLASPNEKNRPVFAAKDIKDFYLNECPKIFPQNRCPLFPQTQKVIKALSGPKYDGKYLHYLIKEKLGNTKLNQTLTNVVIPTFDIKRLQPTIFSSFQVKKSLSLNALLSDICISTSAAPTYLPAHYFETKDEKSGEVRGIFNLIDGGVAANNPTLVAMGEVTKEIINGSQDFFPIKPMDYGRFLVISLGTGAAKAEEKYSAADAAKWGVLGWLTSHGSTPLVDVFSQASADMVDFHISVVFKALHSESNYLRIQDDTLSRTVSSVDVATKKNLDDLVKVGEGLLKKPVSRVNLETGVFEPFGKETNEEALIRFAKLLSEERRLRHSKSPHGQVANSK >Manes.14G068400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5684767:5687757:1 gene:Manes.14G068400.v8.1 transcript:Manes.14G068400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTEPNLEQFFEEKKRVRNPLVPVGALMTAGVLTAGLISFRQGNSQLGQLLMRARVVVQGATVALMVGTAYYYGENPWKKSS >Manes.04G088800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29434860:29437818:1 gene:Manes.04G088800.v8.1 transcript:Manes.04G088800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLHCTDMTVLERQRARFKWQQEQELQQQQQQHHQQESFFGDLSGVFQLQLQHQGLQGDLGEVVTRSVKPDPASMDNGWPGLVGFGPSGYENNNGSGFDVNYAISRTSSCQPAVAGAAVDATVLAESREPVMSEKLSPGVGRENLKKRKVDKLQHNTKVVAEDESRDKRVKGCAGEGESMNTEKNNNKSSSTSKNSTKENSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLEFNIDNVFAKEQAFPAPTTNFPETGMSSDLTNPVYLQFNQAQQQQQQQQQQLFSSSGSIPEMFIDPSCFTHIQPSSTWDAVSDLQKIFTM >Manes.04G088800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29434860:29438540:1 gene:Manes.04G088800.v8.1 transcript:Manes.04G088800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLHCTDMTVLERQRARFKWQQEQELQQQQQQHHQQESFFGDLSGVFQLQLQHQGLQGDLGEVVTRSVKPDPASMDNGWPGLVGFGPSGYENNNGSGFDVNYAISRTSSCQPAVAGAAVDATVLAESREPVMSEKLSPGVGRENLKKRKVDKLQHNTKVVAEDESRDKRVKGCAGEGESMNTEKNNNKSSSTSKNSTKENSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVELLSIPGLNLTLITYLPKRRFLLLQPIFQKLGCRQI >Manes.04G088800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29434860:29437818:1 gene:Manes.04G088800.v8.1 transcript:Manes.04G088800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLHCTDMTVLERQRARFKWQQEQELQQQQQQHHQQESFFGDLSGVFQLQLQHQGLQGDLGEVVTRSVKPDPASMDNGWPGLVGFGPSGYENNNGSGFDVNYAISRTSSCQPAVAGAAVDATVLAESREPVMSEKLSPGVGRENLKKRKVDKLQHNTKVVAEDESRDKRVKGCAGEGESMNTEKNNNKSSSTSKNSTKENSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLEFNIDNVFAKEAFPAPTTNFPETGMSSDLTNPVYLQFNQAQQQQQQQQQQLFSSSGSIPEMFIDPSCFTHIQPSSTWDAVSDLQKIFTM >Manes.04G088800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29434860:29438540:1 gene:Manes.04G088800.v8.1 transcript:Manes.04G088800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLHCTDMTVLERQRARFKWQQEQELQQQQQQHHQQESFFGDLSGVFQLQLQHQGLQGDLGEVVTRSVKPDPASMDNGWPGLVGFGPSGYENNNGSGFDVNYAISRTSSCQPAVAGAAVDATVLAESREPVMSEKLSPGVGRENLKKRKVDKLQHNTKVVAEDESRDKRVKGCAGEGESMNTEKNNNKSSSTSKNSTKENSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVELLSIPGLNLTLITYLPKRRFLLLQPIFQKLGCRQI >Manes.04G088800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29434860:29437775:1 gene:Manes.04G088800.v8.1 transcript:Manes.04G088800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLHCTDMTVLERQRARFKWQQEQELQQQQQQHHQQESFFGDLSGVFQLQLQHQGLQGDLGEVVTRSVKPDPASMDNGWPGLVGFGPSGYENNNGSGFDVNYAISRTSSCQPAVAGAAVDATVLAESREPVMSEKLSPGVGRENLKKRKVDKLQHNTKVVAEDESRDKRVKGCAGEGESMNTEKNNNKSSSTSKNSTKENSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLEFNIDNVFAKEAFPAPTTNFPETGMSSDLTNPVYLQFNQAQQQQQQQQQQLFSSSGSIPEMFIDPSCFTPSSTWDAVSDLQKIFTM >Manes.04G088800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29434860:29438540:1 gene:Manes.04G088800.v8.1 transcript:Manes.04G088800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLHCTDMTVLERQRARFKWQQEQELQQQQQQHHQQESFFGDLSGVFQLQLQHQGLQGDLGEVVTRSVKPDPASMDNGWPGLVGFGPSGYENNNGSGFDVNYAISRTSSCQPAVAGAAVDATVLAESREPVMSEKLSPGVGRENLKKRKVDKLQHNTKVVAEDESRDKRVKGCAGEGESMNTEKNNNKSSSTSKNSTKENSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLEFNIDNVFAKEAFPAPTTNFPETGMSSDLTNPVYLQFNQAQQQQQQQQQQLFSSSGSIPEMFIDPSCFTHIQPSSTWDAVSDLQKIFTM >Manes.04G088800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29434860:29438540:1 gene:Manes.04G088800.v8.1 transcript:Manes.04G088800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLHCTDMTVLERQRARFKWQQEQELQQQQQQHHQQESFFGDLSGVFQLQLQHQGLQGDLGEVVTRSVKPDPASMDNGWPGLVGFGPSGYENNNGSGFDVNYAISRTSSCQPAVAGAAVDATVLAESREPVMSEKLSPGVGRENLKKRKVDKLQHNTKVVAEDESRDKRVKGCAGEGESMNTEKNNNKSSSTSKNSTKENSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLEFNIDNVFAKEQAFPAPTTNFPETGMSSDLTNPVYLQFNQAQQQQQQQQQQLFSSSGSIPEMFIDPSCFTHIQPSSTWDAVSDLQKIFTM >Manes.04G088800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29434860:29438540:1 gene:Manes.04G088800.v8.1 transcript:Manes.04G088800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRALLPEMLHCTDMTVLERQRARFKWQQEQELQQQQQQHHQQESFFGDLSGVFQLQLQHQGLQGDLGEVVTRSVKPDPASMDNGWPGLVGFGPSGYENNNGSGFDVNYAISRTSSCQPAVAGAAVDATVLAESREPVMSEKLSPGVGRENLKKRKVDKLQHNTKVVAEDESRDKRVKGCAGEGESMNTEKNNNKSSSTSKNSTKENSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLEFNIDNVFAKEAFPAPTTNFPETGMSSDLTNPVYLQFNQAQQQQQQQQQQLFSSSGSIPEMFIDPSCFTHIQPSSTWDAVSDLQKIFTM >Manes.06G045650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14431606:14434397:1 gene:Manes.06G045650.v8.1 transcript:Manes.06G045650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGREHSQHLSMNEIDEAVHVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHSIPSNSSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFWSRYAWDESEEGMVRIAWEKVGKERLQDILNRVRSELLRKHKKTDVAYLYNLGPDWMETEIWNELVAYWSTPEWRKKSEAGKTNRNVEKDGTITKHSGGSIKLEVHENRLV >Manes.06G148952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27641026:27641559:-1 gene:Manes.06G148952.v8.1 transcript:Manes.06G148952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSSSTIAGGGVGGSEDENGSLCSFKLNDSTFLAELMPKKDIGADRFIELTPSTMAAASLSLYLVLKLSFYFLRED >Manes.01G244801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40524489:40526310:1 gene:Manes.01G244801.v8.1 transcript:Manes.01G244801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEKLKIFVVQEPVVAASCLIAGVGLFLPAVVRPILDSFETSKQVHQPTITDVVAGVRKKQA >Manes.11G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28306748:28311343:1 gene:Manes.11G121900.v8.1 transcript:Manes.11G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNVGAQPGVPVPPTNPQPNPFGNSFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKIVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLNGKFSPEALNWLFVKGLFGWFLQVSLLKVSLLSLGIGEAPLLDVVAYGGYTFAGMCFAVLGKILFNYSYYFLMPWTCLCMGAFLVKTMKRVLFAEVRSYDSSRHHYLLLFVALAQFPLFIWLGNITINWLF >Manes.14G147400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15562427:15566482:1 gene:Manes.14G147400.v8.1 transcript:Manes.14G147400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARGFLLVNKHFQVESFQNKEINQMKKAVKDEMRMASASSRRKIISQEYDVRKKHFGFVNVATGEERQKLDSWKSNISGGSGRLLQDGLVYRQNFLVRSFEICFDGKLSLAALTNYLQDTALEQCRVIGISADGFGSTPEMSRQDLIWVTSTLQIVVDSYPSWHDCVEVDTWFYPSGQNSVGRDWVVRDGKTGSTLAQATSLWVLMNKKTRKLSKLKEEIRDELAPHMRNCDPIIVKDSRKLLRLDVDTADFAREGVKVVPRSCVEHHKLSAITLEYRKECTTDSVLQSLARIVKDGVRHNSNDKVIELEHLLLLENGSEIARGSTSWTPRDFPAEITTNHKVLITEPAK >Manes.14G147400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15562427:15566482:1 gene:Manes.14G147400.v8.1 transcript:Manes.14G147400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARGFLLVNKHFQVESFQNKEINQMKKAVKDEMRMASASSRRKIISQEYDVRKKHFGFVNVATGEERQKLDSWKSNISGGSGRLLQDGLVYRQNFLVRSFEICFDGKLSLAALTNYLQDTALEQCRVIGISADGFGSTPEMSRQDLIWVTSTLQIVVDSYPSWHDCVEVDTWFYPSGQNSVGRDWVVRDGKTGSTLAQATSLWVLMNKKTRKLSKLKEEIRDELAPHMRNCDPIIVKDSRKLLRLDVDTADFAREGVKPEWDQLDLNQHVNHVQYINWILEVGNFLFISFFGSSKDSNFEKINAANVQGIYFYALRCLPFAICTSLSSRHFPFLST >Manes.14G147400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15562427:15566482:1 gene:Manes.14G147400.v8.1 transcript:Manes.14G147400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARGFLLVNKHFQVESFQNKEINQMKKAVKDEMRMASASSRRKIISQEYDVRKKHFGFVNVATGEERQKLDSWKSNISGGSGRLLQDGLVYRQNFLVRSFEICFDGKLSLAALTNYLQDTALEQCRVIGISADGFGSTPEMSRQDLIWVTSTLQIVVDSYPSWHDCVEVDTWFYPSGQNSVGRDWVVRDGKTGSTLAQATSLWVLMNKKTRKLSKLKEEIRDELAPHMRNCDPIIVKDSRKLLRLDVDTADFAREGVKPEWDQLDLNQHVNHVQYINWILEVVPRSCVEHHKLSAITLEYRKECTTDSVLQSLARIVKDGVRHNSNDKVIELEHLLLLENGSEIARGSTSWTPRDFPAEITTNHKVLITEPAK >Manes.10G077148.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:16960186:16960758:-1 gene:Manes.10G077148.v8.1 transcript:Manes.10G077148.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQISFNQLVGKIARAIGISGSNEFIETISFRKPIIVDGSLKFECMEIWDEDDISSMFNYLYLIGGIPGIEIYVKILRYVDTTNDDADIGPSGTAVESNDEPCEEQNVVHDYGLSDSLAGPSNNLSDTVQNENEDEDEDDDDDDDDSWMSIEDDDDDNGQEDRGSESRYYNTQFSNPIVPVVHPPHTQK >Manes.11G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:481421:486138:-1 gene:Manes.11G003600.v8.1 transcript:Manes.11G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWESKSFSELVSELQASAEEVASLAKNSEYEREVFTEFAVLLEKFTPILIELKENGDDMDSQTVRKAVESLKKELRRAMEFIKSTESPFQRMEDMTQDLGRSLGLVLFASIDVSQEIKEKVAALHKELMNAKFNTSLSTSLCPSANPSPRPSQEPWFGNELDSQREIKVESIVLSIEDVVLQLKYGNDEEFTLALWGLRDFIKDQTIDKEWINDERVIPILFNRLGSIKPHSRLTVIHLLRILASVNTENKEKMADVGSLSLLVKSLTQEVEEQREAVGLLLELSVISAVPRRIGRIQGCIFVLVAMLNGDDPTASHNAGELLTALSSNTQNALYMAEAGYFKPLVHYLKEGSDMAKVLMATAIARMKLTDQSRASLGEDGAIEPLAKMFKAGNLEAKLSALNALQNLSMSTENIKHLISSGIVVPLLQLLFSVTSVLMTLQEPASAILAKIAGSESVLVNQDIVQQILSLLYLSSPVVQFHLLQALNSIASHSKATKARKKMRENGAFQLLLLFLTETSIKNRTAALNLLYTLSKDSPEELMEQLGEYHVNNIVSVVSSSTSEAEKAAAIGILSNVVVDNKKATGVLEKSNLLPALILIMSSSESTSTPTTSWLMENIAGLFIHFTAPSDKKLQRLSAELGVIPLLVKLLSTGSLVAKCRAATSLAQLSQNSLALRKSRKSRWTCIPRSAEAFCEVHDCYCIVKRTFCLVKAGAICPLIKILEGEEREADEAVLDALGTLFQDEIWESGSNYMARMSVFQAIIKILESGNVNAQEKALWILERIFRIEEHRTRYGESARGVLIDLAHNGDPKLKSTVANVLAQLELLQA >Manes.04G122000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32363902:32364536:1 gene:Manes.04G122000.v8.1 transcript:Manes.04G122000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRARSLMVIFVVLGMAVGQSAASFGGCYKSCFLKCIITPPGNSPISCGVKCLKDCIIPSSLTTSTAKEQTHYFCNFGCASSLCTNFSTKQDPGEENVAKCVDSCSTRCSKNFSP >Manes.01G136000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32897989:32899852:1 gene:Manes.01G136000.v8.1 transcript:Manes.01G136000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRPMAALLLFTGLNVILVSTITPVYDFVCFLPYWERRREHRRQKREAALTRDSA >Manes.05G192300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31522981:31525732:1 gene:Manes.05G192300.v8.1 transcript:Manes.05G192300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWTQGTGLAKPMEVSRPTTTLERSKVRPQKDRALNCPRCNSTNTKFCYYNNYSLSQPRYLCKTCRRYWTDGGSLRNVPVGGGSRKNKRPSSVSSSLEQLPDFTTTPQASQNPKIHQDRDLNLAYPPSNQDYGNVSKFARNLNPSSSSSVPSHHISAMDLLKTGMTSRGLGPLISLPVADPNTVNSTGFSLQEFKPTLNFFLEGFASGGYENIQGVQETGPRLLFPMEELKPVPGNTEYDQNREQGDTSGFWNGIY >Manes.05G192300.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31522981:31525732:1 gene:Manes.05G192300.v8.1 transcript:Manes.05G192300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRPTTTLERSKVRPQKDRALNCPRCNSTNTKFCYYNNYSLSQPRYLCKTCRRYWTDGGSLRNVPVGGGSRKNKRPSSVSSSLEQLPDFTTTPQASQNPKIHQDRDLNLAYPPSNQDYGNVSKFARNLNPSSSSSVPSHHISAMDLLKTGMTSRGLGPLISLPVADPNTVNSTGFSLQEFKPTLNFFLEGFASGGYENIQGVQETGPRLLFPMEELKPVPGNTEYDQNREQGDTSGFWNGIY >Manes.05G192300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31523546:31525749:1 gene:Manes.05G192300.v8.1 transcript:Manes.05G192300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRPTTTLERSKVRPQKDRALNCPRCNSTNTKFCYYNNYSLSQPRYLCKTCRRYWTDGGSLRNVPVGGGSRKNKRPSSVSSSLEQLPDFTTTPQASQNPKIHQDRDLNLAYPPSNQDYGNVSKFARNLNPSSSSSVPSHHISAMDLLKTGMTSRGLGPLISLPVADPNTVNSTGFSLQEFKPTLNFFLEGFASGGYENIQGVQETGPRLLFPMEELKPVPGNTEYDQNREQDSSTH >Manes.05G192300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31522981:31525732:1 gene:Manes.05G192300.v8.1 transcript:Manes.05G192300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWTQGTGLAKPMEVSRPTTTLERSKVRPQKDRALNCPRCNSTNTKFCYYNNYSLSQPRYLCKTCRRYWTDGGSLRNVPVGGGSRKNKRPSSVSSSLEQLPDFTTTPQASQNPKIHQDRDLNLAYPPSNQDYGNVSKFARNLNPSSSSSVPSHHISAMDLLKTGMTSRGLGPLISLPVADPNTVNSTGFSLQEFKPTLNFFLEGFASGGYENIQGVQETGPRLLFPMEELKPVPGNTEYDQNREQGDTSGFWNGIY >Manes.05G192300.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31522981:31525732:1 gene:Manes.05G192300.v8.1 transcript:Manes.05G192300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRPTTTLERSKVRPQKDRALNCPRCNSTNTKFCYYNNYSLSQPRYLCKTCRRYWTDGGSLRNVPVGGGSRKNKRPSSVSSSLEQLPDFTTTPQASQNPKIHQDRDLNLAYPPSNQDYGNVSKFARNLNPSSSSSVPSHHISAMDLLKTGMTSRGLGPLISLPVADPNTVNSTGFSLQEFKPTLNFFLEGFASGGYENIQGVQETGPRLLFPMEELKPVPGNTEYDQNREQGDTSGFWNGIY >Manes.05G192300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31522981:31525732:1 gene:Manes.05G192300.v8.1 transcript:Manes.05G192300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWTQGTGLAKPMEVSRPTTTLERSKVRPQKDRALNCPRCNSTNTKFCYYNNYSLSQPRYLCKTCRRYWTDGGSLRNVPVGGGSRKNKRPSSVSSSLEQLPDFTTTPQASQNPKIHQDRDLNLAYPPSNQDYGNVSKFARNLNPSSSSSVPSHHISAMDLLKTGMTSRGLGPLISLPVADPNTVNSTGFSLQEFKPTLNFFLEGFASGGYENIQGVQETGPRLLFPMEELKPVPGNTEYDQNREQGDTSGFWNGIY >Manes.05G192300.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31522981:31525732:1 gene:Manes.05G192300.v8.1 transcript:Manes.05G192300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRPTTTLERSKVRPQKDRALNCPRCNSTNTKFCYYNNYSLSQPRYLCKTCRRYWTDGGSLRNVPVGGGSRKNKRPSSVSSSLEQLPDFTTTPQASQNPKIHQDRDLNLAYPPSNQDYGNVSKFARNLNPSSSSSVPSHHISAMDLLKTGMTSRGLGPLISLPVADPNTVNSTGFSLQEFKPTLNFFLEGFASGGYENIQGVQETGPRLLFPMEELKPVPGNTEYDQNREQGDTSGFWNGIY >Manes.S030316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:694548:694670:1 gene:Manes.S030316.v8.1 transcript:Manes.S030316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.05G056800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4759456:4761866:1 gene:Manes.05G056800.v8.1 transcript:Manes.05G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGAGSPSPQDPTLPSNPLPALPAPPQPSTNPRRLPPPCWSHDETVALIDAYCDKWYTLRRGNLKANHWQEVADAVARRCPAASPPKTAVQCRHKMEKLRKRYRTEIQRARSMPVSRFSSSWVHFKRMDAMEKGPQAKMDYNSESDGDDNEDENEEDDDNRGFYMDNHRNGSTIMNTRSIHKLYRNGIGGNTGSNLSGSGSGASAGSGFRIRIPTGVSIAQPGPKFYAKVDQKYGVNPNLSSNSTPKPNFGGGSVSGSGLGSSRVLKGSDETGKKREREPMEEMVAAIKVLGDGFVRMEQMKMEMAREIETMRMEMEMKRTEMILESQQRIVEAFAKAFSEKKKKPKRIPSPES >Manes.02G039000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3205681:3207165:-1 gene:Manes.02G039000.v8.1 transcript:Manes.02G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCSFLLCFMLCFLHISLSISEILFLPLTHSLSNTHFTSPHHLLKSTSTRSANRFQNHHRRLQNHHHHQVSLPLSPGSDYTLSFTLGSHPPQRVSLYLDTGSDLVWFPCQPFECILCEGKAENTSTSALPPKLSSTARTVHCKSSACSSAHSNLPTSNLCAIANCPLESIETSDCRSFTCPPFYYAYGDGSLIARLYRDSVTLPLATPSLVLHNFTFGCAHTALAEPVGVAGFGRGVLSLPAQLASFSPQLGNQFSYCLVSHSFDSDRVRRPSPLILGRSDEKEKRVNNNDYPQFVYTPMLDNPKHPYFYCVGLDGISIGKRKIPAPPFLKMVDREGSGGLVVDSGTTFTMLPTSLYNSVVTEFDNRVGRIYERAKEIEDNTGLGPCYYYDSVVNVPSLILHFVGNESSIVLPRKNYFYEFLDGGDGVRKKRKVGCMMLMNGGDEAELSGGPGATLGNYQQQGFEVVYDLEKGRVGFARRKCASLWESLNQG >Manes.12G114901.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31542361:31545722:-1 gene:Manes.12G114901.v8.1 transcript:Manes.12G114901.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAPYVFLLLLTSVFSPNKVLVFGSPSPEQESFKRPDPLRGFKNYDGGYNVTNKHYLASAVFTGVHSYVIAGAWLLCGLGFGIFVTWKNLLRSDSSQVEEYLNHHFLLLFLLIILFTSLAIIAASLVLAANESSFYRSKKFKGTIVRVSKDTHRKIHKVIIVMTEMKHLLRPYDPATSRRLYTVTRLLAREARAIRSFVQKSGHDIDVAIRTSHILHFVVVTINLVFLVASLVLLLLHWHPGFITIIIVGWILTTMCWALTGFDFSFQNFVHDTCTAFQDFERDPQNSSLSSIVPCLDSSSSDELLIEIGSTIYKFITKLNWKMEALYMLLPLDRDFVDSVGAKRVCAPFSGPPNYTYIPETCSKDRMSIDDFSNRIYRLTCPPAPRPWRRSQRNSHCDGEEHHKSNRTFTRILIVVFTIISFSLTPSTPEKPVNFSNHGGHSNTHLTFR >Manes.12G114901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31542656:31545722:-1 gene:Manes.12G114901.v8.1 transcript:Manes.12G114901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAPYVFLLLLTSVFSPNKVLVFGSPSPEQESFKRPDPLRGFKNYDGGYNVTNKHYLASAVFTGVHSYVIAGAWLLCGLGFGIFVTWKNLLRSDSSQVEEYLNHHFLLLFLLIILFTSLAIIAASLVLAANESSFYRSKKFKGTIVRVSKDTHRKIHKVIIVMTEMKHLLRPYDPATSRRLYTVTRLLAREARAIRSFVQKSGHDIDVAIRTSHILHFVVVTINLVFLVASLVLLLLHWHPGFITIIIVGWILTTMCWALTGFDFSFQNFVHDTCTAFQDFERDPQNSSLSSIVPCLDSSSSDELLIEIGSTIYKFITKLNWKMEALYMLLPLDRDFVDSVGAKRVCAPFSGPPNYTYIPETCSKDRMSIDDFSNILTSLTCHKDKSPETCRREGRFIPERISKRALAYSRSVQNILSIFPDLESLARCIAVNNTFSDVVKNQCKPFRASVQMLWSSMLSLSIFMMISVLFWVAKAYQERGRRFSRCSINPEAGS >Manes.12G114901.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31542656:31545732:-1 gene:Manes.12G114901.v8.1 transcript:Manes.12G114901.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMKHLLRPYDPATSRRLYTVTRLLAREARAIRSFVQKSGHDIDVAIRTSHILHFVVVTINLVFLVASLVLLLLHWHPGFITIIIVGWILTTMCWALTGFDFSFQNFVHDTCTAFQDFERDPQNSSLSSIVPCLDSSSSDELLIEIGSTIYKFITKLNWKMEALYMLLPLDRDFVDSVGAKRVCAPFSGPPNYTYIPETCSKDRMSIDDFSNILTSLTCHKDKSPETCRREGRFIPERISKRALAYSRSVQNILSIFPDLESLARCIAVNNTFSDVVKNQCKPFRASVQMLWSSMLSLSIFMMISVLFWVAKAYQERGRRFSRCSINPEAGS >Manes.12G114901.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31542361:31545722:-1 gene:Manes.12G114901.v8.1 transcript:Manes.12G114901.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAPYVFLLLLTSVFSPNKVLVFGSPSPEQESFKRPDPLRGFKNYDGGYNVTNKHYLASAVFTGVHSYVIAGAWLLCGLGFGIFVTWKNLLRSDSSQVEEYLNHHFLLLFLLIILFTSLAIIAASLVLAANESSFYRSKKFKGTIVRVSKDTHRKIHKVIIVMTEMKHLLRPYDPATSRRLYTVTRLLAREARAIRSFVQKSGHDIDVAIRTSHILHFVVVTINLVFLVASLVLLLLHWHPGFITIIIVGWILTTMCWALTGFDFSFQNFVHDTCTAFQDFERDPQNSSLSSIVPCLDSSSSDELLIEIGSTIYKFITKLNWKMEALYMLLPLDRDFVDSVGAKRVCAPFSGPPNYTYIPETCSKDRMSIDDFSNRIYRLTCPPAPRPWRRSQRNSHCDGEEHHKSNRTFTRILIVVFTIISFSLTPSTPEKPVNFSNHGGHSNTHLTFR >Manes.12G114901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31542656:31545732:-1 gene:Manes.12G114901.v8.1 transcript:Manes.12G114901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAPYVFLLLLTSVFSPNKVLVFGSPSPEQESFKRPDPLRGFKNYDGGYNVTNKHYLASAVFTGVHSYVIAGAWLLCGLGFGIFVTWKNLLRSDSSQVEEYLNHHFLLLFLLIILFTSLAIIAASLVLAANESSFYRSKKFKGTIVRVSKDTHRKIHKVIIVMTEMKHLLRPYDPATSRRLYTVTRLLAREARAIRSFVQKSGHDIDVAIRTSHILHFVVVTINLVFLVASLVLLLLHWHPGFITIIIVGWILTTMCWALTGFDFSFQNFVHDTCTAFQDFERDPQNSSLSSIVPCLDSSSSDELLIEIGSTIYKFITKLNWKMEALYMLLPLDRDFVDSVGAKRVCAPFSGPPNYTYIPETCSKDRMSIDDFSNILTSLTCHKDKSPETCRREGRFIPERISKRALAYSRSVQNILSIFPDLESLARCIAVNNTFSDVVKNQCKPFRASVQMLWSSMLSLSIFMMISVLFWVAKAYQERGRRFSRCSINPEAGS >Manes.12G114901.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31542656:31545732:-1 gene:Manes.12G114901.v8.1 transcript:Manes.12G114901.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAPYVFLLLLTSVFSPNKVLVFGSPSPEQESFKRPDPLRGFKNYDGGYNVTNKHYLASAVFTGVHSYVIAGAWLLCGLGFGIFVTWKNLLRSDSSQVEEYLNHHFLLLFLLIILFTSLAIIAASLVLAANESSFYRSKKFKGTIVRVSKDTHRKIHKVIIVMTEMKHLLRPYDPATSRRLYTVTRLLAREARAIRSFVQKSGHDIDVAIRTSHILHFVVVTINLVFLVASLVLLLLHWHPGFITIIIVGWILTTMCWALTGFDFSFQNFVHDTCTAFQDFERDPQNSSLSSIVPCLDSSSSDELLIEIGSTIYKFITKLNWKMEALYMLLPLDRDFVDSVGAKRVCAPFSGPPNYTYIPETCSKDRMSIDDFSNILTSLTCHKDKSPETCRREGRFIPERISKRALAYSRSVQNILSIFPDLESLARCIAVNNTFSDVVKNQCKPFRASVQMLWSSMLSLSIFMMISVLFWVAKAYQERGRRFSRCSINPEAGS >Manes.10G072600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13438772:13441021:-1 gene:Manes.10G072600.v8.1 transcript:Manes.10G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVSFTSFFFPKDVSFLNPSPSSCSLPPASPYPATLRVMRTVTCATVSPQQQQQQQERRPRGIMKPRRVTPEMAELVGASEIPRTQALKRIWAYIKEHNLQDPDNKKIIICDEKLKKIFSGRDQVGFLEVAGLISPHFLK >Manes.10G007900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:933109:934017:1 gene:Manes.10G007900.v8.1 transcript:Manes.10G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDLNLNTILKKPTKKNSPFKLIMPAAASLQLQRTTALPCHKQPQTTINSWRVPTSSVPDYVSCFHSRTMGPNQCCSAVVKTINAPVSTVWSVVRRFDNPQAYKHFVKSCHLINGDGDVGTLRQVHVVSGLPAESSTERLEILDDERHVLSFSVIGGNHRLNNYRSVTTLHSSSGGNGTVVIESYVVDIPTGNTKEDTCVFVDTIVRCNLESLAQIAENMAKNTQSSSSSS >Manes.15G023800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1885875:1890202:-1 gene:Manes.15G023800.v8.1 transcript:Manes.15G023800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDDSIKNQIAVLWRVTNATRCFKEDNAPCQIEGGLFLGSVGAANNKDLLKSNNITHILTVANSLAPAHRNDFIYKVIGVVDREDTNLRQYFDDCINFIDEAKRQGGGCLVHCFVGRSRSVTIVVAYLMKKHGMSVCQALEYVKSRRPQAAPNSGFISQLQDVEKSLQGLQP >Manes.14G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7939700:7940129:-1 gene:Manes.14G095700.v8.1 transcript:Manes.14G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKAEKPAAQTTAPVKKEPAKSGSSAPKAPASQPAPKKVQQKPRPEPKKKQTTGSKPATK >Manes.14G095700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7939620:7940269:-1 gene:Manes.14G095700.v8.1 transcript:Manes.14G095700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKAEKPAAQTTAPVKKEPAKSGSSAPKAPASQPAPKKVQQKPRPEPKKKTTGSKPATK >Manes.05G140600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23919609:23924457:1 gene:Manes.05G140600.v8.1 transcript:Manes.05G140600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTHQDPHFLLLVIALAVAFGLVGSTNGEYLIGVGSYDMTGPAAEVNLMGYANLEQNAAGVHFRLRARTFIVAESPHGARFAFVNLDAGMASQLVTIRVLERLRSRFGNLYTEENLAISGIHTHAGPGGYLQYLLYHVTSLGFVQQSFDAIVNAIEQSVVRAHQNLKPGSIFINAGEVENAGINRSPSAYLLNPAEERARYPSNVDKEMTLLKFVDSATGKSVGAFSWYATHGTSMSRDNKLISGDNKGAAARFFEDWFTSTTKSNSTVAINFSTPDIDELNKKAQKIKATGGQPCGKTTSQGFKVRKNNGSEFVGAFCQSNVGDVTPNVLGAFCTDSGKPCDFNHSTCHGDVRLCVGRGPGYPDEILSTKIIGERQFNKAVDLFMSATEQLSGKIDYRHLYLNFTDLEVDLDGKGKARTCPAALGPGFAAGTTDGPGMFGFEQGDTEINELWKKVRNLLKEPSQYQVDCQKPKNVLLSTGEMFEPYAWAPAILPIQILRLGKLILLSVPGEFTTMAGRRLREAVKETLISNGGGDFDNETHIVIAGLTNTYSQYIATIEEYGQQRYEGASTLYGPHTLSAYIQEFNRLAEALAKGEKLPKRDLSPPDLSSKQLRLLHAPWIDSPPKGINFGDIKQDVTQPKGGSFKKGERPSATFWSGNPRFDLLTEGTFAVVEMLQGERWVRVYDDDDFSLFFKWKLDNTTFSSLAIIEWEVPKEASSGVYRLRHFGSFKQTDGSPVKYFTGASSAFTVS >Manes.05G140600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23919609:23924457:1 gene:Manes.05G140600.v8.1 transcript:Manes.05G140600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKFVDSATGKSVGAFSWYATHGTSMSRDNKLISGDNKGAAARFFEDWFTSTTKSNSTVAINFSTPDIDELNKKAQKIKATGGQPCGKTTSQGFKVRKNNGSEFVGAFCQSNVGDVTPNVLGAFCTDSGKPCDFNHSTCHGDVRLCVGRGPGYPDEILSTKIIGERQFNKAVDLFMSATEQLSGKIDYRHLYLNFTDLEVDLDGKGKARTCPAALGPGFAAGTTDGPGMFGFEQGDTEINELWKKVRNLLKEPSQYQVDCQKPKNVLLSTGEMFEPYAWAPAILPIQILRLGKLILLSVPGEFTTMAGRRLREAVKETLISNGGGDFDNETHIVIAGLTNTYSQYIATIEEYGQQRYEGASTLYGPHTLSAYIQEFNRLAEALAKGEKLPKRDLSPPDLSSKQLRLLHAPWIDSPPKGINFGDIKQDVTQPKGGSFKKGERPSATFWSGNPRFDLLTEGTFAVVEMLQGERWVRVYDDDDFSLFFKWKLDNTTFSSLAIIEWEVPKEASSGVYRLRHFGSFKQTDGSPVKYFTGASSAFTVS >Manes.08G164800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39965092:39968076:-1 gene:Manes.08G164800.v8.1 transcript:Manes.08G164800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVVAGSSSSSCSATFTRSNPIKTPPTSSTKPILSSPFQRTAFQGLSLQDAKRGSSEIFLAEKKSSFTNARRGLQITARTAGASKSIEVEVDKPLGLTLGQKSGGGVVITAVDGGGNAARAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKKLTKRPAPPRFGRKLTEAQKARATHICLDCGYIYTAQKPFDEQPDTYACPQCIAPKKRFARYDVNTGRAIGGGLPPIGVIIGLVAGLGAVGALLVYGLQ >Manes.08G020700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2095350:2099862:1 gene:Manes.08G020700.v8.1 transcript:Manes.08G020700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDEEKSPKSSSKPSDSSSTPEPEKKPSLSQPLIGSRMFPPGSLSMEDLSYSDSPLPASVASPLTEFGAFSATNESVYSPLEMYEFGANPISERVPTGKSSAVDTGDYAEENVGVPHPLASLQEIPIPPFLSKTYDLVCDRSLDPIISWGTTGESFVVWDPVEFARVILPRNFKHNNFSSFVRQLNTYGFRKIDSDKWEFANEAFRRGERHLLKNIQRRKSPQSQQVGSHNGPFTEAGKSELESEIERLRKERSMMMQEVVELQQEQHGVVHHVQAVHQRLQGAEQRQKQMISFLAKLFQNPEFLARLRQKKKQGDVGLSRIKRKFVKHQQREPGQSESPAEGHIVKYRPEWTNLSSSTLVPDVSPAPVEQTCDYIFQEMVEMGKDAEGMAFQLENVPPNESAISDELALAQGYIKTLEQFQEGESNLGSEDLQIKGKNVISPEQKVPPEYLVSFPEDLATEKNFPEFSSSGIERQEDVWTMGFDNQADAAIEMEISYSCWVDAVF >Manes.08G020700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2095350:2099862:1 gene:Manes.08G020700.v8.1 transcript:Manes.08G020700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDEEKSPKSSSKPSDSSSTPEPEKKPSLSQPLIGSRMFPPGSLSMEDLSYSDSPLPASVASPLTEFGAFSATNESVYSPLEMYEFGANPISERVPTGKSSAVDTGDYAEENVGVPHPLASLQEIPIPPFLSKTYDLVCDRSLDPIISWGTTGESFVVWDPVEFARVILPRNFKHNNFSSFVRQLNTYGFRKIDSDKWEFANEAFRRGERHLLKNIQRRKSPQSQQVGSHNGPFTEAGKSELESEIERLRKERSMMMQEVVELQQEQHGVVHHVQAVHQRLQGAEQRQKQMISFLAKLFQNPEFLARLRQKKKQGDVGLSRIKRKFVKHQQREPGQSESPAEGHIVKYRPEWTNLSSSTLVPDVSPAPVEQTCDYIFQEMVEMGKDAEGMAFQLENVPPNESAISDELALAQGYIKTLEQFQEGESNLGSEDLQIKGKNVISPEQKVPPEYLVSFPEDLATEKNFPEFSSSGIERQEDVWTMGFDNQAGMSSSSQELWGNLVNYDVPELGSTGGFSDIWDLGSIQVAGSSGVQNWQADEHPVNEPDSHASQPGDDKSKNIDP >Manes.08G020700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2095350:2099862:1 gene:Manes.08G020700.v8.1 transcript:Manes.08G020700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDEEKSPKSSSKPSDSSSTPEPEKKPSLSQPLIGSRMFPPGSLSMEDLSYSDSPLPASVASPLTEFGAFSATNESVYSPLEMYEFGANPISERVPTGKSSAVDTGDYAEENVGVPHPLASLQEIPIPPFLSKTYDLVCDRSLDPIISWGTTGESFVVWDPVEFARVILPRNFKHNNFSSFVRQLNTYGFRKIDSDKWEFANEAFRRGERHLLKNIQRRKSPQSQQVGSHNGPFTEAGKSELESEIERLRKERSMMMQEVVELQQEQHGVVHHVQAVHQRLQGAEQRQKQMISFLAKLFQNPEFLARLRQKKKQGDVGLSRIKRKFVKHQQREPGQSESPAEGHIVKYRPEWTNLSSSTLVPDVSPAPVEQTCDYIFQEMVEMGKDAEGMAFQLENVPPNESAISDELALAQGYIKTLEQFQEGESNLGSEDLQIKGKNVISPEQKVPPEYLVSFPEDLATEKNFPEFSSSGIERQEDVWTMGFDNQAGMSSSSQELWGNLVNYDVPELGSTGGFSDIWDLGSIQVAGSSGVQNWQADEHPVNEPDSHASQPGDDKSKNIDP >Manes.08G020700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2095350:2099862:1 gene:Manes.08G020700.v8.1 transcript:Manes.08G020700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDEEKSPKSSSKPSDSSSTPEPEKKPSLSQPLIGSRMFPPGSLSMEDLSYSDSPLPASVASPLTEFGAFSATNESVYSPLEMYEFGANPISERVPTGKSSAVDTGDYAEENVGVPHPLASLQEIPIPPFLSKTYDLVCDRSLDPIISWGTTGESFVVWDPVEFARVILPRNFKHNNFSSFVRQLNTYGFRKIDSDKWEFANEAFRRGERHLLKNIQRRKSPQSQQVGSHNGPFTEAGKSELESEIERLRKERSMMMQEVVELQQEQHGVVHHVQAVHQRLQGAEQRQKQMISFLAKLFQNPEFLARLRQKKKQGDVGLSRIKRKFVKHQQREPGQSESPAEGHIVKYRPEWTNLSSSTLVPDVSPAPVEQTCDYIFQEMVEMGKDAEGMAFQLENVPPNESAISDELALAQGYIKTLEQFQEGESNLGSEDLQIKGKNVISPEQKVPPEYLVSFPEDLATEKNFPEFSSSGIERQEDVWTMGFDNQADAAIEMEISYSCWVDAVF >Manes.08G097300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32821601:32823753:1 gene:Manes.08G097300.v8.1 transcript:Manes.08G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPFNQSGEKVESFRLLSGHAIPAVGLGTWRSGSKVTEAVYSAIVEAGYRHVDTAAEYGVQEDVGHALKAAMHAGVERKALFIASKLWCTDLSPDSVRKAFNKTLQDLQLDYLDLYVIHWPFHLKDGASRPPKPGEVLDFDMEGVWREMEKLVKENVVRDIGIRNFTVKKLNKIVGFAQTMPSVCQMEMHPGWRNDKMLEACKKNNIHVTAYSPLGSSGSDLIHDETVETISNKINKTPGQVLVKWALQRGTSVVPKSSHPERIKENIQVFGWEIPEEDFQAPCSISDQRRVLDGEDQFVNKSEGPFRSIADLWDHED >Manes.02G097200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7594466:7597321:1 gene:Manes.02G097200.v8.1 transcript:Manes.02G097200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTVVDHQHSHNLAFEVPPRLFLINSNLPGKFRFDITTAKKRIPFKQTSLHFIISYFLLLLVQKHYTKTITMAYAFAENTRMFPSTSSLLSLYASFSTSLMLLRNVYHELVPKKIEAFLVSKFLSFFYHRKLSKSFDTFIIDDSWDGLDRNKLIDASRFYLSSKIGPKNKVIRVGKFRGQKNVTAAMVEGEKIVDVFEGIEITWQFAKQENDDRAKKDRFLNKGHFEIIFEDQYREKIFHDYLTHILNTSKALTQGEKVLKLHTRSRGCWNSIDFRHPATFDALAMDYQLKQSIIDDLERFLARKEFYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKYDVFDLELANILSDADLRKAMLDIDRKSITVIEDIDCKGGVHKRSTSSDDSDDDCNLVKQFSLSGLLNCIDGLWSSCGEERIIVFTTNHKEVLDPALLRPGRMDMHIHMSYCTTQGFSVLVSNYLGIQEHHLFEEIDELIQSTEVTPASLAEELLKSDDADVALGEVLNFLKQKKLEKEKNEVAKKTKEKDKIEKEKKNSAQ >Manes.16G059225.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22381992:22383130:1 gene:Manes.16G059225.v8.1 transcript:Manes.16G059225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRFKRCWCMLGCSICCGRWLSGEKERKKEGKKREVERREHHQRVFELFQNTNLPIG >Manes.09G138900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33823387:33826005:-1 gene:Manes.09G138900.v8.1 transcript:Manes.09G138900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWCALETQNLHSSAMGTKILTSSSQGFEIECKEIHDSWGRVNQLVRSLATRSKLERQQIRETYKAMYGEDITSFLERMCISAGHRKEAKIGSKVFAALSLWMIHPHERDAIVAMEALEQGDTNYRALVEIFVGRKSSHIMLTKQAYLARFRRQLDQDIINLEPPNPYQKILVALSASHKAHQADVSQHIAKCDAKRLHEAGEGSSGANEEAVMLEILSKRSIPQMKLTFSSYKHIYGDEYTAKLKKENSCEFEDSLKTVITCMCNPPKYYAKALYASIRGTTTDRGALARVMMSRAEIDMDEIQDFFKKKFGMELRDAICEAIPSGDYRDFLVALATKRIAS >Manes.05G059500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4948804:4955356:1 gene:Manes.05G059500.v8.1 transcript:Manes.05G059500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALSILQQWQINSTKFLLRSSSFPQGLSVNAGRTKCPTLCFCRQIQTVTYDIVNASYVPTSQTKQQQQKKTTDKAPDLLESVGAFQKLPMVMPSVDILYSALRKAKRVPPTKGIANIAKRERNRGAKQLDALMKELAIPLREYLENFPKRRYLHPYEQSLIELTLGDGNYEEVLRKVDTLRKKVVSVGKEHASVCAKSLTKREALERLNEGLEKLEETFKREGKAVDDLLNIAKTLRAMPVVDLETPTLCLVGAPNVGKSSLVRILSTGKPEVCNYPFTTRGILMGHIAFEFQHFQVTDTPGLLKRCDEDRNNLEKLTLAVLTHLPTAILYVHDLTGECGTSASDQFVIYKEIKERFSDHLWLDVVSKCDLLQESPVVFIAEDGNNGHLEMARYHKMGPSGAIRVSVKSGEGLDELKVKVHGLLVAQMKRIGNMKTTEGCSVWCWSSVVE >Manes.11G038501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3693422:3694516:1 gene:Manes.11G038501.v8.1 transcript:Manes.11G038501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYCHSLTFSITNFSIFPFLVSRSFCSKARANRYASLLQLCCLQSPISCSFACAVHAHMIASGFKPRGHILNRLINIYSKSSKLAYARHLFDEIPQPGIVARSTLISAYSAIGDLELAKKIFNGTPLPMRDTVFYSAMITAYSHNNDGHAAIELFRDMRRNYFSPDNVTFTSVIGALALVAENERHCQQMHCAVLKSRMGFVTSVLNALIYAYVKCASSPSVLSSSLMGAARKLFDEILERDELSCSTIITGYMKNDDLDAARELFYGGGMCEEMVVAWNAMISGYMHRGLYKEALEMFRKMYLSGMRSDEYTYTSVISVCANASFFQLGKELHAYILKTEVNPSPDFSLPVNNALITLYWKCG >Manes.12G133400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34033657:34043082:1 gene:Manes.12G133400.v8.1 transcript:Manes.12G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKMELVFGALLLAFLNSFVLSDFQGDALYQLKVSMGAPANQLTDWNPNQVNPCTWSNVICDSNNQVTSVTLSGMNCSGTLSPKIGMLKTLTTLTLKGNGITGLIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGELKRLQFLTLSQNNLTGAIPKALSGLENLINILLDSNNLNGQIPEQLFQIPKYNFTGNHLNCGANFLKPCESDSSDSGASNKPKIGIVVGIVGGTVILLLFGGLLFFVCKGRHKGYKREVFVDVAGEVDRRIAFGQLKRFAYRELQLATDNFNEKNILGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRERKPEEPVLDWATRKSVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKYYNIQEVEMMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSSYNQDAIELSGGR >Manes.12G133400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34033657:34043082:1 gene:Manes.12G133400.v8.1 transcript:Manes.12G133400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPANQLTDWNPNQVNPCTWSNVICDSNNQVTSVTLSGMNCSGTLSPKIGMLKTLTTLTLKGNGITGLIPKEFGNLSSLSSLDLGNNRLSGEIPSSLGELKRLQFLTLSQNNLTGAIPKALSGLENLINILLDSNNLNGQIPEQLFQIPKYNFTGNHLNCGANFLKPCESDSSDSGASNKPKIGIVVGIVGGTVILLLFGGLLFFVCKGRHKGYKREVFVDVAGEVDRRIAFGQLKRFAYRELQLATDNFNEKNILGQGGFGKVYKGVLQDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPSERLLVYPFMPNLSVAYRLRERKPEEPVLDWATRKSVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKYYNIQEVEMMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSSYNQDAIELSGGR >Manes.10G125112.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28783048:28783777:-1 gene:Manes.10G125112.v8.1 transcript:Manes.10G125112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQLFTKQLSRNDVLSALSVPSNALQYFVIPEGAHSVEFEAVDLTGFTWRFRLSTRSTGPYPKPVILQSSWHHFVEQKGLIPNDRVMFFLDHDEENGTRCRVRAQRKIMRLFGNDFWVDVQDLHFYGV >Manes.05G086382.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7313257:7316054:1 gene:Manes.05G086382.v8.1 transcript:Manes.05G086382.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQSLNTLLIKILSQEVAKGKSLEIYKALVIAFSYLRFESLQPALMQEKRCKKLPCKLRRTPPIILELDSTFTRSVTNDIKMRYTALWKIWSEIPLKTKYELFGLFQNESEEDMVRIAWENVDKERQRDILNRVSSELLRKHQKTDIWNELIAYWSTPEWRKKSEAGKANRNVEKDGTITKHSGGSIKLEVHENKLANKLGRQPTQLELFRATHTKNDSQSRAYLSSIAENVNDNCESQSAFDLNKWIEISRSSKWRVYGFRSSDIAKSGTPTTSFSCTSTHPGGPSQTMFSLENIEQILEQNRIKTKEDMEQMQEQMRVQIEKQIKDQMKSLKNKKKIFTA >Manes.01G067100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26798109:26800130:-1 gene:Manes.01G067100.v8.1 transcript:Manes.01G067100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVITPATAGKDYPGNLTCSVFITCIIAATGGLIFGYDLGISGGVISMDAFLKKFFPYIYENQASMKQSDNQYCEFNNQILTLFTSSLYVAALFSSLCASTITRVMGRRASMFFGGLLFAAGSLFNGFASKVWMLIVGRLFLGFGIGCANQAVPIYLSEVAPYRYRGALNQFFQLSITIGILVANLLNYIFAKFGDFGWRLSLGGAVVPALIIMLGSCILPETPNSLIERGHFDEAKRKLIKLRGVSAVDDEFNDLIAASEASKLVEHPWVNIAQRKYRPQLVMAICIPMFQQLTGMNMIVFYAPVLFKTIGFGAQASLMSALITGVVNALSTFVSIFTVDKLGRRTLYLEGSIQLLICQIVVAIAIGAKFGFGGNPGELPMWYAVVVVVVICIFVAGFAWSWGPLSWLVPSEIFPLEVRSAAQSITVSVNMIFTFAIAQVFTLLLCKLRFFMFLLFALFIVIMTIFIYYLLPETKGVPIEEMITVWKNHPVWKHYFDQCSAPACSLQMGNKDKDSV >Manes.14G072600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6020116:6023193:-1 gene:Manes.14G072600.v8.1 transcript:Manes.14G072600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLINETSFSAANPSSYTLTEIWPFPAVNGQADGSGGLGLRMGNLAGGFGERDGSLEESTVTEQSVGGGGGIVRKRRDFSSEDESSKMVSTSSSGNELKNSNGKRMKTSVSKNECSNSKAEVEPNSAANKKASENNSKLSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQCQVEFLSMKLEAVNSRMNMNPTIESFHQKDVGGQPFEAPAAMSFGLQAARDYAQASKSEWLHMQIGGNFQRTT >Manes.14G072600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6020116:6023193:-1 gene:Manes.14G072600.v8.1 transcript:Manes.14G072600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLINETSFSAANPSSYTLTEIWPFPAVNGQADGSGGLGLRMGNLAGGFGERDGSLEESTVTEQSVGGGGGIVRKRRDFSSEDESSKMVSTSSSGNELNSNGKRMKTSVSKNECSNSKAEVEPNSAANKKASENNSKLSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQCQVEFLSMKLEAVNSRMNMNPTIESFHQKDVGGQPFEAPAAMSFGLQAARDYAQASKSEWLHMQIGGNFQRTT >Manes.12G107800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:29602847:29604688:1 gene:Manes.12G107800.v8.1 transcript:Manes.12G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKELLSWYLITLKLRETVESAIPKSPAGGTQLLEFPEKHQQELQKQQTAPSDSLQIVIKEDGENCEDETKSPDPEWVIIIKEKLEQARQDDAAGSWEKLCIYRVPHYLREGDDKAYVPQIVSLGPYHHGKRRLRQMDRHKWRALHHILKRTDKDIQQFLDSITELEEKARSCYEGSIGLSSSEFVEMMVLDGCFVLELFRGAAEGFKQLGYSRNDPVFAMRGSMHSIQRDMIMLENQIPLFILDRLLGLQFDDPDQKGLVAKLALGFFDPLMPTDEPLTKTEKNKLESSLGYATAFDPLADQGEVHCLDVFRRSLLRTGPKPIPRIWIKRKPSANRVADKRRTQLIHCVTELREAGIKFKKRRTDRFWDIKFKNGVLRIPRLLIHDGTKSLFLNLIAFEQCHLDCSNDITSYVVFMDNLINSPEDVAYLHYCGIMEHWLGSDAEVADLFNRLCQEVVFDINNSYLSRLSQDVNHYYNHRWNTWRASLKHNYFGNPWAIISLVAAVVLLLLTFTQTFYGVYAYYRPGS >Manes.08G077300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029536:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029847:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029441:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029847:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029535:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKGDAKYLVQLCCSNISIFSFSNLLHCLPGDFLVSTHCLNCLPFSCMLLSPSQSPF >Manes.08G077300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029441:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029546:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKGDAKYLVQLCCSNISIFSFSNLLHCLPGDFLVSTHCLNCLPFSCMLLSPSQSPF >Manes.08G077300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029847:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029533:18036869:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTTRASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029441:18033787:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029536:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029440:18033657:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029440:18036953:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029440:18033657:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029440:18033657:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029535:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKGDAKYLVQLCCSNISIFSFSNLLHCLPGDFLVSTHCLNCLPFSCMLLSPSQSPF >Manes.08G077300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029440:18036953:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029440:18036889:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029440:18036953:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029440:18036889:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKELRSTPQSMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDGGKIL >Manes.08G077300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18029546:18036556:1 gene:Manes.08G077300.v8.1 transcript:Manes.08G077300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFWMAKGADSVNDSDVNYDSSRIELKRSHQWFMDGSEAELYFNKKQAVGVPTSNLFSGMINSNISPWGNPSNFHSISDHFSERLFVSDTARASNYDDRNIPLVNSERVNMEKKVNEDPFGNDSSFNLSMSHTLEDPRSRLSYGGIRKVKVSQVKESENIMPLSAEDGYSRVDNNNTMSTSHAYEKSENTISTGLAYNKGDAHIISVGETFDRESNIFISMGQPYSKTDDSISMSQTYKDNNNIMAMCQTFSKGDNIISMGQTYKPDENTISMGHLFSKGCDSTVLMSQSYIKGDNYNLSIGQSFNKGESTIISFGGHDDDDTNPSGQLISNYDLLMAQSTFQSSEVINEKEVVNSNVEALASAVHATVSGTENGSKKKEDLKTSKKAPPNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKDSGYLCGCQTCNFSKVINAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQSMLFEVIQTITGSPINQKSFRLWKGDAKYLVQLCCSNISIFSFSNLLHCLPGDFLVSTHCLNCLPFSCMLLSPSQSPF >Manes.15G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11483021:11486767:-1 gene:Manes.15G141700.v8.1 transcript:Manes.15G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECSESSVAISSSTPSAAAAAAAGWWDLHHHASSLSSWTNHNINSSTTCSPWHQPNPSSNSSCEEDVSMSTSFTNASNHSGLTVESSRRFIEPAASSPSEFMGEHASDSQLWSHILFGVGSNGELHNIQDVGENLLDALSSKSISSGIFEPACDYLKKMDNNWEYTNAPSFTSFDHKPINGFSTDHHSFIESERVTKLSNLVRNWSIAPPDPGVEVSRETIDPITCNISLSSSLNHYTHPQTYSDSASFGTGMNRKSGFLSCYGHDLKVENEHRHVDIPGYIFRRSFNGNGIGNHIGLSSGSVVADNSKYYHGVPDNTCTSARTFTDGAAFNGRYSKPLIDIQGPKPFFKSLNLSDCGKQGVQNSLSTGRGQGNTSEGKKKRSEHTSEIVLKKPKHESSTVSSAKMQVPKVKLGDRITALQQIVSPFGKTDTASVLLEAIQYIKFLQEQVQLLSSPYMKNNAHKDPWGLEKKEKGDVQLDLRSRGLCLVPISCTPQVYHENTGSDYWTPTYRSGCLYR >Manes.07G141100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34267692:34277453:-1 gene:Manes.07G141100.v8.1 transcript:Manes.07G141100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDESITLKRKQVIASALVKHLSLDPGTSLGVSGKDDIMSLYTKILKSSGKPFLQKENEEVMKWIEFAESFPVDCQACLDALTGFNQDLAQKSILLGNGTTPSEADVIVFSVIHSSVIGLSHLEREKLTHVMRWMDYIQHKEEFADLFEKILLKKPAFEFLKKVTENKEPVPEKKKPSEKEPAEKDKELSVSLLNIQVGLIRKASKHPSADSLLVEEIDVGDAKLRQVVSGLAKYYSPDELTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHSVVEPLLPPEGAKIGERVSFSGIDGKPEDVLNPKKKQLEKITPNLFTDDKGVAAFKGIPFMTSGGPCTSSIPKASIK >Manes.09G082100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19628755:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVDLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19628529:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVGLDIPSHKLAKLPRRLRLNHLKHLARSLDDPVDIPNNHVIVADLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19624887:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVGLDIPSHKLAKLPRRLRLNHLKHLARSLDDPVDIPNNHVIVADLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19628529:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVDLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19626104:19631004:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVGLDIPSHKLAKLPRRLRLNHLKHLARSLDDPVDIPNNHVIVADLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19626602:19630796:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVGLDIPSHKLAKLPRRLRLNHLKHLARSLDDPVDIPNNHVIVADLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19628755:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVGLDIPSHKLAKLPRRLRLNHLKHLARSLDDPVDIPNNHVIVADLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19623778:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVGLDIPSHKLAKLPRRLRLNHLKHLARSLDDPVDIPNNHVIVADLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19626104:19631004:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVDLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19626602:19630796:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVDLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19624537:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVGLDIPSHKLAKLPRRLRLNHLKHLARSLDDPVDIPNNHVIVADLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19624887:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVDLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.09G082100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19623778:19630979:-1 gene:Manes.09G082100.v8.1 transcript:Manes.09G082100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMMEEGSERTLMRSLQKEQERERRRIRDRQRRQSMTIEEREKHLARRRRNYQLRRQRAETARLDLRVNQNITTSSFSTMLATKSNDYSPMTSVSIFNAQCNVGLNSGHKNSVDLIIKGNANANSSCVPSKGLRLNCVKRLARSLKSDLEKATSENHQNKMEVEQNLLNGELQLQMLSMSNDGSKLVQTSSVRCGNEWLLPL >Manes.02G212360.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:26773836:26774282:1 gene:Manes.02G212360.v8.1 transcript:Manes.02G212360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKITVIFDIKDFTRYTYNELIGSLIVYEIMFKKEIIEKEKGKKGIAFKSEKLTDGKKKSIALKIYISESSSISSDEEEMVMLVRKFKRAFRKGENKYKRFVKKYGPKDHSLSHLHKDPKEVICYECNKLGHIRPNCPKSKKKKKEEK >Manes.08G161700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39730659:39736301:1 gene:Manes.08G161700.v8.1 transcript:Manes.08G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPVGRRFSNVLSNPSAGRALFLSSSPFSTSSGSSGRGRGRGGGRGGGGDSGQFDFSARAPGKPDADNSKPDSREPPPGGFGHGRGIPSNSSPTPPAFFDFISSLKASQSGTGRGRGGGIIEPELNRPNESKRESVPPKLQFTQSVPPKTQFTQSGEPNLPSSVQSALSGVGRGKTDHPAVPAPQKEENRHLRVRPRPKPRTIGEEAEPAQARMQPKTIHEGDGSGRGVGPFRARGGFAGGRGRGRGRGRGRGQWERREKEEDSEGGFAAGLFLGDNADGEKLAESVGVENMNKLIEGFEEMSGKVLPSPMEDAYFDALHTNYMIEFEPEYLMGEFDQNPDIDEKPPMPLRDMLEKVKPFIMAYEGIQSQEEWKEVVEETMKNVALLKEIVDYYSGPDRITAKRQQEELERVAKTIPANAPASVMQFADRAVLSLQSNPGWGFDKKCQFMDKLVREVNQCYN >Manes.02G087700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6924059:6928213:1 gene:Manes.02G087700.v8.1 transcript:Manes.02G087700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSFRSVFNLNRKLLTPKPISIPVLPFNSKSFSIAPLIGNSTTADSDIDGDQLQHLDSFEAVDILYSLKEQPDRAFSFFCKLKDLGFQHDVSSYAAVIRILCYGGLDRNLHLIFSDLILDSRNGNGASFDVSRLLDTLSEGVADDAKQHSSFLFKVYDALIKSYVSAGMFNEAIDALFQMGRRGFVPHIFTCNVLMNKLIQNGKIDMALAIYKQLKRMGLSPNDYTYATVIKAFCIKGSLEEAADVFTDIEEDGATTIYFAYTAYIEGLCVNQKSDLGYQVLQAWKAANIPFDIYVYAVAIRGFCNEMKLDKAEGVLLDMEKEGLVPNMYCYNALICGLCKGGRLLKAYALLNDMMSKGVEIFCVAVGSILQCLCEMGMHSEVLDQFNEFKNLGIFLDEVSYNIAVDALCKLGKLEEAVALLDEMKVKQMDMDIMHYTTLINGYCCHGNLVDACKVFEEMIEKGLKPDIITYNVLASGFCRQGLATEALNLLDHMETQDLKPSTITHNVVIESLCEGGRVKEAEAFFNCIKDKSLDNYAAMINGYCKASHTREAYKLFIKLSGQGHIVKKSCCYNLIKNLCVEGDNDRILMLLKKMLDLNVVPSKVMYSRIIATLCRIGDVKNAESVFDIMSAKGLTPDIFTYTIMMNGYCRMNCLQEARDLFHDMKRRGIKPDLVTFTVLLDAHQKAYIRKVRSQASPKGSNKDMFDASSVWTEMKNTGIRPDVICYTVLIDGHCKADNLQDAVCLFDEMIERGLEPDTVTYTALLSGLCNRGDVDKAVNLLDQMSLKGILPDTRTMSALERGILKARKVQFQK >Manes.12G066901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8431855:8436373:1 gene:Manes.12G066901.v8.1 transcript:Manes.12G066901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLSNLSLFFPKNPHHPQPILFQSSNVEPIWSVKAQKTQSQKTYSQNQRQSSNYQPTVWSHSFIQSLKNENADLLYKDKARKLEEETRAAIYNKNEDFLTILELIDDIQRLGLAFRFEKDIERVLDRFVDSEGRNLWTQKSLHATSLMFRLLRQYGYEVSQDEFKNFMDDKGNLLAIYKKDVKGMLSLYEASSLGFEGEDLLDEAMAQTRTHLINTLQKGNLSELDNSTMESISHALELPLYRRMVMLKARWYIEAYNKRKNANHSLLQLAKINFNMVQSILQRDLKEMSRWWNNLGLAGKLTFSRDRLMECFFWTVGMEFEPQFSSCRKGLTKVTSFITIIDDVYDVYGTLDELELFTDAVERWDIDAVKDLPEYMKLCFLALYNTVNEMTYDTLAKHGEFVHPYLKKSWADLCKAFLQEAKWRHKKLTPSFNEYIENGWRSVSGTVILMHAYLLLDQNILKQGLDSLVNYHHILKWPSVVFRLCNDLATLSGELDRGETINSISCYMNEHGVSEEHARKEINKMIDNAWKKMNQYNQTEVNSFTKPFIEASINLARISQCVYQHGDGHGAPDTKSKKRVVSLIIEPISKETLSYDRCMYND >Manes.13G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1685887:1687871:1 gene:Manes.13G018300.v8.1 transcript:Manes.13G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFESGKRRLTETNMSFSTSFTQDKSTYSKSNLLLASAVADDIDCPILPGLPDDVAKYCLALVPRPYFPAMGAVCKKWRSVIKSKEFLVVRKLAGLLEEWLFVLTMDSQGKESHWEVMDCLGNRCQLLPPMPGPMKAGFGVVVLNGKLFVMAGYSVIDGTGSASADVYEYDSCLSSWSKLSSMNVARFDFACAEVNGKVYAVGGYGKDGDSLSSVEMYDPDSEKWTLIESLRRPRWGCFACGLEGKLYIMGGRSSFTIGNSKCVDVYDPERHSWCEIKNGCVMVTAHAVLEKKLFCMEWKNQRKLAIFNPEDNSWKMVPVPLTGSSSIGFRFGILDGKLLLFSLEEAPGYRTLLYDPNASPGSEWQTSEIKPSGLCLCSVTIKA >Manes.10G152600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31937874:31938305:-1 gene:Manes.10G152600.v8.1 transcript:Manes.10G152600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIIDGSTVRNFVNDESHFQKSVDETFAKLDLNNDGVLSRSELRRGFETLRLMEAHFGIDVVTPPEQLSQLYDAIFEKFDCDKSGTVDREEYRSEIKKILLAIADGLGSSPIQMALEDDSNSFLQKAADLEASKLQQPSSV >Manes.05G199100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32356265:32396066:1 gene:Manes.05G199100.v8.1 transcript:Manes.05G199100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQDHRRRHTPGHDSHGVHVCHKCGWPFPKPYPSAKHRRAHKKICGTIKGYKLVDSESPAHLTVSDDEHLSDEDRKTRGHDSHGVHVCHKCGWPFPKPYPSAKHRRAHKKICGTIKGYKLVDSESPAHLTVSDDEHLSDEDRKTRGHDSHGVHVCHKCGWPFPNPHPSAKHRRAHRKICGTIKGYKLVDSESPAHLTVSDDEHLSDEDRKTRGPRILGRSNNEKGSVETGDRSGRPENEVFSDSGFRRGIEESPDDVNMPAKNETTATLSVKDGAIAAAVPPSSNSANSSQMQNTEVEGSNLSVSAQQSQDNVSSATASFMDRSSTDYRTEEAVYEPTNDKKGSTCDSNTIQLGTQADTSWEMNEKTAGEDLAENVAKGNEETQTKTNSAVDGLTEIDTNTNEETSLGRPLLDAVVLPCDNVGEASESVGKMEDIMSGPVSAAGVIQLKEQSNDELDSKISLNDLPNEIKSVELVNTSIGTAQVKADDDQAMDAANLGKSTNFYNGHVEGNDNVHVLSVPDDLPVVDNAEVMIRGFKDHKGGGLLQLVGVDFKDDSSNFCSSILNEEGTEVSASDMHALKSDHEQKCESDEHVVENFPDETEPVMPQVKMTGNESQSTEQIGVPTAAVAIAIEENGPLQCPEEQLPSDCCESSLQKSSVEHATKVLPDMNPVAAQVISEVMQTTNLVGADDADDYENSKIRRCDIAESEDKRITDKNSTENIIANETVTEEGYSTLGSMEGKVSVETPVSTTESGENLQESNFTSENVINASKSNLSEYECLQLSTVSDNQKCVKELESNGNRKVQVEGGDKVSAAAESINVEDSDELQKSADKVGDVELLRGPSDIINDVVLQKSSEDGTKEPQLSPSDISSSIQNSATAGDNSAGDFVGVVSENQLESSPDEGESKSVAQQLGASVTDFSVDSGSQTDSLEGHWGSVSVLSTQSDMPAIVDAEASNGSKASVKAEKADLTKPKAFSEGQHSDKSDIFEPPSFTTLVEPRDGDKAAAAASSKIQTTQNPNQPAGWFPSLTHVTNESQGRKKNEEIIAKVTNWSAGKQHTPLKNLLSEANIETKSKSLNAKENLVVKKDESSSKDNGASGPQVPISEPVKKEAGKEWNSPARYPTDIKGEKKKVKGRPSWAQFMCCASVN >Manes.13G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30655766:30664872:1 gene:Manes.13G105500.v8.1 transcript:Manes.13G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPAAKRTTTLTVAVKCRPLKERERGRDIVRVNDNKEVIILDPDLSKDYLDRIQNRTKEKKYRFDHAFAPHCTNLDVYERGISSIISGVVQGLNATIFAYGSTGSGKTYTMVGTQEDPGLMVLSLHTIFTLIEKDKNSDQFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPEKGIIVVGLRCIKVHSADKILELLNLGNSRRKTESTEANATSSRSHAVLEIIVKRKQRNKYQNQVMRGKLALVDLAGSERASETNSGGQKLRDGANINRSLLALANCINALGKQHKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATISPADNQYHHTINTLKYADRAKEIKTHIQKNIGTIDTHVSDYQRMIDGLQIEVCRLRKELAEKESQLSVKPAEKSVDDELSWLDILSRETSENVQERINLQKALFELEETNLRNRFELQHLDDAIAKQQAIEKEGSVIEALIARQKVILDNIRDNDEVGINYQKEIEENEKHRFQLQDMIDKAISKNGNKTYLRILNQYRLLGMANTELQFEMAMRDQIIHNQREAQRKLWNMLMGLGLEEKHLLDFAAKHGIIIEDWTMMPRLAISDKYSVSTFDRFAPKGYSQCSGQSISRSCIFQHYQDFGSSSFSRGPWNPSGTFCREEHHSSYYMLSHDQSPPAYLSLRKSTNHWIGSAPDSWFGTPAKLPQNLRSSCPELRTWASPCSEGSPSASSPSADLSRHRKVMWNDASRKHLYETRNAGVSDSQTMMGNGRTALGFSAQLSELHSTSHIFQHTETPSGPNILRVPSFATPEKNLFCKP >Manes.18G084417.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7824577:7827648:1 gene:Manes.18G084417.v8.1 transcript:Manes.18G084417.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRELLLFVAAILPAATAQALPGCQNQCGDLVIPFPFGVNETCSLNEDFIITCNASNAAFLGTTQVQVLNISVQGQLRIAAEAAYDCYNEMGNLTKRARSRFSVPKSKFPLSYRENKFTAIGCDTSAIIQGTKAQTYATGCVSYCVKREDVTNGSCAGIGCCQTSIPKGVLNFFVSVVSFFDHQSVWSFNPCSYSFVVEEKAYEFSVLDLADLRGVTKLPVVLDWAIGNETCSDAQKRPETYACKDNSICQDSDNGPGYRCNCSDGYSGNPYLANGCRDIDECATPSVHLCTHGCKNTPGNYTCYCPKGFEGDGRKDGNGCTRIQKSPIGATIVVGFGFTLLLVASSWLYLIIRQRKLIKLREKFFRRNGGFMLQHELCNPKRTSNNATKIFTAEELKKATRNYDESTIIGKGGFGTVYKGTLTDDREVAIKKSMVVDQTQIEQFINEVIVLSQINHRNVVKLLGCCLETEVPLLVYEYISNGTLFEYVHNQSNASSAICWETRLKIAAETAGALSYLHSAASVPVIHRDVKTTNILLDANYTAKVSDFGASRLVPLDEIQMSTMVQGTLGYLDPEYLHTSQLTEKSDVYSFGVVLVELLTGRKALCFDGPEEERSLAMHFLSSMKGDQVVEIVEKDILNQGNRKQLEEVAKLAKRCLNLKGEERPSMKEIAMELEGLRMMGMHPWDQVKSEETEQLLNLQDNVFDHVDGGISKAGYYSMRDQVKCETRAGHSD >Manes.02G223700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37176819:37179258:-1 gene:Manes.02G223700.v8.1 transcript:Manes.02G223700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Manes.12G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9898207:9900615:-1 gene:Manes.12G079000.v8.1 transcript:Manes.12G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQRVVIPRMKLGCQGLEVSKLGFGCMGLSGGYSAPVSDEVGISIIKEAFDSGITFFDTADVYGFRTNEILVGKALKQLPREKIQLATKFGIVLKGSDYTSSGINGKPEYVRACCEASLNRLGLDYIDLYYQHRVDTSVPIEETMEELVKLVEEGKIKYIGLSEASPDTIMRAHAVHPITAVQMEWSLWSRDIEEEIIPLCRKLGIGIVTYSPLGQGFFGGKAVVESLPSETLLKFQPRFIGENLEKNKLIYARLENLARKYGCTTAQLALAWVLNQGDDVVPIPGTTKIKNLKDNIGALRVKLTKEELKEISDAVPPNEVAGVRTPYTQYTWKFADTPPRDSHFSA >Manes.S032216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:793406:794218:-1 gene:Manes.S032216.v8.1 transcript:Manes.S032216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.04G075800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27391236:27396136:-1 gene:Manes.04G075800.v8.1 transcript:Manes.04G075800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDVLNKEVFSGANMGEIPSNSSMDSFFDELLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSAPSDDKTGTDDTAESTEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVKLRALNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSANELNFANPNLASAYVMNPCNVQCNSQAYCLHPGADGKSGDGIALNGQGLTGCEFDNLQCLANQNSGMKELAGCGIGNLVTNANGNSSSTNKRKGGTRGATGG >Manes.04G075800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27391236:27396393:-1 gene:Manes.04G075800.v8.1 transcript:Manes.04G075800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDVLNKEVFSGANMGEIPSNSSMDSFFDELLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSAPSDDKTGTDDTAESTEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVKLRALNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSANELNFANPNLASAYVMNPCNVQCNSQAYCLHPGADGKSGDGIALNGQGLTGCEFDNLQCLANQNSGMKELAGCGIGNLVTNANGNSSSTNKRKGGTRGATGG >Manes.04G075800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27391236:27396433:-1 gene:Manes.04G075800.v8.1 transcript:Manes.04G075800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGELDVLNKEVFSGANMGEIPSNSSMDSFFDELLKDTHACTHTHTCNPPGPDYSHTHTCFHVHTKIVSAPSDDKTGTDDTAESTEKKSKKRPLGNREAVRKYREKKKARAASLEDEVVKLRALNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSANELNFANPNLASAYVMNPCNVQCNSQAYCLHPGADGKSGDGIALNGQGLTGCEFDNLQCLANQNSGMKELAGCGIGNLVTNANGNSSSTNKRKGGTRGATGG >Manes.01G049480.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:15244649:15245632:-1 gene:Manes.01G049480.v8.1 transcript:Manes.01G049480.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTTCTSRTNELDIKILVIERSCGCDPFSSTTSKTVLFTSSTSSIMCSPCPPSFTTSSISSLMEFSRTTTLDACITTSSSISISMEVDIGTLASSFSFSFSIFPP >Manes.15G143700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11788741:11792465:1 gene:Manes.15G143700.v8.1 transcript:Manes.15G143700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIHRILKVQYSIPDYVQISPECQHLISRIFVADPGKRISIPEIRNHEWFLKNLPADLMNENAMNSQFEEPDQPMQSIDEIMQIISEATIPAAGARSLNQYLAGSLEMDDEMQDLETDPELDMDSSGEIVYAM >Manes.15G143700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11787871:11792465:1 gene:Manes.15G143700.v8.1 transcript:Manes.15G143700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAVTVGSSMDMRIMHDSGRYELVRDIGSGNFGVAKLMRDKQTNELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILNPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIHRILKVQYSIPDYVQISPECQHLISRIFVADPGKRISIPEIRNHEWFLKNLPADLMNENAMNSQFEEPDQPMQSIDEIMQIISEATIPAAGARSLNQYLAGSLEMDDEMQDLETDPELDMDSSGEIVYAM >Manes.15G143700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11787871:11792465:1 gene:Manes.15G143700.v8.1 transcript:Manes.15G143700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIHRILKVQYSIPDYVQISPECQHLISRIFVADPGKRISIPEIRNHEWFLKNLPADLMNENAMNSQFEEPDQPMQSIDEIMQIISEATIPAAGARSLNQYLAGSLEMDDEMQDLETDPELDMDSSGEIVYAM >Manes.15G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11787870:11792745:1 gene:Manes.15G143700.v8.1 transcript:Manes.15G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAVTVGSSMDMRIMHDSGRYELVRDIGSGNFGVAKLMRDKQTNELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILNPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIHRILKVQYSIPDYVQISPECQHLISRIFVADPGKRISIPEIRNHEWFLKNLPADLMNENAMNSQFEEPDQPMQSIDEIMQIISEATIPAAGARSLNQYLAGSLEMDDEMQDLETDPELDMDSSGEIVYAM >Manes.01G214500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38419012:38427902:-1 gene:Manes.01G214500.v8.1 transcript:Manes.01G214500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEETSSPRTREDAGKLQYGCDHYRRRCKIRAPCCQKIFSCRHCHNEATSAMSNPDDRHEVVRHDIKQVICSLCNTEQEVAQVCSNCGVKMGEYYCEICKFYDDDTTKGQFHCEGCGICRVGGRNNFFHCEKCGSCYQVELRNNHSCVENSMKHHCPICYEYLFDSIKGATVMQCGHTMHADCLNLMAEQNQYRCPICSKTVLKVSNYWRMLDQEIEAIQMPEEYHYEVSILCNDCNETSKTAFHIVGLKCSHCRSYNTRRISTPDDH >Manes.17G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28923843:28929069:-1 gene:Manes.17G083100.v8.1 transcript:Manes.17G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGKDYPDNPPSIRFQTRINMTCVNPETGVVEPSLFPMLAKWRRECTMEDILTQLKKEMMSPQNRKLAQPPEGNEEARMDQKGLVLKCCIL >Manes.09G025818.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5216541:5219240:-1 gene:Manes.09G025818.v8.1 transcript:Manes.09G025818.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANTSHRVGIGAIVINDKREVFSATSFAVSACSYSALLLFYVTYPYSL >Manes.09G025818.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5216540:5219240:-1 gene:Manes.09G025818.v8.1 transcript:Manes.09G025818.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANTSHRVGIGAIVINDKREVFSATSFAVSACSYSALLLFYVTYPYSL >Manes.09G025818.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5216539:5219126:-1 gene:Manes.09G025818.v8.1 transcript:Manes.09G025818.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANTSHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEYLGGLIA >Manes.09G025818.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5216541:5220008:-1 gene:Manes.09G025818.v8.1 transcript:Manes.09G025818.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANTSHRVGIGAIVINDKREVFSATSFAVSACSYSALLLFYVTYPYSL >Manes.09G025818.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5216541:5219126:-1 gene:Manes.09G025818.v8.1 transcript:Manes.09G025818.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANTSHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEYLGGLIA >Manes.09G025818.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5216541:5220008:-1 gene:Manes.09G025818.v8.1 transcript:Manes.09G025818.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANTSHRVGIGAIVINDKREVFSATSFAVSACSYSALLLFYVTYPYSL >Manes.09G025818.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5216541:5219126:-1 gene:Manes.09G025818.v8.1 transcript:Manes.09G025818.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANTSHRVGIGAIVINDKREVLVVQEKSGRFRGTGVWKIPTGVVDEDMGHLLASPLS >Manes.09G025818.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5216541:5219240:-1 gene:Manes.09G025818.v8.1 transcript:Manes.09G025818.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSILGNSAPIENGVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANTSHRVGIGAIVINDKREVFSATSFAVSACSYSALLLFYVTYPYSL >Manes.09G011676.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2467439:2501559:1 gene:Manes.09G011676.v8.1 transcript:Manes.09G011676.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYHTVLSMSKTIELLGISHDVYSLSILINCFSHLHLVDFGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKMDKAVEFFDDMVAGGYQPNVYTFNVIANGLCKFGKTNVAIGLLKGMADRGCEPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPHVITYNSLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDQFKEALALLKEMMGRNISPDVFTFNILIDTLCKKGLVSSAENIIKIMIQRGVEPTVVTYSSLMDGYCLCKQIDKARKVFDLMVTNEIANIFSYNILINGYCKCKMIDDAKELFDEMSHKGLLSDGVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYCAIMKGLCREGLIDEAYNIFRDMEKGGCLPNNWCYNIIIQGFLKHKDLPKASQLINEMVDKGFSADNATIKLVVHLSRN >Manes.18G057300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4846410:4848318:-1 gene:Manes.18G057300.v8.1 transcript:Manes.18G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWFALALCMAFFFFFAPDFCLAQKMNPIDSCWRQNPNWRRNRQQLATCSVGFAGKMTNNIGKDVIRYKVTDPRDDPLNPKPGSLRYGATMITGKVWITFQKNMNIELVKPLLISSFTTLDGRGVDVHITGNACLLVYKATDVIIHGLRIHHCMAVGPSSVRGPNGEMVALGKMDGDAIRLVTASKVWIDHNTLYACQDGLLDVTRGSTHITISNNWFKDQDKVMLLGHDDGYLRDKDMKVTVVFNHFGPNCNQRMPRVRHGYAHVANNLYQGWEQYAIGGSMNPSIKSESNYFIAPKSGNKEVTWRQGVNVKSRPWNFYSVRDVFENGASFTQSGVGGAKPNYNNQERFEVADAKSVKSITSSSGALKCFRTIMC >Manes.07G135850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33856133:33856813:1 gene:Manes.07G135850.v8.1 transcript:Manes.07G135850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLKSSEDFDEIKRLDWFFLGVLFYGLRYLFFYLSLNTLRIYIEKWYPICALVFICFSIFYFKVVYRIYDNGPIVDYYEMGRCIKTAMVVISSCAALISRLLLENIYYSYMLVTVIAELQAYFQLLYHPRDHSFWDVFFIASLQIIIINLKDGNILVLILALLLILKLLFEKFRSYSTPITTELILVLSQNV >Manes.14G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:187252:191457:1 gene:Manes.14G000100.v8.1 transcript:Manes.14G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFDEASSAHFWIHNLGYGWATLIALLAILFFHSAKTFFFSLSFSSSKRHLLPVSPVASASCLSVSPSGNLQLFRISDLVSEADLKILIENLDDGLPENEKWENVIDKSKKLLSYSAKCCKPKDAPLKYLSVTVFENCSPEILRDFYMDNDYRKQWDKTLIEHKQLEVDKTNGIEVGCTIKKFPLLTPREYILAWKLWEGKDKTFYCFIKECEHPLVPRQRKYVRVGFFRSGWRIRKVPGRNACEIRMCHQEDAGLNVEMAKLAFARGIWSYICKMDNALRDYSAMSHLRMGPAVTAVSLIQKVPPGLEQKNVVDTPATPAVTAMDGLVADRTKERKFLRRPSRKVVANGLLLLGGVVCLSRGHSSLGAKVAMAYILTKLRKNDAFSGESKER >Manes.03G175100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29887731:29889938:-1 gene:Manes.03G175100.v8.1 transcript:Manes.03G175100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSISEPFNLSNLFSPNIPFPLLISSFRLSINPQILFYPFNPFSSTSTTTTIKWNSITNVIITHPTLLILESCTSMTQLTQIQAHMTVTGLITHTFPVSRVLAFCALADTGDLKHAYLLFTHLENPNTYMWNTMIRGYSKAKMPAVALSFFCQMVRERIEMDTRSFVFGLKACERFSRILEGKSIHSAIWKMGFVSALLVQNGLIHFYSVHGYLILARKVFDEVYLRDVVSWTSMIDGYSAHNCYNEAFKLFDSMLLSDVEPNEVTVISVLSSCSRKGDVSVGKSIHEYVKRKNLNHSLNLMNAILDMYVKCNCLAAARETFDNMRIKDVYSWTSMVNGYAKNGELELARKLFNEMPERNVVSWNAMIAGYSQNNQPKEALALFHDMVDAGLLPMENTLVCVLSACGQLGYLDFGRWIHFYSVEQKHTENSVILANALIDMYAKCGAIDAAADVFNYIPERDLVSWNSMISAYASHGHAEQALVVFEQMIEGGHKPDDITLVGVLSACSHGGLVAEGRAYFQQMERNYGIEPKREHYACMIDLLGRVGLLEDAYELITKMPMQPSEAAWGALLNACRMYGNVELAKLSTKELLDLDPDDSGIYVLLATTCANDRRWGDVKMIRSMMRERGVKKIPGHSLIEVESEFHEFLASDESHPQSEDIYKALDGIYLQSKQEESVTKTSELINLFTFYDDSTRLCMN >Manes.09G058128.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10116842:10121089:-1 gene:Manes.09G058128.v8.1 transcript:Manes.09G058128.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLIKKSKEGGLNTIETYVFWNGHEPQPRQYDFSGNLDLVRFIKIIRDEGLYAILRIGPYVCAEWDYGGFPVWLHNLPGIALRTNNEVFKKEMQTFTAYIVELMKSEGLFASQGGPIILAQIENEYGNVQWAYGDDGKKYRDWCAQIAEDFRIGVPWIMCQQDDAPSPILSACNGYYCDQWNPRNSSNPKIWTENWSGWYMDWGNRIPRRTAEDLAFAVARFYQLGGSLQNYYMYHGGTNFGKTAGGPYITTSYDYDAPLDEYGNLRQPKWGHLKNLHETLMSIEEILLYGERTSMDYQDNKFVTIYAYQGKRSCFFASIDENKDQTLTFEGKDYFLPAWSVSILPDCYTEVYNTAKVNAQTSIMVKRPNEADDFEEPYDLVWQWKEEKIPRLNENGILKGNFNFLVSNVLMDQKRTTNGTSDYSWILTNYVHNASDPQWGNDKDIILHVHTDGHVVHAFVNGRFYGSQFAENGHFEFIFEKSIKLKQGNNAISLLGGTVGLANYGQFFDTVRVGIRGPVKMIARSKNGEPDVVNNISSYRWVYKTGLIGVDQGLHQFQPRYLHSWNTDKLQTNRPFIWYKTSFKAPLGSDPVVVDLLGLGKGVAWINGRSIGRYWPKYSASEEGCDIVCDYRGAYKPEKCNIGCGKPSQRYYHVPRDWLKGEDNQLVLFEELGGNPSLVSIQTVTVGTVCANAYEGHTLELACHAGSKFSDIKFASFGLPEGGCGHFRNGVCHSEKTLSVVQQACLGKERCVLQVNEDAFGSLRCNADTYRLAVEAVC >Manes.09G058128.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10116855:10121083:-1 gene:Manes.09G058128.v8.1 transcript:Manes.09G058128.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAIVSILSFAIILILSFSASSAYDVSYDERGIKIDGQRKLLISGSIHYPRSTPGMWPSLIKKSKEGGLNTIETYVFWNGHEPQPRQYDFSGNLDLVRFIKIIRDEGLYAILRIGPYVCAEWDYGGFPVWLHNLPGIALRTNNEVFKKEMQTFTAYIVELMKSEGLFASQGGPIILAQIENEYGNVQWAYGDDGKKYRDWCAQIAEDFRIGVPWIMCQQDDAPSPILSACNGYYCDQWNPRNSSNPKIWTENWSGWYMDWGNRIPRRTAEDLAFAVARFYQLGGSLQNYYMYHGGTNFGKTAGGPYITTSYDYDAPLDEYGNLRQPKWGHLKNLHETLMSIEEILLYGERTSMDYQDNKFVTIYAYQGKRSCFFASIDENKDQTLTFEGKDYFLPAWSVSILPDCYTEVYNTAKVNAQTSIMVKRPNEADDFEEPYDLVWQWKEEKIPRLNENGILKGNFNFLVSNVLMDQKRTTNGTSDYSWILTNYVHNASDPQWGNDKDIILHVHTDGHVVHAFVNGRFYGSQFAENGHFEFIFEKSIKLKQGNNAISLLGGTVGLANYGQFFDTVRVGIRGPVKMIARSKNGEPDVVNNISSYRWVYKTGLIGVDQGLHQFQPRYLHSWNTDKLQTNRPFIWYKTSFKAPLGSDPVVVDLLGLGKGVAWINGRSIGRYWPKYSASEEGCDIVCDYRGAYKPEKCNIGCGKPSQRYYHVPRDWLKGEDNQLVLFEELGGNPSLVSIQTVTVGTVCANAYEGHTLELACHAGSKFSDIKFASFGLPEGGCGHFRNGVCHSEKTLSVVQQACLGKERCVLQVNEDAFGSLRCNADTYRLAVEAVC >Manes.08G112901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35201765:35202285:-1 gene:Manes.08G112901.v8.1 transcript:Manes.08G112901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCYLDLNAWGGNSTLASREGFIWYDASNTESHRHMR >Manes.05G086372.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7389714:7389986:1 gene:Manes.05G086372.v8.1 transcript:Manes.05G086372.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMCPRKNAWPKLVRKNRDSAVSIIEKENKNVNTIVLKDGMSVTKDFRCNRVWI >Manes.01G139800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33149028:33152162:-1 gene:Manes.01G139800.v8.1 transcript:Manes.01G139800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCATAAPRCFTQQSADELLLSKIKEEMTDSFPRLSEIVSSPCTDNILESHSVSTMYKLDHHHDENQWLCSNFSSADHVTELQLSAGLELNYCNNNVQDCTSILESSSSASSRSNYFSHFLPSINISNSDLDLNLQAVDLLTPKSDAASAISRKQKASQNSSVDHFMGELIKESPASSSNKASDFEDAVQRKKRSSSFVETKKKHDPPSRSSCPPLKVRKENLRERIANLQRLVAPYGKTDTASVLTEAIGYIQFLHDQVQTLSVPYMRSSNSNPTRKMQASSSEEDGKRQPKRDLVNRGLRLVPLSCVSFFNGYSAGI >Manes.01G139800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33149028:33152162:-1 gene:Manes.01G139800.v8.1 transcript:Manes.01G139800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENLHPQHHLQEQYGGYYSSLLFQPEYKASSNNNMNPDIFLESWQPYRGSLYPAGSMSFCATAAPRCFTQQSADELLLSKIKEEMTDSFPRLSEIVSSPCTDNILESHSVSTMYKLDHHHDENQWLCSNFSSADHVTELQLSAGLELNYCNNNVQDCTSILESSSSASSRSNYFSHFLPSINISNSDLDLNLQAVDLLTPKSDAASAISRKQKASQNSSVDHFMGELIKESPASSSNKASDFEDAVQRKKRSSSFVETKKKHDPPSRSSCPPLKVRKENLRERIANLQRQILLLY >Manes.01G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33149028:33152162:-1 gene:Manes.01G139800.v8.1 transcript:Manes.01G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENLHPQHHLQEQYGGYYSSLLFQPEYKASSNNNMNPDIFLESWQPYRGSLYPAGSMSFCATAAPRCFTQQSADELLLSKIKEEMTDSFPRLSEIVSSPCTDNILESHSVSTMYKLDHHHDENQWLCSNFSSADHVTELQLSAGLELNYCNNNVQDCTSILESSSSASSRSNYFSHFLPSINISNSDLDLNLQAVDLLTPKSDAASAISRKQKASQNSSVDHFMGELIKESPASSSNKASDFEDAVQRKKRSSSFVETKKKHDPPSRSSCPPLKVRKENLRERIANLQRLVAPYGKTDTASVLTEAIGYIQFLHDQVQTLSVPYMRSSNSNPTRKMQASSSEEDGKRQPKRDLVNRGLRLVPLSCVSFFNGYSAGI >Manes.01G139800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33149028:33152162:-1 gene:Manes.01G139800.v8.1 transcript:Manes.01G139800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENLHPQHHLQEQYGGYYSSLLFQPEYKASSNNNMNPDIFLESWQPYRGSLYPAGSMSFCATAAPRCFTQQSADELLLSKIKEEMTDSFPRLSEIVSSPCTDNILESHSVSTMYKLDHHHDENQWLCSNFSSADHVTELQLSAGLELNYCNNNVQDCTSILESSSSASSRSNYFSHFLPSINISNSDLDLNLQAVDLLTPKSDAASAISRKQKASQNSSVDHFMGELIKESPASSSNKASDFEDAVQRKKRSSSFVETKKKHDPPSRSSCPPLKEGESKREDCKSSKVSGTLWQDRYCFCIDRSNWLYPISS >Manes.01G139800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33150525:33152162:-1 gene:Manes.01G139800.v8.1 transcript:Manes.01G139800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPENLHPQHHLQEQYGGYYSSLLFQPEYKASSNNNMNPDIFLESWQPYRGSLYPAGSMSFCATAAPRCFTQQSADELLLSKIKEEMTDSFPRLSEIVSSPCTDNILESHSVSTMYKLDHHHDENQWLCSNFSSADHVTELQLSAGLELNYCNNNVQDCTSILESSSSASSRSNYFSHFLPSINISNSDLDLNLQAVDLLTPKSDAASAISRKQKASQNSSVDHFMGELIKESPASSSNKASDFEDAVQRKKRSSSFVETKKKHDPPSRSSCPPLKVRKENLRERIANLQRLVAPYGKVLQLN >Manes.11G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1264954:1266165:1 gene:Manes.11G013400.v8.1 transcript:Manes.11G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKIKNSLQMPGGFSQDSKSTYATSNKESMVVGLQTLVQISSEATSKSNVVLKSALKKVNHHLPAAASATTFESCYLKSCFLCNKNLSLDKDIYMYRGDQGFCSVECRSRQIVLDEMRELETSTKKIRKSQRHCSAAGRHETRQILEDLRRRHKHVTQQKHGAIVS >Manes.06G063000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19737164:19739479:-1 gene:Manes.06G063000.v8.1 transcript:Manes.06G063000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQILLGEAGEEKPQRISWRVLTQEAKRTAYIAGPMVAVNLSQYFLQIISIMMVGHLGQLSLSSTAIAISFCGVTGFSLLFGMSSALETLCGQAHGAKQYRQFGVQIYTAIFSLNIVCIPLSVLWIYMGKILVFMGQDTLISQQAAKFSSCLIPALFGYANLQAVVRYFQMQSLIFPLIISSLFAVCFHVVVCWILVFNSGLGNLGAAFSIGISYWVNWILLALYMRFSSSCEKTRVSVSMELFQGVGQFFRLAIPSAGMICLEWWSFEFLTMLSGILPNPRLETSVLSVCLATISTLYTVPDGLGAAARHVFGYIFSNEKEVVDYVTDMAPLVSISVILESFQVTLSGVARGCGWQNLGAYVNLVAYYICGIPVAAVLGFWLKFRGKGLWIGIQVGSFLQNVMLVIITSCTNWEEQARKARERVFERRSISEDGSE >Manes.06G063000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19737164:19739479:-1 gene:Manes.06G063000.v8.1 transcript:Manes.06G063000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQILLGEAGEEKPQRISWRVLTQEAKRTAYIAGPMVAVNLSQYFLQIISIMMVGHLGQLSLSSTAIAISFCGVTGFSLLFGMSSALETLCGQAHGAKQYRQFGVQIYTAIFSLNIVCIPLSVLWIYMGKILVFMGQDTLISQQAAKFSSCLIPALFGYANLQAVVRYFQMQSLIFPLIISSLFAVCFHVVVCWILVFNSGLGNLGAAFSIGISYWVNWILLALYMRFSSSCEKTRVSVSMELFQGVGQFFRLAIPSAGMICLEWWSFEFLTMLSGILPNPRLETSVLSVCLATISTLYTVPDGLGAAASTRVSNELGAGNARAAYVAVWCSMFVATTLSIIVSSILFASRHVFGYIFSNEKEVVDYVTDMAPLVSISVILESFQVTLSGVARGCGWQNLGAYVNLVAYYICGIPVAAVLGFWLKFRGKGLWIGIQVGSFLQNVMLVIITSCTNWEEQARKARERVFERRSISEDGSE >Manes.09G179000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36859305:36863830:1 gene:Manes.09G179000.v8.1 transcript:Manes.09G179000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAIQQQAQPSFSILPSSLSDFNGTRLHTQLQCKRKVWQTRGALQVTASSSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDQDFADFSSKILHLKGDRKDFDFVKSSLSAKGFDVVYDINGREADEVAPILDALPKLEQYIYCSSAGVYLKSDLLPHCETDAVDPKSRHKGKLETESLLESRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGIQISQLGHVKDLATAFLQVLGNEKAYKEVFNISGEKYVTFDGLARACAKAAGFPEPEIIHYNPKEFDFGKKKAFPFRDQHFFASVDKAKHVLGWKPEFDLVEGLADSYNLDFGRGTYRKEADFSTDDLILGKSLVLQA >Manes.09G179000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36859305:36863830:1 gene:Manes.09G179000.v8.1 transcript:Manes.09G179000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAIQQQAQPSFSILPSSLSDFNGTRLHTQLQCKRKVWQTRGALQVTASSSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDQDFADFSSKILHLKGDRKDFDFVKSSLSAKGFDVVYDINDAVDPKSRHKGKLETESLLESRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGIQISQLGHVKDLATAFLQVLGNEKAYKEVFNISGEKYVTFDGLARACAKAAGFPEPEIIHYNPKEFDFGKKKAFPFRDQHFFASVDKAKHVLGWKPEFDLVEGLADSYNLDFGRGTYRKEADFSTDDLILGKSLVLQA >Manes.16G014901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1498981:1501839:1 gene:Manes.16G014901.v8.1 transcript:Manes.16G014901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFNLLVIIGLILSFMLNLLNLPGVAGIVWLFSYCKNGTSFTPNGIYQQNLNSLLSFLASNATQGKGFYYTAAGDDPSDMVYGLFLCRGDIVPLKGVCQGCVSTATKDIVQDCPNGKASIIWYDECMLRYSNESFFSQVEEYPAQAWSNAKPPVNESERLTELATTTMRNVAIRAANDRSGKRFGAEQVNFTSSQTLYTLVQCTQDLSVANCSKCLREAIGAFPYCCYGRQGARVIFPSCNARYELYPFYQVENIQSPPPTTDKRKIRMILLAVGIPTVLIAIVVGSGLVFLRRKRRKEKEKGKVPPELLQDLASPGTTGQGNLPNSVELPVMDLTTVREATDNFSDSNKLGRGGFGTVYKGVLPDGKEIAVKRLSKKSCQGLVELKNEIMLIAKLQHRNLVRLLGCSIEGDEKLLIYEFMPNKSLDYFLFDSSKGEQLDWPRRLRIINGIARGLLYLHEDSRLRVIHRDLKAGNILLDYEMNAKISDFGMARIFGINQTEVNTNRVVGTFGYMAPEYAMQGLLSIKSDIFSFGVLLLEIISGKRNNGFYLSENGESLLTLAWNLWSKNQGLELMDPLLANSFVGGEVLKCIQTGLLCVQDDPTDRPTMSMVVLMLESDTMTLPQPKKHTFSVQRVVVNKESSSNCEGISINEMTISEILLR >Manes.16G014901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1498981:1501839:1 gene:Manes.16G014901.v8.1 transcript:Manes.16G014901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFNLLVIIGLILSFMLNLLNLPGVAGIVWLFSYCKNGTSFTPNGIYQQNLNSLLSFLASNATQGKGFYYTAAGDDPSDMVYGLFLCRGDIVPLKGVCQGCVSTATKDIVQDCPNGKASIIWYDECMLRYSNESFFSQVEEYPAQAWSNAKPPVNESERLTELATTTMRNVAIRAANDRSGKRFGAEQVNFTSSQTLYTLVQCTQDLSVANCSKCLREAIGAFPYCCYGRQGARVIFPSCNARYELYPFYQVENIQSPPPTTADKRKIRMILLAVGIPTVLIAIVVGSGLVFLRRKRRKEKEKGKVPPELLQDLASPGTTGQGNLPNSVELPVMDLTTVREATDNFSDSNKLGRGGFGTVYKGVLPDGKEIAVKRLSKKSCQGLVELKNEIMLIAKLQHRNLVRLLGCSIEGDEKLLIYEFMPNKSLDYFLFDSSKGEQLDWPRRLRIINGIARGLLYLHEDSRLRVIHRDLKAGNILLDYEMNAKISDFGMARIFGINQTEVNTNRVVGTFGYMAPEYAMQGLLSIKSDIFSFGVLLLEIISGKRNNGFYLSENGESLLTLAWNLWSKNQGLELMDPLLANSFVGGEVLKCIQTGLLCVQDDPTDRPTMSMVVLMLESDTMTLPQPKKHTFSVQRVVVNKESSSNCEGISINEMTISEILLR >Manes.02G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9125553:9129064:1 gene:Manes.02G119300.v8.1 transcript:Manes.02G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLLLFTLVLFGTLALIQAKKSKQDLKEITHKVYFDVEIAGKPAGRIVIGLFGKAVPKTAENFRALCTGEKGIGKSGKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGDKFADENFKLKHTGPGFLSMANAGPDTNGSQFFITTVTTKWLDGKHVVFGKVLSGMDVVYKIEAEGRQNGTPKSKVVIADSGEIPL >Manes.02G119300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9125162:9129064:1 gene:Manes.02G119300.v8.1 transcript:Manes.02G119300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLLLFTLVLFGTLALIQAKKSKQDLKEITHKVYFDVEIAGKPAGRIVIGLFGKAVPKTAENFRALCTGEKGIGKSGKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGDKFADENFKLKHTGPGFLSMANAGPDTNGSQFFITTVTTKWLDGKHVVFGKVLSGMDVVYKIEAEGRQNGTPKSKVVIADSGEIPL >Manes.02G119300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9125893:9129353:1 gene:Manes.02G119300.v8.1 transcript:Manes.02G119300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLLLFTLVLFGTLALIQAKKSKQDLKEITHKVYFDVEIAGKPAGRIVIGLFGKAVPKTAENFRALCTGEKGIGKSGKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGDKFADENFKLKHTGPGFLSMANAGPDTNGSQFFITTVTTKWLDGKHVVFGKVLSGMDVVYKIEAEGRQNGTPKSKVVIADSGEIPL >Manes.02G096400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7511886:7513049:-1 gene:Manes.02G096400.v8.1 transcript:Manes.02G096400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKFGAIFQSIQSSQLKTLNPYPRLFRYCHFDSKLVFNSINQTSNPAPIKPQTNVAIFWDLDNKPPNSFPPFEAAFRLKMAASSFGVVRYMVAYANRHAFSYVPQAVKEKRKERALLNQLENKGVIKPIEPYLCRVCGRKFYNNEKLTNHFKQIHEREHQKRLNQIESARGKRRVKLVARYAMKLQKYKNAVRGVLNPKVGYGLADELKRAGFWVRRVSDRPQAADVALRDHMVDLMDKRRAECLVLVSDDSDFVGVLKEAKLRCLKTVVVGDVNDGALKRAADAGFSWQEILMGKAKKEAVSVVGRWKDQDILKKLEWTYNPEEEKTLCGRVAGFDNYESDSNSDDDENEGGDFEGISDDDEADCVEKDTACAWWELNSDPGASF >Manes.02G158500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12381282:12388190:1 gene:Manes.02G158500.v8.1 transcript:Manes.02G158500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENADVFLGLHDFLERMRQPTAADFVKSIKSALVQEFLANMEAAFRAHPLWAGCSDEELESAGEGLEKYVMTKLFTRVFASLPDDVKVDEQLSEKMSLIQQFIRPENLDIKPTFQNETSWLLAQKELQKINIYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIFVAIKANPPQLHSNLLYIQRYRRQSRLVAEAAYFFTNMLSAESFISNINAESLSMEENEFEKNMESARALLSGLSTDLDSLSNQSDQNVGNNLKAEVMDSKLQALTSTKERDSSIRSSPSETRSGSKDVQHVKDESSVARVQSLSDIENRGALMLLKEDLASKVFQEYPYLFAHTGDLTINDVEDLLDNYKKLVFKYVCLSKGLGGAIPSLNLSTCHHSEAETVKEHQDTGAVELNDESQKHTTVMDSSFTDSLVSEENIKSISEENIKSKSQLDETIASQEEGKEENSQG >Manes.02G158500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12381282:12388190:1 gene:Manes.02G158500.v8.1 transcript:Manes.02G158500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLFTRVFASLPDDVKVDEQLSEKMSLIQQFIRPENLDIKPTFQNETSWLLAQKELQKINIYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIFVAIKANPPQLHSNLLYIQRYRRQSRLVAEAAYFFTNMLSAESFISNINAESLSMEENEFEKNMESARALLSGLSTDLDSLSNQSDQNVGNNLKAEVMDSKLQALTSTKERDSSIRSSPSETRSGSKDVQHVKDESSVARVQSLSDIENRGALMLLKEDLASKVFQEYPYLFAHTGDLTINDVEDLLDNYKKLVFKYVCLSKGLGGAIPSLNLSTCHHSEAETVKEHQDTGAVELNDESQKHTTVMDSSFTDSLVSEENIKSISEENIKSKSQLDETIASQEEGKEENSQG >Manes.02G158500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12381282:12388190:1 gene:Manes.02G158500.v8.1 transcript:Manes.02G158500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENADVFLGLHDFLERMRQPTAADFVKSIKSFIVSFSNNAPDPDKDSALVQEFLANMEAAFRAHPLWAGCSDEELESAGEGLEKYVMTKLFTRVFASLPDDVKVDEQLSEKMSLIQQFIRPENLDIKPTFQNETSWLLAQKELQKINIYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIFVAIKANPPQLHSNLLYIQRYRRQSRLVAEAAYFFTNMLSAESFISNINAESLSMEENEFEKNMESARALLSGLSTDLDSLSNQSDQNVGNNLKAEVMDSKLQALTSTKERDSSIRSSPSETRSGSKDVQHVKDESSVARVQSLSDIENRGALMLLKEDLASKVFQEYPYLFAHTGDLTINDVEDLLDNYKKLVFKYVCLSKGLGGAIPSLNLSTCHHSEAETVKEHQDTGAVELNDESQKHTTVMDSSFTDSLVSEENIKSISEENIKSKSQLDETIASQEEGKEENSQG >Manes.07G112900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31839860:31842385:1 gene:Manes.07G112900.v8.1 transcript:Manes.07G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLFRGTLQIPISACSFSLTSSTIQLGFIQNGLLSSVRSLSTSLKRVGHEQRKYPYTVFYLVNSFGFSVESAESISRKVNFESSKNPDAVVSLLTEHGFTKDHISSLIRQNPKLLLANPTKTLSPKLEFLRSIGFSGAELGKKVSWICLLLSRSLKEHIIPCYNILKSVVVSDLKVIRCLKKTYGVWFPSPKNLSVHLSTLREVGICQSIISYLLLSKPCLTCLKTAKFRQLVHKAIELGFDPQKVTFVHALGSLSCPNTWEQKIEVYRSFGLSGDEIWLAVRKFPMLMSFSREKITNTMDFLVNKMGWLPADVARVPSVLCYSLDKRIIPRCSVVKVLMLKGLVKREYSLAFVLTTNENYFLDLFVIRYQEEVPQLLSIFRGETNILDFDLDLRKNPQHRPLLDAA >Manes.14G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4254191:4255844:-1 gene:Manes.14G049000.v8.1 transcript:Manes.14G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLWTLLTHVHAFSGPVLMLLYPLYASVMAIESPSKLDDEQWLAYWIIYSFLTLAEMVLQAILQWIPLWYTMKLVLVAWLVLPQFRGAAFIYERYVRENVKKYTEGRVHPRHSHHR >Manes.01G050900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9913177:9917154:1 gene:Manes.01G050900.v8.1 transcript:Manes.01G050900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSTSSTFTANAPDSSAASSHPPHSNVTTLHPPSASSTTRPPPPHSLQPSNRPSPTTPPVGRVLGRPMEDVRNTYTFGRELGRGQFGVTYLVTHKETKQQFACKSISTRRLIKSDDIEDVRREVQIMHHLTGHRNIVELKGAYEDRHSVNLVMELCAGGELFDRIIAKGHYSERAAANLCRQIVTVVHDCHSMGVIHRDLKPENFLFLGTDENSPLKATDFGLSVFFKPGDMFKDLVGSAYYVAPEVLLRHYGAEVDIWSAGVILYILLSGVPPFWGKNDKAIFNSVLRGYIDFSSDPWPSISSSAKDLVKKMLRADPKERLSAVEVLNHPWMQEDGDASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIMGLKEMFKSMDTDNSGTVTYEELKAGLPKLGTEISESEVRQLLEAVTCLIL >Manes.01G050900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9913141:9930730:1 gene:Manes.01G050900.v8.1 transcript:Manes.01G050900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSSTSSTFTANAPDSSAASSHPPHSNVTTLHPPSASSTTRPPPPHSLQPSNRPSPTTPPVGRVLGRPMEDVRNTYTFGRELGRGQFGVTYLVTHKETKQQFACKSISTRRLIKSDDIEDVRREVQIMHHLTGHRNIVELKGAYEDRHSVNLVMELCAGGELFDRIIAKGHYSERAAANLCRQIVTVVHDCHSMGVIHRDLKPENFLFLGTDENSPLKATDFGLSVFFKPGDMFKDLVGSAYYVAPEVLLRHYGAEVDIWSAGVILYILLSGVPPFWGKNDKAIFNSVLRGYIDFSSDPWPSISSSAKDLVKKMLRADPKERLSAVEVLNHPWMQEDGDASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIMGLKEMFKSMDTDNSGTVTYEELKAGLPKLGTEISESEVRQLLEAADVDGNGTIDYTEFITATMHMNRMEREDHLYKAFEYFDKDKSGYITIEELEHALKKYKMGDAKTIKEIIVEVDTDKDGRINYEEFVAMMRKGNPDLIANRRQK >Manes.01G233100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39659611:39664700:-1 gene:Manes.01G233100.v8.1 transcript:Manes.01G233100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFICSSLSIPLSVDLHFVHTIILRLIMGKTKSFKDEFTFEERLEESRDIIAKYANRVPVIVERYCKTDLPELEKKKYLVPRDMSVGQFVHVLSSRLHLTPGKALFVFVRNTLPQTATLMDCVYESFKDKDGFLYMCYSSEKTFGHSNALYL >Manes.01G199900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37338801:37341311:-1 gene:Manes.01G199900.v8.1 transcript:Manes.01G199900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKFLLNEEKAMVESLLGMEACEFLIASASNNVMADLVSPPSNLGVQQGLCQLVDCSNWNYAILWYASSLKSGGSILSWGDGVCRNPQGGGAGEGRDSKFEAAEKREEVKKIVLQKLHACFNMSDGDNYAASLDGVSDVELFYLISMYFTFRCDSSYTPAESYKSGRSIWASDVVSCLEQYQLRSVLARSAGLQTVVFLPVKCGVVELGSIKSIPEEHNLVERAKTIFGVSNAVQARACPKIFGHELSLGGTKSRSISINFSPKVEDDLVFASESYAEAIGTNPVYGSTSNGCTGDANEAKLFPHLNQMNLSGFNAETMVTGLEQPKDDLSPQLDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQMKIRVLETEKEMTNNRRKQPSVPEIDFQSRQEDAVVRLSCPLDAHPVSRILETFQEHQITAQECNVSTTTENDKIIHTFSIRTQSGVAEQLKEKLETALSK >Manes.10G083200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20062804:20065055:1 gene:Manes.10G083200.v8.1 transcript:Manes.10G083200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIGVSLEGEWSSLSGMYRSEEAVFLAQLLGNNDSSSCFGVPSSFWSSHDSEMNMAAVNEDSVDTNFYNFSQGSSCYSGGSNFLFATSSQESYFLNDSHAVLVSNNSSMSMDFCVGDVINTVSYLVEGDDCLNQEISNGNVEEYGGNQQPEAADFPDKQEMLVPEQLTEDKSGKPPEISKKRSRNAGDAQKNKRNARSKKSQKLASGNNNAEECNAGVNVPATSSCCSEDDSNGSHELNGGANSSLSLKGTPALNLNGRTRASRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVIT >Manes.10G083200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20062804:20065055:1 gene:Manes.10G083200.v8.1 transcript:Manes.10G083200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIGVSLEGEWSSLSGMYRSEEAVFLAQLLGNNDSSSCFGVPSSFWSSHDSEMNMAAVNEDSVDTNFYNFSQGSSCYSGGSNFLFATSSQESYFLNDSHAVLVSNNSSMSMDFCVGDVINTVSYLVEGDDCLNQEISNGNVEEYGGNQQPEAADFPDKQEMLVPEQLTEDKSGKPPEISKKRSRNAGDAQKNKRNARSKKSQKLASGNNNAEECNAGVNVPATSSCCSEDDSNGSHELNGGANSSLSLKGTPALNLNGRTRASRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVIT >Manes.10G083200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20062804:20065023:1 gene:Manes.10G083200.v8.1 transcript:Manes.10G083200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIGVSLEGEWSSLSGMYRSEEAVFLAQLLGNNDSSSCFGVPSSFWSSHDSEMNMAAVNEDSVDTNFYNFSQGSSCYSGGSNFLFATSSQESYFLNDSHAVLVSNNSSMSMDFCVGDVINTVSYLVEGDDCLNQEISNGNVEEYGGNQQPEAADFPDKQEMLVPEQLTEDKSGKPPEISKKRSRNAGDAQKNKRNARSKKSQKLASGNNNAEECNAGVNVPATSSCCSEDDSNGSHELNGGANSSLSLKGTPALNLNGRTRASRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVNYVKFLQLQIKVRTK >Manes.10G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20062804:20065022:1 gene:Manes.10G083200.v8.1 transcript:Manes.10G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIGVSLEGEWSSLSGMYRSEEAVFLAQLLGNNDSSSCFGVPSSFWSSHDSEMNMAAVNEDSVDTNFYNFSQGSSCYSGGSNFLFATSSQESYFLNDSHAVLVSNNSSMSMDFCVGDVINTVSYLVEGDDCLNQEISNGNVEEYGGNQQPEAADFPDKQEMLVPEQLTEDKSGKPPEISKKRSRNAGDAQKNKRNARSKKSQKLASGNNNAEECNAGVNVPATSSCCSEDDSNGSHELNGGANSSLSLKGTPALNLNGRTRASRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVNYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLDLKLSTAR >Manes.05G107950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10421041:10430301:-1 gene:Manes.05G107950.v8.1 transcript:Manes.05G107950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLEIALCCTMVSWFCTASIATTDDNFICVALDWWPSSKCNYDHCPWGQAGIFTLDLENKVLSNAVKAFNPLRIRIGGSLHDQLVYQVGNTSSQSCRPFQHKKDGLLGYSAGYLTMETWDQLNQFANQTRAKVTFGLNALTGRRSPSGNGSILWVGAWNLHNALDLMNSYEINSISLLISGNELCSNGVDAKVGAEQYGKDMIVLKKLVKKLYPDPNTEPKLLGPGGFLDERWFKTYLTVFGRRVISGYLQPWFRHDSQYLDQIAQVYGYLSSTIKEYGPWTDAWVGESGGAYNVKARRESKIVSHSFSDGFWFLDQLGMSSSFNHKVFCRQSLVGGNYGLLNATTFVPNPDYYTIKILPQKLFVFSYSHCSKRKLVIALLLMNLSNSTTFKVVVSNVGNPHEHSSRGTTQREEYHLTPRDGNIRSSVVRLNGTPMVITDSPNIPPMNPKLVDPSLPITVAPSSIVFAILRDFNARACS >Manes.03G184900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30568364:30573173:-1 gene:Manes.03G184900.v8.1 transcript:Manes.03G184900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLSSSPPSAASVAASSSSSSPSSSSSSATSWFSSIVRGRADRSDSLKKASNSGSRDFFSPIIAKDQFPGVLFKYGPKPIQVAFKTGDHKQQLVFIGGLTDGFLATEYLGPLAIALDREKWSLVQLLMSSSYSGYGTSTLQQDAKEIDQLVSYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLSETASMIDLASTMIAEGRGSDLMPREADSSAPITAYRYHSLCAYMGDDDMFSSDLTDDQLKTRLGHMCNTPCQALVIMFQVMILKSGDSYLLLHTRT >Manes.03G184900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30568365:30573173:-1 gene:Manes.03G184900.v8.1 transcript:Manes.03G184900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLSSSPPSAASVAASSSSSSPSSSSSSATSWFSSIVRGRADRSDSLKKASNSGSRDFFSPIIAKDQFPGVLFKYGPKPIQVAFKTGDHKQQLVFIGGLTDGFLATEYLGPLAIALDREKWSLVQLLMSSSYSGYGTSTLQQDAKEIDQLVSYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLSETASMIDLASTMIAEGRGSDLMPREADSSAPITAYRYHSLCAYMGDDDMFSSDLTDDQLKTRLGHMCNTPCQPI >Manes.03G184900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30568364:30573173:-1 gene:Manes.03G184900.v8.1 transcript:Manes.03G184900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLSSSPPSAASVAASSSSSSPSSSSSSATSWFSSIVRGRADRSDSLKKASNSGSRDFFSPIIAKDQFPGVLFKYGPKPIQVAFKTGDHKQQLVFIGGLTDGFLATEYLGPLAIALDREKWSLVQLLMSSSYSGYGTSTLQQDAKEIDQLVSYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLSETASMIDLASTMIAEGRGSDLMPREADSSAPITAYRYHSLCAYMGDDDMFSSDLTDDQLKTRLGHMCNTPCQPI >Manes.03G184900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30568365:30573173:-1 gene:Manes.03G184900.v8.1 transcript:Manes.03G184900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLSSSPPSAASVAASSSSSSPSSSSSSATSWFSSIVRGRADRSDSLKKASNSGSRDFFSPIIAKDQFPGVLFKYGPKPIQVAFKTGDHKQQLVFIGGLTDGFLATEYLGPLAIALDREKWSLVQLLMSSSYSGYGTSTLQQDAKEIDQLVSYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLSETASMIDLASTMIAEGRGSDLMPREADSSAPITAYRYHSLCAYMGDDDMFSSDLTDDQLKTRLGHMCNTPCQVIFSMADEYVPEYVDKKALVGRLCRAMGGAEKVEIEHGNHSLSNRIREAVQAIIDFVKREGPKGWDDPWN >Manes.07G077300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23785948:23803052:-1 gene:Manes.07G077300.v8.1 transcript:Manes.07G077300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLNLPSPSPTSPLSCSPRLSRLNPSPLNHHCFTPIATRFLRFHSNYRQSLHPVSAVPSAVDLAETNGTDGRDSEKKPLLEVKDLTAVIAESKQEILKGVNLVVNEGEVHAVMGKNGSGKSTFSKVLVGHPDYEVTGGSVMFKGENLLEMEPEDRSLAGLFMSFQSPVEIPGVNNIDFLNMAYNARRRKLGLPELGPIEFYAYLFPKLELVNMKSDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALKDVAKAVNGILTPKNSVLMITHYLRLLEFIRPTYIHIMENGRIVKTGDISIAKVLEKEGYRAISSP >Manes.17G085400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29262585:29269087:-1 gene:Manes.17G085400.v8.1 transcript:Manes.17G085400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS6 MVSRSYSNLLELASGESPSFGRMSRRIPRIMTVAGIISDLDDDPTDSVCSDPSSSSVQRDRIIIVANQLPIRAQRKSDGSKSWIFTWDENSLLLQLKDGLGDDDIEVIYVGCLREEIHPSEQDEVSQILLETFKCVPTFLPPDLFSRFYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSANKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYCGRTVSIKILPVGIHMGQLQSVLRLPETETKVVELIKQFNDQGRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVKEVQAETYSTVKRINETFGKPGYDPIILIDAPLKFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNERLDKVLRLEPSALKKSMLVISEFIGCSPSLSGAIRVNPWNIDAVADAMDCALEMAEPEKQLRHDKHYKYVSTHDVGYWARSFLQDLERTCRDHARRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSIDILNSLCRDKNNMVFLVSARSRKTLTEWFSQCEKLGLAAEHGYFLRLTRDAEWETCVPVTDIAWKQIAEPVMQLYTETTDGSTIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEVKPQGVSKGLVAKRLLSTMQERGMSPDFVLCIGDDRSDEDMFEVITSSMAGPSIAPRAEVFACTVGRKPSKAKYYLDDTTEIVRLMQGLASVSEQTVAV >Manes.17G085400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29262585:29269087:-1 gene:Manes.17G085400.v8.1 transcript:Manes.17G085400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS6 MVSRSYSNLLELASGESPSFGRMSRRIPRIMTVAGIISDLDDDPTDSVCSDPSSSSVQRDRIIIVANQLPIRAQRKSDGSKSWIFTWDENSLLLQLKDGLGDDDIEVIYVGCLREEIHPSEQDEVSQILLETFKCVPTFLPPDLFSRFYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSANKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYCGRTVSIKILPVGIHMGQLQSVLRLPETETKVVELIKQFNDQGRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWRGKVVLVQIANPARGKGKDVKEVQAETYSTVKRINETFGKPGYDPIILIDAPLKFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNERLDKVLRLEPSALKKSMLVISEFIGCSPSLSGAIRVNPWNIDAVADAMDCALEMAEPEKQLRHDKHYKYVSTHDVGYWARSFLQDLERTCRDHARRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSIDILNSLCRDKNNMVFLVSARSRKTLTEWFSQCEKLGLAAEHGYFLRLTRDAEWETCVPVTDIAWKQIAEPVMQLYTETTDGSTIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEVKPQGVSKGLVAKRLLSTMQERGMSPDFVLCIGDDRSDEDMFEVITSSMAGPSIAPRAEVFACTVGRKPSKAKYYLDDTTEIVRLMQGLASVSEQTVAV >Manes.02G059300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4607470:4612837:1 gene:Manes.02G059300.v8.1 transcript:Manes.02G059300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSIKGKLFEYSTNSSMERILERYESYSSAERQAANDSEHQGNWSLECPKLMARIEILERSLRNFSGEDLDPMSLRELQHLEQQIANGLKRVRARKNQLYHESISGLQKKERALHEQNNMLSKKLKENEKTTAEQANCEQQNLGPNPTSFMPPPILSLPSLSISASGPLQTREFMNENEEARAQIHPDTLQIPPWMLRHVNE >Manes.01G160602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29839885:29841826:-1 gene:Manes.01G160602.v8.1 transcript:Manes.01G160602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHVLVLPFPAQGHVNPLMHFAWRIANLGSKVTFVNTDFNHKRVLSAMAGRNEPTGSDVNLVSIPDGMDPEDDRTDIGKLCEAMLITMRKKFQDLIEDINKNHPFTCIIVDGTMGWAREVADKLGIRVAMFWPASAAIFCQLANISNLNRDGYIDSDGFAIKKHKIQISPSGPIFDSDNLAWKVGNAYSQKAFFKYIERVMEDSQLIEWQLCNSIHELEAEVFSLVPKLLPIGPLLAGYDTGNSGAQFWPEDSSCLKWLDRQPSQSVIYVAFGSLTIFDQSQLQELALGLKLTNKPFLWVVRPGNSTQESNPNEFEDSHGKIISWAPQQKVLSHPAIACFVSHCGWNSAIEGVSNGVPFLCWPYFTDQFVNKSYICDVWKIGLGLDKDEKGIITKEEFKQKVELLLGDKITIKKALELKQFAEDNIREGGQSSTNFSNFIKWVEA >Manes.11G162800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32762071:32762622:-1 gene:Manes.11G162800.v8.1 transcript:Manes.11G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKVKWSWTSAFIGAASATALTALINSKPKDPTFHLISIDLTSFKLNLPVLDAELLLTVHVTNPNIAPVHYSSTTMSILYDGSLLGSAQLEAGSQPSRSCKLLRLQARLNGLQLAHHAYKFFSDVTKREMVLDAKVDVEGAAKLALWEHKFKVHVDSHVTVDPLFLDVIDQENKSQLDLLLT >Manes.06G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21496213:21498307:1 gene:Manes.06G078800.v8.1 transcript:Manes.06G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAITVRKQVAEKNIFMNEKEKPSSQGFFSKHLKKIYPIGLHRSNSSLSLSSVSLSLSQNSNDSSLTDYSTPLEHKIALALRLITPLERRENPVVSKNVQIQQQQQQSNQENTCGELKRCNWITKNSDKVYVEFHDECWGVPVYDDNKLFELLAMAGMLMDYNWTEIVKRKQVFREAFAGFDPNDVAKMGEKEITEIASNKAIMLAESRVRCIIDNAKCIGKIEREFGSFSSYMWGYVNYKPTINRYKHPRQVPLRSPKAEAISRDLVRRGFRFVGPVIVHSFMQAAGLTIDHLVDCFRYGECVSLAERPWRHI >Manes.06G078800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21496212:21498307:1 gene:Manes.06G078800.v8.1 transcript:Manes.06G078800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSQVAKAFSLNISRKSTQLGCTGIALALRLITPLERRENPVVSKNVQIQQQQQQSNQENTCGELKRCNWITKNSDKVYVEFHDECWGVPVYDDNKLFELLAMAGMLMDYNWTEIVKRKQVFREAFAGFDPNDVAKMGEKEITEIASNKAIMLAESRVRCIIDNAKCIGKIEREFGSFSSYMWGYVNYKPTINRYKHPRQVPLRSPKAEAISRDLVRRGFRFVGPVIVHSFMQAAGLTIDHLVDCFRYGECVSLAERPWRHI >Manes.10G093601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24024056:24031209:1 gene:Manes.10G093601.v8.1 transcript:Manes.10G093601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLLLFSCCKVTLSADELPYVPSDNLAMDCPPSDAPSYFRPNSLMQQNFIFSAVSRIYDIEPHNHGEKPKSSPCIITEQFTYTFPVSIGPKFVRLYFKPITNSGLNISNALFSVSVGQFTLLTTSESSYCKLNSDAGYVVREFCVNTHGHVLNVTFTPSSKISDAYAFFNRIEIVSMPSKLYIQENFPLPLVGQPSHFSMENSTALEMMHRLNVGGDLIPQVEDTGMFRLWTSDVDYFIGDEVETINIESQVQIQSSSLIPAYAAPDKVYASARTVQDKFSGNYTAIWSFPVDFGFYYLVRLHFCEISRRIQKDGQRLFHVYINDQTAEDDADIFHWSHGVGIPIYRDYIINFSKPGEGIKHLSIMIGSKNGSSTMHEFPILNGLEIFKLSDHSGNLAGPFPFGVRNLHQHSAFHEDVHAVELILRVIGTSLFAVSFLLLLRLIFSTFKQQRDKFKQGRSSGYCRIFSIAEIKSATNNFADNLIIGTGGFGVVYKGTIGGGSTRVAIKRANPSSHQGLKEFQTEITMLSELRHHHLVSLIGYSMEKNEMILVYDYMARGTLRDHLYNTQKPPLPWRQRLKICIGAARGLQYLHTGVKSTIIHRDIKSTNILLDDKWVAKVSDFGLSKAAPSPMTQSKTKIHVSTMVKGTFGYLDPEYYRRQKLTEKSDVYSFGVVLFEILCARPAVLPKEEIEEEEYYEKANLAEWALRYCQMGILDQMIDPDLKGKISPECFRTFTDVAKKCLAEKGNERPSMGDVLCNLELSMQQQNAADVEEEMSAKEATGRMNGDIGIVIDDGKFLCSNNSDKTPGVEFSEIMIPIGR >Manes.06G145100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27206236:27207300:-1 gene:Manes.06G145100.v8.1 transcript:Manes.06G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGMKFQGVKVGLLLMMLLSLAAIVVADIDCSTVTGLLSTCSTFIIYGYPDPLPGSSCCDAMVSLRLMAESGDNRRFVCRCFMGLIAAYNPLGTAIATLPGFCGIHLGFTVYPNTDCTS >Manes.03G212950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:33006922:33011655:1 gene:Manes.03G212950.v8.1 transcript:Manes.03G212950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTRMDSRVEAIERNVAALEENLRKFNLEREQQDKQVALELLRHRQQQEKLDGIEIMLANLTNLIKGKEADSGTPGPLSTHEGIANRGSASSSIMTTPLHTMMSDESQLIVKKIELSNFDGSDPVGWLSRADQYFSVHRTTDEQKVALALVCMERPALHWMRWVKQRTPTMSWERLSLELLQRYGGDDYASPYERLAVVKQDGTVESFSNEFLTCVSQVPRITDQHYLGYFLNGLKSEIRVRIRSYETTDLFKIMKLAREIERELLQTSEKREWKGIAGENRVTRSKTHGLGIITPMGFSRAAELNPYKAQSKPSPSKEPKPPDRALTVHKTQRLTLSQSQEKGSTGGFMKNRGTRQYSHQQFVELRAKGLCYHCRQPYHPMHECPNKTLRALIVGEDEAEPEGDVEEQMRDAIEVEGHLAQLELPLYSVGGIFGARTMKIHGS >Manes.08G149100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38483690:38487976:1 gene:Manes.08G149100.v8.1 transcript:Manes.08G149100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGSLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKVQQWCVPRTFSDT >Manes.08G149100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38483487:38487976:1 gene:Manes.08G149100.v8.1 transcript:Manes.08G149100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGSLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKVQQ >Manes.08G149100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38483690:38487976:1 gene:Manes.08G149100.v8.1 transcript:Manes.08G149100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGSLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKVQQ >Manes.17G071500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27159413:27165647:1 gene:Manes.17G071500.v8.1 transcript:Manes.17G071500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLFSIGSTFITSLALSLLLLLRNLLTRLRFFRSIPPPSANAAFHSHSIYEGTVWHDRRHPVRHSFSYSVRYAFLDLDNLSCPQPGHLSAEEARQKVETTGPVFLLTIPPSVGYEQNPLSVYYCYDLEGSILHLKKCIAEVTNTPWGERVIFVFDPSSDLVAKPLHVSPFMDMLGNWRIRANAPGDSLSVFISVQHPELGDYFVATLKAKRISLSLGSDHGLFFWLMPHKVAFWIYWQALQLWWKNVPYIQHPRYCNPKYREEAMARDQKLQCNLELAQVEDKYLQDGFCPGSFSGRIYKERQFKWRDAKWPWG >Manes.17G071500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27159413:27165647:1 gene:Manes.17G071500.v8.1 transcript:Manes.17G071500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLFSIGSTFITSLALSLLLLLRNLLTRLRFFRSIPPPSANAAFHSHSIYEGTVWHDRRHPVRHSFSYSVRYAFLDLDNLSCPQPGHLSAEEARQKVETTGPVFLLTIPPSVGYEQNPLSVYYCYDLEGSILHLKKCIAEVTNTPWGERVIFVFDPSSDLVAKPLHVSPFMDMLGNWRIRANAPGDSLSVFISVQHPELGDYFVATLKAKRISLSLGSDHGLFFWLMPHKVAFWIYWQALQLWWKNVPYIQHPRYCNPKYREEAMARDQKLQCNLELAQVEDKYLQDGFCPGSFSGRIYKERQFKWRDAKWPWG >Manes.17G071500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27159413:27165647:1 gene:Manes.17G071500.v8.1 transcript:Manes.17G071500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLFSIGSTFITSLALSLLLLLRNLLTRLRFFRSIPPPSANAAFHSHSIYEGTVWHDRRHPVRHSFSYSVRYAFLDLDNLSCPQPGHLSAEEARQKVETTGPVFLLTIPPSVGYEQNPLSVYYCYDLEGSILHLKKCIAEVTNTPWGERVIFVFDPSSDLVAKPLHVSPFMDMLGNWRIRANAPGDSLSVFISVQHPELGDYFVATLKAKRISLSLGSDHGLFFWLMPHKVAFWIYWQALQLWWKNVPYIQHPRYCNPKYREEAMARDQKLQCNLELAQVEDKYLQDGFCPGSFSGRIYKERQFKWRDAKWPWG >Manes.17G071500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27159413:27162909:1 gene:Manes.17G071500.v8.1 transcript:Manes.17G071500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLLFSIGSTFITSLALSLLLLLRNLLTRLRFFRSIPPPSANAAFHSHSIYEGTVWHDRRHPVRHSFSYSVRYAFLDLDNLSCPQPGHLSAEEARQKVETTGPVFLLTIPPSVGYEQNPLSVYYCYDLEGSILHLKKCIAEVTNTPWGERVIFVFDPSSDLVAKPLHVSPFMDMLGNWRIRANAPGDSLSVFISVQHPELGDYFVATLKAKRISLSLGSDHGLFFWLMPHKVAFWIYWQALQLWWKNVPYIQHPRYCNPKYREEAMARDQKLQCNLELAQVEDKYLQDGFCPGSFSGRIYKERQFKWRDAKWPWG >Manes.11G079900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12173037:12173787:-1 gene:Manes.11G079900.v8.1 transcript:Manes.11G079900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGISKLDLDPSGQGSQITTLHRRNDDKEDETDSGKSVSSAAGEGGSGFLKRVVGSNEKERGTCSPRKDIISIPCFKQPQVEIMVKDAPKEKKSQIDNNVEENLRPSNAEDCGGSILRCPGSPSFRVYCENDFTASSYEDSEEEREENKSNSGSSDKGEMPQARRGRLGRGLRSAMQMGSTRGLKNVLQRGGSLKMKNILNGTACMTHASSPTHESTAKLIPKAV >Manes.15G049600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3834559:3837546:1 gene:Manes.15G049600.v8.1 transcript:Manes.15G049600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELLFLHGHHYQISRKPVQVLRSCNFDGTSSFQSRLTMDRPERPMGRSSSLQLEMLPHLRPKKSSDRKSASLTIAAAAAGGGGVPLPPLDLTEENITQVLADARVEFGQLFDTSVGMTGQAELAELDGPFVKIRLKGRFWHERVTVLARLGNYLKQRIPEILEVDIEDEKQLDDSPENF >Manes.04G058760.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:14609991:14610773:-1 gene:Manes.04G058760.v8.1 transcript:Manes.04G058760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDSQIDIKLESEFSIWFNNFAHDSCNNISNKFITSLAMGPLRSVTSYNGYMVNGYKFQSKSYCASRATMNSGVCIKGSNYSSEESDYYGQLLEVIRLEYPGLPIKRVVLFKCNWFDPTPNVGTKIHSKYKLVDVNHKRSFNRYEPFVLGVQAIQVIYTPYPSLKRDKIEWWAAIKVKARSVIQLPTQKNTQPADEPFQQDEMEHTAIIREIDDSTQQLNDPTGDVIEIDDGEENDEDETIIATETDDDDDDDLDVDSE >Manes.06G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22116929:22120333:-1 gene:Manes.06G085500.v8.1 transcript:Manes.06G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFLLYSIAFATFLYFFLHLRHLFLHRASRPLPPGPKPWPLIGNLPHLGSKPHHSLASFARIYGPLLHLRMGFVDVVVAASASVAAQFLKAHDANFSNRPPNCGAKYIAYNYQDLVFAPYGPRWRLLRKISSVHLFSGKALDDFRHIRQQEVGVLTRVLEGAGPTPVNLGQLLNVCTTNALGRVMIGKKVFNDGSSGGDPKADDFKSMVVELMVLAGVFNIGDFIPALEWLDLQGVAAKMKKLHRRFDDFLTKIVEDHKIHGSNSGEKHKDMLSTLISLKEDADGEGGKLTDTEIKALLLNMFTAGTDTSSSTVEWAIAELIRHPNMLAEVQRELDSVVGRDRLVTELDLAHLTYLQAVVKETFRLHPSTPLSLPRMAAEEIEINGYRIPKGSTLLVNVWAISRDPDIWAEPLKFRPERFLGGGEKANVDVRGNDFELIPFGAGRRICAGMSLGLRMVHLLVATLAHAFEWELADGLMPEKLNMEEAYGLTLQRAVPMMVHPKPRLSSNAYNTA >Manes.13G009550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1300671:1301095:1 gene:Manes.13G009550.v8.1 transcript:Manes.13G009550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIFSIRRNMKFFLENGALRQEKKDKHARKRGPAFQGIRLGGAREIPLDVTSLKRSIQHWVYGPRVIMIDVDCVYLKMETNLTFAKVMDGPHCHSTPTHLDFHYLHPIMVHFPPNSF >Manes.14G018500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1899833:1904049:-1 gene:Manes.14G018500.v8.1 transcript:Manes.14G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTASSSVARTPLGLSTKFSNYKSTVKIPLIVAFKEDKSNNAALIAQGEQIPLPIETPKGKKRRGKTTNQVPSTTLEVDYNEAAAKLENLYKLSPVTDTSDVDVNGLIGKGPRRKRKIGEGKRKAANGTGKIVIRNPAKKAKRLNLDTRIAMRKNKEEEMVTPIRKKTDARDGIKKVEELVREYSVSTDFISMDWKKMKIPPVLSSTEHAWLFKLMQPMKALLQVKDYLQENMGREPTECELAKATNMNVEQVRKQMEVGQAARNKIIKHNLRLILFVIKKHFHDFANGPRFQDLCQAGVKGLITAIDRFEPERRFRLSTYSFFWIRHAIIRSMTLASFTRVSFGLETVRVEIQKAKLELLVKLQRQPTVEEIIERAGISPERHHEVMRASKPVFSLHSRHAITQEEFISGITDVDGGDKRRQLALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKYEVKALMKLKHPARLDYLRRYVV >Manes.06G176700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30018633:30024541:1 gene:Manes.06G176700.v8.1 transcript:Manes.06G176700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSALLRSTATSPLIEASRTDLSRSPSDPFKVSSVNFKHDLISSKSIFGTSVPSGSSSLQGCSARSFQPIKATALEMPPTVMKSRSDGRTKIGINGFGRIGRLVLRIATLRDDIDVVAVNDPFIDTKYMAYMFKYDSTHGVYKESIKVLDESTLEINGKQIKVSSKRDPAEIPWGDYGAEYVIESSGVFTTLDKASAHKKGGAKKVVISAPSADAPMFVVGVNEKTYRPNMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKCASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSASFMKLVAWYDNEWGYSNRVLDLIEHMALVAAHH >Manes.08G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:905007:906909:-1 gene:Manes.08G004900.v8.1 transcript:Manes.08G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYSLCLLGVMDRLWFHQIILLSESSTVLVPKTLKQQTPFITESLIDLPSTSSFSSATSPEEEIPSSPISLELNNDKEKEEEQEQDKGVDNRKQRPTRLNNLATSRFRSHSSSPSTVKRGPKNIRNPCSSMKLQKSMSCRSLKELELEEVKGFMDLGFIFKKEHISPRMITVVPGLLRLGLLKNKHNTKVINSKAPEDYDKHKEREEQEDEKGIIRPYLSEAWLIKRPNSPLLNLTLPRVSEAADMKKHLKFWARTVASVLEQEA >Manes.13G134300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34202738:34216059:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSGVQYVGESDCAQQNSGTSFTYDGESNSFEQVKQVQMVDSGVNILSPVGEGSQIERQSDGKGAANGLPLSEGHQSGPSYSDVQVESQKLSGDSHDLEDDDLNVQNSCTEPCEAPENFNLIVDSVESEPTNNRDGESESLLEPKWLEQDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRTHKIGLKMVKDLNVARRFIMQKLAVGMLNIVDQFHSEALIDTARDVMVWKEFAMEASRCSGYADLGRMLLKLQNMILQQYIKSDWLEHSFQSWEQRCQVVQSAESVELLREELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34203235:34216066:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSGVQYVGESDCAQQNSGTSFTYDGESNSFEQVKQVQMVDSGVNILSPVGEGSQIERQSDGKGAANGLPLSEGHQSGPSYSDVQVESQKLSGDSHDLEDDDLNVQNSCTEPCEAPENFNLIVDSVESEPTNNRDGESESLLEPKWLEQDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRTHKIGLKMVKDLNVARRFIMQKLAVGMLNIVDQFHSEALIDTARDVMVWKEFAMEASRCSGYADLGRMLLKLQNMILQQYIKSDWLEHSFQSWEQRCQVVQSAESVELLREELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34202738:34216059:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSGVQYVGESDCAQQNSGTSFTYDGESNSFEQVKQVQMVDSGVNILSPVGEGSQIERQSDGKGAANGLPLSEGHQSGPSYSDVQVESQKLSGDSHDLEDDDLNVQNSCTEPCEAPENFNLIVDSVESEPTNNRDGESESLLEPKWLEQDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRTHKIGLKMVKDLNVARRFIMQKLAVGMLNIVDQFHSEALIDTARDVMVWKEFAMEASRCSGYADLGRMLLKLQNMILQQYIKSDWLEHSFQSWEQRCQVVQSAESVELLREELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34208626:34216065:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34204251:34216740:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSGVQYVGESDCAQQNSGTSFTYDGESNSFEQVKQVQMVDSGVNILSPVGEGSQIERQSDGKGAANGLPLSEGHQSGPSYSDVQVESQKLSGDSHDLEDDDLNVQNSCTEPCEAPENFNLIVDSVESEPTNNRDGESESLLEPKWLEQDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRTHKIGLKMVKDLNVARRFIMQKLAVGMLNIVDQFHSEALIDTARDVMVWKEFAMEASRCSGYADLGRMLLKLQNMILQQYIKSDWLEHSFQSWEQRCQVVQSAESVELLREELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34202738:34216081:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSGVQYVGESDCAQQNSGTSFTYDGESNSFEQVKQVQMVDSGVNILSPVGEGSQIERQSDGKGAANGLPLSEGHQSGPSYSDVQVESQKLSGDSHDLEDDDLNVQNSCTEPCEAPENFNLIVDSVESEPTNNRDGESESLLEPKWLEQDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRTHKIGLKMVKDLNVARRFIMQKLAVGMLNIVDQFHSEALIDTARDVMVWKEFAMEASRCSGYADLGRMLLKLQNMILQQYIKSDWLEHSFQSWEQRCQVVQSAESVELLREELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34209096:34216279:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34202719:34216626:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSGVQYVGESDCAQQNSGTSFTYDGESNSFEQVKQVQMVDSGVNILSPVGEGSQIERQSDGKGAANGLPLSEGHQSGPSYSDVQVESQKLSGDSHDLEDDDLNVQNSCTEPCEAPENFNLIVDSVESEPTNNRDGESESLLEPKWLEQDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRTHKIGLKMVKDLNVARRFIMQKLAVGMLNIVDQFHSEALIDTARDVMVWKEFAMEASRCSGYADLGRMLLKLQNMILQQYIKSDWLEHSFQSWEQRCQVVQSAESVELLREELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34202727:34216740:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSGVQYVGESDCAQQNSGTSFTYDGESNSFEQVKQVQMVDSGVNILSPVGEGSQIERQSDGKGAANGLPLSEGHQSGPSYSDVQVESQKLSGDSHDLEDDDLNVQNSCTEPCEAPENFNLIVDSVESEPTNNRDGESESLLEPKWLEQDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRTHKIGLKMVKDLNVARRFIMQKLAVGMLNIVDQFHSEALIDTARDVMVWKEFAMEASRCSGYADLGRMLLKLQNMILQQYIKSDWLEHSFQSWEQRCQVVQSAESVELLREELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.13G134300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34202674:34216739:1 gene:Manes.13G134300.v8.1 transcript:Manes.13G134300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPSSGVQYVGESDCAQQNSGTSFTYDGESNSFEQVKQVQMVDSGVNILSPVGEGSQIERQSDGKGAANGLPLSEGHQSGPSYSDVQVESQKLSGDSHDLEDDDLNVQNSCTEPCEAPENFNLIVDSVESEPTNNRDGESESLLEPKWLEQDESVALWVKWRGKWQAGIRCARADWPLSTLKAKPTHDRKKYFVIFFPHTRNYSWADMLLVRSINEFPQPIAYRTHKIGLKMVKDLNVARRFIMQKLAVGMLNIVDQFHSEALIDTARDVMVWKEFAMEASRCSGYADLGRMLLKLQNMILQQYIKSDWLEHSFQSWEQRCQVVQSAESVELLREELSDSILWNKVNSLWNAPVQPTLGSEWKTWKHEVMKWFSTSNPVSTCGDVEPRSNGSPSTMSPQVGRKRPKLEVRRADSHASQLETSSLLQTMTVEIDSEFFNNRDIINASTVALELSKEEDFREGSAPMESPCSVPDKWDGIVLEAGKSELMQTKDIESTHMNEVVDKKMIDPGNKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSNRAEASPPVNTPMCEGTTVLGTRCKHRSLPGFSFCKKHKPRIDTTNTSSSPENTHKRKHEEIIEGSEATRCKDMVLVGEVESSLQVEPISIMDGDTFHGKNMLIEKVEHSFQDHDGKEVLHCIGSSTIDCNAPCHDTPKRYSLYCDKHIPSWLKRARNGKSRIIPKEVFIDLLKDCHSLDQKLSLHRACELFYKLFKSILSLRNPVPMEIQLQWALSEASKDFSIGELLLKLVCTEKERLAKIWGFSGDEDVHVSSPVMAESTIMPLAASGSHDDENSFKCKFCSEEFLDDQELGNHWMDNHKKEAQWLFRGYGCAICLDSFTNRKLLETHVQERHHVQFVEQCMLLQCIPCGSHFGNAEELWLHVLSVHPAEFRLSKAAEQHNLPLEEEKEDSLEKLELDSTAPVENKSENLGGIRKFICKFCGLKFDLLPDLGRHHQAAHMRPNLFSSRPPKKGVRYYAYRLKSGRLSRPRFKKGLGAATYRIRNRGGASMKKCIQASKSLTTGGLSVQSQVAEQASLGKLAESQCSEVAKILFSEIQKAKPRPNNLDILAAARTACCKVSLKASLEGKYGVLPERLYLKAAKLCSEYSIRVKWHQEGFVCPRGCKSFRDPGLLSPLMPLCNCFVSKQSAPSSNHMNNELEVDECHYVIDMYDFREIPRQKSTVLCNDISFGKESIPIACVVDEDLLASLNVFADGSDGQITKFPMPWESFTYITSPLHDQSHDHVIENLQLGCACPDSLCSPETCDHVYLFDNDYEDARDIFGKFMHGRFPYDDKGRIILEEGYLVYECNRMCRCNKTCPNRVLQNGIRLKLEIFKTMNKGWAVRTVEPILRGTFVCEYIGEVLDEQEANERRGRYGEQGCSYMYEIDARTNDMGRLIEEQVKYVIDATKYGNVSRFINHSCLPNLVNHQVLVNSMDSQHAHIGLYASRDIVSGEELTYNYQYNMLPGEGYPCHCETSNCRGRLC >Manes.03G184600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30555876:30557334:-1 gene:Manes.03G184600.v8.1 transcript:Manes.03G184600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSIFPLGGCFDGCRDHTQTSGFGSRIWNLSDRPVELQIRVGSILKKVHTLKPGSSKRLKCKSIYKAYMPGRSGSATTGSMKSLLYYYDETCQPYIWVHDTGGDFSRMVKQQYISLEDLRDYSEIRIFRDHQRGCISVRKKPRPDVC >Manes.11G091690.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:18048126:18049532:1 gene:Manes.11G091690.v8.1 transcript:Manes.11G091690.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKTKAIVPAHGTTSLSQTVDSKDLSNPLKAVGLPKIQPSHGFYKWYLKPASEFEIEVEYGFNNINPWEVIRKYYPENWWFTPKNILKPQDYYQSILEETGAVKIKHNFDKTYKDVVAYSSIQIKSIMHPKDWPAPNLYTEIAFKKLKKYCSSYNYFDYIDAWTNVFSIQNPTTTHSWLIYFDIQAIKTVTKFPNWFFVWWQSRGITEDILSQELLHIYQYFKTNYKPPQQEKYIPPLMYFCLNFFLPWVYQWYFEFQYVTDLKVSVIVKRHKVKWWGSFRNPTTEESVKQWVIKKAQIPGTSYAGKLTMQEEPIFGAQKAQCQAMLAAAKTPEEYKLICQKMFNQLSTGSSVKLEDEQSSKEEPSVKSSGKSKIKKKSGRRKTKKQSSSETESTASSETSSSSKTSVSSHYDSNEDDCYGVLPPVKIKSKTGKGKKKTKVKKEKKEKIKRKSKKKKDTSSSSSESE >Manes.12G093300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18273983:18275796:-1 gene:Manes.12G093300.v8.1 transcript:Manes.12G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLAGTESQKEMATPNLHIAMYPFFAIGHLTPFLRLANKLAKKGFKISFLVPTKTASKLTNLNLHPQFITFIPITVPHVDGLPPGFETTSDVPYPLHPLIMTAMDRTQADVEVILQDLNPNLVFFDFTEWMPELARGLGIKSIYYSIVSSVTVCLGLRLAKELEGSNLIRADLTKLSSSLPDSSVKLHSHEAQAHVAVMVMKFGSDISFFDRHYFGTIQSDALSFRTCREIEGPFIDHLESQFGKTILLSGPVLPEPPTSTLEPKWAKWLAGFQDISVIYCAFGSECNLKKDQFQELLFGLELTGMPFLAALKPPMGVNSIEEALPEGFEERINGRGVVHGGWVQQQLILEHPSVGCFITHCGTGSLSEALVNKCQVVLLPHVAEQIINARMMSSKLRIGVEVEKREEDGWFSKESVCKAVKIVMEEDSEVGKEVRANHEKLRELLLAKDLESSYIDSFTEQLQLLVG >Manes.03G055300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5388527:5391230:-1 gene:Manes.03G055300.v8.1 transcript:Manes.03G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVIGVVSQSSLLVLEHSKFGVPTHANPSSFVRLQQSSSQKGNYRFVVSSGKHLKPIFAARVVSSGLESSTLVTDNVDLSTEGIEIEPDSGGGDDGYGDTGGRGGGGGGGDNSGGQGGGGGDDQSNEGEGGSSEGSGGEKRKMALSMSQKLTLGYAALVGMGGVMGYVKSGSQKSLLAGGLAASILYYVYTQLPTHPLYASSIGLGVSSVLVGVMGSRFLRSKKILPAGVVSLVSLVMTGGYIHGIMRTMH >Manes.03G037616.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3315871:3318051:-1 gene:Manes.03G037616.v8.1 transcript:Manes.03G037616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTALIFLSFCHLILKDKSCTCSSTCISFTASAFTICNLVILQFYKRIFSSEIEIIYHPPFMSTLVVQFAWCQNEAGGTGMKIPRSNPFGCWLAGVSLESFSVLKLLFLRSPSSDQPLDVRTIDGRNRASPTRL >Manes.05G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4283233:4286054:-1 gene:Manes.05G051300.v8.1 transcript:Manes.05G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNAQSRYVKLTKDQSPTEDITPGELNQPIQVPELVIHRCIECGQALPESYEPPADEDWTTGICRCADDTESCRTGLFCPCVLFGHNVETLREDIPWSNACVCHAMCVEGGLALGAATLFFHGIDPKTSFLICEGLFFTWWMCGIYTGLFRQSLQKKYHLKNSPCDPCLVHCCMHWCALCQEHREMKNHLSEDAAVQMTVVNPPPAQQMNQGYTSANKSTRNGTHTNLEIRPV >Manes.13G042800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5032425:5050468:1 gene:Manes.13G042800.v8.1 transcript:Manes.13G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELARAKDTKERMAAVERLHQLLEASTKSLSSSETTSLVDCCLDLLKDNNFKVSQGALQALASAAVLSGEHLKLHFNALVPAAVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSYAWTHRSWRVREEFARTVTSAIGLFAATELPLQRVILPPILQMLSDPNPGVREAAILCIEEMYTQAGPQFRDELHRYHLPMSMMKDINARLEKIEPQVRSSDGPTGNFATGEMKPMTLNPKKSSPKAKNSAREMSLFGGESDVTEKPIEPIKVYSEKELIREIEKIASTFVPEKDWSIRIAAMQRVEGLVLGGAADYSCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEMFIPALFKLVVITVLVIAESADNCIKTMLRNCKVSRMLPRIADCAKNDKNAVLRARCCEYALLILEYWADAPEIHRSADLYEDLIRCCVADAMSEVRSIARMCYRMFAKTWPERSRRLFSCFDPVIQRIINEEDGGLHKRHASPSLRDRSAQLSFTSQASIPSNLPGYGTSAIVAMDRTSSLSSGTSLSSGLMSQAKTLGKGAERSLESVLNASKQKVNAIESMLRGLEVSDKQNPSALRSSSLDLGVDPPSSRDPPFPATVPASYHLTNSLTSESTATSISKSGNRNGGLVLSDIITQIQASKDSAKLSYQSSMATETSSAFSSYSAKRASERLQERGSIEENNDIREARRFANPHVDRHYLDMPYKDVNIRDSQNSHIPNFQRPLLRKHVTGRMSAGRRSYDDSQLSFGEMSNYVEGPSSLTDALSEGLSPSSDWNARVAAFNYVRSLLQQGPKSIQEVIQNFEKVMKLFFQHLDDPHHKVAQAGLSTLADIIPACRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSVDTLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHAINSEGSGNTGILKLWLAKLTPLAHDKNTKLKEAAITCIISVYSHYDPTAVLNFILSLSVEEQNSLRRALKQYTPRIDVDLMNFLQNKKERQRPKSSYDPSDVGTSSEDGYIGVPKKSQFFGRYSAVSIDGDGGRKWSSTQESTLITGSIGQADPDETLENLCQNFENSSSVDIHSSKTTDFSYMVNSNLQNVEPQACLLENVDKSLNLESFSTRHLDVNGLMNSEALVGAEGIQHENDDSLDLDLNHHKPGAVKINFFSDSGPSIPQILYLICNNNDESPAKRGALQQLIEASIANDHSVWSKYFNQILTAVLEVLDDTESSIRELALSLIVEMLKNQKSAMEDSVEIVIEKLLHFMKDAVPKVSNEAEHCLSILLSQYDPFRCLSVIVPLLVTEDEKTLITCINCLTKLVGRLSQEELMTQLPSFLPAVFEAFGNQSADVRKTVVFCLVDIYIMLGKVFLPYLEGLNSTQLRLVTIYANRISQARTGTSVDGSHD >Manes.13G042800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5038175:5050468:1 gene:Manes.13G042800.v8.1 transcript:Manes.13G042800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQHCSFIPLGAADYSCFRGLLKQLVGPLSTQLSDRRSSIVKQACHLLCFLSKELLGDFEACAEMFIPALFKLVVITVLVIAESADNCIKTMLRNCKVSRMLPRIADCAKNDKNAVLRARCCEYALLILEYWADAPEIHRSADLYEDLIRCCVADAMSEVRSIARMCYRMFAKTWPERSRRLFSCFDPVIQRIINEEDGGLHKRHASPSLRDRSAQLSFTSQASIPSNLPGYGTSAIVAMDRTSSLSSGTSLSSGLMSQAKTLGKGAERSLESVLNASKQKVNAIESMLRGLEVSDKQNPSALRSSSLDLGVDPPSSRDPPFPATVPASYHLTNSLTSESTATSISKSGNRNGGLVLSDIITQIQASKDSAKLSYQSSMATETSSAFSSYSAKRASERLQERGSIEENNDIREARRFANPHVDRHYLDMPYKDVNIRDSQNSHIPNFQRPLLRKHVTGRMSAGRRSYDDSQLSFGEMSNYVEGPSSLTDALSEGLSPSSDWNARVAAFNYVRSLLQQGPKSIQEVIQNFEKVMKLFFQHLDDPHHKVAQAGLSTLADIIPACRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYSVDTLLPALLRSLDEQRSPKAKLAVIEFAINSFNKHAINSEGSGNTGILKLWLAKLTPLAHDKNTKLKEAAITCIISVYSHYDPTAVLNFILSLSVEEQNSLRRALKQYTPRIDVDLMNFLQNKKERQRPKSSYDPSDVGTSSEDGYIGVPKKSQFFGRYSAVSIDGDGGRKWSSTQESTLITGSIGQADPDETLENLCQNFENSSSVDIHSSKTTDFSYMVNSNLQNVEPQACLLENVDKSLNLESFSTRHLDVNGLMNSEALVGAEGIQHENDDSLDLDLNHHKPGAVKINFFSDSGPSIPQILYLICNNNDESPAKRGALQQLIEASIANDHSVWSKYFNQILTAVLEVLDDTESSIRELALSLIVEMLKNQKSAMEDSVEIVIEKLLHFMKDAVPKVSNEAEHCLSILLSQYDPFRCLSVIVPLLVTEDEKTLITCINCLTKLVGRLSQEELMTQLPSFLPAVFEAFGNQSADVRKTVVFCLVDIYIMLGKVFLPYLEGLNSTQLRLVTIYANRISQARTGTSVDGSHD >Manes.16G028850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3291635:3293257:1 gene:Manes.16G028850.v8.1 transcript:Manes.16G028850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVDKALVLLQLSLLCFNVYGDKEYLHLISPAVSPTHHHHHHAHPPALAPTHHHPHHHHLVHSPTHAPVYSPLHSPAHAPVHPPMPHSHPPVQPPMHSPPKTQQPPVKPPTLPPKHPQPPVKTPTLPPKHPQPPVAQPPKHPQPPAPSPSYHFPRSLVAVQGVVYCKSCKYSGVDTLLGASPLSGATVKLQCNNTKHPQEAKATTDKNGYFFLEAPKKITNYGAHKCKVSLVSAPNTACGKATDLNGGVSGGALRPEKKYVSHQLPFVLYTVGPFAFEPKCPR >Manes.08G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2605806:2615007:1 gene:Manes.08G027100.v8.1 transcript:Manes.08G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARHEKEKGVNVQVLLRCRPFSDDELRNNAPQVVTCNEYQREVAVSQSIAGKHIDRVFTFDKVFGPSARQKDLYEQAMVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPHGELPPEAGVIPRAVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPEEISKVALEDKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKAEVYAAREKNGVYIPKERYIQEESERKAMADQIEQMGVTIESHQKQLEELQEKYDTQVQECSNLSKNLAATEKNLNETSKLLASTEEELKKCHYALKERDFIISQHRKAENALAHQACVLRSDLEKALQDNASLFQKIGREDKLNADNRALATNFQKELSRQVGSLQDMVASSMSKQDELLQCVEKLCHSFLDIHDKAVKDMKKKLTTSRALYISHIEAVQNVVRLHKASSIAGLEEISSLASSNVQSIEDYLASEAGQASSIFEDLQSTISTHQGEMALFARELRQKFHVSGERIKEVSDFMNGFLEKLLEQSQGLQNDAAQADEIQLKSITDFQKAYEEQSKSDAEKLVADISNLVSNHIRRQKELVDARLVDLRETAIGNKANLDVHASSMEGITTDAKRKWQEFSMQAENDAKDCADYSAVKHCRMELLLQQSLSTTESAFKHWKMTCETVNDMGRKHVPSLMSLTRNAFDSIEQHDIEICSARAAAEQDVARNSNDFLEHIDNISEQERESVSGILQTVKAHADILQSFMEDHSGQSTAIEGKALETFEQQYMNYEPTGTTPVRCEPDIPSKGTIESLRAMPMENLLEEFRENNSYESFEVKEIKPSLIPRSPLVQLN >Manes.15G084650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6510842:6511168:1 gene:Manes.15G084650.v8.1 transcript:Manes.15G084650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILKLWLWVMEMAKSSIMSLVIANLSITNANNWGLWGQCSSDLSLVKMVQWCLYNWKTSSTICQFVRISQNLDAKNPPLTALPSSIIGCEDCMKYQLACMCSSMKTL >Manes.18G114700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11666539:11667417:1 gene:Manes.18G114700.v8.1 transcript:Manes.18G114700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGKLETVLELKSSPEKFLNLWKEQAHQVPNHTPTNIQGVHLHEGDWNTHGCIKIWKYNIEGRSEVFKEKIEVDEKKKVVTIIGLEGDVFKLYKVYTAIWELTSKGEGSLTKLTLEYEKLNEDIPVPNNYLDFIISMTKDIDEGISKIMLN >Manes.13G009802.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1365808:1366788:-1 gene:Manes.13G009802.v8.1 transcript:Manes.13G009802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLQQNHQDMASYLGEVDTLKDEFNSLMPFTNDVDVQEGQRDNFFMVLALIGLRSDLCSLKDQILTGSVIPTLEDVSARLLRISLSKSDATDMESSVLAVQGNQGQGGNRKGKGKKFHCTYCDKKGHTRDACWALHGRPPRSNQSDNTGKPIAHLAQSNEEGLLPQPTNKSQDLDSITLIGEDYKEYLQFQAAKQHPPSTSIAHSGNSVACLTKSSPVGPWILDSGASDHISSNHNLFSTLISPSTPSKVTLANGSQTQVKGIGDVQLLPSISLTTVLFTPECPYNLISISKLTKNLNCSVTFTADSVVMQDRSTGRMIGTRYES >Manes.13G139800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34754535:34757421:-1 gene:Manes.13G139800.v8.1 transcript:Manes.13G139800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTHCSLSHFIAFLFSFYFLVFSAHSKSPLLGVHPLDEKYFSSRFIKCKDGSKSFTRDRLNDDFCDCVDGTDEPGTSACPRGKFYCRNLGSKPQFIFSSHVNDQICDCCDGSDEYDSGINCPNTCVMGGNLEYRAKNFVSSIDSKESKDELLLEDLLHKSKGLKTVIVLQIVLFGCAIAFRLFCRREKLKRRHNH >Manes.13G139800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34754841:34757417:-1 gene:Manes.13G139800.v8.1 transcript:Manes.13G139800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTHCSLSHFIAFLFSFYFLVFSAHSKSPLLGVHPLDEKYFSSRFIKCKDGSKSFTRDRLNDDFCDCVDGTDEPGTSACPRGKFYCRNLGSKPQFIFSSHVNDQICDCCDGSDEYDSGINCPNTCVMGGNLEYRAKNFVSSIDSKESKDELLLEDLLHKSKGLKTVIVLQIVLFGCAIAFRLFCRREKLKRRHNH >Manes.13G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34754992:34757402:-1 gene:Manes.13G139800.v8.1 transcript:Manes.13G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTHCSLSHFIAFLFSFYFLVFSAHSKSPLLGVHPLDEKYFSSRFIKCKDGSKSFTRDRLNDDFCDCVDGTDEPGTSACPRGKFYCRNLGSKPQFIFSSHVNDQICDCCDGSDEYDSGINCPNTCVMGGNLEYRAKNFVSSIDSKESKDELLLEDLLHKSKGLKTVIVLQIVLFGCAIAFRLFCRREKLKRRHNH >Manes.09G151200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34842892:34845574:-1 gene:Manes.09G151200.v8.1 transcript:Manes.09G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEEIEEANKAAVESCHRVVGLLCQPRDQVPGRNLMMETEETVSKFKRVVSLLSNSFGHGRVRKMKKFRPSLPLNIFLDSPNCTTVLAPKPLQMVPANFLETPVTEMDSKSKSCMQTTTQKCFIENPVLEMNSNARPPLQNPQIKPSQHYQFLQQQQQIQRMQFQQQQQLKYQADMMYSRSNSGINLKFDGSTCTQAMSSTRSYISSLSMDGAVANFNGDSFHLIGVPQSSDHISQQTKRRCSGRGEDGSVKCGSTGKCHCSKRRKLRVKRSIKVPAISNKVADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERCLEDPSMLIVTYEGEHNHSRLLSSQSAYT >Manes.18G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2185760:2187125:-1 gene:Manes.18G022100.v8.1 transcript:Manes.18G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQVIACHTVEAWTEQLEKGQQSKKLIVVDFTASWCGPCRFISPVLAELAKKLPHVTFLKVDVDELRTVAEDWAVEAMPTFMFLKEGKIIDKVVGAKKEELQQTIAKHATEVAAA >Manes.09G182400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37045418:37051195:-1 gene:Manes.09G182400.v8.1 transcript:Manes.09G182400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKQLSFAADSPPLSVLASSKVAAVVLPTPTITSDSSSPPTFIFSDGTKLQGTYVLLRYIGRVASLPNFYGQDAFQSSQIDEWLEYSSILSSGSEFENACTCIDSYLEKRTFLVGYCLSIADMAIWSGLAATGQRWDSLRKSKKYPNLVRWFNSIFTEYSDGLNEVTTTYVGKRGVGKPTTVKPKEQQVVNGDISEKGKVGSRTSEVDLPDAEIGKVRLRFAPEPSGFLHIGHAKAALLNQYFAHRYQGELIVRFDDTNPAKESSEFVENLLKDIETLGIKFKKVTHTSDYFDKLMEKAEELIRQGKAYIDDTPREQMQKERMDGIESKCRNNSVEENLKLWKEMMKGSERGLQCCLRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYNIYPTYDFACPYVDAIEGISHALRSSEYHDRNAQYHRIQEDLGVRKVHIYEFSRLNMVYTLLSKRNLRWFVENGKVDGWDDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERRVLLTLTNGPENPFVRIIPRHKKHEGAGEKATTYTKRIWIEYDDAKCIAENEEVTLMDWGNARVKEIVKDESGNVTQLIGVLHLEGSVKTTKLKLTWLPETTELVNILLMEFDYLITKKKLEEGENFLDVLNPCTKRETAALGDSNMRNLKRGEILQLERKGYFRCDVPFIRPSKPVVLFAIPDGRQATSSK >Manes.15G019100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1601919:1607946:1 gene:Manes.15G019100.v8.1 transcript:Manes.15G019100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSPISPGAPRPNLDDMEIHLPVPEDPSSSAIWDWGDLLDFTIDDHFPISFDDADILTDVVSQVDPIEETPVPDRVRKRDPRSTCSNFLAGRVPCACPELDEKLEEEEDVPGRKRVRTSRSSTGVARCQVPGCEVDISELKGYHKRHRICLCCANASAVVLDGENKRYCQQCGKFHLLSDFDEGKRSCRRKLERHNRRRRRKPRDSTEVVDKDPQGELLSEDAARDGATEKDHQIVEKETLVESEDGKVSSPQSAPSSQNLNTDSGASVGTPKDGGKDDSKFAYSPFNRENKSFYSSACPTGRISFKLYDWNPAEFPRRLRLQIFEWLASMPVELEGYVRPGCTILTAFLSMPSFMWEKLFQDPLSYVHDFVIVPGTLLSKRSPMLIYLNDMIFHVLKDGNSLLKANMEVQAPRLHYVHPTCFEAGKPIEFVACGSNLLQPKFRLLVSFAGKYLAYDHCVALPHGHTEECSRLDHQLCKIFIPNVEPNLFGPAFIEVENESGLSNFVPVLIGDREICSEMKIIQHRLGLSLLGSQCEVAVHRQRAFSELVVDIAWVLKKPSSESFHQSMSSSQIQRLNSLLSLLIHHESTAILDKILKNLKSILEEMEKDRVAYDMNDSEMSLLQKYMDSASDICHQKVKKRDGLAIQWECAVDESEFRCSEIDMPSVAPFMNEVSDLEKSSNSNRKTGEMLNSSAVDRVPLLSEELVMNVNLRKECPTRSCSLSFSNRVLKSRPTVFLIATFALCLGVCAILLHPNQVSKFAVSIRRCLTQRI >Manes.18G127100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15553270:15560771:1 gene:Manes.18G127100.v8.1 transcript:Manes.18G127100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNEPNLYTLVFLQVFLFFSISLASLQANASAGTHIDQLALLKFKQGIASDPHGIFNSWNDSLHFCNWTGITCGRRHQRVTSLVLSGQNLIGSISPHIANLSFLKLIDLGNNTFYGEIPQEVGNLFRLQVLRLGNNSLQGEIPLNLTRCSKLNTISLPWNNLDGKIPAGLGSMTMLENILLHYNNLTGEIPPSIGNLSSLTMFTARSNNLKGKIPNEMGRLKSLNLFAVGGNRLSGIVSPSLFNITFFTYLEVSSNQLTGSLPDNIFFTLPNLQNLIIGVNYFSGSIPNSLSNASQLLRVDFSRNNFVGRVPSDLGNLRSLLLLNFEYNNLGSNSSNDLLFLTSLTNCSKLETLSIARNNFGGVLPVSVANFSTGLSKLFLGRNKIAGIIPAAMENLVNLIALGMEENFFTGIIPHQLGKLGKLQFLTLQTNRLSGQIPSSIGNLTQLSAFSLLENKLEGSIPSSIRNCQHLYSLYLAENRLSGELSKEVLGLTSLSKVLNLSHNSFSGNLPTEVGKLKNLNTLDVSQNNLSGEIPRTIGDCLSLESLHMQGNFFQGTIPSSLASLKGLQYLDLSQNNLSGQIPKDLQAISYLQYLNLSFNDLEGEVPKKGVFANVSAFSLIGNNKLCGGVPELGLPDCPTRIMKKMKSRTLKLVIAIACGVSFVVLIMIIFLICWMKKLRSKPSSASSAMNHLLKVSYKDLYQATDGFSSCNLIGSGFFSFVYKGFLPQVEGEVAIKVLNLEQTGGIKSFMAECSTLGTIRHRNLVKLITCCSSIDYKSNEFKALILEYMENGSLEKWLHPSENQPRSLNLLQRLNIVIDVASALHYLHDLCEKPVIHCDLKPANILLDEDMIAHVSDFGLAKLFKINNDSSLRQTSTIGIKGTVGYVAPEYGMGCLASKEGDAYSYGILVLEMFSNKRPTDEMFKEGLNLHDFVKAALPERLLQIMDPAILPGETADDGIMEAKETNHHGNLSHVIANVRQCLVSILEIGVACSMESPMERMNMADVIKKLHLIKETFLGLPNEQ >Manes.01G093700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29542796:29545864:-1 gene:Manes.01G093700.v8.1 transcript:Manes.01G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAITLLFLSLLFHHTLLISGNDSIALDCGSTIDGPAKDGRNWTADTSSKFFLLDPTNASKIYSALKSPMSDTVPYRTARLSRSEFTYAFPVTLGSKFIRLHFFPSSYPDFTSSVDFFSVKANRYTLLSNFSSALYAQASGSDSFPREFCVNVEDGQMLNITFVPTPGVDAYAFVSGIEIVSMPTDLFYTEDIETAFKFVGSERISNLSRRTALELMHRIDVGGGSIEPPNDTGMFRSWNSDEEYLTIAKPGAYLSNLTIQFEYRDNRTRYAAPDTLYRTARSMGMDRVTNENYNLTWEFPVDSNFTYLVRLHFCEIQPLITTKGDRVFMIYIATQIAEAEADVIEWSGGNGIPVFKDYVVTIEPKGNQKLQNISIALHPRTDGTVYSDAILNGLEIFKLSSSSDNLAAPNHEIPQISPPTAATPIPKTTKSNGNKTPIGAIIGGAVSGFLVLSFLFFFIFRRRLKVKNSDSSDAPSWWGPFSVSSTKSAKTQGSTLPSDICRRFSLAEIKEATNNFDSVFIIGVGGFGNVYKGLINDGATTVAIKRLNPESQQGANEFKTEIEMLSQLRYLHLVSLIGYCYEDREMILVYDYMAQGTLRDHLYKTDNPPLSWNQRLEICIGAARGLQYLHSGAKNTIIHRDVKTTNILLDEKWVAKVSDFGLSKIGPSSMSKPHISTVVKGSFGYLDPEYYRFQRLTEKSDVYSFGVVLCEVLSARPPVNRSAVKTPASLAEWARQCYRKGIFDEIIDPYLQGEIAPDCLRKFAEVAVSCLRDNGVERPSMSDVVWGLEFALQLQETAIKHCRLPNEIDIDMEIPIKGTSVADSSDDMFSSGSELMIGSRLSGMTFTSSSGEQSFLNNNSEKVKSGAIFSEINNPTGR >Manes.01G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3495150:3501699:-1 gene:Manes.01G012600.v8.1 transcript:Manes.01G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMGSFRYNFAEKRERLLSTKGFSEIGFANINKEEYEPRNCCSYLFFWDKIVGFCKSVPDVAVKAYEMGSSDPRKIIFSAKIALALMLISLMIFLKEPIKDLSLNPVWAVLTVVVVFEFSIGATLSKGLNRGIGTLSAGGLALGMAELAQLAGEWEEVVIILSIFFIGFCASYAKLYPTMKPYEYGFRVFLLTYCMVMVSGYNTREFVHTAITRFLLIALGAALCLVINICIYPIWAGEDLHNLVVKNFMSVATSLEGCVNGYLNCVEYKRIPSKILTYQASDDPLYSGYRSAVQSTSQEDSLMGFAIWEPPHGPYKSFRYPWKNYVKVSGSLRHCAFMVMALHGCILSEIQAPAERRQVFRRELQRVGAEGAKVLRELGNKVKKMEKLSSVDILAEVHEAAEELQNKVDRKSYLFVNSECWEIGNSAKDLGEPQVSLNFDDAENADKFLQHKSYSEALLNLRSLTIPKSWDDQKAPINDLNSPGPLPLASGLSSESMLQKQISWPPRISFADTLTQMEESKTYESASALSLATFTSLLIEFVARLQNLVDSFEELSEKANFKEPDELSTTGVAEHMGFWTRLRRIMKFRN >Manes.14G082300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6852223:6860238:-1 gene:Manes.14G082300.v8.1 transcript:Manes.14G082300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQAVSVTPAFDHSGGLNSGRVRVGIENDKASHKSYGNSYHKKNGELSCCGSELGESGRASSNSESLSFRLRNLQKYIEGEQVAAGWPAWLSAVAAEAIQGWVPLKADAFEKLEKIGQGTYSSVFRAHELETGRIVALKKVRFDNFEPESVRFMAREILILRRLNHPNIMKLDGLITSRLSCSIYLVFEYMEHDITGLLSCPDVRFSESQIKCYMKQLLSGLEHCHSKGVMHRDIKGSNLLVNNEGILKVGDFGLANFCNSAPRQPLTSRVVTLWYRPPELLLGSTDYGAAVDLWSVGCVFAELLLGKPVLQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSSLRETFKDLPTTAANLIETLLSVEPYKRGTATSALASEYFITKPYACDPSSLPKYPPSKEIDAKNREEARRKKISGRSRGTETRKPTKKPGGSTKLAPAEDTAARMQGAQNNSSNNVRISKGEDGRSGGEARKPSFDKMEDVFHVKNASQGDIPFSGPLQVSGSSGFAWAKRRKDDASTRSHNRSISRVHINNGLETSTALQEKNSIDSRQHDSGDVPFGIRTNSRGHDSCEVSKRALQNQWNQFERPDSFDASEGYHSQELSLALYQREEMGAKRNNLGFQDQGDKVDFSGPLLTQSHRVDELLERHERHIRQAVRKSWFQRGKKLGK >Manes.14G082300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6852223:6860238:-1 gene:Manes.14G082300.v8.1 transcript:Manes.14G082300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQAVSVTPAFDHSGGLNSGRVRVGIENDKASHKSYGNSYHKKNGELSCCGSELGESGRASSNSESLSFRLRNLQKYIEGEQVAAGWPAWLSAVAAEAIQGWVPLKADAFEKLEKIGQGTYSSVFRAHELETGRIVALKKVRFDNFEPESVRFMAREILILRRLNHPNIMKLDGLITSRLSCSIYLVFEYMEHDITGLLSCPDVRFSESQIKCYMKQLLSGLEHCHSKGVMHRDIKGSNLLVNNEGILKVGDFGLANFCNSAPRQPLTSRVVTLWYRPPELLLGSTDYGAAVDLWSVGCVFAELLLGKPVLQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSSLRETFKDLPTTAANLIETLLSVEPYKRGTATSALASEYFITKPYACDPSSLPKYPPSKEIDAKNREEARRLSELPGKRSVGEVVVLKQESQQKNLVEALNWHQQRIQQLECKVLKITAVITCVFLKEKMAGLVARHGSHHLTKWKMFSM >Manes.06G001751.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:513367:516833:-1 gene:Manes.06G001751.v8.1 transcript:Manes.06G001751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIFNSISGSRRSSSSSSSRSIISKNKNKNKEILLAADIDTSLQNWKLPPVSSDLIYRTPFKLRSDYIVKTVEQATPVHGDLKSMSLLSEELIQKHQEKYLFLHIGMIQVAVKPATRLGLNTAAMLCVRDKRHNKFSDSLLGVVESSLCDGPIFFQCYPNLTLSLSDPHIMKTLILDIKTMGYDMVPGSENLILIYRIHYKAMNTVVPNLRDSATKLVSPKGTTTLFLTNMSKGNLIVPKPIKWDQVNLPDSWLLEEAVPPKKEESTTVQSIMQSNEGAVAITFSRSRSVSDRRSMTESFLSEPLPPRTSVSVPALRSNSVVGLQRSEEQVANPVYTRPQSPTRPQSPTPSDMGYDAESLISKSFKIMLLETEEEPFNKWFQMELPLKEQKMWNRKYEQYKTQKQGSKDFPGFLKHCFSILKKPYPNLEDPSFLTTLESHYKTFMTSQKKILKEMHPPLIDIVYQPTENTRIECIPFSHDPSENPAAKQQNYTNLSLHTIGQQLNRVENQVSKIAAQPRTIDILPQRDIVESSMGPRIEEKVLFKPMDSKNLGLKLNKNEEMLEELTKRLAKLGIKEDPKKKAIAPLTMESEEEALSTDQELIQLETMVNESKPAEINRIKYPKTRATTEAKPYYPRPSPINLQFEDASYNYMQYDGSSIVEWNIDGLSDYQIKNVLQYMTMYATASRAKGNDDPHTARALIAGFSGQLKGWWDFSVSTEGKTQIFNMVKQEGAHQIPDVVNTLLYTIGLHFIGSVSMFTDRAQEQLINLRCPDLSHFKWYRDTFFSLVFTREDSQNHVWKEKFLAGLPALFAERVREQIRSKHNGNIPYHDYTYGELASEVVTTGIHLCNELKIHKQMQKERFYGKQILGNFCEQYGLPPIKFPSTKFKGGRRGEDDIRQHRRKRFFANKRPYKDKHKRYSKPESSRKSKERKKPNPGKAEKTIVCYKCGKVGHYANKCRVKQQIQALTIEEDLKEALAKLLLNETDSEQETMEINAVDYTTEEEESSTEEEESQKEDCEGNCDYYKSLCAMNGLLVLTKEDNFILDLIDNIEDPEKKRERLETYISLYKETNNTAQDLPDQKIERKKPSYDLKDILERVKNSKKQKEPTVAELRSELNSVKTEIKRPNLHFTNLSI >Manes.02G169850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13392141:13393135:1 gene:Manes.02G169850.v8.1 transcript:Manes.02G169850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVFSYSEKRRKLATIFIYSEPLRLSSKYSKTPSQAAKTEQVKKQETRKREREMKEKKSPYEEVVRAQTQQCSKTKPGSLMPKERRLVKSMIFDCFLSLFTSSERQQHKEATKV >Manes.02G066400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5057968:5060867:-1 gene:Manes.02G066400.v8.1 transcript:Manes.02G066400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTNSISPFPHLSSSYDLSPYIIHHEANDLLLHHHHRPLTTPSLPATDTLLHTSASMISNKHDINVGFAGNQLDPLVLLTGKKPVKKDRHSKIYTAQGLRDRRVRLSIEIARKFFDLQDMLGYDKASKTLEWLLSKSKKAIKEVAQNGGAKSLSSTSTCEVASENGELDGIVSKSESLAGRVCNEKKTKRLQKAASSNLVAKESRAKARARARERTRVKMCTRRFHEFHNPDSTSFNRNLSRSTLSQQHQACEKSHNSLKVVALAHHDQVEQPNSHANLVPKGNIIEESIVIKRKLKPSTVMGYQQNLVMLKDVTCNNSNFPNLPQNWEINSAIARSSFCAITNVNKSTGLHLYG >Manes.02G066400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5057968:5060867:-1 gene:Manes.02G066400.v8.1 transcript:Manes.02G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSTNSISPFPHLSSSYDLSPYIIHHEANDLLLHHHHRPLTTPSLPATDTLLHTSASMISNKHDINVGFAGNQLDPLVLLTGKKPVKKDRHSKIYTAQGLRDRRVRLSIEIARKFFDLQDMLGYDKASKTLEWLLSKSKKAIKEVAQNGGAKSLSSTSTCEVASENGELDGIVSKSESLAGRVCNEKKTKRLQKAASSNLVAKESRAKARARARERTRVKMCTRRFHEFHNPDSTSFNRNLSRSTLSQQHQACEKSHNSLKVVALAHHDQVEQPNSHANLVPKGNIIEESIVIKRKLKPSTVMGYQQNLVMLKDVTCNNSNFPNLPQNWEINSAIARSSFCAITNVNKSTGEDCISMARSGRLKTVVNVYANMAYKHERCKL >Manes.08G093100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31575098:31591180:-1 gene:Manes.08G093100.v8.1 transcript:Manes.08G093100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAKRLIQKAVHHHHHQLDLQRGNLKSTDLDLQIAVHYGIPSTASLLAFDSIQRLLAVATLDGRIKVIGGDGIEGLLISAKQLPYKSIEFLENRGFLIGITNENDIEVWNLESRCLACCLRWDSNITAFSVINGSYLMLIGDEYGLMSVVKYVVEDSKLLRLPYHIVSDHLKAGFPSVDHQPIVGVLPQPCSSGNRVLIAYVNGLIILWDFYEARILFVGGGKDLQLKDGSVDSQRGADTNLQENASYNHLQGKEISALCWASSNGSILAVGYVDGDILFWKTSTGSSVGGQQNEPSGSSVVKLQLSSSERRLPVIVLHWSASNRSSNDCDGQLFIYGGDEIGSEEVLTVLNLEWSSGMGTVKCKGRMDLTLAGSFADMILLPSAGSTRGNHKAAVFVLTNPGQLYLYDDASLSVLLSQQEKEKSACALEFPAVVPMVDPPMTMAKLTALPIGGNSSKVLSEMASVKTRGTTPAQASDTKWPLTGGVPVHLSSTEQRGVERVYIAGYQDGSVRIWNASYPFLSLICILEGKVDGLQVASFNAPISNVDFCSLTSNLAVGNEHGLVQIYNLNGSSNERGFHLVTEAKHEVLDLPHKEGPHCKAVFSLLNSPICALQYANSGAKLAVGFECGKVAVLDMSSLSVLFFTDCVSSSSSPVISVTWKNCGSIESLVKSPKETNIVANHAEEVMFVLTKDALLNIIDGGSGSMMNSHPWRPKKRSIAISMYVIEENNTSVSTSTNGKDLEEANQDVAINKDQPVDETAPLGANLHCSKRDSLSGIAVSGERLMDSHILVCYEDSMRLYNTKSVIQGSNKSIFKVKHSKPCCWTSTLRKDEKVCGLILLFQTGAIEIRSFPDLELVKESSLMSILRWNYKASMEKKMGSDNGQITLANGCELAFVSLLSGENGFRIPDSLPCLHDKVLAAAADAAISFSSNQKKKQGIKPGILAGIVKGFKSGKAEQTTDATPTPQSNFSHLENIFSKPPFSDSSPAVTDSQEAVELNIDDIEIDESPLPIGTSSQEVKNIKKEKGTEREQLLGKADDIKPRLRTPEEIMAQYRKAGDASSVAAHARNKLVERQEKLERISRRTAELQNGAEDFASLANELVKAMENRKWWQV >Manes.08G093100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31575098:31591179:-1 gene:Manes.08G093100.v8.1 transcript:Manes.08G093100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAKRLIQKAVHHHHHQLDLQRGNLKSTDLDLQIAVHYGIPSTASLLAFDSIQRLLAVATLDGRIKVIGGDGIEGLLISAKQLPYKSIEFLENRGFLIGITNENDIEVWNLESRCLACCLRWDSNITAFSVINGSYLMLIGDEYGLMSVVKYVVEDSKLLRLPYHIVSDHLKEAAGFPSVDHQPIVGVLPQPCSSGNRVLIAYVNGLIILWDFYEARILFVGGGKDLQLKDGSVDSQRGADTNLQENASYNHLQGKEISALCWASSNGSILAVGYVDGDILFWKTSTGSSVGGQQNEPSGSSVVKLQLSSSERRLPVIVLHWSASNRSSNDCDGQLFIYGGDEIGSEEVLTVLNLEWSSGMGTVKCKGRMDLTLAGSFADMILLPSAGSTRGNHKAAVFVLTNPGQLYLYDDASLSVLLSQQEKEKSACALEFPAVVPMVDPPMTMAKLTALPIGGNSSKVLSEMASVKTRGTTPAQASDTKWPLTGGVPVHLSSTEQRGVERVYIAGYQDGSVRIWNASYPFLSLICILEGKVDGLQVASFNAPISNVDFCSLTSNLAVGNEHGLVQIYNLNGSSNERGFHLVTEAKHEVLDLPHKEGPHCKAVFSLLNSPICALQYANSGAKLAVGFECGKVAVLDMSSLSVLFFTDCVSSSSSPVISVTWKNCGSIESLVKSPKETNIVANHAEEVMFVLTKDALLNIIDGGSGSMMNSHPWRPKKRSIAISMYVIEENNTSVSTSTNGKDLEEANQDVAINKDQPVDETAPLGANLHCSKRDSLSGIAVSGERLMDSHILVCYEDSMRLYNTKSVIQGSNKSIFKVKHSKPCCWTSTLRKDEKVCGLILLFQTGAIEIRSFPDLELVKESSLMSILRWNYKASMEKKMGSDNGQITLANGCELAFVSLLSGENGFRIPDSLPCLHDKVLAAAADAAISFSSNQKKKQGIKPGILAGIVKGFKSGKAEQTTDATPTPQSNFSHLENIFSKPPFSDSSPAVTDSQEAVELNIDDIEIDESPLPIGTSSQEVKNIKKEKGTEREQLLGKADDIKPRLRTPEEIMAQYRKAGDASSVAAHARNKLVERQEKLERISRRTAELQNGAEDFASLANELVKAMENRKWWQV >Manes.15G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3569193:3571037:1 gene:Manes.15G046500.v8.1 transcript:Manes.15G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQSWRVRLSFKNATIFLTILNVITALFLLHGFLSSASSRNSRLSSNQFNSVQLSYIKESEEIRLAMRPWALIKRVREIEQEAYAEPETEQQKDTKQTAAVGLSKSLKSFRSINDASSLKALEEWRSRKMERARLRAMSFPKRVL >Manes.14G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5544184:5547286:-1 gene:Manes.14G066500.v8.1 transcript:Manes.14G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSKPKPKLHVPNTMKRSLSSNSDSHSYFESPHSPLRLNSPLPSDQGDPHDESPTFVSPMNSPGKLPPLENSMAIVAVDKFTQYTPQPSPFPQENAAYSQAKAQPQTHTPAMMFNKTVREEGPPVVGKVRTDGRSAAVESWRRKDRRKVAELGFRIAEVVLCLISFSVMAADKTQGWSGDSYDRYREYRYCLSVNVIAFVYSGFQAYDMSYHLVTGKHVMSHRVRRHFNFLVDQVLAYLLVSASSSAATRVDDWQSNWGKDEFTEMASASVTMAFLAFVAFAVSSLISGYNLHNNGST >Manes.02G183900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14702004:14720693:-1 gene:Manes.02G183900.v8.1 transcript:Manes.02G183900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNHPQTMKSRSKPQPELFWPRVVMRKLLNISAKESDYSADSDSDNGDTDTASDTDEFCACGGESRFRGNGGKEAEAPVDSINDALPRLRRRKSETFRTQYINTKELRICVGTWNVGGKLPPDDLDIDEWIGIDEPADIYIFGLQEIVPLNAGNIFGAEDNRPVPKWENTIRDALNRIRPTKTKVKCYTDPPSPSKFKPSDDVPDIEEEILLESDSDVGEEIHPLDEAEDSPDMGDMDVNSGVASDTSGKLGVPVEQDLQRQFSSPKKLSRLNCLRTEDSAGDVEVLAGQNNRQLTKMLSGTERIGLSWPERPLDLLSQHVLQRPSSFKAVKSFKASKSFGAYSSFKSVTNELQSDLALLAELDLEALMKRKRRSQYVRIVSKQMVGIFLTIWVRRSLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSVYQTLFCFICSHLTSGEKDGDEHKRNSDVHEIRRRTLFHSNSGIGLPKRILDHERIIWLGDLNYRINLSYEKTRELISRKEWSKLVEKDQLVRELRKGRAFDGWTEGTLNFPPTYKYEMNSDKYYGEDPKAGRRVPAWCDRILSYGKGMRLLKYRRKELKLSDHRPVTATYMAEVEVFCPRKLQKALTYTDAEIENEEVLEEAIDVGMNQLRLEQDFYSWER >Manes.02G183900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14701940:14720694:-1 gene:Manes.02G183900.v8.1 transcript:Manes.02G183900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNHPQTMKSRSKPQPELFWPRVVMRKLLNISAKESDYSADSDSDNGDTDTASDTDEFCACGGESRFRGNGGKEAEAPVDSINDALPRLRRRKSETFRTQYINTKELRICVGTWNVGGKLPPDDLDIDEWIGIDEPADIYIFGLQEIVPLNAGNIFGAEDNRPVPKWENTIRDALNRIRPTKTKVKCYTDPPSPSKFKPSDDVPDIEEEILLESDSDVGEEIHPLDEAEDSPDMGDMDVNSGVASDTSGKLGVPVEQDLQRQFSSPKKLSRLNCLRTEDSAGDVEVLAGQNNRQLTKMLSGTERIGLSWPERPLDLLSQHVLQRPSSFKAVKSFKASKSFGAYSSFKSVTNELQSDLALLAELDLEALMKRKRRSQYVRIVSKQMVGIFLTIWVRRSLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSVYQTLFCFICSHLTSGEKDGDEHKRNSDVHEIRRRTLFHSNSGIGLPKRILDHERIIWLGDLNYRINLSYEKTRELISRKEWSKLVEKDQLVRELRKGRAFDGWTEGTLNFPPTYKYEMNSDKYYGEDPKAGRRVPAWCDRILSYGKGMRLLKYRRKELKLSDHRPVTATYMAEVEVFCPRKLQKALTYTDAEIENEEVLEEAIDVGMNQLRLEQDFYSWER >Manes.02G183900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14701939:14712145:-1 gene:Manes.02G183900.v8.1 transcript:Manes.02G183900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNHPQTMKSRSKPQPELFWPRVVMRKLLNISAKESDYSADSDSDNGDTDTASDTDEFCACGGESRFRGNGGKEAEAPVDSINDALPRLRRRKSETFRTQYINTKELRICVGTWNVGGKLPPDDLDIDEWIGIDEPADIYIFGLQEIVPLNAGNIFGAEDNRPVPKWENTIRDALNRIRPTKTKVKCYTDPPSPSKFKPSDDVPDIEEEILLESDSDVGEEIHPLDEAEDSPDMGDMDVNSGVASDTSGKLGVPVEQDLQRQFSSPKKLSRLNCLRTEDSAGDVEVLAGQNNRQLTKMLSGTERIGLSWPERPLDLLSQHVLQRPSSFKAVKSFKASKSFGAYSSFKSVTNELQSDLALLAELDLEALMKRKRRSQYVRIVSKQMVGIFLTIWVRRSLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSVYQTLFCFICSHLTSGEKDGDEHKRNSDVHEIRRRTLFHSNSGIGLPKRILDHERIIWLGDLNYRINLSYEKTRELISRKEWSKLVEKDQLVRELRKGRAFDGWTEGTLNFPPTYKYEMNSDKYYGEDPKAGRRVPAWCDRILSYGKGMRLLKYRRKELKLSDHRPVTATYMAEVEVFCPRKLQKALTYTDAEIENEEVLEEAIDVGMNQLRLEQDFYSWER >Manes.02G183900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14701940:14720693:-1 gene:Manes.02G183900.v8.1 transcript:Manes.02G183900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSKPQPELFWPRVVMRKLLNISAKESDYSADSDSDNGDTDTASDTDEFCACGGESRFRGNGGKEAEAPVDSINDALPRLRRRKSETFRTQYINTKELRICVGTWNVGGKLPPDDLDIDEWIGIDEPADIYIFGLQEIVPLNAGNIFGAEDNRPVPKWENTIRDALNRIRPTKTKVKCYTDPPSPSKFKPSDDVPDIEEEILLESDSDVGEEIHPLDEAEDSPDMGDMDVNSGVASDTSGKLGVPVEQDLQRQFSSPKKLSRLNCLRTEDSAGDVEVLAGQNNRQLTKMLSGTERIGLSWPERPLDLLSQHVLQRPSSFKAVKSFKASKSFGAYSSFKSVTNELQSDLALLAELDLEALMKRKRRSQYVRIVSKQMVGIFLTIWVRRSLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSVYQTLFCFICSHLTSGEKDGDEHKRNSDVHEIRRRTLFHSNSGIGLPKRILDHERIIWLGDLNYRINLSYEKTRELISRKEWSKLVEKDQLVRELRKGRAFDGWTEGTLNFPPTYKYEMNSDKYYGEDPKAGRRVPAWCDRILSYGKGMRLLKYRRKELKLSDHRPVTATYMAEVEVFCPRKLQKALTYTDAEIENEEVLEEAIDVGMNQLRLEQDFYSWER >Manes.02G183900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14702156:14720693:-1 gene:Manes.02G183900.v8.1 transcript:Manes.02G183900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNHPQTMKSRSKPQPELFWPRVVMRKLLNISAKESDYSADSDSDNGDTDTASDTDEFCACGGESRFRGNGGKEAEAPVDSINDALPRLRRRKSETFRTQYINTKELRICVGTWNVGGKLPPDDLDIDEWIGIDEPADIYIFGLQEIVPLNAGNIFGAEDNRPVPKWENTIRDALNRIRPTKTKVKCYTDPPSPSKFKPSDDVPDIEEEILLESDSDVGEEIHPLDEAEDSPDMGDMDVNSGVASDTSGKLGVPVEQDLQRQFSSPKKLSRLNCLRTEDSAGDVEVLAGQNNRQLTKMLSGTERIGLSWPERPLDLLSQHVLQRPSSFKAVKSFKASKSFGAYSSFKSVTNELQSDLALLAELDLEALMKRKRRSQYVRIVSKQMVGIFLTIWVRRSLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSVYQTLFCFICSHLTSGEKDGDEHKRNSDVHEIRRRTLFHSNSGIGLPKRILDHERIIWLGDLNYRINLSYEKTRELISRKEWSKLVEKDQLVRELRKGRAFDGWTEGTLNFPPTYKYEMNSDKYYGEDPKAGRRVPAWCDRILSYGKGMRLLKYRRKELKLSDHRPVTATYMAEVEVFCPRKLQKALTYTDAEIENEEVLEEAIDVGMNQLRLEQDFYSWER >Manes.14G157747.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:20386329:20395630:1 gene:Manes.14G157747.v8.1 transcript:Manes.14G157747.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMFYRSRLCPRKFYALTRNLSTRPPSDTLNLQNSNCSINSVINTCFPSSILGLNLISSNLYLPKHPNFVIHSNSVTNLVYGRDPCFVRNYCSGIKTEGGSPQWTEDIEYLDESGSVIYSGKGIRLVEPGRDDHVMIGELKKPFLNVAAVAKIVEFVKRWKWGPELETHLDKLQFVPNMTHIVQALKIINDTDGSLSLYKWARRQSWYVPHDECYLLLFDGLSQIRDFDGVQALFDEMIQDSSSRGVSSFCAYNRVIQSLAKAEKLELSFCCFKKVQDSGCKIDTQTYNALISLFMNKGLPYKSFEIYESMEAAKCSLDGSTYELMIPCLAKSGRLDVAFKLFQEMKERNFRPSIGIFSSLVDSMGKAGRLDTSMKVYMEMQGFGHKPSAIMYVSLIESYTKAGKLDTALRLWDEMKKSGFRPNYGLYTMVIESHAKSGKLDTAMSIFKDMEKAGFLPTPSTYSCLLELHAASGQVDSAMKLYNSMINAGLRPGLSTYTSLLTLLASKKLVDVAAKILLEMKAMGFSVDVSASDVLMVYIKDGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKNGLYESAKPLLDTYVNSAAKVDLILYTSILAHLVRCQEEQNERHLMSILSATRHKGHTFMCGLFIGPEQRKQPVLSFVREFFQGIDYELEEGAARYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVIPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRAPGDSVMEWFKKPIVQQFLLNEIPSRADILMHKLNTLFPSSAPEIRSLSPPKPLISGKAM >Manes.14G157747.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:20386323:20410780:1 gene:Manes.14G157747.v8.1 transcript:Manes.14G157747.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMFYRSRLCPRKFYALTRNLSTRPPSDTLNLQNSNCSINSVINTCFPSSILGLNLISSNLYLPKHPNFVIHSNSVTNLVYGRDPCFVRNYCSGIKTEGGSPQWTEDIEYLDESGSVIYSGKGIRLVEPGRDDHVMIGELKKPFLNVAAVAKIVEFVKRWKWGPELETHLDKLQFVPNMTHIVQALKIINDTDGSLSLYKWARRQSWYVPHDECYLLLFDGLSQIRDFDGVQALFDEMIQDSSSRGVSSFCAYNRVIQSLAKAEKLELSFCCFKKVQDSGCKIDTQTYNALISLFMNKGLPYKSFEIYESMEAAKCSLDGSTYELMIPCLAKSGRLDVAFKLFQEMKERNFRPSIGIFSSLVDSMGKAGRLDTSMKVYMEMQGFGHKPSAIMYVSLIESYTKAGKLDTALRLWDEMKKSGFRPNYGLYTMVIESHAKSGKLDTAMSIFKDMEKAGFLPTPSTYSCLLELHAASGQVDSAMKLYNSMINAGLRPGLSTYTSLLTLLASKKLVDVAAKILLEMKAMGFSVDVSASDVLMVYIKDGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKNGLYESAKPLLDTYVNSAAKVDLILYTSILAHLVRCQEEQNERHLMSILSATRHKGHTFMCGLFIGPEQRKQPVLSFVREFFQGIDYELEEGAARYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVIPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRAPGDSVMEWFKKPIVQQFLLNEIPSRADILMHKLNTLFPSSAPEIRSLSPPKPLISGKAM >Manes.14G157747.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:20386323:20398064:1 gene:Manes.14G157747.v8.1 transcript:Manes.14G157747.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMFYRSRLCPRKFYALTRNLSTRPPSDTLNLQNSNCSINSVINTCFPSSILGLNLISSNLYLPKHPNFVIHSNSVTNLVYGRDPCFVRNYCSGIKTEGGSPQWTEDIEYLDESGSVIYSGKGIRLVEPGRDDHVMIGELKKPFLNVAAVAKIVEFVKRWKWGPELETHLDKLQFVPNMTHIVQALKIINDTDGSLSLYKWARRQSWYVPHDECYLLLFDGLSQIRDFDGVQALFDEMIQDSSSRGVSSFCAYNRVIQSLAKAEKLELSFCCFKKVQDSGCKIDTQTYNALISLFMNKGLPYKSFEIYESMEAAKCSLDGSTYELMIPCLAKSGRLDVAFKLFQEMKERNFRPSIGIFSSLVDSMGKAGRLDTSMKVYMEMQGFGHKPSAIMYVSLIESYTKAGKLDTALRLWDEMKKSGFRPNYGLYTMVIESHAKSGKLDTAMSIFKDMEKAGFLPTPSTYSCLLELHAASGQVDSAMKLYNSMINAGLRPGLSTYTSLLTLLASKKLVDVAAKILLEMKAMGFSVDVSASDVLMVYIKDGSVDLALRWLRFMGSSGIRTNNFIIRQLFESCMKNGLYESAKPLLDTYVNSAAKVDLILYTSILAHLVRCQEEQNERHLMSILSATRHKGHTFMCGLFIGPEQRKQPVLSFVREFFQGIDYELEEGAARYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVIPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRAPGDSVMEWFKKPIVQQFLLNEIPSRADILMHKLNTLFPSSAPEIRSLSPPKPLISGKAM >Manes.03G172400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29687054:29689872:-1 gene:Manes.03G172400.v8.1 transcript:Manes.03G172400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPNSVFTCPTLQLFQKCKTLNTLKQIHAQMVASGLILHSYPLSRLLLFSSTLDITYTLSVFNQIPNPSIFLFNTLISSIVNQKHHTHVAFSLYLRILSHKSVKPNNYTYPSLFKACGLHPWVHHGLALHTHVLKFLEPTYDHFVQASLLNYYANYGKLGVARYLFDQISKPDLATWNSILAAYASNTNNTSSYNGNVGSEDVTSLSAETLYLFNEMLNSLVTPNEVTLVALISACANLGALGQGAWAHAYILKNNLRLNRYVCTALIAMYSKCGCIELAYQLFDQLSQRDILCYNSMIGGLAIHGYGHRALDLYEKMKLEDLAPDNVTFVVTMCACSHVGLVEEGCKIFDSIEEVYGIEPTLQHYGCVIDLLSRAGRLREAEEKMRNMPMKPNSVVWRSLLGGARVHGNLEIGEVALKNLMELEPETSGNYVLLSNMYAGNNKWADVKKVRKLMKDQGIVKMPGSSLVEMGGAMHEFITGDKTHPLSEEIYLKLEEINRRLQEYGHKPRIKEVLFDIEEEEKEGALSYHSERLAIAFALIVADSSAPIRIIKNLRICGDCHASTKLISMVYWREIIVRDRTRFHHFNGGTCSCLDYW >Manes.10G091832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23685010:23709832:-1 gene:Manes.10G091832.v8.1 transcript:Manes.10G091832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQYVVGGIIASVLGFLLLYRSRGMKKTKESRENMRDEVVKSSEQVVCRSENSISTDVIIVGAGIAGSALAYTLGKDGRRVHVIERDLTLPDRIIGELLQPGGYLKLIELGLEGESTKLSYPLLSLDEDVAGRSFHNGRFIQKMLEKAASLPNTWDNKGLQYKTKAGQELSACAPLTIVCDGCFSNLRPFLCNPKVDIPSCFVALILENCKLPYPNHGHVILAEPSPILFYRISSSEIRCLVDIPGQKLLSISNEEGRKGAPMEKDARGKLTHQRVEGDAEVVAAREPHAHDFLASELGGLASNGLAILLVNIRTMPNRSMSTAPYPTLGGLLLGNAFNMRHPLIGGGMTITLSDIVVLRNLLRPLHDLSGAHSLFNYFESFYTLHKTMASTINTLARALYKVFSASTDSAKNEMLLHFFVVAIYGINRLIVPFPSIPRIWIGARMIRVASGIIFPIIKVGVRQMFLPTTSPVQSVGSVPNRTEPIKPKTDMLKF >Manes.17G117281.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34162505:34163863:1 gene:Manes.17G117281.v8.1 transcript:Manes.17G117281.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTKKPRNIRKALKQKNPSTNEVNIMAQKLSEISPDMQEMQEMLQKLRIEKEKTEELLKEKDEMLKAKEEELVTKGREQEKLQMEFKKLQKLKEPSPPYILWCKYQWNEVKKENPDAEFKEISNILGAKWKSVNAEEKKPYEEKYQAEKEAYLQLIANERSERHKQKTAMELLEQYIQFKQEAEKENKKTKKEKDPLKPKQPISAFFLFSNERRAKKNKEKYLQEMEAYKQKKDEEAMNLKKEEEEMLKLQKQEALQLLKKEETENIIKKTKEKRQKKKQQNADPNKPKRPASSFLLFNKEARKLT >Manes.12G010600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1071289:1072554:-1 gene:Manes.12G010600.v8.1 transcript:Manes.12G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSFCLFSVFLIFLSGFSFFRVVDGAGGGVNEECNNGFQKVMPCLTYATGKANIPTKDCCDEVKDLKDSNPKCLCFIIQQTNSGSAQIKSLGIQQNRLLQLPSACQLRNASVSFCPKLLGLSPSSPDAAIFTNTTTAPTTTPTGASATEQSDASIITTHGPDHLFGPLAIAMAIFIYTYSTDQSMITF >Manes.02G056200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4440123:4447028:-1 gene:Manes.02G056200.v8.1 transcript:Manes.02G056200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNNPSIVSCEHKKQPSFREHDVQDTSSSVDNQAEEGSQLHTDELNEPSTLCLLDKRNDEAILLSDVGETRTHNSLVINDKGNVLSEILASLSTRSPATLLKKKLLVLDLNGLLVDIVSSPPKNYKPDIRIRRQSIFRRPFCLDFLNFCFERFEVAIWSSRMKKNVDDIIDRLIGDMKHKLMFCWDLSHCTMTKFNTLENKHKKLVFKELRRIWEKHDPELPWEKRFYNESNTLLLDDSPYKALLNPVIEVILECIWKD >Manes.02G056200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4440123:4447127:-1 gene:Manes.02G056200.v8.1 transcript:Manes.02G056200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNNPSIVSCEHKKQPSFREHDVQDTSSSVDNQAEEGSQLHTDELNEPSTLCLLDKRNDEAILLSDVGETRTHNSLVINDKGNVLSEILASLSTRSPATLLKKKLLVLDLNGLLVDIVSSPPKNYKPDIRIRRQSIFRRPFCLDFLNFCFERFEVAIWSSRMKKNVDDIIDRLIGDMKHKLMFCWDLSHCTMTKFNTLENKHKKLVFKELRRIWEKHDPELPWEKRFYNESNTLLLDDSPYKALLNPVIEVILECIWKD >Manes.02G056200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4440123:4447027:-1 gene:Manes.02G056200.v8.1 transcript:Manes.02G056200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNNPSIVSCEHKKQPSFREHDVQDTSSSVDNQAEEGSQLHTDELNEPSTLCLLDKRNDEAILLSDVGETRTHNSLVINDKGNVLSEILASLSTRSPATLLKKKLLVLDLNGLLVDIVSSPPKNYKPDIRIRRQSIFRRPFCLDFLNFCFERFEVAIWSSRMKKNVDDIIDRLIGDMKHKLMFCWDLSHCTMTKFNTLENKHKKLVFKELRRIWEKHDPELPWEKRFYNESNTLLLDDSPYKALLNPAYTAIFPYPYQCQDSKDNALGDRGDLRVYLEGLAEADDVQKFIEQYPFGQKPITERSACWGFYLQRVEGTFMESPIDRTKQCFLKRYHILILYMKLAFFHAEHI >Manes.02G056200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4440123:4447142:-1 gene:Manes.02G056200.v8.1 transcript:Manes.02G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNNPSIVSCEHKKQPSFREHDVQDTSSSVDNQAEEGSQLHTDELNEPSTLCLLDKRNDEAILLSDVGETRTHNSLVINDKGNVLSEILASLSTRSPATLLKKKLLVLDLNGLLVDIVSSPPKNYKPDIRIRRQSIFRRPFCLDFLNFCFERFEVAIWSSRMKKNVDDIIDRLIGDMKHKLMFCWDLSHCTMTKFNTLENKHKKLVFKELRRIWEKHDPELPWEKRFYNESNTLLLDDSPYKALLNPAYTAIFPYPYQCQDSKDNALGDRGDLRVYLEGLAEADDVQKFIEQYPFGQKPITERSACWGFYLQVTSTLSPFSSMS >Manes.06G116000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24719369:24721116:1 gene:Manes.06G116000.v8.1 transcript:Manes.06G116000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEILVELPLYKKLHIQYLVLDENQPRTFYNFIFLICFREMEVQIISKEIVKPSSATPQHLSTYNLSLLDQLAPQIYVPIILFYSASRENSYRKYHHLKTSLSKTLTHFSPFAGRIKDGFSIDCNDEGASFIEANVAGEISMTLEESDVHKLEKLLPCNPYDVSSEISSRVLLAVQVNYFACGGMALSACIWHPIGDASALASFLTSWAAISRGATDDIEGVISDCTSLFPPQDVSSFSLRNFFNEDLLSKITMKRFLFDSSKIAALREEIGNSSCLDPPTRFEAVAALIWKAVMAETEEDQNGNETKANEINVAIIAVDLRKRMIPQLPKLSIGNIFQVTKAICSKNDEKLVDYNALATKLHESIGMVNNDFVRMIHGGGAYFQFLRKESEKHGENSNLTKLFGFSSWCRFPFYEVDFGWGKPTWVATPLKFHNVAIFLDSKDGEGIEAWVSLSEEHMMKFEQNPGICAYSSFKPST >Manes.17G085887.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29301246:29303958:1 gene:Manes.17G085887.v8.1 transcript:Manes.17G085887.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCITQGAPASRMMMLLLLCLFLCRISSSRMKKLAAAICNSRERTKLASTFMRDLFIQNEESLSTLCLISCFEKNCF >Manes.10G007800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:918529:925522:1 gene:Manes.10G007800.v8.1 transcript:Manes.10G007800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRLHESKHPFNTHPFEAFYCGNWEHVELIEIRDGVMIFHYAGNYHLIEDKGPVSNIRIKSRQAKITDCTCFLRPGIDVCVLLPPQTGGNSEVWTDAKINSIERRPHEYRCQCQFFVKRYVNQGPLGSEKGTLCKDIEVVGIDKICILQKLDKNPCEDQFYRWDSSEDCSCVQRTKLFIGKFCSDISWLVVTSVMKDIAFDVRTVQNKIVYQILVCEDDCGSIKSNNYLNSVNFKVDNNILTPVVLQFAPDDASEPSCAPEVGEVDLDEPSSTYNVMNLRRSKRRNIKPDRFLGCDVSPEDDVGWVRTMPYKQEKVKEDEMFLPLSFVFGPHARASAKQTEGESGAFSGVEPLEDIPISRFKTKPREAKSSMDKQREHQTELAIVPVPSESDLVAFEQIHSTAMTLEKYSRETNNISLGYYSTKGSSAMRRKSSFEIDDMAFERRRKGRPPIHKVQYGRYRPIPSRRNEPGEPLTYKRTTLSAGAYSKLIKSYMKNIDSTIMSKEEPHIVDQWEEFKARSRPVQSERTEPSTTEDEEEDSETEMLWREMELSLASAYLLEEHEVRAPAETMQKSTEYCRHEFKMDEEIGIYCLICGFVSTEIRYFSTPFVEHVGWTAENKPFNEEDSELNPCEDLGLDLFSNSISNEEISLSEENDNVWALIPDLRMKLHIHQKKAFEFLWKNIAGSLIPAHIEKRSKKIGGCVVSHTPGAGKTFLIIAFLSSYMKLFPGKRPLVLAPKTTLYTWYKEFIKWQIPIPVHLIHGRRTYRVFRQNVVTFRGGPKPSQDVMHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMRDNSKFAHRKYMAKVLRESPGLLVLDEGHNPRSTKSRLRKVLMKVQTDLRILLSGTLFQNNFCEYFNTLCLARPKFIREVLKELDPKFKRKKKGEEKARHLLESRARKFFLDNIARKIDSNVSEERMQGINMLRNITSGFIDVYEGGAADGLPGLQLYTILMNSTDIQHDMLVKLHKIMATYNGYPLELELLITLASIHPWLVKTSNCVNKFFTWVELMQLEKLKFDFKKASKVMFVLNLVYRVVKKEKVLIFCHNIAPINLFVELFENVFRWQKGREIMVLTGDLELFERGRVIDKFEEPGGPSRILLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVFVYQLLATGTLEEDKYRRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDVLREMVEEDRVKSFHMIMKNEKASTG >Manes.10G007800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:918529:925522:1 gene:Manes.10G007800.v8.1 transcript:Manes.10G007800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRLHESKHPFNTHPFEAFYCGNWEHVELIEIRDGVMIFHYAGNYHLIEDKGPVSNIRIKSRQAKITDCTCFLRPGIDVCVLLPPQTGGNSEVWTDAKINSIERRPHEYRCQCQFFVKRYVNQGPLGSEKGTLCKDIEVVGIDKICILQKLDKNPCEDQFYRWDSSEDCSCVQRTKLFIGKFCSDISWLVVTSVMKDIAFDVRTVQNKIVYQILVCEDDCGSIKSNNYLNSVNFKVDNNILTPVVLQFAPDDASEPSCAPEVGEVDLDEPSSTYNVMNLRRSKRRNIKPDRFLGCDVSPEDDVGWVRTMPYKQEKVKEDEMFLPLSFVFGPHARASAKQTEGESGAFSGVEPLEDIPISRFKTKPREAKSSMDKQREHQTELAIVPVPSESDLVAFEQIHSTAMTLEKYSRETNNISLGYYSTKGSSAMRRKSSFEIDDMAFERRRKGRPPIHKVQYGRYRPIPSRRNEPGEPLTYKRTTLSAGAYSKLIKSYMKNIDSTIMSKEEPHIVDQWEEFKARSRPVQSERTEPSTTEDEEEDSETEMLWREMELSLASAYLLEEHEVRAPAETMQKSTEYCRHEFKMDEEIGIYCLICGFVSTEIRYFSTPFVEHVGWTAENKPFNEEDSELNPCEDLGLDLFSNSISNEEISLSEENDNVWALIPDLRMKLHIHQKKAFEFLWKNIAGSLIPAHIEKRSKKIGGCVVSHTPGAGKTFLIIAFLSSYMKLFPGKRPLVLAPKTTLYTWYKEFIKWQIPIPVHLIHGRRTYRVFRQNVVTFRGGPKPSQDVMHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMRDNSKFAHRKYMAKVLRESPGLLVLDEGHNPRSTKSRLRKVLMKVQTDLRILLSGTLFQNNFCEYFNTLCLARPKFIREVLKELDPKFKRKKKGEEKARHLLESRARKFFLDNIARKIDSNVSEERMQGINMLRNITSGFIDVYEGGAADGLPGLQLYTILMNSTDIQHDMLVKLHKIMATYNGYPLELELLITLASIHPWLVKTSNCVNKFFTWVELMQLEKLKFDFKKASKVMFVLNLVYRVVKKEKVLIFCHNIAPINLFVELFENVFRWQKGREIMVLTGDLELFERGRVIDKFEEPGGPSRILLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVFVYQLLATGTLEEDKYRRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDVLREMVEEDRVKSFHMIMKNEKASTG >Manes.10G007800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:918529:925522:1 gene:Manes.10G007800.v8.1 transcript:Manes.10G007800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRLHESKHPFNTHPFEAFYCGNWEHVELIEIRDGVMIFHYAGNYHLIEDKGPVSNIRIKSRQAKITDCTCFLRPGIDVCVLLPPQTGGNSEVWTDAKINSIERRPHEYRCQCQFFVKRYVNQGPLGSEKGTLCKDIEVVGIDKICILQKLDKNPCEDQFYRWDSSEDCSCVQRTKLFIGKFCSDISWLVVTSVMKDIAFDVRTVQNKIVYQILVCEDDCGSIKSNNYLNSVNFKVDNNILTPVVLQFAPDDASEPSCAPEVGEVDLDEPSSTYNVMNLRRSKRRNIKPDRFLGCDVSPEDDVGWVRTMPYKQEKVKEDEMFLPLSFVFGPHARASAKQTEGESGAFSGVEPLEDIPISRFKTKPREAKSSMDKQREHQTELAIVPVPSESDLVAFEQIHSTAMTLEKYSRETNNISLGYYSTKGSSAMRRKSSFEIDDMAFERRRKGRPPIHKVQYGRYRPIPSRRNEPGEPLTYKRTTLSAGAYSKLIKSYMKNIDSTIMSKEEPHIVDQWEEFKARSRPVQSERTEPSTTEDEEEDSETEMLWREMELSLASAYLLEEHEVRAPAETMQKSTEYCRHEFKMDEEIGIYCLICGFVSTEIRYFSTPFVEHVGWTAENKPFNEEDSELNPCEDLGLDLFSNSISNEEISLSEENDNVWALIPDLRMKLHIHQKKAFEFLWKNIAGSLIPAHIEKRSKKIGGCVVSHTPGAGKTFLIIAFLSSYMKLFPGKRPLVLAPKTTLYTWYKEFIKWQIPIPVHLIHGRRTYRVFRQNVVTFRGGPKPSQDVMHVLDCLEKIQKWHAQPSVLVMGYTSFLTLMRDNSKFAHRKYMAKVLRESPGLLVLDEGHNPRSTKSRLRKVLMKVQTDLRILLSGTLFQNNFCEYFNTLCLARPKFIREVLKELDPKFKRKKKGEEKARHLLESRARKFFLDNIARKIDSNVSEERMQGINMLRNITSGFIDVYEGGAADGLPGLQLYTILMNSTDIQHDMLVKLHKIMATYNGYPLELELLITLASIHPWLVKTSNCVNKFFTWVELMQLEKLKFDFKKASKVMFVLNLVYRVVKKEKVLIFCHNIAPINLFVELFENVFRWQKGREIMVLTGDLELFERGRVIDKFEEPGGPSRILLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVFVYQLLATGTLEEDKYRRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDVLREMVEEDRVKSFHMIMKNEKASTG >Manes.03G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1991873:1994004:1 gene:Manes.03G024300.v8.1 transcript:Manes.03G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITIKSLLFLLPLFFVSGFAHLGEPIWQEPNAYQVFNEEHILGPHELFGNPFGNPDDFKKKIPDDVGTPINKGDDVAPTKKPKRSPDAPWVALGYKGSWELVSQNSGVSAMHSILLPKINKVLMYDATIWKISKLPLPKGHCRILNKTTGEKDCWCHSVLFDINDAKLTPLELNTDTWCSSGGLEIDGSFVSTGGYQGGANTVRYLGLCDGCTWREYPTALADRRWYSTQAQLPDGGFIVVGGRDAFSYEYIPAEGKSNAKPFLFDFLRQTSDPEENNLYPFVYLSPDGNVFIFANSRSVLLNPKSNKIVRELPVLAGGCRNYPASGMSALLPIKLHAQNQETVQAEVLVCGGSAHKDSYSKAERGIFYTALQDCARIKITAKNPVWKRELMPTPRIMGDTMILPSGDVLILNGAQRGASGWGFAREPNFAPVLYNPRATRGERFTELAPSTIPRMYHSSSAVMPDGKVLVGGSNTNNGYIYNAMYPTELRIEKFSPPYLNAGLAEKRPEIIKTAEMISYGGPIELEIKLAGVHVQQQDVRVTMYAPAFTTHGVSMNQRLLDLGIKEVQSDGNESHKVVAQAPPSGLVAPPGYYMLSAVHQGIPSVSKWVQIK >Manes.11G145000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953497:30961422:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEARECRLGDFIDKTLGVQTMQAAGRMMELALQCVDISAKRPSMVRIVQEIEQIQRREMGFLQAEFVEEIGSVTLGSDLFK >Manes.11G145000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30955019:30961550:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPEYAIYI >Manes.11G145000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953469:30961550:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEGMPSRRLH >Manes.11G145000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953469:30961492:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEARECRLGDFIDKTLGVQTMQAAGRMMELALQCVDISAKRPSMVRIVQEIEQIQRREMGFLQAEFVEEIGSVTLGSDLFK >Manes.11G145000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953648:30956665:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPACSMQVLDIKAFLCSQDLESYVNKLSLSFSLSLCLTTVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEARECRLGDFIDKTLGVQTMQAAGRMMELALQCVDISAKRPSMVRIVQEIEQIQRREMGFLQAEFVEEIGSVTLGSDLFK >Manes.11G145000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953161:30960401:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEARECRLGDFIDKTLGVQTMQAAGRMMELALQCVDISAKRPSMVRIVQEIEQIQRREMGFLQAEFVEEIGSVTLGSDLFK >Manes.11G145000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953469:30961492:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEARECRLGDFIDKTLGVQTMQAAGRMMELALQCVDISAKRPSMVRIVQEIEQIQRREMGFLQAEFVEEIGSVTLGSDLFK >Manes.11G145000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953469:30961492:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEGMPSRRLH >Manes.11G145000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953461:30961491:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEARECRLGDFIDKTLGVQTMQAAGRMMELALQCVDISAKRPSMVRIVQEIEQIQRREMGFLQAEFVEEIGSVTLGSDLFK >Manes.11G145000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953159:30961550:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEARECRLGDFIDKTLGVQTMQAAGRMMELALQCVDISAKRPSMVRIVQEIEQIQRREMGFLQAEFVEEIGSVTLGSDLFK >Manes.11G145000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953161:30961550:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEARECRLGDFIDKTLGVQTMQAAGRMMELALQCVDISAKRPSMVRIVQEIEQIQRREMGFLQAEFVEEIGSVTLGSDLFK >Manes.11G145000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953469:30961492:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEGMPSRRLH >Manes.11G145000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30953469:30961492:-1 gene:Manes.11G145000.v8.1 transcript:Manes.11G145000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFWWQQLPLLILLQLLETNDTSHKLCAEALVACKSTNGPPQHSIGKIGRYSCLRQWASANCYKLNDLGVVSLSPKRHASFLKIGLIKSSPIALKFISSNFPFKFQEKVCKSINRRIAAAVDGDNGVPTLTPLEGADAKKPSKHKVAAIIGGLGAALLVIVIVLIVYICLMHVKQFLRPASETASSIPSPPVELARSNISPYAASQSPINQNVRQLSIVELEHATCNFSHSNIIGEGVFGLVYKGLLLDGSIVAVKRCLQKPFLDFLPQVERIASVRHGHLVELIGYCEHRNQLLLVYDYISNGNVGNYLNDSEGLPTGKLDMRQRLSIALGAAKGLQYLHSLVPPFPHMHFRTSNVLLGDNFTARVSDYGLLKLVIEGHRAGPSSAVDYFHDPELNLSNNFSERSDVYSFGVFLLELISAREAHGKRQSNSGHNLVEEGMPSRRLH >Manes.05G128500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22288259:22291593:1 gene:Manes.05G128500.v8.1 transcript:Manes.05G128500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGGSSVSRRQRRLKRDSVDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENPEEKRALEKKMAREWKRIAKAAIAYHNHQERLNFKLVKVVECKTISDHGIWYHLNFEAKLRDYECSPKLFFAELYGIALRLTCCCMLKSKGSGS >Manes.05G128500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22288266:22291593:1 gene:Manes.05G128500.v8.1 transcript:Manes.05G128500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGGSSVSRRQRRLKRDSVDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENPEEKRALEKKMAREWKRIAKAAIAYHNHQERLNFKLVKVVECKTISDHGIWYHLNFEAKLRDYECSPKLFFAELYGIALRLTCCCMLKSKGSGMYSCFLFAFEKIGELQNLFSLLPGVFFAPCCLLCALYSLMLNSTQLIGTMTYWSPKEYCLNINFLYFSGS >Manes.05G128500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22288246:22291595:1 gene:Manes.05G128500.v8.1 transcript:Manes.05G128500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGGSSVSRRQRRLKRDSVDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENPEEKRALEKKMAREWKRIAKAAIAYHNHQERLNFKLVKVVECKTISDHGIWYHLNFEAKLRDYECSPKLFFAELYGIALRLTCCCMLKSKGSGMYSCFLFAFEKIGELQNLFSLLPGVFFAPCCLLCALYSLMLNSTQLIGTMTYWSPKEYCLNINFLYFSGS >Manes.05G128500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22288246:22292715:1 gene:Manes.05G128500.v8.1 transcript:Manes.05G128500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGGSSVSRRQRRLKRDSVDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENPEEKRALEKKMAREWKRIAKAAIAYHNHQERLNFKLVKVVECKTISDHGIWYHLNFEAKLRDYECSPKLFFAELYGIALRLTCCCMLKSKGSDASTMKGCLYCGSLICHPASGFRAGSEFALRFNKYGGIPVNCGQVFPQS >Manes.05G128500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22288259:22292347:1 gene:Manes.05G128500.v8.1 transcript:Manes.05G128500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGGGSSVSRRQRRLKRDSVDQCQNLKQKCLKMDNPADDAQKNGTDTNNGLLPGTSGEEKCIMIEGYKYFFGEKGLLLRAEPMDKADLEKLENPEEKRALEKKMAREWKRIAKAAIAYHNHQERLNFKLVKVVECKTISDHGIWYHLNFEAKLRDYECSPKLFFAELYGIALRLTCCCMLKSKGSGS >Manes.13G142500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35660247:35666109:1 gene:Manes.13G142500.v8.1 transcript:Manes.13G142500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSDDDFTRERSQDLQRVFRNFDPNLRPQEKAIEYARALNAAKLDKIFARPFIGAMDGHIDAVSCMAKNPNYLKGIFSGSMDGDIRLWDIASRRTVRQFPGHQGAVRGLTASTDGRILVSCGTDCTVRLWNVPIATIVESDDSSNHAAEPLAVYTGKNAFWGVDHQWDGELFATAGAQVDIWNHNSDRSITLYDLRVSSPARKLIMRTKTNSIAWNPMEPMNFTVANEDCNCYSYDARKFEEAKCVHKDHVSAVMDIDFSPTGREFATGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYIISGSDDTNLRLWKAKASEQLGVLLPRERKRHEYNEALKNRYKHLPEVKRIVRHRHLPKPIYKAAALRRTVTEAERRKEERRKAHSAPGSVVTEPLRKRRIIKEEE >Manes.13G142500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35660247:35666109:1 gene:Manes.13G142500.v8.1 transcript:Manes.13G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVISRSDDDFTRERSQDLQRVFRNFDPNLRPQEKAIEYARALNAAKLDKIFARPFIGAMDGHIDAVSCMAKNPNYLKGIFSGSMDGDIRLWDIASRRTVRQFPGHQGAVRGLTASTDGRILVSCGTDCTVRLWNVPIATIVESDDSSNHAAEPLAVYTGKNAFWGVDHQWDGELFATAGAQVDIWNHNRSQPINSFEWGTDTVISVRFNPGEPNLLATSASDRSITLYDLRVSSPARKLIMRTKTNSIAWNPMEPMNFTVANEDCNCYSYDARKFEEAKCVHKDHVSAVMDIDFSPTGREFATGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYIISGSDDTNLRLWKAKASEQLGVLLPRERKRHEYNEALKNRYKHLPEVKRIVRHRHLPKPIYKAAALRRTVTEAERRKEERRKAHSAPGSVVTEPLRKRRIIKEEE >Manes.13G037500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4521245:4531967:1 gene:Manes.13G037500.v8.1 transcript:Manes.13G037500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGELQHLAVVLALLIVGGGLRRSSGDEGEFIGDYSKLSGIIIPGFASTQLRAWSFLDCSYSPFDFNPLDLVWLHTAKLLSSVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKDWIKWCIEFGIEANAIIAVPYDWRLAPSMLEERDLYFHRLKLTFETALKLRGGPSIVFAHSLGNFVFRYFLEWLKLEIAPKHYNQWLDEHIHAYFSVGAPLLGATETVKAMLLGKTFGLPVSKGTARLMFNSFASSLWMLPFSKYCRADNPYWKHFSGASRKSHQTFQCDKREFRENYSGWPTNIVNLEIPSIRPFDPFSSLPELEVAHTNFSTWEFRFPTHVSFSAREVSDGTFFRSIADYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGIGLKTEVGYYLARSGKPYPRNWILTDVIYELEGSLFSRSGNLVEGNPGPASGDGTVSYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVGHQHEADIIPNMTRSRSVKYITYYEDSESIPEKKTAVWELDKVNHRSIVRSAVLMRELWLQMWHDIHPDKKSEFVTKGTFWGMFTWDRVVG >Manes.13G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4521245:4531967:1 gene:Manes.13G037500.v8.1 transcript:Manes.13G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGELQHLAVVLALLIVGGGLRRSSGDEGEFIGDYSKLSGIIIPGFASTQLRAWSFLDCSYSPFDFNPLDLVWLHTAKLLSSVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKDWIKWCIEFGIEANAIIAVPYDWRLAPSMLEERDLYFHRLKLTFETALKLRGGPSIVFAHSLGNFVFRYFLEWLKLEIAPKHYNQWLDEHIHAYFSVGAPLLGATETVKAMLLGKTFGLPVSKGTARLMFNSFASSLWMLPFSKYCRADNPYWKHFSGASRKSHQTFQCDKREFRENYSGWPTNIVNLEIPSIRPFDPFSSLPELEVAHTNFSTWEFRFPTHVSFSAREVSDGTFFRSIADYDSDNKRLLYQLQKSYHGDPVLNPLTPWDRPPIKNVFCIYGIGLKTEVGYYLARSGKPYPRNWILTDVIYELEGSLFSRSGNLVEGNPGPASGDGTVSYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVELNVGHQHEADIIPNMTRSRSVKYITYYEDSESIPEKKTAVWELDKVNHRSIVRSAVLMRELWLQMWHDIHPDKKSEFVTKAKRGPLRDDDCYWNYKKARCALPEYCEYRYLLGDVHLGQSCRLKNSSAHTLLHYL >Manes.08G140300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37660068:37666491:-1 gene:Manes.08G140300.v8.1 transcript:Manes.08G140300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPVLPTPLEDKYQKLPDSFQVASERQLIRNPVPLQASQLGPDSGTTAHLFSSSLRIPKELNTSSVSPQNSPFIRSSKDRGTLLVAPTYSSHSEAQSTTLISNSEENKDISWSVDPLYDLLDFPGNVTTQNGQVESNIGVIASEDLSKRTDWQEWADQLISVDSDLEPNWSELLNDANATDTEQQVLKSSPEFSVQPQIHLYNGEPCAVANPISTAPSTKPRMRWTPELHEAFVEAVNKLGGSERATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPESSEGTSEKKLSPIEEMKSLDLKTSMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRHLQMMFEKQRKLEDGKSKVSSSSLDDPTLLQSDAVLPSGNDKSEISEPDHAKTVSDRNDCGVALEKNSPSVSRKHKALENGTGEDLLDESSPASTKRARANETAISSTSCI >Manes.08G140300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37660588:37666491:-1 gene:Manes.08G140300.v8.1 transcript:Manes.08G140300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPVLPTPLEDKYQKLPDSFQVASERQLIRNPVPLQASQLGPDSGTTAHLFSSSLRIPKELNTSSVSPQNSPFIRSSKDRGTLLVAPTYSSHSEAQSTTLISNSEENKDISWSVDPLYDLLDFPGNVTTQNGQVESNIGVIASEDLSKRTDWQEWADQLISVDSDLEPNWSELLNDANATDTEQQVLKSSPEFSVQPQIHLYNGEPCAVANPISTAPSTKPRMRWTPELHEAFVEAVNKLGGSERATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPESSEGTSEKKLSPIEEMKSLDLKTSMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRHLQMMFEKQRKLEDGKSKVSSSSLDDPTLLQSDAVLPSGNDKSEISEPDHAKTVSDRNDCGVALEKNSPSVSRKHKALENGTGEDLLDESSPASTKRARANETAISSTSCI >Manes.09G033500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6650167:6654271:-1 gene:Manes.09G033500.v8.1 transcript:Manes.09G033500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKIDCQERVQQNNGLNGDFALHFSQCFGNQQSWNMGIRTQQPVMEAGLQQQNHRPNDKSSTTIMRSFESPASAFYATERYMGFPQYDCQVGVPPLSFPYSKPFDSQQSSRENYAIDPGEQAESNLDLRRNLQPIVKPHFSVDHYHKSYKGPCSNSFGNKLHLFERNKLSNNGAASMGHHFSIPFQADQDHRVGGNPCASPFSQLGFSSRQEIPSPRFSSPGACISSGNPEAAGAVLSSKTRIRWTQDLHEKFVMCVNRLGGAEKATPKAILKLMDTDGLTIFHVKSHLQKYRIAKYMPDSSEGKSEKRTTINDVPQIDTKTFKRTCNYASKNKAENFRGCLINNREQVIAFSGIRTWIGNPPQMIQHLVLKILRFPS >Manes.09G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6650167:6654271:-1 gene:Manes.09G033500.v8.1 transcript:Manes.09G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKIDCQERVQQNNGLNGDFALHFSQCFGNQQSWNMGIRTQQPVMEAGLQQQNHRPNDKSSTTIMRSFESPASAFYATERYMGFPQYDCQVGVPPLSFPYSKPFDSQQSSRENYAIDPGEQAESNLDLRRNLQPIVKPHFSVDHYHKSYKGPCSNSFGNKLHLFERNKLSNNGAASMGHHFSIPFQADQDHRVGGNPCASPFSQLGFSSRQEIPSPRFSSPGACISSGNPEAAGAVLSSKTRIRWTQDLHEKFVMCVNRLGGAEKATPKAILKLMDTDGLTIFHVKSHLQKYRIAKYMPDSSEGKSEKRTTINDVPQIDTKTSGLQISEALQLQLDVQRRLHEQLEIQKNLQLRIEEQGRKLQRMFDQQQRTSNSLLRNQNLDRKSSPDDPAFSFEDIEVSIIEGSSDTQFPSKIS >Manes.09G033500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6650167:6654271:-1 gene:Manes.09G033500.v8.1 transcript:Manes.09G033500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKIDCQERVQQNNGLNGDFALHFSQCFGNQQSWNMGIRTQQPVMEAGLQQQNHRPNDKSSTTIMRSFESPASAFYATERYMGFPQYDCQVGVPPLSFPYSKPFDSQQSSRENYAIDPGEQAESNLDLRRNLQPIVKPHFSVDHYHKSYKGPCSNSFGNKLHLFERNKLSNNGAASMGHHFSIPFQADQDHRVGGNPCASPFSQLGFSSRQEIPSPRFSSPGACISSGNPEAAGAVLSSKTRIRWTQDLHEKFVMCVNRLGGAEKATPKAILKLMDTDGLTIFHVKSHLQKYRIAKYMPDSSEGKSEKRTTINDVPQIDTKTGLQISEALQLQLDVQRRLHEQLEIQKNLQLRIEEQGRKLQRMFDQQQRTSNSLLRNQNLDRKSSPDDPAFSFEDIEVSIIEGSSDTQFPSKIS >Manes.01G047200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:16978893:16981166:1 gene:Manes.01G047200.v8.1 transcript:Manes.01G047200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSWSWEQKTLVSELIQGMELAKQLKIHMNTASSVETRDSLVQRILTSYEKSLLILNYSGSMGQQHQHQHHQLQQNFGATAGTVPESPISMNGSPGSDDFDGGHSDISKKRKTMPRWTDQVRVSSENGLEGPHDDGYSWRKYGQKDILGAKYPRSYYRCTYRNTQNCWATKQVQRSDDDHTIFDITYRGIHTCSHGQQQIPPPASPEKQEQKQNIDQQQQSQAALFNFQKVLRVNTEDLDNKEMAFPFSFPPTYGSTKTSGTYSQSFTSPATPESNYYSVSPFQMNNYAGVQNLQHHSESGFTELISANTSAANSPIVEPDFSLQSLELDPNFPFNTPGFFS >Manes.01G214900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38460127:38463693:1 gene:Manes.01G214900.v8.1 transcript:Manes.01G214900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEIEEKPSEAMPATASQIKKETRDEVLSRHRKEIAQLQNKEIELKKAAAKGSKAEQKAKKKQVEEKISQLSTELKEKHSKELASLGYSSSSNGNEKSDLDNLVKAIAGVSFTNQPENFKVSKGAKKRGKRAQQEVERERRIQEEQSNLVSDRMIENDKLKAKLEPLGLTVNEIKPDGHCLYRAIENQLAVLSGGSSPYTYQDLRNMVAAYMREHASEFLPFFLSENMMEGDSDNSLAERFEHYCKEVECTSAWGGQLELGALIHCLRRPIMIYSGSFPDVEMGKEYKSDGGTDSSNGSILLSYHKHAFGLGEHYNSVVPNLIR >Manes.01G214900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38460127:38463693:1 gene:Manes.01G214900.v8.1 transcript:Manes.01G214900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEIEEKPSEAMPATASQIKKETRDEVLSRHRKEIAQLQNKEIELKKAAAKGSKAEQKAKKKQVEEKISQLSTELKEKHSKELASLGYSSSSNGNEKSDLDNLVKAIAGVSFTNQPENFKVSKGAKKRGKRAQQEVERERRIQEEQSNLVSDRMIENDKLKAKLEPLGLTVNEIKPDGHCLYRAIENQLAVLSGGSSPYTYQDLRNMVAAYMREHASEFLPFFLSENMMEGDSDNSLAERFEHYCKEVECTSAWGGQLELGALIHCLRRPIMIYSGSFPDVEMGKEYKSDGGTDSSNGSILLSYHKHAFGLGEHYNSVVPNLIR >Manes.01G214900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38460127:38463693:1 gene:Manes.01G214900.v8.1 transcript:Manes.01G214900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEIEEKPSEAMPATASQIKKETRDEVLSRHRKEIAQLQNKEIELKKAAAKGSKAEQKAKKKQVEEKISQLSTELKEKHSKELASLGYSSSSNGNEKSDLDNLVKAIAGVSFTNQPENFKVSKGAKKRGKRAQQEVERERRIQEEQSNLVSDRMIENDKLKAKLEPLGLTVNEIKPDGHCLYRAIENQLAVLSGGSSPYTYQDLRNMVAAYMREHASEFLPFFLSENMMEGDSDNSLAERFEHYCKEVECTSAWGGQLELGALIHCLRRPIMIYSGSFPDVEMGKEYKSDGGTDSSNGSILLSYHKHAFGLGEHYNSVVPNLIR >Manes.01G214900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38460127:38463693:1 gene:Manes.01G214900.v8.1 transcript:Manes.01G214900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEIEEKPSEAMPATASQIKKETRDEVLSRHRKEIAQLQNKEIELKKAAAKGSKAEQKAKKKQVEEKISQLSTELKEKHSKELASLGYSSSSNGNEKSDLDNLVKAIAGVSFTNQPENFKVSKGAKKRGKRAQQEVERERRIQEEQSNLVSDRMIENDKLKAKLEPLGLTVNEIKPDGHCLYRAIENQLAVLSGGSSPYTYQDLRNMVAAYMREHASEFLPFFLSENMMEGDSDNSLAERFEHYCKEVECTSAWGGQLELGALIHCLRRPIMIYSGSFPDVEMGKEYKSDGGTDSSNGSILLSYHKHAFGLGEHYNSVVPNLIR >Manes.01G214900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38460127:38463693:1 gene:Manes.01G214900.v8.1 transcript:Manes.01G214900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQEIEEKPSEAMPATASQIKKETRDEVLSRHRKEIAQLQNKEIELKKAAAKGSKAEQKAKKKQVEEKISQLSTELKEKHSKELASLGYSSSSNGNEKSDLDNLVKAIAGVSFTNQPENFKVSKGAKKRGKRAQQEVERERRIQEEQSNLVSDRMIENDKLKAKLEPLGLTVNEIKPDGHCLYRAIENQLAVLSGGSSPYTYQDLRNMVAAYMREHASEFLPFFLSENMMEGDSDNSLAERFEHYCKEVECTSAWGGQLELGALIHCLRRPIMIYSGSFPDVEMGKEYKSDGGTDSSNGSILLSYHKHAFGLGEHYNSVVPNLIR >Manes.17G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27572739:27575321:1 gene:Manes.17G074800.v8.1 transcript:Manes.17G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSNVYGRMSNSSSSSSSTLRDEDVEWEMRPGGMLVQKRNETIEVPPTILRLRVAYGALRYEISVNSQATFGELKKLLMAETGLQPGDQKIMFRGKERENGQYLELCGVKDKSKVLLIEDPASIERRYIQMRKNSRIQSAHRAISSVSMEVDKLAEQVSAIEKSISGGIKVPEVQITTLIEMLMRQAIKLDSISAEGDASSLKTLQGKRVQKCVETLDALKISNAKVKPVIVTTKWETFDPPPVALSQWELFD >Manes.09G078200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21223384:21228615:-1 gene:Manes.09G078200.v8.1 transcript:Manes.09G078200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEDSKGRRMVFVTVGTTLFDALVRAVDTKEVKQELFRKGYTHLLIQMGRGFYTPTKSEAEDGSVSVDYFTFSSSIADHLRSASLVISHAGSGSIFETLRLRKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPQTLHHTIANMDSESLLPYPAGDANPVAKLINRFLGFPDD >Manes.09G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21223403:21228615:-1 gene:Manes.09G078200.v8.1 transcript:Manes.09G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLKEMGEIEDSKGRRMVFVTVGTTLFDALVRAVDTKEVKQELFRKGYTHLLIQMGRGFYTPTKSEAEDGSVSVDYFTFSSSIADHLRSASLVISHAGSGSIFETLRLRKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPQTLHHTIANMDSESLLPYPAGDANPVAKLINRFLGFPDD >Manes.09G078200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21223403:21228615:-1 gene:Manes.09G078200.v8.1 transcript:Manes.09G078200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIEDSKGRRMVFVTVGTTLFDALVRAVDTKEVKQELFRKGYTHLLIQMGRGFYTPTKSEAEDGSVSVDYFTFSSSIADHLRSASLVISHAGSGSIFETLRLRKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPQTLHHTIANMDSESLLPYPAGDANPVAKLINRFLGFPDD >Manes.08G095600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32282934:32285219:-1 gene:Manes.08G095600.v8.1 transcript:Manes.08G095600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQTERNTLSIEKPSGLLCITLTKMPCWKVLFLLFFIPLLLIFLISPSTVSSYSLDVKVSSSVKVNLSVYYETLCPSCANFIVKNLMSIFNDGLFDIINLRMVPWGNAHMNRVNNTIVCQNGLDECELNTIQACAINVFRDVNKYYGLIFCMEFLAIEGRHQNWQTCFDSLGLSAKSVLKCYNNGTGTKLEVEHGYETAHLDPPQSFLPWVVVNYQPLGNCRITTILQLMYAMLTKALLNPVSANCLQQISAQ >Manes.08G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32282934:32285219:-1 gene:Manes.08G095600.v8.1 transcript:Manes.08G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQTERNTLSIEKPSGLLCITLTKMPCWKVLFLLFFIPLLLIFLISPSTVSSYSLDVKVSSSVKVNLSVYYETLCPSCANFIVKNLMSIFNDGLFDIINLRMVPWGNAHMNRVNNTIVCQNGLDECELNTIQACAINVFRDVNKYYGLIFCMEFLAIEGRHQNWQTCFDSLGLSAKSVLKCYNNGTGTKLEVEHGYETAHLDPPQSFLPWVVVNYQPLGNDYNNFTTYVCNAYKGIVKPSVCKLPAANISSMRKASAVHPVFHRGEAKNLTSLGTMKIISRSRKAFQKGFFGFRG >Manes.08G125900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36604074:36604423:-1 gene:Manes.08G125900.v8.1 transcript:Manes.08G125900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGWGPPPGGPGFCGCCDFLCNGICRIVSSCFYFLCCCCILESCCGPLFGGPGGPPGPPPPGPPRF >Manes.06G171900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29603024:29606600:1 gene:Manes.06G171900.v8.1 transcript:Manes.06G171900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGLPLPSLGRVKLSDLIPSEGLPSDSYKLSVSTLSQSLAQYSAAIIQFSGIDGALLRSGLDSARLYFHQRSSYPSSDVIHTNDSREWCKTSGYYADPQLWQETYDYRPGLTPIEPNNSMEFPPGGLPDIFALLGKAARDILDAISFYLNLRSSPFTEILDNVPLRSREISSSVLSVCCYARPSFQGAQHHNLTAEEDGQVVMYPDHENQVDKSLITLVKSDKAGLHVRDFHGRWVLVDGDLGPQEAIVYPGLALYQATAGYINPALHRTEINDMQGNMYGRSSLAFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPVPVDDFMQRPHPTDQLFNRHSFQSFNFSTSQDGSMKPMMRRRKNNSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHIHGLDSPCASIRMEIGWPAGVPFVHPHDLPNKAKIGFLEAYEPGWTATHAVELNLTEPGQGSQQSANCT >Manes.04G079501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28169481:28171596:1 gene:Manes.04G079501.v8.1 transcript:Manes.04G079501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCELLSLLQVGRLLVSGSSASKTIQNMGFQRATLQSMLEKRKKKRFVIPVSYLNKPSFQDLLIQVEEEFGYI >Manes.02G152750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11688846:11689061:1 gene:Manes.02G152750.v8.1 transcript:Manes.02G152750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAACTYGASRAITEITNRNRLMHFLVGLNVVFGSARDQTLGMDPLPTINKAYSMVVKFESQRDSRSHE >Manes.05G134100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23552718:23556653:1 gene:Manes.05G134100.v8.1 transcript:Manes.05G134100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMLKCSANYVPLTPISFLERSAIVYRDCVSIVNGDVKYTWRETHERCIRLASALAGLGISRGDVVAALAPNIPALYELHFGVPMAGAVLCTLNTRHDSAMVSALLRHSEAKFIFVDYQFLQIAEGALEILSKKGIKPPLLVLVQDCDQPTPISSNLEYEGFLAMGKLDFEVRRPTDEWDPISLNYTSGTTSSPKGVIYSHRGAYLNSLAAILLNEMSSMPVYLWCVPMFHCNGWCLTWGVAAQGGTNICLRNVSAKGIYESIAQHKVTHMGGAPTVLNMIINASAEERRSLLGKVTVMTGGAPPPPEVLFRMEEAGFNVTHSYGLTETYGPGTVCTWKPEWASLPRDLQAKIKARQGLQHLGLEEIDIKDPVTMKSVPPDAKTMGEVMFRGNTVMNGYLKNLKATEEAFNGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISTIEVESVLFSHPAVLEAAVVGRPDDYWGEIPCAFVKLKEGFNASEEEIIKYCRDHLPHYMAPRTVVFEDLPKTSTGKVQKFILRKKAKAMGSLKKPSISKL >Manes.10G055500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:7024882:7026713:1 gene:Manes.10G055500.v8.1 transcript:Manes.10G055500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTQESHLRSDTSITYESPYPLYAMAFSSSPSPHHNHHRIAVGSFIEDYNNRIDILSFDLETLSFKTHPTISLDHPYPPTKLMFHPAAPSSLRKSSDLLASSGDFLRLWEVRENSVEPVSVLNNSKTSEFCAPLTSFDWNEIEPKRIGTCSIDTTCTIWDIEKGAVETQLIAHDKEVHDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPAMPVAELERHRACVNAIAWAPQSSRHICSAGDDAQALIWDLPTVAGPNGIDPMSMYSTTAEINQLQWSAAQPDWIGIAFSSKMQLLKV >Manes.16G112400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31803321:31805327:-1 gene:Manes.16G112400.v8.1 transcript:Manes.16G112400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIKSLFILPLFFVSGFAEKFDQPNAYQVLNKEHILGPHELFGNPFGNPDDYKKVKVPDDFGTQIQGDDVAAPASNKPQNGPPDSPNLATLGFKGAWELVSKNSGVSAMHAILLPKINQVLMYDATIWKISNIRLPNGECRILNKTTGEKDCWCHSVLYDINNAKLTPLELHTDTWCSSGGLDVNGNLVSTGGFQGGANTVRYLGTCKGCNWREYPTALADRRWYSTQATLADGGFIVVGGRDAFSYEYIPAEGKCNAKPFLFEFLRQTTDPEENNLYPFVFLSTDGNVFIFANSRSVLLSPKSNKIVREFPVLPGGHRNYPASGMAALLPLKLKAEGQTMVNTEVLICGGSAHKDSYSKAEKNIFYTALQDCARMKITGNDSVWRRELMPTPRVMGDMMILPTGEALILNGAKRGASGWGFAREPNFAPVLYNPRAKKGERFTELAPSNIPRMYHSVSAVLPDGKVLVGGSNTNNGYIYDAMYPTELRIEKFSPPYLNPALAKKRPVIQGMPSAITYGGNVAVQIKLEGSQVQQQDLKVAMYCPAFTTHGVSMNQRLIDLGLKEVKSNVGTHTIVAVAPPSNMIAPPGFYLFSVVYQGVPSVAKWVQIK >Manes.02G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8641831:8643448:-1 gene:Manes.02G112100.v8.1 transcript:Manes.02G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSASPCLHLPVRTISLPSREHPIFLKFDAILNHLKTWQILSASETAPFGADSIQIGLVGLAELYNCVDEILQSPLAQQAILRHQSGKLVEEALEGSLTLIDTCCTAKDMLLMMKEHVLTLQSAFRRKGRNSGSEEHIYAYVSSRNKVQKDISKCLLSLKKLKSKVGCSSAIDADQHLLEIIRVIRESSSIAISIFQSFLLFFSMPEMKTTKGRWSLVSKLKQLRSSSADKGLEIINEVKSLDLCLFSLHEKSQANDPKAEVQKIKGMLETVNLSLDSLEAELECTSRCLVQNRVSLLNILTQ >Manes.09G090900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:25772733:25774008:-1 gene:Manes.09G090900.v8.1 transcript:Manes.09G090900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAEVTLLDFWPSPFGMRVRIALAEKGIKYEYREEDLKNKSDLLLQMNPVHKKIPVLIHNGKPVAESLIAVQYIDEVWKDKAPLLPSDPCQRAQANFWADFVDKKLFELGRKIWATKGEEQEAAKQGFIESLKLLEGELGEKPFFGGENLGYVDVALVPFYSWFYVYEVCGNFSIEAECPKLIEWTKRCLAKESVFNSLPDHKKVYGFMLELKKRFGIE >Manes.10G118550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28336455:28341394:-1 gene:Manes.10G118550.v8.1 transcript:Manes.10G118550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSTSPCKYDVFINFRGEIRYGFLSHLSTAFHQKQIHAFTDENLHKGEEISPSLLKIIQESCVSIVIFSENYADSPWCLDELVKILECKESLGQLVLPVFYHVDPTHVQQLTGNFGKAFGKSVHGEQVEGRLHKVDAWRHALMEVSNLSGWDSQDVKYESKLVEEIVNDVTKKFSHMSSKDDSYDGNLVGIESRVKKVEKLLHDKQVVGIWGMGGIGKTTIAQEVFRRNITSFDSHCFVEKVRETMLKQPTIDVRDQIICRLLRQKNFHEDILDLNSFIRRRLQSKKIFIVFDDVDDPNHLKRLAGDCSLYHEGSRIIVTSRDWQVLKNVTTKECIYEVDKLTDSEDLKLFCMHAFKQNHPKEGFMELSIKAISYAGGNPLALTVLGSHLFDMEIEEWKSELKKLKGGSLRKIQDIMRTSYDGLEKNEKKIFLDVACFFKWENIYSVKKTLEAFGFFPKSAIPRLISKSLISISSINEVDMHDLLEQLCKDIVNEESKQPGGRSRLWNYEDVHHVLTTDTGTENVEGILLDMHKKDKLVISSTAFMRMCNLRFLKIQCYNGGQVLLPNDLEFLPQKLRYLYWNHYPLTSLPLNFCPRNLVQLHMRSSKLIELWNEEKPLGNLKLIDLSYSEDLMRIPDLSASASNLEYLFLMGCRSLVEIPSSLQNLSKLTQLKLDETGIQQLPSSIEHLRQLFNLSLIWCTRLVNLPSTIGKLKRLEELFLCGCSKLQSLPESIKQLTKLRRLNLTGCKSLKWLPELPPRLEYVNASDCISLESASTSFLFLEHEDEDEDEDGEAEEEEADKSEDEDYFANDKFLVFGDCINLKHKKKVMEDVFEAHLLGQKASLYMAGSEIPETMRFKNRSGSSLSFKLDPCRLIAFSFCAVIPTAVVDPTNYYFDLRKGITCRMVLMGKSGQRHSHDFFLFGYRLSYFKYSSEHAVLWFSDNKLQSVDEECFVEASFHSTDENLVEIIGCGIHPIYSRNKKRSRNDEEQQPPLQRLDNCHDFLSLLRKKRKWNWKRRPQHSI >Manes.06G146400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27276298:27281764:-1 gene:Manes.06G146400.v8.1 transcript:Manes.06G146400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLNGFMSHWNIWESDEMDEGFSVGRRWEDLDTDILVKIFQSFDIFQLTSGIAHVCSAWRLACCDPLLWKTLDLSMLKSNFIKIPLEPYVYVDGRSDKTLTRLLKISLNLSQGNITSLIFHFNLYVSDEQLTYTAERCPRLRRLVLPAWNRIKTTGICKAIQKWEDLESLTMPSIANPRDLIEKIANNCRNFSELKVMGPFDVSFASTLAEYLPKLKVLSLRCSMLIKDALILMLDRLQCLEVLNISHCLLIETPAPPAPRRIIKELDQTILEKASRLREFLTCMADSCIMCQRTENDEGLMRWYKYEEGLWKADEVSSLSL >Manes.06G146400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27276298:27281764:-1 gene:Manes.06G146400.v8.1 transcript:Manes.06G146400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWNIWESDEMDEGFSVGRRWEDLDTDILVKIFQSFDIFQLTSGIAHVCSAWRLACCDPLLWKTLDLSMLKSNFIKIPLEPYVYVDGRSDKTLTRLLKISLNLSQGNITSLIFHFNLYVSDEQLTYTAERCPRLRRLVLPAWNRIKTTGICKAIQKWEDLESLTMPSIANPRDLIEKIANNCRNFSELKVMGPFDVSFASTLAEYLPKLKVLSLRCSMLIKDALILMLDRLQCLEVLNISHCLLIETPAPPAPRRIIKELDQTILEKASRLREFLTCMADSCIMCQRTENDEGLMRWYKYEEGLWKADEVSSLSL >Manes.06G146400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27276298:27281095:-1 gene:Manes.06G146400.v8.1 transcript:Manes.06G146400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWNIWESDEMDEGFSVGRRWEDLDTDILVKIFQSFDIFQLTSGIAHVCSAWRLACCDPLLWKTLDLSMLKSNFIKIPLEPYVYVDGRSDKTLTRLLKISLNLSQGNITSLIFHFNLYVSDEQLTYTAERCPRLRRLVLPAWNRIKTTGICKAIQKWEDLESLTMPSIANPRDLIEKIANNCRNFSELKVMGPFDVSFASTLAEYLPKLKVLSLRCSMLIKDALILMLDRLQCLEVLNISHCLLIETPAPPAPRRIIKELDQTILEKASRLREFLTCMADSCIMCQRTENDEGLMRWYKYEEGLWKADEVSSLSL >Manes.06G146400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27276298:27281764:-1 gene:Manes.06G146400.v8.1 transcript:Manes.06G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCIGFMSHWNIWESDEMDEGFSVGRRWEDLDTDILVKIFQSFDIFQLTSGIAHVCSAWRLACCDPLLWKTLDLSMLKSNFIKIPLEPYVYVDGRSDKTLTRLLKISLNLSQGNITSLIFHFNLYVSDEQLTYTAERCPRLRRLVLPAWNRIKTTGICKAIQKWEDLESLTMPSIANPRDLIEKIANNCRNFSELKVMGPFDVSFASTLAEYLPKLKVLSLRCSMLIKDALILMLDRLQCLEVLNISHCLLIETPAPPAPRRIIKELDQTILEKASRLREFLTCMADSCIMCQRTENDEGLMRWYKYEEGLWKADEVSSLSL >Manes.06G146400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27276298:27281764:-1 gene:Manes.06G146400.v8.1 transcript:Manes.06G146400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWNIWESDEMDEGFSVGRRWEDLDTDILVKIFQSFDIFQLTSGIAHVCSAWRLACCDPLLWKTLDLSMLKSNFIKIPLEPYVYVDGRSDKTLTRLLKISLNLSQGNITSLIFHFNLYVSDEQLTYTAERCPRLRRLVLPAWNRIKTTGICKAIQKWEDLESLTMPSIANPRDLIEKIANNCRNFSELKVMGPFDVSFASTLAEYLPKLKVLSLRCSMLIKDALILMLDRLQCLEVLNISHCLLIETPAPPAPRRIIKELDQTILEKASRLREFLTCMADSCIMCQRTENDEGLMRWYKYEEGLWKADEVSSLSL >Manes.17G077900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27773915:27779912:-1 gene:Manes.17G077900.v8.1 transcript:Manes.17G077900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKKPDPPTLQKYGVPFYSSAWVPIKELRSKLQSHDRQQSEDKDEDSGKESPQQEISDQYYVVLAGGGGEGRSGIPNAIVLSHFDFASSSLSAQPVAKLGLGSDLPYRMAVHPGGDGLLCALPKSCRFFNWDEVKDNDAHKLSLKESVKVLTQLEDIGQQLALAFNSDGSVLAVGGEDGHLRVFKWPSMEIVLNEAEAHASLKDLSFSPDGKFLVSLGSRGPGRVWDVTSSTVVASLSKDNDEVFAMCRFSQSSDDTHVLYIAAITGKGGSIQTWDTSSWRRIGSKHISRDSASSFNVSPDGKFLAMGTIQGDVLIINPTSMQVQTVVRKAHLGMVTALAFSHDSRALVSVSMDSSARVTPVEDKKSGGLSLWIILFVILLAIAAYFMKNEGLLPFLG >Manes.17G077900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27772133:27779912:-1 gene:Manes.17G077900.v8.1 transcript:Manes.17G077900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKKPDPPTLQKYGVPFYSSAWVPIKELRSKLQSHDRQQSEDKDEDSGKESPQQEISDQYYVVLAGGGGEGRSGIPNAIVLSHFDFASSSLSAQPVAKLGLGSDLPYRMAVHPGGDGLLCALPKSCRFFNWDEVKDNDAHKLSLKESVKVLTQLEDIGQQLALAFNSDGSVLAVGGEDGHLRVFKWPSMEIVLNEAEAHASLKDLSFSPDGKFLVSLGSRGPGRVWDVTSSTVVASLSKDNDEVFAMCRFSQSSDDTHVLYIAAITGKGGSIQTWDTSSWRRIGSKHISRDSASSFNVSPDGKFLAMGTIQGDVLIINPTSMQVQTVVRKAHLGMVTALAFSHDSRALVSVSMDSSARVTPVEDKKSGGLSLWIILFVILLAIAAYFMKNEGLLPFLG >Manes.17G077900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27772133:27779912:-1 gene:Manes.17G077900.v8.1 transcript:Manes.17G077900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKKPDPPTLQKYGVPFYSSAWVPIKELRSKLQSHDRQQSEDKDEDSGKESPQQEISDQYYVVLAGGGGEGRSGIPNAIVLSHFDFASSSLSAQPVAKLGLGSDLPYRMAVHPGGDGLLCALPKSCRFFNWDEVKDNDAHKLSLKESVKVLTQLEDIGQQLALAFNSDGSVLAVGGEDGHLRVFKWPSMEIVLNEAEAHASLKDLSFSPDGKFLVSLGSRGPGRVWDVTSSTVVASLSKDNDEVFAMCRFSQSSDDTHVLYIAAITGKGGSIQTWDTSSWRRIGSKHISRDSASSFNVSPDGKFLAMGTIQGDVLIINPTSMQVQTVVRKAHLGMVTALAFSHDSRALVSVSMDSSARVTPVEDKKSGGLSLWIILFVILLAIAAYFMKNEGLLPFLG >Manes.S043316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1294582:1294743:1 gene:Manes.S043316.v8.1 transcript:Manes.S043316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.04G006100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:837051:864674:-1 gene:Manes.04G006100.v8.1 transcript:Manes.04G006100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDARRRDLRDFGRSSKKQKLIRNSEEELDLKFGFDLFTEGDKRLGWLLTFASSSWEDQETRKVHSCVDLYFVSQDGSTFKSKFKFRPYFYAATKDKMEMDVEAYLRRRYESQIADIEIVEKEDLDLKNHLSGLRKLYLKISFSTVQQLMDVKKDLLHVVARNQEKFDTAEAYEALLTGKREQRPQDLLDCIVDLREYDVPYHVRFAIDNDIRCGQWYDVTVSNRGVTLEKRTDLLQRAEVRVCAFDIETTKLPLKFPDADYDLIMMISYMVDGQGYLIINRECVGEDIEDLEYTPKPEFEGCFKVTNVQSEVELLRRWFVHMQEVKPGIYVTYNGDYFDWPFLESRAAYHGLKMSDEVGFSCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAKENPQMMASYSVSDAVATYFLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQSYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYDQLIGNLDRDLQYAIRVEGKMDLDSVSNYDEIKNAIMEKLVRLRDEPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTEEICTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGTDGQLSKSFLDLSKVDQQSKLKERLKKYCQKAYKRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCVLPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNEQYQTLVDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQNQGKDIADSELLDYISESSTMSKSLVDYGQQKSCAVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDAEIMKFYLRKWCKTSLDVGIRSIIDWSYYKQRLSSAIQKIITIPAAMQKVANPVPRVLHPDWLHKKVREKEDKYRQRKLVDIFSLSNGDESSRRTSDSADHIMNKENVEDLEDFQNKSSSKNGPRPIVRLYEMNNGKCLQNATGRMDSSQQQINNRESIELLQQNASSTESIDRNVDYQGWLELKKRKWKDVLDRRKRQRLGSLRNSNRANGASEPLGSLINNKKAQHRTGVGSYFATHEISLTRCHWQIIQLLPSSHCGQFFAWVVVEGIMVKIPITVPRVFYLNSKAPMTERFPGRRVSKTLPHGRPSYNLVEVSVDEDKFRKESKKLAALLSDPEVEGIYESKVPLEFSAILQIGCVCKVDKKATKRSAQDGWSLSELHTKTTTECTYLEQSISFFYLYHSISEGRAIFVMYLPLLRSLSVVVVNPYQNKDLSPSFLERQFREASHALSIEPPPPRNGVVFKVEYVGHVKDAERTLQGRISELREKHHGPMLAVIECPNIHLMKSGIPALDNFPCVSIPSNIRDSQYQVLGWQQAAAKIGMQRSAASSQWLNERISLSRYAHVPLGNFELDWLIFTADVFFSRALHDQQQLLWISDDGVPDLGGINDESTCFVDEVHQPVLTYPGAYRKVSVELKIHHLAVNALLKSNQVNEMEGGALLGFEQDMNSSSHTYDEQYGFDEATLSAPALRALKQLIQRCLADAVSSGNVFADALLQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFANFSKVIIDTGKLSLSAAKAYCDSLLKTLQSRELFEWIELEPLQFWYSLLFMDQYNYGGIPARADDSSQSESQGEYSQREASQVDIVSSWNIAEYLPKKIQDHFVLIVSEFMFIPWDYAQKQAANRASLQNVSSCTPSITIAAAETFESHLVEYLRGQISTYFTDKLLGIVRDTVLHMKGINKFENDQQISSGFPQLVDNINRGDPALEFIKHACAVLALDHNVQHEVLVMRKNLLKYVRVREFAPEAEFHNPCPSFVLPNVICSYCNDCRDLDLCRDTALLAQEWRCAVQQCGQPYDREVMENSLLQIVRQRERLYHLQDLVCIRCNQVKAAHLAEQCACAGSYRCKEDLSEFRSKMQIFLNVAIHQKFKLLQECTSWILEIH >Manes.04G006100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:837051:864674:-1 gene:Manes.04G006100.v8.1 transcript:Manes.04G006100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDARRRDLRDFGRSSKKQKLIRNSEEELDLKFGFDLFTEGDKRLGWLLTFASSSWEDQETRKVHSCVDLYFVSQDGSTFKSKFKFRPYFYAATKDKMEMDVEAYLRRRYESQIADIEIVEKEDLDLKNHLSGLRKLYLKISFSTVQQLMDVKKDLLHVVARNQEKFDTAEAYEALLTGKREQRPQDLLDCIVDLREYDVPYHVRFAIDNDIRCGQWYDVTVSNRGVTLEKRTDLLQRAEVRVCAFDIETTKLPLKFPDADYDLIMMISYMVDGQGYLIINRECVGEDIEDLEYTPKPEFEGCFKVTNVQSEVELLRRWFVHMQEVKPGIYVTYNGDYFDWPFLESRAAYHGLKMSDEVGFSCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAKENPQMMASYSVSDAVATYFLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQSYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYDQLIGNLDRDLQYAIRVEGKMDLDSVSNYDEIKNAIMEKLVRLRDEPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTEEICTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGTDGQLSKSFLDLSKVDQQSKLKERLKKYCQKAYKRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCVLPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNEQYQTLVDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLVDYGQQKSCAVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDAEIMKFYLRKWCKTSLDVGIRSIIDWSYYKQRLSSAIQKIITIPAAMQKVANPVPRVLHPDWLHKKVREKEDKYRQRKLVDIFSLSNGDESSRRTSDSADHIMNKENVEDLEDFQNKSSSKNGPRPIVRLYEMNNGKCLQNATGRMDSSQQQINNRESIELLQQNASSTESIDRNVDYQGWLELKKRKWKDVLDRRKRQRLGSLRNSNRANGASEPLGSLINNKKAQHRTGVGSYFATHEISLTRCHWQIIQLLPSSHCGQFFAWVVVEGIMVKIPITVPRVFYLNSKAPMTERFPGRRVSKTLPHGRPSYNLVEVSVDEDKFRKESKKLAALLSDPEVEGIYESKVPLEFSAILQIGCVCKVDKKATKRSAQDGWSLSELHTKTTTECTYLEQSISFFYLYHSISEGRAIFVMYLPLLRSLSVVVVNPYQNKDLSPSFLERQFREASHALSIEPPPPRNGVVFKVEYVGHVKDAERTLQGRISELREKHHGPMLAVIECPNIHLMKSGIPALDNFPCVSIPSNIRDSQYQVLGWQQAAAKIGMQRSAASSQWLNERISLSRYAHVPLGNFELDWLIFTADVFFSRALHDQQQLLWISDDGVPDLGGINDESTCFVDEVHQPVLTYPGAYRKVSVELKIHHLAVNALLKSNQVNEMEGGALLGFEQDMNSSSHTYDEQYGFDEATLSAPALRALKQLIQRCLADAVSSGNVFADALLQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFANFSKVIIDTGKLSLSAAKAYCDSLLKTLQSRELFEWIELEPLQFWYSLLFMDQYNYGGIPARADDSSQSESQGEYSQREASQVDIVSSWNIAEYLPKKIQVMRKNLLKYVRVREFAPEAEFHNPCPSFVLPNVICSYCNDCRDLDLCRDTALLAQEWRCAVQQCGQPYDREVMENSLLQIVRQRERLYHLQDLVCIRCNQVKAAHLAEQCACAGSYRCKEDLSEFRSKMQIFLNVAIHQKFKLLQECTSWILEIH >Manes.04G006100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:837051:864673:-1 gene:Manes.04G006100.v8.1 transcript:Manes.04G006100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDARRRDLRDFGRSSKKQKLIRNSEEELDLKFGFDLFTEGDKRLGWLLTFASSSWEDQETRKVHSCVDLYFVSQDGSTFKSKFKFRPYFYAATKDKMEMDVEAYLRRRYESQIADIEIVEKEDLDLKNHLSGLRKLYLKISFSTVQQLMDVKKDLLHVVARNQEKFDTAEAYEALLTGKREQRPQDLLDCIVDLREYDVPYHVRFAIDNDIRCGQWYDVTVSNRGVTLEKRTDLLQRAEVRVCAFDIETTKLPLKFPDADYDLIMMISYMVDGQGYLIINRECVGEDIEDLEYTPKPEFEGCFKVTNVQSEVELLRRWFVHMQEVKPGIYVTYNGDYFDWPFLESRAAYHGLKMSDEVGFSCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAKENPQMMASYSVSDAVATYFLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQSYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYDQLIGNLDRDLQYAIRVEGKMDLDSVSNYDEIKNAIMEKLVRLRDEPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTEEICTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGTDGQLSKSFLDLSKVDQQSKLKERLKKYCQKAYKRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCVLPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNEQYQTLVDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLVDYGQQKSCAVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDAEIMKFYLRKWCKTSLDVGIRSIIDWSYYKQRLSSAIQKIITIPAAMQKVANPVPRVLHPDWLHKKVREKEDKYRQRKLVDIFSLSNGDESSRRTSDSADHIMNKENVEDLEDFQNKSSSKNGPRPIVRLYEMNNGKCLQNATGRMDSSQQQINNRESIELLQQNASSTESIDRNVDYQGWLELKKRKWKDVLDRRKRQRLGSLRNSNRANGASEPLGSLINNKKAQHRTGVGSYFATHEISLTRCHWQIIQLLPSSHCGQFFAWVVVEGIMVKIPITVPRVFYLNSKAPMTERFPGRRVSKTLPHGRPSYNLVEVSVDEDKFRKESKKLAALLSDPEVEGIYESKVPLEFSAILQIGCVCKVDKKATKRSAQDGWSLSELHTKTTTECTYLEQSISFFYLYHSISEGRAIFVMYLPLLRSLSVVVVNPYQNKDLSPSFLERQFREASHALSIEPPPPRNGVVFKVEYVGHVKDAERTLQGRISELREKHHGPMLAVIECPNIHLMKSGIPALDNFPCVSIPSNIRDSQYQVLGWQQAAAKIGMQRSAASSQWLNERISLSRYAHVPLGNFELDWLIFTADVFFSRALHDQQQLLWISDDGVPDLGGINDESTCFVDEVHQPVLTYPGAYRKVSVELKIHHLAVNALLKSNQVNEMEGGALLGFEQDMNSSSHTYDEQYGFDEATLSAPALRALKQLIQRCLADAVSSGNVFADALLQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFANFSKVIIDTGKLSLSAAKAYCDSLLKTLQSRELFEWIELEPLQFWYSLLFMDQYNYGGIPARADDSSQSESQGEYSQREASQVDIVSSWNIAEYLPKKIQDHFVLIVSEFMFIPWDYAQKQAANRASLQNVSSCTPSITIAAAETFESHLVEYLRGQISTYFTDKLLGIVRDTVLHMKGINKFENDQQISSGFPQLVDNINRGDPALEFIKHACAVLALDHNVQHEVLVMRKNLLKYVRVREFAPEAEFHNPCPSFVLPNVICSYCNDCRDLDLCRDTALLAQEWRCAVQQCGQPYDREVMENSLLQIVRQRERLYHLQDLVCIRCNQVKAAHLAEQCACAGSYRCKEDLSEFRSKMQIFLNVAIHQKFKLLQECTSWILEIH >Manes.04G006100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:837051:864674:-1 gene:Manes.04G006100.v8.1 transcript:Manes.04G006100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDARRRDLRDFGRSSKKQKLIRNSEEELDLKFGFDLFTEGDKRLGWLLTFASSSWEDQETRKVHSCVDLYFVSQDGSTFKSKFKFRPYFYAATKDKMEMDVEAYLRRRYESQIADIEIVEKEDLDLKNHLSGLRKLYLKISFSTVQQLMDVKKDLLHVVARNQEKFDTAEAYEALLTGKREQRPQDLLDCIVDLREYDVPYHVRFAIDNDIRCGQWYDVTVSNRGVTLEKRTDLLQRAEVRVCAFDIETTKLPLKFPDADYDLIMMISYMVDGQGYLIINRECVGEDIEDLEYTPKPEFEGCFKVTNVQSEVELLRRWFVHMQEVKPGIYVTYNGDYFDWPFLESRAAYHGLKMSDEVGFSCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAKENPQMMASYSVSDAVATYFLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQSYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYDQLIGNLDRDLQYAIRVEGKMDLDSVSNYDEIKNAIMEKLVRLRDEPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTEEICTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGTDGQLSKSFLDLSKVDQQSKLKERLKKYCQKAYKRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCVLPGSFPENFTFKTKDLKKKLTISYPCVMLNVDVARNNTNEQYQTLVDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQNQGKDIADSELLDYISESSTMSKSLVDYGQQKSCAVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDAEIMKFYLRKWCKTSLDVGIRSIIDWSYYKQRLSSAIQKIITIPAAMQKVANPVPRVLHPDWLHKKVREKEDKYRQRKLVDIFSLSNGDESSRRTSDSADHIMNKENVEDLEDFQNKSSSKNGPRPIVRLYEMNNGKCLQNATGRMDSSQQQINNRESIELLQQNASSTESIDRNVDYQGWLELKKRKWKDVLDRRKRQRLGSLRNSNRANGASEPLGSLINNKKAQHRTGVGSYFATHEISLTRCHWQIIQLLPSSHCGQFFAWVVVEGIMVKIPITVPRVFYLNSKAPMTERFPGRRVSKTLPHGRPSYNLVEVSVDEDKFRKESKKLAALLSDPEVEGIYESKVPLEFSAILQIGCVCKVDKKATKRSAQDGWSLSELHTKTTTECTYLEQSISFFYLYHSISEGRAIFVMYLPLLRSLSVVVVNPYQNKDLSPSFLERQFREASHALSIEPPPPRNGVVFKVEYVGHVKDAERTLQGRISELREKHHGPMLAVIECPNIHLMKSGIPALDNFPCVSIPSNIRDSQYQVLGWQQAAAKIGMQRSAASSQWLNERISLSRYAHVPLGNFELDWLIFTADVFFSRALHDQQQLLWISDDGVPDLGGINDESTCFVDEVHQPVLTYPGAYRKVSVELKIHHLAVNALLKSNQVNEMEGGALLGFEQDMNSSSHTYDEQYGFDEATLSAPALRALKQLIQRCLADAVSSGNVFADALLQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFANFSKVIIDTGKLSLSAAKAYCDSLLKTLQSRELFEWIELEPLQFWYSLLFMDQYNYGGIPARADDSSQSESQGEYSQREASQVDIVSSWNIAEYLPKKIQVMRKNLLKYVRVREFAPEAEFHNPCPSFVLPNVICSYCNDCRDLDLCRDTALLAQEWRCAVQQCGQPYDREVMENSLLQIVRQRERLYHLQDLVCIRCNQVKAAHLAEQCACAGSYRCKEDLSEFRSKMQIFLNVAIHQKFKLLQECTSWILEIH >Manes.06G093700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22851293:22856714:1 gene:Manes.06G093700.v8.1 transcript:Manes.06G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKGKKMKVAERGEEEEEDNNQIDEALVLSIEKLQEVQDDLEKINEEASDKVLEVEQKYNEIRKPVYDNRNEIIKNIPDFWLTAFLSHPVLHTVLNEEDQKIFKYISSLEVEDFKDVKSGYSITFNFQPNPYFEETKLTKTFTFLDEGTTEITATPIKWKQGMGMPNGVTHEKKGNKRPFIDEGFFGWFSSSAQKESLENYQDEIAEVIKEDLWPNPLAYFNTDPDDEEFEGDEADEEDKDGDDSEEDDEQDDEEDDDADN >Manes.06G051000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17527425:17529852:1 gene:Manes.06G051000.v8.1 transcript:Manes.06G051000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLIAGKTSASNKDKDKKKPISRSSRAGLQFSHLRIHRLLKSRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Manes.13G127100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33486963:33488110:1 gene:Manes.13G127100.v8.1 transcript:Manes.13G127100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEMAQVGVRTRARALALAAAAAAAATGTARKRKVNNRELVLSTSYIELRSTSRRRVIIKPENSVSVSVPLPPEINPGHRTVIQDRCSSPSTHHASASCCSSNGSSDQRINKFADLEAESFEVETSVYYGCRERRETTPSSQLRAESSDELDSTARPSSAANSRRRSTGVKMPTESELDDFFSEAEKNIKKQFAEKYNYDILKDEPLEGRYDWVRLKQ >Manes.14G166400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26613934:26620812:-1 gene:Manes.14G166400.v8.1 transcript:Manes.14G166400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLIQGYSSAEGEEEAPSEDYGYFSDDDEHDDSSSVAGGRSLSYKPVFEQSALSNGSSGLPSALDAFSEISGPPQFLNNCVEEQASVRDVEHQLGRHGRWRNRKEKKDLPAGVVVEAKAQLVGIHERVRSDIEASQPPTSSVQSTTQEGGKRVPTVTNPNAEDAAELLRMCLQCGIPKTYSSARGMVCPVCGDRPQSDTSQEPKKKGSTIKDKEKSKRMKGQSSHATWKSETEMQLRQQFD >Manes.08G045700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4549188:4549793:-1 gene:Manes.08G045700.v8.1 transcript:Manes.08G045700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINNSTTLAALLALILLHILTFSSPSLCNEVITTTSTDQKPLPRRLLLLPSASSRSHSRLPSPANAAVKGPKKAVEQSLRKAPPSVSNPIQNK >Manes.02G009300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1025442:1033142:-1 gene:Manes.02G009300.v8.1 transcript:Manes.02G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNILVTGGAGYIGSHTVLQLLLGGYSAVVVDNLDNSNAVALERVKEIAGKHSKNLSFHQVDLRDRPALEKVFSKTKFDAVIHFAGLKAVGESVEKPLLYFDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEQFPLSAANPYGRTKLFIEEICRDIYRSDSEWKIILLRYFNPVGAHPSGQIGEDPRGIPNNLMPYVQQVAVGRRPHLTVFGSDYSTKDGTGVRDYIHVVDLADGHIAALQKLSDAKVGCEVYNLGTGKGTSVLEMVTAFEKASGKKIPLVMAGRRPGDAGIVYASTEKAERELNWKAKYGIDEMCRDQWNWASKNPYGYGSPETTK >Manes.02G009300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1027401:1033142:-1 gene:Manes.02G009300.v8.1 transcript:Manes.02G009300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNILVTGGAGYIGSHTVLQLLLGGYSAVVVDNLDNSNAVALERVKEIAGKHSKNLSFHQVDLRDRPALEKVFSKTKFDAVIHFAGLKAVGESVEKPLLYFDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEQFPLSAANPYGRTKLFIEEICRDIYRSDSEWKIILLRYFNPVGAHPSGQIGEDPRGIPNNLMPYVQQVAVGRRPHLTVFGSDYSTKDGTGVRDYIHVVDLADGHIAALQKLSDAKVGMCCDHSTVLSSVIFSLNSFLCMLVSFLH >Manes.02G009300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1025442:1033142:-1 gene:Manes.02G009300.v8.1 transcript:Manes.02G009300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNILVTGGAGYIGSHTVLQLLLGGYSAVVVDNLDNSNAVALERVKEIAGKHSKNLSFHQVDLRDRPALEKVFSKTKFDAVIHFAGLKAVGESVEKPLLYFDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEQFPLSAANPYGRTKLFIEEICRDIYRSDSEWKIILLRYFNPVGAHPSGQIGEDPRGIPNNLMPYVQQVAVGRRPHLTVFGSDYSTKDGTGVRDYIHVVDLADGHIAALQKLSDAKVVRYITWEQGKEHQFWRWLQHSKRHLERKYLL >Manes.06G010400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1568128:1575389:-1 gene:Manes.06G010400.v8.1 transcript:Manes.06G010400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMGEQHFDIKHNITKKRIDANGDVIAVKRDGIGAPKIENPLQRHGGRLQYNEVYCGSCYGAELSDHDCCNSCDQVHEAYRRKGWGMADLDLIDQCLREGFFERVADEHGEGCNIHGSLEVNKVAGNFHFATGKSLHHSNVKVHDLLSVKSYSYNISHRINILAFGDYFPGVVNPLDGVHWEHEKPNGIHQYFLKVVPTIYTDISGHIVSSNQYSVTEHFKISKPGETDSVGVFFHYDFSPIKVTFKEEHISFLHFMTNICAVFGGIFTMAGIVDSFVYHSNRAIRKKQEIGKYR >Manes.06G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1568128:1575378:-1 gene:Manes.06G010400.v8.1 transcript:Manes.06G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMIHKLKKLDAYPKINEDFYRRTFSGGLITLVSFLIMLFLFFSEFRLYLHTVTETQLIVDTSRGETLRINFDVTFPAIPCILLSLDAMDIMGEQHFDIKHNITKKRIDANGDVIAVKRDGIGAPKIENPLQRHGGRLQYNEVYCGSCYGAELSDHDCCNSCDQVHEAYRRKGWGMADLDLIDQCLREGFFERVADEHGEGCNIHGSLEVNKVAGNFHFATGKSLHHSNVKVHDLLSVKSYSYNISHRINILAFGDYFPGVVNPLDGVHWEHEKPNGIHQYFLKVVPTIYTDISGHIVSSNQYSVTEHFKISKPGETDSVGVFFHYDFSPIKVTFKEEHISFLHFMTNICAVFGGIFTMAGIVDSFVYHSNRAIRKKQEIGKYR >Manes.17G119900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLEVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLEVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823355:34838194:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLEVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823355:34838194:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823355:34838225:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLEVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823354:34838225:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823354:34838225:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLEVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823355:34838194:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAGGAISSLVLQK >Manes.17G119900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLEVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.17G119900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823350:34838236:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLETTENHEANAGGIGKSKSQPSSILVSENTHESLVATTNGAVGSTSYSNNILVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAGGAISSLVLQK >Manes.17G119900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:34823355:34838194:1 gene:Manes.17G119900.v8.1 transcript:Manes.17G119900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVHFLLLHMKNNMLLSLHHFHPSPPPKTLPNPFSPRFPIPKSIIFQNPRYPFNSFICCSASDSKILPHSAIQRIADKLRSLGFTEDNPQPKVTDPNLQSDTKPVGEIFIPLPNQLPKYRVGHTLDPSWSTPENPVPRPGSGNAIVRYHELKKVVKKEREARKREPKPPTLAELNLPEEELRRLRRIGIAEKRKLKVGKAGITEGIVNGIHERWRKAEVVKIVCEDICRMNMKRTHDLLERKTGGLVVWRAGSKIVLYRGVNYKYPYFLSDDTTTNETSTVAVHGTYVDHKGDKMESCASADGIKSCGLTPTGNIVRPALIQGVGSPNRVRFQMPGEAQLAEESDRLLDGLGPRFTDWWGYDPLPVDADLLPAIVPGYRKPFRLLPYGVNPKLTNDEMTTLKRLGRPLPCHFALGRNRKLQGLASAIVKLWEKCEIAKIAVKKGVQNTNSEMMAEELKWLTGGILLSRDREFIVLYRGKDFLPSAVSSALKKRRKHVIHVDKQRIDHSIAAGEDTKEPEDIKDRTIDSDSRDEFYSAKGQSLNLSSRSNEEAIKRTSIRLSMALEKKAKAEKLLLELENSETPQQPEIDKEGITEEERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKLICKEKDFEAVHEVAQILEAESGGILVAVERVSQGYAIVVYRGKNYRRPPCLRPSSLLNKKEAMKRSLEAQRRESLKLHVLKLTKDINDLKLKLAEDKKAHKVVSFNEVKEDMHEMESAVHPQSDSVIPSYCKEDLEVTGSTKESTANESKESISISREKGENMPSKVIHLSNRDRLMLRKQALKMKKRPVLAVGRSNIVTGVAKVIKAHFEKYPLAIVNVKGRAKGTSVQEVVFQLEQATGGVLVSQEPSKIILYRGWGAFDEPGHKGKKNARDVGNESAGRKGRSRHAVSPELIEAIRLECGLQCDQEQNKLPAEI >Manes.05G077300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6310057:6317502:-1 gene:Manes.05G077300.v8.1 transcript:Manes.05G077300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFNSPTSMAKRPCPFSSSSTTTPSSSIPSRQPNMNHQVDNLLQTFLGLTDSPSLSIDLSFERLLDSFPSDADQSLLIDRALKLGSILLEAGKRSARKRSSRHNSLTWALPPDLTIKVFSLLDMQSLSYAAATCSMFHKCAMDPLCYTNIDLTTVVPKVNNAVVSTMIQRAGKALQSLKLGIVPGPTVSPGSCQPLVYTIRNSVDVSNFSWNDKKTRQGKESSILTRSCLNPLSGDGGAAGTLLRSLHLYNIERMDNTSLCVALSACPSLLDLEIVGLHVELRQTLVSVSTNCPLVERLFFESSKTGRDESLKSTTCVDLVNNCPNLISLALRGFKLHDCKVRILVKGFRKLKYLDFSTSYSITGNFLRNLGGSTGGNLLEVLILRDCMHLKEVEVARLLTEVLAGDFKSLRYLDVSNREGLASEGDWYHRCYSSSIIPIKQVLEARSDLCLLAEFPPEGSFIDVEQMLDSDLNSEISLSSQLSSPTSDGSLFMITSESSYNSDQSGGNEESQDNHFEESSDEAYFLVV >Manes.05G077300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6310057:6317502:-1 gene:Manes.05G077300.v8.1 transcript:Manes.05G077300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFNSPTSMAKRPCPFSSSSTTTPSSSIPSRQPNMNHQVDNLLQTFLGLTDSPSLSIDLSFERLLDSFPSDADQSLLIDRALKLGSILLEAGKRSARKRSSRHNSLTWALPPDLTIKVFSLLDMQSLSYAAATCSMFHKCAMDPLCYTNIDLTTVVPKVNNAVVSTMIQRAGKALQSLKLGIVPGPTVSPGSCQPLVYTIRNSVDVSNFSWNDKKTRQGKESSILTRSCLNPLSGDGGAAGTLLRSLHLYNIERMDNTSLCVALSACPSLLDLEIVGLHVELRQTLVSVSTNCPLVERLFFESSKTGRDESLKSTTCVDLVNNCPNLISLALRGFKLHDCKVRILVKGFRKLKYLDFSTSYSITGNFLRNLGGSTGGNLLEVLILRDCMHLKEVEVARLLTEVLAGDFKSLRYLDVSNREGLASEGDWYHRCYSSRY >Manes.01G228700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39369731:39370423:-1 gene:Manes.01G228700.v8.1 transcript:Manes.01G228700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLNKFVLLLLISFSFISSFVIGGKDDSPSPSSYSILDSMSSDEDSPSPTASPSSAPSQSEDDGLPLLFPTDKPSSDGSPVLKKVCSSTDHPEECVSSVSLLKEGDSDPVAVLKTEMDALRQGFKKAIAQATKLNNDPSVSEEVKGCLDTCLEIYDSGLYDLDDAAEAIASHDVDKLKTVLSATVSDIDTCEEAFEEEGLEGDSPMKEYDAELSKLASNNLAIASSMIR >Manes.15G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1574899:1579811:-1 gene:Manes.15G018800.v8.1 transcript:Manes.15G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSACSFPAHANRATISDLQKYSYVSSHFLWRADLLAQSLHRLNKVKSKKGPGGVCASLSERGDYHSQRPPTPLLDTINYPIHMKNLSIKELKQLADELRSDVIFNVSRTGGHLGSSLGVVELTVALHYVFGAPQDKILWDVGHQAYPHKILTGRRDKMHTMRQTNGLSGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGRKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKRIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLISILKEVKSTKTTGPVLIHVVTEKGRGYPYAEQAADKYHGVTKFDPATGKQFKASASTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGLNLFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGVGVQLPSGNKGIPLEVGKGRILIEGERVALLGYGTAVQSCLAAASLVEPHGLRITVADARFCKPLDHALIRSLAKSHEILITVEEGSIGGFGSHVAHFLALDGLLDGKLKWRPLVLPDRYIDHGSPADQLVQAGLTPSHIAATVFNILGNRREALQIMSS >Manes.15G156800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12930032:12930430:1 gene:Manes.15G156800.v8.1 transcript:Manes.15G156800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHIKSLRVIMIAKEAVRTVDQRTFATGTGKAKKGGKGGAAADAPKSTLSKEIKSTTVFGANILKDGADPKILPDSEYPDWLWHLLDKRPALSALRREKIEILPYEDLKRFVKLDNRARIKENNSVKAKN >Manes.08G090600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30184560:30186118:1 gene:Manes.08G090600.v8.1 transcript:Manes.08G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIARAFPMTPQSLTTNSRFSFSQSETLGISLSYSTPSLSLSATTSSSPIPFVYCGRGDKKTAKGKRFNHSFGNARPRNKKKGRGPPRIAVPSSPQKKDSFEDDTVVKIEIDESLS >Manes.14G025000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2332820:2333546:1 gene:Manes.14G025000.v8.1 transcript:Manes.14G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNTGLFVGLNKGHVVTKKELAPRPSDRKGKTSKRVHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAAGGGEKKK >Manes.03G059601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:6258265:6259401:-1 gene:Manes.03G059601.v8.1 transcript:Manes.03G059601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEREPKWEGKASMELKNATADQVWPFFEDFCNAHKWFPNLETSYQVEGELGKPGLVRYCASKPKPSSDATGGSIINWVKEKLIMINPVKRCMSYQVTETNMGFNSYTATIQVLPVNGDGAGGEHGCRIEWSFVVDPIEGWRLQDLESYINSTLQFMGNKMEQALSVSA >Manes.15G133600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10769000:10770769:-1 gene:Manes.15G133600.v8.1 transcript:Manes.15G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMVSRSGREFQRYDNQGRRQVVGCIPYRFKNGSDGSIGDELEVLVITSQKGQGMMFPKGGWELDESVEEAASRESLEEAGVLGHVEDELGKWNFLSKRYGTFYEGYMFPLLVTEQLDLWPEKHVRQRIWMSVEEAKDACRHWWMKEALDILVGRLTSAQQQKEDNDVLSCSLS >Manes.08G166600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40137590:40138876:1 gene:Manes.08G166600.v8.1 transcript:Manes.08G166600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKVHPNFAATVTDTVAIKETTIKSYANLNADDVTLTVWKKSLLFNCNGFTVYDAKGNLVFRVDNYMAGSGTNGEIVLMDAGGKSLLTIRRKRLSLTDNWLVYDGETVVNPLFSVKKHMNILNNKCLAHVSSGTTSNKIANGKNIVYEIEGSYTQRSCAVYDEKRRMVAEIKQKEAVGGVAFGIDVFRLIVGPQMDTSIAMAIVILLDQMFGSSKRFSTY >Manes.05G192000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31490099:31492577:-1 gene:Manes.05G192000.v8.1 transcript:Manes.05G192000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFYSLCGSMLTALLLLESTLLPGVEGDLGVNYGTLADDLPPPTKVAHFLVESTIINRVRLFDTNTEVLEAFANTGIALTVTVPNDQIPQLTKLSYAEEWLEANIQPYVPATNIIRILVGNEVLSTANKLLIANLVPAMENLHTALVEASLDTKIKVSTPHSLGILSNSSPPSTGKFRQGYDIHVLKPLLSFLRDTNSPFMINPYPFFGFSEDTLDYALFRPTSGVEDENTKLVYTNMLDEQIDAVFSAMKLLGFADVDIVIAETGWPSKGDTSQIGVDEEAAAEYNGNLMKHVMSGAGTPLLPNRTIETYIFSLFNENLKPGPTCERNFGLFQPNMTPVYDIGIIRSTVAAAATSNHHLTYLLIVSVGLHILNNHG >Manes.05G192000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31490099:31492577:-1 gene:Manes.05G192000.v8.1 transcript:Manes.05G192000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFYSLCGSMLTALLLLESTLLPGVEGDLGVNYGTLADDLPPPTKVAHFLVESTIINRVRLFDTNTEVLEAFANTGIALTVTVPNDQIPQLTKLSYAEEWLEANIQPYVPATNIIRILVGNEVLSTANKLLIANLVPAMENLHTALVEASLDTKIKVSTPHSLGILSNSSPPSTGKFRQGYDIHVLKPLLSFLRDTNSPFMINPYPFFGFSEDTLDYALFRPTSGVEDENTKLVYTNMLDEQIDAVFSAMKLLGFADVDIVIAETGWPSKGDTSQIGVDEEAAAEYNGNLMKHVMSGAGTPLLPNRTIETYIFSLFNENLKPGPTCERNFGLFQPNMTPVYDIGIIRSTVAAAATSNHHLTYLLIVSVGLHILNNHG >Manes.05G192000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31490108:31492131:-1 gene:Manes.05G192000.v8.1 transcript:Manes.05G192000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFYSLCGSMLTALLLLESTLLPGVEGDLGVNYGTLADDLPPPTKVAHFLVESTIINRVRLFDTNTEVLEAFANTGIALTVTVPNDQIPQLTKLSYAEEWLEANIQPYVPATNIIRILVGNEVLSTANKLLIANLVPAMENLHTALVEASLDTKIKVSTPHSLGILSNSSPPSTGKFRQGYDIHVLKPLLSFLRDTNSPFMINPYPFFGFSEDTLDYALFRPTSGVEDENTKLVYTNMLDEQIDAVFSAMKLLGFADVDIVIAETGWPSKGDTSQIGVDEEAAAEYNGNLMKHVMSGAGTPLLPNRTIETYIFSLFNENLKPGPTCERNFGLFQPNMTPVYDIGIIRSTVAAAATSNHHLTYLLIVSVGLHILNNHG >Manes.01G261300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41698184:41705225:-1 gene:Manes.01G261300.v8.1 transcript:Manes.01G261300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFLENGSPGGGGARIVADIAYSSSSMPAGAIAQPRLISHSLTKSMFNSPGLSLALQQPNIDGQGDVPRMVENFEPNGARRSREEEHESRSGSDNLDGASGDDQDAADNRPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLCLETRQVKFWFQNRRTQMKTQLERHENTLLRQENDKLRAENMSIRDAMRNPICSNCGGPAIIGDISLEEQHLTIENARLKEELDRVCALAGKFLGRPISLLANSIGPPMPNSSLELGVGNNGFGCLSNAAATVPLGPDFSNALPVVTQTRPPTASMTGFDRSLERSMFLELALAAMDELVKLAQTDEPLWFRSLEGGREVLNHEEYMRIFTPCIGMKPSGFVSEASRETGMVIINGLALVETLMDSNRWAEMFPCMIARTSTTDVISTGMGGTRNGSLQLMHAELQALSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGAPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAEYDETQIHQLYRPLISSGMGFGAQRWVATLQRQCECLAILMSSTVPTRDHTAITSSGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDQGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGSPGTANDPTSNNGGGPGGQHRVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >Manes.07G050800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6038193:6038953:-1 gene:Manes.07G050800.v8.1 transcript:Manes.07G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCKLSVFVACVVGLALVLPSHAQNSVQDYLNAHNTARSAVTGANIPALVWNTTLEAEATAYLNTLLGQCDVNVDLSVSGLNVKVSQNVFTGLDAVNAWVSEQVYYDYATNSCNGGVCTHYTQVIWKSSLTVGCFRAQCVNNVNLWIVGCKYNPAGNILGQHPY >Manes.S095205.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251163.1:9950:13314:-1 gene:Manes.S095205.v8.1 transcript:Manes.S095205.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVFNAGSQVGWDTILSIIAVGAEAISAALEQTSPHTVKAARYGVIMALVSVLLTFADLAFKKYMLIRDKNTRPNNKHHKHHKLRWEFADSFGSISSILTLISSCLHYNFFSNGKQQPIQFSMIPLAFSVCVFCSRVLRQPSHKHKPIFVLNCKHLGFINLDIESDGDEPTQFGCPAYQIEQIHPQGV >Manes.12G114600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30175418:30178337:-1 gene:Manes.12G114600.v8.1 transcript:Manes.12G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLHIHNKLSPLFLLFIYLLSFSSVHSTNPVKYFINCGSDSSVNVSGRTFVGDINSNSSFTSGYSEAIYSSSPLPNTSSLYQTAGLYRQKSQYELSITKHGTYLVRLHFFVFKSQGIKLTDALFDVSTSNFLLLSNFRAQNSFPVIKEFFLTINEGKLEIQFLPSSFAFINAIEVILLPSDFIMDNDTAVRPVKTKSGFYYDLLPEALQTIHRINVGGPEQDDDYVISSTSAKTCAFFNGTYGETLEASEYFAPDIVYRTCKEVKDNSKEADLLKITWRFSVRNNTRHFVRFHFCNNIGAVFEFNLYIDGEKTENINTFNYPNFYDLVVDSEDSGYIKISVGPDDYIDKTAYLNGLEIMEFVMNKSLVVSLEGQPNKSIFVVAGPIIGVLALICILAVVIGLCLRYRKPNTLENWEWSPMPVHRGASSQNRMPKGSVISSHLPDLNLGLKISFAEIQFATNNFDGERIVGKGGFGHVFRGTLRNGTRVAVKRSQPGSSQGLLEFQTEIMVLSKISHRHLVSLIGYCDEMSEMILVYEFMEKGTLRDHLYNSALPSLSWKLRLEICIGAAKGLHYLHRGSAGGFIHRDVKSTNILLDEDLIAKVADFGISRVGPPDQTHVSTGVKGTFGYLDPDYFRTQQLTEKSDVYSFGVVLLEALCARPAINILLPWEQVNLAEWGMICKNKGTLDQIVDPSIKSQINPNSLRKFAEVAEKCLQKYGADRPPMGDVLWDLEYTWQLQQTAIQRQPHDDSAIDASTLLGLQQPRVSNTFTLVQMDESLTEM >Manes.17G035595.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16898868:16950783:-1 gene:Manes.17G035595.v8.1 transcript:Manes.17G035595.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGANHGYELPWIIVIGLVFALIIQSLAANLGVSTEKHLAELCKVEYPKYVKLCLWLLAEVAVIAADIPEVIGTAFALNILFHISLWIGVLLTGFSTLLLIGLQKYGVLVVQEKSGRFRGTGVWKIPTGVVDEPGNRTATGTRIQIDLRSSGPVVSLLYCLCTCDIPYMIIHFLCNH >Manes.08G121200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36128758:36137114:-1 gene:Manes.08G121200.v8.1 transcript:Manes.08G121200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAASGTTNPGGKSGVRIVVAGDRGTGKSSLIVTAAADTFPANVPPVLPPTRLPEDFYPDRVPVTIIDTSSRVEDSGKVAEELKRADAVVLTYACDKPETLDRLSTFWLPELRRLEVKVPVIVVGCKLDLRDENQQMSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDHDRDGALSDAELNDFQVKCFNAPLQPSEIIDVKRVVEEKLPGGGGTDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLADELIPTFKRAPDQSVELTNETIEFLKGIYELFDSDYDNNLRPVELEDIFSTAPESPWDEAPYKDAAEKTALGGLSVNAFLSEWALMTLLDPSRAVENLIYIGYPGDPSAAVCITRRRRLDRKKQQSDRNVLQCFVLGPKNAGKSALLNSFIGRPFSDAASSTTEDRYAVNVVDLPGGIKKTLVLREIPEDEVKKLLSNKESLASCDVAVFVHDSSDEFSWRRATELLVEVAGHGEDTGYEVPCLVVAAKDDLNSFPMAIQESARVSQDMGIEAPIPISSKMGDTNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMLVSVGAAVTIVGLAAYRVYAARKNTSG >Manes.07G028700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3143856:3147309:-1 gene:Manes.07G028700.v8.1 transcript:Manes.07G028700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTPSLGVVNRAAAGAGGASSVGKTVMITGVSRGLGRALALELAKRGHTIIGCSRAQDKLNSLQSELSSDQNNQHVLLNADVSSNTSVEELARAVMEKKGVPDIIVNNAGTINRNNKIWEVPVEEFDAVIDTNVKGIANVLRHFIPLMLPNKQGIIVNFSSGWGRSGAALVAPYCASKWAVEGLTRSVAKELPDGMAVVALNPGVIHTEMLQSCFGTSASLYQAPDAWATKAATMILNLTGADNGASLTV >Manes.07G028700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3143856:3147330:-1 gene:Manes.07G028700.v8.1 transcript:Manes.07G028700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKTPSLGVVNRAAAGAGGASSVGKTVMITGVSRGLGRALALELAKRGHTIIGCSRAQDKLNSLQSELSSDQNNQHVLLNADVSSNTSVEELARAVMEKKGVPDIIVNNAGTINRNNKIWEVPVEEFDAVIDTNVKGIANVLRHFIPLMLPNKQGIIVNFSSGWGRSGAALVAPYCASKWAVEGLTRSVAKELPDGMAVVALNPGVIHTEMLQSCFGTSASLYQAPDAWATKAATMILNLTGADNGASLTV >Manes.17G000900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1582621:1590118:-1 gene:Manes.17G000900.v8.1 transcript:Manes.17G000900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGGGVGGGGALDKEAGRAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCAFRDLLALSILAPTAYIREKRIRPPMTKRLLFSFFFLGLTGTERVNLLKTEGQVKVGGTLVCVSGAILMVLFRGPALFGHSDVDSMASEISARGQPEPVGWFFSMLLNVGLEGWHLGVLCLIGNCMCMATFLAIQAPVLTKYPANISVTAYSYAFGALLIVLTAGFMTNGTKEWSLTQSELWAVVYAGIVASALNYGLITWSNKILGPALVSLYNPLQPAASALLSKIFLGSPIYLGSILGGCLIIGGLYMVTWASYRERQAIAGSIPHVHSRDSEPLIHKDPSINKGSYQREHMSSGPSLPKSIDKAGKQ >Manes.17G000900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1582621:1590765:-1 gene:Manes.17G000900.v8.1 transcript:Manes.17G000900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGGGVGGGGALDKEAGRAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCAFRDLLALSILAPTAYIREKRIRPPMTKRLLFSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFILAVMIGTERVNLLKTEGQVKVGGTLVCVSGAILMVLFRGPALFGHSDVDSMASEISARGQPEPVGWFFSMLLNVGLEGWHLGVLCLIGNCMCMATFLAIQAPVLTKYPANISVTAYSYAFGALLIVLTAGFMTNGTKEWSLTQSELWAVVYAGIVASALNYGLITWSNKILGPALVSLYNPLQPAASALLSKIFLGSPIYLGSILGGCLIIGGLYMVTWASYRERQAIAGSIPHVHSRDSEPLIHKDPSINKGSYQREHMSSGPSLPKSIDKAGKQ >Manes.17G000900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1582621:1590765:-1 gene:Manes.17G000900.v8.1 transcript:Manes.17G000900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGGGVGGGGALDKEAGRAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCAFRDLLALSILAPTAYIREKRIRPPMTKRLLFSFFFLGLTGTERVNLLKTEGQVKVGGTLVCVSGAILMVLFRGPALFGHSDVDSMASEISARGQPEPVGWFFSMLLNVGLEGWHLGVLCLIGNCMCMATFLAIQAPVLTKYPANISVTAYSYAFGALLIVLTAGFMTNGTKEWSLTQSELWAVVYAGIVASALNYGLITWSNKILGPALVSLYNPLQPAASALLSKIFLGSPIYLGSILGGCLIIGGLYMVTWASYRERQAIAGSIPHVHSRDSEPLIHKDPSINKGSYQREHMSSGPSLPKSIDKAGKQ >Manes.17G000900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1582621:1590119:-1 gene:Manes.17G000900.v8.1 transcript:Manes.17G000900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGGGVGGGGALDKEAGRAHVAMAMVQLFNGGYHVITKVALNVGVNQLVFCAFRDLLALSILAPTAYIREKRIRPPMTKRLLFSFFFLGLTGIFGNQLLFLIGLSYTNPTYAAAIQPSIPVFTFILAVMIGTERVNLLKTEGQVKVGGTLVCVSGAILMVLFRGPALFGHSDVDSMASEISARGQPEPVGWFFSMLLNVGLEGWHLGVLCLIGNCMCMATFLAIQAPVLTKYPANISVTAYSYAFGALLIVLTAGFMTNGTKEWSLTQSELWAVVYAGIVASALNYGLITWSNKILGPALVSLYNPLQPAASALLSKIFLGSPIYLGSILGGCLIIGGLYMVTWASYRERQAIAGSIPHVHSRDSEPLIHKDPSINKGSYQREHMSSGPSLPKSIDKAGKQ >Manes.13G112201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31742643:31747654:1 gene:Manes.13G112201.v8.1 transcript:Manes.13G112201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIQEKLRIAVLVSKAAFQFIQGASPSDYTVPAEVKAAGFEICGDELGSIVEGHDVKKLKLHGGVNGIAEKLSTSTTSGLSTDNDLLNRRQEIYGINKFAESELRSFWIFVWEALHDMTLMILGMCAFVSLAVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITIQVTRNGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFISGFSVLIDESSLTGESEPVMASSENPYMLSGTKIQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLVQGLFSRKCREESHWIWNGDEALELLEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNIKELGQSDKASGFCSDIPAPSVKLLLQSIFNNTGGEVVTSKDGKLEILGTPTESALLEFALSLGGDFQEERQSVKLIKVEPFNSTKKRMGVVVELPEGGLRAHTKGASEIVLAACDKVINSKGEVVDLDEASLNHLKVTIDQFASEALRTLCLAYMDLQNGFSPDNPIPISGYTCIAIVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKKQDELLGLIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPKDELMKRSPVGRKGNFISNVMWRNILGQSLYQFTVIWYLQAKGKEMFDLEGEDSDLVLNTLIFNAFVFCQAFNEISSREMEQINVFKGILDNFVFVAVLGSTVIFQIIIVEFLGTFANTTPLTSKQWFLSVLIGFVGMPIAAILKIIPV >Manes.13G112201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31742184:31747871:1 gene:Manes.13G112201.v8.1 transcript:Manes.13G112201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMILGMCAFVSLAVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITIQVTRNGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFISGFSVLIDESSLTGESEPVMASSENPYMLSGTKIQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLVQGLFSRKCREESHWIWNGDEALELLEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNIKELGQSDKASGFCSDIPAPSVKLLLQSIFNNTGGEVVTSKDGKLEILGTPTESALLEFALSLGGDFQEERQSVKLIKVEPFNSTKKRMGVVVELPEGGLRAHTKGASEIVLAACDKVINSKGEVVDLDEASLNHLKVTIDQFASEALRTLCLAYMDLQNGFSPDNPIPISGYTCIAIVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKKQDELLGLIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPKDELMKRSPVGRKGNFISNVMWRNILGQSLYQFTVIWYLQAKGKEMFDLEGEDSDLVLNTLIFNAFVFCQAFNEISSREMEQINVFKGILDNFVFVAVLGSTVIFQIIIVEFLGTFANTTPLTSKQWFLSVLIGFVGMPIAAILKIIPV >Manes.13G112201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31739862:31747654:1 gene:Manes.13G112201.v8.1 transcript:Manes.13G112201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLSENFDLKAKHSSEEALQKWRKVCGVVKNPKRRFRFTANLSKRTEAAAMRQTNQEKLRIAVLVSKAAFQFIQGASPSDYTVPAEVKAAGFEICGDELGSIVEGHDVKKLKLHGGVNGIAEKLSTSTTSGLSTDNDLLNRRQEIYGINKFAESELRSFWIFVWEALHDMTLMILGMCAFVSLAVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITIQVTRNGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFISGFSVLIDESSLTGESEPVMASSENPYMLSGTKIQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLAFAVVTFAVLVQGLFSRKCREESHWIWNGDEALELLEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNIKELGQSDKASGFCSDIPAPSVKLLLQSIFNNTGGEVVTSKDGKLEILGTPTESALLEFALSLGGDFQEERQSVKLIKVEPFNSTKKRMGVVVELPEGGLRAHTKGASEIVLAACDKVINSKGEVVDLDEASLNHLKVTIDQFASEALRTLCLAYMDLQNGFSPDNPIPISGYTCIAIVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKKQDELLGLIPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPKDELMKRSPVGRKGNFISNVMWRNILGQSLYQFTVIWYLQAKGKEMFDLEGEDSDLVLNTLIFNAFVFCQAFNEISSREMEQINVFKGILDNFVFVAVLGSTVIFQIIIVEFLGTFANTTPLTSKQWFLSVLIGFVGMPIAAILKIIPV >Manes.13G016500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1818016:1825143:1 gene:Manes.13G016500.v8.1 transcript:Manes.13G016500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTPRELEKLGLHNAGYLAQKRLARGLRLNYSEAVALIATQILEFIRDGDKTVAELMDVGKCLLGRRQVLPAVPHLLDSVQIEGTFPDGTKLVTVHNPIASENGNLELALHGSFLPVPLLDKFPPIEDNEIPGGFVFGYGNITINPGRKAVMLKVVNYGDRPIQVGSHYHFIETNPSLYFDRMKAYGMRLNILAGTAIRFEPGDCKSVLLVSIGGKKVIRGGNGIVDGPVDDANYTAVSETIKSKGFGNKEEENAREGVTGEDYDFTTVVSREAYANMYGPTTGDKIRLGDTNLYAEIERDFAVYGDECVFGGGKVIRDGMGQSCGVHPFDSLDTVITNAVIIDYSGIYKADIGIRCGLIAAIGKAGNPDIMNDVHPEMIIGVNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTLVGGGTGPADGTRATTCTPAPTQMKLMLQSTDDLPLNFGFTGKGNGAKPNELHNIVKAGAMGLKLHEDWGSTPAAIDTCLTVAGEYDIQVNIHTDTLNESGFVEHTIAAFNGRTIHTYHSEGAGGGHAPDIIKVCGVENVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRSETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTADKMKLQRGSIGPDGSDNDNFRIKRYIAKYTINPAVANGFAELIGSIEVGKLADLVLWKPSFFGAKPEMVLKGGVIAWAEMGDPNASIPTPEPVISRPMFGAFGKAASANSIAFVSKIAADNGIKDSYGLSKRVEAVGNTRKLTKLDMKLNDALPDITVDPETYTVTANGEVLSCPASTTVPLSRNYFIF >Manes.03G090800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20634013:20642580:1 gene:Manes.03G090800.v8.1 transcript:Manes.03G090800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASLISLGSPNVAAATTSSSESSYFSSYSLVRRVSLSKRSAKRWHCVCKYSFTTTDFIAEQGNAVSLDSNNSFRASSNGDVDSEILLKPAPKPVLKSSLGSKGESLLGMSSIELDSSRDSDDDRERNEVIGSLGEVLEKAEKLEISKPTPSATRKDNGNVNKITPSNAGANSRVAKPGNSAASRKIKTLKSVWRKGDTVANVQKVVKEVPKTNNKAVKEPVTGERTNLESQPSVPLRPVQPPLRPQPKLQAKPSVAPPPMMKKPVILKDVGAAPNSPVSDKSDMGATKGNGPILVDKFARKKPVVDALVAQAVLAPTKPAKGPAQGKFKDRKKSVSPGGPRRRIVDDDDVEIPDEEASELNVPIPGAATARKGRKWSKASRKAARLQAAKEAAPVRVEILEVGEKGMLVEELAYNLAISEGEILGYLYSKGIKPDGVQTLDKDMVKMVCKEYDVEVIDAHPVRFEEMARKREILDEDDLDKLEERPPVLAIMGHVDHGKVASSEAGGITQGIGAYTVHIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPEDWGGDIPMVQISALKGNNIDDLLETVMLVAELQELKANPRRNAKGTVIEAGLHKSKGPLATFIVQNGTLKKGDVVVCGEAFGKVRALFDDSGNRVDEAGPSIPVQVIGLSNVPIAGDEFEVIASLDIAREKAEARAESLRNERISAKAGDGKVTLSSLASAVSSGKLSGLDLHQLNIIMKVDVQGSIEAVRQALQVLPQDNVTLKFLLQATGDVSTSDVDLAIASEAIILGFNVKAPGSVKSYAENKGVEIRLYRVIYDLIDDVRNAMEGLLEPVEEQTTIGSAEVRAVFSSGSGRVAGCMVMDGKVVKGCGIKVIRNKKTVHVGVLDSLRRVKEIVKEVNSGLECGIGAEVYDDWEEGDIIEAFDTVEKKRTLEEASASMAAALEEAGINL >Manes.03G090800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20634019:20642580:1 gene:Manes.03G090800.v8.1 transcript:Manes.03G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMVVLVGSMPSLASLISLGSPNVAAATTSSSESSYFSSYSLVRRVSLSKRSAKRWHCVCKYSFTTTDFIAEQGNAVSLDSNNSFRASSNGDVDSEILLKPAPKPVLKSSLGSKGESLLGMSSIELDSSRDSDDDRERNEVIGSLGEVLEKAEKLEISKPTPSATRKDNGNVNKITPSNAGANSRVAKPGNSAASRKIKTLKSVWRKGDTVANVQKVVKEVPKTNNKAVKEPVTGERTNLESQPSVPLRPVQPPLRPQPKLQAKPSVAPPPMMKKPVILKDVGAAPNSPVSDKSDMGATKGNGPILVDKFARKKPVVDALVAQAVLAPTKPAKGPAQGKFKDRKKSVSPGGPRRRIVDDDDVEIPDEEASELNVPIPGAATARKGRKWSKASRKAARLQAAKEAAPVRVEILEVGEKGMLVEELAYNLAISEGEILGYLYSKGIKPDGVQTLDKDMVKMVCKEYDVEVIDAHPVRFEEMARKREILDEDDLDKLEERPPVLAIMGHVDHGKTTLLDYIRKSRVASSEAGGITQGIGAYTVHIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPEDWGGDIPMVQISALKGNNIDDLLETVMLVAELQELKANPRRNAKGTVIEAGLHKSKGPLATFIVQNGTLKKGDVVVCGEAFGKVRALFDDSGNRVDEAGPSIPVQVIGLSNVPIAGDEFEVIASLDIAREKAEARAESLRNERISAKAGDGKVTLSSLASAVSSGKLSGLDLHQLNIIMKVDVQGSIEAVRQALQVLPQDNVTLKFLLQATGDVSTSDVDLAIASEAIILGFNVKAPGSVKSYAENKGVEIRLYRVIYDLIDDVRNAMEGLLEPVEEQTTIGSAEVRAVFSSGSGRVAGCMVMDGKVVKGCGIKVIRNKKTVHVGVLDSLRRVKEIVKEVNSGLECGIGAEVYDDWEEGDIIEAFDTVEKKRTLEEASASMAAALEEAGINL >Manes.03G090800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20633996:20642580:1 gene:Manes.03G090800.v8.1 transcript:Manes.03G090800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASLISLGSPNVAAATTSSSESSYFSSYSLVRRVSLSKRSAKRWHCVCKYSFTTTDFIAEQGNAVSLDSNNSFRASSNGDVDSEILLKPAPKPVLKSSLGSKGESLLGMSSIELDSSRDSDDDRERNEVIGSLGEVLEKAEKLEISKPTPSATRKDNGNVNKITPSNAGANSRVAKPGNSAASRKIKTLKSVWRKGDTVANVQKVVKEVPKTNNKAVKEPVTGERTNLESQPSVPLRPVQPPLRPQPKLQAKPSVAPPPMMKKPVILKDVGAAPNSPVSDKSDMGATKGNGPILVDKFARKKPVVDALVAQAVLAPTKPAKGPAQGKFKDRKKSVSPGGPRRRIVDDDDVEIPDEEASELNVPIPGAATARKGRKWSKASRKAARLQAAKEAAPVRVEILEVGEKGMLVEELAYNLAISEGEILGYLYSKGIKPDGVQTLDKDMVKMVCKEYDVEVIDAHPVRFEEMARKREILDEDDLDKLEERPPVLAIMGHVDHGKTTLLDYIRKSRVASSEAGGITQGIGAYTVHIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPEDWGGDIPMVQISALKGNNIDDLLETVMLVAELQELKANPRRNAKGTVIEAGLHKSKGPLATFIVQNGTLKKGDVVVCGEAFGKVRALFDDSGNRVDEAGPSIPVQVIGLSNVPIAGDEFEVIASLDIAREKAEARAESLRNERISAKAGDGKVTLSSLASAVSSGKLSGLDLHQLNIIMKVDVQGSIEAVRQALQVLPQDNVTLKFLLQATGDVSTSDVDLAIASEAIILGFNVKAPGSVKSYAENKGVEIRLYRVIYDLIDDVRNAMEGLLEPVEEQTTIGSAEVRAVFSSGSGRVAGCMVMDGKVVKGCGIKVIRNKKTVHVGVLDSLRRVKEIVKEVNSGLECGIGAEVYDDWEEGDIIEAFDTVEKKRTLEEASASMAAALEEAGINL >Manes.04G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3996161:3997612:-1 gene:Manes.04G031800.v8.1 transcript:Manes.04G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKSDKAAKEPKSPSKATKSPKKA >Manes.01G105400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30513566:30525669:1 gene:Manes.01G105400.v8.1 transcript:Manes.01G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSEVTELHYLLPSNPSPYSAYLTMTQNNTPTFQFNRFTNPQNSQISPNQVQEFSLSSNSTSDEADEQQLSLINERKQRRMISNRESARRSRMRKQKHLDELWSQVVWLRNENHQLIDKLNHVSESHDRVLEENAQLKEETSELRQMLSDMQLSSPFASFRDLEDIP >Manes.01G259300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41567224:41570671:-1 gene:Manes.01G259300.v8.1 transcript:Manes.01G259300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRITVFNNIRCKICDSPQTPFVQKPEKPTFIFSCYFLNYMSMADKANSSSLHGDQLQTDYFSTAYRAAKKWASALVFFIVLVVGAFIFRIDPYFNILTTKSLKHSTGFPLTCTNESLTKTCNVNYPMTFEAHESSNSTCPDYFQWIHEDLQPWRSAGISRDMIERAKDMANFRLVIVKGKAYVETYFKSFQTRDMFTLWGFLQLLRLYPGRIPDLELMFGCGDMPRVVKNDYQGPNATSPPPLFQYCGHADHLSIIFPDWSFWGWAELSIKPWEDMLKLLVEGTKKKKWKDRVPYAYWKGNPDVSPNREDLMTCNVSDKHDWNARIYAQHYWPISQENKCRDIKFAVEWGNSHPHKAQAIGKAGSRFIEKNLKVEYVYAYMFHLLREYAKLLKFKPEIPAGGVEICSESMACPEEGLRRKFMVDSMVNFPSDTLPCNMPPPLEPAAIEALFERNENITRQVVRWQNEYWESSNKTESSGSRNH >Manes.01G259300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41567224:41570671:-1 gene:Manes.01G259300.v8.1 transcript:Manes.01G259300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRRITVFNNIRCKICDSPQTPFVQKPEKPTFIFSCYFLNYMSMADKANSSSLHGDQLQTDYFSTAYRAAKKWASALVFFIVLVVGAFIFRIDPYFNILTTKSLKHSTGFPLTCTNESLTKTCNVNYPMTFEAHESSNSTCPDYFQWIHEDLQPWRSAGISRDMIERAKDMANFRLVIVKGKAYVETYFKSFQTRDMFTLWGFLQLLRLYPGRIPDLELMFGCGDMPRVVKNDYQGPNATSPPPLFQYCGHADHLSIIFPDWSFWGWAELSIKPWEDMLKLLVEGTKKKKWKDRVPYAYWKGNPDVSPNREDLMTCNVSDKHDWNARIYAQNWDKEIEQGFKHSRLEDQCIHRYKIYVEGRAWSVSGKYILSCDSMTLLIKPDYYDFFMRSLVPMQHYWPISQENKCRDIKFAVEWGNSHPHKAQAIGKAGSRFIEKNLKVEYVYAYMFHLLREYAKLLKFKPEIPAGGVEICSESMACPEEGLRRKFMVDSMVNFPSDTLPCNMPPPLEPAAIEALFERNENITRQVVRWQNEYWESSNKTESSGSRNH >Manes.03G096300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16347608:16352329:1 gene:Manes.03G096300.v8.1 transcript:Manes.03G096300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQIPITYWISLVILSKLVFLASASAFASASASAAEQTKFTYPSFPKENSNFLKLEGNSSIYNEALQLTPESLNEAFYRTHINKSGRIFYPQPFRLWSSSSASILASFNTNFVINIYRKSEWEAGHGLTFLIAPNSSIPLHSHGQWLGLTNSSTDSNPENHFVAIEFDTQKQDDVDDLDGDHIGIDVNSIRSEKTVSLDKYNLTLSPPPPGANYSIWVDYNGTNKIMQVYIAKEGNSKPEEPALSHNIDLKQHLKQDSYFGFSASTGDPQIELNCVLKWDFQIDKIPEDEDEKSWWKIVVGAGVPAATVAIITVVYGFVRKRRQSSSSSASSRSGAAGGAEEFGKLKWLPGMPREFKYKELKKATNNFHESMKLGEGGFGIVYKGVLHENSSEDIAVKKFSRDSIKGKDDFLAELTIIHRLRHKNLVRLVGWCYEKGKLLLVYDFMPNGSLEKHLYDASLPETLNWSRRHKVLEGTASALHYLHNEYDQKVIHRDLKASNILLDKDFNARLGDFGLARALENERNSYAELGLGGVAGTMGYVAPECFHTGRATPESDVFGFGAVVLEVVCGKGPGTKIHHNQHLYSLVDWVWMLHREGRIQEAVDERLKNEFVESEAKSLLLMGLACSHPIDSERPKTQAIVQIICGALPAPQVPPFKPVFTWPSMNDTGNFDSSLSGISLSSQSSGRTKSLTMLQRTSSNLPV >Manes.18G012200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1400701:1408013:1 gene:Manes.18G012200.v8.1 transcript:Manes.18G012200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWTIPSALLLLCLLSLISDQGQNLHANAESDSQNPVDPPKVEEKLGAVPNGLSTDSDVAKREAESISKRSLRSNAQKYEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDNTKLDIQIKLDKQNKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTNGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKELVKKYSEFINFPIYLWASKEVDVEVPADEDESSDEEEKSESSSEEEEESEKGEDEDTEEKPKTKKVKETTYEWELLNDVKAIWLRNPKEVTDEEYTKFYHSLAKDFGDDKPLAWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNTIKSNLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIADEDPDEAKDKDKKDVENSGDDEKKGQYAKFWNEFGKSIKLGIIEDAANRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGPNKEQLEKSPFLERLKKKGYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKDKELKESFKDLTKWWKDVLASENVDDVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLTDASKQAYMRGKRVLEINPRHPIIKELRERVVNDPEDESVKQTAQLIYQTALMESGFMLQDPKDFASRIYSSVKSSLNISPDANVEEEDDVEETETKETADTSKNDADTDTSDVKDEL >Manes.18G012200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1400701:1408013:1 gene:Manes.18G012200.v8.1 transcript:Manes.18G012200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWTIPSALLLLCLLSLISDQGQNLHANAESDSQNPVDPPKVEEKLGAVPNGLSTDSDVAKREAESISKRSLRSNAQKYEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDNTKLDIQIKLDKQNKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTNGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKELVKKYSEFINFPIYLWASKEVDVEVPADEDESSDEEEKSESSSEEEEESEKGEDEDTEEKPKTKKVKETTYEWELLNDVKAIWLRNPKEVTDEEYTKFYHSLAKDFGDDKPLAWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNTIKSNLKLYVRRVFISDEFDELLPKYLNFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRRIADEDPDEAKDKDKKDVENSGDDEKKGQYAKFWNEFGKSIKLGIIEDAANRNRLAKLLRFESTKSDGKLTSLDQYISRMKSGQKDIFYITGPNKEQLEKSPFLERLKKKGYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKDKELKESFKDLTKWWKDVLASENVDDVKISNRLDNTPCVVVTSKYGWSANMERIMQSQTLTDASKQAYMRGKRVLEINPRHPIIKELRERVVNDPEDESVKQTAQLIYQTALMESGFMLQDPKDFASRIYSSVKSSLNISPDANVEEEDDVEETETKETADTSKNDADTDTSDVKDEL >Manes.05G192500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31535349:31537188:1 gene:Manes.05G192500.v8.1 transcript:Manes.05G192500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGPRLYSCYNCRNHVSLHDDIISKAFQGRNGRAFLFSHAMNIIVGAKEDRHLITGLHTVADIYCADCREVLGWKYERAYEASQKYKEGKFILEKSKIVKENW >Manes.06G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27360944:27362573:-1 gene:Manes.06G147500.v8.1 transcript:Manes.06G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKAVLKILTMTDDKTKQKAIEAAADIFGIDSIAADLKEQKLTVIGQMDPVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >Manes.06G147500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27360944:27362573:-1 gene:Manes.06G147500.v8.1 transcript:Manes.06G147500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKAVLKILTMTDDKTKQKAIEAAADIFGIDSIAADLKEQKLTVIGQMDPVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >Manes.06G147500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27360944:27362206:-1 gene:Manes.06G147500.v8.1 transcript:Manes.06G147500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDKTKQKAIEAAADIFGIDSIAADLKEQKLTVIGQMDPVAVVKKLKKVGKVDIISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >Manes.18G064150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5821326:5826851:1 gene:Manes.18G064150.v8.1 transcript:Manes.18G064150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCRWRAVVVLRKFLTFAICAITMMALLSVHVQVFPSSRAPDLPDPYKLPTTTTQQLDVNKYSILSTEQEQSWRRDRTHSHLSKPPLSSRKLDGARRNSDSKLWKPPSNRDYLPCVEPGPNYTSPGESEGYLLVHTNGGLNQMRAGICDMVAVARIINATLVVPELDKRSFWQDTSNFSDVFDEDHFINALASDVKIIKRLPKEMANASKIIKHFRSWSGMDYYQGEIASMWKTYRVIRAAKSDSRLANNNLPPNIQKLRCRACYEALRFAPRIEEMGKMLVERMRSYGPYIALHLRYEKDMLAFSGCTYGLSSAEADELKKIREETAYWKVKEIDSREQRSKGYCPLIPKEVAMFLTALGYPSNTPIYIAAGEIYGGDSRMADLQSRYPILKSKEKLASVEELEPFINHASQMAALDYIVSIESDVFIPSYSGNMARAVEGHRRFLGHRKTISPDRKQLVHLFDKIDRGKLKEGESLSTKIIEIHKRRQGSPRRRKGPISGTKGMERFRSEEAFYVNPLPDCLCQRHSPNSNISLMIK >Manes.17G047950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24495044:24502050:-1 gene:Manes.17G047950.v8.1 transcript:Manes.17G047950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSATKRSDDDDYAPSFSGPPVEISVLDIDEQQEPEIMEEQEVDNDNKEEEEEEEEEEEEEEYQEIEVEEEVDIEEVEVEEDDMIDSSKEANVKRNVAAVPWRPKY >Manes.17G047950.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24497658:24501936:-1 gene:Manes.17G047950.v8.1 transcript:Manes.17G047950.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSATKRSDDDDYAPSFSGPPVEISVLDIDEQQEPEIMEEQEVDNDNKEEEEEEEEEEEEEEYQEIEVEEEVDIEEVEVEEDDMIDSSKEANVKRNAVPWRPKY >Manes.17G047950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24495931:24502050:-1 gene:Manes.17G047950.v8.1 transcript:Manes.17G047950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSATKRSDDDDYAPSFSGPPVEISVLDIDEQQEPEIMEEQEVDNDNKEEEEEEEEEEEEEEYQEIEVEEEVDIEEVEVEEDDMIDSSKEANVKRNGVVQLKCMKN >Manes.17G047950.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24497658:24501936:-1 gene:Manes.17G047950.v8.1 transcript:Manes.17G047950.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSATKRSDDDDYAPSFSGPPVEISVLDIDEQQEPEIMEEQEVDNDNKEEEEEEEEEEEEEEYQEIEVEEEVDIEEVEVEEDDMIDSSKEANVKRNVAAVPWRPKY >Manes.17G047950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24495931:24502050:-1 gene:Manes.17G047950.v8.1 transcript:Manes.17G047950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSATKRSDDDDYAPSFSGPPVEISVLDIDEQQEPEIMEEQEVDNDNKEEEEEEEEEEEEEEYQEIEVEEEVDIEEVEVEEDDMIDSSKEANVKRNGVVQLKCMKN >Manes.17G047950.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24499725:24502050:-1 gene:Manes.17G047950.v8.1 transcript:Manes.17G047950.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSATKRSDDDDYAPSFSGPPVEISVLDIDEQQEPEIMEEQEVDNDNKEEEEEEEEEEEEEEYQEIEVEEEVDIEEVEVEEDDMIDSSKEANVKRNGFVL >Manes.03G014900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1311847:1316471:1 gene:Manes.03G014900.v8.1 transcript:Manes.03G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNLLPIDGFGTAHQNGDHVQSLAAGDVGVSDNVNGTIDKISESTGPDKNLEIASKLEDSATNNSYAVEVGEGSNVHARSNGFTGPNEGETKDAERSDKVKSPKGLGKSKNEKPSNLKNVSATQMKAGKDGKITRAPPTVSNGSLASNSQSKQTLRSKSFNEKQHSGKSDATSSEGHMERTKVKALKKGPNAKAEEEPQTPISPTRRVGALPNYGFSFKCDERAEKRKEFYSKLEEKIQAKEEEKNTLQAKSKETQEAEIKLLRKSLNFKATPMPSFYQEPPPPKVELKKIPTTRPKSPKLGRRKSSSPVDPEVNNSQSSRLSLDEKLSQNNSAKGPPPVHSKKPQRKSLPKLPSQKSSLSNATNNEKTASSSKATEVENATLSCQVNEEASPVQEVPRAALTSEAQPHKDEELVVGEQAQPTVVQECIASGC >Manes.03G014900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1311847:1316471:1 gene:Manes.03G014900.v8.1 transcript:Manes.03G014900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNLLPIDGFGTAHQNGDHVQSLAAGDVGVSDNVNGTIDKISESTGPDKNLEIASKLEDSATNNSYAVEVGEGSNVHARSNGFTGPNEGETKDAERSDKVKSPKGLGKSKNEKPSNLKNVSATQMKAGKDGKITRAPPTVSNGSLASNSQSKQTLRSKSFNEKQHSGKSDATSSEGHMERTKVKALKKGPNAKAEEEPQTPIPTRRVGALPNYGFSFKCDERAEKRKEFYSKLEEKIQAKEEEKNTLQAKSKETQEAEIKLLRKSLNFKATPMPSFYQEPPPPKVELKKIPTTRPKSPKLGRRKSSSPVDPEVNNSQSSRLSLDEKLSQNNSAKGPPPVHSKKPQRKSLPKLPSQKSSLSNATNNEKTASSSKATEVENATLSCQVNEEASPVQEVPRAALTSEAQPHKDEELVVGEQAQPTVVQECIASGC >Manes.05G191400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31441039:31441942:-1 gene:Manes.05G191400.v8.1 transcript:Manes.05G191400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHVWLSHTTTNKAYLHHLPLFFFFSLFKRSEPHAFAHNNKSEMAQNLIKIKTLLLVSLLLLLLLLIPLSLGMVEGFREGKSQNRSLYKDGIQMSTISRKVLMDVLDYDDTGPNTRHDPRKKSGGKP >Manes.11G143200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30822915:30824303:-1 gene:Manes.11G143200.v8.1 transcript:Manes.11G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSLLSFSLMLLFLHCSRILAQSPAAAPAHARSKAPAPAPPPPPPPAAAAPAPALVPVIPSKGPLNVVKVLQKAGHFTFFVRLIKTTQEDIQLFYQLNVSTDGVSIFAPTDGAFSSIIRSGTLNALSDQQKIELVQFHIIPRFLSTSQFQTVSNPLKTLAGAGQRFSLNVTTTENLVNVTTGLTNTSVSAIVYTDGKVAIYQVDKVLLPLDLFAPKPPAPAPAPPKAQKDEGAEAPVAPKDISGCIMHDNLAILGVIGVVGAIFSL >Manes.S029452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2410270:2410392:-1 gene:Manes.S029452.v8.1 transcript:Manes.S029452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.14G025900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2396156:2400212:1 gene:Manes.14G025900.v8.1 transcript:Manes.14G025900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTFSYVYIYIPLPLRCRLRSTTSAHAFSQKPAMSMVEVRVPNLDCEGCASKLKRALLKLKGAEVVEIEMEIQKITVRGYGLEEKKVLRAIKRAGKAAEPWPFPGYSHFASFYKYPNHVVNHYYDSYKNVASNGVHTFFHTPAVYSVAVASDEAIASLFSDDNPHACSVM >Manes.14G025900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2396156:2400212:1 gene:Manes.14G025900.v8.1 transcript:Manes.14G025900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTFSYVYIYIPLPLRCRLRSTTSAHAFSQKPAMSMVEVRVPNLDCEGCASKLKRALLKLKGAEVVEIEMEIQKITVRGYGLEEKKVLRAIKRAGKAAEPWPFPGYSHFASFYKYPNHVVNHYYDSYKNVASNGVHTFFHTPAVYSVAVASDEAIASLFSDDNPHACSVM >Manes.14G025900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2399461:2400212:1 gene:Manes.14G025900.v8.1 transcript:Manes.14G025900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVRVPNLDCEGCASKLKRALLKLKGAEVVEIEMEIQKITVRGYGLEEKKVLRAIKRAGKAAEPWPFPGYSHFASFYKYPNHVVNHYYDSYKNVASNGVHTFFHTPAVYSVAVASDEAIASLFSDDNPHACSVM >Manes.14G025900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2396156:2400212:1 gene:Manes.14G025900.v8.1 transcript:Manes.14G025900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTFSYVYIYIPLPLRCRLRSTTSAHAFSQKPAMSMVEVRVPNLDCEGCASKLKRALLKLKGAEVVEIEMEIQKITVRGYGLEEKKVLRAIKRAGKAAEPWPFPGYSHFASFYKYPNHVVNHYYDSYKNVASNGVHTFFHTPAVYSVAVASDEAIASLFSDDNPHACSVM >Manes.09G103000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30209859:30216199:-1 gene:Manes.09G103000.v8.1 transcript:Manes.09G103000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTHEGVPEEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWSIIKFLHQSADLTLVPSAAIGRDLQAARVTEANKIRLWNKGVDSESFHPHFRSDEMRCRLSNGAPEKPLIVHVGRLGVEKSLDFLKSVMDRLPDARIAVIGDGPYREELEKMFTGMPAVFTGMLGGEELSQAYASGDVFIMPSESETLGLVVLEAMASGIPVVGARAGGIPDIIPPEQEGKTGFLFNPGDVDDCLRKLEPLLNNGELRETIGKAAREEMEKYDWRAATRIIRNEQYNAAIWFWRKEGAQQVLRPFQWLVKRLFPSPEV >Manes.09G103000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30209859:30216199:-1 gene:Manes.09G103000.v8.1 transcript:Manes.09G103000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTHEGVPEEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWSIIKFLHQSADLTLVPSAAIGRDLQAARVTEANKIRLWNKGVDSESFHPHFRSDEMRCRLSNGAPEKPLIVHVGRLGVEKSLDFLKSVMDRLPDARIAVIGDGPYREELEKMFTGMPAVFTGMLGGEELSQAYASGDVFIMPSESETLGLVVLEAMASGIPVVGARAGGIPDIIPPEQEGKTGFLFNPGDVDDCLRKLEPLLNNGELRETIGKAAREEMEKYDWRAATRIIRNEQYNAAIWFWRKEGAQQVLRPFQWLVKRLFPSPEV >Manes.09G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30209859:30216199:-1 gene:Manes.09G103000.v8.1 transcript:Manes.09G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEIRVEEEEDNLPLLVDPQTNSKPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPEEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWSIIKFLHQSADLTLVPSAAIGRDLQAARVTEANKIRLWNKGVDSESFHPHFRSDEMRCRLSNGAPEKPLIVHVGRLGVEKSLDFLKSVMDRLPDARIAVIGDGPYREELEKMFTGMPAVFTGMLGGEELSQAYASGDVFIMPSESETLGLVVLEAMASGIPVVGARAGGIPDIIPPEQEGKTGFLFNPGDVDDCLRKLEPLLNNGELRETIGKAAREEMEKYDWRAATRIIRNEQYNAAIWFWRKEGAQQVLRPFQWLVKRLFPSPEV >Manes.09G103000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30209859:30216199:-1 gene:Manes.09G103000.v8.1 transcript:Manes.09G103000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITEIRVEEEEDNLPLLVDPQTNSKPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPEEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWSIIKFLHQSADLTLVPSAAIGRDLQAARVTEANKIRLWNKGVDSESFHPHFRSDEMRCRLSNGAPEKPLIVHVGRLGVEKSLDFLKREELEKMFTGMPAVFTGMLGGEELSQAYASGDVFIMPSESETLGLVVLEAMASGIPVVGARAGGIPDIIPPEQEGKTGFLFNPGDVDDCLRKLEPLLNNGELRETIGKAAREEMEKYDWRAATRIIRNEQYNAAIWFWRKEGAQQVLRPFQWLVKRLFPSPEV >Manes.12G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4602026:4603506:1 gene:Manes.12G049000.v8.1 transcript:Manes.12G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRMISAGSQSTFHPITKPKLSTPLSSLNPSSHLCFNTHFQKSSFSVRTHSKSIRTTPIKASSATAVDNFYVNTQNFYDLLGTSESGTLSEIKKAYKQLARKYHPDVSPPGCAEEYTKMFIQVQEAYETLSNPKSRALYDRDMAAGPDLHEIFSSRKRSRFNEGFEDEDRSEWQQRWESQLTELTRRSGHRDMESLSWGAKMRSQRS >Manes.09G097000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29429140:29433725:1 gene:Manes.09G097000.v8.1 transcript:Manes.09G097000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACASSFGLSNGLNFQNEVFMRSWKSILHNDVGFKTLVTFHVRVRHVYVKKQRFLVWNSQKLPETQSDIGNTSVVKNEGVESVVGNDVKILEKGNELETDAGGDGGDSFDGSGGNGKYPSGGSGGGSGSGSGGNSEGEEKGEEEEFGPIMKFDEVIKEVEARGASLPSDMLEAAKSVGIRKLLLLRYLDLQGSGGVLGFAMKSCSMLRNRMLADPSFLFKIGTEIVIDSCCATFAEIQKRGKDFWAEFELYVADLLVGVVVNVALVGMLAPYVRIGQPSASKGFFGRLLHAYGSLPSSVFEAERPGCRYSVQQRIATYFYKGVLYGSVGFACGIIGQGIANLIMTAKRSIKKSEDDIPVPPLVKSAALWGVFLAVSSNTRYQIINGMERVVEASPLAKQVPLVAMAFTVGVRFANNVYGGMQFVDWARWSGVQ >Manes.03G160900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28788293:28791239:-1 gene:Manes.03G160900.v8.1 transcript:Manes.03G160900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTSVNCSMADPESFDSVSNQIHKAPSTFETLGRGVVTRFLGSFISTGCLILQEADGTDLTFEGSGTKCSLKVHLKIHNPQFYWKVMTRADVGFADAYIDGDFSFADADEGLLNLMMLLIANNSASKSNKKRGWWTPLLFTATFASAKLVYQHVLRQNTLTQARRNISRHYDLSNDMFALFMGETMSYSCGIFKTEDEDLQTAQLRKFSILIEKARIEPKQEVLDIGCGWGTFGIEVVKRTGCKYTGITLSEEQLKFAEKKVKEAGLQDHISLQLCDYRQLPATTKYDRIITCEMIEHVGHEYMEEFFGCCDKLLSEDGLFVLQFISIPDEYYEEVRRSAGFLKEYIFPGGNLPSFSRVISAMNAASRLCVEHVENIGSHYYHTLRCWRKNFLDNQSKILAMGFDEKFIRTWEYYFDYCAAGFRTYTVGDYQVVFSRAGNIETLGYPYKGFPSAYAHLSSTQG >Manes.09G009800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2043802:2045863:-1 gene:Manes.09G009800.v8.1 transcript:Manes.09G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKMAINTLHCLVNRTFSLSLSLRHTQSFLSLCCCFQVYAIMRKASIAIFALCLMLLFVAENNASISEAPTPEPQPNSNLPTYGTTQGSLHPQECAPRCTTRCSKTQYRKPCMFFCQKCCAKCLCVPSGTYGNKQSCPCYNNWKTKRGGPKCP >Manes.15G071300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5389151:5390150:-1 gene:Manes.15G071300.v8.1 transcript:Manes.15G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISRSLSTRRNECLCRYLKPGALAQLRNSKISARSHKFISLNHSPTHPVYSHQIQPQISIIDEVPCFLSKIYDPRCLKRKKLMAARSVIFLNLGPSRPAMDSSNHNGSNDSLITVLNNDALVAH >Manes.15G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5641445:5646182:1 gene:Manes.15G074600.v8.1 transcript:Manes.15G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYCRAHSSSSSTISNPYSSSKLPFKFRNFKPSSSSSYHSIRFSVSCTLARDAAVKREGKEDSDPALWQRPDSYGRFGKFGGKYVPETLIHALSELESAFNSLKDDPDFQNELAGILKEYVGRETPLYFAERLTEHYRRPNGEGPHIYLKREDLNHTGAHKINNAIGQVLLAKHLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPFPMMVREFHKIIGKETRKQAIEKWGGKPDVLVACIGGGSNAMGLFDDFVKDKDVRLIGVEAAGLGLDSGKHAATLTKGQVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKEKGRAEYYSVTDEEALEAFKRLSRLEGIIPALETSHALAYLEKLSPTLPNGTKVVLNCSGRGDKDVQAAIKYLQV >Manes.09G160800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35573838:35578401:1 gene:Manes.09G160800.v8.1 transcript:Manes.09G160800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSSELKLSESRLQGFRQSFDQLQDRASSFLLLTLQWKDLEDHFASSRNVIEQLIAEQHSIEESVQQKLEDVKKREKDLELVQESVRERCREIEAKEKDFELTQRKEIEERKRENKRIEKSRRELEVERESIETKLREIKDAEEKPELFNDSIEKRVAEVRVRLSESEVKEKQCEKFANELKLKEEQLEERCKELAVKEENFSRRILEKEKKLDEKYKELRVKSEKIDEKFNEFELKEKQMKARLEELSVKNEKIDKKFKELELKEKQITAQCKDVKSEKGKFVERCKELKVKERQLEEQCRELEVKEKYNIRQKIEPPSITVVNNAVDYPMDAILHFSVNMDGKALQIFLNEHRGEYDSIRNEVLVALGFSSDPAKLVLDAMQGFYPSHLKKGDMEFEEGVVRRSCILLLEQLMKISPEIRPYVKKEAMGLAFDWRTKVKGDAEHSLEVLGFLLLLASYGLASAFDDDDLLTQLEIVAKHSQAPELLRVLGFADKIICFIQNLIRKKQQLEAIKFIYRFELVNDFPPVPLLEAYLKNSKIAVKRFRKVKKSLQGQTEATKRRIADLRCAVRCIEEFNIECRPLLGKLKQMIDALGKQISNEKTRLAALARKKQENKKKHLPPRVSVADAPVAPKSASATSVSLISFPSTTPNSMPAPKTQSQKCSGSKHPCTALSAKDVVNPCPVADQATCAVPFDAKVQQSLLGDSPVENKEASPSLDPQHEPKSFSLNKSKEDVRLLLNKHENNDLARGEIAAALRLACDPGKLVLDMINASYPFNLKANNAKLGVSEKKCILLLEQLRIVSPQINPDLKEEARKVALHWETILAKKKNYRLVIGFLQFLAGYGLASTSNADKLLSLLDADEWRSKEATELFQVLGLADMLPNFIQKLIEEEQQIEAVKYIYAFDMIDKFPPVPILKDYLSNSSKHNVEQLSKKKRKSIGEQIQAIDKELKALKAMFKCITDYKIDGLSSAKLKEQISQLLKQKEEKVQMIENSKAKIRPGSSSESNADLRQQSGNKRPRIHAEDPPRASSHGTYAVHSMRPSQPPPPGFFPIHGVPHMNPPRSHYNLAGYPPKYNMQLNSYNADVTYSYHWTHVNSGHRNPLPFGSL >Manes.09G160800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35573838:35578402:1 gene:Manes.09G160800.v8.1 transcript:Manes.09G160800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSSELKLSESRLQGFRQSFDQLQDRASSFLLLTLQWKDLEDHFASSRNVIEQLIAEQHSIEESVQQKLEDVKKREKDLELVQESVRERCREIEAKEKDFELTQRKEIEERKRENKRIEKSRRELEVERESIETKLREIKDAEEKPELFNDSIEKRVAEVRVRLSESEVKEKQCEKFANELKLKEEQLEERCKELAVKEENFSRRILEKEKKLDEKYKELRVKSEKIDEKFNEFELKEKQMKARLEELSVKNEKIDKKFKELELKEKQITAQCKDVKSEKGKFVERCKELKVKERQLEEQCRELEVKEKYNIRQKIEPPSITVVNNAVDYPMDAILHFSVNMDGKALQIFLNEHRGEYDSIRNEVLVALGFSSDPAKLVLDAMQGFYPSHLKKGDMEFEEGVVRRSCILLLEQLMKISPEIRPYVKKEAMGLAFDWRTKVKGDAEHSLEVLGFLLLLASYGLASAFDDDDLLTQLEIVAKHSQAPELLRVLGFADKIICFIQNLIRKKQQLEAIKFIYRFELVNDFPPVPLLEAYLKNSKIAVKRFRKTEATKRRIADLRCAVRCIEEFNIECRPLLGKLKQMIDALGKQISNEKTRLAALARKKQENKKKHLPPRVSVADAPVAPKSASATSVSLISFPSTTPNSMPAPKTQSQKCSGSKHPCTALSAKDVVNPCPVADQATCAVPFDAKVQQSLLGDSPVENKEASPSLDPQHEPKSFSLNKSKEDVRLLLNKHENNDLARGEIAAALRLACDPGKLVLDMINASYPFNLKANNAKLGVSEKKCILLLEQLRIVSPQINPDLKEEARKVALHWETILAKKKNYRLVIGFLQFLAGYGLASTSNADKLLSLLDADEWRSKEATELFQVLGLADMLPNFIQKLIEEEQQIEAVKYIYAFDMIDKFPPVPILKDYLSNSSKHNVEQLSKKKRKSIGEQIQAIDKELKALKAMFKCITDYKIDGLSSAKLKEQISQLLKQKEEKVQMIENSKAKIRPGSSSESNADLRQQSGNKRPRIHAEDPPRASSHGTYAVHSMRPSQPPPPGFFPIHGVPHMNPPRSHYNLAGYPPKYNMQLNSYNADVTYSYHWTHVNSGHRNPLPFGSL >Manes.14G171850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28255524:28294685:-1 gene:Manes.14G171850.v8.1 transcript:Manes.14G171850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMQRKAGQGTFGGRFGGRKSLQSRKSGRFGGTFGGRNSQTETKLMHVRRHFRRPKLPDRDESLLSGAGFGRNCQTETKVSFRGQASAAERLASPAMFGGRKSFGCRTWFLPKGRNLAPFAHFASQPSKHASNLFYNTHTQAYMFLWASNHHKPHLQHIKHPHCS >Manes.04G056980.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:19964246:19966844:1 gene:Manes.04G056980.v8.1 transcript:Manes.04G056980.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYDTLLSRSFSKHEKKKLRYWGLITCLVIALSFCTVFKPYLGPLPVLNLRLSIGAGQKLQVVNDTSSSLQLISEDDEIFLAKNNTLMPNDTSSSQQVVKEFTRKNDEQINDRSSSRGIIREIIMNNTVKLNNTNITQKIAGEIIAKNKTEPVTVCKMLERSDFCEIKGDIRIDVNSSTVFIVPSESYILEASANTSWSVKPYARKGDRSAMSMVREWKVKIVKNHQNTLKCTQVHNVPGVLFSLGGYSGNHFHSFTDIILPLYSTARPFNGDVQLLVTDRQPRWVSKFKTLLNALSRYEVIDIDNRGETTHCFSSITVGVKRQSRKELNLDSMEDFRQFLRSSYSLKKKTAIKINSGGEKKRPRLVIISRKRSRAFSNIGDIAQLAKSLGYRVVVFEPDANVSRSAQVMNSCDVLMGVHGAGLTNMVFLPVNAVLIQVVPFGGAEWVSKNYFQEPAKDMKIRYLEYKINLEESSLIEQYPADHVVLRNPLAIQKQGWEAFKSVYFDKQNVKLDLDRFKPILLRALEILHQ >Manes.07G076400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:17908946:17910345:-1 gene:Manes.07G076400.v8.1 transcript:Manes.07G076400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQGPCYHCGTHNTPLWRNGPPEKPVLCNACGSRYRIRGTLANYTPKHAQGQPLAKRLRTTSKCNFRTEENYSTDVCHSSSDDDSTQSAISCSLSSCFNVNEENVAESPGGIQESFWRSCIPSRKRSLHVQRSLTPIERFQRELRKILMHDPLIPSENEDDILIYNSNHLRVPHNEIGLGIFLLKPHAAAPTASSSPPPAHATFFSLVDVKPSLVDIKDAVLKSSLLKPNTAPAASPAEVGPPHESPSSLVDVKPSLVDVKDSGRSSSSM >Manes.16G075400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27838697:27845852:-1 gene:Manes.16G075400.v8.1 transcript:Manes.16G075400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRDLSLGHSKRESTPPPIQPPPTMPSKLTTSDLESPLGQLSSQLTDSDLRATAYEIFVAVSRTSAGKPLTYISNTSNSDLSTNHHSPHSPNSPALQRSLTSAAASKMKKAFGLKSPGSGSKKSPGSGPGSGQGKSKRPLTVGELMRSQMRVSETVDSRIRRALVRVAAGQVGRRIESVVLPLELLQQLKHSDFTDQQEYETWQKRTMKVLEAGLLTHPRVPLEKSNPTSQRLRQIINGALDRPIETGKNNESMQVLRSAVMSLASRSDGSFSEICHWADGIPLNLRLYEMLLEACFDVNDETSIIEEVDELMEHIKKTWTVLGMNQVLHNVCFTWVLFHRFVATGQVETDLLDAADGQLAEVAKDAKTTKDPQYAKILSSTLSSILGWAEKRLLAYHDTFDNGNIETMQSIVSLGVSAAKILVEDISNEYRRKRKGDVDVARSRIDTYIRSSLRTVFAQRMEKADSSRRASKNQSNPLPVLAILAKDVGELALNEKRVFSPILKRWHPFAAGVAVATLHACYGNELKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEAEAAIANLVKAWIKARLDRLKEWVDRNLQQEVWNPKANKEGFAPSAVEVLRIIDETLDAYFQLPIPMHPALLPDLMSGLDRCLQYYAIKAKSGCGSRNTYIPTMPALTRCTTGSKFQGVWKKKEKSPNPQKKNSQVATINGDNSFGIPQLCARINTLHRIRTELDVLEKRIITHLRNSESAHTEDFSNGLAKKFELTPSACVEGVQQLSEALAYKIVFHDLSHVLWDGLYVGEPSSSRIEPFLQELERNLIIISDSMHERVRTRVVTDLMRASFDGFLLVLLAGGPSRAFTRQDSEIIEDDFKSLKDLFYANGDGLPTELIDKFSVTVRGILPLYRTDTESLIERFRRVTLEAYGSSARSRLPLPPTSGEWNPTEPNTLLRVLCYRNDEAATKFLKKTYNLPKKL >Manes.16G075400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27838697:27845806:-1 gene:Manes.16G075400.v8.1 transcript:Manes.16G075400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRDLSLGHSKRESTPPPIQPPPTMPSKLTTSDLESPLGQLSSQLTDSDLRATAYEIFVAVSRTSAGKPLTYISNTSNSDLSTNHHSPHSPNSPALQRSLTSAAASKMKKAFGLKSPGSGSKKSPGSGPGSGQGKSKRPLTVGELMRSQMRVSETVDSRIRRALVRVAAGQVGRRIESVVLPLELLQQLKHSDFTDQQEYETWQKRTMKVLEAGLLTHPRVPLEKSNPTSQRLRQIINGALDRPIETGKNNESMQVLRSAVMSLASRSDGSFSEICHWADGIPLNLRLYEMLLEACFDVNDETSIIEEVDELMEHIKKTWTVLGMNQVLHNVCFTWVLFHRFVATGQVETDLLDAADGQLAEVAKDAKTTKDPQYAKILSSTLSSILGWAEKRLLAYHDTFDNGNIETMQSIVSLGVSAAKILVEDISNEYRRKRKGDVDVARSRIDTYIRSSLRTVFAQRMEKADSSRRASKNQSNPLPVLAILAKDVGELALNEKRVFSPILKRWHPFAAGVAVATLHACYGNELKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEAEAAIANLVKAWIKARLDRLKEWVDRNLQQEVWNPKANKEGFAPSAVEVLRIIDETLDAYFQLPIPMHPALLPDLMSGLDRCLQYYAIKAKSGCGSRNTYIPTMPALTRCTTGSKFQGVWKKKEKSPNPQKKNSQVATINGDNSFGIPQLCARINTLHRIRTELDVLEKRIITHLRNSESAHTEDFSNGLAKKFELTPSACVEGVQQLSEALAYKIVFHDLSHVLWDGLYVGEPSSSRIEPFLQELERNLIIISDSMHERVRTRVVTDLMRASFDGFLLVLLAGGPSRAFTRQDSEIIEDDFKSLKDLFYANGDGLPTELIDKFSVTVRGILPLYRTDTESLIERFRRVTLEAYGSSARSRLPLPPTSGEWNPTEPNTLLRVLCYRNDEAATKFLKKTYNLPKKL >Manes.16G075400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27838697:27845806:-1 gene:Manes.16G075400.v8.1 transcript:Manes.16G075400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRDLSLGHSKRESTPPPIQPPPTMPSKLTTSDLESPLGQLSSQLTDSDLRATAYEIFVAVSRTSAGKPLTYISNTSNSDLSTNHHSPHSPNSPALQRSLTSAAASKMKKAFGLKSPGSGSKKSPGSGPGSGQGKSKRPLTVGELMRSQMRVSETVDSRIRRALVRVAAGQVGRRIESVVLPLELLQQLKHSDFTDQQEYETWQKRTMKVLEAGLLTHPRVPLEKSNPTSQRLRQIINGALDRPIETGKNNESMQVLRSAVMSLASRSDGSFSEICHWADGIPLNLRLYEMLLEACFDVNDETSIIEEVDELMEHIKKTWTVLGMNQVLHNVCFTWVLFHRFVATGQVETDLLDAADGQLAEVAKDAKTTKDPQYAKILSSTLSSILGWAEKRLLAYHDTFDNGNIETMQSIVSLGVSAAKILVEDISNEYRRKRKGDVDVARSRIDTYIRSSLRTVFAQRMEKADSSRRASKNQSNPLPVLAILAKDVGELALNEKRVFSPILKRWHPFAAGVAVATLHACYGNELKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEAEAAIANLVKAWIKARLDRLKEWVDRNLQQEVWNPKANKEGFAPSAVEVLRIIDETLDAYFQLPIPMHPALLPDLMSGLDRCLQYYAIKAKSGCGKVLQCSIYPCVLIFGFLIAVSLIVICRITEHLHTNYASINQMYNRIKVSRCMEKERKVTKSAEKEFSGCNNKWG >Manes.09G175600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36686520:36692607:-1 gene:Manes.09G175600.v8.1 transcript:Manes.09G175600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQISATLAFLFFALSVFADDVVVLTEDNFEKEVGQDRGALVEFYAPWCGHCKKLAPEYERLGTSFKKAKSVLVGKVDCDAHKSLCSKYGVSGYPTIKWFPKGSLEPKKYEGGRTAEDLAEFINSEGGTNVKIAAVPSSVVVLTPDNFDRIVLDESKDVLVEFYAPWCGHCKQLAPTYEKVATAFKLEEDVVIADLDADKYKDLAEKYGVSGFPTLKFFPKSNKGGEDYGGGRDLDDFVTFINEKCGTSRDEKGGLTSKAGIVATLENLVKDFIGAGNDEKKQIVSRMEEEVEKLKGATARHGKIYLKTAKNFVEKGADYPKKEIQRLERMLEKSISEVKADEFTLKKNILLTFV >Manes.05G014800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1389535:1392275:1 gene:Manes.05G014800.v8.1 transcript:Manes.05G014800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIHPKRSNPVGYRFHPTDQELVGHFLNRKILYPDDEKMPIAELKVCDFEPWDLSDKSKIKSNDQVFYFFCPRDYKYAHSRRSNRTTNAGYWKPTGKPRKVKGIGTKKPIGTKRTLVFYKKEHPKPVRTKWIMHEYEYIPQGNFLLCKLKDKSKGHARISETKQWASASESVSTVDSSCGECAPSDLMGSNAEDHNVDEITAGEQNCTVSLDLGNLDQSEVTANSASDESDLGYHLASEPEDENPNEMTATSTYEKCQFSSQLTSDIENHNSDKTSEILVSEQDEWSPHATTPDCGNHSAYDTTDMSTLSSPSVLEINAAEAQFLEGPPTFFDELKALWEPKDFLNSALFSPRRTEESLFFSNFLLSGTSS >Manes.05G014800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1389535:1392275:1 gene:Manes.05G014800.v8.1 transcript:Manes.05G014800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIHPKRSNPVGYRFHPTDQELVGHFLNRKILYPDDEKMPIAELKVCDFEPWDLSDKSKIKSNDQVFYFFCPRDYKYAHSRRSNRTTNAGYWKPTGKPRKVKGIGTKKPIGTKRTLVFYKKEHPKPVRTKWIMHEYEYIPQGNFLLCKLKDKSKGHARISETKQWASASESVSTVDSSCGECAPSDLMGSNAEDHNVDEITAGEQNCTVSLDLGNLDQSEVTANSASDESDLGYHLASEPEDENPNEMTATSTYEKCQFSSQLTSDIENHNSDKTSEILVSEQDEWSPHATTPDCGNHSAYDTTDMSTLSSPSVLEINAAEAQFLEGPPTFFDELKALWEPKDFLNSALFSPRRTEESLFFSNFLLSGTSS >Manes.05G014800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1389535:1392275:1 gene:Manes.05G014800.v8.1 transcript:Manes.05G014800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIHPKRSNPVGYRFHPTDQELVGHFLNRKILYPDDEKMPIAELKVCDFEPWDLSDKSKIKSNDQVFYFFCPRDYKYAHSRRSNRTTNAGYWKPTGKPRKVKGIGTKKPIGTKRTLVFYKKEHPKPVRTKWIMHEYEYIPQGNFLLCKLKDKSKGHARISETKQWASASESVSTVDSSCGECAPSDLMGSNAEDHNVDEITAGEQNCTVSLDLGNLDQSEVTANSASDESDLGYHLASEPEDENPNEMTATSTYEKCQFSSQLTSDIENHNSDKTSEILVSEQDEWSPHATTPDCGNHSAYDTTDMSTLSSPSVLEINAAEAQFLEGPPTFFDELKALWEPKDFLNSALFSPRRTEESLFFSNFLLSGTSS >Manes.12G024600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2096561:2103024:1 gene:Manes.12G024600.v8.1 transcript:Manes.12G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLYFTRQSGHRIESFFWEVPEDDVAAGTSITQGESSSTAVSDDDRQTSPLATFKSNYANLPDYLKRCLDYFCIVTRRASIDEKGKLIRLLLAECLVPEKAGEIMEDLAEEIIGELIHLGMLTEYFHPDFFKVPYEYEELCLFEVDEQYFVSEAPNFPVRAVIKDDGNNTLPSFTNLQVRSLFVTTAERRGLHSSSASPTRDLSQAYMQTICSLQSLLVLNIDGRIEYLPDEVGDLVQLRYLALSNSKLNELPKTLGNLQKLQTLDLMCGNLRELPIEVLTIQNLRHLLMSRSIRVPKEIGKLTNLYTCSGVYAGGGIASELSRLTQLRELSVKRVSEDHASELCAAIMKMENLLSVKLEAEINCYSIDQMYTFLPEFELFSPPSLLQEVYLCGVLVEIPIWLFSMSNLTRLELHFSDLTETPTTDIQFLPNLKHLTLWQAYKAKLLGKEFCQAGGFPALQTLTIDSMFLEHWTEIVNGAFPSLKSLTLRCTRLRFLPEGLQNISTLEELFLTTVHGDLARRLKSTENYKIKHILKLGAYFEGGKFIYVGSPEEVLQHA >Manes.11G151800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31572620:31575876:1 gene:Manes.11G151800.v8.1 transcript:Manes.11G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGERMAKRSSFGSIVRKRLSDITNSQSQHKLVRLEEKQPPIPNFTDDLINQLLKEKATLMQLIEERDKIIALSDNQLKNLRMHYQKLQLQNWNLAQSNSQMLAELNLGREKLKALQHEVVCKDALLKAKSLEQEGKAEIKNQNTGSQEAEKNIEGQCLNKANDNRKRSNRIRKNTRRSQSMGPSTTSKEGLEKERLENKRRCLRRQSARFKSQEREPMENLFEIEVAKFPIAQPLDTTPMQEYGLTTASVIKEETFEPGNEPHVAHRSSLGRPVRRAAEKVQSYKEVPLNTKMRRKE >Manes.03G157000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28508631:28509822:-1 gene:Manes.03G157000.v8.1 transcript:Manes.03G157000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGRNLAAPLLFLNLIMYAITLGFASWCLNRYINGQTYHPSFGGNGATSFFLTFAILASVVGIVSKFAGGTHIRAWRNESLGAAGATSLMAWAVTVLAFGLACKQINLGGHRGWRLRVVEAFIIILTFTQLLYLLLLHAGLFSSRYGPGYRDRDYGVTTAGEPVHKGGVTAAEPRV >Manes.05G058400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4871542:4874204:1 gene:Manes.05G058400.v8.1 transcript:Manes.05G058400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAFLSKPVLLNKTSSPSLPQQRLAGLRRNSLRINAIAKKWEPTKVVPQADRVLIRLEELPEKSTGGVLLPKSAVKFERYLMGEVLSVGTEVGEVEAGKKVLFSDINAYEVDLGTDAKHCFCKAGDLLAVVE >Manes.15G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5338187:5344207:-1 gene:Manes.15G070100.v8.1 transcript:Manes.15G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSFVSKAKIAFHSAAAKAERVFTDIKSDFKSDRADSDKQTAKESKRQLEDEYLKNDAESKGNNEGKHLRWRPTHIGTKQEWQDRLKNIRIGKKGAENHAEKVEETIMDIPFYDENMYIVYMKNDVEAKGSQVSAIVDRLNSTNPDSIPSTSVMKQLAIAIDAGKKHKTMKDILVSSGASSPIMERASLSLAAVKSLVLRDKEDKLASEFGADEEKVLSLIHSLFDVEGRFLKRNISSGSEALTLLRDIHGTPPESLLVKLSEVIGSFKTLRKMAFIWCKIISEVRRLWSEELHLPGIPLDDLPDLNSCLLYQKFQVFNCCLSRKRRHSLATESLELVMRDASSHAEQSVISNGTVSPSRILYARLSSGENVLRLGADQPADNLTLLETGEPVYSPITQEGPLLTEDLIKENEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWYSPPDWTDDLDEANGFFEGGDASSMRGQLSRRMQKEGNLWLELWETAKAVPAIKQAPLFDEDLAVEGVLQDLEELSPSELFEQLFISLLGLGFVMAESKLSSNNDLSKLFNEFKDYIVFTCQGSSWSEKVDDLCQVYETVEKMLLNPEEVLKAIKQTEETTSTTAGELKSRFKRLGLNFGSKDKNLRKSSLKDEKNSEISHRQPFSNFFDGKSSLFSKKPPKPEIASVAGDKSPPDENGWTIVNP >Manes.13G022701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3225960:3230496:-1 gene:Manes.13G022701.v8.1 transcript:Manes.13G022701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSTTELHSTPALSCSLKRKRPPKIEIPNVLQEIQADKLKFKDLSPSDDSICFSGIGVGVAAIKGNKKFMEDTHKIVSCLNGSSNKGFFGVYDGHGGKRAAEFVAENLHTNILEMMENCTGNASKEEAVKAGYLKTDQEFLRQGVASGACCVTALIEGQEIVVSNLGDCRAVLCRGGVAEVLTKDHRAEQEDERKRIENKGGYVEIHRGAWRVHGVLSVCRSIGDAHLKNWVLAEPDTMILQLTSDMDFLVLASDGLWEEVGNQEAVETVISLCMPEKKSRSTGDVQKDDEVCYGCVNVSPSSKMRRVSLLKHQKIPKQSPRNKQKIGSWKDMQDDCNCENESPPLKSRRISLFKRINTKIDSPNKENSVHKKGPAASMVGLAAACDELVNLAVRRGSMDDITVMVIDLNQFRCKFSSLMSPACTLRMICHNLINDSSICHELYEKQINEPAATITRAGQSISMSVYRTKLAGHCRLITITWCKNLLLHGLSLSVQSTNGNDEDYYKCKIELKPWYFWRKQGSKQFVVDGKTTADVVWDLKAAKFNGETEPQSNYYIAIVSEGEVVLLVGDLKKDAYRKTRCRPALIEPMLVSRKEHVFGKKKVKTRIKFHEKEIKFHEISIESNNNSSSNDSNICGFDPELEINVDGELAIQVKHLQWKFRGNESIQVSKSRVLEIYWDVHDWLFGSGPRHGLFIFKPVSSSSSCSSSSSTASSTSMSLLTTQEVPCAPLEDDNADGSSSFCLFLHTWKAE >Manes.01G265400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42015763:42019053:1 gene:Manes.01G265400.v8.1 transcript:Manes.01G265400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREREMSSANNGGGPCGACKFLRRKCLKGCIFAPYFDSDQGTAHFAAVHKVFGASNASKLLLRIPAHKRFDAVVTLCYEALARVRDPVYGCVGHLFTLQQQVVNLQAELAYIQARLSTMQSMPSVEAQAPPAQSISTSEMASNSIVSMHFDILQEVSTEFTSLCNPLDNKDDDLQALARDFVSRYLPGVRFRPPTSQ >Manes.S036016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:941586:942083:1 gene:Manes.S036016.v8.1 transcript:Manes.S036016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMPIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.11G142701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30798751:30801448:1 gene:Manes.11G142701.v8.1 transcript:Manes.11G142701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILFRVDEICKKFDKHDVNKQREFNAYGDDAFAHLYASVESDIEAALNNSIQRSINYKTELSSLLFYTQQQHSGGRKNEKTTILEDKLLALPNCGVQASLSDCTHGEYNKVKGLSKEELEICHDLVLALPERIQAKPDGTIVKDKQTGGWAGSAPNKNVKFDSSDEQLQDDFTNKVKNQVNLDEYEMWKLKQRIKVLILYWKNWRH >Manes.16G064000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24974497:24986197:-1 gene:Manes.16G064000.v8.1 transcript:Manes.16G064000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAENAFTRTPSFREEGEDEEALRWAALERLPTYARVRRGIFKDVIGDHKEIDVSELHAQEQKLVLERLVNSVDDDPELFFERMRKRFDAVDLKFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMTEALLRQLRIYQGNRSKLTILDNISGIIRPSRLTLLLGPPSSGKTTMLLALAGRLGCNLQMSGKITYNGYGLNEFVAPRTSAYVSQQDWHVAEMTVRETLELAGRCQGVGFKYDMLVELARREKIAGIKPDEDLDIFIKSLALGGQETGLVVEYIMKILGLDTCADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTCSLDGTTLISLLQPAPETYELFDDVILLCEGQIVYQGPRDNVLDFFSYMGFRCPQRKNVADFLQEVTSKKDQEQYWCANRPYRYMPPGKFVEAFRAYQTGKSLCEELEVPFDKRYNHPAALSISRFGVNRSELFKVSFNWQKLLMKRNSFIYVFKFIQLLIVALITMSVFFRTTMHHNTIYDGGIYVGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTIPAWVLSIPTSLMESGLWVAVTYYAIGYDPNITRFFRQLLIYFFLHQMSIALFRVIGSLGRNMIVSNTFGSFAMLVVMALGGYIISREYIPSWWIWGFWVSPLMYAQNAASVNEFLGHSWAKRARNDTNFSLGEALLSARSLFPESYWYWIGVGALVGYTILLNILFTFFLAHLNPWGRQQAVVSKEELQERDKRRKGEDIVIELREYLQHSGSINGKYFKQRGMVLPFQPLSMSFSNINYFVDVPVELKQQGIAEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGSICISGYPKKQETFARISGYCEQNDIHSPCLTVFESLLFSAWLRLPPEVDMETQQAFVEEVIELVELTPISGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGARSCDLIKYFEAVEGVKKIKSGYNPAAWMLEVTSSSEENRLGVDFAEIYRRSNLFQRNKELVESLSRPSSNAKELNFPTKYSRSFLEQFLACLWKQKLSYWRNPQYTAVRFFYTVVISLMLGTICWKFGSKRENVQELFNAMGSMYAAVLFIGITNASAVQPVVSIERFVSYRERVAGMYSALPFAFAQVVIEFPYVFCQTVIYCGIFYSLASFEWTAIKFLWYIFFMYFTMLYFTLYGMMTTAITPNHNVASIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWTLYGLLTSQYGDDDQLMKLSDGDRLIPVKQVLKEVLGYRHDFVGVSGVMVVFFCVLFAVIFAFAIKAFNFQRR >Manes.16G064000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24974497:24986197:-1 gene:Manes.16G064000.v8.1 transcript:Manes.16G064000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTCSLDGTTLISLLQPAPETYELFDDVILLCEGQIVYQGPRDNVLDFFSYMGFRCPQRKNVADFLQEVTSKKDQEQYWCANRPYRYMPPGKFVEAFRAYQTGKSLCEELEVPFDKRYNHPAALSISRFGVNRSELFKVSFNWQKLLMKRNSFIYVFKFIQLLIVALITMSVFFRTTMHHNTIYDGGIYVGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTIPAWVLSIPTSLMESGLWVAVTYYAIGYDPNITRFFRQLLIYFFLHQMSIALFRVIGSLGRNMIVSNTFGSFAMLVVMALGGYIISREYIPSWWIWGFWVSPLMYAQNAASVNEFLGHSWAKRARNDTNFSLGEALLSARSLFPESYWYWIGVGALVGYTILLNILFTFFLAHLNPWGRQQAVVSKEELQERDKRRKGEDIVIELREYLQHSGSINGKYFKQRGMVLPFQPLSMSFSNINYFVDVPVELKQQGIAEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGSICISGYPKKQETFARISGYCEQNDIHSPCLTVFESLLFSAWLRLPPEVDMETQQAFVEEVIELVELTPISGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGARSCDLIKYFEAVEGVKKIKSGYNPAAWMLEVTSSSEENRLGVDFAEIYRRSNLFQRNKELVESLSRPSSNAKELNFPTKYSRSFLEQFLACLWKQKLSYWRNPQYTAVRFFYTVVISLMLGTICWKFGSKRENVQELFNAMGSMYAAVLFIGITNASAVQPVVSIERFVSYRERVAGMYSALPFAFAQVVIEFPYVFCQTVIYCGIFYSLASFEWTAIKFLWYIFFMYFTMLYFTLYGMMTTAITPNHNVASIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWTLYGLLTSQYGDDDQLMKLSDGDRLIPVKQVLKEVLGYRHDFVGVSGVMVVFFCVLFAVIFAFAIKAFNFQRR >Manes.16G064000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24974497:24986197:-1 gene:Manes.16G064000.v8.1 transcript:Manes.16G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSAENAFTRTPSFREEGEDEEALRWAALERLPTYARVRRGIFKDVIGDHKEIDVSELHAQEQKLVLERLVNSVDDDPELFFERMRKRFDAVDLKFPKIEVRFQNLTVESFVHVGSRALPTIPNFIFNMTEALLRQLRIYQGNRSKLTILDNISGIIRPSRLTLLLGPPSSGKTTMLLALAGRLGCNLQMSGKITYNGYGLNEFVAPRTSAYVSQQDWHVAEMTVRETLELAGRCQGVGFKYDMLVELARREKIAGIKPDEDLDIFIKSLALGGQETGLVVEYIMKILGLDTCADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTCSLDGTTLISLLQPAPETYELFDDVILLCEGQIVYQGPRDNVLDFFSYMGFRCPQRKNVADFLQEVTSKKDQEQYWCANRPYRYMPPGKFVEAFRAYQTGKSLCEELEVPFDKRYNHPAALSISRFGVNRSELFKVSFNWQKLLMKRNSFIYVFKFIQLLIVALITMSVFFRTTMHHNTIYDGGIYVGALYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLHFYPSWAYTIPAWVLSIPTSLMESGLWVAVTYYAIGYDPNITRFFRQLLIYFFLHQMSIALFRVIGSLGRNMIVSNTFGSFAMLVVMALGGYIISREYIPSWWIWGFWVSPLMYAQNAASVNEFLGHSWAKRARNDTNFSLGEALLSARSLFPESYWYWIGVGALVGYTILLNILFTFFLAHLNPWGRQQAVVSKEELQERDKRRKGEDIVIELREYLQHSGSINGKYFKQRGMVLPFQPLSMSFSNINYFVDVPVELKQQGIAEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGSICISGYPKKQETFARISGYCEQNDIHSPCLTVFESLLFSAWLRLPPEVDMETQQAFVEEVIELVELTPISGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGARSCDLIKYFEAVEGVKKIKSGYNPAAWMLEVTSSSEENRLGVDFAEIYRRSNLFQRNKELVESLSRPSSNAKELNFPTKYSRSFLEQFLACLWKQKLSYWRNPQYTAVRFFYTVVISLMLGTICWKFGSKRENVQELFNAMGSMYAAVLFIGITNASAVQPVVSIERFVSYRERVAGMYSALPFAFAQVVIEFPYVFCQTVIYCGIFYSLASFEWTAIKFLWYIFFMYFTMLYFTLYGMMTTAITPNHNVASIIAAPFYMLWNLFSGFMIPHKRIPIWWRWYYWANPIAWTLYGLLTSQYGDDDQLMKLSDGDRLIPVKQVLKEVLGYRHDFVGVSGVMVVFFCVLFAVIFAFAIKAFNFQRR >Manes.14G129900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10375895:10378036:1 gene:Manes.14G129900.v8.1 transcript:Manes.14G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVAQAQAQSPLEKNSLASLDQKLAMAKRCSHEGVLAGAKAAAVATVATAIPTLASARMLPWAKAHLNHTAQALIISTVAGAAYFIVADKTVLATARRNSFNKNSNIDA >Manes.18G138854.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:17992658:18001200:-1 gene:Manes.18G138854.v8.1 transcript:Manes.18G138854.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLRNLSRKSQHELEKSYNPINLDYIFEEDDPLNPWLEERENPVLDGKENPWLEEDEPAPSQSQQVNAPTRGHNIGGSGDAEPEDSFILSSSSDDDDGGSGQGGRGEGCGATSSLQSHDDPSSYQRHSPSPAPAPTLQHTYHRSRGSGGSSDKGKGVAHGKCFMDADNYGFGTYSTSESSMEATSTSDYGYRGNFQWEYSNPWISLLHRILIYLCQSNHSPTLKLSPILVINLVWVHSSALIHHNTINIIKIKTLNHKMKVVDLLKNLLDAYSGGN >Manes.11G126600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28933195:28936017:1 gene:Manes.11G126600.v8.1 transcript:Manes.11G126600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTESVMTFSPLVVLALSLFTIAIVEAAVTLQPPVKLQWQYYKRNTSCPDAEVYIRHQIEQYWKQDKSITPKLLRLLYSDCFVTGCDASILLDGPNSEKTAPQNRGLGGFALIDKIKQVLEQRCPGVVSCADILNLATRDAVHLAGAPSYPVYTGRRDGMSSSSASVDLPSPSIPLSKALAYFKSKGLDVLDFATLLGAHSLGKTHCSFVEDRLYNFGNTGKPDPNMDPAFAAEMRKLCPPRTKKGQSDPLVFLNPESGSNYKFTETFYQGVLSYKSVLGVDQQLLFSNDTLDITQEFAANFEDMRRSFALSMNRMGNIDVLTGYAGEIRRNCRVVNKK >Manes.08G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2001909:2007139:1 gene:Manes.08G020100.v8.1 transcript:Manes.08G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTTTTIIPDAFQGARDDMSMQFSIVWDQIRAPLIIPLLRLAVAICLIMSLMLFIERVYMGIVIVLVKLFGRKPEKRYKWEPIKDDVELGNYAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDLVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKRNYVKNCDYVAIFDADFQPEPDFLWRTIPFLVHNPELALVQSRWKFVNTDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIGALDEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGNLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMFMEIIRNKKVTLWKKVHVIYSFFLVRKIVAHIVTFIFYCVVLPATVLVPEVEVPKWGAVYIPSIVTILNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDALKAKAVKAPKKRRFKFGERLHLLELGTGAYLFFCGCYDLAFGKNHYFLFLYVQATAFFIMGFGYVGTFVPQS >Manes.07G000350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:231029:232500:-1 gene:Manes.07G000350.v8.1 transcript:Manes.07G000350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGTFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGEVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCEDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATPLTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.09G157300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35273421:35276549:1 gene:Manes.09G157300.v8.1 transcript:Manes.09G157300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYCNIKNDITELIGNTPMVYLNKVVDGCVAQIAAKLEMMTPAYSVKDRIAYSMIKDAEDKGLITPGKTVLIEATGGNTGIGLAAVAAMKGYKLIIVMPASMSLERRIVVRAFGAELYLTHPTKGIKGAIQKAEEMLSQTPDGYILNQFDNPANPQVHYETTGAEIWRDSGGKVDALVAGIGTGGTVTGAGRFLKEKNPEIKVYGVEPVENAILSGGRPGPHLIQGIGPGIIASVMDVELLDEIVQVSSEEAIETTKQLALKEGLLVGISSGAAAAAAIKLAKRPENAGKLIAVIFPSFGERSLSSEVFDSIRHEVENMTIDSDVITSLL >Manes.09G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35273416:35276660:1 gene:Manes.09G157300.v8.1 transcript:Manes.09G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYCNIKNDITELIGNTPMVYLNKVVDGCVAQIAAKLEMMTPAYSVKDRIAYSMIKDAEDKGLITPGKTVLIEATGGNTGIGLAAVAAMKGYKLIIVMPASMSLERRIVVRAFGAELYLTHPTKGIKGAIQKAEEMLSQTPDGYILNQFDNPANPQVHYETTGAEIWRDSGGKVDALVAGIGTGGTVTGAGRFLKEKNPEIKVYGVEPVENAILSGGRPGPHLIQGIGPGIIASVMDVELLDEIVQVSSEEAIETTKQLALKEGLLVGISSGAAAAAAIKLAKRPENAGKLIAVIFPSFGERSLSSEVFDSIRHEVENMTIDSDVITSLL >Manes.17G103600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31116598:31119334:-1 gene:Manes.17G103600.v8.1 transcript:Manes.17G103600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKDQGGEDAGMLGEDPGNSFWIISNSLQPKKLGACQSNGKEDDREYVCGSDNNPRKKRMLEESGNSFRFACNQPPATAIACETNRAEDRVDGFTSVEPQDADYSEVPQLNDEIENQILARVPRSESWKFPLVNKRIFALMKSGELFKIRRELGVRESSVFIFTTGDSGWWAFDRQFTSRRKLPDLPADSCFSSGDRESVCAGTHMIISGREIDGVVVWRYELETNSWRRGPSMIESRCLFASASCGPFAFVAGGVTETGAILNSAEKYNSDTKTWESLPMMQEKRRLSSGCYMDNKFYVIGGRNEEGRCLTCGEAYDEDKKTWELIPDMLEDTPVATFHSPPLVAVVNNELYSLETSSNVLKVYSKRSRTWRKLGPVPVRADSSRGWGVAFKSLGNELLVIGSSKSVSYSGDSMAIYTCSPDAEAEELQWRPLECGGRNRLSNFILNCSVMVA >Manes.17G103600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31116635:31119334:-1 gene:Manes.17G103600.v8.1 transcript:Manes.17G103600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKDQGGEDAGMLGEDPGNSFWIISNSLQPKKLGACQSNGKEDDREYVCGSDNNPRKKRMLEESGNSFRFACNQPPATAIACETNRAEDRVDGFTSVEPQDADYSEVPQLNDEIENQILARVPRSESWKFPLVNKRIFALMKSGELFKIRRELGVRESSVFIFTTGDSGWWAFDRQFTSRRKLPDLPADSCFSSGDRESVCAGTHMIISGREIDGVVVWRYELETNSWRRGPSMIESRCLFASASCGPFAFVAGGVTETGAILNSAEKYNSDTKTWESLPMMQEKRRLSSGCYMDNKFYVIGGRNEEGRCLTCGEAYDEDKKTWELIPDMLEDTPVATFHSPPLVAVVNNELYSLETSSNVLKVYSKRSRTWRKLGPVPVRADSSRGWGVAFKSLGNELLVIGSSKSVSYSGDSMAIYTCSPDAEAEELQWRPLECGGRNRLSNFILNCSVMVA >Manes.17G103600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31116598:31119334:-1 gene:Manes.17G103600.v8.1 transcript:Manes.17G103600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKDQGGEDAGMLGEDPGNSFWIISNSLQPKKLGACQSNGKEDDREYVCGSDNNPRKKRMLEESGNSFRFACNQPPATAIACETNRAEDRVDGFTSVEPQDADYSEVPQLNDEIENQILARVPRSESWKFPLVNKRIFALMKSGELFKIRRELGVRESSVFIFTTGDSGWWAFDRQFTSRRKLPDLPADSCFSSGDRESVCAGTHMIISGREIDGVVVWRYELETNSWRRGPSMIESRCLFASASCGPFAFVAGGVTETGAILNSAEKYNSDTKTWESLPMMQEKRRLSSGCYMDNKFYVIGGRNEEGRCLTCGEAYDEDKKTWELIPDMLEDTPVATFHSPPLVAVVNNELYSLETSSNVLKVYSKRSRTWRKLGPVPVRADSSRGWGVAFKSLGNELLVIGSSKSVSYSGDSMAIYTCSPDAEAEELQWRPLECGGRNRLSNFILNCSVMVA >Manes.02G109800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8518001:8524061:1 gene:Manes.02G109800.v8.1 transcript:Manes.02G109800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLMNFLRACWQPSSERYVHAGSDAAGRQDGLLWYKDTGQHLSGEFSMAVVQANNLLEDQSQLESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFTSEHQSMSVDVIKKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICGGTLYTANLGDSRTVLGRLVKATGEVLAIQLSSEHNVAIESVRQEMHSMHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKRPILSSEPSISVHELQPHDQFLIFASDGLWEHLSNQDAVDIVQNHPRNGIARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPSISVRGGGVTLPAKTLAPCGTPVET >Manes.02G109800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8518001:8524061:1 gene:Manes.02G109800.v8.1 transcript:Manes.02G109800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLMNFLRACWQPSSERYVHAGSDAAGRQDGLLWYKDTGQHLSGEFSMAVVQANNLLEDQSQLESGPLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFTSEHQSMSVDVIKKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICGGTLYTANLGDSRTVLGRLVKATGEVLAIQLSSEHNVAIESVRQEMHSMHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKRPILSSEPSISVHELQPHDQFLIFASDGLWEHLSNQDAVDIVQNHPRNGIARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPSISVRGGGVTLPAKTLAPCGTPVET >Manes.05G033400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2812768:2815679:1 gene:Manes.05G033400.v8.1 transcript:Manes.05G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNMDISTALMLFSAVTAYLLWFTFISRSLKGPRVWPLLGSLPGLIENCDRLHDWICDNLSACGGTYQTCICAIPFLAKKQGLVTVTCDPRNIEHILKTRFDNYPKGPTWQAVFHDLLGEGIFNSDGDTWLFQRRTAALEFTTRTLRQAMVRWVTRAIKLRFCPILETAQLKGEAIDLQDLLLRLTFDNICGLAFGKDPQTCAPGLPDNSFSSAFDRATEASLQRFILPEVLWKFKKWLRLGMEVSLSRSLTQLDDYLTAVIEARKKELLHQQKDENPHDDLLSRFMKKKESYSDTFLQHVALNFILAGRDTSSVALCWFFWLIMQNPSVEEKVLSEISTVLIETRGDDVSRWVDEPLEFHEIDRLIYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYATGRMRSTWGDDCLEFRPERWLTPDGKQFILHDSYKFVAFNAGPRICLGRGLAYLQMKSVAAAVLLRHKLTVKPGHKVEQKMSLTLFMKDGLKVKVQKRDLKEIVERAKRKEIGVRCNDVSGSEEEEVVGVV >Manes.03G140566.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26835430:26836143:-1 gene:Manes.03G140566.v8.1 transcript:Manes.03G140566.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTDESPISSPKCFIKLSLLCVAVFVPSLIFVCFLTYGFSPFLVSISILLVSTTLILTFSKIRMITVGNPTRDDEVSMCSPKNPLVKEGEKMLNPEVEAVTQCNGAQQNEVTDNHEYQVEPTDFPSASESGDDFSESENFRLNWLSFNNMGKNVAISESSFSSDNNEDEDNLIEISFPDNISVELNEELEEKLQTEFLPESIFRQDGLMELLADMNEVNEEDNLIEIDLFMGSIKG >Manes.12G134000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34093643:34095388:-1 gene:Manes.12G134000.v8.1 transcript:Manes.12G134000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDQILVKYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDVKRGKFSQEEEQTILNLHSVLGNKWSAIASHLPGRTDNEIKNFWNTHLKKKLIQMGFDPVTHRPRTNIFSNLPHLIALANLKELIDHHSVEEHAVRLQAEAVQMAGFQYLQYLLQPQSQPHPPASVVTSSNYVANGSSGFSDMETSNLLNSLASINDGPVSSSLALPGLSDSISFSHLPDLQIPCSYQTPLSKNNSTDKANHPEFTVFSQGAQNSPKSPWLPSSSSTPSPPSTGAPTLTQTTMWEASSSSSSFGGEASTIWQGLLLEDSLFKAIA >Manes.18G102634.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9804292:9807141:-1 gene:Manes.18G102634.v8.1 transcript:Manes.18G102634.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGATLAFVLDKLYSIFQVGVHHFSGVHEDVKFIRRKLDEIWAFHRAAATGERMDPELQKFVGKLRDVLHCTEDVLNQLPVHAANNNGCGFHSFLLKIYTSFKKQNVYHQNAANIHRIKLEIDTILDQLPKYDISKKGKGSSSTSAAETWIDQREQALLLEEQELVGIENHREQLITWLADGDSKLKIIAICGMGGLGKTTLAKKVYDDSRVKKHFHFHVWITVSESFKITDLLKDMMQQLYAEFKQPVPQEVETMKSTKLKEVVKNFLRQGSYILVLDDVWSLGAWNSIKSVLPRGNGGRVMLTTRDAAVSSTSSKEFNGHNFELKPLSAKESEELFNKRTFKKEICPPYLQKVSQSILEKCEGLPLAIIAISGLLFTKNKSLPDEWEMVDRSLGAELRDNNEIEFMKEILFLSYEKLPYIVKSCFLYFSIFPEGHPIECMRLIRLWVAERFAEVMEERTAEEVAQGYLKMLLDRNLIQVAKRTSDGRVKTCRVHDILHKISILKSKDQNFSAVAKQQDEVWPETVRRLSIHNTMQNIKQIKTFSQLRSLFMFGLTDSLSSMHTSFPESFGMLNVLDLQGAPLEIFPAEIVKLSLLRYLSLKNTMVGIIPPSIGKLRNLQTLDLKHSYVSKLPITILKLKKLHHLLVYRYEFEPYAHFNYKYGFEAPAKIGELKNLQKLCFIEANKESHIIMTELGKLKELRRLGITKLRREDGKVLCSSIQKLSKLRALSITAVKEEEIIDLQCQFSPPQHLQRLYLTGRLEKLPEWITMVNRLVKLFLKGSRLKQDPFTDLQHLPNLIHLELLQAFDGEIVSFATGGFQKLKILGLDKFNDLKSIHVEKGAMPRLEKLIIQRCNSLRKVPSGIEHLTKLKVLEFFEMPDELIRTLSPNEQGEDFSRVAHIPQVNSSYWRGGGVWDIRPLQMYSEGESSSSHEIAKNSHEPLNCWK >Manes.18G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10973013:10993356:-1 gene:Manes.18G110600.v8.1 transcript:Manes.18G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHLKSYDYFYVSSAQKPMKDQLLAADQFDKKRNHRQIIDNQENSHVSTELTLSCWFPNMIKKPRTTRISSSFSLHISIVGKKEVSTQLKLFDETWVADHDASATRKEPDGVSKESSELKPLARDTANQIIYSPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNLCRLLVASALVKDHILPFMSSETLQKIRGEGAEFCFWDFDTKTELNVALKYWHTSKSYIFNKGWLNNFVKRRNLVEGDLIGIYWDSREKIFNFSVLERAYEVYP >Manes.14G020800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2058464:2061603:-1 gene:Manes.14G020800.v8.1 transcript:Manes.14G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVVLYSTPAVGHLVSMVELGKLILSHRSSISIHIFITAAPYSAGSTASYIAEVAAAVPSISFHHLPTVTLPSTAASHHETLTFEVIRLSKPHLRQALLSISKSHSINAVIMDFFCADSLSVASELNIPGYFFFASGAACLALLLYFPTIHENTTKSFKDLNTFLHVPGAPPVLSSDMPSPTLDRYDKAYEYFLELATSFPKSAGIIANTFELLEARAVKAISDGLCVPTTNTPPLYCIGPLIAAKNESDGVPECLTWLDSQPSQSVVFLCFGSLGLFSKEQLTEIAIGLERSGQRFLWVVRNPPSNNQSLAISPLADPDLESLLPDGFLDRTREKGLVVKSWAPQVAVLNHDSVGGFVTHCGWNSVLEAVYAGVPMVAWPLYAEQRFNRLLLVEEIKIALPMKESEDGFVTAVEVEKRVRELMDTEAGNSIRERTITMKNEAKAAVSEGGSSRVALSKLVESWKSK >Manes.13G082300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14726317:14735106:-1 gene:Manes.13G082300.v8.1 transcript:Manes.13G082300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTTSSSGAAAAARRLIGCRRRTEVTPLPSPPDSPPPKKLKPMSEILAKAKYAVVERNDYNDVSCEQCGSGDLDDELLLCDKCDKGFHMKCLRPIVARVPIGSWLCPMCSGQRRVRRLSQKKIIDFFRIRNCNCKKDKCSSPLEMKKRRRRSGSLVYQKKRRRLLPFISSQDHSERLKQMGTLASALTALQMEFSNDLTYMPGMASTSANQSKFEDGGMQVLSKEDIETLEQCRAMCRRGECPPLMVVFDACEGFTVVADGLIKDMTLIAEYTGDVDYIRNREHDDCDSMMTLLLAEDPSRSLVICPDKRGNIARFINGINNHTPDGKKKQNCKCVRYSVNGECRVVLVATRDIAKGERLYYDYNGYEHEYPTQHFV >Manes.18G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1457321:1459760:-1 gene:Manes.18G012700.v8.1 transcript:Manes.18G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTLSIPLFSMFFAYSLISGVVSTTFTITNKCDYTVWPGILSNADAPALSTTGFALQKGETKTITAPASWGGRMWARTLCTQDSTGKFSCLTGDCGSGKLECSGSGAAPPATLAEFKLDGYGGMDYFDVSLVDGYNLPLLVVPQGGSGQNCSSTGCVVDLNGSCPSELKVTSSAGDSVACKSACEAFRQPQYCCNGAYGTPDTCKPSSYSEIFKNACPHAYSYAYDDKTSTFTCMSADYLITFCPTPSTSQKASQGQNTDGTNTNTNTINSPMVYEGAALDQSGATPSTRSNVFGSHAIAGMVTITVAIWQLRHLL >Manes.18G012700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1458530:1459760:-1 gene:Manes.18G012700.v8.1 transcript:Manes.18G012700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLTLSIPLFSMFFAYSLISGVVSTTFTITNKCDYTVWPGILSNADAPALSTTGFALQKGETKTITAPASWGGRMWARTLCTQDSTGKFSCLTGDCGSGKLECSGSGAAPPATLAEFKLDGYGGMDYFDVSLVDGYNLPLLVVPQGGSGQNCSSTGCVVDLNGSCPSELKVTSSAGDSVACKSACEAFRQPQYCCNGAYGTPDTCKPSSYSEIFKNACPHAYSYAYDDKTSTFTCMSADYLITFCPTPSTR >Manes.10G004800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:692125:693901:1 gene:Manes.10G004800.v8.1 transcript:Manes.10G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVSGWELKLLHFRKINMGLRTLPLSPSQNCCFPPQVGVTQKCLNYHCRLHQSFKFRVLAKSENSKEQPKKSKQSLFSSVTEALDFSQVRSAKDAELLDEARENTRSGGRMTREQYGALRRKIGGTYKDFFKSYIEVDGQYVEEGWVDKTCKVCKKDTGGEPRQVDKFGRYVHVACLEKANSGNFFTRLFSR >Manes.05G143100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24406683:24411240:1 gene:Manes.05G143100.v8.1 transcript:Manes.05G143100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRYSRIQARKWWTLSMALRILFILTVVLLMLLGLGIFSLPISSDDAPPNDLTTSYRRLAAERDDNGLGNRGEQWTEILSWEPRAFLYHNFLSKEECEYLIALANPHMTKSTVVDSKTGRSKDSRVRTSSGMFLRRGRDKIIRSIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHYDYFLDEFNTKNGGQRTATVLMYLSDVEEGGETVFPAAKGNISSVPWWNELSECGKQGLSVKPKRGSALLFWSTSPDATLDPSSLHGSCPVIKGNKWSATKWMHLGEYKI >Manes.05G143100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24406684:24411901:1 gene:Manes.05G143100.v8.1 transcript:Manes.05G143100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRYSRIQARKWWTLSMALRILFILTVVLLMLLGLGIFSLPISSDDAPPNDLTTSYRRLAAERDDNGLGNRGEQWTEILSWEPRAFLYHNFLSKEECEYLIALANPHMTKSTVVDSKTGRSKDSRVRTSSGMFLRRGRDKIIRSIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHYDYFLDEFNTKNGGQRTATVLMYLSDVEEGGETVFPAAKGNISSVPWWNELSECGKQGLSVKPKRGSALLFWSTSPDATLDPSSLHGSCPVIKGNKWSATKWMHLGEYKI >Manes.05G143100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24406684:24411901:1 gene:Manes.05G143100.v8.1 transcript:Manes.05G143100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRYSRIQARKWWTLSMALRILFILTVVLLMLLGLGIFSLPISSDDAPPNDLTTSYRRLAAERDDNGLGNRGEQWTEILSWEPRAFLYHNFLSKEECEYLIALANPHMTKSTVVDSKTGRSKDSRVRTSSGMFLRRGRDKIIRSIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHYDYFLDEFNTKNGGQRTATVLMYLSDVEEGGETVFPAAKGNISSVPWWNELSECGKQGLSVKPKRGSALLFWSTSPDATLDPSSLHGSCPVIKGNKWSATKWMHLGEYKI >Manes.05G143100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24406829:24411015:1 gene:Manes.05G143100.v8.1 transcript:Manes.05G143100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRYSRIQARKWWTLSMALRILFILTVVLLMLLGLGIFSLPISSDDAPPNDLTTSYRRLAAERDDNGLGNRGEQWTEILSWEPRAFLYHNFLSKEECEYLIALANPHMTKSTVVDSKTGRSKDSRVRTSSGMFLRRGRDKIIRSIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHYDYFLDEFNTKNGGQRTATVLMYLSDVEEGGETVFPAAKGNISSVPWWNELSECGKQGLSVKPKRGSALLFWSTSPDATLDPSSLHGSCPVIKGNKWSATKWMHLGEYKI >Manes.02G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:524484:525197:1 gene:Manes.02G003900.v8.1 transcript:Manes.02G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRSPKVLVLYAFQLFVLVQIQVSCYQFKVGDLDAWGIPTSANPQVYSFWSKYHTFQIGDSLLFLYPPSQDSVIQVTEQNYISCNLTAPLLYMKNGNSLFNITTHGVFYFTSGIPGHCEKKQKLRISVLSGNGSAYSPSYGPSALPDSAAPSYPTVFGTMPLPPSSSPANRFSILLSAVIGAGISAVINAIV >Manes.15G176636.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:21860588:21863452:-1 gene:Manes.15G176636.v8.1 transcript:Manes.15G176636.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQRTKRLSKSCLLLIVIAGIERFAFKGVASNLVTYLTDVVKMSNSNSAKTVNNWIGFTSMLPLLVASVADSCWDKYPTILASSFIYVVGLVALTSTALSWAWYPSNNLSSSFLFSSLCLISLGLGGYNPSLQAFGADQLETDEELPSSKDDRTSDKKSRFFQWWYFGVCGGSLMGVTVMSYIQDTFGWVLGFAIPTIAMVSSIGLFWCGSRMYVYKHDDDDDNIDKRPFGNVVQVIKETASKFISCRIKLSSSSSSEVVELELQEKPLCPENLGKVKDLNDNPRSSIYPLGNAKVVLRLLPIWTMLLMFAVIFQQPATFFTKQGVTMKRNIGSDFKIPPATLQSAITVSIILLMPLYDTILIPITRIITRDEKGINVMQRMGIGMVLSIIAMVIAALVETKRLEMSKKLPHSETVPLSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPARMKTMGIALYTSVFGVGSFLSALLISLVEIYTSSRGRQSWFSDNMREAHLDKYYWLLALLSTLSMLLYAILCKFYSRSELNNENCK >Manes.S052116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1794583:1794717:1 gene:Manes.S052116.v8.1 transcript:Manes.S052116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.18G113801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11543233:11545927:1 gene:Manes.18G113801.v8.1 transcript:Manes.18G113801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNFVAVEEDTLDNHGVAWWRGPLLGKGGSGSVYLAYLKKPKSRNAFYRRVMAVKSADVSSSSLLQKEKEAFNHLHDCPYIIECYGEETTVSKNGQMVYNLLLEYTRSILKGIDYIHSHDYVHRDLKPENVLLVPSGSGDFVPKIADFGLAKKVQKTKRRMFDSSIAGTILYMAPETLVDNIQESPSDIWALGCIVYEMFTGKPIWGLDPNESTEELCKRIVDRFKLPEIPSGISKDGKDFLKGCLVKNHKFRFSIEMLLNHPFVSGILDDRGNELCDWSCTEEWSSVSEEGSCCCCWSDVAEGSNGREF >Manes.18G113801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11543233:11545927:1 gene:Manes.18G113801.v8.1 transcript:Manes.18G113801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNFVAVEEDTLDNHGVAWWRGPLLGKGGSGSVYLAYLKKPKSRNAFYRRVMAVKSADVSSSSLLQKEKEAFNHLHDCPYIIECYGEETTVSKNGQMVYNLLLEYTRSILKGIDYIHSHDYVHRDLKPENVLLVPSGSGDFVPKIADFGLAKKVQKTKRRMFDSSIAGTILYMAPETLVDNIQESPSDIWALGCIVYEMFTGKPIWGLDPNESTEELCKRIVDRFKLPEIPSGISKDGKDFLKGCLVKNHKFRFSIEMLLNHPFVSGILDDRGNELCDWSCTEEWSSVSEEGSCCCCWSDVAEGSNGREF >Manes.16G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4914078:4917884:1 gene:Manes.16G038300.v8.1 transcript:Manes.16G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKLALSAPSLSPPSSSLKPNASRSSLGFASSSSPSLKSLVSRVHTRQIASSSGSSSLSVKMVSVPSIAQTSLDFDTSVFKKEKVTLAGHDEYIVRGGRDLFPMLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSRSFAEARAAGFTEESGTLGDIWETIAGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSSGLHFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGVVECLFRRYTENGMNEDLAYKNTVECITGIISKTISTKGMLAVYNSLSEEGKRDFETAYSASYYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRATRPKGDLGPLYPFTAGVFVALMMAQIEVLRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQAFVAVDAGAPLNQDLISNFLSDSVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSGN >Manes.S003597.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:14805:15179:1 gene:Manes.S003597.v8.1 transcript:Manes.S003597.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.10G001200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:571073:572735:-1 gene:Manes.10G001200.v8.1 transcript:Manes.10G001200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSHRPHRLLLQTESSTPAAPANGSRSRNSYASEANFDTNVVIILAALLCALICALGLNSIVRYALRCSRRFGFETPDEAASRLASTGLKKSALKQIPVVIYGAAGIHMTATDCAICLGEFLDDEKVRVMPKCSHGFHVRCIDPWLESHSSCPTCRQSLIEQPARASSDGAEAAGSVSGIRIHGNGAAVQSNVPMAAD >Manes.03G083290.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14830365:14852896:1 gene:Manes.03G083290.v8.1 transcript:Manes.03G083290.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDLTPRIAPNLDRHLVFPLLEFLQERQLYPEEQIFKSKIELLSKTNMVDYAMDIHKSLYHTEDVPQDMIERRAEVVARLKALEEGAAPLVAFLQNVNAVQELRADKQYNLQMLNDRFQIGPKQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQMQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLSTAFIVNKRRRPQFKDFIKVLQQEQQSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEDSNFSTVPMRDEFLENARLFIFETYCRIHQRINMGVLAEKLNLNYEEAERWIVNLIRNSKLDAKIDSQSGTVIMEPNQPNVYEQLIDHTKAISGRTYKLVGQLLEHAQAQAVR >Manes.01G262404.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41775348:41775889:1 gene:Manes.01G262404.v8.1 transcript:Manes.01G262404.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLASEAPPPVPLADLILSLEQAALMAKQLPSTTDPTHILQIYSSLYQAHHHLSSSISQTQFPRFPPPPLLAAIPPEDSLSSATGADQVGDDDYEAGTEENCKGTIDRVEEKMRECFIKNKRPKRPLSPSSMAERGMVDDVDARGVNGFDPHGTRLRALDLIYQFHG >Manes.07G108400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31436625:31439751:-1 gene:Manes.07G108400.v8.1 transcript:Manes.07G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSVGANRSRPGKRKAMNPRYRSQLLMRRNDMNASGEKGEVLQHPELKMFRFSELKAATLDFNQNNVVDQGGYGPVFKAWINLDTLTAASPETGMLVAVKMLDQNGSHDLQEWLTEIKQQAPLCHPNIVKLIGYCLENAHWLLVYQYMPNGSLENHLFTRGNLSWKQRIEIAVGAARGLAFLHNEADVVFRDFHTSNILLDLNFNAKLSHFGLTKDGPSDAKSHITTRVLGMEWYLAPEYINTGHLRKSGDVYSYGVVLLEVLSGRRAMEMNMETPERNLVKWAKPWLNHKRKFLNVVDPRLNGQFSSTDVLKAGQLAYQCLSSDPNQRPKMDAVVKALEQLASSLPSERDTSAF >Manes.10G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2098788:2099368:-1 gene:Manes.10G021300.v8.1 transcript:Manes.10G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVLAAFVFLLIIVAMSHDQHPAGGGEARALSLKQVNSTNFFATLGLECKCCDGDEGECRSSWQLSCSNLQCKPWKSY >Manes.12G086300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13437707:13454816:-1 gene:Manes.12G086300.v8.1 transcript:Manes.12G086300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKALAKSKRAHSLHHSKKPQAAQKSKAPSGGANVAGSGNKALGKQAKEKARQSGLPSNWDRYEEEFGSDSEDPSGNTTNKASDVILPKSKGADYRYLLAEAQSQYQSDSHLDSFPSLDDILPGDFKLGLQSMLSVRGESILSWIGDDNFVVEDETAANPEVPFLSLNLNALAEQLAKVDISERLFIEADLLPAELTGNGSNTNGRESDRMHTSETDATSTVTEELTFEDFSKKVENQNIEVTTSGSIVSGHLNVNSLNEGLDLLNQTKNSSQESINCQRSGLEFPTAFNMSSVSEPEKKPSVFEASAAEAELDMLLDSFNETKLLDSSGFSPAAIPVYQKEAPIARPHTRNTPSSSKTTPVSAKLDDVLDDLLEETSILSNKKDSYQLTKVTASNDETQSSSSRTGTKSKVLDDFDSWLDTI >Manes.12G064300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6833098:6835813:1 gene:Manes.12G064300.v8.1 transcript:Manes.12G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEEEDSSTVSSGRDEEEEPWNLRKQTLILQVSQSLINGDLQTQIQAARDIRKLVRKSSAKTRSKFAAAGVIQPLVFMLLSSNLDARQASLLALLNLAVRNERNKVKIVTAGAIPPLVELLKLQNNSLRELAAAAILTLSAAEPNKPTIAASGAAPLLVQILNSGSVQGKVDSVTALHNLSTCPKNSHPIVDAKAVSPLIKLLKECKKYSKFAEKATALLEVISSSEEGRTAITETDGGILTLVETVEDGSLVSMEHAVGALLSLCQSNREKYRELILKEGAIPGLLRLTVEGTSEAQERARTLLDLLRDTPQEKKLASSILERIVYDIAARVDGSDKAAETAKRLLQDMVQRSMELSMDRIQHCAAACTSSISST >Manes.01G041151.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8251777:8251875:1 gene:Manes.01G041151.v8.1 transcript:Manes.01G041151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIPTSLTDSSLALFSLAISASDPWPFPLIL >Manes.15G043900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3393151:3397756:-1 gene:Manes.15G043900.v8.1 transcript:Manes.15G043900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLREIIRSSDTKPIDTSKNSDTMRTLCNCVVTLSWRSPTGAPSDVCHWADGFPLNLHMYTCLLQAIFDFRDETLVLDEVDELVELMKKTWSTLGINRPIHNLCFTWVLFQQYVLTSQTEPDLLYAAHAMLSTEVANDAKKPDREAIYVKLLSSMLASMQGWAERRLLHYHDYFQRGNIFLIENLLPLALSASKILGEDVTLIEGTGKEDWKIVDSSGDRVDHYIRASIKNAFARIIETGSYKRTCVEVKDEASEALLQLAKETEDLALRERESFSPILKKWQPIAASVGAVTLHQCYGVVLKQYLAGMSSLNCESVEVLQRAAKLERFLVQMVVGDSADCEDGGKSIVREMIPYEVDAVIMRVMKQWVDERMKKGKECFVRAKDTETWNPKSKSEPYAQSAVELMKFAKETVEEFFETPVGITDDLVSELAEGLEHLFLKYIKFVEACGSKQSYVPTLPPLTRCNRDSKFFKLFKMTTPCSVSTEEMQREGVAEAHHPRPSTSRGTQRLYIRLNTLHYLLSHLHSLDKSLSLAPTTISSGRTRHTSHRRNRSTASSFFEGAYSSIQSVCQHVSEVAAYRLIFLDSNSVFYETLYVGDVAHARIRPALRILKQNLTLLTAILTDRAQALALREVMKATFEAYLMVLLAGGYSRMYFRSDHPMIQEDFENLKRVFCTCAEGLMNEELVEKEADIVEGVIKLMGESTEQLVEDFSIVSCETSGMGAVSAGQKLPMPPTTGRWNRADPNTILRVLCYRNDKAANQFLKKSFQLAKRK >Manes.05G200100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32471518:32473128:1 gene:Manes.05G200100.v8.1 transcript:Manes.05G200100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQFSFARARTTPTSSFLQNMCFYSAPSSPTRGMLAATSDLSTRTTNTITTSTKACEDLSSNFDDFEFETSRRFIINDDFKDDDSDSETQKSEVTVDYQQEEGKKFQREHHESLPVMAFADELFYDGKVMPLNPPPCQQYNFDKYSSCPTSPSNSSSLFKIPFARRSLWNDDFDPFMVALETVKEGNHRRALSTSPLRSCTHWNHDEFMDYLNENCLHASPLVPSPSKQMEPNGSATPMWTAKEGSKTPIKLAEPKGVLFARRARMVKMGYENKPTIVSPTPNVQAGENTGLGSTSSNKWHRIMSFRLESSSMSRTRNEHKHRDQNVEFPMPKIIRRLSFRSKKLVHRNEAKEVSQMTKLSLVSYRPKLLLCMGYGAKYTK >Manes.05G132500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:15158655:15164822:1 gene:Manes.05G132500.v8.1 transcript:Manes.05G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRTPGTPASKIDRTPALTPGGLKAKEEKIVVTVRLRPLNKKEQLAKDQVAWECLDDKTIVFKPPTQERTAQQTPFSFDRVFGPTCLTENVYEEGVKNVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYKHIMNTPERDFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTMVEKLIEETASNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHVEQSRNTLFFATRAKEVTNNAHVNMVVSDKQLVKHLQKEVARLEAELRTPDVSKEKDMKIQQMEMEIEELRRQRDLAQSQVDELRKKIQEDQNASLSTSESPRPSVKKCLSYSDALLPKLDSKELSGCDRTRKNLLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMQSIQPVPKEVEIGCVVAPNRSVSANLKEEITRLHSQGSTIANLEEQLENVQKSIDKLVMSLPSNNTQSNCEATSKAKNQPKRKKILPLASSNGANRQNFIRSPCSPLSTSQQILENDIENKAPGNEDVISPEPPQPQPEKETPTKSEECGDVSSKEGSGYRRSSSVNMKKMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNIEDEENINEPETQVSWQVTFKDQRQLILELWDMCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLTWLQQHLTEIGNASPARFGDEPTISLSSSIRALKREREFLAKRLTSRLTVEERDALYMKWDVPLVGKQRKLQFVNKLWTNPHDASHVQESAEIVAKLVGFCEGGNMSKEMFELNFASPMDKRPWMMGWNPISNLLHL >Manes.03G075850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:12859601:12860272:1 gene:Manes.03G075850.v8.1 transcript:Manes.03G075850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTVREVTEPIRTTLPPLQRHRAEPTPTRGSLAIVAIAMGCAISRSRVISLLPRPRSGSMRPTTSSVVQTRRYAWQACDAGDIRTMPSSESRGAETETHSVS >Manes.05G121200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20302234:20304299:1 gene:Manes.05G121200.v8.1 transcript:Manes.05G121200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQGAIKKHVLVLPLPLQGHINPMLQFSKRLASKGLKVTLLTFTDKPSTKGEDGLISFESLSNTSEESRTDKDGDDYMKKLQYMVTLKLPEIVAKHEESDFPVTCLIYDSILPWVLELARKVGISPAPFFTQSCAVCAIYYAVHEGNLKIPIDDKAFVLLQGMPALEAYDLPSFVYDLEKYQGVLNYLASQFSNIGEVDWIFYNTFDILEQEVASWMTTKCPIRLIGPTIPSMYLDKILEDDKDYGLNLFQSNNETCLEWLDSKEACSVVYVAFGSIAALGDKQMEELAQALNTSNYYFLWVVRESEEKKLPRKFVQETSEKGLILTWCPQLKVLAHRSVGCFMTHCGWNSVLEALSLGVPMVAMPQWTDQPTNAKFIADVWQVGVRVKMDEEGIVGKEEIEQCVRQVMEGETANEMRKNCQKWKKLAKEAVDEGGSSDKNIENFVEELKCSSDNSK >Manes.05G031800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2699445:2702392:-1 gene:Manes.05G031800.v8.1 transcript:Manes.05G031800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRALYNDMSRYTCCAGYIPCSGKCGESKCPELCLCTEVFCCFANSVASTRFLLQDEFNIQTTQCDNCIIGFMMCLQQVACICSLVACITGNDEIGDIAEALTCLADLVFCSVCPCIQTQHKIEMDKRDGKFGPQPVMAVPPMQQMSRIDQPTPPPVGYPPQQAYAPYAQPPPPYAQGYPPGGYPPSAYPPPPPGAYPPAGYPK >Manes.05G031800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2699445:2702392:-1 gene:Manes.05G031800.v8.1 transcript:Manes.05G031800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDQVEKMQMRQNYRNFWHTNLLSTVVADTPYCCFALLCGPCASYMLRRRALYNDMSRYTCCAGYIPCSGKCGESKCPELCLCTEVFCCFANSVASTRFLLQDEFNIQTTQCDNCIIGFMMCLQQVACICSLVACITGNDEIGDIAEALTCLADLVFCSVCPCIQTQHKIEMDKRDGKFGPQPVMAVPPMQQMSRIDQPTPPPVGYPPQQAYAPYAQPPPPYAQGYPPGGYPPSAYPPPPPGAYPPAGYPK >Manes.05G031800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2699445:2702392:-1 gene:Manes.05G031800.v8.1 transcript:Manes.05G031800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDQVEKMQMRQNYRNFWHTNLLSTVVADTPYCCFALLCGPCASYMLRRRALYNDMSRYTCCAGYIPCSGKCGESKCPELCLCTEVFCCFANSVASTRFLLQDEFNIQTTQCDNCIIGFMMCLQQVACICSLVACITGNDEIGDIAEALTCLADLVFCSVCPCIQVCNK >Manes.16G074700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27732392:27739292:-1 gene:Manes.16G074700.v8.1 transcript:Manes.16G074700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQNHNPLQWLNLMVSEPYCLFHFLAFFSYFVLRISSSLILDPHIAHRLLHREIQAILVLSVLAAIKWVKEETWEAFIADVLFFAKLLLVAITLILDYHLALWYTVIFSVMYILTQQPAFQGLGTSNKLTPLQLEALLTEGNTSRFWLVEFHALHSSACIRASRCFPELSIIYSTKNLSFGRIDLGLFPNAAEKFGISLSGGMSQLPTYILFENAAEVTRFPEWDFEAKSSHPPVSKRLLYKHFELDRHLVEYINCK >Manes.16G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27731522:27739292:-1 gene:Manes.16G074700.v8.1 transcript:Manes.16G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQNHNPLQWLNLMVSEPYCLFHFLAFFSYFVLRISSSLILDPHIAHRLLHREIQAILVLSVLAAIKWVKEETWEAFIADVLFFAKLLLVAITLILDYHLALWYTVIFSVMYILTQQPAFQGLGTSNKLTPLQLEALLTEGNTSRFWLVEFHALHSSACIRASRCFPELSIIYSTKNLSFGRIDLGLFPNAAEKFGISLSGGMSQLPTYILFENAAEVTRFPEWDFEAKSSHPPVSKRLLYKHFELDRHLVEYINCK >Manes.16G106600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31224047:31230759:-1 gene:Manes.16G106600.v8.1 transcript:Manes.16G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MESLFSLNSSSISPFKPLSLETSKSAISTPRNLSSSVCFTAPTSGSAFSSIGFSRAAMSNPNANGNSKPKIVNGDYGYVLEDVPHLTDYIPGLPTFSNPLQDNPAYSVVKQYFVHVDDTVPQKIVVHKDSPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVKRVLGIDGGYRGFYARNTIPLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGASVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESIENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKSLKENGHMVIVIAEGAGQELLSETMKSTQQDASGNKLLPDVGLWISQRIKEFFSKQKKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFYRIIEKQNKVVITDRMWARLLSSTNQPSFLRDKEVTEDKKEDIAKVKKGEEPENQLMDDGNCADDLIAKKEVSYK >Manes.16G106600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31222597:31230313:-1 gene:Manes.16G106600.v8.1 transcript:Manes.16G106600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MESLFSLNSSSISPFKPLSLETSKSAISTPRNLSSSVCFTAPTSGSAFSSIGFSRAAMSNPNANGNSKPKIVNGDYGYVLEDVPHLTDYIPGLPTFSNPLQDNPAYSVVKQYFVHVDDTVPQKIVVHKDSPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVKRVLGIDGGYRGFYARNTIPLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGASVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESIENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKSLKENGHMVIVIAEGAGQELLSETMKSTQQDASGNKLLPDVGLWISQRIKEFFSKQKKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFYRIIEKQNKVVITDRMWARLLSSTNQPSFLRDKEVTEDKKEDIAKVKKGEEPENQLMDDGNCADDLIAKKEVRNEDNEWVLI >Manes.10G039200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4016864:4025673:1 gene:Manes.10G039200.v8.1 transcript:Manes.10G039200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGNEETAVKSLGGQSGLNIAGVPMKKRRFIWPPSPTFEEQSSLPVENDSLKKEHVSPSRDSELVNASVSASSSVLSDSNKKFAPEENKKPCDSNLSKNSINNSRDRIEEPSTDQSDSLAKLDDDDEKLGTAEKSANILTGIKPLGGVPMKKRRFICPPSPAPEVQSLAVLEYDSVLKEHGRLPQVPALSSDSVAGSSCLSDENKNFFPEANNMVPVSIVQANTINLSRVKIEELHTIQSESLAKLDNAGKLVAAEKSATVLVKYEQTELNSSPNKAPALYFDKEIVEQQIAEGKCKAKYTVSGNPELALGLKDHHVCALEDQIHDAKSCNHHNVETVSLNLSLSNGERTAHCKIDDVQSNIDSANICANRSNWDLNTTMDTWEGSVGDVAVGQVTADGSRMVGVTHHIEPLTTGTVGTGVATEIQIVESECMSSSSKISSQSGQLYNSDDSLHLRLSPSFLSFKNQEPSISSANIDSQAGISISSPRGLLSAGNTVISKTIKSEPLDDNLKNDSGRTKVNLAVPLDFRAVLVKSELVEKFAQEAHKALNFSTVKPVDAKSMKSEPFYDVDLETRKTMEGTSHQECESAGQLAPEIGSEHVSHSGDAINGSATVDVAGPEKKNINDFDQCKLKAMDELPSDAHRNGEGTASDEEKINLSGDMLEEDSYGTEYESDGNSVPMDIEDDGQGLDDYEDGEFREAQLRCVVESPISEKRDDISHGDSDSKMLDSTELHPDVHPTPSSVEGKGINAEQPVEINKDSVEECIDTILDGKPTNDVDKDASREESSAVEISASGADKKKVVKTVRRKPLDLSANNDATKGLGTEQSPDQGTSEGQGTSVAVAQGTVEKVNTNDVEKNDSAIPKLETSINADGATKDVSSGANQSRIINLSIASGMSSGKTKCISGKPLPLHLGRERLPDVPLDPRGRDESYEGSRKFARERYQDQSSRNSRWNCVHGRGRLASRIDSMCSDRDSEHYCIPRHKYASAVAGSDSEFMNYNIGPDGAFVGSLRGGRKVMEDDASIFRHLSSRGHSPGGRDGPASRGFQMVRRVPRRSIGEDDSEVVGLRHAEKIIRGFPDDGEEHAYTRPQPPYEGLDGRFVQGTRNFSSVQRRGLHQMHSKSPVRSRSPGPWSSSRRRSPDGFGGPLELPHRRSPIYRMERIRSPDGPGFPAERVPRRRGSPSYMSRPNDMREVDPCRDHGHPRSIISNRSPTGRVFLRNRRFGVADPRQRPENDEFFEGPMHPGRFHELGGSGNDEERRFGERRAPVRSFRAPFNGADGENFPVNTEDGPRSFRFFPESDSDFHERANLRESEFDRRIKHHPGNAPRRPRNIEEEGNYRHGGQVLYDDSFDEMSRLKRKRF >Manes.10G039200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4016866:4024779:1 gene:Manes.10G039200.v8.1 transcript:Manes.10G039200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGNEETAVKSLGGQSGLNIAGVPMKKRRFIWPPSPTFEEQSSLPVENDSLKKEHVSPSRDSELVNASVSASSSVLSDSNKKFAPEENKKPCDSNLSKNSINNSRDRIEEPSTDQSDSLAKLDDDDEKLGTAEKSANILTGIKPLGGVPMKKRRFICPPSPAPEVQSLAVLEYDSVLKEHGRLPQVPALSSDSVAGSSCLSDENKNFFPEANNMVPVSIVQANTINLSRVKIEELHTIQSESLAKLDNAGKLVAAEKSATVLVKYEQTELNSSPNKAPALYFDKEIVEQQIAEGKCKAKYTVSGNPELALGLKDHHVCALEDQIHDAKSCNHHNVETVSLNLSLSNGERTAHCKIDDVQSNIDSANICANRSNWDLNTTMDTWEGSVGDVAVGQVTADGSRMVGVTHHIEPLTTGTVGTGVATEIQIVESECMSSSSKISSQSGQLYNSDDSLHLRLSPSFLSFKNQEPSISSANIDSQAGISISSPRGLLSAGNTVISKTIKSEPLDDNLKNDSGRTKVNLAVPLDFRAVLVKSELVEKFAQEAHKALNFSTVKPVDAKSMKSEPFYDVDLETRKTMEGTSHQECESAGQLAPEIGSEHVSHSGDAINGSATVDVAGPEKKNINDFDQCKLKAMDELPSDAHRNGEGTASDEEKINLSGDMLEEDSYGTEYESDGNSVPMDIEDDGQGLDDYEDGEFREAQLRCVVESPISEKRDDISHGDSDSKMLDSTELHPDVHPTPSSVEGKGINAEQPVEINKDSVEECIDTILDGKPTNDVDKDASREESSAVEISASGADKKKVVKTVRRKPLDLSANNDATKGLGTEQSPDQGTSEGQGTSVAVAQGTVEKVNTNDVEKNDSAIPKLETSINADGATKDVSSGANQSRIINLSIASGMSSGKTKCISGKPLPLHLGRERLPDVPLDPRGRDESYEGSRKFARERYQDQSSRNSRWNCVHGRGRLASRIDSMCSDRDSEHYCIPRHKYASAVAGSDSEFMNYNIGPDGAFVGSLRGGRKVMEDDASIFRHLSSRGHSPGGRDGPASRGFQMVRRVPRRSIGEDDSEVVGLRHAEKIIRGFPDDGEEHAYTRPQPPYEGLDGRFVQGTRNFSSVQRRGLHQMHSKSPVRSRSPGPWSSSRRRSPDGFGGPLELPHRRSPIYRMERIRSPDGPGFPAERVPRRRGSPSYMSRPNDMREVDPCRDHGHPRSIISNRSPTGRVFLRNRRFGVADPRQRPENDEFFEGPMHPGRFHELGGSGNDEERRFGERRAPVRSFRAPFNGADGENFPVNTEDGPRSFRFFPESDSDFHERANLRESEFDRRIKHHPGNAPRRPRNIEEEGNYRHGGQVLYDDSFDEMSRLKRKRF >Manes.10G039200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4016864:4025673:1 gene:Manes.10G039200.v8.1 transcript:Manes.10G039200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGNEETAVKSLGGQSGLNIAGVPMKKRRFIWPPSPTFEEQSSLPVENDSLKKEHVSPSRDSELVNASVSASSSVLSDSNKKFAPEENKKPCDSNLSKNSINNSRDRIEEPSTDQSDSLAKLDDDDEKLGTAEKSANILTGIKPLGGVPMKKRRFICPPSPAPEVQSLAVLEYDSVLKEHGRLPQVPALSSDSVAGSSCLSDENKNFFPEANNMVPVSIVQANTINLSRVKIEELHTIQSESLAKLDNAGKLVAAEKSATVLVKYEQTELNSSPNKAPALYFDKEIVEQQIAEGKCKAKYTVSGNPELALGLKDHHVCALEDQIHDAKSCNHHNVETVSLNLSLSNGERTAHCKIDDVQSNIDSANICANRSNWDLNTTMDTWEGSVGDVAVGQVTADGSRMVGVTHHIEPLTTGTVGTGVATEIQIVESECMSSSSKISSQSGQLYNSDDSLHLRLSPSFLSFKNQEPSISSANIDSQAGISISSPRGLLSAGNTVISKTIKSEPLDDNLKNDSGRTKVNLAVPLDFRAVLVKSELVEKFAQEAHKALNFSTVKPVDAKSMKSEPFYDVDLETRKTMEGTSHQECESAGQLAPEIGSEHVSHSGDAINGSATVDVAGPEKKNINDFDQCKLKAMDELPSDAHRNGEGTASDEEKINLSGDMLEEDSYGTEYESDGNSVPMDIEDDGQGLDDYEDGEFREAQLRCVVESPISEKRDDISHGDSDSKMLDSTELHPDVHPTPSSVEGKGINAEQPVEINKDSVEECIDTILDGKPTNDVDKDASREESSAVEISASGADKKKVVKTVRRKPLDLSANNDATKGLGTEQSPDQGTSEGQGTSVAVAQGTVEKVNTNDVEKNDSAIPKLETSINADGATKDVSSGANQSRIINLSIASGMSSGKTKCISGKPLPLHLGRERLPDVPLDPRGRDESYEGSRKFARERYQDQSSRNSRWNCVHGRGRLASRIDSMCSDRDSEHYCIPRHKYASAVAGSDSEFMNYNIGPDGAFVGSLRGGRKVMEDDASIFRHLSSRGHSPGGRDGPASRGFQMVRRVPRRSIGEDDSEVVGLRHAEKIIRGFPDDGEEHAYTRPQPPYEGLDGRFVQGTRNFSSVQRRGLHQMHSKSPVRSRSPGPWSSSRRRSPDGFGGPLELPHRRSPIYRMERIRSPDGPGFPAERVPRRRGSPSYMSRPNDMREVDPCRDHGHPRSIISNRSPTGRVFLRNRRFGVADPRQRPENDEFFEGPMHPGRFHELGGSGNDEERRFGERRAPVRSFRAPFNGADGENFPVNTEDGPRSFRFFPESDSDFHERANLRESEFDRRIKHHPGNAPRRPRNIEEEGNYRHGGQVLYDDSFDEMSRLKRKRF >Manes.10G039200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4016886:4024779:1 gene:Manes.10G039200.v8.1 transcript:Manes.10G039200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGNEETAVKSLGGQSGLNIAGVPMKKRRFIWPPSPTFEEQSSLPVENDSLKKEHVSPSRDSELVNASVSASSSVLSDSNKKFAPEENKKPCDSNLSKNSINNSRDRIEEPSTDQSDSLAKLDDDDEKLGTAEKSANILTGIKPLGGVPMKKRRFICPPSPAPEVQSLAVLEYDSVLKEHGRLPQVPALSSDSVAGSSCLSDENKNFFPEANNMVPVSIVQANTINLSRVKIEELHTIQSESLAKLDNAGKLVAAEKSATVLVKYEQTELNSSPNKAPALYFDKEIVEQQIAEGKCKAKYTVSGNPELALGLKDHHVCALEDQIHDAKSCNHHNVETVSLNLSLSNGERTAHCKIDDVQSNIDSANICANRSNWDLNTTMDTWEGSVGDVAVGQVTADGSRMVGVTHHIEPLTTGTVGTGVATEIQIVESECMSSSSKISSQSGQLYNSDDSLHLRLSPSFLSFKNQEPSISSANIDSQAGISISSPRGLLSAGNTVISKTIKSEPLDDNLKNDSGRTKVNLAVPLDFRAVLVKSELVEKFAQEAHKALNFSTVKPVDAKSMKSEPFYDVDLETRKTMEGTSHQECESAGQLAPEIGSEHVSHSGDAINGSATVDVAGPEKKNINDFDQCKLKAMDELPSDAHRNGEGTASDEEKINLSGDMLEEDSYGTEYESDGNSVPMDIEDDGQGLDDYEDGEFREAQLRCVVESPISEKRDDISHGDSDSKMLDSTELHPDVHPTPSSVEGKGINAEQPVEINKDSVEECIDTILDGKPTNDVDKDASREESSAVEISASGADKKKVVKTVRRKPLDLSANNDATKGLGTEQSPDQGTSEGQGTSVAVAQGTVEKVNTNDVEKNDSAIPKLETSINADGATKDVSSGANQSRIINLSIASGMSSGKTKCISGKPLPLHLGRERLPDVPLDPRGRDESYEGSRKFARERYQDQSSRNSRWNCVHGRGRLASRIDSMCSDRDSEHYCIPRHKYASAVAGSDSEFMNYNIGPDGAFVGSLRGGRKVMEDDASIFRHLSSRGHSPGGRDGPASRGFQMVRRVPRRSIGEDDSEVVGLRHAEKIIRGFPDDGEEHAYTRPQPPYEGLDGRFVQGTRNFSSVQRRGLHQMHSKSPVRSRSPGPWSSSRRRSPDGFGGPLELPHRRSPIYRMERIRSPDGPGFPAERVPRRRGSPSYMSRPNDMREVDPCRDHGHPRSIISNRSPTGRVFLRNRRFGVADPRQRPENDEFFEGPMHPGRFHELGGSGNDEERRFGERRAPVRSFRAPFNGADGENFPVNTEDGPRSFRFFPESDSDFHERANLRESEFDRRIKHHPGNAPRRPRNIEEEGNYRHGGQVLYDDSFDEMSRLKRKRF >Manes.14G114600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10816507:10839611:1 gene:Manes.14G114600.v8.1 transcript:Manes.14G114600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRTPPHATESTWDCMLPGPPSRNNFGSTDLSSSGLLAFPSGSSISVVDSRSLQLISTIPLPPPSPSSNSSSSLSPFITSIRWTPLPLHRDLLSTEPSSSHLLLAAADRHGRIALLDFRLKSVILWLDQDPHPKCGVQDLCWILSRPDSYVLASISGPSSLSLYSITSPVRCFFKYEASPEFLSCIRRDPFDSRHFCVLGLKGFLLSIKVLGETEDDISKKELHIPTDCVELARLERDAAGGSSAPASAVYPLYSVKFAFSPQWRHIIFVTFPRELVVFDLQYETSLFSTALPRGCGKFLDVLPDPNNELLYCAHLDGRLSIWRRKEGEQVHVMCTMEEMMPSIGSSVPSPSVLAVTICQSESTLKNVAKLYSDAPNTPFAKIDFDNPFDFHDDTLLLSKTHVISISDDGKIWNWLLTAEGLGDNQKNVTDLGIVNNSRKVPVLWANTNGLASGDGVSCETSKQQENVGGNRTRASSVLSRGSISCKISLVGQLQLLSSTVTMLAVPSPSMTATLARGGNYPAVAVPLVALGTQSGTIDIVDVSANAVAASFSVHNGTVRGLRWLGNSRLVSFSYNQVNEKTGGYINRLVVTCLRSGLNRPFRVLQKPERAPIRALRTSSSGRYLLILFRDAPVEVWAMTKSPIMLRSLALPFTVLEWTLPTVPRPVQNGPSRQFSWSSKDQQPVDPDSASAPKAASSESTASSDASQDDTAESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTTGYSSSFNTHREGIRRIKFSPIVPGDRSRGRIAVLFYDNTFSVFDLDSQDPLANSVLQPQFPGTLVLELDWLPLRTDKNDPPVLCIAGADSSFRLVEVNINDKRSGYGLQPRAIKERFRPMPICSPILFPTPHALALRMILQLGVKPSWFNTCGTAIDKRPHSIPGTASPAADLRSFMIDLPPIGDSVVPEMLLKVLEPYRKEGCMLDDERARLYATIVNKGCAVRFAFAAAVFGETSEALFWLQLPHALKHLMNKLVNKSPQKVPVSASMPEIDDTAMLNRIASKGKLVNGTEKRDLLSNGQLRFMAFQQEELWESASERIPWHEKLEGEVAIQNHVHELVTVGNLEAAVSLLLSTSPDSSYFYSNALRAVALSSAVSRSLHELAVKVVAANMVRTDRSLSGTHLLCAVGRHQEACSQLQDAGCWTDAATLAATHLRGSDYARVLQRWADHVLRAECNIWRALILFVAAGALQEALAALREAQQPDSAAMFILACREIHEEIITNLSNSDDDPGSSVNNLTNLPGLDPENEDVIAVGECFGQYQRKLVHLCMDSQPFSD >Manes.14G114600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10816507:10839611:1 gene:Manes.14G114600.v8.1 transcript:Manes.14G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRTPPHATESTWDCMLPGPPSRNNFGSTDLSSSGLLAFPSGSSISVVDSRSLQLISTIPLPPPSPSSNSSSSLSPFITSIRWTPLPLHRDLLSTEPSSSHLLLAAADRHGRIALLDFRLKSVILWLDQDPHPKCGVQDLCWILSRPDSYVLASISGPSSLSLYSITSPVRCFFKYEASPEFLSCIRRDPFDSRHFCVLGLKGFLLSIKVLGETEDDISKKELHIPTDCVELARLERDAAGGSSAPASAVYPLYSVKFAFSPQWRHIIFVTFPRELVVFDLQYETSLFSTALPRGCGKFLDVLPDPNNELLYCAHLDGRLSIWRRKEGEQVHVMCTMEEMMPSIGSSVPSPSVLAVTICQSESTLKNVAKLYSDAPNTPFAKIDFDNPFDFHDDTLLLSKTHVISISDDGKIWNWLLTAEGLGDNQKNVTDLGIVNNSRKVPVLWANTNGLASGDGVSCETSKQQENVGGNRTRASSVLSRGSISCKISLVGQLQLLSSTVTMLAVPSPSMTATLARGGNYPAVAVPLVALGTQSGTIDIVDVSANAVAASFSVHNGTVRGLRWLGNSRLVSFSYNQVNEKTGGYINRLVVTCLRSGLNRPFRVLQKPERAPIRALRTSSSGRYLLILFRDAPVEVWAMTKSPIMLRSLALPFTVLEWTLPTVPRPVQNGPSRQFSWSSKDQQPVDPDSASAPKAASSESTAASSDASQDDTAESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTTGYSSSFNTHREGIRRIKFSPIVPGDRSRGRIAVLFYDNTFSVFDLDSQDPLANSVLQPQFPGTLVLELDWLPLRTDKNDPPVLCIAGADSSFRLVEVNINDKRSGYGLQPRAIKERFRPMPICSPILFPTPHALALRMILQLGVKPSWFNTCGTAIDKRPHSIPGTASPAADLRSFMIDLPPIGDSVVPEMLLKVLEPYRKEGCMLDDERARLYATIVNKGCAVRFAFAAAVFGETSEALFWLQLPHALKHLMNKLVNKSPQKVPVSASMPEIDDTAMLNRIASKGKLVNGTEKRDLLSNGQLRFMAFQQEELWESASERIPWHEKLEGEVAIQNHVHELVTVGNLEAAVSLLLSTSPDSSYFYSNALRAVALSSAVSRSLHELAVKVVAANMVRTDRSLSGTHLLCAVGRHQEACSQLQDAGCWTDAATLAATHLRGSDYARVLQRWADHVLRAECNIWRALILFVAAGALQEALAALREAQQPDSAAMFILACREIHEEIITNLSNSDDDPGSSVNNLTNLPGLDPENEDVIAVGECFGQYQRKLVHLCMDSQPFSD >Manes.16G043400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6984752:6985757:1 gene:Manes.16G043400.v8.1 transcript:Manes.16G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIYASSFVISLDFSSRTRYLGSEFFSRLFSPSMDNVLIRNTHYNIWWLVGGFARNLAILFPVGSMGWNGL >Manes.15G086300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6625258:6626716:1 gene:Manes.15G086300.v8.1 transcript:Manes.15G086300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKTAKPFELDGTVIKRGTATWLESWRKNNIEEFDCFSINQRKIKRQRSASKVNRDASFAPQLLCMAMGAPMICGSNTFRPNITTPLPPNV >Manes.S036716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:967359:967517:1 gene:Manes.S036716.v8.1 transcript:Manes.S036716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.05G076000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6211698:6213815:-1 gene:Manes.05G076000.v8.1 transcript:Manes.05G076000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSYCQGLQSCLEPARLQVEPCVLRLKLAPPKNNISRCSTPEGKSFVPNSDGESKRSNDNVDMGGWSFLQSLASNTAQSTKEATEKDKIYTPPNFKRSSSMLNEKSLEMCTESLGSETGNDGGESSDEMALISISNLNHESPRDKSKSRETRRMTSSRSASFPPPLTSISGSTTIQVKAHREDGRLVLKAVSLSSCQSYFLSERIDGRLKLHIVKDCSPNPDHEQEQDKVAAEPVKEPSADDEEIEDEEDNWEQEKSKDNIGNGGGEMGIEKLPTPSRCKEGGNGSKSLLNNWGTFWVAT >Manes.05G097600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8987420:8991585:1 gene:Manes.05G097600.v8.1 transcript:Manes.05G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRPVAKLVADGFYKEAISLYSQLHSSSMPPDHFTFPPLLKACAKLKSSLQGQIIHAHLTKTGFHPNLYTFTALSHMYMKLNLFHDALKVFGEMHDRNLASLNAAISGFSQNGYRQEAFLLFREVGLCGFRPNSLTIASVLPACDSAEHCMQMHCCAIKLGVVMDIYVATSLVTMYSNCGEIILATKVYGEMPNRSVVSHNAFLSGLLQNGVPNVALNVFKDMRKCSTVKPNSVTLISVISACACLLHLQFGKQVHGFIKKTKVSCDTMVGTALVDMYSKCGHWKWAYEVFIDLNGNKNLITWNAMITGMMLNGQSDIAVDLFELLESEGLEPDSATWNSMISGFAQLEKGIEAFNFFKKMQFFGIVPSLKSITSLLPACASLCALQRGKEIHGHAIRTNINTDEFMATALIDMYMKCGCSSWGRRVFDQFEIKPKDPAFWNALISGYGRNGENESVFEVFDQMLEEKVEPNSSTFIAVLSACSHTGQVHKGWQVFRIMAIDYALKPKPEHFGCMIDMLGRSGRLDEAVKLVEEMPEPPASVFTSLLGACRHHLQPELGEEMAMKLIELEPKDPTPFVILSNIYARVGRWGDVERIRQLIEDRGLRKLLGYSSIGVT >Manes.05G097600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8987445:8991422:1 gene:Manes.05G097600.v8.1 transcript:Manes.05G097600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRPVAKLVADGFYKEAISLYSQLHSSSMPPDHFTFPPLLKACAKLKSSLQGQIIHAHLTKTGFHPNLYTFTALSHMYMKLNLFHDALKVFGEMHDRNLASLNAAISGFSQNGYRQEAFLLFREVGLCGFRPNSLTIASVLPACDSAEHCMQMHCCAIKLGVVMDIYVATSLVTMYSNCGEIILATKVYGEMPNRSVVSHNAFLSGLLQNGVPNVALNVFKDMRKCSTVKPNSVTLISVISACACLLHLQFGKQVHGFIKKTKVSCDTMVGTALVDMYSKCGHWKWAYEVFIDLNGNKNLITWNAMITGMMLNGQSDIAVDLFELLESEGLEPDSATWNSMISGFAQLEKGIEAFNFFKKMQFFGIVPSLKSITSLLPACASLCALQRGKEIHGHAIRTNINTDEFMATALIDMYMKCGCSSWGRRVFDQFEIKPKDPAFWNALISGYGRNGENESVFEVFDQMLEEKVEPNSSTFIAVLSACSHTGQVHKGWQVFRIMAIDYALKPKPEHFGCMIDMLGRSGRLDEAVKLVEEMPEPPASVFTSLLGACRHHLQPELGEEMAMKLIELEPKDPTPFVILSNIYARVGSNHINPVN >Manes.05G097600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8987445:8991422:1 gene:Manes.05G097600.v8.1 transcript:Manes.05G097600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRPVAKLVADGFYKEAISLYSQLHSSSMPPDHFTFPPLLKACAKLKSSLQGQIIHAHLTKTGFHPNLYTFTALSHMYMKLNLFHDALKVFGEMHDRNLASLNAAISGFSQNGYRQEAFLLFREVGLCGFRPNSLTIASVLPACDSAEHCMQMHCCAIKLGVVMDIYVATSLVTMYSNCGEIILATKVYGEMPNRSVVSHNAFLSGLLQNGVPNVALNVFKDMRKCSTVKPNSVTLISVISACACLLHLQFGKQVHGFIKKTKVSCDTMVGTALVDMYSKCGHWKWAYEVFIDLNGNKNLITWNAMITGMMLNGQSDIAVDLFELLESEGLEPDSATWNSMISGFAQLEKGIEAFNFFKKMQFFGIVPSLKSITSLLPACASLCALQRGKEIHGHAIRTNINTDEFMATALIDMYMKCGCSSWGRRVFDQFEIKPKDPAFWNALISGYGRNGENESVFEVFDQMLEEKVEPNSSTFIAVLSACSHTGQVHKGWQVFRIMAIDYALKPKPEHFGCMIDMLGRSGRLDEAVKLVEEMPEPPASVFTSLLGACRHHLQPELGEEMAMKLIELEPKDPTPFVILSNIYARVGSNHINPVN >Manes.05G097600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8987420:8991585:1 gene:Manes.05G097600.v8.1 transcript:Manes.05G097600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQRPVAKLVADGFYKEAISLYSQLHSSSMPPDHFTFPPLLKACAKLKSSLQGQIIHAHLTKTGFHPNLYTFTALSHMYMKLNLFHDALKVFGEMHDRNLASLNAAISGFSQNGYRQEAFLLFREVGLCGFRPNSLTIASVLPACDSAEHCMQMHCCAIKLGVVMDIYVATSLVTMYSNCGEIILATKVYGEMPNRSVVSHNAFLSGLLQNGVPNVALNVFKDMRKCSTVKPNSVTLISVISACACLLHLQFGKQVHGFIKKTKVSCDTMVGTALVDMYSKCGHWKWAYEVFIDLNGNKNLITWNAMITGMMLNGQSDIAVDLFELLESEGLEPDSATWNSMISGFAQLEKGIEAFNFFKKMQFFGIVPSLKSITSLLPACASLCALQRGKEIHGHAIRTNINTDEFMATALIDMYMKCGCSSWGRRVFDQFEIKPKDPAFWNALISGYGRNGENESVFEVFDQMLEEKVEPNSSTFIAVLSACSHTGQVHKGWQVFRIMAIDYALKPKPEHFGCMIDMLGRSGRLDEAVKLVEEMPEPPASVFTSLLGACRHHLQPELGEEMAMKLIELEPKDPTPFVILSNIYARVGRWGDVERIRQLIEDRGLRKLLGYSSIGVT >Manes.07G106900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31317368:31320955:-1 gene:Manes.07G106900.v8.1 transcript:Manes.07G106900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPSKNIWMRRQQCPCGDWKCYITYEGDCEETSMASQLVKNDTFQSEAMVAPYVGMVFKSDDDAFDYYGNFARRNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPARKKPSGEHHRDRKSVRCGCDAKMYLSKEVVEGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKIVQENDALLSEKRENDTLELLEACKATKEMDEDFIYEYTVDENDKIENIAWSYGDCVRAYTLFGDVIYFDTSYRSITYGMLFGAWFGIESNGKTIFFGCVLLQEETPRSFVWALQAFIRLMKGKCPQTLVTDLDMGLKDAICSELPNTKHVISMWNILPKVYSWFSLAFGTRYAEFKSKFDELYRIESTEDFELQWSQMVSMFGLGSDKHIALLNSLRASWALSYVRGYFLARMATSSYSKSVDAFLKGLCNAQTCLRSFFEQVGVSANFLNHACREMQYMLMKTCIPIEEQARSIFTPFAFNALQHELMLAMQYALSEMADGSYLVHHFKKMDGEHLVIWIPEDEQIHCSCKEFDSSGILCRHALRVFIQKNYFQLPEKYFLSRWRQESSPVLFDDHYARNKDGEWLQEYQSLTETLFTESSITKERTDFVHGELTKELTRLLREVRDMPGSDGVALPLSPTG >Manes.07G106900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31317368:31320778:-1 gene:Manes.07G106900.v8.1 transcript:Manes.07G106900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPSKNIWMRRQQCPCGDWKCYITYEGDCEETSMASQLVKNDTFQSEAMVAPYVGMVFKSDDDAFDYYGNFARRNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPARKKPSGEHHRDRKSVRCGCDAKMYLSKEVVEGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIHEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKIVQENDALLSEKRENDTLELLEACKATKEMDEDFIYEYTVDENDKIENIAWSYGDCVRAYTLFGDVIYFDTSYRSITYGMLFGAWFGIESNGKTIFFGCVLLQEETPRSFVWALQAFIRLMKGKCPQTLVTDLDMGLKDAICSELPNTKHVISMWNILPKVYSWFSLAFGTRYAEFKSKFDELYRIESTEDFELQWSQMVSMFGLGSDKHIALLNSLRASWALSYVRGYFLARMATSSYSKSVDAFLKGLCNAQTCLRSFFEQVGVSANFLNHACREMQYMLMKTCIPIEEQARSIFTPFAFNALQHELMLAMQYALSEMADGSYLVHHFKKMDGEHLVIWIPEDEQIHCSCKEFDSSGILCRHALRVFIQKNYFQLPEKYFLSRWRQESSPVLFDDHYARNKDGEWLQEYQSLTETLFTESSITKERTDFVHGELTKELTRLLREVRDMPGSDGVALPLSPTG >Manes.06G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20479352:20480705:1 gene:Manes.06G068500.v8.1 transcript:Manes.06G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDDCTIRPCLQWIKSPDSQANATLFLAKFYGRAGLINLIEAGPQHLRPAVFRSLLYEACGRIVNPVYGSVGLLWSGNWVHCQAAVDAVLRGTPIMQMPSAPDAPPPNLIDPLKTYDIRHVSKDHNSLELNKVNNQTRFKSPVIKPSSPSDSMCRFNLGELSFEPLGEPWLSQLTNGDSSRDDESMFSVETVEEDPGEPNYGLKSNSPSDDGDEIGLDLTLGLVPVK >Manes.11G112800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:26132324:26133450:1 gene:Manes.11G112800.v8.1 transcript:Manes.11G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQESNQNQPSINETQTPKPDTDLENQRTQRLEEVEVIFDYSKRAQWLRAAVLGANDGLVSTTSLIMGVGAVRKDPKTMILTGIAGLVAGACSMAIGEFVSVYSQYDTEMSQLKRETRSISELEAKKKELPNPWQAAGASAIAFALGAVIPLLGAAFVKDYVARVGVVIGLASLGLLGFGWVSAVLGRAPIVKSSLRVLVGGWLAMAITYGLTKAIGSIGL >Manes.13G002785.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:812991:815453:-1 gene:Manes.13G002785.v8.1 transcript:Manes.13G002785.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRLLILRNPLQLLKVIDLSYSKDLIKTLDFRNVPNLEVLNLEVEGCTSLVEVDRSIVLLTRLVWLNLKGCEILETLPSGNWNLKSLKILNLCGCLKLSKLPEGLVSATSLEVLDAAGIGSGQMTLAKACDLHSNYLVPATRNQKPLAFAFSSPQAMMKLVMSYCAFPQVLNNLSCLWSLGKLNLCGNHVLSIPSSINQLCNLTDVDFSNCRRLESLPALPCNIERLSIGNCTSLQALPDMAQLSKLTDLWMPNCRRLKSLPTLPPNIGWLFIEDCTSLQTLPDLVQLFELINLVIYNCSRLESLPALPSNVESINMQNCTSLQTLPEMVQLCRLASLRCTNYTSLQLLPDLPSNVQHLYMENCTALKHFTICLKNRIWRRVFI >Manes.13G002785.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:812992:815586:-1 gene:Manes.13G002785.v8.1 transcript:Manes.13G002785.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRLLILRNASIFHGLEYLSNELRYLEWHEFPFNSLPSAFQPSKLVELHMHHSNLKQLWEEIKPLQLLKVIDLSYSKDLIKTLDFRNVPNLEVLNLEVEGCTSLVEVDRSIVLLTRLVWLNLKGCEILETLPSGNWNLKSLKILNLCGCLKLSKLPEGLVSATSLEVLDAAGIGSGQMTLAKACDLHSNYLVPATRNQKPLAFAFSSPQAMMKLVMSYCAFPQVLNNLSCLWSLGKLNLCGNHVLSIPSSINQLCNLTDVDFSNCRRLESLPALPCNIERLSIGNCTSLQALPDMAQLSKLTDLWMPNCRRLKSLPTLPPNIGWLFIEDCTSLQTLPDLVQLFELINLVIYNCSRLESLPALPSNVESINMQNCTSLQTLPEMVQLCRLASLRCTNYTSLQLLPDLPSNVQHLYMENCTALKHFTICLKNRIWRRVFI >Manes.13G002785.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:812997:815586:-1 gene:Manes.13G002785.v8.1 transcript:Manes.13G002785.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRLLILRNASIFHGLEYLSNELRYLEWHEFPFNSLPSAFQPSKLVELHMHHSNLKQLWEEIKLLKVIDLSYSKDLIKTLDFRNVPNLEVLNLEVEGCTSLVEVDRSIVLLTRLVWLNLKGCEILETLPSGNWNLKSLKILNLCGCLKLSKLPEGLVSATSLEVLDAAGIGSGQMTLAKACDLHSNYLVPATRNQKPLAFAFSSPQAMMKLVMSYCAFPQVLNNLSCLWSLGKLNLCGNHVLSIPSSINQLCNLTDVDFSNCRRLESLPALPCNIERLSIGNCTSLQALPDMAQLSKLTDLWMPNCRRLKSLPTLPPNIGWLFIEDCTSLQTLPDLVQLFELINLVIYNCSRLESLPALPSNVESINMQNCTSLQTLPEMVQLCRLASLRCTNYTSLQLLPDLPSNVQHLYMENCTALKHFTICLKNRIWRRVFI >Manes.13G002785.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:812995:815586:-1 gene:Manes.13G002785.v8.1 transcript:Manes.13G002785.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRLLILRNASIFHGLEYLSNELRYLEWHEFPFNSLPSAFQPSKLVELHMHHSNLKQLWEEIKPLQLLKVIDLSYSKDLIKTLDFRNVPNLEVLNLEVEGCTSLVEVDRSIVLLTRLVWLNLKGCEILETLPSGNWNLKSLKILNLCGCLKLSKLPEGLVSATSLEVLDAAGIGSGQMTLAKACDLHSNYLVPATRNQKPLAFAFSSPQAMMKLVMSYCAFPQVLNNLSCLWSLGKLNLCGNHVLSIPSSINQLCNLTDVDFSNCRRLESLPALPCNIERLSIGNCTSLQALPDMAQLSKLTDLWMPNCRRLKSLPTLPPNIGWLFIEDCTSLQTLPDLVQLFELINLVIYNCSRLESLPALPSNVESINMQNCTSLQTLPEMVQLCRLASLRCTNYTSLQLLPDLPSNVQHLYMENCTALKHFTICLKNRIWRRVFI >Manes.13G002785.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:812992:815453:-1 gene:Manes.13G002785.v8.1 transcript:Manes.13G002785.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRLLILRNASIFHGLEYLSNELRYLEWHEFPFNSLPSAFQPSKLVELHMHHSNLKQLWEEIKPLQLLKVIDLSYSKDLIKTLDFRNVPNLEVLNLEVEGCTSLVEVDRSIVLLTRLVWLNLKGCEILETLPSGNWNLKSLKILNLCGCLKLSKLPEGLVSATSLEVLDAAGIGSGQMTLAKACDLHSNYLVPATRNQKPLAFAFSSPQAMMKLVMSYCAFPQVLNNLSCLWSLGKLNLCGNHVLSIPSSINQLCNLTDVDFSNCRRLESLPALPCNIERLSIGNCTSLQALPDMAQLSKLTDLWMPNCRRLKSLPTLPPNIGWLFIEDCTSLQTLPDLVQLFELINLVIYNCSRLESLPALPSNVESINMQNCTSLQTLPEMVQLCRLASLRCTNYTSLQLLPDLPSNVQHLYMENCTALKHFTICLKNRIWRRVFI >Manes.07G093900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29164210:29170332:-1 gene:Manes.07G093900.v8.1 transcript:Manes.07G093900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLKKSDNEAMAEYENDIDLDNIDKKREKRKKKDEEGEEKRVILPSMIKNKEKRSVVHAKLQHMKKVEKRKKLKARDATEKRALELGEEPPLRQVPHTIENTREFDETICKPDDDELFAGNDADEFGSILRREQIPKILITTCRFNSTRGPLFISELLSVIPNATYKKRGTYNLKDIVKYASNMDFTAIIDVHTNRREPDALLVICLPCGPTAHFKLSNLVLRKDIKNHGNPTSHEPELVLTNFTTRLGHRIGRLIQSLFPQEPNFRGRRVVTFHNQRDFIFFRHHRYIFETKESKQNDSKGQKGKDAKGEKISQEKVVARLQECGPRFTLKLVSLQNGTFDTKSGEYEWVHKPEMDTSRRRFFL >Manes.07G093900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29163441:29170355:-1 gene:Manes.07G093900.v8.1 transcript:Manes.07G093900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLKKSDNEAMAEYENDIDLDNIDKKREKRKKKDEEGEEKRVILPSMIKNKEKRSVVHAKLQHMKKVEKRKKLKARDATEKRALELGEEPPLRQVPHTIENTREFDETICKPDDDELFAGNDADEFGSILRREQIPKILITTCRFNSTRGPLFISELLSVIPNATYKKRGTYNLKDIVKYASNMDFTAIIDVHTNRREPDALLVICLPCGPTAHFKLSNLVLRKDIKNHGNPTSHEPELVLTNFTTRLGHRIGRLIQSLFPQEPNFRGRRVVTFHNQRDFIFFRHHRYIFETKESKQNDSKGQKGKDAKGEKISQEKVVARLQECGPRFTLKLVSLQNGTFDTKSGEYEWVHKPEMDTSRRRFFL >Manes.06G157000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28301997:28305809:-1 gene:Manes.06G157000.v8.1 transcript:Manes.06G157000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNMEEIESKVPKCELICEEDSKTCRCSKLEESNKKAEARIVELEREIERRKSEYGVLEAKFKILDAQKCAAEDELMALRTKDGESGKSRNPFENENKASLRGGNKGDAVVDLTGEGDEEDIVEQLVLENHVLECEKKNAESEVEIWKKKFQELDLCVSQVYGSTVLSSRKRLSNEVAKGENRTDVRIRMDQLQINENLVDVGPSCGTLGKIIDDFPAAGTPYKDSPCGHTPLVGKKGVCLESEGEYHKTVRRRLPFEDRSPSKKMAPSTPGGSKPECLNVIDIFDSDDESDTCGGKFSISNGQGNKNVISTDHVEAGILEVNKDKISDQCLKGALSNQDYKEDVDDCKENVPCVPTPKRKRSAKVVTSDTENDEDDNVPISKLKRFHLQGLIPDIANSDVGNSFPGSPMNDGVKGIVTRSRRRLVTLRQCEEKVKGERSSSNKITESKYGQGIPTTGDVEDSETEVGSDSEGESLNGFIVDSSDISDADNASSHSENASDGNVDFDEILSKLQRSKDREFKWELEADMLSAFGKDLELCMKAVCALYRQQTSEEQVSKETMYDNNRGFSKFDALRGTTLAEFLTDGDPHGDLKKSVQQLKERGSQAVELCRTLASHYSKQLFEIYKNKEDPLFLPR >Manes.11G007700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:859085:862202:1 gene:Manes.11G007700.v8.1 transcript:Manes.11G007700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCFGSSTDEFVVPMGQEISDRFPSPESWPKWEMSEPESFEFLHECFVIDSKLTQEELNFHLVNEVVVESYTNDKDQSSSGIGEGFSEESLQQTALLRDQLDYQLDGLAGFEHMDDLFLSSLVEDTPGTENLHKSFCFETELLNCMVGDDIILTDSILDAYSLGSSKYLKTHAFSPSMDPEGGKIPAAPLFIPSNSEQKNCPLLKAPLIKVSVPSEHKQRSKHVDAETSPEEFVLQELATVVAQLTDNSRICFRDAFYHLASNSSQHVMTQNRNVLFMETPPWAGQEDKMRLGGKKPVELETNTIDRALANPMFNKTEFNVT >Manes.12G091300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:17108902:17110749:-1 gene:Manes.12G091300.v8.1 transcript:Manes.12G091300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGNIAKRWKKLSGIDNWKNLLHPLDIDLRRYLIHYGQMTQATYDTFISDRLSGNAGNTRYSMKNMFSRVGLAIANPFKYQVVKYFYATSTIEVPGSYIVKSYSRKPWNRESNWFGYVAVATDEGKVALGRRDIVIAWRGTIMPIEWYEDFESPLVSASNIIGTGTNPQVHQGFLSLYTSINPSSPYNKHSARDQVLGEVDRLMRQYENEETSLTVTGHSLGAAVATLNALDIVANRHNRKANKEVCPVTAFVYASPRVGDMRFKMAYDSLENLHLLRIENAPDIVPKVPLVTMGYVEIGNELLINTQLSNYLIQPGDIRSWHNLEVYLHGIAGSQGAAGFRLQVNRDIALLNKEIPSLKRQYGVPGAWLCLRNKGMVQMKDGHWKLHDKERNFDEAEDSE >Manes.15G056100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4308068:4309396:-1 gene:Manes.15G056100.v8.1 transcript:Manes.15G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPRRKKWTEAEEKTLIEKYGEMVSDGTLAKMKTREKKYKPIAVYVNYVYHVRDPVTYPWQWTWKDVSTKVQNMRHQYLLVKQKIKKPELSAVENSGSGDCGNGDEFDWLEGLTHWSNFLLYKEVFGDVPMAFSSNGSNGSDLTAVLNEDRENGVGLLGPGRGMEIAEFGQMGNSADGDFAGIDGGENEMLGLGFDYEGEEAGENYNNNDRVREEVDDGFIYEEVDPNLSNLKKKRKVLKGLEKRVFGFLSNQIGQLREMETRFEQHEAERERERKRRENIQMEREQEWEQKLEEREEREKAREKLRWQRYQKWEAMEKENEERERRRREENLIQEKEWEERMNRRRSEWKKRIDGMLNQHRAEMGQIQTRILHEQQNLTSHLLGIVSQWTGHPTALSDHTGASNHYLSQMMQNLHHVNGMVHGDTRVDGDNQDDQFIVDG >Manes.08G139400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37526317:37536418:-1 gene:Manes.08G139400.v8.1 transcript:Manes.08G139400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFMRFCIKEENDEIPSVPPGFESFASFTLKSAQDGEKQETQDIITCSASVSSSDLQPTRTEMDTNSCADTKVTRSLRRRACINYGQLDNNSSEDESDSAKLNQNLSLRSHLPKGVIRGCAQCRDCQKVTATWRHEYARRPDLEDSPVFYPTEEEFEDTLKYIASIRPKAEPYGICRIVPPPSWKPPCPLKEKSVWEGSTFATRVQRVDKLQNRVSMKKMSKLYNHTRKKRRRCMRMEVDCGADSGNISGWNGAGVCEVESFGFEPGPGFTLNAFQKYADDFKAQYFRKNDNVMSKGDNAEVLHENWEPTVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSGEVGSDSNDRYAKSGWNLNEFPRLPGSVLSYENGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGMDAIKFEEAMRKHLPDLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYREQGRRTSISHDKLLLGAAREAVRAHWELNLLKKNTMDNLRWKDLCGKDGILAKSLKERVEMERVRREFLCNSSQVLKMESNFDATSERECIICLFDLHLSAAGCHCSPDKYACLNHAKQMCSCGWSAKFFLFRYDISELNILVEALEGKLSAVYRWAKLDLGLALTSYVSKDSLQDCKISYLPDGKALKEVISKPSIYLLKDLGSKGIAREITMTSMKTFHGTALVEKKAPPESAALKGTKTPSTSPSSFQENERQNHDSKLKKESILSSTNLRTSICQLSREGVSYAGDHNSSESGRKKPSTLGHDNIIVLSDDEGDEPKELVVERATKISVPKQLELSKRSTSDDRPCKDDKDSILIAPVADAAVISKNDVSSPDGQGKNCLLDPVKVKVDQHQHGEIVLESNVADSSPHAGFTSLGCGKNFEDSSNMRVISKDQNMVNVRCGHPQQCGIVNPNDEDKMGANATLNPVDNARIMAGSPSCSQNNLDRYFRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKGFKSRVRYTSVLDPTNMCYYLSEILDAGQNRPLFMVSMEHSPSEVFVHLSAARCWEMVRERVNQEITKQHKLGKMNLPPLQPPGSLDGFEMFGFSSPAIVQAIEALDRNRVCTDYWDSRPYSRPQCQIPQPSHPKESGGYIQGRSEEHDNGGTPGSNLLPDGVDGMMLRGLLKKANPEELHVLRQILNDGKQRVGGLITLLNEEIHNRPR >Manes.08G139400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37526014:37536418:-1 gene:Manes.08G139400.v8.1 transcript:Manes.08G139400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFMRFCIKEENDEIPSVPPGFESFASFTLKSAQDGEKQETQDIITCSASVSSSDLQPTRTEMDTNSCADTKVTRSLRRRACINYGQLDNNSSEDESDSAKLNQNLSLRSHLPKGVIRGCAQCRDCQKVTATWRHEYARRPDLEDSPVFYPTEEEFEDTLKYIASIRPKAEPYGICRIVPPPSWKPPCPLKEKSVWEGSTFATRVQRVDKLQNRVSMKKMSKLYNHTRKKRRRCMRMEVDCGADSGNISGWNGAGVCEVESFGFEPGPGFTLNAFQKYADDFKAQYFRKNDNVMSKGDNAEVLHENWEPTVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSGEVGSDSNDRYAKSGWNLNEFPRLPGSVLSYENGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGMDAIKFEEAMRKHLPDLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYREQGRRTSISHDKLLLGAAREAVRAHWELNLLKKNTMDNLRWKDLCGKDGILAKSLKERVEMERVRREFLCNSSQVLKMESNFDATSERECIICLFDLHLSAAGCHCSPDKYACLNHAKQMCSCGWSAKFFLFRYDISELNILVEALEGKLSAVYRWAKLDLGLALTSYVSKDSLQDCKISYLPDGKALKEVISKPSIYLLKDLGSKGIAREITMTSMKTFHGTALVEKKAPPESAALKGTKTPSTSPSSFQENERQNHDSKLKKESILSSTNLRTSICQLSREGVSYAGDHNSSESGRKKPSTLGHDNIIVLSDDEGDEPKELVVERATKISVPKQLELSKRSTSDDRPCKDDKDSILIAPVADAAVISKNDVSSPDGQGKNCLLDPVKVKVDQHQHGEIVLESNVADSSPHAGFTSLGCGKNFEDSSNMRVISKDQNMVNVRCGHPQQCGIVNPNDEDKMGANATLNPVDNARIMAGSPSCSQNNLDRYFRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKGFKSRVRYTSVLDPTNMCYYLSEILDAGQNRPLFMVSMEHSPSEVFVHLSAARCWEMVRERVNQEITKQHKLGKMNLPPLQPPGSLDGFEMFGFSSPAIVQAIEALDRNRVCTDYWDSRPYSRPQCQIPQPSHPKESGGYIQGRSEEHDNGGTPGSNLLPDGVDGMMLRGLLKKANPEELHVLRQILNDGKQRVGGLITLLNEEIHNRPR >Manes.08G139400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37526014:37536418:-1 gene:Manes.08G139400.v8.1 transcript:Manes.08G139400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFMRFCIKEENDEIPSVPPGFESFASFTLKSAQDGEKQETQDIITCSASVSSSDLQPTRTEMDTNSCADTKVTRSLRRRACINYGQLDNNSSEDESDSAKLNQNLSLRSHLPKGVIRGCAQCRDCQKVTATWRHEYARRPDLEDSPVFYPTEEEFEDTLKYIASIRPKAEPYGICRIVPPPSWKPPCPLKEKSVWEGSTFATRVQRVDKLQNRVSMKKMSKLYNHTRKKRRRCMRMEVDCGADSGNISGWNGAGVCEVESFGFEPGPGFTLNAFQKYADDFKAQYFRKNDNVMSKGDNAEVLHENWEPTVENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSGEVGSDSNDRYAKSGWNLNEFPRLPGSVLSYENGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGMDAIKFEEAMRKHLPDLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQIAIELYREQGRRTSISHDKLLLGAAREAVRAHWELNLLKKNTMDNLRWKDLCGKDGILAKSLKERVEMERVRREFLCNSSQVLKMESNFDATSERECIICLFDLHLSAAGCHCSPDKYACLNHAKQMCSCGWSAKFFLFRYDISELNILVEALEGKLSAVYRWAKLDLGLALTSYVSKDSLQDCKISYLPDGKALKEVISKPSIYLLKDLGSKGIAREITMTSMKTFHGTALVEKKAPPESAALKGTKTPSTSPSSFQENERQNHDSKLKKESILSSTNLRTSICQLSREGVSYAGDHNSSESGRKKPSTLGHDNIIVLSDDEGDEPKELVVERATKISVPKQLELSKRSTSDDRPCKDDKDSILIAPVADAAVISKNDVSSPDGQGKNCLLDPVKVKVDQHQHGEIVLESNVADSSPHAGFTSLGCGKNFEDSSNMRVISKDQNMVNVRCGHPQQCGIVNPNDEDKMGANATLNPVDNARIMAGSPSCSQNNLDRYFRQKGPRIAKVVRRINCNVEPLEFGVVLSGKLWCNSQAIFPKGFKSRVRYTSVLDPTNMCYYLSEILDAGQNRPLFMVSMEHSPSEVFVHLSAARCWEMVRERVNQEITKQHKLGKMNLPPLQPPGSLDGFEMFGFSSPAIVQAIEALDRNRVCTDYWDSRPYSRPQCQIPQPSHPKESGGYIQGRSEEHDNGGTPGSNLLPDGVDGMMLRGLLKKANPEELHVLRQILNDGKQRVGGLITLLNEEIHNRPR >Manes.15G058200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4464202:4467515:-1 gene:Manes.15G058200.v8.1 transcript:Manes.15G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMGEEEEDAVRRSRFPEKNNSCIEITGDNEEVNDNPIEQVRLTVPITDDPSQPALTFRTWVLGLASCVLLAFVNQFFGFRTNQLGVGSVSAQIVTLPLGKFMAAVLPEKQIKIPCTKWSFSLNPGPFNLKEHVLITIFASTGASGVYAVSIITAVKAFYRRPLHPLAAMLLVQTTQLLGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGLTRLQFFLIVCASSFSYYVLPGYLMPSLSAISFVCWIWKDSVTAQQIGSGLNGLGIGSFGLDWSTVSSFLGSPLAMPFFAIANTLAGFFMVMYIIVPIFYWTNTYDAKKFPIYSSHTFDSYGHTYNISRILNHQTFDIDMDAYTNYSKLHLSILFAFAYGLSFAALMATISHIILFEGKNIWEMWKKTTSAVKDKYTDVHSRLMKKNYEAVPQWWFIAILVGTVALSLLAVEGFNRQLQLPWWGLLLACAVALVFTLPIGIVQATTNMQMGLNVITEFIIGYIYPGKPLANVAFKTYGYISMAQALYFVQDFKLGHYMKVPPKSMFIVQFVGTIVASSVCFGTAWWLLSTVENICIPELLPEGSPWTCPGDDVFYNASIIWGVIGPLRMFGKLGLYAKMCWFFLVGLLAPVPIWLLSLKYPEKKWIRLIHMPIFLSATAMMPPARAVHYLSWGVVGIFFNFYIYRRYKAWWARHNYILSAALDAGVAFMGIIVFFSLQSQNIYGPDWWGLDSTDHCPLAKCPTAPGVVVKGCPAVN >Manes.18G041900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3693549:3695062:-1 gene:Manes.18G041900.v8.1 transcript:Manes.18G041900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKLLWLVLASQIFHSSLAADLHPTDIFILAGQSNMAGRGGVDNVTWNGFIPTQCSSNPSILRLSAQLKWEEAREPLHVDIDLEKTCGIGPGMAFANAVKANESRIGVVGLVPCAIGGTAISEWARGTRLYKELVNRAKESVRYGGIIRAILWFQGESDTGRKKDAEAYKGNMESLIRSLRYDLNISDIPVIQVALASGEGKFVELVREAQLAIKLPNVKCIDAKGLALKKDHLHLTTMAEVQLGLKFANAYITSFGYMLQ >Manes.04G127160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32964675:32965387:-1 gene:Manes.04G127160.v8.1 transcript:Manes.04G127160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQNIRIIRDLVYPSFGDFRPSVVRPRVDANNFELKPSLIQMVQQSQFSGQPTKNPYLHLSNFMEISNMIKLNGVSKGAIRLRLFPFSLRDRQLSQVFIEQYIPPGKTVKLRIELTSFTQREDESLHEAWEQYKELQRKCPHHGIPKSAIDVAAGGDLMEKTEDDAYACLDKTVYNNYHCNGERANVKSEAKKPTGMFEIDAM >Manes.01G003900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2089947:2099535:1 gene:Manes.01G003900.v8.1 transcript:Manes.01G003900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMAQGWFSSGSTEDQQQQPASSLLADWNSYATSRDAEESTGSGIGFDLESAVRSANDTVTGTFNVVSKGVRDIPGNFQSATSNIPSGKALMYFGLFLATGVFFVFIAFALFLPVIVLMPQKFAICFTLGCCFIIASFVALKGPKNQFAHMTSKERLPFTLGFIGSMAGTIYVSMVLHSYILSVLFSVIQVLALAYYAISYFPGGSAGMKFLWTSLLSSVTSCFGR >Manes.04G165200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35819430:35821824:1 gene:Manes.04G165200.v8.1 transcript:Manes.04G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESQVIFIHFTSTSFLLLPIVISCNSPSYPKCSPIIFEMTRITIQVNINPACCLCPCYLNSKNTEKQEDMAHPQELKSLTKAFSGLGVDEKSLISILGKSHPEHRTTFRKTSPHLFIDDERSFERWDDHCITLLRHEFLRFENAVVLWAMHPWERDARSVYEALRLGSYDVIVEIACTRSSEELLGARKAYHSLYDHSIEEDVATHITSSERKLLVALVSAYRYEGPKVREDIAKHEAKLIANAIKNGDEKNPIEDDEVVRILTTRSKLHLEAIYRRYEEVSGNSIHEDLEAADLILKKTVECLCTPQAYFSKVIDEAMRNDAEQHTKKALTRIIVSRADVDMKEIKEEYSSLYGVPLSQKIEDNASGNYKDFLLALITRD >Manes.11G012500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1218860:1222100:1 gene:Manes.11G012500.v8.1 transcript:Manes.11G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKESLTGMPETSKLISFSKKHKKLFLSLFASFLLVAAIVAIVSGVKKSEDDTSQSHAILKSSCSSTRYPDLCFSAVVSVPGATSNLATQKDVIEVSLNITTEAVQHNYFTVKKLRAKKKLTKREKIALHDCLETIDETLDELHEVLEDLKEYPSKKSLSQHADDLKTLMSAAITNQETCLDGFSHEKADKEVRKALLAGQVHVKRMCSNALAMIKNMTDTDIINELKLATSTTNRKLKEEKDEESGWPGWLSVADRRLLQSSSVTPNVVVAADGSGNYRTVSAAVAAAPTKSSKRYIIRIKAGVYRENVEVPKSKTNLMFLGDGRKTTIITGSRNVVDGSTTFKSATVAVVGQGFLARGVTFQNTAGPSKHQAVALRVGADLAAFYECDMLAYQDTLYVHSNRQFFINCLIAGTVDFIFGNSAAVLQDCDIHARRPNSGQKNMVTAQGRTDPNQNTGIVIQKCRIGATSDLRPVQGSFPTYLGRPWKEYSRTVIMQSTISDVINPAGWHEWQGNFALNTLFYGEYQNSGAGAGTSRRVRWRGFKVITSATEAQGFTPGRFIAGGSWLRSTTFPFSLGL >Manes.11G031300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3083569:3087926:-1 gene:Manes.11G031300.v8.1 transcript:Manes.11G031300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFRARKSMAAAPSLQPPGDLRQRASLYVGDLDLEVTEMDLKTAFCSVCHFFTLRLCRCAYTGKSLCYGYVNFYSHNQAYEALHKLNHTYLKGKPMRLMWSQRDPFARKSGIGNLFVKNLVSSIDSARLESMFCKFGTILSCKVVEERGKSKGFGFVQFDSENSALAARTALHNTIVEGKKLYVSKFVKKSDRTAATIYEESEFTNLYVKNLADNVTEDTLQNMFSIFGKVYNVVIMKDHDGKSRGFGFVNFDSPEGAKKAVDALNGSLLGSRTLFVGRAQNKAERIKILQHERKDIFSNHIEKLRLSNVYVKNLDECIDDNKLQEIFSTCGKIVSAKVMRYDNGVSRSFGFVCFSSPGEAKKALNTLNGIMLEGKSLYVAIAQSKKDRRLELEKYFSARQSQSLYPSNCNVVAPPIGPVYYNLSTFHPPIPFLQHQISYQNFGATMGGQYPLAAENYQQHFCSYIPLGQTHQSTQNLLAQSCQQLVFPNFLYISVLFYFVLGFEKNTHNSAKSRDYLCIWNLKNNICNSIPTLLSIKFALCC >Manes.11G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3083570:3087926:-1 gene:Manes.11G031300.v8.1 transcript:Manes.11G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFRARKSMAAAPSLQPPGDLRQRASLYVGDLDLEVTEMDLKTAFCSVCHFFTLRLCRCAYTGKSLCYGYVNFYSHNQAYEALHKLNHTYLKGKPMRLMWSQRDPFARKSGIGNLFVKNLVSSIDSARLESMFCKFGTILSCKVVEERGKSKGFGFVQFDSENSALAARTALHNTIVEGKKLYVSKFVKKSDRTAATIYEESEFTNLYVKNLADNVTEDTLQNMFSIFGKVYNVVIMKDHDGKSRGFGFVNFDSPEGAKKAVDALNGSLLGSRTLFVGRAQNKAERIKILQHERKDIFSNHIEKLRLSNVYVKNLDECIDDNKLQEIFSTCGKIVSAKVMRYDNGVSRSFGFVCFSSPGEAKKALNTLNGIMLEGKSLYVAIAQSKKDRRLELEKYFSARQSQSLYPSNCNVVAPPIGPVYYNLSTFHPPIPFLQHQISYQNFGATMGGQYPLAAENYQQHFCSYIPLGQTHQSTQNLLAQSCQQLSVKRVTSYLRDRDLNDGHSGIQNFCPRKGWNNKAGSKSRGSQAITCFAATKSPDNCKEDIGNCLHPLGNVQPDC >Manes.08G041100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4021064:4021409:1 gene:Manes.08G041100.v8.1 transcript:Manes.08G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVICGSSFFALLLIFSAILMVVPNGESQEICHAEIPGNGKCDEGKCQTQCSNLNQGIGICTQTFFNRFNCICNWQCS >Manes.11G009300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1010422:1013481:-1 gene:Manes.11G009300.v8.1 transcript:Manes.11G009300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPNHQATQQFLSSVLSQRGPSSLPYTEDTKWLIRQHLLSLIGTYPSLEPKTATFTHNDGRSVNLLQADGTIPMPFQGVTYNIPIIIWLMESYPRHPPYVYVNPTRDMIIKRPHPHVNPSGLVSIPYLHNWIYPSSNLVDLVRELSTIFGRDPPLYSQRRPNPNPCPSPSQNINPNPNYAANPSNLSHSSSLGSVGPAGGHVGYPRPMNRPYPPSPYSGSTGGPPGRPQTEDAAEVYKRNAINKIVETVHVDIMQLRKAREVEMEGLFSAQAVLRRREEDINKGLKEMQDEMEGLEAQLQVVLMNTDVLEAWVRENSGKTKGNAEDLDVDNVFECTDALSKQMLECTAADLAIEDVVYSLDKGVQEGAVPFDQYLRNVRLLSREQFFHRATAAKVRATQMQAEVASMAARAPHYAS >Manes.18G032800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3078825:3080419:1 gene:Manes.18G032800.v8.1 transcript:Manes.18G032800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGSRRFFSEDVTHMPVIQDPEIQNVFKDLMAASWDELPDTLIHDVKNALSKNTDDKAGQEIVKNVFAAAEAVSEFGGMLVSMKMELDDSIGLSGEDVKPLSGDFANALRAVYQRYSAYLDAFGPDEGYLRKKVETELGSKMIHLKMRCSGLGSEWGKVTVLGTSGLAGSYVEQRA >Manes.18G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3078250:3080419:1 gene:Manes.18G032800.v8.1 transcript:Manes.18G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVLLRSICRAACFRTSCCAAVTHRLASRSLFTLSSHSVAAPSNRILSDLTDFRSSFTMGIGSRRFFSEDVTHMPVIQDPEIQNVFKDLMAASWDELPDTLIHDVKNALSKNTDDKAGQEIVKNVFAAAEAVSEFGGMLVSMKMELDDSIGLSGEDVKPLSGDFANALRAVYQRYSAYLDAFGPDEGYLRKKVETELGSKMIHLKMRCSGLGSEWGKVTVLGTSGLAGSYVEQRA >Manes.18G032800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3078250:3080419:1 gene:Manes.18G032800.v8.1 transcript:Manes.18G032800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVLLRSICRAACFRTSCCAAVTHRLASRSLFTLSSHSVAAPSNRILSDFRSSFTMGIGSRRFFSEDVTHMPVIQDPEIQNVFKDLMAASWDELPDTLIHDVKNALSKNTDDKAGQEIVKNVFAAAEAVSEFGGMLVSMKMELDDSIGLSGEDVKPLSGDFANALRAVYQRYSAYLDAFGPDEGYLRKKVETELGSKMIHLKMRCSGLGSEWGKVTVLGTSGLAGSYVEQRA >Manes.15G020400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1672399:1673421:-1 gene:Manes.15G020400.v8.1 transcript:Manes.15G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELHFFGDDPVVDNMGLRLLDFESLVKVLNAVQCTVVSAVGNHYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPLLHYACNLGLTLCSCRYTRGSFIFPKSQPFPHTSFKEEVIYLEENLPSNLCFRKASVMPSKKTSHSWHVFTASDQNHIISQNDDLYTVEVCMTELDRVLARKFFQPPGDGKDGDVAGKEMTKLTGIDDINPAAIICDFAFDPCGYSMNGIDNDRYSTIHVTPEDGYSYASFECVGSIFDDNDDISETLKKVVQVFRPATMSVSTTCTSHEVWTRIAHAVEPLGMKCRSCAMDEFPDSGSVVFQTFTVRRK >Manes.04G046709.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7336574:7337037:1 gene:Manes.04G046709.v8.1 transcript:Manes.04G046709.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAEKIKKHLNLELKKKCSNKYGAGREELAGQEGGAGKWRMERDGDGSGMEMDGSGMEMGAGMEMGAGWRWGRRWVL >Manes.03G197400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31485330:31492155:-1 gene:Manes.03G197400.v8.1 transcript:Manes.03G197400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAKGRTTIDVGADGVAVITIINPPVNSLSFDVLNSLKDSFDEALRRDDVKAIVVTGAKGKFSGGFDITAFGGIQRGNVDQPKPGFIAVEILTDTVEAARKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGIIPGFGGTQRLPRLVGITKALEMMLTSKPVKGQEAHSLGLVDAVVSPNELVSTARQWALDILERRKPWVASLYKTDKLDSLGEAREIFKFARAQARKQAPNLKHPLVCIDVVEEGIVSGPRAGLWKEADEFQILVRSDTCKSLVHIFFAQRGTTKVPGVTDRGLMPRRVNKVAVLGGGLMGSGIATALILSNYQVILKEVNEKFLLAGIDRVRANLKSRVKKGKMSQEKFEKTLSLLKGVLDYESFKDVDMVIEAVIENVSLKQQIFADLEKYCPPHCILASNTSTIDLNLIGQRTMSQDRIIGAHFFSPAHVMPLLEIVRTNQTSPQVIVDLLDVGKKIKKTPVVVGNCTGFAVNRMFFPYTQAAILLVEHGMDLYQIDRAITKFGMPMGPFRLCDLVGFGVAIATGMQFVENFPERTYKSMLIPLMQEDKRAGETTRKGFYLYDDKRKASPDPELRKYIEKARSISGATIDPKLAKLPEKDIVEMIFFPVVNEACRVFAEGIAVKAADLDIASVMGMGFPFYRGGILFWADSLGSKYINSRLEEWSKMYGEFFKPCDFLAERAAKGAPLSAPVEQAKSRL >Manes.14G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7057965:7063093:-1 gene:Manes.14G085300.v8.1 transcript:Manes.14G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSPTSNEIQPSISHSNNSSTHHQFFVWREFLWGAIAGAFGEGMMHPVDTIKTRIQSQAVLSGSQSQRSILQMVRTVWAADRVRGFYRGITPGVTGSLATGATYFGFIESSKKWIEESHPSLGGHWANFIAGAVGDTLGSFAYVPCEVMKQRMQVQGTRTSWTNAVLKDNIRVKSGQQMYGYYTGMFQAGSSILREQGPKGLYAGYWSTLARDVPFAGLMVMFYEALKDLTECGKQKWMPGSDYFINSSTEGLVLGGLAGGISAYLTTPFDVIKTRLQVQGSTVRYKGWLDAMQRIWMVEGVKGMFRGSIPRITWYIPASALTFMAVEFLRDQFNERIECNNKQEVANLSIERKGSSLREVA >Manes.03G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3565062:3570980:-1 gene:Manes.03G040500.v8.1 transcript:Manes.03G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIERRGRSASTSSEDDALFLDILHEVPLCGHGKRSSIFGALVYCVLLAGYAVLGVIAPHAFHRNLVPSLLCSCGVALLLVTGIFQQYFVSQVQKIRLQGYYSFSQKLKHVVHLPFATTAYGTAVMLLVMVWKYHISSPSIPALLRIIVLAEAICAISFMIIYIGYLHHYNSLDSQPDILKSLYTPLQQSSPLEELRYHDSGRLSDQQMALLQYQRENLHFLSEEILHLQESLRKYERSNGGTPQVDLAHMLAAREQELRTLSAEMNQLQTELRLARSLIAERESELQRVRTTNNQYLEENERLRGILVEWSTRATKLERALELERLSNLDLQKKLVTRNQTNASSEKGTA >Manes.06G157400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28334581:28338482:1 gene:Manes.06G157400.v8.1 transcript:Manes.06G157400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTASSSAAQTTLGFSTKLSKYKSTLKRPLIVAFKDDKPNNTALVAPREQIPLPVETTKGKKRRGKTNNELSSSTLEMDYNEAAAKLENLYKLSPTTDNSDVDVNGLIGKGQRRKRKTGEGVKQSENRTSKVIVKNPAKKTKRLSLDERIAMRKNTIEEVVTPIRRKTGAQNEDEKIDELVRDYSASTDLVSLDWKKMKIPPVLSSTEHAWLFKLMQPMKALLQVKDKLQKKLGREPNEGELAEATNMNVEEARKQIEVGQAARNKLIKHNLRLILFVINKYFQDFANGPRFQDLCQAGVKGLITAIDRFEPKRRFRLSTYSLFWIRHAIIRSMTLSSFTRVSFGLESVRLEIQKAKLELMVKLQREPTEEEIIERVGISPERYHEVKRASKSVLSLHSRHAVTQEEFINGITDVDGGDNRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKYEVKALMKLKHPARVDYLRRYVV >Manes.09G039900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7316628:7326816:1 gene:Manes.09G039900.v8.1 transcript:Manes.09G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSLSSDSVSDLDEQISQLMQCRPLSELQVRALCDKAKEILMQESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDGVRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKAHTFIQFEPAPRRGEPDVTRRTPDYFL >Manes.08G077601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:18782742:18783287:-1 gene:Manes.08G077601.v8.1 transcript:Manes.08G077601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSSLLFTSLWLPTLAEECGIHADGAICPGRRCCSRHGFCGTTIDYCCKGCQSKYGNCGSPICPRRRRADILRGDGGDMGEIS >Manes.01G266000.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42061679:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42061679:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056727:42061025:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRQTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42062020:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42062020:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42061679:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056693:42062020:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42061679:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056614:42061100:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42061679:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42062020:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056693:42062020:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42061679:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056614:42061100:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLG >Manes.01G266000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056614:42061100:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056614:42061100:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42061679:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42062020:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQAASIISSSIQPLHMVSMLKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.01G266000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42056608:42061679:1 gene:Manes.01G266000.v8.1 transcript:Manes.01G266000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKCRTSSPNLVLYSYWQSSCAWRVRFALNLKGLSYEYKAVNLAKGEQFSPEFEQLNPLHYVPVLVDGDVVVSDSYAILLYLEEKYPQKALLPDDPQRRYLNLQKYLEEKIGPEEPLLWALSSIEKGFLALERLLKDFASSYATGEAVYMADVFLAPQIAVAIMRFKIDMSKFPTLARIYESYKGLPEFIASLPQSQPDAGQ >Manes.08G052000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5538620:5544310:-1 gene:Manes.08G052000.v8.1 transcript:Manes.08G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPSEISSSKMFGGYNKRYKHFSPTLGCSMTFHIYFPPSTSSSHKFPILYWLSGLTCTDENFIMKSGAQRAASANGVALIAPDTSPRGLNVEGESESWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLSNNFPQLDTSRASISGHSMGGHGALTIYLKNLDKYKSVSAFAPIANPINCPWGQKAFTNYLGGNKADWEEYDATSLVSKFKDVSATILIDQGEDDKFLHDQLLPHKFEEACRSGNVPLLLRIQPGYDHSYYFVSTFIDDHISHHAQALNL >Manes.07G052400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6242121:6247464:1 gene:Manes.07G052400.v8.1 transcript:Manes.07G052400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSKNPTSFSQIPSRPSNPRNTEVNNPIRRSSCGSTFTKPSIITSQRPGFIPNTPANSPADHLRRSSIFKENVVVSLHDSEDKENSKDQDLKQAIARSPASTKGTKNFMSPTISATSKINASPRKKILTERNEPTCTSVSFTDCKNLQKEDSDWKPEKGLNQKKGPFDPTITYLGDKDTSQSNEDFDSLVHSSIKVDWELPESATLEKGWKKEVVSLVPTITYLDDKDNLTKSEDFDSVVRSSTTDDWDLLSESANLEKGCVNLDPSFKISPRASCSLAPLDADPSMPPYDPKTNYLSPRPRFLHYKPNPRVQMYLNRERDSNQLEESSASETSDTDVTEEETLSDDSQKESEDALSGDVMYKEEEEELLVSVPNPLSASEGAAEAKRFLKPNFFTKTKFNALLLILAIACLWASVTNSPVMDPPVLNNLSFPNPYVPPEISKFIRTNLEGLAHKFQQWIYDSLSYFHNLIVNFKEWLNPGPLQFANLTTLLEDGSADNHILGDHSPFGAAVKYERNELRLTREGEIDIKWLEEKEDQLIEDDEKETDENIEEAVEEEKNDQEYEDKEVIAAHDIPDNVEVPGNDIVLESEGINMTLQAEVIEPDSTPTEVTQKSGSIGELQSNTDKNLLVPIPQAAEIQTEDSFCIQPPAENDKSSAATDFSIAGNIPESLDSNSGKKNPESSESVDLEIHRSKNLFSSQIVMGISLLVLGLLAVFAFIHTNNKASTKPNVAIAMDQAPLTKKLDYSPLTDVETVGESYPSEMSSFEHGSSYRKEGNKGTREAQGQGRKPRRNSRRESLASSDYSTESQSYGSFTTYEKILSKNVSIYTFIIKANVCNYNKNHIDTTLIRNNHIFFQIYIFLFFLLLLISCFGYQGKWRRRVVYSS >Manes.07G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6242121:6247464:1 gene:Manes.07G052400.v8.1 transcript:Manes.07G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSKNPTSFSQIPSRPSNPRNTEVNNPIRRSSCGSTFTKPSIITSQRPGFIPNTPANSPADHLRRSSIFKENVVVSLHDSEDKENSKDQDLKQAIARSPASTKGTKNFMSPTISATSKINASPRKKILTERNEPTCTSVSFTDCKNLQKEDSDWKPEKGLNQKKGPFDPTITYLGDKDTSQSNEDFDSLVHSSIKVDWELPESATLEKGWKKEVVSLVPTITYLDDKDNLTKSEDFDSVVRSSTTDDWDLLSESANLEKGCVNLDPSFKISPRASCSLAPLDADPSMPPYDPKTNYLSPRPRFLHYKPNPRVQMYLNRERDSNQLEESSASETSDTDVTEEETLSDDSQKESEDALSGDVMYKEEEEELLVSVPNPLSASEGAAEAKRFLKPNFFTKTKFNALLLILAIACLWASVTNSPVMDPPVLNNLSFPNPYVPPEISKFIRTNLEGLAHKFQQWIYDSLSYFHNLIVNFKEWLNPGPLQFANLTTLLEDGSADNHILGDHSPFGAAVKYERNELRLTREGEIDIKWLEEKEDQLIEDDEKETDENIEEAVEEEKNDQEYEDKEVIAAHDIPDNVEVPGNDIVLESEGINMTLQAEVIEPDSTPTEVTQKSGSIGELQSNTDKNLLVPIPQAAEIQTEDSFCIQPPAENDKSSAATDFSIAGNIPESLDSNSGKKNPESSESVDLEIHRSKNLFSSQIVMGISLLVLGLLAVFAFIHTNNKASTKPNVAIAMDQAPLTKKLDYSPLTDVETVGESYPSEMSSFEHGSSYRKEGNKGTREAQGQGRKPRRNSRRESLASSDYSTESQSYGSFTTYEKILSKNGNGEEELFTPVRRSSRIRNHVTSS >Manes.07G052400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6242121:6247464:1 gene:Manes.07G052400.v8.1 transcript:Manes.07G052400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSKNPTSFSQIPSRPSNPRNTEVNNPIRRSSCGSTFTKPSIITSQRPGFIPNTPANSPADHLRRSSIFKENVVVSLHDSEDKENSKDQDLKQAIARSPASTKGTKNFMSPTISATSKINASPRKKILTERNEPTCTSVSFTDCKNLQKEDSDWKPEKGLNQKKGPFDPTITYLGDKDTSQSNEDFDSLVHSSIKVDWELPESATLEKGWKKEVVSLVPTITYLDDKDNLTKSEDFDSVVRSSTTDDWDLLSESANLEKGCVNLDPSFKISPRASCSLAPLDADPSMPPYDPKTNYLSPRPRFLHYKPNPRVQMYLNRERDSNQLEESSASETSDTDVTEEETLSDDSQKESEDALSGDVMYKEEEEELLVSVPNPLSASEGAAEAKRFLKPNFFTKTKFNALLLILAIACLWASVTNSPVMDPPVLNNLSFPNPYVPPEISKFIRTNLEGLAHKFQQWIYDSLSYFHNLIVNFKEWLNPGPLQFANLTTLLEDGSADNHILGDHSPFGAAVKYERNELRLTREGEIDIKWLEEKEDQLIEDDEKETDENIEEAVEEEKNDQEYEDKEVIAAHDIPDNVEVPGNDIVLESEGINMTLQAEVIEPDSTPTEVTQKSGSIGELQSNTDKNLLVPIPQAAEIQTEDSFCIQPPAENDKSSAATDFSIAGNIPESLDSNSGKKNPESSESVDLEIHRIP >Manes.12G088000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13301688:13304231:-1 gene:Manes.12G088000.v8.1 transcript:Manes.12G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEGVSSWATVPPPRVPPFNLEREEHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPRSLSSSSSASIRTPTDLEANVVFHSKIDYPSPKMTVYAKGVSVLMPGEDAPTFLAHPAPAPCAPEPINNTLHHHGPCLSFTLASTSS >Manes.15G007400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:744797:749363:-1 gene:Manes.15G007400.v8.1 transcript:Manes.15G007400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTNGKGSMSTASSSAAWRAGDVVPDQFPAGLRVLVVDDDPTCLMILEKMLRTCLYEVTKCNRAETALSLLRENKNGYDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKKRNEWKDLEQSGSVEEGDRQPKQSEEADYSSSANEGNWRNSKKRKDEEEDAEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNNLTNSFINPQEASYGPLSSLNGLDLQTLAATGQLPAQSLATLQAAGLGRSAVKSRMPMPIVDQRNLFSFENPKLRFGEGQQQISSSKPMNFLHGIPTTMEPKQLANLHHSAQSLGGMNMQVNAHGGQGGQGDSLLMQMSQSQSRGQILNETTGSHVPTLPSSIGQPVLPNAVVGGVLARNGLAENGRGTGYIQVSQSSSMLNFPLNSPAELSGNSFPLGSAPGISSLTSKGTFQEEVNSEIKGSVGFMPSYDIFSDLNNHKSHDWELHNVGMTFNASHQANSLQSNLDVGSSVLSHQGFSSSQRTGQNRNISAVGKPIFTAGDATDHVNANNVGPLNTFFDNSVRVKSEIVPDANCDTNIFSEQFGQEDLMSALLKQQQGGVAPAENEFDFDG >Manes.15G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:744797:749363:-1 gene:Manes.15G007400.v8.1 transcript:Manes.15G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTNGKGSMSTASSSAAWRAGDVVPDQFPAGLRVLVVDDDPTCLMILEKMLRTCLYEVTKCNRAETALSLLRENKNGYDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKKRNEWKDLEQSGSVEEGDRQPKQSEEADYSSSANEGNWRNSKKRKDEEEDAEERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNNLTNSFINPQEASYGPLSSLNGLDLQTLAATGQLPAQSLATLQAAGLGRSAVKSRMPMPIVDQRNLFSFENPKLRFGEGQQQISSSKPMNFLHGIPTTMEPKQLANLHHSAQSLGGMNMQVNAHGGQGGQGDSLLMQMSQSQSRGQILNETTGSHVPTLPSSIGQPVLPNAVVGGVLARNGLAENGRGTGYIQVSQSSSMLNFPLNSPAELSGNSFPLGSAPGISSLTSKGTFQEEVNSEIKGSVGFMPSYDIFSDLNNHKSHDWELHNVGMTFNASHQANSLQSNLDVGSSVLSHQGFSSSQRTGQNRNISAVGKPIFTAGDATDHVNANNVGPLNTFFDNSVRVKSEIVPDANCDTNIFSEQFGQEDLMSALLKQQQGGVAPAENEFDFDGYPLDNIPV >Manes.15G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5857779:5863206:-1 gene:Manes.15G078200.v8.1 transcript:Manes.15G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRLK1 MKGESSGLIIGISIGVVIGVLIAVLALVCFRYHRKRSQIGNSSSRRAATIPIRENGADSCTILSDSTLAPESPVKSGRNGMSLWLEGFKKNNMMAVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATNSKQGEKEFHTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYSESHEPLSWDLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDHSVRARVADFGLSREEMVDRHAANIRGTFGYLDPEYISTGNFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLDGKFDVQELNEVATLAYKCINRVPKKRPSMRDVVQVLTRILKLRHNRRHHHRKSLSATADEVSIDLDQLEIKTPVSECHRRDESLDSADTCEV >Manes.13G142400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35637548:35647010:1 gene:Manes.13G142400.v8.1 transcript:Manes.13G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRNSASGTGTGTAGEEKTVKVPSKDSRRKHEKNEDLSDEELALKQQLDLYVEMVQDPDPGLQKVALESMRQEIQTSTSSMTSVPKPLKFLRPHYETLKSYYATMLDSDLKKHLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGECAEEYAKRQSGEETSILDLMEVVIDIVVFHIKHNAETEVVDLLMEVEYIDLLIDHMDNTNFKRTCLYLTSASRYLPDPDNVLILDVAYMIYLKFEEYPNALQIALFIDNIQEVSQIFIDCDDMLQKKQFSYIVARHGMHFELDDEMASEDDDRFALQDIVNNAKLSEGYLTLAREIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGRDKLMTVPSDSSSGSSGNWLFKNKDDGKASAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGIKNDFDPALALLGNYTDKEDSSIRIGAIMGLGIAYAGSQNEQLYDKLSRILNDAKAPLDVIAFTAISLGLICVGSCDHDAAQAIIFALMDRNAAELQEPLFRFLPLGLGLLYLGKQESVEATTEISKTFNEKIRKYCDMTLLSCAFAGTGDVLVVQKLLGHCAQHLLRGEAHQGPAVLGIAMVAMAEELGLEMGIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDADSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYEDASLLFCVRISQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLITMLHACLNMKAIILGKYHFVLYFLVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLSPFLEGFIVLTENPEYREDH >Manes.13G142400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35640139:35647010:1 gene:Manes.13G142400.v8.1 transcript:Manes.13G142400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVPKPLKFLRPHYETLKSYYATMLDSDLKKHLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGECAEEYAKRQSGEETSILDLMEVVIDIVVFHIKHNAETEVVDLLMEVEYIDLLIDHMDNTNFKRTCLYLTSASRYLPDPDNVLILDVAYMIYLKFEEYPNALQIALFIDNIQEVSQIFIDCDDMLQKKQFSYIVARHGMHFELDDEMASEDDDRFALQDIVNNAKLSEGYLTLAREIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGRDKLMTVPSDSSSGSSGNWLFKNKDDGKASAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGIKNDFDPALALLGNYTDKEDSSIRIGAIMGLGIAYAGSQNEQLYDKLSRILNDAKAPLDVIAFTAISLGLICVGSCDHDAAQAIIFALMDRNAAELQEPLFRFLPLGLGLLYLGKQESVEATTEISKTFNEKIRKYCDMTLLSCAFAGTGDVLVVQKLLGHCAQHLLRGEAHQGPAVLGIAMVAMAEELGLEMGIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDADSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYEDASLLFCVRISQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLITMLHACLNMKAIILGKYHFVLYFLVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLSPFLEGFIVLTENPEYREDH >Manes.03G143300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27122622:27125554:1 gene:Manes.03G143300.v8.1 transcript:Manes.03G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDPNSKPESSTVPWQDMFRSGSFRKPTTTNPQKPPTNSSNAPPSEANLNNPDHKTTFSGDPQVRLSIYIAMAHAGLALTIFVLYFVCKLLQEYLRPIQWAILCSIPLRGIQETLVDFWSEPLKLGLTETVLAVPVAIFKAFVGTIADIKDVFLRVFLKRPKREGPRRTRRSGFSKLVRWLVSFGVFVIAYERIGGMGSLLILGLGFISSTKTAGSTFSAVASFKSNSIKRTAISGFFTRGILKRLKTMVAIGLIVGMIVGFLAGLIFFSYKIGVEGKDVVISLKSHVEENNYAERLGIKKWMEENDVPGMVDKYTTTVYETVSEQIDTLAMQYNLTELVTGIKHFVISTPANSSEQSTAAMRPSPYTEKLMNLRMKITKQEWGEIYTELDAIFRELIITREDLVEKAKGFAVRGMDVSQRVLTSSASVLGGGAKLMFSIGNSIISGAAEVFNFVSQSMVFFWVLYYLITSESGGVTEQVMCMVPIPKAARTRCVDVLDNAISGVLLATAEIAFFQGCLTWLLFRLYDIHFLYVSTVLAFISPLVPIFPPWFATIPATVQLVLESRYILAISLSMIHIALMDYGASEIQEDIPGYSEYLTGLSIIGGMTLFPSPVEGAIMGPLITTVVIGLKDLYAEFVLDEPKKND >Manes.06G130300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25984063:25986337:-1 gene:Manes.06G130300.v8.1 transcript:Manes.06G130300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEATVVMPKIITFLSSLLQRVAESNDHTHQLHPQRISVFHGLTRPNISIQSYLERIFKYANCSPSCFVVAYVYLDRFAHKQPSFPINSFNVHRLLITSVLVSAKFLDDIYYNNAYYAKVGGISTAEMNLLEVDFLFGLGFQLNVTPTTFNTYCSYLQREMMQSSVELDNPLNISRPLKLHCCFSEDESTHQKQLAV >Manes.08G119000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35803281:35807026:1 gene:Manes.08G119000.v8.1 transcript:Manes.08G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFLRKASISCSRRFFFRALSIAAAGSGLLYAESNSDYNATISLSVPAPLSESLSLPWSFHLIPLRPSFTSPDNRHFGILPLFSPRVSPVPSTDREKECPGVVAGDSSKPSCGCLGRDTIANAAARVGPAVVNLSVPQGFYGITTGKSIGSGTIIDSDGTILTCAHVVVDFQGMRGSSKGKVDVTLQDGRTFEGTVVNADLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVLAMGCPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNVDGQVVGVNIMKVLAADGLSFAVPIDSVIKIIEHFKKSGRVVRPWLGLKMIDLNEMIIAQLKERDPRFPNVDRGVLVPMVTPGSPADRAGFHPGDVVIEFDGKPVQSIKEIIEIMGDRVGIPLKTVVKRPNDILVTLTVIPEEANPDM >Manes.16G101500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30699650:30702405:-1 gene:Manes.16G101500.v8.1 transcript:Manes.16G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASPASTWGFATGLFTPAYLFLLLNLVIGTIALTSRFGSNRRQHQEEIRPLARAPSLLERVKSINLSFYNYPSSDSAPQVEGTADPVQDSEPECLTEVPPAQLERAPSLLERVKSIKFSSFYTSEPETKIVAEPVSEPHMDAGHVDHQVKRSKSEPRAAPERKAREKMKKSASEREVVVEKEEDGESVERRRPATTRIEKTVSFGDEGVDAKADDFINRFKQQLKLQRLDSLLRYRDMLKGK >Manes.17G052100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24881397:24887305:-1 gene:Manes.17G052100.v8.1 transcript:Manes.17G052100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWEEAALVADIGSKAQVPVLSFAAPAITPPSTPTRWPFLVRMVYNASVQMRCIAELTRAYSWRRVVVVYEDNTYGGDSGELTLLSQALQEVGSEIEHRLVLPPFAVVSDPKEAVKEELRKLQEIKSRVFIVLQTSLPLVIHLFREAKEMGMVGKDTVWILRDTVTSSLDSVNTSVIYSMEGALGIKSYYSDSSSAYKSFHAQFRQIFRSEYPEEDNSEPGFYALRAYDSISTIVKAMERMSSNSSSSPKEFLNNILSSNFTGLSGQISFKAGELMHTPKLRIVNVVGKRYKEIDFWLPGYGFSESNENKEHQNGGGAMRLQRPVNWPGDLNRIPKGWAMPSNTRPMRIGVPGRTSFQRFVRVVNESENLYDGFCIELFHKVLPLLDYDLPYIFEPYNGTYDDLVNLVYNKTYDAVVGDVTILADRADKVEFTQPYAESGLSMIVPLKFEESAWMFMKPFTWQMWVVTGAILIYTMFIVWFLEHQSNPEFKGPLKNQIGTAVSFTFSSLFFAHREKIYGNLTRVVLVVWLFVVLILNSSYTASLTSMLTIQRLRPNVTDIDWLRRNNLPVGCDGDSFVRDYLKKVLKFNNVRNVTSEYNYESEFQSREIYAAFLELPYQKVFISHYCKQYASNTPTYRFGGLGFAFQKGSPMASDFSKAILRLSENGELVKLENKWFAHSQECSSTTTENETESLGLKNFWVLFVISGATSTICFLLFLIHLVNKYWHYEKAEGAGNATPSEKSSVWRKTVTLAKYIYQGETAIPVNSPAFSPSPDFHEWISSRWESSSPCDNLASLPASSSPPEIDVINL >Manes.17G052100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24881397:24886975:-1 gene:Manes.17G052100.v8.1 transcript:Manes.17G052100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWEEAALVADIGSKAQVPVLSFAAPAITPPSTPTRWPFLVRMVYNASVQMRCIAELTRAYSWRRVVVVYEDNTYGGDSGELTLLSQALQEVGSEIEHRLVLPPFAVVSDPKEAVKEELRKLQEIKSRVFIVLQTSLPLVIHLFREAKEMGMVGKDTVWILRDTVTSSLDSVNTSVIYSMEGALGIKSYYSDSSSAYKSFHAQFRQIFRSEYPEEDNSEPGFYALRAYDSISTIVKAMERMSSNSSSSPKEFLNNILSSNFTGLSGQISFKAGELMHTPKLRIVNVVGKRYKEIDFWLPGYGFSESNENKEHQNGGGAMRLQRPVNWPGDLNRIPKGWAMPSNTRPMRIGVPGRTSFQRFVRVVNESENLYDGFCIELFHKVLPLLDYDLPYIFEPYNGTYDDLVNLVYNKTYDAVVGDVTILADRADKVEFTQPYAESGLSMIVPLKFEESAWMFMKPFTWQMWVVTGAILIYTMFIVWFLEHQSNPEFKGPLKNQIGTAVSFTFSSLFFAHREKIYGNLTRVVLVVWLFVVLILNSSYTASLTSMLTIQRLRPNVTDIDWLRRNNLPVGCDGDSFVRDYLKKVLKFNNVRNVTSEYNYESEFQSREIYAAFLELPYQKVFISHYCKQYASNTPTYRFGGLGFAFQKGSPMASDFSKAILRLSENGELVKLENKWFAHSQECSSTTTENETESLGLKNFWVLFVISGATSTICFLLFLIHLVNKYWHYEKAEGAGNATPSEKSSVWRKTVTLAKYIYQGETAIPVNSPAFSPSPDFHEWISSRWESSSPCDNLASLPASSSPPEIDVINL >Manes.17G052100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24881397:24885628:-1 gene:Manes.17G052100.v8.1 transcript:Manes.17G052100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGIFICFQVKGYRVPLPFISSNSVSKLWHILLVLIITSFFLFLHCEADAINGNYKQVTSIVAIIDLNSRIGKEEKTAIDVAVLNFNNGSNDHNISVYYHDHQSNPLHTAQAAESFIKDKGGVKAILGMMKWEEAALVADIGSKAQVPVLSFAAPAITPPSTPTRWPFLVRMVYNASVQMRCIAELTRAYSWRRVVVVYEDNTYGGDSGELTLLSQALQEVGSEIEHRLVLPPFAVVSDPKEAVKEELRKLQEIKSRVFIVLQTSLPLVIHLFREAKEMGMVGKDTVWILRDTVTSSLDSVNTSVIYSMEGALGIKSYYSDSSSAYKSFHAQFRQIFRSEYPEEDNSEPGFYALRAYDSISTIVKAMERMSSNSSSSPKEFLNNILSSNFTGLSGQISFKAGELMHTPKLRIVNVVGKRYKEIDFWLPGYGFSESNENKEHQNGGGAMRLQRPVNWPGDLNRIPKGWAMPSNTRPMRIGVPGRTSFQRFVRVVNESENLYDGFCIELFHKVLPLLDYDLPYIFEPYNGTYDDLVNLVYNKTYDAVVGDVTILADRADKVEFTQPYAESGLSMIVPLKFEESAWMFMKPFTWQMWVVTGAILIYTMFIVWFLEHQSNPEFKGPLKNQIGTAVSFTFSSLFFAHREKIYGNLTRVVLVVWLFVVLILNSSYTASLTSMLTIQRLRPNVTDIDWLRRNNLPVGCDGDSFVRDYLKKVLKFNNVRNVTSEYNYESEFQSREIYAAFLELPYQKVFISHYCKQYASNTPTYRFGGLGFAFQKGSPMASDFSKAILRLSENGELVKLENKWFAHSQECSSTTTENETESLGLKNFWVLFVISGATSTICFLLFLIHLVNKYWHYEKAEGAGNATPSEKSSVWRKTVTLAKYIYQGETAIPVNSPAFSPSPDFHEWISSRWESSSPCDNLASLPASSSPPEIDVINL >Manes.17G052100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24881397:24887005:-1 gene:Manes.17G052100.v8.1 transcript:Manes.17G052100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWEEAALVADIGSKAQVPVLSFAAPAITPPSTPTRWPFLVRMVYNASVQMRCIAELTRAYSWRRVVVVYEDNTYGGDSGELTLLSQALQEVGSEIEHRLVLPPFAVVSDPKEAVKEELRKLQEIKSRVFIVLQTSLPLVIHLFREAKEMGMVGKDTVWILRDTVTSSLDSVNTSVIYSMEGALGIKSYYSDSSSAYKSFHAQFRQIFRSEYPEEDNSEPGFYALRAYDSISTIVKAMERMSSNSSSSPKEFLNNILSSNFTGLSGQISFKAGELMHTPKLRIVNVVGKRYKEIDFWLPGYGFSESNENKEHQNGGGAMRLQRPVNWPGDLNRIPKGWAMPSNTRPMRIGVPGRTSFQRFVRVVNESENLYDGFCIELFHKVLPLLDYDLPYIFEPYNGTYDDLVNLVYNKTYDAVVGDVTILADRADKVEFTQPYAESGLSMIVPLKFEESAWMFMKPFTWQMWVVTGAILIYTMFIVWFLEHQSNPEFKGPLKNQIGTAVSFTFSSLFFAHREKIYGNLTRVVLVVWLFVVLILNSSYTASLTSMLTIQRLRPNVTDIDWLRRNNLPVGCDGDSFVRDYLKKVLKFNNVRNVTSEYNYESEFQSREIYAAFLELPYQKVFISHYCKQYASNTPTYRFGGLGFAFQKGSPMASDFSKAILRLSENGELVKLENKWFAHSQECSSTTTENETESLGLKNFWVLFVISGATSTICFLLFLIHLVNKYWHYEKAEGAGNATPSEKSSVWRKTVTLAKYIYQGETAIPVNSPAFSPSPDFHEWISSRWESSSPCDNLASLPASSSPPEIDVINL >Manes.17G052100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24881397:24885628:-1 gene:Manes.17G052100.v8.1 transcript:Manes.17G052100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGIFICFQVKGYRVPLPFISSNSVSKLWHILLVLIITSFFLFLHCEADAINGNYKQVTSIVAIIDLNSRIGKEEKTAIDVAVLNFNNGSNDHNISVYYHDHQSNPLHTAQAAAESFIKDKGGVKAILGMMKWEEAALVADIGSKAQVPVLSFAAPAITPPSTPTRWPFLVRMVYNASVQMRCIAELTRAYSWRRVVVVYEDNTYGGDSGELTLLSQALQEVGSEIEHRLVLPPFAVVSDPKEAVKEELRKLQEIKSRVFIVLQTSLPLVIHLFREAKEMGMVGKDTVWILRDTVTSSLDSVNTSVIYSMEGALGIKSYYSDSSSAYKSFHAQFRQIFRSEYPEEDNSEPGFYALRAYDSISTIVKAMERMSSNSSSSPKEFLNNILSSNFTGLSGQISFKAGELMHTPKLRIVNVVGKRYKEIDFWLPGYGFSESNENKEHQNGGGAMRLQRPVNWPGDLNRIPKGWAMPSNTRPMRIGVPGRTSFQRFVRVVNESENLYDGFCIELFHKVLPLLDYDLPYIFEPYNGTYDDLVNLVYNKTYDAVVGDVTILADRADKVEFTQPYAESGLSMIVPLKFEESAWMFMKPFTWQMWVVTGAILIYTMFIVWFLEHQSNPEFKGPLKNQIGTAVSFTFSSLFFAHREKIYGNLTRVVLVVWLFVVLILNSSYTASLTSMLTIQRLRPNVTDIDWLRRNNLPVGCDGDSFVRDYLKKVLKFNNVRNVTSEYNYESEFQSREIYAAFLELPYQKVFISHYCKQYASNTPTYRFGGLGFAFQKGSPMASDFSKAILRLSENGELVKLENKWFAHSQECSSTTTENETESLGLKNFWVLFVISGATSTICFLLFLIHLVNKYWHYEKAEGAGNATPSEKSSVWRKTVTLAKYIYQGETAIPVNSPAFSPSPDFHEWISSRWESSSPCDNLASLPASSSPPEIDVINL >Manes.15G146400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12075734:12079728:-1 gene:Manes.15G146400.v8.1 transcript:Manes.15G146400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQTRSASSKRNNSSATEELEPDRELNKRQKRPQSQEEPCFPTKSCLDRYHSWFISVKIVPGRFVDLNFFTQEGFQFGKWFQDMNWVPIVGMRQKFYPQLVKHFYANLSYDPDKTQIFSLVKGKEIRLNQESLMKILGIPNLGSEIYDNDKWVEDAGVSRVEALRMVLDNPDVSEVTKLNACHLKLEMRLLHHMIVHIILPRKRNFNHVSSMDLLVMWHILRGKPFNLPFLLLAHMIACSEKKNACLPYGMILTSIFNHFEFPLEEEESVELKGSDIYNEVTLHKMGYVKRDRGWFLKKDKAVVQTSLEIQSQGNTDINQSQDASVPPKTTSQQTPSHGTTSMSESNPTSSASPSLMVAKQPSLIEGIFVLVKGMREDVSTMTMSPKLDNLHNKIENLQLLVTQLHCQRQERTIGDIFLLLEVIMADMRTLRSKMDSFEKKIDCLQAQLTEFLHQREDLGKVDKESIEWMIGETVTLRGQNRDIICHFETTSSAIQDFWDRLSSRIGGVLFH >Manes.15G146400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12077261:12079728:-1 gene:Manes.15G146400.v8.1 transcript:Manes.15G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQTRSASSKRNNSSATEELEPDRELNKRQKRPQSQEEPCFPTKSCLDRYHSWFISVKIVPGRFVDLNFFTQEGFQFGKWFQDMNWVPIVGMRQKFYPQLVKHFYANLSYDPDKTQIFSLVKGKEIRLNQESLMKILGIPNLGSEIYDNDKWVEDAGVSRVEALRMVLDNPDVSEVTKLNACHLKLEMRLLHHMIVHIILPRKRNFNHVSSMDLLVMWHILRGKPFNLPFLLLAHMIACSEKKNACLPYGMILTSIFNHFEFPLEEEESVELKGSDIYNEVTLHKMGYVKRDRGWFLKKDKAVVQTSLEIQSQGNTDINQSQDASVPPKTTSQQTPSHGTTSMSESNPTSSASPSLMVAKQPSLIEGIFVLVKGMREDVSTMTMSPKLDNLHNKIENLQLLVTQLHCQRQERTIGDIFLLLEVIMADMRTLRSKMDSFEKKIDCLQAQLTEFLHQREDLGKVDKESIEWMIGETVTLRGQNRDIICHFETTSSAIQDFWDRLSSRIGGVLFH >Manes.01G159500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34480299:34490241:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTDQLPQFMNRKLEIKALLSLLLSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQDCENEYEHGVMVDPLAATSVKSKGKNSTGISLPPFGMATYKMQGGVWVNPVTSDFERMIYLRNAADSWLKQLDFHHPDYNFFVYHTPISEEITQ >Manes.01G159500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34476235:34487268:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSPLLSSRNPQSNLERLLQCVTPVVPPRILPRSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQGILIFCYLSYFLTVLWF >Manes.01G159500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34476235:34490264:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSPLLSSRNPQSNLERLLQCVTPVVPPRILPRSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQDCENEYEHGVMVDPLAATSVKSKGKNSTGISLPPFGMATYKMQGGVWVNPVTSDFERMIYLRNAADSWLKQLDFHHPDYNFFVYHTPM >Manes.01G159500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34476235:34490432:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSPLLSSRNPQSNLERLLQCVTPVVPPRILPRSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQDCENEYEHGVMVDPLAATSVKSKGKNSTGISLPPFGMATYKMQGGVWVNPVTSDFERMIYLRNAADSWLKQLDFHHPDYNFFVYHTPISEEITQ >Manes.01G159500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34477151:34490240:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAIWGGLDVALVCQVLWAFSIFIHGMLRISSEDVAMSLSFLCFFMVSEPCVAVHPLHIIHFIVCCQVLSCQSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQDCENEYEHGVMVDPLAATSVKSKGKNSTGISLPPFGMATYKMQGGVWVNPVTSDFERMIYLRNAADSWLKQLDFHHPDYNFFVYHTPM >Manes.01G159500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34476288:34490240:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSPLLSSRNPQSNLERLLQCVTPVVPPRILPRSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQDCENEYEHGVMVDPLAATSVKSKGKNSTGISLPPFGMATYKMQGGVWVNPVTSDFERMIYLRNAADSWLKQLDFHHPDYNFFVYHTPISEEITQ >Manes.01G159500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34480299:34490240:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTDQLPQFMNRKLEIKALLSLLLSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQDCENEYEHGVMVDPLAATSVKSKGKNSTGISLPPFGMATYKMQGGVWVNPVTSDFERMIYLRNAADSWLKQLDFHHPDYNFFVYHTPM >Manes.01G159500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34477151:34490240:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAIWGGLDVALVCQVLWAFSIFIHGMLRISSEDVAMSLSFLCFFMVSEPCVAVHPLHIIHFIVCCQVLSCQSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQDCENEYEHGVMVDPLAATSVKSKGKNSTGISLPPFGMATYKMQGGVWVNPVTSDFERMIYLRNAADSWLKQLDFHHPDYNFFVYHTPISEEITQ >Manes.01G159500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34476288:34490240:1 gene:Manes.01G159500.v8.1 transcript:Manes.01G159500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSPLLSSRNPQSNLERLLQCVTPVVPPRILPRSCVHDLNSEWQPPGRKDIVEYFTLRDLWDCYGEWSAYGAGTQVLLNKGESVMQYYVPYLSAIQIYSNKSSLTSRNLNPRELTDAVDFESDCWSDDSTSDELSRSLSNNSNRTWDTISEDLSIDHEGSLLTKDRFGYLYLHYCEISSPCWRVPLMEKITELAKNHPGLMTLKNVDLSPASFMAVAWYPIYHIPSQRNDKDLSTCFLTYHTLSSSFQDCENEYEHGVMVDPLAATSVKSKGKNSTGISLPPFGMATYKMQGGVWVNPVTSDFERMIYLRNAADSWLKQLDFHHPDYNFFVYHTPM >Manes.05G012300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:793333:798838:-1 gene:Manes.05G012300.v8.1 transcript:Manes.05G012300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTPLPITQEKKSQPKKDSQRKPDGISREVYALTGGLAPLMPSIDTTQLKRRPPSDEKITWQWLPFTNSARKDNLQLYHWVRVVNGVSPTGDYSFAKYNKSVDIVRYTDEEYEKHLTDPMWTKKETDQLFDLCERFDLRFIIIADRFPSSRTVEELKDRYYSVSRAILLARAPSPGDVSAHPLIKEPYNVSQEVDRKRALSMVLSQTKQQERKDAEVLAEAKRITESRMAARGVEESTLHVAPNAGPEIAETANVDGTVSPSSNVQLASAAVAPSTSVTAENASTLASLRMVNLKPRVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYTEMPGTPKRSHRDQDGIFIPDSMSFGGERAGRRDQKRKGPGRLSDAPASPAAHKRPRKLKASDL >Manes.05G012300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:793333:798843:-1 gene:Manes.05G012300.v8.1 transcript:Manes.05G012300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTPLPITQEKKSQPKKDSQRKPDGISREVYALTGGLAPLMPSIDTTQLKRRPPSDEKITWQWLPFTNSARKDNLQLYHWVRVVNGVSPTGDYSFAKYNKSVDIVRYTDEEYEKHLTDPMWTKKETDQLFDLCERFDLRFIIIADRFPSSRTVEELKDRYYSVSRAILLARAPSPGDVSAHPLIKEPYNVSQEVDRKRALSMVLSQTKQQERKDAEVLAEAKRITESRMAARGVEESTLHVAPNAGPEIAETANVDGTVSPSSNVQLASAAVAPSTSVTAENASTLASLRMLRVYLRTYALEQMVQAASSTAGLRTIKRVEQILQDLGVNLKPRVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYTEMPGTPKRSHRDQDGIFIPDSMSFGGERAGRRDQKRKGPGRLSDAPASPAAHKRPRKLKASDL >Manes.05G012300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:793827:798838:-1 gene:Manes.05G012300.v8.1 transcript:Manes.05G012300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTPLPITQEKKSQPKKDSQRKPDGISREVYALTGGLAPLMPSIDTTQLKRRPPSDEKITWQWLPFTNSARKDNLQLYHWVRVVNGVSPTGDYSFAKYNKSVDIVRYTDEEYEKHLTDPMWTKKETDQLFDLCERFDLRFIIIADRFPSSRTVEELKDRYYSVSRAILLARAPSPGDVSAHPLIKEPYNVSQEVDRKRALSMVLSQTKQQERKDAEVLAEAKRITESRMAARGVEESTLHVAPNAGPEIAETANVDGTVSPSSNVQLASAAVAPSTSVTAENASTLASLRMVNLKPRVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYTEMPGTPKRSHRDQDGIFIPDSMSFGGERAGRRDQKRKGPGRLSDAPASPAAHKRPRKLKASDL >Manes.05G012300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:793827:798838:-1 gene:Manes.05G012300.v8.1 transcript:Manes.05G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKTPLPITQEKKSQPKKDSQRKPDGISREVYALTGGLAPLMPSIDTTQLKRRPPSDEKITWQWLPFTNSARKDNLQLYHWVRVVNGVSPTGDYSFAKYNKSVDIVRYTDEEYEKHLTDPMWTKKETDQLFDLCERFDLRFIIIADRFPSSRTVEELKDRYYSVSRAILLARAPSPGDVSAHPLIKEPYNVSQEVDRKRALSMVLSQTKQQERKDAEVLAEAKRITESRMAARGVEESTLHVAPNAGPEIAETANVDGTVSPSSNVQLASAAVAPSTSVTAENASTLASLRMLRVYLRTYALEQMVQAASSTAGLRTIKRVEQILQDLGVNLKPRVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYTEMPGTPKRSHRDQDGIFIPDSMSFGGERAGRRDQKRKGPGRLSDAPASPAAHKRPRKLKASDL >Manes.07G072676.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:15424651:15426347:1 gene:Manes.07G072676.v8.1 transcript:Manes.07G072676.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLMWGPILPKKIPHKHQSLMQIIHASLLRISMARKWFSRSQTTDHSPRIKKAMHLSLNSCSHHDFNSPTTTLTPDPNISAQASAELVVIGMCSQHEQNSSLNIGDLERTPLPQKDKEAKNLDISTHIRPYEENPSAK >Manes.08G102900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34149427:34149899:-1 gene:Manes.08G102900.v8.1 transcript:Manes.08G102900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHRLDNPPPPPGHPPSYPPPGYPPPPRAGYQDYFDEGYPPPPPPPPPPPPVTSMEYRQEDAGCRSFLKGWYVIFILFSIKRFLNRAAYQEIVYIRCINKNDHDLVNSYTITSLFLIEC >Manes.08G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34148965:34149899:-1 gene:Manes.08G102900.v8.1 transcript:Manes.08G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHRLDNPPPPPGHPPSYPPPGYPPPPRAGYQDYFDEGYPPPPPPPPPPPPVTSMEYRQEDAGCRSFLKGCLAALCCCCMLEECCP >Manes.01G201400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37448373:37452084:1 gene:Manes.01G201400.v8.1 transcript:Manes.01G201400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSLIEHTLRLPPSLLSLPLQDAIKQELENTFLDKVIANLGLCISVYDIRKLEGGFIFPGDGASTYVVEFRMVVFRPFVGEIIAAKLKESNKDGLRLSLGFFDDIYVPAHRLPNPSIYERDPGNRYQVVWSWEYDSEDNNKFIIDGLDEIKFRVENVEYPPVPIEQPEKPFAPMVITGTLDDDGLGPVSWW >Manes.01G201400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37448373:37452084:1 gene:Manes.01G201400.v8.1 transcript:Manes.01G201400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSLIEHTLRLPPSLLSLPLQDAIKQELENTFLDKVIANLGLCISVYDIRKLEGGFIFPGDGASTYVVEFRMVVFRPFVGEIIAAKLKESNKDGLRLSLGFFDDIYVPAHRLPNPSIYERDPGNRYQVVWSWEYDSEDNNKFIIDGLDEIKFRVENVEYPPVPIEQPEKPFAPMVITGTLDDDGLGPVSWW >Manes.01G201400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37448373:37452084:1 gene:Manes.01G201400.v8.1 transcript:Manes.01G201400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSLIEHTLRLPPSLLSLPLQDAIKQELENTFLDKVIANLGLCISVYDIRKLEGGFIFPGDGASTYVVEFRMVVFRPFVGEIIAAKLKESNKDGLRCMFNEDFTLSLGFFDDIYVPAHRLPNPSIYERDPGNRYQVVWSWEYDSEDNNKFIIDGLDEIKFRVENVEYPPVPIEQPEKPFAPMVITGTLDDDGLGPVSWW >Manes.01G201400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37448373:37452084:1 gene:Manes.01G201400.v8.1 transcript:Manes.01G201400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSLIEHTLRLPPSLLSLPLQDAIKQELENTFLDKVIANLGLCISVYDIRKLEGGFIFPGDGASTYVVEFRMVVFRPFVGEIIAAKLKESNKDGLRCMFNEDFTLSLGFFDDIYVPAHRLPNPSIYERDPGNRYQVVWSWEYDSEDNNKFIIDGLDEIKFRVENVEYPPVPIEQPEKPFAPMVITGTLDDDGLGPVSWW >Manes.05G042000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3389815:3394063:-1 gene:Manes.05G042000.v8.1 transcript:Manes.05G042000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRLELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSSRGKVYEFGSAGTTKTLERYQRCCFTPQGNSIERETQGWYQELTKLKAKYESLQRTQRHLLGEDLGPLSLKELQNLEKQLEGALALARQRKTQILIEQMEDLRKKERHLGNLNKQLKLKLDAEVQSLALQDLWNSGAADGHSNFALHAPQSNHLDCEPGPVLQIGYHHFVEAEGSSVPRSMVSETNFERGWVL >Manes.03G188300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30782566:30790725:1 gene:Manes.03G188300.v8.1 transcript:Manes.03G188300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMRGMLIRTAPNFGNSQRLFKRSHVEQSDLLFCANRSILRFCSGLPNSRSKRSFDQPLQGRIRAQVLAPVSDSTAPTTKKRVFTFGKGRSEGNKSMKSLLGGKGANLAEMASIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGLQSVEEDMGATLGDPSKPLLLSVRSGAATSMPGMMDTVLNLGLNDEVVAGLSLKSGERFAYDSYRRFLDMFGDVVMGIPHSSFEEKLEKMKDIKGVKLDTDLTAHDLKELVEQYKKVYLEATGEVFPSDPKKQLQLAVKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPEDLDTMKHCMPEAYKELVENCKILEHHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDSRSAIKMVEPQHLDQLLHPQFEDPSAYKDKVIATGLPASPGATVGQIVFSADDAEAWHAQGKCVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDSEKVVVIGDTVIHEGEWISLNGSTGEVILGKQPLSPPALSGDLETFMSWADEIRRIKVMANADTPEDALTARNNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTPAQRKAALDLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTTETGMKEDEVFSRIEKLSEVNPMLGFRGCRLGVSYPELTEMQARAIFQAAVSMSNQGVTVLPEIMVPLVGTPQELGHQVTLIRSVADKVFSEMGVTLSYKVGTMIEIPRAALVADEIAKVAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKMATEKGRAARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVVV >Manes.08G090950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30706391:30713713:1 gene:Manes.08G090950.v8.1 transcript:Manes.08G090950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGLESATAAATSGNETDILALLDFKNLITQDPLQVMSSWNDSVHFCNWIGVSCSSSNDRVITLNLNSKKLAGSIPPFIGNLTHLTVINLHENSFSGELPQEMGRLWRLQHLNLTYNSFVGKIPSNLTHCKELTVIGASGNNLVGEIPEQLSSLTKLVVFAFGENNLTGNIPNELGRLSSLGFFQLYGNYLSGKIPSLIYNLSSIYYFSVTQNQLHGQLPQDIGLTLPKLRVFAGGVNNFTGVIPVSLSNVSGLQVLDFSQNSLTGNIPGNLKNLQSLYRLNFDENNLGNWEIDDLNFLSYLANCTSLEVLGLAQNHYAGELPSSIANLSINLQKFTIGRNLIHGSIPVGIENLVNLYSLGLEGNYLSGNVPSAIGKLQNLGALYLNRNSFSGSIPPFIGNLTRLTRLFMEENRFEGSIPDSLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISVVMSNNSLTGSIPSEVGNLRNLVELDLSQNKLFGEIPSSLGSCASLERLHLEGNKLGGTIPESLKDLRGIEELDLSSNNMSGEIPEFLSKLRDLKYLNLSFNDFEGEVSGEGIFSNASAVSIIGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVVFAIAILCSVVIFCMTNSKAPPSEDRHVGMSYSEIVKSTNGFSAENLIGSGSFGSVYKGTLSDDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIITACSTIDHQGNDFKCLVFEFMANGSLDKWLHPRADKQDQTKRLSFIQRLNIAIDIASALDYLHHYCETPIVHCDLKPSNVLLDEDMTAHVGDFGLATFLLESSNNPSKSEAISVVLKGSIGYIPPEYGLNDQVSALGDVYSFGILLLEMFTGRRPTDDMFKHDLSIHKFVAMALPEHAIDVIDPTMLDEETADDETNEEKAIITNSNAQGNASRTQECVVSAMRIGVSCSSSSPGERLAMSSVVNKLHDIRDSFL >Manes.02G211000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29476606:29478362:-1 gene:Manes.02G211000.v8.1 transcript:Manes.02G211000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYRLRFLWLPLGFFLLLLVCAKAENPLKNVNLAPFWQWRSAWDCLQNISTNCATNIHLNGVLNINGSALTDFCAGGCAEHTQNVLTCIYYAKRDFWFANGATVKNITETIHHGCSTNTSINTDFKSSAMRVYQNLLVPLVSSIATMLIVNIFHM >Manes.07G037400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3989923:3992470:-1 gene:Manes.07G037400.v8.1 transcript:Manes.07G037400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKVQLPWNVIIPAEHLDVKGLMLQRSIIVRLLEDFASKKATKDLGYLLAVTTLENIGEGKVRQHTGDVLFPVVFSGITFKIFRGEILEGVVHKVLKHGVFMRCGPIEHIYLSYMKMPDYHYVPGENPVFLNEKMSKIEKDAVVRFIVIGTKWLEAEREFQALVSLEGDYLGPVS >Manes.07G037400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3989951:3992470:-1 gene:Manes.07G037400.v8.1 transcript:Manes.07G037400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKVQLPWNVIIPAEHLDVKGLMLQRSIIVRLLEDFASKKATKDLGYLLAVTTLENIGEGKVRQHTGDVLFPVVFSGITFKIFRGEILEGVVHKVLKHGVFMRCGPIEHIYLSYMKMPDYHYVPGENPVFLNEKMSKIEKDAVVRFIVIGTKWLEAEREFQALVSLEGDYLGPVS >Manes.03G070760.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10863709:10865856:-1 gene:Manes.03G070760.v8.1 transcript:Manes.03G070760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSRGNLQEEAECESKHAATGVNTSLDSPQGHARNNNTSENMTSNPPIDPRNVSSANSQHRQHSSAPPNAKTNHGHHTSNRSDSLESSNAPFRPHTGGDIRWDAINMVNANSAVGLSNFRLLKRLGYGDIGSVYLVELRGTSAHFAMKVMDKASLASRNKLLRAQTEKEILGLLDHPFLPTLYSYFETDKFYCLVMEFCSGGNLHTLRQRQPNKYFTEEAARFYVSEVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSTSTHSSNGGGNSGGGILDEEYAVHGCIQPSTFFPRILPSKKNRKSKSDFGLFVGGSLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGAGNRATLFNVVGQPLRFPDTPQVSSAARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGMNWALVRSAMPPHVPEPVDFSQYASKEPPPAANNKKTADTEVDKTNGSPGHHDSSYIEFEYF >Manes.17G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:3014118:3024013:1 gene:Manes.17G008400.v8.1 transcript:Manes.17G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCSTMSAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQKLGAPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTYEFGLIDKKELAPLQELIDSIIVPY >Manes.16G057915.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:17699488:17699892:1 gene:Manes.16G057915.v8.1 transcript:Manes.16G057915.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSHMFSQAILYVREATKSDHSLLFLSLSNTVTRYAVKRFRYENAWSCELDCKQVIKESWAVAMPVAMPVPIAGRMEDCGKKLQQWASSFHKDFKDRLEDYRRRLAFLRQNCKNPNHLDLKEVEEHYAQFLLQ >Manes.04G024500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2823712:2829136:1 gene:Manes.04G024500.v8.1 transcript:Manes.04G024500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEKRRLNGEAEEEDDDDIDGGLDAWERTYTDERSWEALEEDESGLLCPIDNKAIYHAQYRRRLRSLSANAARIQKGLIRFLYIVVDLSRAASEMDFRPSRMVVITKHVEAFIREFFDQNPLSQIGLVTIKDGVAHPLTELGGSPESHIKALMGKMECSGDSSLQNALDLVCGYLDQIPSYGHREVLILYSALSTCDPGDIMESIQKCKKSKIRCSVIGLSAEMFICKLLCQETGGLYSVAMDEAHFKELMLDHAPPPPAIAEFAIANLIKMGFPQRAAEGSIAICSCHKEAKVGDGYICPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPITPFDEVSPRQNTPLQRPPKTCFGCQQSLLNPGNKLGLSVACPKCNQYFCVDCDIYIHESLHNCPGCESFRHS >Manes.04G024500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2823712:2829136:1 gene:Manes.04G024500.v8.1 transcript:Manes.04G024500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEKRRLNGEAEEEDDDDIDGGLDAWERTYTDERSWEALEEDESGLLCPIDNKAIYHAQYRRRLRSLSANAARIQKGLIRFLYIVVDLSRAASEMDFRPSRMVVITKHVEAFIREFFDQNPLSQIGLVTIKDGVAHPLTELGGSPESHIKALMGKMECSGDSSLQNALDLVCGYLDQIPSYGHREVLILYSALSTCDPGDIMESIQKCKKSKIRCSVIGLSAEMFICKLLCQETGGLYSVAMDEAHFKELMLDHAPPPPAIAEFAIANLIKMGFPQRAAEGSIAICSCHKEAKVGDGYICPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPITPFDEVSPRQNTPLQRPPKTCFGCQQSLLNPGNKLGLSVACPKCNQYFCVDCDIYIHESLHNCPGCESFRHS >Manes.02G007300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:885760:889600:-1 gene:Manes.02G007300.v8.1 transcript:Manes.02G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLHIKRAPIISLFLLRIQPENMEGLRSKGCTTAETTHTHPLRSVQLLPIVPFNRVFALVYLCALLALFYHHLQRLLSSITLVSFFINLILFISDLVLAFMWSTAQAARLYPIQREEFPENLDKVVKRSDYPAVDVFICTADPTKEPPMSVVNTALSVMGYDYLTEKLSVYVSDDGGSALTLFAFMEAAKFAEHWLPFCRRNNVMRRSPESYFESNYSSSSETVEIKMMYESMKARVEHVMEKGAIEDEYITSDEEHKIFNQWTHKFTIQNHPNVIQILIANNKNKDVSGHFMPNLIYISREKSTSEHHRFKAGALNVLLRVSAVMTNASVILTLDCDMQSNDPHTLKRVLCYYLDPEIYAKYSYIQFPQHFQGINKNDIYACQFKRAFEIQPMGFDGLGGVDYFGTNCFFSRRAFFGGPSNFVCPEIPGLSPSHEVDKPIQSSEVLALAHHVADCNYEKQTTWGFKMGFRYGSLVEDLFTGYQMHCEGWRSIFCNPNRPSFLGHAPISLVDLLNQQKRWVIGVFEVGFSKYSPLIYGIKHMGLLMSLLYSQYVFWPIWSIPISTYAFLPQLALINQVRIFPKVSEPWFLLYPFLFLGAYGQDYFDFVSAKGTFQMWWNDQRIWTIRGLTCFLFGSFEFLLKSFGISTLGFSLTSKVVDDEQSKRYEQGIFDFGVSSPMFVTLTMAAMINLVALILGLGQFLKGSDSLEGLVIQMFIAGFGVVNCWPIYGAMVFRIDKGKLPVKITLTAAFLTWALYIVASFIFSN >Manes.10G150500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31807400:31810192:1 gene:Manes.10G150500.v8.1 transcript:Manes.10G150500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHCLAPFLLLSLPFLLQAQNANISLGSSLIASEDASWKSPSGDFAFGFRRINNLDLFLVGIWYDKIPARTLVWYANGDNPAPKGSKLQLTNNGNFTLTGPQGEEIWNPMSRTDGVAYAAMLDDGNFVLSGRDSNYLWESFKNPTDTILPTQELEFGGKLSSKQTEDNYSKGRFQLFMKTDGNLVLRPIGLPTDFPYGAYYKSNTDSADEMNSGYRMVFNESGDLNVFVRNGSVVNLTKDRTKFPGDYYYRATLDADGIFALYAHPRTQTNGSWGQTWSAIWSVPNDICSDMDGIPTDLGGGPCGYNSYCRLDEKRRPICECLPGFSPSDPTNKLNGCKQNRIPNCQQDNAKPEDLYVMQELPNTYWPLSANYEQLQGLNEDDCSRLCLSDCNCMVAVIKEGTCFMKKLPLSKGRVDYNTYGKALIKASKSDASSDEPSVRNSNIGKKDRETLILVGGVFLGSSVFLNFLLVTAVALIVLYSNKNRLKRTKTPNIIETNQRIFTYRDLDEATDGFKEELGRGAFGTVYKGVLISSSSKTYVAVKKLDRMVQEGEKEFKTEVSAIAKTHHKNLVRLLGFCDEGSNKLLVYEFMSNGTLASFLFGISRPDWNKRLQMAFGIARGLTYLHEECSTQIIHCDIKPQNILLDDSFTARISDFGLAKLLMSDQTRTHTAIRGTKGYVAPEWFRNMPITAKVDVYSYGVMLLEIICCRRGLEMESENEEEVILADWAYDCYKEKRVDKLVEHDEEARNDIKRLEKLVMVAIWCIQEDPSLRPSMRTVTQMLEGVVQVSVPPCPSPFSSIC >Manes.12G045100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3994745:3997312:1 gene:Manes.12G045100.v8.1 transcript:Manes.12G045100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAVRSWTLVGLIMAFVDLAIACSLLCLSACAFVPSKFLSFFGLYLPCPCSGFFGYRTDYFCWHKLFVDWPIRKINAVQELVKDRFPFNLIWFGHQSSNFHVEGIDRKSKYDAKEKKIMNQKHKSGIRWRRRAAVGCGKLSPALSSNGTHLVGRGVLRPLYNCSVRSEISESLDISSGIEHGFLGDGDDSNGNDLSERTRHRFELDGSYGKDEGIKQDQFVEKFTCDAEVGAGNDANDILALEQALEEEKAMHAALYQELEKERAAAATAADEAMAMISRLQEDKASIEMEAKQYQRVIEEKIDYDEEEMNILKEILVRREKEIYFLKKEVEAYEQMNFTGNDQLEGDSSYNTEQTLSLSIDSSENPLPVLQQIDESNGGKEVAADGNQAGCNMHSSLLDTESTVYDVHVIDDKTVHGKENDGKESRPPLLGSIDLQRHPLSVIDGERLKIDNEVEWLRERLRIVQEEKEKLNFCGEHRERENAQLRLVEDIVNQLLRQASLPPSSSKLGC >Manes.18G070500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6361099:6368007:-1 gene:Manes.18G070500.v8.1 transcript:Manes.18G070500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPSISAPVHSTDKVHESKDMDTISLSSSHCQAHDTPHIHKVGIPPKQNLLKEIKATVKETFFADDPLRPFKDQTGSKRFILVMQTIFPILEWGRSYNITKFRGDIIAGLTIASLCIPQDIAYAKLANLDPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQNEVDSKKEAETYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLLGIQVFTKKTDIVSVLHSVFVSAHHGWNWQTIVIGLSFLAFLLFAKYIGKKNKKFFWVPAIAPLISVILSTFFVFITRADKQGVQIVKYIEKGINPSSVKEIYFSGQYLPKGFKIGVVAGMIGLTEAIAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLVDFEAAILIWKIDKFDFIACIGAFFGVVFSSVEIGLLIAVAISFAKILLQVTRPRTAILGNLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYVKERILRWLVDEEEELKASYQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLQKRDVQLILSNPGPVVINKLHESNFANTIGEDKIFLTVADAVASCCPKLATEV >Manes.18G070500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6361392:6368007:-1 gene:Manes.18G070500.v8.1 transcript:Manes.18G070500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPSISAPVHSTDKVHESKDMDTISLSSSHCQAHDTPHIHKVGIPPKQNLLKEIKATVKETFFADDPLRPFKDQTGSKRFILVMQTIFPILEWGRSYNITKFRGDIIAGLTIASLCIPQDIAYAKLANLDPQYGLCKSPTVYFLHCIDLSCSSTCIYTCYCLKCLSDSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQNEVDSKKEAETYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLLGIQVFTKKTDIVSVLHSVFVSAHHGWNWQTIVIGLSFLAFLLFAKYIGKKNKKFFWVPAIAPLISVILSTFFVFITRADKQGVQIVKYIEKGINPSSVKEIYFSGQYLPKGFKIGVVAGMIGLTEAIAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLVDFEAAILIWKIDKFDFIACIGAFFGVVFSSVEIGLLIAVAISFAKILLQVTRPRTAILGNLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYVKERILRWLVDEEEELKASYQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLQKRDVQLILSNPGPVVINKLHESNFANTIGEDKIFLTVADAVASCCPKLATEV >Manes.18G070500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6361392:6368007:-1 gene:Manes.18G070500.v8.1 transcript:Manes.18G070500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPSISAPVHSTDKVHESKDMDTISLSSSHCQAHDTPHIHKVGIPPKQNLLKEIKATVKETFFADDPLRPFKDQTGSKRFILVMQTIFPILEWGRSYNITKFRGDIIAGLTIASLCIPQDIAYAKLANLDPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQNEVDSKKEAETYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLLGIQVFTKKTDIVSVLHSVFVSAHHGWNWQTIVIGLSFLAFLLFAKYIGKKNKKFFWVPAIAPLISVILSTFFVFITRADKQGVQIVKYIEKGINPSSVKEIYFSGQYLPKGFKIGVVAGMIGLTEAIAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLVDFEAAILIWKIDKFDFIACIGAFFGVVFSSVEIGLLIAVAISFAKILLQVTRPRTAILGNLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYVKERILRWLVDEEEELKASYQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLQKRDVQLILSNPGPVVINKLHESNFANTIGEDKIFLTVADAVASCCPKLATEV >Manes.18G070500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6361099:6368007:-1 gene:Manes.18G070500.v8.1 transcript:Manes.18G070500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPSISAPVHSTDKVHESKDMDTISLSSSHCQAHDTPHIHKVGIPPKQNLLKEIKATVKETFFADDPLRPFKDQTGSKRFILVMQTIFPILEWGRSYNITKFRGDIIAGLTIASLCIPQDIAYAKLANLDPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQNEVDSKKEAETYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLLGIQVFTKKTDIVSVLHSVFVSAHHGWNWQTIVIGLSFLAFLLFAKYIGKKNKKFFWVPAIAPLISVILSTFFVFITRADKQGVQIVKYIEKGINPSSVKEIYFSGQYLPKGFKIGVVAGMIGLTEAIAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLVDFEAAILIWKIDKFDFIACIGAFFGVVFSSVEIGLLIAVAISFAKILLQVTRPRTAILGNLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYVKERILRWLVDEEEELKASYQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLQKRDVQLILSNPGPVVINKLHESNFANTIGEDKIFLTVADAVASCCPKLATEV >Manes.18G070500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6361099:6368007:-1 gene:Manes.18G070500.v8.1 transcript:Manes.18G070500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEPSISAPVHSTDKVHESKDMDTISLSSSHCQAHDTPHIHKVGIPPKQNLLKEIKATVKETFFADDPLRPFKDQTGSKRFILVMQTIFPILEWGRSYNITKFRGDIIAGLTIASLCIPQDIAYAKLANLDPQYGLYSSFVPPLIYALMGSSRDIAIGPVAVVSLLLGTLLQNEVDSKKEAETYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGLLGIQVFTKKTDIVSVLHSVFVSAHHGWNWQTIVIGLSFLAFLLFAKYIGKKNKKFFWVPAIAPLISVILSTFFVFITRADKQGVQIVKYIEKGINPSSVKEIYFSGQYLPKGFKIGVVAGMIGLTEAIAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSFIVFLTLQFITPLFKYTPNAILAAIIISAVLGLVDFEAAILIWKIDKFDFIACIGAFFGVVFSSVEIGLLIAVAISFAKILLQVTRPRTAILGNLPGTTVYRNIQQYPGATKVQGVLIVRVDSAIYFSNSNYVKERILRWLVDEEEELKASYQPKIQFLIVEMSPVTDIDTSGIHALEELHKSLQKRDVQLILSNPGPVVINKLHESNFANTIGEDKIFLTVADAVASCCPKLATEV >Manes.14G160966.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25444269:25469675:1 gene:Manes.14G160966.v8.1 transcript:Manes.14G160966.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDDTVKALIMGEEETLGGESGISLAAPVIFLIVVFFQFGSRWLEHLKKSASKDGTEVQLRAEIKQLLKEASSFSQPSSFAQAAKLRRLAAAKEKELANREEMLKKEIKLSYDLYLKVIFILKIATYFMLICWYWRTPVAAISQHLVQPFGRLLSWGAGGPSNDNVLVGVIPWLILSTRVSKFVSRVFL >Manes.14G160966.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25444269:25469675:1 gene:Manes.14G160966.v8.1 transcript:Manes.14G160966.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDDTVKALIMGEEETLGGESGISLAAPVIFLIVVFFQFGSRWLEHLKKSASKDGTEVQLRAEIKQLLKEASSFSQPSSFAQAAKLRRLAAAKEKELANREEMLKKEIKLSYDLYLKIATYFMLICWYWRTPVAAISQHLVQPFGRLLSWGAGGPSNDNVLVGVIPWLILSTRVSKFVSRVFL >Manes.14G160966.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25444270:25469675:1 gene:Manes.14G160966.v8.1 transcript:Manes.14G160966.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDDTVKALIMGEEETLGGESGISLAAPVIFLIVVFFQFGSRWLEHLKKSASKDGTEVQLRAEIKQLLKEASSFSQPSSFAQAAKLRRLAAAKEKELANREEMLKKEIKLSYDLYLKIATYFMLICWYWRTPVAAISQHLVQPFGRLLSWGAGGPSNDNVLVGVIPWLILSTRVSKFVSRVFL >Manes.14G160966.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25444270:25469675:1 gene:Manes.14G160966.v8.1 transcript:Manes.14G160966.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEDDTVKALIMGEEETLGGESGISLAAPVIFLIVVFFQFGSRWLEHLKKSASKDGTEVQLRAEIKQLLKEASSFSQPSSFAQAAKLRRLAAAKEKELANREEMLKKEIKLSYDLYLKVIFILKIATYFMLICWYWRTPVAAISQHLVQPFGRLLSWGAGGPSNDNVLVGVIPWLILSTRVSKFVSRVFL >Manes.13G088400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:20989607:20990292:1 gene:Manes.13G088400.v8.1 transcript:Manes.13G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAIMVAEEYERRIKDSRKVSADSDMKVGNWISFLSQSVNNKVRLQNIEDVKWVFQPKTQVALAASNGLFSA >Manes.08G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15575042:15580637:-1 gene:Manes.08G075900.v8.1 transcript:Manes.08G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQFSRCVGDVLLARWSECSNSTFKALVNSSPFMETILVENEVQQEVKLLDAINDDHEGVIVELNKPINSDDFASMLRASIAQWRKQGKRGVWIKVPIELVNLVEAAVKERFWYHHAEPKHLMLIYWIPECTHTLPANASHRVGVCAFVMNEKREVLVVQEKTGILRGTGVWKFPTGVVEEGEHICDAVVREVKEETDIDTKFIEVLAFRQSHKAFFEKSDLSFICLLQPLSFNIQKQESEIDAAQWMSLDEYVAQPFVQTSELLKYIFDLCLAKIDKTYSGFSPVLTTSNISNENGYLYLNSRDLRSQ >Manes.08G075900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15575042:15580637:-1 gene:Manes.08G075900.v8.1 transcript:Manes.08G075900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLLARWSECSNSTFKALVNSSPFMETILVENEVQQEVKLLDAINDDHEGVIVELNKPINSDDFASMLRASIAQWRKQGKRGVWIKVPIELVNLVEAAVKERFWYHHAEPKHLMLIYWIPECTHTLPANASHRVGVCAFVMNEKREVLVVQEKTGILRGTGVWKFPTGVVEEGEHICDAVVREVKEETDIDTKFIEVLAFRQSHKAFFEKSDLSFICLLQPLSFNIQKQESEIDAAQWMSLDEYVAQPFVQTSELLKYIFDLCLAKIDKTYSGFSPVLTTSNISNENGYLYLNSRDLRSQ >Manes.08G075900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15575042:15580637:-1 gene:Manes.08G075900.v8.1 transcript:Manes.08G075900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METILVENEVQQEVKLLDAINDDHEGVIVELNKPINSDDFASMLRASIAQWRKQGKRGVWIKVPIELVNLVEAAVKERFWYHHAEPKHLMLIYWIPECTHTLPANASHRVGVCAFVMNEKREVLVVQEKTGILRGTGVWKFPTGVVEEGEHICDAVVREVKEETDIDTKFIEVLAFRQSHKAFFEKSDLSFICLLQPLSFNIQKQESEIDAAQWMSLDEYVAQPFVQTSELLKYIFDLCLAKIDKTYSGFSPVLTTSNISNENGYLYLNSRDLRSQ >Manes.08G075900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15575042:15580637:-1 gene:Manes.08G075900.v8.1 transcript:Manes.08G075900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDLLLLARWSECSNSTFKALVNSSPFMETILVENEVQQEVKLLDAINDDHEGVIVELNKPINSDDFASMLRASIAQWRKQGKRGVWIKVPIELVNLVEAAVKERFWYHHAEPKHLMLIYWIPECTHTLPANASHRVGVCAFVMNEKREVLVVQEKTGILRGTGVWKFPTGVVEEGEHICDAVVREVKEETDIDTKFIEVLAFRQSHKAFFEKSDLSFICLLQPLSFNIQKQESEIDAAQWMSLDEYVAQPFVQTSELLKYIFDLCLAKIDKTYSGFSPVLTTSNISNENGYLYLNSRDLRSQ >Manes.08G075900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15575042:15580637:-1 gene:Manes.08G075900.v8.1 transcript:Manes.08G075900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQFSRCVGDVLLARWSECSNSTFKALVNSSPFMETILVENEVQQEVKLLDAINDDHEGVIVELNKPINSDDFASMLRASIAQWRKQGKRGVWIKVPIELVNLVEAAVKERFWYHHAEPKHLMLIYWIPECTHTLPANASHRVGVCAFVMNEKREVLVVQEKTGILRGTGVWKFPTGVVEEGEHICDAVVREVKEETDIDTKFIEVLAFRQSHKAFFEKSDLSFICLLQPLSFNIQKQESEIDAAQWLQVANWLIVRTSMFSIVTLQLPAVDVIG >Manes.08G075900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15575042:15579573:-1 gene:Manes.08G075900.v8.1 transcript:Manes.08G075900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METILVENEVQQEVKLLDAINDDHEGVIVELNKPINSDDFASMLRASIAQWRKQGKRGVWIKVPIELVNLVEAAVKERFWYHHAEPKHLMLIYWIPECTHTLPANASHRVGVCAFVMNEKREVLVVQEKTGILRGTGVWKFPTGVVEEGEHICDAVVREVKEETDIDTKFIEVLAFRQSHKAFFEKSDLSFICLLQPLSFNIQKQESEIDAAQWMSLDEYVAQPFVQTSELLKYIFDLCLAKIDKTYSGFSPVLTTSNISNENGYLYLNSRDLRSQ >Manes.11G110701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25552869:25632035:1 gene:Manes.11G110701.v8.1 transcript:Manes.11G110701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLGCFAAVSLVQVIFFASLLGAEKTGSWVTNRKRHSEEYCAMYDICGERSDGKVLNCPYGSQSVKPDELFSAKIQSLCPTIRGNVCCTEAQFDTLRGQVQQAIPFLVGCPSCLRNFLNLFCELSCSPNQSLFINVTSTAEVGGNLTVDGIDYYLSEAFGEGLYNSCKDVKFGTMNTRAIEFVGGGAKNSKEWLAFIGQKTPPGFPGSPYAINFKSSIPDSSEMKLMDVPAYSCGDTLLGCSCGDCPSSPVCSNLEPPTPHREDSCSIIVGPLKVKCVDVSLVIVFVLLVSALVGWTFFHQRKERRDTASTEPLLKFMGEGESDGRNVPWDRKASLMNRLKLPIVQSYMSNFYRQYGTKVARNPTLVLCSSLAVVLVLCVGLIRFKVETRPDKLWVGKGSKAAEEKQFFDSHLAPFYRIEQLILATLPDSRHGKKPSIVTDENIQLLFEIQKKVDGIRANSSGSVVSLTDICMKPLGQDCATQSVLQYFKMDPENYDNYGGVQHVEYCFQHYTSADTCLSAFEAPLDPSTALGGFPGNNHIQASAFVVTYPVNNAIDEQGNKKAVAWEKAFIKLVEEELLPMIHSRNLTLSYSSESSIEEELKRESTADVVTIIVSYVVMFAYISVTLGDVPSLSTFYVSSKVLLGLSGVVLVMLSVLGSVGFFSCVGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQSFELLLEERISNALVEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFFLQITAFVALIVFDCQRAEDSRIDCFPCIKMPSSSEGPNEGIYQRRSGLLTRYMKEVHAPILGLWGVQIVVIVVFVGFALTSIALSTRIESGLEQKIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKDYNYSLESRHTNQLCSISQCDSNSLLNEISRASLIPESSYIAKPAASWLDDFLVWISPEAFGCCRKFINGTYCPPDDQPPCCSPDEDYCGIGGVCKDCTTCFLHSDLINNRPSTVQFREKLPWFLNALPSADCAKGGHGAYTNSVDLNGYETGVIQASEFRTYHTPLNKQGDYVNAMRAAREFSSRMSDSLKIDIFPYSVFYIFFEQYLDIWRIALINIAIALGAIFIVCLLITSSFWSSAIILLVLLMIVADLMGMMALLDIQLNAVSVVNLIMSIGIAVEFCVHIAHAFLVSHGNRSHRAKEALSTMGASVFSGITLTKLVGVIVLSLSRSEIFVVYYFQMYLALVIIGFLHGLIFLPVILSLFGPPSIHVRIEKQGDETASASSQLS >Manes.03G160700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28762017:28764635:-1 gene:Manes.03G160700.v8.1 transcript:Manes.03G160700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETLGRRVVTRFLGSFISTGCLILQEADGTDLTFEGSGIKCSLKVHLKIHNPQFYWKVMTRADVGLAEAYIDGDFSFADADEGLLNLIMLLIANNSASKSNKKRGWWTPLLFTATFASAKLVYQHVLRQNTLTQARRNISRHYDLSNDMFALFMGETMSYSCGIFKTEDEDLQTAQLRKFSILIEKARIEPKQEVLDIGCGWGIFAIEVVKRTGCKYTGITLSEEQLKFAEKKDHIRLQLCDYRQLPESSKYDRIISCEMIEHVGHEYMEEFFGCCDKLLSEDGLFVLQFSSMPDEYYEEDRWTAGFIREYIFPGGCLPSFSRVISAMNAASRLCVEHVENIGSHYYHTLRRWRENFLDNQNKILAMGFDEKFIKKWEYYFDYCAAGFRTYTLGNYQVVFSRTANIEILQYPYKGFPSAYVHLSTT >Manes.10G022000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2147846:2153768:1 gene:Manes.10G022000.v8.1 transcript:Manes.10G022000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGARALSSQKKQQRPVQQQQSQIGTVSQLMAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRILREEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKFLHTIPGLESHRKNVGGDAFVHFVGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALRTISREEGVRGLYKGLGATLLGVGPNIAISFSAYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTEKQGVVQALH >Manes.10G022000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2147846:2153768:1 gene:Manes.10G022000.v8.1 transcript:Manes.10G022000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGARALSSQKKQQRPVQQQQSQIGTVSQLMAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRILREEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKFLHTIPGLESHRKNVGGDAFVHFVGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALRTISREEGVRGLYKGLGATLLGVGPNIAISFSAYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTGDQPEMC >Manes.10G022000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2147846:2153768:1 gene:Manes.10G022000.v8.1 transcript:Manes.10G022000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGARALSSQKKQQRPVQQQQSQIGTVSQLMAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRILREEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKFLHTIPGLESHRKNVGGDAFVHFVGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALRTISREEGVRGLYKGLGATLLGVGPNIAISFSAYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTALR >Manes.10G022000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2147846:2153768:1 gene:Manes.10G022000.v8.1 transcript:Manes.10G022000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDVATLRKASIWHEASRILREEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKFLHTIPGLESHRKNVGGDAFVHFVGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALRTISREEGVRGLYKGLGATLLGVGPNIAISFSAYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYTTGLIGTFKHIIRTEGFWGLYRGIMPEYYKVVPGVGICFMTYETLKLLLADVTAKL >Manes.10G022000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2147846:2153768:1 gene:Manes.10G022000.v8.1 transcript:Manes.10G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGARALSSQKKQQRPVQQQQSQIGTVSQLMAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRILREEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKFLHTIPGLESHRKNVGGDAFVHFVGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALRTISREEGVRGLYKGLGATLLGVGPNIAISFSAYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYTTGLIGTFKHIIRTEGFWGLYRGIMPEYYKVVPGVGICFMTYETLKLLLADVTAKL >Manes.10G022000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2147846:2153768:1 gene:Manes.10G022000.v8.1 transcript:Manes.10G022000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGARALSSQKKQQRPVQQQQSQIGTVSQLMAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRILREEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKFLHTIPGLESHRKNVGGDAFVHFVGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALRTISREEGVRGLYKGLGATLLGVGPNIAISFSAYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTGDQPEMC >Manes.10G022000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2147846:2153769:1 gene:Manes.10G022000.v8.1 transcript:Manes.10G022000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGARALSSQKKQQRPVQQQQSQIGTVSQLMAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRILREEGFRAFWKGNLVTIAHRLPYSSVNFYSYEHYKKFLHTIPGLESHRKNVGGDAFVHFVGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALRTISREEGVRGLYKGLGATLLGVGPNIAISFSAYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTEKQGVVQALH >Manes.10G022000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2147846:2153768:1 gene:Manes.10G022000.v8.1 transcript:Manes.10G022000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVEGGARALSSQKKQQRPVQQQQSQIGTVSQLMAGGVAGALSKTCTAPLARLTILFQFLHTIPGLESHRKNVGGDAFVHFVGGGMAGITAASVTYPLDLVRTRLAAQTNVIYYRGILHALRTISREEGVRGLYKGLGATLLGVGPNIAISFSAYETLRSFWQSHRPHDPTVAVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYTTGLIGTFKHIIRTEGFWGLYRGIMPEYYKVVPGVGICFMTYETLKLLLADVTAKL >Manes.08G089250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29839004:29846385:-1 gene:Manes.08G089250.v8.1 transcript:Manes.08G089250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPASSSSLSINPSVSLLFSASSTSSASPSSSSSCCSLSVSFTALSFSSFGTRPLTISCNPDCNRVKRFHLFKAKAEPNDINMTITEVREEEEEENPPPLLDSDTNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPQEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRSQEMRWRLSNGEPEKPLIVHVGRLGVEKSLDFLKSVMDRLPDARIAFIGDGPYREELEKMFSGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVAARAGGIPDIIPPDQDGKTGFLFGPGDLDDCLAKLQPLLNNHELRETIGKAAREEMEKYDWRAATRKIRNEQYNAAIWFWRKKRAQLLRPIQWLVKRLFPSPQVNYR >Manes.08G089250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29839004:29846385:-1 gene:Manes.08G089250.v8.1 transcript:Manes.08G089250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPASSSSLSINPSVSLLFSASSTSSASPSSSSSCCSLSVSFTALSFSSFGTRPLTISCNPDCNRVKRFHLFKAKAEPNDINMTITEVREEEEEENPPPLLDSDTNSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPQEFYGAKLIGSRRYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSAAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRSQEMRWRLSNGEPEKPLIVHVGRLGVEKSLDFLKSVMDRLPDARIAFIGDGPYREELEKMFSGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVAARAGGIPDIIPPDQDGKTGFLFGPGDLDDCLAKLQPLLNNHELRETIGKAAREEMEKYDWRAATRKIRNEQYNAAIWFWRKKRAQLLRPIQWLVKRLFPSPQVNYR >Manes.18G114301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11581418:11584759:-1 gene:Manes.18G114301.v8.1 transcript:Manes.18G114301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKENSININLENETENNVNQNFQETQELYQNQASNFQGNTSQKTMRYHYEGHFIFSPNRIYENGRFMEKPNFDVDFISFFDILDDLKKDCGFDVIKGDKFYYLKADKSLSDLDALIEVKDDTDVKNMMDSYKKFPSKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATAATGSNIIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQIIRSVSCCPLQVKQWNKITDDKLDLMWSTILVRKFILNYIHYKMIIIFLVLLSIIIFISYFFAKRKVHF >Manes.18G114301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11581429:11584759:-1 gene:Manes.18G114301.v8.1 transcript:Manes.18G114301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLRKENSININLENETENNVNQNFQETQELYQNQASNFQGNTSQKTMRYHYEGHFIFSPNRIYENGRFMEKPNFDVDFISFFDILDDLKKDCGFDVIKGDKFYYLKADKSLSDLDALIEVKDDTDVKNMMDSYKKFPSKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATAATGSNIIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQIIRSVSCCPLQVKQWNKITDDKLDLMWSTILVRKFILNYIHYKMIIIFLVLLSIIIFISYFFAKRKVHF >Manes.09G052051.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8647187:8671314:-1 gene:Manes.09G052051.v8.1 transcript:Manes.09G052051.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPGPPSGEIPGTLGSSEGLVSGVIRRAAIIGNGFSGAESQCLGLVRALGLSSGLTLYRATRPRGGLNKWLHWLPVSIHRRVDSLIRSIYDDYWRYGGNANAHMGTPSSTERTGILWENCNSLSDLELKKFNNLNGKVAGLADAKQIARMARDTFNKDGPLLVVASGSGTISVSSSIRRLAPENVFVVQIQHPRSRLNRFDLVITPHHDYYPLTPQAQEQVPWFLRKWITPREPPDRHVVLTVGALHLADSAALRTAASVWHDELAVLLKPLLVVNIGGPTSNCEYGTDLAEQLTDMLRKVLWSCGSVRISFSRRTPQKVSNVLVKEFSNNHKVYIWDGKGPNPHMGHLALADAFVITADSVSMLSEACSTGKPVYVVGAERCTWKFADFQKRLYDHGVVRPFTGEEDISEVWSYPPLNDTAEGARHVMDALAERGWRIQSAENDVLF >Manes.04G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:607160:608246:-1 gene:Manes.04G003600.v8.1 transcript:Manes.04G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQKFPAFSSETNNSAAAKLSASEHGNEDSICGRERLKKHRQEVAGHVVIPESWGHENFLKDWIDCSSFDKLLAPDGISSARQSLAAQGRQKPTSNSQRFRIARC >Manes.05G156100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26839311:26845150:1 gene:Manes.05G156100.v8.1 transcript:Manes.05G156100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHQLLDSPSPSLWFFMRAHRIYQMEMKLEQKDAADWVYRGEGAANLVLSYTGSSPLFIGKVMRIQKTARNGSSHCLEDHTVLTEHERLLWKESEELVSSSTKELAELHYVKHVMTPLLGPKHVDAGMHVVTSSEFLESIEKKVVCQRPAWRVDAAKVDTKCDFVLLMTDHSLFPNGTAKVGPCISVEIKPKCGFLPLSRFIAEKNAIKRSTTRFRMHQVLKLRQHEISELSQYDPLDIFSGSKERIYKALNDLYSTPQNNFRVFLNGSIIFGGLGGGTNKTSIVIGKAFESALKEVIQADDGLRTRSFIELVAETVYNSQVLDQLLEVQKLDNFDIEGAIHAYYNIISQPCMVCREFDEARVPHGYASLHSIPLSESLKIVKDYLIAATAKDCSLMISFKPRDDGDFGSPYGHIHIKSTNQNFDYKVNFIDLDLKPLKKMETYYEKDKKILNAYAQMGETKHMKGNTSMEVYETIK >Manes.06G090600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22638241:22640370:1 gene:Manes.06G090600.v8.1 transcript:Manes.06G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQCLKSNLQTHLFLRLPSSRLCFLSLCCSISSANAFPKPTSLCNEHILMSLLKKCSSMKYLHQIHARIIQSGFQQNLFVIGRVILFCADSERGDMNYAISVFEDIGNPDGFLWNTMIRGFSKTSMPQKAFDFYKRMQGKGLVADNFTFSSLLKVCGLLGSVLLAEQMHCSALKHGLESHVFVRNNLIHMYSMFKDIETSRQLFEEIPYPDLVAWNTIIGCYVYCGKCKEALDTFTRMLQFGIEPDEATLVETLAACSALGALDFGKWIHSCISNTSLGSILKVNNSLVDMYAKCGAVGEAYDAFYRMTKRNTITWNTMILGLATHGRSNEALALFSEMLEQKLLKPDGVTFLGVLCACNHGGMVEEGRRFFDIMSKEYLIQPTIKHYGCMVDILGRAGFVEEAYGLVSNMPMDCNAIVWRTLLAACRLHGNVELGKKVRRHLLQLDPNHSSDYVLLSSIYASAGQWNEVMKVRKSMQKGGVQKPEPGNSFIRMHPSMRRD >Manes.05G096500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8874106:8884848:1 gene:Manes.05G096500.v8.1 transcript:Manes.05G096500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSVLRFSWCLSCSLFVHPVLTFISYFACWRYCVNYIHVNISIPGEQVHILACLSACKQDIEIITPFKVAAVMSKNGMGQKPEKQNGNVKEGTNLVSREGEVSTDAQVTDQNGNEPSKREIDLKKDISTSESILRMENHKRQTEMLLQRFRNSHFFVRIAESGELLWSKKGAPAPNSPELDDQNSSENGTKGTANNLSRLGALIDRGDFDAHVSGGAARNTVKCFSLPNGDIVVLLQVNIGVNFMRDPVIEILQFEKNHEKNLSAENRDNLNAENYDPCGELLKWLLPLDNTLPSPPRSPPRLGSGSEIGGASQKSAASGSQLFSHFRSYSMSSLPQNTIPPPQPVKVQSSKPNFDIGDWSQYSSQKLWKNQKTGGDNLLSFRGVSLERERFSVRCGLEGIYIPGRKWRRKLEIIQPVEIHSFAADCNTDDLLCVQIKNVSPKRNPEIVIYIDAITIVFEEASKAGLPSSLPIACIEAGNAHYLPNLALRRGEEHSFILKPACSTMQKKLKVHGERITPPSSSRLASNNIEGRRTVSNADQYAIMVSCRCNYSESRLFFKQPTSWRPRILRDLMISVASEVSGQSSGPNERASQLPVQVLTLQASNLTSEDLTMTVLAPASFTSPPSVGSLSSPRSPINPFVSLSESTGQVNGERHGAVKQRLSSLPPPENPKQSGNIVHSVSFNEQSSPISDVISSNDLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIITLDTLQIDVKEKGFTYIPEHSLKINATSSISTGIV >Manes.05G096500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8874106:8884848:1 gene:Manes.05G096500.v8.1 transcript:Manes.05G096500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLHRYTTQNVVTEQVPVHEPPADTRYASKPSATLEGLIAEDPFIQSPTAEGHSGEALVIGDENGTAGGVSTKNYSFVVENHSDVSEEEGWITIPHGELPDGWNSAPDIHSLCSLDRSFVFPGEQVHILACLSACKQDIEIITPFKVAAVMSKNGMGQKPEKQNGNVKEGTNLVSREGEVSTDAQVTDQNGNEPSKREIDLKKDISTSESILRMENHKRQTEMLLQRFRNSHFFVRIAESGELLWSKKGAPAPNSPELDDQNSSENGTKGTANNLSRLGALIDRGDFDAHVSGGAARNTVKCFSLPNGDIVVLLQVNIGVNFMRDPVIEILQFEKNHEKNLSAENRDNLNAENYDPCGELLKWLLPLDNTLPSPPRSPPRLGSGSEIGGASQKSAASGSQLFSHFRSYSMSSLPQNTIPPPQPVKVQSSKPNFDIGDWSQYSSQKLWKNQKTGGDNLLSFRGVSLERERFSVRCGLEGIYIPGRKWRRKLEIIQPVEIHSFAADCNTDDLLCVQIKNVSPKRNPEIVIYIDAITIVFEEASKAGLPSSLPIACIEAGNAHYLPNLALRRGEEHSFILKPACSTMQKKLKVHGERITPPSSSRLASNNIEGRRTVSNADQYAIMVSCRCNYSESRLFFKQPTSWRPRILRDLMISVASEVSGQSSGPNERASQLPVQVLTLQASNLTSEDLTMTVLAPASFTSPPSVGSLSSPRSPINPFVSLSESTGQVNGERHGAVKQRLSSLPPPENPKQSGNIVHSVSFNEQSSPISDVISSNDLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIITLDTLQIDVKEKGFTYIPEHSLKINATSSISTGIV >Manes.02G046100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3768165:3770017:1 gene:Manes.02G046100.v8.1 transcript:Manes.02G046100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCNKLGMKKGPWTPEEDITLVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLETTSHAGHSKNELTSSTVSQPISRGQWERRLQTDIHTAKQALYQALSPEKQSNLLTELQQSGGEHSYAKPASTYASSTENIAKLLKGWLRKTPKQTQTNLSATTQNSFNSITGTDSICSEGIPSKAEKNGIELEEAFQSLFGFDKSFEHSSNSDFSQTMSPDEASLFQDESKPNSSSQMPPFPLLERWLFNEEATQWKDYLGEVTLDESNLFFGTCF >Manes.01G185500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36297785:36298069:1 gene:Manes.01G185500.v8.1 transcript:Manes.01G185500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVRVIILLICVGFLAVQLNKVDGLTSVELAIRHSKNAPVTVSSHQRILTESAMQSMKTEKKAASLKKRLDPYRSSKRRVRKGSDPIHNRS >Manes.S022852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2031580:2031741:1 gene:Manes.S022852.v8.1 transcript:Manes.S022852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.11G156900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32319738:32323653:-1 gene:Manes.11G156900.v8.1 transcript:Manes.11G156900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFSSLLQILSFLTVLSFKCIFSLSLETDRQALISFSSQITSESPNSPTSWDLNSSPCNWVGVACNRFGHRVVALNLSSMGLSGSISPYIGNLSFLRSLELQNNQLSGTLPDEICHLSRLRLLNLSSNSLHGSIPSNISKLRELTVLDMSMNHITGRIPEALTLLANIQVLNLGRNLLWGTIPPSIGNLSSLEDLILGTNTLSGNIPSCLSHLRKLKVLDLTINNLTGIVPSTIYNMSSLVQLALAANQLWGEIPSDVGVTLPKLLVFNFCFNKFTGTIPGSLHNLTNIKVIRMASNLLEGTVPPGLGNLPFLEMYNIGYNRIVSSLGFIITSLTNSTRLKFLAIDGNLLQGVIPESVGNLSKDLSKLYMGGSGIYGDMPASIGHLTSLTLLNLSYNYITGEIPAEIGQLENLQKLDLAGNRIAGRIPDSLGNLQKLNQIDLSGNELVGRIPTAFGNFHSLLSLDLSNNKLNGAIPKEILNLPSLSMTLNLSNNFLNGNLLEEIGFLESIVAIDLSNNSLSGNIPSLLKNCKSLEKFYIARNSLSGPIPISLGELKGLEILDISHNHLSGSIPFELVKLQALQSLNLAFNDLDGVVPCDGIFTNLTRVQLEGNPKLSLHLTCQNSRGRGRRLIKVYIVISIMATLALCCSIGSLYYIRKKRAKVALSSSSLIKEHHQLVSYHELRQATGNFNERNLIGSGSFGSVYKGYLGDGSVVAIKVFDTKQVGFEKSFFSECKALRNLRHRNLVKLVTSCSSLDLKNEEFLALVYEFLDSGSLEDWIKGKRKKENGFGLNLMERLNVAIDVASAVDYLHHDSEVPVVHCDLKPSNILLDEDLTAKVGDFGLARLLMEKAGDQTSISSTHVLKGSIGYIPPEYGLSVKPSVAGDAYSFGVLLLVLFTGKSPTDESLQGEQNLVGWIQSAFPTRPLQVLDPDLLMLMDTLGHEDHSINAELQQECVITILEIGLSCTAASPDSRISIRHALRKLQATKDSLVNSSPKASKYDEQDKYLSQSSPS >Manes.06G115400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24612630:24618193:1 gene:Manes.06G115400.v8.1 transcript:Manes.06G115400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEGTQQPHLVLAHKRFLLTHPDVQDIEKVRLKEEVFAAVKADDMVPLYETLVGEGLLEKDLSVLESMRAKNEEELKRLEEKIADAEENLGESEVREAHLAKSLFYIRTGDKDKALEQLKVTESKTVAVGQKMDLVFYTLQLGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYFMSTRNFKKAAKLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKSFFSAFAGLTEQIKLDRYLHPHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Manes.10G114432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27548538:27548897:1 gene:Manes.10G114432.v8.1 transcript:Manes.10G114432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFLAIFAPASIDKCKLILMVCWALWTNRNSIVWEDKGQSPSQVSHMASRFLQNWTIAAASSLATAAAPSSNHLRSWQRPPEGWMKVNVDASTGPNLDFVGLGAIVRYSYGEFVVAKT >Manes.04G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28626450:28629471:-1 gene:Manes.04G084900.v8.1 transcript:Manes.04G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSSTASGTHVLHRLLCPLPPQPRSLPFFLRPLSTTSATITGKSALFKVQKSQLDITSTTTLFSFLHSPSTTPYTLLFPHSLSTSLSTPPNAEQDDDDDDGEIEYDSEEDIDSESEDFELEDNAASVDVGDGSRKEESKRNGSKVPNLTVKEKKELASYAHGLGKKLKCQLVGKSGVTENVATSFIETLEANELLKIKIHRTCPGELEDVVQQLEEATGSVVVGKIGRTVIVYRPSPSKLKAEEKKKSMRRVFVRKESKLRPVSLPRGQPPRLSGRGRRGSSRI >Manes.18G005900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:932104:937901:1 gene:Manes.18G005900.v8.1 transcript:Manes.18G005900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHLHFKITRLPISPLHSSFYGLQLSRKKGRAAIGVSSIICCCSDSVVSIRSVDKNEGRSSHRLRVQATPTLPFASSQSRFASKQEKFSPRCSPRNSGPQSRDTPPKRDTGIANEKEWDINLLNENVNESGTNDDGSTWYRESGEDLGEDGYRCRWTIMGGRSHDDTSEWKETWWEKSDWTGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYNGRGSVLKWTDKWAETELGTKWGDKWEERFFAGIGSRQGETWHVSPVGERWTRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYE >Manes.18G005900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:932104:937901:1 gene:Manes.18G005900.v8.1 transcript:Manes.18G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHLHFKITRLPISPLHSSFYGLQLSRKKGRAAIGVSSIICCCSDSVVSIRSVDKNEGRSSHRLRVQATPTLPFASSQSRFASKQEKFSPRCSPRNSGPQSRDTPPKRDTGIANEKEWDINLLNENVNESGTNDDGSTWYRESGEDLGEDGYRCRWTIMGGRSHDDTSEWKETWWEKSDWTGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYNGRGSVLKWTDKWAETELGTKWGDKWEERFFAGIGSRQGETWHVSPVGERWTRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIKPREKPPGVYTSLDFGSSSADDDSSDLPPLPQ >Manes.18G005900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:932104:937901:1 gene:Manes.18G005900.v8.1 transcript:Manes.18G005900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHLHFKITRLPISPLHSSFYGLQLSRKKGRAAIGVSSIICCCSDSVVSIRSVDKNEGRSSHRLRVQATPTLPFASSQSRFASKQEKFSPRCSPRNSGPQSRDTPPKRDTGIANEKEWDINLLNENVNESGTNDDGSTWYRESGEDLGEDGYRCRWTIMGGRSHDDTSEWKETWWEKSDWTGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYNGRGSVLKWTDKWAETELGTKWGDKWEERFFAGIGSRQGETWHVSPVGERWTRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYE >Manes.18G102001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9591798:9595113:-1 gene:Manes.18G102001.v8.1 transcript:Manes.18G102001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNEQETFRSYAYLPPANIWGCSFSSFSSQDSELIQSYTKEVEALKETVYDILLRKSTRELMDNIEFINLLHCLGVSYHFENEIENQLHHIFIALQEQLDDDKYDLYAVALVFRILRQHGYKISCDVFKKFQDSDGEFSKINISDVKGLLSLYEASFLSVHGEYILDKALAFTRKHLETLADQSSPHLAKHIRNCLLWPFHQTMERLKALQYISFYEEDESRNETLLKFAKLDYNRLQLLYREELSLLSRWWNDMNLVEKLPYMRDRIVESYIWALGSIFEPQFAASRLLISKYVQMTTAVDDTYDAYGTLDELQRFTAAFERCNIDASDELPEYMKNLYKALLKLFEETDDCGNEYKTSYSKEMLKELMRSYLVEAQWVSDGCVPAFEEYMQNGLIVSTCDFLTSGFLLGMKDFGMKEIVWIRSNPKIVNAAKLIGNLRNDIVGHEDEQKRGDCASSVECYMNKYGVSKEEAIKEIKKIIASAWKDINEELLKPSRVSRIILKYFHNFTSMSEFTFNFVDAYTNPFSMKDYVSKLLLEQVPI >Manes.07G080677.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24679381:24680891:1 gene:Manes.07G080677.v8.1 transcript:Manes.07G080677.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.15G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10742626:10745114:-1 gene:Manes.15G133300.v8.1 transcript:Manes.15G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNSTTSDLQEKGESIPNDQNVLQKHVLFFDRNQDGIVYPWETFQGLRAIGCGVVFSVASALFINISLSQKTRPGKYPSLLFPIDVQNIHLAKHGSDSGVYDHNGRFLHEKFEAIFRKYAHTHPDALTSGELMAMLRANRELKDYTGWLSSWSEWKTLYVLCKDSDGLLQKETMRALYDGSLFEHLEKQRASAKKKAYCFIHMNKSIISH >Manes.15G133300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10741063:10745114:-1 gene:Manes.15G133300.v8.1 transcript:Manes.15G133300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTNSTTSDLQEKGESIPNDQNVLQKHVLFFDRNQDGIVYPWETFQGLRAIGCGVVFSVASALFINISLSQKTRPGKYPSLLFPIDVQNIHLAKHGSDSGVYDHNGRFLHEKFEAIFRKYAHTHPDALTSGELMAMLRANRELKDYTGWLSSWSEWKTLYVLCKDSDGLLQKETMRALYDGSLFEHLEKQRASAKKKASI >Manes.11G104600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23953453:23956699:1 gene:Manes.11G104600.v8.1 transcript:Manes.11G104600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAARFAKLLRVSCPTRRSSPPVIVVVGLRSWYSTVSSNGDNDCAKDDELDVEFDDVLSEKPELQLQGVDPRKGWGFRGVHKAILCGKVGQAPVQKILRNGRTVTIFTVGTGGMFDQRIVGAKDLPKPAQWHRIAVHNDVLGAYSVQQLAKNSSVYVEGDIETRIYNDSVSGEVKNIPEICVRRDGKIRLITSGKPISDISFEDLREGLFS >Manes.11G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23953453:23956699:1 gene:Manes.11G104600.v8.1 transcript:Manes.11G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAARFAKLLRVSCPTRRSSPPVVGLRSWYSTVSSNGDNDCAKDDELDVEFDDVLSEKPELQLQGVDPRKGWGFRGVHKAILCGKVGQAPVQKILRNGRTVTIFTVGTGGMFDQRIVGAKDLPKPAQWHRIAVHNDVLGAYSVQQLAKNSSVYVEGDIETRIYNDSVSGEVKNIPEICVRRDGKIRLITSGKPISDISFEDLREGLFS >Manes.15G065133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4977052:4977847:-1 gene:Manes.15G065133.v8.1 transcript:Manes.15G065133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTFLLFIGVAFAVLFLISYQVSAHESVGTVETQESATADVNSFQPEKGHGYGYGRGYGYGGYGHGHGGKHGYGYGYGRKGHGYGKYGYGGGKPKAMAEAEVGN >Manes.17G003000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2502595:2505577:-1 gene:Manes.17G003000.v8.1 transcript:Manes.17G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNSACRQPPISMGDYSDANYVDINEVPSSPRSSDFKKVSLLPLIFLIFYEVSGGPFGVEDSVQAAGPLLALLGFLFFPIIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALGGGLPRATAALVLTFVLTYMNYRGLTIVGWVAVLLGIFSILPFVVMGLVALPKLDPSRWFVVNLRDVDWNLYLNTLFWNLNYWDSISTLAGEVDNPKKTLPKALFYALILVVLSYFFPLLAGTGAVPLNRDLWTDGYFSDIAKILGGVWLRWWIQGAAAMSNMGMFIAEMSSDSFQLLGMAERGMLPEFFAKRSHFGTPHIGILFSAFGVILLSWLSFQEIVAAENFLYCFGMILEFTAFVRLRIKYPAASRPYKVPVGTIGAILMCIPPTILICVVLALSTFKVMVVSLIAVAIGLVLQPCLKYVEKKRWMKFSVSADLPDLYIANQDSIYSWLD >Manes.16G093900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30027739:30034619:-1 gene:Manes.16G093900.v8.1 transcript:Manes.16G093900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFAKSVEDGLQLSKRLYFGKDRAVAPPRPPISMNKSAESFLPTAPMVYAVISDPAIVDNPDLASYQPHVHGRCDPPALIPLQMNRIELEADSYLDAVIVHLSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPGKSYSTELIAIDGKKDMEKEGRPENGSFLKPHIFTLTIPKLDGGSILSIKVSWIQKLLFHNGEFSLIVPFSFPEYVTPAVKKLPKKEKILLNVNSGTGTEIVCKTTSHALKQLKREAGKLGFSYESEVLSWTCVDFAVSYSVSSSHIYGSVILQSPSVHDFDQREMFCFYLFPQDQLSGKVFRKEIVFVVDISGSMEGKPLEGAKAALFGALTELDSKDSFNIVAFNGETYLFSSSMELATAETVERAVEWINLNFIAGGSTNILLPLNKAMEMVSNTHGSFPVIFLITDGAVEDERHICDLMESHLTGKESICPRIYTFGIGTYCNHYFLRRLAMLSRGQYDSAYDVDSVQSQMQKLFVKGLSPLLANITIDTFDDLDDVEVYPSRIPDLSSESLLIISGRYRGSFPETVEAKGVLGDLSNFVVDLKIQKANDMPLDRISAKQQIDLLTAQAWFSENKQLEEKVAKMSINTGIVSEYTRLAFLESQRGNQANESPRAHMLPHKTDSPKTDSQGRRRILLQNLSVGFGNVTATAENIPHGVETKLSEAAELIKAASNCCGRMFSKCCCMCCIQCCSKMNDQCAIALTQLCTALACFGCLECCSQLCCCCCEGEGN >Manes.16G093900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30027739:30034619:-1 gene:Manes.16G093900.v8.1 transcript:Manes.16G093900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGRPENGSFLKPHIFTLTIPKLDGGSILSIKVSWIQKLLFHNGEFSLIVPFSFPEYVTPAVKKLPKKEKILLNVNSGTGTEIVCKTTSHALKQLKREAGKLGFSYESEVLSWTCVDFAVSYSVSSSHIYGSVILQSPSVHDFDQREMFCFYLFPQDQLSGKVFRKEIVFVVDISGSMEGKPLEGAKAALFGALTELDSKDSFNIVAFNGETYLFSSSMELATAETVERAVEWINLNFIAGGSTNILLPLNKAMEMVSNTHGSFPVIFLITDGAVEDERHICDLMESHLTGKESICPRIYTFGIGTYCNHYFLRRLAMLSRGQYDSAYDVDSVQSQMQKLFVKGLSPLLANITIDTFDDLDDVEVYPSRIPDLSSESLLIISGRYRGSFPETVEAKGVLGDLSNFVVDLKIQKANDMPLDRVAKMSINTGIVSEYTRLAFLESQRGNQANESPRAHMLPHKTDSPKTDSQGRRRILLQNLSVGFGNVTATAENIPHGVETKLSEAAELIKAASNCCGRMFSKCCCMCCIQCCSKMNDQCAIALTQLCTALACFGCLECCSQLCCCCCEGEGN >Manes.16G093900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30027739:30034619:-1 gene:Manes.16G093900.v8.1 transcript:Manes.16G093900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGRPENGSFLKPHIFTLTIPKLDGGSILSIKVSWIQKLLFHNGEFSLIVPFSFPEYVTPAVKKLPKKEKILLNVNSGTGTEIVCKTTSHALKQLKREAGKLGFSYESEVLSWTCVDFAVSYSVSSSHIYGSVILQSPSVHDFDQREMFCFYLFPQDQLSGKVFRKEIVFVVDISGSMEGKPLEGAKAALFGALTELDSKDSFNIVAFNGETYLFSSSMELATAETVERAVEWINLNFIAGGSTNILLPLNKAMEMVSNTHGSFPVIFLITDGAVEDERHICDLMESHLTGKESICPRIYTFGIGTYCNHYFLRRLAMLSRGQYDSAYDVDSVQSQMQKLFVKGLSPLLANITIDTFDDLDDVEVYPSRIPDLSSESLLIISGRYRGSFPETVEAKGVLGDLSNFVVDLKIQKANDMPLDRISAKQQIDLLTAQAWFSENKQLEEKVAKMSINTGIVSEYTRLAFLESQRGNQANESPRAHMLPHKTDSPKTDSQGRRRILLQNLSVGFGNVTATAENIPHGVETKLSEAAELIKAASNCCGRMFSKCCCMCCIQCCSKMNDQCAIALTQLCTALACFGCLECCSQLCCCCCEGEGN >Manes.16G093900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30027739:30034619:-1 gene:Manes.16G093900.v8.1 transcript:Manes.16G093900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFAKSVEDGLQLSKRLYFGKDRAVAPPRPPISMNKSAESFLPTAPMVYAVISDPAIVDNPDLASYQPHVHGRCDPPALIPLQMNRIELEADSYLDAVIVHLSGSWRVHCVMGSKSCDCRIAIPMGEQGSILGVEVEVPGKSYSTELIAIDGKKDMEKEGRPENGSFLKPHIFTLTIPKLDGGSILSIKVSWIQKLLFHNGEFSLIVPFSFPEYVTPAVKKLPKKEKILLNVNSGTGTEIVCKTTSHALKQLKREAGKLGFSYESEVLSWTCVDFAVSYSVSSSHIYGSVILQSPSVHDFDQREMFCFYLFPQDQLSGKVFRKEIVFVVDISGSMEGKPLEGAKAALFGALTELDSKDSFNIVAFNGETYLFSSSMELATAETVERAVEWINLNFIAGGSTNILLPLNKAMEMVSNTHGSFPVIFLITDGAVEDERHICDLMESHLTGKESICPRIYTFGIGTYCNHYFLRRLAMLSRGQYDSAYDVDSVQSQMQKLFVKGLSPLLANITIDTFDDLDDVEVYPSRIPDLSSESLLIISGRYRGSFPETVEAKGVLGDLSNFVVDLKIQKANDMPLDRVAKMSINTGIVSEYTRLAFLESQRGNQANESPRAHMLPHKTDSPKTDSQGRRRILLQNLSVGFGNVTATAENIPHGVETKLSEAAELIKAASNCCGRMFSKCCCMCCIQCCSKMNDQCAIALTQLCTALACFGCLECCSQLCCCCCEGEGN >Manes.11G050405.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6410319:6411931:1 gene:Manes.11G050405.v8.1 transcript:Manes.11G050405.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.18G021400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2102112:2106599:1 gene:Manes.18G021400.v8.1 transcript:Manes.18G021400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYYPSLSSQKENLQSLYPGDHKISSYSELPSHPNNMTMYVNQASAAGAYSEFLTESSLSSDHCAEFASAGDGNEMMFIPPTSATMSLQPIDGHLNTASTDPAGNHVSEQNFQCQGLSLRLGTEMQPAVSVPSFQYQNPSLILPSSSSPHLPVMGKWILSSGGGENHQSNLIRTEVSRNPQCLDAQKDMHSDAYMYETCGYANATSNSKYLKAAQQLLDEVVNLRKALKQLQSNKCFDDIKENDGKPSSQSILPTSSGISSGPNESTANSSSELTSAERQDFQNKKIKLLSMLDEVDRRYKEYYHQMQIVVSSFDMVAGQGAAKSYTALAIQTISRHFRCLRDAISNQIEITRRRLGEQSNSPNGQGGIPRLRYVDQQLRQQRALQQFGVMRHAWRPQRGLPESSVSILRAWLFEHFLHPYPNDSEKITLAKQTGLTRNQVANWFINARVRLWKPMVEEIYKEEFADSEANSKSSLDDATKALGENQLVSENRLDELQDSLTSAAADSNRTGQVHALKFDPLLDIEMNKPVAKTVLQNNSLGDVTNSRITKLQGNQRSNMDEQSPYLDKDIPSNQHGDESLMPAALSYDISELSGFAIGNQVSLALGLQHHESDAFPMSGGNQIRGNNIAASSMGPDTIDYHCIYMGKQQDRLGNSHLLRDFVV >Manes.12G060103.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5225150:5226070:1 gene:Manes.12G060103.v8.1 transcript:Manes.12G060103.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENKRSPSKSKDIILKAIEDLVSVNTLFIAAVFIGLAFADPQQRSLEINRPECNADMKIIKRLVVYEVVSFSCFLLSTMAAKSIKVYLHIFYPDDPDSDKNSLDKPRLDGKNVRLFDLKRGLIFTISIVASLVGVLFFALSIFCVIEIKLGKLSCGIHETRVASLTLLILVLISLLIYLPSMFIALVRCMIEP >Manes.10G077880.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18115952:18116758:-1 gene:Manes.10G077880.v8.1 transcript:Manes.10G077880.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAEKRSYGVIVNSFYELESGYADYYKKILGRKAWHIGPVSLWNRNLEEHAHRERKASISKQECLKWLDAKKPSSVIYACFGTVTKFSDSQLHEIAIGLEASKQNFIWVVRKDDIEEESEMKWLPDGYEKRMEGKGLIIRGWAPQVLILHHEAVGGFITHCGWNSLLEGVSAGLPMVTWPIFADQFFNEKLITDVLRIGVSVGAQKWIRLVGDYVNSEKIEKAVKEIIVGEKAVELRIRAKEIGEMAKRAIEEGGSSYNDLGALIEELKA >Manes.09G174700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36640792:36645882:1 gene:Manes.09G174700.v8.1 transcript:Manes.09G174700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTILFRKYRDALKSVRAPASSSAHLTTSKNSGGRPVIELVSTSLLQNRSYAPLSTEDPGNSSKGALTVGLPPAWVDVSEEIAANVQRARSKMTELARAHAKALMPSFGDGKEDQRMIEALTHEITDLIKKSEKKLQRLSAAGPSEDSNVRKNVQRSLATDLQNLSMELRKKQSTYLKRLRQQKEGQDGVDLEMNLNGSRSGIDDDNLDYMVFNERQMAKLKKSEAFTAEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVMCATVLVIMCFIMLVLLILKEIFL >Manes.17G111000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31735242:31744380:-1 gene:Manes.17G111000.v8.1 transcript:Manes.17G111000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLSLLFLRPKSMAFSTSTTLKSALSPSPFSSQPTSLKKFVFSHSLRFFSSPSTPYPLQYDMIINRPTPSPPAQTRRKPTRLSKSGANISPEPDSPKNPGSEMGLDSWVEQKLSGDGDNVDMDKSRRKYYNKRRKRMYGSDSDEDGGKNEEGFVELIPEVVQFRSLHKREEELYFYDAFAYPWEKDKHYKMVYQLEKKYFPDQCFDKAFIDPKDSNVNSNEKTKRSRSGKMAEKRDNGVVHKQDDGRLVFFDEKKDEKETNSAKETNKDITERKVEEFFKCLKKVPSKDNEIDNREPYLVTRSTQLPPTWDGPHGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDVDIRKAAASFCGEIWQVPPMFSAIKVGGEKMYEKARRGENIELSPRRISIFQFEIERSLEDRQNLIFRVVCSKGTYIRSLCADFGKALGSCAHLIALRRDSIGEYLADDAWEFKELEEAVTKNYF >Manes.17G111000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31735242:31744380:-1 gene:Manes.17G111000.v8.1 transcript:Manes.17G111000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLSLLFLRPKSMAFSTSTTLKSALSPSPFSSQPTSLKKFVFSHSLRFFSSPSTPYPLQYDMIINRPTPSPPAQTRRKPTRLSKSGANISPEPDSPKNPGSEMGLDSWVEQKLSGDGDNVDMDKSRRKYYNKRRKRMYGSDSDEDGGKNEEGFVELIPEVVQFRSLHKREEELYFYDAFAYPWEKDKHYKMVYQLEKKYFPDQCFDKAFIDPKDSNVNSNEKTKRSRSGKMAEKRDNGVVHKQDDGRLVFFDEKKDEKETNSAKETNKDITERKVEEFFKCLKKVPSKDNEIDNREPYLVTRSTQLPPTWDGPHGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDVDIRKAAASFCGEIWQVPPMFSAIKVGGEKMYEKARRGENIELSPRRISIFQFEIERSLEDRQNLIFRVVCSKGTYIRSLCADFGKALGSCAHLIALRRDSIGEYLADDAWEFKELEEAVTKNYF >Manes.08G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:309027:311405:-1 gene:Manes.08G000700.v8.1 transcript:Manes.08G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEWVLEFLRGMIKPVAALAVVLMAVLLSFMQKLGLEGEMIYSIFRAFIQLSVIGFVLQFIFNQDRSIWIILAYLFMVSVAGYTAGQRAKHVPRGKYVAGASILAGTAVTMFVLVILNVFPFTPRYIIPVAGMMVGNSMTVTGVTMKRLRDDIKVQMSLVETALALGATPRQATLQQVKRALVIALSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSIMSTYLCWPAFFTPAYQLETKVFSSD >Manes.06G020300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3536748:3543565:-1 gene:Manes.06G020300.v8.1 transcript:Manes.06G020300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAIERPMLESACSISSDGSRRRFGNLRGVQWRIDLGILPSSSSSTIDELRRVAADSRRRYARLRRRLLVDPHLPKDGSNSPNPAIDNPLSQNPGMHELLAPLLYVLHVDVERLTEVRKQYEDHFADKFDGVPFQENDLMYNFDFKKYLDSMEDEIGSHGSATKVGSLVELDPEIQTIILLSDAYGIEGELGTVLSEKFMEHDAYCMFDALMNGAYGAVAMADFFSPSPVGGSHSGLPPVIEASAALYHLLSVVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQNLLLIWDEIFLADKNKLEKGAEDDVSSTFSIFSSPQGALISSFAVSMILYLRSSLLSTENATTCLQRLLNFPENIDLRRLIDKAKSLQALAMGPSISSFSTPFGGAYNDSKSMVVRGHSLSLDSISPRTPLSMVPDSYWEEKWRVLHKAEEQRDGSSGKQNSTPKKGWTEKVRSSISRAVSDPSPAKVGCGKDRKPFVRRRLLEDLSRELGLDEDTEKVGCNEVPGQKDHICAAVGLEDEGGLNKDFTCTAEERCPSGNAGSEENSSVCSDPSSPSSGANNRENDLEKSSVASNLSIDETDDHPEYNQEDATLPVSHLPSDATLNSGSNNEATEKSMTKERKLLSGKFQWFWKFGRSNAGQEKCEQGSGAPDATKSANAAGNQSNTICSSVDGPCNSCTSAKGDVADQNMMGTLRNLGHSMLEHIQVIESVFQQDRGQVGSLENLSKNALVGKGQVTAITALKELRKISNLLSEM >Manes.06G020300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3536748:3543565:-1 gene:Manes.06G020300.v8.1 transcript:Manes.06G020300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAIERPMLESACSISSDGSRRRFGNLRGVQWRIDLGILPSSSSSTIDELRRVAADSRRRYARLRRRLLVDPHLPKDGSNSPNPAIDNPLSQNPDSTWGRFFRNAELEKTVDQDLTRLYPEHGNYFQSPGCQGMLRRILLFWCLRHPEYGYRQGMHELLAPLLYVLHVDVERLTEVRKQYEDHFADKFDGVPFQENDLMYNFDFKKYLDSMEDEIGSHGSATKVGSLVELDPEIQTIILLSDAYGIEGELGTVLSEKFMEHDAYCMFDALMNGAYGAVAMADFFSPSPVGGSHSGLPPVIEASAALYHLLSVVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQNLLLIWDEIFLADKNKLEKGAEDDVSSTFSIFSSPQGALISSFAVSMILYLRSSLLSTENATTCLQRLLNFPENIDLRRLIDKAKSLQALAMGPSISSFSTPFGGAYNDSKSMVVRGHSLSLDSISPRTPLSMVPDSYWEEKWRVLHKAEEQRDGSSGKQNSTPKKGWTEKVRSSISRAVSDPSPAKVGCGKDRKPFVRRRLLEDLSRELGLDEDTEKVGCNEVPGQKDHICAAVGLEDEGGLNKDFTCTAEERCPSGNAGSEENSSVCSDPSSPSSGANNRENDLEKSSVASNLSIDETDDHPEYNQEDATLPVSHLPSDATLNSGSNNEATEKSMTKERKLLSGKFQWFWKFGRSNAGQEKCEQGSGAPDATKSANAAGNQSNTICSSVDGPCNSCTSAKGDVADQNMMGTLRNLGHSMLEHIQVIESVFQQDRGQVGSLENLSKNALVGKGQVTAITALKELRKISNLLSEM >Manes.06G020300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3536914:3543170:-1 gene:Manes.06G020300.v8.1 transcript:Manes.06G020300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAIERPMLESACSISSDGSRRRFGNLRGVQWRIDLGILPSSSSSTIDELRRVAADSRRRYARLRRRLLVDPHLPKDGSNSPNPAIDNPLSQNPDSTWGRFFRNAELEKTVDQDLTRLYPEHGNYFQSPGCQGMLRRILLFWCLRHPEYGYRQGMHELLAPLLYVLHVDVERLTEVRKQYEDHFADKFDGVPFQENDLMYNFDFKKYLDSMEDEIGSHGSATKVGSLVELDPEIQTIILLSDAYGIEGELGTVLSEKFMEHDAYCMFDALMNGAYGAVAMADFFSPSPVGGSHSGLPPVIEASAALYHLLSVVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQNLLLIWDEIFLADKNKLEKGAEDDVSSTFSIFSSPQGALISSFAVSMILYLRSSLLSTENATTCLQRLLNFPENIDLRRLIDKAKSLQALAMGPSISSFSTPFGGAYNDSKSMVVRGHSLSLDSISPRTPLSMVPDSYWEEKWRVLHKAEEQRDGSSGKQNSTPKKGWTEKVRSSISRAVSDPSPAKVGCGKDRKPFVRRRLLEDLSRELGLDEDTEKVGCNEVPGQKDHICAAVGLEDEGGLNKDFTCTAEERCPSGNAGSEENSSVCSDPSSPSSGANNRENDLEKSSVASNLSIDETDDHPEYNQEDATLPVSHLPSDATLNSGSNNEATEKSMTKERKLLSGKFQWFWKFGRSNAGQEKCEQGSGAPDATKSANAAGNQSNTICSSVDGPCNSCTSAKGDVADQNMMGTLRNLGHSMLEHIQVIESVFQQDRGQVGSLENLSKNALVGKGQVTAITALKELRKISNLLSEM >Manes.06G020300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3536914:3543565:-1 gene:Manes.06G020300.v8.1 transcript:Manes.06G020300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAIERPMLESACSISSDGSRRRFGNLRGVQWRIDLGILPSSSSSTIDELRRVAADSRRRYARLRRRLLVDPHLPKDGSNSPNPAIDNPLSQNPDSTWGRFFRNAELEKTVDQDLTRLYPEHGNYFQSPGCQGMLRRILLFWCLRHPEYGYRQGMHELLAPLLYVLHVDVERLTEVRKQYEDHFADKFDGVPFQENDLMYNFDFKKYLDSMEDEIGSHGSATKVGSLVELDPEIQTIILLSDAYGIEGELGTVLSEKFMEHDAYCMFDALMNGAYGAVAMADFFSPSPVGGSHSGLPPVIEASAALYHLLSVVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQNLLLIWDEIFLADKNKLEKGAEDDVSSTFSIFSSPQGALISSFAVSMILYLRSSLLSTENATTCLQRLLNFPENIDLRRLIDKAKSLQALAMGPSISSFSTPFGGAYNDSKSMVVRGHSLSLDSISPRTPLSMVPDSYWEEKWRVLHKAEEQRDGSSGKQNSTPKKGWTEKVRSSISRAVSDPSPAKVGCGKDRKPFVRRRLLEDLSRELGLDEDTEKVGCNEVPGQKDHICAAVGLEDEGGLNKDFTCTAEERCPSGNAGSEENSSVCSDPSSPSSGANNRENDLEKSSVASNLSIDETDDHPEYNQEDATLPVSHLPSDATLNSGSNNEATEKSMTKERKLLSGKFQWFWKFGRSNAGQEKCEQGSGAPDATKSANAAGNQSNTICSSVDGPCNSCTSAKGDVADQNMMGTLRNLGHSMLEHIQVIESVFQQDRGQVGSLENLSKNALVGKGQVTAITALKELRKISNLLSEM >Manes.04G155750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35065672:35069729:1 gene:Manes.04G155750.v8.1 transcript:Manes.04G155750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSKKLMKIVRRWQKSATRAGKRFVLSDRNSRRKRHIYWLRKAIRYLRSDNDHYQHDKKNVLPVVGITNLLDLVQLIVSWKEHTVGKPTAEGSITL >Manes.04G155750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35065770:35066302:1 gene:Manes.04G155750.v8.1 transcript:Manes.04G155750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSKKLMKIVRRWQKSATRAGKRFVLSDRNSRRKRHIYWLRKAIRYLRSDNDHYQHDKKNVLPVVGITNLLDLVQLIVSWRSD >Manes.18G143702.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:33473060:33473218:-1 gene:Manes.18G143702.v8.1 transcript:Manes.18G143702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.12G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2482074:2485246:1 gene:Manes.12G028600.v8.1 transcript:Manes.12G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKSGLRKPVFTKVDQLRPGTSGHTLTVKVVSAKMVLQKGRPDGPQIRQMRIAECLVGDETGMIIFTARNDQVDLMKEGSTIILRNAKIDMFKGSMRLAVDKWGRVEVTEPASFTVKEDNNLSLIEYELVNVVEE >Manes.07G055686.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:8102593:8104195:-1 gene:Manes.07G055686.v8.1 transcript:Manes.07G055686.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESEPAEVNRIKYPKPQATMDLKPYYPRPSPINLQYEDINYNPVQVDGTSIIEWNIDGLSDYQIKNVLQYMTMHATACRAKGNDDPTAARALISGFSGQLKGWWDFSVSNEGKIQIFNMVKQEGTQQVPDVVNTLLYTIGLHFIGSVSMFTDRAQEQLINLRCPDLSHFKWYKDTFFLLVFTREDSQHHVWKEKFLAGLPALFAERVRDQIRSKHNGNIPYHDYTYGELASEVVTTGIHLCNELKIHKQMQKERFYGKQILGNFCEQYGLPPIKFLTTGRREDDKVRHHRQKRFFTKKRPYKEKHRRFQKPESSRKPKERKKPSLGKAEKTIVCYRCGKVGHYANKCRVKQQIQALTIE >Manes.15G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5000165:5003410:1 gene:Manes.15G065600.v8.1 transcript:Manes.15G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWMKEYSEAAKLADDINGMIAERSSFPASGPETQRHASATRRKITILGTKLDSLQSLLTKLPGKQQISEKEMNRRKDMVANLRTKVNQMASTLDMSKFANRDSLFGPEIKADDAMRRTEGLDNHGIVGLQRQIMREQDEGLEKLEETVTSTKHIALAVNEELGLHTRLIDDLDQHVDVTDSRLRRVQKNLASLNKRTKGGCSFFCMLLAVVGIVILVVVIYVLIKYL >Manes.08G001100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:374326:377184:1 gene:Manes.08G001100.v8.1 transcript:Manes.08G001100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASVIHNTFQVPVKFNPVRVAPISAQAQALKWTRLQFLPSFSVTHRFRDKYYSIASSKGAGQESDLSTSESESSSSWTHDEAPRSVDKLGEETQEHVNYTTSIKTVALCVCTAVAFGIGIGLKDGVGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPLMYQNRVLSYGIAGAIIFRLSLILLGTATLQRFEAINLFLAVILLYSSFKLFSSEEDDTDLSNNFIVKTCQRYIPVTCNILLAAGIYFFLYMFVQVLMFIDTFHALSLSRNLSVFSRQKYFVFKEKAKTRSKVKPH >Manes.08G001100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:374142:381309:1 gene:Manes.08G001100.v8.1 transcript:Manes.08G001100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASVIHNTFQVPVKFNPVRVAPISAQAQALKWTRLQFLPSFSVTHRFRDKYYSIASSKGAGQESDLSTSESESSSSWTHDEAPRSVDKLGEETQEHVNYTTSIKTVALCVCTAVAFGIGIGLKDGVGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPLMYQNRVLSYGIAGAIIFRLSLILLGTATLQRFEAINLFLAVILLYSSFKLFSSEEDDTDLSNNFIVKTCQRYIPVTSTYDGNRFITNQGGVWKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNLFAILGLRSLYTLISESMAELEYLQPSIAVVLGFIGCKMILDFFGVHLSTEVSLGFVATSLSAGVLLSLLKKSKL >Manes.08G001100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:374142:381309:1 gene:Manes.08G001100.v8.1 transcript:Manes.08G001100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASVIHNTFQVPVKFNPVRVAPISAQAQALKWTRLQFLPSFSVTHRFRDKYYSIASSKGAGQESDLSTSESESSSSWTHDEAPRSVDKLGEETQEHVNYTTSIKTVALCVCTAVAFGIGIGLKDGVGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPLMYQNRVLSYGIAGAIIFRLSLILLGTATLQRFEAINLFLAVILLYSSFKLFSSEEDDTDLSNNFIVKTCQRYIPVTSTYDGNRFITNQGGVWKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNLFAILGLRSLYTLISESMAELEYLQGFIYQLRSLLVLWQQVLVQVCC >Manes.09G005300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1316120:1328790:1 gene:Manes.09G005300.v8.1 transcript:Manes.09G005300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRERLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDTVIQFVNPKVQASIAANTWVVSGSPQTKKLQDILPQVLSHLGPDNLDNLKKLAEQIQKQVPSDGVPATAAQEDDDEVPELVAGETFEAAAEEGNANPAS >Manes.09G005300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1324346:1328790:1 gene:Manes.09G005300.v8.1 transcript:Manes.09G005300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNRERLMKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDTVIQFVNPKVQASIAANTWVVSGSPQTKKLQDILPQVLSHLGPDNLDNLKKLAEQIQKQVPSDGVPATAAQEDDDEVPELVAGETFEAAAEEGNANPAS >Manes.13G087178.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:15226520:15227304:1 gene:Manes.13G087178.v8.1 transcript:Manes.13G087178.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWGSLLFIWISSIMCLICVSLGYNPVDDYLIDCGSSTNKSVGDRVFIADQFFSNLLSTPHITFANASSSPNSSAYDPSHFQTARIFNETSYYSFSVNKPGRHWIRLYFFPFMFRNYNLSTAKFSVSAQNFTLIHPLTEAKARRNG >Manes.05G079000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6473016:6474733:-1 gene:Manes.05G079000.v8.1 transcript:Manes.05G079000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IATGDREPKQFKHQREHQRQYDEEDENPYVFNVEDFTPMFKNEHGAIYSLQKFSERSKLLSGIENFRVAAAKANPLTFLTPTHFDAEVVLVVTRGRGAITLIGEKRESFNIERGDVIRVQAGTTVYWINTDENDELRTVCLLKTVNFPSEYTPFYAASAGEASFYSALSPELLEAALKTDKEMLEHIMSTDHTEVIVKASKEQIQALSQEEEGRGNSTAPFNLLDMQPLVHNNYGQIFYVSPTEYRALEDLDIGVGFVNITKGSMLGPLYNSESTAIIMVLDGEGYFEMVGPRTKSSVQTGPTNKKLSSSLRHGSVIVSPAGYPIAMVASRKNDLIAVCFGTNAKGNIKYPLAGKNNIVNKMKSEAIELTFGVPAKEVEEIFEKETDYLLFPGPSQQEKQGRADA >Manes.03G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6454610:6458413:1 gene:Manes.03G060600.v8.1 transcript:Manes.03G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSSPFLNLFLPLLLMSIPYLVNSQSVESEQDILLKLRQQLNNPPSLRSWNSSFSFCNWTGISCTDGKVTALLLGNIDITVTIPATICDLRNLTVLDLSYNYIPGGFPGVLFNCSKLQSLDLSQNNFVGSIPDDIDRRLSTLKYLNLGGNNFSGDIPPAVGNLTELQYLYLNSNLFNGTFPKEIGNLANLVELGLAYNGFLPSTIPAEFGKLRKLTFMWIRDANLIGHIPDSFANLSSLEHLDLAVNNLEGSIPGGFFTLKNLTYLYLFRNEFSGEISQKVEALNLVEIDLAMNNLTGSIPEDFGKLKNLKLLSLFSNQLSGEIPPSIGLISTLTTFKIFNNKLSGVLPPELGLHSKLEQFDVSTNHFSGQLPENLCAGGVLIGVVAFSNNLTGKVPQSLGSCDTLSTVQLYDNNFSGEIPSGIWTAVNMTYLLLSDNSFSGQLPSLLAWNLSRLELNNNFFSGPIPAGISRWVNLIVFEASNNMFSGEIPVEVTSLSRLTTLLLDGNQLSGQLPTKIISWKSLSTLNLSRNALSGQIPVVMGSLPDLLDLDLSQNHFSGKIPSELGQLKLVMLNLSSNQLSGQIPDQFDNLAYENSFLNNSNLCAINPVLNLPNCYIRPRSSNKISSKVLAMILVLAMTIAIATAILTLLVIRDYLRKKKKRELLTWKQTSFHKVDFTQANILSSLTENNLIGSGGSGKVYRITMNHVGESVAVKKIWNNRKFDEKMEKEFVAEVQILGTIRHSNIVKLLCCISSEESKLLVYEYMENQSLDKWLHGKKRRSSSGTNSVQQVVLNWPRRMQIAIGAAQGLCYMHHDCSPPIIHRDIKSSNILLDSEFEAKIADFGLAKILAKQGEAHTISAVAGSFGYIAPEYAYTTKVNEKIDVYSFGVILLELVTGKEAHIGDENTSLAEWAWRQNAEGKPIIDCLDEEIKKSSYLEEMTSVFKLGLICTSTVPSTRPSMKDVLLILRRCSMRNSEEKLGNEFDVAPLLGNPTYLSSYKRSKRVSDFEFDSSLDYSV >Manes.07G083801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26316162:26318050:-1 gene:Manes.07G083801.v8.1 transcript:Manes.07G083801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVPEGGSMAMRDWNCHGPMLFGGCRCCFIGALFSFHSLLDSPWWMCQLRWFSFTESASICSLYGVFHRCGWRS >Manes.07G132000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33379225:33380965:-1 gene:Manes.07G132000.v8.1 transcript:Manes.07G132000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLHVKEAVVVKPSEPTPARVLSLSALDSQLFLRFTIEYLLVYKPCPGLDQGTIAARIKAALGRILVPYYPLAGRVRAKSDGSSLEVVCRAQGALFIEAVCDNAINEFDKAPRYVSQWRKLLSFHVADVLQGSPLLVIQLTWLKDGGATLGVGFNHCVCDGLGSTELLNSLAELAMSQSRFSDLKTKPIWDRHLLDPIKPCRSFSLSDAEFNRVTDLCGFLARFANERLIPTSVIFDKRWQNELKRFAASMSLPGESAYTSFEVVSAHVWRSWARALNLPSNQILKLLFSINIRNRVKPSLPSGYYGNAFVLGCAQTTVKNLTEKGLGYASTLIKRAKERVDNEYVRSVIESVSRTRSGPDSVGVLIMSQWSRLGLEKVDFGMGRPVQVGPICSDKYCLILPVQNRTDAVKVMVAIPASGVDKYDYLVKSPYS >Manes.15G151500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12616069:12619432:1 gene:Manes.15G151500.v8.1 transcript:Manes.15G151500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAWLIDSRTIARKVRNPARASVYQIKGYGANCECPNCHHFIDNGDVSHDWPGLPAGVKFDPSDAEILEHLAAKCGVEGSKPHAFIDEFIPTLVEDKGICYTHPENLPGAKKDGSSIHFFHRTINAYATGQRKRRKVHSEQGSTEEHVRWHKTGKTKPVMENGIQKGYKKIMVLYKNTKKGSKPDKSNWVMHEYHLGTDEDEKEGEYAVSKILYQQQKQTDMNDDGLTIEDSDILAHNTSPRTPIANPPNPPRPKISDAFDDTVDEKVFHSVQEEDIIKGASHIPAHCVHQNEVGDPAWLAGESQGVENPDFSGIHDSLLCKEIFDSSSLFLNGSTLNNISYCGTASNTKQLTGNNDSNKNNNNAPCGIADLENLDFDTPPDFQLADLQFSSQDLQFSSQDSILGWIDQL >Manes.15G151500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12616507:12619194:1 gene:Manes.15G151500.v8.1 transcript:Manes.15G151500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISAVVFSHSVFSSSVVSVPLTGTVSVLFSVYIDRWCFCRAWLIDSRTIARKVRNPARASVYQIKGYGANCECPNCHHFIDNGDVSHDWPGLPAGVKFDPSDAEILEHLAAKCGVEGSKPHAFIDEFIPTLVEDKGICYTHPENLPGAKKDGSSIHFFHRTINAYATGQRKRRKVHSEQGSTEEHVRWHKTGKTKPVMENGIQKGYKKIMVLYKNTKKGSKPDKSNWVMHEYHLGTDEDEKEGEYAVSKILYQQQKQTDMNDDGLTIEDSDILAHNTSPRTPIANPPNPPRPKISDAFDDTVDEKVFHSVQVRASHIPAHCVHQNEVGDPAWLAGESQGVENPDFSGIHDSLLCKEIFDSSSLFLNGSTLNNISYCGTASNTKQLTGNNDSNKNNNNAPCGIADLENLDFDTPPDFQLADLQFSSQDSILGWIDQL >Manes.15G151500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12616507:12619194:1 gene:Manes.15G151500.v8.1 transcript:Manes.15G151500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISAVVFSHSVFSSSVVSVPLTGTVSVLFSVYIDRWCFCRAWLIDSRTIARKVRNPARASVYQIKGYGANCECPNCHHFIDNGDVSHDWPGLPAGVKFDPSDAEILEHLAAKCGVEGSKPHAFIDEFIPTLVEDKGICYTHPENLPGAKKDGSSIHFFHRTINAYATGQRKRRKVHSEQGSTEEHVRWHKTGKTKPVMENGIQKGYKKIMVLYKNTKKGSKPDKSNWVMHEYHLGTDEDEKEGEYAVSKILYQQQKQTDMNDDGLTIEDSDILAHNTSPRTPIANPPNPPRPKISDAFDDTVDEKVFHSVQEEDIIKGASHIPAHCVHQNEVGDPAWLAGESQGVENPDFSGIHDSLLCKEIFDSSSLFLNGSTLNNISYCGTASNTKQLTGNNDSNKNNNNAPCGIADLENLDFDTPPDFQLADLQFSSQDLQFSSQDSILGWIDQL >Manes.10G087466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22368202:22372803:1 gene:Manes.10G087466.v8.1 transcript:Manes.10G087466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYYSAHWASSSPLSPNPQISANAKILNILHCALDITEYNLVSGFESAKEVWNKLEGTNQINESKANLLVRDFELFEMKSCETIAEISTRFTDLVNLLKALEKSFEEAELVKNILRSLSKTCEVKTTVIFDIKVFTKYTYDELIGSLIVHEMGITLKSEKLTDGKKKSITLKIDTSESSSLSSDEEEMAILARKFRRAFRKGGNKYKIFVKKYGPKDDSQTHLHKNSKEHATNESSNDDSKENNEVKLRCMALEEEAVESSKVENIEVIESELPNIEELELIFAKVYDEYKTYKRKYTSLKLENISLRSENIFLSMRKCKGKENMGTKSRCSRHMTGDKTFFSQLIMKLEGFVRFRDKSRAQIIGNGMIGLKLCIENVALVQNLKYNLLSVSQLCDIRFKIFSKKKESEKIMDEQNVKIQNDHHGETSNDRDQKNDIIDSLNQKMMTGNQLRKYFDNVAFILKLKPKSYKEAQNDES >Manes.07G110900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31659509:31660087:1 gene:Manes.07G110900.v8.1 transcript:Manes.07G110900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVTLKLLIDSKNRKVIFAEAGKDCVDFLLYLLALPLGSVIRLLTEQNMVGCLGNLYKSFNELGESYVRTIQIKESILKPTVSISAAEVPLLLSSDELPSRLYRCPHCKHIAAKEPNVSCTSCTAARNGAVLEKGFVKGVITYMVMDNLEVSPMSSISSIAILNKFKIQDLSVLEERVVDLGMNEVIKLI >Manes.10G088450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22658817:22659595:-1 gene:Manes.10G088450.v8.1 transcript:Manes.10G088450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWKISAFVACVVGLALVIPSHAQNSPQDYLNAHNAARSAIVGANIPALLYDTTLEADVQLYLNTLLGQCNLNVDLSLNGINVKVKENLLTGLDAVNAWVNEEIYYDYNTNSCIGGICTHYTQVVWKSSVSIGCFRTQCLNNLNLWIVGCKYSPPGNIIGLRPY >Manes.08G077055.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:17701884:17702303:-1 gene:Manes.08G077055.v8.1 transcript:Manes.08G077055.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLIDRPESAVARLEALSTSAFRDHGVSDFSGADVTIDPSIVAFDDLLGQFFGRVLAADEKIGGQVLEVTKIAQEAFSVQKELLIKAKQTQKLDFAGLAEFLKPFEICCGRSNIFSIDCLYRERFGMGMPIAHVEES >Manes.05G205800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33222511:33225862:-1 gene:Manes.05G205800.v8.1 transcript:Manes.05G205800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEYSSCDDDGRPLRTGTLWSCVAHIITAVIGSGVLSLAWSTAQLGWIAGPVSLLCFAIVTYISAFLLSDCYRSPDPVTGSRNYSYMDAVRVNLGSVQTWCCGLLQYLSMYGTGIAYVITTSTSMRAIQMSNCYHREGHKAACKYENTMFMLVFGLIQIVASQIPNFHEMEWLSVIAAIMSFAYSFIGFGLGFARVIENGRIEGSISGVPAANVADKLWLAFEALGDIAFAYPYSLILLEIQDTLKSHPPENKTMKKASMVAVFITTFFYLCCGCFGYAAFGNKTPGNLLTGFGFFEPYWLIDFANVCIVLHLVGGYQIYSQPVFAFVEGWFGKKYPRSWFINKFYPLKLPLIPPLQMNPLRLCFRTVYVASTTALAMVFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRTFSFVCFLVTVMGLIGSIQGLISAKLA >Manes.08G158200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39435615:39439024:-1 gene:Manes.08G158200.v8.1 transcript:Manes.08G158200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLFDAHCHLQDPRILNKVPQLIATSLDTGVVRFAVNGVCERDWHLVKEMGDLYPSVIPCFGLHPWFISERNPNWFNMLKELFETTPSAAVGEIGLDKGYHGKKIDFTDQVEVFRQQLQLAKELNRPASVHCVRAYGDLLETMKSMGPFPAGVILHSYLGSAEMVPEFAKLGAYFSFSGFLMSMKVQKAKRMLKAVSSDRILLETDAPDALPNSDLDSLFLVDGDKHTPERTQAQGENSASNTATLSDDHSHASTDASSLPKETLNHPANIHNVLSYVASLLDMPKEALAELSYRNAVRIFSYEGSKVSES >Manes.17G029012.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19964172:19969725:1 gene:Manes.17G029012.v8.1 transcript:Manes.17G029012.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVILSLLLSSFLFSFASPAFNDGLVRIGLKKMKLDQSSQIATQLQLKNAKALRASASKYGLHGKLADSAGPDIVALKNYLDAQYYGEISIGTPPQKFTVIFDTGSSNLWVPSSKCFLSVACYFHSKYKSSQSSTYRKNGKSAEIQYGTGSISGFFSYDNVNVGDLLVKDQEFIEATSEPGTTFLSAKFDGILGLGFQEISVGNAVPVWYNMVKQGLVKEPVFSFWLNRNTEEEEGGEIVFGGVDPNHFKGKHTYVPVTQKGYWQFDMGDILIGDKPTGYCAGKCSAIADSGTSLLTGPTTVVTMINQAIGATGVVNQECKAVVARYGETIMDLLLAEAQPMKICSQIGLCTFDGIHGVSMGIQSVVDENNDKSSSGDSMCSACEMAVVWMQNQLKLNRTQNYILNYVNELCDRIPNPLGQSAVDCGSLSSMPTVSFTIGGRMFELTPPEYILKVGEGSEAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGNQQVGFAEAA >Manes.17G029012.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19964919:19969725:1 gene:Manes.17G029012.v8.1 transcript:Manes.17G029012.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVILSLLLSSFLFSFASPAFNDGLVRIGLKKMKLDQSSQIATQLQLKNAKALRASASKYGLHGKLADSAGPDIVALKNYLDAQYYGEISIGTPPQKFTVIFDTGSSNLWVPSSKCFLSVACYFHSKYKSSQSSTYRKNGKSAEIQYGTGSISGFFSYDNVNVGDLLVKDQEFIEATSEPGTTFLSAKFDGILGLGFQEISVGNAVPVWYNMVKQGLVKEPVFSFWLNRNTEEEEGGEIVFGGVDPNHFKGKHTYVPVTQKGYWQFDMGDILIGDKPTGYCAGKCSAIADSGTSLLTGPTTVVTMINQAIGATGVVNQECKAVVARYGETIMDLLLAEAQPMKICSQIGLCTFDGIHGVSMGIQSVVDENNDKSSSGDSMCSACEMAVVWMQNQLKLNRTQNYILNYVNELCDRIPNPLGQSAVDCGSLSSMPTVSFTIGGRMFELTPPEYILKVGEGSEAQCISGFTALDVPPPRGPLWILGDIFMGRYHTVFDYGNQQVGFAEAA >Manes.12G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4536248:4538212:-1 gene:Manes.12G048700.v8.1 transcript:Manes.12G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSHIKTVIWALAFIFLFSLWRTMNRKSEREKNRVPEPAGAWPLIGHLHLLGGKEPACKILGAIADKAGPFYSLRLGMNRILVVSGWEMVKECLAKNDIVFATRASIAAGKHLGYNNAIFALAPYGEYWRDMRKLATLQLLSSHRLEILKHVRLSEVDMFLKDLYNICAENANNLAKVTISKLLERVTFNINLKMLAGKRFSSSTYGEENSEPWRYKKAIEEALYLSGIFVMSDAIPWLEWLDHQGHISAMKRTAKEIDAVVGTWLEEHLRKKSSKEDSIGESDLMDVMLENLAEDSVMSGHSRDTVVKAMVMILTLTGAGSTAVTLTWALSLLLNNPSVLKSAQEELDIHVGKDKWVQESNIQKLNYLQAIVKETLRLYPPGPLTGIREAMEDCDLGGYHVSQGTRLVANIWKLQRDPRIWENPSEFQPERFLTTHAHVDFRGQNFEYIPFSSGRRSCPAITFGLKVVHLILARVLQGFDLRTVKGLPVCMKEGPGISLSKVNPLEVIVKPRLDLELYQCLGRSNYMSF >Manes.08G081822.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22164947:22166192:1 gene:Manes.08G081822.v8.1 transcript:Manes.08G081822.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWASVRRKVLSGSSTTYLVLEQSLPGTCFMAPLSRSYSNPLKILPFERQSNNLRNVSFHGSLGKSIVNGIMGFAYLI >Manes.09G057761.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10105559:10108134:1 gene:Manes.09G057761.v8.1 transcript:Manes.09G057761.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSVCCDSKMLDQATELYDLMKRDGKLPPLAYLNVLLNSLVVSKQFDKVLVLFTELLESGFRPDTAMYGKAVQAAMMGDVKRGMELLDSMTKRGNYGQFFDTVRVGIRGPVKMIARSKNGEPDVLNNISSYRWVYKTGLIGVDQGLHQFQPRYLHSWNPDKLQTNRPFIWYKTSFKAPLGSDPVVVDLLGLGKGVAWINGRSIGRYWPKYSASEEGCDIVCDYRGAYKPEKCNIGCGKPSQRYYHVPRDWLKGEDNQLVLFEELGGNPSLVSFQTVTVGTVCANA >Manes.09G057761.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10105559:10108216:1 gene:Manes.09G057761.v8.1 transcript:Manes.09G057761.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESGFRPDTAMYGKAVQAAMMGDVKRGMELLDSMTKRGNYGQFFDTVRVGIRGPVKMIARSKNGEPDVLNNISSYRWVYKTGLIGVDQGLHQFQPRYLHSWNPDKLQTNRPFIWYKTSFKAPLGSDPVVVDLLGLGKGVAWINGRSIGRYWPKYSASEEGCDIVCDYRGAYKPEKCNIGCGKPSQRYYHVPRDWLKGEDNQLVLFEELGGNPSLVSFQTVTVGTVCANA >Manes.05G125200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22905319:22908499:1 gene:Manes.05G125200.v8.1 transcript:Manes.05G125200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAALAPSRIPASTRLPSKTTHSFPTQCTSKRLEVAEFSGLRASSCVPYAKNASDVSFFDLVAAQMTPKVAASTPARAETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDTSISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGAEIPTYVVGVNEKDYDHEVSNIVSNASCTTNCLAPFVKVIDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNIEKKGITAEDVNSAFRKAAEGPLKGILDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAAPGGSGDPLEDYCKTNPADEECKVYEA >Manes.02G103400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8108612:8111651:-1 gene:Manes.02G103400.v8.1 transcript:Manes.02G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTASSSARPAPADFLPEDDGSAAKSHRIKIGKASEDSDKKVANRRIKDMEISVPLVHGTIAFYLGRKASESQSHKWTVYVRGATNEDLGVVIKRVVFQLHPSFNNPTRVVDSPPFELSECGWGEFEIVITLVFHNDVCDKQLDFNLCRYHNLKLYPEDETGPQSTKKPVVVESYNEIVFPDPSENFLARVKNHPAVIVPRLPAGFTLPIPAPVENVNEKERGDTKDHPLSHWFLNFSEADELLKLAAARQQVQAHIAKLRRQMNVIDGLPRGPQLSLTASAYDL >Manes.02G103400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8108601:8111661:-1 gene:Manes.02G103400.v8.1 transcript:Manes.02G103400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTASSSARPAPADFLPEDDGSAAKSHRIKIGKASEDSDKKVANRRIKDMEISVPLVHGTIAFYLGRKASESQSHKWTVYVRGATNEDLGVVIKRVVFQLHPSFNNPTRVVDSPPFELSECGWGEFEIVITLVFHNDVCDKQLDLYHNLKLYPEDETGPQSTKKPVVVESYNEIVFPDPSENFLARVKNHPAVIVPRLPAGFTLPIPAPVENVNEKERGDTKDHPLSHWFLNFSEADELLKLAAARQQVQAHIAKLRRQMNVIDGLPRGPQLSLTASAYDL >Manes.10G136200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30343745:30346703:-1 gene:Manes.10G136200.v8.1 transcript:Manes.10G136200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKDTLFKGQQKKKTIPPNRHGKVPVTRKGKRFVKPSKTTKEMDVDRELTKFINQCNEVKAATIANKEGGQLSIVKAPPETSKAAKK >Manes.07G133012.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33497638:33500069:1 gene:Manes.07G133012.v8.1 transcript:Manes.07G133012.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLLEAGLKIDARAKADDVGFHQMDAKCQSKGWSELHVAIAFDRTDEVLDSLDSFGPLDLRDKEGRTPLHFAAGRGNIKCARVLVESGADKDANSKDGRTALYRAAANGDHKMVEMLIEMGSDPTIADNHGRSAFDVARDKGHEEILETLERGEVVLMAARRGNLEHLESLLKKGANKNYKDQYGFTALHAAAIKGHRDIVSMLVEFGVDLECQDNEGHSSLHFAVEGGSLETVEVLVGRGANVNAMSNRGATPLYMARTLGYTDISQFLVSRGASLPSPPPSSLPSSLQSIQHDKVL >Manes.15G145500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11944686:11947072:-1 gene:Manes.15G145500.v8.1 transcript:Manes.15G145500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMFCCLKYSLPFICIYLWYLKLWIANAMETGKKAFMGTFIGHILPALALIFLGLWHTINTIRAYCLKGSTNFNVKFWYPFHGPLSRLKHLELILILFFSVFAILMQLLDFPFLHLAFKLDNFEHATMFLHLAIFAGFTLCTEITHSSEILSVISGILASSVFGQELFLLHFHSTDHVGLEGHYHWLLQLSVFVSFVSALAVTSFPSSFPAALVLSISVVFQGCWFMIMGFVLWIPGFIPKGCAMQLPEASNHGIHGAVTCGSIDADLRARALANLQFSWILAGILLFTGCVCLKLAGRCTSRGQSTEYEQLCIRDAIRSDCDEIATLTFIDHGR >Manes.05G172400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28702777:28712324:1 gene:Manes.05G172400.v8.1 transcript:Manes.05G172400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGRLGSYITRSVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLRAREKVVTITVNGTDANCHMYLDQRGEAYFLREIEGEEGESISYISSSSDEMDEESLKIRRTMKSKSCYDDGIKLDSGDQIDQSSGDVARTISNQSRISRLVFGRRSTKENGYQEGGDGDGARVVRISSLDRAEIAADLVETFMLNESDMEEKLVEIAESSRNIAEPILESGDQDRNAEVVLPDVTCSDPQIADVFETCSGKKLDDKQASKDRNDVLTGFSGSQEESGSSRVQSFIYNGASEISVMGLDGLKEQSKFQITSGGPEEAHFCIETLHVTAEPLPEDSVNQQTEDINLERQHTEPCETKPSFSMRGHDEADLEVPLTVSISYSQPVHVDSVLGSVEVEPEDPVFNVNNSVDQIEDDINIGSKITMDELEPSLESAGVSGQINGDLNSAKAATVHVSESSEEEQFFFSDIDDFELRETQGDSYFPSSVDKGNHPSFCPEGIDVVNGLLNTNDGSYSSQDNFVQDNRLDDCENLTENSKLTSSPVFIPKRHNVVDAEVGRLAGSLPDLWSHTDNLGTMDSRPLSHSLDLDSDSLDWKLHSKNESHFANSDISNENKSVEDYSNKEDTHHLEDVKDGVGNPAIGDPSEAIASTGGSWRLWPFPFRRSRSRKTLQPALSDTRSSDDFVVSNGIICVDDDKNVLKGEATNKMMKAIAPTSEQLASLNLKEGSNAVTFTFSTAMLGKQKVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGIDWSQNGVAHLFSAIKDNGYQFLYLSARAIAQAYLTRQFLVNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRLVDTRSYTSLHALVHGMFPAYTSSEQEDYNSWNFWKLPPPDIDI >Manes.05G172400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28702777:28712324:1 gene:Manes.05G172400.v8.1 transcript:Manes.05G172400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVGRLGSYITRSVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLRAREKVVTITVNGTDANCHMYLDQRGEAYFLREIEGEEGESISYISSSSDEMDEESLKIRRTMKSKSCYDDGIKLDSGDQIDQSSGDVARTISNQSRISRLVFGRRSTKENGYQEGGDGDGARVVRISSLDRAEIAADLVETFMLNESDMEEKLVEIAESSRNIAEPILESGDQDRNAEVVLPDVTCSDPQIADVFETCSGKKLDDKQASKDRNDVLTGFSGSQEESGSSRVQSFIYNGASEISVMGLDGLKEQSKFQITSGGPEEAHFCIETLHVTAEPLPEDSVNQQTEDINLERQHTEPCETKPSFSMRGHDEADLEVPLTVSISYSQPVHVDSVLGSVEVEPEDPVFNVNNSVDQIEDDINIGSKITMDELEPSLESAGVSGQINGDLNSAKAATVHVSESSEEEQFFFSDIDDFELRETQGDSYFPSSVDKGNHPSFCPEGIDVVNGLLNTNDGSYSSQDNFVQDNRLDDCENLTENSKLTSSPVFIPKRHNVVDAEVGRLAGSLPDLWSHTDNLGTMDSRPLSHSLDLDSDSLDWKLHSKNESHFANSDISNENKSVEDYSNKEDTHHLEDVKDGVGNPAIEISLCKHLLYEGMGAEAASQAFDAEKLDIEKFTSLGPAVVKNDRLVVRIGGHYFPWDVAAPIVLGLVAFGSENIFESEGMIPVDQVEKSLVGDPSEAIASTGGSWRLWPFPFRRSRSRKTLQPALSDTRSSDDFVVSNGIICVDDDKNVLKGEATNKMMKAIAPTSEQLASLNLKEGSNAVTFTFSTAMLGKQKVDARIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGIDWSQNGVAHLFSAIKDNGYQFLYLSARAIAQAYLTRQFLVNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKIACLEEIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRLVDTRSYTSLHALVHGMFPAYTSSEQEDYNSWNFWKLPPPDIDI >Manes.01G193900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36841496:36841852:1 gene:Manes.01G193900.v8.1 transcript:Manes.01G193900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKARNKKKCLCEKTMELVVNIVKLSSISLANMSLRSTLRRLPAAAATGRLVPVMESVDAINISSASQHLPARNINSPGQEEDAMEDIDAKASNYIRKIREKNLKDWNSAQLVQMSR >Manes.03G135700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26157776:26163628:-1 gene:Manes.03G135700.v8.1 transcript:Manes.03G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKEAIEVIAQSIGINNLSPEVALALAPDVEYRVREIMQEAIKCMRHSRRTTLTTEDVDSALSLRNVDPVYGFASGDPLRFKRAAGHKDLYYIDDADVEFKDVMGAPLPKAPLDTSVTVHWLAIEGVQPAIPENAPVEASSDGKKSEYKEDGLPVDVKLPVKHVLSRELQLYFDKITELTMRKSGSILFKQALVSLATDPGLHPLLPYFTYLIADEVSRNLNNFSVLFGLMRVARSLIQNPNIHVEPYLHQLMPPIITCLVAKRLGNRFSDNHWELRNFTADLVASICKRFGHVYHNLQPRVTRTLLHAFLDPTKSLTQHYGAVQGLAALGPSVVRLLVLPNLEPYLLLLEPDMLLEKQKNEMKRHEAWCVYGALMRAAGLCMYDRLKMLPGMLMSPTRSVLKSNRRVMTQTPNKRKASTDNLMQQPPLKKLATDGAMGVMPMNTMQVEMQGATGGFPKAVGASSMGVPLMSRQLPNENMPGRDSGQVLKTSTVLAQAWKEDIDAGRLLASLYELFGESMFAFIPKPELSFFL >Manes.12G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9208909:9212805:1 gene:Manes.12G076600.v8.1 transcript:Manes.12G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLSPLSPVNLPDKNFLFPSWNPTRNTERRNTTPYSSRFPSSFSISSKSNNVLQVNKQRRSADYHPSIWDRKAIESLNTPFTYDSHGTRLEELKREVRSLAASTKDPFAQLKLMESMQRLGVSYHFQEEIRETLNLLVSEIDSKSADLYTTSLHFRLLREHAFPVSSDVFDKFRDNNGKFKENLVGDVQGLLSLYNASYLGIDGEEALEEAKSFSIKHLKLWLRNLKGNNAILASHVQQALDVPLHWRMPRIEARNFIDIYQTNQAKNLALLELAKLDYNLVQSVYQTELKELAKWWTSLGFKEKLQFSRDRLMENYLWAMGMIYEPTFSKCRIGLTKFVCILSAIDDMYDIYGSLDELQLFTHAVNRWDTKSMEELPEYMKLCYFAMLNFGNELAYDVLKEEGLDVLSNIKDEWIKLCGAYLVEADWFAKRHIPTLEEYIENAWISVGGHEAIVHASILLGQTSSSNSLDCLKHRFKLIYWSSLITRLSDDLGTFTEESKRGDVAKSIQCYMIEKEKSEEEAKVHIKSLISNAWKELNKESMKSSISNSVIKLSLNMARTAQFIFQHGDGIGTSKKVTRDRLISLIVQPVR >Manes.04G094100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29958071:29962476:-1 gene:Manes.04G094100.v8.1 transcript:Manes.04G094100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIVGGAAGVVALVGIVIFLIWFCLSHRMSVSRTSETGSSEPSQAGGRQLGVELSIREARRFEMEELSLATKSFSDKNLIGIGKFGEVYKGLLNDGMLVAIKKRTGVPSPEFVDEVRYLSPIQHRNLVTLLGYCQENNLQFLIYEYVPSGSVSSHLYGPSQVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLRNFLGRGDVAGPSSQVTADEIFLAPEVKEFRRFSDKSDIFSFGVFLLELLRGREATESPSLDSSQNLVEWVQSTQDYSVFSSIIDQRLGTSFTAEGMEEFIQLIVRCIEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPTVTLGSQLFRASK >Manes.04G094100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29958037:29962707:-1 gene:Manes.04G094100.v8.1 transcript:Manes.04G094100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIVGGAAGVVALVGIVIFLIWFCLSHRMSVSRTSETGSSEPSQGGRQLGVELSIREARRFEMEELSLATKSFSDKNLIGIGKFGEVYKGLLNDGMLVAIKKRTGVPSPEFVDEVRYLSPIQHRNLVTLLGYCQENNLQFLIYEYVPSGSVSSHLYGPSQVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLRNFLGRGDVAGPSSQVTADEIFLAPEVKEFRRFSDKSDIFSFGVFLLELLRGREATESPSLDSSQNLVEWVQSTQDYSVFSSIIDQRLGTSFTAEGMEEFIQLIVRCIEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPTVTLGSQLFRASK >Manes.04G094100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29958037:29962707:-1 gene:Manes.04G094100.v8.1 transcript:Manes.04G094100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIVGGAAGVVALVGIVIFLIWFCLSHRMSVSRTSETGSSEPSQAGGRQLGVELSIREARRFEMEELSLATKSFSDKNLIGIGKFGEVYKGLLNDGMLVAIKKRTGVPSPEFVDEVRYLSPIQHRNLVTLLGYCQENNLQFLIYEYVPSGSVSSHLYGPSQVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLRNFLGRGDVAGPSSQVTADEIFLAPEVKEFRRFSDKSDIFSFGVFLLELLRGREATESPSLDSSQNLVEWVQSTQDYSVFSSIIDQRLGTSFTAEGMEEFIQLIVRCIEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPTVTLGSQLFRASK >Manes.04G094100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29958071:29962476:-1 gene:Manes.04G094100.v8.1 transcript:Manes.04G094100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIVGGAAGVVALVGIVIFLIWFCLSHRMSVSRTSETGSSEPSQGGRQLGVELSIREARRFEMEELSLATKSFSDKNLIGIGKFGEVYKGLLNDGMLVAIKKRTGVPSPEFVDEVRYLSPIQHRNLVTLLGYCQENNLQFLIYEYVPSGSVSSHLYGPSQVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLRNFLGRGDVAGPSSQVTADEIFLAPEVKEFRRFSDKSDIFSFGVFLLELLRGREATESPSLDSSQNLVEWVQSTQDYSVFSSIIDQRLGTSFTAEGMEEFIQLIVRCIEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPTVTLGSQLFRASK >Manes.04G094100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29958071:29962476:-1 gene:Manes.04G094100.v8.1 transcript:Manes.04G094100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIVGGAAGVVALVGIVIFLIWFCLSHRMSVSRTSETGSSEPSQGGRQLGVELSIREARRFEMEELSLATKSFSDKNLIGIGKFGEVYKGLLNDGMLVAIKKRTGVPSPEFVDEVRYLSPIQHRNLVTLLGYCQENNLQFLIYEYVPSGSVSSHLYGPSQVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLRNFLGRGDVAGPSSQVTADEIFLAPEVKEFRRFSDKSDIFSFGVFLLELLRGREATESPSLDSSQNLVEWVQSTQDYSVFSSIIDQRLGTSFTAEGMEEFIQLIVRCIEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPTVTLGSQLFRASK >Manes.04G094100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29958071:29962476:-1 gene:Manes.04G094100.v8.1 transcript:Manes.04G094100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIVGGAAGVVALVGIVIFLIWFCLSHRMSVSRTSETGSSEPSQAGGRQLGVELSIREARRFEMEELSLATKSFSDKNLIGIGKFGEVYKGLLNDGMLVAIKKRTGVPSPEFVDEVRYLSPIQHRNLVTLLGYCQENNLQFLIYEYVPSGSVSSHLYGPSQVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLRNFLGRGDVAGPSSQVTADEIFLAPEVKEFRRFSDKSDIFSFGVFLLELLRGREATESPSLDSSQNLVEWVQSTQDYSVFSSIIDQRLGTSFTAEGMEEFIQLIVRCIEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPTVTLGSQLFRASK >Manes.04G094100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29958071:29962476:-1 gene:Manes.04G094100.v8.1 transcript:Manes.04G094100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIVGGAAGVVALVGIVIFLIWFCLSHRMSVSRTSETGSSEPSQGGRQLGVELSIREARRFEMEELSLATKSFSDKNLIGIGKFGEVYKGLLNDGMLVAIKKRTGVPSPEFVDEVRYLSPIQHRNLVTLLGYCQENNLQFLIYEYVPSGSVSSHLYGPSQVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLRNFLGRGDVAGPSSQVTADEIFLAPEVKEFRRFSDKSDIFSFGVFLLELLRGREATESPSLDSSQNLVEWVQSTQDYSVFSSIIDQRLGTSFTAEGMEEFIQLIVRCIEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPTVTLGSQLFRASK >Manes.04G094100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29958071:29962476:-1 gene:Manes.04G094100.v8.1 transcript:Manes.04G094100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLAAIVGGAAGVVALVGIVIFLIWFCLSHRMSVSRTSETGSSEPSQAGGRQLGVELSIREARRFEMEELSLATKSFSDKNLIGIGKFGEVYKGLLNDGMLVAIKKRTGVPSPEFVDEVRYLSPIQHRNLVTLLGYCQENNLQFLIYEYVPSGSVSSHLYGPSQVSDGKLEFKLRLSIALGAAKGLAHLHSQSPRLLHKDFKTANVLVDENFIAKVADAGLRNFLGRGDVAGPSSQVTADEIFLAPEVKEFRRFSDKSDIFSFGVFLLELLRGREATESPSLDSSQNLVEWVQSTQDYSVFSSIIDQRLGTSFTAEGMEEFIQLIVRCIEPSSERRPAMSYVVMELDRILEKEMSLTTVMGEGTPTVTLGSQLFRASK >Manes.15G134600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10861906:10865987:1 gene:Manes.15G134600.v8.1 transcript:Manes.15G134600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFILIVSITLGCTGAYSKVGCIKSERDALLQFKQGLTDPSNRLSSWEGEDCCAWEGVGCSKRTGHVVKLNLHNPSSWAMYYSPRRAFCDSSCLGGEINSSLLYLTCLEYFDVSMNNFSYSEIPSFLGSLKNLKYLDLGFAEFSGKVPHELSNLSNLQYLDLRWNYLTIENPIVVSSLSCLKHLDLSGLKLSNQDDCQNNFSSPIPRWLFNISSIQQFDLSSSAFQGSIPREIGNFNFLTILDLSENELDGGLPASIGKLTNLTELYITHSNLNGDIPESIGQLSNLNHLSNLTSLKILFLGQNPLVFNVSPMWAPPFQLGWISISSCKIGPKFPQWLKTQTKLSRIDMSNASISDSIPRWFDNISLSIKGLDLSYNQIMKNLPRFRKLSGDLYSREIYLDSNKFDGPLAPFPSDIAVLDVSNNFLSGHIPQTLSDDGMPLMESLFLSNNQLSGSIPVYLCKMQDLNFLGLANNQLSGRLPGCWQKQQGLLVIDLANNNFSGPVPGSLGSLQQLVSLHLENNNLQGEIPLSLKNLANLRALDLSKNSLSSSVPAWIGGNLSSLRMLNLHSNMIHGEIPLQLCNLVSLRLLDLGRNKMTGPIPRGLEYTKTLQFLFSIDLSGNNFTGKIPKELMSLTNLQNLNQSENNLEGHIPFNIGNMKLLESLDLSRNQLSGSIPPSISNLNFLSHLNLSFNNLSGHIPSGNQIQTLDDKSIYIGNYGLCGFPLKNCTEDDEPRKGYDKVENPTKDEAQKLWFFSGFGMGFTSGFVGVCGILYFKDSWRLAFFAFVDRVYNKLWVVIATKANQLQRKLQWKRV >Manes.05G146300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24861227:24864818:-1 gene:Manes.05G146300.v8.1 transcript:Manes.05G146300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIRPLRQWPLFQHHCCPPSSFYHLLSPSFVFPIETASHRLAFATVLSSNRGIHYRSRGLRLPDTPTASDNDEGANSSDSDSDATKSRNQKKREARRAVRWGMELASFSAPQINRILRVASLEREVYDALMLVKRLGPDVREGKRRQYNYIGKLLREVKPELMDSLILATKDGDWSRLQAVSGLEAGIFGDDYEESDKTEYEEEEKEVSQRYIDMATRWLDGLLNKDLKITNEVYAIHTVDFDRQELRRLVRRVHAVQERRNIDEENEQEVEAAITVAKKSLTHFLRALAKQMPMESSHIGL >Manes.05G146300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24861382:24864744:-1 gene:Manes.05G146300.v8.1 transcript:Manes.05G146300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIRPLRQWPLFQHHCCPPSSFYHLLSPSFVFPIETASHRLAFATVLSSNRGIHYRSRGLRLPDTPTASDNDEGANSSDSDSDATKSRNQKKREARRAVRWGMELASFSAPQINRILRVASLEREVYDALMLVKRLGPDVREGKRRQYNYIGKLLREVKPELMDSLILATKDGDWSRLQAVSGLEAGIFGDDYEESDKTEYEEEEKEVSQRYIDMATRWLDGLLNKDLKITNEVYAIHTVDFDRQELRRLVRRVHAVQERRNIDEENEQEVEAAITVAKKSLTHFLRALAKQMPMESSHIGL >Manes.12G156000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36450531:36451230:1 gene:Manes.12G156000.v8.1 transcript:Manes.12G156000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNSSVLACNYAISGAGAGSSELSSKLASMPAGVSAATSGPKLPVIRAQKAGASSESKSEGRRTAMLCLAATLFTSAVASSSANAGVIEDYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCKFPENFTGCQDLAKQKKVPFISDDLALECEGKDKYKCGSNVFWKW >Manes.10G093400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24003267:24020524:1 gene:Manes.10G093400.v8.1 transcript:Manes.10G093400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQQQTPCHSSTTTTAADGTTNNGAKLSDPEKTIEDAEDSDVSPIEEVRLTVPNTDDPNLPVWTFRMWFLGLLSCGILSFLNQFFSYRTEPLVITQITVQVATLPVGHFMAAVLPKRKFRVPGLGSKTFSLNPGPFNMKEHVLISIFANAGSAFGSGSAYAVNIITIIKAFYKRKISLLASWILIVTTQVLGYGWAGLLRKYVVEPAQMWWPTTLVQVSLFRALHEKDEKDQRMTRAKFFLIALVCSFSWYLVPGYLFTTLTSISWVCWVFPKSITAQQLGSGMRGLGLGAITLDWSAVASFLFSPLISPFFAIANVFLGYVLILYIVIPISYWGVDLYNAHRFPIFSSHLFTAQGKKYDISAIVNDKFELDLPKYEEQGRIHLSLFFAFTYGFGFATIASTLTHVAFFYGREIYERYHASYKGKEDIHTRLMRRYKDIPSWWFYLLLAVTLAVSLVLCIVLNDQVQMPWWGLLFASAIAFFFTLPISVITATTNQTPGLNIITEYVMGIIYPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTVLAGTINLAVAWWLLNSINNICQDDLLPPDSPWTCPYDRVFFDASVIWGLVGPKRIFGSLGSYQAMNWFFLGGAAGPVIVWLLHKTFPKQSWIPLINLPVLLGSTGTMPPATTVNYNSWIIVGTIFNFFIFRYRKQWWQRYNYILSAALDAGVAFMAVLLYFSVGMENRTLNWWGTDGEHCDLASCPTAKGVEVDGCPVN >Manes.03G030100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2411395:2412880:-1 gene:Manes.03G030100.v8.1 transcript:Manes.03G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKRPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >Manes.06G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27145004:27148787:-1 gene:Manes.06G144400.v8.1 transcript:Manes.06G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNEMDMGYEDEPPEPEVEEGAEEDVDNNNNEDVQGEPIETEDKEDQEPVERPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Manes.02G026500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2248542:2252289:1 gene:Manes.02G026500.v8.1 transcript:Manes.02G026500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSVYYKLYKVVAFPRAFNLDSIVGNSVWTRTNSNLILEKMGALEWNKVVHGHQGWRLITCMWLHAGVIHLLANMLSLIFIGIRLEQQFGFVRVGIVYLLSGFGGSILSSLFIQRNISVGASGALFGLLGAMLSELLTNWTIYSNKIAALLTLVVIIAINLAVGILPHVDNFAHIGGFLAGFLLGFVILLRPQFGWYESQHLPADARVKSRHKAYQYVFLLVAAVLLIVGFTVGLVMLFRGVNGNDHCSWCHYLSCVPTSKWKCDN >Manes.02G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2247347:2252289:1 gene:Manes.02G026500.v8.1 transcript:Manes.02G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDPERGTKNRGYNDTSLYYVETSDKQWTSWLIPMFVVANIAVFIVAMYVNNCPKNNLGFEGSCVAKFLGRLSFQPLKENPLFGPSSSTLEKMGALEWNKVVHGHQGWRLITCMWLHAGVIHLLANMLSLIFIGIRLEQQFGFVRVGIVYLLSGFGGSILSSLFIQRNISVGASGALFGLLGAMLSELLTNWTIYSNKIAALLTLVVIIAINLAVGILPHVDNFAHIGGFLAGFLLGFVILLRPQFGWYESQHLPADARVKSRHKAYQYVFLLVAAVLLIVGFTVGLVMLFRGVNGNDHCSWCHYLSCVPTSKWKCDN >Manes.03G161850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28877004:28883255:-1 gene:Manes.03G161850.v8.1 transcript:Manes.03G161850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYLKSLATTRTGGAAMEFGDREWKREKEEWKRRDRGRENQTDSQRRKRGIRITDALQIYMRNYDGMHGTSRSFTWNSRMDNLIKLRQ >Manes.10G091500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23599617:23607192:1 gene:Manes.10G091500.v8.1 transcript:Manes.10G091500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVLSPVLQVVFDRLASPVLQKAADIWGLKDNFQNLQNALLMAQAVLEDAEDQQETNKAVRIWLSKLKDAAYHAEDLLDEIASHITMSERVGNNWVFSFIGKNELVYAEKLRFMLQELENSAVEGSKFHLRQGIVQSNRRETGPFIIESEIYGRKEDKEKIVKLLLSNDGNVCFIPIVGIGGLGKTTLAQLVHNDEEVTRHFDVKIWVFVSDDFDVKRIMKAIIESATMDKCDSFAMNVLQSKIWALLHKKRYLIVLDDVWIEDYEEWDKLEPIFRVGIDGSKIIVTTRSRKVAFMTTFPTNPYYLKGLAEEDCWKLFKSRAFLQGEEGKYPNLLEIGKKIIKKCGGVPLAAKTLGSLMRFKREEREWLFVQNSELWDLDIHHTGILPALRLSYFHLPSHLKRCFTFCSIFPKRYEIKKDKLIRMWMAEGLIQSDGARKRPEDIGEDYFQDLLWMSFFQGAGDADGSGTSGYKMLDIIHDLAKFVAGKESVIVDQGLTSNNLAQTRHASVIIDFRSPQIPEALYEAEHLRTLILFPGGNTRDDGSKVFYNFPFLRVLDVNASAFELYGFGRLLCLRYVDLSYASIITLDFKIEELPFLQTLNLYSCYNLKELPNIAKMLSLRHLNVTRCESLFTMSISFAKIYQQFGCSSSSSDKYEKIFYLSYIPGQSNQLQTLPTIVVGGFLDLIFLGQLNLHGELTIRHLENVLSSDDARSANLVRKDNLESLGLCWGNNADESD >Manes.10G068750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10778368:10779416:1 gene:Manes.10G068750.v8.1 transcript:Manes.10G068750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDVNHVLLHCPLARESWVAIGLVFNFSDVLNMLSHVFSLGDDVLCKDSKMQWVLEQCCGIVRSCLLLQSLGIIWVIFHLGGAEAMGLKEALS >Manes.17G002283.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:1887144:1887536:-1 gene:Manes.17G002283.v8.1 transcript:Manes.17G002283.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFEALHGYPLALLTFLPTTETSEAVVSEFFQKRQHISQLLIENLQAAQMRKKHQANKKRTKRAFEVGDGVFLELQPYRQTSLAVRKSLKLSAKYYEPFQIVSKISQVAYKLESCLLTLIHIPYFMPPY >Manes.16G029900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3457132:3459518:1 gene:Manes.16G029900.v8.1 transcript:Manes.16G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKAEGKRSLKEMEEEEDEEDEEDSSGLGFGDDDKKKKGKKGSNGSGSLAAVSCQAENCTSDMTNAKQYHRRHKVCEFHAKAPFVLIAGIQQRFCQQCSRFHELSEFDDNKRSCRSRLAGHNERRRKSSTDYHGEGSN >Manes.13G032100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4087041:4094183:-1 gene:Manes.13G032100.v8.1 transcript:Manes.13G032100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANCQKTLTGINCFSISKPLQRTGLLSQNHSIADQLKHCSKIENLECMYASMIKTNTSQDCFLINQFITACSTLGHVDFAVLAYNQMENPNVFVYNAMIRGFVQCYYPIKALEFYIHMLRVQLLPTSYTFSSLIKACSLASEIRFGEAVHGHIWRLGFDSHVFVQTALVDFYSRVGRITGSKRVFDEMPHRDAFAWTTMVSGLARFGDLSSARRLFDMMPEKNIATWNTLIDGYARLRDVDSAENLFIQMPERDIISWTTMIDCYSQNKRYREALVVFHEMIKNGISPDEVTMATVISACAHLGALDLGKEIHLYVMQNGFDLDVYIGSALIDMYAKCGSLNRSLLVFFKLREKNLFCWNAVIEGLAAHGYVEKALTMFHKMEKEKIKPNGVTFISVLSACTHAGLIEEGRKIFERMTFDFSIPPEIEHYGCMVDLLSKGGLLEEALLLIRAMKFEANAVIWGTLLGGCKLHKNLDIAQVAVNELMVLEPSNSGHYSLLINMYAEVNRWSEAAKIRDSMRKLGVEKPCPGSSWIEMESVLHQFAASDKSHLASDEIYSLLEVLDGQLKLADNVPEPWSIS >Manes.13G032100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4089631:4096988:-1 gene:Manes.13G032100.v8.1 transcript:Manes.13G032100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANCQKTLTGINCFSISKPLQRTGLLSQNHSIADQLKHCSKIENLECMYASMIKTNTSQDCFLINQFITACSTLGHVDFAVLAYNQMENPNVFVYNAMIRGFVQCYYPIKALEFYIHMLRVQLLPTSYTFSSLIKACSLASEIRFGEAVHGHIWRLGFDSHVFVQTALVDFYSRVGRITGSKRVFDEMPHRDAFAWTTMVSGLARFGDLSSARRLFDMMPEKNIATWNTLIDGYARLRDVDSAENLFIQMPERDIISWTTMIDCYSQNKRYREALVVFHEMIKNGISPDEVTMATVISACAHLGALDLGKEIHLYVMQNGFDLDVYIGSALIDMYAKCGSLNRSLLVFFKLREKNLFCWNAVIEGLAAHGYVEKALTMFHKMEKEKIKPNGVTFISVLSACTHAGLIEEGRKIFERMTFDFSIPPEIEHYGCMVDLLSKGGLLEEALLLIRAMKFEANAVIWGTLLGGCKLHKNLDIAQVAVNELMVLEPSNSGHYSLLINMYAEVNRWSEAAKIRDSMRKLGVEKPCPGSSWIEMESVLHQFAASDKSHLASDEIYSLLEVLDGQLKLADNVPEPWSIS >Manes.13G032100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4087044:4096999:-1 gene:Manes.13G032100.v8.1 transcript:Manes.13G032100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANCQKTLTGINCFSISKPLQRTGLLSQNHSIADQLKHCSKIENLECMYASMIKTNTSQDCFLINQFITACSTLGHVDFAVLAYNQMENPNVFVYNAMIRGFVQCYYPIKALEFYIHMLRVQLLPTSYTFSSLIKACSLASEIRFGEAVHGHIWRLGFDSHVFVQTALVDFYSRVGRITGSKRVFDEMPHRDAFAWTTMVSGLARFGDLSSARRLFDMMPEKNIATWNTLIDGYARLRDVDSAENLFIQMPERDIISWTTMIDCYSQNKRYREALVVFHEMIKNGISPDEVTMATVISACAHLGALDLGKEIHLYVMQNGFDLDVYIGSALIDMYAKCGSLNRSLLVFFKLREKNLFCWNAVIEGLAAHGYVEKALTMFHKMEKEKIKPNGVTFISVLSACTHAGLIEEGRKIFERMTFDFSIPPEIEHYGCMVDLLSKGGLLEEALLLIRAMKFEANAVIWGTLLGGCKLHKNLDIAQVAVNELMVLEPSNSGHYSLLINMYAEVNRWSEAAKIRDSMRKLGVEKPCPGSSWIEMESVLHQFAASDKSHLASDEIYSLLEVLDGQLKLADNVPEPWSIS >Manes.13G032100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4087045:4096935:-1 gene:Manes.13G032100.v8.1 transcript:Manes.13G032100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANCQKTLTGINCFSISKPLQRTGLLSQNHSIADQLKHCSKIENLECMYASMIKTNTSQDCFLINQFITACSTLGHVDFAVLAYNQMENPNVFVYNAMIRGFVQCYYPIKALEFYIHMLRVQLLPTSYTFSSLIKACSLASEIRFGEAVHGHIWRLGFDSHVFVQTALVDFYSRVGRITGSKRVFDEMPHRDAFAWTTMVSGLARFGDLSSARRLFDMMPEKNIATWNTLIDGYARLRDVDSAENLFIQMPERDIISWTTMIDCYSQNKRYREALVVFHEMIKNGISPDEVTMATVISACAHLGALDLGKEIHLYVMQNGFDLDVYIGSALIDMYAKCGSLNRSLLVFFKLREKNLFCWNAVIEGLAAHGYVEKALTMFHKMEKEKIKPNGVTFISVLSACTHAGLIEEGRKIFERMTFDFSIPPEIEHYGCMVDLLSKGGLLEEALLLIRAMKFEANAVIWGTLLGGCKLHKNLDIAQVAVNELMVLEPSNSGHYSLLINMYAEVNRWSEAAKIRDSMRKLGVEKPCPGSSWIEMESVLHQFAASDKSHLASDEIYSLLEVLDGQLKLADNVPEPWSIS >Manes.13G032100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4086091:4096998:-1 gene:Manes.13G032100.v8.1 transcript:Manes.13G032100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANCQKTLTGINCFSISKPLQRTGLLSQNHSIADQLKHCSKIENLECMYASMIKTNTSQDCFLINQFITACSTLGHVDFAVLAYNQMENPNVFVYNAMIRGFVQCYYPIKALEFYIHMLRVQLLPTSYTFSSLIKACSLASEIRFGEAVHGHIWRLGFDSHVFVQTALVDFYSRVGRITGSKRVFDEMPHRDAFAWTTMVSGLARFGDLSSARRLFDMMPEKNIATWNTLIDGYARLRDVDSAENLFIQMPERDIISWTTMIDCYSQNKRYREALVVFHEMIKNGISPDEVTMATVISACAHLGALDLGKEIHLYVMQNGFDLDVYIGSALIDMYAKCGSLNRSLLVFFKLREKNLFCWNAVIEGLAAHGYVEKALTMFHKMEKEKIKPNGVTFISVLSACTHAGLIEEGRKIFERMTFDFSIPPEIEHYGCMVDLLSKGGLLEEALLLIRAMKFEANAVIWGTLLGGCKLHKNLDIAQVAVNELMVLEPSNSGHYSLLINMYAEVNRWSEAAKIRDSMRKLGVEKPCPGSSWIEMESVLHQFAASDKSHLASDEIYSLLEVLDGQLKLADNVPEPWSIS >Manes.13G032100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4087044:4096999:-1 gene:Manes.13G032100.v8.1 transcript:Manes.13G032100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANCQKTLTGINCFSISKPLQRTGLLSQNHSIADQLKHCSKIENLECMYASMIKTNTSQDCFLINQFITACSTLGHVDFAVLAYNQMENPNVFVYNAMIRGFVQCYYPIKALEFYIHMLRVQLLPTSYTFSSLIKACSLASEIRFGEAVHGHIWRLGFDSHVFVQTALVDFYSRVGRITGSKRVFDEMPHRDAFAWTTMVSGLARFGDLSSARRLFDMMPEKNIATWNTLIDGYARLRDVDSAENLFIQMPERDIISWTTMIDCYSQNKRYREALVVFHEMIKNGISPDEVTMATVISACAHLGALDLGKEIHLYVMQNGFDLDVYIGSALIDMYAKCGSLNRSLLVFFKLREKNLFCWNAVIEGLAAHGYVEKALTMFHKMEKEKIKPNGVTFISVLSACTHAGLIEEGRKIFERMTFDFSIPPEIEHYGCMVDLLSKGGLLEEALLLIRAMKFEANAVIWGTLLGGCKLHKNLDIAQVAVNELMVLEPSNSGHYSLLINMYAEVNRWSEAAKIRDSMRKLGVEKPCPGSSWIEMESVLHQFAASDKSHLASDEIYSLLEVLDGQLKLADNVPEPWSIS >Manes.S049916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1670243:1671055:1 gene:Manes.S049916.v8.1 transcript:Manes.S049916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGGRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.13G014400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1941339:1945241:-1 gene:Manes.13G014400.v8.1 transcript:Manes.13G014400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRHHFPGHLPDENLVSPMRIANGYLVEGIQDKVENCFDYGRDRTDRCGSQESVHKDILDLLPSDPFGMDISTTFTAITGWLEDLEVDYGGYGRNQVATSDGNYQLFAGLNFIWNNAMRFQGFDNSLNAAGFDNSLNAVGGFGDGFLEKEAGGASGHGAFGLPCNVEDIMSLGNQLIGIKDAGNVDIIIGESYEGIGMRSDGDVGAPHAAFGFALGYLGVRDLLVVEIVCKSLRSTVRNDPLLWRSIHIDQPLNEKITDDVFLQLTNRAQGNLHCLSLIECPRITDDGLKCVLQNNPRLTKLSVPGCTRLSIEGVVTSLRAFKTMGTQGVKHLRIGGLYGVTQKHFEELLFLLGSNMQMQRNAHKPHFYHRGNFYLSCEDDRTIDIEMCPRCQNLRLVYDCPAVECQQKEHSTWACRACTLCISRCVHCGRCINDNEYVETFCLELLCSDCWKQLQKCNEKQIDGKIGAPMSSSLGGSSCGLRLHG >Manes.13G014400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1941330:1945241:-1 gene:Manes.13G014400.v8.1 transcript:Manes.13G014400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRHHFPGHLPDENLVSPMRIANGYLVEGIQDKVENCFDYGRDRTDRCGSQESVHKDILDLLPSDPFGMDISTTFTAITGWLEDLEVDYGGYGRNQVATSDGNYQLFAGLNFIWNNAMRFQGFDNSLNAAGFDNSLNAVGGFGDGFLEKEAGGASGHGAFGLPCNVEDIMSLGNQLIGIKDAGNVDIIIGESYEGIGMRSDGDVGAPHAAFGFALGYLGVRDLLVVEIVCKSLRSTVRNDPLLWRSIHIDQPLNEKITDDVFLQLTNRAQGNLHCLSLIECPRITDDGLKCVLQNNPRLTKLSVPGCTRLSIEGVVTSLRAFKTMGTQGVKHLRIGGLYGVTQKHFEELLFLLGSNMQMQRNAHKPHFYHRGNFYLSCEDDRTIDIEMCPRCQNLRLVYDCPAVECQQKEHSTWACRACTLCISRCVHCGRCINDNEYVETFCLELLCSDCWKQLQKCNEKQIDGKIGAPMSSSLGGSSCGLRLHG >Manes.11G090100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16909372:16915202:1 gene:Manes.11G090100.v8.1 transcript:Manes.11G090100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIRVFFFAFLLVASPLLQVSHCQSDSEEEVMEAAEEVSDLGIVGEDTQYFGDGSYSPAPGVDTVCVFPKNSARLVPAGEEAELLVGLKNDGESSINVIAIKASVHLPFDHRMLVQNLTAQPFNNATVPASTQATFPYVFAVSKYLQPGNFDLVGTIFYEIDQHPYQSTFYNGTIEVVESGGFLSVESVFLVTLGVALLVLLGLWIHGQIQNLSKKTKRAPKVEIGTGTRDASMDEWLQGTAYTQSISSKSKKKK >Manes.08G121700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36207017:36207665:-1 gene:Manes.08G121700.v8.1 transcript:Manes.08G121700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKLLMILLFGLLHAIFFLPSPVVSSDKDEDNVLQGINSYRSSLRLPTLSKNENAGCLADKIADKLENQPCNGTGATSVQVNNYSGLLSKCNIKINSTTDGAVLPVCVRKLVPTLVLTNYTRTQYAKYLNDSRFTGVGLGSEDDWMVVVLSTNTSSGSFSGAVSLMSSVAFCHCLVSLLVGMLVYVLVY >Manes.15G050900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3904829:3910547:1 gene:Manes.15G050900.v8.1 transcript:Manes.15G050900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDILKYVHSPVHKAIATRDYASLKKILAGLPRLCDPAEIRTEAVSLVEEEKVDAISAVIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSPLQEAICNREEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKEKEVMNALDGAGSPATEEEVRQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEIVGLWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFSSCNENETESEELNDILTEEERRQLEVALKLESSELINENGDGIIAHRHSCYEHREVPIEDGNSCRNGDIKQEKKGWFGGWRKRDSKVEGQRKSVPPRSSLCVDEKVSDLLGDSPSGIQTKPGRHSVEIVVRDEHRKGRETKTSTSLSTESGNRRKEGSRENEYKKGLRPTLWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPSSPTAAGQESPAVTQSSSSSWFQWIKAPYHRHSPSAGGCSSRIENIQDPFVIPPDYTWISAEAKKKKMQEKNKSKKAKSQNR >Manes.15G050900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3904840:3910547:1 gene:Manes.15G050900.v8.1 transcript:Manes.15G050900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDILKYVHSPVHKAIATRDYASLKKILAGLPRLCDPAEIRTEAVSLVEEEKVDAISAVIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSPLQEAICNREEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKEKEVMNALDGAGSPATEEEVRQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEIVGLWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFSSCNENETESEELNDILTEEERRQLEVALKLESSELINENGDGIIAHRHSCYEHREVPIEDGNSCRNGDIKQEKKGWFGGWRKRDSKVEGQRKSVPPRSSLCVDEKVSDLLGDSPSGIQTKPGRHSVEIVVRDEHRKGRETKTSTSLSTESGNRRKEGSRENEYKKGLRPTLWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPSSPTAAGQESPAVTQSSSSSWFQWIKAPYHRHSPSAGGCSSRIENIQDPFVIPPDYTWISAEAKKKKMQEKNKSKKAKSQNR >Manes.15G050900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3904827:3910592:1 gene:Manes.15G050900.v8.1 transcript:Manes.15G050900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDILKYVHSPVHKAIATRDYASLKKILAGLPRLCDPAEIRTEAVSLVEEEKVDAISAVIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSPLQEAICNREEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKEKEVMNALDGAGSPATEEEVRQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEIVGLWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFSSCNENETESEELNDILTEEERRQLEVALKLESSELINENGDGIIAHRHSCYEHREVPIEDGNSCRNGDIKQEKKGWFGGWRKRDSKVEGQRKSVPPRSSLCVDEKVSDLLGDSPSGIQTKPGRHSVEIVVRDEHRKGRETKTSTSLSTESGNRRKEGSRENEYKKGLRPTLWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPSSPTAAGQESPAVTQSSSSSWFQWIKAPYHRHSPSAGGCSSRIENIQDPFVIPPDYTWISAEAKKKKMQEKNKSKKAKSQNR >Manes.01G011400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3638096:3639313:-1 gene:Manes.01G011400.v8.1 transcript:Manes.01G011400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCGYLRKSAMGGCEERRMMVGDSMVCPKPRRLGLSNPSFHDQFRPLRLPINHPTDVGDSLAGAELLDIILSKGGCGGERSAYQVASSPPFYCGSPPSRASNPVVQDAQFGSEMITPLPPSPLSPSSSSARKGGGFVRMKFGHKPATVRIEGFDCLSRDRRNCSISAVA >Manes.01G011400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3638096:3639313:-1 gene:Manes.01G011400.v8.1 transcript:Manes.01G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCGYLRKSAMGGCEERRMMVGDSMVCPKPRRLGLSNPSFHDQFRPLRLPINSHPTDVGDSLAGAELLDIILSKGGCGGERSAYQVASSPPFYCGSPPSRASNPVVQDAQFGSEMITPLPPSPLSPSSSSARKGGGFVRMKFGHKPATVRIEGFDCLSRDRRNCSISAVA >Manes.05G182300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30044641:30047267:-1 gene:Manes.05G182300.v8.1 transcript:Manes.05G182300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEDLTLKLDLACSDLKALLQASTKMEDSLEKMDKRFALIDESLSTASKRVAPLHSLSMASKALETRINRAVSPALALLDCFKISESLQGKILEISSKLSAEEKPKKRLKLLLRYVDCVDKLNAAINSISQDGEPVIQKLQEVVEFLSRTKATDQFRTHRLREALVTLKALFEAEVDAMKFDGLLDEALLNLQDQYENILQQLKHQNLGESQEEDGDEIAVTSDLGTELEIEILRRISETLAANDCLDICIDIFVKARYRRAAKALMLLNPDYLKTYTPEKIDEMEWENLETAISLWIQHFELAVKTVFLSEKNLSNQILGGIMDGVVWLECFVKIADKIMAVFFRFGEGVARSSKEPQKLFKLLDMFDSLEKLKTDFSGIFEGEAGADICTRFRELEKLLIHASSKVFWEFGLQIEGNSDGFPPPQDGSVPKLVRYAINYLKYLATENYCAPMAKVLRTEYIWKAGIFSKPESDENLLIDSISNIMEALKRNVEAKRSRYRDKVLLHVFSMNTYWYIYMRTRNTELGKLLGEQYMKLNYKVVAEESAYQYQRQAWGPLVRLLEIKEDIKRQKSINEAIVASARGKMESFLKGFDEIVQRHRESYYAIPDADLKGQIGEATVKLLVPAYAKFLETHGPVLELGGESCVSPESIREVLGQIFNGGDRVDDGKFKRRDTKDRIRGTTLMSVDGEINDFRRSRSNSDM >Manes.10G036200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3481369:3482358:-1 gene:Manes.10G036200.v8.1 transcript:Manes.10G036200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFYEAELENSITMANCLMLLSHGRETQFPSFEALKNSGVINSPSRVFECKTCNRQFPSFQALGGHRASHKKPRLTGGGGDGSSETQSSSSSSSSAVAKPKTHECSVCGLEFAIGQALGGHMRRHRGALNDQSMQLQQGDGNTTSRSAQVVMPPIVKKSNSRRVLCLDLNLTPCENDLELFSHGKAPPNPMVIDCFF >Manes.04G056600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11907259:11920349:1 gene:Manes.04G056600.v8.1 transcript:Manes.04G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTIIPKSETSPLVSPSSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASDNFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRALLETSDFLKDDCLKINCTVGVVVSAIDCPRLHSIQVPESDIGAHFGMLLEDMEGSDITFNVAGEMIRAHKLVLAARSPFFRSKFFDAEEEDNQVVPINDLEPKVFKAMLHFIYRDTLTEDADMETSSSSCISSISETLTAKLLAAADRYGLDRLRLMCESHLCKDISVNSVASLLALADSHHATELKAVCLNFAAENLAAVMRSDGFEYLKENCPLLQSELLKTVAGCEEDCSSGGKSRSVWAQLSDGGDTNGRRVRQRT >Manes.09G026000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5267095:5271436:1 gene:Manes.09G026000.v8.1 transcript:Manes.09G026000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFLLSIAESVLGKLGSLALEEFFLAWGLESDLEKIKENLKVIKAVLLDAEQQLSLNPRIEIWLEKLKQVLYDAEDVVDEFECEALRRKVVKAGNTTRKVRRFFSSSNPLAFRFRMGHKLKQIRERVDEIAALKSKFGLTERIFDKPVIHREREMTHSFVDASDVIGRDQARDNIIEMLQHVDGENVSIIPIVGIGGLGKTTLAKLVYNDQRVATLFELKLWVCVSDVFELDKVIIKILNSASPDKKYLDMGIDQLQRSLREALNERKYLLILDDVWSEDPRKWLELKTLLMGGANGSKIVVTTRSNRVAEIMGTVSPQNLSLLPHQDCLSLFFKCAFKEYEVKQNPNLTTIGEEIVRKCKGVPLAVITLGSLLYSVTDEHEWEFIRDSEIWELKQKENDILPALRLSYENLPSYLKRCFAYCSIFPKDYKLNEIELVYLWMANGLVQSSNENQELEDVGFRYFKELCSRCFFQDFSEYYGIVRCKMHDLIHDLALSITQNECSMFLDSTQQIAKSVRHVSFPHPESLPKDVPKSLQNLECMRTICFINERGEGISIEMFIKTCCSRFQYLRVLDLSDSSFEELPASIGNLKHLKYLNLWMNSNIKRLPNSICKLQSLQTLLLCGCWNLQELPKDIRCMINLRFLCITTQQMYFPTGGIGCLKSLRFLSVTGCSNLENLFEDMQGLKKLRRLVISFCESLISLPQSIKCLTTLDTLCIAGCDNLELRMEEGEETQFSLQRLELGRLPKIVDFPEWLIRGSTNSLKVLKVEGCNNLRELPNCLQNMASHPEVQIIRCPKLNNNPLQKAEEAGPSASLS >Manes.05G086462.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7764815:7765660:1 gene:Manes.05G086462.v8.1 transcript:Manes.05G086462.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPPGKWAWPELVGKDGNVAAAIIEKENKNVYAIVTKGPIIDASFSCYRVRVIVDENGKVIVIPNIG >Manes.09G039800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7305581:7312220:-1 gene:Manes.09G039800.v8.1 transcript:Manes.09G039800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADSGSNSASESAVPAYSGNSSGSRPTRATYVPPHLRNRTPSADLPAASPAAQAQPQANDRVGYGGPPGGSRWAGGSRPDYGRQAYGSGGRTGGGSSGSSSGGGSSGWNNRSGGWDRGREREVNPFGNDDEGEGTLNEQENTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRNAIPIILAGRDLMACAQTGSGKTAAFCFPIITGIMREQYAQRSRGPRTVYPLALILSPTRELSCQIHDEARKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGRRQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIIQRVEYVHETDKRSHLMDLLHAQRETETNGKQSLTLVFVETKKGADSLEHWLCMNGFPATTIHGDRTQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNMSLARALSDLMQEANQEVPAWLTRFASRAPYNGGKNRRSGGGRFGGRDFRREGSFTRSTDYYGGGNSGSGGGSSSVGYGVPSSYGGGYGPGVASAWD >Manes.09G039800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7305581:7312220:-1 gene:Manes.09G039800.v8.1 transcript:Manes.09G039800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSWADSGSNSASESAVPAYSGNSSGSRPTRATYVPPHLRNRTPSADLPAASPAAQAQPQANDRVGYGGPPGGSRWAGGSRPDYGRQAYGSGGRTGGGSSGSSSGGGSSGWNNRSGGWDRGREREVNPFGNDDEGEGTLNEQENTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEALNQNIRRCKYVKPTPVQRNAIPIILAGRDLMACAQTGSGKTAAFCFPIITGIMREQYAQRSRGPRTVYPLALILSPTRELSCQIHDEARKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGRRQTMLFSATFPKEIQQSLTLVFVETKKGADSLEHWLCMNGFPATTIHGDRTQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNMSLARALSDLMQEANQEVPAWLTRFASRAPYNGGKNRRSGGGRFGGRDFRREGSFTRSTDYYGGGNSGSGGGSSSVGYGVPSSYGGGYGPGVASAWD >Manes.13G154600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36823743:36828439:-1 gene:Manes.13G154600.v8.1 transcript:Manes.13G154600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIAVLLCNVQAACDDEAEKCERAFILQLLGSGGSNGGKEFLEQSAANYIKVFDSSAHAFPQYEQLQQQYCDKVHSEQYNSFFKNAAVKNVVPDPDLPQSCDANSQEFDLQPGAKPKIGSGDRDETLTELLQHLSLEGLGPQWIRPRPPMLPIQDGELVWINPDNNHELLWDHGMCADTSRGAAVRDLITKALKGPLAPAQQEQVVVELSKDPKLVYHCGLTPRKLPELVENNPLIAVEVLTKLINSPEIADYFTVLVNMEMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >Manes.13G154600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36823004:36832962:-1 gene:Manes.13G154600.v8.1 transcript:Manes.13G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFEESNVMHALLTSEQRPMNELVGEFSSKFGRPRFFAVCNSLVLLLEDRRMLKSTERLIAFAILHQTYSSQPTSVNPFISYIVNAACDDEAEKCERAFILQLLGSGGSNGGKEFLEQSAANYIKVFDSSAHAFPQYEQLQQQYCDKVHSEQYNSFFKNAAVKNVVPDPDLPQSCDANSQEFDLQPGAKPKIGSGDRDETLTELLQHLSLEGLGPQWIRPRPPMLPIQDGELVWINPDNNHELLWDHGMCADTSRGAAVRDLITKALKGPLAPAQQEQVVVELSKDPKLVYHCGLTPRKLPELVENNPLIAVEVLTKLINSPEIADYFTVLVNMEMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >Manes.05G007304.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1146623:1149666:-1 gene:Manes.05G007304.v8.1 transcript:Manes.05G007304.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIRRLLMSLYACTVICMVLGGSLDDFQDFKTLSFLENDAVSPSTPAAPKPLMIDLTLIQSADSKGAVCLDGTLPGYHLHPGSGSGQNSWLIQLELCGWCDTIRNWVYRKTTRRGSSKFMENQIRFSGILSNKAEENPDFFNWNRVKLRYCDGSSFSGDSYNEAAQLYFRGQRIWSAAMEKLMAEGMQYATQALLSGCSAGGLASILHCDEFRDLFPQSTKIASIQFYQLQASIAPKSADPQGSWNECKQNHAECSSSQIQIMQDLRNQMLEALNVYSICSFLYNCNNIMCTELLTLNYIRTIAQSVGDWYFDRTDVKAVDCPYPCDNTCHNLVLK >Manes.02G081400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6372635:6377436:-1 gene:Manes.02G081400.v8.1 transcript:Manes.02G081400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATSSGGADDDGMADPTRARRNSRKPKYSRFSQQELPACKPILTPGWVIATFVGVGIIFIPIGLVSLFASEHVVEIVDRYDTVCIPTNYENDTLQYIQSSKTNKTCTRTITVPKQMKSPVFIYYELDNFYQNHRRYVKSRSDKQLRSKAGERDTDNCKPEALTPTNAPIVPCGLVAWSLFNDTYGFSLKNKALDVSKKNIAWKSDQDYKFGSDVYPKNFQSGGLIGGAKLNSSIPLNEQEDLIVWMRTAALPNFRKLYGRIEADLEANDIITVTIQNNYNTYSFGGKKKLVLSTTSWIGGKNDFLGRAYLTVGGFCLFMAISFILVYVIKPRPLGDPSYLSWNKNPIGQLN >Manes.15G045300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3457337:3459031:-1 gene:Manes.15G045300.v8.1 transcript:Manes.15G045300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHAWRDEVSSPFSAQILEFCNPEFFLQDSEVTSTINFCYEENSSYTNNLAMPLDKEKFNSCPDNNGNNSNTTTSTTLANVNNMNNNSFPIIFYSQDELDNEISASIDFSSSPTFSVPQLTTTQRDQFDFSSVQPQLTLLDMMSADGLTRYPAADSVAPLMRNSLRSVLEEDCLSSFPSYVPLNPTSPCSFLGPDMSTCMSAGTLTAALSVDSSKVFTGDVLLGSELQLQELNYQGDNSGIYYPDTIPEVFNPGDLQALSNETQQLVGGAGNSTPLASNISKLEDSTFKVGKLSAEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDSSEANRTAYINHEEDDEDEIVVKEEEDMIDSSDILAHISGVNSFKCNYSIQSWI >Manes.01G088800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29000482:29048698:-1 gene:Manes.01G088800.v8.1 transcript:Manes.01G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIESSSMDSLISSLSNSFKQVPLAAIPAMLDCILTSTGISPSSLYASLLDSFPNLSKDLIKEDSGQYDYLLSMLGALCHLLKKLRINPNIVHSFIWKCFIPLMKMVHAFEREMLNQIADSFFDVVNSTNCWGVLEATLVPFFLRSAGISMGMVQNEESDIFEWDQDSVLQGLNGMTDDLHLDKESLLSLSEPFPLSTSCHVLTLILDSALRSFQAATSAELVLENGSGNAEKLFIKLLWDLSYMTGRMFSQSLEHRSCAISFLLPILFKVFASHPFLEISVHGRACVLSRNLFSRNIWKCCRSLFSLGPLERRDAYRALSLCLSFSSCMEGFEKSDASVQAEEFDVKAETEFWDEIKRGLVDEESLVRKQSLHILKSIMQTSGESQSDSSVSDKKSGKKHSVPPGMTKRAMWADKEAKSLGVGQLYNLVDSPLDSQQQWEAFILLYEMLGEYGTHLVEAAWDHQINLLLQFSVSYDNFASSICRVVCQNQIETSSELFSWLTILWRLGFGHDNPQVRCLIMQSFLGIEWMKYGNIAKSVPKSFILGPLLEGLNDPVHHKDFGVKGVYTSRTIGGAATFLFQYTRHLNLRKGIIFLHSLASIAKHKSFGRAGLMALAECIASAACGVGIQDDKKAEWSEDAFPHEAQLESSSKIFNDIDEADLLDVLRFVIESSKQHFNPNYRLRVCEKVLEAAASVVNTFDMPLEILLHFVSTVPREFTDYGGSLRVKMQEWLLGADKEHHSSHNCSAKLQLLKSLQEFPEKFSSSQFSDDAFFSFDDEDLDVWESEVKRWARVLFLVMLGEDHLVPIFTFVHSCGISICKHYNRVGWTPVKFLVLTINLVVEIQTMQEIALERRSRIRSQSEISSVETVDQLEYAEASVVCRKFSDLFLSILEELISFASMSCSIFWTSVVKDTTLPSSLRGKLGGPSQRRLSSSTTTAVLEAITSTRAVASITSWCAQFKSDVQLKFARTFMWKFFWKTVSSSFSDSESGAEVFVAAYEALAPILRALVSTFSPLDLDPIRENDKSSSSAEGKAWLDPLLLSFLQNINNLLAVGVLVRTRRAVLLNWKWRCLESLLSIPCYALENGILLENNRSLFSDAAVRCVFSDLVESLENAGEGSVLPMLRSIRLTLSLLAAGKSGSLVSSCNGVDAQMMWRLVRSSWILHVNNSKRRVVSIAALLSSVLHTCVFADEGMHLIDDEPGPLKWFVENILEEGTKSPRTIRLTALHLTGLCLSHPRIIKYYIKGLKLLTLYGSVAFDEDFEAELAENRDARTEVSLLAKSPDPELTEAFINTELYARVSVAVLFYKLSDLVGSRNENGDCCAALESGKLFLLELLESVVNDKDLAKELYKKYSGIHRRKVRAWQMICVLSRFVDDDIVGRVTSSLHIALYRNNLPAVRQYLETFAINIYLKFPSLVGVQLVPILRDYDMRPQALSSYVFIAANIILHASEEFQARHLDELLPPVVPLLTSHHHSLRGFTQLLVYQVFLRYFSQLNFQASEAMSLEKRCFEDLKSYLEKNPDCSRLRASMKGYLDAYNPAISSTPAGIFVNRVEEIEFECVPTSLLEEVLNFLNDVREDLRCSMAKDVVTIKNESLNISKDPDCRSILPSAAPSKETSLDFQKKIVPAKHEKEQADSSSILAHTEEHKLFIEMEKEDELLDQSFQYRILTMKRIRESRQHLILVASFLDRIPNLAGLARTCEVFRASGLAIADASILQDKQFQLISVTAEKWVPIIEVPVNSVKHFLEKKKQEGFSILGLEQTANSVPLDQYVFPRKTVLVLGREKEGIPVDVIHMLDACVEIPQLGVIRSLNVHVSGAIGLWEYTRQQRFQ >Manes.08G053900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5793757:5798780:1 gene:Manes.08G053900.v8.1 transcript:Manes.08G053900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSIEFVPHSIPFTTLQLKPTSNSLHYTAVSFINPKRNDAKSLRNPQKVRVSAETRPTHGLSFDFKEIHLMKLLNRSFRAGKYNESLYFLECMIDKGYKPDLIMCTKLIKGFFSSRKIEKATRVMEIIERYGKPDVFAYNALISGFCKANQIENAKKILDRMKSKGFSPDVVTYNIMIGTFCSRGKLDLALKVFEELLKDNCKPTVITYTILIEATIVQGGIDDAMKLLDEMLSRGLEPDTFTYNAIVRGMCKERVVDRAFDLVKSLNSRGCKPDVITYNILLRALLNQGKWNEGEKLMSEMISRGCTPNVVTHSILIGTLCRDGKSEEAVNLLKSMKEKGLRPDAYCYDPLIAAFCREGKLDLAIEFLDYMISDGCLPDIVNYNTIMAGLCRTGNADHALEVFKKLDEVGCPPNVSSYNTMFSALWSSGDRYRALGMILEMLNQGIDPDEITYNSLISCLCRDGMVDEAIGLLIDMEKGRFRPNVVSYNIILLGLCKLLRVSDAIELLAVMIEKGCRPNETTYILLIEGIGFSGLRSEAMELANSIHTMNAISEDSFKRLNKTFPLLDVYKDLNYSNAKE >Manes.08G053900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5793757:5798780:1 gene:Manes.08G053900.v8.1 transcript:Manes.08G053900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSIEFVPHSIPFTTLQLKPTSNSLHYTAVSFINPKRNDAKSLRNPQKVRVSAETRPTHGLSFDFKEIHLMKLLNRSFRAGKYNESLYFLECMIDKGYKPDLIMCTKLIKGFFSSRKIEKATRVMEIIERYGKPDVFAYNALISGFCKANQIENAKKILDRMKSKGFSPDVVTYNIMIGTFCSRGKLDLALKVFEELLKDNCKPTVITYTILIEATIVQGGIDDAMKLLDEMLSRGLEPDTFTYNAIVRGMCKERVVDRAFDLVKSLNSRGCKPDVITYNILLRALLNQGKWNEGEKLMSEMISRGCTPNVVTHSILIGTLCRDGKSEEAVNLLKSMKEKGLRPDAYCYDPLIAAFCREGKLDLAIEFLDYMISDGCLPDIVNYNTIMAGLCRTGNADHALEVFKKLDEVGCPPNVSSYNTMFSALWSSGDRYRALGMILEMLNQGIDPDEITYNSLISCLCRDGMVDEAIGLLIDMEKGRFRPNVVSYNIILLGLCKLLRVSDAIELLAVMIEKGCRPNETTYILLIEGIGFSGLRSEAMELANSIHTMNAISEDSFKRLNKTFPLLDVYKDLNYSNAKE >Manes.08G053900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5793757:5798780:1 gene:Manes.08G053900.v8.1 transcript:Manes.08G053900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSIEFVPHSIPFTTLQLKPTSNSLHYTAVSFINPKRNDAKSLRNPQKVRVSAETRPTHGLSFDFKEIHLMKLLNRSFRAGKYNESLYFLECMIDKGYKPDLIMCTKLIKGFFSSRKIEKATRVMEIIERYGKPDVFAYNALISGFCKANQIENAKKILDRMKSKGFSPDVVTYNIMIGTFCSRGKLDLALKVFEELLKDNCKPTVITYTILIEATIVQGGIDDAMKLLDEMLSRGLEPDTFTYNAIVRGMCKERVVDRAFDLVKSLNSRGCKPDVITYNILLRALLNQGKWNEGEKLMSEMISRGCTPNVVTHSILIGTLCRDGKSEEAVNLLKSMKEKGLRPDAYCYDPLIAAFCREGKLDLAIEFLDYMISDGCLPDIVNYNTIMAGLCRTGNADHALEVFKKLDEVGCPPNVSSYNTMFSALWSSGDRYRALGMILEMLNQGIDPDEITYNSLISCLCRDGMVDEAIGLLIDMEKGRFRPNVVSYNIILLGLCKLLRVSDAIELLAVMIEKGCRPNETTYILLIEGIGFSGLRSEAMELANSIHTMNAISEDSFKRLNKTFPLLDVYKDLNYSNAKE >Manes.08G053900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5793757:5798780:1 gene:Manes.08G053900.v8.1 transcript:Manes.08G053900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSIEFVPHSIPFTTLQLKPTSNSLHYTAVSFINPKRNDAKSLRNPQKVRVSAETRPTHGLSFDFKEIHLMKLLNRSFRAGKYNESLYFLECMIDKGYKPDLIMCTKLIKGFFSSRKIEKATRVMEIIERYGKPDVFAYNALISGFCKANQIENAKKILDRMKSKGFSPDVVTYNIMIGTFCSRGKLDLALKVFEELLKDNCKPTVITYTILIEATIVQGGIDDAMKLLDEMLSRGLEPDTFTYNAIVRGMCKERVVDRAFDLVKSLNSRGCKPDVITYNILLRALLNQGKWNEGEKLMSEMISRGCTPNVVTHSILIGTLCRDGKSEEAVNLLKSMKEKGLRPDAYCYDPLIAAFCREGKLDLAIEFLDYMISDGCLPDIVNYNTIMAGLCRTGNADHALEVFKKLDEVGCPPNVSSYNTMFSALWSSGDRYRALGMILEMLNQGIDPDEITYNSLISCLCRDGMVDEAIGLLIDMEKGRFRPNVVSYNIILLGLCKLLRVSDAIELLAVMIEKGCRPNETTYILLIEGIGFSGLRSEAMELANSIHTMNAISEDSFKRLNKTFPLLDVYKDLNYSNAKE >Manes.15G158700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13129793:13137864:1 gene:Manes.15G158700.v8.1 transcript:Manes.15G158700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSNWFLILYLSWAFFISNTHELQTYQAHLLLQLRKHLEYPSQLDTWESYNGDLCNLSSTLYMGIVCEDNVITELKIKGDKVVKVSDFKGFAIPNQTLSESFSIDSFVTTLSRLNSLRIISLVSLGIWGPLPDKIHRLYSLEFLDLSSNFIFGSVPPQLSRIVKLNSLTLDGNYFNGSLPDWLDSLSNLTVLSLRNNHFKGSVPPQLSRITTLTVIALCHNQLTGKLPDLSTLTSLHVLDIRENKLDSELPAMPKGLVTILLSNNSFSGKIPVQFGQLSQLQHLDLSLNHLSGTPPSSLFSLPNISYLNLASNMLSGSLPNDLSCGSNLGFVDISTNKLIGGLPSCLGNMSNKRAAKFGGNCLSIGAQHQHQKSYCKVANVESKQSRGRIIGALVAVITGTVLVLMLLALGVLFLCRRYCPRRTLEQNTFSKVVQDHSTTGVSSEVLANARFIAQAAKLGTQGAPVCREFSMEELMEATNNFNSSAFMGEGSNGKIYKGKLVNGTNISVRSLTYLKKHSIQNLKFRLDLLSKLHHPHLVGLLGYCIDNSEQDDMKVFLVYEYVPNGNYRAHLSEARPEKVLKWSDRLVILIDVAKAIHFLHTGVIPGTFNNRLKTNNILLDEHRIAKLSDYGMALITEEIGKNEAKGEAPKSRHRTNLEDDVYNFGFILLESLVGPIVTGKGEAFLLNEMASFGSQDGRRRIVDPIVLSTCSQESLSILVSITSKCISPEPSTRPSFEDVLWNLQYAAQVQAAADSDQKSDSTSVISSHSDYNPQETNPCVRGTIST >Manes.15G158700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13129971:13137766:1 gene:Manes.15G158700.v8.1 transcript:Manes.15G158700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSNWFLILYLSWAFFISNTHELQTYQAHLLLQLRKHLEYPSQLDTWESYNGDLCNLSSTLYMGIVCEDNVITELKIKGDKVVKVSDFKGFAIPNQTLSESFSIDSFVTTLSRLNSLRIISLVSLGIWGPLPDKIHRLYSLEFLDLSSNFIFGSVPPQLSRIVKLNSLTLDGNYFNGSLPDWLDSLSNLTVLSLRNNHFKGSVPPQLSRITTLTVIALCHNQLTGKLPDLSTLTSLHVLDIRENKLDSELPAMPKGLVTILLSNNSFSGKIPVQFGQLSQLQHLDLSLNHLSGTPPSSLFSLPNISYLNLASNMLSGSLPNDLSCGSNLGFVDISTNKLIGGLPSCLGNMSNKRAAKFGGNCLSIGAQHQHQKSYCKVANVESKQSRGRIIGALVAVITGTVLVLMLLALGVLFLCRRYCPRRTLEQNTFSKVVQDHSTTGVSSEVLANARFIAQAAKLGTQGAPVCREFSMEELMEATNNFNSSAFMGEGSNGKIYKGKLVNGTNISVRSLTYLKKHSIQNLKFRLDLLSKLHHPHLVGLLGYCIDNSEQDDMKVFLVYEYVPNGNYRAHLSEARPEKVLKWSDRLVILIDVAKAIHFLHTGVIPGTFNNRLKTNNILLDEHRIAKLSDYGMALITEEIGKNET >Manes.15G158700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13129971:13137766:1 gene:Manes.15G158700.v8.1 transcript:Manes.15G158700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSNWFLILYLSWAFFISNTHELQTYQAHLLLQLRKHLEYPSQLDTWESYNGDLCNLSSTLYMGIVCEDNVITELKIKGDKVVKVSDFKGFAIPNQTLSESFSIDSFVTTLSRLNSLRIISLVSLGIWGPLPDKIHRLYSLEFLDLSSNFIFGSVPPQLSRIVKLNSLTLDGNYFNGSLPDWLDSLSNLTVLSLRNNHFKGSVPPQLSRITTLTVIALCHNQLTGKLPDLSTLTSLHVLDIRENKLDSELPAMPKGLVTILLSNNSFSGKIPVQFGQLSQLQHLDLSLNHLSGTPPSSLFSLPNISYLNLASNMLSGSLPNDLSCGSNLGFVDISTNKLIGGLPSCLGNMSNKRAAKFGGNCLSIGAQHQHQKSYCKVANVESKQSRGRIIGALVAVITGTVLVLMLLALGVLFLCRRYCPRRTLEQNTFSKVVQDHSTTGVSSEVLANARFIAQAAKLGTQGAPVCREFSMEELMEATNNFNSSAFMGEGSNGKIYKGKLVNGTNISVRSLTYLKKHSIQNLKFRLDLLSKLHHPHLVGLLGYCIDNSEQDDMKVFLVYEYVPNGNYRAHLSEARPEKVLKWSDRLVILIDVAKAIHFLHTGVIPGTFNNRLKTNNILLDEHRIAKLSDYGMALITEEIGKNEAKGEAPKSRHRTNLEDDVYNFGFILLESLVGPIVTGKGEAFLLNEMASFGSQDGRRRIVDPIVLSTCSQESLSILVSITSKCISPEPSTRPSFEDVLWNLQYAAQVQAAADSDQKSDSTSVISSHSDYNPQETNPCVRGTIST >Manes.15G158700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13129971:13137865:1 gene:Manes.15G158700.v8.1 transcript:Manes.15G158700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSNWFLILYLSWAFFISNTHELQTYQAHLLLQLRKHLEYPSQLDTWESYNGDLCNLSSTLYMGIVCEDNVITELKIKGDKVVKVSDFKGFAIPNQTLSESFSIDSFVTTLSRLNSLRIISLVSLGIWGPLPDKIHRLYSLEFLDLSSNFIFGSVPPQLSRIVKLNSLTLDGNYFNGSLPDWLDSLSNLTVLSLRNNHFKGSVPPQLSRITTLTVIALCHNQLTGKLPDLSTLTSLHVLDIRENKLDSELPAMPKGLVTILLSNNSFSGKIPVQFGQLSQLQHLDLSLNHLSGTPPSSLFSLPNISYLNLASNMLSGSLPNDLSCGSNLGFVDISTNKLIGGLPSCLGNMSNKRAAKFGGNCLSIGAQHQHQKSYCKVANVESKQSRGRIIGALVAVITGTVLVLMLLALGVLFLCRRYCPRRTLEQNTFSKVVQDHSTTGVSSEVLANARFIAQAAKLGTQGAPVCREFSMEELMEATNNFNSSAFMGEGSNGKIYKGKLVNGTNISVRSLTYLKKHSIQNLKFRLDLLSKLHHPHLVGLLGYCIDNSEQDDMKVFLVYEYVPNGNYRAHLSEARPEKVLKWSDRLVILIDVAKAIHFLHTGVIPGTFNNRLKTNNILLDEHRIAKLSDYGMALITEEIGKNEAKGEAPKSRYGMFLA >Manes.15G158700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13129971:13137766:1 gene:Manes.15G158700.v8.1 transcript:Manes.15G158700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSNWFLILYLSWAFFISNTHELQTYQAHLLLQLRKHLEYPSQLDTWESYNGDLCNLSSTLYMGIVCEDNVITELKIKGDKVVKVSDFKGFAIPNQTLSESFSIDSFVTTLSRLNSLRIISLVSLGIWGPLPDKIHRLYSLEFLDLSSNFIFGSVPPQLSRIVKLNSLTLDGNYFNGSLPDWLDSLSNLTVLSLRNNHFKGSVPPQLSRITTLTVIALCHNQLTGKLPDLSTLTSLHVLDIRENKLDSELPAMPKGLVTILLSNNSFSGKIPVQFGQLSQLQHLDLSLNHLSGTPPSSLFSLPNISYLNLASNMLSGSLPNDLSCGSNLGFVDISTNKLIGGLPSCLGNMSNKRAAKFGGNCLSIGAQHQHQKSYCKVANVESKQSRGRIIGALVAVITGTVLVLMLLALGVLFLCRRYCPRRTLEQNTFSKVVQDHSTTGVSSEVLANARFIAQAAKLGTQGAPVCREFSMEELMEATNNFNSSAFMGEGSNGKIYKGKLVNGTNISVRSLTYLKKHSIQNLKFRLDLLSKLHHPHLVGLLGYCIDNSEQDDMKVFLVYEYVPNGNYRAHLSEARPEKVLKWSDRLVILIDVAKAIHFLHTGVIPGTFNNRLKTNNILLDEHRIAKLSDYGMALITEEIGKNEAKGEAPKSRHRTNLEDDVYNFGFILLESLVGPIVTGKGEAFLLNEMASFGSQDGRRRIVDPIVLSTCSQESLSILVSITSKCISPEPSTRPSFEDVLWNLQYAAQVQAAADSDQKSDSTSVISSHSDYNPQETNPCVRGTIST >Manes.15G158700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13129971:13137766:1 gene:Manes.15G158700.v8.1 transcript:Manes.15G158700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSNWFLILYLSWAFFISNTHELQTYQAHLLLQLRKHLEYPSQLDTWESYNGDLCNLSSTLYMGIVCEDNVITELKIKGDKVVKVSDFKGFAIPNQTLSESFSIDSFVTTLSRLNSLRIISLVSLGIWGPLPDKIHRLYSLEFLDLSSNFIFGSVPPQLSRIVKLNSLTLDGNYFNGSLPDWLDSLSNLTVLSLRNNHFKGSVPPQLSRITTLTVIALCHNQLTGKLPDLSTLTSLHVLDIRENKLDSELPAMPKGLVTILLSNNSFSGKIPVQFGQLSQLQHLDLSLNHLSGTPPSSLFSLPNISYLNLASNMLSGSLPNDLSCGSNLGFVDISTNKLIGGLPSCLGNMSNKRAAKFGGNCLSIGAQHQHQKSYCKVANVESKQSRGRIIGALVAVITGTVLVLMLLALGVLFLCRRYCPRRTLEQNTFSKVVQDHSTTGVSSEVLANARFIAQAAKLGTQGAPVCREFSMEELMEATNNFNSSAFMGEGSNGKIYKGKLVNGTNISVRSLTYLKKHSIQNLKFRLDLLSKLHHPHLVGLLGYCIDNSEQDDMKVFLVYEYVPNGNYRAHLSEARPEKVLKWSDRLVILIDVAKAIHFLHTGVIPGTFNNRLKTNNILLDEHRIAKLSDYGMALITEEIGKNEAKGEAPKSRHRTNLEDDVYNFGFILLESLVGPIVTGKGEAFLLNEMASFGSQDGRRRIVDPIVLSTCSQESLSILVSITSKCISPEPSTRPSFEDVLWNLQYAAQVQAAADSDQKSDSTSVISSHSDYNPQETNPCVRGTIST >Manes.15G158700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13129971:13137832:1 gene:Manes.15G158700.v8.1 transcript:Manes.15G158700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSNWFLILYLSWAFFISNTHELQTYQAHLLLQLRKHLEYPSQLDTWESYNGDLCNLSSTLYMGIVCEDNVITELKIKGDKVVKVSDFKGFAIPNQTLSESFSIDSFVTTLSRLNSLRIISLVSLGIWGPLPDKIHRLYSLEFLDLSSNFIFGSVPPQLSRIVKLNSLTLDGNYFNGSLPDWLDSLSNLTVLSLRNNHFKGSVPPQLSRITTLTVIALCHNQLTGKLPDLSTLTSLHVLDIRENKLDSELPAMPKGLVTILLSNNSFSGKIPVQFGQLSQLQHLDLSLNHLSGTPPSSLFSLPNISYLNLASNMLSGSLPNDLSCGSNLGFVDISTNKLIGGLPSCLGNMSNKRAAKFGGNCLSIGAQHQHQKSYCKVANVESKQSRGRIIGALVAVITGTVLVLMLLALGVLFLCRRYCPRRTLEQNTFSKVVQDHSTTGVSSEVLANARFIAQAAKLGTQGAPVCREFSMEELMEATNNFNSSAFMGEGSNGKIYKGKLVNGTNISVRSLTYLKKHSIQNLKFRLDLLSKLHHPHLVGLLGYCIDNSEQDDMKVFLVYEYVPNGNYRAHLSEARPEKVLKWSDRLVILIDVAKAIHFLHTGVIPGTFNNRLKTNNILLDEHRIAKLSDYGMALITEEIGKNET >Manes.15G158700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13129971:13137766:1 gene:Manes.15G158700.v8.1 transcript:Manes.15G158700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSNWFLILYLSWAFFISNTHELQTYQAHLLLQLRKHLEYPSQLDTWESYNGDLCNLSSTLYMGIVCEDNVITELKIKGDKVVKVSDFKGFAIPNQTLSESFSIDSFVTTLSRLNSLRIISLVSLGIWGPLPDKIHRLYSLEFLDLSSNFIFGSVPPQLSRIVKLNSLTLDGNYFNGSLPDWLDSLSNLTVLSLRNNHFKGSVPPQLSRITTLTVIALCHNQLTGKLPDLSTLTSLHVLDIRENKLDSELPAMPKGLVTILLSNNSFSGKIPVQFGQLSQLQHLDLSLNHLSGTPPSSLFSLPNISYLNLASNMLSGSLPNDLSCGSNLGFVDISTNKLIGGLPSCLGNMSNKRAAKFGGNCLSIGAQHQHQKSYCKVANVESKQSRGRIIGALVAVITGTVLVLMLLALGVLFLCRRYCPRRTLEQNTFSKVVQDHSTTGVSSEVLANARFIAQAAKLGTQGAPVCREFSMEELMEATNNFNSSAFMGEGSNGKIYKGKLVNGTNISVRSLTYLKKHSIQNLKFRLDLLSKLHHPHLVGLLGYCIDNSEQDDMKVFLVYEYVPNGNYRAHLSEARPEKVLKWSDRLVILIDVAKAIHFLHTGVIPGTFNNRLKTNNILLDEHRIAKLSDYGMALITEEIGKNEAKGEAPKSRYGMFLA >Manes.05G061800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5186882:5192358:1 gene:Manes.05G061800.v8.1 transcript:Manes.05G061800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQLASWMVYGILQDQHGEFFIRRQEDREVEHSSSQPDISEKLARLSTDDMSLTDWHLGFHIFLDMLPEYIHMRVAESVLFAGKAIRVLRNPSPAFQFKDPVHNQQIPRVAQKIHGFSGRFPFQKEPIVDANLIGEELLPQSEADKIETMLQGLKETSEFHKRSFECAVDSIRAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAAIKTIGEEDKYFSRVSLRMPSFGITVKSSQVDLPKSNVYADANTGAVLPNASSEMSLDGWDGIALEYAVDWPLQLFFTQEVLSKYLRVFQYLLRLKRTQMELEKSWASVMHQDHTDFSRRRKDRNCSISQQRRQRFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNVMQAHIQDSHDFTELVGFHQEYLAALISQSFLDIGSVSRILDSIMKLCLQFCWSIENQENNPNTSELDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNFNSFFEATARGVLNVVRPSPTIPVLNQH >Manes.05G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5185869:5192358:1 gene:Manes.05G061800.v8.1 transcript:Manes.05G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHELLLALLGFTGDLIVDVREHQNSIGVRLSPDASISDERSFKLAPDINFIDPSDRDLIERIIALGFYYRELDRFATKSRNLSWIRSANVSPLARATELSSTTTGKPSVYRRAVANGIVEILSVYRSAVLHIEQKLLSETVPLLATITQGLNKFFVLLPPLYELVLEIERDDIRGGQLLNLLHKRCHCGVPELQTCIQRLLWHGHQVMYNQLASWMVYGILQDQHGEFFIRRQEDREVEHSSSQPDISEKLARLSTDDMSLTDWHLGFHIFLDMLPEYIHMRVAESVLFAGKAIRVLRNPSPAFQFKDPVHNQQIPRVAQKIHGFSGRFPFQKEPIVDANLIGEELLPQSEADKIETMLQGLKETSEFHKRSFECAVDSIRAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAAIKTIGEEDKYFSRVSLRMPSFGITVKSSQVDLPKSNVYADANTGAVLPNASSEMSLDGWDGIALEYAVDWPLQLFFTQEVLSKYLRVFQYLLRLKRTQMELEKSWASVMHQDHTDFSRRRKDRNCSISQQRRQRFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNVMQAHIQDSHDFTELVGFHQEYLAALISQSFLDIGSVSRILDSIMKLCLQFCWSIENQENNPNTSELDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNFNSFFEATARGVLNVVRPSPTIPVLNQH >Manes.07G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3772945:3777237:-1 gene:Manes.07G034500.v8.1 transcript:Manes.07G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHSDQEIMTALQVETMNPLPPIRKGRGGGGGGGGGCGVADGVSLTWEDLWVTVSNGRKGNKSILQGVTGYAQPGELLAIMGPSGCGKSTLLDALAGRLSSKAKQTGDILINGHKQRLAYGTSAYVTQDDTLITTLTVREAVYYSAQLQLPDSMSKSEKKERAEMTIREMGLQGAMNTRIGGWGVKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMSRIATLEQKDGIRRTIIASIHQPSSEVFHLFNSLCLLSSGKVIYFGPASAANEFFALNGFPCPILQNPSDHFLKTINKDFEKDLEQGNGEAMSTEEAIATLIKSYKSSTNYQQVRRQVAEIYKQESGEVEKERSRATFLTQSLVLTRRSFVNMYRDLGYYWLRLAVYVALAISLATVFSDIGFSYKSIQDRGSLLMFVATFLTFMTIGGFPSFVEDMKVFERERLNGHYGATAFVLGNTFSSMPYLLLVSLIPGAITYYLPGLHKGSEHFLYFIMTLFACMTLVESMMMTVASIVPNFLMGIITGSGIQAIMCLVGGFFRLPNDLPRPFWKYPVFYVSFHRYAYQGLFKNEFEGLKFPSNDQAGRLQEDSRTIGGEEIVRKIWQMDLSYSKWVDLSVLLGMVVIYRLVFLGIIKIKEKVKPVIAAFVSVPPKQTTQIMENPITTPLHGENYN >Manes.07G034500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3772945:3777237:-1 gene:Manes.07G034500.v8.1 transcript:Manes.07G034500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHSDQEIMTALQVETMNPLPPIRKGRGGGGGGGGGCGVADGVSLTWEDLWVTVSNGRKGNKSILQGVTGYAQPGELLAIMGPSGCGKSTLLDALAGRLSSKAKQTGDILINGHKQRLAYGTSAYVTQDDTLITTLTVREAVYYSAQLQLPDSMSKSEKKERAEMTIREMGLQGAMNTRIGGWGVKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMSRIATLEQKDGIRRTIIASIHQPSSEVFHLFNSLCLLSSGKVIYFGPASAANEVSFFALNGFPCPILQNPSDHFLKTINKDFEKDLEQGNGEAMSTEEAIATLIKSYKSSTNYQQVRRQVAEIYKQESGEVEKERSRATFLTQSLVLTRRSFVNMYRDLGYYWLRLAVYVALAISLATVFSDIGFSYKSIQDRGSLLMFVATFLTFMTIGGFPSFVEDMKVFERERLNGHYGATAFVLGNTFSSMPYLLLVSLIPGAITYYLPGLHKGSEHFLYFIMTLFACMTLVESMMMTVASIVPNFLMGIITGSGIQAIMCLVGGFFRLPNDLPRPFWKYPVFYVSFHRYAYQGLFKNEFEGLKFPSNDQAGRLQEDSRTIGGEEIVRKIWQMDLSYSKWVDLSVLLGMVVIYRLVFLGIIKIKEKVKPVIAAFVSVPPKQTTQIMENPITTPLHGENYN >Manes.03G109700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23229849:23232912:1 gene:Manes.03G109700.v8.1 transcript:Manes.03G109700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLISSLHTINQIFKIFLSRHLPMEGYAEMNISRTPPSQTPEIPRFAYLISGSKGDLEKLWRTLYALYHPRNQYIVHLDLESASEERLELSSRVEKHPLFAKVGNVYMSTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFIEHTSQLGWKKEKRGMPVIVDPGLYSTTKQDLLWSTQLRGLPTAFELFTGSAWMVLSRPFADYLIGGWDNLPRLLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLRYISWDIPPKQHPQTLSLNDTKKMIDSGAAFARKFKQDDPVLDKIDEELLGRKNGSFTPGGWCSGSPKCSKVGDLNNIKPGPGADRFKHLVARVAFLSRLEQNHCK >Manes.03G109700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23229663:23233475:1 gene:Manes.03G109700.v8.1 transcript:Manes.03G109700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLISSLHTINQIFKIFLSRHLPMEGYAEMNISRTPPSQTPEIPRFAYLISGSKGDLEKLWRTLYALYHPRNQYIVHLDLESASEERLELSSRVEKHPLFAKVGNVYMSTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFIEHTSQLGWKKEKRGMPVIVDPGLYSTTKQDLLWSTQLRGLPTAFELFTGSAWMVLSRPFADYLIGGWDNLPRLLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLRYISWDIPPKQHPQTLSLNDTKKMIDSGAAFARKFKQDDPVLDKIDEELLGRKNGSFTPGGWCSGSPKCSKVGDLNNIKPGPGADRFKHLVARVAFLSRLEQNHCK >Manes.03G109700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23229541:23233475:1 gene:Manes.03G109700.v8.1 transcript:Manes.03G109700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLISSLHTINQIFKIFLSRHLPMEGYAEMNISRTPPSQTPEIPRFAYLISGSKGDLEKLWRTLYALYHPRNQYIVHLDLESASEERLELSSRVEKHPLFAKVGNVYMSTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFIEHTSQLGWKKEKRGMPVIVDPGLYSTTKQDLLWSTQLRGLPTAFELFTGSAWMVLSRPFADYLIGGWDNLPRLLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLRYISWDIPPKQHPQTLSLNDTKKMIDSGAAFARKFKQDDPVLDKIDEELLGRKNGSFTPGGWCSGSPKCSKVGDLNNIKPGPGADRFKHLVARVAFLSRLEQNHCK >Manes.03G109700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23229671:23233475:1 gene:Manes.03G109700.v8.1 transcript:Manes.03G109700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLISSLHTINQIFKIFLSRHLPMEGYAEMNISRTPPSQTPEIPRFAYLISGSKGDLEKLWRTLYALYHPRNQYIVHLDLESASEERLELSSRVEKHPLFAKVGNVYMSTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFIEHTSQLGWKKEKRGMPVIVDPGLYSTTKQDLLWSTQLRGLPTAFELFTGSAWMVLSRPFADYLIGGWDNLPRLLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLRYISWDIPPKQHPQTLSLNDTKKMIDSGAAFARKFKQDDPVLDKIDEELLGRKNGSFTPGGWCSGSPKCSKVGDLNNIKPGPGADRFKHLVARVAFLSRLEQNHCK >Manes.03G109700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23229850:23233475:1 gene:Manes.03G109700.v8.1 transcript:Manes.03G109700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLISSLHTINQIFKIFLSRHLPMEGYAEMNISRTPPSQTPEIPRFAYLISGSKGDLEKLWRTLYALYHPRNQYIVHLDLESASEERLELSSRVEKHPLFAKVGNVYMSTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFIEHTSQLGWKKEKRGMPVIVDPGLYSTTKQDLLWSTQLRGLPTAFELFTGSAWMVLSRPFADYLIGGWDNLPRLLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLRYISWDIPPKQHPQTLSLNDTKKMIDSGAAFARKFKQDDPVLDKIDEELLGRKNGSFTPGGWCSGSPKCSKVGDLNNIKPGPGADRFKHLVARVAFLSRLEQNHCK >Manes.03G109700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23229670:23232842:1 gene:Manes.03G109700.v8.1 transcript:Manes.03G109700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLISSLHTINQIFKIFLSRHLPMEGYAEMNISRTPPSQTPEIPRFAYLISGSKGDLEKLWRTLYALYHPRNQYIVHLDLESASEERLELSSRVEKHPLFAKVGNVYMSTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFIEHTSQLGWKKEKRGMPVIVDPGLYSTTKQDLLWSTQLRGLPTAFELFTGSAWMVLSRPFADYLIGGWDNLPRLLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLRYISWDIPPKQHPQTLSLNDTKKMIDSGAAFARKFKQDDPVLDKIDEELLGRKNGSFTPGGWCSGSPKCSKVGDLNNIKPGPGADRFKHLVARVAFLSRLEQNHCK >Manes.03G109700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23229478:23233475:1 gene:Manes.03G109700.v8.1 transcript:Manes.03G109700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLISSLHTINQIFKIFLSRHLPMEGYAEMNISRTPPSQTPEIPRFAYLISGSKGDLEKLWRTLYALYHPRNQYIVHLDLESASEERLELSSRVEKHPLFAKVGNVYMSTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFIEHTSQLGWKKEKRGMPVIVDPGLYSTTKQDLLWSTQLRGLPTAFELFTGSAWMVLSRPFADYLIGGWDNLPRLLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLRYISWDIPPKQHPQTLSLNDTKKMIDSGAAFARKFKQDDPVLDKIDEELLGRKNGSFTPGGWCSGSPKCSKVGDLNNIKPGPGADRFKHLVARVAFLSRLEQNHCK >Manes.03G109700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23229467:23233475:1 gene:Manes.03G109700.v8.1 transcript:Manes.03G109700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLISSLHTINQIFKIFLSRHLPMEGYAEMNISRTPPSQTPEIPRFAYLISGSKGDLEKLWRTLYALYHPRNQYIVHLDLESASEERLELSSRVEKHPLFAKVGNVYMSTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFIEHTSQLGWKKEKRGMPVIVDPGLYSTTKQDLLWSTQLRGLPTAFELFTGSAWMVLSRPFADYLIGGWDNLPRLLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLRYISWDIPPKQHPQTLSLNDTKKMIDSGAAFARKFKQDDPVLDKIDEELLGRKNGSFTPGGWCSGSPKCSKVGDLNNIKPGPGADRFKHLVARVAFLSRLEQNHCK >Manes.03G145000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27288929:27293740:1 gene:Manes.03G145000.v8.1 transcript:Manes.03G145000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKDNFHGLVLAISSSIFIGSSFIVKKQGLKKAGATGTRAGMGGHSYLFEPWWWAGMISMVLGEAANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKEKLHIFGMLGCVLCVVGSTSIVLHAPQERAIESVKEVWHLATEPGFLVYTGLVLIAVALLIFRYVPRYGQTHMIVYVGICSLMGSLTVMGVKAVGIALKLTFSGLNQFIYFQTWLFTVIVVVCCLLQVNYLNKALDTFNTAVISPVYYVMFTTFTILASMIMFKDWDSQDASQIVTELCGFVTILSGTFLLHRTKDMGDNPSPSGESPAFANPDSSPGESPVLTNTNSHGSMNCGPQQQQPQPQPQPQQQHTS >Manes.03G145000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27289222:27293708:1 gene:Manes.03G145000.v8.1 transcript:Manes.03G145000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKDNFHGLVLAISSSIFIGSSFIVKKQGLKKAGATGTRAGMGGHSYLFEPWWWAGMISMVLGEAANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKEKLHIFGMLGCVLCVVGSTSIVLHAPQERAIESVKEVWHLATEPGFLVYTGLVLIAVALLIFRYVPRYGQTHMIVYVGICSLMGSLTVNYLNKALDTFNTAVISPVYYVMFTTFTILASMIMFKDWDSQDASQIVTELCGFVTILSGTFLLHRTKDMGDNPSPSGESPAFANPDSSPGESPVLTNTNSHGSMNCGPQQQQPQPQPQPQQQHTS >Manes.03G145000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27289223:27293707:1 gene:Manes.03G145000.v8.1 transcript:Manes.03G145000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKDNFHGLVLAISSSIFIGSSFIVKKQGLKKAGATGTRAGMGGHSYLFEPWWWAGMISMVLGEAANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKEKLHIFGMLGCVLCVVGSTSIVLHAPQERAIESVKEVWHLATEPGFLVYTGLVLIAVALLIFRYVPRYGQTHMIVYVGICSLMGSLTVMGVKAVGIALKLTFSGLNQFIYFQTWLFTVIVVVCCLLQVNYLNKALDTFNTAVISPVYYVMFTTFTILASMIMFKDWDSQDASQIVTELCGFVTILSGTFLLHRTKDMGDNPSPSGESPAFANPDSSPGESPVLTNTNSHGSMNCGPQQQQPQPQPQPQQQHTS >Manes.03G145000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27289222:27293708:1 gene:Manes.03G145000.v8.1 transcript:Manes.03G145000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKDNFHGLVLAISSSIFIGSSFIVKKQGLKKAGATGTRAGMGGHSYLFEPWWWAGMISMVLGEAANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKEKLHIFGMLGCVLCVVGSTSIVLHAPQERAIESVKEVWHLATEPGFLVYTGLVLIAVALLIFRYVPRYGQTHMIVYVGICSLMGSLTVNYLNKALDTFNTAVISPVYYVMFTTFTILASMIMFKDWDSQDASQIVTELCGFVTILSGTFLLHRTKDMGDNPSPSGESPAFANPDSSPGESPVLTNTNSHGSMNCGPQQQQPQPQPQPQQQHTS >Manes.16G019142.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2062173:2066332:-1 gene:Manes.16G019142.v8.1 transcript:Manes.16G019142.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMSKKSVLLFSFFYSLFSLVLFCHAIDRITKGQSLKDGETLVSADENFELGFFSPANSSSRYVGIRYHKIPGQAVIWVANRDKPISDTKGVFTFDEDGNLIVMDGNNVSVWASNPPVRANDSVAVLENDGNLKLSSSLNNVAYWESFNYPTDTFLPNMKIIASSGEQKHFSSWKSASDPSPGNFTMGVDSTGAAQMVLWENSRRRWRSGYWNGQIFTGVPNMTAVANYRYGFKYINNENGNDYFTYNPSNGSDLMRFRITWDGYEEQLKWNESEKNWDEMQKQPANNCELYNYCGDFGVCRALADPECRCMDGFVPRNPDQWAKKNWSDGCVRSRELQCQKNISTAEEDGFKELKCNKLPDFAVVFAQDLLDACKKRCLSNCSCNAYARVENIGCMIWNGDLIDVQDFGKPGIVMQLRLAGSEFDKKGLSAAVIAVIVVAGVVFLAISVWLLWCLKRNLKAAVLPTAASVSLTRKSEVLFSDMSKSKEYSSEMSGPADLVIDGSPVNGPDLPLFNFNSVAEATNNFSEENKLGQGGFGPVYKGKLPGGEEIAVKRLSKISGQGLEEFKNEIILIAKLQHRNLVRLLGCCIQGEEKMLLYEYMPNKSLDCFLFDPTKQALLDWKKRFNIIGGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMDPKISDFGMARIFGGNQNELNTNRVVGTYGYMSPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSYRLTDHLSLIAYAWELWHEDKAMELVDPSIRDSCCEDEVLKCIQVGMLCVQDSPVQRPTMSSIALMLESNSPTLPLPRQPTYTSVRTSSIDTSEIYLEGQEIQEIPELAMTWSLS >Manes.14G076700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6404676:6406597:-1 gene:Manes.14G076700.v8.1 transcript:Manes.14G076700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFFEIYFNFFLKKETSGCIYIIAFFIGKKRYRKFHFKQISDKEMLTAGWVRSSGRDLNPRPLRSNGNGKTSTSSYTFLILLSKPSQRSLLCHFQSPMESVNVLKGYGKVSSNLENQNLHRSKIIRRSLIFSALFLTLIIGLMLALLIHESNTESPESGSDSPSLSSASNSVNSIKTVCNVTRYPASCFTSIHSLNASIKPDPEAIFKVSLQVSIKELKNVSSLFTTLNDAKSQAAINDCLSLFDDSLSRLNDSLSAMEVGPEEKALTLEKINDIQTWISAAMSDQQTCEDGLEEMGSMVLDEVKAKMENSKEFLSNSLAIVAQMQSLLEKFDLKMH >Manes.06G001000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:353963:356758:1 gene:Manes.06G001000.v8.1 transcript:Manes.06G001000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCTYIDAQLHEAAIKGKLNPFKVYQGHQLETLLTPNENTILHIYLTSQTKRSTLSRTRFIKEVLAICPSLLWKVNVDGNTLLHIAARYGLADVAEELIQWAPKASAGDEILDLESGGEEPREREMVAVRRMLRMTNKYKETALHEAARNKGSLDVVKAILGHEDGEFTYSANDGGKTPLYLAAENGSAKTVLELLSNPNSKSLAYGGPSGKTALHAAAINRKTSQEMIDKLLDKWSSLTRETDEKGWTPLHYAVYKGYTSMVEKLLEKDESSAYISDKDWKRTPLHIAACRGLHHQVDKIISRCPNCCELVDIRGWNVLHYAVISQSEKVLRTLLKHSSLVYLLYGKDIKGNMPVHLYKAYHPLNVPLSLQLFTLMNLIFDKPNLFFHWLQLYSQVPEYSSSEKSEYLEWMNSIGTGPLGEIENEEEKRKENLIIELEKVKDSHLVASTLIATITFAGAFTIPGGYISDEKSLQKGAPILSKNLAFKVFIISDSMAMVLSTFAVFIHLVLALLKYQKGSFWLIKCAYISLFYAMVAMLIAFVTGTYSFLTPPMGISICAIGSTFFLFLSCSMMRIITVDIWKGYERCQLLADWFHLGHYRNH >Manes.17G078900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27875893:27876751:1 gene:Manes.17G078900.v8.1 transcript:Manes.17G078900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEAQFEIDAPADQFHDVFSCRPHHISNMSPHKVQGYDLLEGEWGKEGAIVCWKYLHDGSAKVAKEIVETIDDVNLLTVFKVFGGDLLKEYKSFKLTVQVTPKGKGSVVRWTLEYKKIHENIRDPYSLLELIVNFSKDVSAHLVKGQKK >Manes.17G024600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12799136:12802801:-1 gene:Manes.17G024600.v8.1 transcript:Manes.17G024600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRESPTVIGQTEKREKMQKTVRKEAMESITERLSAMENLYFPRALQSNATHPSNRKSLLLDLLSKDVAVFLERYGAKLTWEELGEFDMLNNDYEINWHLKNLRSKVSPTSDELKSRSVKVKNRRLAYLNRLIHDGTYFSEEAMREREPYLHHEFVGKFQDPSARGMARRGERWSETLMRRSEEAILVSKIRAEQQRLGVAERDWVGNEMNQQEEEEDVEEEEEEEEEEDEEEEAKTVPGGVHSTEMIDNTRGAQPTRGQHNEEAALSQEEKEDMMNQFTYIMHQKFLSGEDHQHMDYSKIDDDETLDDHWLREVSLDAEDKYFAEDEDD >Manes.17G024600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12799128:12802801:-1 gene:Manes.17G024600.v8.1 transcript:Manes.17G024600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRESPTVIGQTEKREKMQKTVRKEAMESITERLSAMENLYFPRALQSNATHPSNRKSLLLDLLSKDVAVFLERYGAKLTWEELGEFDMLNNDYEINWHLKNLRSKVSPTSDELKSRSVKVKNRRLAYLNRLIHDGTYFSEEAMREREPYLHHEFVGKFQDPSARGMARRGERWSETLMRRSEEAILVSKIRAEQQRLGVAERDWVGNEMNQQEEEEDVEEEEEEEEEEDEEEEAKTVPGGVHSTEMIDNTRGAQPTRGQHNEEAALSQEEKEDMMNQFTYIMHQKFLSGEDHQHMDYSKIDDDETLDDHWLREVSLDAEDKYFAEDEDD >Manes.17G024600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12799130:12802801:-1 gene:Manes.17G024600.v8.1 transcript:Manes.17G024600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRESPTVIGQTEKREKMQKTVRKEAMESITERLSAMENLYFPRALQSNATHPSNRKSLLLDLLSKDVAVFLERYGAKLTWEELGEFDMLNNDYEINWHLKNLRSKVSPTSDELKSRSVKVKNRRLAYLNRLIHDGTYFSEEAMREREPYLHHEFVGKFQDPSARGMARRGERWSETLMRRSEEAILVSKIRAEQQRLGVAERDWVGNEMNQQEEEEDVEEEEEEEEEEDEEEEAKTVPGGVHSTEMIDNTRGAQPTRGQHNEEAALSQEEKEDMMNQFTYIMHQKFLSGEDHQHMDYSKIDDDETLDDHWLREVSLDAEDKYFAEDEDD >Manes.17G024600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12800477:12802867:-1 gene:Manes.17G024600.v8.1 transcript:Manes.17G024600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRESPTVIGQTEKREKMQKTVRKEAMESITERLSAMENLYFPRALQSNATHPSNRKSLLLDLLSKDVAVFLERYGAKLTWEELGEFDMLNNDYEINWHLKNLRSKVSPTSDELKSRSVKVKNRRLAYLNRLIHDGTYFSEEAMREREPYLHHEFVGKFQDPSARGMARRGERWSETLMRRSEEAILVSKIRAEQQRLGVAERDWVGNEMNQQEEEEDVEEEEEEEEEEDEEEEAKTVPGGVHSTEEGNKS >Manes.09G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34006200:34011607:1 gene:Manes.09G141700.v8.1 transcript:Manes.09G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSPSDQVADVMENLSLDSQTNTAEIPEPTKKRMYGINEAVISHQGYGYAPFGAYPSPSSTVPAAGNDGQPYGTEQYSYPIPFYQAPTSTGTLYSPNSASASQAEVPTSAAADKGVLSVGTAAANTNNTVNTGSVNRINGPKPFRSSNQNSSSSLNVPYNRGGLPTGFPSLGYQYQDPRYGCDVFQSPFPWLDASLFPNGSPGHAISTGFSSPLATRPMSDLGQASGLMNVYPNNRMYGQYGYRAGAGFRSFGGNSWTNGHGWVVVDNKYKPRGRGYGNENLEGLSELNRGPRAKGFNNQTELGPVPQSVQGQNLSLTEDNKEDGLHQMPDKEQYNREDFPEDYSDAKFFIIKSYSEDDVHKCIKYGVWASTPNGNKKLDAAYHEAKETPGSCPVFLLFSVNASGQFVGLAEMIGAVDFNKTVGYWQQEKWIGCFPVKWHFIKDVPNSSLRHITLENNENKPVTNSRDTQEVMLDKGIQILKIFKGHKGKTSILDDFGFYAARERIMQEKRAKQKIQKQVVEGKPGDDSVANKARLIIMEKESPQKSFNSVLKEPVGAAAIELGKLNGDVKLLQEDGLHVAIGNSAESDASSEKRVAPHVVASAC >Manes.01G243600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40454268:40461946:1 gene:Manes.01G243600.v8.1 transcript:Manes.01G243600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRGRRFSRTKLKADLAATLRKSWYHLRLSVRHPSRVPTWDAIVLTAASPEQAQLYEWQLNRAKRTGRIASSTVTLAVPDPDGHRIGSGAATLNAIYALARHYEMLGVDLGPEVANTENGSSRSYMSYAGSNSEDLVLPIVRFVAKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLAAEDSDGPVPLLFDHILAIASCARQAFKNEGGILTMTGDVLPCFDASAIVIPEDASCIITVPITLDIASNHGVVVASKTGTQADRYTVSLVDNLLQKPSVEELAKNQALLDDGRTLLDTGMIAVRGEAWLMLVKLACSCQPMITELLENRKEMSLYEDLVAAWVPAKHEWLQMRPLGKELVRILGKQKMFSYCAYDLLFLHFGTSSEVLDHLSGVSTELVGRRHLCSIPATTASDVATSAVVLSSKIESGVSVGEDSLIYDSSISGGMQIGSQSVVVGINVPGDLGGMAENSFRFMLPDRQCLWEVPLVECTERVIVYCGLHDNPKISLSKDGTFCGKPWNKVLHDLGIEESDLWNSVGSHEKCLWNAKIFPILSYFEMLNLASWLMGLSDTKSKNLLSLWKKSRRVSLEELHRSIDFSKMCTGSSDHQADLAAGIAKACINYGILGRNLSQLCQEILQKEDSGVKICKDLLELCPKLQEQNSKILPKSRAYQVQVDLLRACKDEKTALQLEHKVWAAVADETASAVRYGFKEHLSVSPGSLPASEYEKNHIDGSVNPHFCARRVKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAISMEGSLPIGTIIETTEKIGVLISDDTGNESYIENVVSIAPPFDNDDLFRLVKAALLVTGIIHENILVSMGLQIKTWANVPRGSGLGTSSILAAAVVKGLLHITGGDKSNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFTTSFPGIPLRLQVVPLLASSQLISELQQRLLVVFTGQVRLAHQVLQKVVTRYLRRDNLLVSSVKRLAELAKIGREALMNCEVDELGEIMLEAWRLHQELDPYCSNELVDRLFAFADPYCCGYKLVGAGGGGFALLLAKDANSGKELRHKLEECSDFNVKVYKWSILLDD >Manes.07G138600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34104778:34106591:-1 gene:Manes.07G138600.v8.1 transcript:Manes.07G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFFKPIMSSCLLVLLLASMGITTTNAQLSTNFYSKSCPNLFSTVKPVVQSAINKEKRMGASLVRLFFHDCFVNGCDGSLLLDDTSSFTGEKTANPNRNSARGFDVIDNIKSAVEKACPGVVSCADILAIAARDSTAILGGPSWDVKLGRRDARTASLSAANNGIPPPTSNLNQLISRFNALGLSTRDLVALAGSHTIGQARCTSFRARIYNETNIDSSFAQTRRSNCPRTSGTGDNNLAPLDLLTPTSFDNNYFKNLVNQKGLLHSDQQLFNGGSTDSIVRTYSNGQSTFFSDFVAGMIKMGDISPLTGSRGEIRKNCRRVN >Manes.11G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29614672:29618574:-1 gene:Manes.11G131600.v8.1 transcript:Manes.11G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWQQQANQPGGARNESPQLPPLPPPPHVGGGGTGSIRPGSMADRARLAKIPQPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKSKSQSSSKSPVSSERKMDPNSSSTNAIPSDNIGHLSPQQNQLPFMSSLHNLTQFGIGNLGLNFGGIQEQMGAASGASGQTDMGFQMGSNSGMSPAILSTGVQQFPFFEPPPTGLYPFQNEGVEASSSMLGDSQLRSMNSRSRVSQLAPVKMEDNQGLNLSKPFLGISESNQFWSGNTWTDLSGLNSSSSSHLF >Manes.11G131600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29614672:29618574:-1 gene:Manes.11G131600.v8.1 transcript:Manes.11G131600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPVYLDPPNWQQQANQPGGARNESPQLPPLPPPPHVGGGGTGSIRPGSMADRARLAKIPQPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKSKSQSSSKSPVSSERKMDPNSSSTNAIPSDNIGHLSPQQNQLPFMSSLHNLTQFGIGNLGLNFGGIQEQMGAASGASGQTDMGFQMGSNSGMSPAILSTGVQQFPFFEPPPTGLYPFQNEGVEASSSMLGDSQLRSMNSRSRVSQLAPVKMEDNQGLNLSKPFLGISESNQFWSGNTWTDLSDTKTHTLGPSLQMEVV >Manes.11G131600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29614672:29618014:-1 gene:Manes.11G131600.v8.1 transcript:Manes.11G131600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRARLAKIPQPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKSKSQSSSKSPVSSERKMDPNSSSTNAIPSDNIGHLSPQQNQLPFMSSLHNLTQFGIGNLGLNFGGIQEQMGAASGASGQTDMGFQMGSNSGMSPAILSTGVQQFPFFEPPPTGLYPFQNEGVEASSSMLGDSQLRSMNSRSRVSQLAPVKMEDNQGLNLSKPFLGISESNQFWSGNTWTDLSDTKTHTLGPSLQMEVV >Manes.09G014741.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3261146:3265034:1 gene:Manes.09G014741.v8.1 transcript:Manes.09G014741.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGEIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASLTRLDDAIASFNHVIHKHPLPSRVHFNRFLSALVKIKQYHTVLSMSKTIELLGISHDLYSLNILINCFCRLHLVDFGFSVFGKMLKFGLEPTTVTFNTFINGLCMESKIDKAVEFFDDMVARGYQPDVYTYSTIIKGMCKFGKTNVAIGLLKGMADRGCDPNVVTYNAIIDALCKDELVGEALELFSQMRNKRISPNVITYNSLIHGVCKLGQKNQALALMNEIVEQNILPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVNYSSLMDGYCLCKQIDKARKLFDLMVTNEIANIFSYNILINGYCKCKMIDDAKELFDEMSHKGLVPDAVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNNLILRLLKVRNEGSAN >Manes.08G076401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:15192716:15193637:-1 gene:Manes.08G076401.v8.1 transcript:Manes.08G076401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKKYKLPHEELLANLLTLILGISCGIFLLTLSQVPPSFHLDSVHVSSFNISVAGDQDPFSISSPPSANITARWYIKFHVTNPNVIFSNISYEPLEVSVNYGNYKIASALTPSFYQNTKIHHASVIVEASSKSIDKMVAYEIAVDHWVHGVVDFNVRAYGRVHLGPLRRFWVRIVKEVEATCNYWKSSETVTKITNAGRKAMRLRLEWGL >Manes.12G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32330165:32334549:-1 gene:Manes.12G119600.v8.1 transcript:Manes.12G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLFLLLHLQICASLARVMSEYQALLSIKSAIDDPQSALASWNSANSLCSWHGVTCDSTGRHVTSLDLSSLNLSGTLSPDIAHLRFLQQLTLAANQLSGPIPPQLSVISGLRFLNLSNNIFNGTFPPQLSQLKNLQVLDLYNNNMTGDLPLPVTDMPNLRHLHLGGNFFSGNIPPEYGKWEHLEYLAVSGNELVGRIPPEIGNLTKLQELYIGYYNSYEGGLPPEIGNLSELVRFDAANCMLSGEIPKEIGKLQKLDTLFLQVNGLSGSLTEELGILKSLKSMDLSNNMLTGEIPSSFAELKNLTLLNLFRNKLHGAIPEFIGDLPRLEVLQLWENNFTGSIPVGLGKNGNLVLVDLSSNKLTGNLPPNMCSGNRLQTLITLSNFLFGPIPESLGQCESLSRIRMGENFLNGSIPKGLFGLPELTQVELQDNLLTGGFPVSDKIAMKLGQISLSNNRLSGSLPPSIGKFSGVQKLLLDGNDFSGPIPLDIGKLQQLSKMDFSSNKFSGQIAPEISQCKLLTFVDLSRNELSGAIPTEITGMRILNYLNLSRNHLVGSIPSSIATMQSLTSVDFSYNNLTGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKDGDANGTHQAHMKGPLSASLKLLLVIGLLVCSIAFAVAAIIKARSLKKASESRAWKLTAFQRLDFTVDDVLDCLKEDNIIGKGGAGIVYKGAMPNGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRRPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLPSVPLHEVMHVFYVAMLCVEEQAIERPTMREVVQILTELPKQPSSKQGDSTITDSSSATIDLPNVTTKDPKDHQYPPPPQSPPPDLLSI >Manes.16G107800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31323645:31332506:1 gene:Manes.16G107800.v8.1 transcript:Manes.16G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSEENGGNLKKQLAKLFEASLRETVPSEPDVEPLVAACTAKFGDYQCNNAMSLWSKIKGKNTDFKGPPAVGQAIMRNLPPSEMIESCSVAGPGFVNIVLSKTWLAEKIQKMLIDGIDTWAPKISVRRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNIDVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDINERDIGDLQTFYKASKQRFDADCDFKERAQKAVVRLQGGEPKYREAWAQLCDISRKEFDKVYQRLGVHLEEKGESFYSPFIPGVIEALTNQGLVQESEGARVIFIEGINIPLIVVKSDGGYNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPADDRIFPKASHVGFGLVLGDDGKRFRTRATEVVRLVDLLDEAKARSKAALVERGKAEEWTEEELEQTAEAIGYGAVKYADLKNNRLTNYTFNYDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIEELKKTGVLVLAHPDERALGLHLLQFAETVEEACTNLLPNVLCEYLYNLSEYFTKFYSNCQVVGSAEETSRLLLCEATAVVMRKCFFLLGIVPVYKI >Manes.16G107800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31323645:31332506:1 gene:Manes.16G107800.v8.1 transcript:Manes.16G107800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSSLTLLIASVSPSPFISFNRLSHFHSSPFSTSDLLKVTSRRLALAVKTQSISTMANTSEENGGNLKKQLAKLFEASLRETVPSEPDVEPLVAACTAKFGDYQCNNAMSLWSKIKGKNTDFKGPPAVGQAIMRNLPPSEMIESCSVAGPGFVNIVLSKTWLAEKIQKMLIDGIDTWAPKISVRRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNIDVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDINERDIGDLQTFYKASKQRFDADCDFKERAQKAVVRLQGGEPKYREAWAQLCDISRKEFDKVYQRLGVHLEEKGESFYSPFIPGVIEALTNQGLVQESEGARVIFIEGINIPLIVVKSDGGYNYASTDLAALWYRLNEEKAEWIIYVTDVGQQQHFDMVFKAAKRAGWLPADDRIFPKASHVGFGLVLGDDGKRFRTRATEVVRLVDLLDEAKARSKAALVERGKAEEWTEEELEQTAEAIGYGAVKYADLKNNRLTNYTFNYDQMLNDKGNTAVYLLYAHARICSIIRKSGKDIEELKKTGVLVLAHPDERALGLHLLQFAETVEEACTNLLPNVLCEYLYNLSEYFTKFYSNCQVVGSAEETSRLLLCEATAVVMRKCFFLLGIVPVYKI >Manes.08G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38203995:38205127:1 gene:Manes.08G142900.v8.1 transcript:Manes.08G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHFFLAFALLALALSYASAYDPSPLQDFCVAINNPFNAVFVNGKFCKNPNLTIANDFSFSGLNVPRNTGNQVGSTVTLLNVEQIPGVNTLGISLARIDYAPNGGLNPPHFHPRATEILLVLEGTLYVGFITSNPNRLISKVLNPGDVFVFPIGLIHFQFNIAKTNGVAIASLNSQNPGVVTIANATFGTNPPINPDVLAKAFQLDKQVVKNLQAKFGGSNN >Manes.11G015000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1600109:1602644:-1 gene:Manes.11G015000.v8.1 transcript:Manes.11G015000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRASKPGKLIGARVVKRRGGPPLAPRGYVPICVGVNDDTRRFIVHRTALGDAEFTELLCKSAEEYGFSNQGVLRILHEAKDFEEWMIRKSKLRVARVNPVLIQSHHVKAWKNSNWVCKIKPIECKSLATGSWR >Manes.11G015000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1600910:1602484:-1 gene:Manes.11G015000.v8.1 transcript:Manes.11G015000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRASKPGKLIGARVVKRRGGPPLAPRGYVPICVGVNDDTRRFIVHRTALGDAEFTELLCKSAEEYGFSNQGVLRILHEAKDFEEWMIRKSKLRVARVNPVSMGDREGSIREFGQKQTRNYDNLPNISSWQTISCQLEE >Manes.09G022780.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4584790:4602732:-1 gene:Manes.09G022780.v8.1 transcript:Manes.09G022780.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLLESANQNEELEDIGSRYFQELGSRSFFQDFEVYAGIWITCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNFTSLPQDLSTLLQGLDRVRTAIIQSDEKSPSSQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRYLRIFFCKKLPNSICKLYNLQTLLLCEGIEELPSDIRYLINLRVLQFSTKQKCLPMNGIGCLTSLRFLGIAICENLEHLFEDMQGLKHLRTLVIYGCKSLISLPQSMKYLTALEILAIGNCENLNLTWEEKGKSDKHLAQFNLQKLTLAKLPKLVNFP >Manes.11G088800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16012079:16012405:-1 gene:Manes.11G088800.v8.1 transcript:Manes.11G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLTEMICYAKDVIRRKSLSTKHSFLQQASTSGPRDDVPRGHFVIYVGEEQKKKKKRFVVPISYLKKPSFQKLLSQAEEEFDFSYPMGGLTLPCPEDEFINATTSH >Manes.11G155500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32213770:32217873:1 gene:Manes.11G155500.v8.1 transcript:Manes.11G155500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNRVDLDGRPIKPITICMIGAGGFIGSHLCEKLLLETPHKILALDVYNDKIKHLLEPASLPWADRIQFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYILKEDTSPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNALLRSEPLKLVDGGQSQRSFVYIKDAIEAVLLMIENPHRANGHIFNVGNPYNEVTVKQLAEMMTKVYSEVSGEPALEAPTVDVSSKEFYGEGYDDSDKRVPDMTIINRQLGWNPKTSLWDLLESTLTYQHKTYAEAVKKTIAKPTSS >Manes.11G155500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32213770:32217873:1 gene:Manes.11G155500.v8.1 transcript:Manes.11G155500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNRVDLDGRPIKPITICMIGAGGFIGSHLCEKLLLETPHKILALDVYNDKIKHLLEPASLPWADRIQFHRLNIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYILKEDTSPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNALLRSEPLKLVDGGQSQRSFVYIKDAIEAVLLMIENPHRANGHIFNVGNPYNEVTVKQLAEMMTKVRDYLRTKYFFSLINWWIQGCS >Manes.03G046400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4096046:4102450:-1 gene:Manes.03G046400.v8.1 transcript:Manes.03G046400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDALKSNNKVNPNNTHKNGDDGLLRVVSETTKQTTSNFVLQWGNRKRLRCMKVQVKDDSKAPVHRTTVRVDRRVVRADKEASNKPISTKNTNQSNGYLNLRQRPSSPPPPPHQRVLRNSENSSAMRSQSNGGVRGLASPDRGAHHEKRGSTTNHHHHNSNHENNNKSGASSETAHDSKKGGSSSGSGEAAPPPVWPPKFVIALTNKEKEEDFIAFKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKISKKVRPRGLKAMGNMESDSE >Manes.11G158400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32423137:32424736:-1 gene:Manes.11G158400.v8.1 transcript:Manes.11G158400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPTTNHNPATGGDSAHVIIIPEASHNNWLTSMQESVKEPPKSLNMTAGRSSCSIFRVPQSLKKIHPEAFEPRIVSIGPYHQGEPHLKMIDEHKQRFLGAVLARTQKFNVGLDQFFKDLAIKENKIRESYSENIDCSSHELIEMMILDGCFVIQLLCIVGRLVETDSNDPLLILPWILYSLSRDLLLLENQIPFFVLEILFDLSKPPDSKNYPTFTELVLQFFDYTIPRPRDEIPDKSRKLSGEHLLGLFRSSFIPLSSQELAAAKTDKNHLQLIQPVENLRAAGIKLKQRKNAKSFLDIKFRTTKTSGLLEIPTLSPGYVTNTFLLNCVTFEQSYRQYFSAHFTSYVIFMGCLINTIQDAGYLRDKRIIENYFGTDEDVVKFFNKVGKDMNFDISQSYLANVFVDVNEYCRNGWHVTLAKYLYTYFDAPWSCTSAIAALFLLIVTFIQGFFAVYAYAKPPS >Manes.13G120700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32780660:32784293:1 gene:Manes.13G120700.v8.1 transcript:Manes.13G120700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPDQRRDDESQGCSSQKTYLDGEDDKGKRVGSMSNSSSSVVVIEDGSEVDGSGERSRIKKRTSKLFGFSVSHDDSMENDPPVTRQFFPVEDQEMGGISGGSGSGSGGGGGGRAGFPRAHWCGVKFCQSESVASPKSVEVSQPLKKSRRGPRSRSSQYRGVTYYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAALKFRGVEADINFAIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYANELNSSEYADHNLDLSLGHSGSIQNSVGLDQQSASMPFEADWRNPGIRTKAQETRIQSPGSEAQRFGQHRRPGETQLFHGISPNYQIQFPSCSNGGRIGSDLSLYPSDNHPHHHLRQQQQRQWQSGPPLPPPNQLVANAAASSGFPQQIRTPQNWLQKNGFHSILRLS >Manes.14G010800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:661500:667327:-1 gene:Manes.14G010800.v8.1 transcript:Manes.14G010800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNKQFELAFCYRKFSVFSPKSPLTTILFLQNILSTKLISTISLPCAEIEELTETNIVASRDHGASISLSSHPNPEISCFYQKGFSQITTEVAGKALHALCIKGLAKLGVFYNNTLINMYSRFGDIGIARYVFDKMCERNDASWNHMMCAFVRAGTYRESIVFLNKMRDFGITPSGFAVASLITACDRSGCMLSEGIQVHDLVVKYGLLDDVYVGTSLLHFYGTYGLAFDARKLFEEMPDKNVVSWTAMMVAYSDFGEPREVINIYSRMRREGLSCNANTLATLSSSCGSLEDGFLGHQILGHVIKFGLETNLSVANSLISMFGSLGRVQEACYIFDGMNERDTISWNSMLSVNVHNDLFEESLRCFHWMRHVHNHINSTTLSTLLSGCSSWDKFKWGRGIHSLVVKFGLDANICSCNTLIGMYSTAGESEQAEMVFQRMAERDLISWNSMMACYAQYGKCLDALKIFARIFKMSKGVNFVTFTSALAACSDTDFITEGKILHALVILTGLHENLVVGNALVTLYTKSGMMVEAKKVFQTMPRRDEVTWNALIGGHADNEEPDEALKAFKLMRKESVRANYITISNVLGACLAPNDLLKHGMPIHAIIVLTGLESDHYVQNSLITMYAKCGDLNSSNLIFNSLTNKNAVAWNAIIAANANHGQVEEALKLLVELRRAEVDLDQFGLSECLAATATLAILEEGQQLQSLSVKLGLDSDPFVKNATMDMYAKCGEIDDVLRLIPQPLERSRLSWNIMISSFARHGFFEKAKETFNEMVKLGVKPDHVTFVSLFSACSHGGLVEEGLAYYHAMIKEFGIPAGIKHCVCIVDLLGRSGRLSEAETFIEEMPVPSTDLVWRSLLAACKIHGNLEIGRKAAENLFKLDPSDDSAYVLYSNVCASTGRWDDVETLRRQMGSNKIKKKPACSWVKLKNEISSFGTGEHSHPQSSQIYRKLDELKKMIKEAGYVPDTSYALQDTDEEQKEHNLWNHSERLALAYGLINTPEHSTVKIFKNLRVCGDCHSVYKFVSELLGRKIILRDPYRFHHFSGGKCSCTDYW >Manes.01G081500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28438936:28447455:-1 gene:Manes.01G081500.v8.1 transcript:Manes.01G081500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLCDENEDAPKKRENGISWPHLHTHVQHRRSKSAFGRKLEVSRGGILHSTKKDHNGTNMSPLSSRAYRTQSPLHDYPTCTNKNISSDHRASLEQDIELLQLRLQEEKSMRMMLERAMGRVSSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSIFEHCVSRAPSEQNSGVTSPAHTKQVSRKHPSIISSAFCSSKKFPFRPLQALVFTSESGKKSSKASDAPSFIKKSDIQFEKICFDHIMAHEKIPDMEKSPILRTLKNHLCQCPSMLSEEMVRCMAAVYFWLRSTSVSGKNRSPTLSRSSTNVVLPRHGIGEDRGWSCKSMEEISLISTDKSQFSRASYAINNYRVLVEQLEGVNVSQMENNAQTAFWMNVYNALVMHAYLAYGIPHSSLRRLALFHKCRLLITLVATSLVQML >Manes.01G081500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28438936:28447458:-1 gene:Manes.01G081500.v8.1 transcript:Manes.01G081500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTTQEWRYSILYQQLLLHGPISLCVDENEDAPKKRENGISWPHLHTHVQHRRSKSAFGRKLEVSRGGILHSTKKDHNGTNMSPLSSRAYRTQSPLHDYPTCTNKNISSDHRASLEQDIELLQLRLQEEKSMRMMLERAMGRVSSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSIFEHCVSRAPSEQNSGVTSPAHTKQVSRKHPSIISSAFCSSKKFPFRPLQALVFTSESGKKSSKASDAPSFIKKSDIQFEKICFDHIMAHEKIPDMEKSPILRTLKNHLCQCPSMLSEEMVRCMAAVYFWLRSTSVSGKNRSPTLSRSSTNVVLPRHGIGEDRGWSCKSMEEISLISTDKSQFSRASYAINNYRVLVEQLEGVNVSQMENNAQTAFWMNVYNALVMHAYLAYGIPHSSLRRLALFHKCRLLITLVATSLVQML >Manes.01G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28438936:28447458:-1 gene:Manes.01G081500.v8.1 transcript:Manes.01G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTTQEWRYSILYQQLLLHGPISLCVDENEDAPKKRENGISWPHLHTHVQHRRSKSAFGRKLEVSRGGILHSTKKDHNGTNMSPLSSRAYRTQSPLHDYPTCTNKNISSDHRASLEQDIELLQLRLQEEKSMRMMLERAMGRVSSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSIFEHCVSRAPSEQNSGVTSPAHTKQVSRKHPSIISSAFCSSKKFPFRPLQALVFTSESGKKSSKASDAPSFIKKSDIQFEKICFDHIMAHEKIPDMEKSPILRTLKNHLCQCPSMLSEEMVRCMAAVYFWLRSTSVSGKNRSPTLSRSSTNVVLPRHGIGEDRGWSCKSMEEISLISTDKSQFSRASYAINNYRVLVEQLEGVNVSQMENNAQTAFWMNVYNALVMHAYLAYGIPHSSLRRLALFHKAAYNIGGHIISANAIEQSIFCFRTPRVGTWLETIFSTTLRKRSSEERKLISSKFGLSDAQPLVCFGLCTGALSDPVLKVYTASNVKEELEVAKREFLQTNIVVKKSRKVFLPKLLERFAKEASINSDDLLKWLIENVDTKLHDSIQKCIDRKSSKKASQVIEWLPYSSRFQYVFSKDLTEKPRWI >Manes.01G081500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28438936:28447458:-1 gene:Manes.01G081500.v8.1 transcript:Manes.01G081500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTTQEWRYSILYQQLLLHGPISLCEMDGFSVDENEDAPKKRENGISWPHLHTHVQHRRSKSAFGRKLEVSRGGILHSTKKDHNGTNMSPLSSRAYRTQSPLHDYPTCTNKNISSDHRASLEQDIELLQLRLQEEKSMRMMLERAMGRVSSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSIFEHCVSRAPSEQNSGVTSPAHTKQVSRKHPSIISSAFCSSKKFPFRPLQALVFTSESGKKSSKASDAPSFIKKSDIQFEKICFDHIMAHEKIPDMEKSPILRTLKNHLCQCPSMLSEEMVRCMAAVYFWLRSTSVSGKNRSPTLSRSSTNVVLPRHGIGEDRGWSCKSMEEISLISTDKSQFSRASYAINNYRVLVEQLEGVNVSQMENNAQTAFWMNVYNALVMHAYLAYGIPHSSLRRLALFHKCRLLITLVATSLVQML >Manes.01G081500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28438936:28446852:-1 gene:Manes.01G081500.v8.1 transcript:Manes.01G081500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRYVSFGTASLVFMRNSEMDGFSVDENEDAPKKRENGISWPHLHTHVQHRRSKSAFGRKLEVSRGGILHSTKKDHNGTNMSPLSSRAYRTQSPLHDYPTCTNKNISSDHRASLEQDIELLQLRLQEEKSMRMMLERAMGRVSSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSIFEHCVSRAPSEQNSGVTSPAHTKQVSRKHPSIISSAFCSSKKFPFRPLQALVFTSESGKKSSKASDAPSFIKKSDIQFEKICFDHIMAHEKIPDMEKSPILRTLKNHLCQCPSMLSEEMVRCMAAVYFWLRSTSVSGKNRSPTLSRSSTNVVLPRHGIGEDRGWSCKSMEEISLISTDKSQFSRASYAINNYRVLVEQLEGVNVSQMENNAQTAFWMNVYNALVMHAYLAYGIPHSSLRRLALFHKAAYNIGGHIISANAIEQSIFCFRTPRVGTWLETIFSTTLRKRSSEERKLISSKFGLSDAQPLVCFGLCTGALSDPVLKVYTASNVKEELEVAKREFLQTNIVVKKSRKVFLPKLLERFAKEASINSDDLLKWLIENVDTKLHDSIQKCIDRKSSKKASQVIEWLPYSSRFQYVFSKDLTEKPRWI >Manes.01G081500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28438936:28447458:-1 gene:Manes.01G081500.v8.1 transcript:Manes.01G081500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTTQEWRYSILYQQLLLHGPISLCEMDGFSVDENEDAPKKRENGISWPHLHTHVQHRRSKSAFGRKLEVSRGGILHSTKKDHNGTNMSPLSSRAYRTQSPLHDYPTCTNKNISSDHRASLEQDIELLQLRLQEEKSMRMMLERAMGRVSSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSIFEHCVSRAPSEQNSGVTSPAHTKQVSRKHPSIISSAFCSSKKFPFRPLQALVFTSESGKKSSKASDAPSFIKKSDIQFEKICFDHIMAHEKIPDMEKSPILRTLKNHLCQCPSMLSEEMVRCMAAVYFWLRSTSVSGKNRSPTLSRSSTNVVLPRHGIGEDRGWSCKSMEEISLISTDKSQFSRASYAINNYRVLVEQLEGVNVSQMENNAQTAFWMNVYNALVMHAYLAYGIPHSSLRRLALFHKAAYNIGGHIISANAIEQSIFCFRTPRVGTWLETIFSTTLRKRSSEERKLISSKFGLSDAQPLVCFGLCTGALSDPVLKVYTASNVKEELEVAKREFLQTNIVVKKSRKVFLPKLLERFAKEASINSDDLLKWLIENVDTKLHDSIQKCIDRKSSKKASQVIEWLPYSSRFQYVFSKDLTEKPRWI >Manes.01G081500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28438936:28447458:-1 gene:Manes.01G081500.v8.1 transcript:Manes.01G081500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLCDENEDAPKKRENGISWPHLHTHVQHRRSKSAFGRKLEVSRGGILHSTKKDHNGTNMSPLSSRAYRTQSPLHDYPTCTNKNISSDHRASLEQDIELLQLRLQEEKSMRMMLERAMGRVSSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSIFEHCVSRAPSEQNSGVTSPAHTKQVSRKHPSIISSAFCSSKKFPFRPLQALVFTSESGKKSSKASDAPSFIKKSDIQFEKICFDHIMAHEKIPDMEKSPILRTLKNHLCQCPSMLSEEMVRCMAAVYFWLRSTSVSGKNRSPTLSRSSTNVVLPRHGIGEDRGWSCKSMEEISLISTDKSQFSRASYAINNYRVLVEQLEGVNVSQMENNAQTAFWMNVYNALVMHAYLAYGIPHSSLRRLALFHKAAYNIGGHIISANAIEQSIFCFRTPRVGTWLETIFSTTLRKRSSEERKLISSKFGLSDAQPLVCFGLCTGALSDPVLKVYTASNVKEELEVAKREFLQTNIVVKKSRKVFLPKLLERFAKEASINSDDLLKWLIENVDTKLHDSIQKCIDRKSSKKASQVIEWLPYSSRFQYVFSKDLTEKPRWI >Manes.01G081500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28438936:28446852:-1 gene:Manes.01G081500.v8.1 transcript:Manes.01G081500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRYVSFGTASLVFMRNSEMDGFSVDENEDAPKKRENGISWPHLHTHVQHRRSKSAFGRKLEVSRGGILHSTKKDHNGTNMSPLSSRAYRTQSPLHDYPTCTNKNISSDHRASLEQDIELLQLRLQEEKSMRMMLERAMGRVSSTLSPGHRHFATQTKELIAEIELLEEEVANREQHVLSLYRSIFEHCVSRAPSEQNSGVTSPAHTKQVSRKHPSIISSAFCSSKKFPFRPLQALVFTSESGKKSSKASDAPSFIKKSDIQFEKICFDHIMAHEKIPDMEKSPILRTLKNHLCQCPSMLSEEMVRCMAAVYFWLRSTSVSGKNRSPTLSRSSTNVVLPRHGIGEDRGWSCKSMEEISLISTDKSQFSRASYAINNYRVLVEQLEGVNVSQMENNAQTAFWMNVYNALVMHAYLAYGIPHSSLRRLALFHKCRLLITLVATSLVQML >Manes.01G074400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27712728:27716161:-1 gene:Manes.01G074400.v8.1 transcript:Manes.01G074400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEKLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPFHKEAKTEESSSTSRPDNLLPESGSTTNNSSLHENDGIVNSEENSSSPHENCCTDESTLLDSICNDETLLNSLWMDEPPLVDASWNNNINPPATDDAKNGEMGYPYPYPLWEDNCTWLFDCQDFGVHDFGFDCFDNLEFKSLNSLEMEEKH >Manes.16G022300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2448923:2452282:1 gene:Manes.16G022300.v8.1 transcript:Manes.16G022300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRIARNGLRRTGGTSCEGMSNHKWSSSPFLKNVKAGSNLSYISSIRNVNQPSFWSRGIGGTPHYQFANAERILDESESEYEEPRYPGLEATKPGEKPRVVVLGTGWAACRFMKGLDTKIYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQPALGTNSNSYFYLASCTGIDTGKHEVYCETVSNDGLPREPHMFKVAYDKLVIAAGAEPVTFGIKGVNEHAFFLREVNHAQEIRKKLLLNLMLSENPGISEEEKNRLLHCVVIGGGPTGVEFSGELSDFIMRDVQEQYSHVKDRVKVTLIEANEILSSFDVRLRQYATNHLTKHGVRLTRGIVKEVHPKKLVLSDGSEIPYGLLVWSTGVGPSQFVKSLNLPKSPGGRIGVDQWLRVPAVEDVFALGDCAGFVEQTGRPVLPALAQVAERQGKYLVELFNNIGKQNGGKAFSAKDVSLGDPFVYRHLGSMASVGRYKALVDLRQSKDTKGLSLAGFISWLIWRSAYLTRVVSWRNRFYVAANWATTLVFGRDNSRIG >Manes.16G022300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2448490:2452282:1 gene:Manes.16G022300.v8.1 transcript:Manes.16G022300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRIARNGLRRTGGTSCEGMSNHKWSSSPFLKNVKAGSNLSYISSIRNVNQPSFWSRGIGGTPHYQFANAERILDESESEYEEPRYPGLEATKPGEKPRVVVLGTGWAACRFMKGLDTKIYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQPALGTNSNSYFYLASCTGIDTGKHEVYCETVSNDGLPREPHMFKVAYDKLVIAAGAEPVTFGIKGVNEHAFFLREVNHAQEIRKKLLLNLMLSENPGISEEEKNRLLHCVVIGGGPTGVEFSGELSDFIMRDVQEQYSHVKDRVKVTLIEANEILSSFDVRLRQYATNHLTKHGVRLTRGIVKEVHPKKLVLSDGSEIPYGLLVWSTGVGPSQFVKSLNLPKSPGGRIGVDQWLRVPAVEDVFALGDCAGFVEQTGRPVLPALAQVAERQGKYLVELFNNIGKQNGGKAFSAKDVSLGDPFVYRHLGSMASVGRYKALVDLRQSKDTKGLSLAGFISWLIWRSAYLTRVVSWRNRFYVAANWATTLVFGRDNSRIG >Manes.16G022300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2448752:2452282:1 gene:Manes.16G022300.v8.1 transcript:Manes.16G022300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRIARNGLRRTGGTSCEGMSNHKWSSSPFLKNVKAGSNLSYISSIRNVNQPSFWSRGIGGTPHYQFANAERILDESESEYEEPRYPGLEATKPGEKPRVVVLGTGWAACRFMKGLDTKIYDIVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSRIQPALGTNSNSYFYLASCTGIDTGKHEVYCETVSNDGLPREPHMFKVAYDKLVIAAGAEPVTFGIKGVNEHAFFLREVNHAQEIRKKLLLNLMLSENPGISEEEKNRLLHCVVIGGGPTGVEFSGELSDFIMRDVQEQYSHVKDRVKVTLIEANEILSSFDVRLRQYATNHLTKHGVRLTRGIVKEVHPKKLVLSDGSEIPYGLLVWSTGVGPSQFVKSLNLPKSPGGRIGVDQWLRVPAVEDVFALGDCAGFVEQTGRPVLPALAQVAERQGKYLVELFNNIGKQNGGKAFSAKDVSLGDPFVYRHLGSMASVGRYKALVDLRQSKDTKGLSLAGFISWLIWRSAYLTRVVSWRNRFYVAANWATTLVFGRDNSRIG >Manes.10G070700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12164038:12168146:1 gene:Manes.10G070700.v8.1 transcript:Manes.10G070700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVLHPYYLLFLLFFLSFVHHSSQQLQPSQSDSFVLIQQLLNYPLLVSNSSSNTNKDFCNIEPTPSLTLVCYEDNITQLHIVGNNGFPPLPQNFSTDAFFASLVNLSSLKVLSLVSLGLWGPLPATIGHLSSLEILNVSSNHFSGAIPEQLSSLMSLQTLVLDHNSFTGQVPGWLSSLSLLAVLSLKNNSFSGSLPNSMTSMENLRILSVSKNNLSGEVPDFHSLTNLQVVDLQDNYFGPHFPNLNNRLLTIILRNNSFQFGIPSELVSYYQLQRLDISMNGFVGPFVPLLLSLPSINYINISLNKFTGMLFENISCNSDLSTVDLSSNLLSGDLPTCLKSSSKSRVVMYASNCLSYGEQKQHPSNFCHNEALAVQPHDEEKHRMPYGKSVLASSIIGGTIGGITIVGLVFLVVGRGHSLRHIVKKPKAKLILENVSTVNTLKLISDARYISQTMKLGASLPAYRAFALEELKEATNNFDDSNLLGNGSHRKIYRGKLRDGNFVAIRSLIVKKKHCQQTITHHIELISKLRHSNLVSALGHCFDCCSDDSSINRIFLIFEFVPNGTLRGYTSGLSGKKMTWKQRIGAAIGVTKGIQFLHTGVVPGVYSNNLKITDVLLDYDLNAKISSYNLPLLADSKGMSFKVGAILSSPGPKQSISTRENDDGDKDVYDLGVILAEIIVGRPLMSLDEVAVTKDLLQVSIGVDEKARRSIVDPAVGKECSDESLKIMMELCVRCLVDKQSDRPSVEDVQWKLHFAAQVQETWKGDHSHNTQDSDISCSQV >Manes.10G070700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12164038:12168146:1 gene:Manes.10G070700.v8.1 transcript:Manes.10G070700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVLHPYYLLFLLFFLSFVHHSSQQLQPSQSDSFVLIQQLLNYPLLVSNSSSNTNKDFCNIEPTPSLTLVCYEDNITQLHIVGNNGFPPLPQNFSTDAFFASLVNLSSLKVLSLVSLGLWGPLPATIGHLSSLEILNVSSNHFSGAIPEQLSSLMSLQTLVLDHNSFTGQVPGWLSSLSLLAVLSLKNNSFSGSLPNSMTSMENLRILSVSKNNLSGEVPDFHSLTNLQVVDLQDNYFGPHFPNLNNRLLTIILRNNSFQFGIPSELVSYYQLQRLDISMNGFVGPFVPLLLSLPSINYINISLNKFTGMLFENISCNSDLSTVDLSSNLLSGDLPTCLKSSSKSRVVMYASNCLSYGEQKQHPSNFCHNEALAVQPHDEEKHRMPYGKSVLASSIIGGTIGGITIVGLVFLVVGRGHSLRHIVKKPKAKLILENVSTVNTLKLISDARYISQTMKLGASLPAYRAFALEELKEATNNFDDSNLLGNGSHRKIYRGKLRDGNFVAIRSLIVKKKHCQQTITHHIELISKLRHSNLVSALGHCFDCCSDDSSINRIFLIFEFVPNGTLRGYTSGLSGKKMTWKQRIGAAIGVTKGIQFLHTGVVPGVYSNNLKITDVLLDYDLNAKISSYNLPLLADSKGMDQNKAFQQGKMMMVIKMCMTWE >Manes.10G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12164038:12168146:1 gene:Manes.10G070700.v8.1 transcript:Manes.10G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVLHPYYLLFLLFFLSFVHHSSQQLQPSQSDSFVLIQQLLNYPLLVSNSSSNTNKDFCNIEPTPSLTLVCYEDNITQLHIVGNNGFPPLPQNFSTDAFFASLVNLSSLKVLSLVSLGLWGPLPATIGHLSSLEILNVSSNHFSGAIPEQLSSLMSLQTLVLDHNSFTGQVPGWLSSLSLLAVLSLKNNSFSGSLPNSMTSMENLRILSVSKNNLSGEVPDFHSLTNLQVVDLQDNYFGPHFPNLNNRLLTIILRNNSFQFGIPSELVSYYQLQRLDISMNGFVGPFVPLLLSLPSINYINISLNKFTGMLFENISCNSDLSTVDLSSNLLSGDLPTCLKSSSKSRVVMYASNCLSYGEQKQHPSNFCHNEALAVQPHDEEKHRMPYGKSVLASSIIGGTIGGITIVGLVFLVVGRGHSLRHIVKKPKAKLILENVSTVNTLKLISDARYISQTMKLGASLPAYRAFALEELKEATNNFDDSNLLGNGSHRKIYRGKLRDGNFVAIRSLIVKKKHCQQTITHHIELISKLRHSNLVSALGHCFDCCSDDSSINRIFLIFEFVPNGTLRGYTSGLSGKKMTWKQRIGAAIGVTKGIQFLHTGVVPGVYSNNLKITDVLLDYDLNAKISSYNLPLLADSKGMSFKVGAILSSPGPKQSISTSSTECRENDDGDKDVYDLGVILAEIIVGRPLMSLDEVAVTKDLLQVSIGVDEKARRSIVDPAVGKECSDESLKIMMELCVRCLVDKQSDRPSVEDVQWKLHFAAQVQETWKGDHSHNTQDSDISCSQV >Manes.06G042001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12950176:12958597:-1 gene:Manes.06G042001.v8.1 transcript:Manes.06G042001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERLALLQIKSHFNSSSSSFFLSASGITADCCSWIGVYCNSTTGHVVKLSLNGVRSTEGDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLNLGDNSFHKSILSSLSGLSSLKYLYLYGNRLKGIINIEEFNHLISLKKLYLPANAIEGFISSNGSEKFLRRLSNLEYLDLRVNHVNISLLSPFARLPSLKYLDLGYNQLEGSFNFKELGKNKLVTLDLSGNNITEFVDSREIRASNNISELYLDDITITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGLPHLQIIGAMSSLKKLSLRSCGLNDTEFLNQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLGLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIEAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVVLV >Manes.13G126600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33403802:33404532:-1 gene:Manes.13G126600.v8.1 transcript:Manes.13G126600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNLFFACVFFMLIFSQGLQSVDGKRHLKLERKHKLSKLQISNKFEKEEKNFIHKHNVHGDNDSDVELPQPASFVPADAVVVGEPQSSPPPPAHVDDFRPTAPGHSPGVGHSIQN >Manes.18G067500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6049007:6057981:-1 gene:Manes.18G067500.v8.1 transcript:Manes.18G067500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPGGDGAATCSSCFSGENGGFDGDDANSSANPPCVQCMRSDHPSCSYSLLGFDSIQLKDSEKLWRIITASAKGFSIGAGLKGGLSLFSILARLRRSRLSSSLRKVEVFSNSEAIALALKETLRYGLFLGTFAGTFASADEIIASLGGHCRTAKWRALLAGLIAGPSMLLTGPNTQHTSLAIYILMRASVLASRCGVKSKRFGKYCKALTWKHGDIFLMCLSSSQILSAYILKQESLPPSYKSFLNKHGGKDPVILQGVKDIASGLPFTNLGLVEKYYNSTGVNIKLDPEMKIPCSIIHGNQSCGEHVVSFFIQAYKRALPVYLPVYLIPALIVHRQGLLKRPCNILGKGLLGTARSSLFLSTYCSSAWMWTCVLFRTFRRCNIPMVALGTFPTGLALAIEKKSRRIEISLYCLARAIESFFTCMADVGYLPQAKNVKRADVVIFSISTAIIMHCYSQEREVFRSKYLNVLDWVFGVPPPPGETPRRKEN >Manes.01G270800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42442362:42449255:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPKD >Manes.01G270800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42443361:42446514:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42443585:42449148:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFANQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42442982:42446514:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPKD >Manes.01G270800.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42443585:42449148:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42443585:42449148:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42442637:42449148:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPKD >Manes.01G270800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42442022:42449148:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42442637:42449208:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42441798:42449255:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPKD >Manes.01G270800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42441797:42449148:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPKD >Manes.01G270800.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42442022:42449148:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42443770:42446514:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42442637:42449208:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPK >Manes.01G270800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42442637:42449148:-1 gene:Manes.01G270800.v8.1 transcript:Manes.01G270800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESMKQFQQSLVELETEAELLLLARHQVIENDKTRNGNREALTALRKRARTTKTSVPSPYESIMKDIGRSASKPLVKEVCATCGNHDSDERTWMMFQGTDVFASMPFHAAHSILERDQERLDYEAKKLQSYVKEKSFIISEKGALADKISPGVLRSLITLTDKPKD >Manes.05G058200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4864960:4865955:1 gene:Manes.05G058200.v8.1 transcript:Manes.05G058200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPLSPPLLPFNSNTQDTHPSPFQNLKPSILVILLILSITFLLSISLCLLLRHLNRRCLLHLSSSSHVSTATIPIATSSSSNRHSNNRVSPESPVSSLIDSLPLFTFSSIKRRSTTSPADCAVCLSNFEPQDQLRLLPLCCHAFHVLCIDTWLQSNQTCPLCRLPIHASESDLLKALSSSIDGGISESFRLEIGSISCRQTASDSAVEHRSSYSVGSFEYIVDEEAEVTMSHMHRRSVSEKEVAAPVHQSTQEPNLAFEVASGRSWLQDYVDRLSASLSSRAFSFRSSGRFFTGSSRRSENVSTGDYDLEANRVVEEMNEIFRWFSGV >Manes.13G055500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6433418:6442911:-1 gene:Manes.13G055500.v8.1 transcript:Manes.13G055500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSSNKVFGSDDSERSFRDYDGHEFTEDELSKNIDLCLGEVDKITWQSNEPPSSSDIVEPCIGMEFNSRDHAREFYIAYGRHTGFTVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYIYRKDRVLPPPPATREGCPAMLRLTLRDGVKWVVTKFIKEHNHTLMSPSRVPWRGSAKNFISEDEKDRRIRELTIELNNEKQRCKRQCAAYQEQLRMVLAYIEEHTNHLSTKVQDIVNNVKKLENELQEDLDCKYV >Manes.13G055500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6433193:6442911:-1 gene:Manes.13G055500.v8.1 transcript:Manes.13G055500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSSNKVFGSDDSERSFRDYDGHEFTEDELSKNIDLCLGEVDKITWQSNEPPSSSDIVEPCIGMEFNSRDHAREFYIAYGRHTGFTVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYIYRKDRVLPPPPATREGCPAMLRLTLRDGVKWVVTKFIKEHNHTLMSPSRVPWRGSAKNFISEDEKDRRIRELTIELNNEKQRCKRQCAAYQEQLRMVLAYIEEHTNHLSTKVQDIVNNVKKLENELQEDLDCKYV >Manes.13G055500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6434138:6442911:-1 gene:Manes.13G055500.v8.1 transcript:Manes.13G055500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSSNKVFGSDDSERSFRDYDGHEFTEDELSKNIDLCLGEVDKITWQSNEPPSSSDIVEPCIGMEFNSRDHAREFYIAYGRHTGFTVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYIYRKDRVLPPPPATREGCPAMLRLTLRDGVKWVVTKFIKEHNHTLMSPSRVPWRGSAKNFISEDEKDRRIRELTIELNNEKQRCKRQCAAYQEQLRMVLAYIEEHTNHLSTKVQDIVNNVKKLENELQEDLDCKYV >Manes.13G055500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6434138:6442911:-1 gene:Manes.13G055500.v8.1 transcript:Manes.13G055500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSSNKVFGSDDSERSFRDYDGHEFTEDELSKNIDLCLGEVDKITWQSNEPPSSSDIVEPCIGMEFNSRDHAREFYIAYGRHTGFTVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYIYRKDRVLPPPPATREGCPAMLRLTLRDGVKWVVTKFIKEHNHTLMSPSRVPWRGSAKNFISEDEKDRRIRELTIELNNEKQRCKRQCAAYQEQLRMVLAYIEEHTNHLSTKVQDIVNNVKKLENELQEDLDCKYV >Manes.13G055500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6433193:6442911:-1 gene:Manes.13G055500.v8.1 transcript:Manes.13G055500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSSNKVFGSDDSERSFRDYDGHEFTEDELSKNIDLCLGEVDKITWQSNEPPSSSDIVEPCIGMEFNSRDHAREFYIAYGRHTGFTVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYIYRKDRVLPPPPATREGCPAMLRLTLRDGVKWVVTKFIKEHNHTLMSPSRVPWRGSAKNFISEDEKDRRIRELTIELNNEKQRCKRQCAAYQEQLRMVLAYIEEHTNHLSTKVQDIVNNVKKLENELQEDLDCKYV >Manes.13G055500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6433954:6442911:-1 gene:Manes.13G055500.v8.1 transcript:Manes.13G055500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSSNKVFGSDDSERSFRDYDGHEFTEDELSKNIDLCLGEVDKITWQSNEPPSSSDIVEPCIGMEFNSRDHAREFYIAYGRHTGFTVRIHHNRRSRVNNMVIGQDFVCSKEGFREKKYIYRKDRVLPPPPATREGCPAMLRLTLRDGVKWVVTKFIKEHNHTLMSPSRVPWRGSAKNFISEDEKDRRIRELTIELNNEKQRCKRQCAAYQEQLRMVLAYIEEHTNHLSTKVQDIVNNVKKLENELQEDLDCKYV >Manes.03G164700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29130428:29134174:-1 gene:Manes.03G164700.v8.1 transcript:Manes.03G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREQLGVLNALDIAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDYNSEKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLLLMVVCSLASGLSFGSTPVGTIITLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALIVSSAFDHKFKAPPYSVNRHDSLAPQADYIWRIILMFGALPAALTYYWRMKMPETARYTALVAKNAKQAAADMAKVLHVDLEAEEEKVQKLAADRSNSFGLFSKEFAKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFTAINWIPKAAEMNAIHEVYRIARAQTLIALCSTVPGYWFTVFLIDYMGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDKSKTDAGYPPGIGVKNSLLALGVINFFGMLFTLLVPESKGKSLEELTGENEENGEEMQGADTARTVPV >Manes.04G165600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35880310:35884213:-1 gene:Manes.04G165600.v8.1 transcript:Manes.04G165600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGETSLSATFHIALENLASPILIEFGCRFGIDIDLKKLRRSLSKIQAVLNDAEGKQISDQEVKFWLNDLKQVAYDADDVLDEIATVAFRFNQQKKVTNLRPITKDFISKLGLDSKIKEINERLGEIAKDKDELGLREGVGVAWAELRERDRLQTSSLIDENRVIGRTGDIEKIVKLLVSDEFCGNDVAVLPIVGMGGLGKTTLAQRVYNDPLVTKNFELRTWICVSDDFNARRLTKSILDSIDRKSCDLVDLDALQTSLRDKLKGKKFLVVLDDVWTEKERDWDVLRLPFIAGALGSKIIVTTRSKKVASIMGTLPTYHLEVLSDDDCWLLFKQRAFIDGNEDAHPYLVSIGKEIVKKCRGLPLAAETLGGLLHAKTEIAEWEMISQSGIWELEYEENEIFPALRLSYNHLPAHLKQCFMYCSIFPKDYNFSKENLVLLWMAEGFVHRKGRRRLQEVASDYFDDLWMRSFFQSSKTDRLKFVMHDLIHDLAQAVAGEMCFRFEPEKLQNIPEMVRHSSVLVDTFKSVTLDALYTKRSLRTMLLLCNKISTRENSNLTVPHELLCSLKCLRSMDMSHISIKELPDSVGDLMHMRYLDLSHTDIKELPESICSLCNLQTLILANCNKLLLLPEGTKDLINLFHLDLTGCWHLRSMPPSFGTLTSLQRLHRFVAGNEAGRGINELKDMNELRATLCIDRIEDVTNIEDAREASLKRKQHIRKLVLRWSRSRRVRDAIDEELLECLEPHTHLRELTIDVYPGAKFPNWMGDSLSHLERIEFLHCNYCKTLPPLGQLPYLKYLTISTMQELESVGREFYGKGKNKGFPSLKTLKLEEMRSLREWEGIDHGDFPVLEDLAVLNCPSINNLPKFPSLNHLLLDDCHQTVLSSLHFLTSLSSLEILNFRRVYLLPDGLLQQLTALKNLKIKDFYRLEALQDELGLQDLQSLQNLEISCCPRLLSFGKKGLPSSLQHLSINMCSALKDVPTGLQNLNYLEQLHISKCPKLVSFPEEKLGSSLKNLRLSSCANLESLPIRLHELRHLESLSIQSCPKLACLPASGLPVSLRSLYIMECALLEERCAEGGEDLPKIEHIPEKHIGESKL >Manes.04G124600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32511884:32513795:1 gene:Manes.04G124600.v8.1 transcript:Manes.04G124600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKAKPLKQPKADKKEYDEYYEFILVLQTDMANIQKKKEEEKALKELRAKATQKGTFGGSGLKKSGKK >Manes.05G116700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11956573:11959821:-1 gene:Manes.05G116700.v8.1 transcript:Manes.05G116700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMYRELWVAPVNSSIGQLGYDGPITFSILLAKNLRFLITQSPVHYTSALHSIQLPKIWHTTLSSPSMAAEMALRSSYSSSATARLSLPPPPSTTSPSKPSFFKPLLRPISVSLPTSTTISFLAVFSPHEAKAFTLSKDQIVSSLTEVEKTIDQVQEVGSGFFDTAQRVFGIVSDALKPGVDAALPIVKQAGDQALKIASPAISEASKKAQEAIQGSGIDTQPVLSAAKTVADAAQQTTKVIEEVKPAASSTVETIMSADPVVIVGSAGAIFLAYLLFPPIWSVISFSFRGYKGDLTPAQTLDLVSEKNYVMIDIRSEKDKDKAGIPRLPSNAKNRMIAIPLEELPSKLRSLVRNVKKTEAEIVALKISYLKKINKGSKIVILDSYSDSAKTVARALTSLGFKNCWIVADGFSGSRGWLQSRLGTDSYKLSFAEVLSPSRIIPGAARSTNVKLLPGAD >Manes.13G116632.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32310884:32314195:-1 gene:Manes.13G116632.v8.1 transcript:Manes.13G116632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTNSRSAEQRCAVVTGANKGIGLEICHQLAVNGVLVVLTARDEKKGLQATRKLQEHGLSDVVFHQLDVTDTASIASLAKFINTKFGKLDILVNNAGVGGLFVDWNLLEAFKARGGALSDENAPQLEGIIQQNYEMAHDCLKTNYYGTMLVTEALLPLLELSVCKNSECLFLLRTTSVYQE >Manes.02G053900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4305502:4307570:-1 gene:Manes.02G053900.v8.1 transcript:Manes.02G053900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSEKEGRVIPCGFRFSPTNIELVQFYLKNKILGWEFPFDLIPIVDVFASSPDNLPLNEFNCGQPNGYWYFYSNRRKGKILTDDGYYALSSHRTIIDGKKLIGFVRTLDFYNGKPPRGTKSQWTIHEYRVNADTIKVNEDDIIMKEKISNFVVCKLVKKGIYKPESSEEENIFSGKDSLSDKNQHNQSGRESEGSNFSMKDNKQNSKGNNSLSDEDKYSQSNTILSQEKVEKLKYSRDDKENSEDDREKNDIIISQANDAAPKS >Manes.14G094000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7850762:7854871:-1 gene:Manes.14G094000.v8.1 transcript:Manes.14G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMAMAVSAIGFEGYEKRLEITFFEPAIFVDPEGKGFRTLSKAQLDEILGPAECTIVDSLSNDHVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPPVLKLAHALSLDVKSVRYTRGSFIFPGAQSYPHRSFSEEVCTLDSYFGKLGTESKAYILGGDDSPHKWHIYSASADSAISCDHVYTVEMCMTSLDREKASIFFKSQSASASTMTIDSGIRKILPDSDICDFDFDPCGYSMNAIEGAAISTIHITPEEGFSYASFETVGYDLEEMSLNHLVDRVLVCFQPSQFSIAVHGGVAGEQLVRTCSLNVKGYCCGERSLEELGKGGSIVYQTFVSTGDTGSPRSTLKCCWKEEEEEDED >Manes.09G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6364702:6367847:1 gene:Manes.09G031200.v8.1 transcript:Manes.09G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQEYMILLLIWLVSMIVVRVILNKIRPKSHLPPSPPALPILGHLHLLGPIPHQAFHKLSTKYGPLIHLLLGSVPCVVASSPEMAKEFLKTHETSFSDRPIAFAVDYLTYGSADFSFAPYGPYWKFMKKICVSELLGGRILDQFLPVRQEEIRIFLHLILKKANAGESVDLGRQLLRATNNIISRMMMSQRCSDSEDEADEVRQLVQETVELTGKFNLSDYIWLCKNLDLQGFNKRLKEVRDNFDKMLERIIKEHQEGRKIKKETGEDTIKDLLDILLDISEDANSEMKLTRENIKAFILDIFAAGTDTSAITTEWALSELINHPEIMKKAREEIDSAIGKSRLVEESDIPNLPYLQAIVKETLRLHPTGPLIVRESTKNCSINGYEIPARTRLFVNVWSLGRDPNHWKNPLEFCPERFVCEERNGKSQLDVRGQHFHLLPFGSGRRGCPGTSLALQIVQTTLAAMIQCFEWKVNGGDGGVDMDEAPGLTLPRANPLICFPVARLNPFPSF >Manes.12G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1302482:1304593:1 gene:Manes.12G013000.v8.1 transcript:Manes.12G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFVSRKSRLMETNMSFSTSFTQEKSTRSKSNLLLASQVADDIDAPILPGLPDDVAKYCLALVPRPYFPVMGAVCKKWRSIIRSKEFLVVRKLAGLLEEWLYVLTMDSEGKESHWEVLDCSGHRRQLLPPMPGPVKAGFGVVVLNGKLLVIAGYSVIDGTRTGSASADVYQYDSCLNSWSKSSNMNVARYDFACSEVNGKIYAVGGYGINGDSLSSAEMYDPDTEKWTLIESLRRPRWGCFACGFEGKLYVMGGRSSFTIGNSKFVDVYNPDRHTWCEIKKGCVMVTAHAVLEKKLFCMEWKNQRKLAIFNPEDNSWKMVPVPLTGSSSIGFRFGILDGKLLLFSLEEVPGYRTLLYDPNASPGSEWQTSEIKPSGLCLCTVTIKA >Manes.01G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:12166245:12168860:1 gene:Manes.01G046000.v8.1 transcript:Manes.01G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVSHVNNDEDITKENKREIDNKVSRILKLIKSSGQDKKEKSSEESRKRSELIGLVQDFHKQYQSLYAQYDDVRGEAGKRTRGRKEKEKQNEKQNSSTAPSSDSEEYYSSDDIENGDFRHRHSRASSDVELETAKYDATGLRPILTPPGLAKESFNLRYMGPLGKSPRTRKRELSNLVKALELHGNQATAKVKDLEEQQNGLRIELESLRSLKTGLEKKLEEKEMEAKQFGETNVQLHSRVSELELISEDKGNEISAMTVRMEENESKLTSRIKVLMTEVDNLKLEMETLSAEKAELEERSKNGESDRAKILQQESKILQQESESLHREKTELQLQLDIKTKEITENLNLIETLKEEIARKDVSEQGLLKEKEGLALQIEDLKLEVYSLHEQNNELEARISEMEENLTNKEQELSTVQKKCEDKENEASTQIMSLKAKLDSLLSEKRHLEAQNERIKQDCEHSQMQVENEILNLTNKIQEQQKTLTEKVNIIKKLTGEQRLTKHVSPHSQKLLSESHKLRSMDSAKISNQVLERKIDEMAEKFNMKMENHIRLLFQRIRVAEQIHVETKDAYKKMLEKLEQENKELNEKKAAYEGDLMKMREMLFEPENNLLAGLDSMLKKIDEENGNFLNRISRMSKELQHAKDWITGKNHEIKKLKHNVETLTSQLDEKEEQEFLLKEKLAKEISSKRLEEDRLKVADKLERRVEDLEQKLQERDEILSTLGEEKIEAIRQLCVLIDYHRHRYDHLKEAVSKMPIKFKKPA >Manes.15G175000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16119743:16131130:-1 gene:Manes.15G175000.v8.1 transcript:Manes.15G175000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSVSAKITDMSDNYNPIPEEMGTRILKIIWNNLEDPLSQTVKQVHLVFDLFLDIQSTICMEEGSHRTKSFLKRIASDLLRLGPRCKGRYVPLAIVTKRLGPKTMLEMSPDLLFETAQAYIDDDVCCAATTFLKCFLESLRDECWNNNGVEKGYAVYRGHCLPPFLYGLASGVSKLRSNLNTYALPVLLELDVDSIFPMLAFISIGPSGEEIELPSPELGFANIELGVEQKVAVLVSLLKVCRSLAFIEGDIDLCDTSTSTALEAQEGLETEIMNGHALVCVKGIKVKLLVQWLVLALTHSDELLRVDAAESLFLNPKTASLPSHLELTLLKKAVPLNMRSCSTGFQMKWTSLFRKFFSRVRTALERQLKQGSWQPLVNYRNKQSHSSKETKEAVFERARDLFNFMRWLSCFLFFSCYPSAPYKRKIMAMELILIMLNVWPIVTSLQDNFGSTASESCLCPYSRGITSPDSTLLLVGSIIDSWDRLRESSFHILLYFPTPLPGISSEDMVQRVVIWAKNLVCSPRVRESDAGALTLRLIFKKYALELGWIVRVADNVVCFQHQPELVNGDNQIFESRPPSIEYIKSLIDWLNSVVEEGERDLSEACKSSFVHGVLLALRYTFDELDWNSDAVMSSIPEMRQALKNLLGLVMRITSLALWVVSADAWYLPDLDEMADTDMCLMDEADVVRSSEHGDSDLKHEQESRPSEQIVMVGCWLAMKEVSLLLGTIIRKIPLPSSSNSDSPEPPVPDVSDTCTLPIDNPILDLKQLEEIGSHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLCKLTDSWIEQLMERTVAKGQTVDDLLRRSAGIPAAFIALFLSEPEGTPKKLLPRALRWLIDVASSSLLGPVYVKSINADSNKFSLTKSDQELDSAKPFEMNVMGNSSKIRDEGVVPTVHAFNVLRAAFNDTNLATDTSGFAAEALIVSIRSFSSPYWEVRNSACLAYTALVRRMIGFLNVQKRESARRVLTGLEFFNRYPPLHPFFYNELKVATDLLMDATSGHSESNLEKVVHPSLCPLLILLSRLKPSTIASESGDDLDPFLFMPFIRRCSTQSNLRVRVLASKALMGLVSNEKLPIILLNVASALPCLDNQITGGMRCDSFNSIHGMLLQLSSLLNANCRNLPDIAKKEKILGDLIQVLARRSWIASPKLCPCPILNASFVRVLDLMLSIARRGYMSENFYAIRDLLLELSSECLDVEDYYGLPFFDPTIAELREQAAISYFSCVLQVSKEEAEEVLQIPHMRPLPDSKLLSPPEKYVFTGLQERLIRSLSDSSYEVRLATLKWLLRFLKSTESSSEVHHMSSSEIRVIQSWNNSNLQGTLSKLLESEKNHRCTYYILRILFFWNLLQFKNPSDEKYANISYVGTLDVDSVSEFWYKLISLYKLTRHMKTRETLICCMAICVKQYAILLTRYVVAYMKNNARFNDSEQSERSALFYERITFFVNVVKEHSSASEPVNMRKAAAESIHASGLLEQAEFISSSVFSHQIPFDISGLRFEPKEAVNMYAIKVLEIWFTCIRLLEDEDDAVRQRLASNVQRCCSSKRTRSSCSSEEVPTQVEKVLELSFGHLSSIFGHWIVYFDYLSKWVLDAANYVVSQGDLVRRVFDKEIDNHHEEKLLICQICCSHLEKLPVLKLLSGETPIKQEFRNYLYSWRMRFYNQLMSFAEDHVEMLNWIGGMGNHKDAFLPLYGNLLGFYSLSNCIFNGKIEDGATLLADVVELGKTITPFLTNPLFSNLYSLVVKSHEKMASDNAGRIYKFSGDSIWDGFDPYFLLR >Manes.15G175000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16119743:16131110:-1 gene:Manes.15G175000.v8.1 transcript:Manes.15G175000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYSSVIFPSSFTDSLSQSLLSLNPKSLPLFNELNHLISLTSIYSQVAHAKNLASSFTQLLSSSIDGDDAELLKTGSRFYLHLLFLENSLPLHRTLVSALSKVNSREYQYLIGKCFRELCEDYGSRQEKGNKSKRFCLSRVALSVLGMPKLGYLVDIIEDCAIFVAWDVVLGLDSVVLETQEWARPSPIVMEQCQEALSCSYYLLQRFPEKFKEDLNGFDGEELNIMERILGVLISVLKSIAFSRDCFVAAGVSLCAALQVCLSPQELALVIIEGIFNQSNCSFPKKNCDCEFRDAILKIPFKGDLQSEINSFSVLSRLCMIRGILTAVSRTVLNSHFIVSNNNLDGHEGNGIIISSVKTILYDGILPELCNYCENPVDSHFNFHALTVMQICLQQMKTSVSAKITDMSDNYNPIPEEMGTRILKIIWNNLEDPLSQTVKQVHLVFDLFLDIQSTICMEEGSHRTKSFLKRIASDLLRLGPRCKGRYVPLAIVTKRLGPKTMLEMSPDLLFETAQAYIDDDVCCAATTFLKCFLESLRDECWNNNGVEKGYAVYRGHCLPPFLYGLASGVSKLRSNLNTYALPVLLELDVDSIFPMLAFISIGPSGEEIELPSPELGFANIELGVEQKVAVLVSLLKVCRSLAFIEGDIDLCDTSTSTALEAQEGLETEIMNGHALVCVKGIKVKLLVQWLVLALTHSDELLRVDAAESLFLNPKTASLPSHLELTLLKKAVPLNMRSCSTGFQMKWTSLFRKFFSRVRTALERQLKQGSWQPLVNYRNKQSHSSKETKEAVFERARDLFNFMRWLSCFLFFSCYPSAPYKRKIMAMELILIMLNVWPIVTSLQDNFGSTASESCLCPYSRGITSPDSTLLLVGSIIDSWDRLRESSFHILLYFPTPLPGISSEDMVQRVVIWAKNLVCSPRVRESDAGALTLRLIFKKYALELGWIVRVADNVVCFQHQPELVNGDNQIFESRPPSIEYIKSLIDWLNSVVEEGERDLSEACKSSFVHGVLLALRYTFDELDWNSDAVMSSIPEMRQALKNLLGLVMRITSLALWVVSADAWYLPDLDEMADTDMCLMDEADVVRSSEHGDSDLKHEQESRPSEQIVMVGCWLAMKEVSLLLGTIIRKIPLPSSSNSDSPEPPVPDVSDTCTLPIDNPILDLKQLEEIGSHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPRLCKLTDSWIEQLMERTVAKGQTVDDLLRRSAGIPAAFIALFLSEPEGTPKKLLPRALRWLIDVASSSLLGPVYVKSINADSNKFSLTKSDQELDSAKPFEMNVMGNSSKIRDEGVVPTVHAFNVLRAAFNDTNLATDTSGFAAEALIVSIRSFSSPYWEVRNSACLAYTALVRRMIGFLNVQKRESARRVLTGLEFFNRYPPLHPFFYNELKVATDLLMDATSGHSESNLEKVVHPSLCPLLILLSRLKPSTIASESGDDLDPFLFMPFIRRCSTQSNLRVRVLASKALMGLVSNEKLPIILLNVASALPCLDNQITGGMRCDSFNSIHGMLLQLSSLLNANCRNLPDIAKKEKILGDLIQVLARRSWIASPKLCPCPILNASFVRVLDLMLSIARRGYMSENFYAIRDLLLELSSECLDVEDYYGLPFFDPTIAELREQAAISYFSCVLQVSKEEAEEVLQIPHMRPLPDSKLLSPPEKYVFTGLQERLIRSLSDSSYEVRLATLKWLLRFLKSTESSSEVHHMSSSEIRVIQSWNNSNLQGTLSKLLESEKNHRCTYYILRILFFWNLLQFKNPSDEKYANISYVGTLDVDSVSEFWYKLISLYKLTRHMKTRETLICCMAICVKQYAILLTRYVVAYMKNNARFNDSEQSERSALFYERITFFVNVVKEHSSASEPVNMRKAAAESIHASGLLEQAEFISSSVFSHQIPFDISGLRFEPKEAVNMYAIKVLEIWFTCIRLLEDEDDAVRQRLASNVQRCCSSKRTRSSCSSEEVPTQVEKVLELSFGHLSSIFGHWIVYFDYLSKWVLDAANYVVSQGDLVRRVFDKEIDNHHEEKLLICQICCSHLEKLPVLKLLSGETPIKQEFRNYLYSWRMRFYNQLMSFAEDHVEMLNWIGGMGNHKDAFLPLYGNLLGFYSLSNCIFNGKIEDGATLLADVVELGKTITPFLTNPLFSNLYSLVVKSHEKMASDNAGRIYKFSGDSIWDGFDPYFLLR >Manes.08G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36575834:36578520:-1 gene:Manes.08G125600.v8.1 transcript:Manes.08G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSSLSMRACPGVTATRISSLKSFSLPNQRSFLFFRVQPVPARLRVSCAAKPQTLEKVCEIVKKQLALSPETAVTGESKFAALGADSLDTVEIVMGLEEEFGINVEEESAQSITTVQDAADLIEILVEKKDA >Manes.10G133203.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29835801:29837610:-1 gene:Manes.10G133203.v8.1 transcript:Manes.10G133203.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSYFPDEVMLEILSKLPVKSIVRCMCLSKSQHSHIKTSTFFSTHLNQTISSTKHSLFLLKFFTDPKEYFSLHFDDKELNKYMHLDYPLDGNRYFDLINSCNGLICFADCFYLHSKTFILWNPSIRKTLRLPVLNVTFETHGRLGSYHPYCIHTTGQKYNIIEKCKEAFVNGVVHFIACEEVEHGESEEMYVLSFGFSNEVFCKFMLPEGFGEEILSVLVFKESTIAIFCLESYSSFECSLWAMKKYGETESWTKLFTKQVEVIPRALGFRRSGELLLDFYRGEIVSYDSLCGKLSITG >Manes.16G009000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:926556:932334:1 gene:Manes.16G009000.v8.1 transcript:Manes.16G009000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVFPVQQGNVGIKLFRNKRVKSTDMDVVTCIAGKIGELLVEPIGRQIGHFIHYRSNTVKLQEQVKILEGVRDDLQVSVDAAKRNGEVIRKEVQNWTSMVDGILSEANKLLEKASKVRFHNLATRYQLSRKAQEKTMEIEKQKNEGKFDRVSNPAPPPPLLFPSQEDIVIFESRERQVEEIMEALKDNKTNFIGIYGMGGVGKTTLVKQVVKRAQQDRLFPTIAMVVVSQTIEVKKIQDQIAERLGLKLDEANEQNRVSRLLARLKEENKVLIILDDIWARLDLATVGIPLGRDHASCKIIVTTRRKQVCDAMVDTRSETAKVIPINILSEKESWVLLKKNAGAEIESLTLNSFAKDILRECGGLPIALVTVGRAMRGRDPDEWQEAVRELRKSQPETIEGMDEDVYRCLQFSYTYLKDKKAKKVFNLCCLFPEDFNIRIEDLVRYGFGLKIFEDMRMEDARRSAHSIIKNLKDSCLLLGSDEEGCVKMHDVVRDVALSMASDYFVRDGVKKLEDWPDMEEMKRYTGISIMQNQVAQFPDVWDSPNLKILLMDIEKTDLVHLEEAMDMPATVLTGMKALQVFHRRDSSRKSYRAQSFRFLKIDFSQLSNLRTLMLQYYKIDTTPIGELKMLEILSLKNCQFRKPFNTIGKLTNLRLLDVEFSSLDGDSSSIFPIDAMSTLSRLEELYFLSFDMLRPPQFPFFLSFDMYPFFLFPRNFPSFDDLNITVLKTLSRLTTLTIHIQTIPEGFMFPELKVFKIRWGSRRRLRVKEKLINAFLSQVEGFNYLGLCGEFGGGSNITISSLVCMKPLMPRTNFLYLDSLEELKNINPCLLLGGLDALKILVIVNCPSFAYLMNAEEVLGRYALLPELEGLCFEDLDTFKALCNGELPSGTSLSMRKLKYLTFFRCPELLNIFTLPNPQQEFEQLQVVEEKGMKNISKGPTELLHLPKLQIVCINGCQKLKVIFPASIARGLEQLKELELEDCDQLEAVIAEREEEEKRIDKVVFSQLISIRLYKLYNLKAFCMDNLPLKWPTLEELSVDSCPKMKTFAASDGNQITPKLKEIKINTNYIEFDGTNLNTIMKYHNKEEIQAMNN >Manes.14G074200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6216485:6221050:1 gene:Manes.14G074200.v8.1 transcript:Manes.14G074200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGFFVLCFYLIHVEILFSTCSLALTEDGLALLEIKSTLNDSRNILGDWQATDESPCKWTGISCHPHDQRVSSINLPYMQLGGNISPSIGKLSRLQRLALHQNSLNGIIPYELTNCTELRAVYLRANHLQGGIPSDIGNLSHLTILDLSSNMLKGAIPSSIGRLTRLRLLNLSTNYFSGEIPDFGALSTFGNSSFIGNLDLCGRQVQKPCRTSMGFPAVLPHAASDESTVPSKRSSHYIKGVLIVVMATMAITLAVLLAFLWICLLSKKERAAKKYTEVKKQVVQDASTKLITFHGDLPYPSCEIIEKLESLDQEDVVGSGGFGTVYRMVMNDCGTFAVKKIDRSHEGSIDQVFERELEILGSIKHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDILHECGREQPLNWSTRLRIALGSARGLAYLHHDCSPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEEAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLEIVTGKRPTDPAFVNRGLNVVGWMNTLLKENRLEDVVDKRCRDADMEAVEAILEIAARCTDASPDYRPTMNQVAQLLEQEVMSPCPSDFYESHSDYS >Manes.14G074200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6216472:6221050:1 gene:Manes.14G074200.v8.1 transcript:Manes.14G074200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGNISPSIGKLSRLQRLALHQNSLNGIIPYELTNCTELRAVYLRANHLQGGIPSDIGNLSHLTILDLSSNMLKGAIPSSIGRLTRLRLLNLSTNYFSGEIPDFGALSTFGNSSFIGNLDLCGRQVQKPCRTSMGFPAVLPHAASDESTVPSKRSSHYIKGVLIVVMATMAITLAVLLAFLWICLLSKKERAAKKYTEVKKQVVQDASTKLITFHGDLPYPSCEIIEKLESLDQEDVVGSGGFGTVYRMVMNDCGTFAVKKIDRSHEGSIDQVFERELEILGSIKHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDILHECGREQPLNWSTRLRIALGSARGLAYLHHDCSPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEEAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLEIVTGKRPTDPAFVNRGLNVVGWMNTLLKENRLEDVVDKRCRDADMEAVEAILEIAARCTDASPDYRPTMNQVAQLLEQEVMSPCPSDFYESHSDYS >Manes.14G074200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6216485:6221050:1 gene:Manes.14G074200.v8.1 transcript:Manes.14G074200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGNISPSIGKLSRLQRLALHQNSLNGIIPYELTNCTELRAVYLRANHLQGGIPSDIGNLSHLTILDLSSNMLKGAIPSSIGRLTRLRLLNLSTNYFSGEIPDFGALSTFGNSSFIGNLDLCGRQVQKPCRTSMGFPAVLPHAASDESTVPSKRSSHYIKGVLIVVMATMAITLAVLLAFLWICLLSKKERAAKKYTEVKKQVVQDASTKLITFHGDLPYPSCEIIEKLESLDQEDVVGSGGFGTVYRMVMNDCGTFAVKKIDRSHEGSIDQVFERELEILGSIKHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDILHECGREQPLNWSTRLRIALGSARGLAYLHHDCSPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEEAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLEIVTGKRPTDPAFVNRGLNVVGWMNTLLKENRLEDVVDKRCRDADMEAVEAILEIAARCTDASPDYRPTMNQVAQLLEQEVMSPCPSDFYESHSDYS >Manes.03G069100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9673652:9694636:1 gene:Manes.03G069100.v8.1 transcript:Manes.03G069100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEFLAQCLANFGFFCILYFCAVASCVLSVLFVSLLLNFASLLLLVGSCSACLIDYRGLSLSAGGDVKTIATKNQLPDVIEVFTAEYSLICKISDYKKPYVSLMDGVTMGFGIGLSGHGCYRIVTERTVLAMPENGIGLFPDVGFSYIAAHSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGRLVSLKEAILATTFSENPHDDIKVLLAKYSNEPESEAELKLLLPRIISTFGAGKSVKEIIEELKKHQQSADGKVVQWANDALQGLGKGAPFSLCLTQKYFSKVASAYGKPNNELSTLNGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPRWNPPSLEEVDENEVDSLFKPLSPDVEELKV >Manes.03G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9673652:9694636:1 gene:Manes.03G069100.v8.1 transcript:Manes.03G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSASRFLSKSTIAFTHHSLLLNSLSFPLCCKSSLSYRSFTVMATASEEFVKGNVFPNGVAVITLDRPKALNAMNLDMDIKYKKFLDEWESDPRVKCVLVEGSSSRAFSAGMDIKGVVAEIQKDKNTPLVQKVFTAEYSLICKISDYKKPYVSLMDGVTMGFGIGLSGHGCYRIVTERTVLAMPENGIGLFPDVGFSYIAAHSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGRLVSLKEAILATTFSENPHDDIKVLLAKYSNEPESEAELKLLLPRIISTFGAGKSVKEIIEELKKHQQSADGKVVQWANDALQGLGKGAPFSLCLTQKYFSKVASAYGKPNNELSTLNGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPRWNPPSLEEVDENEVDSLFKPLSPDVEELKV >Manes.03G069100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9673652:9694636:1 gene:Manes.03G069100.v8.1 transcript:Manes.03G069100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLSASRFLSKSTIAFTHHSLLLNSLSFPLCCKSSLSYRSFTVMATASEEFVKGNVFPNGVAVITLDRPKALNAMNLDMDIKYKKFLDEWESDPRVKCVLVEGSSSRAFSAGGDVKTIATKNQLPDVIEVFTAEYSLICKISDYKKPYVSLMDGVTMGFGIGLSGHGCYRIVTERTVLAMPENGIGLFPDVGFSYIAAHSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGRLVSLKEAILATTFSENPHDDIKVLLAKYSNEPESEAELKLLLPRIISTFGAGKSVKEIIEELKKHQQSADGKVVQWANDALQGLGKGAPFSLCLTQKYFSKVASAYGKPNNELSTLNGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPRWNPPSLEEVDENEVDSLFKPLSPDVEELKV >Manes.03G069100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9673652:9694636:1 gene:Manes.03G069100.v8.1 transcript:Manes.03G069100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTMGFGIGLSGHGCYRIVTERTVLAMPENGIGLFPDVGFSYIAAHSPGEGSVGAYLGLTGKRISTPSDAIFVGLGTHYVPSGRLVSLKEAILATTFSENPHDDIKVLLAKYSNEPESEAELKLLLPRIISTFGAGKSVKEIIEELKKHQQSADGKVVQWANDALQGLGKGAPFSLCLTQKYFSKVASAYGKPNNELSTLNGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQNPRWNPPSLEEVDENEVDSLFKPLSPDVEELKV >Manes.17G023100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14451019:14454654:1 gene:Manes.17G023100.v8.1 transcript:Manes.17G023100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSAALPPLITHKDGSIQDSDIKEDVLESAKAEMGEVREENERLKMMLQQVEKDYQSLKLRFFDILRQETCKKPADSAPSSDETEEPELVSLCLGRTPSEPKRDEKTSNSSKSSRENEELKASLTLGFESKFQTSTELVSNPSPENSLEDLAKEDEAAGETWPPSKVLKRNADDEVAQQNNVKRARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPACPVRKQVQRCAEDMSILITTYEGTHNHPLPVSATAMASTTSAAASMLLSGSSSSQPAFGSHAAATTTTATQLNGLSFSLYDSSTPKQFYLANNSSSPLFPTITLDLTASPSTSSTATPFNNRFSSSISRFPSTNLNFCSSESNIVSEVWGNGYQTRKQLQEQIYEKNHQNQAASQQELTETLTKAITSDPSFRTVIAAAISSMMGGGGGSANSSQGNLRGAESFGQNLKLGEGNNQAIAANLLNLQNGKGCASSYFNGLSASTSQMGSLLQSHALPFSVFNSSSMSANNNNNNKDHKS >Manes.05G193600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:31653076:31654038:-1 gene:Manes.05G193600.v8.1 transcript:Manes.05G193600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNPSGAFLNLLDSSTLCCITAILLLSLISVAFVFHLRLKSRSVQHLQRFNSLWMVRLLLVLLIAIWASNELFRLSFFRRNYLFPFFPSLTLTQQASFCKIHIVFSLGFFEPGFLVTLLFLINVSTEKKTPHTTWAIAFVLANCFPVLALQVLLVFFSGLHLPWPEIFLRSSVVSKSEEVILCQYPLMSSIVFGAFGIWYLMGFSLACFKAVNLVINKGLRRRICALSFTVMIMLPLQILFLVSSVLWGPEENIYATFAFFVFGTTLVIAAVGEGILVIKPTVDSLVAGEDALPAFLPREQTASEEQQLPKLEDARVQV >Manes.03G038200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3359928:3361072:-1 gene:Manes.03G038200.v8.1 transcript:Manes.03G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLKPSLPMLYYGLVIVGIAAVVLAIYNLIFLKCTNRRRREQSAQRPTCFIAATAGGASLNSSTFKFRKDDKVGAQGHGIDYECAVCLSAFEEGEEIRQLLGCNHYFHASCIDMWLFSHSDCPLCRSRVDAASSCRRHVVADTPEDSRENLPGENLHLMPLV >Manes.01G051590.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:11175936:11176958:-1 gene:Manes.01G051590.v8.1 transcript:Manes.01G051590.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLPKIQSNQGYNTSFHKWIVKNISDFEIVVENGYNDVNPWGVIRKYYPENWYFTPKDFSKSQEYYHNILEDTESVKIKHNFDKNNIIAYSSIQIKRVIHPKDWATSNLYTGLTFKTLKKNFTSYNYFDYIDAWKNIFNIQNSTHTHSWLIYFDQSKIKETIRFPNWFLKWWQYRGISEKILSSEVSQVYQYFKRNYIPNLNETHIPFLMYFCINFFIPWVYQWFFDFQHMAGTSIPAIVKKHKIKWWGSFRNTTTEMVVKEWILKKAQFPTVSYAHKLTLQQGEPSFGAQKAQCQALLAAAKTPEEFKIICQQMFNQLTPEEKEKENQNKHTGSNTQ >Manes.09G016735.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3566907:3567350:-1 gene:Manes.09G016735.v8.1 transcript:Manes.09G016735.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTIEDARFLTNNFKSASFTRPHDAIASFNHVIHMNPLPSRVHFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPAV >Manes.02G173200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13678207:13680069:-1 gene:Manes.02G173200.v8.1 transcript:Manes.02G173200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPVASNSCDDTKTGTTCPRGHWRPAEDDKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRKPFTEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQRERSKLSGKRSYQEGLSDSSSTPDDLHPRKSRSQDLFSSRIGFENGTRVLNFRNLSADRIFSVVSSSPSWTFAPAAITVSNTSPSAVEHLSSRKEGRDCFNNSSFYTTESFNISDHTSIYRCYPKSSMHRSSTVFGLPNYRRVVPSPFGYLKLEDEHENNIGMIKNEQVNFWDNSSMFKNNMKVSKQAEQGDQESIRHEEVQFIDFLGVGISS >Manes.18G070300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6340353:6354312:1 gene:Manes.18G070300.v8.1 transcript:Manes.18G070300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAQRRPPPVGVARGNGYTNGVVSTRSPATISEVDEFCYALGGKRPIHSILISNNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIIEMAEITCVDAVWPGWGHASENPELPDALNAKGIVFLGPPATSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPESCLISIPDEVYREACVYTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLDTVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYNAWRKSSVAATPFDFDLAESTRPKGHCVAVRITSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRTLAIANMVLGLKEIQIRGEIRTNVDYSVDLLHASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAVVSDYVGYLEKGQIPPKHISLVNSQVSLNIEGNKYMINMVRGGPGSSRLRMNESEIEAEIHTLRDGGLLMQLAGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVSDGSHIEADTPYAEVEVMKMCMPLLSPASGVIQFNMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQKCAASLNAARMILAGYDHNIDEVVQNLLNCLDSPELPFLQWQECLSVLATRLPRDLRNELESKYREFEGISSPQNNDFPAKLLRGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYERGRESHARLIVQSLFEEYLSVEELFSDNIQADEIERLRLQYKKDLLKVVDIVLSHQGVRSKNKLILRLMEQLVYPNPAAYRDKLIRFSQLNHINYSELALKASQLLEQTKLSELRSTIARTLSELEMFTEDGENMDTPKRRSAINERMEDLVNAPLAVEDALVGLFDHSDHTLQRRVMETYVRRLYQPYLVKESVRMQWHRSGLIASWEFMEEHIGRKNGSEKMSDESVVEKHCNRKWGAMVIIKSLQFLPAIISAALRETTHNHHESIPNGSLEPANFGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKEQEVGTSLHTAGVGVISCIIQRDEGRAPMRHSFHWSSEKLYYEEEPLLRHLEPPLSIYLELDKLKCYGNIQYTPSRDRQWHLYTVVDKPVPIQRMFLRTLVRQPTAHEGLTAYQGLGAEAPHAQWVMSFTSRSILRSLVAAMEELELNVHNTTVKSEHAHMYLCILREQQIDDLVAYPKRVDIDAGQEEAAVKRLLEELAREIHLSVGVKMHRLNVCEWEVKLWMSSSGQANGAWRVVITNVTGHTCAVHIYREIEDTSKHGVAYHSISAQGPLHGVLVNGVYQPLGVLDQKRLLARRSNTTYCYDFPLAFETALEQIWESQLTGIGKPKDTVLLKVTELVFADGKGSRGTPLVPVERPAGLNDVGMVAWSMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFFAVTDLACTKNLPLIYLAANSGARIGVAEEVKSCFKVGWSDESCPERGFQYVYLSPEDYTNIASSVIAHELKLPSGETRWVIEAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSCIPPCIGGTLPIISPADPTERPVEYFPENSCDPRAAICGTLDSSGKWLGGIFDRNSFVETLEGWARTVVTGRAKLGGIPIGIIAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSQINSEHIEMYADRTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLITMKVKLQEARSNGNYGMVESVQQQIKSREKQLLPVYTQIATRFAELHDSALRMAAKGVIREVVDWERSRSYFYKRLCRRIAEGSLIKTLKDAAGDQLSHKSAMDLIKKWFLGSDIARGREDAWGNDEAFFAWKDDSRNYEEKLQELRVQKVLLQLTSIGESMSDLKALPQGLAALLRKVDPSSRGQLINELREVLN >Manes.18G070300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6340334:6354350:1 gene:Manes.18G070300.v8.1 transcript:Manes.18G070300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAQRRPPPVGVARGNGYTNGVVSTRSPATISEVDEFCYALGGKRPIHSILISNNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIIEMAEITCVDAVWPGWGHASENPELPDALNAKGIVFLGPPATSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPESCLISIPDEVYREACVYTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLDTVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYNAWRKSSVAATPFDFDLAESTRPKGHCVAVRITSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRTLAIANMVLGLKEIQIRGEIRTNVDYSVDLLHASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAVVSDYVGYLEKGQIPPKHISLVNSQVSLNIEGNKYMINMVRGGPGSSRLRMNESEIEAEIHTLRDGGLLMQLAGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVSDGSHIEADTPYAEVEVMKMCMPLLSPASGVIQFNMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQKCAASLNAARMILAGYDHNIDEVVQNLLNCLDSPELPFLQWQECLSVLATRLPRDLRNELESKYREFEGISSPQNNDFPAKLLRGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYERGRESHARLIVQSLFEEYLSVEELFSDNIQADEIERLRLQYKKDLLKVVDIVLSHQGVRSKNKLILRLMEQLVYPNPAAYRDKLIRFSQLNHINYSELALKASQLLEQTKLSELRSTIARTLSELEMFTEDGENMDTPKRRSAINERMEDLVNAPLAVEDALVGLFDHSDHTLQRRVMETYVRRLYQPYLVKESVRMQWHRSGLIASWEFMEEHIGRKNGSEKMSDESVVEKHCNRKWGAMVIIKSLQFLPAIISAALRETTHNHHESIPNGSLEPANFGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKEQEVGTSLHTAGVGVISCIIQRDEGRAPMRHSFHWSSEKLYYEEEPLLRHLEPPLSIYLELDKLKCYGNIQYTPSRDRQWHLYTVVDKPVPIQRMFLRTLVRQPTAHEGLTAYQGLGAEAPHAQWVMSFTSRSILRSLVAAMEELELNVHNTTVKSEHAHMYLCILREQQIDDLVAYPKRVDIDAGQEEAAVKRLLEELAREIHLSVGVKMHRLNVCEWEVKLWMSSSGQANGAWRVVITNVTGHTCAVHIYREIEDTSKHGVAYHSISAQGPLHGVLVNGVYQPLGVLDQKRLLARRSNTTYCYDFPLAFETALEQIWESQLTGIGKPKDTVLLKVTELVFADGKGSRGTPLVPVERPAGLNDVGMVAWSMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFFAVTDLACTKNLPLIYLAANSGARIGVAEEVKSCFKVGWSDESCPERGFQYVYLSPEDYTNIASSVIAHELKLPSGETRWVIEAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSCIPPCIGGTLPIISPADPTERPVEYFPENSCDPRAAICGTLDSSGKWLGGIFDRNSFVETLEGWARTVVTGRAKLGGIPIGIIAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSQINSEHIEMYADRTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLITMKVKLQEARSNGNYGMVESVQQQIKSREKQLLPVYTQIATRFAELHDSALRMAAKGVIREVVDWERSRSYFYKRLCRRIAEGSLIKTLKDAAGDQLSHKSAMDLIKKWFLGSDIARGREDAWGNDEAFFAWKDDSRNYEEKLQELRVQKVLLQLTSIGESMSDLKALPQGLAALLRKVDPSSRGQLINELREVLN >Manes.18G070300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6340334:6354350:1 gene:Manes.18G070300.v8.1 transcript:Manes.18G070300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAQRRPPPVGVARGNGYTNGVVSTRSPATISEVDEFCYALGGKRPIHSILISNNGMAAVKFIRSIRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIIEMAEITCVDAVWPGWGHASENPELPDALNAKGIVFLGPPATSMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPESCLISIPDEVYREACVYTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLDTVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYNAWRKSSVAATPFDFDLAESTRPKGHCVAVRITSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRTLAIANMVLGLKEIQIRGEIRTNVDYSVDLLHASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAVVSDYVGYLEKGQIPPKHISLVNSQVSLNIEGNKYMINMVRGGPGSSRLRMNESEIEAEIHTLRDGGLLMQLAGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVSDGSHIEADTPYAEVEVMKMCMPLLSPASGVIQFNMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQKCAASLNAARMILAGYDHNIDEVVQNLLNCLDSPELPFLQWQECLSVLATRLPRDLRNELESKYREFEGISSPQNNDFPAKLLRGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYERGRESHARLIVQSLFEEYLSVEELFSDNIQADEIERLRLQYKKDLLKVVDIVLSHQGVRSKNKLILRLMEQLVYPNPAAYRDKLIRFSQLNHINYSELALKASQLLEQTKLSELRSTIARTLSELEMFTEDGENMDTPKRRSAINERMEDLVNAPLAVEDALVGLFDHSDHTLQRRVMETYVRRLYQPYLVKESVRMQWHRSGLIASWEFMEEHIGRKNGSEKMSDESVVEKHCNRKWGAMVIIKSLQFLPAIISAALRETTHNHHESIPNGSLEPANFGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKEQEVGTSLHTAGVGVISCIIQRDEGRAPMRHSFHWSSEKLYYEEEPLLRHLEPPLSIYLELDKLKCYGNIQYTPSRDRQWHLYTVVDKPVPIQRMFLRTLVRQPTAHEGLTAYQGLGAEAPHAQWVMSFTSRSILRSLVAAMEELELNVHNTTVKSEHAHMYLCILREQQIDDLVAYPKRVDIDAGQEEAAVKRLLEELAREIHLSVGVKMHRLNVCEWEVKLWMSSSGQANGAWRVVITNVTGHTCAVHIYREIEDTSKHGVAYHSISAQGPLHGVLVNGVYQPLGVLDQKRLLARRSNTTYCYDFPLAFETALEQIWESQLTGIGKPKDTVLLKVTELVFADGKGSRGTPLVPVERPAGLNDVGMVAWSMEMSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFFAVTDLACTKNLPLIYLAANSGARIGVAEEVKSCFKVGWSDESCPERGFQYVYLSPEDYTNIASSVIAHELKLPSGETRWVIEAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSCIPPCIGGTLPIISPADPTERPVEYFPENSCDPRAAICGTLDSSGKWLGGIFDRNSFVETLEGWARTVVTGRAKLGGIPIGIIAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAILDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSQINSEHIEMYADRTAKGNVLEPEGMIEIKFRTKELLECMGRLDQQLITMKVKLQEARSNGNYGMVESVQQQIKSREKQLLPVYTQIATRFAELHDSALRMAAKGVIREVVDWERSRSYFYKRLCRRIAEGSLIKTLKDAAGDQLSHKSAMDLIKKWFLGSDIARGREDAWGNDEAFFAWKDDSRNYEEKLQELRVQKVLLQLTSIGESMSDLKALPQGLAALLRKVDPSSRGQLINELREVLN >Manes.01G037400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7229568:7233706:-1 gene:Manes.01G037400.v8.1 transcript:Manes.01G037400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGALGAVVAALELSKTTKDRINTSPAFNSFKNNYLLVYSLMMAGDWLQGPYVYFLYSQYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCITYILSCITKHSPQYKVLMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDSFSLGPVAPFDAAACFLAIGMAVILSSWTENYGDPSESKDLLSQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMAHSSPRVESYMQIVFIVSSISLMLPIATNFLVSPSKVKGGGISFSGSMQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITVMFGMCSIFLFMASILQRRLMMIADKPKTQDWTAMKDRDTEADPLNA >Manes.14G137200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11993882:11996073:1 gene:Manes.14G137200.v8.1 transcript:Manes.14G137200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDCGFSGIIPVNQGICKLKHLQILDISYNDLSGNLPLCLANLSSLRQLDLSFNHFIGNVSSSPLGGLTNLEHLSLSNNLFQIPISLSPFFNHSKLKYVESRGNKIFAEADDQYLNPRFQLESFVLSDGGYYGAFPKFLYHQHNLQVVDLSHNQMRKGFPSWLLQNNTKLKKLYLINNSLSGPLKLPIHSHMNLSALDISDNFFQGFITPEIGTYLPRLTYVNMSGNGFSGSIPSSLGNMSLLERLDLSNNRLSGNIPKDLTIGCVSLQELILSNNSLQGQIFSETSNLRFLYELQLDGNQFTGSIPHSLSNSSFLRVLDLSHNNFIGRIPRWLGNMYFLRVLDLSMNNISGSLPSNFCPSNIQEIYLSRNGLQGSLEDAFYGCSELIVLDLGHNRMTGSIPSWIGKFSQLSYLILGHNHIDGEIPVQLCNLTQLSLLDLSHNHLSGPILPCLRSTSNSYRQQEGSYNASAPVSMDEPLEFTTKSTSYSYQGRMLSYISGIDLSCNHLTGQIPIQIGYLNEIHVLNLSHNSLTGKIPASFSNLRQIESLDLSYNNLEGNIPPQLTELNFLEVFNVSYNNLSGRTLDKVAQFGTFDESSYRGNPFLCGWPLPRNCTEMVSTPSKSRTSIENEESNGFMDMGVFYISFGVAYSMVLLTIAAVLYINPYWRRVWFYFIEVSIENCYYFIIDNLVVLSKFRFCS >Manes.10G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:19865487:19867995:-1 gene:Manes.10G082800.v8.1 transcript:Manes.10G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVCLAMEVEFDSKMLLSFVFIVFFGVVIRLYNVLVLKPKRLRSILKKQGINGPAPTFLLGNITDIKKAQSSVVKATSANDSPLLHNCAALIFPFFELWRKEYGKVFVFSLGNTQILNLNQPDVVKEITTCVSLDLGKPSYQQKDRGPLLGQGILTSNGAFWSHQRKILAPELYMEKVKGMVNLITESTLILINSWKRVIEKDGGVADIKIDEGMRSFSADVISRACFGSNYSRGEKIFLKLRHLQEAMSKKSLATGIPGMRYLPTKSNREAWALEKEIRNLILEVVKERQEGTYEKDLLQMILEGAKNSNLSREAMDRFMVDNSKNIYLAGYETTAVSATWCLMLLASNPEWQDRVRAEVLEICGGGMPDSDMVRKMKLLNMVIHEALRLYPPVPVISREAFKDMKFGNIKVPREVNIWTMVLPLHTDPEIWGSDSYKFNPERFANGISGACKYPFLYMPFGVGPRVCLGQNLAMVELKIVIGLVLSNFCFSISPKYIHSPTLRLVIEPQHGVNLLIKKLNE >Manes.06G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6550720:6552361:1 gene:Manes.06G028200.v8.1 transcript:Manes.06G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEAETLKNLTGGWTVASRRCDSCKTAAAALFCRADSAFLCLNCDTKIHAANKLVSRHERVWMCEVCEQAPAAFACKADAAVLCVTCDADIHSANPLARRHERVPVEPFFDSAESIVKSSPFNFLVPTDQNGGPDGHHRQDDNMESISWLLPNPSNLNSKLSLENLEMKTAGDLFFPEMDPFLDMEFHQSHSTVTDSLVPVQTKPAPIPIINKEICYDVDFCRSKLSSFNYPSQSLSQSVSSSSLDVGVVPEGNSMTDFGRNTSTSTDPSAHISDSSSNQATQICGINREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEIECDGDGLYDSSMSFLSDAQYGVVPSF >Manes.04G162800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35659475:35664066:1 gene:Manes.04G162800.v8.1 transcript:Manes.04G162800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGGGSRISHHLRESSYLRHHQLGFCKTRIVQLSSLIFTPSSVTIIADNCNNRHFRLRLKHRMVSSFSLQSKSSGEIPIVSDCFSQEEDDHQIPSEGLSPVAGGIVALGKFDALHIGHRELAIQASKVGSPYLLSFVGIAEVLGWEPRAPVVAKCDRSRVLTSWAPYCGNIAPSEFHIEFSSVRHLSPQQFVEKLSKELRVCGVVAGENYRFGYKAAGDASELLRLCEEYGMGAYIINSVMDKNKDSGIIDLNDLKDRGQVSSTRVRHALALGDMKYVSELLGRRHRLMLMLKEEKGFTNSSGRWKASIPKSCLLNLPPKDGFYENCFLLFCDENMVKCSVFIDSTFIHLETDEVGLNNFSVSQDFQLLGVEFGH >Manes.04G162800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35659349:35664614:1 gene:Manes.04G162800.v8.1 transcript:Manes.04G162800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGGGGSRISHHLRESSYLRHHQLGFCKTRIVQLSSLIFTPSSVTIIADNCNNRHFRLRLKHRMVSSFSLQSKSSGEIPIVSDCFSQEEDDHQIPSEGLSPVAGGIVALGKFDALHIGHRELAIQASKVGSPYLLSFVGIAEVLGWEPRAPVVAKCDRSRVLTSWAPYCGNIAPSEFHIEFSSVRHLSPQQFVEKLSKELRVCGVVAGENYRFGYKAAGDASELLRLCEEYGMGAYIINSVMDKNKDSGIIDLNDLKDRGQVSSTRVRHALALGDMKYVSELLGRRHRLMLMLKEEKGFTNSSGRWKASIPKSCLLNLPPKDGFYENCFLLFCDENMVKCSVFIDSTFIHLETDEVGLNNFSVSQDFQLLGVEFGH >Manes.10G089158.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23013327:23014512:-1 gene:Manes.10G089158.v8.1 transcript:Manes.10G089158.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGRIMASLLGFVLLYRITAKKKATTSRGVARYEKLESSENGIDQAEKDNKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTK >Manes.05G202440.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32617666:32618410:1 gene:Manes.05G202440.v8.1 transcript:Manes.05G202440.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALYGQPPRPNQPDNTSKSAAYLAQSNEKGLLPQPTNKSQELNSIILTRKDYKEYLQFQTAKQHPLSSSIAHSCNSFACLTKCSPNCFGNHNLFSTLVSPSTPFKVTLANDSQTQVKGIGKVQFLPSISLTTILFSPECPYILISISKLTKNLNYSVTFTTDSIVVQDRVLREYLEQDLSHKDCTTSPVAFVSTTSAELIHNHLGHPSLLKLQKIVPSLSSLSSLACESCQLGK >Manes.10G028600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2896563:2905035:-1 gene:Manes.10G028600.v8.1 transcript:Manes.10G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTILAIVPTIIEFTVVPIKRHLCYAFNYKSKVEKLKNQLQKLTRQRDDLLQSVDQATRQGDRVNNSVQEWLTSVNKAIEEAEEILIGEQQAKEKCFFGVIPDLKKRYKLSKKADKEALAVVELQDEGRFDRISYRPLLEPIVVPSIYDNEALHSRVSILKKVMDALMDPAVDMIGVYGMGGIGKTTLANEVHRKAIEDKLFDVVVMATVSETPELRKIQGTIADMLGLKLEEETEEGRACRLHQRLVNEKKILIILDDIWEKLEPKKVGIPFGSDHKGCKLLLTSRREDILSREMGTQESFELRVLSEAEAWSLFQTMVGDITNQALHSVATEVAKKCAGLPVLIVTVARALKNKDLHEWKLALKELSRVDNEGIQAKVYSALELSYNHLASHEAKSLFLLCAQIAQGDIRIRDLLIYSMGLDLLRSKYTVEDARNRVDKLVSNLKASCLLLDCNKNGYVKMHDVVRDAALSIASKSQHLLTFRDIESKIWPNRDLKNCSRIYLPYCEIDQLPERLECPELELLVLGRGNIHSEGPDLKISDLFFEGITKLKVLRFTGMCLWSLSPSLGYLTNLLTLCLDGCVLRDASVIGELERLEILSFRESKIEQLPGEIAQLTRLKLLDLSNCYKLQVIPANVISRLSLLEELYMENSFCQWELQSLSNSSKASLAELKYLSHLTTLEIYIPDSKMLPKDLFSNKLERYIIVIGKRWYWGDEYESSRMLKLNTSVYLDHGVGILLKETEDLSLNEVKGIKSILYDLNWEGFPQLKHLQIRKGYDIQYIINSTARVLNSDAFPILESLHLENLVSLEKLYHGQLTAGSFTRLSILKVNKCNRLKNLLPISMIRSLSQLREMEVSNCKSMEEIVLDDSGVGDDKIEVAEFAQLRSLTLRRLPILKSIWFKVKAMPALQMQTTNEQGFEGVALQDEFHSPLPLFDKMVSFPKLEVLNVYSVGCENKQDDLFFADSSNSMSSSSVLPCQDLKYLFTTSFVKTLLQLKKLQIEDCEFMEGIILAEEFVEEMMNKILFLNLNELNLKNLPNLTRFCDGHLIDFCCLDKLSIVECPAFKTLVSNPLCADIMVSKKPKEVDLDRNQDTASPPLFDEKVAFPSLESLCINGISNLEMTWHTQLPEGSFCKLKSLSIQDCKNLKTLFPSNNLARFQRLEGLSLFDCHSLQEIYQLQGFNAEEASSVLSFDLKQLYISGLQGLKNIWSTDPQGILTFQNLESIHLLKCKILKNLFPSSIAKDLLKLGSLQLDSCGIEEIVTKAEGVEAAPSFVFPRLVSMRLEGLPKIRNFYPGTCHLEFPKLKYLTVLRCGKGIQFASDFFNLQEKYGEDQCNNSIQQPMSLAEKIFISLEQLSLDGQVIEAIIQYQFQKKFFFNVKSINLHHIQEKSSIALFGFLQGLSNLESLCVRDSSLEELFRNEGLDDGTTVPLIRKLNLYLLGDLKHMWKPHPKLDLALAYVEAMTVSGCPNLINLAPASASFQDLTTLEVGCCKALKHLVTSAAAKSMVQLLTMKIRTCKMLTEIVTDEGDGTEEIVFCKLKTLELVHLQSLTGFCLGGLTFKFPCLEVITISGCPNMRIFCGGILSTPKLQCVDLQEIHFQSWRWEGNLNATVQQSYLEMEGFYHIWNMKLSKFPHLREKWQSQLPLNFLVNISKLAVDKCEFLPKALSSNQMQFLERLKVLIVEQCDSLEKIFDLEGMNADEGHAGLMPWLQELHLIDLPKLRHIWSKDPQGILSFKNLKLLNLYNCSSLRNIFTLPMALDLVRLESMEVNRCNMLEHIINKEGEREDEEVWDKTIFPSLQSISLESLPSLTSFYSGSDVLCPSLKQVDIVDCPKMMNPFPQFQ >Manes.16G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4032508:4033454:-1 gene:Manes.16G033000.v8.1 transcript:Manes.16G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHKEYLDLILVPGGFLIIFSYHIFLLSKHLNDSDSTFIGLENKDKKQWVQTIVGVEAACDRAVNVISSNTAAATYLAAISLTLCSLIGTWLGRSSANDMFQSISIYGDTSSLTIFIKDISLLGCLLVAFSCFVQAARHLVHANYLMSSLDKKNHVKKLQFAVIKGADFWLFGIRALYFALIMVIWFFGPIPMFVSSILMVIILYYHDIYTVTLHNVYVEQETQKNILI >Manes.03G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2075670:2084873:1 gene:Manes.03G025500.v8.1 transcript:Manes.03G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEAIMVDCVNNSLRHFMYRNAIFMCERLCAEFPSETNLQLLARCYLQNNQAYSAYHILKGTQKDQSRYLYAVSCFQMDLLNEAEAALCPSNEPNAAVPNNAAGHYLLGLIHSYTNRRKSAVHHFKQALAIDPLLWAAYEELCILGAAEDATAFFSEAAALCIQKQYINHASASQNVNISSEDYNLLSTRNFSSEDVSSQQLMHNQGNNLRDIPAAATLGGAVNQPTNVGLPNSFYNTPSPVPTGPTQLSGVAPPPLCRNPQPNGPNTSMLSTDNSMKSIVNSIIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGANSNASATLVAGNVIGNSSKYLGGSKLSSMSLRSVTFRRGQSWGNENSDEGICNETFDDSHANVTTNSTSSLSGDARSLENEGASVPVGGVIMSASRVLSGASEILGLLRILGDGYRLSCLYRCKDALDTYMNLSHKHYNTGWVLSQVGKAYFELVDYLESARFFSLAHRAAPYCLEGSDIYSTVLYHLKEEMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLDSRFAYAHTLCGHEYVALDDFENGIKSYQSALQIDARHYNSWYGLGMVYLRQEKFEFSEHHFRVAFQINPCSSVLMSYLGTALHALKKNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALGVLEELKEYAPGESSVYALMGKIYKRHNMHEKAMLHFGLALDLKPSAADVATIKAAIEKLHVPDEIEDNL >Manes.17G015102.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7644358:7675301:1 gene:Manes.17G015102.v8.1 transcript:Manes.17G015102.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCTTYLLLLFAFASGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASEHRKNSWVTLKYLDRLTVSGGGAFDGQGEIAWQQKSCGDGCKKALPVNLRFDFVTNSIVKDVTSIDSKQFHINLLGSKNLTFQRFLVKAPGHSPNTDGIHIGRSEQINIINSNIITDDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVYGIYVKNCKIYDTGNGVRIKTWPLVSNIHFEDIVMQNVNNPIIIDQMYCPHNLCNCKKPAKVKISDVSFKNIQGSSRTQTTVQWTCSSSVPCKNVELSNVNLRYTGSKGPAKSICTNVKSKIIGKLIPRGC >Manes.08G012200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1391438:1396412:1 gene:Manes.08G012200.v8.1 transcript:Manes.08G012200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKIIKGGHKKPSKSDGNDYGFGPPGNRNPGSGPASNVVVNHASRTAPGPAAPNIGTTVTAPPPMNSMEALPLFRDVPVSERQNLFLRKLQVCCFQLDFSDTLKSVREKEIKRQTLLELVDFIQSGSGKITEICQEQMIRMVSVNIFRSLPPASYETTGQEALDPEEDEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKKYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIHETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPISLYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPLTNCQKEILFLGELEEVLEATQSAEFQRCMVPLFRQIARCLTSSHFQANLCCRLQKEPFFCGTMNTL >Manes.08G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1391438:1396412:1 gene:Manes.08G012200.v8.1 transcript:Manes.08G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKIIKGGHKKPSKSDGNDYGFGPPGNRNPGSGPASNVVVNHASRTAPGPAAPNIGTTVTAPPPMNSMEALPLFRDVPVSERQNLFLRKLQVCCFQLDFSDTLKSVREKEIKRQTLLELVDFIQSGSGKITEICQEQMIRMVSVNIFRSLPPASYETTGQEALDPEEDEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKKYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIHETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPISLYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPLTNCQKEILFLGELEEVLEATQSAEFQRCMVPLFRQIARCLTSSHFQVAERALFLWNNEHIVSLIAQNGSVVLPIIFEALEKNIQSHWNQAVHGLTVNVRKMFLEMDAEMFEMCQKQYQEKESRAKELEEQRETTWKMLADVAAQKGGEDMVSV >Manes.10G066000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9529041:9539612:-1 gene:Manes.10G066000.v8.1 transcript:Manes.10G066000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKSNYEKIRQKRLEENKKRMEELNLKKLAVDLRTTSPKTSPVKQVKPRLPRLSTELVPVRRSSRFADKSPVSYKEVAFEPLERPRSYQRRDLLNRIYASDEVRAHAIDRAEQLQSGLEADFPSFVKPMTQSHVTGGFWLGLPIHFCKDHLPSRDEYITLVDENGDGTETKYLALKNGLSAGWRGFAIDHKLVDGDAVVFQLVSPREFKVYIIRAYESEDNEEENDKENDEEQENDKENNEDAGQDVDVTPLNKRAKRTRASRK >Manes.10G066000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9529041:9539612:-1 gene:Manes.10G066000.v8.1 transcript:Manes.10G066000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKSNYEKIRQKRLEENKKRMEELNLKKLAVDLRTTSPKTSPVKQVKPRLPRLSTELVPVRRSSRFADKSPVSYKEVAFEPLERPRRSYSYQRRDLLNRIYASDEVRAHAIDRAEQLQSGLEADFPSFVKPMTQSHVTGGFWLGLPIHFCKDHLPSRDEYITLVDENGDGTETKYLALKNGLSAGWRGFAIDHKLVDGDAVVFQLVSPREFKVYIIRAYESEDNEEENDKENDEEQENDKENNEDAGQDVDVTPLNKRAKRTRASRK >Manes.03G195100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31338562:31339345:1 gene:Manes.03G195100.v8.1 transcript:Manes.03G195100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTHQNDTKYHQISPTKPKTTKPKSNILSFCSLFVITVIPLCLNIAIIYLFGSSKKYQAIVKPLWFPSLTLIHIASVGSTLLMGVAAWLVWVDGGLLVDSDALPLYISQVSLSIVWDPLVLRIGEAWLGVIFCLVNLGTLFACYCTFRDVSPSCKYLVMPCLAWLGYLTLCTSMLL >Manes.11G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5900574:5903100:1 gene:Manes.11G051300.v8.1 transcript:Manes.11G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDLGLEIVTELRLGLPGGEGLSSNKNEKKRVFSEVSGDANSASNDRKIPMKSLVVGWPPVCSYRRKNSFNEKEGLETSKMYVKVSMDGAPFLRKIDLGMHKEYSDLVVALEKLFGCFGIGKALQDADSSEYVPIYEDKDGDWMLVGDVPWEMFIESCKRLRIMKRSEAKGFGLQQKIGIKGTIFSKDHDRN >Manes.14G073500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6099108:6101602:-1 gene:Manes.14G073500.v8.1 transcript:Manes.14G073500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNLNTKWFSTVASIWIQCTSGSLYTFSIYSPTLKSTQSYDQSTLDTVSVFKDIGANCGVLSGVLYTKATSHHHHQRTSTISSGPWVVLLVGAIQCFAGYFLMWAAVSGLIRPPPVVVMCLFMFVAAHAQSFFNTANVVTSVRNFPSYSGTAVGIMKGFLGLSGAILVQVYQTLFNNRPTSYLLMLALLPSINPMIQMWFVRIHSVSEGDEKKYLDSFSVVSLVLAAYLMIIIILEHVFSFQFSMRVVAFILLMLLLVSPIFVAIKTREKNSDIISERNQLVDESKMLARHGPSGYRSLPDDPEGSSCLQNDQDLNLLQAACTVDFWILFLAMACGMGSGLATVNNLSQVGGSLGYASFETNTLVSLWSIWNFLGRFGAGYISDQFLRSRGWSRPLFMVITLAGMTIGHLVIASGLPGALYAGSVLVGVCYGSQWSLMPTIASEIFGVGHMGTIFNTITIASPVGSYIFSVRVVGYIYDRESSGEGITCTGTHCIMLSFLIMASATFLGALAALGLFLRTKGFYNRIVLVSLQHSMTGRP >Manes.14G126800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10069900:10075577:1 gene:Manes.14G126800.v8.1 transcript:Manes.14G126800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFGRTRNQPRSNRSMPLGGLDYADPKRKGNHMGKILLAAALTALCIIMLKQSQTFNAPSLFSLHEEGVTHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHEVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSHMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARNIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGSSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLQQSLHTAWRWQKSHRNGYGSSLVMAA >Manes.14G126800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10069900:10075587:1 gene:Manes.14G126800.v8.1 transcript:Manes.14G126800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFGRTRNQPRSNRSMPLGGLDYADPKRKGNHMGKILLAAALTALCIIMLKQSQTFNAPSLFSLHEEGVTHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHEVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSHMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARNIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGSSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLQQSLHTAWRWQKSHRNGYGSSLVMAA >Manes.14G126800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10069882:10075596:1 gene:Manes.14G126800.v8.1 transcript:Manes.14G126800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFGRTRNQPRSNRSMPLGGLDYADPKRKGNHMGKILLAAALTALCIIMLKQSQTFNAPSLFSLHEEGVTHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHEVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSHMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARNIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGSSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLQQSLHTAWRWQKSHRNGYGSSLVMAA >Manes.14G126800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10069878:10075607:1 gene:Manes.14G126800.v8.1 transcript:Manes.14G126800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFGRTRNQPRSNRSMPLGGLDYADPKRKGNHMGKILLAAALTALCIIMLKQSQTFNAPSLFSLHEEGVTHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHEVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSHMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARNIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGSSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLQQSLHTAWRWQKSHRNGYGSSLVMAA >Manes.14G126800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10069894:10075596:1 gene:Manes.14G126800.v8.1 transcript:Manes.14G126800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFGRTRNQPRSNRSMPLGGLDYADPKRKGNHMGKILLAAALTALCIIMLKQSQTFNAPSLFSLHEEGVTHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHEVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSHMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARNIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGSSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLQQSLHTAWRWQKSHRNGYGSSLVMAA >Manes.14G126800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10070091:10075596:1 gene:Manes.14G126800.v8.1 transcript:Manes.14G126800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFGRTRNQPRSNRSMPLGGLDYADPKRKGNHMGKILLAAALTALCIIMLKQSQTFNAPSLFSLHEEGVTHVLVTGGAGYIGSHAAMRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTMDPLKYYHNITSNTLVVLESMAAHEVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSHMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARNIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGSSVKEFVEACKKATGVNIKVDYLPRRPGDYAEVYSDPTKIRLELNWTAQHTDLQQSLHTAWRWQKSHRNGYGSSLVMAA >Manes.14G059000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4928335:4930404:-1 gene:Manes.14G059000.v8.1 transcript:Manes.14G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPQLLEQLGNSPLLILISLASLAFSFKILVFKRSPPSTKRTLPPTPPSFPIIGNIHQIGLYPHRSLRSLAQTHGPIMLLHVGSVPLLVISSAEMAREITKTHDLVFADRPRSAIAEKLLYQGKDVAAAPYGEYWRQMKGISVLHLLSNKRVQSFGHVRQEETALMIERIKKSSSSSSPVNLSEILATLTNDVICRVALGRKQIATKQGRNFKELFRDFMELFGINIGDYIPWLAWVNHVNGLNAKVERVAKELDNFLDEVVDEHMRNDGWQREHKKDFVDVLLWIQKENTAGFPIDRTSIKALILDVFSAGTDSTYTVLEWAMTELLKHPEIMNKLQNEVREVANKKPEITGNDLDKMPYLKAVIKETFRLHPPLPLLVPRLATQDVKLKGFDIAAGTQVIINAWAIGRDPASWDQAEKFWPDRFLNTCIDVKGHDFQLIPFGAGRRACPGIQFAISIEELALANLLYKFDWTIPNQAREGDLDMTESIGLTTHRKYPLLAVPSPYSY >Manes.02G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5632085:5633609:1 gene:Manes.02G073400.v8.1 transcript:Manes.02G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGTTEKCKACDKTVHFIEMITADGIPYHKTCFKCKHCNGLLVMSSYSSMDGVLYCKPHFEQLFKENGNYNNKYSSSGEKKTAQSKAPTKFSSMFSGTQDKCARCHKTAYPLEKVSVEGESYHKTCFRCSHGGCYLTTSSYAALDGVLYCKPHFAQLFKEKGSYNHIKVKQHEAEATEETEKPGGEEETKATPAPEPETEEVAAQDQS >Manes.02G073400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5632481:5633609:1 gene:Manes.02G073400.v8.1 transcript:Manes.02G073400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSSMDGVLYCKPHFEQLFKENGNYNNKYSSSGEKKTAQSKAPTKFSSMFSGTQDKCARCHKTAYPLEKVSVEGESYHKTCFRCSHGGCYLTTSSYAALDGVLYCKPHFAQLFKEKGSYNHIKVKQHEAEATEETEKPGGEEETKATPAPEPETEEVAAQDQS >Manes.04G099450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30385836:30389943:1 gene:Manes.04G099450.v8.1 transcript:Manes.04G099450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLHYSSQLIFLGLLITEIAAAAAAKPTISKPGCQSRCGNVEIPYPFGMGARSCYLDDWFKIDCCTNATSNSTRAFLVKINMEVLNLYYKNATLRVSSPIISSNCPGRKTGRAVILTRSPFSFSDEKNSYFALGCNSRFLLTSTEPDLVGRYKNPCRGKDCYQVMTRGPLQEFNVSFVDDNHAGEKGKERCKRGFMVENEWIWSREEEDPYEMVRDRDYVPVILKWNIEMRKMSLLNKDGERTKAIIDGGGTSFRLVCKHGYAGNPYLRDGCQDIDECQDPKVRKGCRGTCLNTPGSHKCQDRKHWIIILVISVVFGVLLLVIWIWWLKKCMKRRNKKKFFKRNGGLLLHQELCSSQGNVEKGEIFSLKELEKATDQFNINRILGQGGQGTVYKGMLVDGRIVAVKKSKIIDEAQLDEFINEVVILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLHHYLHEQNVEFQLSWKMRLRIAVEVSGALSYLHSAASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSIAFDQTHVTTNVHGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLTGKKPISETKSGGGIGLAAHFIFSMEKDQLFNIIDPRITIADDNVKEEIMIVANLAKRCLNLNGKKRPTMKEVTLELEGIRIFQKSFNVQQNSTERISIQSSSSSSSFGVFSIDMETEELVCNQTW >Manes.02G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5240429:5241431:-1 gene:Manes.02G068400.v8.1 transcript:Manes.02G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGTPITPINSEAAANGQGPPLKAYDIRHVSKDENSAASNDPNRVKTRCRVRRVVKPKVSDNKPCAGVGFGSVVEPARDELTRSTSHESSVSHQSELAMVDGESKETESMVSVETAEASLMFRAEPESAVKGNEGVHDHASTEMAGVGLELTLGLEPVSRASHMVPVKKRKLEVEAYGYGSSDDESCKIELGLDYPACH >Manes.04G031100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3935714:3936690:1 gene:Manes.04G031100.v8.1 transcript:Manes.04G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREREEAGGTELALDMANCLMLLSKVGQTEAPTGRLFACKTCNRKFTSFQALGGHRASHKKPKLIGDDLLTSSPPKPKTHECSICGLEFPVGQALGGHMRRHRGGIGKNMDDARPLFPVPVMKKSTSKRVLCLDLNLTPVENDLTLQLGKIVQTSVFNCYI >Manes.17G027600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19743363:19748166:1 gene:Manes.17G027600.v8.1 transcript:Manes.17G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMACPCPDVYVKYELKEERSLYLVLLEGEPVAFHDPRKLELNSEISQAHAKRLDSHDQLLQRTLEIGSYSKLYSFKHIVNGFAVHVTPSQAEKLKDAPGVKMVERDRGAKLMTTYTPHFLGLPQGVWTQEGGAKNAGEGIVIGFVDTGIDPLHPSFANDPLNPFTSNISHFSGACETGPRFPPSSCNGKIVSARFFSAGAQAVATLTPMDFLSPIDAVGHGSHVASTAAGNAGVPVVTNGFYYGKASGMAPRARIAVYKAVYPTVGTITDVVAAMDQAVMDGVDILTLSVGPDEPPEDTLTFLSVFDVFMLLAQRAGVFVVQAAGNNGPAPSTVVSYSPWAVGVAACSTDRNFRGSLLLGNGQKVGGVGLSAPTFGGGLFLHKLVLAQDAVKANGTFPRTPQCVEECQCTESLDPNVVRGSIVICTFSAGFSNDTSTITAITNTARTLGFKGFALVANPTYGDFIAEPVPFAVSGIMIPKVADAQIISRYYEQQIRRNERGFVTDFRARAAIGEGRVASFGGRAPIVSRFSSRGPDIIDINKHLADVLKPDIVAPGHQIWAAWSPLSALDPLLTGNTFALLSGTSMATPHVVGIAALIKQLNPSWTPSSISSALSTTATKYDNYGELILSEGYDIDSFYPSTHFDVGAGLVNPTRAIDPGLVFISEFDDYISFLCSLPNISPTTIKIVTGETCRKPLSHPANLNLPSVTISALRGSLRVQRSVKNVGSKPEIYLCSVISPNGTMVNPSPTWFAIAPQGTQNIDIQFRVTQAGDVFSFGEIVLTGSLNHIVRIPLSVLPVSIS >Manes.04G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30968437:30974973:-1 gene:Manes.04G104600.v8.1 transcript:Manes.04G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSAARKTGQSNSTAPITSSATDLFRSASSKASSKEMERIDNLFYSYANRSSGLIDPEGIENLCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRADTVNKLKKALPELEKEVKRPSNFVDFYAYSFRYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFYHFCTEISFPDLSNYDPALAWPLILDNFVEWMQEKRT >Manes.13G077544.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12243520:12246059:-1 gene:Manes.13G077544.v8.1 transcript:Manes.13G077544.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIWSEKDEFDLMPETEKVKESDVNDDEEVDIVTVEKDAFSDSDISQEELCFLPAIPCPDVPEQQDKCVGSSSKLDSNHSGSPLSEGAGQNGAAVNHASSPLEEDTGGTRIKRKRKPSEKGLELQAEKVRKPLKPLKSSGRLSKLKNKYVVDLDISNGGVYGDDVSDE >Manes.11G131300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29583528:29585465:1 gene:Manes.11G131300.v8.1 transcript:Manes.11G131300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLAASEYSSSDLDTQSTGSFFHDRSITLGSLIGVSNILEFSRKPSRVRKVEGLKESKSNKKHKTWLFSLCSRNTTDAQSVNNPPSLAHFLAVERRAANEYRRNQSPNNSLFVNGEIAPPRVRPWHETDAERRRNGGLGHSSGHGVPVLFSCMCGESC >Manes.11G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29583528:29585465:1 gene:Manes.11G131300.v8.1 transcript:Manes.11G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEEGWPLGLQPLNVRVGLSRNDEFSGSVSFKTLLTGSPTSSTDSSSDLDTQSTGSFFHDRSITLGSLIGVSNILEFSRKPSRVRKVEGLKESKSNKKHKTWLFSLCSRNTTDAQSVNNPPSLAHFLAVERRAANEYRRNQSPNNSLFVNGEIAPPRVRPWHETDAERRRNGGLGHSSGHGVPVLFSCMCGESC >Manes.11G131300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29583527:29585466:1 gene:Manes.11G131300.v8.1 transcript:Manes.11G131300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLAASEYSSSDLDTQSTGSFFHDRSITLGSLIGVSNILEFSRKPSRVRKVEGLKESKSNKKHKTWLFSLCSRNTTDAQSVNNPPSLAHFLAVERRAANEYRRNQSPNNSLFVNGEIAPPRVRPWHETDAERRRNGGLGHSSGHGVPVLFSCMCGESC >Manes.03G086209.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17013992:17014396:-1 gene:Manes.03G086209.v8.1 transcript:Manes.03G086209.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQKNECWESRNPRSLQCRLQVIEKAIRKLNGCYRQVENLHPSGASEQDLLNQAKTLLMQDPSYKKGFKFDHVWSMMKDTEKFKDCSSKKNSSKSKLLLCVLRVGQSYS >Manes.13G084900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14002699:14018702:1 gene:Manes.13G084900.v8.1 transcript:Manes.13G084900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVCIGWFIVLATVAAGATMAESGGGDVAYDGRSLIIDGQRKILFSGSIHYPRSTPEMWPSLIAKAKEGGLDVVQTYVFWNLHEPQPGEYDFSGRYDLVKFIREIQTQGLYACLRIGPFIESEWTYGGLPFWLHDVPGIVYRSDNQPFKFYMQNFTTKIVNLMKSEGLYASQGGPIILSQIENEYGNIEAAFGENGTSYVRWAAKMAVDLQTGVPWIMCKQNDAPDPVINTCNGMRCGETFGGPNSPTKPSLWTENWTSFYQVYGGEAYIRSAQDIAFHVALFIAKNGSYVNYYMYHGGTNFGRTASAYVITGYYDQAPIDEYGLIRQPKWGHLRELHSVIKSCSSILLEGMQTNFSLGPLQQAYVFEAQGAGCAAFLVNNNSNNATVEFRNKSYDLLPKSISILPDCEKIVFNTAKVNVESNKRIMTSTYKLKDVDKWESYRDVIPNYSDTTIRSNSLLEQMNSTKDKSDYLWYTLTFQPNSSCTQPLLHLQSLAHVAYAFVNNKFAGSAHGSKNDKGPFIMEVPIALNDDGMTNNISILSVMVGLPDSGAFLEKRYAGLTRVEIQCTLEKDLYNFTTDYQWGYQVGVVGENLQIYKGELLDSVDWWKADFSTAQPLTWFKTKFETPKGNDPVILNLTTMGKGQAWVNGQNIGRYWISFLTSKGHPSQTWYHVPRAFLNMSSANLLVILEESNGDPSKISVNTVSTKTLPHYISSNHFRKNSM >Manes.03G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19483854:19495195:-1 gene:Manes.03G089100.v8.1 transcript:Manes.03G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRKTTTSPGDASSKQPKKVVAEEEVVQLNSEEEEVNQVDEQGAKMSSDVKPHSEKSDSRRSAENAASEEESTKNESVSGHVTDEDGPEARFVGDPLPDEEARTRWPHRYVKKSNKQAIGSKSSNVKDDCEEILPARRHYTQAEVDGRLIYNLYDDAHVKAEDGEDSYICKIVEMFEATDGKCYFTAQWFYRARDTSIKHAYDIDKKRVFFSEIRDDNPLDCLVEKLNIVKMPLNMDLEGKKAKILSCDYFCDMLYLLPYSTFVKLPPENLTASNESSSTLSSDDDVNEVKSTSDAHKKSEVTLLDLYSGCGAMSTGLCLGANLSGLNLVTKWAVDLNKYACESLRLNHPETEVRNESAEDFLLLLNEWEKLCIRFSLISSNDPEKQQSYPFDSEEEGDVQGDDEDENDDEVFEVAKVLQICYGDPKEKGERGLHFKVSWKNYGPEYDTWEPIDGLGNCQEAIKEFVTNGYKSRILPLPGDADVICGGPPCQGISGFNRFRNRNNPLQDEKNKQLIVFMDVVKFLKPKFVLMENVVDILKFADGFLGRYAMGRLIEMNYQTRIGMLAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVIVRGVVPVEFESNVVAYNEGCVAQLEKKLFLEDAISDLPPVENNEHRDEMPYTTDPKTEFQRQIRLRKDELLDASLKSNPSECLLYDHRPLELNNDDYQRVCRIPKKKGGNFRDLPGVRVRPDNKVEWDPDVKRVYLDSGKPLVPDYAMTFVNGTSSKPFARLWWDETVPTVVTRAEPHNQAIMHPEQDRVLTIRENARLQGFPDYYKLCGPIKERYIQVGNAVAVPVARALGYALGMAFQGSANSEPLLTLPKKFPNIVEQISSDSSKDNV >Manes.03G089100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19483854:19492054:-1 gene:Manes.03G089100.v8.1 transcript:Manes.03G089100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEATDGKCYFTAQWFYRARDTSIKHAYDIDKKRVFFSEIRDDNPLDCLVEKLNIVKMPLNMDLEGKKAKILSCDYFCDMLYLLPYSTFVKLPPENLTASNESSSTLSSDDDVNEVKSTSDAHKKSEVTLLDLYSGCGAMSTGLCLGANLSGLNLVTKWAVDLNKYACESLRLNHPETEVRNESAEDFLLLLNEWEKLCIRFSLISSNDPEKQQSYPFDSEEEGDVQGDDEDENDDEVFEVAKVLQICYGDPKEKGERGLHFKVSWKNYGPEYDTWEPIDGLGNCQEAIKEFVTNGYKSRILPLPGDADVICGGPPCQGISGFNRFRNRNNPLQDEKNKQLIVFMDVVKFLKPKFVLMENVVDILKFADGFLGRYAMGRLIEMNYQTRIGMLAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVIVRGVVPVEFESNVVAYNEGCVAQLEKKLFLEDAISDLPPVENNEHRDEMPYTTDPKTEFQRQIRLRKDELLDASLKSNPSECLLYDHRPLELNNDDYQRVCRIPKKKGGNFRDLPGVRVRPDNKVEWDPDVKRVYLDSGKPLVPDYAMTFVNGTSSKPFARLWWDETVPTVVTRAEPHNQAIMHPEQDRVLTIRENARLQGFPDYYKLCGPIKERYIQVGNAVAVPVARALGYALGMAFQGSANSEPLLTLPKKFPNIVEQISSDSSKDNV >Manes.18G066800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6007503:6011022:-1 gene:Manes.18G066800.v8.1 transcript:Manes.18G066800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSQDFSQLNISEEEKDKLVAEVIRYVLFKTHQNSGCPIKRDELTQIVTKNYHQRLLPALVINEAIAKLSSIFGFEMRELQRSRPSSTNQQSTTDTKSYVLISQLPADVYSKHVDDVNTAHLTGFTFVVISIVHLAGGKIPEEQLWHHLRRMGLHETDESNPVLGNIKQALEMLVQQRKTKLMALKVIPWFMSLLREL >Manes.18G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6007503:6011022:-1 gene:Manes.18G066800.v8.1 transcript:Manes.18G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSQDFSQLNISEEEKDKLVAEVIRYVLFKTHQNSGCPIKRDELTQIVTKNYHQRLLPALVINEAIAKLSSIFGFEMRELQRSRPSSTNQQSTTDTKSYVLISQLPADVYSKHVDDVNTAHLTGFTFVVISIVHLAGGKIPEEQLWHHLRRMGLHETDESNPVLGNIKQALEMLVQQRYLQKDKVNGPEGNTLVYELAERALDGPVNDRIKEYISQIVKNEVASVEID >Manes.09G144600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34267685:34271578:-1 gene:Manes.09G144600.v8.1 transcript:Manes.09G144600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISANSVCLSHEESICSSYFRPGIASYERIHGRRGLNYQSIVVLNFWPNSRKSRYVQLMRTHKNYHGIKLRCSHGFSGWTKKFSSFCRTGANVTKAKEVLNFLMFSVSNNLKEKSHLQFLKVASILACVLLFIPSADAVDALKTCTCLLKECRLELAKCIANPACAANIACLQTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPKKSDVGEFPVPDPAVLVKSFNIADFNGKWYITRGLNPTFDTFDCQLHEFHVESNKLVGNISWRIRTPDSGFFTRSTVQRFVQDPMQPGILYNHDNEYLHYQDDWYILSSKVENKSDDYIFVYYRGRNDAWDGYGGAVVYTRSSVLPESIAPELERAAKSVGRDFSKFIRTDNTCGPEPPLVERLEKTVEEGEKSIIREVQEIEGEVEKVGKTEMTLFQRLAEGFKELQQDEEILLRKLSKEEMELFNDLKMEASEVEKLFGGALPLRKLR >Manes.09G144600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34267743:34271473:-1 gene:Manes.09G144600.v8.1 transcript:Manes.09G144600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISANSVCLSHEESICSSYFRPGIASYERIHGRRGLNYQSIVVLNFWPNSRKSRYVQLMRTHKNYHGIKLRCSHGFSGWTKKFSSFCRTGANVTKAKEVLNFLMFSVSNNLKEKSHLQFLKVASILACVLLFIPSADAVDALKTCTCLLKECRLELAKCIANPACAANIACLQTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPKKSDVGEFPVPDPAVLVKSFNIADFNGKWYITRGLNPTFDTFDCQLHEFHVESNKLVGNISWRIRTPDSGFFTRSTVQRFVQDPMQPGILYNHDNEYLHYQDDWYILSSKVENKSDDYIFVYYRGRNDAWDGYGGAVVYTRSSVLPESIAPELERAAKSVGRDFSKFIRTDNTCGPEPPLVERLEKTVEEGEKSIIREVQEIEGEVEKVGKTEMTLFQRLAEGFKELQQDEEILLRKLSKEEMELFNDLKMEASEVEKLFGGALPLRKLR >Manes.09G144600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34267679:34271725:-1 gene:Manes.09G144600.v8.1 transcript:Manes.09G144600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMKPAISSSSSYFRPGIASYERIHGRRGLNYQSIVVLNFWPNSRKSRYVQLMRTHKNYHGIKLRCSHGFSGWTKKFSSFCRTGANVTKAKEVLNFLMFSVSNNLKEKSHLQFLKVASILACVLLFIPSADAVDALKTCTCLLKECRLELAKCIANPACAANIACLQTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPKKSDVGEFPVPDPAVLVKSFNIADFNGKWYITRGLNPTFDTFDCQLHEFHVESNKLVGNISWRIRTPDSGFFTRSTVQRFVQDPMQPGILYNHDNEYLHYQDDWYILSSKVENKSDDYIFVYYRGRNDAWDGYGGAVVYTRSSVLPESIAPELERAAKSVGRDFSKFIRTDNTCGPEPPLVERLEKTVEEGEKSIIREVQEIEGEVEKVGKTEMTLFQRLAEGFKELQQDEEILLRKLSKEEMELFNDLKMEASEVEKLFGGALPLRKLR >Manes.09G144600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34267709:34271494:-1 gene:Manes.09G144600.v8.1 transcript:Manes.09G144600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHKNYHGIKLRCSHGFSGWTKKFSSFCRTGANVTKAKEVLNFLMFSVSNNLKEKSHLQFLKVASILACVLLFIPSADAVDALKTCTCLLKECRLELAKCIANPACAANIACLQTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPKKSDVGEFPVPDPAVLVKSFNIADFNGKWYITRGLNPTFDTFDCQLHEFHVESNKLVGNISWRIRTPDSGFFTRSTVQRFVQDPMQPGILYNHDNEYLHYQDDWYILSSKVENKSDDYIFVYYRGRNDAWDGYGGAVVYTRSSVLPESIAPELERAAKSVGRDFSKFIRTDNTCGPEPPLVERLEKTVEEGEKSIIREVQEIEGEVEKVGKTEMTLFQRLAEGFKELQQDEEILLRKLSKEEMELFNDLKMEASEVEKLFGGALPLRKLR >Manes.14G043000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3767927:3772866:1 gene:Manes.14G043000.v8.1 transcript:Manes.14G043000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAHFFNGTKVVEVAKDAVEFNLPIIRSNRRLVASENGGLHNPSCLVFNPEWTHKHVDNTSKRFQYPALPGIRRPKSEEDIAFMSILELGELIRTNQITSHELVQIFLQRLKRYNPVLEAVITSTDELAYKQAKEADELIAKGVYLGPLHGIPYGLKDIIAVPGYKTTWGSRSFKDQVLDIEAWVYKRLRSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGLVPFAIGSETAGSITFPASRCGVTALRPTFGTVGRTGVMSISESLDKLGPFCRSAADCSVVLDAIRGKDPDDLSSRDIPFRDPFSIDIRKLTVGYMDDAEMEVVHVLKAKGVNMVPFKLNYTVDSVQGILNFTMDVEMLAHFDEWQRTGQDDIYEAQDQWPTELRRSRVISAVDYVQAQRARRKLIEEVKKSFTVDAFIGNATDWEKVCLGNLVGLPVIVIPTGLKNISNPPSKGTRRRTTINTGIYARPDHDHIALALAIAYQSVTDHHKQRPPIDDLGPNDKIPDPPTVVIPPRRLHP >Manes.14G043000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3767927:3772866:1 gene:Manes.14G043000.v8.1 transcript:Manes.14G043000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFCPPSAVGLTRFSLRIFFVSLSSLYMAGFALRFHSQQDLIFDSPISTPSEPSNITMDSKSYGKCLEEATQLRCTFKMVDAHFFNGTKVVEVAKDAVEFNLPIIRSNRRLVASENGGLHNPSCLVFNPEWTHKHVDNTSKRFQYPALPGIRRPKSEEDIAFMSILELGELIRTNQITSHELVQIFLQRLKRYNPVLEAVITSTDELAYKQAKEADELIAKGVYLGPLHGIPYGLKDIIAVPGYKTTWGSRSFKDQVLDIEAWVYKRLRSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGLVPFAIGSETAGSITFPASRCGVTALRPTFGTVGRTGDKLGPFCRSAADCSVVLDAIRGKDPDDLSSRDIPFRDPFSIDIRKLTVGYMDDAEMEVVHVLKAKGVNMVPFKLNYTVDSVQGILNFTMDVEMLAHFDEWQRTGQDDIYEAQDQWPTELRRSRVISAVDYVQAQRARRKLIEEVKKSFTVDAFIGNATDWEKVCLGNLVGLPVIVIPTGLKNISNPPSKGTRRRTTINTGIYARPDHDHIALALAIAYQSVTDHHKQRPPIDDLGPNDKIPDPPTVVIPPRRLHP >Manes.14G043000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3767927:3772866:1 gene:Manes.14G043000.v8.1 transcript:Manes.14G043000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFCPPSAVGLTRFSLRIFFVSLSSLYMAGFALRFHSQQDLIFDSPISTPSEPSNITMDSKSYGKCLEEATQLRCTFKMVDAHFFNGTKVVEVAKDAVEFNLPIIRSNRRLVASENGGLHNPSCLVFNPEWTHKHVDNTSKRFQYPALPGIRRPKSEEDIAFMSILELGELIRTNQITSHELVQIFLQRLKRYNPVLEAVITSTDELAYKQAKEADELIAKGVYLGPLHGIPYGLKDIIAVPGYKTTWGSRSFKDQVLDIEAWVYKRLRSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGLVPFAIGSETAGSITFPASRCGVTALRPTFGTVGRTGVMSISESLDKLGPFCRSAADCSVVLDAIRGKDPDDLSSRDIPFRDPFSIDIRKLTVGYMDDAEMEVVHVLKAKGVNMVPFKLNYTVDSVQGILNFTMDVEMLAHFDEWQRTGQDDIYEAQDQWPTELRRSRVISAVDYVQAQRARRKLIEEVKKSFTVDAFIGNATDWEKVCLGNLVGLPVIVIPTGLKNISNPPSKGTRRRTTINTGIYARPDHDHIALALAIAYQSVTDHHKQRPPIDDLGPNDKIPDPPTVVIPPRRLHP >Manes.14G043000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3767927:3772866:1 gene:Manes.14G043000.v8.1 transcript:Manes.14G043000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFCPPSAVGLTRFSLRIFFVSLSSLYMAGFALRFHSQQDLIFDSPISTPSEPSNITMMRPFKKLWERFQERIVVQSCKLFVEDSKSYGKCLEEATQLRCTFKMVDAHFFNGTKVVEVAKDAVEFNLPIIRSNRRLVASENGGLHNPSCLVFNPEWTHKHVDNTSKRFQYPALPGIRRPKSEEDIAFMSILELGELIRTNQITSHELVQIFLQRLKRYNPVLEAVITSTDELAYKQAKEADELIAKGVYLGPLHGIPYGLKDIIAVPGYKTTWGSRSFKDQVLDIEAWVYKRLRSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGLVPFAIGSETAGSITFPASRCGVTALRPTFGTVGRTGVMSISESLDKLGPFCRSAADCSVVLDAIRGKDPDDLSSRDIPFRDPFSIDIRKLTVGYMDDAEMEVVHVLKAKGVNMVPFKLNYTVDSVQGILNFTMDVEMLAHFDEWQRTGQDDIYEAQDQWPTELRRSRVISAVDYVQAQRARRKLIEEVKKSFTVDAFIGNATDWEKVCLGNLVGLPVIVIPTGLKNISNPPSKGTRRRTTINTGIYARPDHDHIALALAIAYQSVTDHHKQRPPIDDLGPNDKIPDPPTVVIPPRRLHP >Manes.14G043000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3767927:3772866:1 gene:Manes.14G043000.v8.1 transcript:Manes.14G043000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFCPPSAVGLTRFSLRIFFVSLSSLYMAGFALRFHSQQDLIFDSPISTPSEPSNITMMRPFKKLWERFQERIVVQSCKLFVEDSKSYGKCLEEATQLRCTFKMVDAHFFNGTKVVEVAKDAVEFNLPIIRSNRRLVASENGGLHNPSCLVFNPEWTHKHVDNTSKRFQYPALPGIRRPKSEEDIAFMSILELGELIRTNQITSHELVQIFLQRLKRYNPVLEAVITSTDELAYKQAKEADELIAKGVYLGPLHGIPYGLKDIIAVPGYKTTWGSRSFKDQVLDIEAWVYKRLRSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGLVPFAIGSETAGSITFPASRCGVTALRPTFGTVGRTGDKLGPFCRSAADCSVVLDAIRGKDPDDLSSRDIPFRDPFSIDIRKLTVGYMDDAEMEVVHVLKAKGVNMVPFKLNYTVDSVQGILNFTMDVEMLAHFDEWQRTGQDDIYEAQDQWPTELRRSRVISAVDYVQAQRARRKLIEEVKKSFTVDAFIGNATDWEKVCLGNLVGLPVIVIPTGLKNISNPPSKGTRRRTTINTGIYARPDHDHIALALAIAYQSVTDHHKQRPPIDDLGPNDKIPDPPTVVIPPRRLHP >Manes.14G043000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3767927:3772866:1 gene:Manes.14G043000.v8.1 transcript:Manes.14G043000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFKKLWERFQERIVVQSCKLFVEDSKSYGKCLEEATQLRCTFKMVDAHFFNGTKVVEVAKDAVEFNLPIIRSNRRLVASENGGLHNPSCLVFNPEWTHKHVDNTSKRFQYPALPGIRRPKSEEDIAFMSILELGELIRTNQITSHELVQIFLQRLKRYNPVLEAVITSTDELAYKQAKEADELIAKGVYLGPLHGIPYGLKDIIAVPGYKTTWGSRSFKDQVLDIEAWVYKRLRSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGLVPFAIGSETAGSITFPASRCGVTALRPTFGTVGRTGVMSISESLDKLGPFCRSAADCSVVLDAIRGKDPDDLSSRDIPFRDPFSIDIRKLTVGYMDDAEMEVVHVLKAKGVNMVPFKLNYTVDSVQGILNFTMDVEMLAHFDEWQRTGQDDIYEAQDQWPTELRRSRVISAVDYVQAQRARRKLIEEVKKSFTVDAFIGNATDWEKVCLGNLVGLPVIVIPTGLKNISNPPSKGTRRRTTINTGIYARPDHDHIALALAIAYQSVTDHHKQRPPIDDLGPNDKIPDPPTVVIPPRRLHP >Manes.04G098400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30279777:30287108:-1 gene:Manes.04G098400.v8.1 transcript:Manes.04G098400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKKYVEHCKGVNGLDKVILREVRGHSAEVYLYGGQVTSWKNEHREELLFVSSKAIFKPPKAIRGGIPLCFPQFGIGALERHGFARNRFWSIDNDPPPFPTNTSNKAFIDLILKHSEEDAKIWPHRYEFRLRITLGPGGDLMLTSRIRNTNTDGKSFTFTFAYHNNLYVTDISEVRVEGLETLDYLDNLKDRERFTEQGDAITFESEVDKLYLSTPTKIAVLDHERKRTFVLRKDGLPDAGCLFRKPHYFETW >Manes.04G098400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30279777:30287108:-1 gene:Manes.04G098400.v8.1 transcript:Manes.04G098400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKKYVEHCKGVNGLDKVILREVRGHSAEVYLYGGQVTSWKNEHREELLFVSSKAIFKPPKAIRGGIPLCFPQFGIGALERHGFARNRFWSIDNDPPPFPTNTSNKAFIDLILKHSEEDAKIWPHRYEFRLRITLGPGGDLMLTSRIRNTNTDGKSFTFTFAYHNNLYVTDISEVRVEGLETLDYLDNLKDRERFTEQGDAITFESEVDKLYLSTPTKIAVLDHERKRTFVLRKDGLPDAGCLFRKPHYFETW >Manes.04G098400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30279777:30287108:-1 gene:Manes.04G098400.v8.1 transcript:Manes.04G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKKYVEHCKGVNGLDKVILREVRGHSAEVYLYGGQVTSWKNEHREELLFVSSKAIFKPPKAIRGGIPLCFPQFGIGALERHGFARNRFWSIDNDPPPFPTNTSNKAFIDLILKHSEEDAKIWPHRYEFRLRITLGPGGDLMLTSRIRNTNTDGKSFTFTFAYHNNLYVTDISEVRVEGLETLDYLDNLKDRERFTEQGDAITFESEVDKLYLSTPTKIAVLDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMPDFGDDDYKHMLCVQAACLENPITLKPGEEWKGRQELSVVPSSYCSGQLDPQKALNV >Manes.04G098400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30279777:30287108:-1 gene:Manes.04G098400.v8.1 transcript:Manes.04G098400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSSCVRFAAILPRSTCMEVKLHLGRMNIGKNCFLLVARLYLSLQRLSVEFGIGALERHGFARNRFWSIDNDPPPFPTNTSNKAFIDLILKHSEEDAKIWPHRYEFRLRITLGPGGDLMLTSRIRNTNTDGKSFTFTFAYHNNLYVTDISEVRVEGLETLDYLDNLKDRERFTEQGDAITFESEVDKLYLSTPTKIAVLDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMPDFGDDDYKHMLCVQAACLENPITLKPGEEWKGRQELSVVPSSYCSGQLDPQKALNV >Manes.04G098400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30279777:30287108:-1 gene:Manes.04G098400.v8.1 transcript:Manes.04G098400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRSSCVRFAAILPRSTCMEVKLHLGRMNIGKNCFLLVARLYLSLQRLSVEFGIGALERHGFARNRFWSIDNDPPPFPTNTSNKAFIDLILKHSEEDAKIWPHRYEFRLRITLGPGGDLMLTSRIRNTNTDGKSFTFTFAYHNNLYVTDISEVRVEGLETLDYLDNLKDRERFTEQGDAITFESEVDKLYLSTPTKIAVLDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMPDFGDDDYKHMLCVQAACLENPITLKPGEEWKGRQELSVVPSSYCSGQLDPQKALNV >Manes.04G098400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30279777:30287108:-1 gene:Manes.04G098400.v8.1 transcript:Manes.04G098400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKKYVEHCKGVNGLDKVILREVRGHSAEVYLYGGQVTSWKNEHREELLFVSSKAIFKPPKAIRGGIPLCFPQFGIGALERHGFARNRFWSIDNDPPPFPTNTSNKAFIDLILKHSEEDAKIWPHSEVRVEGLETLDYLDNLKDRERFTEQGDAITFESEVDKLYLSTPTKIAVLDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMPDFGDDDYKHMLCVQAACLENPITLKPGEEWKGRQELSVVPSSYCSGQLDPQKALNV >Manes.04G098400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30279777:30287108:-1 gene:Manes.04G098400.v8.1 transcript:Manes.04G098400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKKYVEHCKGVNGLDKVILREVRGHSAEVYLYGGQVTSWKNEHREELLFVSSKAIFKPPKAIRGGIPLCFPQFGIGALERHGFARNRFWSIDNDPPPFPTNTSNKAFIDLILKHSEEDAKIWPHSEVRVEGLETLDYLDNLKDRERFTEQGDAITFESEVDKLYLSTPTKIAVLDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMPDFGDDDYKHMLCVQAACLENPITLKPGEEWKGRQELSVVPSSYCSGQLDPQKALNV >Manes.04G098400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30279777:30287108:-1 gene:Manes.04G098400.v8.1 transcript:Manes.04G098400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKKYVEHCKGVNGLDKVILREVRGHSAEVYLYGGQVTSWKNEHREELLFVSSKAIFKPPKAIRGGIPLCFPQFGIGALERHGFARNRFWSIDNDPPPFPTNTSNKAFIDLILKHSEEDAKIWPHRYEFRLRITLGPGGDLMLTSRIRNTNTDGKSFTFTFAYHNNLYVTDISEVRVEGLETLDYLDNLKDRERFTEQGDAITFESEVDKLYLSTPTKIAVLDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMPDFGDDDYKHMLCVQAACLENPITLKPGEEWKGRQELSVVPSSYCSGQLDPQKALNV >Manes.05G110200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11003316:11010710:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.05G110200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11003316:11012349:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.05G110200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11003316:11010709:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCMTFFDVSQNEISGTIHKFNDNICSRVRSLNSGLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.05G110200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11003316:11010790:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.05G110200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11003316:11010709:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.05G110200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11003316:11010710:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.05G110200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11003316:11012337:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.05G110200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11003318:11010709:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCMTFFDVSQNEISGTIHKFNDNICSRVRSLNSGLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.05G110200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11003316:11010710:1 gene:Manes.05G110200.v8.1 transcript:Manes.05G110200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSFPEILIFFLLCFCSSVYGGVLPDDSLLLEFKSFVSDPHGILSSWNSSGADHCSWVGVACNSKSRVLSISIIGGDNGFEGSSQTLSCSESLKFPFTRFGIRRKCSNRVGKLAGNLSPLIGKLSQLRVLSLPYNELSGEIPLEIWGLKNLEVLDLEGNVFTGKLPSAFVGLRKLRVLNLGFNVLHGEIPISLSKCAGLELLNLAGNKFKGSISSFFGSFFKLRGLYLANNKLNGTIPAVLGSKCQHLQHLDLSGNSLFGGIPDALGNCRQLKTLLLFSNKLNGVVPPELGQLGRLAVLDISRNFINGAIPAELGNCAELSVLILSNLFETWPNDRNRSRKISANLPTFANHEYNHFQGSIPTEITTLPKLRVLWAPRITSGGRLPSTWGRCESLEMVNLAQNGFVGEINGVFGRCKKLYYLDLSSNMLSGELDKKLPVPCLGEACDPTHGYTSFLRYKTCLASHLPFSVANTAMIHNFSGNKFTGPIRWLPVAPERLGKQTDYAFLASGNKVTGLFLGRLFRNCNKLHGVIINISKNQISGPIPLNIGSVCRSLKFLDVSENQILGSIPQSIGDLKFLVALNLSGNKLQGQIPASLYRLKYLKRISLAGNNLTGIIPSSFRQLHSPQSLEITPNSLSGKISENTVKLGNFTSFVLENNKLLRQLSSGFSNIRSLSSNYPAEPSRLTSELMNCTDAPGNPFPRSCKTYSFSASSPHPTEGNGDQQSNATSNPETKTGSTGFKHIEILSMASAAAVVSVLLVLIFLFFCTRKWRTDARAQVSEQREITVFVNIGVPLLYENIVEASGNFNVSNCIGNGGFGATYKVEISPGTLVAIKKLAVGRFQGVQQFHAEIKALSRARHPNLVTLVGYHASETEMFLIYNYLPGGNLEDFIKERSARAVTWKVLHKIALDIASALAYLHHQCVPRILHRDVKPNNILLDNELNAYLSDFGLSRLLGISQTHVTTSVAGTFGYVAPEYAMTSRVSEKADVYSYGVVLLELISDKKALDPSFSSHENGFNIVSWACTLLRHGQGNDVFNAGLWDSAPRDDLVEMLHLAARCTVASLSMRPNMKQVVQQLKQIQPA >Manes.03G054000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5226103:5231526:-1 gene:Manes.03G054000.v8.1 transcript:Manes.03G054000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNWLYFMNPNDGCPYIFPLEPLLSKSFRGHTFSQLNSFVDNSLHQSRFLYIPGSMAFQEALKCMSKLAGALLFWFTSSSSSNLTQQISGNQHGPRVGSSHSLTQVKHITSTKHELTGFRFGYRSKGESSSLVVLGKISSFMRRLLCREAERLQSFPVFSFAAALVPPLENLPSKVLAVPLENGDGQVQRSIDQRPCEVGPHGCSGFSFPDLNWTRHAVEPRTGIEFPVILDNILAEEHKSSLTSEVLVGTGSKSMKIIKVKSLKVYAFGFYVHPNSVCEKLGQKYASVPSDELNKCRDFYEDLLREEIGMTVRLVINCNGMKINTVKNAFEKSLRARLQKTNPHTDYSCVRAFGSFFTKDIPLPAGTTVDFRRTADGQLITEIGGEQIGAVHSKELCRAFFDMYLGDIPVSEQTKEDIGNNVGSILRRC >Manes.03G054000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5225815:5231542:-1 gene:Manes.03G054000.v8.1 transcript:Manes.03G054000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNWLYFMNPNDGCPYIFPLEPLLSKSFRGHTFSQLNSFVDNSLHQSRFLYIPGSMAFQEALKCMSKLAGALLFWFTSSSSSNLTQQISGNQHGPRVGSSHSLTQVKHITSTKHELTGFRFGYRSKGESSSLVVLGKISSFMRRLLCREAERLQSFPVFSFAAALVPPLENLPSKVLAVPLENGDGQVQRSIDQRPCEVGPHGCSGFSFPDLNWTRHAVEPRTGIEFPVILDNILAEEHKSSLTSEVLVGTGSKSMKIIKVKSLKVYAFGFYVHPNSVCEKLGQKYASVPSDELNKCRDFYEDLLREEIGMTVRLVINCNGMKINTVKNAFEKSLRARLQKTNPHTDYSCVRAFGSFFTKDIPLPAGTTVDFRRTADGQLITEIGGEQIGAVHSKELCRAFFDMYLGDIPVSEQTKEDIGNNVGSILRRC >Manes.06G063700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19870958:19872726:1 gene:Manes.06G063700.v8.1 transcript:Manes.06G063700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINIRYPLCLIYIYIYILRNPSPFSSFFLDIQQTNMGLQNQLNDVSSDSIPLLLIALIAKCVDHLRSLLFTFFHFFGLSRFGSSHGVIDDGLLASMGSSLAGLIVLAEQLNLNRVFSYRYCCGGGDDNNKVGSDCVVCLCTLRDGEQVRKLDCRHVFHKDCFDGWLDHLNFNCPLCRSPLVSNQRVEFTRRRVGGDLLDWFSTS >Manes.05G116926.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:14592516:14592984:-1 gene:Manes.05G116926.v8.1 transcript:Manes.05G116926.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSVIVSLLLTLLASFSCVTSDSLDDNFLQCFSSNLLNYSKPISEVVLAKNTSPYSSVFQSSVRNLRFLNTSNTKPGFIITPFHESHIQTAIVCAKTYDMQIRIRSGGHEYEGLSFVSEEKFVLIDLAHLRSVSVDIEKKSAWVESGAI >Manes.11G075250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10894498:10898452:1 gene:Manes.11G075250.v8.1 transcript:Manes.11G075250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYKISHVDGQITNPEQRIASDVPRFCSELSELVQEDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGEHREESHIEQKFKDLVRHMRIVLHDHWWFGMIQDFLVKYLGATVAVVLIIEPFFCWQS >Manes.11G158200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32405664:32406969:-1 gene:Manes.11G158200.v8.1 transcript:Manes.11G158200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEHLVIVRFKEGAVVADIMKGLEKLVSDVDLVKTFAWGEDLESPEMLTQGFTHAISMTFDKKQDYTAFQTHPSHVEFSATFSAAIEKIVVLCFSSVQVKPAIA >Manes.18G143601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:33471224:33473291:1 gene:Manes.18G143601.v8.1 transcript:Manes.18G143601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.10G039900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4097355:4108766:1 gene:Manes.10G039900.v8.1 transcript:Manes.10G039900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSNNGNNNDGCLPSTDKQVYWDIPVEDRLNNQRDDKADNSFRSPKGLFSKSRKQVYGNPTSSSTSFHLRRSRSMSSAAFIVDGLDQRVFSHLDDQIGSPSSSINSTAHQRCDHPSRRQALTPERQARAKRFETIAIQNAYGQERPRSSGSSKSHHNSSGNSSCSNISSKVLDRYIDGEEQQERSKPKNSILQRNFSGNGNEGGKLPPRVQYTAPSSPPDGIKDKHRSRSYRDAKAAHLHFFSRDWVENGFNHESPRRLAKDVIERLSQTHLSHKSSSEQFNHDIPITIEDIYAESMNKFVDSNVDVLSQKSYSKEEPHATINNYHGDDLLGSQKTTCFLENNCGDINSVHIEDAIDVELQRRSKEAEERVLLLSEELDQEGFQGTGFDIPSLIQNIRDLMEDRLNLAIEVSSLLNSQISERDTYREEVRLAKAELEARTRKLQKEKSELQSALERELDRRSSDWSLKLEKYQLEEQRLRARVRELAEQNVSLQREVSSFNEREEESRSVIAFSEQQLRHLTSRLEEASKENHELREDISELRDKHTVTEGDLSCTKRNFEEKDKECKELQKSTARLLRTCNKQEKTIEGLREAFGEEIEKQQSLDKFNRHLKKLQMEQLRLTGLELALRRELESQRIEIDSLRQENVGLLRRLKGSGEDLGALTFKLDKEMWSHLCSLKNQGLPMLKESTQLCSGLLEFIKGKAGQIPETKQGGELTRNGLDGQFVIEADVRVQGFKRGIENLTRSLQTISSLLQEKSSSVTSKFELPCPNVDGSAKLNNPSSEETLKFELKAEILLTSLLREKLYTKDLEVEQLQAELAAAVRGNDILRCEAQNALDNLSCASHKLKDFELQMQKKDDSMSRLQNELQESMKELTITRGILPKVSEERDLMWEKVKQYNEKNMVLNSEVSILKKKIEALDEDLLLKEGQITILKDTIGSDPRNRGPQQ >Manes.10G039900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4097355:4103411:1 gene:Manes.10G039900.v8.1 transcript:Manes.10G039900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSNNGNNNDGCLPSTDKQVYWDIPVEDRLNNQRDDKADNSFRSPKGLFSKSRKQVYGNPTSSSTSFHLRRSRSMSSAAFIVDGLDQRVFSHLDDQIGSPSSSINSTAHQRCDHPSRQALTPERQARAKRFETIAIQNAYGQERPRSSGSSKSHHNSSGNSSCSNISSKVLDRYIDGEEQQERSKPKNSILQRNFSGNGNEGGKLPPRVQYTAPSSPPDGIKDKHRSRSYRDAKAAHLHFFSRDWVENGFNHESPRRLAKDVIERLSQTHLSHKSSSEQFNHDIPITIEDIYAESMNKFVDSNVDVLSQKSYSKEEPHATINNYHGDDLLGSQKTTCFLENNCGDINSVHIEDAIDVELQRRSKEAEERVLLLSEELDQEGFQGTGFDIPSLIQNIRDLMEDRLNLAIEVSSLLNSQISERDTYREEVRLAKAELEARTRKLQKEKSELQSALERELDRRSSDWSLKLEKYQLEEQRLRARVRELAEQNVSLQREVSSFNEREEESRSVIAFSEQQLRHLTSRLEEASKENHELREDISELRDKHTVTEGDLSCTKRNFEEKDKECKELQKSTARLLRTCNKQEKTIEGLREAFGEEIEKQQSLDKFNRHLKKLQMEQLRLTGLELALRRELESQRIEIDSLRQENVGLLRRLKGSGEDLGALTFKLDKEMWSHLCSLKNQGLPMLKESTQLCSGLLEFIKGKAGQIPETKQGGELTRNGLDGQFVIEADVRVQGFKRGIENLTRSLQTISSLLQEKSSSVTSKFELPCPNVDGSAKLNNPSSEETLKFELKAEILLTSLLREKLYTKDLEVEQLQAELAAAVRGNDILRCEAQNALDNLSCASHKLKDFELQMQKKDDSMSRLQNELQESMKELTITRGILPKVSEERDLMWEKVKQYNEKNMVLNSEVSILKKKIEALDEDLLLKEGQITILKDTIGTKPFDLLASPDYSQEFLLK >Manes.10G039900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4097355:4103411:1 gene:Manes.10G039900.v8.1 transcript:Manes.10G039900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSNNGNNNDGCLPSTDKQVYWDIPVEDRLNNQRDDKADNSFRSPKGLFSKSRKQVYGNPTSSSTSFHLRRSRSMSSAAFIVDGLDQRVFSHLDDQIGSPSSSINSTAHQRCDHPSRRQALTPERQARAKRFETIAIQNAYGQERPRSSGSSKSHHNSSGNSSCSNISSKVLDRYIDGEEQQERSKPKNSILQRNFSGNGNEGGKLPPRVQYTAPSSPPDGIKDKHRSRSYRDAKAAHLHFFSRDWVENGFNHESPRRLAKDVIERLSQTHLSHKSSSEQFNHDIPITIEDIYAESMNKFVDSNVDVLSQKSYSKEEPHATINNYHGDDLLGSQKTTCFLENNCGDINSVHIEDAIDVELQRRSKEAEERVLLLSEELDQEGFQGTGFDIPSLIQNIRDLMEDRLNLAIEVSSLLNSQISERDTYREEVRLAKAELEARTRKLQKEKSELQSALERELDRRSSDWSLKLEKYQLEEQRLRARVRELAEQNVSLQREVSSFNEREEESRSVIAFSEQQLRHLTSRLEEASKENHELREDISELRDKHTVTEGDLSCTKRNFEEKDKECKELQKSTARLLRTCNKQEKTIEGLREAFGEEIEKQQSLDKFNRHLKKLQMEQLRLTGLELALRRELESQRIEIDSLRQENVGLLRRLKGSGEDLGALTFKLDKEMWSHLCSLKNQGLPMLKESTQLCSGLLEFIKGKAGQIPETKQGGELTRNGLDGQFVIEADVRVQGFKRGIENLTRSLQTISSLLQEKSSSVTSKFELPCPNVDGSAKLNNPSSEETLKFELKAEILLTSLLREKLYTKDLEVEQLQAELAAAVRGNDILRCEAQNALDNLSCASHKLKDFELQMQKKDDSMSRLQNELQESMKELTITRGILPKVSEERDLMWEKVKQYNEKNMVLNSEVSILKKKIEALDEDLLLKEGQITILKDTIGTKPFDLLASPDYSQEFLLK >Manes.10G039900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4098311:4103411:1 gene:Manes.10G039900.v8.1 transcript:Manes.10G039900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSNNGNNNDGCLPSTDKQVYWDIPVEDRLNNQRDDKADNSFRSPKGLFSKSRKQVYGNPTSSSTSFHLRRSRSMSSAAFIVDGLDQRVFSHLDDQIGSPSSSINSTAHQRCDHPSRQALTPERQARAKRFETIAIQNAYGQERPRSSGSSKSHHNSSGNSSCSNISSKVLDRYIDGEEQQERSKPKNSILQRNFSGNGNEGGKLPPRVQYTAPSSPPDGIKDKHRSRSYRDAKAAHLHFFSRDWVENGFNHESPRRLAKDVIERLSQTHLSHKSSSEQFNHDIPITIEDIYAESMNKFVDSNVDVLSQKSYSKEEPHATINNYHGDDLLGSQKTTCFLENNCGDINSVHIEDAIDVELQRRSKEAEERVLLLSEELDQEGFQGTGFDIPSLIQNIRDLMEDRLNLAIEVSSLLNSQISERDTYREEVRLAKAELEARTRKLQKEKSELQSALERELDRRSSDWSLKLEKYQLEEQRLRARVRELAEQNVSLQREVSSFNEREEESRSVIAFSEQQLRHLTSRLEEASKENHELREDISELRDKHTVTEGDLSCTKRNFEEKDKECKELQKSTARLLRTCNKQEKTIEGLREAFGEEIEKQQSLDKFNRHLKKLQMEQLRLTGLELALRRELESQRIEIDSLRQENVGLLRRLKGSGEDLGALTFKLDKEMWSHLCSLKNQGLPMLKESTQLCSGLLEFIKGKAGQIPETKQGGELTRNGLDGQFVIEADVRVQGFKRGIENLTRSLQTISSLLQEKSSSVTSKFELPCPNVDGSAKLNNPSSEETLKFELKAEILLTSLLREKLYTKDLEVEQLQAELAAAVRGNDILRCEAQNALDNLSCASHKLKDFELQMQKKDDSMSRLQNELQESMKELTITRGILPKVSEERDLMWEKVKQYNEKNMVLNSEVSILKKKIEALDEDLLLKEGQITILKDTIGTKPFDLLASPDYSQEFLLK >Manes.10G039900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4097355:4103411:1 gene:Manes.10G039900.v8.1 transcript:Manes.10G039900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSNNGNNNDGCLPSTDKQVYWDIPVEDRLNNQRDDKADNSFRSPKGLFSKSRKQVYGNPTSSSTSFHLRRSRSMSSAAFIVDGLDQRVFSHLDDQIGSPSSSINSTAHQRCDHPSRRQALTPERQARAKRFETIAIQNAYGQERPRSSGSSKSHHNSSGNSSCSNISSKVLDRYIDGEEQQERSKPKNSILQRNFSGNGNEGGKLPPRVQYTAPSSPPDGIKDKHRSRSYRDAKAAHLHFFSRDWVENGFNHESPRRLAKDVIERLSQTHLSHKSSSEQFNHDIPITIEDIYAESMNKFVDSNVDVLSQKSYSKEEPHATINNYHGDDLLGSQKTTCFLENNCGDINSVHIEDAIDVELQRRSKEAEERVLLLSEELDQEGFQGTGFDIPSLIQNIRDLMEDRLNLAIEVSSLLNSQISERDTYREEVRLAKAELEARTRKLQKEKSELQSALERELDRRSSDWSLKLEKYQLEEQRLRARVRELAEQNVSLQREVSSFNEREEESRSVIAFSEQQLRHLTSRLEEASKENHELREDISELRDKHTVTEGDLSCTKRNFEEKDKECKELQKSTARLLRTCNKQEKTIEGLREAFGEEIEKQQSLDKFNRHLKKLQMEQLRLTGLELALRRELESQRIEIDSLRQENVGLLRRLKGSGEDLGALTFKLDKEMWSHLCSLKNQGLPMLKESTQLCSGLLEFIKGKAGQIPETKQGGELTRNGLDGQFVIEADVRVQGFKRGIENLTRSLQTISSLLQEKSSSVTSKFELPCPNVDGSAKLNNPSSEETLKFELKAEILLTSLLREKLYTKDLEVEQLQAELAAAVRGNDILRCEAQNALDNLSCASHKLKDFELQMQKKDDSMSRLQNELQESMKELTITRGILPKVSEERDLMWEKVKQYNEKNMVLNSEVSILKKKIEALDEDLLLKEGQITILKDTIGTKPFDLLASPDYSQEFLLK >Manes.10G039900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4097355:4103412:1 gene:Manes.10G039900.v8.1 transcript:Manes.10G039900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSNNGNNNDGCLPSTDKQVYWDIPVEDRLNNQRDDKADNSFRSPKGLFSKSRKQVYGNPTSSSTSFHLRRSRSMSSAAFIVDGLDQRVFSHLDDQIGSPSSSINSTAHQRCDHPSRRQALTPERQARAKRFETIAIQNAYGQERPRSSGSSKSHHNSSGNSSCSNISSKVLDRYIDGEEQQERSKPKNSILQRNFSGNGNEGGKLPPRVQYTAPSSPPDGIKDKHRSRSYRDAKAAHLHFFSRDWVENGFNHESPRRLAKDVIERLSQTHLSHKSSSEQFNHDIPITIEDIYAESMNKFVDSNVDVLSQKSYSKEEPHATINNYHGDDLLGSQKTTCFLENNCGDINSVHIEDAIDVELQRRSKEAEERVLLLSEELDQEGFQGTGFDIPSLIQNIRDLMEDRLNLAIEVSSLLNSQISERDTYREEVRLAKAELEARTRKLQKEKSELQSALERELDRRSSDWSLKLEKYQLEEQRLRARVRELAEQNVSLQREVSSFNEREEESRSVIAFSEQQLRHLTSRLEEASKENHELREDISELRDKHTVTEGDLSCTKRNFEEKDKECKELQKSTARLLRTCNKQEKTIEGLREAFGEEIEKQQSLDKFNRHLKKLQMEQLRLTGLELALRRELESQRIEIDSLRQENVGLLRRLKGSGEDLGALTFKLDKEMWSHLCSLKNQGLPMLKESTQLCSGLLEFIKGKAGQIPETKQGGELTRNGLDGQFVIEADVRVQGFKRGIENLTRSLQTISSLLQEKSSSVTSKFELPCPNVDGSAKLNNPSSEETLKFELKAEILLTSLLREKLYTKDLEVEQLQAELAAAVRGNDILRCEAQNALDNLSCASHKLKDFELQMQKKDDSMSRLQNELQESMKELTITRGILPKVSEERDLMWEKVKQYNEKNMVLNSEVSILKKKIEALDEDLLLKEGQITILKDTIGTKPFDLLASPDYSQEFLLK >Manes.10G039900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4097355:4103411:1 gene:Manes.10G039900.v8.1 transcript:Manes.10G039900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFRSSSNNGNNNDGCLPSTDKQVYWDIPVEDRLNNQRDDKADNSFRSPKGLFSKSRKQVYGNPTSSSTSFHLRRSRSMSSAAFIVDGLDQRVFSHLDDQIGSPSSSINSTAHQRCDHPSRQALTPERQARAKRFETIAIQNAYGQERPRSSGSSKSHHNSSGNSSCSNISSKVLDRYIDGEEQQERSKPKNSILQRNFSGNGNEGGKLPPRVQYTAPSSPPDGIKDKHRSRSYRDAKAAHLHFFSRDWVENGFNHESPRRLAKDVIERLSQTHLSHKSSSEQFNHDIPITIEDIYAESMNKFVDSNVDVLSQKSYSKEEPHATINNYHGDDLLGSQKTTCFLENNCGDINSVHIEDAIDVELQRRSKEAEERVLLLSEELDQEGFQGTGFDIPSLIQNIRDLMEDRLNLAIEVSSLLNSQISERDTYREEVRLAKAELEARTRKLQKEKSELQSALERELDRRSSDWSLKLEKYQLEEQRLRARVRELAEQNVSLQREVSSFNEREEESRSVIAFSEQQLRHLTSRLEEASKENHELREDISELRDKHTVTEGDLSCTKRNFEEKDKECKELQKSTARLLRTCNKQEKTIEGLREAFGEEIEKQQSLDKFNRHLKKLQMEQLRLTGLELALRRELESQRIEIDSLRQENVGLLRRLKGSGEDLGALTFKLDKEMWSHLCSLKNQGLPMLKESTQLCSGLLEFIKGKAGQIPETKQGGELTRNGLDGQFVIEADVRVQGFKRGIENLTRSLQTISSLLQEKSSSVTSKFELPCPNVDGSAKLNNPSSEETLKFELKAEILLTSLLREKLYTKDLEVEQLQAELAAAVRGNDILRCEAQNALDNLSCASHKLKDFELQMQKKDDSMSRLQNELQESMKELTITRGILPKVSEERDLMWEKVKQYNEKNMVLNSEVSILKKKIEALDEDLLLKEGQITILKDTIGTKPFDLLASPDYSQEFLLK >Manes.17G098900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30512008:30514657:-1 gene:Manes.17G098900.v8.1 transcript:Manes.17G098900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAVGAGQLNMLGIYMQRSWIITGITALFLAPFYVFASPILQLLHQDKGISKLAGRYSIWVIPQLFAYALNFPIQKFLQAQSRVWIMTIISIAALAIHVLLNWVLVTKLDHGLVGAAVAGNISWWLVVLGQIIYVVCGCFPEAWTGFSWSALKSISSFLKLSLASAVMLCLELWYFTAVILMVGWLHNPEIAVDAVSICMNLQLWTLMIALGFNAAISVRVSNELGAGNPKAAKFSVVVTLLTSTISGVVFTALVLVTKNDFPKVFTGKAAVIKEASKLGYFLAATIFLNSIQPVLHGVAVGAGWQFLVAFINVGCYYIIGLPIGAVLGYKFDLGVKGIWSGMLAGCLLQIIILIFVFLRANWKKEALKAEERIRTWGGSVEPRQSSFEENMN >Manes.17G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30512008:30514657:-1 gene:Manes.17G098900.v8.1 transcript:Manes.17G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDDSVQEKRFGIEMQVINGVMGKKKMVKKSWDESKKMWEIAAPALLTAVAQFSIGFVTSAFVGHLGEVELAAVSIVQNVIEGFVYGVMLGMGSALETLCGQAVGAGQLNMLGIYMQRSWIITGITALFLAPFYVFASPILQLLHQDKGISKLAGRYSIWVIPQLFAYALNFPIQKFLQAQSRVWIMTIISIAALAIHVLLNWVLVTKLDHGLVGAAVAGNISWWLVVLGQIIYVVCGCFPEAWTGFSWSALKSISSFLKLSLASAVMLCLELWYFTAVILMVGWLHNPEIAVDAVSICMNLQLWTLMIALGFNAAISVRVSNELGAGNPKAAKFSVVVTLLTSTISGVVFTALVLVTKNDFPKVFTGKAAVIKEASKLGYFLAATIFLNSIQPVLHGVAVGAGWQFLVAFINVGCYYIIGLPIGAVLGYKFDLGVKGIWSGMLAGCLLQIIILIFVFLRANWKKEALKAEERIRTWGGSVEPRQSSFEENMN >Manes.15G124800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9928004:9931047:1 gene:Manes.15G124800.v8.1 transcript:Manes.15G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAATALHSTFCFSSQKPAPSHSPSPSNSHQPNAHLSAKPKSLLHKHPLYTPAQVNVPFQIKEKILCLEIMGVDSGKALSQNPSLHSASLDSIHSIISFLQSKGIHHKDLARIFGMCPQILTSNIKTDLNPVFQFLSHELKVPENKFRRVINKCPRLLVSSARDQLKPCLFYLQRLGFKDLGALAYQDSVLLVSNVEKTLIPKLKYLESIGFSRDEAVGMVLRCPALFTFSVENNFIPKFEYFSEEIKGKLEELKEFPQYFAFSLENRIKPRHMEIIQSGVAMALPTMLKSTDEEFRELLRQAAG >Manes.S039616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1105131:1105943:-1 gene:Manes.S039616.v8.1 transcript:Manes.S039616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.09G150900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34823206:34829824:-1 gene:Manes.09G150900.v8.1 transcript:Manes.09G150900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDQSIVEHDVDQAAVPGLDIVVDHGVDHAVDPDIDHAVDHALDHGANHPVDQGLDQPTHSLVVEHNVDHPLDQNVDHAIKHSLDHAEDHVPENFQAQEKQGHDDDTVAGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSGKEEPDSSLPPAMDGLLRVHKRIVDGLEGDSSHVPSGTGTKVSTRLLVPASQAGSLIGKQGGTVKSIQEASGCVVRVLGAEDLPVFSLQDDRVVEVLGDPASVHKAVELITSHLRKFLVDRSMIPLFEMHMQMSNHQMERMPPHQSWGPPQNLPLNAGGGPGFGPNPQYMPPPRQIENYYPPADLPPPMEKQPHHGISTYGREAPAGIHASSNPQAAPSVITQITQQMQIPLSYADAVIGTAGASISYTRRASGATVTIQESRGFPGEMTVEISGTASQVQTAQQLIQNFMAEAAGSAQTHGPTDQGYNPYAAHGSVYASPPSNPGHAGYTGGYGSVYGTNYGY >Manes.09G016612.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3401652:3403818:-1 gene:Manes.09G016612.v8.1 transcript:Manes.09G016612.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELVGISHDVYSLNILINCFCRLHLVDFGFSVFGKMFKFGLEPAV >Manes.04G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30702320:30706755:1 gene:Manes.04G102200.v8.1 transcript:Manes.04G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCGYKSQYIGGQREKFVRLDDLDSTLSLETSITANRGMKKFWLSLEGFSLSGSGAKNPRQSFTVGMKKGSEGLITIGRHIKTGVTRAVFPEDLKVSEKMIFDPQDKSLLLWNRLFVMSCILAVSVDPLFFYLPVFNYKMACLGMDTKLAAAITSVRTMLDAFYLLRMALQFRTAYVAPSSRVFGRGELVIDPAQIAARYLGRYFTADFLSVLPFPQIVVWKYLNNKKKGSEVLATKRTLLGLVFLQYIPRFLRFVPLASDLKKTAGAFADSAWAGAAYYLLWYLLASHIAGAFWYLLAVERKDTCWQKACTESIKCDISFLYCGNKILPGFHEWRRVSDDVLSNNCSVDDDGNSSFHYGIYTQAMSSDIVASRKFVTKFFYCLWWGLQNLSTLGQGLQTSTYPLEVLFSIAIAISGLILFALLIGNMQTYLQSITIRLEEMRIKRRDSEQWMHHRLLPEELKERVRRYDQYKWLETRGVDEESLVQNLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEQTYIVREGDPVDEMQFIIRGRLESVTTDGGRSGFFNRGFLKEGDFCGEELLTWALDPKAGTSLPSSTRTVMALTEVEAFALEAEELKFVASQFRRLHSRQVQQTFRFYSQQWRTWAAILIQASWRKYARRKAAELRMQEEAAEYDEEDDDGDDDEKALVPPSSLCRLGATFLVSRFAANALRAHRLRSASSGPSLLTPQKPPEPDFSVDE >Manes.03G099800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22259243:22265964:-1 gene:Manes.03G099800.v8.1 transcript:Manes.03G099800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSSQAKGDGVVKKIRKPKPWKHPQPITKSQLIQLRDEFWDTAPHYGGRKEIWDALRAAAEADLNLAQAIVDSAGVIVQNADLTICYDERGAKYELPKYVLSEPTNLIRDG >Manes.06G158800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28430035:28432951:-1 gene:Manes.06G158800.v8.1 transcript:Manes.06G158800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLTVIKTINSRRRRLKIRRLKYTCQTKTHLTIAGGQKKESSDSVHKAKASDRSAEISLSLTSSSDDASSKKEVVMSSFEENEFEKSDDLQGLMRISYGSVSVIGRRREMEDAVRVELGFTAKGGEKYDFFGVYDGHGGARVAEACRERLHRVLEEEIVEGKEGLGIEWNKVMEGCFRRMDEEVEKDRMVGSTAVVAVVSKDEVVVANCGDSRAVLCRAGVAVPLSIDHKPDRPDELERVEAAGGRIINWNGHRVLGVLATSRSIGDQYLKPFVICEPEVTVNKRTKVDEFLILASDGLWDVISNEVACQIVRRCLRGRMRRKISQEVLSEGRAAEAAAVLVELAVTRGSKDNISVVVVELNKLGSIS >Manes.02G167400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13135734:13139720:-1 gene:Manes.02G167400.v8.1 transcript:Manes.02G167400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGSSMLYSFLLFTVILSLQEMYRGKLASTELFTILGGFISSLLFLVLLTFLGNFQETCGMKTGWGTVILAEAVALIAAGTVHRVCITTCFLFSVGLLYEVNKLSGVVLSKTESKTRRH >Manes.02G167400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13136143:13139683:-1 gene:Manes.02G167400.v8.1 transcript:Manes.02G167400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGSSMLYSFLLFTVILSLQEMYRGKLASTELFTILGGFISSLLFLVLLTFLGNFQETCGMKTGWGTVILAEAVALIAAGTVHRVCITTCFLFSVGLLYEVNKLSGVVLSKTESKTRRH >Manes.05G029000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2454026:2459294:-1 gene:Manes.05G029000.v8.1 transcript:Manes.05G029000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVMRVAHSLFTTRGLSTQPEKIVASVLFERLPVVIPKIDPVVYAFQEFSFRWQQQYRRRYPDEFLDKADSRGKGDYQIDYEPAPRITEADKKNDKKSLQRALDRRLYLLLYGKAYGSSGEPVWHFPEKVYEAEDTLRKCAESALHSVLGDLSHTYFVGNAPMGHMIIQPTDKAPDAGYKQFFFKSQVIATNKFRIGKCEDFVWVTKDELLEYFPQQAEYLSKMIIS >Manes.05G029000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2454031:2459294:-1 gene:Manes.05G029000.v8.1 transcript:Manes.05G029000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVMRVAHSLFTTRGLSTQPEKIVASVLFERLPVVIPKIDPVVYAFQEFSFRWQQQYRRRYPDEFLDKADSRGKGDYQIDYEPAPRITEADKKNDKKSLQRALDRRLYLLLYGKAYGSSGEPVWHFPEKVYEAEDTLRKCAESALHSVLGDLSHTYFVGNAPMGHMIIQPTDKAPDAGYKQFFFKSQVIATNKFRIGKCEDFVWVTKDELLEYFPQQAEYLSKMIIS >Manes.05G029000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2454031:2459294:-1 gene:Manes.05G029000.v8.1 transcript:Manes.05G029000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVMRVAHSLFTTRGLSTQPEKIVASVLFERLPVVIPKIDPVVYAFQEFSFRWQQQYRRRYPDEFLDKADSRGKGDYQIDYEPAPRITEADKKNDKKSLQRALDRRLYLLLYGKAYGSSGEPVWHFPEKVYEAEDTLRKSLHYTLS >Manes.05G029000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2454031:2459294:-1 gene:Manes.05G029000.v8.1 transcript:Manes.05G029000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVMRVAHSLFTTRGLSTQPEKIVASVLFERLPVVIPKIDPVVYAFQEFSFRWQQQYRRRYPDEFLDKADSRSLQRALDRRLYLLLYGKAYGSSGEPVWHFPEKVYEAEDTLRKCAESALHSVLGDLSHTYFVGNAPMGHMIIQPTDKAPDAGYKQFFFKSQVIATNKFRIGKCEDFVWVTKDELLEYFPQQAEYLSKMIIS >Manes.07G072800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21341520:21350624:1 gene:Manes.07G072800.v8.1 transcript:Manes.07G072800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASAAAPPPPSDGESSDSIRPLSLSSSSSSFTATNRDLIEKDESNGASSSSSVSASAAASSSRYEDDDEEEDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKYAFSFSPVYAENAPARLPFQEFVVGMALKTCHVLQFFLRLSFVLSVWLLIIPFLTFWIWRLAFVRSFGEAQRLFLSHITTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDAEREDEGDRNGARAARRPPGQANRNFAGEANAEDAGGGQGVAGAGQIIRRNAENVAVRWEMQAARLEAHVEQIFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFLPFSLGRIILYYVSWLFSSASGPLLSTVMPLTDTALSIANYTLAAVVNLTSEGQDGGLFGQVADMLKVNASGLNDISKNTSTPLSADLLKGTNIGISRLSDVATLAIGYMFIFSLVFFYLGIVALIRYTKGEPLTTGRFYGIASIAETIPSLFRQSLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMAQRVQFFSVSPLACSLVHWVVGIVYMLQISIFVSLLRGVLRQGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKCLLRYWFTVVGWALGLTDYLLPRPEDNGGQDNVNPEPGRQDRLPAVPLGAQDQALVAIAAADDPNRGLLARENSNAEEYDSEEQSDSDRYSFVLRIVLLLVVAWMTLLVFNSALIVVPISLGRALFNAVPLLPITHGIKCNDLYAFIIGSYVIWTALAGARYSIEHIRTNRVTVLLSQIWKWCAIVLKSTALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDEGWRIKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGLFPVLGYPLVVNSAVYRFAWLGCLCLSALCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGENIEEKQKDTEISSEVRNSNLQGTDLILNNQEADVGMRLRRVHQQGA >Manes.07G072800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21341520:21350624:1 gene:Manes.07G072800.v8.1 transcript:Manes.07G072800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASAAAPPPPSDGESSDSIRPLSLSSSSSSFTATNRDLIEKDESNGASSSSSVSASAAASSSRYEDDDEEEDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKYAFSFSPVYAENAPARLPFQEFVVGMALKTCHVLQFFLRLSFVLSVWLLIIPFLTFWIWRLAFVRSFGEAQRLFLSHITTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDAEREDEGDRNGARAARRPPGQANRNFAGEANAEDAGGGQGVAGAGQIIRRNAENVAVRWEMQAARLEAHVEQIFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFLPFSLGRIILYYVSWLFSSASGPLLSTVMPLTDTALSIANYTLAAVVNLTSEGQDGGLFGQVADMLKVNASGLNDISKNTSTPLSADLLKGTNIGISRLSDVATLAIGYMFIFSLVFFYLGIVALIRYTKGEPLTTGRFYGIASIAETIPSLFRQSLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMAQRVQFFSVSPLACSLVHWVVGIVYMLQISIFVSLLRGVLRQGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKCLLRYWFTVVGWALGLTDYLLPRPEDNGGQDNVNPEPGRQDRLPAVPLGAQDQALVAIAAADDPNRGLLARENSNAEEYDSEEQSDSEYSFVLRIVLLLVVAWMTLLVFNSALIVVPISLGRALFNAVPLLPITHGIKCNDLYAFIIGSYVIWTALAGARYSIEHIRTNRVTVLLSQIWKWCAIVLKSTALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDEGWRIKFERVREDGFSRLQGLWVLREIVFPIIMKLLTALCVPYVLARGLFPVLGYPLVVNSAVYRFAWLGCLCLSALCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGENIEEKQKDTEISSEVRNSNLQGTDLILNNQEADVGMRLRRVHQQGA >Manes.05G020400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1841107:1842712:-1 gene:Manes.05G020400.v8.1 transcript:Manes.05G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIISGFNLDRLHSPDRSDRMDCLESTDVPSFFVCPISLQIMKDPVTISTGMTFDRESIQKWLFSYNHVICPITKQPLSDFSLTPNSNLRRLIQTWQLHNPSSSNSVDQNPKHDAWIHLSMLLEEIKQPHLQVKSLRKIKALIQEHHGNRTFCMGDDVLFSSVASLIAKHELQVGHDSSIIINEAVVVLCLLKPSDETLKIVSQKGNGLLIGSLCKIMTKNLCNQARIQAALLLKSIFKVVDEVYKAGLKPEFFESVTEILKDQNSKHGSMAVLTILMEVLPFGKNKEKAIKGGSIPVLVELLAETTETRTCEMMLVVLEKFCKKAEGRAAFLAHPIGLAAVLSKIMRVSHVGNEKAITLLLWVVRFCKSSKVAQEFMEVGGVAKLFMVVQSGCDSTIKDQAKEILGFLKNRWRNSPCFPSIVS >Manes.15G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:92161:93647:1 gene:Manes.15G003600.v8.1 transcript:Manes.15G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDTIPNLEVESTHGVIKLHDYIDTWTILFSHPGDFTPVCTTELGKMAAYAPEFSKRGVKLLGLSCDDVLSHVEWIKDIEAYTPGRKVTYPIIADPKRQVINQLNMVDPDEKDPSGNSVPSRSLHVVGPDKKIKLSFLYPASTGRNMDEVIRVLESLQRASKHKIATPVNWKPGDPVVISPDVSNDEAKRMFPQGYKTVDLPSKKEYLRLTNVD >Manes.04G159200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35340556:35342899:-1 gene:Manes.04G159200.v8.1 transcript:Manes.04G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLHNIFLITPPVQPPPPPSSSFHHPDQQPISVHEQCNILNNQDSWTTLKRYNLQESSRDALNIDGDNENCNTSSSVCRDCGNRAKKECEYRRCRTCCKSRGYDCAPHIKSTWVPAARRRGRHATDVGGSSGSSSGGGGGGDGNKRPRENVSATSNSFSTSNNNAAAFFSFDNASNCQDASFKQSLPSQVRAPAVFRCVRVTAISGGEAEVAYQAMVNISGHVFKGFLYDQGIDENNLNRDSTSPIVDPPDPYLASATHRVFQAGNE >Manes.14G144300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13337618:13341097:1 gene:Manes.14G144300.v8.1 transcript:Manes.14G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPKHCHLSTFMTAKIFAPLIFLITLNLFISEAQAWIKAAYYDSSSNLPVSSVNSALFTHLFYAFAGINSSTYHLSFPFSNESSVSTFTTTLKSKNPSVITVLSIGLAYGNYSIFSLMASQPSYRESFIGSSIETARLYGFVGLELCWLWPNTSFDMKNIGVLLDEWLAAINSESRNSSKPRLLLTMAVHRVPTSFPVESMQRNLDWANIIAFDYHVPLKENVTGNHAALFDPSGHANTDSGLKEWLKRGFPASKLVLGLPYHGYAWKLVNKNGDPNIGEPASGPAQSTDGSIGYKAIKSFISNYGYGANSIYNATYVVNYFTMWPVWINFDDVEAIRAKISYAKTKGLLGYSCFQLDNDDNWQLSRAAYEVGNDHEKKKPLPLWIIVCIPVSIVILLLLLGSVSYYTRKKMLKSKGNDAEQDAPNLQVFTLSEIKSATRNFSSENKLGEGGYGPVYKGKLTRGEEIAVKRLSKTSHQGIKEFKNEVKLTAKLQHVNLVKLLGFCIEKGEKMLIYEYMPNKSLDFYLFDPNRTLKLNWGQRVNIIEGIAQGLLYLQEYSNLTIIHRDIKASNILLDSKMKPKISDFGMARIMQKESNEANTGQIVGTYGYVPPEYVRRGIYSMKYDVYSFGVLLLQIISGKKNTCFYGWAENLNLLEYAYELWKMENGMEFMDETLDDSLSPCKLLRCLQIALLCVQERPADRPSILEVYSMLNNENAAISCPKRPAFSVKSGEDGDNSLCSMNSVSISQMLPR >Manes.04G008900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1115079:1122929:-1 gene:Manes.04G008900.v8.1 transcript:Manes.04G008900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKFYRRKSKNTKIGSMGCQPPQGQYYWIPETPAKLTQARPQKIIHARIDEEEKDKESQTKAPSFLFSDGLIDLNKSICDWEDTRIAACGSKDSGDSANCFLETALLAEQETKESRDSEEGEVDFILGDTKSSNNNVARILDFCSSGESNKGSLNCCPSVAPPAFPQSEIASQESPGAQLKDEIGRRKFPPSQISGSGIDRKIPESEGENLLPGNQNPMLSLAMENGISNSECDATQCDKQNEKGGGLEPATPMLDVKKRQRKETDVNQSPLKRTKIKKHRHRPKIAGQGRSKKHFKISLEGNKPKRKNVRKNQEKKEKGSFLRKTEYKKSSIQQTFTAEHHTEIVAAKVSSLSGVKYQNDERIIEIMQPEADDHSNDDKPIDVNHGSKSVMESNLGSFGGKELLNDLRVDINSIRWSSYGIRSARDYCRNSFSRKKIGFQNGCLWVSQMSLKVNHCLSNSRRFQPNFPRSCRRRRTKRKRKSVVCIILGSFCLSGCIKKKRSKRFLPRRNLWKQFIQRIIDKFKKLNISDITAIVPHKGSESTKKPKPMVLLDSVTIKRWNKLMKIDDGPDDEKVEGEDHKKWEEERKIFRGRVEAFNSIMHTVLGDRSFRPWKGSVVDSVVGVFLTQNVSDFLSSSAYMSLAAKFPAKSPTNDQETVADGLENNNSQSSTRSNICFTEATQDEDGNQYFITEPVDMTEEMSLVDIEDLGNTVLPWAQSSSRSPSEILEVHENVVYENISEEKNWMKGDQHAQNEVNETNMKILHLVTRIRYALKAVADKTKKTGGLKKEKFKEVEKRDWDELRKMYSRPITSEHTDTVNWEAVKEAPVTEIAEIIKGRGQHTIIAKRIQDFLKRLLDYHGSIDLEWLRYAPSDLVKAYLLEIPGLGLKSVECVRLLALEDKAFPVDVNVARILVRLGWIPLEPLPGNLQFHLLEEYPIMDSIQKYLWPRLAELDQRTLYELHYHMITVGKVVCTKKNPNCRACPMKAECRHFASAVASANMLPGPSRKDEERSIVPLGNYASVGNCDLVTLRSTSLLESNKTLASELRSQNCEPIIEEPKSPQLEQVTDDLTIEEIIYDDKEAKIPTIKLNNESFKKNVHYFMDKYGQNLQSLHLSRDIVPVYVDVDSVPLHKLKHTNRLRTEHRVYEIPDNHELLRGLQVQKRDPDDPLPYLLAIWTAGETPESCEPPKQKCNSQGIELCNDQTCSSCQTILEARADIVRGTILIPCRTAMRGRFPLNGTYFQVNEVFAEHQSSYNPIIVHRSSIWHLKRRTVYIGTSPTSIFKGTSSIREIQENFWRGFICVRGWDSKTGEPKPLSKRFHCPPSKMEKTGRRTHQSAGNRK >Manes.18G144929.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21737806:21739297:1 gene:Manes.18G144929.v8.1 transcript:Manes.18G144929.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.12G074302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8329026:8334191:1 gene:Manes.12G074302.v8.1 transcript:Manes.12G074302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLSNLSLFFPKNPHHPQPILFHSSNVEPIWSVKAQKTQSQKTYSQNQRQSSNYQPTVWSHSFIQSLKNENADLLYKDKARKLEEETRAAIYNENEDFLTILELIDDIQRLGLAFRFEKDIKRVLDRFVESKGRNLWTQKSLQATSLIFRLLRQYGYEVSQDEFKNFMDDKGNLLAIYKKDVKVMLSLYEASSLRFEGEDLLDEAMAQTRTHLINTLQKGNLSELDNSTMESISHALELPLYRRMVMLKARWYIEAYNKRKNANHSLLQLAKINFNMVQSILQRDLKEMSRWWNNLGLAGKLTFSRDRLMECFFWTVGMEFEPQFSSCRKGLTKVTSFITIIDDVYDVYGTLDELELFTDAVERWDIDAVKDLPEHMKLCFLALYNTVNEMTYDTLAKHGEFVHPYLKKSWADLCKAFLQEAKWRHKKFTPSFNEYIENGWRSVSGTVILMHAYLLLDQNISKQGLDSLVNYHHILKWPSVVFRLCNDLATLSGELDRGETINSISCYMDEHGVSEEHARKEINKMIDNAWKKMNQYNQTEVNSFTKPFIEASINLARISQCVYQHGDGHGAPDTKSKKRVVSLIIEPISK >Manes.11G121500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28263059:28265014:1 gene:Manes.11G121500.v8.1 transcript:Manes.11G121500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCHNIKTSPLFSSFLLVLLLLPCTNPISFEITRFDPNDISIIYEGGAAPIAGNIEFSNVDWCQVGRATYFKKLPIWNSKTKQLSDFTTHFSFFIDVQVRNIYGSGLAFFLAPVESHVPLNSAGGFMGLYNFSNIYSHQNQIVHIEFDSSLNPEWDPPFLHVGINNNSLESATFTRWNASFHTGDTADVWINYNAITKNLSLSWKYQTTSLPLENTSLSLIIDLTTVLPEWVIIGFSASTSNRIERHVLQSWKFNSTLEMHEEKENDARKIILTALLSIVGGFLLAAMAAVLIFWIRKKREKTAEEMNLISMNDDIESVAGPRRYSYQDLVLATSNFSGERKLGQGGFGAVYKGYYTHLGMYIAVKKITKHSNKDVKKDYIAEAKIISQLRHRNLVPILGWCHDKGEFLLVYEFMPNGSLDSHLFKKKIPLTWTARYRIALGLASALLYLHEGSEKCVLHRDIKPSNIMLDSNMNAKLGDFGLALFMESDLGPETTGLAGTNGYMAPEYISTRRASKESDVYSFGLVALEIVTGRMAVDPIGEEDEAVTLVKWVWDLYETGELNKAVEGILTDFDETQVKCLLIVGLWCAHSDRSMRPSIMQAIQVLNFQAELPNLLTMMTVHDSNAARPLFSFGDEPVMSHSSFGVGR >Manes.09G020700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4270619:4273797:-1 gene:Manes.09G020700.v8.1 transcript:Manes.09G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDPGLKPEVGSGSGSGGGSGGGESSEAVIANDQLLLYRGLKKPKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGISKYRGLSSRWDSSFGRMPGSEYFSSINYADDPAAENEYVGSLCFERKIDLTSYIKWWGSNKTRQADSISKSSEETKCGYVEDIGELKTSECAIQPTEPYQMPRLGMPVDGKKHKGSKISALSILSQSAAYKNLQEKASKKQENNADNDENENKNTNNMDYGKAVEKPTTHDGSNERLGAALGMSGGLSLQRNVYQLTPFLSAPLLTNYNTVDPLVDPILWTSLVPVLPTGLPRNSEVTKTETSSTYTFFRPEE >Manes.14G157701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22320976:22325295:-1 gene:Manes.14G157701.v8.1 transcript:Manes.14G157701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLVQVFKVKLIKISRFTWKMSSTLQFQRLPFKLFSLLIFLSFNTAFPYDIIPRLDPLRGRVRRLPETSGASMSDDFQTFYYTQTLDHFNYRPESYTTFKQRYLINFKYWGGANVSAPIFAYLGAEAPIDNDLAFIGFLTENAAQFGALVVFIEHRFYGKSVPFRSFIKALENANLRGHFNSAQALADYAEILIYLKKKLSAPYSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPLLYFDNITPQDAYFWVVTKDFREASESCYQTIRKSWGEIDKVASQPNGLSILSQRFNTCYPLKDPSDLKEFLISIYADAAQYDAPPDYRVTMICDAIDEGPFGKDILSKIFAGVVAYSGTSPCYVNPHETPTESDLGWEWQTCSEMVIPLGISNNSMFQTDPFTVSSRIKQCKTEFGVVPRPHWITTYYGGNDIKLILQRFGSNIIFSNGLRDPYSSGGILENISDTVLAVYTVNGSHALDVLRAEATDPQWLIKQRKTEVEIIKAWIAKYYADLLAYKH >Manes.11G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3506510:3513678:-1 gene:Manes.11G036300.v8.1 transcript:Manes.11G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQISNLRFLLSPIKPSLPFPFSKPKPYSLFTVLCSSSSSRRHRTTPNHQSLNFRSRSNSTSSREDNSKSRERGKGLPMEDTGNGTSGFNKRRAEGIDKSDKPKRNLQLKTRRLNPTNTISYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGIPGLLLTLAGMGEEGMSVNLWGPSDLQYLVDAMKSFIPHAAMVHATSFGSDATAQSHASNFMNPIGLIKNEVVKISAILLRPSYIEGAAVKPGDMSVIYVCELPEIMGKFDPEKAKALGLKPGPKFSELQSGISVKSDHQDIMVHPSDVMGPSVPGPVVFLVDCPTESHAQELLSIQSLNCYTADYSDSPPQNVKTVTCIIHLSPASVITSPSYQKWMKKFFSSQHIMAGHEMKNVEIPILKSSSRITARLNYLCPQFFPSPGFWSLKQLNCSNVEPIFSGEDYVLKAPEIISAENLLKFTLRPHAHLGLDKSNIPSLIAPSDVIEELVTEIPEIVDAAQHVRQFWHGSGETKGYMTLGQDNKVMIEEPWLVDNALPSCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVYINLFSKGGLLLDCGEGTLGQLKRRYGLEGADIVVRNLKCIWISHIHADHHTGLSRILALRRDLLKGMAHEPLVVVGPRQLKRFLDAYQKLEDLDMQFLDCRSTNLASWEAYEGNSEHKDHSATGNPNNLEDVSTPTVSTEATLFARGSRMQSYWKRPGSPVDNAMSFPVLKSLKKVLSEAGLEALISFPVVHCPQAFGIMLKAAERINAVGKIIPGWKIVYSGDTRPCPELVEASKGATVLIHEATFEDDLVEEAVARNHSTTKEAIEVGDSAGAYRIILTHFSQRYPKIPVFDETHMHKTCIAFDMMSVNVADLPVLPKVLPYLKLLFKNEMIVDELDDAADAVSAVS >Manes.15G034000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2680744:2684282:-1 gene:Manes.15G034000.v8.1 transcript:Manes.15G034000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGASSGSTLRFVNFQKTGKPLFLPLEQLLFVDKSRTRNVESSRIRASNDENSSLDTWKNASDLDKNIVEFQNRTEGVAGIDSGDNADNNGGHRVNLKEKSEDFRKSLDVSKEESDMIQRMQVIDRAAAAIAAARAILSERLPGNSKANDGDNSNFTGEGGGLGGGVEKKQGDFWSWRPPTDTEKSQYDADLLEAKRSLISPILASPVAMKERCLDFLNIPLESKLSETSSIPPIPPLQSLMEVKKDEVSVSDLDTPSTKEEDSKLNLLCHAHELEIAHVLDEVDEESSGVDPNGSRWWKETGIERRPDGVICRWTMTRGARPNQDVEWQEKFWEASDEFGYKELGSEKSGRDATGNVWREYWRESMWQESGLLHLEKTADKWGKNGRGDEWKEEWWEHYDASNQAEKWAHKWCSVDPTTQLEAGHAHIWHERWGEKYDGHGGTLKYTDKWAERCEDDMWTKWGDKWDERFDPNGHGVKQGETWWEGKHGKRWNRTWGEHHDGSGWIHKYGKSSSGEHWDTHVEQETWYERFPHFGFHHCLDNSIQLREVPKPSDRRQVLKPSD >Manes.15G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2680744:2684282:-1 gene:Manes.15G034000.v8.1 transcript:Manes.15G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGASSGSTLRFVNFQKTGKPLFLPLEQLLFVDKSRTRNVESSRIRASNDENSSLDTWKNASDLDKNIVEFQNRTEGVAGIDSGDNADNNGGHRVNLKEKSEDFRKSLDVSKEESDMIQRMQVIDRAAAAIAAARAILSERLPGNSKANDGDNSNFTGEGGGLGGGVEKKQGATNNGSTFVSRIDHSGNGVPGPDFWSWRPPTDTEKSQYDADLLEAKRSLISPILASPVAMKERCLDFLNIPLESKLSETSSIPPIPPLQSLMEVKKDEVSVSDLDTPSTKEEDSKLNLLCHAHELEIAHVLDEVDEESSGVDPNGSRWWKETGIERRPDGVICRWTMTRGARPNQDVEWQEKFWEASDEFGYKELGSEKSGRDATGNVWREYWRESMWQESGLLHLEKTADKWGKNGRGDEWKEEWWEHYDASNQAEKWAHKWCSVDPTTQLEAGHAHIWHERWGEKYDGHGGTLKYTDKWAERCEDDMWTKWGDKWDERFDPNGHGVKQGETWWEGKHGKRWNRTWGEHHDGSGWIHKYGKSSSGEHWDTHVEQETWYERFPHFGFHHCLDNSIQLREVPKPSDRRQVLKPSD >Manes.17G039000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23067279:23073075:-1 gene:Manes.17G039000.v8.1 transcript:Manes.17G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRLFQRASKSFRDYPLLSKVLVVCAVSGGSLLAYADANSSSGVHALAPPEEVSKKKKVVVIGTGWAGTSFLKKLNNPSYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIVRKKNVDICFWEAECFKIDAENKKVYCRCNQNSNMNGKEEFVVDYDYLVIAMGARPNTFNTPGVMEYCNFLKEVEDAQKIRRTVIDNFEKASLPNLSNEERKRILHFVVVGGGPTGVEFAAELHDFVNEDLVKLYPAAKDFVKITLLEAADHILNMFDKRITDFAEEKFKRDGIDVKLGSMVVKVSDKEISTKVRGNGETASIPYGMAVWSTGIGTHPVIRDFMKQIGQTNRRALATDEWLRVEGCNNVYALGDCATINQRKVMEDISAIFKKADKDDSGTLTVKEFQEVIDDICERYPQVELYLKNKKMRNIVDLLKEAKGDVAKESIELNIEEFKTALSEVDSQMKNLPATAQVASQQGTYLANCFNRMEDAEKNPEGPLRFRGEGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWTRRFIFGRDSSRI >Manes.17G039000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23067279:23073075:-1 gene:Manes.17G039000.v8.1 transcript:Manes.17G039000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSILVQKNVDICFWEAECFKIDAENKKVYCRCNQNSNMNGKEEFVVDYDYLVIAMGARPNTFNTPGVMEYCNFLKEVEDAQKIRRTVIDNFEKASLPNLSNEERKRILHFVVVGGGPTGVEFAAELHDFVNEDLVKLYPAAKDFVKITLLEAADHILNMFDKRITDFAEEKFKRDGIDVKLGSMVVKVSDKEISTKVRGNGETASIPYGMAVWSTGIGTHPVIRDFMKQIGQTNRRALATDEWLRVEGCNNVYALGDCATINQRKVMEDISAIFKKADKDDSGTLTVKEFQEVIDDICERYPQVELYLKNKKMRNIVDLLKEAKGDVAKESIELNIEEFKTALSEVDSQMKNLPATAQVASQQGTYLANCFNRMEDAEKNPEGPLRFRGEGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWTRRFIFGRDSSRI >Manes.17G039000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23067279:23073075:-1 gene:Manes.17G039000.v8.1 transcript:Manes.17G039000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGSGGSLLAYADANSSSGVHALAPPEEVSKKKKVVVIGTGWAGTSFLKKLNNPSYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIVRKKNVDICFWEAECFKIDAENKKVYCRCNQNSNMNGKEEFVVDYDYLVIAMGARPNTFNTPGVMEYCNFLKEVEDAQKIRRTVIDNFEKASLPNLSNEERKRILHFVVVGGGPTGVEFAAELHDFVNEDLVKLYPAAKDFVKITLLEAADHILNMFDKRITDFAEEKFKRDGIDVKLGSMVVKVSDKEISTKVRGNGETASIPYGMAVWSTGIGTHPVIRDFMKQIGQTNRRALATDEWLRVEGCNNVYALGDCATINQRKVMEDISAIFKKADKDDSGTLTVKEFQEVIDDICERYPQVELYLKNKKMRNIVDLLKEAKGDVAKESIELNIEEFKTALSEVDSQMKNLPATAQVASQQGTYLANCFNRMEDAEKNPEGPLRFRGEGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWTRRFIFGRDSSRI >Manes.03G127000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25243261:25245881:-1 gene:Manes.03G127000.v8.1 transcript:Manes.03G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPSCATRLLFASKPQKPPSLLPKLSSKFLGMQNKGSWVRPCRIGPSNGSRVKCWFKFGKNGVDAEGAGIYGSQSRDDFDRDDVEQYFNYMGMLAVEGSYDKMEALLSLNIHPVDILLMLAASEGDKPKIEELLRAGASYTVKDADGRTALDRANEEIREFIIGFSVQKA >Manes.10G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8855564:8858440:-1 gene:Manes.10G062800.v8.1 transcript:Manes.10G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLTLPSSSINSCWNRYDDKLFEEALIRFPEELPDRWQEIAAYTGKSVQEVTEYYEYLAHDVFEIDSGRIELPIYSDDLVDSTMAALDQISFGNKTKLREAERKKGTPWTEEEHRKFLFGLEKFGKGDWRSISRNVVVTRTPAQVASHAQKYFLRQNSVRKERKRSSIHDITIVDNNSVALPADQNWIPSPGAPFHQPSF >Manes.02G147000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11309229:11320179:1 gene:Manes.02G147000.v8.1 transcript:Manes.02G147000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGFHDGNIYNLLESRYFRARHDINHGLQMHSSLIRRLSQENELEGHQGCVNSIAWNSTGSLLISGSDDTRMNIWSYNGRKLLHSIDTGHSANIFCTKFIPETSDELVVSGAGDAEVRLFNLSRLSGIGPDDNAIAPTAVYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSPQECRNVLLDLRCGAKRSLPDPPRQTLALKSCDISASRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMPPPPCVNYFCPMHLSERGRSGLHLTHVTFSPSGDEVLLSYSGEHVYLMNVNHAGGGAMRYASGDASKLMTFNPILNGLELQPPPSVVYKGSLCFKSNVASVLEKCRKLVQIAEKCLEGSNYYEGIEACNEVLDRYGHDIGPAIRHDCLCTRAGLLLKRKWKNDVHMAIRDCYNARRIDSSSFRALYYMSEALSQLGKYKEALEFAVAAQSVVPSNIEIAELIDNLQKNLAAAEAEKTNKANDGPLRSESHSGRALSLSDILYRSEANSDASQDGPTSEREDSDYDEELELDFETSISGDEGREIEPNTLHGSLNLRIHRRGDSTRETSCVNGSCGSPSSSQNDRKPYQPETVIDMKQRFVGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCVQCHPFDCVVATSGIDNTIKIWSPTASVPSIVTGGSAGPETSNVLEAMESNQHRLSHNREVILCSPFELLERFRMHEFTEGTLHPLECAQS >Manes.02G040300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3300362:3303326:-1 gene:Manes.02G040300.v8.1 transcript:Manes.02G040300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCNRFISRSSLSSLKSVIRSNAPKSPVIRSTISSSPSPHSPSSSLPRRFSFSSRAPSELGCVQSLLPLHSAVATARMTSCLSTTSRSCRALSQGTLCCTSPGL >Manes.02G040300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3300769:3303326:-1 gene:Manes.02G040300.v8.1 transcript:Manes.02G040300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCNRFISRSSLSSLKSVIRSNAPKSPVIRSTISSSPSPHSPSSSLPRRFSFSSRAPSELGCVQSLLPLHSAVATARMTSCLSTTSRSCRALSQGTLCCTSPGL >Manes.02G040300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3300362:3303326:-1 gene:Manes.02G040300.v8.1 transcript:Manes.02G040300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCNRFISRSSLSSLKSVIRSNAPKSPVIRSTISSSPSPHSPSSSLPRRFSFSSRAPSELGCVQSLLPLHSAVATARMTSCLSTTSRSCRALSQGT >Manes.02G040300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3300362:3303326:-1 gene:Manes.02G040300.v8.1 transcript:Manes.02G040300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCNRFISRSSLSSLKSVIRSNAPKSPVIRSTISSSPSPHSPSSSLPRRFSFSSRAPSELGCVQSLLPLHSAVATARMTSCLSTTSRSCRALSQGT >Manes.02G040300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3300362:3303326:-1 gene:Manes.02G040300.v8.1 transcript:Manes.02G040300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCNRFISRSSLSSLKSVIRSNAPKSPVIRSTISSSPSPHSPSSSLPRRFSFSSRAPSELGCVQSLLPLHSAVATARMTSCLSTTSRSCRALSQGTLCCTSPGL >Manes.02G040300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3302534:3303326:-1 gene:Manes.02G040300.v8.1 transcript:Manes.02G040300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCNRFISRSSLSSLKSVIRSNAPKSPVIRSTISSSPSPHSPSSSLPRRFSFSSRAPSELGCVQSLLPLHSAVATARMTSCLSTTSRSCRALSQGTLCCTSPGL >Manes.15G114900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9122818:9129313:1 gene:Manes.15G114900.v8.1 transcript:Manes.15G114900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCKTQLSVEKEERRAATSKEQAYPKMAMTAFQFHHCRPFLPSSTKSQPSASPRVSCMASHLSAKCRRDYTSVMIVPTGVGASIGGFAGDALPVARALSSVVDCLITHPNVLNAAMLYWPMQNVLYVEGYALDRFAEGSWALHPVHRNKVGLVLDNGIEEELRIRHLQAADATRASLGLPVVEYVVTDTPLEVAKWVDPKSGQSTGRIKHPDSLLRAVQTLIDRSQVNAIAVVARFPDDDLDNVDDYRQGMGIDVLAGVEAVISHLVVKEFQIPCAHAPATRPLPMTLSLCPKSAAEESSTDWIHFLAVCACWAKQSTTIFDACGGDGALAFARSKQNKPLIITVEENETVLNSTPDKLGINTVMVANYWEAIGVIAAHKAGIDPQSLRRNRIGNIPCASYAPTNGFSLSSV >Manes.15G114900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9122818:9129018:1 gene:Manes.15G114900.v8.1 transcript:Manes.15G114900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCKTQLSVEKEERRAATSKEQAYPKMAMTAFQFHHCRPFLPSSTKSQPSASPRVSCMASHLSAKCRRDYTSVMIVPTGVGASIGGFAGDALPVARALSSVVDCLITHPNVLNAAMLYWPMQNVLYVEGYALDRFAEGSWALHPVHRNKVGLVLDNGIEEELRIRHLQAADATRASLGLPVVEYVVTDTPLEVAKWVDPKSGQSTGRIKHPDSLLRAVQTLIDRSQVNAIAVVARFPDDDLDNVDDYRQGMGIDVLAGVEAVISHLVVKEFQIPCAHAPATRPLPMTLSLCPKSAAEEIGYTFLPCVLAGLSRAPQYLVKDSHYSEKGCLFASDVDSVLLPVDACGGDGALAFARSKQNKPLIITVEENETVLNSTPDKLGINTVMVANYWEAIGVIAAHKAGIDPQSLRRNRIGNIPCASYAPTNGFSLSSV >Manes.15G114900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9122818:9129317:1 gene:Manes.15G114900.v8.1 transcript:Manes.15G114900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPTGVGASIGGFAGDALPVARALSSVVDCLITHPNVLNAAMLYWPMQNVLYVEGYALDRFAEGSWALHPVHRNKVGLVLDNGIEEELRIRHLQAADATRASLGLPVVEYVVTDTPLEVAKWVDPKSGQSTGRIKHPDSLLRAVQTLIDRSQVNAIAVVARFPDDDLDNVDDYRQGMGIDVLAGVEAVISHLVVKEFQIPCAHAPATRPLPMTLSLCPKSAAEEIGYTFLPCVLAGLSRAPQYLVKDSHYSEKGCLFASDVDSVLLPVDACGGDGALAFARSKQNKPLIITVEENETVLNSTPDKLGINTVMVANYWEAIGVIAAHKAGIDPQSLRRNRIGNIPCASYAPTNGFSLSSV >Manes.15G114900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9122818:9129325:1 gene:Manes.15G114900.v8.1 transcript:Manes.15G114900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPTGVGASIGGFAGDALPVARALSSVVDCLITHPNVLNAAMLYWPMQNVLYVEGYALDRFAEGSWALHPVHRNKVGLVLDNGIEEELRIRHLQAADATRASLGLPVVEYVVTDTPLEVAKWVDPKSGQSTGRIKHPDSLLRAVQTLIDRSQVNAIAVVARFPDDDLDNVDDYRQGMGIDVLAGVEAVISHLVVKEFQIPCAHAPATRPLPMTLSLCPKSAAEEIGYTFLPCVLAGLSRAPQYLVKDSHYSEKGCLFASDVDSVLLPVDACGGDGALAFARSKQNKPLIITVEENETVLNSTPDKLGINTVMVANYWEAIGVIAAHKAGIDPQSLRRNRIGNIPCASYAPTNGFSLSSV >Manes.15G114900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9122818:9129317:1 gene:Manes.15G114900.v8.1 transcript:Manes.15G114900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCKTQLSVEKEERRAATSKEQAYPKMAMTAFQFHHCRPFLPSSTKSQPSASPRVSCMASHLSAKCRRDYTSVMIVPTGVGASIGGFAGDALPVARALSSVVDCLITHPNVLNAAMLYWPMQNVLYVEGYALDRFAEGSWALHPVHRNKVGLVLDNGIEEELRIRHLQAADATRASLGLPVVEYVVTDTPLEVAKWVDPKSGQSTGRIKHPDSLLRAVQTLIDRSQVNAIAVVARFPDDDLDNVDDYRQGMGIDVLAGVEAVISHLVVKEFQIPCAHAPATRPLPMTLSLCPKSAAEEIGYTFLPCVLAGLSRAPQYLVKDSHYSEKGCLFASDVDSVLLPVDACGGDGALAFARSKQNKPLIITVEENETVLNSTPDKLGINTVMVANYWEAIGVIAAHKAGIDPQSLRRNRIGNIPCASYAPTNGFSLSSV >Manes.15G114900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9122818:9129018:1 gene:Manes.15G114900.v8.1 transcript:Manes.15G114900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPTGVGASIGGFAGDALPVARALSSVVDCLITHPNVLNAAMLYWPMQNVLYVEGYALDRFAEGSWALHPVHRNKVGLVLDNGIEEELRIRHLQAADATRASLGLPVVEYVVTDTPLEVAKWVDPKSGQSTGRIKHPDSLLRAVQTLIDRSQVNAIAVVARFPDDDLDNVDDYRQGMGIDVLAGVEAVISHLVVKEFQIPCAHAPATRPLPMTLSLCPKSAAEEIGYTFLPCVLAGLSRAPQYLVKDSHYSEKGCLFASDVDSVLLPVDACGGDGALAFARSKQNKPLIITVEENETVLNSTPDKLGINTVMVANYWEAIGVIAAHKAGIDPQSLRRNRIGNIPCASYAPTNGFSLSSV >Manes.13G004400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:981203:984559:-1 gene:Manes.13G004400.v8.1 transcript:Manes.13G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKLFNEEDSSLNVDVSNIEINKEFARRYEHNKKREALQRYQELKKRRQIEESGSESESESSDEEDDAANKKEDIKKLFQNLNKVRKRDDSLYQNADLFEPESDSDDESEGRERKKKKAMYLKDVVAQHLMEEGPDFEDEDEELTRKKTYNEEQEELRREFLDVVKKAEDSGGDLLKAKEKKNENDEDAGVDHGELEKELGEYFGPEEELDENDKFLKEFFEKQMWVDRGNKDRTSHLEDTEVDELLRDEEEIERQEKYEESYNFRYEENAGDRVMGHSRKVEGSVRKKENARKEQRKNKEERMKIAEMERKEELKHLKNLKKKEMKERMTKVMEAAGITDDNDFALNLDDLEEDFDPAEYDKMMKKAFGEEYYNADDVDPGFGSDNDNDTASIEKPDFDKEDELLGLPKGWDEVEDADGFLAVRERVLKRKAELGDDDDDNEEDEDRGNEEDEGGNEEDEGGNEEDEEGGKEVEQNEESKRKRKRKMSLVKKAKEEMLEEYYKLDYEGTIGDLKTRFKYAKVDSNRFGLKTKEILMLDDKELNQYVPIKKLAPYRERQWKVPNNKRYEFKKRTKELLRGGLNHHGTSKKNRRKGDGDDKSTSVEAPHQDGKVELEGSDVKLGNISKPAKRKRRQAKHKLSQSRLAAYQNIQPKGKEKH >Manes.01G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32089862:32095922:-1 gene:Manes.01G125800.v8.1 transcript:Manes.01G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPSRFCNSFPSPLPHNLSVKFSSISFSSFQFSPPPCISHSISSHTRNHSYNARIRRRRNIFIGSCTTSSKNVELESKSSDSNGDSSSTPDFDCVGTGLDVECLVSSSPSSETNGTMFVVEGGGGGDESKSDLLEMMVETGVLVSPFFFWGTAMVAMKEVLPLVGPFFVAAFRLIPAGLLLVAFAASKGRPLPSGFTAWLSIALFGLVDAACFQGFLAEGLQRTSAGLGSVIIDSQPLTVAVLAALLFGESIGLVGVAGLVLGVIGLILLELPALAIDESNFSLWGSGEWWMLLAAQSMAVGTVMVRWVTKYSDPVMATGWHMVIGGIPLVVISILNHDPAFSGSLKELTGSDILALLYTSIFGSAISYGVYFYSATKGSLTKLSSLTFLTPMFASIFGFLYLGETFSPSQLVGAIVTLIAIYMVNYRDSTE >Manes.01G125800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32089862:32095927:-1 gene:Manes.01G125800.v8.1 transcript:Manes.01G125800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPSRFCNSFPSPLPHNLSVKFSSISFSSFQFSPPPCISHSISSHTRNHSYNARIRRRRNIFIGSCTTSSKNVELESKSSDSNGDSSSTPDFDCVGTGLDVECLVSSSPSSETNGTMFVVEGGGGGDESKSDLLEMMVETGVLVSPFFFWGTAMVAMKEVLPLVGPFFVAAFRLIPAGLLLVAFAASKGRPLPSGFTAWLSIALFGLVDAACFQGFLAEGLQRTSAGLGSVIIDSQPLTVAVLAALLFGESIGLVGVAGLVLGVIGLILLELPALAIDESNFSLWGSGEWWMLLAAQSMAVGTVMVRWVTKYSDPVMATGWQ >Manes.13G131000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33947915:33950170:1 gene:Manes.13G131000.v8.1 transcript:Manes.13G131000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSPTNLPSSLEFFKVFLSGISSQQLSLPPAFVMQLNGFALKNATLKDHTGMMWNVQLEKTENDLIIKKGWQEFASHHSLVDADFLVFKYDGNSQFSVKLYGKNGLKKENTLPSKVSSTHMDESETEEEKNRSPSTGGCKLKYSEMTSKENGRQGRYGGSSKAAGSSGRRQYKFRQAAGSSRERRRELRQNTVAKNEKCKLGRAYVTPENPHFVTIMTRSTQYTVHIHKSVVKAHNIKLQEKVTLRDENGQLWPVKIIFRDDGRIGISEGWFNFRVKHKLAIKDMCVFEFMPREDNICNLIQVHTVQRNGEFDKKQAQPNTHVQSNE >Manes.07G110700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31649863:31651966:1 gene:Manes.07G110700.v8.1 transcript:Manes.07G110700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPNLSLKLLVDKKVTRVVFAEGGKSFVDFLFNLLSLPIGTVIKALKNGSMVGCIGNLYGSLENLNEAYMQPNENKGSLLNPRIPAPATEAPLLLPDSNPQPIKTKLLYRCPNHHPCVTDSKDYRCPWCSNNMSEQVAFIGMNDSAANSTSQGGYVKDLVTYMVTDDLSVSIMSMISGVAPLNKFNVKDLMCLRKRWWSLGLTRVWSC >Manes.03G083194.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14780753:14784645:1 gene:Manes.03G083194.v8.1 transcript:Manes.03G083194.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIKILNGFGMSLGILYIIGFGFGCSQFCGYPTRLHPYNQLHDCLKNPNSITLLSPPSIRRKYEHQHHPRATMATYDLTPRIAPNLDRHLVFPLLEFLQERQLYPEEQIFKSKIELLSKTNMVDYAMDIHKSLYHTEDVPQDMIERRAEVVARLKALEEGAAPLVAFLQNVNAVQELRADKQYNLQMLNDRFQIGPKQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQMQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLSTAFIVNKRRRPQFKDFIKVLQQEQQSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEDSNFSTVPMRDEFLENARLFIFETYCRIHQRINMGVLAEKLNLNYEEAERWIVNLIRNSKLDAKIDSQSGTVIMEPNQPNVYEQLIDHTKAISGRTYKLVGQLLEHAQAQAVR >Manes.14G071000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5890087:5894985:1 gene:Manes.14G071000.v8.1 transcript:Manes.14G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRESCRNELRTAIRQLSDRCLYSASKWAAEQLVGIDQDPAKFTPSNTRFQRGSSSIRRRFRTNDITSTPPAGVSYVSTPVMEEDEVVDNDFYLLAKSYFDCREYRRAAHVLRDQTGKKATFLRCYALYLAGEKRKEEEMIELEGPLGKSDTVNREVASLERELSILRKNGTIDPFGLYLYGLVLKEKGNQNLARTVLTESVNSYPWNWSAWSELQSMCTTVEILNGLTLSNHWMKDFFLASAYQELRMHNESLTRYEYLQSTFSFSNYIQAQIAKAQYCLREFEQVEVIFEELLRNDPYRVEDVDMYSNVLYAKECFSALSYLAHRVFMTDKYRPESCCIIGNYYSLKGQHEKSVVYFRRALKLNKNYLSAWTLMGHEYVEMKNTPAAIDAYRRAVDINPRDYRAWYGLGQAYEMMGMPFYALHYFKKSVFLQSNDSRLWIAMAQCYETDQLHMLEEAIKCYRRAASCNDREAIALHQLAKLHAELGRSEEAALYYKKDLERMEAEEREGPNMVEALLFLAQHCREQKRFEEAEVYCTRLLDYTGPEKETAKSMLRGMRTSESSFPLMDIEHFHP >Manes.02G063600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4850445:4850696:-1 gene:Manes.02G063600.v8.1 transcript:Manes.02G063600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSKSLFYEAPLGYSIEDVRPHGGIKKFRSAAYSNCVRKPS >Manes.S028516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:559977:561388:1 gene:Manes.S028516.v8.1 transcript:Manes.S028516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRTRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.16G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32740767:32746350:-1 gene:Manes.16G126100.v8.1 transcript:Manes.16G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNLSQDLPLHHFSSEQTQQQQQQNIPESNAAPNWLNTALLRTQQPQPPSHSHFTDTNFLNLHTTSTTNSDSTASQNPTQWLSRSSSILNRNHSDVIDDVTAATAADSIIVGTISHESPDLKTNTNNNSGTMNNKSEGGVVESGGGGEGVVNWQNARYKAEILSHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGTQGLAGDEKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDPSLDGSDAMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKSNAGDSSGDRFM >Manes.16G126100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32740767:32746350:-1 gene:Manes.16G126100.v8.1 transcript:Manes.16G126100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNLSQDLPLHHFSSEQTQQQQQQNIPESNAAPNWLNTALLRTQQPQPPSHSHFTDTNFLNLHTTSTTNSDSTASQNPTQWLSRSSSILNRNHSDVIDDVTAATAADSIIVGTISHESPDLKTNTNNNSGTMNNKSEGGVVESGGGGEGVVNWQNARYKAEILSHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGTQGLAGDEKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDPSLDGSDAMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKSWWQSHSKWPYPTVSIWRSSFGLNWIAWELLFAFVACLTAKHQL >Manes.16G126100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32740767:32746350:-1 gene:Manes.16G126100.v8.1 transcript:Manes.16G126100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNLSQDLPLHHFSSEQTQQQQQQNIPESNAAPNWLNTALLRTQQPQPPSHSHFTDTNFLNLHTTSTTNSDSTASQNPTQWLSRSSSILNRNHSDVIDDVTAATAADSIIVGTISHESPDLKTNTNNNSGTMNNKSEGGVVESGGGGEGVVNWQNARYKAEILSHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGTQGLAGDEKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDPSLDGSDAMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKSNAGDSSGDRFM >Manes.16G126100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32740767:32746350:-1 gene:Manes.16G126100.v8.1 transcript:Manes.16G126100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNLSQDLPLHHFSSEQTQQQQQQNIPESNAAPNWLNTALLRTQQPQPPSHSHFTDTNFLNLHTTSTTNSDSTASQNPTQWLSRSSSILNRNHSDVIDDVTAATAADSIIVGTISHESPDLKTNTNNNSGTMNNKSEGGVVESGGGGEGVVNWQNARYKAEILSHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGTQGLAGDEKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDPSLDGSDAMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKR >Manes.16G126100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32740767:32746350:-1 gene:Manes.16G126100.v8.1 transcript:Manes.16G126100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNLSQDLPLHHFSSEQTQQQQQQNIPESNAAPNWLNTALLRTQQPQPPSHSHFTDTNFLNLHTTSTTNSDSTASQNPTQWLSRSSSILNRNHSDVIDDVTAATAADSIIVGTISHESPDLKTNTNNNSGTMNNKSEGGVVESGGGGEGVVNWQNARYKAEILSHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGTQGLAGDEKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDPSLDGSDAMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKSWWQSHSKWPYPTVSIWRSSFGLNWIAWELLFAFVACLTAKHQL >Manes.16G126100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32740767:32746350:-1 gene:Manes.16G126100.v8.1 transcript:Manes.16G126100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNLSQDLPLHHFSSEQTQQQQQQNIPESNAAPNWLNTALLRTQQPQPPSHSHFTDTNFLNLHTTSTTNSDSTASQNPTQWLSRSSSILNRNHSDVIDDVTAATAADSIIVGTISHESPDLKTNTNNNSGTMNNKSEGGVVESGGGGEGVVNWQNARYKAEILSHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGGGTQGLAGDEKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFDPSLDGSDAMGFGPLIPTESERSLMERVRQELKHELKQGYKEKIADIREEILRKRRAGKLPGDTTSVLKSWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKR >Manes.12G065800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6555206:6558965:-1 gene:Manes.12G065800.v8.1 transcript:Manes.12G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGKRNRFKDGAFRRFVSLALVTVLGVLLLTILLRTNSVSEYVSINFTDHHEDLIPSYNYSDYGAVLNLPKRTELSIRLEKLNQLPPRNTDLYPRLAKDHITIVLYVHNRPKYLQAVVESLSKVEGISETLLIVSHDGYFEEMNKIVESIKFCQMKQIFAPYSPHVFLDSFPGVSSGDCKDKDDATKKRCAGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETKGQSGHILFIEEDHYIFPNAYRNLQMLTALKPLKCPDCYAANLAPSDVNSRGENFKSLVAERMGNIGYSFNRTTWRKIHMKAREFCFFDDYNWDITMWATVYPSFGGPVYTLRGPRASAVHFGKCGLHQGHGDKKPCIDKGSVNVIVEDIDKVANINSKWNVHVYEHQPGYKAGFKGWGGWGDDRDHRLCLKFADMYLSASAVSTI >Manes.01G022600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5007200:5011392:1 gene:Manes.01G022600.v8.1 transcript:Manes.01G022600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMALTSPSSCSATSRLSLPLSPSTSSPSKSSFFKPLLKPISVSLPTSITISFLALFTPPHEAKALTLSKDQIVSSLNEVEKTIDQVQEVGSDFFDTAQRVFGIVSDALKPGVDAALPIVKQAGDEALKVASPAISEASKKAQEAIQSSGIDTQPVVSAAKTAADAALQTTKVIEEVKPIASSTAETILSADPIVIVGTAGAIFLAYLLFPPIWSVISFNFRGYKGDLTPAQTLDLVSTKNYVMIDIRSEKDKDKAGIPRLPSSAKNKMIAIPLEELPSKLRSLFRKVKKLEAQIAALKISYLKKINKGSNIVIMDSYSDSAKVVARALTSLGFKNCWIVADGFSGSKGWLQSRLGTDSYNVSFAEILSPSRIIPAAARRFGTTS >Manes.01G022600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5007200:5011392:1 gene:Manes.01G022600.v8.1 transcript:Manes.01G022600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMALTSPSSCSATSRLSLPLSPSTSSPSKSSFFKPLLKPISVSLPTSITISFLALFTPPHEAKALTLSKDQIVSSLNEVEKTIDQVQEVGSDFFDTAQRVFGIVSDALKPGVDAALPIVKQAGDEALKVASPAISEASKKAQEAIQSSGIDTQPVVSAAKTAADAALQTTKVIEEVKPIASSTAETILSADPIVIVGTAGAIFLAYLLFPPIWSVISFNFRGYKGDLTPAQTLDLVSTKNYVMIDIRSEKDKDKAGIPRLPSSAKNKMIAIPYSDSAKVVARALTSLGFKNCWIVADGFSGSKGWLQSRLGTDSYNVSFAEILSPSRIIPAAARRFGTTS >Manes.18G050200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4316726:4322622:1 gene:Manes.18G050200.v8.1 transcript:Manes.18G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDSSRDKDNPWQSANKPYQGKAEDMKLWGILIFGIIGATATTFAVGQLQMTFEWFNTQIKRSQSSWKGGTGGSFRSSFQEEAWKRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWRENGPGAYHQHFQRDDWYWKADASSFREQRTNFRTPRESASYPLSHHYSVLGLDRSRKTPYTEAEIKKAFRVKAKEFHPDQNQDNKEAAEAKFKEVMISYEAIKQERKDLKS >Manes.18G050200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4316726:4322622:1 gene:Manes.18G050200.v8.1 transcript:Manes.18G050200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDSSRDKDNPWQSANKPYQGKAEDMKLWGILIFGIIGATATTFAIKRSQSSWKGGTGGSFRSSFQEEAWKRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWRENGPGAYHQHFQRDDWYWKADASSFREQRTNFRTPRESASYPLSHHYSVLGLDRSRKTPYTEAEIKVFTLVCSLLH >Manes.18G050200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4316726:4322622:1 gene:Manes.18G050200.v8.1 transcript:Manes.18G050200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDSSRDKDNPWQSANKPYQGKAEDMKLWGILIFGIIGATATTFAIKRSQSSWKGGTGGSFRSSFQEEAWKRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWRENGPGAYHQHFQRDDWYWKADASSFREQRTNFRTPRESASYPLSHHYSVLGLDRSRKTPYTEAEIKKAFRVKAKEFHPDQNQDNKEAAEAKFKEVMISYEAIKQERKDLKS >Manes.18G050200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4316726:4322622:1 gene:Manes.18G050200.v8.1 transcript:Manes.18G050200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDSSRDKDNPWQSANKPYQGKAEDMKLWGILIFGIIGATATTFAVGQLQMTFEWFNTQIKRSQSSWKGGTGGSFRSSFQEEAWKRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWRENGPGAYHQHFQRDDWYWKADASSFREQRTNFRTPRESASYPLSHHYSVLGLDRSRKTPYTEAEIKVFTLVCSLLH >Manes.18G050200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4316726:4322622:1 gene:Manes.18G050200.v8.1 transcript:Manes.18G050200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDSSRDKDNPWQSANKPYQGKAEDMKLWGILIFGIIGATATTFAVGQLQMTFEWFNTQIKRSQSSWKGGTGGSFRSSFQEEAWKRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWRENGPGAYHQHFQRDDWYWKADASSFREQRTNFRTPRESASYPLSHHYSVLGLDRRHSG >Manes.18G050200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4316726:4322622:1 gene:Manes.18G050200.v8.1 transcript:Manes.18G050200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIWQVGQLQMTFEWFNTQIKRSQSSWKGGTGGSFRSSFQEEAWKRYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRSYESWRENGPGAYHQHFQRDDWYWKADASSFREQRTNFRTPRESASYPLSHHYSVLGLDRSRKTPYTEAEIKKAFRVKAKEFHPDQNQDNKEAAEAKFKEVMISYEAIKQERKDLKS >Manes.08G165600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40008360:40013674:1 gene:Manes.08G165600.v8.1 transcript:Manes.08G165600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFWLLIFLALFSAEFHLIFSFTDPRDAAALQSLKDSWQNTPPSWDSGDPCGTRWEGITCKDSRVTALGLSTMGLKGKLSGDIGGLTELISLDLSFNPELTGSLTPRLGDLRNLNILILAGCGFTGRIPDELGNLAELSFLALNTNNLTGNIPPSLGKLSNVYWLDLAENQLTGPIPISTPTTPGLDLLLKAKHFHFNKNQLSGPIPSKLFRPEMVLIHVLFDGNQLAGTIPDSLMTVQTLEVLRLDRNALTGEVPTNLNNLTNVVELNLAHNKLTGPLPDLTGMTSLNYVDLSNNSFVPSEAPEWFSSLPLLTTLVIEHASLHGHLPSKIFSYQQIELVLLKDNAFSGQLDLGETVGPQLQLVDLQNNNISSVTLTAQYTSTLILVGNPVCSALSNTNYCQLQQQTAKAYSTSLAKCGNPQCPAGQKLSPQRCECAYPYEGTLYFRAPSFKDSSDVTRFQSLEMSLWVKLGLTPGSVFLQGPFFNVDDYLQVQLALFPPAGKYFNRTEVIRIGFDLSNQTYKPPKDFGPYLFIATPYPFPDEDKGTSLSSSAVAGIAVGCSVLVLGLVGVGIYAVRQKKRAEKALGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSENNEIGSGGYGKVYRGMLSEGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRESLSGKSGIHLDWKRRLRIGLGSARGLTYLHELADPPIIHRDVKSSNILLDENLTAKVADFGLSKLVSDSTKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELVTAKQPIEKGKYIVREVRTAMDKNDEEHCGLKEIMDPTIRNSGNLVGFERFLELAMQCVEESAAERPTMSEVVKAIETILQNDGMNTNSTSASSSATDFGASRAAPRHPYNDVPKKDVNDTHAFDYSGGYSLPAKVEPK >Manes.08G165600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40008288:40013681:1 gene:Manes.08G165600.v8.1 transcript:Manes.08G165600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFWLLIFLALFSAEFHLIFSFTDPRDAAALQSLKDSWQNTPPSWDSGDPCGTRWEGITCKDSRVTALGLSTMGLKGKLSGDIGGLTELISLDLSFNPELTGSLTPRLGDLRNLNILILAGCGFTGRIPDELGNLAELSFLALNTNNLTGNIPPSLGKLSNVYWLDLAENQLTGPIPISTPTTPGLDLLLKAKHFHFNKNQLSGPIPSKLFRPEMVLIHVLFDGNQLAGTIPDSLMTVQTLEVLRLDRNALTGEVPTNLNNLTNVVELNLAHNKLTGPLPDLTGMTSLNYVDLSNNSFVPSEAPEWFSSLPLLTTLVIEHASLHGHLPSKIFSYQQIELVLLKDNAFSGQLDLGETVGPQLQLVDLQNNNISSVTLTAQYTSTLILVGNPVCSALSNTNYCQLQQQTAKAYSTSLAKCGNPQCPAGQKLSPQRCECAYPYEGTLYFRAPSFKDSSDVTRFQSLEMSLWVKLGLTPGSVFLQGPFFNVDDYLQVQLALFPPAGKYFNRTEVIRIGFDLSNQTYKPPKDFGPYLFIATPYPFPDEDKGTSLSSSAVAGIAVGCSVLVLGLVGVGIYAVRQKKRAEKALGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSENNEIGSGGYGKVYRGMLSEGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRESLSGKSGIHLDWKRRLRIGLGSARGLTYLHELADPPIIHRDVKSSNILLDENLTAKVADFGLSKLVSDSTKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELVTAKQPIEKGKYIVREVRTAMDKNDEEHCGLKEIMDPTIRNSGNLVGFERFLELAMQCVEESAAERPTMSEVVKAIETILQNDGMNTNSTSASSSATDFGASRAAPRHPYNDVPKKDVNDTHAFDYSGGYSLPAKVEPK >Manes.08G165600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40008288:40013681:1 gene:Manes.08G165600.v8.1 transcript:Manes.08G165600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFWLLIFLALFSAEFHLIFSFTDPRDAAALQSLKDSWQNTPPSWDSGDPCGTRWEGITCKDSRVTALGLSTMGLKGKLSGDIGGLTELISLDLSFNPELTGSLTPRLGDLRNLNILILAGCGFTGRIPDELGNLAELSFLALNTNNLTGNIPPSLGKLSNVYWLDLAENQLTGPIPISTPTTPGLDLLLKAKHFHFNKNQLSGPIPSKLFRPEMVLIHVLFDGNQLAGTIPDSLMTVQTLEVLRLDRNALTGEVPTNLNNLTNVVELNLAHNKLTGPLPDLTGMTSLNYVDLSNNSFVPSEAPEWFSSLPLLTTLVIEHASLHGHLPSKIFSYQQIELVLLKDNAFSGQLDLGETVGPQLQLVDLQNNNISSVTLTAQYTSTLILVGNPVCSALSNTNYCQLQQQTAKAYSTSLAKCGNPQCPAGQKLSPQRCECAYPYEGTLYFRAPSFKDSSDVTRFQSLEMSLWVKLGLTPGSVFLQGPFFNVDDYLQVQLALFPPAGKYFNRTEVIRIGFDLSNQTYKPPKDFGPYLFIATPYPFPDEDKGTSLSSSAVAGIAVGCSVLVLGLVGVGIYAVRQKKRAEKALGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSENNEIGSGGYGKVYRGMLSEGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRESLSGKSGIHLDWKRRLRIGLGSARGLTYLHELADPPIIHRDVKSSNILLDENLTAKVADFGLSKLVSDSTKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELVTAKQPIEKGKYIVREVRTAMDKNDEEHCGLKEIMDPTIRNSGNLVGFERFLELAMQCVEESAAERPTMSEVVKAIETILQNDGMNTNSTSASSSATDFGASRAAPRHPYNDVPKKDVNDTHAFDYSGGYSLPAKVEPK >Manes.08G165600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40008532:40013674:1 gene:Manes.08G165600.v8.1 transcript:Manes.08G165600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFWLLIFLALFSAEFHLIFSFTDPRDAAALQSLKDSWQNTPPSWDSGDPCGTRWEGITCKDSRVTALGLSTMGLKGKLSGDIGGLTELISLDLSFNPELTGSLTPRLGDLRNLNILILAGCGFTGRIPDELGNLAELSFLALNTNNLTGNIPPSLGKLSNVYWLDLAENQLTGPIPISTPTTPGLDLLLKAKHFHFNKNQLSGPIPSKLFRPEMVLIHVLFDGNQLAGTIPDSLMTVQTLEVLRLDRNALTGEVPTNLNNLTNVVELNLAHNKLTGPLPDLTGMTSLNYVNNSFVPSEAPEWFSSLPLLTTLVIEHASLHGHLPSKIFSYQQIELVLLKDNAFSGQLDLGETVGPQLQLVDLQNNNISSVTLTAQYTSTLILVGNPVCSALSNTNYCQLQQQTAKAYSTSLAKCGNPQCPAGQKLSPQRCECAYPYEGTLYFRAPSFKDSSDVTRFQSLEMSLWVKLGLTPGSVFLQGPFFNVDDYLQVQLALFPPAGKYFNRTEVIRIGFDLSNQTYKPPKDFGPYLFIATPYPFPDEDKGTSLSSSAVAGIAVGCSVLVLGLVGVGIYAVRQKKRAEKALGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSENNEIGSGGYGKVYRGMLSEGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRESLSGKSGIHLDWKRRLRIGLGSARGLTYLHELADPPIIHRDVKSSNILLDENLTAKVADFGLSKLVSDSTKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELVTAKQPIEKGKYIVREVRTAMDKNDEEHCGLKEIMDPTIRNSGNLVGFERFLELAMQCVEESAAERPTMSEVVKAIETILQNDGMNTNSTSASSSATDFGASRAAPRHPYNDVPKKDVNDTHAFDYSGGYSLPAKVEPK >Manes.08G165600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40008360:40013674:1 gene:Manes.08G165600.v8.1 transcript:Manes.08G165600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLSGDIGGLTELISLDLSFNPELTGSLTPRLGDLRNLNILILAGCGFTGRIPDELGNLAELSFLALNTNNLTGNIPPSLGKLSNVYWLDLAENQLTGPIPISTPTTPGLDLLLKAKHFHFNKNQLSGPIPSKLFRPEMVLIHVLFDGNQLAGTIPDSLMTVQTLEVLRLDRNALTGEVPTNLNNLTNVVELNLAHNKLTGPLPDLTGMTSLNYVDLSNNSFVPSEAPEWFSSLPLLTTLVIEHASLHGHLPSKIFSYQQIELVLLKDNAFSGQLDLGETVGPQLQLVDLQNNNISSVTLTAQYTSTLILVGNPVCSALSNTNYCQLQQQTAKAYSTSLAKCGNPQCPAGQKLSPQRCECAYPYEGTLYFRAPSFKDSSDVTRFQSLEMSLWVKLGLTPGSVFLQGPFFNVDDYLQVQLALFPPAGKYFNRTEVIRIGFDLSNQTYKPPKDFGPYLFIATPYPFPDEDKGTSLSSSAVAGIAVGCSVLVLGLVGVGIYAVRQKKRAEKALGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSENNEIGSGGYGKVYRGMLSEGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRESLSGKSGIHLDWKRRLRIGLGSARGLTYLHELADPPIIHRDVKSSNILLDENLTAKVADFGLSKLVSDSTKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELVTAKQPIEKGKYIVREVRTAMDKNDEEHCGLKEIMDPTIRNSGNLVGFERFLELAMQCVEESAAERPTMSEVVKAIETILQNDGMNTNSTSASSSATDFGASRAAPRHPYNDVPKKDVNDTHAFDYSGGYSLPAKVEPK >Manes.08G165600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40008335:40013681:1 gene:Manes.08G165600.v8.1 transcript:Manes.08G165600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFWLLIFLALFSAEFHLIFSFTDPRDAAALQSLKDSWQNTPPSWDSGDPCGTRWEGITCKDSRVTALGLSTMGLKGKLSGDIGGLTELISLDLSFNPELTGSLTPRLGDLRNLNILILAGCGFTGRIPDELGNLAELSFLALNTNNLTGNIPPSLGKLSNVYWLDLAENQLTGPIPISTPTTPGLDLLLKAKHFHFNKNQLSGPIPSKLFRPEMVLIHVLFDGNQLAGTIPDSLMTVQTLEVLRLDRNALTGEVPTNLNNLTNVVELNLAHNKLTGPLPDLTGMTSLNYVDLSNNSFVPSEAPEWFSSLPLLTTLVIEHASLHGHLPSKIFSYQQIELVLLKDNAFSGQLDLGETVGPQLQLVDLQNNNISSVTLTAQYTSTLILVGNPVCSALSNTNYCQLQQQTAKAYSTSLAKCGNPQCPAGQKLSPQRCECAYPYEGTLYFRAPSFKDSSDVTRFQSLEMSLWVKLGLTPGSVFLQGPFFNVDDYLQVQLALFPPAGKYFNRTEVIRIGFDLSNQTYKPPKDFGPYLFIATPYPFPDEDKGTSLSSSAVAGIAVGCSVLVLGLVGVGIYAVRQKKRAEKALGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTNNFSENNEIGSGGYGKVYRGMLSEGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRESLSGKSGIHLDWKRRLRIGLGSARGLTYLHELADPPIIHRDVKSSNILLDENLTAKVADFGLSKLVSDSTKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELVTAKQPIEKGKYIVREVRTAMDKNDEEHCGLKEIMDPTIRNSGNLVGFERFLELAMQCVEESAAERPTMSEVVKAIETILQNDGMNTNSTSASSSATDFGASRAAPRHPYNDVPKKDVNDTHAFDYSGGYSLPAKVEPK >Manes.18G123601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13838095:13840157:-1 gene:Manes.18G123601.v8.1 transcript:Manes.18G123601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQFVIKILFTIVVIALLGVLLRLYNVLVMKPKRLRSVLKKQGINGPPPAFLLGNMRQIQKTLSSVVKANAPPLIHNYAALLFPFIELWQKEYGQVLVFSLGNIQVLNLNQPDIVKEYTTCVSWDLGRSSININDLGPLLGQGILTSNGAFWSHQRKIIAPEFYMEKIKGMVNLITESAISLVNSWKSIIERDGGMADIKVDEGLISFSADVISRACFGSNYSEGEKIFMKLMDLQKAVSNKGIAAFGIPGMRYLPTESNRKAWALEKEIRDLIMKVVKEREEAASKKDLLQMILEGAKSSNLNGEAMERFIVDNCKTIYLAGFDTTAVSSSWCLMLLALNQQWQDRVRAEIVEICGGGMLNYDMIRKMKLLNMVIQETMRLYPPVSIVAREALNDVKLGNINVPKGVNIWSIVSLLHTDPGTWGPDSYKFNPARFANGITSACKYPFLYMPFGIGPRMCLGQNLAMVELKILMALILSNFSFTISPKYVHSPTFNLVIKPEHGVNLLIKKL >Manes.03G050500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4670559:4677884:1 gene:Manes.03G050500.v8.1 transcript:Manes.03G050500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYVLEPPTKGKLILYTTYGPLDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRIIKGFLIQGGDPTGSGTGGESIYGSVFPDEFHSRLRFNHRGIVACANAGTPHSNGSQFFISLDKCDWLDRKSTIFGKVTGDSIYNLLTIGEVETDKDDWPLDPAPRIKSIEVLWNPFEDIVPRGPPKPLIQSATDTENKDLKKKPVKKLNLLSFGEEAEEEEKELAAVKQKIKSSHDVLDDPRLLREQHPSEELNSAEAKATRDLQLSVRGALSSKKDASKKDSDAELSNSDDDDDEANFDARMRQQILRRRMDLGDLSSKQKKDGSTSPTGRQISVPRYTAESIDDDQPRVEKLSLKKKGIGSEARAERIAKADVDLQLFSEAEQGRLLQKQKKRRIQGREDEVLAKLEKFKKAISAKEVAANTESGGAYKEDLSDWSSVRLNFEPEPGKDRMSRKDDPNDYVVHDPLLEKGKEKFNRMQAKQKRREREWAGKSLT >Manes.15G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1662866:1664970:-1 gene:Manes.15G020200.v8.1 transcript:Manes.15G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQKTEEEWRAILSPEQFRVLREKGTELKFTGEYDKFFGEGVYNCAGCGTPLYKSVTKFDSGCGWPAFYEGLPGAINRSPDADGRRTEITCAACGGHLGHVFKGEGYKTPTDERHCVNSISIKFIPPN >Manes.15G030550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2403969:2406627:1 gene:Manes.15G030550.v8.1 transcript:Manes.15G030550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEACSCIVVVSFLSVAGVLRPLYQLQEGVQTCVEESLPCVFMACLYQGMPSLDDHQLLLIHLTPTKDCILLLSLRIISENLVFTFKLFSSIQAQNESNGRLAWRGGLTRPYVRV >Manes.15G030550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2403970:2406734:1 gene:Manes.15G030550.v8.1 transcript:Manes.15G030550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEACSCIVVVSFLSVAGVLRPLYQLQEGVQTCVEESLPCVFMACLYQGMPSLDDHQLLLIHLTPTKDCILLLSLRIISENLVFTFKLFSSIQAFCKCS >Manes.17G088400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29577449:29584406:-1 gene:Manes.17G088400.v8.1 transcript:Manes.17G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAKHPRLILHEFLSLDECKELQFIHKSSSTVGYRPNVFSTTLSHLIATNCPHFIIPFLPIRERLKEKVEEFFGCEYELFVEFTGLISWTKGASIGWHSDDNRPYLKQRDFTAVCYLNTYAKDFKGGLFHFQDGEPATLVPKAGDVAIYTADSSNVHSVDEITEGERLTLTLWFSRDSDHDEDAKLISILSENLLCSSNNVPGLKLPMLAASNMYWFSPHQAPNQQSGFDICCARIHLLGFDIYSSQEKSSFSDLMILMEPVKLAKRNELFEHEFANILHLLQMVQFYCWKASELVVSHLESCKVIQLSQSQREKINALKSFVLRDHQLVEMVFSFVDSKQKCQHSFDWAKFSAAITAWEDYSHKLHKKLLLSLPYWRTEGIICGIPFEGS >Manes.18G124650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24051018:24051933:-1 gene:Manes.18G124650.v8.1 transcript:Manes.18G124650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILSFTGSSCFLSSFTVQFQLQRSHSWYLGVFGLQRCSKIKDLSVQKGFSIMGLITEDVDSSPTSFSSWSRRFLF >Manes.18G124650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24035915:24052149:-1 gene:Manes.18G124650.v8.1 transcript:Manes.18G124650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILSFTGSSCFLSSFTVQFQLQRSHSWYLGVFGLQRCSKIKDLSVQKGFSIMGLITEDVDSSPTSFSSCYLVSVQMQYASLGWPL >Manes.18G124650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24014724:24052149:-1 gene:Manes.18G124650.v8.1 transcript:Manes.18G124650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILSFTGSSCFLSSFTVQFQLQRSHSWYLGVFGLQRCSKIKDLSVQKGFSIMGLITEDVDSSPTSFSSCYLVSVQMQYASLGWPL >Manes.18G124650.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24035913:24052149:-1 gene:Manes.18G124650.v8.1 transcript:Manes.18G124650.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILSFTGSSCFLSSFTVQFQLQRSHSWYLGVFGLQRCSKIKDLSVQKGFSIMGLITEDVDSSPTSFSSWSRRFLF >Manes.15G160200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13318502:13327205:-1 gene:Manes.15G160200.v8.1 transcript:Manes.15G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSDYSLEPPRHPSLKINSKQPFNAEPPRSALASSYVTPVDFFYKRNHGPIPVVDDIERYSVSITGLIDNPIELLMKDIWKLPKYVVTATLQCAGNRRTAMSNVRKVRGVGWDVSAIGNAVWGGAKLADVLELVGISKLTRTTKSGGKHVEFVSIDKCKEENGGPYKASIPLSQSTNPEADVLLAYEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLESINIIAEECQGFFMQKDYKMFPPSVDWDNIDWSTRRPQMDFPVQCAVCSLEDVTAIKPGKVKVSGYAASGGGRGIERIDVSVDGGKTWMEASRHQKTGVPYIAADDMSSDKWAWVLFEVTVDVRHPTEIVAKAVDSGANVQPENVRDIWNLRGILNTSWHRVQVRVGHSNI >Manes.15G160200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13320314:13327205:-1 gene:Manes.15G160200.v8.1 transcript:Manes.15G160200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSDYSLEPPRHPSLKINSKQPFNAEPPRSALASSYVTPVDFFYKRNHGPIPVVDDIERYSVSITGLIDNPIELLMKDIWKLPKYVVTATLQCAGNRRTAMSNVRKVRGVGWDVSAIGNAVWGGAKLADVLELVGISKLTRTTKSGGKHVEFVSIDKCKEENGGPYKASIPLSQSTNPEADVLLAYEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLESINIIAEECQGFFMQKDYKMFPPSVDWDNIDWSTRRPQMDFPVQCAVCSLEDVTAIKPGKVKVSGYAASGGGRGIERIDVSVDGGKTWMEASRHQKTGVPYIAADDMSSDKWAWVLFEVTVDVRHPTEIVAKATKA >Manes.15G160200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13318502:13327205:-1 gene:Manes.15G160200.v8.1 transcript:Manes.15G160200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIWKLPKYVVTATLQCAGNRRTAMSNVRKVRGVGWDVSAIGNAVWGGAKLADVLELVGISKLTRTTKSGGKHVEFVSIDKCKEENGGPYKASIPLSQSTNPEADVLLAYEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLESINIIAEECQGFFMQKDYKMFPPSVDWDNIDWSTRRPQMDFPVQCAVCSLEDVTAIKPGKVKVSGYAASGGGRGIERIDVSVDGGKTWMEASRHQKTGVPYIAADDMSSDKWAWVLFEVTVDVRHPTEIVAKAVDSGANVQPENVRDIWNLRGILNTSWHRVQVRVGHSNI >Manes.06G009101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1449969:1467454:1 gene:Manes.06G009101.v8.1 transcript:Manes.06G009101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFDFGLMGSLHEGGNDSNQNTSEQETQTIVLDVNVTNNSSHVIEKLKSKELVLERRVSQRLKNIPQDKRPYYGDDNNGGKKKSKVDFSAKDCQPDEARKEIEDKGTESGSAIGEPDDTEIMEIEDDAYLKWTDYEGFIADSVHQKAINRVKETIRIFNKHSLHFAQEERKRWENIGEVNDEQIDNGTGNNESPITDTKRSVIRPDLKAITEMQNENEVLYPEKMIGHLPGIAVGYQFTSRAEMVAIGFHGHWLNGIDYISKVSGKLNQNYGYTCPLAVAIVMSGQYEDDVDCIHEVVYTGQGGNDLHRSKHQIKDQVMHRGNLALKNSMEQCVPIRVIRGHKLGVGVGRSRSRKVYTYCGLYKVVKHWAEKGISGHNVFKYRLKRLLGQPKVNIDKVHYERQRNSNVSSRLPGLVCEDISYGKEAICIPATNMIDHPPIAPAGFKYTKSVQVTESITVPPSAYGCSCRGQCTDPKSCSCAQLNGSDFPYVCIDGGRLIEPKDVLFECGPKCSCGPNCINRVSQRQLRYQLEVYRVDNKGWAVRSWDFIPSGAPVCEYAGILRRNDELDNVSENDYIFDIDCWHTMKGIGGRKKRQHDRSIPTSDLAEKEDHELTESIATSDLAEKEDHKLTESIATSDLAEKEDDKPTESIATSDLAEKEDDKLTEIESEFCIDAGSCGNVARFINHSCEPNLFVQCVLSSHHDVRFARIVLVAADNIRPMQELTYDYGYALDSVVGPDGKIKQAPCFCGASQCRGRLY >Manes.06G009101.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1449969:1467455:1 gene:Manes.06G009101.v8.1 transcript:Manes.06G009101.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFDFGLMGSLHEGGNDSNQNTSEQETQTIVLDVNVTNNSSHVIEKLKSKELVLERRVSQRLKNIPQDKRPYYGDDNNGGKKKSKVDFSAKDCQPDEARKEIEDKGTESGSAIGEPDDTEIMEIEDDAYLKWTDYEGFIADSVHQKAINRVKETIRIFNKHSLHFAQNESPITDTKRSVIRPDLKAITEMQNENEVLYPEKMIGHLPGIAVGYQFTSRAEMVAIGFHGHWLNGIDYISKVSGKLNQNYGYTCPLAVAIVMSGQYEDDVDCIHEVVYTGQGGNDLHRSKHQIKDQVMHRGNLALKNSMEQCVPIRVIRGHKLGVGVGRSRSRKVYTYCGLYKVVKHWAEKGISGHNVFKYRLKRLLGQPKVNIDKVHYERQRNSNVSSRLPGLVCEDISYGKEAICIPATNMIDHPPIAPAGFKYTKSVQVTESITVPPSAYGCSCRGQCTDPKSCSCAQLNGSDFPYVCIDGGRLIEPKDVLFECGPKCSCGPNCINRVSQRQLRYQLEVYRVDNKGWAVRSWDFIPSGAPVCEYAGILRRNDELDNVSENDYIFDIDCWHTMKGIGGRKKRQHDRSIPTSDLAEKEDHELTESIATSDLAEKEDHKLTESIATSDLAEKEDDKPTESIATSDLAEKEDDKLTEIESEFCIDAGSCGNVARFINHSCEPNLFVQCVLSSHHDVRFARIVLVAADNIRPMQELTYDYGYALDSVVGPDGKIKQAPCFCGASQCRGRLY >Manes.18G063201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5577162:5580517:1 gene:Manes.18G063201.v8.1 transcript:Manes.18G063201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLPCPPSTPYFSGEHHQIFHKPPPLSPLYKAFNQANHAVNTNNSFSFSCALSLSHTRILPIKTYKISRFSLHFSSTTQDPVVDSSLSQSEVEAKTEQEEYSRTRVLASNVPWTATTEDIRTLFEKFGTVVDVELSMHNKTRNRGLAFVTMGSPEEALVAIKNLESYEFEGRTLKMNFAKLKKKKPSPPPPPKPAPTFNLFVANLPFEAKAKDLEEFFNNEGTDVVSAEIVYQDNPRRPSGYGFVAFKTKKEADAALSAFPGKIFMGRPIRVSRSKQFVRQQREEGLQSDDTSTELDSEVEQANVAPAN >Manes.17G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19528391:19532467:1 gene:Manes.17G027200.v8.1 transcript:Manes.17G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDKKPAKPSTSRTGGIRTLSDLNRHAGPDPDSDDETTQEYYAGGEKSGMLVQDPSKANDVDAIFNQARQLGAVEGPLDQFPPSSSSRSFTGTGRLLSGETVPSAPQQPEAVIHNIVFWTNGFTVNDGPLRRLDDPENASFLESIKMSECPKELEPADRRSSVHVNLIRRDEQCPVPEKKRHVPFQGVGRTLGSSSTPPATEPTVDSSPLNNAPNPSSILVVDDKLPSTSIQLRLADGTRMIAHFNNHHTVNDIRAFINESRPGGAQNYQLQLMGFPPKVLTDPTQTIEQAGLANSVIIQKF >Manes.09G009400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2032578:2033101:1 gene:Manes.09G009400.v8.1 transcript:Manes.09G009400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIHHLYIPRANTYHLIRKSMAGLQYHFFPTDFYYPRPPPSTTTTTTKPAVVPMQTQKEDDKKKPNAMQEKENSRSLPQLSCSISALPSAPSTIVKGQSKHKTVLDNIASNYWDFGSN >Manes.03G187300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30710335:30713215:-1 gene:Manes.03G187300.v8.1 transcript:Manes.03G187300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSVFADEPTPPDSPKSPPDTPTSLSQSQNPGPDPSPTAKTTWSFGGLFKTLATSSESFIESYRKDFEEFGSGLRQETAVIREVASRAVKDLPASFEVGASVAQESLETVGQAIDDIGATVWKSTAQIITHGRDSILASDHDDSDSPIKYYSHNKQQTLNVKRYSRFDMQVRAIQCDLNTYCTEPEDEVEFDKWRSESFVLEENKEEIEKIYSENGFVKEIYNQVVPNRVDDAGFWSRYFYRVHKLKQAEEARALLVQKAISGEEEDLTWDFDDEDKEEEEDERNGSSREGESSGSNDTGKLSDESLVKVDYSESADVHNKNPKDKMEKGDDNKLEKTEEKAAAVDFKGDNGESCKDSDVSVVSSQSLLPEEDLGWDEIEDIDENKGETMGSSTSTSRLDLRKRLSAAEEEEELSWDLDDEDDEPSKP >Manes.02G119400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9130843:9133538:-1 gene:Manes.02G119400.v8.1 transcript:Manes.02G119400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRKTHQISTPRVLIVGNYCHDVLIQNDIVVGESLGGASSFIASVLNGMSIPCSLVAKTGHDFRYQVNHSPILVSASKTTVFHAYFDSGVHDNGNQDRVLKRVCACDLISPMDLPDARFDFGMAVGVGGEILPETLEKMIEICDVVLVDIQALIRDFDAVDGTVKLVELRETEFCSLLPRIGFLKVSSEEAMFLDVEEVRKWCCVVVTNGENGCKVCWKDGEMRISPFLANQEDPTGAGDSFFGGLVTGLVQGLAVPDAALLGNFFGSLTVEQIGLPEFDSRLLQRVKDEVQRRRIQCLFCEKNDDELMFLKPAGHEQFHSSLGAAKLVTQCSLQESTDCSGQQKLAVYDEAMRTVERKP >Manes.06G086400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22197360:22199447:1 gene:Manes.06G086400.v8.1 transcript:Manes.06G086400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPHVIVVPYPAQGHVAPLMKLAYNLADHGIKVTFVNTESIHVKLMSAMSEKFKEGIPISLVSVPEGLDGEDTRAFLERAPSSMPLLLQNLIENINESNIDDQVTHVIADVTAEWALEAAKKMGIELAAFVPCGVATLAFVLHAHRLTEAGIIDDYGVPMKDEPISLSNSIPAWKKNELAWSFPGNPESEKFLFLNSVLTATENVKISDWLLVNSFYELEPSACDLIPNILPIGPLFASDHLGTFAGNFCAEDSTCLNWLDQQPPRSVIYAGFGSTRACDQQQFNELALGLEMVGQPFLWVVRSNFTNGIVEFPDGFLERVEKHGKIVEWAPQEKALAHPSTACFFSHCGWNSILEGISQGVPFLCWPYFADQFHNRNYMCETWKIGLELIPDENGIVTRHEIKTKLEKLLSDKDIEANSLKLKEMAGKSTSEGGSSFNNLTSFIEQMKQQDV >Manes.17G002500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1752071:1778362:-1 gene:Manes.17G002500.v8.1 transcript:Manes.17G002500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPEDNSTGVAPVELIEKESSMYDSLLMTLRSCNKSLLEACKKRKREEEEEEEEDNDSGALSVSNEKDSDEEGTDNERSHVLDSKLQEPSTISIKNEDAESEDDEEASDLDQENSLGVNSKTVVKASVPTSSFLEHLGQKVSEGEIDNLSNKKWEYKWEVPAFEMPNCKWVGTGKCFLKDVNIDSNYGLKQRLFKHWLDAYKTCGGSNFHSSKQRFFFSLCNSYRDILHCNKKPFYLKGLEEDSSTMDAYVMHSLNHVFRSRDLIRKNDSKMAKHQESAEDELLAVDGFLDHGFTRPKVLILLPFRNIALRVVRRLIQLTPQAYKVNVEHADRFYNDFGTRDDEDSVDGDELAREVGNSKPLRSSKPSDYQALFSGNYDDDFMIGIKFTRRSIKLYSDFYTSDMIIASPLNLHARIERAILDKETDVDYLSSIEVLVIDHADVITMQNWSFLTKVLGQLNRIPSKQHGTDIMRIRKWYLDGNARFYRQTIILGHYVNPDINASFSNQCVNYQGKVKLVCEYKGVLSKVLLQVRQVYERFDVNSIANADDARLEYFIKKVFPKIKDSIQGGVMLFISSYFEYVRLRNYLKSQNASFCVIADYTEPSDVSRARVQFFEGRKKVMLYTERVHFFHRYKIRGIKNLIIYSLPERKEFYPEVVNMLEEANNMTCTVLFSQFDQLRLERIVGTASARRMVKSEKNVFLFC >Manes.17G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1752071:1778362:-1 gene:Manes.17G002500.v8.1 transcript:Manes.17G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPEDNSTGVAPVELIEKESSMYDSLLMTLRSCNKSLLEACKKRKREEEEGVSDSEEEEEEDNDSGALSVSNEKDSDEEGTDNERSHVLDSKLQEPSTISIKNEDAESEDDEEASDLDQENSLGVNSKTVVKASVPTSSFLEHLGQKVSEGEIDNLSNKKWEYKWEVPAFEMPNCKWVGTGKCFLKDVNIDSNYGLKQRLFKHWLDAYKTCGGSNFHSSKQRFFFSLCNSYRDILHCNKKPFYLKGLEEDSSTMDAYVMHSLNHVFRSRDLIRKNDSKMAKHQESAEDELLAVDGFLDHGFTRPKVLILLPFRNIALRVVRRLIQLTPQAYKVNVEHADRFYNDFGTRDDEDSVDGDELAREVGNSKPLRSSKPSDYQALFSGNYDDDFMIGIKFTRRSIKLYSDFYTSDMIIASPLNLHARIERAILDKETDVDYLSSIEVLVIDHADVITMQNWSFLTKVLGQLNRIPSKQHGTDIMRIRKWYLDGNARFYRQTIILGHYVNPDINASFSNQCVNYQGKVKLVCEYKGVLSKVLLQVRQVYERFDVNSIANADDARLEYFIKKVFPKIKDSIQGGVMLFISSYFEYVRLRNYLKSQNASFCVIADYTEPSDVSRARVQFFEGRKKVMLYTERVHFFHRYKIRGIKNLIIYSLPERKEFYPEVVNMLEEANNMTCTVLFSQFDQLRLERIVGTASARRMVKSEKNVFLFC >Manes.08G082266.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28408122:28420282:1 gene:Manes.08G082266.v8.1 transcript:Manes.08G082266.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDILRICPHHGLLNWMDIDKFYNGLNANTRNMVDNAARGSFMRKEIPEAFALLDELAITNFEFFMDRIPPRRPKGMHEIDTEQAKTAEEEVVEEPKVEVVVPSYKPPIPFPQRLKINIEVKNFLKFLEVFKKLLINIPFTEVLDQMPSFAKFLKEILSNKRRLDDQETVMLTKECSAIIQNKLPSKLKDPRSFTIPCNIGNVEFIKALCDLGTSINLMPLYVFRKLGLGEVKPTIVSLQLADRSVTYPRGVIEDEDREIPMILGRPFLTTGKALIDVHQEKLTLRVGQEEVAFNVLQSSKYPNTIDVYFRIDTVDECNIAVVNDLNSMHEISESTPTPKLKLKPLSSHLRYAFLGESSTHSVIISNHLGVEEEIKMLKVLNMHKKALGWSIDDIKSITPSLCMHKILIEDDCRPFIEHQRRLNPNMKEIVRAKVIKLLDASIIYPISNSNWMLERLSGYPYCCFLDGYLGYFQILIALENQEKTTFTCPLCT >Manes.04G126900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32901558:32910195:-1 gene:Manes.04G126900.v8.1 transcript:Manes.04G126900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLMGCCKTTSESDGCQNDTDDISKLPAPPPHQLKEYDDISKLPAPPPHQLKEYGYKELAAATGYFSGDCLLGEGGFGQVYEATLDGEKVAIKKLKIIKLENKLEESEFLTCVNHPNIVKMIGLCREGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDCKIIHRDMKADNILLDNNFNAKVADFSLSNFLSDTDKVSHITSLFRGTNGLKVGLDKLCTRMIVRVLLIQD >Manes.15G084400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6491145:6493137:-1 gene:Manes.15G084400.v8.1 transcript:Manes.15G084400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFALAADIGSQFLENILEKVEVFNKLWPSVSYHNRIDGSRQTLKRKLETLCCLEYDINKTLEFAEFHSGKKQKREVEHWLRSVQRKKKDVQGMEQLIGDRKYSLAPWWERRLEKEIQEVEELCELGKFDSLVLDADESSRVELLTTKLVGQNSNEVIGRSWACLMNEQVLRIGVYGVEGVGKTEIMAHIYNQLLQDETFDHVYQVNLSGDFSIHKLQSDIAREAGLDLFEEEDTRKRAANLHKGLVKRKKYVVMLDGLSSYFNEDEVGIPAPVQGCKLIISSRSLRLCQRMGCQEAIEIEPLPYREAEILFRKNTGLSDFAPNVEQIAKQIIVECGGLPGKIISTAVRLNGVDDINEWRCTLNELRECRGSFSAVRLS >Manes.15G084400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6491169:6493076:-1 gene:Manes.15G084400.v8.1 transcript:Manes.15G084400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFALAADIGSQFLENILEKVEVFNKLWPSVSYHNRIDGSRQTLKRKLETLCCLEYDINKTLEFAEFHSGKKQKREVEHWLRSVQRKKKDVQGMEQLIGDRKYSLAPWWERRLEKEIQEVEELCELGKFDSLVLDADESSRVELLTTKLVGQNSNEVIGRSWACLMNEQVLRIGVYGVEGVGKTEIMAHIYNQLLQDETFDHVYQVNLSGDFSIHKLQSDIAREAGLDLFEEEDTRKRAANLHKGLVKRKKYVVMLDGLSSYFNEDEVGIPAPVQGCKLIISSRSLRLCQRMGCQEAIEIEPLPYREAEILFRKNTGLSDFAPNVEQIAKQIIVECGGLPGKIISTAVRLNGVDDINEWRCTLNELRECRGSFSAVRLS >Manes.15G084400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6491052:6493195:-1 gene:Manes.15G084400.v8.1 transcript:Manes.15G084400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFALAADIGSQFLENILEKVEVFNKLWPSVSYHNRIDGSRQTLKRKLETLCCLEYDINKTLEFAEFHSGKKQKREVEHWLRSVQRKKKDVQGMEQLIGDRKYSLAPWWERRLEKEIQEVEELCELGKFDSLVLDADESSRVELLTTKLVGQNSNEVIGRSWACLMNEQVLRIGVYGVEGVGKTEIMAHIYNQLLQDETFDHVYQVNLSGDFSIHKLQSDIAREAGLDLFEEEDTRKRAANLHKGLVKRKKYVVMLDGLSSYFNEDEVGIPAPVQGCKLIISSRSLRLCQRMGCQEAIEIEPLPYREAEILFRKNTGLSDFAPNVEQIAKQIIVECGGLPGKIISTAVRLNGVDDINEWRCTLNELRECRGSFSAVRLS >Manes.04G137600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33714650:33726168:-1 gene:Manes.04G137600.v8.1 transcript:Manes.04G137600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSSKLGGRGGGRGGAAGGTKRLSSFPPPPPHRSSTASNNRLSLGGNSNNRNRCSSAAAMSAATAAVEETFSLIPGKNPLAFSMIIRLAPDMVDEIRRVEAQGGSARIKFDSLGGNTNGNVIDVGGKEFRFTWSREFGDLCDIYEERQSGEDGNGLLVESGCAWRKVNVQRILDESTKNHVKMRSEEADRKHKSRKAIVLDHGNPSMKSQIKQLAAAESTPWRNSFKKKEPPFKKRKVEPPPVPKSTFKPVAASAKVRRSSSPLPSTPEQSGTPASPFTGNVAKVNTEEVTLAQSKSKENTTNTEKEFQNKAASAVSVRETPGHKGNFGTKPMDLESMLISLLSGNPKGMSLKALEKAVGDKIPNSAKKIEPIIKKIATFQAPGRYFLKSGVELGSFKKPSSESGSSPEDNRQQTLVPEDNLNHRLPPESRLVEKSPAVGFEEHAQLNSKFEEESNTLEKIDIQQHSPDLFGEKKVSDNSEGQAGSSSDSGSDSDSDSDSSDSGSDSGSCSRSRSRSPVGSGSGSSSDSESDASSNSKEGSDEDVDILSDDDKEPQHKLQASEPAFSVSPDQWRQNGNDEKQDGDGSDAVDIEGPGSAPLDVEGHESDAVDIEKDLEDDEKEGELAANSLILNKERNNPVEGEKFIFSDHDAIQERQTFIGTLFDDNENGIRDCFRHEQSDSSERIPKSKTKRAPDVKHFDEKFEHAKRLKVETSAQAPISEVKDAQLSESPHRRDIEDTYGGPDFQAINRTYRDGNSDFGSQKAHKQALAGKPSSDFQQPGRSSSEKNGRTKASDTTRRSKHSESSGHGRKFSEKNSHVHEGVPLQREKASRDNQNEDNFVKEKKVPRNAKEGGAGFRLSAPFDSHYRRQGETSGKFKDDTQVANSHMGSSPKDGNRVEMEKFPAFGERTLQRELSELELGEFREPLLEETPVNKQFERKSSFKQSESKRSTSDNCNSDLIKIKPVGKAALDLGKPSPPNLGTGFKQSPDHHIEDLTRLHHKIVQSHPQNPSRVDNNETHFGKLADTNSRLRQNEAGAKLGNSTEGYGESHKGALGNAQQLHDSRRGQVSHMIKESKTQSSNTMADLVEGQKDTILTEVNTNAPKRRESSSEDDSTSYSKYEKDVPELRGPINDFPQYKGYVQEYCDKYDSYCSLNKILENYRNDFQKMGKDLEFAKGRDMDRYHKILLQLKESYGRCGARHKRLKKIFIVLHEELKNLKQRIKEYALSYTKD >Manes.13G000100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:417909:419584:-1 gene:Manes.13G000100.v8.1 transcript:Manes.13G000100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLILELSGNSLTGEIPSSLNEMKKLTTLDLSNNQLSGEIHNHWKGLKQLDTTDLSNNKLCGGIPSSMCSLLLLQLVKLTSNNLSGDLSQSLQNCTHVATLDLKENRFTGNIPDWIGERLLSVTILNLRVNLLNGSIPESICHLPELHILDLALNNLSGPIPPCLGNLSGLNSFKPYHVVTNRVVYSQEIELYVKGREVEYTKILPVVNAIDLSGNHLKGQIPAGISNLSYIGFLNLSWNQLTGEIPLDIGDLNRLESLDLCCNQLSGPIPSSMPSMTSLNYSNSSYNN >Manes.18G058400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5176797:5180664:-1 gene:Manes.18G058400.v8.1 transcript:Manes.18G058400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFTNLSWWLWSGKHREPRISNPSSLNARPDSDLWESDTLKFPFVRGANVASSSRRVKRKWHSREERKIDREYDVVLVPSDGGCVSGSESDDSDYSIGWLEPHGPEFLSDDETDNSFAVLVPCYGRAQDKVFESSKNNMFGAIVNIQDGFSEESKKYMEKWLSSLQSS >Manes.17G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4016934:4029390:1 gene:Manes.17G010800.v8.1 transcript:Manes.17G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLEETVLINEPVIQLDSHETDKREKKKKKNKHVKLESDEGKELNIKRKLEETEVQKDSKRDKKKKKQKEEKENGTQQGNDIETHELEELVPVEGDGDTVAVTGKDAHEAKYAPLKSFAESNLPDIVLKCCENFKNPSPIQAHAWPFLLNGRDFIGIAKTGSGKTLAYGIPAIMHVLSKRKRASANKRVNPLCLVLAPTRELADQISVVLHDAGEPCSVKSVCLYGGTSKGPQISSLKSGVDIVIATPGRLKDLIEMNVCNLTEVSFVVLDEADRMLDMGFRQEVRSILGNTCSARQMIMFSATWPLDVHNLAEEYMDPNPIKVVVGSEDTAANHDVMQIVEVLEEHLRDDRLIALLDKYHKSQRNRVLVFALYQKEAARLEGMLKTRGWKVVSIHGNKAQDQRTKALSLFKKGSCPLMVATDVAARGLDVPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTHQNKGLAGELVNVLREAGQVVPSALLKFGTHVKKKESKLYGAHFREISADAPKAKKITFNSDDED >Manes.08G079293.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22670785:22671113:1 gene:Manes.08G079293.v8.1 transcript:Manes.08G079293.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGNGCGGCKMYPDMSFSEKTTKETLALGVATEKVHLAGSEMSVGAENEGFKCGDNCTCNPCNCK >Manes.02G195801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16152850:16162814:-1 gene:Manes.02G195801.v8.1 transcript:Manes.02G195801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLLGLLKLFFLDSMTDKGKEKISLRSPKIKPANINLLPPNTPRPIYTSTSSILQRPMNSISSALVIPTSPRPRLPSFASVNRFSPLQATPIPPSTFKQAVTGPTASGPLSSSPLPTSSQEFSQTDYTYKPIDEYILTIEPEYWAQNPNISVYQLCSTVFPKSHFYIPDNFQKSQHFYETILKNTCSVVIHNNYDPQIPNKLKYCKVRILKVWTLTDWGLEPHKMREMVMTIGQMNQNIKYNYYDYQTAWERTFFKQNEQLSVSFFFFFDDNFSYPVPYWFYQWWNKFGINENNVPNQIVIAKEQFFERQQLPETVIIAPSWLVYSHHFHIPWILMIEYQITDQTIGIFQVPTLVRKFKTKWWNKTNLHGCDNKAIEQFFQDHPQFCKNPSISIITRQETFLARKQQIMSQMAACTSEEEYDQLINELNEVRSSAASPSPISLDNDNDDFFTQAEI >Manes.07G096900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30115582:30128375:1 gene:Manes.07G096900.v8.1 transcript:Manes.07G096900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCCAVRSSSFSLHGLFTSKKSMAAVGMLSFAPNFHAPTSLNRSFISLSIKQKLPRNSLRVRSVAAPAEDVAGFDDMVSGTQRKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQNLTGGTLVPVEGPDYPLYALEINPEKAREEFRSATQKDGGTGVKDFMEGMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVMQFLESEEYGMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLKQKISSATSAIKSVFGQEVTRQDASDKLEQLRERMIKVRELFRDTDSTEFVIVTIPTVMAVSESSRLRASLQKENVPVKRLIVNQILPPSASDCKFCAVKRRDQMRALEMIQNDPELSSLTLIQAPLVDVEIRGVPALKFLGDIIWK >Manes.15G175200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19197572:19198528:1 gene:Manes.15G175200.v8.1 transcript:Manes.15G175200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFSAPAADAAEDSSSADHGGVTTFHSSARWQLHFNSIKDSSQLMVIDFAASWCGPCKLIEPEVKAMAAKFTDVQFAKIDVDELSDVAQGFGIHAMPTFVLVKKGQEVDRIVGAKKEELQKKIEKHRAT >Manes.15G175200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:19197572:19198528:1 gene:Manes.15G175200.v8.1 transcript:Manes.15G175200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFSAPAADAAEDSSSADHGGVTTFHSSARWQLHFNSIKDSSQLMVIDFAASWCGPCKLIEPEVKAMAAKFTDVQFAKIDVDELSGFGIHAMPTFVLVKKGQEVDRIVGAKKEELQKKIEKHRAT >Manes.08G010900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1285016:1287638:1 gene:Manes.08G010900.v8.1 transcript:Manes.08G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILGFMNLSPKNKNLVVAGGLSVFVFGVYFYTMRAVGGTDELQVAIDKFEGQKSKQDA >Manes.10G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4267972:4269137:-1 gene:Manes.10G041300.v8.1 transcript:Manes.10G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSSSSVLTPIVTQEEFKIFHNIDRVLYSRLVFNLNRDLAESMQVMALWLWLERSRHVGNLVNKMLSFPDTLINGLADEALLCLNCIENDQFHLSFRTSDIPLIHFLGNTGLSLQFFHENRHFIRPAVSKIVTEVCLRAFDDISQQAEKLKSKGVDKNKGVGKIRGQEMIKNQPVMSPVMPVLYNSEVVGAYGPMGNGGGSYSCILHGYDPCDLAIQTKILNNEIVDVLSHISMSDEVKDNVVPADDRTIFLTFSKGYPISEDEVRDFFTRKFGDCIEAIYMQEVMGDQGQSLYARLVVKSPIIINVILEDKCKAKFSINGKHVWARKYIRKSSRLSSSPSPSQPTSPTAPLQQA >Manes.10G110180.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27076055:27076829:-1 gene:Manes.10G110180.v8.1 transcript:Manes.10G110180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSADKKCIDVSFSPGDLVYLKLQPYRQQSVSKRAFQKLANRFYGPFPVIEKIGNLAYKLQLPATLKIHPVFHVFLLKQHVGAAVPISNDLPQLTDDGYAVLEPKKILDARWTKTGNCFLEEVLVRWKNLPLEDATWEHSAELQLRFPHLNLEDKIPSDGGGNDTPVRRSTRVIVKNRKFLN >Manes.03G090600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20568193:20570817:1 gene:Manes.03G090600.v8.1 transcript:Manes.03G090600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHSLNLSTTLIASKSQFKSAVNSKLLVLPSSAFGVRKRVSSSVRAMGSSASSQRPDNIQEAAKVDYATVSDDEWKKKLTPEQFYITRQKGTERAFTGEYWNTKTPGTYHCICCDAPLFESSTKFDSGTGWPSYYQPIGSNVKSKLDMSIILMPRQEVLCAVCDAHLGHVFDDGPPPTGKRYCINR >Manes.03G090600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20568193:20570817:1 gene:Manes.03G090600.v8.1 transcript:Manes.03G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHSLNLSTTLIASKSQFKSAVNSKLLVLPSSAFGVRKRVSSSVRAMGSSASSQRPDNIQEAAKVDYATVSDDEWKKKLTPEQFYITRQKGTERAFTGEYWNTKTPGTYHCICCDAPLFESSTKFDSGTGWPSYYQPIGSNVKSKLDMSIILMPRQEVLCAVCDAHLGHVFDDGPPPTGKRYCINSASLKLKPK >Manes.10G125600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29314542:29316167:1 gene:Manes.10G125600.v8.1 transcript:Manes.10G125600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIENLNTSIEHDQLVIYQASLKGDVAELDALLQQDELILDRVTVTSFHETPLHIAAMRGHLQFAQALLKWKPKLAEEFDSLCRLPLHFASAEGYSHIVRELVTVNPDACWARDQDGRIPLHLAAMKGRVTVIMELMSICPGSIREKMDNGETILHLCVKYNRLEALKLLVETVRDDEFVSAKDDNGNTILHLAAILKQVQIVKYLFSETSITENANTLNKNGFTALDALEHSPRDSKGLEIKIILLEAAAGLQRNKERINKSPSSTVQKNRGLVAITCKFWNNYLKNVGKRFEEARGNILVAATLTATIAFQAGVNPPQFNNDQKPIIDANAASAPSYLDHALQRTETYFWFSNTVSLALSLIIILVMFSGIPFKNTILQVFLVIVMCFTIVYISQAYFFAAAFNMRLRENWTSAGKTLFIINIIIHRLFQFIIWLHIASLFIWVVQKIYQSISKLISCLC >Manes.03G070776.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10869355:10879727:-1 gene:Manes.03G070776.v8.1 transcript:Manes.03G070776.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEINANSSSSSSSATGFGSSFPPVSFIVTDSVPSIPKASQFLCVKLTSPNYLLWHAQLIPLLYHSNLANHVSSSAASPNPTLADGSPNPSYVTWFRRDQLLRKQLKHLSKASDSIDSYMRRTKHIFDQLSALQFPKSSSLSYDDLYALLLSEESQRTTEKEFLPHSVQPSAHAALKISTGTTHHNISKSARGGRNGGRGRANYHGTTDSGNISNPSSCHGSESIPIGNGTHLPITQIGSSTMSTSVSSFTSHNHQFVIKDILTRQALHQSPSNGGLYQLPLSLSASPHARLGHANFKIVTVNNNRLSFGRGKSHNLPSYAYDTRVIKPLQPICSDVWAHLLYFQFETHGDLFNFTSIPFKYSPFAFETTVDIIN >Manes.06G056300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15299141:15303497:1 gene:Manes.06G056300.v8.1 transcript:Manes.06G056300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPMRGSIIQQIFRVANETHSAATKKNKEWQEKLPIVVLKAEEIMYSKANSEAEYMNPETLWDRVNDAINTIIRRDESSETGELLPPCIEAALNLGCIPVRASRSQRHSNPRSYLSPRVQEPVSAPLRVMEKTNNKQCPQSAPLQSSSQLNFARTTIAVNSTFPVSECNHHLTEASNVASPCSYPQLYENISAGCSQFITRDTNKQPNLGSVYPLYYGNNYHNERPHLVSQLIERSPNIIYVGNPISSLVTESAKMDVLQNLFSCPSAQIAAENISQGDFRITHEKSPGTQCDLSLRLGLYTDPGMSMERSSAQETEVPVSSSSQDRDRLSVFSPQKDKELCPPITNANDPFGTCPIKWFSVGEVHQNLDTTMRKRKAPFNEDVDDGQFCWQPEFPSNQFLGRIEKPGL >Manes.06G056300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15299141:15303497:1 gene:Manes.06G056300.v8.1 transcript:Manes.06G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPMRGSIIQQIFRVANETHSAATKKNKEWQEKLPIVVLKAEEIMYSKANSEAEYMNPETLWDRVNDAINTIIRRDESSETGELLPPCIEAALNLGCIPVRASRSQRHSNPRSYLSPRVQEPVSAPLRVMEKTNNKQCPQSAPLQSSSQLNFARTTIAVNSTFPVSECNHHLTEASNVASPCSYPQLYENISAGCSQFITRDTNKQPNLGSVYPLYYGNNYHNERPHLVSQLIERSPNIIYVGNPISSLVTESAKMDVLQNLFSCPSAQIAAENISQGDFRITHEKSPGTQCDLSLRLGLYTDPGMSMERSSAQETEVPVSSSSQDRDRLSVFSPQKDKELCPPITNANDPFGTCPIKWFSVGEVHQNLDTTMRKRKAPFNEDVDDGQFCWQPEFPSNQFLGRIEKPG >Manes.10G110903.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27214307:27214694:1 gene:Manes.10G110903.v8.1 transcript:Manes.10G110903.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDGMDKEKLRELKLKALELAKEKLKREIAGRLRLKTEGMTKNDINSRISEELNDKYLLVMNDVWCIFELDDIGICSNNKGNNVILASRNQDICWGMDIDAIEEVKSLQK >Manes.11G140400.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30589787:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTSRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.47.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590336:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.47.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30589788:30597024:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.49.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30590336:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.49.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30589787:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTSRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.48.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30590336:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.48.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590307:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTSRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.46.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590302:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.46.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590336:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590265:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590306:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTSRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30589788:30597024:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590307:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTSRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.44.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590265:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.45.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590265:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G140400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30590336:30597008:-1 gene:Manes.11G140400.v8.1 transcript:Manes.11G140400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGKTSRSGSSEALQKVRPQAVRQLKTTGLESDSASSSSQIRTPKDRTPKVIDRRSPKSPVTEKKRPSRISELEAQISQLQEELKKAKDQLNLSEAWKKEALQDAEDSKKQLLAMSSKLEESQKQLQELSASEEARVFYHQKTSQERDRAWESELEAVQQQHSDDSAALGSALSEIRQLKVQLEMVAESEAAQNKHAESSDVELQTLRANLINTLSLVENMKNQLSDSKDSEAWAQALASETLLQLETAKKSVEALRSDGTRAIEAYNGIASELDQSRARVKLLEGLVRKLEVDHSQASADDHDTYMAISESQSSQEANQLEAELLSLKSEVGLLRSALEVSETKCHEEQIHSTVQIRNAYELVEQIKSGATLREGELEAELKQAKADIEALKADLLDKETELQGISEENEGLNMKLKNSLSLQGESQLEIELKKLRNKVSDLKASLMDKETESQIIKEENEMLKVEIGKSGTDGNIMNSEVVKELEAARAAEREAHMKLGFVMDEADRSNKRVARVMEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLGSNYNPVTGKFDSPYDEDMDDDLLKKKNGNMLKKIGVLWKKPQK >Manes.11G039566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3753114:3758397:1 gene:Manes.11G039566.v8.1 transcript:Manes.11G039566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQERTSSTIGPKQYSFEELVVATGGFSRKNLLGEGGYGEVFKGFLDEYRAIKKLRIISSDEQRKKELEHEIKVINSVSHRNLVKLVGYCIEENDVLLVLEYFPNKCLKYNLHERKERILVWQERMQIAKGLAKGLEYLHEYCNFKIIHQDIKPGNILLDNNFEPKIADFGLALFLPDNATHVSQSAVGTEVYMEKSKRISKKSDVYSFGIVLLELITGRKPICDGIDIVNWAKNPIKQALMGQYSGFVDSKLQSYNVEEIKLMICCAEHCVYKPSTDRPRIREIVRVLEGFIPPQDKDANDFLQHRVQKLSIIPETDDNDSVESEVYSTRMFSYQQLERATQGFSQFLGEGSLGQVYKGYLDGKEVAVRQLKQLPDEKQRENLEKDIKIIGSVNHPNLVRQLGYSTQGLNRFLALEFFPSISLKSLLHGKRTLEWSERVKIAIDSAKGLEYLHENCKIIHGDIMTNNILIDNNFQSKVTNFGLIKYYRSERTVVYADPEDRKGTSEKSDIYAFGVVLLELITGIDSRKKGANNIINGIKTLMRPAMKREYTTIFDPTLQGNYNRIEMDRMLYCAAACVYKPSAFRPQIKKIVGVLEGSIPWKDIWDESDDQILSDAIVKESPPLLTKPEKMAEEGVVIACHTVKAWKKQYKRGKRLVVVDFSASWCGPSRFMSPILAEWAKLMPNVRFLTVDVDELSSVARNWAVEAIPTILFFKRGQLLDKVVGANVPQLELTIARHAGGAHRTLGSEVSNSAIFPQPTNVYATLPTEYAQPQFIGMTSDQNPQMFKTKKRHMLKEIIGAIFHL >Manes.11G039566.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3753114:3758397:1 gene:Manes.11G039566.v8.1 transcript:Manes.11G039566.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQERTSSTIGPKQYSFEELVVATGGFSRKNLLGEGGYGEVFKGFLDEYRAIKKLRIISSDEQRKKELEHEIKVINSVSHRNLVKLVGYCIEENDVLLVLEYFPNKCLKYNLHERKERILVWQERMQIAKGLAKGLEYLHEYCNFKIIHQDIKPGNILLDNNFEPKIADFGLALFLPDNATHVSQSAVGTEVYMEKSKRISKKSDVYSFGIVLLELITGRKPICDGIDIVNWAKNPIKQALMGQYSGFVDSKLQSYNVEEIKLMICCAEHCVYKPSTDRPRIREIVRVLEGFIPPQDKDANDFLQHRVQKLSIIPETDDNDSVESEVYSTRMFSYQQLERATQGFSQFLGEGSLGQVYKGYLDGKEVAVRQLKQLPDEKQRENLEKDIKIIGSVNHPNLVRQLGYSTQGLNRFLALEFFPSISLKSLLHGKRTLEWSERVKIAIDSAKGLEYLHENCKIIHGDIMTNNILIDNNFQSKVTNFGLIKYYRSERTVVYADPEDRKGTSEKSDIYAFGVVLLELITGIDSRKKGANNIINGIKTLMRPAMKREYTTIFDPTLQGNYNRIEMDRMLYCAAACVYKPSAFRPQIKKIVGVLEGSIPWKDIWDESDDQILSDAIVKEKMAEEGVVIACHTVKAWKKQYKRGKRLVVVDFSASWCGPSRFMSPILAEWAKLMPNVRFLTVDVDELSSVARNWAVEAIPTILFFKRGQLLDKVVGANVPQLELTIARHAGGAHRTLGSEVSNSAIFPQPTNVYATLPTEYAQPQFIGMTSDQNPQMFKTKKRHMLKEIIGAIFHL >Manes.08G066100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:9749947:9750858:1 gene:Manes.08G066100.v8.1 transcript:Manes.08G066100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRNTHWCYRCRRPVRMRGLNAVCPYCSGGFVQELDDMVQFSPLDFFGLESEDNRDQRFGLMEAFSAFMRQRLADRSHDSRVRSDLIPEHNPGFGPLLIFGGQIPLRLSGNGGFEALFPGAPGIALTRGNAGDYFIGPGLEELFEQLSANDRRGPPPATRSSIDAMPTIKINQRHLRSDSHCPVCKDKFELGSEARQMPCDHIYHSDCIVPWLVQHNSCPVCRQELPTQGSSSGYQGSSSRSRNNYSGREHNREGRRNPLSYLWPFRSSSSSSNHDGTTGSSSPTYHENNHQMGYSGWPFN >Manes.08G066100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9747941:9751167:1 gene:Manes.08G066100.v8.1 transcript:Manes.08G066100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRNTHWCYRCRRPVRMRGLNAVCPYCSGGFVQELDDMVQFSPLDFFGLESEDNRDQRFGLMEAFSAFMRQRLADRSHDSRVRSDLIPEHNPGFGPLLIFGGQIPLRLSGNGPGLEELFEQLSANDRRGPPPATRSSIDAMPTIKINQRHLRSDSHCPVCKDKFELGSEARQMPCDHIYHSDCIVPWLVQHNSCPVCRQELPTQGSSSGYQGSSSRSRNNYSGREHNREGRRNPLSYLWPFRSSSSSSNHDGTTGSSSPTYHENNHQMGYSGWPFN >Manes.08G066100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9738903:9751167:1 gene:Manes.08G066100.v8.1 transcript:Manes.08G066100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRNTHWCYRCRRPVRMRGLNAVCPYCSGGFVQELDDMVQFSPLDFFGLESEDNRDQRFGLMEAFSAFMRQRLADRSHDSRVRSDLIPEHNPGFGPLLIFGGQIPLRLSGNGPGLEELFEQLSANDRRGPPPATRSSIDAMPTIKINQRHLRSDSHCPVCKDKFELGSEARQMPCDHIYHSDCIVPWLVQHNSCPVCRQELPTQGSSSGYQGSSSRSRNNYSGREHNREGRRNPLSYLWPFRSSSSSSNHDGTTGSSSPTYHENNHQMGYSGWPFN >Manes.08G066100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:9738856:9751201:1 gene:Manes.08G066100.v8.1 transcript:Manes.08G066100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRNTHWCYRCRRPVRMRGLNAVCPYCSGGFVQELDDMVQFSPLDFFGLESEDNRDQRFGLMEAFSAFMRQRLADRSHDSRVRSDLIPEHNPGFGPLLIFGGQIPLRLSGNGGFEALFPGAPGIALTRGNAGDYFIGPGLEELFEQLSANDRRGPPPATRSSIDAMPTIKINQRHLRSDSHCPVCKDKFELGSEARQMPCDHIYHSDCIVPWLVQHNSCPVCRQELPTQGSSSGYQGSSSRSRNNYSGREHNREGRRNPLSYLWPFRSSSSSSNHDGTTGSSSPTYHENNHQMGYSGWPFN >Manes.08G056700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6332126:6340184:-1 gene:Manes.08G056700.v8.1 transcript:Manes.08G056700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALSLRRSIQSLHNHRHPSKALAAAAAAAGGPLKDPFYPVRAFSTSTQSSSSDAELRKYLGYTALVLFCGAATYYSFPFPENAKHKKAQLFRYAPLPEDLHTVSNWSGTHEVQTRAFHQPENLQQLEELVKESNEKKAKIRPVGSGLSPNGIGLARAGMVNLALMDKILEVDKEKKTVRVQAGIRVQQLVDGIKDYGITMQNFASIREQQIGGIVQVGAHGTGARLPPIDEQVISMKLVTPAKGTIEISKEKDPELFYLARCGLGGLGVVAEVTLQCVDRQELVEHTFISNMKEIKKNHKKLLSENKHVKYLYIPYTDSVVVVTCNPVSKWRGPPKFKPKYSKDEAIQHFRDLYKESLTKYRTGENAAKSVDDDETDIDQLSFTELRDKLLALDPLNKNHVIKINHAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGTLARPSMKDLEYIEELKQLIEKQEIPAPAPIEQRWTACSQSSMSPASSSSKDDIFSWVGIIMYLPTMDARQRKEITEEFFHYRHLSQTKLWDKYSAFEHWAKIEVPKDAEELAALQVRLRSRFPVDAYNKARKELDPNRILSNVKLEKLFPLSEAI >Manes.08G056700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6332126:6340183:-1 gene:Manes.08G056700.v8.1 transcript:Manes.08G056700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALSLRRSIQSLHNHRHPSKALAAAAAAAGGPLKDPFYPVRAFSTSTQSSSSDAELRKYLGYTALVLFCGAATYYSFPFPENAKHKKAQLFRYAPLPEDLHTVSNWSGTHEVQTRAFHQPENLQQLEELVKESNEKKAKIRPVGSGLSPNGIGLARAGMVNLALMDKILEVDKEKKTVRVQAGIRVQQLVDGIKDYGITMQNFASIREQQIGGIVQVGAHGTGARLPPIDEQVISMKLVTPAKGTIEISKEKDPELFYLARCGLGGLGVVAEVTLQCVDRQELVEHTFISNMKEIKKNHKKLLSENKHVKYLYIPYTDSVVVVTCNPVSKWRGPPKFKPKYSKDEAIQHFRDLYKESLTKYRTGENAAKSVDDDETDIDQLSFTELRDKLLALDPLNKNHVIKINHAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGTLARPSMKDLEYIEELKQLIEKQEIPAPAPIEQRWTACSQSSMSPASSSSKDDIFSWVGIIMYLPTMDARQRKEITEEFFHYRHLSQTKLWDKYSAFEHWAKIEVPKDAEELAALQVRLRSRFPVDAYNKARKELDPNRILSNVKLEKLFPLSEAI >Manes.17G074600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27527652:27529759:-1 gene:Manes.17G074600.v8.1 transcript:Manes.17G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQKSKVLIIGGTGHIGKFIVEASAKLGHPTFALVRETTVSSNPERSKIIHSFKSYGVNLIYGDVHNHEDLVKAIKQVDVVISTVGVELMAEQHKIISAIKEAGNVKRFLPSEFGGDVDLSQVVEPAKDYVELKRKIRRAVEAEGIPYTFIVSNGFAEYFLAGLGQLNAKVPPRDKVVILGDGNTRVILLAEEDIATYTIKTVDDPRTMNKTLYMRPPANVLTFNEIVALWEKKIGKTLDKIYITEEELLKNIQEAPSPLNFILAINHNAFVKGNFDVVVPLGVEACQLYPEVKYTTVDKYLDQFV >Manes.11G146000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31043963:31051786:1 gene:Manes.11G146000.v8.1 transcript:Manes.11G146000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAQHRESSSGSINKHLTDNGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTASAGTDASCDSVVTTPQHSLRDASNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYSQIYAPTTLAPARDFWTLRYTTSLENGSLVVCERSLSGSGAGPNAAAASQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEPWSVPEVLRPLYESSKVVAQKTTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSLMSCDGAEDVIIAVNSTKNLSSTSNPANSLSFLGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGSYAFPGMRPARFTGSQIIMPLGHTIEHEELLEVIRLEGHSLVQEDAFVSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLLPSGFRVIPLDSKTKDAPDAVNASRTLDLTSSLEVGPATNHVAGDASSSHSTRSVLTIAFQFPFESNLQDNVSTMARQYVRSVISAVQRVAMAISPSELSPAVGPKLSPGSPEALTLAHWICQSYSYYLGAELLRSDSLGGDSVLKHLWHHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCADFAKLMQQGFAHLPGGICMSTMGRHVSYEQAVAWKVLAADENSVHCLAFSFVNWSFV >Manes.09G055700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8999111:9000491:-1 gene:Manes.09G055700.v8.1 transcript:Manes.09G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCLPLEPAKTQSFLSSKTTYDLHHYQENDGIKITDLKCIPSKTSTLPLLQSNGQLSAGDEAMKVVREEQLLQEDICGRERLKRHRIEVAGRVWIPDIWGQEEVLKDWIDCSAFDASLVANRIMSARAALVEEGRRRRGPACVAGRLRIENRC >Manes.09G077800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:23531490:23535037:1 gene:Manes.09G077800.v8.1 transcript:Manes.09G077800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIKQVHRMYVRLATGPGGLKPIWILAPVAVRFPGRYNFHCSHVRIIQAITDLNYTTHLTASTSPNQTSSSARIDLSGSFSSSASQLAYHRAGPRKQIFFDPSSTRAAIVTCGGLCPGMNTVIRELVVGLWELYGVRQIYGVVSGYRGFYSREPIELNPKLVHNWHKRGGTTLQTSRGGFDLNKIVDAIQNRGFNQVYIIGGDGTMRGAVKIFDEIRRRKLNIGVAGIPKTVDNDVGIIDRSFGFQTAVEMAQQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPETEFYLEGKGGLFEYLEKSLKENGHAVLVVAEGAGQDIIPRTEAQKQQRDESGNPGFLDVGLWLKSELKNWWSGDHPNDLFTMKYIDPTYMIRAIPANATDSLYCTLLAHSAIHGVMAGYTGFVYIYVIYSEKNREKLQFL >Manes.18G117800.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12171468:12199010:1 gene:Manes.18G117800.v8.1 transcript:Manes.18G117800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVNHLIDMVRSALQNEASILGSVHDELNNLRLQLESMRSFLEDAERKQLDSEVERTWVANVRDVAYQVEDIIDEFMYYEHQQHSGGHFSQFLHQIIRAPKKLWVMHRIGIKLQKINNIIKVIPEWKHIYNVNIVEGMISKDLAHKWALDQRDSSRFIKEDDIVGIKDETRLLEESIMDGEMQQTLISVVGMGGSGKTTLIAKTYNNERVKCHFHCYAWVTISQTYTRDEVFRSLIREFHQSRKEQQPPDLSSKGHAELVTILGSYLDSKKYLLVLDDVWDTNLWQVIKVALPDDHIGSRIMLTTRNESVGSFSFGVRSHILQIKPLKESETWHLFCIKAFSSYPDEPCPRDLEPLGLELLGRCRGLPLAVVALGGLMSSKKSITEWRSVCNNLNWQLNNNNMLEVVKSILLLSFNDLPSPLKHCFLYCCLFPEDYVIRRKRLIRLWIAEGFIQQVNRVTLAEVAESYLMELISRNMLQVVLRNESGRPKACKMHDILRELALSISERENFCIVYNGQVVTEECKARRLSIQIANVDSKSYRGMSQLRSLFVFVKHSVCFPSTLLSKFKLLRVLDLEDARIEKLPDVIEVLFNLRHLNLKGTLVAELPQCIGKLRNLETLNIRDTQIKELPKGVAELQNLQNLIMYHYNRGPDHHFRYVRGTRAPFKINKLKKLQVMSFVEAEGDLIRQLGSMNQLTRMGISNLGAKDEMVLCTSIQNLKLLRYLFLMVSDEAEVLRVDALKTPPPQLQKLILVGKLERVPCWFDSLKYLTCMYLHWSRLKEDLLPHIAALPCLGNLDLDNAFAGKELHFSGGFPKLRSLWLSNFPQLRLITIEEGAMPNIQLLCLASCKALNALPRGMEFLTNLRTLLLSGSSRLVDSINDKESEDHSKVQHIRNIEIL >Manes.08G159011.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39555384:39556406:1 gene:Manes.08G159011.v8.1 transcript:Manes.08G159011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCTGLNNFFQSHLNTTTHTICLNSASHTHCRLLLSMCCRHILPQFHKFQPMQ >Manes.18G077618.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7096285:7097219:-1 gene:Manes.18G077618.v8.1 transcript:Manes.18G077618.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAPMRLFSSVFLLLLLLVATEMGPVMVAEARKCESQSHRFKGMCTRHSNCAAICQTEGFHAGHCRGLRRRCFCTKHC >Manes.09G016787.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3748740:3752328:-1 gene:Manes.09G016787.v8.1 transcript:Manes.09G016787.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRGCEPNVVTYCAIIDALCKDELVGEALELFFQMRNKGISPNVITYTSLIHGVCKLCQKNQALALMNEMVEQNILPNVYTFNVLIDALCKNGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDHFKEALALLKEMVGRNIFPNVVTFNILINTLCKKGLVSNAQNIIKIMIQRGVEPNVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIANIFSYNILINGYCKCKMIADAKEIFDEMSHKGLVPNAVTYHTLIKGMFQAGRPQNAKELFKDMCFHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLINGMCKVGKINDAKELFSSLSEIGLQPDVYVYYAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIKGFLRHEDLPKAAELINEMVGKGFSADATTTELVVRLLCNDDLILRLLKVRNEGSAN >Manes.09G036200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6929289:6931364:1 gene:Manes.09G036200.v8.1 transcript:Manes.09G036200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPFPLSSLSPLPLSDPHFSRSPVVQLQLNTNPLQNLPQQDQKPAFFDPQSVHQPNQPIGGGSNPQACSDGSATQEVKDFQKDKRGGGGGGGVGRSDNTRRGDFLGAESETVVHQLSNPSHQVGRWCEGEKAFPLKKRRGNFERSKEEETTVMKDKKMKTKMNKKCLQRNANTEAADKEDKETKEGINGKKRIRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRAMASSSSTSKKAISSQPLPSTSLSSEDKEQKHPLADNKLDDEGDDKKKPLMISKKKMKLGIVKARSISSLLGEANHANIAVAEKRLNTESESFHVVV >Manes.09G036200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6929293:6931356:1 gene:Manes.09G036200.v8.1 transcript:Manes.09G036200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPFPLSSLSPLPLSDPHFSRSPVVQLQLNTNPLQNLPQQDQKPAFFDPQSVHQPNQPIGGGSNPQACSDGSATQEVKDFQFFLQKDKRGGGGGGGVGRSDNTRRGDFLGAESETVVHQLSNPSHQEVGRWCEGEKAFPLKKRRGNFERSKEEETTVMKDKKMKTKMNKKCLQRNANTEAADKEDKETKEGINGKKRIRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRAMASSSSTSKKAISSQPLPSTSLSSEDKEQKHPLADNKLDDEGDDKKKPLMISKKKMKLGIVKARSISSLLGEANHANIAVAEKRLNTESESFHVVV >Manes.09G036200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6929293:6931356:1 gene:Manes.09G036200.v8.1 transcript:Manes.09G036200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPFPLSSLSPLPLSDPHFSRSPVVQLQLNTNPLQNLPQQDQKPAFFDPQSVHQPNQPIGGGSNPQACSDGSATQEVKDFQFFLQKDKRGGGGGGGVGRSDNTRRGDFLGAESETVVHQLSNPSHQVGRWCEGEKAFPLKKRRGNFERSKEEETTVMKDKKMKTKMNKKCLQRNANTEAADKEDKETKEGINGKKRIRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRAMASSSSTSKKAISSQPLPSTSLSSEDKEQKHPLADNKLDDEGDDKKKPLMISKKKMKLGIVKARSISSLLGEANHANIAVAEKRLNTESESFHVVV >Manes.09G036200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6929289:6931463:1 gene:Manes.09G036200.v8.1 transcript:Manes.09G036200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPFPLSSLSPLPLSDPHFSRSPVVQLQLNTNPLQNLPQQDQKPAFFDPQSVHQPNQPIGGGSNPQACSDGSATQEVKDFQKDKRGGGGGGGVGRSDNTRRGDFLGAESETVVHQLSNPSHQEVGRWCEGEKAFPLKKRRGNFERSKEEETTVMKDKKMKTKMNKKCLQRNANTEAADKEDKETKEGINGKKRIRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRAMASSSSTSKKAISSQPLPSTSLSSEDKEQKHPLADNKLDDEGDDKKKPLMISKKKMKLGIVKARSISSLLGEANHANIAVAEKRLNTESESFHVVV >Manes.06G090000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22577354:22581545:-1 gene:Manes.06G090000.v8.1 transcript:Manes.06G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYDLTDDRSEIVFFDLETTVPSRAGQGFAILEFGAILVCSRKLEELRSYSTLVRPANPSLISSSSVRCNGITADAVKSAPTFAEIADTVYEILHGRIWAGHNILRFDCVRIREAFAEIARPPPEPKGIIDSLALLTQKFGRRAGNMKMASLATYFGLGKQTHRSLDDVRMNIEVLKYCATVLFLESSLPDAFPEKSWVSPNATTRSRKNGKAPLEGLGINIDAPSSSSRFENISPEDRGNEGNHPLIALLTSVASNTSPDPFDMGTLSNEMHTDSLQQDVAMEEKPMTVSSEMPSAATDPEGCSGNAGFLVPEEVSVSSIRACFLPFNHSGQRMVLFYEDVILQLCSPHLRVQFGLSTKFADHAGRPRLSFVVNASPSLCRVLEACDDIVQKLFVESGGSSDWRPVLNRKPGFFNYPTVRLHIPTAVNEDVAKYATEIYQKDPSGTIQKLMFSKFDAAELVTWFRPGTFLDAYISLHPYDYQQSAGIRLVAKKLIIHKE >Manes.10G060650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8453704:8456543:1 gene:Manes.10G060650.v8.1 transcript:Manes.10G060650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPKKSDNTKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGAHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMTCSGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDRDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLIVDHSLSLTEALCGFQFILTHLDGRQLLIKSQPGEVVKPDQFKGINDEGMPMYQRPFMRGKLYIHFSVDFPDSLPVDQCKALEAVLPSRTSVQLSDMELDECEETTLHDVNFEEEMRRKQQQAQEAYDEDEDMHGGAQRVQCAQQ >Manes.10G060650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8453600:8456710:1 gene:Manes.10G060650.v8.1 transcript:Manes.10G060650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPKKSDNTKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGAHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMTCSGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDRDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLIVDHSLSLTEALCGFQFILTHLDGRQLLIKSQPGEVVKPDQFKGINDEGMPMYQRPFMRGKLYIHFSVDFPDSLPVDQCKALEAVLPSRTSVQLSDMELDECEETTLHDVNFEEEMRRKQQQAQEAYDEDEDMHGGAQRVQCAQQ >Manes.07G038487.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4708236:4710013:1 gene:Manes.07G038487.v8.1 transcript:Manes.07G038487.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLHINYEGSINRDKNIFLDVVCFFKELNKENAMRIKKLLFDVPAEKVLVDDFTITIEDNDNLLIHGHRSFNILEIEYEVSPKKTKPNKATMKVEPLPNGHPPTPVSNPQLEVEDAVPKEDFPQQEKNKVPPSLMEKQEKLVPNGILKAPKVELLPQEEVHNEAAMVKPEMELPAQAEEDNSEDTKDTLQEKKQELGDYFNMSLEEIHQANAFNNIEKIVSTLTHNSATLYEKANLQKLMDRFTEFKGSVPDSVTTAERTQAHSISLLMKSIMLKQSLAHVQEQLRSSEAGLSKISKEKEELDIQIQSLISRKEKLIEHKKSTEFQLETTKKTVSTNLSEQKMIDGEIEQAYENWFKAKEKLVLANASWKLFKECIEL >Manes.05G064100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5338527:5344007:1 gene:Manes.05G064100.v8.1 transcript:Manes.05G064100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSSSRKVKPSQSPRASVFKFLKNPKVAFAVALIFMDALLVALIIAYVPYTKIDWDAYMSQVTGFLEGERDYSNLKGDTGPLVYPAGFLYVYSAIQYVTGGDVYPAQILFGILYIINLSIILFIYVKTDELPWWALILLCLSKRVHSIFVLRLFNDCFAMTLLHAALALLLCQHWHLGLILFSGAVSIKMNVLLYAPSLFLLMLKAMDVTGVIVALSGAALVQILLGLPFLASYPIAYISRAFNLGRVFIHFWSVNFKFIPEPFFVSKQFAVSLLLAHLGLLAAFAHYRWCKHEGGLFKFLHSKVISIRRPSAITSSSGSSFKILKEEHIVTMMFSGNFIGIVCARSLHYQFYSWYFYSLPYLLWKTPFPTWLRLILFVGVEYCWNVYPSTKFSSALLLCLHLVILWALWSAPSQYPYKEDQLSAKEKHR >Manes.18G144404.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:27311686:27312102:1 gene:Manes.18G144404.v8.1 transcript:Manes.18G144404.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGPIRTNPLHADLPRRSTIHFNEHARVTHLQHSCTMVQGPAYGQHSRSPALQWTSLCTILHAWAPPQHSRPSSRTFLHLGPSAQMDQHSCTSSTFITSQGPFPQAPAPSRVDRRIKFTHKGPPSTPTRARAPAHA >Manes.14G147150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16339153:16339696:1 gene:Manes.14G147150.v8.1 transcript:Manes.14G147150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECITSQTYHIKDELVTISPLHIEICPTIPGAMRPHLVLAYITHITTCPTTPGAIRPHLVFPYIYMMPGAIRPHLGFLSHSMSSHIILSHLILRAKDHPIFIHINNILCNASYSWILMQNNLIHIMAFIMHGSCSKNI >Manes.01G110000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30893671:30895226:-1 gene:Manes.01G110000.v8.1 transcript:Manes.01G110000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPVFLEIKTSCVHCTSKSSGSFTNLSLSSPSSLKYHFSLFFLPPYPISLPLSLKSPFPFSYSSKMRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQDAVEGVLKGTSITPINSEAAANGHGPPLKVYDIRHVSKDENSAASNDAKRVKTRCRVRRVVKPKVSNNNKPCRGVGLGAAGNELTRSMSHGSSVSHLSELAMVDGESKETESMVSEETAEASLLFKAEPESAAKSNGGVQDHATREMAGLGLELTLGLEPVSRASHVVPVKKRKLESYGYSSSEDDTYNTELGLDYPACH >Manes.17G094800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30297184:30302633:-1 gene:Manes.17G094800.v8.1 transcript:Manes.17G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPGHEEQQEQKEFADGGKRKLDAFELAKQRAQEIASRIASDADSKRPRLVSENSSEPSRFSSSVSASPSFPVPFVAQPSLYSQGASKKIAIPNGKVGVVIGKGGETIKNIQLQSGAKIQITKDQDADPHSLTRDVELMGTSEQISRAEELISDVIAETDAGGSASSAVHGLNTKQSGAEQFAMRVPNDKVGLLIGKGGETIKYMQSRSGARMQIIPLHLPPGDASAERTVYINGSTEQIEAAKELVNEVISGKRIINPSGSNSYSQPVYPAAGNWVEPGQPTMQQQPQYGYAQPGNQPTPSSYYGNYTQQPVWDQSNPSTMSQTPQQMTGYGYYGQQSQMGTAPPSYSYNQTPVASNTYDHSYGQQTPNYGQNIPSQTPSQEMQKPYATSSYGSATVSSQSDGAISSQSSQVAPAYPPTAYSQPVMNPPTYWPPQTGYDQTGYSQTAYGGLQPGQVPPPSTQPVYGQGGYPLQPSPSPVNYAQGTHPHSYGQPPLETQSQSQPANNGHSQPLAYGAETNDGNSNPAVQEAVPSQS >Manes.02G153200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11871374:11877592:-1 gene:Manes.02G153200.v8.1 transcript:Manes.02G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKLSERDNIRIGENVMRDGDLLNEVPYVPYFSLLRLRKPPLSGSPVSRVSQRSPEHSSSSCSFSNGFCSPHCGSPYGEREKRVAENMGRDGELFNDVSNVPHLSLLRQQQKLLGSPATRVSLQSPECSPSSSLFPNRFCSPKDDSLYTAPSTEEAKYHTPGPHYWNGLCLDSKSPHHESYEIDGRMLDEMGLSQSFCEMRIRDDQNGGTKMKGLEMDADGFEFGFDYGSVGGAVKNNVKNYGSYGGFKNGAFDVHDFQSSHHGVHLSTHDDCNHALNGFQSGFGKDAHDSMVSSFAHNQSFNLSSDSGWYDNHLLERRKEQGGSWTHWGIQSQNQFINKPYLDDSPSFPLHYKMASIGGRSVMDSSGAPQLMNPMFDLDVNHPFYRGSMLKERIRAITTNGFSHSLMSMKGAGDTEAFSCEDSFIIQGKGLNHVLNEGHEPMSSAKKNSLNETSVQNLRGKTIKLGGAQFHGGSWENDQRLNTENPLPPAPSISSLSEVQGNIYLMAQDQNGCRWLQRIFDEGTKEDVQIIFNEIIDHVVELMLKPFGNYVIQKFLDVCNEEQRLQIVFMVTEEQGQLVRICLNTYGTRAAQKLIETLKTRQQILFVVSSLKPGFLDLVKDQNGNHVIQRCLQCLSNEDNKFIFDAGAKFCVEIATHRHGCCVMQRCITHSTGKHRDKLITEISKNSILLAQDPFGNYVVQYIIELKSPSSIANLLSQFKTHYVQLSMQKFSSHVVEKCLKHLDESREQIIHELISVPRFEQLLQDPFANYVIQSALAVTKGPLHSLLVDAVQPHVTLCSNPYSKRIFSGNLLKK >Manes.02G153200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11873462:11877592:-1 gene:Manes.02G153200.v8.1 transcript:Manes.02G153200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKLSERDNIRIGENVMRDGDLLNEVPYVPYFSLLRLRKPPLSGSPVSRVSQRSPEHSSSSCSFSNGFCSPHCGSPYGEREKRVAENMGRDGELFNDVSNVPHLSLLRQQQKLLGSPATRVSLQSPECSPSSSLFPNRFCSPKDDSLYTAPSTEEAKYHTPGPHYWNGLCLDSKSPHHESYEIDGRMLDEMGLSQSFCEMRIRDDQNGGTKMKGLEMDADGFEFGFDYGSVGGAVKNNVKNYGSYGGFKNGAFDVHDFQSSHHGVHLSTHDDCNHALNGFQSGFGKDAHDSMVSSFAHNQSFNLSSDSGWYDNHLLERRKEQGGSWTHWGIQSQNQFINKPYLDDSPSFPLHYKMASIGGRSVMDSSGAPQLMNPMFDLDVNHPFYRGSMLKERIRAITTNGFSHSLMSMKGAGDTEAFSCEDSFIIQGKGLNHVLNEGHEPMSSAKKNSLNETSVQNLRGKTIKLGGAQFHGGSWENDQRLNTENPLPPAPSISSLSEVQGNIYLMAQDQNGCRWLQRIFDEGTKEDVQIIFNEIIDHVVELMLKPFGNYVIQKFLDVCNEEQRLQIVFMVTEEQGQLVRICLNTYGTRAAQKLIETLKTRQQILFVVSSLKPGFLDLVKDQNGNHVIQRCLQCLSNEDNKFIFDAGAKFCVEIATHRHGCCVMQRCITHSTGKHRDKLITEISKNSILLAQDPFGYSI >Manes.09G089800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26665261:26671385:1 gene:Manes.09G089800.v8.1 transcript:Manes.09G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSISSSIDDKDLDDAALWAVIDSAEASHSSSKSRKPLALKYPNFQSPPQNPRCQFRNDSPISDPYRRPHKIARTCASEVSECARPLAMVRTPIANAVMYTSPEAYLSPQIRRFSPNELNDVSEVSPGSYARSEEKDVTRHCLNGRFPSVSLFKDYQNAAMAILEKSDYTMISGNPFIKKSGWRKISCYFNISYEIKDKTIEFDDNRNVQRAEFVVRAHMQGGRFSDGWGSCERREKRFLKPNHDIPSTAETRAKNKSCQDLLGIGEYRPGVSQFRQ >Manes.09G089800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26665261:26671385:1 gene:Manes.09G089800.v8.1 transcript:Manes.09G089800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSISSSIDDKDLDDAALWAVIDSAEASHSSSKSRKPLALKYPNFQSPPQNPRCQFRNDSPISDPYRRPHKIARTCASEVSECARPLAMVRTPIANAVMYTSPEAYLSPQIRRFSPNELNDVSEVSPGSYARSEEKDVTRHCLNGRFPSVSLFKDYQNAAMAILEKSDYTMISGNPFIKKSGWRKISCYFNISYEIKDKTIEFDDNRNVQRAEFVVRAHMQFSDGWGSCERREKRFLKPNHDIPSTAETRAKNKSCQDLLGIGEYRPGVSQFRQ >Manes.09G090000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26636547:26642571:1 gene:Manes.09G090000.v8.1 transcript:Manes.09G090000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPSPTIFISPEPPPFPAPPRSVDLSPLEFILGLIAIITIPALIYTFFFSIKCPPTPFRRRRSDSGEFPGIDDIPIGNKEVVSDVKYQKETHVKDIGSECPVCLSVFADGEEVKRLSVCKHSFHASCINMWLNSHSNCPVCRASVPAKRPNNGTATAVSSVDLHQGWRMN >Manes.03G013100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1100918:1105332:1 gene:Manes.03G013100.v8.1 transcript:Manes.03G013100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP26-1 MPLFQFLLVVVLVLNSLENGSAGITSTYIRTEWPSTDIPLDNQVFEIPKGHNAPQQVHITQGDYDGKAVIISWVTADEPCCSNVKYGLLDNKYEFSAQGIVTNYTFSGYKSGYIHHCLVDGLEYDTKYYYKIGEGDSSREFWFQTPPKIDPDAPYTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFVGDLSYADRYQHNDVGIRWDSWGRFVEPSAAYQPWIWSAGNHEIEYMPEMGEVLPFKSYLHRFPTPHTASRSTNPLWYAVRRASAHIIVLSSYSSYAKYTPQWRWLREELTRVDRDKTPWLIVLMHAPIYNSNLAHYMEGESMRTVFESWFVRFKVDLIFAGHVHAYERSYRISNIYYNVSSGDQYPVPDKSAPVYITVGDGGNQEGLAGRFWDPQPEYSAFREASYGHSTLEIKNRTHAFYHWNRNDDGKNVPTDSVIFNNQYWGSNPHRRRHLKAGGHAGS >Manes.03G013100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1100918:1105332:1 gene:Manes.03G013100.v8.1 transcript:Manes.03G013100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP26-1 MPLFQFLLVVVLVLNSLENGSAGITSTYIRTEWPSTDIPLDNQVFEIPKGHNAPQQVHITQGDYDGKAVIISWVTADEPCCSNVKYGLLDNKYEFSAQGIVTNYTFSGYKSGYIHHCLVDGLEYDTKYYYKIGEGDSSREFWFQTPPKIDPDAPYTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFVGDLSYADRYQHNDVGIRWDSWGRFVEPSAAYQPWIWSAGNHEIEYMPEMGEVLPFKSYLHRFPTPHTASRSTNPLWYAVRRASAHIIVLSSYSSYAKYTPQWRWLREELTRVDRDKTPWLIVLMHAPIYNSNLAHYMEGESMRTVFESWFVRFKVDLIFAGHVHAYERSYRISNIYYNVSSGDQYPVPDKSAPVYITVGDGGNQEGLAGRFWDPQPEYSAFREASYGHSTLEIKNRTHAFYHWNRNDDGKNVPTDSVIFNNQYWGSNPHRRRHLKAGGHAGS >Manes.03G013100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1100918:1105332:1 gene:Manes.03G013100.v8.1 transcript:Manes.03G013100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP26-1 MPLFQFLLVVVLVLNSLENGSAGITSTYIRTEWPSTDIPLDNQVFEIPKGHNAPQQVHITQGDYDGKAVIISWVTADEPCCSNVKYGLLDNKYEFSAQGIVTNYTFSGYKSGYIHHCLVDGLEYDTKYYYKIGEGDSSREFWFQTPPKIDPDAPYTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFVGDLSYADRYQHNDVGIRWDSWGRFVEPSAAYQPWIWSAGNHEIEYMPEMGEVLPFKSYLHRFPTPHTASRSTNPLWYAVRRASAHIIVLSSYSSYAKYTPQWRWLREELTRVDRDKTPWLIVLMHAPIYNSNLAHYMEGESMRTVFESWFVRFKVDLIFAGHVHAYERSYRISNIYYNVSSGDQYPVPDKSAPVYITVGDGGNQEGLAGRFWDPQPEYSAFREASYGHSTLEIKNRTHAFYHWNRNDDGKNVPTDSVIFNNQYWGSNPHRRRHLKAGGHAGS >Manes.03G140500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26830210:26831550:-1 gene:Manes.03G140500.v8.1 transcript:Manes.03G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEKIIHYKYHIIFTFIISLIFVAIIFLAPSFVTILAYFWPLFLSTALFLVAVVFFGKTSSPGTETESSGDKAVEGLLDYVAGQPEQVVENLKPE >Manes.09G011736.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2603398:2605553:1 gene:Manes.09G011736.v8.1 transcript:Manes.09G011736.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMKMPWTRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMFKFGLEPTTVTFTTLINGLCMESKMDKAVEFFDDMVARGNQPDVRTFNVIVNGLCKFGKTNVAIGLLKGMADRGCAPNVVTYNAIIDALCKDVLVGEALELFSQMRNKGISPDVITYTGLIHSVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDHFKEALTLLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPNVVTYSSLMDGYCLYNQIDKARKVFDLMATNEIADIFSYTILINGYCKCKMIDDAKELFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAQELFKNMCCHGHQPDIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDVVTYCILINGMCKAGKINDAKELVSSLYENGLQPNVHIYSAIMKGLCREGLIDEAYKIFRDMEKGGCLPNNFSYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNDLILSKLRNRSEASKAVQ >Manes.11G159000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32470349:32479005:-1 gene:Manes.11G159000.v8.1 transcript:Manes.11G159000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRMTLLLGPPGSGKTTLLKSLAGKLDDDLKVTGKVTYCGHELWEFTPQRTCAYIGQHDLHCGEMSVRETLDFSGRCLGVGTRYYMLSELSRREREAGIKPDPEIDAFMKNTSMAGQATNLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMVISLLQPAPETFDLFDDVILLSEGQIVYQGPRENILEFFKYVGFKCPERKGIADFLQEVTSKKDQQQYWFRKNQPYRYISVPEFVRAFKIFYVGQQLSADLRVPFDKYRTHPAALVKEKYGISNWELFKAGFAKEWLLMKRNSFVYIFKTTQITIMAIIALTVFFRTEMKYGQIADGGKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWSFGLPIWLLRIPISLMESGIWIILTYYTIGFAPAISRFFKQFLAFVGIHQTALSLFRMIAALGRIEVVANTLGSFTLLLVFVLGGYIVSKNDISSWIIWGYYISPMMYGQNAIAINEFLDRRWSTPTGNPTEPTVGIALLKERGLFTTEKAFWICIGALFAFSILFNILFIVALTYLSPFGDNKAVIVDDDSDKNATRHLASNQEGIDMAVRNARGGINSNISRINQARKGMVLPFLPLSLAFNHVNYYVDMPAEMKNQGVEESRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQATFARVSGYCEQNDIHSPYVTVYESLLYSAWLRLSADVNKATRKMFVEEVMELVELNSLKNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEGVPGVPKIKEGYNPATWMLEVSSTSVEAQLDVDFAEIYANSDLFRRNQELIKELSTPQPGSGDLYFPTQYSQSFIIQCKACFWKQHWSYWRNSRYNAIRFFMTTMIGVIFGVIFWNKGGQIQTRQELTNLLGATYAAILFLGGSNASAVQSVVAVERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYTLLLYSMIGYEWEVDKFFYFYYFIFMCFTYFSMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWASPVAWTIYGIFASQFGDKKSVLEIPDEPSTTVDLFLKNGFGYDHDFLVAVVIAHIGWVLLFFFVFAYGIKFLNFQRR >Manes.11G159000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32470350:32477236:-1 gene:Manes.11G159000.v8.1 transcript:Manes.11G159000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPGSGKTTLLKSLAGKLDDDLKVTGKVTYCGHELWEFTPQRTCAYIGQHDLHCGEMSVRETLDFSGRCLGVGTRYYMLSELSRREREAGIKPDPEIDAFMKNTSMAGQATNLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMVISLLQPAPETFDLFDDVILLSEGQIVYQGPRENILEFFKYVGFKCPERKGIADFLQEVTSKKDQQQYWFRKNQPYRYISVPEFVRAFKIFYVGQQLSADLRVPFDKYRTHPAALVKEKYGISNWELFKAGFAKEWLLMKRNSFVYIFKTTQITIMAIIALTVFFRTEMKYGQIADGGKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWSFGLPIWLLRIPISLMESGIWIILTYYTIGFAPAISRFFKQFLAFVGIHQTALSLFRMIAALGRIEVVANTLGSFTLLLVFVLGGYIVSKNDISSWIIWGYYISPMMYGQNAIAINEFLDRRWSTPTGNPTEPTVGIALLKERGLFTTEKAFWICIGALFAFSILFNILFIVALTYLSPFGDNKAVIVDDDSDKNATRHLASNQEGIDMAVRNARGGINSNISRINQARKGMVLPFLPLSLAFNHVNYYVDMPAEMKNQGVEESRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQATFARMFVEEVMELVELNSLKNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEGVPGVPKIKEGYNPATWMLEVSSTSVEAQLDVDFAEIYANSDLFRRNQELIKELSTPQPGSGDLYFPTQYSQSFIIQCKACFWKQHWSYWRNSRYNAIRFFMTTMIGVIFGVIFWNKGGQIQTRQELTNLLGATYAAILFLGGSNASAVQSVVAVERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYTLLLYSMIGYEWEVDKFFYFYYFIFMCFTYFSMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWASPVAWTIYGIFASQFGDKKSVLEIPDEPSTTVDLFLKNGFGYDHDFLVAVVIAHIGWVLLFFFVFAYGIKFLNFQRR >Manes.11G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32470350:32479004:-1 gene:Manes.11G159000.v8.1 transcript:Manes.11G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAGDDLARQMSSRSWRRSTSIREMWNEPDVFQRSSRRPLPMDDEKELRWAAIERLPTYDRMRKGVLTQVMSNGRMVHNEVDMTRLGTQDKKKLMDSILKVVEEDNEKFLRRLRNRTDRVGIEIPTIEVRIQHFSVEGDAYVGSRALPTLLNSTLNAIEGVLEMIGLSPSKKRTIKILQDVNGIVRPSRMTLLLGPPGSGKTTLLKSLAGKLDDDLKVTGKVTYCGHELWEFTPQRTCAYIGQHDLHCGEMSVRETLDFSGRCLGVGTRYYMLSELSRREREAGIKPDPEIDAFMKNTSMAGQATNLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMVISLLQPAPETFDLFDDVILLSEGQIVYQGPRENILEFFKYVGFKCPERKGIADFLQEVTSKKDQQQYWFRKNQPYRYISVPEFVRAFKIFYVGQQLSADLRVPFDKYRTHPAALVKEKYGISNWELFKAGFAKEWLLMKRNSFVYIFKTTQITIMAIIALTVFFRTEMKYGQIADGGKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWSFGLPIWLLRIPISLMESGIWIILTYYTIGFAPAISRFFKQFLAFVGIHQTALSLFRMIAALGRIEVVANTLGSFTLLLVFVLGGYIVSKNDISSWIIWGYYISPMMYGQNAIAINEFLDRRWSTPTGNPTEPTVGIALLKERGLFTTEKAFWICIGALFAFSILFNILFIVALTYLSPFGDNKAVIVDDDSDKNATRHLASNQEGIDMAVRNARGGINSNISRINQARKGMVLPFLPLSLAFNHVNYYVDMPAEMKNQGVEESRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQATFARVSGYCEQNDIHSPYVTVYESLLYSAWLRLSADVNKATRKMFVEEVMELVELNSLKNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEGVPGVPKIKEGYNPATWMLEVSSTSVEAQLDVDFAEIYANSDLFRRNQELIKELSTPQPGSGDLYFPTQYSQSFIIQCKACFWKQHWSYWRNSRYNAIRFFMTTMIGVIFGVIFWNKGGQIQTRQELTNLLGATYAAILFLGGSNASAVQSVVAVERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYTLLLYSMIGYEWEVDKFFYFYYFIFMCFTYFSMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWASPVAWTIYGIFASQFGDKKSVLEIPDEPSTTVDLFLKNGFGYDHDFLVAVVIAHIGWVLLFFFVFAYGIKFLNFQRR >Manes.11G159000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32470350:32477236:-1 gene:Manes.11G159000.v8.1 transcript:Manes.11G159000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLGPPGSGKTTLLKSLAGKLDDDLKVTGKVTYCGHELWEFTPQRTCAYIGQHDLHCGEMSVRETLDFSGRCLGVGTRYYMLSELSRREREAGIKPDPEIDAFMKNTSMAGQATNLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMVISLLQPAPETFDLFDDVILLSEGQIVYQGPRENILEFFKYVGFKCPERKGIADFLQEVTSKKDQQQYWFRKNQPYRYISVPEFVRAFKIFYVGQQLSADLRVPFDKYRTHPAALVKEKYGISNWELFKAGFAKEWLLMKRNSFVYIFKTTQITIMAIIALTVFFRTEMKYGQIADGGKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWSFGLPIWLLRIPISLMESGIWIILTYYTIGFAPAISRFFKQFLAFVGIHQTALSLFRMIAALGRIEVVANTLGSFTLLLVFVLGGYIVSKNDISSWIIWGYYISPMMYGQNAIAINEFLDRRWSTPTGNPTEPTVGIALLKERGLFTTEKAFWICIGALFAFSILFNILFIVALTYLSPFGDNKAVIVDDDSDKNATRHLASNQEGIDMAVRNARGGINSNISRINQARKGMVLPFLPLSLAFNHVNYYVDMPAEMKNQGVEESRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQATFARVSGYCEQNDIHSPYVTVYESLLYSAWLRLSADVNKATRKMFVEEVMELVELNSLKNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEGVPGVPKIKEGYNPATWMLEVSSTSVEAQLDVDFAEIYANSDLFRRNQELIKELSTPQPGSGDLYFPTQYSQSFIIQCKACFWKQHWSYWRNSRYNAIRFFMTTMIGVIFGVIFWNKGGQIQTRQELTNLLGATYAAILFLGGSNASAVQSVVAVERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYTLLLYSMIGYEWEVDKFFYFYYFIFMCFTYFSMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWASPVAWTIYGIFASQFGDKKSVLEIPDEPSTTVDLFLKNGFGYDHDFLVAVVIAHIGWVLLFFFVFAYGIKFLNFQRR >Manes.11G159000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32470350:32479004:-1 gene:Manes.11G159000.v8.1 transcript:Manes.11G159000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAGDDLARQMSSRSWRRSTSIREMWNEPDVFQRSSRRPLPMDDEKELRWAAIERLPTYDRMRKGVLTQVMSNGRMVHNEVDMTRLGTQDKKKLMDSILKVVEEDNEKFLRRLRNRTDRVGIEIPTIEVRIQHFSVEGDAYVGSRALPTLLNSTLNAIEGVLEMIGLSPSKKRTIKILQDVNGIVRPSRMTLLLGPPGSGKTTLLKSLAGKLDDDLKVTGKVTYCGHELWEFTPQRTCAYIGQHDLHCGEMSVRETLDFSGRCLGVGTRYYMLSELSRREREAGIKPDPEIDAFMKNTSMAGQATNLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMRQMVHIMDVTMVISLLQPAPETFDLFDDVILLSEGQIVYQGPRENILEFFKYVGFKCPERKGIADFLQEVTSKKDQQQYWFRKNQPYRYISVPEFVRAFKIFYVGQQLSADLRVPFDKYRTHPAALVKEKYGISNWELFKAGFAKEWLLMKRNSFVYIFKTTQITIMAIIALTVFFRTEMKYGQIADGGKFFGALFFSLINVMFNGMAELAMTVFRLPVFYKQRDFLFYPAWSFGLPIWLLRIPISLMESGIWIILTYYTIGFAPAISRFFKQFLAFVGIHQTALSLFRMIAALGRIEVVANTLGSFTLLLVFVLGGYIVSKNDISSWIIWGYYISPMMYGQNAIAINEFLDRRWSTPTGNPTEPTVGIALLKERGLFTTEKAFWICIGALFAFSILFNILFIVALTYLSPFGDNKAVIVDDDSDKNATRHLASNQEGIDMAVRNARGGINSNISRINQARKGMVLPFLPLSLAFNHVNYYVDMPAEMKNQGVEESRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQATFARMFVEEVMELVELNSLKNALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSHKLVEYFEGVPGVPKIKEGYNPATWMLEVSSTSVEAQLDVDFAEIYANSDLFRRNQELIKELSTPQPGSGDLYFPTQYSQSFIIQCKACFWKQHWSYWRNSRYNAIRFFMTTMIGVIFGVIFWNKGGQIQTRQELTNLLGATYAAILFLGGSNASAVQSVVAVERTVFYRERAAGMYSELPYAFAQVAIETIYVAIQTFIYTLLLYSMIGYEWEVDKFFYFYYFIFMCFTYFSMYGMMVVALTPGHQIAAIVMSFFLSFWNLFSGFLIPRPLIPIWWRWYYWASPVAWTIYGIFASQFGDKKSVLEIPDEPSTTVDLFLKNGFGYDHDFLVAVVIAHIGWVLLFFFVFAYGIKFLNFQRR >Manes.13G127000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33471192:33477526:-1 gene:Manes.13G127000.v8.1 transcript:Manes.13G127000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSRGLSGLTDFLFKRNIDEVSNTKRRRLRPGKVSPLRPVPKHIPRPPYVNSWQPPGIASGPEVHDAKGIECMRASGRLAALVLEYAGTLVKPGIKTDEIDEAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFFCGEVDDEARNLVQVTKECLYKAISICAPGVELKKIGQTIHDLADKHRYGVVQQFVGHGVGRVFHADPVILHYRNNERGCMMLNQTFTIEPMLTIGSINPVMWDDNWTVVTEDGSLSAQFEHTILITEDGAEILTQC >Manes.13G127000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33471192:33477525:-1 gene:Manes.13G127000.v8.1 transcript:Manes.13G127000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKGQGTKHVSMQLSRGLSGLTDFLFKRNIDEVSNTKRRRLRPGKVSPLRPVPKHIPRPPYVNSWQPPGIASGPEVHDAKGIECMRASGRLAALVLEYAGTLVKPGIKTDEIDEAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFFCGEVDDEARNLVQVTKECLYKAISICAPGVELKKIGQTIHDLADKHRYGVVQQFVGHGVGRVFHADPVILHYRNNERGCMMLNQTFTIEPMLTIGSINPVMWDDNWTVVTEDGSLSAQFEHTILITEDGAEILTQC >Manes.13G127000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33471192:33477525:-1 gene:Manes.13G127000.v8.1 transcript:Manes.13G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASSLQPRLLSSFVGDRFIQSTQPLSKLFHYAPGTKHVSMQLSRGLSGLTDFLFKRNIDEVSNTKRRRLRPGKVSPLRPVPKHIPRPPYVNSWQPPGIASGPEVHDAKGIECMRASGRLAALVLEYAGTLVKPGIKTDEIDEAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFFCGEVDDEARNLVQVTKECLYKAISICAPGVELKKIGQTIHDLADKHRYGVVQQFVGHGVGRVFHADPVILHYRNNERGCMMLNQTFTIEPMLTIGSINPVMWDDNWTVVTEDGSLSAQFEHTILITEDGAEILTQC >Manes.14G148701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16473833:16477240:-1 gene:Manes.14G148701.v8.1 transcript:Manes.14G148701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFFSFFFHFLFYFISFSFFFFSLFFYLLYFLSYSLTSMFHSFLFYPIFFISSFIFLYFSSFTHFLSFSFFFLSFFFLFSFSLFFSYFLFIFIIIFFYFLFFFLFLFYISFFPFSHFFHFLKFFSFFLFTNFFLKAKFFFLHYLLF >Manes.06G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2025529:2051178:-1 gene:Manes.06G012600.v8.1 transcript:Manes.06G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFSLSTSSLALFTISRALNRHFNTNSSSLLRTRCLTSFYTRNSFPSSSSSSSSSSSFRTSCAPLPRPLYCVSNTSSSFTTSGGGNDSGGPFGGSGGGGGGDRSDGSDAKSNLTAGGTEDVSALSPDVIILDVGGMTCGGCAASVKRILESQPQVSSASVNLTTETAIVWPLSEAKVVPNWKKQLGEELAKQLTSCGFKSNLRDAGRGIFFSVFEKKMEEKRDRLRESGRELAVSWALCAVCLFGHLSHIFALKASWIHMFHSTGFHLSLSLFTLLGPGRQLILDGVKSLLKGAPNMNTLVGLGALSSFAVSSLAALIPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKATSDMTGLLSILPSKARLLIHDDAKDRGSIVEVPCTSLSVGDQIFVLPGDRVPADGIVRAGRSTIDESSFTGEPLPVTKLPGSQVAAGSINLNGTLTVEVQRPGGETAIGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMALSAATFMFWNLFGTHVLPAAFHHGNPVSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGSVLEKFSMAKTIVFDKTGTLTIGRPVVTKVVTPGGVKITDIQENVNLTLSEVEVLKLAAGVESNTIHPVGKAIVEAAQAAGCQNVKVKDGTFMEEPGSGAVATIENKKVSVGTLDWVQRNGVYDNPFQEVEDLKNQSIVFVGVDNTLAGLIYLEDQIREDARYVVESLSRQGINVWMLSGDRRNTAEYVASIVGIPKDKVIARVKPDEKKKFITQLQKDQNLVAMVGDGINDAAALASSHIGVAMGGGVGAASEVSSIVLTGNRLSQLLDALELSRLTMKTVKQNLWWAFAYNIIGIPIAAGMLLPVTGTMLTPSIAGALMGLSSIGVMSNSLLLRLKFSSKQKQAYGAPTGTKILLGSDILMDQREKMNQSYSGTQWREAR >Manes.05G007428.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:467831:471984:-1 gene:Manes.05G007428.v8.1 transcript:Manes.05G007428.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGSKSSERASELETLHSIVSDRLNNDFRSRRNKRRRTTAYDNQNAKKRDKRRRKFGIAIDRSNNVASEQNQERLPPRRVRRRVELRRNSKSGFSTSSEGTKRLRTYLWHSKRFTMTKLWGFHLPLGLQGRGRGSRASLKWYKHGALVHDASYYTAVQLEGPEDSLTSILRMVLEPSSSTQSEEITNTILSGCIYGTAMLHHVGVPVSELIAPVTYMWRPYHLPNGENGGSHCNSDGCNESTSSESFSSHRQLWVLIHASAFNEGYDALKFACQKLMSESGILINCISLEGELAKLEVMGSKAFQLLQKILHPVYCDSKNSWQLRKCAVEDADHDSKLKNPSILENEENMSSCSMFPFTVRDPRAMSETKIGDVSVAAATTMNYVSEDEPRKDVTPLGNPEKIEDLLWLPCSKPEGDSSFSNKGDLWDAACRISLPVEDNVLCLEKQRLRMDFVCLDDAKSGMPSTAVDVHGSRSCPIVLLKHNNGMGSFMGWSIIMPLCWVKVFWISFISKGARAIGLREKRWIACEVCVLNKQEFFLKKKMVGLPFFPSDFPDCNPYLSSKAIESAAIDQKAERLCPAVRSLKVPVPPPWNSVRIAVQVASSSHAKDMIGGNSMSIFRCDHSDITSLRVDAPNWKMKFLESINDESKLGQLQNGIMRMNSNRQLCFVRVLLHAYKKGVFEEGAVVCAPCLSDVSLLTSRSENNETGFQIPTSVVRSYFKEQSSGKWELQIPENAAEESHRWPIGFVTTGFVRGSKKPMAEAFCEAVLLAHLREEQWNGIPVKKSRKEIYVLVRNLRSSAYRLAMASVILEQHQDDMEFL >Manes.02G219800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34635520:34668291:1 gene:Manes.02G219800.v8.1 transcript:Manes.02G219800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSIINGRNRRWDLVFLASKHYARSKLQEYGCSRSFRHITKVAGRTSNLAFIKRYPLDSFSSQGIALGFNFSGSCVRHSTHLTNRQLRFYSSESDGRNTSEDKQASGKDGVNFDNGKAQREVAKEEAVHSNAHARLAEQDQKEWLHNEKLAIESKRKESPFLSRRERFKNEILRRVIPWERIHVSWETFPYYINENTKSVLVECVASHLKHKKFTASYGARLTSSSGRILLQSVPGTELYRERVVRALARDLQVPLVVLDSSVLAPFDFGDDCSSESESEDNTGSAEECTSESEVEDENDTVNEEDWTSSAEAKSDCSDDDVVDVQATAEAALKKLIPYNLEDFEKRVSGESDSSLEGSRPEAAESSDSSKRPLKRGDRVKYIGPSVCIEANDRIILGKILTSDGLKNAYTTMRGRPLASGQRGEVYEVNGDRVAVILDFTCDSNANVERDEKVKEEPAKAPVYWIDVKDIEHDADTESEDCYIAMEALCEVVHSMQPLIVYFQDSSLWLSRAVPKSNRKDFVQKVQEMFDKISGPVVLICGQNKVETGSKERENFTMILPNFGRLAKLPLSLKQLTEGLRVTKRSDDNEIYKIFTNVLSIHAPKEEDLLRTFNKQIEEDRRIVISRSNLNELYKVLEENEMSCMDLLHVNTDGVVLTKQKAEKVVGWAKNHYLSSCLLPSIKGERLILPRESLEIAIVRLKQQESISQKPSLNLKSLAKDDYESNFVSAVVPPGEIGVKFDDIGALEDVKKALNELVILPMKRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKGLATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRTKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRKKILKIFLAQENLEPGFQFDKLASATEGYSGSDLKNLCIAAAYRPVQELLEEEKKGGKGDVPSALRSLNLDDFIESKAKVGPSVAYDAATMNELRKWNEQYGEGGSRRRSPFGF >Manes.02G219800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34635520:34668291:1 gene:Manes.02G219800.v8.1 transcript:Manes.02G219800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSIINGRNRRWDLVFLASKHYARSKLQEYGCSRSFRHITKVAGRTSNLAFIKRYPLDSFSSQGIALGFNFSGSCVRHSTHLTNRQLRFYSSESDGRNTSEDKQASGKDGVNFDNGKAQREVAKEEAVHSNAHARLAEQDQKEWLHNEKLAIESKRKESPFLSRRERFKNEILRRVIPWERIHVSWETFPYYINENTKSVLVECVASHLKHKKFTASYGARLTSSSGRILLQSVPGTELYRERVVRALARDLQVPLVVLDSSVLAPFDFGDDCSSESESEDNTGSAEECTSESEVEDENDTVNEEDWTSSAEAKSDCSDDDVVDVQATAEAALKKLIPYNLEDFEKRVSGESDSSLEGSRPEAAESSDSSKRPLKRGDRVKYIGPSVCIEANDRPLASGQRGEVYEVNGDRVAVILDFTCDSNANVERDEKVKEEPAKAPVYWIDVKDIEHDADTESEDCYIAMEALCEVVHSMQPLIVYFQDSSLWLSRAVPKSNRKDFVQKVQEMFDKISGPVVLICGQNKVETGSKERENFTMILPNFGRLAKLPLSLKQLTEGLRVTKRSDDNEIYKIFTNVLSIHAPKEEDLLRTFNKQIEEDRRIVISRSNLNELYKVLEENEMSCMDLLHVNTDGVVLTKQKAEKVVGWAKNHYLSSCLLPSIKGERLILPRESLEIAIVRLKQQESISQKPSLNLKSLAKDDYESNFVSAVVPPGEIGVKFDDIGALEDVKKALNELVILPMKRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKGLATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRTKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRKKILKIFLAQENLEPGFQFDKLASATEGYSGSDLKNLCIAAAYRPVQELLEEEKKGGKGDVPSALRSLNLDDFIESKAKVGPSVAYDAATMNELRKWNEQYGEGGSRRRSPFGF >Manes.17G089400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29793751:29795875:-1 gene:Manes.17G089400.v8.1 transcript:Manes.17G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDITNCKKRKRGDKVFRFKTFGEHGYPVEFDGSFRHNVEALLEFGYSENKICRGIPSWSFQLEVSCHPPFHILLFVIEEPIEASVEHHCKHCLYVGWGHHLICNKKYHFVLPSKDTVIAFLNCEGNFDGAISMKGRFNIVEFQGHIMHGVFHSNGFGHLLCVNGMEAGSNLSGRQIMEFWDRLCNGLRARKVSLNDISQKRSMDLRLLHGIAYGEPWFGQWGYKFERGSFGVTRAIYQKAIGTIQAMPLCILVHFLSNSNHDLPAIVSRYQTLSDYSLATLGDLFRFMFELKSRLPDDSCIDSYSTGIMVEPTCRWSPKRIEMATRVIVEALKRAEFRWVSRQEVRDAARAYIGDTGLLDFVLKSLGNRIVGNYLVRRSLNPVTKVLEYCLEDVSNVFPTQESLSINNSKVKARYKITRSQVMQDMFYFYKYIIRDQKQNLNMGILTAIQAAARIILDTKLLAKDYYTEVPSRVELDLEGKLELYCTIVLKNTEPANEGANKAMPPFECISLKTNATCDELKQQVERNFRELYWGFRGLIVESILNLNAKGSDLVFGLVQVGQKILCEGSNKERGMINELIYESDLNNRVIDCPCGTKDDDGERMVSCDVCEVWQHTRCVHIPNHQEIPHIFLCSRCEHEIIILPSIP >Manes.13G102300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30042389:30044255:-1 gene:Manes.13G102300.v8.1 transcript:Manes.13G102300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLPEEEHPKAAFGWAARDQSGVLSPFKFSRRATGEKDVSFKVLYCGMCHSDLHMVKNEWANSIYPLVPGHEIVGVVTEVGSKVEKFKVGDKVGVGCLVGSCHSCDNCTNNLENYCPQLILTYGAKYYDGTITYGGYSDTMVADEHFIVRIPDNMPLDATAPLLCAGITVYSPLKYYGLDKPGTHVGVVGLGGLGHMAVKFAKAMGAKVTVISTSPNKKQQAIECLGADSFLVSHDQDQMKVAMGTMDGIIDTVSAMHPFVPLLGLLKSHGKLILVGAPEKPLELPAFSLLMGRKMVGGSCIGGMKETQEMIDFAAKHSIKSDIEVIPLEYVNTAMERMLKADVRYRFVIDIGNTVNSSH >Manes.03G155300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28297623:28298403:1 gene:Manes.03G155300.v8.1 transcript:Manes.03G155300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKENQEISEMAEVKLHGRWPSPYSYRVLWALKLKAIPYEYVDEDLSNKSPLLLQYNPIHKKIPVLVHGGNPICESMIILEYLDETWPENPLLPSDPYERAVARFWVKFIEDKDSLALMVYGTSEEEQEKAVKNSLEMLKIIEEHALGEKKYFGGDKIGMVDIACGLIAHWLGVVEEVVGIKLLEPHKFPKLHAWTKNFKEAPIIKDNLPNRDDMLVFFKQAREKMLASA >Manes.11G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30527926:30531048:1 gene:Manes.11G139800.v8.1 transcript:Manes.11G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLSSGPESEISISGAGKRSGSWVTFPFIIGTFSCLTLAGAGWLFNIIVYLIKEFNVKSIDAAQISNVVNGGSNLVPLVAAIVADSFLGSFSVVAVSSCVSFVGLVLLASTALVDSLRPKPCEDGSSPCQTPSKLQYAILYGAFVLASIGMGGSRYTLATMGANQFDNPKAQGIFFNWFFFMFYLVSLISATAIVYIEDNVGWGLGLGLCVAANFIGIVIFLVGTRFYRRDKPQGSPFTSLARVVVAAIQKRKVLLSSRNEDYYYDHDTKPKELAAPMSKSFRFFNRAALKSEGDIKPDGSIAKPWRLCTVSQVEDFKTLIRIFPIWSTSIFLGTPIAMQSGLNVLQALTMDRHLGQHFQIPAGSFAVVVLLSASIFLSIIDRFLFPLWLNMTHKSPTPFQRIGVGHILNVLAMAVSASLESSRLRLAHHHNQASSVVPMSALWLFPQLILIGIGEAFHFPGQVALYYQEFPTSLRSTATAMISLIIGISFYLSTALIDLIRKVTGWLPDNINDGRLDNVYWVMVGIGVLNFGYFLGCAKLYKYQNVEKVADESSSSSDK >Manes.11G139800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30528276:30531048:1 gene:Manes.11G139800.v8.1 transcript:Manes.11G139800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFCFQAGTFSCLTLAGAGWLFNIIVYLIKEFNVKSIDAAQISNVVNGGSNLVPLVAAIVADSFLGSFSVVAVSSCVSFVGLVLLASTALVDSLRPKPCEDGSSPCQTPSKLQYAILYGAFVLASIGMGGSRYTLATMGANQFDNPKAQGIFFNWFFFMFYLVSLISATAIVYIEDNVGWGLGLGLCVAANFIGIVIFLVGTRFYRRDKPQGSPFTSLARVVVAAIQKRKVLLSSRNEDYYYDHDTKPKELAAPMSKSFRFFNRAALKSEGDIKPDGSIAKPWRLCTVSQVEDFKTLIRIFPIWSTSIFLGTPIAMQSGLNVLQALTMDRHLGQHFQIPAGSFAVVVLLSASIFLSIIDRFLFPLWLNMTHKSPTPFQRIGVGHILNVLAMAVSASLESSRLRLAHHHNQASSVVPMSALWLFPQLILIGIGEAFHFPGQVALYYQEFPTSLRSTATAMISLIIGISFYLSTALIDLIRKVTGWLPDNINDGRLDNVYWVMVGIGVLNFGYFLGCAKLYKYQNVEKVADESSSSSDK >Manes.01G204300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37755474:37758315:-1 gene:Manes.01G204300.v8.1 transcript:Manes.01G204300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLLFGVLLMTVPSSNGVPVACMMEYEDGGAPAVFGYPECPERVFPPAGYLKNQTLNCQFAILQGRRDYQEDVIACNLDFKVPLLGAGKNGLEEEAVGVVAVFDGHGGKEGSEIASKLLFDYFYLHVLFQSYKVMAHHNGVLSSSDYKSFQLEILKEALSRTIRDIDFSFSQEAIKNNFLSGSTATVVLLYDRQILVANVGDSKALLLSEKIQSALGTEGDSTEHLSATALTYDHHPDREDERARIEAAGGSVITWGVPRVNGVLAMSRSIGDVYLRRYGVIAEPEYTGWRPLTANDTHLAVASDGIFESLTQQDIGNLIFQWNSHFQTSEDSEMPWSCLSSTSLAECIVNTAYEKGSHDNLSVIIVPLTPTSVL >Manes.17G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33043739:33046886:1 gene:Manes.17G124500.v8.1 transcript:Manes.17G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSVVVTLEKPKDFSIVEINASDPSLFPEKQKAASPKQFTWVLLLKIYKALTCVSWFAMVFKSTFLSIKKRIAFSEMSEEEPRSRGRLYRFIKAFLAMSIVALVIEIVAHFKKWNLNLIHPWEIQGLVQWSYMAWFSFRVHYIAPLVIKLSQFCTVLFLIQSLDRLVLCLGCFWIKYKKLKPEINGEAYDIEDPSTFPMVLVQIPMCNEREVYAQSISAACQLDWPRDRLLIQVLDDSDDGNVQLLIKDEVSSWRQKGINIIYRHRLIRTGYKAGNLNSAMSCDYVQDYEFVAIFDADFQPNPDFLKQTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIITSKISKWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYIPIFMSFLNILPAPKSFPFLVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLAFAERESKSSKEEKIHRRHSESGLELLSKLQEQEAPRVKKRNRIYRKELALAFLLLTAAARSLLSAHGVHFYYLLFQGLSFLVVGLDLIGEQIS >Manes.11G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3643231:3646507:-1 gene:Manes.11G037700.v8.1 transcript:Manes.11G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASLPPPAMFVPGRSTVFRTLLKLPVSPIRERQNQVHLVVKAAGESSESSTSLGIVKSVQNFWDKSEDRLALFGLGFAAIVGLWASTNLISAIDKLPVIPSALELIGILYSSWFIYRYLLFKPDREELIQIINKSVSDILGQ >Manes.11G037700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3643231:3646240:-1 gene:Manes.11G037700.v8.1 transcript:Manes.11G037700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASLPPPAMFVPGRSTVFRTLLKLPVSPIRERQNQVHLVVKAAGESSESSTSLGIVKSVQNFWDKSEDRLALFGLGFAAIVGLWASTNLISAIDKLPVIPSALELIGILYSSWFIYRYLLFKPDREELIQIINKSVSDILGQ >Manes.11G037700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3643231:3646507:-1 gene:Manes.11G037700.v8.1 transcript:Manes.11G037700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASLPPPAMFVPGRSTVFRTLLKLPVSPIRERQNQVHLVVKAAGESSESSTSLGIVKSVQNFWDKSEDRLALFGLGFAAIVGLWASTNLISWFIYRYLLFKPDREELIQIINKSVSDILGQ >Manes.01G082900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28546231:28551511:1 gene:Manes.01G082900.v8.1 transcript:Manes.01G082900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLFWHISAVMMRELLRKCMWHKVDWRKLLLASAIATAFGIFIPNSLVPYPMNVWLLSSPATVSSSISLNGSIQLSGAVTKAKVEQIHPVPTSPILSVNPTVPSKVARDKVSQNRNPVARRRRKRNKANDDAKVVPPVPPRIPPPRKLQRYIWSLSPNDALLYAKKEIEHTPVISDDPYLYTPIFRNVSVFKRSYELMELILKVYIYPHGKRPIFHQPPLKGIYASEGWFMKLMEENREFVTRDPEKAHLFYLPYSAYQLGRALYVRNSHNLEPLSIFMRDYANMLAAKYPFWNRTHGMDHFLVACHDWGPYALTMHRELTKNTIKALCNADASEGIFDANKDVSLPETTIRTPRRPLKNVGGGIRVSQRPILAFFAGNMHGRVRPLLLQHWKNKDEDMKIYGPLPTRVSRKMSYVQHMRSSRYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFSDVLDWSAFSVSVAEKDIPKLKEILLAIPMRRYLTMLTNLKMLQKHFLWNPRPLRYDLFHMILHSIWFSRLNQIQIPESQ >Manes.01G082900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28546468:28551512:1 gene:Manes.01G082900.v8.1 transcript:Manes.01G082900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLFWHISAVMMRELLRKCMWHKVDWRKLLLASAIATAFGIFIPNSLVPYPMNVWLLSSPATVSSSISLNGSIQLSGAVTKAKVEQIHPVPTSPILSVNPTVPSKVARDKVSQNRNPVARRRRKRNKANDDAKVVPPVPPRIPPPRKLQRYIWSLSPNDALLYAKKEIEHTPVISDDPYLYTPIFRNVSVFKRSYELMELILKVYIYPHGKRPIFHQPPLKGIYASEGWFMKLMEENREFVTRDPEKAHLFYLPYSAYQLGRALYVRNSHNLEPLSIFMRDYANMLAAKYPFWNRTHGMDHFLVACHDWGPYALTMHRELTKNTIKALCNADASEGIFDANKDVSLPETTIRTPRRPLKNVGGGIRVSQRPILAFFAGNMHGRVRPLLLQHWKNKDEDMKIYGPLPTRVSRKMSYVQHMRSSRYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFSDVLDWSAFSVSVAEKDIPKLKEILLAIPMRRYLTMLTNLKMLQKHFLWNPRPLRYDLFHMILHSIWFSRLNQIQIPESQ >Manes.01G082900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28546233:28551511:1 gene:Manes.01G082900.v8.1 transcript:Manes.01G082900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLFWHISAVMMRELLRKCMWHKVDWRKLLLASAIATAFGIFIPNSLVPYPMNVWLLSSPATVSSSISLNGSIQLSGAVTKAKVEQIHPVPTSPILSVNPTVPSKVARDKVSQNRNPVARRRRKRNKANDDAKVVPPVPPRIPPPRKLQRYIWSLSPNDALLYAKKEIEHTPVISDDPYLYTPIFRNVSVFKRSYELMELILKVYIYPHGKRPIFHQPPLKGIYASEGWFMKLMEENREFVTRDPEKAHLFYLPYSAYQLGRALYVRNSHNLEPLSIFMRDYANMLAAKYPFWNRTHGMDHFLVACHDWGPYALTMHRELTKNTIKALCNADASEGIFDANKDVSLPETTIRTPRRPLKNVGGGIRVSQRPILAFFAGNMHGRVRPLLLQHWKNKDEDMKIYGPLPTRVSRKMSYVQHMRSSRYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFSDVLDWSAFSVSVAEKDIPKLKEILLAIPMRRYLTMLTNLKMLQKHFLWNPRPLRYDLFHMILHSIWFSRLNQIQIPESQ >Manes.16G091000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29726799:29729196:1 gene:Manes.16G091000.v8.1 transcript:Manes.16G091000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLGASSVSVSVRSLSFEGLRPSTVKLANFGTLKAGGVSQRSLRGLVVKAATVVSPKYTSIKPLGDRVLVKVKVAEEKTDGGILLPTSAQNKPQGGEVVAVGEGKTVGKTKVDISIKTGTQVVYSKYAGTEVEFNGSSHLILKEDDIVGVLETDDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTEAAKEKPSIGTIVAVGPGPLDEEGNRKPLSLSPGNTVLYSKYAGNDFKGNDGSIYIALRASDVMAVLS >Manes.16G091000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29727216:29729196:1 gene:Manes.16G091000.v8.1 transcript:Manes.16G091000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLGASSVSVSVRSLSFEGLRPSTVKLANFGTLKAGGVSQRSLRGLVVKAATVVSPKYTSIKPLGDRVLVKVKVAEEKTDGGILLPTSAQNKPQGGEVVAVGEGKTVGKTKVDISIKTGTQVVYSKYAGTEVEFNGSSHLILKEDDIVGVLETDDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTEAAKEKPSIGTIVAVGPGPLDEEGNRKPLSLSPGNTVLYSKYAGNDFKGNDGSIYIALRASDVMAVLS >Manes.09G143500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34157082:34159206:-1 gene:Manes.09G143500.v8.1 transcript:Manes.09G143500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSNTNLVSSSCSSSFSSSQNRPEYRSSRLRVFPQELNHQALRLQTTSLGSDFHGKRVVLQEKPKCKQGISVQSSIKAQTGLRLKNAKNWWEEELQPNMREVISAQDLVDSLLNAGDKLVIVYFFSPGCGGCRALHPKICQLAKNNADVQFLKVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATIKKFKNALAKHTPDRSSLEPTKGLEEKELIALAANKDLNLTYAPKSDKPIPAPTKEEIVPEIPQSLSLALRRSMELAQGSAEKTLVASGR >Manes.09G143500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34157082:34159206:-1 gene:Manes.09G143500.v8.1 transcript:Manes.09G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSNTNLVSSSCSSSFSSSQNRPEYRSSRLRVFPQELNHQALRLQTTSLGSDFHGKRVVLQEKPKCKQGISVQSSIKAQQTGLRLKNAKNWWEEELQPNMREVISAQDLVDSLLNAGDKLVIVYFFSPGCGGCRALHPKICQLAKNNADVQFLKVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRVCSFSCTNATIKKFKNALAKHTPDRSSLEPTKGLEEKELIALAANKDLNLTYAPKSDKPIPAPTKEEIVPEIPQSLSLALRRSMELAQGSAEKTLVASGR >Manes.01G071500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27293302:27305209:1 gene:Manes.01G071500.v8.1 transcript:Manes.01G071500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFGNYRNGNHSSRTSLNSTSKVSLNSNSKGSSIKSKSLNSSGLRKSAPASLGAAKDDAGVPGRVRVAVRLRPRNAEEMVADADFADCVELQPEVKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADVSQETDSVCVSYLQLYMETIQDLLDPANDNISIVEDPKTGDVSLPGASLVEIRDQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSVRGRDTALSGDNGNSSHTVKSLKPPVVRKGKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSLHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIADHERQQKAFEEEIERITVEAQNQISEVERNYADALENERVRYQKECMDSIKKLEEKWMINQQKHASAENMVGRKDDSSDVTSKGEGSGVAVADEVAELKTLLQKEALLRKASEEEVYNLKCQLAQSKKSEALGNSEISKLHKMLEDEACQKEKFEGEIAMLQSQLLQISFEADETRRRLDGGGSEKILGALDSQMSQLRHPQLNDMGNGEKASIATLFEQVGLNRILSLLESEDADVRIHAVKVVANLAAEETNQEKIVEAGGLTSLLTLLRSSEDESIHRVAAGAIANLAMNETNQELIMAQGGIRLLSMTSANAEDPQTLRMVAGAIANLCGNDKLQMKLRGEGGIKALLGMVKCRHPDVLAQVARGIANFAKCESRASTQGTKNGKSLLIADGALPWIIQNANNEASQIRRHIELALCHLAQHEANAKEMISGGALWELVRISRDCSREDIKTLAHRTLTSSPTFQAELRRLRVDY >Manes.13G143400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35702398:35706551:-1 gene:Manes.13G143400.v8.1 transcript:Manes.13G143400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSKKWGFQSNKILNTSAKYMTVRGALDALMANLNKQDQRPLIPLGNGDPSTFPCFRTTSVAEDAIVDALKSAKYNCYAPKYGILPARRAIADHLNCDLPYKLSPDDVFVTLGCIHAIEITVRALVRPGANILFPRPGFPYYEVVAEQTALEIRHFDLLPEKGWEVDLEAVEALADENTVGMVIINPGNPCGNVYSYGHLKEIAETAKKLGIMVIADEVYGHLSFGSTPYVRMGIFGSIVPILSLGSISKRWIVPGWRIGWIVAVDPNGILKSTGVVDSILNCLNICSGPPTFIQAAIPEILENTEKDFFMKIVNLLREDADICCDRMQDNPYITCPKKPEGSMFLMAKLNISLLEDIKDDMDFCLKLAKEESVIILPVQGCL >Manes.13G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35702398:35706551:-1 gene:Manes.13G143400.v8.1 transcript:Manes.13G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSKKWGFQSNKILNTSAKYMTVRGALDALMANLNKQDQRPLIPLGNGDPSTFPCFRTTSVAEDAIVDALKSAKYNCYAPKYGILPARRAIADHLNCDLPYKLSPDDVFVTLGCIHAIEITVRALVRPGANILFPRPGFPYYEVVAEQTALEIRHFDLLPEKGWEVDLEAVEALADENTVGMVIINPGNPCGNVYSYGHLKEIAETAKKLGIMVIADEVYGHLSFGSTPYVRMGIFGSIVPILSLGSISKRWIVPGWRIGWIVAVDPNGILKSTGVVDSILNCLNICSGPPTFIQAAIPEILENTEKDFFMKIVNLLREDADICCDRMQDNPYITCPKKPEGSMFLMAKLNISLLEDIKDDMDFCLKLAKEESVIILPGMFVGMKNWLRISFAIEPSALEDGVGRIKSFCERHAKKQ >Manes.09G096333.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29093180:29093341:-1 gene:Manes.09G096333.v8.1 transcript:Manes.09G096333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYMNWAEAIASAWVLMLLIGLMCCCLSTKPRSHGDINSGSGSCSCDGGYAI >Manes.15G066401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5050540:5052430:1 gene:Manes.15G066401.v8.1 transcript:Manes.15G066401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRKRRRCINKGFGCFRLFNITPALYTVVGSRLGRASSRYGPSATAAMFNGLVRKWKKKWIHVHTANTSCLLLCRWTPLSPATAADAASSEEPPKCKFRYTPIAVLEERGKRDKRVDHEAEISGISQFRALQTTKNDGLTSATALETETQGKMGQMKKVISAWFWTS >Manes.06G163600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29050260:29055083:-1 gene:Manes.06G163600.v8.1 transcript:Manes.06G163600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSFGAANGAVLKDPKIKMWNFDGLRFSDSLNLTKHVNVFSVSSSRPSLIQAVSTPVKPETQTKRSKVEIIKENSDYIRYPLNEELLTDAPNINESATQLIKFHGSYQQYDRDERGEKSYSFMLRTKNPCGKVSNKLYLTLDDLADQFGIGTLRVTTRQTFQVHGVIKKNLKTVVSSIIRSMSSTLGACGDLNRNVLAPAAPFARKDYQFAQKTAENVAALLTPQSGFYYDMWLDGEKFMTAEPPEVVQARNDNSHGTNFSDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGIVVVTDVNGEPQGFNLYVGGGMGRTHRLESTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRTVVEQYYGKKFEPFRELPDWEFKSYLGWHEQGDGGLFCGLHIDNGRIRGKMKKTLREIIEKYNLDVQLTPHQNIILSGIREDWKLPITATLAQAGLLQPEYVDPLNLTAMACPALPLCPLAITEAERGTPDILKRVRAVFEKVGLKYDESVVIRVTGCPNGCSRPYMAELGFVGDGPNSYQIWLGGTPSQTTLARSFMDKVKIQDLEQVLEPLFYYWKQERQSKESFGEFTTRVGFEKLQEWVNKWEGVVATPPKYN >Manes.06G163600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29050260:29055083:-1 gene:Manes.06G163600.v8.1 transcript:Manes.06G163600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTSFGAANGAVLKDPKIKMWNFDGLRFSDSLNLTKHVNVFSVSSSRPSLIQAVSTPVKPETQTKRSKVEIIKENSDYIRYPLNEELLTDAPNINESATQLIKFHGSYQQYDRDERGEKSYSFMLRTKNPCGKVSNKLYLTLDDLADQFGIGTLRVTTRQTFQVHGVIKKNLKTVVSSIIRSMSSTLGACGDLNRNVLAPAAPFARKDYQFAQKTAENVAALLTPQSGFYYDMWLDGEKFMTAEPPEVVQARNDNSHGTNFSDSPEPIYGTQFLPRKFKIAVTVPTDNSVDILTNDIGIVVVTDVNGEPQGFNLYVGGGMGRTHRLESTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRTVVEQYYGKKFEPFRELPDWEFKSYLGWHEQQPEYVDPLNLTAMACPALPLCPLAITEAERGTPDILKRVRAVFEKVGLKYDESVVIRVTGCPNGCSRPYMAELGFVGDGPNSYQIWLGGTPSQTTLARSFMDKVKIQDLEQVLEPLFYYWKQERQSKESFGEFTTRVGFEKLQEWVNKWEGVVATPPKYN >Manes.01G190800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36641264:36662391:-1 gene:Manes.01G190800.v8.1 transcript:Manes.01G190800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQENEEQLARWDGYVDWRNRPALRGRHGGMLAASFVLVVEILENLAFLANASNLVMYLSEYMHLSPSVSANNVTNFTGTSFLLALLGGFLSDAFFTTYTIYLISAAIEFLGLVILTVQARSPSLKPPACDPANPNVPCQEVTGAKAAMLFLGLYMVALGVGGIKGSLAAHGAEQFDESTPQGRKQRSTFFNYFIFCLACGGLIAVTFVVWLEDNKGWVWGFGVSTIVIFLSIPIFIAGSPTYRNKIPSGSPLTTIFKVLIVAAITTFISSTPSNAIANLNASPISPTQASEESKENSKEMAPGTETLTKSFSFLNRAVVKRQVHSSLECTVQQVEEVKVVIKILPIFSCTIMLSCCLAQLSTFSVQQAATMNTKLGSLKVPPASLPFFPVIFIMILAPIYDHFIIPFARKVTKSEMGITHLQRIGIGLVLSVIAMGVAALVEIKRKRVATNSGLLDSNDPLPITFFWIAFQYLFLGSADLFTLAGLMEFFFREAPTSMRSLATSLSWASLAMGYYLSSVIISIVNNLTGNSTRRPWLSGDNINYYQLERFYWLMCVLSAVNFLHYLVWANWYKYRSTRSGYQSQFTHST >Manes.14G027000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2488574:2489179:1 gene:Manes.14G027000.v8.1 transcript:Manes.14G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKKSQLFLILLIMIALVHGSSGRYYTYQVPIKEETQQESKTKYSDMFLRGTAAIQKAIKSSNGKINSMHTVSRRLVPGGPNPLHN >Manes.04G026600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3115890:3120787:-1 gene:Manes.04G026600.v8.1 transcript:Manes.04G026600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVADEKILSYNDVVLRQSDLEILSGPYFLNDRIIEFYFSYLSSRNPSEDILLVPPSIAFWIANCPDTESLRDFLEPLKLPDKKLVIFPVNNNDDVSLAEGGSHWSLLAYENNANAFVHHDSYLGMNRRHALKLYKSVVGFIGLDGSAEAKYMELSNSPQQVNGCDCGLYVTAIARAICYWSESCDHKDRDGLWFSVVKEQVTPAAVGAMRNEILLLIRSLMQSK >Manes.04G026600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3116526:3120751:-1 gene:Manes.04G026600.v8.1 transcript:Manes.04G026600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVADEKILSYNDVVLRQSDLEILSGPYFLNDRIIEFYFSYLSSRNPSEDILLVPPSIAFWIANCPDTESLRDFLEPLKLPDKKLVIFPVNNNDDVSLAEGGSHWSLLAYENNANAFVHHDSYLGMNRRHALKLYKSVVGFIGLDGSAEAKYMELSNSPQQVNGCDCGLYVTAIARAICYWSESCDHKDRDGLWFSVVKEQVTPAAVGAMRNEILLLIRSLMQSK >Manes.04G026600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3116526:3120751:-1 gene:Manes.04G026600.v8.1 transcript:Manes.04G026600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVADEKILSYNDVVLRQSDLEILSGPYFLNDRIIEFYFSYLSSRNPSEDILLVPPSIAFWIANCPDTESLRDFLEPLKLPDKKLVIFPVNNNDDVSLAEGGSHWSLLAYENNANAFVHHDSYLGMNRRHALKLYKSVVGFIGLDGSAEAKYMELSNSPQQVNGCDCGLYVTAIARAICYWSESCDHKDRDGLWFSVVKEQVTPAAVGAMRNEILLLIRSLMQSK >Manes.04G026600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3116526:3120753:-1 gene:Manes.04G026600.v8.1 transcript:Manes.04G026600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVADEKILSYNDVVLRQSDLEILSGPYFLNDRIIEFYFSYLSSRNPSEDILLVPPSIAFWIANCPDTESLRDFLEPLKLPDKKLVIFPVNNNDDVSLAEGGSHWSLLAYENNANAFVHHDSYLGMNRRHALKLYKSVVGFIGLDGSAEAKYMELSNSPQQVNGCDCGLYVTAIARAICYWSESCDHKDRDGLWFSVVKEQVTPAAVGAMRNEILLLIRSLMQSK >Manes.11G125200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28640859:28652158:-1 gene:Manes.11G125200.v8.1 transcript:Manes.11G125200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISKASCAMASLHCSRAGSGRCVWPGTRELCFRKGPLYGFMHLLSMPLKTLRGASRTLRVAQFCSVSNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSEAVPVIDALRRKNRNLTYILNTHHHHDHTGGNEELKARYGAKVIGAGTDRDRIPGIDIVLNDGDKWKFAGHEVVVMDTPGHTRGHISFYFPGSGAVFTGDTLFSLSCGRLFEGTPEQMLSSLKKLMSLPDDTNIYCGHEYTLSNSKFALSIEPNNEALQSYSAHVAHLRSKNLPTIPTTLKVEKACNPFLRSSSAEIRRSLNIPMTANDAEALGVIRRAKDNF >Manes.11G125200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28641723:28651998:-1 gene:Manes.11G125200.v8.1 transcript:Manes.11G125200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISKASCAMASLHCSRAGSGRCVWPGTRELCFRKGPLYGFMHLLSMPLKTLRGASRTLRVAQFCSVSNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSEAVPVIDALRRKNRNLTYILNTHHHHDHTGGNEELKARYGAKVIGAGTDRDRIPGIDIVLNDGDKWKFAGHEVVVMDTPGHTRGHISFYFPGSGAVFTGDTLFSLSCGRLFEGTPEQMLSSLKKLMSLPDDTNIYCGHEYTLSNSKFALSIEPNNEALQSYSAHVAHLRSKNLPTIPTTLKVEKACNPFLRSSSAEIRRSLNIPMTANDAEALGVIRRAKDNF >Manes.11G125200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28641773:28652158:-1 gene:Manes.11G125200.v8.1 transcript:Manes.11G125200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISKASCAMASLHCSRAGSGRCVWPGTRELCFRKGPLYGFMHLLSMPLKTLRGASRTLRVAQFCSVSNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSEAVPVIDALRRKNRNLTYILNTHHHHDHTGGNEELKARYGAKVIGAGTDRDRIPGIDIVLNDGDKWKFAGHEVVVMDTPGHTRGHISFYFPGSGAVFTGDTLFSLSCGRLFEGTPEQMLSSLKKLMSLPDDTNIYCGHEYTLNLIMRHSSPIQPMWPIFAARICQQFQLR >Manes.11G125200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28640859:28652158:-1 gene:Manes.11G125200.v8.1 transcript:Manes.11G125200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMISKASCAMASLHCSRAGSGRCVWPGTRELCFRKGPLYGFMHLLSMPLKTLRGASRTLRVAQFCSVSNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSEAVPVIDALRRKNRNLTYILNTHHHHDHTGGNEELKARYGAKVIGAGTDRDRIPGIDIVLNDGDKWKFAGHEVVVMDTPGHTRGHISFYFPGSGAVFTGDTLFSLSCGRLFEGTPEQMLSSLKKLMSLPDDTNIYCGHEYTLSNSKFALSIEPNNEALQSYSAHVAHLRSKNLPTIPTTLKVEKACNPFLRSSSAEIRRSLNIPMTANDAEALGVIRRAKDNF >Manes.07G086300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27276659:27282240:-1 gene:Manes.07G086300.v8.1 transcript:Manes.07G086300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNASYSSFSSFGSYLRALAQTPARFARRAASVSTSYEEMSRVKARSGSELQKTLRWYDLVGFGIGGMVGAGVFVTTGRASRLYAGPSIVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMDYVMSNAAVARGFTAYLGTAIGMSTSKWRLVVHALPNGFNEIDMVAVLVVLAITLIICYSTRESSVVNMILTALHILFIAFVIFVGFWKGDWKNFTEPANPKHPSGFFPFGASGVFNGAAMVYLSYIGYDAVSTLAEEVHNPVKDIPIGVSGSVILVTILYCLMAASMSKLLPYDLIDADAPFSAAFKGKSDGWEWVSNVIGVGASFGILTSLLVSMLGQARYMCVIGRSNVIPAWFARVHPRTSTPVNASAFLGQGKSLYARSLRSDSDCNNTSL >Manes.07G086300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27276657:27282240:-1 gene:Manes.07G086300.v8.1 transcript:Manes.07G086300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNASYSSFSSFGSYLRALAQTPARFARRAASVSTSYEEMSRVKARSGSELQKTLRWYDLVGFGIGGMVGAGVFVTTGRASRLYAGPSIVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMDYVMSNAAVARGFTAYLGTAIGMSTSKWRLVVHALPNGFNEIDMVAVLVVLAITLIICYSTRESSVVNMILTALHILFIAFVIFVGFWKGDWKNFTEPANPKHPSGFFPFGASGVFNGAAMVYLSYIGYDAVSTLAEEVHNPVKDIPIGVSGSVILVTILYCLMAASMSKLLPYDLISFAQ >Manes.07G086300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27276659:27282240:-1 gene:Manes.07G086300.v8.1 transcript:Manes.07G086300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNASYSSFSSFGSYLRALAQTPARFARRAASVSTSYEEMSRVKARSGSELQKTLRWYDLVGFGIGGMVGAGVFVTTGRASRLYAGPSIVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMDYVMSNAAVARGFTAYLGTAIGMSTSKWRLVVHALPNGFNEIDMVAVLVVLAITLIICYSTRESSVVNMILTALHILFIAFVIFVGFWKGDWKNFTEPANPKHPSGFFPFGASGVFNGAAMVYLSYIGYDAVSTLAEEVHNPVKDIPIGVSGSVILVTILYCLMAASMSKLLPYDLIDADAPFSAAFKGKSDGWEWVSNVIGVGASFGILTSLLVSMLGQARYMCVIGRSNVIPAWFARVHPRTSTPVNASAFLGIFTAAIALFTDLNVLLNLVSIGTLFVFYMVANAVIYRRYVATGTTNPWPTLSFLCSFSFTSLIFTLIWHFMPQGKAKAFMLGACAATAIAIIQVFNCMVTQARKPEFWGVPSMPWIPSVSIFLNIFLLGSLDGPSYVRFAFFSGLAVLIYVLYSVHASFDAEGEGCLSQKRNSQIMKESGESEESPGFKV >Manes.14G065800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5496284:5497520:1 gene:Manes.14G065800.v8.1 transcript:Manes.14G065800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSLIALSPSSPSFNAYSSGRLAEIAARVVQEFSNESQDDSAFFSWKQEGLLHEGQDHKKLDHDADDDDGEEFEFAIVCTQPDSLAISADEIFYNGQIRPIYPLFNTALLIDDREDELSKPSSAVSGDKPTKPIRLPLRKLFNEERETTSCSSSEADDLESVPAGSYCVWTPKKGKETPGRCKKSSSTGTSKRWKFRDLLYRSNSDGKDTFVFLTPAKKSSERVMEDEGKENKERYKNGKAMDKEHYVKNRTLKEEEHKRRSFLPYRQDLIGFFI >Manes.13G022900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3234120:3241138:1 gene:Manes.13G022900.v8.1 transcript:Manes.13G022900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAIGLQTPLSNPNHASPSANLLFSSTNSLKPQPTAAATSNCFSSLRQVHLLATNASTSSSSSLTANTANNFIKSDHCLQNPDSLHHVNCALPDDEPEGAAELVSSASAVASAIRKGSNSPVEFVQRVEKAEKSKLVLPSPDFQRLCVEQLDLFRRIVDPNATLSVYVRPAGSYVMDRLELRRITSYPGANASDIVILVGTFNIPTGLRAAEAALSNQPVELMTEHKAFVFPMVKQPFVVGFLVAELPMTELNESCGDALNEGHDLIHLPSPEEGYALPSSSDEKSWGIQSLDDEPLRMYNFTAEQRLNAINISRTLAMAYVMDQKAMLLQQSSWQNNVRMSNLVEQIRGPLSSIRTLSKMLATHLKRSEISYDIVEDIIVQGDQMKDTLQELQDAVYLTKANIMHYNEEALKKFHNSTYHHHESLRSHLSDYMPKDTGNSNNLQNSGESSSQNAANRDVEMPMPPLALTSLRQHGIRKTMQCFCGAIRFG >Manes.13G022900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3234120:3241138:1 gene:Manes.13G022900.v8.1 transcript:Manes.13G022900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAIGLQTPLSNPNHASPSANLLFSSTNSLKPQPTAAATSNCFSSLRQVHLLATNASTSSSSSLTANTANNFIKSDHCLQNPDSLHHVNCALPDDEPEGAAELVSSASAVASAIRKGSNSPVEFVQRVEKAEKSKLVLPSPDFQRLCVEQLDLFRRIVDPNATLSVYVRPAGSYVMDRLELRRITSYPGANASDIVILVGTFNIPTGLRAAEAALSNQPVELMTEHKAFVFPMVKQPFVVGFLVAELPMTELNESCGDALNEGHDLIHLPSPEEGYALPSSSDEKSWGIQSLDDEPLRMYNFTAEQRLNAINISRTLAMAYVMDQKAMLLQQSSWQNNVRMSNLVEQISYDIVEDIIVQGDQMKDTLQELQDAVYLTKANIMHYNEEALKKFHNSTYHHHESLRSHLSDYMPKDTGNSNNLQNSGESSSQNAANRDVEMPMPPLALTSLRQHGIRPCNVSAVLSDLVEAVQPLASNQQRSVQLSERCQSLQVAIEEAALRQALSNLIEGALLRTHVRGKVEIVSIEAPAGGALVVIDDDGPDMQYMTQLHSLIPFGAELFSENKIEDNMTWNFVAGLTVAREILESYGCVVRVISPRFADAALGAGGTRIELWLPSFATLSDLNDLAHEA >Manes.13G022900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3234120:3241138:1 gene:Manes.13G022900.v8.1 transcript:Manes.13G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAIGLQTPLSNPNHASPSANLLFSSTNSLKPQPTAAATSNCFSSLRQVHLLATNASTSSSSSLTANTANNFIKSDHCLQNPDSLHHVNCALPDDEPEGAAELVSSASAVASAIRKGSNSPVEFVQRVEKAEKSKLVLPSPDFQRLCVEQLDLFRRIVDPNATLSVYVRPAGSYVMDRLELRRITSYPGANASDIVILVGTFNIPTGLRAAEAALSNQPVELMTEHKAFVFPMVKQPFVVGFLVAELPMTELNESCGDALNEGHDLIHLPSPEEGYALPSSSDEKSWGIQSLDDEPLRMYNFTAEQRLNAINISRTLAMAYVMDQKAMLLQQSSWQNNVRMSNLVEQIRGPLSSIRTLSKMLATHLKRSEISYDIVEDIIVQGDQMKDTLQELQDAVYLTKANIMHYNEEALKKFHNSTYHHHESLRSHLSDYMPKDTGNSNNLQNSGESSSQNAANRDVEMPMPPLALTSLRQHGIRPCNVSAVLSDLVEAVQPLASNQQRSVQLSERCQSLQVAIEEAALRQALSNLIEGALLRTHVRGKVEIVSIEAPAGGALVVIDDDGPDMQYMTQLHSLIPFGAELFSENKIEDNMTWNFVAGLTVAREILESYGCVVRVISPRFADAALGAGGTRIELWLPSFATLSDLNDLAHEA >Manes.10G101900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24987489:24988567:1 gene:Manes.10G101900.v8.1 transcript:Manes.10G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGFFEGGIASIVAGASTHPLDLIKVRMQLHGESHLPNPSTLHAYRPAFAANVLLPTTLEVPPPPRVGPISLGVRIIQSEGVAALFSGVSATVLRQTLYSTTRMGLYEVLKQKWAEPETGNLPLLRKILAGLVAGGVGAAVGNPADVAMVRMQADGRLPVDLRRNYKSVVDALTQMSKQEGIASLWRGSSLTVNRAMIVTASQLASYDQIKEMILEKGVMSNGIGTHVTASFAAGFVAAVASNPIDVIKTRVMNMKVETGAEPPYKGALDCAMKTVRAEGAMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDL >Manes.02G215325.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35953780:35964981:-1 gene:Manes.02G215325.v8.1 transcript:Manes.02G215325.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIIRLPNEVRKLTCLRLLDLSRCQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGSNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLESFRVLIGDGWDWANNEYETSRSLKLKLNRSALLERVKGLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIDWMKMNHFTAFPKLKSLFLHNLNNLEKIYGGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEEVNVNNCEIIQVIVAKEGEDDEECELTQLRSLTLENLPQFISFCSQVKVHSTSQRAGNQEIATTASNEIVCEADADVLVALFNDKIRFPNLADMTFVGINVEMIWPCQHKALSPSIEKLTTLIVDGCGNLNFLFTSSIVGSLAHLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCRAKLIECPSLKVLKMGNCPRLQSFVSTQVNIALFDEKIIGNLEGLVINDQDAAMIQQSQFPMDLFVKLKFLHLQSFGYSFLNVPLNLLQKFPNLGRLDLTNCYFKELLQHGHGHDPVLSQIRCLQLLWLPNIRHVWNQDSPFLQNLETLKIRGCDGLTNLAPSSAAFKNLTILHVWNCNGLSSLVSSSNAESMHNLAKMIIEESDTIEEIVSSDKNNFQSQNEIILWKLTTLRLHCLKSLETFCSSSRCTLKFPALEVVDLSQCPKMKVFSQGSISTPRLKRVNFTEERDKWRWVGDLNSTIKQLYADKVGFSGLQHLKLSEFSQLKEAWKTQLPVNFFYNLSSLEVDEVAFSSIVVPSNLLPILNDLEKLEVRNCDSVEQVFGLEWPNFDGPFGNLFKLSELKLINLPMLRLVWIEIPKGILDLRNLKLLKIYNCSSLRYIFTPTICCGLEQLQVLEVKSCAMVEEIITEESMDEIIFPQLNSIILESLPRLINFNSGGGTVHCPSLKEIAVVDCPTTFTCSFFREADAAIDKIVERKVFFPNLEDLKLSSIDVEMMWQAQHLKMSSYTENLTSLTVDGCGNLKYLLSSSSIVHLKRLEVCNCKMMEQVILREGLDEETMLLHQLESLKFKDLPKLTRFCTTNLVECSALKEICIQNCPQMRTFVSNSPTSNNELEIINSALFDEKVAFPNLEKMQILNMDYLNMLWHNQLHSDSFCKIKALTVEHCKKLLKIFPSMFQNLEDLIIGNCDSLEEVFDLQEMIKLEETVTIQLRTLNIRNLPNLKHVWNKDPMGLVLFDNLSSVVVSDCPNLKAIFPATIAKNLLQLETLDVKSCGGVEEIVAQDQVTEASIEFLFPCLKSLMLRELNELKCFYSGIHTLESPLLKRLIVYHCDKLNIFCPESENLLEIDTESQTMIQDPQPLFSFRKVVSNLEKLTLTRKDAAMILEGQFPSDLFHKFTEIEIYCFHDESAVFPFDLLERFQPMEILGVGCSRFKELFPCDSSVGRKKYAEVLKLIRGLVLDNLPDLMDIWNQDSQLDQVLQSLELLLVERCNSLVALAPSSTFQNLITLEVLKCNGLLSLVTSSTAKSLVRLTTMSIKECDGLKEIVANVGDEIELKEDIIFSKLESLELHYLPSLVCFCSSEHSFKFPSLKNVTVKQCPKLQVFSKGVLSTSSLLGVQKDDQWHWNGNLNTAIQQLFAEMVNVSLVLLQTTWLKSWTMASVYHWILIEL >Manes.06G038651.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11802236:11803382:1 gene:Manes.06G038651.v8.1 transcript:Manes.06G038651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKEMEVVKGVDLKRYMGRWYEIASIPSFFQTKNGENTRATYTLNDDGATVHVLNETWSGGKRSYIEGTAFKADPNSDEAKLKVKFYVPPFLPIIPVVGDYWILYLDEDYTYALIGEPRRKYLWILCRQTCIDDEIYDQLVKKAKEEGYDVSKLHKTPQANPPPEGEEGPKDTKGIWRIKSLLEK >Manes.01G262000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41741871:41745915:-1 gene:Manes.01G262000.v8.1 transcript:Manes.01G262000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDTGEEVITEIQSVEECLSHAQGLVPLALDAAKAVKGFPGRWKMIISKLEQIPSHLSDLSSHPCFSKNALCKEQLQAVSNTLKEAIELSDLCNSEKYEGKLRMQSDLDRLSGKLDLNLRDCELLIKTGMLGEATLPLAAAGSSTELEASIHGNTRELLARLQIGHLEAKHKALDSLVEVMKEDEKTVLAVLGRCNIAALVQLLTATSPRIREKTVSVICSLAESGRCENWLVSEGVLPPLIRLVESGSTLGREKATISLQRLSMTTETARAIVGHGGVRPLIEICRTGDSVSQAAAACALKNISVVPEVRQNLAEEGVVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLRRIVISEGGIRSLLTYLDGPLPQESAVAALRNLVTSVSIEMLASLGFLPRLVHVLKSGSSGAQQAAASAICRVCSSTEMKKWVGEAGCIPLLVKLLEAKSNGVREVSAQAISSLVTLSQNCREVKKNEKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLTEMDIPGAKKLLERLERGKLRSLLSRK >Manes.11G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6153683:6160026:1 gene:Manes.11G049200.v8.1 transcript:Manes.11G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKGCLLVLDKVKRCVRTVIFMVAMVASLLVSSMPVLVAIGDVLVQCVLVSSFTCLSCYGVKEHLHRYAFKSSLTDIPLVSVVRSLIIICVYLMCDAPALSHGPYFGTVTLCSMVSILLLSLKACVFTVNSQIEAEASSSLSRQKLHLKKSWGMPVLFLSSVVFALGHAVVAYRTSCRARRKLLFHRVDPEAVLSCKSVFSGYQKVPRSPTPTSGRTPKSDSEMRRKPFGTVLDEGELPIRLLADIDSLFIACQGLTVHYKLCLPGSPPRSLSSTAFLEPPPICSSQKMTVGRLKLERPPINGLLKTQHNLHRSYSNQFHSSSLYAPLLDVSPTSPALSEEIPILNLDDVVENEMSKVNSGSPEQDLEENGQFGVVLIHGFGGGVFSWRHVMGALARQVGCSVAAFDRPGWGLTSRPRRKDWEDKELPNPYKLETQVDLLLAFCSEMGFSSVVLVGHDDGGLLALMAAQRLQTSMNSFNVTIKALVLLNVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEIVQVVNRHAWYDSAKLTAETLSLYKAPLYVEGWDEALHEIGKLSCETVLSPQNYSLLLKAVEEMPLLVITGAEDALVPLKSCQAMASKLVNSRLVAISGCGHLPHEECPKALLAAISPFISRLLLRPDLESQ >Manes.05G081800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6719328:6723906:1 gene:Manes.05G081800.v8.1 transcript:Manes.05G081800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAISNSTSLSEDASVSSGNRVQDFCGLNPVVSAVSPQQQQQQQPKIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEIKKRAYVCPEPSCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSAHQLVSTNPIPQSLLLQNPQPHPSFFPLTTHHHHPLSLNPWDPPPQNPSNPQNPVQVKPESHHFQLPPLFQEQPPSLSTHKGLITSSFQSLSNPAASASSHHLSATALLQQAATVGATQAVGQSHMTQLDMGDLGTVSQAATDSVSQISRQGSYLGNLAAWQKNDHLTRDFLGLTGDGHVGNGNVGNGNGGVNVSMNVREILTYTGGVGLQQYSERDHTLLKPHGFGFPQQPASETWGDC >Manes.05G081800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6719328:6724284:1 gene:Manes.05G081800.v8.1 transcript:Manes.05G081800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAISNSTSLSEDASVSSGNRVQDFCGLNPVVSAVSPQQQQQQQPKIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEIKKRAYVCPEPSCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSAHQLVSTNPIPQSLLLQNPQPHPSFFPLTTHHHHPLSLNPWDPPPQNPSNPQNPVQVKPESHHFQLPPLFQEQPPSLSTHKGLITSSFQSLSNPAASASSHHLSATALLQQAATVGATQAVGQSHMTQLDMGDLGTVSQAATDSVSQISRQGSYLGNLAAWQKNDHLTRDFLGLTGDGHVGNGNVGNGNGGVNVSMNVREILTYTGGVGLQQYSERDHTLLKPHGFGFPQQPASETWGDC >Manes.07G083100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26392915:26394476:1 gene:Manes.07G083100.v8.1 transcript:Manes.07G083100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILMKFLFPCPPNLLISAMSVVSLVSLVSSGFSEARGKHFQYSKFWNANSPVTNQIKLSGRNGMLLLYTPAFFAGLSSFWLLPDQDLRFLYLKSALTFHFFKRTLEVLFIHSYSGSMILVSLIPMSFNYFASTATMIYAQHVIQGYPEPSIDLTYPGIVLFLIGIGGNFYHHYLLSKLRSKNDKEYKIPKGGLFDLVICPHYLFEILGFWGVFFISQTLYSFIYAFGTTLYLMGRSYATRRWYLSKFEDFPKHVKALFPFVY >Manes.09G016744.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3590066:3593014:-1 gene:Manes.09G016744.v8.1 transcript:Manes.09G016744.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFSHLHLVDLGFSVFGKMLKLGLEPDVVTFTTLINGLCTESKIDKAVEFFDDMVACGYQPNVYTYSTIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALDLFSQMRNKGISPNVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPNVYTFNVLIDALCKDGMVSEAQNTFNVMIQRRVEPNVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPSVVTYNSLMDGYCLCKQIDKARKLFDLMVTNEIANIFSYTILINGYCKCKMIDDAKELFDEMSHKGLVPSVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKAGKINDAKELFSSLFENGLQPNVHIYSAIMKGLCQQGLMDEAYKVFRDMEKGGCLPNNYCYNIIIQGFLKHEDLPKASEIINEMVDKGFSADAATTKLVVHLSRNNDLILRLLKL >Manes.05G201200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32554931:32597932:1 gene:Manes.05G201200.v8.1 transcript:Manes.05G201200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTVRTTSLCSHRADRQQQQQLPPPLVSSSSSNSGSRNGSQQNNHYSLRSKAPASSRRSVTPTSRSHSSHQDDDNDPVRVRVAVRLRPRNAQDLSLDSDFSDCVELQPELRRLKLRKNNWSSESYKFDEVFSETASQKRVYEAVAKPVVESVLNGYNGTVMAYGQTGTGKTYTVGRLGKNDASERGIMVRALEDVIANVNPSSDIVEISYLQLYMESIQDLLVPEKINIPINEDPRTGDVSLPGATVVRVRDLDHFFELMQIGETNRHAANTKQNTESSRSHAILMVYVRRSIHQKVDEINAQGTMTDLVGGNGIPRVRKSKLLIVDLAGSERLDKSGSEGRLLEEAKFINLSLTSLGKCINALAESSPHIPTRDSKLTRLLRDSFGGSSRTSLIITIGPSSQHHAETTSTIMFGQRAMKIVNMLKLKEEFDYESLCRKLETQLDNLTAEIEREQKLRESEKYELEKQLNECQDSFAQTMKNLVTRSEFLEKENSRLELEMKDILNELDSQKSQNELMRGKIEQLEMSLKHSQQQQQLENSTYKKVLADTTQMYEKKISDLNRQLEDERAHCKSSDEQLNLMMQLLNDGQRSIKQHEAENSTYQKALADNTQMYEKKMAELNKQLEDERACTKSFEEQLDMTKKLLSDSQKLNQNHEVENSTYQRALAETTQMYEKKIVDLVKQLEDEHAHFEEVKEQLYLAKKLLRDQEDSIQDLEETEELRVKLKEIYQQHEITQTELQSLKSNNIELFREKATLNEEVCDLNRRLLDEVKQRKSIEHELAKLKRSELESDNNFEDKQSCMKENIGKGSHKSNPSRVILSSQRATIAKICEEVGLQKILQLLMSEDSDVQIHAVKVIANLAAEDINQERIVEEGGLDALLMLLKSSENATILRVASGAVANLAMNELNQGLIVSKGGAKLLAVTASKTDDSQTLRMVAGALANLCGNERLHMMLKEDGGIKALLEMARSEYSDVIAQVARGMANFAKCESRGILQGHKKSRSLLMEYGTLEWLIANSKTTSASTRRHLELAICHLAQNEDNVKDFISGGGVKELARISAESSRDDIRNLAKKTLKMNPTFQAEILGM >Manes.08G044700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4427178:4434518:1 gene:Manes.08G044700.v8.1 transcript:Manes.08G044700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHFSLSANSAINSHFSSLCFISTHATAPIVSFPRPSEHRRRIRKMVCTATNEQAVLGSSPTFHQDMERISAKESLLSAFKEFGSFEGLVSGKATDLQRIEVNEKIINLERHNPTPKPTTSPYLEGHWNLEWFGSGSPGFCGARFLLEKLPSQLANLSQLDVLIKDAKTRITPRMKLLSSIEIKCVVLSNLSVEGPLRMKEEYVEAILEMPTIAEKDIPEHVKGAVDRAASAVQHVPVHVTEALPNGLKVPLPGFQRLFMISYLDEDILILRDISGEQEVLTRIDSTASSEAEPSGTENEN >Manes.08G142350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37863935:37864897:-1 gene:Manes.08G142350.v8.1 transcript:Manes.08G142350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWEKWDRHPQMLSSSCILLLLSLFKLIITQYTGAAQLVDLLQQLSNSDSSPSWVSSHGSVLTISSLLRHNPFLITSAEFPLLADCLKDGLQDERFPVRETSTKVLERLILHQIQRDPSKTFAYVGF >Manes.13G005500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1085017:1086499:1 gene:Manes.13G005500.v8.1 transcript:Manes.13G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGIISNPLPSLHLKTPLRLRNFTATITNKYSISDEDLESRGFLLRRTFSDLNLNHLNSIFVAVGFPKRDPEKIKVALENTNSLLWVEHKKSQKPVAFARATGDNVFNAIIWDVVVDPSYQGIGLGKAVMERLVEELLEKGIVNIALYSEPRVLGFYRPLGFVADPDGIRGMVYSRKQRKKK >Manes.01G006500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2563532:2564461:1 gene:Manes.01G006500.v8.1 transcript:Manes.01G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATSSDKKLLLLSMDNSCSSVSTEDYYGQDQEQEQVLHRSHQEHPSEATAINLKDSLIAGSWSTTRKRTFSFDCCSSSSCLNHVDQKILERIPTVIFLKSMGFAEKNISDVLREMGNNKVKQKVEETMQQWCSANNSNISSQDSVATPIDRRKKSCTDMGIVKKKKKKMDIKLKSLSELVDSKGSYVCKQCNKVFDDFRALGGHTAAHNRKTAENEPSEELVTGGRGVKTGPIPAELTVDNRGKKYECNLCSRRFPTGQALGGHKSYHRKMACGEVQEVSTERSAPAKYADIKIDLNAPPDELVWGG >Manes.07G119100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32406415:32410540:1 gene:Manes.07G119100.v8.1 transcript:Manes.07G119100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAESSFKQPRWSLQGMSALVTGGTRGIGHATVEEHAGLGARVHTCSRNEAEISKCLKEWEAKGFVVSESVCDVTSRAQREKLIKQVGSLFNGTLNIFVNNVGKYMWKATTEFSAEEFSELLNINFESAYHLCQLAHPLLKVSGAGSIIFISSVAGLVYIGGTGSVYSSAKAAINQLTKNLACEWAKDNIRTNCITPWVIRTSLVENVLDEKELLDKIVSRTPLQRLGEPKEVSSLVAFLCLPAASYITGQIISVDGGLSVNGFDPVMKLD >Manes.07G119100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32406886:32410540:1 gene:Manes.07G119100.v8.1 transcript:Manes.07G119100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAESSFKQPRWSLQGMSALVTGGTRGIGHATVEEHAGLGARVHTCSRNEAEISKCLKEWEAKGFVVSESVCDVTSRAQREKLIKQVGSLFNGTLNIFVNNVGKYMWKATTEFSAEEFSELLNINFESAYHLCQLAHPLLKVSGAGSIIFISSVAGLVYIGGTGSVYSSAKAAINQLTKNLACEWAKDNIRTNCITPWVIRTSLVENVLDEKELLDKIVSRTPLQRLGEPKEVSSLVAFLCLPAASYITGQIISVDGGLSVNGFDPVMKLD >Manes.13G063850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7643374:7643644:-1 gene:Manes.13G063850.v8.1 transcript:Manes.13G063850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQELDCSPIKAVRELGCSPIKATVRSIFGMGVRALRGPFPSMRGSGRTHLWCTSYRAHYKRWIVKCGADNC >Manes.01G145100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33543535:33547273:-1 gene:Manes.01G145100.v8.1 transcript:Manes.01G145100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDLGRGMATGEDVDLSSLKSQLNETHEMWKLEMERRQSQVDALQAKLIEVKACIKGSEENAKKELEVLWRRVKTSATLWTYLKSKARIMAVPDLARTSCGIKELEGVGLVDKNGTPLSSWSRSVDLSPFDSPDDESWIRLGEQHGSYDEHDEAYIGELHNSVQMVSDVMESLIKRVILAESETAIEKDKVTLGQEEIRRKVIQIETMSSKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRQRAAENEEELCRVKRDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKMQKEAEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVLALSASNL >Manes.01G145100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33542971:33547350:-1 gene:Manes.01G145100.v8.1 transcript:Manes.01G145100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEDVDLSSLKSQLNETHEMWKLEMERRQSQVDALQAKLIEVKACIKGSEENAKKELEVLWRRVKTSATLWTYLKSKARIMAVPDLARTSCGIKELEGVGLVDKNGTPLSSWSRSVDLSPFDSPDDESWIRLGEQHGSYDEHDEAYIGELHNSVQMVSDVMESLIKRVILAESETAIEKDKVTLGQEEIRRKVIQIETMSSKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRQRAAENEEELCRVKRDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKMQKEAEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVLALSASNL >Manes.01G145100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33543535:33547273:-1 gene:Manes.01G145100.v8.1 transcript:Manes.01G145100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDLGRGMATGEDVDLSSLKSQLNETHEMWKLEMERRQSQVDALQAKLIEVKACIKGSEENAKKELEVLWRRVKTSATLWTYLKSKARIMAVPDLARTSCGIKELEGVGLVDKNGTPLSSWSRSVDLSPFDSPDDESWIRLGEQHGSYDEHDEAYIGELHNSVQMVSDVMESLIKRVILAESETAIEKDKVTLGQEEIRRKVIQIETMSSKLEEMERFALGTNSILNEMRQRVEDLVEETSRQRQRAAENEEELCRVKRDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKMQKEAEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVLALSASNL >Manes.09G030200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6265288:6268791:1 gene:Manes.09G030200.v8.1 transcript:Manes.09G030200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFDRYSFLLSLSFTLFIVCSALTEADILLSFKTSIEDPKDSLSSWSSSSNLHYCNWTGVSCATPSSLTVTSLNLPSLNLSGEISSTLCELTNLTLLNLSDNLFNQPIPLHLSQCSSLVTLNLSNNLIWGTIPDQISQFESLRVLDFSRNHIEGKIPESIGSLVKLQVLNLGSNLLSGSVPSVFGNFTELLVLDLSQNAYLVGEIPADIGKLKKLEKLFLQSSGFRGQIPDSFLGLQSLNILDLSQNNLSGVIPPTLGASLKSLVSFDISQNKLSGPFPDGICGAQGLRNLGLHANFFKGPIPSSINECLNLERFQVQNNEFSGDFPDGLWSLSKIKLIRAENNRFSGKIPDSISMAAQLEQVQIDNNSFTSKIPKGLGLVKSLYRFSASLNGFYGELPPNFCDSPVMSIINLSHNSLSGQIPELKKCRKLVSLSLADNSLTGEIPPSLADLPVLTYLDLSDNNLTGSIPQGLQNLKLALFNVSFNKLSGRVPSALISGLPASFLEGNPDLCGPGLPNSCSDELPRHRSSVGLSAMACALISIAVGIGILLVAAGFFVFHRSSKWKSQMGGWNSVFFYPLRVTEHDLVMAMDEKTAAGSSGAFGRVYIISLPSGELVAVKKLVNIGNQTSKALKAEVKTLAKIRHKNIIKVLGFCHSDESIFLIYEYLQKGSLGDLIGEPDCQLQWNVRLRIAIGVAQGLAYLHKDYVPHLLHRNVKSKNILLDAEFEPKLTDFALDRLVGEAAFRSTIASESADSCYNAPELGYSKKATEQMDVYSFGVVLLELVTGRQVEQAEPADSLDIVKWVRRKINITNGAIQVLDSKISNTFQQEMLGALDIAIRCTSVMPEKRPSMVEVVRELVSLSSKAQLPCSDFSMQEENSVPV >Manes.09G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29937566:29943117:1 gene:Manes.09G101300.v8.1 transcript:Manes.09G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADTKSKSNISFAGTFASSAFAACFAETCTIPLDTAKVRLQLQKKAVAGEGLALPKYRGMLGTVGTIAREEGLSALWKGIVPGLHRQCLFGGLRIGLYEPVKTFYVGSDFVGDVPLTKKILAALTTGALGITVANPTDLVKVRLQAEGKLPPGVPRRYTGALNAYSTIVRQEGFGALWTGIGPNIARNAIINAAELASYDQVKQSILKIPGFTDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRSLESS >Manes.02G159900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12500451:12508652:-1 gene:Manes.02G159900.v8.1 transcript:Manes.02G159900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSCLLRFTSLSFRSLDKLISVRTKTHYTHCRSVTCMDSGDGTSSACTLVLCGKSSAEKEIALSLKKNSAVKLPDDTPVSILLESEIAKLDKEPFNVELFFNCLSTRIFGRFLIWSPRLTSTHDVVSLNFCELPIGAACVADVQYKGRGRSKNVWESPLGCLMFSFTVQMEDGRVVPLLQYVVSLAVTEAIKDVCHTKGLPFLDIKIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSAGIGLNINNEKPTTCLNAILRELSTADFQFSREDIIAAFFNKFENLYDLFINQGFQTLEELYYRTWLHSEQRVIIQEKNGDQVVENVVTIQGLTSSGYLLAVGDDNQMCELHPDGNSFDFFKGLVRRKLE >Manes.02G159900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12499869:12508679:-1 gene:Manes.02G159900.v8.1 transcript:Manes.02G159900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSCLLRFTSLSFRSLDKLISVRTKTHYTHCRSVTCMDSGDGTSSACTLVLCGKSSAEKEIALSLKKNSAVKLPDDTPVSILLESEIAKLDKEPFNVELFFNCLSTRIFGRFLIWSPRLTSTHDVVSLNFCELPIGAACVADVQYKGRGRSKNVWESPLGCLMFSFTVQMEDGRVVPLLQYVVSLAVTEAIKDVCHTKGLPFLDIKIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSAGIGLNINNEKPTTCLNAILRELSTADFQFSREDIIAAFFNKFENLYDLFINQGFQTLEELYYRTWLHSEQRVIIQEKNGDQVVENVVTIQGLTSSGYLLAVGDDNQMCELHPDGNSFDFFKGLVRRKLE >Manes.05G083100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6830609:6834390:1 gene:Manes.05G083100.v8.1 transcript:Manes.05G083100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQMKIQPIDSHSPEEPTRLEPGKPVVKSRLKRLFELQFRKNSVAEKAGVADESHFHDVTKGSTEFEPSSLCLSKMVQNFIEESNEKPSSAVVKCGRNRCHCFNVNCNDSSEDEFDGFSGLGDSNFVSSGEGIEILQGLVPCVSVTERNLLADTARIIDKNKISKRKDDFCRRIVTDELLALGYDASICKSRWEKSSTHPAGEYEYIDLVISGERLLIDIDFRSEFEIARSTKAYKSLLLSLPHIYVGKTDRLQKIISVVSNAARQSLKKKGMHIPPWRKVEYVKAKWLSPYTRAIPTLSSHHPEPRPQKDQILVPVGMNNFARSGDENNSVEDTESGDPVFALSSESSVEEENKTVVREWKPPEIKRKIFQTGVKIVTGLASVIEDNP >Manes.05G083100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6830598:6834390:1 gene:Manes.05G083100.v8.1 transcript:Manes.05G083100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQMKIQPIDSHSPEEPTRLEPGKPVVKSRLKRLFELQFRKNSVAEKAGVADESHFHDVTKGSTEFEPSSLCLSKMVQNFIEESNEKPSSAVVKCGRNRCHCFNVNCNDSSEDEFDGFSGLGDSNFVSSGEGIEILQGLVPCVSVTERNLLADTARIIDKNKISKRKDDFCRRIVTDELLALGYDASICKSRWEKSSTHPAGEYEYIDLVISGERLLIDIDFRSEFEIARSTKAYKSLLLSLPHIYVGKTDRLQKIISVVSNAARQSLKKKGMHIPPWRKVEYVKAKWLSPYTRAIPTLSSHHPEPRPQKDQILVPVGMNNFARSGDENNSVEDTESGDPVFALSSESSVEEENKTVVREWKPPEIKRKIFQTGVKIVTGLASVIEDNP >Manes.05G083100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6830609:6834390:1 gene:Manes.05G083100.v8.1 transcript:Manes.05G083100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQMKIQPIDSHSPEEPTRLEPGKPVVKSRLKRLFELQFRKNSVAEKAGVADESHFHDVTKGSTEFEPSSLCLSKMVQNFIEESNEKPSSAVVKCGRNRCHCFNVNCNDSSEDEFDGFSGLGDSNFVSSGEGIEILQGLVPCVSVTERNLLADTARIIDKNKISKRKDDFCRRIVTDELLALGYDASICKSRWEKSSTHPAGEYEYIDLVISGERLLIDIDFRSEFEIARSTKAYKSLLLSLPHIYVGKTDRLQKIISVVSNAARQSLKKKGMHIPPWRKVEYVKAKWLSPYTRAIPTLSSHHPEPRPQKDQILVPVGMNNFARSGDENNSVEDTESGDPVFALSSESSVEEENKTVVREWKPPEIKRKIFQTGVKIVTGLASVIEDNP >Manes.01G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6603742:6618902:-1 gene:Manes.01G034200.v8.1 transcript:Manes.01G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRGNSAFGQQPYGTQSSYAQNLGSAYSASSVGGPDGGSQLSLASRHSSMLNVSQEADVGGFRSSASHYGGQYGTLYGSASVTGAQQVSAISAKGTGSSALEGRGGGYPSGLSDSPKFASGGDYVPSSSHGYGHKIDQLYTEKIHDYPVIDRRQYGERQSAYIGRDMQTDPAARHADSVGFSHQRQAGMYERMDQASILRQEQLLKSQSLQSASLDGTTRQIEYLAARGAASRHPTQDLVSYGGRIDADPHSSSMLSSSSYSGQHAPSILGAAPRRNGDDLLYHQSSSNPGYGVSLPPGRDYGTGKVLHGASIDSDYRGGRLRIDEHRDDKAGYLREFELREEERHRELLREREKERERAKERERERERERERKRERERIFERREKERERERKRALEIRRERTPPRVSRDRRGSSLTKEGRPLRRDSPSHEASHRRHSPVKEKRREYVCKISASSLVDIERDYLSIDRRYPRLFISPELSKVVINWPKENLRLSIHTPLSFEHDFIEDEAVVESKEPPSNKPLSQQLEKSERGHTIWNAKIILMSGLSKNALEELSSEKSYDDRLPHICNILRFAILKRDRSFMAIGGPWDSADGGDPSIDDSVLVQTALRYARNATQIDLQNCRNWNRFLEIHYDRFRKDGFFSHKEITVLFVPDLSDCLPSFNTWRDQWLAHKKAVAEREHQLSLKRERSREKKEGKKDKGTDSPKDSKRTDNSKKNKESASSGPNNKEKDGKGKATAQKSDENNKNLEKKNGIVTGEEVKNVEKKEKGDTAGTHTTDSVKTGKKKIIRRIVKQKVANKKMDAESSVSKQNEALDAKDAGENNEKSEISVEQDSSKVKTFARKKVIKKVPVGKATQNEDKGLQPEVKAERDVDNGEDKPQDNSQTGSDAIVQGTSVKTAIKKKIIKRVLKRKLTGVGTGDRVSETKKDDKIVTQAGNAIENIAKEKTDAENQMNEKQTSEKKIIPKSKSPTVEKEASVPNSTKVGIKAVKEDKDDKEIDGKSASGAKIEGKDDKQKVAQRDNLDGKGGKGKDDEKSKDEKKEKDGKDESRSKSNKDVKEKRMHEEPPKHPGLILQTKGDKETKLRSLSLSLDSLLDYADNDIEESTFELSLFAESLYEMLQYQMGSRILTFLQKLRIKFVTKRNQRKRLWEEKEEKDKERKSSTKRQKTSEQPVKTKSADSALLGTDQSEDQKTKKREDTSVDKVNETKLEDETDEEDDPEEDPEECEEMEDEMEDAGDDLPEEKNQEEDEMSLDAVHEQVTGNGEEKAEDDAKETKNEEAKPKPDVDLSEKRDVKVETGNKEPTAVKEGVIDKELLQAFRFFDRNRAGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDHILYGKLVRMAGV >Manes.01G034200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6603742:6618902:-1 gene:Manes.01G034200.v8.1 transcript:Manes.01G034200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSRGNSAFGQQPYGTQSSYAQNLGSAYSASSVGGPDGGSQLSLASRHSSMLNVSQEADVGGFRSSASHYGGQYGTLYGSASVTGAQQVSAISAKGTGSSALEGRGGGYPSEKIHDYPVIDRRQYGERQSAYIGRDMQTDPAARHADSVGFSHQRQAGMYERMDQASILRQEQLLKSQSLQSASLDGTTRQIEYLAARGAASRHPTQDLVSYGGRIDADPHSSSMLSSSSYSGQHAPSILGAAPRRNGDDLLYHQSSSNPGYGVSLPPGRDYGTGKVLHGASIDSDYRGGRLRIDEHRDDKAGYLREFELREEERHRELLREREKERERAKERERERERERERKRERERIFERREKERERERKRALEIRRERTPPRVSRDRRGSSLTKEGRPLRRDSPSHEASHRRHSPVKEKRREYVCKISASSLVDIERDYLSIDRRYPRLFISPELSKVVINWPKENLRLSIHTPLSFEHDFIEDEAVVESKEPPSNKPLSQQLEKSERGHTIWNAKIILMSGLSKNALEELSSEKSYDDRLPHICNILRFAILKRDRSFMAIGGPWDSADGGDPSIDDSVLVQTALRYARNATQIDLQNCRNWNRFLEIHYDRFRKDGFFSHKEITVLFVPDLSDCLPSFNTWRDQWLAHKKAVAEREHQLSLKRERSREKKEGKKDKGTDSPKDSKRTDNSKKNKESASSGPNNKEKDGKGKATAQKSDENNKNLEKKNGIVTGEEVKNVEKKEKGDTAGTHTTDSVKTGKKKIIRRIVKQKVANKKMDAESSVSKQNEALDAKDAGENNEKSEISVEQDSSKVKTFARKKVIKKVPVGKATQNEDKGLQPEVKAERDVDNGEDKPQDNSQTGSDAIVQGTSVKTAIKKKIIKRVLKRKLTGVGTGDRVSETKKDDKIVTQAGNAIENIAKEKTDAENQMNEKQTSEKKIIPKSKSPTVEKEASVPNSTKVGIKAVKEDKDDKEIDGKSASGAKIEGKDDKQKVAQRDNLDGKGGKGKDDEKSKDEKKEKDGKDESRSKSNKDVKEKRMHEEPPKHPGLILQTKGDKETKLRSLSLSLDSLLDYADNDIEESTFELSLFAESLYEMLQYQMGSRILTFLQKLRIKFVTKRNQRKRLWEEKEEKDKERKSSTKRQKTSEQPVKTKSADSALLGTDQSEDQKTKKREDTSVDKVNETKLEDETDEEDDPEEDPEECEEMEDEMEDAGDDLPEEKNQEEDEMSLDAVHEQVTGNGEEKAEDDAKETKNEEAKPKPDVDLSEKRDVKVETGNKEPTAVKEGVIDKELLQAFRFFDRNRAGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDHILYGKLVRMAGV >Manes.05G124200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23013468:23016842:1 gene:Manes.05G124200.v8.1 transcript:Manes.05G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDNSNAIEMEDSKIPLLTPYKMGRFNLSHRIVLAPLTRMRSYNFTAQPHAILYYSQRTTKGGFLIGEASAISETAIGGPNIPGIWKREHVEAWKPIVDGVHQKGGIFFCQLWHAGRASNFCFQPNGQSPVSSTDKPITSKFRIDGTTASAFPAPRRLTTEEIPQIVQDHRIAARNAIEAGFDGVEIHGANGYLIDQFLKDKVNDRTDIYGGSLENRCRFALEVVKAVAEEIGADRVGIRLSPFADYNDCGDSNAEALGLYMAESLNKLGILYCHMIEPRMITQFEEQQTRHSLLPMRKAFKGTFIVAGGYTRDNGNEVITRGGADLVAFGRLFLANPDLPRRFELNAPLNKYDRSTFYTFDPVIGYTDYPFLDGIV >Manes.06G033836.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9282501:9283476:1 gene:Manes.06G033836.v8.1 transcript:Manes.06G033836.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVVGQDYGTGVLSSSKHGVKTDGFELRGQSWYVATDIPSDLLVQIGVVYFHLHKYPLLSRCGKMNRLIYESRDPDLSKIALDDLAGGAEGFELVAKFCYGIVVDLTIANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKL >Manes.13G105900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30807477:30812099:1 gene:Manes.13G105900.v8.1 transcript:Manes.13G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLFLLLHLQISVSFARVINEYQALLSLKSAIDDPQSALASWNNTNSLCSWHGVTCDSTGRHITSLDLSSLNLSGILSSDVAHLRYLQNLNLAANQLSGPIPPQLSAISGLRFLNLSNNVFNGSFPPQLSQLKNLQVLDLYNNNMTGDLPLAVTDMSNLRHLHLGGNFFSGSIPPEYGKLEFLEYLAISGNELVGRIPPEIGNLTNLKQLYIGYYNSYDGGLPPEIGNLSELVRFDAANCMLSGEIPKEIGKLQKLDTLFLQVNGLSGTLTEELGYLNSLKSLDLSNNVFTGEIPSSFAEFKNLTLLNLFRNKLYGAIPEFIGDLPQLEVLQLWENNFTGSIPQGLGKNGKLVLLDLSSNKLTGNLPPNLCSGNRLQTLITLSNFLLGPIPESLGQCESLNRIRMGENFLNGSIPKGLFGLPELSQVELQDNLLTGEFPVSDRIAVNLGQISLSNNRLSGSLPLTIGKFSGVQKLLLDGNKFSGPIPPEIGKLQQLSKMDFSSNKFSGSIAPEISQCKLLTFVDLSRNELSGAIPTEITGMRILNYLNLSRNHLVGSIPSSIASMQSLTSVDFSYNNLTGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKDGDANGTHQAHAKGPLSASLKLLLVIGLLVCSIAFAVAAIMKARSLKKASDSRAWKLTAFQRLDFTVDDILDCLKEDNIIGKGGAGIVYKGAMTNGDQVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSYGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKVLDPRLPSVPLHEVMHLFYVAIMCVEEQAIERPTMREVVQILTELPKPPSSKHGDSTMTDSPQSAATLDSPMASTKDQKDNQQPPLPQSPPPDLLSI >Manes.03G154800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28251067:28251825:-1 gene:Manes.03G154800.v8.1 transcript:Manes.03G154800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQPSLSIFIAVLIATVNMATYLAAINPTLVGEEPVLELYMHDILGGSNPTARPITGLLGNIYSGQVPFAKPVGFIPPDGAVAIPNANGAIPTVNGINGIPLGTGLAGTAFAGNPNGQNPNGQVQTQLGPDGLGLGFGTITVIDDILTTSPELGSQQLGKAQGVYIASSADGSTQMMAFTAIFEGGEFGDSLNFYGIYKIGSAMSHLSVTGGTGKYKNAVGIAELRGLIPPGQHAIDGAETLLRIIVHLKH >Manes.13G114200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31963894:31965298:1 gene:Manes.13G114200.v8.1 transcript:Manes.13G114200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVAEAHNVKILGSGDRVIVLAHGFGTDQSVWRYLVPHLEDYRVILYDNMGAGTTNPDFYDFSRYSTIEGFVYDLLAILEELQVSSCIFIGHSFSGMVGAIASISRPDLFSKLIMLSATPRLLNCEDYYGGFEREEIEEIFEGVRSNYKAWCSGFAPMVVGGDMESVAVQEFSRTLFNMRPDIALSLAQVIFLTDMRHVLSSVTVPCHILQSAKDMAVPLPVSEYLHQHLGCQSIIEIMPSSGHLPQLSSPDIVIPVILKHIHSVN >Manes.18G103400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9908108:9925636:1 gene:Manes.18G103400.v8.1 transcript:Manes.18G103400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYFRWFPDGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQLSPTKRTCFWKTKRVFFVTPQVLEKDIQAGTCLVKNLVCLVIDEAHRALGNYSYCVAVRELMSVPVQLRILALTATPGSKQQAIQNIISNLHISTLEYRNEDDPDVSPYVHNRKIELLEVALGKDTVDINKQLLEVIRPYVARLSAVGLLQNRDYKTFSPPDLLNSREKFRQAPPPELPQSKYGEIEAYFAGLITLYHIHKLLSSHGIRPAYEMLAEKLKQGSFARLMSKNEDIRKVKLSMQQSLSHGAPSPKLSKLLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNALANIGDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGKYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVIVLACEGSELKGYMRKQANGRTIRKHMRNGGINSFDFHSSPRMIPHFFKPEVQFVKLSIEQYIPRGKKVKDDSAIQTPVFRAKLNVAEAALIAKYFEPTSEQSWRPSLIAFPHFQAFPSRVHKVMHSYRTDMLIDTMQYLQNLSFSRESRALFTEGEIASAGKCMGIGTVEEEDNDKDPPTWDESPTTKSQKKVVDSDVSSPRSLRTKEHNMLDLQGQSPAAHSYLFGSEFVSVDTLGKVIISSVPVFPLKEAPQTNCKSTSTTVLLNCLKPIACHLKNPGKNYKEQAMQGKSSPSIIPSWAQCETNVALVITKSDLQPEKTLDQVEKIPETPVLKKIILNGDCVAESLGCLQIKLPSLQAVENDNNELSPRLSNMIQSGFVPESPINDIGLLNGKGRNEFSVSDVSPMKLCAEPLSKSQSPSKNDIAISSSSCKRDGSISSFNHEFQTPILKENIARRDGCTSISPLAEETNTPVVNLTKNSISKDWIPSSADKSENVEPVRKFKRLRKIGDVDRNRNPEGNKEKSLAPITNLDRSFSYLSPNQIKDHKGKRKLGGKVRTFIEEEAEVSSEAEMSDDEEDDPGNSSYDDSFIDDRTSPTAASTQAETSRVDMMAVYRRSLLTQSPMERESNSYITITPDGGTSMSRMNESKSSSVKASCSFQTPVPDSANNPARRDADSFPYSDRMSAAIPCITTHSMRENERELESKKRKLSFCHPGSIPVINLEQKFSQQSDSDRNEPFQQGPAENFDDSEVLHDDLFFANLDLDAVEAQATMLLKHRSELSLQKQDTIPNSNAQNFELQSSPSFDLGIW >Manes.18G103400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9908108:9925636:1 gene:Manes.18G103400.v8.1 transcript:Manes.18G103400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSPLHIADDDDDEFDWEAAVKEIDVACESANTLTSLPNNPSSSRFGPLQNNCNYVSSSNNVLKKPWAYKQSTLDSFIGKGVGLENPAENPVVDDLVQQVAVEGEVERVSYVAIDAEAAKTWIYPVNVPLREYQLAITKTALFSNTLVALPTGLGKTLIAAVVMYNYFRWFPDGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQLSPTKRTCFWKTKRVFFVTPQVLEKDIQAGTCLVKNLVCLVIDEAHRALGNYSYCVAVRELMSVPVQLRILALTATPGSKQQAIQNIISNLHISTLEYRNEDDPDVSPYVHNRKIELLEVALGKDTVDINKQLLEVIRPYVARLSAVGLLQNRDYKTFSPPDLLNSREKFRQAPPPELPQSKYGEIEAYFAGLITLYHIHKLLSSHGIRPAYEMLAEKLKQGSFARLMSKNEDIRKVKLSMQQSLSHGAPSPKLSKLLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNALANIGDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGKYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRVIVLACEGSELKGYMRKQANGRTIRKHMRNGGINSFDFHSSPRMIPHFFKPEVQFVKLSIEQYIPRGKKVKDDSAIQTPVFRAKLNVAEAALIAKYFEPTSEQSWRPSLIAFPHFQAFPSRVHKVMHSYRTDMLIDTMQYLQNLSFSRESRALFTEGEIASAGKCMGIGTVEEEDNDKDPPTWDESPTTKSQKKVVDSDVSSPRSLRTKEHNMLDLQGQSPAAHSYLFGSEFVSVDTLGKVIISSVPVFPLKEAPQTNCKSTSTTVLLNCLKPIACHLKNPGKNYKEQAMQGKSSPSIIPSWAQCETNVALVITKSDLQPEKTLDQVEKIPETPVLKKIILNGDCVAESLGCLQIKLPSLQAVENDNNELSPRLSNMIQSGFVPESPINDIGLLNGKGRNEFSVSDVSPMKLCAEPLSKSQSPSKNDIAISSSSCKRDGSISSFNHEFQTPILKENIARRDGCTSISPLAEETNTPVVNLTKNSISKDWIPSSADKSENVEPVRKFKRLRKIGDVDRNRNPEGNKEKSLAPITNLDRSFSYLSPNQIKDHKGKRKLGGKVRTFIEEEAEVSSEAEMSDDEEDDPGNSSYDDSFIDDRTSPTAASTQAETSRVDMMAVYRRSLLTQSPMERESNSYITITPDGGTSMSRMNESKSSSVKASCSFQTPVPDSANNPARRDADSFPYSDRMSAAIPCITTHSMRENERELESKKRKLSFCHPGSIPVINLEQKFSQQSDSDRNEPFQQGPAENFDDSEVLHDDLFFANLDLDAVEAQATMLLKHRSELSLQKQDTIPNSNAQNFELQSSPSFDLGIW >Manes.02G009400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1035291:1040273:-1 gene:Manes.02G009400.v8.1 transcript:Manes.02G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPLSREEIANTEKKLDMPLDDIIKMSKNTTKVKKQQRPPNKSQKVFNSAAQQKSVKVQHYMDSRPFVRQGALAQRRSNFQGNQFPVTTEVARKAAVAPFRGRPFIRNGLANPDKARTGAFIVQRRAVNGGFAAKSSQQQHHHHHQQQQQGDAGAKPRTQTLDSRFANMKEERMRVFSSRNNAVQRNVSGIRPRVPWARGRFGN >Manes.15G063400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:4807570:4811514:1 gene:Manes.15G063400.v8.1 transcript:Manes.15G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKDREMSLISWSDLPDDLLFCIGKRLEDYYDIVRFRAVCHSWRCSIAAPRKFPALPLHFHLLTHDPNRPIAAYNVFRRTVFRLELPAETQSSQPPFNNVWLIKVEEERDEGNVRLINPFSSFPMEMSLDSFPNELNLLNFRLKQISTCFSIERFDPFESSDVDGEDDEDEDREDDEDEGDTEEPTPLKVVFSTRSRPNDVNSRGAFAIIGGEMYSLRISDELWNLDSSDTNYKRNVFTVISRGLGSRNNGSSLNPTHLVLPPRSFSGERFFVESCGDLFLVSRDFTVCSDLVSYDDVRQCFIKQKGVTEIPIKFEVLKMNMVSSIPMVLRWEAVSDLGDRIFCLSRDCSYSIAAEDLPGCKGNCIYFVDEVLDHEEEEEQEEEEEEEEEEDDGGDDDEVVEDDEIFFYNLEDGSAGPLSAFPGLPNIFWPPAMFGSNPSSSGE >Manes.01G141701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33303119:33304954:1 gene:Manes.01G141701.v8.1 transcript:Manes.01G141701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAQVLHMNEGEGETSYYKNSSHLKKVILTTKPILEECITKLCEEGFPKCLKMVEMGCSSGATALLPLQEIIEIIYSIACRLKQKPPILQVFLNDLPGNDFNTIFRSLIPKFHEKLEKEKGNKFGTCFIGAMAGSFYGRLFPPQSLHFVHSSYGLHWISQVPEGLVSEYGIPLNKYNICVAETSPPSCRSEEMASGGAMVLNVLAKSNKIPYCKYGSEICQLIGTVLNEMVQEGLIEEKLVASFNIPVYAPSAEEVRSIIERENSFVIARLEEFQLSWDANIEETDKEKVFDKWEKGEYVASYIRAATEPMLVAHFGHAIIPHLFRRYSIKSSDYLEKGMAFLNTLAISLQFKC >Manes.17G014950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7256997:7260508:-1 gene:Manes.17G014950.v8.1 transcript:Manes.17G014950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEKSLYMFIILSSVCFFNNNCAASVTELSFNAKHEAANGGTEANSLLKWKASLDNHSQSVLSSWVGSKPCNWVGITCDNSGSITSLSLANLGLRGTLDSFNFSSFANLLRLQLSNNSLHGSLPSHVCNLSKIRSLDLSNNYLTGNIPPEIGFLTNLNSLSLSHNLFNGHIPSAFGMLRSLSELYLCENNLSSSIPTSVTNLGNLSTLCLRGNKLSGSLPSEIGLLISLNYLDLSSNSLTGRIPTSIGNLSKLSYLDFSKNQLSGPIPGEVSQMRKLSTLWLFYNQLSGPIPSVLGNMTMLADLALYGNNLSGRVPPELGQLKSLQVLNLFFNQLNGSLPPEINNLTHLMSLRLSGNQFTGPLPDNVCLGGLLKNFTAAKNYFSGSIPKTLRNCTSLYRIRLEENQLTGNVSEDFGIYPHLYYMDLSNNRLLGELSWKLGKWTNITCLKLSNNYISGSIPFELGNATLLSVIDLSWNHLQGKIPNELGKLKLLLSLRLNNNKLFGAVPSDVKLLFNLNELNLAANNLSGSIPQLSELSSLMILNLSRNEFTGSIPFEIGNLHFLQVLDLSHNLLIQQIPLQLGHLRTLEVLNLSHNMLSGSIPGNFDDLLSLTAVDISYNELEGPLPDVKTFIESSFDAYRNNKGLCSNAIVTASGLKPCKFIKSSKTTQTKRNQVVILILLPLLATLSLVFIWIGCFLILHRTRTRKAQPIEQNCEKIMVIPDHDMEIQYENIIRATEDFNSKYFIGAGGYGVVYKAVMPSGRVFAVKKLHPLQDSSNLKAFEREIEVLTEIRHRNIVKLYGFCSCSKHAFLVYELVERGSLRMILSMEEEAVELDWMKRLNIVKGVANALSYMHHNCPFPIIHRDISSNNVLLDSEYEARLSDFGTARLLMPHPSNWTSFAGTFGYIAPVFFFRVGLHDGSE >Manes.17G014950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7256949:7260760:-1 gene:Manes.17G014950.v8.1 transcript:Manes.17G014950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEKSLYMFIILSSVCFFNNNCAASVTELSFNAKHEAANGGTEANSLLKWKASLDNHSQSVLSSWVGSKPCNWVGITCDNSGSITSLSLANLGLRGTLDSFNFSSFANLLRLQLSNNSLHGSLPSHVCNLSKIRSLDLSNNYLTGNIPPEIGFLTNLNSLSLSHNLFNGHIPSAFGMLRSLSELYLCENNLSSSIPTSVTNLGNLSTLCLRGNKLSGSLPSEIGLLISLNYLDLSSNSLTGRIPTSIGNLSKLSYLDFSKNQLSGPIPGEVSQMRKLSTLWLFYNQLSGPIPSVLGNMTMLADLALYGNNLSGRVPPELGQLKSLQVLNLFFNQLNGSLPPEINNLTHLMSLRLSGNQFTGPLPDNVCLGGLLKNFTAAKNYFSGSIPKTLRNCTSLYRIRLEENQLTGNVSEDFGIYPHLYYMDLSNNRLLGELSWKLGKWTNITCLKLSNNYISGSIPFELGNATLLSVIDLSWNHLQGKIPNELGKLKLLLSLRLNNNKLFGAVPSDVKLLFNLNELNLAANNLSGSIPQLSELSSLMILNLSRNEFTGSIPFEIGNLHFLQVLDLSHNLLIQQIPLQLGHLRTLEVLNLSHNMLSGSIPGNFDDLLSLTAVDISYNELEGPLPDVKTFIESSFDAYRNNKGLCSNAIVTASGLKPCKFIKSSKTTQTKRNQVVILILLPLLATLSLVFIWIGCFLILHRTRTRKAQPIEQNCEKIMVIPDHDMEIQYENIIRATEDFNSKYFIGAGGYGVVYKAVMPSGRVFAVKKLHPLQDSSNLKAFEREIEVLTEIRHRNIVKLYGFCSCSKHAFLVYELVERGSLRMILSMEEEAVELDWMKRLNIVKGVANALSYMHHNCPFPIIHRDISSNNVLLDSEYEARLSDFGTARLLMPHPSNWTSFAGTFGYIAPELAYTMEVNEKCDVYSFGVVTLEIIMGKHPGNLLSSLWASPVYQQILLKDLVDPRLPYLGNQVAEGVVYVTALALSCLHTNPQSRPTMQQISSKLIAKYPPISKPFSMIKLHELVFKSMSKNEYFDCIQ >Manes.17G014950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7256848:7260492:-1 gene:Manes.17G014950.v8.1 transcript:Manes.17G014950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEKSLYMFIILSSVCFFNNNCAASVTELSFNAKHEAANGGTEANSLLKWKASLDNHSQSVLSSWVGSKPCNWVGITCDNSGSITSLSLANLGLRGTLDSFNFSSFANLLRLQLSNNSLHGSLPSHVCNLSKIRSLDLSNNYLTGNIPPEIGFLTNLNSLSLSHNLFNGHIPSAFGMLRSLSELYLCENNLSSSIPTSVTNLGNLSTLCLRGNKLSGSLPSEIGLLISLNYLDLSSNSLTGRIPTSIGNLSKLSYLDFSKNQLSGPIPGEVSQMRKLSTLWLFYNQLSGPIPSVLGNMTMLADLALYGNNLSGRVPPELGQLKSLQVLNLFFNQLNGSLPPEINNLTHLMSLRLSGNQFTGPLPDNVCLGGLLKNFTAAKNYFSGSIPKTLRNCTSLYRIRLEENQLTGNVSEDFGIYPHLYYMDLSNNRLLGELSWKLGKWTNITCLKLSNNYISGSIPFELGNATLLSVIDLSWNHLQGKIPNELGKLKLLLSLRLNNNKLFGAVPSDVKLLFNLNELNLAANNLSGSIPQLSELSSLMILNLSRNEFTGSIPFEIGNLHFLQVLDLSHNLLIQQIPLQLGHLRTLEVLNLSHNMLSGSIPGNFDDLLSLTAVDISYNELEGPLPDVKTFIESSFDAYRNNKGLCSNAIVTASGLKPCKFIKSSKTTQTKRNQVVILILLPLLATLSLVFIWIGCFLILHRTRTRKAQPIEQNCEKIMVIPDHDMEIQYENIIRATEDFNSKYFIGAGGYGVVYKAVMPSGRVFAVKKLHPLQDSSNLKAFEREIEVLTEIRHRNIVKLYGFCSCSKHAFLVYELVERGSLRMILSMEEEAVELDWMKRLNIVKGVANALSYMHHNCPFPIIHRDISSNNVLLDSEYEARLSDFGTARLLMPHPSNWTSFAGTFGYIAPELAYTMEVNEKCDVYSFGVVTLEIIMGKHPGNLLSSLWASPVYQQILLKDLVDPRLPYLGNQVAEVVNSTPC >Manes.02G168400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13244276:13248641:1 gene:Manes.02G168400.v8.1 transcript:Manes.02G168400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSATLHLFSPPPFTIKPLSLITTNATTIPFFSSSKFKPISYHFPKPFKTPTTFIPKADDGDADEVGPDDYDMDEEEVEEVDNKKDYDLDYDPSVAAAANAGGDEVIAMVDSKNFVSTQGWDSEKIVDYRIDEEEFHKLSLMDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYAIPRVLAPMPQKYIRCAMSDYGRYNVTEPPIDAPRGPMYKSEREILKIFLTKHYRNRRLGDPEFVLDFEEIYVIDSKTKSITRARVMVTVPGGRNRDRKSDLLVIRDNGTSFKIVHASEKDDPTTVIEREEWTKTRQDMEKHLRKLRDFHVSNWF >Manes.15G190700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28246161:28248827:-1 gene:Manes.15G190700.v8.1 transcript:Manes.15G190700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHTPHFNTDHHLSRCSSDLSFSGEFGAHRDSSASNCLSEVDLESGVLEGKLHLDGKTRRDCRICHLGLESNGPENGAAIDLGCSCKGDLGFTSLFRNRE >Manes.09G171100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36227637:36229104:1 gene:Manes.09G171100.v8.1 transcript:Manes.09G171100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKSKFESAREWVTENKLRTVGSLWLSGIAGSIAYNWSKPNMKTSVRIIHARLHAQALTLAALAGAAVVEYYDHKAGAKADKYAGYIPYKDFK >Manes.05G066600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5545312:5547135:1 gene:Manes.05G066600.v8.1 transcript:Manes.05G066600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSFSGLGNALPFSRIFRQLEQEMETVIKVLQPGPLGIVEHKFSSEELKEANATVHKAVENWRRNANLEHRNDILKDFIENKPHFFHAY >Manes.05G066600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5545225:5547145:1 gene:Manes.05G066600.v8.1 transcript:Manes.05G066600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSFSGLGNALPFSRIFRQLEQEMETVIKVLQPGPLGIVEHKFSSEELKEANATVHKAVENWRRNANLEHRNDILKDFIESE >Manes.05G066600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5545225:5547145:1 gene:Manes.05G066600.v8.1 transcript:Manes.05G066600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSFSGLGNALPFSRIFRQLEQEMETVIKVLQPGPLGIVEHKFSSEELKEANATVHKAVENWRRNANLEHRNDILKDFIESE >Manes.13G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36634290:36641082:1 gene:Manes.13G151400.v8.1 transcript:Manes.13G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISLFKRASQTFHGCSSYSKFLILFTTVSSGGIVAYSESQSEINTPPAEVNEHKYKKKRIVVLGTGWAGVSFLKNLDVSSYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIAEPVRNIIKKRNGEIKFFEAECIKIDAANNKVFCKSNFENSVVGTGEFSLEYDYLVIAVGAQVNTFNTPGVVENCHFLKDLEDAQKLRRSVIDCFEKAVLPNLTEEERRINLHFVVVGGGPTGVEFAAELHDFIQEDMVNIYPMVKDLLKITVVQSGDHILNMFDERISSFAEQKFQRDGIEVQTGCRVLSVSDKEITMKFKSKGEVCSIPHGLVLWSTGINTRPVVTDFMEQIGQANRRVLATDEWLRIKGCENVYALGDCATIDQRKIMEDIGAIFKAADKDNSGTLTVQEFRDIIDDILIRYPQVGLYLRNQHLIDVADLLKDPEGNDRKEVDIEGFKLALSHVDSLTKSLPATAQVAAQQGTYLSRCFNHMERCKDNPEGPRRFRGSGRHQFRPFEYKHFGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFVFGRDSSRI >Manes.03G002600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:302970:304012:-1 gene:Manes.03G002600.v8.1 transcript:Manes.03G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWVFNSNGVITTQNASKRKALVHLPTGQVVSSYSSLEQILRELGWERYYEGESDLYQFHKHSSIDLISLPRDFSRFSSVYMYDIVIKNPNIFHVRDV >Manes.08G172100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40588104:40593392:-1 gene:Manes.08G172100.v8.1 transcript:Manes.08G172100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVIGKAAAMHTAMNSVQALGRGFDVNFDTRLLYCKGLPGSRVVQIDEEHTRNLYLYDDIELPSISRDINRSHGSKSRQSSGVCTFYEMVEYYNEKANISGVFPLGSFNSAFSFTGSQHIDAAATKSLSVDGFYLPLAKLQLMNSPLLLQENVKQAVPNCWDPSSLASFIENFGTHVITSVTIGGKDMIYVKQHQSSPLSTMEIKNYVQDIGNQRFFDTEDHTSSGPMKTKDKGGDSGIFNSQGIYPQPTSAPYLTGKEDVTVIFRRRGGDDLEQNHSCWENTVKGSPVVIEMNFIPITDLINGAPGKEHLTRAIGLYLEYKPPIEELRYFLEFQIPRIWAPVQENVPGHQRKEPVCPSLQFSMMGPNLYVSQEQVLVGRKPVTGMQLSLEGAKHNRLSIHIQHVMSCPKILLPYWDTHVPIGASKWQGPEEQDSRWFEPVKWKKFSHVSTVPIENPESFIGDLSGVYIVTGAQLGVWDFGSRKVLYMKLLYSRLPGCTIRRSLWDHVPNDKAKKVRAVNNTSSGDSSSGSIENTVGKKLAKFVDMSEMSKGPQDPPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >Manes.08G172100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40588104:40593392:-1 gene:Manes.08G172100.v8.1 transcript:Manes.08G172100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILEISICTMILNCPVFPEISTGLMVLRVARVLVFALSMRWWSTIMKRLIYLEFFPLENVKQAVPNCWDPSSLASFIENFGTHVITSVTIGGKDMIYVKQHQSSPLSTMEIKNYVQDIGNQRFFDTEDHTSSGPMKTKDKGGDSGIFNSQGIYPQPTSAPYLTGKEDVTVIFRRRGGDDLEQNHSCWENTVKGSPVVIEMNFIPITDLINGAPGKEHLTRAIGLYLEYKPPIEELRYFLEFQIPRIWAPVQENVPGHQRKEPVCPSLQFSMMGPNLYVSQEQVLVGRKPVTGMQLSLEGAKHNRLSIHIQHVMSCPKILLPYWDTHVPIGASKWQGPEEQDSRWFEPVKWKKFSHVSTVPIENPESFIGDLSGVYIVTGAQLGVWDFGSRKVLYMKLLYSRLPGCTIRRSLWDHVPNDKAKKVRAVNNTSSGDSSSGSIENTVGKKLAKFVDMSEMSKGPQDPPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >Manes.09G030700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6326751:6329660:-1 gene:Manes.09G030700.v8.1 transcript:Manes.09G030700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDLVFWLLSLLANFGLLAMVFHELLCLTDLEADQMNPFEATASINNWILPEFVLQGVICILFLLTGHWVMFLMAAPLTLYHVMLFMKREHLIDVTEVFRNLNSQKKFRLIKLGIYLIFFSILMFRAQ >Manes.09G030700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6320006:6329850:-1 gene:Manes.09G030700.v8.1 transcript:Manes.09G030700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDLVFWLLSLLANFGLLAMVFHELLCLTDLEADQMNPFEATASINNWILPEFVLQGVICILFLLTGHWVMFLMAAPLTLYHVMLFMKREHLIDVTEVFRNLNSQKKFRLIKLGIYLIFFSILMFRITLEAFYLLSSEDYE >Manes.09G030700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6325510:6329793:-1 gene:Manes.09G030700.v8.1 transcript:Manes.09G030700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDLVFWLLSLLANFGLLAMVFHELLCLTDLEADQMNPFEATASINNWILPEFVLQGVICILFLLTGHWVMFLMAAPLTLYHVMLFMKREHLIDVTEVFRNLNSQKKFRLIKLGIYLIFFSILMFRIIAGSLSNYSSKDLDFRSSFLAL >Manes.09G030700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6323877:6329660:-1 gene:Manes.09G030700.v8.1 transcript:Manes.09G030700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDLVFWLLSLLANFGLLAMVFHELLCLTDLEADQMNPFEATASINNWILPEFVLQGVICILFLLTGHWVMFLMAAPLTLYHVMLFMKREHLIDVTEVFRNLNSQKKFRLIKLGIYLIFFSILMFSRILIQGLLLTNWYQSFFSSGLELQMANLGRIHGRIRFFSTLLTFSLLSLQILLSSFLKFLSFLPSIPSFFLF >Manes.02G115100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8858559:8863248:1 gene:Manes.02G115100.v8.1 transcript:Manes.02G115100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLWIVALMIFSNGVGVSGLNKRPEFVNIGATLAFNSTIGKVARIAIQAAVDDVNSDPSILGGTKLRMKMQDTNYSGFMGIVEALRLLETDTVAIVGPQSSVVAHVVSFIATELQVPLLSYSATDPTLSSLQFPFFVRTSQNDLYQMTAVAEIVHYYGWREVIAIYGDDDHGRNGIAALGDKLAERRCKISYKAPLRPGATRDEITEALVQVALTESRILVVHTLSSWAPVVFSVAQYLGMMRTGYVWIATNWLSTLWDTFSPLPEDTVHTIQGVITLRMHTPDSELKSKFVSRWSNLTSGINANGPIGLSTYGLYAYDTVWLLARAIGAFFDQGGNISFSNNSKLTDLRDGDLHLDAMSIFNGGRMLLEKILQVNMMGVTGQVKFNPDGNLIQPAYEIVNLIGTGYRKIGYWSTHSGLSVVPPEKFYSMPPNLSSSNQKLYPVIWPGETVQKPRGWVFPNNGRHLKIGVPNRTSYREFVSQVAGTEIFSGYCIDVFTAAANLLPYAVPYKFEPFGDGMTNPSETELVRLITAGVFDAAVGDIAITTNRTRMADFTQPYIESGLVVVAPVKKMNSGALAFLRPFTREMWGVTACFFIIVGVVVWILEHRLNDDFRGPPRRQCFTVLWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIEYLRSMKEPIGYQQASFARDYLIDELGIDESRLVPLVTPEDYAKALKDGPRKGGVAAVIDERAYVELFLSTSCEFSIVGQEFTRNGWGFAFPRDSPLAVDMSTAILQLSENGDLQRIHDKWLMRSACSSAGTKLEVDRLQLRSFWGLFTISGLTCLLALCLYFLKMVRQFSRHYSEELSSSGQISTSARLQTFLSFVDEKEEEVKHRSKRRRQLEVVSNRSEDKSMYNSSSKRRSVESSSNRGIECGSEV >Manes.02G115100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8858567:8863383:1 gene:Manes.02G115100.v8.1 transcript:Manes.02G115100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSVLFYLGSCRACSPQLLSLCSCICKVTMRLLWIVALMIFSNGVGVSGLNKRPEFVNIGATLAFNSTIGKVARIAIQAAVDDVNSDPSILGGTKLRMKMQDTNYSGFMGIVEALRLLETDTVAIVGPQSSVVAHVVSFIATELQVPLLSYSATDPTLSSLQFPFFVRTSQNDLYQMTAVAEIVHYYGWREVIAIYGDDDHGRNGIAALGDKLAERRCKISYKAPLRPGATRDEITEALVQVALTESRILVVHTLSSWAPVVFSVAQYLGMMRTGYVWIATNWLSTLWDTFSPLPEDTVHTIQGVITLRMHTPDSELKSKFVSRWSNLTSGINANGPIGLSTYGLYAYDTVWLLARAIGAFFDQGGNISFSNNSKLTDLRDGDLHLDAMSIFNGGRMLLEKILQVNMMGVTGQVKFNPDGNLIQPAYEIVNLIGTGYRKIGYWSTHSGLSVVPPEKFYSMPPNLSSSNQKLYPVIWPGETVQKPRGWVFPNNGRHLKIGVPNRTSYREFVSQVAGTEIFSGYCIDVFTAAANLLPYAVPYKFEPFGDGMTNPSETELVRLITAGVFDAAVGDIAITTNRTRMADFTQPYIESGLVVVAPVKKMNSGALAFLRPFTREMWGVTACFFIIVGVVVWILEHRLNDDFRGPPRRQCFTVLWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIEYLRSMKEPIGYQQASFARDYLIDELGIDESRLVPLVTPEDYAKALKDGPRKGGVAAVIDERAYVELFLSTSCEFSIVGQEFTRNGWGFAFPRDSPLAVDMSTAILQLSENGDLQRIHDKWLMRSACSSAGTKLEVDRLQLRSFWGLFTISGLTCLLALCLYFLKMVRQFSRHYSEELSSSGQISTSARLQTFLSFVDEKEEEVKHRSKRRRQLEVVSNRSEDKSMYNSSSKRRSVESSSNRGIECGSEV >Manes.02G115100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8858532:8863383:1 gene:Manes.02G115100.v8.1 transcript:Manes.02G115100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLWIVALMIFSNGVGVSGLNKRPEFVNIGATLAFNSTIGKVARIAIQAAVDDVNSDPSILGGTKLRMKMQDTNYSGFMGIVEALRLLETDTVAIVGPQSSVVAHVVSFIATELQVPLLSYSATDPTLSSLQFPFFVRTSQNDLYQMTAVAEIVHYYGWREVIAIYGDDDHGRNGIAALGDKLAERRCKISYKAPLRPGATRDEITEALVQVALTESRILVVHTLSSWAPVVFSVAQYLGMMRTGYVWIATNWLSTLWDTFSPLPEDTVHTIQGVITLRMHTPDSELKSKFVSRWSNLTSGINANGPIGLSTYGLYAYDTVWLLARAIGAFFDQGGNISFSNNSKLTDLRDGDLHLDAMSIFNGGRMLLEKILQVNMMGVTGQVKFNPDGNLIQPAYEIVNLIGTGYRKIGYWSTHSGLSVVPPEKFYSMPPNLSSSNQKLYPVIWPGETVQKPRGWVFPNNGRHLKIGVPNRTSYREFVSQVAGTEIFSGYCIDVFTAAANLLPYAVPYKFEPFGDGMTNPSETELVRLITAGVFDAAVGDIAITTNRTRMADFTQPYIESGLVVVAPVKKMNSGALAFLRPFTREMWGVTACFFIIVGVVVWILEHRLNDDFRGPPRRQCFTVLWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIEYLRSMKEPIGYQQASFARDYLIDELGIDESRLVPLVTPEDYAKALKDGPRKGGVAAVIDERAYVELFLSTSCEFSIVGQEFTRNGWGFAFPRDSPLAVDMSTAILQLSENGDLQRIHDKWLMRSACSSAGTKLEVDRLQLRSFWGLFTISGLTCLLALCLYFLKMVRQFSRHYSEELSSSGQISTSARLQTFLSFVDEKEEEVKHRSKRRRQLEVVSNRSEDKSMYNSSSKRRSVESSSNRGIECGSEV >Manes.02G115100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8858532:8863383:1 gene:Manes.02G115100.v8.1 transcript:Manes.02G115100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLWIVALMIFSNGVGVSGLNKRPEFVNIGATLAFNSTIGKVARIAIQAAVDDVNSDPSILGGTKLRMKMQDTNYSGFMGIVEALRLLETDTVAIVGPQSSVVAHVVSFIATELQVPLLSYSATDPTLSSLQFPFFVRTSQNDLYQMTAVAEIVHYYGWREVIAIYGDDDHGRNGIAALGDKLAERRCKISYKAPLRPGATRDEITEALVQVALTESRILVVHTLSSWAPVVFSVAQYLGMMRTGYVWIATNWLSTLWDTFSPLPEDTVHTIQGVITLRMHTPDSELKSKFVSRWSNLTSGINANGPIGLSTYGLYAYDTVWLLARAIGAFFDQGGNISFSNNSKLTDLRDGDLHLDAMSIFNGGRMLLEKILQVNMMGVTGQVKFNPDGNLIQPAYEIVNLIGTGYRKIGYWSTHSGLSVVPPEKFYSMPPNLSSSNQKLYPVIWPGETVQKPRGWVFPNNGRHLKIGVPNRTSYREFVSQVAGTEIFSGYCIDVFTAAANLLPYAVPYKFEPFGDGMTNPSETELVRLITAGVFDAAVGDIAITTNRTRMADFTQPYIESGLVVVAPVKKMNSGALAFLRPFTREMWGVTACFFIIVGVVVWILEHRLNDDFRGPPRRQCFTVLWFSFSTWFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIEYLRSMKEPIGYQQASFARDYLIDELGIDESRLVPLVTPEDYAKALKDGPRKGGVAAVIDERAYVELFLSTSCEFSIVGQEFTRNGWGFAFPRDSPLAVDMSTAILQLSENGDLQRIHDKWLMRSACSSAGTKLEVDRLQLRSFWGLFTISGLTCLLALCLYFLKMVRQFSRHYSEELSSSGQISTSARLQTFLSFVDEKEEEVKHRSKRRRQLEVVSNRSEDKSMYNSSSKRRSVESSSNRGIECGSEV >Manes.02G048520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3952143:3953759:-1 gene:Manes.02G048520.v8.1 transcript:Manes.02G048520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYTRNEDNVYEFVEHNPTPYKGGYDIALTYGRPLPPSEETCYPHSSSANDIDYDRPHFTSYAEPSAYADDHLQEEYTSYVRPKPRPGPAAGGKVFVDAKPKPAFGFQPGKIRPGSDYGSGGYGGRPEYKKPASQEYGSGYGGRTDYERPSYGDDPPRRPSYGRQEEEYERPTYERRDDDDDESRNKYGYGGEEGYSRKKYGDDESTDSSDDDSDDERKKHRSNKRHHCKKKYND >Manes.01G169800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35212312:35214879:-1 gene:Manes.01G169800.v8.1 transcript:Manes.01G169800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSPSQLRFTIHRASKSAKQLLYLALMAHNHSFAGEAEQDYISNGYQSFIDCEKPPNLVDFDLVAQMLRNGSFSNPYFINKVVSFCAKSAYKDLGIQVHCTIIKMSFASNVYVSSAVVDMYAKCGEIRCAKKMFDEMPHRNEVTWNSLISGYLNVSCPQMAVDLFVEMLREIRAVTPFSVSACLVGCSHLEPRELGAQVHGLSVKAGFGYNVVVGTALIDMYSKCGNVNDSRRVFDHMVDKNVVTWTCLVTAYAHNEQPDEAIMLFIEMTRLGLEPNYVTYNSLLSSFSRPKHLDYCKQLHSCIIRHGLESNVYIAATLLTVYSKCSNNVEDFKKAGISVDFYTFTSMLGAIGNISALEEGRKIHGLIVKMGFNQNLFVQNGLVSMYATCGAINDSKRVFWLMEERDVISWNALLTGCAHHGCGEETIKLFEQLRRTKIKPDSTTFLAVLSACSHAGFVDQGLEYFDLMRCHVSVESHSVEHYASVVDIFGRAGYLNEAEAVINSMPIDPGPSVYKALLSACLVHGNREIAARSAKKLLQLWPNDPATYILLSNALTTGGCWDNAADVRKLMFDRGVRKKPGYSWI >Manes.01G169800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35212312:35214879:-1 gene:Manes.01G169800.v8.1 transcript:Manes.01G169800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSPSQLRFTIHRASKSAKQLLYLALMAHNHSFAGEAEQDYISNGYQSFIDCEKPPNLVDFDLVAQMLRNGSFSNPYFINKVVSFCAKSAYKDLGIQVHCTIIKMSFASNVYVSSAVVDMYAKCGEIRCAKKMFDEMPHRNEVTWNSLISGYLNVSCPQMAVDLFVEMLREIRAVTPFSVSACLVGCSHLEPRELGAQVHGLSVKAGFGYNVVVGTALIDMYSKCGNVNDSRRVFDHMVDKNVVTWTCLVTAYAHNEQPDEAIMLFIEMTRLGLEPNYVTYNSLLSSFSRPKHLDYCKQLHSCIIRHGLESNVYIAATLLTVYSKCSNNVEDFKKVCSGVTSNDHISWNALIAGLSNLGYSEDALNSFFEMRQAGISVDFYTFTSMLGAIGNISALEEGRKIHGLIVKMGFNQNLFVQNGLVSMYATCGAINDSKRVFWLMEERDVISWNALLTGCAHHGCGEETIKLFEQLRRTKIKPDSTTFLAVLSACSHAGFVDQGLEYFDLMRCHVSVESHSVEHYASVVDIFGRAGYLNEAEAVINSMPIDPGPSVYKALLSACLVHGNREIAARSAKKLLQLWPNDPATYILLSNALTTGGCWDNAADVRKLMFDRGVRKKPGYSWI >Manes.12G090400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13622433:13626681:-1 gene:Manes.12G090400.v8.1 transcript:Manes.12G090400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLSLLFLSFPFPTLSLNQEGLFLQRVKLGLSDPTHALSNWNERDQTPCNWYGITCDSVTQRVHSVDLSESFLSGPFPTFLCHLPSLTFISLNNNSINSSLPVDFSLCQNLESLDVGQNLLVGTIPESLAHLPNLRYLNLAGNNLTGEIPVKFGEFRRLETLLLAGNFLNGTIPGQLGNISTLEALFIAYNPFTPSPLPTQLANLTNLKELWLSDCKLIGPIPTSLSRLSRLENLDLSQNRLTGSIPISLNELKSIVQIELFNNSLSGTLPVRFANLTNLRRFDASMNELTGTIPIELCQLELESLNLYENRLEGTLPESIANSPNLFELKLFNNKLTGQLPSKLGQKSPLKSLDVSYNGFSGEIPENLCAKGVLEDLILIYNSFSGKIPESLGKCHSLGRARLRNNQLSGTVPEDFWGLPRVYLVELVGNSLSGQVSKRISSAYNLSILLISDNKFSGHMPMEIGFLGNLIEISASNNMFTGPIPGSLVNLSMLNRLVLNGNELSGEFPAGIQGWKSLNELNLADNKLSGPIPDEIGNLPVLNYLDLSGNHFSGKIPLELQKLKLNVLNLSNNMLSGELPPLFAKEFYKNSFVGNPGLCGDLEGLCPQIRGSKKLSYLWILRSIFILAGLVFVVGVVWFYFKYMNFKKGKKVITISKWRSFHKLGFSEFEIADSLKEDNVIGSGASGKVYKVILSNGETVAVKKLSGGSKKSANYDGFEAEVETLGRIRHKNIVRLWCCCSNGDCKLLVYEYMPNGSLGDLLHSSKSGLLEWPTRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGEFAARVADFGVAKVVEGVNKGTESMSVIAEYAYTLRVNEKSDIYSFGVVILELVTGRLPMDPEFGEKDLVKWVHSTLDQKGVDHVIDPKLDSNLKEDICRVLEVGLRCTSSLPISRPSMRRVVNLLQEAGAKSKSMPKSSNKDGKLSPYYHEEVCDEVQLRVES >Manes.12G090400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13622434:13626681:-1 gene:Manes.12G090400.v8.1 transcript:Manes.12G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLSLLFLSFPFPTLSLNQEGLFLQRVKLGLSDPTHALSNWNERDQTPCNWYGITCDSVTQRVHSVDLSESFLSGPFPTFLCHLPSLTFISLNNNSINSSLPVDFSLCQNLESLDVGQNLLVGTIPESLAHLPNLRYLNLAGNNLTGEIPVKFGEFRRLETLLLAGNFLNGTIPGQLGNISTLEALFIAYNPFTPSPLPTQLANLTNLKELWLSDCKLIGPIPTSLSRLSRLENLDLSQNRLTGSIPISLNELKSIVQIELFNNSLSGTLPVRFANLTNLRRFDASMNELTGTIPIELCQLELESLNLYENRLEGTLPESIANSPNLFELKLFNNKLTGQLPSKLGQKSPLKSLDVSYNGFSGEIPENLCAKGVLEDLILIYNSFSGKIPESLGKCHSLGRARLRNNQLSGTVPEDFWGLPRVYLVELVGNSLSGQVSKRISSAYNLSILLISDNKFSGHMPMEIGFLGNLIEISASNNMFTGPIPGSLVNLSMLNRLVLNGNELSGEFPAGIQGWKSLNELNLADNKLSGPIPDEIGNLPVLNYLDLSGNHFSGKIPLELQKLKLNVLNLSNNMLSGELPPLFAKEFYKNSFVGNPGLCGDLEGLCPQIRGSKKLSYLWILRSIFILAGLVFVVGVVWFYFKYMNFKKGKKVITISKWRSFHKLGFSEFEIADSLKEDNVIGSGASGKVYKVILSNGETVAVKKLSGGSKKSANYDGFEAEVETLGRIRHKNIVRLWCCCSNGDCKLLVYEYMPNGSLGDLLHSSKSGLLEWPTRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGEFAARVADFGVAKVVEGVNKGTESMSVIAGSYGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRLPMDPEFGEKDLVKWVHSTLDQKGVDHVIDPKLDSNLKEDICRVLEVGLRCTSSLPISRPSMRRVVNLLQEAGAKSKSMPKSSNKDGKLSPYYHEEVCDEVQLRVES >Manes.06G165350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29162492:29182256:1 gene:Manes.06G165350.v8.1 transcript:Manes.06G165350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAALAIVPIGILFFFSGLIVNLIQAVLFIIVRPASKCMHTRINKIAAELLWLELIWLVDWWACLKIEVYADDETFEQMGKEHALVICNHRSDIDWLVGWILAQRSGCLGSTLAVMKKQAKMLPIIGWSMWFSDYLFLERRWAKDETTLKSGFKRLADFPIPFWLALFVEGTRFTEAKLQAAQEFAASRGLPVPRNVLLPRTKGFISAVAHTRSFIPAIYDCTVAVPKNQPAPTMLRIFRGQSSVIVLQIKRHSMQELPVTAGGISQWCKDVFVTKDAMLEKFFTKETFSDRKLQDIGRPKESLFVVTFWSVLLIYGVARLFRWLSFLGLWEVISCAVSLLVLVTIVMQFLIQSSESERSTSPSKLSFPHQTKERLILK >Manes.S029052.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2403159:2409036:1 gene:Manes.S029052.v8.1 transcript:Manes.S029052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRHDGRAIRRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.16G014700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1480035:1483839:-1 gene:Manes.16G014700.v8.1 transcript:Manes.16G014700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSARHINILPLLLHFLMIIHLTNGLVCYNTGNFTANSTYAKNRGLILSYLASNVTENGGFYTATVGQGPDKVYGLVLCRADTLSEECSQCVNTTIAELVQKCPNQKEALSWGKDALCIIRYANRSIVGLLELSPTDAGSNVNNITSNMEEFDQIWGSLMDRVVTKASMGSSKVKFATEEANLTPFQKIYALMLCTPDISKGNCSYCLRQSVEYYQFFWHGKQGGYVQKPNCIFRWDLYPFYNSIADAPTPTTPPPPLSISPPPANNTISKGNGTTSGRTVVIIIVPTIIFAAVVVLTCSLFYYRNRKKRTKKLDESSSTECTKFNFETIRLATKDFSDNNKLGQGGFGAVYKGVLPDGQVVAIKRLARRSKQGEVDFKNEVTLVARLQHRNLVRLLGFCFERNERLLVYEFVTNSSLDHFIYDPEKRLLMDWSTRYKIIVGIARGILYLHRDSRLRIIHRDLKVSNILLDEQMNPKISDFGTARLFPTNQSEDATSKIVGTFGYMAPEYAFHGIVSVKSDVFSFGVLILEIISGQNINKFQIDEEGVGGNLLTYAWENWNAGTASKIIDPVLVGAASTNEILRCVQIGLLCIQEDAAKRPTMASLILMLDSCSAVISELSRPAYFLHSQQEPITIGTQSKRRSAQPSANECSISEMEPR >Manes.01G212200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38282319:38287271:1 gene:Manes.01G212200.v8.1 transcript:Manes.01G212200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFKISDAAQAELLCRHRSPTVSLTLATFPFGLINSPVCRLARLQTHTSAPLNLFPSIIPLFSDKLSQVETKARQDLNEKVSLLRDELVQHVGDFDKVTGLLEEKGDSLFRRYFDGSAFIELLKQLMSWRHLAIEVLSWRRKQAERSNPMKPEEYAKCIAVAGRAKNIDLAVELFAEASSKRAKKTCTYNALMGAYMYNGHTDKCQSLFRDLKKEVDFGPSVVTYNILISVYGRSLLIDHMEATFEELKCLNILPNLITYNNLIAGYVTAWMWDSMENTFQMMKEGPVKPDLNTYLLMLRGYAHSGNLEKMEKTYELVKDHVNINERPLIRAMICAYCKSSIKERIKKIEALLKLIPVEEYRPWLNILLIGVYSKNDLLEEMENFINEAFQRQTTVTTIRVMRSIITCYFRCNAVDRLAAFVKRAEYAGWKICRSLYHCKMVMYASQKRLDEMERVLDEMENFNLDRTKKTFVILYNAYLNCGQRDKVEQVIALMYKHGHGIPLGASPS >Manes.01G212200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38282319:38287271:1 gene:Manes.01G212200.v8.1 transcript:Manes.01G212200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFKISDAAQAELLCRHRSPTVSLTLATFPFGLINSPVCRLARLQTHTSAPLNLFPSIIPLFSDKLSQVETKARQDLNEKVSLLRDELVQHVGDFDKVTGLLEEKGDSLFRRYFDGSAFIELLKQLMSWRHLAIEVLSWRRKQAERSNPMKPEEYAKCIAVAGRAKNIDLAVELFAEASSKRAKKTCTYNALMGAYMYNGHTDKCQSLFRDLKKEVDFGPSVVTYNILISVYGRSLLIDHMEATFEELKCLNILPNLITYNNLIAGYVTAWMWDSMENTFQMMKEGPVKPDLNTYLLMLRGYAHSGNLEKMEKTYELVKDHVNINERPLIRAMICAYCKSSIKERIKKIEALLKLIPVEEYRPWLNILLIGVYSKNDLLEEMENFINEAFQRQTTVTTIRVMRSIITCYFRCNAVDRLAAFVKRAEYAGWKICRSLYHCKMVMYASQKRLDEMERVLDEMENFNLDRTKKTFVILYNAYLNCGQRDKVEQVIALMYKHGHGIPLGASPS >Manes.01G212200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38282319:38287271:1 gene:Manes.01G212200.v8.1 transcript:Manes.01G212200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAFKISDAAQAELLCRHRSPTVSLTLATFPFGLINSPVCRLARLQTHTSAPLNLFPSIIPLFSDKLSQVETKARQDLNEKVSLLRDELVQHVGDFDKVTGLLEEKGDSLFRRYFDGSAFIELLKQLMSWRHLAIEVLSWRRKQAERSNPMKPEEYAKCIAVAGRAKNIDLAVELFAEASSKRAKKTCTYNALMGAYMYNGHTDKCQSLFRDLKKEVDFGPSVVTYNILISVYGRSLLIDHMEATFEELKCLNILPNLITYNNLIAGYVTAWMWDSMENTFQMMKEGPVKPDLNTYLLMLRGYAHSGNLEKMEKTYELVKDHVNINERPLIRAMICAYCKSSIKERIKKIEALLKLIPVEEYRPWLNILLIGVYSKNDLLEEMENFINEAFQRQTTVTTIRVMRSIITCYFRCNAVDRLAAFVKRAEYAGWKICRSLYHCKMVMYASQKRLDEMERVLDEMENFNLDRTKKTFVILYNAYLNCGQRDKVEQVIALMYKHGHGIPLGASPS >Manes.11G091200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13448351:13457558:1 gene:Manes.11G091200.v8.1 transcript:Manes.11G091200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELAHQFNVEVQEMEDVNFTDSELVYHVRDALISVQSGDSDSYHQLVGVMHHSQRLAPDEVALLVTSLKALSGAVSYIDSIHHDSLLNSIFGMSMWNYGSDVMDALLELIISLAASNGKYVDSCLDMLVGNFMPPMYFMEALKQPRGQTKKEQVLSRVHTALEDIAALVPMAALRLSSIVVHRMPPTFKKSLEKNRLKYRTEIFVENMLRLESGAIREFIGSRMIMAVVDMLIELDVSIGWDDILRDDSSKGIFTIELEDVDDIASDDDDDNEENDELPATLSHKRLGKNIVADLLDSLMVKIFEHLEFCANNKRLSEFVMFYACALDPENCGEKFAKMLVDRFVYGDNPLTRMSAVAYLASYLARAKFLSVAFVSTTLKRLVDWCLEYCKIQDGEINPKAHRVFYSGCQAIMYVLCFCMRSIMDVPWLKSQLLLMPIEQILKHKLGPLKVCLPSIVEEFLKQAKAAHLFTTSETFIFEDLLESDFSRDFGGLERLDMFFPFDPCLLKKCDRNFIRPNFVYWKNVKTTYASDDEEEDSSDEDINEDFVPMNGESFMEEVVAKSADEQLLDIDEFDYAMNKMSITPKNGLNFRFGGDVTMQMPSRIRPSTSPESL >Manes.11G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13448351:13457558:1 gene:Manes.11G091200.v8.1 transcript:Manes.11G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELAHQFNVEVQEMEDVNFTDSELVYHVRDALISVQSGDSDSYHQLVGVMHHSQRLAPDEVALLVTSLKALSGAVSYIDSIHHDSLLNSIFGMSMWNYGSDVMDALLELIISLAASNGKYVDSCLDMLVGNFMPPMYFMEALKQPRGQTKKEQVLSRVHTALEDIAALVPMAALRLSSIVVHRMPPTFKKSLEKNRLKYRTEIFVENMLRLESGAIREFIGSRMIMAVVDMLIELDVSIGWDDILRDDSSKGIFTIELEDVDDIASDDDDDNEENDELPATLSHKRLGKNIVADLLDSLMVKIFEHLEFCANNKRLSEVFETLLDSFMLTILNTYKSKFAQFVMFYACALDPENCGEKFAKMLVDRFVYGDNPLTRMSAVAYLASYLARAKFLSVAFVSTTLKRLVDWCLEYCKIQDGEINPKAHRVFYSGCQAIMYVLCFCMRSIMDVPWLKSQLLLMPIEQILKHKLGPLKVCLPSIVEEFLKQAKAAHLFTTSETFIFEDLLESDFSRDFGGLERLDMFFPFDPCLLKKCDRNFIRPNFVYWKNVKTTYASDDEEEDSSDEDINEDFVPMNGESFMEEVVAKSADEQLLDIDEFDYAMNKMSITPKNGLNFRFGGDVTMQMPSRIRPSTSPESL >Manes.11G091200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13451045:13457558:1 gene:Manes.11G091200.v8.1 transcript:Manes.11G091200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSQRLAPDEVALLVTSLKALSGAVSYIDSIHHDSLLNSIFGMSMWNYGSDVMDALLELIISLAASNGKYVDSCLDMLVGNFMPPMYFMEALKQPRGQTKKEQVLSRVHTALEDIAALVPMAALRLSSIVVHRMPPTFKKSLEKNRLKYRTEIFVENMLRLESGAIREFIGSRMIMAVVDMLIELDVSIGWDDILRDDSSKGIFTIELEDVDDIASDDDDDNEENDELPATLSHKRLGKNIVADLLDSLMVKIFEHLEFCANNKRLSEVFETLLDSFMLTILNTYKSKFAQFVMFYACALDPENCGEKFAKMLVDRFVYGDNPLTRMSAVAYLASYLARAKFLSVAFVSTTLKRLVDWCLEYCKIQDGEINPKAHRVFYSGCQAIMYVLCFCMRSIMDVPWLKSQLLLMPIEQILKHKLGPLKVCLPSIVEEFLKQAKAAHLFTTSETFIFEDLLESDFSRDFGGLERLDMFFPFDPCLLKKCDRNFIRPNFVYWKNVKTTYASDDEEEDSSDEDINEDFVPMNGESFMEEVVAKSADEQLLDIDEFDYAMNKMSITPKNGLNFRFGGDVTMQMPSRIRPSTSPESL >Manes.15G186866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27085928:27087110:-1 gene:Manes.15G186866.v8.1 transcript:Manes.15G186866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGCQVVPDLDLDGFISFIKTWSKCASGQIASSFGFFHFMKCSTGGFLSLETFFWFPLLIALSGQFYFNEHKLEA >Manes.10G133500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29846301:29849943:1 gene:Manes.10G133500.v8.1 transcript:Manes.10G133500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTPLTDALSSKSYDKISDICDELMLKFAAEGISFQDEWPYAIHLLGHIYANDINSARFLWKSIPSAVKENQPEVVAVWRIGQKLWTRDYAGVHEAIRSFDWSQETRALVAAFSELYTKRMFQLLLSAYSTISIQDTAHFLGMNEDDATNYVMQQGWIVDPASRMLTVKKQQTVTEQKLDSSKLQRLTEYVFHLEH >Manes.16G078100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28292405:28294699:-1 gene:Manes.16G078100.v8.1 transcript:Manes.16G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAKLGREREMETVEGGEGSGRGRYALKPTRISCEDILFCMDVDTESLVEMKTTGPSGRPLTRLECIKQAILFFINAKLSINPDHRFAFATLAKSASWLRKEFSSEVESAVAALRGLSATSSCGQADLTHLFRLAAHEAKKSRSQNRILRVILVYCRSSVRPHHQWPINQKLFTMDVMYLHDKPGPDNCPQEVYDALVDTLEHVSEYEGYIYETGQGLRVLLRHLSIMLSHPQQRCTQDDMDIKSLTKRSPVADSGNGEDPVPISSQ >Manes.15G168450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14442375:14442807:1 gene:Manes.15G168450.v8.1 transcript:Manes.15G168450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVGGVTRPAAIGFEGGETPCRGGNVNLARTKLPSIWVPSMYIKAFSASALALNSTYPYPLGRLIVWSIASSTCTTSPKLLNISFKWPSCTFLLSEPM >Manes.01G216400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38549585:38550666:1 gene:Manes.01G216400.v8.1 transcript:Manes.01G216400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPAEAAPDQLKYQTWVLKVSIHCEGCRKKVRKILQGVDGVYMTQIDSQQHKVTVTGNVVAETLIKKLAKSGKHAELWPEKPEKDNKSGKSKSNGAQDPKDSKEVGADDDSDKDVQKNLKEKPDTVAKSGSGDDQSVDAKGEKAGGGGENAAAATGGGGNGGKKKKKKKGGQNGNNPNNGNSSCDRTGDAPADGKSPAAITDPAPPKASVDYRLPDQHVYPHHLPMYYAAPSAYGVNYNTAYPSASASYYTPSMQAHLYSHPERHVPPPPPSEPINRMIHDDHDYDNDGGCSVM >Manes.10G062200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8701295:8704037:-1 gene:Manes.10G062200.v8.1 transcript:Manes.10G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLTHSKKLNAEIAPYDFIKSTTAVKLYGSPNAACTAYIRFALLYKTMSLHFIPTTDTQPTLQIGSVTISGTREMVLRFIDSKLPQPPLVMKEREGFGETTPWIVRAVVLQHRSMRWHLERMVRWGGDLATRGGRKAVDPAMGTPRMEIRKFSKSYSQLLEFMLEHAQMEERVVFPILEMADRGLCRAANEEHARDLPIINGIKEEIKSIGVLDTGSLHYQDALCNLSTRLKSLLEHCKEHFEEEERDVLPLMEAVELTKKQQLRVLEQCFDLMQGTNSHLFNFLIEGLLPWEAVHYLDLILICKDEEKAASMLCRIIE >Manes.15G003840.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:123602:134751:-1 gene:Manes.15G003840.v8.1 transcript:Manes.15G003840.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPDKVTPGICDSNTLQSSIIRPYSLTLSSTLETACTTWRESNSTIHGCSKCLLFNQLNKHLKAKVSATSGLQHGNKSESASTNPTSEHRSIQPNDVAAGANLREASTLYLIAPLTCCTQSAETIVVSGSDPRNRGPQQ >Manes.04G080700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28219478:28220511:-1 gene:Manes.04G080700.v8.1 transcript:Manes.04G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHAKQILRRSNLIPNYQSASSFTDVPKGHLAVYVGEGQKKRFIVPVSLLNKPSFQELLRKAEEEFGFNHPMGGITIPCREDVFIDLTSR >Manes.04G012000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:1625238:1626052:1 gene:Manes.04G012000.v8.1 transcript:Manes.04G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDHKNKQIPPTLEGSAASQGLKQDEDGDAIMAAADEEGCTTPTSSDHKIPTFRSCPPTPRKKLQKLFLRKRKLVDEMNFFEASHRDEVEAFFRSNFELAKVESRPMKRRCRSF >Manes.07G034201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3741576:3751856:-1 gene:Manes.07G034201.v8.1 transcript:Manes.07G034201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTEEIIFLDARCCAMAFRLLRMNGYEISSDGLSNFEEQEKLLHAQDTTSILELFKASLITIYADEPVLDRIQAWTSAYLDEELVNGAISNKALRREVDYALKHPHANLERLENRLCIENYNTDNFSLLKTSYRFCNVDDHRDLLKLAIQEFNMCQSIHWKELEYLEGWVKKYGINDLKYARQKIRYVFFAIASTFFQPNFSQTRISWAKNSVLATVVDDFFDYAGSMEELLNLMDLIERWDEHSTIGYKSKEVEILFHAIYGTTNEFADKARTQQGRCIKRHLIDIWTDLLKAMLKEAEWARNKSVPTMKEYLSNGYISYALGPIILISLYFLESLSEEIVTSEEYKNLFMHTSLIGRLTNDRVTVK >Manes.14G127400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10164082:10166926:-1 gene:Manes.14G127400.v8.1 transcript:Manes.14G127400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPFHTSPNPNFSLSKSSNSHKFSQTHVSKLQFQRFSPHKSYVHLISSLCKQGQIQAALDLLTQMELQSLLIGPQVYGELLQGCVYERDLYTGQQIHARIIKNGDFFSRNEYIETKLLVFYAKCDCFEIANSLFGRLRVKNVFSWAAITGLHCRMGFHEDALMCFCEMIDIGLSADNFVVPNALKACGALHWINFGRGVHGYALKLGLDRCVFVSSSLVDMYGKCGFLEDARQVFENMPQKNVVTWNSMIVGYVQNGFHQEAIEVFYDMRLEDVEQSRVTLSGFLSAAANLGAIEEGKQGHAIAIIGGFELDNILGSSILNFYSKVGLIEDAELVFSRMVEKDVVSWNLLISCFVHCEQIERAVDMCHLMRLENMKFDSVTIASILSACANTKNIKLGKEGHCYSIRNNLESDAIVASGITHMYVKCERISDARVVFNSTINKDLTLWNTLLTSYAELGLVGETLRLFYQMQLESVPPNVTSWNALILGFIRNGQINKAKDTFSEMQAVGVHPNLTTLTMLISGLVDNGFRNEALTVFQKMQEYGIRPNVVSIINTISACTDVAMLQYGRAIHGYILRHDLWLQMPIANALVDMYVKCGNINKAKRVFDMILNKASPIYNGNDRFLSLAHHALEV >Manes.08G040000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3908554:3923597:1 gene:Manes.08G040000.v8.1 transcript:Manes.08G040000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEECSNAKSRSSSPSANGAYYLAKCVLRGSVVLQVVYGHFRSPSSSDIVFGKETSIELVIIDADGIVHSICEQPVFGTIKDLAVIPWNDKFHARSPQMQGKDLLAVLSDSGKLSFLTFCSEMHRFFPLTHVQLSNPGNSRQQLGRMLAVDSSGCFIATSAYVDRLALFSLSLSGASDIIDKQIFYPPENEGHTSSTRIIQRPSISGTIWSMCFISRDSSQSSKEHNPVLAIILNRRGALLNELLLLGWNIREQTINVISLYVEAGPIAHDIIEVPHSNGFAFLFRVGDALLMDLRDAHNPSCVYRTSLNFLPASVEEQTFVEEPCRVHDVDDDGLFNVAACALLELRDYDPMCIDSEGGNVKSASKYVCSWSWEPEVNKNPRMIFCIDTGEFFMIEISFDPEGLKVNLSDCLYKGLPCKSLLWVDGGFLAATVEMGDGLVLKVENGKLIHTSPIQNVAPILDMSVVDYQDEKRDQMYACCGVAPEGSLRIIRSGISVEKLLKTASIYQGITGTWTLRMKVTDLYHSFLVLSFVEETRVLSVGVSFTDVTDSVGFQPDVCTLACGLVGDGLLVQIHRTAVQLCLPTKVAHAEGIPLSSPVCTSWFPDNMSISLGAVGHDFIVVSTSNPCFLYILGVRLLSTYRYEMYEMQCLRLLNELSCISIPQKHFERRRLNSSKFVDDDCTSTLPVGVDIGTTFVIGTHRPSVEVVSFVPDEGLKVLACGTISLTNTLGTAISGCIPQDVRLVLVDRSYVLSGLRNGMLLRFEWPPASSMSSLRLPRYGFPIDSCMENADGVLSNVPAISFESQTCGVDLISKTMDDLPVNLQLIATRRIGITPVFLVPLSDSLDADMIALSDRPWLLQTASHSLSYTSISFQPSTHATPVCSADCPKGILFVAENSLHLVEMVHSKRLNFQKFHLGGTPRKVLYHSESRLLLVMRTELGNDTSSSDICCVDPLNGSIVSSFKLEPGETGKSMALVRVGNEQVLVIGTSLSSGPAIMPSGEAESTKGRLIVLCLEHLQNSDSGSMTFCSKAGSSSQRTSPFREVVGHTAEQLSSSSLCSSPDGSCDGVKLEETEVWQLRLAYSTKWPGMALAICPYLDHYFLASAGSAFYVCGFPNDNPQRVRKFAIARTRFTIISLTAHFTRIAVGDCRDGILFYSYHEDTRKLEQVYCDPSQRLVADCVLMDADTAVVSDRKGSIAVLSCSNISERNASPECNLTLSCAYYMGEIAMSIKKGSFSYKLPADDVLIGCDGIGVNIDASNNTIMASTLLGIIIIFIPLTREEHELLEAVQARLVVHPLTAPILGNDHREFRGRENQVGAPKMLDGDVLSQFLELTSIQQEAILSLPLGQLDTVKTGSKSPFPIPVNQVVQLLERVHYALS >Manes.08G040000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3908554:3923597:1 gene:Manes.08G040000.v8.1 transcript:Manes.08G040000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEECSNAKSRSSSPSANGAYYLAKCVLRGSVVLQVVYGHFRSPSSSDIVFGKETSIELVIIDADGIVHSICEQPVFGTIKDLAVIPWNDKFHARSPQGKDLLAVLSDSGKLSFLTFCSEMHRFFPLTHVQLSNPGNSRQQLGRMLAVDSSGCFIATSAYVDRLALFSLSLSGASDIIDKQIFYPPENEGHTSSTRIIQRPSISGTIWSMCFISRDSSQSSKEHNPVLAIILNRRGALLNELLLLGWNIREQTINVISLYVEAGPIAHDIIEVPHSNGFAFLFRVGDALLMDLRDAHNPSCVYRTSLNFLPASVEEQTFVEEPCRVHDVDDDGLFNVAACALLELRDYDPMCIDSEGGNVKSASKYVCSWSWEPEVNKNPRMIFCIDTGEFFMIEISFDPEGLKVNLSDCLYKGLPCKSLLWVDGGFLAATVEMGDGLVLKVENGKLIHTSPIQNVAPILDMSVVDYQDEKRDQMYACCGVAPEGSLRIIRSGISVEKLLKTASIYQGITGTWTLRMKVTDLYHSFLVLSFVEETRVLSVGVSFTDVTDSVGFQPDVCTLACGLVGDGLLVQIHRTAVQLCLPTKVAHAEGIPLSSPVCTSWFPDNMSISLGAVGHDFIVVSTSNPCFLYILGVRLLSTYRYEMYEMQCLRLLNELSCISIPQKHFERRRLNSSKFVDDDCTSTLPVGVDIGTTFVIGTHRPSVEVVSFVPDEGLKVLACGTISLTNTLGTAISGCIPQDVRLVLVDRSYVLSGLRNGMLLRFEWPPASSMSSLRLPRYGFPIDSCMENADGVLSNVPAISFESQTCGVDLISKTMDDLPVNLQLIATRRIGITPVFLVPLSDSLDADMIALSDRPWLLQTASHSLSYTSISFQPSTHATPVCSADCPKGILFVAENSLHLVEMVHSKRLNFQKFHLGGTPRKVLYHSESRLLLVMRTELGNDTSSSDICCVDPLNGSIVSSFKLEPGETGKSMALVRVGNEQVLVIGTSLSSGPAIMPSGEAESTKGRLIVLCLEHLQNSDSGSMTFCSKAGSSSQRTSPFREVVGHTAEQLSSSSLCSSPDGSCDGVKLEETEVWQLRLAYSTKWPGMALAICPYLDHYFLASAGSAFYVCGFPNDNPQRVRKFAIARTRFTIISLTAHFTRIAVGDCRDGILFYSYHEDTRKLEQVYCDPSQRLVADCVLMDADTAVVSDRKGSIAVLSCSNISERNASPECNLTLSCAYYMGEIAMSIKKGSFSYKLPADDVLIGCDGIGVNIDASNNTIMASTLLGIIIIFIPLTREEHELLEAVQARLVVHPLTAPILGNDHREFRGRENQVGAPKMLDGDVLSQFLELTSIQQEAILSLPLGQLDTVKTGSKSPFPIPVNQVVQLLERVHYALS >Manes.08G147300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38319532:38322846:-1 gene:Manes.08G147300.v8.1 transcript:Manes.08G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTGHPTLKLAFFLATSLIPSLSVDLPSPDDSVSCLLDFKKFPYQPAGECLGYQEKVQVLGSLSTTLCCRNVLNVLTKTLASHVATTKSDYVFIPQDQWMNCNGAFHKQDSVSPHSCRFDSLYSGKSKCSNFSLSSIKEDFHYQNALATCSDFNSSFDDVCSNCTAAILAATDSLTEKLEAEERATCAIATVIYIAAAKIDDPSFVDNFYRCLPALDAFDVGYDKIKHSTVKAVIAIFMAVVALMLVLLLTLYVTKSRSKSKCRKPNAEMKAWSGLYRFSKAEIENAINYGNEKKSLGRGSAGQVYKGVLPSGQVVAIKHIHQSSTTDSFQREVEGLSRVRHPNLVCLFGCCIEGGDRYLVYEYCSAGNLARHLLRKDAVLTWETRVKILRDCALGLRYLHHYIDGCIVHRDIKLTNILLTETLEPKLSDFGLAKMLGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVILQLLSGQKVIELDLDARDQLTRKAKDVCLGKRPVKDFEDPRLGGKLNRADFEAILQIAVLCVAKSSKGRPTVDVVFEEIDKAWKNTVIDMKARQDTSTSETPMSRSLEVIPV >Manes.08G147300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38319532:38322846:-1 gene:Manes.08G147300.v8.1 transcript:Manes.08G147300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTGHPTLKLAFFLATSLIPSLSVDLPSPDDSVSCLLDFKKFPYQPAGECLGYQEKVQVLGSLSTTLCCRNVLNVLTKTLASHVATTKSDYVFIPQDQWMNCNGAFHKQDSVSPHSCRFDSLYSGKSKCSNFSLSSIKEDFHYQNALATCSDFNSSFDDVCSNCTAAILAATDSLTEKLEAEERATCAIATVIYIAAAKIDDPSFVDNFYRCLPALDAFDVGYDKIKHSTVKAVIAIFMAVVALMLVLLLTLYVTKSRSKSKCRKPNAEMKAWSGLYRFSKAEIENAINYGNEKKSLGRGSAGQVYKGVLPSGQVVAIKHIHQSSTTDSFQREVEGLSRVRHPNLVCLFGCCIEGGDRYLVYEYCSAGNLARHLLRKDAVLTWETRVKILRDCALGLRYLHHYIDGCIVHRDIKVITNMSCLFDLCKRNL >Manes.12G081800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11382908:11387510:-1 gene:Manes.12G081800.v8.1 transcript:Manes.12G081800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDEAAELAKHPDVISVFLNKGKRLHTTHSWSFLGLERDGEIPFASIWYRAKFGKDVIIGNLDTGVWPESKSFSDGGMGPIPTHWRGICQHDTSGVRCNRKLIGARYFNKGYAAFTGPLNSTYNTTRDNSGHGTHTLSTAGGNFVPRASVFGNGNGTAKGGSPSARVAAYKVCWPPINGSGECFDADIMAAFDAAISDGVNVLSVSLGGDPADFFEDSIAIGSFHASKKGILVVASAGNSGPAPGTVSNVAPWLITVGASTMDREFANYVGLGNRRHLKGTSLSEKGLPAAKFYPLISGEHAKAPNSSAVDASLCKPGALDPKKVKGKILVCLRGENGRVNKGEQALLAGAVGMILANDESSGNEIIADAHVLPASHINFTDGKALYAYINSTRVPVAFMTRVKTQLETKPAPFMAAFSSRGPNFIASSILKPDITAPGVSIIASYSLAVSPTGEDFDKRRIAFNTESGTSMSCPHVSGIVGLLKTFHPDWSPASIRSAIMTTARTRANNMEPMLDSSTNLKATPFDYGAGHIRPNRAMDPGLVYDLTEDDYLNFLCAQGYNQSQLKIFSGKPHPCTKSFSLEEFNYPSITVPNLNGHVTVTRRVRNVGTPGEYFAYVKAPAGVSVLVRPKSLKFKRIGEEKAFKVTLKNVTENKTRDYVFGRLVWTDGKHYVRSPLVVRN >Manes.12G081800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11382908:11388180:-1 gene:Manes.12G081800.v8.1 transcript:Manes.12G081800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDEAAELAKHPDVISVFLNKGKRLHTTHSWSFLGLERDGEIPFASIWYRAKFGKDVIIGNLDTGVWPESKSFSDGGMGPIPTHWRGICQHDTSGVRCNRKLIGARYFNKGYAAFTGPLNSTYNTTRDNSGHGTHTLSTAGGNFVPRASVFGNGNGTAKGGSPSARVAAYKVCWPPINGSGECFDADIMAAFDAAISDGVNVLSVSLGGDPADFFEDSIAIGSFHASKKGILVVASAGNSGPAPGTVSNVAPWLITVGASTMDREFANYVGLGNRRHLKGTSLSEKGLPAAKFYPLISGEHAKAPNSSAVDASLCKPGALDPKKVKGKILVCLRGENGRVNKGEQALLAGAVGMILANDESSGNEIIADAHVLPASHINFTDGKALYAYINSTRVPVAFMTRVKTQLETKPAPFMAAFSSRGPNFIASSILKPDITAPGVSIIASYSLAVSPTGEDFDKRRIAFNTESGTSMSCPHVSGIVGLLKTFHPDWSPASIRSAIMTTARTRANNMEPMLDSSTNLKATPFDYGAGHIRPNRAMDPGLVYDLTEDDYLNFLCAQGYNQSQLKIFSGKPHPCTKSFSLEEFNYPSITVPNLNGHVTVTRRVRNVGTPGEYFAYVKAPAGVSVLVRPKSLKFKRIGEEKAFKVTLKNVTENKTRDYVFGRLVWTDGKHYVRSPLVVRN >Manes.12G081800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11382908:11388180:-1 gene:Manes.12G081800.v8.1 transcript:Manes.12G081800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDDEAAELAKHPDVISVFLNKGKRLHTTHSWSFLGLERDGEIPFASIWYRAKFGKDVIIGNLDTGVWPESKSFSDGGMGPIPTHWRGICQHDTSGVRCNRKLIGARYFNKGYAAFTGPLNSTYNTTRDNSGHGTHTLSTAGGNFVPRASVFGNGNGTAKGGSPSARVAAYKVCWPPINGSGECFDADIMAAFDAAISDGVNVLSVSLGGDPADFFEDSIAIGSFHASKKGILVVASAGNSGPAPGTVSNVAPWLITVGASTMDREFANYVGLGNRRHLKGTSLSEKGLPAAKFYPLISGEHAKAPNSSAVDASLCKPGALDPKKVKGKILVCLRGENGRVNKGEQALLAGAVGMILANDESSGNEIIADAHVLPASHINFTDGKALYAYINSTRVPVAFMTRVKTQLETKPAPFMAAFSSRGPNFIASSILKPDITAPGVSIIASYSLAVSPTGEDFDKRRIAFNTESGTSMSCPHVSGIVGLLKTFHPDWSPASIRSAIMTTARTRANNMEPMLDSSTNLKATPFDYGAGHIRPNRAMDPGLVYDLTEDDYLNFLCAQGYNQSQLKIFSGKPHPCTKSFSLEEFNYPSITVPNLNGHVTVTRRVRNVGTPGEYFAYVKAPAGVSVLVRPKSLKFKRIGEEKAFKVTLKNVTENKTRDYVFGRLVWTDGKHYVRSPLVVRN >Manes.12G081800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11382908:11388180:-1 gene:Manes.12G081800.v8.1 transcript:Manes.12G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIISPLFLSALLFFSLLQPPTFAIEKSYIVYLGSHSHGSEAKLSDFKRVKNSHYELLGLFTKSKEKAREKIFYSYTKNINGFAAMLEDDEAAELAKHPDVISVFLNKGKRLHTTHSWSFLGLERDGEIPFASIWYRAKFGKDVIIGNLDTGVWPESKSFSDGGMGPIPTHWRGICQHDTSGVRCNRKLIGARYFNKGYAAFTGPLNSTYNTTRDNSGHGTHTLSTAGGNFVPRASVFGNGNGTAKGGSPSARVAAYKVCWPPINGSGECFDADIMAAFDAAISDGVNVLSVSLGGDPADFFEDSIAIGSFHASKKGILVVASAGNSGPAPGTVSNVAPWLITVGASTMDREFANYVGLGNRRHLKGTSLSEKGLPAAKFYPLISGEHAKAPNSSAVDASLCKPGALDPKKVKGKILVCLRGENGRVNKGEQALLAGAVGMILANDESSGNEIIADAHVLPASHINFTDGKALYAYINSTRVPVAFMTRVKTQLETKPAPFMAAFSSRGPNFIASSILKPDITAPGVSIIASYSLAVSPTGEDFDKRRIAFNTESGTSMSCPHVSGIVGLLKTFHPDWSPASIRSAIMTTARTRANNMEPMLDSSTNLKATPFDYGAGHIRPNRAMDPGLVYDLTEDDYLNFLCAQGYNQSQLKIFSGKPHPCTKSFSLEEFNYPSITVPNLNGHVTVTRRVRNVGTPGEYFAYVKAPAGVSVLVRPKSLKFKRIGEEKAFKVTLKNVTENKTRDYVFGRLVWTDGKHYVRSPLVVRN >Manes.11G025200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2519122:2528462:1 gene:Manes.11G025200.v8.1 transcript:Manes.11G025200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFKTNNSHQLLSSTAMVMCSSFCPKLPSHFGARPFSSFPSRQPFPLCFKAFSAQASSAPLKGDGSSIRVGFLGMGIMGSPMAQNLIKAGCDVTVWNRTKSKCDPLISLGAQYKPSPEEVAAACDVTFAMLADPESALEVACGKHGAASGLGPGKGYVDVSTVDGDTSKLINGHVKATEASFLEAPVSGSKKPAEDGQLIFLTAGDKSLYETVAPYLDIMGKSRFYLGDVGNGAAMKLVVNMIMGSMMATFSEGLLLGEKVGLDPNVLVEVVSQGAISAPMYSLKGPSMIKSAYPTAFPLKHQQKDLRLALALAESVSQPTPIAAAANELYKVAKSHGLSDSDFSAVIEALKAKLQS >Manes.06G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1241902:1245881:-1 gene:Manes.06G007800.v8.1 transcript:Manes.06G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIDSHFLAPTAILTVGYQLIFFIVTALLKIDKVTDFAGSTNFLILAVLTLVLKGTWHFRQVVLSLLVVTWGLRLGFFLLMRILQWGEDRRLDKMRGNLGRLAIFWIFQAAWVWTVSLPVTVVNASDRNPSLQAEDIIGWIMWSVGVSIEAAADQQKLKFKNSAENIGKWCTVGLWKCSRHPNYFGEILLWWGIFVASTPVLKGAEWLVIFGPLFLTLLLFFVSGIPLLEKSADKKFGNMAAYREYKRTTSPLIPLPTVVYGNLPSWLKTTFLFEFPLYSRSPVP >Manes.06G007800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1241902:1245881:-1 gene:Manes.06G007800.v8.1 transcript:Manes.06G007800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIIDSHFLAPTAILTVGYQLIFFIVTALLKIDKVTDFAGSTNFLILAVLTLVLKGTWHFRQVVLSLLVVTWGLRLGFFLLMRILQWGEDRRLDKMRGNLGRLAIFWIFQAEDIIGWIMWSVGVSIEAAADQQKLKFKNSAENIGKWCTVGLWKCSRHPNYFGEILLWWGIFVASTPVLKGAEWLVIFGPLFLTLLLFFVSGIPLLEKSADKKFGNMAAYREYKRTTSPLIPLPTVVYGNLPSWLKTTFLFEFPLYSRSPVP >Manes.15G185200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:26256006:26276698:1 gene:Manes.15G185200.v8.1 transcript:Manes.15G185200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFLISRTSPKNLHQLLQPALHKTSPISQFPRNVHSIIPPENDICPQNSRGMVSASDYGFEGADDAALSLDNRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSASDDIIMVNNGCLCCTVRGDLVKMLLELVKKKRDKFDHIVIETTGLAKPGPVIETFCSDELVSRHVKLDGVVTMVDSKHAMQHLNEVKPRFVVNEAVEQIAYADRIILNKIDLVSETELQSLTKRIKHINGMAPIRLVKYGSVDMDFVLGIGGYDLERIDSEVHMDDKCSALHQHEAAKDHKGHHHQECKSHHDHVHDSSVSSVSIVSEGTLDLDEVSDWLERLIEENSEDLYRMKGVLSVADSDQRYIFQGVHSLLDGCHGKPWGSDEKRINKLVFIGRNLNENSLRKGFKGCLT >Manes.05G055100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4585339:4586652:-1 gene:Manes.05G055100.v8.1 transcript:Manes.05G055100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLHLGLTPPCMLPSTSFSTHCTLLTQPISLNRKSLENQPLKKWERLLDPVDSELIPSWFPAAHKPVKLGNRWMEYQGFRNWEGLLDPLDDSLRGEILRYGDFVEAAYKSFDFDPSSSTYATCRFPKSTLLERSGLPETGYRITKHLRATSGIQLPRWIEKAPSWMATPSSWIGYVAVCQDKDEITRLGRRDVVMAFRGTATCLEWLENLRATLAHFPNVDTDKSPERYGPMVESGFLSLYTSGTTMGPSLQDMVREETKRLLQSYGDEPLSLTITGHSLGAALAILAAYDIKTTLKCAPLVTVISFGGPRVGNRSFRKHLEKQGIKVLRIVNSDDLITKVPGFVIDGDNEVAKNKDDNVAGIPSWIQKRVEETQLAYAEVGRELKLSSKDSPYLNSVNVASCHELKTYLHLVNGFVSSSCPFRATAKRVPVLTR >Manes.10G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8572559:8579701:-1 gene:Manes.10G061400.v8.1 transcript:Manes.10G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKSLLSSFSSWLHHRSKDLAPRIFVPPLDSCSFSSPIRLENQVVLSRRHVFYASTNDTNAASSIPLKSAQDDYIPMPVVMIDQDSDSEATIVQISFGDRLGALIDTMKALKDLGLDVAKGSVLTEGSVKQTKFFITHLGNGRKVEDPDMLERIRLTIINNLLKYHPESSEQLALGEAFGIKAPEKKPDVDIATHIHVKNDGPKRSLLCIETADRPGLLVEIIKIMADINVDVESAEIDTEGLIAKDKFHVSYRGSALDSSLSQVLRNCLRYYLRRPEIDIDSY >Manes.10G061400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8572559:8578777:-1 gene:Manes.10G061400.v8.1 transcript:Manes.10G061400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVMIDQDSDSEATIVQISFGDRLGALIDTMKALKDLGLDVAKGSVLTEGSVKQTKFFITHLGNGRKVEDPDMLERIRLTIINNLLKYHPESSEQLALGEAFGIKAPEKKPDVDIATHIHVKNDGPKRSLLCIETADRPGLLVEIIKIMADINVDVESAEIDTEGLIAKDKFHVSYRGSALDSSLSQVLRNCLRYYLRRPEIDIDSY >Manes.06G138600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26631828:26633001:1 gene:Manes.06G138600.v8.1 transcript:Manes.06G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEVVEPSKRRHIRQPPSIPFLWEERPGVAKKGWRPVVSSVTALALPSPVRLVASVPFNWEEKPGKPLSCFSQSSTESALITWKASDHHNVYNFNNEESGGNDNNRNKEKGTFDLNPESHSYETDDSFSSAPSLLANCLVLSSAVSTTVPVPKTFAKNDINDQLENPSSPASDTESSTSSSSYETIASLTGASFLECLFPLYPPNSGFLGKVSYSKNSSHTPPELKSSTFDHESDTNVVTKRPPTLGELIMMSRRSYQRQAVQMGKQNLSMEFLNGKAFTCCVFGTGIKMIEGLQRKRHHPKLKLI >Manes.15G054800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4205225:4208189:-1 gene:Manes.15G054800.v8.1 transcript:Manes.15G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSGYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >Manes.11G047000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:4997398:4998058:1 gene:Manes.11G047000.v8.1 transcript:Manes.11G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSWCSSGTSSSNMDAYVNGRYDRIVSSFNVLESSTALRRPRWRLLWRKIMREKKKIFDCSSSACSRRMHFSYDPYTYSQNFDQGSMWSDPDNMSRSFSARFAVSSRIFEKTHPLV >Manes.11G154000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32077277:32093876:-1 gene:Manes.11G154000.v8.1 transcript:Manes.11G154000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPNLFPFGTVFGNPFLLNGDLNEAGVGGFESSRVFFLVPFLLFQGGGMDLSKVGTKILSSVRSARSLGLLPSTSDRPEVPARAAAAAAVARVLAGLPPHQRFSLPSSSEELLSIYGSRSQGQVVEELEEDFYKEDFDPIRHILEHIPSEENDAGYFEKQAALRLAQLDRVAERLSHQVMEHHEVMVKGMNLVRELEKDLKVANVICMNGRRHLTSSRNEVSRDLIVNSYSKKKQALLDVLPILSDLHHALYMQAALESLVEEGNYCKAFQVLSEYLQLLDSFSDLSAIQEMSRGVEVWLGRTLQKLDSLLLGVCQEFKEESYITVVDAYALIGDISGLAEKIQSFFMQEVLSETHSVLKNILQEDREVEKQNSRLTYSDLCIQIPDSKFRQCLLRTLAVLFRLMCSYHEIMIFQLENKVWASQKSNMKLRECSNTEQPGEAQQIDSASGISPDPEEMNGSISKSVDSMMTEEPITAVSKADHTGITNASYSDSHNQVDEARSDSSGASSSGSPWYHLRKDATAFVSQTLQRGRKNLWQLTTSRVSVLLSSSAVGSMSIHQFLKNYEDLNVFVLAGEAFCGVEAVEFRQKLKVVCENYFVAFHRQNIHALKMVLEKENWLKLQSDTVKTISFDGLVGDGAPLIVPSGADPTNIRLRHSHKPLNLIDPTAEKNGFTSWLRNGNPFSLKLMHTSREGHSSSPLNGAISNEYDGHANDGYHADLMSPKSSDINHSNGSPGSEDENEDLLADFIDEDSQLPSRISKLNHSRSNSVHWKNDEITAQTGSSICLLRSMDKYARLMQKLEIVNVEFFKGICQLFEVFFYFIFETFGQQNSNSNGKGSSDSVNYRLKMALSRISQDCDQWIKSQATSSPTSLSANMHADLTPTNPQNHSPAMSFSLKDTCAATDSISLVARILRRSKTHLQSMLLQNNPAIVEDFYAHLVDSVPDLTEHIHRTTARLLLHINGYVDRIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLAHGGIHKEVQDILLEYGLEIVAETLIEGLSRVKKCTDEGRALMSLDLQVLINGLQHFVSVNVKPKLQVVETFIKAYYLPETEYVHWARAHPEYTKNQIVGLINLVATVKGWKRKTRLEIIEKIE >Manes.12G065651.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6369908:6370291:1 gene:Manes.12G065651.v8.1 transcript:Manes.12G065651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRLPLKGRLRLRKPKGKWFWAEFVYERINTFCFYCGIVGHNDRFCKKLYDLPYKIPREKFAYGAWMKADSRRSSQLGGQYSKFRWRENSDGGG >Manes.07G109200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31480655:31482156:1 gene:Manes.07G109200.v8.1 transcript:Manes.07G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLKTIFCLLLLAITLSCVSSARVLDDVDPQDPIIVAPPVTSTLPSGQFPAATAVAPPPIMDDEVDPPLPEAEVPTTVGGPDDDVEAPEVAPPVTVAAPIPVPTTTTTPAPTVTSTATVPNPAGAQTTTTTTAAASASASLSFFMHDILGGTHPSVRVVTGIIARTDINGIPFSSPNNNFFPLQGGTPLPNVNNINNFINPNTVPLITGLNPGTQANTVLQNSGNNNNVVNGNNQPFVTAGQIPAGSTLQKIMFGSITVIDDELTEGHELGSAVLGKAQGFYMASSLDGTSHTMALNVLLHGEDNHAEDTISFFGVHRTASPESQIAVIGGTGKYENAKGYATVETLPQVDQHTTDGVDTVMHFTVYLSE >Manes.12G002900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:479272:480048:-1 gene:Manes.12G002900.v8.1 transcript:Manes.12G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANDMSTSRSKVMFCKRKTTLKKKAEELSKLCGVPVCLICFEPDGTKIDTWPEDKKEVGDILVKYIYILNKDNIDLQLGFMDANNKNQDLVAKEESCKHEEEKKKKKKKRVFETWNTRLDYLPEECLHDILKFLERKAENLEERIMQMAMIRVLAAKSNQCIT >Manes.13G099801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29242537:29243750:-1 gene:Manes.13G099801.v8.1 transcript:Manes.13G099801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLAFVEGKFIVPKDGSKDYEMWRQCTSMVTSWILNSISKDLVESFLYITNARELLFELGERYGESYRMMIYQIKRRIASISQENLFVTTYYSILKQLWDELANIVPIPPYSWLNDAFNQVCGQVLLLDPIPTMNKAFSMVLQVESHKEVPTNLTKHIEVIALAVRSHRRDYRK >Manes.13G152600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36707299:36708940:1 gene:Manes.13G152600.v8.1 transcript:Manes.13G152600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFAAFSFSLIYKYRGHPSINYHPLIHFLPFQSPPPPSICLCFPVTYCLFQDKETTITMGRAPCCDKNGLKKGPWTPEEDHKLITYIQLHGPGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSIMGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHAPRLDLLDLSSILGSALCNPSLFNLSSLLGTQTILNPEVLRLATTLSSLRQENPEMFLQHLQDNQVLSSQVQNQVSLSQANQFQNTIQEPTSSQFLSPTQLMQTDVGGLSCQNSLQNVVPSSLDDCLVSQVPNFVSCNTNPSIPDKLAGNSGFQPINNSCQNYSIESVLSTPLSSPAPLNSSSTFVNSSSTEDERESYCSTLFKFEIPESLDMDDFL >Manes.01G002151.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1786723:1788833:-1 gene:Manes.01G002151.v8.1 transcript:Manes.01G002151.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSFLGSAHDLSSGPHCRKCLGKLGSLALQEIFLAWVVESELEKIKENLEVIKAVLLDAEQHLSQNPWVGMWQENLKQVLYYGEN >Manes.07G039000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4542699:4547239:1 gene:Manes.07G039000.v8.1 transcript:Manes.07G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEYDVIVLGTGLKECILSGLLSVDGLKVLHMDKNDYYGGESTSLNLNQLWKRFRGSDGKPPESLGISKEYNVDMIPKFIIANGNLVRVLIHTDVTKYLNLKAVDGSFVYNKGKIYKVPANDVEAFNSPLLGFFEKFRARNFFTYIRDYEENDPKSHNGRDLTKVTAREIISENGLKDDTIDFIGHALALHLDDSYLDQPALDFVKRMKLYAESLARFQGGSPYIYPMYGLGELPQAFARLSAVYGGTYMLNKSECKVEFDADGKAIGVTSEGETAKCKKIVCDPSYLPDKVKKVGKVARAICIMSHPIPNTSDSHSAQLILPQKQLGRKSDMYLFCCSYSHNVAPRGKYIAFVSTEAETDNPEVELKPGIHLLGVVDEIFYDTYDRYVPTNHYEVDHCFISTSYDATTHFETTVDDVIEMYTKITGKILDLSVDLSSASVSADEK >Manes.11G003300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:473088:475114:1 gene:Manes.11G003300.v8.1 transcript:Manes.11G003300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTHGIDLSCEDSVENLLKLFDIIAQAGPLTAAEITAHLPTRNPDAPSMIDRLLRLLASYSLLTSSHGLIERRYGLSSTGQFFVRDNKHGVSMAAFPADAKALTEAWFYLKDSILEGGNPFERAHGVPMYKYISSDQESVKDFSKAMDSISSYIISKVLDSYNGFQGLKSLVDVGGGSGVAINMVISKYPSIAGINFDLPHVVKEAPPHLGVKHVGGDMLSGIPSADAIMMKDVLHNWSDEQCTKILKNCYDALPEGGKVIVVSHIMPRALDSSIATKYVCQLDVMMFLFPGGKQRTEEEFKDLAKATGFSRFQLICYVAYDAVGVMEFYK >Manes.11G003300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:473158:475114:1 gene:Manes.11G003300.v8.1 transcript:Manes.11G003300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSLPTSQQDDEAYSFALYLRSAYVFSHALAICLQLKLFDIIAQAGPLTAAEITAHLPTRNPDAPSMIDRLLRLLASYSLLTSSHGLIERRYGLSSTGQFFVRDNKHGVSMAAFPADAKALTEAWFYLKDSILEGGNPFERAHGVPMYKYISSDQESVKDFSKAMDSISSYIISKVLDSYNGFQGLKSLVDVGGGSGVAINMVISKYPSIAGINFDLPHVVKEAPPHLGVKHVGGDMLSGIPSADAIMMKDVLHNWSDEQCTKILKNCYDALPEGGKVIVVSHIMPRALDSSIATKYVCQLDVMMFLFPGGKQRTEEEFKDLAKATGFSRFQLICYVAYDAVGVMEFYK >Manes.11G003300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:473158:475114:1 gene:Manes.11G003300.v8.1 transcript:Manes.11G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSLPTSQQDDEAYSFALYLRSAYVFSHALAICLQLKLFDIIAQAGPLTAAEITAHLPTRNPDAPSMIDRLLRLLASYSLLTSSHGLIERRYGLSSTGQFFVRDNKHGVSMAAFPADAKALTEAWFYLKDSILEGGNPFERAHGVPMYKYISSDQESVKDFSKAMDSISSYIISKVLDSYNGFQGLKSLVDVGGGSGVAINMVISKYPSIAGINFDLPHVVKEAPPHLGVKHVGGDMLSGIPSADAIMMKDVLHNWSDEQCTKILKNCYDALPEGGKVIVVSHIMPRALDSSIATKYVCQLDVMMFLFPGGKQRTEEEFKDLAKATGFSRFQLICYVAYDAVGVMEFYK >Manes.04G067600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25591326:25597473:-1 gene:Manes.04G067600.v8.1 transcript:Manes.04G067600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTTTLRQWFDRVDSEKTGSITATQLKSALAVGNLQFSLSIVQQMISRMYDFDRNGTMSFGEFVALNKFLTKAQRAFSDVERNRGYLLPDDVHEALGKIGFSLDSPAFYTVCESFDQKKDGRFRLDDFISLCIFLQSARNLFNSFDTAKQGRVTLDLNQFVYCTANCRI >Manes.04G067600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25591326:25597473:-1 gene:Manes.04G067600.v8.1 transcript:Manes.04G067600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTTTLRQWFDRVDSEKTGSITATQLKSALAVGNLQFSLSIVQQMIRMYDFDRNGTMSFGEFVALNKFLTKAQRAFSDVERNRGYLLPDDVHEALGKIGFSLDSPAFYTVCESFDQKKDGRFRLDDFISLCIFLQSARNLFNSFDTAKQGRVTLDLNQFVYCTANCRI >Manes.04G067600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25591094:25597477:-1 gene:Manes.04G067600.v8.1 transcript:Manes.04G067600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTTTLRQWFDRVDSEKTGSITATQLKSALAVGNLQFSLSIVQQMIRMYDFDRNGTMSFGEFVALNKFLTKAQRAFSDVERNRGYLLPDDVHEALGKIGFSLDSPAFYTVCESFDQKKDGRFRLDDFISLCIFLQSARNLFNSFDTAKQGRVTLDLNQFVYCTANCRI >Manes.09G011785.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2646010:2648192:1 gene:Manes.09G011785.v8.1 transcript:Manes.09G011785.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEISLQPDVYVYNAIMKGLCQQGLMDEAYKVFRDMEKGGCLPNNCCYNIIIQGFLKHEYLPKASELINEMVDKGFSADAATTELVVHLSLNNDLILSKLRNRSEASKGVQ >Manes.05G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9624782:9629117:1 gene:Manes.05G103100.v8.1 transcript:Manes.05G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKDSAIKLFGKTIPLPLVHRLDLSSANESSSTAGPASEDFPDENTASSLENISGREEGAQEINRVREFAGKETSDDEQENSTSNHVTEDSKDPTMLSDVNEKPKTSSENSPQKSSKNGEQCDTTISQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKSSCGSHYRHIMVSEALRTAQVHAVNGFHNPTLRNSGTVLSFGSDSPLCESVASVLNLSEKTQNNIRNGYHRPEQRILISSGGACDNGDDRLSGSSVTASNSSDKGGNCGPQEAITKNYQLQCFAVPPWSYPWSSSMAPTAFYPSGFPVSFYPAPPHWGCSVPSPWNGPPCLSVQLPSLTHCAASSSPTSPLGKHSREGKILNPAHLESEDPSRESNCSEISVLIPKTLRIDDPSEAARSSIWTTLGIKSSDKSNVNGGGLFKGFQSKNEDNNYVAETSPLLHANPAALSRSLNFQERT >Manes.11G085375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13645398:13650656:-1 gene:Manes.11G085375.v8.1 transcript:Manes.11G085375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCSSTNVATSRASISRSLPFQSRNPNVSFPLNLSSSLNRSPKPFLRVSADSTPKARFVARRKESVSVRQLGRPLIEYMSLPASQYSVLDAERIERVDENTFRCYVYRFKFFAFEVCPVLLVRVEEQPNGCCIKLLSCKLEGSPMVVAQNEKFNASMVNYISCGSSQSNSSVQQLTSDAVIEVNIEVPFAFGAIPVQAIESTGTRILEQILGLMLPRFMAQLVKDYQAWASGDTSRQPLGTGKI >Manes.07G116200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32157257:32159582:-1 gene:Manes.07G116200.v8.1 transcript:Manes.07G116200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEKKKQNKKQKHQHPNDQTTKPASDFSFKPSSEVKGLRFGGQFIVKSFTIRRARPLELLKLLSYPPTNRSNSSSSSKIPFPSTIAFLPTNFTILAHQAWHTLTLGLGTKKSKVIVFIFESESLKLAVERIWPQEIPLGEVNKKLIRGLAGSEMARFKFRKGCITFYVYAVRRIGNLGFSCADDLRTILQSVVSLTDFLDHTAMLAMPHQRSINYQAPVAMAH >Manes.07G116200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32157257:32159582:-1 gene:Manes.07G116200.v8.1 transcript:Manes.07G116200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEKKKQNKKQKHQHPNDQTTKPASDFSFKPSSEVKGLRFGGQFIVKSFTIRRARPLELLKLLSYPPTNRSNSSSSSKIPFPSTIAFLPTNFTILAHQAWHTLTLGLGTKKSKVIVFIFESESLKLAVERIWPQEIPLGEVNKKLIRGLAGSEMARFKFRKGCITFYVYAVRRIGNLGFSCADDLRTILQSVVSLTDFLDHTAMLAMPHQRSINYQAPVAMAH >Manes.09G018300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3912755:3914407:1 gene:Manes.09G018300.v8.1 transcript:Manes.09G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQHRREAIDDQNGLNQSQVIVVMVPLPAQGHLNQLLQLSRLILSYNIPVHFIGTATHNRQAKLRVHGWDVPPNASIHFHDLEIPPFPCPPPNPNAKNKFPSHLLPAFINASSHLREPVSVLLRSLSSKARKVIVINDSLMASVIQEVRFISNAESYIFHSVSAFTICLLQMERSGRHNKIGAIPENIPTFEGCFTDEFLDFLASEYQYHKFNSGRIYNTCRLIEGDFIEFLEKQERETLEEIVSTKKKHWALGPFNPVIIPAERSKGTNGRHFCLEWLDKQARNSVIYVSFGTTTAMNNEQIKQLAIGLKQSGQKFIWVLREADKADIFNVDNERKAEIPKGYENSVEGVGLVVRDWVPQLEILAHPATGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNAVLITELLKIGVTVKEWARRDEIVTAKMVESSVKKLIASDEGDGIRKRAAELGESVRESMVEGGSSRMEIDSFIAHISSCV >Manes.06G137200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26523684:26527846:1 gene:Manes.06G137200.v8.1 transcript:Manes.06G137200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAVFLFFFFIFMSKETRVSANSELRALMDMKASLDPEDKYLSSWTINGNPCDGYFEGVACNDEGQVANVSLQGKGLNGKLSPAITGLKHLTGLYLHYNSLYGEIPKEIANLKVLTDLYLNVNNLTGEIPPEIGSMENLQVLQLCYNQFTGSIPTQLGSLKKLNVLALQSNQLTGAIPASLGDMSVLMRLDLSFNSLFGSIPTKLADGPLLEVLDVRNNSLSGNVPLALKRLSEGFLYQNNLGLCGSGFMNLKACNVSDSINPSRPEPFGPGSTGLSTREIPETANLQLPCNQTYCSSKSRSHHQASVVVGTVIVTVALSAIGILTFTQYRRRKQKLGSSLEISDSRLSTDQAKGVCRKNGSPLISLEYSNGWDPLADGKNLSGHAQDVFQSFMFNLNEVETATQYFSEVNLLGKSNYSATYKGILRDGSVVAIKSINKTSCKSEEAEFLKGLNILTSLRHENLVRLRGFCCSKGRGECFLIYDFVPNGNLLQYLDVKDGDGCVLEWSTRVSIVKGIAKGIEYLHGYKVNKPALIHQNITAEKVLLDQRCYPLLADSGLQNLLTNDIVFSALKASAAMGYLAPEYATTGRFTDKSDVYAFGVIVFQVLSGKREVTNLVRLGGDSCRFQDYIDPSLHGRFFEYEAAKLARIAWLCTHESPIERPSMEAIVQELGNCSSCL >Manes.16G017300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1582912:1585266:-1 gene:Manes.16G017300.v8.1 transcript:Manes.16G017300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPNDNSNWVFDYSLIEDVTVPGGDLPSLDPSGGLWSSPSFTDNASVSVEFDGLFGNSGLKESGSRKRVRPGSCNTLGSKACREKMRRDRLNDRFLELSALLDSGRPPKVDKSAILADALKVVNQLRDEARKLKDSNESLQDKINELKAEKSELRDEKQRLKTEKENIEQQVKALSAGAGFFPHPPAIPSPFSTPSHAVGSKLVPFVGYPGVPMWQLMPPATVDTSQDPVLRSPAA >Manes.02G208560.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23962965:23979820:1 gene:Manes.02G208560.v8.1 transcript:Manes.02G208560.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGFEDCTMAEDGPNDNPTNELEDSLQNSPIAKCQIPGLEYVLFVKGSGPHSIDYGVAPGAPPKEVIVSRKCAEAVLRGAQVFVPGVMACSAHVEKGDAVAVSVAIEQHGPDGGWAIGMTRGTVLQGLQTDPYYSERYGLYIGQGITKMSRAGIFRVSEGIAVDMNNRVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAADRSHNKVLDIQKLAAEMSLTCITTYKLDALKAVRRRNESNDNVTVQSSEPLRFHEEKTFSTVQVLNPEKVRNEIVSNEKENERTYVSKADIRKSNRRMRNGPGRNQCLGGRVENSKGFDPDSFDRVLLDAPCSALGLRPRLFAGEETVGSLRNHAKYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLAQQVGLNADSSSGGRAGNVYLKGCHDIYGVLMDEKAEKS >Manes.02G208560.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23962965:23979820:1 gene:Manes.02G208560.v8.1 transcript:Manes.02G208560.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARDLLKPTRRAFLSLARPPSPSPTAVPLPPQMDPSERYCYNPRLQWNPEVEDYFIKAYGADNFSRISHALTRPSTYSCIRVNTLKTTTDAVVQKLKAIMKETGFEDCTMAEDGPNDNPTNELEDSLQNSPIAKCQIPGLEYVLFVKGSGPHSIDYGVAPGAPPKEVIVSRKCAEAVLRGAQVFVPGVMACSAHVEKGDAVAVSVAIEQHGPDGGWAIGMTRGTVLQGLQTDPYYSERYGLYIGQGITKMSRAGIFRVSEGIAVDMNNRVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAADRSHNKVLDIQKLAAEMSLTCITTYKLDALKAVRRRNESNDNVTVQSSEPLRFHEEKTFSTVQVLNPEKVRNEIVSNEKENERTYVSKADIRKSNRRMRNGPGRNQCLGGRVENSKGFDPDSFDRVLLDAPCSALGLRPRLFAGEETVGSLRNHAKYQRRMFDQAVKLVRPGGVIVYSTFVSKIQYSLFDAQ >Manes.02G208560.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23962965:23979820:1 gene:Manes.02G208560.v8.1 transcript:Manes.02G208560.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARDLLKPTRRAFLSLARPPSPSPTAVPLPPQMDPSERYCYNPRLQWNPEVEDYFIKAYGADNFSRISHALTRPSTYSCIRVNTLKTTTDAVVQKLKAIMKETGFEDCTMAEDGPNDNPTNELEDSLQNSPIAKCQIPGLEYVLFVKGSGPHSIDYGVAPGAPPKEVIVSRKCAEAVLRGAQVFVPGVMACSAHVEKGDAVAVSVAIEQHGPDGGWAIGMTRGTVLQGLQTDPYYSERYGLYIGQGITKMSRAGIFRVSEGIAVDMNNRVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAADRSHNKVLDIQKLAAEMSLTCITTYKLDALKAVRRRNESNDNVTVQSSEPLRFHEEKTFSTVQVLNPEKVRNEIVSNEKENERTYVSKADIRKSNRRMRNGPGRNQCLGGRVENSKGFDPDSFDRVLLDAPCSALGLRPRLFAGEETVGSLRNHAKYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLAQQVGLNADSSSGGRAGNVYLKGCHDIYGVLMDEKAEKS >Manes.02G208560.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23962965:23979820:1 gene:Manes.02G208560.v8.1 transcript:Manes.02G208560.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARDLLKPTRRAFLSLARPPSPSPTAVPLPPQMDPSERYCYNPRLQWNPEVEDYFIKAYGADNFSRISHALTRPSTYSCIRVNTLKTTTDAVVQKLKAIMKETGFEDCTMAEDGPNDNPTNELEDSLQNSPIAKCQIPGLEYVLFVKGSGPHSIDYGVAPGAPPKEVIVSRKCAEAVLRGAQVFVPGVMACSAHVEKGDAVAVSVAIEQHGPDGGWAIGMTRGTVLQGLQTDPYYSERYGLYIGQGITKMSRAGIFRVSEGIAVDMNNRVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAADRSHNKVLDIQKLAAEMSLTCITTYKLDALKAVRRRNESNDNVTVQSSEPLRFHEEKTFSTVQVLNPEKVRNEIVSNEKENERTYVSKADIRKSNRRMRNGPGRNQCLGGRVENSKGFDPDSFDRVLLDAPCSALGLRPRLFAGEETVGSLRNHAKYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLAQQVRF >Manes.02G208560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23962965:23979820:1 gene:Manes.02G208560.v8.1 transcript:Manes.02G208560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARDLLKPTRRAFLSLARPPSPSPTAVPLPPQMDPSERYCYNPRLQWNPEVEDYFIKAYGADNFSRISHALTRPSTYSCIRVNTLKTTTDAVVQKLKAIMKETGFEDCTMAEDGPNDNPTNELEDSLQNSPIAKCQIPGLEYVLFVKGSGPHSIDYGVAPGAPPKEVIVSRKCAEAVLRGAQVFVPGVMACSAHVEKGDAVAVSVAIEQHGPDGGWAIGMTRGTVLQGLQTDPYYSERYGLYIGQGITKMSRAGIFRVSEGIAVDMNNRVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAADRSHNKVLDIQKLAAEMSLTCITTYKLDALKAVRRRNESNDNVTVQSSEPLRFHEEKTFSTVQVLNPEKVRNEIVSNEKENERTYVSKADIRKSNRRMRNGPGRNQCLGGRVENSKGFDPDSFDRVLLDAPCSALGLRPRLFAGEETVGSLRNHAKYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLAQQHPRIGGPGLIGGYEFPDGYVEEWLRPGEEELVQRFDPSSPLDTIGFFIAKFVVGPKNI >Manes.02G208560.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23962965:23979820:1 gene:Manes.02G208560.v8.1 transcript:Manes.02G208560.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGFEDCTMAEDGPNDNPTNELEDSLQNSPIAKCQIPGLEYVLFVKGSGPHSIDYGVAPGAPPKEVIVSRKCAEAVLRGAQVFVPGVMACSAHVEKGDAVAVSVAIEQHGPDGGWAIGMTRGTVLQGLQTDPYYSERYGLYIGQGITKMSRAGIFRVSEGIAVDMNNRVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAADRSHNKVLDIQKLAAEMSLTCITTYKLDALKAVRRRNESNDNVTVQSSEPLRFHEEKTFSTVQVLNPEKVRNEIVSNEKENERTYVSKADIRKSNRRMRNGPGRNQCLGGRVENSKGFDPDSFDRVLLDAPCSALGLRPRLFAGEETVGSLRNHAKYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLAQQVRF >Manes.02G208560.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23962965:23979820:1 gene:Manes.02G208560.v8.1 transcript:Manes.02G208560.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGFEDCTMAEDGPNDNPTNELEDSLQNSPIAKCQIPGLEYVLFVKGSGPHSIDYGVAPGAPPKEVIVSRKCAEAVLRGAQVFVPGVMACSAHVEKGDAVAVSVAIEQHGPDGGWAIGMTRGTVLQGLQTDPYYSERYGLYIGQGITKMSRAGIFRVSEGIAVDMNNRVFKLPSFHDVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEIVAADRSHNKVLDIQKLAAEMSLTCITTYKLDALKAVRRRNESNDNVTVQSSEPLRFHEEKTFSTVQVLNPEKVRNEIVSNEKENERTYVSKADIRKSNRRMRNGPGRNQCLGGRVENSKGFDPDSFDRVLLDAPCSALGLRPRLFAGEETVGSLRNHAKYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLAQQHPRIGGPGLIGGYEFPDGYVEEWLRPGEEELVQRFDPSSPLDTIGFFIAKFVVGPKNI >Manes.02G017635.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1477581:1479518:1 gene:Manes.02G017635.v8.1 transcript:Manes.02G017635.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTFLQSFLLLLLFFVLGIPCFSLPLSTNGRWIVDATTGQRVKLACANWPSHVETMLAEGLDKQPLQFIIEQLTTKNHFNCVRFTWATHMFTRYANLTVMESLDSLNLTNAKAGIAKNNPYVLNQTLVQAFETVIDQFGAQGVMAVLDNQVSRPTWCCGFEDGNGFFGDPDFDASEWLRGLTLVAERFKGKSQVVAISTRNELRGPLANEDDWYKYILQGGETIHQANPDVLIFASGLAYASDLTFLKKKHLPTNFDNKLVYEAHWYAFSWGEGKSWGMEKVNDACYSKTQYFINQTGFVVHDENPFPMFLGEFGLDQRGFSLGDEHFYACLLAYVADEDLDWGLWAWQGSYYYRENKTGTEETYGVMNYNWNRVKNQDFQKRMDLIKTTLRDPTSRSPTTYIMFHPQSGSCIQTENKDGIYASSCRSPSHWVHEGDGTPLWLNGTKLCLKAVGDELEPILSTDCTSKQSTWRSLTKSKLHLAAIDEKGRYMCLQKESRYTTKILTTNCTLTHENPECERDPQKDPVTQWFKLVRTNVL >Manes.13G069751.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:9705485:9706429:1 gene:Manes.13G069751.v8.1 transcript:Manes.13G069751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSAPLIGTNFRSWCRAIRIALGAKQKLEFIEGTVTIPDKGSDSYEQWKRCDFMVTSWILNSISRELVDGFIYTASARDLWLEITERFGECNGTMIYELRRKISLISQDNFSASVYFTKLKGFWDELGSMETLPPCTCGASKAIDEINNRNRLMQFLMGLSDAYGTVRDQILGMDPLPSVNKAYSMVLKFESQKDILGNISGNTEPLALMNRTYKQYQGKQRGPGPKRGHCSYCDMDGHVREGCFKLIGYPEWFKTKIKNNGQPSKANRNIGHERKVVAAVEGTPHKRDTPLDMPNTSTQINDLNIMMNSLQ >Manes.12G008800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:920061:926001:1 gene:Manes.12G008800.v8.1 transcript:Manes.12G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTILVGAGILGSVLAKEGRLSNVTDLVSGAFKIAFKQIKRDDSTSSIGKPHNDALMAQVNSLRQELQMLASNRSVTIVTASGTGASKYSVIVVIGVVGYGYVWWKGWKLPDMMFATRRSLSDACTSIAQQLENVYASIRSTRRLLSSNIDRVDSNLNEVAALTANTQEKVTELLEDSGRIGHDVRFVRDAVETLELKISRIEGKQEMTNIGVKKLVDYAYNLENNLLEENTQASSSGSRITFSSKTCSLPLPSSEPTSPSVSSGSLEVQRTLHRAASVTPQQVSNGISAAVEVSSNPGISNGIRDLEETNNGSSSWFKPILFQRAWGATNAVTSSGRQKS >Manes.12G137700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34426586:34428145:1 gene:Manes.12G137700.v8.1 transcript:Manes.12G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRPRAPIRRTTSMSGITVDIPDMGASEMAPSSEDSSTQNHHQMIGDPHHAAVGAEEVCLNYYSNSNGLYDQRFLASMVSPRINQRRSSAGALLETAHFLRTCGLCNRRLAHGKDIYMYRGDTAFCSVECREQQMKNDEIKEKCSSLAMASKKEDRHASTSSSSKAAASRKSETMAVA >Manes.13G082278.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:17161173:17162192:-1 gene:Manes.13G082278.v8.1 transcript:Manes.13G082278.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELKLLLLLFIKTELCRNFSKTMDISEEVEDEEKELEHSSLQEKLDRELQELDKRLEQKEEEDLHPENVYYSEMKDAGFFDADWE >Manes.08G057300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6453553:6455272:1 gene:Manes.08G057300.v8.1 transcript:Manes.08G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASKSNTLDPKSHHLQENFSPESSAFTSFSSPVPRALSLQTPLVHHLPSKKGDSHHLVSLTSTTYGSLLLVEPLKSKLNGRNPPDQPLSPPKFTKNTHKTHVPADPGKSFSPDSVINTWELMDGLDDDLDFEMGDSLKPKSSFSDHAVQVSLKSSSSQRLGFDESIKKLQDSFDSEKSESFSSSKPLWKHLSEESFLSKMDPNVVSSYRRALSSRQLGYTKETTIVARSVGSSPMNPLPTNNNGFLSHNTEDKIVLYFTSLRGIRKTYEDCCAVRMIFRGFRVPVDEKDISMDASYRKELQSLIKCKAISLPQVFIRGKHIGGVEEIRQLNEAGELANILEGFPVRDPRVVCERCGDARFVPCPNCNGSRKVFDEEEEQLKRCMYCNENGLIRCPGCCCS >Manes.10G038700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3958716:3962509:1 gene:Manes.10G038700.v8.1 transcript:Manes.10G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWGSVVFIWVSSIMCLICVSLGYNPVDDYLIDCGSSTNKSVGDRVFVADQFYFNLLSTPHITFANASSSPNSSAYDPSLFQTARIFNETSYYSFSVNKPGRHWIRLYFFPFMFRNYNLSTAKFSVSAQNFTLINEYQPKVDPEVKEYSFNVTSDKLVLSFTPFANSFAFVNALEVFSLPDELIPPGAPTIGSQGKYQNLGKHALETVERLNMGNQTVSPLNDTLWRLWEADGKYLKHSNVQKFVSNVRAVNFTKGKLTENIAPSSVYGTATILNSDPDPQTNANVTWLFDVDPGFEYLVRFHFCDILPRPAAKFFFNVYIGSSAVVQYLDLLNRTSDVGVPYFMDVITRVSVSRMLNVSIGPSNNLLYPNAILNGLEIMKISNSMDSLDVSDSASSKSSKTKIILIAGLAAVFFIIIVLAVVIFLLCRRRRLADLNHWNEGDTIYTAGSKFSNGTLMFSTSKFGYRFPFVAIQEATDNFSESLVLGVGGFGKVYKGVLRDETKVAVKRGTSQSQGIAEFQTEIEMLSQFRHRHLVSLIGYCDERNEMIIIYEFMENGTLKDHLYGSDHPCLSWRQRLEICIGAAKGLHYLHTGSTRSIIHRDVKSANILLDENFMAKVADFGLSKTGPEIDQSHVSTAVKGSFGYLDPEYLIRQQLTEKSDVYSFGVVMFEVLCGRPVIDPSLPREKVNLVEWALKSQRRGQLEEIVDPLLEGQIKPDSLNKFGEIAEKCLAERGVDRPSMGDVLWNLEFALQLQGNEGRSSHNGNIIEKFCRANSFETDVSAAQVSMGDLAGVSMSKVFAQMVKEEMSIRDK >Manes.10G038700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3958716:3962509:1 gene:Manes.10G038700.v8.1 transcript:Manes.10G038700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNWGSVVFIWVSSIMCLICVSLGYNPVDDYLIDCGSSTNKSVGDRVFVADQFYFNLLSTPHITFANASSSPNSSAYDPSLFQTARIFNETSYYSFSVNKPGRHWIRLYFFPFMFRNYNLSTAKFSVSAQNFTLINEYQPKVDPEVKEYSFNVTSDKLVLSFTPFANSFAFVNALEVFSLPDELIPPGAPTIGSQGKYQNLGKHALETVERLNMGNQTVSPLNDTLWRLWEADGKYLKHSNVQKFVSNVRAVNFTKGKLTENIAPSSVYGTATILNSDPDPQTNANVTWLFDVDPGFEYLVRFHFCDILPRPAAKFFFNVYIGSSAVVQYLDLLNRTSDVGVPYFMDVITRVSVSRMLNVSIGPSNNLLYPNAILNGLEIMKISNSMDSLDVSDSASSKSSKTKIILIAGLAAVFFIIIVLAVVIFLLCRRRRLADLNHWNEGDTIYTAGSKFSNGTLMFSTSKFGYRFPFVAIQEATDNFSESLVLGVGGFGKVYKGVLRDETKVAVKRGTSQSQGIAEFQTEIEMLSQFRHRHLVSLIGYCDERNEMIIIYEFMENGTLKDHLYGSDHPCLSWRQRLEICIGAAKGLHYLHTGSTRSIIHRDVKSANILLDENFMAKVADFGLSKTGPEIDQSHVSTAVKGSFGYLDPEYLIRQQLTEKSDVYSFGVVMFEVLCGRPVIDPSLPREKVNLVEWALKSQRRGQLEEIVDPLLEGQIKPDSLNKFGEIAEKCLAERGVDRPSMGDVLWNLEFALQLQGNEGRSSHNGNIIEKFCRANSFETDVSAAQVSMGDLAGVSMSKVFAQMVKEEMR >Manes.14G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8946294:8950985:-1 gene:Manes.14G106200.v8.1 transcript:Manes.14G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNLLMSFSPFIHLFTITFLLLASKAICVYVDPQFLSCSVTGTCGDGQIISFPFYIQDQQESFCGYPGFNLFCHNSRPVLRLRDDSYIIHQIDYINQTLRVSNAAFLNTSNACVPHLLKNTSLPDDRFNLLSNQTELILFSRCNSTLLGGSNSTLLKYKVNCSGETETETTGPILSIFDGDPLLGSASEVCEEELAVPVDVRRGENEGIERMVERGFVLKWTASHCSICESSGGKCGFNSINYLFRCFCPDRPHALGCDPDLPVAAKGLGMDLGPALGAILALVMIAVCCCIRKLKPDNSIFFWKKKTGDSKSIDAFLKNHGHMPLTIYRYTEVKKMTENFKDKLGQGGYGGVFKGKLPDGLLVAVKVLKESKSNGEEFVNEVASISRTSHVNIVNLLGFCYEGAKRALIYEFMSNGSLEKYIYEENPSTANCKLGLETLHDIAIGVARGLEYLHGGCNTRILHFDIKPHNILLDENFCPKISDFGLAKICPETESIISMMGTRGTAGYIAPEVFC >Manes.10G137475.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30536588:30538753:1 gene:Manes.10G137475.v8.1 transcript:Manes.10G137475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVNLSRIGSNWVGQLNKLPHLTGLHLYACLLSGTISSPISVNFTSLAVIDLSFNPFNSRFPVWLANISSLVSIDLSFSGLNVGRLPHVFSELPNLRFLRLVNTFKKARCSEILRGSWKKIEVLDLTLNRLYGELPASLGNMTSLTHLSLYWNNIQGRIPSSIGKLCNLKFLSLRFNNLTGNIPDFQGESVNCPFEIPFPSLQILVLSSNQLVGQLPYWLGSLKSLVVLDLEYNSLQGPIPVLDNLKKLVVLKLAENELNGTLPDSLQQLSELYELDVSNNHLTGIVSESHFSKLSKLKDLDLSGNFFILNVTSFWVPPFQLESLYISSCLLNSSFPVWLKSQSNIIYLHFSNVSVSGIVPDWFWDMSPNLKDLNASFNQLQGKLPYPSKLSADVMDLSSNLFEGHIPLPRFPISLLDLSNNQFSGPIPNRLGEILATTRFLSLSGNQLTGEIPISIREMLSAAVIDLSRNNLTGSIPSSLGNCPSLEVLDLQKNFLSGKVPGSLSQLNMLQTLHLSSNKLSGEIPSFFHNWSSLETLDLGDNRLTGNIPPWTGSVFPNLRILSLRSNALSGEIPSELSDLSSLQILDLAENELNGNIPSSFVNLRAMVQIQRINHYLFYGMSFRHYYGESYSANIKNQHQTFNKILSILTSLDLSGNNLHGQIPEELMKLAGLAVLNLSGNHLTGEIPESISELNQLLSLDLSSNKLSGPIPPSISSLSY >Manes.14G120121.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11565553:11566802:-1 gene:Manes.14G120121.v8.1 transcript:Manes.14G120121.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRNGFSGSQILGNMSLLESLDLSNNNFSGSIPSSLLKCNEFKIMGVNYNHLSGKIPNWIGNLSQLQILHLSQNNIFGSLSCNFCPKDFTEVHLFKNMLQGLLKDSFHNCPSLVVLDISHNNLIGRISKWIGEIPLSYILLSQNHFEGEIPIQLCNCSSWYEKREKSNQPSRFFNPETGVSVRLMYSSYFYQAIILRYFSGIDLSCNFLRGEIPPEIGNLSMIKVNPITFSNLGQIESLDLSYNNLEGKIPPQLTRLYSLAVFSVAHNNFSGKTSERLAQFATFEGNPLLCGLPLPKSCNNISPLSPATPIEEKEDNDSSAVQIWIFIELRMYKFNA >Manes.15G122400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9750229:9753347:1 gene:Manes.15G122400.v8.1 transcript:Manes.15G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTTTASGNWARTQFPHLLSSSSLMDLGSISFTKSKPNSKKSKIHCALHSPSVLHFAEQPYHAPVLPKDDSFLTVKQKTAHLLPPQLNLFQRAAAVALDMAEDALVANERQHQLPKTADPTVQISGNYAPVPEQPVRHALPVTGTIPECISGVYVRNGANPLFEPIAGHHLFDGDGMVHAVKIDRGNASYACRFTETERLKQERELGRPVFPKAIGELHGHFGIARLLLFYARGLCGLVDHTKGTGVANAGLVYFNDRLLAMSEDDVPYQVRVTASGDLETVGRYNFDGQLNHTMIAHPKIDPVSKELFALSYDVVQKPYLKYFRFFPDGTKSPDVDIPLTVPTMMHDFAITENFVVIPDQQVVFKLQEMIRGGSPVIYDKDKKSRFGILAKNARDAQDIIWVESPDTFCFHLWNAWEEPESDEVVVIGSCMTPPDSIFNETDESLKSVLSEIRLNLKTGKSTRRPIIKESNQVNLEAGMVNRNRLGRKTQYAYLAIVEPWPKVSGFAKVDLFTGEVKKFIYGDKKYGGEPFFLPRDPNSEEEDDGYILAFVHDEKKWKSELQIVNARSLQLEATVKLPSRVPYGFHGTFIDSNDLSNQA >Manes.10G088900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23054475:23056807:-1 gene:Manes.10G088900.v8.1 transcript:Manes.10G088900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRITAEKKATTSRGVAGYEKLESSENGIYQAEKDKKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLVQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENCELPYQNHGHVILADPSPILFYRISSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAIKKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTLALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.17G015154.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7849780:7851241:1 gene:Manes.17G015154.v8.1 transcript:Manes.17G015154.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVFGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVQITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.14G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6984434:6986190:-1 gene:Manes.14G084500.v8.1 transcript:Manes.14G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKPHVIAIPCAAQSHVASLVKLAYKLAEHEIKVTFVNADFVHAKIMAALPLEFQQQNQIRFVSIPDGSESDDDRNDSIKHNQSILRVMPGHLQNLIEKINQSGDDEQITCVIADITAGWALELAMSMSIKRAAFLPAGLGNSALALHIPKLIESGVIDVNGMPIRDEMIVLSKDIPAWSPRNVTWSCPGNPEFQKFLFQCYSTKVSEYVMISDWTITDSFYELEQPAYNLIPNVLPVGPLTSTRMIHNPGSFWPEDQTCLSWLDEQPTGSVIYVAFGSLRLFSKQELDELALGLELVGQPFLWVVRPQIMNGMRAEFPDGFMERVADRGKIVEWSSQEKVLCHPSIACFLSHCGWNSIMEGLSKGIPFLCWPFFADQLHNRDYICETWKIGLSLSPDQHGTVTRHEIETKIRALISDDAIKANALNLKEMASKSVAAGGSSFKNFQAFVEQLRSSC >Manes.02G049700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4025487:4031734:-1 gene:Manes.02G049700.v8.1 transcript:Manes.02G049700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQKSATMTTTPRPTILLPPRPSMDTFFTGGLSPGPMTLVSSFFSDNYPDSDCRSFSQLLAGAMASPIARPAFFTDTSVPNGNTSSVKQDGAENNTYLGFKQSRPMNLLVAPSPLFTVPPGLSPSGLLNSPAFFSPPQSPFGMSHQQALAQVTAQAALAAQSHMHMQAQYQPAPVTDSTELLTHHQSFTTGEASQQQMLPSTSELPSSMVESSDLSHSDRKQQQPLAVDKPSDDGYNWRKYGQKPIKGSEYPRSYYKCTHLNCPVKKKVERSSDGQITEIIYKGLHNHEQPPSNKRAKDNNDLNGGTNSQAKPELSSQVPSGDMNKRETIPSHSVHGREQESNQADPIELPGSSDSEEAGDGTTRADGRDDDDEPNPKRRHTDVGTSEAALPHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERAAADPKAVITTYEGKHNHDVPAARNSSHNSANNSAPQLKPQKVVTEKHPLLEGMDFGNSDQRPVLLRLKEEEITV >Manes.11G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32169175:32176099:-1 gene:Manes.11G154900.v8.1 transcript:Manes.11G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGRRQDFRRHQQSSSQFPSFQRGGGGRGGRDGGGRASRGFNPAATVTQSAPTISRSSSSAFPPPTTSVAQRTTAPQSVASSSRASSSQATVMSSAAVEELRREVEQKLTTGDKVTKAETLPASSKAVRFTPRPGFGTAGEKCVVKANHFLVEIADRDLCHYDVTVTPEVTSKKLNRVIISQLVRMYRESHLGNRLPAYDGRKSLYTAGPLPFESKEFVVKLVEENNGAVSSASTRRERQFKVAIKFASKANIHHLRQFLSGRQLDAPQETIQVLDIVLRESPSEKYTTVGRSFFSTNLGPRGELGDGIEYWRGYYQSLRPAQMGLSFNIDVSARSFFEPIMVTDFLAKYFRLRDMSSPLLEQDRIKVKRALRGVKVELSHWDYAKSCKIIDLSNQPLNQIFFTFGDKSVSVVQYFRDRYNIGLKYTTLPAIQAGSDSKPIFLPMEVCRIVEGQRYSMKLNDRQVTELLKATCQRPCAREDSIKQIVMRNDYSSDKLVRNEFGIQVKEELTFIDARVLPPPMLKYHDTGGEPRIEPLLGQWNMKNKKMVNGGRVEFWTCVNFSLKVNQNLPVEFCRQLIEMCVSKGMGFNPNPVLPIHSAHPNQIERALADVHEQCTAKLSNEKNQLQLLIIILPDVMGSYGKIKRICETELGIVSQCCQPSQAAKLRRPYFENVSLKINVKVGGRNTVLNDAIQRSIPLVTDIPTIIFGADVTHPPPGEDTNPSIAAVVASMDWPEVTKYRGNVSAQAHREEIIQDLYKSYHDPVRGLIHSGMIRELCIAFRRATGHKPNRMIFYRDGVSEGQFSQVLLHEMDAIRKACCSLEEGYLPRVTFIVVQKRHHTRLFPVQGGETDHSGNIRPGTVIDTKICHQNEFDFYLNSHAGIQGTSRPAHYHVLYDENCFTADKLQVLTNNMCYTYARCTRSVSVVPPAYYAHLAAFRARYYIEGETLDGGPSGGRSTTGRSREVQPLPVIKDNVKDVMFYC >Manes.10G082300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21256430:21257885:1 gene:Manes.10G082300.v8.1 transcript:Manes.10G082300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTGWPDLKQSNERTNLWLCDLISGQILISILEIKNKLRHFGESNLGPFPLDEMPICQICFCFDL >Manes.10G082300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21256486:21257786:1 gene:Manes.10G082300.v8.1 transcript:Manes.10G082300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTGWPDLKQSNERTNLWLCDLISGQILISILEIKNKLRHFGESNLGPFPLDEMPICQICFCFDL >Manes.14G156100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19974662:19980652:-1 gene:Manes.14G156100.v8.1 transcript:Manes.14G156100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFYFTYEPSSAPVAFPSSSSFTSSSDEFTDSISQSISSFSGSEAKPSQNISISSSHASNRLSIQHRSTSSSVSEARPSQNTSTAFSYASISEVSLDNDDAEIVIEGIPVGINLGILAERSKIFHDLFKLKELPGKKKYLLNDLIPFGKIGYEAFLVFLSYLYTEKLNPPPLEISTCVDSECAHDACGPAIDFAVDLLYASAIFKVPKLVALFQRRLSSFAYRAYVEDVIPILVAAFHCDSDELENQCIQRIASSNLDNISIQKMLPGDLPKIIEFYRKEPMSDAQQISVAMDSLHEKIIRRIHKALDFDDVELVHLLLLEDLDLTIDDTKALHYAVAYCDRKLVCEVLNCFSFDINLRNSQGFTALHIAAMRKEPSIIMYLLGKGACVSDLTLDGRSAITICKRLTRQKNYQSKIEQCQETTYKDRLCIDILERAMQGNVLS >Manes.14G162900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:25072784:25074278:-1 gene:Manes.14G162900.v8.1 transcript:Manes.14G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWVFLCDEEEKELGRQQAPGSCPYCGGKVLAMDVERKWSFCFLPICYKIKRKYFCSLCARRLELYH >Manes.11G054300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6675856:6679820:-1 gene:Manes.11G054300.v8.1 transcript:Manes.11G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLLSRIKPLHHPKPNASSRIPFTPPVKHLVRDTIHIIKTDPSWQESLETHFAGAEARVSEIASFVFDQIRDPELGIKFFQWTSKQSDGSNSLDGFACSSLLKLLARCRVFEKIENLLKIMKAKDLVPTCEALSSVIYMYADCGLVDKALTLYHTAVNVHNCVPDSFACNALLNVLIKDRNVEVARKVYDEVVHRDGDADNYTICIMVNGLCKQGEVEEGRKLIEERWGNGCVPNIVFYNTLIDGYCKKGDIDRANVLLKELKMKGFLPTVRTYGALINGFCKKGKFEAVERLLLEMKERSLTVSVQIYNSIIDARFKHGCKIEAAETVKWIIESGCEPDMATYNILISSSCSYGEAHEAEQLLEEAKKRRLLPNKFSYTPLIHVYCKQGECVRASDFLIEMSERGNTPDLITYGALVHGLVVAGEVDVALTVRDKMSEKGVLLDANIYNVLISGLCKKGRLPAAKLLLAEMLDQNVAPDAFVNATLVDGFIRHGRLDEAKKLFQLTIEKGIDPGVVGYNAMIKGYCKSGKMYDALQCFKRMIDGVDTPDEFTYSTIIDGYVKQNDLQGALRIFGLMVKRTCKPNVVTYTSLINGFCRSRDFNMAETTFKEMRSCGLEPNVVTYTILIGCFCKEGKLLKAFSFFDLMLLNKCEPNDVTFNYLINGLTYRAEIATSDKRRYSQQNGNSLVREFFGMMISDGWDQRAAAYNSILICLCLHKMVKTALRLRDKMTNKGFSPDPVSFAALLHGLCLEGRLHEWRNVIPCNLNEQELQIGVKYSGKLDEFLFQGLTSEASRILHTLVEQLNLKVVHNLKVSAQSQRST >Manes.15G042500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3280063:3283478:-1 gene:Manes.15G042500.v8.1 transcript:Manes.15G042500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIDINRVPKKKNLIEAMEKADVKNKWENSSWGRKLIVQKKRAALNDFDRFKLMLAKIKRGGLIRQELAKLKKENPV >Manes.10G050200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5591331:5592564:-1 gene:Manes.10G050200.v8.1 transcript:Manes.10G050200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINHSKNINSEPNPEVHFMDNSPSQGSCIVELLKPEWLTVKKMTKNKKKKKQTLEEWLLASPSLNPDCINGGELFVSKHFFKRIHPSSSSMEECEAISSKARKKSFSLERLVMLDREADRSFCSMDISSIIKDPRSKSKKMVSFKLPDESDIIIFYSPKELSMENDQDCSM >Manes.05G144600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24671510:24673137:-1 gene:Manes.05G144600.v8.1 transcript:Manes.05G144600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLSWRSSSSFDFLVLVFMMSSFHVWTATALVKLPPNITVAAVLVFGDSIVDAGNNNNLQTLIKCNFPPYGMDFEGGIPSGRFCDGKIPSDLIAEELGIKDTVPAYLDPTVMSEDLITGVTFASGGAGYDPMTSQLVSVISLADQLELFKEYIEKVKGVVGEEKTKFILGNSLYLVVAGSDDLANTYFSLHARNLLYDLPAYTDLMANSASTFIQDLHNLGARRIAVFSAAPIGCLPSQRTLAGGFQRDCVENYNEAATLFNSKLSKNIDSLNNKLSDSKIVYVDVYNPLINLIQNPNKHGFQVSNRGCCGTGDLEVAILCNELVPITCANVSDYVFWDSYHPTERAYRVLVSQLLEMYVDKFF >Manes.13G135100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34273184:34274661:-1 gene:Manes.13G135100.v8.1 transcript:Manes.13G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMIEVGIRGVAAEVELRSRRDRTAFQWGGTICALFLLILNRTGRRSALQTTLLVLYLFASFPTVLFKILRGQFGYWIAFLAVAANLFFPETFQVSRFVLFVIAPDWLSNGLRDPLAGGIFCLLMAVLLLMARLREIGGLWGCEYNFHCISYCLVISLLFFFTGFYLFVGGW >Manes.11G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3434633:3441237:-1 gene:Manes.11G035500.v8.1 transcript:Manes.11G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLEAIQENDKVVFEKLVQEDKRVLQQRSSDSSNTSLHWAIMKGKTEIARAILELCPDLVSAQNIRGDTPLHEACRVGNADMVMLLLETKQAVATLLNYNNESAFSIACSREHLDVVKLLLNLSWLMDIEEARYPSNALHQSVSRDNTRVVRAILEARPSFASKSDQDGCLPLHCACEKSSLEMTKILLEYAPQSSMVLNNKGYAPLHLAAMNGCAPIILEFLSRGSQYVILFTKQGDSLLHLAVKSGSYDAFIVMRDVFTTIPHFLRFRDQHGNTVLHLAVSTGCYKIAEYLIKVKLLDLNDQNYSGLTALDILEEVAFPHEKKWSLKDLLVKAGGKRSILISSTSLVRETSDVDIEHKDIKSPTSSTSATTTHNSQPSGAAAQTNYNTTRSETKQKPAEKELARDISDHLRKMQIEALQNSRNTIIVVAVLIATVSFAAGISPPGGVFQDGPMKGKSILARTTAFKVFEISNTIALFTSLSVVITLIRIIPFRRKPLVRVLKIADRVMWVAVLCMGISFVAATWVITPHSGGTEWMPVLATAAGGSALGATFIVIAVIFTIHWQRKRMWRKKRTEGKVEEQPVEQFAVEELEIKILGSASSDIAPNYGLGYHTY >Manes.11G035500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3434591:3441237:-1 gene:Manes.11G035500.v8.1 transcript:Manes.11G035500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLEAIQENDKVVFEKLVQEDKRVLQQRSSDSSNTSLHWAIMKGKTEIARAILELCPDLVSAQNIRGDTPLHEACRVGNADMVMLLLETKQAVATLLNYNNESAFSIACSREHLDVVKLLLNLSWLMDIEEARYPSNALHQSVSRDNTRVVRAILEARPSFASKSDQDGCLPLHCACEKSSLEMTKILLEYAPQSSMVLNNKGYAPLHLAAMNGCAPIILEFLSRGSQYVILFTKQGDSLLHLAVKSGSYDAFIVMRDVFTTIPHFLRFRDQHGNTVLHLAVSTGCYKIAEYLIKVKLLDLNDQNYSGLTALDILEEVAFPHEKKWSLKDLLVKAGGKRSILISSTSLVRETSDVDIEHKDIKSPTSSTSATTTHNSQPSGAAAQTNYNTTRSETKQKPAEKELARDISDHLRKMQIEALQNSRNTIIVVAVLIATVSFAAGISPPGGVFQDGPMKGKSILARTTAFKVFEISNTIALFTSLSVVITLIRIIPFRRKPLVRVLKIADRVMWVAVLCMGISFVAATWVITPHSGGTEWMPVLATAAGGSALGATFIVIAVIFTIHWQRKRMWRKKRTEGKVEEQPVEQFAVEELEIKILGSASSDIAPNYGLGYHTY >Manes.11G035500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3434302:3441583:-1 gene:Manes.11G035500.v8.1 transcript:Manes.11G035500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSVCVFLSQINGAGHAGVVRAILEARPSFASKSDQDGCLPLHCACEKSSLEMTKILLEYAPQSSMVLNNKGYAPLHLAAMNGCAPIILEFLSRGSQYVILFTKQGDSLLHLAVKSGSYDAFIVMRDVFTTIPHFLRFRDQHGNTVLHLAVSTGCYKVRISYSLLVCLSRVFFFLLSTKMCYVQIAEYLIKVKLLDLNDQNYSGLTALDILEEVAFPHEKKWSLKDLLVKAGGKRSILISSTSLVRETSDVDIEHKDIKSPTSSTSATTTHNSQPSGAAAQTNYNTTRSETKQKPAEKELARDISDHLRKMQIEALQNSRNTIIVVAVLIATVSFAAGISPPGGVFQDGPMKGKSILARTTAFKVFEISNTIALFTSLSVVITLIRIIPFRRKPLVRVLKIADRVMWVAVLCMGISFVAATWVITPHSGGTEWMPVLATAAGGSALGATFIVIAVIFTIHWQRKRMWRKKRTEGKVEEQPVEQFAVEELEIKILGSASSDIAPNYGLGYHTY >Manes.11G035500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3434281:3441237:-1 gene:Manes.11G035500.v8.1 transcript:Manes.11G035500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLEAIQENDKVVFEKLVQEDKRVLQQRSSDSSNTSLHWAIMKGKTEIARAILELCPDLVSAQNIRGDTPLHEACRVGNADMVMLLLETKQAVATLLNYNNESAFSIACSREHLDVVKLLLNLSWLMDIEEARYPSNALHQSVSRDNTRVVRAILEARPSFASKSDQDGCLPLHCACEKSSLEMTKILLEYAPQSSMVLNNKGYAPLHLAAMNGCAPIILEFLSRGSQYVILFTKQGDSLLHLAVKSGSYDAFIVMRDVFTTIPHFLRFRDQHGNTVLHLAVSTGCYKIAEYLIKVKLLDLNDQNYSGLTALDILEEVAFPHEKKWSLKDLLVKAGGKRSILISSTSLVRETSDVDIEHKDIKSPTSSTSATTTHNSQPSGAAAQTNYNTTRSETKQKPAEKELARDISDHLRKMQIEALQNSRNTIIVVAVLIATVSFAAGISPPGGVFQDGPMKGKSILARTTAFKVFEISNTIALFTSLSVVITLIRIIPFRRKPLVRVLKIADRVMWVAVLCMGISFVAATWVITPHSGGTEWMPVLATAAGGSALGATFIVIAVIFTIHWQRKRMWRKKRTEGKVEEQPVEQFAVEELEIKILGSASSDIAPNYGLGYHTY >Manes.03G213100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:33038803:33043888:1 gene:Manes.03G213100.v8.1 transcript:Manes.03G213100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQLTITVLRIWFRFLLLTICLGAWLGCSSAFTASSNGLVKIGLKKRRLDLYSINAAKITRADTSYDTGIGYTASFEKPKADLVYLKNYLDTQYYGEVGIGSPPQSFTVVFDTGSSNLWVPSSKCVLSITCYFHSKFRARMSRTYTKIGIPCKIHYGSGSISGFFGQDHVKLGDGTIIDQEFVEVTREGFLAFLGTQFDGILGLGFQDIAVGQATPVWFNMVQQGHVSQKIFSLWLNRDPISELGGEIVFGGLDWRHFRGDHTYVPVTERGYWQIDVGDIFIDNKSTGMCEYGCAAIVDSGTSFLAGPTTVVTQINHAIGAQGIVSLECKSVVSNYGNLIWETLISGLRPEIICIDFGLCVYNNGSRTILETLVDDKVGNESTVDESALCTFCEMIVFWIQVQLKQQKAKEKIFKYVDELCERLPDPTGKSFLDCNAVTSMPHVSFTIANKSFPLSPEQYVVRVEQKYATICLSGFTALDVPPPQGPLWILGDVFLGAYHTVFDFGNLRKEKEKGSWWDGV >Manes.02G188800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15113633:15114517:1 gene:Manes.02G188800.v8.1 transcript:Manes.02G188800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKLFILTLVLLVLAACSDAGGIAIYWGQNGNEGTLAETCATGKYDYVNIAFLSSFGNGQTPTINLAGHCDPNSNGCTSLSSDIKSCQSKGVKVILSIGGGAGGYSLASAADARQVATYLWNNFLGGKSSSRPLGPAVLDGIDFDIEGGTNLYWDDLARYLSAYSKKGKKVYLTAAPQCPFPDAWIGNALKTGLFDYVWVQFYNNPPCQYSGDATNLEDAWKQWTSDIPANKIFLGLPASPAAAGSGFIPVPDLVSNVLPAIKGSGKYGGVMLWSKYYDDQSGYSSSIKSHV >Manes.13G066300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8451705:8459453:-1 gene:Manes.13G066300.v8.1 transcript:Manes.13G066300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDDAKFVFPLTNLQIGDLQSYLSDLSVFVALESNKLYILVDNRPWLRNLGSRPAHLWQLMVTKSRLSPFANTKAQRGRKEGNEACSDSSLTKSKKIERWFKLIDASTLSQRRGLLPMKNLRNSLFLSNELHRTLYGFIVFEVSWTNVRGINYLNELQTDTSLALEAKIMQRWEFDSIEQAASCISLWFLGTPTEQLHLKEYLEPGKGEIFYDAKEDFSRPISVDDDDSSCDDNLGVEDISSCCPGGNSSVLHGTTENKTSDLHTPPPTGPYKRRKVTMSTGTGGGVDFHSEEAQGGIEDLLDNSETYTNDCVSTVDAKQYRDVLILFRFNDRDLPFKLREIVMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARALYVLISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAITWVLMVTRTTRSFFSIFTQPLVEPLMEFLGFLLPMWNVLVGVVESLCSIISVVTGSICDVVIDLIQLTLWPLWLIISMIWSIATTILYPILWILWEILFAPIRMVLAVASYVALICAWIFESIGDIWRSMSGIFHLASASEATTRTSEISIWRSLWNDLFSQVFRALKSIFYGFGAFFAACNRHRLSIYNHVQDFIQRIFGQAQRSQPSGYRHNRPPRARGTQLWLK >Manes.13G066300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8451705:8459437:-1 gene:Manes.13G066300.v8.1 transcript:Manes.13G066300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKSRLSPFANTKAQRGRKEGNEACSDSSLTKSKKIERWFKLIDASTLSQRRGLLPMKNLRNSLFLSNELHRTLYGFIVFEVSWTNVRGINYLNELQTDTSLALEAKIMQRWEFDSIEQAASCISLWFLGTPTEQLHLKEYLEPGKGEIFYDAKEDFSRPISVDDDDSSCDDNLGVEDISSCCPGGNSSVLHGTTENKTSDLHTPPPTGPYKRRKVTMSTGTGGGVDFHSEEAQGGIEDLLDNSETYTNDCVSTVDAKQYRDVLILFRFNDRDLPFKLREIVMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARALYVLISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAITWVLMVTRTTRSFFSIFTQPLVEPLMEFLGFLLPMWNVLVGVVESLCSIISVVTGSICDVVIDLIQLTLWPLWLIISMIWSIATTILYPILWILWEILFAPIRMVLAVASYVALICAWIFESIGDIWRSMSGIFHLASASEATTRTSEISIWRSLWNDLFSQVFRALKSIFYGFGAFFAACNRHRLSIYNHVQDFIQRIFGQAQRSQPSGYRHNRPPRARGTQLWLK >Manes.13G066300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8451389:8459437:-1 gene:Manes.13G066300.v8.1 transcript:Manes.13G066300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKSRLSPFANTKAQRGRKEGNEACSDSSLTKSKKIERWFKLIDASTLSQRRGLLPMKNLRNSLFLSNELHRTLYGFIVFEVSWTNVRGINYLNELQTDTSLALEAKIMQRWEFDSIEQAASCISLWFLGTPTEQLHLKEYLEPGKGEIFYDAKEDFSRPISVDDDDSSCDDNLGVEDISSCCPGGNSSVLHGTTENKTSDLHTPPPTGPYKRRKVTMSTGTGGGVDFHSEEAQGGIEDLLDNSETYTNDCVSTVDAKQYRDVLILFRFNDRDLPFKLREIVMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARALYVLISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAITWVLMVTRTTRSFFSIFTQPLVEPLMEFLGFLLPMWNVLVGVVESLCSIISVVTGSICDVVIDLIQLTLWPLWLIISMIWSIATTILYPILWILWEILFAPIRMVLAVASYVALICAWIFESIGDIWRSMSGIFHLASASEATTRTSEISIWRSLWNDLFSQVFRALKSIFYGFGAFFAACNRHRLSIYNHVQDFIQRIFGQAQRSQPSGYRHNRPPRARGTQLWLK >Manes.13G066300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8451705:8459437:-1 gene:Manes.13G066300.v8.1 transcript:Manes.13G066300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDDAKFVFPLTNLQIGDLQSYLSDLSVFVALESNKLYILVDNRPWLRNLGSRPAHLWQLMVTKSRLSPFANTKAQRGRKEGNEACSDSSLTKSKKIERWFKLIDASTLSQRRGLLPMKNLRNSLFLSNELHRTLYGFIVFEVSWTNVRGINYLNELQTDTSLALEAKIMQRWEFDSIEQAASCISLWFLGTPTEQLHLKEYLEPGKGEIFYDAKEDFSRPISVDDDDSSCDDNLGVEDISSCCPGGNSSVLHGTTENKTSDLHTPPPTGPYKRRKVTMSTGTGGGVDFHSEEAQGGIEDLLDNSETYTNDCVSTVDAKQYRDVLILFRFNDRDLPFKLREIVMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARALYVLISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAITWVLMVTRTTRSFFSIFTQPLVEPLMEFLGFLLPMWNVLVGVVESLCSIISVVTGSICDVVIDLIQLTLWPLWLIISMIWSIATTILYPILWILWEILFAPIRMVLAVASYVALICAWIFESIGDIWRSMSGIFHLASASEATTRTSEISIWRSLWNDLFSQVFRALKSIFYGFGAFFAACNRHRLSIYNHVQDFIQRIFGQAQRSQPSGYRHNRPPRARGTQLWLK >Manes.13G066300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8451705:8459437:-1 gene:Manes.13G066300.v8.1 transcript:Manes.13G066300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGDDAKFVFPLTNLQIGDLQSYLSDLSVFVALESNKLYILVDNRPWLRNLGSRPAHLWQLMVTKSRLSPFANTKAQRGRKEGNEACSDSSLTKSKKIERWFKLIDASTLSQRRGLLPMKNLRNSLFLSNELHRTLYGFIVFEVSWTNVRGINYLNELQTDTSLALEAKIMQRWEFDSIEQAASCISLWFLGTPTEQLHLKEYLEPGKGEIFYDAKEDFSRPISVDDDDSSCDDNLGVEDISSCCPGGNSSVLHGTTENKTSDLHTPPPTGPYKRRKVTMSTGTGGGVDFHSEEAQGGIEDLLDNSETYTNDCVSTVDAKQYRDVLILFRFNDRDLPFKLREIVMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARALYVLISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAITWVLMVTRTTRSFFSIFTQPLVEPLMEFLGFLLPMWNVLVGVVESLCSIISVVTGSICDVVIDLIQLTLWPLWLIISMIWSIATTILYPILWILWEILFAPIRMVLAVASYVALICAWIFESIGDIWRSMSGIFHLASASEATTRTSEISIWRSLWNDLFSQVFRALKSIFYGFGAFFAACNRHRLSIYNHVQDFIQRIFGQAQRSQPSGYRHNRPPRARGTQLWLK >Manes.09G137200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33736217:33742082:1 gene:Manes.09G137200.v8.1 transcript:Manes.09G137200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTSCSFSTVNLRLRSGPAGNDRRGRIPAFRLRKTKKKETACFLCKGIYTKEVSFTNFIRIRCFSTNNDSNSEVENNNNKIDTAIKDSNVKTAPPEDNDGKSANDFGSDEPPTSVSSTPPTITPVGQAYNNFQVDSFKLMELLGPEKVDPADIKLIKDKLFGYSTFWVTKEEPFGDFGEGILFLGNLRGKREDVFAKLQSQLADITGDKYNLFMVEEPNSEGPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAVDPPDMELLFPFVESALPLAYGVLGILLFHEVGHFLAAFPKKINLSIPFFIPNITLGSFGAITQFKSILPDRSTKVDISLAGPFAGAVLSFSMFAVGLLLSTNPTAAGELVQVPSSLFQGSLLLGLISRAILGYASMHAATISIHPLVIAGWCGLTTTAFNMLPVGCLDGGRAVQGAFGKNALVGFGLTTYTLLGLGVLGGPLSLPWGLYVLICQRAPEKACLNDVTEVGTWRKAAVVTAIFLVVLTLLPVGDELAEELGIGLVTTF >Manes.03G136902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26084110:26085292:1 gene:Manes.03G136902.v8.1 transcript:Manes.03G136902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHKVKKAVTDNPKKLANLIDLVNLPSTLREFVGQSQISRLGCFMRVWSYIKTNNLQDPNNKNVVNCDEKLKSILLGKPQVDLAELPSLIKLHFPKEPK >Manes.01G007300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2624622:2635693:-1 gene:Manes.01G007300.v8.1 transcript:Manes.01G007300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHRSPGNGYRSSSMGMGASRISPDTSARGYGFYNSEYRSFNNRGFGRGQVQPKSFQQPPQPPTRKGDILMEAGRLAAEYLVSKGLLPENALSGKWQNGSLRKQAGDYQDFRVQEDFAQEGRTSARFRLGSVVSDAGTGRRRHSDDFNSRNHVKGRRRGENYHRNYSSDWGREYGRSGWWSDRNQMSLDTEGDDDSISEHYEEHQVGEDVDGKFGQCGSAPESEEATEIESGEEFKDDMGSKENSFIIEKDETDAEPSKASVDLAKLNSGKEMDYNHRHETEKLIISEDSHIQSFAVDGDLSSKHGSDLLTFCKFVKVPTKTRSSLTYRVPKVEQVPNKEEENPSDVEPPKGSEVSVPDGTLDFSMGDSLPNTTNDAKCDVEMSKVVVPVHSDGDIGEIGPPFVSGKGKCMRSQSFPARAFMCDSKQELSQGMASFGRSMSVKERGEKRTAEDSDMNEATKKPRECFPSLVSTANDQLHLSNFSQDQDSSQAGKPSPDHPVSIAVTRDRSFHSHQFQNAGSEPSFGYTQEKQLFPSSFKICDLNLMEAADMNDYHCNDPILVYPSIPASKKEAAQVDIDLSISNANNGKHIEVIDLENDSNSGDKAFDNSQQEETTFTGTEVLPNNAQNAGDITEVPDGYDGLMISEFLTTFSNCPSAAEDINPLQNEMSLHNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQGYGKPF >Manes.01G156300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRDDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34254683:34259421:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRDDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFVCVSLNPSTRNFSNIGFTSFLEYLVKN >Manes.01G156300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259625:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRDDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34255334:34259421:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252857:34259411:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252857:34259445:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRDDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259625:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRDDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFVCVSLNPSTRNFSNIGFTSFLEYLVKN >Manes.01G156300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252857:34259411:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259625:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVALMESRVIVVHAYPDKGLQVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRDDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFAFPRDSPLAVDMSAAILKLSEGGELQRMHDKWLLGSPCRLEGAKEDANRLHLKSFWVLFLFCGIAFVLALIAYVIKIIREFAKHCSEELEGSTSRSSPSAHLQTFLSFLDEMEDDVKSRPKRRRMAPKASSRIIDANTSRNSDYVESSIVALEV >Manes.01G156300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34252834:34259428:1 gene:Manes.01G156300.v8.1 transcript:Manes.01G156300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFGQWIMHKVLLVVLMVVYSGFSSNVVSSIVEARPEVVNIGALLSLDSSIGKVAKVAIEAAVEDVNRNQSVLGTTKMQLTMRDTNYSGYMSMLEALSLMGSEVVAIIGPQFSVTAHFLSHIANELRVPLLSFAATDPTLSSLQYPFFVRTTHSDIFQMAAIADIVDYYGWRAVTAIYVDDDHGRNGIAALEDKLAERRCKISYKAPFSPNVNQPSEISDVLYKVLKQARHMEMMSAEYVWIVTDWLSAKIDTNSPLATEDMDDIQGVITLRMHTPNTKEKSKFVSGWSNLASRKAAGGTFGLNSYGLYAYDTVWLLAYAIDAFLNQKGNLSFSYDPGLSELQRKNLHADALRIFDGGNLLLKSILQVNFTGVTGSIKFSDENLIHPAYEVINVIGTVFRRIGYWSNHSGLSVEIPEEIYKKTPSNPNSRQQLYSVIWPGQTVVKPRGWVFAHNGRRLRIGVPNRVFYHEFVSVEANNMFSGYCIDVFTAALNLLSYAVPFDFVAFGDGHNNPNTTELLRLISVGVFDAAIGDISITTDRARMVDFTQPYIESGLVVVAPVRKLNSSAWAFLRPFTPMMWLVTAVFFLVTGVVIWILEHRINGDFRGPPRRQIVTILWFSFSTLFFSHKEKTMSTLGRLVLIIWLFVVLVLNSSYIASLTSILTVEQLSSSIKGIESLVSSNDPIGYQRGSFAGRYLVNEYKIDKSRLIPLNSADDCSKALQDGPQKGGVAAVVDERAYIELFLSSQCEFSIVGQEFSKNGWGFVCVSLNPSTRNFSNIGFTSFLEYLVKN >Manes.11G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:958733:960979:-1 gene:Manes.11G009000.v8.1 transcript:Manes.11G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSENSSFQPTSTVADKLLGGLLASGFDEGSCISRYQSSMYRKISPHKPSLFLLSKLRKYENRHKQCGPYTKSYIKAVKELNSSQANGTTGCNYIVWLPSNGLGNRIVSLASAFLYALLTNRVLLVHHGTDMDDLFCEPFPNTSWLLPADFPLKNQFDSFEMRNIHSYGNLLKNHNMSSSTMLQKPAFLLLYVAYDYEDHDKLFYQDQNQGFLQGVPWLILKSDQYFVPSLFSIPTFRKELSILFPDKETVFHHLVRYLFHPSNEAWGLITRFYQAYLEKADERIGLQIRLFHPESIPSQLVMKQILSCTEMENLLPQLDKQKHLVSASPLKNQTLKAILVVSLHSIYYENLRNMYWIKPTVNGEAIGVYQPSHEEYQQFGNNMHNMKAWAEMYILSMSDVLVTSTWSTFGYVAQGLGGVKPWILFIPDNQTIPDPPCQRAMSMEPCFHYPPSYDRKANIILDNVDLGPHIRNCEDASWGLKLVDNDHE >Manes.12G018900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1673262:1679154:1 gene:Manes.12G018900.v8.1 transcript:Manes.12G018900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLSSSLPNLVLSPFPDRSRTSNLAQFSSFSTHKPFLRGSVCVARFGFKPGLFPDPDGAADGLVKDLFSRAESVLYTIADAAVSNSDNVTTTTKQNSDWLSGITYYMESVLKVLKDGLSTLHVPYAYGFAIILLTVLVKAATFPLTKKQVESTMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPFAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVVSQYISVQIMQSSQSNDPNMKSSQAVTKLLPLMIGYFSLSVPSGLSLYWFTNNILSTAQQVWLQKLGGAKNPVIPEDQLSVQKSVPELDSTKYKAEQVEKLTPEGLRPGERFKQLKEQEAKRRQQREEEKRKAEEAAAKINPLPNGSPGYASERENGVSLAVTDVSEKSANSISNSSTIGVVNGDLSGQNLKKDEKTTSMFSTEKGEVSDLEASGISEHQAYENKQQEVVEVHGSTTTDSKHSEEDMHQVTRD >Manes.12G018900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1673126:1679154:1 gene:Manes.12G018900.v8.1 transcript:Manes.12G018900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLSSSLPNLVLSPFPDRSRTSNLAQFSSFSTHKPFLRGSVCVARFGFKPGLFPDPDGAADGLVKDLFSRAESVLYTIADAAVSNSDNVTTTTKQNSDWLSGITYYMESVLKVLKDGLSTLHVPYAYGFAIILLTVLVKAATFPLTKKQVESTMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPFAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVVSQYISVQIMQSSQSNDPNMKSSQAVTKLLPLMIGYFSLSVPSGLSLYWFTNNILSTAQQVWLQKLGGAKNPVIPEDQLSVQKSVPELDSTKYKAEQVEKLTPEGLRPGERFKQLKEQEAKRRQQREEEKRKAEEAAAKINPLPNGSPGYASERENGVSLAVTDVSEKSANSISNSSTIGVVNGDLSGQNLKKDEKTTSMFSTEKGEVSDLEASGISEHQAYENKQQEVVEVHGSTTTDSKHSEEDMHQVTRD >Manes.12G018900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1673126:1679154:1 gene:Manes.12G018900.v8.1 transcript:Manes.12G018900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLSSSLPNLVLSPFPDRSRTSNLAQFSSFSTHKPFLRGSVCVARFGFKPGLFPDPDGAADGLVKDLFSRAESVLYTIADAAVSNSDNVTTTTKQNSDWLSGITYYMESVLKVLKDGLSTLHVPYAYGFAIILLTVLVKAATFPLTKKQVESTMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPFAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVVSQYISVQIMQSSQSNDPNMKSSQAVTKLLPLMIGYFSLSVPSGLSLYWFTNNILSTAQQVWLQKLGGAKNPVIPEDQLSVQKSVPELDSTKYKAEQVEKLTPEGLRPGERFKQLKEQEAKRRQQREEEKRKAEEAAAKINPLPNGSPGYASERENGVSLAVTDVSEKSANSISNSSTIGVVNGDLSGQNLKKDEKTTSMFSTEKGEVSDLEASGISEHQAYENKQQVKL >Manes.12G018900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1673126:1679154:1 gene:Manes.12G018900.v8.1 transcript:Manes.12G018900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLSSSLPNLVLSPFPDRSRTSNLAQFSSFSTHKPFLRGSVCVARFGFKPGLFPDPDGAADGLVKDLFSRAESVLYTIADAAVSNSDNVTTTTKQNSDWLSGITYYMESVLKVLKDGLSTLHVPYAYGFAIILLTVLVKAATFPLTKKQVESTMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPFAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVVSQYISVQIMQSSQSNDPNMKSSQAVTKLLPLMIGYFSLSVPSGLSLYWFTNNILSTAQQVWLQKLGGAKNPVIPEDQLSVQKSVPELDSTKYKAEQVEKLTPEGLRPGERFKQLKEQEAKRRQQREEEKRKAEEAAAKINPLPNGSPGYASERENGNLKKDEKTTSMFSTEKGEVSDLEASGISEHQAYENKQQEVVEVHGSTTTDSKHSEEDMHQVTRD >Manes.12G018900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1673284:1679154:1 gene:Manes.12G018900.v8.1 transcript:Manes.12G018900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYLSSSLPNLVLSPFPDRSRTSNLAQFSSFSTHKPFLRGSVCVARFGFKPGLFPDPDGAADGLVKDLFSRAESVLYTIADAAVSNSDNVTTTTKQNSDWLSGITYYMESVLKVLKDGLSTLHVPYAYGFAIILLTVLVKAATFPLTKKQVESTMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPFAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVVSQYISVQIMQSSQSNDPNMKSSQAVTKLLPLMIGYFSLSVPSGLSLYWFTNNILSTAQQVWLQKLGGAKNPVIPEDQLSVQKSVPELDSTKYKAEQVEKLTPEGLRPGERFKQLKEQEAKRRQQREEEKRKAEEAAAKINPLPNGSPGYASERENGNLKKDEKTTSMFSTEKGEVSDLEASGISEHQAYENKQQEVVEVHGSTTTDSKHSEEDMHQVTRD >Manes.14G019200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1950915:1954762:1 gene:Manes.14G019200.v8.1 transcript:Manes.14G019200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYRRVQVDGGGSTVDKNYDNEDGGRMRLKPSGNNANENQEPFSGINVRRKASFHRDIKRDYLDIPSHPYLMKVLQKRGDSHVFFADKVMKFTGSGKMKRHILLITNIAIYIVDPETDALKRRIVLAAVEKISLSELSDNFFAIIIPTEYDILMASKRKTEIVTVLFEAIKNASNYELELVFSNSFKYNATAELVKEVQFEEVEG >Manes.14G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1950915:1954761:1 gene:Manes.14G019200.v8.1 transcript:Manes.14G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYRRVQVDGGGSTVDKNYDNEDGGRMRLKPSGNNANENQEPFSGINVRRKASFHRDIKRDYLDIPSHPYLMKVLQKRGDSHVFFADKVMKFTGSGKMKRHILLITNIAIYIVDPETDALKRRIVLAAVEKISLSELSDNFFAIIIPTEYDILMASKRKTEIVTVLFEAIKNASNYELELVFSNSFKYNATAELVKEVQFEEVEGGVKTRILRK >Manes.14G019200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1951055:1952205:1 gene:Manes.14G019200.v8.1 transcript:Manes.14G019200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYRRVQVDGGGSTVDKNYDNEDGGRMRLKPSGNNANENQEPFSGINVRRKASFHRDIKRDYLDIPSHPYLMKVLQKRGDSHVFFADKVMKFTGSGKMKRHILLITNIAIYIVDPETDALKRRIVLAAVEKISLSELSDNFFAIIIPTEYDILMASKRKTEIVTVLFEAIKNASNYELELVFSNR >Manes.05G139300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12897257:12899256:1 gene:Manes.05G139300.v8.1 transcript:Manes.05G139300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKPEPNLKLDPEALQQWVVAFCIIRFDLEQGQLIEECYPPGLLTNEEELDVAFSSFPDSISQNQNRSSIHDCIFFFRIRRRKASEQSNVSNSEIIEIEDKEASLKSTEEKLTQRTKIRKNDERLNYLYGYVFNRQRHDERLKRGGEQKSVVILSHNPFSGVFRPLLQIMGPLYFDIGKKALDHIAAYVSTWPAPVPGKLMELPIGNAMLKVNLPPAHSLPLENGTIEESASSIAPFPPTNQSVPQGLFHDSDIFGTFRGILMQLWVLWELLLIGEPILIIGPTPPQCSEAVASLVSLVAPLLFSVDFRPYFTIHDPEFAYLNSLQEGDTFPPIVLGVTNLFFLKSLRNIPHIVSVGSPAPNSNRLPTKRFSSGRIPGRPEGLGLQQLSLKKFSPSGLLNAVKLKRDGPLCLMTEHKEAIWSTYAATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSSPFADPPPLPSFSTDEFLASLSARGVGKFLSKRMRSNWLDLYRRFLKGPNFMPWFQRKRAVAEQQQHRLWRQARMKTNIQLFLSNMSELEVVDSFNAIERHLNGEIEVNDFSFFLFTTTFLFQIIPS >Manes.05G139300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12896377:12908620:1 gene:Manes.05G139300.v8.1 transcript:Manes.05G139300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKPEPNLKLDPEALQQWVVAFCIIRFDLEQGQLIEECYPPGLLTNEEELDVAFSSFPDSISQNQNRSSIHDCIFFFRIRRRKASEQSNVSNSEIIEIEDKEASLKSTEEKLTQRTKIRKNDERLNYLYGYVFNRQRHDERLKRGGEQKSVVILSHNPFSGVFRPLLQIMGPLYFDIGKKALDHIAAYVSTWPAPVPGKLMELPIGNAMLKVNLPPAHSLPLENGTIEESASSIAPFPPTNQSVPQGLFHDSDIFGTFRGILMQLWVLWELLLIGEPILIIGPTPPQCSEAVASLVSLVAPLLFSVDFRPYFTIHDPEFAYLNSLQEGDTFPPIVLGVTNLFFLKSLRNIPHIVSVGSPAPNSNRLPTKRFSSGRIPGRPEGLGLQQLSLKKFSPSGLLNAVKLKRDGPLCLMTEHKEAIWSTYAATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSSPFADPPPLPSFSTDEFLASLSARGVGKFLSKRMRSNWLDLYRRFLKGPNFMPWFQRKRAVAEQQQHRLWRQARMKTNIQLFLSNMSELEVVDSFNAIERHLNGEIELQQSGRASADSASNCQKLKKDLQAIFNVLPKDMQQLLLMNPERAALLQGIPEPTKLPGYPSLEVGVAPSTSPT >Manes.05G139300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12896377:12908620:1 gene:Manes.05G139300.v8.1 transcript:Manes.05G139300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKPEPNLKLDPEALQQWVVAFCIIRFDLEQGQLIEECYPPGLLTNEEELDVAFSSFPDSISQNQNRSSIHDCIFFFRIRRRKASEQSNVSNSEIIEIEDKEASLKSTEEKLTQRTKIRKNDERLNYLYGYVFNRQRHDERLKRGGEQKSVVILSHNPFSGVFRPLLQIMGPLYFDIGKKALDHIAAYVSTWPAPVPGKLMELPIGNAMLKVNLPPAHSLPLENGTIEESASSIAPFPPTNQSVPQGLFHDSDIFGTFRGILMQLWVLWELLLIGEPILIIGPTPPQCSEAVASLVSLVAPLLFSVDFRPYFTIHDPEFAYLNSLQEGDTFPPIVLGVTNLFFLKSLRNIPHIVSVGSPAPNSNRLPTKRFSSGRIPGRPEGLGLQQLSLKKFSPSGLLNAVKLKRDGPLCLMTEHKEAIWSTYAATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSSPFADPPPLPSFSTDEFLASLSARGVGKFLSKRMRSNWLDLYRRFLKGPNFMPWFQRKRAVAEQQQHRLWRQARMKTNIQLFLSNMSELEVVDSFNAIERHLNGEIELQQSGRASADSASNCQKLKKDLQAIFNVLPKDMQQLLLMNPERAALLQGIPEPTKLPGYPSLEVGVAPSTSPT >Manes.05G139300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12896377:12908620:1 gene:Manes.05G139300.v8.1 transcript:Manes.05G139300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKPEPNLKLDPEALQQWVVAFCIIRFDLEQGQLIEECYPPGLLTNEEELDVAFSSFPDSISQNQNRSSIHDCIFFFRIRRRKASEQSNVSNSEIIEIEDKEASLKSTEEKLTQRTKIRKNDERLNYLYGYVFNRQRHDERLKRGGEQKSVVILSHNPFSGVFRPLLQIMGPLYFDIGKKALDHIAAYVSTWPAPVPGKLMELPIGNAMLKVNLPPAHSLPLENGTIEESASSIAPFPPTNQSVPQGLFHDSDIFGTFRGILMQLWVLWELLLIGEPILIIGPTPPQCSEAVASLVSLVAPLLFSVDFRPYFTIHDPEFAYLNSLQEGDTFPPIVLGVTNLFFLKSLRNIPHIVSVGSPAPNSNRLPTKRFSSGRIPGRPEGLGLQQLSLKKFSPSGLLNAVKLKRDGPLCLMTEHKEAIWSTYAATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSSPFADPPPLPSFSTDEFLASLSARGVGKFLSKRMRSNWLDLYRRFLKGPNFMPWFQRKRAVAEQQQHRLWRQARMKTNIQLFLSNMSELEVVDSFNAIERHLNGEIELQQSGRASADSASNCQKLKKDLQAIFNVLPKDMQQLLLMNPERAALLQGIPEPTKLPGYPSLEVGVAPSTSPT >Manes.09G111600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31371354:31374081:-1 gene:Manes.09G111600.v8.1 transcript:Manes.09G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYISTNVNLAGVDTDPIFSEATKAVATIIGKPEHFVMVILKGSLAISFNGNKEPAAYAEIVSMGGITKEVKRNLIATLGTILDNKLAIPRTRFFLKVFDTTAASHSSSKL >Manes.18G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13180658:13185944:1 gene:Manes.18G122100.v8.1 transcript:Manes.18G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRFWSSGILKHTISAAVVGGRNSPQPSLWLRMGWTPIQKPVGCGGGSTEIALWRKTVLLPATSSPSKFFNTDAGDRSDNSKEGGGGGGGGGGGGNNGPDNVTCAEVKRLMRLVNVEALKLKLGMEGKEVIPYSELLQACQSMGIARSQDEAVEFARVLDDAGVVLLFRDKVYLHPDKVVELVKRAMPLALTHEDDPVRTELKTLQERKEDIDILAHKQVRRILWCGLGLGLLQVGLFFRLTFWEFSWDVMEPIGFFATTTGLIIGYAYFLFTSRDPTYQDLMKRLFLSRQRKLFKKHKFDVERFRELQKKCRTPLDATASLKNRAGLEVELEDAIHKD >Manes.16G040701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:5648142:5649625:-1 gene:Manes.16G040701.v8.1 transcript:Manes.16G040701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDLAKILTAITTKSNETDPYQIHSSEAPGFSLVSVPLKGPNYISWSRAVQIALRAKKKLGFVNGTIKAPEPDSDDYEKWATADSMVVSWLLNAMSKDIFDAFIFSKSAKALWDELKQRYGESNGPMIYQIERDIVGYKQGDESVTEYYTKLKKKWDELLCLAPLPVCCETGDEYDNVKNQVLLQSPLPSINKAYSMVMSVEKQREVQTRNATSTETAVVMMARRGNNNYSDNTSSSRNNNRYSSYPRKEDKKKEYCTKCKIGGHTIEDCFQINGYHGWFIEMQKKREVNVRKYYSANNQHVLSQKSSDVQDNAMTDYIQQEFQKFLRAKGGFPDPAAEDVRNVNFAGTLLNSVITGIDFNCKDNWIIDSGATDHITPKLSFFDQVVQLNPPKTIRFTD >Manes.06G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26747548:26753967:1 gene:Manes.06G139200.v8.1 transcript:Manes.06G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIALANKFSDSKDKKENKMKKKIALETSEPDSANPGKTKNLMAFKTEDEIFSDKKEKTKDKKKRKAVDIHSEDEQRSETSSELVEPVNLKAKKTKKKNKKAKVAQEEDEEVQEEVPKAKDPNAISRFRISDPLREKLKARGIESLFPIQARTFDDILDGSDLVGRARTGQGKTLAFVLPILESLTNGPAKASRKTGYGRPPSVLVLLPTRELASQVYDDFKVYGETLGLTSCCLYGGASYNPQEMSLKRGVDIVVGTPGRIKDHIERGNIDLSLLKFRVLDEADEMLRMGFVEDVELILGKVEDVSKVQTLLFSATLPDWVKHISSRFLKPTKKTIDLVGNEKMKASTSVRHIVLPCFTSAITQLIPDIIRCYSSGGRTIIFTEKRESANELAGLLHGARALHGEIQQSQREVTLSGFRSGKFMTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPRRSNISKIEKESGVKFEHIAAPQPADIAKAVGVEAAEKITRVSDSVIPAFKSAAEDLLNTSGLSAVDLLAKALAKAAGYTEIKSRSLLTSMENHVTVLLEAGKPIYTPSFAFAILRRFLPEDKMELVKGMTLTADGNGAVFDVPGPDLDIFLAGQENAANVSIQVLQALPPLQERDQSRGRFGGGGGRFSGGRGGRGRGFSGGRNGKFSNGFGGGKKW >Manes.17G025997.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:18085873:18088021:1 gene:Manes.17G025997.v8.1 transcript:Manes.17G025997.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTEMWTQLGSAIAGLMFVWAMYERYFPYQLQEYVERYCQKLVALVSPYFQIVFDEYTGEDLKRSEVYAAIQSYLSANSSMRAKRLKADVVKDSHSVLLTMDDHEEITDDFNGIKVWWTSIKNKPNKQSFSFYPELDERRYFKLAVHRRYREIIVKSYIDHVIKEGKAVAVKNRQRKLYTNNPSDNWYGWKATKWSHVVFEHPASFDTLAMATKEKEEIMKDLVKFSKGKSYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFLNYDVYDLELTTVKDNSELRKLLIDTTSKSIIVIEDIDCSLDLTGQRKPKKEKEEDKDGEGKDPISKKKKEEEAENKKISKVTLSGLLNFIDGIWSACGGEKIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCCFEAFKVLAQNYLDVESHELFAKIQNLLEETKMTPADVAENLMPKSEDEDEESCLKKLIAALEEAKEEEARKKSEEEAKLKAEQEKEKEKEKATEEEEKEKGNGKLKENGF >Manes.15G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8708213:8713750:-1 gene:Manes.15G108700.v8.1 transcript:Manes.15G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQQASPIIKITSSDYSFFRTCFSPRTPPKSLSFPMDKERSQSPLSSSSLQLKQSQQRFNPVFHCQASLESHETSPRNDEMPVHGLSTMIVGVLGGGQLGRMLCQAASQMAIKVMVLDPLVNCPASSLAYHHMVGSFDDSATVQEFAKRCGVLTVEIEHVDVATLEKLEQQGVDCQPKASTIRIIQDKYLQKVHFSRHGIPLPEFMQIDDLDGAKRAGDLFGYPLMIKSKRLAYDGRGNAVAKSEEDLSSAVTALGGFDRGLYVEKWAPFVKELAVIVARGRDNSILCYPVVETIHKENICHIVKAPADVPWKIRKLATDVSYKAVSSLEGAGVFAVELFLTSDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPAAIMYNLLGEDEGEPGFHLAHQLIGRALNVQGATVHWYDKPEMRRQRKMGHITIIGPSMGIVEARLNSMLKDEGSDSPSVVTPRVGIIMGSDSDLPVMKDAARILNMFGVPYEVRIVSAHRTPEMMFSYALAARDQGIQIIIAGAGGAAHLPGMVASLTPLPVIGVPVRASSLDGMDSLLSIVQMPRGVPVATVAINNATNAGLLAVRMLGVGDSDLLARMSQYQEDTRDEVLKKAEKLQRDGWESYLNP >Manes.01G075700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27882094:27886831:1 gene:Manes.01G075700.v8.1 transcript:Manes.01G075700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHKFTTFFFNYALFLVVTLFSLYIGGCLASRFNRFESGILMPSEELEPGVDDDQLGTRWAVLVAGSMGYGNYRHQADVCHAYQLLRKGGIKEENIVVFMYDDIANNELNPRPGVIINHPQGDDVYAGVPKDYTGENVTTKNLYAVLLGDKNAVKGGSGKVVDSNANDRIFLYYSDHGGPGVLGMPNLPFLYAMDFIEVLKKKHAAGGYKEMIIYVEACESGSIFEGIMPTDINTYVTTASNAQESSWGTYCPGMEPPPPPEFITCLGDLYSVAWMEDSESHNLKKETIKQQYKTVKARTSNFNTYAGGSHVMEYGNASLKAEKVYLYQGFDPATVNFPPDNGHIAAPMDVVNQRDAEMLFLWQMYERSEDGSEKKTKILRQITDTIRHRRHLDSSIELIGTLLFGPKKSSSVLQSVRKPGLPLVDDWNCLKSMVRVFETHCGSLTQYGMKHMRAFANICNSGVSQASMEEASTAACSGLNAGLWHPSNQGYSA >Manes.01G075700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27882094:27886831:1 gene:Manes.01G075700.v8.1 transcript:Manes.01G075700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINLEQDGRFSLLDQWVTEITGISLILQADVCHAYQLLRKGGIKEENIVVFMYDDIANNELNPRPGVIINHPQGDDVYAGVPKDYTGENVTTKNLYAVLLGDKNAVKGGSGKVVDSNANDRIFLYYSDHGGPGVLGMPNLPFLYAMDFIEVLKKKHAAGGYKEMIIYVEACESGSIFEGIMPTDINTYVTTASNAQESSWGTYCPGMEPPPPPEFITCLGDLYSVAWMEDSESHNLKKETIKQQYKTVKARTSNFNTYAGGSHVMEYGNASLKAEKVYLYQGFDPATVNFPPDNGHIAAPMDVVNQRDAEMLFLWQMYERSEDGSEKKTKILRQITDTIRHRRHLDSSIELIGTLLFGPKKSSSVLQSVRKPGLPLVDDWNCLKSMVRVFETHCGSLTQYGMKHMRAFANICNSGVSQASMEEASTAACSGLNAGLWHPSNQGYSA >Manes.S045916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1386567:1386734:1 gene:Manes.S045916.v8.1 transcript:Manes.S045916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.04G028200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3353277:3360047:1 gene:Manes.04G028200.v8.1 transcript:Manes.04G028200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKGSRFDSECSPNSRETMSSDEDEVERRFSAAESDDDNDDFDDADSGAGSDDFDLLELGETGAEFCQIGNLTCSVPFELYDLSGLEDILSVDVWNEVLTEEERFSLTKYLPDLDQHTFMLTLKELFEGQNFHFGSPIKKLFELLKGGLCEPRVALYREGLGFFQKRQHYHLLRKHHNNMVSNLCQIRDAWLSCTEYSIEEKLRVLNIMKGEKNLVSGKMEEDLESDSSEKYDLDDGLWVKRVEDRKSALRLGRNSAYGAAPILDLATRMPSVDLEVPKYGKHNPKGILKLSASKTFPPKETMGRFPSAYQGLDANTRPYGFPVPISRHKVMGYDSGAANRLRDRMIINDGDDDDDDVEDAMDGMNFRRVRNAAHSGLMDKPRGLKAGKKHDLLGSEELGTESFRGFPFSSKKDSLAYGKNRNANQLSEVKGFAAKPPTIQTSHEFGKKAKRPEPIQQFGVGDHMKPRKSRTPQLTAKGNQIDLSEHGKTIWHGKHHGRISMDSSLKSDDHDMRSKKWKEGRESPDLSFKAYGASSPRVNDRILLSELRAKSSQEKIRANFIQNGRQDKGAKKLNRMYVKNEETESDSSEQFDDDDDEDINPLMSSKSPYPSGIIDGSRSSLLKSGLDAKKRKFAKKDMQDNALAFDGMTDFSKKVAGFSELGPIHEYSSKAKQKSKMRASSQFHNPGSKALENGPICGLGKATDDDDRKRSHKIGKNGQLRESAERLHISSYPSYPSHRKQKRDVSKSDYIIEEEDDSLETRLLADENAVVRLGKRGQGSEAYVPDPLDRSDASFQGFNLMSKKRKAKEESTNTDRRDEDGNMRSSLQQQIDDSVSLKKKGKRKVDADTGTSAMEISEPPLTKMGIADMDLETKPQKKPYTPITPTVHTGFSFSIIHLLSAVRLAMISPLPEDSLENVKSTGVQNGKVEGDTNGAVSHESPDVNKSDSVLQVNVPSLTIQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVVYEKSTKSWSWIGPVSNTSTDHETIEEVTSPEYWGLPHKMLVKLVDSFANWLKSGQETLQQIGSLPAPPMSMMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKAREHFMLKHDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQPDQGAVTVAFHGNVDQSGFDMGSDLNVEPPGPDDDKRTDLVYNDAKQRVQNNAEASHVSEQGSMHQGHPVAWDDALSSNPMRENKLLCQENSTNEDFDDETFGRERPVGLLSASLL >Manes.04G028200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3353231:3360039:1 gene:Manes.04G028200.v8.1 transcript:Manes.04G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKGSRFDSECSPNSRETMSSDEDEVERRFSAAESDDDNDDFDDADSGAGSDDFDLLELGETGAEFCQIGNLTCSVPFELYDLSGLEDILSVDVWNEVLTEEERFSLTKYLPDLDQHTFMLTLKELFEGQNFHFGSPIKKLFELLKGGLCEPRVALYREGLGFFQKRQHYHLLRKHHNNMVSNLCQIRDAWLSCTEYSIEEKLRVLNIMKGEKNLVSGKMEEDLESDSSEKYDLDDGLWVKRVEDRKSALRLGRNSAYGAAPILDLATRMPSVDLEVPKYGKHNPKGILKLSASKTFPPKETMGRFPSAYQGLDANTRPYGFPVPISRHKVMGYDSGAANRLRDRMIINDGDDDDDDVEDAMDGMNFRRVRNAAHSGLMDKPRGLKAGKKHDLLGSEELGTESFRGFPFSSKKDSLAYGKNRNANQLSEVKGFAAKPPTIQTSHEFGKKAKRPEPIQQFGVGDHMKPRKSRTPQLTAKGNQIDLSEHGKTIWHGKHHGRISMDSSLKSDDHDMRSKKWKEGRESPDLSFKAYGASSPRVNDRILLSELRAKSSQEKIRANFIQNGRQDKGAKKLNRMYVKNEETESDSSEQFDDDDDEDINPLMSSKSPYPSGIIDGSRSSLLKSGLDAKKRKFAKKDMQDNALAFDGMTDFSKKVAGFSELGPIHEYSSKAKQKSKMRASSQFHNPGSKALENGPICGLGKATDDDDRKRSHKIGKNGQLRESAERLHISSYPSYPSHRKQKRDVSKSDYIIEEEDDSLETRLLADENAVVRLGKRGQGSEAYVPDPLDRSDASFQGFNLMSKKRKAKEESTNTDRRDEDGNMRSSLQQQIDDSVSLKKKGKRKVDADTGTSAMEISEPPLTKMGIADMDLETKPQKKPYTPITPTVHTGFSFSIIHLLSAVRLAMISPLPEDSLENVKSTGVQNGKVEGDTNGAVSHESPDVNKSDSVLQVNVPSLTIQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVVYEKSTKSWSWIGPVSNTSTDHETIEEVTSPEYWGLPHKMLVKLVDSFANWLKSGQETLQQIGSLPAPPMSMMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKAREHFMLKHDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQPDQGAVTVAFHGNVDQSGFDMGSDLNVEPPGPDDDKRTDLVYNDAKQRVQNNAEASHVSEQGSMHQGHPVAWDDALSSNPMRENKLLCQENSTNEDFDDETFGRERPVGLLSASLL >Manes.04G028200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3353277:3360682:1 gene:Manes.04G028200.v8.1 transcript:Manes.04G028200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKGSRFDSECSPNSRETMSSDEDEVERRFSAAESDDDNDDFDDADSGAGSDDFDLLELGETGAEFCQIGNLTCSVPFELYDLSGLEDILSVDVWNEVLTEEERFSLTKYLPDLDQHTFMLTLKELFEGQNFHFGSPIKKLFELLKGGLCEPRVALYREGLGFFQKRQHYHLLRKHHNNMVSNLCQIRDAWLSCTEYSIEEKLRVLNIMKGEKNLVSGKMEEDLESDSSEKYDLDDGLWVKRVEDRKSALRLGRNSAYGAAPILDLATRMPSVDLEVPKYGKHNPKGILKLSASKTFPPKETMGRFPSAYQGLDANTRPYGFPVPISRHKVMGYDSGAANRLRDRMIINDGDDDDDDVEDAMDGMNFRRVRNAAHSGLMDKPRGLKAGKKHDLLGSEELGTESFRGFPFSSKKDSLAYGKNRNANQLSEVKGFAAKPPTIQTSHEFGKKAKRPEPIQQFGVGDHMKPRKSRTPQLTAKGNQIDLSEHGKTIWHGKHHGRISMDSSLKSDDHDMRSKKWKEGRESPDLSFKAYGASSPRVNDRILLSELRAKSSQEKIRANFIQNGRQDKGAKKLNRMYVKNEETESDSSEQFDDDDDEDINPLMSSKSPYPSGIIDGSRSSLLKSGLDAKKRKFAKKDMQDNALAFDGMTDFSKKVAGFSELGPIHEYSSKAKQKSKMRASSQFHNPGSKALENGPICGLGKATDDDDRKRSHKIGKNGQLRESAERLHISSYPSYPSHRKQKRDVSKSDYIIEEEDDSLETRLLADENAVVRLGKRGQGSEAYVPDPLDRSDASFQGFNLMSKKRKAKEESTNTDRRDEDGNMRSSLQQQIDDSVSLKKKGKRKVDADTGTSAMEISEPPLTKMGIADMDLETKPQKKPYTPITPTVHTGFSFSIIHLLSAVRLAMISPLPEDSLENVKSTGVQNGKVEGDTNGAVSHESPDVNKSDSVLQVNVPSLTIQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVVYEKSTKSWSWIGPVSNTSTDHETIEEVTSPEYWGLPHKMLVKLVDSFANWLKSGQETLQQIGSLPAPPMSMMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKAREHFMLKHDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQPDQGAVTVAFHGNVDQSGFDMGSDLNVEPPGPDDDKRTDLVYNDAKQRVQNNAEASHVSEQGSMHQGHPVAWDDALSSNPMRENKLLCQENSTNEDFDDETFGRERPVGLLSASLL >Manes.04G028200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3353231:3360039:1 gene:Manes.04G028200.v8.1 transcript:Manes.04G028200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKNSFKGSRFDSECSPNSRETMSSDEDEVERRFSAAESDDDNDDFDDADSGAGSDDFDLLELGETGAEFCQIGNLTCSVPFELYDLSGLEDILSVDVWNEVLTEEERFSLTKYLPDLDQHTFMLTLKELFEGQNFHFGSPIKKLFELLKGGLCEPRVALYREGLGFFQKRQHYHLLRKHHNNMVSNLCQIRDAWLSCTEYSIEEKLRVLNIMKGEKNLVSGKMEEDLESDSSEKYDLDDGLWVKRVEDRKSALRLGRNSAYGAAPILDLATRMPSVDLEVPKYGKHNPKGILKLSASKTFPPKETMGRFPSAYQGLDANTRPYGFPVPISRHKVMGYDSGAANRLRDRMIINDGDDDDDDVEDAMDGMNFRRVRNAAHSGLMDKPRGLKAGKKHDLLGSEELGTESFRGFPFSSKKDSLAYGKNRNANQLSEVKGFAAKPPTIQTSHEFGKKAKRPEPIQQFGVGDHMKPRKSRTPQLTAKGNQIDLSEHGKTIWHGKHHGRISMDSSLKSDDHDMRSKKWKEGRESPDLSFKAYGASSPRVNDRILLSELRAKSSQEKIRANFIQNGRQDKGAKKLNRMYVKNEETESDSSEQFDDDDDEDINPLMSSKSPYPSGIIDGSRSSLLKSGLDAKKRKFAKKDMQDNALAFDGMTDFSKKVAGFSELGPIHEYSSKAKQKSKMRASSQFHNPGSKALENGPICGLGKATDDDDRKRSHKIGKNGQLRESAERLHISSYPSYPSHRKQKRDVSKSDYIIEEEDDSLETRLLADENAVVRLGKRGQGSEAYVPDPLDRSDASFQGFNLMSKKRKAKEESTNTDRRDEDGNMRSSLQQQIDDSVSLKKKGKRKVDADTGTSAMEISEPPLTKMGIADMDLETKPQKKPYTPITPTVHTGFSFSIIHLLSAVRLAMISPLPEDSLENVKSTGVQNGKVEGDTNGAVSHESPDVNKSDSVLQVNVPSLTIQEIVNRVRSNPGDPCILETQEPLQDLVRGVLKIFSSKTAPLGAKGWKALVVYEKSTKSWSWIGPVSNTSTDHETIEEVTSPEYWGLPHKMLVKLVDSFANWLKSGQETLQQIGSLPAPPMSMMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKAREHFMLKHDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQPDQGAVTVAFHGNVDQSGFDMGSDLNVEPPGPDDDKRTDLVYNDAKQRVQNNAEASHVSEQGSMHQGHPVAWDDALSSNPMRENKLLCQENSTNEDFDDETFGRERPVGLLSASLL >Manes.09G178300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:36832785:36833599:1 gene:Manes.09G178300.v8.1 transcript:Manes.09G178300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEIKAKAEVYYGDEICQEKTKLLLKEVGLPNGLLPLRDIIECGIVMETGFVWLKQKKSITHKFDKVGRLASYAPEVTAFVERGKIKKLTGVKTKELLIWVSLSDIYLNDPPNGKIVFQVPSGIHRSFPCSAFEVEETKDVKEQNKEVKAAGEVKEVKAAVK >Manes.18G021412.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2141348:2145109:1 gene:Manes.18G021412.v8.1 transcript:Manes.18G021412.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWLPVMMITLATPLSWRQLPSCFSRTQTDLIPLKLISGYPRIAQLSFCSSSQISSDITDSIPEQSSKRDPLKPVRLIDRVKESQRELTVLKRLKRGEIVGLISDAGMLGISDPVAEFVKLCVNENITDIPIPGPSALIAALSASGLAADEFTFVGFLSKHARSRRVRLIASADEKERKYSIQCVIPREMIKIHEEFWRGTLGKAKESVETPSDSQLENELGDLISCGHSLSMAVKLVSEKTSVRRNTIYSSALTKLGKQPEVEDT >Manes.09G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34921745:34922605:-1 gene:Manes.09G152300.v8.1 transcript:Manes.09G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIEMARLMCLCLMLLLCLLANGIAQEHGDEHSQQQALFRPNNEGESASNVKATYHFYNAEENGWDLLAVGAYCSTWDADKPYDWRSKYGWTAFCGPVGPQGQEACGRCLRVTNTGTGDEATVRIVDQCSSGGLDLDAGVFQQLDTDGTGNAQGHLIVDYQFVDCGD >Manes.06G070400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20640606:20642487:-1 gene:Manes.06G070400.v8.1 transcript:Manes.06G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMAIQSGLSSVFTVSPFPCQTLSCKTVKTSIFVNPKPNMLPSSTILEPKIRPISRNQRIIGFAAPEALAAETPIEAEEATEVEESIPKQEIEKVEVTVKQVEKPRLVLKFIWMEKNIGLALDQVIPGHGTVPLSPYYFWPRKDAWEELKTTLESKPWISQKKMIILLNQATDIINLWQQSGGNLTT >Manes.04G096900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30223820:30225663:1 gene:Manes.04G096900.v8.1 transcript:Manes.04G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSTLAKRLWSLLRVAFFMIRKGLVSKRKLIMDMNLMMKRGKLLRNPFSNLLSHHHHRSSFGAEEYEFSCSNSPNPVFFHMPKRKHHYFPCINLPEVTGEEEEDANNAAAVMAMVPKTPDEYTFNFAPREKHSPLPSPLSVRISNYSSQDENDNELSGQVDDEAEEFIRRFYEQLRLQSRKQLLQYQDSN >Manes.03G091100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:21916664:21920128:-1 gene:Manes.03G091100.v8.1 transcript:Manes.03G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHLQSLSFFFFFFFLLHFQLHCSSSLAKSCHPNERMALLRFKDSLFTYDDDAGYSYSSCGELPTLNDAWDVEDTDCCSWGGVTCDRLTGHVIGLDLSCHDLYGTVAPNSTLFLLPHLQKLNLAHLRSDGSIPSGFGLFPNLTHLNLSSCLFSGYIPPEISRLSKLVSLDLSFNSDSDEVLKFHSHVFENLSRNLSKLTFLDLSEVDMSLVSPKSLLNLSSSLKSLHLSSCFLQGNLPGNFSGFQSLEALDIASNFGLIIKSSEAKWPASLRILDIHETSSSGELSDSMINLMSLEYLDLSFNSFFGSIPASLGNLEFLKHLDISNNNFFSSIPVELGNLRSLEYLDLSFNNFFSFIPTTLGNLKSLKYLDFSFTNFSGSIPSALCNLKSLNYLDVSFANFSGSIPAALGNLKSMEYLDLSFNKFSGWIPPSLGNIEQLKYLALKSNHFSGQIPDIFAKSSKSDSVNPSPYNFSSQLQFSLEDVDFSDNELHGPIPSSIFELVNLKSLFLSSNNLSGTFEWNLLRKLKNLVQLDLSWNSELSLRSSSHVNFTLPDLQSLALASCNITKFPYFLKTLENLSFLDLSHNRIHGKITKQKSFGWTSLSTLSLSHNFLTGIEQYPWINIRTLDLSFNLLQGSLPVPPNSTEIFLISNNRLGGEIPSSVCNLTSLAALDLSNNDLAGLLPKCLGDIVESLFTLNLQGNNFHGGIPEIFAHGSLVYLNANGNKFNGPLPRSLANCTSLQVLDLGNNKLNDTFPHWLEHLLDLQILILRSNSFHGIIGNPITTSPFPKLQILDISHNEFTGLLPSKYLQHLKFLMKVGEVKVEAKYAGDSAYYFQDSLAVDLIMKGVELEMKEILTIFTAIDFSSNIFQGDIPEEVSLLKSLVVLNFSHNYLTGHIPSWLGNLTELESLDLSVNRFVGEIPQQLTVLTFLAVLNLSYNHLNGIIPQGNQFNTFSNDSYIGNMGLCGFPLSKNCNNGEASQLPPPRFDEGGDSAECWFDWKIALMGYGCGLVFGLSMGYIIFATGKPEWFVRIVEAKQYKWTRRSKNFRGKGRRTK >Manes.14G088400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7307231:7311954:1 gene:Manes.14G088400.v8.1 transcript:Manes.14G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMMRTLERYQKCSYGASEACQPGFETQSNYQEYLKLKARVEILQRSQRNLLGEDLGPLNTKELEQLEHQLESSLNQIRSTKTQFMLDQLADLQNREHVLLETNKALKRRLEEGSGQVPLRLAWEGGGQTIPYSRLAVHSEGLFQPLGGNSTLQIGYNPVGADEVNLAHTQHVNGFTPGWML >Manes.18G030500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2561865:2563565:1 gene:Manes.18G030500.v8.1 transcript:Manes.18G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLTLQLLLLLLFSHLLTTNATATAITQQFKEAPQFYNSPDCPSFDQDESDSESDGEGTIFCSDQAVHVAMTLDTAYIRGSMAAILSVLQHSSCPQNVAFHFVASASANASLLRTTISSSFPYLNFRVYTFDDSSVSRLISTSIRSALDCPLNYARSYLANILPLCVRRVVYLDSDLVLVDDIAKLAATPLGEKTILAAPEYCNANFTSYFTPTFWSNPSLSLTFADRKACYFNTGVMVIDLDRWRAGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIVPVDHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLQTPFALDS >Manes.07G106700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31300450:31302714:-1 gene:Manes.07G106700.v8.1 transcript:Manes.07G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVAENFPPAGDTSPFYTQTSMELDLFHSRTSVGASPTLGQLLKRVGDVRKEATGDGNETPVHQVLELSDTSMEAPSSIPFILSFNNLTYSVKLRRKMTLPGFVNQRRPHHQLGPATAAVPVVGESMFTTTKTLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKDSLKGTITLNGEVLESRMLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLSKSKKKMRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQTGSIVIMSVHQPSYRILGLLDRLIFLSRGQPVYSGPPTHLPSFFEEFGHPIPENENRTEFALDLIRELEGSPGGTKSLVEFNKSWQNMKHTPNTEPDRHGLSLKEAISASISKGKLVSGATNNDASPHSMVPTFANPFWIEMAVLSKRSLTNSRRIPELFGIRLGAVLVTGFILATMFWQLDNSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHALVSLPSLIFLSFSFSAITFWAVGLDGGFSGFFFYFLIIFASFWAGNSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPAYWIWFHYMSLVKYPYEAVLQNEFQDPTKCFVRGVQIFDNTPLGSVPTSLKVRLLQTISNTLGMKITSSTCLTTGSDILQQQGITDLSKWNCLWVTVAWGFLFRILFYFSLLLGSKNKRR >Manes.16G116001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32059965:32062330:1 gene:Manes.16G116001.v8.1 transcript:Manes.16G116001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTSKFIDEEVSSSNNFKSSLNASTLANACHQKNMELEFHEQGQLAFVNPSDQTLPQMLPFNLNSLNSPMLMMANGVDKGFLGSYYPSAANLMMNYRPRGCPISYSGLSRQLSAGLPNVSSRMHNPQLSEFCDINEFEMRNKKDSVKHESRSIKSSILQ >Manes.S042316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1256022:1256834:-1 gene:Manes.S042316.v8.1 transcript:Manes.S042316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G086133.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:27135319:27137610:-1 gene:Manes.08G086133.v8.1 transcript:Manes.08G086133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFHMNHMMLMFMCFMSFFRSRMRGSRRSARLTGAPPENEGMDARPLALPRTMSCRSSREGTSRDPRRSFDVSRRGTVQGGMSAGVRGLEEDEQRRDGSLGMSMSEEGMEESQGGAQASGFGYPPQYQPFPQGPGYPMGGTSDYSNFNPYPSFMPYPSFYPPYPQYPMFPPSPFYPNPANPNPGNAAPPPPPAEPVAPEIQTLKPSSSVGSKVKMTDYLKLDAPKFKTGDDPFEYLKTVKMITDELGANDSRAIQMAGFTLKCKKAREWFKNYVDPRLESLSWEQFANEFAGWAFPDNSRELKVVEFEQLRQTEDMSVNEYTDKFLELLQYVGQAYDTDQKKARRYTMRLHPRYSSMILAAERESFHTIVDAAQKMEASAIIEGSVKQTVAQSLGSKTPGRGKLDSSSLSATASGSKKWGKSKQRKNKFWNRLKFGLGLGGGSSSGSDGSECLSCGKPHKGVCRFGTTTCFRCGQEGHMARECPRAAFMAPSQ >Manes.10G085800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22155128:22158782:-1 gene:Manes.10G085800.v8.1 transcript:Manes.10G085800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEYIWGESERSLYSHLTAMASQLVQLLANKSFMSCGHVNWRSYCWRLLLLMAFLPNVTLSGRIVEYLPGFDGELPFKLETGYTSVGDSELFYYFIESQGDPQKDPIFLWLTGGPGCSSFSGIIYEIGPMEFDINNYKGGLPKLKYYPYAWTRTASFIFLDAPVGTGFSYSTTPQGWPTSDSESAEQSYQFLRKWLLEHPQYLLVQLFIGGDSYSGLIVPLITQKVVEANEAMVNPYLNLKGFLLGSPTTDQILNANSKIIFAHRMALISDQLYEKLKMSCNESYVDVDPSNTACVAALGAYEICIKDLYANDILEPSCVFASESENPPTGQRSAKENPTNFILSPPRIPDLWCRNFNYVLSYVWANNPQVQDALHVRKGTVSTWQRCNLTLSYTEDVRSVIDVHRYLSSKSLELLVQTGDHDMVVPFVATQTWITSLNLTISDEWRPWFVDGQVAGYTSKYVNYWQDGTFYLTYATVKGAGHTAPEYYRRECYELFQRWIHYYPL >Manes.10G085800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22155127:22158782:-1 gene:Manes.10G085800.v8.1 transcript:Manes.10G085800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEYIWGESERSLYSHLTAMASQLVQLLANKSFMSCGHVNWRSYCWRLLLLMAFLPNVTLSGRIVEYLPGFDGELPFKLETGYTSVGDSELFYYFIESQGDPQKDPIFLWLTGGPGCSSFSGIIYEIGPMEFDINNYKGGLPKLKYYPYAWTRTASFIFLDAPVGTGFSYSTTPQGWPTSDSESAEQSYQFLRKWLLEHPQYLLVQLFIGGDSYSGLIVPLITQKVVEANEAMVNPYLNLKGFLLGSPTTDQILNANSKIIFAHRMALISDQLYEKLKMSCNESYVDVDPSNTACVAALGAYEICIKDLYANDILEPSCVFASESENPPTGQRSAKENPTNFILSPPRIPDLWCRNFNYVLSYVWANNPQVQDALHVRKGTVSTWQRCNLTLSYTEDVRSVIDVHRYLSSKSLELLVQTNSNMDNISEFDNF >Manes.16G085600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29235738:29244595:1 gene:Manes.16G085600.v8.1 transcript:Manes.16G085600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWCCLCFTFEDEEAPLKGNNVQNKAMREGIFENDNNPECNIENNAEDADEAAATRLALALTDNRWERDDQDPLRLFEEMTRATHGDGSNWDEEAPALDELLHVAAPLSLRKTAIRFTQRGQGESSSSTAGAAASTATESGNEDCDRDMHSKRAKVNSGSHDCHYPTAMSSHAGNSISADRDFSLSQSSSALSRNEIFYHNFMWNSSSNENACDSNGGRDDGDEGGTSKSEDAEVRMDLTDDLLHMVFSFLDHINLCQAAMVCKQWRAASAHEDFWRCLNFENRNISVEQFEDMCRRYPNATEVNIFGAPNIHLLVMKAVSSLRNLEVLTLGRGQLGDLFFHSLAECNMLKSLNVNDATLGNGVQEIPINHDRLRHLQLTKCRVVRISVRCPQLETLSLKRSNMAQAVLNCPLLHLLDIGSCHKLSDTAIRSAATSCPQLESLDMSNCSCVSDETLREIALACANLHILNASYCPNISLESVRLPMLTVLKLHSCEGITSASMAAISHSYMLEVLELDNCNLLTSVSLDLPRLQNIRLVHCRKFADLNLQSIMLSSIMVSNCPALHRINITSNSLQKLALQKQENLTTLALQCQCLQEVDLTDCESLTNSICEVFSDGGGCPMLKTLVLDNCKDLTAVQFCSISLVSLSLVGCRRITALELTCPRLEKVCLDGSDHLERASFSLVALRSLNLGICPKLNVLNIEAPYMVSLELKGCGVLSEATINCPLLTSLDASFCSQLKDDCLSATTASCPLIESLILMSCPSVGSDGLYSLRWLPYLTLLDLSYTFLINLQPVFESCLQLKVLKLQACKYLDDTSLEPLYKEGALPALQELDLSYGTLCQSAIEELLACCTHLTHLSLNGCVNMHDLNWGYSGGQLSELPSVYNPSALLSDDNIHGPFEQANRLLQNLNCVGCPNIRKVLIPPMARCFHLLSLNLSLSANLKEVDIACYSLCFLNLSNCCSLEVLKLECPRLTSLFLQSCNIDEKEVEAAISRCSMLETLDVRFCPKICPTSMGRLRSACPSLKRVFSSLSPS >Manes.02G144000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11040723:11056406:1 gene:Manes.02G144000.v8.1 transcript:Manes.02G144000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSESGVGANLGPQMTKRKRLDGEENSESMVLGKVPDVGLDIEGVQGWFGEGNSDVFRWLDEVGEANVRENLQLWPGEAARGNGGQVLGGYGGEFGTNGVLGFDGKAFRLWDGKDSGAETQVGKSGRDSGENGVMGLGCEATRALFGEVSAVNADVGSSGQGIHGFDGKIGVVGSTGEEIQGVLGEVSGRNVDINLGGEGIKELFGECENGAIGSSSGVIPGLFDGVASANKDLSFDGEGVPCWCGEAGCTSIDGKGIQGLFGEIAFANGGEGIEHRYSEKVDGNGPNGVPDEERMTKVKLGRPKGSKNKKKIGAVEAVNQGSSEVGATGDDTGTSKRKRGRPKGSKSKKKHQVEENRIIFNKAVVGNDGGVGNVFLRGSENEKVAVMGEEKVKMPDETADSSGGGNGNTCTKVEHNWLKGFNNKNKFVGGGECIEALDQAEIVQQKDIQNCPESLKNKKEDDVSIENPQLPVQMWGSNDTGVETVTSSGLNNERTAQGGMKNREMPGEGAAGEGGLVTSKPRRGRPKGSKSNKIKSLPGGNPEMATEMEGCNDGDDKIIGPMVLLGEGISTSGGEGRTMLRESIGGVGSASEIFKLTDFKSKHTIFAGNSQEFPGNILGANDNGGNKDRGVSNMMTALFNEEVRATSGEAIVGSREVNESIKPEGRCDPPKFLENKQNDLEGDNKEMLCDSMLGHHFDDNSFRFLALENGKAVFSAEGDRAMPVEATFGNGEGSQVVRPKGRRGRPKGSMHMKKSLEPYRWELPYENVDINDCVENLVSNEVNGHSEKESRIIKAKKRRGRPKGSNNKKKILYSEEVVGCLGEYKMLHRKNKRGRPKGSKNKQKCLPADENKVVNNEIGGCNNSKGNFCLTGFQTDRSAVVDEEVGKMAIQATAGDEARQENAQPKGKRGRPKGSKKKNPIAVSKEQSQILLQIESKGDELAGNSGNACKRHRGRPRKYSDQPDNSESLDITERQKVQRSLMCHQCLKSQNNGVVVCSNCRRKRYCYDCLAKWYPEKTREQIEIACPFCRGNCNCRLCLKEVVVLSRTGKADATTKLPKLLYLLDKTLPLLKHIQQEQSSELDVEARTRGAPLTEEDVLQSLLDDDDRLYCDNCNTSIVDFHRSCPNPDCSYDLCLSCCWEIRKGSQPGGNEAESPHHQFVERIHGQGTQMDDQITANGKRFGWENQVPHLENKCVAESLFDFSDWRAEADGRIPCPPEALGGCGTEILVLKRVFEANMIKELITSAEELTSNYKPRDIDSFQGCCLCRPFISTDYRMKDFEVRKAADREKSDDNFLYCPNALRLGDNEIEHFQMHWTRGEPVIVRNVLEKTSGLSWEPMVMWRALRGAQRILKEEAQKVKAIDCLDWCEVEINILQFFKGYLEGRRYKNGWPEMLKLKDWPPSNSFEECLPRHGAEFIAMLPFSEYTHPKSGLLNLATRLPAVLKPDLGPKTYIAYGSMEELGRGDSVTKLHCDISDAVNVLTHMKEVKLPPWQSEIIDKLQKQYEDEDNNQICGVMQKASGKCGRKPRKSPCRDESIDPELFQKGETIESDSSLERLYIQEMKLDEQESKSQALDGSSSIQFPQKCSASAGTTVTENTKQLVGNAERMVPCYDPHMLDSSSLWYNDWEKMNPVLKKQDEVKGYSAECSDIVRGELLPKGMHMDASDDHGMDEILGLNLEKNNYHSLNQHDKCTGLVGLAAETKDLGSVDLNTVMTSKILAENHAAKHLYGGAVWDIFRRQDVPKLIEYLKKHQHEFLHISNLPVNSVVHPIHDQTFYLNERHKRQLKEEFNVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPDNVQECIRLTEEFRMLPKNHRAKEDKLEIKKMTLYAVKVAVSEANNLISGLESSNEDKQIQEHLP >Manes.09G121300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32402944:32407177:1 gene:Manes.09G121300.v8.1 transcript:Manes.09G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGITRASTFREEIYRSPEDDINNNDIKNRNMLKTSHTFSSSSSLAAQAIRASAAHRESSLSSACAGDSSPQRSKVFDAYEDSSPRSDSKGFWGVLARKAKAILEEDNVSSQLEVPDRSRFQMSDTSEGCQSPQSNLTPDGVVKLDNPRLRKGLDKITSSLNQIGDTFEKALEGGRTIVENKTADIIQETRKLQIRRKGSSPDAQNQAPGTNSSWQEPMMQPYLSQNQMNHETQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKQRCSQLEEENKILRESREKGGNPADEDLIRLQLETLLAEKARLARENSIFARENRFLREIVEYHQLTMQDVVYLDEGSEEVTEVYPFAKTLYISPTSPVSPPSPSELTASASPAATKEIMQEASRNDTPPSSLTPILEEGDTEDIPANKDEDGKSALVLEENAKTETKSSS >Manes.06G176000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29956854:29965935:1 gene:Manes.06G176000.v8.1 transcript:Manes.06G176000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNITMEQLDMQNQFGYSARESGHEGFAASQAFLIDPISSRSANVRLPDLNVSEVKPVLNYSIQTGEEFALEFMRDRVNLKKPLIPSALADPNHASNHMELKSILSTTHTGSESSYASTLPLAEKGSKGIERTNLSLYEGRSDYGSVHSVPQTSSEHDIQQFLHGFTSDSSSTKMKLLCSFGGTILPRPSDGKLRYVGGETHIICISKDISWEELERKTLAIYTQPHVIKYQLPGEDLDALVSVSCDEDLQNMMEEWNEVEGREASQKLRMFLFSMSDLEDAQFGLGSLEGDSDIQYVVAVNGMDLGLRKSSIVHGLASSSRNNLNELDVLNVDRETSRVAAVSIGVSTSTLPSTFQSAQLVHQNLSSAYETNPQFSHGQMMEYRVSQQFVAHYPNNSSNYSPNEEIPYSTSLHGLMNQGGLNRGKSYGSLHEQNSQTLAKEEKRKLDGSVQHEVGIEKTRPLEKAVPVDEISVSVSAQEDLHSLPSKNDSNQCESEKTSSSVDVINQVQISKSSENDQCSLSCTFGLSGSDSVSNLIDLSYHEPSLPTQRIYCSERIPREQAELMNRLSKSDDSLGSLFLISHSSSNITEHKSIRESAENLIQSDPDLHTEHSMPTVKPLHIDPQQTNNELAQPQKYKVFADAASEMNKMLLDSHDVLQSGFKLAVPNDVDDKDSANREAMLNADHDDTAGNQENLLIEETGESRSGNPAASQVTYLVHHKDPLTDTLGPKLSEITGKDFAGNDLGHSQPFSQKESSANDIPQGIHPVGISARKQADVSIDINDRFPHDFFSEIFPRGILTEERVGVTPMHKDGAGISVIMENHEPKHWSYFQKLAQEEFVQKDVSLIDQDLLSTPLLAKAKDGDQKTHLFAHLTEDPVSMDHKHSHSQLNFGKDSNKESIPGTGGADSTVHSDFGYSQVKGSKNMQFGAMVENLKSPESPYEVVQLENRNIGLPPINPSLVDFDISALQQFINNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPHRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLHGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPAIPNFCDPDWKRLMEQCWAPNPAARPSFTEIARRLRIMSTAASQTKGHGHKPSKS >Manes.06G176000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29956854:29965935:1 gene:Manes.06G176000.v8.1 transcript:Manes.06G176000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNITMEQLDMQNQFGYSARESGHEGFAASQAFLIDPISSRSANVRLPDLNVSEVKPVLNYSIQTGEEFALEFMRDRVNLKKPLIPSALADPNHASNHMELKSILSTTHTGSESSYASTLPLAEKGSKGIERTNLSLYEGRSDYGSVHSVPQTSSEHDIQQFLHGFTSDSSSTKMKLLCSFGGTILPRPSDGKLRYVGGETHIICISKDISWEELERKTLAIYTQPHVIKYQLPGEDLDALVSVSCDEDLQNMMEEWNEVEGREASQKLRMFLFSMSDLEDAQFGLGSLEGDSDIQYVVAVNGMDLGLRKSSIVHGLASSSRNNLNELDVLNVDRETSRVAAVSIGVSTSTLPSTFQSAQLVHQNLSSAYETNPQFSHGQMMEYRVSQQFVAHYPNNSSNYSPNEEIPYSTSLHGLMNQGGLNRGKSYGSLHEQNSQTLAKEEKRKLDGSVQHEVGIEKTRPLEKAVPVDEISVSVSAQEDLHSLPSKNDSNQCESEKTSSSVDVINQVQISKSSENDQCSLSCTFGLSGSDSVSNLIDLSYHEPSLPTQRIYCSERIPREQAELMNRLSKSDDSLGSLFLISHSSSNITEHKSIRESAENLIQSDPDLHTEHSMPTVKPLHIDPQQTNNELAQPQKYKVFADAASEMNKMLLDSHDVLQSGFKLAVPNDVDDKDSANREAMLNADHDDTAGNQENLLIEETGESRSGNPAASQVTYLVHHKDPLTDTLGPKLSEITGKDFAGNDLGHSQPFSQKESSANDIPQGIHPVGISARKQADVSIDINDRFPHDFFSEIFPRGILTEERVGVTPMHKDGAGISVIMENHEPKHWSYFQKLAQEEFVQKDVSLIDQDLLSTPLLAKAKDGDQKTHLFAHLTEDPVSMDHKHSHSQLNFGKDSNKESIPGTGGADSTVHSDFGYSQVKGSKNMQFGAMVENLKSPESPYEVVQLENRNIGLPPINPSLVDFDISALQFINNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPHRPICKNGCSRLVILDCQKLNEIHWYLEVCVELFHGWHQSCYMVVATRSRKRLTFSPLELSYGRFSLVRNHMPTCTMVPS >Manes.06G176000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29956854:29965935:1 gene:Manes.06G176000.v8.1 transcript:Manes.06G176000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNITMEQLDMQNQFGYSARESGHEGFAASQAFLIDPISSRSANVRLPDLNVSEVKPVLNYSIQTGEEFALEFMRDRVNLKKPLIPSALADPNHASNHMELKSILSTTHTGSESSYASTLPLAEKGSKGIERTNLSLYEGRSDYGSVHSVPQTSSEHDIQQFLHGFTSDSSSTKMKLLCSFGGTILPRPSDGKLRYVGGETHIICISKDISWEELERKTLAIYTQPHVIKYQLPGEDLDALVSVSCDEDLQNMMEEWNEVEGREASQKLRMFLFSMSDLEDAQFGLGSLEGDSDIQYVVAVNGMDLGLRKSSIVHGLASSSRNNLNELDVLNVDRETSRVAAVSIGVSTSTLPSTFQSAQLVHQNLSSAYETNPQFSHGQMMEYRVSQQFVAHYPNNSSNYSPNEEIPYSTSLHGLMNQGGLNRGKSYGSLHEQNSQTLAKEEKRKLDGSVQHEVGIEKTRPLEKAVPVDEISVSVSAQEDLHSLPSKNDSNQCESEKTSSSVDVINQVQISKSSENDQCSLSCTFGLSGSDSVSNLIDLSYHEPSLPTQRIYCSERIPREQAELMNRLSKSDDSLGSLFLISHSSSNITEHKSIRESAENLIQSDPDLHTEHSMPTVKPLHIDPQQTNNELAQPQKYKVFADAASEMNKMLLDSHDVLQSGFKLAVPNDVDDKDSANREAMLNADHDDTAGNQENLLIEETGESRSGNPAASQVTYLVHHKDPLTDTLGPKLSEITGKDFAGNDLGHSQPFSQKESSANDIPQGIHPVGISARKQADVSIDINDRFPHDFFSEIFPRGILTEERVGVTPMHKDGAGISVIMENHEPKHWSYFQKLAQEEFVQKDVSLIDQDLLSTPLLAKAKDGDQKTHLFAHLTEDPVSMDHKHSHSQLNFGKDSNKESIPGTGGADSTVHSDFGYSQVKGSKNMQFGAMVENLKSPESPYEVVQLENRNIGLPPINPSLVDFDISALQFINNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPHRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLHGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPAIPNFCDPDWKRLMEQCWAPNPAARPSFTEIARRLRIMSTAASQTKGHGHKPSKS >Manes.06G176000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29956854:29965935:1 gene:Manes.06G176000.v8.1 transcript:Manes.06G176000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNITMEQLDMQNQFGYSARESGHEGFAASQAFLIDPISSRSANVRLPDLNVSEVKPVLNYSIQTGEEFALEFMRDRVNLKKPLIPSALADPNHASNHMELKSILSTTHTGSESSYASTLPLAEKGSKGIERTNLSLYEGRSDYGSVHSVPQTSSEHDIQQFLHGFTSDSSSTKMKLLCSFGGTILPRPSDGKLRYVGGETHIICISKDISWEELERKTLAIYTQPHVIKYQLPGEDLDALVSVSCDEDLQNMMEEWNEVEGREASQKLRMFLFSMSDLEDAQFGLGSLEGDSDIQYVVAVNGMDLGLRKSSIVHGLASSSRNNLNELDVLNVDRETSRVAAVSIGVSTSTLPSTFQSAQLVHQNLSSAYETNPQFSHGQMMEYRVSQQFVAHYPNNSSNYSPNEEIPYSTSLHGLMNQGGLNRGKSYGSLHEQNSQTLAKEEKRKLDGSVQHEVGIEKTRPLEKAVPVDEISVSVSAQEDLHSLPSKNDSNQCESEKTSSSVDVINQVQISKSSENDQCSLSCTFGLSGSDSVSNLIDLSYHEPSLPTQRIYCSERIPREQAELMNRLSKSDDSLGSLFLISHSSSNITEHKSIRESAENLIQSDPDLHTEHSMPTVKPLHIDPQQTNNELAQPQKYKVFADAASEMNKMLLDSHDVLQSGFKLAVPNDVDDKDSANREAMLNADHDDTAGNQENLLIEETGESRSGNPAASQVTYLVHHKDPLTDTLGPKLSEITGKDFAGNDLGHSQPFSQKESSANDIPQGIHPVGISARKQADVSIDINDRFPHDFFSEIFPRGILTEERVGVTPMHKDGAGISVIMENHEPKHWSYFQKLAQEEFVQKDVSLIDQDLLSTPLLAKAKDGDQKTHLFAHLTEDPVSMDHKHSHSQLNFGKDSNKESIPGTGGADSTVHSDFGYSQVKGSKNMQFGAMVENLKSPESPYEVVQLENRNIGLPPINPSLVDFDISALQQFINNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPHRPICKNGCSRLVILDCQKLNEIHWYLEVCVELFHGWHQSCYMVVATRSRKRLTFSPLELSYGRFSLVRNHMPTCTMVPS >Manes.06G176000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29956854:29965935:1 gene:Manes.06G176000.v8.1 transcript:Manes.06G176000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNITMEQLDMQNQFGYSARESGHEGFAASQAFLIDPISSRSANVRLPDLNVSEVKPVLNYSIQTGEEFALEFMRDRVNLKKPLIPSALADPNHASNHMELKSILSTTHTGSESSYASTLPLAEKGSKGIERTNLSLYEGRSDYGSVHSVPQTSSEHDIQQFLHGFTSDSSSTKMKLLCSFGGTILPRPSDGKLRYVGGETHIICISKDISWEELERKTLAIYTQPHVIKYQLPGEDLDALVSVSCDEDLQNMMEEWNEVEGREASQKLRMFLFSMSDLEDAQFGLGSLEGDSDIQYVVAVNGMDLGLRKSSIVHGLASSSRNNLNELDVLNVDRETSRVAAVSIGVSTSTLPSTFQSAQLVHQNLSSAYETNPQFSHGQMMEYRVSQQFVAHYPNNSSNYSPNEEIPYSTSLHGLMNQGGLNRGKSYGSLHEQNSQTLAKEEKRKLDGSVQHEVGIEKTRPLEKAVPVDEISVSVSAQEDLHSLPSKNDSNQCESEKTSSSVDVINQVQISKSSENDQCSLSCTFGLSGSDSVSNLIDLSYHEPSLPTQRIYCSERIPREQAELMNRLSKSDDSLGSLFLISHSSSNITEHKSIRESAENLIQSDPDLHTEHSMPTVKPLHIDPQQTNNELAQPQKYKVFADAASEMNKMLLDSHDVLQSGFKLAVPNDVDDKDSANREAMLNADHDDTAGNQENLLIEETGESRSGNPAASQVTYLVHHKDPLTDTLGPKLSEITGKDFAGNDLGHSQPFSQKESSANDIPQGIHPVGISARKQADVSIDINDRFPHDFFSEIFPRGILTEERVGVTPMHKDGAGISVIMENHEPKHWSYFQKLAQEEFVQKDVSLIDQDLLSTPLLAKAKDGDQKTHLFAHLTEDPVSMDHKHSHSQLNFGKDSNKESIPGTGGADSTVHSDFGYSQVKGSKNMQFGAMVENLKSPESPYEVVQLENRNIGLPPINPSLVDFDISALQQFINNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPHRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLHGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPAIPNFCDPDWKRLMEQCWAPNPAARPSFTEIARRLRIMSTAASQTKGHGHKPSKS >Manes.06G176000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29956853:29965935:1 gene:Manes.06G176000.v8.1 transcript:Manes.06G176000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNITMEQLDMQNQFGYSARESGHEGFAASQAFLIDPISSRSANVRLPDLNVSEVKPVLNYSIQTGEEFALEFMRDRVNLKKPLIPSALADPNHASNHMELKSILSTTHTGSESSYASTLPLAEKGSKGIERTNLSLYEGRSDYGSVHSVPQTSSEHDIQQFLHGFTSDSSSTKMKLLCSFGGTILPRPSDGKLRYVGGETHIICISKDISWEELERKTLAIYTQPHVIKYQLPGEDLDALVSVSCDEDLQNMMEEWNEVEGREASQKLRMFLFSMSDLEDAQFGLGSLEGDSDIQYVVAVNGMDLGLRKSSIVHGLASSSRNNLNELDVLNVDRETSRVAAVSIGVSTSTLPSTFQSAQLVHQNLSSAYETNPQFSHGQMMEYRVSQQFVAHYPNNSSNYSPNEEIPYSTSLHGLMNQGGLNRGKSYGSLHEQNSQTLAKEEKRKLDGSVQHEVGIEKTRPLEKAVPVDEISVSVSAQEDLHSLPSKNDSNQCESEKTSSSVDVINQVQISKSSENDQCSLSCTFGLSGSDSVSNLIDLSYHEPSLPTQRIYCSERIPREQAELMNRLSKSDDSLGSLFLISHSSSNITEHKSIRESAENLIQSDPDLHTEHSMPTVKPLHIDPQQTNNELAQPQKYKVFADAASEMNKMLLDSHDVLQSGFKLAVPNDVDDKDSANREAMLNADHDDTAGNQENLLIEETGESRSGNPAASQVTYLVHHKDPLTDTLGPKLSEITGKDFAGNDLGHSQPFSQKESSANDIPQGIHPVGISARKQADVSIDINDRFPHDFFSEIFPRGILTEERVGVTPMHKDGAGISVIMENHEPKHWSYFQKLAQEEFVQKDVSLIDQDLLSTPLLAKAKDGDQKTHLFAHLTEDPVSMDHKHSHSQLNFGKDSNKESIPGTGGADSTVHSDFGYSQVKGSKNMQFGAMVENLKSPESPYEVVQLENRNIGLPPINPSLVDFDISALQFINNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPHRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLHGSSNKVSEKVEL >Manes.06G176000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29956853:29965935:1 gene:Manes.06G176000.v8.1 transcript:Manes.06G176000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNITMEQLDMQNQFGYSARESGHEGFAASQAFLIDPISSRSANVRLPDLNVSEVKPVLNYSIQTGEEFALEFMRDRVNLKKPLIPSALADPNHASNHMELKSILSTTHTGSESSYASTLPLAEKGSKGIERTNLSLYEGRSDYGSVHSVPQTSSEHDIQQFLHGFTSDSSSTKMKLLCSFGGTILPRPSDGKLRYVGGETHIICISKDISWEELERKTLAIYTQPHVIKYQLPGEDLDALVSVSCDEDLQNMMEEWNEVEGREASQKLRMFLFSMSDLEDAQFGLGSLEGDSDIQYVVAVNGMDLGLRKSSIVHGLASSSRNNLNELDVLNVDRETSRVAAVSIGVSTSTLPSTFQSAQLVHQNLSSAYETNPQFSHGQMMEYRVSQQFVAHYPNNSSNYSPNEEIPYSTSLHGLMNQGGLNRGKSYGSLHEQNSQTLAKEEKRKLDGSVQHEVGIEKTRPLEKAVPVDEISVSVSAQEDLHSLPSKNDSNQCESEKTSSSVDVINQVQISKSSENDQCSLSCTFGLSGSDSVSNLIDLSYHEPSLPTQRIYCSERIPREQAELMNRLSKSDDSLGSLFLISHSSSNITEHKSIRESAENLIQSDPDLHTEHSMPTVKPLHIDPQQTNNELAQPQKYKVFADAASEMNKMLLDSHDVLQSGFKLAVPNDVDDKDSANREAMLNADHDDTAGNQENLLIEETGESRSGNPAASQVTYLVHHKDPLTDTLGPKLSEITGKDFAGNDLGHSQPFSQKESSANDIPQGIHPVGISARKQADVSIDINDRFPHDFFSEIFPRGILTEERVGVTPMHKDGAGISVIMENHEPKHWSYFQKLAQEEFVQKDVSLIDQDLLSTPLLAKAKDGDQKTHLFAHLTEDPVSMDHKHSHSQLNFGKDSNKESIPGTGGADSTVHSDFGYSQVKGSKNMQFGAMVENLKSPESPYEVVQLENRNIGLPPINPSLVDFDISALQQFINNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLTIEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVDGSLRHVLLKKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPHRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLHGSSNKVSEKVEL >Manes.06G176000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29956853:29965935:1 gene:Manes.06G176000.v8.1 transcript:Manes.06G176000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSNITMEQLDMQNQFGYSARESGHEGFAASQAFLIDPISSRSANVRLPDLNVSEVKPVLNYSIQTGEEFALEFMRDRVNLKKPLIPSALADPNHASNHMELKSILSTTHTGSESSYASTLPLAEKGSKGIERTNLSLYEGRSDYGSVHSVPQTSSEHDIQQFLHGFTSDSSSTKMKLLCSFGGTILPRPSDGKLRYVGGETHIICISKDISWEELERKTLAIYTQPHVIKYQLPGEDLDALVSVSCDEDLQNMMEEWNEVEGREASQKLRMFLFSMSDLEDAQFGLGSLEGDSDIQYVVAVNGMDLGLRKSSIVHGLASSSRNNLNELDVLNVDRETSRVAAVSIGVSTSTLPSTFQSAQLVHQNLSSAYETNPQFSHGQMMEYRVSQQFVAHYPNNSSNYSPNEEIPYSTSLHGLMNQGGLNRGKSYGSLHEQNSQTLAKEEKRKLDGSVQHEVGIEKTRPLEKAVPVDEISVSVSAQEDLHSLPSKNDSNQCESEKTSSSVDVINQVQISKSSENDQCSLSCTFGLSGSDSVSNLIDLSYHEPSLPTQRIYCSERIPREQAELMNRLSKSDDSLGSLFLISHSSSNITEHKSIRESAENLIQSDPDLHTEHSMPTVKPLHIDPQQTNNELAQPQKYKVFADAASEMNKMLLDSHDVLQSGFKLAVPNDVDDKDSANREAMLNADHDDTAGNQENLLIEETGESRSGNPAASQVTYLVHHKDPLTDTLGPKLSEITGKDFAGNDLGHSQPFSQKESSANDIPQGIHPVGISARKQADVSIDINDRFPHDFFSEIFPRGILTEERVGVTPMHKDGAGISVIMENHEPKHWSYFQKLAQEEFVQKDVSLIDQDLLSTPLLAKAKDGDQKTHLFAHLTEDPVSMDHKHSHSQLNFGKDSNKESIPGTGGADSTVHSDFGYSQVKGSKNMQFGAMVENLKSPESPYEVVQLENRNIGLPPINPSLVDFDISALQQFINNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRLKKICFTGRSSEQERLVSGSSQEAPNSYGCCIWNGVSALKEHCAF >Manes.08G058800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6827147:6829597:1 gene:Manes.08G058800.v8.1 transcript:Manes.08G058800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLSHSQKNRKLCLSHLPYFLRRDLLNITMFYTILILSLFLKFASSDNKTEPGFLFDDYFELGGKAEVNNSNMSSYVIFADSGLSEAGQVFYDHPFKFKNSTASASVFSFSTTFVFVVISRDPEFSGHGLAFAISPSKVAVELDTDQDFQFDDRDDNHLGIDINGLVSVKSATAGYYTEHGNFKELDLKSGKPIQVWIEYDSLNQQLNVTIHPIKVPKPLRPLLSLARNLSPYFFEFMYVGFSSASGSKSSHYILGWSFRMNDQAPEINLSGRPDIPGVTSENEGDRSGKVQKILAVVLSSTGGVFVLLLIFGAHMISRRRKFIRGLEDWEVLYGPHRFSYKDLFIATKGFRHRQLLGKGGFGRVYRGILPFSNVQIAVKRISHDSRQGMREFVAEIATIGRLRHPNLVRLLGYCRRKNELFLVYDFMPNGSLDKFLYHVPNSILNWKQRFKIIKDVASALFYLHQQWVQVIIHRDIKPANVLIDNEMNARLGDFGLARLCDHGNDPQTSHVAGTPGYIDPEIVQSGKSTTLTDIYAFGVFMLEVTCGRKPVDPRASAEKVMLIDWVMNCWDRGAILETVDWRLGNEYVLHEAELVLKLGLLCSHPVAAFRPSMSSAVQLLDGVAQLPENLSDIIKSRDSGEGSRQGGVANDEFSCQQISVASLTFTESFASDGR >Manes.09G025275.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5617402:5618726:1 gene:Manes.09G025275.v8.1 transcript:Manes.09G025275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLLNLWENLAKLPLVMLLKDTRNVFNMDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGLYM >Manes.06G036233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10558118:10559551:-1 gene:Manes.06G036233.v8.1 transcript:Manes.06G036233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLREPSFMPRVFSKEQLVWLMCRVWMPRILPWQLMSKAMKTSLLCWRTEHEAIKKLRSTNHTPYSHRLLMEKCCIYIQLHKSLVWFSP >Manes.02G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1849770:1853873:-1 gene:Manes.02G020800.v8.1 transcript:Manes.02G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVNGHSRPSNDALHTINAAASAIASAENRVPQATVQKRRWGSCWNVYWCFGYHRHRKRISHAALVPETSAPGNDSSVAENPTQAPPITLPFVAPPSSPASFLQSEPPSASQSPAGTMSHTSISANIYSPSGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQLLDPSIRSGEAGLQFPLSNYEFQSYQFYPGSPVGQLISPSSGISGSGTSSPFPDGELSAGGTHLLDFRLANPPKLLNLDKLSTHEWGSRQGSGTLTPDAARSTSCSFPLDRQFSDFTSQSHSDHGNQNDEVAERRVSFELAVEDVLRCAEQKTSSPVEVRPDSMEHGTTAAKENSTEIVNNFDSRVGETSNETAEKASTDGERAAQHQKHRSITLGSLKEFNFDNADGGDSHKPNTGPDWWANGSESDVGKEDGVSKNWSFPVMQPSVG >Manes.01G122300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31807284:31816748:-1 gene:Manes.01G122300.v8.1 transcript:Manes.01G122300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTSALVDGVADGSSEFDIREPSISTILMNFENKFDPHDAMSTPLYQTATFKQPSAIENGKYDYTRSGNPTRDALESLLAKLDKADRAFCFTSGMAALAAVTHLVGNGQEIVAGDDLYGGSDRLLSQVTPKSGVVIKRVNTSNLDEVASAIGSWTKLVWLESPTNPRQQISDIRKIAKMAHTHGALVLVDNSIMSPVLSQPLELGADIVMHSATKFIAGHSDVMAGVLAVKGESLARDLYFLQNAEGSGLAPFDCWICLRGIKTMALRVEKQQDNAQKIAEFLASHPRVKKVNYAGLPGHPGRDLHYSQAKGAGSVLSFLTGSIELSKHIVETTKYFSITVSFGSVKSLISLPCFMSHASIPAEVREARGLTEDLIRISVGIEDVNDLIADLDHALRTGPL >Manes.01G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31807284:31816748:-1 gene:Manes.01G122300.v8.1 transcript:Manes.01G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIGNSTSSLHAGTRCNPLLRELQVPTLGESPKSFWVRKECNLRGKCLTLAKKIKLNCLADKAMDVKTSALVDGVADGSSEFDIREPSISTILMNFENKFDPHDAMSTPLYQTATFKQPSAIENGKYDYTRSGNPTRDALESLLAKLDKADRAFCFTSGMAALAAVTHLVGNGQEIVAGDDLYGGSDRLLSQVTPKSGVVIKRVNTSNLDEVASAIGSWTKLVWLESPTNPRQQISDIRKIAKMAHTHGALVLVDNSIMSPVLSQPLELGADIVMHSATKFIAGHSDVMAGVLAVKGESLARDLYFLQNAEGSGLAPFDCWICLRGIKTMALRVEKQQDNAQKIAEFLASHPRVKKVNYAGLPGHPGRDLHYSQAKGAGSVLSFLTGSIELSKHIVETTKYFSITVSFGSVKSLISLPCFMSHASIPAEVREARGLTEDLIRISVGIEDVNDLIADLDHALRTGPL >Manes.06G135700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26347049:26351158:-1 gene:Manes.06G135700.v8.1 transcript:Manes.06G135700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKVNSRRIDLDVIKDVDLYKIEPWDLQEICRIGTEDQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETEENGTHQEEGWVVCRVFKKRITTVRKMSEHESSSWYEDQVSFMHEEDSPPNQNSQPDLGYHLAYPCKKELDMQYHNQVSSSHDHFLELPLLQSPKLLKAVTVYGLDINQASTLQSSSFSPEEQRREQSLHLLYGHQNNNRQPVDPVTDWRVLDKFVASQLSQDDLPKENNSYSNATNNIFHSSDQANMVAKHLSKQETAPENASTSTPGSQIEIWK >Manes.06G135700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26346870:26351157:-1 gene:Manes.06G135700.v8.1 transcript:Manes.06G135700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFSHVPPGFRFHPTDEELVDYYLRKKVNSRRIDLDVIKDVDLYKIEPWDLQEICRIGTEDQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETEENGTHQEEGWVVCRVFKKRITTVRKMSEHESSSWYEDQVSFMHEEDSPPNQNSQPDLGYHLAYPCKKELDMQYHNQVSSSHDHFLELPLLQSPKLLKAVTVYGLDINQASTLQSSSFSPEEQRREQSLHLLYGHQNNNRQPVDPVTDWRVLDKFVASQLSQDDLPKENNSYSNATNNIFHSSDQANMVAKHLSKQETAPENASTSTPGSQIEIWK >Manes.06G135700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26347049:26350932:-1 gene:Manes.06G135700.v8.1 transcript:Manes.06G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSHIHADLASQGLETQQQENMNTFSHVPPGFRFHPTDEELVDYYLRKKVNSRRIDLDVIKDVDLYKIEPWDLQEICRIGTEDQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETEENGTHQEEGWVVCRVFKKRITTVRKMSEHESSSWYEDQVSFMHEEDSPPNQNSQPDLGYHLAYPCKKELDMQYHNQVSSSHDHFLELPLLQSPKLLKAVTVYGLDINQASTLQSSSFSPEEQRREQSLHLLYGHQNNNRQPVDPVTDWRVLDKFVASQLSQDDLPKENNSYSNATNNIFHSSDQANMVAKHLSKQETAPENASTSTPGSQIEIWK >Manes.01G049500.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKVHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417680:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQSHMDNTKADIKRCDVAFPSELSSGCASNVPTDGKKKSNVQLKSTREIDEWPTFDLGF >Manes.01G049500.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQQCAAQEYKGN >Manes.01G049500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKVHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417680:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417680:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417680:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417680:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQSHMDNTKADIKRCDVAFPSELSSGCASNVPTDGKKKSNVQLKSTREIDEWPTFDLGF >Manes.01G049500.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKVHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKVHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417680:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQSHMDNTKADIKRCDVAFPSELSSGCASNVPTDGKKKSNVQLKSTREIDEWPTFDLGF >Manes.01G049500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417680:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417680:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSDEKDTAKKSKAPLNNLSPSQKIIRVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.01G049500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20417679:20436987:-1 gene:Manes.01G049500.v8.1 transcript:Manes.01G049500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRYASLRISPQQDLASLGVVSCCSKRRHLIDFLLRSLNIRGEEKKKENYVFFTFPLPALSNVLKAPNLELQSHSRISAMENLKKRWTVTYTKQIKQKRKVYQDGFLDLHVSTNKVMLFDDCEKLLECRMLKGEEVVSSGETLTFNGYLVDVGDLEGDVDQKPNPDLNLYGKERKILEKPRPRPSLMYRHKFTSPSISSADEKDTAKKSKAPLNNLSPSQKIIRAGIIETVANISEFKKSELQRYGALRSYQESPDTVNPHVTEWQVMYTTQVTQKAKKYHDGFLRLTKSGSLGRQIMLYDASRKLLDSRFLKKNEIVRSHESVIFDAHLVDIGEPELENQLSADLNVQGNNINLACKERVMHVNQNCLRVHESWAKATEKFQSNACSREDTDSRSKFAVERTKVSNTAHTDKSLRGIHQILSILQKPLAQKSVVVGCTDNSMTTTVFSAKVIHISDAEVDYPKDGKLPVISLPNDGPSETKDNGESTKFTDNEKCSNLMPSEDISISSGGQPSSDSVTGNFDQLKSTREIDEWPTFDLGF >Manes.18G002500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:698669:702039:1 gene:Manes.18G002500.v8.1 transcript:Manes.18G002500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEELLFELNGEDPNDQGLPPGFRFHPTDEELITFYLASKVFHGSFCGIEIAEVDLNRCEPWELPDVAKMGDREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSYRHTCKEEWVICRIFHKTGEKKNGLVHGQGFVLEVSSPPISCSLPPLLEAPSTLLKCQDQAPTEVLQNHFLIHHQEASITKNTRETNKNVHTDTNTNGASPSMLFKSPVSHQDCTLKEQSNIPKQCKTEANFSYFQLPDANSNMNWVDKVHPSPCIQYPLSCEMDCNVLGISAAATDSDITAYEMSTSIAFNGAGFQMMMLDTPIRHPAESWQLDS >Manes.18G002500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:698669:702039:1 gene:Manes.18G002500.v8.1 transcript:Manes.18G002500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEELLFELNGEDPNDQGLPPGFRFHPTDEELITFYLASKVFHGSFCGIEIAEVDLNRCEPWELPDVAKMGDREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSYRHTCKEEWVICRIFHKTGEKKNGLVHGQGFVLEVSSPPISCSLPPLLEAPSTLLKCQDQAPTEVLQNHFLIHHQEGDLKSLLLSSLVFQSNNIVSVNELFQPSFSAASITKNTRETNKNVHTDTNTNGASPSMLFKSPVSHQDCTLKEQSNIPKQCKTEANFSYFQLPDANSNMNWVDKVHPSPCIQYPLSCEMDCNVLGISAAATDSDITAYEMSTSIAFNGAGFQMMMLDTPIRHPAESWQLDS >Manes.18G002500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:698669:702039:1 gene:Manes.18G002500.v8.1 transcript:Manes.18G002500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMEELLFELNGEDPNDQGLPPGFRFHPTDEELITFYLASKVFHGSFCGIEIAEVDLNRCEPWELPDVAKMGDREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSYRHTCKEEWVICRIFHKTGEKKNGLVHGQGFVLEVSSPPISCSLPPLLEAPSTLLKCQDQAPTEVLQNHFLIHHQEAASITKNTRETNKNVHTDTNTNGASPSMLFKSPVSHQDCTLKEQSNIPKQCKTEANFSYFQLPDANSNMNWVDKVHPSPCIQYPLSCEMDCNVLGISAAATDSDITAYEMSTSIAFNGAGFQMMMLDTPIRHPAESWQLDS >Manes.11G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1103345:1109760:1 gene:Manes.11G010800.v8.1 transcript:Manes.11G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELDKPLLDPENFNREGIDLERLPLEEVFKQLKTSRGGLSTEDAEVRLQIFGPNKLEEKPENKFLKFLSFMWNPLSWVMEAAAIMAIVLANGGGEGPDWQDFVGIICLLLINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQEEDAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKRTGDEVFSGSICKHGEIEAVVIATGVNTFFGKAAHLVDSTEVVGHFQKVLTSIGNFCICSIAVGMILEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAVGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNKDMDREMIVLLAARASRLENQDAIDAAIVNMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLCQGKDQIAGKVHTIIDKFAERGLRSLGVAFQEVPEKNKDSPGGPWTFCGLLALFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDKDENEAVPVDELIEKADGFAGVFPEHKYEIVKILQEKQHVVGMTGDGVNDAPALKKADIGIAVADSTDAARSAADLVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPRPDSWKLPEIFATGIVIGTYLALVTVLFYWVVISTNFFERTFHVRSLSSNTEEVSSAIYLQVSIISQALIFVTRSQSWSFMERPGVLLMCAFVVAQLVATLIAVYAHISFAYIRGIGWGWAGVIWLYSLIFYIPLDIIKFTVRYALSGEAWNLLFDRKTAFSSKKDYGKEDRAAKWIMSQRSLQGLMAADLEFNGWRSSLISEQAKRRAEIARLREIHTLRGHMESVARLKNLDSNVIQSSYTV >Manes.16G060601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:19452920:19453312:-1 gene:Manes.16G060601.v8.1 transcript:Manes.16G060601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFFNFIFNFLTLSIFLLSLNFYFIFFYFLFRFLSFSPFFSLFLLSFLSCSLTFFLFFYFVLFSSFSLLFFFISSHFLFFFIFFFLFLIFFNFPFSLFSLHFYHYFFSFLSFLIFSFVSHFLLFSHIFSFS >Manes.10G037100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755402:3758354:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGSIIGSTFIVCGLYAVLWGKNSETKKTSLLIPLKNSEEVETTVITSKSDNVENLSSEKLHGKEEKEKESEEKV >Manes.10G037100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755440:3757618:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGSIIGSTFIVCGLYAVLWGKNSETKKTSLLIPLKNSEEVETTVITSKSDNVENLSSEKLHGKEEKEKESEEKV >Manes.10G037100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755440:3759102:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGSIIGSTFIVCGLYAVLWGKNSETKKTSLLIPLKNSEEVETTVITSKSDNVENLSSEKLHGKEEKEKESEEKVPVDWR >Manes.10G037100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755443:3759102:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGSIIGSTFIVCGLYAVLWGKNSETKKTSLLIPLKNSEEVETTVITSKSDNVENLSSEKLHGKEEKEKESEEKVPVDWR >Manes.10G037100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755402:3759102:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRE >Manes.10G037100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755473:3759102:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGRSLLIGGESFILDLGDERDDGYAYRSEDSLLCDNSISGSYRYAR >Manes.10G037100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755440:3759102:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRE >Manes.10G037100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755475:3759073:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGRSLLIGGESFILDLGDERDDGYAYRSEDSLLCDNSISGSYRYAR >Manes.10G037100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755475:3759073:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGSIIGSTFIVCGLYAVLWGKNSETKKTSLLIPLKNSEEVETTVITSKSDNVENLSSEKLHGKEEKEKESEEKVPVDWR >Manes.10G037100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755475:3759837:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGSIIGSTFIVCGLYAVLWGKNSETKKTSLLIPLKNSEEVETTVITSKSDNVENLSSEKLHGKEEKEKESEEKVPVDWR >Manes.10G037100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755475:3759837:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGRSLLIGGESFILDLGDERDDGYAYRSEDSLLCDNSISGSYRYAR >Manes.10G037100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755475:3759102:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGSIIGSTFIVCGLYAVLWGKNSETKKTSLLIPLKNSEEVETTVITSKSDNVENLSSEKLHGKEEKEKESEEKVPVDWR >Manes.10G037100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755401:3758355:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGRYVSIHFSSKS >Manes.10G037100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755402:3759102:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGRSLLIGGESFILDLGDERDDGYAYRSEDSLLCDNSISGSYRYAR >Manes.10G037100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755475:3759102:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRLFTTAYSGIVVQGMNITFMIWCVRIKGPLFTTSFYPLMLLFTALAGSLLLDEELHLGRSLLIGGESFILDLGDERDDGYAYRSEDSLLCDNSISGSYRYAR >Manes.10G037100.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3755401:3758355:1 gene:Manes.10G037100.v8.1 transcript:Manes.10G037100.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRWMHEVKAVILMVVVQFFLAGLNVLYKLATYDGMSLRVIVSYRFIFATLFLIPLALIFERKKRPKLTWTILFQAFLCGFFGGSLCQNLYSESLVLTSATFASAMANLIPAVTFILAASFGLEEMGISTLAGKAKVVGTLMGITGAMLLTFCKGAVIHIWSKQVNLMKLITPNGRHAAASNGSLVLGCIFAMGTCLSFSVWLIIQAKMSARYPCPYSSTALMSTMAAIQSAVFTICVEKDWSQWRLGWNIRE >Manes.18G028100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2412144:2413377:1 gene:Manes.18G028100.v8.1 transcript:Manes.18G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFKLKLSRVIPSFQICRSKNASEIPVPVIYRVSPFNPKALDINYPKLPDPPPSTPDTYKRHLSGKKASVGCRCQARSLAQYLADCSFDSLDFAREKEAYERRPTKLHPYMESISFSDESNGNISPIIASASRKNKKKEDRKDSNKGEMAVSWEDGGCSFSSEGKENEVETETLLFPSRSFSYDSSYDFCYPFVNTTTKKTDNGNKKTGGMKIRKLKRQVSKNQKLSSPEVISPMRASVLRKMISCTADGKVKESVAVVKKSKDPYEDFKRSMLEMILEKQMFEAKDLEELLHCFLSLNSRQYHGVIVEAFSDIWETLFCDSPAKKRNSVHF >Manes.08G057500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:6482428:6482574:-1 gene:Manes.08G057500.v8.1 transcript:Manes.08G057500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAWGLTLWMVNMVWLAVSGWVSSCLTVADVVAGSIRTGDIGPFHVG >Manes.18G033500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3039662:3042788:-1 gene:Manes.18G033500.v8.1 transcript:Manes.18G033500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCHALRNSLFTSSQLKLIIPTENKTHSSKLRRGIMCCSPSNSTPSSSVSTTNTTTSNLGPPIIKKRKRYRKPYPGEKQGITEEMRFVAMRLRNLEGKNIRESDNSDDDDDDSQNSQDCNVSKEEENTGEEGEGDGESWVPSMEGFIKYLVDSRLVFDTIERIVDKSDDVSYVYFRKTGLERSEGLAKDLEWFTQHDIAIPEPSTPGVSYAKYLEDLAEKSAPLFLCHFYNIYFSHIASGQVIARQVSEKLLEGRELEFYRWEGDVQELLKGTREKLNMVGEHWSRDVKNKCLKEATKSFRYLGQIVRLIVL >Manes.06G008350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1331354:1332349:-1 gene:Manes.06G008350.v8.1 transcript:Manes.06G008350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLPKNASSFPFAGVVGSQTIYLDSHSVNLTLLSKENSLLLGLPPYSMVGLFGTTLLSSSTESYARIKFFSRFLGLGPMIFCWALEIFLRCIPLDFRLIDISVFYWACTVGKY >Manes.02G041600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3396861:3403619:-1 gene:Manes.02G041600.v8.1 transcript:Manes.02G041600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDHLAHERNKAQFDVDEMKIVWAGSRHAFHVSDRMARLVASDPVFRKDNRAMLSRKELFKNTLKKAAHAWKRIIELRLSEEEAGKLRFYVDEPAFTDLHWGMFVPAIKGQGTDEQQQKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPETDEFIIHSPTLTSSKWWPGGLGKISTHAVVYARLITDGQEHGVHGFIVQLRSLDDHMPLPGITVGDIGMKFGSGAYNTMDNGVLRFDHVRIPRNQMLMRVMQVTREGKCVQSNVPRQLIYGTMVFVRQTIVADASSALSRAVCIATRYSAVRRQFGSQDGGIETQVIDYKTQQNRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQANDFSTLPEAHACTAGLKSLTTSATADAIEECRKLCGGHGYLSSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKRPIGTTAYMAQAEDLLQCRCRVQKAEDWLKPSILLEAFEARAVRICVARAESLSKFPNPEEGFAELSADLVEAAIAHCQLIVVSKFIEKLQQDIPGKGVKQQLQILCNIYALNLLHKHLGDFLSTGCITPEQASLANDQLRSLYSQIRPNAIALVDAFNYTDHYLGSVLGCYDGNVYPKLYEEAWKDPLNDSVVPDGFHEYVRPMLKQQLRNARL >Manes.04G030400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3735567:3736931:1 gene:Manes.04G030400.v8.1 transcript:Manes.04G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSIAIGSPAEAGQPDALKAALAEFISMLIFVFAGEGSGMAFNKLTDNGSTTPAGLVAASVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHITLIRSILYWIAQLLGSVVACLLLKFATGGLETSAFALSSGVGGGNALVFEIVMTFGLVYTVYATAVDPKKGDIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFLGAGIAAVVYEMFFISPTTHEQFPSGDF >Manes.14G166300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26592680:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVKPVVLML >Manes.14G166300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26589848:26614773:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.14G166300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26593639:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.14G166300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26589848:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVKPVVLML >Manes.14G166300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26589848:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCIYQFDDNVWDEFSETDDHIVPHPAEECEDQFRVHSDKKPRLEVIGVLSNAGDATCTQRKEETSLLTLTKKDRMLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVKPVVLML >Manes.14G166300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26599265:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIYNKSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.14G166300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26591858:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIYNKSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.14G166300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26589848:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCIYQFDDNVWDEFSETDDHIVPHPAEECEDQFRVHSDKKPRLEVIGVLSNAGDATCTQRKEETSLLTLTKKDRMLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.14G166300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26591986:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.14G166300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26593639:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.14G166300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26591858:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.14G166300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26592680:26614760:1 gene:Manes.14G166300.v8.1 transcript:Manes.14G166300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKGSWSHAPDGAFPASCDSGPVKELSSIASEETGASYHFLKIGNTDSVGSEFCSDDPILDDKSGADNTDRHRFPLSHMSQTDDGLNFFDNDHEDKENSDLLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSRSTGASEDALKLGSKFSSSKASVLNCISERHDACSLNNADASVNDSNKESLVTGDKIRSNTAGAAENSAFSRMQFPNGSDAKSVSKNELMLNKQINSHRSQARYRNCSEGQGEEQSMDNGGPFHHNGNLKQFTDTECSLTGNLEQFADVQCSLGDTSQQVFPPGVQQHKQNTVSDSLNHLQAHTRCMHMDYGRSSNQTCVGPNQSGIGSESSGLPSPSPKESSFESNQVQSMDSLHSPSLQAPAVSTKKRERVHHNQDLQVPYARNFKCANVASPAAFYDSVQNQACQSGYEVESHSEIEGVNIGIPAELDSSNAQESSCMSSVLDEISLEATSFRQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHNCDGGKKDDRHANDPLMGEETNKCTGFLDMETDTNPIDRSIAHLLFHRPSDPSVMPVNDPSSLKSHAMVHGSVTSAPMITKEQVCQDETASGAGESLLTSGNK >Manes.15G087101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6662159:6666877:1 gene:Manes.15G087101.v8.1 transcript:Manes.15G087101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICCKPSVIEDSKDNPRERLTSKVSSDLRASKATSFRREEAYGEKDRYDDGRAMLIDKQSNVSVRLHGGEYVDRKRKKMENAVAQHPGMGSIPKATEGEQGWLPRRADSFEKLDKIGQGTYSNVYRARDLDKKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVVKLEGLVTSRMSCSLYLAFEYMKHDLAGLASLPGLKFTEPQVKCYMQQLLQGLDHCHSHGVLHRYIKGSNLLIDNIGILKIADFGLASFYDPTRVQPLTSCILAELYAGKPIMPGRTEVEELHKIFKLCGSPSEDYWRKSKLPHATIFKSQQPYKRCVAETFKEFPASALALMETLLSIDPVDRGTAASALNSEFFTTEPLPCNPSSLPKYPPRNEFDAKLRDEEARSDLFSCASTTSKVMTS >Manes.01G245200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40560556:40561806:-1 gene:Manes.01G245200.v8.1 transcript:Manes.01G245200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPYSEEELTTSSPQARINQNSDAPRGGTRHPVYRGVRKRRWGKWVSEIREPRKKSRIWLGSFRAPEMAAKAYDVAAYCLKGCKAQLNFPDEVEDLPRPSSCLARDIQAAATKAAHSMMINAEEKSSCSITNSDGDRESDDFWGEIDLPELMSSGMSWSSCAWTSFAGESTLQDGEAPQMFKACL >Manes.08G086122.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27075561:27077667:-1 gene:Manes.08G086122.v8.1 transcript:Manes.08G086122.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKSMKPRSVVWDHFSKFIDDTGIQKGKCNHCEKDVTTRQSQLSLQSLSSSTQEGGGNYQLGTLSSWHFDQDVARRKLAKMTIIDELPFMFVEGEGFKEWVECIQPKFRIPSRWTVSRDCYDLYLKERKKLKSYFQNSSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKTLNFCPIGSHKGDDISMAVESCLLNWGIKRVFTVTVDNTSSNDVAVTYLKKKINGWGFGILNCKYLHMRCIAHIINLVVVNGLKENIEVVKRVREAVRYFKSCCEMEGIQSKYHLSLDVSTRWNSTYLMLRTAEKFENAFDKFATIDPCFKFDLVSGKECDGVPDSLDWDYIRKTVDFLGHFYDLTLKISVDCLLQEWKMSDDLTLANMGENMKVKFDKYWGDPDKMNKLIYIAVVMDPRYKMEFMGFALSAVYGNGKGLDLTHKIKSVVYELFDEYKRMFANENANINDGHVHSNAIENLDEEGSKKRSRMNLGSQFLKHKIEIGETKNKSDLDCYLNESIQVVEENNEFDILLWWKLNSNRFPILSHMARDILAHLQIVK >Manes.15G128700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10280725:10281230:1 gene:Manes.15G128700.v8.1 transcript:Manes.15G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTLNRVLVEKIVPPSKTNAGILLPESSTKLNSGKVISVGPGLRSNEGKTIPPSVKEGDTVLLPEYGGTQVKLADKEFYLYRDEDILGTLHE >Manes.13G028200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3728348:3730856:1 gene:Manes.13G028200.v8.1 transcript:Manes.13G028200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHLFDLPEQICYVQCGFCNTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKVSFVPFQFLASLSHDHQQKDVGPEEADTPKALDLQRSSSMMAYSDNELEEDKNLVNRVINKPAPEKRQRAPSAYNRFIKEEIRRLKAENPSMAHKEAFSTAAKNWASFPPVHYKEGGDGGDQEEDKATWSCDATEFQDNTEGNGFHERKVPRHSIWPRTPFK >Manes.13G028200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3728348:3730856:1 gene:Manes.13G028200.v8.1 transcript:Manes.13G028200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHLFDLPEQICYVQCGFCNTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKVSFVPFQFLASLSHDHQQKDVGPEEADTPKALDLQRSSSMMAYSDNELEEDKNLVNRVINKPPEKRQRAPSAYNRFIKEEIRRLKAENPSMAHKEAFSTAAKNWASFPPVHYKEGGDGGDQEEDKATWSCDATEFQDNTEGNGFHERKVPRHSIWPRTPFK >Manes.13G028200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3728348:3730856:1 gene:Manes.13G028200.v8.1 transcript:Manes.13G028200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHLFDLPEQICYVQCGFCNTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKVSFVPFQFLASLSHDHQQKDVGPEEADTPKALDLQRSSSMMAYSDNELEEDKNLVNRVINKPPEKRQRAPSAYNRFINREEIRRLKAENPSMAHKEAFSTAAKNWASFPPVHYKEGGDGGDQEEDKATWSCDATEDNTEGNGFHERKVPRHSIWPRTPFK >Manes.13G028200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3728348:3730856:1 gene:Manes.13G028200.v8.1 transcript:Manes.13G028200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHLFDLPEQICYVQCGFCNTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKVSFVPFQFLASLSHDHQQKDVGPEEADTPKALDLQRSSSMMAYSDNELEEDKNLVNRVINKPAPEKRQRAPSAYNRFIKEEIRRLKAENPSMAHKEAFSTAAKNWASFPPVHYKEGGDGGDQEEDKATWSCDATEDNTEGNGFHERKVPRHSIWPRTPFK >Manes.13G028200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3728348:3730856:1 gene:Manes.13G028200.v8.1 transcript:Manes.13G028200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHLFDLPEQICYVQCGFCNTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKVSFVPFQFLASLSHDHQQKDVGPEEADTPKALDLQRSSSMMAYSDNELEEDKNLVNRVINKPPEKRQRAPSAYNRFIKEEIRRLKAENPSMAHKEAFSTAAKNWASFPPVHYKEGGDGGDQEEDKATWSCDATEDNTEGNGFHERKVPRHSIWPRTPFK >Manes.13G028200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3728348:3730856:1 gene:Manes.13G028200.v8.1 transcript:Manes.13G028200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHLFDLPEQICYVQCGFCNTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKVSFVPFQFLASLSHDHQQKDVGPEEADTPKALDLQRSSSMMAYSDNELEEDKNLVNRVINKPPEKRQRAPSAYNRFINREEIRRLKAENPSMAHKEAFSTAAKNWASFPPVHYKEGGDGGDQEEDKATWSCDATEFQDNTEGNGFHERKVPRHSIWPRTPFK >Manes.01G042400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8378585:8386838:-1 gene:Manes.01G042400.v8.1 transcript:Manes.01G042400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENAGAKNGASGQNFDSTVVSSDSKGGPNDLERSNTRNEPLVNPKESNFQAQVYDQTVDGEREHRESATAAATLSSGKSNGIQMQNGFDISQQQMVAESAGYETIQIQRAKSNGLNDMSDLVEILSKLNPMAEEFVPPSLVSNHGYLGLGNGFGYTNNFAVQATPGNANGPNTKRKKNNYNQGRRRMNSRTGMAQRDEVIRRTVYVSDIDQQVTEEQLAGLFVHCGQVVDCRICGDPNSVLRFAFIEFTDEDGARAALNLSGTVLGFYPLRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVRLFFESFCGEVQRLRLLGDYHHSTRIAFVEFTVAESAISALNCSGAVLGSLPIRVSPSKTPVRPRIPRTPLH >Manes.01G042400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8378585:8386838:-1 gene:Manes.01G042400.v8.1 transcript:Manes.01G042400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENAGAKNGASGQNFDSTVVSSDSKGGPNDLERSNTRNEPLVNPKESNFQAQVYDQTVDGEREHRESATAAATLSSGKSNGIQMQNGFDISQQQMVAESAGYETIQIQRAKSNGLNDMSDLVEILSKLNPMAEEFVPPSLVSNHGYLGLGNGFGYTNNFAVQATPGNANGPNTKRKKNNYNQGRRRMNSRTGMAQRDEVIRRTVYVSDIDQQVTEEQLAGLFVHCGQVVDCRICGDPNSVLRFAFIEFTDEDGARAALNLSGTVLGFYPLRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVRLFFESFCGEVQRLRLLGDYHHSTRIAFVEFTVAESAISALNCSGAVLGSLPIRVSPSKTPVRPRIPRTPLH >Manes.02G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3749185:3753161:1 gene:Manes.02G045800.v8.1 transcript:Manes.02G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIMEEKNDVDKIEDAMLPGFRFHPTDEELVGFYLKRKIQQQPLPIELIKQVDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPTLAEPSPPKKLLDKSLPPNDAWAICRIFKKANSMAQRALNISWVSQLSDTAASDILNQGPQSTQFISENISCTTEIGSVFQMCSNDLQQASSANFSALDISSYKPITTTVDKPSLFPVSNGDLPNNFMFSPIEMPAPAKCTIDAPMLVNHDLMVDVNKASESMDYDGSQHQFNGFSISLHQDTQGSVGAEDGETGLRKNPSGFHDNSQWENMQSIGFPFSLPSNIPDDWKSNLPWDSPPCPSEMSSNYSANKCYT >Manes.02G045800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3749185:3753161:1 gene:Manes.02G045800.v8.1 transcript:Manes.02G045800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIMEEKNDVDKIEDAMLPGFRFHPTDEELVGFYLKRKIQQQPLPIELIKQVDIYKYDPWDLPKELATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTKCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPTLAEPSPPKKLLDKSLPPNDAWAICRIFKKANSMAQRALNISWVSQLSDTAASDILNQGPQSTQFISENISCTTEIGSVFQMCSNDLQQASSANFSALDISSYKPITTTVDKPSLFPVSNGDLPNNFMFSPIEMPAPAKCTIDAPMLVNHDLMVDVNKASESMDYDGSQHQFNGFSISLHQDTQGSVGAEDGETGLRKNPSGFHDNSQWENMQSIGFPFSLPSNIPDDWKSNLPWDSPPCPSEMSSNYSANKCYT >Manes.10G047101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5158716:5176396:1 gene:Manes.10G047101.v8.1 transcript:Manes.10G047101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIETEMGQPPKNKVATATAKLQSSTSSFKRWGRKHPFVRYGLPMISLTVFGAIGLGHLLQGSKDIAKVKDDQEWEIIETRKALSRTGPVEAYNPKKTTLEEELKALQQKVDINNYEYKRIPKPNEDKSG >Manes.17G071800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27181300:27183069:-1 gene:Manes.17G071800.v8.1 transcript:Manes.17G071800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVSLCLLGVLLVLAGDEVIALSRNSVRGDMVMEEEELFGLFEVLGALLDDPEWAQVHPQPCTDTPWPGVQCELADDPPIFHVTKIHVGPDIINPPCKTTAHLSSSLQKLPYLKVLSIFSCFVTSDPVILSPTLFENLSSLEHLALGSNPALSGQIPSSLGHITSLRVLTLSQNNLRGNIPQELGGLLNLEQLDLSYNNLSGEIPEEIGRLKSLTIMDVSWNSLEGQVPYSLGQLNLLQKIDLGSNKLVGRVPADLGKLKRLVLLDLSHNFINGPMPVTLSGLVQLQYLILDDNPINSGIPLFAVSLKRLTSISLSGCGLTGPIPNSLSSLKNLTALSLDNNSLTGTVPPSLGSLPNLDQLNVSNNQLSGELLLPEKFIGRLGKRLDVRGNSRLCTSNKRNFSFYLETPACLDKIGNGDHKICPEQNQDEAKGMQSSSWFHGKMKSSNNGVAFSDQKHLLCSRLLIFFFLEKTLF >Manes.05G095100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8700676:8705441:1 gene:Manes.05G095100.v8.1 transcript:Manes.05G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCAIFYLRHSFQLFSHHLYVGFPRNSLQLIVGRSLNKKLYSSSLSNVRPFPDYSPKKPTIKDSEIVHQISNAIKLRRSEPLCRILKPYESKFRSDHVIWVLMNIKNDYELVLNFFDWTRLLRYPNLEVRCIVVQIAVASKDLKMAHELIHDFWAKPNLDISHSFTHFVDQLIYTYKDWGSDPHVFDVFFQVLVEAGMVSEARKFFDKLLNYGIIVSIDSCNLYLSQLSRNFDTLGMAIVVFIEFLQVGVRWNTASYNIIMNSLLQLGKIKEAHLFLMKMEFKGCTPDVVSYSTIINGYCHIGELQKVLWLVKKMQKKGLKPNSYVYSSLILLLCKSGKVVEGEKVLREMTDQRVFPDNVTYTTLIDGFCKLGNTEAAYKLFDEMEAQKIVPDFITYTALICGLCQSGKMIEAERLFNEMLKEELEPDEVTYTALIDGYCKAGEMKKAFSLHNQMVQIGLTPNIVTYTALADGLCKCGELDTANELLHEMCRKGLELNICTYNSIINGLCKAGNISQAIKLMEEMQEAGIYPDTITYTTLMDAYCKTREMVKAHALLREMLDRGLQPTVVTFNVLMNGFCLSGMLEDGERLLKWMLEKGIMPNATTYNSIMKQYCIRNSMRTTTEIYREMCAQGVIPDSNTYNILIKGHCKARNMKEAWFLHKEMVEKGFNLTASSYNALIKGFLKRKKLLEARQLFEEMRRKGLVADAEIYNLFVDINYEEGNLETTLELCDEAIENCLVDEARNGNR >Manes.02G084200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6579182:6582379:-1 gene:Manes.02G084200.v8.1 transcript:Manes.02G084200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDESIANGVAAENDDQPDESFYDADERENEAMLKKKIDNLEKEKSSLDNENGEIKDQMRQLTAEIDSLKSGESALKQRLEALGKEMEQSEESKRALESIANRAVQLETEVSRLQHDLITSMSDSEEANAAIYELKRALGEKDVKLDELKNEIVETEQIVRELERKIGVLEVKESEERSKWVRIEEEMKEKLSENEREIFQYRNRFLELESEVAKKEGLENRMKASQEKLRDMEAKTAELLKEAEKAEKVVGGFKERTVEAINGIQVESTDQVSKGLKMQWPLLAVGSTGAIAAAAAVVYVCYANVKRT >Manes.18G029100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2461107:2467315:1 gene:Manes.18G029100.v8.1 transcript:Manes.18G029100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSHRESVLLGCSPQTSFMNHPISSPKSPSRNSSDVDFNDVFGGPPRRSSIHEVRHSFSDSTDSYTLKSDEETSSYRRRLYGLSQKPVFGEEGVNRRRYASDNFFDDIFKVNESLTSSPRKNDRDPFSSSPGSRVLSPVRPLSPRTEPFAGSSLPAQFSLPAKLIKGSELPTFGSSARNHHRNPLSRFSSQANQAEEEPINDVYLHSSLSLEQSLISEESLNFVKPDEMDKGNDLKMDSNRSEVPTVSNQFHFSIYKWASKGIPLALPFGGGNSPKWKEKFKFERSSSASGRIASEDNSKELPTVTPQDIDFPSFNINLSSDAQSSEIKLGKQENGSPHSRVEQEQTLAETILPKSKPESPSAQQEHGRKSELKTLRSLLIGMDEIAVESKVKSIKSSAAFDVIEKLKKQDGKETMSNSVEMDKTNLQGSPTNSRDVPAKNRIRGKVKEFVKIFNQEASNKPKVNVDSQNRSSRWKERGKSKTEDYASVTSTKVNEKMHLPNVKNKNTPVASIVVDEFFKQLEKQHSESRTNDHNYKDISFGLKGRSASAASIPGGSEAIAGDPDDSFQANILVKELPQDEDKLPQAGDNTEDIQIINAKIRKWSNGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGSAVKRSYQKALLSLHPDKIQQKGATSHQKYVAERVFEILQEAWTLFNSLGSV >Manes.18G029100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2461623:2467270:1 gene:Manes.18G029100.v8.1 transcript:Manes.18G029100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSHRESVLLGCSPQTSFMNHPISSPKSPSRNSSDVDFNDVFGGPPRRSSIHEVRHSFSDSTDSYTLKSDEETSSYRRRLYGLSQKPVFGEEGVNRRRYASDNFFDDIFKVNESLTSSPRKNDRDPFSSSPGSRVLSPVRPLSPRTEPFAGSSLPAQFSLPAKLIKGSELPTFGSSARNHHRNPLSRFSSQANQAEEEPINDVYLHSSLSLEQSLISEESLNFVKPDEMDKGNDLKMDSNRSEVPTVSNQFHFSIYKWASKGIPLALPFGGGNSPKWKEKFKFERSSSASGRIASEDNSKELPTVTPQDIDFPSFNINLSSDAQSSEIKLGKQENGSPHSRVEQEQTLAETILPKSKPESPSAQQEHGRKSELKTLRSLLIGMDEIAVESKVKSIKSSAAFDVIEKLKKQDGKETMSNSVEMDKTNLQGSPTNSRDVPAKNRIRGKVKEFVKIFNQEASNKPKVNVDSQNRSSRWKERGKSKTEDYASVTSTKVNEKMHLPNVKNKNTPVASIVVDEFFKQLEKQHSESRTNDHNYKDISFGLKGRSASAASIPGGSEAIAGDPDDSFQANILVKELPQDEDKLPQAGDNTEDIQIINAKIRKWSNGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGSAVKRSYQKALLSLHPDKIQQKGATSHQKYVAERVFEILQEAWTLFNSLGSV >Manes.18G029100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2461623:2467303:1 gene:Manes.18G029100.v8.1 transcript:Manes.18G029100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSHRESVLLGCSPQTSFMNHPISSPKSPSRNSSDVDFNDVFGGPPRRSSIHEVRHSFSDSTDSYTLKSDEETSSYRRRLYGLSQKPVFGEEGVNRRRYASDNFFDDIFKVNESLTSSPRKNDRDPFSSSPGSRVLSPVRPLSPRTEPFAGSSLPAQFSLPAKLIKGSELPTFGSSARNHHRNPLSRFSSQANQAEEEPINDVYLHSSLSLEQSLISEESLNFVKPDEMDKGNDLKMDSNRSEVPTVSNQFHFSIYKWASKGIPLALPFGGGNSPKWKEKFKFERSSSASGRIASEDNSKELPTVTPQDIDFPSFNINLSSDAQSSEIKLGKQENGSPHSRVEQEQTLAETILPKSKPESPSAQQEHGRKSELKTLRSLLIGMDEIAVESKVKSIKSSAAFDVIEKLKKQDGKETMSNSVEMDKTNLQGSPTNSRDVPAKNRIRGKVKEFVKIFNQEASNKPKVNVDSQNRSSRWKERGKSKTEDYASVTSTKVNEKMHLPNVKNKNTPVASIVVDEFFKQLEKQHSESRTNDHNYKDISFGLKGRSASAASIPGGSEAIAGDPDDSFQANILVKELPQDEDKLPQAGDNTEDIQIINAKIRKWSNGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGSAVKRSYQKALLSLHPDKIQQKGATSHQKYVAERVFEILQEAWTLFNSLGSV >Manes.18G029100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2461546:2467373:1 gene:Manes.18G029100.v8.1 transcript:Manes.18G029100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSHRESVLLGCSPQTSFMNHPISSPKSPSRNSSDVDFNDVFGGPPRRSSIHEVRHSFSDSTDSYTLKSDEETSSYRRRLYGLSQKPVFGEEGVNRRRYASDNFFDDIFKVNESLTSSPRKNDRDPFSSSPGSRVLSPVRPLSPRTEPFAGSSLPAQFSLPAKLIKGSELPTFGSSARNHHRNPLSRFSSQANQAEEEPINDVYLHSSLSLEQSLISEESLNFVKPDEMDKGNDLKMDSNRSEVPTVSNQFHFSIYKWASKGIPLALPFGGGNSPKWKEKFKFERSSSASGRIASEDNSKELPTVTPQDIDFPSFNINLSSDAQSSEIKLGKQENGSPHSRVEQEQTLAETILPKSKPESPSAQQEHGRKSELKTLRSLLIGMDEIAVESKVKSIKSSAAFDVIEKLKKQDGKETMSNSVEMDKTNLQGSPTNSRDVPAKNRIRGKVKEFVKIFNQEASNKPKVNVDSQNRSSRWKERGKSKTEDYASVTSTKVNEKMHLPNVKNKNTPVASIVVDEFFKQLEKQHSESRTNDHNYKDISFGLKGRSASAASIPGGSEAIAGDPDDSFQANILVKELPQDEDKLPQAGDNTEDIQIINAKIRKWSNGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGSAVKRSYQKALLSLHPDKIQQKGATSHQKYVAERVFEILQEAWTLFNSLGSV >Manes.18G029100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2461107:2466825:1 gene:Manes.18G029100.v8.1 transcript:Manes.18G029100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSHRESVLLGCSPQTSFMNHPISSPKSPSRNSSDVDFNDVFGGPPRRSSIHEVRHSFSDSTDSYTLKSDEETSSYRRRLYGLSQKPVFGEEGVNRRRYASDNFFDDIFKVNESLTSSPRKNDRDPFSSSPGSRVLSPVRPLSPRTEPFAGSSLPAQFSLPAKLIKGSELPTFGSSARNHHRNPLSRFSSQANQAEEEPINDVYLHSSLSLEQSLISEESLNFVKPDEMDKGNDLKMDSNRSEVPTVSNQFHFSIYKWASKGIPLALPFGGGNSPKWKEKFKFERSSSASGRIASEDNSKELPTVTPQDIDFPSFNINLSSDAQSSEIKLGKQENGSPHSRVEQEQTLAETILPKSKPESPSAQQEHGRKSELKTLRSLLIGMDEIAVESKVKSIKSSAAFDVIEKLKKQDGKETMSNSVEMDKTNLQGSPTNSRDVPAKNRIRGKVKEFVKIFNQEASNKPKVNVDSQNRSSRWKERGKSKTEDYASVTSTKVNEKMHLPNVKNKNTPVASIVVDEFFKQLEKQHSESRTNDHNYKDISFGLKGRSASAASIPGGSEAIAGDPDDSFQANILVKELPQDEDKLPQAGDNTEDIQIINAKIRKWSNGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGSAVKRSYQKALLSLHPDKIQQKGATSHQKYVAERVFEILQEAWTLFNSLGSV >Manes.18G104132.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10026408:10026803:-1 gene:Manes.18G104132.v8.1 transcript:Manes.18G104132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKPHMLVTFILLFFSLVSSARVNRSGPSPGLSPDLPFPLNIADGKKSPLGPNPRKSPGESPATIHTEKFQVHWKKPPLELNPEKSPYEPPAKTENSRFSTIYRSLWEIKRLVPSGPNQQQPPEAPSRF >Manes.08G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:24794348:24797056:-1 gene:Manes.08G084300.v8.1 transcript:Manes.08G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQVKASNWLDESEDELIVRELLDDESPFFLLPNEAAESKPTTNHYSNDQTISRLVSTVYSGPTIQDIDHALSFTSSSTIQRDQVQAASQACRISILEKGVSRIENNKYTLKLKTCGNGMADDGYKWRKYGQKSIKNSPYPRSYYKCTNPRCSAKKQVERSREDQDTLVVTYEGLHLHFAYPCFLLEQPNLVNPPPSKKPKKAAATQETAEECPPQASPTTGSMEECECLLDQTQSPQPQSQGLLEDIVPLMIRNPLSSNSSSCSSYPSPPTSPSSLSWSPHSCF >Manes.02G220900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22263774:22307955:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKDMEMEQPSTSQMKSAPMEMISTLFFLPSSPLLNLRELHIGDCDFQEADFPLSVAQQLVQLKELRIWSCEKMEYIVAKDKGRSKIVLFPSLTSLDLSHLPNLMGFCKDNNVSLEWSLLEKLWFCECRKMKTFCVSVPKSSTLSTSAEIDHLDTTFYATLISRKRKKQDNNFSKEVSLIKNHRDPSVSNIDESCAFPSKLIQQLQNLKDLWIEGSDSVEVIFSFEGLINGVLNSVENIFLFDLPNLKHLWFKIPPEITAFQNLRELMVRECDNLINLFSICSAKLVGKLQSIRIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLKDLPNLNSFCNTIYALEFPFLETLEFRKCKRMETFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQYEVEVEAEFE >Manes.02G220900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22263773:22307955:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKDMEMEQPSTSQMKSAPMEMISTLFFLPSSPLLNLRELHIGDCDFQEADFPLSVAQQLVQLKELRIWSCEKMEYIVAKDKGRSKIVLFPSLTSLDLSHLPNLMGFCKDNNVSLEWSLLEKLWFCECRKMKTFCVSVPKSSTLSTSAEIDHLDTTFYATLISRKRKKQDNNFSKEVSLIKNHRDPSVSNIDESCAFPSKLIQQLQNLKDLWIEGSDSVEVIFSFEGLINGVLNSVENIFLFDLPNLKHLWFKIPPEITAFQNLRELMVRECDNLINLFSICSAKLVGKLQSIRIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLKDLPNLNSFCNTIYALEFPFLETLEFRKCKRMETFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQYEVEVEAEFE >Manes.02G220900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22266756:22307955:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKDMEMEQPSTSQMKSAPMEMISTLFFLPSSPLLNLRELHIGDCDFQEADFPLSVAQQLVQLKELRIWSCEKMEYIVAKDKGRSKIVLFPSLTSLDLSHLPNLMGFCKDNNVSLEWSLLEKLWFCECRKMKTFCVSVPKSSTLSTSAEIDHLDTTFYATLISRKRKKQDNNFSKEVCLSR >Manes.02G220900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22266756:22272308:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKDMEMEQPSTSQMKSAPMEMISTLFFLPSSPLLNLRELHIGDCDFQEADFPLSVAQQLVQLKELRIWSCEKMEYIVAKDKGRSKIVLFPSLTSLDLSHLPNLMGFCKDNNVSLEWSLLEKLWFCECRKMKTFCVSVPKSSTLSTSAEIDHLDTTFYATLISRKRKKQDNNFSKEVCLSR >Manes.02G220900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22263717:22307955:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKVSLIKNHRDPSVSNIDESCAFPSKLIQQLQNLKDLWIEGSDSVEVIFSFEGLINGVLNSVENIFLFDLPNLKHLWFKIPPEITAFQNLRELMVRECDNLINLFSICSAKLVGKLQSIRIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLKDLPNLNSFCNTIYALEFPFLETLEFRKCKRMETFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQYEVEVEAEFE >Manes.02G220900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22263776:22307405:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKVSLIKNHRDPSVSNIDESCAFPSKLIQQLQNLKDLWIEGSDSVEVIFSFEGLINGVLNSVENIFLFDLPNLKHLWFKIPPEITAFQNLRELMVRECDNLINLFSICSAKLVGKLQSIRIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLKDLPNLNSFCNTIYALEFPFLETLEFRKCKRMETFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQYEVEVEAEFE >Manes.02G220900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22263774:22307955:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKDMEMEQPSTSQMKSAPMEMISTLFFLPSSPLLNLRELHIGDCDFQEADFPLSVAQQLVQLKELRIWSCEKMEYIVAKDKGRSKIVLFPSLTSLDLSHLPNLMGFCKDNNVSLEWSLLEKLWFCECRKMKTFCVSVPKSSTLSTSAEIDHLDTTFYATLISRKRKKQDNNFSKEVSLIKNHRDPSVSNIDESCAFPSKLIQQLQNLKDLWIEGSDSVEVIFSFEGLINGVLNSVENIFLFDLPNLKHLWFKIPPEITAFQNLRELMVRECDNLINLFSICSAKLVGKLQSIRIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLKDLPNLNSFCNTIYALEFPFLETLEFRKCKRMETFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQYEVEVEAEFE >Manes.02G220900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22263717:22307955:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKDMEMEQPSTSQMKSAPMEMISTLFFLPSSPLLNLRELHIGDCDFQEADFPLSVAQQLVQLKELRIWSCEKMEYIVAKDKGRSKIVLFPSLTSLDLSHLPNLMGFCKDNNVSLEWSLLEKLWFCECRKMKTFCVSVPKSSTLSTSAEIDHLDTTFYATLISRKRKKQDNNFSKEVSLIKNHRDPSVSNIDESCAFPSKLIQQLQNLKDLWIEGSDSVEVIFSFEGLINGVLNSVENIFLFDLPNLKHLWFKIPPEITAFQNLRELMVRECDNLINLFSICSAKLVGKLQSIRIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLKDLPNLNSFCNTIYALEFPFLETLEFRKCKRMETFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQYEVEVEAEFE >Manes.02G220900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22263774:22307106:-1 gene:Manes.02G220900.v8.1 transcript:Manes.02G220900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTIAGEIAKNLVAPIWRPIYYLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVDSVIRWQEKADGIDRRSKEFLQNEMNMNKCLNRYSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSRESILNEISMALKNDDLCVIGICGMSGIGKTTMVKQLMKNMATKKLFDEFAMVAVSDTPDFRKIQDEIASCLGLELKNDESEVVRASKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRSNGCKIVLTSRNEFVCSSLGSQRNFLVEVLKDEEALVLFKETAGDSIGHDLLDTVKEIVNECEGLPIAIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKKNVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSNLLLEGDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARIKEWQDDDGYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGALVKLEILQIRSYHLKELPAEIGLLKNLRLLNLRRVENLSYIPPDVLLRLSKLEELFLPLRYMMKWEWKEDEEKNNASLSELETHLITALHITVENAYISPKDSVFRNLIRFHIFVGKPKLHIVHKDSENILYLEGDASDIKGSGICVLLRKVEVLYLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIRESPKSHLSYLSNLRKVDIWGCDELKYFIPLSMARELRQLHSMTVMSCEKIEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQNLTLNNCGLVKALFPPSVAQQFAQLKELNISACCKMEYIVAEAKEEEKNKGISKIAFPNLTKLDLCNLPELVAFFADNDISFELYSLVHLKIWSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQSSLRRGKPKDVSKKKVSLIKNHRDPSVSNIDESCAFPSKLIQQLQNLKDLWIEGSDSVEVIFSFEGLINGVLNSVENIFLFDLPNLKHLWFKIPPEITAFQNLRELMVRECDNLINLFSICSAKLVGKLQSIRIRRCKRMEEIIGKEDEEISMQKIVFPQLRSLTLKDLPNLNSFCNTIYALEFPFLETLEFRKCKRMETFSYGSLSMPKLEKVMINGRWHQLMGSDPNLNAKMSELLKMNQYEVEVEAEFE >Manes.03G195600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31377321:31381131:1 gene:Manes.03G195600.v8.1 transcript:Manes.03G195600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYRAIFSFHAPPKITSGTVYRHRLSCLASSVPAPFPSKRTNRKNHLRPKIHKTLTKPLTPAPLPHIVPVEHTIPIPIPLPPANDAVLDAPWGEISSGSLLAEETDRFEEFGVSETIGAAGQYSCIVGKFSAKSLMKFCGYLVGVTICAVWVLGNSSSSKKEVRLNNLDSKGRIFLNGNNVAYFDGTDQEEKISEIRAMAREAREKEKRGRKEGNEESDIEKEIGERLVKLEKRLNSKKEKLPESFMNYLGLFGNDDDDDDEGLSENSLDPKEDLKALMFKKKFKFKSPSMNSRNSPKGFSGSSSINGSYPNGESTSSNSGTMKIDGGMKAAGVQLNSSKGGNKLEKEKEDLPKELQSGTDQKTRKGRLSSEVTKSWKSRDLEKQKSLRLTKENQQTTTNLDVPSSSRRNSSSNTGKRPVANKVGDKKSAVQTNLWWLKLPYALAILMRRGSEKEEPAGLYALRTPYQAGDQACTVAFEDRGDANNFCYLLESYFEDLGDFSADIVPLSIQELHEGVKSRSKKVIVVKKGQLKLYAGQPFAEVEMALLSLIDQDQGI >Manes.03G195600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31377321:31381131:1 gene:Manes.03G195600.v8.1 transcript:Manes.03G195600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYRAIFSFHAPPKITSGTVYRHRLSCLASSVPAPFPSKRTNRKNHLRPKIHKTLTKPLTPAPLPHIVPVEHTIPIPIPLPPANDAVLDAPWGEISSGSLLAEETDRFEEFGVSETIGAAGQYSCIVGKFSAKSLMKFCGYLVGVTICAVWVLGNSSSSKKEVRLNNLDSKGRIFLNGNNVAYFDGTDQEEKISEIRAMAREAREKEKRGRKEGNEESDIEKEIGERLVKLEKRLNSKKEKLPESFMNYLGLFGNDDDDDDEGLSENSLDPKEDLKALMFKKKFKFKSPSMNSRNSPKGFSGSSSINGSYPNGESTSSNSGTMKIDGGMKAAGVQLNSSKGGNKLEKEKEDLPKELQSGTDQKTRKGRLSSEVTKSWKSRDLEKQKSLRLTKENQQTTTNLDVPSSSRRNSSSNTGKRPVANKVGDKKSAVQTNLWWLKLPYALAILMRRGSEKEEPAGLYALRTPYQAGDQACTVAFEDRGDANNFCYLLESYFEDLGDFSADIVPLSIQVSAKGISSTLFDNFMSLNDTFHTNFLVGYEGISACILVL >Manes.03G195600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31377321:31381131:1 gene:Manes.03G195600.v8.1 transcript:Manes.03G195600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYRAIFSFHAPPKITSGTVYRHRLSCLASSVPAPFPSKRTNRKNHLRPKIHKTLTKPLTPAPLPHIVPVEHTIPIPIPLPPANDAVLDAPWGEISSGSLLAEETDRFEEFGVSETIGAAGQYSCIVGKFSAKSLMKFCGYLVGVTICAVWVLGNSSSSKKEVRLNNLDSKGRIFLNGNNVAYFDGTDQEEKISEIRAMAREAREKEKRGRKEGNEESDIEKEIGERLVKLEKRLNSKKEKLPESFMNYLGLFGNDDDDDDEGLSENSLDPKEDLKALMFKKKFKFKSPSMNSRNSPKGFSGSSSINGSYPNGESTSSNSGTMKIDGGMKAAGVQLNSSKGGNKLEKEKEDLPKELQSGTDQKTRKGRLSSEVTKSWKSRDLEKQKSLRLTKENQQTTTNLDVPSSSRRNSSSNTGKRPVANKVGDKKSAVQTNLWWLKLPYALAILMRRGSEKEEPAGLYALRTPYQAGDQACTVAFEDRGDANNFCYLLESYFEDLGDFSADIVPLSIQELHEGVKSRSKKVIVVKKGQLKLYAGQPFAEVEMALLSLIDQDQGI >Manes.16G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33786915:33791485:-1 gene:Manes.16G137300.v8.1 transcript:Manes.16G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKAAGDMKRSESELALQEVIKKTTQIKGEEIKENRDNSFSAELDSFFSDDLSFCFKTLETMNGFSESSVVWSQNISPKQSSISSAPIDTQSSVCVSSPLSANTKPNKKRASSSGSSDDEDAETEAGPCEQSNNSNPIDLRRVRRMVSNRESARRSRKRKQAHLHELESQVEQLTGDNTSLYKQLSDASQQYNNAHTNNRVLKSDVEALRAKVKLAEDMVTRGSLTCSLNQFLHQNHLTLPQPLNNHNLRVSPTVTINGDDASYTAGLGNTDINNGNLRNSVMSDAVSCVSELWPLD >Manes.16G137300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33786915:33791485:-1 gene:Manes.16G137300.v8.1 transcript:Manes.16G137300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKAAGDMKRSESELALQEVIKKTTQIKGEEIKENRDNSFSAELDSFFSDDLSFCFKTLETMNGFSESSVVWSQNISPKQSSISSAPIDTQSSVCVSSPLSANTKPNKKRASSSGSSDDEDAETEAGPCEQSNNSNPIDLRRVRRMVSNRESARRSRKRKQAHLHELESQVEQLTGDNTSLYKQLSDASQQYNNAHTNNRVLKSDVEALRAKVKLAEDMVTRGSLTCSLNQFLHQNHLTLPQPLNNHNLRVSPTVTINGDDASYTAGLGNTDINNGNLRNSVMSDAVSCVSELWPLD >Manes.08G084511.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26118130:26119215:-1 gene:Manes.08G084511.v8.1 transcript:Manes.08G084511.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSYKKFPSKPIDIYTLFRDYDILPNGLGDELPAVTVDHTSNQLQNPNATAATGSNTIKRKTRGPTRCLKITQLENGQKLPVEFDEDDQAIGDNATAFVWFLGQTIRSVSCCPLQVKQWNKITNDKLDHMWSTILVRKFILNYIHYKMIIIFLVLLSIIIFVSYFFAKRKSSLLSILMQEREQFSVI >Manes.07G084500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26606626:26616586:-1 gene:Manes.07G084500.v8.1 transcript:Manes.07G084500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKFVQGSGDQSPKRLHKSATQVKNRYMGNQTQNGLLSKQDGVGNGITHTDEVNAIYSKTEPKSSISQGKEKELADLLEENRSLAARQAAHELQIKQLRLELEKEQDKLANAYAELQEEQKLNKSFQEELKMLKMKESKTSMEMSKIHGELNEKISEIRRLQMELSRRGDEDSDGVVKDLKRVIAALEKENTNLKIAKNELEAALEMSRKASPQITFPDGKVGSSGDLHSMEERELLLQKLEKDLKDTGHERDKAVQELTRLKQHLLEKESEESEKMDEDFKIIEELRENNEYQKAQILHLEKALKQAIAKQEEVQMINDSEIQKCKEVIEDLNKKLANCMSTIDAKNVELLNLQTALGQYFAEIEAKEHLERNLALAREEATKFSELLKDAERGMEALKGEKEEILAKLSHTERMLAEGKNRVIKLEEDSGKLRRALDLSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQQGGRGVVRGVLGLPGRLVGGILGGSSADVHANAASDNQSFADSWVDFLLKESEEREKRESAEEDSHGRSPMPGVDFLPTTAVTASGVSRPKYSPSQNYSPIPIQGNLRAFEPSDSEFSTVPLTSSDSNSRISRLLRQ >Manes.07G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26606348:26625436:-1 gene:Manes.07G084500.v8.1 transcript:Manes.07G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIETLKQNLNKIALDVHDGDDNDEEFTIYGSSNDNGSSVSRRRNSHSFAHSKSVSQSPIANGLDSPHNSEIEQYKAEIKRLRESEAEIKALSINYAALLKEKEDQIGKLNQENGSLKQNLDVTKEALNAHRSENSRASTSTTHVLKGSGDQSPKRLHKSATQVKNRYMGNQTQNGLLSKQDGVGNGITHTDEVNAIYSKTEPKSSISQGKEKELADLLEENRSLAARQAAHELQIKQLRLELEKEQDKLANAYAELQEEQKLNKSFQEELKMLKMKESKTSMEMSKIHGELNEKISEIRRLQMELSRRGDEDSDGVVKDLKRVIAALEKENTNLKKVGSSGDLHSMEERELLLQKLEKDLKDTGHERDKAVQELTRLKQHLLEKESEESEKMDEDFKIIEELRENNEYQKAQILHLEKALKQAIAKQEEVQMINDSEIQKCKEVIEDLNKKLANCMSTIDAKNVELLNLQTALGQYFAEIEAKEHLERNLALAREEATKFSELLKDAERGMEALKGEKEEILAKLSHTERMLAEGKNRVIKLEEDSGKLRRALDLSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQQGGRGVVRGVLGLPGRLVGGILGGSSADVHANAASDNQSFADSWVDFLLKESEEREKRESAEEDSHGRSPMPGVDFLPTTAVTASGVSRPKYSPSQNYSPIPIQGNLRAFEPSDSEFSTVPLTSSDSNSRISRLLRQ >Manes.07G084500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26606348:26625436:-1 gene:Manes.07G084500.v8.1 transcript:Manes.07G084500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIETLKQNLNKIALDVHDGDDNDEEFTIYGSSNDNGSSVSRRRNSHSFAHSKSVSQSPIANGLDSPHNSEIEQYKAEIKRLRESEAEIKALSINYAALLKEKEDQIGKLNQENGSLKQNLDVTKEALNAHRSENSRASTSTTHVLKGSGDQSPKRLHKSATQVKNRYMGNQTQNGLLSKQDGVGNGITHTDEVNAIYSKTEPKSSISQGKEKELADLLEENRSLAARQAAHELQIKQLRLELEKEQDKLANAYAELQEEQKLNKSFQEELKMLKMKESKTSMEMSKIHGELNEKISEIRRLQMELSRRGDEDSDGVVKDLKRVIAALEKENTNLKIAKNELEAALEMSRKASPQITFPDGKVGSSGDLHSMEERELLLQKLEKDLKDTGHERDKAVQELTRLKQHLLEKESEESEKMDEDFKIIEELRENNEYQKAQILHLEKALKQAIAKQEEVQMINDSEIQKCKEVIEDLNKKLANCMSTIDAKNVELLNLQTALGQYFAEIEAKDAERGMEALKGEKEEILAKLSHTERMLAEGKNRVIKLEEDSGKLRRALDLSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQQGGRGVVRGVLGLPGRLVGGILGGSSADVHANAASDNQSFADSWVDFLLKESEEREKRESAEEDSHGRSPMPGVDFLPTTAVTASGVSRPKYSPSQNYSPIPIQGNLRAFEPSDSEFSTVPLTSSDSNSRISRLLRQ >Manes.07G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:26606348:26625436:-1 gene:Manes.07G084500.v8.1 transcript:Manes.07G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIETLKQNLNKIALDVHDGDDNDEEFTIYGSSNDNGSSVSRRRNSHSFAHSKSVSQSPIANGLDSPHNSEIEQYKAEIKRLRESEAEIKALSINYAALLKEKEDQIGKLNQENGSLKQNLDVTKEALNAHRSENSRASTSTTHVLKGSGDQSPKRLHKSATQVKNRYMGNQTQNGLLSKQDGVGNGITHTDEVNAIYSKTEPKSSISQGKEKELADLLEENRSLAARQAAHELQIKQLRLELEKEQDKLANAYAELQEEQKLNKSFQEELKMLKMKESKTSMEMSKIHGELNEKISEIRRLQMELSRRGDEDSDGVVKDLKRVIAALEKENTNLKIAKNELEAALEMSRKASPQITFPDGKVGSSGDLHSMEERELLLQKLEKDLKDTGHERDKAVQELTRLKQHLLEKESEESEKMDEDFKIIEELRENNEYQKAQILHLEKALKQAIAKQEEVQMINDSEIQKCKEVIEDLNKKLANCMSTIDAKNVELLNLQTALGQYFAEIEAKEHLERNLALAREEATKFSELLKDAERGMEALKGEKEEILAKLSHTERMLAEGKNRVIKLEEDSGKLRRALDLSMTRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQQGGRGVVRGVLGLPGRLVGGILGGSSADVHANAASDNQSFADSWVDFLLKESEEREKRESAEEDSHGRSPMPGVDFLPTTAVTASGVSRPKYSPSQNYSPIPIQGNLRAFEPSDSEFSTVPLTSSDSNSRISRLLRQ >Manes.09G109300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31164239:31167187:-1 gene:Manes.09G109300.v8.1 transcript:Manes.09G109300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKTGCSKTRACRQNQDESESGENDEDGNKVKNGGSSSNSTVEESEKKSSVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQGGLSMTAMADHRHLVESGDRNIYNLSQLPMLQGYNQRHGSSYRYGDASWNSRESFVYNPHMGRCLVDETRQGFYGTVAERIFGGNNTSNWTNCKLQTGASSFRSQFNWKAEEQLKAEQRRPSQNPKFWQTQPSSSFTQLNPAAQVLNRSNINIFSDMKSETNLQELKSLKRKATDCNLDLDLSLKLTPASDGSRRSLEESEVDSELSLSLYSPSSSKLSRLKGLGEDDYNNNSNNKEDAKRASTLDLTI >Manes.09G109300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31164001:31167190:-1 gene:Manes.09G109300.v8.1 transcript:Manes.09G109300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKTGCSKTRACRQNQDESESGENDEDGNKVKNGGSSSNSTVEESEKKSSVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQAMADHRHLVESGDRNIYNLSQLPMLQGYNQRHGSSYRYGDASWNSRESFVYNPHMGRCLVDETRQGFYGTVAERIFGGNNTSNWTNCKLQTGASSFRSQFNWKAEEQLKAEQRRPSQNPKFWQTQPSSSFTQLNPAAQVLNRSNINIFSDMKSETNLQELKSLKRKATDCNLDLDLSLKLTPASDGSRRSLEESEVDSELSLSLYSPSSSKLSRLKGLGEDDYNNNSNNKEDAKRASTLDLTI >Manes.09G109300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31164001:31167190:-1 gene:Manes.09G109300.v8.1 transcript:Manes.09G109300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKTGCSKTRACRQNQDESESGENDEDGNKVKNGGSSSNSTVEESEKKSSVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQAMADHRHLVESGDRNIYNLSQLPMLQGYNQRHGSSYRYGDASWNSRESFVYNPHMGRCLVDETRQGFYGTVAERIFGGNNTSNWTNCKLQTGASSFRSQFNWKAEEQLKAEQRRPSQNPKFWQTQPSSSFTQLNPAAQVLNRSNINIFSDMKSETNLQELKSLKRKATDCNLDLDLSLKLTPASDGSRRSLEESEVDSELSLSLYSPSSSKLSRLKGLGEDDYNNNSNNKEDAKRASTLDLTI >Manes.09G109300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31164001:31167208:-1 gene:Manes.09G109300.v8.1 transcript:Manes.09G109300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKTGCSKTRACRQNQDESESGENDEDGNKVKNGGSSSNSTVEESEKKSSVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQGGLSMTAMADHRHLVESGDRNIYNLSQLPMLQGYNQRHGSSYRYGDASWNSRESFVYNPHMGRCLVDETRQGFYGTVAERIFGGNNTSNWTNCKLQTGASSFRSQFNWKAEEQLKAEQRRPSQNPKFWQTQPSSSFTQLNPAAQVLNRSNINIFSDMKSETNLQELKSLKRKATDCNLDLDLSLKLTPASDGSRRSLEESEVDSELSLSLYSPSSSKLSRLKGLGEDDYNNNSNNKEDAKRASTLDLTI >Manes.09G109300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31164239:31167190:-1 gene:Manes.09G109300.v8.1 transcript:Manes.09G109300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKTGCSKTRACRQNQDESESGENDEDGNKVKNGGSSSNSTVEESEKKSSVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQAMADHRHLVESGDRNIYNLSQLPMLQGYNQRHGSSYRYGDASWNSRESFVYNPHMGRCLVDETRQGFYGTVAERIFGGNNTSNWTNCKLQTGASSFRSQFNWKAEEQLKAEQRRPSQNPKFWQTQPSSSFTQLNPAAQVLNRSNINIFSDMKSETNLQELKSLKRKATDCNLDLDLSLKLTPASDGSRRSLEESEVDSELSLSLYSPSSSKLSRLKGLGEDDYNNNSNNKEDAKRASTLDLTI >Manes.09G109300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31164001:31167190:-1 gene:Manes.09G109300.v8.1 transcript:Manes.09G109300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKTGCSKTRACRQNQDESESGENDEDGNKVKNGGSSSNSTVEESEKKSSVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQGGLSMTAMADHRHLVESGDRNIYNLSQLPMLQGYNQRHGSSYRYGDASWNSRESFVYNPHMGRCLVDETRQGFYGTVAERIFGGNNTSNWTNCKLQTGASSFRSQFNWKAEEQLKAEQRRPSQNPKFWQTQPSSSFTQLNPAAQVLNRSNINIFSDMKSETNLQELKSLKRKATDCNLDLDLSLKLTPASDGSRRSLEESEVDSELSLSLYSPSSSKLSRLKGLGEDDYNNNSNNKEDAKRASTLDLTI >Manes.09G109300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31164001:31167208:-1 gene:Manes.09G109300.v8.1 transcript:Manes.09G109300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKTGCSKTRACRQNQDESESGENDEDGNKVKNGGSSSNSTVEESEKKSSVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQAMADHRHLVESGDRNIYNLSQLPMLQGYNQRHGSSYRYGDASWNSRESFVYNPHMGRCLVDETRQGFYGTVAERIFGGNNTSNWTNCKLQTGASSFRSQFNWKAEEQLKAEQRRPSQNPKFWQTQPSSSFTQLNPAAQVLNRSNINIFSDMKSETNLQELKSLKRKATDCNLDLDLSLKLTPASDGSRRSLEESEVDSELSLSLYSPSSSKLSRLKGLGEDDYNNNSNNKEDAKRASTLDLTI >Manes.09G109300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31164001:31167190:-1 gene:Manes.09G109300.v8.1 transcript:Manes.09G109300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKTGCSKTRACRQNQDESESGENDEDGNKVKNGGSSSNSTVEESEKKSSVRPYVRSKMPRLRWTPELHLCFVKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKIDDPSQGGLSMTAMADHRHLVESGDRNIYNLSQLPMLQGYNQRHGSSYRYGDASWNSRESFVYNPHMGRCLVDETRQGFYGTVAERIFGGNNTSNWTNCKLQTGASSFRSQFNWKAEEQLKAEQRRPSQNPKFWQTQPSSSFTQLNPAAQVLNRSNINIFSDMKSETNLQELKSLKRKATDCNLDLDLSLKLTPASDGSRRSLEESEVDSELSLSLYSPSSSKLSRLKGLGEDDYNNNSNNKEDAKRASTLDLTI >Manes.05G005364.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1299365:1301977:1 gene:Manes.05G005364.v8.1 transcript:Manes.05G005364.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDFAAVASATHLPLFRILSQDNNPSFSQINQSLCSSILGRGMKHNLSSDMTIAPVVGYRFHPTDYELVNHFLKRKIFGCDDNDSTITEIKVCDFEPWDLPDMVDTGSEDQVWYFFCPRDYKYSRSRRSNRTTRAGFWKPTGKPRKVKDKRSKEEIGTKRSLVFHVKDHPKPKRTKWIMHEYEFIVSNSTMAIQGNFLLCKLKAKPDEKINNGDCDLEIQNLNETKTNSSCDECEPSIHVGSDFGNLNESTTMSTYDKVEQNELIAFDFETGYVTTDSAGDEGESHYYLGFDQEDQNPNEMAAMSTYVNGKLICPITWDISACKEGERSIPSDILISSTAADVDNNAAEATQSEADLQAYFNMLEEEVLELKNVENFTSAFFSPMSPDGSSSKSTYSGFGSSEQTGVASEIGCPCP >Manes.15G144900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11904539:11908650:1 gene:Manes.15G144900.v8.1 transcript:Manes.15G144900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRKKADGDSASDNFNSHTNISINNENVEGLELEEKGTGGGGPGYEEFREQRIKENKERMKKLGIFDLSLKLKSQSRPNKKALRNVSTQKKPQDPLTLSASPRRSSRLKTLNPVNYSEIFHQKKQGSAKVLEIRLREGSKPEIYSEEDEKLLGDCNSTWTLFVDGYGKDGKRIYDPEKGETCHQCRQKTLGHHTHCSKCNMGQGQFCGDCLYMRYGENVIEVNENPNWVCPVCRGICNCSFCRKAKGWAPTGSLYRKVIPSIFWWLCVSASMCIYIDFMLCSLLAYLSSAPR >Manes.15G144900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11904539:11909821:1 gene:Manes.15G144900.v8.1 transcript:Manes.15G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRKKADGDSASDNFNSHTNISINNENVEGLELEEKGTGGGGPGYEEFREQRIKENKERMKKLGIFDLSLKLKSQSRPNKKALRNVSTQKKPQDPLTLSASPRRSSRLKTLNPVNYSEIFHQKKQGSAKVLEIRLREGSKPEIYSEEDEKLLGDCNSTWTLFVDGYGKDGKRIYDPEKGETCHQCRQKTLGHHTHCSKCNMGQGQFCGDCLYMRYGENVIEVNENPNWVCPVCRGICNCSFCRKAKGWAPTGSLYRKVKELGFKSVAHYLIQTRRSQIQSEDSSIEILECERKELPPADQGSQHAAQYESLHADELEHRYPELEPDGNEVEMEEGKNQVFCSGTGIHNLFNDSDGDDTVYNVNGAKKI >Manes.16G045550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7816980:7821797:-1 gene:Manes.16G045550.v8.1 transcript:Manes.16G045550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTKSLLTPSSFTSSSSSLRSSSSPFLHTKTITSSSKPISPKPFISHSLSINNVITKPIFESPSGQKAKNPNLQESKPTILVSEKLGDAGLQLLRSFGNIECCYDLSKEDLCKKIETCDALIVRSGTKVSREVFEAAKGRLKVVGRAGVGIDNVDLGAATEFGCLVVNAPTANTIAAAEHAIALLTAMARNVAQADASMKAGQWKRNKYIGVSLVGKTVAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPVDRARALAVDLVSFEQAISTADFISLHMPLTPTTLNLFNDDTFAKMKTGVRIINVARGGVIDEDALLRALDSGKVAQAALDVFAQEPPPKESKLLQQENVIVTPHLGASTKEAQEGVAIEIAEAVKGALQGELSATAVNAPMVPQAVLSELAPYVLLAEKLGRLAVQLVGGGKGIKSVKVVYESARDPDDFDTRLLRAMVTKGIIEPISNSFINLVNADIIAKQKGLRIREERVYVDTSPDFPVHSIQVQIFNVDSKFASAVSEDGHITIEGRVKYGIPHLTQVGPYAVDVSLEGNLILCRQVDQPGMIGQVGNILGDHNVNVSFMSVGRTVRRNQAIMAIGVDEVPNVEALKKIGDVSAIEEFVFLKL >Manes.09G141900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34018655:34020166:1 gene:Manes.09G141900.v8.1 transcript:Manes.09G141900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSFSLPSPTIVKAATSSTATATTLPSPEILQEKFGRKGIKFSESNNVPVVELTVRNGSSLRLQIPDAHVTSYKPKVYWKDEGFEELLYTIPGKDSRKARGGVGLVINDASEAGSKGSLITNSEWSVKDVDSDAIDALQVELSCTSGTLDITYIVSLYPVSFATAVIVKNDGRKPVTLTSAILSHLKFKKRGKAAIQGFKGCSYCPLPPLSSPFEILSPGEAMKSESSGLFDFGSENEDKPGTWTVQDVPFTILKNKFSRVYAAPPQERLKAIYNTPPSKYETLDQGLELFFRVIRMGFQDTYIGSPGSLSEKYGQEYFVCTGPAAMLVPVVVEPGEDWRGAQVIEHDNL >Manes.15G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2918450:2922011:1 gene:Manes.15G037200.v8.1 transcript:Manes.15G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNAAATRHTCLKLEIPTAPSEPIFIKGTWFDSHFHLTITDGLNAWVCDASEEQVKERASQWDQPVSEYIHSAEQYLGFQQPNSVYQFTDASDGHKRLSWTFEKEGTKLEWRWKCLPSSDNKKITGGILDFLMDANISLSEEVVRKTQSFERLKEEAERCLTQSEKFNNEKMEFESAIYAKFLGVLNSKKRKLRELRDQLSEKETSGKLPVEEEESSDETKSFDEGSDDAKSEEKPPEEITGTSKDVKSSRGRGRKGITHK >Manes.12G063300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6686640:6694914:1 gene:Manes.12G063300.v8.1 transcript:Manes.12G063300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILASHSCNCRNVDLMNQGRTLENLSFSTSISIPFAKFEKPICKLHSRNSKFQVQMRQTESPASRSGDSGNGRAIKMVPVSEVMKRKTPNGKEVNVVNGAKQVNGTKQAVNGVNIIKRESGAGLVKTSRYRQTNKFPPLEDSKVLPTDEGFSWANENYNNWQRTIDVWSFVLSLRIRVLFDNEKWAYLGGFTEEKQKIRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSSKKARSFIESELGAPINVLFKEFEDQPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQRSETFGGPSRDWIGIYEECAKILYEEIDYINEGKNADKFRRDFRNVKWVRVPLVFWDYTAMKVLTLEYVPGVKINQLDVLDSRGYNRSQISSRAIEAYLIQILKTGFFHADPHPGNLAIDVDEAIIYYDFGMMGEIKSFTRERLLDLFYAVYEKDAKKVMQSLIDLEALQPTGDLSSVRRSVQFFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKIAAPYAQELLDLKSKQHTGTQLVEELRKQANDARSSTMSMPYRVQRIEEFVKQLESGDLKLRVRVLESERAARKATVLQMATMYTVLGGTLLNLGVTFSSQGSQVIANGSFIGAGVFLTLLLRSMQRVKKLDKFEKMI >Manes.01G167100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35032583:35034372:-1 gene:Manes.01G167100.v8.1 transcript:Manes.01G167100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRCRRVVLVSCPFQGHINPLLQLGTILYSKGFSITIVHTQLNSPKASKHPEFDFQAIPEGLSKQDIATGNLVDIILALNERCKIPFQDCLLDMMLKQDSDDEITCVIYDDLMYFSEEVANNLNIPSIILRTSSAASLLSRLAILQLKEEGYIPFPDAMSQDPVPALRTLRFKDLPICEFGAPENFFQLLAHVCDTKTSSAVIWNTMDCLEEPLLIEQQRRQCSIPIFAIGPMHKFAPACSGSLLNEDSSCIDWLDKQVPNSVIYISLGSLASTDEKELAEMAWGLANSNQPFLWVIRPGSIMGSDWIELLPQGFMESVGERGCIVKWAPQREVLAHPSVGGFWTHCGWNSALETISEGVPMICKPYFADQMVTARFVSYVWRIGLQLENQLDRSEIERAVRMVMVDKEGEEIRQRAEELKEKVETCFKKGGSSNNSLNKLVEFMLS >Manes.03G071400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:11309897:11312310:1 gene:Manes.03G071400.v8.1 transcript:Manes.03G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQELKIHSLYLDQLVRMTGGGLKGILAAAVTQGVAEARARIFGHVLNPTGHRSPHKILRKKLIGEKVAQWYPHDIKRDDPLIMSRLEQERLSKLEMLKRRGKGPPKKGQGKGAMKRNKGK >Manes.07G122502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32644373:32646268:-1 gene:Manes.07G122502.v8.1 transcript:Manes.07G122502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGAAINNSTMLLVLSPLWLMEFTCKHLSFASFTIKSYEIENYLCFELNLKLEMAALLKSLCLVIVLVILGFAEINRVDGAGECGKSTTPDREAFKLAPCASAAQDENAPVSSQCCAQVKKIGQNPACLCAVMLSNTAKSSGVKAEIAVTIPKRCNLADRPVGYKCGAYTLP >Manes.14G147200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16369292:16370448:1 gene:Manes.14G147200.v8.1 transcript:Manes.14G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTLMSFVAILRETLKIFSKNGRLMALIALLIILIKSSLFVSNIFSIKPVITDYFFERNVLLPFSTPNTPEFNKILTHIRKDIKIIFGIEIIYVIIDSVAFILSITATILVAAITHGGKNDDLSFKDVMLKTVRSWTRPLITWLFLILFALVYFFLFFVILVLVLFPLSNNPSILTVAAILMGVSAVVFYIYLSVTWFLAIVVSVAEQKRGLEAIGKAAEIVKDMKLQGFLLNLAFSISSVIFVQGIEMIPVSHSLVARTIILLVEMNCAIVVRMYWFSSFTVFYYRCKKTHGEGVELEGVSSDGYSKIPATAAPLVGDNIP >Manes.13G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2933350:2934884:-1 gene:Manes.13G020100.v8.1 transcript:Manes.13G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKFILVALSLVLVLKVSESFDYRDEDLTSEENLWKLYERWRSHYTVSRSLADKRQRFNVFKENLKHVHMVNQEDRPYKLRLNKFADMTNHEFMRHYGSAKVSHYRSLHGSQQQTGFSHENSELPPSVDWRKKGAVAEVKDQGHCGSCWAFSSVAAVEGINKIRTGELLSLSEQELVDCNTVNNGCDGGYMEEAFKFIKKNGGLTTEENYPYTGRDGSCDSYKMNAPMITIDGYETVPPNDEHALTKAVANQPVAIAMDAGGRDLQFYAEGVFSGRCGTELNHGVALVGYGATHDGTKYWIVKNSWGTEWGENGYIRMERGIGAKEGMCGITLEASYPVKNGSDNNQNAAASCRRDEL >Manes.09G048600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326286:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEIAEQVPGIALSIEREGHTHSFCCSEAGSVWVAQYVASLIKNQLLS >Manes.09G048600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEVRDGYYFLAYGNCVYIHHSMSNAFSLVHFEFVYLGM >Manes.09G048600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEVRDGYYFLAYGNCVYIHHSMSNAFSLVHFEFVYLGM >Manes.09G048600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEIAEQVPGIALSIEREGHTHSFCCSEAGSVWVAQYVASLIKNQLLS >Manes.09G048600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLLLFDCVLCPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEIAEQVPGIALSIEREGHTHSFCCSEAGSVWVAQYVASLIKNQLLS >Manes.09G048600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRK >Manes.09G048600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326287:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKVILLVYEIYIAE >Manes.09G048600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8332459:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKVQ >Manes.09G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326286:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEIAEQVPGIALSIEREGHTHSFCCSEAGSVWVAQYVASLIKNQLLS >Manes.09G048600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326288:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLLLFDCVLCPAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEIAEQVPGIALSIEREGHTHSFCCSEAGSVWVAQYVASLIKNQLLS >Manes.09G048600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326288:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLLLFDCVLCPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEIAEQVPGIALSIEREGHTHSFCCSEAGSVWVAQYVASLIKNQLLS >Manes.09G048600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLLLFDCVLCPAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEIAEQVPGIALSIEREGHTHSFCCSEAGSVWVAQYVASLIKNQLLS >Manes.09G048600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326286:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKVQ >Manes.09G048600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKVQ >Manes.09G048600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKVQ >Manes.09G048600.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKVQ >Manes.09G048600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEVRDGYYFLAYGNCVYIHHSMSNAFSLVHFEFVYLGM >Manes.09G048600.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8332459:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKVQ >Manes.09G048600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEIAEQVPGIALSIEREGHTHSFCCSEAGSVWVAQYVASLIKNQLLS >Manes.09G048600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLLSYYTSSLYRPTSSLSRPSHFRLISARREMSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKVQ >Manes.09G048600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8326294:8333549:1 gene:Manes.09G048600.v8.1 transcript:Manes.09G048600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENSHLNVQRTLAFRLCTVSSYKTELLEICSDDPKLHVLFIPGNPGIVSFYKDFLESLYEFLGGSASVTAIGHISHTKKNWEHGRLFSLQQQIDHKVDFIEQELKNTGVPIVLVGHSIGSYISIETLRKTPEKVKYCIGLYPFLMLNPLSEKQTDIKKVAESSILSALLSFSMASVGLLPRCASRIIVSNSIGKSWSATAVDAACSHLLRYHTFRNMIFMALTEFRKLSETPDWAFMRENQSKMAFLFGVGDHWGPLEMFDEVRDGYYFLAYGNCVYIHHSMSNAFSLVHFEFVYLGM >Manes.17G121000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32555790:32557603:-1 gene:Manes.17G121000.v8.1 transcript:Manes.17G121000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIPSKMKAWLYNEYGNSENVLRFDSEVEVPQVKEDQVLIKVVAAALNPVDSKRILGWFKVTDSPHPTVPGYDVAGVVVKVGNQVKKFKIGDEVYGNINEHPLNYPKQFGTLAEYTAVEEKLLSLKPKNLSFVEASGLPLAIETAYEGLEKAGFSPGKSLLVLGGAGGVGTLTIQVAKHVFGASRVAATASSGKLELLKSLGVDLAIDYTKEKYEHLPEKFDVVYDAAGECERAVKAVKEGGSVVEIVGPMNPPPPAFLFIETSDGEVLDKLNPYLESGKLKPVLDPKAPFPFSQAEEAFSHLQTARAIGKVVVYPIP >Manes.17G121000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32555790:32557603:-1 gene:Manes.17G121000.v8.1 transcript:Manes.17G121000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIPSKMKAWLYNEYGNSENVLRFDSEVEVPQVKEDQVLIKVVAAALNPVDSKRILGWFKVTDSPHPTVPGYDVAGVVVKVGNQVKKFKIGDEVYGNINEHPLNYPKQFGTLAEYTAVEEKLLSLKPKNLSFVEASGLPLAIETAYEGLEKAGFSPGKSLLVLGGAGGVGTLTIQVAKHVFGASRVAATASSGKLELLKSLGVDLAIDYTKEKYEHLPEKFDVVYDAAAVIIMNSMSFMD >Manes.05G155332.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:26715666:26715791:-1 gene:Manes.05G155332.v8.1 transcript:Manes.05G155332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLSEILRSGFMINSSLRRRTHLVQSFSVVFLYWFYVFS >Manes.15G120501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9566354:9569861:-1 gene:Manes.15G120501.v8.1 transcript:Manes.15G120501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHRFHQYQVVGRGLPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRFPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFM >Manes.10G073737.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:15194394:15195997:1 gene:Manes.10G073737.v8.1 transcript:Manes.10G073737.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYWWSKGADQNRGIHWLGWHRMAKHRLDGGLGFKSLHDFNLAMLDKQGWNIINMPHSLVARVLKARYFPTTSFLEASLGHNPSFLWRSILATQSLVKAGAYWRIGNGRSVSIWTHPWLKEAPDLLVSTPPPPNCTLSVVADLMIGYRWNENLIGQLFNDRDRSCILNIPLSLSSHPDAWCWKFAVQGCWLSSPLGCPAFSAASLREWFSLAFLTASAENASLILMICWALWHNRNNVVWKAQGRTASGVFFMALNFLQQWRGACSDSTNCTNVVSALTVWSPPPQGWIKVNIDASLNSQRSSLGFGCVVRDANGRFIAAKVGYFCSQMEVKCAEAVAFREALSWIKECGWDRVLFESDAQVLIVSINNASLDDLSPFGLLVQDCKLLLSSYEEARCAFIHRSANDVAHVLATSAHSESGQGVWVHVPPPHIVSLIALS >Manes.08G157700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39412485:39423142:1 gene:Manes.08G157700.v8.1 transcript:Manes.08G157700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKNPMQELNSDSAQTQSSNSINRHNIEAHLTQRRVNGDENFNYFEDREAMELYSRVRAQKEEIQILREQITAACVRELQLLNEKYVLERKLSDLRMAIDEKQNEAFTSASNELVRRKGDLEENLKLANDLKAVDDERYIFMSSMLGLLAEYGVWPHVINSSAVSNSVKRLHDQLQSKIRTSHDRIRELMVGGHNVSESRDKDNTGTVNLMRQSPRQSTNENGISPSNHRIDEWNLEPTNKMMRYRREIDMADKRRLLFNGDMHQQLNKNKFPEFSFDPSRKVADPLSNSLFDKGTMNMRTRDATRDLLHSSNTHDEIASSVSEEGPGIEGFQIIGEATPGEELLGCGFPVRGTSLCMFQWVRHLEDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRLFANDQNKIKCDPQMQQEIDMYISKGEATFSVQLLTDSSDHWKSATLVLQQSGYQIQSSSEEVVLIAEKFSKNLSIKIPFGVSTQFVLTYSNGSSHPLNTYDVRTRDMLVLIMRMFQSKALDDKRKGRA >Manes.01G119000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31553530:31554856:-1 gene:Manes.01G119000.v8.1 transcript:Manes.01G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIETSSAHILKFNVPGYNKEEIKVQVEEGNILHIRAEVGKEDDHGNDAIWHVAERGTGKKSFSREIGLPENAKVDQIKAQVENGVLTIVVPKDASPKPSKVRNINISSKL >Manes.04G039300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6042199:6056565:1 gene:Manes.04G039300.v8.1 transcript:Manes.04G039300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIKSSKVGYKELDELESPSNSLWVGNLGADVSDSDLMGVFAKYGALDSVTTYTSRSYAFLYFKRVEDAAAAKEALQGTILRGSPLKIEFARPAKPCKHLWVGGFSPAISKEQLEEEFLKFGTIEEFKFHRDRNTAFIEYVKLEDALEAMRNMNGKRIGGDLIRVDFLRSQSLRRDQLYEFRDSKESQFSGVRRTQPSQLLGCRREGLPSNVLWVGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMYSSSELAPGKEYSSFSPGVKGTRPEVFNEHILGSSQLEILDHHRTLGLNTFPGPLTPKYNDLAPLHSFRDGNPNITMGPNWRRPSPPPSGILPSPGSRIRPPMRSVSTGWDVLDPSQREPKRSRIDSPLPIDDDSFASRKIDDRGLGLDKAYRLGPIADGGISGPLINAQGKRSLSPVGVRGGLGSHRRQLGNDYIWRGIIAKGGTPVCHARCVPIDKGIESELPEVVNCSARTGLDMLTKHYAEAIGFDIVFFLPDSEDDFASYTEFLRYLGSKDRAGVAKFDDGTTLFLVPPSDFLTKVLKVAGPERLYGVVLKMPHVPGSALIQPQLQQPGHFPQYIDRHHIPPPEAEYNQIPQDEHMMPLDYRVLHEDSKSLSKSFYTPPTEAIAEQSIPQDYASNNSVAASQAGVSLTPELIATLTSLMPANAQSTGLEGGQPVSGSVVRPPYSAVATDKGTSSLGWKNDNQVSGNTNHVQFGNQFNSQAQVPSQFQPHLSLSKGPYNPAMVPANTQIQDSSINLSHQDGIPSRSLTSVSMPSQSGQVGVSSQVSQQYKLDVPHQKGYGGMVHGTDVSASYNPPVIQQPNNHVAFSSQAQGGNQSQVQSGMALSADKLNQQNPSQMQQFQTALPGAAQGTSEVEVDKNQRYQSTLQFAASLLLQIQQQQQQQTGNPAVRGSGNQQ >Manes.15G153400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12737151:12740200:1 gene:Manes.15G153400.v8.1 transcript:Manes.15G153400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSPLAAAPGTGATQKLIKSDRSMLALSDENSMMKQILTTHAPDGREFDVRPLLHVVEDILSRATLQVESTLTATQAQADIEDKNRQGNFVAMLESLSFVIDRIACEISYKALGGSDAHATTMSLFNMLSSYSWDAKLVLTMAAFALNYGEFWLLAQIYSSNPLAKSMAILRQLPVILEHTGPLKPRFDALNKLIRVMTDVTRCIVEFKELPSIYISSEVPALATAIAHIPTAVYWTMRSVVACATQITSLTTLGHEFATTEAWELSTLAHKLQNIHEHLKKQLFFCHQHIEEKRNVEAYQMLLNLFDAVHIDNMKILKALIYAKDDIPPLLDGSTKRRVNIDVLRRKNVLLLVSGLDISHDELSILEQIYNESRLHATRLDSQYEVVWIPIVDPSVTWNDSKQKQFEALQATMPWYSVHHPSIIETVVIKFMKEVWHFRNKPILVVLDPQGKVVCPNALHMMWIWGSNAFPFTSLREESLWKEETWRLELLVDGIDPTILNWIKEGKYIFLYGGDDVEWVRKFTNQARAVAQAARIPLEMVYVGKSSKRDKLQRVIATITVEKLSYVWQDLTMIWFFWTRLESMLFSKIQLGKIDEYDPMMQEIKKLLSYDKEGGWAVLSKGSNIVVNGHSTTVLPTLTEFDIWKENVPVKGFDLSFKEHHDKLHGVTHPCCRFEFLSTGRIPEGMKCPECLRNMEKYITFLCCHEETIEEML >Manes.10G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3366896:3369342:-1 gene:Manes.10G033600.v8.1 transcript:Manes.10G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVIVEKLGEPTNSYEEFTASLPADECRYAVYDFDYVTEENCQKSRIVFIAWSPDASKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRSN >Manes.16G031700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3795908:3797057:-1 gene:Manes.16G031700.v8.1 transcript:Manes.16G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPKHICFFFILGLFFMQTYARESQFFSKVSPTTTTTTTINSKEAAGIPNTEEESFTRQEQDPNFMPENQTGYGLYGQESTQTQFPSTTKVGNAPYRVHTTTDTYSPYTTQTQETYTNYPTSTSTNPDTHYYNDNQNSDNTFAEEQQDLGETSLQESSSSSSNNVPMTNQNNNYYYNGANGYNKEGQQGMSDTRYMENGKYYYNVKGENNYFPNQYQNSRNVNYNNGGYYNNNNVENSYENSMQSFQNQENFQERQDEQYVP >Manes.01G067266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26816299:26817052:1 gene:Manes.01G067266.v8.1 transcript:Manes.01G067266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYHPQSDGQSEVTNRCLEHSIGMTPFLALYGRNPPMIPRYELGHSLVHEVDLNLATRDEILHDLKIHLSKAANQMKQAADIKRRDVQFSSVSKRAFQKLASRFYGPFRVEEKIGNLAYKLQLPPDSRVHPVFHVSLLKQHVGESVPVSTAFPQLNDDGYAVFEPKEESLVRWKHFSPEDATWESSADLKA >Manes.05G202500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32704939:32710513:-1 gene:Manes.05G202500.v8.1 transcript:Manes.05G202500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEKKALKKNSKKVTSSQLSNDKESADFLPLDGGPRRKLPEQKERRNTATVLYIGRIPHGFYEKEMEAYFSQFGTIKRLRIARNKKTGKSKHYGFIEFADPEVAEVVAECMHNYLLFEHLLQVYLIPPEHVHPKLWKGFNYRYKPLDKVQIERKRQNKERTLEEHKKVVEKILKRGQKRQKMIEAAGLDYECPEIVAGVQSAPKRIKFDED >Manes.09G128400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33093417:33098939:-1 gene:Manes.09G128400.v8.1 transcript:Manes.09G128400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIKSGLSETPTKASPATPRVSKLGRAATKEPDSPAPLQSSRFSVERSPRSVNSRPTIERRSIKVTPPPDKPKTRLLKGSELQDQLSLVQEDLKKAKEQIELIEKEKSQAIDELKQAQKAAEEANEKLQEALVAQKRAEENSEIEKFRAVELEQAGIEAAQKKDEEWEKELEAVRNQHALDVAALLSTTQELQKLKQELIMTTDAKNQALSHADDATKIAEIHADKVEILSAELIRLKALFDSKLETEATENNKMVARLKEEMETLKQELEEARVFEGKFIEREASIEQLNVELEAAKMAEAYARNLVEEWASKVEELEMQLEEANKLERSASESLCSVMKQLEGNNDLLRDAESEITTLKEKVGLLEMTVARQKGDLVESEHCLGEAREEISELEKNVESLKSELDIVREEKAQALNNEKLAASSVQSILDERNKLINELENSRHEEEKSKKAMESLTSALHEVSAEAREAKEKLLSSQMERENYETQIEDLKLVLMEANRRYETLMEDTKHEIDLLKNNIEESKNEFEKSKAEWEQKEQNLTNCVKKSEEENSSLGREIDRLVNLLKQTEEEACVTREEESQLKDSLKEVEAEVILLQEALGESKIESMKLKESLLDKENELQNLLQENEEFQNREAISMKKVEELSKLLEEAMSKKQTEENGELTDSEKDYDLLPKVVEFSEENGHVREEKPKMELSPQHEDLGKENSEEQNNCFDNHTVPMVAAKSENVNGKPKEDESKKKEDSEQVEFKMWESYKIEKKEFSPEREPEKESCEDEVDSKVEGGESLDQINGLSSTENVDDGGSSPSEQQQQKKKKPLLRKFGSLLKKKSTSNQK >Manes.09G128400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33093417:33098939:-1 gene:Manes.09G128400.v8.1 transcript:Manes.09G128400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIKSGLSETPTKASPATPRVSKLGRAATKEPDSPAPLQSSRFSVERSPRSVNSRPTIERRSIKVTPPPDKPKTRLLKGSELQDQLSLVQEDLKKAKEQIELIEKEKSQAIDELKQAQKAAEEANEKLQEALVAQKRAEENSEIEKFRAVELEQAGIEAAQKKDEEWEKELEAVRNQHALDVAALLSTTQELQKLKQELIMTTDAKNQALSHADDATKIAEIHADKVEILSAELIRLKALFDSKLETEATENNKMVARLKEEMETLKQELEEARVFEGKFIEREASIEQLNVELEAAKMAEAYARNLVEEWASKVEELEMQLEEANKLERSASESLCSVMKQLEGNNDLLRDAESEITTLKEKVGLLEMTVARQKGDLVESEHCLGEAREEISELEKNVESLKSELDIVREEKAQALNNEKLAASSVQSILDERNKLINELENSRHEEEKSKKAMESLTSALHEVSAEAREAKEKLLSSQMERENYETQIEDLKLVLMEANRRYETLMEDTKHEIDLLKNNIEESKNEFEKSKAEWEQKEQNLTNCVKKSEEENSSLGREIDRLVNLLKQTEEEACVTREEESQLKDSLKEVEAEVILLQEALGESKIESMKLKESLLDKENELQNLLQENEEFQNREAISMKKVEELSKLLEEAMSKKQTEENGELTDSEKDYDLLPKVVEFSEENGHVREEKPKMELSPQHEDLGKENSEEQNNCFDNHTVPMVAAKSENVNGKPKEDESKKKEDSEQVEFKMWESYKIEKKEFSPEREPEKESCEDEVDSKVEGGESLDQINGLSSTENVDDGGSSPSEQQQQKKKKPLLRKFGSLLKKKSTSNQK >Manes.09G128400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33094622:33098939:-1 gene:Manes.09G128400.v8.1 transcript:Manes.09G128400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIKSGLSETPTKASPATPRVSKLGRAATKEPDSPAPLQSSRFSVERSPRSVNSRPTIERRSIKVTPPPDKPKTRLLKGSELQDQLSLVQEDLKKAKEQIELIEKEKSQAIDELKQAQKAAEEANEKLQEALVAQKRAEENSEIEKFRAVELEQAGIEAAQKKDEEWEKELEAVRNQHALDVAALLSTTQELQKLKQELIMTTDAKNQALSHADDATKIAEIHADKVEILSAELIRLKALFDSKLETEATENNKMVARLKEEMETLKQELEEARVFEGKFIEREASIEQLNVELEAAKMAEAYARNLVEEWASKVEELEMQLEEANKLERSASESLCSVMKQLEGNNDLLRDAESEITTLKEKVGLLEMTVARQKGDLVESEHCLGEAREEISELEKNVESLKSELDIVREEKAQALNNEKLAASSVQSILDERNKLINELENSRHEEEKSKKAMESLTSALHEVSAEAREAKEKLLSSQMERENYETQIEDLKLVLMEANRRYETLMEDTKHEIDLLKNNIEESKNEFEKSKAEWEQKEQNLTNCVKKSEEENSSLGREIDRLVNLLKQTEEEACVTREEESQLKDSLKEVEAEVILLQEALGESKIESMKLKESLLDKENELQNLLQENEEFQNREAISMKKVEELSKLLEEAMSKKQTEENGELTDSEKDYDLLPKVVEFSEENGHVREEKPKMELSPQHEDLGKENSEEQNNCFDNHTVPMVAAKSENVNGKPKEDESKKKEDSEQVEFKMWESYKIEKKEFSPEREPEKESCEDEVDSKVEGGESLDQINGLSSTENVDDGGSSPSEQQQQKKKKPLLRKFGSLLKKKSTSNQK >Manes.06G062000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19645494:19649865:1 gene:Manes.06G062000.v8.1 transcript:Manes.06G062000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLITESTLEAAIESRNRLMQAAASAVNETKIAISSQKMEFGDVATPGKMVECRICQDEDEDSNMETPCSCCGSLKYAHRRCVQRWCNEKGNTICEICHQQFTPGYTAPPPLFQIGRFPMNLRRNWENSGRDLHGPRFIAMVSTDRSFLNSDYEEYSASTRNSICCRSVALAFMILLILRHTLPVFLTRTNEISLPILVLLFLRIAGIVLPIYIILRAVTALQNRRHRQESPNVSFTTSDEEAELSSE >Manes.06G062000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19645494:19649865:1 gene:Manes.06G062000.v8.1 transcript:Manes.06G062000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLITESTLEAAIESRNRLMQAAASAVNETKIAISSQKMEFGDVATPGKMVECRICQDEDEDSNMETPCSCCGSLKYAHRRCVQRWCNEKGNTICEICHQQFTPGYTAPPPLFQIGRFPMNLRRNWENSGRDLHGPRFIAMVSTDRSFLNSDYEEYSASTRNSICCRSVALAFMILLILRHTLPVFLTRTNEISLPILVLLFLRIAGIVLPIYIILRAVTALQNRRHRQESPNVSFTTSDEEAELSSE >Manes.17G022694.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:13853148:13869373:-1 gene:Manes.17G022694.v8.1 transcript:Manes.17G022694.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLITKNKYESNSNDSKHFFLHYFGNPRTQSYGYIKYRISNPSRKRREMDTQFKVSKQNSYYTYRILWKVVFDESRMYDLEAGLSYLSRSTQQYGFKKSK >Manes.S031816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:771179:771346:1 gene:Manes.S031816.v8.1 transcript:Manes.S031816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.16G080200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28534868:28543656:-1 gene:Manes.16G080200.v8.1 transcript:Manes.16G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKHLLRKLHIGGAINDHHRLPDTRPVANPSPSPSTSAPLSSSSSSSDGRITAVESPGGDRIAAGDASSVDFNLLEEEFQVQLALAISASDPDTREDAESAQIDAAKRISLGCPVAPVIVTDSPVESLSLRYWSYNVVNYNDKVMDGFYDVYGITSNSVVQVQGKMPLLMDLQAVSILDNIDYEVVLVNRFVDPELQELEKKAYIMSLESRMSDGFHLSGLIQKLADLVVDRMGGPVGDADEISARWTRRSHELRNALNSIILPLGRLDVGLSRHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLIKIDDESEFIIDLMGAPGILIPAEVPSSHLPSTGFDVRGFADLTGIPKASQLLGEEPRGIAVSSTLDNAPHVGSSRTEELLFVGIKSNEPNMGRIEKNQAEVSGHENLSDTGRPSEAENINVKNVSKYVMTAAKNPEFAQKLHAVLLESGASPPPDLFSDMNQQDLGEQKVPEQVHPDNRVNLNVQLYRYSDKLMPRHGQSLISLTAEDPLNNIRCDTKQGWPAEGQAKQQRETEINFFKSASFPSDSTTEGFVVANNRTNEKLQMGATVADTSSDNTLGVLGRTMHGNQIHESSSAVNSCQLQPEDALVRNDKQGLQLVETFNGGLNMSCNGYDERIHPVLGEVAEWEIPWEDLQIGERIGIGSYGEVYHADWNGTEVAVKKFLDQDLSGDALVQFKCEAEIMLRLRHPNVVLFMGAVTRPPHLSILTEFLPRGSLYRLLHRPNPQLDEKRRMRMALDVAKGMNYLHTSHPPIVHRDLKSPNLLVDKNWVVKVCDFGLSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELATCQIPWKGLNPMQVVGAVGFQNKRLEIPEDVDLAIAQIIHDCWQREPHLRPSFSQLISRLRHIQSLRVERT >Manes.16G089600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29617957:29629342:1 gene:Manes.16G089600.v8.1 transcript:Manes.16G089600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASPPNLHQSVAISKGYNFASTWEQNAPLSEQQHAAIVAVSHAIAERPYPANLAQDHISGQDNGRLAVSIEDSDFGDSQAIEAVLVNTNQFYKWFTDLELAMKSEAEEKYQHYVNTLTERIQTCDDILHQVDETLDLFNELQLQHQAVATKTKTLHDACDRLLVEKQRLIEFAEALRNKLHYFDELENIATNFYSAKVNVGSENFLPLLKRLDDCISYVESNQQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKGASSQVQAAIRSSGGNKTSVSEAVEASVIYVRFKAAANELKPILEEIENRSSRKEYAQVLAECHKLYCEQRLSLVKGIVLQRVSEFAKKEALPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSVDISGLAPLLDPLSTFLYDTLRPKLIHETNIDLLCELVDILKVEVLGEQLSRRSEPLAGLHPTLERILADIHERLTFRARTHIRDEIANYIPSDEDLDYPAKLERSVEITPETTSVEETTDVFRTWYPPLEKTLSCLSKLYRCLEASVFTGLAQEAVEVCSLSTQKASKLIAKRTTAMDGQLFLIKHLLILREQIAPFDIEFSVTYKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMLVTKLVVDPMLSFVTKVTAVKVALSSGSQNQKLDSIMAKPLKDQAFATPDKVAELVQKVHAAIQQELPMVVAKMKLYLQNSSTRTILFKPIKTNIVEAHIQVQSLVKAEYSPEEQSIINMVSIQELQAQLDNLL >Manes.16G089600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29617980:29629342:1 gene:Manes.16G089600.v8.1 transcript:Manes.16G089600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASPPNLHQSVAISKGYNFASTWEQNAPLSEQQHAAIVAVSHAIAERPYPANLAQDHISGQDNGRLAVSIEDSDFGDSQAIEAVLVNTNQFYKWFTDLELAMKSEAEEKYQHYVNTLTERIQTCDDILHQVDETLDLFNELQLQHQAVATKTKTLHDACDRLLVEKQRLIEFAEALRNKLHYFDELENIATNFYSAKVNVGSENFLPLLKRLDDCISYVESNQQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKGASSQVQAAIRSSGGNKTSVSEAVEASVIYVRFKAAANELKPILEEIENRSSRKEYAQVLAECHKLYCEQRLSLVKGIVLQRVSEFAKKEALPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSVDISGLAPLLDPLSTFLYDTLRPKLIHETNIDLLCELVDILKVEVLGEQLSRRSEPLAGLHPTLERILADIHERLTFRARTHIRDEIANYIPSDEDLDYPAKLERSVEITPETTSVEETTDVFRTWYPPLEKTLSCLSKLYRCLEASVFTGLAQEAVEVCSLSTQKASKLIAKRTTAMDGQLFLIKHLLILREQIAPFDIEFSVTYKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMLVTKLVVDPMLSFVTKVTAVKVALSSGSQNQKLDSIMAKPLKDQAFATPDKVAELVQKVHAAIQQELPMVVAKMKLYLQNSSTRTILFKPIK >Manes.16G089600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29617980:29629342:1 gene:Manes.16G089600.v8.1 transcript:Manes.16G089600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKASPPNLHQSVAISKGYNFASTWEQNAPLSEQQHAAIVAVSHAIAERPYPANLAQDHISGQDNGRLAVSIEDSDFGDSQAIEAVLVNTNQFYKWFTDLELAMKSEAEEKYQHYVNTLTERIQTCDDILHQVDETLDLFNELQLQHQAVATKTKTLHDACDRLLVEKQRLIEFAEALRNKLHYFDELENIATNFYSAKVNVGSENFLPLLKRLDDCISYVESNQQYAESSVYLLKFRQLQSRALGMIRSHVLSVLKGASSQVQAAIRSSGGNKTSVSEAVEASVIYVRFKAAANELKPILEEIENRSSRKEYAQVLAECHKLYCEQRLSLVKGIVLQRVSEFAKKEALPSLTRSGCAYLMQVCQLEHQLFDHFFPSSSVDISGLAPLLDPLSTFLYDTLRPKLIHETNIDLLCELVDILKVEVLGEQLSRRSEPLAGLHPTLERILADIHERLTFRARTHIRDEIANYIPSDEDLDYPAKLERSVEITPETTSVEETTDVFRTWYPPLEKTLSCLSKLYRCLEASVFTGLAQEAVEVCSLSTQKASKLIAKRTTAMDGQLFLIKHLLILREQIAPFDIEFSVTYKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMLVTKLVVDPMLSFVTKVTAVKVALSSGSQNQKLDSIMAKPLKDQAFATPDKVAELVQKVHAAIQQELPMVVAKMKLYLQNSSTRTILFKPIKTNIVEAHIQVQSLVKAEYSPEEQSIINMVSIQELQAQLDNLL >Manes.01G062800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26094690:26097285:1 gene:Manes.01G062800.v8.1 transcript:Manes.01G062800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTLTGLLHKSATEFPNRRALSVSGRLDLTHSELRHLVDHAASLLVASGVAPGDVVALTFPNTVEFVVMFLAVIRCRATAAPLNPAYTAEEFEFYLSDSESKLLVTSPEGIQSAQSAAAKLNIPRVAATLNEKIKTMALFSSNTESNVASVSGLLNDASDVALFLHTSGTTSRPKGVPLTQLNLASSVLNIKSVYRLTESDSTVLVLPLFHVHGLLAGLLSSLVSGAAVALPSAGRFSASTFWLDMIAYKATWYTAVPTIHQIILDRHVSKPEPAYPKLRFIRSCSASLAPSILDRLEEAFGAPVLEAYAMTEASHLMASNPLPEDGGHKAGSVGRPVGQEMAVLDENGVVQSAGVSGEVCIRGPNVTKGYKNNVEANKSAFQFGWFHTGDVGFFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHQDIAQAVAFGVPDDKYGEEINCAIIPREGSEIDEAEVLRHCKKNLASFKVPKKVFITDSLPKTATGKIQRRIVAEHFLAQISTAKVPKFGA >Manes.18G105900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10373083:10376497:-1 gene:Manes.18G105900.v8.1 transcript:Manes.18G105900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTNINHRRPSESCGQTVASTNFTWVSQVRTSLTVLGTNTATENSQASDEIDFEALSNGEAIAGENLRAFTYAQLKVATRNFRRDKVLGRGGFGKVYKGGLKEHVPSEGIKKSLVAIKKLDTTGNQGFKEWLTEIRILGRLSHPNLVKLLGYCMENENFLLVYDFMQNGSLNYHLFGKGLVRPLPWDIRFKIALGTARGLAYMHTHVILHRDLKSSNILLDKFYNAKISDFGLAFLGPSAGSSHVETTLAGTYGYAAPEYIATGHLYVKSDVYGFGVVVVEMLTGLRAVDRRRPKEQQVLVDWVKPYLLSKRKLKQVMDSRLEGKYPYKEVSQIAQLAVRCLHLEPKLRPSVKEIVETLEHIEACHHKTKRA >Manes.18G105900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10373029:10376497:-1 gene:Manes.18G105900.v8.1 transcript:Manes.18G105900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICWGSPADLPCPLPISTTVDHLNPDSSLAADFSGQTVASTNFTWVSQVRTSLTVLGTNTATENSQASDEIDFEALSNGEAIAGENLRAFTYAQLKVATRNFRRDKVLGRGGFGKVYKGGLKEHVPSEGIKKSLVAIKKLDTTGNQGFKEWLTEIRILGRLSHPNLVKLLGYCMENENFLLVYDFMQNGSLNYHLFGKGLVRPLPWDIRFKIALGTARGLAYMHTHVILHRDLKSSNILLDKTLAWHFWVLLLVLHTSKQL >Manes.18G105900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10373083:10376497:-1 gene:Manes.18G105900.v8.1 transcript:Manes.18G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICWGSPADLPCPLPISTTVDHLNPDSSLAADFSGQTVASTNFTWVSQVRTSLTVLGTNTATENSQASDEIDFEALSNGEAIAGENLRAFTYAQLKVATRNFRRDKVLGRGGFGKVYKGGLKEHVPSEGIKKSLVAIKKLDTTGNQGFKEWLTEIRILGRLSHPNLVKLLGYCMENENFLLVYDFMQNGSLNYHLFGKGLVRPLPWDIRFKIALGTARGLAYMHTHVILHRDLKSSNILLDKFYNAKISDFGLAFLGPSAGSSHVETTLAGTYGYAAPEYIATGHLYVKSDVYGFGVVVVEMLTGLRAVDRRRPKEQQVLVDWVKPYLLSKRKLKQVMDSRLEGKYPYKEVSQIAQLAVRCLHLEPKLRPSVKEIVETLEHIEACHHKTKRA >Manes.15G032900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2570415:2573188:1 gene:Manes.15G032900.v8.1 transcript:Manes.15G032900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDLGQVKEEYAGASSSYSGMASTAIPQPMEGLHDAGPPPFLTKTYDIVEDTATNHIVSWSRGNNSFVVWDPQTFSMSLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANGGFLRGQKHLLKDIRRRKAPQPQSCQQCLDPCVEVERFGVDGEVDRLRRDKQVLMMELLKLRQQQQNTKACLQLMEHKLKRTETKQQQLMGFLPRAIQNPNFVQKLIQQKDRRKELEEAFNKKRRGPIDQGHNNVKFRDFDHIGGDVDTCIKIELQEFDDLPDFEASELGALAMTLQDVSGSQQNLEEECKERGEEHKDKGSGGLMAMLPK >Manes.15G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2570415:2573188:1 gene:Manes.15G032900.v8.1 transcript:Manes.15G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDLGQVKEEYAGASSSYSGMASTAIPQPMEGLHDAGPPPFLTKTYDIVEDTATNHIVSWSRGNNSFVVWDPQTFSMSLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANGGFLRGQKHLLKDIRRRKAPQPQSCQQCLDPCVEVERFGVDGEVDRLRRDKQVLMMELLKLRQQQQNTKACLQLMEHKLKRTETKQQQLMGFLPRAIQNPNFVQKLIQQKDRRKELEEAFNKKRRGPIDQGHNNVKFRDFDHIGGDVDTCIKIELQEFDDLPDFEASELGALAMTLQDVSGSQQNLEEECKERGEEHKDKGKDLDEGFWDELLNQDIGDEMVMCGEAEDVDVLVEQLVYLGSSPK >Manes.01G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2508178:2510308:1 gene:Manes.01G006100.v8.1 transcript:Manes.01G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELKDLQRDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVTIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKSDRAKYEATARSWTQKYAMG >Manes.17G075801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27631926:27634267:-1 gene:Manes.17G075801.v8.1 transcript:Manes.17G075801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLMFGSCLWEWSCQRLEWMLCFFTQGCFFPFTSGSSFYKSFSKVKMTPLTLLCPLSISSSSPSRSYLPSKPLVQNPSFCFSCSSLQSEQVTAGVTGTRSEYKPGVFDDLFLSLFRNKMVKEVGWDSDKPGYDGLIEVANRLMSSGRTNSDTRDTAVRILRSLFPQFLLDLYKLFISPLGGGKVAALMIARVTALTCQWLMGICTVNSVDLPDGTSCESGVFVERCKYLEESKCVGICVNTCKLPTQTFFKDYMGVPLLMEPNFTDYSCQFKFGVLPPQPEDDITLKEPCLEVCPMASRRQQVARSTDVGQWPKA >Manes.01G264900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41950078:41950994:1 gene:Manes.01G264900.v8.1 transcript:Manes.01G264900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNSSELSPCSSFYVPFSNRKSSRGSNLPLRRLIISRGRRRWLKHAEKNNKKMEIKNLKLYMKNKSIIEENEKLRKKALLLHQENQALLFQLQNRFSKSHDHAAFNNNHIL >Manes.16G077100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28148859:28156037:-1 gene:Manes.16G077100.v8.1 transcript:Manes.16G077100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKACKPALESSYITKVIHDCKRDSEALYFQFGIKLHNVFDTQIAYSLIEEQEGRTRLPDDYISFVGLLADSRYCGISYLEKEEVRVLLRQDPNFWTYRPLSELMIRAAADDVRFLLYIYHKMVKKLNQRSLWCLAVRSALYCRCFCINDNDFADWPSLLPIPDNLIRGCNDPEEEVLSVLDVPPGKMGRVIGRRGANILSIKESCNAAIFIGGSKGPPDKVFIIGPVKQVRKAEAILRGKMLEIF >Manes.16G077100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28148859:28156031:-1 gene:Manes.16G077100.v8.1 transcript:Manes.16G077100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSSSSHQTHIPLPSDSDPGAKPLDHEAQSSLVPIHLVTEASQLPAEFLNPSPERQLIIGFDCEGVDLCRHGTLCIMQLAFPDAIFLVDAIQGGDMLMKACKPALESSYITKVIHDCKRDSEALYFQFGIKLHNVFDTQIAYSLIEEQEGRTRLPDDYISFVGLLADSRYCGISYLEKEEVRVLLRQDPNFWTYRPLSELMIRAAADDVRFLLYIYHKMVKKLNQRSLWCLAVRSALYCRCFCINDNDFADWPSLLPIPDNLIRGCNDPEEEVLSVLDVPPGKMGRVIGRRGANILSIKESCNAAIFIGGSKGPPDKVFIIGPVKQVRKAEAILRGKMLEIF >Manes.17G004137.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2375711:2377525:1 gene:Manes.17G004137.v8.1 transcript:Manes.17G004137.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSFSNACDQLNIATILFNNYTTSAFNFNSQLNQRTLPTAFNFNPQLIKDITNNFLVRLMSRRKRARTPTPGHYLGLKSNRDYGYRGDRGRYRGRDDYRRSPRRSPYRGGREYSPRHSPPMVEGRGGRGPDHPTLLLIVALGEAIWPIIPYRNHRDVVLTAYGL >Manes.13G002200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:773002:777793:-1 gene:Manes.13G002200.v8.1 transcript:Manes.13G002200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHTFITTTHQYTILHASFSSPMPASSCCKYDVFLSFRGEDTRKNFTSHLYAGLCQKGILTFKDDKELERGKTISQELLKAIQDSKICIVIFSTSYASSSWCLDELAHIFECMKTKGQLVMPVFYHVNPTDVRKQTGDYGKSFAQHEQRLHTNLNKIQQWRTAMTGLANLSGWDLQDRHESELIEEIVKDVLRKLRKSSSVISAAKNFVGMSSRLVDMSMYLDMGQTDDVFFLGICGMGGIGKTTIARVVYEELSSQFEGSSFLANVREVDEKHGLIPLQKQILSEILLDRGITIWDAHSGSNELRNRLHRKRVLIVLDDVNQLDQLKFLAGMHDWFGKGSRIIITSRDEHLLTCHGVDKIYRVEGLSDDEALHLFCLKAFKSDYPTGDYVELTNHFVNYCNGLPLALDVIGSFLFCKSVNEWRSALSRLKDVPNQKISGKLYISFNGLEEIEKKIFLDIACFFNGEDKDHVMQVLETCGFYPEIGIRVLINKSLITISKERIWMHDLLQEMGRDIVRQESAEEPGKRSRLWLFKDVEHVLSNDKGTEQIEGIVLDSCNQQDAKLSAKSFTKMKRLRLLKLRNLHFSQGLEYLSNKLRYLEWDGYPFKSLPSTFQPDTLVELHMRCSNMEHLWKGVIKPLKKLKVIDLSFSINLIKTTDFKDAPNLEKLNLEGCTRLLEVHQSIGDLKRLVLLNLKDCKSLIRLPNSICDLKSLKFLNLHGCSKLEKLPERLGDMTSLEKLYAGGITTRQAPSTKLWDFFLPSRLLPWKNQNPTPNQMVTLLPSLLVLRSLRSLDLSYCNLVEGALPSDMSCFPSLRTLNLSGNDFFSIPSSISRLSKLEDFRFANCKKLQVFPSMPSSILYLSMDGCSALESLLPRSISRQFELENLCAAVDCKRLQLLPDLSSCILYLSVDGLTAEDTIPNPFGTNTTRPSSLTLVSCLKLFEVQSKNISAFGRLTSYLHYLLRHSSQGLFSPSSHISMCLAGTEIPGWFNYQSPGSSLEIHLPPYWWATKWMGFAFCIEFGFHEPLPDSSTISCDLHACITPNQDLFLGHTAVEISKDMIVTSDQLWFNYMPRSSLTCLDLWEACCHLKVTFSSNHLRVKYCGLRAIYGRDLDDLVTCSNPFQNLGLPCNDNVEKSKRSRDEYSCGSGREPNESGSLPAKRLRMAVDPES >Manes.14G094700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7887479:7888190:1 gene:Manes.14G094700.v8.1 transcript:Manes.14G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYLSLISAHFKWALNFLIRNPLFQYHDHLYKPEDQFVDDEEVSTGQYYKCEASCSEAIECAVCLSKIEQGEEMRELRRCKHMFHRVCLDRWVAYGRMTCPLCRDSLAPRRLISELGGQVLVFKFSSFIGSDDRHTWWLR >Manes.15G045200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.15G045200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.15G045200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448857:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWLLLQQDRGLLVLSWVIRVCGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQGSENSAPPLRMVNVHSGLYFVNFQSMLSALQSFSIAVAFIHAQSPALRPQNVQEFKWQDSGLSFK >Manes.15G045200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQGSENSAPPLRMVNVHSGLYFVNFQSMLSALQSFSIAVAFIHAQSPALRPQNVQEFKWQDSGLSFK >Manes.15G045200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQGSENSAPPLRMVNVHSGLYFVNFQSMLSALQSFSIAVAFIHAQSPALRPQNVQEFKWQDSGLSFK >Manes.15G045200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.15G045200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.15G045200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQGSENSAPPLRMVNVHSGLYFVNFQSMLSALQSFSIAVAFIHAQSPALRPQNVQEFKWQDSGLSFK >Manes.15G045200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQGSENSAPPLRMVNVHSGLYFVNFQSMLSALQSFSIAVAFIHAQSPALRPQNVQEFKWQDSGLSFK >Manes.15G045200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448847:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQGSENSAPPLRMVNVHSGLYFVNFQSMLSALQSFSIAVAFIHAQSPALRPQNVQEFKWQDSGLSFK >Manes.15G045200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448854:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.15G045200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQGSENSAPPLRMVNVHSGLYFVNFQSMLSALQSFSIAVAFIHAQSPALRPQNVQEFKWQDSGLSFK >Manes.15G045200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.15G045200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.15G045200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.15G045200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3448570:3452821:-1 gene:Manes.15G045200.v8.1 transcript:Manes.15G045200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSMVNTLSSPLFHKYGTCDGDANFDELSFSGIEGSTCYYDMNSPSVLSNYSSNKLYKGASIYKSEPQHERLAFVSTTNSTCSDPSSSSPSATLFVNQGMLQCSWKDGSPHFVFSLDDQKVVYVANLWKVEFIDDKAVNYTYLFYLRMGGQKEQEICDSASHLVGKMKVSTSFTLCPNKSRIMEREFVLFGSNENLVRVQTSSHDLKKNEGLSKKVAEVFRTSQSMKKRTASRFIGSSAKPAAIRSWEPFQDTDNNTDALCGANLLENHLPPNLELVAIVVKDHLPGNLQGKGGGWGLKFLKNVKQTDDLMEFSQPSGCCVQDTGDCSTSMDVLIPAGLHGGPTTRNGGPSSLIERWRSGGCCDCYGWDLGCPLTVLKKRLGNKELSPQPDMQGGCKLVDFIIQEQNVVLVY >Manes.07G098800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30302878:30309179:1 gene:Manes.07G098800.v8.1 transcript:Manes.07G098800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPYLDKAICAAIKSTTKSMFAEYIGKYKIEEIEFENLTLGTLPPTIHGVKVYETNEKDLVMEPAIRWAGNPNIILGLKIMSLQIKVQLVDLQIFAAPRVALKPLVPTFPCFANIIVSLMERPHVDFGLKIMGGDVMSIPGLYRFVQEMIRKQVASLYLWPQSLDIPVLDASTVAVKKPVGILHVKVVRAMKLLKADLLGTSDPYVKLSLTGDKLPAKKTTIKKNNLNPVWNENFKLIVKDPQSQVLQLQVFDWDKVGGHDRLGMQLIPLKVLTNHEPKEFTLDLLKHTKINNSQDKKRRGQIVVELTFVPFKEDSTKFNEALDGGSEKSSEEEKLSGAGLLSVIVQGAQDVEGVHHNNPYASVLFRGEKKTTKMMRKTRDPRWNEEFQFTLDQAPLHEKIRIDVMSKRTRFSFKSKESLGHVEVNLDDVVHNGRINEKYHLIDSKNGIIHVEIRWDTV >Manes.07G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30303567:30309179:1 gene:Manes.07G098800.v8.1 transcript:Manes.07G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSTLLGFIGFVFAFPLGLLVGFFLFVYSEPKDVKDVEVRPLQELDSDTLQDILPEIPLWVKCPDYERVDWLNKFILDMWPYLDKAICAAIKSTTKSMFAEYIGKYKIEEIEFENLTLGTLPPTIHGVKVYETNEKDLVMEPAIRWAGNPNIILGLKIMSLQIKVQLVDLQIFAAPRVALKPLVPTFPCFANIIVSLMERPHVDFGLKIMGGDVMSIPGLYRFVQEMIRKQVASLYLWPQSLDIPVLDASTVAVKKPVGILHVKVVRAMKLLKADLLGTSDPYVKLSLTGDKLPAKKTTIKKNNLNPVWNENFKLIVKDPQSQVLQLQVFDWDKVGGHDRLGMQLIPLKVLTNHEPKEFTLDLLKHTKINNSQDKKRRGQIVVELTFVPFKEDSTKFNEALDGGSEKSSEEEKLSGAGLLSVIVQGAQDVEGVHHNNPYASVLFRGEKKTTKMMRKTRDPRWNEEFQFTLDQAPLHEKIRIDVMSKRTRFSFKSKESLGHVEVNLDDVVHNGRINEKYHLIDSKNGIIHVEIRWDTV >Manes.17G108000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31483086:31488271:-1 gene:Manes.17G108000.v8.1 transcript:Manes.17G108000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSERAEEKETINHSEIFASCSFSSLGLHPTICNELRERMGYEAPTQVQAQAIPVVLSGRHVLVNAATGTGKTVAYLAPIIHHLQSCSPKIERAHGTFALILVPTRELCTQVYEILQKLLHRFHWIVPGHVMGGENRSKEKARLRKGISILVATPGRLLDHLKNTSSFVHTNLRWIIFDEADRILELGFGKEIEEILDLLGSRLIESVGKGNEVSSISKTQRQNLLLSATLNEKVNHLAKISLQNPIMIGLDDKKMQPDPLLEHAESVESDTDDELEHPRKITNSSTGDYKLPVQLVQKYVKVPCGSRLAVLLSILKHLFEKQYSQKIVVFFSTCDAVDFHYSLVSEFHSSPNSASEVEVTEMFLRCKTFRLHGNMKQEDRRNTFQAFKTEKLALLLSTDVAARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGEKGDSWIFLQPVEMDYIEDLKKHGVSLTEYPIIKVLDSFPLYGQMHRIKKFVSLESHPWLVSLQKALESFISAQPMMNKLAQKAFISWVRAYTAHRGELKRIFMVQKLHLGHVAKSFALKQQPSLVGKSFQKQQSYKRKRDQRQKGQSKRRKINGKT >Manes.17G108000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31483086:31488271:-1 gene:Manes.17G108000.v8.1 transcript:Manes.17G108000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVYEILQKLLHRFHWIVPGHVMGGENRSKEKARLRKGISILVATPGRLLDHLKNTSSFVHTNLRWIIFDEADRILELGFGKEIEEILDLLGSRLIESVGKGNEVSSISKTQRQNLLLSATLNEKVNHLAKISLQNPIMIGLDDKKMQPDPLLEHAESVESDTDDELEHPRKITNSSTGDYKLPVQLVQKYVKVPCGSRLAVLLSILKHLFEKQYSQKIVVFFSTCDAVDFHYSLVSEFHSSPNSASEVEVTEMFLRCKTFRLHGNMKQEDRRNTFQAFKTEKLALLLSTDVAARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARLGEKGDSWIFLQPVEMDYIEDLKKHGVSLTEYPIIKVLDSFPLYGQMHRIKKFVSLESHPWLVSLQKALESFISAQPMMNKLAQKAFISWVRAYTAHRGELKRIFMVQKLHLGHVAKSFALKQQPSLVGKSFQKQQSYKRKRDQRQKGQSKRRKINGKT >Manes.04G081656.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28293675:28293977:1 gene:Manes.04G081656.v8.1 transcript:Manes.04G081656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILRAKQILQRSPSGNQTASAARDVPKGYLAVYVGKKQKKRRFVIPVSYLNTPSFQDLLIQAEEEFGYDHPMGGLTIPCCERMFIDVISCLNCS >Manes.02G061700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4758033:4758898:-1 gene:Manes.02G061700.v8.1 transcript:Manes.02G061700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNIQERPADIIRSISNPQQEERMGQHICALQPCGLSIAMETLLFSLELTTSSSFACCSKKTHGV >Manes.04G106600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31166802:31174385:-1 gene:Manes.04G106600.v8.1 transcript:Manes.04G106600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGANTLTEPITDMSPSSSAAVSVTSPEDSFRPSEVMITIDYSNSSTSSSSPMTQSFPFSSGNPRIEETRGVMHLFSSDGISGLPAGRKPSLSVLGVPNHMTYADFCQFCASFLHHILEMRIVRNDGMEDQYSILIQFENQDSTDRFFQHFNGRQFNSLEEDVCRVLFIVDVQFTGYSGSLETQPSPTSTTEQPSCPVCLEKLDQDMGGILTTICNHSFHCSCISKWEDSSCPVCRYCQQQPEKSICFVCQTSENLWLCVICGFVGCGRYKAGHATRHWKETQHCYSLELETQRVWDYVGDNYVHRLIQSKTDGKLVELNSHCVHANDGCGSCDCVNSGISDALLNSKVEAIVNEYNELLATQLENQKLYFENLLQEAREETEREISEAIKKALAQKSQKLQAKLDRCLKEKKFLDELNENLLKNQEIWTAKILEIEERYVWFVKKL >Manes.04G106600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31166802:31174385:-1 gene:Manes.04G106600.v8.1 transcript:Manes.04G106600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYSILIQFENQDSTDRFFQHFNGRQFNSLEEDVCRVLFIVDVQFTGYSGSLETQPSPTSTTEQPSCPVCLEKLDQDMGGILTTICNHSFHCSCISKWEDSSCPVCRYCQQQPEKSICFVCQTSENLWLCVICGFVGCGRYKAGHATRHWKETQHCYSLELETQRVWDYVGDNYVHRLIQSKTDGKLVELNSHCVHANDGCGSCDCVNSGISDALLNSKVEAIVNEYNELLATQLENQKLYFENLLQEAREETEREISEAIKKALAQKSQKLQAKLDRCLKEKKFLDELNENLLKNQEIWTAKILEIEEREKKALKVKDDKIHELEEQLRDLMVYLEAGRTMEQVSISNEIKDGTVLPISVESSSGAKTKGARKANNRRKS >Manes.04G106600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31166802:31174385:-1 gene:Manes.04G106600.v8.1 transcript:Manes.04G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGANTLTEPITDMSPSSSAAVSVTSPEDSFRPSEVMITIDYSNSSTSSSSPMTQSFPFSSGNPRIEETRGVMHLFSSDGISGLPAGRKPSLSVLGVPNHMTYADFCQFCASFLHHILEMRIVRNDGMEDQYSILIQFENQDSTDRFFQHFNGRQFNSLEEDVCRVLFIVDVQFTGYSGSLETQPSPTSTTEQPSCPVCLEKLDQDMGGILTTICNHSFHCSCISKWEDSSCPVCRYCQQQPEKSICFVCQTSENLWLCVICGFVGCGRYKAGHATRHWKETQHCYSLELETQRVWDYVGDNYVHRLIQSKTDGKLVELNSHCVHANDGCGSCDCVNSGISDALLNSKVEAIVNEYNELLATQLENQKLYFENLLQEAREETEREISEAIKKALAQKSQKLQAKLDRCLKEKKFLDELNENLLKNQEIWTAKILEIEEREKKALKVKDDKIHELEEQLRDLMVYLEAGRTMEQVSISNEIKDGTVLPISVESSSGAKTKGARKANNRRKS >Manes.04G155400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35033955:35036489:-1 gene:Manes.04G155400.v8.1 transcript:Manes.04G155400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHFPPSSSSFSSLLFLLSLVSLSHSFAIGSSAFCREEMTTLGGVHDSPDASNTVEIDDLARFAVDEHNKKQNAVLEFARVVKAKEQVVAGTLHLLTIEAIEAGKKKLYEAKIWVKPWLNFKELQEFKHAGDVDGSADGHGPGWKEVPADDPAVQDAANHAVKIIHQRSNSLFPYELKEIVCAKAEVVDEHAKFDMLLKVKRGTSEEKYKVEVHKNNEGSFLLNQMEPHA >Manes.04G155400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35033955:35036489:-1 gene:Manes.04G155400.v8.1 transcript:Manes.04G155400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHFPPSSSSFSSLLFLLSLVSLSHSFAIGSSAFCREEMTTLGGVHDSPDASNTVEIDDLARFAVDEHNKKQNAVLEFARVVKAKEQVVAGTLHLLTIEAIEAGKKKLYEAKIWVKPWLNFKELQEFKHAGDVDGSAGAPSFTSSDLGVKRDGHGPGWKEVPADDPAVQDAANHAVKIIHQRSNSLFPYELKEIVCAKAEVVDEHAKFDMLLKVKRGTSEEKYKVEVHKNNEGSFLLNQMEPHA >Manes.09G186101.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:37303198:37305448:1 gene:Manes.09G186101.v8.1 transcript:Manes.09G186101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASPIAKTLVKRMAPTSLLGPPELHNPTLLPKTPLSQPTDGVTDPFMDLMVADFNKTTIDPTQSPPMGYTENASATFLSSGNPCLDFFFHVVPDTHPDFIRQRLHDAWQYNPLTTLKLICNLRGVRGTGKSDKEGYYAAAIWLHQFHPKTLACNVSSLSDFGYFKDLPEILYRLLEGYDVRKIQKTEWKKRKMGGKRKRTSFNLRRSTFRGPFQRRIKSQRSKQSRQPQPSVTREMRIANAMQRNKIEKEKASVARKEKKVAMAKKVFERYSRDPDFRFLYERVSGFFAERLKTDMEHLNSGRVRKVSLAAKWCPSIDSSFDRSTLLCESIARKVFPRESYPEYEGVEEAHYAYRIRDRLRKEVLVPLRKALELPEVYIGHNRWGDIPYNRVASVAMKFYKDKFLKHDAERFSQYLEDVKSGKAKIAAGALLPHEIIMALNDGDGGQVAELQWKRMVDDLLQKGKLKNCMAICDVSGSMDGTPMEVSVALGLLVSELSEEPWKGKVITFSESPTLQIIQGDSLMEKTEFVRRMEWGMNTDFQKVFDLILQVAVDGKLKEDEMIKRVFVFSDMEFDQASTNPWETDYKAIERKFKEKGYEKVIPEVVFWNLRYSKATPVPAREKGVALVSGYSKNLMKLFLDGDGIVDPVSIMEAAISGEEYQKLSVLD >Manes.05G040700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3289308:3296444:1 gene:Manes.05G040700.v8.1 transcript:Manes.05G040700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKENQIEEWREYYINYKFLKKKVNRYTRQIEVGAENQHYVLKDFSRMLDCQIEKIVLFLIQQQGLLASRLLNLGEEHDALLQQEHNALLQQSDDSKIPELREAYRAVGQDLLKLLFFVEMNATGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGIEAVVGAISRNLADLQDHEGNHISIYDQPSLRHPDPIIDSIRAAVNKLSNSTSFLEYLGKHAFIIQEELPTSSEDSFIEQNYHFMSLLLNLVNTFLYMVNTYIIVPTADNYSLSLGAAATVCGVIIGSMAVAQVFSSIYFSAWSNRSYLRPLVFSSIILLAGNTLYALAYDLNSISVLLIGRLFCGLGSARAVNRRYISDCVPLKLRMQASAGFVSASALGMACGPALACLFQTNFKIYSLTFNEDTLPGWVMAFAWLAYLLWLWISFREPSHETKELVPQQTNSGLFVNDSAVESGFTQPLLLKAEAENQDEGVCQEIEDGDEDSEGSHEPVTSIVSAYRLLTPSVKVQLFIYFMLKYAMEILLAESSVITGYYFIWSPSSVAIFLACLGLTVLPVNVFVGSYISNKFEERQVLLASEIMVCIGILLSFNILIPYSVPQYVGSALITFVSAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVIADGTITLSGYLSESRLLNATLLPSLFICISSIVATCFTYNSLY >Manes.04G092300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29759662:29764476:-1 gene:Manes.04G092300.v8.1 transcript:Manes.04G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSVQVPQEEEEEEEDDDDDNEEEEHQDDDDNVVGTVREIDGHNLVKKVLQQEPEILPCHASASPLSPQLSSLGTPRLCPSIKVWDPYNVLAPPPPLPPPPSYPIFSRSLSSSSSVAGFMDEDRVFTEVFLINHGECDLNWRPDLVGGRCHVAALTPNGKRQARALAVFLNSQGVRFNAVYSSPLDRARLMAVSVCQEINFAEEQIQSSDALVEMSLGHWEGCPRSEIYTPEVLSLIENFQPDFCAPSGESQRQVEFRMVQFLNRILGLPEKLISDFTLHHQIKSQGFSHDPDPPPLLSQQWDMIHRHRPAFSRKKSGKSRLQYVTTTGNHEGEDEISPKEANDQNALHDSSVRSSTSISSCIGVFTHSIPIKCLLTGLLGCCPVMLQKLCIDDSSVTVLHHSWKTGWQIKRLNDTAHLRLL >Manes.10G135000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30257526:30260236:1 gene:Manes.10G135000.v8.1 transcript:Manes.10G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSCKAGSAVAISNPTSQITSKTSQNKQEKPIKIQQFHYSDLEAATNGFSEQKLLGKGSHGCVYKAVIRGRHVAIKKPSKGLELGQEVENEIEILSKIHSPRLVNLLGFANDSKDRLLVVEFMSNGTLYDILHSNSRPPNWGRRIRMALQIAKAIDILHSQNPPIIHRDIKSANVLIDRNFNARLGDFGLALRCGIDDNYRLKSTPPAGTIGYLDPGYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVGHSPPSIVDWAIPLVKKGKLGAIYDPRIASPKDPMTRKQLALIAAKCVRSCRERRPAMKEVVCWLTALSKLVPLHSWNGFNNPCMMVETMGRPIELRNNLFGLRPEGVGEENLDVTDGKLGVQSVKDSRRVYSDLGFRSNLMELMAGTGRQSGFLGEIDGVDSTFKSANRVSSSRFGSARYGMKGRAQSTAGDDDRGLFQIRRNQPAGDGSELFSNHDYPVARSSCSAQGCNDI >Manes.03G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:983910:992832:1 gene:Manes.03G011700.v8.1 transcript:Manes.03G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETSCMIRIAVLPIGPVPPNVMRDYYSMFLRHHTIPLSAISSFYTEHQKSPFANQPWDNGSLRFKFVLGGSPPSPWEDFQSNRKILAVIGFCHCPSSPDLDSVVDQFSLACRSYASALVRLCFAFSPCDSQLEDGSKKGENLRFFPRADRQTVEIHLQTMMQDIAASLLMEFEKWVLQAESAGTILKTPLDSQASLGSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYSTALELARLTADFFWYAGALEGSVCALLIDQLGQKDSVFEDEVKYRYTSVISHYKKSFIPDNAQRVSPLSFELEATLKLARFLCRRGITKDVVELLTSAADGAKSLIDASDRLVLYVEIARLFGSLGYQRKAAFFSRQVAQLYMQQDSRLTAISAMQVLAMTTKAYRVQSRASFSNHPHSSNEIGSSHVDGGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALTNSAERLPSGTRCADPALPFVRLYSFPPYPCQMDIIKRNPAREDWWAGSAPSGPFIYTPFSKGEPNDSSKQELIWIVGEPVQVLVELANPCGFNLRVDSIYLSVRSGNFDAFPVSVDLPPNSSKLITLSGIPTSVGSVTIPGCTVHCFGVVTEHLFKDVDNLLLGAAQGLVLSDPFRCCGSPKLRNVSVPNISVVPSLPLLVSHVVGGDGTIVLYEGEIRDIWISLANAGTVPVEQAHISLSGKNQDSVVSIPYEILKSALPLKPGAEIVLPVTLKAWQHGPVDPDITSSKLASGRQLKDGSSPTLLIHYAGPLTDSGDPPTKGSSVPPGRRLVVPLHICVLQGLSFVKARLLSMEIPAHVGLNLPETVRLEANASKEAIDSKSKIDGFVKIDPFRGSWGLRFLELELSNPTDVVFEISVSVQLDNREDKLDNLSADQDVTEYSCPKTRIDRDYSARVLVPLEHFKLPILDSSFFMKDFQPDSVGRNSSFSEKNARAELNASIKSLISRIKVRWQSGRNSSGELNMKDAIQAALQTSVMDVLLPDPLTFGFRLVRNNLPQESDMPFGSSGQGSVMAHDRTPMEVLVRNNTKEIIRMSLSITCRDVAGENCIDGTKATVLWAGVLDAITMEVPPLEESKHSFALHFLVPGEYTLVAAAVIEDTNDILRTRAKTDSADEPIFCRGPPFHIRVIGTA >Manes.03G011700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:983908:992832:1 gene:Manes.03G011700.v8.1 transcript:Manes.03G011700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETSCMIRIAVLPIGPVPPNVMRDYYSMFLRHHTIPLSAISSFYTEHQKSPFANQPWDNGSLRFKFVLGGSPPSPWEDFQSNRKILAVIGFCHCPSSPDLDSVVDQFSLACRSYASALVRLCFAFSPCDSQLEDGSKKGENLRFFPRADRQTVEIHLQTMMQDIAASLLMEFEKWVLQAESAGTILKTPLDSQASLGSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYSTALELARLTADFFWYAGALEGSVCALLIDQLGQKDSVFEDEVKYRYTSVISHYKKSFIPDNAQRVSPLSFELEATLKLARFLCRRGITKDVVELLTSAADGAKSLIDASDRLVLYVEIARLFGSLGYQRKAAFFSRQVAQLYMQQDSRLTAISAMQVLAMTTKAYRVQSRASFSNHPHSSNEIGSSHVDGGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALTNSAERLPSGTRCADPALPFVRLYSFPPYPCQMDIIKRNPAREDWWAGSAPSGPFIYTPFSKGEPNDSSKQELIWIVGEPVQVLVELANPCGFNLRVDSIYLSVRSGNFDAFPVSVDLPPNSSKLITLSGIPTSVGSVTIPGCTVHCFGVVTEHLFKDVDNLLLGAAQGLVLSDPFRCCGSPKLRNVSVPNISVVPSLPLLVSHVVGGDGTIVLYEGEIRDIWISLANAGTVPVEQAHISLSGKNQDSVVSIPYEILKSALPLKPGAEIVLPVTLKAWQHGPVDPDITSSKLASGRQLKDGSSPTLLIHYAGPLTDSGDPPTKGSSVPPGRRLVVPLHICVLQGLSFVKARLLSMEIPAHVGLNLPETVRLEANASKEAIDSKSKIDGFVKIDPFRGSWGLRFLELELSNPTDVVFEISVSVQLDNREDKLDNLSADQDVTEYSCPKTRIDRDYSARVLVPLEHFKLPILDSSFFMKDFQPDSVGRNSSFSEKNARAELNASIKSLISRIKAC >Manes.03G011700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:983910:992832:1 gene:Manes.03G011700.v8.1 transcript:Manes.03G011700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETSCMIRIAVLPIGPVPPNVMRDYYSMFLRHHTIPLSAISSFYTEHQKSPFANQPWDNGSLRFKFVLGGSPPSPWEDFQSNRKILAVIGFCHCPSSPDLDSVVDQFSLACRSYASALVRLCFAFSPCDSQLEDGSKKGENLRFFPRADRQTVEIHLQTMMQDIAASLLMEFEKWVLQAESAGTILKTPLDSQASLGSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYSTALELARLTADFFWYAGALEGSVCALLIDQLGQKDSVFEDEVKYRYTSVISHYKKSFIPDNAQRVSPLSFELEATLKLARFLCRRGITKDVVELLTSAADGAKSLIDASDRLVLYVEIARLFGSLGYQRKAAFFSRQVAQLYMQQDSRLTAISAMQVLAMTTKAYRVQSRASFSNHPHSSNEIGSSHVDGGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALTNSAERLPSGTRCADPALPFVRLYSFPPYPCQMDIIKRNPAREDWWAGSAPSGPFIYTPFSKGEPNDSSKQELIWIVGEPVQVLVELANPCGFNLRVDSIYLSVRSGNFDAFPVSVDLPPNSSKLITLSGIPTSVGSVTIPGCTVHCFGVVTEHLFKDVDNLLLGAAQGLVLSDPFRCCGSPKLRNVSVPNISVVPSLPLLVSHVVGGDGTIVLYEGEIRDIWISLANAGTVPVEQAHISLSGKNQDSVVSIPYEILKSALPLKPGAEIVLPVTLKAWQHGPVDPDITSSKLASGRQLKDGSSPTLLIHYAGPLTDSGDPPTKGSSVPPGRRLVVPLHICVLQGLSFVKARLLSMEIPAHVGLNLPETVRLEANASKEAIDSKSKIDGFVKIDPFRGSWGLRFLELELSNPTDVVFEISVSVQLDNREDKLDNLSADQDVTEYSCPKTRIDRDYSARVLVPLEHFKLPILDSSFFMKDFQPDSVGRNSSFSEKNARAELNASIKSLISRIKVRWQSGRNSSGELNMKDAIQAALQTSVMDVLLPDPLTFGFRLVRNNLPQESDMPFGSSGQGSVMAHDRTPMEVLVRNNTKEIIRMSLSITCRDVAGENCIDGTKATVLWAGNFLFSCFYEQGYKTIRFCMFIQLKLLTRA >Manes.12G029700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2586935:2589305:-1 gene:Manes.12G029700.v8.1 transcript:Manes.12G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGRHIDGEPDSESSLLLSITAASSSAISENAPKDTFHLAYIIYFTLGVGYLLPWNAFITAVDYFSYIYPGVSVDRIFAVVYMLVGLCGLVVIVFYAHVSDAYVRINVGLALFVVSLLVVPVMDAVYIKGRVGLNDGFDVTVAAVALSGVADALVQGGLVGAAGELPERYMQAVVAGTAGSGVLVSFLRIITKAVYPQDEHGLRKSANLYFAVGIVVMVICIVFYNVAHRLPVIKYYTDMKIQAVNEEKKEKGSLTGGEWRSTLLEIVGSVKWYGVGIMLIYVVTLSIFPGYITEDVHSETLKDWYGILLITGYNVFDLVGKSLTAVYMMENAKLAIGGCFARLLFFPLFLGCLHGPKFFRTEIPVTILTCLLGLTNGYLTSVLMILAPKVVPLQHAETAGIVIVLFLVGGLAAGSLVAWFWVI >Manes.08G137700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37419801:37420978:1 gene:Manes.08G137700.v8.1 transcript:Manes.08G137700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSSFFQYQNYSHFSPDQSSSSFSSLESFYNQSLPFNENDSEEMLLFGVLNEAPMNSFDTISSTNATHYEEVSSRGDYYQEEPIQEIAYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAALAIRGTTAVLNFPMEKVVESLQEMNYQFKEGYSPVLALKKIHSMKRKTESKKNKGKEMRNENVVVLEDLGAEYLEELLTFSETATHW >Manes.09G138000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33776588:33782672:1 gene:Manes.09G138000.v8.1 transcript:Manes.09G138000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAMFSGRHTVCEDPEKGCVFVDRDGKHFRHILNWLRDGVVPKLNDAEYSELMREAEFYQLLGLIEGINSVLNKRKEVDELDSELTRTDIIKCIQSERVRFRGVNLSGLDLSKLDLSFVDFSFACLKNVFFSRANLQCAKFRDVDAEGSIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLVDCSFCGADLRSAHLQTADLANANLEGANLEGANLKGAKLNNANLKGANLQRAYLRQVNLQNTHLENTRLDGANLLGAIR >Manes.09G138000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33776588:33782672:1 gene:Manes.09G138000.v8.1 transcript:Manes.09G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINTRISEETPLNPHTSLSQIHCNPMTNESNFSSVVRLNIGGKKFCTTVDTLTQREPDSMLAAMFSGRHTVCEDPEKGCVFVDRDGKHFRHILNWLRDGVVPKLNDAEYSELMREAEFYQLLGLIEGINSVLNKRKEVDELDSELTRTDIIKCIQSERVRFRGVNLSGLDLSKLDLSFVDFSFACLKNVFFSRANLQCAKFRDVDAEGSIFHNATLRECEFTGANLRGALLAGANLQSANLQDACLVDCSFCGADLRSAHLQTADLANANLEGANLEGANLKGAKLNNANLKGANLQRAYLRQVNLQNTHLENTRLDGANLLGAIR >Manes.06G033450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9069378:9069848:1 gene:Manes.06G033450.v8.1 transcript:Manes.06G033450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSFIFLLSYFLLSIIFFHFLFNFLSFLIFLLFLNFCFIFFIFSHIFFFPFLLFSFIFFHFFNFLFHFLSFFLFTHSFLYLHFLFIFLHFFFIFLSFSFLFFSFFSFYLIFPFSLFSLHFYHYFLLFLFFSYFFFCISFFSFSHTF >Manes.12G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4119012:4123182:-1 gene:Manes.12G046200.v8.1 transcript:Manes.12G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNERGNGEQWDWQGEEHCLQKDSNYADESQCLWNEVALNEEDLSYMLDETTPIKACGDLAYHVNHSDTMQKEPEEYKETSSQLKRRRMLQFDTQVIDSPFCNEEMSSVFLSNERECSLDEVLPQASNWATGFSDVSASSYEVLDQSSEGWLAECLNDAEMQFSPNDMNYAGASDLQIDISEFCNDALGNGASVVQKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKSKQNDDDPTSYPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.12G046200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4119012:4123182:-1 gene:Manes.12G046200.v8.1 transcript:Manes.12G046200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNERGNGEQWDWQGEEHCLQKDSNYDESQCLWNEVALNEEDLSYMLDETTPIKACGDLAYHVNHSDTMQKEPEEYKETSSQLKRRRMLQFDTQVIDSPFCNEEMSSVFLSNERECSLDEVLPQASNWATGFSDVSASSYEVLDQSSEGWLAECLNDAEMQFSPNDMNYAGASDLQIDISEFCNDALGNGASVVQKHVARTPQNVVFKGKKSFIRTPTKLASSVVYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKSKQNDDDPTSYPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Manes.02G054200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4318610:4320219:-1 gene:Manes.02G054200.v8.1 transcript:Manes.02G054200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSKNSKISLKKTPRRSLRRTSISRRRRLYARRPCKPKRSWRTTTTTTTKVSAKLETLKNLIPAHNGEIIKAEHLFQETADYIVLLKTQVFVLQRLVEFYGSTTTEAETNAVS >Manes.11G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27818103:27823720:1 gene:Manes.11G119500.v8.1 transcript:Manes.11G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSLLAQCLPGLVPQDRASLGITNVSDRDVRLPSPAVEILPSKMAHPYKYAGENVELQGLNVFKGRVSVVDIIGFTGSESLSSKPDGSVKSWDSSVDLVNVLKLEICDGQLSFRGKRVLELGCSYGLPGIFACLKGASAVHFQDLNAETLRCITIPNVLANLEQARDRQSRQPEGPLTPSRYPLTPSVHFYAGDWEELPTVLSVVRNDAFEVTAGMSLSFSEEDFLDGCSSQDGSIVGQENSSRRSRKLSGSRAWERASEIDRGEGGYDVILMTDIPYSVTSLKKLYALIKKCLRPPYGVLYMATKRNYVGLNNGARQLKSLVDEEGILGAHLLKEITERDVWKFFLK >Manes.15G026600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2104640:2110752:1 gene:Manes.15G026600.v8.1 transcript:Manes.15G026600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSFLFFIILSLLSLSFSQFPPPRGTLINCGTTAPSVIAGRQWLPDAGFISVGTPKNLTIPVLSPILSTVRSFSMKNNAHRKFCYVVPVYRGAKYMIRTTYFYGGINGNAAPPVFDQIVDGTLWSGVNTTEDYSNGMSSYYEGIFLAQGKTMSLCIGVNSYTDSDPFISALEFVVLGGSLYNSTDFKRYGLSLVARHSFGYNGSIIRYPDDRFDRFWEPYGDKDLTVSENINVSVSGIWNLPPSKVFETKLTSQSGPLALRWPLAPLQNSLYYIALYFADDRKLSVGSARGFNVSINGVTYYKNLSVAQAGTAVFATQWPLGGLTSVTLTPSAASSFAPLINAGEILGVMVIGGRTLTRDVIALEKLKSSLENPPFDWSGDPCMPRQYSWTGITCSEGPRIRVVTLNLTSMGISGSVSPSIARLTALTDIWLGNNSFSGSIPDLSSLTMLQTLHLENNRFTGKIPFSIGSIKNLHELFLQNNYLTGEIPNNLTGKPGLDLRTSPGNQLSSPAPS >Manes.10G075800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:14143157:14157853:-1 gene:Manes.10G075800.v8.1 transcript:Manes.10G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEWVVVPRPPEKNWWKPSLMDDDDDDGDSSSKPLKITFAGPAKYWTDAIPIGNGRIGAMVWGGVAAETLQLNDDTLWTGTPGNYTNPNAPEALSEVRKLVDNGQYSEATTAAVKLSGDPSDVYQLLGDIKLEFDDSHLKYDEKTYRRELDLDTATARVKYSVGDVEYTREHFASNPNQVIVTKISGSKSGSVSFTVSLDSKMHHHSYVKGENQIVIEGSCPGKRIPPKLNANHNPEGIQFTAILNLQISEGKGMIHVLDGKKLKVEGSDWAALLLVASSSFDGPFTEPRESKKDPTSDSLNALNLVRNLSYADLYAHHLDDYQNLFHRVSLKLSKSSKSNSRNGLLDMKKLMAFKFDSFFKGNEDQTISTAERVKSFKTDEDPSLVELLFQYGRYLLISSSRPGTQVANLQGIWNKDIEPPWDGAQHLNINLQMNYWPALPCNLLECQEPLFDYTSSLSINGSKTAKDNYEASGWVVHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHYTYTMDKVFLKNKAYPLLEGCTRFLLDWLLEGPGGYLETNPSTSPEHMFVAPDGKPASVSYSTTMDMSIIKEVFSAIVSAAEVLGRHEDELVQKVREAQLRLLPTKIARDGSIMEWAQDFEDPDVHHRHVSHLFGLFPGHTITVEKTPDLCKAADYTLYKRGEEGPGWSTVWKTALWARLHNSEHAYRMVKHLFDLVDPENESKYEGGLYSNLFTAHPPFQIDANFGFSAAIAEMLVQSTVKDLYLLPALPRDKWVNGCVKGLKARGGVTVNICWKEGDLHQLGLWSKEHNSLKQLHYRGTTVNAHISSGRVYTFNRQLRCIKTYNLSEAASC >Manes.04G089800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29513073:29516321:-1 gene:Manes.04G089800.v8.1 transcript:Manes.04G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKAVHVSDVPNLDQVPENASLALYSTRFSKAVEMNRVPYKIPKFLVVGHRGNGMNILTSADQRMKAIKENSIMSFNYAAKFPIDFIEFDVQVTKDDCPVIFHDNFIISEDNGVIFEKRVTELCLSEFLCYGPQKEAGKIGKSLLRKTKDGKIVNWNVEKDDSLCTLKDAFQQVEPSLGFNIELKFDDHIVYQEDYLIHVLQAILKVVFGYAQDRPIIFSSFQPDAALLVRKLQSNYPVFFLTNGGTDIFYDVRRNSLEEAIKVCLEGGLQGIVSEVKGIFRNPGAVTKIKDANLSLLTYGKLNNVGEAVHMQQLMGVEGVIVDLVKEISDVVSDMIKPSKGDESNPQFSEKELSFLLKLIPQLIQE >Manes.01G262700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41779102:41782504:-1 gene:Manes.01G262700.v8.1 transcript:Manes.01G262700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGKFWDLLKPYARPEGPDFLRDKRVAVDLSYWIVQHETAIKSYVRKPHLRLTFFRTINLFSKFGAFPVFVVDGTPSPLKSRARIARFFRSCGIDVSALPVPEEGVSVERNRAFLKSVEECVELLELFGMPVLKANGEAEALCAQLNCDGLVDACITADSDAFLFGAKCVIKCFRPNTKEPFECYQMSDIEAGLGLKRKHLIAISLLVGNDNDLNGVQGIGVDTALRFVQTFSEEEILDGLCEIGKGNNHILQGVSRLVKNVRLNPYENPANSKTSHCSFCGHPGSKRAHCKSTCEYCGTSIGEGCKKRPEGFMCNCICCDKVRKEKKQQKHENWQVKVCNKIAMEPNFPNDSIIEMYLCSNHGKFTEDNGPCLSWGNPNTDMLVDFLVFHRLWSPAYIRQRMLPMLSTIYLREKALKLEKPMLCGQYEFDSIQRVKVRYGQQSFVIKWKKAAHMVSSNVHMNTVEELDKQEEEIVENDETMSIDQLEECNVPHSYADDGCWFILTDENKDLVRGAFPGAVDRFLQEKELSESKRRKCSSLRSEGCNGKSEPEKSRAVQLNITEFYRSTKVQFHATGEDDLANNSENQDSEGSKLKRKVLSSNYPKSVRRCLLFK >Manes.08G077701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:20888538:20890467:1 gene:Manes.08G077701.v8.1 transcript:Manes.08G077701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIPAYLDPANWQQQPSYHHHQPGATSGLNSNQLPPPPPPPPPPPPPQPHGSGGAGSIRPGSMADRARLANIPMPEAALKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGRSSKSPASSDRPTGSGSSSTVSSNSGTSDILGLGPQLPPLRFMAPLHHLTEFATGDIGLNYGSLSAPVGGPSDLNFQIGSALASAGIGGSSSSLLSVGGLDQWRTQQGQQFPFLGGLDSSSSSGLYPFEGGAEPPGYSSGLGQLRPKISTSGATQLASLKLEDNNTNNNNQELNLSRQFLGIPGNDQYWGGTAWTDLSSFSSSSTSNPL >Manes.04G095400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30110165:30114161:-1 gene:Manes.04G095400.v8.1 transcript:Manes.04G095400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSVLSSSPRIAPPCSSSPTKITSAAPLLLTSRSRSLSLSFPLRATVPAYDLNRIYWNLCFFELPADQSWFLSGKLFFPFLIWEFVVAALSPDLKNTLDKVVTSQKVVLFMKGTKDFPQCGFSNTVVQILNSLDVPYETINILENEVLRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQELLERAMCS >Manes.04G095400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30110051:30114175:-1 gene:Manes.04G095400.v8.1 transcript:Manes.04G095400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSVLSSSPRIAPPCSSSPTKITSAAPLLLTSRSRSLSLSFPLRATVPGNLAFRVSSAPSRISTIRCALSPDLKNTLDKVVTSQKVVLFMKGTKDFPQCGFSNTVVQILNSLDVPYETINILENEVLRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQELLERAMCS >Manes.04G095400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30113059:30114050:-1 gene:Manes.04G095400.v8.1 transcript:Manes.04G095400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSVLSSSPRIAPPCSSSPTKITSAAPLLLTSRSRSLSLSFPLRATVPGNLAFRVSSAPSRISTIRCALSPDLKNTLDKVVTSQKVVLFMKGTKDFPQCGFSNTVVQILNSLDVPYETINILENEVLRQGLKEYSSWPTFPQLYIDGEFFGGCDITVGKFSFILRFQSCWKMLLPFGMLFVSFVPVWF >Manes.03G166400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29225358:29227274:-1 gene:Manes.03G166400.v8.1 transcript:Manes.03G166400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQILNQIQRTMAISSCRTSELRAFDDTKAGVKGLVDAGITKIPHFFHQPQDASDSTTTPLAVDAKFSFPVIDLQGLHNDSFRRKQVVDQVQNASETWGFFQVLNHGIPLSVLQEMKDGIRRFYEQDIELKKKFYTRDYSKKAVYNSNFDLYTAPAANWRDTLFLLMAPDPPKEEHLPAVCRDILKEYCKEMMKLGSLLLELLSEALGLNPNHLKDMNCGEGLALLCHYYPACPQPELTLGTSKHTDNDFLTVLLQDHIGGLQVLHQNQWIDVPPSPDALVVNIGDLLQLISNDKFISVEHRVLANHGGPRISVASFFSTSVMPNSRVYGPIKELLSEDNPPKYRETTVREYVVYSNGRGLDGISPLLQFRL >Manes.03G166400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29225357:29227274:-1 gene:Manes.03G166400.v8.1 transcript:Manes.03G166400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQILNQIQRTMAISSCRTSELRAFDDTKAGVKGLVDAGITKIPHFFHQPQDASDSTTTPLAVDAKFSFPVIDLQGLHNDSFRRKQVVDQVQNASETWGFFQVLNHGIPLSVLQEMKDGIRRFYEQDIELKKKFYTRDYSKKAVYNSNFDLYTAPAANWRDTLFLLMAPDPPKEEHLPAVCRDILKEYCKEMMKLGSLLLELLSEALGLNPNHLKDMNCGEGLALLCHYYPACPQPELTLGTSKHTDNDFLTVLLQDHIGGLQVLHQNQWIDVPPSPDALVVNIGDLLQGVGKPWWTKNISGELF >Manes.15G053400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4113475:4116629:1 gene:Manes.15G053400.v8.1 transcript:Manes.15G053400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVKRSSSGHSNFKSINSIILRFPRFKEGLKNIKGVFEQYDEDANGAIDREELKRCLQKLQLNLKEQEVEDLFSSCDIDGSQGIQFNEFIVLLCLIYLLMERPSSPHNTSKMGSPELEATFDTLVEAFLFLDKNGDGKLNKKDVLKALDEESPWEKSPAHISKSRFREMDWDRNGKVSFREFLFALINWVGIDADEEIPIMES >Manes.15G053400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4113475:4116629:1 gene:Manes.15G053400.v8.1 transcript:Manes.15G053400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVKRSSSGHSNFKSINSIILRFPRFKEGLKNIKGVFEQYDEDANGAIDREELKRCLQKLQLNLKEQEVEDLFSSCDIDGSQGIQFNEFIVLLCLIYLLMERPSSPHNTSKMGSPELEATFDTLVEAFLFLDKNGDGKLNKKDVLKALDEESPWEKSPAHISKSRFREMDWDRNGKVSFREFLFALINWVGIDADEEIPIMES >Manes.15G053400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4113475:4116629:1 gene:Manes.15G053400.v8.1 transcript:Manes.15G053400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVKRSSSGHSNFKSINSIILRFPRFKEGLKNIKGVFEQYDEDANGAIDREELKRCLQKLQLNLKEQEVEDLFSSCDIDGSQGIQFNEFIVLLCLIYLLMERPSSPHNTSKMGSPELEATFDTLVEAFLFLDKNGDGKLNKKDVLKALDEESPWEKSPAHISKSRFREMDWDRNGKVSFREFLFALINWVGIDADEEIPIMES >Manes.15G053400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4113475:4116629:1 gene:Manes.15G053400.v8.1 transcript:Manes.15G053400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSLGTSHSCPSLKSLSSNIRSLFSHCGSQNKYKRLDAKLEKKMIEVKRSSSGHSNFKSINSIILRFPRFKEGLKNIKGVFEQYDEDANGAIDREELKRCLQKLQLNLKEQEVEDLFSSCDIDGSQGIQFNEFIVLLCLIYLLMERPSSPHNTSKMGSPELEATFDTLVEAFLFLDKNGDGKLNKKDVLKALDEESPWEKSPAHISKSRFREMDWDRNGKVSFREFLFALINWVGIDADEEIPIMES >Manes.15G053400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4113475:4116629:1 gene:Manes.15G053400.v8.1 transcript:Manes.15G053400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSLGTSHSCPSLKSLSSNIRSLFSHCGSQNKYKRLDAKLEKKMIEVKRSSSGHSNFKSINSIILRFPRFKEGLKNIKGVFEQYDEDANGAIDREELKRCLQKLQLNLKEQEVEDLFSSCDIDGSQGIQFNEFIVLLCLIYLLMERPSSPHNTSKMGSPELEATFDTLVEAFLFLDKNGDGKLNKKDVLKALDEESPWEKSPAHISKSRFSIKSFLHAIILHLIV >Manes.03G184800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30562623:30566532:-1 gene:Manes.03G184800.v8.1 transcript:Manes.03G184800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGVSSVPSTNPSWLLRSNPLVQWRFRVLTALVFVGMVGVWSIDGFSVKNVIESWRFKREFLGRRFNVQLVKPTNLTREIHQNFINNLNTTIFLLPQQNTTHTPTTSSSSPPSYSILNNDDNNVSAQNYSDGFLEKRTERESEEAKLKWVPTDLNPNFTSEDSSVSAQSSSEEFLGKPKVYESEANVKWVSTELDPRLTSKLLSRWFARGGEPCRNLRTVDIVIPGLDGHDSIELSAGNTHEFIFQAVDESKNPRCLGGDYFETDLSGVAWKSRPLIKDFGNGSYSISLQVHPEFAGDYHLTVILLFRHFEGLRFSSKRFVYDKELRKVQIRFIKAHYRLPQLQICEKSDFTRDLWVGRWTRLGKNDDCEISNDGRYRCLEPYFPCQSPWCDGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFLLDLPEIKSVPRRFDLIFSNPKDASQSVRITSIFNGHWNETQNYQGFNSLKDEGYRNLLKKYFSEDTVPDAIIMNSGLHDGVFWPTIRKFSGGVDYAVSFWKEVIDSVKQRGSIVPQIIYRTTIATGGYARALAFNPNKMEAFNWVVLDKFRRAQLLSGVIDNFDMTFAWHFDNRCNDGVHYGRAPAKMKWKDGEIGHQYFVDLMLAHVLLNALCAR >Manes.03G184800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30562623:30566527:-1 gene:Manes.03G184800.v8.1 transcript:Manes.03G184800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKGVSSVPSTNPSWLLRSNPLVQWRFRVLTALVFVGMVGVWSIDGFSVKNVIESWRFKREFLGRRFNVQLVKPTNLTREIHQNFINNLNTTIFLLPQQNTTHTPTTSSSSPPSYSILNNDDNNVSAQNYSDGFLEKRTERESEEAKLKWVPTDLNPNFTSEDSSVSAQSSSEEFLGKPKVYESEANVKWVSTELDPRLTSKLLSRWFARGGEPCRNLRTVDIVIPGLDGHDSIELSAGNTHEFIFQAVDESKNPRCLGGDYFETDLSGVAWKSRPLIKDFGNGSYSISLQVHPEFAGDYHLTVILLFRHFEGLRFSSKRFVYDKELRKVQIRFIKAHYRLPQLQICEKSDFTRDLWVGRWTRLGKNDDCEISNDGRYRCLEPYFPCQSPWCDGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFLLDLPEIKSVPRRFDLIFSNPKDASQSVRITSIFNGHWNETQNYQGFNSLKDEGYRNLLKKYFSEDTVPDAIIMNSGLHDGVFWPTIRKFSGGVDYAVSFWKEVIDSVKQRGSIVPQIIYRTTIATGGYARALAFNPNKMEAFNWVVLDKFRRAQLLSGVIDNFDMTFAWHFDNRCNDGVHYGRAPAKMKWKDGEIGHQYFVDLMLAHVLLNALCAR >Manes.03G184800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30562623:30566526:-1 gene:Manes.03G184800.v8.1 transcript:Manes.03G184800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVFLFSPEKIEACASFKTFLDLLVVNDICFRVSIELRYYFSVQFAEGFSFLMFASGGAGMADKGVSSVPSTNPSWLLRSNPLVQWRFRVLTALVFVGMVGVWSIDGFSVKNVIESWRFKREFLGRRFNVQLVKPTNLTREIHQNFINNLNTTIFLLPQQNTTHTPTTSSSSPPSYSILNNDDNNVSAQNYSDGFLEKRTERESEEAKLKWVPTDLNPNFTSEDSSVSAQSSSEEFLGKPKVYESEANVKWVSTELDPRLTSKLLSRWFARGGEPCRNLRTVDIVIPGLDGHDSIELSAGNTHEFIFQAVDESKNPRCLGGDYFETDLSGVAWKSRPLIKDFGNGSYSISLQVHPEFAGDYHLTVILLFRHFEGLRFSSKRFVYDKELRKVQIRFIKAHYRLPQLQICEKSDFTRDLWVGRWTRLGKNDDCEISNDGRYRCLEPYFPCQSPWCDGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFLLDLPEIKSVPRRFDLIFSNPKDASQSVRITSIFNGHWNETQNYQGFNSLKDEGYRNLLKKYFSEDTVPDAIIMNSGLHDGVFWPTIRKFSGGVDYAVSFWKEVIDSVKQRGSIVPQIIYRTTIATGGYARALAFNPNKMEAFNWVVLDKFRRAQLLSGVIDNFDMTFAWHFDNRCNDGVHYGRAPAKMKWKDGEIGHQYFVDLMLAHVLLNALCAR >Manes.04G034500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4450255:4457796:1 gene:Manes.04G034500.v8.1 transcript:Manes.04G034500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNPTHPPLLEPARESLSGLSSPEVFRTRFGRMASSQLPDIVEESGGVSVSVNGGSCGGDADKVYVAVGKSVKKTLGLLHWSFKRFGSREICILHVHQPSPLIPTLLGKLPASQANAEVVSAHRRQEREQTKKLLEIYLAICHRAKVEASIITTDCDYVQNGIVQLLNRHGARMLVMGTGPGNCMKVKKGSIKENYVAKSAPLYCAIWFINKRKHVWMREASERSTFLPSYDHARGGSMETLSSKSLQYSKNILPLQPEYLCSSSSTGITCAQISHCAQSESTCAEVPMLHTRSRSTDTHFLHSVHSSFSPRSSSSGTSTERSVTSDSDSKVEEVSLYCQLEELRIEAEASRNEAFEEMLKRKKLEFQTLEAISKVKIFDSAYANEVKLRKEAENALRNAVEEQEKLLEAKEEVTKQLQQAMRSVAFLDSHVQEANYRRDGAAGELELIQTSIATLRQEKQTIRWQKMEAARWLERWKNRGQAGAPKCNGLLGFVEELPELAEFSESDLQTATCNFSESFKLGQGGYGYVYKGEMLGRTVAIKKLHSNNMQGQSEFQKEVQVLGRLQHPHLVTLLGACPEASSLVYEYMPNGSLHDSLFRRSNISPLTWKVRVRIIAEISSALCFLHSSKPEKIVHGDLKPQNILLDSELSCKICEFGICRLVTDDTLSCPSFRRGAEPKGAFPYTDPEFQRVGVLTTKSDIYSFGVIILQLLTGRPPVGLVGEVRRTMSCGKLASILDPSAGEWPTFVSKRLGDLGLHFCELSSRERPELTPALVRELEQLHITEERPVPSFFLCPILQEIMHDPQVAADGFTYEGEALRGWLENGRETSPMTNLKLSHLHLTPNHALRLAIQDWLCKF >Manes.02G008000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:938293:938859:1 gene:Manes.02G008000.v8.1 transcript:Manes.02G008000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSVKGVTEDCPNDIRIFTDSDRIIGLKGPKLAKDVLDGYPGYGIFRQGNASSPLSSYEYLIGGQFYYLLPLQEMPMPVGVSRKQRTRFLDGFESPKISYAAPLVDLEGAEENGPAVKVLPSQGDGVWKVKLVINPKQLEEILSEQGNTEALIEKMRMAASSATLEPRRSKSSWGLAWKPCLSSVF >Manes.15G081000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6221900:6227424:-1 gene:Manes.15G081000.v8.1 transcript:Manes.15G081000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAILFCSFLLSTIRILTAQDTLTPAQSMRDGETLVSADGNFELGFFSPDNSSSRRYLGIWYRKIPIRTVVWVASRETPFTNTSGVLKVNEQGLLILQNSTNSIVWSSNTSSTPQNPVAQLLDSGNLVVKDGLGRNGEYILWQSFDFPYDTLLPGMKLGWNLDMGLDMFLQSWKSIDDPAKGDFKCLIDLRGYPQLFIMKGNIIQCRSGPWNGLQFTGSPQLKPNPIFNFIFVSNRHEIYYSYELKNSSVVSRLVMSDKGVLERHIWVDRTQSWTLFFGVPTDQCDTYALCGQYASCNINNYPVCACLEGFVPKSPTSWSASDWSDGCVRRTQLKCHSGDGFRKHVEMKLPDTSSSWVDKSLGLKECEEMCLRNCSCMAYANSDIRGSGCLLWFDDLIDMREFTEGGQDLYIRMAASELAPTKEKKNSNEKRQVAIVVSCLIVVGGSLALILLLCIRRRKRLQQGTVKQTDPEDYVDFGAEEDIELPSIDLTTIKNATDNFSSNNKLGEGGFGPVYKGILLDGQEIAVKRLSETSGQGGKEFKNEVILIAKLQHRNLVKLLGCCIQGDERMLIYEYMPNKSLDSFIFDQTRSKLLDWHVRFHIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDSDMNPKISDFGLARTFGKDQIAANTKRVVGTYGYMSPEYVVDGLFSVKSDVFSFGVLVLEIISGKRNRGFCHSDHGLNLLGHAWRLWMEERAIELLDPLLRCSCSVPQVLRCIQVGLLCVQRLPVDRPDMSAVVVMLGSESSLPPPRQPGFYNERNPFEADSSSSKEGFWSRNEITTSIEAR >Manes.03G053732.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5212785:5213147:-1 gene:Manes.03G053732.v8.1 transcript:Manes.03G053732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLFVGKTRCFFLFTVLKISYVLDPNLPAPTPQNTDQVKAERTKHRLYDLFTSESSPKEIWKALEYKYNTEKQGVDKFLIMKYFEFLMVENVSIMDQVHEL >Manes.04G062000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:22022593:22032717:1 gene:Manes.04G062000.v8.1 transcript:Manes.04G062000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSSANTTDEDLLLKSFFAEVSEVERDNEVGRILSCFKLNPFEYLNLPFKASPEDVKKQYRKLSLLVHPDKCKHPQAKEAFAALAKAQQLLLDQQERDYILSQVTAAKEELLAKRRKQLKKDTASKIKSLVDEGKYDQQYEQSEEFQQELKLKVREILTEQEWRRRKMQMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVSSWRDFMKTGKKGKKGEIRPPKLKTEDPNKSYVQRPVKRG >Manes.04G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30780921:30784436:-1 gene:Manes.04G103200.v8.1 transcript:Manes.04G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHTSSLSGHGQTVCVTGAGGFIASWIVKLLLQRGYTVKGTMRNPDDPKNSHLRELEGAKERLSLCRADLLDYESLREAITGCDGVFHTASPLTNDPEQMLEPAVNGTKNVMIAAAEAKVRRVVFTSTIGTVYMNPNRNPDDVVDESCWSDLDFCKNTQNWYCYAKTVAEQAAWELAKEKGVDLVVLNPVVVLGPLLQSTINASSIHILKYLTGSAKTYVNAVQGYVHVKNVAHAHILIYETPSASGRYICGESVLHRGEVVEMLAKFFPEYPIPTRCSDEKNPRAKPHKFSSKKLKDLGLELIPVKQCLYETVKSLQEKGHLPIHKHPQNSFTI >Manes.18G105682.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10317237:10318510:1 gene:Manes.18G105682.v8.1 transcript:Manes.18G105682.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMYSYSEIRKMTRGFKDKLGEGGYGSVYKGKLASGRIVAVKLLGKSKSKGQDFINEVGTIGRIHHINVVQLVGFCAERSKRALVYEFMCNGSLEKYIFSRQQDVTLSYEKIYEISLDIARGIEYFHRGCEMQILHFDIKPHNILLDENFTAKVSDFGLAKLYPPGHSSVSLQNPRGTKGYMAPELFYGNIGPISYKADVYSFGMLLMEMAGRRKNSNDAADHPSQIYFPSWVYDQLSDGKEIEIEYATEEEKKLIKKMMIVSLWSIQMKPGERPSMNKIVEMLEGEVESLQMPRKHLLPPPKLS >Manes.15G073900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5563438:5564515:1 gene:Manes.15G073900.v8.1 transcript:Manes.15G073900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLCTTHLPFTSEPKKSQPFLAKFSSQFLGIQNTGGWVRPCRIGPSNGSRAKCWFKFGKNGVDAEGAGIYGSQSRDDFDRDDVEQYFNYMGMLAVEGSYDKMEALLSQNIHPVDILLMLAASEGDKPKIEELLRAGANYTVKDADGRTALDRANEEIREFILEFSVQKA >Manes.01G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32437448:32438536:-1 gene:Manes.01G131300.v8.1 transcript:Manes.01G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFLHHHALSTPNRLSSLSQQRQVPSTTKPTTHFVCRATHKQTPMEEDAAVVSRRLAFTVLIGAAAIGSKVAPADAAYGEAANVFGKPKTNTDFLPYNGDGFKLSIPSKWNPSKEKEFPGQVLRYEDNFDSNSNVSVMVTTTDKNSITDYGSPEEFLAKVDYLLGKQAYFGKTDSEGGFDPNAVATANILEAANSTINGKNYYFLSVLTRTADGDEGGKHQLITAAVKDGKLYICKAQAGDKRWFKGARRFVESAASSFSVA >Manes.14G049801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4316555:4316818:1 gene:Manes.14G049801.v8.1 transcript:Manes.14G049801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKHMLVMSLYQAAKPSSSTQYVKPYLSSSVASAGVYDGVQHNPSKDKNSYSYSGIGYNSYGYDERIDEKAANYISSVRERFRVHD >Manes.05G075900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6196153:6203982:1 gene:Manes.05G075900.v8.1 transcript:Manes.05G075900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIMKRYREIETCIECSAVTLTLVPDVFYYAQKAVLHPATPLFDQENQTLRPRCERALRRIFLLCDRDMDGALNDTELNDFQVKCFNAPLQPAEIVGVRRVVQEKKKEGVNDLGLTLEGFLFLHTLFIEKGRLETTWSVLRKFGYDDELKIRDDLLPVSSKHAPDQSIEMTIEAVEFLRGIFRLFDINNHGALRPADLDELFSTAPENPWCEAPYRDAAERTTQRNLTLKGFLAEWDLMTLLNPKGSLANLIYVGYGGNPASALRVTRRRTVDRKKQQTERNVFHCLVFGPKDAGKSALLSSFLERPFSAVHNPSRAQCYAANVVDQHGGNKKTLVLQEIPEDGAMKVLSHRESLAAYDVAIFVYDSSNEYSWKRSCEVLVEVARRGEESGYGVPCLLIAAKDDLDPYPMALQDSLAVCEELGIEAPIPVSVKLGETNNVFCRILSAAERPHLSIPETEAGRRRKYFRQLVNHSLLFVSVGAAFTVVGVAAFRAYNARKNSST >Manes.05G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6195733:6203982:1 gene:Manes.05G075900.v8.1 transcript:Manes.05G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPASHTRTSVRVVVAGDRVTGKSSLIAAAATESFPDNVPRVLPPTRLPSDFFPDRVPITIIDTSSALENRGKLFEELKRADVVVLTYACDQPLTLNHLSSFWLEELRRLEIKAPIVVVGCKVDLRDETQPVALELFMGPIMKRYREIETCIECSAVTLTLVPDVFYYAQKAVLHPATPLFDQENQTLRPRCERALRRIFLLCDRDMDGALNDTELNDFQVKCFNAPLQPAEIVGVRRVVQEKKKEGVNDLGLTLEGFLFLHTLFIEKGRLETTWSVLRKFGYDDELKIRDDLLPVSSKHAPDQSIEMTIEAVEFLRGIFRLFDINNHGALRPADLDELFSTAPENPWCEAPYRDAAERTTQRNLTLKGFLAEWDLMTLLNPKGSLANLIYVGYGGNPASALRVTRRRTVDRKKQQTERNVFHCLVFGPKDAGKSALLSSFLERPFSAVHNPSRAQCYAANVVDQHGGNKKTLVLQEIPEDGAMKVLSHRESLAAYDVAIFVYDSSNEYSWKRSCEVLVEVARRGEESGYGVPCLLIAAKDDLDPYPMALQDSLAVCEELGIEAPIPVSVKLGETNNVFCRILSAAERPHLSIPETEAGRRRKYFRQLVNHSLLFVSVGAAFTVVGVAAFRAYNARKNSST >Manes.13G068154.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9295402:9295963:1 gene:Manes.13G068154.v8.1 transcript:Manes.13G068154.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHFSGKTLVSFNASQFPLKLTPQNCPTWHAQLVPVFRGHNLMGYIDRTPPCPSPVPQKDEKDISNSDYEFWICQDQLILAAIIASTIFSAMHLVSFASSSVDALHDIKIMTEDLAFCGSPVSDIDLVIYVLHGVCGY >Manes.11G094300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19504250:19509258:-1 gene:Manes.11G094300.v8.1 transcript:Manes.11G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAARHGFFVMAIFSLLVSSAIADGARSIQVTYDARSLIIDGNRELLFSGSIHYPRSTPEMWPELIAKAKRGGLNLIQTYVFWNIHEPVKGQYDFEGRCDVVKFFKMIGEQGMYATLRLGPFIQAEWNHGGLPYWLREIPNIIFRSYNEPYMIHMEKFIRTIVEKIREAKLFAPQGGPIILAQIENEYNTVQLAYRELGDKYVQWAGNFALGLKVGVPWVMCKQRDAPGEVINSCNGRHCGDTFPGPNRPNKPYIWTENWTAQFRVFGDPPSQRAAEDIAFSVARWFSKNGSLVNYYMFHGGTNLDRTAASFVTTRYYDEAPLDEFGLERQPKYGHLKDLHRALRLSKKALLWGTPGVETMADDLEARFYEQPGTKLCAAFLVNNDTKQDQNIKFRGREFYLPPRSISILPDCKTVVYNTMTVVAQHNSRNFKKSQTANKKLKWETISEAIPIQLKVTAKIPNELYFLTKDATDYSWYTTSMVLYPRDLSVRRDILPVIRVASLGHAMVAFINGEYIGSAHGSQIEKSFVLQKPVELKPGVNNITLLGSLVGLPDSGAYMEHRYAGPRGVTILGLNTGTLDLTANGWGHEVGMVGEKGQWFNEEGSKKVTWKEVPQGEGPPVTWYKAYFDVPEGDDPVAVRMTGMKKGTIWINGKSIGRYWMTYVSPLGIPTQSEYHIPRSYMKPKDNLIVILEEEPADPGKIEILLVNRDTICSFITEHHPPNVKSWARKDDTFKTVVDDLRPSAHLRCPDGKKIIAVEFASFGDPYGACGGYVLGNCTSPLSMLVVEQNCAGKNECNIPMERSLFDKDHDACPDIKKTLAIQVKCG >Manes.11G094300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:19504250:19509258:-1 gene:Manes.11G094300.v8.1 transcript:Manes.11G094300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAARHGFFVMAIFSLLVSSAIADGARSIQVTYDARSLIIDGNRELLFSGSIHYPRSTPEMWPELIAKAKRGGLNLIQTYVFWNIHEPVKGQYDFEGRCDVVKFFKMIGEQGMYATLRLGPFIQAEWNHGGLPYWLREIPNIIFRSYNEPYMIHMEKFIRTIVEKIREAKLFAPQGGPIILAQIENEYNTVQLAYRELGDKYVQWAGNFALGLKVGVPWVMCKQRDAPGEVINSCNGRHCGDTFPGPNRPNKPYIWTENWTAQFRVFGDPPSQRAAEDIAFSVARWFSKNGSLVNYYMFHGGTNLDRTAASFVTTRYYDEAPLDEFGLERQPKYGHLKDLHRALRLSKKALLWGTPGVETMADDLEARFYEQPGTKLCAAFLVNNDTKQDQNIKFRGREFYLPPRSISILPDCKTVVYNTMTVVAQHNSRNFKKSQTANKKLKWETISEAIPIQLKVTAKIPNELYFLTKDATDYSWYTTSMVLYPRDLSVRRDILPVIRVASLGHAMVAFINGEYIGSAHGSQIEKSFVLQKPVELKPGVNNITLLGSLVGLPDSGAYMEHRYAGPRGVTILGLNTGTLDLTANGWGHEVGMVGEKGQWFNEEGSKKVTWKEVPQGEGPPVTWYKAYFDVPEGDDPVAVRMTGMKKGTIWINGKSIGRYWMTYVSPLGIPTQSEYHIPRSYMKPKDNLIVILEEEPADPGKIEILLVNRDTICSFITEHHPPNVKSWARKDDTFKTVVDDLRPSAHLRCPDGKKIIAVEFASFGDPYGACGGYVLGNCTSPLSMLVVEQNCAGKNECNIPMERSLFDKDHDACPDIKKTLAIQVKCG >Manes.08G104400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34461196:34463748:-1 gene:Manes.08G104400.v8.1 transcript:Manes.08G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWEDVYKVVVAMVPLYVALVLGYGSVRWWKIFTPEQSGAVNRFVCYFTLPLFTFEFTAHVDPFNMNYLFIGADAISKFIIVVVLAFWAKFSSKGSYTWSITSFSLCTLTNSLVVGVPLAKAMYGQMAVDLVVQSSVIQAIIWLTILLFVLEIRRTGLDISSNTNGENLDKDLEGISRSVDHKMESAKPSFWALMKVVWLKMAMNPNSYACIIGLVWAFIAKRWHFEMPSIMEGSILIMSRAGTGTAMFSMGIFMALQEKLIACGTGLTVVGMVLRFIAGPAAMAIGSIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAVIFGMIVSLPVLIAYYAVLEFVH >Manes.16G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8417400:8423209:-1 gene:Manes.16G046800.v8.1 transcript:Manes.16G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALATAAEVHTSFNLLFPSRGRKARANKKRLSVIFQEKKVSAQSLKSKPLSSIQVKEIGDKCRKWAWKGQYSINFFVSDSESRPPLLLVHGFGASIPHWRRNIATLSQNYTVYAIDLLGFGASDKPKGFAYTMEAWAELILDFLHEVVQKPTVLIGNSVGSLACVIAASESRQSLVRGLVLLNCAGGMNNKAIVDDWRIKLLLPFLLLIDFLLKQRVIASTIFERVKQRDTLKNILLSVYGNKESVDEELVEIIKGPADDEGALDAFISIVTGPPGPNPVKLMPRISIPVLVLWGDQDPFTPLDGPVGKYFSSLPYQLSNVRLYVLEGVGHCPHDDKPDLVHGNLLPWLSEVTEIVDECNVIK >Manes.18G141808.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:23916758:23918456:1 gene:Manes.18G141808.v8.1 transcript:Manes.18G141808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQFRKLLSDYYNESSPPISGTFWSLCQEACDSSDDEDYCQTQICFDYCNNNCPFKLPPTPSIRHAKPRKFLITGLVLASVFFLVFCCAVYFKFYYGSRRRRSESEEQRNEIHQDFLDEDQGPVVDHPIWYINTVGLQPSVINSIAVCKYKRGDGLVEGTDCSVCLSEFQEDETLRLLPKCSHAFHIPCIDTWLRSHTNCPLCRAPVITTPARASSSEGNGESSSAGEEAQMEVSENIEGEIIENGDGELSIETEEEEEEEEEEEEEFQDENRRKRVEELNGEEEGIQPMRRSVSLDSLSAFKISQALANVGEIESDRISGNLAKESESNMGIVSKRVCSNQGLLKFMGSSSIGRSLQIGPSSLKRSLSCGGKLFLLRYSKNRNSVLPL >Manes.13G093564.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:26157214:26157564:1 gene:Manes.13G093564.v8.1 transcript:Manes.13G093564.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLFFPGKWIRMKSVSVSVVLKYRNEITSFFRVKEESLVKFRFATPTSPLTSSLPLRSNGSHPSFHVSNLYFFFVIKPMLCYFLYHILSAYFFTLPFLNTYKNRNKLRKIHFQH >Manes.01G126000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32100510:32101564:1 gene:Manes.01G126000.v8.1 transcript:Manes.01G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRMIGSFSFVWLLMTISTAAQTPAVLDTTGQPLRSGVEYYVLPAATDTAGGLTLMNRTDSCPLYVGQEPLSTVVSPGLPVIFTPFSAGDGIIRESRDLRIAFSAVSICAQSTSWRVGEEDAETSRRFIVTGGEQSYLRIDKNGGLYELVWCPGESCPDCGRPRCSSAGILIENGKRLLALDGPAFPFRFRRA >Manes.06G051800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18192353:18195850:-1 gene:Manes.06G051800.v8.1 transcript:Manes.06G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSTLYIANLLQSSIDKKSHLSGKLLHAHICRIGLSTDTFLLNRLIELYFKCNNTDYAHNLFDKMPQKNVYSWNALLCEYCKSGKLETAQKLFAEMPERNTVSWNNLISALVRGRLEREALNVYNKMIQESLVPTHCTLASVLSACGTLLDVGCGRRCHNLAVKIGLQKNVYVSNALLCVYAKCGLVRDAFQLFEEMEERNEVTFTAMIDGFAQTDRVMEALEMFRLMYRRGIRIDSVSLSSVLGVCSRGACGESGFYDGSLANAFGKLLHGLTIRLGFESDLHLCNSLLDMYAKNGDMDRAEEVFANMPEVSVVSWNIMIAGCGQKCNSEKAIEYLQKMLSCRFEPDEVTYINMLAACVKSGDIKTGRQIFDYMACPSTSSWNAILSGYIQVGNHKEAIKLFREMQFLYVKPDRTTLAIILSSCAGMELLEAGKQVHAASQKAAFHNDIYVASGLIGMYSKCGKMDMAKFVFEKMPELDVVCWNSIISGFSLNSLDKEALALFQQMRQNGMSPTHFSYATILSCCAKLSSLFQGRLVHAQIVKEGFMNDVYVGSALIDMYCKCGEVDEARQIFYLMPDKNTVTWNEMIHGYAQTGRGCEAVNLYRSMIESGEKPDEITFVAVLTACSHSGLVDTAVEIFDSMQRDHGLEPVLDHYTCIIDALARAGQFHEAEVLMDKIPYRDDPIVWEVLLSSCTLHSNVRLAKKAAEELIRLDPRNSSPYVLLANIYTSLGRWDDVRAVRGLMSDKEVVKGPGYSWIEHTRGMDSNMVDDNLKLV >Manes.05G003700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:338134:339900:-1 gene:Manes.05G003700.v8.1 transcript:Manes.05G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRIAHATLKGPSVVKEIVLGIALGLTAGGLWKMHHWNEQRKVRAFYDLLEKGEISVVAEE >Manes.12G097000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:22379898:22380877:1 gene:Manes.12G097000.v8.1 transcript:Manes.12G097000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCYSSESTRVATAKLILQDGRLQEYSYPVKVSYVLQKNPTYFICNADEMEFDDVVSAINDDEELLPGHLYFALPLSRLKHPLQPEEMAALAVKASSALMKSNNGGEKYGCRRNSGSPFVYSGESDGKSSGRMTAPAATGVSGGSGKGWRRNGGGGRKFTAMLSAIPE >Manes.03G092622.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21742964:21744966:1 gene:Manes.03G092622.v8.1 transcript:Manes.03G092622.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMASWIRVLMSNIIIIISLCHCQLLAAAAAEAITIRSSNSTSCDYFQGSWVYDDSYPLYNSTTCPFIGQGFDCQKNGRPDHDYLKYRWQPTSCDIPRFNGVDLLEKYRGKKIMFVGDSLSNNMWVSLACLLYASVPNSKYTFQRGGLLSTFTLPEYGVSVMWLKNGFLVDVVRDEKLGRIVKLDSISAGQQWLGVDSLIFNTYHWWFHKGRYQNWNYFQVGDKLLEDMDRLEALKIALTTWAHWVDNNIDPSTTSVFYQGVAVPHQNAKEWNDPNPKARGCMGQTEPVKGSTYPGPNHQGEAAVKEIISNMTSPAYLLDITLLTQLRKDGHPSIYAGSGTKFSDCSHWCLAGVPDTWNQLLYAALLDK >Manes.11G074700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10800348:10806343:-1 gene:Manes.11G074700.v8.1 transcript:Manes.11G074700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKNGKEQRSNCCNPVQKPGPVTMEHVLLALGETKEEREQRIRALFNFFDAANCGYLDYNQIEKGLSALQIPADYKYAKDLLNVCDANKDGRVDYQEFKRYMDDKELDVYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAHFVERVDKDNNGVITFEEWRDFLLLYPHEATFENIYHYLERVCLVDIGEQAAIPEGISKHIHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTPARIMPALRDLWKEGGVLGFFRGNGLNVLKVAPESAIRFYTYEMLKKFIAQAKGGDKADIGTLGRLCSGGVAGAVAQTAVYPMDLVKTRLQTYACENGKIPNLGAMTRDIWVQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDISKKYILQDSEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRSDMGAAYNGMSDVFRRTFQHEGIRGFYKGIFPNMLKVVPSASITYMVYEAMKKSLDLE >Manes.11G074700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10800638:10806343:-1 gene:Manes.11G074700.v8.1 transcript:Manes.11G074700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKNGKEQRSNCCNPVQKPGPVTMEHVLLALGETKEEREQRIRALFNFFDAANCGYLDYNQIEKGLSALQIPADYKYAKDLLNVCDANKDGRVDYQEFKRYMDDKELDVYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAHFVERVDKDNNGVITFEEWRDFLLLYPHEATFENIYHYLERVCLVDIGEQAAIPEGISKHIHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTPARIMPALRDLWKEGGVLGFFRGNGLNVLKVAPESAIRFYTYEMLKKFIAQAKGGDKADIGTLGRLCSGGVAGAVAQTAVYPMDLVKTRLQTYACENGKIPNLGAMTRDIWVQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDISKKYILQDSEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRSDMGAAYNGMSDVFRRTFQHEGIRGFYKGIFPNMLKVVPSASITYMVYEAMKKSLDLE >Manes.11G074700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10800825:10806287:-1 gene:Manes.11G074700.v8.1 transcript:Manes.11G074700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKNGKEQRSNCCNPVQKPGPVTMEHVLLALGETKEEREQRIRALFNFFDAANCGYLDYNQIEKGLSALQIPADYKYAKDLLNVCDANKDGRVDYQEFKRYMDDKELDVYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAHFVERVDKDNNGVITFEEWRDFLLLYPHEATFENIYHYLERVCLVDIGEQAAIPEGISKHIHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTPARIMPALRDLWKEGGVLGFFRGNGLNVLKVAPESAIRFYTYEMLKKFIAQAKGGDKADIGTLGRLCSGGVAGAVAQTAVYPMDLVKTRLQTYACENGKIPNLGAMTRDIWVQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDISKKYILQDSEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRSDMGAAYNGMSDVFRRTFQHEGIRGFYKGIFPNMLKVVPSASITYMVYEAMKKSLDLE >Manes.11G074700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10800638:10806287:-1 gene:Manes.11G074700.v8.1 transcript:Manes.11G074700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKNGKEQRSNCCNPVQKPGPVTMEHVLLALGETKEEREQRIRALFNFFDAANCGYLDYNQIEKGLSALQIPADYKYAKDLLNVCDANKDGRVDYQEFKRYMDDKELDVYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAHFVERVDKDNNGVITFEEWRDFLLLYPHEATFENIYHYLERVCLVDIGEQAAIPEGISKHIHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTPARIMPALRDLWKEGGVLGFFRGNGLNVLKVAPESAIRFYTYEMLKKFIAQAKGGDKADIGTLGRLCSGGVAGAVAQTAVYPMDLVKTRLQTYACENGKIPNLGAMTRDIWVQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDISKKYILQDSEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRSDMGAAYNGMSDVFRRTFQHEGIRGFYKGIFPNMLKVVPSASITYMVYEAMKKSLDLE >Manes.11G074700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10800873:10806287:-1 gene:Manes.11G074700.v8.1 transcript:Manes.11G074700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKNGKEQRSNCCNPVQKPGPVTMEHVLLALGETKEEREQRIRALFNFFDAANCGYLDYNQIEKGLSALQIPADYKYAKDLLNVCDANKDGRVDYQEFKRYMDDKELDVYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAHFVERVDKDNNGVITFEEWRDFLLLYPHEATFENIYHYLERVCLVDIGEQAAIPEGISKHIHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTPARIMPALRDLWKEGGVLGFFRGNGLNVLKVAPESAIRFYTYEMLKKFIAQAKGGDKADIGTLGRLCSGGVAGAVAQTAVYPMDLVKTRLQTYACENGKIPNLGAMTRDIWVQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDISKKYILQDSEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRSDMGAAYNGMSDVFRRTFQHEGIRGFYKGIFPNMLKVVPSASITYMVYEAMKKSLDLE >Manes.11G074700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10800638:10806287:-1 gene:Manes.11G074700.v8.1 transcript:Manes.11G074700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKNGKEQRSNCCNPVQKPGPVTMEHVLLALGETKEEREQRIRALFNFFDAANCGYLDYNQIEKGLSALQIPADYKYAKDLLNVCDANKDGRVDYQEFKRYMDDKELDVYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAHFVERVDKDNNGVITFEEWRDFLLLYPHEATFENIYHYLERVCLVDIGEQAAIPEGISKHIHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTPARIMPALRDLWKEGGVLGFFRGNGLNVLKVAPESAIRFYTYEMLKKFIAQAKGGDKADIGTLGRLCSGGVAGAVAQTAVYPMDLVKTRLQTYACENGKIPNLGAMTRDIWVQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDISKKYILQDSEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRSDMGAAYNGMSDVFRRTFQHEGIRGFYKGIFPNMLKVVPSASITYMVYEAMKKSLDLE >Manes.11G074700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10800638:10806287:-1 gene:Manes.11G074700.v8.1 transcript:Manes.11G074700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKNGKEQRSNCCNPVQKPGPVTMEHVLLALGETKEEREQRIRALFNFFDAANCGYLDYNQIEKGLSALQIPADYKYAKDLLNVCDANKDGRVDYQEFKRYMDDKELDVYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAHFVERVDKDNNGVITFEEWRDFLLLYPHEATFENIYHYLERVCLVDIGEQAAIPEGISKHIHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTPARIMPALRDLWKEGGVLGFFRGNGLNVLKVAPESAIRFYTYEMLKKFIAQAKGGDKADIGTLGRLCSGGVAGAVAQTAVYPMDLVKTRLQTYACENGKIPNLGAMTRDIWVQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDISKKYILQDSEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRSDMGAAYNGMSDVFRRTFQHEGIRGFYKGIFPNMLKVVPSASITYMVYEAMKKSLDLE >Manes.11G074700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10800825:10806287:-1 gene:Manes.11G074700.v8.1 transcript:Manes.11G074700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSKNGKEQRSNCCNPVQKPGPVTMEHVLLALGETKEEREQRIRALFNFFDAANCGYLDYNQIEKGLSALQIPADYKYAKDLLNVCDANKDGRVDYQEFKRYMDDKELDVYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAHFVERVDKDNNGVITFEEWRDFLLLYPHEATFENIYHYLERVCLVDIGEQAAIPEGISKHIHAYRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTPARIMPALRDLWKEGGVLGFFRGNGLNVLKVAPESAIRFYTYEMLKKFIAQAKGGDKADIGTLGRLCSGGVAGAVAQTAVYPMDLVKTRLQTYACENGKIPNLGAMTRDIWVQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLKDISKKYILQDSEPGPLVQLGCGTVSGALGATCVYPLQVVRTRMQAQRSDMGAAYNGMSDVFRRTFQHEGIRGFYKGIFPNMLKVVPSASITYMVYEAMKKSLDLE >Manes.18G021600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2146127:2151226:-1 gene:Manes.18G021600.v8.1 transcript:Manes.18G021600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINKLLLTFAICRLIVTVGLTVDPAELLRLGVDGQLSVDPSDVDIASLDFGLLNRAKPMAVLRPASAEDIALLVRAAYNSVGGFTVSARGHGHSINGQAQTSNGVVIEMSRRSGGNHQLGSANNNPAPPHVSVKDMHVDVWGGELWIDVLRSTLEYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGEILTCSEEENSELFHAVLGGLGQFGIITRARISLEPAPHRVRWIRVLYSSFSSFTRDQEYLISLHGKPATQKFDYVEGFVIVDEGLINNWRSSFFSPRNPVKISSIGANGTGVLYCLEITKNYHQSTVDTIDQEVESLLKKLNFIPSSVFTTDLPYVDFLDRVHKAELKLRAKGLWDVPHPWLNLFVPKSRIADFDKGVFKGILGNKTSGPILIYPMNTDKWDQRSSVVTPVEEVFYLVAFLRSALDNGEETQTLEYLNNQNRQILRFCDDAGIKVKQYLPHYTTQEEWMDHFGDKWSQFYQNKMEFDPRRILATGQRIFTPSFVAASSSYSSSSFSTVALWR >Manes.15G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5434322:5442036:-1 gene:Manes.15G072300.v8.1 transcript:Manes.15G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIAAVKQRGIDVLLNDESKRETPSVVCFGEKQRFLGAAGAASATMNPKSTISQVKRLIGRNFTDPNVQNELKMFPFETSGGQDGGILIRLKYLGETCTFTPVQIMAMLFSHLKEVAEKNLEMPVADCVIGIPSYFTDLQRRAYLNAATIAGLKSLRLMHDCTATALSYGIYKTDFSNAGPTYIAFVDIGHCDIQVSIVSFEAGHMRVLSHAFDSNLGGRDFDEVLFSYFATQFKENYKIDVYSNVRACIRLRAACEKLKKVLSANAEAPLHIECLMDEKDVKGFIKREEFERLASELLERICVPCKKALADSGIPVGKIHSVELVGSGSRIPSITKLLASLFGREPSRTLNASECVAHGCALQCAMLSPVFRVREYEVQDSFPFSIGFSSDKGPIGTGSNGMLFPKGQPIPSIKILTFQRSSLFRLEAFYANQNELPPGVPSKISSFTIGPFPGSINENTRIKVKVQLNLHGIVAIESAMLMEDHVDDYVRMDYAHPEVDKMEVDTASFSSTKLANGDEDDVTMHAMSSDPSADGITRNRACQRLEIPVTENIYGGMTEAELSEAKEKEFQLAQRDKLVEQAKNQKNALESFVYETRNKLFNTYRSFASDPEKEGISRSLQETEEWLYEDGDDETENTYTSKMQDLRKLVDPIENRYKDAEARAQAKRDLLNSIVDYRKSVDSRPTEDRELIINECNKAEHWLRERTQQQDSLPKNANPVLWSWEIKRRTEELNSICKQITERKSSPQNSEDKKGPDLQV >Manes.15G072300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5435027:5441952:-1 gene:Manes.15G072300.v8.1 transcript:Manes.15G072300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDIGNENCVIAAVKQRGIDVLLNDESKRETPSVVCFGEKQRFLGAAGAASATMNPKSTISQVKRLIGRNFTDPNVQNELKMFPFETSGGQDGGILIRLKYLGETCTFTPVQIMAMLFSHLKEVAEKNLEMPVADCVIGIPSYFTDLQRRAYLNAATIAGLKSLRLMHDCTATALSYGIYKTDFSNAGPTYIAFVDIGHCDIQVSIVSFEAGHMRVLSHAFDSNLGGRDFDEVLFSYFATQFKENYKIDVYSNVRACIRLRAACEKLKKVLSANAEAPLHIECLMDEKDVKGFIKREEFERLASELLERICVPCKKALADSGIPVGKIHSVELVGSGSRIPSITKLLASLFGREPSRTLNASECVAHGCALQCAMLSPVFRVREYEVQDSFPFSIGFSSDKGPIGTGSNGMLFPKGQPIPSIKILTFQRSSLFRLEAFYANQNELPPGVPSKISSFTIGPFPGSINENTRIKVKVQLNLHGIVAIESAMLMEDHVDDYVRMDYAHPEVDKMEVDTASFSSTKLANGDEDDVTMHAMSSDPSADGITRNRACQRLEIPVTENIYGGMTEAELSEAKEKEFQLAQRDKLVEQAKNQKNALESFVYETRNKLFNTYRSFASDPEKEGISRSLQETEEWLYEDGDDETENTYTSKMQDLRKLVDPIENRYKDAEARAQAKRDLLNSIVDYRKSVDSRPTEDRELIINECNKAEHWLRERTQQQDSLPKNANPVLWSWEIKRRTEELNSICKQITERKSSPQNSEDKKGPDLQV >Manes.05G056500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4718123:4721181:1 gene:Manes.05G056500.v8.1 transcript:Manes.05G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELESPDMMPKLITFLSSLLQRVSESNDLNCQFHPQKISVFHGLTRPTISVQSYLERIFKYANCSPSCFIVAYVYLDRFAQRQPSLPLNSFNVHRLLITSVMVAAKFMDDMYYNNAYYAKVGGISTIEMNYLEVDFLFGLGFHLNVTPNTFHTYCSHLQREMMLQHQPPSLAESSLNLGRSLKLHLCFNEDETSHQKQQLAV >Manes.18G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8485805:8488455:1 gene:Manes.18G091800.v8.1 transcript:Manes.18G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVEYAHVVESHKPYIAVLFIQFLYAGMALFSKAAISRGMNPFVFVVYRQAFASITLAPFAIFLDSKKAPPLSSGLLFKIFSVSFCGLTLSMNLYYIAINFTTATFAAATTNIIPAITFIMAALLRMETISIKHVHGIAKLMGSAIAVSGALVFAFVKGPPLKFINWNQATHDDHIQDSSMKGCCSREEWIKGSLLMITANILWSMWFVLQGPILKQYPAKLRLTALQCFFCCIQSAFWAVAVERNPSAWKLGWDVHLLAVAYCGITVTGISYWLQVWTIEKKGPVFASMFTPLALLITAIFSIFLWKETLHLGSVGGAILLVSGLYGVLWGKKKEEGKSVRNENNADTEITLESITHH >Manes.18G091800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8485805:8488455:1 gene:Manes.18G091800.v8.1 transcript:Manes.18G091800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVEYAHVVESHKPYIAVLFIQFLYAGMALFSKAAISRGMNPFVFVVYRQAFASITLAPFAIFLDSKKAPPLSSGLLFKIFSVSFCGLTLSMNLYYIAINFTTATFAAATTNIIPAITFIMAALLRMETISIKHVHGIAKLMGSAIAVSGALVFAFVKGPPLKFINWNQATHDDHIQDSSMKGCCSREEWIKGSLLMITANILWSMWFVLQGPILKQYPAKLRLTALQCFFCCIQSAFWAVAVERNPSAWKLGWDVHLLAVAYCCWWGNFAGEWTLWSIMGQEERRRKKC >Manes.18G091800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8485805:8488455:1 gene:Manes.18G091800.v8.1 transcript:Manes.18G091800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVEYAHVVESHKPYIAVLFIQFLYAGMALFSKAAISRGMNPFVFVVYRQAFASITLAPFAIFLDSKKAPPLSSGLLFKIFSVSFCGLTLSMNLYYIAINFTTATFAAATTNIIPAITFIMAALLRMETISIKHVHGIAKLMGSAIAVSGALVFAFVKGPPLKFINWNQATHDDHIQDSSMKGCCSREEWIKGSLLMITANILWSMWFVLQSAFWAVAVERNPSAWKLGWDVHLLAVAYCGITVTGISYWLQVWTIEKKGPVFASMFTPLALLITAIFSIFLWKETLHLGSVGGAILLVSGLYGVLWGKKKEEGKSVRNENNADTEITLESITHH >Manes.05G164300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27680693:27683698:-1 gene:Manes.05G164300.v8.1 transcript:Manes.05G164300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNGNRAGKRSKFVMREQMDSMSKHEGIKTVKVDNLCNFSRNSDLCVCIVTWNMNGKVSFEDLVELVGSDRKFDLLVVGLQEVPRKNVAVLLQAVVADTHILQGKAIMQSLQLYVFSPLNSELFIKELKVDKHSVGGFGRLIRRTKGAVAIRINYKGIRMVFVSCHLSAHARNVEERNSQCRHISHNLFSRNWNPYARPAQITVWLGDLNYRIQGIDTYPVRNLIKKDLHRLLTSKDQLLQEAEKGQVFDGFCEGTLTFKPTYKYNVGSSSYDTSHKVRVPSWTDRILFKIHDGDEISASLHCYESIDDIYSSDHKPVKAHLCLKVNQQAAPPSTD >Manes.05G164300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27680693:27683368:-1 gene:Manes.05G164300.v8.1 transcript:Manes.05G164300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMILIWVSVYRIRSKFVMREQMDSMSKHEGIKTVKVDNLCNFSRNSDLCVCIVTWNMNGKVSFEDLVELVGSDRKFDLLVVGLQEVPRKNVAVLLQAVVADTHILQGKAIMQSLQLYVFSPLNSELFIKELKVDKHSVGGFGRLIRRTKGAVAIRINYKGIRMVFVSCHLSAHARNVEERNSQCRHISHNLFSRNWNPYARPAQITVWLGDLNYRIQGIDTYPVRNLIKKDLHRLLTSKDQLLQEAEKGQVFDGFCEGTLTFKPTYKYNVGSSSYDTSHKVRVPSWTDRILFKIHDGDEISASLHCYESIDDIYSSDHKPVKAHLCLKVNQQAAPPSTD >Manes.11G124700.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28611761:28616143:-1 gene:Manes.11G124700.v8.1 transcript:Manes.11G124700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGARLALNMSVSLLPLNEQLGMFRFQSLFVIRSLSSSIASNLNKHSFVVSYLVNSCGLTLKSAQSATNNKNISFQSPERLDSVLRFLKEHGFTNSQISKIVGNRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPFLLVRSTERFLIPRYEIIKSFLVSNEKVVLVLKRMGRTFPVNCFSNNLSYLRGVGVPQSLISHLVTDCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVDAVRVFYKLSNKTWEHKMKMYRRWGFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCLSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKHFLEMFVIKYQEQVPQLLELFKGKVDLTEPGFGFDDKSGILG >Manes.11G124700.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28611761:28616143:-1 gene:Manes.11G124700.v8.1 transcript:Manes.11G124700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGARLALNMSVSLLPLNEQLGMFRFQSLFVIRSLSSSIASNLNKHSFVVSYLVNSCGLTLKSAQSATNNKNISFQSPERLDSVLRFLKEHGFTNSQISKIVGNRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPFLLVRSTERFLIPRYEIIKSFLVSNEKVVLVLKRMGRTFPVNCFSNNLSYLRGVGVPQSLISHLVTDCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVDAVRVFYKLSNKTWEHKMKMYRRWGFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCLSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKHFLEMFVIKYQEQVPQLLELFKGKVDLTEPGFGFDDKSGILG >Manes.11G124700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28610608:28616143:-1 gene:Manes.11G124700.v8.1 transcript:Manes.11G124700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGARLALNMSVSLLPLNEQLGMFRFQSLFVIRSLSSSIASNLNKHSFVVSYLVNSCGLTLKSAQSATNNKNISFQSPERLDSVLRFLKEHGFTNSQISKIVGNRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPFLLVRSTERFLIPRYEIIKSFLVSNEKVVLVLKRMGRTFPVNCFSNNLSYLRGVGVPQSLISHLVTDCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVDAVRVFYKLSNKTWEHKMKMYRRWGFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCLSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKHFLEMFVIKYQEQVPQLLELFKGKVDLTEPGFGFDDKSGILG >Manes.11G124700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28611761:28616143:-1 gene:Manes.11G124700.v8.1 transcript:Manes.11G124700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSCFTSLFVIRSLSSSIASNLNKHSFVVSYLVNSCGLTLKSAQSATNNKNISFQSPERLDSVLRFLKEHGFTNSQISKIVGNRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPFLLVRSTERFLIPRYEIIKSFLVSNEKVVLVLKRMGRTFPVNCFSNNLSYLRGVGVPQSLISHLVTDCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVDAVRVFYKLSNKTWEHKMKMYRRWGFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCLSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKHFLEMFVIKYQEQVPQLLELFKGKVDLTEPGFGFDDKSGILG >Manes.11G124700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28611761:28616143:-1 gene:Manes.11G124700.v8.1 transcript:Manes.11G124700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGARLALNMSVSLLPLNEQLGMFRFQSLFVIRSLSSSIASNLNKHSFVVSYLVNSCGLTLKSAQSATNNKNISFQSPERLDSVLRFLKEHGFTNSQISKIVGNRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPFLLVRSTERFLIPRYEIIKSFLVSNEKVVLVLKRMGRTFPVNCFSNNLSYLRGVGVPQSLISHLVTDCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVDAVRVFYKLSNKTWEHKMKMYRRWGFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCLSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKHFLEMFVIKYQEQVPQLLELFKGKVDLTEPGFGFDDKSGILG >Manes.11G124700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28611753:28616143:-1 gene:Manes.11G124700.v8.1 transcript:Manes.11G124700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGARLALNMSVSLLPLNEQLGMFRFQSLFVIRSLSSSIASNLNKHSFVVSYLVNSCGLTLKSAQSATNNKNISFQSPERLDSVLRFLKEHGFTNSQISKIVGNRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPFLLVRSTERFLIPRYEIIKSFLVSNEKVVLVLKRMGRTFPVNCFSNNLSYLRGVGVPQSLISHLVTDCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVDAVRVFYKLSNKTWEHKMKMYRRWGFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCLSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKHFLEMFVIKYQEQVPQLLELFKGKVDLTEPGFGFDDKSGILG >Manes.11G124700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28611761:28616143:-1 gene:Manes.11G124700.v8.1 transcript:Manes.11G124700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGARLALNMSVSLLPLNEQLGMFRFQSLFVIRSLSSSIASNLNKHSFVVSYLVNSCGLTLKSAQSATNNKNISFQSPERLDSVLRFLKEHGFTNSQISKIVGNRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPFLLVRSTERFLIPRYEIIKSFLVSNEKVVLVLKRMGRTFPVNCFSNNLSYLRGVGVPQSLISHLVTDCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVDAVRVFYKLSNKTWEHKMKMYRRWGFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCLSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKHFLEMFVIKYQEQVPQLLELFKGKVDLTEPGFGFDDKSGILG >Manes.11G124700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28611761:28616143:-1 gene:Manes.11G124700.v8.1 transcript:Manes.11G124700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSGARLALNMSVSLLPLNEQLGMFRFQSLFVIRSLSSSIASNLNKHSFVVSYLVNSCGLTLKSAQSATNNKNISFQSPERLDSVLRFLKEHGFTNSQISKIVGNRPQVLLAHPEKTLLPKFEFLRCIGASRSDISLIFCRNPFLLVRSTERFLIPRYEIIKSFLVSNEKVVLVLKRMGRTFPVNCFSNNLSYLRGVGVPQSLISHLVTDCPSVMCQEVGKFAEGVKKVTNLGFDPSKTAFVDAVRVFYKLSNKTWEHKMKMYRRWGFSEDEIWLIFRKHPICMTISEEKFMRTMDFLVCKMGWQPADVARVPVVYCLSLERRIMPRCSVVRVLLLKGLIKADIRLSSVLIPSEKHFLEMFVIKYQEQVPQLLELFKGKVDLTEPGFGFDDKSGILG >Manes.05G162400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27518017:27521723:1 gene:Manes.05G162400.v8.1 transcript:Manes.05G162400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIYFSRLRTAVQNEIQRSILHKKGGLEDLTEVLVGQVKSRFYSYRLFHYVRIASHVDVHALVRPCTVFAARSDSLLVNHGSNISVFGAPSHTFSVSSVSGPSLQVCRYHTDCALCDTSQISDSGKFLNKQMAACTSRAEIVECFWENLTSRVGHSPFSTNSASISFGRRSSRSYRNVIMSLNNRKQPTNSPIYGYFIYNVMKKWFPYIETGSRYFHSSSPSYLSAGTAPDVTFENSACEERLENSSVSSEQRHSAGKMLKLISGSCYLPHPDKEATGGEDAHFICSDEQAIGLADGVGGWADLGIDAGQYSRELMSNSVTAIQEEPKGFVDPARVLEKAYSSTKAKGSSTACIIALTAEGLHAINLGDSGFLIIRDGCTVLRSPVQQHDFNFTYQLGSRNDGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRTGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSDER >Manes.05G162400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27518017:27521723:1 gene:Manes.05G162400.v8.1 transcript:Manes.05G162400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNNRKQPTNSPIYGYFIYNVMKKWFPYIETGSRYFHSSSPSYLSAGTAPDVTFENSACEERLENSSVSSEQRHSAGKMLKLISGSCYLPHPDKEATGGEDAHFICSDEQAIGLADGVGGWADLGIDAGQYSRELMSNSVTAIQEEPKGFVDPARVLEKAYSSTKAKGSSTACIIALTAEGLHAINLGDSGFLIIRDGCTVLRSPVQQHDFNFTYQLGSRNDGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRTGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSDER >Manes.05G162400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27517786:27521841:1 gene:Manes.05G162400.v8.1 transcript:Manes.05G162400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTSRAEIVECFWENLTSRVGHSPFSTNSASISFGRRSSRSYRNVIMSLNNRKQPTNSPIYGYFIYNVMKKWFPYIETGSRYFHSSSPSYLSAGTAPDVTFENSACEERLENSSVSSEQRHSAGKMLKLISGSCYLPHPDKEATGGEDAHFICSDEQAIGLADGVGGWADLGIDAGQYSRELMSNSVTAIQEEPKGFVDPARVLEKAYSSTKAKGSSTACIIALTAEGLHAINLGDSGFLIIRDGCTVLRSPVQQHDFNFTYQLGSRNDGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRTGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSDER >Manes.05G162400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27518017:27521723:1 gene:Manes.05G162400.v8.1 transcript:Manes.05G162400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIYFSRLRTAVQNEIQRSILHKKGPSLQVCRYHTDCALCDTSQISDSGKFLNKQMAACTSRAEIVECFWENLTSRVGHSPFSTNSASISFGRRSSRSYRNVIMSLNNRKQPTNSPIYGYFIYNVMKKWFPYIETGSRYFHSSSPSYLSAGTAPDVTFENSACEERLENSSVSSEQRHSAGKMLKLISGSCYLPHPDKEATGGEDAHFICSDEQAIGLADGVGGWADLGIDAGQYSRELMSNSVTAIQEEPKGFVDPARVLEKAYSSTKAKGSSTACIIALTAEGLHAINLGDSGFLIIRDGCTVLRSPVQQHDFNFTYQLGSRNDGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRTGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSDER >Manes.05G162400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27518017:27521723:1 gene:Manes.05G162400.v8.1 transcript:Manes.05G162400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTSRAEIVECFWENLTSRVGHSPFSTNSASISFGRRSSRSYRNVIMSLNNRKQPTNSPIYGYFIYNVMKKWFPYIETGSRYFHSSSPSYLSAGTAPDVTFENSACEERLENSSVSSEQRHSAGKMLKLISGSCYLPHPDKEATGGEDAHFICSDEQAIGLADGVGGWADLGIDAGQYSRELMSNSVTAIQEEPKGFVDPARVLEKAYSSTKAKGSSTACIIALTAEGLHAINLGDSGFLIIRDGCTVLRSPVQQHDFNFTYQLGSRNDGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEITAVVVHATRTGLGPQVTAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSDER >Manes.07G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5782030:5784929:1 gene:Manes.07G049400.v8.1 transcript:Manes.07G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPLAIEIPMASGSIFQPEASTDDDSQEHLLAAGDIEAGGDDAQTKFRIRNLTKKSDAGVTILGGVNLDIPKGVVFGIIGPSGSGKSTLLRSLNRLWEPPPGTVFMDDRDIRNLDVVSLRRKVGMLFQIPALFEGTVADNIRFGPQLRGKKLTNHEVHKLLTLADLDYSFHEKNGSELSVGQTQKVALARTLANEPEVLLLDEPTSALDPISTQNIEDAIVKLKKNQGMTIVMVSHSIKQIQRIADVVCLLVNGEVAEILKPDELSQANHSMAQRFLQLSS >Manes.07G049400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5782030:5784929:1 gene:Manes.07G049400.v8.1 transcript:Manes.07G049400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPLAIEIPMASGSIFQPEASTDDDSQEHLLAAGDIEAGGDDAQTKFRIRNLTKKSDAGVTILGGVNLDIPKGVVFGIIGPSGSGKSTLLRSLNRLWEPPPGTVFMDDRDIRNLDVVSLRRKVGMLFQIPALFEVADNIRFGPQLRGKKLTNHEVHKLLTLADLDYSFHEKNGSELSVGQTQKVALARTLANEPEVLLLDEPTSALDPISTQNIEDAIVKLKKNQGMTIVMVSHSIKQIQRIADVVCLLVNGEVAEILKPDELSQANHSMAQRFLQLSS >Manes.15G171100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15478281:15478554:-1 gene:Manes.15G171100.v8.1 transcript:Manes.15G171100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAFTLIQTVATAGLFSAVSFWYGFMFGRESARKELSDLIEDLRRGNSSSTSPHS >Manes.16G033200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4039077:4040729:-1 gene:Manes.16G033200.v8.1 transcript:Manes.16G033200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVFSGFFSTTRVPNGQEGQEAYVLTPSSKLLVKDNPNSLIPFVNSLLKPDFVSPGHSLGDWFRGNEVTVFEKAHGMTFWEYNGRNPEFNKLFNEAMASDSQMMNLVIRDCKPIFEGVNSLVDVGGGNGSLARIISEGFPHMECTVLEIPQVIANLQGTKNLNYVGGDMFQHIPSADAIILKLILHGWSDEECLKILKKCKEAISSKGEGGKVIVIDLVINEKKDGHELTETKLLFDMLMMYVSSGKERTVKEWEGLFLKAGFSHYKITPLLGLRSIIEVYP >Manes.16G033200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4039103:4040729:-1 gene:Manes.16G033200.v8.1 transcript:Manes.16G033200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIHCNRANELLQAQVHLYNHIFNYMNSMSLKCAVQLGIPDIIYNHGKPIILPELVSALHVHPTKISCVYRLMRLLVFSGFFSTTRVPNGQEGQEAYVLTPSSKLLVKDNPNSLIPFVNSLLKPDFVSPGHSLGDWFRGNEVTVFEKAHGMTFWEYNGRNPEFNKLFNEAMASDSQMMNLVIRDCKPIFEGVNSLVDVGGGNGSLARIISEGFPHMECTVLEIPQVIANLQGTKNLNYVGGDMFQHIPSADAIILKLILHGWSDEECLKILKKCKEAISSKGEGGKVIVIDLVINEKKDGHELTETKLLFDMLMMYVSSGKERTVKEWEGLFLKAGFSHYKITPLLGLRSIIEVYP >Manes.12G036400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3230195:3231784:-1 gene:Manes.12G036400.v8.1 transcript:Manes.12G036400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSHQLHFILFPYMAQGHMIPMVDIARLLAQHGVIITIITSPLNSKRFASTLARTVESGLQIHLVKVPFPSEEAGLPKGCENLDMLPTLGLGIDFVSATNLLQEPVERLLEEIQPRPNCIISDMCLPYTSRVASKFQIPRIVFNGIADTVTCKSEYFQVPGLPDHIEVTKEQLPEAMHQNLQIMNEQLMAAESVTYGIIYNSFEELEPAYVQEFKEARGDKVWCIGPLQRGDKSSVDGHKCFKWLNSQKPDSVLYVCFGSLCNLITSQLIELGLALEASNKPFIWVLRGGGKSTQIEKWIEEDGFEERIKERGFLIRGWAPQVAILSHAAVGGFLTHCFLNERLVVDVLKIGVRAGTEVPVPWGGGGEEDKIGVLVKRKDVKRAIERLMDGGEEGDEMRERAIELSRLANGAMEPEGSSYVNMEMLIQDIMQQTFVRESAQCDAVNQQKFAGPHDCSLQINSNFQSSFHLLGIAMASD >Manes.09G047609.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10133920:10138918:-1 gene:Manes.09G047609.v8.1 transcript:Manes.09G047609.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHLRPSPLPLVRPEGRCKLTPYSFPGSHKKFIRPFINAALSKPAAEVPPLPMSSPQRSSPTSSSTTTVSTSSKKVSPNSLQYPPGYVGALPDHTVPDGTNDIINAMEYLTNILSSKVYDVAIESPLQFAPKLSERLGVKVWLKREDLQPVFSFKLRGAYNMMAKIPKEQLERGVICSSAGNHAQGVALSAKKLGCNAVIAMPVTTPEIKWRSVERLGATVVLVGDSYDEAQEYAKKRAKEEGRTFIPPFDHPDVIVGQGTIGMEIVRQMQAPVHAIFVPVGGGGLIAGIAAYVKRVNPEVKIIGVEPSDANAMALSLHHGERVMLDQVGGFADGVAVKEVGEETFRLCKELIDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGIEDKNVVAITSGANMNFDKLRVVTELANVGRQQEAVLATVMPEEPGMFKHFCKLVGPMNITEFKYRCTSKKQAVVLYSVGLHTVFEIEELQQQLESSQLRTYNLTSSDLVKDHLRYLMGGRLKIPNEVLCHFVFPERPGALLKFLDAFSPRWNISLFHYRGQGETGANVLVGIQVPENEMDEFHSHANNLGYEYHLVTDDDNFQLLMH >Manes.17G120650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32521985:32524043:1 gene:Manes.17G120650.v8.1 transcript:Manes.17G120650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGREHSQHLSMNEIDEAVQVQEEILEHTPQALGGQTNASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYAWDESEEGMVRIAWEKIGKERLRDILNRVRSELLRKHKKTDVAYLYNLGPDWMETEIWNELVAYWSTPEWRKKSEAGKTNRNVEKDGTITKHSAGSIKLEVHENRLV >Manes.01G061900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25887269:25897664:1 gene:Manes.01G061900.v8.1 transcript:Manes.01G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRFAQVSTSDEEDDAPPPPPKTRSSTKLEENESNRKKIKLSDENEEKISGGKVKRKARVEKTVEDPDQEEEEVPQEDAKPVGEPVKLSGKGRGRRSHYEAFDFDGNRYDLEDPVLLVPEDKMQKPYVAIIKDIARTNNGSMMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQLPNRKQYPGFIVQKVYDTVERKLWKLTDKDYEDNKQHEIDLLVQKTLSRMGDLPDIETEDNVAEPEDLSKVKRFLRKKNITPLDVSREEEATIRTDNLKGETPGSCAANASEYFAILGKFNAVTGDTHRDKWLERLLQCIQYMCSSPTGTLDGDKMTDCADVTEDEKEHKSQGTANGSQKKSLKSSENFQWPDAAVLAVSALEKASHEALSTDFQKYNQKLRQLYFNLKNNALLARRLLNGELEPSKILNMSPNELKEGMTAEEMVRKEPEESASMQMTDACCSRCNELKVGVRDIIQAGHGDRYQLECTACGNSWYASRDEASMLTIDGPSSARSVGTAPWAAAKFEEVGKKQVSPCEPEKAVEIIKKSSEPCAPVINPEIDWQTQG >Manes.01G061900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25887269:25895651:1 gene:Manes.01G061900.v8.1 transcript:Manes.01G061900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRFAQVSTSDEEDDAPPPPPKTRSSTKLEENESNRKKIKLSDENEEKISGGKVKRKARVEKTVEDPDQEEEEVPQEDAKPVGEPVKLSGKGRGRRSHYEAFDFDGNRYDLEDPVLLVPEDKMQKPYVAIIKDIARTNNGSMMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHRDEVPAESVMHKCVVHFVPIHKQLPNRKQYPGFIVQKVYDTVERKLWKLTDKDYEDNKQHEIDLLVQKTLSRMGDLPDIETEDNVAEPEDLSKVKRFLRKKNITPLDVSREEEATIRTDNLKGETPGSCAANASEYFAILGKFNAVTGDTHRDKWLERLLQCIQYMCSSPTGTLDGDKMTDCADVTEDEKEHKSQGTANGSQKKSLKSSENFQWPDAAVLAVSALEKASHEALSTDFQKYNQKLRQLYFNLKNNALLARRLLNGELEPSKILNMSPNELKEGMTAEEMVRKEPEESASMQVTAS >Manes.16G044551.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:7324640:7325094:1 gene:Manes.16G044551.v8.1 transcript:Manes.16G044551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLRATLLFSSSLHSLLGFCSWFPSITLVGKKGPFSTISHKSTPSDLRK >Manes.09G051900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8644402:8645626:-1 gene:Manes.09G051900.v8.1 transcript:Manes.09G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIDIPAAESSAAAKGKAPLIGTSTTSHGGGYKKGIAICDFLLRLGAVIAALSAAATMATSDETLPFFTQFFQFEASYDDLPTFQFFVIAMAIVAGYLVLSLPFSVVAIIRPYAVGPRLLLLVLDIVALTLNTAAAAAAAAIVYLAHNGNSSSNWLAICQQFGDFCQKASGAVVAGFVSVVVFMLLVVISGLALRKQKN >Manes.14G077200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6427805:6429001:-1 gene:Manes.14G077200.v8.1 transcript:Manes.14G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDDQLHHHHHHHHHRPNFPFQLLEKKEDEPCSSSSPYPSSLPISTTEPNNNNNNTNSSLNRSTSSLQIVPEPSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSASFNPNFSMQQRRSLFPGIGLETSPTPTFLNFQSSNLNAVLQAKQELRDSSSLELSTETEESLSRKRRSEQDLSLQQHQMGSYLLQSSTGAIPASHTQIPANFWMLANPNNQVMSGDPIWTFPSVNNSALYRGTTSSGLHFMNFPAPMALLPSQQLGSSSISGGGGGSGGNSAISEGHLNMLAGLNPYRPSGVSESQASGSHSHHCGGGGGGGNGDDRHDTTSHHS >Manes.03G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:524262:531779:-1 gene:Manes.03G006100.v8.1 transcript:Manes.03G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSPSKQVYADDAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKHNLFSNLISHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGTAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKIDSSLEFLILASDGLWDVVTNEEAVEMTKPIEDPEQAAKRLLEEAYQRGSADNITCVVVRFLANQGATSHGTGGVTSV >Manes.15G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7822384:7823846:1 gene:Manes.15G099700.v8.1 transcript:Manes.15G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVDDTDNKLGLMNGSYGGIRASNVDVYAARKEIYLGDKCQVEDNPRPWQFWMIMLKSGNMDTLAATCPENGKKAMPSPQDSRFPCFGKGCMNMPFIYHNYTSVQGDTLKGSFYGTWDLNSDVSQKTSYFNVTWEKQIGKGSWVFHHFLKTSLNYPWLILYLRSDATTGFSGGYHYPTRGMSKTVPKSPNFKVRFRLEVKQGGGKNSQFYLMDIGGCWKNNGKPCNGDVTTDVTRYSEMIINPETEAWCKPDDLRMCPPYHTLPNGTQIYRSDKNKFPYDAYHMWCAPGNADHLEEPYSLCDAYSNPQAQEILQILPHPVWGEYGYPTKKGEGWIGDPRSWELDVGRLSQSLYLYQDPGTKPVERHWPSIDLGTEIYISSDQVGEWIVSDFDIILPRQPI >Manes.05G116000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11915034:11925750:1 gene:Manes.05G116000.v8.1 transcript:Manes.05G116000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKASHNADSPRRRSGLLRDQVQLVKKKDSDRYEIAPIEETLSFEKGFFIVIRACQLLSQKNDGIIFVGVAGPSGAGKTVFTEKVLNFMPSLAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLDNIHGLKAGKAVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARAVTVEQIKSVISEEHTETKEETYDIYLLPPGEDSEACQSYLRMRNRDGKYNLMFEVQGRDRLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLSRSVSLSYANQREKNISKLTRLAVNNRRFDERTPDSPATVANQGIITQLSEQIATLNERMDEFTSRIEELNSKFTIRKVSASQQNLAMQAEACNGSGPTSVFVSGLGNGSLAGSLLPTSSSSSQLARESPLMEEVLIIARAQRQIMHQLDNLSNLLHEYWGARARQERTDRINRAIDVESIALPVILTLAVGGLGVFLFRGLTSQK >Manes.05G116000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11915225:11925739:1 gene:Manes.05G116000.v8.1 transcript:Manes.05G116000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKASHNADSPRRRSGLLRDQVQLVKKKDSDRYEIAPIEETLSFEKGFFIVIRACQLLSQKNDGIIFVGVAGPSGAGKTVFTEKVLNFMPSLAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLDNIHGLKAGKAVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARAVTVEQIKSVISEEHTETKEETYDIYLLPPGEDSEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFSDSRVCVKTDWLEQLNRQYVQVQGRDRLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLSRSVSLSYANQREKNISKLTRLAVNNRRFDERTPDSPATVANQGIITQLSEQIATLNERMDEFTSRIEELNSKFTIRKVSASQQNLAMQAEACNGSGPTSVFVSGLGNGSLAGSLLPTSSSSSQLARESPLMEEVLIIARAQRQIMHQLDNLSNLLHEYWGARARQERTDRINRAIDVESIALPVILTLAVGGLGVFLFRGLTSQK >Manes.05G116000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11915034:11925750:1 gene:Manes.05G116000.v8.1 transcript:Manes.05G116000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKASHNADSPRRRSGLLRDQVQLVKKKDSDRYEIAPIEETLSFEKGFFIVIRACQLLSQKNDGIIFVGVAGPSGAGKTVFTEKVLNFMPSLAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLDNIHGLKAGKAVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARAVTVEQIKSVISEEHTETKEETYDIYLLPPGEDSEACQSYLRMRNRDGKYNLMFEVQGRDRLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLSRSVSLSYANQREKNISKLTRLAVNNRRFDERTPDSPATVANQGIITQLSEQIATLNERMDEFTSRIEELNSKFTIRKVSASQQNLAMQAEACNGSGPTSVFVSGLGNGSLAGSLLPTSSSSSQLARESPLMEEVLIIARAQRQIMHQLDNLSNLLHEYWGARARQERTDRINRAIDVESIALPVILTLAVGGLGVFLFRGLTSQK >Manes.05G116000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11915035:11925750:1 gene:Manes.05G116000.v8.1 transcript:Manes.05G116000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKASHNADSPRRRSGLLRDQVQLVKKKDSDRYEIAPIEETLSFEKGFFIVIRACQLLSQKNDGIIFVGVAGPSGAGKTVFTEKVLNFMPSLAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLDNIHGLKAGKAVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARAVTVEQIKSVISEEHTETKEETYDIYLLPPGEDSEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFSDSRVCVKTDWLEQLNRQYVQVQGRDRLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLSRSVSLSYANQREKNISKLTRLAVNNRRFDERTPDSPATVANQGIITQLSEQIATLNERMDEFTSRIEELNSKFTIRKVSASQQNLAMQAEACNGSGPTSVFVSGLGNGSLAGSLLPTSSSSSQLARESPLMEEVLIIARAQRQIMHQLDNLSNLLHEYWGARARQERTDRINRAIDVESIALPVILTLAVGGLGVFLFRGLTSQK >Manes.05G116000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11915035:11925750:1 gene:Manes.05G116000.v8.1 transcript:Manes.05G116000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKASHNADSPRRRSGLLRDQVQLVKKKDSDRYEIAPIEETLSFEKGFFIVIRACQLLSQKNDGIIFVGVAGPSGAGKTVFTEKVLNFMPSLAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLDNIHGLKAGKAVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARAVTVEQIKSVISEEHTETKEETYDIYLLPPGEDSEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFSDSRVCVKTDWLEQLNRQYVQVQGRDRLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLSRSVSLSYANQREKNISKLTRLAVNNRRFDERTPDSPATVANQGIITQLSEQIATLNERMDEFTSRIEELNSKFTIRKVSASQQNLAMQAEACNGSGPTSVFVSGLGNGSLAGSLLPTSSSSSQLARESPLMEEVLIIARAQRQIMHQLDNLSNLLHEYWGARARQERTDRINRAIDVESIALPVILTLAVGGLGVFLFRGLTSQK >Manes.05G116000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11915029:11925749:1 gene:Manes.05G116000.v8.1 transcript:Manes.05G116000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLDNIHGLKAGKAVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSITGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFTGFQSPTYILKSARAVTVEQIKSVISEEHTETKEETYDIYLLPPGEDSEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFSDSRVCVKTDWLEQLNRQYVQVQGRDRLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLSRSVSLSYANQREKNISKLTRLAVNNRRFDERTPDSPATVANQGIITQLSEQIATLNERMDEFTSRIEELNSKFTIRKVSASQQNLAMQAEACNGSGPTSVFVSGLGNGSLAGSLLPTSSSSSQLARESPLMEEVLIIARAQRQIMHQLDNLSNLLHEYWGARARQERTDRINRAIDVESIALPVILTLAVGGLGVFLFRGLTSQK >Manes.03G155500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28408902:28428984:-1 gene:Manes.03G155500.v8.1 transcript:Manes.03G155500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRSLLVGSSVWVDDPEVSWVDGEVLKIKGDEVTIKCTSGKKVVAKASDVHPKDPEFPPCGVDDMTKLAYLHEPGVLYNLKCRYDENEIYTYTGNILIAVNPFKRIPHLYASDMMQRYKAAAFGELSPHPYAVADSAYRQMINEGISQAILVSGESGAGKTESTKSLMCYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQRGMISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPTEDVKKYKLGNPRKFHYLNQSNFFELDGMDESSEYLATRRAMDVVGINADEQEAIFRVVAAILHLGNVEFGKGAEADSSVPKDDKSRLHLRTTSELFMCDEKSLEDSLCKRVIVTRDESITKSLDPAAATVNRDALAKTVYSRLFDWLVNKINHSIGQDPNCKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEKIDWSYIDFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTNETFAQKLYQTFKDHKRFSKPKLARSDFTICHYAGDVTYQTEQFLDKNKDYVVAEHQALLSASKCTFVSGLFPPLPEDSAKSSKFSSIGTRFKQQLQSLLETLSATEPHYIRCVKPNNVLKPAIFENSNVLQQLRCGGVMEAIRISCAGFPTRKTFDEFVARFSILGPDVLKGSNGPTACKKLLEKANLQGYQIGKSKVFLRAGQMAELDARRSEVLGRAARLIQRKVRSYFCRKRFILLRQSAIDIQKVCRGQLARHEYECLRREAACLIIQKYGRRYLARKAYNRLCCSAVPIQAAMRRMAACSELLFRRQTRAATVIQTQCRKYLASLHYLRLKKAAITMQCACRGEVGRRELQKLKMAAKETGALQEAKTQLEKQVKELTWCLEQEKRMRADLEEAKTQESAKLHSALQEMQLEFQETKALLIKECEAAKKESGKVPITKEVSVVDHELVNKLTAENEMLKAMVSSLEKKIDEAERKYEETNRLSEERLKQALDAETKIIELKTAMQRLEEQLADMEDAEVLRRQALSSSSVQTLAEHLAVTSEPLENGHHEQQSSPLKQYGTDADKSFRRSQIERLHEKVDSLIKCVGQNLGFSQGTPVAAITIYRCLVHWKSFEAEKTSVFDRLIPLIGSAMENQDSNDHMAYWLSNTSTLLCLLQRTLKGSQKPPAPTSFFGRMTQSFRSSPSSSNLKVGKDTVQLVEAKYPALLFKQQLTAYVETMYGIIRDNLKKDLSPHLSSCVQVPSVSDKNDSESAPADYWKKIVETLDGMLNIFQENFVSPILVQKIFTQIFSHINVQLFNSLLLHRECCTFNNGEYVKAGLDELELWCGQGKDEYVGSAWDELRHTRQTVGFLVIHQKSRISYDDITNDLCPVLNIQQLYRVCTLYWDDNYNTQSVSPDVISSMKALTDESNNDDSNSFLLKEDPSIPFSIEEVSRSLHGKDFSDVKAPSELLENPDFEFLQD >Manes.03G155500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28408902:28428984:-1 gene:Manes.03G155500.v8.1 transcript:Manes.03G155500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRSLLVGSSVWVDDPEVSWVDGEVLKIKGDEVTIKCTSGKKVVAKASDVHPKDPEFPPCGVDDMTKLAYLHEPGVLYNLKCRYDENEIYTYTGNILIAVNPFKRIPHLYASDMMQRYKAAAFGELSPHPYAVADSAYRQMINEGISQAILVSGESGAGKTESTKSLMCYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQRGMISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPTEDVKKYKLGNPRKFHYLNQSNFFELDGMDESSEYLATRRAMDVVGINADEQEAIFRVVAAILHLGNVEFGKGAEADSSVPKDDKSRLHLRTTSELFMCDEKSLEDSLCKRVIVTRDESITKSLDPAAATVNRDALAKTVYSRLFDWLVNKINHSIGQDPNCKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEKIDWSYIDFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTNETFAQKLYQTFKDHKRFSKPKLARSDFTICHYAGDVTYQTEQFLDKNKDYVVAEHQALLSASKCTFVSGLFPPLPEDSAKSSKFSSIGTRFKQQLQSLLETLSATEPHYIRCVKPNNVLKPAIFENSNVLQQLRCGGVMEAIRISCAGFPTRKTFDEFVARFSILGPDVLKGSNGPTACKKLLEKANLQGYQIGKSKVFLRAGQMAELDARRSEVLGRAARLIQRKVRSYFCRKRFILLRQSAIDIQKVCRGQLARHEYECLRREAACLIIQKYGRRYLARKAYNRLCCSAVPIQAAMRRMAACSELLFRRQTRAATVIQTQCRKYLASLHYLRLKKAAITMQCACRGEVGRRELQKLKMAAKETGALQEAKTQLEKQVKELTWCLEQEKRMRADLEEAKTQESAKLHSALQEMQLEFQETKALLIKECEAAKKESGKVPITKEVSVVDHELVNKLTAENEMLKAMVSSPEKKESGQVPITKEVSVVDHELVNKLTAENEMLKAMVSSLEKKIDEAERKYEETNRLSEERLKQALDAETKIIELKTAMQRLEEQLADMEDAEVLRRQALSSSSVQTLAEHLAVTSEPLENGHHEQQSSPLKQYGTDADKSFRRSQIERLHEKVDSLIKCVGQNLGFSQGTPVAAITIYRCLVHWKSFEAEKTSVFDRLIPLIGSAMENQDSNDHMAYWLSNTSTLLCLLQRTLKGSQKPPAPTSFFGRMTQSFRSSPSSSNLKVGKDTVQLVEAKYPALLFKQQLTAYVETMYGIIRDNLKKDLSPHLSSCVQVPSVSDKNDSESAPADYWKKIVETLDGMLNIFQENFVSPILVQKIFTQIFSHINVQLFNSLLLHRECCTFNNGEYVKAGLDELELWCGQGKDEYVGSAWDELRHTRQTVGFLVIHQKSRISYDDITNDLCPVLNIQQLYRVCTLYWDDNYNTQSVSPDVISSMKALTDESNNDDSNSFLLKEDPSIPFSIEEVSRSLHGKDFSDVKAPSELLENPDFEFLQD >Manes.03G155500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28408591:28428984:-1 gene:Manes.03G155500.v8.1 transcript:Manes.03G155500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRSLLVGSSVWVDDPEVSWVDGEVLKIKGDEVTIKCTSGKKVVAKASDVHPKDPEFPPCGVDDMTKLAYLHEPGVLYNLKCRYDENEIYTYTGNILIAVNPFKRIPHLYASDMMQRYKAAAFGELSPHPYAVADSAYRQMINEGISQAILVSGESGAGKTESTKSLMCYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQRGMISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPTEDVKKYKLGNPRKFHYLNQSNFFELDGMDESSEYLATRRAMDVVGINADEQEAIFRVVAAILHLGNVEFGKGAEADSSVPKDDKSRLHLRTTSELFMCDEKSLEDSLCKRVIVTRDESITKSLDPAAATVNRDALAKTVYSRLFDWLVNKINHSIGQDPNCKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEKIDWSYIDFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTNETFAQKLYQTFKDHKRFSKPKLARSDFTICHYAGDVTYQTEQFLDKNKDYVVAEHQALLSASKCTFVSGLFPPLPEDSAKSSKFSSIGTRFKQQLQSLLETLSATEPHYIRCVKPNNVLKPAIFENSNVLQQLRCGGVMEAIRISCAGFPTRKTFDEFVARFSILGPDVLKGSNGPTACKKLLEKANLQGYQIGKSKVFLRAGQMAELDARRSEVLGRAARLIQRKVRSYFCRKRFILLRQSAIDIQKVCRGQLARHEYECLRREAACLIIQKYGRRYLARKAYNRLCCSAVPIQAAMRRMAACSELLFRRQTRAATVIQTQCRKYLASLHYLRLKKAAITMQCACRGEVGRRELQKLKMAAKETGALQEAKTQLEKQVKELTWCLEQEKRMRADLEEAKTQESAKLHSALQEMQLEFQETKALLIKECEAAKKESGKVPITKEVSVVDHELVNKLTAENEMLKAMVSSLEKKIDEAERKYEETNRLSEERLKQALDAETKIIELKTAMQRLEEQLADMEDAEVLRRQALSSSSVQTLAEHLAVTSEPLENGHHEQQSSPLKQYGTDADKSFRRSQIERLHEKVDSLIKCVGQNLGFSQGTPVAAITIYRCLVHWKSFEAEKTSVFDRLIPLIGSAMENQDSNDHMAYWLSNTSTLLCLLQRTLKGSQKPPAPTSFFGRMTQSFRSSPSSSNLKVGKDTVQLVEAKYPALLFKQQLTAYVETMYGIIRDNLKKDLSPHLSSCVQVPSVSDKNDSESAPADYWKKIVETLDGMLNIFQENFVSPILVQKIFTQIFSHINVQLFNSLLLHRECCTFNNGEYVKAGLDELELWCGQGKDEYVGSAWDELRHTRQTVGFLVIHQKSRISYDDITNDLCPVLNIQQLYRVCTLYWDDNYNTQSVSPDVISSMKALTDESNNDDSNSFLLKEDPSIPFSIEEVSRSLHGKDFSDVKAPSELLENPDFEFLQD >Manes.03G155500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28408995:28428984:-1 gene:Manes.03G155500.v8.1 transcript:Manes.03G155500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRSLLVGSSVWVDDPEVSWVDGEVLKIKGDEVTIKCTSGKKVVAKASDVHPKDPEFPPCGVDDMTKLAYLHEPGVLYNLKCRYDENEIYTYTGNILIAVNPFKRIPHLYASDMMQRYKAAAFGELSPHPYAVADSAYRQMINEGISQAILVSGESGAGKTESTKSLMCYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQRGMISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPTEDVKKYKLGNPRKFHYLNQSNFFELDGMDESSEYLATRRAMDVVGINADEQEAIFRVVAAILHLGNVEFGKGAEADSSVPKDDKSRLHLRTTSELFMCDEKSLEDSLCKRVIVTRDESITKSLDPAAATVNRDALAKTVYSRLFDWLVNKINHSIGQDPNCKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEKIDWSYIDFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTNETFAQKLYQTFKDHKRFSKPKLARSDFTICHYAGDVTYQTEQFLDKNKDYVVAEHQALLSASKCTFVSGLFPPLPEDSAKSSKFSSIGTRFKQQLQSLLETLSATEPHYIRCVKPNNVLKPAIFENSNVLQQLRCGGVMEAIRISCAGFPTRKTFDEFVARFSILGPDVLKGSNGPTACKKLLEKANLQGYQIGKSKVFLRAGQMAELDARRSEVLGRAARLIQRKVRSYFCRKRFILLRQSAIDIQKVCRGQLARHEYECLRREAACLIIQKYGRRYLARKAYNRLCCSAVPIQAAMRRMAACSELLFRRQTRAATVIQTQCRKYLASLHYLRLKKAAITMQCACRGEVGRRELQKLKMAAKETGALQEAKTQLEKQVKELTWCLEQEKRMRADLEEAKTQESAKLHSALQEMQLEFQETKALLIKECEAAKKESGKVPITKEVSVVDHELVNKLTAENEMLKAMVSSPEKKESGQVPITKEVSVVDHELVNKLTAENEMLKAMVSSLEKKIDEAERKYEETNRLSEERLKQALDAETKIIELKTAMQRLEEQLADMEDAEVLRRQALSSSSVQTLAEHLAVTSEPLENGHHEQQSSPLKQYGTDADKSFRRSQIERLHEKVDSLIKCVGQNLGFSQGTPVAAITIYRCLVHWKSFEAEKTSVFDRLIPLIGSAMENQDSNDHMAYWLSNTSTLLCLLQRTLKGSQKPPAPTSFFGRMTQSFRSSPSSSNLKVGKDTVQLVEAKYPALLFKQQLTAYVETMYGIIRDNLKKDLSPHLSSCVQVPSVSDKNDSESAPADYWKKIVETLDGMLNIFQENFVSPILVQKIFTQIFSHINVQLFNSLLLHRECCTFNNGEYVKAGLDELELWCGQGKDEYVGSAWDELRHTRQTVGFLVIHQKSRISYDDITNDLCPVLNIQQLYRVCTLYWDDNYNTQSVSPDHESSDR >Manes.03G155500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28408591:28428984:-1 gene:Manes.03G155500.v8.1 transcript:Manes.03G155500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASRSLLVGSSVWVDDPEVSWVDGEVLKIKGDEVTIKCTSGKKVVAKASDVHPKDPEFPPCGVDDMTKLAYLHEPGVLYNLKCRYDENEIYTYTGNILIAVNPFKRIPHLYASDMMQRYKAAAFGELSPHPYAVADSAYRQMINEGISQAILVSGESGAGKTESTKSLMCYLAYMGGRAAAEGRSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVELQFDQRGMISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPTEDVKKYKLGNPRKFHYLNQSNFFELDGMDESSEYLATRRAMDVVGINADEQEAIFRVVAAILHLGNVEFGKGAEADSSVPKDDKSRLHLRTTSELFMCDEKSLEDSLCKRVIVTRDESITKSLDPAAATVNRDALAKTVYSRLFDWLVNKINHSIGQDPNCKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEKIDWSYIDFIDNQDVLDLIEKKPGGIIALLDEACMFPRSTNETFAQKLYQTFKDHKRFSKPKLARSDFTICHYAGDVTYQTEQFLDKNKDYVVAEHQALLSASKCTFVSGLFPPLPEDSAKSSKFSSIGTRFKQQLQSLLETLSATEPHYIRCVKPNNVLKPAIFENSNVLQQLRCGGVMEAIRISCAGFPTRKTFDEFVARFSILGPDVLKGSNGPTACKKLLEKANLQGYQIGKSKVFLRAGQMAELDARRSEVLGRAARLIQRKVRSYFCRKRFILLRQSAIDIQKVCRGQLARHEYECLRREAACLIIQKYGRRYLARKAYNRLCCSAVPIQAAMRRMAACSELLFRRQTRAATVIQTQCRKYLASLHYLRLKKAAITMQCACRGEVGRRELQKLKMAAKETGALQEAKTQLEKQVKELTWCLEQEKRMRADLEEAKTQESAKLHSALQEMQLEFQETKALLIKECEAAKKESGKVPITKEVSVVDHELVNKLTAENEMLKAMVSSPEKKESGQVPITKEVSVVDHELVNKLTAENEMLKAMVSSLEKKIDEAERKYEETNRLSEERLKQALDAETKIIELKTAMQRLEEQLADMEDAEVLRRQALSSSSVQTLAEHLAVTSEPLENGHHEQQSSPLKQYGTDADKSFRRSQIERLHEKVDSLIKCVGQNLGFSQGTPVAAITIYRCLVHWKSFEAEKTSVFDRLIPLIGSAMENQDSNDHMAYWLSNTSTLLCLLQRTLKGSQKPPAPTSFFGRMTQSFRSSPSSSNLKVGKDTVQLVEAKYPALLFKQQLTAYVETMYGIIRDNLKKDLSPHLSSCVQVPSVSDKNDSESAPADYWKKIVETLDGMLNIFQENFVSPILVQKIFTQIFSHINVQLFNSLLLHRECCTFNNGEYVKAGLDELELWCGQGKDEYVGSAWDELRHTRQTVGFLVIHQKSRISYDDITNDLCPVLNIQQLYRVCTLYWDDNYNTQSVSPDVISSMKALTDESNNDDSNSFLLKEDPSIPFSIEEVSRSLHGKDFSDVKAPSELLENPDFEFLQD >Manes.S042916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1285468:1285626:-1 gene:Manes.S042916.v8.1 transcript:Manes.S042916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.01G132400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548073:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNIMLDVPFLPSTPSLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548073:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRFIGFIRSQQFAVY >Manes.01G132400.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548072:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548045:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548045:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNIMLDVPFLPSTPSLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548045:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNIMLDVPFLPSTPSLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548073:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRFIGFIRSQQFAVY >Manes.01G132400.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548073:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548046:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRFIGFIRSQQFAVY >Manes.01G132400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548073:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNIMLDVPFLPSTPSLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548073:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRFIGFIRSQQFAVY >Manes.01G132400.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548046:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNIMLDVPFLPSTPSLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRFIGFIRSQQFAVY >Manes.01G132400.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548072:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548045:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548046:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRFIGFIRSQQFAVY >Manes.01G132400.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548046:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNIMLDVPFLPSTPSLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRFIGFIRSQQFAVY >Manes.01G132400.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32553884:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASSCRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.01G132400.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548073:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRFIGFIRSQQFAVY >Manes.01G132400.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32548073:32566080:1 gene:Manes.01G132400.v8.1 transcript:Manes.01G132400.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDDMEPTEQGPSNALWWGSDFMEKFESVSLLSQGDSLSNKESPRNYEENGLSSLTASQILWSTGLLSERIPNGFYSVIPDKRLKELFDSIPTLDELHALGGEASKADVIFVDAKKDKKLSMLKQLTVALVKGLNSNPAAMTKKIAGLVSEFYKRPNVESPEKAALEETSHTFENRGVQLLGQIKHGSCRSRAILFKVLADTVGLESRLMVGLPNDGSVECVDSYKHMSVMVVLNSGELLVDLMRFPGKLIPRSTKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSQRVDPDSAEKDENLQFHGKLEAATNVSGPALRNMMLHSATSIDRELNLSHSEPNIATAFWRRSRKKVIAEQRTASSSPEHPSFRARGRSMLSGDRHSIKDYAVEEVASRSVGASTAEALRIRRRSISMTPEIGDDIVRAVRAMNESLKQNRVLREQGDDRSFANSLDDKDNGPDLQENASNFDLDGHDALSGRRSALYTLQRDHISSQNAISLPSSPHQYRSQMSEPRGPSVHVNDELVSTWKKVLESPMFHNKPLLPFQEWNIDFSELTVGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACMKPPHLSMVTEYMEMGSLYYLIHMSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTETPMRDSSAGTPEWMAPELIRSEPFTEKCDIFSFGVIMWELCTLNRPWEGVPPERVVYAVANEGSRLEVTEGPLGRLISDCWAEPHERPSCEEILSRLLDCEYTLC >Manes.16G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31767007:31772205:1 gene:Manes.16G112100.v8.1 transcript:Manes.16G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDEMREEKEKEKITEKKKKQQKQKQKQKLKNPEEKQNKSEENITVEEKDTKEKTQAQINSKKRKRKDVFAFGNYRSYYGYRIGQGMDEDPRLKVFKREWFQGKDCLDIGCNSGIITIQIAKKFHCHRILGIDIDSDRISDAYWHLRKFVRAERVQKSGAKASIVKVTEKVNDLESCANPSSDEKQEIARDSSHSEEGDLFDIVSFRKENFVQSWCQREEQYDTILCLSVTKWIHLNWGDDGLITLFSKIWRLLRPGGILVLEPQPWRSYENNHLVSETTSKNYQTIIFRPNCFMEILLDKIGFRKVEDITSALSGSKVGFDRPIFAYHK >Manes.16G112100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31767007:31772205:1 gene:Manes.16G112100.v8.1 transcript:Manes.16G112100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDEMREEKEKEKITEKKKKQQKQKQKQKLKNPEEKQNKSEENITVEEKDTKEKTQAQINSKKRKRKDVFAFGNYRSYYGYRIGQGMDEDPRLKVFKREWFQGKDCLDIGCNSGIITIQIAKKFHCHRILGIDIDSDRISDAYWHLRKFVRAERVQKSGAKASIVKVTEKVNDLESCANPSSDEKQEIARDSSHSEEGDLFDIVSFRKENFVQSWCQREEQYDTILCLSVTKWIHLNWGDDGLITLFSKIWRLLRPGGILVLEPQPWRSYENNHLVSEHSCK >Manes.16G112100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31767007:31772205:1 gene:Manes.16G112100.v8.1 transcript:Manes.16G112100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDEMREEKEKEKITEKKKKQQKQKQKQKLKNPEEKQNKSEENITVEEKDTKEKTQAQINSKKRKRKDVFAFGNYRSYYGYRIGQGMDEDPRLKVFKREWFQGKDCLDIGCNSGIITIQIAKKFHCHRILGIDIDSDRISDAYWHLRKFVRAERVQKSGAKASIVKVTEKVNDLESCANPSSDEKQEIARDSSHSEEGDLFDIVSFRKENFVQSWCQREEQYDTILCLSVTKWIHLNWGDDGLITLFSKIWRLLRPGGILVLEPQPWRSYENNHLVSEVLLNFLFLLHTCIARSGLIRMVV >Manes.05G175500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29208752:29212236:-1 gene:Manes.05G175500.v8.1 transcript:Manes.05G175500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYSFLNDQLSKRTSIFGLRLWVVLGVCVGAAIVLVLFLISLWFTSKRNKTNKNAAHYKFMSSLNNPTIPSVSKEIQEISVDPSHPTPDAKPMSPEPVPESDPVLLLQNEENTSPVGGRNRIHIEIRKDHRISYGSGYGSGETRSGPRSGDQGGTVITVPEVSHLGWGHWYTLRELEFSTNGFADENVIGEGGYGIVYRGVMEDNSKVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLARLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNAKVSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNERSDVYSFGILLMEIISGRNPVDYSRPPGEVNLVEWLKTMVTNRNAEGVLDPRLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADEFPFRDDRRTVKENGRAHFDGEKTDKRVIESGDSSGYESGAQTNISLWRKQEPEEHLFGAPEKDSYSH >Manes.13G113450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31901881:31902685:1 gene:Manes.13G113450.v8.1 transcript:Manes.13G113450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDMEYSRFLLGFARASAVESSGICLVKLNYEDRIRFRLFINWEMI >Manes.16G069601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25397283:25428177:-1 gene:Manes.16G069601.v8.1 transcript:Manes.16G069601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSQTSFPTLRTVTISYSDLKDRNVDLSMKIEEGFGPNGLGILSVTDVPGFSSLRQNLLHLSPRLASLPEEKKKDLEDPNSRYNYGWSHGKEMLESGKPDLFKGSFYANPVLDVPTTDAYLMHRYPHFCGSNIWPVNSLPELEVAFKALGKLILDVGLMVAYHCDKYATKGMKINENEGLEQILLRSRCHKGRLLYYFPIQSTSNCIQDDGSAFSWCGWHTDNGSLTGLTCAIFKREGVEIPCPDSAAGLYIKTRTDQIVKVVFGEDEIAYQIGETAEILSRGYLCATPHCVRAPKGEDSSGVDRSTFALFMQPDWDEKLNFPEKMHIHEELMLANRSLTFGEYSEKLLDKYYNLKS >Manes.16G069601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25397283:25428177:-1 gene:Manes.16G069601.v8.1 transcript:Manes.16G069601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLYKTQGNSQLFYNLASSPYPSPSNRLSSRRNICWTMSCTSQTSFPTLRTVTISYSDLKDRNVDLSMKIEEGFGPNGLGILSVTDVPGFSSLRQNLLHLSPRLASLPEEKKKDLEDPNSRYNYGWSHGKEMLESGKPDLFKGSFYANPVLDVPTTDAYLMHRYPHFCGSNIWPVNSLPELEVAFKALGKLILDVGLMVAYHCDKYATKGMKINENEGLEQILLRSRCHKGRLLYYFPIQSTSNCIQDDGSAFSWCGWHTDNGSLTGLTCAIFKREGVEIPCPDSAAGLYIKTRTDQIVKVVFGEDEIAYQIGETAEILSRGYLCATPHCVRAPKGEDSSGVDRSTFALFMQPDWDEKLNFPEKMHIHEELMLANRSLTFGEYSEKLLDKYYNLKS >Manes.16G069601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25397283:25428177:-1 gene:Manes.16G069601.v8.1 transcript:Manes.16G069601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGFYQLQMLASLPEEKKKDLEDPNSRYNYGWSHGKEMLESGKPDLFKGSFYANPVLDVPTTDAYLMHRYPHFCGSNIWPVNSLPELEVAFKALGKLILDVGLMVAYHCDKYATKGMKINENEGLEQILLRSRCHKGRLLYYFPIQSTSNCIQDDGSAFSWCGWHTDNGSLTGLTCAIFKREGVEIPCPDSAAGLYIKTRTDQIVKVVFGEDEIAYQIGETAEILSRGYLCATPHCVRAPKGEDSSGVDRSTFALFMQPDWDEKLNFPEKMHIHEELMLANRSLTFGEYSEKLLDKYYNLKS >Manes.01G253100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41118145:41128346:-1 gene:Manes.01G253100.v8.1 transcript:Manes.01G253100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENQEQHGLNQHAEARPELEKKLIYYTREFLLSLRELDICKNLPSGIDQSILSEFEDTSQDRFRISGSLSSQSYRRNDYGSSPPTRGDISNHSRGIHGRWDSPSSGKSDHDSDTQSDWDSDSRKRYGNQSRRPWQVPEHDGLLGSGSFPRPSGYAAGASAPKFQANDQYHLNRSSEPYHPPRPYKAVPHLRRDTHDTYNDETFGSSECTSEDRVEEERKRRASFELMRKEQHKASQEKWKLNPQKGKDDFDISELMEDPKDDKRFMIKKDESDAVVTQPPSNTDSDKPSFPSPAPVARPLVPPGFSSTIVEKNIGMKSSIHPQPSEHEVKADETCSPHNVPSSKFAQWFLEEEKKPINDLVSGRPSDLLSLIVGEKSGSQALDAKAIENITPSLPLQSSGVTDGHMTSNSTPVKNIDKLDTVPAVLTCEDLELSILSEITENGSILQPSVGGLSDSGAKIKQQKADINDHASHHLLSLLQKGTGLSTDLEIISSDTQQYVEAENLGAKLSSSREIYTENIHNAGKPLTLETLFGTAFMKELQPVGTPTSGQRGLTESMRANISEFPFPVMDDDILASTYMTSGMSTQGGCIIASNQRQKMKSESTEEKLLGFDRQEEVDSLQHQKTELGSIFGGFDGSVEIQLPEEDSLITASNSISLQNFMPAWNSSIAELLSTPETAVDISNKLAALNSVYREEGPIVGGKEGGAFFHGPYDMSEPDHHYTELHAQPSSPQLHHPRLNHAGQVFHSLDSHPANMNAQMKFMASENLHQDPPNHQFPANFLRPPFHHSSTGSTGFGPNPHNAMLQQMHMPGNFPPPHLLRGFSRGAPVSPHPNNQVPSFLQEPNPMQGLPFGQRQINFGGVGISPLGAASDVGGGKHHPEALQRLVEMELRSKSKPIHPFAMGGHGQRMYGHELDNRHGFWT >Manes.01G253100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41118145:41128346:-1 gene:Manes.01G253100.v8.1 transcript:Manes.01G253100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENQEQHGLNQHAEARPELEKKLIYYTREFLLSLRELDICKNLPSGIDQSILSEFEDTSQDRFRISGSLSSQSYRRNDYGSSPPTRGDISNHSRGIHGRWDSPSSGKSDHDSDTQSDWDSDSRKRYGNQSRRPWQVPEHDGLLGSGSFPRPSGYAAGASAPKFQANDQYHLNRSSEPYHPPRPYKAVPHLRRDTHDTYNDETFGSSECTSEDRVEEERKRRASFELMRKEQHKASQEKWKLNPQKGKDDFDISELMEDPKDDKRFMIKKDESDAVVTQPPSNTDSDKPSFPSPAPVARPLVPPGFSSTIVEKNIGMKSSIHPQPSEHEVKADETCSPHNVPSSKFAQWFLEEEKKPINDLVSGRPSDLLSLIVGEKSGSQALDAKAIENITPSLPLQSSGVTDGHMTSNSTPVKNIDKLDTVPAVLTCEDLELSILSEITENGSILQPSVGGLSDSGAKIKQQKADINDHASHHLLSLLQKGTGLSTDLEIISSDTQQYVEAENLGAKLSSSREIYTENIHNAGKPLTLETLFGTAFMKELQPVGTPTSGQRGLTESMRANISEFPFPVMDDDILASTYMTSGMSTQGGCIIASNQRQKMKSESTEEKLLGFDRQEEVDSLQHQKTELGSIFGGFDGSVEIQLPEEDSLITASNSISLQNFMPAWNSSIAELLSTPETAVDISNKLAALNSVYREEGPIVGGKEGGAFFHGPYDMSEPDHHYTELHAQPSSPQLHHPRLNHAGQVFHSLDSHPANMNAQMKFMASENLHQDPPNHQFPANFLRPPFHHSSTGSTGFGPNPHNAMLQQMHMPGNFPPPHLLRGFSRGAPVSPHPNNQVPSFLQEPNPMQGLPFGQRQINFGGVGISPLASDVGGGKHHPEALQRLVEMELRSKSKPIHPFAMGGHGQRMYGHELDNRHGFWT >Manes.01G253100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41118146:41128345:-1 gene:Manes.01G253100.v8.1 transcript:Manes.01G253100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENQEQHGLNQHAEARPELEKKLIYYTREFLLSLRELDICKNLPSGIDQSILSEFEDTSQDRFRISGSLSSQSYRRNDYGSSPPTRGDISNHSRGIHGRWDSPSSGKSDHDSDTQSDWDSDSRKRYGNQSRRPWQVPEHDGLLGSGSFPRPSGYAAGASAPKFQANDQYHLNRSSEPYHPPRPYKAVPHLRRDTHDTYNDETFGSSECTSEDRVEEERKRRASFELMRKEQHKASQEKWKLNPQKGKDDFDISELMEDPKDDKRFMIKKDESDAVVTQPPSNTDSDKPSFPSPAPVARPLVPPGFSSTIVEKNIGMKSSIHPQPSEIGNEHEGSHLHSKGNILQSGTSSNQEEKQSSEQIDSREQQLRSPNINVAVNQSEKILNLSSALDVSSEAVGVDSQYYKSSKLSAAFETSENSEVIELGAKRVTGSEVMGESSPTRSSSILSGLFGTVFTLNDVGSSGFIEHEVKADETCSPHNVPSSKFAQWFLEEEKKPINDLVSGRPSDLLSLIVGEKSGSQALDAKAIENITPSLPLQSSGVTDGHMTSNSTPVKNIDKLDTVPAVLTCEDLELSILSEITENGSILQPSVGGLSDSGAKIKQQKADINDHASHHLLSLLQKGTGLSTDLEIISSDTQQYVEAENLGAKLSSSREIYTENIHNAGKPLTLETLFGTAFMKELQPVGTPTSGQRGLTESMRANISEFPFPVMDDDILASTYMTSGMSTQGGCIIASNQRQKMKSESTEEKLLGFDRQEEVDSLQHQKTELGSIFGGFDGSVEIQLPEEDSLITASNSISLQNFMPAWNSSIAELLSTPETAVDISNKLAALNSVYREEGPIVGGKEGGAFFHGPYDMSEPDHHYTELHAQPSSPQLHHPRLNHAGQVFHSLDSHPANMNAQMKFMASENLHQDPPNHQFPANFLRPPFHHSSTGSTGFGPNPHNAMLQQMHMPGNFPPPHLLRGFSRGAPVSPHPNNQVPSFLQEPNPMQGLPFGQRQINFGGVGISPLGAASDVGGGKHHPEALQRLVEMELRSKSKPIHPFAMGGHGQRMYGHELDNRHGFWT >Manes.01G253100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41118146:41128345:-1 gene:Manes.01G253100.v8.1 transcript:Manes.01G253100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENQEQHGLNQHAEARPELEKKLIYYTREFLLSLRELDICKNLPSGIDQSILSEFEDTSQDRFRISGSLSSQSYRRNDYGSSPPTRGDISNHSRGIHGRWDSPSSGKSDHDSDTQSDWDSDSRKRYGNQSRRPWQVPEHDGLLGSGSFPRPSGYAAGASAPKFQANDQYHLNRSSEPYHPPRPYKAVPHLRRDTHDTYNDETFGSSECTSEDRVEEERKRRASFELMRKEQHKASQEKWKLNPQKGKDDFDISELMEDPKDDKRFMIKKDESDAVVTQPPSNTDSDKPSFPSPAPVARPLVPPGFSSTIVEKNIGMKSSIHPQPSEIGNEHEGSHLHSKGNILQSGTSSNQEEKQSSEQIDSREQQLRSPNINVAVNQSEKILNLSSALDVSSEAVGVDSQYYKSSKLSAAFETSENSEVIELGAKRVTGSEVMGESSPTRSSSILSGLFGTVFTLNDVGSSGFIEHEVKADETCSPHNVPSSKFAQWFLEEEKKPINDLVSGRPSDLLSLIVGEKSGSQALDAKAIENITPSLPLQSSGVTDGHMTSNSTPVKNIDKLDTVPAVLTCEDLELSILSEITENGSILQPSVGGLSDSGAKIKQQKADINDHASHHLLSLLQKGTGLSTDLEIISSDTQQYVEAENLGAKLSSSREIYTENIHNAGKPLTLETLFGTAFMKELQPVGTPTSGQRGLTESMRANISEFPFPVMDDDILASTYMTSGMSTQGGCIIASNQRQKMKSESTEEKLLGFDRQEEVDSLQHQKTELGSIFGGFDGSVEIQLPEEDSLITASNSISLQNFMPAWNSSIAELLSTPETAVDISNKLAALNSVYREEGPIVGGKEGGAFFHGPYDMSEPDHHYTELHAQPSSPQLHHPRLNHAGQVFHSLDSHPANMNAQMKFMASENLHQDPPNHQFPANFLRPPFHHSSTGSTGFGPNPHNAMLQQMHMPGNFPPPHLLRGFSRGAPVSPHPNNQVPSFLQEPNPMQGLPFGQRQINFGGVGISPLASDVGGGKHHPEALQRLVEMELRSKSKPIHPFAMGGHGQRMYGHELDNRHGFWT >Manes.10G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3905310:3909790:1 gene:Manes.10G038300.v8.1 transcript:Manes.10G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVEEGGERDLEKGLIYPQQNQNQNPLAEPSPTPSPSSTSSAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQHGDVAAVKQILNDIDSQLMRTFSGEEFDAEVAEIRASVVNEVNELGETALFTAADKGHLEVVKELLKYSSRECITRKNRSGFDPLHIAAVQGHHAIVQVLLDHDPSLSQTYGPSNATPLVSAATRGHTAVVIELLSKDGSLLEISRSNGKNALHLAARQGHVDVVKALLSKDPQLARRTDKKGQTALHMAVKGQSCEVVKLLLGADAAIVMLPDKNGNTALHVATRKKRVEIVNELLLLPDTNVNALTRDHKTALDIAEELTLSEESSEIKECLYKYGAVRANELNQPRDELRKTVTQIKKDVHTQLEQTRKTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDDKSGIAVVVSHTSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFMASSYIVVGRKHEWAAILVTVVGGVIMAGVLGTMTYYVVKSKRIRSMRKKEKHARRSGSTSWQHSDFSNSEVDRIYAL >Manes.13G022150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3172559:3173494:-1 gene:Manes.13G022150.v8.1 transcript:Manes.13G022150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCHGAASSTFRNTFQEYKRLYHPNIFCLVEPRISGEAADEVCGLLGYENWIRVEAVGFSGGIWLLWSEDGFRIELVVTDPQFITVAINFSTGEKWLFSVVYASPDIYLRRKLWQSLSGENSLSISKWIVAGDFNSVVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVGSGFTWQRSGENVPYQDARLDRCFVFTDWRLDYVDAIVEHPPKLHSDHVPIVIKFQGVLAFGVRPFRFLTAWTLHAQFDQVVACSWDPNRSLIHNLSTLKIQLGEWNRTQFGNIFANKRRLLRRLGGVQRDLAESRTRSLV >Manes.07G091400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28401202:28403967:-1 gene:Manes.07G091400.v8.1 transcript:Manes.07G091400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRFAGSLSTPKVDVAIDMGNHFLNLTVDGFLKIGTVAATRVLAEDAYYVVKRGNISTRNFDQTLKKMCKEGALWGTVAGVYVGMEYGMERVRGTRDWKNAMFGGALTGALISAACNKNKDKVLTDALTGGAIATAATFLNYLT >Manes.17G079050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27923065:27923988:1 gene:Manes.17G079050.v8.1 transcript:Manes.17G079050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGKLEIHFWIDAPADQFHDVFSFRPYLIPNMSPHKILGVDLLEGEWGKEGAIICWKYFYDGSVTVAKDLIETIDNVYLLTVFKVIEGDVLKEYKSFKLTIQATPKGEGSVARWTLEYEKIHENIRDPYSLLEFIVQFSKDVSAHLVKYPKK >Manes.08G075937.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:15580638:15581153:1 gene:Manes.08G075937.v8.1 transcript:Manes.08G075937.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIDALGREVFRAISTKIVVSTPHHRGFVDFAAIKHLRDQGLNHAVWRGKHLKPMLNIKNLIKSEPAKSLPLSVITHQKDSLEIPTRPIEFTYQA >Manes.01G226000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132632:39138184:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSAASTSSYSVHGCPATSVSCSQPRQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132632:39138190:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSASSTSSYSVHGCPATSVSCSQPRQRGSDSEGRYPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132618:39138287:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEFFVDGSQWSSRDLNRVFFKCSSIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSASSTSSYSVHGCPATSVSCSQPRQRGSDSEGRYPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132624:39138185:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEFFVDGSQWSSRDLNRVFFKCSSIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSASSTSSYSVHGCPATSVSCSQPRQRGSDSEGRYPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132632:39138184:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEFFVDGSQWSSRDLNRVFFKCSSIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSAASTSSYSVHGCPATSVSCSQPRQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132618:39138287:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSASSTSSYSVHGCPATSVSCSQPRQRGSDSEGRYPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132632:39138190:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSASSTSSYSVHGCPATSVSCSQPRQRGSDSEGRYPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132618:39138287:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEFFVDGSQWSSRDLNRVFFKCSSIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSASSTSSYSVHGCPATSVSCSQPRQRGSDSEGRYPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132618:39138287:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSASSTSSYSVHGCPATSVSCSQPRQRGSDSEGRYPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.01G226000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39132624:39138185:1 gene:Manes.01G226000.v8.1 transcript:Manes.01G226000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSNSTASTGTPTASPNGKRSRDPEDEVYLDNLHSHKRYLSEIMASSLNGLTVGEPLSENLMESPARSEGMFSARDEMSLQYSPMSEDSDDSRFCETPINTCSFQPESLPTSPVSPYRYQRPFGGFSSASSTSSYSVHGCPATSVSCSQPRQRGSDSEGRYPSSPSDICHSADLRRAALLRSVQMRTQPPGSSSFDLPFGSGQEPIPSIEVDERPCPYMKSLVDERDYHIEECSSVRTSGPELNNGKSCRALNMSIKGDELGD >Manes.17G003800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2437434:2440543:-1 gene:Manes.17G003800.v8.1 transcript:Manes.17G003800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVNLTEGAISKITSGGATAAELKPTLQVTELKQVQTKQPQQSDRFRLVLSDGSHLQQAMLGTQINHLVKDGHLRPGSVVQLIQYTCTTVQGRMIIIILELIVIVEECALMGHPVSAQKSLGPPQSSTDQPVNNLANPQSFGSSSLAGGMVENSNLAVASPQNPRMNQLHSSSNSSNFDSGRHGAPNIPPSHLKAEPVANAGFRNPRPEISQTPSTYSYAPRPAYQQPPPMYSNRGPMDNQGRVTAKGELRHYNNPRGDGKVFSFDLLDSDGGEIRVTCFNAVADQFYHQIEAGKVYLISRGNLKPAQKNFNHLRNDLEIFLESTSIIQPCFEDDSAIPRQQFHFRSITEIEGIDNNSVVDLIGMVTSITPSASIMRKNGTETQKRTLQLKDMSGRSVELTLWGNFCNAEGQRLQNMCDSGGFPVLAVKSGRVSDFNGKAVGTISTSQLFIDPDFPEARRLKEWFEKEGRNMPSLSISREMASVGRTDVQKTVSQIKDERLGTSEKPDWITVSATVIFIKSDNFCYTACPLMSGDRPCNKKVTNNGDGKWRCEKCDQSMDECDYRYILQFQIQDHTGITWVTAFQESAEEIMGISAKDLHFLKYEEQDDERFSKIIRQVLFSKFMFKLKVKEETFSDEQRVKSTVVRAEKVNYSSQSKFLLEMMEKFNSGNSAPFAPKMEPNYPLSGANNTGFESGGRQGGPVGSNQGGNSSYAAREFVSLPNEVGHYGNQYSARFPTANYSCNSCGATTHTSSNCPSIMNAPRQSERGAYVNNTPSMGSGGGGECYKCHQIGHWARDCPGPTAVPPAYGSSGRFGGISKPQFSGF >Manes.09G137800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33768754:33772510:1 gene:Manes.09G137800.v8.1 transcript:Manes.09G137800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIGKKKILFCKGLTNIDHWPHWIWSTKLHHQCRNTRRRKGSRRRKHTPPFLLRVFPLLLCLLLDLRLSSFSATCRICHRTFLKRMSRHPEVLWAQRSDKVYLTIALPDAKDVSVKCEAEGVFSFSAVGVQGESFDFTLQLYGAILPEGCKTNVGLRNIICSVQKQEKAWWKRLLKSEEKPAPYIKVDWNKWCDEDDEETTSDLDSDDDNTAYDEEDEGSDDEGMLYLPDLEKARGN >Manes.02G043100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3522939:3526268:-1 gene:Manes.02G043100.v8.1 transcript:Manes.02G043100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFFLLHCILSFITSISTFTYGDMGDIKVLTVGKELWKETLPLQMGSRMYQLQGLKSHTWYEVKISYPASIPATFSIQLKKDGSDMGMNKNRRLLNTEKLIFKTDSLTGNQNNLYVLVTVEPEGVVAIPHVPERQYIIFNIVCDELLLGIPYKAWWVGILVLLCLGLAFIIPQFLPPYLLQPNGSTKSLNQNVSKKS >Manes.02G043100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3522939:3526268:-1 gene:Manes.02G043100.v8.1 transcript:Manes.02G043100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMYQLQGLKSHTWYEVKISYPASIPATFSIQLKKDGSDMGMNKNRRLLNTEKLIFKTDSLTGNQNNLYVLVTVEPEGVVAIPHVPERQYIIFNIVCDELLLGIPYKAWWVGILVLLCLGLAFIIPQFLPPYLLQPNGSTKSLNQNVSKKS >Manes.09G092274.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:28580251:28581304:1 gene:Manes.09G092274.v8.1 transcript:Manes.09G092274.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVFISSSFLSAKPLTIPHQGLKPTIFKDSTQTAKITKKPISFKVRAAKVPASVELPKVEPKFQAPFLGFTRTAEIWNSRACMIGIMGTFIVEFILNKGILQVIGVEVGKGLDLPL >Manes.18G118701.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12379804:12382494:1 gene:Manes.18G118701.v8.1 transcript:Manes.18G118701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLNDFSLKSLSLTNKAFGFLLSTATAEILLRKSTQRVIDILGEVGGTCYRTGVYSLIEMFSVLGSFNMAKFVIEKTERRLSFYSILIREMCKRCDFQGARDVMNEMRKAGCNPSPQTYNYIISSLLKNGKNNDAYELFLKMKESNCPPDALTFEIFIYNFCREGKLDVAFKFFDEEVARGLEPRLSTHAAFIKGLFNSQQYEEAYKYVLGSDDKRLSCVNYSLLASLHQKRGNVAAAEYILSEMMKKSLRPHFNLYMRVLKHLQKSGRKRLAAELQEKFLQLDLGA >Manes.18G118701.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12379819:12382494:1 gene:Manes.18G118701.v8.1 transcript:Manes.18G118701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLNDFSLKSLSLTNKAFGFLLSTATAEILLRKSTQRVIDILGEVGGTCYRTGVYSLIEMFSVLGSFNMAKFVIEKTERRLSFYSILIREMCKRCDFQGARDVMNEMRKAGCNPSPQTYNYIISSLLKNGKNNDAYELFLKMKESNCPPDALTFEIFIYNFCREGKLDVAFKFFDEEVARGLEPRLSTHAAFIKGLFNSQQYEEAYKYVLGSDDKRLSCVNYSLLASLHQKRGNVAAAEYILSEMMKKSLRPHFNLYMRVLKHLQKSGRKRLAAELQEKFLQLDLGA >Manes.18G118701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12379819:12382494:1 gene:Manes.18G118701.v8.1 transcript:Manes.18G118701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNLCKRKLSLKSLSLTNKAFGFLLSTATAEILLRKSTQRVIDILGEVGGTCYRTGVYSLIEMFSVLGSFNMAKFVIEKTERRLSFYSILIREMCKRCDFQGARDVMNEMRKAGCNPSPQTYNYIISSLLKNGKNNDAYELFLKMKESNCPPDALTFEIFIYNFCREGKLDVAFKFFDEEVARGLEPRLSTHAAFIKGLFNSQQYEEAYKYVLGSDDKRLSCVNYSLLASLHQKRGNVAAAEYILSEMMKKSLRPHFNLYMRVLKHLQKSGRKRLAAELQEKFLQLDLGA >Manes.18G118701.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12379819:12381738:1 gene:Manes.18G118701.v8.1 transcript:Manes.18G118701.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLNDFSLKSLSLTNKAFGFLLSTATAEILLRKSTQRVIDILGEVGGTCYRTGVYSLIEMFSVLGSFNMAKFVIEKTERRLSFYSILIREMCKRCDFQGARDVMNEMRKAGCNPSPQTYNYIISSLLKNGKNNDAYELFLKMKESNCPPDALTFEIFIYNFCREGKLDVAFKFFDEEVARGLEPRLSTHAAFIKGLFNSQQYEEAYKYVLGSDDKRLSCVNYSLLASLHQKRGNVAAAEYILSEMMKKSLRPHFNLYMRVLKHLQKSGRKRLAAELQEKFLQLDLGA >Manes.18G118701.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:12379804:12382494:1 gene:Manes.18G118701.v8.1 transcript:Manes.18G118701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLNDFSLKSLSLTNKAFGFLLSTATAEILLRKSTQRVIDILGEVGGTCYRTGVYSLIEMFSVLGSFNMAKFVIEKTERRLSFYSILIREMCKRCDFQGARDVMNEMRKAGCNPSPQTYNYIISSLLKNGKNNDAYELFLKMKESNCPPDALTFEIFIYNFCREGKLDVAFKFFDEEVARGLEPRLSTHAAFIKGLFNSQQYEEAYKYVLGSDDKRLSCVNYSLLASLHQKRGNVAAAEYILSEMMKKSLRPHFNLYMRVLKHLQKSGRKRLAAELQEKFLQLDLGA >Manes.01G174200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35558049:35558519:-1 gene:Manes.01G174200.v8.1 transcript:Manes.01G174200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCNGCGMYPDISENTRTETLIAGVAPPKMSYEGTELNFGAESGNGCKCGSSCSCDPCNCK >Manes.09G161800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35635873:35637303:-1 gene:Manes.09G161800.v8.1 transcript:Manes.09G161800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVQFQRQLVDYTASLFHEGFLDEQFNQLQQLQDESNPDFVVEVVSLFFEDSERLLNELAKSLDQQSVDFKRIDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCDEQNTEGCLKCLQQVKHEYSLVKTKLETLFKLEQQVLAAGGSIPLPI >Manes.09G161800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35635343:35637630:-1 gene:Manes.09G161800.v8.1 transcript:Manes.09G161800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVQFQRQLVDYTASLFHEGFLDEQFNQLQQLQDESNPDFVVEVVSLFFEDSERLLNELAKSLDQQSVDFKRIDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCDEQNTEGCLKCLQQVKHEYSLVKTKLETLFKLEQQVLAAGGSIPLPI >Manes.09G161800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35635032:35637630:-1 gene:Manes.09G161800.v8.1 transcript:Manes.09G161800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVQFQRQLVDYTASLFHEGFLDEQFNQLQQLQDESNPDFVVEVVSLFFEDSERLLNELAKSLDQQSVDFKRIDAHVHQLKGSSSSIGAQRVQKVCIAFRNYCDEQNTEGCLKCLQQVKHEYSLVKTKLETLFKLEQQVLAAGGSIPLPI >Manes.14G087600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7252262:7254957:1 gene:Manes.14G087600.v8.1 transcript:Manes.14G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEFMFECFPFIYRIGSSPYFSLEAILCLFLFVSVFSFWLAPGGLAWALYKIRFNVQSQAAIPGPSGWPFLGMVLAFTGSLTHRVLAKTSHLKSKSLVAFSVGFTRFIISSHPETAKEILNNSAFADRPVKESAYELLFHRAMGFAPFGEYWRNLRRISATHLFSPKRIASFGQFRAEIGQKMVAEIKGLVERDDKIEIRKVLHFGSLNNVMKSVFGRSYEFNDHNNVDACELEGLVSEGYELLGIFNWSDHFPFLGWLDLQGVRKRCRKLASKVNVFVGKIIEEHKMRRADKGRDFDENSDDFVDVLLDLQEENRLSDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPDIQAKAQSEIDTIVGTSRSICDSDLPNLTYLRAIVKETLRMHPPGPLLSWARLAIHDTHIGSHFIPAGTTAMVNMWSITHDEQFWSDPKEFKPERFMQEDVSIMGSDLRLAPFGSGRRVCPGKAMGLATVELWLAQLLQNLKWVPSESDVDLSENLKLSLEMKNSLVCKAVARVSA >Manes.15G117100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9358278:9365407:1 gene:Manes.15G117100.v8.1 transcript:Manes.15G117100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPNTFSPAPANNPPVNLCKEVGSRALGILSGSDSYNSPSDATLFSSSLPVLPHEKLNLNDTECSHQSIDDASSSLDNLRKDEDVEGSDLLEDVETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFSSGGGMELENDPQESLSMCISKQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFWPPVGSPITNSPPGNWAQFNSPREHSPLQSTSKSPVFRNLSPTGNHLPGLASVLHSQVSNSVKVAPIGKDQGRGSLREHTFANMNSAQGAAFQLSHSLPESKLNQYHGSMSSFGGPSTSNGSVVETLSGPQFLWGSPNAYTDRATSSAWTTPSMGNPFSSNANGHGLSYMGRHGSLLASSQNHHHVGSAPSGVPLKRHFGFFPESPDTSIMSSVAFGGMGLGHNDGSFMMNMGAHGPMNTGVTIPRNISENGSSYRMMSSPRLNPVFLGNGPYPGLATTSMEGFPERGRSRRVENNGNQVDSKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIEFRQPDGSQSGDSPGSPTMDGSGEKSDKS >Manes.15G117100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9358278:9365407:1 gene:Manes.15G117100.v8.1 transcript:Manes.15G117100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPNTFSPAPANNPPVNLCKEVGSRALGILSGSDSYNSPSDATLFSSSLPVLPHEKLNLNDTECSHQSIDDASSSLDNLRKDEDVEGSDLLEDVETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFSSGGGMELENDPQESLSMCISKVNISDGVIGNGMHHYGLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFWPPVGSPITNSPPGNWAQFNSPREHSPLQSTSKSPVFRNLSPTGNHLPGLASVLHSQVSNSVKVAPIGKDQGRGSLREHTFANMNSAQGAAFQLSHSLPESKLNQYHGSMSSFGGPSTSNGSVVETLSGPQFLWGSPNAYTDRATSSAWTTPSMGNPFSSNANGHGLSYMGRHGSLLASSQNHHHVGSAPSGVPLKRHFGFFPESPDTSIMSSVAFGGMGLGHNDGSFMMNMGAHGPMNTGVTIPRNISENGSSYRMMSSPRLNPVFLGNGPYPGLATTSMEGFPERGRSRRVENNGNQVDSKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIEFRQPDGSQSGDSPGSPTMDGSGEKSDKS >Manes.15G117100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9358278:9365407:1 gene:Manes.15G117100.v8.1 transcript:Manes.15G117100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPNTFSPAPANNPPVNLCKEVGSRALGILSGSDSYNSPSDATLFSSSLPVLPHEKLNLNDTECSHQSIDDASSSLDNLRKDEDVEGSDLLEDVETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFSSGGGMELENDPQESLSMCISKVNISDGVIGNGMHHYGLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFWPPVGSPITNSPPGNWAQFNSPREHSPLQSTSKSPVFRNLSPTGNHLPGLASVLHSQVSNSVKVAPIGKDQGRGSLREHTFANMNSAQGAAFQLSHSLPESKLNQYHGSMSSFGGPSTSNGSVVETLSGPQFLWGSPNAYTDRATSSAWTTPSMGNPFSSNANGHGLSYMGRHGSLLASSQNHHHVGSAPSGVPLKRHFGFFPESPDTSIMSSVAFGGMGLGHNDGSFMMNMGAHGPMNTGVTIPRNISENGSSYRMMSSPRLNPVFLGNGPYPGLATTSMEGFPERGRSRRVENNGNQVDSKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIEFRQPDGSQSGDSPGSPTMDGSGEKSDKS >Manes.15G117100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9358278:9365407:1 gene:Manes.15G117100.v8.1 transcript:Manes.15G117100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPNTFSPAPANNPPVNLCKEVGSRALGILSGSDSYNSPSDATLFSSSLPVLPHEKLNLNDTECSHQSIDDASSSLDNLRKDEDVEGSDLLEDVETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFSSGGGMELENDPQESLSMCISKVNISDGVIGNGMHHYGLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFWPPVGSPITNSPPGNWAQFNSPREHSPLQSTSKSPVFRNLSPTGNHLPGLASVLHSQVSNSVKVAPIGKDQGRGSLREHTFANMNSAQGAAFQLSHSLPESKLNQYHGSMSSFGGPSTSNGSVVETLSGPQFLWGSPNAYTDRATSSAWTTPSMGNPFSSNANGHGLSYMGRHGSLLASSQNHHHVGSAPSGVPLKRHFGFFPESPDTSIMSSVAFGGMGLGHNDGSFMMNMGAHGPMNTGVTIPRNISENGSSYRMMSSPRLNPVFLGNGPYPGLATTSMEGFPERGRSRRVENNGNQVDSKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKIVLEHLPSSSLNIEFRQPDGSQSGDSPGSPTMDGSGEKSDKS >Manes.15G117100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9358278:9365407:1 gene:Manes.15G117100.v8.1 transcript:Manes.15G117100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPNTFSPAPANNPPVNLCKEVGSRALGILSGSDSYNSPSDATLFSSSLPVLPHEKLNLNDTECSHQSIDDASSSLDNLRKDEDVEGSDLLEDVETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFSSGGGMELENDPQESLSMCISKQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFWPPVGSPITNSPPGNWAQFNSPREHSPLQSTSKSPVFRNLSPTGNHLPGLASVLHSQVSNSVKVAPIGKDQGRGSLREHTFANMNSAQGAAFQLSHSLPESKLNQYHGSMSSFGGPSTSNGSVVETLSGPQFLWGSPNAYTDRATSSAWTTPSMGNPFSSNANGHGLSYMGRHGSLLASSQNHHHVGSAPSGVPLKRHFGFFPESPDTSIMSSVAFGGMGLGHNDGSFMMNMGAHGPMNTGVTIPRNISENGSSYRMMSSPRLNPVFLGNGPYPGLATTSMEGFPERGRSRRVENNGNQVDSKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIEFRQPDGSQSGDSPGSPTMDGSGEKSDKS >Manes.15G117100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9358278:9365407:1 gene:Manes.15G117100.v8.1 transcript:Manes.15G117100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSPNTFSPAPANNPPVNLCKEVGSRALGILSGSDSYNSPSDATLFSSSLPVLPHEKLNLNDTECSHQSIDDASSSLDNLRKDEDVEGSDLLEDVETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFSSGGGMELENDPQESLSMCISKVNISDGVIGNGMHHYGLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFWPPVGSPITNSPPGNWAQFNSPREHSPLQSTSKSPVFRNLSPTGNHLPGLASVLHSQVSNSVKVAPIGKDQGRGSLREHTFANMNSAQGAAFQLSHSLPESKLNQYHGSMSSFGGPSTSNGSVVETLSGPQFLWGSPNAYTDRATSSAWTTPSMGNPFSSNANGHGLSYMGRHGSLLASSQNHHHVGSAPSGVPLKRHFGFFPESPDTSIMSSVAFGGMGLGHNDGSFMMNMGAHGPMNTGVTIPRNISENGSSYRMMSSPRLNPVFLGNGPYPGLATTSMEGFPERGRSRRVENNGNQVDSKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDESHRGTYDFLYLPIDFKAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIEFRQPDGSQSGDSPGSPTMDGSGEKSDKS >Manes.14G153400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17899238:17900560:1 gene:Manes.14G153400.v8.1 transcript:Manes.14G153400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYIDLHRHREEEEPEEEEEPSLCDLPLEDDSKKMMSTYSRRSYSEPPEFFGFFNDLSSEMCSAEDIIFCSKLVPLNKEFSPPIQTLIPHFEQDQCRSSFCRRSESLSSLHSSVSRSNSIRTSKLMMRSSRSLDYRKLGRFPTFGTSLECNKTIDRNSSVRSIGKVDGIVKKTAKPRWYVLMFGVMKPPIEMELRDIKSRQIHQNSLTTMFPPPLSDGVKKPPVGKGSCKLLKVLSCRDYTSVAVTTPFYIPPRHE >Manes.15G049300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3812118:3818141:-1 gene:Manes.15G049300.v8.1 transcript:Manes.15G049300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVREIKMSAHIRSRRTFEAGFTGFWIVTLLLVCTSDGLNSEGQYLLDLKNGLHDELGLLNNWKSTDQTPCGWIGVNCTSDYEPLVQSLSLSGMNLSGTLNASIGGLVNLIYLDLSYNMLTGYIPNTIGNCSKLQYLYLNNNQFSGQLPAELGNLSLLRRLNICNNRISGSFPEEFGNLSSLIEVVAYTNNLTGPLPHSIGKLKNLKTFRAGQNGISGSIPAEISGCQSLQLLGLAQNAIGGELPKEFGMLGRLTELILWGNQLTGSIPKEIGNSTNLETLALYANNLVGPIPVEIGNMKFLKKLYLYRNELNGTIPREIGNLSMAMEIDFSENYLTGEIPTEFSEIKGLHLLYLFQNQLTGVIPNELSSLRNLTKLDLSINYLKGPIPFGFQYMTELIQLQLFDNSLSGSVPQGLGLYSPLWVVDFSDNALTGRIPSHLCRHSNLMLLNLEANKFYGNIPTGILNCRSLVQLRLVRNMLTGSFPSELCKLMNLSAIELDQNKFNGSIPEAIGNCQKLQRLHIANNYFTNELPKEIGNLSQLVTFNVSSNLLKGRIPPEIVNCKMLQRLDLSHNSFVESLPEEVGSLLQLELLKLSENKLSGNIPPTLGNLSRLTELQMGGNLFSGGIPAELGYLSSLQIAMNLSNNNLTGSIPPELGKLNLLEFLLLNNNHLTGEIPETLGNLSSLLGCNFSYNNLTGPLPPVPLFQNMAFSSFIGNNGLCGGHLGYCNGDPFSVSVPPLKSTDAPRGRIITISAAVIGGVSLILIAVILYFMRHPTVETVPSIHDNESSSPESDIYFRPKDGFNLQDLVEATNNFHDSYVVGRGACGTVYKAVMHTGQTIAVKRLASNREGSNIENSFQAEILTLGKIRHRNIVKLYGFCHHQGSNLLLYEYMGRGSLGELLHGPSCSLEWPTRFMIALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDDNFEAHVGDFGLAKIIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTWVRNYVRDHSLTSGILDSRLDLKDQTTVDHMISIMKIALMCTSMSPFERPSMREVVLMLIGSNEREGNIIQSTTHYPPLKDDGS >Manes.15G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3812037:3818259:-1 gene:Manes.15G049300.v8.1 transcript:Manes.15G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVREIKMSAHIRSRRTFEAGFTGFWIVTLLLVCTSDGLNSEGQYLLDLKNGLHDELGLLNNWKSTDQTPCGWIGVNCTSDYEPLVQSLSLSGMNLSGTLNASIGGLVNLIYLDLSYNMLTGYIPNTIGNCSKLQYLYLNNNQFSGQLPAELGNLSLLRRLNICNNRISGSFPEEFGNLSSLIEVVAYTNNLTGPLPHSIGKLKNLKTFRAGQNGISGSIPAEISGCQSLQLLGLAQNAIGGELPKEFGMLGRLTELILWGNQLTGSIPKEIGNSTNLETLALYANNLVGPIPVEIGNMKFLKKLYLYRNELNGTIPREIGNLSMAMEIDFSENYLTGEIPTEFSEIKGLHLLYLFQNQLTGVIPNELSSLRNLTKLDLSINYLKGPIPFGFQYMTELIQLQLFDNSLSGSVPQGLGLYSPLWVVDFSDNALTGRIPSHLCRHSNLMLLNLEANKFYGNIPTGILNCRSLVQLRLVRNMLTGSFPSELCKLMNLSAIELDQNKFNGSIPEAIGNCQKLQRLHIANNYFTNELPKEIGNLSQLVTFNVSSNLLKGRIPPEIVNCKMLQRLDLSHNSFVESLPEEVGSLLQLELLKLSENKLSGNIPPTLGNLSRLTELQMGGNLFSGGIPAELGYLSSLQIAMNLSNNNLTGSIPPELGKLNLLEFLLLNNNHLTGEIPETLGNLSSLLGCNFSYNNLTGPLPPVPLFQNMAFSSFIGNNGLCGGHLGYCNGDPFSVSVPPLKSTDAPRGRIITISAAVIGGVSLILIAVILYFMRHPTVETVPSIHDNESSSPESDIYFRPKDGFNLQDLVEATNNFHDSYVVGRGACGTVYKAVMHTGQTIAVKRLASNREGSNIENSFQAEILTLGKIRHRNIVKLYGFCHHQGSNLLLYEYMGRGSLGELLHGPSCSLEWPTRFMIALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDDNFEAHVGDFGLAKIIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTWVRNYVRDHSLTSGILDSRLDLKDQTTVDHMISIMKIALMCTSMSPFERPSMREVVLMLIGSNEREGNIIQSTTHYPPLKDDGS >Manes.13G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5256855:5261557:1 gene:Manes.13G044400.v8.1 transcript:Manes.13G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYTRVEKPKPESPINENEIRITSGGPVRNYISYATSLLQEKHVKEIVLKAMGQAISKTVSIAEGIKRRNPRLHQDTATSSVSITDVWEPIEEGLLPVEQTRQVSMITITLSFRELNKNSPGYQAPHSVEQPKEQYPQQQQQQQQQLQPRQSRGPYNVVRDDSYGRGRGRGRGRGRNWGRGGYGYGNYQGNYQGNQGNYQDNDGYSNWGRGGGRGRSWGYRGTGYERGRGGRGRGYSRGRGRMGGRSWGGGGGNQG >Manes.15G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10026406:10032623:1 gene:Manes.15G125500.v8.1 transcript:Manes.15G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSEPPSGRRCKLSHFLLGIGALYLVFLAFKFPHFLEIAATLSGDDSYVGLDVAAAGDMEDSDLSKPIFSSVYKDTFHRKLEDNQNQNAPRMPSKEPLQEVKEVSKPIKPLQHRYGRITGEIMRRKNRTSDLSVLERLADEAWILGLKAWGEMEKYDEKEIAQSSVFEGKPESCPSWVSMSGTELAGGEKMMFLPCGLAAGSSITVVGTPHFAHEEYVPQLARLRIRDGIVKVSQFMVELQGLKAVDGEDPPKILHLNPRLRGDWSKRPVIEHNTCYRMQWGTAQRCDGLPSKKDEDMLVDGFLRCEKWMRHDIVDPKESKTTSWFKRFIGREQKPKVTWPFPFVEGRLFILTLRAGVDGYHINVGGRHVTSFPYRPGFTLQDATGLAIKGDIDVHSVYATSLPSSHPSFSPQRVLEMSEKWKALPLPKTPIQLFVGILSATNHFAERMAVRKTWMQSTPIKSSDVVVRFFVALSPRKEANAILKKEASYFGDIVILPFMDRYELVVLKTVAICEFGVQNVSAAHIMKCDDDTFVRVDAVLKEINNISPEKSLYMGNLNLLHRPLRTGKWAVTFEEWPEAVYPPYANGPGYVISSDIAKFVVSQHDSRSLRLFKMEDVSMGMWVEQFNSSTPVQYSHNWKFCQYGCMEEYYTAHYQSPRQMICLWDKLVRGGAHCCNFR >Manes.02G103100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8072219:8075357:1 gene:Manes.02G103100.v8.1 transcript:Manes.02G103100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGRNAIIQLLTLALLAISVANQALGIRIADPISDPSRDASDQALKIAVFALGSFWRSEAVFGCVNGVIRTTAGYSGGSKSNPEYRSLGDHAESVQVEYDPRVISFRRLLEVFWSSHDSRSIIFTNGTEEARLAAVSKEKEQLRSRISIVTTQIQQLVAFYPAEPEHQKFELKRRPFLLQLMGNLPEDELERSSLAAKLNGYAAELCPPKIRNQIDAKINQIVRKGWPVLKDV >Manes.02G103100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8072219:8075357:1 gene:Manes.02G103100.v8.1 transcript:Manes.02G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGRNAIIQLLTLALLAISVANQALGIRIADPISDPSRDASDQALKIAVFALGSFWRSEAVFGCVNGVIRTTAGYSGGSKSNPEYRSLGDHAESVQVEYDPRVISFRRLLEVFWSSHDSRQVFGQGPDVGNQYRSIIFTNGTEEARLAAVSKEKEQLRSRISIVTTQIQQLVAFYPAEPEHQKFELKRRPFLLQLMGNLPEDELERSSLAAKLNGYAAELCPPKIRNQIDAKINQIVRKGWPVLKDV >Manes.18G016750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1765554:1767979:1 gene:Manes.18G016750.v8.1 transcript:Manes.18G016750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Manes.10G008700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:976758:986536:1 gene:Manes.10G008700.v8.1 transcript:Manes.10G008700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALLRTYINRQILLSLYNFLTLMLKIIQYCFPVLALIQSNDTQQQSSAGDPTEFDPSEPSVPVSYPIKTLEELDSRAYFDSFHYPFNKSSVSLPSSALSLPNRRKLLVCHDMKGGYGDDKWVQGGSNPEAYAIWHWYLIDVFVYFSHDLVSLPPPCWTNTAHRHGVKVLGTFLTEWDEGRRICNKLLETEESAWKYAERLAELAVALGFDGWLINMEINLEVEQIPNLKEFVHHLTQTMHSSSPGSLVIWYDAITIDGKLKWQDQLNEKNKPFFDRCDGIFLNYTWKKNYPKLSAAVAGDRKFDVYMGIDVFGRNTYGGGQWHTNVALEVLKNDNVSAAIFAPGWVYQTKQPPDFQTAQNRWWTLVEKSWGIANNYPKTLPFYSNFDQGHGYHISVEGQQVTEASWNNMSCQGFQPFLEFVDDPTPENIQVLVDVKEASYTGGGSITFKGFLDDSAVFTTRLFSAELPLGNQPLHLTYSVKSDGDSQLGLSLHFFSTTNGRTSILIASWDMNKFSSKFSKVIMAHPIRKPEIDPGWIVYEGQIEMKEHILTEIHAVCYKLKPENGELRSEHKVDGDPTKFFSVLGHIAIKNSKGNSYMPPSSLWLVEGQNIKLTSDSQGSKRVSAKIIWKLKDGSDFMFPKYNIYVLKLTKQVEENPGGRVKGAYNYLGVTQVTAFYVSDLSVPSYTYSLKFIIQACDFDGACQKLDDSPYFQLDIEGQ >Manes.10G008700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:976758:988362:1 gene:Manes.10G008700.v8.1 transcript:Manes.10G008700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALLRTYINRQILLSLYNFLTLMLKIIQYCFPVLALIQSNDTQQQSSAGDPTEFDPSEPSVPVSYPIKTLEELDSRAYFDSFHYPFNKSSVSLPSSALSLPNRRKLLVCHDMKGGYGDDKWVQGGSNPEAYAIWHWYLIDVFVYFSHDLVSLPPPCWTNTAHRHGVKVLGTFLTEWDEGRRICNKLLETEESAWKYAERLAELAVALGFDGWLINMEINLEVEQIPNLKEFVHHLTQTMHSSSPGSLVIWYDAITIDGKLKWQDQLNEKNKPFFDRCDGIFLNYTWKTNVALEVLKNDNVSAAIFAPGWVYQTKQPPDFQTAQNRWWTLVEKSWGIANNYPKTLPFYSNFDQGHGYHISVEGQQVTEASWNNMSCQGFQPFLEFVDDPTPENIQVLVDVKEASYTGGGSITFKGFLDDSAVFTTRLFSAELPLGNQPLHLTYSVKSDGDSQLGLSLHFFSTTNGRTSILIASWDMNKFSSKFSKVIMAHPIRKPEIDPGWIVYEGQIEMKEHILTEIHAVCYKLKPENGELRSEHKVDGDPTKFFSVLGHIAIKNSKGNSYMPPSSLWLVEGQNIKLTSDSQGSKRVSAKIIWKLKDGSDFMFPKYNIYVLKLTKQVEENPGGRVKGAYNYLGVTQVTAFYVSDLSVPSYTYSLKFIIQACDFDGACQKLDDSPYFQLDIEDDAYNPDISFGSTSQ >Manes.10G008700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:976758:986536:1 gene:Manes.10G008700.v8.1 transcript:Manes.10G008700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALLRTYINRQILLSLYNFLTLMLKIIQYCFPVLALIQSNDTQQQSSAGDPTEFDPSEPSVPVSYPIKTLEELDSRAYFDSFHYPFNKSSVSLPSSALSLPNRRKLLVCHDMKGGYGDDKWVQGGSNPEAYAIWHWYLIDVFVYFSHDLVSLPPPCWTNTAHRHGVKVLGTFLTEWDEGRRICNKLLETEESAWKYAERLAELAVALGFDGWLINMEINLEVEQIPNLKEFVHHLTQTMHSSSPGSLVIWYDAITIDGKLKWQDQLNEKNKPFFDRCDGIFLNYTWKTNVALEVLKNDNVSAAIFAPGWVYQTKQPPDFQTAQNRWWTLVEKSWGIANNYPKTLPFYSNFDQGHGYHISVEGQQVTEASWNNMSCQGFQPFLEFVDDPTPENIQVLVDVKEASYTGGGSITFKGFLDDSAVFTTRLFSAELPLGNQPLHLTYSVKSDGDSQLGLSLHFFSTTNGRTSILIASWDMNKFSSKFSKVIMAHPIRKPEIDPGWIVYEGQIEMKEHILTEIHAVCYKLKPENGELRSEHKVDGDPTKFFSVLGHIAIKNSKGNSYMPPSSLWLVEGQNIKLTSDSQGSKRVSAKIIWKLKDGSDFMFPKYNIYVLKLTKQVEENPGGRVKGAYNYLGVTQVTAFYVSDLSVPSYTYSLKFIIQACDFDGACQKLDDSPYFQLDIEGQ >Manes.10G008700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:976758:988362:1 gene:Manes.10G008700.v8.1 transcript:Manes.10G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALLRTYINRQILLSLYNFLTLMLKIIQYCFPVLALIQSNDTQQQSSAGDPTEFDPSEPSVPVSYPIKTLEELDSRAYFDSFHYPFNKSSVSLPSSALSLPNRRKLLVCHDMKGGYGDDKWVQGGSNPEAYAIWHWYLIDVFVYFSHDLVSLPPPCWTNTAHRHGVKVLGTFLTEWDEGRRICNKLLETEESAWKYAERLAELAVALGFDGWLINMEINLEVEQIPNLKEFVHHLTQTMHSSSPGSLVIWYDAITIDGKLKWQDQLNEKNKPFFDRCDGIFLNYTWKKNYPKLSAAVAGDRKFDVYMGIDVFGRNTYGGGQWHTNVALEVLKNDNVSAAIFAPGWVYQTKQPPDFQTAQNRWWTLVEKSWGIANNYPKTLPFYSNFDQGHGYHISVEGQQVTEASWNNMSCQGFQPFLEFVDDPTPENIQVLVDVKEASYTGGGSITFKGFLDDSAVFTTRLFSAELPLGNQPLHLTYSVKSDGDSQLGLSLHFFSTTNGRTSILIASWDMNKFSSKFSKVIMAHPIRKPEIDPGWIVYEGQIEMKEHILTEIHAVCYKLKPENGELRSEHKVDGDPTKFFSVLGHIAIKNSKGNSYMPPSSLWLVEGQNIKLTSDSQGSKRVSAKIIWKLKDGSDFMFPKYNIYVLKLTKQVEENPGGRVKGAYNYLGVTQVTAFYVSDLSVPSYTYSLKFIIQACDFDGACQKLDDSPYFQLDIEDDAYNPDISFGSTSQ >Manes.05G015800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1505004:1510259:-1 gene:Manes.05G015800.v8.1 transcript:Manes.05G015800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLEDKIPFLQMLQGVEYPPFFPLKEPNFQTLLKLQHLKRPWNNYITEADTQVQALELESCVTHDIADLHSPAKSETKDFQNPHSNSCLEDVSPEPKRQANLIGRFCKERNSDSSFPWTHPQTMLHETHFSKTSPVVTKERKKRKRTRPTKNKEEVENQRMTHIAVERNRRRQMNDHLNSLRSLMPPSYVQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMRKTEEAVAAMGMTSNWLFTSQAECNIQGESGNCEEEAKVKRKSEAAEIEVTVIKNHVNLKIQSEKKAGQLLRAIVALEDLRLTVLHLNITSSENTVLYSFNLKIEDDCKLESADEIAATVDEIFTIINGN >Manes.05G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1505004:1510259:-1 gene:Manes.05G015800.v8.1 transcript:Manes.05G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQGLFDPCFLGEQLDAEGLERGFVNTENLRTFEEEETQFSMPSLEDKIPFLQMLQGVEYPPFFPLKEPNFQTLLKLQHLKRPWNNYITEADTQVQALELESCVTHDIADLHSPAKSETKDFQNPHSNSCLEDVSPEPKRQANLIGRFCKERNSDSSFPWTHPQTMLHETHFSKTSPVVTKERKKRKRTRPTKNKEEVENQRMTHIAVERNRRRQMNDHLNSLRSLMPPSYVQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMRKTEEAVAAMGMTSNWLFTSQAECNIQGESGNCEEEAKVKRKSEAAEIEVTVIKNHVNLKIQSEKKAGQLLRAIVALEDLRLTVLHLNITSSENTVLYSFNLKIEDDCKLESADEIAATVDEIFTIINGN >Manes.02G216070.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:32142547:32148625:1 gene:Manes.02G216070.v8.1 transcript:Manes.02G216070.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLTPFLGMTLVHCSMLTLENLSKLWNQPKLNSETLVICSTFLLTIIILYFMRKPRKVYLVDFACYKPEPSYKCSKEHCLKIAESARVFTKESLEFAKKILERSGIGQDTYASNGILQNPQDFSMAEARRESEMVIFGAIDELLGKTGVKLADIGILVVNCSLFNPQPSLSAIIINHYKLRANILSFNLAGMGCSAGLISIQLAKDLLQVHPDSYALVVSTENVTSSWYAGNERMMLVTNSLFRVGGAAILLSNLPSDRRHSKYRLMYSVRTHNGADDKSYNSIMQQEDENNILGVSLSKELIRVAGDTLKANITALGPLVLPLSEQLIFLANLIMKRIFKMKIKSYIPDFKLAIEHFCIHPGGRAVLDEVEKSLGLSKWHMEPSRMTLFRFANTSSSSLWYELAYTEAKGRIKKGDKVLQLGFGSGFKCNSVVWHAIRPINPANEKNPWIDEINDFPVVVPKVTPVIY >Manes.11G163250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32776747:32777420:-1 gene:Manes.11G163250.v8.1 transcript:Manes.11G163250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKQMLFKDIRSVLQNLDQRHQLSQGTTSSTSELMLQLDLQAATKLTKDDAYNARHVSHPQHLRISSLILFSFPPHYVIFNLHQ >Manes.04G126501.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32834096:32836446:-1 gene:Manes.04G126501.v8.1 transcript:Manes.04G126501.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLIGCCKTTSESDGRQNDTDDRSKLPEPPPHQLKENDDISELPAPPPHELKQYGYKELAAATGYFSGDCLLGEGGFGQVYEATLDGEKVAIKKLKIIKLENKLEESEFLTCVNHPNIVKMIGLCKEGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDCKIIDRDMKADNILLDNNFNAKVADFSLSNFFSDTDKVSHITSLFRGTNGYADPEYGNIQKISDKLDVYSFGVILLELITGRKPCSDHGDTTIVKWVRYYRMHR >Manes.04G126501.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32834167:32836455:-1 gene:Manes.04G126501.v8.1 transcript:Manes.04G126501.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLIGCCKTTSESDGRQNDTDDISELPAPPPHELKQYGYKELAAATGYFSGDCLLGEGGFGQVYEATLDGEKVAIKKLKIIKLENKLEESEFLTCVNHPNIVKMIGLCKEGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDCKIIDRDMKADNILLDNNFNAKVADFSLSNFFSDTDKVSHITSLFRGTNGYADPEYGNIQKISDKLDVYSFGVILLELITGRKPCSDHGDTTIVKWVRYYRMHR >Manes.04G126501.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32833331:32836446:-1 gene:Manes.04G126501.v8.1 transcript:Manes.04G126501.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLIGCCKTTSESDGRQNDTDDRSKLPEPPPHQLKENDDISELPAPPPHELKQYGYKELAAATGYFSGDCLLGEGGFGQVYEATLDGEKVAIKKLKIIKLENKLEESEFLTCVNHPNIVKMIGLCKEGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDCKIIDRDMKADNILLDNNFNAKVADFSLSNFFSDTDKVSHITSLFRGTNGYADPEYGNIQKISDKLDVYSFGVILLELITGRKPCSDHGDTTIVKWLKVELDKFYTRMTVRVLLIQD >Manes.04G126501.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32834096:32836446:-1 gene:Manes.04G126501.v8.1 transcript:Manes.04G126501.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLIGCCKTTSESDGRQNDTDDRSKLPEPPPHQLKENDDRSNLPAPPPHQLKEYDDISELPAPPPHELKQYGYKELAAATGYFSGDCLLGEGGFGQVYEATLDGEKVAIKKLKIIKLENKLEESEFLTCVNHPNIVKMIGLCKEGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDCKIIDRDMKADNILLDNNFNAKVADFSLSNFFSDTDKVSHITSLFRGTNGYADPEYGNIQKISDKLDVYSFGVILLELITGRKPCSDHGDTTIVKWVRYYRMHR >Manes.04G126501.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32833331:32836446:-1 gene:Manes.04G126501.v8.1 transcript:Manes.04G126501.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLIGCCKTTSESDGRQNDTDDRSKLPEPPPHQLKENDDRSNLPAPPPHQLKEYDDISELPAPPPHELKQYGYKELAAATGYFSGDCLLGEGGFGQVYEATLDGEKVAIKKLKIIKLENKLEESEFLTCVNHPNIVKMIGLCKEGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDCKIIDRDMKADNILLDNNFNAKVADFSLSNFFSDTDKVSHITSLFRGTNGYADPEYGNIQKISDKLDVYSFGVILLELITGRKPCSDHGDTTIVKWLKVELDKFYTRMTVRVLLIQD >Manes.04G126501.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32834168:32836446:-1 gene:Manes.04G126501.v8.1 transcript:Manes.04G126501.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVGTLQLLIGCCKTTSESDGRQNDTDDRSNLPAPPPHQLKEYDDISELPAPPPHELKQYGYKELAAATGYFSGDCLLGEGGFGQVYEATLDGEKVAIKKLKIIKLENKLEESEFLTCVNHPNIVKMIGLCKEGSNRVLVLEFVPNKTLTYHLHDEKNKTLDWPTRMKIALESANGLLYLHQDCKIIDRDMKADNILLDNNFNAKVADFSLSNFFSDTDKVSHITSLFRGTNGYADPEYGNIQKISDKLDVYSFGVILLELITGRKPCSDHGDTTIVKWVRYYRMHR >Manes.10G043900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4570387:4571275:1 gene:Manes.10G043900.v8.1 transcript:Manes.10G043900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIEEFEDALSLCDLSDICDHEIQPINEIPGSPSTQEEFFEFSTSETHSTMVDDSIIFCGKVISCRTENDLRKPSSSSSLLPNKNKLSTSNSTSKNSSKSGSFRIPSVNSRKQKVMIGLAKIPSKMELSDLRERQNRRTPSTMSPAVGSGVAGRGKSGWGLIRLFRVRSHAISSTLPKTSVGCISLARPCID >Manes.03G121040.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24762415:24765240:1 gene:Manes.03G121040.v8.1 transcript:Manes.03G121040.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAALQSSMTSLSMTSNSFFGQRLSFPSVSPISVKSPENTCLIVAKLKPWERKECKPNSLPILHKMHVKLGDTVKVISGDDKGKVGEVTKIFRHNSTVVVKEINLKTKHVKSREEGEPGQIIKIEAPIHSSNVMLYSKEQNVASRVGHKVLDNGKRVRYLIKTGEVIDSAEQWKTLKEEQNKKTEVAAAS >Manes.05G056250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4700699:4709088:1 gene:Manes.05G056250.v8.1 transcript:Manes.05G056250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYHTPRLKKASTFRQCMIFFTISFTWRIMIIWSSLELFLEHSLIRDKFGHQVEAFFVILTAVQFHLLFYCSRALPNVLALGLVNLAYGYWFRRSFYAALNCLIFATLVFRCDMLLLLCPIALELLLTKSISLWEAIKYCTGIVLLSIGLTILVDSIMWKRLMWPEFEVLWFNSVLNRSSEWGIHSFHWYFTSALPRSLLAAYPLLMLGVLLDRRVLFFVLPVFSFILLYSKLPHKELRFIISAVPMFNLSAAVAANRIYNNRKKALWKLLNLFMLGLILISLGCTIIMFLASYENYPSGHALKGLHQMGHLASTDNWWVHIDSFSAMNGISRFCENDYPWRYSKEEGITLDEFHHRNFTYLISEHLSVDGFKCLFFVYGFSRARLQFGFPPIILDKESKVYVHGNTRIKDIMQLDWPGCS >Manes.05G056250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4700699:4709088:1 gene:Manes.05G056250.v8.1 transcript:Manes.05G056250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSCQILRQYGYDLLLGFIAAFYVFAVPYTKVEESFNIQAMHDILYHQFHLENYDHLEFPGVVPRTFIGSFLVSILASPVVLAIKLLHLPKIYGLIAVRLALGGIILSSLRFFRIQIRDKFGHQVEAFFVILTAVQFHLLFYCSRALPNVLALGLVNLAYGYWFRRSFYAALNCLIFATLVFRCDMLLLLCPIALELLLTKSISLWEAIKYCTGIVLLSIGLTILVDSIMWKRLMWPEFEVLWFNSVLNRSSEWGIHSFHWYFTSALPRSLLAAYPLLMLGVLLDRRVLFFVLPVFSFILLYSKLPHKELRFIISAVPMFNLSAAVAANRIYNNRKKALWKLLNLFMLGLILISLGCTIIMFLASYENYPSGHALKGLHQMGHLASTDNWWVHIDSFSAMNGISRFCENDYPWRYSKEEGITLDEFHHRNFTYLISEHLSVDGFKCLFFVYGFSRARLQFGFPPIILDKESKVYVHGNTRIKDIMQLDWPGCS >Manes.09G151300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34850008:34852563:-1 gene:Manes.09G151300.v8.1 transcript:Manes.09G151300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINTQIFLFLSCFYILCNALHVFSANTTTSFLLNCGSEEGGTDADGRKWEPDTKYLVGNHPHARAELQDSSILSDVPFMDARIFRSEAIYNIPIKPKTRHFLRLYFYPSEYAGLNISNSYFSVVAAGVTLLNNFSASITAQSLTYAYLIKEYSLPANDSDTLSISFKPSDKAVDAFAFVNGIEVTQIPDDLFGSGVMTGFADASIDAKDANLETMYRLNVAGQYIAPNKDSGGLARTWYNDAPYIFSAAMGIDMAANKSLAITYGDLPEYVAPVEVYQTARDMGPDSSMNLKFNLTWLFLVDANFTYIVRLHFCEFNLRRSNQKTFDININNQTAQGGETAADIIGWTGQIGKPTYKDYMIAVIDKPGDDHIQVDLHPSTLSKPQYYDASLNGLEIFKMSDANNNLAGPNPEISPMLAKDLAKGKQKEFQTSGNAVAGGAAGVCLVAAICIIAYQKKRRAPNVESHTSSWLPLTNSHSSTSKSSISGRSTTSSHLSTLAQGLCRHFSLPEIKKATKNFNESNVIGVGGFGKVYKGIIDQNVKVAIKRSNPQSEQGVNEFETEIEMLSKLRHKHLVSLIGFCEEDEEMCLVYDYMALGTLREHLYNTKRPKLSWRQRLEISIGSARGLHYLHTGAKYTIIHRDVKTTNILLNENWIAKVSDFGLSKTGPNLDKGHVSTVVKGSFGYLDPEYFKRQQLTEKSDVYSFGVVLFEVLCARAALNPNLPKEQVSLADWALHCQRKGILDDIIDPHIKGKIDPECLKKFAETAEKCLDDAGTERPSMGDVLWNLEFALQLQDNSGSSKHSTQAEASDTYTSREIHGNGNIGNNYETGRDSDDPSEVFSQIVNPRGR >Manes.17G117200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33573492:33580135:-1 gene:Manes.17G117200.v8.1 transcript:Manes.17G117200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPQRQLLNLIRDCTSEKSQGERRVVGLRKRVEELRSELEAANAELEGTKRFKETTEQDLKGYEVELAMNIATIHTLEGRISQIQDEISSIGSEVERLKHEERTARDAFICQMFELNTRIRNFQNRIASNFYEANNVGSAEEADQKVLMEVPMETDSRALEDELALLVSQITKEEQEYLAEQSFQKQVQQEYVDLQRKVSLMEVITKETKALQDLIRFLNWNKFMLLLVSSCRRSVCVPAVRQIM >Manes.17G117200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33573492:33580135:-1 gene:Manes.17G117200.v8.1 transcript:Manes.17G117200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPQRQLLNLIRDCTSEKSQGERRVVGLRKRVEELRSELEAANAELEGTKRFKETTEQDLKGYEVELAMNIATIHTLEGRISQIQDEISSIGSEVERLKHEERTARDAFICQMFELNTRIRNFQNRIASNFYEANNVGSAEDISEADQKVLMEVPMETDSRALEDELALLVSQITKEEQEYLAEQSFQKQVQQEYVDLQRKVSLMEVITKETKALQDLIRYPCKFPN >Manes.17G117200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33573492:33580135:-1 gene:Manes.17G117200.v8.1 transcript:Manes.17G117200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPQRQLLNLIRDCTSEKSQGERRVVGLRKRVEELRSELEAANAELEGTKRFKETTEQDLKGYEVELAMNIATIHTLEGRISQIQDEISSIGSEVERLKHEERTARDAFICQMFELNTRIRNFQNRIASNFYEANNVGSAEDISEADQKVLMEVPMETDSRALEDELALLVSQITKEEQEYLAEQSFQKQVQQEYVDLQRKVSLMEVITKETKALQDLIRFLNWNKFMLLLVSSCRRSVCVPAVRQIM >Manes.17G117200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33573492:33580135:-1 gene:Manes.17G117200.v8.1 transcript:Manes.17G117200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPQRQLLNLIRDCTSEKSQGERRVVGLRKRVEELRSELEAANAELEGTKRFKETTEQDLKGYEVELAMNIATIHTLEGRISQIQDEISSIGSEVERLKHEERTARDAFICQMFELNTRIRNFQNRIASNFYEANNVGSAEDISEADQKVLMEVPMETDSRALEDELALLVSQITKEEQEYLAEQSFQKQVQQEYVDLQRKVSLMEVITKETKALQDLIRFLNWNKFMLLLVSSCRRSVCVPAVRQIM >Manes.17G117200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33573492:33580135:-1 gene:Manes.17G117200.v8.1 transcript:Manes.17G117200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPQRQLLNLIRDCTSEKSQGERRVVGLRKRVEELRSELEAANAELEGTKRFKETTEQDLKGYEVELAMNIATIHTLEGRISQIQDEISSIGSEVERLKHEERTARDAFICQMFELNTRIRNFQNRIASNFYEANNVGSAEDISEADQKVLMEVPMETDSRALEDELALLVSQITKEEQEYLAEQSFQKQVRS >Manes.17G117200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33573492:33580135:-1 gene:Manes.17G117200.v8.1 transcript:Manes.17G117200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPQRQLLNLIRDCTSEKSQGERRVVGLRKRVEELRSELEAANAELEGTKRFKETTEQDLKGYEVELAMNIATIHTLEGRISQIQDEISSIGSEVERLKHEERTARNFQNRIASNFYEANNVGSAEDISEADQKVLMEVPMETDSRALEDELALLVSQITKEEQEYLAEQSFQKQVQQEYVDLQRKVSLMEVITKETKALQDLIRFLNWNKFMLLLVSSCRRSVCVPAVRQIM >Manes.17G117200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33573492:33580135:-1 gene:Manes.17G117200.v8.1 transcript:Manes.17G117200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPQRQLLNLIRDCTSEKSQGERRVVGLRKRVEELRSELEAANAELEGTKRFKETTEQDLKGYEVELAMNIATIHTLEGRISQIQDEISSIGSEVERLKHEERTARNFQNRIASNFYEANNVGSAEDISEADQKVLMEVPMETDSRALEDELALLVSQITKEEQEYLAEQSFQKQFPVGPAGICGSSEEGFFDGGDNKRNKSTAGFD >Manes.17G117200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33573492:33580135:-1 gene:Manes.17G117200.v8.1 transcript:Manes.17G117200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDPQRQLLNLIRDCTSEKSQGERRVVGLRKRVEELRSELEAANAELEGTKRFKETTEQDLKGYEVELAMNIATIHTLEGRISQIQDEISSIGSEVERLKHEERTARDAFICQMFELNTRIRNFQNRIASNFYEANNVGSAEEADQKVLMEVPMETDSRALEDELALLVSQITKEEQEYLAEQSFQKQVQQEYVDLQRKVSLMEVITKETKALQDLIRFLNWNKFMLLLVSSCRRSVCVPAVRQIM >Manes.17G046600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24325198:24335372:1 gene:Manes.17G046600.v8.1 transcript:Manes.17G046600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPKHQDNHNPQSFLPSPNSHSSSSSNSSSTTTNNGLHNHQPPLPSPKPITRSESANPYPTTFVQADTSSFKQVVQMLTGSPKPTNTATAAAVSQPDPSPKSHAHNIPPIKSIPKKNQSSGFKLYERRNSLKHLKINPLNPIFNPPNSGFSPRKPEILSPSILDFPALVLSPVTPLIPDPFDRSGAASYTNCYNPMNNPHRNHNNNSVNSDLLDADAEEKAIKDKGFYLHPSPATTPREAEPRLLHLFPVTSPRVSEETRTVVNGDMNEESEGGKESGTCDDEREEFKERKKKNKGRKQGTDQRNQGSQQ >Manes.12G129300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33672272:33677664:1 gene:Manes.12G129300.v8.1 transcript:Manes.12G129300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKLFSIFSPNHQNHEDKILPSYSPIRDNLVKSPENPHTNGYPRSFIKYLRSLMSGKKSGGGLRTDEEERVYSWLYALAQSDKDLVFEYVQSTERGLSFTEAERRLKENGPNVPLEYTFPSWWHLLWAAFFHPFNIILIVLSTLSYITSDNPNGCILLILVLISVCLRFYQEYISSKAAMKLYEFVKRPVKVQRCAGRVVQTELLVQVDQRDVVPGDIIIFEPGDLFPGDVRLLSSKHLVVSQSSLTGESWTTEKTAYTKENQSTPLLELKNICFMGTNVVSGSGTGLVVSTGSKTYMSTMFSTIGKQKPPDGFENGIRQISYVLIGVMLIVMTIIITAYYLKSRALSESVLFGLSVACALTPNMLPLIVNTSLAKGALAMARDRCIVKSLACIRDMGSMDILCMDKTGTLTMDHAIVVNHLDSWGSPKEKVLRFAFLNSYFKTDQKYPLDDAILAFVYTNGYRFQPSKYRKIDEIPFDFIRRRVSVILETEFNADGRNGHVLERIMVTKGALEEIMKVCSFIDHISKGTMTTFTSEDHSRILNIGEELSNQGLRILGVAMKRLEMERSDPSITNYEFTESDMVFLGVITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAVRICEELGIRTTYITTGPDLEQLNQDDFHETVKRATVLARLTPTQKLRVVQSLQTVGDHVVGFLGDGINDTLAIDAANVGISVDSGASVAKDFADIILLEKDLNVLVDGVEHGRLTFGNTMKYIKMSVVANVGGVLSLVIATLLLDFEPLTPRQLLTQTFLYSVGQIAIPWDKMEEDYVRTPQKWSMKGFPMFILWNGPVCTLCDIANLIFLCFYYSANGSNYKFFHSAWFIEGLLMQTLIFHLIRTEKIPFVQEIASWQVLCSTVVISAIGIAIPFTIVGDFMGFTTLPMSYFGFLVLLFLVYFTLGQVVKRVYILIYGKWL >Manes.12G129300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33672272:33677665:1 gene:Manes.12G129300.v8.1 transcript:Manes.12G129300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKLFSIFSPNHQNHEDKILPSYSPIRDNLVKSPENPHTNGYPRSFIKYLRSLMSGKKSGGGLRTDEEERVYSWLYALAQSDKDLVFEYVQSTERGLSFTEAERRLKENGPNVPLEYTFPSWWHLLWAAFFHPFNIILIVLSTLSYITSDNPNGCILLILVLISVCLRFYQEYISSKAAMKLYEFVKRPVKVQRCAGRVVQTELLVQVDQRDVVPGDIIIFEPGDLFPGDVRLLSSKHLVVSQSSLTGESWTTEKTAYTKENQSTPLLELKNICFMGTNVVSGSGTGLVVSTGSKTYMSTMFSTIGKQKPPDGFENGIRQISYVLIGVMLIVMTIIITAYYLKSRALSESVLFGLSVACALTPNMLPLIVNTSLAKGALAMARDRCIVKSLACIRDMGSMDILCMDKTGTLTMDHAIVVNHLDSWGSPKEKVLRFAFLNSYFKTDQKYPLDDAILAFVYTNGYRFQPSKYRKIDEIPFDFIRRRVSVILETEFNADGRNGHVLERIMVTKGALEEIMKVCSFIDHISKGTMTTFTSEDHSRILNIGEELSNQGLRILGVAMKRLEMERSDPSITNYEFTESDMVFLGVITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAVRICEELGIRTTYITTGPDLEQLNQDDFHETVKRATVLARLTPTQKLRVVQSLQTVGDHVVGFLGDGINDTLAIDAANVGISVDSGASVAKDFADIILLEKDLNVLVDGVEHGRLTFVWVRLQFHGTKWRKIM >Manes.14G001936.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1410000:1412188:-1 gene:Manes.14G001936.v8.1 transcript:Manes.14G001936.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNMANVWPCLVYALALSLMANMVTADYEPYYPSPPPPPSPYVYKSPPPPSPSPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPPPYYYKSPPPPSPSPPPPYYYKSPPPPPTHYYYKSPPPPSPSPPPPYYYTSPPPPYYYTSPPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYHSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPPPPAPVYYYYSPPPPPVY >Manes.15G055300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4239833:4247126:-1 gene:Manes.15G055300.v8.1 transcript:Manes.15G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSSTNSSGDGRTAPKVPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKALEENCTPKEICDKYHAIHREVYKWFNISFDEFGRTSSPQQTEVCQAIFKKLLENNWLSENAMQQLYCDTCKRFLADRLVEGNCPTEGCNYDSARGDQCEKCGKLLNPTELKDPRCKVCRNTPHIRETNHLFLELPLLRDKLGKYIESMSIAGSWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKFMDKVFYVWFDAPIGYVSITACYTPDWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGIGVFGNDVKDTKIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNSELLNNLGNFINRVLAFISKPPGAGYGSIIPDAPNADSHPLTKKLAEEVHKYVEQYVEAMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQSSCNIVMRTAVGLVYLLACLLEPFMPSFSLEVFKQLNLPPEKASLCDEKGDIDRAKKPWEYLSTNHKIGTPEPLFKELKDEEVDFFRQKFAGSQAERAEAEAAKIAEQLKKTKVSDVSGKKQQTKKPSAEAKPKGTVEPEISITRLDIRVGLIKKAQKHPDADSLYVEEIDVGGGETRTVVSGLVKYIPLEEMQNRKVCVLCNLKPATMRGIKSQAMVLAASNSDHTKVELVDPPESAAVGERVTFPGFEGQPDDVLNPKKKVWETLQVDLHSNTDLVACYKDIPFTTSAGVCKVASIQSGSIR >Manes.16G091500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29778350:29788502:-1 gene:Manes.16G091500.v8.1 transcript:Manes.16G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMEGSVIPTTDDLCDSILSRFSTSTQEDHQHLCAVIGAMSQELKEQNLQSTPIAYFGAACSSLDRLSSSEPDPPPHVVDALLTIISLALPRISTAILKKKRELISEIVVKVLRLNSLTVGAVTSGLKCIAHMLIIKDTVSWIDVSQLYGVLLGFIIDSRPKVRKQANTCLRDVLQSFQGIPSLIPASEGITNTLERFLLLAGGSNTNETEGPRGAQEVLFVLDTLKECLPLMSMKCKTTILKYYKTLLELRQPVVTRRITDSLNVICLHMTSDVSAEALLDLLCSLALSASTNETSVDNLTFTARLLDSGMRKVYSLNRQICVVKLPLVFSTLKDILASEHEEAIFAAMEALKSLITNCIDEILIKQGVDQIKTNKNADGRKSGPTVIEKVCATIESLLDYHYSAVWDTVFQVVSTMFDKLGNYSSYFMKGTLKNLADMQGLSDEDFPYRKQLHECLGSALGAMGPETFLSLLPLNLEADDLSEVNVWLFPILKQYTVGAHLSFFTEAILGMIGVIKQKSQKFELEGRVVSARSADALVYSLWSLLPSFCNYPLDTAESFKDLEKALCSALREECDVRGIVCTALQNFVQQNKRIVEGDDNLNVTEIGVARQQAMAHYSPQVAADNLSVLRSSAREFLTVLSGILLESSKDDGGCLQSTISEFASIADKAVVKRIFLKTMRKLLDVTQKATKAKVSGNSNFMRIDDSSNEKSPSLERARLFDLAVSLLPGLDGQEIGVLFSAVKPALQDAEGMIQKKAYKVLSIIIQKYDGFLSSQLEELLQLMIDVLPSCHFSAKRHRLDCLYFLTVHVSKGDSEQRRRDILSAFLTEIILALKEANKKTRNRAYDVLVQIGHACGDEENGGNRENLYQFFNMVAGGLAGETPHMVSAAVKGLARLAYEFSDLVSTAYKLLPSTFLLLRRKNREIIKANLGFLKVLVAKSQSEGLQNHLGNMVEGLLKWQDDTTNHFKAKVKHLIEMLIRKCGLDAVKAVMPEEHMRLLTNIRKIKERKERKTAGNSEDARSQLSRATTSRLSRWNHTKIFSDFDDEETEDSDVEFMDTKTISGRKSKASSQLKSKASSLRSKRMRKSDKSLPEDLFDQLEDEPLDLLDRSKTRLALRSSESLKRKQESDDEPEIDSEGRLIIRERGRVKKDKPSDPDSDARSEAGTYVSDSSRKAQKRRKTTETGWAFTGNEYASKKAGGDLKRKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMTSVVKMTKKLEGKSSSTALSMKLMRFKNQKKSNKRKNR >Manes.15G160100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13311105:13318351:1 gene:Manes.15G160100.v8.1 transcript:Manes.15G160100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEPSSSSASSCPLKMQDSRDSPVCWRKQVDDNLKRLHSLQFGVDLALERRDFSAAHVIGLRLLGFLDSHSLTDVDEALTRPIRREAVSKLDTARQSLIPESDRRAFEQAGRAPGHVFSRKADIDIEKVKQSKYFHALLQQYNLRPTNGMGEQPDGKDRLNCKTSKIITQAKLTSLYGNNITKANTSSHRSSINSKTNNGDECMVIERAQSYHNHSKGHGISTYIKIEEEERGHGNALGSKRSHEEISSPKNDNAKSPSSNEEANTDVSGNGFVTARAKLEMDARQRKGLTASPSASASPQSDNPSRGYGVRSYGFPRRGVRGNFVPPIRSNGGNNGNMTTRVAGKSDDAVDDSTRRCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWRKSEGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLEKDGLFKLSKEEIDTICNLTEGYSGSDMKNLVKDASMGPLREALKQGIEITKLRKEDMRPVTLEDFEKALQEVRPSVSMSELGTYDEWNKQFGSLSL >Manes.15G160100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13311105:13318351:1 gene:Manes.15G160100.v8.1 transcript:Manes.15G160100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEPSSSSASSCPLKMQDSRDSPVCWRKQVDDNLKRLHSLQFGVDLALERRDFSAAHVIGLRLLGFLDSHSLTDVDEALTRPIRREAVSKLDTARQSLIPESDRRAFEQAGRAPGHVFSRKADIDIEKVKQSKYFHALLQQYNLRPTNGMGEQPDGKDRLNCKTSKIITQAKLTSLYGNNITKANTSSHRSSINSKTNNGDECMVIERAQSYHNHSKGHGISTYIKIEEEERGHGNALGSKRSHEEISSPKNDNAKSPSSNEEANTDVSGNGFVTARAKLEMDARQRKGLTASPSASASPQSDNPSRGYGVRSYGFPRRGVRGNFVPPIRSNGGNNGNMTTRVAGKSDDAVDDSTRRCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGRGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLEKDGLFKLSKEEIDTICNLTEGYSGSDMKNLVKDASMGPLREALKQGIEITKLRKEDMRPVTLEDFEKALQEVRPSVSMSELGTYDEWNKQFGSLSL >Manes.15G160100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13311105:13318351:1 gene:Manes.15G160100.v8.1 transcript:Manes.15G160100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEPSSSSASSCPLKMQDSRDSPVCWRKQVDDNLKRLHSLQFGVDLALERRDFSAAHVIGLRLLGFLDSHSLTDVDEALTRPIRREAVSKLDTARQSLIPESDRRAFEQAGRAPGHVFSRKADIDIEKVKQSKYFHALLQQYNLRPTNGMGEQPDGKDRLNCKTSKIITQAKLTSLYGNNITKANTSSHRSSINSKTNNGDECMVIERAQSYHNHSKGHGISTYIKIEEEERGHGNALGSKRSHEEISSPKNDNAKSPSSNEEANTDVSGNGFVTARAKLEMDARQRKGLTASPSASASPQSDNPSRGYGVRSYGFPRRGVRGNFVPPIRSNGGNNGNMTTRVAGKSDDAVDDSTRRCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGRGKTMIGKAIAGEAKATFFYISASSLTSKWRKSEGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLEKDGLFKLSKEEIDTICNLTEGYSGSDMKNLVKDASMGPLREALKQGIEITKLRKEDMRPVTLEDFEKALQEVRPSVSMSELGTYDEWNKQFGSLSL >Manes.15G160100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13311105:13318351:1 gene:Manes.15G160100.v8.1 transcript:Manes.15G160100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEPSSSSASSCPLKMQDSRDSPVCWRKQVDDNLKRLHSLQFGVDLALERRDFSAAHVIGLRLLGFLDSHSLTDVDEALTRPIRREAVSKLDTARQSLIPESDRRAFEQAGRAPGHVFSRKADIDIEKVKQSKYFHALLQQYNLRPTNGMGEQPDGKDRLNCKTSKIITQAKLTSLYGNNITKANTSSHRSSINSKTNNGDECMVIERAQSYHNHSKGHGISTYIKIEEEERGHGNALGSKRSHEEISSPKNDNAKSPSSNEEANTDVSGNGFVTARAKLEMDARQRKGLTASPSASASPQSDNPSRGYGVRSYGFPRRGVRGNFVPPIRSNGGNNGNMTTRVAGKSDDAVDDSTRRCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIVRNLLEKDGLFKLSKEEIDTICNLTEGYSGSDMKNLVKDASMGPLREALKQGIEITKLRKEDMRPVTLEDFEKALQEVRPSVSMSELGTYDEWNKQFGSLSL >Manes.01G164800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34849728:34852892:-1 gene:Manes.01G164800.v8.1 transcript:Manes.01G164800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEMALLSPASHHSSTNWLIDESKSTKWTPAENKIFENALAVYDKDTPDRWHRVAAMLPGKTVGDVIKQYRELEVDVSNIEAGLIPIPGYRTSPFTLDWATSNTCDGFRPSYSLGGKRSSGRPADQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDVRTPSPDNKRSPPSPDQPNTVLSQHANAVGLPRTHFQWNQPNSGGTMAFGASNGNMLLSSPYGINSYGLKMQAQNLHRESYISPQSLAFPMQSAQHYPHG >Manes.08G017800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1823158:1827321:-1 gene:Manes.08G017800.v8.1 transcript:Manes.08G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAIFAAFCLSILCSSLSSAYDVTYDDRAIKIDGQRKLLISGSIHYPRSTPGMWPSLIRKSKEGGLNTIETYVFWNAHEPQPRQYDFAENLDLVRFIKTIRDEGLYAILRIGPYVCAEWDYGGFPIWLHSLPGIKMRTNNDVFKNEMQIFTTYIVDMMKREGLFASQGGPILLAQIENEYGNVQWAYGDDGKKYLDWCAKMADNLKIGIPWVMCQQDDAPSPMLSACNGYYCDQWSPKNSSIPKIWTENWSGWFMDWGNRVPRRTAEDLAFAVARFFQLGGAVQNYYMYHGGTNFGKSAGGPYITTSYDYDAPLDEYGNTRQPKWGHLKNLHLTLMSMEEALVYGERTSVDYQNNTYVTIFAHQGKRSCFFSNTDEKNDRTLTFEGSNYFIPAWSVSILPDCYTEVYNTAKVNAQTSIMEKRPNEADDFQEPYALTWQWKSEKIPHINADGILDRSFSFVSNVLMDQKRASNGSSDYLWLLTNYEHNTSDPQWGNDKDIILHVHTDGHVVHAFVNGKYYGSQWAENGHYEFIFEKRIQLKPGNNSITLASVTVGLPNYGENYDTVRVGIHGPVKLIARSKTGEPDVKDISSSRWVYKTGLIGEDQGLNQVQPRHISQWETSKLPNNRPFVWYKTSFKGPMGSDPVVVDLLGLGKGVAWINGRSIGRYWPKYLASEQGCDVICDYRAAYKPEKCNTGCGKPSQRFYHVPRDWLKADDNQLVLFEELGGNPYPVNFQTVTVGKVCANAYEGHTLELACHAGSKFSNIKFASFGLPEGDCGHFNVGTCHSEKTLSVVQKACLGKERCVLHVTEDSFGPLRCRADTYRLAVEAVC >Manes.05G063200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5288987:5296905:-1 gene:Manes.05G063200.v8.1 transcript:Manes.05G063200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPWITSLSCSSSVVQSSGDTSLPLIFQWLRFIFLSPCPQRALLSSVDLLFLLILLVFAVQKLFSRFTSNAHSTSDIHKPLIGNNRVHATTTIWFKLCLITTILLAFGYTVICILAFSGSKQLPWKLVDGFFWLVHAITHAVIAILIIHEKRFQAVTHPRTLRSYWVANFIIVTLFMSSGIIRLVAQETSLIVDDIVSIVSFPLCLILLSVAIGGSTGVTVNGESERVKDDDETTLYEPLLGKSNVSAFASASHISKAFWLWMNPLLSKGYKSTLKLDDVPTLSPQHRAEKMSQLFASNWPKPQEKCKHPVRTTLLRCFWKEIAFTAFLAIVRLCVMYVGPILIQSFVDFTSGKRSSPYEGYYLVLTLLAAKFVEVLSVHQFNFNSQKLGMLIRSTLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQVGVALVLLYNALGVSVIAALVGIIGVVIFIVFGTRRNNRFQFNLMTNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEYGWLSKFMYSISGNIIMMWCTPLLISTVTFGVALLLGVPLDAGTVFTTTSIFKILQEPIRTFPQSMISLSQAMISLGRLDKYMLSKELAEQSVERMEGCGGRIAVEVKDGAFSWDDESEDQVLKNINLEIKKGELTSIVGTVGSGKSSLLASILGEMHKIQGQVRVCGTTAYVAQTSWIQNGTIQANILFGLPMDKEKYNEVIRVCCLEKDLEMMDYGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSDIFRECVRGALKGKTILLVTHQVDFLHNVDLIMVMRDGMIVQSGKYNDLMESGMDFGALVAAHETAMELVEEAGATMPGENSPKPPKPPHAPSNVEEANGENKNQDQPRVKGSSKLIEEEERETGKVGLHVYKQYCTAAFGWWGVTAAFLLSILWQASIMAGDYWLAYETSEERSRVFDPSAFISVYAIIAAISLVLLTMRAFFITIMGLKTAQIFFWGILNSILHAPMSFFDTTPSGRILSRASTDQANVDLFIPFVLGLTVAMYITLLSIIIITCQYAWPTVFLLIPLGWLNIWYRGYFLSTSRELTRLDSITKAPIIHHFSESISGVMTIRSFNKQEKFCQENVNRVNENLRMDFHNNGSNEWLGFRLELIGSFILCISAMFLILLPSSIIRPENVGLSLSYGLSLNGVLFWAIYMSCFVENRMVSVERIKQFTNIPSEAAWKITDRVPPPSWPAHGNVDLKDLQVKYRPNTPLVLKGITLSIYGGEKIGVVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDICRLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQHTDEEIWKSLERCQLKDIVAAKLEKLDAPVVDNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSKTDGVIQKIIREDFAACTIISIAHRIPTVMDCDRVLVIDAGRAKEFDKPSRLLERPSLFGALVQEYANRSAGL >Manes.07G072200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:19232156:19250440:1 gene:Manes.07G072200.v8.1 transcript:Manes.07G072200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRRSLAMLITLSLLSVSLALDMSIIDYNIRHGQNPLPERSDAQIQRMYEMWLMKHGKAYNALGEKEKRFEIFKDNLRFIDEHNSVNRTYKVGLNQFADLTNEEYRAKFLGTRMERKNRLGAGRSQRYLFNKGDDLPEKVDWREKGAVVPVKDQGQCGSCWAFSTIGAVEGINQIVTGDLISLSEQELVDCDTSYNQGCNGGLMDYAFEFIIKNGGIDTEEDYPYKATDNLCDPNRKNAKVVTINGYEDVPENDENSLKKAVAHQPVSIAIEAGGRAFQLYQSVVDENIHDESFMLFLPIQEDVFEDALVLKASLPDINISKIRGRIFFKEGGNDTDPIRQISNNGVEQTYIIKMDLKGVQLYSYNPYTFGACFNLYGAYLVQHLQS >Manes.S047416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1493774:1496492:-1 gene:Manes.S047416.v8.1 transcript:Manes.S047416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFSRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.15G168100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14323412:14324920:-1 gene:Manes.15G168100.v8.1 transcript:Manes.15G168100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGLLSLTQLHHQNPSQIQQDQPQNSSSSSSSSTFWMWNPKQTQEDDDSWEVRAFQEDTGNAMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTVLQPPPGSIKPPSSSTSTSSSAILIPAQEFSTNGGGLCLLYQLPNPNGVFSSTAMNACAVESPTLLSISPYHHSNLIGQALNYPAASSLINSSHFYSSKPESAASFDKCKEMGSEELDLELRLGHRSTKSSSSSSSPS >Manes.13G067333.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8583634:8584048:1 gene:Manes.13G067333.v8.1 transcript:Manes.13G067333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDKFCFQELDTSITGRVKFGDGSIIEICGRGSILFKCKNEEHLILSEVYYIPKLKSNILSLGQLDENGCKIIIEGGFMKDYDRLKNLIAKVEKQSNRLYMGKF >Manes.11G049785.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6336536:6338147:1 gene:Manes.11G049785.v8.1 transcript:Manes.11G049785.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.09G165800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35893602:35895439:-1 gene:Manes.09G165800.v8.1 transcript:Manes.09G165800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGQQFPPQTQEKQPGKEHVMDPLPQYISPDYKPSNKLRGKVALVTGGDSGIGRAVCYSFALEGATVAFTYVKSQEDKDAQDTLQILKKDKSADAKDPMAIPADLGFDENCKKVVDEVVNAYGRIDILVNNAAEQHKSTSVEDIDEERLERVFRTNFFSYFFMTSRCLRHMKEGSSIINTTSVNAYKGNPALLDYTSTKGAIVAFTRGLSLQLVSRGIRVNGVAPGPIWTPLIPASMSEEEVANFGKEVPMKRAGQPSEVAPCFVFLACEHCSSYITGQVLHPNGGVIVNG >Manes.05G006000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1205188:1211532:1 gene:Manes.05G006000.v8.1 transcript:Manes.05G006000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILREWVGFQQFPAATQSKLVELFGKLKEKGVSALTILVMGKGGVGKSSTINSLIGERVVNVNAFSSEVSRPVMVSRTRAGFTLNIVDTPGLVEGGYVNYQALELIKRFLLNKTIDVLLYVDRMDAYRVDDLDKQIISAISDSFGKEILRKSLLVLTHAQLCPPDDLSYDVFPARRSEAVLKTIRAGSRMRARDFKDSAIPVGLVENSGRCNKNESDEKILPNGKAWIPSLVKEIIGVATNGNKSIVVDKKLLEGSESNDRGKVFIPLILGVQWLLVKWIQRAIRDDIAKGGKAF >Manes.11G057200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6933155:6940994:1 gene:Manes.11G057200.v8.1 transcript:Manes.11G057200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAGAFLSSFFQFLFERMDSSEIVHFLKGQKLDHGLLKKLKITMITVNGLLDQAEEKQIIMPAIKEWLNELKDAVYEADDLLDDISYQILRSKLETGSSRQKVCKIFSSHRRRRRRRHHHIEIQEEVNAKLGEILGLLEHLVKQMDALGLSMRKGIGEKPSSQKTPTISKPDDAYGIYGREKDKKAIMKSLKSDDVGVICIMGIGGIGKTTLAQLVYNENEVNKWFDLKAWVCVSEEFDVSKIMKDILKEVTGENCDAKNELCSELKEKLDGKKFLLVMDDVWNDKYSDWATLRESLQTRAPGSKILITTRIESTSFVIHSRSVVYHLNNLTDDDCWFLFAKHAFNDGSSSEHSDLKKIGREIVKKCKGVPLAAKTIGTVLRFKRDVVEWEKVLESSMWNLVSDDILPALQLSYHCLPSHLKRCFAYCAIFPKGFEFYRKLLIDLWMAEGFLLHSAGSGKEMEFLGNEYFNDLVSRSFFQQSSRNKSCFVMHDLIHDLATFISGDFCSSVEGNRLPKLPLRTRHLSHLITHFGSYDELGRINEGLPLRTFFYMKLQKLADHFDKVTYDSFSLLDRLRVLSLPGYCSETKLPDSFRNLNHLRYLNLSGASIRMLPKSLSSLYHLQTLILFQCQNLVKLPTNMGRLINLRCLDIRETKLKKMPPQMGNLKELRILTNFIMGKRVGSSIKELRELHHIRGEVRIENLQNVVNVQDAYEANLSFKHHLQKLELKWSGEVKDSEHTNRVLENLKPSWSLESLCIVGYGGTAFPRWTGDAIFSKLLSLKLDKCKNCSQLPLLGQLPSLQDLSITAFDQVTSVGPEFYGRSSSMPFGSLKVLRFEDMPLWNFWTDKDGAFPLLLDLHIINCPNLTKALPNHLPSLTKLLIKGCQKLVDALPRAPVLSEVTLEDNSRRVVFAELTSGPCHLQVDGFHSLNFLEQMKDLSTLLEDIEISNDNSLRCFPLMLFSKLKRVQLSGCLNLESFSAAEAYGNPISSTFLARTCSNFPPIQEFHICDCPKLPVVSMPMPPTIQNMSLHNQTGSMQVHKLSSGLHSLLVDKLDLLEEIYKKHLHGVFNTFEEITVTGCNVLKYFLLKSFPRLKSLVIKQCPNMESFSAPDEATLGDFRSCMSLEMRKHMHLDSMLGSSPNFPQLENICIIDCQQLPLLSVPSAPTIQKLTLVDESRDVKLEKWSTSGLYSLHVGKFHSPEDIEMWLMSGVCSNVAEITIEDCDSLSYIPLFPNIESLNIRRCPNFTSFSASEAIHEEATSLSLLAIKECPNLASFPEEGLRAPYLTELYLWDCINLNALHDHIKAFFPKLVVLKIGRCPEFKSFPKGGLPTKLQSLEIQSCSKLSFVPSFMESNNIEDDEEFVPEESLLPSTLTHLKIRDLPNLKSVDYKGLKHLADLEIGDCPILHFMPECMQTLLPSLVKLKIYNCPGLESFLDASLLEKLELLDIRDCNKLIAGLIRCNLHILPSLTRFTVAGYNDLESFPEETLLPSGLTHLQIQGLKMLKSLQLQHLTSLRKLEIRDCPELQYLPGERLPSSLSSLSISGCPLLKQGCTGKDSRIWVKISHIPSIWIDYIPLSEM >Manes.11G057200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6935092:6940686:1 gene:Manes.11G057200.v8.1 transcript:Manes.11G057200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAGAFLSSFFQFLFERMDSSEIVHFLKGQKLDHGLLKKLKITMITVNGLLDQAEEKQIIMPAIKEWLNELKDAVYEADDLLDDISYQILRSKLETGSSRQKVCKIFSSHRRRRRRRHHHIEIQEEVNAKLGEILGLLEHLVKQMDALGLSMRKGIGEKPSSQKTPTISKPDDAYGIYGREKDKKAIMKSLKSDDVGVICIMGIGGIGKTTLAQLVYNENEVNKWFDLKAWVCVSEEFDVSKIMKDILKEVTGENCDAKNELCSELKEKLDGKKFLLVMDDVWNDKYSDWATLRESLQTRAPGSKILITTRIESTSFVIHSRSVVYHLNNLTDDDCWFLFAKHAFNDGSSSEHSDLKKIGREIVKKCKGVPLAAKTIGTVLRFKRDVVEWEKVLESSMWNLVSDDILPALQLSYHCLPSHLKRCFAYCAIFPKGFEFYRKLLIDLWMAEGFLLHSAGSGKEMEFLGNEYFNDLVSRSFFQQSSRNKSCFVMHDLIHDLATFISGDFCSSVEGNRLPKLPLRTRHLSHLITHFGSYDELGRINEGLPLRTFFYMKLQKLADHFDKCQNLVKLPTNMGRLINLRCLDIRETKLKKMPPQMGNLKELRILTNFIMGKRVGSSIKELRELHHIRGEVRIENLQNVVNVQDAYEANLSFKHHLQKLELKWSGEVKDSEHTNRVLENLKPSWSLESLCIVGYGGTAFPRWTGDAIFSKLLSLKLDKCKNCSQLPLLGQLPSLQDLSITAFDQVTSVGPEFYGRSSSMPFGSLKVLRFEDMPLWNFWTDKDGAFPLLLDLHIINCPNLTKALPNHLPSLTKLLIKGCQKLVDALPRAPVLSEVTLEDNSRRVVFAELTSGPCHLQVDGFHSLNFLEQMKDLSTLLEDIEISNDNSLRCFPLMLFSKLKRVQLSGCLNLESFSAAEAYGNPISSTFLARTCSNFPPIQEFHICDCPKLPVVSMPMPPTIQNMSLHNQTGSMQVHKLSSGLHSLLVDKLDLLEEIYKKHLHGVFNTFEEITVTGCNVLKYFLLKSFPRLKSLVIKQCPNMESFSAPDEATLGDFRSCMSLEMRKHMHLDSMLGSSPNFPQLENICIIDCQQLPLLSVPSAPTIQKLTLVDESRDVKLEKWSTSGLYSLHVGKFHSPEDIEMWLMSGVCSNVAEITIEDCDSLSYIPLFPNIESLNIRRCPNFTSFSASEAIHEEATSLSLLAIKECPNLASFPEEGLRAPYLTELYLWDCINLNALHDHIKAFFPKLVVLKIGRCPEFKSFPKGGLPTKLQSLEIQSCSKLSFVPSFMESNNIEDDEEFVPEESLLPSTLTHLKIRDLPNLKSVDYKGLKHLADLEIGDCPILHFMPECMQTLLPSLVKLKIYNCPGLESFLDASLLEKLELLDIRDCNKLIAGLIRCNLHILPSLTRFTVAGYNDLESFPEETLLPSGLTHLQIQGLKMLKSLQLQHLTSLRKLEIRDCPELQYLPGERLPSSLSSLSISGCPLLKQGCTGKDSRIWVKISHIPSIWIDYIPLSEM >Manes.11G057200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6933155:6940686:1 gene:Manes.11G057200.v8.1 transcript:Manes.11G057200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAGAFLSSFFQFLFERMDSSEIVHFLKGQKLDHGLLKKLKITMITVNGLLDQAEEKQIIMPAIKEWLNELKDAVYEADDLLDDISYQILRSKLETGSSRQKVCKIFSSHRRRRRRRHHHIEIQEEVNAKLGEILGLLEHLVKQMDALGLSMRKGIGEKPSSQKTPTISKPDDAYGIYGREKDKKAIMKSLKSDDVGVICIMGIGGIGKTTLAQLVYNENEVNKWFDLKAWVCVSEEFDVSKIMKDILKEVTGENCDAKNELCSELKEKLDGKKFLLVMDDVWNDKYSDWATLRESLQTRAPGSKILITTRIESTSFVIHSRSVVYHLNNLTDDDCWFLFAKHAFNDGSSSEHSDLKKIGREIVKKCKGVPLAAKTIGTVLRFKRDVVEWEKVLESSMWNLVSDDILPALQLSYHCLPSHLKRCFAYCAIFPKGFEFYRKLLIDLWMAEGFLLHSAGSGKEMEFLGNEYFNDLVSRSFFQQSSRNKSCFVMHDLIHDLATFISGDFCSSVEGNRLPKLPLRTRHLSHLITHFGSYDELGRINEGLPLRTFFYMKLQKLADHFDKVTYDSFSLLDRLRVLSLPGYCSETKLPDSFRNLNHLRYLNLSGASIRMLPKSLSSLYHLQTLILFQCQNLVKLPTNMGRLINLRCLDIRETKLKKMPPQMGNLKELRILTNFIMGKRVGSSIKELRELHHIRGEVRIENLQNVVNVQDAYEANLSFKHHLQKLELKWSGEVKDSEHTNRVLENLKPSWSLESLCIVGYGGTAFPRWTGDAIFSKLLSLKLDKCKNCSQLPLLGQLPSLQDLSITAFDQVTSVGPEFYGRSSSMPFGSLKVLRFEDMPLWNFWTDKDGAFPLLLDLHIINCPNLTKALPNHLPSLTKLLIKGCQKLVDALPRAPVLSEVTLEDNSRRVVFAELTSGPCHLQVDGFHSLNFLEQMKDLSTLLEDIEISNDNSLRCFPLMLFSKLKRVQLSGCLNLESFSAAEAYGNPISSTFLARTCSNFPPIQEFHICDCPKLPVVSMPMPPTIQNMSLHNQTGSMQVHKLSSGLHSLLVDKLDLLEEIYKKHLHGVFNTFEEITVTGCNVLKYFLLKSFPRLKSLVIKQCPNMESFSAPDEATLGDFRSCMSLEMRKHMHLDSMLGSSPNFPQLENICIIDCQQLPLLSVPSAPTIQKLTLVDESRDVKLEKWSTSGLYSLHVGKFHSPEDIEMWLMSGVCSNVAEITIEDCDSLSYIPLFPNIESLNIRRCPNFTSFSASEAIHEEATSLSLLAIKECPNLASFPEEGLRAPYLTELYLWDCINLNALHDHIKAFFPKLVVLKIGRCPEFKSFPKGGLPTKLQSLEIQSCSKLSFVPSFMESNNIEDDEEFVPEESLLPSTLTHLKIRDLPNLKSVDYKGLKHLADLEIGDCPILHFMPECMQTLLPSLVKLKIYNCPGLESFLDASLLEKLELLDIRDCNKLIAGLIRCNLHILPSLTRFTVAGYNDLESFPEETLLPSGLTHLQIQGLKMLKSLQLQHLTSLRKLEIRDCPELQYLPGERLPSSLSSLSISGCPLLKQGCTGKDSRIWVKISHIPSIWIDYIPLSEM >Manes.11G057200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6933154:6940686:1 gene:Manes.11G057200.v8.1 transcript:Manes.11G057200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAGAFLSSFFQFLFERMDSSEIVHFLKGQKLDHGLLKKLKITMITVNGLLDQAEEKQIIMPAIKEWLNELKDAVYEADDLLDDISYQILRSKLETGSSRQKVCKIFSSHRRRRRRRHHHIEIQEEVNAKLGEILGLLEHLVKQMDALGLSMRKGIGEKPSSQKTPTISKPDDAYGIYGREKDKKAIMKSLKSDDVGVICIMGIGGIGKTTLAQLVYNENEVNKWFDLKAWVCVSEEFDVSKIMKDILKEVTGENCDAKNELCSELKEKLDGKKFLLVMDDVWNDKYSDWATLRESLQTRAPGSKILITTRIESTSFVIHSRSVVYHLNNLTDDDCWFLFAKHAFNDGSSSEHSDLKKIGREIVKKCKGVPLAAKTIGTVLRFKRDVVEWEKVLESSMWNLVSDDILPALQLSYHCLPSHLKRCFAYCAIFPKGFEFYRKLLIDLWMAEGFLLHSAGSGKEMEFLGNEYFNDLVSRSFFQQSSRNKSCFVMHDLIHDLATFISGDFCSSVEGNRLPKLPLRTRHLSHLITHFGSYDELGRINEGLPLRTFFYMKLQKLADHFDKVTYDSFSLLDRLRVLSLPGYCSETKLPDSFRNLNHLRYLNLSGASIRMLPKSLSSLYHLQTLILFQCQNLVKLPTNMGRLINLRCLDIRETKLKKMPPQMGNLKELRILTNFIMGKRVGSSIKELRELHHIRGEVRIENLQNVVNVQDAYEANLSFKHHLQKLELKWSGEVKDSEHTNRVLENLKPSWSLESLCIVGYGGTAFPRWTGDAIFSKLLSLKLDKCKNCSQLPLLGQLPSLQDLSITAFDQVTSVGPEFYGRSSSMPFGSLKVLRFEDMPLWNFWTDKDGAFPLLLDLHIINCPNLTKALPNHLPSLTKLLIKGCQKLVDALPRAPVLSEVTLEDNSRRVVFAELTSGPCHLQVDGFHSLNFLEQMKDLSTLLEDIEISNDNSLRCFPLMLFSKLKRVQLSGCLNLESFSAAEAYGNPISSTFLARTCSNFPPIQEFHICDCPKLPVVSMPMPPTIQNMSLHNQTGSMQVHKLSSGLHSLLVDKLDLLEEIYKKHLHGVFNTFEEITVTGCNVLKYFLLKSFPRLKSLVIKQCPNMESFSAPDEATLGDFRSCMSLEMRKHMHLDSMLGSSPNFPQLENICIIDCQQLPLLSVPSAPTIQKLTLVDESRDVKLEKWSTSGLYSLHVGKFHSPEDIEMWLMSGVCSNVAEITIEDCDSLSYIPLFPNIESLNIRRCPNFTSFSASEAIHEEATSLSLLAIKECPNLASFPEEGLRAPYLTELYLWDCINLNALHDHIKAFFPKLVVLKIGRCPEFKSFPKGGLPTKLQSLEIQSCSKLSFVPSFMESNNIEDDEEFVPEESLLPSTLTHLKIRDLPNLKSVDYKGLKHLADLEIGDCPILHFMPECMQTLLPSLVKLKIYNCPGLESFLDASLLEKLELLDIRDCNKLIAGLIRCNLHILPSLTRFTVAGYNDLESFPEETLLPSGLTHLQIQGLKMLKSLQLQHLTSLRKLEIRDCPELQYLPGERLPSSLSSLSISGCPLLKQGCTGKDSRIWVKISHIPSIWIDYIPLSEM >Manes.11G057200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6935051:6940686:1 gene:Manes.11G057200.v8.1 transcript:Manes.11G057200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAGAFLSSFFQFLFERMDSSEIVHFLKGQKLDHGLLKKLKITMITVNGLLDQAEEKQIIMPAIKEWLNELKDAVYEADDLLDDISYQILRSKLETGSSRQKVCKIFSSHRRRRRRRHHHIEIQEEVNAKLGEILGLLEHLVKQMDALGLSMRKGIGEKPSSQKTPTISKPDDAYGIYGREKDKKAIMKSLKSDDVGVICIMGIGGIGKTTLAQLVYNENEVNKWFDLKAWVCVSEEFDVSKIMKDILKEVTGENCDAKNELCSELKEKLDGKKFLLVMDDVWNDKYSDWATLRESLQTRAPGSKILITTRIESTSFVIHSRSVVYHLNNLTDDDCWFLFAKHAFNDGSSSEHSDLKKIGREIVKKCKGVPLAAKTIGTVLRFKRDVVEWEKVLESSMWNLVSDDILPALQLSYHCLPSHLKRCFAYCAIFPKGFEFYRKLLIDLWMAEGFLLHSAGSGKEMEFLGNEYFNDLVSRSFFQQSSRNKSCFVMHDLIHDLATFISGDFCSSVEGNRLPKLPLRTRHLSHLITHFGSYDELGRINEGLPLRTFFYMKLQKLADHFDKVTYDSFSLLDRLRVLSLPGYCSETKLPDSFRNLNHLRYLNLSGASIRMLPKSLSSLYHLQTLILFQCQNLVKLPTNMGRLINLRCLDIRETKLKKMPPQMGNLKELRILTNFIMGKRVGSSIKELRELHHIRGEVRIENLQNVVNVQDAYEANLSFKHHLQKLELKWSGEVKDSEHTNRVLENLKPSWSLESLCIVGYGGTAFPRWTGDAIFSKLLSLKLDKCKNCSQLPLLGQLPSLQDLSITAFDQVTSVGPEFYGRSSSMPFGSLKVLRFEDMPLWNFWTDKDGAFPLLLDLHIINCPNLTKALPNHLPSLTKLLIKGCQKLVDALPRAPVLSEVTLEDNSRRVVFAELTSGPCHLQVDGFHSLNFLEQMKDLSTLLEDIEISNDNSLRCFPLMLFSKLKRVQLSGCLNLESFSAAEAYGNPISSTFLARTCSNFPPIQEFHICDCPKLPVVSMPMPPTIQNMSLHNQTGSMQVHKLSSGLHSLLVDKLDLLEEIYKKHLHGVFNTFEEITVTGCNVLKYFLLKSFPRLKSLVIKQCPNMESFSAPDEATLGDFRSCMSLEMRKHMHLDSMLGSSPNFPQLENICIIDCQQLPLLSVPSAPTIQKLTLVDESRDVKLEKWSTSGLYSLHVGKFHSPEDIEMWLMSGVCSNVAEITIEDCDSLSYIPLFPNIESLNIRRCPNFTSFSASEAIHEEATSLSLLAIKECPNLASFPEEGLRAPYLTELYLWDCINLNALHDHIKAFFPKLVVLKIGRCPEFKSFPKGGLPTKLQSLEIQSCSKLSFVPSFMESNNIEDDEEFVPEESLLPSTLTHLKIRDLPNLKSVDYKGLKHLADLEIGDCPILHFMPECMQTLLPSLVKLKIYNCPGLESFLDASLLEKLELLDIRDCNKLIAGLIRCNLHILPSLTRFTVAGYNDLESFPEETLLPSGLTHLQIQGLKMLKSLQLQHLTSLRKLEIRDCPELQYLPGERLPSSLSSLSISGCPLLKQGCTGKDSRIWVKISHIPSIWIDYIPLSEM >Manes.11G057200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6933155:6940686:1 gene:Manes.11G057200.v8.1 transcript:Manes.11G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAGAFLSSFFQFLFERMDSSEIVHFLKGQKLDHGLLKKLKITMITVNGLLDQAEEKQIIMPAIKEWLNELKDAVYEADDLLDDISYQILRSKLETGSSRQKVCKIFSSHRRRRRRRHHHIEIQEEVNAKLGEILGLLEHLVKQMDALGLSMRKGIGEKPSSQKTPTISKPDDAYGIYGREKDKKAIMKSLKSDDVGVICIMGIGGIGKTTLAQLVYNENEVNKWFDLKAWVCVSEEFDVSKIMKDILKEVTGENCDAKNELCSELKEKLDGKKFLLVMDDVWNDKYSDWATLRESLQTRAPGSKILITTRIESTSFVIHSRSVVYHLNNLTDDDCWFLFAKHAFNDGSSSEHSDLKKIGREIVKKCKGVPLAAKTIGTVLRFKRDVVEWEKVLESSMWNLVSDDILPALQLSYHCLPSHLKRCFAYCAIFPKGFEFYRKLLIDLWMAEGFLLHSAGSGKEMEFLGNEYFNDLVSRSFFQQSSRNKSCFVMHDLIHDLATFISGDFCSSVEGNRLPKLPLRTRHLSHLITHFGSYDELGRINEGLPLRTFFYMKLQKLADHFDKVTYDSFSLLDRLRVLSLPGYCSETKLPDSFRNLNHLRYLNLSGASIRMLPKSLSSLYHLQTLILFQCQNLVKLPTNMGRLINLRCLDIRETKLKKMPPQMGNLKELRILTNFIMGKRVGSSIKELRELHHIRGEVRIENLQNVVNVQDAYEANLSFKHHLQKLELKWSGEVKDSEHTNRVLENLKPSWSLESLCIVGYGGTAFPRWTGDAIFSKLLSLKLDKCKNCSQLPLLGQLPSLQDLSITAFDQVTSVGPEFYGRSSSMPFGSLKVLRFEDMPLWNFWTDKDGAFPLLLDLHIINCPNLTKALPNHLPSLTKLLIKGCQKLVDALPRAPVLSEVTLEDNSRRVVFAELTSGPCHLQVDGFHSLNFLEQMKDLSTLLEDIEISNDNSLRCFPLMLFSKLKRVQLSGCLNLESFSAAEAYGNPISSTFLARTCSNFPPIQEFHICDCPKLPVVSMPMPPTIQNMSLHNQTGSMQVHKLSSGLHSLLVDKLDLLEEIYKKHLHGVFNTFEEITVTGCNVLKYFLLKSFPRLKSLVIKQCPNMESFSAPDEATLGDFRSCMSLEMRKHMHLDSMLGSSPNFPQLENICIIDCQQLPLLSVPSAPTIQKLTLVDESRDVKLEKWSTSGLYSLHVGKFHSPEDIEMWLMSGVCSNVAEITIEDCDSLSYIPLFPNIESLNIRRCPNFTSFSASEAIHEEATSLSLLAIKECPNLASFPEEGLRAPYLTELYLWDCINLNALHDHIKAFFPKLVVLKIGRCPEFKSFPKGGLPTKLQSLEIQSCSKLSFVPSFMESNNIEDDEEFVPEESLLPSTLTHLKIRDLPNLKSVDYKGLKHLADLEIGDCPILHFMPECMQTLLPSLVKLKIYNCPGLESFLDASLLEKLELLDIRDCNKLIAGLIRCNLHILPSLTRFTVAGYNDLESFPEETLLPSGLTHLQIQGLKMLKSLQLQHLTSLRKLEIRDCPELQYLPGERLPSSLSSLSISGCPLLKQGCTGKDSRIWVKISHIPSIWIDYIPLSEM >Manes.11G057200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6933155:6940686:1 gene:Manes.11G057200.v8.1 transcript:Manes.11G057200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAGAFLSSFFQFLFERMDSSEIVHFLKGQKLDHGLLKKLKITMITVNGLLDQAEEKQIIMPAIKEWLNELKDAVYEADDLLDDISYQILRSKLETGSSRQKVCKIFSSHRRRRRRRHHHIEIQEEVNAKLGEILGLLEHLVKQMDALGLSMRKGIGEKPSSQKTPTISKPDDAYGIYGREKDKKAIMKSLKSDDVGVICIMGIGGIGKTTLAQLVYNENEVNKWFDLKAWVCVSEEFDVSKIMKDILKEVTGENCDAKNELCSELKEKLDGKKFLLVMDDVWNDKYSDWATLRESLQTRAPGSKILITTRIESTSFVIHSRSVVYHLNNLTDDDCWFLFAKHAFNDGSSSEHSDLKKIGREIVKKCKGVPLAAKTIGTVLRFKRDVVEWEKVLESSMWNLVSDDILPALQLSYHCLPSHLKRCFAYCAIFPKGFEFYRKLLIDLWMAEGFLLHSAGSGKEMEFLGNEYFNDLVSRSFFQQSSRNKSCFVMHDLIHDLATFISGDFCSSVEGNRLPKLPLRTRHLSHLITHFGSYDELGRINEGLPLRTFFYMKLQKLADHFDKCQNLVKLPTNMGRLINLRCLDIRETKLKKMPPQMGNLKELRILTNFIMGKRVGSSIKELRELHHIRGEVRIENLQNVVNVQDAYEANLSFKHHLQKLELKWSGEVKDSEHTNRVLENLKPSWSLESLCIVGYGGTAFPRWTGDAIFSKLLSLKLDKCKNCSQLPLLGQLPSLQDLSITAFDQVTSVGPEFYGRSSSMPFGSLKVLRFEDMPLWNFWTDKDGAFPLLLDLHIINCPNLTKALPNHLPSLTKLLIKGCQKLVDALPRAPVLSEVTLEDNSRRVVFAELTSGPCHLQVDGFHSLNFLEQMKDLSTLLEDIEISNDNSLRCFPLMLFSKLKRVQLSGCLNLESFSAAEAYGNPISSTFLARTCSNFPPIQEFHICDCPKLPVVSMPMPPTIQNMSLHNQTGSMQVHKLSSGLHSLLVDKLDLLEEIYKKHLHGVFNTFEEITVTGCNVLKYFLLKSFPRLKSLVIKQCPNMESFSAPDEATLGDFRSCMSLEMRKHMHLDSMLGSSPNFPQLENICIIDCQQLPLLSVPSAPTIQKLTLVDESRDVKLEKWSTSGLYSLHVGKFHSPEDIEMWLMSGVCSNVAEITIEDCDSLSYIPLFPNIESLNIRRCPNFTSFSASEAIHEEATSLSLLAIKECPNLASFPEEGLRAPYLTELYLWDCINLNALHDHIKAFFPKLVVLKIGRCPEFKSFPKGGLPTKLQSLEIQSCSKLSFVPSFMESNNIEDDEEFVPEESLLPSTLTHLKIRDLPNLKSVDYKGLKHLADLEIGDCPILHFMPECMQTLLPSLVKLKIYNCPGLESFLDASLLEKLELLDIRDCNKLIAGLIRCNLHILPSLTRFTVAGYNDLESFPEETLLPSGLTHLQIQGLKMLKSLQLQHLTSLRKLEIRDCPELQYLPGERLPSSLSSLSISGCPLLKQGCTGKDSRIWVKISHIPSIWIDYIPLSEM >Manes.10G016700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1751568:1752660:1 gene:Manes.10G016700.v8.1 transcript:Manes.10G016700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLYSIIYMHTSPYLLSSEAQKESLETSTDIKVINIFELRDIMAERTSSFCCTKAKARNGIRASSDDGNNCGSGTKCGRWNPTSEQVKVLTELFKSGLRTPSTDQIQKISSQLSFYGKIESKNVFYWFQNHKARERQKRRRVSVDEKEIIIRRDDSLSAASARYFSEISNVSVPERVIETLQLFPLNSFNEGESEKLRFQENECKEPTSFSYRFGAEMDHPPLDLRLSFL >Manes.15G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11068898:11070288:-1 gene:Manes.15G136800.v8.1 transcript:Manes.15G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCQIYIKEKADWLSKLLQSKFFSSCDDHQELRKNEKNVFCIDCNLEFCRHCVKKAHCLHRQLQICKYVYHDVVRLQDIQKHLDCSKIQTYKINGEKAVHLNPRPQSKDSKPSTKAKFGASCEACGRYLQDLPNRFCSIACKVSAGSVKKEQKHKMITFSIGLSWKENYNGERNSSEEENEYLTDMSEETQGWLSSALKPRRQLHKRKGIPRRSPLC >Manes.15G136800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11068898:11070289:-1 gene:Manes.15G136800.v8.1 transcript:Manes.15G136800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFFSSCDDHQELRKNEKNVFCIDCNLEFCRHCVKKAHCLHRQLQICKYVYHDVVRLQDIQKHLDCSKIQTYKINGEKAVHLNPRPQSKDSKPSTKAKFGASCEACGRYLQDLPNRFCSIACKVSAGSVKKEQKHKMITFSIGLSWKENYNGERNSSEEENEYLTDMSEETQGWLSSALKPRRQLHKRKGIPRRSPLC >Manes.12G112700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31072564:31074981:1 gene:Manes.12G112700.v8.1 transcript:Manes.12G112700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEEAHNVKILGTGEQVIVLAHGFGTDQSVWKHVVPHLVDDFKVILYDNMGAEELKIESCILVGHSISAMIGAIASICRPDLFSKIIMISASPRYLNDVDYYGGFEQEDINKLFEAMQSNYKAWCSGFAPLTVGGDMESEAVQEFSRTLFSMRPDIALTVAQNLFQSDMRQTLHLITVPCHILQSGKDLAVPIAVSEYLHQHLGGESIIEIMSSDGHLPQLSSPDIVIPVLLRHIHFNITT >Manes.12G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31072564:31074981:1 gene:Manes.12G112700.v8.1 transcript:Manes.12G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEEAHNVKILGTGEQVIVLAHGFGTDQSVWKHVVPHLVDDFKVILYDNMGAGTTNPDYFDFNRYSTLEGYAYDLLAIIEELKIESCILVGHSISAMIGAIASICRPDLFSKIIMISASPRYLNDVDYYGGFEQEDINKLFEAMQSNYKAWCSGFAPLTVGGDMESEAVQEFSRTLFSMRPDIALTVAQNLFQSDMRQTLHLITVPCHILQSGKDLAVPIAVSEYLHQHLGGESIIEIMSSDGHLPQLSSPDIVIPVLLRHIHFNITT >Manes.09G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4158740:4160975:1 gene:Manes.09G020300.v8.1 transcript:Manes.09G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAATDNNNNINKRLLEYVRKSTPPPFLLKTYMLVEDPATDHVISWNEDGIGFVVWQPAQFACDLLPTLFKHSNFSSFIRQLNTYGFRKVATSRWEFCNDMFRKGERELLCQIRRRKAWTNKQQPTAPTQATPEESDEDQKSSSTSSSSEYSTLIDENKRLKKENWVLSSELTSTKRKCKELLEMVAKYAHLEKEENGNGDDDDERPKLFGVRLEVGGERKRKRDEIREYATILLAQSCK >Manes.10G151800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31905788:31907709:1 gene:Manes.10G151800.v8.1 transcript:Manes.10G151800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGSKADAQLFQLLSNLLQQVEALTNQEEVELRSKIEALGLEVTKVPSKSTKNLDELEIARELDKLSAKLDDVDEMISSAMAADPQVQSLLSGTADVWMPVITATAEERRNFTASLGDDTTEEKVQTSN >Manes.10G151800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31905788:31907709:1 gene:Manes.10G151800.v8.1 transcript:Manes.10G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGRGTETWDFIQLREHLATYSKTKLVQREVKACSLSRVVEALTNQEEVELRSKIEALGLEVTKVPSKSTKNLDELEIARELDKLSAKLDDVDEMISSAMAADPQVQSLLSGTADVWMPVITATAEERRNFTASLGDDTTEEKVQTSN >Manes.12G089446.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:15041812:15042060:1 gene:Manes.12G089446.v8.1 transcript:Manes.12G089446.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRARKLNDAKKLWSRIFENGLLPHAYTYSTMIKGFCSEGLLDEACKVFTGMKEGGYLPNGCWYFITISQAGDYTKSIATYW >Manes.11G065400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9084438:9106710:-1 gene:Manes.11G065400.v8.1 transcript:Manes.11G065400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRLSFFGIGGSNNVNNSSDGLKSELAPTIKLQTEKDVYRPGDSIFVTIEISNPSNGALESSPCSLLIEKLGFEIKGIEKLDPQWFATQKPLPGSRQRRGEHVFLDCSTSSMVSNQILSSGATKTYVVRTVLPSIIPPSYRGATIRYIYYVKSTLSGRRLILENGHSHMESSKDLIELEARVPLQIWVTQQSNGLLMEDQIDGIVPATTLLLDLYWKPMDGDSEWARANDDNGLEEGYDSSRDEISSVSSYNPAKESIHKTFGSSLSLHSFTARSSNASHIEGGRTSLSTLAPPRLSVAEVLYASGADISSPDKSASIVSSSQQQKIVKPHSEDDIVAESSPGGVGVSEPATSEGFLRGKSYNIRMDNQVLLRFSPKNSDSTYYFSDMIGGTLTFFHEEGSRRCLEVSITLETSETVSRRFVHPSRKNSPTITKVQSDHHEVVADLVQTGFLFSVPMDGPMSFSTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTLGAHTRNEKHFSLEPLWIHT >Manes.11G065400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9084472:9106710:-1 gene:Manes.11G065400.v8.1 transcript:Manes.11G065400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRLSFFGIGGSNNVNNSSDGLKSELAPTIKLQTEKDVYRPGDSIFVTIEISNPSNGALESSPCSLLIEKLGFEIKGIEKLDPQWFATQKPLPGSRQRRGEHVFLDCSTSSMVSNQILSSGATKTYVVRTVLPSIIPPSYRGATIRYIYYVKSTLSGRRLILENGHSHMESSKDLIELEARVPLQIWVTQQSNGLLMEDQIDGIVPATTLLLDLYWKPMDGDSEWARANDDNGLEEGYDSSRDEISSVSSYNPAKESIHKTFGSSLSLHSFTARSSNASHIEGGRTSLSTLAPPRLSVAEVLYASGADISSPDKSASIVSSSQQQKIVKPHSEDDIVAESSPGGVGVSEPATSEGFLRGKSYNIRMDNQVLLRFSPKNSDSTYYFSDMIGGTLTFFHEEGSRRCLEVSITLETSETVSRRFVHPSRKNSPTITKVQSDHHEVVADLVQTGFLFSVPMDGPMSFSTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTLGAHTRNEKHFSLEPLWIHT >Manes.11G065400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9084311:9106726:-1 gene:Manes.11G065400.v8.1 transcript:Manes.11G065400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRLSFFGIGGSNNVNNSSDGLKSELAPTIKLQTEKDVYRPGDSIFVTIEISNPSNGALESSPCSLLIEKLGFEIKGIEKLDPQWFATQKPLPGSRQRRGEHVFLDCSTSSMVSNQILSSGATKTYVVRTVLPSIIPPSYRGATIRYIYYVKSTLSGRRLILENGHSHMESSKDLIELEARVPLQIWVTQQSNGLLMEDQIDGIVPATTLLLDLYWKPMDGDSEWARANDDNGLEEGYDSSRDEISSVSSYNPAKESIHKTFGSSLSLHSFTARSSNASHIEGGRTSLSTLAPPRLSVAEVLYASGADISSPDKSASIVSSSQQQKIVKPHSEDDIVAESSPGGVGVSEPATSEGFLRGKSYNIRMDNQVLLRFSPKNSDSTYYFSDMIGGTLTFFHEEGSRRCLEVSITLETSETVSRRFVHPSRKNSPTITKVQSDHHEVVADLVQTGFLFSVPMDGPMSFSTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTLGAHTRNEKHFSLEPLWIHT >Manes.11G065400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9084363:9106720:-1 gene:Manes.11G065400.v8.1 transcript:Manes.11G065400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSVRVLFFPIITFPGEHVFLDCSTSSMVSNQILSSGATKTYVVRTVLPSIIPPSYRGATIRYIYYVKSTLSGRRLILENGHSHMESSKDLIELEARVPLQIWVTQQSNGLLMEDQIDGIVPATTLLLDLYWKPMDGDSEWARANDDNGLEEGYDSSRDEISSVSSYNPAKESIHKTFGSSLSLHSFTARSSNASHIEGGRTSLSTLAPPRLSVAEVLYASGADISSPDKSASIVSSSQQQKIVKPHSEDDIVAESSPGGVGVSEPATSEGFLRGKSYNIRMDNQVLLRFSPKNSDSTYYFSDMIGGTLTFFHEEGSRRCLEVSITLETSETVSRRFVHPSRKNSPTITKVQSDHHEVVADLVQTGFLFSVPMDGPMSFSTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTLGAHTRNEKHFSLEPLWIHT >Manes.11G065400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9084472:9106710:-1 gene:Manes.11G065400.v8.1 transcript:Manes.11G065400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRLSFFGIGGSNNVNNSSDGLKSELAPTIKLQTEKDVYRPGDSIFVTIEISNPSNGALESSPCSLLIEKLGFEIKGIEKLDPQWFATQKPLPGSRQRRGEHVFLDCSTSSMVSNQILSSGATKTYVVRTVLPSIIPPSYRGATIRYIYYVKSTLSGRRLILENGHSHMESSKDLIELEARVPLQIWVTQQSNGLLMEDQIDGIVPATTLLLDLYWKPMDGDSEWARANDDNGLEEGYDSSRDEISSVSSYNPAKESIHKTFGSSLSLHSFTARSSNASHIEGGRTSLSTLAPPRLSVAEVLYASGADISSPDKSASIVSSSQQQKIVKPHSEDDIVAESSPGGVGVSEPATSEGFLRGKSYNIRMDNQVLLRFSPKNSDSTYYFSDMIGGTLTFFHEEGSRRCLEVSITLETSETVSRRFVHPSRKNSPTITKVQSDHHEVVADLVQTGFLFSVPMDGPMSFSTPHVSVQWVLRFEFFTTPKNVDWTRYEHPLLVEGRDKSEWVLPITVHAPPSGTLGAHTRNEKHFSLEPLWIHT >Manes.04G077200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27880946:27884800:-1 gene:Manes.04G077200.v8.1 transcript:Manes.04G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSSPKPSTFSKFHSFPRKQLSTRPPCIVKSFLNLTSQSQLAQAISSLEPLSRKGIRLPCQTLGYLILQCAKTKSLKLGKKIHLHLKLTGFKRPNAFLANNLIHMYMTCADYVGAYKVFDEMPMKNVYSWNNMLSGYAKLGKVKPARKLFDKMPEKDVVSWNTMVIAYAQSGLYNEALRLYRELRNLGIGYNEYSFAGLLNVCVKCKEVDLTRQAHGQVLVAGFLSNLVISSSVVDSYAKCSKMSDARLLFDEMNVRDILSWTTMVSGYAQLGDMEAANEIFDLMPKKNPVSWTALIAGYARHGLGHKALELFTKMLMLRISPDQFTFSSCLCACASIASLNHGKQIHGYFTRTHFRPNTIVVSSLIDMYSKCGSIEMASLVFDLMGNKRDVVLWNTIISALAQHGHGEEAIQMFDDMVRLGMKPDEITLVVILNACSHSGLVMEGLRLFESMTCCHGVVPNQEHYACLIDLLGRAGHFDRLLGQLEKMPCKPNDQIWNALVGVCRIHGNVELGRKVAEKLIELKPQSSAAYVLLSSIYAALGRWESVEKVRQLMNERQVKKERAVSWIDIENKVHSFTVSDQLHPLKEAIYSVLVQLADHMEEEVASINNE >Manes.14G172600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28409852:28427990:1 gene:Manes.14G172600.v8.1 transcript:Manes.14G172600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKNKLFFSSKKSDASSPDGSNSPRSIGSNSPIRSDKKKPKSSSKDESPTAHNTVFAAAACRQTQVKDGVKKKDSVKGKETAAQSPGRLGLSSSGTKKPAAATAPDGKNAAASVSPILASSLGLNKIKTRSGPLPQESFFSFRGDKGSGVLGSSNLSKPGGGVGGGSSSSSGSGKKKEIAGQSRMMGFQGSGVGDNDHNWDSMMSPGSGQSGEVSSNLQTRSRLQNGESSTEAGQCESSWGRSGGLRSSDVFTPETYDCENPKESESPRFQAILRVTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLEEILVVIRAKFDKAKEEVNSDLAIFAADLVGVLEKNAESHPEWQETIEDLLVLARSCAMTSPGEFWLQCEGIVQELDDRRQELPPGMLKQLHTRMLFILTRCTRLLQFHKESGLAEDENVFQLRQSRLLHSDDKRIPQGIGREGKSSSAAKPSKAVSVRKSYSQEQHGLDWNRDQVQPHGSSLPAAEGTLKNMDSPGGRDRMASWKKLPSPSAKNMKEVPPSKELNDSKVEPLKMSNNRKAVSDADLIASKLSELPTAKESHENSTKHQHKVSWGYWGDQQNVSDESSIICRICEEEVPTSNVEDHSRICAIADRCDQNGLSVNERLVGIADTLEKMIESFAQKDIQHAVGSPDVAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNCIFMDDLKGLPSMSCKTRFGPKSDQGMATSSAGSMTPRSPLLTPRTSPIDLLLAGKGAFSENDDIPQMNELADIARCVANTPLDDDRSMPYLLTCLEDLRVVIDRRKFDALTVETFGTRIEKLIREKYLQLCELVEDERVDITSTVIDEDAPLEDDVVRSLRTSPIHSRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDLSGPAVSGTSMLGDDEPQLSTSEHQQERRKKRSAVGTPDYLAPEILLGTGHGTTADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPRVPEEMSPEAQDLIDRLLTEDPHLRLGAGGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNTSNDNTYPGSDFEDSSDADSLSGCSSCPSNRQDEVGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKEDSSTNPNS >Manes.01G264700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41935412:41938908:-1 gene:Manes.01G264700.v8.1 transcript:Manes.01G264700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAIHPQSPASRSGPCKGEDKVRTLATEVKKGDDDQVKEEAASPSMKLCIGIGDDDRGPSIRHGKALLTEAQLVELQRQVIIYKYIATGLPVPSTLVLPFWKSVDSSSGSVNVEGYSPQEFDYRNMMDPEPGRCRRTDGKKWRCKKNVVSGHKYCEQHMHRGRKRSRKPVEASQMHTANATSLKNPSKTSQNPSTSNSISIAATLSNNTGISHKNYGTACSSANAQIIGCTTEAATVVSGQKAISTSWNPRGISGCLNANAIMITSSVPTDSTITTATTGGNKGGSKYNHETNSFADRNVNCSDSIGLKNLIYEGNSSRNFKNAKGVTSQGLNFSPKSVLQVQGCGTAYLYRSYVDVEPGRCRRTDGKKWRCRRDVVPGEKYCKIHMHRGAKKPMEASQSPALLNATPETSTTTATLLKKADGINLNTTLSISLSANHQLGTNDTTSDTTISNNSDTIISNTIIAPCENGDSSS >Manes.01G264700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41935412:41938908:-1 gene:Manes.01G264700.v8.1 transcript:Manes.01G264700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGYSPQEFDYRNMMDPEPGRCRRTDGKKWRCKKNVVSGHKYCEQHMHRGRKRSRKPVEASQMHTANATSLKNPSKTSQNPSTSNSISIAATLSNNTGISHKNYGTACSSANAQIIGCTTEAATVVSGQKAISTSWNPRGISGCLNANAIMITSSVPTDSTITTATTGGNKGGSKYNHETNSFADRNVNCSDSIGLKNLIYEGNSSRNFKNAKGVTSQGLNFSPKSVLQVQGCGTAYLYRSYVDVEPGRCRRTDGKKWRCRRDVVPGEKYCKIHMHRGAKKPMEASQSPALLNATPETSTTTATLLKKADGINLNTTLSISLSANHQLGTNDTTSDTTISNNSDTIISNTIIAPCENGDSSS >Manes.01G264700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41935412:41938908:-1 gene:Manes.01G264700.v8.1 transcript:Manes.01G264700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAIHPQSPASRSGPCKGEDKVRTLATEVKKGDDDQVKEEAASPSMKLCIGIGDDDRGPSIRHGKALLTEAQLVELQRQVIIYKYIATGLPVPSTLVLPFWKSVDSSSGSVNVEGYSPQEFDYRNMMDPEPGRCRRTDGKKWRCKKNVVSGHKYCEQHMHRGRKRSRKPVEASQMHTANATSLKNPSKTSQNPSTSNSISIAATLSNNTGISHKNYGTACSSANAQIIGCTTEAATVVSGQKAISTSWNPRGISGCLNANAIMITSSVPTDSTITTATTGGNKGGSKYNHETNSFADRNVNCSDSIGLKNLIYEGNSSRNFKNAKGVTSQGLNFSPKSVLQDVEPGRCRRTDGKKWRCRRDVVPGEKYCKIHMHRGAKKPMEASQSPALLNATPETSTTTATLLKKADGINLNTTLSISLSANHQLGTNDTTSDTTISNNSDTIISNTIIAPCENGDSSS >Manes.01G264700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41935412:41938908:-1 gene:Manes.01G264700.v8.1 transcript:Manes.01G264700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGYSPQEFDYRNMMDPEPGRCRRTDGKKWRCKKNVVSGHKYCEQHMHRGRKRSRKPVEASQMHTANATSLKNPSKTSQNPSTSNSISIAATLSNNTGISHKNYGTACSSANAQIIGCTTEAATVVSGQKAISTSWNPRGISGCLNANAIMITSSVPTDSTITTATTGGNKGGSKYNHETNSFADRNVNCSDSIGLKNLIYEGNSSRNFKNAKGVTSQGLNFSPKSVLQVQGCGTAYLYRSYVDVEPGRCRRTDGKKWRCRRDVVPGEKYCKIHMHRGAKKPMEASQSPALLNATPETSTTTATLLKKADGINLNTTLSISLSANHQLGTNDTTSDTTISNNSDTIISNTIIAPCENGDSSS >Manes.01G264700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41935412:41938908:-1 gene:Manes.01G264700.v8.1 transcript:Manes.01G264700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCIGIGDDDRGPSIRHGKALLTEAQLVELQRQVIIYKYIATGLPVPSTLVLPFWKSVDSSSGSVNGEILGPYPSFEGYSPQEFDYRNMMDPEPGRCRRTDGKKWRCKKNVVSGHKYCEQHMHRGRKRSRKPVEASQMHTANATSLKNPSKTSQNPSTSNSISIAATLSNNTGISHKNYGTACSSANAQIIGCTTEAATVVSGQKAISTSWNPRGISGCLNANAIMITSSVPTDSTITTATTGGNKGGSKYNHETNSFADRNVNCSDSIGLKNLIYEGNSSRNFKNAKGVTSQGLNFSPKSVLQVQGCGTAYLYRSYVDVEPGRCRRTDGKKWRCRRDVVPGEKYCKIHMHRGAKKPMEASQSPALLNATPETSTTTATLLKKADGINLNTTLSISLSANHQLGTNDTTSDTTISNNSDTIISNTIIAPCENGDSSS >Manes.01G264700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41935412:41938908:-1 gene:Manes.01G264700.v8.1 transcript:Manes.01G264700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAIHPQSPASRSGPCKGEDKVRTLATEVKKGDDDQVKEEAASPSMKLCIGIGDDDRGPSIRHGKALLTEAQLVELQRQVIIYKYIATGLPVPSTLVLPFWKSVDSSSGSVNGEILGPYPSFEGYSPQEFDYRNMMDPEPGRCRRTDGKKWRCKKNVVSGHKYCEQHMHRGRKRSRKPVEASQMHTANATSLKNPSKTSQNPSTSNSISIAATLSNNTGISHKNYGTACSSANAQIIGCTTEAATVVSGQKAISTSWNPRGISGCLNANAIMITSSVPTDSTITTATTGGNKGGSKYNHETNSFADRNVNCSDSIGLKNLIYEGNSSRNFKNAKGVTSQGLNFSPKSVLQVQGCGTAYLYRSYVDVEPGRCRRTDGKKWRCRRDVVPGEKYCKIHMHRGAKKPMEASQSPALLNATPETSTTTATLLKKADGINLNTTLSISLSANHQLGTNDTTSDTTISNNSDTIISNTIIAPCENGDSSS >Manes.01G264700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41935412:41938908:-1 gene:Manes.01G264700.v8.1 transcript:Manes.01G264700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGAIHPQSPASRSGPCKGEDKVRTLATEVKKGDDDQVKEEAASPSMKLCIGIGDDDRGPSIRHGKALLTEAQLVELQRQVIIYKYIATGLPVPSTLVLPFWKSVDSSSGSVNGEILGPYPSFEGYSPQEFDYRNMMDPEPGRCRRTDGKKWRCKKNVVSGHKYCEQHMHRGRKRSRKPVEASQMHTANATSLKNPSKTSQNPSTSNSISIAATLSNNTGISHKNYGTACSSANAQIIGCTTEAATVVSGQKAISTSWNPRGISGCLNANAIMITSSVPTDSTITTATTGGNKGGSKYNHETNSFADRNVNCSDSIGLKNLIYEGNSSRNFKNAKGVTSQGLNFSPKSVLQDVEPGRCRRTDGKKWRCRRDVVPGEKYCKIHMHRGAKKPMEASQSPALLNATPETSTTTATLLKKADGINLNTTLSISLSANHQLGTNDTTSDTTISNNSDTIISNTIIAPCENGDSSS >Manes.18G048100.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4172656:4176625:-1 gene:Manes.18G048100.v8.1 transcript:Manes.18G048100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQTMGSQGDGSSDGKQSQFQSLARQNSMYSLTLDEVQNHLGDLGKPLSSMNLDELLKNVWTVEANHSMGAEVEGTQLANQTALQRQASLSLTGALSKKTVDEVWRDIQQNKNNGEKKSRERQPTLGEMTLEDFLVKAGVVAEASLEKKDGDPVLGVDTNIGPQFPQQQGQWMQYPHPQYQHPQQQMMGVYMPAQPMPQPLHVGAGPVMDVSYPENQVALPLPSPLMGTLSDVQTHARKRGTPEDMIEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKQLENMLPCVPIPEPKYQLRRTTSAPF >Manes.18G048100.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4172656:4176622:-1 gene:Manes.18G048100.v8.1 transcript:Manes.18G048100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQTMGSQGDGSSDGKQSQFQSLARQNSMYSLTLDEVQNHLGDLGKPLSSMNLDELLKNVWTVEANHSMGAEVEGTQLANQTALQRQASLSLTGALSKKTVDEVWRDIQQNKNNGEKKSRERQPTLGEMTLEDFLVKAGVVAEASLEKKDGDPVLGVDTNIGPQFPQQQGQWMQYPHPQYQHPQQQMMGVYMPAQPMPQPLHVGAGPVMDVSYPENQVALPLPSPLMGTLSDVQTHARKRGTPEDMIEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKQLENMLPCVPIPEPKYQLRRTTSAPF >Manes.18G048100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4172656:4176626:-1 gene:Manes.18G048100.v8.1 transcript:Manes.18G048100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQTMGSQGDGSSDGKQSQFQSLARQNSMYSLTLDEVQNHLGDLGKPLSSMNLDELLKNVWTVEANHSMGAEVEGTQLANQTALQRQASLSLTGALSKKTVDEVWRDIQQNKNNGEKKSRERQPTLGEMTLEDFLVKAGVVAEASLEKKDGDPVLGVDTNIGPQFPQQQGQWMQYPHPQYQHPQQQMMGVYMPAQPMPQPLHVGAGPVMDVSYPENQVALPLPSPLMGTLSDVQTHARKRGTPEDMIEKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRKQLENMLPCVPIPEPKYQLRRTTSAPF >Manes.15G087300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6688253:6692331:-1 gene:Manes.15G087300.v8.1 transcript:Manes.15G087300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLVSSLHTINQIVNIFQSRNQSMEGYAEMKVSQKNPSAPGIPRFAYLISGSKGDLEKLWRTLHALYHPRNQYVVHLDLESPAEERLELSTRVEKHPLFSKVGNVFMVTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFLEHTSHLGWKEEKRAMPLIVDPGLYSTKKTDLFPATPRRGLPTAFKLFTGSAWMVLTRSFVEYIIWGWDNLPRVVLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLHFISWDMPPQQHPRILTLNDTKQMIDSGAPFARKFKHNDPLLDKIDKDLLGRKKGSFTPGGWCSDSPKCTKVGDPNNIKPGRGAYRFKRLIARLALTSKLKQNQCK >Manes.15G087300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6688253:6692313:-1 gene:Manes.15G087300.v8.1 transcript:Manes.15G087300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLVSSLHTINQIVNIFQSRNQSMEGYAEMKVSQKNPSAPGIPRFAYLISGSKGDLEKLWRTLHALYHPRNQYVVHLDLESPAEERLELSTRVEKHPLFSKVGNVFMVTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFLEHTSHLGWKEEKRAMPLIVDPGLYSTKKTDLFPATPRRGLPTAFKLFTGSAWMVLTRSFVEYIIWGWDNLPRVVLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLHFISWDMPPQQHPRILTLNDTKQMIDSGAPFARKFKHNDPLLDKIDKDLLGRKKGSFTPGGWCSDSPKCTKVGDPNNIKPGRGAYRFKRLIARLALTSKLKQNQCK >Manes.15G087300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6688253:6691484:-1 gene:Manes.15G087300.v8.1 transcript:Manes.15G087300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLVSSLHTINQIVNIFQSRNQSMEGYAEMKVSQKNPSAPGIPRFAYLISGSKGDLEKLWRTLHALYHPRNQYVVHLDLESPAEERLELSTRVEKHPLFSKVGNVFMVTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFLEHTSHLGWKEEKRAMPLIVDPGLYSTKKTDLFPATPRRGLPTAFKLFTGSAWMVLTRSFVEYIIWGWDNLPRVVLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLHFISWDMPPQQHPRILTLNDTKQMIDSGAPFARKFKHNDPLLDKIDKDLLGRKKGSFTPGGWCSDSPKCTKVGDPNNIKPGRGAYRFKRLIARLALTSKLKQNQCK >Manes.15G087300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6688253:6692313:-1 gene:Manes.15G087300.v8.1 transcript:Manes.15G087300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNMEKKWVFPLVISSLICVFLLATSLNMGLVSSLHTINQIVNIFQSRNQSMEGYAEMKVSQKNPSAPGIPRFAYLISGSKGDLEKLWRTLHALYHPRNQYVVHLDLESPAEERLELSTRVEKHPLFSKVGNVFMVTKANMVTYRGPTMVANTLHACAILLKRSKDWDWFINLSASDYPLITQDDLLHTFSTINRNLNFLEHTSHLGWKEEKRAMPLIVDPGLYSTKKTDLFPATPRRGLPTAFKLFTGSAWMVLTRSFVEYIIWGWDNLPRVVLMYYTNFVSSPEGYFHTVICNVPEFAQTAVNHDLHFISWDMPPQQHPRILTLNDTKQMIDSGAPFARKFKHNDPLLDKIDKDLLGRKKGSFTPGGWCSDSPKCTKVGDPNNIKPGRGAYRFKRLIARLALTSKLKQNQCK >Manes.10G001400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:561976:565975:-1 gene:Manes.10G001400.v8.1 transcript:Manes.10G001400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGDLRVLGSDFTAIGEKRSGGELGEKQQSDRKRIKMRNLDSQETSTQHLKNREAGDQFQFAEETSQVTNLPITLDLDATQVERRGRTSLSVEVNPAPRTLDLNSEACVTNNSASNGSAEHGEIFRKVSVLKQHDRERDSKSATSGGIGLDLNAEDVTSSMNQESFHTSKNYDHLKSRREPSECGSTTGPVEGKDPLRVWKEMKQNGFLSYSHGGILNQSGLTSSSHGGIPMPKQRGRKSKNDLLKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNKKQVHSIIEALVRSEKLEKSHVETKEESRLKTETKETSNVSDSGIHLLSFSQGNGISAILSGNNQIGGCHALTRDGNSSMVDGICSRNSVSHYTALVEDDTLALKLSTSTKASEESSTFSTEESTNVTNISSLSVRAASVASQWLELLHQDIKGRLSALRRSKRRVRAVTTTELPFLVLKEFPSNQENDPFIMKSSSDEMSSNAISAMHQERWKTLFDQMEKALSEEEKQLESWLSQVKEMQLHCDQGMQNFQWNEILGFQPQETLENYTRIGKADSSERELAIRAAAASIYSTCSFLMSKENVSCF >Manes.11G114800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27054339:27065107:1 gene:Manes.11G114800.v8.1 transcript:Manes.11G114800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIVEVRQKAAANREKFRSTSTGGMYRTSSYSSTGGYSDKYDDDRYEGRYGSRDEDRNGYGYGREREYSYRDDDRYGRHGDSYGRDGDRYGRDYEERNSKDGNRDDDYRGRSQSIDGYGSRSRSSDRDRGFEDDGHSLSRGGARADDQSQDGRRLERKFSEQNIAPPSYEEVVNESRSPAHGERNGETSIAAAPGVSSPAAPRPSSPPAPKAVSPPASNNHSQAATVLSAPVTPGQGVEVVDEFDPRIPGSAGPISTTASAVATTSNNAEMDLLGSLSDSFTANQLAIMPVASATATSEHDAQANFSGSAYAVTQQPASNVMNQPFEDPFGDSPFKAIPSADDAISAQQQTSTSAVAFQPTMNQNAEMPPKMPLDTVNNYDFGDTFSGMTYSAPNVQPNSTNSQFMPHELSTSQQETDILADILPPTGPSPSVTTQTGFSDVASQPVEPSASIFVNYNSQAGSTAPVPPNMGPQPTQFNSGNFLPHGGSTAPFPSNMAPTIPAGLGSQLNSGNLLPQQSSVAPVVSPTSHHPASGPGLQYNNGSFLPQQGFAGPTAQHTASGATLQHNNANFIPQQGSAAPTVSQAAHHATGPTPHFSNGNFFPQQGSASPVSLQVAHQNATGPTPQFNNGNVMPQGSAAPVASQVGYQAPTGSAAQPATDVLGNLFSQGSNTPMASQPALPSSTGSLAIVSQPSKEKFETKSTVWADTLNRGLVNLNISGPKINPLSDIGIDFDAINRKEKRMEKQPTTAAVTSTVTMGKAMGSGSGMGRAGAGALRGPPNPTMSPGMGMGAGMNMGMGMGMGMGGGPGMGGYGGMNQQPMGMGMGMNQQPMGMGMGMGMNQQPMGMGMGMNQQPMGMGMGMNRPMGMGMGMGMGMNQSMGMGMRMGQGGHMQPPGSSMPGGYNPMMGTGGYTQQPYGGGYR >Manes.11G114800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27054547:27065176:1 gene:Manes.11G114800.v8.1 transcript:Manes.11G114800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTFRDIKREVNKKVLKVPGIEQKILDATSNEPWGPHGTLLADIAHATKNYHEHQMIMAVIWKRINDTGKNWRHVYKALTVLEYLVANGSERVIDEIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIVEVRQKAAANREKFRSTSTGGMYRTSSYSSTGGYSDKYDDDRYEGRYGSRDEDRNGYGYGREREYSYRDDDRYGRHGDSYGRDGDRYGRDYEERNSKDGNRDDDYRGRSQSIDGYGSRSRSSDRDRGFEDDGHSLSRGGARADDQSQDGRRLERKFSEQNIAPPSYEEVVNESRSPAHGERNGETSIAAAPGVSSPAAPRPSSPPAPKAVSPPASNNHSQAATVLSAPVTPGQGVEVVDEFDPRIPGSAGPISTTASAVATTSNNAEMDLLGSLSDSFTANQLAIMPVASATATSEHDAQANFSGSAYAVTQQPASNVMNQPFEDPFGDSPFKAIPSADDAISAQQQTSTSAVAFQPTMNQNAEMPPKMPLDTVNNYDFGDTFSGMTYSAPNVQPNSTNSQFMPHELSTSQQETDILADILPPTGPSPSVTTQTGFSDVASQPVEPSASIFVNYNSQAGSTAPVPPNMGPQPTQFNSGNFLPHGGSTAPFPSNMAPTIPAGLGSQLNSGNLLPQQSSVAPVVSPTSHHPASGPGLQYNNGSFLPQQGFAGPTAQHTASGATLQHNNANFIPQQGSAAPTVSQAAHHATGPTPHFSNGNFFPQQGSASPVSLQVAHQNATGPTPQFNNGNVMPQGSAAPVASQVGYQAPTGSAAQPATDVLGNLFSQGSNTPMASQPALPSSTGSLAIVSQPSKEKFETKSTVWADTLNRGLVNLNISGPKINPLSDIGIDFDAINRKEKRMEKQPTTAAVTSTVTMGKAMGSGSGMGRAGAGALRGPPNPTMSPGMGMGAGMNMGMGMGMGMGGGPGMGGYGGMNQQPMGMGMGMNQQPMGMGMGMGMNQQPMGMGMGMNQQPMGMGMGMNRPMGMGMGMGMGMNQSMGMGMRMGQGGHMQPPGSSMPGGYNPMMGTGGYTQQPYGGGYR >Manes.11G114800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27055582:27065107:1 gene:Manes.11G114800.v8.1 transcript:Manes.11G114800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTSSYSSTGGYSDKYDDDRYEGRYGSRDEDRNGYGYGREREYSYRDDDRYGRHGDSYGRDGDRYGRDYEERNSKDGNRDDDYRGRSQSIDGYGSRSRSSDRDRGFEDDGHSLSRGGARADDQSQDGRRLERKFSEQNIAPPSYEEVVNESRSPAHGERNGETSIAAAPGVSSPAAPRPSSPPAPKAVSPPASNNHSQAATVLSAPVTPGQGVEVVDEFDPRIPGSAGPISTTASAVATTSNNAEMDLLGSLSDSFTANQLAIMPVASATATSEHDAQANFSGSAYAVTQQPASNVMNQPFEDPFGDSPFKAIPSADDAISAQQQTSTSAVAFQPTMNQNAEMPPKMPLDTVNNYDFGDTFSGMTYSAPNVQPNSTNSQFMPHELSTSQQETDILADILPPTGPSPSVTTQTGFSDVASQPVEPSASIFVNYNSQAGSTAPVPPNMGPQPTQFNSGNFLPHGGSTAPFPSNMAPTIPAGLGSQLNSGNLLPQQSSVAPVVSPTSHHPASGPGLQYNNGSFLPQQGFAGPTAQHTASGATLQHNNANFIPQQGSAAPTVSQAAHHATGPTPHFSNGNFFPQQGSASPVSLQVAHQNATGPTPQFNNGNVMPQGSAAPVASQVGYQAPTGSAAQPATDVLGNLFSQGSNTPMASQPALPSSTGSLAIVSQPSKEKFETKSTVWADTLNRGLVNLNISGPKINPLSDIGIDFDAINRKEKRMEKQPTTAAVTSTVTMGKAMGSGSGMGRAGAGALRGPPNPTMSPGMGMGAGMNMGMGMGMGMGGGPGMGGYGGMNQQPMGMGMGMNQQPMGMGMGMGMNQQPMGMGMGMNQQPMGMGMGMNRPMGMGMGMGMGMNQSMGMGMRMGQGGHMQPPGSSMPGGYNPMMGTGGYTQQPYGGGYR >Manes.01G002350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1816638:1819852:-1 gene:Manes.01G002350.v8.1 transcript:Manes.01G002350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPNNILITGAAGFIASHVCNRLHRIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.09G142800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34109982:34113667:-1 gene:Manes.09G142800.v8.1 transcript:Manes.09G142800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKVQQ >Manes.05G068700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5680156:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYES >Manes.05G068700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672754:5680156:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPGWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYES >Manes.05G068700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5678505:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPGWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKRICHIMSMKGGNIVGDFSLVKV >Manes.05G068700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5673780:5679715:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKRICLIRRWNHGRGTARSSGCWHTPRSSCWGNPHGKPWTTYMTRYGRSTRRCHHLWHGWSRHITYQQNMSMLKTNVLTVTGYITLGYNA >Manes.05G068700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5678505:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPGWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTAAGRSLPQTHPFDQVFTGLNYNSSEEPRESSQANALDFVNHYLSLNNVGFSPGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKRICHIMSMKGGNIVGDFSLVKV >Manes.05G068700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5678505:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPGWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKR >Manes.05G068700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5678593:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKRICHIMSMKGGNIVGDFSLVKV >Manes.05G068700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5678593:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKR >Manes.05G068700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5678505:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPGWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTAAGRSLPQTHPFDQVFTGLNYNSSEEPRESSQANALDFVNHYLSLNNVGFSPGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKR >Manes.05G068700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5678505:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPGWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTAAGRSLPQTHPFDQVFTGLNYNSSEEPRESSQANALDFVNHYLSLNNVGFSPGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKRICHIMSMKGGNIVGDFSLVKV >Manes.05G068700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672755:5678505:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPACVKVGIREQSIHMFRLECYMKNRNCNLLSEESTKVVGAATNPSPEGSKLDMWRFIYAANVELKQFFAYCWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTAAGRSLPQTHPFDQVFTGLNYNSSEEPRESSQANALDFVNHYLSLNNVGFSPGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKR >Manes.05G068700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672706:5678729:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPGWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKR >Manes.05G068700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5672708:5678729:1 gene:Manes.05G068700.v8.1 transcript:Manes.05G068700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSSFFYFVHENNRSSRWGLFDFPPNLPSKWLPSFSPSTNFLLRPIIHLKRHWANYCSLGAAFLASDELNSFSVSVGTGILQFSMADRCDAHFQSQSSPGWEQKDVGEGNHQLFQDTVPLDDTVALDSSLDETKLETLDFDTEVVDSPDHVKDVITHMVTEDEKEVVLDSESEGNCSSEFASVTNWLSNVKEDRRPEARVVGSQKRQFGSPFVQLEDSAETFDGSAGGTGTKPRDAVKRKMSPVSGIKGCQSLAKQIQSRTTFGKDGIFEWADSDHYGGDDFFSKRMDAAFSQEGSRRRSVLRDQKAGHVYSKGSSSSENKCKEKFVNLDREVTSSPCSDSRTAVDCQKEINKMGQASKMILENNFVNNLNQHLPAVQLEQETDPCSIERNTPDVFDVGFSTQMAAEAMEALSYGLPSDSDAGVYQYQQNLLVDSSIGVTKSCIHFKKPCLQKDALEGIARTSKQIKGSARKRSSSSSLKRSGYDKLDNELAVTKKRKRSKSLAGSSNGINTVDKNKCPTRKSPKPAKKCTEEEATGKNNTKGCENYGNLSKPFEPVVCQTGLRNAKGTMQATKDEPDNVGHRMNNGVQSSVVTYKRKRSRLGPKPFGALRAGEKCAELCCEQLRKGGLFADKTVHWITGGELAETKDRPNNQWKRTSDIIKNGIVTYRRKNSLSSAKLSESLSAEGKHAKPCCNISDTVGKNELTQKEQGGLEMSSLLRFLKSNSWGCTKRKRTLRKRPSHSFGSSNQYISFMVIDAREGYKRSFNKNLPKSSLLKEIIRLGIPELKLDFSRRDLRKRKDTACVQVLFSQHLDDDIIRQQKKIMARLGISVASCSMDATHFIADKFVRTRNMLEAIAFGKPVVTHLWLESCGQASSLIDEKNYILRDVKKEKEIGFSMPVSLARASQHPLLENRRVLITPNIQPDKKMITSLVKAVHGQVVEETQISELKIPDDLLVLSCEEDHAICTPFLDKGAAVYSSELLLNGIVIQKLEYERHQLFRNSNKRSRHHNKRICHIMSMKGGNIVGDFSLVKV >Manes.02G047500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3884036:3890592:1 gene:Manes.02G047500.v8.1 transcript:Manes.02G047500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQKNSYGKRSHSQSDYDNGSNKRRNSGDDRDHFAIDSKDTVYRYLCPVKKIGSIIGRGGDIVKQLRIDTKSKIRIGETVPGCEERVVTIHSASDETNAYEDSGNYICPAQDALFRVHDKVIAEDLHDDDDSEGGHQVTARLLVPSDQIGCIIGKGGQIIQNIRSETGAQIRILKDEHLPPCALSTDELVQISGEPAIVKKALYQIASRLHENPARSQHLLFSAVPSVYPATGSLIGPTGGAPIVGIAPLVSPYGGYKSDAGDWSRSLYSAPRDELSSKEFSLRLVCPTGNIGGVIGKGGAIINQIRQESGALIKVDSSTAEGDDCLITISAKELFDDQYSPTIEAALRLQPRCSEKIERDSGLISFTTRLLVPTSRIGCLLGKGGAIINEMRKLTKANIRILGKENLPKVASDDDEMVQISGDLDVAKDALIHVSRRLRANVFDREGAVSAFLPVLPYLPVSADNSDGLNYDSRDSKRHGRGHSYSGGYGSSDYAAGDSYGTYGSSQIGSGGGAYGAYGSYSSGRTSTSGCSMKPEASLLLPLLMKPPA >Manes.14G118400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11372926:11376889:1 gene:Manes.14G118400.v8.1 transcript:Manes.14G118400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLPLLSPPPKPSLSALSALSRPLSSSSQPLLLTSKPASFSLRIRSLFAAATTTTTSTSGVDPDYDIQMPPTNSSLLKIAIIGFGNYGQFLAKTLVFQGHTVLAHSRTDHSLEARSLGVSFFLDPHDLCEQHPDVILLCTSIISTEKVLKSLPLHRFKRNTLFVDVLSVKEFAKNLLLDVLPSDFDIICTHPMFGPESANHGWDGLYFVYENVRVGTDQSRVDRCKNFLDIFARQGCRMVEMSCQDHDKYAAGSQFITHTVGRVLEMLKLESTPINTKGYESLLDLVENTAGDSFDLYYGLFMYNKNALEMLERLDLAFEALRKQLFGRLHEVVRKQLFGNGERRQTRQGTYADGHHNGAALASASKAERSQIAAQPYEYQAQISNCIDDRSKLKIAIVGFGNFGQFLAKTLIRQGHTVLAYSRSDYSDVAQKLGVSYFSDGDDLCEEHPEVILLCTSILSTENVLKSLPVHRLKRNTLFVDVLSVKEFPRNLFLQHLPPDFDILCTHPMFGPESGKNGWNGLPFLFDKVRVGSSKSRVSRCDQFLDVFASEGCRMVEMSCAEHDRHAAGSQFITHTMGRVLEKLGLESTPINTKGYETLLNLVENTAGDSFDLYYGLFMYNVNAMEQLERLDLAFESLKKQLFGQLHGVLRKQLFENAEKSQVLRAETPV >Manes.14G118400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11372928:11376889:1 gene:Manes.14G118400.v8.1 transcript:Manes.14G118400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLPLLSPPPKPSLSALSALSRPLSSSSQPLLLTSKPASFSLRIRSLFAAATTTTTSTSGVDPDYDIQMPPTNSSLLKIAIIGFGNYGQFLAKTLVFQGHTVLAHSRTDHSLEARSLGVSFFLDPHDLCEQHPDVILLCTSIISTEKVLKSLPLHRFKRNTLFVDVLSVKEFAKNLLLDVLPSDFDIICTHPMFGPESANHGWDGLYFVYENVRVGTDQSRVDRCKNFLDIFARQGCRMVEMSCQDHDKYAAGSQFITHTVGRVLEMLKLESTPINTKGYESLLDLVENTAGDSFDLYYGLFMYNKNALEMLERLDLAFEALRKQLFGRLHEVVRKQLFGNGERRQTRQGTYADGHHNGAALASASKAERSQIAAQPYEYQAQISNCIDDRSKLKIAIVGFGNFGQFLAKTLIRQGHTVLAYSRSDYSDVAQKLGVSYFSDGDDLCEEHPEVILLCTSILSTENVLKSLPVHRLKRNTLFVDVLSVKEFPRNLFLQHLPPDFDILCTHPMFGPESGKNGWNGLPFLFDKVRVGSSKSRVSRCDQFLDVFASEGCRMVEMSCAEHDRHAAGSQFITHTMGRVLEKLGLESTPINTKGYETLLNLVENTAGDSFDLYYGLFMYNVNAMEQLERLDLAFESLKKQLFGQLHGVLRKQLFENAEKSQVLRAETPV >Manes.09G119500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32233244:32236595:1 gene:Manes.09G119500.v8.1 transcript:Manes.09G119500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIAIMKSIEQRMAAAWRNKYIIRRALSTQILMSPQSLVSLDFPENWTPTPDSLRPPTLNCSGAQDAVTIDGKLIAEDIRSTVATEVKRMKEAIGKVPGLAVILVGQRRDSQTYVRNKIKACEEVGIKSLFHELPEDCPQDAVVSAVSSFSEDPSIHGILVQLPLPQHLDEVKILNALRLEKDVDGFHPMNMGNLAMRGREPLFIPCAPKGCIELLIRSGVEIMGKNAVVIGRSNVVGLPIALLLQRHHATVSVVHVLTDNPEEITSEADIVVAAAGVPNLVRSNWLKPGAVVIDVGTCPVEDPSSEYGYRLVGDVCYEEAVKVASAITPVPGGVGPMTIAMLLTNTLDSAKRAYQFV >Manes.11G012600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1223570:1227020:1 gene:Manes.11G012600.v8.1 transcript:Manes.11G012600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSINSFKGYGKVDEVEQQAFRRKARKRLLILVVSSVVLVAVIVGAVVGTVLHKRNSGSSPSSTVPTELTPAASLKAVCSVTQYPPSCVSSISSLDSANTSDPEVLFKLSLRVAIDELSKLKDYPSKLIESTNNTVVKDALRVCESVFDDAVDRLNDSISSMAVGEGETILSTSKINDMKTWLSTTITDQETCLDALQELNTTKNFNSKLLEEVRTAMENSTEFASNSLAIVAKILGLLSDFNIPIHRKLLGFEGTNSGFPGWVSLGDRRLLQESKPAPNATVAKDGTGDYKMIGEAVAKIPKKSPYKFIIYVKEGTYIENVILDKHKWNVMIYGDGKDKTIISGSKNFVDGTPTFLTATFAVAGKGFMARDIKFINTAGAAKHQAVAFRSGSDMSVYYQCAFDAFQDTLYAHSNRQFYRDCDITGTIDFIFGNAAVVFQNCNIQPRQPLPNQFNTITAQGKKDPNQNTGISIQKCTFSAYGSNLTAPTYLGRPWKDFSTTVIMQSEIGSFLKPMGWMSWVSGVDPPGTIFYGEYMNTGPGSAVDQRVKWVGYRPALTEAEAGKFSVGSFIQGPEWLPATTVTFDSNL >Manes.06G012651.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2060132:2061944:1 gene:Manes.06G012651.v8.1 transcript:Manes.06G012651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNRSNRKIEPNPTQPNPTQPNPAGQHPNPLHTFRRTPPSHFPHSPNSNLLIPPPLFSRKMRTTKTTKTMMTDEGGEDDEDDEVQVLQSSRGPPVQSADDDEDDDEDDDGEGGDDDDDGEGGDDDDDDDEEEEENDDEDEDGEEEVIYVQIYIIFCIYFISLIWDWVVFSVGAGDMGPTSSSGVSNMQDLRCYSASYASSVYPTQSQPQTQVGNNDVRFKKGKSASGSVSKSWSFNDPELQRKKRVASYKVYSVEGKVKGSFRKSFRWLKDR >Manes.05G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3818727:3820636:-1 gene:Manes.05G046000.v8.1 transcript:Manes.05G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENGTVCVTGGTGYVASWLVMRLLQRGYFVRATVRSDSEENKKDISYITSLPGAAERLKIFNADLSQPESFQAPIEGCIGVFHVAHPMDHYGKEPEESVTKIAVDGLLGILRTCLESKTVKRVVYTSTAASVMFNDKGLSVTDENTWSDLDICRRNKSVSTSYLVSKTVTEKMALDFAAKHGLDLVTIVLPLVVGPFICPYIPSSVYLALAVIFGNLKYEDFNNFYLVHIDDAASAHIFLLEDPNAKGRYICSYLQMTTPALVQFLSAKLQEFQLPAMNQLKEIEESRLCNLSSMKLMDLGFKFGFGIEDMFGGAIQCCRDKGLLNQIVYKKPEVSPEKEWADMS >Manes.05G056050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4687238:4687613:1 gene:Manes.05G056050.v8.1 transcript:Manes.05G056050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTYTAFAFKYIIFSLEERLFITLLNLFIFRNDEAVGSVGITGPLPLPLLNNLIPDLKSLASKTLIIWELMHSRRLNLK >Manes.03G062200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6641157:6644934:-1 gene:Manes.03G062200.v8.1 transcript:Manes.03G062200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCDSVSSSSTKSLSQKLENIDENGPALWQQVSCDAPETPIETMEFLARSWSLSAMELSKALSNTHGASENVEKSPLLSAQAEEQDASATAPKEPHFQQLPNGAKGSPPISPRESEEMKELILLHQALNPEFLSSQQLLRTGIYKSIIKGRTMGRWLKDQKERKKQEIRTNNAQLHAAVSVAGVAAAVAALAASNVMSVEMASTCQKTHSKTPAAMASAAALVASHCIEIAEEMGADHDQILTVVNSAVNARTSGDIMTLTAGAATALRGANALKARLQKGHGSTAFALVEEKGEEGKESNILAALNFVTRGGELLKRTRKGALHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKCIVSGVYSDTPAWPGREKEDCNEQRSYFGIKTAERVIEFECRSKDEKRMWTDGIQHMLNCHTNIKLEATFNCCKTSL >Manes.03G062200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6641157:6644934:-1 gene:Manes.03G062200.v8.1 transcript:Manes.03G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCTTAQTAEESEPCGDKNLRMSSSSTKSLSQKLENIDENGPALWQQVSCDAPETPIETMEFLARSWSLSAMELSKALSNTHGASENVEKSPLLSAQAEEQDASATAPKEPHFQQLPNGAKGSPPISPRESEEMKELILLHQALNPEFLSSQQLLRTGIYKSIIKGRTMGRWLKDQKERKKQEIRTNNAQLHAAVSVAGVAAAVAALAASNVMSVEMASTCQKTHSKTPAAMASAAALVASHCIEIAEEMGADHDQILTVVNSAVNARTSGDIMTLTAGAATALRGANALKARLQKGHGSTAFALVEEKGEEGKESNILAALNFVTRGGELLKRTRKGALHWKQVSFNINSNWQVVAKMKSKHMAGTFTKKKKCIVSGVYSDTPAWPGREKEDCNEQRSYFGIKTAERVIEFECRSKDEKRMWTDGIQHMLNCHTNIKLEATFNCCKTSL >Manes.02G177500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14008575:14012407:1 gene:Manes.02G177500.v8.1 transcript:Manes.02G177500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGYWNRQQHQQQHQQQHPLLSSGGSLKRPRSDYDLQSSGLPSSHEIHNYYPRDDDQGRYQAVKDTKTIGSAYDQYLQNAKITSLSSGGASGLSVGLGRATGNAMTGLPILDSGIMDRPRVSGLDLATNGRDFVFQSQPSRDTIDRPVRETVPLPPDASSTLYVEGLPPDSTRREVAHIFRPFVGYKEVRLVSKESKHRGGDPIILCFVDFENPACAATALSALQGYKIDEHDHESNYLRLQFSRNPGPRSGHGGRGKR >Manes.13G076112.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:19177814:19182491:-1 gene:Manes.13G076112.v8.1 transcript:Manes.13G076112.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATATTSDSQAPEQPILIPSLPNDIALNILARLPRSLYPLLSLVSKPFRSVFSSSILYTTRSLLHCSQSFLYLCIRISTTSSLHWFTLYDNFPNPTKPPNFLVPLSATPSTLVGSALVSVGPKIYVIGGCLKDIPSSHVWALDCRFHTWESIPNMRISREFAAAGVVEDKIYVIGGCVVDTWARSKYWAEVFDPKTRIWDSVDSAQDNLLREKWMHASVVINNRIYAMADRNGVIYEPRTKKWDSVGIELDLGWRGRACVVDEVLYCYDFLGNIRGFDVEKGFWKELRGVDKELPRFLAGATMANVGGKLVVVWEKRGSGSGIQIGNENGKGKGKEMEIWCAEIEVEKKGEEELWGNIKWCDVVYKVPIGSSIVHCLAVTL >Manes.07G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3997403:4000501:1 gene:Manes.07G037200.v8.1 transcript:Manes.07G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPPLLTRPQSHSPKKYHQHPKRRPMSPFCISIFLLVTCVAFFTLFQIQSLHTPPSSSSPWSLMHQWRKVTTSSQELTSMAEKLKQAVTFLPLKDLRYQDKALEGHTWFMSSMYDIHEEGEVQYQQFPSESSNGRLLCLKGRDTHDGSWNSYALAWPETLPLNASLLKGLTFVSYNHYNYDNIWHGLSAVVPFVAWHIRNGCESPSRWILYHWGELRFKMSTWLRTLTEATYGADPYIEGFEWANNSEPVCFEKAVVMRHNEGGMSRERRIETYDLMRCKARAYCNLSLEDARINDKGLPRIGLTLFMRTGPRSFRNESAVIGIFEKECAKVEGCKLMVAYSNNLTFCEQVKLMSLTDILASPHGAQLTNMFLMDRNSSVMEFFPKGWLKLAGVGQYVYHWIASWSGMKHQGAWRDPDGDPCPFPEDDRRCMSVYKGGKIGFNETYFSEWAANILSEVKRRKMENVSNNTTASTSSCPCS >Manes.16G079900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28522452:28524897:1 gene:Manes.16G079900.v8.1 transcript:Manes.16G079900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYGDGLAQFIDPSHTHIHSLASKAACGFLSLPNAPPSESEDERIIREFANLLDACEAYRDMSVEKSALLPTISQRFMGMKAAIITNSPCLKSFGSKLGFTVFQFSDLSGNDGSLSGKSVDFVTSELLKLLGFQEGQTIETSQFDFVLVHIGAGERTNGERGSDIISDAEYVNALVGGVMNTAQPGSEIGSRLHLSLVMSYGDIREISANLSVLASEDEIISEFSMLIPRQSYTMKGEKPRNDVRHHCPMLIAQWQHAVTRKDMAKTFSFKDFKENGGNGVIPADRFMHEVAFKLWKAPKYGA >Manes.16G079900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28522452:28524897:1 gene:Manes.16G079900.v8.1 transcript:Manes.16G079900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPQIAESEDERIIREFANLLDACEAYRDMSVEKSALLPTISQRFMGMKAAIITNSPCLKSFGSKLGFTVFQFSDLSGNDGSLSGKSVDFVTSELLKLLGFQEGQTIETSQFDFVLVHIGAGERTNGERGSDIISDAEYVNALVGGVMNTAQPGSEIGSRLHLSLVMSYGDIREISANLSVLASEDEIISEFSMLIPRQSYTMKGEKPRNDVRHHCPMLIAQWQHAVTRKDMAKTFSFKDFKENGGNGVIPADRFMHEVAFKLWKAPKYGA >Manes.17G032305.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22718470:22718733:1 gene:Manes.17G032305.v8.1 transcript:Manes.17G032305.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQIVACFPSTSLRFPSLPIFRIANESATGLSLLATQTTLRLKNPNSKISPDEVSVCPCNNDEEEVIL >Manes.13G101150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29601813:29602672:-1 gene:Manes.13G101150.v8.1 transcript:Manes.13G101150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPKKLHVALFPWLAFGHIIPFFELAKHIAQRGHKISFISTPRNIQRLPKIPSNLAPRINLVSLPLPTVDHLPQDAEATSDLPSQKIPYLKIAYDGLEGPFLQFLKTSSPDWIICDFAQHWLPPIAANLGISLAFFGILSAWSVSFFGSSSSAMIKGEDPRSQPEDFTVIPEWIPFPSKVAFKLHEAKRLFQAWKEDSA >Manes.12G067150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6947837:6948915:-1 gene:Manes.12G067150.v8.1 transcript:Manes.12G067150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARYFPSSSLWTVSLGHNPSYVWRSIWESWLLILSIPLGRSWVSNCISWNLERDGEFYVKSAYKLQSTAATPSLDHLRSWQRPPEGWMKVNVDVSTDPSMSFMGLGAVVRDSYGKFVAAKAWRYPGFF >Manes.09G152200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34918855:34920960:1 gene:Manes.09G152200.v8.1 transcript:Manes.09G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAISQPRSSKTESYVDNKRKEDIRHANIVAARAVADAVRTSLGPKGMDKMISAANGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDAAAGDGTTTVVVIAGALLKQCLSLLGSGIHPTVISDSLHKASIKAVDVLTAMAVPVELSDRESLIKSASTSLNSKVVSQYSTLLAPLAVDAVLSVVDPAKPDLVDLRDVRIVKKLGGTVDDTEMVNGLVFDKKVSHAAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGIIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVLKDVERDDIEFITKTLNCLPIANIEHFREEKLGYANLVEEVPLGDGKIVKITGIKNMGRTSTVLVRGSNQLVLDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCVKSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >Manes.10G148400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31443434:31444209:1 gene:Manes.10G148400.v8.1 transcript:Manes.10G148400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSSVLFSCFLPSSSSSSSSRVADDAVQVSSMKAPNAENKPKSKSKSSTAPIVVSYFPINSYLSRL >Manes.08G053500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5755268:5764839:1 gene:Manes.08G053500.v8.1 transcript:Manes.08G053500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLPPIQHQVSTSLSKSLHNPPNFHMIIYIYIYITSISLCSLHSLMARSRPSSVSSQASTSYPVLLLTLLPLTLAAFAFVLQWRGGFNDSISLSSSDHRELPGMDTTNSSISSSKSFGCKELSGRSHNQSFPYYRNWKFNFQSDLRPKICITTSTSAGLEQILPWIFYHKVIGVSSFFLFVEGKAASPNVSKVLVTVPGVKLIYRTLELEEQQARSRIWNETWLANYFYKPCNSELFVKQSLNMEMAIIMAREASMDWIIHVDTDELIHPAGAPEYSLTQLLSDVPANVDMVVFPNYESCVERDDIKEPFTEVSMFKKNYEHLPTDVYFRNYKEATRGNPNYFLTYGNGKSAARIHDHLRPNGAHRWHNYVKYPNEMKLGEAAILHYTYPKFSDLTSRRDRCRCKPTKDDVKRCFMLEFDRIAFIIASTATEEEMLQWYREHVVWTENSLKLKLMRNGILTRIYAPMVIIQGLRESGLFRDVIVRAQTNQTEIFPSVEKSNSGKSAKSGVVSSGKIGENGDSQATARRVLQISDNGSFPSAVPPQSPPGQEDIDVEIC >Manes.08G053500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5755268:5764839:1 gene:Manes.08G053500.v8.1 transcript:Manes.08G053500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLPPIQHQVSTSLSKSLHNPPNFHMIIYIYIYITSISLCSLHSLMARSRPSSVSSQASTSYPVLLLTLLPLTLAAFAFVLQWRGGFNDSISLSSSDHRELPGMDTTNSSISSSKSFGCKELSGRSHNQSFPYYRNWKFNFQSDLRPKICITTSTSAGLEQILPWIFYHKVIGVSSFFLFVEGKAASPNVSKVLVTVPGVKLIYRTLELEEQQARSRIWNETWLANYFYKPCNSELFVKQSLNMEMAIIMAREASMDWIIHVDTDELIHPAGAPEYSLTQLLSDVPANVDMVVFPNYESCVERDDIKEPFTEVSMFKKNYEHLPTDVYFRNYKEATRGNPNYFLTYGNGKSAARIHDHLRPNGAHRWHNYVNEMKLGEAAILHYTYPKFSDLTSRRDRCRCKPTKDDVKRCFMLEFDRIAFIIASTATEEEMLQWYREHVVWTENSLKLKLMRNGILTRIYAPMVIIQGLRESGLFRDVIVRAQTNQTEIFPSVEKSNSGKSAKSGVVSSGKIGENGDSQATARRVLQISDNGSFPSAVPPQSPPGQEDIDVEIC >Manes.15G149300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12346330:12361805:-1 gene:Manes.15G149300.v8.1 transcript:Manes.15G149300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSLCGEDENFDWDSDDELEIENYRLSSFSSLKLPAAEATAGAAEASLSVGSSASKLIDHFVGMGFPQKMVVEVIKENGEENTDLILEALLKCSARSSASSSGSKLIDHFTGMGFDAEMVAKAIQENGEGNTDTILETLLTYSAIEKSPEEQQPMDSDHLYSEYDGYSLDDFSDIDSSENEEIAKCEPDEGNPLIFLAKMGYTVEEASIAVERCGPDATIAELTDFICAAQMAKAADASFPEEKPKLKHFDDDYPKNKKRSYYEYDMWKRKQHLKLRKRMLPGDDEMLRLPNPMIGFGFPTEPAVVTHRTLPEAAIGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKYFCAAARKRGYVHNLPIQNRFPLLPLPPHAIHEALPMTKKWWPSWDTRTKLNCLQTCVGSAKLTDRIRKAIEGYEGDPPLSNQKFVLDECRRWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDLYPGGINLLSLFSGIGGAEVALHRLGIRLKNVVSVEISEVNRNIIRSWWEQTDQTGNLIDIVDVQQLNADRLEQLMNSFGGFDLVVGGSPCNNLTGSNRFHRDGLEGPVRIVALSSRLCMYSSIVEQVSYV >Manes.15G149300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12347387:12355161:-1 gene:Manes.15G149300.v8.1 transcript:Manes.15G149300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQKMVVEVIKENGEENTDLILEALLKCSARSSASSSGSKLIDHFTGMGFDAEMVAKAIQENGEGNTDTILETLLTYSAIEKSPEEQQPMDSDHLYSEYDGYSLDDFSDIDSSENEEIAKCEPDEGNPLIFLAKMGYTVEEASIAVERCGPDATIAELTDFICAAQMAKAADASFPEEKPKLKHFDDDYPKNKKRSYYEYDMWKRKQHLKLRKRMLPGDDEMLRLPNPMIGFGFPTEPAVVTHRTLPEAAIGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKYFCAAARKRGYVHNLPIQNRFPLLPLPPHAIHEALPMTKKWWPSWDTRTKLNCLQTCVGSAKLTDRIRKAIEGYEGDPPLSNQKFVLDECRRWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDLYPGGINLLSLFSGIGGAEVALHRLGIRLKNVVSVEISEVNRNIIRSWWEQTDQTGNLIDIVDVQQLNADRLEQLMNSFGGFDLVVGGSPCNNLTGSNRFHRDGLEGKESSLFFDFCRILDLVKCIMTRN >Manes.15G149300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12347312:12361860:-1 gene:Manes.15G149300.v8.1 transcript:Manes.15G149300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSLCGEDENFDWDSDDELEIENYRLSSFSSLKLPAAEATAGAAEASLSVGSSASKLIDHFVGMGFPQKMVVEVIKENGEENTDLILEALLKCSARSSASSSGSKLIDHFTGMGFDAEMVAKAIQENGEGNTDTILETLLTYSAIEKSPEEQQPMDSDHLYSEYDGYSLDDFSDIDSSENEEIAKCEPDEGNPLIFLAKMGYTVEEASIAVERCGPDATIAELTDFICAAQMAKAADASFPEEKPKLKHFDDDYPKNKKRSYYEYDMWKRKQHLKLRKRMLPGDDEMLRLPNPMIGFGFPTEPAVVTHRTLPEAAIGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKYFCAAARKRGYVHNLPIQNRFPLLPLPPHAIHEALPMTKKWWPSWDTRTKLNCLQTCVGSAKLTDRIRKAIEGYEGDPPLSNQKFVLDECRRWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDLYPGGINLLSLFSGIGGAEVALHRLGIRLKNVVSVEISEVNRNIIRSWWEQTDQTGNLIDIVDVQQLNADRLEQLMNSFGGFDLVVGGSPCNNLTGSNRFHRDGLEGKESSLFFDFCRILDLVKCIMTRN >Manes.15G149300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12347265:12354680:-1 gene:Manes.15G149300.v8.1 transcript:Manes.15G149300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPQKMVVEVIKENGEENTDLILEALLKCSARSSASSSGSKLIDHFTGMGFDAEMVAKAIQENGEGNTDTILETLLTYSAIEKSPEEQQPMDSDHLYSEYDGYSLDDFSDIDSSENEEIAKCEPDEGNPLIFLAKMGYTVEEASIAVERCGPDATIAELTDFICAAQMAKAADASFPEEKPKLKHFDDDYPKNKKRSYYEYDMWKRKQHLKLRKRMLPGDDEMLRLPNPMIGFGFPTEPAVVTHRTLPEAAIGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKYFCAAARKRGYVHNLPIQNRFPLLPLPPHAIHEALPMTKKWWPSWDTRTKLNCLQTCVGSAKLTDRIRKAIEGYEGDPPLSNQKFVLDECRRWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDLYPGGINLLSLFSGIGGAEVALHRLGIRLKNVVSVEISEVNRNIIRSWWEQTDQTGNLIDIVDVQQLNADRLEQLMNSFGGFDLVVGGSPCNNLTGSNRFHRDGLEGKESSLFFDFCRILDLVKCIMTRN >Manes.15G149300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12346531:12361804:-1 gene:Manes.15G149300.v8.1 transcript:Manes.15G149300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSLCGEDENFDWDSDDELEIENYRLSSFSSLKLPAAEATAGAAEASLSVGSSASKLIDHFVGMGFPQKMVVEVIKENGEENTDLILEALLKCSARSSASSSGSKLIDHFTGMGFDAEMVAKAIQENGEGNTDTILETLLTYSAIEKSPEEQQPMDSDHLYSEYDGYSLDDFSDIDSSENEEIAKCEPDEGNPLIFLAKMGYTVEEASIAVERCGPDATIAELTDFICAAQMAKAADASFPEEKPKLKHFDDDYPKNKKRSYYEYDMWKRKQHLKLRKRMLPGDDEMLRLPNPMIGFGFPTEPAVVTHRTLPEAAIGPPYFYYENVALAPKGVWSTISRFLYDVEPEFVDSKYFCAAARKRGYVHNLPIQNRFPLLPLPPHAIHEALPMTKKWWPSWDTRTKLNCLQTCVGSAKLTDRIRKAIEGYEGDPPLSNQKFVLDECRRWNLVWVGRNKVAPLEPDEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDLYPGGINLLSLFSGIGGAEVALHRLGIRLKNVVSVEISEVNRNIIRSWWEQTDQTGNLIDIVDVQQLNADRLEQLMNSFGGFDLVVGGSPCNNLTGSNRFHRDGLEGSVCIVALSSRSRMYNSISLFTHVRWLFNSL >Manes.06G166700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29259390:29264581:-1 gene:Manes.06G166700.v8.1 transcript:Manes.06G166700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNKEMISKMDDYEVIEQIGRGTFGAAFLVLHRFENKRYVLKKIRLAKQTEKFKHTAHQEMNLIAKLNNQYIVEFKDAWVEKECYICIVTSYCEGGDMAQMIKKARGTYFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLHKEDLASTIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAGHQPAFRAPDMAALINKINRSSTSPLPTIYSSSLKQLIKTMLRKNPEHRPTAAELLRHPILQPYVVQCQNLSPVFLPVKSEHSCKDEQKEIRLSNKSNVHKNAKGKKPIPLKECGCIPRENVDSPARKVPAKDLHSSAEQIGSANSEITSESIIRSHPEDVKQEFTCEHFQIVQQKLQGIGENDMGGKKGVAKLLADLTDSTGLSGCKIASESKKNNKIWQNIEPKFCLHTTASPSKASKENNQEIHGASSDMSSMSTLTFVHGDETRIEWDPQSLQRAEALESLLEICAGLLRQERFEELAGVLRPFGEEVVSSRETAIWLTKSLMKSSKEQQ >Manes.06G166700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29259678:29264581:-1 gene:Manes.06G166700.v8.1 transcript:Manes.06G166700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNKEMISKMDDYEVIEQIGRGTFGAAFLVLHRFENKRYVLKKIRLAKQTEKFKHTAHQEMNLIAKLNNQYIVEFKDAWVEKECYICIVTSYCEGGDMAQMIKKARGTYFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLHKEDLASTIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAGHQPAFRAPDMAALINKINRSSTSPLPTIYSSSLKQLIKTMLRKNPEHRPTAAELLRHPILQPYVVQCQNLSPVFLPVKSEHSCKDEQKEIRLSNKSNVHKNAKGKKPIPLKECGCIPRENVDSPARKVPAKDLHSSAEQIGSANSEITSESIIRSHPEDVKQEFTCEHFQIVQQKLQGIGENDMGGKKGVAKLLADLTDSTGLSGCKIASESKKNNKIWQNIEPKFCLHTTASPSKASKENNQEIHGASSDMSSMSTLTFVHGDETRIEWDPQSLQRAEALESLLEICAGLLRQERFEELAGVLRPFGEEVVSSRETAIWLTKSLMKSSKEQQ >Manes.06G166700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29259678:29264582:-1 gene:Manes.06G166700.v8.1 transcript:Manes.06G166700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNKEMISKMDDYEVIEQIGRGTFGAAFLVLHRFENKRYVLKKIRLAKQTEKFKHTAHQEMNLIAKLNNQYIVEFKDAWVEKECYICIVTSYCEGGDMAQMIKKARGTYFPEELCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLHKEDLASTIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAGHQPAFRAPDMAALINKINRSSTSPLPTIYSSSLKQLIKTMLRKNPEHRPTAAELLRHPILQPYVVQCQNLSPVFLPVKSEHSCKDEQKEIRLSNKSNVHKNAKGKKPIPLKECGCIPRENVDSPARKVPAKDLHSSAEQIGSANSEITSESIIRSHPEDVKQEFTCEHFQIVQQKLQGIGENDMGGKKGVAKLLADLTDSTGLSGCKIASESKKNNKIWQNIEPKFCLHTTASPSKASKENNQEIHGASSDMSSMSTLTFVHGDETRIEWDPQSLQRAEALESLLEICAGLLRQERFEELAGVLRPFGEEVVSSRETAIWLTKSLMKSSKEQQ >Manes.06G166700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29259678:29264581:-1 gene:Manes.06G166700.v8.1 transcript:Manes.06G166700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGNDSDNNQKQGNNNRLLCTLLNLLLQSEVGYCLFSIEDLKKAINTYHKWNRQPIMEAKNKEMISKMDDYEVIEQIGRGTFGAAFLVLHRFENKRYVLKKIRLAKQTEKFKHTAHQEMNLIAKLNNQYIVEFKDAWVEKECYICIVTSYCEGGDMAQMIKKARGTYFPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLHKEDLASTIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAGHQPAFRAPDMAALINKINRSSTSPLPTIYSSSLKQLIKTMLRKNPEHRPTAAELLRHPILQPYVVQCQNLSPVFLPVKSEHSCKDEQKEIRLSNKSNVHKNAKGKKPIPLKECGCIPRENVDSPARKVPAKDLHSSAEQIGSANSEITSESIIRSHPEDVKQEFTCEHFQIVQQKLQGIGENDMGGKKGVAKLLADLTDSTGLSGCKIASESKKNNKIWQNIEPKFCLHTTASPSKASKENNQEIHGASSDMSSMSTLTFVHGDETRIEWDPQSLQRAEALESLLEICAGLLRQERFEELAGVLRPFGEEVVSSRETAIWLTKSLMKSSKEQQ >Manes.12G098902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24104473:24105599:1 gene:Manes.12G098902.v8.1 transcript:Manes.12G098902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHIFTPTFYSFRLFVFFRLFFSFTSTTVVDTFCFSTILSAHFLKRSYSTFCYSFSGS >Manes.15G090000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7027809:7029135:1 gene:Manes.15G090000.v8.1 transcript:Manes.15G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFHSQAQHKHPPKNGSRRRLTQDQVRLLERTFIANKKLEPELKLALANQLGVPPRQVAIWYQNKRARWKTQSLELDYNTVQVKLENALAEKKRLEKEVMQLRDELSKAREMMFACNQGIIPSHPSHHFHPLLPPQPPLNVSCNSSCDDGGGGSSLHEDINGEVLQFDELYACLISPGCGGSTWA >Manes.12G062306.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6127180:6129063:1 gene:Manes.12G062306.v8.1 transcript:Manes.12G062306.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLRTALFSCAMLICLCVPSAIAQTCRGHTFSSNQVFTACSDLPVLTSFLYWNYHPSNLSADIAFRKTGASTNTWVAWALNPTGQQMTGSQAILAFHNSSGVPNAYTTPITSLSPSMQPGDLSFQVSNLKAEYSNGDLIIFATLHLTSSLISTNQVWQEGTMSGTSFNPHAMDSANRASVGTINFETGATVAGTVRTSSKKNVHGVLNAVSWGVLMPMGIMIARYLKVFKVANPAWFYLHVACQSSAYIIGVAGWGTGLKLGSDSPGIKYTKHRNIGITLFCFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIVLSIINIYEGFDILDPEKKWKKIYTGIIIFLGAVAALMEVSTWIIVLRRKKTGSSDKHVNGTNGYGA >Manes.03G030899.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2507975:2508628:-1 gene:Manes.03G030899.v8.1 transcript:Manes.03G030899.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFQLKASQLRLYYALKELILSTMRNSLNRTRGSILHPLRSHRHRSWTFDLPTIPSLSHFEIESGLINSANSRLDDFLWSFTRDLLQHGTLTGNTSGVGDESQRT >Manes.03G030899.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2507124:2508545:-1 gene:Manes.03G030899.v8.1 transcript:Manes.03G030899.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFQLKASQLRLYYALKELILSTMRNSLNRTRGSILHPLRSHRHRSWTFDLPTIPSLSHFEIESGLINSANSRLDDFLWSFTRDLLQHGTLTGNTSGVGDESQRT >Manes.13G139200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34738818:34740570:-1 gene:Manes.13G139200.v8.1 transcript:Manes.13G139200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKQSIVECRGFRKFKNGLINLAHISSQLNAIQLLYGSNLHLSLYKPGQIHKHCMLLTTTQHTIKEMGSLCLRQCCFALFLILAIWANQATPHELTESSSMAERYERWLAKYGKLHRSAREKQRRFQIFKRNVEYIDAFNAKGGKTYRLSVNKFADLTDGEFQATHKGYRRPRSKRLEKTPFKYENVTVVPPSMDWRKKGAVTPVKDQGDCESCWAFSAVAAIEGIIKIATGKLISLSEQELMDCDIKGINNGCHGGMMEDAFKFIINKKGISSGANYTYKAIQGQCKKASPAAKIKRYEVVPPNNEKNLLKAVANQPVSVCLDSRSPEFKYYDGGVFHGDCGTDVDHGVTIVGYGITREGIKYWIVKNSWGDDWGEKGYMRIRRDVAAKEGLCAIATDSSYPIA >Manes.02G016500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1566614:1571166:1 gene:Manes.02G016500.v8.1 transcript:Manes.02G016500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSLDDIIRRLVEAKNGKTTKQVQLTEGEIRQLCLASKDIFLGDVHGQFSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDEKILCMHGGLSPDLKNLDQIRNIARPVDVPDQGLLCDLLWADPDKDIEGWGENDRGVSYTFGADKVAEFLQEHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKSSEKKGKMAFNSNALRPGTPPHKGKG >Manes.02G016500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1566614:1571166:1 gene:Manes.02G016500.v8.1 transcript:Manes.02G016500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSLDDIIRRLVEAKNGKTTKQVQLTEGEIRQLCLASKDIFLGQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDEKILCMHGGLSPDLKNLDQIRNIARPVDVPDQGLLCDLLWADPDKDIEGWGENDRGVSYTFGADKVAEFLQEHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKSSEKKGKMAFNSNALRPGTPPHKGKG >Manes.02G111600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8627882:8632354:-1 gene:Manes.02G111600.v8.1 transcript:Manes.02G111600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPLSTVSPKTVDKAVNALLKWRTAKSETQKPQLLEHDEFVYLILTLKKIPQKGVSRINAHKISLPNPLLNPQNDNSELCLIIDDRPKSGLTKDACRKKIQNDNIPISKIIKLSKLKTDYRPFEAKRKLCDSYDMFFADKRVIPLLPKMLGKQFFKKKKIPVAVDLKHKNWKEQIEKTCGSALLFLRTGTCSVVKVGKISMGREEIVNNVVAAINGIAEIVPRKWGGIRSFHLKLLNSLALPVYQALPDFKLKIEGVKEEEKKTEEFEEEMVKEEKVKKKKGRIHEIRYMDNNVNDDDIELDSEGDVGIDYDDNEGKTSSAEVEVKKRKKGDKEKNEKKTKVKKGDGVKQKKNVEKTKKEHGIKQNKHKKESCFEDKSDAC >Manes.02G111600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8624863:8632441:-1 gene:Manes.02G111600.v8.1 transcript:Manes.02G111600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPLSTVSPKTVDKAVNALLKWRTAKSETQKPQLLEHDEFVYLILTLKKIPQKGVSRINAHKISLPNPLLNPQNDNSELCLIIDDRPKSGLTKDACRKKIQNDNIPISKIIKLSKLKTDYRPFEAKRKLCDSYDMFFADKRVIPLLPKMLGKQFFKKKKIPVAVDLKHKNWKEQIEKTCGSALLFLRTGTCSVVKVGKISMGREEIVNNVVAAINGIAEIVPRKWGGIRSFHLKLLNSLALPVYQALPDFKLKIEGVKEEEKKTEEFEEEMVKEEKVKKKKGRIHEIRYMDNNVNDDDIELDSEGDVGIDYDDNEGKTSSAEVEVKKRKKGDKEKNEKKTKVKKGDGVKQKKNVEKTKKEHGIKQNKHKKGQLASAELK >Manes.15G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1882480:1885874:-1 gene:Manes.15G023600.v8.1 transcript:Manes.15G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLQALESKKRRNSLSPTPGPCPSLSPRPLQLITLEPDQSPFGQTNVKELTACCNSSVADVEARISGSNLILKVLSRRIPGQILRIINVLDKLSFEVLHLNISSMEDSVLYSFVVKIGLDCQLSVEELAVEVQQSFFPENLYTIYM >Manes.11G059336.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7200796:7239091:1 gene:Manes.11G059336.v8.1 transcript:Manes.11G059336.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTQTIFFLHGLMIQLAIVVNGSVSCATQLQQLKELKTLNLSYNYFDCSIDDQGCERLSKLKKLEVLDLTWNRFNNIFLPSLGALISLKTLIFGYNIMESSFPIQVLNLRGNQFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSKLELFILSPGSSRLELETENPTWFPAFQLKNIQLSNCNLNVRTRAIPSFLRYQHDIRFIDLSHNTLVGTFPTWILQNNSKLVVMNLRNNSFTGTFQLPNFKHDLVQLDISSNNLTGMLPKEFGLVRPRLEYINMSRNNFGGNVPSSISETPTLSTLDLFHNNFSGELPGSLFANCTMFCALILSNNNFQGNVLPQDMDLRSMRVLDMKNNNFSAMVGADLLNSRSLSSLNFFDISNNKVSGPIPRLLCNLTDLVFLDLSKNRLYGSRPSCFNSSYLHFLFLQKNNLSGPIPHELLRSPNLVALDLRDNNFSGNIPSWIGQFSELQVLSLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPACFSNISFGNDISFEMIEVVDIPNFMIIYLNNPDQIALNLHLPWVDWDYSELVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVGFSNLRSLESLDLGNNNLSGEIPNELVALTFLGTFNVSYNNLSGRVPNGAQFATFDENNYRGNPGLCGEPIHKTCGFIGALTSYII >Manes.11G059336.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7201318:7239091:1 gene:Manes.11G059336.v8.1 transcript:Manes.11G059336.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFPIQVLNLRGNQFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSKLELFILSPGSSRLELETENPTWFPAFQLKNIQLSNCNLNVRTRAIPSFLRYQHDIRFIDLSHNTLVGTFPTWILQNNSKLVVMNLRNNSFTGTFQLPNFKHDLVQLDISSNNLTGMLPKEFGLVRPRLEYINMSRNNFGGNVPSSISETPTLSTLDLFHNNFSGELPGSLFANCTMFCALILSNNNFQGNVLPQDMDLRSMRVLDMKNNNFSAMVGADLLNSRSLSSLNFFDISNNKVSGPIPRLLCNLTDLVFLDLSKNRLYGSRPSCFNSSYLHFLFLQKNNLSGPIPHELLRSPNLVALDLRDNNFSGNIPSWIGQFSELQVLSLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPACFSNISFGNDISFEMIEVVDIPNFMIIYLNNPDQIALNLHLPWVDWDYSELVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVGFSNLRSLESLDLGNNNLSGEIPNELVALTFLGTFNVSYNNLSGRVPNGAQFATFDENNYRGNPGLCGEPIHKTCGFIGALTSYII >Manes.11G059336.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7233320:7239091:1 gene:Manes.11G059336.v8.1 transcript:Manes.11G059336.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFPIQVLNLRGNQFSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSKLELFILSPGSSRLELETENPTWFPAFQLKNIQLSNCNLNVRTRAIPSFLRYQHDIRFIDLSHNTLVGTFPTWILQNNSKLVVMNLRNNSFTGTFQLPNFKHDLVQLDISSNNLTGMLPKEFGLVRPRLEYINMSRNNFGGNVPSSISETPTLSTLDLFHNNFSGELPGSLFANCTMFCALILSNNNFQGNVLPQDMDLRSMRVLDMKNNNFSAMVGADLLNSRSLSSLNFFDISNNKVSGPIPRLLCNLTDLVFLDLSKNRLYGSRPSCFNSSYLHFLFLQKNNLSGPIPHELLRSPNLVALDLRDNNFSGNIPSWIGQFSELQVLSLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPACFSNISFGNDISFEMIEVVDIPNFMIIYLNNPDQIALNLHLPWVDWDYSELVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVGFSNLRSLESLDLGNNNLSGEIPNELVALTFLGTFNVSYNNLSGRVPNGAQFATFDENNYRGNPGLCGEPIHKTCGFIGALTSYII >Manes.17G004100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2385937:2429146:1 gene:Manes.17G004100.v8.1 transcript:Manes.17G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSRLSEMFGNLGSFLRICIFRVLSMGPIPNHFAFIMDGNRRYAKKKNMKEGAGHRAGFLALISLLKYCYELGVKYVTVYAFSIDNFKRSPDEVKDLMNLLLEKIEELLRDESIVNQYGVRVYFIGNLKLLIEPVRIAAEKVMKATANNTNYTLLICIAYTSLDEIVHAVQVSCKNVTSSCIATGVEEDGNKNKNNMTLRAVQGSYVDTWYNYQAMKENRMGNGASASKESGDMQGECSIIKLVDIEKHMYMAVAPKPDILIRSSGETRLSNFLLWQASECLLYSPDALWPEVGLWHLLWAVLNFQRNHSYLEKKRRQL >Manes.17G004100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:2427051:2429417:1 gene:Manes.17G004100.v8.1 transcript:Manes.17G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSRLSEMFGNLGSFLRICIFRVLSMGPIPNHFAFIMDGNRRYAKKKNMKEGAGHRAGFLALISLLKYCYELGVKYVTVYAFSIDNFKRSPDEVKDLMNLLLEKIEELLRDESIVNQYGVRVYFIGNLKLLIEPVRIAAEKVMKATANNTNYTLLICIAYTSLDEIVHAVQVSCKNVTSSCIATGVEEDGNKNKNNMTLRAVQGSYVDTWYNYQAMKENRMGNGASASKESGDMQGECSIIKLVDIEKHMYMAVAPKPDILIRSSGETRLSNFLLWQASECLLYSPDALWPEVGLWHLLWAVLNFQRNHSYLEKKRRQL >Manes.02G157700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12314673:12319193:-1 gene:Manes.02G157700.v8.1 transcript:Manes.02G157700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNGLVTDAEIKSFFDSAPPLRDSAGITNKLKEFIQLNSAPQGNASPRRVVCVTSGGTTVPLEQRCVRYIDNFSSGHRGSTSTEYFIKAGYAVIFLYRRGTCQPYCRSLPEDPLLECFEFTNGSNVQVRQSYSEAVKRAINDHHAAIAAGHLLKLPFTTIFEYLQMLQMIAISMRDLGPHAMFYLAAAVSDFYVPWKDMAEHKIQSASGPLDMRLVQVPKMLSVLRKEWAPMAFCISFKLETDTKILLEKADMALKKYKMHMVIANELLTRKEEVTVVTADKKIPVRRDKTQAGSDVEKPLIELLVEKHSAHIKDADK >Manes.02G157700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12313995:12319209:-1 gene:Manes.02G157700.v8.1 transcript:Manes.02G157700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNGLVTDAEIKSFFDSAPPLRDSAGITNKLKEFIQLNSAPQGNASPRRVVCVTSGGTTVPLEQRCVRYIDNFSSGHRGSTSTEYFIKAGYAVIFLYRRGTCQPYCRSLPEDPLLECFEFTNGSNVQVRQSYSEAVKRAINDHHAAIAAGHLLKLPFTTIFEYLQMLQMIAISMRDLGPHAMFYLAAAVSDFYVPWKDMAEHKIQSASGPLDMRLVQVPKMLSVLRKEWAPMAFCISFKLETDTKILLEKADMALKKYKMHMVIANELLTRKEEVTVVTADKKIPVRRDKTQAGSDVEKPLIELLVEKHSAHIKDADK >Manes.02G157700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12313995:12319209:-1 gene:Manes.02G157700.v8.1 transcript:Manes.02G157700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNGLVTDAEIKSFFDSAPPLRDSAGITNKLKEFIQLNSAPQGNASPRRVVCVTSGGTTVPLEQRCVRYIDNFSSGHRGSTSTEYFIKAGYAVIFLYRRGTCQPYCRSLPEDPLLECFEFTNGSNVQVRQSYSEAVKRAINDHHAAIAAGHLLKLPFTTIFEYLQMLQMIAISMRDLGPHAMFYLAAAVSDFYVPWKDMAEHKIQSASGPLDMRLVQVPKMLSVLRKEWAPMAFCISFKLETDTKILLEKADMALKKYKMHMVIANELLTRKEEVTVVTADKKIPVRRDKTQAGSDVEKPLIELLVEKHSAHIKDADK >Manes.04G119700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32094236:32096337:1 gene:Manes.04G119700.v8.1 transcript:Manes.04G119700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEASPSLPVIDFCKLDIKPGTPEWDSLRSQVWKAINECGSFEAVFSKFSQELRESFLNEAEELFALPLETKKSYVTEKPFRKYVGQSPSSPLNESFIIDDPLIFHNMENFCNALWPHQEKPSFREIIQSFCKEVFELEKTIRRMIVESLGVEKYLDEHMNSANHLLLLAKYASPQTSDVDSGLPAHTDKNMITILHQNEVGGLEVQTKGGEWIRVKFSPDSFIVLFGQSLNAWTNGRLHCPYHRVRVNENNKARISAGFFTIFKEGYTVEAPEELVDEEHPLLFKPFDYSEFLKFLQTFAGRSTESALKEYCGI >Manes.02G066000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5024268:5033879:1 gene:Manes.02G066000.v8.1 transcript:Manes.02G066000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENLINLPASTSSGSSANNELHKSESDSGEADYQPGIYEAEGGSKDEDNLGLNEVNTGTEKGTRHPENLGPNEDVVGTEECMRGSENSGVGAVTKEVATDQDNLTSNQVDIGNEDGEFLVETEVDMDLVDSPVMQVNLEVADTVTVSENLSSFGFRLSSQNDFPNNQNENLIHGHENGGSVSGVKRARITYDEQQPSVHVMYNSLTRASKRKLEELLQQWSEWHAQQGSSPQDLNELLESGEETYFPALCVGLEKSSSVSFWIENPTKKLESNGFIPPVGDFVPLYDRGFALGLSSTDGRNNVEGGLEIVDEAARCFNCGSYNHSLKECPKPRDNVAVNNARKQHKSKRNQNAGPRNSARYYQNSSGGKYDGLKPGALDAETRQLLGLGELDPPPWLNRMRELGYPPGYLEPDDDDQPSGITIFADEDVKEEQEDGEIIETDYPDPPKKMAALFPGINAPIPENADERLWAAGPSSYDPFRSRSFRRSNHSSEPISRWRHHEQRGSRDSIDEGPPGVDPVVSPSTSSYPPRYGNYDSSHNLDNRDPIPSLARSHADRGRRSPLASEDFSSHISPLHSSSNKRPSPRNSSSTRLEDENDERLNDYRLDYLHRSNYEYDRYEFDRHRHRSWR >Manes.02G066000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5025746:5033879:1 gene:Manes.02G066000.v8.1 transcript:Manes.02G066000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENLINLPASTSSGSSANNELHKSESDSGEADYQPGIYEAEGGSKDEDNLGLNEVNTGTEKGTRHPENLGPNEDVVGTEECMRGSENSGVGAVTKEVATDQDNLTSNQVDIGNEDGEFLVETEVDMDLVDSPVMQVNLEVADTVTVSENLSSFGFRLSSQNDFPNNQNENLIHGHENGGSVSGVKRARITYDEQQPSVHVMYNSLTRASKRKLEELLQQWSEWHAQQGSSPQDLNELLESGEETYFPALCVGLEKSSSVSFWIENPTKKLESNGFIPPVGDFVPLYDRGFALGLSSTDGRNNVEGGLEIVDEAARCFNCGSYNHSLKECPKPRDNVAVNNARKQHKSKRNQNAGPRNSARYYQNSSGGKYDGLKPGALDAETRQLLGLGELDPPPWLNRMRELGYPPGYLEPDDDDQPSGITIFADEDVKEEQEDGEIIETDYPDPPKKMAALFPGINAPIPENADERLWAAGPSSYDPFRSRSFRRSNHSSEPISRWRHHEQRGSRDSIDEGPPGVDPVVSPSTSSYPPRYGNYDSSHNLDNRDPIPSLARSHADRGRRSPLASEDFSSHISPLHSSSNKRPSPRNSSSTRLEDENDERLNDYRLDYLHRSNYEYDRYEFDRHRHRSWR >Manes.02G066000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5024268:5033879:1 gene:Manes.02G066000.v8.1 transcript:Manes.02G066000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENLINLPASTSSGSSANNELHKSESDSGEADYQPGIYEAEGGSKDEDNLGLNEVNTGTEKGTRHPENLGPNEDVVGTEECMRGSENSGVGAVTKEVATDQDNLTSNQVDIGNEDGEFLVETEVDMDLVDSPVMQVNLEVADTVTVSENLSSFGFRLSSQNDFPNNQNENLIHGHENGGSVSGVKRARITYDEQQPSVHVMYNSLTRASKRKLEELLQQWSEWHAQQGSSPQDLNELLESGEETYFPALCVGLEKSSSVSFWIENPTKKLESNGFIPPVGDFVPLYDRGFALGLSSTDGRNNVEGGLEIVDEAARCFNCGSYNHSLKECPKPRDNVAVNNARKQHKSKRNQNAGPRNSARYYQNSSGGKYDGLKPGALDAETRQLLGLGELDPPPWLNRMRELGYPPGYLEPDDDDQPSGITIFADEDVKEEQEDGEIIETDYPDPPKKMAALFPGINAPIPENADERLWAAGPSSYDPFRSRSFRRSNHSSEPISRWRHHEQRGSRDSIDEGPPGVDPVVSPSTSSYPPRYGNYDSSHNLDNRDPIPSLARSHADRGRRSPLASEDFSSHISPLHSSSNKRPSPRNSSSTRLEDENDERLNDYRLDYLHRSNYEYDRYEFDRHRHRSWR >Manes.11G013700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1277587:1281584:1 gene:Manes.11G013700.v8.1 transcript:Manes.11G013700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPTVAGRSSRRLTADFLWPDLKKPIEKKYSKPVVVDLDDDFEADFQEFKDESDADEVDDVLLDVKPFAFSATASPPTRNRSLSRGSTAVKSVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPGKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRASPKRTVKAIPRKPLAKANLAQNLSYLDNTEPDYFNTLGSVDEKPLVGQFGLMDSFPANVDGANKSISPCDSVPMYFNSDQGSNSLDCSDFGWGEQASKTPEISSVLSGTEIDESLFMEDANPKKKIKADSEILVPVEENNGKSPSEELLAFQNQMNFQMPYLEGSWEASLDNFLNGDSTQDGGNPMDLWSFDDLPNLVGGPY >Manes.11G013700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1277587:1281584:1 gene:Manes.11G013700.v8.1 transcript:Manes.11G013700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPTVAGRSSRRLTADFLWPDLKKPIEKKYSKPVVVDLDDDFEADFQEFKDESDADEVDDVLLDVKPFAFSATASPPTRNRSLSRGSTAVKSVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPGKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRASPKRTVKAIPRKPLAKANLAQNLSYLDNTEPDYFNTLGSVDEKPLVGQFGLMDSFPANVDGANKSISPCDSVPMYFNSDQGSNSLDCSDFGWGEQASKTPEISSVLSGTEIDESLFMEDANPKKKIKADSEILVPVEENNGKSPSEELLAFQNQMNFQMPYLEGSWEASLDNFLNGDSTQDGGNPMDLWSFDDLPNLVGGPY >Manes.11G013700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1277587:1281584:1 gene:Manes.11G013700.v8.1 transcript:Manes.11G013700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPTVAGRSSRRLTADFLWPDLKKPIEKKYSKPVVVDLDDDFEADFQEFKDESDADEVDDVLLDVKPFAFSATASPPTRNRSLSRGSTAVKSVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPGKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRASPKRTVKAIPRKPLAKANLAQNLSYLDNTEPDYFNTLGSVDEKPLVGQFGLMDSFPANVDGANKSISPCDSVPMYFNSDQGSNSLDCSDFGWGEQASKTPEISSVLSGTEIDESLFMEDANPKKKIKADSEILVPVEENNGKSPSEELLAFQNQMNFQMPYLEGSWEASLDNFLNGDSTQDGGNPMDLWSFDDLPNLVGGPY >Manes.14G117400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11282788:11298115:1 gene:Manes.14G117400.v8.1 transcript:Manes.14G117400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFGLVVGLVVGLALIVGFVRSENARSKMRTELATIIAAFARMTVEDSRKILPAEFYPSWVVFSQRQKLTWLNLQLVKIWPYVDEAASELIRTSVEPVLEQYRPIILSSLKFSKFTLGTVAPQFTGVSIIEDGESGITMELEMNWDGNPSIILDIKTRLGVSLPIQVKNIGFTGVFRLIFKPLVDEFPCFGAVCYSLRQKKKLDFTLKVIGGDISAIPGISDAIEGTIRDAIEDSITWPVRKVIPILPGDYSDLELKPVGILEVKLVQAKELTNKDIIGKSDPYAELYIRPLRDRMKISKIINNDLNPIWNEHFEFIVEDASTQHLVVKIFDDEGLQASELIGCAHVKLSELQPGKVKDIWLKLVKDLDVQRDNKNRGQVHLELLYCPFGMGNGFTNPFAPSFSMTSLEKVLKGGADGMDATENGNAVTQRRREVIVRGVLSVTVISAEDLPAVDLMGKADPYVVLTMKKSETKNKTRVVNDSLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVILEGEYKDQFPLDGAKSGSLNLHLKWMPQHIYRDT >Manes.05G203900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33023475:33029415:1 gene:Manes.05G203900.v8.1 transcript:Manes.05G203900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDDNVAIIGDWVPPSPSPRAFFSAIVGDDISSKTIPEPSREIRTEGLFLGSLENMTTGNTDKKDAKQTGGAEFRELAPFSEQKLSSRGGLVERMAARAGFNAPRLNTESIRSADLSPNPEIRSPYLTIPPGLSPTTLLDSPVFLSNSWAQPSPTTGKFSFITNVNNKNSTGISEPVDRSKENFFEDINASSFAFKPVPDSGSSFFLGATSKQSFPSIEVSVQSENSLQSHGVEHIKVQSQNRNTLPFTVDFSRSATEKDNGSNSVITDRRVLDTIGGGAEHSPPLDEQQDEGDQIASGDSVAACGTPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRAAIGSSNPVMDMQLDTPEQTGLQSGIDNDPVWASTQKGIAAGAPDWRNDNVEVTSSASVVPEFGNPSSSVQVQNGNHFESGDAVDASSTFSNDEDDDRATHGSVGCDGEGDESESKRRKIETYPTDMGGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGSSSTGPAQAGSAVQTHVHRPEPSQVHNMSRFERSAAYGSFSFPGRQQLGPTPSFSFGMNQPGLANLAMAGLCPGPPKMPVMAVNPYLAQQCPMNEMGFMLPKGEPKVEPLSEPSLNLSNNPSVYQQIMSRLPLGPHM >Manes.05G203900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33023598:33029415:1 gene:Manes.05G203900.v8.1 transcript:Manes.05G203900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDDNVAIIGDWVPPSPSPRAFFSAIVGDDISSKTIPEPSREIRTEGLFLGSLENMTTGNTDKKDAKQTGGAEFRELAPFSEQKLSSRGGLVERMAARAGFNAPRLNTESIRSADLSPNPEIRSPYLTIPPGLSPTTLLDSPVFLSNSWAQPSPTTGKFSFITNVNNKNSTGISEPVDRSKENFFEDINASSFAFKPVPDSGSSFFLGATSKQSFPSIEVSVQSENSLQSHGVEHIKVQSQNRNTLPFTVDFSRSATEKDNGSNSVITDRRVLDTIGGGAEHSPPLDEQQDEGDQIASGDSVAACGTPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRAAIGSSNPVMDMQLDTPEQTGLQSGIDNDPVWASTQKGIAAGAPDWRNDNVEVTSSASVVPEFGNPSSSVQVQNGNHFESGDAVDASSTFSNDEDDDRATHGSVGCDGEGDESESKRRKIETYPTDMGGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGSSSTGPAQAGSAVQTHVHRPEPSQVHNMSRFERSAAYGSFSFPGRQQLGPTPSFSFGMNQPGLANLAMAGLCPGPPKMPVMAVNPYLAQQCPMNEMGFMLPKGEPKVEPLSEPSLNLSNNPSVYQQIMSRLPLGPHM >Manes.02G056001.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4426413:4426929:1 gene:Manes.02G056001.v8.1 transcript:Manes.02G056001.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSAKLYEVLNMSHGGGESGRVHEKSEHAKRKSGASGDEFVQAISKIAVAQICESAGFQTFQQSALEILSDITIQYMRNLGKLAQSFANSAGRTKGNALDVIQFKDWKSWVLHRGLLMLLILIIVLQVQRMEASFKFSASW >Manes.02G056001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4425587:4429735:1 gene:Manes.02G056001.v8.1 transcript:Manes.02G056001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSAKLYEVLNMSHGGGESGRVHEKSEHAKRKSGASGDEFVQAISKIAVAQICESAGFQTFQQSALEILSDITIQYMRNLGKLAQSFANSAGRTKGNALDVIQFKDWKSWVLHRGLLMLLILIIVLQVQNGSQFQIFCKLVRGLLWSTFQLGYLHILILKLIFSCPWRMKGPLIVAHISLRWLGCIKRLIGSTKLAEFYW >Manes.02G056001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4425600:4429735:1 gene:Manes.02G056001.v8.1 transcript:Manes.02G056001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSAKLYEVLNMSHGGGESGRVHEKSEHAKRKSGASGDEFVQAISKIAVAQICESAGFQTFQQSALEILSDITIQYMRNLGKLAQSFANSAGRTKGNALDVIQFKDWKSWVLHRGLLMLLILIIVLQVQNGSQFQIFCKLVRGLLWSTFQLGYLHILILKLIFSCPWRMKGPLIVAHISLRWLGCIKRLIGSTKLAEFYW >Manes.02G056001.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4426413:4426844:1 gene:Manes.02G056001.v8.1 transcript:Manes.02G056001.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSAKLYEVLNMSHGGGESGRVHEKSEHAKRKSGASGDEFVQAISKIAVAQICESAGFQTFQQSALEILSDITIQYMRNLGKLAQSFANSAGRTKGNALDVIQFKDWKSWVLHRGLLMLLILIIVLQVQVQLQNLLSILVK >Manes.01G230701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39518827:39519313:1 gene:Manes.01G230701.v8.1 transcript:Manes.01G230701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPSRFGIEKKGSHLAKVLFLQRRSVFGRTILLTLALAKLLIIYLERFSKIISGV >Manes.11G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30393932:30396909:-1 gene:Manes.11G138700.v8.1 transcript:Manes.11G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIYMLSNLLLPQDTMACKILPATASINIFLSFFLLLNSAAAADDSATMLKLAKAFHPTPSDWSTKNSTGYCRWSGVQCNNNRVIAIYLDEKNLSGNLPSEISTLTKLEHLSLQRNNLSGSLPSLANLTWLRVLLIRDNKFTSIPHDFFEGLIRLQTFIMSFNANLAPWSLSTALSQCGRLVYFDARFCNIMGTIPDIFASLPSLQNLFLSDNNLTGNLPESLANSGIQFLRLENQEMGLSGTIHLLSSMTRITKVWLQKNQFTGPIPDLSRCQSLVELRLSNNLFTGIVPASLIFHTKLRVVLLSQNKLQGPVPEFRSDLRANYTGNNFCVEDAQVDCDQQVTTMLEIASSLGYPLTFSDSWKGNNACKNWSYITCNDLGRIVEVNLGKQNFTGTISSSLGNLPGLKNLYLNVNNLMGSIPESLTKLTQLQILDVSGNNLTGKIPDFAASVKLITRPGNPLLESNENKVPPSLIAGILISIIIFIALVLFFMFKFRVTFRRLIRFRRAKVHAKWTVHNEAGYLTSNIGNVVIPIEVLQQVTDNFNEKNILGIGGFGMVYKGEFHDGTKIAVKRMEATAMVTKGMNGFQAEVAVLTKVRHRHLVSLLGYCINGNEGFLVYEYMPQGTLAEHLFDWRARGYPSLTWKQRANVALNVAQGVEYLHNLAQQSFIHRDLKPSNILLGDDMRAKVGDFGLVRNVPVGKYSLETRVAGTFGYLAPEYAATGRVTTKVDVYAFGVILMEMITGRKALDDTMEDKGWMFMHLATRFREDLTCRKKILKAIDETLNPDKDTLASIYKVAELAVQCTCPEPSQRPDMGIVVNILAPLVQEWKHVAATGQKD >Manes.01G201800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37470788:37475427:1 gene:Manes.01G201800.v8.1 transcript:Manes.01G201800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLAAVRLREIRQVFRQAPQISRSFSTALNYHIDTPDNNPNLPWEFTDVNKKKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMDAVAKVIEVAPIRVYEVATFYSMFNRSPVGKYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDRLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRRGEKLPPGTQNPKRVKCGPEGGNTTLHGEPKPPPCRDLDAC >Manes.10G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4154394:4163248:-1 gene:Manes.10G040300.v8.1 transcript:Manes.10G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFPCTGKSSKNAKETLKERPLDQISSSSDKTKPNSALDVNKETSKDGGSDQIAVHTFLFRELAAATKNFRADCLLGEGGFGRVYKGKLERTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHVHDLPPDKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWARPLFKDRRKFTQMADPLLQGQYPVRGLYQALAVAAMCVQEQSNMRPLIADVVTALTYLASQMYDPETQPVRPYRPGSSTPRTRREP >Manes.13G087278.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15153073:15153774:-1 gene:Manes.13G087278.v8.1 transcript:Manes.13G087278.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFEALYGYSPTPLTFLPTAKTSIATVYGFFYKRQYCQLLKENLQAAQLRMKQQADKQRSERAFEVGDWVFLKLQSAALQTYLLRMRKSLKLSARYYGPFQVFAKIGQDTYKLQLPPNCIIHPVFHVSLLKKKIGDNITLMPNLPTMSANNFVVLQGLVNWVNLPIEDVTWEDQTFITAQFPDFTPPWGQEGSHRGCIVTYNRKKKRNVKEGNM >Manes.03G160300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVLHKEKQEQDKDVKRVKENVGSKQVQKQVRFPRSAMLKQRCREFAKKIKQIRFRIKIRGFGHFRRRWLKIPKFSSPMKYTKLE >Manes.03G160300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQSPAADLNHNYLSISTPLLSQGVLQSYGFSRYTLQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVLHKEKQEQDKDVKRVKGTFPAHLHL >Manes.03G160300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQSPAADLNHNYLSISTPLLSQGVLQSYGFSRYTLQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVLHKEKQEQDKDVKRVKENVGSKQVQKQVRFPRSAMLKQRCREFAKKIKQIRFRIKIRGFGHFRRRWLKIPKFSSPMKYTKLE >Manes.03G160300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVRNWKSEKSKVAQLFTVLHKEKQEQDKDVKRVKENVGSKQVQKQVRFPRSAMLKQRCREFAKKIKQIRFRIKIRGFGHFRRRWLKIPKFSSPMKYTKLE >Manes.03G160300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVLHKEKQEQDKDVKRVKGSKQVQKQVRFPRSAMLKQRCREFAKKIKQIRFRIKIRGFGHFRRRWLKIPKFSSPMKYTKLE >Manes.03G160300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQSPAADLNHNYLSISTPLLSQGVLQSYGFSRYTLQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVLHKEKQEQDKDVKRVKGSKQVQKQVRFPRSAMLKQRCREFAKKIKQIRFRIKIRGFGHFRRRWLKIPKFSSPMKYTKLE >Manes.03G160300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739111:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQSPAADLNHNYLSISTPLLSQGVLQSYGFSRYTLQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVRNWKSEKSKVAQLFTVSQLKKSKGFSFFSLPISHEAFFFFLVPHAKHYIGLKLATYYCKRKKKFKIYLQ >Manes.03G160300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVRNWKSEKSKVAQLFTVLHKEKQEQDKDVKRVKGSKQVQKQVRFPRSAMLKQRCREFAKKIKQIRFRIKIRGFGHFRRRWLKIPKFSSPMKYTKLE >Manes.03G160300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQSPAADLNHNYLSISTPLLSQGVLQSYGFSRYTLQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVRNWKSEKSKVAQLFTVLHKEKQEQDKDVKRVKGSKQVQKQVRFPRSAMLKQRCREFAKKIKQIRFRIKIRGFGHFRRRWLKIPKFSSPMKYTKLE >Manes.03G160300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28732181:28739110:-1 gene:Manes.03G160300.v8.1 transcript:Manes.03G160300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELTMACFDKASPALKEILLKLYRAEKHVEIDHHLYEFGSVEYHIQSPAADLNHNYLSISTPLLSQGVLQSYGFSRYTLQMIKELCSDAIEIVDPPREGYQLTLKIDFSKIPREKDPEKVMTQISSVQAIILSSQLKEMLENVNSQVMYQGMYKPMKLVYHPREPFYVIKQPRKITAVFPMRFKEPSDVIIATAFFQELVDVGSSEKWAKAPPCTWSPIPPPELQGEPLEDLTTNGGFVSFDISSRHVEGKKLDKTVWGLLNFYAYVKTHVKCTKGFTQRRMQKRLESLVEVRNWKSEKSKVAQLFTVLHKEKQEQDKDVKRVKENVGSKQVQKQVRFPRSAMLKQRCREFAKKIKQIRFRIKIRGFGHFRRRWLKIPKFSSPMKYTKLE >Manes.08G105600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34554444:34556030:1 gene:Manes.08G105600.v8.1 transcript:Manes.08G105600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISLAAKLSYYHFRFPSYSSLYKRAAISSFIITRKLNLQMAGSTGGDLSVLPEGCVANVLSFTGPLDVGRLSIVSSTFKSAAESDAVWEQFLPPEYHSIISESSDSSLLACSSSKKELYLHLCDNPVLIEDGKKSFSLDKVSGKKCYMLSARDLIIVWGDNPTYWRWTSEHNSRFPEVAELIGVCWLEIRGKINTCLLSQDTLYGAYLVYKTTPAAYVFEDQPVDVTLGLAGTEGCKRSVYILDTKREQEQDYQQQRQQRQIAISEVGVFGFSAMGWRAPEPTREKNKGEYPKEREDGWVEIELGEFFNNKGEEGELEMSISEVNGGDWKGGLIILGIEIRPKRG >Manes.17G066400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26640512:26642841:1 gene:Manes.17G066400.v8.1 transcript:Manes.17G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDQEVQLQITGEEAEERTPPEHSNAANQPKVLLQQNFSWWLKIAIFTFFLLSGQTMATILGRLYFDKGGNSKWMATFVQTAGFPFILVLYFTSPLKNPTTNNTIKPNSPSKLIRLLIYTTFGIFLAANCMLYSLGLLYLPVSTYTLICASQLGFNALFSFFLNAQKFTPFIINSVFLLTISSTLLVFNKDSTDSKKISKGKFVIGFICTLGASAGYGLILSLVQFCFRKVFKQENFKVVLDMIIYPSMVATAAILVGLFASGEWKTLKREMEEFELGHVSYLMTLIWTAIGWQAFNIGCTGLIFEVSSLFSNIISTLGLPIVPVLAVFIFHDKMNGLKVIAMVLAIWGFVSYVYQHYLDYLKSKAGINNAHEKFSNFSSAERKLIHE >Manes.16G018260.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1917329:1917971:-1 gene:Manes.16G018260.v8.1 transcript:Manes.16G018260.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEYALLGKFSVKSDVFSFGVILLEIISGKKSNGFHQEDPSLTLIGHVWELWQEDRAMEIVDSTLKESYNSHEVLKCIHIALLCVQEDAVDRRTISAIIVMLDSEISLPCPKQPAFIFRTSCNSSPIWEGPSSVNEMSITETVAR >Manes.05G043300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3502152:3529311:1 gene:Manes.05G043300.v8.1 transcript:Manes.05G043300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEEKKETREISGKDPRIPETVSVVEDSVGTAHQENVNFRGGSDMEIGSNNLVPQGLNSVATVMDEDQFEQVSLKEAGHMDSNRSSNSEHERQFSDEFYEAAQNLSFTFGADLGSPMTDIRHDRSISSPGPERQFGSTIKPSFSSTSLDSAYFGDVGFSPLGSPPRPKPKAVMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVESFGNGEEAETIAYLVVDSLLATMGGVESFEDEDNNPPSVMLNSRAAIVAAELIPRLPCVGDSEIYMSPRTRMVRGLRAILRACTRNRAMCSMAGLLGVLLGSAEKIFVQDVDSTMQMRWDGTPLWQCIQHLAGHSLTVIDLHRWFEVITRMNTTASASCLMRALEKAMGGKESKGPVCTFEFDGESSGLLGPGESRWPFTSGYAFATWIYIESFADTLNTATAAAAIASAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADSQGVEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHICKQGLIGKAESELRLYIDGSLYETRSFEFPRISRPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPIYIFKEPIGPERMARLASRGGDVLPTFGNGAGLPWLATNDLVRTMAEESSLLDAEIGGCIHLLYHPSLLNGRFCPDASPSGSAGMLRRPAEVLGQVHVATRMRPVDALWALAYGGPMSLLPLAIGNVHKDSLEPEQGNLLLSLATATLAAPVFRIISIAIQHPRNNEEFCRTRGPEILSKILNYLLRTLSSSDNEKCDGVGDEELVAAVVSLCQSQKHNHALRVQLFSTLLLDLKIWSLCNYGLQKKLLSSLADMVFSESSVMRDANAIQMLLDGCRRCYWITREKDSVNTFSLNEAVRPMGELNALVDELLVIIELLIGAAPPSMAADDLRCLLGFIVDCPQPNQVARVLHLIYRLIVQPNTARAQTFAEAFIKCGGIETLLVLLQREAKAGDHNIPESVTKNDDSLSVGKGEPGGGSEVPQKHQNNEVKNFTASEKDYEAEPSEGAGSPAASFTSMRIERVPSVSEIPSIKNLGGINLSISADNARNNVYNVDKSDGVVVAIIGLLGALVTSGHVKFGTCAPSDMTSSFLGGGLQEGGGSMFDDKVSLLLFALQKVFQVAPNRLMTTNVYTALLAASINASSTEDGLNFYDSGHRFEHSQLLLVLLHSLPNASRALQSRALQDLLFLACSHPENRSSLTKMEEWPEWILEVLISNYEMSATKNSNLASLGDIEDLVHNFLIIMLEYSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRVRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAAGVAAEGLSPREAKAEAENAAQLSVALVENAIVILMLVEDHLRLQSKLSCASRVVDSSPSPISLVSPLNNRAIPLTSTGRDSFESLGDRRSNDSGGLPLDVLASMADANGQISTAVMERLTAAAAAEPYESVSCAFVSYGSIALDLSEGWKYRSRLWYGVGFPSKTADFGGGGSGYESWRSALEKDANGNWIELPLVKKSVSMLQALLLDESGLGGGLGIGGGSGTGMGGMALLYQLLDSDQPFLCMLRMVLLSMREEDDGETSMLMRNVSVEDGASEGNVSVENNARLSMRKPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLFSEVWHAVSRDRKPIRKQYLEAILPPFVAVLRRWRPILAGIHELATADGLNPLAVDDRALAADALPLEAALSMISPAWAAAFASPPAAMALAMIAAGAAGGEISPPTPTAQLKRDSSLLERKSTRLQTFSSFQKPLEMTNKTPALPRDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNTTEAMGVAWMECMQPFDTRSVYGKDFNALSYKFIAVLVASFALARNMQRSEVDRRAQVNVIARHRLSSGIRAWRKLVHCLIEMKSLFGPHKDYLCTPEHVFWKLDFMESSSRMRRCLKRNYRGSDHFGAAANYEKQIERKHDQGNVPVLAAEAISIEGINEDDEHAETDILDGNAYDTEQSGESQPGPLGTADENLQPSAESNDAQHAGDQDLESTSAVAPGYVPSDLDERIVLELPSSMVRPLMVIRGTFQVTTRRINFIVDTSEGNAVAGMESSESREQEKDRSWLMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGCTEGRRNAYRAIVQLRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPYTTLSIQLQGGNFDHADRMFSDIAATWNGVLEDMSDVKELVPELFYLPEILTNENSVDFGMTQLGERLDSVKLPPWAENPVDFIHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAILANNVFFYITYEGTVDIDKISDSVQQRATQDQIAYFGQTPSQLLTVPHLKKKPLADVLHLQTIFRNPKEIRPYAVPAPERCNLPAAAIHASLDTVIIADINAPAAHVAQHKWQPNTPDGQGTPFLFQHGKAAASSASGTFMRMFKGPAGSGTDEWQFPQALAFAASGIRSTAVVSITCDKEIITGGHVDNSIKLVSSDGAKTLETATGHCAPVTCLSLSPDSSYLVTGSRDTTLLLWKIHRAFTSRSSSISEPSTGPGTPSSASSAPANVLADKSRRRRIEGPIHVLRGHHREILCCCVSSDLGIVVSCSLLSDVLLHSVRRGRLIRRLVGVEAHAVSLSSEGVVLTWSKSQHTLSTFTLNGVPIARAQLPFSGSISCMEISVDGKGALIGMNSCSVNDGNSNHNFSMKKSGAEHFELESERTGEENRLDLPSPSVCFLDLHTLKVFHVLKLEEGQDITALALNIDNTNLLVSTADKQLIIFTDPALSLKVVDQMLKLGWEGEGLSPLIKS >Manes.05G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3502152:3529311:1 gene:Manes.05G043300.v8.1 transcript:Manes.05G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEEKKETREISGKDPRIPETVSVVEDSVGTAHQENVNFRGGSDMEIGSNNLVPQGLNSVATVMDEDQFEQVSLKEAGHMDSNRSSNSEHERQFSDEFYEAAQNLSFTFGADLGSPMTDIRHDRSISSPGPERQFGSTIKPSFSSTSLDSAYFGDVGFSPLGSPPRPKPKAVMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVESFGNGEEAETIAYLVVDSLLATMGGVESFEDEDNNPPSVMLNSRAAIVAAELIPRLPCVGDSEIYMSPRTRMVRGLRAILRACTRNRAMCSMAGLLGVLLGSAEKIFVQDVDSTMQMRWDGTPLWQCIQHLAGHSLTVIDLHRWFEVITRMNTTASASCLMRALEKAMGGKESKGPVCTFEFDGESSGLLGPGESRWPFTSGYAFATWIYIESFADTLNTATAAAAIASAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADSQGVEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHICKQGLIGKAESELRLYIDGSLYETRSFEFPRISRPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPIYIFKEPIGPERMARLASRGGDVLPTFGNGAGLPWLATNDLVRTMAEESSLLDAEIGGCIHLLYHPSLLNGRFCPDASPSGSAGMLRRPAEVLGQVHVATRMRPVDALWALAYGGPMSLLPLAIGNVHKDSLEPEQGNLLLSLATATLAAPVFRIISIAIQHPRNNEEFCRTRGPEILSKILNYLLRTLSSSDNEKCDGVGDEELVAAVVSLCQSQKHNHALRVQLFSTLLLDLKIWSLCNYGLQKKLLSSLADMVFSESSVMRDANAIQMLLDGCRRCYWITREKDSVNTFSLNEAVRPMGELNALVDELLVIIELLIGAAPPSMAADDLRCLLGFIVDCPQPNQVARVLHLIYRLIVQPNTARAQTFAEAFIKCGGIETLLVLLQREAKAGDHNIPESVTKNDDSLSVGKGEPGGGSEVPQKHQNNEVKNFTASEKDYEAEPSEGAGSPAASFTSMRIERVPSVSEIPSIKNLGGINLSISADNARNNVYNVDKSDGVVVAIIGLLGALVTSGHVKFGTCAPSDMTSSFLGGGLQEGGGSMFDDKVSLLLFALQKVFQVAPNRLMTTNVYTALLAASINASSTEDGLNFYDSGHRFEHSQLLLVLLHSLPNASRALQSRALQDLLFLACSHPENRSSLTKMEEWPEWILEVLISNYEMSATKNSNLASLGDIEDLVHNFLIIMLEYSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRVRREESLPIFKRRLLGGLLDFAARELQVQTQVIAAAAAGVAAEGLSPREAKAEAENAAQLSVALVENAIVILMLVEDHLRLQSKLSCASRVVDSSPSPISLVSPLNNRAIPLTSTGRDSFESLGDRRSNDSGGLPLDVLASMADANGQISTAVMERLTAAAAAEPYESVSCAFVSYGSIALDLSEGWKYRSRLWYGVGFPSKTADFGGGGSGYESWRSALEKDANGNWIELPLVKKSVSMLQALLLDESGLGGGLGIGGGSGTGMGGMALLYQLLDSDQPFLCMLRMVLLSMREEDDGETSMLMRNVSVEDGASEGNVSVENNARLSMRKPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLFSEVWHAVSRDRKPIRKQYLEAILPPFVAVLRRWRPILAGIHELATADGLNPLAVDDRALAADALPLEAALSMISPAWAAAFASPPAAMALAMIAAGAAGGEISPPTPTAQLKRDSSLLERKSTRLQTFSSFQKPLEMTNKTPALPRDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNASDMERVKRWNTTEAMGVAWMECMQPFDTRSVYGKDFNALSYKFIAVLVASFALARNMQRSEVDRRAQVNVIARHRLSSGIRAWRKLVHCLIEMKSLFGPHKDYLCTPEHVFWKLDFMESSSRMRRCLKRNYRGSDHFGAAANYEKQIERKHDQGNVPVLAAEAISIEGINEDDEHAETDILDGNAYDTEQSGESQPGPLGTADENLQPSAESNDAQHAGDQDLESTSAVAPGYVPSDLDERIVLELPSSMVRPLMVIRGTFQVTTRRINFIVDTSEGNAVAGMESSESREQEKDRSWLMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGCTEGRRNAYRAIVQLRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYCSKKLDLSDPSSYRDLSKPIGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPYTTLSIQLQGGNFDHADRMFSDIAATWNGVLEDMSDVKELVPELFYLPEILTNENSVDFGMTQLGERLDSVKLPPWAENPVDFIHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAILANNVFFYITYEGTVDIDKISDSVQQRATQDQIAYFGQTPSQLLTVPHLKKKPLADVLHLQTIFRNPKEIRPYAVPAPERCNLPAAAIHASLDTVIIADINAPAAHVAQHKWQPNTPDGQGTPFLFQHGKAAASSASGTFMRMFKGPAGSGTDEWQFPQALAFAASGIRSTAVVSITCDKEIITGGHVDNSIKLVSSDGAKTLETATGHCAPVTCLSLSPDSSYLVTGSRDTTLLLWKIHRAFTSRSSSISEPSTGPGTPSSASSAPANVLADKSRRRRIEGPIHVLRGHHREILCCCVSSDLGIVVSCSLLSDVLLHSVRRGRLIRRLVGVEAHAVSLSSEGVVLTWSKSQHTLSTFTLNGVPIARAQLPFSGSISCMEISVDGKGALIGMNSCSVNDGNSNHNFSMKKSGAEHFELESERTGEENRLDLPSPSVCFLDLHTLKVFHVLKLEEGQDITALALNIDNTNLLVSTADKQLIIFTDPALSLKVVDQMLKLGWEGEGLSPLIKS >Manes.07G017225.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2004570:2005363:-1 gene:Manes.07G017225.v8.1 transcript:Manes.07G017225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEQQPKMRDTIVLCPAPTMGHVVSMVELDKLILHRYGHRFSITIFLITVEFFETPGLVSYINAISQTYPSISFRRYPPVSYDTTLNRSKSAVLFECILLNHPNFLDSLQEISKKDKISAFVIDLFCTSALSLGKDLKIPTYYFFTFGAGCLSAFLYFLKIHEQYDENFKDLANTVLYFPNLPPLKAIHMPEPMLSRDDPSYYDLLYFCSNLPKADGIIVNSFNDLEPEEEEKIYLFDFKI >Manes.01G062300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:25945049:25946564:1 gene:Manes.01G062300.v8.1 transcript:Manes.01G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAPVNLATYLLLFFVLLASSRVLVDGQKCKPSGKIKGKNPPKGECNKNNDSECCEAGKYYTIYKCSPSVSKHTKATLTVNSFEEGGEGGAPSECDRKYHDDDTPVVALSTGWFNNKKRCHNFIKIHGNGRSVKAMVVDECDSTMGCDKDHDYQPPCSNNIVDASKAVWKALGVKESDDRYGFMDVTWFDA >Manes.07G069780.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14865860:14867992:1 gene:Manes.07G069780.v8.1 transcript:Manes.07G069780.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLETEKTVVGWAARDPSGILSPYTYTLRNTGPEDVLLKVLSCGICHTDIHQVKNDLGMSRYPMVPGHEVVGEVVEVGSEVTKFRIGDVVGVGVIVGSCRNCNPCKSDIEQYCNKKIWSYNDVYTDGKPTQGGFAQSMIVDQKYVVIIPEGMSPEQAAPLLCAGLTVYSPLSHFGLKKSGLRGGILGLGGVGHMGVKIAKALGHHVTVISSSEKKREEALEHLGADEYLVSSDETRMQEFADSLDYIIDTVPVFHPLEPYLSLLKLDGKLILMGVINTPLQFLTPLVMLGRKTITGSFIGSMKETEEMLEFCKEKGLTSMIEVIKMDYVNKAMERLEKNDVRYRFVVDVAGSNLEP >Manes.11G092300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14499723:14509134:-1 gene:Manes.11G092300.v8.1 transcript:Manes.11G092300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQATKLREQVAKQQQAVLKQFGAGGYGSSDNVITDEAELHQHQKLEKLYISTRAGKHFQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGNTLSKAALNFGRARAQMEKERGNLLKAFGTQAAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQAKVREMPGSPELAMKLEAAESKLQDLKSNMAVLGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERTYHQRVVQILDQLENEMISERQRIEAPPAPSVENNMPPPPPYEEVNGVYASQSHNGLTDGMGYFLGEVMHSYQAESDVELTLSVGDYVVVRKVTNNGWAEGECKGKAGWFPFGYIERRERVLASKIAEVF >Manes.09G068848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11405966:11406620:1 gene:Manes.09G068848.v8.1 transcript:Manes.09G068848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQEDEDEKRLYQCAKKYEKIVKQFDELMEIMNDRSRPLKRRRRPSSSSKGSWKAPRPASPIEAENKEVFVHTITKFLHDLRAKTDVDFSTSTTYPD >Manes.08G027300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2622301:2625286:1 gene:Manes.08G027300.v8.1 transcript:Manes.08G027300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CWINV5 MVTSKFLIVLAFLFVLCNNGRVLGSHRIYVEYQNFKADKVSQVHRTAYHFQPPMNWINDPNGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLINWEALEHAIYPSKEFDKNGCWSGSVTILPDDKPVILYTGIDPKKRQVQNYAVPKNLSDPYLREWDKPDDHNPIVDPDKSVNASAFRDPTTAWLVDGQWRMVVGSRDKDTGIAYLYRSKDFKEWVKAESPLHSLEKTGMWECPDFFPVSLSGENGLDTSVFEKKGKHAFKVSLDVTRYEYYTIGTYDKENDKYIPDEDSIDGWSGLRFDYGNFYASKTFFDPSKHRRILWGWANESDTVKDDKEKGWAGIQAIPRKVWLDANGKQLVQWPVEELETLRTNEVQLSNQKLQKGEHIEVKGITAAQADVDVTFSFPSLDKAESFDPKWEKLDALDVCAQKGSKVEGGLGPFGLLTLASEKLEEFTPVFFRIFKAPTKHAVLLCSDASSSSLGNGLYKPSFAGFVDVDLTNNKLSLRSLIDHSVVESFGAEGKTVILSRVYPTLAILGKAHLFVFNNGSETITMEKLGAWSMKKPQMNEALKK >Manes.17G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28993696:28995573:-1 gene:Manes.17G083200.v8.1 transcript:Manes.17G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEAGLKRLHASNTEKINNAVVPKWLIVMLKTRFFNTCMAHHGFKKNEMDRFCIDCHSAFCQNCLPSHTPHKHVKIRRYIYSDVIKRQDLCKLFNCSGIQTYLTNKAKVLFLKQRNQHRQRDQQRHVFKGYRCAVCERRLQDNNSLYCSIACKVSAIDEGCSKRHKLKQSRKGIPLRAPMV >Manes.09G045100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7892659:7905250:-1 gene:Manes.09G045100.v8.1 transcript:Manes.09G045100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKASSKKQQKRGVDFKKIKRKLGRKLPPPKKATNTEIKSKAIILPEQSVASEKTGLAVSKKGLTLKELLQQTSHHNAKVRKDALMGMKDLFLKHPEELKLHRYAVIEKLRERVSDEDKVVRETLYQLLKSLILPGCNEDNQGPFISLIMAYIFNAMTHLAIEVRLMAFKFFDLIVQHFSSSFSLYAEKVLQNYADILRKNQFYLEDKSKLKNVLAGLVRCLSLLPSRLEVDSCKKKVHGREMLHAFELDKPTEVADFSVVINKLEDLVPVLVNCFQDFVPLIHSTQQLDALSFDCLHNILQSIDLVVRFFVYGAEKDNPESHPSMWDQSISSVLLKKFVGVFPFNPVHHLSEKDDDRYFTLNVMIAEIFFHLSEWICHPAELLEKFLAFLEYALLEKIRSEVRSGRAIREKQIVALVHFVPKLVTQVIDNWKSRLLQAFTKTFLNCNPESSVKLACISAVEEMLFSRGMLYTDASDSELLDHLITWMRELPMLLILLGDRHSASSQAVLHLLLRLGQCCTVSSLLAFEYDNLQYSLQEFYGICREAGKKCYGPFIKLPMDCQELSICCLYYFRHLDPLMLKTIASCCLCPDLDASLLFRIIEVLHLAFKAGHIQITDHLSFFVTLVSRFNVLPGDAHPDIEEDMKISNHQTFKSLIRVVSSCLAQMGDNVLLLAILEKVILEQILLRPPLDNACAMLRFLVMLDSKPTRLSEESILSLSNFLLGYLVDVVHRIPGDDDVPMCSSHVPRQSCYILPCFFLFDRSHDLLKLVLIVMASSISTSSSLSSNYHTHHASGHASRINDIVNVLKLMHKDAKIKQIICSSRAEINLIWRNIHSLQSLEESNLGIRERHIIQCALDELKAIRSSEQMQIA >Manes.10G136732.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30412263:30415614:1 gene:Manes.10G136732.v8.1 transcript:Manes.10G136732.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSLLKVVLYFTCLVIREFACNIHAQMVDCLESDREALLDFRNGLLDPGNRLSSWQGTNCCQWHGIVCDGDTGAVITVDLHNPHPPKVGKLDLDYPLPSDSSASDRYGFWNLSGELRPSLAALKSLRHLDLSFNSFSDIPIPSFFYSWKNLEYLNLSNAGFRGVIPPNLGNLTSLQSLDVTNYDLHVLRAENLDWVTGLVSLKYLAMSGVNLSRIGSNWVGQLNKLPHLTGLHLYACLLSGTISSPISVNFTSLAVIDLSFNPFNSRFPVWLANISSLVSIDLSFSGLNVGRLPHVFSELPNLRFLRLVNTFKKARCSEILRGSWKKIEVLDLTLNRLYGELPASLGNMTSLTHLSLYWNNIQGWIPSSIGKLCNLKFLSLRFNNLTGNIPDFQGESVNCPFEIPFPSLQILVLSSNQLVGQLPYWLGSLKNLVVLDLEYNSLQGPIPVLDNLKKLVVLKLAENELNGTLPDSLQQLSELYELDVSNNHLTGIVSESHFSKLSKLKDLDLSGNFFILNVTSFWVPPFLLESLYISSCLLNSSFPVWLKSQSNIIYLHFSNVSVSGIVPDWFWVMSANLKDLNASFNQLQGNQLTGEIPISVGEMLSAAVIDLSRNNLTGSIPSSLGNCSSLEVLDLQKNFLSGKVPGSLSQLNMLQTLHLSSNKLSGEIPSFFHNWSSLETLDLGDNRLTGNIPPWTGSVFPNLRILSLRSNALSGEIPSELSDLSSLQILDLAENELNGTIPSSFVNLRAMVQIQRINHYLFYGMSFRHYYEESYSANIKNQHQTFNKILSILTSLDLSGNNLHGQIPEELMKLAGLAVLNLSGHITTFDAPSFAGNPALCGAPLDVNCTGNELDNGRRRADEDDSNNGFIDQWLYLSIGLGFAAGVIVPFLVLAIRRSWSHAYFLLVDRTVEEILSLARKVVVHWRNHRRFRV >Manes.10G136732.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30412263:30415100:1 gene:Manes.10G136732.v8.1 transcript:Manes.10G136732.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSLLKVVLYFTCLVIREFACNIHAQMVDCLESDREALLDFRNGLLDPGNRLSSWQGTNCCQWHGIVCDGDTGAVITVDLHNPHPPKVGKLDLDYPLPSDSSASDRYGFWNLSGELRPSLAALKSLRHLDLSFNSFSDIPIPSFFYSWKNLEYLNLSNAGFRGVIPPNLGNLTSLQSLDVTNYDLHVLRAENLDWVTGLVSLKYLAMSGVNLSRIGSNWVGQLNKLPHLTGLHLYACLLSGTISSPISVNFTSLAVIDLSFNPFNSRFPVWLANISSLVSIDLSFSGLNVGRLPHVFSELPNLRFLRLVNTFKKARCSEILRGSWKKIEVLDLTLNRLYGELPASLGNMTSLTHLSLYWNNIQGWIPSSIGKLCNLKFLSLRFNNLTGNIPDFQGESVNCPFEIPFPSLQILVLSSNQLVGQLPYWLGSLKNLVVLDLEYNSLQGPIPVLDNLKKLVVLKLAENELNGTLPDSLQQLSELYELDVSNNHLTGIVSESHFSKLSKLKDLDLSGNFFILNVTSFWVPPFLLESLYISSCLLNSSFPVWLKSQSNIIYLHFSNVSVSGIVPDWFWVMSANLKDLNASFNQLQGNQLTGEIPISVGEMLSAAVIDLSRNNLTGSIPSSLGNCSSLEVLDLQKNFLSGKVPGSLSQLNMLQTLHLSSNKLSGEIPSFFHNWSSLETLDLGDNRLTGNIPPWTGSVFPNLRILSLRSNALSGEIPSELSDLSSLQILDLAENELNGTIPSSFVNLRAMVQIQRINHYLFYGMSFRHYYEESYSANIKNQHQTFNKILSILTSLDLSGNNLHGQIPEELMKLAGLAVLNLSGNHLTGEIPESISELNQLLSLDLSSNKL >Manes.01G110900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30962138:30965624:-1 gene:Manes.01G110900.v8.1 transcript:Manes.01G110900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFKLITVFLHEASHAIACKLTCGHVEGIQVHANEGGVTQTRGGIYWLILPAGYLGSSFWGMALILASTNLTTARIAAGCFAVALLVVLFVAKNWTLRGLCIGFIIFLAIIWLLQETTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAELCPCPCNGVGWGIIWGMISFIFLCGSIYLGLVILS >Manes.01G110900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30962536:30964075:-1 gene:Manes.01G110900.v8.1 transcript:Manes.01G110900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEGIQVHANEGGVTQTRGGIYWLILPAGYLGSSFWGMALILASTNLTTARIAAGCFAVALLVVLFVAKNWTLRGLCIGFIIFLAIIWLLQETTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAELCPCPCNGVGWGIIWGMISFIFLCGSIYLGLVILS >Manes.01G110900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30962138:30966692:-1 gene:Manes.01G110900.v8.1 transcript:Manes.01G110900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCKHDQLWRTVLMTPFKLITVFLHEASHAIACKLTCGHVEGIQVHANEGGVTQTRGGIYWLILPAGYLGSSFWGMALILASTNLTTARIAAGCFAVALLVVLFVAKNWTLRGLCIGFIIFLAIIWLLQETTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAELCPCPCNGVGWGIIWGMISFIFLCGSIYLGLVILS >Manes.01G110900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30962138:30966708:-1 gene:Manes.01G110900.v8.1 transcript:Manes.01G110900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCKHDQVAFLVTIGVFSIVILAVEGIQVHANEGGVTQTRGGIYWLILPAGYLGSSFWGMALILASTNLTTARIAAGCFAVALLVVLFVAKNWTLRGLCIGFIIFLAIIWLLQETTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAELCPCPCNGVGWGIIWGMISFIFLCGSIYLGLVILS >Manes.01G110900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30962138:30966692:-1 gene:Manes.01G110900.v8.1 transcript:Manes.01G110900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKNCCKHDQVAFLVTIGVFSIVILALWRTVLMTPFKLITVFLHEASHAIACKLTCGHVEGIQVHANEGGVTQTRGGIYWLILPAGYLGSSFWGMALILASTNLTTARIAAGCFAVALLVVLFVAKNWTLRGLCIGFIIFLAIIWLLQETTKVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAELCPCPCNGVGWGIIWGMISFIFLCGSIYLGLVILS >Manes.01G142001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33316617:33317369:1 gene:Manes.01G142001.v8.1 transcript:Manes.01G142001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLFYRKVSNELKNKQSNHFITNHHKTILSPPCAPTHHLPNLRCSLNTTTSLYHLLILFISVLHILPSKSIIKCTYVLYFRKIKGKKKRKYEIITNDETTEIKDATSSFHQSAFLLPAIGKAVWRL >Manes.17G122600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32808763:32810118:1 gene:Manes.17G122600.v8.1 transcript:Manes.17G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDIGLATEIIIMAIVISVILLFVGIGLLVLIHACVVGRSFRNNSAAERGSPERRINMSQDDLEKLPSYDFIAKSKGSSPVDCAVCLENFKVGEKCRLLPICKHSFHAHCVDEWLVKIPICPICRTSAADSKKSRPGHAIGEESSHFSDTSIDMRGSLTTDSSHFSDVSFEFREGQAQVSSAIISNETPLELRQSQGESIQSTTNTPSSSLDSTSESAL >Manes.16G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28281665:28284901:1 gene:Manes.16G077900.v8.1 transcript:Manes.16G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGESVLEAIYEEDDILEEAEDVEMADVEEGELVEPKSQIDHGKSNGGGDGGGGGSACVSGNEASQSKNRRRRENKKRNRKKRGSLGPNVTDINRFVIDTCRRLKEKKSYMVYTAVSCLGVSALSDMVKEVDAIQACGGQMTADGRRCRTGGGILWNILKTREPMAYKEIMKKSKDFEKQLKQPNIRQAPQQNKEDSSQETTFSLTDKVASNGPDGSQVAPQNQHEQYNAEQKLRSVHDRMRVPVSYDDLLDNDPKNNSASA >Manes.16G055400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:19546734:19550709:-1 gene:Manes.16G055400.v8.1 transcript:Manes.16G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGMSRSISYITGSQLLAVKRRPNIAIIDVRDDERSYDGHIAGSLHYASDTFPDRISHLAQQVKGKDTLVFHCALSQVRGPTCARRFANYLEEMKEDAEIKNIMVLERGFNGWEAAGRPVCRCTSIPCKAET >Manes.12G051900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:4880035:4881057:1 gene:Manes.12G051900.v8.1 transcript:Manes.12G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSFVTQFLIFLFCATLQFSPSESQKCTSQKFRSNKLFANCTDLPVLNSYLHFNYNSSNSSLSIAFIASPAKPDGWIAWAINPTSTGMVGSQAFIAYKSSGSVIVNTYNISGYTPPQVSKLSFDAWDISAESDGDNMVIFATVKVPEKAESLNQVWQVGSSVTGSIPDKHDTAADNENSKGLLKFAAASSAPAPAPAPASAPAPASDKSGSFSGFGNWDVSLLAGLFILLGSSLAI >Manes.01G186900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36375620:36377438:1 gene:Manes.01G186900.v8.1 transcript:Manes.01G186900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLMKSGLLNEGALLFASMPEPDQCSWNLIIAGFAQHDRFEEAFDFFVRMHKKGFVLNEYTFGSALCACSGLKDLKLGTQIHGLMLKSQFSLDVYMGSALVDIYSKCGFVDCAQRVFDGMRERNVVSWNSLITCYEQNGAAREALEVFERMMDSGFELDEITLASVISACASLAAVKQGLEIHACVVKCDKLRDDLILSNALVDMYAKCGRVNEARCVFDRMPFRNVVSETSASVKAARLVFANMMERNVISWNALIAGYTQNGENEEALGLFCLLKREGVCPTHYTFGNLLMPAHTHVLKHGFQFQSIEGSDVFIGNALIDMYMKCGSVDEGCRIFENMVERDYVSWNAMIVGYAQNGCGIEALELFRKMLACGEKPDHVTMIGALCACSHAGIVEEGRHFFSAMTEEYGVVPLKDHYTCLADLLEAMPMQPDAVVWGSLLAACKVHHNITLGEYAAEKLLEIDSTNSGPYVLLSNMYAEVGRWKDAVRVRKLMRHQRVIKQPGCSWIEILGQVHVFMVKDKKHLQRNEIYLLLKILIENMKRAGHVPDVGDHEACDEQSDLEVNTCFKMEIPAEIAVM >Manes.05G112100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11298590:11299546:-1 gene:Manes.05G112100.v8.1 transcript:Manes.05G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITGLPEKSKLYKKSFHRRNDSDELDVFEASRYFSGYNEALSYNGANYAEKVMREDHRHLWKGGRMSLDLPMRNPLPQQSYTVEKQISKEKKYKQPSSPGGRLASFLNSLFNQTSSKKKKSKSATQLMKDEDESPSGRRKRRSSISNFRSSSTTDGKSFYSSSSSGFRTPPPYADTPTKGYKDFRSCSDHKQVSLSKHNGNTKSTAFQNEVLDEKRNTDLSWMDEKLKYDDGFSEKTKSLGHQYLEKDRIWIDQYPPEEKEFKKFNEVDDGAESDSSSDLFELQNYDLGIYSSGLPVYETTHMDSIKRGAPISNSTL >Manes.07G001500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:389902:393648:1 gene:Manes.07G001500.v8.1 transcript:Manes.07G001500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIQHSVLLCLLNLAYLLALSGGENTIQLPTKFTRNSFPDGFVFGAGSSSYQYEGAAEKGGRKPSIWDTFTAEHPEKIADHSNGKVAEDFYHHYEEDISLLKEIGLDSYRFSISWPRIFPEGKIRRGVNWEGVKFYNSLIDKLLLNGIQPLVTLFHWDVPQTLEDEFKGFLSPNIVNDFSEFVDFCFKEFGDRVKHWATINEPNLMSMYGYAFGFNAPGRCSDYVGNCTEGNSATEPYIVVHHLILCHAAAVKLYREKYQASQGGVIGITVFTAWMVPKYDNVASMKAASRARDFLIGWIMHPITYGDYPATMRYLVGNRLPKFTEPQAKMVAGSFDFIGVNYYTASYTEDLTSYSSVNISYITDRRVNFTTEKNGIPIGEQTGCSWLYIYPKGLYKLMLYLKRKYNNPVIYITENGMGDSSSLSLADALQDRLRIKYHHLHLLALLKAVKQGVDIRGYYIWSFLDDFEWDMGYTVRFGITYVDYRNDLRRYIKQSGFWFKKFLQKENRTSASSSLDSQ >Manes.07G001500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:389389:393808:1 gene:Manes.07G001500.v8.1 transcript:Manes.07G001500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIQHSVLLCLLNLAYLLALSGGENTIQLPTKFTRNSFPDGFVFGAGSSSYQYEGAAEKGGRKPSIWDTFTAEHPEKIADHSNGKVAEDFYHHYEEDISLLKEIGLDSYRFSISWPRIFPEGKIRRGVNWEGVKFYNSLIDKLLLNGIQPLVTLFHWDVPQTLEDEFKGFLSPNIVNDFSEFVDFCFKEFGDRVKHWATINEPNLMSMYGYAFGFNAPGRCSDYVGNCTEGNSATEPYIVVHHLILCHAAAVKLYREKYQASQGGVIGITVFTAWMVPKYDNVASMKAASRARDFLIGWIMHPITYGDYPATMRYLVGNRLPKFTEPQAKMVAGSFDFIGVNYYTASYTEDLTSYSSVNISYITDRRVNFTTEKNGIPIGEQTGCSWLYIYPKGLYKLMLYLKRKYNNPVIYITENGMGDSSSLSLADALQDRLRIKYHHLHLLALLKAVKQGVDIRGYYIWSFLDDFEWDMGYTVRFGITYVDYRNDLRRYIKQSGFWFKKFLQKENRTSASSSLDSQ >Manes.07G001500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:389877:393648:1 gene:Manes.07G001500.v8.1 transcript:Manes.07G001500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIQHSVLLCLLNLAYLLALSGGENTIQLPTKFTRNSFPDGFVFGAGSSSYQYEGAAEKGGRKPSIWDTFTAEHPEKIADHSNGKVAEDFYHHYEEDISLLKEIGLDSYRFSISWPRIFPEGKIRRGVNWEGVKFYNSLIDKLLLNGIQPLVTLFHWDVPQTLEDEFKGFLSPNIVNDFSEFVDFCFKEFGDRVKHWATINEPNLMSMYGYAFGFNAPGRCSDYVGNCTEGNSATEPYIVVHHLILCHAAAVKLYREKYQASQGGVIGITVFTAWMVPKYDNVASMKAASRARDFLIGWIMHPITYGDYPATMRYLVGNRLPKFTEPQAKMVAGSFDFIGVNYYTASYTEDLTSYSSVNISYITDRRVNFTTEKNGIPIGEQTGCSWLYIYPKGLYKLMLYLKRKYNNPVIYITENGMGDSSSLSLADALQDRLRIKYHHLHLLALLKAVK >Manes.13G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34156801:34160373:1 gene:Manes.13G132400.v8.1 transcript:Manes.13G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKPSSKGQAWFCTTGLPSDVVIEVEDMTFHLHKFPLMSKSRKLHQLITEQETNPTSIVEREGEEQEPGGDEIEEAHCQISLPDFPGGSETFEAAVKFCYGVKVDLTSSTVAPLRCAGEFLEMTEEYSEDNLISRTERFLSQSVLKSLKESIKALKSCEKVMPLAESLGIAQRCIDSIASRASSTDPTLFGWPVSDGANENRATSNQALWNGIESAVRRKGAASASTAAAPVGRGTNADSWFEHLALLSLRLFQRLILAMRERDLSPDVIESCLMYYAKKHIPGISRLNRKPSSSSSTTSESEQRELLEKIVSNLPLEKSSRSSTTTRFLFGLLRTANILNASESCRSALEKKIGSQLEQATLDDLLIPSYSYLNETLYDVDCLERILSYFLDGMEERNTAVIEAEEDGDRNVRSPTFMLVGKLIDGYLAEIASDANLKPDRFYNLAISLPEQARLFDDGLYRAVDVYLKAHPWISEAEREKICGVMDCQKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRHAIAGTLIAADAAQQDSARPSLLRREQEGVEEGAVSAGATAAIEEAQDSSNTWRAAVRENQVLRLDMDSMRTRVHQLERECSTMKKVIEKIDKVNPRGWKRFGCKFKTQVCDSHEQTVVNARKGRHHHHQQ >Manes.10G024350.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2389610:2391083:1 gene:Manes.10G024350.v8.1 transcript:Manes.10G024350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPHMPVIRGRPSSKKSVYICGQPRGVFVFLFSAASFILWFTSGTLLFVLWAFGIGLLVTVLHASVRTPNLKARLNTFREEFRAVWRNYSEL >Manes.18G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2599347:2602514:1 gene:Manes.18G030900.v8.1 transcript:Manes.18G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDAPLLDNHPNGSDQEQKFSISVVREFWEESKRLWKLAGPAIFTALCQYSLGALTQTFAGLVGEIELAAVSVENSVIAGLAFGVMLGMGSALETLCGQAFGAGQLRMLGIYMQRSWVILLTTACLLVPIYVWSPPILELIGETTEISTAAGKFALWMLPQLFAYALNFPIQKFLQSQSKVFVMAWVSAVVLVLHAIFSWLLILKLGWGLTGAAITLNTSWWLIVIGQLLYIFITKSDGAWSGFSWLAFADLWGFVKLSLASAVMLCLEFWYLMILVVVTGRLPNPLIPVDAISICMNIQGWDAMIAIGFNAAISVRVSNELGAGNARLAKFSVKVVSVTSISIGVICMAVVFATRDYFPYLFTTSEAVANETTRLAILLGITVLLNSLQPVLSGVAVGAGWQSLVAYINIACYYIVGFPAGIVLGFTFKFGAVGIWSGMIGGICLQTIILIIVTSVTNWKREAEEAESRVRKWGGSIAED >Manes.02G063016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4831296:4844486:-1 gene:Manes.02G063016.v8.1 transcript:Manes.02G063016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPPSNETQLVQSIYAAVLKGGWKNLLTPRTGSGLTTTTVHQVLLQLSLYNHNPSLSWAFFKWMESSIPNYKHSLQSSWTMIHILTKHQHFKTAQCLVEKIAYKDFLSTPSVLNVSSHVCSWLVIVYANSKMTQEALQVLEHMRVHGFRPHLHACTVLLNSLVKDRLTDMVWKVYKKLVRIGVAANIHVYNVLIHACCKSGDVEKAEKLLSEMESKCVLPDLFTYNTLISLYCKKGMHYEALCVQDRMDRGRISPDIVTYNSLIYGFCREGRMREALRLFREIKNATPNHVTYTTLIDGYCRVNGLDEALRLREVMEAQGLYPTVVTYNSILRKLCEEGRIRDANKLLNEMNERDIEPDNVTCNTLINAYCKIGDLQSALKVKSKMVDAGLKLDTFTYKALIHGFCKIQEMDSAKELLFSMLEAGFSPSYHTYSWLVDGYCDQHKEETVIRLPDEFVRRGLFADISLYRALIRRFCKREKVDCAQRIFKLMQEKGILGDSVTHTSPAYAYWKLGKANAASDILDEMYRRRLMITVKIYKCFNASYAGDSSILSLFWDHMVGRRLMSKKMADNSQKMRYHTGEAKGQAQTSNAIDRASSAAQSAQESVRENIEMRHSWRLLIFRNCPSLSFRNHAHSSHPHFQVHSSSPSLRSFYSLHAPSRQVHFADPKNPINSKIPIARNFSSEPLVDSKKDADHCFLISDIFTKFTDANDIAKELELNSVVIDHELVLKVLKSLESSPDAARRFFDWVLERDSKKLSSKAYNLMLGILGVNGSVEEFWASVETMKKKGYGVSKGTRDKVVEKFEKEGLKSDLDKLKSVFATISVDNSVEKIGLSVSRIVRNQVWGEGVELQIKDLNVTFSSNLVKVVLENLAMEPIKALVFFRWVEENRLFKHDERSYNAMARVLGREDCVDRFWKVVDEMRSNGHEMEEETNVKVLGRFVKRKMIKEAVDLYEFAMAGANKPSVQCCTFLLKKIVVSKELDMNLFSRVVRIFIGNDYFLTDSMLHAVLKSLTSVGRFGECNKVLKEMKEAGYVASDNLQSKIAFRLSSASDENKVSEFVDHMEASGSYLDYKAWVSLIEGHCASGDLESASDCFQNMILKEGVSNAGYSFESLVNAYCCKNRAVDASKLLHGYVRHNQLEPWHTTYKVLISKLLVQDGFTDALNLLDLMRNQGFPPFVDPFIKQVSKSGTGDDAVAFMKAMTSKRFPSTSVVLRLFKAFFKAGRHAEAQVFLSKCPRYIRNHADVLNIFCSTKSCENTDSAAVAV >Manes.09G025500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:5308821:5311562:1 gene:Manes.09G025500.v8.1 transcript:Manes.09G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYFYELALSILLKLSDRAFQELLLAWRLETDLAKIKQSLEDIKAAILDAETQRSQSARIALWLLRLKDVLYDIQDVVDEIECEELRSGTVKLYGSFTRKVRRFFSSANPLAFRNRMGHKIKDINTRLERIHKQKSEYSLKENNDNELEIPSVREMNHSYKDATHVVGRNMEKDLVIEALLKEFTDAYVSVIPIVGMGGMGKTTLARFVYNDQRVACFRKKLWVSVSGNFKFEEVMIKILNSASPSERYKEMQPDQLRIALDQALSGGDYLLILDDVWEAKRLEWQKLAELLTRNGMRGRIIVTTRYKNVASIMGNLPTVELNHLAHEDCLSLFFNCAFKGERERQDRSLRDIGEEIVKKCFGVPLAVETLGCMLYSNTNAADWKSIRDSEIWKSDNQTTASLRLSYEDLPYSLKKCFASCSFFPKGYEYDSIELVYFWMAHGFLESQNENEDLENVGFQYFDRLCSKHLLHSCSRYDVSVKCKMHSLFHDLALLVSQNEFLSVTQTHLSNIPNTVRHLCFPRPDSLGEDLPKPFQELNGVRTLSFSNERRVGFGNLKFIRTCLSKFQHLRFLDLSDSEISELPERIGRLKQLRYLSLKSNDYIKRLPNSICKLRSLEALLIGRCKKLQKLPKDLKQMVSLRYLWITTKQTSLPTDAVGSLKCLRVLFITDCENLESWPEDITGLKNLKTLVIRNCQQLDSLPESMKFLPALESVVITDCTRLKLEEGKGVCVTQFRLENLQLVRLPSLVDFPQWLIRNSTSSLQVIKLMHCNNLKEDDFPDWLHDNIPLELQIKGCPLLNLNNHHRQ >Manes.02G034100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2824777:2829063:-1 gene:Manes.02G034100.v8.1 transcript:Manes.02G034100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTSLISFHNSTMTTISNFLGNFGTDLSPSILLALCAFFAVLCYTWVHVNSNKGSSPLPPGPPALPFIGNLASLDPELHSYFASLARTYGPIYKLQLGYKLGIVITSPSLAREVLKDKDITFANRDVPDVARASAYGGSDIVWTPYGPEWRMLRKVCVLKMLSNTTLDSFYPLRRNEVRQTVSYLYSKAGSPVNFGEQVFLTILNVITNMLWGGTIQGKERASLGAEFREVIAEMTELLGKPNISDFFPGLSRFDLQGMLKKMDKLAKQFDGMFEKVIDKRVKMDGEGRDSGKDSKDFLQFLLKVKDEGDTKTPLTMTHLKALLMDMIVGGTDTSSNAIEFAMAEIMNKPEVMKKVQQELDAVVGKNNSVEESHMHQLPYLYAIMKESLRLHPALPLLVPHCPSETCTVGGYTIPKGARVFINAWAIHRDPSAWEDPLEFRPERFLDSQWDYSGSDFSYFPFGSGRRICAGIAMAERMFLYLLATLLHSFDWKLPERKTVDLSEKFGIVLKLKNPLLAIPTPRLSDPTLYE >Manes.02G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2825463:2829063:-1 gene:Manes.02G034100.v8.1 transcript:Manes.02G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTSLISFHNSTMTTISNFLGNFGTDLSPSILLALCAFFAVLCYTWVHVNSNKGSSPLPPGPPALPFIGNLASLDPELHSYFASLARTYGPIYKLQLGYKLGIVITSPSLAREVLKDKDITFANRDVPDVARASAYGGSDIVWTPYGPEWRMLRKVCVLKMLSNTTLDSFYPLRRNEVRQTVSYLYSKAGSPVNFGEQVFLTILNVITNMLWGGTIQGKERASLGAEFREVIAEMTELLGKPNISDFFPGLSRFDLQGMLKKMDKLAKQFDGMFEKVIDKRVKMDGEGRDSGKDSKDFLQFLLKVKDEGDTKTPLTMTHLKALLMDMIVGGTDTSSNAIEFAMAEIMNKPEVMKKVQQELDAVVGKNNSVEESHMHQLPYLYAIMKESLRLHPALPLLVPHCPSETCTVGGYTIPKGARVFINAWAIHRDPSAWEDPLEFRPERFLDSQWDYSGSDFSYFPFGSGRRICAGIAMAERMFLYLLATLLHSFDWKLPERKTVDLSEKFGIVLKLKNPLLAIPTPRLSDPTLYE >Manes.13G152700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36713214:36716266:1 gene:Manes.13G152700.v8.1 transcript:Manes.13G152700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTLTFVLVVFFSCLCTTCLAGFLSQPVSNQPLKPGDYSTPNTVPAFPVQTESQTCRLDLSAELFGGVNDACGRELDRSRCCPVLAAWLFAAHARYALQVPASAPASTEPDQPMRPDDSQKCVNSLQSALLSKNVKIPQPNASCDAILCFCGIRLHQISSLSCPAAFNVSSASGFHNATPTAAVRNLEKNCKNSSYAGCTKCLGALQKLKASNKNQTEEERDDRARNMFNRDCQLMGLTWLLASNKTAYIPTVSAVLRAIMYSAHPPHESKCSPDQENMPLAVDSLQFEKAQSCSSSLSWWSLLPVMMLVSLIFPYNF >Manes.03G116200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24177349:24179743:-1 gene:Manes.03G116200.v8.1 transcript:Manes.03G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAIKLSEAPKLKDQYRQDNKIGRILTNIIKFAVDSTADNTLKGVTGPKKFYKFVQERLRSQPSSLPLNNCKKPGDVKLVEEIEIKVQEMLEDRAKFKQQNETSAKCLEGTEHAKKGPNESSRKLDVVEPEKKRIFIRSRL >Manes.07G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3720036:3726984:-1 gene:Manes.07G034000.v8.1 transcript:Manes.07G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDVVMAATDNDEAVPELDDMKKRLKEMEDEAAALREMQAKVEKEMSSAQDPAAATSQASREEVDSRSVFVGNVDYSCTPEEVQQHFQSCGTVNRITIRTDKYGQPKGYAYVEFLETEAVHEALLLNESELHGRQLKVTAKRTNVPGMKQFRPRRPNPYMGFTPRGSHMPPYFYNPYGYGKVPRYRMPMRYSPYN >Manes.08G173700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:40828956:40830125:-1 gene:Manes.08G173700.v8.1 transcript:Manes.08G173700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRLSDMMPNAWFYKLKVMGRTRNHDTSHSMKNTPPTTATSVTAASAARPQPSKPKQPHHHHYSYPRKSYYFTRELIPNSTDPPTTTSSKQRQLKRRTILNSSSPKLVSADCSRATIWTKSSDSPPDYSASTSDTSPELGHCDSIPPEFRADLVLQTQSFDSIVAWSSSSGCNVDSNADDIIIDVDKKSLGRKFDKLDLSDFDLPPIITKPAKFNDKVEDIKKKETKVTTKYNKSSAKYEETNVHGSLSVKVVKEERVVTMKEHKSSSMRRHSVNSPGLRLRVNSPRIANRRIQAHARKSISSTSSSSNRRSLSNSLAVVKSSFDPQKDFRESMVEMIVENNIRASKDLEDLLACYLSLNSDEYHDLIIKVFKQIWFDLTKTRSK >Manes.15G082200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6339052:6340490:1 gene:Manes.15G082200.v8.1 transcript:Manes.15G082200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLPFQLYRDPPTPSSSPIHQHRALTHSSLSASLFPISTKSQNMNATRKAWIVAATIGAVEALKDQGICRWNYTIRSIQQYANNNIRSFKMLPSSGSGSSSGSASVSASGSSSMLLPAAVSNESMIKLKKQEASVEKVMALSCWGPSTTRF >Manes.05G154000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26520612:26524403:1 gene:Manes.05G154000.v8.1 transcript:Manes.05G154000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQSFEDGEKKPIVVGPWGGQDGHRWDDGVYSTVRQLIIAHGSGIDSIQIEYDKKGTPIWSEKHGGSGGTRIDKVKLDDPGEYLTSIHGHYGSSNEWGWPVFVRSLTLQSNKRTYGPFGVEQGTFFSFPMTGGKIVGFHGKSGQFLDAIGIYLKPVQQQKSSKALVQTKSLVNTGTENIGYSVIQGSAGNSYDIVVAVRQKELECGKPLQSKPSRQSSSSGSSSDSSSDGEANKKKTLKIQSLPSKPEGLMTYGPWGGTSGGSTFDDGTYTGIRQIYISRNIGIVYIRVQYDRDGQAIWGSKHGGTGGFKTDKIVFDYPYEILTQISGTYGPLMYMGPNIIKSLTFHTNKGKHGPFGEEQGQSFSNKANEGKIVGFHGREGLFLDAIGVHVMEGKVKPAKHYLCDAIVQTEAPVAEIDNSPWSNKLVIAKRGPTEEVACAVVKEPAPCGPGPWGGDGGKPWDDGVFSGIKQIFLTRAEAICSIQIEYDRNGQSVWSVKHGGHGGTATNRVKLESPHEVLIRISGFYGPVSKEERPAVKSLTFYTSRGQYGPFGEEIGTYFTSATTEGKVVGFHGRSGAYLDAIGVHMQHWLGNNRTSRSSLFKIFI >Manes.05G154000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26520612:26524403:1 gene:Manes.05G154000.v8.1 transcript:Manes.05G154000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFEDGEKKPIVVGPWGGQDGHRWDDGVYSTVRQLIIAHGSGIDSIQIEYDKKGTPIWSEKHGGSGGTRIDKVKLDDPGEYLTSIHGHYGSSNEWGWPVFVRSLTLQSNKRTYGPFGVEQGTFFSFPMTGGKIVGFHGKSGQFLDAIGIYLKPVQQQKSSKALVQTKSLVNTGTENIGYSVIQGSAGNSYDIVVAVRQKELECGKPLQSKPSRQSSSSGSSSDSSSDGEANKKTLKIQSLPSKPEGLMTYGPWGGTSGGSTFDDGTYTGIRQIYISRNIGIVYIRVQYDRDGQAIWGSKHGGTGGFKTDKIVFDYPYEILTQISGTYGPLMYMGPNIIKSLTFHTNKGKHGPFGEEQGQSFSNKANEGKIVGFHGREGLFLDAIGVHVMEGKVKPAKHYLCDAIVQTEAPVAEIDNSPWSNKLVIAKRGPTEEVACAVVKEPAPCGPGPWGGDGGKPWDDGVFSGIKQIFLTRAEAICSIQIEYDRNGQSVWSVKHGGHGGTATNRVKLESPHEVLIRISGFYGPVSKEERPAVKSLTFYTSRGQYGPFGEEIGTYFTSATTEGKVVGFHGRSGAYLDAIGVHMQHWLGNNRTSRSSLFKIFI >Manes.05G154000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26520612:26524403:1 gene:Manes.05G154000.v8.1 transcript:Manes.05G154000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQQSFEDGEKKPIVVGPWGGQDGHRWDDGVYSTVRQLIIAHGSGIDSIQIEYDKKGTPIWSEKHGGSGGTRIDKVKLDDPGEYLTSIHGHYGSSNEWGWPVFVRSLTLQSNKRTYGPFGVEQGTFFSFPMTGGKIVGFHGKSGQFLDAIGIYLKPVQQQKSSKALVQTKSLVNTGTENIGYSVIQGSAGNSYDIVVAVRQKELECGKPLQSKPSRQSSSSGSSSDSSSDGEANKKTLKIQSLPSKPEGLMTYGPWGGTSGGSTFDDGTYTGIRQIYISRNIGIVYIRVQYDRDGQAIWGSKHGGTGGFKTDKIVFDYPYEILTQISGTYGPLMYMGPNIIKSLTFHTNKGKHGPFGEEQGQSFSNKANEGKIVGFHGREGLFLDAIGVHVMEGKVKPAKHYLCDAIVQTEAPVAEIDNSPWSNKLVIAKRGPTEEVACAVVKEPAPCGPGPWGGDGGKPWDDGVFSGIKQIFLTRAEAICSIQIEYDRNGQSVWSVKHGGHGGTATNRVKLESPHEVLIRISGFYGPVSKEERPAVKSLTFYTSRGQYGPFGEEIGTYFTSATTEGKVVGFHGRSGAYLDAIGVHMQHWLGNNRTSRSSLFKIFI >Manes.05G154000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26520612:26524403:1 gene:Manes.05G154000.v8.1 transcript:Manes.05G154000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFEDGEKKPIVVGPWGGQDGHRWDDGVYSTVRQLIIAHGSGIDSIQIEYDKKGTPIWSEKHGGSGGTRIDKVKLDDPGEYLTSIHGHYGSSNEWGWPVFVRSLTLQSNKRTYGPFGVEQGTFFSFPMTGGKIVGFHGKSGQFLDAIGIYLKPVQQQKSSKALVQTKSLVNTGTENIGYSVIQGSAGNSYDIVVAVRQKELECGKPLQSKPSRQSSSSGSSSDSSSDGEANKKKTLKIQSLPSKPEGLMTYGPWGGTSGGSTFDDGTYTGIRQIYISRNIGIVYIRVQYDRDGQAIWGSKHGGTGGFKTDKIVFDYPYEILTQISGTYGPLMYMGPNIIKSLTFHTNKGKHGPFGEEQGQSFSNKANEGKIVGFHGREGLFLDAIGVHVMEGKVKPAKHYLCDAIVQTEAPVAEIDNSPWSNKLVIAKRGPTEEVACAVVKEPAPCGPGPWGGDGGKPWDDGVFSGIKQIFLTRAEAICSIQIEYDRNGQSVWSVKHGGHGGTATNRVKLESPHEVLIRISGFYGPVSKEERPAVKSLTFYTSRGQYGPFGEEIGTYFTSATTEGKVVGFHGRSGAYLDAIGVHMQHWLGNNRTSRSSLFKIFI >Manes.01G130200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32374782:32376410:1 gene:Manes.01G130200.v8.1 transcript:Manes.01G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAADLTVPSVGAKVVMDPSQESDKGNYRRSSIEAILMAPKLPKSLPPVSSAPEGETIRRPRGRPAGSKNKPKPPIIVTRDSANALRAHAMEISSGCDVSESLANFARRKQRGICVLSGSGCVTNVTLRQPASTGAIVTLHGRFEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGGVVGALIASGPVVIMAASFMNATFDRLPLDEDEITTAVQNQHYQNGHHHLHRHLDISDLYGVPQNLLTNGSTPTEIYSRAPGRTITKS >Manes.03G158100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28618682:28625016:1 gene:Manes.03G158100.v8.1 transcript:Manes.03G158100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTILPASYSFFANKKDLRLSDFSSTSSPLSIPKCRKSISKKIVSVMAPQQSERKPASTGSVKTAMTMTEKILARSSEKPQLSPGDNVWVNVDTLMTHDVCGPGSIGIFKREFGENAKVWDREKIIIIPDHYIFTSDERANRNVDILRDFCLEQNIKYFYDIKDLSNFKVNPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTSGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVLDGEMPDYLLAKDLILQIIGEISVSGATYKSMEFVGTTVESLNMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDEQARFLSEYRFDISNLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLE >Manes.03G158100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28618682:28625016:1 gene:Manes.03G158100.v8.1 transcript:Manes.03G158100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTILPASYSFFANKKDLRLSDFSSTSSPLSIPKCRKSISKKIVSVMAPQQSERKPASTGSVKTAMTMTEKILARSSEKPQLSPGDNVWVNVDTLMTHDVCGPGSIGIFKREFGENAKVWDREKIIIIPDHYIFTSDERANRNVDILRDFCLEQNIKYFYDIKDLSNFKVNPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTSGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVLDGEMPDYLLAKDLILQIIGEISVSGATYKSMEFVGTTVESLNMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSVPYEPVYSDEQARFLSEYRFDISNLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVCNSG >Manes.13G141200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35467467:35468429:1 gene:Manes.13G141200.v8.1 transcript:Manes.13G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSVSLSLTTLLLFLLLSLRTQAQTSSAPAPSPSGPVNLTGILDKNGQFTTFIRLLTSTQVANQVENQLNSSTEGMTVLAPTDNAFNNLKAGALNDLSIQQQVQLVLYHILPKFYTLSNLLLVSNPVRTQATGQDGGVFGLNFTGQGNQVNVSSGVVETQINNALRQNFPLAVYQVDKVMLPEELFGVKAPTAAPAPPAKTSSGGSTNSTVVASQPAASDDNSGGQSKNVALGFVLGLGLACMGVLLA >Manes.03G170000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29526514:29531980:-1 gene:Manes.03G170000.v8.1 transcript:Manes.03G170000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYGKRRVAERKPHVGRRSKGIGVEKKPKPKSVSLKNQIRSIERMLRKELPHEVREAQEKKLEGLQKQQEIHTRLALERKIFLRDRKIKFFERRKIERRIRRLEKLQRASSVQAQDTEISVQLSKLKEDLEYVRFFPKTEKYVALFTGNDDSDIVDRRNGLRKQIKANLVAAAASGKDLEETASEDDGLLDLSEDDFFLAGTSSDEAEADDEWTDRSTREPASSTSGKAASGMSSDEKNQRQMSARALMPPPRPPNNSVSNSVHAQSRFGASSSKNSCMQRSEISASSNASGSISRSSSKLGGSSDRRTGHSSNLSSNSDAHKPRRKRRPKKKKQQA >Manes.15G011500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1017486:1018339:-1 gene:Manes.15G011500.v8.1 transcript:Manes.15G011500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGAAGAGGAKGKKKGATFTIDCSKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKFKVTVTCDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEDEE >Manes.02G009800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1051270:1052982:1 gene:Manes.02G009800.v8.1 transcript:Manes.02G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSVSTSITRVGLRGPKGINFIHRDEMSKKPSKNKVKNGDSLASSREPLLCKETEHRKNLEKRSCGNGSVAEKDVVRVRVKMTKQEAARLMSKCKDGGILEFRDVAEELVQIPVNRVSVEAFGCGYGGGVLKTIPEEI >Manes.S045316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1362088:1362210:-1 gene:Manes.S045316.v8.1 transcript:Manes.S045316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.08G029900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2861394:2862841:1 gene:Manes.08G029900.v8.1 transcript:Manes.08G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFSSLAPIAKRLQGKVALITGAASGIGESTARLFAHHGANVVIADIQDKLGHSVCNEIGSDYVHCDVTSETDVKNAINTTISKYGKLDIMFNNAGTSDIAGPSILEAEYKSYKQVFDVNVYGSFLGAKHAASVMIPARKGSIILMSSVASVSHGDVSHFYAVSKHALVGLTKNLCVELGKYGIRVNCISPYGVATPLLREAMGGINGKMVEEIIGAAANLKETVLEAKDVAEAALFLGSDESKYVSGLNLVVDGGYSTTNVALGEALKEFLP >Manes.08G160400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39612793:39618694:-1 gene:Manes.08G160400.v8.1 transcript:Manes.08G160400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHCSIYPPSILPHSRTNLPSSLFLSSRRHTYQAPPSPRLRSTTVKAAMSTEMDADARVSVLKKGIAELYDESSGLWEDLWGDHMHHGFYDPDVEVSSSISDHRAAQIRMIEEALRFGGVSEDPQKWPKTVVDVGCGIGGSSRYLAKKFGAHCQGISLSPVQVERANSLAAVEGLADKVSFQVADALDQPFPDGQFDLVWSMESGEHMPNKRKFVSELARVAAPGGRIIIVTWCHRNLSPSEESLQKWEQEHLKKICDAYYLPEWCSAADYVEILESLSLQDIKTADWSQNVAPFWPAVIRSALTWKGLTSLLRSGMKTIRGALVMPLMIQGYKKGLIKFAIITCRKPQ >Manes.S048116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1523808:1524620:1 gene:Manes.S048116.v8.1 transcript:Manes.S048116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G162700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28931116:28934292:-1 gene:Manes.03G162700.v8.1 transcript:Manes.03G162700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQGTVFTPSLEGMKHVKSEQEEMLTKPFLDVCKLILPVIDKFGAAMALVKSDIGGNITRLEAKYSSDPTKYTHLYAMVQEEVDAKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLAHTDWTMSQACTDSYGKTLKKFHGWLASSSFTVAMKLAPDRKKFMEVISGSGNITADMENFCASFSPFLEENHKFLANVGMDDLKAS >Manes.08G125300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36555314:36558082:-1 gene:Manes.08G125300.v8.1 transcript:Manes.08G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKREVSGSCIDSLLAEMVSSYCNRFDTNKPELAGRRIEAIGYQVGHQLAERYTKERPRFSEHLEAIKFVCKDFWYEVFKKQIDNLKTNHRGTFVLQDNKFPWLARMSVDDNVDLSQDPSAMAENKATQMSMHLYFPCGIIRGALSNLGIPCAVSADISDLPACSFVVRIKA >Manes.03G018700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1582743:1592143:1 gene:Manes.03G018700.v8.1 transcript:Manes.03G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDITSRVSSARLSSSNIWRNTTLEVFSKSSSCNEDDEEALKWAALEKLPTYLRVRRGILTEEEGQSREIDINNLGFIEKRNLLERLVKIAEQDNEKFLLKLKNRIEKVGLDMPTIEVRFEHLTVETEAYVGSRALPTMFNFSANMFEGFLNYLHILPSRKKPLSILNDVSGIIKPRRMTLLLGPPSSGKTTLLLALAGKLGKELKFSGKVTYNGHGMDEFVPQRTSAYISQYDLHIGEMTVRETLAFSARCQGVGTRYEMLAELARREKAANIKPDPDIDIYMKAAALEGQEANVVTDYILKILGLEICADILVGDEMVRGISGGQKKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILNGTALVSLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLEFFEYMGFRCPERKGVADFLQEVTSKKDQEQYWAFKDQPYSFVSVKEFAEAFQSFHVGRKLGDELATPFDKSKSHPASLTTKKYGVSKKELLKACISREYLLMKRNSFVYIFKMTQLIIMAFVSMTIFLRTEMRRNTVADGGIYMGALFYTIIIIMFNGFSELAMTIMKLPVFYKQRDLLFYPAWAYALPTWILKVPVTFVEVAVWVVMTYYVIGFDPNIGRFLKQYFILLITNQTSSALFRLTAALGRSVIVANTVGSFALLAVLILGGFIISRDSVKKWWIWGYWFSPMMYVQNGMSVNEFLGNSWNHFPPNSTEPLGVTVMKSRGLFPEAYWYWIAVGALTGYIFLFNFLFTLALKYLDPFGRPQATISEEAYAEKTANETAEFIEQSSKGESSLEKGSVSQRSASSRTPSTRVGSFSDVNQNRRRGMILPFQPLSITFDEIKYVVDMPQEMKAQGITEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIHGSISISGYPKKQETFARISGYCEQTDIHSPHVTVYESLLYSAWLRLPPEVDSDTRKMFIEEVMELVELTNLRVALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIYVGPVGRHACHLIKYFEDIEGIPKVKDGYNPATWMLEVTTAAQEVSLGINFSDIYKNSELYRRNKALIKELSIPPPGSRDLYFPTQYSQSFFTQCMACLWKQHWSYWRNPPYSAVRLLFTTFIALMFGTIFWNLGSKRSRKQDLFNAMGSMYAAILFLGFQNSTSVQPVVAIERTVFYRERAAGMYSELPYAFGQVVIELPYILVQTLIYGGIVYAMLGFEWTASKFLWYLFIMYFTLAYFTFYGMMTVAITPNHNIAAIISSAFYGIWNLFSGFIIPRTRMPVWWRWNYWACPIAWTLYGLIASQYGDIKEELDSGETVEHFLRSYFGFRHDFVGIVAIVLVGIAVFFGFTFAFSIKAFNFQHR >Manes.03G169200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29456711:29463648:-1 gene:Manes.03G169200.v8.1 transcript:Manes.03G169200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPGRQLHFDSFQTSFSKFNGTPLPFFRYNSSIPLKARTLYANSLCDKNPPRKSSLLSTVKPVPQQKPIDKSSTTSSWFSKWNKPNNQNHPKPPQAVLNYRNGDNSRTGGSTMEKIVEKLKKHGYIDGDASEKKAKTPERMIEKGSVEDIFYAEEGILPNSRGGFSRESPLGVEDLFKSNGEVRFPWEKSKNGENEDERKWTARSKSRTSLAELTLPESELRRLRNLTYQTKSKVRVKGAGVTQEVVDTIHERWKASEIVRVKVEGAPALNMKRMHEILERKTGGLVIWRSGTSVSLYRGVSYEVPSVQVNQRIVKRNEIPTDSLPTTASKIIRSPSKVASSSELDMPQSRSDATAEGGEKKETRMQEEVKYEDEVNNLLEGLGPRYTDWAGLDPLPVDADLLPGTVPGYQPPFRILPYGVRSTLGQKEATSLRRIARVLPPHFALGRSRQLQGLAVAMIKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGMLLSRNKDFLVFYRGKDFLSPDVSEALLERERLAKSLQDKEEQARLRASALVIQSAGTIEQSGTAGTLEETLDADAKWGKSLDDNHREKIMREIEIERHAKLVRKLESKLAFAERKLMKAERALSKVEEFLKPAERQASPDSITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKIILKAKNIEQVKKIALALEAESGGVLVSVDKISKGYAIIVFRGKNYQRPSTLRPKNLLTKRKALARSVEMQRREALMNHISALQMKVDKIRSEIEQMASVKDQGDEELYERLDASYPTDDDDNKDEGEEAYLGAYNSDNDAKYDDDETGDNLVQNIRLETNFPYYVQGQECETGTEGERYPEMFDGESDDEDDFECDDSAEN >Manes.03G038600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3383069:3386648:-1 gene:Manes.03G038600.v8.1 transcript:Manes.03G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNLTPNAIAIINGGDVNSKPLVQVVDIKQIGSAQERYRLLISDSTSTQHAMLATQLNDRVKDGSVKKGSVIQLIDYICSEVQKRKIIVVLNMETIIPDCEIIGNPKLFTDMTAQKALPNGNSIQSAGVAYNNSSAQSYGNNMQSFQPPLSNLGYTVHSSRPPLTSQNHCNNMQSFRSTLGAQNHGNNAQSFQPTVQPPYRPPPSYRNHGAIMKNEAPARIIPITALNPYQGRWAIKARVTAKGDLRRYNNARGDGKVFSFDLLDSSGGEIRVTCFNAVVDRFFDVIEVGRVYLISKGSLKPAQKNFNHLNNEWEIFLEATSTVDLCPDEDGSIPQQQFAFKPISEIENAENNAILDVIGIVMSVNPSVPILRKNGMETQRRILNLKDSSGRNVELTLWGDFCNKEGQKLQEIVDSGVFPVLAVKAGKVSDFSGKSLGTISSTQLIINPDIPEAHGLKEWFDRGGHNAASLSISRDIMPGGSKNEIRKTVSQIKNEGLGRSDRPDWVTVSARITFVKTDTFCYTACPLMIGDRKCNKKVIRSGNSRWQCDRCNQELDECDYRYLLQVQIQDHTGLTWVTAFQEAGEEILGYPARELYLMKYELQDDTRFADIVRGRLFHQYLFKLKIKEEMYGDEQRVNMTVVKADKVNYSSESRYLLDFISKCPRY >Manes.08G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601739:5608362:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLKDFEVEHKNPNEEALRRWRKAVSIVKNPRRRFRMVADLVKRSEAEKKKRSIQEKIRVALYVKKAALQFIDAATAADAAGGLPAEHKLSDEVREAGFGIEPNELASIAHDHDIKALRANGGVEGIALLVSVSLKDGIHQSSIPNRQKIYGCNRYTEKPPRSFLMFVWDAMQDLTLIILMVCAVVSIGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.08G052600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601557:5606780:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAVYLTGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.08G052600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601557:5608362:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAVYLTGKRFMGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.08G052600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601718:5608362:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAVYLTGKRFMGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.08G052600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601557:5608362:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAVYLTGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.08G052600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601558:5608362:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAVYLTGKRFMGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.08G052600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601557:5608362:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAVYLTGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.08G052600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601739:5608362:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWDAMQDLTLIILMVCAVVSIGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.08G052600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5601557:5606780:-1 gene:Manes.08G052600.v8.1 transcript:Manes.08G052600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRAVYLTGKRFMGVGIATEGWPKGMYDGLGIILSVFLVVMVTAISDYKQALQFRDLDKEKKKIYIQVIRDGRTQEVSIYDLVIGDVVQLSTGDIVPADGIYIIGYSLVIDESSLSGESEPVNIDNQKPFLLSGTKVQDGSGKMLVTAVGMKTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGVAFAVLTFLVLTVRFLVEKALLKEFTHWSSHDAFTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMYDKALVRHLAACETMGSASCICTDKTGTLTTNHMVVDKIWICGKAKAINNNSSEDILGSEISKSVLSILLQVIFQNTGCEISKLEDGRMKILGTPTEKALLEFGFLLGGDLDSHRKAFQILKVKPFNSVRKKMSVLVALPEGGLWATCKGASEIVLTMCDKVIDDSGKAANLSEEQTRNISDVINGFASEALRTLCLAFKDLDDNSEQSDIPDFGYTLVAIIGIKDPLRPGVKDAVRICLEAGITVRMVTGDNINTAKAIAKECGILTENGLAIEAPDFRRKTAEEMRGIIPYIQVMARSSPADKHTLVTNLRNMFGEVVAVTGDGTNDAPALHEADIGLAMGKSGTEVARENADVIIMDDNFTTIVNVAKRGRAVYRNIQKFVQFQLTVNVVALVINFVSACISGSAPLTAVQLLWVNMIMDTLGALALATEPPVDELMKRPPVGRGESFITRAMWRNIFGQSIYQLVVLAILNFDGKRLLGLSGSDATKTVNTLIFNSFVFCQVFNEINSRDIEKINVFQGIFSSKIFVAVIVSTVTFQVIIVEFLGTFASTVPLSWQLWLLSVLIGAVSVPVAVVVKCIPVHRRAAEHHDGYDALSTGPELT >Manes.16G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31476161:31478662:1 gene:Manes.16G109400.v8.1 transcript:Manes.16G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLKGLFRIKNNSNKDICDRRDEKRCSSGRDSTGLCHNPATIPPNISPAEAAWLRSYYNDTEKEQNKHAIVVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTMFGGGREKWAAVKIQTVFRGYLARKALRALKGLVKLQAHVRGYLVRKQATATLHSMQALIRAQATVRSQRARNLTKTDNRFEIRARKSMERFDETSSEYTASIHSRRLSTSLDTMFVNPTDENPKIVEVDTGFRPKSRSRRTNTSVSDLTDDPFYQILSSPLPSRIPPRLSMLDTRKFQDSYWGLTGDECRFSTAQSTPRFLNSGGSNAPVTPAQSVCGDNFFWQCGNCPNYMANTQSFKAKVRSHSAPKQRPEPGPKKRLSLNELMESRNSMSGLRTQMSCSQVQEAVSLKNAVLGKLDKYAAFAREPERN >Manes.16G109400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31476161:31478590:1 gene:Manes.16G109400.v8.1 transcript:Manes.16G109400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWLKGLFRIKNNSNKDICDRRDEKRCSSGRDSTGLCHNPATIPPNISPAEAAWLRSYYNDTEKEQNKHAIVVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTMFGGGREKWAAVKIQTVFRGYLARKALRALKGLVKLQAHVRGYLVRKQATATLHSMQALIRAQATVRSQRARNLTKTDNRFEIRARKSMERFDETSSEYTASIHSRRLSTSLDTMFVNPTDENPKIVEVDTGFRPKSRSRRTNTSVSDLTDDPFYQILSSPLPSRIPPRLSMLDTRKFQDSYWGLTGDECRFSTAQSTPRFLNSGGSNAPVTPAQSVCGDNFFWQCGNCPNYMANTQSFKAKVRSHSAPKQRPEPGPKKRLSLNELMESRNSMSGLRTQMSCSQVQEAVSLKNAVLGKLDKYAAFAREPERN >Manes.15G074101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5568327:5571557:-1 gene:Manes.15G074101.v8.1 transcript:Manes.15G074101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNALNFIYHQTSPPLLQWYSSYPPMIPRKAPGKIVSKCSVSKCSLENPIPSTVVVRRVLSGLVASLFFLNQTNQVVASDLSHFHNICQLASAADNKVTLPLDESSGERSGKLMMMRGMSAKDFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMQAPAIQVDTFCVHGGPDGYITGIRGKVQCLAEDDLEKNETELEKQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNFALVSGAKDKSFIQIYSRRPDPGPEFIEKYKSYLANFGYDPSKIKDTPQDCEVMSNSQLAAMMSMSGMQQALTNQFPDLELKAPIAFNPFTSVFDTLKKLLELYFK >Manes.06G093500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22840877:22844118:-1 gene:Manes.06G093500.v8.1 transcript:Manes.06G093500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFLKNKQFDIGLRFFNEVLAGAFGLGLKPNYVTLIVLISGCVENGGYKVGKLLHSYSSKTGFDVIIQVCNTLIDFYCKCEYIDDAARLFDSMIEKDLVTWNTLIAGYARSNDCGKAFSLFREMRYRNIEYDRVSFISFILACANGGDLNQGKVSHGHLIASGKEISVSLGTVLINMYSKCGLIDFAKKVFDELPDNNIASWNSMIHGYVENGLTKEALWLFNLMKCRNREPDEVTMLGLISACRKSGELSHGTDIHSYIASNDHVNGRNVLQNALIDMYAKCGNMARAKSIFDKMAKKDVVSWTSIIVGHAINGEGEEALLAFRQMLAEKVEPNSVTFIGVLLACDHSGLVEVGQKLYDTMCKVYNIEPQIEHCGCMVDMLARAGMLEEANKFVKEMPVEPNAVVWRMMINACRVHGRWDDVLHERNTITARKCSKVAGKSSISDLKD >Manes.06G093500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22840877:22844058:-1 gene:Manes.06G093500.v8.1 transcript:Manes.06G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWRAILRQFDLESDPFTVISFFKAMQRGKRNDSLRDPFVYASLLKACNRVLGFKEGKSIHSRVIRLGLDGNVNVLNSLIYFYMSSNRSLSYACVLFDRIPERTVVTVNCMVSGFLKNKQFDIGLRFFNEVLAGAFGLGLKPNYVTLIVLISGCVENGGYKVGKLLHSYSSKTGFDVIIQVCNTLIDFYCKCEYIDDAARLFDSMIEKDLVTWNTLIAGYARSNDCGKAFSLFREMRYRNIEYDRVSFISFILACANGGDLNQGKVSHGHLIASGKEISVSLGTVLINMYSKCGLIDFAKKVFDELPDNNIASWNSMIHGYVENGLTKEALWLFNLMKCRNREPDEVTMLGLISACRKSGELSHGTDIHSYIASNDHVNGRNVLQNALIDMYAKCGNMARAKSIFDKMAKKDVVSWTSIIVGHAINGEGEEALLAFRQMLAEKVEPNSVTFIGVLLACDHSGLVEVGQKLYDTMCKVYNIEPQIEHCGCMVDMLARAGMLEEANKFVKEMPVEPNAVVWRMMINACRVHGRWDDVLHERNTITARKCSKVAGKSSISDLKD >Manes.12G151175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35924599:35956585:1 gene:Manes.12G151175.v8.1 transcript:Manes.12G151175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVRLQSFGHPAMIAEPPKKAQGVQYSALAIFKDPQEAHQAFENLNGRLEKDKNGLEQKTITFKLNAGTTASIHVRKMAHDHSLHQGLLKKRAFQGENLVDPKKLKTDDCDNHLKEMERLKQELKEKDLDQCNNHLKEIERLKKDLSAKDFQISAQDKIISKLKKDLEEMKHKKKR >Manes.02G037651.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3115625:3117527:-1 gene:Manes.02G037651.v8.1 transcript:Manes.02G037651.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVASTALLLSLNLLFFTLVSSSSCPPPPPKPKLPSPKPSHPSPKPKTPTPTNPSPTPSNPSPTPSNPSPTPKTPTPSNPSPTPKTPTPSNPSPTPSNPSPTPTLPSPKPSNPSPTPSKGACPRDTLKLGVCVDLLKGLLGITIGKPPTTPCCSLLKDLVDLEAAACLCTTIKANLLGINLNLPIDLSLLLNSCGKKVPEGFKCP >Manes.10G114500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28025922:28026738:-1 gene:Manes.10G114500.v8.1 transcript:Manes.10G114500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTATLAGAFIGAVPVAAALIYKLGRDASTPIIRKGSSIKNLHHNYEVLDRELQKLLALATDIDHGRVNNQEIKNTSTYKLWITRVWEIQAEVEALVNEYERIKEKFRREINVIAKGKLSKKMVNKLQEIRQHIEEGKFLIANL >Manes.11G004500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:546062:546859:-1 gene:Manes.11G004500.v8.1 transcript:Manes.11G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFENNMDFTGKSKSTTIASSFFNRSITIHSSKSSPKEFVQSPSRFFNSFEPMKGKVQRLSNIFESSKSHKSILHGSQSQSLTLASKLKPVKSMGPEYNRYTFGFANSRIRLPGTSDRIVVYFTSLRGIRRTFEDCYAVRMIFRGFRMWIDERDISMDSAYKNELESVLGEGNVSLPQVFIRGKHVGGAEVIKQMYETGELADVLDGFPRRQPGFVCEACGDMRFVPCGNCSGSRKIFDEEEDVLKRCFICNENGLIRCPDCCS >Manes.06G004900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:916877:919348:1 gene:Manes.06G004900.v8.1 transcript:Manes.06G004900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENPGKFLCNFSSLKVGQRIYGVSADEDLQRHQLYFLLPMKLLYSVLTHDEMASLTSIATAKAFKNSNLAKIFPVFSEFCIFQASEMKRMDHVAASTPWSDHNDAAAVNDDDDESKVVDQRFFKQRSWRPALETIVETPCISL >Manes.03G158500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28644472:28646995:1 gene:Manes.03G158500.v8.1 transcript:Manes.03G158500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSHPAIGTLLSPVSDSPTELNQDTSSFRQLRGFRRAWSDSNLERSLHSSCHKEELHCSTTPHKFPESHSRTMLRSAPSLSIFNVNDVVEDQDNNGGEKESLIRTITIGEMIEAKGSGEFNFGNEHMGLIEEEGDLNGIENLNLEETMEPVSPPLYLASGLGIDGIDFGGGNGGGVGGFDLTSPNFDESGDLEEYYKRMVDEFPCHPLFLANYAQLLESKGDLDGAEDYYHRATLADPEDGEVLLKYAKLEWQLHHDQCSALSNYQRAVLAAPKDSNVLAAYASFLWEIDADGEEEAFQAKHIQVEQHPTLPGNSNTNQEPRLSIDAAHHSASEADKGNNPEEYYRRMVEENPSNSLVLRNYAQFLYQSKGDLPSAEEYYSRAVLADPGDGEIMSEYAKLVWEVHRDHGKASSYFERAVQATPEDSHVVAAYASFLWETEENEEESTNSDQFHYEGSVTTAQL >Manes.05G044000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3564263:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRINRGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKQAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3567148:3578345:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDEDQVTKPSATPVSQSSTSHPTHRPNPDGMSPKPLSPKEFILSVASNISSQPLTNPDPNVWGVLTAISNNARKRHQGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKQAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3567209:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDEDQVTKPSATPVSQSSTSHPTHRPNPDGMSPKPLSPKEFILSVASNISSQPLTNPDPNVWGVLTAISNNARKRHQGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKQAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3567148:3578345:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDEDQVTKPSATPVSQSSTSHPTHRPNPDGMSPKPLSPKEFILSVASNISSQPLTNPDPNVWGVLTAISNNARKRHQGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3567209:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDEDQVTKPSATPVSQSSTSHPTHRPNPDGMSPKPLSPKEFILSVASNISSQPLTNPDPNVWGVLTAISNNARKRHQGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3564263:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRINRGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3564263:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRINRGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKQAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3564263:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEIACDEVSKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRINRGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3564263:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRINRGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3567148:3578345:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDEDQVTKPSATPVSQSSTSHPTHRPNPDGMSPKPLSPKEFILSVASNISSQPLTNPDPNVWGVLTAISNNARKRHQGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3567148:3578345:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDEDQVTKPSATPVSQSSTSHPTHRPNPDGMSPKPLSPKEFILSVASNISSQPLTNPDPNVWGVLTAISNNARKRHQGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKQAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3564263:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEIACDEVSKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRINRGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3564263:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEIACDEVSKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRINRGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKQAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.05G044000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3564263:3578069:1 gene:Manes.05G044000.v8.1 transcript:Manes.05G044000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLHTNLGDIKCEIACDEVSKTAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFLTYAKQPHLNGLYTVFGKVIHGFEVLDLMEKTQTGPGDRPLAEIRINRGINMLLTGDEHCIGRLVEDMRFQIESTAVSGKHCKIYRKNLTVEGLEHPSNRHASVFLKDTSTNGTYLNWKKLNKSSPELKLKHGDIVSFAAAPHHELAFAFVYREVLRSTHLMEGSVAKRKSEEIVSENKRLKGIGIGAPEGPISLDDFRSLQRSNTELRKQLESQVLVIDTLRNEHRATIEHHDSELKEMKESITKSYLDQLKELQHMLDVKQKEVVEVNRVSAEQKHALEDLSERLSASRQSCIEANEIIKSHKASISELETQLEEERDQRREERQKAVADLKVALQKVQSEAQEEIKRQSHAAAQRERELQEEINKLQEREKKWCSQVESLRPKLEEARQKLVISDNKVRQLEAQVGEEQLASTNGRKRVEELEQELKQLRKELETEKQAAREEAWAKVSALELEINATVRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQKTLEDEENYENTSVDIDLNLPTENMNGSLVREKEMKGYRSNSGGKAGSATSAQRFDENQVVSSGEASVTEKHECDIRSQGEGEYTQEEFTSANRHANGGFGSDIDGIFTAPILEGDAIGTEQVLETESPGIDGDRNVDMNKCGSLAGETMQLDDEAHLHESDERVQTTSQAALHTSQSDKYLENQKAMEDTEPGGTIRTADLLASEVAGSWACSTAPSIHGENESPTSRDNDKRGGAGLHDSNGPVAESQSTPTSVAAAGRCSHQRLALNEMSGIVALSEMIGIVAPDMKEQFRAVDNDCDGRREKEGSTSNSDTEGCADSDDNVPECAKGESISDYETEGSDQPDEDKKHDAMDNMDEDDDTQEDSLQ >Manes.13G010100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1399856:1400065:-1 gene:Manes.13G010100.v8.1 transcript:Manes.13G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFISVVLFVLLTPGLLFQVPGRHRCVEFGNFHTSGASIMVHSLLYFALVCVFLIAVKVHLYLD >Manes.01G214400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38414695:38418865:-1 gene:Manes.01G214400.v8.1 transcript:Manes.01G214400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHRPPHDAQTMKPQHEQITQRRLCDYCNHTTALLYCRADSAKLCISCDREVHSTNQLFCKHTRSLLCDSCDESPVSIFCETEHSVFCHNCDWERHRLSLSSVHNRRPVEGFSGCRSLTELISILGFEDLGDKKSLFLNEDSNGSAGSRLDDSDFGDGYSNFLIWETPAVVSIDDLIASSDSGQNFQALGVPPLPKNRNAVCGQHKEEILRQLRELARLEPDSISENPDTKPINLFQPSAAGQHIHEQDTGLYKETTFNWISDTGETGNQVSVPSPLFRTNLEESFVVPDKQPNIGGSVSRANGSHEEETQYPFSTRMVPVFPKVGSHELNSQERDSAISRYKEKKKTRRYDKHIRYESRKARAESRIRIKGRFAKMDS >Manes.13G105280.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30612403:30634562:1 gene:Manes.13G105280.v8.1 transcript:Manes.13G105280.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISMKAAILFPPPFPKSNTAHWKPVKAPSLRFLRRNGEGNALFRAHSKLEVESDDNAEMPQEKFGPSNFESEVKHNHIWQLFKEAQRNILYLNNQRLLAVEELKKANQEKQLLLDRIEQLEAEKQAGFGKDKQSLCCELLLRIDSMVLTGMINTAESSNLRKAIMSSKSSVADISFDNLQKSDAELLSELRNFSYGSKKSGFHIIHICTEMAPVIEVGSLASYVTGLSCALLKAGHLPEVILPKYASLNLNEIQGLREIEAEFYSYFEGQLHGNKIWTGVVNGIGVTFIQPLYYSSFFNREKVYGYSDDFERFAYFSRASLDYITKSGKQPDVLHTHNWETAIVGPLFWDIFAKQGLGGTRILLTCHGFESQGLEQPEKLVLCGLDPGRLHRPDRLQDNSKPHIINILKGGVVYSNKVAVVSSMNSKGRIIHSLSHGLESTLSIHSDKLLVTPYGFDDSTWDPSKDRFLPKNYNKDDLKGKAVCKVALRERLGLSENSSTILVGCIFSEMSDSDADKLKAVVWNATGNSVQFIFMGSKIPSANRSLESLQKELQGENVRFINKYDEAVSHLIFAGSDIILCQSFHDPLLQVPLKALKYGAAPVALASNDNRFRSVADHEQEATKFTQLISSTFGNMSLSEALNEINNNPSKWRRSIINSMAMDFSWNAECYDIHVSAYTALKSL >Manes.04G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32033892:32038054:1 gene:Manes.04G118600.v8.1 transcript:Manes.04G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLITGKSTLNNKDKDKKKPVSRSSRAGLQFPVGRVHRLLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Manes.08G065150.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9370798:9396414:-1 gene:Manes.08G065150.v8.1 transcript:Manes.08G065150.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRPFLQKKPKEKDPSQAVAAGIFQINTAVHSFNRFVSSLGTPKDTIESREKLHKTRQRIGELVKETSAKLKEASETDQYEEVSPAKKIRDAKLAKEFRNALNEFQKSQRLAAERETMYAPFVPKVLPPSYGAHEIDQSSSKSSEQQSLILESRRQEIVLLDDEIAFNEALIEEREQGIKEIQHQISEVNEIFKDLAVLVHEQGVMIDEIDSNIQNSHAATTQATSELAKASKLQKSNSSMLCLLIVIFGIILLIVVIVIVA >Manes.08G154011.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38914966:38915379:-1 gene:Manes.08G154011.v8.1 transcript:Manes.08G154011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRENLHASRNRMKQYAYRKRTDRKLEVGDMMYLKLQPYHQSSLDIRKQIKLAAKFYGPYQVEAQVGEVAYRLQLPPEAQIYPVFHVYFYLKRKWETILLCNPSYLSTKLKNLLLLPRRFSRQELSAEMGSGYCKD >Manes.04G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30541648:30544427:1 gene:Manes.04G100900.v8.1 transcript:Manes.04G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEYSNKDGFFPVSSPRVSWKPPRTSASGRSLCSVTANETPSKMEESSNDEKMQEPDTLPVLSEKRKALFEPLEPVTNINGKRPSAESLLPPPDFDSASYPKGWLIGKKRKLVNIDVVESMRRIAIQEMNRKDREINGLNEQLEEDARCLEHLQLQLLQERSKRANVERENAMLQDQISMLMNMLEENEQMGDEGPDEP >Manes.04G100900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30543708:30545737:1 gene:Manes.04G100900.v8.1 transcript:Manes.04G100900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSNDEKMQEPDTLPVLSEKRKALFEPLEPVTNINGKRPSAESLLPPPDFDSASYPKGWLIGKKRKLVNIDVVESMRRIAIQEMNRKDREINGLNEQLEEDARCLEHLQLQLLQERSKRANVERENAMLQDQISMLMNMLEENEQMGDEGPDEP >Manes.03G206400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32179684:32182373:1 gene:Manes.03G206400.v8.1 transcript:Manes.03G206400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREDHHRQMMKNEISMANSTTFITEEMPITSFSAFSSSSSTTPTTSASIFDMMMPYDHIADKASLGFMDLLDGNQDFGTSLLLDCFQLQPPPILPHQPLPSPASTVPESSEVLNTPATPNSSSISSSSNEAGNDSQAKAGDEEEQDQEKNKKQLKPKKKNQKRQREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPFPRSYYRCTSAGCGVKKRVERSSEDPTIVVTTYEGQHTHPSPITPRGSIGLLPDSGCFGAATSSFVLPQSHYQQQQNAYMYSSSPSLNISTNSSFNPPFSPSFLQERRLSHSSASLFRDHGLLQDIVPSQMRKETPEE >Manes.13G096100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28375218:28377674:1 gene:Manes.13G096100.v8.1 transcript:Manes.13G096100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLYTAFLLLMAMASATPLWPGFYSETCSDAELIVREVMKKAMIREPRSVASVMRFQFHDCFVNGCDASLLLDDTPTMLGEKLALSNINSLRSFDVVDEVKEELEKVCPGTVSCADIIIMAARDAVALSGGPDWEVKLGRKDSLTASQEDSDNIMPSPRANASLLIDLFASFDLSIKDLVALSGSHSIGQGRCFSIMFRLYNQSGTGKPDPTIESSFREKLDNLCPVGGNENVTGDLDSTPIVFDNIYFKDLVAGRGFLNSDQTLYTFPETRDYVELFSKDQQAFFDAFVEGMIKMGDLQSGRPGEIRTNCRVVNTHHRPVDVLLET >Manes.03G031700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2651810:2656681:-1 gene:Manes.03G031700.v8.1 transcript:Manes.03G031700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDFIALKMRSPSPTFQRLFPPNLPSSISSVKLLNLPHRRTSSVLKFLPFRVNFSFCACLNVIEPNPTSGLALTDNQSSPSHLEEVNGKNSRNFLSPNWPNLSLNDQSERIEMMRPLSFTAKEALKRYSRMLRECASRRTLNEGKAVHGNVIKSGIQPDSHLLVSLINFYVKCGRILLARRLLDEMSEREVVSWTTLIAGYVSEGCATDGVSLYCEMRKENIRPNEFALATVLKACSNSLDIEFGKQVHAEAIKAGFLRDLFVGSTLVDLYAKCGEVELADTVFFGMPDKNDVSWNALLNGYAHRGDGEEVLKLFSRMTECEMKFSKFTLSTVLKGCANSRNLREGKVLHSLVIRNGCELDEFLGCSLVDMYSKCGAIYDAQKVFNRIKDPDIVTWSAMIAALDQQGHSQEAAELFHLMQHTGVKPNQFTLASVVSAATNMADLYFGRSIHSCIYKYGLESDNSVGNALIMMYMNSGCLEDGIQVFEAMTDRDLVSWNALLSGFHDFETGDQGLRIFDQMLVEGFKPNMYTFVSVLRSCASLLDVCFGKQVHTHIIKNSLDGNDFVGTALIDMYAKSRCLEDADVAFNRLTNRDLFTWTVIIAGFAQNGQEEKAVKCLVQMLREDIKPNEFTLASCLSGCSRVAALGNGQQLHSVAIKSGHFGDVFVASALVDMYGKCGCIEDAEAIFKGLFSRDTVSWNTIISGYSQHGQGKKALEAFRMMLDEGIVPDEITYLGVLAACSYMGLVEEGKKLFNLMCKDYGITPSIEHHACMVDILGRAGKFSEVDVYIEEMKLSQYSLVWETVLGSCKLHGNVEVGKRAAERLFELEPKMASSYILLSNIFAAKGMWDDVRSTRALMSTQGVKKEPGCSWVEVDGQVHVFTSQDGSHPKTREIYAKLEELGQKLASRDYTPKTENVLHNVSNKEKMENLYYHSERLALAFAFISTNPVKPIRIFKNLRICGDCHDFMKLVTDITNSEIFVRDIKRFHHFRRGICSCQDNW >Manes.03G031700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2651810:2656681:-1 gene:Manes.03G031700.v8.1 transcript:Manes.03G031700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDFIALKMRSPSPTFQRLFPPNLPSSISSVKLLNLPHRRTSSVLKFLPFRVNFSFCACLNVIEPNPTSGLALTDNQSSPSHLEEVNGKNSRNFLSPNWPNLSLNDQSERIEMMRPLSFTAKEALKRYSRMLRECASRRTLNEGKAVHGNVIKSGIQPDSHLLVSLINFYVKCGRILLARRLLDEMSEREVVSWTTLIAGYVSEGCATDGVSLYCEMRKENIRPNEFALATVLKACSNSLDIEFGKQVHAEAIKAGFLRDLFVGSTLVDLYAKCGEVELADTVFFGMPDKNDVSWNALLNGYAHRGDGEEVLKLFSRMTECEMKFSKFTLSTVLKGCANSRNLREGKVLHSLVIRNGCELDEFLGCSLVDMYSKCGAIYDAQKVFNRIKDPDIVTWSAMIAALDQQGHSQEAAELFHLMQHTGVKPNQFTLASVVSAATNMADLYFGRSIHSCIYKYGLESDNSVGNALIMMYMNSGCLEDGIQVFEAMTDRDLVSWNALLSGFHDFETGDQGLRIFDQMLVEGFKPNMYTFVSVLRSCASLLDVCFGKQVHTHIIKNSLDGNDFVGTALIDMYAKSRCLEDADVAFNRLTNRDLFTWTVIIAGFAQNGQEEKAVKCLVQMLREDIKPNEFTLASCLSGCSRVAALGNGQQLHSVAIKSGHFGDVFVASALVDMYGKCGCIEDAEAIFKGLFSRDTVSWNTIISGYSQHGQGKKALEAFRMMLDEGIVPDEITYLGVLAACSYMGLVEEGKKLFNLMCKDYGITPSIEHHACMVDILGRAGKFSEVDVYIEEMKLSQYSLVWETVLGSCKLHGNVEVGKRAAERLFELEPKMASSYILLSNIFAAKGMWDDVRSTRALMSTQGVKKEPGCSWVEVDGQVHVFTSQDGSHPKTREIYAKLEELGQKLASRDYTPKTENVLHNVSNKEKMENLYYHSERLALAFAFISTNPVKPIRIFKNLRICGDCHDFMKLVTDITNSEIFVRDIKRFHHFRRGICSCQDNW >Manes.05G208000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33505098:33509262:1 gene:Manes.05G208000.v8.1 transcript:Manes.05G208000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLPSSNPVNLHIQAKLSILESTHIRRKCLSNLSYSSPSFFISNSHQPQYPISLSPPANQSVAAIVFGDGSESRLYPLTKRRSEGAIPIAANYRIIDAVVSNCINSNINKIYAITQYNSTSLNSHLSRAYNGQGLGRDGFVEVIAAYQSPEDQGWFQGTADAMRRCLWVLEEFPVSEFLILPGHHLYKMDYQKLIEAHRSSQADITIAALDYIKEPDPGFGLLKVNSENEVAEFILRSEKDPRIVTSVKSSRKLIDYANCKISSMGIYLVNRQVMTKLLETYFPKANDFGAEVIPGAISSGMKVQAYRFNGYWEDMRSISAFYEANMECIKRSNMGYNFCDRESPLYTMRRYLPPTTIRDADITDSVIGDGCIINRCKIKGTVVGIGTRIGAGAIIEDSVIMGSDYQIGECIHKKEHIQKSGMDGKGTDISTGIGDDTQIRRALIDKNARIGRNVMIINKEKVREGNREANGFIISEGIVVVLQNAVIPDGSIL >Manes.05G208000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33505098:33509262:1 gene:Manes.05G208000.v8.1 transcript:Manes.05G208000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLPSSNPVNLHIQAKLSILESTHIRRKCLSNLSYSSPSFFISNSHQPQYPISLSPPANQSVAAIVFGDGSESRLYPLTKRRSEGAIPIAANYRIIDAVVSNCINSNINKIYAITQYNSTSLNSHLSRAYNGQGLGRDGFVEVIAAYQSPEDQGWFQGTADAMRRCLWVLEEFPVSEFLILPGHHLYKMDYQKLIEAHRSSQADITIAALDYIKEPDPGFGLLKVNSENEVAEFILRSEKDPRIVTSVKSSRKLIDYANCKISSMGIYLVNRQVMTKLLETYFPKANDFGAEVIPGAISSGMKVQAYRFNGYWEDMRSISAFYEANMECIKRSNMGYNFCDRESPLYTMRRYLPPTTIRDADITDSVIGDGCIINNRGARSKEQ >Manes.05G208000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33505125:33509262:1 gene:Manes.05G208000.v8.1 transcript:Manes.05G208000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLPSSNPVNLHIQAKLSILESTHIRRKCLSNLSYSSPSFFISNSHQPQYPISLSPPANQSVAAIVFGDGSESRLYPLTKRRSEGAIPIAANYRIIDAVVSNCINSNINKIYAITQYNSTSLNSHLSRAYNGQGLGRDGFVEVIAAYQSPEDQGWFQGTADAMRRCLWVLEEFPVSEFLILPGHHLYKMDYQKLIEAHRSSQADITIAALDYIKEPDPGFGLLKVNSENEVAEFILRSEKDPRIVTSVKSSRKLIDYANCKISSMGIYLVNRQVMTKLLETYFPKANDFGAEVIPGAISSGMKVQAYRFNGYWEDMRSISAFYEANMECIKRSNMGYNFCDRESPLYTMRRYLPPTTIRDADITDSVIGDGCIINNRGARSKEQ >Manes.05G208000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33505125:33509262:1 gene:Manes.05G208000.v8.1 transcript:Manes.05G208000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLPSSNPVNLHIQAKLSILESTHIRRKCLSNLSYSSPSFFISNSHQPQYPISLSPPANQSVAAIVFGDGSESRLYPLTKRRSEGAIPIAANYRIIDAVVSNCINSNINKIYAITQYNSTSLNSHLSRAYNGQGLGRDGFVEVIAAYQSPEDQGWFQGTADAMRRCLWVLEEFPVSEFLILPGHHLYKMDYQKLIEAHRSSQADITIAALDYIKEPDPGFGLLKVNSENEVAEFILRSEKDPRIVTSVKSSRKLIDYANCKISSMGIYLVNRQVMTKLLETYFPKANDFGAEVIPGAISSGMKVQAYRFNGYWEDMRSISAFYEANMECIKRSNMGYNSFCDRESPLYTMRRYLPPTTIRDADITDSVIGDGCIINRCKIKGTVVGIGTRIGAGAIIEDSVIMGSDYQIGECIHKKEHIQKSGMDGKGTDISTGIGDDTQIRRALIDKNARIGRNVMIINKEKVREGNREANGFIISEGIVVVLQNAVIPDGSIL >Manes.18G105550.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10309262:10313195:-1 gene:Manes.18G105550.v8.1 transcript:Manes.18G105550.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSCVLLLVMFASAGAATDCPKNCNPDGPLVRFPFRLKNSHSYCGYPGFEISCSSNNETVLELPGSVKLFVSMIDYKAQKLHAYDPNGCLPKYLPLINLSASPFLFKYKQLQDFTVFDCSIEPPWTGELYNISCISNYDAEHNVIAFHSHSSINNPFSPSLLSCTKLYNVSLVPHQMLYPNGNLIFNWIRPNCTNCQAEGKLCKLKQNSSQPEIECSDISKQHRGATMRKKVIVIGTTLGLFFLSISLYYVRRSDESEKRIKEFLEDYKALKPTRYTYADIKRITDQFKDFLGEGSYGKVFKGKLSSEILVAVKVLHGTKWGRVYK >Manes.18G105550.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10309667:10312876:-1 gene:Manes.18G105550.v8.1 transcript:Manes.18G105550.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSCVLLLVMFASAGAATDCPKNCNPDGPLVRFPFRLKNSHSYCGYPGFEISCSSNNETVLELPGSVKLFVSMIDYKAQKLHAYDPNGCLPKYLPLINLSASPFLFKYKQLQDFTVFDCSIEPPWTGELYNISCISNYDAEHNVIAFHSHSSINNPFSPSLLSCTKLYNVSLVPHQMLYPNGNLIFNWIRPNCTNCQAEGKLCKLKQNSSQPEIECSDISKQHRGATMRKKVIVIGTTLGLFFLSISLYYVRRSDESEKRIKEFLEDYKALKPTRYTYADIKRITDQFKDFLGEGSYGKVFKGKLSSEILVAVKVLHGTKWGRVYK >Manes.18G105550.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10309485:10312166:-1 gene:Manes.18G105550.v8.1 transcript:Manes.18G105550.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSCVLLLVMFASAGAATDCPKNCNPDGPLVRFPFRLKNSHSYCGYPGFEISCSSNNETVLELPGSVKLFVSMIDYKAQKLHAYDPNGCLPKYLPLINLSASPFLFKYKQLQDFTVFDCSIEPPWTGELYNISCISNYDAEHNVIAFHSHSSINNPFSPSLLSCTKLYNVSLVPHQMLYPNGNLIFNWIRPNCTNCQAEGKLCKLKQNSSQPEIECSDISKQHRGATMRKKVIVIGTTLGLFFLSISLYYVRRSDESEKRIKEFLEDYKALKPTRYTYADIKRITDQFKDFLGEGSYGKVFKGKLSSEILVAVKVLHGTKWGRVYK >Manes.18G105550.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10309268:10313196:-1 gene:Manes.18G105550.v8.1 transcript:Manes.18G105550.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSCVLLLVMFASAGAATDCPKNCNPDGPLVRFPFRLKNSHSYCGYPGFEISCSSNNETVLELPGSVKLFVSMIDYKAQKLHAYDPNGCLPKYLPLINLSASPFLFKYKQLQDFTVFDCSIEPPWTGELYNISCISNYDAEHNVIAFHSHSSINNPFSPSLLSCTKLYNVSLVPHQMLYPNGNLIFNWIRPNCTNCQAEGKLCKLKQNSSQPEIECSDISKQHRGATMRKKVIVIGTTLGLFFLSISLYYVRRSDESEKRIKEFLEDYKALKPTRYTYADIKRITDQFKDFLGEGSYGKVFKGKLSSEILVAVKVLHGTKWGRVYK >Manes.18G105550.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10309262:10313195:-1 gene:Manes.18G105550.v8.1 transcript:Manes.18G105550.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSCVLLLVMFASAGAATDCPKNCNPDGPLVRFPFRLKNSHSYCGYPGFEISCSSNNETVLELPGSVKLFVSMIDYKAQKLHAYDPNGCLPKYLPLINLSASPFLFKYKQLQDFTVFDCSIEPPWTGELYNISCISNYDAEHNVIAFHSHSSINNPFSPSLLSCTKLYNVSLVPHQMLYPNGNLIFNWIRPNCTNCQAEGKLCKLKQNSSQPEIECSDISKQHRGATMRKKVIVIGTTLGLFFLSISLYYVRRSDESEKRIKEFLEDYKALKPTRYTYADIKRITDQFKDFLGEGSYGKVFKGKLSSEILVAVKVLHGTKWGRVYK >Manes.18G105550.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10309269:10312369:-1 gene:Manes.18G105550.v8.1 transcript:Manes.18G105550.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVASSCVLLLVMFASAGAATDCPKNCNPDGPLVRFPFRLKNSHSYCGYPGFEISCSSNNETVLELPGSVKLFVSMIDYKAQKLHAYDPNGCLPKYLPLINLSASPFLFKYKQLQDFTVFDCSIEPPWTGELYNISCISNYDAEHNVIAFHSHSSINNPFSPSLLSCTKLYNVSLVPHQMLYPNGNLIFNWIRPNCTNCQAEGKLCKLKQNSSQPEIECSDISKQHRGATMRKKVIVIGTTLGLFFLSISLYYVRRSDESEKRIKEFLEDYKALKPTRYTYADIKRITDQFKDFLGEGSYGKVFKGKLSSEILVAVKVLHGTKWGRVYK >Manes.06G129901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25963895:25964683:1 gene:Manes.06G129901.v8.1 transcript:Manes.06G129901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIELLLGSGTTNSGILEVNAKTNSGLTALDLLLIFPSEAGDTEITEILRSAGAMRAKDISHPAISSFQSVNQNSAFSTLEICQTEQPKNLVDYFKFQKGRDSPSEARSALLVIAVLVATATFQVGVNPPGGVWQDSYIPDQSNSKAIITKAHYAGESILATASPIAFALFVLFNSIGLSVSLFMIHILTSRFPLQFELQMCIVALYFTYNTALINMSPDEVKLYVVLVTVIPSSLTAVIAKAIRIHAKKMAEFVLARIRRVP >Manes.01G135200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32824241:32828601:1 gene:Manes.01G135200.v8.1 transcript:Manes.01G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWRKHSQNHHENDAAQQDAKVSELRAALGPLSGSSLKYCTDACLRRYLEARNWNVDKAHKMLEETLKWRASYKPEEIRWHEISHEGETGKVFRANFHDRYGRTVLIMRPGMQNTSCAEDNIRHLVYLIENSILNLAEGQEQMAWLIDFTGLSLSNSISVRTARDIINILQNHYPERLAIAFLYNPPRIFEAFYKAVKYFLDPKTSQKVKFVYPKDKDSVELMGSFFDIDNLPGEFGGKATMKYDHEEFSQLMAQDDVKTAKYWGFDEKPTHIASGRLGAQVAPEPTPLAPPAS >Manes.09G172100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36321020:36323190:1 gene:Manes.09G172100.v8.1 transcript:Manes.09G172100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKSPLSLSRLITFMKGKESKIAVVVGTVTNDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQIALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFERARGRRNSRGFRV >Manes.13G091200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:23549567:23559596:1 gene:Manes.13G091200.v8.1 transcript:Manes.13G091200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVTFHDQIGAVQLHICSKDSGSLKKFRSSSLHFISMGFAVDVKFLRKRICNSKHHKVRVIYTSSCHASVVDPTLCNSQSANNYNRKTSIESSLILIRHGESMWNEKNLFTGCVDVPLTKKGIEEAIEAGKRISNIPVDIIYTSTLIRAQMTAVLAMTQHRHKKYLYVPIIMHDENERAKAWKCRYGELQGLNKEETAERYGKEKVHEWRRSYDIPPPNGESLEMCSQRAVAYFRDKIEPQLQSRKNIMVAAHGNSLRSIIMYLDKLTSQEVINLELSTGIPLLYIYKDKKFIKRGSPVGPTEAGVYAYTKSLALYRQKLDEMFQ >Manes.04G033800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4304957:4305730:1 gene:Manes.04G033800.v8.1 transcript:Manes.04G033800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGAMYINPKKYGSLAKPCMKEMITYLNCLSLNQVNDEKCVRQKELLGACMEAQTTNKRKSWGSINYHLQRLNRGRK >Manes.16G058250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21836292:21838834:1 gene:Manes.16G058250.v8.1 transcript:Manes.16G058250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCLLMYLGQKKNSYIRAYGPGKNVTEYFSARPTKIELLRQLDTSRREANERVQQIQKEASEQVNDVKKQMDEKLAEMNRIWEQKFKMLLEKNNNIASPMEDSQDVEIGG >Manes.01G157500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34345288:34349650:1 gene:Manes.01G157500.v8.1 transcript:Manes.01G157500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVRRRLASYLLRKNSSDELLNSFRLGHHLPPLKPSDYFLPLSLYLANSLFFALFFSVAYFLIHRWREKIHNSTPLHILSFPEIAALLCLVASVIYLLGFFGISFVHFFSKASNIVDEEYDDNNIIVKEDNMPPGPCSASLDCFPPAKIHAPIIATTTTSSSFSDDDEQIIKSVVSGSIPSYALESKLGDCRRAALIRRVAVQRISKRSLEGLPLDGFDYESILGQCCEMPIGYVQIPVGIAGPLLLDGKEYSVPMATTEGCLVASTNRGCKAIYASGGATSALIRDGMTRAPVVRFATAKRAADLKFFMEDPDNFDTVAVVFNKSSRFAKLQGVQCAIAGKNLFMRFNCSTGDAMGMNMVSKGVQNVLDYLQNDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVAALVELNMLKNLTGSAVAGSLGGFNAHASNVVTAVYIATGQDPAQNVESSHCITMMEAVNGGKDLHISVSMPSIEVGTVGGGTQLASQSACLNLLGVKGASKDSPGSNSRLLATIVAGSVLAGELSLMSALAAGQLVKSHLKYNRSSKDIRINFLKSNTTA >Manes.12G008200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:885402:888176:-1 gene:Manes.12G008200.v8.1 transcript:Manes.12G008200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTAVAETTFQPSSPALHWRDTNGASLEAVDLLDQSVTTTTTTTWEDVIGLEDQQRRHLQKLQAKGVLWKHPGDDNKASAHSSPPRSVVFRLSHGGEVSPDGNCLFTASQRAMMARDIDARELRRRTVRRFSEDFESGSDEEKEVINDAIRHMYSPDLKSGWGIHVVQEVKLLAKKEDRVNLDSVIEELVQLGMQREMAGESIYKERCIPVNDGPSWAKYMSISGSTDDEYDIITLQYTEEGLLSIDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRGEICELPFFLFMKGTGWCGAGADHYEPLIANPSSLVSTEKVAVVL >Manes.12G008200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:885476:888177:-1 gene:Manes.12G008200.v8.1 transcript:Manes.12G008200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTAVAETTFQPSSPALHWRDTNGASLEAVDLLDQSVTTTTTTTWEDVIGLEDQQRRHLQKLQAKGVLWKHPGDDNKASAHSSPPRSVVFRLSHGGEVSPDGNCLFTASQRAMMARDIDARELRRRTVRRFSEDFESGSDEEKEVINDAIRHMYSPDLKSGWGIHVVQEVKLLAKKEDRVNLDSVIEELVQLGMQREMAGESIYKERCIPVNDGPSWAKYMSISGSTDDEYDIITLQYTEEGLLSIDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRGEICELPFFLFMKGTGNGQIMYQL >Manes.12G008200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:885476:888176:-1 gene:Manes.12G008200.v8.1 transcript:Manes.12G008200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTAVAETTFQPSSPALHWRDTNGASLEAVDLLDQSVTTTTTTTWEDVIGLEDQQRRHLQKLQAKGVLWKHPGDDNKASAHSSPPRSVVFRLSHGGEVSPDGNCLFTASQRAMMARDIDARELRRRTVRRFSEDFESGSDEEKEVINDAIRHMYSPDLKSGWGIHVVQEVKLLAKKEDRVNLDSVIEELVQLGMQREMAGESIYKERCIPVNDGPSWAKYMSISGSTDDEYDIITLQYTEEGLLSIDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRGEICELPFFLFMKGTGWCGAGADHYEPLIANPSSLVSTEKVAVVL >Manes.12G008200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:885476:888176:-1 gene:Manes.12G008200.v8.1 transcript:Manes.12G008200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTAVAETTFQPSSPALHWRDTNGASLEAVDLLDQSVTTTTTTTWEDVIGLEDQQRRHLQKLQAKGVLWKHPGDDNKASAHSSPPRSVVFRLSHGGEVSPDGNCLFTASQRAMMARDIDARELRRRTVRRFSEDFESGSDEEKEVINDAIRHMYSPDLKSGWGIHVVQEVKLLAKKEDRVNLDSVIEELVQLGMQREMAGESIYKERCIPVNDGPSWAKYMSISGSTDDEYDIITLQYTEEGLLSIDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRGWCGAGADHYEPLIANPSSLVSTEKVAVVL >Manes.14G000900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1323367:1328414:1 gene:Manes.14G000900.v8.1 transcript:Manes.14G000900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPNHLHASHSQQFSLGLVTENLDNPFQHQEWSLVNTQGGNEVPKVADFLGVSKSENQSDLVVFNEIQPNDSDYMFPSNSLMPVQNTIVAASSNYEFQDNANNLQSLTLSMGSASGKGSSTCETSGDTSTNTVEAAVPRRSLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSKLQTYSLLQPPFEQPQPLLTLQNQDISQYAQDAAFHQNFLQTQLHLNQQSGGSNYLQQSSQNPQFYNSYIQSNPALLHGLFNMGSSSCIMENNGSSSGSYSGGYLGHGTGVASNSSGSNAVGSAEELALVKVDYDMPSGSYGSWSGDSVQGSNPAFFTMWND >Manes.14G000900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1325151:1328427:1 gene:Manes.14G000900.v8.1 transcript:Manes.14G000900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPNHLHASHSQQFSLGLVTENLDNPFQHQEWSLVNTQGGNEVPKVADFLGVSKSENQSDLVVFNEIQPNDSDYMFPSNSLMPVQNTIVAASSNYEFQDNANNLQSLTLSMGSASGKGSSTCETSGDTSTNTVEAAVPRRSLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSKLQTYSLLQPPFEQPQPLLTLQNQDISQYAQDAAFHQNFLQTQLHLNQQSGGSNYLQQSSQNPQFYNSYIQSNPALLHGLFNMGSSSCIMENNGSSSGSYSGGYLGHGTGVASNSSGSNAVGSAEELALVKVDYDMPSGSYGSWSGDSVQGSNPAFFTMWND >Manes.14G000900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1323367:1328414:1 gene:Manes.14G000900.v8.1 transcript:Manes.14G000900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPNHLHASHSQQFSLGLVTENLDNPFQHQEWSLVNTQGGNEVPKVADFLGVSKSENQSDLVVFNEIQPNDSDYMFPSNSLMPVQNTIVAASSNYEFQDNANNLQSLTLSMGSASGKGSSTCETSGDTSTNTVEAAVPRRSLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSKLQTYSLLQPPFEQPQPLLTLQNQDISQYAQDAAFHQNFLQTQLHLNQQSGGSNYLQQSSQNPQFYNSYIQSNPALLHGLFNMGSSSCIMENNGSSSGSYSGGYLGHGTGVASNSSGSNAVGSAEELALVKVDYDMPSGSYGSWSGDSVQGSNPAFFTMWND >Manes.14G000900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1323147:1328414:1 gene:Manes.14G000900.v8.1 transcript:Manes.14G000900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPNHLHASHSQQFSLGLVTENLDNPFQHQEWSLVNTQGGNEVPKVADFLGVSKSENQSDLVVFNEIQPNDSDYMFPSNSLMPVQNTIVAASSNYEFQDNANNLQSLTLSMGSASGKGSSTCETSGDTSTNTVEAAVPRRSLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSKLQTYSLLQPPFEQPQPLLTLQNQDISQYAQDAAFHQNFLQTQLHLNQQSGGSNYLQQSSQNPQFYNSYIQSNPALLHGLFNMGSSSCIMENNGSSSGSYSGGYLGHGTGVASNSSGSNAVGSAEELALVKVDYDMPSGSYGSWSGDSVQGSNPAFFTMWND >Manes.14G000900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1323147:1328414:1 gene:Manes.14G000900.v8.1 transcript:Manes.14G000900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPNHLHASHSQQFSLGLVTENLDNPFQHQEWSLVNTQGGNEVPKVADFLGVSKSENQSDLVVFNEIQPNDSDYMFPSNSLMPVQNTIVAASSNYEFQDNANNLQSLTLSMGSASGKGSSTCETSGDTSTNTVEAAVPRRSLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSKLQTYSLLQPPFEQPQPLLTLQNQDISQYAQDAAFHQNFLQTQLHLNQQSGGSNYLQQSSQNPQFYNSYIQSNPALLHGLFNMGSSSCIMENNGSSSGSYSGGYLGHGTGVASNSSGSNAVGSAEELALVKVDYDMPSGSYGSWSGDSVQGSNPAFFTMWND >Manes.14G000900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1325106:1328427:1 gene:Manes.14G000900.v8.1 transcript:Manes.14G000900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPNHLHASHSQQFSLGLVTENLDNPFQHQEWSLVNTQGGNEVPKVADFLGVSKSENQSDLVVFNEIQPNDSDYMFPSNSLMPVQNTIVAASSNYEFQDNANNLQSLTLSMGSASGKGSSTCETSGDTSTNTVEAAVPRRSLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSKLQTYSLLQPPFEQPQPLLTLQNQDISQYAQDAAFHQNFLQTQLHLNQQSGGSNYLQQSSQNPQFYNSYIQSNPALLHGLFNMGSSSCIMENNGSSSGSYSGGYLGHGTGVASNSSGSNAVGSAEELALVKVDYDMPSGSYGSWSGDSVQGSNPAFFTMWND >Manes.14G000900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1323147:1328414:1 gene:Manes.14G000900.v8.1 transcript:Manes.14G000900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPNHLHASHSQQFSLGLVTENLDNPFQHQEWSLVNTQGGNEVPKVADFLGVSKSENQSDLVVFNEIQPNDSDYMFPSNSLMPVQNTIVAASSNYEFQDNANNLQSLTLSMGSASGKGSSTCETSGDTSTNTVEAAVPRRSLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSRKREEMIALGSGFQYGSSSSSKLQTYSLLQPPFEQPQPLLTLQNQDISQYAQDAAFHQNFLQTQLHLNQQSGGSNYLQQSSQNPQFYNSYIQSNPALLHGLFNMGSSSCIMENNGSSSGSYSGGYLGHGTGVASNSSGSNAVGSAEELALVKVDYDMPSGSYGSWSGDSVQGSNPAFFTMWND >Manes.10G144900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31270501:31271256:-1 gene:Manes.10G144900.v8.1 transcript:Manes.10G144900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMSSFKALVSAILVVAMLFASVDAQEFAPAPAPAMDKGAAYSVGISGAMICSSLLLCLVAFLKH >Manes.07G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30464136:30469386:1 gene:Manes.07G099400.v8.1 transcript:Manes.07G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDFGVMKSQRVAEKCLDSQLWHVCAGGMVQMPPVNSKVFYFPQGHAEHAQGNVDFSHCKVPAMIPCKVSAIKYLADPETDEVFAKIRLNPLSERDVFCLEDSSDGGLFDGTASPEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSAEPPVQTILVKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGNEYPSGWNAFGGYSGFLREDENKLMRRNGDGDMKGKVKAESVIQAATLAANWQPFEVVYYPRASTPEFFVKASAVRAAMQIQWCPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPSSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQPHDFSLISQFPVPSFTGNPLSSNSPLCCVSDNIPAGIQGARHAQFELSSADLHFNKLQSGLFPVGFHKLNHAAPTSRIPSHNFMGITESNESLSCLLTMGNPTLSSKENSETKEPHILLFGQLIFTEQQGSQRFSGDTNGNSSSDGNPEKTTNFSDGSVSVFHQTGLQENSSDEGSPRYGDHWKTSLGLETGHCKVFLESEDVGRTLDLSVVGSYEELYGKLENMFGIENSDMPGCVLYRDAAGAIKHTGDEPFSEFLKTARRLTILSDSGSDNIGR >Manes.07G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12561887:12570352:1 gene:Manes.07G066500.v8.1 transcript:Manes.07G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVDEKDLKAAGAELLSDSDRRGLRIHGWEIFSLKGSILNSSTRLQWEEKLQTSHLPEMVFGDSSLVLKHESSGTKIYFNAFDALAGWKQEALPPVEVPAAAKWKFRSKPSQQVILDYDYTFTTPYCGSETIEAGSNKNGSGNISETSSSICWEDCDEQIDVVSLASKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSCWFLLLRFWLRVDGVLMRLRDTRMHCAFGDGTNPVILRESCWREATFQSISAKGYPSDLAEYSDPSIISERLPVITHKTLKLVFHGNL >Manes.14G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14247534:14249376:1 gene:Manes.14G114400.v8.1 transcript:Manes.14G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSYSFTLFFFISTVKHFYYKTHQCLAISASHFRTIYIFSHPFLITMASSRVCIILLISLTICSLMVAFAGNFNQEFDITWGDGRAKILSNGELLTLNLDKASGSGFQSRNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSNDPYILHTNVFSQGKGNREQQFYLWFDPTTDFHTYSILWNPQLIIFSVDGTPIREFKNLESIGVPFPKNQPMRIYSSLWNADDWATRGGLVKTNWTQAPFTASYRNFKANACVWSSGASSCGANSTTSASNSKPWLSDELDSTSQERLKWVQKNYMIYNYCTDSKRFPQGLPPECNMS >Manes.14G027800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2540750:2542663:1 gene:Manes.14G027800.v8.1 transcript:Manes.14G027800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPMEPLAVGRVVGEVVDSFTPSVRMVITYHSNKQVANGYEFMPSFVATRPRVEIGGEDLRTAYTLIMTDPDAPSPSDPHLREHLHWMVTDIPGTTDASFGREVVSYETPKPVVGIHRYVFILFKQRGRQTVSPPASRDHFNTRRFSEENGLGLPVAAVYFNAQRETAARRR >Manes.03G145800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27338043:27341332:-1 gene:Manes.03G145800.v8.1 transcript:Manes.03G145800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKANAVDVDPVDEETLAVASSDAAKQLLPLSPGQELDETRKFIQASNASINECDKNPEGRGEDVSQMIGSNQILPEGSQIPADNKELNNFQEAINFVNKVNLRFRHDRSVYPAFLDLLVSHRKTMVGKETNVCDLLEKVAQLFRDHEDLVEEFMRFLPDNEDGKKFRVAGVSENYPIPQSCNLPDEKLASGPSILQDYSREHKHPCEHEQGLYRREDDKGELDRKVEGSKQSTNNSALSEALEEEQLP >Manes.03G145800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27338614:27341332:-1 gene:Manes.03G145800.v8.1 transcript:Manes.03G145800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKANAVDVDPVDEETLAVASSDAAKQLLPLSPGQELDETRKFIQASNASINECDKNPEGRGEDVSQMIGSNQILPEGSQIPADNKELNNFQEAINFVNKVNLRFRHDRSVYPAFLDLLVSHRKTMVGKETNVCDLLEKVAQLFRDHEDLVEEFMRFLPDNEDGKKFRVAGVSENYPIPQSCNLPDEKLASGPSILQDYSREHKHPCEHEQGLYRREDDKGELDRKVEGSKQSTNNSALSEALEEEQLP >Manes.03G145800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27339710:27341332:-1 gene:Manes.03G145800.v8.1 transcript:Manes.03G145800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKANAVDVDPVDEETLAVASSDAAKQLLPLSPGQELDETRKFIQASNASINECDKNPEGRGEDVSQMIGSNQILPEGSQIPADNKELNNFQEAINFVNKVNLRFRHDRSVYPAFLDLLVSHRKTMVGKETNVCDLLEKVAQLFRDHEDLVEEFMRFLPDNEDGKKFRVAGVSENYPIPQSCNLPDEKLASGPSILQDYSREHKHPCEHEQGLYRREDDKGELDRKVEGSKQSTNNSALSEALEEEQLP >Manes.09G007500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:1777478:1777624:1 gene:Manes.09G007500.v8.1 transcript:Manes.09G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWGLTLWMVKLVWLALSGWVSSCLTVADEVAGSLRTGDIGPFHVG >Manes.03G129400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25613787:25617736:1 gene:Manes.03G129400.v8.1 transcript:Manes.03G129400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSSERDVEEVSHLQRQCGFTSAGELDVDLTGGREVQAEGCDFENSEAAYELEVDSNQLRRQGSSSVPDDFKIENLESPGDNSLSLPTELTYDQRVQPPLKDKDCQGEMDELSGSYFHKTSFQFMAKTSHSERGTEDSAHSQEFPITVDDSQAEKMEGKSNLDRSKTTDKGEVNVSEEKPNWQETEPKSGNNYSRNSPTESGRTDVAHSHPHSFGNADEHSPSPSTLRQMAVSPKKSLHIHQSPNGHGPLSSQEGFLKPSDSHISSRPRKSSSRERSRHKSRQSSSPIRCDQAKEVPSRDHLSFSTKQARASPHRSQHEDGSSQKRRHASPEFRESPRKYGRLEKSVSRSPIRKRDSLYGYRRDHRGRSRSISPYTRGRHRSPRARNFPRQRSPPGYHSGHHSPRRRPWVPPPNRRTGLGKPGNNLFVAGFSFLTTERDLERKFSRFGHVRDVRIVRDKRSGDSRGFGFLSMERDEDADAAIRALDETEWNGRIILVEKSKTH >Manes.05G087200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7872708:7874508:1 gene:Manes.05G087200.v8.1 transcript:Manes.05G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSLGSEGDNSSGFLWPQRNYTCSFCKRQFNSAQALGGHMNVHRRDRAMLIQLPSWVFGCQNPKPNFSSSSSLSLSSSRSTSANFLSYPCTHHHSLISPSFTSFSSSPSKNSAALECPQLSSSTQLSEDLIKKKSVRAVVQVEEINKGFAEKYELEVLKKNEVISLDLEIGCKDPKEVLDLELRLGCF >Manes.02G178700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14113875:14118502:1 gene:Manes.02G178700.v8.1 transcript:Manes.02G178700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADAHRTDLMTITRFVLNEQSKHPASRGDFTILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDDEAIFVEPGKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKHGNEPTLDDVLQPGSNMVAAGYCMYGSSCMLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKYVERCKFPKDGSSPKSLRYVGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQSFTGKQQALHLVPEKIHQRSPIFLGSYDDVEEIKALYAAENAEA >Manes.02G225801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:38112597:38113841:-1 gene:Manes.02G225801.v8.1 transcript:Manes.02G225801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLIDRLESAVARLEALSTSAFMDHGVSNFSGADVTIDPSIVAFDDLLGQFFGRVLAADEKIGGQVLEVTKVAQEAFSVQKELLIKAKQTQKLDFTGLAEFLKPFEICCGRSNSFSMDCLYRERFGMSMPIAHVEES >Manes.14G090700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7495896:7500319:-1 gene:Manes.14G090700.v8.1 transcript:Manes.14G090700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGNQNQDDEEYEDEDFRSRKDGLSANLTSNNSNSNVNINKDGKNIDKTNVIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQFLQEKVQKYEGSYQGWSSEPTKLIPWRNSHWRVQSFIGHPQAIKNDSGRGPTFDENNITINPTMLTGTQRQGQSDPSRDVTCKAAEQLPELANKQEELTIEGGTISISSVYSQGLLSNLTQALQNAGVDLSQANISVKIDLGKRANRGLTSETSTKDPQSPPSSNQAMTHLRDGSCGEDPDHSQKRLKM >Manes.14G090700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7495896:7500319:-1 gene:Manes.14G090700.v8.1 transcript:Manes.14G090700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGNQNQDDEEYEDEDFRSRKDGLSANLTSNNSNSNVNINKDGKNIDKTNVIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQFLQEKVQKYEGSYQGWSSEPTKLIPWRNSHWRVQSFIGHPQAIKNDSGRGPTFDENNITINPTMLTGTQRQGQSDPSRDVTCKAAEQLPELANKEELTIEGGTISISSVYSQGLLSNLTQALQNAGVDLSQANISVKIDLGKRANRGLTSETSTKDPQSPPSSNQAMTHLRDGSCGEDPDHSQKRLKM >Manes.14G090700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7495896:7500319:-1 gene:Manes.14G090700.v8.1 transcript:Manes.14G090700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGNQNQDDEEYEDEDFRSRKDGLSANLTSNNSNSNVNINKDGKNIDKTNVIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQFLQEKVQKYEGSYQGWSSEPTKLIPWRNSHWRVQSFIGHPQAIKNDSGRGPTFDENNITINPTMLTGTQRQGQSDPSRDVTCKAAEQLPELANKQEELTIEGGTISISSVYSQGLLSNLTQALQNAGVDLSQANISVKIDLGKRANRGLTSETSTKDPQSPPSSNQAMTHLRDGSCGEDPDHSQKRLKM >Manes.14G090700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7495896:7500319:-1 gene:Manes.14G090700.v8.1 transcript:Manes.14G090700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGNQNQDDEEYEDEDFRSRKDGLSANLTSNNSNSNVNINKDGKNIDKTNVIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQFLQEKVQKYEGSYQGWSSEPTKLIPWRNSHWRVQSFIGHPQAIKNDSGRGPTFDENNITINPTMLTGTQRQGQSDPSRDVTCKAAEQLPELANKVMPVPMSLQTTIPNTVQSDCLVAHPFGLPVSDAQSADFSITNDTMNQQEELTIEGGTISISSVYSQGLLSNLTQALQNAGVDLSQANISVKIDLGKRANRGLTSETSTKDPQSPPSSNQAMTHLRDGSCGEDPDHSQKRLKM >Manes.14G090700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7495896:7500319:-1 gene:Manes.14G090700.v8.1 transcript:Manes.14G090700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGNQNQDDEEYEDEDFRSRKDGLSANLTSNNSNSNVNINKDGKNIDKTNVIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQFLQEKVQKYEGSYQGWSSEPTKLIPWRNSHWRVQSFIGHPQAIKNDSGRGPTFDENNITINPTMLTGTQRQGQSDPSRDVTCKAAEQLPELANKEELTIEGGTISISSVYSQGLLSNLTQALQNAGVDLSQANISVKIDLGKRANRGLTSETSTKDPQSPPSSNQAMTHLRDGSCGEDPDHSQKRLKM >Manes.14G090700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7495896:7500319:-1 gene:Manes.14G090700.v8.1 transcript:Manes.14G090700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGNQNQDDEEYEDEDFRSRKDGLSANLTSNNSNSNVNINKDGKNIDKTNVIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQFLQEKVQKYEGSYQGWSSEPTKLIPWRNSHWRVQSFIGHPQAIKNDSGRGPTFDENNITINPTMLTGTQRQGQSDPSRDVTCKAAEQLPELANKVMPVPMSLQTTIPNTVQSDCLVAHPFGLPVSDAQSADFSITNDTMNQQEELTIEGGTISISSVYSQGLLSNLTQALQNAGVDLSQANISVKIDLGKRANRGLTSETSTKDPQSPPSSNQAMTHLRDGSCGEDPDHSQKRLKM >Manes.04G007500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:965125:966414:-1 gene:Manes.04G007500.v8.1 transcript:Manes.04G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANKNLWVVAATWFCWTVAFGLARVCPITSDDKHTLGFPTVSFTTAGATPDTYSQFVQALRKELISGAESYSIPLLRQESKVSNAQRFVLVKLSNAKASTTLAIDVVNVYLVAYQVEASSYFFNDTSAAAFSDLFKGTTKTRFKFSGGYPDLKNLGADRENVDLGAISLDNAIFSLNKYSSDPNKIAAPLLVVIQMVSEASRISHIERKIMTNFYQRFRPLGDVISLENKWSALSSAIQKSNGGVFQEPVQLQKSDYTFFDVTNVKQIRPYLALLLFDSKNSVSSLGQDIDVA >Manes.03G174500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29857796:29862782:1 gene:Manes.03G174500.v8.1 transcript:Manes.03G174500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSRSESRRLYSWWWDSHNTPKNSKWLQENLTGMDAKVKAMIKLIEEDADSFARRAEMYYKKRPQLLKLVEEFYRAYRALAERYDHATMDLRQAHQTMAKAFPNQVPCELADDSLLGSSGAEGELHMPKMPHPVHALVDSGDSHEDALGLSSANLHAMKSNSRNPEGSDSGISRSDLNDMFESKVLVSRVSGGKTKSCPNIQELVGDKTEVKNLKKTLAEIQTEKEGVLLQCQQKMQMLSILERELKEAGGLEEQASRAEIEVKILRETLVKLESERDVGLLQHNKCSQRISSLENTISQTHEDANGLNERAIKAEIEAKNLKQELSTLEVDKEADLLQYNQCLEMILILGNKISLAEANAKMLNEQTERTEAEVKALKEALARLNKEKEDAELRYEQCLERIDEMECEISHSQEDVQRLNSEIITGAAKLKDVEEQYFLLERSNQSLQMEADNLAQKIAKKDQRLLEKEDELKKLQTSLQNERSRFIQIEAALQTLQKLHSQSQEEQRVLAQELEKKLQLLKDLEISNNDLQEDLQRLKEENQSLDELKSSSGSSIMSLQNENFNLKEVKEKLEQDLSIQEAQNNSLQKEIQHLKEDIDYLNRKYHDLIEQLSLFGLDPKSLNSAVKDLQDENLKLKEAYEMDRNEKEALHEKLRDMNELLERNVGLERSLSELNSKFQGSMKRIEELQESCQFLQGEKSGLVAEKAILLSQLQTMTENMRRLLDRDAMLEDSLTRANAEVGGLRAKSKGLEELCQTLKDEKSNLQNERSTFMSQLENVEQRLGILKRRFTRLEEKHEAKELQSYLGKKRQGRACHIQSSESQLADLENQVLLQKEETKLSNKEYEDELDRVVNAQVEIFILQKFIQDLGEKNSSLLLECKKHVEASKFSNKLILELETKNLEQQVEVEFLLDETKKLKMGVQQIFRAIQFDPVNEHEDGIEEGQIPVLDILHHIEGLKDSLLRNEDEKQQLVIENLMLSTLIGELRLEGAEIKSKKKIFKQECDIMAEQYRMLQKDMHELVEMNMQLRMEASKREQQEEVLKDELEIQHASLESLQGSYLALQEENFKALEENRALLEKFSDLRKKMCILEEENSACLQEVLLQYDS >Manes.10G060000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8301467:8301677:-1 gene:Manes.10G060000.v8.1 transcript:Manes.10G060000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIKNATVIKVIGRTGSRGQVTQVRVEFMDHSKRQITRNVKGPVRVGDVLTLLESEREARRLR >Manes.07G126600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32927749:32929410:-1 gene:Manes.07G126600.v8.1 transcript:Manes.07G126600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVTLGANKYRETQPIGTAAQSQDDKDYTEPPPAPLFEPSELTSWSFYRAGIAEFIATFLFLYISVLTVMGVVKAPTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYMVMQCLGAICGAGVVKGFEGRQRYTILGGGANSVNSGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKGWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKK >Manes.02G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2402774:2407982:1 gene:Manes.02G030900.v8.1 transcript:Manes.02G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVGILAMDIYFPPSYVQQEALEAHDGASKGKYTIGLGQDSMAFCTEVEDVISMSLTVVTSLLEKYNVDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKEGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLAVCTDSAVYAEGPARPTGGAAAIAILIGPDAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKQFCAKYEKLEGKQFSISDAEYFVFHSPYNKLVQKSFARLVFNDFVRNASSIDEAAKEKLAPFSTLSGDESYQNRDLEKVSQQAAKPLYDAKVQPTTLVPKQVGNMYTASLYAAFASLLHNKHPELGGKRVILFSYGSGLTATMFSLRLHEGQHPFSLSNIAAVMNVAGKLKTRHEFTPEKFVDILKLMEHRYGAKDFVTSKDCSILAPGTYYLTEVDAMYRRFYAQKAVGNAVENGSLANGH >Manes.S038516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1076355:1076516:1 gene:Manes.S038516.v8.1 transcript:Manes.S038516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.15G097301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7610986:7611703:1 gene:Manes.15G097301.v8.1 transcript:Manes.15G097301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNSLTALSWINLVLTFFTMPQLDSQMFWRWVTLIFEVWTSNNQRIFDKRDDSVTSINTVNCSMKRAISHKILD >Manes.09G019200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4037074:4042591:-1 gene:Manes.09G019200.v8.1 transcript:Manes.09G019200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIETMEVHQNGWSNNNGNGLEEKLDELRCLLGKADGDPLRIVGVGAGAWGSVFAAMLQDSYGQFRQKFQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGVPSTETREVFEEISHYWKERITMPIIISLAKGIEAALEPVPHIITPTQMINRATGASMENVLYLGGPNIASEIYNKEYANARICGAEKWRKPLATFLRQSHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALANESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGDSISGKGMIQGVSAVKAFYELLSQPSLSVLHPDENKPVAPVELCPILKTLYKILISREDSSQAILQALRDETLNDPRERIEIAQSHAFYRPSLLGQP >Manes.09G019200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4037074:4042591:-1 gene:Manes.09G019200.v8.1 transcript:Manes.09G019200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIETMEVHQNGWSNNNGNGLEEKLDELRCLLGKADGDPLRIVGVGAGAWGSVFAAMLQDSYGQFRQKFQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGVPSTETREVFEEISHYWKERITMPIIISLAKGIEAALEPVPHIITPTQMINRATGASMENVLYLGGPNIASEIYNKEYANARICGAEKWRKPLATFLRQSHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALANESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGDSISGKGMIQGVSAVKAFYELLSQPSLSVLHPDENKPVAPVELCPILKTLYKILISREDSSQAILQALRDETLNDPRERIEIAQSHAFYRPSLLGQP >Manes.09G019200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4037074:4042591:-1 gene:Manes.09G019200.v8.1 transcript:Manes.09G019200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIETMEVHQNGWSNNNGNGLEEKLDELRCLLGKADGDPLRIVGVGAGAWGSVFAAMLQDSYGQFRQKFQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGVPSTETREVFEEISHYWKERITMPIIISLAKGIEAALEPVPHIITPTQMINRATGASMENVLYLGGPNIASEIYNKEYANARICGAEKWRKPLATFLRQSHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALANESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELNRDMGDSISGKGMIQGVSAVKAFYELLSQPSLSVLHPDENKPVAPVELCPILKTLYKILISREDSSQAILQALRDETLNDPRERIEIAQSHAFYRPSLLGQP >Manes.18G040100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3546129:3547478:-1 gene:Manes.18G040100.v8.1 transcript:Manes.18G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPKTVQHISECFIKPHRIPPEAMRPWYLTPWDLAMLSVQYIQKGLLFAKPTPHQHFNIVDMQEKLKHSLALTLVHFYPLSGRLATSKTENPASYVVFVDCSNSPGARFVYAALDMTISDILSPAYVPLVVQSFFDHDRSLNHDGHVQSLVTIQVTELIDGVFIGCSMNHAIGDGSSFWHFLNTLSEIFQAQGGDVPISRPPVLERWFPDGCDPIISLPFTHHDQFLCPFEAPLLKERIFHFSSESIAKLKEKANSECNTNKISSYQALSAFMWRCITRIRHLPHDQITSCRVACNNRSRLNPPLSSNYFGNCIDALRASTTAGELLEHNLGWSAWLVHEAVVNQGDQTIRERLDSWIKSPCVYKIGEMFDPHSVMMGSSPRFNKYGNEFGMGKALALRSGYAHKFSGKVSAYPGREGGGSVDLEVCLPPHSMTALESDEEFFNAIA >Manes.06G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3895044:3896262:-1 gene:Manes.06G021300.v8.1 transcript:Manes.06G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCRHSNGQWNAKQLSDGDLEASASSTYDLQRKLVQTALSADSSGGVQSSFSFVTPSSAVFQVIIGGGGGGAFIGGGAAAAAAPAGGAAAAADAPAAEEKKEEPAEESDEDMGFSLFD >Manes.06G007451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1224098:1224729:1 gene:Manes.06G007451.v8.1 transcript:Manes.06G007451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTECQPDVITLNIVINMFCKMGGIEEALKMLNDMMMVKFYAPDAIIFTSIIGGLLNVGRTQEALNLFDQVMPKNGISPGVVTYNAVLRGLFKLQLADEGIRVFNRMLADGVAANITTYSIIIDGLYDSNQIDKAKKFWDDVVWPSKVHDQFVYASILKGLCHLGNLNKVRREEGSLSNC >Manes.02G195200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15990672:15993166:-1 gene:Manes.02G195200.v8.1 transcript:Manes.02G195200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAEIERPRPIFRDIRRYFCDYCGICRSKKSLITSHIQTHHKEEMDKEEAHGEEKIEGVKSNTCQECGASFKKPAYLKQHMQSHSLMRPFVCSADDCHASYRRKDHLTRHLLTHEGKLFKCPIKNCNREFIFQGNVKRHVKELHSENSPPTNVGQKQYVCHEIGCGKVFKYPSKLQKHEESHVKLESVEAFCAEPGCMKHFSNAECLKAHIHSCHRYVTCEICGTEQLKKNLKRHLRTHEVGGQSMERIKCHFEDCCHTFSSKTNLNLHIKALHLEGRPFTCGFPGCDMRFAYKHVRDKHEKSGCHVYTLGDFEESDEQFRSRARGGRKRKCPTVEMLIRKRVTPPTDLDECHAWFQSMES >Manes.07G106500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31289043:31298891:1 gene:Manes.07G106500.v8.1 transcript:Manes.07G106500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEESWCPGFIQALHWESLLRQQISSDNLLPKASALLDDQVESVTKVAIALLVEQKLGWESEWAHYVSCLPQLGEMNSTIFWSRSELDMICQSFVYQETIKQKAQLEKDFLRIKPALEQFPHILRRITFKDFIHAYALVKSRAWGSTKGVSLIPFADFLNHDGVSEAIVLNDEDKHVSEVIADRNYAAHEEVLIRYGKFSNATLLLDFGFTLPYNIHDQVKVQIDIPHHDLLRETKLEILKKHYLPAIEDDNGFKTSWDSFIIKEVKSAVGKGKGVPQSLRAFARVLCCTSHQDLSDLVLEAVQNDGRLARRPFKSRSKEIQAHEILLSHLTRLIEEYNASVKSLGNAASPSICKRFALRRQMTLHLLAGELRILKSASAWLKNYCASLL >Manes.07G106500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31289043:31298891:1 gene:Manes.07G106500.v8.1 transcript:Manes.07G106500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAGTSQPRWSLGQLFFTFSHRFSARLNFSSISEVKVLNHLDDECGDFLPWLERKAGVQVSSKLYIGKVSYGRSLFASRDIQIGDCILRVPYSVQISSDNLLPKASALLDDQVESVTKVAIALLVEQKLGWESEWAHYVSCLPQLGEMNSTIFWSRSELDMICQSFVYQETIKQKAQLEKDFLRIKPALEQFPHILRRITFKDFIHAYALVKSRAWGSTKGVSLIPFADFLNHDGVSEAIVLNDEDKHVSEVIADRNYAAHEEVLIRYGKFSNATLLLDFGFTLPYNIHDQVKVQIDIPHHDLLRETKLEILKKHYLPAIEDDNGFKTSWDSFIIKEVKSAVGKGKGVPQSLRAFARVLCCTSHQDLSDLVLEAVQNDGRLARRPFKSRSKEIQAHEILLSHLTRLIEEYNASVKSLGNAASPSICKRFALRRQMTLHLLAAFQ >Manes.07G106500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31289043:31298891:1 gene:Manes.07G106500.v8.1 transcript:Manes.07G106500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAGTSQPRWSLGQLFFTFSHRFSARLNFSSISEVKVLNHLDDECGDFLPWLERKAGVQVSSKLYIGKVSYGRSLFASRDIQIGDCILRVPYSVQISSDNLLPKASALLDDQVESVTKVAIALLVEQKLGWESEWAHYVSCLPQLGEMNSTIFWSRSELDMICQSFVYQETIKQKAQLEKDFLRIKPALEQFPHILRRITFKDFIHAYALVKSRAWGSTKGVSLIPFADFLNHDGVSEAIVLNDEDKHVSEVIADRNYAAHEEVLIRYGKFSNATLLLDFGFTLPYNIHDQVKVQIDIPHHDLLRETKLEILKKHYLPAIEDDNGFKTSWDSFIINEVCCRKREGCATVTSCVCSGSMLHFSSRFK >Manes.07G106500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31289037:31298891:1 gene:Manes.07G106500.v8.1 transcript:Manes.07G106500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIFWSRSELDMICQSFVYQETIKQKAQLEKDFLRIKPALEQFPHILRRITFKDFIHAYALVKSRAWGSTKGVSLIPFADFLNHDGVSEAIVLNDEDKHVSEVIADRNYAAHEEVLIRYGKFSNATLLLDFGFTLPYNIHDQVKVQIDIPHHDLLRETKLEILKKHYLPAIEDDNGFKTSWDSFIIKEVKSAVGKGKGVPQSLRAFARVLCCTSHQDLSDLVLEAVQNDGRLARRPFKSRSKEIQAHEILLSHLTRLIEEYNASVKSLGNAASPSICKRFALRRQMTLHLLAGELRILKSASAWLKNYCASLL >Manes.07G106500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31289043:31298891:1 gene:Manes.07G106500.v8.1 transcript:Manes.07G106500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAGTSQPRWSLGQLFFTFSHRFSARLNFSSISEVKVLNHLDDECGDFLPWLERKAGVQVSSKLYIGKVSYGRSLFASRDIQIGDCILRVPYSVQISSDNLLPKASALLDDQVESVTKVAIALLVEQKLGWESEWAHYVSCLPQLGEMNSTIFWSRSELDMICQSFVYQETIKQKAQLEKDFLRIKPALEQFPHILRRITFKDFIHAYALVKSRAWGSTKGVSLIPFADFLNHDGVSEAIVLNDEDKHVSEVIADRNYAAHEEVLIRYGKFSNATLLLDFGFTLPYNIHDQVKVQIDIPHHDLLRETKLEILKKHYLPAIEDDNGFKTSWDSFIINEVCCRKREGCATVTSCVCSGSMLHFSSRFK >Manes.07G106500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31289043:31298891:1 gene:Manes.07G106500.v8.1 transcript:Manes.07G106500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRAGTSQPRWSLGQLFFTFSHRFSARLNFSSISEVKVLNHLDDECGDFLPWLERKAGVQVSSKLYIGKVSYGRSLFASRDIQIGDCILRVPYSVQISSDNLLPKASALLDDQVESVTKVAIALLVEQKLGWESEWAHYVSCLPQLGEMNSTIFWSRSELDMICQSFVYQETIKQKAQLEKDFLRIKPALEQFPHILRRITFKDFIHAYALVKSRAWGSTKGVSLIPFADFLNHDGVSEAIVLNDEDKHVSEVIADRNYAAHEEVLIRYGKFSNATLLLDFGFTLPYNIHDQVKVQIDIPHHDLLRETKLEILKKHYLPAIEDDNGFKTSWDSFIIKEVKSAVGKGKGVPQSLRAFARVLCCTSHQDLSDLVLEAVQNDGRLARRPFKSRSKEIQAHEILLSHLTRLIEEYNASVKSLGNAASPSICKRFALRRQMTLHLLAGELRILKSASAWLKNYCASLL >Manes.03G026600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2147143:2149067:1 gene:Manes.03G026600.v8.1 transcript:Manes.03G026600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLKIQSIVAMGSTSLKLERAGAGYLIGSARNHNRLGLAPASRGLDSLGLRSCSRLLFIGPGASANFGGKKMAPEPKRRKLEPPPRRRTGFSPAEKKPVDATSVAAPQTHGVDYRIQQAKNFAVAQAQQEGCTANFRIFDSPFGNFLVPVIPTRAELAEFFSLFFLFLVCGH >Manes.13G143600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35735334:35750214:1 gene:Manes.13G143600.v8.1 transcript:Manes.13G143600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVWQGTKKHIQAQEICVGNIVWLRENDEVPCDLVLIGTSDHQGVCYVETAALDGETDLKTRVIPSACMGIDSELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWVCGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQLVVVIVLGIAGNVWKDTEARKKWYVLYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDAEMIDHETGCSSHATNTAISEDLGQVEYILTDKTGTLTENKMVFRRCCINGIFYGNESGNALKDARLINAVASGSPDVIRFLTVMAICNTVIPVQSKIGAILYKAQSQDEDALVQAAAKLNMVFVNKSGNVLEIRFNASVFHYEVLETLEFTSDRKRMSVVVRDCQTRKIILLSKGADEAILPYAAAGRQTRTFSEAVEQYAQLGLRTLCLAWRELKEDEYNEWHLMFREASSTLVDREWRIAEVCQRLEHDLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEISRSLERVLLTMRITTSEPKDVAFVVDGWALEILLKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAFVVFIISINAYAYEKSEMEEVAMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLIAFYAINWIVSAIPSSGMYMIMFRLCTQPSYWITVFLVVAAGMGPILALKYFRYTYRPSKINTLQQAERLGGPILSLGNIEPQPRSLEKEVSPLSITQTKNRSSVYEPLLLDSPNTRRSFGSGTPFDFFQSPSRLSSSSYSRNCKDN >Manes.13G143600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35735334:35750214:1 gene:Manes.13G143600.v8.1 transcript:Manes.13G143600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVWQGTKKHIQAQEICVGNIVWLRENDEVPCDLVLIGTSDHQGVCYVETAALDGETDLKTRVIPSACMGIDSELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWVCGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQLVVVIVLGIAGNVWKDTEARKKWYVLYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDAEMIDHETGCSSHATNTAISEDLGQVEYILTDKTGTLTENKMVFRRCCINGIFYGNESGNALKDARLINAVASGSPDVIRFLTVMAICNTVIPVQSKIGAILYKAQSQDEDALVQAAAKLNMVFVNKSGNVLEIRFNASVFHYEVLETLEFTSDRKRMSVVVRDCQTRKIILLSKGADEAILPYAAAGRQTRTFSEAVEQYAQLGLRTLCLAWRELKEDEYNEWHLMFREASSTLVDREWRIAEVCQRLEHDLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEISRSLERVLLTMRITTSEPKLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAFVVFIISINAYAYEKSEMEEVAMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLIAFYAINWIVSAIPSSGMYMIMFRLCTQPSYWITVFLVVAAGMGPILALKYFRYTYRPSKINTLQQAERLGGPILSLGNIEPQPRSLEKEVSPLSITQTKNRSSVYEPLLLDSPNTRRSFGSGTPFDFFQSPSRLSSSSYSRNCKDN >Manes.13G143600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35735334:35750070:1 gene:Manes.13G143600.v8.1 transcript:Manes.13G143600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDDESPTRELFCDNRISNRKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVWQGTKKHIQAQEICVGNIVWLRENDEVPCDLVLIGTSDHQGVCYVETAALDGETDLKTRVIPSACMGIDSELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWVCGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQLVVVIVLGIAGNVWKDTEARKKWYVLYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDAEMIDHETGCSSHATNTAISEDLGQVEYILTDKTGTLTENKMVFRRCCINGIFYGNESGNALKDARLINAVASGSPDVIRFLTVMAICNTVIPVQSKIGAILYKAQSQDEDALVQAAAKLNMVFVNKSGNVLEIRFNASVFHYEVLETLEFTSDRKRMSVVVRDCQTRKIILLSKGADEAILPYAAAGRQTRTFSEAVEQYAQLGLRTLCLAWRELKEDEYNEWHLMFREASSTLVDREWRIAEVCQRLEHDLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEISRSLERVLLTMRITTSEPKDVAFVVDGWALEILLKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAFVVFIISINAYAYEKSEMEEVAMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLIAFYAINWIVSAIPSSGMYMIMFRLCTQPSYWITVFLVVAAGMGPILALKYFRYTYRPSKINTLQQAERLGGPILSLGNIEPQPRSLEKEVSPLSITQTKNRSSVYEPLLLDSPNTRRSFGSGTPFDFFQSPSRLSSSSYSRNCKDN >Manes.13G143600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35735084:35750214:1 gene:Manes.13G143600.v8.1 transcript:Manes.13G143600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDDESPTRELFCDNRISNRKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVWQGTKKHIQAQEICVGNIVWLRENDEVPCDLVLIGTSDHQGVCYVETAALDGETDLKTRVIPSACMGIDSELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWVCGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQLVVVIVLGIAGNVWKDTEARKKWYVLYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDAEMIDHETGCSSHATNTAISEDLGQVEYILTDKTGTLTENKMVFRRCCINGIFYGNESGNALKDARLINAVASGSPDVIRFLTVMAICNTVIPVQSKIGAILYKAQSQDEDALVQAAAKLNMVFVNKSGNVLEIRFNASVFHYEVLETLEFTSDRKRMSVVVRDCQTRKIILLSKGADEAILPYAAAGRQTRTFSEAVEQYAQLGLRTLCLAWRELKEDEYNEWHLMFREASSTLVDREWRIAEVCQRLEHDLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEISRSLERVLLTMRITTSEPKLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAFVVFIISINAYAYEKSEMEEVAMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLIAFYAINWIVSAIPSSGMYMIMFRLCTQPSYWITVFLVVAAGMGPILALKYFRYTYRPSKINTLQQAERLGGPILSLGNIEPQPRSLEKEVSPLSITQTKNRSSVYEPLLLDSPNTRRSFGSGTPFDFFQSPSRLSSSSYSRNCKDN >Manes.13G143600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35735084:35750214:1 gene:Manes.13G143600.v8.1 transcript:Manes.13G143600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVWQGTKKHIQAQEICVGNIVWLRENDEVPCDLVLIGTSDHQGVCYVETAALDGETDLKTRVIPSACMGIDSELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWVCGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQLVVVIVLGIAGNVWKDTEARKKWYVLYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDAEMIDHETGCSSHATNTAISEDLGQVEYILTDKTGTLTENKMVFRRCCINGIFYGNESGNALKDARLINAVASGSPDVIRFLTVMAICNTVIPVQSKIGAILYKAQSQDEDALVQAAAKLNMVFVNKSGNVLEIRFNASVFHYEVLETLEFTSDRKRMSVVVRDCQTRKIILLSKGADEAILPYAAAGRQTRTFSEAVEQYAQLGLRTLCLAWRELKEDEYNEWHLMFREASSTLVDREWRIAEVCQRLEHDLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEISRSLERVLLTMRITTSEPKDVAFVVDGWALEILLKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAFVVFIISINAYAYEKSEMEEVAMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLIAFYAINWIVSAIPSSGMYMIMFRLCTQPSYWITVFLVVAAGMGPILALKYFRYTYRPSKINTLQQAERLGGPILSLGNIEPQPRSLEKEVSPLSITQTKNRSSVYEPLLLDSPNTRRSFGSGTPFDFFQSPSRLSSSSYSRNCKDN >Manes.13G143600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35735084:35750214:1 gene:Manes.13G143600.v8.1 transcript:Manes.13G143600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVWQGTKKHIQAQEICVGNIVWLRENDEVPCDLVLIGTSDHQGVCYVETAALDGETDLKTRVIPSACMGIDSELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWVCGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQLVVVIVLGIAGNVWKDTEARKKWYVLYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDAEMIDHETGCSSHATNTAISEDLGQVEYILTDKTGTLTENKMVFRRCCINGIFYGNESGNALKDARLINAVASGSPDVIRFLTVMAICNTVIPVQSKIGAILYKAQSQDEDALVQAAAKLNMVFVNKSGNVLEIRFNASVFHYEVLETLEFTSDRKRMSVVVRDCQTRKIILLSKGADEAILPYAAAGRQTRTFSEAVEQYAQLGLRTLCLAWRELKEDEYNEWHLMFREASSTLVDREWRIAEVCQRLEHDLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEISRSLERVLLTMRITTSEPKLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAFVVFIISINAYAYEKSEMEEVAMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLIAFYAINWIVSAIPSSGMYMIMFRLCTQPSYWITVFLVVAAGMGPILALKYFRYTYRPSKINTLQQAERLGGPILSLGNIEPQPRSLEKEVSPLSITQTKNRSSVYEPLLLDSPNTRRSFGSGTPFDFFQSPSRLSSSSYSRNCKDN >Manes.13G143600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35735068:35750331:1 gene:Manes.13G143600.v8.1 transcript:Manes.13G143600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYINDDESPTRELFCDNRISNRKYTLLNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVWQGTKKHIQAQEICVGNIVWLRENDEVPCDLVLIGTSDHQGVCYVETAALDGETDLKTRVIPSACMGIDSELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWVCGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQLVVVIVLGIAGNVWKDTEARKKWYVLYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDAEMIDHETGCSSHATNTAISEDLGQVEYILTDKTGTLTENKMVFRRCCINGIFYGNESGNALKDARLINAVASGSPDVIRFLTVMAICNTVIPVQSKIGAILYKAQSQDEDALVQAAAKLNMVFVNKSGNVLEIRFNASVFHYEVLETLEFTSDRKRMSVVVRDCQTRKIILLSKGADEAILPYAAAGRQTRTFSEAVEQYAQLGLRTLCLAWRELKEDEYNEWHLMFREASSTLVDREWRIAEVCQRLEHDLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEISRSLERVLLTMRITTSEPKDVAFVVDGWALEILLKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAFVVFIISINAYAYEKSEMEEVAMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLIAFYAINWIVSAIPSSGMYMIMFRLCTQPSYWITVFLVVAAGMGPILALKYFRYTYRPSKINTLQQAERLGGPILSLGNIEPQPRSLEKEVSPLSITQTKNRSSVYEPLLLDSPNTRRSFGSGTPFDFFQSPSRLSSSSYSRNCKDN >Manes.05G088700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8093486:8093716:-1 gene:Manes.05G088700.v8.1 transcript:Manes.05G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINGCRDFAKVKWRRQRRRSSVRCSVRMKMKKLQRLIPGGQGLQPDRLFLKTADYILHLRLQVHVLQALSKIYTP >Manes.15G167200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14194405:14199240:-1 gene:Manes.15G167200.v8.1 transcript:Manes.15G167200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQLLLYPFNSNIVVRTACCSVGTVLPVYATFKAIENKDQVEQQKWLLYWAAYGTFSVAEAFADKILSWFPLYYHVKFAFLVWLQLPSVNGARQLYMSHLRPFLLRHQARLDQIVEYLHREMYKFVSTHQAEFKFAKALFLKVMASVHHMVQDLVHPGQREASGAFRGPARRIQDSQSDDEGQGILPN >Manes.15G167200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14194425:14199044:-1 gene:Manes.15G167200.v8.1 transcript:Manes.15G167200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQLLLYPFNSNIVVRTACCSVGTVLPVYATFKAIENKDQVEQQKWLLYWAAYGTFSVAEAFADKILSWFPLYYHVKFAFLVWLQLPSVNGARQLYMSHLRPFLLRHQARLDQIVEYLHREMYKFVSTHQAEFKFAKALFLKVMASVHHMVQDLVHPGQREASGAFRGPARRIQDSQSDDEGLK >Manes.18G142700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24522663:24523790:-1 gene:Manes.18G142700.v8.1 transcript:Manes.18G142700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATSFSTPNPNFIEDNSGSDSDPTPDAAPQYYQAISAIDVDDDEDTSDHGNSDEEYHSYSGGEAENGISSLHINGEMEQKSISSGSDEEEAEERVREESDSAILRAFREDENRRNAPLTAENAMRVREAMRGISFGGSTPDWVGRVPEDEWINQLRRLRQPPSSSTSVQN >Manes.S007521.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:50346:50771:1 gene:Manes.S007521.v8.1 transcript:Manes.S007521.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.03G199500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31605708:31608498:1 gene:Manes.03G199500.v8.1 transcript:Manes.03G199500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGEKAPQAPAPQQTEGAKKSKK >Manes.S046616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1458964:1459125:-1 gene:Manes.S046616.v8.1 transcript:Manes.S046616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.01G202400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37528246:37529925:-1 gene:Manes.01G202400.v8.1 transcript:Manes.01G202400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVQKSALILCGDYMEDYEVIVPFFMLQAFGVRVDCASPGKRSGDKCFTAIHDYLGFEHYTELPGHFFPLNANYEDLNIELSYDILIIPGGRFTELLSSHDEVVDMVKRFGETGKTIVTSCHSQLMLAAAGLLQGKKCTAFTSMKPIIELAGGTWWEQPDVESVLDITACLKDDNIVSAIGWPAHGEIMRVILESIGAKFSTSHMKSVLVVVADYVEDYEANVPFRALQALGCKVDAVCPSKKKGESCVTAIHDDEGGQIWGEKRGHNFVVNANWSDISVDNYDCLLLPGGRGPELLVMNEKVVSLVKEFADKSKIIAAIGQGKWILAAAGVLKGKKCASSHGLKAIVKVAGGEVVHSEGSLAHETLVTASGWPALAGFLAELTRALGLSVVF >Manes.13G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4103971:4106483:1 gene:Manes.13G032400.v8.1 transcript:Manes.13G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIISSAANSVPPDEDDGPIYNKAEQVKAFDETKAGVKGLVDSGVTKIPTFFVHPPENMQRSSSSNTSYSSSSSGGSSLLQVPVIDLEGIESYGKRVKVINEIRRASETWGFFQMINHGVAVNVMDEMLGGVKRFHEQPHEMKMEWYSRDSKRRVRYFCNGDLLVNKSPANWRDTLAFDFQDGQLDPSFYPHTCREAVREYMKNMIKMSKTISELLSEALGLQRDYLSGLECMETESLVCHYYPICPQPHLTLGTTNHTDPSFLTILLQDNVGGLQVLHQNQWLDVPPLEGALVVNIGDFMQLITNDKFRSVEHRVLVGGRRPRASVACFFYPSTANNFKPYGAVKELLSGNPPLYRETHFSEYIAFFRSKGLDGEGENKQESHLKKCHAKISNYGLFYTGATPQSPRADWSLSLV >Manes.13G032400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4103971:4106185:1 gene:Manes.13G032400.v8.1 transcript:Manes.13G032400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIISSAANSVPPDEDDGPIYNKAEQVKAFDETKAGVKGLVDSGVTKIPTFFVHPPENMQRSSSSNTSYSSSSSGGSSLLQVPVIDLEGIESYGKRVKVINEIRRASETWGFFQMINHGVAVNVMDEMLGGVKRFHEQPHEMKMEWYSRDSKRRVRYFCNGDLLVNKSPANWRDTLAFDFQDGQLDPSFYPHTCREAVREYMKNMIKMSKTISELLSEALGLQRDYLSGLECMETESLVCHYYPICPQPHLTLGTTNHTDPSFLTILLQDNVGGLQVLHQNQWLDVPPLEGALVVNIGDFMQLITNDKFRSVEHRVLVGGRRPRASVACFFYPSTANNFKPYGAVKELLSGNPPLYRETHFSEYIAFFRSKGLDVS >Manes.13G032400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4103971:4109769:1 gene:Manes.13G032400.v8.1 transcript:Manes.13G032400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIISSAANSVPPDEDDGPIYNKAEQVKAFDETKAGVKGLVDSGVTKIPTFFVHPPENMQRSSSSNTSYSSSSSGGSSLLQVPVIDLEGIESYGKRVKVINEIRRASETWGFFQMINHGVAVNVMDEMLGGVKRFHEQPHEMKMEWYSRDSKRRVRYFCNGDLLVNKSPANWRDTLAFDFQDGQLDPSFYPHTCREAVREYMKNMIKMSKTISELLSEALGLQRDYLSGLECMETESLVCHYYPICPQPHLTLGTTNHTDPSFLTILLQDNVGGLQVLHQNQWLDVPPLEGALVVNIGDFMQLITNDKFRSVEHRVLVGGRRPRASVACFFYPSTANNFKPYGAVKELLSGNPPLYRETHFSEYIAFFRSKGLDGKSGWKVEDTQFHHNISHFHDSFYKQKINTLVAHMGT >Manes.13G032400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4103971:4106185:1 gene:Manes.13G032400.v8.1 transcript:Manes.13G032400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIISSAANSVPPDEDDGPIYNKAEQVKAFDETKAGVKGLVDSGVTKIPTFFVHPPENMQRSSSSNTSYSSSSSGGSSLLQVPVIDLEGIESYGKRVKVINEIRRASETWGFFQMINHGVAVNVMDEMLGGVKRFHEQPHEMKMEWYSRDSKRRVRYFCNGDLLVNKSPANWRDTLAFDFQDGQLDPSFYPHTCREAVREYMKNMIKMSKTISELLSEALGLQRDYLSGLECMETESLVCHYYPICPQPHLTLGTTNHTDPSFLTILLQDNVGGLQVLHQNQWLDVPPLEGALVVNIGDFMQLITNDKFRSVEHRVLVGGRRPRASVACFFYPSTANNFKPYGAVKELLSGNPPLYRETHFSEYIAFFRSKGLDGNSNLSHFKLP >Manes.10G090800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23511694:23514120:-1 gene:Manes.10G090800.v8.1 transcript:Manes.10G090800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEESSFIDQWPMNSIDDPSLPSLVAAFGENMHHSFNLKNSMEAASPNTLAIVRPSKQLKPNGFNSSKTTDYNLQNPEAAFSPNVLYFANSTNPYQMGFVKPKEEAVCSRNFDAFTSDMLVSPENQNFMFKACQGAQRFSSNNSSRHSQTQDHTIAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLQERVKTLEEETKEKIIESVVIVKKSHLLFCEDESSFSDESLSKVFVNKPLPEIEARICDKQVLIRIHCEKKKGVLKKTVAEIEKLHLTVVNSSVLTFGSFALDVTIIAQSGKNENLNWEQKVRADFSSE >Manes.10G090800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23511694:23514120:-1 gene:Manes.10G090800.v8.1 transcript:Manes.10G090800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEESSFIDQWPMNSIDDPSLPSLVAAFGENMHHSFNLKNSMEAASPNTLAIVRPSKQLKPNGFNSSKTTDYNLQNPEAAFSPNVLYFANSTNPYQMGFVKPKEEAVCSRNFDAFTSDMLVSPENQNFMFKACQGAQRFSSNNSSRHSQTQDHTIAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLQERVKTLEEETKEKIIESVVIVKKSHLLFCEDESSFSDESLSKVFVNKPLPEIEARICDKQVLIRIHCEKKKGVLKKTVAEIEKLHLTVVNSSVLTFGSFALDVTIIAQMEDEFGMSVKDLVKNLHSSFKLFM >Manes.10G090800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23511694:23514120:-1 gene:Manes.10G090800.v8.1 transcript:Manes.10G090800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEESSFIDQWPMNSIDDPSLPSLVAAFGENMHHSFNLKNSMEAASPNTLAIVRPSKQLKPNGFNSSKTTDYNLQNPEAAFSPNVLYFANSTNPYQMGFVKPKEEAVCSRNFDAFTSDMLVSPENQNFMFKACQGAQRFSSNNSSRHSQTQDHTIAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLQERVKTLEEETKEKIIESVVIVKKSHLLFCEDESSFSDESLSKVFVNKPLPEIEARICDKQVLIRIHCEKKKGVLKKTVAEIEKLHLTVVNSSVLTFGSFALDVTIIAQSGKNENLNWEQKMEDEFGMSVKDLVKNLHSSFKLFM >Manes.10G090800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23512308:23514121:-1 gene:Manes.10G090800.v8.1 transcript:Manes.10G090800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEESSFIDQWPMNSIDDPSLPSLVAAFGENMHHSFNLKNSMEAASPNTLAIVRPSKQLKPNGFNSSKTTDYNLQNPEAAFSPNVLYFANSTNPYQMGFVKPKEEAVCSRNFDAFTSDMLVSPENQNFMFKACQGAQRFSSNNSSRHSQTQDHTIAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLQERVKTLEEETKEKIIESVVIVKKSHLLFCEDESSFSDESLSKVFVNKPLPEIEARICDKQVLIRIHCEKKKGVLKKTVAEIEKLHLTVVNSSVLTFGSFALDVTIIAQSGKNENLNWEQKSLVCVP >Manes.18G022900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2224771:2225152:1 gene:Manes.18G022900.v8.1 transcript:Manes.18G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERTFVVIFFFWAVLTIITPTLILLSESSKPDLYSNVDKSGMLNTRRMTGYAEKKLRTKLIPSPPLEAPAPAPGQELVWGTRWSNLTRIFMKK >Manes.16G046469.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9294467:9295321:-1 gene:Manes.16G046469.v8.1 transcript:Manes.16G046469.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETNPIVLTLRNNQIPPIIINQDSSAFRTKVKKPPPEDPRYAIWVTENYKIKSWLIDSMDPLLMQWFIHLSTAKKIWEVVAKTFYDESDETCLFELNQKSFSTTQNGRPLSTYYNKLVAIFQEIDHRMNSQEETVEGVVQLHSAMARLQVHIFLNGLDLKFDHIRGEILWKDPKLNLESTYAYVRREYKQRQMIGGSRPIPKSSTMVAKRIRQGPSSGSTKTQSAKSNNLVCSYYGETGHSKQRFYENHRLS >Manes.01G197600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37143118:37147861:-1 gene:Manes.01G197600.v8.1 transcript:Manes.01G197600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSAWNKRRRSKSQDHTDPWVYKPVELWQLEDQTPHTTKRHHGSSVFTLKEMEEATCSFSDEQMLGKGGFGRVYRGTLRSGEVVAIKKMELPPFKEAEGEREFRVEVDILSRLNHPNLVSLIGYCADGKHRFLVYEYLQNGNLQDHLNGIGDAKMDWPLRLKVALGAARGLAYLHSSSAVGIPIVHRDFKSTNVLLNANFDAKISDFGLAKLMPEGQETYVTAMVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLSQGPTDQNLVLQVRHILNDRKKLRKVIDPELSRSLYTMESIATFANLASRCVRVESSERPSMIECVKELQMIIYTNSKALGTALQTFKMVK >Manes.01G197600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37143118:37147861:-1 gene:Manes.01G197600.v8.1 transcript:Manes.01G197600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPFKEAEGEREFRVEVDILSRLNHPNLVSLIGYCADGKHRFLVYEYLQNGNLQDHLNGIGDAKMDWPLRLKVALGAARGLAYLHSSSAVGIPIVHRDFKSTNVLLNANFDAKISDFGLAKLMPEGQETYVTAMVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLSQGPTDQNLVLQVRHILNDRKKLRKVIDPELSRSLYTMESIATFANLASRCVRVESSERPSMIECVKELQMIIYTNSKALGTALQTFKMVK >Manes.01G197600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37143118:37147861:-1 gene:Manes.01G197600.v8.1 transcript:Manes.01G197600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATCSFSDEQMLGKGGFGRVYRGTLRSGEVVAIKKMELPPFKEAEGEREFRVEVDILSRLNHPNLVSLIGYCADGKHRFLVYEYLQNGNLQDHLNGIGDAKMDWPLRLKVALGAARGLAYLHSSSAVGIPIVHRDFKSTNVLLNANFDAKISDFGLAKLMPEGQETYVTAMVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLSQGPTDQNLVLQVRHILNDRKKLRKVIDPELSRSLYTMESIATFANLASRCVRVESSERPSMIECVKELQMIIYTNSKALGTALQTFKMVK >Manes.09G099200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29764979:29766182:-1 gene:Manes.09G099200.v8.1 transcript:Manes.09G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGNQDRDDMPMPPGPMSDGNMNMSMSSMDMVMHMSFYWGKHAIVLFSGWPKDSLGMYMLALAFVFLLAVAVEVLSVSPKPKQGSNAMLGACFEAAVYAARMGLAYMVMLAVMSFNLGIFIAAVAGHAIGLFFVKVRALAAANRGDTSSNSLFPKV >Manes.09G126350.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32919207:32923728:-1 gene:Manes.09G126350.v8.1 transcript:Manes.09G126350.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRWLSAILVGAGCLALGYCIGSHRPAFIFLRTKVAKDDTLFGNGNKKNKSKEPLEIEKLADILDDFKMILVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIESEEDMLVLQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDDVTGGLKLL >Manes.09G126350.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32919206:32923728:-1 gene:Manes.09G126350.v8.1 transcript:Manes.09G126350.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRWLSAILVGAGCLALGYCIGSHRPAFIFLRTKVAKDDTLFGNGNKKNKSKEPLEIEKLADILDDFKMILVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIESEEDMLVLQERAKSLKLPTHITIDAGRTQIAPSES >Manes.09G126350.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32919206:32923728:-1 gene:Manes.09G126350.v8.1 transcript:Manes.09G126350.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIESEEDMLVLQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDDVTGGLKLL >Manes.09G126350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32919207:32923728:-1 gene:Manes.09G126350.v8.1 transcript:Manes.09G126350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRWLSAILVGAGCLALGYCIGSHRPAFIFLRTKVAKDDTLFGNGNKKNKSKEPLEIEKLADILDDFKMILVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALNRWEMCAQPKVVVKIESEEDMLVLQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDDVTGGLKLL >Manes.01G020100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4750464:4759427:1 gene:Manes.01G020100.v8.1 transcript:Manes.01G020100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKFIHDLSVDSDSSSEDYSSAEEDSDGLCPASPLSQSSRVSRANSFRKNSGYWAGYTTLLLSWILFPVRFLLGIPLFLCRLFYIRGSSTSLRGSHMPSRLHSIRRGHNPKDHVIHHTTDRRRGVIEDLHLAIEIFIEAIFDFFHKAAHFLLSPSEVLRVLYRWFSGQRSGHEDTNGVPDTSVPTATLGEDDPTPTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKALYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSREHVDKNISSRKYWRYSINEHGMEDIPAMIEKIHQVKTAELKISQPELEGEMSDDQPYKLCAISHSLGGAAMLMYVITRRIEEKPHRLARLILLSPAGFHHDSTLAFTAMEYLLLLLAPILGPIIPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVAHHLAQMKHAKKFIMYDYGSASANMEAYGSPEPLDLGEYYGLIDIPVDLVAGRKDNVIRHSMVKVHYRLMKDAGVDVSYKEYGYAHLDFTFSHHEELLAYVMSRLLLVEPSQKQAFNQKGSRSKRKGEVNHVIRRTMLK >Manes.01G020100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4750464:4759646:1 gene:Manes.01G020100.v8.1 transcript:Manes.01G020100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVDTVLAVTKESVKTFTYESLHNIVRLINGVSALLLTLLPGKANILEGLHGWELRPTVRGPRFPRWMENGVSSFNKFIHDLSVDSDSSSEDYSSAEEDSDGLCPASPLSQSSRVSRANSFRKNSGGSSTSLRGSHMPSRLHSIRRGHNPKDHVIHHTTDRRRGVIEDLHLAIEIFIEAIFDFFHKAAHFLLSPSEVLRVLYRWFSGQRSGHEDTNGVPDTSVPTATLGEDDPTPTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKALYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSREHVDKNISSRKYWRYSINEHGMEDIPAMIEKIHQVKTAELKISQPELEGEMSDDQPYKLCAISHSLGGAAMLMYVITRRIEEKPHRLARLILLSPAGFHHDSTLAFTAMEYLLLLLAPILGPIIPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVAHHLAQMKHAKKFIMYDYGSASANMEAYGSPEPLDLGEYYGLIDIPVDLVAGRKDNVIRHSMVKVHYRLMKDAGVDVSYKEYGYAHLDFTFSHHEELLAYVMSRLLLVEPSQKQAFNQKGSRSKRKGEVNHVIRRTMLK >Manes.01G020100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4750464:4759646:1 gene:Manes.01G020100.v8.1 transcript:Manes.01G020100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVSSFNKFIHDLSVDSDSSSEDYSSAEEDSDGLCPASPLSQSSRVSRANSFRKNSGGSSTSLRGSHMPSRLHSIRRGHNPKDHVIHHTTDRRRGVIEDLHLAIEIFIEAIFDFFHKAAHFLLSPSEVLRVLYRWFSGQRSGHEDTNGVPDTSVPTATLGEDDPTPTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKALYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSREHVDKNISSRKYWRYSINEHGMEDIPAMIEKIHQVKTAELKISQPELEGEMSDDQPYKLCAISHSLGGAAMLMYVITRRIEEKPHRLARLILLSPAGFHHDSTLAFTAMEYLLLLLAPILGPIIPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVAHHLAQMKHAKKFIMYDYGSASANMEAYGSPEPLDLGEYYGLIDIPVDLVAGRKDNVIRHSMVKVHYRLMKDAGVDVSYKEYGYAHLDFTFSHHEELLAYVMSRLLLVEPSQKQAFNQKGSRSKRKGEVNHVIRRTMLK >Manes.01G020100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4750464:4759427:1 gene:Manes.01G020100.v8.1 transcript:Manes.01G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFVDTVLAVTKESVKTFTYESLHNIVRLINGVSALLLTLLPGKANILEGLHGWELRPTVRGPRFPRWMENGVSSFNKFIHDLSVDSDSSSEDYSSAEEDSDGLCPASPLSQSSRVSRANSFRKNSGYWAGYTTLLLSWILFPVRFLLGIPLFLCRLFYIRGSSTSLRGSHMPSRLHSIRRGHNPKDHVIHHTTDRRRGVIEDLHLAIEIFIEAIFDFFHKAAHFLLSPSEVLRVLYRWFSGQRSGHEDTNGVPDTSVPTATLGEDDPTPTERKTTFHQSLNTDARTCQDVITELGYPYEAIRVITSDGYVLLLERIPRRDSRKALYLQHGILDSSMGWVSNGIVGSPAFAAYDQGFDVFLGNFRGLVSREHVDKNISSRKYWRYSINEHGMEDIPAMIEKIHQVKTAELKISQPELEGEMSDDQPYKLCAISHSLGGAAMLMYVITRRIEEKPHRLARLILLSPAGFHHDSTLAFTAMEYLLLLLAPILGPIIPGLYIPTRFFRMLVNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGLSFYVAHHLAQMKHAKKFIMYDYGSASANMEAYGSPEPLDLGEYYGLIDIPVDLVAGRKDNVIRHSMVKVHYRLMKDAGVDVSYKEYGYAHLDFTFSHHEELLAYVMSRLLLVEPSQKQAFNQKGSRSKRKGEVNHVIRRTMLK >Manes.04G119300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:32073445:32076734:1 gene:Manes.04G119300.v8.1 transcript:Manes.04G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSARTPQIPLQADLQNLGSHKVQNRNFNETLTFFSKPQKSHLFSALKPLQSSLSTVTSITSHNPSSSRLFQLCLDGNLEEALKHLNSMQELKISVEEESFIALVRLCENKRGYKEGDYVYKAVLNSLVAPLGVRLGNALLSMFVRFGDLGNAWNVFGRMSERDLFSWNVLVGGYAKAGFFDEALCLYHRMLWVCIKPDIYTFPCVLRSCGGAHDFVRGREIHCHVIRFGFETDVSAVNALITMYVKCSHVNSARLLFDKMPQRDIISWNAMISGYFENGECTEGLNLFFRMLELSIDPDLMTMTSVLSACELLGEDKLGREIHGYVMKTEYGNDVSVCASLIQMYSSFGYWKEAERVFSGMESRDVVSWTAMISGYEDNFLHVKALETYKTMELEGIMPDEITIACVLSACACLGQLDTGVKLHEIADRTGLISYVIVANSLIDMYSKCKCIDKALEVFHSIPEKNVISWTAIIVGLRINNRSFEALTFFQQMKIKLKPNSVTLISVLSACARIGALMYGKEIHAYALKTGVASEGFLPNAILDMYVRCGRMGPALNQFKLHKKDVGAWNILLNGYAQRGQGATAVEYFNKMMKSKINPDDITFISLLCACSRSGLVKEGLDYFNNMKLHGVDPNLKHYACVVDLLGRAGQLKEAHEFIEKMPIKPDHAVWGALLNACRIHQKVQLGELAAQHIFKEDTKSIGYYILLCNLYADSGKWDEVAKVRRIMKEEGLIVDPGCSWVEVKGKVHAFLTDDNFHPQIKDINEILEGFYKKMEAAGFNGHSSADELETSREDIFCGHSERLAIAFGLINTAPGTPILVTKNLRMCQSCHRSIEFISKIVRREISVRDTEQFHHFRDGKCPCDGKGC >Manes.13G092290.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27618387:27619057:1 gene:Manes.13G092290.v8.1 transcript:Manes.13G092290.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYQQLKDKIERLIRDDTLCKFPRKNREKRRAEPEEPWTKQEVRFRPVDKAIRFFHNDPLVVNIHLNKYEVRRVLVDIGSSIDLLTLNIFNKLDLDKNNPVKVSYPLVGLGDRTVTVLGTINLPHVLGDEKQMRKLYAKFMVVDIPLTYNVILGRLVLNCHGIVINMHAMCLKLPAPRGLAVF >Manes.10G124100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28866593:28867177:1 gene:Manes.10G124100.v8.1 transcript:Manes.10G124100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLVLFSKMLTRTDIESCLCISTSSLSQLPFDEGQQVNMHVHDEGGREWIFPCTIKEDENVGRFLSVGWLDFVRFKDLRAGDQVIIHKEVTKREVPATLMKIGVQRKIRLFGVDIWAAV >Manes.13G117700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32434511:32444598:1 gene:Manes.13G117700.v8.1 transcript:Manes.13G117700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHHHLYLFFPLPDADYLPPSEKKKQHLLPISMEKLQPWQTLFNLFTILTIQFCCLSLPSSGYTPPDKYFINCGSESPVSPENGRSFVGDLSYCKVDSSKVVKDSSQSENTSLYQTARVFDKSSLCHFDIDERGTYIVRLHFFAFSSGDANLSSALFNVHASGFILLSNFSVSLSNSPIIKEFLLTIDSGNFVIDFIPSRKSSLAFINAIEVFLAPESFIPDDATQITAAESKGNFSGLLSQGLHTIHRINVGGSKLTADNDSLWRNWIPDDNFLTYPETAKNSSFFQGPLVVGLQNTEFIASSFVYKTAKELKQTNFSNITWGFNVRKNSQHLVRVHFCDIISTQLGAVQFTFHIYSNFSKNINSYYITGLNASPFYFDFVVKSDNSGFINVSVHQRNDSELRNAFLNGLEIMEMLEKSDKPRKKTQPIVVGSIVAVIFVVVLIVSFLFMLKFKREKSYKTSGRPFSMPIYGGSSQNWLAERTAKMHIASDLKLALKIPYVEIQRATKNFSSKLLIGEGGFGKVYKGTLRDGVKVAVKRSEPGHGQGVLEFQTEIMVLSQIRHRHLVSLIGYCDEWSEMILVYEFMEKGTLRDHLYTSDTDSEKSTSRSELSWEQRLKICIGSAKGLHYLHTGLASRIIHRDVKSTNILLNEDYTAKVADFGLSKSGPVDPDENTGVKGSFGYLDPEYFMTQQLTEKSDVYSFGVVLLEVLCARPAILTTDRREEVNLAEWGMLWQKKGELEKIIDPALVGAINSSSLRIFGETAEKCLRPNSPERPMMHDVLWDLEFALKLQETSMHGDIDDESMNSTSLELAFHAVHHLPSHRAPAEEGDSFPGGDDSRIAMASGVFSQLKINAGR >Manes.13G117700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32434656:32444581:1 gene:Manes.13G117700.v8.1 transcript:Manes.13G117700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHHHLYLFFPLPDADYLPPSEKKKQHLLPISMEKLQPWQTLFNLFTILTIQFCCLSLPSSGYTPPDKYFINCGSESPVSPENGRSFVGDLSYCKVDSSKVVKDSSQSENTSLYQTARVFDKSSLCHFDIDERGTYIVRLHFFAFSSGDANLSSALFNVHASGFILLSNFSVSLSNSPIIKEFLLTIDSGNFVIDFIPSRKSSLAFINAIEVFLAPESFIPDDATQITAAESKGNFSGLLSQGLHTIHRINVGGSKLTADNDSLWRNWIPDDNFLTYPETAKNSSFFQGPLVVGLQNTEFIASSFVYKTAKELKQTNFSNITWGFNVRKNSQHLVRVHFCDIISTQLGAVQFTFHIYSNFSKNINSYYITGLNASPFYFDFVVKSDNSGFINVSVHQRNDSELRNAFLNGLEIMEMLEKSDKPRKKTQPIVVGSIVAVIFVVVLIVSFLFMLKFKREKSYKTSGRPFSMPIYGGSSQNWLAERTAKMHIASDLKLALKIPYVEIQRATKNFSSKLLIGEGGFGKVYKGTLRDGVKVAVKRSEPGHGQGVLEFQTEIMVLSQIRHRHLVSLIGYCDEWSEMILVYEFMEKGTLRDHLYTSDTDSEKSTSRSELSWEQRLKICIGSAKGLHYLHTGLASRIIHRDVKSTNILLNEDYTAKVADFGLSKSGPVDPDENTGVKGSFGYLDPEYFMTQQLTEKSDVYSFGVVLLEVLCARPAILTTDRREEVNLAEWGMLWQKKGELEKIIDPALVGAINSSSLRIFGETAEKCLRPNSPERPMMHDVLWDLEFALKLQETSMHGDIDDESMNSTSLELAFHAVHHLPSHRAPAEEGDSFPGGDDSRIAMASGVFSQLKINAGR >Manes.13G117700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32434511:32444598:1 gene:Manes.13G117700.v8.1 transcript:Manes.13G117700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHHHLYLFFPLPDADYLPPSEKKKQHLLPISMEKLQPWQTLFNLFTILTIQFCCLSLPSSGYTPPDKYFINCGSESPVSPENGRSFVGDLSYCKVDSSKVVKDSSQSENTSLYQTARVFDKSSLCHFDIDERGTYIVRLHFFAFSSGDANLSSALFNVHASGFILLSNFSVSLSNSPIIKEFLLTIDSGNFVIDFIPSRKSSLAFINAIEVFLAPESFIPDDATQITAAESKGNFSGLLSQGLHTIHRINVGGSKLTADNDSLWRNWIPDDNFLTYPETAKNSSFFQGPLVVGLQNTEFIASSFVYKTAKELKQTNFSNITWGFNVRKNSQHLVRVHFCDIISTQLGAVQFTFHIYSNFSKNINSYYITGLNASPFYFDFVVKSDNSGFINVSVHQRNDSELRNAFLNGLEIMEMLEKSDKPRKKTQPIVVGSIVAVIFVVVLIVSFLFMLKFKREKSYKTSGRPFSMPIYGGSSQNWLAERTAKMHIASDLKLALKIPYVEIQRATKNFSSKLLIGEGGFGKVYKGTLRDGVKVAVKRSEPGHGQGVLEFQTEIMVLSQIRHRHLVSLIGYCDEWSEMILVYEFMEKGTLRDHLYTSDTDSEKSTSRSELSWEQRLKICIGSAKGLHYLHTGLASRIIHRDVKSTNILLNEDYTAKVADFGLSKSGPVDPDENTGVKGSFGYLDPEYFMTQQLTEKSDVYSFGVVLLEVLCARPAILTTDRREEVNLAEWGMLWQKKGELEKIIDPALVGAINSSSLRIFGETAEKCLRPNSPERPMMHDVLWDLEFALKLQETSMHGDIDDESMNSTSLELAFHAVHHLPSHRAPAEEGDSFPGGDDSRIAMASGVFSQLKINAGR >Manes.13G117700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32434511:32444598:1 gene:Manes.13G117700.v8.1 transcript:Manes.13G117700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHHHLYLFFPLPDADYLPPSEKKKQHLLPISMEKLQPWQTLFNLFTILTIQFCCLSLPSSGYTPPDKYFINCGSESPVSPENGRSFVGDLSYCKVDSSKVVKDSSQSENTSLYQTARVFDKSSLCHFDIDERGTYIVRLHFFAFSSGDANLSSALFNVHASGFILLSNFSVSLSNSPIIKEFLLTIDSGNFVIDFIPSRKSSLAFINAIEVFLAPESFIPDDATQITAAESKGNFSGLLSQGLHTIHRINVGGSKLTADNDSLWRNWIPDDNFLTYPETAKNSSFFQGPLVVGLQNTEFIASSFVYKTAKELKQTNFSNITWGFNVRKNSQHLVRVHFCDIISTQLGAVQFTFHIYSNFSKNINSYYITGLNASPFYFDFVVKSDNSGFINVSVHQRNDSELRNAFLNGLEIMEMLEKSDKPRKKTQPIVVGSIVAVIFVVVLIVSFLFMLKFKREKSYKTSGRPFSMPIYGGSSQNWLAERTAKMHIASDLKLALKIPYVEIQRATKNFSSKLLIGEGGFGKVYKGTLRDGVKVAVKRSEPGHGQGVLEFQTEIMVLSQIRHRHLVSLIGYCDEWSEMILVYEFMEKGTLRDHLYTSDTDSEKSTSRSELSWEQRLKICIGSAKGLHYLHTGLASRIIHRDVKSTNILLNEDYTAKVADFGLSKSGPVDPDENTGVKGSFGYLDPEYFMTQQLTEKSDVYSFGVVLLEVLCARPAILTTDRREEVNLAEWGMLWQKKGELEKIIDPALVGAINSSSLRIFGETAEKCLRPNSPERPMMHDVLWDLEFALKLQETSMHGDIDDESMNSTSLELAFHAVHHLPSHRAPAEEGDSFPGGDDSRIAMASGVFSQLKINAGR >Manes.13G117700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32434656:32444581:1 gene:Manes.13G117700.v8.1 transcript:Manes.13G117700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHHHLYLFFPLPDADYLPPSEKKKQHLLPISMEKLQPWQTLFNLFTILTIQFCCLSLPSSGYTPPDKYFINCGSESPVSPENGRSFVGDLSYCKVDSSKVVKDSSQSENTSLYQTARVFDKSSLCHFDIDERGTYIVRLHFFAFSSGDANLSSALFNVHASGFILLSNFSVSLSNSPIIKEFLLTIDSGNFVIDFIPSRKSSLAFINAIEVFLAPESFIPDDATQITAAESKGNFSGLLSQGLHTIHRINVGGSKLTADNDSLWRNWIPDDNFLTYPETAKNSSFFQGPLVVGLQNTEFIASSFVYKTAKELKQTNFSNITWGFNVRKNSQHLVRVHFCDIISTQLGAVQFTFHIYSNFSKNINSYYITGLNASPFYFDFVVKSDNSGFINVSVHQRNDSELRNAFLNGLEIMEMLEKSDKPRKKTQPIVVGSIVAVIFVVVLIVSFLFMLKFKREKSYKTSGRPFSMPIYGGSSQNWLAERTAKMHIASDLKLALKIPYVEIQRATKNFSSKLLIGEGGFGKVYKGTLRDGVKVAVKRSEPGHGQGVLEFQTEIMVLSQIRHRHLVSLIGYCDEWSEMILVYEFMEKGTLRDHLYTSDTDSEKSTSRSELSWEQRLKICIGSAKGLHYLHTGLASRIIHRDVKSTNILLNEDYTAKVADFGLSKSGPVDPDENTGVKGSFGYLDPEYFMTQQLTEKSDVYSFGVVLLEVLCARPAILTTDRREEVNLAEWGMLWQKKGELEKIIDPALVGAINSSSLRIFGETAEKCLRPNSPERPMMHDVLWDLEFALKLQETSMHGDIDDESMNSTSLELAFHAVHHLPSHRAPAEEGDSFPGGDDSRIAMASGVFSQLKINAGR >Manes.13G117700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32434511:32444598:1 gene:Manes.13G117700.v8.1 transcript:Manes.13G117700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVHHHLYLFFPLPDADYLPPSEKKKQHLLPISMEKLQPWQTLFNLFTILTIQFCCLSLPSSGYTPPDKYFINCGSESPVSPENGRSFVGDLSYCKVDSSKVVKDSSQSENTSLYQTARVFDKSSLCHFDIDERGTYIVRLHFFAFSSGDANLSSALFNVHASGFILLSNFSVSLSNSPIIKEFLLTIDSGNFVIDFIPSRKSSLAFINAIEVFLAPESFIPDDATQITAAESKGNFSGLLSQGLHTIHRINVGGSKLTADNDSLWRNWIPDDNFLTYPETAKNSSFFQGPLVVGLQNTEFIASSFVYKTAKELKQTNFSNITWGFNVRKNSQHLVRVHFCDIISTQLGAVQFTFHIYSNFSKNINSYYITGLNASPFYFDFVVKSDNSGFINVSVHQRNDSELRNAFLNGLEIMEMLEKSDKPRKKTQPIVVGSIVAVIFVVVLIVSFLFMLKFKREKSYKTSGRPFSMPIYGGSSQNWLAERTAKMHIASDLKLALKIPYVEIQRATKNFSSKLLIGEGGFGKVYKGTLRDGVKVAVKRSEPGHGQGVLEFQTEIMVLSQIRHRHLVSLIGYCDEWSEMILVYEFMEKGTLRDHLYTSDTDSEKSTSRSELSWEQRLKICIGSAKGLHYLHTGLASRIIHRDVKSTNILLNEDYTAKVADFGLSKSGPVDPDENTGVKGSFGYLDPEYFMTQQLTEKSDVYSFGVVLLEVLCARPAILTTDRREEVNLAEWGMLWQKKGELEKIIDPALVGAINSSSLRIFGETAEKCLRPNSPERPMMHDVLWDLEFALKLQETSMHGDIDDESMNSTSLELAFHAVHHLPSHRAPAEEGDSFPGGDDSRIAMASGVFSQLKINAGR >Manes.08G055700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6094258:6102295:-1 gene:Manes.08G055700.v8.1 transcript:Manes.08G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERFEEQDFFACCGSTRFAKEMASAYPFTSLDDAISAARNIWFNKVDVNGWLEAFSAHPAIGQSPSSAHASHASAQWSKGEQSTALATSTASTLQELSDGNAQYRQKFGFVFLICASGRSTAEILAELKKRFQNRPIVEFEIAAQEQMKITELRLGKLFSTKSIAASTGNQYPTVSATKVEEDRVAVIGGHLKAAPNSSSDNVSQIPTRTRPPITTHILDVSRGCPAAGVEVRLEMWKGSQPRPMFDKIDIGGWIFLASSSTDADGRSGQLMSIVDDVNPGIYRISFNTGKYHPSGFFPFVSVVFEIKESQKKEHFHVPLLLSPFSFSTYRGS >Manes.08G055700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6094258:6102295:-1 gene:Manes.08G055700.v8.1 transcript:Manes.08G055700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERFEEQDFFACCGSTRFAKEMASAYPFTSLDDAISAARNIWFNKVDVNGWLEAFSAHPAIGQSPSSAHASHASAQWSKGEQSTALATSTASTLQELSDGNAQYRQKFGFVFLICASGRSTAEILAELKKRFQNRPIVEFEIAAQEQMKITELRLGKLFSTKSIAASTGNQYPTVSATKVEDRVAVIGGHLKAAPNSSSDNVSQIPTRTRPPITTHILDVSRGCPAAGVEVRLEMWKGSQPRPMFDKIDIGGWIFLASSSTDADGRSGQLMSIVDDVNPGIYRISFNTGKYHPSGFFPFVSVVFEIKESQKKEHFHVPLLLSPFSFSTYRGS >Manes.08G055700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6094258:6102295:-1 gene:Manes.08G055700.v8.1 transcript:Manes.08G055700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERFEEQDFFACCGSTRFAKEMASAYPFTSLDDAISAARNIWFNKVDVNGWLEAFSAHPAIGQSPSSAHASHASAQWSKGEQSTALATSTASTLQELSDGNAQYRQKFGFVFLICASGRSTAEILAELKKRFQNRPIVEFEIAAQEQMKITELRLGKLFSTKSIAASTGNQYPTVSATKVEDRVAVIGGHLKAAPNSSSDNVSQIPTRTRPPITTHILDVSRGCPAAGVEVRLEMWKGSQPRPMFDKIDIGGWIFLASSSTDADGRSGQLMSIVDDVNPGIYRISFNTGTTSPL >Manes.08G055700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6094258:6102295:-1 gene:Manes.08G055700.v8.1 transcript:Manes.08G055700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERFEEQDFFACCGSTRFAKEMASAYPFTSLDDAISAARNIWFNKVDVNGWLEAFSAHPAIGQSPSSAHASHASAQWSKGEQSTALATSTASTLQELSDGNAQYRQKFGFVFLICASGRSTAEILAELKKRFQNRPIVEFEIAAQEQMKITELRLGKLFSTKSIAASTGNQYPTVSATKVEEDRVAVIGGHLKAAPNSSSDNVSQIPTRTRPPITTHILDVSRGCPAAGVEVRLEMWKGSQPRPMFDKIDIGGWIFLASSSTDADGRSGQLMSIVDDVNPGIYRISFNTGTTSPL >Manes.11G002300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:326458:334318:1 gene:Manes.11G002300.v8.1 transcript:Manes.11G002300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKVLVFGSFTEDETRSWLQKPSSDSEKDEKPLEKKELQFGSLNFATAITFGDFNSESSRQLGSANGKVSFQTKSPLKKEKDVKIAQKEHNLPGVLGSPKQNGSINDFSRGNAITNGVKNLNGESVDMTSLHLSKNEGSSRNQSQSSNFDVLDCENVNDGNSEDGDNDSLVHVKREEIWKPTDGPVAAVKNLLPRGLINSGNLCFLNASLQALLSCSPFVQLLQDLRVRNIPKVGFPTLTAFVEFVSNFDVPSSSRLKKDMAAVETGWPFSPAMFEGVLKNFTPDIPNSLSGRPRQEDAQEFLSFIMDQMHYELLQCEGQSASINAAKSTLVSSTEDDEWETVGPKNKSAVTRTQRFNPSELSDIFGGQLRSVVKARGAGNKASATVQPFLLLHLDIYPEAVHTIEDALHLFSAPENLEGYRTSAMGKAGVVTARKSVKIQTLPKILILHLMRFSYGSQGSTKLHKLVHFPLEFVLGRELLFSPLTED >Manes.11G002300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:326458:334318:1 gene:Manes.11G002300.v8.1 transcript:Manes.11G002300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKVLVFGSFTEDETRSWLQKPSSDSEKDEKPLEKKELQFGSLNFATAITFGDFNSESSRQLGSANGKVSFQTKSPLKKEKDVKIAQKEHNLPGVLGSPKQNGSINDFSRGNAITNGVKNLNGESVDMTSLHLSKNEGSSRNQSQSSNFDVLDCENVNDGNSEDGDNDSLVHVKREEIWKPTDGPVAAVKNLLPRGLINSGNLCFLNASLQALLSCSPFVQLLQDLRVRNIPKVGFPTLTAFVEFVSNFDVPSSSRLKKDMAAVETGWPFSPAMFEGVLKNFTPDIPNSLSGRPRQEDAQEFLSFIMDQMHYELLQCEGQSASINAAKSTLVSSTEDDEWETVGPKNKSAVTRTQRFNPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIYPEAVHTIEDALHLFSAPENLEGYRTSAMGKAGVVTARKSVKIQTLPKILILHLMRFSYGSQGSTKLHKLVHFPLEFVLGRELLFSPLTED >Manes.11G002300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:326458:334318:1 gene:Manes.11G002300.v8.1 transcript:Manes.11G002300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKVLVFGSFTEDETRSWLQKPSSDSEKDEKPLEKKELQFGSLNFATAITFGDFNSESSRQLGSANGKVSFQTKSPLKKEKDVKIAQKEHNLPGVLGSPKQNGSINDFSRGNAITNGVKNLNGESVDMTSLHLSKNEGSSRNQSQSSNFDVLDCENVNDGNSEDGDNDSLVHVKREEIWKPTDGPVAAVKNLLPRGLINSGNLCFLNASLQALLSCSPFVQLLQDLRVRNIPKVGFPTLTAFVEFVSNFDVPSSSRLKKDMAAVETGWPFSPAMFEGVLKNFTPDIPNSLSGRPRQEDAQEFLSFIMDQMHYELLQCEGQSASINAAKSTLVSSTEDDEWETVGPKNKSAVTRTQRFNPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIYPEAVHTIEDALHLFSAPENLEGYRTSAMGKAGVVTARKSVKIQTLPKILILHLMRFSYGSQGSTKLHKLVHFPLEFVLGRELLFSPLTEVFNFTSFLFYPNGSTFG >Manes.11G002300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:326458:334318:1 gene:Manes.11G002300.v8.1 transcript:Manes.11G002300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKVLVFGSFTEDETRSWLQKPSSDSEKDEKPLEKKELQFGSLNFATAITFGDFNSESSRQLGSANGKVSFQTKSPLKKEKDVKIAQKEHNLPGVLGSPKQNGSINDFSRGNAITNGVKNLNGESVDMTSLHLSKNEGSSRNQSQSSNFDVLDCENVNDGNSEDGDNDSLVHVKREEIWKPTDGPVAAVKNLLPRGLINSGNLCFLNASLQALLSCSPFVQLLQDLRVRNIPKVGFPTLTAFVEFVSNFDVPSSSRLKKDMAAVETGWPFSPAMFEGVLKNFTPDIPNSLSGRPRQEDAQEFLSFIMDQMHYELLQCEGQSASINAAKSTLVSSTEDDEWETVGPKNKSAVTRTQRFNPSELSDIFGGQLRSVVKARGAGNKASATVQPFLLLHLDIYPEAVHTIEDALHLFSAPENLEGYRTSAMGKAGVVTARKSVKIQTLPKILILHLMRFSYGSQGSTKLHKLVHFPLEFVLGRELLFSPLTESRKYELVATVTHHGWEPSKGHYTADARSPNGQWLRFDDAFVSAIGTSKVLHDQAYVLFYKQV >Manes.11G002300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:326458:334318:1 gene:Manes.11G002300.v8.1 transcript:Manes.11G002300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKVLVFGSFTEDETRSWLQKPSSDSEKDEKPLEKKELQFGSLNFATAITFGDFNSESSRQLGSANGKVSFQTKSPLKKEKDVKIAQKEHNLPGVLGSPKQNGSINDFSRGNAITNGVKNLNGESVDMTSLHLSKNEGSSRNQSQSSNFDVLDCENVNDGNSEDGDNDSLVHVKREEIWKPTDGPVAAVKNLLPRGLINSGNLCFLNASLQALLSCSPFVQLLQDLRVRNIPKVGFPTLTAFVEFVSNFDVPSSSRLKKDMAAVETGWPFSPAMFEGVLKNFTPDIPNSLSGRPRQEDAQEFLSFIMDQMHYELLQCEGQSASINAAKSTLVSSTEDDEWETVGPKNKSAVTRTQRFNPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIYPEAVHTIEDALHLFSAPENLEGYRTSAMGKAGVVTARKSVKIQTLPKILILHLMRFSYGSQGSTKLHKLVHFPLEFVLGRELLFSPLTESRKYELVATVTHHGWEPSKGHYTADARSPNGQWLRFDDAFVSAIGTSKVLHDQAYVLFYKQV >Manes.14G124600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9860824:9864835:-1 gene:Manes.14G124600.v8.1 transcript:Manes.14G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICDEHGIDNTGKYSGDSELQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEYEDEEEEIAG >Manes.08G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4404476:4409018:-1 gene:Manes.08G044400.v8.1 transcript:Manes.08G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLARIAMACWRPVSRYARMSKDDSVNNDDDDDDSSFGDSLLWSKDLEKHSYGEFSFAVVQANEIIEDHSQVETGRDATFVGVYDGHGGPDASRFICDHLFKNLTRLARERGAISEEIIRSAFSATEDGFLTLVRRTCGLKPLIAAIGSCCLVGVIWRGTLFVANLGDSRAVIGCLGRSNKIIAEQLTKDHNACLEEVRQELKSLHPEDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLPEPIRRPVLTSEPSICSRDLRPSDKFLIFASDGLWEHMTNQEAVEIVHNYPRAGIARRLVKTALKEAARKREMRYDDLKRIDKGSRRFFHDDITVVVIFIDHELLSKSVSVVPEVSIRGFIDTVGPSNFNILHGI >Manes.09G118100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32086128:32091221:-1 gene:Manes.09G118100.v8.1 transcript:Manes.09G118100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDLCLFLLLVPAFVQSLDSTFNDDVLGLIVFKAGLHDPESKLASWSEDDENPCNWVGVKCDPKTQRVAELVLDGFSLSGHIGRGLLRLQFLQILSLSNNNFTGTINPDLSQLGVLQVVDLSQNNLSGLIPDGFFKKCESLRSVSFARNKLTGQIPESLSWCTSLAAVNFSSNQLSGELPSGLWFLKGLQSLDLSDNLLEGEIPEGIANVYGLTAINLQKNSFSGQLPLDIGGCLLLKMLDFSENSLSGILPESLGRLRACTSLRLRGNSFAGEIPGWIGELTNLESLDLSSNEFFGRIPTSIGNLNLVKELNLSRNRLTGGLPQSMANCLNLLVLDISQNGLAGSLPPWIFKMGLKSISLSGNGHSNSMQYPSVASLTASLQGLKLLDLSSNGLSGEIPSDIGVLSSLLLLNVSRNRLFGSIPSSIGELKTIQVLDLSNNKLHGKVPSEIGGAVSLVELILEKNFITGNIPTQLQNCSSLASLMLSQNNLSGPVPAAIADIGNLQYVDLSFNSLSGSLPKELTNLSYLVSFNVSHNNLQGELPVGGFFNTISPSAVSGNPSLCGSVVNRSCPSVHPKPIVLNPNSSASSNGSSLNYNHRKFALSISSLIAIGAAAFIAIGVVAVSLLNIHVRSSMTRTPAAFTLSGGEDFNCSPTNDPNYGKLVMFSGDADFVAGTHALLNKDSELGRGGFGVVYRAILQDGRSVAIKKLTISSLIKSQDEFEREVKRLGKIRHHNLVALEGYYWTSSLQLLIYEYISNGSLYKHLHNGLNTNCLSWRQRFNIIMGMAKGLAHLHHMNIIHYNLKSTNILIDDCGEPKVADFGLARLLPMFDRCILSSKIHSALGYMAPEFACRTVKITEKCDVYGFGILVLEVVTGKRPVEYMEDDVVVLCDLVRGALEDGRVEECLDGRLGGNFPADEAIPVIKLGLICASQVPSNRPDMEEVINILELIQCPAESQELE >Manes.18G058850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5221652:5222522:-1 gene:Manes.18G058850.v8.1 transcript:Manes.18G058850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNPGILSSCLPTPVSNNVVFGNGHSLPVTHIGSNSFPLPSRDLLLKDVLVVPSLTHNLISVKKFARDNSCSVEFDPYGFCVKDLKTRTPLLSCSSPGDLYEFSPSSFSSSLSGGSTSLIASANSFELWHRRLGHPNSSILSNVMEVANTLAIIFNSLLLIMVSVCNFLVRIRHSKMARLNDYIAH >Manes.06G075300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21114021:21121831:-1 gene:Manes.06G075300.v8.1 transcript:Manes.06G075300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPILPVTIKQSPKHSFLDPTPPISSPTSINPAMLLKQEIIDDPETRILISSPFDEETAISTKPLLSRSSSFVGTTTTAFTSADSYQQRRRRIVSDTSLPSLSDTGSPRQSVSREMGHAAVETFLLTRLSLKLLTYLGVGYKWIMRFLALGCYSLMLLPGFIQVGCYYFFSRQVLRSIVYGDQPRNRLDLYLPKNTDGPKPVVAFVTGGAWIIGYKAWGSLLGKQLSERDIIVACIDYRNFPQGTMSDMVKDASQGISFVCNNIAQYGGDPNRIYLMGQSAGAHIAACALVEQAIKEASEGESVTWRASQIMTYFGLSGGYNLLNLVDYFHSRGLYRSIFLGIMEGEESLERFSPEVIVQDPNLKNAVSLLPPIVLFHGTADYSIPCDASKNFAETLQRVGVRAESILYEGKTHTDVFLQDPMRGGNDQMFEDLVAIVHSGDPEAQAKDAVAPPRRRLVPELMLQVARRVSPF >Manes.06G075300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21112925:21121897:-1 gene:Manes.06G075300.v8.1 transcript:Manes.06G075300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLALGCYSLMLLPGFIQVGCYYFFSRQVLRSIVYGDQPRNRLDLYLPKNTDGPKPVVAFVTGGAWIIGYKAWGSLLGKQLSERDIIVACIDYRNFPQGTMSDMVKDASQGISFVCNNIAQYGGDPNRIYLMGQSAGAHIAACALVEQAIKEASEGESVTWRASQIMTYFGLSGGYNLLNLVDYFHSRGLYRSIFLGIMEGEESLERFSPEVIVQDPNLKNAVSLLPPIVLFHGTADYSIPCDASKNFAETLQRVGVRAESILYEGKTHTDVFLQDPMRGGNDQMFEDLVAIVHSGDPEAQAKDAVAPPRRRLVPELMLQVARRVSPF >Manes.06G075300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21112925:21121897:-1 gene:Manes.06G075300.v8.1 transcript:Manes.06G075300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPILPVTIKQSPKHSFLDPTPPISSPTSINPAMLLKQEIIDDPETRILISSPFDEETAISTKPLLSRSSSFVGSPRQSVSREMGHAAVETFLLTRLSLKLLTYLGVGYKWIMRFLALGCYSLMLLPGFIQVGCYYFFSRQVLRSIVYGDQPRNRLDLYLPKNTDGPKPVVAFVTGGAWIIGYKAWGSLLGKQLSERDIIVACIDYRNFPQGTMSDMVKDASQGISFVCNNIAQYGGDPNRIYLMGQSAGAHIAACALVEQAIKEASEGESVTWRASQIMTYFGLSGGYNLLNLVDYFHSRGLYRSIFLGIMEGEESLERFSPEVIVQDPNLKNAVSLLPPIVLFHGTADYSIPCDASKNFAETLQRVGVRAESILYEGKTHTDVFLQDPMRGGNDQMFEDLVAIVHSGDPEAQAKDAVAPPRRRLVPELMLQVARRVSPF >Manes.02G060100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4678191:4681281:-1 gene:Manes.02G060100.v8.1 transcript:Manes.02G060100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQLYLCDRFQILRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLKMYEGSYQGWSQEPTKLTPWKNHNLPVEGLMDQSQVLNGSAHKTTATLTNVNNSIECDLGTTAVPFNTQVQSNMFAAVGRAGVTAQPLQESVSDADNIAYQLHSQLWQDRPCVPGCTAPENILSGQGEPMIESGFVSISNAYSQGILDTLTQALRSSGVDLTQTNISVQIDVGRQENRGAGITASSSKDQEYLYCNNQEMEQTGVGIDQAHKRQRTERS >Manes.02G060100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4675676:4682495:-1 gene:Manes.02G060100.v8.1 transcript:Manes.02G060100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTLNLRFQILRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLKMYEGSYQGWSQEPTKLTPWKNHNLPVEGLMDQSQVLNGSAHKTTATLTNVNNSIECDLGTTAVPFNTQVQSNMFAAVGRAGVTAQPLQESVSDADNIAYQLHSQLWQDRPCVPGCTAPENILSGQGEPMIESGFVSISNAYSQGILDTLTQALRSSGVDLTQTNISVQIDVGRQENRGAGITASSSKDQEYLYCNNQEMEQTGVGIDQAHKRQRTERS >Manes.02G060100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4675676:4682495:-1 gene:Manes.02G060100.v8.1 transcript:Manes.02G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSAKSHHDDEDEPDGYDSSSYRGELAKVDGSSSEQKLNTHRSKHSETEQRRRSKINERFQILRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLKMYEGSYQGWSQEPTKLTPWKNHNLPVEGLMDQSQVLNGSAHKTTATLTNVNNSIECDLGTTAVPFNTQVQSNMFAAVGRAGVTAQPLQESVSDADNIAYQLHSQLWQDRPCVPGCTAPENILSGQGEPMIESGFVSISNAYSQGILDTLTQALRSSGVDLTQTNISVQIDVGRQENRGAGITASSSKDQEYLYCNNQEMEQTGVGIDQAHKRQRTERS >Manes.18G026650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2798067:2800872:-1 gene:Manes.18G026650.v8.1 transcript:Manes.18G026650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLYPFSATVMVTILSFITWFIYSSFRTSRKACKKRALPEPAGAWPVIGHLRLLAGSQPPHVILGKLADKYGPIFTIKLGVHRAVIVSEWKIARECFTSNDKAFANRPKGLAMEILGYDYSMLGFSPYGEYWRQIRKIVTLELLSNHRLEMLKHVRDAEVKAAIKGLYQEWIKNKCNNDKLKIEMKRWFWDITLNVILKIIVGKRYVEYANVGEGQESDAWREAMREFMELSGVFAVSDALPYLRWLDLGGVERKMKKIFKQLDPVVEEWLEERKQKKGAGVTKGEEDFMEALLSILNDSKELSSRDVDTINKATCLALILAASDTTAITMTWALSLLLNNRDVLKKAQNEIDVHFGRQRQVKESDTQSLIYLQAIIKETFRLYPAVPLLLPHESMEETVINGYHIQPETRIFINASKIHKDPCVWQDPEKFQPERFLTTHKDVDFKGQNFELIPFGSGRRICPGISFALQVLNLTLASFLHAFEVETLSENPIDMSESAGLTNSKATPVEVFVTPRLPAYLY >Manes.18G035550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3164578:3164991:1 gene:Manes.18G035550.v8.1 transcript:Manes.18G035550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKRLYEELNDSFSTDVKTLQAQREQMAVMRFLAGLSPEFETVKSQIIFDSEISSLHDVFTGMLHTESPILSHTTSALVSCNDSGRRNDRGGHRGGFNGGRGFQCPGKVVPTFDSSGIICYYCREPEHTKKTCLKL >Manes.13G117500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32430870:32433007:1 gene:Manes.13G117500.v8.1 transcript:Manes.13G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLLVISSISLLFLLLWLHTTRKPRHRKLPPSPPALPFLGHLHLLKQPIHRTLHNLSQKYGSIFSLQLGSFSVIVVSSTSAVEECFTKNDIVFANRPPLIMGKYLNYNHTTLVTAPYGDHWRNLRRISALEIFSTNRLNKFLAIRRDEIKIFLEKIYRVSSQDFAKVELRPMLSELTFNIITRMITGKRYCSGEDENKTDEASQFREIIREIFIYAEASYPGDFLPILQWFDYQGYTKKVKELAKRNDELLQGLIDGHRNEKGRNSMISHLLSLQESQPEYYTDEIIKGLVVDILFGGTETSALTLEWAMSSLLNNPQVLEKAKKELAIEVGQTTLMEESDVSKLPYLQSIISETLRLYPAGPLLLPHMSHRNCTLQGYDVPSNTMLFVNAWAMHRDPQLWDDAGKFRPERFEGAHGEPYSSKFLPFGLGRRACPGMGLANRVVGFALGCMIQCFEWKRVNDEEIDMTEGNGLTMPKAKPLEAMCKASDIMKLSSSL >Manes.03G014000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1228115:1231484:-1 gene:Manes.03G014000.v8.1 transcript:Manes.03G014000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDMRIDVDGYLGPRRSNSLAFSPLMIIDGRSRNSNFSYAMLPEEPIKLTVLKLDGSCFDIEVMKSASIAELRQAVEAFFNDMPQKGTGKISWPHVWSHFCLTYEGQKLVTGTDYIKHYGIKDGDQLHFIRHISNSYTFKKKGSKKQTPGLEQHKINCSSLSRLNIREDKEQDDKEESSHSIENRELGNFDATYQISEKHKESKWAGLLQRLFSCSRLQRKERSLKGSPSRPACRLSSGFRKIIKFCGDSNHPQRIEWREK >Manes.17G122650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32818716:32819441:-1 gene:Manes.17G122650.v8.1 transcript:Manes.17G122650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYAAEVLKRFEIENYNATCNPIIPGQKIGKDENGIEVDATLYKQIVGNLMYLTTTCPDLMFVVSLISHFMASPTQCRFVVAKRVLRYLKSTMNYGVFYMKGGVSDLVGFSDSDYACDMKDSKITLGAYVFMMSGGAIAWSSRKQSIVTLLTIDAEFVDVVACACQAIWMRRILKEIGYSQTEGTKLMCDNASTIKLSNNLVLYGGSKHIRVRFHFLRDLTKKELLICCFVVLKINLLIYR >Manes.01G252200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41035928:41038139:-1 gene:Manes.01G252200.v8.1 transcript:Manes.01G252200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQEAPPPPPPPPLPQLPPSLFSPSLLASSSLQPPFIEPQVLPDIDWVSLLSCQYGEGENKAKTLESASVISEEEKGNKDRRKCGRMMKKHSRPRFAFQTRSADDILDDGYRWRKYGQKAVKNSAYPRSYYRCTYPTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLTPLLKQMQFLARF >Manes.17G001425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1094784:1095084:-1 gene:Manes.17G001425.v8.1 transcript:Manes.17G001425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIPELHGMLKTAEGKPKSKGGNGPKGRGKLKWQSKAKVPKEIVPKEGICFHCKEPGHWKRNCKLYLDECKKKKSSETTT >Manes.14G052200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4428156:4430752:-1 gene:Manes.14G052200.v8.1 transcript:Manes.14G052200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFHDLVSPAGLKKLDDYLLSRSYITGYQASKDDVTVYAALPKAPSSEYVNVSRWYNHIDALLRISGVSAEGSGVTVEGFAPITEEAIATPPAADSKAAAEDDDDDDVDLFGEETEEEKKAAEERAAAVKASAKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSIQMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDNLIEEYLTVEPVNEHVQSCDIVAFNKI >Manes.14G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2827769:2829399:-1 gene:Manes.14G031600.v8.1 transcript:Manes.14G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQQGNELCFQISSNTRNEIPQDLITSHPALYGSTDVADDLGQSRRRKSISMDNDQSARDNSNDKKKLLHRDIERQRRQEMTTLYASLRSLLPLEYIKGKRSISDHMNEAVNYIKHLKKRIKELDSKRDELKKKTNFRNIPIQSSGNSNNCSLSTGFIIRPCLSGIQIVFSSGFGEQSSSLSRALQLLLEAEISVVNCVSTKVNERVFHTIHAEVKDSTCVNLSELQQKLNPLVP >Manes.06G046800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:14706073:14707813:1 gene:Manes.06G046800.v8.1 transcript:Manes.06G046800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKISAFFFICMVFVSSASPILDCGSCGKPPKHNGHKGKSPHHGPINIPPIVKPPINLPPVLPPIVKPPVTLPPVTLPPIKPPVTLPPIKPPVTLPPIKPPVTLPPIKPPVTLPPIKPPVTLPLPPIKPPVTLPPIKPPVTLPPIKPPVTLPPIKPPVTLPPVTLPPIKPPVTLPPIKPPVTLPPVTLPPIKPPVTLPPIKPPVTLPPITVPPVLPNPPKGGIPCPPPAAANDKCPINTLKLGACVDLLGGLVHVGLGDPVVNKCCPVLQGLVELEAAVCLCTTLKLKLLNLNIYVPLALQLLVACGKTPPPGYTCSL >Manes.06G046800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:14706073:14707860:1 gene:Manes.06G046800.v8.1 transcript:Manes.06G046800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKISAFFFICMVFVSSASPILDCGSCGKPPKHNGHKGKSPHHGPINIPPIVKPPINLPPVLPPIVKPPVTLPPVTLPPIKPPVTLPPIKPPVTLPPIKPPVTLPPIKPPVTLPPIKPPVTLPLPPIKPPVTLPPIKPPVTLPPIKPPVTLPPIKPPVTLPPVTLPPIKPPVTLPPIKPPVTLPPVTLPPIKPPVTLPPIKPPVTLPPITVPPVLPNPPKGGIPCPPPAAANDKCPINTLKLGACVDLLGGLVHVGLGDPVVNKCCPVLQGLVELEAAVCLCTTLKLKLLNLNIYVPLALQLLVACGKTPPPGYTCSL >Manes.03G079700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13998997:14000254:-1 gene:Manes.03G079700.v8.1 transcript:Manes.03G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSPFLLLLSLVMICFALGTASFYDDFVIVWGSNKARILNNGDLITLSLDKNSGSGFESKREYLFGKINVQLKLVPGNSAGTVTSYYFASKGSSWDEIDFEFLGNLSGEPYTVHTNIITQGKGDREQQFHLWFDPTLDFHNYSILWNPLTVVFFIDGTPIRQFKNMNSKGIPFPRNQAMKMHFSLWNADDWATRGGLIKTDWTQAPFTASFRNFNARACVWSAGASSCSVSSPSSRPTRHKWLTKRLHITSLQRLKWVQNNYMIYDYCTDTKRFPQGPPLECATN >Manes.05G202100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32679251:32681400:-1 gene:Manes.05G202100.v8.1 transcript:Manes.05G202100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMFSPRLNLDEEPQSNFPLTDLSLSTGIVVRTPQHCEILSNAEDKIQPKSISFTRKYQHPFESQGSLLQFKKQRSLQGAALTIGTGSSSDQFKISGLDQPEERLRSAASFSAGSGVYGGEYARNEPIQVTNRFGACNASELRGVDKARNVPYCQFVDGLMMRNENGNRMNGYGGSEVNVFNKGSPYQQSVWNGGLSSFENSKKMSAYSRGGASFVHTSASEKIRHRMIKNRESAARSRARKQALEAQQQLENTALKKENDLLKRIFLLAIVRTKSMKLPKLSRSFSAPF >Manes.05G202100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32679251:32681400:-1 gene:Manes.05G202100.v8.1 transcript:Manes.05G202100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMFSPRLNLDEEPQSNFPLTDLSLSTGIVVRTPQHCEILSNAEDKIQPKSISFTRKYQHPFESQGSLLQFKKQRSLQGAALTIGTGSSSDQFKISGLDQPEERLRSAASFSAGSGVYGGEYARNEPIQVTNRFGACNASELRGVDKARNVPYCQFVDGLMMRNENGNRMNGYGGSEVNVFNKGSPYQQSVWNGGLSSFENSKKMSAYSRGGASFVHTSASEKIRHRMIKNRESAARSRARKQALEAQQQLENTALKKENDLLKRIVRFLLAIVRTKSMKLPKLSRSFSAPF >Manes.03G104500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22807463:22810559:1 gene:Manes.03G104500.v8.1 transcript:Manes.03G104500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPHMSPQVNPPYAVQQLPVKCQNIKENKSQPPTLPCVSFSVGIFLSTCSRLIIGSAKKQLMDCFDNDPFEPLASREEKVDGVHQLLEEGWFFGKLLTRKPRKSSLMQRCYSDLSPNYDQAILVENSPCLDKSSSKKVVGKLTRAPSLPPCIGRREEKIEDIKLTCRQQPIKSIACKEGIQENRRSKGITGQPSKPTLLRTPSLPASMGRDEEGEEDDSDITMSRLIRLAMPPRQTPKGITQSSSIPRCRPPRNWKLETIDTVKGSLKETRNQGSSNQRTMQRSLSNLESREVQGFKDLGFPGLQGKKTQNRYHQDQDVVRRSPYPSKAWHVPMQGCAPPAPIWAAKGSAQEMKAQLKCWARAVASNVR >Manes.06G113300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24422453:24429803:1 gene:Manes.06G113300.v8.1 transcript:Manes.06G113300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSALLENANWETNSTNEISLQFMGGCQQGGSDHNGLASLKNSGLNGKREEEVDDFEMRCDFGKSKTQLNSCENSGRVEKVGAPIRTKKLEGLDNCALNQEDVHGNKSLRERYCSNHRDKGWELACVTPLSNCLEDVDSTNELILGSPIVECMDQSMSRKKSQYKSQVKSSGMVGDVLSRLGSPCLSVSDALSSHSISFLANEEADLMIPNDPGCGISCCWTRTPRFRESNPFSDAEGRPLLFKDVAETASFGQRSWKLIANETPRSFSQKFRPKSFDELVGQNVVTKSLLNAISKGRITSLYIFHGPRGTGKTSASRIFAAALNCLSLEEFKPCCLCRECVLFFSGRIRDVKEIDSVRINRAERIRSLIKNASIPPVSSRFKVFIIDECHLLHGETWATILNSLENFSQHSVFVMITPDLEKLPRSAVTRSQRYHFPKIKDADIATRLGNICVEEGIDFDLVALDFIAAKSNGSLRDAEMMLDQLSLLGKRITMSLAYELIGVVSDDELLDLLDLALSSDTSNTVIRARDLMRSRIDPMQLVTQLANLIMDILAGKCEEDSSEIRRKFSRSHASETDMQRLSHALKILSETEKQLRMSKNQSTWLTVALLQLSSLETPSLNANDPKSSLRNAHDRDGDFCSTSSTEESLKLLFPCSCEDSKSHKLGMQGDCKATLESIWKGATELCQSNSLKNFLRKQGKLSSLCVNRDMAVAELEFHHPDYVSKAEKSWKMIASSLQLILGRNVEIRINLVLCAPVSKCTKLRKLSFSLFSCSRRMQQKSQLPMECRSNSDSSDHVSEKPMISDKAILTCSSDCRSQMPHNYPRVEVVRALRNTEGNVLSIGNASSHRSLQDDMQKLPAHGIDSSMEVRSSLENDVFPTQETEEPPNCFPRARRLQKRLHSSDNSKVIWMDNERANKLTLSVPGKKSFETYNSTDDSYVFGSNNYTNSRSEDELRENTVALCWRTPALPINKNWQLTDHRRRSPLVGWVLSCASAK >Manes.06G113300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24422626:24429543:1 gene:Manes.06G113300.v8.1 transcript:Manes.06G113300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSALLENANWETNSTNEISLQFMGGCQQGGSDHNGLASLKNSGLNGKREEEVDDFEMRCDFGKSKTQLNSCENSGRVEKVGAPIRTKKLEGLDNCALNQEDVHGNKSLRERYCSNHRDKGWELACVTPLSNCLEDVDSTNELILGSPIVECMDQSMSRKKSQYKSQVKSSGMVGDVLSRLGSPCLSVSDALSSHSISFLANEEADLMIPNDPGCGISCCWTRTPRFRESNPFSDAEGRPLLFKDVAETASFGQRSWKLIANETPRSFSQKFRPKSFDELVGQNVVTKSLLNAISKGRITSLYIFHGPRGTGKTSASRIFAAALNCLSLEEFKPCCLCRECVLFFSGRIRDVKEIDSVRINRAERIRSLIKNASIPPVSSRFKVFIIDECHLLHGETWATILNSLENFSQHSVFVMITPDLEKLPRSAVTRSQRYHFPKIKDADIATRLGNICVEEGIDFDLVALDFIAAKSNGSLRDAEMMLDQLSLLGKRITMSLAYELIGVVSDDELLDLLDLALSSDTSNTVIRARDLMRSRIDPMQLVTQLANLIMDILAGKCEEDSSEIRRKFSRSHASETDMQRLSHALKILSETEKQLRMSKNQSTWLTVALLQLSSLETPSLNANDPKSSLRNAHDRDGDFCSTSSTEESLKLLFPCSCEDSKSHKLGMQGDCKATLESIWKGATELCQSNSLKNFLRKQGKLSSLCVNRDMAVAELEFHHPDYVSKAEKSWKMIASSLQLILGRNVEIRINLNAAEITITNGMQKQLRLF >Manes.06G113300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24423209:24429543:1 gene:Manes.06G113300.v8.1 transcript:Manes.06G113300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSALLENANWETNSTNEISLQFMGGCQQGGSDHNGLASLKNSGLNGKREEEVDDFEMRCDFGKSKTQLNSCENSGRVEKVGAPIRTKKLEGLDNCALNQEDVHGNKSLRERYCSNHRDKGWELACVTPLSNCLEDVDSTNELILGSPIVECMDQSMSRKKSQYKSQVKSSGMVGDVLSRLGSPCLSVSDALSSHSISFLANEEADLMIPNDPGCGISCCWTRTPRFRESNPFSDAEGRPLLFKDVAETASFGQRSWKLIANETPRSFSQKFRPKSFDELVGQNVVTKSLLNAISKGRITSLYIFHGPRGTGKTSASRIFAAALNCLSLEEFKPCCLCRECVLFFSGRIRDVKEIDSVRINRAERIRSLIKNASIPPVSSRFKVFIIDECHLLHGETWATILNSLENFSQHSVFVMITPDLEKLPRSAVTRSQRYHFPKIKDADIATRLGNICVEEGIDFDLVALDFIAAKSNGSLRDAEMMLDQLSLLGKRITMSLAYELIGVVSDDELLDLLDLALSSDTSNTVIRARDLMRSRIDPMQLVTQLANLIMDILAGKCEEDSSEIRRKFSRSHASETDMQRLSHALKILSETEKQLRMSKNQSTWLTVALLQLSSLETPSLNANDPKSSLRNAHDRDGDFCSTSSTEESLKLLFPCSCEDSKSHKLGMQGDCKATLESIWKGATELCQSNSLKNFLRKQGKLSSLCVNRDMAVAELEFHHPDYVSKAEKSWKMIASSLQLILGRNVEIRINLVLCAPVSKCTKLRKLSFSLFSCSRRMQQKSQLPMECRSNSDSSDHVSEKPMISDKAILTCSSDCRSQMPHNYPRVEVVRALRNTEGNVLSIGNASSHRSLQDDMQKLPAHGIDSSMEVRSSLENDVFPTQETEEPPNCFPRARRLQKRLHSSDNSKVIWMDNERANKLTLSVPGKKSFETYNSTDDSYVFGSNNYTNSRSEDELRENTVALCWRTPALPINKNWQLTDHRRRSPLVGWVLSCASAK >Manes.06G113300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24422453:24429803:1 gene:Manes.06G113300.v8.1 transcript:Manes.06G113300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDGRRHSVDIPISRTLIALRRVRSLRDPSTNSMSKFSALLENANWETNSTNEISLQFMGGCQQGGSDHNGLASLKNSGLNGKREEEVDDFEMRCDFGKSKTQLNSCENSGRVEKVGAPIRTKKLEGLDNCALNQEDVHGNKSLRERYCSNHRDKGWELACVTPLSNCLEDVDSTNELILGSPIVECMDQSMSRKKSQYKSQVKSSGMVGDVLSRLGSPCLSVSDALSSHSISFLANEEADLMIPNDPGCGISCCWTRTPRFRESNPFSDAEGRPLLFKDVAETASFGQRSWKLIANETPRSFSQKFRPKSFDELVGQNVVTKSLLNAISKGRITSLYIFHGPRGTGKTSASRIFAAALNCLSLEEFKPCCLCRECVLFFSGRIRDVKEIDSVRINRAERIRSLIKNASIPPVSSRFKVFIIDECHLLHGETWATILNSLENFSQHSVFVMITPDLEKLPRSAVTRSQRYHFPKIKDADIATRLGNICVEEGIDFDLVALDFIAAKSNGSLRDAEMMLDQLSLLGKRITMSLAYELIGVVSDDELLDLLDLALSSDTSNTVIRARDLMRSRIDPMQLVTQLANLIMDILAGKCEEDSSEIRRKFSRSHASETDMQRLSHALKILSETEKQLRMSKNQSTWLTVALLQLSSLETPSLNANDPKSSLRNAHDRDGDFCSTSSTEESLKLLFPCSCEDSKSHKLGMQGDCKATLESIWKGATELCQSNSLKNFLRKQGKLSSLCVNRDMAVAELEFHHPDYVSKAEKSWKMIASSLQLILGRNVEIRINLVLCAPVSKCTKLRKLSFSLFSCSRRMQQKSQLPMECRSNSDSSDHVSEKPMISDKAILTCSSDCRSQMPHNYPRVEVVRALRNTEGNVLSIGNASSHRSLQDDMQKLPAHGIDSSMEVRSSLENDVFPTQETEEPPNCFPRARRLQKRLHSSDNSKVIWMDNERANKLTLSVPGKKSFETYNSTDDSYVFGSNNYTNSRSEDELRENTVALCWRTPALPINKNWQLTDHRRRSPLVGWVLSCASAK >Manes.18G056300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4961871:4968617:-1 gene:Manes.18G056300.v8.1 transcript:Manes.18G056300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHSHHMNVHNQIAAPAGDDEDAAAADSIDHHHIRYEDGNATGVVVGDVSSDSVYVPSNGAGSELAVHRGDVSSQLTLTFRGQVYVFDAVTPDKVQAVLLLLGGCELTSGPLEIAAQNQRAAVVDFPGRCTQPQRAASLNRFRQKRKERCFDKKVRYSVRQEVALRMQRKKGQFTSSKKSDGTYGWGGGQDSGQDDSQQETSCTHCGISSKSTPMMRRGPSGPRSLCNACGLFWANRGTLRDLSKKTQEHSVTPIEQGEAEANDSDSGNAIHTHSNHVTY >Manes.18G056300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4961871:4968617:-1 gene:Manes.18G056300.v8.1 transcript:Manes.18G056300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHSHHMNVHNQIAAPAGDDEDAAAADSIDHHHIRYEDGNATGVVVGDVSSDSVYVPSNGAGSELAVHRGDVSSQLTLTFRGQVYVFDAVTPDKVQAVLLLLGGCELTSGPLEIAAQNQRAAVVDFPGRCTQPQRAASLNRFRQKRKERCFDKKVRYSVRQEVALRMQRKKGQFTSSKKSDGTYGWGGGQDSGQDDSQQETSCTHCGISSKSTPMMRRGPSGPRSLCNACGLFWANRGTLRDLSKKTQEHSVTPIEQGEAEANDSDSGNAIHTHSNHVTY >Manes.17G007400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2872477:2873682:-1 gene:Manes.17G007400.v8.1 transcript:Manes.17G007400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGFQGVPDLDLEGFIFFTKTWSKCASGQIASGFEFFQFKKCSTGGFLSLETFFWFPLLTALSGQFFFNEQNTGVMQNFSEIVVRSLVQLFNALLIQHPVRAFGNTSSGG >Manes.17G108500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31504852:31508107:-1 gene:Manes.17G108500.v8.1 transcript:Manes.17G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLLRRGVSIARVASTTPFTSRFVPARFHASEAEAQKVEPKASSSSNLKTFQIYRWNPDNPSKPQLQDYQIDLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIPSGTSSTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPQVPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPEAYLGPAALLHANRWISDSRDEYTNERLEAINDEFKLYRCHTILNCARACPKGLNPGKQIMHIKQLQLLGGV >Manes.11G126302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28839735:28839980:1 gene:Manes.11G126302.v8.1 transcript:Manes.11G126302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPNVEETISTKRGNCRSANKVTGAQASRPIGIAAVNAVSMEAIEKNNAISPMLNLIGKALRKSSSE >Manes.09G096300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29083194:29090809:1 gene:Manes.09G096300.v8.1 transcript:Manes.09G096300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHINLCLRCPPSTPRLAYKCHLRNPNSSLTFFPSSSSFSLNFHKMSCSSTSRSSFLTMKSHMATEEKPISGDRMLVFVPPHSLIKHWVSVLRNEQTPCPIFRNALAELGRLLIYEASRDWLPTINGQIQSPMGVASVEFIDPREPVAVVPILRAGLALAEHASSILPATKTYHIGISRDEETLQPTIYLNKLPEKFPKESRVFVVDPMLATGGTIVAAIDLIKERGVENKQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNDKGFIVPGLGDAGDRSFGT >Manes.09G096300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29084005:29087787:1 gene:Manes.09G096300.v8.1 transcript:Manes.09G096300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHINLCLRCPPSTPRLAYKCHLRNPNSSLTFFPSSSSFSLNFHKMSCSSTSRSSFLTMKSHMATEEKPISGDRMLVFVPPHSLIKHWVSVLRNEQTPCPIFRNALAELGRLLIYEASRDWLPTINGQIQSPMGVASVEFIDPREPVAVVPILRAGLALAEHASSILPATKTYHIGISRDEETLQPTIYLNKLPEKFPKESRVFVVDPMLATGDFTF >Manes.09G096300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29083924:29090809:1 gene:Manes.09G096300.v8.1 transcript:Manes.09G096300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSTSRSSFLTMKSHMATEEKPISGDRMLVFVPPHSLIKHWVSVLRNEQTPCPIFRNALAELGRLLIYEASRDWLPTINGQIQSPMGVASVEFIDPREPVAVVPILRAGLALAEHASSILPATKTYHIGISRDEETLQPTIYLNKLPEKFPKESRVFVVDPMLATGGTIVAAIDLIKERGVENKQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNDKGFIVPGLGDAGDRSFGT >Manes.09G096300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29083194:29090944:1 gene:Manes.09G096300.v8.1 transcript:Manes.09G096300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHINLCLRCPPSTPRLAYKCHLRNPNSSLTFFPSSSSFSLNFHKMSCSSTSRSSFLTMKSHMATEEKPISGDRMLVFVPPHSLIKHWVSVLRNEQTPCPIFRNALAELGRLLIYEASRDWLPTINGQIQSPMGVASVEFIDPREPVAVVPILRAGLALAEHASSILPATKTYHIGISRDEETLQPTIYLNKLPEKFPKESRVFVVDPMLATGGTIVAAIDLIKERGVENKQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNDKGFIVPGLGDAGDRSFGT >Manes.09G096300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29083148:29090944:1 gene:Manes.09G096300.v8.1 transcript:Manes.09G096300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHINLCLRCPPSTPRLAYKCHLRNPNSSLTFFPSSSSFSLNFHKMSCSSTSRSSFLTMKSHMATEEKPISGDRMLVFVPPHSLIKHWVSVLRNEQTPCPIFRNALAELGRLLIYEASRDWLPTINGQIQSPMGVASVEFIDPREPVAVVPILRAGLALAEHASSILPATKTYHIGISRDEETLQPTIYLNKLPEKFPKESRVFVVDPMLATGGTIVAAIDLIKERGVENKQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNDKGFIVPGLGDAGDRSFGT >Manes.09G096300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29083174:29090809:1 gene:Manes.09G096300.v8.1 transcript:Manes.09G096300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSTSRSSFLTMKSHMATEEKPISGDRMLVFVPPHSLIKHWVSVLRNEQTPCPIFRNALAELGRLLIYEASRDWLPTINGQIQSPMGVASVEFIDPREPVAVVPILRAGLALAEHASSILPATKTYHIGISRDEETLQPTIYLNKLPEKFPKESRVFVVDPMLATGGTIVAAIDLIKERGVENKQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNDKGFIVPGLGDAGDRSFGT >Manes.09G096300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29083204:29090809:1 gene:Manes.09G096300.v8.1 transcript:Manes.09G096300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHINLCLRCPPSTPRLAYKCHLRNPNSSLTFFPSSSSFSLNFHKMSCSSTSRSSFLTMKSHMATEEKPISGDRMLVFVPPHSLIKHWVSVLRNEQTPCPIFRNALAELGRLLIYEASRDWLPTINGQIQSPMGVASVEFIDPREPVAVVPILRAGLALAEHASSILPATKTYHIGISRDEETLQPTIYLNKLPEKFPKESRVFVVDPMLATGGTIVAAIDLIKERGVENKQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNDKGFIVPGLGDAGDRSFGT >Manes.03G137104.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26030582:26034575:-1 gene:Manes.03G137104.v8.1 transcript:Manes.03G137104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTNQEEDKKPNDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEMNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGAIA >Manes.12G108300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29846227:29849418:-1 gene:Manes.12G108300.v8.1 transcript:Manes.12G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSISLHLWWTILSLVLLLLIVQSFGLNTDGILLLSFKFAILSDPLRVLQTWNYFDETPCSWNGVTCGASSRATGLSLPNSQLLGSIPSDLFMIQNLQNLDLSNNSLNGSLPLSLSNASQLRFLDLSNNLFSGELPESIGSLQNLEFLNLSDNALAGTLPSSLPTLHNLTVVSLKNNYFFGGLPSGFGAVQVVDLSSNLINGSLPQGFGGTSLQYLNISYNKLSGPIPPEFASQIPGNATVDLSFNNLSGEIPDSTVFLNQKASSFTGNLDLCGEPSRNPCPIPSSPSSLPNVSSPTSPPAFAAIPKTTTSIPATTPPGSATGSGGLRRGTIIGIIVGDIAGAAILGMIFFYVYHLKKRKNVEKTLKKEANTAKEETWSSSSSESRGFKRWSCLRKRDNEEATDSTTSDDDDDPRSVENQRPQEQEQNKGGTLVTVDGEKQLEIETLLKASAYILGATGSSIMYKAVLEDGSALAVRRIGESHVERFRDFETQVRVIAKLVHPNLVRIRGFYWGVDEKLIIYDFVPNGSLANARYRKVGSSPCHLPWEARLRIAKGVARGLSFLHDKKHVHGNLKPSNILLGSDMEPRVGDFGLERLVTGDSSYKSSGSTRNFGSKRSTASRDSFQDFSIGPSPSPSPSSIGGLSPYHAPEMLRSLKPNPKWDVYSFGVILLELLTGKVIVVDELSQGYNGLAVEDKNRAIRMADVAIRADLEGKEETLLSCFKLGYSCASPVPQKRPTMKEVAQVLEKIPCSSSSSYLYGH >Manes.S054816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1895640:1896014:-1 gene:Manes.S054816.v8.1 transcript:Manes.S054816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.18G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23602027:23604699:-1 gene:Manes.18G141400.v8.1 transcript:Manes.18G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASKGVENSGFDNRQIDWELRPGGMLVQKRDTEGDPSGPMIKIKISHGSYHYEITVPAQSTFGDLKNVLVHETGLEPKEQRLLFRGKEKEDNECLHMVGVKDMSKVILLEDPACKERKLEEMKRNQGMLKAYEEVAKVRAEVDKLSQKVLTLETTVRNGTHVADKEFSVLIELLMVQLLKLDTIEANGEAKVQRRNEVRRVQNFVDTLDNLKARNSNPFSNGSNAVSVSTKWQTFESGVGSLSAPVPVQSGTKITQDWELFD >Manes.01G124600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32000594:32005658:-1 gene:Manes.01G124600.v8.1 transcript:Manes.01G124600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRTHKSVLLICGDYMEDYEAMVPFQALQAYGIDVDAACPGKKAGEFCRTAVHDVVGYQTYTESPGHNFSLNATFDEIDFGKYDGLVIPGGRAPEYLAMNESVLDCVRKFSDAGKPIASVCHGQIILAAAGCVKGRKCTAYPPVKPILIDAGAHWVEAQTMATCVADGNIITGATYDAHPEFIQLFVKALGGSITGANKRILFLCGDYMEDYEVTVPFQSLQALGCHVDAVCPKKKAGDFCPTAVHDFEGDQTYSEKPGHRFNLTASYNELDASSYDALVIPGGRAPEYLALDETVLTLVKQFMESGKPVASICHGQQILAAAGVLKGKKCTAYPAVKINVVLAGATWLEPDPIHRCYTHENLVTGAAWPGHPEFISQLMALLGIRVSF >Manes.01G124600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31998905:32005658:-1 gene:Manes.01G124600.v8.1 transcript:Manes.01G124600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRTHKSVLLICGDYMEDYEAMVPFQALQAYGIDVDAACPGKKAGEFCRTAVHDVVGYQTYTESPGHNFSLNATFDEIDFGKYDGLVIPGGRAPEYLAMNESVLDCVRKFSDAGKPIASVCHGQIILAAAGCVKGRKCTAYPPVKPILIDAGAHWVEAQTMATCVADGNIITGATYDAHPEFIQLFVKALGGSITGANKRILFLCGDYMEDYEVTVPFQSLQALGCHVDAVCPKKKAGDFCPTAVHDFEGDQTYSEKPGHRFNLTASYNELDASSYDALVIPGGRAPEYLALDETVLTLVKQFMESGKPVASICHGQQILAAAGVLKGKKCTAYPAVKINVVLAGATWLEPDPIHRCYTHENLVTGAAWPGHPEFISQLMALLGIRVSF >Manes.01G051700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19648245:19668360:1 gene:Manes.01G051700.v8.1 transcript:Manes.01G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEPQLENGAKPEPEHNPESNPVREPAPISEPEPNLASQPPSDSTPVAITDADPKAEEPKDASIQSNEADISQSNDQNARPELRKDDGSRTFTMRELLSELKTEEGDDASTPRSQQSTLHPQADQNSAAMELINSVTGADEEGRSRQKILTFAAKRYASAIERNPEDYDALYNWALVLQESADNVNPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGATNPKDVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPYLKVGYLTAPPAGESIAPHSDWKRSQFVLNHEGFQQVNQLEQKQTQNLSGRPHITNSENRAIKVDIPDIVSVSACADLTLPPGAGLCIDTIHGPFYFVADSWESLEGWLDAIRLVYTIYARGKSEVLASIVTG >Manes.01G051700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:19648245:19668360:1 gene:Manes.01G051700.v8.1 transcript:Manes.01G051700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEPQLENGAKPEPEHNPESNPVREPAPISEPEPNLASQPPSDSTPVAITDADPKAEEPKDASIQSNEADISQSNDQNARPELRKDDGSRTFTMRELLSELKTEEGDDASTPRSQQSTLHPQADQNSAAMELINSVTGADEEGRSRQKILTFAAKRYASAIERNPEDYDALYNWALVLQESADNVNPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGATNPKDVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMVNQLEQKQTQNLSGRPHITNSENRAIKVDIPDIVSVSACADLTLPPGAGLCIDTIHGPFYFVADSWESLEGWLDAIRLVYTIYARGKSEVLASIVTG >Manes.04G145300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34311098:34311810:1 gene:Manes.04G145300.v8.1 transcript:Manes.04G145300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSGLIIAIKTTSPSSSSSTSIQSRSRASLIPSSDPSWVLRIGSSSWGLAAILAMLMLVLACQESVQQYLRK >Manes.08G093700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31740791:31744099:1 gene:Manes.08G093700.v8.1 transcript:Manes.08G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPSPSAAMKPPRGKLPISIITIVICGFAFVALLYADTSRSFFKLKSCPRRHGAKKSRENTKSFELDDRFEFDPEECTVNTGKWVFNKSLEPFYTDRSCPYLDKQVSCVMNGRRDSDYRHWEWQPDDCTLPRFNPELALKKLRGKRLMFVGDSLQRGQWQSFVCLVEWLIPEDKKSLKRGRFLSVFRAKEYDATIEFYWAPFLVESNTDQPILGDPKKRIIKVDSIEKHAKNWRGVDILVFNTYVWWMSGLRLKTLWGSFANGQEGYEELDTPVAYKIGFKTWANWVDSNINPNKTRVFFTTMSPTHTRSQDWNNTKGIKCFNETKPVTKKRYWGSGSDKKMMSVVASVVGKMKVPVTVLNITQLSEYRIDAHTSVYTETGGKMLTDEQKADPLHHADCIHWCLPGVPDTWNQMFLAYL >Manes.11G084400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13149121:13159228:-1 gene:Manes.11G084400.v8.1 transcript:Manes.11G084400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEFKANLSNGNANVQPAAQRTYQVVVAATRDMGIGKDGKLPWRLPSDLKFFKDVTMTTSDSGKKNAVIMGRKTWESIPLEHRPLPGRLNVVLTRSGSFDIATAENVVMCGSLNSALELLAASPYCLSIEKAFVIGGGQILREALNAPGCDAIHMTEIETNIDCDTFIPTVDSSVFQPWYSSFPMVENSIRYCFATYVRVRSSVVESNGENNGLSSDSGSENSKFEVKKFSFLPKMVFERHEEFLYLRLVQDIISDGVLKDDRTGTGTLSKFGCQMRFNLRKTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSIGLKDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKSNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCNLVPGDFIHVFGDAHVYSSHVRPLQEQLQKLPKPFPILMINPEKKNIDSFVAADFKLIGYDPHHKIEMKMAV >Manes.S028052.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2334503:2335315:1 gene:Manes.S028052.v8.1 transcript:Manes.S028052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.11G116300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27325166:27328122:-1 gene:Manes.11G116300.v8.1 transcript:Manes.11G116300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNHSISSIRQDTYLTRVLEKTVKGLMMNLCLFYFLILVLIDHGLGLNTDECKESKCGTHGPVVRFPFGIKGQQPDHCGYPETGFDLSCSETNETVLELPNSVKLFVKKIDYVAQVIYTSDPQDCLPRQFSNLNLSASSFRFRDVGIFNDVSLFNCSGRRGDSFFQMPCLSAPGYQVAVFGSSVSTDNIALLHCTKMYDVSLVPDDMIDGNDNILHLNWSNPSCGSCAAQGKFCRLKANTTEPETECYGKLMHIKWSSPKFLATGIVLVLILLVVVGVAIYRVYSFNRIEREYQSKIEKFLDDYRAFKPGRYSYAVIKRMTNNFKEELGQGAYGTVFKGKLSDEVLIAVKVLNSSKRNGEEFVNEVRTIGKIHHVNVVRLIGFCADGFRQALVYEYLPNDSLEKFISLPYDNNHFLGWKRLQDIALGIAKGIEYLHQGCNQRILHFDIKPRNILLDENFNPRISDFGLAKLCSKDQSTVSMTTARGTIGYIAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKISVDDKEKTNDQIDFPDWIYNILEGGEDLRLEIDAEEDAGIAKKLAIVGLWCIQWNPVDRPSMKTVVQMLEGDGSHLTTPSNPFSSAAPAERMHFKIPGRNFHQALEVIRETD >Manes.11G116300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27325790:27328122:-1 gene:Manes.11G116300.v8.1 transcript:Manes.11G116300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNHSISSIRQDTYLTRVLEKTVKGLMMNLCLFYFLILVLIDHGLGLNTDECKESKCGTHGPVVRFPFGIKGQQPDHCGYPETGFDLSCSETNETVLELPNSVKLFVKKIDYVAQVIYTSDPQDCLPRQFSNLNLSASSFRFRDVGIFNDVSLFNCSGRRGDSFFQMPCLSAPGYQVAVFGSSVSTDNIALLHCTKMYDVSLVPDDMIDGNDNILHLNWSNPSCGSCAAQGKFCRLKANTTEPETECYGKLMHIKYKFIFLFAGSSPKFLATGIVLVLILLVVVGVAIYRVYSFNRIEREYQSKIEKFLDDYRAFKPGRYSYAVIKRMTNNFKEELGQGAYGTVFKGKLSDEVLIAVKVLNSSKRNGEEFVNEVRTIGKIHHVNVVRLIGFCADGFRQALVYEYLPNDSLEKFISLPYDNNHFLGWKRLQDIALGIAKGIEYLHQGCNQRILHFDIKPRNILLDENFNPRISDFGLAKLCSKDQSTVSMTTARGTIGYIAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKISVDDKEKTNDQIDFPDWIYNILEGGEDLRLEIDAEEDAGIAKKLAIVGLWCIQWNPVDRPSMKTVVQMLEGDGSHLTTPSNPFSSAAPAERMHFKIPGRNFHQALEVIRETE >Manes.11G116300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27325603:27328147:-1 gene:Manes.11G116300.v8.1 transcript:Manes.11G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNHSISSIRQDTYLTRVLEKTVKGLMMNLCLFYFLILVLIDHGLGLNTDECKESKCGTHGPVVRFPFGIKGQQPDHCGYPETGFDLSCSETNETVLELPNSVKLFVKKIDYVAQVIYTSDPQDCLPRQFSNLNLSASSFRFRDVGIFNDVSLFNCSGRRGDSFFQMPCLSAPGYQVAVFGSSVSTDNIALLHCTKMYDVSLVPDDMIDGNDNILHLNWSNPSCGSCAAQGKFCRLKANTTEPETECYGKLMHIKWSSPKFLATGIVLVLILLVVVGVAIYRVYSFNRIEREYQSKIEKFLDDYRAFKPGRYSYAVIKRMTNNFKEELGQGAYGTVFKGKLSDEVLIAVKVLNSSKRNGEEFVNEVRTIGKIHHVNVVRLIGFCADGFRQALVYEYLPNDSLEKFISLPYDNNHFLGWKRLQDIALGIAKGIEYLHQGCNQRILHFDIKPRNILLDENFNPRISDFGLAKLCSKDQSTVSMTTARGTIGYIAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKISVDDKEKTNDQIDFPDWIYNILEGGEDLRLEIDAEEDAGIAKKLAIVGLWCIQWNPVDRPSMKTVVQMLEGDGSHLTTPSNPFSSAAPAERMHFKIPGRNFHQALEVIRETE >Manes.08G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34560591:34566230:1 gene:Manes.08G105800.v8.1 transcript:Manes.08G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVPMYYSVIAFFCSAGAIALAVFHIYRHLLNYTEPTYQRFIVRIIFMVPVYALMSFLSLILPTSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSMCLMTCCLPPIPLDGRFIRRCKQGCLQFVILKPILVAVTLIFYAKGKYKDGNFSPNQSYLYLTITYTISYTMALYALALFYVACKDLLQPFNPVPKFVIIKSVVFLTYWQGVLVFLAAKSKFIKNAEEAAQFQNFIICVEMLIAAVGHLFAFPYKEYAGANIGGSCGFSGSLAHALKLNDFYHDTVHQFAPTYHDYVLYNHSEGDEGTRKYRSRTFVPTGQEMDAVRRNKLMFGNKLDEIQLSSHSSSGTSTPKNITPVPDSTNSETMKSSLLVDASNSLSVPYDMSLIDMDMSNYPEKVPAANVTGIRQG >Manes.18G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1839960:1846045:1 gene:Manes.18G018100.v8.1 transcript:Manes.18G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHSRRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHGSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHDEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALKKKSVAPADENLRFSQVNSDFFGGVDVVVKYVLEGHDRGVNWASFHPNLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHARQDAIVSNSEDRSIRVWDATKRTGLQTFRREHDRFWILAAHPVMNLLAAGHDSGMIVFKLERERPAFSVSGNTMYYVKDRFLRFYEFPSQKEAQVMPIRRPGSSSLNQGARTLSYSPTENAVLICSDLDGGSHELYIIPKGSSGRGETVQEAKRGVGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKRTALPLLADAIFYAGTGNLLCRAEDRVFIFDIQQRLIFGELQTPFVRYVVWSNDMESVALLSKHSIIIADKKLVHQCSLHETIRIKSGAWDDNGVFIYTTLNHMKYCLPNGDNGIIKTLDVPLYITKVAGNTVYFLDRDAKSCAIVIDATEYIFKLSLLRKRYDQVMSMIRSSELCGEAVIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNMDKLSKMLKIAEVKDNVMGQFHNALYLGDIRERVKILENAGHLPLAYTTAATHGLHDIAERLAAELGDDVPSLPEGKSPSLLMPPSPILCGGDWPLLRVMRGIFGGGLDNADKHVQEEYEDAADAGWGDDSDIVDVENMPNGDTSIVLEGGDEHEEYEEGGWDLEDLDLPPENDTLKTANNSRSSVFVAPTPGMPVNQIWIQKSSLAAEHAAAGNFDTAMRLLSRQLGIRNFAPLRPLFLDLQMGSHSYLRAFSSAPVIQLAIERGWNESASPNVRHPPALVFDFSQLEEKLKAGYKATTAGKFTEALRHFLSILHTIPLIVVETRREVDEVKELVVIVKEYVLGLQMELKRRDIKGNPVHQQELAAYFTHCNLQLPHMRLALLNAMTVCYKAGNLNTAANFARRLLETNPTIESQAKTARQVLQAAEKNMTDKSQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCSSRFVPSQEGQLCTVCDLAIVGSDASGLLCSPSQIR >Manes.12G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8541747:8544212:-1 gene:Manes.12G075000.v8.1 transcript:Manes.12G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITPSFQTSNLRTVFLGERNGICLPVVPMTHVGFLSKTIECKESRIGKQPITVPSNVTITLNGQDLKVKGPLGELSRTYPREVRLERDENGVIRVKKALESRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLVGVGYRATVEGKDLVLSLGFSHPVRMAIPNDLKVKVEENTRIIISGYDKCNIGQFAASIRKWRPPEPYKGKGVRYANEVIRLKEGKAGKKK >Manes.12G075000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8541747:8544212:-1 gene:Manes.12G075000.v8.1 transcript:Manes.12G075000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVGFLSKTIECKESRIGKQPITVPSNVTITLNGQDLKVKGPLGELSRTYPREVRLERDENGVIRVKKALESRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLVGVGYRATVEGKDLVLSLGFSHPVRMAIPNDLKVKVEENTRIIISGYDKCNIGQFAASIRKWRPPEPYKGKGVRYANEVIRLKEGKAGKKK >Manes.15G091400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7137838:7138864:1 gene:Manes.15G091400.v8.1 transcript:Manes.15G091400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRCMATLKPSLVLVFIVVTTLMLVASAEAPLPHHESSFIPRKMDSGRILRELGYDGSRLEHHRMRFMQGADPQRESPGGPDPQHH >Manes.07G111100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31668319:31673728:1 gene:Manes.07G111100.v8.1 transcript:Manes.07G111100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNSPSLYHTLSSNSFPQMGLQRYRSSFPCCRRGFSFIVRAEQSWALSQVKSRRRQLIAVSVIAPWVSLFNQTSSSFAAETKKGFLLVTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLENVSVNMFPTTKQDIRDFGPPQQVAETLIKKVLAPPSQKTKLIEASQHDVDGKAYYTFEFVAQAPNYTRHALSTISIGNGKFYTLTTGANERRWDKMKDKLHTVIDSFSVFNVVG >Manes.07G111100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31668319:31673728:1 gene:Manes.07G111100.v8.1 transcript:Manes.07G111100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNSPSLYHTLSSNSFPQMGLQRYRSSFPCCRRGFSFIVRAEQSWALSQVKSRRRQLIAVSVIAPWVSLFNQTSSSFAAETKKGFLLVTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLENVSVNMFPTTKQDIRDFGPPQQVAETLIKKVLAPPSQKTKLIEASQHDVDGKAYYTFEFVAQAPNYTRHALSTISIGNGKFYTLTTGANERRWDKMKDKLHTVIDSFSVFNVVG >Manes.07G111100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31669577:31673728:1 gene:Manes.07G111100.v8.1 transcript:Manes.07G111100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQNSPSLYHTLSSNSFPQMGLQRYRSSFPCCRRGFSFIVRAEQSWALSQVKSRRRQLIAVSVIAPWVSLFNQTSSSFAAETKKGFLLVTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLENVSVNMFPTTKQDIRDFGPPQQVAETLIKKVLAPPSQKTKLIEASQHDVDGKAYYTFEFVAQAPNYTRHALSTISIGNGKFYTLTTGANERRWDKMKDKLHTVIDSFSVFNVVG >Manes.08G060300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7257277:7264264:-1 gene:Manes.08G060300.v8.1 transcript:Manes.08G060300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPIENQNHESNNHTLSIETDSQPTNSHTSKSSNPFYSPSPLPSLFKYSPAISSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGNESEIALDKNYGFSKQFVSHYDLGEEVGRGHFGYTCSAKAKKGNMKGQDVAVKVIPKSKMTTAIAIEDVRREVQILRALSGHKNLVQFYDAYEDDENVYVVMELCNGGELLDRILSRGGKYSEDDAKTVMVQILNVVAYCHLQGVVHRDLKPENFLFATKEENSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPIFDDAPWTSLSPEAIDFVKRLLNKDYRKRLTAAQALSHPWLANHHEIKIPLDMIVYKLVKVYICSSSLRKSALGALAKTLTVAQLAYLREQFTLLGPSKNGFISMSNFKLAVIKNSTDAVKDSRVLDYVSMVSSLQYRKLDFEEFCAAAISVHQLEAMDCWEQHARRAYEFFEKDGNRPIMIEELASELGLGPSVPVHVVLQDWIRHADGKLSFLGFVRLLHGVSSRTFQKA >Manes.08G060300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7257362:7264245:-1 gene:Manes.08G060300.v8.1 transcript:Manes.08G060300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPIENQNHESNNHTLSIETDSQPTNSHTSKSSNPFYSPSPLPSLFKYSPAISSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGNESEIALDKNYGFSKQFVSHYDLGEEVGRGHFGYTCSAKAKKGNMKGQDVAVKVIPKSKMTTAIAIEDVRREVQILRALSGHKNLVQFYDAYEDDENVYVVMELCNGGELLDRILSRGGKYSEDDAKTVMVQILNVVAYCHLQGVVHRDLKPENFLFATKEENSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPIFDDAPWTSLSPEAIDFVKRLLNKDYRKRLTAAQALSHPWLANHHEIKIPLDMIVYKLVKVYICSSSLRKSALGALAKTLTVAQLAYLREQFTLLGPSKNGFISMSNFKLAVIKNSTDAVKDSRVLDYVSMVSSLQYRKLDFEEFCAAAISVHQLEAMDCWEQHARRAYEFFEKDGNRPIMIEELASELGLGPSVPVHVVLQDWIRHADGKLSFLGFVRLLHGVSSRTFQKA >Manes.08G060300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7257362:7264264:-1 gene:Manes.08G060300.v8.1 transcript:Manes.08G060300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPIENQNHESNNHTLSIETDSQPTNSHTSKSSNPFYSPSPLPSLFKYSPAISSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGNESEIALDKNYGFSKQFVSHYDLGEEVGRGHFGYTCSAKAKKGNMKGQDVAVKVIPKSKMTTAIAIEDVRREVQILRALSGHKNLVQFYDAYEDDENVYVVMELCNGGELLDRILSRGGKYSEDDAKTVMVQILNVVAYCHLQGVVHRDLKPENFLFATKEENSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPIFDDAPWTSLSPEAIDFVKRLLNKDYRKRLTAAQALSHPWLANHHEIKIPLDMIVYKLVKVYICSSSLRKSALGALAKTLTVAQLAYLREQFTLLGPSKNGFISMSNFKLVSSLQYRKLDFEEFCAAAISVHQLEAMDCWEQHARRAYEFFEKDGNRPIMIEELASELGLGPSVPVHVVLQDWIRHADGKLSFLGFVRLLHGVSSRTFQKA >Manes.08G060300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7257362:7264264:-1 gene:Manes.08G060300.v8.1 transcript:Manes.08G060300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPIENQNHESNNHTLSIETDSQPTNSHTSKSSNPFYSPSPLPSLFKYSPAISSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEATIPEGNESEIALDKNYGFSKQFVSHYDLGEEVGRGHFGYTCSAKAKKGNMKGQDVAVKVIPKSKMTTAIAIEDVRREVQILRALSGHKNLVQFYDAYEDDENVYVVMELCNGGELLDRILSRGGKYSEDDAKTVMVQILNVVAYCHLQGVVHRDLKPENFLFATKEENSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPIFDDAPWTSLSPEAIDFVKRLLNKDYRKRLTAAQALSHPWLANHHEIKIPLDMIVYKLVKALAKTLTVAQLAYLREQFTLLGPSKNGFISMSNFKLAVIKNSTDAVKDSRVLDYVSMVSSLQYRKLDFEEFCAAAISVHQLEAMDCWEQHARRAYEFFEKDGNRPIMIEELASELGLGPSVPVHVVLQDWIRHADGKLSFLGFVRLLHGVSSRTFQKA >Manes.15G064800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4928618:4944276:1 gene:Manes.15G064800.v8.1 transcript:Manes.15G064800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVGVHVSDQWLQSQFTQVELRSLKSKFTTVKNQNGKVTGEDLPPLMVKLKAFTSMFNEEEIRGILGESFPDMGIEIDFEDFLRVYLNLQGQATAKSGEQKHASSFLKAMTTTLLHTINESEKASYVVHINSYLGDDPFLKQFLPLDPASNDLFNLVRDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLSLKKTPQLVELVDDNNDVEELMGLAPEKVLLKWMNFHLKKAGYEKPVSNFSSDLKDGKAYAYLLNVLAPEFCNPATLDAKDSKERAKLVLDHAERMDCKRYLKPEDIAEGSPNLNLAFVAQIFHQRSGLSTDSKKYSFAEMMKDDVQTSREERCFRLWINSLGIATYVNNVFEDVRNGWPLLEVLDKISPGSVNWKQASKPPIKMPFRKVENCNQVIKIGRQLKFSLVNVGGNDIVQGNKKLILAFLWQLMRYNMLQLLKNLRSHSQGKEVTDADILKWSNKKVRSTGRTSKIDNFKDKSLSTGIFFLELLSAVEPRVVNWNLVTKGETDDEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLAASIMYWSLQKAMEEGESVNGTCDSTPDESPAPSVNGEDESSSLGGEVSNLNIDDAASDTTVSSQVESEEAPGGE >Manes.11G078200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11547267:11552554:-1 gene:Manes.11G078200.v8.1 transcript:Manes.11G078200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPFQFNIPNLRVGTLDSLLSLSDDLLKSNSFIEGVSHKIRRQIEELERASGVESSALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEIVDSIHTQVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNLVKPEDIITTEHLVTLLAIVPKYSQKDWLASYERLTSYVVPRSSKMLHEDNEYALYTVTLFHRVADNFRTSAREKGFQIRDFEYSPEAQESRKQELERLVRDQESFRSSLLQWSYTSYGEVFSSWMHFCAVRVFSESILRYGLPPSFLACVLAPSVKGEKKVRSILEGLCDSANSTYWKSEDEVGGGMAALGGDADSHPYVSFTINLI >Manes.11G078200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11547267:11552554:-1 gene:Manes.11G078200.v8.1 transcript:Manes.11G078200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWVVSLPVQNSASTLWNRLQEQISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLLKSNSFIEGVSHKIRRQIEELERASGVESSALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEIVDSIHTQVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNLVKPEDIITTEHLVTLLAIVPKYSQKDWLASYERLTSYVVPRSSKMLHEDNEYALYTVTLFHRVADNFRTSAREKGFQIRDFEYSPEAQESRKQELERLVRDQESFRSSLLQWSYTSYGEVFSSWMHFCAVRVFSESILRYGLPPSFLACVLAPSVKGEKKVRSILEGLCDSANSTYWKSEDEVGGGMAALGGDADSHPYVSFTINLI >Manes.12G138300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34463268:34469363:-1 gene:Manes.12G138300.v8.1 transcript:Manes.12G138300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLQTSASKISYLRFGVQSIGLDLGSHSSGSVSFPSRPCQCHFKSLEATRQVRSSRISAVVKQESGTQEMELDISLSPRVNAVKPSKTVSIMDHATALLEAGVPVIRLAAGEPDFDTPAPIAEAGINAVREGYTRYTPNAGTLEVRTAICHKLKEENGISYAPNEILVSNGAKQSILQAVLAVCSPGDEVIIPAPYWVSYPEIARLADATPVIPPTSISENFLLDPKLLESKLTEKTRLLILCSPSNPTGSVYPKELLDEIARIVAKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAGPKHFVAACNKIQSQFTSGASSIAQKAAVAALGLGYAGGEAVSTMVKAFKERRDFLIKNFGEMEGVGISEPQGAFYLFIDFSSYYGVEVEGFGVIEDSDSLCRYLLDKAQVAVVPGGAFGDDSCIRISYAASLTTLQAAVERIKKALVPLKPVVPV >Manes.02G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10986465:10990386:-1 gene:Manes.02G143400.v8.1 transcript:Manes.02G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGSECVSSSDGLDEDEIHPHNLHHHHHQFSSSKPHNAGANNNNNANNVVGPTGIVPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCNLGCPEIFPYYSKLKHEVVCNFRPYNCPYAGSECSTVGDISFLVSHLKDDHKVDMHTGCTFNHRYVKSNPHEVENATWMLTVFNCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDETEARSYSYSLEVGANGRKLIWEGSPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNSEVDVCIPNLCS >Manes.16G107000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31260716:31261963:1 gene:Manes.16G107000.v8.1 transcript:Manes.16G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWILAFLLLFQFQALPRGAAVGVGVGVGIGNVGGGGGGGVWIGGGINDPPSSGSSISNLNSAYTALQAWKSAITDDPLKILDTWVGTDVCSYKGVFCAVPRDDAGFDSPSDPVVAGIDLNHGNLQGNLVKELSLLTDMTLLHLNSNRFSGTIPDSFRELSSLQELDLSNNNFSGRFPVVTLYIPNLIYLDLRFNSFSGPIPEDLFNKRLDAIFLNNNQFSSQIPQNMGNSPASVINLANNKFTGSIPASFGMMSSKLKEILFLNNQLTGCIPEGVGLFTDMEVLDVSYNSLMGHVPDTVSCLSELEVLNLAHNKLSGEVPDLVCSLKSLLNLTVAYNFLSGFSQECTKLFIRNAGFDFSLNCIPGGVMQRPQPECSGVPGGGLSCLRIPSSQPLVCGSLGATIDVNLGPSSP >Manes.09G049758.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10379398:10382057:-1 gene:Manes.09G049758.v8.1 transcript:Manes.09G049758.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSVLLLGLSFALLFHEAHPARITFTNNCAYTVWPATLTGEHFFKSCKNGEIFSKTCRIRKYFRILGSRPQLSSTGFQLATKETQSLDVPAPFTGRFWGRTHCTNSSSGKFICATGDCGSGQLSCNGAGGNPPISLVEFTLAENHGQDFYDVSLVDGFNVPISVSPQGGSGNNCTSSSCAADVNSVCPTELAVKGSDGGTTISCKSACEEFNQPEYCCTGNFQTPVTCKPSSYSMIFKDQCPQAYSYAYDDLTSTFSCSGGANYAVIFCP >Manes.04G033150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4211304:4217677:-1 gene:Manes.04G033150.v8.1 transcript:Manes.04G033150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDPFWEHVDVVENKRSRCRHCGEVFAPRNIHRIKLHLAGVARGIKKCTGVPAEVREEALKAVSKRKDETPSYSVVLQGKNSLPSNVQYSSPEISQKNDNVMLLRNNNDSKVINQKLAKFFLLNNIPFDVVETSSFIDFVKSVSRCDHGYKLPSHLELQTEFVSEIEMQVEKYVKDVKKSLEKTGCTIMVENCCNFINILVYSPEGAIFEASYEVSVLETMDFGIREIVASIIEKTGVDYVVQVITNDSQILHSVGEMLEVNYPRIYLIRCVAQEIHFLLKDMFDEIPWIGQIIDHAELILKHMYHNDVVLSLMRQYTKDKELKHPSMSKLASKYQMLHSIFDNKNELQQLIASEEWYKLDSNRNETAAKVTDIIQSENFWSEGRDVLDVLEPIVQVLRLVEDDKSTSGYLYEELKRAREAIEGYRDGNPAKYQRILELLEERQGMIIHPIHAAASFLNPTYMFLKDSEYWDINMYNGMNLLSEKLVASGEETANFTRELQLYRKRSKEFTNEMSYEIMNSYHPREWWEHHQPRLPVLSKCAIRILSQPCKCSCNIKPSVSEVARRKLMNSTSKSLNHMFVTVNSIFMEKFRSMEKQNRMPLIDLETCTELPDYAVDKQDWENDQMDVDAKNETDLLCFGDKTLLQQLEASDWDINTTATLSQMLCNYGECAKDHSHPW >Manes.04G033150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4211665:4217677:-1 gene:Manes.04G033150.v8.1 transcript:Manes.04G033150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDPFWEHVDVVENKRSRCRHCGEVFAPRNIHRIKLHLAGVARGIKKCTGVPAEVREEALKAVSKRKDETPSYSVVLQGKNSLPSNVQYSSPEISQKNDNVMLLRNNNDSKVINQKLAKFFLLNNIPFDVVETSSFIDFVKSVSRCDHGYKLPSHLELQTEFVSEIEMQVEKYVKDVKKSLEKTGCTIMVENCCNFINILVYSPEGAIFEASYEVSVLETMDFGIREIVASIIEKTGVDYVVQVITNDSQILHSVGEMLEVNYPRIYLIRCVAQEIHFLLKDMFDEIPWIGQIIDHAELILKHMYHNDVVLSLMRQYTKDKELKHPSMSKLASKYQMLHSIFDNKNELQQLIASEEWYKLDSNRNETAAKVTDIIQSENFWSEGRDVLDVLEPIVQVLRLVEDDKSTSGYLYEELKRAREAIEGYRDGNPAKYQRILELLEERQGMIIHPIHAAASFLNPTYMFLKDSEYWDINMYNGMNLLSEKLVASGEETANFTRELQLYRKRSKEFTNEMSYEIMNSYHPREWWEHHQPRLPVLSKCAIRILSQPCKCSCNIKPSVSEVARRKLMNSTSKSLNHMFVTVNSIFMEKFRSMEKQNRMPLIDLETCTELPDYAVDKQDWENDQMDVDAKNETDLLCFGDKTLLQQLEASDWDINTTATLSQMLCNYGECAKVKYQDLNFDLLH >Manes.09G019751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4106904:4108722:1 gene:Manes.09G019751.v8.1 transcript:Manes.09G019751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHPHFFLYPKRRWLYYSTDFKGPSELSH >Manes.03G132300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25875597:25877395:-1 gene:Manes.03G132300.v8.1 transcript:Manes.03G132300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNICMEYVAGGTLHDAIQRHGGQLDEPMIRLYTRDILEGLEYLHTNGVVHCDIKSKNVLISKEGAKIADFGCAKYVGKVGGTESEFSGTPAFMAPEVARGEEQGWPADMWALGCTVIEMATGTIPWTGQQNPVSALYQIGFSDKVPEFPSWLTEKGQDFLSKCLRRDPNERWTAKELLDHPFLNDLELELKEMEEFTMTSPSCVLDQDFWGSMEALQSPQGLTLEGFSNSPAERMKKLIECASPAEVPNWTWEEDDWITVRSSDTEETFDAPSVPTAFSTSDSIFYEQDLQSSFFYEDLLMEFFVENENISINSNERYDFVSEDLNSEKYNDKSCFLLSHILSVLCFKFLLLANIFLDKPTAPFLSRDDRQIQITWIKGG >Manes.15G156500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12913155:12920234:1 gene:Manes.15G156500.v8.1 transcript:Manes.15G156500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKWLMEKRSTFRSDSFSKEYESVLETGCLSIIVLGASGDLAKKKTFPALFNLYRQGFLQSDEVHIFGYARTKISDDELRNRIRGYLGKDASPGHSEDVSNFLQLIKYVSGSYDTEEGFQLLDKEISEHELAKKSAEGSSRRLFYFALPPSIYPIVCKMIKKCCMNRSDLGGWTRIVVEKPFGKDLESSEQLSAKIGELFEEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDNSNTPTFATVILRIHNERWEGVPFIMKAGKALNSRKAEIRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAIYMKLTVKQPGLEMSTAQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEMKPIPYEPGSRGPAEADELLSKAGYVQTHGYIWIPPTL >Manes.07G132904.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33456805:33457583:1 gene:Manes.07G132904.v8.1 transcript:Manes.07G132904.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISQASGVDALGLANMIISAARNATTHRKNCEQLAEHVRLISNLLEKLKSTDLINLPATQEPLEAMEEALREAFDLVESCKDKSYLYMLAMGWSVVYQFRQVQAEIDRYLDTRRLPLIPLIVPLILLFMNFGCKYFF >Manes.12G095201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:20710084:20710865:1 gene:Manes.12G095201.v8.1 transcript:Manes.12G095201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLPFSSGVLSLFIDFCDCFCPSRVGGFSLLSLVEVPAKTIKSFQK >Manes.01G251700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41023012:41025624:-1 gene:Manes.01G251700.v8.1 transcript:Manes.01G251700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHSENPIESVMEKISEKIHGHDSSSSSDSDSDSERKSDSPSSVKAKIFRLFGREKPVHKVFGGGKSADVLLWRNKKISASVLGVATAIWVLFELIEYHLLTLVCHILILSFAILFLWSNAHTFINKTPPRIPQVHLPEEPFLQVASALTFEINRGFAVLRDIASGKDLKKFLIVIASLWVFSIVGSWCNFLTLFYTSFVLLHTVPVLYEKYEDKVDPFAEKAMIEIRKQYAVFDAKVLSKIPVGTLKAKRV >Manes.11G055432.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7634675:7640107:-1 gene:Manes.11G055432.v8.1 transcript:Manes.11G055432.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSVADDVKVVAHLSNGHSFDCQILPFDFHYNIAALKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIAVGRYFIKPYDVMATAGEFSLDRCEYDCKELLRVNCQITRCGIGGSFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVSSCFMQLVSKRLCNLFLKDRSTLANIVILSHSCIKFEGHEGIRVP >Manes.11G055432.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7634675:7640107:-1 gene:Manes.11G055432.v8.1 transcript:Manes.11G055432.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSVADDVKIQSESDAPLPTACLAHLDDSISVDPNQLYIREEKPFQLRPHSKSFNLIPGDTLIAVGRYFIKPYDVMATAGEFSLDRCEYDCKELLRVNCQITRCGIGGSFINYYGEVMGICFHDMGFTPFLPINVASKWWEHYKRCGEPRRPWLGMEVTNLYAADVDILEKIVQKFPNVFKGVIVEEVSSCFMQLVSKRLCNLFLKDRSTLANIVILSHSCIKFEGHEGIRVP >Manes.13G105000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30585331:30593073:1 gene:Manes.13G105000.v8.1 transcript:Manes.13G105000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGEVAMNGKGTGTPKSPLRKIATSDKHDGICHDMSASTVKAQTIDELHSLQRKKSAPTTPNKGIQGSFATISEEERQKQQLQSISASLASLTRETGPKVVRGDPANKIRPISKDHHAAVPAISVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFIASTGALATLSGAKTGRAPRDKRVVKDDETSEELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTLEELENFGTPDFTIYNAGQFPCNRYTHYMTSSSSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDPNRYLIGDDEHCWSETGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYADRSVTENTRASYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGVKEPRATFSACFGAAFIMLHPTKYAAMLAEKMQKRGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLNANYKKTNVFGLEIPTEVEGVPSEILDPVNTWSDKNAYNETLLKLAGLFQNNFATFTDYKIGKDNKLTEEILAAGPVF >Manes.17G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25817866:25821523:-1 gene:Manes.17G059400.v8.1 transcript:Manes.17G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTEMVSSSPTSSALSVPVLDSSSNLALTSSSTPAISESTSASDIGSSSSPEFGTSSAPMSDASSDSTFTFCILPPLSVCPTSAFGSPSPLAFDGSSASGFGASSAPMVGSSSTSAFTSSSTDPEFGESSALGFDISSVPILGTTPVSCQSISASASGASTSNAPASATSSTPTITSSSVPAFSTSASPFGASSSLSDPEFISSSTSALCQTTSTSAFGASSAPTFISSLNPAVSQANSTSAFEAPASGGSIASGFGSFTDIASLSTPSNTLPFGFSFATPICFDGSSVSASTLGSSNISGLASFGSGKQGSPFAGYRYDQAAGGITYGVSTSNPTSFGATSTIHSCPLPASFSSSPLLCSLPSGSSSAQDHTQATPSTIPFVNTTSSPTGILPVLNVPNIQLFPLPQLVAPTFQLTNAVAGQGISFVHGITPQSYTLGMPIAPAFGQLVFTSLPLQYPGHVTGSGQSIGLLALLQPICAFSVDNSAQSPPSGVGSGTPTGTNGSS >Manes.07G060716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:10618319:10620598:-1 gene:Manes.07G060716.v8.1 transcript:Manes.07G060716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVVPIKRHISYPFTYKSKVEKLHHEAGILKNRKVKLQQAVEEATRKGEEIYESVNKWLIDAGKAIEEAEECIQGEEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAILELANEGDLDSISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEERLFDVVAMVAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKESEKEKKVLIILDDIWKKLDLNAVGIPFGDSFKGCKILLSSRRQDVLSREMGTQKEFKLDVLQDEEARSLFEITVAGAKDSGLPPIAAEIAKKCAGLPLLLHTVATDLRNRELYAWNDKLKQLSEFDNEEIYSKVHAILESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYIMGLSLFKNITVEEARNKLHYLIDTLKAQSLLQDGDMYGFVKIHDVVRDTALSIASREQHAFIVTSGKELMKFPNKDCTRISVSYCDIENLPEGWECPKAEALFLFTEVFCLGIPHQFFKGIRNLEVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYIVELPRQIEQLARLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTISEMQIPDDKILPKHLFSNGRLQSFRILIGDNWDWDDNYKTSRTLKLKLKASIHSGYGIKVLLRETEDLCLDEVREVRTCCMILTGTAFRN >Manes.14G076400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6385708:6390241:1 gene:Manes.14G076400.v8.1 transcript:Manes.14G076400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSYLVSRELPASSEQESQWIYKTFHAVKRSSKRRSEDGEDVPSRKAARPLGVLERQGRGPHVLPILNANEPDDQHQTAGMGGLSAVLTDRPDIQQQAVVLKGLFDVQIDQPDNQHPMAGLYVRAVAQKDQPDNQDQVEDKSDTSSLINQLGRELSISCLLHCSRSDYGTIASLNKSFQSIVQSGLLYKLRRKMGIVEHWVYFSCNLLEWEAFDPFHCRWMHLPRMNANECFMCSDKESLAVGTELLVFGKEIESHVIYRYSILTNKWTSGMKMNMPRCLFGSASLGEIAILAGGCDPAGNVLSSAELYNSETGTWINIPSMNKARKMCSGVFMDGKFYVLGGIGTGNTTMLTCGEVYDLEAKTWRVIPDMFPARNGGTGGNEIPAAAEAPPLVAVANNELYAADYALKEVRKYDKEKNVWITLGGLPERAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELNSWIPSEGPPQWDLLAKKSSGSFVYNCAVMGC >Manes.14G076400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6385709:6390241:1 gene:Manes.14G076400.v8.1 transcript:Manes.14G076400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSYLVSRELPASSEQESQWIYKTFHAVKRSSKRRSEDGEDVPSRKAARPLGVLERQGRGPHVLPILNANEPDDQHQTAGMGGLSAVLTDRPDIQQQAVVLKGLFDVQIDQPDNQHPMAGLYVRAVAQKDQPDNQDQVEDKSDTSSLINQLGRELSISCLLHCSRSDYGTIASLNKSFQSIVQSGLLYKLRRKMGIVEHWVYFSCNLLEWEAFDPFHCRWMHLPRMNANECFMCSDKESLAVGTELLVFGKEIESHVIYRYSILTNKWTSGMKMNMPRCLFGSASLGEIAILAGGCDPAGNVLSSAELYNSETGTWINIPSMNKARKMCSGVFMDGKFYVLGGIGTGNTTMLTCGEVYDLEAKTWRVIPDMFPARNGGTGGNEIPAAAEAPPLVAVANNELYAADYALKEVRKYDKEKNVWITLGGLPERAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELNSWIPSEGPPQWDLLAKKSSGSFVYNCAVMGC >Manes.14G076400.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6385709:6390241:1 gene:Manes.14G076400.v8.1 transcript:Manes.14G076400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSYLVSRELPASSEQESQWIYKTFHAVKRSSKRRSEDGEDVPSRKAARPLGVLERQGRGPHVLPILNANEPDDQHQTAGMGGLSAVLTDRPDIQQQAVVLKGLFDVQIDQPDNQHPMAGLYVRAVAQKDQPDNQDQVEDKSDTSSLINQLGRELSISCLLHCSRSDYGTIASLNKSFQSIVQSGLLYKLRRKMGIVEHWVYFSCNLLEWEAFDPFHCRWMHLPRMNANECFMCSDKESLAVGTELLVFGKEIESHVIYRYSILTNKWTSGMKMNMPRCLFGSASLGEIAILAGGCDPAGNVLSSAELYNSETGTWINIPSMNKARKMCSGVFMDGKFYVLGGIGTGNTTMLTCGEVYDLEAKTWRVIPDMFPARNGGTGGNEIPAAAEAPPLVAVANNELYAADYALKEVRKYDKEKNVWITLGGLPERAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELNSWIPSEGPPQWDLLAKKSSGSFVYNCAVMGC >Manes.14G076400.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6385709:6389106:1 gene:Manes.14G076400.v8.1 transcript:Manes.14G076400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSYLVSRELPASSEQESQWIYKTFHAVKRSSKRRSEDGEDVPSRKAARPLGVLERQGRGPHVLPILNANEPDDQHQTAGMGGLSAVLTDRPDIQQQAVVLKGLFDVQIDQPDNQHPMAGLYVRAVAQKDQPDNQDQVEDKSDTSSLINQLGRELSISCLLHCSRSDYGTIASLNKSFQSIVQSGLLYKLRRKMGIVEHWVYFSCNLLEWEAFDPFHCRWMHLPRMNANECFMCSDKESLAVGTELLVFGKEIESHVIYRYSILTNKWTSGMKMNMPRCLFGSASLGEIAILAGGCDPAGNVLSSAELYNSETGTWINIPSMNKARKMCSGVFMDGKFYVLGGIGTGNTTMLTCGEVYDLEAKTWRVIPDMFPARNGGTGGNEIPAAAEAPPLVAVANNELYAADYALKEVRKYDKEKNVWITLGGLPERAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELNSWIPSEGPPQWDLLAKKSSGSFVYNCAVMGC >Manes.14G076400.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6385708:6390339:1 gene:Manes.14G076400.v8.1 transcript:Manes.14G076400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSYLVSRELPASSEQESQWIYKTFHAVKRSSKRRSEDGEDVPSRKAARPLGVLERQGRGPHVLPILNANEPDDQHQTAGMGGLSAVLTDRPDIQQQAVVLKGLFDVQIDQPDNQHPMAGLYVRAVAQKDQPDNQDQVEDKSDTSSLINQLGRELSISCLLHCSRSDYGTIASLNKSFQSIVQSGLLYKLRRKMGIVEHWVYFSCNLLEWEAFDPFHCRWMHLPRMNANECFMCSDKESLAVGTELLVFGKEIESHVIYRYSILTNKWTSGMKMNMPRCLFGSASLGEIAILAGGCDPAGNVLSSAELYNSETGTWINIPSMNKARKMCSGVFMDGKFYVLGGIGTGNTTMLTCGEVYDLEAKTWRVIPDMFPARNGGTGGNEIPAAAEAPPLVAVANNELYAADYALKEVRKYDKEKNVWITLGGLPERAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELNSWIPSEGPPQWDLLAKKSSGSFVYNCAVMGC >Manes.14G076400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6385709:6390339:1 gene:Manes.14G076400.v8.1 transcript:Manes.14G076400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGSYLVSRELPASSEQESQWIYKTFHAVKRSSKRRSEDGEDVPSRKAARPLGVLERQGRGPHVLPILNANEPDDQHQTAGMGGLSAVLTDRPDIQQQAVVLKGLFDVQIDQPDNQHPMAGLYVRAVAQKDQPDNQDQVEDKSDTSSLINQLGRELSISCLLHCSRSDYGTIASLNKSFQSIVQSGLLYKLRRKMGIVEHWVYFSCNLLEWEAFDPFHCRWMHLPRMNANECFMCSDKESLAVGTELLVFGKEIESHVIYRYSILTNKWTSGMKMNMPRCLFGSASLGEIAILAGGCDPAGNVLSSAELYNSETGTWINIPSMNKARKMCSGVFMDGKFYVLGGIGTGNTTMLTCGEVYDLEAKTWRVIPDMFPARNGGTGGNEIPAAAEAPPLVAVANNELYAADYALKEVRKYDKEKNVWITLGGLPERAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELNSWIPSEGPPQWDLLAKKSSGSFVYNCAVMGC >Manes.06G090900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22647759:22648468:1 gene:Manes.06G090900.v8.1 transcript:Manes.06G090900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLFSYAGAACGYGNLYSQGYGKDNAALSTALFNNGLSCGACFEIKWRDPQWCLPGSVVVTATNFCPQTSLTMLEAGAILLCIILISLSLSSSELLSLEQGLCPVSYRRVPCEKKGGIRFSINGHSYFNLILITNVGGAGDIHAVSVKGSKTGWQPMSRNWGQNWQSNTYLNGQSLSFMVTISDGRTVICNDIVPAGWSFGQTFITDQ >Manes.17G070100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27032448:27034307:1 gene:Manes.17G070100.v8.1 transcript:Manes.17G070100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSQTALDQYSLIKTCKPSGFFSGIPVINLTDPDAKSLIVEACQEFGFFKLVNHGVRMEFISRLETLAISFFDLPQSEKDKVGPPDPFGYGNKRIGPNGDIGWIEYLLLNTNPQITSHKTLSISQENPQIFSSAVDDYILAVKSMAYEVLELMADGLGIEPRNVLSKLVRDEKSDSCFRVNYYPPCQELQALSGRNLVGFGEHTDPQIISVLRSNNTTGLQICLRDGTWVSVPPDQTSFFINVGDALQVMTNGRLRSLKHRVLADTIKSRISMIYFGGPPLSEKIAPLPNLLAEGEESLYEQFTWCEYKNSAYKSRLADYRLGLFEKKKKLRTLLANI >Manes.13G100000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:29269165:29274209:-1 gene:Manes.13G100000.v8.1 transcript:Manes.13G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVCEELDEAKAEIEKLRVDLKCKAELSENLKKAHHEQLIQIQQGNSKIEKQTLELSEKAEEISVARQMCEDLQCRLNEKDSIIRHLSSSNDKLRVDCDEKHKKWEEERRGLLLALDEATEKNIDQEQKINVFMAEIEGLKGLLSTSQKKCLEAEKKAKASKELRQRDDVLLQLEEEYGKLKDKLKWKTEQFKHLEEAHEKLRNQFTECKKEWELEKSALVDEICSLQTSLDSQTRISKDLQNQLKMCNQALAHEESRRKYLETEICEYKSRFENVFTEYQDAKSQLECLTSQRDKEIAALRHSLGTKETFYKEIEYRAEKLEQENQELLTSLKELQEAQIQEVGNSSSLAKLRNKLKRVEQMHQDCSANLRAKEAEWSSQLEKLTKELNGYRSALESKETAAEKLELELQNCHSAMMQLELRNEEASIMLLVLKSGLAEAYLNHKSAEVAMNLHDKERDENVSLLMRQLEMKNTALAKALADNNEERQKAAALLKRVESLEHIEEQKLLIDKELERCKEILQESSRSHLHCKEQALQTERELQEKIRELCDALDTANSELATEREKAVSLSKKAACLDIIEKKRQLMEKELERYNEMLEESSRQQLRLEEQALDMETDFKEKLREVRDALDAANSELHEKREKAASLSMRVESYEEKQNLMQKELERYKQMIEESSKWQLRLQKQALQKESDSQEKLQEVCAALDKANSELALKICEGQAVEFELWIWKSIAQRLNDDLEEYQALRKELEASLLAQVEDGEALKQELKTRESKAATSARMEAVMSFELEKESFLQTMREKDMILDNLQKGIGWLEQESLKRELEDAVVSHIGAEEKDHRIEELLQFVGSSEQKLNCSLSSLSSELAEKQAEISLLHEAWEKIAAAEILAQLEIEEKKLMIVELEDDICSIQKKLEAQEKSLSSSQQQALEIEAQLEAKQVEMKKLTNQMETKLRTSEAMVNELNNEKRNLVEHVMKLSTERENLVGFIERLWDRFSEFSREEVKMVGTLERMVQSLSDNGSNLALMGDTEIFKSVEDVENANPSPPTTKKFLAVLGDRSPFRELN >Manes.05G185600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30524031:30525819:-1 gene:Manes.05G185600.v8.1 transcript:Manes.05G185600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWWLMLVASLRLASVWFGFFDIWALRLGVFSKTTMTEVHGRTFGVWTLLTCTLCVLCAFNLDNKPLYLATFLSFIYAFGHFLTEYLIYQTMSIGNLTSIGIFAGNCVL >Manes.05G185600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30522953:30525819:-1 gene:Manes.05G185600.v8.1 transcript:Manes.05G185600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGWWLMLVASLRLASVWFGFFDIWALRLGVFSKTTMTEVHGRTFGVWTLLTCTLCVLCAFNLDNKPLYLATFLSFIYAFGHFLTEYLIYQTMSIGNLTSIGIFAGTSIVWMMIQWNSHQKSHTKHS >Manes.11G030201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2861340:2861826:1 gene:Manes.11G030201.v8.1 transcript:Manes.11G030201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVSQRSNSDAAIHTTDPLSLLPEALVHHIIFFLQLRGGSYLHFIQVMAPILEFPPNPNFRFSYGGREDSYATDKFIKFVDDSLHNLRRCHLAKVKAFSLFLPYYQQQLYSCMDDWIGLVTENYIEEINISILPFA >Manes.01G146700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33684317:33687010:1 gene:Manes.01G146700.v8.1 transcript:Manes.01G146700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQAAVRSTGRVVRFSDRKGFGFIKPDDGGEDLFVHHTAIKSDGGYRSLAEDDVVEFTVSLSADKYQAINVTSPGGGPIQAAAKGGDGFSKRGGFGGSWNRRNNGSGYGASGGAAGCYNCGNPGHIARDCNNRGGSDSNNGGCFKCGQSGHFARDCTRANNGGGSGSGNGACFNCGGYGHLARDCRNDGGNCYNCGGYGHLARDCTSARGGGTGRFGSSGGVSSGGCFNCGKEGHFARDCPNNS >Manes.07G024900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2722808:2725650:-1 gene:Manes.07G024900.v8.1 transcript:Manes.07G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVASGGAGGGGGADEVGPASGLSSPNSRMKFLCSYGGKILPRTTDGHLKYVGGDTRVIAVPRDISFSELMKKLRSEFEGDMVLKYQLMPDELDVLVSVRNDEDMKHMLDEYDRLESEGTAKLRVFLFPSDPVIIENQNAPVDPHTIEQRYIDAVNNTVRTFASYRSSPINASSPNLSACSSPKGNSPDKYHSSSLIVDGLLHEPNLINNHHNTRPSMARVHSSPSLYNLGTSYHQTNNNHSSNHHFYQQQQQQQQQQQQQHHHQYYQQHQQHNSYGYPPPSRPPHDPHLLTATVSFGRMDTGRAPVGGILPNQYYSNVQNMGNSNVHNIWSSNGHNMGSGNSTRRYDHSIWM >Manes.07G024900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2722635:2725660:-1 gene:Manes.07G024900.v8.1 transcript:Manes.07G024900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVASGGAGGGGGADEVGPASGLSSPNSRMKFLCSYGGKILPRTTDGHLKYVGGDTRVIAVPRDISFSELMKKLRSEFEGDMVLKYQLMPDELDVLVSVRNDEDMKHMLDEYDRLESEGTAKLRVFLFPSDPVIIENQNAPVDPHTIEQRYIDAVNNTVRTFASYRSSPINASSPNLSACSSPKGNSPDKYHSSSLIVDGLLHEPNLINNHHNTRPSMARVHSSPSLYNLGTSYHQTNNNHSSNHHFYQQQQQQQQQQQQQHHHQYYQQHQQHNSYGYPPPSRPPHDPHLLTATVSFGRMDTGRAPVGGILPNQYYSNVQNMGNSNVHNIWSSNGHNMGSGNSTRRYDHSIWM >Manes.07G024900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2722543:2725664:-1 gene:Manes.07G024900.v8.1 transcript:Manes.07G024900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVASGGAGGGGGADEVGPASGLSSPNSRMKFLCSYGGKILPRTTDGHLKYVGGDTRVIAVPRDISFSELMKKLRSEFEGDMVLKYQLMPDELDVLVSVRNDEDMKHMLDEYDRLESEGTAKLRVFLFPSDPVIIENQNAPVDPHTIEQRYIDAVNNTVRTFASYRSSPINASSPNLSACSSPKGNSPDKYHSSSLIVDGLLHEPNLINNHHNTRPSMARVHSSPSLYNLGTSYHQTNNNHSSNHHFYQQQQQQQQQQQQQHHHQYYQQHQQHNSYGYPPPSRPPHDPHLLTATVSFGRMDTGRAPVGGILPNQYYSNVQNMGNSNVHNIWSSNGHNMGSGNSTRRYDHSIWM >Manes.05G158500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27110361:27112322:-1 gene:Manes.05G158500.v8.1 transcript:Manes.05G158500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGQDMNDTRTSSVPSSYGHESSGVERRRDGNHNGNSVLTYTQTLDHHKQPSLPQTRSPNPDRVSVIAKGSNSKTANVRYRECLRNHAASVGGNVYDGCGEFMPGGKEGTLEALKCAACQCHRNFHRKEVDGETQFSPSSRRSTMVHSLQLPPPLPSPTVLHHQRYSMGLHTSPTSANMVQPMSVAFAGGGTESSSEDLNIFHSNAEGGPTPPPFVLSKKRFRTKFTQEQKDKMMEFAEKIGWRINKQEEEEVEKFCAEVGVRRKVFKVWMHNNKNPKKQQEQHQQQPPEEEEEEEEEEEEPL >Manes.12G047600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4271403:4272665:1 gene:Manes.12G047600.v8.1 transcript:Manes.12G047600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGSSDRRKMEEQKPKEQKPKASENKPIMTE >Manes.04G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1178006:1179837:1 gene:Manes.04G009100.v8.1 transcript:Manes.04G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPKTNRQGQDMEKSRKNRLTKKASSFHGRIPVELPESRIRRPNTLPDLLGGRRMAEVSTETKPKLTKLLFNVTIQGSVGAVQVLMSPECIVGELIAAAIRQYAKEGRRTIFSSDPSKFNLHYSQFSLESLHREEKLIDLGSRNFFLCPKKTVIDRENESGSSSRGGDVTKTASSSSCSKEVGVAKTGYPWLKFMDFLL >Manes.13G055801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6462618:6464692:-1 gene:Manes.13G055801.v8.1 transcript:Manes.13G055801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSHSSRNVRDKSKNASFGKIDDALASFNQMIHMNPLPSTAEFNKLLSALVRLKYYETVISLWKQMEFLGILPDICTIKILTYCFCHLGHIDIIMLTTLIDGLCRESKIVKAVELFDEIVINGYKPDVYTYTVTVDGLCKIGKTSVASALLKKMVKRGCKPNVVAYSAVIDSLCKVGLVTEALALFSEMKTQGISPNVVTYTALIHGILINFVRKENSLKAQGMVETMIQRGIQPDAVTYNSLMDRCCLQNQIDQARKVFDTMVSKGCTHDVFTCTPDVFTYTILINCCCKQKMVYDAKRLLDEMLLKGLTPDSVAYNSLVRCLCEAGNPWAARELMKNLHASGHPPNMISYSILLNGFCKQKHPDVALTLFHEMQKSKLNPNCFVYGIIIDGLSKAGRLEDAKELFSRISVEGLQPDVHTYTIMINGLCKVI >Manes.06G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25729629:25732924:-1 gene:Manes.06G127600.v8.1 transcript:Manes.06G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKERAQERRERRLQEISLLRTIPYSDHQRWWSSESIAVVTGANRGIGFEIVRQLADHGLTVVLTSRESSAGIEAVNVLQESGLSVVFHLLDVLDSSSIQQFAEWIRETYGGIDILVNNAGVNFNTGSDNSVEFARMVIDTNYYGTKNVTKAMIPLMRPSTAGARIVNVSSRLGKISGRRNRIEDATLREQLSDIETLSEELIERTLSNFLQQVEDDTWKSGGWPQTFTDYSVSKLAVNAFTRIMTKELSDQPEDHKIYINCYCPGWVKTAMTGWSGNISTPDAADTGVWLALLPDLTVSGKFFAERREIKF >Manes.18G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22661735:22666078:-1 gene:Manes.18G136400.v8.1 transcript:Manes.18G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVAVSNSPVFSPSSSLFCNNKTPALISPSPAETLTLTLTHLKASQAPSSSSSPSSPCSPLRLRLQKPPSALPFSTFASTSTLSQSASGTVLKRKRPTRLDIPVTAFGFRDPMTPAVEKAEMERDGSVYSVCCKRGRREAMEDRFSAVLDLQGDTKQAFFGIFDGHGGPKAAEYASKHLDKNIVQEIDKRGEDEIDDAVKHGYLNTDSQFLKEDLRGGSCCATALFRNGNLVVSNVGDCRAVVSTRGVAEALTSDQRPSREDEKKRIENLGGYVDLIHGVWRIQGSLAVSRSIGDGHLKQWVIAEPETKIIKIKHEHEFLILASDGLWDKVGNQEAVDIARPFCTGVERPEPLAACKKLVDLSASRGATDDISVMLIQMNCYI >Manes.14G113900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:14172507:14173545:1 gene:Manes.14G113900.v8.1 transcript:Manes.14G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSQLAEVSSAFERMLRRRDLSLFLPLILGVTGTNPDQERIILINPFTQGMVMVEGAGDLGSLLRELATKNGQPPASKASIEALPSVEISEIGDRDCECVICLEEWELGGLAKEMPCNRRFHAHCIKKWLGIHGSCPVCRYKMPVDEVDLGKQREEEEEEEEEEEGRERRRFEREIWVGFSFNSNRRSEESRAFCKIKFKKKIKL >Manes.15G129200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10337298:10341919:-1 gene:Manes.15G129200.v8.1 transcript:Manes.15G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKNELNMNDSVYIAESSSLSYIYMMCMHEGSENNAWDQNRRELIMNEQQLMGMSSRWSPTPEQLLALEEMYRRGTRTPKAEQIQQIAAHLRRFGKIEGKNVFYWFQNHKARERQKRRRAVEASRKVLRHDITGSLDMKESVELQKKTLVPPSSCSENLEGPVSILTAGTTESTTPHGCLQIEKRESNQRKSCSLEKKTKWLAVDPCPSYPIHLINNMTTRSSTFLNSQRQSSWFKSNRRTENDENKIGEVETLDLFPLCSEDCSGVNDSKNDTEVAITAINTKLMSPNQYFEFLPLKN >Manes.02G090550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7079379:7079971:-1 gene:Manes.02G090550.v8.1 transcript:Manes.02G090550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYYWLVGVVGSTFLVTTYCDCTAAVSTSLPFCIALSLQFSHLQDHLVDQGGREHKWWGANRQFCENEEQKEVGDLHANGVFMKTTEVEVKLDEGNIFIKRGVTS >Manes.07G025000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2728539:2729347:-1 gene:Manes.07G025000.v8.1 transcript:Manes.07G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDPLTSPKPNNTDIETKPHETSHHSFKNPKNYTTRTKTTTTTTTRNLQDSLAWLQAEDADENNGEMFSSCKLKRNSSVSSAYALPSAVKKAFSFKRSSSVSERYCRIHDQSSALASPTRDEEDDGSMETAARSVKIKKNSRRRILRACKKLIGL >Manes.18G020900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2053945:2059204:1 gene:Manes.18G020900.v8.1 transcript:Manes.18G020900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVEPPNGVRIQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNKETNEKVAIKKIHNAFENRVDALRTLRELKLLRHLRNENVIQLKDVMMPIHRRSFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNGKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPVFPGTECLNQLKLIINILGSQREVDVEFIDNPKAKKYIKSLPYSPGTPFSRLYPNAHPSAIDLLQKMLVFDPSKRITVTEALQHPYMSPLYDPNSNPPAQVPIDLDIDEDLGEEMIREMMWNEILHYHPESGAANGQMCA >Manes.18G020900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2053945:2059204:1 gene:Manes.18G020900.v8.1 transcript:Manes.18G020900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVEPPNGVRIQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNKETNEKVAIKKIHNAFENRVDALRTLRELKLLRHLRNENVIQLKDVMMPIHRRSFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNGKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPVFPGTECLNQLKLIINILGSQREVDVEFIDNPKAKKYIKSLPYSPGTPFSRLYPNAHPSAIDLLQKMLVFDPSKRITVTEALQHPYMSPLYDPNSNPPAQVPIDLDIDEDLGEEMIREMMWNEILHYHPESGAANGQMCA >Manes.17G015150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7702645:7704110:1 gene:Manes.17G015150.v8.1 transcript:Manes.17G015150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCATYLVLLFAFTSGAQPNTFDLTKYGTKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTISGGRAFDGQGEIAWQRKSCGGGCKKALSVARSWQFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKALGHSPNTDGIHIGRSEEINIINSNIITGDDCISIGLGSRQVRITNVRCRHGHGISIGSLGKYEKEEPVFGIYVKNCTIYDTDNGVRIKTWPSLQCGSVSNIHFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIEKLIPRGC >Manes.08G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1835225:1837424:1 gene:Manes.08G018000.v8.1 transcript:Manes.08G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQEKLERAEVEAGQAATDLREAKREEEYGGKAKMVTDQQQQQQEESPGVIGSVLKAVAGTYEHAKEAVVGKGQEATEKTKEGTYTAAEKAGEAKDSAGEKAAETAVAAERKIEEGKESMEGKAAETKESAKGKMGEYADKAKETKDYAAQKAKETKESVEGKAGEYKDYTAEKAKETKDNTAEKAKEAGEKTSEKAKEAKDYSAEKAEEGKDTASSKLNELTESAKGAARKAMDLFSSKKEAAKEKTAETNEATKEKLSEAEEETRRKMEELKMEGKEYKDIEAERGTAAKDNIFGKMGLESIKQSIKGKLTQPQDEGRGKEKDAYKMQEEVVVLEETSPGTVVSTIKAADQMTGQTFNDVERLGGGVVHLKRTDRRGSP >Manes.07G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:521565:526096:-1 gene:Manes.07G002500.v8.1 transcript:Manes.07G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNIQKLNSTKPFSLLPPKPSLSSHGKTSFPTHYKQKKCLPKRNPGLSVRANIFDKAISAVKHHAEAISVKATVIVKLTVGNALTSFDELTDILGKSILLELVSNELDPKTGKEKDTIKSYAHIHVGIQKPGEMQLDANFKVAPDFGDVGAILLENEHHSEVFIQSVVLEGLPQGPVTLSCNSWAHSKYDNPKKRIFYADKSYLPADTPDGLKKFREEELVNLRGNGQGERKKFERIYDYDVYNDLGDPDCILGEIRRPVLGGKEHPYPRRCRTGRPPTKKDPLSESRCLAIYVPRDECFSEVKGATFGINSLKSALHVLAPLIENVIVDIKEGFPYFTAIDQLFKEGMELPEGAGDLQTILPRTVKALKDGENNVLRFEPPELFERDKFSWFRDEEFSRQTIAGLNPYGIQLVTEWPLRSKLDPNIYGPAESAITEEIVQKVIGGYMTVEQAFKQKRLFIIDYHDLLLPYVAKVRELEDTTLYGSRTLFFLVDDSTLMPVAIELTRPKIGDKPQWKHVFTPGHDATSCWLWRLAKAHAVAHDSGIHQLVSHWLRSHCCTEPYIIAGSRQLSEMHPIFRLLKPHFRYTMEINALARTLLINGGGIIERSFSPGKYSIELSSVAYDKLWRFDTQALPGDLISRGMAVEDPTAKHGLKLAIKDYPFANDGLMLWDAIKEWVTDYVNHYYPEASQIKSDSELQAWWEEVRTVGHGDKRDEPWWPVLNSKENLIQVLSTVIWTTSGHHAAVNFGQYAFAGYFPNRPTIARKNMPTEDPIEEDYERFLQKPEKTLLEMFPSQLQATLVMGTLDVISSHSPDEEYIGDTWEPYWVADPTIKVAYEKFHAKLKELEATIDERNRDPKNTNRGGAGVVPYELLKPYSEAGVTAKGVPNSISI >Manes.11G153600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32054425:32056270:-1 gene:Manes.11G153600.v8.1 transcript:Manes.11G153600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMLVPPWLESLLSSSFFNICPRHQDSPRNECNMFCLDCKNDAFCFYCRSSRHKDHPVIQIRRSSYHDVVRVTEIQNVLDISEVQTYVINSARVLFLNERPQPKSGSSKGVSHLCEICGRSLLDPFRFCSLGCKLVGIKKNGSDKLKVTSKKEEMEERKEGIGGRRLQSKEEEEEEEELRVGSQQDMYRSNSHNSNSRRRKGIPHRAPFAS >Manes.05G114800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:14538455:14540038:1 gene:Manes.05G114800.v8.1 transcript:Manes.05G114800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSETNPRISFSNDLGEEDGSEIEQETRRDTTLLGSNSDFEFSFCSSVTDYESSLADELFADGMILPVHAHRHQHSPKVSLPPLPCPPPNSNKSSKREVMDTKVSDSGSPEKPPSKSFWGFKRSSSLNYDIKKSLICPLPLLSRSNSTGSSVPSPKPEASSKDMNKQNSQKQQRLNSVAKKLSASSASTYVYTFPRKPPLKKNYSGSHGNGVKISPVLNMPPPYIAKGAANLFGLRSFFCNGKEKNRK >Manes.18G025800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2847916:2851641:-1 gene:Manes.18G025800.v8.1 transcript:Manes.18G025800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNSMRTASPLPDLSLQISPPSASDREAIKEVGYDGGLTRKAFYNDRSSTTDSGSSESDLSHENGFFNQGRSYNLGPSERTLSLGFELADLSPPTLQLSRNLNHHPHRHQPQIYGREFKRNGRMISGVKRSIRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVRSTDKGSGQGQTDMGLKQSAGIVDVDAGLSAGKAETNPSCSLNPPQTSPLPTIQETQIRGSWSASMETTDKNRLNTEVSTYSHFNADDANEDGAMTALHMSEKAKERSELDSRSLSSSDMLINLEFTLGRPSWQIDSVESSNEFTLLKC >Manes.S028652.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2368764:2369427:-1 gene:Manes.S028652.v8.1 transcript:Manes.S028652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRDVRPRPCPRVFQPPIAVAIAAEDSAFGPAACALHTGGQRPPPRPPPRGEGADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFRQ >Manes.18G144868.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21588552:21590043:1 gene:Manes.18G144868.v8.1 transcript:Manes.18G144868.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPTKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.16G081400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28782328:28782762:-1 gene:Manes.16G081400.v8.1 transcript:Manes.16G081400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLRRCSNDAVSLDLSPPPPTATSSSSSLSFDAVESVETRIQRLISEHPVIIFSRSSCCMCHVMKKLLATIGVHPTVIELDDHEISALPPPPPSHDNDDAAPRNLAPAVFIGGTCVGGLESLVALHLSGHLVPKLVEVGALWV >Manes.12G065476.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6362076:6362754:-1 gene:Manes.12G065476.v8.1 transcript:Manes.12G065476.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTTNVTYASGRSLVSINGTQFSLKLTATNYSTWRAQVFLLLKRHSLMGYVMERKQIPNPNYEYWECQNQLILATLRSLLSFSVMNVVANIETFAEQLIIIIAAIHAHDTVISFDELQDKLLAHELYLKQINLSYEVAPITAIHV >Manes.01G212400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38299276:38310365:1 gene:Manes.01G212400.v8.1 transcript:Manes.01G212400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPWLHPAPTYRPVETYWDTDEDAPGYRCAHTLTAVAATNTHGPRLILFGGATAIEGGGSSSVPGIRLAGVTNSVHSYDVLTRKWTRIRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRLVVQGQGPGPRYGHVMDLVAQRFLVTVTGNDGKRALSDAWALDTAQKPYAWQRLNPEGDRPSARMYATASARSDGMFLLCGGRDSSGVALGDAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLKGGRSVESEAAVAVLDTAAGVWLDKNGLVTSPKTSKGHTESDPALELMRRCRHASASVGVRIYVYGGLRGDVLLDDFLVAENSPFQSDINSPVLTSERASTITSPRINNSHANRYETVSNDGPESPLSCGISMDKNSMEKLREASAAEAEAANAVWQAAQASANSAEETSVSDDNSLAAEATSDGSDAEADVRLHPRAVVVAKEAVGNLGGIVRQLSLDQFENESRRMFPMNNDTPYAAKKFNRQKSPQGLHKKIISTLLRPRNWKTPANRRFFLDSYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNFLPLAALIEKKIICMHGGIGRSIHSVEQIEKIERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPERVMDDTWMQELNIQRPPTPTRGRPQPDLDRSSLAYI >Manes.13G094901.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:27065438:27066217:1 gene:Manes.13G094901.v8.1 transcript:Manes.13G094901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPQIRNKLRYCKVRLLKVWTLTEWGQEPHRTKEFTFTNGQLRQNAKYNYYDYQMAWERTFLKQNDQLSISFFFYISDNFTYPIPYWFHQWWNKFGLNEDTIPDQIKITQTQFFDRNKLPDTIICSPKWLIYSHYFHIPWIFMIEYQIKDQTIDNFQIPILARKFKIKWWAKTDLEACGPTAIENFFSNYPQYCKIPSPSSITKQETFLARKQHIMAQMAACTSEQEYEKLLEELKETRSSTTSPSPVDLSDDFFTQVDM >Manes.01G041700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8274365:8278690:-1 gene:Manes.01G041700.v8.1 transcript:Manes.01G041700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFPYFVFISLIPFLSSSLSLPPSAIYLLQFRDSLPPHSQQLLPWNFSNSLSSPCHWPGITCYSTKNFKVKALNLSGFGLSGILSNSVSYLCLHKHLVSLDLSGNNFTGVIPQLLGNCGNLNTIILNDNGFEGLIPSELFQSKQLLRVDLGYNSLSGNIPPEVSFCYKLEYIGFYNNYLSGEVPSEVFSLPKLKFLYLNTNNLTGSLSDFSPSCAINDLWIHGNAFSGSLPLSLSNCRNLTVFIASQNKFESVIVPEIFKSLLQLEILYLDDNKFEGEIPETLWGLENLQELVLSGNKLNGTISEKIGQCSEITVVALSGNNLVGQIPPSIGNLKHLNSLFLFDNKLNGSLPPELGNCTSLAELRIQNNFIGGNIPPEICNLENLEVLFMFNNRIRGHIPWQIGRMSNLVQLAMYNNSLSGRIPSEIAHLKKLNFLSLAHNNLIGELPSDIGKSSPGLVKLDLTGNHLYGPIPSTICNGNNLSVLALGDNKFNGSFPTVFGTCSSLRRVVISNNLLQGSIPADLERNSGVSFLVVRGNLLEGNIPPVFGHWSNLTMIDFSENRLSGAIPAELGKLENLQILRVASNRLTGNIPSDIGSCRKLIKVDLSKNELSGKIPTEITSWVKLQSLLLQENKLSGVIPDIFASLQNLFELQLSDNKLEGPIPCSLSNLKHFSSVLNLSHNKLSGQIPGCLGNLDKLQILDLSSNGFSGEIPAVLNDMISLYFVNVSFNQLSGKLPATWMKIMVSYPGSFLGNPELCLLGSDARYCGEARDGHTRRYQLAAIVVGAVVSVSLLCVLIYVILVRGLQQKYHRDQSLHESQSVTQDLPEELKFEDIMRATEGWNEKYVIGRGKHGTVYRTESMNTRKHWAVKKVNLSETNFSLEMRTLSLVRHRNIIRMAGYCIKDGYGFIVTEYMPEGTLFNILHEHEPRLVLDWETRYRIALGIAQGLSYLHHDCLPQIIHRDVKSDNILMDSEMEPKIGDFGMARLILGSESDLSTRSSVVGTLGYIAPENAYATRLTEKVDVYSYGVILLELLCRKLPVDPSFEEDQDIVAWTRKKLQENDEYICFLDEQISLWEIDEQQKALRLLELGLECTEPMPDVRPSMRDMVVSLIKLNN >Manes.06G054000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17872360:17888383:1 gene:Manes.06G054000.v8.1 transcript:Manes.06G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQSSASRFLSLPFHIPPRNKDAAEFLIFRQRKCFSHSQSLSTVRTSPLIQATRRDGGSELETAVVVDKPRLGKYQVSEGHPAPFGATVRDGGVNFSVYSANAVSASLCLISLDDLAENRVTEEIPLDPLANKTGYIWHVFLKGEFRDVLYGYRFDGKFSRGEGHYFDSSKIVLDPYAKAVISRGEFGVLGPDDNCWPQMAGMIPAAQDKFDWQGDLPLRYSQKDLVIYEMHVRGFTQHESSRTEFPGTYLGIVEKLDHLKELGVNCIELMPCHEFNELEYFSYNSVLGDHRMNFWGYSTVNYFSPMTRYSSAGTRNCGRDAINEFKFLVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSVYYMLAPKGEFYNYSGCGNTFNCNHPVVRQLILDCLRYWVIEMHVDGFRFDLASIMTRGSSLWDPVNVFGKPIEGDLLTTGSPLGSPPLIDMISNDPILREVKLIAEAWDAGGLYQVGTFPHWQIWSEWNGKFRDIVRQFIKGTDGFAGAFAECLCGSPNLYQEGGRKPWNSINFVCAHDGFTLADLVTYNNKNNLANGEDNNDGENHNNSWNCGQEGEFASILVKKLRKRQMRNFFVCLMVSQGIPMIYMGDEYGHTKGGNNNTYCHDNYINYFRWDKKEESSSDFYRFCCQMTKFRHECKSLGLNDFPTAERLQWHGHYPCVPDWSETSRFVAFTQIDSAKGEIYVAFNANHLPVTVTLPERPGYRWEPLVDTGKPAPYDFLYSDVPERDAAVKQYGHFLDANFYPMHTYSSIILILSPDEIA >Manes.01G098100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29943499:29946876:1 gene:Manes.01G098100.v8.1 transcript:Manes.01G098100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIPNPYPNPIPNSNPPPIDPYYSSYAQNPNTQFSLQPLHYVYTNPSDAASSANALRPPGVDSYPSLTSFPQPNPLSYVQADASGYYLDPNLQIWAAKEAIQQYGTDPAGYGGAVAVMIPQGATEQLAVAHQESTVWTNLAFQLQGNGALKKHQKKTKVVQSAYCEVCKVDCNSNEVLDQHKLGKKHRKNMEKLQAAAAGPSASSVSCNLIIGPKEDPDKVKVGNGQKGQKGKRKAAAPAEDLETKRRKIVEGGAAAEAIRVCAICNVVCNSENVYNYHLTGRKHAAMLKKHGRTNFRAEI >Manes.13G018400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1672461:1676070:-1 gene:Manes.13G018400.v8.1 transcript:Manes.13G018400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASLSLFLNHRTPAVHNLLRHVTSFRLLPIAAAKQQQQKNPNNVSRKPQPNKNLLRAKQSFKEYSSLAPVLSLDDKPDLSDYQAIGTVAAAQANFMRVIVQSLPPASELSGNFQKSEEEASSSKSDEGKIGVELLCVVRAVLKKIRRRVLVGDKVVVGSIDWVDRRGMIENVFQRSSEILDPPVANVDHLLVLFSMEQPKLEPFTLTRFLVEAESTGIPLTLALNKAELVDEETLVAWKRRLRSWGYEPVLCSVESKRGLDSLAFILRDQTTVIVGPSGVGKSSLINVLRNNPRACDAAEVDNWFDPILGSKWFEEQRVGEVSTRSGRGKHTTRNVSLLPLSGGGYLADTPGFNQPSLLKVTKQSLAQAFPEIQKMLSANGPAKCAFNDCLHLGEPGCIVKGDWERYSFYFQLLDEIRIREEFQLRTFGTKKEADVRYKVGDMGVQQAEPRLEPKKHRRQSRKRINQSILDELDELDDDDTSLDEENDPILRALRNENQ >Manes.17G041900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23351479:23353488:-1 gene:Manes.17G041900.v8.1 transcript:Manes.17G041900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSSASHHLPIQLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIISDVDLYKFDPWELPSKSTFGEKEWYFFSPRDRKYPNGARPNRAATSGYWKATGIDKPILTSDGTRKVGVKKALVFYGGKPPKGIKTNWIMHEYRLVDNMNNSASKLPGVASTTQKGSLRLDDWVLCRIYKKNNSQKPTDRDKEDSMAGLFCTLPLMHPKPSAASASASKPTSFVSVIEDEENFFEGILTGKIHGMQNSSKISHHHQLPCSSPNPNISTIHFASTSNNTLPVKNLLPSQYWDEAAPAGSSPMAASSGKRFHGDLNSCTTATDDNSSFVSLLRQLPQGTPFHPNTFLGDNVLRQQFQLPSMNWNT >Manes.13G124800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33234606:33238232:1 gene:Manes.13G124800.v8.1 transcript:Manes.13G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVLVYCFCCALLMAIVLREFKKRNQEKKRANLPPGSMGWPYIGETLQLYTQNPDIFFASRQKRHGEIFKTSILGCPCVMVASPEAVRFVLVKQACLFKPSYPPSKEKLIGPSAIFFHQGIYHNQLRKLVQASLSFDVIRNLVPHIEAIAISALESWSSTSGKVFNTFYEMKKFTFDAAVLSIFGSLDTCYGENLKRNYYILDKGYNSFPTHLPGTLYTKSVMARRRLSRILSQIIRERKKKGLVRKDLLGFLLNFKDANGEALSNDQIIDNILGVLFAAQDTTASLLTWILKYIHDDSKLFEAIKIEQTAIYESNGRGKNPLTWSQTRNMPITNRVIMESLRLASIISFTFREATQDVEYKGFLIPKGWKVLPLFRNIHHNPDFFTDPHVFNPSRFEVVPKPNTFMPFGNGVHACPGNEFAKLEMLILIHHLVTKFRWEVVGSANEVQYDPFPVPQQGLQAKFWKESTSTAVHHL >Manes.16G040350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5510897:5512445:1 gene:Manes.16G040350.v8.1 transcript:Manes.16G040350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRTVYLSESFMSSRCPFGVPQEVEVVLCRSSGRPPPLASFGKEEKTFVVLTFTCSIALQNISPIFWCCLHLFHCPSEYLAGFLLALRAGERVVMSISLGL >Manes.06G078500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21479461:21486551:-1 gene:Manes.06G078500.v8.1 transcript:Manes.06G078500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLSRSLTAASLVSLPSSTPFSRKHLGSKSFALRSPFLPQNNGLKKGFSCTGLNWKLERRNNRIAVRCEAAVAEKEAADTSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGDLEIRIKPDPDNGTITITDTGIGMTKEELVDCLGTIAQSGTSKFLKALKENKDHGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPRSDKQYVWEAVADSSSYVIKEESDPEKLLRRGTQITLYLREDDKYEFSDPARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEPKEGEEAKPEDEKKKKTKTEKYWDWELANETKPIWMRNSKEVEKDEYHEFYKKTFNEFMDPIAYTHFTTEGEVEFRSVLYVPGMGPLNNEEVINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQELSESENKEDYKKFWENFGRFLKLGCIEDTGNHKRITPLLRFYTSKSEDELTTLDDYVENMGENQKAIYYLATDSLKSAKSAPFLEKLVQKGIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEFNLLCDWIKQQLGDKVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEINPDHPIIKDLSAACKNAPDSGDATRAVDLLYDTALISSGFAPDSPAELGNKIYEMMAIALGGRWGRSEDDEAETVDNNAAESVANADEATETEVVEPSEVRAESDPWND >Manes.11G097100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20361837:20365917:-1 gene:Manes.11G097100.v8.1 transcript:Manes.11G097100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDVEMKELPAPSNSTTTTSPSTLNHLKEIASLIETGAYAREVRRIVRAVRLTMALRRKLKAQVLSAFLNFALTPGSEPHNRLVSYLPKEDVHEMECDTASSVSQTPMKHPLPELEIYCYLLVLIYLIDQKKYNEAKACSSASVARLKNVNRRTVDVLASRLYFYYSLSYELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMENALKPYFELTNAVRIGDLELFKSVAEKFSTIFSSDRTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSANPVADAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >Manes.14G090300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472115:7477696:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7471968:7477710:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLDGQLVLFHGNIDHKSDSKLFGYNDITLDSIVFKSENGIMNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472115:7477696:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLDGQLVLFHGNIDHKSDSKLFGYNDITLDSIVFKSENGIMNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472015:7477722:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472014:7477722:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472082:7477691:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLDGQLVLFHGNIDHKSDSKLFGYNDITLDSIVFKSENGIMNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472014:7477710:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472850:7477670:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472014:7477722:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLDGQLVLFHGNIDHKSDSKLFGYNDITLDSIVFKSENGIMNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472013:7477711:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLDGQLVLFHGNIDHKSDSKLFGYNDITLDSIVFKSENGIMNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472850:7477670:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLDGQLVLFHGNIDHKSDSKLFGYNDITLDSIVFKSENGIMNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7471968:7477710:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.14G090300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7472015:7477722:1 gene:Manes.14G090300.v8.1 transcript:Manes.14G090300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKLDGQLVLFHGNIDHKSDSKLFGYNDITLDSIVFKSENGIMNEDQYAALSNMNSKESNADRLKYAVNDDDGQGASKLDYSMRMDISKTDNEKEVGGFIAPTSLTHPSLKTESFDKDTVFYVDKSVMESELPELVVCYKENTYHVVKDICIDEGVPLQDRFLFDPAVSEDNLCLILPPKDIDFEIAKERVDLNMSIPDDLKLSAEKEKSAYLTIPDVLISSEEKISKNEVSLDYDSKKLTPIGEAEVDSKEEISNVPSKEILSLGELLSMPEVGRELSQPESAHDSIDETEQQSIQRSYESTITAMASASEESESGNEPGSLVNPVVHVAEESNFSHQETVSGALALDSAAKADQGNDETGLLDNDLNSATEVSENGSGGENMANRSGGSVPESSSSKPKSAGEEDYQNCGSEHIETCLSGTDETNFVPFTSQFQYNLGETSFSAAGPLSGLISYSGPIANSGSVSLRSDSSTTSTRSFAFPILQAEWNGSPVRMVKADRKHNRKHRNWRQGLLCCRF >Manes.06G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21288130:21290361:-1 gene:Manes.06G076500.v8.1 transcript:Manes.06G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSMYKRDPSALSLPPPEGPNSGILVIQDEEAEPTCFFGLLKSHQLKNLPFPQNKNLQVRYESGGQNNHVSVNRVLFIPVLNLPLSSNRYYVIERKGSDKGKAYSSSNDEDNMEMCCFGCCIPDMEPQALDPSDIYQQFEVHKRDWGGYVAKSVAADGNPPGFLRRKGWRVSTSTPHDFTLNEAPGIDRNLRARLPDFNFPLSEKTSAPLVVGKWYIPFMFIKELGKLKDQMSCSRYYKMTLEQRWERIFECDNVEGKSSVAVDVVGEKEVVAVAGREAAVDENHVGDGGVVWLRSSDDEGREVSVGLSLGVVERMKWEEERGGWDGEGERRVRVKRVEEFGGIGGWKKFGCYVMVERFVLKRMNGSLVLIWDFMHSHQIRSKWE >Manes.S046416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1456107:1474980:-1 gene:Manes.S046416.v8.1 transcript:Manes.S046416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.06G029400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7673037:7691383:1 gene:Manes.06G029400.v8.1 transcript:Manes.06G029400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREACPYSPISFNLSSLSNLNLSKPSLRLKTSVCRATFSIQSKPSTTRTWNTGFNSKSYESSVFDPLGINSDEYCGLNSAWESVLALLYPIFESASSTKKDKPSARGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNRDAFAGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKREGEAGRKKVLQYTQYASVGFAIVQAIGQVLYLRPYVNDFSTQWVLTSVTLLTLGSGFTTYIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLVTIIISFFLLVLGIVYVQEAERKIPLNYASRYTSRSGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGISALKKAALALNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAYLKTVLSRISVLGSGFLAILAAGPAVVEQVTNLTAFRGFAGTSILILVGCATDTARKVQAEIISQKYKNIEFYDDRYGP >Manes.13G017223.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1764948:1765239:-1 gene:Manes.13G017223.v8.1 transcript:Manes.13G017223.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVFFGSDDARDMKEVQNKEDVLESYRRKKEIKDLKRKEKGRSLIRKFIDLLK >Manes.18G145733.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:29481173:29481472:-1 gene:Manes.18G145733.v8.1 transcript:Manes.18G145733.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVFIVSSLLFTSFWLPTSAEQCGTQAGGALCPGGLCCSKWGWCGTTSDYCCEGCQSQCNCPSGRRADILRGGGGDLDEINSEKAFDKILGQKPYGL >Manes.01G120600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31680803:31682284:1 gene:Manes.01G120600.v8.1 transcript:Manes.01G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQTQQLHFVLFPLMAQGHMIPMIDIARLLAQHGVMISIVTTPLNAARFKTVLARAVKSGLKIQVFELQFPSEIAGLPEGCENFDMLPSLEMGKQMFTAIIELEKQADKLLEELIPQPSCIISDMCFPWTVRVASKWKVPRISFIGFSCFCMLCCHNTRVSKVLENITSESEYFAVPGLPDSIKFTKAQMASLVKSDEFVNRMIAAEKDSYGIIINTFEELEAPFFQQYKKAGQYNRIWCVGPVSQCNKDTLDKAERGNETCIKGHECLRWLDSWQTGSVVYACLGSLPNIPTSQLIELGLGLEASNRPFIWVVRGGERSKEIEKWISETGFEERTKGRGLVICGWAPQVMILSHPAVGGFLTHCGWNSTLEAISNGVPLITWPLFADQFSNEKLAVQVLKIGVRVGVEVPERGVEEGQNGVLVKREDVKRAVDDLMKEGEESEERRRRVKELALMAKKATEKGGSSSLNIRLLIQDVTQHVNLEQTNLGQV >Manes.03G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2829420:2832036:-1 gene:Manes.03G034000.v8.1 transcript:Manes.03G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSITSPVPDAWYPTLAVSMLAIGLLVTASFFVYEATSSRRNRSLAKELTTGAMASFFLGFGSLFLLLASGVYV >Manes.17G102800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31047125:31048995:-1 gene:Manes.17G102800.v8.1 transcript:Manes.17G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSS >Manes.11G039800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3787937:3795332:1 gene:Manes.11G039800.v8.1 transcript:Manes.11G039800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRESRRNESRGTNSYTDPRRSRSSPELNRSTSIGPRQYSYQQLANATNHFSSNKLLGEGGFGQVYMGSVDGQSLAIKKLKNHRDLQSQGKLQDEIIVVSSVRHKNLVELVGYCVEGADKLLVLKYFPNKSLGYQLHECEENLDWETRMDIAKGSARGLEYLHEHCDPPVIHLDIKSDNILLDDDFKPKVADFGLARFFSEAATHISESAIMGTKAYVDPSAIKTGQYSVKSDVYSFGVMLLELITGKRPIEDGIDVVEWAKPEIKSALRNEEFEDFVDYTLHTFDHGEMYRMLFCIDACLNNRPKFRPSMKKILLALEGILPLDKLCNEKDDNKLPRYPTLYKDSTPMKDNNSTKMWRSTDEASSSERFQVRNRSTSNKMWRSADEALMKRSTEATNRLAEGVKRLDLSNDDEEEEDEEEVSDEEEEEEDEGNEDVDNDLSHKSKMAEGGEVIACHTVRAWTEQLEKAQKGKQLTVVDFSAAWCPPSRYMSSVLAEMAKKMPNVTFLVVDVDELTSVSKEWKIEAMPTFLFFKQGKVVDKIVGANTEELQSTIAKHAVDDTSPIFTYQQIEWATRGFSKFLGEGSLGSVFKGFLDGKDVAVRKLEDLSDEKEQEELEQRIKTISSVSHPNLVQQFGHCIQGSDIYLVLEFFPSNSLKSLLHGKKTLEWSKRMKIAIDSAKALEYLHDDYNIVHGEIMTNNILVDKNFQPKVANFGLIMYYRSERTDVYADPEDNECSFEESDVYAFGVVLLELITGKNTKDNDTDIVLWANTLMKRALYGEYTLLIDSNLEGDYNKKEVQRMIYCAAACLYKPSDSRPQMKEIVGVLERSIPLKDIWNDDDNQFLFGSGKGGGSLKRKSKKT >Manes.06G073400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20934170:20936740:-1 gene:Manes.06G073400.v8.1 transcript:Manes.06G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVGFPVIVALVRVIGVAVSALVLTWAFHFRGGLSLFSRDKDLIFNVHPVLLVIGLILLNGEAMLAYRTLSGTKSFRKAVHLTIQSLAFGLSAIGVWAAYKFHNEKGIDNFYSLHSWLGLACLFLFAIQWVAGFSTFWYPGGSVSSRAALLPWHVFFGIYIYALAVGTACTGILEKATFLQTNHVISRYSTEALLLNLSGVLIVGLGGCVILAVTSMNGKGDSYRPLEYAMHHPSV >Manes.09G152700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34955693:34956584:1 gene:Manes.09G152700.v8.1 transcript:Manes.09G152700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQRDGDDLNLEATELRLGLPGTTKDSEKQTLAATANCNKRSLLDMNEESAGSRTNNSNVSYNKKDDQETAPPTKAQVVGWPPIRSYRKNAAGTGIYVKVSMDGAPYLRKIDLKVYKRYPELLKALEDMFKFKVGEYSEREGYNGSDYAPTYEDKDGDWMLVGDVPWDMFMNSCKRLRIMKGSEARGLGCAV >Manes.11G087434.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:13929668:13930585:-1 gene:Manes.11G087434.v8.1 transcript:Manes.11G087434.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPISSSCGLRAWDSPVQTQMAVAIFNSPLGGEYHENRRMEGKQPAGRRRVFVQTKTGCVLRTEVDRGDNAHTVKRRLQIALKVPTEESCLTFGDMVLSNDLSPVHNDSPLLLTRNIGGPIF >Manes.14G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1224448:1229020:-1 gene:Manes.14G013300.v8.1 transcript:Manes.14G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLQHHHHFLHDHHQHQQHHQKQMNSGLMRYQSAPSSFFTGFLDRESCEEFLNKPTSPETERIFARFLANSGGDTENMLNQNFGVIKQESPVGEAVAQVNQQAQINDTTFHQQEQQQKSNYSSSASQSFYQNQSRPPLPDQSSGSGMDYRMVTSMGMEHLPQVKSSNSNLVRHSSSPAGLFSNINIEMENGYAVLRGMGEFGAGNRETSYSAASRPPPPSSGRMSPIAEIGNKNMGENSPENSGFGETRSNNYVTGFPISSWDDTSVMSAGAKRLTDDDRTLSGVNASETQDVDAGNHPPLLAHHLSLPKTSAELSAIEKFLQLQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQDLVPNMDKQTNTADMLDLAVDYIKDLQRQVKTLSETRAKCTCTSKQES >Manes.18G091700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8438180:8441449:-1 gene:Manes.18G091700.v8.1 transcript:Manes.18G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDSNTVQTTASVALVSTATMVPEGGEVGAAGGGGGGGGGGGPNSSGDEDKSIIRVDEGDRMSYGANRWPRQETLALLKIRSDMDSVFRDSSLKGPLWEEVSRKLAELGYYRSAKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFDQLQALETHHHHHQSQLAAAPPPSQQPPLSKPQPPNTTPATLPWSNNPPTASHVAVPSTTNTANIITSHNNNGASSADPTINAMPLSSSHPLNPSQNIIPSFQILTSHLFSSSTSSSTASDEGYQETRKRKRKWKDFFERLTKDVMKKQEELQRKFLETVEKHEHERMAREEAWRMQEMARINREHELLIQERTTAAAKDAAVIAFLQKMSGLQNSIRTLDIPVPQPQPPPPVAPALAPAPALSPAAAPPQPRQPPPAPVVMNLDVSRKDNGQNNAVVSSSSSSRWPKVEVEVLINLRTTLDTKYQENGPKGPLWEEISAGMQKLGYNRSAKRCKEKWENINKYFKKVKESTKKRSEDSKTCPYFHQLNVLYKEKISKTNENSANHGGGGHASVPSTTTMEPLMVRPEQQWPVQNENPSEKLMDHEDDIEDDDDENDGDTEEEDEGGGRGCFEVVASKPTASLGNGE >Manes.07G097500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:30191813:30192508:-1 gene:Manes.07G097500.v8.1 transcript:Manes.07G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAPPPANPALEAPAGLPDSDFIPVGHPLFSRIRLATPADVPHIHKMIHQLAIFERLADHCIATESSLSSTLFNDLPFQSFTVFLLEVSSNPLPKISSPNFTPIERIIQLDLPVIDPEAELFKNGTNDVVVAGYIIFFRTYPTFLAKPGLYVQDLFVRECYRRKGMGKMLLSAVAAQAVKMGCGRVQWLVLDWNVNAIKFYEEMGANILTGCRICRLNGEALEAYRDAI >Manes.06G045801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:14481257:14489775:1 gene:Manes.06G045801.v8.1 transcript:Manes.06G045801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKLRNLSRKSQHELEKSYNPINLDYIFEEDDPLNPWLEERENPVLDGEENLWLEEDEPAPSQSQQVNAPTHEHNIGGNGDAEPEDSFILSSSSDDNDGGSGQGGRGEGRGASSSLQSHDDPSSYQRHSPSPAPAPTLQHTYHRFRGSGGSSDKGKGVAPGECSMDADNYGYRTYGTSESSMEATSISDYGYRGNFQWEYSNPYPHQPPPSYSDLSLSEQSFFHTQTQSNPSDQFGMGSFFSFDPSQYYQYHQDQSSQSQDEGGGSTQEPARRSFWW >Manes.11G130400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29238614:29241545:-1 gene:Manes.11G130400.v8.1 transcript:Manes.11G130400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQLDPFLNELTSMFEHSTEKGSVWVTLKRSSLKSKVQRNKMGTVGEPLEYRCLVRATDGKKTISTSVGAKDHQRFQASYATILKAHMAALKKRERKDKKKAALAHKQEGGSKKSKKA >Manes.02G109700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8510537:8514550:-1 gene:Manes.02G109700.v8.1 transcript:Manes.02G109700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEERLKITRFRDGYGNLSDPNANSTAIFTIMVQGLPKSLGDDRVVLREYFQHRYPGKVYKVIVPMDLCTLDDLATELVRVRDEITWLVARIDSRLLPEENDNDTVGEGFMGRLRSWLIYLCGRVTILWDQMMAGLGYTDEEKLRKLQETRAELENDLAAYKEGRAPSAGVAFVIFKDVYTANKAVQDFRNEKKRRFGKFFSVMELRLQRNQWKVERAPLATDIYWNHLGSTKLSLNLRRSFVNTCLLLMLLFFSSPLAVITALTSAGRIINAEAMDNAQSWLAWVQSSSWFASLIFQFLPNVIIFVSMYIIVPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLEGAILKMGRCYLDGEDCKRIEQYMSASFLSRSCLSSLAFLITSTFLGISFDLLAPIPWIKKKIKKFRKNDMLQLVPERSEEYPLENQMIDNLQRPLMHDNVFDSPRSTGFYPDGQDLSEYPISRTSPIPKQKFDFAQYYAFNLTIFALTMIYSSFAPLVVPVGAIYFGYRYVVDKYNFLFIYRVRGFPAGNDGRLMDTVLCIMRFCVDLFLLSMLLFFSVQGDSTKLQAIFTLGLLVMYKLLPSDSDGFQSALLEGIQTIDSIVDGPIDYELFSQPRFDWDTYNS >Manes.02G109700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8510537:8514550:-1 gene:Manes.02G109700.v8.1 transcript:Manes.02G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLFISPVSPMNRSLHLTPSSSPSGDSDDDFPGACDHRRIPGPSALAAKLLAVWHATGREIARHCGADAAQFLIIEGGSFVVLLAIAFLSICVLLPLNLYAGTAVLDDQFSKTTINHIKKGSGYLWIHFAFVVVVVVLVHFGMSVVEERLKITRFRDGYGNLSDPNANSTAIFTIMVQGLPKSLGDDRVVLREYFQHRYPGKVYKVIVPMDLCTLDDLATELVRVRDEITWLVARIDSRLLPEENDNDTVGEGFMGRLRSWLIYLCGRVTILWDQMMAGLGYTDEEKLRKLQETRAELENDLAAYKEGRAPSAGVAFVIFKDVYTANKAVQDFRNEKKRRFGKFFSVMELRLQRNQWKVERAPLATDIYWNHLGSTKLSLNLRRSFVNTCLLLMLLFFSSPLAVITALTSAGRIINAEAMDNAQSWLAWVQSSSWFASLIFQFLPNVIIFVSMYIIVPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLEGAILKMGRCYLDGEDCKRIEQYMSASFLSRSCLSSLAFLITSTFLGISFDLLAPIPWIKKKIKKFRKNDMLQLVPERSEEYPLENQMIDNLQRPLMHDNVFDSPRSTGFYPDGQDLSEYPISRTSPIPKQKFDFAQYYAFNLTIFALTMIYSSFAPLVVPVGAIYFGYRYVVDKYNFLFIYRVRGFPAGNDGRLMDTVLCIMRFCVDLFLLSMLLFFSVQGDSTKLQAIFTLGLLVMYKLLPSDSDGFQSALLEGIQTIDSIVDGPIDYELFSQPRFDWDTYNS >Manes.04G060900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:18277017:18278389:1 gene:Manes.04G060900.v8.1 transcript:Manes.04G060900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHCIAATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFQTMVKPLVSRKGTLDPALEAAEAKSRTITLSKDGRGDFKTLTDAIKSIPADNKQRVIIKIGPGVYTEKIQIERNKPFITLLGDPKAMPTLAFGGTAHEYGTLYSATIAIESEYFMAVNIIFKNTAPGPITKNPGAQAVALRVSGDKAAFYNCKMLGFQDTLCDDNGRHFFKNCYIEGTVDFIFGKGRSLYLESQLNVIDNKGVTFITAHSKEKKSDVVGYSFVQCKITGSASGAYLGRAWRQMPEVVFSYTEMGRVVNPLGWSNNNKPERESTVFFGEYKNSGPGSNPKNRVKFAKQLTDGEAKNFLTLGYIQGSKWLLPPPM >Manes.02G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1678744:1681147:1 gene:Manes.02G018300.v8.1 transcript:Manes.02G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSATSISLRHNFCRGFLLTPKPNNSLTIPSIFLRKGFALREKQSFKACMVMEEKPNHVLDEDEKDMIVESFEDVKKHPSVSSVEVKRARKMLERYTYLAAAILSSAGITSMAAMAVYYRFSWQIQGGEFPALEMLGTFVLSVGAAVGMEFWAKWAHKALWHASLWQIHESHHRAREGSLELNDVFAVTNAAPAIGLLWYGFWNKGLSGGLCFGAGLGITVFGMAYMFVHDGLIHRRFPVGPIAYFPYLQRVAAAHQLHHSDKFKGVPYGLFLGPKELEGTEGGMEALDRELQRRIKLCK >Manes.S033916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:862498:875333:1 gene:Manes.S033916.v8.1 transcript:Manes.S033916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.11G053700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6635011:6638288:1 gene:Manes.11G053700.v8.1 transcript:Manes.11G053700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKINSLSCQGIGSGSPFLILFIHIVLCLCSCFVCVEGFDSLLQLPQSLSETSRPRSKRVFFVTDFGARGDGISNDTQAFGDAWKKACSFPARTRIVIPAGVTLLVHPVDLAGPCKSRITLNISGTVVAPKDPAYWKGLNPRKWLYFHGVNHLTIDGGGTVNGMGRRWWARSCKINPENPCRHAPTAMTFHKCKDLRVRNIRIVYGQQMHIAFTNCIRVMVFGVLVTSPAFSPNTDGIHISASRRVEVRDSIVQTGDDCISIVSNSSRIRIKNFACGPGHGISIGSLGKYHSSSKVHDILVDGAFLSNTDNGLRIKTWQGGSGEASRIKFQNVLMENVSNPIIIDQYYCDSRLPCANQTSAVKVANISYIHIKGTSATEHAIVLACSDYSPCTGLYLEDIQLVLNTEEISNSFCWEAYGSSVGLVTPPPCLSCNDSFIKQKVPSDSLQFL >Manes.16G099700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30564400:30567583:1 gene:Manes.16G099700.v8.1 transcript:Manes.16G099700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKQKWTSEEEEALKAGVAKHGTGKWKNIQKDPEFNPFLFARSNIDLKDKWRNMNASAGGQCQREKSRTAKPKAISDDPASAASSIPSSNLQTTSTAPIKADLIVDDSSTSIVDGKGAPKYNAMIFEAISALNSQPGVDTSAIVSYIEQRQEVPQNFRRQLSARLRRLVAQEKLEKVQNFYKIKNSSPSGTKIPTPKQKSIQPRPLHSVGCINSGGTMEEAAVAAAYRIAEAENKSFVAAEAVKEAERVSKMAEDTDSLLQLAKEIFEKCKVTFLFLLSLLFHFLLMCTLIINSTGSQGGIVLMA >Manes.16G099700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30564319:30568959:1 gene:Manes.16G099700.v8.1 transcript:Manes.16G099700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKQKWTSEEEEALKAGVAKHGTGKWKNIQKDPEFNPFLFARSNIDLKDKWRNMNASAGGQCQREKSRTAKPKAISDDPASAASSIPSSNLQTTSTAPIKADLIVDDSSTSIVDGKGAPKYNAMIFEAISALNSQPGVDTSAIVSYIEQRQEVPQNFRRQLSARLRRLVAQEKLEKVQNFYKIKNSSPSGTKIPTPKQKSIQPRPLHSVGCINSGGTMEEAAVAAAYRIAEAENKSFVAAEAVKEAERVSKMAEDTDSLLQLAKEIFEKCSQGGIVLMA >Manes.02G083700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6558943:6560616:-1 gene:Manes.02G083700.v8.1 transcript:Manes.02G083700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKPSPANSSPLTPLGFLERAATVYGDCPSIIYSATSYTWSQTHRRCLQLASSLSSLGIKPRQVVSVIAPNIPAMYELHFAVPMAGAILNTINTRLDARSISVLLHHSESKLLFVDYFSKSLVLEAISLLPRSVKPPILVLITDDELSAPLNATVAVDFIDTYEDLLGKGDPGFKWIRPNSEWDPIVLNYTSGTTSSPKGVVHCHRGSFIITVDSLIDWSVPKQPVFLWTLPMFHANGWSYTWGMAVVGGTNICLRKFDSPTIYSLIRGHKVTHMCGAPVVLNMLANYLAENKTTLESPVNILTAGAPPPAPVLSRTESLGFIVSHGYGLTETGGVVVSCAWKPQWNLLPATEKARLKARQGVRTIGMTEVDVVDPESGVSVKRDGSSQGEILLRGGCLMLGYLKDPDGTSKCLTENGWFYTGDMGVMHTDGYLEIKDRSKDVIISGGENLSSVEVETVLYTNPMINEAAVVARPDEFWGETACAFVSLKNGDNNEKAATEKEIIAYCRARLPHYMVPKTVVVKEELPKTSTGKIKKYVLREMAKAMGSSRISRL >Manes.01G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31243457:31251632:-1 gene:Manes.01G115300.v8.1 transcript:Manes.01G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETALEFVKHGAALLLLDVPQYTLLGIDTQMFTVGPAFKGIKMIPPGPHFVYYSSSSRSGKEFSPITGFFIHAGPSEVIVRQWDQQEERLVKVSEEEEERFSQAVKSLEFDRHLGPYNLSQYGEWKRLSNYLTKNVIDRIEPIGGEITVATESVMVKNSPKTAMEKALEEQLRTSKFSASASVDKSRKRGCYYTKIPHVIKCKGMNGEELTSLNLDKTELLESILMKDYGGSEDLLIGELQFAYIAFLMGQSLEAFLQWKALVSLILGCTEAPFHTRSQLFIKFIKVMYYQLKYGLQKDREETNGAAVGVSTLLDESWFSADSFLHRLCKDFILLVQDASVVDGDLLTWTRNLKELLETSLGWEFQQNSAVDGIYFEDDDEYAPVVEMMDDTSYN >Manes.03G145688.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27333754:27335108:1 gene:Manes.03G145688.v8.1 transcript:Manes.03G145688.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCWFVLQNQLSNHIEENQLLTLGVFMLWHIWKDRNSWIFCNSLSHFNEIILVAIKYHEEFLEASSFAFLTDPPLSTSSRDWASSPYPMLKLNVDAATEALNNRGASVVVVRNHLGLLLDWSCRLWQGISDPLFLEALAVKETLSLIRNRG >Manes.05G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3101299:3104157:-1 gene:Manes.05G037600.v8.1 transcript:Manes.05G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVKRSSDTKYAVVTGANKGIGFEICRQLASHGILVVLTARDEKRGLEALHKLKDSPLSELIVFHQLDVADDASIAAFANFIKTQFGKLDILVNNAGVNGIAVDDATFRPESGKEAANVDWQWHKKTTQNYELAEECLKINYYGAKRMVEEFIPLLQLSDSPRIVNVSSSLGILKYVCNEWAKQVLGDADKLSEERIDEVLSKYLEDFKEGSPESKGWPSFMSAYALSKAAMNAYTRILAKKLPSFRVNCVCPGFVKTDINYNNGLLSVEEGAENPVRLALLPNDGPSGCFFYQKEESSF >Manes.13G113900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31929329:31932636:1 gene:Manes.13G113900.v8.1 transcript:Manes.13G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKTLTSFFIVLLLGICHVSMAEKTTYIVHMSKSEMPASFEHHTHWYDSSLKSVSGSAEILYSYDNAIHGFSTRLTPEEAELLQSQPGIFSVLPEMRYELHTTRTPEFLGLDKAADMFPESDSASDVIIGVLDTGVWPESKSFVDTGMGPVPSSWKGQCESGTNFTSANCNRKLIGARYFAKGYEATLGPIDESKESKSPRDDDGHGSHTASTAGGSVVEGANLFGYAAGTARGMATRARVAVYKVCWIGGCFSSDILGAMDKAIEDGVNILSMSLGGGMSDYYRDSVAIGSFAAMEKGILVSCSAGNAGPTSYSLSNVAPWITTVGAGTLDRDFPAFVSLGNGRNYSGVSLYRGSSLPGKLLPFVYAGNASNSTNGNLCMMDSLIPEKVAGKIVMCDRGVNARVQKGAVVKAAGGLGMVLANTAANGEELVADAHLLPATSVGEKNGDEIKSYLSKDPNPTVTILFEGTKVGIQPSPVVAAFSSRGPNTITPQVLKPDLIAPGVNILAGWAGSVGPTGLSTDSRRVDFNIISGTSMSCPHVSGLAALLKAAHPDWSPAAIRSALMTTAYVAYKNGQKLQDIATGKDSTPFDHGAGHVDPVSALNPGLVYDLKVDDYLNFLCALSYTAAQISSLARRRFTCDASKKSSLNDLNYPSFAVNFDTTQNGGAGVVKYTRTLTNVGSAGTYKASISGQSEGVKILVEPQTLSFSQENEKKSYTVTFTGSSMPINTNAFARLEWSDGKHIVGTPIAVSWT >Manes.11G162200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32730502:32732789:1 gene:Manes.11G162200.v8.1 transcript:Manes.11G162200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILASNNLTGRIPVEMANLTSLKILNISNNLFMGNFPGEIALGMTSLEVLDIYNNNFSGPLPTELENLKNLKHLHLGGNYFSGRIPDSYSNIQSLEYFGCNGNDLSGKIPAGLAQMKQLKYLFLGYYNSYEGGIPPEFGSLTSLEVLDMAFCNLSGQIPVSLGQLKHLQYLYIQMNSLSAAIPHELSGLISLEFLDLSINQLSGEIPGSFCKLKNVTLIHLFKNNLSGRIPACIGDLPNLKVLHIWGNNFTSELPENLGWNEKLTHLDVSFNRLTGPIPPNLCKGGKLSEFILMNNFFYGPIPEELGNCKNLTKIRLQNNRLDGTIPAGIFNMPASTIIELNNNNFSGELPSNMSSNALELLELSSNGITGRIPPAIGNLQNLKTLLLDRNRFYGEISEAILNLKFLSGINLSTNNLSGAIPPSISNCTSLTSLDFSRNSLNGEIPSGIAALKNLAFLNVSENHLSGQVPSEIQYMLSLAKLDVSNNNLSGRVPSSGQLLAFDDSSFSGNPYLCSPHNISCQSPVNSNQTSNSRHSYRGWQSIQKLTVSLITVCLL >Manes.11G162200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32730314:32732620:1 gene:Manes.11G162200.v8.1 transcript:Manes.11G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLQLLLILVFAISSACGGDLEVLLKLKSSMTGARDSRLEDWDSSRESTSAHCSFSGVACDGGNRVVSLNVSAHNLSGSLPPEIGLLNNVEIMILASNNLTGRIPVEMANLTSLKILNISNNLFMGNFPGEIALGMTSLEVLDIYNNNFSGPLPTELENLKNLKHLHLGGNYFSGRIPDSYSNIQSLEYFGCNGNDLSGKIPAGLAQMKQLKYLFLGYYNSYEGGIPPEFGSLTSLEVLDMAFCNLSGQIPVSLGQLKHLQYLYIQMNSLSAAIPHELSGLISLEFLDLSINQLSGEIPGSFCKLKNVTLIHLFKNNLSGRIPACIGDLPNLKVLHIWGNNFTSELPENLGWNEKLTHLDVSFNRLTGPIPPNLCKGGKLSEFILMNNFFYGPIPEELGNCKNLTKIRLQNNRLDGTIPAGIFNMPASTIIELNNNNFSGELPSNMSSNALELLELSSNGITGRIPPAIGNLQNLKTLLLDRNRFYGEISEAILNLKFLSGINLSTNNLSGAIPPSISNCTSLTSLDFSRNSLNGEIPSGIAALKNLAFLNVSENHLSGQVPSEIQYMLSLAKLDVSNNNLSGRVPSSGQLLAFDDSSFSGNPYLCSPHNISCQSPVNSNQTSNSRHSYRGWQSIQKLTVSLITVCLL >Manes.11G162200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32730449:32732749:1 gene:Manes.11G162200.v8.1 transcript:Manes.11G162200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARDSRLEDWDSSRESTSAHCSFSGVACDGGNRVVSLNVSAHNLSGSLPPEIGLLNNVEIMILASNNLTGRIPVEMANLTSLKILNISNNLFMGNFPGEIALGMTSLEVLDIYNNNFSGPLPTELENLKNLKHLHLGGNYFSGRIPDSYSNIQSLEYFGCNGNDLSGKIPAGLAQMKQLKYLFLGYYNSYEGGIPPEFGSLTSLEVLDMAFCNLSGQIPVSLGQLKHLQYLYIQMNSLSAAIPHELSGLISLEFLDLSINQLSGEIPGSFCKLKNVTLIHLFKNNLSGRIPACIGDLPNLKVLHIWGNNFTSELPENLGWNEKLTHLDVSFNRLTGPIPPNLCKGGKLSEFILMNNFFYGPIPEELGNCKNLTKIRLQNNRLDGTIPAGIFNMPASTIIELNNNNFSGELPSNMSSNALELLELSSNGITGRIPPAIGNLQNLKTLLLDRNRFYGEISEAILNLKFLSGINLSTNNLSGAIPPSISNCTSLTSLDFSRNSLNGEIPSGIAALKNLAFLNVSENHLSGQVPSEIQYMLSLAKLDVSNNNLSGRVPSSGQLLAFDDSSFSGNPYLCSPHNISCQSPVNSNQTSNSRHSYRGWQSIQKLTVSLITVCLL >Manes.11G162200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32730502:32732744:1 gene:Manes.11G162200.v8.1 transcript:Manes.11G162200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILASNNLTGRIPVEMANLTSLKILNISNNLFMGNFPGEIALGMTSLEVLDIYNNNFSGPLPTELENLKNLKHLHLGGNYFSGRIPDSYSNIQSLEYFGCNGNDLSGKIPAGLAQMKQLKYLFLGYYNSYEGGIPPEFGSLTSLEVLDMAFCNLSGQIPVSLGQLKHLQYLYIQMNSLSAAIPHELSGLISLEFLDLSINQLSGEIPGSFCKLKNVTLIHLFKNNLSGRIPACIGDLPNLKVLHIWGNNFTSELPENLGWNEKLTHLDVSFNRLTGPIPPNLCKGGKLSEFILMNNFFYGPIPEELGNCKNLTKIRLQNNRLDGTIPAGIFNMPASTIIELNNNNFSGELPSNMSSNALELLELSSNGITGRIPPAIGNLQNLKTLLLDRNRFYGEISEAILNLKFLSGINLSTNNLSGAIPPSISNCTSLTSLDFSRNSLNGEIPSGIAALKNLAFLNVSENHLSGQVPSEIQYMLSLAKLDVSNNNLSGRVPSSGQLLAFDDSSFSGNPYLCSPHNISCQSPVNSNQTSNSRHSYRGWQSIQKLTVSLITVCLL >Manes.14G080801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6718213:6721809:1 gene:Manes.14G080801.v8.1 transcript:Manes.14G080801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDSKPKDAGGKGKGKQAGGASDDSASKGKGKAGKSDGLGTCTYVKARHILCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKLAAEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFSTTVGATSGPFKSTHGYHIILCEGRKN >Manes.09G038600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7217396:7219654:1 gene:Manes.09G038600.v8.1 transcript:Manes.09G038600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSERETHDFMNVESFSQLPFIRPAPVKEKGIRLFGIEFGGNDPSAAADESASAETNEDSNAKESETSGDNNRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHNSLSDAHIYGLVNYRLGSTPTPPMSYPSWNSHATNSRFYGSHGSYSQQPINGSPLGLWRIPAVHGSATLHRDRSVHPLPLFSGEEMKHSQVGGSSTQGRYGYESKPSVQDHVSLDLHL >Manes.11G064100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8910497:8911777:-1 gene:Manes.11G064100.v8.1 transcript:Manes.11G064100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPCNPNEPQSSSSKLLSAALAAKLHKCHMASLQATKKTANKQTHEPQYNPFPSSNDIAGKSVEPCSTWLEEKWVGEESEVGNSQQQDKELEDRHIEQMIEELLDYGSMEFCSVAST >Manes.07G045700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5184675:5187121:-1 gene:Manes.07G045700.v8.1 transcript:Manes.07G045700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSWSLTICSLSFFLAIFLFPTIFTASETPLSFNFPNFDSNHPEIYTEKDATVSSVGIELTRNQRDLDQGGSIGRATYVQPLHLWDIQSGALTNFTTHFSFIINSGGNSNYGDGLAFFLAPNGSRLPLDVVCGGGLGLAINDNSSHALNYTENHFVAVEFDTYHNVWDPHYTDDHVGINVRSMKSVEAVRWQSSVEEGNTTDVWISYDSRNKILYVTYSFIDYDNSILQNTLSADVDMAKHLPEWVTFGFSASTGLSYEINRILSWDFNSSSESAVVSTPPPSHPVSAPANIEPAPAPNPDESRNSKTKILVGFTVAGFSLIIITVGFFLYLLRKKKKGKRGKEVKTIDPVFSVSFDDDFKSDTGPRNFSYKELANATSNFSEAALLGEGGFGAVYSGFLKELNCYVAVKRVSRRSKQGIKEFAAEVKIISRMRHRNLVKLIGWCHEKELLLAYEFMHNGSLDCHLFKGKSLLTWEVRYRIAQGLASALLYLHEEGDQCVLHRDIKSSNIMLDSNFNAKLGDFGLARLVDHGKGFQTTVLAGTMGYMAPECFTTGKASKESDIYSFGVVALEIACGRRAVESGLQENRTRIVEWVWELYGIGQLLQAADPKLCRNFHEQEMERLMVVGLWCVHPDQTFRPPIRQVINVLLSSEAPLPILPPEMPVAAYLAPLKFSISSLLSYHCSISTSNTGEPTYRIG >Manes.05G086324.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7647826:7648325:1 gene:Manes.05G086324.v8.1 transcript:Manes.05G086324.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCLGKNAWPELVGIDGNCAAAIIEKENKHVKAIVLKDGTPVTGDFSCGRVWVWVNENNVVIGTPRVG >Manes.05G093100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8516998:8520237:1 gene:Manes.05G093100.v8.1 transcript:Manes.05G093100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEASTILSSTVDGENCHSAESFVEKKLKLFGFELNPYKNDESRLKGSAEGDESVNSSNTVSSLMAKPINKEKSSTSEPDDKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQARRASISCYLQPFNNNLSYNRHGSTQWFYDPSCCTPEFTFYEDSHISFNPYDQDSHLNGSQVFVPAQVPFRQETCMFTLTDPERSGENKPVIRKPSPLHASKQTCKSLDLHLGLGLQSNITEI >Manes.05G093100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8516074:8520111:1 gene:Manes.05G093100.v8.1 transcript:Manes.05G093100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEASTILSSTVDGENCHSAESFVEKKLKLFGFELNPYKNDESRLKGSAEGDESVNSSNTVSSLMAKPINKEKSSTSEPDDKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQARRASISCYLQPFNNNLSYNRHGSTQWFYDPSCCTPEFTFYEDSHISFNPYDQDSHLNGSQVFVPAQVPFRQETCMFTLTDPERSGENKPVIRKPSPLHASKQTCKSLDLHLGLGLQSNITEI >Manes.05G093100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8517014:8520238:1 gene:Manes.05G093100.v8.1 transcript:Manes.05G093100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEASTILSSTVDGENCHSAESFVEKKLKLFGFELNPYKNDESRLKGSAEGDESVNSSNTVSSLMAKPINKEKSSTSEPDDKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQARRASISCYLQPFNNNLSYNRHGSTQWFYDPSCCTPEFTFYEDSHISFNPYDQDSHLNGSQVFVPAQVPFRQETCMFTLTDPERSGENKPVIRKPSPLHASKQTCKSLDLHLGLGLQSNITEI >Manes.05G093100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8514352:8520127:1 gene:Manes.05G093100.v8.1 transcript:Manes.05G093100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEASTILSSTVDGENCHSAESFVEKKLKLFGFELNPYKNDESRLKGSAEGDESVNSSNTVSSLMAKPINKEKSSTSEPDDKKFECQYCFKEFANSQALGGHQNAHKKERMKKKRLQLQARRASISCYLQPFNNNLSYNRHGSTQWFYDPSCCTPEFTFYEDSHISFNPYDQDSHLNGSQVFVPAQVPFRQETCMFTLTDPERSGENKPVIRKPSPLHASKQTCKSLDLHLGLGLQSNITEI >Manes.06G151900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27935006:27938836:1 gene:Manes.06G151900.v8.1 transcript:Manes.06G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTLYFLSLVIISLISSSTPFTPADNYLLNCGSATNTSLDNRVFVSDASRPGWFFLSADRSVSLTDQNPSPNSPALYRTARVFTTPSSYKFNIKKNGTHLVRFHFSPFAAKGFNLSTAKFSVVVNGNMMMRDFSTQVVVLKEYIMKIDDETLDIWLRPVDETGFGFVSAIEVFSAPNDFIVDYGAKLVSADGIEEYKNLSLHVLETVHRINVGGSKLTPFNDTLWRTWIPDDDFLVLKAAAKRAATTHTPNYQSGGASPEIAPDNVYMTAQQMNKDNATLGARFNITWDFPVGLSGVRHLVRLHFCDIISTSLNQLYFDVYVNDYSAYKDLDLSTLTFHMLSSPVYIDFIADSNHLGAVRVSVGPSDISTSLKVNAILNGVEIMKMVNVLDSRSGYKKSRIWIVLGSILGGLLVFGLVVLAVVLLCKRRKQKPKPRRAESVGWTPLRMYGGSSHTRISEVTVNASPGSNGYHTLRIPFADIQSATNNFDENLIIGSGGFGMVYKGVLKDNTKVAVKRGVPGSRQGLPEFQTEIAVLSRIRHRHLVSLIGYCEEQSEMLLVYEYMERGPLKNHLYGSGYPPLSWKQRLEICIGAARGLHYLHTGSTQGIIHRDIKSTNILLDQNYVAKVADFGLSRSGPCLNETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLAREQVNLAEWAMQWQKKGMLEKIIDPHLVGQINRRSLKKYGETAEKCLADYGVDRPTMGDVLWNLEYVLQFQESGPSREQRANGNANAQEIPSPSMVPEGSSSDAGAEREDGNGVSDITTSKVFSQLMTNEGR >Manes.10G015900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1646258:1650314:1 gene:Manes.10G015900.v8.1 transcript:Manes.10G015900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGTSFAPFLPSILQFHLFLLIFATILCFQPAHCLSKLGNETDKLALLQFKAKISNEPNGIFSSWNDSVHFCKWQGVICGPKHQRVTSLNLQGLSLSGTISPHVGNLTFLRFLSLGDNIFHAEIPQEVGYLFRLRHFNLSTNQLSGEIPGNISSCSELRLLNLINNNLVGKVPAELGSLKMLVILDLRKNSLTGEIPHSLGNLSSLQEIYLTENLLQGKIPTELGDLTSLTLLAIGVNSLSGTIPPALYNISSITIIETTYNQLSGSLPANIGLTLPNLEKLLLARNGFFGTIPVSLANCSRLQLIDISNNSFEGQLPTNMGNLKGLQQLHVEFNSFGSKTRQDLSFVPSLANCSNLQQLYFDGNNFAGELPRSIGNLSTLVQLGLGRNSISGTIPAEVGNLVNLFRLDMDANFFSGSIPISFGKLQKLERLTLNQNLLSGEIPALLGNIPKLYWLQLEGNRFQGNITPSLGNCQNLRFLDVSRNKLMGSIPTQIVGLSSLSGTLNLSQNSLSGSLPSEVGNLKSVNALDVSENKIYGEIPKTMGDCSRLEILYMQGNLLQGAIPSSFDSLRGLQRIDLSRNNLSGSIPNELEKLIFLKYLNLSFNNLEGEVPKTGVFKNASAFSLVGNRNLCGGIAELQLPACPIKEEKDRRPSIVIVLTTTISSFLFVVIVTFLCLFYWQNSKKSPTFSTFTVDELPQISYGELLKATDRFSSENLIGQGSFGSVYKGRLDQQWKCFVAIKVLNLQQHGASKSFIAECNALKNIRHRNLVKILTYCSSIDSKGNDFKALVFNFMENGSLEIWLHPEENSNNQTRKLDFLQRLYIAIDVASALHYLHDHCETPIVHCDLKPSNILLDSDLTAHVGDFGLAKLLAESTNSPSQSQTFSTGIKGTIGYMPPEYGVGSSVTTYGDVYSFGILLLEMFTGKRPTHDVFTDGLDLHNFVKAKLPRQVMQVVDPTLLTPGEVGAATAAAAENMDNDGSIDDSVREYVVSVLQIGLKCSTEVPKDRMSMKDATSKLNDIKDTFLHRHQKIV >Manes.02G157800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12335889:12338611:1 gene:Manes.02G157800.v8.1 transcript:Manes.02G157800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSTNSVNGFYSFLTRGIDDLERVYLSNNFMSIQFLQRVLSLLRSFHSQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGISGMENYYSAGFNITSSLDSHRRLSTQLSRQVIRAISGCRREAAGLEEENRALMETRIQPLSLRFDEKVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESSFLRGGYEGCLFFGSAFMISTARLQQRVAGEINQINGRPGILLYEFRRSKMAMEELRGELEMRCGQGVVVDWETEVEIRERVENLRGCFGVLRSGAENIVGQLDDFFDEIVEGRKKLLDFCSHR >Manes.12G064500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6851238:6862553:-1 gene:Manes.12G064500.v8.1 transcript:Manes.12G064500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAHSDFSGHSNTTSRGLCEVVWTVEADLAAGQLLYVTGDPISLGGWQPDMAIQMCATEQAKLWKTEVKIPSGVNFKYNYFIREETWASGDIIWRPGPEFSLSVPVNVKQDSKVNVRDSWLKFNTERSPPHVWGSWIEEKYLPVQPLVPAQDRDQHEVVNHCEVDLKESEVFVNDLQVKDKLHLNNKQTNTFINDGPNLIFSERDQPVEEPWLLHSSIIVLVSKDKIMPMSKNNGTVENGAINVDVNSQHLQDKDTLLPIDGNDLNLKDDSVSTIILINSSICTMQRIAILEDGKLVELLLEPVKTNVQCDSVYLGVVTKFVPHMGGAFVNIGHSRPSLMDIKQNREPFIFPPFRQRRKKGNINGSGVEALGEHPFADENEHTSNDVEGIDDVTEFISQEDLVPYAHDDHEEHEVDEDFDISDIKENGNGSVISYGEADTHFEHFLDGRENNLKGETMNRFHPFGTERSNDPLMPRPQDMKDSEHLLASENKWFQVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWILMTRCDKVGISKKISGVERTRLKVIAKTLQPPGFGLTVRTVAAGHSLEDLQKDLEGLLSTWKSIMEHAKSAALAADEGVEGAIPVILHRAMGQTLSVVQDYFSEKAKKMVVDSPRTYHEVTNYLQEIAPDLCNRVELYDKRIPLFDEFKIEEEINNILSKRVPIPKGGSLVIEQTEALVSIDVNGGHVMFGQGTSQEKAALDVNLAAAKQIARELRLRDIGGIIVVDFIDMADESNKRLVYEEMKKAVEKDRSTVKVSELSKHGLMEMTRKRVRPSVTFKISEPCSCCHATGRVEALETSFSKIEQEICRLLAMMDQKADPEKPKTWPRFVLRVDHHMCNYLTSGKRTRLAILSSSLKVWIFLKVARGFTRGEFEVKPFTDDQANENQHQVAISVLRRAETRTSGKKVTLVPVKGGKSGGK >Manes.12G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6851238:6862553:-1 gene:Manes.12G064500.v8.1 transcript:Manes.12G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLHNSCATDLQPSTRFMDVSEARPWLHHFRLLNPRISSCLFGPERFLSPYICRHMPFGNVYRFALSNGTSTSLLNPVKSMKKGHSNTTSRGLCEVVWTVEADLAAGQLLYVTGDPISLGGWQPDMAIQMCATEQAKLWKTEVKIPSGVNFKYNYFIREETWASGDIIWRPGPEFSLSVPVNVKQDSKVNVRDSWLKFNTERSPPHVWGSWIEEKYLPVQPLVPAQDRDQHEVVNHCEVDLKESEVFVNDLQVKDKLHLNNKQTNTFINDGPNLIFSERDQPVEEPWLLHSSIIVLVSKDKIMPMSKNNGTVENGAINVDVNSQHLQDKDTLLPIDGNDLNLKDDSVSTIILINSSICTMQRIAILEDGKLVELLLEPVKTNVQCDSVYLGVVTKFVPHMGGAFVNIGHSRPSLMDIKQNREPFIFPPFRQRRKKGNINGSGVEALGEHPFADENEHTSNDVEGIDDVTEFISQEDLVPYAHDDHEEHEVDEDFDISDIKENGNGSVISYGEADTHFEHFLDGRENNLKGETMNRFHPFGTERSNDPLMPRPQDMKDSEHLLASENKWFQVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWILMTRCDKVGISKKISGVERTRLKVIAKTLQPPGFGLTVRTVAAGHSLEDLQKDLEGLLSTWKSIMEHAKSAALAADEGVEGAIPVILHRAMGQTLSVVQDYFSEKAKKMVVDSPRTYHEVTNYLQEIAPDLCNRVELYDKRIPLFDEFKIEEEINNILSKRVPIPKGGSLVIEQTEALVSIDVNGGHVMFGQGTSQEKAALDVNLAAAKQIARELRLRDIGGIIVVDFIDMADESNKRLVYEEMKKAVEKDRSTVKVSELSKHGLMEMTRKRVRPSVTFKISEPCSCCHATGRVEALETSFSKIEQEICRLLAMMDQKADPEKPKTWPRFVLRVDHHMCNYLTSGKRTRLAILSSSLKVWIFLKVARGFTRGEFEVKPFTDDQANENQHQVAISVLRRAETRTSGKKVTLVPVKGGKSGGK >Manes.01G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32087808:32092385:1 gene:Manes.01G125700.v8.1 transcript:Manes.01G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSRKREPSSLNASSTDAVVPDKSFSLKEEPDVNIKEKLSGEGKVMKQLEEGLTKKEIFVIKHRKSHDREKPSTRENRVVSALPNVTHINPSSGVLEMGNDVSHMVVRTSSCAKEEVDAILIQCGRLSRSNSFGAGKTASSGRKYSGHKRSYDLDHNDLDQDVEIATAATYDARKINDDEDDELAAERRQHRQRQRRPSPSSQGRRRTPSREREQRSGSRERDNGSSSSGRRVSRSPGRRSETTPTAHSNCASNVNNTTSRPGKMVSVPATVSSLTMDKGTNGVESQTETAFKRISVKRNVGDAAMPSSRGAASPRSQSPARTSAKGSNENNHQQPSLSRSSSRKAEQSPYRRNPLSEIDPNSLVYAQATGNNKTACINNNNSSSRVQIRNKEIEGQAVSKESISVLNQAQMQKPNAETNNRPVAQGTNCRGNSNIVKEAPVIMEEAEVQLPNSTLAASGADLKPQTLTRTRSARRSRDLDFNPETLLNPNPSYTALLLEDIQNFHQKNATTTAPSFSVPPCVVKARSIVEAVADLNSTTSSNLSCAFVEDRRSPTTMGANIVGKKLTEAKDPFVESEILASDDLMEPSFHKYVTMRRGVTSCGEDMDEQESSGSNSFVGGSQQYWGYSTSSWEPDSADSTDRWTSRSNTREEDEKNPSGFQKHAVLESGRNMEEAMRGFSGQMTGIGRGRAAASGKILHSNLIVAATAST >Manes.13G045100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5328394:5328768:1 gene:Manes.13G045100.v8.1 transcript:Manes.13G045100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEPLWALGGWFLFPLCMAKPNPISSISETSSKYHHFFIFFVLVIFTFLLLISPSTVTMSSSSISIKRVLLESSESISAATTTNFHPKQSQNSHASSSSKSKRSEFGVEAHEVPSGPNPISNR >Manes.14G116000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10976808:10980783:1 gene:Manes.14G116000.v8.1 transcript:Manes.14G116000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKWGCWALLKWGVRGACKSSASRNSAKTIPRTSLVYDAATETRYLNASNRELCPPNEAQLSSDNPDPPPTGNKSPCQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWMAEVDFLGQLHHPNLVKLVGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWCNRIKIALGAAKGLAFLHGSPEPVIYRDFKASNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVAWARPYLADKRKLYQLVDPRLELNYSLKGVQKVSQLAYNCLSRDPKSRPTMDEVVKVLIPLQDLNDLVILSYHSRLSQQARRKKKSEGTQQHRNASSKSIRDSPLNTGKQRCR >Manes.03G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27691296:27694199:-1 gene:Manes.03G149600.v8.1 transcript:Manes.03G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGKGEKLSNHNRNNHQELPYRVIHKLPPGDSPYVRAKHVQLVQKDPEAAIVLFWKAINAGDKVDSALKDMAVVMKQQDRAEEAIEAIKSFRHCCSKQAQESLDNVLIDLYKKCGRIEEQIELLKQKLRMIYEGETFNGKLTKMARSHGKKFQVTIKQETSRILGNLGWAYMQQGSYSAAEFVYRKAQSIDPDANKACNLCLCLIKQTRYAEAHSVLDDVLQGKLSRSDDPKSRNRAKELLHELETCQSSLVCSKSPGLRLEDAFVEGLDQLMKQCAPYRSRRLPIFEEISPYRDQLAC >Manes.03G149600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27691296:27694199:-1 gene:Manes.03G149600.v8.1 transcript:Manes.03G149600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQKDPEAAIVLFWKAINAGDKVDSALKDMAVVMKQQDRAEEAIEAIKSFRHCCSKQAQESLDNVLIDLYKKCGRIEEQIELLKQKLRMIYEGETFNGKLTKMARSHGKKFQVTIKQETSRILGNLGWAYMQQGSYSAAEFVYRKAQSIDPDANKACNLCLCLIKQTRYAEAHSVLDDVLQGKLSRSDDPKSRNRAKELLHELETCQSSLVCSKSPGLRLEDAFVEGLDQLMKQCAPYRSRRLPIFEEISPYRDQLAC >Manes.10G062600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8791088:8791306:-1 gene:Manes.10G062600.v8.1 transcript:Manes.10G062600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAAKTARESLELAFQMSNVLDTGLDRHMLSVLIALCDLGLNPEALAAVVKELGREDSCPPPTPTAPSAP >Manes.18G068500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6187866:6191567:1 gene:Manes.18G068500.v8.1 transcript:Manes.18G068500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCPTKDQLGCGLMGGIFSRRSFWQRKTVVHSLPAESSNNILKEKLPPVHVSKRQRSKSEAAILDTSNLAKPLPEEGKKHRRRHSLVAPRASTSNQKNESRKSSDAARSSTSSSGSSQIKLLRTTEAKLRKDSASESRELSVSTTTNHQQSNKKALVRATSNNVMLLGELGNLRQLGSGNVAGNNGSNSTIKTTDFLHKDIQKANSTPRTTKSYSKLGGNGVMGNIVRQSSGEFRQCQNLTSRMDPEVLKNMGNEKYKQGRFEEALAFYDRAIALDSSKATYRSNRSAALIGLGRLTDAVSDCKEAIRLDPSYQRAHHRLATLYFRLGEAEKALYHYEQSGPHADSEDVAQVQALQKHLNRCIQARKLKEWNTLVKETDCAISSGADSSPEVYAMQAEALLRLHRHEEAYRSYRRAPSFSVDSCAKCVGSAGTSYLLIIGAQVYMAAGRFEDAVAAAQQLAQIDPSNREVSTVVKTARAVASARLSGNLLYKASKYSEACIAYNEGLEHDPNNSILLCNRAACRSKLHQFEKAVEDCTAALRFQPNYSKARLRRAHCNAKLERWEASIQDYEMLIRESPADEEIGRALFEAKIQLKKQRGEDTKDLKFGSNLVFISRMSVVLFCNKENHRQVLQLMEQVCKRFPSVNFLKVEVEDHPYLAKSESVTALPSFKIYKNGSRVKEIPGNNRELLEKSVKLYSS >Manes.18G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6187866:6191567:1 gene:Manes.18G068500.v8.1 transcript:Manes.18G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCPTKDQLGCGLMGGIFSRRSFWQRKTVVHSLPAESSNNILKEKLPPVHVSKRQRSKSEAAILDTSNLAKPLPEEGKKHRRRHSLVAPRASTSNQKNESRKSSDAARSSTSSSGSSQIKLLRTTEAKLRKDSASESRELSVSTTTNHQQSNKKALVRATSNNVMLLGELGNLRQLGSGNVAGNNGSNSTIKTTDFLHKDIQKANSTPRTTKSYSKLGGNGVMGNIVRQSSGEFRQCQNLTSRMDPEVLKNMGNEKYKQGRFEEALAFYDRAIALDSSKATYRSNRSAALIGLGRLTDAVSDCKEAIRLDPSYQRAHHRLATLYFRLGEAEKALYHYEQSGPHADSEDVAQVQALQKHLNRCIQARKLKEWNTLVKETDCAISSGADSSPEVYAMQAEALLRLHRHEEAYRSYRRAPSFSVDSCAKCVGSAGTSYLLIIGAQVYMAAGRFEDAVAAAQQLAQIDPSNREVSTVVKTARAVASARLSGNLLYKASKYSEACIAYNEGLEHDPNNSILLCNRAACRSKLHQFEKAVEDCTAALRFQPNYSKARLRRAHCNAKLERWEASIQDYEMLIRESPADEEIGRALFEAKIQLKKQRGEDTKDLKFGSNLVFISSNERFRYFVTSPGMSVVLFCNKENHRQVLQLMEQVCKRFPSVNFLKVEVEDHPYLAKSESVTALPSFKIYKNGSRVKEIPGNNRELLEKSVKLYSS >Manes.15G158300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13081113:13084041:1 gene:Manes.15G158300.v8.1 transcript:Manes.15G158300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRAISYRNSVMLIRSCNSIIQTRNLLSIPVIPKAQILPSFDFLKDNRRGFAKGKRSKDDSAGDTVQVVPDIGPTIKAVAASQMEAAMVALSRELAKLRTGRASAGMLDHIIVETDGVKLPLNRLAVAAVIDPKTLSVNPYDPNTLKALEKAIVSSPLGLNPRVDGERLIASIPPLTKEHMQAMCKVVSKSCEDVKQSIRRARQKALDTIKKSGSSFSKDEVKRLEKEVDDLTKKFVKSAEDMCKAKEREITEG >Manes.15G158300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13080697:13084041:1 gene:Manes.15G158300.v8.1 transcript:Manes.15G158300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRAISYRNSVMLIRSCNSIIQTRNLLSIPVIPKAQILPSFDFLKDNRRGFAKGKRSKDDSAGDTVQVVPDIGPTIKAVAASQMEAAMVALSRELAKLRTGRASAGMLDHIIVETDGVKLPLNRLAVAAVIDPKTLSVNPYDPNTLKALEKAIVSSPLGLNPRVDGERLIASIPPLTKEHMQAMCKVVSKSCEDVKQSIRRARQKALDTIKKSGSSFSKDEVKRLEKEVDDLTKKFVKSAEDMCKAKEREITEG >Manes.15G158300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13080697:13084041:1 gene:Manes.15G158300.v8.1 transcript:Manes.15G158300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRAISYRNSVMLIRSCNSIIQTRNLLSIPVIPKAQILPSFDFLKDNRRGFAKGKRSKDDSAGDTVQVVPDIGPTIKAVAASQMEAAMVALSRELAKLRTGRASAGMLDHIIVETDGVKLPLNRLAVAAVIDPKTLSVNPYDPNTLKALEKAIVSSPLGLNPRVDGERLIASIPPLTKEHMQALDTIKKSGSSFSKDEVKRLEKEVDDLTKKFVKSAEDMCKAKEREITEG >Manes.08G084000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:28994520:28994753:-1 gene:Manes.08G084000.v8.1 transcript:Manes.08G084000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTFLEGLLLFANALAILNEDRFLAPRGWTLAELQGGRRNSIKGQIIGLIHACQFMRLPLIILNIIIILVKLFSG >Manes.05G086337.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7239976:7240660:1 gene:Manes.05G086337.v8.1 transcript:Manes.05G086337.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRMKPRCPGKTAWPELVGKDGNRAAVIIEKENKHVTAIVLKYATPVPRDLRCDRVWVWVDENNVVIDIPRVR >Manes.14G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7904543:7910447:1 gene:Manes.14G095000.v8.1 transcript:Manes.14G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASPSPNSPTSAVPPDSTAPQPSTSNSPPPSTTTPPPQSSSTPPEPPMIPPSSQPSANPTGAPASSILSLPPQFLPSAVIATPPSSSSTSPPPTSPSTPSFNADPPTSNSTPPPSQPATPPISSPPPSLPSNPPTSPLPPPNPPASSPPPPPPANSPRPSSLNPPSSASPPSSKPPENSPPPANSPPPASKSPRPANSPPPPVSTPSNDSPPPPASIVPTPSNGPSPPRQTPNSPPSQPPPSPSNSTRVPPPAPVMRLVPPPSDKNNIPSSSGSPNSKDFGGIGTLGIVAIGVAVCIIMLSLVGLAFWCLRKRSKEALEHSGGYVMPSPPGSFLGSDSNFTKSHSAAPASSINDPVVHSPSSPGGLGNSRSWFTYEELLKATNGFSSQNLLGEGGFGSVYKGCLPDGRDVAVKQLKVGGGQGEREFKAEVEIISRIHHRHLVSLVGYCISEDQRLLVYDYVPNNTLHFHLHGEGGPVLGWATRVKIAVGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAKVADFGLARLAFDANTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSYGVVLLELITGRKPVDASRPLGDESLVEWARPLLSHAIANEEFDNLVDPRLAKNYDESEMVRMIEAAAACVRHSAAKRPRMGQVVRAFDSLATADLSNGMRLGESEVCNSAEQSAQIRLFRRMAFGSQNYSTDFFTQDSMDG >Manes.01G221100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38876319:38878868:-1 gene:Manes.01G221100.v8.1 transcript:Manes.01G221100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTKLRRKVAPANGVLDNSADKQDQLLLSAAICNGEDLGSFIRKAFASGKPETLLHNLRQFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSSLSDSNSKLQSVAGPLLTVLDSYIEAQTVSRNVNLALTLIVSCIKLMELCTRANHHLSSGNFYMALKCVDMMETEFLDKTPSSTLKRMLEKKIPEIRSHIERKVSKEFGDWLVEIRVVSRNLGQLAIGQASAARQREEDLRIKQRQAEEQSRLSLRDCVYALQEEDDDDGINGGIGEDVKDGYSSGSGLLGFDLTPVYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRILRTGGGLISRMDVENLWETAVSKMCSVIEDHFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDPLLDVLSKHRDKYHELLLSDCRKQIAEALAADKFEQMLMKKEYEYSMNVLSFQIQTSDIVPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLDFFDVVKKYLDRLLSEVLDEALLKLINTSVHGVSQAMQVSANMAVMERACDFFVRHAAQLSGIPLRMAERARRQFPLNKARDAAEEMLCGLLKQKVDGFMTLIENVNWMADEPVQSGNEYVNEVIIYLETLVSTAQQILPVNVLKRVLQEVLSHISETIVGALFGDSVKRFNINAIMGIDVDIRLLESFADNLASLFSEGDVNQLKTALAESRQLTNLLLSNHPENFLNPVIRERSYNTLDYRKVMTISEKLRDPSDRLFGTFGSRGSRQNAKKKSLDALIKRLKDVN >Manes.04G055700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11676251:11713030:-1 gene:Manes.04G055700.v8.1 transcript:Manes.04G055700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQSHRAEMISIAVALLAIGAGTAYYFYITTKKPKGCLDPEKFKEFKLVKRTQLSHNVAKFKFALPTPTSELGLPIGQHMSCRGKDSLGEDVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMCEGDYLAAKGPKGRFKYQPKQVRAFGMLAGGTGITPMFQVTRGILENPNDKTNVHLIYANVTYEDILLKEELDNLANNFPNRFSVYYVLNQPPEGWDGGVGFVSKEMIQTHFPVPAADIQILRCGPPPMNKAMAAHLEALGYTSQMQFQF >Manes.04G055700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11684643:11713034:-1 gene:Manes.04G055700.v8.1 transcript:Manes.04G055700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQSHRAEMISIAVALLAIGAGTAYYFYITTKKPKGCLDPEKFKEFKLVKRTQLSHNVAKFKFALPTPTSELGLPIGQHMSCRGKDSLGEDVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMCEGDYLAAKGPKGRFKYQPKQVRAFGMLAGGTGITPMFQVTRGILENPNDKTNVHLIYANVTYEDILLKEELDNLANNFPNRFSVYYVLNQPPEGWDGGVGFVSKEMIQTHFPVPAADIQVTFRLYNLPHSPSYLLKTTKKNLLFFFF >Manes.04G055700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11684681:11713034:-1 gene:Manes.04G055700.v8.1 transcript:Manes.04G055700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQSHRAEMISIAVALLAIGAGTAYYFYITTKKPKGCLDPEKFKEFKLVKRTQLSHNVAKFKFALPTPTSELGLPIGQHMSCRGKDSLGEDVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMCEGDYLAAKGPKGRFKYQPKQVRAFGMLAGGTGITPMFQVTRGILENPNDKTNVHLIYANVTYEDILLKEELDNLANNFPNRFSVYYVLNQPPEGWDGGVGFVSKEMIQTHFPVPAADIQILRCGPPPMNKAMAAHLEALGYTSQMQFQF >Manes.04G055700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11676251:11713042:-1 gene:Manes.04G055700.v8.1 transcript:Manes.04G055700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQSHRAEMISIAVALLAIGAGTAYYFYITTKKPKGCLDPEKFKEFKLVKRTQLSHNVAKFKFALPTPTSELGLPIGQHMSCRGKDSLGEDVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMCEGDYLAAKGPKGRFKYQPKQVRAFGMLAGGTGITPMFQVTRGILENPNDKTNVHLIYANVTYEDILLKEELDNLANNFPNRFSVYYVLNQPPEGWDGGVGFVSKEMIQTHFPVPAADIQILRCGPPPMNKAMAAHLEALGYTSQMQFQF >Manes.04G055700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11676251:11713034:-1 gene:Manes.04G055700.v8.1 transcript:Manes.04G055700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQSHRAEMISIAVALLAIGAGTAYYFYITTKKPKGCLDPEKFKEFKLVKRTQLSHNVAKFKFALPTPTSELGLPIGQHMSCRGKDSLGEDVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMCEGDYLAAKGPKGRFKYQPKQVRAFGMLAGGTGITPMFQVTRGILENPNDKTNVHLIYANVTYEDILLKEELDNLANNFPNRFSVYYVLNQPPEGWDGGVGFVSKEMIQTHFPVPAADIQVTFRLYNLPHSPSYLLKTTKKNLLFFFF >Manes.04G055700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11685450:11713042:-1 gene:Manes.04G055700.v8.1 transcript:Manes.04G055700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQSHRAEMISIAVALLAIGAGTAYYFYITTKKPKGCLDPEKFKEFKLVKRTQLSHNVAKFKFALPTPTSELGLPIGQHMSCRGKDSLGEDVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMCEGDYLAAKGPKGRFKYQPKQVRAFGMLAGGTGITPMFQVTRGILENPNDKTNVHLIYANVTYEDILLKEELDNLANNFPNRFSVYYVLNQPPEGWDGGVGFVSKEMIQTHFPVPAADIQILRCGPPPMNKAMAAHLEALGYTSQMQFQF >Manes.09G189000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37496972:37500559:1 gene:Manes.09G189000.v8.1 transcript:Manes.09G189000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSEIKAAPDTPVTFVGNSESTSSKKLGIYFIESDNRRMAFGRGYTGGTTPVNIHGKPIADLSKTGGWAATLFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFASSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLSASMNIFMPNQDQCDQLSLLLGNCEPAKPWQMLYLYIVLYVTGFGAAGIRPCVSSFGADQFDERSKNYKSHLDRFFNFFYLSITVGAIVAFTLVVYIQMEHGWGSAFGSLAIAMGISNMLFFIGTPLYRHRLPGGSPLTRVAQVLVAAFRKRKASFSSSELIGLYEVPGKRSAIKGSGKIAHTDDFRCLDKAALQLKEDGADPSPWKLCTVTQVEEVKILLKLIPVTACTIMLNLVLTEYLTLSVQQAYTMNTQMGHLKLPVTCMPVFPGLSIFLILSLYYSIFVPISRRITGHPHGASQLQRVGIGLAVSIVSVAWAGFFERYRRNYAVQHGYEVGFLTPMQDLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSSYAALAGGLGCFAASILNSIIKSVTGNPDKRQPSWLSQNINTGRFDYFYWLLTVLSVINFCAFLYSSHRYKYRAVPKFEFETGEQVVTSKNNTPTLA >Manes.09G011620.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2287836:2289603:1 gene:Manes.09G011620.v8.1 transcript:Manes.09G011620.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRRKSSSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTPLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYNTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKILKFGLEPDIRVKSTKQWNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDHFREALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVTYSSLMDGYCLGSQIDKARKLFDLMVTNQIADIFSYNILINGYCKCKMIDDAKQIFYEMSHKGLVPDTVTYHTLIKAMFQAGRPQTAKELFKDMYSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQSKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMNGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSQNNNLILRLLKLRNEGSAN >Manes.03G165002.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29147427:29148227:1 gene:Manes.03G165002.v8.1 transcript:Manes.03G165002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTYLLVLLIGVVALTTPSLADHHLPPHYEHIRLAFSPKPAPEHKPPMPVHKPPIEKPAPEPQPPIPSHKPPRKDYGLGHKRFTPAEPPKVVKPVPEHKPTTLPPKSSFLPPIHKPFSPHDHFPAQPPMESGEAPQKPPRKMMPPPIPHKDPPSPYHNAPPPINAY >Manes.03G019600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1689198:1691600:-1 gene:Manes.03G019600.v8.1 transcript:Manes.03G019600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCSSVPYTALPSHRVPQNVIVLSYYRPPPDPQIVFIRRCIFFTFAILLLSAAVFFLYPSDPTLQVTRIRLNHVHVNSYPTLTLDLSFSVVIMVRNRDFFSLDYDSLDVSVGYRGRELGLVHSQGGKLRARGSSYVNATLDLNGLQVIHDVFYLIEDLARGIIPFDTNTKANGELGLLFFKLPIKGRVSCEVYVNTANQTIVRQDCYPE >Manes.09G130400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33228567:33232826:-1 gene:Manes.09G130400.v8.1 transcript:Manes.09G130400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVVPAPSKHSSLFPNFPTDSHFIQSRTLPFAALRNSSNCYTRCSLTSLHRRLAPVAALESDVPHPLHQGSANVKRSKTFEQWDSWTAKFSGAANIPFLLLQMPQIILNAQNLMAGNKTALLAVPWLGMLTGLLGNLSLLSYFVKKKETEVIVVQTLGVISIFIVITQLAMAEAMPLPHFVATSVVVAIGLVFNFFNYLGKLDPGIWRFWEDFITVGGLSALPQVMWSTFVPYLPSSILPGAIAFVVAIAAVIMARTGSLSEKGVKFVGGLSGWTATLLFMWMPVSQMWTNFLNPENIKGLSAFSMLLAMIGNGLMIPRAIFIRDLMWFTGSAWATLFYGYGNIVCMYCLKTISREFFLAATAGLVSWIGMTLWRDSAVYGYSSPLTSLKELAFGST >Manes.09G130400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33228567:33232754:-1 gene:Manes.09G130400.v8.1 transcript:Manes.09G130400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVVPAPSKHSSLFPNFPTDSHFIQSRTLPFAALRNSSNCYTRCSLTSLHRRLAPVAALESDVPHPLHQGSANVKRSKTFEQWDSWTAKFSGAANIPFLLLQMPQIILNAQNLMAGNKTALLAVPWLGMLTGLLGNLSLLSYFVKKKETEVIVVQTLGVISIFIVITQLAMAEAMPLPHFVATSVVVAIGLVFNFFNYLGKLDPGIWRFWEDFITVGGLSALPQVMWSTFVPYLPSSILPGAIAFVVAIAAVIMARTGSLSEKGVKFVGGLSGWTATLLFMWMPVSQMWTNFLNPENIKGLSAFSMLLAMIGNGLMIPRAIFIRDLMWFTGSAWATLFYGYGNIVCMYCLKTISREFFLAATAGLVSWIGMTLWRDSAVYGYSSPLTSLKELAFGST >Manes.09G130400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33228567:33232723:-1 gene:Manes.09G130400.v8.1 transcript:Manes.09G130400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVVPAPSKHSSLFPNFPTDSHFIQSRTLPFAALRNSSNCYTRCSLTSLHRRLAPVAALESDVPHPLHQGSANVKRSKTFEQWDSWTAKFSGAANIPFLLLQMPQIILNAQNLMAGNKTALLAVPWLGMLTGLLGNLSLLSYFVKKKETEVIVVQTLGVISIFIVITQLAMAEAMPLPHFVATSVVVAIGLVFNFFNYLGKLDPGIWRFWEDFITVGGLSALPQARTGSLSEKGVKFVGGLSGWTATLLFMWMPVSQMWTNFLNPENIKGLSAFSMLLAMIGNGLMIPRAIFIRDLMWFTGSAWATLFYGYGNIVCMYCLKTISREFFLAATAGLVSWIGMTLWRDSAVYGYSSPLTSLKELAFGST >Manes.09G130400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33228567:33232723:-1 gene:Manes.09G130400.v8.1 transcript:Manes.09G130400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVVPAPSKHSSLFPNFPTDSHFIQSRTLPFAALRNSSNCYTRCSLTSLHRRLAPVAALESDVPHPLHQGSANVKRSKTFEQWDSWTAKFSGAANIPFLLLQMPQIILNAQNLMAGNKTALLAVPWLGMLTGLLGNLSLLSYFVKKKETEVIVVQTLGVISIFIVITQLAMAEAMPLPHFVATSVVVAIGLVFNFFNYLGKLDPGIWRFWEDFITVGGLSALPQVMWSTFVPYLPSSILPGAIAFVVAIAAVIMARTGSLSEKGVKFVGGLSGWTATLLFMWMPVSQMWTNFLNPENIKGLSAFSMLLAMIGNGLMIPRAIFIRDLMWFTGSAWATLFYGYGNIVCMYCLKTISREFFLAATAGLVSWIGMTLWRDSAVYGYSSPLTSLKELAFGST >Manes.09G130400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33228567:33232650:-1 gene:Manes.09G130400.v8.1 transcript:Manes.09G130400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVVPAPSKHSSLFPNFPTDSHFIQSRTLPFAALRNSSNCYTRCSLTSLHRRLAPVAALESDVPHPLHQGSANVKRSKTFEQWDSWTAKFSGAANIPFLLLQMPQIILNAQNLMAGNKTALLAVPWLGMLTGLLGNLSLLSYFVKKKETEVIVVQTLGVISIFIVITQLAMAEAMPLPHFVATSVVVAIGLVFNFFNYLGKLDPGIWRFWEDFITVGGLSALPQVMWSTFVPYLPSSILPGAIAFVVAIAAVIMARTGSLSEKGVKFVGGLSGWTATLLFMWMPVSQMWTNFLNPENIKGLSAFSMLLAMIGNGLMIPRAIFIRDLMWFTGSAWATLFYGYGNIVCMYCLKTISREFFLAATAGLVSWIGMTLWRDSAVYGYSSPLTSLKELAFGST >Manes.09G130400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33228567:33232826:-1 gene:Manes.09G130400.v8.1 transcript:Manes.09G130400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVVPAPSKHSSLFPNFPTDSHFIQSRTLPFAALRNSSNCYTRCSLTSLHRRLAPVAALESDVPHPLHQGSANVKRSKTFEQWDSWTAKFSGAANIPFLLLQMPQIILNAQNLMAGNKTALLAVPWLGMLTGLLGNLSLLSYFVKKKETELDPGIWRFWEDFITVGGLSALPQVMWSTFVPYLPSSILPGAIAFVVAIAAVIMARTGSLSEKGVKFVGGLSGWTATLLFMWMPVSQMWTNFLNPENIKGLSAFSMLLAMIGNGLMIPRAIFIRDLMWFTGSAWATLFYGYGNIVCMYCLKTISREFFLAATAGLVSWIGMTLWRDSAVYGYSSPLTSLKELAFGST >Manes.13G077247.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12111655:12113674:1 gene:Manes.13G077247.v8.1 transcript:Manes.13G077247.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPQPSVLSESLYKMDQQKQSNPMSQPPVTSSNIEAASSTSKMKRKSMKPRSAVWDHFSKFLDDTGIQKGKCNYCEKEFYCDPKRNGTSALKHHMSACIKNPHSVTTRQSQLSLQPLSSSTQEGGGNYQLGMLSSWHFDQDVARRKLAKMTIIDELPFMFVEGEGFKEWVECIQPKFRIPSRWTVSRDCYDLYLEERKKLKSYFQNSSQRICITTDTWTSLQRINYMCITAHYIDDNWTLHKKILNFCPIGSHKGDDIGMAVESCLLNWGIKRVFTVTVDNASSNDVAVTYLKKKINGWGFGILNCKYLHMRCIAHIINLVVVDGLKENIEAVKRVREAVRYVR >Manes.05G125300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22901686:22904866:1 gene:Manes.05G125300.v8.1 transcript:Manes.05G125300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAISNSLVLTRNPAVQLSTGPLEKCLQSSTPTKLSFSLNCPGKVQLTTSKRALTVKAVNSDGGRPSNAGIFVGGFVLGGLIVGALGCVYAPQISKALAGTDRKALMRKLPKFIYDEEKALERTRKILTEKIAQLNSAIDSVSSQLRSEEDAPNGSTVNSDEIEAAI >Manes.12G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33620484:33625452:1 gene:Manes.12G129000.v8.1 transcript:Manes.12G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEGAVEESKVLLLGDHFGPRLEEDDQDQSLTKRVWIESKKLWQIVGPAIFSRLTSYSMLVITQAFAGHLGDLELAAISIANNVIVGFDFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFVCCILLLPLYLFASPALKLLGQPKDVAELSGIVSVWMIPLHFSFAFQFPLQRFLQSQLKNTIIAWISLVALLVHVMVSWLLVSKLQLGVIGTAMTLNFSWWVLVFGHLGYTVCGGCPLTWNGFSIEAFSGLWEFTKLSAASGVMLCLENWYYRILILMTGNLKNAEIAVDALSICMTINGWEMMIPLAFFAATGVRVANELGAGNGKGAKFATVVSVTTSVIIGIFFWVLIMIFHNQLALIFTSSASVLKAVSHLSILLAFTVLLNSVQPVLSGVAVGSGWQKYVAYINLGCYYLIGVPLGFLMGWLFHFGVLGIWAGMIFGGTAIQTLILAIITIRCDWEKEAEKAALHLKKWSEVK >Manes.11G073300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10637484:10638475:1 gene:Manes.11G073300.v8.1 transcript:Manes.11G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHPRVNSVIAKRLWNVLRVSFFMIRNKGLVSTRKLVMDMNVMMKRGKLLRKSLSNLLSHHHHARNMARGSYGLQEYEFSCSSSPNPVFFHLPKRKHHYFPCINHPEVIEEEEDDGANKAAALIMVPKTPEYTFNLFHHLDASDFAPGENRSPLPSSFSIRVSDYSSEDDNENDNGINGQVDDEAEEFIRRFYEQLRLQSRMQFLEYQDSN >Manes.12G145500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35226162:35227212:1 gene:Manes.12G145500.v8.1 transcript:Manes.12G145500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYVGMSKFLYKRSIFKSLNKSSKPTLLHNSDHKPTKVFINMAKLPCKNLLLVAFFLLCFVTIGAKARNLKVANDMNEVEKKMKEQEENSFTSKGNEVAPDADELLGMDYTGPKKKPPIHN >Manes.09G135700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33600788:33602552:1 gene:Manes.09G135700.v8.1 transcript:Manes.09G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQALDHPIAAHDSSSTTTTAANNQFVSKSFYERSRILNSSPNSSTLRLNQNSSTYASSTENISRLLEGWMRSSPKPGNNHATNDLFKEKWNQNDNNLENPDGSIGISAATTSLQCYRPKADQEHGGGSGNLISHEEFESILSFENLNTVAWDKSTRDFTNNFCAVNGIIHQDSASEEKENDTIAAEIRKQKSDSNPPLSFLEKWLLDETTATVQVEESMELSPIF >Manes.03G124400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25524608:25525690:1 gene:Manes.03G124400.v8.1 transcript:Manes.03G124400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMRLPTRNNPVFCSIFNHLHFQKSNSPKTLTMAVADSSSKRPTCPSCSKPTRLCLCSRIQNPLLDNKVSVTILQHSKEKKHPLNSVRIAKLGLRNVNVVTVSDVNFGARFVIRLLESDGILYSNQTQKGSNLGGTKGEVHPAHDSNSTFAAERHLSAEEPFIFATIGKHGVINDLESKWKPQTEAPEMPNFDQFLGSQMAADALAKGFIVKKLQKQKLKESINLEEYEEFELVVPPGSVLLFPSDTAVGIDDLQAMNIGVKNLIVLDGTWAKAKRVYKENPWLRLLPHLKLVMDTLSLFREVRRQPKAACLSSIESIVYALKAVGENPEGLDNLLDVLDSMVGDQRRCKDERLLQHDH >Manes.02G172700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.02G172700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13654070:13657415:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPSASEELIRKAYYLKARQVHPDKNPSDPQAAERFQVLGEAYQVLSDPTQRDAYDRNGKYCISRETMIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.02G172700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPSASEELIRKAYYLKVLGEAYQVLSDPTQRDAYDRNGKYCISRETMIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.02G172700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQGIGRLFRCLCNPAFYVDDEEIVYKSK >Manes.02G172700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657415:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQGIGRLFRCLCNPAFYVDDEEIVYKSK >Manes.02G172700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657415:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPSASEELIRKAYYLKARQVHPDKNPSDPQAAERFQVLGEAYQVLSDPTQRDAYDRNGKYCISRETMIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQGIGRLFRCLCNPAFYVDDEEIVYKSK >Manes.02G172700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657415:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQGIGRLFRCLCNPAFYVDDEEIVYKSK >Manes.02G172700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.02G172700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQGIGRLFRCLCNPAFYVDDEEIVYKSK >Manes.02G172700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.02G172700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPSASEELIRKAYYLKVLGEAYQVLSDPTQRDAYDRNGKYCISRETMIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.02G172700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657415:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPSASEELIRKAYYLKARQVHPDKNPSDPQAAERFQVLGEAYQVLSDPTQRDAYDRNGKYCISRETMIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQGIGRLFRCLCNPAFYVDDEEIVYKSK >Manes.02G172700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.02G172700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13654070:13657415:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPSASEELIRKAYYLKARQVHPDKNPSDPQAAERFQVLGEAYQVLSDPTQRDAYDRNGKYCISRETMIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.02G172700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13653494:13657416:-1 gene:Manes.02G172700.v8.1 transcript:Manes.02G172700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPTAVFALLFGSELFEDYIGHLSVASMASSELATEIDNPEKLHDKLKDVQKEREEKLARFLKDFLNQYVQGDKIGFLKRVESEAKRLSGAAFGVDILQTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDIRNQSKMDGSSHENDVESHLLSNKEMLMNSLWKLNVVDIEVTLVHVCQMVLRENNVKKEELKARASALKILGKIFQQEKQIQNSTASKGKIAAELDEDEDDEGSSSNSSSEEDSPRRISYRTPLLTQASLCTTYLNPNVS >Manes.18G141025.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25847089:25853097:1 gene:Manes.18G141025.v8.1 transcript:Manes.18G141025.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRYWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.18G141025.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:25847089:25853097:1 gene:Manes.18G141025.v8.1 transcript:Manes.18G141025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESRSPRPGSPSSPAFGIKKRNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSNIASLFTQQGKKGTNQDAMIVWEVLNLENMDKTRYWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.07G127908.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33041291:33043080:-1 gene:Manes.07G127908.v8.1 transcript:Manes.07G127908.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPSIPAWAFLALFTLFQWRLLLFFICFSFPSPAAATVTQSMAANKTDDEALLAFKSKISQDPRGVLNLWNDSLHFCKWPGVTCGRRHRRVTMISLRSKNLVGLLSPSVGNLSFLREITLTNNTIHGEIPHEIGKLFRLQVLTLTNNSLEGQIPSNLSRCTNLIDLSLGNNKLRGKIPEELGYLSKLTQLSIYENHLQGEIPHSVGNFSSLEILSGSENFFEGSIPQALGQLKSLTALGLGGNKLSGMIPSSLYNLSLITIFSFGDNQLHGSLPSNLGLSFPHLQQIDVRNNRFVGQIPLSISNASELRLVLLAYNHFNGKISNSFGSLPYLTLLGLNENSLGDGEEDEMHFLGSLANCSSLKVLGIGENRLGGSLPNTVGNLSTSMFYFGLSGNQISGVIPEDIGNLVGLTLFDLSRNRVSGKIPSSIGNLQNLQRLSLYDNGLSGRIPSAVGNLSWLSELYLDFNMLQGDIPSSFKNCTTCCHYIFLIIPLVAAYPQNSLAFPLCQFH >Manes.18G144800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20875825:20878989:-1 gene:Manes.18G144800.v8.1 transcript:Manes.18G144800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFQSLSSSCMMSCVVLGFVLMLGGKSSAQLSTDFYSKSCPKLLSTVRSVVEDAVSKEKRMGASLLRLHFHDCFVNGCDGSILLEDTPSFRGEQTAGPNSNSVRGFNVINDIKAKVEQVCPGIVSCADIVAVAARDSTVILGGPNWNVKLGRRDSKTASFSAANSGVLPPPSSTLSNLINRFQAKGLSARDMVALSGSHTIGQARCTVFRTRIYNETNIDSSFARTRQENCPFPTGSGDNNLAPLDVQTPTAFDNNYYKNLVNQKGLLHSDQVLFNGGSADSLVITYSKNPKAFNSDFAAAMIKMGDIDPLTGSKGEIRKKCSKVN >Manes.18G032500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3085586:3091657:-1 gene:Manes.18G032500.v8.1 transcript:Manes.18G032500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVKLVCSFSYKRTTLIVCSINIFVALYVLRSLYGSLYIYSHDDLNNVVNYTPDQISMMEESIQIRRAKQPLQLVKMVKKLYKELKRDEEVVELPRDVRQKIADEILQRLRSLKANANITEQREAIESWRKEKLHEVKQLIHGTGGLNSTILQEEARMLVRALDSDFAVLSENIGLWIPVEIISQEHDDKPEGEEDTEEEILPGRPLPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKRAKPGRKKCNIWVYCPSEMGCHSPDIYKHKNQECWLKYAEKPRLNFKDRYPESYRNSHPKAPLIVPWVSGVVNA >Manes.17G052300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24893159:24897178:-1 gene:Manes.17G052300.v8.1 transcript:Manes.17G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSEADTVLMEKKPKTKIVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGSHEYHQETLDNLGAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIHLKQGQEITISTDYSIKGDENMICMSYKKLAVDVQPGMVILCADGTISFTVLSCDIGAGLVQCRCENSAVLGERKNVNLPGVIVDLPTLTEKDKEDILKWGIPNQIDMIALSFVRKGSDLVQVRKLLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPEMAVRTMAKICVEAENTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSARASHAETTEEALDFAIQHAKAKGLCKPGDSVVALHRVGTASIIKIITVK >Manes.15G004701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:533400:534474:1 gene:Manes.15G004701.v8.1 transcript:Manes.15G004701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKQCFFIVQVNIYSFKLIPSSVVQDASGSFTDSKKYHLPGYAVLNTSNDYMTVELYKDCSRGIVDKFLDSCQKCYFKGMPFHHVIKHYVIQGGGGDSQGLGAAEDWTTKVKLRSRLDTIPKHEALMLGTLKTKDSQGLRYL >Manes.05G000200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:17893:21212:1 gene:Manes.05G000200.v8.1 transcript:Manes.05G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRSLLCPLITIVALALSQGSHANQNQTRSSSAALTSASFVYHGGPLLNQPRSINVYLIWYGAFSMKDKSIVLDFFASFNPKVLNPRLQPTVLTWWKITASYKDKAHKPVSRAFRVVKQVGDAYSLGKNIRRAQVADVVKNKIKAKKLPEDSNGFYLVLTSKDTIVENFCRNSCGFHDSVQISKGKLVYGHVGDSGQCSGFCAWPYAVPPYGPPGPPLVAPNGVSVDGIIINIATVLAGATTNPYKNGYFQGDALAPLEAVSACPGIFGAGAYPGYPGKLIVDKATKASYNAYGANSKKFLVPAIWDLLTSACKPVAT >Manes.04G035066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4554812:4555606:1 gene:Manes.04G035066.v8.1 transcript:Manes.04G035066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANWLLWLPKSSRHQASWNLLRDLSMRDSRPWLCLGDFNGLLTEDDKLGGAALGFHYTWEMIRNTVIVCREKLDNGYNELVITLFQCNFTNNGVSGFRPFSKNGKQASANYRRLQFDNNWLGEAELEQVVKASWNQSSVYNFIRRWKSCIGEIEKWGRNHNSEFWKKWKQLQKMLEEARDVCDDRKVQLLYQEWNFILYKEDYRNIQNAKQKWLLHGGKNSKFFHASIRARCRKAAIEKL >Manes.02G221140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22725208:22727132:-1 gene:Manes.02G221140.v8.1 transcript:Manes.02G221140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGREHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVVDMHGMRVKKVWFELLGKR >Manes.12G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35964009:35966799:1 gene:Manes.12G151400.v8.1 transcript:Manes.12G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKKGKAKANSSDSNQSKTSAPNTGNNFPSCIRFVPPSSVAITIHAKPGAKSSSITDFSDEALGVQIDAPAKDGEANAALLDYISSVLGVKRRQLSIGAGSKSRDKVVIVEEVTLKGVFDALDKVSKGY >Manes.05G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23662146:23664573:1 gene:Manes.05G135000.v8.1 transcript:Manes.05G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIARWFSDLDWRLLLLIVPPLFLLVFLSVSSTPITPFSTFAPLASFFFSRNVNSSTSADDPCVLPLNQSLPVGVRSWKDELYRSRIAVCLVGGARRFELTGPSIVENILNVYPNSDLFLHSPLDENSFKFSLLKLAPRIATVRIFQPTPIPETEAEVRVLTAANSPNGIQGLLQYFNLVEGCLTIIEEYQNQSNFKYDWIVRTRVDGYWNAPLAPENFIPGHYLIPPGSTYGGLNDRLGIGDLNSSTVALSRLSMIPKLDSVGLRMLNSETSFKAQLTTYGVPFITKRLPFCIVSDRKYGYPPYRFGVPVAALSSPGPLSGAKCRPCTPVCEGNCVAGIMQWLDKGWSWTNWENGTLKLCDAHGEWEEGWEKIFDKVAGKKRAAVRKRISGLKLNQCVNDFNEMKRRSSKWDSPPPEEMCRLGVGEN >Manes.05G176400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29282689:29294316:1 gene:Manes.05G176400.v8.1 transcript:Manes.05G176400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHASAEDCCVKVAVHVRPLIADERAQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSSGSPSSAMFEECIAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNVLFNKIETLKHQTEFQLHVSFIEILKEEVRDLLDPTSLNKSDTVNGHTGKVNVPGKPPIQIRESSNGVITLAGSTEISVMTLREMATCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKPNPLFLGDSSPNESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTFMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPTSSEMLRMRQQIEFLQAELCARGGVSSSDEVLVLKERIAWLEAANEDLCRELHEYRSRCIAVDQREIDAQDGSTFYGKNDGLKRSLHSIEPTDYQMGETMSGDSREIDEEVAKEWEHTLLQNTMDKELNELNRRLEEKESEMKLFEGVDTAVLKQHFGKKIMELEDEKKAVQQERDRLLAEIENLSASSDGQTQKLQDIHAQKLKALETQILDLKKKQENHQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLETRKSTARDNLAIANGNGTNGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALADELAVLKQVDEFASKGLSPPRGKNGFSRASSMSPNARLARISSLENMLSISSNSLVAMASQLSEAEERERGFTNRGRWNQLRSMGDAKNLLQYMFNSLADARCHIWEKEMEIKEMKEQFKELVGLLRQSEIQRKEVEKELKLREEALSIALATSTSARNEQADSHNSLKHFADDMSGPLSPMSVPAQKQLKYTPGIVNSPVRDSAAFINQTRKMVPLGQLSMRKLAIAGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSESIRHSDEMIIRAKHRPHTLPRVR >Manes.05G176400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29282689:29294316:1 gene:Manes.05G176400.v8.1 transcript:Manes.05G176400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHASAEDCCVKVAVHVRPLIADERAQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSSGSPSSAMFEECIAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNVLFNKIETLKHQTEFQLHVSFIEILKEEVRDLLDPTSLNKSDTVNGHTGKVNVPGKPPIQIRESSNGVITLAGSTEISVMTLREMATCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKPNPLFLGDSSPNESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTFMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPTSSEMLRMRQQIEFLQAELCARGGVSSSDEVLVLKERIAWLEAANEDLCRELHEYRSRCIAVDQREIDAQDGSTFYGKNDGLKRSLHSIEPTDYQMGETMSGDSREIDEEVAKEWEHTLLQNTMDKELNELNRRLEEKESEMKLFEGVDTAVLKQHFGKKIMELEDEKKAVQQERDRLLAEIENLSASSDGQTQKLQDIHAQKLKALETQILDLKKKQENHQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLETRKSTARDNLAIANGNGTNGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALADELAVLKQVDEFASKGLSPPRGKNGFSRASSMSPNARLARISSLENMLSISSNSLVAMASQLSEAEERERGFTNRGRWNQLRSMGDAKNLLQYMFNSLADARCHIWEKEMEIKEMKEQFKELVGLLRQSEIQRKEVEKELKLREEALSIALATSTSARNEQADSHNSLKHFADDMSGPLSPMSVPAQKQLKYTPGIVNSPVRDSAAFINQTRKMVPLGQLSMRKLAIAGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSESIRHSDEMIIRAKHRPHTLPRVR >Manes.05G176400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29282689:29294316:1 gene:Manes.05G176400.v8.1 transcript:Manes.05G176400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGFKDGCQTGIIPQVMNVLFNKIETLKHQTEFQLHVSFIEILKEEVRDLLDPTSLNKSDTVNGHTGKVNVPGKPPIQIRESSNGVITLAGSTEISVMTLREMATCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMHKPNPLFLGDSSPNESMNEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTFMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPTSSEMLRMRQQIEFLQAELCARGGVSSSDEVLVLKERIAWLEAANEDLCRELHEYRSRCIAVDQREIDAQDGSTFYGKNDGLKRSLHSIEPTDYQMGETMSGDSREIDEEVAKEWEHTLLQNTMDKELNELNRRLEEKESEMKLFEGVDTAVLKQHFGKKIMELEDEKKAVQQERDRLLAEIENLSASSDGQTQKLQDIHAQKLKALETQILDLKKKQENHQLLKQKQKSDEAAKRLQDEIQSIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLETRKSTARDNLAIANGNGTNGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALADELAVLKQVDEFASKGLSPPRGKNGFSRASSMSPNARLARISSLENMLSISSNSLVAMASQLSEAEERERGFTNRGRWNQLRSMGDAKNLLQYMFNSLADARCHIWEKEMEIKEMKEQFKELVGLLRQSEIQRKEVEKELKLREEALSIALATSTSARNEQADSHNSLKHFADDMSGPLSPMSVPAQKQLKYTPGIVNSPVRDSAAFINQTRKMVPLGQLSMRKLAIAGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSESIRHSDEMIIRAKHRPHTLPRVR >Manes.11G097600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20763416:20770230:1 gene:Manes.11G097600.v8.1 transcript:Manes.11G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCCRFTFTLAPSTLYNKRNRRSGFSCKADTPQFNVNNGDHTKKKVVIVGSGWAGLGAAHHLCNQGFDVTVLVDDNGFGNPDDIGIQGFWNPYQNIFSLVNELGITPFTNKIRSALYSTEGLEVEFPVFQDQPQLPTPLGTFYYTQFTRLSLLDRLTSLPLMAAVIDFDNTDVAWRKYDAITARELFKQFGCSERIYRSVFGPLLQVGLFAPPEQCSAAATLGMLYFISLAHQKDFDMVWCRGTVREKIFNPWMDSLRTKGCRFMDNGKIKDFIFNEETSCISGVVCSNETYNANAVILAVGISEVQELVKNSGALCTREEFLKVLNLSGIDALTCKLQLDRKVNIAHASNVCSTFEDSLGWTFFDLNALHDEHKDSEVTTIRADFYHANELLPLEDELVVTKVISHLSNIIKDFEKARVVKKEIARFPKSLTHFFPGSYRHMMRGSTSFPNLFMAGDWIITRHGSWSQEKSYVTGLEAANQVVDFLEEGSFAKIIAVVEDEPHVQALRTLNRRFNEISDQLPLFDFFLQ >Manes.04G059048.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:14667201:14667986:1 gene:Manes.04G059048.v8.1 transcript:Manes.04G059048.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMATEILTGKNRSFKARMSPGDSRRTAQSLSSMQLASKPTKPLHSPKPSFSLLKSLLGKKKKRKKKSLSFSSKTSPAMAFFSFGLYHLQPTNLRNNPSAFLLPLICLHFILSFVWLYLHLD >Manes.09G046700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8151469:8153453:1 gene:Manes.09G046700.v8.1 transcript:Manes.09G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKDCGGHGRKRQELCRRIFAGILIFLFLVLLTILLIWAILRPSKPSFVLQDVTVYAFNVSALNYLTSNFQITFSSRNPNDKIGIYYDKLDVYAIYRNQQITLRSAIPSTYQGHNEINVWSPNIYGTDIPVAPYNGWALSEDQSTGAVLLMIKMDGRVRFKVGTFISGRYHLYVRCPAYIQFGSSTTSITAGENSVKYSVVVGCSVSL >Manes.05G189600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31200690:31210543:-1 gene:Manes.05G189600.v8.1 transcript:Manes.05G189600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHSQPMNVHHQIAAPAADDEDGAAADSIDHHHIRYEDGNATGVVVEDVSHDSVYVPSSGAGSELVVHRGDVSSQLTLTFRGQVYVFDAVTPDKVQAVLLLLGGCELTSGPHGLEVAAQNQRGAVVEYPGRCTQPQRAASLNRFRQKRKERCFDKKVRYSVRQEVALRMQRNKGQFTSSKKSDGAYGWGGGQDSGQDDIQQETTCTHCGISSKSTPMMRRGPSGPRSLCNACGLFWANRGTLRDLSKKTQEQQHSVTPIEQGEAEANDSDSGNAMQTHNNLVTY >Manes.09G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6648140:6649776:-1 gene:Manes.09G033400.v8.1 transcript:Manes.09G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESLCQNLEAKLSSSVIAHHLDQLASVFYAADEDFIDFQREDECEIVAMNSSQVPEPGDIVSESSLQTILMKPYFCSNEHHRFYEKFRRCLSHDEHKKLLGENPNLIGTQVQVPSDEQNNSNLILSSPRDMEVASFTSSSSCASSVLSLPSKKRIKWSQALHKKFVHCVNSLGGAEKATPKAILKMMESKELTIFHVKSHLQKYRSEKYMSEYKQDKPLLQGKAESITSDISILCMKNNMKINEALKLQLDVEKHLRQQLEIQRQLQQQIEENARQLKMMMQQQQKINKSNL >Manes.05G154800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26600026:26602762:1 gene:Manes.05G154800.v8.1 transcript:Manes.05G154800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKVTFGFTILSVLSFTGSLAHPGFSGWGGTDPIGGGGVSFGLFPEFYQFSCPQANDIVMSVLKKAIAEEPRMAASILRLHFHDCFVQGCDASILLDDSATVVSEKNSGPNRNSIRGFEVIDEIKAKLEEACPQIVSCADILALAARGSILLTGGPYWELPLGRRDSRAASLSGSNTNIPPPNSTIQNLITFFKRQGLNEVDLVALSGGHTIGVARCVTFKQRLYNQNGQNQRDETLEKTYYLGLKSVCPISGGDNNISPLDFSSPVRFDNSYFKLILCGKGLLTSDAVLFTGNIGYIMDLVRSFAEDEDFFFHQFAKSMVKMGNINPLTGFNGEIRKNCRGVN >Manes.06G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22932092:22935234:1 gene:Manes.06G094400.v8.1 transcript:Manes.06G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKSGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQRAASKKASGGDGDEEDEE >Manes.05G190000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31265218:31270454:-1 gene:Manes.05G190000.v8.1 transcript:Manes.05G190000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNDGFSGVSNCYVFKSRLQEYAQKKGLPTPVYETIKEGPSHEPSFRSTVIVKNVRYDSLPGFFNRKAAEQSAAEVALVELAKSDEVNECISQPVHETGLCKNLLQEYAQKMNYAIPVYQCQKNETPGRTTHFKCNVEIGGIQYIGASAKTKKEAEIKAARTALLAIQSSASDPSHKSAANHQLTVIPFRKRGAETIGVIEEAANVPKAKKGRFKKKMLKHKFSGDKVDHNQGESVGNVDVIMDGPSGSGSGSGSGSGSGSESDKADAAGVQGTGHCMLAIIDTRNLENGRSSNSTSERATSDATGALTCHISGDFGNGLSPTVDFNESNHGMPTGISSESNGDAAKVSGLSLA >Manes.02G090700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7092286:7094857:1 gene:Manes.02G090700.v8.1 transcript:Manes.02G090700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERGKDLAQGSNEDHQHSSAAATPSRYESQKRRDWNTFGQYLKNQRPPVALSQCNCNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGPPESNPFASGAIRVYLREVRDCQAKARGIPYKKKKKKPSSSKGIDESSSAMHF >Manes.12G063603.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6813636:6825964:1 gene:Manes.12G063603.v8.1 transcript:Manes.12G063603.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVRSSIPSNVRKTIQNIKEITGNHSEEEIYATLKDCSMDPNETAQKLLLQDPFHEVKRKRDRRKENVNNRDSGDARWRPGTQGRGSKGGRPNFSARYTSHDAGGGRNLGPARDNGTNQAAEKGDAASLPALQEESKKTSLSASSAAVVANGAIGEPSGSNSEMHASDLPSGSGVSQNKVTSSPIAVSEFGSTMSPTDADKTLTITFGTGDAHGIPSPGNSSVSVAPASSSTVCFSSSDPVLVPSNDSWLPGMVGTIKREVGSHTAVFESNAVGPAEKSASEIGPPLLQGKMPSKVGKDQLSESSQPSSASIHGGSSGTRPSPHYNSRSQQAIGSQKVASTKEWKPKPANTNVLQGSGLAGSSDVPNVPVEASIQSQPLSNVLDSEEATAKLQKKLEELHLPQRQHVIIPNHIHVPESERTKLSFGSFDASFGVKTSCDSGPESDKSSTPLSETSHGAEETVEEQAASNQDTFVAAEEGAYPDHPESPSHAPENLTGESKVSSSAITEYNESKQDNVLLSGGQQYSGVHTSPSYSFGFVPPMLSSQIAPFENSESQARDVSRLPSFVVQQPFDPTSYYAQFYRSGADSDGRVSPFPSAAVAAKYNGTVSVLPSHTSQSPQEGGNSLVLSTEGPTPLVTQAAGLMQSSIAVTQQPLPVFRPPTGLHISHYPPNYIPYSHYISPFYVPPPGIHQFLTNGAFPQQPQAGSVYPAPPAAAAMGVKYSLPQYKPGNNTGNSTHIGMPSGYGLYGSTQAGYNPSSTSAAGNSTTNEDLGASQFKESNVYITGQQSEGPAVWIAAPGRDISSLPASSFYSLPPQGQHVTFTPAQAGLGTFASIYQPAQAVTAAAVHPLLQQSQTMAGAVDMVGSAASVYQQPQHQQINWPSNY >Manes.12G063603.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6813636:6825964:1 gene:Manes.12G063603.v8.1 transcript:Manes.12G063603.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGVRSSIPSNVRKTIQNIKEITGNHSEEEIYATLKDCSMDPNETAQKLLLQDPFHEVKRKRDRRKENVNNRDSGDARWRPGTQGRGSKGGRPNFSARYTSHDAGGGRNLGPARDNGTNQAAEKGDAASLPALQEESKKTSLSASSAAVVANGAIGEPSGSNSEMHASDLPSGSGVSQNKVTSSPIAVSEFGSTMSPTDADKTLTITFGTGDAHGIPSPVGSHTAVFESNAVGPAEKSASEIGPPLLQGKMPSKVGKDQLSESSQPSSASIHGGSSGTRPSPHYNSRSQQAIGSQKVASTKEWKPKPANTNVLQGSGLAGSSDVPNVPVEASIQSQPLSNVLDSEEATAKLQKKLEELHLPQRQHVIIPNHIHVPESERTKLSFGSFDASFGVKTSCDSGPESDKSSTPLSETSHGAEETVEEQAASNQDTFVAAEEGAYPDHPESPSHAPENLTGESKVSSSAITEYNESKQDNVLLSGGQQYSGVHTSPSYSFGFVPPMLSSQIAPFENSESQARDVSRLPSFVVQQPFDPTSYYAQFYRSGADSDGRVSPFPSAAVAAKYNGTVSVLPSHTSQSPQEGGNSLVLSTEGPTPLVTQAAGLMQSSIAVTQQPLPVFRPPTGLHISHYPPNYIPYSHYISPFYVPPPGIHQFLTNGAFPQQPQAGSVYPAPPAAAAMGVKYSLPQYKPGNNTGNSTHIGMPSGYGLYGSTQAGYNPSSTSAAGNSTTNEDLGASQFKESNVYITGQQSEGPAVWIAAPGRDISSLPASSFYSLPPQGQHVTFTPAQAGLGTFASIYQPAQAVTAAAVHPLLQQSQTMAGAVDMVGSAASVYQQPQHQQINWPSNY >Manes.17G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25914037:25917842:-1 gene:Manes.17G060600.v8.1 transcript:Manes.17G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESQGSSNSLPPFLSKTYEMVDDPSTDSVVSWSQSNKSFIVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKNIHRRKPVHSHSMQNLQGQGSYTLTDPERQTLRDDIERLQREKEVLISDLQRHEQERKGFEMQMQALKEKLQQMERRQQTMVSFVAQVLQKPGLALNLMSQLEPGHDRKRRLPRIGYFYDEASMEENQMVTCQTRENVDSNTAALSNMEQFEQLESSLTFWESIANDVENKMQSNSNLELDESTSCAESPAISCVQFSVDIRPKSPAIDMNSEPAVASVPEPEPLKEQTTGTAPTVAAGVNDIFWEQFLTENPGSTDAQEVQSERKDSGERKNEMKPSDQGKFWWNMRNVNNLAEQMEHLTPAERT >Manes.13G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29210494:29215108:-1 gene:Manes.13G099500.v8.1 transcript:Manes.13G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLLRRLYLTLYNWAVFIGWAQVLFLAVRTLKESGYQHVYNSVEKPLLLAQTAAVLEILHGLVGLVRSPITATLPQIGSRLYVTWGILYSFPEMRTHFLVSSLVISWSITEIIRYSFFGTKEALGFAPSWLMWLRYSTFLLLYPSGISSEVGLIYFALPYIKKSEMYCVRMPNTWNFSFDYFYAAILVLGVYVPGSPHMYSYMLGQRKKALSKSKRE >Manes.18G145900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:30390173:30390693:1 gene:Manes.18G145900.v8.1 transcript:Manes.18G145900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSSLLFTSLWLPTLAEQCGTQAGGAVCPVGLCCSKYGWCGITTDYCCNGCQSSCGHPVCPGGRRAGIPRGGGGDMGEISSEKAFDKILSQKPFVYGF >Manes.10G093801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24041914:24074401:-1 gene:Manes.10G093801.v8.1 transcript:Manes.10G093801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELSILCDAEVGVIIFSSTNKLYDYASTSMNSVIERYNKLKEEQGQLMSPASGIKFWQREVASLRKELQYLQECHRHLMGEELSGLSFKDVENLENQLEMSLKGVRMKKDQILTDEIKELIRKGNLTYEENLKLQKKVDLLCQENAELRKKANGERDTSNANRSSHPPYTLSNGYDLHAPIQLQLSQPHLRTNEPPPKSMKLGLKLQ >Manes.02G212080.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:26109108:26109950:1 gene:Manes.02G212080.v8.1 transcript:Manes.02G212080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VESAAQITTTLEAEPATAQTTLVEASASQETAPTIKNIEFVAVTLSAPTSPSTATPATATFEPDTRFQVTAEFSTPAEFSAPAYFSTPTDFAAAPAVEFSAPAKSPTATPATAEIAPETKFQITAENPAMAEPPVAREEAEIQAGNLPVQPTANRDNSCCPPAENSTITSAIAEPTIQKSASAESATITSLVAVQTPATSEIDTTEPEVVALAEPATYTTQTAPEQPAKKQQVGQMASSLSKLESQGKLPSQTEINPRQNASAITLRSGKELQDSRVEKL >Manes.03G064800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:7766611:7769892:-1 gene:Manes.03G064800.v8.1 transcript:Manes.03G064800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLSTLNPYAASYIPLSEREAAEEIKVPRVTTKVSQIGNQTIWNEPAEHTTHNRQHNQSSSIPQVSVLKSHSAHGFYDSVHGFYGSSSQNLSELANKQMMDEEFDMDLEYLQMTFPGISNESLNDVYMANKGDLEATIDMINELEVNYVFSLSLSLSLPLLI >Manes.03G064800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7766611:7769080:-1 gene:Manes.03G064800.v8.1 transcript:Manes.03G064800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLSTLNPYAASYIPLSEREAAEEIKVPRVTTKVSQIGNQTIWNEPAEHTTHNRQHNQSSSIPQVSVLKSHSAHGFYDSVHGFYGSSSQNLSELANKQMMDEEFDMDLEYLQMTFPGISNESLNDVYMANKGDLEATIDMINELEFDTFESPENLPDTLDIGDISESGSSAECSSVKLKNVVGDANASSSGSATSESVTVT >Manes.03G064800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7766611:7769898:-1 gene:Manes.03G064800.v8.1 transcript:Manes.03G064800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLSTLNPYAASYIPLSEREAAEEIKVPRVTTKVSQIGNQTIWNEPAEHTTHNRQHNQSSSIPQVSVLKSHSAHGFYDSVHGFYGSSSQNLSELANKQMMDEEFDMDLEYLQMTFPGISNESLNDVYMANKGDLEATIDMINELEFDTFESPENLPDTLDIGDISESGSSAECSSVKLKNVVGDANASSSGSATSESVTVT >Manes.03G064800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7766611:7769889:-1 gene:Manes.03G064800.v8.1 transcript:Manes.03G064800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLSTLNPYAASYIPLSEREAAEEIKVPRVTTKVSQIGNQTIWNEPAEHTTHNRQHNQSSSIPQVSVLKSHSAHGFYDSVHGFYGSSSQNLSELANKQMMDEEFDMDLEYLQMTFPGISNESLNDVYMANKGDLEATIDMINELEFDTFESPENLPDTLDIGDISESGSSAECSSVKLKNVVGDANASSSGSATSESVTVT >Manes.03G064800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:7766611:7769892:-1 gene:Manes.03G064800.v8.1 transcript:Manes.03G064800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLSTLNPYAASYIPLSEREAAEEIKVPRVTTKVSQIGNQTIWNEPAEHTTHNRQHNQSSSIPQVSVLKSHSAHGFYDSVHGFYGSSSQNLSELANKQMMDEEFDMDLEYLQMTFPGISNESLNDVYMANKGDLEATIDMINELEVNYVFSLSLSLSLPLLI >Manes.08G080301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26564906:26568044:1 gene:Manes.08G080301.v8.1 transcript:Manes.08G080301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIRFFQLNTGAKIPSVGLGTWQSSPGVVGDAVTAAVKVGYRHIDCAAVYGNEKEIGSVLKKLFDDGVVKREELWITSKLWCTDHAPEDVPVALNRTLQDLQLDYVDLYLIHWPASMKKGSVGFKPENLTRPDIPSTWRAMEALFDSGKARAIGVSNFSAKKLEDLLAVARVPPAVNQVEIHPVWQQPKLHEYCKSKGIHLTGYSPLGSPGTGTVKIQVLKNPILTAVAEKLGKTPAQVALRWGLQMGHSVLPKSTNEARIKENFDVFDWSIPEDLLAKFSEIEQVRLIRGTGFVDETYGVYRTVEELWDGEV >Manes.12G005900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:677491:677805:-1 gene:Manes.12G005900.v8.1 transcript:Manes.12G005900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKVDAATTNRGGGKAGQMDRTGKDKGGHSKFECPHCKSTAPDLKSMQIHHDARHPKIPFEEDKLINLHADNVAESSKPRPGVRGSFKK >Manes.15G039500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:3092264:3093117:1 gene:Manes.15G039500.v8.1 transcript:Manes.15G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRTNAKEQATAGEVKYRGVRRRPWGKFAAEIRDSARQGARVWLGTFNTAEEAARAYDRAAYAMRGQLAILNFPNEYPLASGGSASSSTSLSASSSSSSSMRNQVIELEYLDDRLLEEMLEQEEQKSKKK >Manes.12G107600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29521049:29525530:1 gene:Manes.12G107600.v8.1 transcript:Manes.12G107600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALQQSYMSRRSASFRGSPPFDSSAETAIKSPSAIFWLLLHGICCLISLVLGFRFSRLVFLFLFSTSTINLHGTPFRQLATTADLASPLNVPSNSVVDPELPAINRSLSSRVVVGRHGIRIRPWPHPNPTEVMRAHQIIESVQREQRIQFGVKSPRTVIAVTPTHIRTFQTLHLTGVMHSLMLVPYDVVWIVVEAGGVSNETAAIIAKSGLKTIHIGFKQKMPNSWEGRHKLEAKMRIRALRVVREQKLDGVVMFADDSNMHSMDLFDEIQSVKWFGAVSIGILAHSGGADESSSSVKVEKVEKSSSMPVQGPACNASNKLAGWHTFNSHPYEGKSAIFIDDRATVLPQKLEWAGFVMNSRLLWKETEDKPDWIKDLDSVDADIESPLSLLKDPSMAEPLGSCGKQVLLWWLRVEARSDSKFPPGWIIDPPLEITVPSKRTPWPDVPPELPTIEKPVIHISEQTLKHTKTRSSRSKRRRGRRHESKLTDTQDLKASRNLTDKQKARDLDGWQLDLRA >Manes.12G107600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29521049:29525530:1 gene:Manes.12G107600.v8.1 transcript:Manes.12G107600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALQQSYMSRRSASFRGSPPFDSSAETAIKSPSAIFWLLLHGICCLISLVLGFRFSRLVFLFLFSTSTINLHGTPFRQLATTADLASPLNVPSNSVVDPELPAINRSLSSRVVVGRHGIRIRPWPHPNPTEVMRAHQIIESVQREQRIQFGVKSPRTVIAVTPTHIRTFQTLHLTGVMHSLMLVPYDVVWIVVEAGGVSNETAAIIAKSGLKTIHIGFKQKMPNSWEGRHKLEAKMRIRALRVVREQKLDGVVMFADDSNMHSMDLFDEIQSVKWFGAVSIGILAHSGGADESSSSVKVEKVEKSSSMPVQGPACNASNKLAGWHTFNSHPYEGKSAIFIDDRATVLPQKLEWAGFVMNSRLLWKETEDKPDWIKDLDSVDADIESPLSLLKDPSMAEPLGSCGKQVLLWWLRVEARSDSKFPPGWIIDPPLEITVPSKRTPWPDVPPELPTIEKPVIHISEQTLKHTKTRSSRSKRRRGRRHESKLTDTQVSTRHSEQN >Manes.10G104400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25215962:25221253:-1 gene:Manes.10G104400.v8.1 transcript:Manes.10G104400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEIAKAQEERKKMEKELASLTTLTFDKELYGGTDRDAYVTSIPVNDEDDFEVGDNEVARKLASYTAPKSLLKEMPRGGDEMDDGGFKKPSKIIDREDDYRRRRLNRVISPDRHDAFAAGEKTPDPSVRTYADVMREEALKREKEETLRAIAKKKKEEEEAAKEGRETAAATKEVAPKRRNRWDQSQDDGSAVKKAKTGSDWDLPDATPGIGRWDATPTPGRLGDATPSVGRRNRWDETPTPGRLADSDATPAGGATPGATPAGVTWDATPKGLVTPTPKRQRSRWDETPATMGSATPMAGATPAAAYTPGVTPVGGIDLATPTPNAINLRGAMTPEQYNLMRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYAIPEDNRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEDEEELSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMIILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRSDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVKDIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALIHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAIVLNYCLQGLFHPARKVREVYWKIYNSLYIGSQDALVAAYPVLDDEQNNIYSRPELTMFI >Manes.10G104400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25215963:25221253:-1 gene:Manes.10G104400.v8.1 transcript:Manes.10G104400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEIAKAQEERKKMEKELASLTTLTFDKELYGGTDRDAYVTSIPVNDEDDFEVGDNEVARKLASYTAPKSLLKEMPRGGDEMDDGGFKKPSKIIDREDDYRRRRLNRVISPDRHDAFAAGEKTPDPSVRTYADVMREEALKREKEETLRAIAKKKKEEEEAAKEGRETAAATKEVAPKRRNRWDQSQDDGSAVKKAKTGSDWDLPDATPGIGRWDATPTPGRLGDATPSVGRRNRWDETPTPGRLADSDATPAGGATPGATPAGVTWDATPKGLVTPTPKRQRSRWDETPATMGSATPMAGATPAAAYTPGVTPVGGIDLATPTPNAINLRGAMTPEQYNLMRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYAIPEDNRGQQFDVPKEAPGGLPFMKPEDYQYFGALLNEEDEEELSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMIILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRSDILPEFFRNFWVRRMALDRRNYRQLVDTTVEIANKVGVKDIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALIHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAIVLNYCLQGLFHPARKVREVYWKIYNSLYIGSQDALVAAYPVLDDEQNNIYSRPELTMFI >Manes.03G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9363982:9369252:1 gene:Manes.03G068300.v8.1 transcript:Manes.03G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDENKFDVHFKLLALRIPREFCKSFTRLLNGYLFDKARVKPITEDPTCDKNRYVILSESVQTPDLSEIPAEKLDELKKLCKIEVVPYSITLGYSYWGADHILKQILPPGVEIPSSFETIGHIAHLNIHDELHPYKDVIAKVIYDKNHPRIRTVVNKVGTITNEFRVPKFEILAGENDMVTEVKQYGATFKLNYGLVYWNSRLEHEHIRLVSQFQPGETICDMFAGIGPFAIPAAQKGCFIYANDLNPHSFQYLRINAKLNKVEDLIFAHNMDARKFISQLMTVPICQDNLESDASPLIACGNHSIQTNRETQGASDNVTSDYEDVQDSCRQADASVAAVKRPSCCFQEESENTHGAAIPFSSTRKGSANKRLKTSELANAKPWEHVDHVIMNLPASALQFLDAFRGVIQRKYWKGPLPWIHCYCFMRANETKESVILDAETALSAHIQDPIFHRVRDVAPNKAMFCLSFRLPEACFKDNALTQ >Manes.16G136900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33748663:33759048:-1 gene:Manes.16G136900.v8.1 transcript:Manes.16G136900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKLLFFSFFFALVFSTVWPDESIEADAQVLGSDAADSSALKIELDQLKFKIHDLESRVNEKTQELKSKDDLISQKEKIIQENSDSIVSLQNEISSLLKKGKLDTAEQVGKAHARAGELEKQVDKLKKELETQQRQKDALEAKASEAEKKIGELNLKLEKLQEVSDEQKNKLRKTERALKVAEEEMVKAKLEASSKTQELNEVHGAWLPPWLAVHVVHCQSLVQTHWNEHGKPAMDLFFAKAVEKKAHAKKWAKPYLETIKTEAKKFSKPYIDHVAMKTKPHVDKVRVVLKPYTKQAVHAYGKFLESATTYHHQVQGTVQETLNKHELTKPLATQELIWFTASALLALPIIILSRISSAVFCKKANRPTNANTSRSRRKSKRGYPDK >Manes.16G136900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33748664:33759048:-1 gene:Manes.16G136900.v8.1 transcript:Manes.16G136900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKLLFFSFFFALVFSTVWPDESIEADAQVLGSDAADSSALKIELDQLKFKIHDLESRVNEKTQELKSKDDLISQKEKIIQENSDSIVSLQNEISSLLKKGKLDTAEQVGKAHARAGELEKQVDKLKKELETQQRQKDALEAKASEAEKKIGELNLKLEKLQEVSDEQKNKLRKTERALKVAEEEMVKAKLEASSKTQELNEVHGAWLPPWLAVHVVHCQSLVQTHWNEHGKPAMDLFFAKAVEKKAHAKKWAKPYLETIKTKWIPSVKEQLLLMKTHVEPHVQTLTAKTIEAYEASKTTLHPHIIKVQEFVDPYFQEAKKFSKPYIDHVAMKTKPHVDKVRVVLKPYTKQAVHAYGKFLESATTYHHQVQGTVQETLNKHELTKPLATQELIWFTASALLALPIIILSRISSAVFCKKANRPTNANTSRSRRKSKRGYPDK >Manes.04G043000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6509118:6513487:1 gene:Manes.04G043000.v8.1 transcript:Manes.04G043000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSAIVSILLGLLDRVPFIGDVMTSIPDRHEFLDSDGGLDDETLETCEHEDTEDGLLLNCNLQHGAKTSPESSIEYISANNVEPYNGMTFSSLDDARDFYYEYAKRTGFTIRTNRIRHSLKNMAVIGRDFVCSREGFRAAKHALRKDRILPPRPITREGCKAMIRLAARDGGVWVVTKFVREHNHKLMNHCNFPGELPTINILSEEEKDKKIQDLYDELQRERERSATIQHQLHRILKDIEEHAEFMSIRVEDIINNLKEIELGNL >Manes.02G008600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:983345:991195:-1 gene:Manes.02G008600.v8.1 transcript:Manes.02G008600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIDTLLSTRVYLPKPYQKSLKCTRKFRSKMPYPHRSFTILCELNSGSASQPSENKRDDFVTRILKQNPSQIEPRYLIGDKFYTLKDKENLSKNQNMGLIEFLAKRLNFKTQPKKERNIRENEDDAVYLKDILREYKGKLYVPEQVFEPELSEEEEFDRNLEELPKMSFEDFMKAMKSDKVKLLTSKEVTGSIYGTRYRDFIVDLNEIPGEKSLHRTKWAMRLDENEAQALLKEYKGPQLEIERHMKSSVGKLPEYPHPVASSISSRMMVEFGMVTAVMAAAAVVVGGFLSSAVFAVTSFIFVTTVYVAWPIAKSFLKLFLGIISGILEGISEYIVDIFSDGEIFSKWSEFYTFGGVSASIEVLKPIMLVILTMALLVRFTISRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNRPSVIFIDEIDALGTRRQGIFRESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLEILKIHASKVKMSESVDLSTYAQNLPGWTGAKLAQLVQEAALVAVRQGHTSIIQSDMDDAVDRLTVGPKRVGIELGHQGQCRRATTEVGVAMISHLLRRYENAKVECCDRISVVPRGQRLSQVVFHRLDDESYIFERLPQLLHRLQVLLGGRAAEEVIYGQDTSRASVSYLADASWLARKIITIWNLENPMVIHGEPPPWRKKVRFVGPRLDFEGSLYDDYNLIEPPVNFNLDDEVARRTEELIRDMYGKTVSLLRWHHTALLKAVKVLLNQKEISGEDIDYILNNYPPQTRLSLLLEEENPGSLPFSRKVGGELDYALLTSSEGQTQ >Manes.10G084100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:21577476:21579823:1 gene:Manes.10G084100.v8.1 transcript:Manes.10G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNFRLLQLISHQCLPKASKFSTFTNHTATLNKKSCIFLLKNCKSITHLKQIHAQIFRVGLHQDIHALNKLMVFCTHSSDGKLSYAETIFEYVQDRCLFIYNLMIKAFAKKGCHRKALSLFGKLREDGLWPDNFTYPFVFKAIGFLGEVFEGEKIHGFVIKTGIEFDNFVCNSLIDMYAQFGLIDIMKKVFDEMLQRDVISWNVLISGYVKCRRFEDAINVFHRMRQESDLMPDEATVVSTLSACTALKNLELGKEIHQYVRERIEFTSIVGNALMDMYCKCGCLSMARLIFEQIPSKNVICWTTMVCGYANCGDLEEARELFERSPVRDVVIWTSMINGYVQFNRFDEAVALFREMQMRRVKPDKFIVVSLLTGCAQTGALEQGKWIHGFIDENRIPVDLIVGTALIDMYAKCGCIEKALEIFYGLREKDTASWTSIICGLAMNGKTVKALELFSEMKQAGAKPDDITFIGVLSACSHGGLVKEGREFFKSMTAMYNIKPKLEHYGCLIDLLGRAGLLDEAEESIKKIPNEGHAILVPLYGSLLSACRLYKNVDMGKRVAMQLVKIGSSDSSVHTLLANIYASAEKWEDVTKVRRKMKDLGVKKLPGCSSIEVDSIIHEFFAGDPSHPDLGEIYFMLDRLAKPLFGLEKNEIEIEGC >Manes.10G105200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25483194:25485244:1 gene:Manes.10G105200.v8.1 transcript:Manes.10G105200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPASDEDGGAEAPHQDMPSLFHSILNNFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWAASACATLAYTQYHQLIERDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPSDPFLNWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLFVNFGSTATMTLDELTELAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIMDRGFLTSWCPQEQVLKHPSVGGFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATVSGGSSCKNLDKLLEILVGNNDNNN >Manes.10G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25483194:25485244:1 gene:Manes.10G105200.v8.1 transcript:Manes.10G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKADHKPHAVCIPFPAQGHINPMLQIAKILHFKGFHITFVNTEFIHKRLKLNSIANSSINGFSNFRFETIPDGIQPASDEDGGAEAPHQDMPSLFHSILNNFSTPFCDLIHKLNDSSCSGVPPVTCIVADGGLTFTLDVARRFGIPIGIFWAASACATLAYTQYHQLIERGLAPLKDESYLTNGYLETSIDWIPGLKNIRLKDLPPFFRTTDPSDPFLNWVLTEVEKASTASALILNTFDSLEQDALQALCAMYPHLYTIGPFQLLVDQIDDDDELKLMGSSLWKEHSECLAWLDSKQPNSVLFVNFGSTATMTLDELTELAWGLANSKKQFLWVIRADLVKGGSEILPPEFAEEIMDRGFLTSWCPQEQVLKHPSVGGFLSHMGWNSSLESVCGGVPLICWPFIADQMTNSRYACTEWGVGLELEKVERNEVEKLVKELFEGEKGKEMKKKVMEWKRKAEEATVSGGSSCKNLDKLLEILVGNNDNNN >Manes.14G104500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8729493:8731137:1 gene:Manes.14G104500.v8.1 transcript:Manes.14G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDVCTEISSAGISPRISFSHDLNQTADDVSIDRRLDSCLLDSDFDFCISSSFVQEFSSADELFSNGKILPIEIKKHFVSTKDTDQPKPVPSPRPLQTPTEKKLLKEFLSMSIDADEKPASKSFWQFKRSNSLNCDSSRSKGLIRSLQFLSRSNSTGSAPNPTKQAMFSKETPKPRLRKQHSVPSRKSPAASSGAFYSYNSGQKPPQLRKCGSYGNGVRISPVLNIPAPHISRVTVSLFGFGSLFCNGKAKKKKR >Manes.13G082100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16983284:16989982:-1 gene:Manes.13G082100.v8.1 transcript:Manes.13G082100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKPLSSRTHVFLSPSPSSSPFPYSIIISYLCTSPATLPSRFYSLRRLYEEESRNIKISVWWDFENCHLPAGVNVFKVAHAITAAIRANGMKGPIQITAFGDVLQLSRANQEALSSTGINLTHVPQGGKNSADRSLLMDLMYWVSQNPPPAHLLLISGDRDFANVLHRLRMNNYNILLATSDTAPSVLCSAASIMWRWNALVRRENLIGKIFNQPPDGPYGSWYGYYKVPLEDPFSVVEQPKCTHGEELSEASSETKTPPNPTPTPIPKAVTKQIRDILSLYPKGISIADLRFELGKIKGFDKNLYGYKKFSRFLLSMPNILKLQSTGDGNFIVSAVAAKPEPYEPNPCISTGPVVMEVDQLTTKALKPNGKEEPISGSVDQKNVMPLSPEISAERPIRKVQKSPPHKDVKMNIEEPPKEMDELPSIGEKDVQVVNAQVSEDNPMPVKQKDSKSEVGFLKKFWRTWFGSKDDSSGAKDYDIPNKPHAYGDYSDKKSENTLEKCGASGDVLEKKEVEKNFVNLPTQKNGRVSSTSCSSSNSDSNIEKESSMSYEPYNEKSEKRAGFFGLIMKWWKFGENSPNSDSSTDQPSKELEQINSCSEKLDIFSEDSFWKEMESFLGSQRGSLLVSQSITREQMARNLQKDGPVALRSLGESDAHRLVGMLISEKKWVEENLSESSPYKLTWSARKSTSFSDSRASNGLRSIFLSTSSQSDAKRRSDHDGDAINGRIQNISHAGVSQPVSRRKSSVSLRSETLMDCQKLVNEILREFPEGYNIGSFRKLFLERYGYPLDIQKLGHQKLASLLQKMPGVTIESTYIFPSGKSSECSIQDSAAPNIDECDSAHTSTTSGSELPDASKDDESDSTWEELGPVDNAGSSRKASEEKCTFTHYESSASDDEFSEPERELIAGTQEEGLTKSGMNHEDSSLLQILDSWYSSKEGVKTKENPENVQDMIDCSKNALQPSNPLGLDPDDNDLDANNSNKLIDGIIGSLKKSGGSRMQS >Manes.13G082100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16983284:16989959:-1 gene:Manes.13G082100.v8.1 transcript:Manes.13G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKPLSSRTHVFLSPSPSSSPFPYSIIISYLCTSPATLPSRFYSLRRLYEEESRNIKISVWWDFENCHLPAGVNVFKVAHAITAAIRANGMKGPIQITAFGDVLQLSRANQEALSSTGINLTHVPQGGKNSADRSLLMDLMYWVSQNPPPAHLLLISGDRDFANVLHRLRMNNYNILLATSDTAPSVLCSAASIMWRWNALVRRENLIGKIFNQPPDGPYGSWYGYYKVPLEDPFSVVEQPKCTHGEELSEASSETKTPPNPTPTPIPKAVTKQIRDILSLYPKGISIADLRFELGKIKGFDKNLYGYKKFSRFLLSMPNILKLQSTGDGNFIVSAVAAKPEPYEPNPCISTGPVVMEVDQLTTKALKPNGKEEPISGSVDQKNVMPLSPEISAERPIRKVQKSPPHKDVKMNIEEPPKEMDELPSIGEKDVQVVNAQVSEDNPMPVKQKDSKSEVGFLKKFWRTWFGSKDDSSGAKDYDIPNKPHAYGDYSDKKSENTLEKCGASGDVLEKKEVEKNFVNLPTQKNGRVSSTSCSSSNSDSNIEKESSMSYEPYNEKSEKRAGFFGLIMKWWKFGENSPNSDSSTDQPSKELEQINSCSEKLDIFSEDSFWKEMESFLGSQRGSLLVSQSITREQMARNLQKDGPVALRSLGESDAHRLVGMLISEKKWVEENLSESSPYKLTWSARKSTSFSDSRASNGLRSIFLSTSSQSDAKRRSDHDGDAINGRIQNISHAGVSQPVSRRKSSVSLRSETLMDCQKLVNEILREFPEGYNIGSFRKLFLERYGYPLDIQKLGHQKLASLLQKMPGVTIESTYIFPSGKSSECSIQDSAAPNIDECDSAHTSTTSGSELPDASKDDESDSTWEELGPVDNAGSSRKASEEKCTFTHYESSASDDEFSEPERELIAGTQEEGLTKSGMNHEDSSLLQILDSWYSSKEGVKTKENPENVQDMIDCSKNALQPSNPLGLGTKIDTSLRKYGQKQKPQRRYSFVADPDDNDLDANNSNKLIDGIIGSLKKSGGSRMQS >Manes.05G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3810285:3813146:-1 gene:Manes.05G045900.v8.1 transcript:Manes.05G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSALLTNLDENHQGIIGGEESITIAVDDGKPSVKKLMEEEMFCEEGLKKQMDSAEPKQSNSEYGGNKRKNCKRTNRGVEKTGTEKTLGSSPESQSIIRNKGTNGVGCCFFLTEIKRRLKQAIGKEQQEIAPDGASKRFANKYRARGDSDKKYRENNGRNSLGKDHFFNEKIARPPSAVRKEEKTDMLKECEIDLERETAAYPKNRMANIYVEVKKHLSDMLTSGTGVQNFSSGQVPKSLGRILSFPEYNFSPTGSPGREWGQGLVTAQMRFSSNNEFQKHESNGGHRGRMTLNSETDLCVSNDPAYSQAVTSANPNSSSPCELAQDNEVDKILCTIGDTDMLKECEIDLERETAAYPKNRMANIYVEAKISFPEYNFSPTGSPGREWGQGFVTAQMRFSNNNEFQKHESNGGHRGRMTLNSETDLCVSNDPAYSQAVTSANPNSSSPCELAQDNEVDKILCTIGDTSGGDVDIVKSAEIGVQEDCNISDTLSEPINSSRTGDDQNGDVSEACDGKTFSRCSKHDLNEENQLPLSALTSPSTSPITKNDNNLEGVVEVSERPSPVSVLEPLFTEEVEFFPNQFCYDKKLLFDCVDEVLKDVYGKNFGCPLGLSFAKPTVRPAPDMKNPIHEIWEGVYRYLLPLPLPCTKELIVEKDMAKTGTWMDLRYDSETIITEIGEAIFKDLMEEIMLGCISGISEGGFFQMQMN >Manes.04G106150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31120674:31121720:-1 gene:Manes.04G106150.v8.1 transcript:Manes.04G106150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHLPPFSFLFAVDPRREIDKIQKLIQHISPYDFSLSISSFYTENHKSPSPINNETKTLQLRCLSFHSIALFFISFPSSFSINFLTRSSISSPFASLLISIPMSHSLQANQHFVYFSVKKGQRNSGTPVATLSIIEFHPI >Manes.16G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30157055:30159963:1 gene:Manes.16G095300.v8.1 transcript:Manes.16G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFRTSGDSVQPSEFIHRMPPFMGAFSQDHRFPAAERGRDDLDDSSSSSSIGRNSDQSDGEDSGQDFDNSEVQSSYKGPLDTMDALEEVLPIKRGISKFYHGRSKSFTSFADVSSASSIKDFAKPENPYNRKRKNLLARNNFGDKNCNYPPKDDASGISKRLANSDRSTSALCSTINCSKNNSKRGDSHPLPSSPSCLPPLHPQGKSSLNVGSCSPLPQRSSHCRSFSLSDIQFAAAPAATHNN >Manes.08G046600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4604142:4616175:-1 gene:Manes.08G046600.v8.1 transcript:Manes.08G046600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTESPSTSASEPNSIPNPNPNSLIHPRREPFEHGLLPIPKLIFPDPIQTLTQLRQKLISHPRVDYATLADTLQISADHAKLVLDTLASVLHNEADPLVKAQPGEIDSVGADLHDLILFLYIQSYKKLLPRTHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHMANILSLLAEPVEGEGEESLVLTMEGFEHLGFLIQFGEKGSEGVPLSQAAPFFANSDPDMPAVPVPAAQVHDWISQNIASALEHITERISSKENGSPSVSDQDVAMADACTSSISARVSSFIEGISKSSYVKQASDFKGSSVKVLNCHDSVIYILAPLRYATIYGCSDTTIVLGAVGKAARIEHCERVHVITAAKRVCIANCRECVFFLGVNQKPLMVGDNHKLQVAPYNTYYSDLEEHMAKVGIDTTINRWDDPLALGVIDPHDSLSHPAGVSDVQAESATSMDPDQFTNFLIPNWFGSESPGSTKANPFPLPEPYMSVQQRNQKNLHEIKQLLREAPLEENRKRELSCALHLLFKDWLYASGNIRQLYCLQGD >Manes.02G077900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5979442:5982703:1 gene:Manes.02G077900.v8.1 transcript:Manes.02G077900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLNLSETTDKIIAEYIWIGGSGMDMRSKARTLPGPVSDPSELPKWNYDGSSTGQAPGEDSEVIIYPQAIFRDPFRRGNNILVMCDAYTPAGDPIPTNKRHAAAKVFSHPDVVAEVPWYGIEQEYTLLQKDVKWPIGWPVGGFPGPQGPYYCGVGADKSFGRDVVDSHYKACVYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMREDGGYEIIKKAIEKLGLRHKQHIAAYGEGNDRRLTGRHETADINTFLWGVANRGASIRVGRDTEKQGKGYFEDRRPASNMDPYVVTSMIAETTILWEP >Manes.02G035200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2911137:2915802:1 gene:Manes.02G035200.v8.1 transcript:Manes.02G035200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASNSLRSAFSYCVQQVRSYDYHHYLCLLELPPNMRKAAFAFRALNVETARAMDVASDPRIGLMRLLWWQETIDKIYANKLVEHPTAQALSSVISENRITKGWLKRSVEARINDARREVTDIPETLEELEKYAEDTVSTMLYMTLQAGGIRSTAADHAASHIGKASGLLLLLRSLPYHASRNRHFSYIPTDVATKHGLLAKDGGRSEVHLDSRENLCNAVFEMASVANAHLQKARALAGTVPAEARPVLLPAVPAQILLDSLSKVQFDAFDPMLEGGVLGIPPLWYQLKLKWASWRGKY >Manes.02G035200.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2911137:2915802:1 gene:Manes.02G035200.v8.1 transcript:Manes.02G035200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASNSLRSAFSYCVQQVRSYDYHHYLCLLELPPNMRKAAFAFRALNVETARAMDVASDPRIGLMRLLWWQETIDKIYANKLVEHPTAQALSSVISENRITKGWLKRSVEARINDARREVTDIPETLEELEKYAEDTVSTMLYMTLQAGGIRSTAADHAASHIGKASGLLLLLRSLPYHASRNRHFSYIPTDVATKHGLLAKDGGRSEVHLDSRENLCNAVFEMASVANAHLQKARALAGTVPAEARPVLLPAVPAQILLDSLSKVQFDAFDPMLEGGVLGIPPLWYQLKLKWASWRGKY >Manes.02G035200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2911137:2915802:1 gene:Manes.02G035200.v8.1 transcript:Manes.02G035200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAFAFRALNVETARAMDVASDPRIGLMRLLWWQETIDKIYANKLVEHPTAQALSSVISENRITKGWLKRSVEARINDARREVTDIPETLEELEKYAEDTVSTMLYMTLQAGGIRSTAADHAASHIGKASGLLLLLRSLPYHASRNRHFSYIPTDVATKHGLLAKDGGRSEVHLDSRENLCNAVFEMASVANAHLQKARALAGTVPAEARPVLLPAVPAQILLDSLSKVQFDAFDPMLEGGVLGIPPLWYQLKLKWASWRGKY >Manes.18G137860.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:17526678:17526980:1 gene:Manes.18G137860.v8.1 transcript:Manes.18G137860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKLLCSNHLTSESYEFCLYCATHAATPAMADCEDIIAPLLTPPLLHIITPHRNHHSPWQSAAPHLHCTSVLYLQYSSLVHCSSLSLSFSYFFYFFIH >Manes.04G134400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33531807:33535538:1 gene:Manes.04G134400.v8.1 transcript:Manes.04G134400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCSNNGEACPQLLDLIPREREWLVKRADERSSEEKKLELRLGPPGEEWFFGENASKDAKNRERDESPFSLGYFSNGNQQIHKFSSPENLQPGSVWFNQQLSQQAKATASFLQFPSKTVTTPQGLPAMAKESSQPCCTKVAVDLQQSAEKKAFSQPAPANTAVLNSSQKRTAPGPVVGWPPIRSFRKNLASSSFLKPAIDSQNESPNKKVASEKPVETCKKGMFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAAGGIMNEQDEEKAITGVLDGSGEYTLVYEDNEGDRMLVGDVPWHWKQQAKQSSHEMRRMNFAVKMILF >Manes.04G134400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33531807:33535536:1 gene:Manes.04G134400.v8.1 transcript:Manes.04G134400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCSNNGEACPQLLDLIPREREWLVKRADERSSEEKKLELRLGPPGEEWFFGENASKDAKNRERDESPFSLGYFSNGNQQIHKFSSPENLQPGSVWFNQQLSQQAKATASFLQFPSKTVTTPQGLPAMAKESSQPCCTKVAVDLQQSAEKKAFSQPAPANTAVLNSSQKRTAPGPVVGWPPIRSFRKNLASSSFLKPAIDSQNESPNKKVASEKPVETCKKGMFVKINMDGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSAAGGIMNEQDEEKAITGVLDGSGEYTLVYEDNEGDRMLVGDVPWHMFLSTVKRLRVLKSSEVSELSLGSNKQSKVAMK >Manes.12G011500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1130790:1135513:-1 gene:Manes.12G011500.v8.1 transcript:Manes.12G011500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKKNSELKHLGFARMAAIQALICVSNLYDYAKQNSGPLRSTFGAVERAVTAVVNPVCQKFKDVPDDLLVFLDKKVDEGTRKFDKHAPAVAKQAVNQAQSLLQVASQKVLELVHEASVGGPRAAVRYAATESRHFALTQSVKVWIKLNRFPVVHKVADVATPTAAHWSEKYNYLVKDMAQKGYRVFAYVPLVPIDEIAKAYKQYEAEKKENGTEHKHDDSSDSD >Manes.12G011500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1132364:1135513:-1 gene:Manes.12G011500.v8.1 transcript:Manes.12G011500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKKNSELKHLGFARMAAIQALICVSNLYDYAKQNSGPLRSTFGAVERAVTAVVNPVCQKFKDVPDDLLVFLDKKVDEGTRKFDKHAPAVAKQAVNQAQSLLQVASQKVLELVHEASVGGPRAAVRYAATESRHFALTQSVKVWIKLNRFPVVHKVADVATPTAAHWSEKYNYLVKDMAQKGYRVFAYVPLVPIDEIAKAYKQYEAEKKENGTEHKHDDSSDSD >Manes.18G011500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1337549:1341629:-1 gene:Manes.18G011500.v8.1 transcript:Manes.18G011500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEVMISKGVPAKKWRNKKKKQACQGILMDIHSSEYLHSDFSIQVSKLYHDYFQGNLMARGHLESCCKSFVKKKFTRRVIKLRAAMAGVVETKLENSPRKRLSLARCAAGNLPEMIILHSMHDVKIVFKRSLLRQITGSKLNSRPSYVLEENHTSSREAEAPVFRDIKSGALQGSEEFARGDSASFKDGNGRKEKFSLKSISVIRRELPESTLGWPLLPRTTPLTTEEFRRRKARSMSLIEWVMNLPTRSFDTTRENQTDSDSAEAKISLDGKTEDPTVDNEGTGVEVDARNNGEDEESGPMQETSTNSSFVFTKDSTQSTLGWPLLRIKTSGTSDSFGESEMSLTNQSTQASPKSQINLHFREVLAGQTELPIKLDLVLKLHSSGCRQFRYEELERATRNFSSENLIGEGGCSKVYKGSIHRGKLVAVKVLKQYKEAWEDFYLELDIMSSLEHKHITHLIGVCIEDCHLILVYNFLSKGSLDERLKGHNEKSILPWKVRFKVAIAVAEALNCLHNGYPHSVIHRDVKSSNILLSHDFQPQLSDFGLATWGPEDRDYMISSDIVGTFGYIAPEYLMYGRVSEKIDIYSFGIVLLELLTGKEPISSKGIKGQESLAIWAMPLLENGNLEALVDPMLNGEFDIVQMQRMVLAATICIKQSPRLRPKASKIVNLLRGEKEVTEWMNDYVRHLQESSYEEMDDLFPELNHKPKLDSYFQVLDEDDALSQNGGDTDTTTIEMQSKGTIAGSKTT >Manes.18G011500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1325537:1341554:-1 gene:Manes.18G011500.v8.1 transcript:Manes.18G011500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEVMISKGVPAKKWRNKKKKQACQGILMDIHSSEYLHSDFSIQVSKLYHDYFQGNLMARGHLESCCKSFVKKKFTRRVIKLRAAMAGVVETKLENSPRKRLSLARCAAGNLPEMIILHSMHDVKIVFKRSLLRQITGSKLNSRPSYVLEENHTSSREAEAPVFRDIKSGALQGSEEFARGDSASFKDGNGRKEKFSLKSISVIRRELPESTLGWPLLPRTTPLTTEEFRRRKARSMSLIEWVMNLPTRSFDTTRENQTDSDSAEAKISLDGKTEDPTVDNEGTGVEVDARNNGEDEESGPMQETSTNSSFVFTKDSTQSTLGWPLLRIKTSGTSDSFGESEMSLTNQSTQASPKSQINLHFREVLAGQTELPIKLDLVLKLHSSGCRQFRYEELERATRNFSSENLIGEGGCSKVYKGSIHRGKLVAVKVLKQYKEAWEDFYLELDIMSSLEHKHITHLIGVCIEDCHLILVYNFLSKGSLDERLKGHNEKSILPWKVRFKVAIAVAEALNCLHNGYPHSVIHRDVKSSNILLSHDFQPQLSDFGLATWGPEDRDYMISSDIVGTFGYIAPEYLMYGRVSEKIDIYSFGIVLLELLTGKEPISSKGIKGQESLAIWAMPLLENGNLEALVDPMLNGEFDIVQMQRMVLAATICIKQSPRLRPKASKIVNLLRGEKEVTEWMNDYVRHLQESSYEEMDDLFPELNHKPKLDSYFQVLDEDDALSQNGGDTDTTTIEMQSKGTIAGSKTT >Manes.04G094000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29955286:29957315:-1 gene:Manes.04G094000.v8.1 transcript:Manes.04G094000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFKCLYEMGICCLAPDGTSTDGTPLSSTSQSPTVNLTGEYTLAVQSSSYNEIWSKIHDSTTHQEVDGEQIEFYSNNDHEDARQLLLAQVLHPSRECVEKVLRHARPNTLIRLASDYFEHSENTTHLCLLLHRSVYRARALYDPLHKLLEVLSLDSGYLTQSQCNYAYEIFLQFDRCNNPFPCPDSQNFQDIRHSFSQLRQQLDHRLRKSRSRVSLVRRATTASALCLIGSAVTVTLAAVAIATHAFVAIVACPFCTLINLPRKLTKKELEHVKQLDAAARGAFVLNSDLDTIDCLVARLYASIESDRHLIRLGLERGNDKHSISEVFKHLQKNHLNFIDQLKDLEEHICLCFSAVNRARSLLLREIHVYRTSDS >Manes.01G056200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:19049325:19051278:1 gene:Manes.01G056200.v8.1 transcript:Manes.01G056200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIASPSSSSSFMSFCQDSSPPLQQRLQFILQSRPEWWVYAIFWQVSKDATGHLVLSWGDGHFRGTKEFAAKACNKQNQPKFGFNLERKMINKESQILFSDDIDLDRLADLDVIDYEWFYTVSVTRSFTVEDGILGRTFGSGAFIWLTGNHELQMHECERVKEARIHGLQTLACISTPYGVIELGSSTTIDKDWSLVQLCKSLFGGDTACFVSKEPSLESHLHIPNTSFLDISMFSASQKETSVEKQNEGDKKKDATGQGRSSSDSARSDSDGNFAAGNTDRFKKRGRKQLDGKDLPLNHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLADAVTYIKELKAKVDELESKLQAASKKSKITNATDNQSTDSMVNHIRSSSIYKAKAMELEVKIVGSEAMIRFLTPDVNYPAARLMDVLREVEFKIYHASMSSIKEMVLQDVVARVPDGLTNEEVVRSAILQRMQN >Manes.01G180200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35928708:35932351:1 gene:Manes.01G180200.v8.1 transcript:Manes.01G180200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLFLSFLLLLFPLTISSASSATCVVDERSALLQFKQSFVIDCSASANDPSAHPKVQSWELDDENYGCCSWDGVQCDEDTGRVIGLDLSHSCLYGSINSTSSLFHLVHLQSLNLAYNHFNYSNIPFGMDNLLRLTYLNLSSSSFFGQIPSSILQLSQLTSLDLSRNDQLMLKDPDFGSLVQNLTSLEELHLSWVDLSSTVPKIMANLSSLKSVHLSSCGLSGEFPAGVFQLPKLQNLDLSYNWALRGYLPEFNMTSPLQVLDLAKTSFSGVLPDSIGNLISLNAVDVSSCKFSGDFPASFGNLTQLVYLDLSFNNFQSHDFSSLSWIDKQSKVVVFGLSGIILEGEIPSYFSNLTHVTTLVLTNCRITGSIPSWIMKMTNLVYLDLSFNRLQGSIPHSISQLTRLTNLQLPSNKLQGPLPDSLFQLQNLRALNLAWNNLSGIVELDMFSRLKKLTTLRLSGNRISLLANNNNNVFLQKFRILGFASCNLSHFPHFLQYQDELLWLDLSNNNIHGQIPRWMLNTSKESLKFINLSYNFLTGFEFSPAGLPWTRVSILDLRSNMLQGSLPIPAPSIKMYSASNNNLTGEIPLCICSLKFLSVLDLSHNKLGGMLPECLGNFSSSLQLLNLGNNNFHGKIPQTHTKECKLRMLVLGYNRLNGQVPRSLRNCSRLEMLILGNNQIRDAFPAWLGALEELKVLILRSNQFHSELSSSTGSAEFPKLRVIDLSQNKFSGQLPAQCWNAMKLADLDQLAYMGTFANFLTESFSLTVNLMYSMLITNKGTELAYARILESFVAVDLSSNVFEGEIPKIIGSLKGLRLLNLSNNNLTGGIPSSLGNLAKLESLDLSQNKLSGEIPQQLAQLHFLAVFNVSHNNLTGAIPIGNQFDTFQNDSYGGNWGLCGKPTFMKCDSLGVLPPSASDLDEGEDSGSSFEFGWKSVLMGYGSGLVIGLVIGQIILSRKYEWFLKIFSVKQRRK >Manes.09G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17808068:17835053:-1 gene:Manes.09G077200.v8.1 transcript:Manes.09G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQSPTVDCPSQRSSTTTAAVAINGHDYRVSSKRKLDDYAPSFDDDDDLGLSDLVSVRMRKDESLAVDSSSTGKNQLPSPSCSSHFDTRVADAKSAHCSCSSTPPGPSRLVSRLQFFIRMISDGNHIVIHANSDDTVKSLHERIQAITGIPVIEQRLIYRGKQLQWEQSLAECAIQNDAGLHLVGRMRSTKHPQTCQLIDDMVSFISRLCKAGLPCHTYASKHIKSLMNEFFTLTPKDDYETAVGHLQIFMSSSAPAALVMLYVSTIKGNKECAESSIKHFLNSCRISLPKPLHTQCAPIVLEFCKLLRKVAHDDPLYLSCRSTLGSLLETMGVSRGASKYGGGEDVKGLIVIQDIFPFVNELANRLSRDLVSSMESATTAGPVPSDVRDFSAFLLPLHTTITEQGGFQVPISMPLNKRGFSHPLYVEEIEQLHVIFSDLLKKMDNCLCKMEGCLPLKPNGEGESTRTAWSQYLAILKELNSIAKLYKNAEEQFWAVLRLRKASLCVLIVKYAKRNDDHQWLLQHKDVTDFESRRHLAMMMFPEVKEDYEELHEMLIDRSHLLAESFEYIARADPEALHGGLFMEFKNEEATGPGVLREWFFLVVQALFNQQNALFVACPNDRRRFFPSPTSKVDPMHLDYFTFAGRVIALALVHEVQVGIVLDRVFFLQLAGRHISLEDIRDADPCLYSSCKQILEMDADFIDSDALGLTFVREVEELGSRKVEELCRDGKSISVTSKNREEYVNLLIRHRFVKSTSDQVSRFARGFADILCNSDLQTFFFKSLELEDLDWMLYGSESAVCVEDWKAHTEYNGYKETDPQISWFWKIVEEMSAEQRKVLLFFWTSVKYLPIEGFRGLASRLYIYKSSEPHDRLPSSHTCFYRLCFPPYSSMAVMQDRLNVITQEHVGCSFGTW >Manes.09G077200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17815671:17835053:-1 gene:Manes.09G077200.v8.1 transcript:Manes.09G077200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQSPTVDCPSQRSSTTTAAVAINGHDYRVSSKRKLDDYAPSFDDDDDLGLSDLVSVRMRKDESLAVDSSSTGKNQLPSPSCSSHFDTRVADAKSAHCSCSSTPPGPSRLVSRLQFFIRMISDGNHIVIHANSDDTVKSLHERIQAITGIPVIEQRLIYRGKQLQWEQSLAECAIQNDAGLHLVGRMRSTKHPQTCQLIDDMVSFISRLCKAGLPCHTYASKHIKSLMNEFFTLTPKDDYETAVGHLQIFMSSSAPAALVMLYVSTIKGNKECAESSIKHFLNSCRISLPKPLHTQCAPIVLEFCKLLRKVAHDDPLYLSCRSTLGSLLETMGVSRGASKYGGGEDVKGLIVIQDIFPFVNELANRLSRDLVSSMESATTAGPVPSDVRDFSAFLLPLHTTITEQGGFQVPISMPLNKRGFSHPLYVEEIEQLHVIFSDLLKKMDNCLCKMEGCLPLKPNGEGESTRTAWSQYLAILKELNSIAKLYKNAEEQFWAVLRLRKASLCVLIVKYAKRNDDHQWLLQHKDVTDFESRRHLAMMMFPEVKEDYEELHEMLIDRSHLLAESFEYIARADPEALHGGLFMEFKNEEATGPGVLREWFFLVVQALFNQQNALFVACPNDRRRFFPSPTSKVDPMHLDYFTFAGRVIALALVHEVQVGIVLDRVFFLQLAGRHISLEDIRDADPCLYSSCKQILEMDADFIDSDALGLTFVREVEELGSRKVEELCRDGKSISVTSKNREEYVNLLIRHRFVKSTSDQVSRFARGFADILCNSDLQTFFFKSLELEDLDWMLYGSESAVCVEDWKAHTEYNGYKETDPQISWFWKIVEEMSAEQRKVLLFFWTSVKYLPIEGFRGLASRLYIYKSSEPHDRLPSSHTCFYRLCFPPYSSMAVMQDRLNVITQEHVGCSFGTW >Manes.10G078700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:18302538:18302981:1 gene:Manes.10G078700.v8.1 transcript:Manes.10G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAPIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Manes.10G098700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24725837:24737359:-1 gene:Manes.10G098700.v8.1 transcript:Manes.10G098700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPPSLGVSSAKQLSVFDFTVDDERVEKISEKILRKFAKPKRKRDLPFSPITKYKFLECFAGCTQAQEKESANEPFIVDNDPIILDDEPIDVDMGISGGIKALTKEIIGESLYIDANGVSHPHKVSVSPPVFTLQEDGAVKETSCVDALMLSGFPNYENKSVDMISDDDDGSETSYALVSPSTHERIEVPLKDLAPECSSVGHTIDILNNKVIVFPDFILYEDIYSTESRLTFSRSCIRVEGSTVNGAKQTFNVEWAISDIISIESEWWGRVETAMINLFLKPKVSEAGGNANEPSGLDKLKFSVYDPYWFEGQEAIKSLDERYRDTWNVTLDTDGEKDDAAFSVANSVSIPKPHLNIFDEPFEDVIYPKGDPDAVAISKRDVELLQPETFINDTIIDFYIKYLKNKIQPEKQHQFHFFNSFFFRKLADLDKDPRNTCEGRAAFQRVRKWTRKVNLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDEEIERALKVPCILHMDSIRGSHRGLKNLFQSYLCEEWKERHTETPDDVSSKFSHLRFVPLELPQQENSFDCGLFLLHYVELFLEEVPINFSPFRITEYSNFECNNLSLL >Manes.10G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24723817:24737359:-1 gene:Manes.10G098700.v8.1 transcript:Manes.10G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPPSLGVSSAKQLSVFDFTVDDERVEKISEKILRKFAKPKRKRDLPFSPITKYKFLECFAGCTQAQEKESANEPFIVDNDPIILDDEPIDVDMGISGGIKALTKEIIGESLYIDANGVSHPHKVSVSPPVFTLQEDGAVKETSCVDALMLSGFPNYELQNKSVDMISDDDDGSETSYALVSPSTHERIEVPLKDLAPECSSVGHTIDILNNKVIVFPDFILYEDIYSTESRLTFSRSCIRVEGSTVNGAKQTFNVEWAISDIISIESEWWGRVETAMINLFLKPKVSEAGGNANEPSGLDKLKFSVYDPYWFEGQEAIKSLDERYRDTWNVTLDTDGEKDDAAFSVANSVSIPKPHLNIFDEPFEDVIYPKGDPDAVAISKRDVELLQPETFINDTIIDFYIKYLKNKIQPEKQHQFHFFNSFFFRKLADLDKDPRNTCEGRAAFQRVRKWTRKVNLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDEEIERALKVPCILHMDSIRGSHRGLKNLFQSYLCEEWKERHTETPDDVSSKFSHLRFVPLELPQQENSFDCGLFLLHYVELFLEEVPINFSPFRITEYSNFLNRNWFLPEEASLKRGRIQKLICEILEEQSQQVPKVESINKYPCSQFGNANKQETGSEFLEVRSSSPKMCQGDSCGPSTDIGISLPSASPLRVVLQQIKPGMNSWEMFEPETSARLSCGRNYSWMESCYVNSMSPIEEAEENGEQIPDSSSDKEDYCKQNCVQAGEPTVDDSSPERYISGSQKSSEIGLDDQATSPTSSEDLTTYIVEDSQGTSSMDEGNDHALSDEEFNSMENIINKNNVRRSNEGSVSESDEQSAKKAGIS >Manes.10G098700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24725837:24737359:-1 gene:Manes.10G098700.v8.1 transcript:Manes.10G098700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPPSLGVSSAKQLSVFDFTVDDERVEKISEKILRKFAKPKRKRDLPFSPITKYKFLECFAGCTQAQEKESANEPFIVDNDPIILDDEPIDVDMGISGGIKALTKEIIGESLYIDANGVSHPHKVSVSPPVFTLQEDGAVKETSCVDALMLSGFPNYELQNKSVDMISDDDDGSETSYALVSPSTHERIEVPLKDLAPECSSVGHTIDILNNKVIVFPDFILYEDIYSTESRLTFSRSCIRVEGSTVNGAKQTFNVEWAISDIISIESEWWGRVETAMINLFLKPKVSEAGGNANEPSGLDKLKFSVYDPYWFEGQEAIKSLDERYRDTWNVTLDTDGEKDDAAFSVANSVSIPKPHLNIFDEPFEDVIYPKGDPDAVAISKRDVELLQPETFINDTIIDFYIKYLKNKIQPEKQHQFHFFNSFFFRKLADLDKDPRNTCEGRAAFQRVRKWTRKVNLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDEEIERALKVPCILHMDSIRGSHRGLKNLFQSYLCEEWKERHTETPDDVSSKFSHLRFVPLELPQQENSFDCGLFLLHYVELFLEEVPINFSPFRITEYSNFECNNLSLL >Manes.10G098700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24723817:24737359:-1 gene:Manes.10G098700.v8.1 transcript:Manes.10G098700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPPSLGVSSAKQLSVFDFTVDDERVEKISEKILRKFAKPKRKRDLPFSPITKYKFLECFAGCTQAQEKESANEPFIVDNDPIILDDEPIDVDMGISGGIKALTKEIIGESLYIDANGVSHPHKVSVSPPVFTLQEDGAVKETSCVDALMLSGFPNYENKSVDMISDDDDGSETSYALVSPSTHERIEVPLKDLAPECSSVGHTIDILNNKVIVFPDFILYEDIYSTESRLTFSRSCIRVEGSTVNGAKQTFNVEWAISDIISIESEWWGRVETAMINLFLKPKVSEAGGNANEPSGLDKLKFSVYDPYWFEGQEAIKSLDERYRDTWNVTLDTDGEKDDAAFSVANSVSIPKPHLNIFDEPFEDVIYPKGDPDAVAISKRDVELLQPETFINDTIIDFYIKYLKNKIQPEKQHQFHFFNSFFFRKLADLDKDPRNTCEGRAAFQRVRKWTRKVNLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDEEIERALKVPCILHMDSIRGSHRGLKNLFQSYLCEEWKERHTETPDDVSSKFSHLRFVPLELPQQENSFDCGLFLLHYVELFLEEVPINFSPFRITEYSNFLNRNWFLPEEASLKRGRIQKLICEILEEQSQQVPKVESINKYPCSQFGNANKQETGSEFLEVRSSSPKMCQGDSCGPSTDIGISLPSASPLRVVLQQIKPGMNSWEMFEPETSARLSCGRNYSWMESCYVNSMSPIEEAEENGEQIPDSSSDKEDYCKQNCVQAGEPTVDDSSPERYISGSQKSSEIGLDDQATSPTSSEDLTTYIVEDSQGTSSMDEGNDHALSDEEFNSMENIINKNNVRRSNEGSVSESDEQSAKKAGIS >Manes.09G112000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392656:31401015:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIANSTTPARNLKKSQLGGVIFGCKNDTMKECLSEQLFGLPAAHFSYVKNIDPGLPLFLFNYSDRKLHGIFEAAGSGQMNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIARLVQEIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392564:31401093:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIANSTTPARNLKKSQLGGVIFGCKNDTMKECLSEQLFGLPAAHFSYVKNIDPGLPLFLFNYSDRKLHGIFEAAGSGQMNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIAREIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392656:31401015:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIANSTTPARNLKKSQLGGVIFGCKNDTMKECLSEQLFGLPAAHFSYVKNIDPGLPLFLFNYSDRKLHGIFEAAGSGQMNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIARLVQEIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392552:31401111:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIANSTTPARNLKKSQLGGVIFGCKNDTMKECLSEQLFGLPAAHFSYVKNIDPGLPLFLFNYSDRKLHGIFEAAGSGQMNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIARLVQEIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392564:31401093:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIAREIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392552:31401111:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIARLVQEIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392552:31401111:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIANSTTPARNLKKSQLGGVIFGCKNDTMKECLSEQLFGLPAAHFSYVKNIDPGLPLFLFNYSDRKLHGIFEAAGSGQMNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIARLVQEIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392564:31401104:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIANSTTPARNLKKSQLGGVIFGCKNDTMKECLSEQLFGLPAAHFSYVKNIDPGLPLFLFNYSDRKLHGIFEAAGSGQMNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIAREIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392656:31401015:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIARLVQEIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392656:31401015:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIANSTTPARNLKKSQLGGVIFGCKNDTMKECLSEQLFGLPAAHFSYVKNIDPGLPLFLFNYSDRKLHGIFEAAGSGQMNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIARLVQEIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392564:31401104:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIAREIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.09G112000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31392552:31401111:-1 gene:Manes.09G112000.v8.1 transcript:Manes.09G112000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNPYGWIAGGSRKTLFPAQVQICVRLKCHPVSEAQFKPIIADNYYNRSHFWFELDHAQTSKLMSLLASFAVSPITSIYENTTQLRIMYQPASLPQKRDGGFETLASEVQNHSGWEIDSVDVSSCLDGMNRPLENQLDTDILEQDEENLVLKQLQELAPKHEPKDSSFTGYVEDSTARDDNCSEEKSAEGQMGLGFRNELSASTSSDDSQCSIARLVQEIEELKAFKLEQTFKVQCLEQKLVDAEEQIQELKDRCMILESMSNPSMTHTNDTASDSFDDLYKDPNVRSIYLVGGYDGDSWLPSLDLYFPSQDVLKSLKPMSTVRSYASIAQLNDEIYIFGGGNGQTWYDTVESYNPANDQWTLRPSLTEKRGSLGGATLNNKIFAVGGGNGTECFSKVEMLDLYVGRWIPTRSMLQKRFALAAMELNGALYVTGGFDGSDYLKSAERFDPREHSWTRIASMNTRRGCHSLVVLNEKLYVVGGFDGTKMVSSTEIFDPRLCLWVDGVPMNQARGYSAAAVVDESIYVIGGVRSDEHIVDTVEHFKEGEGWQERNTRAISKRCFLSAIVL >Manes.12G151200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35957308:35960351:1 gene:Manes.12G151200.v8.1 transcript:Manes.12G151200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTSVHLFFSFSLLVGIAVAQQNQSHIISLGSKLSPTKPPNSWPSPSGHFEFGFYQQINGFAVGIWLVSQPENIVVWTANRDDSPVSANSTLELTKDGRLLIRNEQGPGRLIADVSDAIHAASMLDSGNFVLYGSNSSIIWQSFDFPTDTLLGGQNLYAHNRLVSSVSRSDHSSGRFFLRCQSDGNLVAYPVNSSGDSDDAYWNVLLSNNFAVQLSLDYEGRLYMKDGISNYTISKSVNSSAPAEKEVVIYRATIDADGIFRLYSHHFENSTASTMSTEWVAMEDQCDVKGFCNFNSYCSSSDSKGDCYCYPGFVWINQSEKFLGCTLNCTEDVCRKDLKIYYNITAMDKTWWGDFPLSVVPMTREDCTRSCQEDCNCGAVLYAGENCEKYKLPLRYGKRNRNISTVAFFKVIVGSSASHGDPEIVTEVKESLMVVLAISLGSITCVCFAFAVSSFFIYRNQVHCYRKISENGNLGLSEEFALRSFSYSELEKATNGFQEELGRGSYGAVYRGTLQGVGKNIAVKRLERVVEEGEREFRAEMTAIGRTHHRNLIQLLGFCVEGCRKLLAYEYMNNGSLADVLFKAEVRPVWRERFRIALDVARGILYLHEECEVQILHCNIKPQNILIDDSWTAKISDFGLAKLLPPSQASTDEGVSEIGGYLAPEWQRKTVISVKADIYSFGVVLLEIICCRSNIKIDVPPDEIILSGWVQSCFVAGELDKLVEDEDVDFVTLERMVKVGLWCTQDDPSLRPCMKDVVLMLEGTMEVPVLPVGEELD >Manes.15G188711.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31076548:31078274:1 gene:Manes.15G188711.v8.1 transcript:Manes.15G188711.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIFTSSWSFFYLRLQRGLSPSLFHTRRIHCFPSRFSSRSSSRSSSCSSVPKPEHSQPSSDLDDALASFNHFIHMRPLPPRFAFNRILSALMRMNQHPMIFPLSRKIDSLGSSPDFYSLTILIKSFCRLHHVNFALSVLGKMLKSGFNPDMFTFNTLINGFCMEGKIERAVEFFSELIAGGYQPDVCSYNTVISSACKLGEKDLAAGLLKQMAERGCEPNLVTYTAIIGAFCRDKLVDEALDMLRQMRIRGISPDVVTYTCLIDGLCKVDKWNQAVDLFKQMESQNISPTVVTFNILIDTLFKKGMTSDAERMIKMMLHRGIQPSVVTCCSMMDGYCERRQMDKAREVFDLMLCYNTLIKGLWVSGRHQTARKVFNDMLSHGQQPSIVTFSVMLDGLCRIGELLEAIRLFKTMENSPVKPNCVIYTILIDGMLRAGKFNDAKRLLARLFVIGLTPDAFTYSTIIKGLCKEGLLNYAYKIFRRMEDSGCPPDDCCYNVIIQGFLRHEDEAKASELIQEMIDRGFYADATTIEL >Manes.02G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4383096:4384208:1 gene:Manes.02G055100.v8.1 transcript:Manes.02G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQQTPERDPMQSEATLFDCSNKPPQNQNIDPQSLNSSSDLCKSSTPDRLKVPMAFKYSERYRSPTDLMVSPITKGLLARNRKGGGGAAALLPPSTNQPKVQEVSSESGLFPE >Manes.08G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32814763:32818141:1 gene:Manes.08G097200.v8.1 transcript:Manes.08G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSPISIQQTPLQSSPKPYKKSFVTTLMEAATLRTPSFKEDTYFISHLKSSEKKALQELREKLSTSCESDSECSMWGVPLLSSEEKADVILLKFLRARDFRVPDALNMLEKCLSWRKEFGADNICDEDLGFKELEGVVAYMHGYDREGHPVCYNAYGVFRDKEMYERIFGDEEKLRKFLQWRVQILERGIKLLHFKPGGVNSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSLLYSMFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEDIPVQYGGLSRPSDLQNGPPKPASEFTMKGGEKVNIQIEGIEVGATITWDIVVGGWDLEYGAEFVPNAEGSYTIAVEKTRKVAASEEAIHNSFTAREAGKMVLSVDNTASRRKKVAAYRYIVRKSTGV >Manes.08G097200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32814827:32817585:1 gene:Manes.08G097200.v8.1 transcript:Manes.08G097200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASSPISIQQTPLQSSPKPYKKSFVTTLMEAATLRTPSFKEDTYFISHLKSSEKKALQELREKLSTSCESDSECSMWGVPLLSSEEKADVILLKFLRARDFRVPDALNMLEKCLSWRKEFGADNICDEDLGFKELEGVVAYMHGYDREGHPVCYNAYGVFRDKEMYERIFGDEEKLRKFLQWRVQILERGIKLLHFKPGGVNSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSLLYSMFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEDIPVQYGGLSRPSDLQNGPPKPASEFTMKGGEKVNIQIEGIEVGATITWDIVVGGWDLEYGAEFVPNAEGSYTIAVEKTRKVAASEEAIHNSFTAREAGKMVLSVDNTASRRKKVAAYRYIVRKSTGV >Manes.16G026900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2901072:2903860:1 gene:Manes.16G026900.v8.1 transcript:Manes.16G026900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKTSHRKRAAESVLTKSDSKFRVEDEFDVDLSSDIKGIMSALHQIREKAAKDGQKKNEETISSVASEVRSMIDELKSKVEKDRQCFARALSKSSKECENCLKNETTKFQEIYEKFCKEKAAHLQALKDTISKFEEDKERLFMRYEQLRKKEKTMISEQEKACADKIAKLEESLKKKKQDDKTFSILRKTLGSFLENASDEDFPPDE >Manes.18G087000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8016624:8019175:-1 gene:Manes.18G087000.v8.1 transcript:Manes.18G087000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTANQIIHCKAAVSWEAGKPLVIEEVEVAPPQAMEVRIKILFTALCHTDVYFWEAKGQSPLFPRIFGHEAGGIVESVGEGVTELKPGDHVLPIFTGECKDCAHCKSEQSNMCELLRINTERGVMIEDGKSRFSIKGKPIYHFLGTSTFSEYTVVHSGCVAKINPSAPLDTVFVLSCGFCTGFGATVNVAKPPKGSTVAVFGLGAVGLAAAEGARFSGASRIIGVDVNPNKFEQGRKFGVTEFVNPKDYDKPVQEVLVEMTNGGVDRSIECTGNVQAMISAFESVHDGWGVAVLVGVPSKDDVFKTHPLNFLTERTLKGTFYGNFKPRTDIPLVVEKYMNKEIELEKFITHSVPFSDINTAFDLMLKGEGIRCLIRMEE >Manes.03G183601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30492969:30494460:-1 gene:Manes.03G183601.v8.1 transcript:Manes.03G183601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPLAKKSFVVDLGGPNFWMDCDYGKYISSSFKRSQCGSAPCSVAKATCGGGCLPGHHRPACNIETCHVLIHNKLTGGESDVGVISLDKISLQSTQGSKAGPSVAISDFIFACAYDRGVGNLARGANGMVGLGRDQIALPTQLSSAVGGSLRRNFAICLPSTSKSNGVIFFGDSPYVFYPGYNKSKAIDVSSRFQHTQLYINTGFTGSSVVGGTKISTVEPYSKLETTIHKALVKAFDEEIAAWNASKVAPVAPFTDCYTVGNMGMTVLGIGVPDIAFVLEGNKNLYWEMYGANSMVEVSRDVICLPFLDAGDETGIRTSIVMGAHQLQDNLLQFDIASNRLSFTSTLLLEEVECSNFKF >Manes.12G155800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36438263:36442141:1 gene:Manes.12G155800.v8.1 transcript:Manes.12G155800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPVDEKPPKRGTPPKVKENFLGGKALAVIKMTSKQVTCHKRKRAEAVSLYDNEELKSAVLKRAAEVESNLAPEFPSMIKLMLPSHVSGGFWLGLNKQFCDEHMPKQDTMIVLENESGMNYQAKYLVKKVGLSGGWRGFSIAHELLEGDVLVFQLVRPTKFKVYIVRVNGLEEVDGALGLLKLDSCIKQRSPENLSTATEAIEYQETEPHLMCNPDLNNQKYVNMANGANYGHLSDHSENEREDLGFDVLDGIRLSESAVDFNQVKSFDDFDIIINGLVINPELSRHLQSKYYDLCCSQRSFLHEQLLGGLNCKLAAGVIAETINIADAIRASKLTNPLESFATWKRTLKAFQTLGMNINFLLDRLDQLTSLAAKSRRHKEARIERVNAEEELRTLETKLLEIKETSSRLDIEIQQLEAKSENYELKFREVAEAPW >Manes.07G008500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:980113:988066:1 gene:Manes.07G008500.v8.1 transcript:Manes.07G008500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSEGAIGMPRTIHPASWSTTLILEEKLPYSFYISDQELLVPLETYLQKNKVSVEKVLSIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVAFSPDGRHLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSPDGKHLVSGSKAGELQCWDPHSGKPSGNPLVGHKKWITGISWEPVHLNAPCRRFVSASKDGDARIWDVSLRKCVICLTGHTLAITCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKTYSSPEEMKKVALERYNKMKGNGPERLVSGSDDFTMFLWEPAVSKHPKTRLTGHQQLVNHVYFSPDGQWVASASFDRSVKLWNGVTGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTLKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRMLKLWLG >Manes.07G008500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:980113:988067:1 gene:Manes.07G008500.v8.1 transcript:Manes.07G008500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVNNVMCQFTDREGTALGAPLYLPQNAGPQQLQQIVNKLLNNEEKLPYSFYISDQELLVPLETYLQKNKGHTEAVLSVAFSPDGRHLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSPDGKHLVSGSKAGELQCWDPHSGKPSGNPLVGHKKWITGISWEPVHLNAPCRRFVSASKDGDARIWDVSLRKCVICLTGHTLAITCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKTYSSPEEMKKVALERYNKMKGNGPERLVSGSDDFTMFLWEPAVSKHPKTRLTGHQQLVNHVYFSPDGQWVASASFDRSVKLWNGVTGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTLKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRMLKLWLG >Manes.07G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:980113:988066:1 gene:Manes.07G008500.v8.1 transcript:Manes.07G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETVNNVMCQFTDREGTALGAPLYLPQNAGPQQLQQIVNKLLNNEEKLPYSFYISDQELLVPLETYLQKNKVSVEKVLSIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVAFSPDGRHLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSPDGKHLVSGSKAGELQCWDPHSGKPSGNPLVGHKKWITGISWEPVHLNAPCRRFVSASKDGDARIWDVSLRKCVICLTGHTLAITCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKTYSSPEEMKKVALERYNKMKGNGPERLVSGSDDFTMFLWEPAVSKHPKTRLTGHQQLVNHVYFSPDGQWVASASFDRSVKLWNGVTGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTLKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRMLKLWLG >Manes.17G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30836314:30843639:1 gene:Manes.17G101200.v8.1 transcript:Manes.17G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAILGYLENHEEISDSGEFAAARGIDHAEIVNVIKSLHGFRFVDAQDIKRETWVLTEEGKKYAAEGSPEVQLFLAVPAEGSISKDELQKKINPGIFKIGCAQAGKNKWVEMGKNISRKVQHVEDQVKDLLLRIQDDKAIGKDDINSLKARKLIVLQTWKGYSVRKGPNYAPKRKKPATDLTRENLQRGDWKELEFKEYNFSAKGPPPECGHLHPLNKVKDRLKDIFRQLNFEEMPTNRYVESSFWNFDALFQPQQHPARDSHDTFFLQVPSTTRQLPEDYVELVKQVHESGGYGSRGYAYEWKRDEANKNLLRTHTTAISSRMLYALAQHAKEQAFTPKRYFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLSHLIGILEDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGFGKWVEIGNSGMFRPEMLRPMGFPEDVSVIAWGLSLERPTMILYGIDNIRDLFGHKVDLTLMKKNPLCLIGIR >Manes.12G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1631451:1638730:1 gene:Manes.12G018200.v8.1 transcript:Manes.12G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSNDSEEVTLQNGDLASHDVEEKEDSNGHISPEISINQEPEPEPEPEPEPEPEPEPAPTPAPEPEAVAEQIRHAESKEDMFVDATDDMQDNQSLEMDNGSTQDDSPIADKYTVTEDYKDETEGFRREVAILRQQLRDLTSKQTLSGDSDKVQEEIVGDASLSEMMSECFQLVKVSLEERLQTETMIRDLQLQIEAFNGKVQMEQNIEVMADRMLGSLGMVINQEELLDYSVMGKIAHVERNTSLLVEQYRWFLYEVDQLRQCLLEGGFNVGLQEEFGYGSVFSVARDELLELKKREEEVLEKISHLEDMNGKLIGELEKEKAMVETTNSEIEKVKVELDQEKNRCANTKEKLSMAVTKGKALVQQRDSLRQSLAEKTSELEKCLIELQEKSSVAETADLCKVELARSEHLVASLQETLSNRNVLLERCEEVFSEANVPEELQSMDISERLKWLVNLVASLQETLSEKNAIFENFEAIFSQTSVFKEIESMDMMERLKWLLNLVASLQEMLSQRNRILDSLEENLSQVNAPVEVNSMETLEKFKWIVEERNALKDNLVEFHKFKDALSLVDLPETASPSDLETRIGWLKESINQAKGEINMLQDEIVRTKEAANNEIDRLTAALLAESQEKEYIKMEMDALACKLEGVAKEAHQASSEKDQMVKLLLEGSGITESYSDVAELIERCFGKLKEQSVASFGISPADAEVFERIQNLLYVRDQELTLSEKLLEEDMLVRSEVSNLSNELRVASAELAALKEEKNSLQKDLQRSEEKSTLLREKLSLAVKKGKGLVQDRENLKLSLDEKNSEIEKLKIELHKQEYMVSGYRDQINRLSTDLEQIPKLEAELIDIKNQRDQLEQFLLESNNMLQRVIESVDQIVLPVNSVFKEPVEKVNWLAGYMNECQKSKSQAEEELDIVKENSTILASKLVDAQQTIKSLEDALSIADSRITQLKEEQREIEAAKESAEQDLQKSKDEAHAQTNKLAEACASRQSLEDALSLAENNISLVIKEREEAQLSKAATETELERVREEVAVQTGKLTEAYKTIKSLEDALSVAEANMSSLTEQNNNLQVGGTNLEYELKELKEKAESQASKLADASTTMRYLEDALSKADNDISVLKGEKRIAEQEISTLDSKLKACMDELAITSGSLENRSAELIHHFSDLQMHMRNESLLPIVRQHFEKEFENLRNMDIILRDIKKHLVNTGSELLPGHPIMEEDLHAKLFPDGLGNIGNIEMISDKVNAADVNNISLYFKKTVEGFQSRNAVLMDNFEGFSASIGEFIEALLGKLRATVDAVTIISERMESMKQKINSMEMHKEEQEKTIAVLEKDCRVLLSACSNATSKLQFEVKNNLLELSSIPELERLSRNMNLEATELDSNDVEQPQIFEDNQYEKVAENLLLVTGKVQTLTELFESTSNVAAATIEDLQKKLTESRAAYDKAVEERDLIQSRVSELEIDVEALQNSCRELKLKAADYQAIEQKLKEKEAELSNLHSDLLMKEQVAEEALMSASELKTLFDKIRSVETSFAKLEVEDVELHSSVDVQKLFYIIDSVPELHRQINVLSHEKGELLSTASMQLVEIEHLKEENGALITSKQESEKMKKEMSEITSVLEKIIDTLGGSEIVADQKIAGDQNSFGVQRLLSVVEKQIMALLWEVNNSKSQVQELDTRLLGSQKVIEELSTKLKLLEDSFRSKTVQPEIVQERSIFEAPPLPSGSEISEIEDVGGVGSNAISPVTSAAQLRTMRKGSTDHLVLSIDSESSSLINNAETDEDKGHVFKSLNTSGLIPKQGKSLADRIDGIWVSGGRVLMSRPRARLGLIAYWLFLHLWLLGTIL >Manes.09G011600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2244768:2246537:1 gene:Manes.09G011600.v8.1 transcript:Manes.09G011600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTRLDDAIATFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSLNILINCFCRLHLVYFGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPDVYTYNTIINGICKFGKTNVAIGLLKGMADRGCEPDVVTYGAIIDALCKDELVGEALELFSQMRNKGISPNVVTYNSLIHSVCKLGQKNQALALMNEMVEQNISPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISDQLKEALALLKEMVGRNISPSVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPDVVTYNSSMDGYCLCNQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAEELFDEMSHKGLVPNVVTYHTLIKGMFQAGRPQNAKELFKDMCSHGQQPNTVTFSIMIDGLCRQGNLDEALTLLKAMEKNQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYK >Manes.08G029700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2844180:2845565:-1 gene:Manes.08G029700.v8.1 transcript:Manes.08G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNVKSQVAPYPYQRLAGKVAIITGGASGIGATTVQLFHENGAKVVIADIQDNLGRDIVNKLGGKNVFYFHCDVRNEDEISKLVDTTVAKYGKLDVMFNNAGIIDRPFGSILDTTKPELDRLISVNLVGAFLGAKHAARVMVPQRHGCILFTSSACTAIGGLSTHAYAVTKHGIWGLVKNLAAELGKHGIRVNCVSPFGVVTAIGGTVLGEDELAVAEQALSEIGNLKGQILRADGVAKAALYLASDEANYVSGLNLLVDGGFSSVNPTMMKFFNPEEETEIETETETETESEPKVMLRSNL >Manes.05G021800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1940953:1946838:-1 gene:Manes.05G021800.v8.1 transcript:Manes.05G021800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNPELLSQCFLHTLSPAPEPRRAAEAKLTEAADHPNYGLAVLRLVSEPSVDEQIRHAAAVNFKNHLRSRWAPSSDSSLSPILDAEKDQIKTLIVSLMLSSTPRIQSQLSESLSLIGKHDFPKSWPTLLPELISNLDVASRNNDYVSINGVLGTANSIFKKFRYQYKTNDLLLELKYCLDNFAAPLLEIFLRTAVLIDSTVSSGGGSPVILKPLFESQRLCCRIFYSLNFQELPEFFEDNMDKWMNEFKKYLTTTYPALESSADGLAVVDDLRAAVCENISLYMEKNEEEFKGYVEGFALAIWTLLANVSQSSSRDRLAVTAIKFLTTVSMSVQHVLFSNEGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYRTQVTELVAVQIQNLLASYAANPVTNWKDKDCAIYLVVSLATKKAGGTSVSTDLVDVQKFFAQVILPELQSQDVNGFPMLKAGALKFFTVFRSLIPKPLAVQLFPDLVRFLGAESNVVHSYAASCIEKLLLVKDEGRLPRYNAVDITPFVQVLMSNLFNTMKFPESEENQYVMKCIMRVLGVAEISAEIAAPCIAGLTSILNEVCKNPKNPIFNHYLFESVAVLVRRACERDVSFIPAFETSLFPSLQIILANDVTEFLPYAFQLLAQLVELSRPPISPNYMQIFTLLLSPDSWKRNSNVPALVRLLQAFLQKAPQELNQEGRLSQVLGIFNRLVASPSTDEQGFYVLNTVIENLDYGVIAPYMVHIWNALFTRLQTKRTIKFVKSLLIFMSLFLVKHGSANLVDTMNAVQPNIFMVILEQFWIPNLKLITGPIEVKLAAVASGRLICESPALLDAAAVRHWGKMLDSIVTLLSRPEEDRVEDEPEMPDIAENVGYTATFVNLYNAGKKEEDPLKDIKDPKQFLVASLANLSARSPGRFPLIISENLDAANQTALLQLCSTYNCPIV >Manes.05G021800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1940623:1946719:-1 gene:Manes.05G021800.v8.1 transcript:Manes.05G021800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNPELLSQCFLHTLSPAPEPRRAAEAKLTEAADHPNYGLAVLRLVSEPSVDEQIRHAAAVNFKNHLRSRWAPSSDSSLSPILDAEKDQIKTLIVSLMLSSTPRIQSQLSESLSLIGKHDFPKSWPTLLPELISNLDVASRNNDYVSINGVLGTANSIFKKFRYQYKTNDLLLELKYCLDNFAAPLLEIFLRTAVLIDSTVSSGGGSPVILKPLFESQRLCCRIFYSLNFQELPEFFEDNMDKWMNEFKKYLTTTYPALESSADGLAVVDDLRAAVCENISLYMEKNEEEFKGYVEGFALAIWTLLANVSQSSSRDRLAVTAIKFLTTVSMSVQHVLFSNEGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYRTQVTELVAVQIQNLLASYAANPVTNWKDKDCAIYLVVSLATKKAGGTSVSTDLVDVQKFFAQVILPELQSQDVNGFPMLKAGALKFFTVFRSLIPKPLAVQLFPDLVRFLGAESNVVHSYAASCIEKLLLVKDEGRLPRYNAVDITPFVQVLMSNLFNTMKFPESEENQYVMKCIMRVLGVAEISAEIAAPCIAGLTSILNEVCKNPKNPIFNHYLFESVAVLVRRACERDVSFIPAFETSLFPSLQIILANDVTEFLPYAFQLLAQLVELSRPPISPNYMQIFTLLLSPDSWKRNSNVPALVRLLQAFLQKAPQELNQEGRLSQVLGIFNRLVASPSTDEQGFYVLNTVIENLDYGVIAPYMVHIWNALFTRLQTKRTIKFVKSLLIFMSLFLVKHGSANLVDTMNAVQPNIFMVILEQFWIPNLKLITGPIEVKLAAVASGRLICESPALLDAAAVRHWGKMLDSIVTLLSRPEEDRVEDEPEMPDIAENVGYTATFVNLYNAGKKEEDPLKDIKDPKQFLVASLANLSARSPGRFPLIISENLDAANQTALLQLCSTYNCPIV >Manes.05G021800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1940623:1947072:-1 gene:Manes.05G021800.v8.1 transcript:Manes.05G021800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNPELLSQCFLHTLSPAPEPRRAAEAKLTEAADHPNYGLAVLRLVSEPSVDEQIRHAAAVNFKNHLRSRWAPSSDSSLSPILDAEKDQIKTLIVSLMLSSTPRIQSQLSESLSLIGKHDFPKSWPTLLPELISNLDVASRNNDYVSINGVLGTANSIFKKFRYQYKTNDLLLELKYCLDNFAAPLLEIFLRTAVLIDSTVSSGGGSPVILKPLFESQRLCCRIFYSLNFQELPEFFEDNMDKWMNEFKKYLTTTYPALESSADGLAVVDDLRAAVCENISLYMEKNEEEFKGYVEGFALAIWTLLANVSQSSSRDRLAVTAIKFLTTVSMSVQHVLFSNEGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYRTQVTELVAVQIQNLLASYAANPVTNWKDKDCAIYLVVSLATKKAGGTSVSTDLVDVQKFFAQVILPELQSQDVNGFPMLKAGALKFFTVFRSLIPKPLAVQLFPDLVRFLGAESNVVHSYAASCIEKLLLVKDEGRLPRYNAVDITPFVQVLMSNLFNTMKFPESEENQYVMKCIMRVLGVAEISAEIAAPCIAGLTSILNEVCKNPKNPIFNHYLFESVAVLVRRACERDVSFIPAFETSLFPSLQIILANDVTEFLPYAFQLLAQLVELSRPPISPNYMQIFTLLLSPDSWKRNSNVPALVRLLQAFLQKAPQELNQEGRLSQVLGIFNRLVASPSTDEQGFYVLNTVIENLDYGVIAPYMVHIWNALFTRLQTKRTIKFVKSLLIFMSLFLVKHGSANLVDTMNAVQPNIFMVILEQFWIPNLKLITGPIEVKLAAVASGRLICESPALLDAAAVRHWGKMLDSIVTLLSRPEEDRVEDEPEMPDIAENVGYTATFVNLYNAGKKEEDPLKDIKDPKQFLVASLANLSARSPGRFPLIISENLDAANQTALLQLCSTYNCPIV >Manes.05G021800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:1940623:1947072:-1 gene:Manes.05G021800.v8.1 transcript:Manes.05G021800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNPELLSQCFLHTLSPAPEPRRAAEAKLTEAADHPNYGLAVLRLVSEPSVDEQIRHAAAVNFKNHLRSRWAPSSDSSLSPILDAEKDQIKTLIVSLMLSSTPRIQSQLSESLSLIGKHDFPKSWPTLLPELISNLDVASRNNDYVSINGVLGTANSIFKKFRYQYKTNDLLLELKYCLDNFAAPLLEIFLRTAVLIDSTVSSGGGSPVILKPLFESQRLCCRIFYSLNFQELPEFFEDNMDKWMNEFKKYLTTTYPALESSADGLAVVDDLRAAVCENISLYMEKNEEEFKGYVEGFALAIWTLLANVSQSSSRDRLAVTAIKFLTTVSMSVQHVLFSNEGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIATNYRTQVTELVAVQIQNLLASYAANPVTNWKDKDCAIYLVVSLATKKAGGTSVSTDLVDVQKFFAQVILPELQSQDVNGFPMLKAGALKFFTVFRSLIPKPLAVQLFPDLVRFLGAESNVVHSYAASCIEKLLLVKDEGRLPRYNAVDITPFVQVLMSNLFNTMKFPESEENQYVMKCIMRVLGVAEISAEIAAPCIAGLTSILNEVCKNPKNPIFNHYLFESVAVLVRRACERDVSFIPAFETSLFPSLQIILANDVTEFLPYAFQLLAQLVELSRPPISPNYMQIFTLLLSPDSWKRNSNVPALVRLLQAFLQKAPQELNQEGRLSQVLGIFNRLVASPSTDEQGFYVLNTVIENLDYGVIAPYMVHIWNALFTRLQTKRTIKFVKSLLIFMSLFLVKHGSANLVDTMNAVQPNIFMVILEQFWIPNLKLITGPIEVKLAAVASGRLICESPALLDAAAVRHWGKMLDSIVTLLSRPEEDRVEDEPEMPDIAENVGYTATFVNLYNAGKKEEDPLKDIKDPKQFLVASLANLSARSPGRFPLIISENLDAANQTALLQLCSTYNCPIV >Manes.06G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4909549:4918024:-1 gene:Manes.06G023700.v8.1 transcript:Manes.06G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRYMERTNSMARGKRALEGGEEQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRISGRSSPKRIEGPDGRNLQLQFRSRLSLPLFTGGKVEGEQGAAIHIVLLDANNGLVVTSGPEASVKLDVVVLEGDFNNEDDEDWSQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYHEGIRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNNQGIFTVEDFLRLYVRDSQKLRNILGSGMSNKMWDALVEHAKTCALGGKLYIYYPEDSRNVGVVFNNIYELNGLISGEQYFSADSLSDEQKVYVDTLVKKAYENWNQVVEYDGKSLMNFKQNKRSNAFQNDLQIGQIGYPDALDHQMQLTRQPASVTTEQASVHSGLQVGASGYNENMGAGFSSQSQLVNPHSRAQFDNTSFMSNEPLISSSHQNQSIRSDNSVGLALGPPQSSTSGFQVLGSSMQPPNLNPFDDWTNNRDKGVDDYFTEEEIRIRSHEMLENEDMQHLLRLFSMGGHASVNIPEDGFTFPSFMQSPMPNFDEDRTRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQLVELDDE >Manes.06G023700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4909549:4918024:-1 gene:Manes.06G023700.v8.1 transcript:Manes.06G023700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYALLAYLICHLYFCFVIAIYAEQVSEEVERALAKLGPPRISGRSSPKRIEGPDGRNLQLQFRSRLSLPLFTGGKVEGEQGAAIHIVLLDANNGLVVTSGPEASVKLDVVVLEGDFNNEDDEDWSQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGYHEGIRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKKLNNQGIFTVEDFLRLYVRDSQKLRNILGSGMSNKMWDALVEHAKTCALGGKLYIYYPEDSRNVGVVFNNIYELNGLISGEQYFSADSLSDEQKVYVDTLVKKAYENWNQVVEYDGKSLMNFKQNKRSNAFQNDLQIGQIGYPDALDHQMQLTRQPASVTTEQASVHSGLQVGASGYNENMGAGFSSQSQLVNPHSRAQFDNTSFMSNEPLISSSHQNQSIRSDNSVGLALGPPQSSTSGFQVLGSSMQPPNLNPFDDWTNNRDKGVDDYFTEEEIRIRSHEMLENEDMQHLLRLFSMGGHASVNIPEDGFTFPSFMQSPMPNFDEDRTRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQLVELDDE >Manes.02G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2840941:2843179:-1 gene:Manes.02G034300.v8.1 transcript:Manes.02G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPFHKEAKTEEESSSISQTDNLLPESANSNNNNSSSMQENDGIVNSEENTSSPHENCCSNESILIDSICKDETLLNSLWMDEPPLVDSSWNNNNPPAMGNTNYNGEMGYPYPSWEDNCSWLLDCQDFGVHDFGFDCFDNLEFKSLNTLEMGDKH >Manes.09G023900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4796136:4800826:1 gene:Manes.09G023900.v8.1 transcript:Manes.09G023900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHTYQEICFAWGLKGELRKLEDILLTVKAVLMDAEEKQVNDNQLRLWLAKLKDALYDAEDVLDEFECEDQRRRVLQLYGTTCKKVGRFFSSSNPIAFRFKMSAKVKQIREGLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVSRDDDKENIITLLQDSNEGAQISIIPIVGIGGLGKTSLAKFVYNDERVRNHFQLQIWVCVSEEFDIKILTEKIIKSTEDGMRHVEKLKKMEMDQLQRILREIIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPKAYELSGLPQDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFYNRELIQFWMAHGLLESAKQNEELEDIGSRYFQELGSRSFFQDFEIREDIWITCKMHDLVHDLALSLTQNEFLAITSRTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSSQSNLDSYLLRFQYLRMLELAHSKLEISLDWIGALKHLRYLHLHGNSRIKKLPNSICKLHNLQTLMLCEGIEELPSDIRYLINLRFLLFSTKQKCLPMNGIGCLTSLRFLGIAICENLEHLFEDMQGLKHLRTLIIGGCESLISLPQSMKYLTALEILAIGYCENLKLTLEEKGKSDKHYLAQFNLQKLILAGLPKLVDFPEWLLQGSSNTLQFLKLENCEHLKELPVCIQNIASLQQLEIEDCDELNERCERGKGPLKNCLLQLLLCLLNLEIQMKMWQLLNLVIFVCNIAL >Manes.09G023900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4796136:4800826:1 gene:Manes.09G023900.v8.1 transcript:Manes.09G023900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHTYQEICFAWGLKGELRKLEDILLTVKAVLMDAEEKQVNDNQLRLWLAKLKDALYDAEDVLDEFECEDQRRRVLQLYGTTCKKVGRFFSSSNPIAFRFKMSAKVKQIREGLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVSRDDDKENIITLLQDSNEGAQISIIPIVGIGGLGKTSLAKFVYNDERVRNHFQLQIWVCVSEEFDIKILTEKIIKSTEDGMRHVEKLKKMEMDQLQRILREIIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPKAYELSGLPQDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIEQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFYNRELIQFWMAHGLLESAKQNEELEDIGSRYFQELGSRSFFQDFEIREDIWITCKMHDLVHDLALSLTQNEFLAITSRTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSSQSNLDSYLLRFQYLRMLELAHSKLEISLDWIGALKHLRYLHLHGNSRIKKLPNSICKLHNLQTLMLCEGIEELPSDIRYLINLRFLLFSTKQKCLPMNGIGCLTSLRFLGIAICENLEHLFEDMQGLKHLRTLIIGGCESLISLPQSMKYLTALEILAIGYCENLKLTLEEKGKSDKHYLAQFNLQKLILAGLPKLVDFPEWLLQGSSNTLQFLKLENCEHLKELPVCIQNIASLQQLEIEDCDELNERCERGKGEDWSKIAHIPKIIINGSDIDSLDD >Manes.16G122400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32459052:32464594:-1 gene:Manes.16G122400.v8.1 transcript:Manes.16G122400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGTVKDQTSIGIAKVASNMAPELEVAIVKATSHDDDPANDKYTREILNLTSYSRGYVHACVAAVSKRLGKTRDWIVALKALMLIHRLLNEGDPLFQEEILYATRRGTRLLNMSDFRDEAHSSSWDQSAFVRTYAMYLDQRLELMLFERKGGSAGGSSHGDIERYGARGDFRSPPPRPYEYNDYGDYRGESGHGGYGMTRRTRSFGDMSEAVGRDGREERKAVTPLREMKPERIFGKMAHLQRLLDRFLSCRPTGLAKNSRMILIAVYPIVRESFQLYADMCEVLAVLLDKFFDMEYPDCVKAFEAYASSAKQIDELIAFYNWCKDTGVARSSEYPDVQKITSKLLETLEEFVRDRAKRPKSPERKEEAPPQPQEEEPVPDMNEIKALPPPENYTPPPPPQPEPKPQQPQVTEDLVNLRDDGVTADDQGNRLALALFAGPPANNGNGSWEAFPSNGETQVTSAWQNPAAEPDKADWELALVESASNLSKQKATLGGGFDPLLLNGMYDQGMVRQYTNTAQLSGGSSSSVALPGPGKSATPVLALPAPDGTVHTVNQDPFAASLSVPPPSYVQMADMEKKQHLLVQEQLVWQQYSKDGMRGESSLTKINGTGYYTAAPGPMPMMPYGMPPVNGMGPPAGYYYTPH >Manes.16G122400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32459052:32464594:-1 gene:Manes.16G122400.v8.1 transcript:Manes.16G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGTVKDQTSIGIAKVASNMAPELEVAIVKATSHDDDPANDKYTREILNLTSYSRGYVHACVAAVSKRLGKTRDWIVALKALMLIHRLLNEGDPLFQEEILYATRRGTRLLNMSDFRDEAHSSSWDQSAFVRTYAMYLDQRLELMLFERKGGSAGGSSHGDIERYGARGDFRSPPPRPYEYNDYGDYRGESGHGGYGMTRRTRSFGDMSEAVGRDGREERKAVTPLREMKPERIFGKMAHLQRLLDRFLSCRPTGLAKNSRMILIAVYPIVRESFQLYADMCEVLAVLLDKFFDMEYPDCVKAFEAYASSAKQIDELIAFYNWCKDTGVARSSEYPDVQKITSKLLETLEEFVRDRAKRPKSPERKEEAPPQPQEEEPVPDMNEIKALPPPENYTPPPPPQPEPKPQQPQVTEDLVNLRDDGVTADDQGNRLALALFAGPPANNGNGSWEAFPSNGETQVTSAWQNPAAEPDKADWELALVESASNLSKQKATLGGGFDPLLLNGMYDQGMVRQYTNTAQLSGGSSSSVALPGPGKSATPVLALPAPDGTVHTVNQDPFAASLSVPPPSYVQMADMEKKQHLLVQEQLVWQQYSKDGMRGESSLTKINGTGYYTAAPGPMPMMPYGMPPVNGMGPPAGYYYTPH >Manes.03G075900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12791759:12795743:-1 gene:Manes.03G075900.v8.1 transcript:Manes.03G075900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIMRSFLLPVWLLFLLMVEETSSAMRMEKKLREMEAALSFSQSLQVAETKQKNNGRVFYPIGYGGDPSGEEESSDAILNALNDAFQLQNGLTLVSGVNDLGGVVIDLQGGNYKISKPIRFPPSGGANVVVKGGTLRASDTFPGDRHLIEVWSPNSKPIDNSDSIDDLHGFRDSKDQITGIYYEDITFRDILFDSKYRGGGIFIIDSARIRINNCFFVHFTTQGILVQKGHETFISSCFLGQHSTVGGDKGEKDFSGTAIDLESNDNAITDVAVFSAAIGVLLRGQANILTGIHCYNKATFWGGIGILVKQFASLTRIDNCYLDYNSVVMEDPVQVHVTDGLFLGDGNVVLKAINGKISGVNIVNNMFNGDPENMVPIVKLDGIFTSIDQVVIENNNVKGMSLKSSAGKQTVGGKGTKWVADFSSLLVFPDRINHFQISFYSVEKELLPVYAVVTNVSSNVVVVESDKAVNGIVSVVVDQNNMAGDIVFM >Manes.01G209600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38084969:38085106:-1 gene:Manes.01G209600.v8.1 transcript:Manes.01G209600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAFSMRSMKVRSWQRCSKQVREQRTRLYIIWRCTVMLLCWHD >Manes.02G217650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:30703116:30704912:-1 gene:Manes.02G217650.v8.1 transcript:Manes.02G217650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNSTSDSNQTSTDTSTEITTSSKAKRKPVKPRSAVWDHFTKFINSEGELKGKCNYYKKEFYCDPKRNGTTALRNHLNSCKKHTHSIETRQAQLSLQKNASDNDVNDLGTLTTWKYDENAIRKTLVHMIIIDKLPFRFVEGEGFRSFMRVIFPRFRIPSRWTISRDCYYFFIEERSKLKSFFKKNCQRVSLTTYTWTSLQRINYMCITAHLIDNDWKLHKRIISFCPISSHKGEAVGRAIETCLLEWGLDKVFTIIIDNASSNDVAISYLKKKLANWGVSVANSTYLHMRCMAHIINLVVQDGLKDVNDSVMKVRDAVRYIRSSPARLKRFKECVLYEKIESKSSLCLDVPTRWNSTYLMLNTAQKYERAFERYESQDPMFKINMGENGIPDYYDWTQVRKMADMLAHFYELTLRISGSRYVTSNIFFSEVSDLAFILNQWINSNNLDMKSMGERMRVKFNKYWGDVDKMNKIIYFALVLDPRDKFEFMEYSFSQMYGKEKGVELFNNVKSCLFDLFNEYKKMYQPDVEQFNNNSSQQLSGSCTTTSSINPKPKFFLKHHYKKQKLEESGGFNSKTELEVYLSEAIQEEKEDFDVMK >Manes.03G192400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31080889:31082106:1 gene:Manes.03G192400.v8.1 transcript:Manes.03G192400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQTFEKPLYEPVKIHGGYALIQRNTSPPQTGERRGRRKQPEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGIQARTNFLYTDHGTFHSLLTPFDDVQLQPFFPPSQFFTAIPQAQGNKPTNQNNNPPKYETCLNESPNQSSGETTESACDNNFFFSHDDSNNSGYLSCIVPDNCLRPPSDPSSTNSKTNNYKAPSDHHQNFSSMITTTSIEHAFPPDTTTRSYPCFDELNSGFWGDDQKPWEFNSDELSAMINNNALMAGDVCMETFYPSTSNIPSYGSVPQATPSVSSCTPSYGDIVDFSYASLF >Manes.08G060500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7222576:7227021:-1 gene:Manes.08G060500.v8.1 transcript:Manes.08G060500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRTPNPLKFQGLSVVASRVCCAKSEIFSNYLKGNSFIYESPQVASRKCLFYHIGAIFSKFSMLTQSKSSQEGEESSESEDNLKDGFSELKVPASVNAIEERNAINGNENELASEFGLFDDDEHDVGEHSVKIMELLDAEANSGEKTSMKGSADAELFQAIISTPASYIHGVLDKWVEEGKDLDRSEISLAMLNLYKCKMYKRALQLSEWLEANKRLDFIEIDYVCRIDLIAKVSGLDKAEKYFEMIPKSFRGEVTYRTLLVNCATANNVNKTEQVFNKMKVLQFPITAFACNQLLHLYNRVDKKKMANVLLLMEKENVKPSLTTYRILIDSKGQSNDLAGMDQIVENMKAEGIQPDIVTKAVIVKHYASGGLKEKAEAILVEMEGGNLEKNRGVCRFLLTLYSLLGKVDEVGRVWKVCESSPLLKECWAAIGAWGRLKKIDEAEAVFNIMYKTRKKLSSRHYEGLLKVYLNHKMLAKGKDLVKRMADSGCHIVPSTWDYLVKLYVEAGEVEKADSMLQKATQQMQIRPLFRSYMAIMDEYAKRGDIHNAENVFYRMKRAGYVPRLRLFNALLQTYINAKAPAYGIRERMKADNMFPNQELSAKLHQVDSFRKSKLLNLLE >Manes.02G020100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1814777:1816140:1 gene:Manes.02G020100.v8.1 transcript:Manes.02G020100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGTYLTIKSPSISPALSQALRLLLAFSVWLRESRTYLSCVCERERERAMEGKEEDVRLGANKFTERQPIGTSAQTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFIATFLFLYITVLTVMGVSKSNNKCATVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIIMQCLGAICGAGVVKGFEGKRVYQTLGGGANVVAHGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPVCILFYFSVLFGLIVLGGTGVLQLDFCINYMRFENSLNYVVLNSI >Manes.02G020100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1814777:1817536:1 gene:Manes.02G020100.v8.1 transcript:Manes.02G020100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGTYLTIKSPSISPALSQALRLLLAFSVWLRESRTYLSCVCERERERAMEGKEEDVRLGANKFTERQPIGTSAQTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFIATFLFLYITVLTVMGVSKSNNKCATVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYIIMQCLGAICGAGVVKGFEGKRVYQTLGGGANVVAHGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDHAWDDHWIFWVGPFIGAALAAVYHQIVIRAIPFKARA >Manes.15G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2608992:2612980:1 gene:Manes.15G033200.v8.1 transcript:Manes.15G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFYKTIAKCPEALQSPHSGSKSALKDGFLAKHFASVHPASVTVNLGSAGVIAYSLDKQNPLLPRLFAVVDDIFCLFQGHIENVAVLKQQYGLSKTANEAIIVIEAYRTLRDRGPYPADQVVRDIQGKFAFMLYDKTSNSTFIAADADGSVPFFWGADSEDNLVLSDDVQILQQACGKSFAPFPKGCFHTTSGGLRSFEHPLNELKPIPRVDSSGQICGANFKVDAEIKKEGGGMPRVGSAYDWSSSY >Manes.04G124200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32496164:32497140:-1 gene:Manes.04G124200.v8.1 transcript:Manes.04G124200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLETQFSPFAARVRIALAEKGIQYEAREEDVTNKSSLLLQMNPVNKQIPVLIHNGRPICESMIIVQYIDEVWNHKSLFFPSDPYQRAHARFWADYVDKKIYPIGRMLWSSVGEAKEASKKDLIECFKILEGELGEKPYFGGDGFGFIDVALIPFYSLFYTFEILGNFSMAVECPKLLEWAKRCLQKESVSLSLYDEHKSYETALFMKKKLGF >Manes.15G109201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8737544:8739170:1 gene:Manes.15G109201.v8.1 transcript:Manes.15G109201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLDFFFIAAISLLCPFFLAKLLSVATADSHGHDFKRRIVDYDDLSFRAESNDYAWEKERKLGFVLEILVVDEVSESVENKLAQQESSRQCLGSSNLIEDGKTSNNQLPREEIEIVDLTAEDSEDGAACECEDHLVDKSHQKEIEMNFINKELGMNKSEVNCGIDGRKKELIDDDDDWEGVERSELQRLFGAAVAYVGSIDNISSFSTELMLTFYGLHQVAIEGPCHETPPMPLKFSSRSKWNAWQQLGNMR >Manes.15G112900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8991744:8992124:-1 gene:Manes.15G112900.v8.1 transcript:Manes.15G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSKSHICMATIVFYTCILVPLHQLKQSLLSFLNTLLYGQSSYVSSSSSSSRIVLPCLPPAARFEDLQKMSHSDMCSICLVDFRSEDLVTQLSSCKHVFHLDCIDKWLHCHQFTCPLCRSSFLFH >Manes.10G090601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23493134:23502436:1 gene:Manes.10G090601.v8.1 transcript:Manes.10G090601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAEAIQRIARISAHLLPPNFQMEGSFVLKGGDCMAKRRTPGLKVTILGTTEGIGQPLAMLVKMNPLFSFLHLYDVVNAPRVTTDISRMNTSADISSMNTIAVVRNFLGQPQLGKALKGMNLVIIPTGVPKKLGMIRDDLFNINVGIVTTLKGIAKCCPMEIVNLISNIVNSVVSIATEVFMKAGTYDPKQLMGITMLDVAEVLGLHPREVDVPVVGGHARVTILPLLSQCCCLITGACAVKCLLKPEETEYLTKRSQDGGTEVVQAKAGTGSATLSMAYAALTELPFFATKVRLGRNGAEEIYQLGPINEYERIGVEKAKKELEASI >Manes.10G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1320738:1326089:-1 gene:Manes.10G012700.v8.1 transcript:Manes.10G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYRVCFCFRRRFKLAVAEAPEEIKALFDRYSENGLMTVDHLRRFLVEIQKQENATTEDAQAIFDQLHELKHLNVFHRRGLNLEAFFKYLFGDVNLPIDVKRGVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNSTKDNVDVLHGRTLTTPVELIKCLRSIKEHAFSASEYPVVITLEDHLTPDLQAKVAEMITQTFGDILFSPGSECLKEFPSPESLKKRIIISTKPPKEYLEAREIKERENDSQSGKAAPDEEAWGKEISDLKSSIRSEDKNVLDEDNNDDEDLPEGESKSQHIIAPEYKHLIAIHAGKPKGGIEECLKVDPDKVRRLSLSEQQLEKAAETHGKEIVRFTQRNILRVYPKGIRVDSSNYNPMIGWMHGAQMVAFNMQGYGRSLWLMHGMFKANGGCGYVKKPDFLLKCDPHGGIFDPRAKLPVKTTLKVKVYMGEGWYYDFHRTHFDAFSPPDFYARVGIAGVPADSIMKKTKTLEDNWIPVWNEEFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVSELRKGIRAIPLHDNKGVKYNSVKLLMRFDFV >Manes.10G044500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4679255:4681246:1 gene:Manes.10G044500.v8.1 transcript:Manes.10G044500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGFLNLFTLLASIPIIGGGLWMARSNTTCESFLQTPLLVVGFVVLIVSLAGFIGACFHVAWALWVYLVVMLFLIATLMGLTIFGFVVTSQGGGVDVAARVYKEYRLQDYSPWLRDRVKDPDYWRTIRSCILGSNVCSKLASWTPLDYLQRDMSPIQSGCCKPPTSCNYNMATLVPQDPDCYKWNNSPTLLCYECDSCKAGVLEDVRRDWHKLSVLNIVMLVLLIGIYSIGCCAFKNTRRAETDYAYGENRMTKVKPRWDYYWWRWWHDKREQLY >Manes.13G091900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24929541:24933867:-1 gene:Manes.13G091900.v8.1 transcript:Manes.13G091900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSPKKRPGCGLLNVVFGGRSFWSRRHISSGKLHMANGKTSASTKQKRRRSGSNEVALLLDNAVPISDGPPNPITKDLDHPKISPVQHHHHQQQSPQHQQNYGRKPAEEARKVSPVQVHVSNQNYGYPDQGRKLHKEAVGVSGELECMINDRQKTKGNSTLVRASSSNVMLFGNLGNLRQSGVGGGGGGGGGNTNSYNVLDYLPKTAREAKETSKYPNSVMGNVVKKPHEVEDQKPSSDQPGGSLSRSLSTRMDPEQLKIMGNEDYKNGNFAEALAWYDAAISIAPNKASYRSNKSAALTALGRLIEAVFECREAIRIEPHYHRAHHRLANLYLRLGDGEKAMYHYKNSGTEADHQDIAKAEALQVHLNKCTEARRLRDWNTLIKETQSAISSGADSAPQAEALIKLRRHQEADEALTKGPNFQDDECTKYFGPIGNANLLVVRTQVDMAVGRFDDALLEAQRATKLDSNNKEANMVMRKAKAVAAARSTGNQLFKAAKFYEASNAYGEGLEHDPYNSVLLCNRAACRSKLGQYEKAVEDCSIALNLRPNYTKARLRRADCYAKLEKWEASIEDYEILHKEAPDDEEVREGLSEAKAQLEKHWSRDMEDKMKNDAD >Manes.13G091900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24929541:24933867:-1 gene:Manes.13G091900.v8.1 transcript:Manes.13G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSPKKRPGCGLLNVVFGGRSFWSRRHISSGKLHMANGKTSASTKQKRRRSGSNEVALLLDNAVPISDGPPNPITKDLDHPKISPVQHHHHQQQSPQHQQNYGRKPAEEARKVSPVQVHVSNQNYGYPDQGRKLHKEAVGVSGELECMINDRQKTKGNSTLVRASSSNVMLFGNLGNLRQSGVGGGGGGGGGNTNSYNVLDYLPKTAREAKETSKYPNSVMGNVVKKPHEVEDQKPSSDQPGGSLSRSLSTRMDPEQLKIMGNEDYKNGNFAEALAWYDAAISIAPNKASYRSNKSAALTALGRLIEAVFECREAIRIEPHYHRAHHRLANLYLRLGDGEKAMYHYKNSGTEADHQDIAKAEALQVHLNKCTEARRLRDWNTLIKETQSAISSGADSAPQIYALQAEALIKLRRHQEADEALTKGPNFQDDECTKYFGPIGNANLLVVRTQVDMAVGRFDDALLEAQRATKLDSNNKEANMVMRKAKAVAAARSTGNQLFKAAKFYEASNAYGEGLEHDPYNSVLLCNRAACRSKLGQYEKAVEDCSIALNLRPNYTKARLRRADCYAKLEKWEASIEDYEILHKEAPDDEEVREGLSEAKAQLEKHWSRDMEDKMKNDAD >Manes.01G101200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30160147:30164312:1 gene:Manes.01G101200.v8.1 transcript:Manes.01G101200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSSNLSRKCTAAGALDGEKKKQKLSEVRTGLEDAESLIRKMDLEARSLQPNVKAMLLAKLREYKSDLNNLKTEVKRIASGNLNPAARDQLLESGMADALMASADQRSRLMVSTERLNQSSDRIKDGRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNIGKSKKVLNAMLRRMNRNKWIIGAVIAVLLVAIVLILYFKLK >Manes.01G101200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30160151:30164253:1 gene:Manes.01G101200.v8.1 transcript:Manes.01G101200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSSNLSRKCTAAGALDGEKKKQKLSEVRTGLEDAESLIRKMDLEARSLQPNVKAMLLAKLREYKSDLNNLKTEVKRIASGNLNPAARDQLLESGMADALMASADQRSRLMVSTERLNQSSDRIKDGRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNIGKSKKVLNAMLRRMNRNKWIIGAVIAVLLVAIVLILYFKLK >Manes.01G101200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30160151:30164253:1 gene:Manes.01G101200.v8.1 transcript:Manes.01G101200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSSNLSRKCTAAGALDGEKKKQKLSEVRTGLEDAESLIRKMDLEARSLQPNVKAMLLAKLREYKSDLNNLKTEVKRIASGNLNPAARDQLLESGMADALMASADQRSRLMVSTERLNQSSDRIKDGRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNIGKSKKVLNAMLRRMNRNKWIIGAVIAVLLVAIVLILYFKLK >Manes.01G101200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30160025:30164334:1 gene:Manes.01G101200.v8.1 transcript:Manes.01G101200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSSNLSRKCTAAGALDGEKKKQKLSEVRTGLEDAESLIRKMDLEARSLQPNVKAMLLAKLREYKSDLNNLKTEVKRIASGNLNPAARDQLLESGMADALMASADQRSRLMVSTERLNQSSDRIKDGRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNIGKSKKVLNAMLRRMNRNKWIIGAVIAVLLVAIVLILYFKLK >Manes.02G226001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36408019:36432019:1 gene:Manes.02G226001.v8.1 transcript:Manes.02G226001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMEPLHNDNVITCKAAVAWGPGEPLVLEEVQLSPPQPNEIRIKVVCTSLCRSDFTAWESQAIFPRIFGHEGSGIVESIGGGVTEFEEGDHVLTVFTGECRTCRQCTHTRKNNMCQVLGLERRGVMHSDQRTRFSIKGKPIYHYCAVSSFSEYTVVHTGCAVKVSPAAPLEKICLLSCGVAAGLGAAWNVADVSEGSTVVIFGLGTVGLSVAQGAKLRGAAQIIGVDSNPEKHEKAKAFGITQFINPNDCKEPIQQVVKSITNGGADYSFECVGDTGMITTALQSCCDGWGLTVTLGVPKVKPEISAHYGLFLSGRTLKGSLFGGWKPKSQLPSLVDMYMKQEIKVDDFITHNLPFEDINTAFNLMKEGKCLRCVIHMPK >Manes.S049716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1654697:1654831:1 gene:Manes.S049716.v8.1 transcript:Manes.S049716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.02G088000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6938020:6939624:-1 gene:Manes.02G088000.v8.1 transcript:Manes.02G088000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLCCSLAPLPTAISKFISHQTYLSMLDQNCNTMKDLQKLHAQLIKTGLAKHPIAASRLLAFCASSAGDINYAYLVFTQIQNPNLFIWNTIIRGFSQSSTPQIAIFLFMDMLLTSPIQPQRLTFPSVFKAYAQLNLASEGAQLHGRVIKMKLDNDPFIRNTILNMYANSGFLSEARKVFAGGIDFDVVAWNIMIMALAKWGLIDESRRLFDNMLSRNTISWNSMISGYVRNGRFIEALEMFRKMQEESIKPSEFTMVSLLNACACLGAIRQGEWIHDYMVKNKFELNAIVVTAIIDMYSKCGSINDALQVFKSAPKKGLSCWNATILGLAMNGQDNEAIQLFSVLESTNLKPDHVSFIGVLTACNHSGLVDKAKDYFLLMKEKYKVKPSIKHYSCMVDVLGRVGLLEEAEELIRNMPMDPDAIIWGSLLWSCCKHGNIEMANRVAKHLIELDSSESSSFVLMANVYAASSHFEEAIEQRLSMKEKQIEKEPGCSLIEVNGEVHEFVAGGRLHPKAKEIYHVLDALKLIIKETE >Manes.11G037200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3602681:3605746:1 gene:Manes.11G037200.v8.1 transcript:Manes.11G037200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYLIKDYHMGVAQATTTIFLWNAATNFMPLLGAFISDSYLGRFLTIGLGSISSLLGMILMWLTAMIPKLRPSPCNQMTQTSCTTPTAGQMSMIISSMGLISTGAGGIRPCSLAFGADQLDNRADPKNERVLESYFGWYYASTAIAVLIALTAIVYIQDHHGWRVGFGVPAILMFLSAFLFFIASPLYLKQKASTSLFTGFAQVLVAAYKNRKIPFPPRDSDSKYHHKKNSESIAPTDNLRFLNKACIIRNPEQDLALDGSASNPWSLCTVERVEELKAIIRVIPIWSTGIMMSINVSQGTFQLLQASSMDRHLTSNFQIPAGSFPTFVVISLAAWVVLYDRAILPLASKIKGKPVRLGVKLRMGIGLFLSCIAMVVAGIVENIRRRRAIRDGYLNNPQAVLGMSALWLVPQFCLNGLAEAFNGIGQTEFYYSEFPKSLSSIAGALFGLGMAVANLLASVILSAVDHLTSKGGKDGWVPNNINKGHYDNYYWLLAIMSAVNLLYFLFCSWAYGPCKEQVIKVSDEGNGFDKEEEEMSILGTMIKEEGKVVEGEELSRL >Manes.11G037200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3602307:3605746:1 gene:Manes.11G037200.v8.1 transcript:Manes.11G037200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMEELAASEQGELMTTHQHTPKRRKGGLITMPFIIANEAFEKVASYGLVPNMVFYLIKDYHMGVAQATTTIFLWNAATNFMPLLGAFISDSYLGRFLTIGLGSISSLLGMILMWLTAMIPKLRPSPCNQMTQTSCTTPTAGQMSMIISSMGLISTGAGGIRPCSLAFGADQLDNRADPKNERVLESYFGWYYASTAIAVLIALTAIVYIQDHHGWRVGFGVPAILMFLSAFLFFIASPLYLKQKASTSLFTGFAQVLVAAYKNRKIPFPPRDSDSKYHHKKNSESIAPTDNLRFLNKACIIRNPEQDLALDGSASNPWSLCTVERVEELKAIIRVIPIWSTGIMMSINVSQGTFQLLQASSMDRHLTSNFQIPAGSFPTFVVISLAAWVVLYDRAILPLASKIKGKPVRLGVKLRMGIGLFLSCIAMVVAGIVENIRRRRAIRDGYLNNPQAVLGMSALWLVPQFCLNGLAEAFNGIGQTEFYYSEFPKSLSSIAGALFGLGMAVANLLASVILSAVDHLTSKGGKDGWVPNNINKGHYDNYYWLLAIMSAVNLLYFLFCSWAYGPCKEQVIKVSDEGNGFDKEEEEMSILGTMIKEEGKVVEGEELSRL >Manes.07G120900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32533897:32539503:1 gene:Manes.07G120900.v8.1 transcript:Manes.07G120900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGVDRNIITHSTCTCLSLVCKLRHLMPFCSWIHYLYSADMDSTTSQRLIPLGHSKIIHLVRHAQANHNVAGKKDHDALLSPEFFDASLSSLGLEQVSNLSNHVYASGLLKKIDLVITSPLLRAMQTAVGVFGRERSSGLKCPPIIAVELCRERTGVHPCDKRKTIREYSSLFPQIDFSLIESDDDNLWKADVRETEEEVAARGLKFMNWLKTRHETEIAVVTHHRFLQYTLSAIRNDFHPSLRSEICKEFSNCELRSMIMVDKRCRMVNSPTTDSNGERA >Manes.03G075800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12832764:12835259:-1 gene:Manes.03G075800.v8.1 transcript:Manes.03G075800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNMQRNALVLFLQFCVLIIFLTHVNGETSPFDHHFHDQMRKMQAFKSSIIRRELASSPSPSPSPSYYSSPAPSPQGVDVHAPRVFEATSFGADPSGKSDSTAALEKAIAAAFFQGPKERFLMDGITNLGGAQIHLQGGIYKISQPLRLPAGGAGNLMISGGTLLASDDFPTDGYLIDLSPPSSAVASSASYNYEYTTLKDLMLDCNFRGGGISVINSLRTSIDNCYITHFNTNGILVQQGHETYIRNSFLGQHITAGADPGERNFSGTAINLMGNDNAVTDVVIFSAAIGIMISGQGNTISGVHCYNKATGFGGTGIYLKLPNLTQTRILNCYLDYTGIVAEDPNQLTITNSFFLGDAYIVLKSINGVAKGITIVDNMFSGSDKGIDIVQLEQSNGRFKNIDQVVVDRNSVQGMNIRTTIAKESVQGNGTSWTVDFGPILLFPDLINHVQYSLSVNGTSFPSYALRNISGNKVVIESDVAISGRAFVAVNQAVTI >Manes.03G075800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12832764:12835259:-1 gene:Manes.03G075800.v8.1 transcript:Manes.03G075800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNMQRNALVLFLQFCVLIIFLTHVNGETSPFDHHFHDQMRKMQAFKSSIIRRELASSPSPSPSPSYYSSPAPSPQGVDVHAPRVFEATSFGADPSGKSDSTAALEKAIAAAFFQGPKERFLMDGITNLGGAQIHLQGGIYKISQPLRLPAGGAGNLMISGGTLLASDDFPTDGYLIDLSPPSSAVASSASYNYEYTTLKDLMLDCNFRGGGISVINSLRTSIDNCYITHFNTNGILVQQGHETYIRNSFLGQHITAGADPGERNFSGTAINLMGNDNAVTDVVIFSAAIGIMISGQGNTISGVHCYNKATGFGGTGIYLKLPNLTQTRILNCYLDYTGIVAEDPNQLTITNSFFLGDAYIVLKSINGVAKGITIVDNMFSGSDKGIDIVQLEQSNGRFKNIDQVVVDRNSVQGMNIRTTIAKESVQGNGTSWTVDFGPILLFPDLINHVQYSLSVNGTSFPSYALRNISGNKVVIESDVAISGRAFVAVNQAVTI >Manes.05G206200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33291215:33296042:1 gene:Manes.05G206200.v8.1 transcript:Manes.05G206200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVESILDIPVQDPPEEEFSSADLTWTKLGTADRHDDVALIPYERVDTFIIGECSNVECPTRFHIERGRKRSRGSLKEYKDDEYLEYKLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNDRRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNAKVDSLASQYVQKLGMIIRRSTHELDLDDQASIRMWVERNKKSIFFYQDTSEADPFILGIQTEWQLQQMIRFGHRSVVAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSSAKPDVAKWMRALLSRASSVEPGWKISGFFIDDAAMEIDPIRDIFGCPILFSLWRVRRSWLRNIVKKCGNIEVQREMFKRLGKIVYGIWGGLDTWAALEELTHDFVDQTAFIQYFKASWVPKIEMWLSTMRALPLASQEASGAIEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFLNVKEEYVASTSWHRALQIADDAVTVDDKDQLFAKVSSQKDNNLTHTVWNPGSEFAFCDCAWSLQGNLCKHVIKVNMMCENRQGYQSSMSFQSFKEILTSLRKKQTDDSVSLDLLMAWTHQMLEQIKQLVELNSSSNISSVVNNMPLKWVSKKGRTSFGIPSSIPILPSTSKTSTKTVAARPRNRKRKRL >Manes.05G206200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33291215:33296019:1 gene:Manes.05G206200.v8.1 transcript:Manes.05G206200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVESILDIPVQDPPEEEFSSADLTWTKLGTADRHDDVALIPYERVDTFIIGECSNVECPTRFHIERGRKRSRGSLKEYKDDEYLEYKLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNDRRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNAKVDSLASQYVQKLGMIIRRSTHELDLDDQASIRMWVERNKKSIFFYQDTSEADPFILGIQTEWQLQQMIRFGHRSVVAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSSAKPDVAKWMRALLSRASSVEPGWKISGFFIDDAAMEIDPIRDIFGCPILFSLWRVRRSWLRNIVKKCGNIEVQREMFKRLGKIVYGIWGGLDTWAALEELTHDFVDQTAFIQYFKASWVPKIEMWLSTMRALPLASQEASGAIEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFLNVKEEYVASTSWHRALQIADDAVTVDDKDQLFAKVSSQKDNNLTHTVWNPGSEFAFCDCAWSLQGNLCKHVIKVNMMCENRQGYQSSMSFQSFKEILTSLRKKQTDDSVSLDLLMAWTHQMLEQIKQLVELNSSSNISSVVNNMPLKWVSKKGRTSFGIPSSIPILPSTSKTSTKTVAARPRNRKRKRL >Manes.05G206200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33291215:33296019:1 gene:Manes.05G206200.v8.1 transcript:Manes.05G206200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVESILDIPVQDPPEEEFSSADLTWTKLGTADRHDDVALIPYERVDTFIIGECSNVECPTRFHIERGRKRSRGSLKEYKDDEYLEYKLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNDRRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNAKVDSLASQYVQKLGMIIRRSTHELDLDDQASIRMWVERNKKSIFFYQDTSEADPFILGIQTEWQLQQMIRFGHRSVVAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSSAKPDVAKWMRALLSRASSVEPGWKISGFFIDDAAMEIDPIRDIFGCPILFSLWRVRRSWLRNIVKKCGNIEVQREMFKRLGKIVYGIWGGLDTWAALEELTHDFVDQTAFIQYFKASWVPKIEMWLSTMRALPLASQEASGAIEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFLNVKEEYVASTSWHRALQIADDAVTVDDKDQLFAKVSSQKDNNLTHTVWNPGSEFAFCDCAWSLQGNLCKHVIKVNMMCENRQGYQSSMSFQSFKEILTSLRKKQTDDSVSLDLLMAWTHQMLEQIKQLVELNSSSNISSVVNNMPLKWVSKKGRTSFGIPSSIPILPSTSKTSTKTVAARPRNRKRKRL >Manes.05G206200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33291215:33296042:1 gene:Manes.05G206200.v8.1 transcript:Manes.05G206200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVESILDIPVQDPPEEEFSSADLTWTKLGTADRHDDVALIPYERVDTFIIGECSNVECPTRFHIERGRKRSRGSLKEYKDDEYLEYKLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNDRRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNAKVDSLASQYVQKLGMIIRRSTHELDLDDQASIRMWVERNKKSIFFYQDTSEADPFILGIQTEWQLQQMIRFGHRSVVAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSSAKPDVAKWMRALLSRASSVEPGWKISGFFIDDAAMEIDPIRDIFGCPILFSLWRVRRSWLRNIVKKCGNIEVQREMFKRLGKIVYGIWGGLDTWAALEELTHDFVDQTAFIQYFKASWVPKIEMWLSTMRALPLASQEASGAIEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFLNVKEEYVASTSWHRALQIADDAVTVDDKDQLFAKVSSQKDNNLTHTVWNPGSEFAFCDCAWSLQGNLCKHVIKVNMMCENRQGYQSSMSFQSFKEILTSLRKKQTDDSVSLDLLMAWTHQMLEQIKQLVELNSSSNISSVVNNMPLKWVSKKGRTSFGIPSSIPILPSTSKTSTKTVAARPRNRKRKRL >Manes.05G206200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33291215:33296039:1 gene:Manes.05G206200.v8.1 transcript:Manes.05G206200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVESILDIPVQDPPEEEFSSADLTWTKLGTADRHDDVALIPYERVDTFIIGECSNVECPTRFHIERGRKRSRGSLKEYKDDEYLEYKLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNDRRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNAKVDSLASQYVQKLGMIIRRSTHELDLDDQASIRMWVERNKKSIFFYQDTSEADPFILGIQTEWQLQQMIRFGHRSVVAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSSAKPDVAKWMRALLSRASSVEPGWKISGFFIDDAAMEIDPIRDIFGCPILFSLWRVRRSWLRNIVKKCGNIEVQREMFKRLGKIVYGIWGGLDTWAALEELTHDFVDQTAFIQYFKASWVPKIEMWLSTMRALPLASQEASGAIEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFLNVKEEYVASTSWHRALQIADDAVTVDDKDQLFAKVSSQKDNNLTHTVWNPGSEFAFCDCAWSLQGNLCKHVIKVNMMCENRQGYQSSMSFQSFKEILTSLRKKQTDDSVSLDLLMAWTHQMLEQIKQLVELNSSSNISSVVNNMPLKWVSKKGRTSFGIPSSIPILPSTSKTSTKTVAARPRNRKRKRL >Manes.05G206200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33291215:33296042:1 gene:Manes.05G206200.v8.1 transcript:Manes.05G206200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVESILDIPVQDPPEEEFSSADLTWTKLGTADRHDDVALIPYERVDTFIIGECSNVECPTRFHIERGRKRSRGSLKEYKDDEYLEYKLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALIIYNDRRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNAKVDSLASQYVQKLGMIIRRSTHELDLDDQASIRMWVERNKKSIFFYQDTSEADPFILGIQTEWQLQQMIRFGHRSVVAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSSAKPDVAKWMRALLSRASSVEPGWKISGFFIDDAAMEIDPIRDIFGCPILFSLWRVRRSWLRNIVKKCGNIEVQREMFKRLGKIVYGIWGGLDTWAALEELTHDFVDQTAFIQYFKASWVPKIEMWLSTMRALPLASQEASGAIEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFLNVKEEYVASTSWHRALQIADDAVTVDDKDQLFAKVSSQKDNNLTHTVWNPGSEFAFCDCAWSLQGNLCKHVIKVNMMCENRQGYQSSMSFQSFKEILTSLRKKQTDDSVSLDLLMAWTHQMLEQIKQLVELNSSSNISSVVNNMPLKWVSKKGRTSFGIPSSIPILPSTSKTSTKTVAARPRNRKRKRL >Manes.03G066613.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8570125:8574132:-1 gene:Manes.03G066613.v8.1 transcript:Manes.03G066613.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLAGSNWPAIGLCFFFFFLIASSAKIPTSKIPSTTKKSSALGVQLQVTDKQVVIDNGIVQVNFSSPGGDVIGIKYKEMDNVLETKNYENNRGYWDVVWSRPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVLLTNPVNPQQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKATTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWFYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKA >Manes.07G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33913278:33916793:1 gene:Manes.07G137300.v8.1 transcript:Manes.07G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNTNVWKNVIGAGIFPLGMSPATGIDVPLLPEHKLTEKRGASVSGAVFNVSTTVIGAGIMSIPATLKVLGVIPAFVLIVVIAWLADISAEFLMNYTHSGDSTTYAGVMREAFGPVGSLAVQICVMITNLGCLIIYLIIIGDVLSGNVHEGSVHLGVLQEWFGIHWWNSRAFALLAIVIFVMLPLVSFRRLESLRFSSAIAVLLAVVFVGISSVLAISAFIEGKTNSPRLLPQLDNQVSFFDLFTAVPVIVTAFTFHFNVHPIGFELGKPSDMISAVRISLVICAAIYFSIGIFGYLLFGESIMADILVNFDRSSDTATGALLNDIVRLSYALHLMLVFPLLNFSLRANIDEFLFPNKPLLAKSTTRFVSLTLILLIFTYLLAIAIPNIWYFFQFVGSTSAVCLAFIFPGAIVLRDTHRISTTKDRIIAQIMIILAVVTSTVAISTNIYSMMRNKS >Manes.13G118801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32551329:32551647:1 gene:Manes.13G118801.v8.1 transcript:Manes.13G118801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHAIRETKTIDAQGNVDCRSSAVGQCQEIRNVQMTHQPHPKENSKTSGGVLSNAAASIASTLESARDAIIRN >Manes.04G029100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3471400:3478616:1 gene:Manes.04G029100.v8.1 transcript:Manes.04G029100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNSSASNLPDSNGRSFASSFSGQSGAGSPVFHHTGTIQGLHNIHGSFNVPNMPGTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNIPVALSQLSHGSSHGHSGLTNRGGINVVGNPGFSSNTNGVGGSIPGILPTSAGIGNRNAVQGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLATRLNLTANSGSGNLSVQGQNRLMSGVLPQGSPQVISMLGNSYPSAGPLSQSHVQAVNSLSSMGMLNDVNSNDSSPFDINNDFPQLTSRPSSAGGPQGQMGSLRKQGIGVSPIVQQNQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTMSMIQSQHFPMSRSAGFNLGGSYSSYRPQQQQQQHAPAVSSSGVTFSSNHGPPGIGLRPLNSPNTVSGMGSYDQLIQQYHQHQNQSQFRLQQISAVNQPFRDQTMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPDFSVPQCYYAKQPPVLHQGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPALPQH >Manes.04G029100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3473102:3478189:1 gene:Manes.04G029100.v8.1 transcript:Manes.04G029100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDCYLLGDMLLFLCLIVPWLSKSSLNSSASNLPDSNGRSFASSFSGQSGAGSPVFHHTGTIQGLHNIHGSFNVPNMPGTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNIPVALSQLSHGSSHGHSGLTNRGGINVVGNPGFSSNTNGVGGSIPGILPTSAGIGNRNAVQGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLATRLNLTANSGSGNLSVQGQNRLMSGVLPQGSPQVISMLGNSYPSAGPLSQSHVQAVNSLSSMGMLNDVNSNDSSPFDINNDFPQLTSRPSSAGGPQGQMGSLRKQGIGVSPIVQQNQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTMSMIQSQHFPMSRSAGFNLGGSYSSYRPQQQQQQHAPAVSSSGVTFSSNHGPPGIGLRPLNSPNTVSGMGSYDQLIQQYHQHQNQSQFRLQQISAVNQPFRDQTMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPDFSVPQCYYAKQPPVLHQGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPALPQH >Manes.04G029100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3471400:3478587:1 gene:Manes.04G029100.v8.1 transcript:Manes.04G029100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNSSASNLPDSNGRSFASSFSGQSGAGSPVFHHTGTIQGLHNIHGSFNVPNMPGTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNIPVALSQLSHGSSHGHSGLTNRGGINVVGNPGFSSNTNGVGGSIPGILPTSAGIGNRNAVQGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLATRLNLTANSGSGNLSVQGQNRLMSGVLPQGSPQVISMLGNSYPSAGPLSQSHVQAVNSLSSMGMLNDVNSNDSSPFDINNDFPQLTSRPSSAGGPQGQMGSLRKQGIGVSPIVQQNQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTMSMIQSQHFPMSRSAGFNLGGSYSSYRPQQQQQQHAPAVSSSGVTFSSVSNQDLLHGSDIFPSSHSTYHSQNHGPPGIGLRPLNSPNTVSGMGSYDQLIQQYHQHQNQSQFRLQQISAVNQPFRDQTMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPDFSVPQCYYAKQPPVLHQGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKV >Manes.04G029100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3471400:3478587:1 gene:Manes.04G029100.v8.1 transcript:Manes.04G029100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNSSASNLPDSNGRSFASSFSGQSGAGSPVFHHTGTIQGLHNIHGSFNVPNMPGTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNIPVALSQLSHGSSHGHSGLTNRGGINVVGNPGFSSNTNGVGGSIPGILPTSAGIGNRNAVQGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLATRLNLTANSGSGNLSVQGQNRLMSGVLPQGSPQVISMLGNSYPSAGPLSQSHVQAVNSLSSMGMLNDVNSNDSSPFDINNDFPQLTSRPSSAGGPQGQMGSLRKQGIGVSPIVQQNQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTMSMIQSQHFPMSRSAGFNLGGSYSSYRPQQQQQQHAPAVSSSGVTFSSNHGPPGIGLRPLNSPNTVSGMGSYDQLIQQYHQHQNQSQFRLQQISAVNQPFRDQTMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPDFSVPQCYYAKQPPVLHQGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKV >Manes.04G029100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3473102:3478189:1 gene:Manes.04G029100.v8.1 transcript:Manes.04G029100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDCYLLGDMLLFLCLIVPWLSKSSLNSSASNLPDSNGRSFASSFSGQSGAGSPVFHHTGTIQGLHNIHGSFNVPNMPGTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNIPVALSQLSHGSSHGHSGLTNRGGINVVGNPGFSSNTNGVGGSIPGILPTSAGIGNRNAVQGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLATRLNLTANSGSGNLSVQGQNRLMSGVLPQGSPQVISMLGNSYPSAGPLSQSHVQAVNSLSSMGMLNDVNSNDSSPFDINNDFPQLTSRPSSAGGPQGQMGSLRKQGIGVSPIVQQNQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTMSMIQSQHFPMSRSAGFNLGGSYSSYRPQQQQQQHAPAVSSSGVTFSSVSNQDLLHGSDIFPSSHSTYHSQNHGPPGIGLRPLNSPNTVSGMGSYDQLIQQYHQHQNQSQFRLQQISAVNQPFRDQTMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPDFSVPQCYYAKQPPVLHQGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPALPQH >Manes.04G029100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3471400:3478616:1 gene:Manes.04G029100.v8.1 transcript:Manes.04G029100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNSSASNLPDSNGRSFASSFSGQSGAGSPVFHHTGTIQGLHNIHGSFNVPNMPGTLASRNSTISNVPSGGVQQPTGSLSSGRFASNNIPVALSQLSHGSSHGHSGLTNRGGINVVGNPGFSSNTNGVGGSIPGILPTSAGIGNRNAVQGLGVSPILGNAGPRITSSMGNMVGGGNIGRSMSSGGGLSVPGLATRLNLTANSGSGNLSVQGQNRLMSGVLPQGSPQVISMLGNSYPSAGPLSQSHVQAVNSLSSMGMLNDVNSNDSSPFDINNDFPQLTSRPSSAGGPQGQMGSLRKQGIGVSPIVQQNQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTMSMIQSQHFPMSRSAGFNLGGSYSSYRPQQQQQQHAPAVSSSGVTFSSVSNQDLLHGSDIFPSSHSTYHSQNHGPPGIGLRPLNSPNTVSGMGSYDQLIQQYHQHQNQSQFRLQQISAVNQPFRDQTMKSMQAAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSDEPAKGDPDFSVPQCYYAKQPPVLHQGYFSKFTVETLFYIFYSMPKDEAQLYAANELYNRGWFYHKEHRLWFIRVPNVEPLVKTNTYERGSYHCFDPNTFEIIRKDNFVVHYEVLEKRPALPQH >Manes.16G000100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13407:15100:-1 gene:Manes.16G000100.v8.1 transcript:Manes.16G000100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVRQMSLIVATLGAISFFFGIIAENKKPAAGTPITGKGVVICKYPSDPTVVLGYLSFGFLVASGVAGFLSLFYPYKGKSVPQSTMFRSTSFLVFFNIALFTAGLAAALLLWPTITEHFHLNRKVHHNLETDCPTAKTGLLGGGAFISLDSTLFWLVSLMLADNAREDYFDEVEKDYKGEHELVVTDDYHVPAHLKGAA >Manes.10G136500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30384176:30388035:1 gene:Manes.10G136500.v8.1 transcript:Manes.10G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQVHPHFHIFMAITATISLSSSSPTVFSAIPSLNIQHPPPSSSPLASSFGKFGVKLVSNEADLTTASATQALALAGAAVQAAREAVELVSGCGNEMGKYGSVELIARRKKRRKRRKGFDCLKVEDKDGQCDGGLLQPSNFGYLSPIEEEEFCLCHQDLARLEAAIRRIAETQEHEPTLEQLAKDLQMKKSSVDKIVYKGRKSRERITHSYRRLVISIANSYRGKGLSLQDLIQEGSIGLLRGAERFDPRRGNKLSTYAYWWIREAIIASITNKSRLVRIPGSMRNKMTKIAETKAVLGKKLGRLPSYDEIAEVLNVHVSTVRFGYERSRSPISLNRAVTDQGSMTLQEIIPGPEEMMPENMVKRQLMKQELEKLLQTLSEREALVLRLIYGLNGQTPQSYEEIGRSLKLCRERIRQINSIALTKLRQRSISDNLHIYIV >Manes.01G070600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27175373:27177817:-1 gene:Manes.01G070600.v8.1 transcript:Manes.01G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRRCKVSPTMLLVFLVILNSLFSSSQAIKKSTNAADAKQSDLNTYIVLLKKPEAGVFTESKDLDSWYQSFLPVNTFSSDQQRMVHSYHHVVTGFAAKLTPEDVRAMEKKEGFVSARPRRMVPLHTTHTPSFLGLQPNSGIWNSSFYGEGVIIGLIDSGITPDHPSFSGEGMPPPPAKWKGKCEFNGTLCNNKLIGARNFATDSNNTLDEFRHGTHTASTAAGSPVPGASYFGQFNGTAIGMAPLAHLAMYKVSGRASEAGDSEILAAMDAAIEDGVDVLSLSLGIGSLPFYDDVIALGAYAAIQKGIFVSCSAGNSGPDNSSLSNEAPWILTVGASTVDRAIRATVLLGNNAELNGESLFQPKDFPATLLPLVYPGANGNISSALCEPGSLTNIDVKGKVVLCESGGFGTISKGAEVKANGGAAMIVMNDEFDGFVTTASLHVLPASHVSYVAGSAIKAYINSSSSPMATILFKGTVVGLPEAPQIAEFSSRGPSMASPGILKPDIIGPGVKVLAAWPVSVDNTTNRFAVISGTSMSCPHLSGIAALLKSSHPEWSPAAIKSAIMTTANLNNLGGKPISDQQFVPATFFDMGAGHVNPSGANNPGLIYDIKPDDYIPYLCGLGYSDQQVGLIVQHPVTCSNDSNIPEAQLNYPSFSIKLGSTPQTYTRTVTNVGQSNSAYSLEIVAPQGVDVKVTPGSISFSGVNQKATYSVTFSKNGNGSGAFAQGYLNWVADGYTVRSPIAVLFE >Manes.09G120400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32339987:32341713:1 gene:Manes.09G120400.v8.1 transcript:Manes.09G120400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEETSLHSSKAAKDTKDVEAQNKHRRRNICLAVTAAVIVVFVLVVVILALTVFKAKKPSTTIDSITLDNLRMSLDIARMGVDLNMTLDVDLTVKNPNKVGFKFKNGSALLNYRGEVVGEVPIPAGKIGADETKPMNVTLTVMADRLLSNSQLYTDVASGAITLSTLIKLSGKVSILSIFKVNVHSKTTCDFTVFVSNVTVGDQNCKYKTSL >Manes.02G216800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:31442107:31447761:1 gene:Manes.02G216800.v8.1 transcript:Manes.02G216800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKGNQIITGGNRHVVAMPYPGRGHVNPMINLCKLLSFKDPNIVISFVVTEEWRSLLSSYFLPNNIRFETIPNVIPSEHGRAKDFPAFLEAVNTKMTAPFEQLLDRLELPVNAIVADTYLGWVLGVGNKRNIPVASLWTMSATVFSIFHHFDLLVQNGHFPIIFSERKEERVDYIPGVPPTRLLDFPTIFNGAAGQTFPLAQKSTSIVSKAQYLLFTSAYELEPLAINALKLTFPFPVYPLGPMVPYFELKHNSDLATTDQELPHYIEWLNSQPRNSVLYVSMGSFLSVSGTQLDEIVAGVRDSGVRFLWISRGDTSLFKDGCGDMGLVVPWCDQLRVLCHPSIGGFWSHCGWNSSLETAFAGVPVLASPIFWDQTSNSKKIVEDWKIGWRVKQGADGDSLVRREEIAKLVKRFMDGENSEAIEVRKQSKEIQEACLKAISQHGSSDTNLESFLKDISQ >Manes.07G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33300738:33303564:1 gene:Manes.07G131000.v8.1 transcript:Manes.07G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRRKNPIFSSVTVAMKHKKNNNLSVFVVVFSVFLFGVFMYNEDVKSMAEFPFSWPKSQEIQEEQSKQENPVRESINNDNEGTVSSSSRTLSLEEPHDHRQYSDETTSQESVDQKIELPVMEEDDEDVELPPEECDLFSGQWVFDNLTRPLYKEDECEFLTAQVTCLRNGRKDSLYQNWKWQPRDCSLPKFKPRLLLNKLRNKRLMFVGDSLNRNQWESMICLVQSAIPSGRKTWRKEGSLAIFTMEDYNSTIEFYWAPFLVESNSDDPNMHSILNRIIMPESINKHGVNWKNVDYLIFNTYIWWMNTFSMKILRGSFDEGATEYDEIERPLAYRRVLTTWSKWVDENVDPNRTMVFFSSMSPLHIKSLDWNNPDGIKCAKETTPVLNTTRRLNVGTDRRLLVIASNLTETMKVPVHFINITTLSEYRKDAHTSVYTIRQGKMLTPEQQADPTTYADCIHWCLPGLPDTWNEFIYTRIISHS >Manes.14G006972.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1801812:1808598:-1 gene:Manes.14G006972.v8.1 transcript:Manes.14G006972.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSFFPLFLLVVVGRGVGLDECQESRCGKHGPAIRFPFRLKNRQPEHCGFPGFDLFCTETQDTLLELPTSVKLYINTIDYASQVILTSDPNNCLPRQLLNFNLLNSPFKFGERFRYDYVFFNCTSIDRKIYLSMPCLSVPGYDVHAFVTDGSVTGLALTSCTKMYNLSSVPMEFISRDNTLHLNWSRPACGFCESQDKYCRLKKNSTTLETECFDKPKSSKRIGIKLMAAGIALSALFIVLGGFVLYRVYSADKAEKENQARVKKFLQDYKALRPTRYSYADIKKITNQFKETLGEGAFGTVFKGKLSDEIFVAVKILDNSTGNGEDFINEVGLMGRIHHVNVVRLVGYCADGFRRALVYEYLPNESLEKFIFTDNGKEFPLGWQKLQDIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDENFIPKISDFGLAKLCSKDQSAISMTTARGTMGYIAPEVFSRNFGNVSYKSDVYSFGMVLIDMVRGRKNIDFADGSQVYFPEWVYKRLDQGEELRIQIKEENDEQIAKKLVIVGLWCIQWHPVDRPSMKTVVQMLEGEGNKLTMPPNPFASSAPARLDANMLGRHLHHDLAVISETEGI >Manes.18G031666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2638844:2642010:-1 gene:Manes.18G031666.v8.1 transcript:Manes.18G031666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSVCRGFTAPKFRLTPNRLLYNQIVHSKLGSASKSFLIAGFDNVFKGIPSSSFSRGLIIRASSSNSSGNRNLKLLGREDAAVPADSFYYLEPFRGKSGSASFCGLTHQSVEEGKLVSTPFAEEKGSLLWVLGPVALISSLIVPQFFLANSIEAFIKNEVLVEIVASFSFEAMFYVGLAMFLFVTDRIQRPYLQFSPKKWGLITGLKGYLTSAFFAMGLKVIIPLSVVYVSWPVLGLPALVSVFPFLVGCIAQRAFEISLDKMRSSCWPLVPIIFEVYRIYQLTKATHFIEKLMLSMRGLPESAQLLERNNALIVMIVTFQILGVICLWSLLTFLLRLFPSRPVAENY >Manes.12G008600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:901569:912586:-1 gene:Manes.12G008600.v8.1 transcript:Manes.12G008600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMASLYSLFNEFRASANSFLSEYEPLVLLIVPLFTLILARILRSFLRVLYENGLRATIIGFLMTCIKMVPWVKSYIDAEKQKVVDKMQSGGKSKRESWRSELPREGLGAGVLEKMKEEKRNDIAWRGKCSGTVYIGGTESEGHFSLINEACSMFSHTNPLHFDIFQSVARFEAEVVAMTASLLGSKEKASGGEVCGNMTSGGTESILLAVKSSRDYMKGKKGITRPEMIIPESAHSAYDKAAQYFNIKLWRVPVNEEFQANVKAIRRHINKNTILIVGSAPGFPHGIIDPVEELGELALQYGICLHVDLCLGGFVLPFARQLGYPISPFDFSVKGVTSISVDVHKYGLAPKGTSVILYRNHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGLIAGAWAAMMSLGQEGFLENTKAIMKASKKIQKGIKEIPELFIIGKPDMTIVAFGSNVLDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHVSVCEDFLQDLRESVQTVKENPGPISGGLAPIYGAAGRIPDRVMVKELLVNYMDSTC >Manes.06G003700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:835304:838624:1 gene:Manes.06G003700.v8.1 transcript:Manes.06G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKNLFFFLFFVLIFLPSSKPDLAADRSALLLLRSSVGGRTLFWNISQSTPCSWAGVGCQGNRVTVLRLPGVALSGQLPTGIFSNLTQLRTLSLRLNALSGQLPSDLVACTNLRNLYLQGNMFSGEIPEFLFTLHDLVRLNLGENNFTGGISMGFGNFTRLRTLYLENNRLSGSIPDLKMEKLEQFNVSNNLLNGSIPERFRAFDSTSYLGNSLCGKPLDSCATDANGTVVVPSSPTEDAGNAGKKKKLSGGAIAGIVIGSVVALFLLLLILMLLCRKKGSQKSRSIDIASIKQQDLALPGDKPIGEVETGSGHGNGNGYSVAAAAAAAMVGNGKGGGGEVNGAGAKKLVFFGKASKVFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLKDVTISDREFKEKIEMVGAMDHESLVPLRAYYYSRDEKLLVYDYMPMGSLSALLHGNKGAGRTPLSWEIRCGIALGAARGIQYLHSQGPNVSHGNIKSSNILLTQSYEARVSDFGLAHLVGSSSTPNRVAGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKPPTHALLNEEGVDLPRWVQSIVREEWTSEVFDLELLRYQNVQEEMVQLLQLGIDCAAQYPDNRPSMSEVTRQIEELSLCSLREDQDQQPDIVDADDNSSR >Manes.14G037300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3264002:3265656:-1 gene:Manes.14G037300.v8.1 transcript:Manes.14G037300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMVFMVKLGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGFVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWLNEDSTYKYFEVILVDPAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNNTLSLRRYR >Manes.14G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3263500:3265752:-1 gene:Manes.14G037300.v8.1 transcript:Manes.14G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGFVIYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWLNEDSTYKYFEVILVDPAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLHHKARPSRRATWKRNNTLSLRRYR >Manes.03G169500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29475513:29479487:-1 gene:Manes.03G169500.v8.1 transcript:Manes.03G169500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVHVGYVCVCLCLMCASYSGQAVSSVNKMLSQVVKVQRKKLAACMTCPLCNKLFRDATTVSECLHTFCRKCIYDKISDEDVDCCPVCNIDLGCAPLEKLRADHSLEDLKVKLFGSKREKTQAPAVFSSVAEAIPSFPLEGKRKERSLSSLVISTPKIGTKSLLPRKRLKSIARKSPALRESILAEELLVKKVDDCCHESLSSPETLSKILQAKRQNSIPESSKQYKTNKDTEESAEPCEGKTESWKTLNFVVEGASKSKHNKPDIEEATVQMQLPGPAENEDQVLKSIVKEHGKKSKVNGDENNSSLSPVGSVKTRKLQGVRQKRAIVTEGLNIIPPQTIVDASSKYEGRFSPIWFSLVASDDQEGDAPLPQISSCYLRVKDGSLPVSSIKKYLVQKLGLASEAEVEISLHGQPVLSTLQLHHLVEWWLHMAPSSERIETTVGSSAKEFVMVLSYGRKTQPP >Manes.03G001000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:195957:197284:1 gene:Manes.03G001000.v8.1 transcript:Manes.03G001000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLEHANAGNWYRNRNALPHHVAIHVDQLGQANFSRIQAAIDFIPSNNKQWFCIHVKAGIYREKVKIPSDKPYLILEGEGERTTFIVWGDHDSTLQSPTFMSSADNIVVRNISFVNKYNFLDNNKPLTPAVAAMISGDKSAFYQCDFAGVQDTLWDDQGRHYFKDCTILGAVDFIFGSGGYVTAQGRSNPNDANGFVFKMCNIFGTGPTYLGRPWRAYSRVIFYKCYFANVIDPRGWNPWNFLGQENRITYVEYENYGPGAASTQRVNWVKKLSPQAIDQFTSLSFINSGNWIQRQPSC >Manes.11G090600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:17435454:17437017:-1 gene:Manes.11G090600.v8.1 transcript:Manes.11G090600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLKAARDQGRRGCYAGRKVMIIKSFDEGTCDRPNGHCLVAGIKKYPSKVIKKDYAKKTAKKSHVKYFVKLVNYQHLMSTRYTLDVDLKDVVSVDALQSKHKKVTACKDTKARFEEKFKTGKNK >Manes.13G044300.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238197:5245411:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.20.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238223:5245087:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238198:5245410:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238198:5245468:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238198:5245468:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.22.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238223:5245087:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238203:5245105:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238223:5245113:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNYSDFKMAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238223:5245155:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNYSDFKMAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238198:5245087:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNYSDFKMAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238223:5245087:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238198:5245394:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNYSDFKMAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.13G044300.21.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5238223:5245087:1 gene:Manes.13G044300.v8.1 transcript:Manes.13G044300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRRLYSDSDTCALHKELDEVSCPICMDHPHNAVLLLCSSHEKGCRSYICDTSYRHSNCLDRFKKLRDNPTTLSTSLPINSSSTGNTSETILPLTMHGLDANGDQNLNESNDVNSIVRPEELVGNIIQDSNRQLETREGILQAGDSESFRDRIELEVADTENSSESGLSLKCPLCRGAVLGWEVVDEARKYLNLKKRSCSRESCSFVGNYQELRRHARRVHPTTRPSDVDPSRERAWRCLERQTEYGDIVSAIRSAMPGAVVVGDYVIENGDRFSVEREGGAGEVNAPWWTTFFLFQMIGSIDGGAEPRARPRAWTRHRRAAGVLPERRFLWGENLLGLQDDDDDDDDDNDDDYLRVLSDAGEDASSIPRRRQDVSPIPRRRQDASPVPRRRRRLTRSRSDDQS >Manes.15G015500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1271065:1271370:-1 gene:Manes.15G015500.v8.1 transcript:Manes.15G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTQLASERAVVIFSRSTCCMCHAIKTLLCDFGVNPTVHELDEIPRGREIEQALSRLGSPTLPAVFIGGILVGGANEVMSLHLNRSLIPMLRRAGALWV >Manes.03G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14039219:14042750:-1 gene:Manes.03G080000.v8.1 transcript:Manes.03G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFKITCLLILLFPATVSSECTCNILEESHQGNRGALNYKLASIASVLVCGAIGVSLPLLGRSKIPTLRPENDIFFMIKAFAAGVILSTAFIHILPQAFDALNSPCLEQNAWRNFPFTGLFAMVSAIGTLMLDSFATGFYKRLHFKNNKHVNVDEEKSGEDELSGEDDHSGHIHATHGHANGFASPTQDLGLPDLIRRRIISQVLELGILVHSVIIGISLGASQSTETIKPLLVALSFHQFFEGMGLGGSISEAKFKFKSTAIMATFFCLTTPTGIGIGIGISSVYKENTPTALIVEGILNSASAGILIYMALVDILAADFMNPRVLTNFRIQFGADVSLLLGAGCMSLLAIWA >Manes.17G080200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:28530195:28532571:-1 gene:Manes.17G080200.v8.1 transcript:Manes.17G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREHPNLYPRLDPASAGSSSSSYSAIPPANPNTSTDTSRKAEMWEDEAQVDGGMDELLAVLGYKVRSSDMAEVAQKLEQLEEVMGLVQEDGLSHLASETVHFNPSDLSTWLESMLSEFNPNPNFDSVVAAQPSSLDDSFFAPPESSTITSIDFADHVNQQQRQEYQNTNKHNGRGVVFHESSSSDYDLKAIPGKAVFAQNPQIDSSSFSSRDPKRLKPTTTTTDHLYPATAASSSSSTIVGGGGGGSLGVSTESTRPVVLVDSQENGIRLVHLLMACAEAVQENNIPIAEALVKQIGFLAFSQAGAMRKVAAYFAEALARRIYRLYPQSPMDHSLTDILQMHFYETGPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGMQWPALLQALALRPGGPPAFRLTGIGPPSHDNSDHLQEVGLKLAQLAETIHVEFEYRGFVANSLADLDASMLELRPSEFESVAVNSVFELHELLARPGAIEKVLSVVKQMKPEIVTIVEQEANHNGPVFLDRFTESLHYYSTMFDSLEGSVSSQDKVMSEVYLGKQICNVVACEGADRVERHETLNQWRTRLGSSGFVPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWRPASK >Manes.01G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7323104:7327047:-1 gene:Manes.01G038300.v8.1 transcript:Manes.01G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSGNNSPDGIPRSGGGTAGEFFWAVVAAPQNRTAVAVTTLAGIAVFAAIFFKSIRGGKSPWSHRRRQQTLTLQQWRRLFTPDGRFCDGDGGIQFLKIVRSGGVDPSIRPEVWPFLLGVYDLNSSKEERDDIKNQNRKKYEELRRQCLQLLSSSNESLKPEESGETVGKGDSRALVQDSESPDSDSSVDPQSVIQASPSSEGQEKNQPSEEKRTELQPKQPATEDFATWQRIIRVDAVRANAEWIPFSPSQASVSEDRARGYAEAVGLKDYDHLEPCRIFHAARLVAILEAYAIYDPEIGYCQGMSDLLSPIITVITKDAEAFWCFVGFMKKARHNFRLDEVGIQRQLNIVSRIIKCKDLHLFRHLEKLQAEDCFFVYRMVVVMFRRELTFEQTMRLWEVMWADQAAIRAGISKSAWSMIRQRVPPTEDLLLYAIAASVLQRRKLIIERYNSMDEILRECNSMAGQLDVWKLLDDAHELVMNLHDKIETAL >Manes.10G100400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24920996:24921771:1 gene:Manes.10G100400.v8.1 transcript:Manes.10G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSKGRQKLEMVKIPKESNLLVTFSKRRYGVFKKASELATLCGAEITLIVFSPGKKVFSFGHPSVETVIDRFFTRNPPPTSGALQLIEAHRNARIRELNIQLTQVVSQLEMDKKRGEELDQLRKVNEGQRWWESPIEELDLPRLEQLRASLEVLRQNVTKRAEQLLIQTTNHPQFYTPKPAIGVVPFDPKPEGFNTNMMPAAYNYNLGFRSGNGFF >Manes.04G075700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27419484:27424564:-1 gene:Manes.04G075700.v8.1 transcript:Manes.04G075700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPVILVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIQVVLQPAKQKKKKRRKAQKACSIL >Manes.01G205100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37823309:37830237:1 gene:Manes.01G205100.v8.1 transcript:Manes.01G205100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSGAQLSSLLTDFLSKSGGVAIIDGGLATELERHGADLNDPLWSAKCLLTSPHLIRTVHLDYLEAGADIIITASYQATIQGFEAKGFSSEESEAMLRRSVQIACEARDIYYERCKSGASDGNKDSRVMKERPILVAASVGSYGAYLADGSEYSGNYGDEVTLETLKVFHRRRVQVLAESGADLLAFETVPNKVEAQAYAELLEEENIKVPAWFSFNSKDGVNVVSGDSLVECASIAESCGKVVAVGINCTPPRFIHGLVLSLKKVTSKPILIYPNSGENYDADLKEWVQNTGVSDEGFVSYVNKWCEDGASLVGGCCRTTPNTINAIYRTLSNRSSALPL >Manes.15G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1665234:1669503:-1 gene:Manes.15G020300.v8.1 transcript:Manes.15G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCSRYPSSTDGDSKDYHEAEHVGNKHILTQRSLEIIPVPSHNLKLQYSVLTQRGYYPDSPDKENQDSFCIRTQIQGNPNMHFFGVFDGHGVYGAECSNFVKDRLVEILADDPSLLNDPVKAYSSAFLRMNSELHSSKIDDTMSGTTAITVLVIGDKIYVANVGDSRAVIAVKNGNRIIAEDLSNDQTPFRKDEYDRVKLCGARVLSVDQVEGYKDPDIQLWDDEESQGGDPPRLWVQNGMYPGTAFTRSVGDSTAETIGVIVDPEVSIVQLMPNHLFFVVASDGVFEFLSSQAVVDMAARYADPRDACAAIAGESYKLWLEHESRTDDITIIIVQIKNPSYPGVDAMDATVEVNGNPMSSRRKENSEFSIASGSEIYRSMRSELSDQQLSASRSAAIVVPSPSHQRSLELDGG >Manes.11G028600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2747927:2750105:1 gene:Manes.11G028600.v8.1 transcript:Manes.11G028600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSTAFQPMRPNWPEQEQLKCPRCDSTKTKFCYYNNYNLSQPRHFCKNCRRYWTKGGTLRNIPVGGGSRKNTKRSSNQKRANSDPNPNPNTNTDPARLNCRVPESSSLTTTTQATSSSQILANGISDLGDPTHIYGFEADQEGKIQDMSCSFSSLLAPNVQFGSIYEGMNPNGSGLKMVQMGGFGDDLNAGSGEAPGFDEQGSDINNEGEVGSYLQSSDWGNSNGWPDLAIYTPGSSFQ >Manes.S051716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1762060:1762182:-1 gene:Manes.S051716.v8.1 transcript:Manes.S051716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.17G081200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28612497:28623512:1 gene:Manes.17G081200.v8.1 transcript:Manes.17G081200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMKSSPSNPPKNPQIIILKSAICSRLLLIALILLWRTVLHPYDTSSPLNPNCLSSNFITHHQHHNQSILLPRLGSAIEDSVVWDSVYFVRIAECGYEYEQSYAFLPLLPICISVLSRTVFAPLVPLIGFRAVLALAGYVINNVAFVLAAIYLYRLSVIILKDHETALRASILFCFNPASIFYSSIYSESLYSLFSLGGLYHLIAGSNNIAVLWFALSGCARANGVLNAGYFCFQTMHQVYHGLFLKKYASLAVKAVITGALRCTCTFLPFIAFQAYGYYNICNGHAPEEMRPWCKYKIPLLYNYIQSHYWKVGFLRYFQLKQLPNFLLASPILSLAICCILHYVRSQPEMFFSLGFRAPIGEKRSRDSFSSLDTVSGPNIAPSKENLSTKTQEYHNLRQRRQTIEGDGYPTLHEEHDLFQKPECLHAFVIPCTLHLGFMAATAFLVMHVQVATRFLSASPPLYWYASYKAVSPGKRWGYMFWAYSTAYILLGSLLFSNFYPFT >Manes.02G052360.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4194049:4206833:1 gene:Manes.02G052360.v8.1 transcript:Manes.02G052360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSHFSATSHCSSVSRFVDFGSRSGGKWKSNLLLIRTLRSRPLARSFSVKNVSSEPKTKLENPISGEDASSIASSIKCHAEFTPLFSPEKFELPKAFVATAQSVRDALIINWNATYECYDRLNLKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALTKLGHDLESVARHEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQRITKDGQEEVAEDWLEMGNPWEIVRNDISYPVKFYGKVVSGSDGKKHWIGGEDIMAVAYDLPIPGYKTKSTINLRLWSTKAPAEDLDLSAFNAGGHTKAYEALANAEKICYILYPGDNSLEGKTLRLKQQYTLCSASLQDIIARFERRSGSNIKWEDFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTTAYTNHTVLPEALEKWSLDLMQKLLPRHVEIIEMIDEELINTIVSEHGREDSDLLEKKLKEMRILENVDLPSTFSNLIAKPKESSAAAISENSGEAGKLINEKDEVDSGDETESKGEPKSTGARKKEEVVAEPPSKMVRMANLCVVGGHAVNGVAEIHSEIVKDEVFNSFYKLWPNKFQNKTNGVTPRRWIRFCNPELSKIITEWTGSEEWVLNTEKLAELRKFADNEDFQTQWRAAKKSNKMKVASLLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMSAVERKAKYVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAEAHEIAGLRTERAMGKFVPDPRFEEVKDFVRTGVFGSCNYDELLGSLEGNEGFGRADYFLVGKDFPSYVECQEKVDKAYQEQRRWTNMSIMNTAGSYKFSSDRTIHEYAKDIWNIEPILLP >Manes.15G022650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1783918:1789564:-1 gene:Manes.15G022650.v8.1 transcript:Manes.15G022650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYTPPVSSALLPPRVLFPNSKSLLSTPSNPFIFSISCSISTDKRYPSRRINCSGSSSSIESHQPPSTIVFVKGLPLSTSEGSLKKSFSQFGEVNRAKIVSDKKTKQSLGSAFVWFTNEDSAKLAVKEMDGKFFEGRFIYVTISKPGACKTSGKPTTTPYKF >Manes.15G022650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1784074:1789564:-1 gene:Manes.15G022650.v8.1 transcript:Manes.15G022650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYTPPVSSALLPPRVLFPNSKSLLSTPSNPFIFSISCSISTDKRYPSRRINCSGSSSSIESHQPPSTIVFVKGLPLSTSEGSLKKSFSQFGEVNRAKIVSDKKTKQSLGSAFVWFTNEDSAKLAVKEMDGKVFNLVNF >Manes.08G090100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30419596:30426022:1 gene:Manes.08G090100.v8.1 transcript:Manes.08G090100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSNFRWVFINLLHVFLIFCMNSGLESATAAATRGNETDILALLDFKNLITQDPLRVMSSWNDSVHFCNWIGVSCSSSNDRVITLNLNSKKLAGSIPPSIGNLTHLTVINLYENSFSGELPQEMGRLWRLQHLNLTYNSFVGKIPSNLTHCKELTIIGASGNNLVGEIPEQLSSLSKLVVFAFGENNLTGKIPTWIGNFSSLFTLSLALNNFVGYIPNELGRLSSLGFFQLYGNYLSGTIPSSIYNLSSIYYFSVTQNQLHGQLPQDIGLTLPKLRVFAGGVNNFTGVIPVSLSNVSGLQVLDFSQNSLTGNIPGNLKNLQSLYRLNFDENNLGNWEIDDLNFLSYLANCTSLEVLGLAQNHYAGELPSSIANLSINLQKFTIGRNLIHGSIPVGIENLVNLYSLGLEGNYLSGNVPSAIGKLRNLGALYLNLNRFSGSIPPFIGNLTRLTRLFMEENRFEGSIPDSLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISVVMSNNSLTGSIPSEVGNLRNLVELDLSQNKLFGEIPSSLGSCASLERLHLEGNKLGGTIPESLKDLRGIEELDLSSNNMSGEIPEFLSKLRDLKYLNLSFNDFEGEVSGEGIFSNASAVSIIGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVVFAIAILCSVVIFCMTNSKAPPSEDRHVGMSYSEIVKSTNGFSAENLIGSGSFGSVYKGILSDDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIITACSTIDHQGNDFKCLVFEFMANGSLDKWLHPRADEQDQTKRLSFIQRLNIAIDIASALDYLHHYCETPIVHCDLKPSNVLLDEDMTAQVGDFGLATFLLESSNYPSKSEAISVVLKGSIGYIPPEYGLNDQVSALGDVYSFGILLLEMFTGRRPTDDMFKDDLSIHKFVAMALPENAMDVIDPRMLDDETNEEKEIITTSNAQGNASRTQECVVSAMRIGVSCSSSSPRKRMAISSVINKLHDIRDSFLRSKSSRWKKYE >Manes.08G090100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30419596:30422980:1 gene:Manes.08G090100.v8.1 transcript:Manes.08G090100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSNFRWVFINLLHVFLIFCMNSGLESATAAATRGNETDILALLDFKNLITQDPLRVMSSWNDSVHFCNWIGVSCSSSNDRVITLNLNSKKLAGSIPPSIGNLTHLTVINLYENSFSGELPQEMGRLWRLQHLNLTYNSFVGKIPSNLTHCKELTIIGASGNNLVGEIPEQLSSLSKLVVFAFGENNLTGKIPTWIGNFSSLFTLSLALNNFVGYIPNELGRLSSLGFFQLYGNYLSGTIPSSIYNLSSIYYFSVTQNQLHGQLPQDIGLTLPKLRVFAGGVNNFTGVIPVSLSNVSGLQVLDFSQNSLTGNIPGNLKNLQSLYRLNFDENNLGNWEIDDLNFLSYLANCTSLEVLGLAQNHYAGELPSSIANLSINLQKFTIGRNLIHGSIPVGIENLVNLYSLGLEGNYLSGNVPSAIGKLRNLGALYLNLNRFSGSIPPFIGNLTRLTRLFMEENRFEGSIPDSLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISVVMSNNSLTGSIPSEVGNLRNLVELDLSQNKLFGEIPSSLGSCASLERLHLEGNKLGGTIPESLKDLRGIEELDLSSNNMSGEIPEFLSKLRDLKYLNLSFNDFEGEVSGEGIFSNASAVSIIGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVVFAIAILCSVVIFCMTNSKAPPSEDRHVGMSYSEIVKSTNGFSAENLIGSGSFGSVYKGILSDDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIITACSTIDHQGNDFKCLVFEFMANGSLDKWLHPRADEQDQTKRLSFIQRLNIAIDIASALDYLHHYCETPIVHCDLKPSNVLLDEDMTAQVGDFGLATFLLESSNYPSKSEAISVVLKGSIGYIPPEYGLNDQVSALGDVYSFGILLLEMFTGRRPTDDMFKDDLSIHKFVAMALPEHAMDVIDPTMLDEETADDETNEEKAIITNSNAQGNASRTQECIVSAMRIGVSCSSSSPRERMAMSSVVNKLHDIRDSFLRSKSSRWKKYE >Manes.16G125800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32730001:32734154:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRVGDSSKFLIVESLLNSYKITVSSPLVFNLLVQAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32730019:32734131:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRVGDSSKFLIVESLLNSYKITVSSPLVFNLLVQAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32730019:32734084:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32730019:32734084:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32730019:32734130:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRVGDSSKFLIVESLLNSYKITVSSPLVFNLLVQAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32730019:32734084:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRVGDSSKFLIVESLLNSYKITVSSPLVFNLLVQAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32730001:32734155:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRVGDSSKFLIVESLLNSYKITVSSPLVFNLLVQAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32730001:32734139:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRVGDSSKFLIVESLLNSYKITVSSPLVFNLLVQAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32730007:32734139:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRVGDSSKFLIVESLLNSYKITVSSPLVFNLLVQAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32730019:32734084:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.16G125800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32730019:32734084:1 gene:Manes.16G125800.v8.1 transcript:Manes.16G125800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLVHKIIPYLLSRSVKNSGNSCLYSPRLLVNRFLTSDANTVVNAICDSLRRGNSWDILSRNFDYVELNYLLVENVLLELKEPTDAKRALGFFHWSAQRKNFVHEVQTYCLMVNILVRAQLLMDAQALLESVLKRRAYAKRRLFEIGFEVCCYLAEQGFSVSLTSFNILIHVVQKSDKSPLVWKIYEHMVQRRIYPNEATIRIMTNALCKEGKLQIYVEILDKIHRKRCSPLVIVNVCLVLRILEEGKIEVAMALLKLMLQKNMILDAIAFSLIVYARVRLGNLDSAMEVYEEMLKRGFSANSFVYTSFIGAYCNGGKFEEANQLFEEMENMGLKPYDETYNFVIEGFAKLGRAEESFSYCEKMIERGLVPSLMAFNKMVAKLCETGEVKKVNAMLTRLLDNGFLPNEITYSHLIAGYARDNQIQEVLKLYYEMEYRALNPGLLAFTSLIRILCHCGKPEQAEKYLRIMKGRCLDPSEEIYDALITGHFEKGDKARAHHLYNEMISKGLNPCRSYNFGAGIEFC >Manes.10G013300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1366379:1374518:-1 gene:Manes.10G013300.v8.1 transcript:Manes.10G013300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLWRSRAKLAIAASAIFTGSAAATIATSEDPATTLKLCTTVPVRLARDTITAASIVFDYQYSLWGLPEGSVERSKVKHKVHLRSAHKLQELCFKNGGIYIKLGQHIGQLEYLVPQEYVQTMRESMLNKCPVSSYDQVCEVFKQELGETPDKIFNEFDPVPIASASLAQVHVARTTNGQKVAVKVQHIHMTDTAAADRAGVELIVNTLHWFFPSFDYRWLVAEIRESLPKELDFLVEARNSEKCLENFQKLSPHIADYVYAPKVHWNLSTSKLLTMEFMDAAQVNDVKAIERLGIQPTEVAKLVSQAFAEMMFKHGFVHCDPHAANLLVRPLPSGKRSLLGKRKPQLILLDHGLYKELDFNTRYNYASLWKALILADAKSIKENSVKLGAGEDLYALFAGILTMKPWNRVVDPALDHLVIQGNDSERSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRAVNNSLVF >Manes.10G013300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1366379:1374538:-1 gene:Manes.10G013300.v8.1 transcript:Manes.10G013300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLWRSRAKLAIAASAIFTGSAAATIATSEDPATTLKLCTTVPVRLARDTITAASIVFDYQYSLWGLPEGSVERSKVKHKVHLRSAHKLQELCFKNGGIYIKLGQHIGQLEYLVPQEYVQTMRESMLNKCPVSSYDQVCEVFKQELGETPDKIFNEFDPVPIASASLAQVHVARTTNGQKVAVKVQHIHMTDTAAADRAGVELIVNTLHWFFPSFDYRWLVAEIRESLPKELDFLVEARNSEKCLENFQKLSPHIADYVYAPKVHWNLSTSKLLTMEFMDAAQVNDVKAIERLGIQPTEVAKLVSQAFAEMMFKHGFVHCDPHAANLLVRPLPSGKRSLLGKRKPQLILLDHGLYKELDFNTRYNYASLWKALILADAKSIKENSVKLGAGEDLYALFAGILTMKPWNRVVDPALDHLVIQGNDSERSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFFIIGKISSKAVLEAKKLQRKSLLCQVQVWLEELLLEARLLGMHIALWLLQLRRALTGLTYK >Manes.10G013300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1366379:1374542:-1 gene:Manes.10G013300.v8.1 transcript:Manes.10G013300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLWRSRAKLAIAASAIFTGSAAATIATSEDPATTLKLCTTVPVRLARDTITAASIVFDYQYSLWGLPEGSVERSKVKHKVHLRSAHKLQELCFKNGGIYIKLGQHIGQLEYLVPQEYVQTMRESMLNKCPVSSYDQVCEVFKQELGETPDKIFNEFDPVPIASASLAQVHVARTTNGQKVAVKVQHIHMTDTAAADRAGVELIVNTLHWFFPSFDYRWLVAEIRESLPKELDFLVEARNSEKCLENFQKLSPHIADYVYAPKVHWNLSTSKLLTMEFMDAAQVNDVKAIERLGIQPTEVAKLVSQAFAEMMFKHGFVHCDPHAANLLVRPLPSGKRSLLGKRKPQLILLDHGLYKELDFNTRYNYASLWKALILADAKSIKENSVKLGAGEDLYALFAGILTMKPWNRVVDPALDHLVIQGNDSERSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFFIIGKISSKAVLEAKKLQRKSLLCQVQVWLEELLLEARLLGMHIALWLLQLRRALTGLTYK >Manes.10G013300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1367064:1374518:-1 gene:Manes.10G013300.v8.1 transcript:Manes.10G013300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLWRSRAKLAIAASAIFTGSAAATIATSEDPATTLKLCTTVPVRLARDTITAASIVFDYQYSLWGLPEGSVERSKVKHKVHLRSAHKLQELCFKNGGIYIKLGQHIGQLEYLVPQEYVQTMRESMLNKCPVSSYDQVCEVFKQELGETPDKIFNEFDPVPIASASLAQVHVARTTNGQKVAVKVQHIHMTDTAAADRAGVELIVNTLHWFFPSFDYRWLVAEIRESLPKELDFLVEARNSEKCLENFQKLSPHIADYVYAPKVHWNLSTSKLLTMEFMDAAQVNDVKAIERLGIQPTEVAKLVSQAFAEMMFKHGFVHCDPHAANLLVRPLPSGKRSLLGKRKPQLILLDHGLYKELDFNTRYNYASLWKALILADAKSIKENSVKLGAGEDLYALFAGILTMKPWNRVVDPALDHLVIQGNDSERSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRAVNNSLVF >Manes.10G013300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1366379:1374518:-1 gene:Manes.10G013300.v8.1 transcript:Manes.10G013300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLWRSRAKLAIAASAIFTGSAAATIATSEDPATTLKLCTTVPVRLARDTITAASIVFDYQYSLWGLPEGSVERSKVKHKVHLRSAHKLQELCFKNGGIYIKLGQHIGQLEYLVPQEYVQTMRESMLNKCPVSSYDQVCEVFKQELGETPDKIFNEFDPVPIASASLAQVHVARTTNGQKVAVKVQHIHMTDTAAADRAGVELIVNTLHWFFPSFDYRWLVAEIRESLPKELDFLVEARNSEKCLENFQKLSPHIADYVYAPKVHWNLSTSKLLTMEFMDAAQVNDVKAIERLGIQPTEVAKLVSQAFAEMMFKHGFVHCDPHAANLLVRPLPSGKRSLLGKRKPQLILLDHGLYKELDFNTRYNYASLWKALILADAKSIKENSVKLGAGEDLYALFAGILTMKPWNRVVDPALDHLVIQGNDSERSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFFIIGKISSKAVLEAKKLQRKSLLCQVQVWLEELLLEARLLGMHIALWLLQLRRALTGLTYK >Manes.10G013300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1366704:1374518:-1 gene:Manes.10G013300.v8.1 transcript:Manes.10G013300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLWRSRAKLAIAASAIFTGSAAATIATSEDPATTLKLCTTVPVRLARDTITAASIVFDYQYSLWGLPEGSVERSKVKHKVHLRSAHKLQELCFKNGGIYIKLGQHIGQLEYLVPQEYVQTMRESMLNKCPVSSYDQVCEVFKQELGETPDKIFNEFDPVPIASASLAQVHVARTTNGQKVAVKVQHIHMTDTAAADRAGVELIVNTLHWFFPSFDYRWLVAEIRESLPKELDFLVEARNSEKCLENFQKLSPHIADYVYAPKVHWNLSTSKLLTMEFMDAAQVNDVKAIERLGIQPTEVAKLVSQAFAEMMFKHGFVHCDPHAANLLVRPLPSGKRSLLGKRKPQLILLDHGLYKELDFNTRYNYASLWKALILADAKSIKENSVKLGAGEDLYALFAGILTMKPWNRVVDPALDHLVIQGNDSERSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRAVNNSLVQVWLEELLLEARLLGMHIALWLLQLRRALTGLTYK >Manes.10G013300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1366356:1374538:-1 gene:Manes.10G013300.v8.1 transcript:Manes.10G013300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLWRSRAKLAIAASAIFTGSAAATIATSEDPATTLKLCTTVPVRLARDTITAASIVFDYQYSLWGLPEGSVERSKVKHKVHLRSAHKLQELCFKNGGIYIKLGQHIGQLEYLVPQEYVQTMRESMLNKCPVSSYDQVCEVFKQELGETPDKIFNEFDPVPIASASLAQVHVARTTNGQKVAVKVQHIHMTDTAAADRAGVELIVNTLHWFFPSFDYRWLVAEIRESLPKELDFLVEARNSEKCLENFQKLSPHIADYVYAPKVHWNLSTSKLLTMEFMDAAQVNDVKAIERLGIQPTEVAKLVSQAFAEMMFKHGFVHCDPHAANLLVRPLPSGKRSLLGKRKPQLILLDHGLYKELDFNTRYNYASLWKALILADAKSIKENSVKLGAGEDLYALFAGILTMKPWNRVVDPALDHLVIQGNDSERSELQMYASQYFSQISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFFIIGKISSKAVLEAKKLQRKSLLCQVQVWLEELLLEARLLGMHIALWLLQLRRALTGLTYK >Manes.10G057900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7759319:7765012:-1 gene:Manes.10G057900.v8.1 transcript:Manes.10G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSPSFSTTITSISNKFPIQISYSTVNVFNPTNNKNHTKLSLSSSQSSPFSYVFSSRTKATVTASITTPLTSPPISSNKTGNRHWMVLMESPPHGVNSKPQIVDYYVKTLERVLGSEKYAQMCIYDVSCDTHFGFCCDIDEEASRELASLPGVLSVRPDPDYNSEEKDYGSNIQATNLSKPEIRSTLLFPAGTTKYWLVRMDKPGVGVVTKAQMVDYYAEILTKVLGNEKDAQMCIYHVSWRSNFGFCCELDEECAQEVASVPGVLSVQPDKNFGSENKDYGGNNIENSVDRSTSSETSQTPVKTKKLFVTGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALKEMNGKIINGWMIVVDVAKTNPPRHSKGRQRMAA >Manes.06G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12830387:12832228:1 gene:Manes.06G041300.v8.1 transcript:Manes.06G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQSSGDSTGGAAAFSSTRRPSFCLYTSNHETSHSSISSLKKQRSISNQAYEDEKLGGLARQAKERLDERLRTQKKSAPNRHNSKGSLKVGESRSMAEGDLQTEVYGSKKNNNRKFNWSRLSWKATDQDDCTICLERYKSGETLVHLPCAHKFHCRCLVPWLENNSHCPCCRLQIHVQFS >Manes.12G143400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34960909:34969644:-1 gene:Manes.12G143400.v8.1 transcript:Manes.12G143400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRDREREIKDQNGGPPCGQVRVLVVGDSGVGKSSLVHLIVKGSSIARPPQTIGCTVGVKHVTYMNSGSSSSSIKGDAERDFFVELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWASDIAATGTFSAPLASGGPGGLPVPFIVIGNKADVATKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPGNGGLIAAAKEARYDKEALIKFFRMLIRRRYFSDELTPSAPTPWSVSPGQRPVQRLDENSSDDDQFFKSKRYFMMNI >Manes.12G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34960909:34969644:-1 gene:Manes.12G143400.v8.1 transcript:Manes.12G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRDREREIKDQNGGPPCGQVRVLVVGDSGVGKSSLVHLIVKGSSIARPPQTIGCTVGVKHVTYMNSGSSSSSIKGDAERDFFVELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWASDIAATGTFSAPLASGGPGGLPVPFIVIGNKADVATKEGTRGSSGNLVDVARQWVEKQGLLPSSEELPLTESFPGNGGLIAAAKEARYDKEALIKFFRMLIRRRYFSDELTPSAPTPWSVSPGQRPVQRLDENSSDDDQFFKSKRLSGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVTENYSFPRFSLVDSQETNSTARSKRMDINV >Manes.09G158500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35434149:35440780:-1 gene:Manes.09G158500.v8.1 transcript:Manes.09G158500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGLSQCTFHVFLSFKGDDTGKNFSDHLYAALERDGFHTFRDDDGIVRGANFVAEVAKVMQHSKICIVVFSKNYASSIWCLDELVKIMELRKTVGLVVFPVFYDADPNQVWEQSGSYAEAFARHEELFKGQMDKVQGWRAVLREVTDLSGMDIQERHEADFIQDIVKKVGKRLDSSVLLHVPSYLVGIESRLKDINSWLQDVSNDPAIAIISGIGGIGKTVIAKKVYNLNLDRFEVSCFLSNIRETSKQPDGLTFLQNQLLEKSLNGKISKIKTVDEGSIKIKDAISCKRVLIVLDDVDQLEQLNAIIGMRDWLYPGSKIIITTRHEHLLSAYETYKKFRVKELDDGESLQLFSWHAFGENYPIEGYIEHSAKVIKRCFGIPLALQVLGSSLSVKYADEWESALEKYEAILDSKIQNILQISYDSLPDDHDKSLFLDIACFFVGRDVDYAVKILDGCGFYTKIGIQNLIDRQLVTIKDSKLMMHPLLRDMGREIIRQESPENLGKRSRIWYHGDAFTVLRQNIGTEAIKGLILNLQTVMEEQQHMISCLNHAKREYHEDLISKSRQKRYRLGFFSWQPVENGLTASFSMSNEVVFETKIFAKMHKLKLLQLNYVKLNGSYKDFPKSLIWLCWHGFPLKFLPSNLHLEKLVVLDMRYSSLKYVWKGIRVLEQLKILNLSHSHDLVCTPDFSGLPNLEELKLKGCINLVEVDESIGDLKRLVSLNLKGCRRLRKLPRKIFMRRSLEKLVLSGCSNLDELPSELGKMESLKVLHADGIVSSTTTPCYSTFWSWLSQRHAIEFSFPLTSPPHSLVHLSLANCNLSDDSINFSSLHSLKYLNLSGNLIYCLPKSINGLMKLESLLLDHCNMLQSIPELPTSLKVLSAEKCTSLKRIANLPNLMRSLSLNLTGCQQLVEVRDLFKLEPIGILDVEIGNKLGLFNWDFMENIKVEMFSVMTKTSRTAPPQVLLECGICSIFLPGSEVPDWYNPQLGGSPISFTVPLSRGHKIHGLNVCTVYACNNLRNGSGDHHCTKVWNKTKDLKWTYSPTFYGIPETIESMLWLSHWKLGDLLEVGDELNVSVVMPTGYHVQKLGIHLVYEREKEDTQLNCEETQQNTSSWYQNFSIVDADMYRVQRDAFFLCNHDYLLHQEISECGRDNFQQHSQLFEQ >Manes.09G158500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35434149:35440780:-1 gene:Manes.09G158500.v8.1 transcript:Manes.09G158500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGLSQCTFHVFLSFKGDDTGKNFSDHLYAALERDGFHTFRDDDGIVRGANFVAEVAKVMQHSKICIVVFSKNYASSIWCLDELVKIMELRKTVGLVVFPVFYDADPNQVWEQSGSYAEAFARHEELFKGQMDKVQGWRAVLREVTDLSGMDIQERHEADFIQDIVKKVGKRLDSSVLLHVPSYLVGIESRLKDINSWLQDVSNDPAIAIISGIGGIGKTVIAKKVYNLNLDRFEVSCFLSNIRETSKQPDGLTFLQNQLLEKSLNGKISKIKTVDEGSIKIKDAISCKRVLIVLDDVDQLEQLNAIIGMRDWLYPGSKIIITTRHEHLLSAYETYKKFRVKELDDGESLQLFSWHAFGENYPIEGYIEHSAKVIKRCFGIPLALQVLGSSLSVKYADEWESALEKYEAILDSKIQNILQISYDSLPDDHDKSLFLDIACFFVGRDVDYAVKILDGCGFYTKIGIQNLIDRQLVTIKDSKLMMHPLLRDMGREIIRQESPENLGKRSRIWYHGDAFTVLRQNIQGTEAIKGLILNLQTVMEEQQHMISCLNHAKREYHEDLISKSRQKRYRLGFFSWQPVENGLTASFSMSNEVVFETKIFAKMHKLKLLQLNYVKLNGSYKDFPKSLIWLCWHGFPLKFLPSNLHLEKLVVLDMRYSSLKYVWKGIRVLEQLKILNLSHSHDLVCTPDFSGLPNLEELKLKGCINLVEVDESIGDLKRLVSLNLKGCRRLRKLPRKIFMRRSLEKLVLSGCSNLDELPSELGKMESLKVLHADGIVSSTTTPCYSTFWSWLSQRHAIEFSFPLTSPPHSLVHLSLANCNLSDDSINFSSLHSLKYLNLSGNLIYCLPKSINGLMKLESLLLDHCNMLQSIPELPTSLKVLSAEKCTSLKRIANLPNLMRSLSLNLTGCQQLVEVRDLFKLEPIGILDVEIGNKLGLFNWDFMENIKVEMFSVMTKTSRTAPPQVLLECGICSIFLPGSEVPDWYNPQLGGSPISFTVPLSRGHKIHGLNVCTVYACNNLRNGSGDHHCTKVWNKTKDLKWTYSPTFYGIPETIESMLWLSHWKLGDLLEVGDELNVSVVMPTGYHVQKLGIHLVYEREKEDTQLNCEETQQNTSSWYQNFSIVDADMYRVQRDAFFLCNHDYLLHQEISECGRDNFQQHSQLFEQ >Manes.09G158500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35434149:35440780:-1 gene:Manes.09G158500.v8.1 transcript:Manes.09G158500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSKICIVVFSKNYASSIWCLDELVKIMELRKTVGLVVFPVFYDADPNQVWEQSGSYAEAFARHEELFKGQMDKVQGWRAVLREVTDLSGMDIQERHEADFIQDIVKKVGKRLDSSVLLHVPSYLVGIESRLKDINSWLQDVSNDPAIAIISGIGGIGKTVIAKKVYNLNLDRFEVSCFLSNIRETSKQPDGLTFLQNQLLEKSLNGKISKIKTVDEGSIKIKDAISCKRVLIVLDDVDQLEQLNAIIGMRDWLYPGSKIIITTRHEHLLSAYETYKKFRVKELDDGESLQLFSWHAFGENYPIEGYIEHSAKVIKRCFGIPLALQVLGSSLSVKYADEWESALEKYEAILDSKIQNILQISYDSLPDDHDKSLFLDIACFFVGRDVDYAVKILDGCGFYTKIGIQNLIDRQLVTIKDSKLMMHPLLRDMGREIIRQESPENLGKRSRIWYHGDAFTVLRQNIGTEAIKGLILNLQTVMEEQQHMISCLNHAKREYHEDLISKSRQKRYRLGFFSWQPVENGLTASFSMSNEVVFETKIFAKMHKLKLLQLNYVKLNGSYKDFPKSLIWLCWHGFPLKFLPSNLHLEKLVVLDMRYSSLKYVWKGIRVLEQLKILNLSHSHDLVCTPDFSGLPNLEELKLKGCINLVEVDESIGDLKRLVSLNLKGCRRLRKLPRKIFMRRSLEKLVLSGCSNLDELPSELGKMESLKVLHADGIVSSTTTPCYSTFWSWLSQRHAIEFSFPLTSPPHSLVHLSLANCNLSDDSINFSSLHSLKYLNLSGNLIYCLPKSINGLMKLESLLLDHCNMLQSIPELPTSLKVLSAEKCTSLKRIANLPNLMRSLSLNLTGCQQLVEVRDLFKLEPIGILDVEIGNKLGLFNWDFMENIKVEMFSVMTKTSRTAPPQVLLECGICSIFLPGSEVPDWYNPQLGGSPISFTVPLSRGHKIHGLNVCTVYACNNLRNGSGDHHCTKVWNKTKDLKWTYSPTFYGIPETIESMLWLSHWKLGDLLEVGDELNVSVVMPTGYHVQKLGIHLVYEREKEDTQLNCEETQQNTSSWYQNFSIVDADMYRVQRDAFFLCNHDYLLHQEISECGRDNFQQHSQLFEQ >Manes.09G158500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35434149:35440780:-1 gene:Manes.09G158500.v8.1 transcript:Manes.09G158500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSKICIVVFSKNYASSIWCLDELVKIMELRKTVGLVVFPVFYDADPNQVWEQSGSYAEAFARHEELFKGQMDKVQGWRAVLREVTDLSGMDIQERHEADFIQDIVKKVGKRLDSSVLLHVPSYLVGIESRLKDINSWLQDVSNDPAIAIISGIGGIGKTVIAKKVYNLNLDRFEVSCFLSNIRETSKQPDGLTFLQNQLLEKSLNGKISKIKTVDEGSIKIKDAISCKRVLIVLDDVDQLEQLNAIIGMRDWLYPGSKIIITTRHEHLLSAYETYKKFRVKELDDGESLQLFSWHAFGENYPIEGYIEHSAKVIKRCFGIPLALQVLGSSLSVKYADEWESALEKYEAILDSKIQNILQISYDSLPDDHDKSLFLDIACFFVGRDVDYAVKILDGCGFYTKIGIQNLIDRQLVTIKDSKLMMHPLLRDMGREIIRQESPENLGKRSRIWYHGDAFTVLRQNIQGTEAIKGLILNLQTVMEEQQHMISCLNHAKREYHEDLISKSRQKRYRLGFFSWQPVENGLTASFSMSNEVVFETKIFAKMHKLKLLQLNYVKLNGSYKDFPKSLIWLCWHGFPLKFLPSNLHLEKLVVLDMRYSSLKYVWKGIRVLEQLKILNLSHSHDLVCTPDFSGLPNLEELKLKGCINLVEVDESIGDLKRLVSLNLKGCRRLRKLPRKIFMRRSLEKLVLSGCSNLDELPSELGKMESLKVLHADGIVSSTTTPCYSTFWSWLSQRHAIEFSFPLTSPPHSLVHLSLANCNLSDDSINFSSLHSLKYLNLSGNLIYCLPKSINGLMKLESLLLDHCNMLQSIPELPTSLKVLSAEKCTSLKRIANLPNLMRSLSLNLTGCQQLVEVRDLFKLEPIGILDVEIGNKLGLFNWDFMENIKVEMFSVMTKTSRTAPPQVLLECGICSIFLPGSEVPDWYNPQLGGSPISFTVPLSRGHKIHGLNVCTVYACNNLRNGSGDHHCTKVWNKTKDLKWTYSPTFYGIPETIESMLWLSHWKLGDLLEVGDELNVSVVMPTGYHVQKLGIHLVYEREKEDTQLNCEETQQNTSSWYQNFSIVDADMYRVQRDAFFLCNHDYLLHQEISECGRDNFQQHSQLFEQ >Manes.09G158500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35434149:35440780:-1 gene:Manes.09G158500.v8.1 transcript:Manes.09G158500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGLSQCTFHVFLSFKGDDTGKNFSDHLYAALERDGFHTFRDDDGIVRGANFVAEVAKVMQHSKICIVVFSKNYASSIWCLDELVKIMELRKTVGLVVFPVFYDADPNQVWEQSGSYAEAFARHEELFKGQMDKVQGWRAVLREVTDLSGMDIQERHEADFIQDIVKKVGKRLDSSVLLHVPSYLVGIESRLKDINSWLQDVSNDPAIAIISGIGGIGKTVIAKKVYNLNLDRFEVSCFLSNIRETSKQPDGLTFLQNQLLEKSLNGKISKIKTVDEGSIKIKDAISCKRVLIVLDDVDQLEQLNAIIGMRDWLYPGSKIIITTRHEHLLSAYETYKKFRVKELDDGESLQLFSWHAFGENYPIEGYIEHSAKVIKRCFGIPLALQVLGSSLSVKYADEWESALEKYEAILDSKIQNILQISYDSLPDDHDKSLFLDIACFFVGRDVDYAVKILDGCGFYTKIGIQNLIDRQLVTIKDSKLMMHPLLRDMGREIIRQESPENLGKRSRIWYHGDAFTVLRQNIGTEAIKGLILNLQTVMEEQQHMISCLNHAKREYHEDLISKSRQKRYRLGFFSWQPVENGLTASFSMSNEVVFETKIFAKMHKLKLLQLNYVKLNGSYKDFPKSLIWLCWHGFPLKFLPSNLHLEKLVVLDMRYSSLKYVWKGIRVLEQLKILNLSHSHDLVCTPDFSGLPNLEELKLKGCINLVEVDESIGDLKRLVSLNLKGCRRLRKLPRKIFMRRSLEKLVLSGCSNLDELPSELGKMESLKVLHADGIVSSTTTPCYSTFWSWLSQRHAIEFSFPLTSPPHSLVHLSLANCNLSDDSINFSSLHSLKYLNLSGNLIYCLPKSINGLMKLESLLLDHCNMLQSIPELPTSLKVLSAEKCTSLKRIANLPNLMRSLSLNLTGCQQLVEVRDLFKLEPIGILDVEIGNKLGLFNWDFMENIKVEMFSVMTKTSRTAPPQVLLECGICSIFLPGSEVPDWYNPQLGGSPISFTVPLSRGHKIHGLNVCTVYACNNLRNGSGDHHCTKVWNKTKDLKWTYSPTFYGIPETIESMLWLSHWKLGDLLEVGDELNVSVVMPTGYHVQKLGIHLVYEREKEDTQLNCEETQQNTSSWYQNFSIVDADMYRVQRDAFFLCNHDYLLHQEISECGRDNFQQHSQLFEQ >Manes.09G158500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35434149:35440780:-1 gene:Manes.09G158500.v8.1 transcript:Manes.09G158500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGLSQCTFHVFLSFKGDDTGKNFSDHLYAALERDGFHTFRDDDGIVRGANFVAEVAKVMQHSKICIVVFSKNYASSIWCLDELVKIMELRKTVGLVVFPVFYDADPNQVWEQSGSYAEAFARHEELFKGQMDKVQGWRAVLREVTDLSGMDIQERHEADFIQDIVKKVGKRLDSSVLLHVPSYLVGIESRLKDINSWLQDVSNDPAIAIISGIGGIGKTVIAKKVYNLNLDRFEVSCFLSNIRETSKQPDGLTFLQNQLLEKSLNGKISKIKTVDEGSIKIKDAISCKRVLIVLDDVDQLEQLNAIIGMRDWLYPGSKIIITTRHEHLLSAYETYKKFRVKELDDGESLQLFSWHAFGENYPIEGYIEHSAKVIKRCFGIPLALQVLGSSLSVKYADEWESALEKYEAILDSKIQNILQISYDSLPDDHDKSLFLDIACFFVGRDVDYAVKILDGCGFYTKIGIQNLIDRQLVTIKDSKLMMHPLLRDMGREIIRQESPENLGKRSRIWYHGDAFTVLRQNIQGTEAIKGLILNLQTVMEEQQHMISCLNHAKREYHEDLISKSRQKRYRLGFFSWQPVENGLTASFSMSNEVVFETKIFAKMHKLKLLQLNYVKLNGSYKDFPKSLIWLCWHGFPLKFLPSNLHLEKLVVLDMRYSSLKYVWKGIRVLEQLKILNLSHSHDLVCTPDFSGLPNLEELKLKGCINLVEVDESIGDLKRLVSLNLKGCRRLRKLPRKIFMRRSLEKLVLSGCSNLDELPSELGKMESLKVLHADGIVSSTTTPCYSTFWSWLSQRHAIEFSFPLTSPPHSLVHLSLANCNLSDDSINFSSLHSLKYLNLSGNLIYCLPKSINGLMKLESLLLDHCNMLQSIPELPTSLKVLSAEKCTSLKRIANLPNLMRSLSLNLTGCQQLVEVRDLFKLEPIGILDVEIGNKLGLFNWDFMENIKVEMFSVMTKTSRTAPPQVLLECGICSIFLPGSEVPDWYNPQLGGSPISFTVPLSRGHKIHGLNVCTVYACNNLRNGSGDHHCTKVWNKTKDLKWTYSPTFYGIPETIESMLWLSHWKLGDLLEVGDELNVSVVMPTGYHVQKLGIHLVYEREKEDTQLNCEETQQNTSSWYQNFSIVDADMYRVQRDAFFLCNHDYLLHQEISECGRDNFQQHSQLFEQ >Manes.01G031800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6246119:6250576:1 gene:Manes.01G031800.v8.1 transcript:Manes.01G031800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDANASTVEGKSPNKGSEVADATLKMSNTDFEQKNATSGNSSCLLWALFPCALICNLCGSLDESSSQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDCFDHHCRWLNNCIGKRNYRQFFTLMVSALLLLILQWTTGILVLVCCFLERKRFSVDISSKLGSSFSLVPFVIVVALCTILAMIATLPLAQLFFFHILLVKKGISTYDYIVALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFVEDQFDVVPPETGSVSSLGKKTAREEPIRKKNSAAVKISPWTLARLNAEEVSKAAAEARKKSRILQPVVRREAPFGLEGVSSIDSNGHRMVPRPDNNRRRVNKRVRLPADIPMEPVTKVSGMAAETGFSGTSTNLAPLQLEARSAFQISRAMSSSPGVVASSPESSLESPDIHPFRVSSSGAEESRRLTGLSVAGAASHSGIPLSRSTSDGYEASGGEDSDRVPPRLVQRSTNWNLLFHPDQDESVVRLKASSSSSSQANNRKL >Manes.01G031800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6244785:6250576:1 gene:Manes.01G031800.v8.1 transcript:Manes.01G031800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVSVFLALGFAFYVFFAPFVGKKVFQYIVMGIYTPLITCVFGLYIWCAAADPADPGVFRSKKYLNVPHDGKHAQQKDSKLGVGGESTSSMHDANASTVEGKSPNKGSEVADATLKMSNTDFEQKNATSGNSSCLLWALFPCALICNLCGSLDESSSQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDCFDHHCRWLNNCIGKRNYRQFFTLMVSALLLLILQWTTGILVLVCCFLERKRFSVDISSKLGSSFSLVPFVIVVALCTILAMIATLPLAQLFFFHILLVKKGISTYDYIVALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFVEDQFDVVPPETGSVSSLGKKTAREEPIRKKNSAAVKISPWTLARLNAEEVSKAAAEARKKSRILQPVVRREAPFGLEGVSSIDSNGHRMVPRPDNNRRRVNKRVRLPADIPMEPVTKVSGMAAETGFSGTSTNLAPLQLEARSAFQISRAMSSSPGVVASSPESSLESPDIHPFRVSSSGAEESRRLTGLSVAGAASHSGIPLSRSTSDGYEASGGEDSDRVPPRLVQRSTNWNLLFHPDQDESVVRLKASSSSSSQANNRKL >Manes.01G031800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6244790:6250576:1 gene:Manes.01G031800.v8.1 transcript:Manes.01G031800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVSVFLALGFAFYVFFAPFVGKKVFQYIVMGIYTPLITCVFGLYIWCAAADPADPGVFRSKKYLNVPHDGKHAQQKDSKLGVGGESTSSMHDANASTVEGKSPNKGSEVADATLKMSNTDFEQKNATSGNSSCLLWALFPCALICNLCGSLDESSSQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDCFDHHCRWLNNCIGKRNYRQFFTLMVSALLLLILQWTTGILVLVCCFLERKRFSVDISSKLGSSFSLVPFVIVVALCTILAMIATLPLAQLFFFHILLVKKGISTYDYIVALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFVEDQFDVVPPETGSVSSLGKKTAREEPIRKKNSAAVKISPWTLARLNAEEVSKAAAEARKKSRILQPVVRREAPFGLEGVSSIDSNGHRMVPRPDNNRRRVNKRVRLPADIPMEPVTKVSGMAAETGFSGTSTNLAPLQLEARSAFQISRAMSSSPGVVASSPESSLESPDIHPFRVSSSGAEESRRLTGLSVAGAASHSGIPLSRSTSDGYEASGGEDSDRVPPRLVQRSTNWNLLFHPDQDESVVRLKASSSSSSQANNRKL >Manes.01G031800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6244790:6250576:1 gene:Manes.01G031800.v8.1 transcript:Manes.01G031800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVSVFLALGFAFYVFFAPFVGKKVFQYIVMGIYTPLITCVFGLYIWCAAADPADPGVFRSKKYLNVPHDGKHAQQKDSKLGVGGESTSSMHDANASTVEGKSPNKGSEVADATLKMSNTDFEQKNATSGNSSCLLWALFPCALICNLCGSLDESSSQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDCFDHHCRWLNNCIGKRNYRQFFTLMVSALLLLILQWTTGILVLVCCFLERKRFSVDISSKLGSSFSLVPFVIVVALCTILAMIATLPLAQLFFFHILLVKKGISTYDYIVALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFVEDQFDVVPPETGSVSSLGKKTAREEPIRKKNSAAVKISPWTLARLNAEEVSKAAAEARKKSRILQPVVRREAPFGLEGVSSIDSNGHRMVPRPDNNRRRVNKRVRLPADIPMEPVTKVSGMAAETGFSGTSTNLAPLQLEARSAFQISRAMSSSPGVVASSPESSLESPDIHPFRVSSSGAEESRRLTGLSVAGAASHSGIPLSRSTSDGYEASGGEDSDRVPPRLVQRSTNWNLLFHPDQDESVVRLKASSSSSSQANNRKL >Manes.01G031800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6244771:6250576:1 gene:Manes.01G031800.v8.1 transcript:Manes.01G031800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVSVFLALGFAFYVFFAPFVGKKVFQYIVMGIYTPLITCVFGLYIWCAAADPADPGVFRSKKYLNVPHDGKHAQQKDSKLGVGGESTSSMHDANASTVEGKSPNKGSEVADATLKMSNTDFEQKNATSGNSSCLLWALFPCALICNLCGSLDESSSQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDCFDHHCRWLNNCIGKRNYRQFFTLMVSALLLLILQWTTGILVLVCCFLERKRFSVDISSKLGSSFSLVPFVIVVALCTILAMIATLPLAQLFFFHILLVKKGISTYDYIVALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFVEDQFDVVPPETGSVSSLGKKTAREEPIRKKNSAAVKISPWTLARLNAEEVSKAAAEARKKSRILQPVVRREAPFGLEGVSSIDSNGHRMVPRPDNNRRRVNKRVRLPADIPMEPVTKVSGMAAETGFSGTSTNLAPLQLEARSAFQISRAMSSSPGVVASSPESSLESPDIHPFRVSSSGAEESRRLTGLSVAGAASHSGIPLSRSTSDGYEASGGEDSDRVPPRLVQRSTNWNLLFHPDQDESVVRLKASSSSSSQANNRKL >Manes.09G154600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35088171:35096086:-1 gene:Manes.09G154600.v8.1 transcript:Manes.09G154600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTTTDESDVGIFCYISQLPGFRGILKQRYSDFIVNEVDNDGNVVHLTSLEVPPEIAETVDGGEKNVSNQIGKSYASEIESFRSLAGDSDALRLEAFLTQVTTESEDSTSISPILLSPSSDKANRTAMHNFFKENFKFLVTDTVDGPDASSKCIRVRLNSGGHNNGGRNSKKRRDRSDKPFDSRGSSHWPERLGKFLRFHLYKENKDTQEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRVAALNERLIGIKVGDFCHVKEGLLLGQLLGNRFTVTLRGVIADSEDTIKGSADSLGRHGFINYFGLQRFGSGSVPTHLIGAALLRGEWKSAASMILDPREGERDVVRKAREYYKESDDIEGTLRQLPRHLIAERAILQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASARVQKYGTHQVVVGDLVYCKRDDTARETSGVNLECEDLSYDEVDDCSNLDETPGTYVPEWKSTLVKAVTAEDISTGNYTIDDVILPMPGSRVSYPTNDIAQVYHDLSKKDAINLTESVHNVKEFSITSMTGSYRRVFQKPMDFEWELLSYTDGNVPLAETDFDKIAKVKSKSLFKEEEQVNEKEDRNPSDCTGLPASFQNDIHLSTGYNETEGEREVGLPQVESLCNSNSQGAQLALKLSFTLPASSYATMAIRELLKTSTSVAFHKTLNQ >Manes.09G154600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35088171:35096086:-1 gene:Manes.09G154600.v8.1 transcript:Manes.09G154600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTTTDESDVGIFCYISQLPGFRGILKQRYSDFIVNEVDNDGNVVHLTSLEVPPEIAETVDGGEKNVSNQIGKSYASEIESFRSLAGDSDALRLEAFLTQVTTESEDSTSISPILLSPSSDKANRTAMHNFFKENFKFLVTDTVDGPDASSKCIRVRLNSGGHNNGGRNSKKRRDRSDKPFDSRGSSHWPERLGKFLRFHLYKENKDTQEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRVAALNERLIGIKVGDFCHVKEGLLLGQLLGNRFTVTLRGVIADSEDTIKGSADSLGRHGFINYFGLQRFGSGSVPTHLIGAALLRGEWKSAASMILDPREGERDVVRKAREYYKESDDIEGTLRQLPRHLIAERAILQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASARVQKYGTHQVVVGDLVYCKRDDTARETSGVNLECEDLSYDEVDDCSNLDETPGTYVPEWKSTLVKAVTAEDISTGNYTIDDVILPMPG >Manes.09G154600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35088171:35096086:-1 gene:Manes.09G154600.v8.1 transcript:Manes.09G154600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTTTDESDVGIFCYISQLPGFRGILKQRYSDFIVNEVDNDGNVVHLTSLEVPPEIAETVDGGEKNVSNQIGKSYASEIESFRSLAGDSDALRLEAFLTQVTTESEDSTSISPILLSPSSDKANRTAMHNFFKENFKFLVTDTVDGPDASSKCIRVRLNSGGHNNGGRNSKKRRDRSDKPFDSRGSSHWPERLGKFLRFHLYKENKDTQEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRVAALNERLIGIKVGDFCHVKEGLLLGQLLGNRFTVTLRGVIADSEDTIKGSADSLGRHGFINYFGLQRFGSGSVPTHLIGAALLRGEWKSAASMILDPREGERDVVRKAREYYKESDDIEGTLRQLPRHLIAERAILQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASARVQKYGTHQVVVGDLVYCKRDDTARETSGVNLECEDLSYDEVDDCSNLDETPGTYVPEWKSTLVKAVTAEDISTGNYTIDDVILPMPGSRVSYPTNDIAQVYHDLSKKDAINLTESVHNVKEFSITSMTGSYRRVFQKPMDFEWELLSYTDGNVPLAETDFDKIAKVKSKSLFKEEEQVNEKEDRNPSDCTGLPASFQNDIHLSTGYNETEGEREVGLPQVESLCNSNSQGAQLALKLSFTLPASSYATMAIRELLKTSTSVAFHKTLNQ >Manes.09G154600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35088171:35096086:-1 gene:Manes.09G154600.v8.1 transcript:Manes.09G154600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTTTDESDVGIFCYISQLPGFRGILKQRYSDFIVNEVDNDGNVVHLTSLEVPPEIAETVDGGEKNVSNQIGKSYASEIESFRSLAGDSDALRLEAFLTQVTTESEDSTSISPILLSPSSDKANRTAMHNFFKENFKFLVTDTVDGPDASSKCIRVRLNSGGHNNGGRNSKKRRDRSDKPFDSRGSSHWPERLGKFLRFHLYKENKDTQEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRVAALNERLIGIKVGDFCHVKEGLLLGQLLGNRFTVTLRGVIADSEDTIKGSADSLGRHGFINYFGLQRFGSGSVPTHLIGAALLRGEWKSAASMILDPREGERDVVRKAREYYKESDDIEGTLRQLPRHLIAERAILQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASARVQKYGTHQVVVGDLVYCKRDDTARETSGVNLECEDLSYDEVDDCSNLDETPGTYVPEWKSTLVKVDSYIIALKETLSSFCVHIYQSSLEYHTNHLFFFIMHVMLTVNSILLVLLTIVNLMHISFIT >Manes.09G154600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35088171:35096086:-1 gene:Manes.09G154600.v8.1 transcript:Manes.09G154600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTTTDESDVGIFCYISQLPGFRGILKQRYSDFIVNEVDNDGNVVHLTSLEVPPEIAETVDGGEKNVSNQIGKSYASEIESFRSLAGDSDALRLEAFLTQVTTESEDSTSISPILLSPSSDKANRTAMHNFFKENFKFLVTDTVDGPDASSKCIRVRLNSGGHNNGGRNSKKRRDRSDKPFDSRGSSHWPERLGKFLRFHLYKENKDTQEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRVAALNERLIGIKVGDFCHVKEGLLLGQLLGNRFTVTLRGVIADSEDTIKGSADSLGRHGFINYFGLQRFGSGSVPTHLIGAALLRGEWKSAASMILDPREGERDVVRKAREYYKESDDIEGTLRQLPRHLIAERAILQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASARVQKYGTHQVVVGDLVYCKRDDTARETSGVNLECEDLSYDEVDDCSNLDETPGTYVPEWKSTLVKAVTAEDISTGNYTIDDVILPMPGSRVSYPTNDIAQVYHDLSKKDAINLTESVHNVKEFSITSMTGSYRRVFQKPMDFEWELLSYTDGNVPLAETDFDKIAKVKSKSLFKEEEQVNEKEDRNPSDCTGLPASFQNDIHLSTGYNETEGEREVGLPQVESLCNSNSQGAQLALKLSFTLPASSYATMAIRELLKTSTSVAFHKTLNQ >Manes.09G154600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35088171:35096086:-1 gene:Manes.09G154600.v8.1 transcript:Manes.09G154600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTTTDESDVGIFCYISQLPGFRGILKQRYSDFIVNEVDNDGNVVHLTSLEVPPEIAETVDGGEKNVSNQIGKSYASEIESFRSLAGDSDALRLEAFLTQVTTESEDSTSISPILLSPSSDKANRTAMHNFFKENFKFLVTDTVDGPDASSKCIRVRLNSGGHNNGGRNSKKRRDRSDKPFDSRGSSHWPERLGKFLRFHLYKENKDTQEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRVAALNERLIGIKVGDFCHVKEGLLLGQLLGNRFTVTLRGVIADSEDTIKGSADSLGRHGFINYFGLQRFGSGSVPTHLIGAALLRGEWKSAASMILDPREGERDVVRKAREYYKESDDIEGTLRQLPRHLIAERAIVSCPPLLQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASARVQKYGTHQVVVGDLVYCKRDDTARETSGVNLECEDLSYDEVDDCSNLDETPGTYVPEWKSTLVKAVTAEDISTGNYTIDDVILPMPGSRVSYPTNDIAQVYHDLSKKDAINLTESVHNVKEFSITSMTGSYRRVFQKPMDFEWELLSYTDGNVPLAETDFDKIAKVKSKSLFKEEEQVNEKEDRNPSDCTGLPASFQNDIHLSTGYNETEGEREVGLPQVESLCNSNSQGAQLALKLSFTLPASSYATMAIRELLKTSTSVAFHKTLNQ >Manes.09G154600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35089126:35096086:-1 gene:Manes.09G154600.v8.1 transcript:Manes.09G154600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKTTTDESDVGIFCYISQLPGFRGILKQRYSDFIVNEVDNDGNVVHLTSLEVPPEIAETVDGGEKNVSNQIGKSYASEIESFRSLAGDSDALRLEAFLTQVTTESEDSTSISPILLSPSSDKANRTAMHNFFKENFKFLVTDTVDGPDASSKCIRVRLNSGGHNNGGRNSKKRRDRSDKPFDSRGSSHWPERLGKFLRFHLYKENKDTQEAIGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRVAALNERLIGIKVGDFCHVKEGLLLGQLLGNRFTVTLRGVIADSEDTIKGSADSLGRHGFINYFGLQRFGSGSVPTHLIGAALLRGEWKSAASMILDPREGERDVVRKAREYYKESDDIEGTLRQLPRHLIAERAIVSCPPLLQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASARVQKYGTHQVVVGDLVYCKRDDTARETSGVNLECEDLSYDEVDDCSNLDETPGTYVPEWKSTLVKAVTAEDISTGNYTIDDVILPMPGSRVSYPTNDIAQVYHDLSKKDAINLTESVHNVKEFSITSMTGSYRRVFQKPMDFEWELLSYTDGNVPLAETDFDKIAKVKSKSLFKEEEQVNEKEDRNPSDCTGLPASFQNDIHLSTGYNETEGEREVGLPQVESLCNSNSQGAQLALKLSFTLPASSYATMAIRELLKTSTSVAFHKTLNQ >Manes.05G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26603389:26607856:1 gene:Manes.05G154900.v8.1 transcript:Manes.05G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSSSWITMHKKRWPLMIFALFTLSTVMVFFMRSAFDSCHSTPSGTDNHFREANEVQKAPIHSVSQSQTPSIAPNPLYFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGAQVIWITNQKPAEPDEVIYSLEHKMLDRGVQVLPAKGQSAIDTALKADLVVLNTAVAGKWLDAVLKENVQQVLPKVLWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTADYWKNRTKERLGIRMPETYVVHLGNSKDLMEVAEDTVAKRALREHVRESLGVRNDDLLFAIINSVSRGKGQDLFLRSFYESLQLIQEKKLQVPSLHAVVVGSDMNVQTKFETELRKFVQEKKIQNCVHFINKTLTVAPYLASIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTMEIVVNGTTGLLHPVGKGGITPLANNIVKLATHVERRLTMGKKGYERVKENFLEHHMSHRIALVLKEVLKKAKS >Manes.13G096600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28617444:28631033:1 gene:Manes.13G096600.v8.1 transcript:Manes.13G096600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVILTLRYFAGPEVPRYVLFTVGYTWFCSLSIIILVPADIYTAKFQLNNGGISFFWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVTERLKTSIHANLVFYLIVGSIGLFGLILLVLTQKRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKNLWRNADWTTRQKVLSHKIAKMAVKLDDAHQELSNAIVVAQATSTQMSKRDPLRPYMNVIDNMLAQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRVAREGYYRYKSEYMNYVLEALELEDTIKNYERGSSTGWKYISSFRPARTGKLGACLDTMEFFLRCILSKQFEKLSAIVLGTMSAAILLAEATLLPSSFNLSLFSLLINSIGKQEIFVQVLALIPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLINLDAKTIFEKVSVLAILIDMLIFCRN >Manes.13G096600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28617444:28631033:1 gene:Manes.13G096600.v8.1 transcript:Manes.13G096600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVILTLRYFAGPEVPRYVLFTVGYTWFCSLSIIILVPADIYTAKFQLNNGGISFFWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVTERLKTSIHANLVFYLIVGSIGLFGLILLVLTQKRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKNLWRNADWTTRQKVLSHKIAKMAVKLDDAHQELSNAIVVAQATSTQMSKRDPLRPYMNVIDNMLAQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRVAREGYYRYKSEYMNYVLEALELEDTIKNYERGSSTGWKYISSFRPARTGKLGACLDTMEFFLRCILSKQFEKLSAIVLGTMSAAILLAEATLLPSSFNLSLFSLLINSIGKQEIFVQTSSVSLLMICSMVARYAPPISYNFLNLINLDAKTIFEKRMGKIDDVLKIFGSGFNKIYPLIMVIYTLLVASNFFDRVFGFFGSWKRFRFQTETDDTDGFDPSGLIILQKERSWLEQGQKVGEHVIPLARNFNNVDMESGSNSADKTAVEMKATTSLVADAKKGSPSSPLKEGGHRRVTSKEAISNKYSVLREESRHANTAPADKNVTSAKASLLDVGNSESNNTKGGPSGLASKWESMKNGFQNFKANIGSKKFLPLRQIQETQFISRVSSSESLDEIFQRLKRPSNDHGSNSDDDAMTSGPGR >Manes.13G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28617422:28631033:1 gene:Manes.13G096600.v8.1 transcript:Manes.13G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVILTLRYFAGPEVPRYVLFTVGYTWFCSLSIIILVPADIYTAKFQLNNGGISFFWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVTERLKTSIHANLVFYLIVGSIGLFGLILLVLTQKRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKNLWRNADWTTRQKVLSHKIAKMAVKLDDAHQELSNAIVVAQATSTQMSKRDPLRPYMNVIDNMLAQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRVAREGYYRYKSEYMNYVLEALELEDTIKNYERGSSTGWKYISSFRPARTGKLGACLDTMEFFLRCILSKQFEKLSAIVLGTMSAAILLAEATLLPSSFNLSLFSLLINSIGKQEIFVQVLALIPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLINLDAKTIFEKRMGKIDDVLKIFGSGFNKIYPLIMVIYTLLVASNFFDRVFGFFGSWKRFRFQTETDDTDGFDPSGLIILQKERSWLEQGQKVGEHVIPLARNFNNVDMESGSNSADKTAVEMKATTSLVADAKKGSPSSPLKEGGHRRVTSKEAISNKYSVLREESRHANTAPADKNVTSAKASLLDVGNSESNNTKGGPSGLASKWESMKNGFQNFKANIGSKKFLPLRQIQETQFISRVSSSESLDEIFQRLKRPSNDHGSNSDDDAMTSGPGR >Manes.13G096600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28617444:28631033:1 gene:Manes.13G096600.v8.1 transcript:Manes.13G096600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVILTLRYFAGPEVPRYVLFTVGYTWFCSLSIIILVPADIYTAKFQLNNGGISFFWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVTERLKTSIHANLVFYLIVGSIGLFGLILLVLTQKRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKNLWRNADWTTRQKVLSHKIAKMAVKLDDAHQELSNAIVVAQATSTQMSKRDPLRPYMNVIDNMLAQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRVAREGYYRYKSEYMNYVLEALELEDTIKNYERGSSTGWKYISSFRPARTGKLGACLDTMEFFLRCILSKQFEKLSAIVLGTMSAAILLAEATLLPSSFNLSLFSLLINSIGKQEIFVQVLALIPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLINLDAKTIFEKRMGKIDDVLKIFGSGFNKIYPLIMVIYTLLVASNFFDRVFGFFGSWKRFRFQTETDDTDGFDPSGLIILQKERSWLEQGQKVGEHVIPLARNFNNVDMESGSNSAIHHRIIIKENSQDKTAVEMKATTSLVADAKKGSPSSPLKEGGHRRVTSKEAISNKYSVLREESRHANTAPADKNVTSAKASLLDVGNSESNNTKGGPSGLASKWESMKNGFQNFKANIGSKKFLPLRQIQETQFISRVSSSESLDEIFQRLKRPSNDHGSNSDDDAMTSGPGR >Manes.13G096600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28617444:28631033:1 gene:Manes.13G096600.v8.1 transcript:Manes.13G096600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRDPLRPYMNVIDNMLAQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRVAREGYYRYKSEYMNYVLEALELEDTIKNYERGSSTGWKYISSFRPARTGKLGACLDTMEFFLRCILSKQFEKLSAIVLGTMSAAILLAEATLLPSSFNLSLFSLLINSIGKQEIFVQVLALIPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLINLDAKTIFEKRMGKIDDVLKIFGSGFNKIYPLIMVIYTLLVASNFFDRVFGFFGSWKRFRFQTETDDTDGFDPSGLIILQKERSWLEQGQKVGEHVIPLARNFNNVDMESGSNSADKTAVEMKATTSLVADAKKGSPSSPLKEGGHRRVTSKEAISNKYSVLREESRHANTAPADKNVTSAKASLLDVGNSESNNTKGGPSGLASKWESMKNGFQNFKANIGSKKFLPLRQIQETQFISRVSSSESLDEIFQRLKRPSNDHGSNSDDDAMTSGPGR >Manes.13G096600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28617444:28631033:1 gene:Manes.13G096600.v8.1 transcript:Manes.13G096600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSPLDTPGSVLFLLSSLYLPISIRAVVPLIQGFEDAGDFTVTERLKTSIHANLVFYLIVGSIGLFGLILLVLTQKRSGSNVLGVAMACSNTFGLVTGAFLLGFGLSEIPKNLWRNADWTTRQKVLSHKIAKMAVKLDDAHQELSNAIVVAQATSTQMSKRDPLRPYMNVIDNMLAQMFREDPSFKPQGGRLGENDMDYDTDEKSMATLRRHLRVAREGYYRYKSEYMNYVLEALELEDTIKNYERGSSTGWKYISSFRPARTGKLGACLDTMEFFLRCILSKQFEKLSAIVLGTMSAAILLAEATLLPSSFNLSLFSLLINSIGKQEIFVQVLALIPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLINLDAKTIFEKRMGKIDDVLKIFGSGFNKIYPLIMVIYTLLVASNFFDRVFGFFGSWKRFRFQTETDDTDGFDPSGLIILQKERSWLEQGQKVGEHVIPLARNFNNVDMESGSNSADKTAVEMKATTSLVADAKKGSPSSPLKEGGHRRVTSKEAISNKYSVLREESRHANTAPADKNVTSAKASLLDVGNSESNNTKGGPSGLASKWESMKNGFQNFKANIGSKKFLPLRQIQETQFISRVSSSESLDEIFQRLKRPSNDHGSNSDDDAMTSGPGR >Manes.01G080964.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28402871:28415710:1 gene:Manes.01G080964.v8.1 transcript:Manes.01G080964.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAWSFSEISANLALLHQQNGNQSPSRIAGGESKHHHHHHYRHHRHNDWWRRWRSLATQQRKKIPWSLVCGLMLFILGLISLFAGHVASDLEWYSQRLVTHSLGRLGGNRREPIDIWKSKYSKFFYGCSERGRNFAPAKREQSSNGYLLIAASGGLNQQRTGIIDAVVVARILNATLVVPELDHHSYWKDDSDFVNIFDVNWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPSEYYLDQVLPILLRRRVVQLTKFDYRLANDLDDENLQKLRCQVNYHALRFAKPIQDIGQRLVMKMRKMAKRFIAIHLRFEPDMLAFSGCYYGGGEKEKFELGEIRKRWKTLPDLSAKEERARGKCPLTPYEVGLMLRALGFTNDTYIYVASGEIYGGEDTLRPLRELFPNFYTKEMLANEELEHFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGQRRYAGHKRTIRPNAKRLSALLMKRDKMDWDTFAKKVKSCQKGFMGEPDEMKPGRGEFHEYPSPCICERPLVDEGSNHDEDHLLEQVPVKSKAKVPSKVYEGE >Manes.01G080964.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28402871:28415611:1 gene:Manes.01G080964.v8.1 transcript:Manes.01G080964.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAWSFSEISANLALLHQQNGNQSPSRIAGGESKHHHHHHYRHHRHNDWWRRWRSLATQQRKKIPWSLVCGLMLFILGLISLFAGHVASDLEWYSQRLVTHSLGRLGGNRREPIDIWKSKYSKFFYGCSERGRNFAPAKREQSSNGYLLIAASGGLNQQRTGIIDAVVVARILNATLVVPELDHHSYWKDDSDFVNIFDVNWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPSEYYLDQVLPILLRRRVVQLTKFDYRLANDLDDENLQKLRCQVNYHALRFAKPIQDIGQRLVMKMRKMAKRFIAIHLRFEPDMLAFSGCYYGGGEKEKFELGEIRKRWKTLPDLSAKEERARGKCPLTPYEVGLMLRALGFTNDTYIYVASGEIYGGEDTLRPLRELFPNFYTKEMLANEELEHFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGQRRYAGHKRTIRPNAKRLSALLMKRDKMDWDTFAKKVKSCQKGFMGEPDEMKPGRGEFHEYPSPCICERPLVDEGSNHDEDHLLEQVPVKSKAKVPSKVYEGE >Manes.01G080964.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28402871:28415611:1 gene:Manes.01G080964.v8.1 transcript:Manes.01G080964.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKAWSFSEISANLALLHQQNGNQSPSRIAGGESKHHHHHHYRHHRHNDWWRRWRSLATQQRKKIPWSLVCGLMLFILGLISLFAGHVASDLEWYSQRLVTHSLGRLGGNRREPIDIWKSKYSKFFYGCSERGRNFAPAKREQSSNGYLLIAASGGLNQQRTGIIDAVVVARILNATLVVPELDHHSYWKDDSDFVNIFDVNWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPSEYYLDQVLPILLRRRVVQLTKFDYRLANDLDDENLQKLRCQVNYHALRFAKPIQDIGQRLVMKMRKMAKRFIAIHLRFEPDMLAFSGCYYGGGEKEKFELGEIRKRWKTLPDLSAKEERARGKCPLTPYEVGLMLRALGFTNDTYIYVASGEIYGGEDTLRPLRELFPNFYTKEMLANEELEHFLPFSSRLAAIDYIVCDESDVFVTNNNGNMAKILAGQRRYAGHKRTIRPNAKRLSALLMKRDKMDWDTFAKKVKSCQKGFMGEPDEMKPGRGEFHEYPSPCICERPLVDEGSNHDEDHLLEQVPVKSKAKVPSKVYEGE >Manes.08G030200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2943852:2945664:1 gene:Manes.08G030200.v8.1 transcript:Manes.08G030200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSISAKSTPRRLEGKVALITGGASGIGECTARLFVQHGAKVLIADVQDNLGKSLCQEFGSQEDIISYVHCDVTSDSDVQNAVDTAVSRYGKLDIMFNNAGIEGDAEPTILACSEENFKRVFDVNTFGAFLGAKHAARVMIPAKKGCILFTSSLASVCCIASHAYTASKHAVVGLAKNLCVELGQYGIRVNIISPYAVASPMLERGLKMEKKEAEEFISSTANLKGVVLEPEDIAHAALYLGSDESKYVSGINFVVDGGYSLTNPSFGMAMKSLFASDK >Manes.11G045800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4913066:4917674:1 gene:Manes.11G045800.v8.1 transcript:Manes.11G045800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTKARLDMLKKKKSSVAKFLKNDMAELIRNGLDYNAYCRAEGLLVEQEMLACFNFIEQFCECISSNLSAICKQRECPEECREAVQSLIYAAARIPEFPELRDLRTLFVERYGTHLESFINKQFVETLRPKTTTKEMKLQLMHDIAEEFNIQWNAKSLEQELFKQPQENNRFPKNNDDISPKVNCKGNDGGDDFTKRTKHETGNKVNDTVEDTLLRKEKSKLAFRGRKNSFNERYNLPCSSEDKVISHRRKGSSDLDSLPTGNVHTEADLISEAKTKPKSVRRRPLKPPPGHETVGIVDRPLKRPPGHENFVRPESGAVAKADSTAVKEDEVKRGSMKTQGDDIDQKEEEEKIIDGLLMHYCKDSMKPNLKPPPKLRSTKSDLHFPPGREAYQAEEASPKKAAKGHNRLVSMQPENGHVHPNLPDYEDLAARFAALKRR >Manes.11G045800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4914664:4917674:1 gene:Manes.11G045800.v8.1 transcript:Manes.11G045800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLNLSGMALITMLIAGECPEECREAVQSLIYAAARIPEFPELRDLRTLFVERYGTHLESFINKQFVETLRPKTTTKEMKLQLMHDIAEEFNIQWNAKSLEQELFKQPQENNRFPKNNDDISPKVNCKGNDGGDDFTKRTKHETGNKVNDTVEDTLLRKEKSKLAFRGRKNSFNERYNLPCSSEDKVISHRRKGSSDLDSLPTGNVHTEADLISEAKTKPKSVRRRPLKPPPGHETVGIVDRPLKRPPGHENFVRPESGAVAKADSTAVKEDEVKRGSMKTQGDDIDQKEEEEKIIDGLLMHYCKDSMKPNLKPPPKLRSTKSDLHFPPGREAYQAEEASPKKAAKGHNRLVSMQPENGHVHPNLPDYEDLAARFAALKRR >Manes.11G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4914664:4917674:1 gene:Manes.11G045800.v8.1 transcript:Manes.11G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLLKSKFYSKCKSLMKMTKARLDMLKKKKSSVAKFLKNDMAELIRNGLDYNAYCRAEGLLVEQEMLACFNFIEQFCECISSNLSAICKQRECPEECREAVQSLIYAAARIPEFPELRDLRTLFVERYGTHLESFINKQFVETLRPKTTTKEMKLQLMHDIAEEFNIQWNAKSLEQELFKQPQENNRFPKNNDDISPKVNCKGNDGGDDFTKRTKHETGNKVNDTVEDTLLRKEKSKLAFRGRKNSFNERYNLPCSSEDKVISHRRKGSSDLDSLPTGNVHTEADLISEAKTKPKSVRRRPLKPPPGHETVGIVDRPLKRPPGHENFVRPESGAVAKADSTAVKEDEVKRGSMKTQGDDIDQKEEEEKIIDGLLMHYCKDSMKPNLKPPPKLRSTKSDLHFPPGREAYQAEEASPKKAAKGHNRLVSMQPENGHVHPNLPDYEDLAARFAALKRR >Manes.11G012400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1206126:1206383:1 gene:Manes.11G012400.v8.1 transcript:Manes.11G012400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYSTILAALALLLTIFLSGWPNKAQAEGRPIPQASSSSTSTSFMASTSQAFRDIPVAEKNPFKQVDSSFRKIPPSTSNPTQNK >Manes.13G096700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:28639775:28640188:-1 gene:Manes.13G096700.v8.1 transcript:Manes.13G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSEAEKSCKDHPSHNKKQGVCPSCLRERLSQLHMVVSREKESVADMAAGPYSSSNSISSAHHHHHRHRPLRNMSEKGLITFRVSAGNGLKKSRSVAFVSRNSVAGEVKHGSSEKINKKKGFWSKLLDLKGGKMAV >Manes.02G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6493876:6503953:1 gene:Manes.02G082500.v8.1 transcript:Manes.02G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLLDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWTQQRLDPALLGNDQNQWYQAMLASGLGDPLRQQFMQFQQPFQYLQQSSNHYPLLQLQQQQQAIQQSTSHNILQAQNQISTESLPRHLLQQQLNNQPDDQAQQQQHNYHDALQIQGEQLKRQQSNLPSPSFSKTDFMDSSTKFSASTTPMQNMLGSLCAEGSGNLLDFTRTGQSPLTTLTEQLPQQSWVPKYAHSQVNAFTNAVSLPRPYPEKDHAVEPENCSLDAQNATNFGVNIDSSGLLLPTTLPRYATSTVDSDVSSMPLGDPGFQSSMYGGVQDSSELLPSAGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPGDVQKMGEQGLESFSPNVGQRIE >Manes.02G082500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6493876:6503953:1 gene:Manes.02G082500.v8.1 transcript:Manes.02G082500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLLDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWTQQRLDPALLGNDQNQWYQAMLASGLGDPLRQQFMQFQQPFQYLQQSSNHYPLLQLQQQQQAIQQSTSHNILQAQNQISTESLPRHLLQQQLNNQPDDQAQQQQHNYHDALQIQGEQLKRQQSNLPSPSFSKTDFMDSSTKFSASTTPMQNMLGSLCAEGSGNLLDFTRTGQSPLTTLTEQLPQQSWVPKYAHSQVNAFTNAVSLPRPYPEKDHAVEPENCSLDAQNATNFGVNIDSSGLLLPTTLPRYATSTVDSDVSSMPLGDPGFQSSMYGGVQDSSELLPSAGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPGDVQKMGEQGLESFSPNVGQRIE >Manes.03G210600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32610861:32619549:-1 gene:Manes.03G210600.v8.1 transcript:Manes.03G210600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRYFPNQPLDLEQILEEAKHRWLRPNEILEILRNYQRFKLTPEPPIQPSAGSLFLFDRKALRYFRKDGHRWRKKKDGKTVREAHEKLKGYRSGVSHLLADRGTQVESPQPISAPSIAQTSSPAFTAQMSFASNPNEIERNGQTLSSEFEDVDSRDNVGACLTEQKIGSVSHNASLLAAEVEGFTMLSTNGVKFDHSTESSLWAEIPGSSKNAYHVHDQKFYVGQPRGADVITRKLTYSRIDSDVPDSVATGDRLINDVDDQAQAAIPQRSIQEHDFKLVPNSQFHDHSGSQTAASIAQVDNKPKDGGASTNELGELKKLDSFGRWMDKEIGGDCDDSLMASDSGNYWNTLGTENEDKEVSSLSHHMQLDIESLGPSLSQEQLFSIRDFSPDWAYSGVETKVLIVGTFLGSKKFSSETKWGCMFGEIEVSAEVLTDNVIRCQAPLHATGQVPFYVTCRNRLACSEVREFEYRENASRVASISNSSLQEEEQRFLVRLAKLLHLGLEKKWLNCSIERCSKCKIRSTLYSMRNNIDNELARAKESWMVSEVNFTDARDKFIQSLLSDKLFEWLVCKVHGEGKGPDMLDGEGQGVIHLTAGLGYQWAMGLIVAASNNPNFRDAQGRTGLHWASYFGREETVIELVRLGVDPTLVDDPTSAFPGGQTAADLASSQGHKGIAGFLAEAFLTSHLSSLNIKENITDTIDATIAAEKPTEAAAQVAFPLDGGADDGFSLKGTLAAVRKSTLAAALIQAAYRSSSFRYRQFPKSNDDSEVSLDLAALGPLNKYQRRSDFEDYLHSAAARIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGRQVRRQYKKVIWSVSIVEKAILRWRRKRSGLRGFRLEKLCGDVIQGTEKTDEYEFLRIGRKQKFAGVEKALARVKSMVRDPVARDQYMRLVTKSENLKMNNGEINVSPQDLS >Manes.03G210600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32610861:32619548:-1 gene:Manes.03G210600.v8.1 transcript:Manes.03G210600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRYFPNQPLDLEQILEEAKHRWLRPNEILEILRNYQRFKLTPEPPIQPSAGSLFLFDRKALRYFRKDGHRWRKKKDGKTVREAHEKLKAGSVDVLHCYYAHGEDNDSFQRRCYWMLDGKLEHIVLVHYREVKEGYRSGVSHLLADRGTQVESPQPISAPSIAQTSSPAFTAQMSFASNPNEIERNGQTLSSEFEDVDSRDNVGACLTEQKIGSVSHNASLLAAEVEGFTMLSTNGVKFDHSTESSLWAEIPGSSKNAYHVHDQKFYVGQPRGADVITRKLTYSRIDSDVPDSVATGDRLINDVDDQAQAAIPQRSIQEHDFKLVPNSQFHDHSGSQTAASIAQVDNKPKDGGASTNELGELKKLDSFGRWMDKEIGGDCDDSLMASDSGNYWNTLGTENEDKEVSSLSHHMQLDIESLGPSLSQEQLFSIRDFSPDWAYSGVETKVLIVGTFLGSKKFSSETKWGCMFGEIEVSAEVLTDNVIRCQAPLHATGQVPFYVTCRNRLACSEVREFEYRENASRVASISNSSLQEEEQRFLVRLAKLLHLGLEKKWLNCSIERCSKCKIRSTLYSMRNNIDNELARAKESWMVSEVNFTDARDKFIQSLLSDKLFEWLVCKVHGEGKGPDMLDGEGQGVIHLTAGLGYQWAMGLIVAASNNPNFRDAQGRTGLHWASYFGREETVIELVRLGVDPTLVDDPTSAFPGGQTAADLASSQGHKGIAGFLAEAFLTSHLSSLNIKENITDTIDATIAAEKPTEAAAQVAFPLDGGADDGFSLKGTLAAVRKSTLAAALIQAAYRSSSFRYRQFPKSNDDSEVSLDLAALGPLNKYQRRSDFEDYLHSAAARIQQKYRGWKGRKEFLKIRNRIVKIQAHVRGRQVRRQYKKVIWSVSIVEKAILRWRRKRSGLRGFRLEKLCGDVIQGTEKTDEYEFLRIGRKQKFAGVEKALARVKSMVRDPVARDQYMRLVTKSENLKMNNGEINVSPQDLS >Manes.07G038300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4593343:4605398:1 gene:Manes.07G038300.v8.1 transcript:Manes.07G038300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPSWRVSSAKRLSVLDFSEDDDRVEKDSSKFLGKFAKPKRKRNFTSPITKYKFLEYFAGCTRVQEKESAIQHIVVDDESVDIDMGRCPGAQEKENANEPIIIDNEPVDGDMGFAGGIRAPHKEIRDESVDIDVNDVSHPLKLSLSPPICILQEDCIVKEASRLDAMMLSGSPNYENKSVHMISDDDDGSEISSASISISALKETEVPLKDPVPESSSVGHKIDILNNAVVVFPDVILYEDIYCTDSRLTFSRSYIRVEGSIVNGAKGTFNVEWAISDIISIESEWCQRVETAIINLLFKRNVFKGAGNANETSVYDPCWFEGQQAIKSLDVRYRSIWNVIFEEKVDDAFSMSNSMAIAKPCLNVLDEPFENIIYPKGDPDAVSISKRDVELLRPETFINDTIIDFYIKFLKNKIQPEDQHQFHFFNSFFFRKLVDLDKDPRNSCEGRQAFQCVHKWTRNVDLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDDESGRALKVSCILHMDSIRGSHRGLKNLIQSCPQQENSFDCGLFLLHYVELFLEEVPINFSPFKITEFSNFFNRNWFLPEEASLKRAHIRKLICEIIEDQSQQLPKGESVDKYPCSHFASTNEEETGVEFLTVEYSSLKMCEGHPSSPNTELGNEITLTSASSLSVVPQKLKEPGLESREFEPETSARLFYSRNCSRACHQSFTSPVEKAEEIGEQISDSLSDAKKSWKQNYMQVEELYDVNSSSITSVSESQKSSEIGLDDHDSGNIRETAGQESSSTSTENLSAYIVEDSQEAKGLHTGNDATSSDEELKGNRENISPGKIM >Manes.07G038300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4593343:4605398:1 gene:Manes.07G038300.v8.1 transcript:Manes.07G038300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPSWRVSSAKRLSVLDFSEDDDRVEKDSSKFLGKFAKPKRKRNFTSPITKYKFLEYFAGCTRVQEKESAIQHIVVDDESVDIDMGRCPGAQEKENANEPIIIDNEPVDGDMGFAGGIRAPHKEIRDESVDIDVNDVSHPLKLSLSPPICILQEDCIVKEASRLDAMMLSGSPNYENKSVHMISDDDDGSEISSASISISALKETEVPLKDPVPESSSVGHKIDILNNAVVVFPDVILYEDIYCTDSRLTFSRSYIRVEGSIVNGAKGTFNVEWAISDIISIESEWCQRVETAIINLLFKRNVFKGAGNANETSAIDKLTFSVYDPCWFEGQQAIKSLDVRYRSIWNVIFEEKVDDAFSMSNSMAIAKPCLNVLDEPFENIIYPKGDPDAVSISKRDVELLRPETFINDTIIDFYIKFLKNKIQPEDQHQFHFFNSFFFRKLVDLDKDPRNSCEGRQAFQCVHKWTRNVDLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDDESGRALKVSCILHMDSIRGSHRGLKNLIQSCPQQENSFDCGLFLLHYVELFLEEVPINFSPFKITEFSNFFNRNWFLPEEASLKRAHIRKLICEIIEDQSQQLPKGESVDKYPCSHFASTNEEETGVEFLTVEYSSLKMCEGHPSSPNTELGNEITLTSASSLSVVPQKLKEPGLESREFEPETSARLFYSRNCSRACHQSFTSPVEVITCS >Manes.07G038300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4593343:4605398:1 gene:Manes.07G038300.v8.1 transcript:Manes.07G038300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPSWRVSSAKRLSVLDFSEDDDRVEKDSSKFLGKFAKPKRKRNFTSPITKYKFLEYFAGCTRVQEKESAIQHIVVDDESVDIDMGRCPGAQEKENANEPIIIDNEPVDGDMGFAGGIRAPHKEIRDESVDIDVNDVSHPLKLSLSPPICILQEDCIVKEASRLDAMMLSGSPNYENKSVHMISDDDDGSEISSASISISALKETEVPLKDPVPESSSVGHKIDILNNAVVVFPDVILYEDIYCTDSRLTFSRSYIRVEGSIVNGAKGTFNVEWAISDIISIESEWCQRVETAIINLLFKRNVFKGAGNANETSAIDKLTFSVYDPCWFEGQQAIKSLDVRYRSIWNVIFDLDEPFENIIYPKGDPDAVSISKRDVELLRPETFINDTIIDFYIKFLKNKIQPEDQHQFHFFNSFFFRKLVDLDKDPRNSCEGRQAFQCVHKWTRNVDLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDDESGRALKVSCILHMDSIRGSHRGLKNLIQSCPQQENSFDCGLFLLHYVELFLEEVPINFSPFKITEFSNFFNRNWFLPEEASLKRAHIRKLICEIIEDQSQQLPKGESVDKYPCSHFASTNEEETGVEFLTVEYSSLKMCEGHPSSPNTELGNEITLTSASSLSVVPQKLKEPGLESREFEPETSARLFYSRNCSRACHQSFTSPVEKAEEIGEQISDSLSDAKKSWKQNYMQVEELYDVNSSSITSVSESQKSSEIGLDDHDSGNIRETAGQESSSTSTENLSAYIVEDSQEAKGLHTGNDATSSDEELKGNRENISPGKIM >Manes.07G038300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4593343:4605398:1 gene:Manes.07G038300.v8.1 transcript:Manes.07G038300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPSWRVSSAKRLSVLDFSEDDDRVEKDSSKFLGKFAKPKRKRNFTSPITKYKFLEYFAGCTRVQEKESAIQHIVVDDESVDIDMGIAGCPGAQEKENANEPIIIDNEPVDGDMGFAGGIRAPHKEIRDESVDIDVNDVSHPLKLSLSPPICILQEDCIVKEASRLDAMMLSGSPNYENKSVHMISDDDDGSEISSASISISALKETEVPLKDPVPESSSVGHKIDILNNAVVVFPDVILYEDIYCTDSRLTFSRSYIRVEGSIVNGAKGTFNVEWAISDIISIESEWCQRVETAIINLLFKRNVFKGAGNANETSAIDKLTFSVYDPCWFEGQQAIKSLDVRYRSIWNVIFEEKVDDAFSMSNSMAIAKPCLNVLDEPFENIIYPKGDPDAVSISKRDVELLRPETFINDTIIDFYIKFLKNKIQPEDQHQFHFFNSFFFRKLVDLDKDPRNSCEGRQAFQCVHKWTRNVDLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDDESGRALKVSCILHMDSIRGSHRGLKNLIQSCPQQENSFDCGLFLLHYVELFLEEVPINFSPFKITEFSNFFNRNWFLPEEASLKRAHIRKLICEIIEDQSQQLPKGESVDKYPCSHFASTNEEETGVEFLTVEYSSLKMCEGHPSSPNTELGNEITLTSASSLSVVPQKLKEPGLESREFEPETSARLFYSRNCSRACHQSFTSPVEKAEEIGEQISDSLSDAKKSWKQNYMQVEELYDVNSSSITSVSESQKSSEIGLDDHDSGNIRETAGQESSSTSTENLSAYIVEDSQEAKGLHTGNDATSSDEELKGNRENISPGKIM >Manes.07G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4593343:4605398:1 gene:Manes.07G038300.v8.1 transcript:Manes.07G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPPSWRVSSAKRLSVLDFSEDDDRVEKDSSKFLGKFAKPKRKRNFTSPITKYKFLEYFAGCTRVQEKESAIQHIVVDDESVDIDMGRCPGAQEKENANEPIIIDNEPVDGDMGFAGGIRAPHKEIRDESVDIDVNDVSHPLKLSLSPPICILQEDCIVKEASRLDAMMLSGSPNYENKSVHMISDDDDGSEISSASISISALKETEVPLKDPVPESSSVGHKIDILNNAVVVFPDVILYEDIYCTDSRLTFSRSYIRVEGSIVNGAKGTFNVEWAISDIISIESEWCQRVETAIINLLFKRNVFKGAGNANETSAIDKLTFSVYDPCWFEGQQAIKSLDVRYRSIWNVIFEEKVDDAFSMSNSMAIAKPCLNVLDEPFENIIYPKGDPDAVSISKRDVELLRPETFINDTIIDFYIKFLKNKIQPEDQHQFHFFNSFFFRKLVDLDKDPRNSCEGRQAFQCVHKWTRNVDLFEKDYIFIPVNYSLHWSLIVICHPGEVANFRDDESGRALKVSCILHMDSIRGSHRGLKNLIQSCPQQENSFDCGLFLLHYVELFLEEVPINFSPFKITEFSNFFNRNWFLPEEASLKRAHIRKLICEIIEDQSQQLPKGESVDKYPCSHFASTNEEETGVEFLTVEYSSLKMCEGHPSSPNTELGNEITLTSASSLSVVPQKLKEPGLESREFEPETSARLFYSRNCSRACHQSFTSPVEKAEEIGEQISDSLSDAKKSWKQNYMQVEELYDVNSSSITSVSESQKSSEIGLDDHDSGNIRETAGQESSSTSTENLSAYIVEDSQEAKGLHTGNDATSSDEELKGNRENISPGKIM >Manes.01G237900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39950862:39952889:1 gene:Manes.01G237900.v8.1 transcript:Manes.01G237900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRGQLKPVFALILINFAFAIVNILLKKVLDGGTNHMAIVTYRLSISAIFLAPIAYHWERKTRPTLTFQILCYLFLGALVGVTLSQYSFLLGLHYTSATFSCAFLNTVPVSTFLLALPFGLEKVNMKSKASRAKVLGAVICTAGAVLLTLYKGVPLIRPHSGDIKAHVDTMMSDKKTQRWAIGSLFLMAGSFMWSSWFLIQAKISKRYPSQCSSTVIFSFFGAIQSAVISSIVERNNAMWILKGKFEIMSIIYAGVVASGLCYVGLAWCVKQRGPVFTATFTPFTQIFAAIFDFSILHDQIYLGSVIGSILVIFGLYILLWGKSYDVEECDEKQSLTREDRSRNGDVESQGSLTVNSTCS >Manes.02G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:108198:109602:1 gene:Manes.02G000500.v8.1 transcript:Manes.02G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITTTHEQQEEEEQRQYAMQIASASLLPMALKAATELGVLEILEKAGPTVQLSSSQIASQLTILNPLLLDCILRLLASNRVLTCSVTSNGHDGQLHSLYGLGPVAKYFIKNHDGGCLAPLLAMIQDKVMMETWYHLKDAVVEGGTPFDKAHKMNGIEYMG >Manes.03G152800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28073974:28078527:1 gene:Manes.03G152800.v8.1 transcript:Manes.03G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSSRQILFSSFLPSPSSLSFFILTLLPTLLSTSLASPSHEASILFSWLHSSSSPPSFFSNWNNLDSTPCNWTSITCSSQGFVTEINIQSVPLQLPLPFNLSSFRFLSELVISDANITGTIPLDIGDCVSLTSIDLSSNSLVGIIPGSIGRLRKLEDLILNSNQLTGKMPVELSNCSRLKNLLLFDNRLSGYIPTELGKLSSLEVLRAGGNKDIIGKIPDELGDCSNLTVLGLADTRISGSLPVSLGKLSKLQTLSIYTTMLSGEIPPDIGNCSELVYLFLYENSLSGSIPPEIGKLKKLEQLLLWQNSLVGVIPEEIGNCISLKMIDLSLNSLSGTIPSSIGGLLELEEFMISNNNVSGTIPSSLSNTSNLLQLQLDTNQISGLIPPELGNLSKLTVFFAWQNQLEGSIPSSLAKCSSLQALDLSHNSLTGSIPPGLFQLQNLTKLLLISNEISGSIPPDIGTCSSLVRLRLGDNRISGGIPKEIGGLRNLNFLDLSSNRLYGSVPDEIGSCTELQMIDLSNNTIQGSLPNSLSSLSGLQVLDVSVNQFDGQIPASLGRLISLNKLILSRNSFSGSIPSSLGLCSSLQLLDLSSNELIGSIPMELGRIEALEIALNLSYNGLTGPIPPQISALTKLSILDLSHNKLEGNLSQLAGLDNLVSLNISYNNFTGYLPDNKLFRQISPTDLAGNQGLCSLIKDSCFLSDVGRTGLPMNGDDIRQSRKLKLAIALLITLTIAMVIMGTIAIIRARRTIRGDDESELGDSWPWQFTPFQKLNFSVDQVLRCLVDANVIGKGCSGIVYRADMDNGEVIAVKKLWPTTMAAADGCTDEKSGFRDSFSAEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERTGNALEWDLRYQILLGAAEGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPEGLHVVDWVRQKRGAIEVLDPCFLSRPESEIDEMMQALGIALLCVNSSPDERPTMKDVAAMFKEIKHEREEYAKVDMLLKGSPATDAENKSSSSAVPATSSSKPSMQSLLYPKSNNSSFSASSLLYSSSSNAKVTFK >Manes.02G084600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6650134:6652432:1 gene:Manes.02G084600.v8.1 transcript:Manes.02G084600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSDPDSTSPATMTPMITPAITSSPVSGASWCIASPSASPTALQVALDYACGYGGADCSAIQSGGSCYNPNTLRDHASFAFNSYYQKDPIPSSCNFGGTAVTTNSDPSSGTCQYPSTSTSSSVLNTTNSNGAAVYGAVPSSPSTPAAAQINNHVNLRLITCVVLLSNFVNYNLLLN >Manes.02G084600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6650676:6652432:1 gene:Manes.02G084600.v8.1 transcript:Manes.02G084600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSDPDSTSPATMTPMITPAITSSPVSGASWCIASPSASPTALQVALDYACGYGGADCSAIQSGGSCYNPNTLRDHASFAFNSYYQKDPIPSSCNFGGTAVTTNSDPSSGTCQYPSTSTSSSVLNTTNSNGAAVYGAVPSSPSTPAAAQINNHVNLRLITCVVLLSNFVNYNLLLN >Manes.02G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6650134:6652432:1 gene:Manes.02G084600.v8.1 transcript:Manes.02G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMGATFIYYLVFFLFHLFHSSGTRISKLDHGTEQNHLTSVSKSQKDITTPITTVPTIIPTTPTSSTPIMNPNSDPDSTSPATMTPMITPAITSSPVSGASWCIASPSASPTALQVALDYACGYGGADCSAIQSGGSCYNPNTLRDHASFAFNSYYQKDPIPSSCNFGGTAVTTNSDPSSGTCQYPSTSTSSSVLNTTNSNGAAVYGAVPSSPSTPAAAQINNHVNLRLITCVVLLSNFVNYNLLLN >Manes.13G013246.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:2059968:2068876:1 gene:Manes.13G013246.v8.1 transcript:Manes.13G013246.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRGCGSGRGRGRGRGRGGGRGRGHGPPGIGRGQDDEGQHRQKFDIKKVRCYNCNAYGHFQSDCKAERKEKNEAHLVKQFEEESTLLMLETSELIHIGEEKGKVLMLNEEQMHDFEGVETKGTMWYYDTGASNHMTGNK >Manes.13G106200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30909833:30913896:1 gene:Manes.13G106200.v8.1 transcript:Manes.13G106200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKGSLLILCFVCLCSSSLVFSIVGDAEILIRVKNAMLDDPSGKLSDWVESSDHNPCKWSGIACDSRNQTVVSIDLSGFGIAGGFPTGFCHIPTLQNLSLGDNFFNGSLTYRALSPCSHLHVLVLTSNLFVGQLPELLPDFDNLRVLDLSSNNFTGDIPASFGRIQSLEVLSLVSNLLTGPIPWFLSNLTELTRLELAYNPFKPSPLPQEIGSLSKLENLYLTNTNLIAEIPGSIGKLGSLYNLDLSNNFITGKIPESISGLKSIQQIELYNNLLYGELPESLSNLTTLLKLDVSQNNLTGNLPEKIAAMQLQSLNLNDNYFAGEIPEVLALDPNLSELKIYNNSFTGKLPANLGRYSDLETFDVSTNDFTGELPQYLCHRKKLQNVIAFVNRFSGSLPETLSECSSLNYVRLADNELSGPVPDKFWGLHTLRLELSNNKFEGPISSSISGARGLSRLLINGNNFSGNLPAEICELHELIEIDLSRNQFVGELPSCITELNKLQKLDMQENMFSGEIPNSVSSWTDLTELNLSRNRFSGKIPPELGNLPVLTYMDLSDNLLTGEIPMELTKLKLNEFNVSDNRLYGKVPTGFSNALYLPSLLGNPNLCSPDFKPLPPCSRPKPATLYIVVILAICVLVLVGSLLWFCKTKSLLVRKSKRPYKVTAFQRVGFTEEDIFPHLTKENLIGSGGSGQVYKVELKTGQIVAVKRLWGGTQKPETEFVFRSEVETLGRVRHGNIVKLLMCCSGEEFRFLVYDYMVNGSLGDVLHGEKGGCLLDWPGRFAVAVGAAQGLAYLHHDCVPAIVHRDVKSNNILLDEEMRPRVADFGLAKTLQSEAGESDAAMSRIAGSYGYIAPEYAYTLKVTEKSDVYSFGVVLMELITGKRPNDSCFGESKDIVKWVTEATLSSLREEASENNISRTFYTGDLQEIIDSRMDQSTCDFEEIEKVLNVALLCTSAFPINRPSMRRVIELLKDQKFPRPK >Manes.12G058900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5695263:5703847:1 gene:Manes.12G058900.v8.1 transcript:Manes.12G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFPGLLAQDLGFKPQGKSAPMAPSRNSNTNTFSSLNFGIGSTAPAHSRSSSGANKSAPVFDDHDYDRGKSDGLLFNDVFGGPPKYAESRGGGDGRINSSSSAFGYDSIFKDQNAKYSSLPVYDKPVYDDDIFDGLPGLKSSSVGPQSAKFDDVFSSIGPASSTKLRAQSNSSPFDDLLGNLGKKETESKRETPKVDKDSATFDDLLPGFGRSSSPSISRSTSESSRSQKPSSDSSRTASSMMEDPFVVLESTSTHATSSSRLHVDPLEEIGKFSSSGNTKVDNSSVNMGVFDDMDSFDNLGKSVPPVSPGVNRRGKDRSPIRTGSSMGGTYSSAHEEPVDENPVDEAEGRSQKKIPKDDFQESHETLFDMPRVGQNVSSPSYVNASSNGVSSPPRYEDIATSPDDVWLTVSEVPLFTQPTSAPPPSRPPPPRPPRFPKSETGSFSSRNSRKKVNEYSTSYSESPRSAYAARSSAASQIDELEDFAMGRTRSNVSEHADVLYGEDVDANASSAAASAAAMKEAMDKAEAKFRQMREREYLKAARSRETGQLDKEMLEAQHRELKERQERQQREREEEEREQRRLEKEREREEKEREQRRLERERERAREIEREREKARQAVERATREARERAAVEARLRAERAAVEKVSAEARERAERAAVQRAQAEARERAAADARERAEKAAAEARERANAEARERAAVARAEADARQRAERAAVERAAAEARERAAAEARERAAAAARANQQKTDNQQRNDNDLESFFSSRASSAPRPRATSSDPFFDTQSKGGSEAAKRTSVGAVSSMKKASSVANIDDDLSSIFGAPATSGEFQQVEGETEERRRARWERHQRTQERAAKALAEKNQRDLQAQMEQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >Manes.03G021600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1814780:1819189:1 gene:Manes.03G021600.v8.1 transcript:Manes.03G021600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQDGRITNRKLVKASAVLEHLPKEDKFQLISSSADSQVQSGCPVCLGNNSCRTVRARTKLMNILIERRKPREAQLIFNGLMEDGHRPTLITYTALVSALTQQKHFKSILLLISQVEENGMKPDSILFNAMINAFSESGNVKEAMKIFLKMKDSGCKPTASTFNTLIKGYGNAGKPEEALKLLDLMSADENVKPNDRTYNILVRAWCNKKNIKEAWYVVYKMMASGVQPDVVTYNILARAYAQMEETSKAEEMLLEMEKKRVAPNERTCGIIVNGYCKEGFLDITDTDGVDEALTLMEEYGVKPDVITFSTIMNAWSSAGLMDKCQEIFNDMVKARIEPDIHAFSILAKGYVRAGEPQKAESVLTSMAKSGVLPNVVICTTIISGWCSAGKMEKAIMVYEKMCEIGISPNLKTFETLIWGYAEARQPGKAEELLQVMAEKGVFPEKSTIQLVADAWYAIGLVSEAKRIINNEEKDQEVTADSKKGDIPVESLERIYKDENLSAPYSKILQMPGEVINKKNGSPAVKIRSQMILRNSRSSFENFWTSSNSMFLNHVRVYGMQPPILCRKQLQLRQQNYCVGICGKFLNSCRIIPIN >Manes.03G021600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1814780:1819189:1 gene:Manes.03G021600.v8.1 transcript:Manes.03G021600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQDGRITNRKLVKASAVLEHLPKEDKFQLISSSADSQVQSGCPVCLGNNSCRTVRARTKLMNILIERRKPREAQLIFNGLMEDGHRPTLITYTALVSALTQQKHFKSILLLISQVEENGMKPDSILFNAMINAFSESGNVKEAMKIFLKMKDSGCKPTASTFNTLIKGYGNAGKPEEALKLLDLMSADENVKPNDRTYNILVRAWCNKKNIKEAWYVVYKMMASGVQPDVVTYNILARAYAQMEETSKAEEMLLEMEKKRVAPNERTCGIIVNGYCKEGFLDITDTDGVDEALTLMEEYGVKPDVITFSTIMNAWSSAGLMDKCQEIFNDMVKARIEPDIHAFSILAKGYVRAGEPQKAESVLTSMAKSGVLPNVVICTTIISGWCSAGKMEKAIMVYEKMCEIGISPNLKTFETLIWGYAEARQPGKAEELLQVMAEKGVFPEKSTIQLVADAWYAIGLVSEAKRIINNEEKDQEVTADSKKGDIPVESLERIYKDENLSAPYSKILQMPGEVINKKNGSPAVKIRSQMILRNSRSSFENFWTSSNSMFLNHVRVYGMQPPILCRKQLQLRQQNYCVGICGKFLNSCRIIPIN >Manes.03G021600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1814780:1819196:1 gene:Manes.03G021600.v8.1 transcript:Manes.03G021600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQDGRITNRKLVKASAVLEHLPKEDKFQLISSSADSQVQSGCPVCLGNNSCRTVRARTKLMNILIERRKPREAQLIFNGLMEDGHRPTLITYTALVSALTQQKHFKSILLLISQVEENGMKPDSILFNAMINAFSESGNVKEAMKIFLKMKDSGCKPTASTFNTLIKGYGNAGKPEEALKLLDLMSADENVKPNDRTYNILVRAWCNKKNIKEAWYVVYKMMASGVQPDVVTYNILARAYAQMEETSKAEEMLLEMEKKRVAPNERTCGIIVNGYCKEGNMIEALRFVYRMKELGVHPNLVVFNSLIKGFLDITDTDGVDEALTLMEEYGVKPDVITFSTIMNAWSSAGLMDKCQEIFNDMVKARIEPDIHAFSILAKGYVRAGEPQKAESVLTSMAKSGVLPNVVICTTIISGWCSAGKMEKAIMVYEKMCEIGISPNLKTFETLIWGYAEARQPGKAEELLQVMAEKGVFPEKSTIQLVADAWYAIGLVSEAKRIINNEEKDQEVTADSKKGDIPVESLERIYKDENLSAPYSKILQMPGEVINKKNGSPAVKIRSQMILRNSRSSFENFWTSSNSMFLNHVRVYGMQPPILCRKQLQLRQQNYCVGICGKFLNSCRIIPIN >Manes.03G021600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1814780:1819189:1 gene:Manes.03G021600.v8.1 transcript:Manes.03G021600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQDGRITNRKLVKASAVLEHLPKEDKFQLISSSADSQVQSGCPVCLGNNSCRTVRARTKLMNILIERRKPREAQLIFNGLMEDGHRPTLITYTALVSALTQQKHFKSILLLISQVEENGMKPDSILFNAMINAFSESGNVKEAMKIFLKMKDSGCKPTASTFNTLIKGYGNAGKPEEALKLLDLMSADENVKPNDRTYNILVRAWCNKKNIKEAWYVVYKMMASGVQPDVVTYNILARAYAQMEETSKAEEMLLEMEKKRVAPNERTCGIIVNGYCKEGNMIEALRFVYRMKELGVHPNLVVFNSLIKGFLDITDTDGVDEALTLMEEYGVKPDVITFSTIMNAWSSAGLMDKCQEIFNDMVKARIEPDIHAFSILAKGYVRAGEPQKAESVLTSMAKSGVLPNVVICTTIISGWCSAGKMEKAIMVYEKMCEIGISPNLKTFETLIWGYAEARQPGKAEELLQVMAEKGVFPEKSTIQLVADAWYAIGLVSEAKRIINNEEKDQEVTADSKKGDIPVESLERIYKDENLSAPYSKILQMPGEVINKKNGSPAVKIRSQMILRNSRSSFENFWTSSNSMFLNHVRVYGMQPPILCRKQLQLRQQNYCVGICGKFLNSCRIIPIN >Manes.03G021600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1814442:1819199:1 gene:Manes.03G021600.v8.1 transcript:Manes.03G021600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQDGRITNRKLVKASAVLEHLPKEDKFQLISSSADSQVQSGCPVCLGNNSCRTVRARTKLMNILIERRKPREAQLIFNGLMEDGHRPTLITYTALVSALTQQKHFKSILLLISQVEENGMKPDSILFNAMINAFSESGNVKEAMKIFLKMKDSGCKPTASTFNTLIKGYGNAGKPEEALKLLDLMSADENVKPNDRTYNILVRAWCNKKNIKEAWYVVYKMMASGVQPDVVTYNILARAYAQMEETSKAEEMLLEMEKKRVAPNERTCGIIVNGYCKEGNMIEALRFVYRMKELGVHPNLVVFNSLIKGFLDITDTDGVDEALTLMEEYGVKPDVITFSTIMNAWSSAGLMDKCQEIFNDMVKARIEPDIHAFSILAKGYVRAGEPQKAESVLTSMAKSGVLPNVVICTTIISGWCSAGKMEKAIMVYEKMCEIGISPNLKTFETLIWGYAEARQPGKAEELLQVMAEKGVFPEKSTIQLVADAWYAIGLVSEAKRIINNEEKDQEVTADSKKGDIPVESLERIYKDENLSAPYSKILQMPGEVINKKNGSPAVKIRSQMILRNSRSSFENFWTSSNSMFLNHVRVYGMQPPILCRKQLQLRQQNYCVGICGKFLNSCRIIPIN >Manes.03G021600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1814780:1819189:1 gene:Manes.03G021600.v8.1 transcript:Manes.03G021600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSQPTMHSKTSLSSSTTGEEHLPKEDKFQLISSSADSQVQSGCPVCLGNNSCRTVRARTKLMNILIERRKPREAQLIFNGLMEDGHRPTLITYTALVSALTQQKHFKSILLLISQVEENGMKPDSILFNAMINAFSESGNVKEAMKIFLKMKDSGCKPTASTFNTLIKGYGNAGKPEEALKLLDLMSADENVKPNDRTYNILVRAWCNKKNIKEAWYVVYKMMASGVQPDVVTYNILARAYAQMEETSKAEEMLLEMEKKRVAPNERTCGIIVNGYCKEGFLDITDTDGVDEALTLMEEYGVKPDVITFSTIMNAWSSAGLMDKCQEIFNDMVKARIEPDIHAFSILAKGYVRAGEPQKAESVLTSMAKSGVLPNVVICTTIISGWCSAGKMEKAIMVYEKMCEIGISPNLKTFETLIWGYAEARQPGKAEELLQVMAEKGVFPEKSTIQLVADAWYAIGLVSEAKRIINNEEKDQEVTADSKKGDIPVESLERIYKDENLSAPYSKILQMPGEVINKKNGSPAVKIRSQMILRNSRSSFENFWTSSNSMFLNHVRVYGMQPPILCRKQLQLRQQNYCVGICGKFLNSCRIIPIN >Manes.03G021600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1814442:1819199:1 gene:Manes.03G021600.v8.1 transcript:Manes.03G021600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRQDGRITNRKLVKASAVLEHLPKEDKFQLISSSADSQVQSGCPVCLGNNSCRTVRARTKLMNILIERRKPREAQLIFNGLMEDGHRPTLITYTALVSALTQQKHFKSILLLISQVEENGMKPDSILFNAMINAFSESGNVKEAMKIFLKMKDSGCKPTASTFNTLIKGYGNAGKPEEALKLLDLMSADENVKPNDRTYNILVRAWCNKKNIKEAWYVVYKMMASGVQPDVVTYNILARAYAQMEETSKAEEMLLEMEKKRVAPNERTCGIIVNGYCKEGNMIEALRFVYRMKELGVHPNLVVFNSLIKGFLDITDTDGVDEALTLMEEYGVKPDVITFSTIMNAWSSAGLMDKCQEIFNDMVKARIEPDIHAFSILAKGYVRAGEPQKAESVLTSMAKSGVLPNVVICTTIISGWCSAGKMEKAIMVYEKMCEIGISPNLKTFETLIWGYAEARQPGKAEELLQVMAEKGVFPEKSTIQLVADAWYAIGLVSEAKRIINNEEKDQEVTADSKKGDIPVESLERIYKDENLSAPYSKILQMPGEVINKKNGSPAVKIRSQMILRNSRSSFENFWTSSNSMFLNHVRVYGMQPPILCRKQLQLRQQNYCVGICGKFLNSCRIIPIN >Manes.01G078100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28113819:28114578:1 gene:Manes.01G078100.v8.1 transcript:Manes.01G078100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNTASLALFFAFNILFFPPVSACNGGCPSPRPRPYPNPFPNPNPNPNPNPNPNPNPNPNPSPSNGKCPRDALKLGICARVLGDLLNITIGKPPVEPCCSLIEGLVDLEAAICLCTVIKAEILGININIPLSLSLLLNVCGKKVPSDFQCA >Manes.07G083901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:26323909:26324253:-1 gene:Manes.07G083901.v8.1 transcript:Manes.07G083901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLHHQICVLVLSGLSLFAVLFLSVVYFYEMQIYEWYSSALVMANCFLPFLLGFQISILYIYIYIYIYIYIYIYIYIYDAYSVLGCLSLMEVEKFSLLLSPSYIANGIHTYE >Manes.18G114101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11563258:11564193:-1 gene:Manes.18G114101.v8.1 transcript:Manes.18G114101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNFVAVEEDTLDNHGVAWWRGPLLGKGGSGSVYLAYLKKPKSRNAFYRRVMAVKSAEVSSSSLLQKEKEAFNHLHDCPYILECYGEETTVSKNGQMVYNLLLEYASGGTLADLIRISGGCGLPELDVKRYTRSILKGIDYIHSHYYVHRDLKPENVLLVPSGSGDFVPKIGDFGLAKKAQRTKRRMFDSSIAGTILYMAPETLVDNIQESASDIWALGCIVYEMFTGKPLWGLDPNESTEELFKRIVDRFKLPEIPSGISKDGKDFLKRCLVKNHKFRFTIEMLLNHPFVLGTLDDRGNEPCEWSCCEE >Manes.13G140000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34762817:34775946:1 gene:Manes.13G140000.v8.1 transcript:Manes.13G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLRSLFSSARKSVSSSSFYRCNHCQSSPFFPRATGITRAFSSAPATAAAAPSSSLDPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSISWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLVKALKYGLRPILLLNKVDRPAVSEERCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASSTFTKDPPANSKNMSQLLDAIITHVPPPKASLDAPFQMLVSMMEKDFYLGRILTGRVASGVIHVGDRVHGLRSAESGVVKIEEGKVLKLMKKKGTNMVLIDSAGAGDIVSMAGMTSPSIGHTIANVEVMNALPTVELDPPTISMTFGVNDSPLAGRDGSHLTGGKIGDRLMAEAETNLAINVIPGLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTVKGEKLEPIEEVIIEINEEHVGLIMEALSHRRAEVVDMGPVPGNIGRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYAKHRGQLGNVRKGVLVSMGYGTITAHALMSLEARGTLFVTPGMETYDGMIVGEHSRDSDLDVNPVRTKELTNVRAASKDENVRLTPPRLLTLEEAIGYVASDELIEVTPKAIRLRKRYLDVNKRKAMSKRPKE >Manes.09G149200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34688003:34690376:-1 gene:Manes.09G149200.v8.1 transcript:Manes.09G149200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKVFFDILIGKMKAGRIVMELFADVTPKTAENFRALCTGEKGLGRSGKPLHYKGSTFHRIIPGFMCQGGDFTRGNGTGGESIYGLKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTDKTSWLDGKHVVFGKVVDGYSVVKDMENVGSDGGSTSQTVVIEDCGEITEN >Manes.09G149200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34688003:34690376:-1 gene:Manes.09G149200.v8.1 transcript:Manes.09G149200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKVFFDILIGKMKAGRIVMELFADVTPKTAENFRALCTGEKGLGRSGKPLHYKGSTFHRIIPGFMCQGGDFTRGNGTGGESIYGLKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTDKTSWLDGKHVVFGKVVDGYSVVKDMENVGSDGGSTSQTVVIEDCGEITEN >Manes.09G149200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34688003:34690376:-1 gene:Manes.09G149200.v8.1 transcript:Manes.09G149200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKVFFDILIGKMKAGRIVMELFADVTPKTAENFRALCTGEKGLGRSGKPLHYKGSTFHRIIPGFMCQGGDFTRGNGTGGESIYGLKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTDKTSWLDGKHVVFGKVVDGYSVVKDMENVGSDGGSTSQTVVIEDCGEITEN >Manes.09G149200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:34688003:34690474:-1 gene:Manes.09G149200.v8.1 transcript:Manes.09G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKVFFDILIGKMKAGRIVMELFADVTPKTAENFRALCTGEKGLGRSGKPLHYKGSTFHRIIPGFMCQGGDFTRGNGTGGESIYGLKFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTDKTSWLDGKHVVFGKVVDGYSVVKDMENVGSDGGSTSQTVVIEDCGEITEN >Manes.11G002850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:396132:397223:-1 gene:Manes.11G002850.v8.1 transcript:Manes.11G002850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLPTRFEAKVSAIEESCDLKSLSVAELISKLQTHEQRSNMRDEGIIEGAFQARQKGKQLVKEGKKSGNDKTINKRNFKTKGKKGRFPPRNICQRDNHMEKDCWYKDKGSI >Manes.S095222.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251213.1:24002:26439:-1 gene:Manes.S095222.v8.1 transcript:Manes.S095222.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKINNEHLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPKGSRPKRNARELGYWKATGTDKAILDGKKPLGFRKSLDYYEERQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPKQPLLCDNSLMISKEYENGYGSYLLPPLSCDPPQPILNNMDYNPPPNPPPMDNTFNNNFAYNVQPIQTDYPPSHYSNGFQPMYGCGDQISNCMETATMNDHLLPSAEEPAYGLIAEESSPLLEPAVAETSTREFDAQSSSSNQPMPIEGAYDHASSFHIEEERQNSLFDMLHWSG >Manes.01G247200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40720072:40730157:-1 gene:Manes.01G247200.v8.1 transcript:Manes.01G247200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHERLLPNGLLPNEAASVIRLLDSERWSKAEERTAELIACIQPNEPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNMKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKKSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNCFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVFPGGQENQGQPFMSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKEDIFFEVNQFFMNTWDRHGSGRRPDAPRNDLWRLRLSTPDLLHGADNLKNSSNSRNSGREAQVDVTHSSHGVPSQHVKDNSSRSSEVSVASRSQSQKTCINLNNTRNSDQSRKDSSSNQGLHAEKNQRSTKPDNIVNDLQGRYLFARTRSSPELTETYGEVSFQGGHSRAQEAGKGQSPSVRLDTRRKNLESDNLGSHGVRSSTDDPSSIRHAPSRQSLDAAGDSNSGSNSYHEDSGLGSTGEEFASVLGTQGMHQEEQDFVNMMSSSTGLGFNGQVNLPLNLASSHMPLSISPSVLASMGYPQRNLGGMIPMMDNPWGTNMQFPQGLVSSPLNHYFPGLGLTSNTEDSVEPGNENFSSVEMNLSEADHNYWHEPQRGAASGFDLENGGLDIHQSDDNKQQSASASYNFVPSSQLSGTVSSLRVQQKFTKESRGSMKEDHIDTLPYQENRASEVFFDDRITGSRISPTVNTTSLRSKTSSESSWEGSPAKAAPKSTREKRNRKTTSSVVPSAVFGKGKNVSEHSSNQAEDESKERNLLPTMGPEMTERNIVPPSAAAVHVPRHQIPGYETAQTSGSESLIPIAPMLLGGGSRQRTTDNSGVVPFAFYPTGPPVPFVTMLPVYNFNTGTGTSDASTSQFNVEEVVENNDSGQNFDSSEGLDQSEVVSTSNSMRRPAEPLEHKADILNSDFASHWQNLQYGRFCQNSRYPTPLVYPSPLMMPPVYLQGRLPWDGPGRPLSTNMNLFTQLMSYGPRLVPVAPLQSVSNRPGGVYQHYVDEMPRYRSGTGTYLPNPKVSVRDRHSTNTRKGNYSYDRSDHHGEREGNWNVNSKPRASGRGRNQAEKSSSRSDRLAANESRTDRTWGSHRHETFPSYQSQNGPIRSNSSQNSAANLAYGMYPLQSMNPVVSTNGPTFPPVVMLYPYDHSAGFGSPAEQLEFGSLGPVGFSGANEISHLNEGSRSSRGFEDQRFHGSSAQRSSPDQPSSPHLQR >Manes.01G247200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40720072:40730157:-1 gene:Manes.01G247200.v8.1 transcript:Manes.01G247200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHERLLPNGLLPNEAASVIRLLDSERWSKAEERTAELIACIQPNEPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNMKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKKSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNCFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVFPGGQENQGQPFMSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKEDIFFEVNQFFMNTWDRHGSGRRPDAPRNDLWRLRLSTPDLLHGADNLKNSSNSRNSGREAQVDVTHSSHGVPSQHVKDNSSRSSEVSVASRSQSQKTCINLNNTRNSDQSRKDSSSNQGLHAEKNQRSTKPDNIVNDLQGRYLFARTRSSPELTETYGEVSFQGGHSRAQEAGKGQSPSVRLDTRRKNLESDNLGSHGVRSSTDDPSSIRHAPSRQSLDAAGDSNSGSNSYHEDSGLGSTGEEFASVLGTQGMHQEEQDFVNMMSSSTGLGFNGQVNLPLNLASSHMPLSISPSVLASMGYPQRNLGGMIPMMDNPWGTNMQFPQGLVSSPLNHYFPGLGLTSNTEDSVEPGNENFSSVEMNLSEADHNYWHEPQRGAASGFDLENGGLDIHQSDDNKQQSASASYNFVPSSQLSGTVSSLRVQQKFTKESRGSMKEDHIDTLPYQENRASEVFFDDRITGSRISPTVNTTSLRSKTSSESSWEGSPAKAAPKSTREKRNRKTTSSVVPSAVFGKGKNVSEHSSNQAEDESKERNLLPTMGPEMTERNIVPPSAAAVHVPRHQIPGYETAQTSGSESLIPIAPMLLGGGSRQRTTDNSGVVPFAFYPTGPPVPFVTMLPVYNFNTGTGTSDASTSQFNVEEVVENNDSGQNFDSSEGLDQSEVVSTSNSMRRPAEPLEHKADILNSDFASHWQNLQYGRFCQNSRYPTPLVYPSPLMMPPVYLQGRLPWDGPGRPLSTNMNLFTQLMSYGPRLVPVAPLQSVSNRPGGVYQHYVDEMPRYRSGTGTYLPNPKVSVRDRHSTNTRKGNYSYDRSDHHGEREGNWNVNSKPRASGRGRNQAEKSSSRSDRLAANESRTDRTWGSHRHETFPSYQSQNGPIRSNSSQNSAANLAYGMYPLQSMNPVVSTNGPTFPPVVMLYPYDHSAGFGSPAEQLEFGSLGPVGFSGANEISHLNEGSRSSRGFEDQRFHGSSAQRSSPDQPSSPHLQRGI >Manes.01G247200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40720072:40730157:-1 gene:Manes.01G247200.v8.1 transcript:Manes.01G247200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHERLLPNGLLPNEAASVIRLLDSERWSKAEERTAELIACIQPNEPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNMKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKKSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNCFAGPLEVLYRFLEFFSKFDWDNFCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVFPGGQENQGQPFMSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKEDIFFEVNQFFMNTWDRHGSGRRPDAPRNDLWRLRLSTPDLLHGADNLKNSSNSRNSGREAQVDVTHSSHGVPSQHVKDNSSRSSEVSVASRSQSQKTCINLNNTRNSDQSRKDSSSNQGLHAEKNQRSTKPDNIVNDLQGRYLFARTRSSPELTETYGEVSFQGGHSRAQEAGKGQSPSVRLDTRRKNLESDNLGSHGVRSSTDDPSSIRHAPSRQSLDAAGDSNSGSNSYHEDSGLGSTGEEFASVLGTQGMHQEEQDFVNMMSSSTGLGFNGQVNLPLNLASSHMPLSISPSVLASMGYPQRNLGGMIPMMDNPWGTNMQFPQGLVSSPLNHYFPGLGLTSNTEDSVEPGNENFSSVEMNLSEADHNYWHEPQRGAASGFDLENGGLDIHQSDDNKQQSASASYNFVPSSQLSGTVSSLRVQQKFTKESRGSMKEDHIDTLPYQENRASEVFFDDRITGSRISPTVNTTSLRSKTSSESSWEGSPAKAAPKSTREKRNRKTTSSVVPSAVFGKGKNVSEHSSNQAEDESKERNLLPTMGPEMTERNIVPPSAAAVHVPRHQIPGYETAQTSGSESLIPIAPMLLGGGSRQRTTDNSGVVPFAFYPTGPPVPFVTMLPVYNFNTGTGTSDASTSQFNVEEVVENNDSGQNFDSSEGLDQSEVVSTSNSMRRPAEPLEHKADILNSDFASHWQNLQYGRFCQNSRYPTPLVYPSPLMMPPVYLQGRLPWDGPGRPLSTNMNLFTQLMSYGPRLVPVAPLQSVSNRPGGVYQHYVDEMPRYRSGTGTYLPNPVSVRDRHSTNTRKGNYSYDRSDHHGEREGNWNVNSKPRASGRGRNQAEKSSSRSDRLAANESRTDRTWGSHRHETFPSYQSQNGPIRSNSSQNSAANLAYGMYPLQSMNPVVSTNGPTFPPVVMLYPYDHSAGFGSPAEQLEFGSLGPVGFSGANEISHLNEGSRSSRGFEDQRFHGSSAQRSSPDQPSSPHLQR >Manes.10G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1881303:1882317:1 gene:Manes.10G019200.v8.1 transcript:Manes.10G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQFPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPISMGARVRVFMYPSNI >Manes.10G019200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1881303:1882193:1 gene:Manes.10G019200.v8.1 transcript:Manes.10G019200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQFPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPISMVL >Manes.15G072700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5490629:5497364:-1 gene:Manes.15G072700.v8.1 transcript:Manes.15G072700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPGAGVVPQQMATDQQYQQQPPPQQWMMMQQQQQPVPPPSGWTPPPVPPPAQYAVPQAVAQGGAGADSAEIKSLWIGDLQQWMDENYLFSIFANTGEVVSAKVIRNKQTGLPEGYGFIEFVSRAAAERILQTYNGTLMPNTEQNFRLNWATLSAGERRQDDGPDYTIFVGDLAADVNDYLLQETFRSVYSSVKGAKVVTDRVTGRPKGYGFVRFGDENEQRRAMVEMNGQYCSSRPMRIGSAATKKPAVQQFQNASIQNTQGNQGENDPNNTTIFVGALDPSVSDDHLRQVFSKYGELVHVKIPAGKRCGFVQFANRACAEQALLMLNGTQLGGQSIRLSWGRSPSNKQAQPEQSQWNGGYYGYAQGYDAYGYAPSQDPNMYYGGYPGYGNYQQPGTYPQPQQ >Manes.15G072700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5491814:5497364:-1 gene:Manes.15G072700.v8.1 transcript:Manes.15G072700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPGAGVVPQQMATDQQYQQQPPPQQWMMMQQQQQPVPPPSGWTPPPVPPPAQYAVPQAVAQGGAGADSAEIKSLWIGDLQQWMDENYLFSIFANTGEVVSAKVIRNKQTGLPEGYGFIEFVSRAAAERILQTYNGTLMPNTEQNFRLNWATLSAGERRQDDGPDYTIFVGDLAADVNDYLLQETFRSVYSSVKGAKVVTDRVTGRPKGYGFVRFGDENEQRRAMVEMNGQYCSSRPMRIGSAATKKPAVQQFQNASIQNTQGNQGENDPNNTTIFVGALDPSVSDDHLRQVFSKYGELVHVKIPAGKRCGFVQFANRACAEQALLMLNGTQLGGQSIRLSWGRSPSNKQAQPEQSQWNGGYYGYAQGYDAYGYAPSQDPNMYYGGYPGYGNYQQPGTYPQPQQS >Manes.16G057752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:17678752:17679339:-1 gene:Manes.16G057752.v8.1 transcript:Manes.16G057752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALATATYGVATSIMPRGRTAHSQFNIPLSPTKSSMCGISKQSGQAKLLRTEKLITWVEAPMAKRLTIEIVDRCLRDIMDTSQPFEGKVMVFGGDFIQVLPVVPKVLRQENVSASLVKSYLWSKMKVLKLTTNIRTRTDPYFGEFILKVGNGEELEIKTSNIRIPKEMIMKYENENNCEEVLIDAIYLSLEEKY >Manes.16G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3485114:3486456:-1 gene:Manes.16G030000.v8.1 transcript:Manes.16G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDKMWDDVVAGPQPDRGLGKLRKISTKPLTIGGGGETSKFQRSISMPASPGTPTTPVTPTTPASVRKDNVWRSVFHPGSNLATKGLGAQLFDKPQPNSPTVYDCFSFLFAFLCKHTRDEKDLWLCTIHLEIFSGFTVEKPEASIAEVSVN >Manes.08G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38421339:38428090:1 gene:Manes.08G148100.v8.1 transcript:Manes.08G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTGVEPEATVVTLLIRHLPEAIPHETLSRLFSHYNASSFRPCPAGRLRNCAFVDFKNEALAHQAQRQLNGLRFLGKVLAVERANKPNEDNKHKQSEAQLGKDYAAPTSVEKKASIIRDIGNDSKSIPASEAIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVAPPEPPPPPIATAKPHLADSSSESEMESSDEEVDDKASHVETSGAVKSRRKRARRESIVGPAVDKDVAHEAVGLKPSSLVPKEIPVIKKKNPVLQIKIAPKVTPNEQKDDGIMKEFEEPLDEGLDHKPYATPEEIESNKLAPEEILSLPKFKNYTVGNPTSVLYIKNLSKDMVPDDFFFIFGSLFGGIDAAKTGLSVKLMQEGRMRGQAFVTFPSVELAHQALNLVNGYVFKGKPMIIQFGRNPSAGKAN >Manes.08G148100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38421339:38428090:1 gene:Manes.08G148100.v8.1 transcript:Manes.08G148100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTCSLTQIYFECAGDALRLRNCAFVDFKNEALAHQAQRQLNGLRFLGKVLAVERANKPNEDNKHKQSEAQLGKDYAAPTSVEKKASIIRDIGNDSKSIPASEAIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPSVAPPEPPPPPIATAKPHLADSSSESEMESSDEEVDDKASHVETSGAVKSRRKRARRESIVGPAVDKDVAHEAVGLKPSSLVPKEIPVIKKKNPVLQIKIAPKVTPNEQKDDGIMKEFEEPLDEGLDHKPYATPEEIESNKLAPEEILSLPKFKNYTVGNPTSVLYIKNLSKDMVPDDFFFIFGSLFGGIDAAKTGLSVKLMQEGRMRGQAFVTFPSVELAHQALNLVNGYVFKGKPMIIQFGRNPSAGKAN >Manes.12G030401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:2627007:2627775:1 gene:Manes.12G030401.v8.1 transcript:Manes.12G030401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHPILLNRVPTLHRLDIQAFQPILVEGRAICLHPLVCKGSNADFDGIKWLFMYPYRWRLKRRLIYLCFLI >Manes.17G093400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30148711:30150993:-1 gene:Manes.17G093400.v8.1 transcript:Manes.17G093400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSPDWSVASINSCRNPHHIIIFSPSWKTLSFLSPTCSSNFPLFHVNSSSCPSPILEEPSSANFPVVQWDSSVQDSQEPDSENLNDFLCGVLQDPRTEHLAYEYYKKATERQEFKPEKSMLKLLMRYLIRSKNWGFILSVSDDFVKYNSLPDSHTCSILVSSCVKARKFRIVETLLEIFKSYGEIAVFAFDSAMRGYNKLHMYGRTIFVYEKMKLTGISMDSGSYYQIMKAYQKIGDTEKVLALFHEFESRKLEHSRPVLIQIFRVLCETLGRSGRAFEALEYFGDMRKKGILGDSKIYSSLICSFASIREINIAEEIFKEAEEKKMLRDPEIFLKLVLMYVEEGLMEKTLEVIEVMKRVKMRVSDCIFCAIINGFARRRGFHASVRIYEELKSDNCEPGQVTYASIINAYCRTGLYSKAEMVFMEMQKKGFDRCVVAYSSIISMYGKTGRLRDAMRLVAKMKVHGCEPNVWIYNSLLDMHGRVKNLRQIEKLWKEMKRRKVAPDKVSYTSVINAYNKAKEFDTCVRYYNEYRINGGTIDRAMAGIMVGVFSKIGRIDEVLRLLRNMKIEGTQLDGRLYQSAYNALRDAGLQMQAKLLQESFEAM >Manes.16G033800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4116200:4119542:1 gene:Manes.16G033800.v8.1 transcript:Manes.16G033800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGQRHFSTPEEMLAGTSSRPRTFKKQEAEYEDEQPEEVSGEESEEESDDEPDQKRKGTQGIIQIENPNLAKPKNVKARDIDIGKTTELSRREREELEKQRAHERYMRLQEQGKTEQARKDLERLSLIRQQRAEAARKREEEKAAKEQKKAEARK >Manes.04G103300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30792321:30800119:-1 gene:Manes.04G103300.v8.1 transcript:Manes.04G103300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNEIELQEQLKETGNRLLDPPSSIDELLNLLDKLECLLINVEQAPSRSMQDALLSPMKALISNALLRNSDTDVKVSVVSCISEITRITAPDAPYSDDHMKEIFQLTIAAFEKLSHVSSRCYTKAVSILDTVARVRSCLVMLDLELDELITKMFQHFLKIIRSNHPHAVFLAMETVMTLIIDESEDVSVDLLTPLLASITKESQSVSPIAWKLGEKVIANCAAKLNPCLKEAVQSIGIPLDEYAPIVASICQDESLSLQQNHLNGSGDDLATKGLSPAAASPGEVLQAVDAIPKSTTNGNSSTRNAGDVINNNSSKILEHCSLIQHSESSDILGDTKPEFNLEMDPGTVPRKRGWKPNSLMNPEEGYDHSWISSGRKTAKVPCERITHDKGVDLNPENTVPKKVALPLARMREPTGLRPETASVIDASSPSLNQSLTHGTHPKRGRPKKNWGIMNQGADRNSEPKQQKRTRKFGSAAKATEGAPLPSADEKAGLLGEHEEKPRQQSTKVVVRNFKRDSSLVQSDVRKRSSVSGISDVDAQASKVKVPKTNLKGMRTPRKEVRTGKNELEGFGPSS >Manes.04G103300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30788712:30800119:-1 gene:Manes.04G103300.v8.1 transcript:Manes.04G103300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNEIELQEQLKETGNRLLDPPSSIDELLNLLDKLECLLINVEQAPSRSMQDALLSPMKALISNALLRNSDTDVKVSVVSCISEITRITAPDAPYSDDHMKEIFQLTIAAFEKLSHVSSRCYTKAVSILDTVARVRSCLVMLDLELDELITKMFQHFLKIIRSNHPHAVFLAMETVMTLIIDESEDVSVDLLTPLLASITKESQSVSPIAWKLGEKVIANCAAKLNPCLKEAVQSIGIPLDEYAPIVASICQDESLSLQQNHLNGSGDDLGLSPAAASPGEVLQAVDAIPKSTTNGNSSTRNAGDVINNNSSKILEHCSLIQHSESSDILGDTKPEFNLEMDPGTVPRKRGWKPNSLMNPEEGYDHSWISSGRKTAKVPCERITHDKGVDLNPENTVPKKVALPLARMREPTGLRPETASVIDASSPSLNQSLTHGTHPKRGRPKKNWGIMNQGADRNSEPKQQKRTRKFGSAAKATEGAPLPSADEKAGLLGEHEEKPRQQSTKVVVRNFKRDSSLVQSDVRKRSSVSGISDVDAQASKVKKKKSSRGGIYDEQVPKTNLKGMRTPRKEVTPDLGEQLVGSRIKVWWPKDKMFYEGVLESYDPIKKKHKVLYADGDEEILNLRRERWELIGDDILHDEERKPDISNADPSSEKQSRPRKQNGKVISESGKQLKVDSKRSGTTSISKRKARKSTGAVTRDKPIAADKSIDYTPKPNSGSEGDGKESGSKLKIRSPRTGINSKQTTLETASPSGDESLGGGI >Manes.04G103300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30788712:30800119:-1 gene:Manes.04G103300.v8.1 transcript:Manes.04G103300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNEIELQEQLKETGNRLLDPPSSIDELLNLLDKLECLLINVEQAPSRSMQDALLSPMKALISNALLRNSDTDVKVSVVSCISEITRITAPDAPYSDDHMKEIFQLTIAAFEKLSHVSSRCYTKAVSILDTVARVRSCLVMLDLELDELITKMFQHFLKIIRSNHPHAVFLAMETVMTLIIDESEDVSVDLLTPLLASITKESQSVSPIAWKLGEKVIANCAAKLNPCLKEAVQSIGIPLDEYAPIVASICQDESLSLQQNHLNGSGDDLATKGLSPAAASPGEVLQAVDAIPKSTTNGNSSTRNAGDVINNNSSKILEHCSLIQHSESSDILGDTKPEFNLEMDPGTVPRKRGWKPNSLMNPEEGYDHSWISSGRKTAKVPCERITHDKGVDLNPENTVPKKVALPLARMREPTGLRPETASVIDASSPSLNQSLTHGTHPKRGRPKKNWGIMNQGADRNSEPKQQKRTRKFGSAAKATEGAPLPSADEKAGLLGEHEEKPRQQSTKVVVRNFKRDSSLVQSDVRKRSSVSGISDVDAQASKVKVPKTNLKGMRTPRKEVTPDLGEQLVGSRIKVWWPKDKMFYEGVLESYDPIKKKHKVLYADGDEEILNLRRERWELIGDDILHDEERKPDISNADPSSEKQSRPRKQNGKVISESGKQLKVDSKRSGTTSISKRKARKSTGAVTRDKPIAADKSIDYTPKPNSGSEGDGKESGSKLKIRSPRTGINSKQTTLETASPSGDESLGGGI >Manes.04G103300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30788712:30800120:-1 gene:Manes.04G103300.v8.1 transcript:Manes.04G103300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNEIELQEQLKETGNRLLDPPSSIDELLNLLDKLECLLINVEQAPSRSMQDALLSPMKALISNALLRNSDTDVKVSVVSCISEITRITAPDAPYSDDHMKEIFQLTIAAFEKLSHVSSRCYTKAVSILDTVARVRSCLVMLDLELDELITKMFQHFLKIIRSNHPHAVFLAMETVMTLIIDESEDVSVDLLTPLLASITKESQLGEKVIANCAAKLNPCLKEAVQSIGIPLDEYAPIVASICQDESLSLQQNHLNGSGDDLATKGLSPAAASPGEVLQAVDAIPKSTTNGNSSTRNAGDVINNNSSKILEHCSLIQHSESSDILGDTKPEFNLEMDPGTVPRKRGWKPNSLMNPEEGYDHSWISSGRKTAKVPCERITHDKGVDLNPENTVPKKVALPLARMREPTGLRPETASVIDASSPSLNQSLTHGTHPKRGRPKKNWGIMNQGADRNSEPKQQKRTRKFGSAAKATEGAPLPSADEKAGLLGEHEEKPRQQSTKVVVRNFKRDSSLVQSDVRKRSSVSGISDVDAQASKVKKKKSSRGGIYDEQVPKTNLKGMRTPRKEVTPDLGEQLVGSRIKVWWPKDKMFYEGVLESYDPIKKKHKVLYADGDEEILNLRRERWELIGDDILHDEERKPDISNADPSSEKQSRPRKQNGKVISESGKQLKVDSKRSGTTSISKRKARKSTGAVTRDKPIAADKSIDYTPKPNSGSEGDGKESGSKLKIRSPRTGINSKQTTLETASPSGDESLGGGI >Manes.04G103300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30788712:30800119:-1 gene:Manes.04G103300.v8.1 transcript:Manes.04G103300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNEIELQEQLKETGNRLLDPPSSIDELLNLLDKLECLLINVEQAPSRSMQDALLSPMKALISNALLRNSDTDVKVSVVSCISEITRITAPDAPYSDDHMKEIFQLTIAAFEKLSHVSSRCYTKAVSILDTVARVRSCLVMLDLELDELITKMFQHFLKIIRSNHPHAVFLAMETVMTLIIDESEDVSVDLLTPLLASITKESQSVSPIAWKLGEKVIANCAAKLNPCLKEAVQSIGIPLDEYAPIVASICQDESLSLQQNHLNGSGDDLATKGLSPAAASPGEVLQAVDAIPKSTTNGNSSTRNAGDVINNNSSKILEHCSLIQHSESSDILGDTKPEFNLEMDPGTVPRKRGWKPNSLMNPEEGYDHSWISSGRKTAKVPCERITHDKGVDLNPENTVPKKVALPLARMREPTGLRPETASVIDASSPSLNQSLTHGTHPKRGRPKKNWGIMNQGADRNSEPKQQKRTRKFGSAAKATEGAPLPSADEKAGLLGEHEEKPRQQSTKVVVRNFKRDSSLVQSDVRKRSSVSGISDVDAQASKVKKKKSSRGGIYDEQVPKTNLKGMRTPRKEVTPDLGEQLVGSRIKVWWPKDKMFYEGVLESYDPIKKKHKVLYADGDEEILNLRRERWELIGDDILHDEERKPDISNADPSSEKQSRPRKQNGKVISESGKQLKVDSKRSGTTSISKRKARKSTGAVTRDKPIAADKSIDYTPKPNSGSEGDGKESGSKLKIRSPRTGINSKQTTLETASPSGDESLGGGI >Manes.08G057600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6496847:6506517:1 gene:Manes.08G057600.v8.1 transcript:Manes.08G057600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHFVFPRTLRSLEEEQPGDNRLYAQNPIDTASLRPPELEELVKDVSFDLSDKELFCIEEQDVFDRVYSLVLGFSTLTSSCKLNLLESLRSNLSVLLPNVDSLSRVSQGQDDDLPVLDRVTSHRNAFKIYTFFLLNIVLAEESNASANTNSKVTTSTRKKQPLHSWNWEPQRGRILNLIANSLEVNLDLLFGSSNPDENYLSFITKNAFSMFENPSLLKDSETKDALCRIIGACATKYHYTTQSCASILHLIHKYDFVVIHLADAVAGAEKKYADGTLASSLIREIGRTNPKAYVKDTNGAENVGRFLVELADRLPKLMSTNIGVLVPHFGGESYKIRNALVAVLGKLVAKAFKDAEAEVSSKSVRLRSKQAMLEILLERCRDVSAFTRSRVLQVWAELCEEHSVSIGFWNEVAAVAAGRLEDKTAMVRKAALNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPEKKVQSVSNDLQSDSDTFVGEGEVDNVNDEELAEEHQESLTDSCLPHLEEKITQKDSLVPDVGNVEQTRALVASLEAGLGFSKCISATMPTLVQLMASSSATDVENTILLLMRCKQFQIDGAEESLRKMLPLVFSQDKSIYEAVENAFITIYVRKSPAETAKNLLNLAIDSNIGDLTALEFIINALVSKGDISPSTISALWDFFCFNINGTIAEQSRGALHVLCMAAKSSTGVLGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSAEDRKKLLVSNGSRVFGMLESLITGFWLPENIWYAAADKAIGAIYTIHPTPETLAADIVKKSFSSVFDCSGEHELQNDIDSGSHNALTAVLVSKLGRFLFVISHIAMNQLLYIESCVRKIQKQKTKEKMGTDVQNVGIKASNTPDDNNINAELGIAASEDAILDTLSEKAEQEIVSSGSSEKNLIGLCAPFLSKLCRNFSLMQKYPVLQASGMLALCRLMIIDANFCDANLQLLFTVVESAPSETVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSRSVRKNAVLVLSHLILNDMMKVKGYINEMALCLEDEDERISSLAKLFFHELSKKGNNPVYNLLPDILGKLSHQNLQRESFYNIMQFLIGSIKKEKQMEALVEKLCNRFSGVTDVKQWEYISYCLSQLSFTEKGIKKLIESFKTYEHVLSEDSVMDHFRSIINKGKKFAKPELKLCIEEFEEKLNKFHLEKKEQEETARNAQIHQQKVEDMEHVIRSRNEGEESDNAEDEVIDPSMEGMPQSMNKTPDTKLDDSDEYSGGSSEVIETEPDGTEVQSPKFITEGTSTSRNKKSKMKDHKSGISVSGRRNTRSKQR >Manes.08G057600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6496847:6504547:1 gene:Manes.08G057600.v8.1 transcript:Manes.08G057600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHFVFPRTLRSLEEEQPGDNRLYAQNPIDTASLRPPELEELVKDVSFDLSDKELFCIEEQDVFDRVYSLVLGFSTLTSSCKLNLLESLRSNLSVLLPNVDSLSRVSQGQDDDLPVLDRVTSHRNAFKIYTFFLLNIVLAEESNASANTNSKVTTSTRKKQPLHSWNWEPQRGRILNLIANSLEVNLDLLFGSSNPDENYLSFITKNAFSMFENPSLLKDSETKDALCRIIGACATKYHYTTQSCASILHLIHKYDFVVIHLADAVAGAEKKYADGTLASSLIREIGRTNPKAYVKDTNGAENVGRFLVELADRLPKLMSTNIGVLVPHFGGESYKIRNALVAVLGKLVAKAFKDAEAEVSSKSVRLRSKQAMLEILLERCRDVSAFTRSRVLQVWAELCEEHSVSIGFWNEVAAVAAGRLEDKTAMVRKAALNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPEKKVQSVSNDLQSDSDTFVGEGEVDNVNDEELAEEHQESLTDSCLPHLEEKITQKDSLVPDVGNVEQTRALVASLEAGLGFSKCISATMPTLVQLMASSSATDVENTILLLMRCKQFQIDGAEESLRKMLPLVFSQDKSIYEAVENAFITIYVRKSPAETAKNLLNLAIDSNIGDLTALEFIINALVSKGDISPSTISALWDFFCFNINGTIAEQSRGALHVLCMAAKSSTGVLGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSAEDRKKLLVSNGSRVFGMLESLITGFWLPENIWYAAADKAIGAIYTIHPTPETLAADIVKKSFSSVFDCSGEHELQNDIDSGSHNALTAVLVSKLGRFLFVISHIAMNQLLYIESCVRKIQKQKTKEKMGTDVQNVGIKASNTPDDNNINAELGIAASEDAILDTLSEKAEQEIVSSGSSEKNLIGLCAPFLSKLCRNFSLMQKYPVLQASGMLALCRLMIIDANFCDANLQLLFTVVESAPSETVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSRSVRKNAVLVLSHLILNDMMKVKGYINEMALCLEDEDERISSLAKLFFHELSKKGNNPVYNLLPDILGKLSHQNLQRESFYNIMQFLIGSIKKEKQMEALVEKLCNRFSGVTDVKQWEYISYCLSQLSFTEKGIKKLIESFKTYEHVLSEDSVMDHFRSIINKGKKFAKPELKLCIEEFEEKLNKFHLEKKEQEETARNAQIHQQKVEDMEHVIRSRNEGEESDNAEG >Manes.08G057600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6496847:6506517:1 gene:Manes.08G057600.v8.1 transcript:Manes.08G057600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHFVFPRTLRSLEEEQPGDNRLYAQNPIDTASLRPPELEELVKDVSFDLSDKELFCIEEQDVFDRVYSLVLGFSTLTSSCKLNLLESLRSNLSVLLPNVDSLSRVSQGQDDDLPVLDRVTSHRNAFKIYTFFLLNIVLAEESNASANTNSKVTTSTRKKQPLHSWNWEPQRGRILNLIANSLEVNLDLLFGSSNPDENYLSFITKNAFSMFENPSLLKDSETKDALCRIIGACATKYHYTTQSCASILHLIHKYDFVVIHLADAVAGAEKKYADGTLASSLIREIGRTNPKAYVKDTNGAENVGRFLVELADRLPKLMSTNIGVLVPHFGGESYKIRNALVAVLGKLVAKAFKDAEAEVSSKSVRLRSKQAMLEILLERCRDVSAFTRSRVLQVWAELCEEHSVSIGFWNEVAAVAAGRLEDKTAMVRKAALNLLIMMLQHNPFGPQLRIASFEATLEQYKKKLNELEPEKKVQSVSNDLQSDSDTFVGEGEVDNVNDEELAEEHQESLTDSCLPHLEEKITQKDSLVPDVGNVEQTRALVASLEAGLGFSKCISATMPTLVQLMASSSATDVENTILLLMRCKQFQIDGAEESLRKMLPLVFSQDKSIYEAVENAFITIYVRKSPAETAKNLLNLAIDSNIGDLTALEFIINALVSKGDISPSTISALWDFFCFNINGTIAEQSRGALHVLCMAAKSSTGVLGSHLQDIIDIGFGRWAKVEPLLARTACIAIQRLSAEDRKKLLVSNGSRVFGMLESLITGFWLPENIWYAAADKAIGAIYTIHPTPETLAADIVKKSFSSVFDCSGEHELQNDIDSGSHNALTAVLVSKLGRFLFVISHIAMNQLLYIESCVRKIQKQKTKEKMGTDVQNVGIKASNTPDDNNINAELGIAASEDAILDTLSEKAEQEIVSSGSSEKNLIGLCAPFLSKLCRNFSLMQKYPVLQASGMLALCRLMIIDANFCDANLQLLFTVVESAPSETVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSRSVRKNAVLVLSHLILNDMMKVKGYINEMALCLEDEDERISSLAKLFFHELSKKGNNPVYNLLPDILGKLSHQNLQRESFYNIMQFLIGSIKKEKQMEALVEKLCNRFSGVTDVKQWEYISYCLSQLSFTEKGIKKLIESFKTYEHVLSEDSVMDHFRSIINKGKKFAKPELKLCIEEFEEKLNKFHLEKKEQEETARNAQIHQQKVEDMEHVIRSRNEGEESDNAEDEVIDPSMEGMPQSMNKTPDTKLDDSDEYSGGSSEVIETEPDGTEVQSPKFITEGTSTSRNKKSKMKDHKSGISVSGRRNTRSKQR >Manes.14G129700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10358508:10360437:-1 gene:Manes.14G129700.v8.1 transcript:Manes.14G129700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGLIALNIISSIAIGTFRYATKLKLRKDAVPCGICKQKGFIYANGVKAGSANIKWSPLYDPIAFNPCLCPTCDGNKVQLCLNCLGKGYTTGKM >Manes.03G190500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30977282:30978864:1 gene:Manes.03G190500.v8.1 transcript:Manes.03G190500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCNFSSSPIHHIPYGSGSHLRPLVIPLKGLHHNLHQSSYTVYSPHKFFLQIKTFITLSHYYILLSPVSVPLISFIIRMASFWFLLSFIISLIIFPFLSSSALLNPQASTIPTSPASLVNSPPLSPFQELSPDIAPLLPSPGGVLPSPTISSLPTIPSTQSPPNPDEFDAPGPDSAFSPLVSFPASSAAPQNSVNFAAAVGCTLYWSIQLLKV >Manes.04G104000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30904664:30905523:-1 gene:Manes.04G104000.v8.1 transcript:Manes.04G104000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLNDELKTEKRNIEFWVRQWDLRESLIELERHKLDEESTMVKSKKEDALNKIRQLEKELETREELETRQKLELEIEELKWELEVMKKQLEDERDEAVRNVTMEMQQELDDLESLNATLIVKERRSNDELQDARKELIQVVL >Manes.02G099000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7706786:7712536:1 gene:Manes.02G099000.v8.1 transcript:Manes.02G099000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLHHMFLLGFSDNIEDMDSWVFMGLLFLLGCWCCCFFFNNNKKVEKKFHGIIPKGSLGWPLLGETLEFIASGYTSQPVAFMDKRKTLYGEVFKTHILGTPIIVSTDPEVNKVVLQNHGNVFIPAYPKSIRILLGEFSILQMNGNLQKKLHALVGGFLRSPQFKSRITKDIENSVKPTLSTWQDMDLILVQEETKKITFPILVKVLMSIGPGQDLDFLKKEFEEFIKGLICLPIKFPGTRLYKSLKAKGKLLKVVKKIVEERKIAMERSDEKGNIEDVVDLLLREYGEANEMQSLPLDFISDNIIEMMIPGEETVPTAMTLAVKFLSDCPVALKQMTEENMELKRQKTESREDYNWTDYMSLRFTQNVISETLRMANIINAVWRKAIKDVEIKGKLIPQGWCVLASFTSVHMDKENYDNPYQFDPWRWEKTGGASVNNCTFTPFGGGQRLCPGLDLSRLEISIFLHHLVTTYQWTAEKDEIVYFPTVKMRRKLPTRVKATQTQPPP >Manes.02G099000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7706786:7712536:1 gene:Manes.02G099000.v8.1 transcript:Manes.02G099000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLHHMFLLGFSDNIEDMDSWVFMGLLFLLGCWCCCFFFNNNKKVEKKFHGIIPKGSLGWPLLGETLEFIASGYTSQPVAFMDKRKTLYGEVFKTHILGTPIIVSTDPEVNKVVLQNHGNVFIPAYPKSIRILLGEFSILQMNGNLQKKLHALVGGFLRSPQFKSRITKDIENSVKPTLSTWQDMDLILVQEETKKITFPILVKVLMSIGPGQDLDFLKKEFEEFIKGLICLPIKFPGTRLYKSLKAKGKLLKVVKKIVEERKIAMERSDEKGNIEDVVDLLLREYGEANEMQSLPLDFISDNIIEMMIPGEETVPTAMTLAVKFLSDCPVALKQMTEENMELKRQKTESREDYNWTDYMSLRFTQNVISETLRMANIINAVWRKAIKDVEIKGKLIPQGWCVLASFTSVHMDKENYDNPYQFDPWRWEKTGGASVNNCTFTPFGGGQRLCPGLDLSRLEISIFLHHLVTTYQWTAEKDEIVYFPTVKMRRKLPTRVKATQTQPPP >Manes.05G039900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3256678:3260253:-1 gene:Manes.05G039900.v8.1 transcript:Manes.05G039900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASCDHQRIPKLLGLWCSSLHQSDPCHIEIMVEDKGKEVIQDIQTVEDWLSYAQELVPLALDKAKTVKGFPGRWKMIISKLEQIPSHLSDLSSHPCFSKNSLCKEQLQAVSKALKEAIELADLCDSEKYEGKLRMQSDLDSLSGKLDLNLRDCGLLIKTGVLGETTLPSAVAGSSTEPEAAIHGNIRELLARLQIGHLEAKHKALDSLVEVMKEDEKTVLAVLGRSNVGALIQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSTVGREKATISLQRLSMTSETARAIVGHGGVRPLIEICRIGDSVSQAAAACTLKNISAVPEVRQNLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLRRIVISEGGIQRLLAYLDGPLPQESAVAAVRNLVSSVSMEMLVSLGFLPCLVHVLKSGSVGAQQAAASAICRVCSSSEMKKLVGEAGCIPLLIKLLEAKSNSVREVSTQAISSLMTLSQNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSRKCKKLMISYGAIGYLKKLSEMDIPGAKKLLERLERGKLRSLFSRK >Manes.05G039900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3256507:3260085:-1 gene:Manes.05G039900.v8.1 transcript:Manes.05G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKGKEVIQDIQTVEDWLSYAQELVPLALDKAKTVKGFPGRWKMIISKLEQIPSHLSDLSSHPCFSKNSLCKEQLQAVSKALKEAIELADLCDSEKYEGKLRMQSDLDSLSGKLDLNLRDCGLLIKTGVLGETTLPSAVAGSSTEPEAAIHGNIRELLARLQIGHLEAKHKALDSLVEVMKEDEKTVLAVLGRSNVGALIQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSTVGREKATISLQRLSMTSETARAIVGHGGVRPLIEICRIGDSVSQAAAACTLKNISAVPEVRQNLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLRRIVISEGGIQRLLAYLDGPLPQESAVAAVRNLVSSVSMEMLVSLGFLPCLVHVLKSGSVGAQQAAASAICRVCSSSEMKKLVGEAGCIPLLIKLLEAKSNSVREVSTQAISSLMTLSQNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSRKCKKLMISYGAIGYLKKLSEMDIPGAKKLLERLERGKLRSLFSRK >Manes.05G039900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3256541:3260253:-1 gene:Manes.05G039900.v8.1 transcript:Manes.05G039900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKGKEVIQDIQTVEDWLSYAQELVPLALDKAKTVKGFPGRWKMIISKLEQIPSHLSDLSSHPCFSKNSLCKEQLQAVSKALKEAIELADLCDSEKYEGKLRMQSDLDSLSGKLDLNLRDCGLLIKTGVLGETTLPSAVAGSSTEPEAAIHGNIRELLARLQIGHLEAKHKALDSLVEVMKEDEKTVLAVLGRSNVGALIQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGSTVGREKATISLQRLSMTSETARAIVGHGGVRPLIEICRIGDSVSQAAAACTLKNISAVPEVRQNLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLRRIVISEGGIQRLLAYLDGPLPQESAVAAVRNLVSSVSMEMLVSLGFLPCLVHVLKSGSVGAQQAAASAICRVCSSSEMKKLVGEAGCIPLLIKLLEAKSNSVREVSTQAISSLMTLSQNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSRKCKKLMISYGAIGYLKKLSEMDIPGAKKLLERLERGKLRSLFSRK >Manes.07G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1450594:1452972:1 gene:Manes.07G011000.v8.1 transcript:Manes.07G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLVDDSETARCVCKAWDSLITNPSFIDEHLKKTAARKSELLLFRYYINVELFKGKEHYLLYTDETFPEKPVKELDCPLKCLSRFINIVGSCNGVICLSDDFSGTYTDRAALWNPSVRKIVSIPCANVTFHSHGPFFHSLGFGFDSKADDYKLVRVAYIGDEYFDFVDIPPLVEIFSLRERYWKRVHNNLNYVICECSTSAFVDGACHWVASVTKNGVSVGRVIVSFSLGDELFREMELPKCLVEEYIIMDVAAFDGSLLLVPFMKKNGKEDWFSVWIMREYGVARSWTKLFSFSKEERVERLVAFRQNGEILLAKRGGKLVSYDPNTKKTTATGIVGDARSFYLDTLVDSLVLIGESNEFTEEDASSCGSCSSLMEIDEASGESTEEE >Manes.06G120900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25213040:25216629:-1 gene:Manes.06G120900.v8.1 transcript:Manes.06G120900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNPPTKEKMRASGFSLVSEDVVENILSRLPALSFASAACVSRCWNKVCGRILSKPKLASALSLNPSLHDAVKEVLEKVLSQPIYPHFAIACIGKQFSLELTHQLITEKLGSKVPVITNAASGIIGFDARNVLKEIKWESSDDEDDDDEDDLTDSPDKGIVLVVGFVPGLKVDAIPLLRTKTVPQKALVDKFLMDIRSFTASVSDCTSPAGIILFGNRNVDMKPVLASMDCAMNEETVIVGDASGCFLYRSADSSRDNHADMNLDAVALVFARDKHKSDDIGEIQFHVTLSTGVMPFGPHLQAVCVIAKDTECSWLTARLQGQYDILDAEGLLVDINDQFNEEESPDLYIGVVQHRECYIGAESSSRASLAFYEVIGGDKEFFIIKGVGVKPGDSFLFYHSDADTASSSCGNAYRSLANLKEESESKNCLQQTNVEEKEVFGGLIFSCVLRGESFDQNAESFPFRENFPGVPLAGVYCGGEIGRGSSSSISQEDDEANSARCCLHYHSTVYLVMSYVPANAED >Manes.06G120900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25213146:25216510:-1 gene:Manes.06G120900.v8.1 transcript:Manes.06G120900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNPPTKEKMRASGFSLVSEDVVENILSRLPALSFASAACVSRCWNKVCGRILSKPKLASALSLNPSLHDAVKEVLEKVLSQPIYPHFAIACIGKQFSLELTHQLITEKLGSKVPVITNAASGIIGFDARNVLKEIKWESSDDEDDDDEDDLTDSPDKGIVLVVGFVPGLKVDAIPLLRTKTVPQKALVDKFLMDIRSFTASVSDCTSPAGIILFGNRNVDMKPVLASMDCAMNEETVIVGDASGCFLYRSADSSRDNHADMNLDAVALVFARDKHKSDDIGEIQFHVTLSTGVMPFGPHLQAVCVIAKDTECSWLTARLQGQYDILDAEGLLVDINDQFNEEESPDLYIGVVQHRECYIGAESSSRASLAFYEVIGGDKEFFIIKGVGVKPGDSFLFYHSDADTASSSCGNAYRSLANLKEESESKNCLQQTNVEEKEVFGGLIFSCVLRGESFDQNAESFPFRENFPGVPLAGVYCGGEIGRGSSSSISQEDDEANSARCCLHYHSTVYLVMSYVPANAED >Manes.18G002601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:704201:704862:-1 gene:Manes.18G002601.v8.1 transcript:Manes.18G002601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRGEPLVAKLAAVAKYGVLPGAMVAALIYSPPRYGSSSKDDKSPK >Manes.06G055300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19088891:19101149:-1 gene:Manes.06G055300.v8.1 transcript:Manes.06G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVNVVRDRLFADLGRTYTQEEFEELCFSFGIELDDVTTDEAISRKERHEEEKANENEDIVYKIEVPANRYDLLCLEGLAQALRIFNKQDETPTYSVANISKESMHKMRVKPETSSIRPYIVCAVLRDITFDEASYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTLQGPFTYEALPPQDINFIPLKQVKKYRADELMEFYRENDLKLKKFLHIIEKSPLFPVIYDSNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKAKIVLNTMVTTFSAYCKKKFEVEPVEVIYSDGKSYIYPDLSAYNMEVPLSYITGSIGVSLKADEVTSLLNRMQLKAEQSVLGADQCNINVTVPPTRSDVLHPCDVMEDVAIAYGYNSIPKRRLPSLKPLALNQLEDLIRVEVAMNGFTEVLTWILCSYRENFSMLNKKDDGSTAVIVGNPRSSDFEAVRTSLMPGALKIVGHNKDHPKPIKIFEVGDVVHLDESKDVGAANRRLLAALYCGTNSGFELIHSLVDRVMEVMGTPFVPVGDNSGYYIQCSDAPEFLQGRQASIIYKGKRIGIFGIVHPEVLSNFDVPDPCSFLELDIQSFL >Manes.06G055300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19088891:19101159:-1 gene:Manes.06G055300.v8.1 transcript:Manes.06G055300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVNVVRDRLFADLGRTYTQEEFEELCFSFGIELDDVTTDEAISRKERHEEEKANENEDIVYKIEVPANRYDLLCLEGLAQALRIFNKQDETPTYSVANISKESMHKMRVKPETSSIRPYIVCAVLRDITFDEASYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTLQGPFTYEALPPQDINFIPLKQVKKYRADELMEFYRENDLKLKKFLHIIEKSPLFPVIYDSNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKAKIVLNTMVTTFSAYCKKKFEVEPVEVIYSDGKSYIYPDLSAYNMEVPLSYITGSIGVSLKADEVTSLLNRMQLKAEQSVLGADQCNINVTVPPTRSDVLHPCDVMEDVAIAYGYNSIPKRRLPSLKPLALNQLEDLIRVEVAMNGFTEVLTWILCSYRENFSMLNKKDDGSTAVIVGNPRSSDFEAVRTSLMPGALKIVGHNKDHPKPIKIFEVGDVVHLDESKDVGAANRRLLAALYCGTNSGFELIHSLVDRVMEVMGTPFVPVGDNSGYYIQCSDAPEFLQGRQASIIYKGKRIGIFGIVHPEVLSNFDVPDPCSFLELDIQSFL >Manes.06G113800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24461395:24463151:1 gene:Manes.06G113800.v8.1 transcript:Manes.06G113800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISIPKLSLILRPFLLSLFLSVSALTFIASLTPHHRRITVTSQQPTKTPPISVANSTGDLRIRPGYSSYNAYIQHQLNKSLNPKLRQIWKTRDWDRKVRVFAQFFDSLRQRNHLFNTSKALSIGARVGQEVEALRRIGVSDSIGMDLVPCPPLVVKGDFHAQPFENETYDFEFSNVFDHALYPWKFIGEIERTLKGGGVCVLHVALSRRADKYSANDLYSVRPLVELFKESELVEVKKVDGFGLDTEVVFRKKRKSNLRVD >Manes.15G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9007033:9016095:-1 gene:Manes.15G113400.v8.1 transcript:Manes.15G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSELVAFPLLMTPIESNYRACTIPYRFPSDNPRKPTPTELQWIDLFFNSIPSFKKRAETDTTVVDAPAKAQKFAQRYTEILEDLKKDPESHGGPPDCILLCRLREQILRELGFRDIFKKVKDEENAKAISLFEDVVHLNDAIEDGTKRLENLVRGIFAGNIFDLGSAQLAEVFSRDGMSFLASCQNLVPRPWVVDDFYTFKVKWSKKSWKKAVVFVDNSGADIILGILPFARELLRRGTQVVLAANDLPSINDVTYPELVEIISKLKDGSGKLMGVDASNLLIANSGNDLPVIDLTRVSQELAYLSSDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVLS >Manes.14G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10890094:10905982:-1 gene:Manes.14G115300.v8.1 transcript:Manes.14G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAELHDLSDDADYAASIQQGSASFMMSSDSSKQSSSSEPEDAEVVYAKDNVTIHPSQFASERISGRLKLIKQGASLFMTWIPYKGQVSNARLSEKDRSLYTIRAVPLTDVRSIRRHTPTLGWQYVIVVLSSGLAFPPLYFYNGGVKEFLATIKQHVFIVRSLEDANVFLVNDFQNPLQRTLSSLELPRIIPTASGASAFSSAGDSSSYENHERDDGDSHNETYDTSHNVRRQKSNDPARDLSIQVLEKFSLVTKFARETTSQLFSENQSNGFGEFGRKNYSHPSLDIPQTTPKDPEEVPFRSPVPSDPIEFDKLTLVWGKPRQPPLGSEEWATFLDPEGRVMDSKALRKRIFYGGVEHTLRREIWPLLLGYHTYDSTYAEREFLQHSKKFEYETTKRQWQSISPEQAKRFTKFRERKGLIDKDVVRTDRSLPFYDGDDNPNVNVLRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQSGMHSQLFALSKLVELLDSPLHNYFKQNDCLNYFFCFRWILIQFKREFEYGKTLCLWEVLWTHYLSEHLHLYACVAILKRYRNKIMGEQMDFDTLLKFINELSGHIDLDAVLRDAEALCICAGENGAAAIPPGTPPSLPLENQNVLLYSQHDEVL >Manes.11G120000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27926806:27928530:1 gene:Manes.11G120000.v8.1 transcript:Manes.11G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIADSSVPVALIVGVTGMAGLSLAEALKKPTVLSSSATKFPWKVYGVARQPLPSWFPSSLLDQFISVDVLDQTQTFQKLTSISSQVTHVFWVALQIRETEEANVTVNSIMLANVLDVLISASPSRLRHITLQTGTKHYLGPIFDPQLANQLVHHEPPFEEHMPRLPYPNFYYALEDLVASYSPSITYSVHRSSIIIGASSRSFYNCLLTLCVYATICRHKGLPFRYPGSKYTWEHFCDMTDARVLAEQHIWAAVTDRAKNQAFNCTNGDVFTWKRLWKVLCEVFDVEFVDYDENERFDWVEVMKDKGKVWDEIVKKYELFKTKMEDITCFDATNKVMHFKLQHVCSMNKSRELGFLGFADTLKSIRMWVGRLRDMKIIP >Manes.03G143800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27150193:27150636:1 gene:Manes.03G143800.v8.1 transcript:Manes.03G143800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPTTTGSVLHLHNNSRTGDKNRSSQPRKMSKPTFYLLALFLLYTHLKFCDGVSVSGLNSFEKSEIDAMVRRGCTKKIGDCLEEPEMESEISKRVLMMQKKYISYETLKRDMVPCAKPGASYYDCHAGEANPYSRGCEVITRCRGN >Manes.08G024900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2482212:2482739:1 gene:Manes.08G024900.v8.1 transcript:Manes.08G024900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSLPPEPSKTNLQFLQNHIDTHAHSFLSSNTAYDLHHSQESDGKKNDLKCIPSKTTTAVLQGQEPPVTAEDDAVKVARRREESASSDCLSSKALQDDYCGRERLKRHRIEVAGSVWIPDIWGQEELLKDWIDCSAFDASLVNNRIKSARAALVEQGRTATTCSGRLRIENRC >Manes.S025652.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2181978:2182790:-1 gene:Manes.S025652.v8.1 transcript:Manes.S025652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G098700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:33087682:33088565:1 gene:Manes.08G098700.v8.1 transcript:Manes.08G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTLLTPTSKPTPLSTTTSKAFIVSTVSPPPPPQHHQQPLRRHFLSLATIILTPPLLSPVAPAHAASDEEYVKDTEVVINKVRTTVNMDRNDPNVAEAVADLRETSNSWVAKYRREKSLLGRASFRDMYSALNAVSGHYISFGPTAPIPAKRKARILEEMDTAEKALLRGR >Manes.06G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15464229:15472106:-1 gene:Manes.06G057100.v8.1 transcript:Manes.06G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVSRKDKDFSLQLPAPPGWKKQFVAKKSGTPKKNEIIFTAPTGEEFTGRRQLEQYLKSHPGSPAVSEFDWGTGETPRRSARISEKSKAAPTRETEPPKKRSRKSAASKNETKETEIATEGAEAKEIQMRDAGKSGKDKTNVEAGKEDLKGDQADNKDKPRESDAKTEATPTEEAKVERGVDKSEKTEDGKGVEPKHSKGTSDGSGISENKKETLEDEKVQGKDAQPTVEASKKRGSGERYKADTVINEDKCEAEAENKKKPNKSIPETEGEIKDIEATNGNNEKPNCTVIDDVNEKVQEEVMENGRSGSDAKQSQA >Manes.18G046600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4080070:4084855:1 gene:Manes.18G046600.v8.1 transcript:Manes.18G046600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTAGDWDSKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYLRGNFDAALQVFQGIDVRSLTPKMVKAIVERTRQRKPRSKGDIVPANVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECRIILDIVESALPNGMPEGVGEECKLEEMFHKALELLPILWIKAGLVDEAIIAYRRALVKPWNLGPQRLAGVQKDLASILLFGAVEAKHPPHLQPCGPGSPSTSIEEAILLLLVLMNKVAYGEIKWDEDIMDHLTYALSIIGQFELLAEHVEQALPGVYNRADRWYFLALSYTAAGQNEAALNLLKKASGFSESKHEPHFSSYLLGAKLCSEDPKHAHEGIDFSRKMINLANHQSDHFMGEAHKFLGVCYGNAARICLSDSERIVLQKESLNSLNQAALNRQEDPEVLYSLAIENTLQRNLDAAFDNAIMYTETMAGNSMRGWKLLSLVVSAQQRFKDAEIVVGLALDEAGRMDQFELLRLRAVLQIAQEQPKLAIETYRILLSLIQAQRDLQARNPDHANVFESVILAERNLELAVWQDLAAIYTKLGSWPDAKICMNKAKLMDFHSPRSWHTTGLFFEAQSLYKEALVSFSMSLSIDPDYVPSVVSTGEVLMKLGKQSFPIARSFLMNALRLDPTSHQAWFNLGLISKMEGSLQQAADFFQAAYELKLSAPVQSLV >Manes.18G046600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4080070:4084855:1 gene:Manes.18G046600.v8.1 transcript:Manes.18G046600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTAGDWDSKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYLRGNFDAALQVFQGIDVRSLTPKMVKAIVERTRQRKPRSKGDIVPANVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECRIILDIVESALPNGMPEGVGEECKLEEMFHKALELLPILWIKAGLVDEAIIAYRRALVKPWNLGPQRLAGVQKDLASILLFGAVEAKHPPHLQPCGPGSPSTSIEEAILLLLVLMNKVAYGEIKWDEDIMDHLTYALSIIGQFELLAEHVEQALPGVYNRADRWYFLALSYTAAGQNEAALNLLKKASGFSESKHEPHFSSYLLGAKLCSEDPKHAHEGIDFSRKMINLANHQSDHFMGEAHKFLGVCYGNAARICLSDSERIVLQKESLNSLNQAALNRQEDPEVLYSLAIENTLQRNLDAAFDNAIMYTETMAGNSMRGWKLLSLVVSAQQRFKDAEIVVGLALDEAGRMDQFELLRLRAVLQIAQEQPKLAIETYRILLSLIQAQRDLQARNPDHANVFESVILAERNLELAVWQDLAAIYTKLGSWPDAKICMNKAKLMDFHSPRSWHTTGLFFEAQSLYKEALVSFSMSLSIDPDYVPSVVSTGEVLMKLGKQSFPIARSFLMNALRLDPTSHQAWFNLGLISKMEGSLQQAADFFQAAYELKLSAPVQSLV >Manes.18G046600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4080286:4084855:1 gene:Manes.18G046600.v8.1 transcript:Manes.18G046600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTAGDWDSKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYLRGNFDAALQVFQGIDVRSLTPKMVKAIVERTRQRKPRSKGDIVPANVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECRIILDIVESALPNGMPEGVGEECKLEEMFHKALELLPILWIKAGLVDEAIIAYRRALVKPWNLGPQRLAGVQKDLASILLFGAVEAKHPPHLQPCGPGSPSTSIEEAILLLLVLMNKVAYGEIKWDEDIMDHLTYALSIIGQFELLAEHVEQALPGVYNRADRWYFLALSYTAAGQNEAALNLLKKASGFSESKHEPHFSSYLLGAKLCSEDPKHAHEGIDFSRKMINLANHQSDHFMGEAHKFLGVCYGNAARICLSDSERIVLQKESLNSLNQAALNRQEDPEVLYSLAIENTLQRNLDAAFDNAIMYTETMAGNSMRGWKLLSLVVSAQQRFKDAEIVVGLALDEAGRMDQFELLRLRAVLQIAQEQPKLAIETYRILLSLIQAQRDLQARNPDHANVFESVILAERNLELAVWQDLAAIYTKLGSWPDAKICMNKAKLMDFHSPRSWHTTGLFFEAQSLYKEALVSFSMSLSIDPDYVPSVVSTGEVLMKLGKQSFPIARSFLMNALRLDPTSHQAWFNLGLISKMEGSLQQAADFFQAAYELKLSAPVQSLV >Manes.18G046600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4080805:4084855:1 gene:Manes.18G046600.v8.1 transcript:Manes.18G046600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTAGDWDSKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYLRGNFDAALQVFQGIDVRSLTPKMVKAIVERTRQRKPRSKGDIVPANVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECRIILDIVESALPNGMPEGVGEECKLEEMFHKALELLPILWIKAGLVDEAIIAYRRALVKPWNLGPQRLAGVQKDLASILLFGAVEAKHPPHLQPCGPGSPSTSIEEAILLLLVLMNKVAYGEIKWDEDIMDHLTYALSIIGQFELLAEHVEQALPGVYNRADRWYFLALSYTAAGQNEAALNLLKKASGFSESKHEPHFSSYLLGAKLCSEDPKHAHEGIDFSRKMINLANHQSDHFMGEAHKFLGVCYGNAARICLSDSERIVLQKESLNSLNQAALNRQEDPEVLYSLAIENTLQRNLDAAFDNAIMYTETMAGNSMRGWKLLSLVVSAQQRFKDAEIVVGLALDEAGRMDQFELLRLRAVLQIAQEQPKLAIETYRILLSLIQAQRDLQARNPDHANVFESVILAERNLELAVWQDLAAIYTKLGSWPDAKICMNKAKLMDFHSPRSWHTTGLFFEAQSLYKEALVSFSMSLSIDPDYVPSVVSTGEVLMKLGKQSFPIARSFLMNALRLDPTSHQAWFNLGLISKMEGSLQQAADFFQAAYELKLSAPVQSLV >Manes.18G046600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4080070:4084855:1 gene:Manes.18G046600.v8.1 transcript:Manes.18G046600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTAGDWDSKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYLRGNFDAALQVFQGIDVRSLTPKMVKAIVERTRQRKPRSKGDIVPANVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECRIILDIVESALPNGMPEGVGEECKLEEMFHKALELLPILWIKAGLVDEAIIAYRRALVKPWNLGPQRLAGVQKDLASILLFGAVEAKHPPHLQPCGPGSPSTSIEEAILLLLVLMNKVAYGEIKWDEDIMDHLTYALSIIGQFELLAEHVEQALPGVYNRADRWYFLALSYTAAGQNEAALNLLKKASGFSESKHEPHFSSYLLGAKLCSEDPKHAHEGIDFSRKMINLANHQSDHFMGEAHKFLGVCYGNAARICLSDSERIVLQKESLNSLNQAALNRQEDPEVLYSLAIENTLQRNLDAAFDNAIMYTETMAGNSMRGWKLLSLVVSAQQRFKDAEIVVGLALDEAGRMDQFELLRLRAVLQIAQEQPKLAIETYRILLSLIQAQRDLQARNPDHANVFESVILAERNLELAVWQDLAAIYTKLGSWPDAKICMNKAKLMDFHSPRSWHTTGLFFEAQSLYKEALVSFSMSLSIDPDYVPSVVSTGEVLMKLGKQSFPIARSFLMNALRLDPTSHQAWFNLGLISKMEGSLQQAADFFQAAYELKLSAPVQSLV >Manes.18G046600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4080070:4084855:1 gene:Manes.18G046600.v8.1 transcript:Manes.18G046600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTAGDWDSKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYLRGNFDAALQVFQGIDVRSLTPKMVKAIVERTRQRKPRSKGDIVPANVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECRIILDIVESALPNGMPEGVGEECKLEEMFHKALELLPILWIKAGLVDEAIIAYRRALVKPWNLGPQRLAGVQKDLASILLFGAVEAKHPPHLQPCGPGSPSTSIEEAILLLLVLMNKVAYGEIKWDEDIMDHLTYALSIIGQFELLAEHVEQALPGVYNRADRWYFLALSYTAAGQNEAALNLLKKASGFSESKHEPHFSSYLLGAKLCSEDPKHAHEGIDFSRKMINLANHQSDHFMGEAHKFLGVCYGNAARICLSDSERIVLQKESLNSLNQAALNRQEDPEVLYSLAIENTLQRNLDAAFDNAIMYTETMAGNSMRGWKLLSLVVSAQQRFKDAEIVVGLALDEAGRMDQFELLRLRAVLQIAQEQPKLAIETYRILLSLIQAQRDLQARNPDHANVFESVILAERNLELAVWQDLAAIYTKLGSWPDAKICMNKAKLMDFHSPRSWHTTGLFFEAQSLYKEALVSFSMSLSIDPDYVPSVVSTGEVLMKLGKQSFPIARSFLMNALRLDPTSHQAWFNLGLISKMEGSLQQAADFFQAAYELKLSAPVQSLV >Manes.18G046600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4080070:4084855:1 gene:Manes.18G046600.v8.1 transcript:Manes.18G046600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFEEAPQSPESLATRDFSASGLSSRTAGDWDSKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYLRGNFDAALQVFQGIDVRSLTPKMVKAIVERTRQRKPRSKGDIVPANVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECRIILDIVESALPNGMPEGVGEECKLEEMFHKALELLPILWIKAGLVDEAIIAYRRALVKPWNLGPQRLAGVQKDLASILLFGAVEAKHPPHLQPCGPGSPSTSIEEAILLLLVLMNKVAYGEIKWDEDIMDHLTYALSIIGQFELLAEHVEQALPGVYNRADRWYFLALSYTAAGQNEAALNLLKKASGFSESKHEPHFSSYLLGAKLCSEDPKHAHEGIDFSRKMINLANHQSDHFMGEAHKFLGVCYGNAARICLSDSERIVLQKESLNSLNQAALNRQEDPEVLYSLAIENTLQRNLDAAFDNAIMYTETMAGNSMRGWKLLSLVVSAQQRFKDAEIVVGLALDEAGRMDQFELLRLRAVLQIAQEQPKLAIETYRILLSLIQAQRDLQARNPDHANVFESVILAERNLELAVWQDLAAIYTKLGSWPDAKICMNKAKLMDFHSPRSWHTTGLFFEAQSLYKEALVSFSMSLSIDPDYVPSVVSTGEVLMKLGKQSFPIARSFLMNALRLDPTSHQAWFNLGLISKMEGSLQQAADFFQAAYELKLSAPVQSLV >Manes.03G089519.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19642658:19644804:1 gene:Manes.03G089519.v8.1 transcript:Manes.03G089519.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLAGNGTKGSDYKGGGKGTTQLLNSPWDVCYEPVNEKVYIAMAGQHQIWEHNTLDGVTIAFSGDGYERNLNGSSVTFFEICKSLWNVDCPSLFPEILKTLFEIVLFEDCGNQWSLNRPMLSLILISEQPVDQPQRLSLCFDKLMADVTRSLDAKNSDRFTQNLTVFRHEIRVK >Manes.15G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2752259:2755232:-1 gene:Manes.15G035000.v8.1 transcript:Manes.15G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESRRNPKPESVSVEDQAEIKRNNLPNFLLSVRLKYVKLGYHYLISNAMYLLLIPLLGIASAHLSTLTIQDLVQLWNHLKFNFISVILGSGLVVLLATLYFMSRPRKIYLLDFACYKPEEARICTRETFMDRSALAGSFTEENLGFQKKILERSGLGQKTYLPEAVLRVPPNPCMAEARKEAETVMFSAIDQLLAKTGVKAKDIGILIVNCSLFNPTPSLSAMIVNRYKLRGNVISYNLGGMGCSAGLISIDLAQQLLQVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRSSDGRRSKYQLIHTVRTHKGADDKCYNCVFQKEDESDRSRIGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQILFFATLVGRKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLTDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWRALKTINPATEKNPWMDEIDEFPVHVPKVVSIAS >Manes.S026816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:409382:414245:1 gene:Manes.S026816.v8.1 transcript:Manes.S026816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSGMETTTSSQPSKEGRGRREKSRDILAALEERLARVEAAMSEHKEKCEDMDLRISELESKGDVDELRDEMQGALNVAVDLVSKRGDKLEETLRRLREKVDQLDEELGLCKTAVASGRGASTSGVAANIRYDAPKPKPYSGERSAREIDNFLWTVERYFEAVGILDDEGKIRNVPLYLSDIAMVWWRRRCEDVRRGTCTISTWADFVRELKRQFYPENAESEARAKLRRLQHKEGHIREYVKEFSELLLEIPDMGEKDALFCFLDGLTSWAKLELQRRGVQDLASAIAAAESLIEFQRKNFKGDSERESPRHHKDSRHVNGEHAKGDEAKREKPRVDKGKEKMGDSPRPPIKCFICEGPHRAFNCPKRNALAALINEMEDEEKEQGGVASMGLLPPKKEDLPKGRVYVEAKVLGKKIKAMVDTGAEKVYMDKGLAEEIGLSYSKHKGYVKGFDQHKVSIAGVARGVDLGIGDFRGKTDIVIVPLEEKLMYLGIDFLKEKGAFLMLHANTMGFMVEGQPLYVPIHREDWVERRISEANFSSNIGVMTLIEGQRGSKGQEQRGRCQNGWGRMSRTEGDTPTSSPKMCGRRPMGVAKRPKERNCSRINGTGQSVEKDSRRAAGLANSANGAILPAVGVGGSGLAHRQLARRGRPTGANWACGVCRSCGACWSCRTHRGCGALWQRARGTPTGAGRCGARNGGATRRTRGHSCGARRAGAQGRRVLGAQAGARKDRAVAGWPTELVGPQRWLACGARQTRPANVFTNIRGRGGLRPPAASKVGEACRCGGLPSPRVLAAAATTNPGARSAKEHETKRGRSRSGRAVLFREPKRLSATDISALASMKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.03G199600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31613350:31622819:1 gene:Manes.03G199600.v8.1 transcript:Manes.03G199600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNRSIRLRTKTGARVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQAQWFVDGRAAFSAIASSIEDAKSEIFICGWWLCPELYLQRPFQAHASSRLDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDYQICYVGGLDLCFGRYDSGEHKVGDCPPFVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKALYEESIPLLMPQQHMVIPHYRGNSKELEVEKKNIEDNSNGMKRQDCFSTRSSLQDIPLLLPQEAEGPDVSVGGPKLNGLDSIPGRSLSLAFRKSKVAPIGPDMPMKGFVDDHDLADLHVKMSSDIFPHLGSKTSDLEWWETQERGYQIGFGDETAQVGPRTSCRCQVVRSVSQWSAGTSQVEESIHCAYCSLIEKAEHFIYIENQFFISGLSGDETIRNRVLESLYRRILRAYNEKKCFRVIVVIPLIPGFQGGLDDSGAASLRAIMHWQYRTICRGHHSILHNLYEVLGAKTHDYISFYGLRAYGRLFDGGPVATSQVYVHSKIMMIDDSTTLIGSANINDRSLLGSRDSEIGVLIEDKELVDSLMGGKPWKAGKFSLSLRLSLWSEHLGLQAKEIKQIIDPVIDSTYKDMWVATAKANTAIYQEVFSCVPSDLIHSRAALRQSMALWKEKVGHTTIDLGIAPQKLEDIKKTDPMERLQAIHGHLVSLPLSFMCKEDLRPVFNESEYYASQVFY >Manes.03G199600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31614332:31622819:1 gene:Manes.03G199600.v8.1 transcript:Manes.03G199600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNRSIRLRTKTGARVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQAQWFVDGRAAFSAIASSIEDAKSEIFICGWWLCPELYLQRPFQAHASSRLDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDYQICYVGGLDLCFGRYDSGEHKVGDCPPFVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKALYEESIPLLMPQQHMVIPHYRGNSKELEVEKKNIEDNSNGMKRQDCFSTRSSLQDIPLLLPQEAEGPDVSVGGPKLNGLDSIPGRSLSLAFRKSKVAPIGPDMPMKGFVDDHDLADLHVKMSSDIFPHLGSKTSDLEWWETQERGYQIGFGDETAQVGPRTSCRCQVVRSVSQWSAGTSQVEESIHCAYCSLIEKAEHFIYIENQFFISGLSGDETIRNRVLESLYRRILRAYNEKKCFRVIVVIPLIPGFQGGLDDSGAASLRAIMHWQYRTICRGHHSILHNLYEVLGAKTHDYISFYGLRAYGRLFDGGPVATSQVYVHSKIMMIDDSTTLIGSANINDRSLLGSRDSEIGVLIEDKELVDSLMGGKPWKAGKFSLSLRLSLWSEHLGLQAKEIKQIIDPVIDSTYKDMWVATAKANTAIYQEVFSCVPSDLIHSRAALRQSMALWKEKVGHTTIDLGIAPQKLEDIKKTDPMERLQAIHGHLVSLPLSFMCKEDLRPVFNESEYYASQVFY >Manes.03G199600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31609235:31622819:1 gene:Manes.03G199600.v8.1 transcript:Manes.03G199600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQLMGGSGSGTNGPRYVQMKSEPATPRNHHYQQHHQSMLSSFFSFTQGVTPEGTRIFEELPTATIISVSRPDAGDISPVLLSYTIDFQYKQFKWQLLRKAAQVFYLHFALKRRAFVEEIHEKQEHVKEWLQNLGIGDHAPVMPDDDDPDDETTPLHNDESAKNRDVPSSAALPVIRPALGRQHSMSARAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMARHLPKIPRTDDSGKCCACQWFNCCNDNWQTVWAVLKPGFLALLADPFDNKPLDIIVFDVLPASDGSGEGRISLAVETKERNPLRHAFKVMCGNRSIRLRTKTGARVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQAQWFVDGRAAFSAIASSIEDAKSEIFICGWWLCPELYLQRPFQAHASSRLDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDYQICYVGGLDLCFGRYDSGEHKVGDCPPFVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKALYEESIPLLMPQQHMVIPHYRGNSKELEVEKKNIEDNSNGMKRQDCFSTRSSLQDIPLLLPQEAEGPDVSVGGPKLNGLDSIPGRSLSLAFRKSKVAPIGPDMPMKGFVDDHDLADLHVKMSSDIFPHLGSKTSDLEWWETQERGYQIGFGDETAQVGPRTSCRCQVVRSVSQWSAGTSQVEESIHCAYCSLIEKAEHFIYIENQFFISGLSGDETIRNRVLESLYRRILRAYNEKKCFRVIVVIPLIPGFQGGLDDSGAASLRAIMHWQYRTICRGHHSILHNLYEVLGAKTHDYISFYGLRAYGRLFDGGPVATSQVYVHSKIMMIDDSTTLIGSANINDRSLLGSRDSEIGVLIEDKELVDSLMGGKPWKAGKFSLSLRLSLWSEHLGLQAKEIKQIIDPVIDSTYKDMWVATAKANTAIYQEVFSCVPSDLIHSRAALRQSMALWKEKVGHTTIDLGIAPQKLEDIKKTDPMERLQAIHGHLVSLPLSFMCKEDLRPVFNESEYYASQVFY >Manes.03G199600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31609235:31622819:1 gene:Manes.03G199600.v8.1 transcript:Manes.03G199600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSMWVKEWLQNLGIGDHAPVMPDDDDPDDETTPLHNDESAKNRDVPSSAALPVIRPALGRQHSMSARAKVAMQEYLNHFLGNLDIVNSREVCKFLEVSKLSFSPEYGPKLKEDYVMARHLPKIPRTDDSGKCCACQWFNCCNDNWQTVWAVLKPGFLALLADPFDNKPLDIIVFDVLPASDGSGEGRISLAVETKERNPLRHAFKVMCGNRSIRLRTKTGARVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQAQWFVDGRAAFSAIASSIEDAKSEIFICGWWLCPELYLQRPFQAHASSRLDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDYQICYVGGLDLCFGRYDSGEHKVGDCPPFVWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDIARHFVQRWNYAKRNKALYEESIPLLMPQQHMVIPHYRGNSKELEVEKKNIEDNSNGMKRQDCFSTRSSLQDIPLLLPQEAEGPDVSVGGPKLNGLDSIPGRSLSLAFRKSKVAPIGPDMPMKGFVDDHDLADLHVKMSSDIFPHLGSKTSDLEWWETQERGYQIGFGDETAQVGPRTSCRCQVVRSVSQWSAGTSQVEESIHCAYCSLIEKAEHFIYIENQFFISGLSGDETIRNRVLESLYRRILRAYNEKKCFRVIVVIPLIPGFQGGLDDSGAASLRAIMHWQYRTICRGHHSILHNLYEVLGAKTHDYISFYGLRAYGRLFDGGPVATSQVYVHSKIMMIDDSTTLIGSANINDRSLLGSRDSEIGVLIEDKELVDSLMGGKPWKAGKFSLSLRLSLWSEHLGLQAKEIKQIIDPVIDSTYKDMWVATAKANTAIYQEVFSCVPSDLIHSRAALRQSMALWKEKVGHTTIDLGIAPQKLEDIKKTDPMERLQAIHGHLVSLPLSFMCKEDLRPVFNESEYYASQVFY >Manes.03G153800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28158566:28158964:1 gene:Manes.03G153800.v8.1 transcript:Manes.03G153800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKITLLMLVMSSAVGVIGLPYTQIRTVNITNNLGANIELNVHCKSKNDDLGQQQIPYKDFWYFKFRPNFWGTTLFYCSMNWEQISHWFNIYVDARDNPKCIICQWSIQAKGPCRFNCTSQNYDICFPWNP >Manes.11G056700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6898561:6900411:-1 gene:Manes.11G056700.v8.1 transcript:Manes.11G056700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGADRLRADMNRLLALLFHQGVLDEQFLQLQQLQDESSPNFVSEVVNIYFHESEKLLRNLRGLLMDRECSDYKKIGTHLNQFMGSSSSIGAKRIRNVCVAFRAASEQNNRAGCLRALELLEHEYCYLKNKMHELFQLEQQRLLAAGVRYPMQN >Manes.01G009000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2988695:2997380:1 gene:Manes.01G009000.v8.1 transcript:Manes.01G009000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIHERVLGPSRTGISSTTSDIWLLGACYKISQDESSGNAATSNGLAAFKHDFSSRILVTYRKGFDAIGDSNFTSDVGWGCMLRSSQMLVAQALLFHHLGRSWRKPLEKPLDHQYVEILHLLGDSEASPFSIHNLIHAGKAYSLAAGSWVGPYAVCRSWESLARCKREENKLGYQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCIEFSRGQEKWSPILLLVPLVLGLEKVNPRYIPSLQATFTFPQSLGIMGGKPGASTYIVGVQDDSAFYLDPHDVQPVVNISRDYTEADTSSYHCDIIRHIPLDSIDPSLAIGFYCRDKDDFDEFCSLASKLADDSHGAPLFTVTQTRKLLKQVSHCTSNESDGVEGDDSFGVMPMNDAEGSAQEDEWQLL >Manes.01G009000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2987583:2997380:1 gene:Manes.01G009000.v8.1 transcript:Manes.01G009000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIHERVLGPSRTGISSTTSDIWLLGACYKISQDESSGNAATSNGLAAFKHDFSSRILVTYRKGFDAIGDSNFTSDVGWGCMLRSSQMLVAQALLFHHLGRSWRKPLEKPLDHQYVEILHLLGDSEASPFSIHNLIHAGKAYSLAAGSWVGPYAVCRSWESLARCKREENKLGYQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCIEFSRGQEKWSPILLLVPLVLGLEKVNPRYIPSLQATFTFPQSLGIMGGKPGASTYIVGVQDDSAFYLDPHDVQPVVNISRDYTEADTSSYHCDIIRHIPLDSIDPSLAIGFYCRDKDDFDEFCSLASKLADDSHGAPLFTVTQTRKLLKQVSHCTSNESDGVEGDDSFGVMPMNDAEGSAQEDEWQLL >Manes.01G009000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2988695:2997380:1 gene:Manes.01G009000.v8.1 transcript:Manes.01G009000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIHERVLGPSRTGISSTTSDIWLLGACYKISQDESSGNAATSNGLAAFKHDFSSRILVTYRKGFDAIGDSNFTSDVGWGCMLRSSQMLVAQALLFHHLGRSWRKPLEKPLDHQYVEILHLLGDSEASPFSIHNLIHAGKAYSLAAGSWVGPYAVCRSWESLARCKREENKLGYQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCIEFSRGQEKWSPILLLVPLVLGLEKVNPRYIPSLQATFTFPQSLGIMGGKPGASTYIVGVQDDSAFYLDPHDVQPVVNISRDYTEADTSSYHCDIIRHIPLDSIDPSLAIGFYCRDKDDFDEFCSLASKLADDSHGAPLFTVTQTRKLLKQVSHCTSNESDGVEGDDSFGVMPMNDAEGSAQEDEWQLL >Manes.01G009000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2990688:2997380:1 gene:Manes.01G009000.v8.1 transcript:Manes.01G009000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFRERVSSKCSSKTTTDTPNRSLTSDCSEAGSINSKFSKGSLWSSFFASAFSVIETYRESPAFEKKGSHTRNNGWVSAVKKIVAGGSMRRIHERVLGPSRTGISSTTSDIWLLGACYKISQDESSGNAATSNGLAAFKHDFSSRILVTYRKGFDAIGDSNFTSDVGWGCMLRSSQMLVAQALLFHHLGRSWRKPLEKPLDHQYVEILHLLGDSEASPFSIHNLIHAGKAYSLAAGSWVGPYAVCRSWESLARCKREENKLGYQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCIEFSRGQEKWSPILLLVPLVLGLEKVNPRYIPSLQATFTFPQSLGIMGGKPGASTYIVGVQDDSAFYLDPHDVQPVVNISRDYTEADTSSYHCDIIRHIPLDSIDPSLAIGFYCRDKDDFDEFCSLASKLADDSHGAPLFTVTQTRKLLKQVSHCTSNESDGVEGDDSFGVMPMNDAEGSAQEDEWQLL >Manes.01G009000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2987562:2997393:1 gene:Manes.01G009000.v8.1 transcript:Manes.01G009000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIHERVLGPSRTGISSTTSDIWLLGACYKISQDESSGNAATSNGLAAFKHDFSSRILVTYRKGFDAIGDSNFTSDVGWGCMLRSSQMLVAQALLFHHLGRSWRKPLEKPLDHQYVEILHLLGDSEASPFSIHNLIHAGKAYSLAAGSWVGPYAVCRSWESLARCKREENKLGYQLLPMAVYVVSGDEDGERGGAPVVCIEDASRHCIEFSRGQEKWSPILLLVPLVLGLEKVNPRYIPSLQATFTFPQSLGIMGGKPGASTYIVGVQDDSAFYLDPHDVQPVVNISRDYTEADTSSYHCDIIRHIPLDSIDPSLAIGFYCRDKDDFDEFCSLASKLADDSHGAPLFTVTQTRKLLKQVSHCTSNESDGVEGDDSFGVMPMNDAEGSAQEDEWQLL >Manes.12G125700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33184240:33191310:-1 gene:Manes.12G125700.v8.1 transcript:Manes.12G125700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSSSDSVGKSVAKREAARSNTKSGSVLPNIKPFVSRTDHNPRELRSWAKKTGFVSTFSSETSTSNSEKFDSSTGFDLDRGHNHHKNGALSPKIEIDAFLGPTRPARGSEIEPASGSASRSDNEFRNGNDRNLGLRDENKRRRIGDESVLGVGDEEGKFGLNGSGNETGGGNGNGKGTRNGRVNGTGNEIPENNPATEPKKEEENGGTDIGIEVYPGGDDPDSEGWQKQSGMRFGLRDNPGFVPLMYYGLQHYLSLAGSLIFIPLIIIPAMGGTDRDTATVISTMLLVSGITTILHSYFGTRLPLVQGSSFVYLAPALVIMNAQEFRNLSEHKFRHIMRELQGAIIVGSIFQSILGFTGLMSLLLRLINPLVVAPTVTAIGLAFFSYGFPQAGSCVEVSIPLILLVLIFSLYLRGLSIFGHRLFRIYAVPLSVMITWTYAFFLTAGGAYAYKGCSPDIPSSNILLDACRRHAYTMQHCRTDVSNAWRTSAWVRIPYPLQWGVPIFHLRTSLIMIIVSLVASVDSVGTYHSTSLLVNTKPPTPGIVSRGIALEGFCSLLAGLWGSGTGSTTLTENVHTINITKVANQRALALGAVFLILSSFVVQSNIKF >Manes.12G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33184240:33191310:-1 gene:Manes.12G125700.v8.1 transcript:Manes.12G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGSSSDSVGKSVAKREAARSNTKSGSVLPNIKPFVSRTDHNPRELRSWAKKTGFVSTFSSETSTSNSEKFDSSTGFDLDRGHNHHKNGALSPKIEIDAFLGPTRPARGSEIEPASGSASRSDNEFRNGNDRNLGLRDENKRRRIGDESVLGVGDEEGKFGLNGSGNETGGGNGNGKGTRNGRVNGTGNEIPENNPATEPKKEEENGGTDIGIEVYPGGDDPDSEGWQKQSGMRFGLRDNPGFVPLMYYGLQHYLSLAGSLIFIPLIIIPAMGGTDRDTATVISTMLLVSGITTILHSYFGTRLPLVQGSSFVYLAPALVIMNAQEFRNLSEHKFRHIMRELQGAIIVGSIFQSILGFTGLMSLLLRLINPLVVAPTVTAIGLAFFSYGFPQAGSCVEVSIPLILLVLIFSLYLRGLSIFGHRLFRIYAVPLSVMITWTYAFFLTAGGAYAYKGCSPDIPSSNILLDACRRHAYTMQHCRTDVSNAWRTSAWVRIPYPLQWGVPIFHLRTSLIMIIVSLVASVDSVGTYHSTSLLVNTKPPTPGIVSRGIALEGFCSLLAGLWGSGTGSTTLTENVHTINITKVANQRALALGAVFLILSSFVGKVGAILASIPLALAASILCFMWGLIMALGLSTLQYSQTSSFRNIAIVGVSLFLGMSIPAYFQQYQPQVTLILPSYFVPYAAASSGPVNTSSKQFDFAINALMSMNMVVTLLIAFVLDNTVPGTRQERGVYIWSHPEDLASDPSLLADYSLPRKVSRFFCWSRCCRT >Manes.17G025599.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:17798058:17799884:1 gene:Manes.17G025599.v8.1 transcript:Manes.17G025599.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVEIYTQLGSAMAGLMFVWAMYERYFPYQLQAYVQRYSQKLVALVSPYFQIIFDEYTGEDLRRSDVYAAIQSYLSANSSMRAKKLKADVVKDSKSVLLTMDDHEEVTDDFNGIKVSWTSIKNNPKNNSYTFYPELDERRYFKLIVHRRYREIIVKSYIDHVIKEGKAVSVKNRQRKLYTNNPSKNWYGWRATKWSHVVFEHPASFDTLAMATKKKEEIKNDLIKFSKGKNYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTTVKDNSELRKLLIETTGKSIIVIEDIDCSLDLTGQRKPKKGKDEDDEGKDPISKKKKEEEEESKKSSEVTLSGLLNFIDGIWSACGGERIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCCFEAFKVLAQNYLDIESHELFAKIQNLLEETKMTPADVAENLMPKSENEDEETCLKKLIAALEEARKKAEEEAKLKAEQEKEKATEEEEKEKESGKIKENGFISNGNCKLSEDSV >Manes.15G011600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1034294:1036018:1 gene:Manes.15G011600.v8.1 transcript:Manes.15G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDRAQGHGGAPHGIILAVVVCTVVLAPFLLGDQGEAITDAITELLSPVGLLLLPIILLLTIQFLSSDRGSFVSTIFSTGEPDTIHRVSGSPVGVAFFLVLILFLLYNRMSIFGGDDDSGD >Manes.09G176000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36699912:36702105:-1 gene:Manes.09G176000.v8.1 transcript:Manes.09G176000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNDTLSMSKQNITFVFTLISIAKLSCYSGYAQDVPRQTVGDALFCFNNEVYTGCDEAYRLTLSGNINVPPEATDLFCTGPCLTQTQAILNCIDNVLSDFLFYNRATVRDIRYVLRAGCSHTNLRGNFNVARYIEGETSSACKLENLLGIFAFTWIIVLVQLAL >Manes.18G139950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:14993650:14993868:1 gene:Manes.18G139950.v8.1 transcript:Manes.18G139950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNNKFPCCRIIALIPLVSITIANKHAKTRLWIKFVTFSIPNIRKTFRFKHTQGTIVKIIPIQHVKRCVML >Manes.10G019800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1934397:1938319:1 gene:Manes.10G019800.v8.1 transcript:Manes.10G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSQKHHVVVDIDDDDDDDNVEKSSQHQQSDDEEQKSSTSESGSSSEIVKESRSSVSEVDLDCEVPEIKVHLAKVERDCRICHLSFDASNQDSGLPIELGCSCKDDLAAAHKQCAEAWFKIKGNKTCEICGSVARNVATPNEVEMVEQWNEVNEIAVATAAPSLHTADTRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >Manes.04G165400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35830080:35837673:1 gene:Manes.04G165400.v8.1 transcript:Manes.04G165400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWNDEELTDIIWDEAGEGDDHIVPYPDASEAKKWSQEANNSKSNEQKAPGTKADIIGRKLGDSLNFDSSEGPSASGFDIDSWPNLSLSTTSKVDQDSLDTSLSNNLTEIAKFDSSGGETVQLDKDTEIFQKGKEQGYFVDYGWANIGSFDDLDRIFSNDDPIFGTVSLSNADELWSSSKDVTNSPGKSFPIYAASPSLGLGPLRNTSESSEIKSECIQDDDQTYTLDYGKVNDPASHGLQNACAVFDHVKYAGGKSKPTVKEQNDLTIMGKNTAANPQLTMENVGAPNELGDKVYKQKKPLKSRKRFEDQSELALYQDLYGNWSSAQNLSGQFKNQFAPTIVPSSPSVLSQPRPLQGPESLQYQQISSPLVAPSAYGTVPNPYSAMPVLSHIQSGEFKRQPILSGYEISSSNANPVNKLADSMIKPQTMTPQEKIEKLRKRQQMQAMLAIQKQQQQFGHQVSCSDQSISQKCSLENQIHHVQGADLEVEDPSTLPAFDPNSPVEHDDSNTISLVVNDYSAEDTVLYQLQDIISKLDARIRLCIRDSLFRLAQSAMQRHSGSDTGSTNNSSRDEKVVTKEETRGHNRSANMSQVETETNPIDRTVAHLLFHRPLELSGRHPDTPDSPASTKLPTEQKALGMAKLSMRCLPEALNSKRIFFHQGSKDPCPMADPQHVRQCKSTVCNDTSDNASNNGLADEGAKDVEASQ >Manes.04G165400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35830080:35837673:1 gene:Manes.04G165400.v8.1 transcript:Manes.04G165400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWNDEELTDIIWDEAGEGDDHIVPYPDASEAKKWSQEANNSKSNEQKAPGTKADIIGRKLGDSLNFDSSEGPSASGFDIDSWPNLSLSTTSKVDQDSLDTSLSNNLTEIAKFDSSGGAETVQLDKDTEIFQKGKEQGYFVDYGWANIGSFDDLDRIFSNDDPIFGTVSLSNADELWSSSKDVTNSPGKSFPIYAASPSLGLGPLRNTSESSEIKSECIQDDDQTYTLDYGKVNDPASHGLQNACAVFDHVKYAGGKSKPTVKEQNDLTIMGKNTAANPQLTMENVGAPNELGDKVYKQKKPLKSRKRFEDQSELALYQDLYGNWSSAQNLSGQFKNQFAPTIVPSSPSVLSQPRPLQGPESLQYQQISSPLVAPSAYGTVPNPYSAMPVLSHIQSGEFKRQPILSGYEISSSNANPVNKLADSMIKPQTMTPQEKIEKLRKRQQMQAMLAIQKQQQQFGHQVSCSDQSISQKCSLENQIHHVQGADLEVEDPSTLPAFDPNSPVEHDDSNTISLVVNDYSAEDTVLYQLQDIISKLSQKRKQEVITGVLICPRWKQRPIP >Manes.04G165400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35830080:35837673:1 gene:Manes.04G165400.v8.1 transcript:Manes.04G165400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWNDEELTDIIWDEAGEGDDHIVPYPDASEAKKWSQEANNSKSNEQKAPGTKADIIGRKLGDSLNFDSSEGPSASGFDIDSWPNLSLSTTSKVDQDSLDTSLSNNLTEIAKFDSSGGAETVQLDKDTEIFQKGKEQGYFVDYGWANIGSFDDLDRIFSNDDPIFGTVSLSNADELWSSSKDVTNSPGKSFPIYAASPSLGLGPLRNTSESSEIKSECIQDDDQTYTLDYGKVNDPASHGLQNACAVFDHVKYAGGKSKPTVKEQNDLTIMGKNTAANPQLTMENVGAPNELGDKVYKQKKPLKSRKRFEDQSELALYQDLYGNWSSAQNLSGQFKNQFAPTIVPSSPSVLSQPRPLQGPESLQYQQISSPLVAPSAYGTVPNPYSAMPVLSHIQSGEFKRQPILSGYEISSSNANPVNKLADSMIKPQTMTPQEKIEKLRKRQQMQAMLAIQKQQQQFGHQVSCSDQSISQKCSLENQIHHVQGADLEVEDPSTLPAFDPNSPVEHDDSNTISLVVNDYSAEDTVLYQLQDIISKLDARIRLCIRDSLFRLAQSAMQRHSGSDTGSTNNSSRDEKVVTKEETRGHNRSANMSQVETETNPIDRTVAHLLFHRPLELSGRHPDTPDSPASTKLPTEQKALGMAKLSMRCLPEALNSKRIFFHQGSKDPCPMADPQHVRQCKSTVCNDTSDNASNNGLADEGAKDVEASQ >Manes.09G163000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35732237:35733877:-1 gene:Manes.09G163000.v8.1 transcript:Manes.09G163000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQREHQLQTLGICNRLYNFIMRALTTQALKTVTLGHPVQKNLLKANDGAACESDQLANQRVPEQTPCENVEQAYNVNDSDPFVPLSSPSNGFKQYGRKLLEVEENDAKINEEKIDSYVSPGERPEQEAPLPSLEAIPPKKAVGTNDRVQEIETNKKDDKEEIRRKFDFI >Manes.S053616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1830953:1831765:1 gene:Manes.S053616.v8.1 transcript:Manes.S053616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.14G146816.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15299848:15305288:-1 gene:Manes.14G146816.v8.1 transcript:Manes.14G146816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNSQEGQSVVRPPFFDRNDFLYRKNRIYYFLKLKGVDLWDIVENGPFFPTRVIVGNQEQKSKSEWSELEKRRVALNDKAIHILFCALSRSEYNKVCMKSTAKKIWDALVVTHEGTNQVKENKMESLIYQYELFKMKSDGTISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLKDAKDLSKVQLDELLGNLIDYEMTLKREQVEEPSKVKNNIALRVASEDTSEEEEEIMDCPKLKKPNKKFKKKAFKATWDESSDTEEEEVGDEIANMCFMALEKSFDEVTTLDDTTLYDDVVEFSYDELVCALKLMNDELEKSHKKNKILKCELASLKRESKDKLDEILDSQRSSSIKYGLSYDKSTQANSSKTVFVKTTNLNEPKVSSSNGNIPKTSSSNMSIRNALIRKTPIRNAHVCLKSSKIENKWYLDSGYSRHMTGNSSHFISLEKKDGSGQVTFGDNDKGKIVGICKVGKENSPILDKVLLVDGLKYNLLSVSQLCDKGCRVIFEPKSCFVSRISDNKILFVGERVENIYLIDLQAMTNLDMKCFVSISNNSWIWHRRLSHASMDLLKNLSKYELVDGLPKIKYEKDKVCDAYRMGKQVKISFKSINKVITSRPLQLLHMDLFGPTRVASLGGMHYGFIIVDDYSRVLIRPLLNRTPYELWNGKKPRVSYFRVFSCKCFILNNKDN >Manes.05G112000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:11310090:11310728:-1 gene:Manes.05G112000.v8.1 transcript:Manes.05G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMQGKKTKGKQKIEMKKIENEDGKLITFSKRRSGIYKKASELITLTGTELAFVVFSPAGKPFSFAHPSVDEVTNKFLGKQPQANTQGSTHPLIEAHRQARIEELNRQNNEFLHQLDLIKEKGKQLKLRMTGKEKKGWWDSPIEEMNIQQILDAEVSCEEIRSRLINKFMIKTSGGASSSAVHHQSQMMIPFPPMGANVINPPVFPPDFH >Manes.17G059200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25803781:25808994:-1 gene:Manes.17G059200.v8.1 transcript:Manes.17G059200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARLMEQMLQMVQVQPQALYPCLEGQWYLLFQQTMLCSVSILFWKQMQVTKVKTNENQKKTRHRASLIQAIVWEQLQAYQGFDSTVEFHMQKRKSYSNFLKLNEKERKERDKGYSKLYHST >Manes.17G072900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27287012:27294636:1 gene:Manes.17G072900.v8.1 transcript:Manes.17G072900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVSKVSRVSRSLLGGLSNNFSGLLDSSQQMACSNFMFQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIIASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRKKKHVKILTLAEHIA >Manes.08G131100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38061071:38062010:1 gene:Manes.08G131100.v8.1 transcript:Manes.08G131100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHFLVPLALALAFSFASASDPSPLQDFCVAIPEPKNAVFVNGKFCKNPNLTVAGDFSISGLNIPAFTGNRVGSNVTLINVDKIPGLNTLGISLARLDFAPYGGLNPPHIHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLDNYTVEKLQKLFATT >Manes.10G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28419935:28431168:1 gene:Manes.10G119300.v8.1 transcript:Manes.10G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTVLSIDSSPVYPYELLFKALSLIPISHFLLCLLFILLLFLYNFLEIHFFRDLVTGFGGDPVLLNCSSSSELYQSVASKCRILHGRFFPTPWLSSPHVQTAFLSLFGNSPNFAYRRHIFHANDGGTIALDWLMSIDVEEGVCYNNDTVSLDDKAPILIVIPGLTSDSASAYIKHLAFTMARQGWNVVVCNHRGLGGMSITSDCFYNAGWTEDIRSIINHVHCEYPEAPLYAVGTSIGANILVKYLGEKGVPIPLTGAAAVCCPWDLLVCDRYINRRLVQKLYDRVLAIGLQGYAELHHSILSRLIEWDNVKLSRSVRDFDKHATRVLAKFETVDTYYRRSSCVNFVGNVSLPLLCVSALDDPVCTREAIPWDECRANENIILATTQHGGHLGYYEGITASSLWWVRAVGEFFHVLHSSPLRNRRKKMEESNLTSVLESSIEHGPYVNVMEDGMVTAIGNNQDNVAADTHSEDGDHVKRDEEIISERERIDNVTQTKLDMKQSADQNLNDLIVPLRRHINQLSRGSRISIWLLAYIAIVTTWPLVGSALLLFVKRKFKNIAAGSLFRR >Manes.10G119300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28419935:28431168:1 gene:Manes.10G119300.v8.1 transcript:Manes.10G119300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTVLSIDSSPVYPYELLFKALSLIPISHFLLCLLFILLLFLYNFLEIHFFRDLVTGFGGDPVLLNCSSSSELYQSVASKCRILHGRFFPTPWLSSPHVQTAFLSLFGNSPNFAYRRHIFHANDGGTIALDWLMSIDVEEGVCYNNDTVSLDDKAPILIVIPGLTSDSASAYIKHLAFTMARQGWNVVVCNHRGLGGMSITSDCFYNAGWTEDIRSIINHVHCEYPEAPLYAVGTSIGANILVKYLGEKGVPIPLTGAAAVCCPWDLLVCDRYINRRLVQKLYDRVLAIGLQGYAEFHVQLETSTNMLPEFLRSLRRSSCVNFVGNVSLPLLCVSALDDPVCTREAIPWDECRANENIILATTQHGGHLGYYEGITASSLWWVRAVGEFFHVLHSSPLRNRRKKMEESNLTSVLESSIEHGPYVNVMEDGMVTAIGNNQDNVAADTHSEDGDHVKRDEEIISERERIDNVTQTKLDMKQSADQNLNDLIVPLRRHINQLSRGSRISIWLLAYIAIVTTWPLVGSALLLFVKRKFKNIAAGSLFRR >Manes.06G112501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24367096:24369553:-1 gene:Manes.06G112501.v8.1 transcript:Manes.06G112501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVCIIEVSQKLNSHLQSPKHNCVTTLKTPTPRISCLAVHNIFLYAASINEINSIAFHKAKIFTAHQDCKIRVWQISHSKQHHLISTLPTLKDRFRHFVFPRNYVSVRRTLGYSFRSFKTWNVENNRCLESISSAHQDAVNTLVVCENGTVYTGCADGLIRVWEKVGKEKHHSLVATLEKHKPTVNALALNGDGSMLFSGGCDSITVWERKGNEHQMVFVEALRGHAGPILCMVTVDHLLVSGSSDRTVRIWQKGKRHGYNCVVVLEGHEQPVKSVVAVAGRDEYENGGSSLSICSGSLDGEIKVWEVRT >Manes.02G094100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7365320:7370818:1 gene:Manes.02G094100.v8.1 transcript:Manes.02G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREEIDDGLRKPFLHTGSWYKMSSRQSSMMGSSTQFIRDGAVSVVLCVLIVALGPVQFGFTCGYSSPTQAEIISDLKLSISEFSVFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNVIGWLCISFAKDSSFLFMGRLLEGFGVGIISYTVPVYIAEISPQNLRGSLGAVNQLSVTLGIMLAYLLGLFVNWRLLAVLGTLPCIILIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDTDISAEVSEIKMSVASSSKRTTIHFSDLRRRRYWFPLMVGIGLLMLQQLSGINGVLFYSSNIFESAGVTSSNLATVGVGIIQVLATGITTWLVDKAGRRILLIISTSGMTISLLLVAVAFYLEGIVSKNSHLYSIMGMMSLVGLVAMVIFFSLGLGAIPWLIMSEILPVNIKGLAGSVATLANWLTSWVVTMTANLLLSWNSGGTFTIYAVVTAFTVVFVTLWVPETKGRTLEEIQSSFR >Manes.16G063400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:24801610:24803193:-1 gene:Manes.16G063400.v8.1 transcript:Manes.16G063400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSISITQIVLSVLLLLCSAPTSYPLVLENFLKCLPNHVHASNPIFGAIYTPSNSSFQSVLVAYIKNRRFLTSDTPKPLAIIAALHESHVQATVICAKFHSLQIRIRSGGHDFEGLSYISDVPFVILDLFNLRSIDIDIDAETAWVQSGAILGELYYAIAKKSKVHGFPAGVCPSVGVGGHFSGGGYGNMIRKYGLSVDNVIDAKLVDFNGKILNRKSMGEDLFWAIRGGGGASFGVILSWKIKLVRVPEKVTVFLVDRTLAEGATEIVYQWQVTNKLDKELFIRAQPEVHRNVKDEKTVRVTFIGLFLGNTEKLLSLLKKSIPKLGLKQEDCKEVSWLESAIFWNIPLGTPPSPLLNRSIQPDLFFKSKSDYVKKMFSKQDLENIWKQFLKTEGMIMQWNPYGGRMKEISASETPFPHRAGYLIKIHYFTLWFTEGTEAKDRHIRLAREMYDSMAPYVSKNPREAFLNYRDLDIGRNPSNETNFQEAQVYGSKYFKGNFIRLAAVKKRFDPENFFKNEQSIPPLN >Manes.15G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8268338:8270006:1 gene:Manes.15G104200.v8.1 transcript:Manes.15G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSPLTLVVIALYAILFVSSGGLASAQLSPTFYDQSCPNVSSIIRGVIAEALQTDTRIGGSLIRLHFHDCFVQGCDASILLDNSATIESEKEALPNNNSARGFDVVDKMKGRLESACPGVVSCADILAVAAQESVALAGGPIWSTQLGRRDSTTANRSLANTSLPGPFLTLEGLKSAFTAVGLNNDTDLVALSGAHTFGRARCGAFIQRLYNFNNTGLPDPTLNTTYLQTLQQICPQGGNTTVINNLDLTTPDIFDNDYYSNLLAGEGLLQTDQELFSTPGADTVDIVRNFSGNQTAFFESFVVSMLKMGNLNVSTGTAGEIRLNCSKVNGVGADTLLISSM >Manes.16G022901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2492867:2494702:-1 gene:Manes.16G022901.v8.1 transcript:Manes.16G022901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSASSKRGSDYITLILAIALLFLGAPTAYATWYRNLSLAFREEEGENGSKLYQVFLVYYFLQIAFCFYASMNFHGRYITGISTAVDVYLDNNNLMIGIFYLIGSVLFYLELLLSFWVLIRVKAYYSRRQVGLSSVGI >Manes.11G141700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30701546:30706210:1 gene:Manes.11G141700.v8.1 transcript:Manes.11G141700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNRSPSLLFPLLLLVLSLLLIHAEAEVITLTPETFSDKVKEKDTAWFVKFCVPWCKHCKNLGSLWEDLGKAMEGEDEIEVGEVDCGTSRPVCSKVDIHSYPTFKLFYDGEEVARYQGPRNVESLKSFVLEEAEKAAAKAQLDRDQDL >Manes.11G141700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30701616:30702765:1 gene:Manes.11G141700.v8.1 transcript:Manes.11G141700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLNRSPSLLFPLLLLVLSLLLIHAEAEVITLTPETFSDKVKEKDTAWFVKFCVPWCKHCKNLGSLWEDLGKAMEGEDEIEVGEVDCGTSRPVCSKVDIHSYPTFKLFYDGEEVARYQGKNQYPFFTIKFNL >Manes.04G087266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28894861:28900628:-1 gene:Manes.04G087266.v8.1 transcript:Manes.04G087266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACCVAARDKNIVNGPSSEILHRNTRYSPTWSFRWDNRRRVAGEETSITWFQDANIRNDGTDIKYESAYASEDGSPLDSFRRRAWQKSPTSEAATAHVRTPASDPSILRNISVDTSLEQVKESMEASTVSDPSPTKFSLSLPSTSSITSPISSHINPHTASSTTPSWPDSAHQLMGQGPDAQIPELKSSNSCSAPEERPAIPSWSKKSTRGSHGGSSDGWSMHSFSELLTTSHRGRCSFDDDSLSFRHERTRLNGQISSSPSANLQKCGICSKLLTEKSLWSSQKLVSSNELSVVAVLICGHVHHAECLEIMTPEISKYDPPCPVCTLGEKKTQKLSQKAFKAEMELRAKNKRSRNRVTDSDFDDPIMFDRLKGCGHAGKGPKITTSSSMKGSLAKPFLKRHFSLGSKSSKSLADNHTTKKQGFFWTRSLKV >Manes.15G139700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11319634:11323007:-1 gene:Manes.15G139700.v8.1 transcript:Manes.15G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELLIFLIFAVLIASSVTHVSSDASDHRYKEGDHVPLYANKVGPFHNPSETYRYFDLPFCVPDHVKEKKEALGEVLNGDRLVSALYKLNFRDEKTSAVVCKKTLTKEEVARFRSAIDKDYYFQMYYDDLPIWGFIGKVDKEGKADPSEYKYLLYKHIQFDVLYNKDRVIEVSVRMDPHSLLDLTEEKEVDAEFLYTVKWKETDTPFEKRMEKYSLSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAQDEEAADDQEETGWKYIHGDVFRYPKYKSLFAAALGSGTQLFTLTVFIFVLALVGVFYPYNRGALFTALVVIYALTSGIAGYTASSFYCQLEGNNWVRNLLLTGCLFCGPLFITFCFLNTVAIVYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPQLPWYRSALPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYHARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRASLFFIRHIYRSIKCE >Manes.14G106900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8975512:8979043:-1 gene:Manes.14G106900.v8.1 transcript:Manes.14G106900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSEPVATERESVLENAVHRKPRILLAASGSVAAIKFGNLCHCFSEWAEVKAVATRASLHFIDRASLPKDVVLYTDEDEWSSWSKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWTNPFTEKHLMTIDELGISLIPPITKRLACGDYGSGAMAEPSLIYSTIRLFLESRVQAGDGRGN >Manes.14G106900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8975303:8979669:-1 gene:Manes.14G106900.v8.1 transcript:Manes.14G106900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSEPVATERESVLENAVHRKPRILLAASGSVAAIKFGNLCHCFSEWAEVKAVATRASLHFIDRASLPKDVVLYTDEDEWSSWSKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWTNPFTEKHLMTIDELGISLIPPITKRLACGDYGSGAMAEPSLIYSTIRLFLESRVQAGDGRGN >Manes.14G071201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5921290:5922358:-1 gene:Manes.14G071201.v8.1 transcript:Manes.14G071201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKHPLCQVIKGERNGRRKESTICLGFALKPRSKKGSIRLVVGIQMESDGKSSIDFLSLRPFISASESGTGRSQGFRLSKNKT >Manes.15G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9372669:9384495:1 gene:Manes.15G117300.v8.1 transcript:Manes.15G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKMKTKLNMDSSGEKSCLSVCQKSSMISKKSGSHVAVSRQTAECDAYIQKCQNSYVQSRIDIYTPDIELDEAIDRQEFLDETNSQFQKHSTTFADSGTMGRMESALNCTSNLETIFSPALEPVEIHNVPNKDDDAVGSNKDLNVPSVGADDSDDNRSSCDNQTCNVSDFFISDMIIAGLPFDGNRVDDDILETNPFPDYKCAEPSILFDVAEECVMLPFLEDTAKVSDSTDTISCEERTIDQDNASLYLAINQIRSCNQESDLNTDSDQIEDFDPQFFIKNLPELSDVESNFRPTLSTKESWRKKSTTLVLDLDETLVHSTLEPCDDADFTFTVFFNMNEHTVYVKQRPFLHTFLERVAEMFEVVIFTASQSIYAAQLLDILDPDKKLISQRVYRESCIFTDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDPSDCALISLLPFLETLVDADDVRPIIANRFGRMRNTLYYLLMAKCRDALNDSYHKRQSRPSSLKWKSSIATH >Manes.08G091700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30939911:30941353:-1 gene:Manes.08G091700.v8.1 transcript:Manes.08G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAAASSFMGTRVPDISTNSGRVQARFGFGAKKSAPKRSSKPTSDRPLWYPGAKAPEWLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNSAGDIIGTRTEVADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNGELDPEKRLYPGGSFFDPLGLAADPEKKATLQLAEIKHARLAMVAFLGFAVQAAVTGKGPLNNWATHLSDPLHTTIIDNLTS >Manes.02G146400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11263141:11272123:-1 gene:Manes.02G146400.v8.1 transcript:Manes.02G146400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLCSSSPSLEHKIPFLKTTISSPSISTSLAFPTRYRLEPVQSAAREISTGLKCTKDKLPNKSKEGLEKDPGTLWRRYVDWLYQHKELGLYLDVSRIGFTDEFVAEMEPRLQKAFKDMEELEKGAIANPDEGRMVGHYWLRNSNLAPNSFLKTEIDVTLDAVCKFADEVVSGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDLQIAQLGAELASTLVIVISKSGGTPETRNGLLEVQRAFREAGLEFAKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLAGASLMDEANRTTVLRDNPAAMLALCWYWASDGIGSKDMVIFPYKDSLLLFSRYLQQLVMESLGKEFDLDGKRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESITVTVQEVIPRSVGALVALYERAVGIYASLIHINAYHQPVVKSLLNH >Manes.02G146400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11263141:11272123:-1 gene:Manes.02G146400.v8.1 transcript:Manes.02G146400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLCSSSPSLEHKIPFLKTTISSPSISTSLAFPTRYRLEPVQSAAREISTGLKCTKDKLPNKSKEGLEKDPGTLWRRYVDWLYQHKELGLYLDVSRIGFTDEFVAEMEPRLQKAFKDMEELEKGAIANPDEGRMVGHYWLRNSNLAPNSFLKTEIDVTLDAVCKFADEVVSGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDLQIAQLGAELASTLVIVISKSGGTPETRNGLLEVQRAFREAGLEFAKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLAGASLMDEANRTTVLRDNPAAMLALCWYWASDGIGSKDMVIFPYKDSLLLFSRYLQQLVMESLGKEFDLDGKRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESITVTVQEVIPRSVGALVALYERAVGIYASLIHINAYHQPGVEAGKKAAGEVLALQKQVLAVLNEANCKEPIEPLTLEEVAERCHAEDIEMIYKIIAHMVANDRALIAEGSCGSPRSIKVFLGECNVDESHA >Manes.03G002500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:297227:301283:1 gene:Manes.03G002500.v8.1 transcript:Manes.03G002500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSQFDVTSAFSGGGFMSSQSTQLPDSAPSPAKSRDSQGLVPVTVKQISQASQSGDEKSNFVIDGVDVTNVTVVGMVFDKAEKVTDVGFTVDDGTGRIGCRRWMNENFDSAEMQIIQDGMYVRINGHLRSFQGVRQLLAFSVRPVTNFDEVTFHFIDCIHTHLQNSKLQLKLQGVASNQAQMVESSVTTPVRSGSNGNQTSTSIQISKQFSVDGLKDCDQLVLEYLQQSSSMGQEKGTHMDEICQQLKLPMEKIKGSIRSLEDEGLIYSTIDEFHYKST >Manes.01G254000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41165309:41169531:-1 gene:Manes.01G254000.v8.1 transcript:Manes.01G254000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRLSMLKSVYSSVKPISKLQPFNPFTDSTRCTNQSLIRSLYAFVNQDCSKEESKLAQNFANAHTKIPSYDTSVVSHCFKANSIFLGTSSPNSLFGRTVPHISASSMLSYRSFSSSDGKINKPGSVEVSAASSGNNDVGNGGDVGIDWIEKVRDSWQSAVDAGTSTVQKTKEVYDEMVPYSQQLLDSHPYLKEVIVPVGYTSVGTVLAWVVMPRLLRMFHKYAMQTPASLLSKSLSREPVPYEKSFWGALEDPVRYLITFMAFLKIGMMVAPTIASQYMAQGWRGAIVLSFVWFLYRWKTNVFSRALGAQSLSLVDKERMLTLDKVSSIGLFVIGLMALAEASGVAVQSILTVGGIGGVATAFAARDVLGNVLSGLSMQFSKPFSLGDTIKAGSIEGQVVEMGLTTTMLLNVEKFPVLVPNSLFSSQVIVNKSRAQWRSMTVKLPVKIDDLENIPQISNEIASMLKSNPKVFLEKEAPYCFLSRVESSFAELTIGCNLRHMSKNELYSAEQDILLQSVRILKEHGARLGSTWQDVTTQ >Manes.01G254000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41166567:41169297:-1 gene:Manes.01G254000.v8.1 transcript:Manes.01G254000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRLSMLKSVYSSVKPISKLQPFNPFTDSTRCTNQSLIRSLYAFVNQDCSKEESKLAQNFANAHTKIPSYDTSVVSHCFKANSIFLGTSSPNSLFGRTVPHISASSMLSYRSFSSSDGKINKPGSVEVSAASSGNNDVGNGGDVGIDWIEKVRDSWQSAVDAGTSTVQKTKEVYDEMVPYSQQLLDSHPYLKEVIVPVGYTSVGTVLAWVVMPRLLRMFHKYAMQTPASLLSKSLSREPVPYEKSFWGALEDPVRYLITFMAFLKIGMMVAPTIASQYMAQGWRGAIVLSFVWFLYRWKTNVFSRALGAQSLSLVDKERMLTLDKVSSIGLFVIGLMALAEASGVAVQSILTVGGIGGVATAFAARDVLGNVLSGLSMQFSKPFSLGDTIKAGSIEGQVVEMGLTTTMLLNVEKFPVLVPNSLFSSQVIVNKSRAQWRSMTVKLPVKIDDLENIPQISNEIASMLKSNPKVFLEKEAPYCFLSRVESSFAELTIGCNLRHMVSFPCPPFAFYKTDILVFLDKGCVCFFFF >Manes.03G159000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28666165:28667080:1 gene:Manes.03G159000.v8.1 transcript:Manes.03G159000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGKKRAGSSGDEGIVARVTRTVSDSAIMNTAKNAANDAAYVAKRLAKSTGKAAWIAGTTFLILVVPLIIEMDREQQFNELELQQQSLLGAPPAPINKEISIRKELNKFGAELEA >Manes.17G057600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25609272:25612609:1 gene:Manes.17G057600.v8.1 transcript:Manes.17G057600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVISLVGFGLFDRGFPVVGRCVEVGVPMLILFISFSQYLKNFQTRQLPILERFALLISITVIWAYAHLLTASGAYKHRPDLTQINCRTDKAYLISSAPWIKIPYPLQWGAPTFDAGHCFGMMAAVIVSMIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGLFGTLSGSSVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVAFFLGLSIPEYFREYTAKAFHGPAHTRAGWFNDFLNTIFFSSPTVALIVAVFLDNTLDYKDSARDRGMTWWVKFRSFNGDSRNEEFYTLPFNLNRFFPPS >Manes.17G057600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25608097:25612609:1 gene:Manes.17G057600.v8.1 transcript:Manes.17G057600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKPEEISHLPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPSFLVPLMGGDHGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDPSLMSIQDDHVRFLNTMRAVQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVISLVGFGLFDRGFPVVGRCVEVGVPMLILFISFSQYLKNFQTRQLPILERFALLISITVIWAYAHLLTASGAYKHRPDLTQINCRTDKAYLISSAPWIKIPYPLQWGAPTFDAGHCFGMMAAVIVSMIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGLFGTLSGSSVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVAFFLGLSIPEYFREYTAKAFHGPAHTRAGWFNDFLNTIFFSSPTVALIVAVFLDNTLDYKDSARDRGMTWWVKFRSFNGDSRNEEFYTLPFNLNRFFPPS >Manes.17G068100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26818895:26822133:-1 gene:Manes.17G068100.v8.1 transcript:Manes.17G068100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINNPQNFYHIPENTTFHCYIVNITAENGFWQSENPLTQSLPLLAWQLSIVIMINRLLFYLFKPLGTPRIVTDILGGLVMGPSALSKTYFFAVMFPLRSIFIVETMAYWALTCHLFLAGLEMDMSSIFRSGKKSISIAVVGTLLPFIIGIALHFISNGAKEDPYRSVGCIFWGATLTVTSYPVVARVLADQKLLHTDIGRLAMSISIVSELFTWLLLAVLIPARVNAFNAILSLASTAGFTVFCVTLIRPALGYVISKTANGNKHSEYYLSSIFVLISFFSLVSDMLGTTSIVGAFIFGIIMPNRELASELLEKFDDFVNAYMLPLFFSAVGISLEIWKISNWALALLLIILCCGAKIVSIFLASHYYKLPPQDGFALGVLMNTKGILAFVVLHMGINKSLMREEEYAIMVLSLLLMTGAVPSIISSIYHPSKRHSQYKQRTIQKARPDSEFKFLACFQSNRNVSGMINILDCSNASKESSLNVFALHLIELTGRASAMVIVHNPNKRSNSRKNAYSEQIISSLTTYANLNEFVTVQHLTALSPFATMHEDICSLAEDKEVSFLILPFHKLPTPDGRLEEDGSTSFRGVNLNVLANAPCTVGIFVDRGFGATAESNLAMRQIAMLFIGGPDDCEALSYAWRMSMNHGVCLTVIRFILGDRTDLEVAQAPVGSSQRSVSTNMDKQRRLDDEFVNEFRLKSAGEQFVFYEEKVANNDEELIAALSGMHHVYDLYVVGRGEGMNSVLTAGLMDWCEYPELGAMGDLLVTSSFAQGSVMVLQQYNAYKDEDEDEDENEDEDGTDMVDIEKSTENGREQ >Manes.01G128900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32283799:32287000:1 gene:Manes.01G128900.v8.1 transcript:Manes.01G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPTHKNHYPPIISITSFSLSMKLLTSKATAAAYPTIPPTMFCNFSSLSASLPTLFLLLLPSSLSPFPSVSIIHAATNGMLQSEAEILFRIMDSLSADQSLRTSYPNPCKPGSVWPGIECRLGPDSYLHVSRLDFGVPPNPTCKTTATFPNQIFALPYLQSVFFFNCFTHTKTLLSVPPNILPNPSLQQLSLRSNSALVGPIPSQISFLKSLQVLTLSQNRLSGPIPFEILRLSSLVHLDLSYNILTGPIPIELGNLRNLMGLDLSYNSLTGTIPGAIGQLGMLQKLDLSSNSLSGRIPDTIEKLSSLAFMALSNNRFSGNIPAGLSKLQSLQYFIMDDNPMNIPLPVEFGKLLKLQELRLANSGYTGNIPPSFSLLMNLTTLSLQNNRLTGEIPTGFASLSHIYHLNLSRNLLGGVVPFDSSFLKRLGRNLDLSSNPELCLSPTEAYNVKIGIGVGICGTTKNSSLIKKSQASHGFSKPFFIFGGLLVLGLQPIMVLCF >Manes.14G062700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5228137:5234659:-1 gene:Manes.14G062700.v8.1 transcript:Manes.14G062700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDHLDDDDDFGGDFPGSHNSRRSGNKRSFGDLEDDEVDIFSSKKGNSKVEETTMILSLRESVENCKNALTSCQTELEAAKSEIQKWRSAFENESFIPAGASLEPKLVINYLQTLRSSEESLREQKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQDNIAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQAAEGKMHELAMKLALQKSQNAELRSQFEGLHKHMEGLTNDVEKSNEMVRMLQEKLEEKDLELNRLKLELQQKSQQEEKIDSAPDNIDGDELLKPKQEIEIE >Manes.14G062700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5228137:5234659:-1 gene:Manes.14G062700.v8.1 transcript:Manes.14G062700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDHLDDDDDFGGDFPGSHNSRRSGNKRSFGDLEDDEVDIFSSKKGNSKVEETTMILSLRESVENCKNALTSCQTELEAAKSEIQKWRSAFENESFIPAGASLEPKLVINYLQTLRSSEESLREQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQDNIAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQAAEGKMHELAMKLALQKSQNAELRSQFEGLHKHMEGLTNDVEKSNEMVRMLQEKLEEKDLELNRLKLELQQKSQQEEKIDSAPDNIDGDELLKPKQEIEIE >Manes.14G062700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5228137:5234659:-1 gene:Manes.14G062700.v8.1 transcript:Manes.14G062700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLRESVENCKNALTSCQTELEAAKSEIQKWRSAFENESFIPAGASLEPKLVINYLQTLRSSEESLREQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQDNIAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQAAEGKMHELAMKLALQKSQNAELRSQFEGLHKHMEGLTNDVEKSNEMVRMLQEKLEEKDLELNRLKLELQQKSQQEEKIDSAPDNIDGDELLKPKQEIEIE >Manes.14G062700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5228137:5234659:-1 gene:Manes.14G062700.v8.1 transcript:Manes.14G062700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLRESVENCKNALTSCQTELEAAKSEIQKWRSAFENESFIPAGASLEPKLVINYLQTLRSSEESLREQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQDNIAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQAAEGKMHELAMKLALQKSQNAELRSQFEGLHKHMEGLTNDVEKSNEMVRMLQEKLEEKDLELNRLKLELQQKSQQEEKIDSAPDNIDGDELLKPKQEIEIE >Manes.01G003300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1977571:1981098:-1 gene:Manes.01G003300.v8.1 transcript:Manes.01G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPITRNCCNTQLWLVILVSFIFCFVLFGFDYSALIGTQERVTVLITDYENSISTHKSKSLHLPENLNQTSIGPVKADYLREDNVLPKPVKDSCLGRYVYIHHLPSRFNRDLLENCESITPGAEHNMCSYLVNSALGHEVENSQGILLNKSWYSTNQFLLEVIFHFRMKKYKCLTNDSFLASAIYVPFYAGLDVSRYLWGFKISVRDQSASDLVKWLVEKPEWKKMLGRDHFLVAGRIAWDFRRQTDNESDWGSKFRFLPESNNMSMLAIESSSWNNDYAIPYPTCFHPSRDSEVFQWQDKVRRQTRPYLFSFAGAPRPDLQDSIRGKIIEECLASKNLCKLLECDYGVNGAISCDNPVNVMRLFQNSVFCLQPTGDSYTRRSIFDSILAGCIPVFFHPGTAYAQYKWHLPKNYSKYSVYMPVRDVKDWKAGINQTLLRIPENRVLAMREEVIKLIPRIVYADPRSRMETIEDAFDLAVKGILERIERVRKVTREGKDPSNGFAEGDDYKYTFSGYVGET >Manes.10G058150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7876377:7900481:-1 gene:Manes.10G058150.v8.1 transcript:Manes.10G058150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGSSISRALFRRGGIDPYGEINTTCRLVFRRALHVSSTKSTTPFLDNRSNSPTSGFNSLWSSLRPVLWTHFAGQRRTMFIQTQSTPNPSSLMFYPGKPVMEVGSADFPNARTAMNSPLAKALYGIDGISRVFFGSDFVTVTKSDDASWDFLKPEIFAAIMDFYSSGQPLFLDSETAAAKDTAIHEDDSETVAMIKELLETRIRPAVQDDGGDIEYRGFDLETGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVSEVKGVEQELDAEVEDAALASQIE >Manes.01G265800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42034319:42039341:1 gene:Manes.01G265800.v8.1 transcript:Manes.01G265800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYSILTREYSKICKLADKSVMASSGFQADVKALQKHLAARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVYTYDAVGSYERVGYSAQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLSELEAVDLVKTVFASATERDIYTGDKLEIVVLNADGIRREYMELRKD >Manes.07G059800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8446495:8450294:1 gene:Manes.07G059800.v8.1 transcript:Manes.07G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSFLLSIIYFLCFSFLVFATHRSNDTDRLALLQLKAKIIHDPFGIMGAWNSTLHFCQWYGVRCGRKHQRVTIVNLSSLELSGSISPYVGNLSFLKKLFLYNNSFNGEIPTEIGHLRRLQNLYLHNNSIGGRIPASISNCSNLGYFTSYNNNLVGRIPARIGSLLKLKAIAVAGNHLTGDLPPSLGNLSSLQEINVQENNFHVSFPDALCKLMNLRILDLSINQFLGTIPPSFLNLSLIEVIDISINSLEGSLPLNLGNSFPNLQFFSIVGNHFSGSIPMSISNASSLELFQLNENNFTGRVPSLQKLHRLMRLTIAGNNLGSGKADDLEFLSTLSNATNLQALIINENNFGGKLPEQLCSFSEKLQMIFIDENQIFGNIPTRNCVSLEILVANDNYLSGPIPSSIGKLINLGILYLKHNDLSGSIPSTIGNMTSLLQMDLSHNKLQGMIPPSLGNCKKLIRLDLSYNNLSGPMPPQLFGSSPLSIGLDLSRNQLSGSIPSEIGNLTNMGRLYLSKNVLSGVIPKDLSSCTSLEYLYMDANLFQGSVPSSLSSLRGLRELNLSHNLLSGNIPEFLEEFHTLKLLDLSYNNFEGTIPLEGVFKNVTAISIEGNKNLCGGIPELGLPPCKLQQPKRGLTITLKIIISTVSVVTGATVLLICLLMRLSRKRKRDQSSSSFHGKELLKLSYQNLLKATNGFSSDNLIGTGSFGSVYKGILDPEGTIVAVKVFNLMCRGAIKSFVAECEALRNLRHRNLVKILTTCSGVNYQGDDFKALVYEFMVNGSLDSWLHPALGSDEVPRTLDILQRLNIGIDVACALEYLHLHCETPVVHSDLKPSNVLLDENMTGRLSDFGLVKFLSDGILGNSTDQSSSFGLRGTIGYCPPEYGVGSKTSTSGDIFSFGILLLEMFSGKRPTDEMFKENLSLHNFVKRALPEQVTEIIDPNLFQARFSVHHNDNLRNRRNDMFIECLISIFKIGLSCSAESPQERMNISDIVAQLSSIKNKFLGAQLPREREVADAFLLAGGQS >Manes.07G059800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8446547:8450165:1 gene:Manes.07G059800.v8.1 transcript:Manes.07G059800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSSFLLSIIYFLCFSFLVFATHRSNDTDRLALLQLKAKIIHDPFGIMGAWNSTLHFCQWYGVRCGRKHQRVTIVNLSSLELSGSISPYVGNLSFLKKLFLYNNSFNGEIPTEIGHLRRLQNLYLHNNSIGGRIPASISNCSNLGYFTSYNNNLVGRIPARIGSLLKLKAIAVAGNHLTGDLPPSLGNLSSLQEINVQENNFHVSFPDALCKLMNLRILDLSINQFLGTIPPSFLNLSLIEVIDISINSLEGSLPLNLGNSFPNLQFFSIVGNHFSGSIPMSISNASSLELFQLNENNFTGRVPSLQKLHRLMRLTIAGNNLGSGKADDLEFLSTLSNATNLQALIINENNFGGKLPEQLCSFSEKLQMIFIDENQIFGNIPTRNCVSLEILVANDNYLSGPIPSSIGKLINLGILYLKHNDLSGSIPSTIGNMTSLLQMDLSHNKLQGMIPPSLGNCKKLIRLDLSYNNLSGPMPPQLFGSSPLSIGLDLSRNQLSGSIPSEIGNLTNMGRLYLSKNVLSGVIPKDLSSCTSLEYLYMDANLFQGSVPSSLSSLRGLRELNLSHNLLSGNIPEFLEEFHTLKLLDLSYNNFEGATVLLICLLMRLSRKRKRDQSSSSFHGKELLKLSYQNLLKATNGFSSDNLIGTGSFGSVYKGILDPEGTIVAVKVFNLMCRGAIKSFVAECEALRNLRHRNLVKILTTCSGVNYQGDDFKALVYEFMVNGSLDSWLHPALGSDEVPRTLDILQRLNIGIDVACALEYLHLHCETPVVHSDLKPSNVLLDENMTGRLSDFGLVKFLSDGILGNSTDQSSSFGLRGTIGYCPPEYGVGSKTSTSGDIFSFGILLLEMFSGKRPTDEMFKENLSLHNFVKRALPEQVTEIIDPNLFQARFSVHHNDNLRNRRNDMFIECLISIFKIGLSCSAESPQERMNISDIVAQLSSIKNKFLGAQLPREREVADAFLLAGGQS >Manes.12G107650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29526308:29548957:-1 gene:Manes.12G107650.v8.1 transcript:Manes.12G107650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEWLKHEKQQNWMNFGVEKQSSFHVFNFRLQVIKFTYQNLGLD >Manes.08G145100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38668359:38673588:-1 gene:Manes.08G145100.v8.1 transcript:Manes.08G145100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSVLLLYIGALSSIPFLSASEPICPDESALFLYKIQSQCPLSISPYPPLEVSGNFLDRALTSKQRNSYTSVLFYASWCPFSRNMCHTFNMLGSMFPQIEHLAVEQSSALPSVFSRYGIHSLPSLLMVNQTSKVQYHGPKDLQSLVQFYEKTTGLGPVKYFAEDEPTSLGSHEESILQPWDGSSLREIMRRETYLVLALLFLSFRVLVFISPKVLSHLKAFYWLYVPHFNLEIFGETSQLFGRILHMIDVRRIWIWTRQTLCKTRNFHEGAKNCRVWASSLASVSLGESSSSGRSQS >Manes.08G145100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38667736:38673588:-1 gene:Manes.08G145100.v8.1 transcript:Manes.08G145100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSVLLLYIGALSSIPFLSASEPICPDESALFLYKIQSQCPLSISPYPPLEVSGNFLDRALTSKQRNSYTSVLFYASWCPFSRNMCHTFNMLGSMFPQIEHLAVEQSSALPSVFSRYGIHSLPSLLMVNQTSKVQYHGPKDLQSLVQFYEKTTGLGPVKYFAEDEPTSLGSHEESILQPWDGSSLREIMRRETYLVLALLFLSFRVLVFISPKVLSHLKAFYWLYVPHFNLEIFGETSQLFGRILHMIDVRRIWIWTRQTLCKTRNFHEGAKNCRVWASSLASVSLGESSSSGRSQS >Manes.01G232800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39647306:39649671:1 gene:Manes.01G232800.v8.1 transcript:Manes.01G232800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVYWYDFICFGIVAVSFVGSLWVLWRRELASKYDSNSIYESLLLVATPPDTDGFLNAMPKNHVGSSQLWCSCWKGLHPGWLLATRFISFLVMAGFLTWDIEDWDATIFMYYTEWTFALVMVYFALATVTSGYGCWVSSLRVPSENVETAQFLRTDEEENGTTNSATYDEKAMKGTIKLQSHYAEEVIRLRAGFWGYLMQTIYQTCAGAVILTDLVFWCIIVPFGSNAHLELNVLMGCMHTLNAAFLLLDTALNSLSFPWFRLAYFVQWSCLYVVFQWVIHACGFTWWPYPFLELDIAWAPLWYFVLAVVHIPCYGIYALIVKAKNSIFPRWFPGAFVRSY >Manes.15G103300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8120915:8123276:-1 gene:Manes.15G103300.v8.1 transcript:Manes.15G103300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRGINNHEEAANMMDLTLKLGLPNENNNQSQIWQDGNVVPTTPCLANFCFPGPYTALLQGESNSYGMSSGGGQVATGGNPGGSSNPNGGQNFINANQEVAWPEQEAEMRDLNSSLVDPAFNPMPGLSFMNSNTVLDSSSRKVKDDGDESGNSSAGKQVSRRQRYGSFVEPNKRCTNNNCNTTDTPMWRKGPLGPKTLCNACGIKYRKEGEKKRAKAAAKNSNA >Manes.09G160000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35527096:35531938:-1 gene:Manes.09G160000.v8.1 transcript:Manes.09G160000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLLEIQPRELKFIFELNKQSSCAVQLTNGTYHNVAFKVKTTSPKKYCVRPTIGIILPKTTCEFTVTMQAPKSTINEKACKDKFLIQSTVVPVGTTEKDITSNMFDKDDGKYIEETKLKVVLISPPQSPLLSPINGVLKQEPTHGASVLRDPALREVENTTPTHMYQVSKNVDVKLIYDQEFKKEKDMELKLNKDVIDDQDSKPEKDAEWMPNNDVINIEEVKLAKHVDLKPENNPIDDNLAKDGRFSLPKNEVNKAEFLTLKDAEELKFVSDIKEMKSKLNVLESKLNQAASTISKLSEETRLSIQERKFLQHELTMLRSRTSTKREQVGFPLLFVVMVALASIFLGFLSHP >Manes.09G160000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35527111:35531868:-1 gene:Manes.09G160000.v8.1 transcript:Manes.09G160000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLLEIQPRELKFIFELNKQSSCAVQLTNGTYHNVAFKVKTTSPKKYCVRPTIGIILPKTTCEFTVTMQAPKSTINEKACKDKFLIQSTVVPVGTTEKDITSNMFDKDDGKYIEETKLKVVLISPPQSPLLSPINGVLKQEPTHGASVLRDPALREVENTTPTHMYQVSKNVDVKLIYDQEFKKEKDMELKLNKDVIDDQDSKPEKDAEWMPNNDVINIEEVKLAKHVDLKPENNPIDDNLAKDGRFSLPKNEVNKAEFLTLKDAEELKFVSDIKEMKSKLNVLESKLNQVYVTQA >Manes.09G160000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35527160:35531849:-1 gene:Manes.09G160000.v8.1 transcript:Manes.09G160000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLLEIQPRELKFIFELNKQSSCAVQLTNGTYHNVAFKVKTTSPKKYCVRPTIGIILPKTTCEFTVTMQAPKSTINEKACKDKFLIQSTVVPVGTTEKDITSNMFDKDDGKYIEETKLKVVLISPPQSPLLSPINGVLKQEPTHGASVLRDPALREVENTTPTHMVSKNVDVKLIYDQEFKKEKDMELKLNKDVIDDQDSKPEKDAEWMPNNDVINIEEVKLAKHVDLKPENNPIDDNLAKDGRFSLPKNEVNKAEFLTLKDAEELKFVSDIKEMKSKLNVLESKLNQAASTISKLSEETRLSIQERKFLQHELTMLRSRTSTKREQVGFPLLFVVMVALASIFLGFLSHP >Manes.09G160000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35527176:35531172:-1 gene:Manes.09G160000.v8.1 transcript:Manes.09G160000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLLEIQPRELKFIFELNKQSSCAVQLTNGTYHNVAFKVKTTSPKKYCVRPTIGIILPKTTCEFTVTMQAPKSTINEKACKDKFLIQSTVVPVGTTEKDITSNMFDKDDGKYIEETKLKVVLISPPQSPLLSPINGVLKQEPTHGASVLRDPALREVENTTPTHMYQVSKNVDVKLIYDQEFKKEKDMELKLNKDVIDDQDSKPEKDAEWMPNNDVINIEEVKLAKHVDLKPENNPIDDNLAKDGRFSLPKNEVNKAEFLTLKDAEELKFVSDIKEMKSKLNVLESKLNQVYVTQA >Manes.09G160000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35527176:35531172:-1 gene:Manes.09G160000.v8.1 transcript:Manes.09G160000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLLEIQPRELKFIFELNKQSSCAVQLTNGTYHNVAFKVKTTSPKKYCVRPTIGIILPKTTCEFTVTMQAPKSTINEKACKDKFLIQSTVVPVGTTEKDITSNMFDKDDGKYIEETKLKVVLISPPQSPLLSPINGVLKQEPTHGASVLRDPALREVENTTPTHMYQVSKNVDVKLIYDQEFKKEKDMELKLNKDVIDDQDSKPEKDAEWMPNNDVINIEEVKLAKHVDLKPENNPIDDNLAKDGRFSLPKNEVNKAEFLTLKDAEELKFVSDIKEMKSKLNVLESKLNQAASTISKLSEETRLSIQERKFLQHELTMLRSRTSTKREQVGFPLLFVVMVALASIFLGFLSHP >Manes.04G090600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29582005:29592455:-1 gene:Manes.04G090600.v8.1 transcript:Manes.04G090600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLETRIFDVWCLHIPVKDRTSFTGLVKLVERTVRSENNRFPNRPIYLVGESLGACLALAVAACNPDIDLVLILANPGTSFKKSQLEPLIPLLALMSDQLQLSLPYILSLMTGDPLKVTMDDVAEGVPLQQTIGELSQHVAAMSSYLSVLADILPRETLLWKLQMLKSASAYANSRLHAVKAQTLILTSGKDQLLPSPDEGQRLHSLLPKCENRLFSDSGHFLFLEDKVDLVTTIMGATFYRKGAYYDYISDYVQPSPYAFKKIYESFGWVRFATSPVMLSTFEDGKIARGLAGVPSEGPVLYVGYHMLLGFELSSMISQFFFERNILLRGIAHPSMFRRLKEGKLPPMSQFDTFRVMGAVPVSGTLFYNLLSSNAHVLLYPGGVREACHRKGEEYKLFWPEQTEFVRMAARFGAKIVPFGVVGEDDFFEVFFDYDDQMKVPFLRDYILEISEQSESVRTEEQGEVGNQDMHLPGILPKFPGRFYYYFGKPIETKGREKELRDREKAHELYLEVKSEVEKCLAFLKEKRESDPYRYLFTRLSYQATHGFTSEVPTFEV >Manes.04G090600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29582005:29592455:-1 gene:Manes.04G090600.v8.1 transcript:Manes.04G090600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYAQDNNLSLKICQDRHTLAETESGERECRKFNMTAIGACLFAAGFSPAVVRSCLTPSSGKKKSNPTRRFAVSTEQILTKKAETTTTSFPENERLKMKRSENGKQEKQNPVIWELAEAEKKIRKSLKDYFEESKDFIRSDGGPPRWFSPLECGSRLDESPLLLYLPGIDGVGLGLVRQHYSIGKIFDVWCLHIPVKDRTSFTGLVKLVERTVRSENNRFPNRPIYLVGESLGACLALAVAACNPDIDLVLILANPGTSFKKSQLEPLIPLLALMSDQLQLSLPYILSLMTGDPLKVTMDDVAEGVPLQQTIGELSQHVAAMSSYLSVLADILPRETLLWKLQMLKSASAYANSRLHAVKAQTLILTSGKDQLLPSPDEGQRLHSLLPKCENRLFSDSGHFLFLEDKVDLVTTIMGATFYRKGAYYDYISDYVQPSPYAFKKIYESFGWVRFATSPVMLSTFEDGKIARGLAGVPSEGPVLYVGYHMLLGFELSSMISQFFFERNILLRGIAHPSMFRRLKEGKLPPMSQFDTFRVMGAVPVSGTLFYNLLSSNAHVLLYPGGVREACHRKGEEYKLFWPEQTEFVRMAARFGAKIVPFGVVGEDDFFEVFFDYDDQMKVPFLRDYILEISEQSESVRTEEQGEVGNQDMHLPGILPKFPGRFYYYFGKPIETKGREKELRDREKAHELYLEVKSEVEKCLAFLKEKRESDPYRYLFTRLSYQATHGFTSEVPTFEV >Manes.05G124600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22948021:22953103:-1 gene:Manes.05G124600.v8.1 transcript:Manes.05G124600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWDASYDPGSQSEDSYNFERFHIEPIYDAFVCPLTKQVMRDPVTLENGQTFDREAIERWFKECRERGRKLICPLTQKELKSADLNPSIALRNTIEEWTARNEAAQLDMARRSLNTTSPESDVLQSLKYVEYICRKNRSNKHVVRNAELIPMIVDTLKSSNRKVRCKALETLKFVIEEDVDNKAILAEGDTVRTIVKFLSHEQSKEREEAVALLHELSKSEALCEKIGSINGAILILVGMTSSKSENILTVEMAERTLENLEKCDNNVLQMAKNGRLQPLLNQLLEGPPETKLSMASSLGELVLSSDVKVHVASTVGSSLIDIMRSGNIQSREAALKALNQISSCGPSSKVLIEAGILPPLVNDLFTVGTNHLPMRLKEVAATILANIVSSDYEIESIPVGPDHRTLVSEDIVHSLLHLISNTGPAIECKLLQVLVGLTSSPATVLNVVTAIKSSGATTSLVQFIEAPQKDLRVASIKLLQNLSRHMGQELANALRGTVGQLGSLIKIITENIGITEEQAAAVRLLAELPERDLGLTRQMLDEGAFQQIFVRVVRIRQGEARGIRFVTPYLEGLVQVLARVTFVLAEEPDAIAFCRENNLAAVFIELLQSNGLDNVQMVSAMALENLSQESKNLTKLPELPPPGVCASIFPCFSKQPVITGLCRLHRGTCSLKDTFCLLEGQAVEKLVALLDHTNEKVVEAALAAISTLLDDGVDIEQGVMVLCEAEGIKPILDVLLEKRTDNLRRRAVWAVERLLRTDDIAYEVSGDPNLSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGVFPNMG >Manes.09G121201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32400718:32401693:-1 gene:Manes.09G121201.v8.1 transcript:Manes.09G121201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVISRRSLFCPNGFIWNGSPFRFPVSTIVDSACPGWSPPPHGWIKVNIDASLSLQRDSVGFGCVIRNDDGSFVAAKVGSFYSQMDAKCAETMAFREVLVISVNCVLLDDLSYFGLLIQDCKLLLSSYEKAKCVFVYRSANDIAHILAISAHSKSS >Manes.01G028200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5765810:5770997:1 gene:Manes.01G028200.v8.1 transcript:Manes.01G028200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVKPLVQSKTSPVVLLHGFDSSCLEWRYTFPLLEEAGMETWAVDILGWGFSDLERLPSCDVASKRDHLYQFWRSHIRRPIILVGPSLGAAVAIDFAANHPEAVEKLVLIDASVYAEGTGDLAKLPRALAYAGVYLLKSVPLRLYVNLLAFNEISLSTSIDWMNVGRLHCLYPWWEDATVNFMVSGGYNVNAQIDKVKKRTLIIWGEDDQIISNKLAVRLHSELPNAIIRQIPECGHIPHVEKPNSVAKLIVEFAREACYEDAQTIPL >Manes.01G028200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5765810:5771057:1 gene:Manes.01G028200.v8.1 transcript:Manes.01G028200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTATPALLMPRKFRTRERFSISAQNFPSFLPSEVENIKDPFARKLASRIERLPVSFSERCIMSSCVKPLVQSKTSPVVLLHGFDSSCLEWRYTFPLLEEAGMETWAVDILGWGFSDLERLPSCDVASKRDHLYQFWRSHIRRPIILVGPSLGAAVAIDFAANHPEAVEKLVLIDASVYAEGTGDLAKLPRALAYAGVYLLKSVPLRLYVNLLAFNEISLSTSIDWMNVGRLHCLYPWWEDATVNFMVSGGYNVNAQIDKVPAKFLSKTV >Manes.01G028200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5765810:5770997:1 gene:Manes.01G028200.v8.1 transcript:Manes.01G028200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTATPALLMPRKFRTRERFSISAQNFPSFLPSEVENIKDPFARKLASRIERLPVSFSERCIMSSCVKPLVQSKTSPVVLLHGFDSSCLEWRYTFPLLEEAGMETWAVDILGWGFSDLERLPSCDVASKRDHLYQFWRSHIRRPIILVGPSLGAAVAIDFAANHPEAVEKLVLIDASVYAEGTGDLAKLPRALAYAGVYLLKSVPLRLYVNLLAFNEISLSTSIDWMNVGRLHCLYPWWEDATVNFMVSGGYNVNAQIDKVKKRTLIIWGEDDQIISNKLAVRLHSELPNAIIRQIPECGHIPHVEKPNSVAKLIVEFAREACYEDAQTIPL >Manes.01G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5765810:5770997:1 gene:Manes.01G028200.v8.1 transcript:Manes.01G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTATPALLMPRKFRTRERFSISAQNFPSFLPSEVENIKDPFARKLASRIERLPVSFSERCIMSSCVKPLVQSKTSPVVLLHGFDSSCLEWRYTFPLLEEAGMETWAVDILGWGFSDLERLPSCDVASKRDHLYQWDGHGVKFWRSHIRRPIILVGPSLGAAVAIDFAANHPEAVEKLVLIDASVYAEGTGDLAKLPRALAYAGVYLLKSVPLRLYVNLLAFNEISLSTSIDWMNVGRLHCLYPWWEDATVNFMVSGGYNVNAQIDKVKKRTLIIWGEDDQIISNKLAVRLHSELPNAIIRQIPECGHIPHVEKPNSVAKLIVEFAREACYEDAQTIPL >Manes.01G028200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5765810:5770997:1 gene:Manes.01G028200.v8.1 transcript:Manes.01G028200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTEWRYTFPLLEEAGMETWAVDILGWGFSDLERLPSCDVASKRDHLYQFWRSHIRRPIILVGPSLGAAVAIDFAANHPEAVEKLVLIDASVYAEGTGDLAKLPRALAYAGVYLLKSVPLRLYVNLLAFNEISLSTSIDWMNVGRLHCLYPWWEDATVNFMVSGGYNVNAQIDKVKKRTLIIWGEDDQIISNKLAVRLHSELPNAIIRQIPECGHIPHVEKPNSVAKLIVEFAREACYEDAQTIPL >Manes.01G028200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5765810:5770997:1 gene:Manes.01G028200.v8.1 transcript:Manes.01G028200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTEWRYTFPLLEEAGMETWAVDILGWGFSDLERLPSCDVASKRDHLYQWDGHGVKFWRSHIRRPIILVGPSLGAAVAIDFAANHPEAVEKLVLIDASVYAEGTGDLAKLPRALAYAGVYLLKSVPLRLYVNLLAFNEISLSTSIDWMNVGRLHCLYPWWEDATVNFMVSGGYNVNAQIDKVKKRTLIIWGEDDQIISNKLAVRLHSELPNAIIRQIPECGHIPHVEKPNSVAKLIVEFAREACYEDAQTIPL >Manes.01G028200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5765810:5770997:1 gene:Manes.01G028200.v8.1 transcript:Manes.01G028200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTEWRYTFPLLEEAGMETWAVDILGWGFSDLERLPSCDVASKRDHLYQFWRSHIRRPIILVGPSLGAAVAIDFAANHPEAVEKLVLIDASVYAEGTGDLAKLPRALAYAGVYLLKSVPLRLYVNLLAFNEISLSTSIDWMNVGRLHCLYPWWEDATVNFMVSGGYNVNAQIDKVKKRTLIIWGEDDQIISNKLAVRLHSELPNAIIRQIPECGHIPHVEKPNSVAKLIVEFAREACYEDAQTIPL >Manes.01G028200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5765810:5770997:1 gene:Manes.01G028200.v8.1 transcript:Manes.01G028200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTATPALLMPRKFRTRERFSISAQNFPSFLPSEVENIKDPFARKLASRIERLPVSFSERCIMSSCVKPLVQSKTSPVVLLHGFDSSCLEWRYTFPLLEEAGMETWAVDILGWGFSDLERLPSCDVASKRDHLYQFWRSHIRRPIILVGPSLGAAVAIDFAANHPEAVEKLVLIDASVYAEGTGDLAKLPRALAYAGVYLLKSVPLRLYVNLLAFNEISLSTSIDWMNVGRLHCLYPWWEDATVNFMVSGGYNVNAQIDKVPAKFLSKTV >Manes.02G033200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2746681:2749043:-1 gene:Manes.02G033200.v8.1 transcript:Manes.02G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSPSSSMSSSFPSAPHPSHHSPHFTPIQECDREEQEDEGSEGRSQMKATPTDCVDKKETPKHLPTPLYDKNGKPSSKKRHESSENCGEDGSVSCNKCRPTSREKISVVPLDNNGLNKHSSFIASPNGLFKSIFSSLTRKSPKSTDISTAREEQWRIAAAELSHKLIQATRKRDEALLEASRLKSSMSELEKKLNKLEVYCHNLKSGLDQCSSNSPYRVGRGFDIHLHQQNGVTGFSDKVIEQFLVSVSEARSSVRLLSRSLTMQLRHMGVRVYERLSVLLQPYDIKISFSKNPKSVLFYLEALLNKVFFEDFESVGFQKSSVNQILNPIDRCEANYASFNVLKELTWDEVLNKGTRHFSEEFSKFCDWKMNEIVAMLGWNRAWTEPLLQTFFGASKTVWLVHLLANSVHPGLPIFRVEKWVRFDSVYMEDMGGDRAKKLVPTMVRIMVAPGFYVYGSVVKCKVLCRYNNNNNINNVIDEKGLTLSSSPQGLHYPKSEIF >Manes.09G011970.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2920303:2922172:1 gene:Manes.09G011970.v8.1 transcript:Manes.09G011970.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYSHSSTSTLEDARFFTNNFKSASFTRLHDAIASFNHVIHMNPLPSRVHFNRFLSALVKMKQYHSVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFNSLINGLCMESKIDKAVEVFDDMVARGYQPDVYTYSTIIKGMCKFGKTNVAIGLLKGMADRGCEPNVVTYGAIIDALCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQKGRRIYSPRIQGRKITRLLQLLVV >Manes.12G013600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1337530:1343303:1 gene:Manes.12G013600.v8.1 transcript:Manes.12G013600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNMDTIEVEENLFAPSDAKLHGEMCKALSKIYCKILSIFPSLEEARPRSRSGIQALCSLHIALEKAKYILQHCSECSKLYLAITGDSVLQKFEKVRSSLVDSLRRVEDIVPQSIGCQISEIVSELAGIVFSLDPLEKQVGDEIIALLQQGRKFDDCNDNNELESFHQAATKLGITSSRAALTERRALKKLIERTRVEEDKRKESIVAFLLHLMRKFSKLFRSEITDDNDSQGSTPCSPTVQGSFEDASDGHSFERQLSKFSSFHFKANTRKYCPMAIPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLSHLCLTPNYCVKGLVTSWCEQNGVPVPDAPPESLELNYFRLSLCQSETANSRSVDCISSDKLKGIKIAPLEESATIEEAGQHEMESSTPEEELAHEEEFEHDMFQEYQNFLNILNEERDMGRKSKVVEKIRLLLKDDEEARIYMGANGFVKALLQFLESAVHERNVVAQDCGAMALFNLAVNNNRNKEMMLAKGVIPLLEMMICNSDSHGSATALYLNLSCHEDAKSIIGSSQAVPFLVQILQRAPEPQCKMDALHALYNLSSLASNIPNLLSAGIISGLQSLLAAPGDFEWAEKSLAVLVNLASSQSGKDEMVAAPGLISALATILDTGEPIEQEQAVSCLYILCNCSENCSQMVLQEGVIPALVSMSVNGTTRGKDKAHKLLMLFREQRQRDQPQSQPQPPVGVRIRCAESSSKAMPSQESKPLCKSVSRRRMSKALSIFWKSKNYSVSQC >Manes.10G036700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3797481:3798688:1 gene:Manes.10G036700.v8.1 transcript:Manes.10G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHSFLFIINKAKATVYLIFLALTVAASARKLVEEPPVPVVSPQPLPTSLQPNPSVAATTTASTVNSGNTLTFFMHDILGGSNPTARAVTGIVNNPAVSGQLPFAKPNGAVLPVDNGVPQNNNNNGLINNNNLPFLTGLSGTTANVVQNNGNNNLINNGFNFPVVNGDQLPSTLQQLMFGTITVIDDELTEGHDLRSGFVGRAQGFYVASSVDGTSQTMAFTAMFQNGHYEDSLIFFGVHRTAVSESQLAIMGGTGKYVNAKGFAIGKTFPATNQHETDGVESLLHFTVYVSY >Manes.01G125000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQGELQRQQSNVPSPSFSKTDFMNSNTKYTASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVQPNCNQLDSAFVKQL >Manes.01G125000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGAKEVRSFGGVEVAVPKECWICVSDSCSLLLSQFLRSAARPATSEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQGELQRQQSNVPSPSFSKTDFMNSNTKYTASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQGELQRQQSNVPSPSFSKTDFMNSNTKYTASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVQPNCNQLDSAFVKQL >Manes.01G125000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQGELQRQQSNVPSPSFSKTDFMNSNTKYTASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040937:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSLPPDLNPSSSQLSCKHSRAENRLIVQKFAVLAEWKWRFQKSVGYVSLILVAFCFLNFSGRQQGPQQVRGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040892:32053981:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32053981:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040937:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSLPPDLNPSSSQLSCKHSRAENRLIVQKFAVLAEWKWRFQKSVGYVSLILVAFCFLNFSGRQQGPQQVRGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQGELQRQQSNVPSPSFSKTDFMNSNTKYTASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGAKEVRSFGGVEVAVPKECWICVSDSCSLLLSQFLRSAARPATSEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQGELQRQQSNVPSPSFSKTDFMNSNTKYTASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHQLREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQGLESLGPNVGQRIE >Manes.01G125000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040223:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGAKEVRSFGGVEVAVPKECWICVSDSCSLLLSQFLRSAARPATSEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQGELQRQQSNVPSPSFSKTDFMNSNTKYTASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVQPNCNQLDSAFVKQL >Manes.01G125000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32040996:32052452:1 gene:Manes.01G125000.v8.1 transcript:Manes.01G125000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGLGQQGHEGEKKCLNSELWHACAGPLVSLPTVGSRVVYFPQGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKDTFLPMELGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLRRPWHPGPSSLHDNRDEAGNGLMWLRGGTGEQGLHSLNFQAVNMFPWAQQRLDPALLGNDQSQWYQAMLATGLQNVGSGDPLRQQFMQFQQPFQYLQQSSSHCPLLQLQQQHQAIQQSTSHNVLQAQNQISTESLPQHLLQQQHNNQPDDHAQQQQQHHNYHDALQIQGELQRQQSNVPSPSFSKTDFMNSNTKYTASTTPIQNMLGSLCAEGSGNLLDFTRNGQSTLSEQLPQQSWVQKYAHLQVNAFTNSLSLPRPYPEKVPAMEPENCNLDAQNATNFGMNIDSSGLLLPTTLPRYTSSTVDADVSSMPLGDSGFQNSIYGGMQDSSEILPSTGQVDPPTPSRTFVKVQPNCNQLDSAFVKQL >Manes.16G019107.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2010031:2013980:-1 gene:Manes.16G019107.v8.1 transcript:Manes.16G019107.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREFMVKSGFLCFFLFNLFSFAYCSVIYNITTTRAVSPEQTLNSPRQIFELGFFTPNNNSRNQYVGIWFKEVSPQTVIWVANRENPITSSSASLTIGSDGNLRLLDGQRNTIWSTNISSQSNSSIAVLSDDGNFILRNSITGDDLWESSQHPSDSLLPGTWLAYNETTGMRLTATSWRSNNDPSIGDFTAGVPPLTPPQAFVWKGSKPHWRSGPWAKTKFIGIPEMNADYKCGLTLIEGLQPGIAYLTVSVLRNCSYSMFVVSPAGVLRFLCWVKERGWYARWEAPVTPCEVYGACGPFGVCLRYAPNLTCRCLKGFVPKSDDEWRKGNWTGGCIRRTELSCGGNTSSVNAQGGKPDGFLKVGGLKLPDWHEYLKVFDENECHQHCLSNCSCSGYSYVNGIGCLFWTTNLLDMHELPFGGEDLNLRLALSELGESDQKTHIKIIVSVITVSSVILIGAMFCCFLRWRAKKRSKPKTNAPSDMSRENSQPIMWRSPLEDEDLIELPLFDFNNIMLATNNFDIENKLGQGGYGPVYRGTLDGKDVAVKRLSSSSSQGIGEFKNEMKLISKLQHRNLVRLLGCCIEREEKILVYEYMPNKSLDTYLFDTTRKAELDWTKRFNIIMGVARGLLYLHRDSCLRVIHRDLKVSNILLDEKMNPKISDFGVARIFEGTQDLGSTRNVVGTIGYMAPEYSFGGIFSEKSDVFGFGILILEIVSGRKTSSFQYDEQYMNLLSYAWQSWSESKGVRMIDEALMDSFSSTEVSRCVNIGLLCAQDHAADRPSMAAVVSMLSDEKTKLPEPNQPTFTFKSISTSNFQAQSNSTRSVNKVTESIIEPR >Manes.06G059000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18516047:18518279:1 gene:Manes.06G059000.v8.1 transcript:Manes.06G059000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPISSLLPILLILVLSPSSILSFPIQDSFVRCLALHSPTVIPFSTALYTPNNSSFISFLESSAQNLRYLLPSVPKPEFIFTPLHETHIQAAVICSKQLRIHLRVRSGGHDYEGVSYASEIETPFIVVDLSKLRSVTVDIEHNSAWVQAGATVGEAYYRIAEKSKVHGFPAGLCSSLGIGGHITGGAYGSMMRKYGLGADNVIDARIVDVNGRVLDRQAMGEDLFWAIRGGGGGSFGIIVSWKLKLVPVPATVTVFTLTKTLEQGATSILYRWQQVADKLDEDLFIRVLIQTATIGNSTQRTVTTSYNALFLGDANRLLRVVQTSLPELGLTRKDCTETSWIRSVLYIAGYPSTTPPEFLLQGKSLFKKNYFKAKSDFVRKPIPKTGLEGLWKRFLEEESPFMIWNPYGGMMSKISESETPFPHRKGTLFKIQYLSGWVDGEKSTEKHLDWIRKLYNYMTPYVSMFPRAAYVNYRDLDLGMNKKTNTSFMEAAAWGNKYFKHNFNRLVRVKTKVDPENFFRHEQSIPPLPISVRRRR >Manes.11G090000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16855237:16861296:1 gene:Manes.11G090000.v8.1 transcript:Manes.11G090000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIQNKIEEEEKEKLQKLRQEEEELQLQKRKKRKIKGNPRLSFADDVENGSEEEDAEDKSLESKRLVHGKFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIRNEPLEITYSYWDGAGHRRVIQARKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPVIPNSFKLFLVLLVNFIMMVILQQHSSNTSDRAEVFISC >Manes.11G090000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16855237:16861296:1 gene:Manes.11G090000.v8.1 transcript:Manes.11G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKTKSASAKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIQNKIEEEEKEKLQKLRQEEEELQLQKRKKRKIKGNPRLSFADDVENGSEEEDAEDKSLESKRLVHGKFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIRNEPLEITYSYWDGAGHRRVIQARKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPSKKWERYTIHGD >Manes.13G063924.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7778842:7782285:1 gene:Manes.13G063924.v8.1 transcript:Manes.13G063924.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSLTTALFSCVLLISLWVPSSLAQTCGGHTFSSNQVFSSCSDLPVLSSYIYWSYHPSNLTADIAYRKTGASTANWYVWSLNPSGQRMVGSQALLAFHNSSSVPVAYTTKIDSFSPSMQQGDLDFQVSNIKAEYSNGDMIIFATLHLTSSLLSTNQVWQEGTVSGTSFNPHSMDSANKASVGTINFETGTTVAGTAPTSSKKNVHGVLNAVSWGVLMPMGIMIARYLKVFKVANPAWFYLHVACQSSAYIIGVAGWGTGLKLGSDSPGVKYSKHRNIGITLFCFATLQVFALLLRPKPDHKYRLYWNVYHHSIGYATIALSIANIYEGFDILDPEKKWKRIYTGIIIFLGAVAAVLEIFTWIIVIKRKKTTSSDKHANGTNGVNGYSA >Manes.14G031000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2764351:2770227:-1 gene:Manes.14G031000.v8.1 transcript:Manes.14G031000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPLLLPEIGPDGLAREAPVIAYTEKIIEEEQLQLKRYIEENYSKIRDVERELANLTMEMKLTAGPKKAALEHMRKKIEMSTERIHAAKLKEEQARKVWEAAAKAVKDEEEIKHKLCQDLNQLVQESSNIQFSRLEELKRRLEALNPSRASTSSPHDGKSNSTALAAASVPLSTAPGDRASDQIPNQANSGNVQVVNGQNQQPTLEGEGRGKKKIHFQGRGRGVGAVPKGRGSATPGWTGAGFDVDGRS >Manes.14G031000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2764360:2770227:-1 gene:Manes.14G031000.v8.1 transcript:Manes.14G031000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPLLLPEIGPDGLAREAPVIAYTEKIIEEEQLQLKRYIEENYSKIRDVERELANLTMEMKLTAGPKKAALEHMRKKIEMSTERIHAAKLKEEQARKVWEAAAKAVKDEEEIKHKLCQDLNQLVQESSNIQFSRLEELKRRLEALNPSRASTSSPHDGKSNSTALAAASVPLSTAPGDRASDQIPNQANSGNVQVVNGQNQQPTLEGEGRGKKKIHFQGRGRGVGAVPKGRGSATPGWTGAGFDVDGRS >Manes.14G031000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2764369:2770227:-1 gene:Manes.14G031000.v8.1 transcript:Manes.14G031000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPLLLPEIGPDGLAREAPVIAYTEKIIEEEQLQLKRYIEENYSKIRDVERELANLTMEMKLTAGPKKAALEHMRKKIEMSTERIHAAKLKEEQARKVWEAAAKAVKDEEEIKHKLCQDLNQLVQESSNIQFSRLEELKRRLEALNPSRASTSSPHDGKSNSTALAAASVPLSTAPGDRASDQIPNQANSGNVQVVNGQNQQPTLEGEGRGKKKIHFQGRGRGVGAVPKGRGSATPGWTGAGFDVDGRS >Manes.08G103850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34332423:34335149:-1 gene:Manes.08G103850.v8.1 transcript:Manes.08G103850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNFVKNGVLRLPPGFRFHPTDEELVVQYLKRKVFACPLPASIIPEVDVCKSDPWDLPGDLEQERYFFSTREAKYPNGNRSNRATSSGYWKATGIDKEIVTSKGNQLVGMKKTLVFYRGKPPHGARTDWIMHEYRLVSTEATACNSPQKKNSIQSSLVPMENWVLCRIFLKRRSNKNEEENLQFGNENRVRKLRNTKPVFYDFLTKNRTDLNLVPSSSSSGSSGITEVSSNESDDHEESSSCNSFPYFRRKP >Manes.02G183800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14695303:14699922:1 gene:Manes.02G183800.v8.1 transcript:Manes.02G183800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRLSHWYKPPSVTVTKNLTRIPRRFYAGVRIHSVSTDTKMVMKTKGLIEGIFPDELKKILRSVASEWGDVVDDMDSLEVVPLKGAMTNEVFQINWPTKRGDVIRKLLIRIYGEGVEVFFKRDEEIRTFECMSKHGQGPKLLGRFEDGRVEEFIHARTLSAADLRDPEISALVAAKMREFHDLDMPGPRSVLLWSRMRDWLAEAKSLCTAKDAKKFHLDNLDEEIRMLEKWLSLDYQDIGFCHNDLQYGNIMMDEETRSITIIDYEYASYNPVAYDIANHFCEMVADYHSETPHVLDYSKYPDLEERYRFVHTYLSSSGSEPRKDEVERLVDDAEKYTLANHIFWGLWGIISGYVNKLDFDYLEYARQRFEQYWLRRTQLLESYVAHANGYVEDAT >Manes.02G183800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14695594:14699922:1 gene:Manes.02G183800.v8.1 transcript:Manes.02G183800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKTKGLIEGIFPDELKKILRSVASEWGDVVDDMDSLEVVPLKGAMTNEVFQINWPTKRGDVIRKLLIRIYGEGVEVFFKRDEEIRTFECMSKHGQGPKLLGRFEDGRVEEFIHARTLSAADLRDPEISALVAAKMREFHDLDMPGPRSVLLWSRMRDWLAEAKSLCTAKDAKKFHLDNLDEEIRMLEKWLSLDYQDIGFCHNDLQYGNIMMDEETRSITIIDYEYASYNPVAYDIANHFCEMVADYHSETPHVLDYSKYPDLEERYRFVHTYLSSSGSEPRKDEVERLVDDAEKYTLANHIFWGLWGIISGYVNKLDFDYLEYARQRFEQYWLRRTQLLESYVAHANGYVEDAT >Manes.02G183800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14695303:14699972:1 gene:Manes.02G183800.v8.1 transcript:Manes.02G183800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKTKGLIEGIFPDELKKILRSVASEWGDVVDDMDSLEVVPLKGAMTNEVFQINWPTKRGDVIRKLLIRIYGEGVEVFFKRDEEIRTFECMSKHGQGPKLLGRFEDGRVEEFIHARTLSAADLRDPEISALVAAKMREFHDLDMPGPRSVLLWSRMRDWLAEAKSLCTAKDAKKFHLDNLDEEIRMLEKWLSLDYQDIGFCHNDLQYGNIMMDEETRSITIIDYEYASYNPVAYDIANHFCEMVADYHSETPHVLDYSKYPDLEERYRFVHTYLSSSGSEPRKDEVERLVDDAEKYTLANHIFWGLWGIISGYVNKLDFDYLEYARQRFEQYWLRRTQLLESYVAHANGYVEDAT >Manes.15G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7452969:7466831:-1 gene:Manes.15G095700.v8.1 transcript:Manes.15G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEQIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTNDPADIYMQAQALFLGRHYRRAFHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLSMLGDAKVDEHGNVYDTKDCNVMYLDKDGEDREINISSAICFLRGRAYEALENRAQARQWYKAAIKADPLCYEALECLIENHMLTCEEETSLLSSLQFGPDDGWLSSFYSCLIKKYDKESIIEAKFRELETESCNGNSMSTSFMHTLKNDTDLLACKAEYYHQCGEYQKCFEQTSILLEKDPFHLKCTLVHLAAAMELGNSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFASAWIGYGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSYKLAEQFFMQAKTICPSDPLVYNELGVVAYNMKEYNKAVLWFEKTLAHIPSLSPLWEPTVVNLAHAYRKLKMYHEAISCYERALTLSTRSLSTYAGLAYTYHLQDNFTAAITYYHKALWLKPDDQFCTEMLSLAIVDEGRSGIDPKMEFR >Manes.15G095700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7452970:7466806:-1 gene:Manes.15G095700.v8.1 transcript:Manes.15G095700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEQIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTNDPADIYMQAQALFLGRHYRRAFHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLSMLGDAKVDEHGNVYDTKDCNVMYLDKDGEDREINISSAICFLRGRAYEALENRAQARQWYKAAIKADPLCYEALECLIENHMLTCEEETSLLSSLQFGPDDGWLSSFYSCLIKKYDKESIIEAKFRELETESCNGNSMSTSFMHTLKNDTDLLACKAEYYHQCGEYQKCFEQTSILLEKDPFHLKCTLVHLAAAMELGNSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFASAWIGYGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSYKLAEQFFMQAKTICPSDPLVYNELGVVAYNMKEYNKAVLWFEKTLAHIPSLSPLWEPTVVNLAHAYRKLKMYHEAISCYERALTLSTRSLSTYAGLAYTYHLQDNFTAAITYYHKVCNLEFLLFH >Manes.15G095700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7447670:7466806:-1 gene:Manes.15G095700.v8.1 transcript:Manes.15G095700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEQIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTNDPADIYMQAQALFLGRHYRRAFHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLSMLGDAKVDEHGNVYDTKDCNVMYLDKDGEDREINISSAICFLRGRAYEALENRAQARQWYKAAIKADPLCYEALECLIENHMLTCEEETSLLSSLQFGPDDGWLSSFYSCLIKKYDKESIIEAKFRELETESCNGNSMSTSFMHTLKNDTDLLACKAEYYHQCGEYQKCFEQTSILLEKDPFHLKCTLVHLAAAMELGNSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFASAWIGYGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSYKLAEQFFMQAKTICPSDPLVYNELGVVAYNMKEYNKAVLWFEKTLAHIPSLSPLWEPTVVNLAHAYRKLKMYHEAISCYERALTLSTRSLSTYAGLAYTYHLQDNFTAAITYYHKALWLKPDDQFCTEMLSLAIVDEGRSGIDPKMEFR >Manes.14G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8257810:8264979:-1 gene:Manes.14G099600.v8.1 transcript:Manes.14G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRESDLVDWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPDMLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVESAHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELATQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQLMQVLEMINNPHYLYRMTILRAISLLAPVMGSEITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFANQALQAIDNVMMSS >Manes.14G099600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8257810:8264979:-1 gene:Manes.14G099600.v8.1 transcript:Manes.14G099600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRESDLVDWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPDMLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVESAHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTELVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPELATQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEMINNPHYLYRMTILRAISLLAPVMGSEITCSKLLPVVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFANQALQAIDNVMMSS >Manes.01G038000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7281348:7286213:-1 gene:Manes.01G038000.v8.1 transcript:Manes.01G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSETKFLQELVLYAASAALSCLVLFAGLRHLDPNREASKKALEQKKEIAKRLGRPLIQTNPYEDVIACDVINPDRIDVEFDSIGGLETIKEALYELVILPLRRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALTNMKTEFMALWDGFTTDQNAQVMVLAATNRPSELDEAILRRLPQAFEIGMPDRRERAEILKVILKGERVDESIDYDYIASLCEGYTGSDLLELCKKAAYFPIRDLLDEEKKGKKCRLSRPLFQSDLEKVLATSTKTRIAANEYTRSNSQLPGYPRQSDDYQVQATINELSKLVVSQILNLQPDTQDP >Manes.12G020400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1798974:1799918:1 gene:Manes.12G020400.v8.1 transcript:Manes.12G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQKLQRNLHLPLPQLQLGLCTPITCFPEPASNITVQGVADFCDLEKLCVLGHGNHSIVYKVLHRPTSAIYAMKMVREDISSPCLSHETEILACTDSPFVVKCHGIFEPRAGEKAILMEYMDAGTLDTVLRANGPFSETLLAHVAHQALNGLSYLHSCNIVHLDIKPSNLLVSKDMNVKIGDFGVSRIVNDTSSTTYDNLGSQGTYAYMSPERLDSQRFGSGDVCAGDVWSLGVSLWELYVGHFPFFQAGKRPSWMEVVMVICFGEFPCLPKQASREFGNFLECCLEREPSKRWTVSQLLSHPFVCMDREP >Manes.04G093300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29892014:29896088:1 gene:Manes.04G093300.v8.1 transcript:Manes.04G093300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVAARRLSSLCSTHPSWRPNQAASAILSLNPINGSDSSSLADFNSKPLNDYFAFRSHFHLLHKGFSSESLTPRNEDGISLDVPATEAAVKNPTSKIVYDEYNHERFPPGDPSKRAFAYFVLTGGRFVYASVIRLLILKFVLSMSASKDVLALASLEVDLSSIEPGATVTVKWRGKPVFIRRRTEEDVKVANTVELASLRDPQPDSERVKNPEWLIVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLDENKLLIG >Manes.01G266004.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42061430:42066228:-1 gene:Manes.01G266004.v8.1 transcript:Manes.01G266004.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPDSDHSHGGEYKFFLQTSRDCLLREMLGSTRNGDSRSTWKVRLMYYIMDRATVKVMSHSCKMSDTSDQGISLSPRLTFPIEFSPMNLECFPIDSQASSLKCMDEAPVYRGFLNLFAFKKYVKEVFSEHAANSRRFDACLNVMAARIAIVFASLKSQNRGFSRKIYESPSSNVEVIWQLFVHSGIKSLLDLLAKENCQTNEYSCMNVPSSTAEVTSKKASARSRNAPVAPEKKTPHSMRSRRTANWARPNHYDDGHSSDLVSKNATLDLKKVGRRIFVFIIGGATRSWLRASHKLTTKLGSEVVLGCTSLDDPPQYTTKLKTLSEAVTEVPHDHYVWKIEQGWFCFSIITTNWQQV >Manes.15G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8230760:8232557:1 gene:Manes.15G104000.v8.1 transcript:Manes.15G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTMHSSPLMLVLIALCAILIESSGGLANAQLSPAFYDESCPFASDIIRVVIAEALQTDARIGASLIRLHFHDCFVQGCDASVLLDNSDTILSEKEALPNNNSLRGFDVVDKMKAWLEYACPGVVSCADILAIAAQESVALSGGPIWRSLLGRRDSRTANRSLANTNLPGPFLPLQGLKAAFIAIGLNDNTDLVALSGAHTFGRAQCGGFIHRLYNFNNTGLPDPTLNTTYLQILRKLCPQGGNTTVLANFDPTTPDTFDNDYYSNLLIGEGLLQTDQELFSTPGADTAKIVKNFSANQTAFFESFVVSMIRMGNLNVSTGTAGEVRLNCRIVNGDSSSGADTLLVSSM >Manes.03G059700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6262309:6263931:1 gene:Manes.03G059700.v8.1 transcript:Manes.03G059700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDHTEKKKDNHARFCRHTRRWLDTIEEECFLPFQKCPTLSSHLVACAFIVHLVFLLSSKDPFVMWLVIFL >Manes.06G117600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24814881:24816315:-1 gene:Manes.06G117600.v8.1 transcript:Manes.06G117600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRAFLNSPVGPKTTHFWGPIANWGFVAAGLVDMNKPPEMISGNMTGAMCIYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSTETEKKEKASSE >Manes.05G091100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8307457:8309775:-1 gene:Manes.05G091100.v8.1 transcript:Manes.05G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETEFKGHVVVLPYPSQGHINPLLQFAKRLASKGLKATLATTHYTVKSICAPNITVEPISDGFDEAGFAQAKDVDLYLKSFKANGSSTLSHLIQKFQNSSFPVNCIVYDSFLPWVLDVARQHGIFGAPFFTNSATVCSIFCRIHYGFLTLPLELEDNKPLLLPGLPPLYDSDLPTFLRLPESYPAYLAMKLSQFSNLDMADWIFANTFEGLESKEAGGISKLWPAKLIGPMVPSFYLDGRIEGDKGYGASLWNPLGEECLRWLETKAAQSVVYVSFGSMVSLTVKQMEELAWGLKESNLNFLLVVRESEMDKLPTGFSDSINNKGLVVTWCDQLEMLAHRTIGCFVTHCGWNSTLEALSLGVPMVCIPQWTDQVPNAKFIEDVWKVGIRAKEDEKGVVRKQEVIRCLKEVMEGKRSYEIKKNARQWRQMARKTVGEEGSSDKHINDFVEHLELANKKGDAKALNGYYYY >Manes.12G067800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7031777:7037194:1 gene:Manes.12G067800.v8.1 transcript:Manes.12G067800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNHTLFFLSFIFLISLLRPTFASKKSYVVYLGAHSHAHDFTSVDQSLVTDSHYDLLGSFLGSRELAQDAIFYSYTRHINGFAATIEDEVAAELAKHPKVVSVFLNTGKKLHTTHSWSFLGLEQNGIVPSNSIWKKARFGEDIIIGNLDTGVWPESKSFRDEVLGPIPSKWKGICESGSDPGFHCNRKLIGARYFNKGYASVAGPLNSTFNTPRDKEGHGTHTLSTAGGNFVAGASVFGLGNGTAKGGSPKSRVAAYKVCYPPVGGNECFDADILAAFDAAINDGVDVLSLSLGGDPTPFFNDSVAIGSFHAVKKGIVVVCSAGNSGPADATVSNLAPWQITVGASTMDREFPSYVTLGNDMTLKGESLSRKALPKDKYFPIISAADAREANASAEDALLCKAGTLDPNKAKGKILVCLRGVNARVEKGEQAALAGAVGMVLANDRDSGNEILADPHVLPVSHVNYANGVAILAYINSTKSPIAHITPPISQIGTKPAPFMAAFSSKGPNRIAPEILKPDITAPGVSIIAAYTEAEGPTNEDFDTRRIQFNSISGTSMSCPHVSGIAGLLKTLHPTWSPAAIKSAIMTSSMTRDNNREPILNATHSKATPLNYGSGHVRPNRAMDPGLVYDLSVNDHLNFLCAMGYNATQILSFSETPYSCPSKPINLVNFNYPSIAIPDFNGSVTVTRRVKNVGSGPSSYTARVRKPSGFAISVEPKVLKFDKVGEEKSFSVTFKSKKSSARKDFVFGELIWSDNKHYVRSPIVVKW >Manes.12G067800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7031777:7037194:1 gene:Manes.12G067800.v8.1 transcript:Manes.12G067800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNHTLFFLSFIFLISLLRPTFASKKSYVVYLGAHSHAHDFTSVDQSLVTDSHYDLLGSFLGSRELAQDAIFYSYTRHINGFAATIEDEVAAELAKHPKVVSVFLNTGKKLHTTHSWSFLGLEQNGIVPSNSIWKKARFGEDIIIGNLDTVWPESKSFRDEVLGPIPSKWKGICESGSDPGFHCNRKLIGARYFNKGYASVAGPLNSTFNTPRDKEGHGTHTLSTAGGNFVAGASVFGLGNGTAKGGSPKSRVAAYKVCYPPVGGNECFDADILAAFDAAINDGVDVLSLSLGGDPTPFFNDSVAIGSFHAVKKGIVVVCSAGNSGPADATVSNLAPWQITVGASTMDREFPSYVTLGNDMTLKGESLSRKALPKDKYFPIISAADAREANASAEDALLCKAGTLDPNKAKGKILVCLRGVNARVEKGEQAALAGAVGMVLANDRDSGNEILADPHVLPVSHVNYANGVAILAYINSTKSPIAHITPPISQIGTKPAPFMAAFSSKGPNRIAPEILKPDITAPGVSIIAAYTEAEGPTNEDFDTRRIQFNSISGTSMSCPHVSGIAGLLKTLHPTWSPAAIKSAIMTSSMTRDNNREPILNATHSKATPLNYGSGHVRPNRAMDPGLVYDLSVNDHLNFLCAMGYNATQILSFSETPYSCPSKPINLVNFNYPSIAIPDFNGSVTVTRRVKNVGSGPSSYTARVRKPSGFAISVEPKVLKFDKVGEEKSFSVTFKSKKSSARKDFVFGELIWSDNKHYVRSPIVVKW >Manes.11G143000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30818973:30819728:-1 gene:Manes.11G143000.v8.1 transcript:Manes.11G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYLFSFSLLLLFLHCTNTLSQSPASAPVAAPAQAPRHQPSRRPHSSPPPPGPVDVIQILLKAGHFTAFVRLIKATHVDTQLTSQLNSSTDGITIFAPTDTAFSNLRAGAVGSLNDREKVAFVQFHILPRFLSTSDFQTLSNPVMTLAGADSRYPMTISTTDSSVNISTGLTKTSLANTVYTDKQVAIYEIDKVLLPKYLFPPAAAPAPANPAESPEIPKDVSGAISSVLHYKGVVIIGVGFVAATILSL >Manes.18G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:873775:877417:-1 gene:Manes.18G004900.v8.1 transcript:Manes.18G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEASGHIVTVELKSGELYRGSMVECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKSTSLGVGRGRSVAMRAKAQAAGRAAPGRGAVPPVRR >Manes.06G051500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:18110917:18111261:-1 gene:Manes.06G051500.v8.1 transcript:Manes.06G051500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGFFSVLILLSFLFCLANVNEAAVPCSTVDAKAAACVGFATGKATTPSPACCSGLQQLAQTVKTVDDKKAICRCLKAASGSLGIKDQFLSKIPGACHINVGFPVSTSVNCET >Manes.01G188700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36513914:36517970:-1 gene:Manes.01G188700.v8.1 transcript:Manes.01G188700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVFAFFFVFRYVKEKKNYFFYNDEKEKGSLAASEGMVLIPEAIGYLLHQCSKIKALRHGLSLHAAALKTGMLSDVVVSNHVLNMYAKCGQISYARQLFDGMPERNLVSWSAMISGYDQVGEPMLALNLFSQMQLVPNEFVFGSVVSACASFSALVLGRQIHAQSLKFGCESISFVSNALISMYMKCDNQQPEEGIQVFKLMNQKGFLPDRFTFAGLLGICTTSDDFWRGSQLHCQMIKLALHSSAFIGNVILTMYSNFNLIGEAEKSFRLIKEKDLISWNTLITACCHCKDHEKALRVFKEMSSECCVRPDDFTFASVLAACAGLASIRHGKQIHAHLIRTRQYQDVGVGNALVNMYAKCGSIRNAYEIFSRMLHRNLVSWNTIIAGFGNHGHGAMALEHFEKMKAEGIQPDSVTFVGLLAACNHAGLVGEGQFYFNSMEETYGISPDMEHFCCLIDLLGRAGKLQEAQEHLEKLPFGHDPIILGSLLSACRLHGDVVIGEHLATQLLKLQPVSSSPYVLLSNLYASDDKWGGVAEAWKMLKDSGLKKEPGHSLIDVMGIFEKFTMGDFSHSRIQEIKEMLRTLNWAVGEVSLRHQTNPLNYVDQHWNLFCKCDANE >Manes.01G188700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36513914:36517971:-1 gene:Manes.01G188700.v8.1 transcript:Manes.01G188700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVFAFFFVFRYVKEKKNYFFYNDEKEKGSLAASEGMVLIPEAIGYLLHQCSKIKALRHGLSLHAAALKTGMLSDVVVSNHVLNMYAKCGQISYARQLFDGMPERNLVSWSAMISGYDQVGEPMLALNLFSQMQLVPNEFVFGSVVSACASFSALVLGRQIHAQSLKFGCESISFVSNALISMYMKCGQCSDALLVHAGASEPNAISYNALIAGFVDNQQPEEGIQVFKLMNQKGFLPDRFTFAGLLGICTTSDDFWRGSQLHCQMIKLALHSSAFIGNVILTMYSNFNLIGEAEKSFRLIKEKDLISWNTLITACCHCKDHEKALRVFKEMSSECCVRPDDFTFASVLAACAGLASIRHGKQIHAHLIRTRQYQDVGVGNALVNMYAKCGSIRNAYEIFSRMLHRNLVSWNTIIAGFGNHGHGAMALEHFEKMKAEGIQPDSVTFVGLLAACNHAGLVGEGQFYFNSMEETYGISPDMEHFCCLIDLLGRAGKLQEAQEHLEKLPFGHDPIILGSLLSACRLHGDVVIGEHLATQLLKLQPVSSSPYVLLSNLYASDDKWGGVAEAWKMLKDSGLKKEPGHSLIDVMGIFEKFTMGDFSHSRIQEIKEMLRTLNWAVGEVSLRHQTNPLSRCRRVILCGSALEFIL >Manes.01G188700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36513914:36517970:-1 gene:Manes.01G188700.v8.1 transcript:Manes.01G188700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVFAFFFVFRYVKEKKNYFFYNDEKEKGSLAASEGMVLIPEAIGYLLHQCSKIKALRHGLSLHAAALKTGMLSDVVVSNHVLNMYAKCGQISYARQLFDGMPERNLVSWSAMISGYDQVGEPMLALNLFSQMQLVPNEFVFGSVVSACASFSALVLGRQIHAQSLKFGCESISFVSNALISMYMKCGQCSDALLVHAGASEPNAISYNALIAGFVDNQQPEEGIQVFKLMNQKGFLPDRFTFAGLLGICTTSDDFWRGSQLHCQMIKLALHSSAFIGNVILTMYSNFNLIGEAEKSFRLIKEKDLISWNTLITACCHCKDHEKALRVFKEMSSECCVRPDDFTFASVLAACAGLASIRHGKQIHAHLIRTRQYQDVGVGNALVNMYAKCGSIRNAYEIFSRMLHRNLVSWNTIIAGFGNHGHGAMALEHFEKMKAEGIQPDSVTFVGLLAACNHAGLVGEGQFYFNSMEETYGISPDMEHFCCLIDLLGRAGKLQEAQEHLEKLPFGHDPIILGSLLSACRLHGDVVIGEHLATQLLKLQPVSSSPYVLLSNLYASDDKWGGVAEAWKMLKDSGLKKEPGHSLIDVMGIFEKFTMGDFSHSRIQEIKEMLRTLNWAVGEVSLRHQTNPLNYVDQHWNLFCKCDANE >Manes.01G188700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36513913:36518071:-1 gene:Manes.01G188700.v8.1 transcript:Manes.01G188700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVFAFFFVFRYVKEKKNYFFYNDEKEKGSLAASEGMVLIPEAIGYLLHQCSKIKALRHGLSLHAAALKTGMLSDVVVSNHVLNMYAKCGQISYARQLFDGMPERNLVSWSAMISGYDQVGEPMLALNLFSQMQLVPNEFVFGSVVSACASFSALVLGRQIHAQSLKFGCESISFVSNALISMYMKCGQCSDALLVHAGASEPNAISYNALIAGFVDNQQPEEGIQVFKLMNQKGFLPDRFTFAGLLGICTTSDDFWRGSQLHCQMIKLALHSSAFIGNVILTMYSNFNLIGEAEKSFRLIKEKDLISWNTLITACCHCKDHEKALRVFKEMSSECCVRPDDFTFASVLAACAGLASIRHGKQIHAHLIRTRQYQDVGVGNALVNMYAKCGSIRNAYEIFSRMLHRNLVSWNTIIAGFGNHGHGAMALEHFEKMKAEGIQPDSVTFVGLLAACNHAGLVGEGQFYFNSMEETYGISPDMEHFCCLIDLLGRAGKLQEAQEHLEKLPFGHDPIILGSLLSACRLHGDVVIGEHLATQLLKLQPVSSSPYVLLSNLYASDDKWGGVAEAWKMLKDSGLKKEPGHSLIDVMGIFEKFTMGDFSHSRIQEIKEMLRTLNWAVGEVSLRHQTNPLSRLCGSALEFIL >Manes.03G065400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7826492:7829934:1 gene:Manes.03G065400.v8.1 transcript:Manes.03G065400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRFLSEGMPQPAIRTFEYASRLNLISNSNAETSLLEILLDSLCKEGLVRVAKEYFDRNKQLDPCWVPSVRIYNILLNGWLRSRKLKHAERLWLEMKKENVTPSVVTYGTMIEGYCRMRYIERAIDLLDEMRRERIEPNAIVYNPIIDALSEAGRFKEALGMMEYLLQSESGPTISTYNSLVKGYCKAKDLVGASKVLKMMIRKGLIPTPTTYNYFFRHFSKFGKIEEGMNLYAKMIESGYTPDRLTYHLLLKMLCEQERLDLAVQISKEMRARGCDIDLATSTMLIHLLCRMHRFEEAVMEFEDMLRRGIVPQHLTFHRLNDELRKRGMVQMAQKLSNMMSSVPHSTNLPNTYNVEGDASWRARRTSILQKAEGMSEILKTCTNPRELVKHRSRHENPVSVANRLIEDIRKRANKT >Manes.03G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7826492:7829934:1 gene:Manes.03G065400.v8.1 transcript:Manes.03G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKALAVLYSAATAKLTTGRFFSFSSSSLEQSFIKWLPLPHQHLPSPISSLSAKPNYSQHDFSALCNLLRDPNLSPGPSLETALEQTEIQPELNLIRALFDHFDSSPKLVQTVFLWAEKKPGFQSSHTLFNSVINGLGKAKEFDTAWCLILDRIGGEKGPGLVSNDTFAILIRRYTRAGMPQPAIRTFEYASRLNLISNSNAETSLLEILLDSLCKEGLVRVAKEYFDRNKQLDPCWVPSVRIYNILLNGWLRSRKLKHAERLWLEMKKENVTPSVVTYGTMIEGYCRMRYIERAIDLLDEMRRERIEPNAIVYNPIIDALSEAGRFKEALGMMEYLLQSESGPTISTYNSLVKGYCKAKDLVGASKVLKMMIRKGLIPTPTTYNYFFRHFSKFGKIEEGMNLYAKMIESGYTPDRLTYHLLLKMLCEQERLDLAVQISKEMRARGCDIDLATSTMLIHLLCRMHRFEEAVMEFEDMLRRGIVPQHLTFHRLNDELRKRGMVQMAQKLSNMMSSVPHSTNLPNTYNVEGDASWRARRTSILQKAEGMSEILKTCTNPRELVKHRSRHENPVSVANRLIEDIRKRANKT >Manes.01G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28695440:28697483:1 gene:Manes.01G084500.v8.1 transcript:Manes.01G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELIGVLTPTHVQHLHTHLPSPLLSSFYIISHALSSTTIPINSCFLSQSNATPPHISLLSFSATWLQSQTTSAYVYSPALVYNCWQASKMMVDREDLGLSLSLSFPQNCHSLQLNLMPSLLPSSANSLKPSCNNTFPSSDPNSDSCRADARSFLRGIDVNSLPSTVDCEEEAGVSSPNSTISCASGKRSEREGNGDELEMDRDCSRGISDEEDGDNSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYLQMNPPTTLTMCPSCERVAVPPSSASSTVDRPHPLPHPHMGATYHHRPIPMNPWAPAAVPFYGLRPRS >Manes.15G130800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10440953:10444595:-1 gene:Manes.15G130800.v8.1 transcript:Manes.15G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYSNLHPRLDPATAGSSSSGYSNMPLANSNLNSDASCKAKMWADDAQADGGMDELLAVLGYKVRSSDMAEVAQKLEQLEEVMGQVHEDGFSHIASETVHYNPSDLSTWLESMLSELNPNPIFDPVVVPAQPTLDDSFFAPPESSTITSIDFAEHTHQQGRQKQQNTNKQSRGVVFEESSSSDYDLKAIPGKSVFAQSHQIDSSSSSSRDPKRLKPATSDHLYPPAAASPSSSTIGAAGSFAVSTESTRPVVLVDSQENGIRLVHLLMACAEAVQQNNIPLAEALVKQIGYLAVSQAGAMRKVATYFAEALARRIYRLYPQSPIDHSLSDILQMHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGMQWPALLQALALRPGGPPAFRLTGIGPPSHDNSDHLQEVGWKLAQLAETIHVEFEYRGFVANSLADLDASMLELRPSEFESVAVNSVFELHKLLARPGAIEKVLTVVKQMKPEIITIVEQEANHNCPVFLDRFTESLHYYSTLFDSLEGSVSSQDKVMSEVYLGKQICNVVACEGVDRIERHETLTQWRTRLGSSGFVPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWRLANKQAAVAH >Manes.01G174100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35552580:35555939:-1 gene:Manes.01G174100.v8.1 transcript:Manes.01G174100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVLGRRWFSQAIHRTLSISCPPLYQIPCNFDFLCTYTSNCSPEKKILEPGEEQEAPKSLSLRIEKLARGESVGLAFQSWMREGFPIHRGDIFHAINRLRKLKLNKRALEVMEWVVRERPYRPKELDYSYLLEFTTKLHGICHGEKLFTRIPPEFQNELLYNNLVIACLEKGVIRLSLDYMKKMRELGHPISHLVFNRLIILHSSHGRRKMIPKFLTLMKAYKTAPHVSTYNILMKIEANEHNIEGLVKVFDEMKMSKVEPNEISFCILATAHAVARLYTVAEAYVEDVEKSRTGDNWSTLDVLIILYGYLGKGKELERTWTMVQELSHVRSKSYMLAIEAFGRIGQLSRAEELWLEMKSIKGLKSTEPFNSMLSVYCKYGLIKKATAVFREMEINGCKPNAITFRHLALGCLKAELVEEALKTLEMGMHLKTSNKVKNSTPWLETTLSIIEIFAEKGDIANAEKFFEEIAKAKYTRYTFVYNTLIKAYVKAKVYFPSLLRRMILGGAKPDAETYSLIKLIEQFQT >Manes.13G135800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34353254:34354919:1 gene:Manes.13G135800.v8.1 transcript:Manes.13G135800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETVFKTLTYYLSEHPSIVTFRWSHTQSWGSTWSFLFSSIVFYLVLCSFIHLFLAILLRRGRAVPLGPIPALHSLSMALISATIFAGILLSTASEIQETRWFWRRSKTPFQWLLCFPLGTRPSGRVFFWSYMYYLSRFLHMFRTFFSILQLRKLAFFQLFNSSISIFMSFLWLEFSQSFQVLAILLATLAYSVVYGYRFWTAIGLPSACFPFVVNCQMVLLGCNLACHVGVLLLHFMKGGCNGIGAWLFNSVLNGAILLLFLNFYVKVHLGSRKKKMDNENHHHHHPVITEEEMKKFN >Manes.10G121800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29071535:29072935:1 gene:Manes.10G121800.v8.1 transcript:Manes.10G121800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAQLVFVPTPGMGHLISAVELAKLLLSRDYRLSITVLILDIPLLNSKLHNYIESLQDSSFALSNRLRFIELPKDDPELSNFHSFFERQKPSVKEVVLKLTQAESNADSPRLVGFVLDMFCTPMMDLADEFGIPSYIFFASGAAFLELMLYVQKIHDDENFNPIEFKDSHTELIVPSLVNPFPTRILPSPILNKERFGQLLVLARKFRQAKGIIVNTFLELESRAIESFKVPPLYHVGPILEVKSDGRNTHPEIMQWLDDQPAGSVVFLCFGSMGSFSEDQLKEIAYALENTGHRFLWSIRRPPPPDKIASPTDYEDPREVMPEGFLERTVAVGKVIGWAPQVAVLAHPAIGGFVSHCGWNSVLESLWFGVPIATWPMYAEQQFNAFEMVVELGLGVEIDMGYRKESGKIVNSDKIERAIRNLMENSDEKRKKVKEMREKSKMALIDGGSSFISLGDFIKDAMEG >Manes.14G007108.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1806668:1811300:1 gene:Manes.14G007108.v8.1 transcript:Manes.14G007108.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIYTWSRRHLSMYDSIEEFLQSQNNFAPVRYSYSDIRKMTNGFRDKLGEGGYGSVYKGKLRSGRLAAIKMLGKSKANGQDFINEVASIGRVHHVNVVQLIGFCAERSKRALVYDFMPNGSLDKYVFSREGHAHLSWKQMHEISLGVAHGIDYLHRGCEMQILHFDIKPHNILLDENFVPKVSDFGLAKLHKTSDNTVSLTAARGTIGYIAPELFYKNIGGVSYKADVYSFGMLLMEMVGKKKNLNAEAEHSSQTYFPNWVYNEVVDGKVAVRNGTKDEEKIAKKMITVALWCIQMKPSDRPSMNRVVEMLEGDLESLEIPPKPTIYPEETPIMSGENTDETWSSSMLLDSSESISLLINSD >Manes.14G007108.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1806668:1811300:1 gene:Manes.14G007108.v8.1 transcript:Manes.14G007108.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIYTWSRRHLSMYDSIEEFLQSQNNFAPVRYSYSDIRKMTNGFRDKLGEGGYGSVYKGKLRSGRLAAIKMLGKSKANGQDFINEVASIGRVHHVNVVQLIGFCAERSKRALVYDFMPNGSLDKYVFSREGHAHLSWKQMHEISLGVAHGIDYLHRGCEMQILHFDIKPHNILLDENFVPKVSDFGLAKLHKTSDNTVSLTAARGTIGYIAPELFYKNIGGVSYKADVYSFGMLLMEMVGKKKNLNAEAEHSSQTYFPNWVYNEVVDGKVAVRNGTKDEEKIAKKMITVALWCIQMKPSDRPSMNRVVEMLEGDLESLEIPPKPTIYPEETPIMSGENTDETWSSSMLLDSSESISLLINSD >Manes.14G007108.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1806668:1811300:1 gene:Manes.14G007108.v8.1 transcript:Manes.14G007108.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISRLPLSGLSAFVVLIIYLQACNAQVSHLCAPSSCGNLHNISYPFRLKDDPQNCGYYEYVLSCENNQTVLYLYAGKYYVQAINYGNLTLRVVDAAVQKDNCSSLPRYSLTAANFSYIDPYDLDTPWWNFNRRPPKLILFMKCANPVNSPLYVDTSPCINAKDSYVMDNFTSITDVENSCRVELMVLSLLPARVGKNISFMDIHNDLAYGFEVSWSEIFCQICSGREFCFRDRGIDRPRGCSEDFFKDLRDWFKSIRYLILVIIGLLIVAKSICCTPCVMAFLIYTWSRRHLSMYDSIEEFLQSQNNFAPVRYSYSDIRKMTNGFRDKLGEGGYGSVYKGKLRSGRLAAIKMLGKSKANGQDFINEVASIGRVHHVNVVQLIGFCAERSKRALVYDFMPNGSLDKYVFSREGHAHLSWKQMHEISLGVAHGIDYLHRGCEMQILHFDIKPHNILLDENFVPKVSDFGLAKLHKTSDNTVSLTAARGTIGYIAPELFYKNIGGVSYKADVYSFGMLLMEMVGKKKNLNAEAEHSSQTYFPNWVYNEVVDGKVAVRNGTKDEEKIAKKMITVALWCIQMKPSDRPSMNRVVEMLEGDLESLEIPPKPTIYPEETPIMSGENTDETWSSSMLLDSSESISLLINSD >Manes.02G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9294932:9296289:-1 gene:Manes.02G122200.v8.1 transcript:Manes.02G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEMEVLSPASYHSSTNWLIEESKSAKWTPAENKIFENALAVYDKDTPDRWHRVAAMLPGKTVGDVIKQYRELEVDVSNIEAGLIPIPGYRTSPFTLDWSSSNSYDGFKQSYAGGKRPSFGRTADQERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDMRTHSPDCKRPPSPDQSTVLSQQSNAVAMPRTHFQWNEHNTGATMAFNATHGNVFMPSPYGINPYGLKMQAHNLHRGAVRESCFGPQIAFQMQSAQHYPYG >Manes.03G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24826784:24828910:1 gene:Manes.03G121600.v8.1 transcript:Manes.03G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGTSGSKAADDDS >Manes.08G045200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4503453:4505164:-1 gene:Manes.08G045200.v8.1 transcript:Manes.08G045200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYRGKGKKQTVIASHEDPANGEEKFPAYKRRGRPIKPLKDDNEVEEEVSKINEDEKDTKDPISSKDLKSQAAIENGRKRQRSEHTKENGDSVKEENGVGTELDTGISVSIGFRQNGSRRKNKPRRAAEAVVECK >Manes.08G045200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4503453:4505164:-1 gene:Manes.08G045200.v8.1 transcript:Manes.08G045200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYRGKGKKQTVIASHEDPANGEEKFPAYKRRGRPIKPLKDDNEVEEEVSKINEDEKDTKDPISSKDLKSQAAIENGRKRQRSEHTKENGDSVKEENGVGTELDTGISVSIGFRQNGSRRKNKPRRAAEAVVECK >Manes.08G045200.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:4503228:4505167:-1 gene:Manes.08G045200.v8.1 transcript:Manes.08G045200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYRGKGKKQTVIASHEDPANGEEKFPAYKRRGRPIKPLKDDNEVEEEVSKINEDEKDTKDPISSKDLKSQAAIENGRKRQRSEHTKENGDSVKEENGVGTELDTGISVSIGFRQNGSRRKNKPRRAAEAVVECK >Manes.17G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31829199:31865746:-1 gene:Manes.17G112100.v8.1 transcript:Manes.17G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSAFLVVFLLFMAEETASHSASRPHIADVNILLPPKMTHPVEYRLQGSDGCFKWSWDHHDILSVLPEYNLTSHCSTSARLRSIAPFSGRKETAVYAADVHSGIVIRCKVFIDNISRIQIFHNSIKLDLDGLATLRVRAFDIEDNVFSSLVGLQFMWLLIPKTVGLPHHLVHVPLKESPLSDCGGLCGDLNIQIKLEDSGVFSDLYVVKGVGIGHENVSVHLLEPQFKRLTDKIVLTVAEAMSLEPLSPVFVLIGASFHYSLKVIRGNILQVVTLPSPHHRWSVLNSSVAEVDSMIGFAHALNLGVTTVFVEDTRVAGHIQMSSLNVVLPDSLRLYIMPLSISGDHVEGITSVSSVEPWYVISGRQYLVQMKVFSRGPDVHEIYITESDDLKLHDKQSDSWTTFLLPEDIEAKYGSHDSRILKATSQGQGELAASLSYFSGHQETKEVIEVVQELIVCDQVKFSLDRTIGTSQNIFLPWVPSVYQEMELKALGGCAQVSSDYKWFSSDVTVVSISASGIIQAKKPGKATIRVASICDPFNYDEVIVEVSTPSSMIMLQNFPVETVVGSHLHAAVTMKASNGAFFNRCNAFHSFIKWIVGSESFTVVNATKEPPVLEKRGDVQLYVSVFGPPCSWTSVYASDSGQTMLHATLSKEYDQYDHPFHEPVILKASSRIAAYPPLVVRQVGDGNQFGGYWFDLAHVEASNQLENLERLYLVPGTSLDVILLGGPESWDKGVDLIETVEILDDKRAYSKDGVHVHPLSGKYQSMYRVSCQTIGIFQLVFKRGNAVGDNHPLPVIAEVTLSLTCRLPSSIALIVDEPVNRHAAIRNAALAEHSTGKIRVTSITVANGRTVRVAAVSIDSTGEAFANSSSLYLKWELSSCEGLAYWDADEAKWSKSSWERFLALQNESGECIVRATVIGFCDAIGSHCSAQLPTSEIVLTDAIRLQLVSTLRVNPEYNLLFFNPNAKVNLSITGGSCFLEAAVNDSRVVEVIQSPPGMQCFHLTLSPKGLGTALVTVYDIGLAPTTAASAVVQVAELDWIKIVSGEEISLMEGQSSSIDLMAGIRDGSTFDSNQYAYMEFHVWIEDDIVELVDDDGIPSSGGGYVNRPHFNIIAKDLGITTLYVSAKQHSGNEILSQPVKIEVYAPLRIHPHDIFLVPGSSYVLTVKGGPTIGVNVEYASLDDRIATIDRSSGQLSAISPGNTTILSTVYGNGDVVICQAYCNIKVGVPSSPILNVQSEQLNVGRDMPIYPSFPEGDLFSFYELCKKYKWTVDDEKVLGFYKAEGLHGEKNWFQLDDEKELGFIKILYGRSAGRTSAMVTFMCDFVSTSFSQTRLYNASISLLVVPDLPLALGVPITWILPPHYITSSILPSCLESHGLWDCQSRKGTITYSLLRCCEKNEVWQKDAISIDGDRIKTMESTNLACIQAKDRTTGRIEIASCVRVAEVAQIRITSKEFPFHVIHVAIGTELDLPISYFDALGNPFFEAHNVVPYHVETNYHDIVSVDYTKNASGNIHLKAMRSGRALLRVSFSSNPEKSDYMLISVGAHVFPQNPVLYQGSSLDFNIEGVDDHVSGRWLSVNESVISVDKMSGRAKAVGIGSTQVYFESPSMKLQTEVTVLSGNIVTVDAPKEMLTNVPYPSKGYSFPVKFSDIHHEFDAVKKGKEMSYDCKVDPSFVGFAKPWVDLDTGNSYCLFFPYSPEHLIRLRSIPGLKDMRPYVSIAVNASLREATHVSGSASALFIGGFSILEMDKSLMQLNLTPDSNKTIITILGNTDVDIQWHNRDLINISPIHKEDFVIGGRAEYEIKVLTAKQLKDKIIISLQANSQRVEIDVNYEPDAGAASKTIFNSTIFLMIAGSLVTALGTIFILKNFIRMSNRTQPYPSPATPSFAAPRTPERSSPILNEQSPRTPQPFVDYVRRTIDETPYYKQEARRRFNPQRTC >Manes.17G112100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31830999:31865746:-1 gene:Manes.17G112100.v8.1 transcript:Manes.17G112100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSAFLVVFLLFMAEETASHSASRPHIADVNILLPPKMTHPVEYRLQGSDGCFKWSWDHHDILSVLPEYNLTSHCSTSARLRSIAPFSGRKETAVYAADVHSGIVIRCKVFIDNISRIQIFHNSIKLDLDGLATLRVRAFDIEDNVFSSLVGLQFMWLLIPKTVGLPHHLVHVPLKESPLSDCGGLCGDLNIQIKLEDSGVFSDLYVVKGVGIGHENVSVHLLEPQFKRLTDKIVLTVAEAMSLEPLSPVFVLIGASFHYSLKVIRGNILQVVTLPSPHHRWSVLNSSVAEVDSMIGFAHALNLGVTTVFVEDTRVAGHIQMSSLNVVLPDSLRLYIMPLSISGDHVEGITSVSSVEPWYVISGRQYLVQMKVFSRGPDVHEIYITESDDLKLHDKQSDSWTTFLLPEDIEAKYGSHDSRILKATSQGQGELAASLSYFSGHQETKEVIEVVQELIVCDQVKFSLDRTIGTSQNIFLPWVPSVYQEMELKALGGCAQVSSDYKWFSSDVTVVSISASGIIQAKKPGKATIRVASICDPFNYDEVIVEVSTPSSMIMLQNFPVETVVGSHLHAAVTMKASNGAFFNRCNAFHSFIKWIVGSESFTVVNATKEPPVLEKRGDVQLYVSVFGPPCSWTSVYASDSGQTMLHATLSKEYDQYDHPFHEPVILKASSRIAAYPPLVVRQVGDGNQFGGYWFDLAHVEASNQLENLERLYLVPGTSLDVILLGGPESWDKGVDLIETVEILDDKRAYSKDGVHVHPLSGKYQSMYRVSCQTIGIFQLVFKRGNAVGDNHPLPVIAEVTLSLTCRLPSSIALIVDEPVNRHAAIRNAALAEHSTGKIRVTSITVANGRTVRVAAVSIDSTGEAFANSSSLYLKWELSSCEGLAYWDADEAKWSKSSWERFLALQNESGECIVRATVIGFCDAIGSHCSAQLPTSEIVLTDAIRLQLVSTLRVNPEYNLLFFNPNAKVNLSITGGSCFLEAAVNDSRVVEVIQSPPGMQCFHLTLSPKGLGTALVTVYDIGLAPTTAASAVVQVAELDWIKIVSGEEISLMEGQSSSIDLMAGIRDGSTFDSNQYAYMEFHVWIEDDIVELVDDDGIPSSGGGYVNRPHFNIIAKDLGITTLYVSAKQHSGNEILSQPVKIEVYAPLRIHPHDIFLVPGSSYVLTVKGGPTIGVNVEYASLDDRIATIDRSSGQLSAISPGNTTILSTVYGNGDVVICQAYCNIKVGVPSSPILNVQSEQLNVGRDMPIYPSFPEGDLFSFYELCKKYKWTVDDEKVLGFYKAEGLHGEKNWFQLDDEKELGFIKILYGRSAGRTSAMVTFMCDFVSTSFSQTRLYNASISLLVVPDLPLALGVPITWILPPHYITSSILPSCLESHGLWDCQSRKGTITYSLLRCCEKNEVWQKDAISIDGDRIKTMESTNLACIQAKDRTTGRIEIASCVRVAEVAQIRITSKEFPFHVIHVAIGTELDLPISYFDALGNPFFEAHNVVPYHVETNYHDIVSVDYTKNASGNIHLKAMRSGRALLRVSFSSNPEKSDYMLISVGAHVFPQNPVLYQGSSLDFNIEGVDDHVSGRWLSVNESVISVDKMSGRAKAVGIGSTQVYFESPSMKLQTEVTVLSGNIVTVDAPKEMLTNVPYPSKGYSFPVKFSDIHHEFDAVKKGKEMSYDCKVDPSFVGFAKPWVDLDTGNSYCLFFPYSPEHLIRLRSIPGLKDMRPYVSIAVNASLREATHVSGSASALFIGGFSILEMDKSLMQLNLTPDSNKTIITILGNTGLEGSSHKSQMEGRND >Manes.17G112100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31833532:31865746:-1 gene:Manes.17G112100.v8.1 transcript:Manes.17G112100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSAFLVVFLLFMAEETASHSASRPHIADVNILLPPKMTHPVEYRLQGSDGCFKWSWDHHDILSVLPEYNLTSHCSTSARLRSIAPFSGRKETAVYAADVHSGIVIRCKVFIDNISRIQIFHNSIKLDLDGLATLRVRAFDIEDNVFSSLVGLQFMWLLIPKTVGLPHHLVHVPLKESPLSDCGGLCGDLNIQIKLEDSGVFSDLYVVKGVGIGHENVSVHLLEPQFKRLTDKIVLTVAEAMSLEPLSPVFVLIGASFHYSLKVIRGNILQVVTLPSPHHRWSVLNSSVAEVDSMIGFAHALNLGVTTVFVEDTRVAGHIQMSSLNVVLPDSLRLYIMPLSISGDHVEGITSVSSVEPWYVISGRQYLVQMKVFSRGPDVHEIYITESDDLKLHDKQSDSWTTFLLPEDIEAKYGSHDSRILKATSQGQGELAASLSYFSGHQETKEVIEVVQELIVCDQVKFSLDRTIGTSQNIFLPWVPSVYQEMELKALGGCAQVSSDYKWFSSDVTVVSISASGIIQAKKPGKATIRVASICDPFNYDEVIVEVSTPSSMIMLQNFPVETVVGSHLHAAVTMKASNGAFFNRCNAFHSFIKWIVGSESFTVVNATKEPPVLEKRGDVQLYVSVFGPPCSWTSVYASDSGQTMLHATLSKEYDQYDHPFHEPVILKASSRIAAYPPLVVRQVGDGNQFGGYWFDLAHVEASNQLENLERLYLVPGTSLDVILLGGPESWDKGVDLIETVEILDDKRAYSKDGVHVHPLSGKYQSMYRVSCQTIGIFQLVFKRGNAVGDNHPLPVIAEVTLSLTCRLPSSIALIVDEPVNRHAAIRNAALAEHSTGKIRVTSITVANGRTVRVAAVSIDSTGEAFANSSSLYLKWELSSCEGLAYWDADEAKWSKSSWERFLALQNESGECIVRATVIGFCDAIGSHCSAQLPTSEIVLTDAIRLQLVSTLRVNPEYNLLFFNPNAKVNLSITGGSCFLEAAVNDSRVVEVIQSPPGMQCFHLTLSPKGLGTALVTVYDIGLAPTTAASAVVQVAELDWIKIVSGEEISLMEGQSSSIDLMAGIRDGSTFDSNQYAYMEFHVWIEDDIVELVDDDGIPSSGGGYVNRPHFNIIAKDLGITTLYVSAKQHSGNEILSQPVKIEVYAPLRIHPHDIFLVPGSSYVLTVKGGPTIGVNVEYASLDDRIATIDRSSGQLSAISPGNTTILSTVYGNGDVVICQAYCNIKVGVPSSPILNVQSEQLNVGRDMPIYPSFPEGDLFSFYELCKKYKWTVDDEKVLGFYKAEGLHGEKNWFQLDDEKELGFIKILYGRSAGRTSAMVTFMCDFVSTSFSQTRLYNASISLLVVPDLPLALGVPITWILPPHYITSSILPSCLESHGLWDCQSRKGTITYSLLRCCEKNEVWQKDAISIDGDRIKTMESTNLACIQAKDRTTGRIEIASCVRVAEVAQIRITSKEFPFHVIHVAIGTELDLPISYFDALGNPFFEAHNVVPYHVETNYHDIVSVDYTKNASGNIHLKAMRSGRALLRVSFSSNPEKSDYMLISVGAHVFPQNPVLYQGSSLDFNIEGVDDHVSGRWLSVNESVISVDKMSGRAKAVGIGSTQVYFESPSMKLQTEVTVLSGNIVTVDAPKEMLTNVPYPSKGYSFPVKFSDIHHEFDAVKKGKEMSYDCKVDPSFVGFAKPWVDLDTGNSYCLFFPYSPEHLIRLRSIPGLKDMRPYVSIAVNASLREATHVSGSASALFIGGFSILEMDKSLMQLNLTPDSNKTIITILGNTGSSHKEDD >Manes.15G155750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12872834:12873387:-1 gene:Manes.15G155750.v8.1 transcript:Manes.15G155750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSAGYSTNEDVLLCGVYLDVSQDPIIGKQQSSQRFWSRVAEAYEIAKNECWESRNPRSLQCRLQVIEKAIRKLNGCYRQVENLHPSGASEQDLLNQAKILLMQDPNYKKGFKFDHVWSMMKDA >Manes.09G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7840808:7845605:1 gene:Manes.09G044200.v8.1 transcript:Manes.09G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTLYFKEHEEIVHNPIGQLLSVPSMPWWNAFGSQSSYGESCALFKPSSMEQPPTGEDQLSSMKQARRAIEQGIDKGNITQFTIFPGDCKSLGDGQKTPQTAISLQTSLPEYHAHIDQGFGQPMIYAKYPGVDGSYGVFSTYGPQVLGRIMLPMNMTTDDGPIFVNPKQYHGIIRRRKTRAKAVLLENKSTRNRKSYMHLSRHLHAMRRPRGTGGRFLNTKTSDNGIGKTEATKAGDAKISKSTGSQSSEVVQLDSGTLNSSTEANGGGSSLSGSEVTSMYTRRDLDYFSINFLASQVPSFSLMMDSGHNNVMPTKWVAAADDCCNLKV >Manes.15G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3473936:3483475:1 gene:Manes.15G045400.v8.1 transcript:Manes.15G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSISGEVPEEPVVSEKSGLLYEKRLIERHISDHGKCPITGEPLTMDDIVLVKTGKIVKPRTVQTASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLRKERDEARSLLAQAERQMPVLATAPATANASALSNGKRGAEDDDLGPPGKRIRSGISAAIITELTDCNAALSQHRKKRQIPSTLAPVDAVERYTQLSSHPLHKTSKPGIVSIDIHYSKDIIATGGVDSTAVIFNRTSGQILSTISGHSKKVTSVKFVAEGGFFLTGSADKTVRIWQGSEDGNYDCRHILKDHTAEVHAVTVHATNNYFVTASLDNTWCFYDLASGLCLSQVSDTSKSDNSKPEGYTSAAFHPDGLILGTGTSEAVVKIWDVKSQANVARFDGHVGAVTAISFSENGYFLATAAHDSVKLWDLRKLKNFRTLNLYESDTPTNSVEFDHSGCYLGVAGSDIRVFQVASVKAEWNCIKTLPDLSGTGRATCVKFGPDAKYVAVGSMDRNLRIFGLPGNEVQPE >Manes.02G205384.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21126215:21129069:1 gene:Manes.02G205384.v8.1 transcript:Manes.02G205384.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDSKISTATSFRRPSNRLLYDRRYGWVFDEWKDPSEEALAGGRGMFCILPLTKAFLNTAKSSINLAADFALKVLEKPDLLSPQALRANLDKQLKEVISSIKTPEINIFALNVKKLSETSNFSSHLQIGTTESDMTRSM >Manes.01G260200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41633375:41636439:-1 gene:Manes.01G260200.v8.1 transcript:Manes.01G260200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEHGHGAEGAHGDFRAKVWSMSGGPYCRPKHWRRNTAIAMFGVVLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGTKDYNEVK >Manes.02G086700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6850423:6861225:1 gene:Manes.02G086700.v8.1 transcript:Manes.02G086700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKGYYRRGAAFLAMGKFKEALKDFQQVKKLCPNDPDAAKKLKECEKAVMKLKFEEAIATPESERRSVADAIDFRSIDVEQQYSGARIEGDNVTLDFVKNMLDDFKNQKCLHKRYAFQIVLQTRDMLRALPSLVDINIPDGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLSRGNHESKSMNKIYGFEGEVRSKLNETFVELFAEVFCCLPLAHVINDKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTKKFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPELKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Manes.02G086700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6850424:6861225:1 gene:Manes.02G086700.v8.1 transcript:Manes.02G086700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMESQNSTVYRGEEIKVLANEAFKARKYAQAIDLYTQAIELNSQNAVYWANRAFAHTKLEEYGSAIQDASKAIEIDPKYSKGYYRRGAAFLAMGKFKEALKDFQQVKKLCPNDPDAAKKLKECEKAVMKLKFEEAIATPESERRSVADAIDFRSIDVEQQYSGARIEGDNVTLDFVKNMLDDFKNQKCLHKRYAFQIVLQTRDMLRALPSLVDINIPDGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLSRGNHESKSMNKIYGFEGEVRSKLNETFVELFAEVFCCLPLAHVINDKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTKKFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPELKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Manes.02G086700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6850423:6861225:1 gene:Manes.02G086700.v8.1 transcript:Manes.02G086700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKGYYRRGAAFLAMGKFKEALKDFQQVKKLCPNDPDAAKKLKECEKAVMKLKFEEAIATPESERRSVADAIDFRSIDVEQQYSGARIEGDNVTLDFVKNMLDDFKNQKCLHKRYAFQIVLQTRDMLRALPSLVDINIPDGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLSRGNHESKSMNKIYGFEGEVRSKLNETFVELFAEVFCCLPLAHVINDKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTKKFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPELKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Manes.02G086700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6850423:6861225:1 gene:Manes.02G086700.v8.1 transcript:Manes.02G086700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMESQNSTVYRGEEIKVLANEAFKARKYAQAIDLYTQAIELNSQNAVYWANRAFAHTKLEEYGSAIQDASKAIEIDPKYSKGYYRRGAAFLAMGKFKEALKDFQQVKKLCPNDPDAAKKLKECEKAVMKLKFEEAIATPESERRSVADAIDFRSIDVEQQYSGARIEGDNVTLDFVKNMLDDFKNQKCLHKRYAFQIVLQTRDMLRALPSLVDINIPDGKRFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCMCPSAMYLSRGNHESKSMNKIYGFEGEVRSKLNETFVELFAEVFCCLPLAHVINDKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTKKFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPELKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Manes.01G154800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34147593:34151375:-1 gene:Manes.01G154800.v8.1 transcript:Manes.01G154800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKAMLSGEMMSSMMLNRAGSIVFPLHGNVYPAGYYNVTLNIGQPSKPYFLDIDTGSDLTWLQCDAPCRRCTEAPHPLYKPSNNLVTCKDPLCASLQPPGDHQCQDTEQCDYVVEYADGGSSLGVLVKDVFLLNFTNGKRLNPLLAFGCGYDQLPGRSHHPLDGILGLGRGISSIPSQLSSQGLVQNVIGHCLSGRGGGFLFFGEDTYDSSRITWTPMSSDLCKYYSPGFAELLFDGKSTGIRNLRTVFDSGSSYTYLNSQAYQGLLFSLKKELSGMPLVETADQTLPLCWKGKKPFKSIQDVKKFFKTFTLSFRSNGKTKTKFDFTPEAYLIISSKGNACLGILNGSEVGLRDLNVIGDISMQDRLVIYNNEKQVIGWASANCDQLPKSKSNFIW >Manes.01G154800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34147651:34150999:-1 gene:Manes.01G154800.v8.1 transcript:Manes.01G154800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSMIALLVLLGLILGSSAASCDDRQKMWTKAMLSGEMMSSMMLNRAGSIVFPLHGNVYPAGYYNVTLNIGQPSKPYFLDIDTGSDLTWLQCDAPCRRCTEAPHPLYKPSNNLVTCKDPLCASLQPPGDHQCQDTEQCDYVVEYADGGSSLGVLVKDVFLLNFTNGKRLNPLLAFGCGYDQLPGRSHHPLDGILGLGRGISSIPSQLSSQGLVQNVIGHCLSGRGGGFLFFGEDTYDSSRITWTPMSSDLCKYYSPGFAELLFDGKSTGIRNLRTVFDSGSSYTYLNSQAYQGLLFSLKKELSGMPLVETADQTLPLCWKGKKPFKSIQDVKKFFKTFTLSFRSNGKTKTKFDFTPEAYLIISSKGNACLGILNGSEVGLRDLNVIGDISMQDRLVIYNNEKQVIGWASANCDQLPKSKSNFIW >Manes.01G154800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34147593:34151375:-1 gene:Manes.01G154800.v8.1 transcript:Manes.01G154800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKAMLSGEMMSSMMLNRAGSIVFPLHGNVYPAGYYNVTLNIGQPSKPYFLDIDTGSDLTWLQCDAPCRRCTEAPHPLYKPSNNLVTCKDPLCASLQPPGDHQCQDTEQCDYVVEYADGGSSLGVLVKDVFLLNFTNGKRLNPLLAFGCGYDQLPGRSHHPLDGILGLGRGISSIPSQLSSQGLVQNVIGHCLSGRGGGFLFFGEDTYDSSRITWTPMSSDLCKYYSPGFAELLFDGKSTGIRNLRTVFDSGSSYTYLNSQAYQGLLFSLKKELSGMPLVETADQTLPLCWKGKKPFKSIQDVKKFFKTFTLSFRSNGKTKTKFDFTPEAYLIISSKGNACLGILNGSEVGLRDLNVIGDISMQDRLVIYNNEKQVIGWASANCDQLPKSKSNFIW >Manes.01G154800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34147651:34151299:-1 gene:Manes.01G154800.v8.1 transcript:Manes.01G154800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKAMLSGEMMSSMMLNRAGSIVFPLHGNVYPAGYYNVTLNIGQPSKPYFLDIDTGSDLTWLQCDAPCRRCTEAPHPLYKPSNNLVTCKDPLCASLQPPGDHQCQDTEQCDYVVEYADGGSSLGVLVKDVFLLNFTNGKRLNPLLAFGCGYDQLPGRSHHPLDGILGLGRGISSIPSQLSSQGLVQNVIGHCLSGRGGGFLFFGEDTYDSSRITWTPMSSDLCKYYSPGFAELLFDGKSTGIRNLRTVFDSGSSYTYLNSQAYQGLLFSLKKELSGMPLVETADQTLPLCWKGKKPFKSIQDVKKFFKTFTLSFRSNGKTKTKFDFTPEAYLIISSKGNACLGILNGSEVGLRDLNVIGDISMQDRLVIYNNEKQVIGWASANCDQLPKSKSNFIW >Manes.09G046000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8082687:8084478:1 gene:Manes.09G046000.v8.1 transcript:Manes.09G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDVPPFFLCPISLQIMKDPVTVPTGITYDRESIEKWLFSGKNETCPVTKQVISDCDLTPNLTLMRLIQSWCTLNASHGIERIPTPKPPISKAQVAKLIKDATTSSPQKIACVRKLRSIANMSDTNRRTMEAAGAVEFLASIVNDFSSSSFEKSTSDGDGFEVTTPSDEALSFLHSLQLSESGLRILTGKNGEMIQSLTNVLQNGNYESRAYAVLLLKSIFEVADPMQMINLKPQLFTEIVQVLRDQISHQASKAALKLLVSLCPWGRNRIRAVEAHAVSVLIDLLLDSKEKRACEMALTVLDLLCKCAEGRAELLSHGAGVAVVSKKILRVSQAASEKAVRILLSVSKFSATSSVVQEMLQIGVVAKLCLVLQMDCGSKTKEKAREVLKLHARAWKNSPCIPANLLSFYPD >Manes.18G008800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1134941:1145780:-1 gene:Manes.18G008800.v8.1 transcript:Manes.18G008800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAESCSSRAVDFLPNQSRNHRQKIEVYNEVLNRLKDSNDEEAKLPGFEDRLWAHFCRLPTRYALDVNAERAQDVLMHKRLLQQAHDPATGPAIEVRLVQVRTICDENCGDSVHSHSPGEVELQYCDHMYKQSIPPPPAFGSLPELELVSEAREKGTNLITSPLYSRLMHEITISTNDKPKLLSQLTSLLSAIGLNITEAHAFSTIDGYSLDVFVVDNWEHEETEKLKLVLLKEIQKFELKSNAIYPVAEQEKKVTINRISNHANISADEMDVWEIDASLLKYEFKIASGSYGDLYKGTFCSQDVAIKVLRTEHLNDKLQREFAQEVFIMRRVRHKNVVQFIGACTSLPSLCIVTEFMCGGSMFDLLHKQKQSFNLQALLRVAIDVSKGMNYLHHNNIIHRDLKTANLLMDENRVVKVADFGIARIQDQSGVMTAETGTYRWMAPEVIEHKPYDRKVDVFSFSIVLWELLTGKLPYEHLTPLQAAVGVVQQGLRPSIPKDTHPKLAELLERCWQKDPSLRPEFSEILELLQQLVRKVADERVDGKEDKSSRRAVRAVRRGKH >Manes.16G049370.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:14617975:14618525:1 gene:Manes.16G049370.v8.1 transcript:Manes.16G049370.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVSPLTRAQPVTTGCRSNVVRATARVGGLSHCGVSRESLGSRSPRESIAIVEVRSRLVHMMSDGEQQSCEWRDRHAQAHRASVVSHIFSPHGSVAGEGTSMPMILSLQASRWKRKSYTVVVSC >Manes.07G057925.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6847625:6856721:-1 gene:Manes.07G057925.v8.1 transcript:Manes.07G057925.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDMSKRDELHKDIEQLCMQQAGPSYLAVATKMHFQRTAGLEQEIENLKKRLAASTRDNQNLQEELSEAYRIKSQLADLHNAEVVKNVEAEKQVKFFQGCVASAFAERDHAIMEAEKATEKEELVSQKFNEMQKRLEELASECLEQKRLNDALLIDLAKQEEQNETFKKVSVSHISRSRTYNRLLRIYLNDKYIWSRKPVKCAFWMFYHTEHFFSSYTHLCVLCTVHIYVCMRPHTHTHGIQVILRFCRRCS >Manes.07G057925.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6851738:6855658:-1 gene:Manes.07G057925.v8.1 transcript:Manes.07G057925.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDMSKRDELHKDIEQLCMQQAGPSYLAVATKMHFQRTAGLEQEIENLKKRLAASTRDNQNLQEELSEAYRIKSQLADLHNAEVVKNVEAEKQVKFFQGCVASAFAERDHAIMEAEKATEKEELVSQKFNEMQKRLEELASECLEQKRLNDALLIDLAKQEEQNETFKKVSVSHISRSRTYNRLLRIYLNDKYIWSRKPVKCAFWMFYHTEHFFSSYTHLCVLCTVHIYVCMRPHTHTHGIQVILRFCRRCS >Manes.07G057925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6847625:6856721:-1 gene:Manes.07G057925.v8.1 transcript:Manes.07G057925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSTGIESLVAHIQQLEHERDELHKDIEQLCMQQAGPSYLAVATKMHFQRTAGLEQEIENLKKRLAASTRDNQNLQEELSEAYRIKSQLADLHNAEVVKNVEAEKQVKFFQGCVASAFAERDHAIMEAEKATEKEELVSQKFNEMQKRLEELASECLEQKRLNDALLIDLAKQEEQNETFKKVSVSHISRSRTYNRLLRIYLNDKYIWSRKPVKCAFWMFYHTEHFFSSYTHLCVLCTVHIYVCMRPHTHTHGIQVILRFCRRCS >Manes.07G057925.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6847626:6856721:-1 gene:Manes.07G057925.v8.1 transcript:Manes.07G057925.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSTGIESLVAHIQQLEHERDELHKDIEQLCMQQAGPSYLAVATKMHFQRTAGLEQEIENLKKRLAASTRDNQNLQEELSEAYRIKSQLADLHNAEVVKNVEAEKQVKFFQGCVASAFAERDHAIMEAEKATEKEELVSQKFNEMQKRLEELASECLEQKRLNDALLIDLAKQEEQNETFKKVVDKFYEIGQHSLEGFEDASWDDKCTWLLHGSAEMWSYNDTSTSKYIVTSHDLCFGLFCKYTQCHHHTTHVALDHLGLHIC >Manes.07G057925.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6847626:6856721:-1 gene:Manes.07G057925.v8.1 transcript:Manes.07G057925.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDMSKRDELHKDIEQLCMQQAGPSYLAVATKMHFQRTAGLEQEIENLKKRLAASTRDNQNLQEELSEAYRIKSQLADLHNAEVVKNVEAEKQVKFFQGCVASAFAERDHAIMEAEKATEKEELVSQKFNEMQKRLEELASECLEQKRLNDALLIDLAKQEEQNETFKKVVDKFYEIGQHSLEGFEDASWDDKCTWLLHGSAEMWSYNDTSTSKYIVTSHDLCFGLFCKYTQCHHHTTHVALDHLGLHIC >Manes.07G057925.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6851738:6855915:-1 gene:Manes.07G057925.v8.1 transcript:Manes.07G057925.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSTGIESLVAHIQQLEHERDELHKDIEQLCMQQAGPSYLAVATKMHFQRTAGLEQEIENLKKRLAASTRDNQNLQEELSEAYRIKSQLADLHNAEVVKNVEAEKQVKFFQGCVASAFAERDHAIMEAEKATEKEELVSQKFNEMQKRLEELASECLEQKRLNDALLIDLAKQEEQNETFKKVSVSHISRSRTYNRLLRIYLNDKYIWSRKPVKCAFWMFYHTEHFFSSYTHLCVLCTVHIYVCMRPHTHTHGIQVILRFCRRCS >Manes.04G147600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34464417:34469831:1 gene:Manes.04G147600.v8.1 transcript:Manes.04G147600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSVTCCVLLFLSFIVEGSVHEYRGERFVAKGTAFVVHGGSEGIYSSRPGYFNESSALPANGDSYIRFEKIVFRRTEEFSNFTSGLVQAIVFEVEDRETIGGSAYGGQRAICCTADLAKLGACKQGVVIHRPSTKDSSWPHVFGVSFNAYELDATLPLRSIKVTKTGMYNLYFIHCDPNLKDVVIEGKTIWKNPTGYLPGRMAPLMNFYGFMSLAFVILGLFWFYQYARFWKEVFPLLNCITLVITLGMFEMALWYFDYAEFNETGLRPVGITVWAVTFGTVKRTVARLIILMVSMGYGVVRPTLGGLTSKVIMLGVTFFLASEVLELVENVGAVSDLSGKARLFLVLPAALLDAFFIIWIFKSLSATLNKLQAKRMMVKLDIYRKFTNALAVAVIVSVGWICYEILKAWE >Manes.04G147600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34464417:34469831:1 gene:Manes.04G147600.v8.1 transcript:Manes.04G147600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSVTCCVLLFLSFIVEGSVHEYRGERFVAKGTAFVVHGGSEGIYSSRPGYFNESSALPANGDSYIRFEKIVFRRTEEFSNFTSGLVQAIVFEVEDRETIGGSAYGGQRAICCTADLAKLGACKQGVVIHRPSTKDSSWPHVFGVSFNAYELDATLPLRSIKVTKTGMYNLYFIHCDPNLKDVVIEGKTIWKNPTGYLPGRMAPLMNFYGFMSLAFVILGLFWFYQYARFWKEVFPLLNCITLVITLGMFEMALWYFDYAEFNETGLRPVGITVWAVTFGTVKRTVARLIILMVSMGYGVVRPTLGGLTSKVIMLGVTFFLASEVLELVENVGAVSDLSGKARLFLVLPAALLDAFFIIWIFKSLSATLNKLQAKRMMVKLDIYRKFTNALAVAVIVSVGWICYEVCVL >Manes.04G147600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34464417:34469831:1 gene:Manes.04G147600.v8.1 transcript:Manes.04G147600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSVTCCVLLFLSFIVEGSVHEYRGERFVAKGTAFVVHGGSEGIYSSRPGYFNESSALPANGDSYIRFEKIVFRRTEEFSNFTSGLVQAIVFEVEDRETIGGSAYGGQRAICCTADLAKLGACKQGVVIHRPSTKDSSWPHVFGVSFNAYELDATLPLRSIKVTKTGMYNLYFIHCDPNLKDVVIEGKTIWKNPTGYLPGRMAPLMNFYGFMSLAFVILGLFWFYQYARFWKEVFPLLNCITLVITLGMFEMALWYFDYAEFNETGLRPVGITVWAVTFGTVKRTVARLIILMVSMGYGVVRPTLGGLTSKVIMLGVTFFLASEVLELVENVGAVSDLSGKARLFLVLPAALLDAFFIIWIFKSLSATLNKLQAKRMMVKLDIYRKFTNALAVAVIVSVGWICYELYFKSNDVYNEHWQNAWVIPAFWQVLSFSLLCIICALWAPSQNSMRYAYSDDVGEEFDRDESSLTLVKTSAMPWKDVRSATESRPVQANDNESNGDVEEDKTA >Manes.04G147600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34464417:34469832:1 gene:Manes.04G147600.v8.1 transcript:Manes.04G147600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSVTCCVLLFLSFIVEGSVHEYRGERFVAKGTAFVVHGGSEGIYSSRPGYFNESSALPANGDSYIRFEKIVFRRTEEFSNFTSGLVQAIVFEVEDRETIGGSAYGGQRAICCTADLAKLGACKQGVVIHRPSTKDSSWPHVFGVSFNAYELDATLPLRSIKVTKTGMYNLYFIHCDPNLKDVVIEGKTIWKNPTGYLPGRMAPLMNFYGFMSLAFVILGLFWFYQYARFWKEVFPLLNCITLVITLGMFEMALWYFDYAEFNETGLRPVGITVWAVTFGTVKRTVARLIILMVSMGYGVVRPTLGGLTSKVIMLGVTFFLASEVLELVENVGAVSDLSGKARLFLVLPAALLDAFFIIWIFKSLSATLNKLQAKRMMVKLDIYRKFTNALAVAVIVSVGWICYEILKAWE >Manes.04G147600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34464417:34469831:1 gene:Manes.04G147600.v8.1 transcript:Manes.04G147600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSVTCCVLLFLSFIVEGSVHEYRGERFVAKGTAFVVHGGSEGIYSSRPGYFNESSALPANGDSYIRFEKIVFRRTEEFSNFTSGLVQAIVFEVEDRETIGGSAYGGQRAICCTADLAKLGACKQGVVIHRPSTKDSSWPHVFGVSFNAYELDATLPLRSIKVTKTGMYNLYFIHCDPNLKDVVIEGKTIWKNPTGYLPGRMAPLMNFYGFMSLAFVILGLFWFYQYARFWKEVFPLLNCITLVITLGMFEMALWYFDYAEFNETGLRPVGITVWAVTFGTVKRTVARLIILMVSMGYGVVRPTLGGLTSKVIMLGVTFFLASEVLELVENVGAVSDLSGKARLFLVLPAALLDAFFIIWIFKSLSATLNKLQAKRMMVKLDIYRKFTNALAVAVIVSVGWICYELYFKSNDVYNEHWQNAWVIPAFWQVLSFSLLCIICALWAPSQNSMRYAYSDDVGEEFDRDESSLTLVKTSAMPWKDVRSATESRPVQANDNESNGDVEEDKTA >Manes.15G017900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1538006:1541882:1 gene:Manes.15G017900.v8.1 transcript:Manes.15G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKEEAIRAKGIAESKMQSKDFGTARKIALKAQQLYKDLDNISHMLMVCDVHCAADNKLYGNEMDWYGILQTDRTADEATITKQYKKFALLLHPDKNKFPGAEAAFKLIGEARKVLLDKGKRSLHDIKRQAFVSKPAPTYRPQHMQQPTAQQGLSNGRKTFWTACPFCDVKYQYYVEVMNKSLICQHCTKPFIAYESIMHGAPKATNLNQSAFPEREYVPNRAFSKVELTHQSTLSAEQSGTEFFQKKGFSAELGSQKVNGRRQRKRDVESSESCDTDSSINTEEDMLVDEDGEFKAEVNSSCYGKRPRRSDRLKQQVSYKENLSDDEDFMTHSKKTKGTGSSCANEEECRNGLRDNLFKKDNHSGLAYVKDLNEENQKKGPESFANELKDIKDVKRKEKAEENGYKKPFDPHVDFASDSSPKSTSNPERYEYPDPDFNDFDEGRNEGCFSVGQIWAIYDTLDGMPRFYARIGKVLSADFKLWLTWLESDPDDEDEIGWVCEGWPTACGKFRNGNSESTEDRLMFSHMVNWEKGRQRKPCKIFPRKGEIWALFKDWNIKWKSDTDSSRKFEYEFVEILSEYTEDGGACVAYLGKLKGFVSLFCRISKEGNATFQIPPNERFRFSHMIPSFKMTGKEGEGVPKGSFELDPASLPKNIEEIVVPEHMVVDVSNSHPSDLFSGFSESREAEFFNFKAEKSIGKCTA >Manes.11G146200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31054049:31058014:-1 gene:Manes.11G146200.v8.1 transcript:Manes.11G146200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHASVHPVEDPPTTGGGNNNNNGPRVRMKDVQGMPGTKGGLALRVSQFFFAAAALAVMSTTSDFPSVTAFCYLVAAAGLQCLWSLMLAIVDIYALLVMRSLQNYQVVSLFAVGDGITSTLTFAAACASAGITVLIDNDLNSCSENHCLQFETATAMAFISWFTALPSFLLNFWSLASR >Manes.04G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29464563:29467103:-1 gene:Manes.04G089100.v8.1 transcript:Manes.04G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKILIIGGTGYIGKYIVEASAKFGHPTFALVRESTLSAKSTIIDNFKSLGVNILVGDLYDHESLVKAIKQADVVISTISSSQVPDQVKIIAAIKEAGNIKRFVPSEFGNDVDRVHAVEPAKSAFDAKVKVRRAIEASGIPYTFVVSNLFAGYFLPNLNQFGATAPPRDKLIILGDGNPKGIFNHEDDIATYTIKAVDDPRTLNKNLYIRPPANIYSLNDLVSLWEKKINKTLEKTYIPEDQLLKNIQEAEFPLNIIYALGHSVFVKGDQTNFEIEASFGVEASELYPEVKYTTVDEYLNAFV >Manes.16G005600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:629111:634176:-1 gene:Manes.16G005600.v8.1 transcript:Manes.16G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCWPFSFLVLVFFLYHGVNGENPYRFFTWKVTYGDIYPLGVKQQGILINGEFPGPQIDVITNDNLIINVFNYLREPFLISWNGIQQRRNSWQDGVYGTNCPIPPEKNFTYILQVKDQIGSFFYFPSLGMHKAAGGFGGIRVWSRPRIPVPFPPPSGDFTVLAGDWYKRNHYQLRRILDAGHDLPFPDGLLINGRGWNGYRFTVDPGKTYRFRISNVGLATSINFRIQGHKMKLVEVEGSHTLQNTYTALDIHLGQSYSVLVTADQPAQDYYIVVSTRFTARVLTTTAVLHYSNSYKGVSGRIPGGPTTQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGMIKTSRTITLANSAPIINRKQRFAVNSVSFLPPDTPLKLADYFKIPGVFNLGSIQSYPNWGNAYLQTSVMSANFREFIEIIFQNWEDTVQSWHIDGHSFFVVGMDGGQWTPSSRAGYNLRDAVARCTTQVYPKSWTAVYMALDNVGMWNIRSENWARQYLGQQFYLRVYTASNSWRDELPIPRNALLCGRATGRHTRPL >Manes.16G005600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:629111:634176:-1 gene:Manes.16G005600.v8.1 transcript:Manes.16G005600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCWPFSFLVLVFFLYHGVNGENPYRFFTWKVTYGDIYPLGVKQQGILINGEFPGPQIDVITNDNLIINVFNYLREPFLISWNGIQQRRNSWQDGVYGTNCPIPPEKNFTYILQVKDQIGSFFYFPSLGMHKAAGGFGGIRVWSRPRIPVPFPPPSGDFTVLAGDWYKRNHYQLRRILDAGHDLPFPDGLLINGRGWNGYRFTVDPGKTYRFRISNVGLATSINFRIQGHKMKLVEVEGSHTLQNTYTALDIHLGQSYSVLVTADQPAQDYYIVVSTRFTARVLTTTAVLHYSNSYKGVSGRIPGGPTTQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGMIKTSRTITLANSAPIINRKQRFAVNSVSFLPPDTPLKLADYFKIPGVFNLGSIQSYPNWGNAYLQTSVMSANFREFIEIIFQNWEDTVQSWHIDGHSFFVVG >Manes.06G114300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24493041:24495664:-1 gene:Manes.06G114300.v8.1 transcript:Manes.06G114300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTKNSPKTMSPKRNDAVRNNNNDSYQTAPKWKWLCCG >Manes.07G057701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6704325:6704669:1 gene:Manes.07G057701.v8.1 transcript:Manes.07G057701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANNNSTLSLRSILEKDKLKENGTNFVDWFRNLRIALKQEKKSYVLDEAILEPPPANATNAVKNKHKKRMDDSNDIGCLMLATMCPELQKDLEHLEAYEMSVHLKQDFQQQAR >Manes.03G206700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:32243051:32243677:-1 gene:Manes.03G206700.v8.1 transcript:Manes.03G206700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKISIARSHPCDFRLYSVQFFDTPIEVTVTSSATVVRKWLRTTLFLRRRYLGRLVVGLGVQWTPPNTAADTLQLCVGSRCLIIQLSLAATVPLILRRFLLDSNTTFVGIWNGSDEKKLWMTEHELRVHRLVDLRRYVRTRDGESLARASVERIVEEHLGYKGVRLERDISMSDWDVENLSYEQVLQACIDAHVAFEIGKDLRAWEL >Manes.04G052789.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:10940552:10941194:-1 gene:Manes.04G052789.v8.1 transcript:Manes.04G052789.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTSTSGGIKIEEPSTNKDKGKITILTEYNETSSSSDNETIYNPLDISDSDQEINVPINTIERQNNRPDDLKILDRKQKQYNAKNIYEWNIDDLSETEIIQITKEKWSL >Manes.S095215.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251196.1:20:8067:-1 gene:Manes.S095215.v8.1 transcript:Manes.S095215.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKGKEAEKISLRSQSSQTNLTKLQLFTPGHSSVQNITGQLSVPRPLYTTTTSILNRPIGHISSALITQPHYARPRSPRPHFTSFNKFSPLQPVPITPSTFKQAVTNISSPSHTTPTSPSSSNQTDLTQYKYKPLEDQVITIEPEYWAQNPHLNEYQLCETIFPKTHYYIPDNFQKSQIYYETILTHTNSILIQNNFDPHNHTKLRYCKVRLLKVWTLTEWGQEPHKTKEFTYTNGQLRQNAKYNYYDYQFAWERTFFKQNEQLSISFFFYISDNFTYPIPFWFHQWWNKFGIHTDIIPDQIKSAKTQFFDQQQLPETIICSPQWLIYSHYFHIPWIFMTEYHLKDQVIDNFQIPILVRKYKTKWWTKTNLQGCCQIAVDQFFVNNPQYCKIPSPAVITKQETFLARKQQIMAHMAACTSEQEYEKLLEELKETRSTAASPSPIDLSDDNDDFFTQAEI >Manes.16G098700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30481471:30485423:-1 gene:Manes.16G098700.v8.1 transcript:Manes.16G098700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSIENAHDESVWAATWVPATTSRPALLLTGSLDETVKLWKPDELHLERTNTGHCLGVVAVAAHPSGIIAASASLDSFVRVFDVDTNASIATLEAPPSEVWQMQFDPKGTTLAVAGGGSASVKLWDTATWKLIASLSVPRPEGPKPSDKNSSKKFVLSVSWSPDGRRLACGSMDGTISVFDVARAKFLHHLEGHFMPVRSLVYSPIDPRVLFSASDDAHVHMYDAEGKSMITAMSGHAGWVLSVDASPDGSAIATGSSDRTVRLWDLNMRAAVQTMSNHADQVWGVAFRPPGGTGVRAGRLASVSDDKSISLYDYS >Manes.05G163700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27633858:27638951:-1 gene:Manes.05G163700.v8.1 transcript:Manes.05G163700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQPLKTTDKQKHRPLTPFRFTRGLMCLLVFLSTSVMFLVYFGPPAVMMRLASVHYSRKVASFLFSIWLSLWPFLFEKINGTKVVFSGNAVPPKERVLIIANHRTEVDWMYLWDLALRKGCLGSIKYILKSSLMKLPALGWGFHVLEFISVDRKWEVDEPVMHQMLSTFKDPQDRLWLALFPEGTDFTEQKCLRSQKFAAEVGLPMLTNVLLPKTRGFCLCLGVLRSSLDAVYDITIGYKHQCPTFLDIVFGVDPAEVHIHIRRIPVKDIPESDSEAATWLMNAFQLKDQLLSDFKTHGHFPDEGTEEELSTLKCLVKFMMVISLTAIFAYLTLFSSIWFKIYVSSACAFLSLATYFKIQPMPIIGNSTTVFKKKKI >Manes.05G163700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27633858:27638951:-1 gene:Manes.05G163700.v8.1 transcript:Manes.05G163700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQPLKTTDKQKHRPLTPFRFTRGLMCLLVFLSTSVMFLVYFGPPAVMMRLASVHYSRKVASFLFSIWLSLWPFLFEKINGTKVVFSGNAVPPKERVLIIANHRTEVDWMYLWDLALRKGCLGSIKYILKSSLMKLPALGWGFHVLEFISVDRKWEVDEPVMHQMLSTFKDPQDRLWLALFPEGTDFTEQKCLRSQKFAAEVGLPMLTNVLLPKTRGFCLCLGVLRSSLDAVYDITIGYKHQCPTFLDIVFGVDPAEVHIHIRRIPVKDIPESDSEAATWLMNAFQLKDQLLSDFKTHGHFPDEGTEEELSTLKCLVKFMMVISLTAIFAYLTLFSSIWFKIYVSSACAFLSLATYFKIQPMPIIGNSTTVFKKKKI >Manes.05G163700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27635247:27638951:-1 gene:Manes.05G163700.v8.1 transcript:Manes.05G163700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQPLKTTDKQKHRPLTPFRFTRGLMCLLVFLSTSVMFLVYFGPPAVMMRLASVHYSRKVASFLFSIWLSLWPFLFEKINGTKVVFSGNAVPPKERVLIIANHRTEVDWMYLWDLALRKGCLGSIKYILKSSLMKLPALGWGFHVLEFISVDRKWEVDEPVMHQMLSTFKDPQDRLWLALFPEGTDFTEQKCLRSQKFAAEVGLPMLTNVLLPKTRGFCLCLGVLRSSLDAVYDITIGYKHQCPTFLDIVFGVDPAEVHIHIRRIPVKDIPESDSEAATWLMNAFQLKDQLLSDFKTHGHFPDEGTEEELSTLKCLVKFMMVISLTAIFAYLTLFSSIWFKIYVSSACAFLSLATYFKIQPMPIIGNSTTVFKKKKI >Manes.18G044300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3939943:3943680:-1 gene:Manes.18G044300.v8.1 transcript:Manes.18G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVNLRFQLVAALLCFCYMYVNVVAEVKNLNSKKKTYIIHMDKSYMPVSFNDHLQWYDSSLKSVSESADMLYSYNSVIHGFSTRLTSEEAESLEKQQGILSVLPERVYELHTTRTPEFLGLGKSDAVLPASDSVSEVVVGVLDTGVWPELKSFDDTGLGPIPSTWKGECETGKSFNSSSCNRKLIGARFFSQGYEAAFGPIDETIESKSPRDDDGHGTHTSTTAAGSAVSGASLFGYASGIARGMAAQARVAAYKVCWLGGCFGSDILAAMDKAVEDGVNVLSMSIGGGLTEYYKDTVAIGAFTATARGILVSCSAGNGGPSQGSLSNVAPWITTVGAGTLDRDFPAYITLGNGKNYSGASLYSGKPLSDSLVPLVYGGNVSSSTSGFLCMSGTLIPAKVAGKIVICDRGGNSRVQKGLEVKHAGGLGMIIANTDLYGEELVADAHLLPTAAVGVSSGDAIKKYAFSDPKAVATIASGGTHLGVEPSPVVAAFSSRGPNLVTPEVLKPDVIAPGVNILAGWTGAAGPTGLTDDSRRVSFNIISGTSMSCPHVSGLAALLKAAHSDWSPASIRSALMTTAYTAYKDGKTILDVSTGQPSTPFDYGAGHVNPVAALDPGLVYDATVEDYLSFLCALNYTSSQIKLATNRDFTCDTSKKYSLNDLNYPSFSVPLQTASGKGGGAGVKSTVKYTRTLTNVGPPATYKVSVSSQTPSVNILVEPESLSFSEQYEKKSYTVTITATSMPSGTNSFARLEWSSSKHVVGSPIAFSWT >Manes.06G153800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28091343:28094366:-1 gene:Manes.06G153800.v8.1 transcript:Manes.06G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQIAITITDKTELLQRFVDVCSRVASFCNSKSLNQGVCIHSPIIKLGIQDHLYLSNNLLSLYGKCFGVEHARQFFDEMSSRDVISWTAILSAYVKDEKHDEALDMFDLMILSGSFPNAFTFSIVLRSCSALGEFSLGKRIHASSIKHGFESNQILCSSLIGFYSKLNSSDEACKLFSYLDKGDIVSWTAVISSSVQAGKWSQALRLYMDMIESDVSPNEFTFVKLLAASGSLSLNHGKLVHAHMIVLGIEINLVVKTALFDMYARNRRVEDALTISKLTPEYDVLLWTAIISALAQNFKFKEAVTAFEEMEISGILANKITYLSMLIASTSVMSLDLGKQIHSRVIKTGLECDVPLGNALVDMYMKCSLSVEDGLRVFRGIVSPNIISWTSLIAGFSEHGLQQDSFNSFMEMRAAGEQPNSFTLSIILRACSATKSLNQTLKLHGFIIKTNADHDLVVGNALVDAYAGSGQVDDAWRVVRDMKQRDAITYTTLASRFNQMGCHEFALNVVGHMFNNDVEIDGFSLSCFFSAAASLGRTEMGKQLHCYSVKSGLGSWISVSNSLVDLYGKFGLLDDARRAFAEITEPDVVSWNGLISGLAANVLFTCSHGGLVDLGVQYFYSMREMHDVQPQLDHYVCLVDLLGRAGRLEEAMDALETMPFKPDVLIYRTLLAACRVHKNMALGEQVARLGLELTPSDPAFYVQLANLYENCGRPDLSEKTRLLIKDRESNNIMHA >Manes.16G108050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31359804:31360151:1 gene:Manes.16G108050.v8.1 transcript:Manes.16G108050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCFFLRHNFCFAERVNNKAFIMAQEPLAVLLCHCWDQQHQLSMLGQIYKWLQTVTISVEQRLLFVFCFYLSGLVFSWLAQLVSGEILNSWYILLPLKSHPKGVIFCIPKCAHA >Manes.03G205500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32091710:32093714:-1 gene:Manes.03G205500.v8.1 transcript:Manes.03G205500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSSSMFLKVINVALATILATALSFSRNVQADFVKPAVIDAPLLTEKIATNNTILVDINGEGDYRSIQEAIDAVPENNSKWVIIHIRKGVYREKVHIPCNKPHIFMRGNGKGRTAIIWSMSSTDNKESATFTSESPHLVVFGISFKNEAPTGEAFTSQNQSVAAFVGADMISFYHCAFYSTHNTLFDYKGRHYYHHCYIQGSIDFIFGRARTIFHQCEIFVIIDMRVKILGSITAHNRESDNDSGFVFVEGRVYGVGHVYLGRAKGAYSRTIFAKTYLSNAIAPQGWTSWSYLGGTKNIFQAEYKCHGPGAFTFNRADWAKQLTDEEAERFMSIDFIDGKEWLPAWM >Manes.16G058925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:22299063:22300751:-1 gene:Manes.16G058925.v8.1 transcript:Manes.16G058925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQLTLQQGIHQPAATAHPQPVANPVAANPMVVNPMPANPQQNYQEGYRIKVDLQNFSGSLDVESVLDWLVEVERFFEIMNVEEERKVPIVAYKLKGGRAAWWNSIQNERYRKRLEPIRNWVLMKQMFEQRFLPSDHAQVLYNRRDSKGKAVTTTIDKGGRTNPYQKPTEDICYWCRQSGHRSNNCPERRGDNTDCRQVNIVEQVAETDKEVDDDDGSIAGSKDGEVTYVVKKILCSTKQEDETQRRKIFQAKFEWIKKGPTIEVNRIYSVPISIGKSYTEPVNCDVVDMDCCGILLGRPWQFDVDALHKGKENSYTFTWNQKKITILPSGSAKHSKVEEKNVVAISTGVQKLSSAVEKSGGTLTLLVREKSKMEDAPSLPPPVKELLKEFPKIVEES >Manes.15G126000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10053907:10058492:1 gene:Manes.15G126000.v8.1 transcript:Manes.15G126000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSKEEPKTAPQSDRWYNLTLGPSFKEDASNNKYCTLRYEFKPASIDKTKPGSLHKNKENRVSVEFQNIQQGKPKVAFEGSSEDYKENDAVLFFDGETFRLERLHRAVKQLRLLRQPGESAAAQSGPVIEPRLSPVGKGVKPMNVGKTTAFPPVPVEVERIDVGEPQFPGTKVASKGIPGQFDPPNISTLSPSPKNDEAEDHQDIDIEDIFGSSSPFDNAAEQKGDAGCDINARHQNDTDDEIADVDDSGDEVDKGRNAAEALRAQVNAEERGEQTSSSSSSSGSGSSGSGSGSGSSSSSDSEGSDEDSVNSI >Manes.17G107200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31422482:31429018:-1 gene:Manes.17G107200.v8.1 transcript:Manes.17G107200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQWLMKKSSCGSDSFSKGYENVPETGCLSIIVLGASGDLAKKKTFPALFNLYRQGFLQSNEVHIFGYARTKISDEELRKRICGYLCKDASPDHSEDVSKFLQLIKYVSGSYDTEKGFQQLDKEISEHELAKNSAEGSSRRLFYFALPPSVYPTVCKMIKKCCMNKSDLGGWTRIVVEKPFGKDLESSERLSAQIGELFKEPQIYRIDHYLGKELVQNMLVVRFANRLFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMERPVSLKPEHIRDEKVKVLQSVLPIKDEDVVLGQYEGYRDDPTVPNNSNTPTFATVILHIHNERWEGVPFILKAGKALSSRKAEIRVQFKDAPGDIFKCKKQGRNEIVIRLQPSEAIYMKLTVKQPGLEMATAQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDSGEMKPIPYEPGSRGPAQADELLAKAGYVQTHGYIWIPPTL >Manes.10G095900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24325066:24332176:1 gene:Manes.10G095900.v8.1 transcript:Manes.10G095900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQFSIRRVRNLRALSSQILALRSFNLSTATQPSSSQRKPLRVPNFIGGTFVDSQSSTAIDVINPATQEVVSQVPLTTNKEFKAAVSAAKEAFPSWRNTPVTTRQRIMFKLQELIRRDIDKLAMNITTEQGKTLKDAHGDVFRGLEVVEHACGMATLQMGEYVPNVSNGIDTYSVREPLGVCAGICPFNFPAMIPLWMFPLAVTCGNTFVLKPSEKDPGASIMLAELAMEAGLPNGVLNIVHGTNDIVNAICDDENIRAISFVGSNVAGMHIYARASAKGKRVQSNMGAKNHAIVLPDANMDAALNALVAAGFGAAGQRCMALSTVVFVGDSQQWENKLVERAKALKVNAGTVPDADLGPVISKQAKERIHRLIQSGVESGARLLLDGRNIVVPGYEQGNFIGPTILSGVTADMECYKEEIFGPVLLCMEADSFEEAIDIVNRNKYGNGAAIFTTSGAAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFFTQIKTITQQWKDLPAGSGVSLAMPTSQKI >Manes.10G075333.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:14049073:14049780:-1 gene:Manes.10G075333.v8.1 transcript:Manes.10G075333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVFFLFLLLSSSIFSGCGYGKGVLVRDAVCFVHCHLALWVDLQVSYLV >Manes.02G099800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7757402:7760934:-1 gene:Manes.02G099800.v8.1 transcript:Manes.02G099800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSKIVSVFVKFSLHFYVVFFFLCRKDRPALNATFLIQFFILGFVGIASNQSFYLLGLDNTSPTFASATENAVPAVTFILATLLRLEQVHLRRKDGIAKVLGTLTSFVGASVITLYKGPSIYRPNSSPDQAKLFFSLGDANVKNWTLGCISCFGHCLCWSSWIVLQAIFLKKYPAPFSVYSFTCFFGTLQLLAIAAYIERDPQTWQVHSGGELFSILYSGMIVSGVGFAIQIWVIQRGGPVFVSGYLPLQTMLVAIMASIALGEEFYLGGLIGATLIIAGLYLVVWGKSEESKFATTKVATSFMSENNQADGTGKSSLLQALLPGSSE >Manes.02G099800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7757402:7760934:-1 gene:Manes.02G099800.v8.1 transcript:Manes.02G099800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFLLTSESASMAELGSAPANRMCVVPERTKLQIAMTIFQFGYAGNHVILRAALNMGISKLVFPVYRNIIAVLLLAPVAYFLEKKDRPALNATFLIQFFILGFVGLEQVHLRRKDGIAKVLGTLTSFVGASVITLYKGPSIYRPNSSPDQAKLFFSLGDANVKNWTLGCISCFGHCLCWSSWIVLQAIFLKKYPAPFSVYSFTCFFGTLQLLAIAAYIERDPQTWQVHSGGELFSILYSGMIVSGVGFAIQIWVIQRGGPVFVSGYLPLQTMLVAIMASIALGEEFYLGGLIGATLIIAGLYLVVWGKSEESKFATTKVATSFMSENNQADGTGKSSLLQALLPGSSE >Manes.02G099800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7757402:7760934:-1 gene:Manes.02G099800.v8.1 transcript:Manes.02G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFLLTSESASMAELGSAPANRMCVVPERTKLQIAMTIFQFGYAGNHVILRAALNMGISKLVFPVYRNIIAVLLLAPVAYFLEKKDRPALNATFLIQFFILGFVGIASNQSFYLLGLDNTSPTFASATENAVPAVTFILATLLRLEQVHLRRKDGIAKVLGTLTSFVGASVITLYKGPSIYRPNSSPDQAKLFFSLGDANVKNWTLGCISCFGHCLCWSSWIVLQAIFLKKYPAPFSVYSFTCFFGTLQLLAIAAYIERDPQTWQVHSGGELFSILYSGMIVSGVGFAIQIWVIQRGGPVFVSGYLPLQTMLVAIMASIALGEEFYLGGLIGATLIIAGLYLVVWGKSEESKFATTKVATSFMSENNQADGTGKSSLLQALLPGSSE >Manes.13G027100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3614406:3617622:-1 gene:Manes.13G027100.v8.1 transcript:Manes.13G027100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKSISLLLFIFVTITAFTMFSIIVEARKQHSKKTKPNKHRKDSPTIPGPAPAPLPQRGSCPSQSSIFNILSFGAKGDGGSDDSKALLSAWKAACEVPGATVEIPAEFKFLIKPITLQGPCMPHLVLQIDGTLLAPPEVGSWPKSSLFQWLNFKWVHNFTIQGSGTVNGQGFDWWTPFNVFFIQALRFYASYNVTVQDIEIVNSPQCHLKFDNSKGIKVNNITISSPEDSPNTDGIHLQNTQDVEIQHSNIGSGDDCISIQTGCSNIHVHHINCGPGHGISVGGLGKEKSVACVSNVIVENVWLQNTLAGSRIKTWQGGIGLVKNITFSNIQVSNVKYPIIIDQFYCDKHICKNQTEAVAISGVRYDQIIGSYTTQPIYLACSSTVPCMDVDLINIQLKPSPEYRSFKQALCWNSYGKSQAPLVPSSIDYCLRRDGRSVKRTSRSSHEHMC >Manes.13G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3614406:3617622:-1 gene:Manes.13G027100.v8.1 transcript:Manes.13G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKSISLLLFIFVTITAFTMFSIIVEARKQHSKKTKPNKHRKDSPTIPGPAPAPLPQRGSCPSQSSIFNILSFGAKGDGGSDDSKALLSAWKAACEVPGATVEIPAEFKFLIKPITLQGPCMPHLVLQIDGTLLAPPEVGSWPKSSLFQWLNFKWVHNFTIQGSGTVNGQGFDWWTPFNVFFIQKTSKHIPDMKPTALRFYASYNVTVQDIEIVNSPQCHLKFDNSKGIKVNNITISSPEDSPNTDGIHLQNTQDVEIQHSNIGSGDDCISIQTGCSNIHVHHINCGPGHGISVGGLGKEKSVACVSNVIVENVWLQNTLAGSRIKTWQGGIGLVKNITFSNIQVSNVKYPIIIDQFYCDKHICKNQTEAVAISGVRYDQIIGSYTTQPIYLACSSTVPCMDVDLINIQLKPSPEYRSFKQALCWNSYGKSQAPLVPSSIDYCLRRDGRSVKRTSRSSHEHMC >Manes.13G027100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3614405:3617623:-1 gene:Manes.13G027100.v8.1 transcript:Manes.13G027100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVLQIDGTLLAPPEVGSWPKSSLFQWLNFKWVHNFTIQGSGTVNGQGFDWWTPFNVFFIQKTSKHIPDMKPTALRFYASYNVTVQDIEIVNSPQCHLKFDNSKGIKVNNITISSPEDSPNTDGIHLQNTQDVEIQHSNIGSGDDCISIQTGCSNIHVHHINCGPGHGISVGGLGKEKSVACVSNVIVENVWLQNTLAGSRIKTWQGGIGLVKNITFSNIQVSNVKYPIIIDQFYCDKHICKNQTEAVAISGVRYDQIIGSYTTQPIYLACSSTVPCMDVDLINIQLKPSPEYRSFKQALCWNSYGKSQAPLVPSSIDYCLRRDGRSVKRTSRSSHEHMC >Manes.15G158600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13099459:13111726:-1 gene:Manes.15G158600.v8.1 transcript:Manes.15G158600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATKGDRNPSSGKRVAVVGAGVSGLAAAYKLKSHGLKVTVFEAEGRAGGRLRSISHDGLIWEEGANTMTEGEHEVENLIDDLGIRDKQQFPISQNKRYIVRNGKPVMIPTNPIALIMSSLLSAQSKCQIILEPFLWKKHESSKVYNAYTEESVGEFFQRHFGKEVVDYLVDPFVEGTSGGDPESLSVRHSFPELWNLENRFGSIIAGAVLAKLSAKREKSRETKVSSEKKKRQRGSFSFLGGMQTLTDLLSKELEKDELRLESKVLSLSYNHDSKSALENWSVSYASKGAKRLQDSSYDAVIATAPLCNVKEMKITKRRNLFPLDFLPEVSYVPLSVIITTFKKENVKRPLEGFGVLVPSKEQENGLKTLGTLFSSMMFPDRAPSDLYLYTTFVGGSRNKELAKASTDDLKQIVTSDLRQLLGAEGEPTFVNHFYWSKAFPLYGRNYASVLEGIEKMEKNLPGFFYAGNHKGGLSVGKAIASGCRAADLVISYLESSSEDKMLKGSLP >Manes.01G227300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39230656:39232936:1 gene:Manes.01G227300.v8.1 transcript:Manes.01G227300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTLKEPAKFFVLSRRFVQSQPHSSFHGQIYSTEKLLSLDEIRRGGGKRWRGQQVCLPDGGSLPMCRLFHSSPHSSLYSSHCQVPFLLPHSSSLSHLQENLSLVTKSRSINYSETSPLPSVYTRGKLIELAARSFSCFTSAGADSDAEIDENYESDNCGSDDEGAGIKSSADPVEVDRVCKVIDELFALDRNMEAVLDECGINLSHDLVIDVLRRFRHARKPAFRFFCWAGQKQGFSHDSRTYNSMMSILAKTRQFETMVSMLEEMGERGLLTMDTFSIAMRGFAVAKERKKAVGMFELMKKHKCKTGVETINSLLDSLGRAKLVKEAQVLFGKLEGRFTPNLRTYTVLLNGWCRVKNLMEAGRIWNEMIDKGFEPDIVAYNTMLEGLLRSKKRSDAVKLLMVMKAKGPSPDVRSYTILIRDLCKHSKMKDAIEYFDEMVDSGCKPDAVVYTCLITGFGNQKRMDMVHDLLKEMKEKGCPPDGRTYNALIKLMTSRKMPDNAVGIYKKMIQGGIEPTIHTYNMIMKSYFQMRNYEMGQVVWDEMIRKGCCPDDNSYTVLIRGLISQGRSEEACEYLEEMLEKGMKTPQLDYNKFVCDLSRAGKPDMLEELAQKLKFSGKFEVSNVLASWAEMMKKRVKRSDLG >Manes.07G080855.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24694794:24701414:1 gene:Manes.07G080855.v8.1 transcript:Manes.07G080855.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFHPYVWMNASIVISLGWIAETSNSILQQHASSTTNGLDCLKDIKLDSFIYEEYFVCLFWQEGVYDIQRRRNSWQDGVYDTSSPISTGKTSLPISTTRRSSSTTKVYYSVRTWISSFIGLGYHLS >Manes.07G080855.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24694793:24702760:1 gene:Manes.07G080855.v8.1 transcript:Manes.07G080855.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFHPYVWMNASIVISLGWIAETSNSILQQHASSTTNGLDCLKDIKLDSFIYEEYFVCLFWQEGVYDIQRRRNSWQDGVYDTSSPISTGKTSLPISTTRRSSSTTKILGEKR >Manes.06G041350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12847747:12851089:-1 gene:Manes.06G041350.v8.1 transcript:Manes.06G041350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGVKAPVLSKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLLLKYRPEDKAAKKERLLKRAQAEAEGKTVESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTAAALCLTSVKNEDKLEFSKILEAVKANFNDKFDEHRKRWGGGIMGSKSQAKTKAKEKLLAKEAAQRMS >Manes.08G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6421238:6424460:1 gene:Manes.08G057100.v8.1 transcript:Manes.08G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFIKQAKQYADARPNYPLQLFHFISSKTPAKDLAWDVGTGSGQAAQSLAGIYENVVGTDTSLKQLEFAPKLANVRYQQTPAVIPMEELEEYVSAQSSVDLVTIAQALHWFDLPAFYQQVKWVLKKPNGVVAAWCYTVPEVNDAVDSVFKPFYEIDSDPYWDPARKLVNDKYATIDFPFEPLEGTDHTGPFKFVTEREMSLNEYFTYLKSWSAYQTAKEKGVDLLRDDVVEKFKNAWNEDGHDKKVVKFPVYLKIGKVGNM >Manes.12G072000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7825003:7826480:1 gene:Manes.12G072000.v8.1 transcript:Manes.12G072000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVKRMQRAKRLAYQPIAASFDIALASNGLAKIIFNIPLLFFFSSKHNYKWILNVAGDDDEVAQICLDI >Manes.10G035600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3520222:3521360:1 gene:Manes.10G035600.v8.1 transcript:Manes.10G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQIASLIFLLILLSWTVQGQHKTTFDFIVATDGNGNYSKIMDAIAAAPKLSARKFIIKIEKGIYTENVLVEDDKTNIIFIGDGIDKTIISGSRSAGGGYKTYDTATLGIHGNGFMAMDMTIENTAGAANMQAVALRSSARSVFYRCKFSGYQDTLYSHQRKQFYRKCEIYGTIDFIFGDASVVLQNCGIYARLPPKGESNTITITAQGRNKSTENSGIVIQNCTITAADDLKRSGSSLIKSYLGRPWKEYSTTIVMQSFIDNIIDPAGWLEWENNKTNLATIFYAEYGNRGPGAATNRRVKWTSYHKINRREAMKFTVRNFISGNEWLPSLGIPFFLDLM >Manes.13G000072.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:246940:248908:-1 gene:Manes.13G000072.v8.1 transcript:Manes.13G000072.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHSSTRNKAGYLSGEAKKPPSEDSSYAIWFTENYKVKCWLIDSMDPLLSTAKEIWEGVAKTFYDESDETCLFELNKKSFSTTQNNRPLSTYYNKLVAIFQEINHRMTSQEETVKGVVQLHSAMARLQVHIFLSGLDLEFDHIRGEILRKDPKLNLESTYAYVRREYQQRQTMGGSRPIPESSVMIAKRTQQEPSSGSTKTQSAKSNNFVCSYCGETGHSKQRCYEIIGYPELWDFTKKPRKKVARTHMMAATTEVQQNMEDKSQLTANVLSATSKNST >Manes.18G066600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6003029:6006556:1 gene:Manes.18G066600.v8.1 transcript:Manes.18G066600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGARVWPRRIATFCVMVMILGRLSSATADPRRVSMCPRQSVVDLIVELLDRSHVGDGTVEGPDFVGVTEGDEVSLQKALSMVYKKSHKHVAVLFYASWCPFSRSFRPSFSILSSLFPSIPHFAIEESSIRPSILSKYGVHGFPTLFLLNSTMRDRYHGSRTLGSLVAFYTDVTGIKTVSLNKGSLDKILRTSNHEKHDSNDQESCPFSWARSPENLFRQETYLALATAFVIMRLIYIFLPTLLVFAQFAWRRHIQNLRLGSLLEHPWAYLSGVIQVLNSMKQPSRKRNLQGAMNARAWASKSLATVSIGDAATSRVHQ >Manes.18G066600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6003024:6006556:1 gene:Manes.18G066600.v8.1 transcript:Manes.18G066600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGARVWPRRIATFCVMVMILGRLSSATADPRRVSMCPRQSVVDLIVELLDRSHVGDGTVEGPDFVGVTEGDEVSLQKALSMVYKKSHKHVAVLFYASWCPFSRSFRPSFSILSSLFPSIPHFAIEESSIRPSILSKYGVHGFPTLFLLNSTMRDRYHGSRTLGSLVAFYTDVTGIKTVSLNKGSLDKILRTSNHEKHDSNDQESCPFSWARSPENLFRQETYLALATAFVIMRLIYIFLPTLLVFAQFAWRRHIQNLRLGSLLEHPWAYLSGVIQVLNSMKQPSRKRNLQGAMNARAWASKSLATVSIGDAATSRVHQ >Manes.18G066600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6002953:6006556:1 gene:Manes.18G066600.v8.1 transcript:Manes.18G066600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGARVWPRRIATFCVMVMILGRLSSATADPRRVSMCPRQSVVDLIVELLDRSHVGDGTVEGPDFVGVTEGDEVSLQKALSMVYKKSHKHVAVLFYASWCPFSRSFRPSFSILSSLFPSIPHFAIEESSIRPSILSKYGVHGFPTLFLLNSTMRDRYHGSRTLGSLVAFYTDVTGIKTVSLNKGSLDKILRTSNHEKHDSNDQESCPFSWARSPENLFRQETYLALATAFVIMRLIYIFLPTLLVFAQFAWRRHIQNLRLGSLLEHPWAYLSGVIQVLNSMKQPSRKRNLQGAMNARAWASKSLATVSIGDAATSRVHQ >Manes.02G144300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11074501:11076196:1 gene:Manes.02G144300.v8.1 transcript:Manes.02G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPTPIPKLSLFLLPSKPNGPPGLPTTPMHTLASVPFQWEEAPGKPRPCTTTNQLQPKSKTTRFLELPPRLLCEAKVNNMPSPTTILDGPYLGHSLSRSRSLSFGKGMPSFSSLENLGRRGNKGRVIFGSSRWGSFRRNKEGVEDSVVFSSSPVFDSGDGGVGTKVKITRIRRKSSFLSFSSTKSHLWTNIYESFKQVVPWRRRQQRNSETSR >Manes.14G157601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:22372785:22378490:1 gene:Manes.14G157601.v8.1 transcript:Manes.14G157601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLQFQRLPFKLFSLLIFLSFNTAFPYDIIPRLDPLRGRVRRLPETSGASLSDDFQTFYYTQTLDHFNYRPESYTTFKQRYLINFKYWGGANVSAPIFAYLGAEAPIEYDLAFVGFLTENAAQFGALVVYIEHRFYGESVPFRSFIKALENANIRGHFNSAQALADYAEILIYLKKKLSAPYSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPLLYFDNITPQDAYFWVVTKDFREASESCYQTIRKSWGEIDKVASQPNGLSILSQRFNTCYPLKDPSDLKQFLISIYADAAQYDAPPDYRVTMICDAIDEGPFGKDILSKIFAGVVAYSGTSPCYVNPHETPTESDLGWEWQTCSEMMIPLGRLNNSMFQTDPFTVSSLIKQCKTEFGVVPRPHWITTYYGGNDIKLILQRFGSNIIFSNGLRDPYSSGGILENISDTVLAVYTVNGSHALDVLRAEATDPQWLIKQRKTEVEIIKAWIAKYYADLLAYKH >Manes.16G099800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30568958:30571577:-1 gene:Manes.16G099800.v8.1 transcript:Manes.16G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNSNSPPGSPKENPDQDADKKPIAKDDSTLETIVRRIQDSISIGKKHKFWESQPVGQFKDIGDASLAEGPIEPPTLLSEVKQEPYNLPSQYEWTTCDIDLEETCTEVYNLLTNNYVEDDENMFRFNYSKEFLRWALRPPGYYRSWHIGVRAKGSKKLVAFITGVPARIRVRDEVVKMAEVNFLCVHKKLRSKRLAPVLIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRDYLSQFIVAPDFDENDVEHWLLPAENVVDSFLVESQENHEITDFCSFYTLPSSILGNQNYSTLKAAYSFYNIATKTPLLQLMNDALIVAKQKDFDVFNALDVMQNQSFLKELKFGPGDGQLHYYLYNYRIRNGIAPSELGLVLL >Manes.16G099800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30568958:30571577:-1 gene:Manes.16G099800.v8.1 transcript:Manes.16G099800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNSNSPPGSPKENPDQDADKKPIAKDDSTLETIVRRIQDSISIGKKHKFWESQPVGQFKDIGDASLAEGPIEPPTLLSEVKQEPYNLPSQYEWTTCDIDLEETCTEVYNLLTNNYVEDDENMFRFNYSKEFLRWALRPPGYYRSWHIGVRAKGSKKLVAFITGVPARIRVRDEVVKMAEVNFLCVHKKLRSKRLAPVLIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRDYLSQFIVAPDFDENDVEHWLLPAENVVDSFLVESQENHEITDFCSFYTLPSSILGNQNYSTLKAAYSFYNIATKTPLLQLMNDALIVAKQKDFDVFNALDVMQNQSFLKELKFGPGDGQLHYYLYNYRIRNGIAPSELGLVLL >Manes.04G126701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32899206:32901053:-1 gene:Manes.04G126701.v8.1 transcript:Manes.04G126701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMIYCVAASVYKPSRFRPSISKIVQVLEGMIPWSVIWGENDNAFLNSRSTL >Manes.09G056602.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9116323:9117894:-1 gene:Manes.09G056602.v8.1 transcript:Manes.09G056602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCQAPEGHRLCVNNCGVFGSPATMNLCSKCYSDYCLKERQQHQQQQEAPSKASLSVTSSLHPATAVDTQPPPAIILSEVRSRATEVTTAVEQPNRCSTCRKRVGLTGFKCRCGTTFCGTHRYPEKHGCTFDFKKVGREEIARANPLVVAEKLEKI >Manes.02G116300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8918462:8924312:-1 gene:Manes.02G116300.v8.1 transcript:Manes.02G116300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEREQYGGGSERNQTPSIAPTSNMNLTFSADGANAVYKPIMPITSDDPFHHVQSISAHHHEMLMLGGGDVSVGVGVGVGVGVGVGVDGLNVNNAAPIKRKRGRPRKYSPPHGHFGLNLTSPLSQLHHNNYHDPHQSPLLHHSEFQSPLSPSSTAKKARGRPPGSGRKNQLAALGSAVVGFVPHVITVKAGEDVLLKIMSFPQNGPRAVCILSASGAISNVTLCQTATSGGTVTYEGRFEILSLSGSFFPSESSGQRSRTGGLSVLLAGPDGRVLGGGVAGLLTAASSVQIIVGSFISEEWKESRPGINQPETMYGPGASIAGSPTSRGTFSESSGGPGSPPNQSTGGCNSNPQGMPNVPWK >Manes.18G090900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8363355:8364664:1 gene:Manes.18G090900.v8.1 transcript:Manes.18G090900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEELFDQKKYLEDSCKPKCVKPLIEYEACMKRIEGDDSGEKHCTGQYFDYLFCVDKCVAPMLFSKLK >Manes.15G109300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8742850:8748316:-1 gene:Manes.15G109300.v8.1 transcript:Manes.15G109300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRADFSGYRGLTMVVCLLGVLSLTCAARLSVERQKLEVQKHLNRLNKPAVKSIESPDGDIIDCVHMTHQPAFDHPFLKDHKIQMRPSYHPEGLFDENKVATESKARTNPITQLWHVNGKCPEGTIPVRRTKQDDVLRASSVKRYGKKKHRSIPKPRSADPDLINESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSGFRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPNGQHTSTQMGSGHFPEEGFGKASYFRNIQVVDDSNNLKAPKGIGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNSNCP >Manes.02G095900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7474264:7491402:1 gene:Manes.02G095900.v8.1 transcript:Manes.02G095900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGGEASGNNSGFPRVALNKRILSSMSRRSVAAHPWHDLEIGPGAPAVFNCVVEISKGGKVKYELDKISGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHITDIKDLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPSEAAIDAIKYSMDLYASYIVESLRR >Manes.02G095900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7474190:7478992:1 gene:Manes.02G095900.v8.1 transcript:Manes.02G095900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGGEASGNNSGFPRVALNKRILSSMSRRSVAAHPWHDLEIGPGAPAVFNCVVEISKGGKVKYELDKISGLIKVDRVLYSSVVYPHNYGFIPRTICEDSDPMDVLVLMQEPVLPGTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHITDIKDLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPSEAAIDAIKYSMDLYASYIVESLRR >Manes.05G167700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28059562:28062037:-1 gene:Manes.05G167700.v8.1 transcript:Manes.05G167700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKHHVAIQFLFAYVNTITAGLIVLLLIVYNYLVRWFAVAKKPQPPKPAGAWPLLGHLPLFAGCQQLPHIMLGDLADKYGPIFTLHIGARQALVVSSWEVAKELFTTNDIALADRPSFTAAKYLSYDGAMFGFAPYGDYWREMRKLITMELLSSRQLESLKHVRVSEIENFLKELHVCWMEKRIGSNHVVVDLKQRFSDLNLNLILRLVVGKRYAGTAGGGDGKEAERCHKAIESFFHLTGVFVLRDAIPFLGWLDVGGYEKVMKRTAKELDELVSEWLEEHRSKRNSEEVANEEHDFMTLMLSVLEGSDHVGCDSDTINKSTCLNLILGASETTTIVLTWTISLLLNNKITLKKAQEELDMMVGRERKVNESDISKLIYLQAIIKEALRLCPPAPLSGPREIRENCTISGYHVKKGTWLFTNLWKIHTDPRIWPDSLEFKPERFLTSHKHIDVRGQNFELIPFGSGRRACPGTSFGLQMVATVLASFLQAFEISNPTSAPIDMTGKFGMTNMKATPLEVLLSPRLSPEFYNW >Manes.14G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7913267:7921850:-1 gene:Manes.14G095300.v8.1 transcript:Manes.14G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRKKKLRLSKIYSFGCGRSSFQDDHSQIGGPGFSRLVFCNEPDSFESSFESGIRNYINNYVSTTKYTPATFLPKSLFEQFRRVANFYFLVSGILSFTPLSPYGAISAIIPLIVVIGATMVKEGIEDWKRQQQDIEVNNRKVKVHQGDGVFKQTVWKNLRVGDIVKVEKDEFFPADLLLISSSYDDAICYVETMNLDGETNLKIKQAVEATTFLHEDSNYKDFKATIKCEDPNANLYSFIGTLDFEENQYPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNSTAPPSKRSKIEKKMDSIVYLLFGIVFFMALTGSIVFGIITGDDLENGRMKRWYLRPDDSEVYFDPDKAAIAAVLHFLTAILLYSYFIPISLYVSVEVVKVLQSIFINQDIHMYYEETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCTVAGTPYGRGVTEVEMAMDKRKGGPVVEEKINGWDHNKDSTNAKPHIKGFNFEDDRIMNGNWIHEPHASVIQSFFRLLAVCHTAIAEEDEDTGKISYEAESPDEAAFVIAAREVGFEFCRRTQTSISVRELDMVSGRRVERTYTLLNVLEFNSARKRMSVIVRTEEGKLLLLCKGADSVMFERLALNGKEFEEKTRDHVNEYADAGLRTLILACRDLDEEEYKDFSKKFTEAKNSVSADRETLIDDLAEKMERNLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIINLETPEIQALEKAGDKAAITKASNESVLRQVIEGKAQIAGSSGGSDAFALIIDGKSLTYALDDDMKVRFLELAIGCSSVICCRSSPKQKALVTRLVKEGTGKTTLAVGDGANDVGMLQEADIGVGISGVEGMQAVMSSDVAIAQFRYLERLLLVHGHWCYRRISAMICYFLYKNITFGFSLFLYEAFTSFSAQPAYNDWFMSLFSVFFTSLPVVAMGILDQDVPAASALKFPQLYQEGVQNILFSWRRILSWMFSGFYSAVIVFFFSTKAMEHQAFNDDGKTVGRDILGATMYSCIVWAVNLQMALSISYFTIIQHVLIWGSVALWYIFLLIYGSITPTASTDAYQIFVEALAPAPSYWLLVLFVVISTAVPYFSASAIQMQFFPMYHQMIQWMNYEGQSNDPEYCDMVRQRSLRPTSVGFTARRAARTSRA >Manes.15G082900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6374208:6386510:1 gene:Manes.15G082900.v8.1 transcript:Manes.15G082900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPREDIPNTLRILVATDCHLGYMEKDEIRRHDSFQAFEEICSIAEQKQVDFLLLGGDLFHENKPSRSTLVKAIEILRRHCLNDRPVQFQVVSDQTVNFANSFGHVNYEDAHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLEGSSVGQITLYPILIRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCQLSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYAEVVLKDETNIDPNDQSSILEHLDKVVSDLIEKSNSKAVSRSGLKLPLVRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASRKGQNQAKFDDSERFRPEELNQQNIEALVAESNLKMEILPVNDLDVALHNFIHKDDKMAFYSCVQYNLQETRKKIAKESDILKFEEEDIILKVGECLEEHVKERSMRSKDAPQFTSSAHSTEDFRSKGAAGIGSAISFSDEEEGEQISGSKASSRNQKSSRAVSRSSHDASEASKGGGSRGRGRGRGRGRGRGSSNLKQTTLDATLGFRQSLRLIILEVIVA >Manes.15G082900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6374375:6385791:1 gene:Manes.15G082900.v8.1 transcript:Manes.15G082900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPREDIPNTLRILVATDCHLGYMEKDEIRRHDSFQAFEEICSIAEQKQVDFLLLGGDLFHENKPSRSTLVKAIEILRRHCLNDRPVQFQVVSDQTVNFANSFGHVNYEDAHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLEGSSVGQITLYPILIRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCQLSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYAEVVLKDETNIDPNDQSSILEHLDKVVSDLIEKSNSKAVSRSGLKLPLVRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASRKGQNQAKFDDSERFRPEELNQQNIEALVAESNLKMEILPVNDLDVALHNFIHKDDKMAFYSCVQYNLQETRKKIAKESDILKFEEEDIILKVGECLEEHVKERSMRSKDAPQFTSSAHSTEDFRSKGAAGIGSAISFSDEEEGEQISGSKASSRNQKSSRAVSRSSHDASEASKGGGSRGRGRGRGRGRGRGSSNLKQTTLDATLGFRQSLRLESVSTAAVRNIADEENVDSASSEDAADNKINVVEESSDDGESVQGKGRKRAAPRGRGRGATPSKRGRKSDKTAIQRILMGKDDDDDDDEDVAKRFNKSQPRVTRNYGALRRQG >Manes.15G082900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6374178:6386723:1 gene:Manes.15G082900.v8.1 transcript:Manes.15G082900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPREDIPNTLRILVATDCHLGYMEKDEIRRHDSFQAFEEICSIAEQKQVDFLLLGGDLFHENKPSRSTLVKAIEILRRHCLNDRPVQFQVVSDQTVNFANSFGHVNYEDAHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLEGSSVGQITLYPILIRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPESQEGCQLSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYAEVVLKDETNIDPNDQSSILEHLDKVVSDLIEKSNSKAVSRSGLKLPLVRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASRKGQNQAKFDDSERFRPEELNQQNIEALVAESNLKMEILPVNDLDVALHNFIHKDDKMAFYSCVQYNLQETRKKIAKESDILKFEEEDIILKVGECLEEHVKERSMRSKDAPQFTSSAHSTEDFRSKGAAGIGSAISFSDEEEGEQISGSKASSRNQKSSRAVSRSSHDASEASKGGGSRGRGRGRGRGRGRGSSNLKQTTLDATLGFRQSLRLESVSTAAVRNIADEENVDSASSEDAADNKINVVEESSDDGESVQGKGRKRAAPRGRGRGATPSKRGRKSDKTAIQRILMGKDDDDDDDEDVAKRFNKSQPRVTRNYGALRRQG >Manes.18G021001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2068240:2068365:1 gene:Manes.18G021001.v8.1 transcript:Manes.18G021001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLSEILRSGFMINSSLRRRTHLVQSFSVVFLYWFYVFS >Manes.09G135200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33539327:33542287:-1 gene:Manes.09G135200.v8.1 transcript:Manes.09G135200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATETLCGQAFGAAQYHMLGIYLQRSWIVDHILTTIVVPLFIFATPILRLIGQVEEIAVVAGKISLWFIPFLYYFVFSLTMQMYLQTQMKNQIIGWLSAISFVIHVLLSWVFVTKLDWGVSGAMAALNISAWLTVVELFLYVLGGWCPNTWKGFTRAAFSDLLPVVKLSVSSGFMFCLELWYNSILVLIAGYMKNAATAISAFSICLNVSNWQFMICLGFLIAASVRVSNELGRGNAKAANFAIKVVLCTSACLGVVFWVLALVFGRKLSYIFTDNEEVADMVSDLSVLLSFTLLLNSIQPVLSGIAVGSGQQSIVAFINLGCYYGVGIPLGIVLAYVAHLQVKGLWIGLLSGVLTQTVILSCLIWNTNWDEQVKIASERLNRWFLKNP >Manes.09G135200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33539327:33542287:-1 gene:Manes.09G135200.v8.1 transcript:Manes.09G135200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIMQERLLRTEELETANLTSRIWTESKKTWRVAFPAMITKVTYFGMIVVTQSFIGHISELQLAAYALEQTFFVRFVNGILIGMSSATETLCGQAFGAAQYHMLGIYLQRSWIVDHILTTIVVPLFIFATPILRLIGQMKNQIIGWLSAISFVIHVLLSWVFVTKLDWGVSGAMAALNISAWLTVVELFLYVLGGWCPNTWKGFTRAAFSDLLPVVKLSVSSGFMFCLELWYNSILVLIAGYMKNAATAISAFSICLNVSNWQFMICLGFLIAASVRVSNELGRGNAKAANFAIKVVLCTSACLGVVFWVLALVFGRKLSYIFTDNEEVADMVSDLSVLLSFTLLLNSIQPVLSGIAVGSGQQSIVAFINLGCYYGVGIPLGIVLAYVAHLQVKGLWIGLLSGVLTQTVILSCLIWNTNWDEQVKIASERLNRWFLKNP >Manes.09G135200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33536697:33542185:-1 gene:Manes.09G135200.v8.1 transcript:Manes.09G135200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIMQERLLRTEELETANLTSRIWTESKKTWRVAFPAMITKVTYFGMIVVTQSFIGHISELQLAAYALEQTFFVRFVNGILIGMSSATETLCGQAFGAAQYHMLGIYLQRSWIVDHILTTIVVPLFIFATPILRLIGQVEEIAVVAGKISLWFIPFLYYFVFSLTMQMYLQTQMKNQIIGWLSAISFVIHVLLSWVFVTKLDWGVSGAMAALNISAWLTVVELFLYVLGGWCPNTWKGFTRAAFSDLLPVVKLSVSSGFMFCLELWYNSILVLIAGYMKNAATAISAFSICLNVSNWQFMICLGFLIAASVRVSNELGRGNAKAANFAIKVVLCTSACLGVVFWVLALVFGRKLSYIFTDNEEVADMVSDLSVLLSFTLLLNSIQPVLSGIAVGSGQQSIVAFINLGCYYGVGIPLGIVLAYVAHLQVKGLWIGLLSGVLTQTVILSCLIWNTNWDEQNMQTSLADLWRQSSNSYVIK >Manes.09G135200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33539825:33542185:-1 gene:Manes.09G135200.v8.1 transcript:Manes.09G135200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIMQERLLRTEELETANLTSRIWTESKKTWRVAFPAMITKVTYFGMIVVTQSFIGHISELQLAAYALEQTFFVRFVNGILIGMSSATETLCGQAFGAAQYHMLGIYLQRSWIVDHILTTIVVPLFIFATPILRLIGQVEEIAVVAGKISLWFIPFLYYFVFSLTMQMYLQTQMKNQIIGWLSAISFVIHVLLSWVFVTKLDWGVSGAMAALNISAWLTVVELFLYVLGGWCPNTWKGFTRAAFSDLLPVVKLSVSSGFMFCLELWYNSILVLIAGYMKNAATAISAFSICLNVSNWQFMICLGFLIAASVRVSNELGRGNAKAANFAIKVVLCTSACLGVVFWVLALVFGRKLSYIFTDNEEVADMVSDLSVLLSFTLLLNSIQPVLSGIAVGSGQQSIVAFINLGCYYGVGIPLGIVLAYVAHLQVKGLWIGLLSGVLTQTVILSCLIWNTNWDEQVCKLKINY >Manes.09G135200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33539000:33542194:-1 gene:Manes.09G135200.v8.1 transcript:Manes.09G135200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAIMQERLLRTEELETANLTSRIWTESKKTWRVAFPAMITKVTYFGMIVVTQSFIGHISELQLAAYALEQTFFVRFVNGILIGMSSATETLCGQAFGAAQYHMLGIYLQRSWIVDHILTTIVVPLFIFATPILRLIGQVEEIAVVAGKISLWFIPFLYYFVFSLTMQMYLQTQMKNQIIGWLSAISFVIHVLLSWVFVTKLDWGVSGAMAALNISAWLTVVELFLYVLGGWCPNTWKGFTRAAFSDLLPVVKLSVSSGFMFCLELWYNSILVLIAGYMKNAATAISAFSICLNVSNWQFMICLGFLIAASVRVSNELGRGNAKAANFAIKVVLCTSACLGVVFWVLALVFGRKLSYIFTDNEEVADMVSDLSVLLSFTLLLNSIQPVLSGIAVGSGQQSIVAFINLGCYYGVGIPLGIVLAYVAHLQVKGLWIGLLSGVLTQTVILSCLIWNTNWDEQVKIASERLNRWFLKNP >Manes.09G088800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25436530:25438428:-1 gene:Manes.09G088800.v8.1 transcript:Manes.09G088800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSICFHPKTQSFSISPRSSPSRASLSTPRRSFSESTMEQIIEAASSMIMKWNPETSTYARVTSLFYENKREAKQFLKCVNDLQKAMHLLASEGSADGRMVRAQNLMQIAMKRLQKEFYQILSTNRAYLDPESVSTRSSRASAISSTSDYEDDGSAEDEIRAADDSISEVEHVSSTAMADLRSIAECMIASGYAKECVNVYKVIRKSIIDEGIYRLGVERMSSSQVNKMDLATLDLRIKNWLEAVKISMRTLFAGERILCDHVFAVSDSIRESCFGEISKEGAVLLFGFPELVAKTKKSPAEKIFRVLDMYTAISENWAEIESIFSFDSTSIVRSQALNSLVRFSDAILTMLSDFESSIQKDSSKTPVPGGDVHPLTLHSINYLTLLSDYSSILSDIIYDWPPTVKSSLPKSYFDSPDSDDSLAPPISVRFAWLVLILLCKLDGKAKHYKDVSLSYLFLANNLQYIISKVQTSNLQYLLGEEWITKHEAKVRQFAANYERLAWAPLFASLPENPSASITPEEARERFKRFNSSFEAAYRKQSSCIISDPKLRDEVKVSIAKKLTPVYREFYNKHMEAADGRRNLRLFVRYAPEDIENYLPDLFFGTSETWRSPSSSTTSSSSSHRPRSRLSS >Manes.09G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33246182:33264770:-1 gene:Manes.09G131000.v8.1 transcript:Manes.09G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSANEAQELEASSLRWRPRQLAFERYMPSSDPEDKAEALRVAVRRPLVARLTKDIVETYQICNPQFKYSEELNPKRYLTSPSIGVLNDGYDNVNSDLILTVNCALVNLETQRRYIVKDVLGHGTFGQVAKCWFAETNSFVAVKIIKNQPAYYQQALVEVSILRTLNKKYDPEDKHHIVRIYDYFVFQRHLCICFELLDTNLYELIKINQFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDYLLKEAKNTSKFFKCIGSVHNVDNGEASFGSRSAYQALSVEEYEARELKKPSIGKEYFHHMNLEAIVTNYPYRKNLPQEDIMKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYRPLPESPRMPVTQNFKVDHHPGGGHWFAAGLSPNIPGRTRASLHNSPHYQVVPYTHGNSYGSIGSHGSYNDGIGLGNSYGDSNNMFPYYSPVGPSGMNMHAQTGLSMLGSSPEARRRFIQYSHQNGIGVSPSTGNFAPLPLGTSPSQFTPPSSYSQVSAGSPGHYGPTSPARSNCHGSPLGKMAAVTQFNRRKSWGYSGSSQESMPALHWQGQYTDGTSSTQAEGNPSVLGSSPSHWQSNSSTANWMQQHGGSGISAGHSTIQHMPGSFKPSNMQLPKNVGPTYDKPEASMSLPDPGDWDPNYSDELLLEEDGSDVNSISIDFSKGMHLGSGDSSVGFGRSNWASNQSSNSLIQRQNGPIQAFTHTEVGSPPSAHDMHAGYGRSMSKPSHFMPHVSQNSPSRLGQQSLPCFNHGRSAFRGSEWNHIKVQPPESSFSGGPLSPGNSSLNNGVPWGRRTNYPFTSMPPASRGRKDYRRIV >Manes.14G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5523543:5525076:-1 gene:Manes.14G066200.v8.1 transcript:Manes.14G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNTTTTTEYRKGLWTVEEDRILIDYIRVHGKGKWNRVARVTGLKRCGKSCRLRWMNYLSPGVKRDNFSEEEDDLIIRLHKLLGNRWSLIAGRVPGRTDNQVKNYWNTHLSKRLGVKNGKFKASAPSPGFSTKELREDFNASSSAETATNPACTNGVVADHDAMENGSKSTAMELTSNQQRMPAGEWDSNPFLFLNDIDPNLYAPQFMEFLDESLDFVWHDF >Manes.03G037516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3309870:3310455:1 gene:Manes.03G037516.v8.1 transcript:Manes.03G037516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCKQSICFEERERKQVGQLSLVRFSTLPSANTRQTYLILAFFIFHPQYFGILLTVHTIFELFHPHHENIGILKKADL >Manes.17G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32411345:32421496:-1 gene:Manes.17G117000.v8.1 transcript:Manes.17G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIMNKEPPPPMVLVPPLFDFPPLAARTRMLESSYNLLFGKLALKCLFEDYFEEARHFTTRIMLKPIDDPHVDLIATVSGPLDHKPEEKITGNALFRWQSDVDDPHTFMDLFVSNSDPILQMRSCAYYPRYGLGAFGIFPLLLRKRIASEDYGVMGLRYGLGNLSFGATLMPFAVKDELPKSAWLVSKFGRLTVGVQYEPQNGSKDAVKYKNLMNWSAAIGYGVGSGSPLSPSFNFCLELAKNSQFIASFYQHAVVQRRVKNPFEENEIVGITNYIDFGFELQTRVDDFQTSKDIPESTFQIAASWQANKNFLLKGKLGPLSSSLTLAFKSWWKPSFTFNISATRDRIIGKTAYGFGIRIENLREASYQRADPNFVMLTPSKEHLAEGILWKSGTRPMLQSDVNAGNFDNLPRELRPLGRML >Manes.17G117000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32411345:32421496:-1 gene:Manes.17G117000.v8.1 transcript:Manes.17G117000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIMNKEPPPPMVLVPPLFDFPPLAARTSDVDDPHTFMDLFVSNSDPILQMRSCAYYPRYGLGAFGIFPLLLRKRIASEDYGVMGLRYGLGNLSFGATLMPFAVKDELPKSAWLVSKFGRLTVGVQYEPQNGSKDAVKYKNLMNWSAAIGYGVGSGSPLSPSFNFCLELAKNSQFIASFYQHAVVQRRVKNPFEENEIVGITNYIDFGFELQTRVDDFQTSKDIPESTFQIAASWQANKNFLLKGKLGPLSSSLTLAFKSWWKPSFTFNISATRDRIIGKTAYGFGIRIENLREASYQRADPNFVMLTPSKEHLAEGILWKSGTRPMLQSDVNAGNFDNLPRELRPLGRML >Manes.11G106100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24501439:24503908:-1 gene:Manes.11G106100.v8.1 transcript:Manes.11G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAFAVSCLLLSHLLEASAEQCGRQAGGALCPGGLCCSKFGWCGNTPDYCGADCQSQCSAGKDLSSIISRSTFDQMLKHRNDGGCSAKGFYTYDAFISAAKAFPSFGNTGDVAVRKREIAAFFAQTSHETTGGWATAPDGPYAWGYCFLKEQNPGSYCSPSPTYPCAPGKQYYGRGPIQLSWNYNYGQCGRAIGIDLLNNPDLVATDPVISFKTAIWFWMTPQSPKPSCHDVIIGRWSPSQADRSAGRVPGYGTVTNIINGGLECGKGWNAKVEDRIGFYKRYCDLLGVGYGSNLDCYNQRPFGNGLLDLVDAM >Manes.09G131300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33282274:33284429:1 gene:Manes.09G131300.v8.1 transcript:Manes.09G131300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPYPINITIEMAKTLIWRPSSLSSASPHDHHPLLRRQALRSSSLLLLSKRCFVSRNAIFPNKTEPNLLFLSCNHDGEKRRPIESHGYKSPKLGFGLAGNRRFTVKAANVNDAGSIDSLLMQSMEKKIKEELNAESVTVKDAYGDGRHVSIDVISSAFEGQSSVDRQRMVYKAIWVELQNTVHAVDQMTTKTPAEAAEQK >Manes.09G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33282740:33284858:1 gene:Manes.09G131300.v8.1 transcript:Manes.09G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLIWRPSSLSSASPHDHHPLLRRQALRSSSLLLLSKRCFVSRNAIFPNKTEPNLLFLSCNHDGEKRRPIESHGYKSPKLGFGLAGNRRFTVKAANVNDAGSIDSLLMQSMEKKIKEELNAESVTVKDAYGDGRHVSIDVISSAFEGQSSVDRQRMVYKAIWVELQNTVHAVDQMTTKTPAEAAEQK >Manes.09G079700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:15251644:15253759:-1 gene:Manes.09G079700.v8.1 transcript:Manes.09G079700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKLSTRLLNICIASYCKVRQLDRAESIICDAIRLGVLPNTVTYNTLIDGYSRLVSFEAAYSLLHRMSEASINPTVITYNSLIAGATRNCLVLKSLELFDEMLQRGIAPDIWSFNTLMHCFFKVGKPEEAYQVFRDIIHHDLSPCPATFNIMINGLCKNGYMSNALMLFRSLQRHGFVPQLITYNILINELCKAGRLKAASRMLKELAASGYVPNCITYTTIMKCCFRSRRFEQGLEIWQEMCDKGYTFDGFAYCTIAGALIKCGRINEATCYMDQMRRNGIEHDLASYNTLIHMYCKEGKLEAAYELLDEIEGVGLECDEYTHTILIDGLCKIGNIEGAQRHIKHMNVMGFSSPSVALNCVVDGLGKAGKIDHALQMFESMETRDSFTYSSLVHNLCNARRYRCASKLLLSCLNNGMKILRSAQRAVINGLGHSGFHKEARQLKLKIRLARMVHC >Manes.02G207809.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21223307:21226300:1 gene:Manes.02G207809.v8.1 transcript:Manes.02G207809.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSPQFAMKAYLHSLQLSRREDNTSSYGSTKLVEPKCMEFLSALAAGKQAKLMVEITTQGITPLTISLAVAAKQTGGKLICILPHHHQQQNFINKCRNHDLHLPDLEDLEDVIEFVPGGNPFKVAMQYKKIDFLVVDGKLEGHLKLLEMVDLNPSGCLIVGHNLQYREYEVSFGQVLNRKKGIDCVSLPIGEGMELTRIESFTKRKCRRFKRFHVIFEN >Manes.01G000403.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1169282:1171118:1 gene:Manes.01G000403.v8.1 transcript:Manes.01G000403.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPSVGSGSSNFSSSELMDQVKTQLAQAYAQEFLETVRGKCFEKCITKPSSSLSGSESSCISRCVDRYIEATGIISRALFSAPH >Manes.15G121750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9701193:9702131:1 gene:Manes.15G121750.v8.1 transcript:Manes.15G121750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWADASKRHVEFKEGDLVMVKLLPPLLRNYGKVHKGLLRRYEGPFPIEKRVGNVAYRVKLPEHIEAHLVFHVSMLKPFHKDEGEPSRGVSHRAPAAVGKSYANQVKEIVSHRVVPRRANHPSYKEYLVRWKGLSDTEATWEHELNLWENEDEVLAYWQGATRASPH >Manes.16G118700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32235925:32241120:1 gene:Manes.16G118700.v8.1 transcript:Manes.16G118700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRSPFKGIIKDLRGRTACYKEDWISAFRSGISILAPTTYIFFASALPVIAFGEQLSRSTDGSLSTVEALVSTAICGIIHSIIGGQPLLIVGVAEPTIIMYTYLYKFSKGRAELGHKLYLAWAGWVCVWTALMLFLLAIFNAANIIAKFTRIAGELFGMLITVLFLQEAIKGLVTEFYVPKHENSQLEKYQFHWLYVNGLLAIIFSFGLLVTALKTRKARSWRYGTGWLRSFIADYGVPLMVLLWTLMSYSVPNKVPAGVPRRLDCPLLWDSVSVYHWTVIKDMGKVPMVYIFAAIVPAIMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLICGLLGLPPSNGVLPQSPMHTKNLAVLKKQLIRKKMVKSAKECIERKASNSEIYGRMQAVFIEMDTAPPTASVDKELEDLKVAVMQSDDGGVEKEKFDPDKHIDAYLPVRVNEQRMSNLLQSLLVGISTCALPIIKKIPTSVLWGYFAYMAIDSLPGNQFWGRILLLFVPPNRRYKVLEGVHASFVELVPFKNIAIFTLFQFAYFFICFGVTWIPIGGVLFPLPFFLLVGIRHLIFPKLFHPSYLQELDAAEYEEVAGTPKGSRNLMFRERDPANMRNEPTEEDDFFDVLDEMTTHRGELRHRTVNVKEDKLYQVHPQADNGRRQ >Manes.16G118700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32235939:32241108:1 gene:Manes.16G118700.v8.1 transcript:Manes.16G118700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRSPFKGIIKDLRGRTACYKEDWISAFRSGISILAPTTYIFFASALPVIAFGEQLSRSTDGSLSTVEALVSTAICGIIHSIIGGQPLLIVGVAEPTIIMYTYLYKFSKGRAELGHKLYLAWAGWVCVWTALMLFLLAIFNAANIIAKFTRIAGELFGMLITVLFLQEAIKGLVTEFYVPKHENSQLEKYQFHWLYVNGLLAIIFSFGLLVTALKTRKARSWRYGTGWLRSFIADYGVPLMVLLWTLMSYSVPNKVPAGVPRRLDCPLLWDSVSVYHWTVIKDMGKVPMVYIFAAIVPAIMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLICGLLGLPPSNGVLPQSPMHTKNLAVLKKQLIRKKMVKSAKECIERKASNSEIYGRMQAVFIEMDTAPPTASVDKELEDLKVAVMQSDDGGVEKEKFDPDKHIDAYLPVRVNEQRMSNLLQSLLVGISTCALPIIKKIPTSVLWGYFAYMAIDSLPGNQFWGRILLLFVPPNRRYKVLEGVHASFVELVPFKNIAIFTLFQFAYFFICFGVTWIPIGGVLFPLPFFLLVGIRHLIFPKLFHPSYLQELDAAEYEEVAGTPKGSRNLMFRERDPANMRNEPTEEDDFFDVLDEMTTHRGELRHRTVNVKEDKLYQVHPQADNGRRQ >Manes.16G118700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32235939:32241108:1 gene:Manes.16G118700.v8.1 transcript:Manes.16G118700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYLYKFSKGRAELGHKLYLAWAGWVCVWTALMLFLLAIFNAANIIAKFTRIAGELFGMLITVLFLQEAIKGLVTEFYVPKHENSQLEKYQFHWLYVNGLLAIIFSFGLLVTALKTRKARSWRYGTGWLRSFIADYGVPLMVLLWTLMSYSVPNKVPAGVPRRLDCPLLWDSVSVYHWTVIKDMGKVPMVYIFAAIVPAIMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLICGLLGLPPSNGVLPQSPMHTKNLAVLKKQLIRKKMVKSAKECIERKASNSEIYGRMQAVFIEMDTAPPTASVDKELEDLKVAVMQSDDGGVEKEKFDPDKHIDAYLPVRVNEQRMSNLLQSLLVGISTCALPIIKKIPTSVLWGYFAYMAIDSLPGNQFWGRILLLFVPPNRRYKVLEGVHASFVELVPFKNIAIFTLFQFAYFFICFGVTWIPIGGVLFPLPFFLLVGIRHLIFPKLFHPSYLQELDAAEYEEVAGTPKGSRNLMFRERDPANMRNEPTEEDDFFDVLDEMTTHRGELRHRTVNVKEDKLYQVHPQADNGRRQ >Manes.17G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24822201:24824935:-1 gene:Manes.17G051200.v8.1 transcript:Manes.17G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVQRWLKELRDHTDANIVIMLVGNKADLRHLRAVATEDAKGFAERENTFFMETSALESMNVENAFTEVLTQIYRVVSRKALDIGDDPAALPRGQTINVGGRDDVSAVKKAGCCSS >Manes.12G136200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34313351:34318404:1 gene:Manes.12G136200.v8.1 transcript:Manes.12G136200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRFLFLSSKHPSRQCLNLLQRFGPSKRVQSRSYTDSTGHRRAKPVADPVPVKPVETEFPRMWDSRMLVIPVAAFAGLAALVYSNDQRRAIPKGEGNKSDFAKVQGPIIGGPFTLVDANNQVVTEQEFIGKWVLLYFGYTHSPDVGPEQVQVMAKAIDTLESKENIKVLPVFVTIDPQRDTPSHLRAYLKGPVSAVRQMAQEYRVYFRKVEEEGDDYLVESSHNMYLINPNMEVARCFGVEYNAEELAEEILKEIKKSLST >Manes.12G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34313351:34318404:1 gene:Manes.12G136200.v8.1 transcript:Manes.12G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRFLFLSSKHPSRQCLNLLQRFGPSKRVQSRSYTDSTGHRRAKPVADPVPVKPVETEFPRMWDSRMLVIPVAAFAGLAALVYSNDQRRAIPKGEGNKSDFAKVQGPIIGGPFTLVDANNQVVTEQEFIGKWVLLYFGYTHSPDVGPEQVQVMAKAIDTLESKENIKVLPVFVTIDPQRDTPSHLRAYLKEFDSRIVGLTGPVSAVRQMAQEYRVYFRKVEEEGDDYLVESSHNMYLINPNMEVARCFGVEYNAEELAEEILKEIKKSLST >Manes.01G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2250914:2263387:1 gene:Manes.01G005000.v8.1 transcript:Manes.01G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNQQTPQFDMHKFFMPTTTATIQNPSPNLMIPPTSIPSSSYPPLTGTHSNFRFQFPQQFHHAPSFPLPNDNHHHHHPSPPAISNMPQRSMSYPTPSLQPQPQQHQQQHRNPSPRKNNERSGAEIMALLRPPPSPPLNQEPSPQPPQLLQHQHTPEFSNNIPPVGPIRMPSSKMPKGRRILGDHVVYDVDVRLQGEVQPQLEVTPITKYTSDPQLCLGRQIAVNKSYICYGLKQGNIRILNINTALRSLFRTQSQRVTDMAFFAEDVHLLASAGLDGRINVWKISEGPDEEEKPQITGKTVIAVQIVGEGEIKNPRVCWHCYKQEILVAGVGKHVLRIDTNRVGKNGVYSSDVPLQIPVDKLIDGIQLVGKHEGEVTDLSMCQWMTTRLVSASMDGTIKIWEDLKAVPLVVLRPHDGLPVYSSTFLTATNRPDHITLITAGPQNRELKIWVSDKEEGWLLPSDADSLTCTQTLELKSSAEPQVDEAFFNQVVALSQVGLLLLANAKRNAIYVLHLDYGSNPAATHMDYISEFTVTMPILSLTGTSDVLHGQYVAQIYCVQTQAIQQYTLDLCQCLPPLLADVGSEKSEPNISHDLANTEGVPPLDSSGNKFSDIPTSSASVGAAILRDISSSDIDSKPLVFTPSISDAEIACVTSSPLSLTHKGFTEVTVAAGLEPGLPPGDQSSNQAVIDYLVDQQMDTIHANLSDVHSLDGDVRTDEIKGTRDESSSILNPSIIFKHPTHLITPSEILMGASSPSNNSNNNEVKAEFEANIQDVVNNDVNNAEVEVKVVGDTKSTHNDEFGLRGETKHLISEKKEKYFCSQASDLGIEMAKDCCSISAETHTMEESQQVDGVDVAKFLAQPAHTSEEEVDDSTKDTSGKSSESSMPAIVQQSTSPNMKGKKQKGKNSQASGPSSLSPSASNSTDSSNEPAGTSSLPSLDAAFPQIFAMQEMLNQLVTTQKEMQKQMSNMVAVPVSKECRRLEAALGRSIEKAVKTNSDALWAHIQEENAKNEKLLRDRTQQITSMISNFVNKDLTAVLEKAVKKELASVGPAVARTVSPVIEKTISSAIAESFQRGIGDKAVNQLEKSVNSKLEATVARQIQAQFQISGKQALQDALKAGLEASVVPAFEMSCKAMFEQVDSTFRKGMVEHTTAAKQHFESAHSSLALALREAINSASSLTQTLSGELAESQRKLVALVAAGANSGSVNPMVTQLSNGPLAGLREKVETHVDPTKDLSRLISEHKYDEAFTIALQRSEVSIVSWLCSQVDLRGILAMVPLPLSQGVLLSLLQQLACDAGKDTARKLTWMTDVAAAINPEDEMIAVHVRPIFEQVTHILHHQRSSPTITGPELATIRVLMHVINSVLVTYK >Manes.01G005000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2253004:2263387:1 gene:Manes.01G005000.v8.1 transcript:Manes.01G005000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAEDVHLLASAGLDGRINVWKISEGPDEEEKPQITGKTVIAVQIVGEGEIKNPRVCWHCYKQEILVAGVGKHVLRIDTNRVGKNGVYSSDVPLQIPVDKLIDGIQLVGKHEGEVTDLSMCQWMTTRLVSASMDGTIKIWEDLKAVPLVVLRPHDGLPVYSSTFLTATNRPDHITLITAGPQNRELKIWVSDKEEGWLLPSDADSLTCTQTLELKSSAEPQVDEAFFNQVVALSQVGLLLLANAKRNAIYVLHLDYGSNPAATHMDYISEFTVTMPILSLTGTSDVLHGQYVAQIYCVQTQAIQQYTLDLCQCLPPLLADVGSEKSEPNISHDLANTEGVPPLDSSGNKFSDIPTSSASVGAAILRDISSSDIDSKPLVFTPSISDAEIACVTSSPLSLTHKGFTEVTVAAGLEPGLPPGDQSSNQAVIDYLVDQQMDTIHANLSDVHSLDGDVRTDEIKGTRDESSSILNPSIIFKHPTHLITPSEILMGASSPSNNSNNNEVKAEFEANIQDVVNNDVNNAEVEVKVVGDTKSTHNDEFGLRGETKHLISEKKEKYFCSQASDLGIEMAKDCCSISAETHTMEESQQVDGVDVAKFLAQPAHTSEEEVDDSTKDTSGKSSESSMPAIVQQSTSPNMKGKKQKGKNSQASGPSSLSPSASNSTDSSNEPAGTSSLPSLDAAFPQIFAMQEMLNQLVTTQKEMQKQMSNMVAVPVSKECRRLEAALGRSIEKAVKTNSDALWAHIQEENAKNEKLLRDRTQQITSMISNFVNKDLTAVLEKAVKKELASVGPAVARTVSPVIEKTISSAIAESFQRGIGDKAVNQLEKSVNSKLEATVARQIQAQFQISGKQALQDALKAGLEASVVPAFEMSCKAMFEQVDSTFRKGMVEHTTAAKQHFESAHSSLALALREAINSASSLTQTLSGELAESQRKLVALVAAGANSGSVNPMVTQLSNGPLAGLREKVETHVDPTKDLSRLISEHKYDEAFTIALQRSEVSIVSWLCSQVDLRGILAMVPLPLSQGVLLSLLQQLACDAGKDTARKLTWMTDVAAAINPEDEMIAVHVRPIFEQVTHILHHQRSSPTITGPELATIRVLMHVINSVLVTYK >Manes.01G005000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2253404:2263387:1 gene:Manes.01G005000.v8.1 transcript:Manes.01G005000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFAEDVHLLASAGLDGRINVWKISEGPDEEEKPQITGKTVIAVQIVGEGEIKNPRVCWHCYKQEILVAGVGKHVLRIDTNRVGKNGVYSSDVPLQIPVDKLIDGIQLVGKHEGEVTDLSMCQWMTTRLVSASMDGTIKIWEDLKAVPLVVLRPHDGLPVYSSTFLTATNRPDHITLITAGPQNRELKIWVSDKEEGWLLPSDADSLTCTQTLELKSSAEPQVDEAFFNQVVALSQVGLLLLANAKRNAIYVLHLDYGSNPAATHMDYISEFTVTMPILSLTGTSDVLHGQYVAQIYCVQTQAIQQYTLDLCQCLPPLLADVGSEKSEPNISHDLANTEGVPPLDSSGNKFSDIPTSSASVGAAILRDISSSDIDSKPLVFTPSISDAEIACVTSSPLSLTHKGFTEVTVAAGLEPGLPPGDQSSNQAVIDYLVDQQMDTIHANLSDVHSLDGDVRTDEIKGTRDESSSILNPSIIFKHPTHLITPSEILMGASSPSNNSNNNEVKAEFEANIQDVVNNDVNNAEVEVKVVGDTKSTHNDEFGLRGETKHLISEKKEKYFCSQASDLGIEMAKDCCSISAETHTMEESQQVDGVDVAKFLAQPAHTSEEEVDDSTKDTSGKSSESSMPAIVQQSTSPNMKGKKQKGKNSQASGPSSLSPSASNSTDSSNEPAGTSSLPSLDAAFPQIFAMQEMLNQLVTTQKEMQKQMSNMVAVPVSKECRRLEAALGRSIEKAVKTNSDALWAHIQEENAKNEKLLRDRTQQITSMISNFVNKDLTAVLEKAVKKELASVGPAVARTVSPVIEKTISSAIAESFQRGIGDKAVNQLEKSVNSKLEATVARQIQAQFQISGKQALQDALKAGLEASVVPAFEMSCKAMFEQVDSTFRKGMVEHTTAAKQHFESAHSSLALALREAINSASSLTQTLSGELAESQRKLVALVAAGANSGSVNPMVTQLSNGPLAGLREKVETHVDPTKDLSRLISEHKYDEAFTIALQRSEVSIVSWLCSQVDLRGILAMVPLPLSQGVLLSLLQQLACDAGKDTARKLTWMTDVAAAINPEDEMIAVHVRPIFEQVTHILHHQRSSPTITGPELATIRVLMHVINSVLVTYK >Manes.08G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36560294:36562622:-1 gene:Manes.08G125400.v8.1 transcript:Manes.08G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLNSSEGVAGTIFFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPGGKEHGAPEDDIRHAGDLGNVTAGDDGTASFTIVDKDIPLSGPHSIVGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGVIGLQG >Manes.08G125400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36559810:36564051:-1 gene:Manes.08G125400.v8.1 transcript:Manes.08G125400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVAVLNSSEGVAGTIFFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPGGKEHGAPEDDIRHAGDLGNVTAGDDGTASFTIVDKDIPLSGPHSIVGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGVIGLQG >Manes.03G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23915355:23919061:-1 gene:Manes.03G114000.v8.1 transcript:Manes.03G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINMQLKISQLLSFFFFFFFSFVLLLHPYLAETTNEHEEEEVRRSQFPDGFLFGTSTSAYQIEGAYLEDGKSLNNWDVFTHIPGNIKNNDNGDIADDHYHQFLEDIELMQSLGVNAYRFSISWSRILPRGRFGEVNTRGITFYNNLIDNLLLRGIEPFLTIHHNDIPQELEDRYGSWLSPLMQEDFVYFAEICFKSFGHKIKYWTTLNEPNLFADMAYIRGRYPPGHCSPPFGNCSSGNSDVEPLVAMHNMILAHAKAVKLYRQNFQSKQGGLISIVANAMMYEAFRENEDDRKAVSRALAFQVAWMLDPIVYGDYPPEMRHYLGSTLPRFSQEEISIVKESIDLIAINHYTTLYAKDCINSACIPGSDRPVKGFVYTTGERDGVLIGDPTANPDFFVVPRGMEKLINYVKERYNNMPMIVTENGFAPAPQQKQQDQQDLLQDSERINFHRSYLAALAKAIRNGADVRGYFVWSMMDNFEWADGYSSQYGLYYVDRRTLERIPKLSAKWYKNFLAVDASRNSKNITSIVSEIAKVEI >Manes.04G021500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2579080:2584394:1 gene:Manes.04G021500.v8.1 transcript:Manes.04G021500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSIIFFSLITLLLFSKLSPSLSKTQNDKVEDDDDLSFLDDENDKNGASSHDVRYPGFHQFEGYEIDGDDFDDYSDFDDSEKEPEIDDTDVVVLKERNFSGFIDKLKFVMVEFYSPWCAHCQALAPEYAEAAAELKEEGVVLAKVDATEETELALEYDIQGYPTIYFFIDGVHKLYTGERSKDAIVTWIKRKIGPAIHNITTLDDAERVLTSDSKLVLGYLNSLVGPESEELAAASRLEDDVNFYQTVNPDVAKLFHFDPKVKRPALIMIKKEAEKLSYFDGNFSKLEIIEFVLANKLPLVTTFTRESASSVFENPIKKQLLLFATSNDSEKIIPIFQEAAKLFKGKLIFVYVALDNEEVGKPVADYFGASGNEPTVVAYTGNDDSRKFVLSEEVSLDKIKTFAEEFLEDQLKPFFKSDPIPETNYGDVKIVVGNNFDELVLDESKDVLLEIYAPWCGHCQTLEPTYNKLAKHLRGIKSLVIAKMDGTRNEHPRAKADGYPTILFFPAGNKSFEPLTVDTDRTVVALYKFIKEHASIPFKLRKPASTPKSKSSSDAEGSQKSSIKNVKDEL >Manes.08G021000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2105099:2112908:1 gene:Manes.08G021000.v8.1 transcript:Manes.08G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMESTRRSFDRSREPAGLKKPRLSEDQTGLNVRPFPQRPAAALPPSSSARFRATSDRDSESNDSSRGGAYQPQSQQYHELVSQYKRALAELTFNSKPIITNLTIIAGENLHAAKAIAATVCANILEVPSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPPVHSSMRHLFGTWKGVFPPQSLQAIEKELGFASAVNGSSSGDATSRPDAQSRRPQHSIHVNPKYLEIQRLQQSGRAKAAANDLSVSISNSTEDTERPERAAGLGAGRSWVDPSVKMQNFQRSHRETPTEAVQQKIGTIYGDLEYSSDMSRNSDVGIGRTSGRIAEQGSEKPWYGAGNSVTETIPGQRNGFSMKHGFPNFSTSKSANVDFLQPTQGIASKSSNAMSASWKNSEEEEFMWDMHSRLSDPNAVNPSNNSRKDRWTPDDSEKLEFDDQLRKPQSAHEILSKFDRETSADSLSTEQKEQVPFGHHLSSPWRLKESHPTDGPIISGSSTVNTGQTEGYSATLGRLPMKASSSVPRMPIRPHIVGVSGSGLSAKTSLGSGQQRFQTLGAASLSGQSPMLQRPPSPSFPAHYPHLQLQNSIEQDLSHPDYKAHQLSGNLLPSNVKLSNLQKLQAEDLPTSSPSLTSQRSRQYSISQPRQVGSKQPESSGQVQRTHLNLVSKVGTPSTSGSSTPDHSTPLSAETSGQSSTSSLLAAVMNSGILSNISTVGLANKNFQDVGKNPTESSIKPPLPSGPLPQITSSGTRVASASAPLSHDVTSVTSNVSERKEEQPPLPPGPPPSSLQTSSAANKVVNPISNLLSSLVAKGLISASKSETSSPSPSQMPTQSDTQNLANSSNTSTSSLPVSSAVPDASTTDEVLLSKPDAEKPVMLSQPTSAEIKGLIGLEFKSDVIRELHPPVISSLFDDLPHRCSICGLRLKLKERLDRHLEWHTLRKPEPDDMNKVTRRWYAGSGDWVTGKAELPFGIEASVFTDELAGTMDEDVPMVSADEDQCVCVLCGELFEDYYSHQMKKWMFKEAVHLTLTSRDGGIGTTSENGEGPIVHINCISESSVHDLGLTSGIEMDKDG >Manes.15G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5788360:5796333:1 gene:Manes.15G076800.v8.1 transcript:Manes.15G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTDGQQQKNQQARPNNGFLPSSFRAISSYLRIVSSGASTVARSAASVAQSIVDRDDNDNNDQVQWAGFDKLEDGADVIRQILLLGYRSGFQVWDVEEADNVHDLVSRHDGLVSFMQMLPKPIASKRPADKLADSRPVLVVCTDGTLSGGNTIQDGLPTPYNGNIPKHYDLGNFSFVPTVVRFYSLRSQSYIHELKFRSAVCSVRCSSRIVAISQAAQIHCFDAATLEREYTILTNPIVTSYPGSGGIGYGPLAVGPRWLAYSGGPVAVLNSERVRPQQLTPSASFTGSDSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSRYCSELLPDSSGFHQSGSPAWKVNSTVNGHLLDADNVGMVVVRDIVSKRVITQFRAHRSPISALCFDPSGTLLVTASVHGHNINVFKIMPGLLGGSSTGDADASYAHLYRLQRGFTNAVIQDICFSDDSNWIMISSSRGTNHLFAINPFGGSVNFQTSDASYTTKSSGLSVMTKSAVRWPANLGLQMHNQQSICAPGPAVTLSAISRIRNGNNGWKGAVTGAAAAATGRLGSLSGAIASSFHNSKGNDDLYVDGTTLKTKYHLLVFSPLGCMIQYVFRISAGIDSTVVSGLGATHESVPESDGRLVVEAIQKWNICHKQSRREQEDDVDVYGENGNSDSNKIYPEGKKKGNSLHPEGRAVATKAKFSLEERHHLYISEAELQMHQPHIPVWAKPKIYFQLMMAEGIKMEEETAVQGEVEVERIPTRTIEARSKDLVPVFDYLRHSRVPALDGNVNGQLRHQRYVLPENFRLSRRNSSGSLDSVTDPGTVATEHQNGVKETGWNGPRMPVEAMGYVNSINSPKTDTWLENVNNRASLRREAQLKLVNSNNRSPKVENQFEDEGDEFD >Manes.18G036300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3195620:3197448:-1 gene:Manes.18G036300.v8.1 transcript:Manes.18G036300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVRYKGVPVSDVQLRKLFSRFDKNKDNRLSREEISEAFSELGGFFPDYRAGRVLTHYDTNEDGFIDLGECTNCWSETLGLTSEVLVLKSTFKLFI >Manes.18G036300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3195620:3197448:-1 gene:Manes.18G036300.v8.1 transcript:Manes.18G036300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVRYKGVPVSDVQLRKLFSRFDKNKDNRLSREEISEAFSELGGFFPDYRAGRVLTHYDTNEDGFIDLGECTNCWSETLGLTSEVLVLKSTFKLFI >Manes.18G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3195620:3197448:-1 gene:Manes.18G036300.v8.1 transcript:Manes.18G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVRYKGVPVSDVQLRKLFSRFDKNKDNRLSREEISEAFSELGGFFPDYRAGRVLTHYDTNEDGFIDLGECTNCWSETLGLTSEVLVLKSTFKLFI >Manes.18G036300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3195620:3197448:-1 gene:Manes.18G036300.v8.1 transcript:Manes.18G036300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVRYKGVPVSDVQLRKLFSRFDKNKDNRLSREEISEAFSELGGFFPDYRAGRVLTHYDTNEDGFIDLGECTNCWSETLGLTSEVLVLKSG >Manes.13G145818.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35986407:36001869:1 gene:Manes.13G145818.v8.1 transcript:Manes.13G145818.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQNGHQYPKQSRLDPPPLDDTELHQQQELDEEEEEEDDEAPHKPEEDAEGQEDEEQEEQAEEKQPAQDSEESQSSSSEDEKPEFVFVELPEVRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCENIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRQK >Manes.01G144800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33526488:33529986:-1 gene:Manes.01G144800.v8.1 transcript:Manes.01G144800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANQVRASHILIKHEGSRRKASWKDPEGLVIRNTTRDSAVSQLQLFRNDIISGKAKFEDIASRFSDCSSAKRGGDLGPFGRGQMQKPFEDATFALKIGEISDIVDTDSGVHIIMRTG >Manes.09G059305.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9601565:9608275:-1 gene:Manes.09G059305.v8.1 transcript:Manes.09G059305.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSLIASQLFIFILLAIGALLLPCIVIPSWYKIVQHMKEKVDLNASMLRSGLLFQIENTAKLLLPINSSTANLARTLSSSLNGSDLSQFDLQNKVAPILFQTISIIPHISQISYIGLEGNIFAYYVEGNQTFAMYSNSTASSNSSSTNKPMKYTCYKQPVDTDTGRLYGDASESWFNILANASWIQEALASSNGYASLGNGWNTAHDLLFLNSITIHGQGVISLGFPVKALISFFLDIDLYGGSLYLVAQNGEVLANGLPNTEIVVIGKSVFFSLFKPNGDQIIVGDVSCVPNNGVLRPSILNIGETKYRVFCSPLQIVGVQSVYALAFPYNGFASNVHRSTKIALILLMVMIAAVFISILSFVLLMVRAATREIYLCSALIKQMEATQQAERKSMNKSLAFARASHDIRAALAGITGLIEMSYEEACPGSELEINLHQMDDCAKDLVDLLNSILDTSKMEAGKMQVDSEEFDLAHLLEDVVDLFHPVGMRSGVDVVLDPYDGTVLKFSQVKGDRGKLRQVLCNLLSNAVKFTSEGHVLVRAWARKPGIENKIIASNRNGFWKHLSCKFTENKEDNDVEAMNSVKQNPNCMEFVFEVDDTGKGIPKEKQRSVFENFVQVKETTLGQGGTGLGLGIVQSLVRLMGGDIKIVDKENREKGTCFRFNTFLIASAGSRSTSNTMGDIETGFCNTHQYSVSTPRLKIWGSSPWLSKLGSSPKTERSHVVLMIQTAERRRIVHKFMESLGIEASVVRKWEWLHSALTKIKSEQNVSPYNSSERSDFGSRSEISSSTSKDVPLSALDGIEERLPSQRSAGNFRRSPSFILLVIDTSAGPFQELYGAVTEFRRGLRRCYCKVVWLDKPTSRSINPGSLEEYMIHPHDDILLKPFHGSRLFQAIKLLPEFGGTMHHRVSSAITKGEFTYHGGKFVRDPGTSSTMHSRSRKRSSTVQYYGHSLPLGEGSSRRGKHRKPRHYLARRYSVGSSEIEVKQEEEKVEEFHCNQSNDKPLSGLRFMVAEDNSFLRNVAMINLSRLGASVELCQNGEEALQLVLLGLQEQRKHEAYSTSPYCPYDYILMDCEMPVMNGYEATRQIREEERSYNIHIPIVALTAHTSGEDWEKMRNAGMDYHLCKPLRRESLLEAIRHIHDGPAPSGPENWSI >Manes.05G158000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27079029:27080687:-1 gene:Manes.05G158000.v8.1 transcript:Manes.05G158000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRPFGRFRLPWLSATTARPAVEPQPARPPVEIIQAPAQPAATFPVQRPPFRPAGIASVLPVQSQPQAPQRAETRPPSPARSTIESQLPASPSRARTQTRGASVPPSPSRVASQPASPSRSASEGRAATQTQSPSRVAPQPRAASVPPSPTRTSSQPQPTVQTVPQPSLFAPRFAGQTSSQPSSPSRGATQVRPPVPQPPSPPKKPQPTVQETLQPRAVSTQPPLSASQQESKPVELLSQATKETKEGKEVELLKRETNAPTKEESLKSSILGIGAQPKEQLMIAEFHSEKKKQEREDKKTTSISSSGEHIKTVSSTQPKGRNKLTESHQKPGMANGEQVSFQKDIRDDIFKFVHKLGVGQLKYPGDEKPVSIVTIAGENRGASMHVGSEPARKDGSLHIHRGYKLNPDDSTGATTDGDESTKGRSKTPAREEPVKKAYVNSNTQSINNSMLFESSVNERNPGVQLVLSQNLAEPINPNAKPETMETHKAEFNITPAQKLTYEPTIRRRCLRGLFLEPSDSDPDNPEKPRRHGCRYNCAEMGKDKDLGVL >Manes.01G143900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33432248:33461444:1 gene:Manes.01G143900.v8.1 transcript:Manes.01G143900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADEEPIKGSSGDEEKTLVSVRLRPLNEKETARNDVSDWECINNNTVIYRNNLSVPESSLCPTVYTFDRVFRPESSTRQVYEEGAKEVALSVVNGINSSIFAYGQTSSGKTYTMDGITEYTVVDIYDYIDKHKNREFILKFSAMEIYNESVRDLLSMDTTPLRLLDDPERGTVVERLSEETLRDWNHFKELLSVCEAQRQIGETSMNETSSRSHQILRLTIESSACGDLGNDKSSTLAASVNFVDLAGSERASQSLSAGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTISPARSHVEQSRNTLLFANCAKEVTTNARVNVVVSDKALVKKLQRELARLESELRSTGSNFSTSEYTKVLREKDLQIEKLGREVLKLNQQLELVNSQVENLLRAVEDDGSSTISADPDHHYPKLRVQNSFKSENSVPYSSASEDPRFLDIRIRSFNASQCFAGDNSSNSDENFIQLVNFEENFVQTPKPSICTSSLVGDGLHGKDTEELTCENPGNLCKEVQCITTDESSEKRHGNSQMSESSPQRYAESNVSSPNVNTGTSGLTEAENEDRENQELESPQLKEEKELNCLYSDFILPSPEKPSPWLVEEGMSSSRSVKMIRSRSCRARLTSAPAYLFEKVEKNESTPPVGFGKIFKGRNKGSQRNFSALKKGHDAEESSSNGSLTSVGSAAVDGYQMQTTENAIDWKSTSSVSNSDAGMKYLIDHLEQETKSEVIQSAKSVKDVGLDPIQDDLDSAMKWASEFKRLQGEIIELWHVCSVSLVHRTYFFLLFKGDPTDSFYMEVELRKLSFLNDTFSQGKETLVDGRILSLTSSKRALNQERQMLCSQMQKKLSKEEREDIFLKWGISLSSSNRRMKLVQNLWTKTTDMDHITQGATLIAKLVGFEGQEQSLKEMFGLLNFAPQNLSRGKFSIWLRSVLSIL >Manes.14G129528.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10339283:10348208:-1 gene:Manes.14G129528.v8.1 transcript:Manes.14G129528.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPASRFRRKPTANASAAPSRMIKLICSFNGAFHFRPPSNKLRYIGGETRIVSVDRNIGLFKLLNKMSDLCPNLRSFSLKYQLPMSGRAGDPELDSETDVPLVSIASDEDVRCMIEEYDKLELYGKHARLWIFVCNDGDQSDVHYDNYNNGNSGFVKGNGEFWVDNPTNDALRGGAESCQAQFDGKAAKNPVKGVARFRYGDDSLRKIVLRQQLLGKQSEGIHNCGADSDHKYNHPFRDLAPETNASMPQGNLYQENMLGNRTGNANLRGSESSDRLVQYSGPRLLPLNPKDGNLHVETNSSTHCLSGKNFQVFGHGVGISNPLHSLRLKNMCDLPYAKQDSRILGQGAPSHLNRENIVPCAVVRALRTCNSQWGGNTYPAKSPFSTGGYQNGIRNNRCIMKESGNQRVYPYQVRSHRNFPAEMGNHRSTRLDGRLSAVKCYPGLRPNSTISKQGPSMRLYGSKQGGRTSMINSSLSKDNSSFSLSRGHGSAISQCGNSNAKDFSLPYHGEGVSVGNQSLLSPEAFATPSSCVEKTESQQDLLTGSPYEKNEVPYQSMYETITNELFTVDQQKVVNVSGLSNDLHHAIESAVECNTNLISTGRATNMFNNPKNGTPYFEVASSVDLLYNLSLSSSKGAETPACPSLASIAVSDSSLKPESNPLDIMGGQLSTGLEADKSNAVASNSTAPNAVSMEKDQEHEEDIQQDLLSGLSIDEKAETKEGTKCSKVIGGISSELAAFYTHLATRELQTIKNSDLEYIKELGSGAYGTVYYGKWKGSSVAIKRLKPSCFTGGSLKEDQLVADFWKEAHILGQLHHPNIVAFYGVVTDGPLNNLATVTEYMVNGSLKQVLRRKDRTIDRRKRIILAMDAAFGMEYLHEKNIVHFDLKSHNLLVNMKDPQRPVCKIGDLGLSKIKQRTLISGGVRGTIPWMAPELLHSKSNMVTEKCMDCMQVDVYSFGIVMWELLTGEEPYANLRSEEIIAGIIKGNLRPEIPSWCDPAWKSLMERCWSSDPESRPAFSEIAKELRTMSASMNIK >Manes.14G129528.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10339065:10348228:-1 gene:Manes.14G129528.v8.1 transcript:Manes.14G129528.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPASRFRRKPTANASAAPSRMIKLICSFNGAFHFRPPSNKLRYIGGETRIVSVDRNIGLFKLLNKMSDLCPNLRSFSLKYQLPMSGRAGDPELDSETDVPLVSIASDEDVRCMIEEYDKLELYGKHARLWIFVCNDGDQSDVHYDNYNNGNSGFVKGNGEFWVDNPTNDALRGGAESCQAQFDGKAAKNPVKGVARFRYGDDSLRKIVLRQQLLGKQSEGIHNCGADSDHKYNHPFRDLAPETNASMPQGNLYQENMLGNRTGNANLRGSESSDRLVQYSGPRLLPLNPKDGNLHVETNSSTHCLSGKNFQVFGHGVGISNPLHSLRLKNMCDLPYAKQDSRILGQGAPSHLNRENIVPCAVVRALRTCNSQWGGNTYPAKSPFSTGGYQNGIRNNRCIMKESGNQRVYPYQVRSHRNFPAEMGNHRSTRLDGRLSAVKCYPGLRPNSTISKQGPSMRLYGSKQGGRTSMINSSLSKDNSSFSLSRGHGSAISQCGNSNAKDFSLPYHGEGVSVGNQSLLSPEAFATPSSCVEKTESQQDLLTGSPYEKNEVPYQSMYETITNELFTVDQQKVVNVSGLSNDLHHAIESAVECNTNLISTGRATNMFNNPKNGTPYFEVASSVDLLYNLSLSSSKGAETPACPSLASIAVSDSSLKPESNPLDIMGGQLSTGLEADKSNAVASNSTAPNAVSMEKDQEHEEDIQQDLLSGLSIDEKAETKEGTKCSKVIGGISSELAAFYTHLATRELQTIKNSDLEYIKELGSGAYGTVYYGKWKGSSVAIKRLKPSCFTGGSLKEDQLVADFWKEAHILGQLHHPNIVAFYGVVTDGPLNNLATVTEYMVNGSLKQVLRRKDRTIDRRKRIILAMDAAFGMEYLHEKNIVHFDLKSHNLLVNMKDPQRPVCKIGDLGLSKIKQRTLISGGVRGTIPWMAPELLHSKSNMVTEKVDVYSFGIVMWELLTGEEPYANLRSEEIIAGIIKGNLRPEIPSWCDPAWKSLMERCWSSDPESRPAFSEIAKELRTMSASMNIK >Manes.14G129528.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10339078:10348213:-1 gene:Manes.14G129528.v8.1 transcript:Manes.14G129528.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPASRFRRKPTANASAAPSRMIKLICSFNGAFHFRPPSNKLRYIGGETRIVSVDRNIGLFKLLNKMSDLCPNLRSFSLKYQLPMSGRAGDPELDSETDVPLVSIASDEDVRCMIEEYDKLELYGKHARLWIFVCNDGDQSDVHYDNYNNGNSGFVKGNGEFWVDNPTNDALRGGAESCQAQFDGKAAKNPVKGVARFRYGDDSLRKIVLRQQLLGKQSEGIHNCGADSDHKYNHPFRDLAPETNASMPQGNLYQENMLGNRTGNANLRGSESSDRLVQYSGPRLLPLNPKDGNLHVETNSSTHCLSGKNFQVFGHGVGISNPLHSLRLKNMCDLPYAKQDSRILGQGAPSHLNRENIVPCAVVRALRTCNSQWGGNTYPAKSPFSTGGYQNGIRNNRCIMKESGNQRVYPYQVRSHRNFPAEMGNHRSTRLDGRLSAVKCYPGLRPNSTISKQGPSMRLYGSKQGGRTSMINSSLSKDNSSFSLSRGHGSAISQCGNSNAKDFSLPYHGEGVSVGNQSLLSPEAFATPSSCVEKTESQQDLLTGSPYEKNEVPYQSMYETITNELFTVDQQKVVNVSGLSNDLHHAIESAVECNTNLISTGRATNMFNNPKNGTPYFEVASSVDLLYNLSLSSSKGAETPACPSLASIAVSDSSLKPESNPLDIMGGQLSTGLEADKSNAVASNSTAPNAVSMEKDQEHEEDIQQDLLSGLSIDEKAETKEGTKCSKVIGGISSELAAFYTHLATRELQTIKNSDLEYIKELGSGAYGTVYYGKWKGSSVAIKRLKPSCFTGGSLKEDQLVADFWKEAHILGQLHHPNIVAFYGVVTDGPLNNLATVTEYMVNGSLKQVLRRKDRTIDRRKRIILAMDAAFGMEYLHEKNIVHFDLKSHNLLVNMKDPQRPVCKRTLISGGVRGTIPWMAPELLHSKSNMVTEKVDVYSFGIVMWELLTGEEPYANLRSEEIIAGIIKGNLRPEIPSWCDPAWKSLMERCWSSDPESRPAFSEIAKELRTMSASMNIK >Manes.14G129528.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10339078:10348305:-1 gene:Manes.14G129528.v8.1 transcript:Manes.14G129528.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPASRFRRKPTANASAAPSRMIKLICSFNGAFHFRPPSNKLRYIGGETRIVSVDRNIGLFKLLNKMSDLCPNLRSFSLKYQLPMSGRAGDPELDSETDVPLVSIASDEDVRCMIEEYDKLELYGKHARLWIFVCNDGDQSDVHYDNYNNGNSGFVKGNGEFWVDNPTNDALRGGAESCQAQFDGKAAKNPVKGVARFRYGDDSLRKIVLRQQLLGKQSEGIHNCGADSDHKYNHPFRDLAPETNASMPQGNLYQENMLGNRTGNANLRGSESSDRLVQYSGPRLLPLNPKDGNLHVETNSSTHCLSGKNFQVFGHGVGISNPLHSLRLKNMCDLPYAKQDSRILGQGAPSHLNRENIVPCAVVRALRTCNSQWGGNTYPAKSPFSTGGYQNGIRNNRCIMKESGNQRVYPYQVRSHRNFPAEMGNHRSTRLDGRLSAVKCYPGLRPNSTISKQGPSMRLYGSKQGGRTSMINSSLSKDNSSFSLSRGHGSAISQCGNSNAKDFSLPYHGEGVSVGNQSLLSPEAFATPSSCVEKTESQQDLLTGSPYEKNEVPYQSMYETITNELFTVDQQKVVNVSGLSNDLHHAIESAVECNTNLISTGRATNMFNNPKNGTPYFEVASSVDLLYNLSLSSSKGAETPACPSLASIAVSDSSLKPESNPLDIMGGQLSTGLEADKSNAVASNSTAPNAVSMEKDQEHEEDIQQDLLSGLSIDEKAETKEGTKCSKVIGGISSELAAFYTHLATRELQTIKNSDLEYIKELGSGAYGTVYYGKWKGSSVAIKRLKPSCFTGGSLKEDQLVADFWKEAHILGQLHHPNIVAFYGVVTDGPLNNLATVTEYMVNGSLKQVLRRKDRTIDRRKRIILAMDAAFGMEYLHEKNIVHFDLKSHNLLVNMKDPQRPVCKIGDLGLSKIKQRTLISGGVRGTIPWMAPELLHSKSNMVTEKRA >Manes.14G129528.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10338537:10348305:-1 gene:Manes.14G129528.v8.1 transcript:Manes.14G129528.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPASRFRRKPTANASAAPSRMIKLICSFNGAFHFRPPSNKLRYIGGETRIVSVDRNIGLFKLLNKMSDLCPNLRSFSLKYQLPMSGRAGDPELDSETDVPLVSIASDEDVRCMIEEYDKLELYGKHARLWIFVCNDGDQSDVHYDNYNNGNSGFVKGNGEFWVDNPTNDALRGGAESCQAQFDGKAAKNPVKGVARFRYGDDSLRKIVLRQQLLGKQSEGIHNCGADSDHKYNHPFRDLAPETNASMPQGNLYQENMLGNRTGNANLRGSESSDRLVQYSGPRLLPLNPKDGNLHVETNSSTHCLSGKNFQVFGHGVGISNPLHSLRLKNMCDLPYAKQDSRILGQGAPSHLNRENIVPCAVVRALRTCNSQWGGNTYPAKSPFSTGGYQNGIRNNRCIMKESGNQRVYPYQVRSHRNFPAEMGNHRSTRLDGRLSAVKCYPGLRPNSTISKQGPSMRLYGSKQGGRTSMINSSLSKDNSSFSLSRGHGSAISQCGNSNAKDFSLPYHGEGVSVGNQSLLSPEAFATPSSCVEKTESQQDLLTGSPYEKNEVPYQSMYETITNELFTVDQQKVVNVSGLSNDLHHAIESAVECNTNLISTGRATNMFNNPKNGTPYFEVASSVDLLYNLSLSSSKGAETPACPSLASIAVSDSSLKPESNPLDIMGGQLSTGLEADKSNAVASNSTAPNAVSMEKDQEHEEDIQQDLLSGLSIDEKAETKEGTKCSKVIGGISSELAAFYTHLATRELQTIKNSDLEYIKELGSGAYGTVYYGKWKGSSVAIKRLKPSCFTGGSLKEDQLVADFWKEAHILGQLHHPNIVAFYGVVTDGPLNNLATVTEYMVNGSLKQVLRRKDRTIDRRKRIILAMDAAFGMEYLHEKNIVHFDLKSHNLLVNMKDPQRPVCKIGDLGLSKIKQRTLISGGVRGTIPWMAPELLHSKSNMVTEKVDVYSFGIVMWELLTGEEPYANLRSEEIIAGIIKGNLRPEIPSWCDPAWKSLMERCWSSDPESRPAFSEIAKELRTMSASMNIK >Manes.17G004500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2365351:2368188:1 gene:Manes.17G004500.v8.1 transcript:Manes.17G004500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFKGFNEDTSDCQFDEKNVQRCPFLRNINKPTNFSFFSVNFPSPVRGAKGPIFEDGPNFDMAFKLFHGKDGVVPLNRSNFRNDISEPDSTPQFNPLAAKAATISLSAFGPGGPFGFGSFNDKWKNQKKKSDSTNKSDPSSQKGNTSKHEALGNEWLETGNCPIAKSYRAVSGVLPLVASSLQLPPGMKLRCPPAVVAARAALARTALVKTLRPQPLPEKMLVIALLGMAVNVPLGVWKEHTKKFSLSWFAAVHAAVPFIAMLRKSVVMPKTAMALTIGASILGQVIGSRAERHRLKAVAERNSIAAETAIAAAVAATGYSTTQVSCSAGGQCGKEGMTWDPLYIKAAGPTSSSNNVCC >Manes.02G075700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5749311:5755380:1 gene:Manes.02G075700.v8.1 transcript:Manes.02G075700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTTMCCNGRKVPWFSWSLQMLDWKSIQKLHYNVIACNVKMSHPRLSYLHPGSATKKWMSREFWRTSTMPIDLGDSSSIQTEDEENGSTGSSEDLLAQPLSSDDLKALLADSEREKLMKKLSEANQHNRFLKRQLHVKEDELVNWKNELAVMELEIQALVKLAEEIAQSDIPEGSRKINGKYIQSHLLSRLEAVHERLEEQIKDIDAVQSKEVHLFWCGMAESVQVMGSFDGWSLGEHLSPEYDGSFTKFTTTLMLRPGRYEIKFLVDGEWQLSPEYPTVGEGLTENNLLVVG >Manes.02G075700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5748979:5755966:1 gene:Manes.02G075700.v8.1 transcript:Manes.02G075700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTTIKVPWFSWSLQMLDWKSIQKLHYNVIACNVKMSHPRLSYLHPGSATKKWMSREFWRTSTMPIDLGDSSSIQTEDEENGSTGSSEDLLAQPLSSDDLKALLADSEREKLMKKLSEANQHNRFLKRQLHVKEDELVNWKNELAVMELEIQALVKLAEEIAQSDIPEGSRKINGKYIQSHLLSRLEAVHERLEEQIKDIDAVQSKEVHLFWCGMAESVQVMGSFDGWSLGEHLSPEYDGSFTKFTTTLMLRPGRYEIKFLVDGEWQLSPEYPTVGEGLTENNLLVVG >Manes.02G075700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5749011:5755453:1 gene:Manes.02G075700.v8.1 transcript:Manes.02G075700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTTMCCNGRKVPWFSWSLQMLDWKSIQKLHYNVIACNVKMSHPRLSYLHPGSATKKWMSREFWRTSTMPIDLGDSSSIQTEDEENGSTGSSEDLLAQPLSSDDLKALLADSEREKLMKKLSEANQHNRFLKRQLHVKEDELVNWKNELAVMELEIQALVKLAEEIAQSDIPEGSRKINGKYIQSHLLSRLEAVHERLEEQIKDIDAVQSKEVHLFWCGMAESVQVMGSFDGWSLGEHLSPEYDGSFTKFTTTLMLRPGR >Manes.02G075700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5749011:5755380:1 gene:Manes.02G075700.v8.1 transcript:Manes.02G075700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTTMCCNGRKVPWFSWSLQMLDWKSIQKLHYNVIACNVKMSHPRLSYLHPGSATKKWMSREFWRTSTMPIDLGDSSSIQTEDEENGSTGSSEDLLAQPLSSDDLKALLADSEREKLMKKLSEANQHNRFLKRQDILHYDTCLKTDDILFLFASPQLHVKEDELVNWKNELAVMELEIQALVKLAEEIAQSDIPEGSRKINGKYIQSHLLSRLEAVHERLEEQIKDIDAVQSKEVHLFWCGMAESVQVMGSFDGWSLGEHLSPEYDGSFTKFTTTLMLRPGRYEIKFLVDGEWQLSPEYPTVGEGLTENNLLVVG >Manes.02G075700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5749011:5755453:1 gene:Manes.02G075700.v8.1 transcript:Manes.02G075700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTTMCCNGRKVPWFSWSLQMLDWKSIQKLHYNVIACNVKMSHPRLSYLHPGSATKKWMSREFWRTSTMPIDLGDSSSIQTEDEENGSTGSSEDLLAQPLSSDDLKALLADSEREKLMKKLSEANQHNRFLKRQLHVKEDELVNWKNELAVMELEIQALVKLAEEIAQSDIPEGSRKINGKYIQSHLLSRLEAVHERLEEQIKDIDAVQSKEVHLFWCGMAESVQVMGSFDGWSLGEHLSPEYDGSFTKFTTTLMLRPGR >Manes.02G075700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5749011:5755380:1 gene:Manes.02G075700.v8.1 transcript:Manes.02G075700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTTIKVPWFSWSLQMLDWKSIQKLHYNVIACNVKMSHPRLSYLHPGSATKKWMSREFWRTSTMPIDLGDSSSIQTEDEENGSTGSSEDLLAQPLSSDDLKALLADSEREKLMKKLSEANQHNRFLKRQDILHYDTCLKTDDILFLFASPQLHVKEDELVNWKNELAVMELEIQALVKLAEEIAQSDIPEGSRKINGKYIQSHLLSRLEAVHERLEEQIKDIDAVQSKEVHLFWCGMAESVQVMGSFDGWSLGEHLSPEYDGSFTKFTTTLMLRPGRYEIKFLVDGEWQLSPEYPTVGEGLTENNLLVVG >Manes.02G075700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5749006:5755453:1 gene:Manes.02G075700.v8.1 transcript:Manes.02G075700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTTMCCNGRKVPWFSWSLQMLDWKSIQKLHYNVIACNVKMSHPRLSYLHPGSATKKWMSREFWRTSTMPIDLGDSSSIQTEDEENGSTGSSEDLLAQPLSSDDLKALLADSEREKLMKKLSEANQHNRFLKRQLHVKEDELVNWKNELAVMELEIQALVKLAEEIAQSDIPEGSRKINGKYIQSHLLSRLEAVHERLEEQIKDIDAVQSKEVHLFWCGMAESVQVMGSFDGWSLGEHLSPEYDGSFTKFTTTLMLRPGRYEIKFLVDGEWQLSPEYPTVGEGLTENNLLVVG >Manes.02G075700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5748979:5755966:1 gene:Manes.02G075700.v8.1 transcript:Manes.02G075700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGTTMCCNGRKVPWFSWSLQMLDWKSIQKLHYNVIACNVKMSHPRLSYLHPGSATKKWMSREFWRTSTMPIDLGDSSSIQTEDEENGSTGSSEDLLAQPLSSDDLKALLADSEREKLMKKLSEANQHNRFLKRQLHVKEDELVNWKNELAVMELEIQALVKLAEEIAQSDIPEGSRKINGKYIQSHLLSRLEAVHERLEEQIKDIDAVQSKEVHLFWCGMAESVQVMGSFDGWSLGEHLSPEYDGSFTKFTTTLMLRPGRYEIKFLVDGEWQLSPEYPTVGEGLTENNLLVVG >Manes.05G094800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8679818:8685586:1 gene:Manes.05G094800.v8.1 transcript:Manes.05G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTPERCVGGRLRSSKKKTRKKRKGIKRRVSSKLSDGRLDAFDRPASVAVAPADHRSSFSNPTFHGSIEEAWFDSVTIFESDCDEDFESVPDDLLSLNGFEVSRDTNHGDHTVNVQCTASSDWMKKTGDLSAGNSAQNSVSEAGKHSNSQLVSSDYADSLSKSEGPSQPVFLDEIASSVDENAGKGEGLLDNCGILPGNCLPCLASTVPPIEKRRSLSSSPPSARKKAALKLSFKWKDGHPNNTLLSSKPILQRPIAGSQVPFCPIEKKMLDCWSHIEPCSFKVRGQNYFRDKKKEFASKHAAYYPFGVDVFLSPQKIDHIARFVELPAINYSGKLPTTLVVNVQIPLYAATLFQSETDGEGMNFVLYFKLSENYSKELPTHFQENIQRFIDDEVEKVKGFPVDTVVPFRERLKILGRVVNVEDLHMSAAERKLMQAYNEKPVLSRPQHEFYLGENYFEIDIDMHRFSYISRKGFEAFLDRLKICVLDVGLTIQGNKPEELPEQILCCVRLNGIDYMNYHQLGLNQEPFEALSG >Manes.17G105200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31220669:31224912:1 gene:Manes.17G105200.v8.1 transcript:Manes.17G105200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLVSKFPNNRSMIDSTACYVRYIGTLTSVDSATSSKPLSVTLSYLTNTCGLSPHRAATVSKRVLIRSTDKADLVLQLLRANGFTKTQITTIISNVPHLILADPKKTLQHKIAYFESLGIAGPELRNIMCVHTGILKVSLKKRILPTIDYLRVLLKTDEDAIFVFKRFPPIIACGAEVISSNICTLRALGVPEPSIRRLIVTWPRSLSFRVDIFGDAIREAKELGCEPTSKSFIYALNSIVSMSKSKWESKRKVLMSFGWSERDFLMAFRASPFFMTASEKKMKQSMEFYLTKACLQLTDLVRRPLLFKISLERTVIPRCSVLEVLMSKGLINKNVDVVLALSMTRKRFEDKFLACFKEDCPELIQAYYAKTFQGFGKKCLSIKSL >Manes.15G107000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8489277:8493253:-1 gene:Manes.15G107000.v8.1 transcript:Manes.15G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYSPSPPRGYGRRGRSPSPRGRYGGGGRGGRDLPTSLLVRNLRHDCRPEDLRRPFEQFGALKDIYLPRDYYTGEPRGFGFVQYSDPHDAAEAKHHMDGRVLLGRELTVVFAEENRKKPADMRARERGRGRFRDRRRSPPRYSRSPRYSHSPPPRNARSRSISHEYYSPPGRRHYSRSVSPQEKRYSRERSYSRSRSRSRTPNRGQSQSPRSRSRSANRSRSRSPNHDEYPRESNGVRSPSQ >Manes.09G043500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7785151:7786455:-1 gene:Manes.09G043500.v8.1 transcript:Manes.09G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMKGRFLKKLRFIPTIHTLKHGLVSHLNSTDKFSNQILQIPSVYIQEDHKINNLQEPVTADIAVSELKNEESDNLELHVHDDEKITPFTVFNDNVPAAIDNLESPVSSEITMEYDTADEADHEKYTEEYSSLSHFEEKCPPEGSESIVLYTTSLRSIRKTFEDCHTILFLLESFKVEFYERDVSMHLEYREELWRILGGRVIPPRLFIKGRYIGGADEVVSLHEQGKLKKLLEGIPKLLSNAPCVGCGNKRFVVCFNCNGSRRVFNGGESDEMNIIRCSECNENGLVKCSICYR >Manes.03G128000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25145966:25147458:1 gene:Manes.03G128000.v8.1 transcript:Manes.03G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTISKSSYQLKAAFDVLGLLCLLLLLQKGNAIQFNVGGAKGWNVNDAKRYNQWAEKTRFQTGDSLLFVYKPNQDSVLQVTKEDYQNCTTTAPLATFNDGRTVVTFKKSGPHYFISGKKENCLKNEKLVVIVLSERSDSSTSPPGGMGIAPAGENSPTAGSIQLNPTPSPDEQTPSAASSTFVSLTGFVGTLFALSLVFVF >Manes.15G008900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:811152:820617:-1 gene:Manes.15G008900.v8.1 transcript:Manes.15G008900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNIRDLLTAFSPSLDYFAISSGDGRIKIWDTVKGHLQTEFADITSYDANLFTKPERGHLSVDYTCMKWLSLDRKKKRKLGSSLLVLGTGSGDVLALDVSAGQLKWTVSDCHPGGASAISFSMRESCIYTAGADGMCCKIDPQTGNMLGKFRASNKAISSMLVSPDGKILATAAAQLTVFNCSDHKKIQKFSGHPGAVRAMFFTDDGKYILSSATGERYIALWRVDGGKKQSASCILAMEHPAVFLDCWRLENEGIDAGLCVLAISETGVCYTWYGQSIEELRSVKPTKVAIANEGSFSNIHKGALPTIFAAKLQGIVKSKAAHVFIAYGLLIKPSFQKIVVHSGTDIELNCSQDGVLLPVSQSLIKSKKGSDIQIGVTALDRANVEDALLPMPKVSDFHDKRMLEKALNNDLDEVMVDLIDGRSQPELVENKDDTVTTSMEKHLRSLEILRSKDDGMFRSTLASATSMGIDIEANIPQKKMRAAVLSLEPNNACKLLELLLAKWESRSCSAKHILPWIYCILVNHGQYIMAQEKSEAQMLSSLLKITKSRGVAVQPLLRLSGCLQLVTAQIDKAAMNKAHVSMHNDQMDDDDDDNDEDIGEQLYGEDDDESQLSSDDYN >Manes.15G008900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:811152:820617:-1 gene:Manes.15G008900.v8.1 transcript:Manes.15G008900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNIRDLLTAFSPSLDYFAISSGDGRIKIWDTVKGHLQTEFADITSYDANLFTKPERGHLSVDYTCMKWLSLDRKKKRKLGSSLLVLGTGSGDVLALDVSAGQLKWTVSDCHPGGASAISFSMRESCIYTAGADGMCCKIDPQTGNMLGKFRASNKAISSMLVSPDGKILATAAAQLTVFNCSDHKKIQKFSGHPGAVRAMFFTDDGKYILSSATGERYIALWRVDGGKKQSASCILAMEHPAVFLDCWRLENEGIDAGLCVLAISETGVCYTWYGQSIEELRSVKPTKVAIANEGSFSNIHKGALPTIFAAKLQGIVKSKAAHVFIAYGLLIKPSFQKIVVHSGTDIELNCSQDGVLLPVSQSLIKSKKGSDIQIGAVTALDRANVEDALLPMPKVSDFHDKRMLEKALNNDLDEVMVDLIDGRSQPELVENKDDTVTTSMEKHLRSLEILRSKDDGMFRSTLASATSMGIDIEANIPQKKMRAAVLSLEPNNACKLLELLLAKWESRSCSAKHILPWIYCILVNHGQYIMAQEKSEAQMLSSLLKITKSRGVAVQPLLRLSGCLQLVTAQIDKAAMNKAHVSMHNDQMDDDDDDNDEDIGEQLYGEDDDESQLSSDDYN >Manes.15G008900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:811290:820615:-1 gene:Manes.15G008900.v8.1 transcript:Manes.15G008900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNIRDLLTAFSPSLDYFAISSGDGRIKIWDTVKGHLQTEFADITSYDANLFTKPERGHLSVDYTCMKWLSLDRKKKRKLGSSLLVLGTGSGDVLALDVSAGQLKWTVSDCHPGGASAISFSMRESCIYTAGADGMCCKIDPQTGNMLGKFRASNKAISSMLVSPDGKILATAAAQLTVFNCSDHKKIQKFSGHPGAVRAMFFTDDGKYILSSATGERYIALWRVDGGKKQSASCILAMEHPAVFLDCWRLENEGIDAGLCVLAISETGVCYTWYGQSIEELRSVKPTKVAIANEGSFSNIHKGALPTIFAAKLQGIVKSKAAHVFIAYGLLIKPSFQKIVVHSGTDIELNCSQDGVLLPVSQSLIKSKKGSDIQIGVTALDRANVEDALLPMPKVSDFHDKRMLEKALNNDLDEVMVDLIDGRSQPELVENKDDTVTTSMEKHLRSLEILRSKDDGMFRSTLASATSMGIDIEANIPQKKMRAAVLSLEPNNACKLLELLLAKWESRSCSAKHILPWIYCILVNHGQYIMAQEKSEAQMLSSLLKITKSRGVAVQPLLRLSGCLQLVTAQIDKAAMNKAHVSMHNDQMDDDDDDNDEDIGEQLYGEDDDESQLSSDDYN >Manes.15G008900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:811290:820615:-1 gene:Manes.15G008900.v8.1 transcript:Manes.15G008900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNIRDLLTAFSPSLDYFAISSGDGRIKIWDTVKGHLQTEFADITSYDANLFTKPERGHLSVDYTCMKWLSLDRKKKRKLGSSLLVLGTGSGDVLALDVSAGQLKWTVSDCHPGGASAISFSMRESCIYTAGADGMCCKIDPQTGNMLGKFRASNKAISSMLVSPDGKILATAAAQLTVFNCSDHKKIQKFSGHPGAVRAMFFTDDGKYILSSATGERYIALWRVDGGKKQSASCILAMEHPAVFLDCWRLENEGIDAGLCVLAISETGVCYTWYGQSIEELRSVKPTKVAIANEGSFSNIHKGALPTIFAAKLQGIVKSKAAHVFIAYGLLIKPSFQKIVVHSGTDIELNCSQDGVLLPVSQSLIKSKKGSDIQIGAVTALDRANVEDALLPMPKVSDFHDKRMLEKALNNDLDEVMVDLIDGRSQPELVENKDDTVTTSMEKHLRSLEILRSKDDGMFRSTLASATSMGIDIEANIPQKKMRAAVLSLEPNNACKLLELLLAKWESRSCSAKHILPWIYCILVNHGQYIMAQEKSEAQMLSSLLKITKSRGVAVQPLLRLSGCLQLVTAQIDKAAMNKAHVSMHNDQMDDDDDDNDEDIGEQLYGEDDDESQLSSDDYN >Manes.13G071300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:14973205:14976225:1 gene:Manes.13G071300.v8.1 transcript:Manes.13G071300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFETQSLSMPSISLFLFLSFFLTASAQQKQTNISIGSSLTPTTNSSWLSPSGLYAFGFYPQGNGFGIGIFLAGIPQRTVVWTANRDGPLVSNNATLLLTNDSGLVLQEQGQTKTIISYPQPPSSASLFDSGNFVLYTSELDKIWQSFEHPTDTLLPSQRLEAGSELVSAASRNDHSSGIFRLSMQDDGNLVQYPVATLPTAVHAYWSSVTSGRGNNVSLNFAHDGHLYLLNSTGFNIKNLTGGGYPTNETIYIMRIDFDGIFRLYSHNLKQDGNWSALWRSSNNKCDPKGLCGLNSYCVLNDQEPDCICIPGFVAGMQNNWTAGCERNFIPGSCEDKNGDIRIQEITNSGWEEDSYSVLTVFTKEDCERACLEDCNCDAAFFNNMQCRKQRLPLRYGKRNLGDSNIALIKVGKSISTTDRIEPGKTKSDDKLDNAMLILSCSIAAVGFFMLAISGILFYRSRVQAYKRLSATENVGLSEEVSPRSYTFTELEKITDGFKEEIGRGSFGAVYKGLLLSTQKGAAVKRLEGVASQGEREFQTEVKVIGKTHHKNLVRLLGYCNEGPHRLLVYEYMSNGSLADVLFCHEKRPCFAERVEIARNIAKGILYLHEECETQIIHCDIKPENILLDEYGCPKISDFGLAKLLKPEQTKTFTGIRGTRGYVAPEWHRKLPVTVKADVYSFGIVLLEIACCRRNVDHALPEIESILVDWVYHCFEGGELDKLAGDEEVDKKQMNRMIKVGLWCTLDEPSIRPSMKKVLLMLEGTIDIPIPPSPTSFLSTI >Manes.09G062100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10490170:10494490:-1 gene:Manes.09G062100.v8.1 transcript:Manes.09G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRDRLIDSEDNEEENGLFEEDGLIVPDSDIPPHLHDLALAVQVGDVNALRRALDNLNGSIDEPVEDGDTALHLVCLYGYLPCVLLLLERGANLEAKDEDGAIPLHDACAGGFTEIVQLLLNSANSAVRVRRMLETVDSDGDTPLHHAARGEHADVIRLLLAAGASTTKTNIYGKNPSELPDPNSEAKRILESAASAVSCQ >Manes.17G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30318246:30320358:-1 gene:Manes.17G095200.v8.1 transcript:Manes.17G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDPAFIQEQEHRPKLAKTEAQGIPIIDLSILSSSDANSDHSQALEGLVKQVGDACREWGFLQVINHGVSLEKREKIFNTSRNFFAQPLEEKQKVRRDDKRPLGYYDTEHTKNVRDWKEVFDFAAQNPVTMYASYNPDDEEITQWFNQFPEYPPEMREVCEDYAKEMEKLAFTLMELISLSLSLQPDSFHGFFKDQTTFIRLNHYPLCPAPHLALGVGRHKDAGALTILAQDDVGGLEVKRKSDGEWIWVKPTPNSYIINVGDMIQVWSNEAYESVEHRVKVNPEKERFSVPYFFNPAYDTIIKPFEKIANEQNPAKYKPYNWGKFFATRKRSNFQKLDVENIQISHFRVSELAENLEGALSIKS >Manes.05G164000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27656592:27658402:1 gene:Manes.05G164000.v8.1 transcript:Manes.05G164000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVRLPTLALLLLFSLTFTANASAFPQRFKEAPQFYNSPDCPSFDRHDPDSESDGDDHTIIFCSDQAVHVAMTLDTAYIRGSMAAILSVLQHSSCPQNIAFHFVASASANASFLRATISSSFPYLKFRVYTFDDSSVSRLISTSIRSALDCPLNYARSYLANILPLCVRRVVYLDSDLVLVDDIAKLAATPLGEKSVLAAPEYCNANFTSYFTPTFWSNPSLSLTFADKQACYFNTGVMVIDLYGWRAGDYTSKIEDWMELQKRMRIYELGSLPPFLLVFAGNIVPVDHRWNQHGLGGDNFRGLCRNLHPGPVSLLHWSGKGKPWARLDANRPCPLDTLWAPYDLLQTPFVLDS >Manes.08G080600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:26353721:26354425:1 gene:Manes.08G080600.v8.1 transcript:Manes.08G080600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYRAITQYKNGKEGPLGSWLNDSPSASYMRLPGDSDRFQASEIRILGSDFGFSTTTSTSANMNNNSTAQILVSTGAQSPLCRLTPRRVAA >Manes.03G124700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25512414:25519891:1 gene:Manes.03G124700.v8.1 transcript:Manes.03G124700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLTMLRTSIFISFFAALAAPLPLPFHPQDLLPLLPRQVSWPILNYLNSAVDLLPTFVGAASTPNDTIQWKGACFYNNTAWMEFHNKTGSEFGGGTLHIKVSKAHSWTCMDLYVFVTPYRVTWDYYFLSREHTFEFKEWEGKAEYEYVKNRGISIFLMQAGMLGTLRALWDVFPLFTNTGWGENSNIRFLEKHMGATFEQRPQPWVTNISTDDIHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLRDSEGKLWVGESGNENEQGEDVIAVLPWDEWWKFELTKDDSNPHIALLPLHPDVRAKFNETAAWEYALSMKGKPYGYHNMIFSWIDTIDGNYPSPLDAHLVASVMTVWNQIQPAYAANMWDEALNKRLGTEGLDLPNILVETEKRGSSFGELLTIPEKDDWLYSDGKSTSCIAFILEMYKEAGLFDPIPKSIQVTEFTIKDAYTLRFFENNSSRLPKWCNDGDDVKLPYCQIRGKYRMELPGYNTMDPYPHMNERCPSLPPQYYRPQNC >Manes.03G056900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5580009:5582214:1 gene:Manes.03G056900.v8.1 transcript:Manes.03G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQDFDRISERRRLEREQKFKRRVIIAFISLLALLVIVAAGILFIIWDNSINSAKRHQQHQEQEQQRQHQQHQQPKGEEATQPKEPSKNNVAQVSKVLKKICNATTYKETCLSSIKDAVEKDPSAPHPKAIIKLGIQSTKDEVGKVLDKASSFNFNTPQEKAAFEDCKVLMADAKQELEESISHADSDDGNFKKNEAELNNWLSAVMSYQQTCIDGFPEGKLKSDMENVFNASKELTSNSLALVSSLTSILQSLLISQSGRRLLTKEPNSFSMEEDGLPSWISHEDRRMLKAETERDDKPKPNVVVAKDSSGDFKTISAALAAMPKNYEGRYVIFVKAGTYDETVTVTKKMVNLTIYGDGSMKTIITGSKNFKDGVQTFRTATFAAIGEGFMAKSIGIRNTAGPEKHQAVALRVQADRSIFVNCRFEGYQDTLYTQAHRQYYRSCVVVGTIDFIFGDASAILQNCLILVRKPMANQQNIITAQGRIDPHETTGLVLQNCRIKPHPDLVRVKAKVKSYLGRPWKEYSRTIVMESTIGDFIQPDGWLPWKGEKGLKTLYYAEFDNKGPGSKTDARVKWPGYHVINKEEAKKFTIKPFIQGDWISDMGAPVHFGLF >Manes.05G180600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29737613:29738656:1 gene:Manes.05G180600.v8.1 transcript:Manes.05G180600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Manes.05G180600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29737613:29738341:1 gene:Manes.05G180600.v8.1 transcript:Manes.05G180600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKVRC >Manes.03G003300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:342466:347837:1 gene:Manes.03G003300.v8.1 transcript:Manes.03G003300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRPQRAAELRSGGKIVRARRTAVPRTPYERPPKLLPNSAPQNPNWLSRFILSPSRMIATGAGKVLSSVFGPVSSSSSSSSGGDFTSEDDANDDDDITSQDATKLEKNQTFEKTNASRKDPLVIEWKSETKRAIEQILMQETFSREECDRLTQIIKSRVVDSPITGGQVGRTNDIPDWTVLRGVDISSNRCTAITEAKKWLEEKKLGSNSKSGLEYGTCTLNTAMLPQVTEDEVGSPAELAKSYMQARPPWASPSPSNIQLQSPSPVGIQLFKEETPNLFGGSSVTSSKLIRNSSATGSWNILEEIRKVRSKATEEMLRSRPSSIIDWPTLASDNKRSPYSLVPDKAESVSQMEQQGLQNEASPPDVATSICGQSQDLGTPQIIEGAEEGRLSDGQRLRPSEDVNISSPCVDVDVDYSKDANGVCEHLNCIVGEDAQHSSLDEINCSTMVEVAERNVAVDANGFPPSGSSMDANLQEEVKSKPSHEQHHFVDSGHDNLTSAPVEETCEHLSESYVEVAVVNENDAAPTGSRDSSSLHYEGISQDMPSPNLNSGKNNDVEVTEKRSRKRRYRSRAK >Manes.03G003300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:342466:347837:1 gene:Manes.03G003300.v8.1 transcript:Manes.03G003300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRPQRAAELRSGGKIVRARRTAVPRTPYERPPKLLPNSAPQNPNWLSRFILSPSRMIATGAGKVLSSVFGPVSSSSSSSSGGDFTSEDDANDDDDITSQDATKLEKTFEKTNASRKDPLVIEWKSETKRAIEQILMQETFSREECDRLTQIIKSRVVDSPITGGQVGRTNDIPDWTVLRGVDISSNRCTAITEAKKWLEEKKLGSNSKSGLEYGTCTLNTAMLPQVTEDEVGSPAELAKSYMQARPPWASPSPSNIQLQSPSPVGIQLFKEETPNLFGGSSVTSSKLIRNSSATGSWNILEEIRKVRSKATEEMLRSRPSSIIDWPTLASDNKRSPYSLVPDKAESVSQMEQQGLQNEASPPDVATSICGQSQDLGTPQIIEGAEEGRLSDGQRLRPSEDVNISSPCVDVDVDYSKDANGVCEHLNCIVGEDAQHSSLDEINCSTMVEVAERNVAVDANGFPPSGSSMDANLQEEVKSKPSHEQHHFVDSGHDNLTSAPVEETCEHLSESYVEVAVVNENDAAPTGSRDSSSLHYEGISQDMPSPNLNSGKNNDVEVTEKRSRKRRYRSRAK >Manes.15G046200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3515990:3518476:1 gene:Manes.15G046200.v8.1 transcript:Manes.15G046200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLGLLGVNGGGVSGASANESTVKKVDAEASSYAVEAELELGLGLSIGGGSGGKGKSSAWGECGRILTARDFPSLASQPHRSHHYNNNSNASACVAVSGTKRAAEPVSQESGSPTSISQVVGWPPIRAYRINSLVNQAKASRSEEDKLAGEKDKSNDASKKICNATGNEKGHLGFIKVNMDGVPIGRKVDLNAHASYETLAQTLEEMFFRSTPTVSSMGSGGEKQQPANPSKLLDDSSEFVLTYEDKEGDWMLVGDVPWGMFLSSVKRLRIMKTSEANGLGPRFQERSERQRSKPA >Manes.15G046200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3516208:3518315:1 gene:Manes.15G046200.v8.1 transcript:Manes.15G046200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLGLLGVNGGGVSGASANESTVKKVDAEASSYAVEAELELGLGLSIGGGSGGKGKSSAWGECGRILTARDFPSLASQPHRSHHYNNNSNASACVAVSGTKRAAEPVSQESGSPTSISQVVGWPPIRAYRINSLVNQAKASRSEEDKLAGEKDKSNDASKKICNATGNEKGHLGFIKVNMDGVPIGRKVDLNAHASYETLAQTLEEMFFRSTPTVSSMGSGGEKQQPANPSKLLDDSSEFVLTYEDKEGDWMLVGDVPWGMFLSSVKRLRIMKTSEANGLGPRFQERSERQRSKPA >Manes.15G046200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3515990:3518476:1 gene:Manes.15G046200.v8.1 transcript:Manes.15G046200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLGLLGVNGGGVSGASANESTVKKVDAEASSYAVEAELELGLGLSIGGGSGGKGKSSAWGECGRILTARDFPSLASQPHRSHHYNNNSNASACVAVSGTKRAAEPVSQESGSPTSISKLLCGSSQVVGWPPIRAYRINSLVNQAKASRSEEDKLAGEKDKSNDASKKICNATGNEKGHLGFIKVNMDGVPIGRKVDLNAHASYETLAQTLEEMFFRSTPTVSSMGGEKQQPANPSKLLDDSSEFVLTYEDKEGDWMLVGDVPWGMFLSSVKRLRIMKTSEANGLGPRFQERSERQRSKPA >Manes.15G046200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3515990:3518476:1 gene:Manes.15G046200.v8.1 transcript:Manes.15G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLGLLGVNGGGVSGASANESTVKKVDAEASSYAVEAELELGLGLSIGGGSGGKGKSSAWGECGRILTARDFPSLASQPHRSHHYNNNSNASACVAVSGTKRAAEPVSQESGSPTSISKLLCGSSQVVGWPPIRAYRINSLVNQAKASRSEEDKLAGEKDKSNDASKKICNATGNEKGHLGFIKVNMDGVPIGRKVDLNAHASYETLAQTLEEMFFRSTPTVSSMGSGGEKQQPANPSKLLDDSSEFVLTYEDKEGDWMLVGDVPWGMFLSSVKRLRIMKTSEANGLGPRFQERSERQRSKPA >Manes.15G046200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3516208:3518315:1 gene:Manes.15G046200.v8.1 transcript:Manes.15G046200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLGLLGVNGGGVSGASANESTVKKVDAEASSYAVEAELELGLGLSIGGGSGGKGKSSAWGECGRILTARDFPSLASQPHRSHHYNNNSNASACVAVSGTKRAAEPVSQESGSPTSISKLLCGSSQVVGWPPIRAYRINSLVNQAKASRSEEDKLAGEKDKSNDASKKICNATGNEKGHLGFIKVNMDGVPIGRKVDLNAHASYETLAQTLEEMFFRSTPTVSSMGSGGEKQQPANPSKLLDDSSEFVLTYEDKEGDWMLVGDVPWGMFLSSVKRLRIMKTSEANGLGPRFQERSERQRSKPA >Manes.13G074200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10998965:11000183:1 gene:Manes.13G074200.v8.1 transcript:Manes.13G074200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMRTIMSLGVIAMLLKLAVAANYTVGSPNGGWDTTTDVQSWATSQSFLVGDNLIFQYGPNHNVYEVSKGDYDTCQTSNPIQTQSGGSTVIPLSSPGKRYFICGTPGHCTQGMKLEIDILATAPPPASPTNSPLPSSPLTPPVSSPSPSPKSSGFPSPAHSPELAPTLSPSSPFPPESPSELPEFSPTSSPLPGVSLAAPPSSANKDTIPTNLIISFSLIMMMMMKLLGL >Manes.13G074200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10983526:11000183:1 gene:Manes.13G074200.v8.1 transcript:Manes.13G074200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMRTIMSLGVIAMLLKLAVAANYTVGSPNGGWDTTTDVQSWATSQSFLVGDNLIFQYGPNHNVYEVSKGDYDTCQTSNPIQTQSGGSTVIPLSSPGKRYFICGTPGHCTQGMKLEIDILATAPPPASPTNSPLPSSPLTPPVSSPSPSPKSSGFPSPAHSPELAPTLSPSSPFPPESPSELPEFSPTSSPLPGVSLAAPPSSANKDTIPTNLIISFSLIMMMMMKLLGL >Manes.13G074200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10990222:11000183:1 gene:Manes.13G074200.v8.1 transcript:Manes.13G074200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMRTIMSLGVIAMLLKLAVAANYTVGSPNGGWDTTTDVQSWATSQSFLVGDNLIFQYGPNHNVYEVSKGDYDTCQTSNPIQTQSGGSTVIPLSSPGKRYFICGTPGHCTQGMKLEIDILATAPPPASPTNSPLPSSPLTPPVSSPSPSPKSSGFPSPAHSPELAPTLSPSSPFPPESPSELPEFSPTSSPLPGVSLAAPPSSANKDTIPTNLIISFSLIMMMMMKLLGL >Manes.12G036600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3248857:3255942:1 gene:Manes.12G036600.v8.1 transcript:Manes.12G036600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYPGPVSAVQVGSYFVGQYYQVLQQHPDLVHQFYADSSTMIRVDGDSSESASTMLQIHTLVMSLNFTAIEIKTINSLESWNGGVLVMISGSVKNKDFSGRRKFMQTFFLAPQEKGYFVLNDIFHFIDEEIIYQQHPPPISSENVYQQHPAPVSSEDAHDTQPNSSSPVPEPPVSNYVLEEEAREYVNSVHIEDDPVDKYSLPEQQEQQDFENEIVVEEAPVEESPPSFQSAMSIVQDPPATTLEEPVEEPPKKTYASILRVTRGQSSAATQPSVNKRAPTASDWNHIPPPVAPQSDSGLSYVAESGFEATEEVSGLDEGEPKSVYVRNLPANVTAEEVELEFKNFGRIKPDGVFIRNRKDAVGVCYAFVEFEDLASVQNAIKASPILLAGRQVYIEERRPNSGIASRGGRRGRGRGSYQTDAPRGRFGARSLGRGSNQDGGDYTRARGNGFYQRGTR >Manes.12G036600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3249967:3255942:1 gene:Manes.12G036600.v8.1 transcript:Manes.12G036600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNFTAIEIKTINSLESWNGGVLVMISGSVKNKDFSGRRKFMQTFFLAPQEKGYFVLNDIFHFIDEEIIYQQHPPPISSENVYQQHPAPVSSEDAHDTQPNSSSPVPEPPVSNYVLEEEAREYVNSVHIEDDPVDKYSLPEQQEQQDFENEIVVEEAPVEESPPSFQSAMSIVQDPPATTLEEPVEEPPKKTYASILRVTRGQSSAATQPSVNKRAPTASDWNHIPPPVAPQSDSGLSYVAESGFEATEEVSGLDEGEPKSVYVRNLPANVTAEEVELEFKNFGRIKPDGVFIRNRKDAVGVCYAFVEFEDLASVQNAIKASPILLAGRQVYIEERRPNSGIASRGGRRGRGRGSYQTDAPRGRFGARSLGRGSNQDGGDYTRARGNGFYQRGTR >Manes.12G036600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3248887:3255836:1 gene:Manes.12G036600.v8.1 transcript:Manes.12G036600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYPGPVSAVQVGSYFVGQYYQVLQQHPDLVHQFYADSSTMIRVDGDSSESASTMLQIHTLVMSLNFTAIEIKTINSLESWNGGVLVMISGSVKNKDFSGRRKFMQTFFLAPQEKGYFVLNDIFHFIDEEIIYQQHPPPISSENVYQQHPAPVSSEDAHDTQPNSSSPVPEPPVSNYVLEEEAREYVNSVHIEDDPVDKYSLPEQQEQQDFENEIVVEEAPVEESPPSFQSAMSIVQDPPATTLEEPVEEPPKKTYASILRVTRGQSSAATQPSVNKRAPTASDWNHIPPPVAPQSDSGLSYVAESGFEATEEVSGLDEGEPKSVYVRNLPANVTAEEVELEFKNFGRIKPDGVFIRNRKDAVGVCYAFVEFEDLASVQNAIKASPILLAGRQVYIEERRPNSGIASRGGRRGRGRGSYQTDAPRGRFGARSLGRGSNQDGGDYTRARGNGFYQRGTR >Manes.06G036100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:10526502:10529051:-1 gene:Manes.06G036100.v8.1 transcript:Manes.06G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGIFGSILVLSMFFKPLTCQQPNTDAFFVSEFWKKMNFTSSLHQNFSAPVCSWEGVHCDAHENVLQLVASGYGLSGSIPDTTIGKLTKLQILDLSNNRIIALPSDFWSLGSLTTLNLSSNKIFGSLANNIGNFGLLETFDLSNNNFSGEIPAAISSLSRLRVLKLDRNGFEGRIPLEILNCQSLTYIDISLNKLDGSLPDGFGAAFPELKTLNLAGNKIKGRDLDFSQMKSITSLNISGNLFHGSVTGVFLKTLEVIDLSRNQFQGHISQVEFNSSYKCFDLIYLDMSENQLSGDIFPYLKQTQNLKYLNLAFNRFARQEFPRIDLLWNIEYLNLSRTSLIGHIPSEIAQLSKLHTLDLSKNHLTGKIPLLHTKNLQILDVSHNNLSGQIPLPLLQRLKSMERFNFSYNNLTLCSSGFSIETLQKQFYGSLNNCPIAVNPDIFKRTATKHKGIKLALALALSIVGLLFLAIYCIRKSRTHLVKQTSFREEQNIPGPFSFRTDSTTWVADIKLATSVPVVIFEKPLLNITFADLLSATSSFDRGTLLAEGKFGPVYRGFLFCGIHVAVKVLVRGSTLTDQEAARELEYLGRIKHPNLVPLTGYCIAGDQRIALYDYMEYGNLQNLLHDLPLGVQTTEDWSTDTWEEDNDNDIQNVGSERLLTTWRFRHKIALGTARALAFLHHGCSPPLIHRDVKASSIYLDYNLEPRLSDFGLTKVFGNGLDEEIARGSPGYVPPEFSDPDNNYPTPKSDVYCFGVVLLELITGKKPVGDDYPEDKDASLVSWVRGLVRKNQGPRAIDSKIHDTGPEHEMEEALKIAYLCTADIPSKRPSMQQIVGLLKDIESADHH >Manes.08G036100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3482345:3486649:1 gene:Manes.08G036100.v8.1 transcript:Manes.08G036100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKELRAPLSTLLRRRAFSSAARRFLLLPLFSTPQVYSSNVGNSSFFFSTRENHFLQNSLNFSGKNFCTQSSVKTNTSCWNCNAPPQRSPFLVCESCRTIQPVDQSIDYFQIFGLEKNYKIKDENLERKYKDWQKKLHPDLVHSKSQKEREFAAEQSARVIDAYRTLSDPRLRAIYILKLQGVNVHEEETISEPQLLAEVMEIREAVDEAPDSKALTEIQSQMREKLQHWSDSFANAFQSQKFEEALTCIRRMTYYDRVNEEILKRL >Manes.01G257404.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41423794:41425320:-1 gene:Manes.01G257404.v8.1 transcript:Manes.01G257404.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGENVFTLLPDDNDGDIGAFINVVAAESRVAQDEEKKKRQEEKAEAKKQKQGQQEENLRHDAVMRAKRPVLSNLLYNNHIVFTKDKPAEEGKDQGVGVKEKGKSGEDGFQDKVGATVYHQERGSYSGGYSNEGDNGYQGNQVGEGRYERNYGRGNLRQDNNGGYGSYSRDCEKDTLYLENNGGEQGFRGERSYRRGRLYQENNRGERRYIRGNLYQENNGGEQGFRGDGNHRRFYFYQYNNGRDEGYVAYRGGGRWRGRGRGRGFNENNGTERQKNGGLSSGDSLNGVQMSGNSEKKELDDTEQPLNGDREEAADSNVEEKKKKKNEVNDHSKEKSQQKEESPANTMTYQEYEKVLLEKKKALEAMGLSEKPRANLDKDSESMQPIGKRIEESTVKPKSSEDKLRKKDGVTNKKNGSRSINIDEFLKPEKGKKYFAGYGGRTGYQGESSDGPGDVHNGRMFSTQAPAPRIDDVVQFPALGRRSSKMT >Manes.17G037100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21034817:21044273:-1 gene:Manes.17G037100.v8.1 transcript:Manes.17G037100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQILRLFGNPLEFLPEILPLHKLLHLSLANVRISADENLRAVNVEIEMENSSYFGASRHKLSAFFSLLFRFSSCHHPLLASALAKIMQDQGNRVVVGKDENAVRKLISMISSNNQHVVKQACSALLTFAGDVPVAIQLIKCDIMQPIQTVLKSVAHEEVISVLQVVATLAFTSDTVAQKILTKDLLNSLILLCAHKNPEVQRLALFAVGNLAFCLENRCILVTSESLQDLLLRLTVISEPRVTKAAARALAILGENETLRHAIRGREVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLRECEEIYKNLGKLVFAEPTKDNEAASWREKFDHLYKSSSQSFRVVVHGSKHSADEFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFIFRNYQYPAGTPEVPYAISETSGVTVLGSPTPGAQIGYNCSAFIGSCKHHVWKAIRASSAAPYYLDDFSDDINRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESSCSVDRVEEALSTLIPLLPEIKYFRFNPVDERCDMELDETDPTLWLKLEAAVDEYIQANSEAFRDVCERLLLQHDDKLSENLKDQQFQKAKVLYIGEHSPFLGWRRNVLLVESLHSLDSGRVMHHARAVESFCGRNGIRLFLMPGTSGTAKTVPATISPSPFTSPLITGSFFSSPFLYGPDVVPKQTSQINMVLPLSSDGIQSGKNPMSLPMSPSGRRQLSLPVQSLHKKLQNTPQLGIVHLALQNDSFGSILSWQNDVFVVAEPGDLANKFLQSVKFSLLSMMHGSRKKVLSFLANISTVADLVQCKPYFQVGNVVHRYIGRQTQVMEDDQEIGAYMFRRTVPAMHLTPDDVRWMIGDWRDRIIICTGTYGPTPTLIKAFLDCGAKVVICPSADPPEIPVTSADGSGEFHVLENGKFEIGEDDTEDEEAEPVSPLTSDWEDSEPEKNLKHSMYFWDDDEEELSQFVCQLYDNLFREGARIDIALQNALASHRRMRYSLHLPKHN >Manes.17G037100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21034817:21044273:-1 gene:Manes.17G037100.v8.1 transcript:Manes.17G037100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQILRLFGNPLEFLPEILPLHKLLHLSLANVRISADENLRAVNVEIEMENSSYFGASRHKLSAFFSLLFRFSSCHHPLLASALAKIMQDQGNRVVVGKDENAVRKLISMISSNNQHVVKQACSALLTFAGDVPVAIQLIKCDIMQPIQTVLKSVAHEEVISVLQVVATLAFTSDTVAQKILTKDLLNSLILLCAHKNPEVQRLALFAVGNLAFCLENRCILVTSESLQDLLLRLTVISEPRVTKAAARENETLRHAIRGREVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLRECEEIYKNLGKLVFAEPTKDNEAASWREKFDHLYKSSSQSFRVVVHGSKHSADEFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFIFRNYQYPAGTPEVPYAISETSGVTVLGSPTPGAQIGYNCSAFIGSCKHHVWKAIRASSAAPYYLDDFSDDINRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESSCSVDRVEEALSTLIPLLPEIKYFRFNPVDERCDMELDETDPTLWLKLEAAVDEYIQANSEAFRDVCERLLLQHDDKLSENLKDQQFQKAKVLYIGEHSPFLGWRRNVLLVESLHSLDSGRVMHHARAVESFCGRNGIRLFLMPGTSGTAKTVPATISPSPFTSPLITGSFFSSPFLYGPDVVPKQTSQINMVLPLSSDGIQSGKNPMSLPMSPSGRRQLSLPVQSLHKKLQNTPQLGIVHLALQNDSFGSILSWQNDVFVVAEPGDLANKFLQSVKFSLLSMMHGSRKKVLSFLANISTVADLVQCKPYFQVGNVVHRYIGRQTQVMEDDQEIGAYMFRRTVPAMHLTPDDVRWMIGDWRDRIIICTGTYGPTPTLIKAFLDCGAKVVICPSADPPEIPVTSADGSGEFHVLENGKFEIGEDDTEDEEAEPVSPLTSDWEDSEPEKNLKHSMYFWDDDEEELSQFVCQLYDNLFREGARIDIALQNALASHRRMRYSLHLPKHN >Manes.17G037100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21034817:21043270:-1 gene:Manes.17G037100.v8.1 transcript:Manes.17G037100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIQTVLKSVAHEEVISVLQVVATLAFTSDTVAQKILTKDLLNSLILLCAHKNPEVQRLALFAVGNLAFCLENRCILVTSESLQDLLLRLTVISEPRVTKAAARALAILGENETLRHAIRGREVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLRECEEIYKNLGKLVFAEPTKDNEAASWREKFDHLYKSSSQSFRVVVHGSKHSADEFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFIFRNYQYPAGTPEVPYAISETSGVTVLGSPTPGAQIGYNCSAFIGSCKHHVWKAIRASSAAPYYLDDFSDDINRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESSCSVDRVEEALSTLIPLLPEIKYFRFNPVDERCDMELDETDPTLWLKLEAAVDEYIQANSEAFRDVCERLLLQHDDKLSENLKDQQFQKAKVLYIGEHSPFLGWRRNVLLVESLHSLDSGRVMHHARAVESFCGRNGIRLFLMPGTSGTAKTVPATISPSPFTSPLITGSFFSSPFLYGPDVVPKQTSQINMVLPLSSDGIQSGKNPMSLPMSPSGRRQLSLPVQSLHKKLQNTPQLGIVHLALQNDSFGSILSWQNDVFVVAEPGDLANKFLQSVKFSLLSMMHGSRKKVLSFLANISTVADLVQCKPYFQVGNVVHRYIGRQTQVMEDDQEIGAYMFRRTVPAMHLTPDDVRWMIGDWRDRIIICTGTYGPTPTLIKAFLDCGAKVVICPSADPPEIPVTSADGSGEFHVLENGKFEIGEDDTEDEEAEPVSPLTSDWEDSEPEKNLKHSMYFWDDDEEELSQFVCQLYDNLFREGARIDIALQNALASHRRMRYSLHLPKHN >Manes.17G037100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21034817:21044273:-1 gene:Manes.17G037100.v8.1 transcript:Manes.17G037100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTGGIVSGTQQACSTSSRFQLNGRAMAELQILRLFGNPLEFLPEILPLHKLLHLSLANVRISADENLRAVNVEIEMENSSYFGASRHKLSAFFSLLFRFSSCHHPLLASALAKIMQDQGNRVVVGKDENAVRKLISMISSNNQHVVKQACSALLTFAGDVPVAIQLIKCDIMQPIQTVLKSVAHEEVISVLQVVATLAFTSDTVAQKILTKDLLNSLILLCAHKNPEVQRLALFAVGNLAFCLENRCILVTSESLQDLLLRLTVISEPRVTKAAARALAILGENETLRHAIRGREVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLRECEEIYKNLGKLVFAEPTKDNEAASWREKFDHLYKSSSQSFRVVVHGSKHSADEFERLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVSVMPAQPFIFRNYQYPAGTPEVPYAISETSGVTVLGSPTPGAQIGYNCSAFIGSCKHHVWKAIRASSAAPYYLDDFSDDINRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESSCSVDRVEEALSTLIPLLPEIKYFRFNPVDERCDMELDETDPTLWLKLEAAVDEYIQANSEAFRDVCERLLLQHDDKLSENLKDQQFQKAKVLYIGEHSPFLGWRRNVLLVESLHSLDSGRVMHHARAVESFCGRNGIRLFLMPGTSGTAKTVPATISPSPFTSPLITGSFFSSPFLYGPDVVPKQTSQINMVLPLSSDGIQSGKNPMSLPMSPSGRRQLSLPVQSLHKKLQNTPQLGIVHLALQNDSFGSILSWQNDVFVVAEPGDLANKFLQSVKFSLLSMMHGSRKKVLSFLANISTVADLVQCKPYFQVGNVVHRYIGRQTQVMEDDQEIGAYMFRRTVPAMHLTPDDVRWMIGDWRDRIIICTGTYGPTPTLIKAFLDCGAKVVICPSADPPEIPVTSADGSGEFHVLENGKFEIGEDDTEDEEAEPVSPLTSDWEDSEPEKNLKHSMYFWDDDEEELSQFVCQLYDNLFREGARIDIALQNALASHRRMRYSLHLPKHN >Manes.06G124000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25407581:25414172:-1 gene:Manes.06G124000.v8.1 transcript:Manes.06G124000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVISKTTFGQRRSIAGSPDHGRSSDQPSVIVTEAVNAVRVKKEVKQREKARHTGNFQTDVPTAERRRPRPEPFPRNQQGWPSWLMAVAGDAIGDWTPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESVKFMAREILVLRRLDHPNVLKLEGLVTSRMSCSLYLVFEYMEHDLAGLAARHEVKFTEAQVKCYMKQLLCGLEHCHSRGVLHRDIKGSNLLIDNEGVLKIADFGLATFFDPHRRIPMTSRVVTLWYRPPELLLGATFYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPNATLFKPQHPYKRCIAETFKDFSRSSLTLIETLLSIDPDSRGTATTALNSEFFTTEPYACEPSSLPKYPPSKEMDVKLREEEARRQRGLSGKANVVDGARRVRIRERVGRAIPAPEANAENSSNLDRLRVMTQANAKSKSEKFPPPHQDAAVGHHIDTSQKAPVSFGAPDTSFDSSVFTSKSSTSTRSAGVSGGPSRRKKTNKEDPQMAPSRKFMRPFNPSSMGLSMDLLFKGKSEVFGRRR >Manes.06G124000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25407581:25414173:-1 gene:Manes.06G124000.v8.1 transcript:Manes.06G124000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVISKTTFGQRRSIAGSPDHGRSSDQPSVIVTEAVNAVRVKKEVKQREKARHTGNFQTDVPTAERRRPRPEPFPRNQQGWPSWLMAVAGDAIGDWTPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESVKFMAREILVLRRLDHPNVLKLEGLVTSRMSCSLYLVFEYMEHDLAGLAARHEVKFTEAQVKCYMKQLLCGLEHCHSRGVLHRDIKGSNLLIDNEGVLKIADFGLATFFDPHRRIPMTSRVVTLWYRPPELLLGATFYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPNATLFKPQHPYKRCIAETFKDFSRSSLTLIETLLSIDPDSRGTATTALNSERLRVMTQANAKSKSEKFPPPHQDAAVGHHIDTSQKAPVSFGAPDTSFDSSVFTSKSSTSTRSAGVSGGPSRRKKTNKEDPQMAPSRKFMRPFNPSSMGLSMDLLFKGKSEVFGRRR >Manes.01G187800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36444166:36448714:1 gene:Manes.01G187800.v8.1 transcript:Manes.01G187800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGYTVEVTGLSPKATEKDLHDFFSFSGAIEHVEIVRSGEFACTGYVTFKDAYGQETAVLLSGATIMDQRVCIIRWGQYVDEFDLWNGPSSRVEDDTESTPPQRSQYVPSAGEAVTAAQEVVKTMLAKGYVLGKDALSKAKAYDESHQVTATAAAKVAELTERIGLADKIFAGMEAVKAVDEKYHVSDVTKSAVSATGRTAAAAAKTVVNSSYFSRGALWMSDALNRAAKVAADLGTRGVQQ >Manes.01G187800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36444166:36448714:1 gene:Manes.01G187800.v8.1 transcript:Manes.01G187800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGYTVEVTGLSPKATEKDLHDFFSFSGAIEHVEIVRSGEFACTGYVTFKDAYGQETAVLLSGATIMDQRVCIIRWGQYVDEFDLWNGPSSRVEDDTESTQPPQRSQYVPSAGEAVTAAQEVVKTMLAKGYVLGKDALSKAKAYDESHQVTATAAAKVAELTERIGLADKIFAGMEAVKAVDEKYHVSDVTKSAVSATGRTAAAAAKTVVNSSYFSRGALWMSDALNRAAKVAADLGTRGVQQ >Manes.01G187800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36444166:36448714:1 gene:Manes.01G187800.v8.1 transcript:Manes.01G187800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGYTVEVTGLSPKATEKDLHDFFSFSGAIEHVEIVRSGEFACTGYVTFKDAYGQETAVLLSGATIMDQRVCIIRWGQYVDEFDLWNGPSSRVEDDTESTPPQRSQYVPSAGEAVTAAQEVVKTMLAKGYVLGKDALSKAKAYDESHQVTATAAAKVAELTERIGLADKIFAGMEAVKAVDEKYHVSDVTKSAVSATGRTAAAAAKTVVNSSYFSRGALWMSDALNRAAKVAADLGTRGVQQ >Manes.01G187800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36444166:36448714:1 gene:Manes.01G187800.v8.1 transcript:Manes.01G187800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGYTVEVTGLSPKATEKDLHDFFSFSGAIEHVEIVRSGEFACTGYVTFKDAYGQETAVLLSGATIMDQRVCIIRWGQYVDEFDLWNGPSSRVEDDTESTPPQRSQYVPSAGEAVTAAQEVVKTMLAKGYVLGKDALSKAKAYDESHQVTATAAAKVAELTERIGLADKIFAGMEAVKAVDEKYHVSDVTKSAVSATGRTAAAAAKTVVNSSYFSRGALWMSDALNRAAKVAADLGTRGVQQ >Manes.01G187800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36444311:36448193:1 gene:Manes.01G187800.v8.1 transcript:Manes.01G187800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFSSLLQIIGGLQLWSMVTMSSDDEDAMICGLKRCFASHTIHESAVSYHLHVPEDKVEKVICSVLYNDFILKLFKFSVSLDSECSDHLQLVFRLIQKGFRIMSAGGYTVEVTGLSPKATEKDLHDFFSFSGAIEHVEIVRSGEFACTGYVTFKDAYGQETAVLLSGATIMDQRVCIIRWGQYVDEFDLWNGPSSRVEDDTESTPPQRSQYVPSAGEAVTAAQEVVKTMLAKGYVLGKDALSKAKAYDESHQVTATAAAKVAELTERIGLADKIFAGMEAVKAVDEKYHVSDVTKSAVSATGRTAAAAAKTVVNSSYFSRGALWMSDALNRAAKVAADLGTRGVQQ >Manes.05G166600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:27865070:27867571:1 gene:Manes.05G166600.v8.1 transcript:Manes.05G166600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDGGDCTKFVDTGSSKPRSKVGNITHSDPNMSSTMQDEDFSTRNSSASASAPGFLDQNRLSCEGSPMTMSPWNNTTGDNKASWTPFEENLPQNGLIGSLVREEGHIYSLAATKDLLYTGSDSKNIRVWKELKEFSGFKSSSGLVKAIIILGEKIFTGHQDGKIRVWKVSPKNPSVHKRSGTLPTLKDIFKSSIKPSNYVQVRNHRTSLWIKHSDAVSCLSFNEDNTLLYSASWDRSFKVWRLSDSKCMESVSAHDDAVNSVVASSDDTVFTGSADGTVKVWKREQNGKSFKHNMLQTLLKQECAVTALAVNPTGSVLYCGSSDGVVNFWEREKQLSHGGVLKGHKLAVLCLSAAGNVLFSGSADKTICVWRRDGSIHTCLSVLTGHNGPVKCLAVVEDHEQSKPGDQRWVVYSGSLDKSVKVWSVSEFAPDANQMAMMQQQQQYQQVTDSETAPSDGSSGNNM >Manes.15G177580.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16363192:16364366:1 gene:Manes.15G177580.v8.1 transcript:Manes.15G177580.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHQYQSKARISRPQLSLPLPVCLQPACLSPRLCYCAAGIRRRSGITIMIDKYFTKLPKNSEPLN >Manes.07G075100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20431013:20453803:-1 gene:Manes.07G075100.v8.1 transcript:Manes.07G075100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVSDEPLTPAGRLFLQPEMKTVIHCLLGFKYNMDIDAIKSTIKNSLMVKHPRFCSLLVHDKNGFEHWRRTEVDVDRHIILVDETSITNSSDDVDKIVNDYIADLSVSSPLISDKPLWEIHIMKEKKCAIFRIHHALGDGISLMSMLLASCRKAEDPMAVPTLMTGGRRDWREGKDWRGILMGVLKMVLFSLVFCVDFVLRCLWVRDRKTVISGGDGVELWPRKVATAKFLIEDMKMVKKVVANATINDVLFGVISTGISTYLDHRSPNSLKEGQQLTGIAMVNLRSQTGLQMMESNSTCRWGNKFGILLLPIYYYHKIEPLEHVKRAKEMIDRKKKTLEAHFSYKVGDLAMSWLGPKVASLLNYRIMCNTTFTISNVVGPKEEITIAGNPITFIRVNTSSLPQALVMHVVSYAGKAEMQIVVAKDIIPDPEFLAKCFQDSLLEMKEAALASL >Manes.07G075100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20431013:20453803:-1 gene:Manes.07G075100.v8.1 transcript:Manes.07G075100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVSDEPLTPAGRLFLQPEMKTVIHCLLGFKYNMDIDAIKSTIKNSLMVKHPRFCSLLVHDKNGFEHWRRTEVDVDRHIILVDETSITNSSDDVDKIVNDYIADLSVSSPLISDKPLWEIHIMKEKKCAIFRIHHALGDGISLMSMLLASCRKAEDPMAVPTLMTGGRRDWREGKDWRGILMGVLKMVLFSLVFCVDFVLRCLWVRDRKTVISGGDGVELWPRKVATAKFLIEDMKMVKKVVANATINDVLFGVISTGISTYLDHRSPNSLKEGQQLTGIAMVNLRSQTGLQDMTKMMESNSTCRWGNKFGILLLPIYYYHKIEPLEHVKRAKEMIDRKKKTLEAHFSYKVGDLAMSWLGPKVASLLNYRIMCNTTFTISNVVGPKEEITIAGNPITFIRVNTSSLPQALVMHVVSYAGKAEMQIVVAKDIIPDPEFLAKCFQDSLLEMKEAALASL >Manes.07G075100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:20449472:20453838:-1 gene:Manes.07G075100.v8.1 transcript:Manes.07G075100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVHVSDEPLTPAGRLFLQPEMKTVIHCLLGFKYNMDIDAIKSTIKNSLMVKHPRFCSLLVHDKNGFEHWRRTEVDVDRHIILVDETSITNSSDDVDKIVNDYIADLSVSSPLISDKPLWEIHIMKEKKCAIFRIHHALGDGISLMSMLLASCRKAEDPMAVPTLMTGGRRDWREGKDWRGILMGVLKMVLFSLVFCVDFVLRCLWVRDRKTVISGGDGVELWPRKVATAKFLIEDMKMVKKVVANATINDVLFGVISTGISTYLDHRSPNSLKEGQQLTGIAMVNLRSQTGLQDMTKMMESNSTCRWGNKFGILLLPIYYYHKIEPLEHVKRAKEMIDRKKKTLEAHFSYKVGDLAMSWLGPKVASLLNYRIMCNTTFTISNVVGPKEEITIAGNPITFIRVNTSSLPQALVMHVVSYAGKAEMQIVVAKDIIPDPEFLAKCFQDSLLEMKEAALASL >Manes.11G052190.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5551775:5555357:1 gene:Manes.11G052190.v8.1 transcript:Manes.11G052190.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDDDFSRTSARIYIGGLGERVTHDDLHKIFSKIDGEIESVDIIRTKGRSFAYIDFLPSSHNSLSKLFSMYNGCIWKGGRLRLEKAKENYLDRLKREWAEDAQLVSSEYTNVNVDVVKERDSLKKPNETHSSKMKQLRMFFPRLQKVKSLPFSGTGKHKYSFRRVEVPSLPTHFCDCEEHSGPLHYAEGKQIPVQDEQGGGMTKEELDVMNSVMNKLFEMENMSSTPHCENELTKEEDYSIQVPNEPLLDESDGYSTADEDNLIINVVSRGQELKLNKRKASKDGPTDMLKQQTRNYEEIIRNEYESIIPRGMGNLQDDINGSRILPGAQLIERQSGDMQSASGLSWSQKSPWKEFIGDRGNSAFNLSDIFPGISSDKKEKSKSDGGPNSNNSKNKKLLRHENQGVQLDKTEVEGFVEAQPSKLDSSSSKTGRGSAWLHKTSWTQLVNSNNSSSFSITQILPGVTFDKQEPAEPHGLVTTDARDSKNNDTIEKDKSESVLDGSMDLQIIREGDGQRIREPRQLVDLGSNIPSALTENKHNSATKPMRRGDIVIGEVCSFMRNDASLKEWANAKAALSGSGNMTSKGKKRPTFH >Manes.11G052190.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5551780:5554939:1 gene:Manes.11G052190.v8.1 transcript:Manes.11G052190.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDDDFSRTSARIYIGGLGERVTHDDLHKIFSKIDGEIESVDIIRTKGRSFAYIDFLPSSHNSLSKLFSMYNGCIWKGGRLRLEKAKENYLDRLKREWAEDAQLVSSEYTNVNVDVVKERDSLKKPNETHSSKMKQLRMFFPRLQKVKSLPFSGTGKHKYSFRRVEVPSLPTHFCDCEEHSGPLHYAEGKQIPVQDEQGGGMTKEELDVMNSVMNKLFEMENMSSTPHCENELTKEEDYSIQVPNEPLLDESDGYSTADEDNLIINVVSRGQELKLNKRKASKDGPTDMLKQQTRNYEEIIRNEYESIIPRGMGNLQDDINGSRILPGAQLIERQSGDMQSASGLSWSQKSPWKEFIGDRGNSAFNLSDIFPGISSDKKEKSKSDGGPNSNNSKNKKLLRHENQGVQLDKTEVEGFVEAQPSKLDSSSSKTGRGSAWLHKTSWTQLVNSNNSSSFSITQILPGVTFDKQEPAEPHGLVTTDARDSKNNDTIEKDKSESVLDGSMDLQIIREGDGQRIREPRQLVDLGSNIPSALTENKHNSATKPMRRGDIVIGEVCSFMRNDASLKEWANAKAALSGSGNMTSKGKKRPTFH >Manes.18G003900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:771475:772768:-1 gene:Manes.18G003900.v8.1 transcript:Manes.18G003900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKSDTKASRLSVNKKPAKPTKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSEAEKAPYVAKAEKRKVEYEKKLKAYNKGQAEGPKEEEESEKSMSEVNDEDEDDEEGSGEEEDDD >Manes.09G167800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36016379:36050704:1 gene:Manes.09G167800.v8.1 transcript:Manes.09G167800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKIFSVRIVSIDYYMAPPIPNFDICYSSFQGGKVNEVPVIRIYGSTPAGQKTCLHVHRAFPYLYVPCSDIPLHPDQEGDSYTNAISLALEKALKLKGNAGSKRQHVHGCSLVRARKFYGYHSSEELFVKINLYPYGGAVLDKSLQPHESHIPFILQFLIDYNLYGMGHVHLSKMKFRHPVPDVYTMRTFTRNILHGLEMENSTCMSADFQAGLSGGESFDSPVWISSTIPGDWMWQFSSEFELSSDQGIYQIKRQSICQLEGDATVDEILNQQFKTYASLSQTGSDVKMVQSLIPIWEEEYERSGLHEAAIPPDPGKPLAEDVLKTLSHELDFERKLKELYSKSEGLPSFGNIVKCQQSLTSAYEDILGEHEKINFDNTHEQPLNCSAERDTIGSSSLLSSPCADQLDTTPAERKDACPEFLSVSDLQSTETVGTLDPKTADPEALDLLRWLATSQAADDINSDDELIRETILIPLLPAKTIDEVLEKANMDYESESQKECQDILDSIENLVDLEGLKERTFHSIGCSNRNQNLSETSHGDGLVSSSSRTAENLSRIGMKDDSKRCQTTGTNFTTKCKRKKSLWGSLPFSMTRKGNDDLEAVGSNIADACIGQGKSCVTTSMAGNEGEKRSDILLKNLNSDVCEVSDLVGCSVRDLMRIKRCHRIAQQDCGNIEVKHSGGEYEQVNVLLPNKLDILALRDDECDKNPHGFTKFRPSITDKQTVLSEIHDFKSVPPSCPSFMAVPSAARHSALQVSEHPSPVNKRNKELQCPCKSDRQNSATSMGHCETNNAKELDQEDVIFKEALTSNLYNSKSDLSSNNLSCKDVNLVGKRLDKKEAALSFSLTASLTEQEIFPRDDYECKCCHKGRICEIPNPNPMGVYMSADTPVPQNKNSCSSKHVGSFGFSVHGSSIFDGEPGSLIGMTLNKKPPVVDWKDAASENTSFVPALSYHTSLLIKDSNLGTSGNTLDELLPFFEGDFQEEKVVQHESFPNVDPNIAQESALGVPTHYQNDGSVLYLLTPVYSPPSVDCVYRWLTCNHEGPSEIGSKGSSPVYGNKVPMELDSTSNLMPIRDQAQKEIHQNVNSEFHLQKPLHSEENNAKKNAGVDCSLDLSQISGPCERSNPTPLSQIGFRDPASIGAGQQLTLLSIEVQAETRGDLRPDPGFDAISVVALAFQSDNDSAIEVCVLLHSNRESYNRSSDGISVNKVLYFSEEKHLLRHFIRTIGLFDPDILMGWDIQGGSLGFLAERAAHLGMGLLNNISRTPSEANIGTRETESADDEMLDAVLHESLFADSGLVDQAVIEDEWGRTHASGVHVGGRVVLNIWRLTRAEVKLNMYTVEAVGEAVLRRKIPLIPYRVLTRWFSSGPGRARYRCIEYVIQRARLNLEIMNQLDMVNRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYGDPVVVLDFQSLYPSMIIAYNLCFCTCLGNVTRLKENTLGVCSYSPDPHDLRDLKQKFLLTPNGVMYVPSKVRKGILPRLLEEILSTRIMVKQAMKKLAPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAIALVNANDKWNAKVIYGDTDSMFVLLKGRTVKESFQIGHEISSAVTAVNPYPVTLKMEKVYHPCFLLTKKRYVGYSYERVDQIEPVFDAKGIETVRRDTCGAVAKMMEQSLRLFFEHQDISEIKGYLQRQWTRILSGRVSLQDFVFAKEVRLGTYSTRASSSLPPAAIVATKAMRADPRAEPCYAERVPYVVIHGEPGARLVDMVVDPLELMAVDSPYRLNDMYYINKQIIPALQRVFGLIGADLNLWFSEMPRPGRDTFAKRTPYVSNPQRTRIDFYYLSKHCVLCGELVHTSTHMCNKCSQEETAAATAVIVRTSKLEREMQHLAAICRHCGGGDWLMDSGVKCSSLACSVFYERRKVQKELQGISVVATDKGFYPKCMVECPPTVALSTMAQTSISILLMRRITKASQNPKLAFSHLFRNLSLKPYSTSSLPDPPKPSSLSARMSFVFDQIDAIERERLQKDDTLQRIRAWRQSKNTAQQQQQNPETITSHNPEILSSRNEGLESGFRDNDDSSLNMNESNSVLLMKKTEMEVVHPWPEWIELMERLVHQNYFDHRRRDEDKMVQDMGFDPHYAGNDDYTGIDFKDFKTVQTACVNFGKDRFDIFRSLSRQDIQILVGHGCPSADKKVVFSAKLLRKHVHLDEGDVCSNCSLRNSCERGYLLTNKENEACTVDVMRVLLTYGFDPINGSVVNESLLKQKSVKTVVRKLLHEVVKLSAIPIDPNLPPPVIKKPPPKVKQPPPPPKRRVGRDDVEMKKGDWLCPKCEFMNFAKNTLCLQCDAKRPKRQLLPGEWECPECNFLNYRRNMACFHCDCKRPPDEFIENKMEQMQHVHEKRSGKSRPEFSNAWNFDFDDDESDGADVAAFEYADPAAMKEESPLGTPAQGGNFRGLDDDLNITSRIPRVREREYSDPRPNRHAIGFDDFDDEDDVDSYELDTENNSPALKETQNKFTRQDISELEDDESSDDELHTHSRKSPESYNKPSKHKHKKAALLGSEDDELNIDSDEEISVSRKWKSSHVFDAKHKNRGRSATGLYRGLSFGSDEEVELDSGADDFDEDFSSRQRKGNKPDFGRRNYRRHSDNDEMFSQRKNYQKSKMEAGGRRDKFRGRSDYDFVRDPKDKIGGRRNSWNKDFDRSSHSSRGENRGFQDNGRSERRMNGRDFQNFKGPRQERFRNQQRGQSSDYKMDKDKDFGGEFRNSRRVIER >Manes.05G080300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6597752:6606527:1 gene:Manes.05G080300.v8.1 transcript:Manes.05G080300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAHADSKRKYSWWWDSHISPKNSKWLQENLTDMDVKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPFMLTDDAPAVSADGEPRTPDMPPIRTVFDPDELQKDALGISPSHSHAVKRHIAFTEESDAVPGRKGLKQLNDLFGTAEGRARKGLNFQDAEEKDQGMQNNGKSDIKARVPFESERVAKAEQEIVTLKNALAKLEAEKEAGLLRYQQSFERLSNLESEVYRAKEDSRGLNERASKAEAEVQTLKEALSKLNAEREASFLQYQQCLDKITNLENNISQAQKDAEELNDRASKAELEVQSLTQELAKLEAEKERILLQYKQCLEKISDLEGRLLHAELDAKRFNERAEKAEREVETLRQVLAKLTEEKEAAAVQYQQCLDTISSLERKLAFALEEGRRLNSEIDDGVVKLKGAEEKCLLLERSNQTMRTELESAAQIMASQREELTEKQKELGRLWTSIQDERLRFMEAETAFQTLQHLHSRSQEELRSMASELQNRTQILQDLEARNQSLQNEVEEVKVENRGLTEVNLSSALTIENLQGEVSSLRDKIGKLEAEVELRVDQRNALQQEIYCLKEELNDMNKKHQDIMDQAESVGFSPECLGSSVKALQDENTKLKEVCERERSQNVALLEKLEIMEKLVEKNALLENSISDLNIELEGVRERVQALEKSYQSLLEEKSTLVSDKATLFSQLRIATDNLEKLTEKNNFLENSLLDANAEVEGLRVKSKSLQELCMLLDNEKSDLATVKGNLISQLENTQKIHEDLEKNFRELQQKYSTLVEERESTLHEVEELRVHLNAQKQEYASHAQLSESRLAGMATQIQLLQEDGLCVKKEHEEGLDKAFYAMTDIFILQKCVQDLEKNNLSLFLEYQKLLEASKLSEKLISELKHENLQQHMEVKSLYDQIDVLRVGLYTVLRTLGLDVKQGCEDKAEQDQMLLNHALDKLHETHNFLFEMQDKNQQLIIENTVLVTLLGQLQQEVANLVTAKNTLHQELASRSEQFLVLHGKNQKLAEVNEELRLMIMEKDCKEENLKAELKTLQRRLLDLQGDYQNLQKENCKVVNEQRLLMKSVSDLGEEKCNLEDENCAIFAETLSLSTMSLIFRDIVTEKCLDIKELSENLDTLHYVNNCLNDKVKIMEEELLELSVIQDEKRELHKMVENLKCKYDEAELIRLDQEKQIIKLSADCDQQIKVVECTGEANRELEIELGKLIGERLEAKIREDSLNCELQKGRNEVEWWESQASALFGELQISTVQQALFEGKVHELIEATESLEGRNCLNAREIDQLKERVSTLELDNEELKSQITAHVTAFISLRDCITSLENHTLSPATFHGVHKKEEKDATFAVHAESCQQISDDRTAMRPAGVLDLQDLQIRIVAIEEAVKERERLVILENSNVNSKLADAIRQIEEMKSKSSLHGEAVEAGEHENQNLDDKELGSETDNNLRLQNDISEEGNGVMTKDIMLDHVSECSSYGISRRETAEANDQMLEIWETTDKDASIDLTVEKAQKGTAALTEKKRNKDHPSMESMVEKDVSVDKLEISKRSSGSRRELNERKILERLDSDAQKLTNLQITVQDLKKKVEITDKNKKGKGIEYDNVKDQLEESEEAIMKLFDVNRKLMKSIENESFSLDEKSALALDESGSVRKRRISEQARRGSEKIGRVQLEVQKLQFLLLKLDDQNKSRGKTKIIERKTRVKLRDYLYGGTRSSQKRKKGHFCACVHPPTKGD >Manes.05G080300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6600396:6606455:1 gene:Manes.05G080300.v8.1 transcript:Manes.05G080300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPFMLTDDAPAVSADGEPRTPDMPPIRTVFDPDELQKDALGISPSHSHAVKRHIAFTEESDAVPGRKGLKQLNDLFGTAEGRARKGLNFQDAEEKDQGMQNNGKSDIKARVPFESERVAKAEQEIVTLKNALAKLEAEKEAGLLRYQQSFERLSNLESEVYRAKEDSRGLNERASKAEAEVQTLKEALSKLNAEREASFLQYQQCLDKITNLENNISQAQKDAEELNDRASKAELEVQSLTQELAKLEAEKERILLQYKQCLEKISDLEGRLLHAELDAKRFNERAEKAEREVETLRQVLAKLTEEKEAAAVQYQQCLDTISSLERKLAFALEEGRRLNSEIDDGVVKLKGAEEKCLLLERSNQTMRTELESAAQIMASQREELTEKQKELGRLWTSIQDERLRFMEAETAFQTLQHLHSRSQEELRSMASELQNRTQILQDLEARNQSLQNEVEEVKVENRGLTEVNLSSALTIENLQGEVSSLRDKIGKLEAEVELRVDQRNALQQEIYCLKEELNDMNKKHQDIMDQAESVGFSPECLGSSVKALQDENTKLKEVCERERSQNVALLEKLEIMEKLVEKNALLENSISDLNIELEGVRERVQALEKSYQSLLEEKSTLVSDKATLFSQLRIATDNLEKLTEKNNFLENSLLDANAEVEGLRVKSKSLQELCMLLDNEKSDLATVKGNLISQLENTQKIHEDLEKNFRELQQKYSTLVEERESTLHEVEELRVHLNAQKQEYASHAQLSESRLAGMATQIQLLQEDGLCVKKEHEEGLDKAFYAMTDIFILQKCVQDLEKNNLSLFLEYQKLLEASKLSEKLISELKHENLQQHMEVKSLYDQIDVLRVGLYTVLRTLGLDVKQGCEDKAEQDQMLLNHALDKLHETHNFLFEMQDKNQQLIIENTVLVTLLGQLQQEVANLVTAKNTLHQELASRSEQFLVLHGKNQKLAEVNEELRLMIMEKDCKEENLKAELKTLQRRLLDLQGDYQNLQKENCKVVNEQRLLMKSVSDLGEEKCNLEDENCAIFAETLSLSTMSLIFRDIVTEKCLDIKELSENLDTLHYVNNCLNDKVKIMEEELLELSVIQDEKRELHKMVENLKCKYDEAELIRLDQEKQIIKLSADCDQQIKVVECTGEANRELEIELGKLIGERLEAKIREDSLNCELQKGRNEVEWWESQASALFGELQISTVQQALFEGKVHELIEATESLEGRNCLNAREIDQLKERVSTLELDNEELKSQITAHVTAFISLRDCITSLENHTLSPATFHGVHKKEEKDATFAVHAESCQQISDDRTAMRPAGVLDLQDLQIRIVAIEEAVKERERLVILENSNVNSKLADAIRQIEEMKSKSSLHGEAVEAGEHENQNLDDKELGSETDNNLRLQNDISEEGNGVMTKDIMLDHVSECSSYGISRRETAEANDQMLEIWETTDKDASIDLTVEKAQKGTAALTEKKRNKDHPSMESMVEKDVSVDKLEISKRSSGSRRELNERKILERLDSDAQKLTNLQITVQDLKKKVEITDKNKKGKGIEYDNVKDQLEESEEAIMKLFDVNRKLMKSIENESFSLDEKSALALDESGSVRKRRISEQARRGSEKIGRVQLEVQKLQFLLLKLDDQNKSRGKTKIIERKTRVKLRDYLYGGTRSSQKRKKGHFCACVHPPTKGD >Manes.05G080300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6597816:6606251:1 gene:Manes.05G080300.v8.1 transcript:Manes.05G080300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAHADSKRKYSWWWDSHISPKNSKWLQENLTDMDVKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRQAHRTMAEAFPNQVPFMLTDDAPAVSADGEPRTPDMPPIRTVFDPDELQKDALGISPSHSHAVKRHIAFTEESDAVPGRKGLKQLNDLFGTAEGRARKGLNFQDAEEKDQGMQNNGKSDIKARVPFESERVAKAEQEIVTLKNALAKLEAEKEAGLLRYQQSFERLSNLESEVYRAKEDSRGLNERASKAEAEVQTLKEALSKLNAEREASFLQYQQCLDKITNLENNISQAQKDAEELNDRASKAELEVQSLTQELAKLEAEKERILLQYKQCLEKISDLEGRLLHAELDAKRFNERAEKAEREVETLRQVLAKLTEEKEAAAVQYQQCLDTISSLERKLAFALEEGRRLNSEIDDGVVKLKGAEEKCLLLERSNQTMRTELESAAQIMASQREELTEKQKELGRLWTSIQDERLRFMEAETAFQTLQHLHSRSQEELRSMASELQNRTQILQDLEARNQSLQNEVEEVKVENRGLTEVNLSSALTIENLQGEVSSLRDKIGKLEAEVELRVDQRNALQQEIYCLKEELNDMNKKHQDIMDQAESVGFSPECLGSSVKALQDENTKLKEVCERERSQNVALLEKLEIMEKLVEKNALLENSISDLNIELEGVRERVQALEKSYQSLLEEKSTLVSDKATLFSQLRIATDNLEKLTEKNNFLENSLLDANAEVEGLRVKSKSLQELCMLLDNEKSDLATVKGNLISQLENTQKIHEDLEKNFRELQQKYSTLVEERESTLHEVEELRVHLNAQKQEYASHAQLSESRLAGMATQIQLLQEDGLCVKKEHEEGLDKAFYAMTDIFILQKCVQDLEKNNLSLFLEYQKLLEASKLSEKLISELKHENLQQHMEVKSLYDQIDVLRVGLYTVLRTLGLDVKQGCEDKAEQDQMLLNHALDKLHETHNFLFEMQDKNQQLIIENTVLVTLLGQLQQEVANLVTAKNTLHQELASRSEQFLVLHGKNQKLAEVNEELRLMIMEKDCKEENLKAELKTLQRRLLDLQGDYQNLQKENCKVVNEQRLLMKSVSDLGEEKCNLEDENCAIFAETLSLSTMSLIFRDIVTEKCLDIKELSENLDTLHYVNNCLNDKVKIMEEELLELSVIQDEKRELHKMVENLKCKYDEAELIRLDQEKQIIKLSADCDQQIKVVECTGEANRELEIELGKLIGERLEAKIREDSLNCELQKGRNEVEWWESQASALFGELQISTVQQALFEGKVHELIEATESLEGRNCLNAREIDQLKERVSTLELDNEELKSQITAHVTAFISLRDCITSLENHTLSPATFHGVHKKEEKDATFAVHAESCQQISDDRTAMRPAGVLDLQDLQIRIVAIEEAVKERERLVILENSNVNSKLADAIRQIEEMKSKSSLHGEAVEAGEHENQNLDDKELGSETDNNLRLQNDISEEGNGVMTKDIMLDHVSECSSYGISRRETAEANDQMLEIWETTDKDASIDLTVEKAQKGTAALTEKKRNKDHPSMESMVEKDVSVDKLEISKRSSGSRRELNERKILERLDSDAQKLTNLQITVQDLKKKVEITDKNKKGKGIEYDNVKDQLEESEEAIMKLFDVNRKLMKSIENESFSLDEKSALALDESGSVRKRRISEQARRGSEKIGRVQLEVQKLQFLLLKLDDQNKSRGKTKIIERKTRVKLRDYLYGGTRSSQKRKKGHFCACVHPPTKGD >Manes.13G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33958986:33964819:1 gene:Manes.13G131300.v8.1 transcript:Manes.13G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTLYSPTNSRQFHFPWRPHHLKPISKKLKLSSPRAPTLTASLRTSINYEPEEGLNEGPRPILPVRLPVVIRHSGRVSRYSWDGSSLKLVSVDGGALSFCLDFEDGFRKIFRVSSLAVRNFFIPKQVPDNYMAYVKWKLLHRVFSSALQVLATQAMFRAIGIGYSRSLPSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRFSTSVLFSLSIGVELLTPAFPQQFLLLATLANVAKQISLASYLATSSAIHRSFAVADNLGEVSAKAQIQTVCFDNLGLLIAALLNMLFKNNQRLLAGLPFVVYPIFSAIDLFGIYQGLKHVHLQTLTKDRLEIILNSWIELGHVLSPAEASKIEGIDFLRSKGKELWPIRIGCINTKDQVPKLSMMAMQSLSEKDFYFICMENSHRRLTRSKQQGILLCIREGAGTADVIMGLLQACYIRKALLLSRLGTILEDNEPEGSVLKEWTKLIEDSKGAAERDLSPLASQMLALGWAIKNILLSNEEQARYSFVDD >Manes.12G079001.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9848082:9859582:-1 gene:Manes.12G079001.v8.1 transcript:Manes.12G079001.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRKLGGGGGGGGGGGGRNQRLLKFHVDSCKNKFSTADDVVHHLRQHHSNYRRMELRTLTRLVHQILSSPSPSSSRQPHKSRRSSSASPGLEEGEDEDSIFINPNQFRKKRKRIDESEERLLQIENDYSKRIDRNLPSTSSSESDSESPPSSSAVSTSEDGIYGEKVEPKIDLMQSMLRESYAAESKGKEKDKEKNIEVEVASSAKNCNEIDIVNSHKVEEDAERLGANGTKERKGKGDVSNAKSVEVKGKDGPRFRDLGGMRSVLEELEMEVFLPLYHPHVPRRLGVNPISGILLHGPPGCGKTKLAHAIATETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIIFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHRLVQPANANSDPESSDEKPGNVLVIGATNRPDAIDPALRRPGRFDREIRLGVPDENARVEILSVLTRKCTLEGSLDLLQIARSTPGFVGADLDALVDKAGNLAMRRILSHRKSELTGEYSDREYTEEWWKMPWLPEEIEKLAITMSDFEQAAKMVQPSSRREGFSTIPNVKWEDVGGLESIRNEFDLHIVRRIKFPEDYQKFGVNLETGILLYGPPGCGKTLIAKAVANEAGANFIHVKGPEILNKYVGESELAVRTLFGRARTCSPCVLFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRPGVFIIGATNRPEVMDPAVLRPGRFGKLLYVPLPSPDDRGLILKALAKGKPIDPSVDLSAIGQMNSCENLSGADLKKLMDEAAMAALVEAKRLSCSDENSCTIKATHFEQALNKISPSVSHKQIQYYKVWSESFKTA >Manes.12G079001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9849260:9859594:-1 gene:Manes.12G079001.v8.1 transcript:Manes.12G079001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRKLGGGGGGGGGGGGRNQRLLKFHVDSCKNKFSTADDVVHHLRQHHSNYRRMELRTLTRLVHQILSSPSPSSSRQPHKSRRSSSASPGLEEGEDEDSIFINPNQFRKKRKRIDESEERLLQIENDYSKRIDRNLPSTSSSESDSESPPSSSAVSTSEDGIYGEKVEPKIDLMQSMLRESYAAESKGKEKDKEKNIEVEVASSAKNCNEIDIVNSHKVEEDAERLGANGTKERKGKGDVSNAKSVEVKGKDGPRFRDLGGMRSVLEELEMEVFLPLYHPHVPRRLGVNPISGILLHGPPGCGKTKLAHAIATETGVPFYKISATEVVSGVSGASEENIRELFSKAYRTAPSIIFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHRLVQPANANSDPESSDEKPGNVLVIGATNRPDAIDPALRRPGRFDREIRLGVPDENARVEILSVLTRKCTLEGSLDLLQIARSTPGFVGADLDALVDKAGNLAMRRILSHRKSELTGEYSDREYTEEWWKMPWLPEEIEKLAITMSDFEQAAKMVQPSSRREGFSTIPNVKWEDVGGLESIRNEFDLHIVRRIKFPEDYQKFGVNLETGILLYGPPGCGKTLIAKAVANEAGANFIHVKGPEILNKYVGESELAVRTLFGRARTCSPCVLFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRPGVFIIGATNRPEVMDPAVLRPGRFGKLLYVPLPSPDDRGLILKALAKGKPIDPSVDLSAIGQMNSCENLSGADLKKLMDEAAMAALVEAKRLSCSDENSCTIKATHFEQALNKISPSVSHKQIQYYKVWSESFKTA >Manes.18G144108.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27649261:27650159:-1 gene:Manes.18G144108.v8.1 transcript:Manes.18G144108.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPHNLRVTLDFRNLRIVLNQEKKSYVLDEAIPEPPPADATNAVKNKHKKHMDDSNYIGCLMLATMCPELRKDLEHLEAYEMSVHLKQAFQQQARKDRLGYPLSLELSIDLIPHSLPNNFSQFVMNYNMNNMEKSIPELHRMLKTAEVNIKKRPTQILNVNMGKSVKNKGKPKS >Manes.S005559.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:41218:41340:1 gene:Manes.S005559.v8.1 transcript:Manes.S005559.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.12G118805.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32060021:32071841:-1 gene:Manes.12G118805.v8.1 transcript:Manes.12G118805.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAADEEVESHAPSEAAAPAAAPPPAAAGGPGQDALFQQIAELIRRVTQNVPEVPPPPPVAVQVPPPVVARPPIEKLRKYGATEFRGKKEDDPSAAEFWLESTERVLQQLQCSPVESLMCAVSLLKDEAYRWWTTLTQMVRPERQTWEFFLSEFKKKYVGALYIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCRCFEQGLHADIRMYLTAMHIKELSVLVETAHSLERIKEEEQSRKQKGQQKRSQSQYQGQSSISQTSSKRHREFQQTGQRGLPRQSQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCKRLTGACYLCGSSDHFMRDCPKGQSVQPIQTERSLPTGSRGRGRGRGESSSAQSHRVSETVDRPDTRAPARAYAIHAREDQDKPDVIAGEGTSKGKEIARD >Manes.17G052400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24899310:24907664:-1 gene:Manes.17G052400.v8.1 transcript:Manes.17G052400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFLFVCNLLAQSTDCCDGSDEYDGQVKCQNTCWEAGKAARDKLRKKIATYKEGVALRRQVVEQAKQAIAKDEAELSKLKSGERILKGIVQQLKEHKEQIEKAEEKERLQKEKEEREKIEAEGKSNSEKSRVEEDTQQEKGEAKEKTDVGNNQAESVSDDKIGAPDDSHLDQDETGEQADHAAVAEIADSSKIEGSSVNEVKQHVAQVEDKSISPESKYDSAVVSETGHASGREGSHDQTAKVGNDASENTEGLSKEELGRLVASRWTGASESQTEGFEKSERQIEGVDVAKDNDHKDMPADMRDEEYDEYASEIDDETGKYGDVDTGDDIDETYEEDAHDGAGSSYKPDPEDELDLSDITTPSNPSWMEKIQQTLRRILRGFNFFQPPVNKSEAARIRKEYEESSTKLSKLQSRISSLTEKLKHDFGTGKEFYSLYDHCFESKQNKYVYKICPFKQASQEEGYATTRLGSWDKFEDSYRFMIFSNGDRCWNGPDRSLKVKLHCGLKNELTDVDEPSRCEYVALLSTPALCLEEKVKKWEDKLDLMDKNQPQGRDEL >Manes.17G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24899311:24907664:-1 gene:Manes.17G052400.v8.1 transcript:Manes.17G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDTSILIYGLALGVLCISPIAKSAVPKDPFLGISPQDENYYKISSETIKCKDGSKKFTKAQLNDDFCDCPDGTDEPGTSACPRGQFYCRNSGHIPVLLFSSRVNDGICDCCDGSDEYDGQVKCQNTCWEAGKAARDKLRKKIATYKEGVALRRQVVEQAKQAIAKDEAELSKLKSGERILKGIVQQLKEHKEQIEKAEEKERLQKEKEEREKIEAEGKSNSEKSRVEEDTQQEKGEAKEKTDVGNNQAESVSDDKIGAPDDSHLDQDETGEQADHAAVAEIADSSKIEGSSVNEVKQHVAQVEDKSISPESKYDSAVVSETGHASGREGSHDQTAKVGNDASENTEGLSKEELGRLVASRWTGASESQTEGFEKSERQIEGVDVAKDNDHKDMPADMRDEEYDEYASEIDDETGKYGDVDTGDDIDETYEEDAHDGAGSSYKPDPEDELDLSDITTPSNPSWMEKIQQTLRRILRGFNFFQPPVNKSEAARIRKEYEESSTKLSKLQSRISSLTEKLKHDFGTGKEFYSLYDHCFESKQNKYVYKICPFKQASQEEGYATTRLGSWDKFEDSYRFMIFSNGDRCWNGPDRSLKVKLHCGLKNELTDVDEPSRCEYVALLSTPALCLEEKVKKWEDKLDLMDKNQPQGRDEL >Manes.03G123900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25097684:25103623:1 gene:Manes.03G123900.v8.1 transcript:Manes.03G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFVAILSMLLVVALIPLYLWKRRQDSRSADEHQEEGQVRQQETVVRATGARRMRRRAAAGASSSRAVEATVEDTVDESDDEVGEHYEARASTKKDRKRQEREAQRQAEEATRESRQTKQDRYAEMRRRKDEEHEARERMLEEEAKAQKAKEEEAAALEFEKWKGEFSVDAEGTTENEVQDGNQDLLSEFVEYIKRQKCIPLEDLAAEFKLRTQECINRITSLENMGRLSGVMDDRGKYIYISQEEMKAVADYIKRQGRVSISHLASKSNQFIDLEPKIQFVEEISNMEEITAT >Manes.10G120000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28495260:28499728:1 gene:Manes.10G120000.v8.1 transcript:Manes.10G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTPSDHSSSSLPIFCKSLTIVDVEKKLSVPTKALKHLPSFGDNHHLYIEAMDDRGYFWSFQCSIRRNGHPKPTLSSRTWLPFVRYRNLTVGDTIKLYKEYDHFTGVNYKIQVDIIRKN >Manes.15G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8933123:8935431:1 gene:Manes.15G111900.v8.1 transcript:Manes.15G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDDEAKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLDSNKIADFIKFDVGNIVMVTGGRNRGRVGIIKNREKHKGSFETIHIQDATGHEFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEARKRLAAAPTVA >Manes.17G003400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2464703:2470279:-1 gene:Manes.17G003400.v8.1 transcript:Manes.17G003400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGFASEMYRNTSEELFLKSLMDSSVPTMEMLGFKNISSSHPHNFRTDSEELFKSWLTNGENHGYNSSSIAHRTRQASRRISTELATLTSQQPGSSLQKKRSNDVLFTQNNTIPDGTSSDLNIRNAVERSMQAWFHSSQPMTRSRSSELRKRYAAMQSAQTSLGNGVNDLKQEFADPNGFIELPMHDIGNQLGSFMSPSNSSSSTFNTPQMGDADKVSSVVNMLKGTLERKKLSNQKIEKEAVEDSSNAIYHDQQVIMNSPFDQVNGNSIYEIPQTFQEISSDQVKDAGGFQTVQGPIDLDLEGFVHPTNAIQLCTVSREPSQSESSAAAPVFSSGFDACDGPSNSSQTLSICESSRKQVGNNTSPENGPKAKDFRERIIENLKDDRKRGGLVRYGSVTSGGSVDKSDTTKKRRVERSRKMAEAKERNLIPAIPTDMQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKKNEELADEKERLLEEIERILSETGKM >Manes.17G003400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2464703:2470279:-1 gene:Manes.17G003400.v8.1 transcript:Manes.17G003400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGFASEMYRNTSEELFLKSLMDSSVPTMEMLGFKNISSSHPHNFRTDSEELFKSWLTNGENHGYNSSSIAHRTRQASRRISTELATLTSQQPGSSLQKKRSNDVLFTQNNTIPDGTSSDLNIRNAVERSMQAWFHSSQPMTRSRSSELRKRYAAMQSAQTSLGNGVNDLKQEFADPNGFIELPMHDIGNQLGSFMSPSNSSSSTFNTPQMGDADKVSSVVNMLKGTLERKKLSNQKIEKEAVEDSSNAIYHDQQVIMNSPFDQVNGNSIYEIPQTFQEISSDQVKDAGGFQTVQGPIDLDLEGFVHPTNAIQLCTVSREPSQSESSAAAPVFSSGFDACDGPSNSSQTLSICESSRKQVGNNTSPENGPKAKDFRERIIENLKDDRKRGGLVRYGSVTSGGSGNLYIFRTLTA >Manes.17G003400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2464703:2470279:-1 gene:Manes.17G003400.v8.1 transcript:Manes.17G003400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGFASEMYRNTSEELFLKSLMDSSVPTMEMLGFKNISSSHPHNFRTDSEELFKSWLTNGENHGYNSSSIAHRTRQASRRISTELATLTSQQPGSSLQKKRSNDVLFTQNNTIPDGTSSDLNIRNAVERSMQASNLYLAKAWFHSSQPMTRSRSSELRKRYAAMQSAQTSLGNGVNDLKQEFADPNGFIELPMHDIGNQLGSFMSPSNSSSSTFNTPQMGDADKVSSVVNMLKGTLERKKLSNQKIEKEAVEDSSNAIYHDQQVIMNSPFDQVNGNSIYEIPQTFQEISSDQVKDAGGFQTVQGPIDLDLEGFVHPTNAIQLCTVSREPSQSESSAAAPVFSSGFDACDGPSNSSQTLSICESSRKQVGNNTSPENGPKAKDFRERIIENLKDDRKRGGLVRYGSVTSGGSGNLYIFRTLTA >Manes.17G003400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2464703:2470279:-1 gene:Manes.17G003400.v8.1 transcript:Manes.17G003400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKGFASEMYRNTSEELFLKSLMDSSVPTMEMLGFKNISSSHPHNFRTDSEELFKSWLTNGENHGYNSSSIAHRTRQASRRISTELATLTSQQPGSSLQKKRSNDVLFTQNNTIPDGTSSDLNIRNAVERSMQASNLYLAKAWFHSSQPMTRSRSSELRKRYAAMQSAQTSLGNGVNDLKQEFADPNGFIELPMHDIGNQLGSFMSPSNSSSSTFNTPQMGDADKVSSVVNMLKGTLERKKLSNQKIEKEAVEDSSNAIYHDQQVIMNSPFDQVNGNSIYEIPQTFQEISSDQVKDAGGFQTVQGPIDLDLEGFVHPTNAIQLCTVSREPSQSESSAAAPVFSSGFDACDGPSNSSQTLSICESSRKQVGNNTSPENGPKAKDFRERIIENLKDDRKRGGLVRYGSVTSGGSVDKSDTTKKRRVERSRKMAEAKERNLIPAIPTDMQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKKNEELADEKERLLEEIERILSETGKM >Manes.18G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3906385:3909850:1 gene:Manes.18G043800.v8.1 transcript:Manes.18G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALKEEVVRGLSPGRSRAKSPARSASPMSSLLRRRKGRGHHVGQPELLIIPRSGSLRPVETLSPLKEGPDQDDGEESRIEGRWGHWMKGQLSRTPSVASSNASKRSDLRLLLGVLGAPLAPVHVSSTEPLPHLSIKDTPIETSSAQYILQQYTAASGGQRVQNSIHNAYAMGKVRMIASEFETANKVTRSRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFINARCIGEKNINGDDCFILKICADPATLKARSEGPAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQNNGGDAVYWETTINSFLDDYRPVDGVMVAHSGRSVVTLFRFGDTAMSHTRTRMEEAWAIEEVAFNVPGLSMDCFIPPAELRFASISETCELPQSHKVKPAAAAAAYHAKVTALDRSRENTMWKTGV >Manes.15G059000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519804:4535501:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRPLEVPPKIKSFINSVTATPFENIEESLKSFVWEFDKGDFHHWVDLFNHFDSFFEKHIKQRKDLQVEDNFLESDPPFPREAVLQILRVIRIILENCTNKHFYSSYEQHLSFLLASTDADVVEACLQTLAAFLKKTIGKYSIRDAFLNAKLFSLAQGWGGKEEGLGLIASTLENGCDPVAYELGCTLHFEFYALDESSSENHTGDQSNRGLQIIHLLNVNTCPETDLELLNKLVAEYKVPPSLRFSLLTRLRFARAFGALASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDAVPEKIRILCLLSLVALSQDRSRQPTVLAAVTSGGHRGILSSLMQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGQSNNVFIDSGLVMVNLDSSHVDVDMNGTDAEGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519804:4535499:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRPLEVPPKIKSFINSVTATPFENIEESLKSFVWEFDKGDFHHWVDLFNHFDSFFEKHIKQRKDLQVEDNFLESDPPFPREAVLQILRVIRIILENCTNKHFYSSYEHLSFLLASTDADVVEACLQTLAAFLKKTIGKYSIRDAFLNAKLFSLAQGWGGKEEGLGLIASTLENGCDPVAYELGCTLHFEFYALDESSSENHTGDQSNRGLQIIHLLNVNTCPETDLELLNKLVAEYKVPPSLRFSLLTRLRFARAFGALASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDAVPEKIRILCLLSLVALSQDRSRQPTVLAAVTSGGHRGILSSLMQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGQSNNVFIDSGLVMVNLDSSHVDVDMNGTDAEGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519804:4535499:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRPLEVPPKIKSFINSVTATPFENIEESLKSFVWEFDKGDFHHWVDLFNHFDSFFEKHIKQRKDLQVEDNFLESDPPFPREAVLQILRVIRIILENCTNKHFYSSYEHLSFLLASTDADVVEACLQTLAAFLKKTIGKYSIRDAFLNAKLFSLAQGWGGKEEGLGLIASTLENGCDPVAYELGCTLHFEFYALDESSSENHTGDQSNRGLQIIHLLNVNTCPETDLELLNKLVAEYKVPPSLRFSLLTRLRFARAFGALASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDAVPEKIRILCLLSLVALSQDRSRQPTVLAAVTSGGHRGILSSLMQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGQSNNVFIDSGLVMVNLDSSHVDVDMNGTDAEGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519803:4534293:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGQSNNVFIDSGLVMVNLDSSHVDVDMNGTDAEGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519728:4534293:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGQSNNVFIDSGLVMVNLDSSHVDVDMNGTDAEGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519728:4535499:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRPLEVPPKIKSFINSVTATPFENIEESLKSFVWEFDKGDFHHWVDLFNHFDSFFEKHIKQRKDLQVEDNFLESDPPFPREAVLQILRVIRIILENCTNKHFYSSYEQHLSFLLASTDADVVEACLQTLAAFLKKTIGKYSIRDAFLNAKLFSLAQGWGGKEEGLGLIASTLENGCDPVAYELGCTLHFEFYALDESSSENHTGDQSNRGLQIIHLLNVNTCPETDLELLNKLVAEYKVPPSLRFSLLTRLRFARAFGALASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDAVPEKIRILCLLSLVALSQDRSRQPTVLAAVTSGGHRGILSSLMQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519804:4535501:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRPLEVPPKIKSFINSVTATPFENIEESLKSFVWEFDKGDFHHWVDLFNHFDSFFEKHIKQRKDLQVEDNFLESDPPFPREAVLQILRVIRIILENCTNKHFYSSYEQHLSFLLASTDADVVEACLQTLAAFLKKTIGKYSIRDAFLNAKLFSLAQGWGGKEEGLGLIASTLENGCDPVAYELGCTLHFEFYALDESSSENHTGDQSNRGLQIIHLLNVNTCPETDLELLNKLVAEYKVPPSLRFSLLTRLRFARAFGALASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDAVPEKIRILCLLSLVALSQDRSRQPTVLAAVTSGGHRGILSSLMQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGQSNNVFIDSGLVMVNLDSSHVDVDMNGTDAEGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519738:4535499:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRPLEVPPKIKSFINSVTATPFENIEESLKSFVWEFDKGDFHHWVDLFNHFDSFFEKHIKQRKDLQVEDNFLESDPPFPREAVLQILRVIRIILENCTNKHFYSSYEQHLSFLLASTDADVVEACLQTLAAFLKKTIGKYSIRDAFLNAKLFSLAQGWGGKEEGLGLIASTLENGCDPVAYELGCTLHFEFYALDESSSENHTGDQSNRGLQIIHLLNVNTCPETDLELLNKLVAEYKVPPSLRFSLLTRLRFARAFGALASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDAVPEKIRILCLLSLVALSQDRSRQPTVLAAVTSGGHRGILSSLMQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519728:4535499:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRPLEVPPKIKSFINSVTATPFENIEESLKSFVWEFDKGDFHHWVDLFNHFDSFFEKHIKQRKDLQVEDNFLESDPPFPREAVLQILRVIRIILENCTNKHFYSSYEHLSFLLASTDADVVEACLQTLAAFLKKTIGKYSIRDAFLNAKLFSLAQGWGGKEEGLGLIASTLENGCDPVAYELGCTLHFEFYALDESSSENHTGDQSNRGLQIIHLLNVNTCPETDLELLNKLVAEYKVPPSLRFSLLTRLRFARAFGALASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDAVPEKIRILCLLSLVALSQDRSRQPTVLAAVTSGGHRGILSSLMQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.15G059000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4519738:4535499:-1 gene:Manes.15G059000.v8.1 transcript:Manes.15G059000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRPLEVPPKIKSFINSVTATPFENIEESLKSFVWEFDKGDFHHWVDLFNHFDSFFEKHIKQRKDLQVEDNFLESDPPFPREAVLQILRVIRIILENCTNKHFYSSYEHLSFLLASTDADVVEACLQTLAAFLKKTIGKYSIRDAFLNAKLFSLAQGWGGKEEGLGLIASTLENGCDPVAYELGCTLHFEFYALDESSSENHTGDQSNRGLQIIHLLNVNTCPETDLELLNKLVAEYKVPPSLRFSLLTRLRFARAFGALASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDAVPEKIRILCLLSLVALSQDRSRQPTVLAAVTSGGHRGILSSLMQKAIDSVISGTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVGTAVHILETFMDFSNPAAALFRELGGLDDTISRLKVEVSYVENGSKQPGEDSDLRVRNLQAVSGASSELDNMHPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTSRIYGSEENLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFSVLDAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSRAHVRALAGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNTISKIGSGADASCTSSDPPCCSTAVPMETDAEERCSVPADDRESIRTDSLEHPTESTSDAAIVNIESFLPDAVSNAARLLETILQNADTCRIFIEKKGIDAVLQLFNLPLMPLSASIGQSISIAFKNFSQQHSASLARALCSFLREHLRSMNELLVSVGGIQLTKVESANQTKVLRYFSSLEGILSLSNFLLKGTSTIVSELGTADADVLKDLGKTYREIIWQISLCNDSKVDEKRHADQETENADAASSNVVGRDSDDDANIPVVRYMNPVSIRNGTQSLWGGEREFLSVLRSGEGLHRRSRHGLARIRGGRTGRHLDALNIDSEVPMHAPETSLQDLKKISPDVLVLEILNKLASTLRSFFTALVKGFTSPNRRRADVGSLNSASKTLGSALAKIFLEALSFSGYSTSGLDMSLSVKCRYLGKIVDDMAALTFDSRRRTCYTAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPITTADNEKAGEGNKFSHSSWLLDTLQSYCRVLEYFVNSSLLLSATSASQAQLLVQPVAVGLSIGLFPVPRDPEVFVRMLQSQVLDVILPVWNHPMFPNCSPGFVASIVSIVTHIYSGVGNVKRNHSGLPGNTNQRFMPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLFSHADDPVQEDDELARALALSLGSSSEGSKIDNVDKSIDLLSEEAQMKAPSVDDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRPKVASYLIQQLKLCPLDFSKDSSALCMISHILALLLFEDGTLREIAAENGIIPATIDILMNFKASNTSASEILVPKCISALLLILDNMLQSRPRISSEALEGTQTGSLPDSLVSASTIEGKLPSDVSERQTGSAFEKILGKSTGYLTMEESHKVLLLACDLMKQHVPAVIMQAVLQVCARLTKTHALALQFLESGGLAALLNLPWSCFFPGVASAIIRHLIEDPQTLQTAMELEIRQTLSGNRHVGRTNPRAFLTTMAPVISRDPVVFMKAAAAVCQLESSGGRTIVVLSKEKEKEKDKSKASGTEESVRISENKVHDGLGKCAKGHKKIPANLTQVIDQLLDIVLKYPLLKSEGGTSHSTSMEVDEPAIKVKGKSKVDETRKMESESETSAGFAKVTFVLKLLSEILLMYVHAVGVILRRDSELCQLRGPNQTDRSGHGGILHHVLHRLLPISADKSARPDEWRDKLSERASWFLVVLCGRSGEGRRRVISELVKAISSFSNLESNSSKSVLVPDKKVFAFADLVYSILSKNASSGNLPGSGCSPDIAKSMIDGGMVQSLTGILQVMDLDHPDAPKIVNLLLKALESLTRAANASEQVLKSEGLNKKKPIASNGRQNDQTTTSTSEAVEHNQNSGGTAEAPNGGSEGRSQVNQSEGNLDPHPNQSVPQDMRIEVEETMGTNPPMEEIGMDFMHEVMEEGGVLHNADQIDMTFRVENRADDDMGDEDDDMGDEGEEDEDDDDGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEDDDFHEHRVIEVRWREAFDGLDHLQVLGQPGATSSLIDVAAEPFEGVNVDDLFGLRRPLGFERRRQSGRSSFERSVTESNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSTGSFDLAHFYMFDAPVLPYDHVPSSLFGDRLGSAAPPPLTDYSVGMDSLQIQGRRGPGDGRWTDDGQPQASTQGAVIAQAVEETFLSQLRSLTPVSGHAERQSHISGVQENQQSNDPPSYDGQVVLGGSGDNTSSQQTEVQQQENSNEVTHQLNPTVEFVSSQEQVNLTSPVEDAGECLLVHEPMSVQTISLNNTPNGHDNMEIGEGIGTAIDQVDTMPEPINSSAEHNAALQCEAVAEAPAGLHDVPVQAVGCDGHATSNGNQLEQPIPDSEHASDEPLSRQDTVVPQEANQADQVSANNEASGANAIDPAFLEALPEDLRVEVLASQQAQSDQPPTYTPPPVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLTSRRNGLGSDRHTVMDRGVGVTIGRRAASAIADSMKVKEIEGEPLLDANALKALIRLLRLSQPLGKGLLQRLLLNLCAHSVTRATLVRLLLDMIKPEAEGSVSELATVNSQRLYGCQSNVVYGRSQLLDGLPPLVLHRILEILTYLATNHSSIANMLFYLDPSIVPEHASPNYLEGKMDKGKEKIEGGGDQPEPVVNVDDVPLILFLKLLNRPLFLRSSAHLEQVMGLLQVVIYTAASKLECRSLSGLTNTKSEKQTVNKTSGGDIRKDPLLEPESSQEDKFTSAELSTSDGKRRFSKSNIFLQLPLPDLRNLCCLLGREGLSDKVYMLAGEVLKKLASVVPSHRKFFSSELSKLAHGLSNSAVSELVTLRNTQMLGLSAGSMAGAAILRVLQSLSSLTSYSVNENTGLESDGEREEQTTMWNLNVALEPLWRELSECITVTETQLGQGSISQTMSNINMGELAQGTSSSPLPAGTQRLLPFIEAFFVLCEKLQANISIMQQDNANVTAREVKESAGGSASLTISCSTDSQRRLDGSVTFARFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPSQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSEIPDLTFSMDADEEKHILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLDGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYSAASSVVQWFWEVVRSFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Manes.12G056360.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:5887093:5887464:1 gene:Manes.12G056360.v8.1 transcript:Manes.12G056360.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTFLYSSIASLLSFSISSLISVLFYKQEPGPIHLEDQMIIAPEKVLHTRVITRDGQQTPQGLIKWVNMPEEAATWEDRTFISAHFPEIPLSWGQESAQGGVIVTYFRKKKKNSRKNLGEV >Manes.02G093400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7319553:7320460:1 gene:Manes.02G093400.v8.1 transcript:Manes.02G093400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLYSFHSAASLLSSSSFIAPNTAARQIWCRCTTPPSRRYTAPPSRSTLTLSHNSIVAPSHNRIVAPLNNSILAPSRSSSVSQHHISTIPSLWRI >Manes.14G030200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2729761:2734321:1 gene:Manes.14G030200.v8.1 transcript:Manes.14G030200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSWKFIRILLSGIFLFHGFCHPLPQIASELHYTFVHEATSAPAVLYYDYIIVGGGTSGCPLAATLSENATVLVLERGGSPYGNTNITNIGNFVASISDTSPNSPSQSFISEDGVYNTRARVLGGGSSLNAGFYTHASADFVKESGWSEKLANASYEWIEKKVVFEPTMLQWQSAVRNGLIEAGVSPYNGFTYDHVSGTKIGGSIFDADGHRHTAADLLEYAKPRNILVYLHATVLKILFTGKGRPWARPRAYGVTFEDDSGTRHTAFLNRNLWSEIILSAGAIGSPQLLMLSGIGPAYHLRAHGIPVVLDHPMVGQGMADNPMNLLFVPSPVPVEVSLIQVVGITQFGSFIETASGLTFAYSWAQGFVRDYELSLNKTGQQSILTPEAMARAVETVNSLVNATLKGGIILEKVTGPRSTGDLKLRTTNPNDNPSVKFNYFKDPEDLKKCVQVNLRPRHSTTTISLEQFCIDTVMTIWHYHGGCQVGKVIDRKYRVRGVDGLRVIDSSTFLGSPGTNPQATVMMLGRYMGRMMMRERITKWKAY >Manes.14G030200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2729761:2734321:1 gene:Manes.14G030200.v8.1 transcript:Manes.14G030200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSWKFIRILLSGIFLFHGFCHPLPQIASELHYTFVHEATSAPAVLYYDYIIVGGGTSGCPLAATLSENATVLVLERGGSPYGNTNITNIGNFVASISDTSPNSPSQSFISEDGVYNTRARVLGGGSSLNAGFYTHASADFVKESGWSEKLANASYEWIEKKVVFEPTMLQWQSAVRNGLIEAGVSPYNGFTYDHVSGTKIGGSIFDADGHRHTAADLLEYAKPRNILVYLHATVLKILFTGKGRPWARPRAYGVTFEDDSGTRHTAFLNRNLWSEIILSAGAIGSPQLLMLSGIGPAYHLRAHGIPVVLDHPMVGQGMADNPMNLLFVPSPVPVEVSLIQVVGITQFGSFIETASGLTFAYSWAQGFVRDYELSLNKTGQQSILTPEAMARAVETVNSLVNATLKGGIILEKVTGPRSTGDLKLRTTNPNDNPSVKFNYFKDPEDLKKCVQGMKTIINIINTKAFSKFRYKHVPVQALISLMANLPVNLRPRHSTTTISLEQFCIDTVMTIWHYHGGCQVGKVIDRKYRVRGVDGLRVIDSSTFLGSPGTNPQATVMMLGR >Manes.14G030200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2729761:2732333:1 gene:Manes.14G030200.v8.1 transcript:Manes.14G030200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSWKFIRILLSGIFLFHGFCHPLPQIASELHYTFVHEATSAPAVLYYDYIIVGGGTSGCPLAATLSENATVLVLERGGSPYGNTNITNIGNFVASISDTSPNSPSQSFISEDGVYNTRARVLGGGSSLNAGFYTHASADFVKESGWSEKLANASYEWIEKKVVFEPTMLQWQSAVRNGLIEAGVSPYNGFTYDHVSGTKIGGSIFDADGHRHTAADLLEYAKPRNILVYLHATVLKILFTGKGRPWARPRAYGVTFEDDSGTRHTAFLNRNLWSEIILSAGAIGSPQLLMLSGIGPAYHLRAHGIPVVLDHPMVGQGMADNPMNLLFVPSPVPVEVSLIQVVGITQFGSFIETASGLTFAYSWAQGFVRDYELSLNKTGQQSILTPEAMARAVETVNSLVNATLKGGIILEKVTGPRSTGDLKLRTTNPNDNPSVKFNYFKDPEDLKKCVQVNLRPRHSTTTISLEQFCIDTVMTIWHYHGGCQVGKVIDRKYRVRGVDGLRVIDSSTFLGSPGTNPQATVMMLGRYMGRMMMRERITKWKAY >Manes.14G030200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2729761:2734321:1 gene:Manes.14G030200.v8.1 transcript:Manes.14G030200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSWKFIRILLSGIFLFHGFCHPLPQIASELHYTFVHEATSAPAVLYYDYIIVGGGTSGCPLAATLSENATVLVLERGGSPYGNTNITNIGNFVASISDTSPNSPSQSFISEDGVYNTRARVLGGGSSLNAGFYTHASADFVKESGWSEKLANASYEWIEKKVVFEPTMLQWQSAVRNGLIEAGVSPYNGFTYDHVSGTKIGGSIFDADGHRHTAADLLEYAKPRNILVYLHATVLKILFTGKGRPWARPRAYGVTFEDDSGTRHTAFLNRNLWSEIILSAGAIGSPQLLMLSGIGPAYHLRAHGIPVVLDHPMVGQGMADNPMNLLFVPSPVPVEVSLIQVVGITQFGSFIETASGLTFAYSWAQGFVRDYELSLNKTGQQSILTPEAMARAVETVNSLVNATLKGGIILEKVTGPRSTGDLKLRTTNPNDNPSVKFNYFKDPEDLKKCVQGMKTIINIINTKAFSKFRYKHVPVQALISLMANLPVNLRPRHSTTTISLEQFCIDTVMTIWHYHGGCQVGKVIDRKYRVRGVDGLRVIDSSTFLGSPGTNPQATVMMLGRYMGRMMMRERITKWKAY >Manes.14G030200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2729761:2732462:1 gene:Manes.14G030200.v8.1 transcript:Manes.14G030200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSWKFIRILLSGIFLFHGFCHPLPQIASELHYTFVHEATSAPAVLYYDYIIVGGGTSGCPLAATLSENATVLVLERGGSPYGNTNITNIGNFVASISDTSPNSPSQSFISEDGVYNTRARVLGGGSSLNAGFYTHASADFVKESGWSEKLANASYEWIEKKVVFEPTMLQWQSAVRNGLIEAGVSPYNGFTYDHVSGTKIGGSIFDADGHRHTAADLLEYAKPRNILVYLHATVLKILFTGKGRPWARPRAYGVTFEDDSGTRHTAFLNRNLWSEIILSAGAIGSPQLLMLSGIGPAYHLRAHGIPVVLDHPMVGQGMADNPMNLLFVPSPVPVEVSLIQVVGITQFGSFIETASGLTFAYSWAQGFVRDYELSLNKTGQQSILTPEAMARAVETVNSLVNATLKGGIILEKVTGPRSTGDLKLRTTNPNDNPSVKFNYFKDPEDLKKCVQGMKTIINIINTKAFSKFRYKHVPVQALISLMANLPVNLRPRHSTTTISLEQFCIDTVMTIWHYHGGCQVGKVIDRKYRVRGVDGLRVIDSSTFLGSPGTNPQATVMMLGRYMGRMMMRERITKWKAY >Manes.15G021000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1697172:1699205:-1 gene:Manes.15G021000.v8.1 transcript:Manes.15G021000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKANLVHDVIIQILLRLPVKSLCRFKSVCKYWHFLISDPHFVRMHLNLATRNNCINCQRWRLCLTSFSLPSVYSVGYEAYDKAVAAKLDYPLKTDCYDEVKFIGSCNGLLCVASEPGILLLINPSTREAEEIPRLGNRRPFTQSSLPYMYGFGYAHSINDYKLVKISCKGCVFVYSLRANSWREVGVFPYGILALDPGIQLKGAIHWVVSHSMDSTKSQVIGAFDLVKEKFWDVSPPASVKNFYGIGVFGECLCILPGSDITSHNDFWIMKSYGIKESWTKVVITIPYFRMKPLGVFENHKALLEIDGKLVVYNFIDETYQDLVIHGIPDGIDFEVETYIESLVSPHLRLATRADIWIR >Manes.01G111300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30985883:30987106:-1 gene:Manes.01G111300.v8.1 transcript:Manes.01G111300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENSAIPSLFHLLDPMSLILSHNSLDSHQPVPLKLTTDSYIMERGPRYRAYAELRESKLRMKTLMQQERDDSEFKQTPAKKQVKFQANLTSSRKESTILAQSVPDFSCEFRKENRKPPPELTPPSKKWSKANRILSNFRGSKSSGGEKRNGNGGLMARKSYASVEELKGLSVAAAATAISSENRGGRASRGTGKTVLGYRSF >Manes.18G106800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10540515:10548436:1 gene:Manes.18G106800.v8.1 transcript:Manes.18G106800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFQLSYWLSNVISPLLLYLKELRSSITTILLSLHCEISSLLSLSQSQRESFMHIWFLAMVRILLLLVLLAVITTVDGGNVTYDGRSLIIDGQRKLLFSGSIHYPRSTPEMWPSLIAKAKEGGIDVIDTYVFWNLHEPQPAQYDFSGRRDIVRFIKEVEAQGLYVCLRIGPFIQAEWSYGGLPFWLYNISGIVYRSDNEPFKFQMQSFTTKIVTMMQSEKLYASQGGPIILSQIENEYATVEKAYGEKGAAYVKWAAEMAVALNTGVPWVMCKQNDAPDPVINTCNGLKCGETFVGPNSPNKPAIWTENWTTRYVINGMNIRTRAVEDIAFQVALFIIAKNGSFVNYYMYHGGTNFGRTASAFIPPSYYDLAPLDEYGLIRQPKWGHLKDLHAVIKLCLNPLLSGAQMNISLGQQQQAYVFNGQAGECAAFLVNHDTENKASVLFKNASYDLPPKSISILPDCKNVAFNTAKVSTQYTTRSMVRNRLLDGAQTWGEFHEAVINFEDTSIKSENLLEQMNTTQDASDYLWYTFRFQQESSDAEAVLNVRSLGHVLHAFVNGQAVGYAQGSYKNPQFNLQSTVSLIAGINNVSLLSVMVGLPDSGAYMERKAAGLRRVMIEDNQGNKTFTNYSWGYQVGLLGEKLQLYTEQGSSQIQWGNFSSPQNPLTWYKTVFNAPAGDAPVALNLGSMGKGEAWVNGNSIGRYWPSYRSPDGSSQIWYNVPRSFLKPTRNLLVLLEEVGGNPLQISVDTASISQVCSYVTASHLPPVSSWVVYEQKTKTYMKIKQRKAVVKLGCPSNSKISNIAFASYGTPLGNCTAAYAVGNCHSYSSKAIVEKACLGKMRCSIPVTNRYFGGDPCSANEKSLLVVAECR >Manes.04G067501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:25586876:25587397:-1 gene:Manes.04G067501.v8.1 transcript:Manes.04G067501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDSCLLVGDFNYVLWSWEKEGGNTFNSNQALNFQNFISICSLMDLGFSGDIFTWNNRRSGAHNIREGLDHQLASYSWVTLYPNAQVFHLDDLGSDPHPLLLNLDTSTPKAKRFFRFDARWISESEANSIISDAWNCESSGSTLFRVFSKLKACRHTLVALYKRRNTILSFI >Manes.13G062400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7266966:7271172:1 gene:Manes.13G062400.v8.1 transcript:Manes.13G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSSDNGGNGNGSGRGNGSGRGNGSGRGNGSGVRGEYEGPPRTRPRTINEVWPEPFLEALAAQVAIDASRIFGRLAAAQALANVFQVCSTWRAVSRSDLLWRRLSSRIWGRTHLLHDTWHDEYIYRHRTARNFRTGTSSHFNLHFDPADVDDPNDPDALMCRCLALSDKYLACGFANGAVRLFDLDTRLHARTFLPQHRDRLGRFSRAVSGIIINDAQLVFATLDGDIHVAIITSNAAPRRVHFGDVVTDGALVHFTGRGQWWVGLHAGVPGRAFHIWDGNTEQLTYVGGLLTDPDSVRGWHTLTELTELVGRVRVTNHETAVACTSMRLVVFNLRNPEIILQQEEPRRGLIVGCFDLWNDAYVAVDNRGLGIVRRVSTFQEMCRFNVRPHRGAIGCINGGYALICAGGVTRVWEIEQREGEELGELREYLYNLRERVGEVNALVADDRHVAASSREGSIHVWDFGAQ >Manes.06G082000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21734471:21735670:-1 gene:Manes.06G082000.v8.1 transcript:Manes.06G082000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSYQGNTRRRSNRGAANKLQAAALPIDIISQILRRVPAESIFSCSCVCKSWYALTHDRHFIQQHLQMTKKEPCQYLIQSRYGVACFHRLLLLDIHNERLTEVSFKKMKLPNNPKLKLSAFYIVCSSNGLLCLAPKVKMDPVLICNPITRDCLILPSAISSVEMVCKSYHIGFNFDPSSGKYMVVREFSYLTKPASNFQLLSVGENSWKEISGAPDVVLEQGFDTPIFWNGAFHWKISEIDHRNSNNSCILALDVGDEKFHTISFPEDENNCPRNYHLVSLRGGLNIVEHNSRFLKIWTVAGNKVAGFFLGLQHMYLLCVPPRSLLQHDLICQIDEQSYLMQVFRWDLNGTQKCTLFKFCPTIARHLDLNIPGLPHLFRLVCFQPSLISPFMASPAST >Manes.09G030400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6294559:6297721:-1 gene:Manes.09G030400.v8.1 transcript:Manes.09G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRPTLNSLCTKGHLQEALLQMAVKGPEMLFDGYNMLLNDCVNRKAIREGQRVHAHMIKTCYLPPLYLRNRLVILYTKCDYLTDARYVFDEMPERNVVSWTAMISGYSQRGLGFEALHLFVRMLRSGTEPNEFTFATVLTSCTGASGFELGRQIHSLIIKHNYESHIFVGSSLLDMYAKAGKIIEARGVFESLPERDVVSCTAIVSGYAQLGLDEEALELFRRLQKEGISANYVTYASVLTALSGLAALDHGKQVHNHVLRCELPFYVVIQNSLIDMYSKCGNLNYSRRIFDRMPERTVISWNAMLVGYSKHGRGREVVELFRLMREEKRVKPDCVTFLAVLSGCSHGRLEDTGLEIFDEMVKGNNGIQAEIEHYGCVVDLLGRAGRVEEAFEFIKRMPFKPTAAIWGSLLGACRVHSNIDIGAFVGQRLVEIEPENAGNYVILSNLYASAGRWEDVREVRELMMDKAVIKEPGRSWIELDQTLHTFHASDRSHPRREEVFWKVKELSIKFKEAGYVPDLSCVLYDVDEEQKEKILLAHSEKLALAFGLIVAPEKVPIRVIKNLRICVDCHNFAKLISKFYGRDVSLRDKSRFHHIVGGICSCGDYW >Manes.05G097902.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9065350:9068892:-1 gene:Manes.05G097902.v8.1 transcript:Manes.05G097902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDAMIQILAQQVFTALQTQAHFALDFKGQFEVMKTRLDLTKALLADTENLKNKKEIVKTSLSTLRELVYEADNILTDCIISDEYQNDGSCSSLTFQKPLFWYNTGKKLKDINAKMDTMERSLAAYLQAKDLSNRGDDAYQVVKFTTQDYDPSEIIGLKHDLQKLKDWIFGTTNVLHRVGIVGMGGLGKTTIAQKIFNDEEVATRYQKMLWVSVSQTFSENRIMRSMLEQLEPNFSISDESLMMHKINQALEGKTCLIFMDDVWRMNLPWWDKFCSSLQKVIGGSSCIIITTRNEDVATDMGVDKSQIHQPKTLNKDDSWLLFSKFAFSRCREKRCPDPQFEKEGREILDKCGGLPLAIKTVAALLAPKANSLVQWNEINKNFHELTVEGKISSVMASLQLSYDELPTHLKQCLLCFSIYPEDSEIHAEQLIHWWVGEGLIQGKGSKTAKEMGFDYLSDLVARCLVEAVHRRDYDGRVYVCRMHDMVRELTIKIAEEESFGKFDEQSRQIPTVNSRWLGITSAMDPKSVRSSTKLRALLLIPSSKVVLARHIGSFSSLRVLDFSLISKQDDIPVKDFLAWICSLKRLAYLNLSGFLSIKELPSSMRKLRNLQILILCGCSNLVTLNPYITTLKKLVVLDLGSCGLEYLPKRLGSLLYLQELSGFRVSNQANRQSFRFHELRGLSHLRVLRMSIGNDTAISQEDRDVLSQLSKLKVLAIDAEDCEGQNILEMLNALSPPPSLQEFYLRRYRHKTLPAWINPEKLSSLQYLCIENGDLEHIETSPRWVAEIQYTWNIEGLCFKVLPNLNINWKNLEKDMPLLRYAEVSGCFNLQHFPCPDEKLAVWRKNED >Manes.18G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13049015:13056435:-1 gene:Manes.18G121900.v8.1 transcript:Manes.18G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEPEKNGSQQDLPPPPPVVPPNLVPLKVETEPVKKKPARVPIARRGFGSKGQKISLLTNHFKVNVTNVEGYFYHYSVSLSYEDGRPVDGKGVGRKVIDRVQETYDSELDGKNFAYDGEKSLFTIGSLPRNKLEFTVVLEDVTSNRNNGNASPEGHGSPNESDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAIRVLDIILRQHAAKQGCLLVRQNFFHNDPRNFADVGGGVLGCKGFHSSFRTTQGGLSLNIDVSTTMIIQPGPVVDFLIANQNARDPFQLDWAKAKRTLKNLRIKASPSNQEYKITGLSDKPCREQMFQLKQKRDGDGEPLELTVYDYFVNHRHIDLRYSADLPCINVGKPKRPTYIPIELCTLVSLQRYTKALNTLQRASLVEKSRQKPQERMSTLTNALKSSKYDAEPMLRSCGISISTNFADIEGRVLPAPRLKVGNGEDFFPRNGRWNFNNKKLVEPSKIERWAVVNFSARCDVRSLVRDLTRCADMKGIPMEAPFDVFEENPQFRRAPPTVRVEKMFEEIQSKLPGAPKFLLCLLPERKNSDIYGPWKKKNLAEFGIVTQCLAPQRVNDQYLTNLLLKINAKLGGLNSMLSVEHTPSIPVVSKVPTIILGMDVSHGSPGHSDCPSIAAVVSSRNWPLISRYRASVRTQSPKVEMIDSLYKRVSETEDEGMIRELLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWNPKFVVIVAQKNHHTKFFQKGSPDNVPPGTVIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQDLVHSLSYVYQRSTTAISVVAPICYAHLAATQMGSFMKFEDTSETSSSHGGVTSAGAVPVPQLPKLQDKVCNSMFFC >Manes.14G141000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12418345:12420101:-1 gene:Manes.14G141000.v8.1 transcript:Manes.14G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLNINKKKKKRQTHFNSNNKAPYMSCPSTCQHPLMSRYFMLHIFSYILCISHIQAMPGLKLLRFLSIIVLLNIAAGSTRIMASPPAVKGAYWPSWSQTFPPSVIDTSLFTHIYYAFLSPNNVTFKFEIPDSTAALLHNFATTLHRKDPPVKTLISIAGGGADPKLFARMASEAKSRKVFINSAIEVARRFGFDGLDLDWEFPKDPKEMQDLGQLFEEWRNVIQTEAKSTKKPPLLLTAAVYFSVEFLWAETYRKFPVEPMKKNLDWINVMCYDYHGSWDTTATGAQALLYDPKSNISTSYGLRSWIKAGMPPQMVVMGLPLYGRTWKLKDPNVNGIGAPAVGVGPGEEGVLTYSQVEKFNKENGATVVYDVETVSTYSYVGTSWIGYDDELSTTTKLGFAQALGLRGYFFWALSFDAGWKISTQASRAWASDE >Manes.05G128900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22264304:22264607:-1 gene:Manes.05G128900.v8.1 transcript:Manes.05G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDWKRAPGIARTFVGNSMGGLRGGSNLASWFVVGTIAYFLWIKPSQDRKKEEEQKAALVAAYSYRYGEK >Manes.01G173800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35539927:35542036:1 gene:Manes.01G173800.v8.1 transcript:Manes.01G173800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKTSSQQKPSNAEPEAENAYASFQGLLALARITGSNADEARGACKRCGRVGHLSYQCRNFLSIKDDNKEKDPEALQAAVLSGLEKIKGNGKIGVGSKEESEEEEEESETSDSEVDSEIERIIAERYGKKGSSKRNSSRKEENSDEGSDSDSGERKKRGRSKKRRSKKRGISDSEDEDEGKRKRRREKRRTGDSEDEDEGKKKRRRREKRRKRDESSSEEEDRRRHKRKSRKDKRRGRSHRYSDDSESDASDDSGKRHRRKSRKPASRSDSDLSASDDSRVGRVTKRSEKRSKKPHHEEDN >Manes.01G173800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35539670:35542828:1 gene:Manes.01G173800.v8.1 transcript:Manes.01G173800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKTSSQQKPSNAEPEAENAYASFQGLLALARITGSNADEARGACKRCGRVGHLSYQCRNFLSIKDDNKEKDPEALQAAVLSGLEKIKGNGKIGVGSKEESEEEEEESETSDSEVDSEIERIIAERYGKKGSSKRNSSRKEENSDEGSDSDSGERKKRGRSKKRRSKKRGISDSEDEDEGKRKRRREKRRTGDSEDEDEGKKKRRRREKRRKRDESSSEEEDRRRHKRKSRKDKRRGRSHRYSDDSESDASDDSGKRHRRKSRKPASRSDSDLSASDDSRVGRVTKRSEKRSKKPHHEEDN >Manes.01G173800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35539927:35542036:1 gene:Manes.01G173800.v8.1 transcript:Manes.01G173800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKTSSQQKPSNAEPEAENAYASFQGLLALARITGSNADEARGACKRCGRVGHLSYQCRNFLSIKDDNKEKDPEALQAAVLSGLEKIKGNGKIGVGSKEESEEEEEESETSDSEVDSEIERIIAERYGKKGSSKRNSSRKEENSDEGSDSDSGERKKRGRSKKRRSKKRGISDSEDEDEGKRKRRREKRRTGDSEDEDEGKKKRRRREKRRKRDESSSEEEDRRRHKRKSRKDKRRGRSHRYSDDSESDASDDSGKRHRRKSRKPASRSDSDLSASDDSRVGRVTKRSEKRSKKPHHEEDN >Manes.01G173800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35539925:35542036:1 gene:Manes.01G173800.v8.1 transcript:Manes.01G173800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKTSSQQKPSNAEPEAENAYASFQGLLALARITGSNADEARGACKRCGRVGHLSYQCRNFLSIKDDNKEKDPEALQAAVLSGLEKIKGNGKIGVGSKEESEEEEEESETSDSEVDSEIERIIAERYGKKGSSKRNSSRKEENSDEGSDSDSGERKKRGRSKKRRSKKRGISDSEDEDEGKRKRRREKRRTGDSEDEDEGKKKRRRREKRRKRDESSSEEEDRRRHKRKSRKDKRRGRSHRYSDDSESDASDDSGKRHRRKSRKPASRSDSDLSASDDSRVGRVTKRSEKRSKKPHHEEDN >Manes.05G140526.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13240546:13241371:1 gene:Manes.05G140526.v8.1 transcript:Manes.05G140526.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKHRWYLELCPQPFYGDASSLSSFLVGYIVLLFTQAYAYQYMAWRFFTYGLIIPLTEGKLFKLFN >Manes.05G140526.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:13240546:13241371:1 gene:Manes.05G140526.v8.1 transcript:Manes.05G140526.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLKHRWYLELCPQPFYGDASSLSSFLVGYIVLLFTQAYAYQYMAWRFFTYGLIIPLTEGKLFKLFN >Manes.02G210800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29187308:29203160:-1 gene:Manes.02G210800.v8.1 transcript:Manes.02G210800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLSNKSFRRMQSRKSHSWWWDSHISPKNSKWLAENLEEMDQSVRRMLKLIEEDGDSFAKKAEMYYQKRPELISQVEEFYRMYRSLAERYDHVTGELRKNIPSDLQSQGSGISEVVSEPTSGWSSPVPEQRLPHRKSGTRAAGFEFFLGSGGSSSDLQKEEGDESSTLSDSESESDDSSVNNYSGLLGNGGDQAQNRKIIELEIELREMKEKLLMHREDNGDGSFREVRNENSEELARIVGYEQELKNANQRILLFEEKVARLKIDLQKYKTLESTNSLEPEFALSTEENAKTREALPEPEMTQESQLQENMDSLEAETSESSSNIKALTDELKITKEKLLQAENKIASLKEQVENDRHSEKISSLQDQLASANGENHTWKIKFNAERKEVTKLRENIARLKTSLSDRDHEIRDLKIAVSDAEQKIFPEKAQIKAEISRLLEERKYLGEQLKDWESRSRSLEDEIRRLQTEKSETVERLDCEINQLKDKIDERDGHVESQNKILGALKLERDELSVHVTALKADVISRDDRIDQLDKHLQQLHMEHVKLIIGAEEACKLVDELKSKAKVLEEEVERQRIVILEVAEQKREAIRQLCFSLEHYRNGYHRLRQAIVGHKRVPVLAA >Manes.06G086500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22199448:22201813:1 gene:Manes.06G086500.v8.1 transcript:Manes.06G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPHVIAVPFPAQGHVAPLMKLAYNLANHGVKVTFVNTESTHERLMSAMPEKFKEEIPIGLVSVPDVLEGEDVKKFIEGAPSSMPLLLQNLIKNINELNTDDQVTHVIADVSAGWALEAAKKMGIERAAFVPCGIATLALELHVHRLIEAGILDADGIPMKDEPVSLSSKIPAWKKNEFSWSFPENPQSEKFMFQHLACNTKEDVKISNWLLVNSFYELEPSACDLIPNILPIGPLLASDHLGTYAGNFWAEDSTCLNWLDQQPPRSVIYAAFGSTRIYNQQQLNELALGLEMVGRPFLWVIRSDFTNGKVEFPEGFIKRVEKNGKIVKWAPQEKVLAHPSTACFFSHCGWNSTMEGISKGVPFLCWPYFTDQFHNRNYICQTWKVGLELNPDENGIITRHEIKMKVEKLLSDKDIEANSLKLKEMARESISEGGSSFNNFISFVKQIKQ >Manes.01G172200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35392765:35398835:-1 gene:Manes.01G172200.v8.1 transcript:Manes.01G172200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDNNQSKGFFAAMTSGLTMFGNAMHRSVNGLLGYEGVEVINPEGGKDDAEEEAQRGRWKQEERDSYWKMMHKYIGSDVTSMVTLPVLIFEPMTMIQKIAELMEYSYLLDQADECEDPYMRLVYASSWAISVYYAYQRTWKPFNPILGETYEMVNHGGITFIAEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSLDVYPVGRTQVKLKRDGVVLDLVPPLTKVNNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNAAEEPKILMTGKWNESMSYQPCDMEGEPLPGSELKEVWHVADAPANDKFQYTYFAHKINSFDTAPRKLLASDSRLRPDRLALEKGDLSKAGSGKSSLEERQRAEKREREAKGHKFAPRWFDMTDEVTPTPWGDLEVYQYNGKYAEHRAVVDNSDSIVEVDVQSIEFNPWQYSNLSTE >Manes.01G110500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30940557:30942937:1 gene:Manes.01G110500.v8.1 transcript:Manes.01G110500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCEGLKIEESYEVNSRGLEIFTKSWLPENSSPKALVCYCHGYGETCTFVFEGVARKLASSGYGVFAMDYPGFGLSEGLHGYIPSLDKLVNDVADHFSKIKENPKFQRLPSYLFGQSLGGAVALKVHLKQPDAWNGAILVAPMCKFADNMIPPWIVLQILIGIAHLFPKLKIVPHKNFVKMAFRDLKKQELAAYNVIAYKDTARLGTALECLRTTQELEQRLQQVSVPLLILHGEVDVVTDPSVSKALYEKAISSDKKLKLYKDAFHSLLEGEPDDMIFQVLDDIICWLDDHCIKKIQ >Manes.01G110500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30940557:30942937:1 gene:Manes.01G110500.v8.1 transcript:Manes.01G110500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPQKLRDMNDEFQKILDAKMDNAQERRRAREAFKQIQLGIDHCLMKMPCEGLKIEESYEVNSRGLEIFTKSWLPENSSPKALVCYCHGYGETCTFVFEGVARKLASSGYGVFAMDYPGFGLSEGLHGYIPSLDKLVNDVADHFSKIKENPKFQRLPSYLFGQSLGGAVALKVHLKQPDAWNGAILVAPMCKFADNMIPPWIVLQILIGIAHLFPKLKIVPHKNFVKMAFRDLKKQELAAYNVIAYKDTARLGTALECLRTTQELEQRLQQACFSAITNLARRSRCCNRSISKQGTV >Manes.01G110500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30940557:30942937:1 gene:Manes.01G110500.v8.1 transcript:Manes.01G110500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPQKLRDMNDEFQKILDAKMDNAQERRRAREAFKQIQLGIDHCLMKMPCEGLKIEESYEVNSRGLEIFTKSWLPENSSPKALVCYCHGYGETCTFVFEGVARKLASSGYGVFAMDYPGFGLSEGLHGYIPSLDKLVNDVADHFSKIKENPKFQRLPSYLFGQSLGGAVALKVHLKQPDAWNGAILVAPMCKFADNMIPPWIVLQILIGIAHLFPKLKIVPHKNFVKMAFRDLKKQELAAYNVIAYKDTARLGTALECLRTTQELEQRLQQVSVPLLILHGEVDVVTDPSVSKALYEKAISSDKKLKLYKDAFHSLLEGEPDDMIFQVLDDIICWLDDHCIKKIQ >Manes.03G211200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32641414:32648373:-1 gene:Manes.03G211200.v8.1 transcript:Manes.03G211200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYRLMELLFSSLFFDVINGFFSSCISALLVKMEAKIRGKSHHFHGPVVSDLKAVGKKSLEWDLNDWKWDGDLFAATPLNSVPSDYRSRQLFPVGPEIPATGGLFTGSASCSDNNDLGLEKEKRELEKRRRVVVAQDEDFTDDAAGSLNLKLGGQAYPILDEDAKSGKKTKFIGTASNRAVCQAEDCRADLTNAKDYHRRHKVCDIHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPENVVSGGSLNDENGSGYLLISLLRILSNLPTNSSNQTKDQDLLSHLLRNLANIAGTTSGRSISGLLQESQGLINAGTTVGTLEKVPNMIRNGFESAGPSTSASKKDDCTNSHDAVRPLGQFGTPPVSDLAQKRIFNNDFQGGIPEAISGPQSTELFPSRCNLPTKVNESAAVVGRIKFNNIDLNSAYDGSQDCSGNIERSIAPVNPVTGSASCPLWEQSDFHKKNPQMSGNSDSTSQSPSSSNGEAQSRTDRIVFKLFGKEPNDFPVALRTQILDWLSHSPTDIESYIRPGCIILTLYLRLSRSQWEEICLNLGTSLSILLNASIDSFWRTGWVYARVQHCVSFIYNGQVVLDTPLHLKSHKSCRILSVKPVAVSLSERMHFLVKGFNISRPTTRLLCALEGKYLVQENSCYLMDGADGNNDCHELQCLSFPCSIPNITGRGFIEVEDHGLSSSFFPFIVAEQEVCREIRVLEEAIEVVEAADDIHKNAEGIEAKNQALDFIHEMGWLLHRSHLKFRLGHLYRNSDLFPFGRCKWLIEFSINHDWCAVVKKLLVILFEGTVDTGDHSSIELALLDMNLLHQAVRRNCRPMVELLLKFVPDKPFGRQGAEQKQGVNGKNNNFIFKPDVVGPAGLTPLHVAASKDGSENVLDALTDDPGLVGIEAWRTARDSTGLTPNDYACLRGYYSYMHLVQRKIKKSENGHVVLNIPKSLPDCSTQRKDGLKSSKITGLEIGKMEMQQHCKLCEMKLACGQTRTSLVYRPAMLSMVTIAAVCVCVALLFKSSPEVLYVFQPFRWELLKYGSS >Manes.10G063700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9946271:9959531:1 gene:Manes.10G063700.v8.1 transcript:Manes.10G063700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKEMAVPNDYGFTSILLSWSLEDIFNENLFQVDEIPQSFQSVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKKFLSTDSVVKEKCVRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLQLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLSSTIDFFEDCVPQYYIFLENELIKEKEHNNESENKDKTFSCKIEVRMKGNMSFLDFARERFKFIALPLKRCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMKLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNVQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEESGNTSKDEFQKINVDYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDIDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEIMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIDFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIFGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLASAMQVASSQEEWKSQGYKLLHSGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALERAGQCFYLAGSYRFAAEVYAKGNQFMKCLSACTEGKLFDMGFQYIQYWKQHMTEDSYIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFHSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLQADLLGKAGHFRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSSQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNTSKYDWENDMVLDLTRFSESKITKGQVSVETLVYFWNFWKDNIQKIFEYLTSLEAQYAGECTSYGEFCLNYLGIRRQFSNLNTIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLDVLIKLEALYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKKLLKFIGLTTEHLFGCIYPLDWKESLKKNMLSLRRTEGFRNLIKDVALETFNVNNQLSYGQLGRITLAILGSGKICKELYKKIVGGLRWNTSWMALMEDLCLNSGSEISPDGKIEMPSDQLSLMLKLHGALVDTYNANWRTQNDYISPGGFLYLVERQLILLSCSRGFVLTTRSSFTEWLIYLESDGSQISSSAEQALQSANGMLRFLANVVQQLLYNKMEMMKWITKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELLGRNYIADQLPREFYKALQKRWKNRNFLNANADVNVLADAFQEIGNPLVIVSLDKTRPQYSCQKAIFFDMVSQSKEAMFTILFPDINKADETNKESVEPDTTTSCKGVVSPDGYDDGKRSNVDENIPCPPGQIWELLGDLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENGSLHGEAAILLEDLKQLFAALDVSSSEPKNDRIEELVSKLQSRKPRLESFINQKILQEAENLGREESDTSKIDEDVNDEVMQSESSDKGKSNASQATVASGNQGSSSNAESKGSKGKKSKKKGGKGKGGRKK >Manes.10G063700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9946159:9959531:1 gene:Manes.10G063700.v8.1 transcript:Manes.10G063700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKEMAVPNDYGFTSILLSWSLEDIFNENLFQVDEIPQSFQSVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKKFLSTDSVVKEKCVRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLQLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLSSTIDFFEDCVPQYYIFLENELIKEKEHNNESENKDKTFSCKIEVRMKGNMSFLDFARERFKFIALPLKRCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMKLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNVQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEESGNTSKDEFQKINVDYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDIDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEIMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIDFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIFGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLASAMQVASSQEEWKSQGYKLLHSGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALERAGQCFYLAGSYRFAAEVYAKGNQFMKCLSACTEGKLFDMGFQYIQYWKQHMTEDSYIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFHSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLQADLLGKAGHFRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSSQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNTSKYDWENDMVLDLTRFSESKITKGQVSVETLVYFWNFWKDNIQKIFEYLTSLEAQYAGECTSYGEFCLNYLGIRRQFSNLNTIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLDVLIKLEALYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKKLLKFIGLTTEHLFGCIYPLDWKESLKKNMLSLRRTEGFRNLIKDVALETFNVNNQLSYGQLGRITLAILGSGKICKELYKKIVGGLRWNTSWMALMEDLCLNSGSEISPDGKIEMPSDQLSLMLKLHGALVDTYNANWRTQNDYISPGGFLYLVERQLILLSCSRGFVLTTRSSFTEWLIYLESDGSQISSSAEQALQSANGMLRFLANVVQQLLYNKMEMMKWITKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELLGRNYIADQLPREFYKALQKRWKNRNFLNANADVNVLADAFQEIGNPLVIVSLDKTRPQYSCQKAIFFDMVSQSKEAMFTILFPDINKADETNKESVEPDTTTSCKGVVSPDGYDDGKRSNVDENIPCPPGQIWELLGDLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENGSLHGEAAILLEDLKQLFAALDVSSEPKNDRIEELVSKLQSRKPRLESFINQKILQEAENLGREESDTSKIDEDVNDEVMQSESSDKGKSNASQATVASGNQGSSSNAESKGSKGKKSKKKGGKGKGGRKK >Manes.10G063700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9950146:9959531:1 gene:Manes.10G063700.v8.1 transcript:Manes.10G063700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEESGNTSKDEFQKINVDYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDIDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEIMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIDFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIFGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLASAMQVASSQEEWKSQGYKLLHSGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALERAGQCFYLAGSYRFAAEVYAKGNQFMKCLSACTEGKLFDMGFQYIQYWKQHMTEDSYIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFHSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLQADLLGKAGHFRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSSQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNTSKYDWENDMVLDLTRFSESKITKGQVSVETLVYFWNFWKDNIQKIFEYLTSLEAQYAGECTSYGEFCLNYLGIRRQFSNLNTIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLDVLIKLEALYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKKLLKFIGLTTEHLFGCIYPLDWKESLKKNMLSLRRTEGFRNLIKDVALETFNVNNQLSYGQLGRITLAILGSGKICKELYKKIVGGLRWNTSWMALMEDLCLNSGSEISPDGKIEMPSDQLSLMLKLHGALVDTYNANWRTQNDYISPGGFLYLVERQLILLSCSRGFVLTTRSSFTEWLIYLESDGSQISSSAEQALQSANGMLRFLANVVQQLLYNKMEMMKWITKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELLGRNYIADQLPREFYKALQKRWKNRNFLNANADVNVLADAFQEIGNPLVIVSLDKTRPQYSCQKAIFFDMVSQSKEAMFTILFPDINKADETNKESVEPDTTTSCKGVVSPDGYDDGKRSNVDENIPCPPGQIWELLGDLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENGSLHGEAAILLEDLKQLFAALDVSSEPKNDRIEELVSKLQSRKPRLESFINQKILQEAENLGREESDTSKIDEDVNDEVMQSESSDKGKSNASQATVASGNQGSSSNAESKGSKGKKSKKKGGKGKGGRKK >Manes.10G063700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9946159:9959532:1 gene:Manes.10G063700.v8.1 transcript:Manes.10G063700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKEMAVPNDYGFTSILLSWSLEDIFNENLFQVDEIPQSFQSVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKKFLSTDSVVKEKCVRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLQLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLSSTIDFFEDCVPQYYIFLENELIKEKEHNNESENKDKTFSCKIEVRMKGNMSFLDFARERFKFIALPLKRCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMKLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNVQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEESGNTSKDEFQKINVDYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDIDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEIMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIDFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIFGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLASAMQVASSQEEWKSQGYKLLHSGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALERAGQCFYLAGSYRFAAEVYAKGNQFMKCLSACTEGKLFDMGFQYIQYWKQHMTEDSYIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFHSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLQADLLGKAGHFRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSSQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNTSKYDWENDMVLDLTRFSESKITKGQVSVETLVYFWNFWKDNIQKIFEYLTSLEAQYAGECTSYGEFCLNYLGIRRQFSNLNTIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLDVLIKLEALYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKKLLKFIGLTTEHLFGCIYPLDWKESLKKNMLSLRRTEGFRNLIKDVALETFNVNNQLSYGQLGRITLAILGSGKICKELYKKIVGGLRWNTSWMALMEDLCLNSGSEISPDGKIEMPSDQLSLMLKLHGALVDTYNANWRTQNDYISPGGFLYLVERQLILLSCSRGFVLTTRSSFTEWLIYLESDGSQISSSAEQALQSANGMLRFLANVVQQLLYNKMEMMKWITKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELLGRNYIADQLPREFYKALQKRWKNRNFLNANADVNVLADAFQEIGNPLVIVSLDKTRPQYSCQKAIFFDMVSQSKEAMFTILFPDINKADETNKESVEPDTTTSCKGVVSPDGYDDGKRSNVDENIPCPPGQIWELLGDLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENGSLHGEAAILLEDLKQLFAALDVSSSEPKNDRIEELVSKLQSRKPRLESFINQKILQEAENLGREESDTSKIDEDVNDEVMQSESSDKGKSNASQATVASGNQGSSSNAESKGSKGKKSKKKGGKGKGGRKK >Manes.10G063700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9946159:9959532:1 gene:Manes.10G063700.v8.1 transcript:Manes.10G063700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKEMAVPNDYGFTSILLSWSLEDIFNENLFQVDEIPQSFQSVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKKFLSTDSVVKEKCVRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLQLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLSSTIDFFEDCVPQYYIFLENELIKEKEHNNESENKDKTFSCKIEVRMKGNMSFLDFARERFKFIALPLKRCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMKLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNVQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEESGNTSKDEFQKINVDYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDIDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEIMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIDFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIFGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLASAMQVASSQEEWKSQGYKLLHSGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALERAGQCFYLAGSYRFAAEVYAKGNQFMKCLSACTEGKLFDMGFQYIQYWKQHMTEDSYIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFHSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLQADLLGKAGHFRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSSQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNTSKYDWENDMVLDLTRFSESKITKGQVSVETLVYFWNFWKDNIQKIFEYLTSLEAQYAGECTSYGEFCLNYLGIRRQFSNLNTIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLDVLIKLEALYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKKLLKFIGLTTEHLFGCIYPLDWKESLKKNMLSLRRTEGFRNLIKDVALETFNVNNQLSYGQLGRITLAILGSGKICKELYKKIVGGLRWNTSWMALMEDLCLNSGSEISPDGKIEMPSDQLSLMLKLHGALVDTYNANWRTQNDYISPGGFLYLVERQLILLSCSRGFVLTTRSSFTEWLIYLESDGSQISSSAEQALQSANGMLRFLANVVQQLLYNKMEMMKWITKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELLGRNYIADQLPREFYKALQKRWKNRNFLNANADVNVLADAFQEIGNPLVIVSLDKTRPQYSCQKAIFFDMVSQSKEAMFTILFPDINKADETNKESVEPDTTTSCKGVVSPDGYDDGKRSNVDENIPCPPGQIWELLGDLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENGSLHGEAAILLEDLKQLFAALDVSSEPKNDRIEELVSKLQSRKPRLESFINQKILQEAENLGREESDTSKIDEDVNDEVMQSESSDKGKSNASQATVASGNQGSSSNAESKGSKGKKSKKKGGKGKGGRKK >Manes.10G063700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9946159:9959531:1 gene:Manes.10G063700.v8.1 transcript:Manes.10G063700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKEMAVPNDYGFTSILLSWSLEDIFNENLFQVDEIPQSFQSVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKKFLSTDSVVKEKCVRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLQLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLSSTIDFFEDCVPQYYIFLENELIKEKEHNNESENKDKTFSCKIEVRMKGNMSFLDFARERFKFIALPLKRCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMKLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNVQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEESGNTSKDEFQKINVDYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDIDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEIMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIDFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIFGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLASAMQVASSQEEWKSQGYKLLHSGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALERAGQCFYLAGSYRFAAEVYAKGNQFMKCLSACTEGKLFDMGFQYIQYWKQHMTEDSYIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFHSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLQADLLGKAGHFRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSSQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNTSKYDWENDMVLDLTRFSESKITKGQVSVETLVYFWNFWKDNIQKIFEYLTSLEAQYAGECTSYGEFCLNYLGIRRQFSNLNTIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLDVLIKLEALYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKKLLKFIGLTTEHLFGCIYPLDWKESLKKNMLSLRRTEGFRNLIKDVALETFNVNNQLSYGQLGRITLAILGSGKICKELYKKIVGGLRWNTSWMALMEDLCLNSGSEISPDGKIEMPSDQLSLMLKLHGALVDTYNANWRTQNDYISPGGFLYLVERQLILLSCSRGFVLTTRSSFTEWLIYLESDGSQISSSAEQALQSANGMLRFLANVVQQLLYNKMEMMKWITKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELLGRNYIADQLPREFYKALQKRWKNRNFLNANADVNVLADAFQEIGNPLVIVSLDKTRPQYSCQKAIFFDMVSQSKEAMFTILFPDINKADETNKESVEPDTTTSCKGVVSPDGYDDGKRSNVDENIPCPPGQIWELLGDLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENGSLHGEAAILLEDLKQLFAALDVSSSEPKNDRIEELVSKLQSRKPRLESFINQKILQEAENLGREESDTSKIDEDVNDEVMQSESSDKGKSNASQATVASGNQGSSSNAESKGSKGKKSKKKGGKGKGGRKK >Manes.10G063700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9950146:9959531:1 gene:Manes.10G063700.v8.1 transcript:Manes.10G063700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEESGNTSKDEFQKINVDYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDIDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEIMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIDFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIFGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLASAMQVASSQEEWKSQGYKLLHSGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALERAGQCFYLAGSYRFAAEVYAKGNQFMKCLSACTEGKLFDMGFQYIQYWKQHMTEDSYIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFHSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLQADLLGKAGHFRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSSQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNTSKYDWENDMVLDLTRFSESKITKGQVSVETLVYFWNFWKDNIQKIFEYLTSLEAQYAGECTSYGEFCLNYLGIRRQFSNLNTIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLDVLIKLEALYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKKLLKFIGLTTEHLFGCIYPLDWKESLKKNMLSLRRTEGFRNLIKDVALETFNVNNQLSYGQLGRITLAILGSGKICKELYKKIVGGLRWNTSWMALMEDLCLNSGSEISPDGKIEMPSDQLSLMLKLHGALVDTYNANWRTQNDYISPGGFLYLVERQLILLSCSRGFVLTTRSSFTEWLIYLESDGSQISSSAEQALQSANGMLRFLANVVQQLLYNKMEMMKWITKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELLGRNYIADQLPREFYKALQKRWKNRNFLNANADVNVLADAFQEIGNPLVIVSLDKTRPQYSCQKAIFFDMVSQSKEAMFTILFPDINKADETNKESVEPDTTTSCKGVVSPDGYDDGKRSNVDENIPCPPGQIWELLGDLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENGSLHGEAAILLEDLKQLFAALDVSSSEPKNDRIEELVSKLQSRKPRLESFINQKILQEAENLGREESDTSKIDEDVNDEVMQSESSDKGKSNASQATVASGNQGSSSNAESKGSKGKKSKKKGGKGKGGRKK >Manes.10G063700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:9946271:9959531:1 gene:Manes.10G063700.v8.1 transcript:Manes.10G063700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGESSSKEMAVPNDYGFTSILLSWSLEDIFNENLFQVDEIPQSFQSVKHYFGSYVLPLLEETRAQLQSSMEIISRAPYAEVVNFSECKPHGSLLYDVKVDHWRNRSSDRGKEPYNTLPGDIVVLANAKPETASDLQRAGRTWAFAMVTNITEDENEAASTTTYFKVQASKDFEVIDGLQNSLFVIFLINATTNKRIWNALHLQGNLNIIKKFLSTDSVVKEKCVRCSVRRNGIWDAKLAVSFSSILNESQTEAVLAGLDKMQCNHRSSVELIWGPPGTGKTKTVSILLYNLLKMKCRTLICAPTNVAVMEVATRVLQLVIESHEKDSGTDASIYSVGDILLFGNKERLKMNSEVEDIYLDYRVKRLIECFAPLTGWWHCLSSTIDFFEDCVPQYYIFLENELIKEKEHNNESENKDKTFSCKIEVRMKGNMSFLDFARERFKFIALPLKRCLLSLCAHVSESYILKHNRENIISLVGLLDTFDSLLSRDDLISDEVEEVFSCSEFSSQGFADILLLLCLRRRDCLSLLKSLRNSLRELDLPNAMNESSIVKFCFGTASLIFCTASSSYKLHSMAIEPMKLLVIDEAAQLKECESTIPLQIQGIRHAILIGDECQLPAMVESKASDRGGFGRSLFERLSSLGHPKHLLNVQYRMHPFISCFPNSKFYFNDILDAPNVREKCYEKQYLPGPMFGPYSFINVLDGREELDDVGHSRRNMVEVAIVLKLVMSLHKAWNGSNQKLRIGIISPYAAQVTAIQDKLGHKYGNINGFSVKVKTVDGFQGGEEDVIIISTVRSNRAGAIGFMSNPRRVNVAITRARHCLWILGNERTLINSESIWKELVCDAKRRQCFFNVDEDEQLAKTILEVKKEFDQLDDLLNGNSVFFRSARWKVIFSENFIRSFGKLTSVRTKTSALNLLLRLSSGWRPRKRNVNLIHDSSNILKQFRVEGLYVICSIDIEKEKRYSQVLKVWDILPLEEIPGLVKRLNGIFQGYTDDFISHCNEKFLEGDLEVPKTWSTSHDIVRFKSLVKNEGESNLISDERCYVENSKVSDSLLLMKFYSLSPVAVSHLLSGRDGLELELPFEVTDEELEIILFSQSTFILGRSGTGKTTVVTMKLFKKEQLFHMATEGYGEESGNTSKDEFQKINVDYDIKNVENSHGEAKSVVLHQLFVTVSPKLCYAVKHQVSQLKRFASGGKYSATCVSADGEDIDDAAQFKDIPDSLIDIPSDLYPLVITFHKFLMMLDGTIGNSYFERFPDLRQLFDGKMQISGSIAVQSFIRTREVNYEKFCSNYWPHFNVDFTKKFDSSKVFTEIMSQIKGGLQAGRSSDGRLSREDYVMLSEGRISTLSRKQREAIYDAFEDYEKMKIANGDFDMADLVIDLHRRLKTENYLGDMMDFVYIDEVQDLTMQQVALFKYISKNVTEGFVFSGDTAQTIARGIDFRFEDVRSLFYNEFVLGSRSEGTDRIKEKGQLSKIFHLSQNFRTHAGVLKLAQSVIDLLYWFFRPFIDVLNHETSHIFGESPILIESGNDENAIVTIFGKNENIEGSFAGFGAQQVILVRDYSARKEICKYVRKQALVLTIVECKGLEFQDVLLYNFFGSSPMRNKWRVIYEYMKEQNLLDASSPPSFPSFNSGKHNILCSELKQLYVAITRTRQRLWICENIEEFSKPMFDYWRKKALVQVRKLDDSLASAMQVASSQEEWKSQGYKLLHSGNYEMATMCFERAGDEYGEKLAKASGLRASAEKMQASNHEEASAARRQAAEIFEAIGKAEYAAECFFMLKEYERAGKIYLQCGDFALERAGQCFYLAGSYRFAAEVYAKGNQFMKCLSACTEGKLFDMGFQYIQYWKQHMTEDSYIVKRSKEMDKIEQEFLESCALHYHELNDNRAMMKYVRAFHSIASIRTFLENLGCLDELLLFEEESGNFLEAAKIAKQKGELLLQADLLGKAGHFRDASLLILWYVFANSLWSSGSKGWPLKPFTDKLELLTKAKSLAKNDSSQYYEFVHMEAEILLNDQSSLFMMKQHLNASQGQKSIRGEILSSRKILDAHLNLNTSKYDWENDMVLDLTRFSESKITKGQVSVETLVYFWNFWKDNIQKIFEYLTSLEAQYAGECTSYGEFCLNYLGIRRQFSNLNTIYLLMIPDAYWAKKMHSRLIQNNGKFISLGVHQFVPAARSYWSEELLSVGLDVLIKLEALYNLSVKNCFSFFCQSRALNHIYEIAKFLFNSKFLDCRHSDKKLLKFIGLTTEHLFGCIYPLDWKESLKKNMLSLRRTEGFRNLIKDVALETFNVNNQLSYGQLGRITLAILGSGKICKELYKKIVGGLRWNTSWMALMEDLCLNSGSEISPDGKIEMPSDQLSLMLKLHGALVDTYNANWRTQNDYISPGGFLYLVERQLILLSCSRGFVLTTRSSFTEWLIYLESDGSQISSSAEQALQSANGMLRFLANVVQQLLYNKMEMMKWITKCHPNAKDYYAVVVLRLVVIACLLALNFGLCMDLLFELLGRNYIADQLPREFYKALQKRWKNRNFLNANADVNVLADAFQEIGNPLVIVSLDKTRPQYSCQKAIFFDMVSQSKEAMFTILFPDINKADETNKESVEPDTTTSCKGVVSPDGYDDGKRSNVDENIPCPPGQIWELLGDLNSMNQGEDKRSMVNDPTIKANIEKIILLLSTALKGSPDNENGSLHGEAAILLEDLKQLFAALDVSSEPKNDRIEELVSKLQSRKPRLESFINQKILQEAENLGREESDTSKIDEDVNDEVMQSESSDKGKSNASQATVASGNQGSSSNAESKGSKGKKSKKKGGKGKGGRKK >Manes.09G145100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34290842:34297500:-1 gene:Manes.09G145100.v8.1 transcript:Manes.09G145100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSAPYPNANSYFFPCPPQNPNLAPNFFFHSVPERPPPPPPPQIPLTTATPNPDLSATLSSLTDLLSLSDKTLNSLSSLLHSKTTSLKHQNVNFVSCPYNPHHIMPPESLFLHSLHCPYSLSEDPTSLIDSLYYPKTLNIQNPDENFIAQSIQHSDNAELCFSLDGYFNEFRSNFFYNDCPGVVNFNDLESSNKMFTLPGVLSVDCANFVGNREGDIKCFDKSRFRVLPSDLWAVRREVEGWVDYPSMYSYGVLCSILQLNLIKFGDLRRWIIANSPRYGVVIDVYMADHMCVLFRLCLKAIRKEALSVVGNGMNMKTLSFNCPVLGQVLMWIASQFSILYSEMKAKCFAIHIFRHCVLDVANVVVFPLDSNSRERSTDANHSDARDVKFGEPLEGIIESKVGTEMDESADGEVIFVSQVAASIAALHERSLLEAKIKRLRMPQSLPRHQRMCEHDYVSKRSDEERKKRSNYKAIIEHDGLPSRQSSNQETSKTKTREELLAEERDYKRRRMSYRGKKLKRTTLQVMRDIIDEYMEEIKQAGGIGCFEKIAEEEGMSAKPPSTADIAVDVNEARKCHSKSSEAIISVPTHYNLHSDHSIRSTTSMHASHQYSMQRRQDYNRHNKQVEYPRSGSRDRHDREFYSGSQERHRSHGMLHEQSNYYGERNDVETTSTKHHEKRSSSKAKYENYKSSHSLLDSASNCGVQRDDEQLAVRDRHLRNSYGNRSSNVQVKNEFEDRYNPAESHDTCEDAFYTGNKHVRPQEFHE >Manes.09G145100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34290628:34297500:-1 gene:Manes.09G145100.v8.1 transcript:Manes.09G145100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSAPYPNANSYFFPCPPQNPNLAPNFFFHSVPERPPPPPPPQIPLTTATPNPDLSATLSSLTDLLSLSDKTLNSLSSLLHSKTTSLKHQNVNFVSCPYNPHHIMPPESLFLHSLHCPYSLSEDPTSLIDSLYYPKTLNIQNPDENFIAQSIQHSDNAELCFSLDGYFNEFRSNFFYNDCPGVVNFNDLESSNKMFTLPGVLSVDCANFVGNREGDIKCFDKSRFRVLPSDLWAVRREVEGWVDYPSMYSYGVLCSILQLNLIKFGDLRRWIIANSPRYGVVIDVYMADHMCVLFRLCLKAIRKEALSVVGNGMNMKTLSFNCPVLGQVLMWIASQFSILYSEMKAKCFAIHIFRHCVLDVANVVVFPLDSNSRERSTDANHSDARDVKFGEPLEGIIESKVGTEMDESADGEVIFVSQVAASIAALHERSLLEAKIKRLRMPQSLPRHQRMCEHDYVSKRSDEERKKRSNYKAIIEHDGLPSRQSSNQETSKTKTREELLAEERDYKRRRMSYRGKKLKRTTLQVMRDIIDEYMEEIKQAGGIGCFEKIAEEEGMSAKPPSTADIAVDVNEARKCHSKSSEAIISVPTHYNLHSDHSIRSTTSMHASHQYSMQRRQDYNRHNKQVEYPRSGSRDRHDREFYSGSQERHRSHGMLHEQSNYYGERNDVETTSTKHHEKRSSSKAKYENYKSSHSLLDSASNCGVQRDDEQLAVRDRHLRNSYGNRSSNVQVKNEFEDRYNPAESHDTCEDAFYTGNKHVRPQEFHE >Manes.09G145100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34290842:34297500:-1 gene:Manes.09G145100.v8.1 transcript:Manes.09G145100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSAPYPNANSYFFPCPPQNPNLAPNFFFHSVPERPPPPPPPQIPLTTATPNPDLSATLSSLTDLLSLSDKTLNSLSSLLHSKTTSLKHQNVNFVSCPYNPHHIMPPESLFLHSLHCPYSLSEDPTSLIDSLYYPKTLNIQNPDENFIAQSIQHSDNAELCFSLDGYFNEFRSNFFYNDCPGVVNFNDLESSNKMFTLPGVLSVDCANFVGNREGDIKCFDKSRFRVLPSDLWAVRREVEGWVDYPSMYSYGVLCSILQLNLIKFGDLRRWIIANSPRYGVVIDVYMADHMCVLFRLCLKAIRKEALSVVGNGMNMKTLSFNCPVLGQVLMWIASQFSILYSEMKAKCFAIHIFRHCVLDVANVVVFPLDSNSRERSTDANHSDARDVKFGEPLEGIIESKVGTEMDESADGEVIFVSQVAASIAALHERSLLEAKIKRLRMPQSLPRHQRMCEHDYVSKRSDEERKKRSNYKAIIEHDGLPSRQSSNQETSKTKTREELLAEERDYKRRRMSYRGKKLKRTTLQVMRDIIDEYMEEIKQAGGIGCFEKIAEEEGMSAKPPSTADIAVDVNEARKCHSKSSEAIISVPTHYNLHSDHSIRSTTSMHASHQYSMQRRQDYNRHNKQVEYPRSGSRDRHDREFYSGSQERHRSHGMLHEQSNYYGERNDVETTSTKHHEKRSSSKAKYENYKSSHSLLDSASNCGVQRDDEQLAVRDRHLRNSYGNRSSNVQVKNEFEDRYNPAESHDTCEDAFYTGNKHVRPQEFHE >Manes.09G145100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34290842:34297500:-1 gene:Manes.09G145100.v8.1 transcript:Manes.09G145100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSAPYPNANSYFFPCPPQNPNLAPNFFFHSVPERPPPPPPPQIPLTTATPNPDLSATLSSLTDLLSLSDKTLNSLSSLLHSKTTSLKHQNVNFVSCPYNPHHIMPPESLFLHSLHCPYSLSEDPTSLIDSLYYPKTLNIQNPDENFIAQSIQHSDNAELCFSLDGYFNEFRSNFFYNDCPGVVNFNDLESSNKMFTLPGVLSVDCANFVGNREGDIKCFDKSRFRVLPSDLWAVRREVEGWVDYPSMYSYGVLCSILQLNLIKFGDLRRWIIANSPRYGVVIDVYMADHMCVLFRLCLKAIRKEALSVVGNGMNMKTLSFNCPVLGQVLMWIASQFSILYSEMKAKCFAIHIFRHCVLDVANVVVFPLDSNSRERSTDANHSDARDVKFGEPLEGIIESKVGTEMDESADGEVIFVSQVAASIAALHERSLLEAKIKRLRMPQSLPRHQRMCEHDYVSKRSDEERKKRSNYKAIIEHDGLPSRQSSNQETSKTKTREELLAEERDYKRRRMSYRGKKLKRTTLQVMRDIIDEYMEEIKQAGGIGCFEKIAEEEGMSAKPPSTADIAVDVNEARKCHSKSSEAIISVPTHYNLHSDHSIRSTTSMHASHQYSMQRRQDYNRHNKQVEYPRSGSRDRHDREFYSGSQERHRSHGMLHEQSNYYGERNDVETTSTKHHEKRSSSKAKYENYKSSHSLLDSASNCGVQRDDEQLAVRDRHLRNSYGNRSSNVQVKNEFEDRYNPAESHDTCEDAFYTGNKHVRPQEFHE >Manes.09G145100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34290842:34297500:-1 gene:Manes.09G145100.v8.1 transcript:Manes.09G145100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSAPYPNANSYFFPCPPQNPNLAPNFFFHSVPERPPPPPPPQIPLTTATPNPDLSATLSSLTDLLSLSDKTLNSLSSLLHSKTTSLKHQNVNFVSCPYNPHHIMPPESLFLHSLHCPYSLSEDPTSLIDSLYYPKTLNIQNPDENFIAQSIQHSDNAELCFSLDGYFNEFRSNFFYNDCPGVVNFNDLESSNKMFTLPGVLSVDCANFVGNREGDIKCFDKSRFRVLPSDLWAVRREVEGWVDYPSMYSYGVLCSILQLNLIKFGDLRRWIIANSPRYGVVIDVYMADHMCVLFRLCLKAIRKEALSVVGNGMNMKTLSFNCPVLGQVLMWIASQFSILYSEMKAKCFAIHIFRHCVLDVANVVVFPLDSNSRERSTDANHSDARDVKFGEPLEGIIESKVGTEMDESADGEVIFVSQVAASIAALHERSLLEAKIKRLRMPQSLPRHQRMCEHDYVSKRSDEERKKRSNYKAIIEHDGLPSRQSSNQETSKTKTREELLAEERDYKRRRMSYRGKKLKRTTLQVMRDIIDEYMEEIKQAGGIGCFEKIAEEEGMSAKPPSTADIAVDVNEARKCHSKSSEAIISVPTHYNLHSDHSIRSTTSMHASHQYSMQRRQDYNRHNKQVEYPRSGSRDRHDREFYSGSQERHRSHGMLHEQSNYYGERNDVETTSTKHHEKRSSSKAKYENYKSSHSLLDSASNCGVQRDDEQLAVRDRHLRNSYGNRSSNVQVKNEFEDRYNPAESHDTCEDAFYTGNKHVRPQEFHE >Manes.09G145100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34290461:34297500:-1 gene:Manes.09G145100.v8.1 transcript:Manes.09G145100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSAPYPNANSYFFPCPPQNPNLAPNFFFHSVPERPPPPPPPQIPLTTATPNPDLSATLSSLTDLLSLSDKTLNSLSSLLHSKTTSLKHQNVNFVSCPYNPHHIMPPESLFLHSLHCPYSLSEDPTSLIDSLYYPKTLNIQNPDENFIAQSIQHSDNAELCFSLDGYFNEFRSNFFYNDCPGVVNFNDLESSNKMFTLPGVLSVDCANFVGNREGDIKCFDKSRFRVLPSDLWAVRREVEGWVDYPSMYSYGVLCSILQLNLIKFGDLRRWIIANSPRYGVVIDVYMADHMCVLFRLCLKAIRKEALSVVGNGMNMKTLSFNCPVLGQVLMWIASQFSILYSEMKAKCFAIHIFRHCVLDVANVVVFPLDSNSRERSTDANHSDARDVKFGEPLEGIIESKVGTEMDESADGEVIFVSQVAASIAALHERSLLEAKIKRLRMPQSLPRHQRMCEHDYVSKRSDEERKKRSNYKAIIEHDGLPSRQSSNQETSKTKTREELLAEERDYKRRRMSYRGKKLKRTTLQVMRDIIDEYMEEIKQAGGIGCFEKIAEEEGMSAKPPSTADIAVDVNEARKCHSKSSEAIISVPTHYNLHSDHSIRSTTSMHASHQYSMQRRQDYNRHNKQVEYPRSGSRDRHDREFYSGSQERHRSHGMLHEQSNYYGERNDVETTSTKHHEKRSSSKAKYENYKSSHSLLDSASNCGVQRDDEQLAVRDRHLRNSYGNRSSNVQVKNEFEDRYNPAESHDTCEDAFYTGNKHVRPQEFHE >Manes.09G145100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34290842:34297500:-1 gene:Manes.09G145100.v8.1 transcript:Manes.09G145100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSAPYPNANSYFFPCPPQNPNLAPNFFFHSVPERPPPPPPPQIPLTTATPNPDLSATLSSLTDLLSLSDKTLNSLSSLLHSKTTSLKHQNVNFVSCPYNPHHIMPPESLFLHSLHCPYSLSEDPTSLIDSLYYPKTLNIQNPDENFIAQSIQHSDNAELCFSLDGYFNEFRSNFFYNDCPGVVNFNDLESSNKMFTLPGVLSVDCANFVGNREGDIKCFDKSRFRVLPSDLWAVRREVEGWVDYPSMYSYGVLCSILQLNLIKFGDLRRWIIANSPRYGVVIDVYMADHMCVLFRLCLKAIRKEALSVVGNGMNMKTLSFNCPVLGQVLMWIASQFSILYSEMKAKCFAIHIFRHCVLDVANVVVFPLDSNSRERSTDANHSDARDVKFGEPLEGIIESKVGTEMDESADGEVIFVSQVAASIAALHERSLLEAKIKRLRMPQSLPRHQRMCEHDYVSKRSDEERKKRSNYKAIIEHDGLPSRQSSNQETSKTKTREELLAEERDYKRRRMSYRGKKLKRTTLQVMRDIIDEYMEEIKQAGGIGCFEKIAEEEGMSAKPPSTADIAVDVNEARKCHSKSSEAIISVPTHYNLHSDHSIRSTTSMHASHQYSMQRRQDYNRHNKQVEYPRSGSRDRHDREFYSGSQERHRSHGMLHEQSNYYGERNDVETTSTKHHEKRSSSKAKYENYKSSHSLLDSASNCGVQRDDEQLAVRDRHLRNSYGNRSSNVQVKNEFEDRYNPAESHDTCEDAFYTGNKHVRPQEFHE >Manes.02G159500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12462073:12464187:-1 gene:Manes.02G159500.v8.1 transcript:Manes.02G159500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLNPNPSSKRLNPDAAPFVKTVSHQKIELVLPLNHFHLHTFSQAVAQPLPLGPHGVIRSPDSVPTPALEYPASATMVYYNSNKQPLSASSMPFYFAHVDRSLSYDTNAQPVVGNLSSYCPIIEQQKGFAAAIMKKGVKGMRDFAFFGCRGKRAENRCSRRHLAPRLSSKKIERRDSCAERLWVPKKFSVENKNDDDGIGRKLDEEGDGDHDGFGVRSSLSDYQIGLDGKTSLMIRNIPNQFERHDLLRILDNHCLEENRKAELQSDSFKSEYDFLYLPMDFRNRANYGDAFVNFTNAIAASRFCESFHKYEWKVSVNKKICEISCAVIQGKEALKNQFKNSLFHCHSDGYLPVVLSPPRDGVVRSKPVIVGRRCPPPIKAAGNPKRP >Manes.06G142100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27007989:27008327:-1 gene:Manes.06G142100.v8.1 transcript:Manes.06G142100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRKKITIISTAIADSASWFCAVVLLGLILLASIRESSSTAEPVKGNLLLDRPCDEIYVVGEGETLHTISDKCGDPFIVEQNPHIHDPDDVFPGLVIKITPSMPRKLLR >Manes.13G087500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15061893:15068271:-1 gene:Manes.13G087500.v8.1 transcript:Manes.13G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGIGNNEERVQKDQNFLLALCQIERLRSSFPDALFLIIEMNLETANAFPDAFTVGDYVLKSKLGGSSLSSVWKAEHRVTGEEVAVKQVDLSKLNKHLKNCLDCELHFLSSVNHPNIIRLFDVFQVESCIFLVLEFCNGGNLASYIRLHGRVQEEIARRFMQQLGAGLEILQSHHIMHRDLKPDNVLLSGQEADMILKIADFGLSRSVQPGKYAETVCGSPLYMAPEVLEFQGYDDKVDMWSVGAILFELLNGFPPFRGRTNFQLLQNIKSSTCIPFSEFILPGLHPDCIDMCSRLLSINPANRLSFDEFYHHKFLRGKGPSKFYGK >Manes.01G039156.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7857354:7860770:-1 gene:Manes.01G039156.v8.1 transcript:Manes.01G039156.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDDCCRWDGVTCDDITGHVVNLVLSWTSIRGNISLHLGNLSNLHYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELQLRNCELSIIGDVSHVNFTSLEVLDLGLNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFGGEISGTFGNSSGCIKNSLENLILLNNSFSGSIPDNLGQFKRLKGLFLSKNSFWGSIPVSIGQLYNLETLGLSQNSLHGKVSELHLLNLRSLMGLSMDGNSLVFDIDPEWIPPFQLHSIGLSSCEVGPSFPQWLKTQKSIRFLEMSNASISDNIPDWFENISSNIVRLDLSYNQLFGTLPTFRKLNTTYASYLRFILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNISDTMPSLRLLSLSNNYLNGTVPATLCRIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGEVPMSLGSQESLVSLHLQNNTLQGKIPMSLRYLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSIHSNKFEGEIPLQLCYLASLRILNLANNMMTGTIPNCFGNFTAIAMHEQKGHWDYYPKGVPLLYVTAGYGENVQVYVKGIELEYTRTLQFLYSIDLSGNNFVGEIPQELMNLSGLQILNLSTNKLYGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.01G039156.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7857356:7860770:-1 gene:Manes.01G039156.v8.1 transcript:Manes.01G039156.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDDCCRWDGVTCDDITGHVVNLVLSWTSIRGNISLHLGNLSNLHYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELQLRNCELSIIGDVSHVNFTSLEVLDLGLNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFGGEISGTFGNSSGCIKNSLENLILLNNSFSGSIPDNLGQFKRLKGLFLSKNSFWGSIPVSIGQLYNLETLGLSQNSLHGKVSELHLLNLRSLMGLSMDGNSLVFDIDPEWIPPFQLHSIGLSSCEVGPSFPQWLKTQKSIRFLEMSNASISDNIPDWFENISSNIVRLDLSYNQLFGTLPTFRKLNTTYASYLRFILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNISDTMPSLRLLSLSNNYLNGTVPATLCRIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGEVPMSLGSQESLVSLHLQNNTLQGKIPMSLRYLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSIHSNKFEGEIPLQLCYLASLRILNLANNMMTGTIPNCFGNFTAIAMHEQKGHWDYYPKGVPLLYVTAGYGENVQVYVKGIELEYTRTLQFLYSIDLSGNNFVGEIPQELMNLSGLQILNLSTNKLYGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.08G068300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12833839:12850790:1 gene:Manes.08G068300.v8.1 transcript:Manes.08G068300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQSPTVNCPSQRSSTTVTAVVNGHDHRVPSKRKLDDYAPSFDDDDDLHFSDVVSVRMRKDDSLAVDSSFTGKNQSSSSSSSSHFDTRVSDSKSAHCSCSSPPAICRSASRLQFFIRMISDGNHIVIHANSDDTVKSLHERIQAITGIPVIEQRLIYRGKQLQWEQSLAECSIQNDAGLHLVGRMRSTKHPQTCQVIDDIVSFISRLCKTELPCHLYASKHIKSLMNEFFSLTTKDDNETAIGHLQIFMSSSAPAALAMLYVSTIKGNKECAESSIRHFLNSCRISLPKPLHTQCAPIVLEFCKLLRAVAHDDPLYLSCRSTLGSLLETMGISRGLSKYSGGEDVNGLIVIQDIFPFINELANRLSRDLVSSMESTTANGPGSSDVRDFSAFLLPLYATITEHVGFQGPISVPLDKRGFSHPLYVEEIEQLHVIFSDLLIKMDNCLAKMEDFLPLKPSGEGESSRTAWSHYLAILKELNNIAKLYENAEEHFWAVLRLRKASLCVLIVKYAKRIDDHQWLLQHKDVTDFESRRHLAMMMFPEVKEDYEELHEMLIDRSQLLAESFEYIARADPEALHGGLFMEFKNEEATGPGVLREWFFLVIQALFDQQKALFVACPNDRRRFFPNPASKVDPMHLDYFTFTGRVVALALMHKVQVGIVFDRVFFLQLAGRHISLEDIRDADPCLYSSCKKILEMDADFIDSDALGLTFVREVEQLGSRKIVDLCPDGKSISVTSKNREEYVNLLIRHRFVTSTSDQVSRFARGFADILCNSGLQPVFFQSLELEDLDWMLYGSESGVCIEDWKAHTEYNGYKETDPQISWFWKIVAEMSAEQRKFLLFFWTSVKYLPVEGFRGLASRLYIYKSSEPCDRLPSSHTCFYRLCFPPYSCMSVMKDRLNVITQEHVGCSFGTW >Manes.08G068300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:12833839:12848943:1 gene:Manes.08G068300.v8.1 transcript:Manes.08G068300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQSPTVNCPSQRSSTTVTAVVNGHDHRVPSKRKLDDYAPSFDDDDDLHFSDVVSVRMRKDDSLAVDSSFTGKNQSSSSSSSSHFDTRVSDSKSAHCSCSSPPAICRSASRLQFFIRMISDGNHIVIHANSDDTVKSLHERIQAITGIPVIEQRLIYRGKQLQWEQSLAECSIQNDAGLHLVGRMRSTKHPQTCQVIDDIVSFISRLCKTELPCHLYASKHIKSLMNEFFSLTTKDDNETAIGHLQIFMSSSAPAALAMLYVSTIKGNKECAESSIRHFLNSCRISLPKPLHTQCAPIVLEFCKLLRAVAHDDPLYLSCRSTLGSLLETMGISRGLSKYSGGEDVNGLIVIQDIFPFINELANRLSRDLVSSMESTTANGPGSSDVRDFSAFLLPLYATITEHVGFQGPISVPLDKRGFSHPLYVEEIEQLHVIFSDLLIKMDNCLAKMEDFLPLKPSGEGESSRTAWSHYLAILKELNNIAKLYENAEEHFWAVLRLRKASLCVLIVKYAKRIDDHQWLLQHKDVTDFESRRHLAMMMFPEVKEDYEELHEMLIDRSQLLAESFEYIARADPEALHGGLFMEFKNEEATGPGVLREWFFLVIQALFDQQKALFVACPNDRRRFFPNPASKVDPMHLDYFTFTGRVVALALMHKVQVGIVFDRVFFLQLAGRHISLEDIRDADPCLYSSCKKILEMDADFIDSDALGLTFVREVEQLGSRKIVDLCPDGKSISVTSKNREEYVNLLIRHRFVTSTSDQVSRFARGFADILCNSGLQPVFFQSLELEDLDWMLYGSESGVCIEDWKAHTEYNGYKETDPQISWFWKRNGTI >Manes.06G116600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24763954:24766592:1 gene:Manes.06G116600.v8.1 transcript:Manes.06G116600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDVSTVKPHQTLNDWLPITKSRSAKWWYSAFHNITAMVGAGVLGLPYAMSQLGWGPGAAVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWVIVPQQLMVEVGVNIVYMITGGKSLKKFHDTVCHDCKDIKTTYFIMIFASVHFVLSHLSSFNSITGVSIAAAVMSLSYSTIGWVASLDKGVQPDVQYTPRASTFTGEIFGFFSALGDIAFAFAGHNVVLEIQATIPSTPEKPSKKPMWKGVVIAYIVVALCYFPVAFIGYWVFGNKVEDNVLISLEKPRWLVAVANMFVVVHVIGSYQVYAMPVFDMIEAFLVLKMKFNPSLILRFIARTLYVALTMFLAMSFPFFGGLLSFFGGFAFAPTTYYLPCVIWLVICKPKKFSLSWWTNWVCIIIGVVLMVLAPIGALRQIILEAKDFKFYS >Manes.06G116600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24763352:24766592:1 gene:Manes.06G116600.v8.1 transcript:Manes.06G116600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDVSTVKPHQTLNDWLPITKSRSAKWWYSAFHNITAMVGAGVLGLPYAMSQLGWGPGAAVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWVIVPQQLMVEVGVNIVYMITGGKSLKKFHDTVCHDCKDIKTTYFIMIFASVHFVLSHLSSFNSITGVSIAAAVMSLSYSTIGWVASLDKGVQPDVQYTPRASTFTGEIFGFFSALGDIAFAFAGHNVVLEIQATIPSTPEKPSKKPMWKGVVIAYIVVALCYFPVAFIGYWVFGNKVEDNVLISLEKPRWLVAVANMFVVVHVIGSYQVYAMPVFDMIEAFLVLKMKFNPSLILRFIARTLYVALTMFLAMSFPFFGGLLSFFGGFAFAPTTYYLPCVIWLVICKPKKFSLSWWTNWVCIIIGVVLMVLAPIGALRQIILEAKDFKFYS >Manes.06G116600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24763093:24766592:1 gene:Manes.06G116600.v8.1 transcript:Manes.06G116600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDVSTVKPHQTLNDWLPITKSRSAKWWYSAFHNITAMVGAGVLGLPYAMSQLGWGPGAAVLVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWVIVPQQLMVEVGVNIVYMITGGKSLKKFHDTVCHDCKDIKTTYFIMIFASVHFVLSHLSSFNSITGVSIAAAVMSLSYSTIGWVASLDKGVQPDVQYTPRASTFTGEIFGFFSALGDIAFAFAGHNVVLEIQATIPSTPEKPSKKPMWKGVVIAYIVVALCYFPVAFIGYWVFGNKVEDNVLISLEKPRWLVAVANMFVVVHVIGSYQVYAMPVFDMIEAFLVLKMKFNPSLILRFIARTLYVALTMFLAMSFPFFGGLLSFFGGFAFAPTTYYLPCVIWLVICKPKKFSLSWWTNWVCIIIGVVLMVLAPIGALRQIILEAKDFKFYS >Manes.03G028800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2328913:2329478:1 gene:Manes.03G028800.v8.1 transcript:Manes.03G028800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHSNGIFLHLGLSHFKPVPCDFRIFLINEKASTTSYRTFCHGDVFLMTKADLEEYNSACDDEAIGKELQIAPKIKARRKTATVSCLIACLKVSGVCVPVAGQMLLARAC >Manes.03G028800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2327489:2330120:1 gene:Manes.03G028800.v8.1 transcript:Manes.03G028800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHSNGIFLHLGLSHFKPVPCDFRIFLINEKASTTSYRTFCHGDVFLMTKADLEEYNSACDDEAIGKELQIAPKIKARRKTATVSCLIACLKVSGVCVPVAGQMLLARAC >Manes.03G028800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2327496:2330120:1 gene:Manes.03G028800.v8.1 transcript:Manes.03G028800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHSNGIFLHLGLSHFKPVPCDFRIFLINEKASTTSYRTFCHGDVFLMTKADLEEYNSACDDEAIGKELQIAPKIKARRKTATVSCLIACLKVSGVCVPVAGQMLLARAC >Manes.03G028800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2327496:2330120:1 gene:Manes.03G028800.v8.1 transcript:Manes.03G028800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHSNGIFLHLGLSHFKPVPCDFRIFLINEKASTTSYRTFCHGDVFLMTKADLEEYNSACDDEAIGKELQIAPKIKARRKTATVSCLIACLKVSGVCVPVAGQMLLARAC >Manes.12G121200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32666853:32673419:-1 gene:Manes.12G121200.v8.1 transcript:Manes.12G121200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQELEWLEAQKIAISVDLLAAAKQQLQFLAAVDKNRWLYEGPTLECAIYRYNVCWLPLLAKHSESPVFEGPLVVPLDCEWVWHCHRLNPVRYKNDCEELFGRILDYSNVASSVEGGICIRQSQEIWNKLYPDEPYDFDLTRALSTGPNWKFFPVEKYTKYDLVSAVKRQSPFYYQVCRPHINNNVFLEEAAARYKGFLYLIKRNMERSIKRFCVPTYDIDLIWHTHQLHPISYCKDLSRAVGKILEHDDMDSDRTKGKKLDTGFSGTTKQWEETFGTRYWKAGAMYRGGMPSPVTKIPLLPNLLRKDMLASNECQKMIQLPEVKIVEVLLEFVGVKNLPEGHKGCHFVRFSKEQPDVFFNDKQKLTILSESKEKQVVSLQCEPKGKLIFELISHSPSDFLVKEAFKTIGTASLSLQDFLNPVSKLAVEKWVELQPSSGNLSSKPICLRIAVSCTVPIQAPYLLRMVPSQSLPLRVQHAKIWTHITDENDSEIISLQMRDSTNAKTTDKSILKKQVVGAMKSGETRDLAEFMRTHWSLIDSQWCLSFKRKSDEDGHFCELIGSRMVKIFHGRKLDFERKHCEKQRNERDFFTAVEFSAEYPYGKAVALFDLKSAAVKVKEEWLVLPAIISAFILADIFNNKGYGGFMVNGENLEELDGNVEKVNGFHVEAKQIKQSTSIERKLVLDVEASKCGGCGGGCGGGCGNMVKSGGCGGGCGGGCGNMVKGGGCGGGCGGGCGNMIKSGGCGGGCGGCGGGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGNMIKGDGDGFGVGDMVENRTSHETNVGHSHIDSSPRESPTYISEAIAA >Manes.12G121200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32666949:32673418:-1 gene:Manes.12G121200.v8.1 transcript:Manes.12G121200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQELEWLEAQKIAISVDLLAAAKQQLQFLAAVDKNRWLYEGPTLECAIYRYNVCWLPLLAKHSESPVFEGPLVVPLDCEWVWHCHRLNPVRYKNDCEELFGRILDYSNVASSVEGGICIRQSQEIWNKLYPDEPYDFDLTRALSTGPNWKFFPVEKYTKYDLVSAVKRQSPFYYQVCRPHINNNVFLEEAAARYKGFLYLIKRNMERSIKRFCVPTYDIDLIWHTHQLHPISYCKDLSRAVGKILEHDDMDSDRTKGKKLDTGFSGTTKQWEETFGTRYWKAGAMYRGGMPSPVTKIPLLPNLLRKDMLASNECQKMIQLPEVKIVEVLLEFVGVKNLPEGHKGCHFVRFSKEQPDVFFNDKQKLTILSESKEKQVVSLQCEPKGKLIFELISHSPSDFLVKEAFKTIGTASLSLQDFLNPVSKLAVEKWVELQPSSGNLSSKPICLRIAVSCTVPIQAPYLLRMVPSQSLPLRVQHAKIWTHITDENDSEIISLQMRDSTNAKTTDKSILKKQVVGAMKSGETRDLAEFMRTHWSLIDSQWCLSFKRKSDEDGHFCELIGSRMVKIFHGRKLDFERKHCEKQRNERDFFTAVEFSAEYPYGKAVALFDLKSAAVKVKEEWLVLPAIISAFILADIFNNKGYGGFMVNGENLEELDGNVEKVNGFHVEAKQIKQSTSIERKLVLDVEASKCGGCGGGCGGGCGNMVKSGGCGGGCGGGCGNMVKGGGCGGGCGGGCGNMIKSGGCGGGCGGCGGGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGNMIKGDGDGFGVGDMVENRTSHETNVGHSHIDSSPRESPTYISEAIAA >Manes.12G121200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32666853:32673420:-1 gene:Manes.12G121200.v8.1 transcript:Manes.12G121200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQELEWLEAQKIAISVDLLAAAKQQLQFLAAVDKNRWLYEGPTLECAIYRYNVCWLPLLAKHSESPVFEGPLVVPLDCEWVWHCHRLNPVRYKNDCEELFGRILDYSNVASSVEGGICIRQSQEIWNKLYPDEPYDFDLTRALSTGPNWKFFPVEKYTKYDLVSAVKRQSPFYYQVCRPHINNNVFLEEAAARYKGFLYLIKRNMERSIKRFCVPTYDIDLIWHTHQLHPISYCKDLSRAVGKILEHDDMDSDRTKGKKLDTGFSGTTKQWEETFGTRYWKAGAMYRGGMPSPVTKIPLLPNLLRKDMLASNECQKMIQLPEVKIVEVLLEFVGVKNLPEGHKGCHFVRFSKEQPDVFFNDKQKLTILSESKEKQVVSLQCEPKGKLIFELISHSPSDFLVKEAFKTIGTASLSLQDFLNPVSKLAVEKWVELQPSSGNLSSKPICLRIAVSCTVPIQAPYLLRMVPSQSLPLRVQHAKIWTHITDENDSEIISLQMRDSTNAKTTDKSILKKQVVGAMKSGETRDLAEFMRTHWSLIDSQWCLSFKRKSDEDGHFCELIGSRMVKIFHGRKLDFERKHCEKQRNERDFFTAVEFSAEYPYGKAVALFDLKSAAVKVKEEWLVLPAIISAFILADIFNNKGYGGFMVNGENLEELDGNVEKVNGFHVEAKQIKQSTSIERKLVLDVEASKCGGCGGGCGGGCGNMVKSGGCGGGCGGGCGNMVKGGGCGGGCGGGCGNMIKSGGCGGGCGGCGGGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGNMIKGDGDGFGVGDMVENRTSHETNVGHSHIDSSPRESPTYISEAIAA >Manes.12G121200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32666949:32673420:-1 gene:Manes.12G121200.v8.1 transcript:Manes.12G121200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQELEWLEAQKIAISVDLLAAAKQQLQFLAAVDKNRWLYEGPTLECAIYRYNVCWLPLLAKHSESPVFEGPLVVPLDCEWVWHCHRLNPVRYKNDCEELFGRILDYSNVASSVEGGICIRQSQEIWNKLYPDEPYDFDLTRALSTGPNWKFFPVEKYTKYDLVSAVKRQSPFYYQVCRPHINNNVFLEEAAARYKGFLYLIKRNMERSIKRFCVPTYDIDLIWHTHQLHPISYCKDLSRAVGKILEHDDMDSDRTKGKKLDTGFSGTTKQWEETFGTRYWKAGAMYRGGMPSPVTKIPLLPNLLRKDMLASNECQKMIQLPEVKIVEVLLEFVGVKNLPEGHKGCHFVRFSKEQPDVFFNDKQKLTILSESKEKQVVSLQCEPKGKLIFELISHSPSDFLVKEAFKTIGTASLSLQDFLNPVSKLAVEKWVELQPSSGNLSSKPICLRIAVSCTVPIQAPYLLRMVPSQSLPLRVQHAKIWTHITDENDSEIISLQMRDSTNAKTTDKSILKKQVVGAMKSGETRDLAEFMRTHWSLIDSQWCLSFKRKSDEDGHFCELIGSRMVKIFHGRKLDFERKHCEKQRNERDFFTAVEFSAEYPYGKAVALFDLKSAAVKVKEEWLVLPAIISAFILADIFNNKGYGGFMVNGENLEELDGNVEKVNGFHVEAKQIKQSTSIERKLVLDVEASKCGGCGGGCGGGCGNMVKSGGCGGGCGGGCGNMVKGGGCGGGCGGGCGNMIKSGGCGGGCGGCGGGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGSMVKSGGCGGCGNMIKGDGDGFGVGDMVENRTSHETNVGHSHIDSSPRESPTYISEAIAA >Manes.08G142100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37844502:37847509:-1 gene:Manes.08G142100.v8.1 transcript:Manes.08G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCS6 MEEAADAKARKTGVRLCCLCDQRRAALKRPKTLEQICRECFYKVFEEEIHQVIVENQLFKPGDRVAIGASGGKDSTVLAYVLSELNHRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKVATGHNADDIAETVLLNILRGDIARLSRCTSITTGEDGPIPRCKPFKYTYEKEIVMYAYFKRLDYFSTECIYSPNAYRGFAREFIKDLESIRPRAILDIIKSGEDFRISTNTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRSRGLDNDGRKDVKHSTGTKNIESKQCGTLDF >Manes.13G034700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4266389:4270615:-1 gene:Manes.13G034700.v8.1 transcript:Manes.13G034700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKLSQWGERLKTGGAQMSRLVSDKVKEMLQTPTPESKMVDEATMETMEEPNWGMNLRICAMINSEEFNGTEIVRAIKKKISGKNWVSQRLSLDLLEACTLNCEKVFSEVASEKVLDEMVKMIENPQTDQRNKDRAWQLIRAWGQSEDLEYLPVFHQTYVSLQGRIMPQPVEYENSPSMPYSLESYVHQQPLSPPERYPVSDTRFDDYNRTTLGYNVGTLSAEEKNEFLVTTRNSLELLSSILNAETEPKPIKEDLTVSLLEKCKQSQVVIQRIIESTSDDEAMLFEALNLHDELQQVISQYEVLEAGLNSGEQLPGGSGSTKEELRAQIGHNETKMTDPIKGENENESSSNKKNAE >Manes.13G034700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4266389:4270615:-1 gene:Manes.13G034700.v8.1 transcript:Manes.13G034700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVSDKVKEMLQTPTPESKMVDEATMETMEEPNWGMNLRICAMINSEEFNGTEIVRAIKKKISGKNWVSQRLSLDLLEACTLNCEKVFSEVASEKVLDEMVKMIENPQTDQRNKDRAWQLIRAWGQSEDLEYLPVFHQTYVSLQGRIMPQPVEYENSPSMPYSLESYVHQQPLSPPERYPVSDTRFDDYNRTTLGYNVGTLSAEEKNEFLVTTRNSLELLSSILNAETEPKPIKEDLTVSLLEKCKQSQVVIQRIIESTSDDEAMLFEALNLHDELQQVISQYEVLEAGLNSGEQLPGGSGSTKEELRAQIGHNETKMTDPIKGENENESSSNKKNAE >Manes.05G178400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29510210:29510764:-1 gene:Manes.05G178400.v8.1 transcript:Manes.05G178400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLDAQPQNAAPVVGDDVKMKKIKHQQEEEEEKMEDGVNTGTGFVNLDENLLFEVFKHVDARTLGRAACVSKQWHRTAQDERLWELICTRHSANIGCGIQQLRSVVLALGGFRRLHSQYLLPLSKPQSSSTASSSSSSSWSPFPAMIGSKPPAKWGKDEVHLSLSLLSIRYYEKMNFSNRGR >Manes.05G001400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:177374:189427:1 gene:Manes.05G001400.v8.1 transcript:Manes.05G001400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVDDAGGALPSINHSPWFGVTLADFVMPFFLFGVGVSIALVFKKISSKPLATKKVILRTVKLFLLGLLLQGGYFHGCNHLTYGVDVGKIRWLGVLQRISIGYLFASISEIWFVDHIMVDSPLDFVKKYYVQWMFSLLLCSIYICLLYGLYVPNWEFEAPSTNLFGYGSGTQTVVCGMRGSLEPPCNAVGLIDRFFLGEHHLYQRPVYRRTKQCSVNSPDYGPLPPNSPAWCLAPFDPEGILSSLMAAITCFVGLHFGHILVHFKDHMQRLFLWSMSSFSLLISGYVLKLLGIPFSKPLYTLSYMFITAGASGLLLTIIFYVVDVKHFRKPMVILQWVGMNALIVYALAACELFPAVLQGFYWRSPENNLVDGTEWLMRAILHSEKWGTLAFVIVEILFWCLVAGFLHLKGIYVRL >Manes.05G001400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:178729:189427:1 gene:Manes.05G001400.v8.1 transcript:Manes.05G001400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLFGVGVSIALVFKKISSKPLATKKVILRTVKLFLLGLLLQGGYFHGCNHLTYGVDVGKIRWLGVLQRISIGYLFASISEIWFVDHIMVDSPLDFVKKYYVQWMFSLLLCSIYICLLYGLYVPNWEFEAPSTNLFGYGSGTQTVVCGMRGSLEPPCNAVGLIDRFFLGEHHLYQRPVYRRTKQCSVNSPDYGPLPPNSPAWCLAPFDPEGILSSLMAAITCFVGLHFGHILVHFKDHMQRLFLWSMSSFSLLISGYVLKLLGIPFSKPLYTLSYMFITAGASGLLLTIIFYVVDVKHFRKPMVILQWVGMNALIVYALAACELFPAVLQGFYWRSPENNLVDGTEWLMRAILHSEKWGTLAFVIVEILFWCLVAGFLHLKGIYVRL >Manes.05G001400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:177374:189427:1 gene:Manes.05G001400.v8.1 transcript:Manes.05G001400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFLFGVGVSIALVFKKISSKPLATKKVILRTVKLFLLGLLLQGGYFHGCNHLTYGVDVGKIRWLGVLQRISIGYLFASISEIWFVDHIMVDSPLDFVKKYYVQWMFSLLLCSIYICLLYGLYVPNWEFEAPSTNLFGYGSGTQTVVCGMRGSLEPPCNAVGLIDRFFLGEHHLYQRPVYRRTKQCSVNSPDYGPLPPNSPAWCLAPFDPEGILSSLMAAITCFVGLHFGHILVHFKDHMQRLFLWSMSSFSLLISGYVLKLLGIPFSKPLYTLSYMFITAGASGLLLTIIFYVVDVKHFRKPMVILQWVGMNALIVYALAACELFPAVLQGFYWRSPENNLVDGTEWLMRAILHSEKWGTLAFVIVEILFWCLVAGFLHLKGIYVRL >Manes.05G001400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:178728:189427:1 gene:Manes.05G001400.v8.1 transcript:Manes.05G001400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIIMAEDEQRQPLLLQNTSPTDRREQEITPSSSSNEAEAPPPPNQRLISLDVFRGLTVALMILVDDAGGALPSINHSPWFGVTLADFVMPFFLFGVGVSIALVFKKISSKPLATKKVILRTVKLFLLGLLLQGGYFHGCNHLTYGVDVGKIRWLGVLQRISIGYLFASISEIWFVDHIMVDSPLDFVKKYYVQWMFSLLLCSIYICLLYGLYVPNWEFEAPSTNLFGYGSGTQTVVCGMRGSLEPPCNAVGLIDRFFLGEHHLYQRPVYRRTKQCSVNSPDYGPLPPNSPAWCLAPFDPEGILSSLMAAITCFVGLHFGHILVHFKDHMQRLFLWSMSSFSLLISGYVLKLLGIPFSKPLYTLSYMFITAGASGLLLTIIFYVVSLWFYS >Manes.05G001400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:177397:189427:1 gene:Manes.05G001400.v8.1 transcript:Manes.05G001400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIIMAEDEQRQPLLLQNTSPTDRREQEITPSSSSNEAEAPPPPNQRLISLDVFRGLTVALMILVDDAGGALPSINHSPWFGVTLADFVMPFFLFGVGVSIALVFKKISSKPLATKKVILRTVKLFLLGLLLQGGYFHGCNHLTYGVDVGKIRWLGVLQRISIGYLFASISEIWFVDHIMVDSPLDFVKKYYVQWMFSLLLCSIYICLLYGLYVPNWEFEAPSTNLFGYGSGTQTVVCGMRGSLEPPCNAVGLIDRFFLGEHHLYQRPVYRRTKQCSVNSPDYGPLPPNSPAWCLAPFDPEGILSSLMAAITCFVGLHFGHILVHFKDHMQRLFLWSMSSFSLLISGYVLKLLGIPFSKPLYTLSYMFITAGASGLLLTIIFYVVDVKHFRKPMVILQWVGMNALIVYALAACELFPAVLQGFYWRSPENNLVDGTEWLMRAILHSEKWGTLAFVIVEILFWCLVAGFLHLKGIYVRL >Manes.05G001400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:178709:189427:1 gene:Manes.05G001400.v8.1 transcript:Manes.05G001400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIIMAEDEQRQPLLLQNTSPTDRREQEITPSSSSNEAEAPPPPNQRLISLDVFRGLTVALMILVDDAGGALPSINHSPWFGVTLADFVMPFFLFGVGVSIALVFKKISSKPLATKKVILRTVKLFLLGLLLQGGYFHGCNHLTYGVDVGKIRWLGVLQRISIGYLFASISEIWFVDHIMVDSPLDFVKKYYVQWMFSLLLCSIYICLLYGLYVPNWEFEAPSTNLFGYGSGTQTVVCGMRGSLEPPCNAVGLIDRFFLGEHHLYQRPVYRRTKQCSVNSPDYGPLPPNSPAWCLAPFDPEGILSSLMAAITCFVGLHFGHILVHFKDHMQRLFLWSMSSFSLLISGYVLKLLGIPFSKPLYTLSYMFITAGASGLLLTIIFYVVDVKHFRKPMVILQWVGMNALIVYALAACELFPAVLQGFYWRSPENNLVDGTEWLMRAILHSEKWGTLAFVIVEILFWCLVAGFLHLKGIYVRL >Manes.04G143300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34188046:34191031:1 gene:Manes.04G143300.v8.1 transcript:Manes.04G143300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMIDKSIREIERERQGLQAQEKKLIVEIKKNAKQGQMGAVRVMAKDLIRTRHQIEKFYKLKSQLQGVALRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMVTEVMGDAIDDALEGDAEEEETEELVNQVLDEIGIDINNELVNAPSAAVSAPAAKDKVAQAESTGTHEESGIDDDLQARLDNLRRM >Manes.05G161100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27397503:27399876:-1 gene:Manes.05G161100.v8.1 transcript:Manes.05G161100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDDSPTVISMPTTTATATTLKKDTSDGALFGKTRYKFWVLAAILLLAFWSMFTGSVTLKWSTGNLSRLNDDLNSPIRDDDLDILEVEEKEHLVRHMWDIYTHSSSTKLPRFWQEAFEAAYEALASDVAAIRDAAVSEIAKLSLRSFNPDPFPVQPTPDTSNSNPKL >Manes.15G179066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23653294:23656317:1 gene:Manes.15G179066.v8.1 transcript:Manes.15G179066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKPNNILITGAAGFIASHVCNRLHRIPGRLFLNGSSNIASLFTPQGKKGTNQDAMIVWEVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.12G136000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34290824:34293470:-1 gene:Manes.12G136000.v8.1 transcript:Manes.12G136000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETMSLVLVNLAAIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLASRHNRAHVIALGTFLWAAATFLVAISSTFFQVAVSRGLNGIGLAIVIPAIQSLVADSTEETNRGMAFGWLQLAGNLGSILGGLCSVLLASTSFMGIPGWRVAFILVGIVSVVVGTLVWLFAIDPRFSATDNKSRDQNSETFVSELKDLIREANLVMKLPSFQILVAQGVSGSIPWSALSFTPMWLELIGFSHEKTAFLMTLFVIACSLGGLFGGMMGDILAKCFPNSGRIILSQISSGSAVPLAAVLLLVLPDDPSTTFTHGLFLFIMGLCISWNGPATNKDSA >Manes.12G136000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34290824:34293470:-1 gene:Manes.12G136000.v8.1 transcript:Manes.12G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETMSLVLVNLAAIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLASRHNRAHVIALGTFLWAAATFLVAISSTFFQVAVSRGLNGIGLAIVIPAIQSLVADSTEETNRGMAFGWLQLAGNLGSILGGLCSVLLASTSFMGIPGWRVAFILVGIVSVVVGTLVWLFAIDPRFSATDNKSRDQNSETFVSELKDLIREANLVMKLPSFQILVAQGVSGSIPWSALSFTPMWLELIGFSHEKTAFLMTLFVIACSLGGLFGGMMGDILAKCFPNSGRIILSQISSGSAVPLAAVLLLVLPDDPSTTFTHGLFLFIMGLCISWNGPATNNPIFAEIVPEKSRTSIYALDRSFESILSSFAPPVVGILAQHVYGYKIPRTSSDRMKVERDRENAASLAKALYTAIGIPMALCCSIYSFLYCTYPRDRERARMSALIESEMQQLETDESPSREEYSQLQVSESKGLNLNSEKRSNIGLEYGMDESIDLDDSDEKALLDHQLTFSRLGD >Manes.12G136000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34290824:34293470:-1 gene:Manes.12G136000.v8.1 transcript:Manes.12G136000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETMSLVLVNLAAIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLASRHNRAHVIALGTFLWAAATFLVAISSTFFQVAVSRGLNGIGLAIVIPAIQSLVADSTEETNRGMAFGWLQLAGNLGSILGGLCSVLLASTSFMGIPGWRVAFILVGIILVAQGVSGSIPWSALSFTPMWLELIGFSHEKTAFLMTLFVIACSLGGLFGGMMGDILAKCFPNSGRIILSQISSGSAVPLAAVLLLVLPDDPSTTFTHGLFLFIMGLCISWNGPATNNPIFAEIVPEKSRTSIYALDRSFESILSSFAPPVVGILAQHVYGYKIPRTSSDRMKVERDRENAASLAKALYTAIGIPMALCCSIYSFLYCTYPRDRERARMSALIESEMQQLETDESPSREEYSQLQVSESKGLNLNSEKRSNIGLEYGMDESIDLDDSDEKALLDHQLTFSRLGD >Manes.12G136000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34290824:34293470:-1 gene:Manes.12G136000.v8.1 transcript:Manes.12G136000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGWLQLAGNLGSILGGLCSVLLASTSFMGIPGWRVAFILVGIVSVVVGTLVWLFAIDPRFSATDNKSRDQNSETFVSELKDLIREANLVMKLPSFQILVAQGVSGSIPWSALSFTPMWLELIGFSHEKTAFLMTLFVIACSLGGLFGGMMGDILAKCFPNSGRIILSQISSGSAVPLAAVLLLVLPDDPSTTFTHGLFLFIMGLCISWNGPATNNPIFAEIVPEKSRTSIYALDRSFESILSSFAPPVVGILAQHVYGYKIPRTSSDRMKVERDRENAASLAKALYTAIGIPMALCCSIYSFLYCTYPRDRERARMSALIESEMQQLETDESPSREEYSQLQVSESKGLNLNSEKRSNIGLEYGMDESIDLDDSDEKALLDHQLTFSRLGD >Manes.12G136000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34290824:34293470:-1 gene:Manes.12G136000.v8.1 transcript:Manes.12G136000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETMSLVLVNLAAIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLASRHNRAHVIALGTFLWAAATFLVAISSTFFQLAGNLGSILGGLCSVLLASTSFMGIPGWRVAFILVGIVSVVVGTLVWLFAIDPRFSATDNKSRDQNSETFVSELKDLIREANLVMKLPSFQILVAQGVSGSIPWSALSFTPMWLELIGFSHEKTAFLMTLFVIACSLGGLFGGMMGDILAKCFPNSGRIILSQISSGSAVPLAAVLLLVLPDDPSTTFTHGLFLFIMGLCISWNGPATNNPIFAEIVPEKSRTSIYALDRSFESILSSFAPPVVGILAQHVYGYKIPRTSSDRMKVERDRENAASLAKALYTAIGIPMALCCSIYSFLYCTYPRDRERARMSALIESEMQQLETDESPSREEYSQLQVSESKGLNLNSEKRSNIGLEYGMDESIDLDDSDEKALLDHQLTFSRLGD >Manes.15G176264.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:21180724:21181867:1 gene:Manes.15G176264.v8.1 transcript:Manes.15G176264.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFPILSFTLIVFISLLPFAALANFNDKKSLPFDFLKHLQGCHKGDKLKGIHKLKTYLEHFGYLHYKNQSHANDDDFDELLEFALKTYQLNYHLKVTGSLDSQTVSKMIMPRCGVPDIVNDTTRMDSGKKNHHHSSTILHTVSHYTFFRGNPKWPASKYSLTYGFLPQTPTRAMNPVAKAFQTWAANTHFRFSRVQDYTTADIKIGFHRGNHGDRNSFDGRGGILAHAFAPQNGRFHFDADERWAVGAIQGAYDVETVALHEIGHLLGLEHSSVEGAIMYPTISAGTTKGLHRDDIQGIRALYNV >Manes.18G060400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5346928:5361190:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNRNANSEPSLGDGSAALSPAGTPPQGQSDNVSNRAGNTVLKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAVTQKGSEANLTLGGIDLNNSGSVIVKSEKRLLTVLFPDGRDGRTFTLKAETLEDLYEWKTALESALAQAPNAALVMGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.18G060400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5347208:5361364:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNRNANSEPSLGDGSAALSPAGTPPQGQSDNVSNRAGNTVLKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAVTQKGSEANLTLGGIDLNNSGSVIVKSEKRLLTVLFPDGRDGRTFTLKAETLEDLYEWKTALESALAQAPNAALVMGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.18G060400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5347028:5361137:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNRNANSEPSLGDGSAALSPAGTPPQGQSDNVSNRAGNTVLKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAVTQKGSEANLTLGGIDLNNSGSVIVKSEKRLLTVLFPDGRDGRTFTLKAETLEDLYEWKTALESALAQAPNAALVMGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKMQGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.18G060400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5347212:5358757:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVIVKSEKRLLTVLFPDGRDGRTFTLKAETLEDLYEWKTALESALAQAPNAALVMGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.18G060400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5346789:5361548:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNRNANSEPSLGDGSAALSPAGTPPQGQSDNVSNRAGNTVLKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAVTQKGSEANLTLGGIDLNNSGSVIVKSEKRLLTVLFPDGRDGRTFTLKAETLEDLYEWKTALESALAQAPNAALVMGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.18G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5347212:5361137:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNRNANSEPSLGDGSAALSPAGTPPQGQSDNVSNRAGNTVLKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAVTQKGSEANLTLGGIDLNNSGSVIVKSEKRLLTVLFPDGRDGRTFTLKAETLEDLYEWKTALESALAQAPNAALVMGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKMQGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.18G060400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5347212:5359141:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKMQGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.18G060400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5347028:5359141:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.18G060400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5347028:5359141:-1 gene:Manes.18G060400.v8.1 transcript:Manes.18G060400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNGIFKNDQNDGTDCSNSVDHCAVTFKDKVPMKPLVIGRPVLLALEDVDGSPSFLEKALKYIEEHGVKVEGILRQAAAVDDVSCRIREYEQGKSEFSAEEDGHVIGDCVKFFLRELPSSPVPASCCNALLEAFRKDRGNRVNAMRVAILETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLSGECEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLMEEYDDIFSEAVMAPGLYSDSEECESEDEEVTDDDASHEDDERDDVSEGSDAYSDDERGNASTGTESSESGDNYMCDNKMQGDDHGSNSPFVDNDCRVEEKLSNSVQTSLPSHHDVKRNENILGVNNNNLATQTIKLDQVSRDFPIETKKMQQVSREFPIETKKMQQVSREFPIETKKMQQVTRDFPIETKKMQTGDPSACVQKSVSVSNEQEHKPATILDRISAKKNRSMGSIDFDSEDEVEIRKLEATKFDLQHRISDEVKGNAVLQTNLEKRKKALHERRLALEQDVARLREELQRERDKRMVLEAGLNTSQAHQSLPAIIDEKMKADLEEISQGEADVSYLKQKVDNLSLQLSQQIEQNNSSTHDSSNQPMQTSNHQAKWKDKQRDDANAASNLGKSPNKDICADESTNLPSKPAPSNQQPEPARSSNSKSSANSKKIIISRSEVANSTTNALSKLTTRLNFLKERRNQLANELQNLDKVRPFVTNSNKAKGSEVRQSSQTLDKNQGVEVHSAQNPENGRGSESSHFLLNAEKGLATEGQSHKNSEKSR >Manes.14G010600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:646074:648403:-1 gene:Manes.14G010600.v8.1 transcript:Manes.14G010600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPNSLVKFFSLFITPQRFLSLHRNPTDKSPLFFISSTFATYSTISQSQVENVLENAVEEPKDSVEVLRKWGCSDNDILKILLRRPSIRNADLNHLQSKLSLLQGLGITSTDLVKIINCRPRFLSCRINRCFDERLAYFMDLFGSREMLLKSIIRNPSLLTYDFHNIIKPAVALYESMGVSKKDLIPMLLSRPTLIPRTSFDDEKIEYIRKTGICKNSKMYKYVVTLLGISRIETIRKKVANFEKFGFTDEEVWSLIGRSPLLLTMSVDKVQRNMTFIVGTMKLPATVVLKYPYLLLNNLEAVLKPRVLLAGKIRDMGLSTQIKGPLMFRALRMKEKRFVKAFVWCHPKDVAEELMEFYVKAKGLKRLAETSKKSLHRGFPF >Manes.04G133000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33366272:33367743:1 gene:Manes.04G133000.v8.1 transcript:Manes.04G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNGEAVIATTFNKTNSFSKPPRLSNDNNLHRTISDISYELSKEEIDIKQLPPISEVEDAKCECCGMSEECTPEYIDRVRNKFSGKWICGLCAEAVKEEKEKKGCKVEEALNSHMSACARFNKFGRAYPVLFQAEAMREMLKKSTRRAQSFNTKGAQKNSGIARSSSCIAAITRDMTNFRMPN >Manes.04G133000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33366434:33372898:1 gene:Manes.04G133000.v8.1 transcript:Manes.04G133000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNGEAVIATTFNKTNSFSKPPRLSNDNNLHRTISDISYELSKEEIDIKQLPPISEVEDAKCECCGMSEECTPEYIDRVRNKFSGKWICGLCAEAVKEEKEKKGCKVEEALNSHMSACARFNKFGRAYPVLFQAEAMREMLKKSTRRAQSFNTKGAQKNSGIARSSSCIAAITRDMTNFRMPN >Manes.03G176000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29930113:29930901:1 gene:Manes.03G176000.v8.1 transcript:Manes.03G176000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHDEKEAAEVLLSFKEAEDLLYFKHEKLDPITAAALEATKSSKILQGLAGSNHRVSRQQAKPQPQPRPRPQKPAAKPQPRPRPLLEKPAAATLFDTYEPPEIPPVVGLDGLIGKCSKPFEKQLTSSDVRPDQSRLTMNKADVVRCLLPLLNREEENPCQGIKVKTYDMQGNEYDMAFKLWASKVYVLTTGWKNFFMKHGLIENEDFVTIWMFRNFRTDKLCFVISSRRLPIYEPIKRRRGSQI >Manes.14G089700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7441056:7441385:1 gene:Manes.14G089700.v8.1 transcript:Manes.14G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIPESNITYQLIPIFNEAEQVSSAAKYPSYEYYGEKRDTYVLSAKWKDKEAFSARFGRYSGLFCSMCSECLHKAWERVDNMPRVAQTTSSQNAISYLIFTWDDSSLP >Manes.08G157800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39423420:39425252:1 gene:Manes.08G157800.v8.1 transcript:Manes.08G157800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGNQNPWTPYDTYKDCSQQICSIYCPQWCYLLFSPPPPPFTLGDDDSGTDFSPLIIAVIGILASAFILVSYYTIISKYCRRGSHDDNSLELGENPDQISNQAWQGSAAGLDEALIKSITVCKFKRGDGFVEGSDCSVCLSEFQENETLRLLPKCNHAFHLPCIDTWLKSHASCPLCRANIAPTNILPSQPPPPPPPIQETPRRTAVSALEYQHRTNDAVLVIQDLQGGVREESVVSLVVDDTQPKPTFHELNVRQEPEDLIEITEEAILPIRRSVSLNSSLCHDQVSIADILSIIEDEDGDYYRMRDIDNDRIGVLNLIRSPPAIRRSTSTGRLMFTRYEKGRSSMTPN >Manes.02G190800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15317838:15322143:1 gene:Manes.02G190800.v8.1 transcript:Manes.02G190800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLKTTFLPSSLRRSSTARSPSIHIPTHRTRTTQVQAKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAAVGSAIALLAETEEEIAEARAKASSSSSSSAVESASTGSSAPAPPQPEKVVEPAGPAISKAAAVVGSAVHPASEGGKRIVASPYAKKLAKELKVELEGVVGSGPMGRIVAKDVEAAAAAAATATTSLAPKAGTPVTPPPGIELGTVVPFTTMQGAVSRNMVESLAVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALVEHPVINSCCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPHEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPSVVATKDGRIGMKNQMQVNVTADHRVIYGADLAAFLQTLAKIIEDPKDLTF >Manes.08G139000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37497249:37504020:1 gene:Manes.08G139000.v8.1 transcript:Manes.08G139000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDENYEPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFFDVTDEDRPVEQPKFPTTIEELEGKRRVDVEAQLRKQDIAKNKIAQRQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEEIAKMGYASDLAGNEELTEGNGATRALLANYAQTPQQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKREIQTPNPMLTPSATPGGVGLTPRIGMTPSRDGYSFGMTPKGTPIRDELHINEDMDLHDSAKLEQRRQADIRRNLRSGLSTLPQPKNEYQIVIQPPPEDHEEPEEKIEEDMSDRIARERAEEEARQEALLRKRSKVLQRELPRPPAASLELIRNSLLRADEDKSSFVPPTSIELADEMIRKELLLLLEHDNAKYPLDEKQNKEKKKGAKQAANRSAMFVPVIEDFEEDELKEADKLIEEEVQYICVAMGHESESVDVFVDAHRTCLSDLMYFPTRNAYGLSSVAGNVEKLAALQNEFENVKARLEAEREKALRLEKKVNVLTQGYQTRSERQLLPPIESILKQMDTAGTELECFQALQKQEQLAATHRINGLWEEVQKQKELEQTLQRRFGNLVAELERIQHLIADYRTLAKQQEEIAARNCALELAESAAKQAAMQNSETSEPMPSDDVGSSAPVDSSKLEISEQQINAAQEHMHASLKQEGTNADSQNKHAPMDTDASLSTDVPSVVEELHAARVPKADENNKDGVPANYLINQGDDISDVVVVEGNKLKEESVNVNASDIKISTDVIRDDAVAEDQQILMEITKPDGVVTKGGLGEDERSKSTYDEVNAVSSE >Manes.01G238050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39966767:39971364:1 gene:Manes.01G238050.v8.1 transcript:Manes.01G238050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSYSSYSSSGSPQFVPLNLPVGWRFHPSDEELVDHYLKRKRRGDPIDGLDIGEVQICDYDPKDLPDLSKNKSRDKVWYFFCLRLYHKNSGLAKRKAKAGYWKCTGDPRLVTAQDSDEEIGIKRTLVFHNPDATQWVIHEYEYTAALNSPVKLTSCYVFVLGNYVLCKLKVKSNKKEKASKRSKKAEPDCKNTRPKKKARKCESDSNLTSASASRKKKLEEMTAYSAYGEGEPSNAMILDLENENPSMAANSTYNECETSSLMDSNFENPFYRKAIDSSRNTGETSGPMASYLENHSPNVMTAMSSYSGLEHEIPCEITSLSTNNQVETSCFKTYDFQNQCLNAFDKGKSSYHITLDFENQNPSKIWDLSASREGEQCPLPETPTDFEYRNQCLNAFDKGKCLNAFDKGKSSYPITLDFENQNPSKIWDLKASREGEQCPLPETPTDFEYRNQCLNAFDKGKSSYPITLDFEKQNPSKILDISASIDGEQCPLLETPSDFEYQNQYGKIDVSVHEDHQSPQVGSYAGETIFQGVQSQYKTNMPILEDCLGSLVASNYQEMYQGEQSQHNTEMTISKDNDALFRAFGVTKFPEIRTQLLDELITRFG >Manes.02G168200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13225199:13234303:-1 gene:Manes.02G168200.v8.1 transcript:Manes.02G168200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAKTFKGANVFMSRNLVPPEVFDTLLDALKLNGAEVFLCCDPSRNGPNDYHIISSPDHEKFEDLRARGCNLLGPQCVLSCAKEHRALPKQGFTCCLAMDGVKVLASGFEMVEKDKIEKLVTAMGGQLLAKASLDVSFVIVKNVLAAKYKWALNVLKKPIVTINWLYQCWSEHRVVPQESYRVLPFSGLMICVTRIPADERKEIEKIITQNGGKYSAELTKKCTHLICDAPEGDKYKVARRWGHIHIVTRKWFDQSVARRACLNEESYPVQGGSISSNKNLKCPSVAQPIQDNNIVNSLSVQSSIAAESSSPDFPCAVFPESDLEATLSQNMSSMFSDPPVFMKAGDDEIPAENPTNETNLDSCIANDSQSEDSELYLSECRISLVGFEASELRKLVNMVRRGGGSRYMSFNDKLTHIVVGAPTEVEKKELRGLAALGVINVVRPTWLEDCDRERKETPVLRKHIAYDLLLPKDSANSIKGALVGMASMNQGRVSSTHSSLHSDHLMGGTNFGNGKASFLEKDKEEKPEINLNRITVDTTLRQSQQNLLSVVDNRKKNGKQHDSRDQNQKPFPVFKGKTFHFSDSFPEDRRAEIVQWVSQGGGDMVEDHVKQNVHFTIECHGVVPRSVDVPRTTYVSSHWVRSCLEDGCLLDVGGHIMYSPLPCRIPLPGFESFRFCISQYEEKDRLLLRNLCFVLGAKFVEKLTRKVTHLLCKFTSGPKYEAACKWGICSITSEWIYECVRQNEVVTVDHFRPREVTSQDQDAGVCTVSQFPTQADRMISGENPSQFESQSQNLRNAPSLTGGSRINSLGEELQESSDYCKKARLSESDVQKELLSSGVHLDDSICKIDLVGGNNKNDNGEPSHSVPDVAAAIEDLLEQTSKIHDQKSPGRNGCDKNLYSSDTVLGEDHGSHHVLGLPKHWLNRTGKRDELPNPSSDVNRGMYDGFSETQTESQVVGYEEDLSGRQMLIDRVRTRSSMA >Manes.04G125200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32555423:32556587:1 gene:Manes.04G125200.v8.1 transcript:Manes.04G125200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACAFPAARKRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTQAAPRKKGAATSA >Manes.14G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3225717:3229930:-1 gene:Manes.14G036800.v8.1 transcript:Manes.14G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISAEEPITDPNSLLKNRRQSWSDLWLKNTKPLKHVVFAMQLPSIPTPTSTKGSKTKGQTLISNFSNIDRTLLLSDELVLKILSKLPDSQRNSNFLVCKRWLNLQGRLVRSLKVLDWEFIESGRLIARFPNLTHVDLINGCIIAPHDSSIWLSHRFLSMHINSEISGFVPNWRICEENLLSVEVVDRGLKALAGACPNLRKLVVIGASELGLLNVAEECPTLQDLELHKCNDNVLRGIAACENLQILKLVGKVDGLYSSLVSDIGLTILAQGCKRLVKLELSGCEGSFEGIKAIGQCCQMLEELTISDHRMEDGWLPALSYCENMRTLRFLSCKKIDLVPGPDDYLGSCPALEKLHLQKCHFRNKRSVRALFKVCESVKEIVVQDCWGLDNDMFSSASVCRRVKLLSLEGCSLLTTQGLESVLLNCIELQYLRVQSCKHIKDCEGSAALSTLFSVLKELKWRPDTKSLLVSSLVGTGMGKRGGKFFKKS >Manes.18G086700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7998011:8006243:1 gene:Manes.18G086700.v8.1 transcript:Manes.18G086700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRDEDDEELDADDEEYDDQEQQLMDEEEEEEEEDRGGSSRKRRRSDFIDDVAEEDDDEEEEDDDDEYGGGGGRRKAAKRRSGTQFFDLEAEVDSDEEEEEDEDAEDDFIVDNGADLPEEDDNRRVHHRPLLPREDDQEDVEALERSIQARYARSSHTEYDEETTDVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSAIALDHLKNYIYIEADKEAHVREACKGLRNIYAQKIMLVPIKEMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALAKKLEGGEVVKKKAFVPPPRFMNVDEARELHIRVERRRDPMTGDYFENIGGMLFKDGFMYKTVSMKSISAQNIKPSFDELEKFRTPGENGDGDMVSLSTLFANRKKGHFVKGDAVIVVKGDLKNLKGWVEKVDEENVHIKPEMKDLPRTIAVNEKELCKYFEPGNHVKVVSGTQEGATGMVVKVEQHVVIILSDTTKEHIRVFADDIVESSEVTTGVTKIGDYELHDLVLLDNMSFGVIIRVESEAFQVLKGVPERPEVALVRLREIKCKIEKKFNVQDRYKNTIAAKDVVRIIDGPCKGKQGPVEHIYRGVLFIYDRHHLEHAGFICVKSHSCVVVGGTRANGDRNGDSYSRFSSFKPPPRVPPSPRRFQRGGPPFESGGRNRGGRGGHDALVGTTVKIRQGPFKGYRGRVVEIKGQSVRVELESQMKVILVDRNNISDNVVVSTPYRDSSRYGMGSETPMHPSRTPLHPYMTPMRDAGATPIHDGMRTPMRDPAWNPYTPMSPPRDNWEDANPASWGASPQYQPGSPPSRAYEAPTPGSGWASTPSGSYSEAGTPRDSSSGYANAPSPYLPSTPGGQPMTPSSAAYLPGTPGGQPMTPGTGLDVMSPVIGGDNEGPWFMPDILVNVLKAADESFVGVIREVLPDGSCRVILGANGNGETITALPSEIEIVVPRKSDKIKIMGGAHRGATGKLIGVDGTDGIVKVDDTLDVKILDMVILAKLAQP >Manes.09G028500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6119827:6120888:1 gene:Manes.09G028500.v8.1 transcript:Manes.09G028500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLKLLLTFTNGHMDMKLSPNVTTFKPNYSPTLYISRAFPPIFCIHQLSFSHSLHSSLLLAPPMENSRRSPLKPWKKGPARGKGGPQNAMCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYLNLPHLQPSSINPLITSKSQKFKWIPSNNFIPMFPSCGLLNIHAQPSVHVIHQRLQELKKNGVLGQSSNVSNSSSSDSRNEANIVNDRTHVENHIVMEKDVEITSEKMVRYDEEKPQIDLNEFLQQLGILKVEGKPENNDATESCVEQVSLLNDDDKDNNLAAVADKSFNWDSLIEMHGIADHLTAESSSLQVHDVQEDPAFPIWNF >Manes.03G087600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17400342:17421508:1 gene:Manes.03G087600.v8.1 transcript:Manes.03G087600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEHRIVLACAISGTLFTILGSASFWILWAVNWRPWRIYSWIFARKWPYILQGRQLGFLCRFLSLSAWMIVISPILVLIMWGSWLIVILGRDIIGLAVIMAGTALLLAFYSVMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGKNASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEMGPMACLPEPPDPNELYPRQSSRASHLGLLYLGSLVVLFVYSILYGLTAKEARWLGAITSAAVIILDWNMGACLYGFELLQSRVVALFVAGTSRVFLMCFGVHYWYLGHCISYAVVASVLLGAAVSRHLSVTNPLAARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSAEAGNLGTIAAQCTIDTNNWNNAVLCRTVSSHEGVNSDKSIDSGRPSLAIRSSSCRSVIQEPEAGTSGDRNFDNNNSLVVCSSSGLDSQGCESSTSTSANQQLLDLNLALAFQDRLNDPRITSLLKRRGRQGDRELTSLLQDKGLDPNFAVMLKEKNLDPTILALLQRSSLDADRDHRDNSDITIVDSNSVENGLPNQISLSEELRLHGFERWLQLSRFVLHHIAGTPERAWVLFSFTFILETIIVAIFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFLQSLQAEEMTMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSVAIPFWIHNGYQVWVPRVQSTGPAGNHCPSGTKKGIVLIICVIIFTGSVLALGAIVSVKPLDDLEYKGWASDPKSFSSPYASSVYLGWAMASAIALVVTGVLPIVSWFATYRFSLSSAVCVGIFTVVLVTFCGASYLEVVKSRDDQVPTKVDFLAALLPLVCIPALLSLCSGLLKWKDDGWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVVHHWASNNFYLTRTQMLFVCFLAFLLGLAAFLVGWFQGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYNAHADCGKNVSAAFLMLYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMMEDAVHFLSKDTVVQAITRSATKTRNALSGTYSAPQRSASSTALLVGDPTATRDKAGNLVLPRDDVVKLRDRLRNEELVVGSFFCRMRYKAFFRESATDLDHRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTATAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYLREKEIEEEILMQRREEEGRGKERRKVLLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLSDSFARERVASIARRIRTAQLARRALQTGISGAICILDDEPTTSGRHCGEIDPSICQTRKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTVDADLGEATCYLDGGFDGFQTGLPLSVGSSIWEQGTEVWVGFRPPTDVDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIASLHTSIGSTEFGMVDFPEDNWQWADSPARVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREVAVEMDSFARRFRKPRVETQEEINQRMLSVELAVKEALSARGERRFTDQEFPPNDQSLYVDPGNPPFKLQVVSDWMRPGDIVKENRLDSCPCLFSGSANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLTATGPDASLPIHVFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >Manes.03G087600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17400350:17421529:1 gene:Manes.03G087600.v8.1 transcript:Manes.03G087600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVISPILVLIMWGSWLIVILGRDIIGLAVIMAGTALLLAFYSVMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGKNASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEMGPMACLPEPPDPNELYPRQSSRASHLGLLYLGSLVVLFVYSILYGLTAKEARWLGAITSAAVIILDWNMGACLYGFELLQSRVVALFVAGTSRVFLMCFGVHYWYLGHCISYAVVASVLLGAAVSRHLSVTNPLAARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSAEAGNLGTIAAQCTIDTNNWNNAVLCRTVSSHEGVNSDKSIDSGRPSLAIRSSSCRSVIQEPEAGTSGDRNFDNNNSLVVCSSSGLDSQGCESSTSTSANQQLLDLNLALAFQDRLNDPRITSLLKRRGRQGDRELTSLLQDKGLDPNFAVMLKEKNLDPTILALLQRSSLDADRDHRDNSDITIVDSNSVENGLPNQISLSEELRLHGFERWLQLSRFVLHHIAGTPERAWVLFSFTFILETIIVAIFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFLQSLQAEEMTMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSVAIPFWIHNGYQVWVPRVQSTGPAGNHCPSGTKKGIVLIICVIIFTGSVLALGAIVSVKPLDDLEYKGWASDPKSFSSPYASSVYLGWAMASAIALVVTGVLPIVSWFATYRFSLSSAVCVGIFTVVLVTFCGASYLEVVKSRDDQVPTKVDFLAALLPLVCIPALLSLCSGLLKWKDDGWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVVHHWASNNFYLTRTQMLFVCFLAFLLGLAAFLVGWFQGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYNAHADCGKNVSAAFLMLYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMMEDAVHFLSKDTVVQAITRSATKTRNALSGTYSAPQRSASSTALLVGDPTATRDKAGNLVLPRDDVVKLRDRLRNEELVVGSFFCRMRYKAFFRESATDLDHRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTATAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYLREKEIEEEILMQRREEEGRGKERRKVLLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLSDSFARERVASIARRIRTAQLARRALQTGISGAICILDDEPTTSGRHCGEIDPSICQTRKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTVDADLGEATCYLDGGFDGFQTGLPLSVGSSIWEQGTEVWVGFRPPTDVDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIASLHTSIGSTEFGMVDFPEDNWQWADSPARVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREVAVEMDSFARRFRKPRVETQEEINQRMLSVELAVKEALSARGERRFTDQEFPPNDQSLYVDPGNPPFKLQVVSDWMRPGDIVKENRLDSCPCLFSGSANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLTATGPDASLPIHVFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >Manes.03G087600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17400350:17421508:1 gene:Manes.03G087600.v8.1 transcript:Manes.03G087600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEHRIVLACAISGTLFTILGSASFWILWAVNWRPWRIYSWIFARKWPYILQGRQLGFLCRFLSLSAWMIVISPILVLIMWGSWLIVILGRDIIGLAVIMAGTALLLAFYSVMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGKNASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEMGPMACLPEPPDPNELYPRQSSRASHLGLLYLGSLVVLFVYSILYGLTAKEARWLGAITSAAVIILDWNMGACLYGFELLQSRVVALFVAGTSRVFLMCFGVHYWYLGHCISYAVVASVLLGAAVSRHLSVTNPLAARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSAEAGNLGTIAAQCTIDTNNWNNAVLCRTVSSHEGVNSDKSIDSGRPSLAIRSSSCRSVIQEPEAGTSGDRNFDNNNSLVVCSSSGLDSQGCESSTSTSANQQLLDLNLALAFQDRLNDPRITSLLKRRGRQGDRELTSLLQDKGLDPNFAVMLKEKNLDPTILALLQRSSLDADRDHRDNSDITIVDSNSVENGLPNQISLSEELRLHGFERWLQLSRFVLHHIAGTPERAWVLFSFTFILETIIVAIFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFLQSLQAEEMTMTSKPRKYGFIAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSVAIPFWIHNGYQVWVPRVQSTGPAGNHCPSGTKKGIVLIICVIIFTGSVLALGAIVSVKPLDDLEYKGWASDPKSFSSPYASSVYLGWAMASAIALVVTGVLPIVSWFATYRFSLSSAVCVGIFTVVLVTFCGASYLEVVKSRDDQVPTKVDFLAALLPLVCIPALLSLCSGLLKWKDDGWKLSRGVYVFVTIGLLLLLGAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVVHHWASNNFYLTRTQMLFVCFLAFLLGLAAFLVGWFQGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYNAHADCGKNVSAAFLMLYGIALATEGWGVVASLKIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMMEDAVHFLSKDTVVQAITRSATKTRNALSGTYSAPQRSASSTALLVGDPTATRDKAGNLVLPRDDVVKLRDRLRNEELVVGSFFCRMRYKAFFRESATDLDHRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTATAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQESYLREKEIEEEILMQRREEEGRGKERRKVLLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLSDSFARERVASIARRIRTAQLARRALQTGISGAICILDDEPTTSGRHCGEIDPSICQTRKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTVDADLGEATCYLDGGFDGFQTGLPLSVGSSIWEQGTEVWVGFRPPTDVDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIASLHTSIGSTEFGMVDFPEDNWQWADSPARVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREVAVEMDSFARRFRKPRVETQEEINQRMLSVELAVKEALSARGERRFTDQEFPPNDQSLYVDPGNPPFKLQVVSDWMRPGDIVKENRLDSCPCLFSGSANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLTATGPDASLPIHVFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >Manes.15G123867.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9867291:9871565:-1 gene:Manes.15G123867.v8.1 transcript:Manes.15G123867.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIHAGTDASAFRDCFSLTWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVDRKTVLQESIVSMAVAGAIIGAAIGGWLNDRYGRRSAILIADFLFFIGAVVMAASPGPALLIVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTEAPGTWRWMLGVAGVPALLQFILMLMLPESPRWLYRKGREEEAKAILRKIYPADEVETEIRDLKTSVEKEIDEEGSSEKINLIKLCKTRTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNQTALLLSLITSGLNALGSIVSIYFIDRTGRKKLLIFSLVGVILSLGLLSGIFHETTTHSPLVKAAEGRYGNYTCPDYSLATNAAWDCMDCLKATHPDCGFCASASDKLLPGVCLISNDTVKDLCHGDHREWYTRGCPSKYGWVALIGLALYIIFFSPGMGTVPWIVNSEIYPLRFRGVCGGIAATANWVSNLIVAQSFLSLTQAIGTSWTFLIFGVISVVALLFVLVYVPETKGLPIEEVEKMLELRSLRYKFWEKRSEPYEKKPEV >Manes.15G123867.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9867291:9871565:-1 gene:Manes.15G123867.v8.1 transcript:Manes.15G123867.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPGPALLIVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLAFTEAPGTWRWMLGVAGVPALLQFILMLMLPESPRWLYRKGREEEAKAILRKIYPADEVETEIRDLKTSVEKEIDEEGSSEKINLIKLCKTRTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNQTALLLSLITSGLNALGSIVSIYFIDRTGRKKLLIFSLVGVILSLGLLSGIFHETTTHSPLVKAAEGRYGNYTCPDYSLATNAAWDCMDCLKATHPDCGFCASASDKLLPGVCLISNDTVKDLCHGDHREWYTRGCPSKYGWVALIGLALYIIFFSPGMGTVPWIVNSEIYPLRFRGVCGGIAATANWVSNLIVAQSFLSLTQAIGTSWTFLIFGVISVVALLFVLVYVPETKGLPIEEVEKMLELRSLRYKFWEKRSEPYEKKPEV >Manes.01G098600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29993806:30000266:1 gene:Manes.01G098600.v8.1 transcript:Manes.01G098600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTASFSGVSLRPPYSRQSNRSGLFSQSLSFTAKRNSLKSLLLKRSGFGYEKISRTQRSFIVRCDASSTGKISQQDFTEMAWQGIVSSPDVAKENKHQIVETEHLMKALLEQKNGLARRIFSKIGVDNTRLLEATDKFIQRQPKVLGESAGSMLGRDLEALIQRAREYKKDYGDSFVSVEHLVLAFTQDRRFGRQLFRDFQISLQTLKSAVESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGSLIAGAKYRGEFEDRLKAVLKEVTESDGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEIDRSVLKLEMEKLSLANDTDRASKDRLNRLEAELSVLKKKQEELTEQWEHEKTVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLEVAEKELDEYMRSGKSMLREEVTGDDIAEVVSKWTGIPVSKLKQSEKEKLLHLEEELHKRVVGQDPAVKSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHADVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILDTDDDMPKEVAYETIKKRVLEAARSIFRPEFMNRVDEYIVFQPLDRNQINSIVRLQLERVQQRISDRKMKLQVTDTAVELLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGEFKDEDTVFIDTEVTAFSNGQLPQQKLVFQRLEIDANASAAESQAVSQAL >Manes.10G132100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29756322:29758261:-1 gene:Manes.10G132100.v8.1 transcript:Manes.10G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKIVVSGISLILVVGVVIGVVVAVNRSNDSSENTEDLSPQMKAVTQICQPTNYKETCTKVLGSANSTDPKELIKAGILAISDSVTKSMNLSEDLVVNGGSNEPRTKLALEDCKILLKNASDELNDILAKVGENDLKSIAEQADDFRIWLSSIISYQELCIDGFDHDSNLKYSVHNSTDYGSELTDNVLTILGGISKILENFGLHLNLPSTNSRRLLRADGYPTWVSAADRKLLAAGNAGKPAPDAVVALDGSGQFKSINAAINSYPKGHQGRFVIYVKAGIYNELVKVPKRQPNIFMYGDGPRRSIITGKKSFTSGFNTWNTASFVVESPGFICKGMGFQNTAGPDGHQAVAMRANSDMSIYHNCRFDGYQDTLLYQAGRQFYRNCVISGTIDFLFGYGAAVIQNSLIIVRKPNPNQSNTVTADGKKERGQPTGLVIHNCRIVPEVKLDPERLTVKTYLGRPWKQFSTTVVMESQVGDLIQPEGWIPWDGNLFLDTLFYAEYANSGPGANTARRVKWKTLHFLNRIEAQKYTLGTFLAGSGQWVRAAGVPFLLGLRR >Manes.01G244200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40492024:40495192:-1 gene:Manes.01G244200.v8.1 transcript:Manes.01G244200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPLSFMILCLTISSLAYTALSVPDWPAGRSTRFYDFKLHTMTVKKLCNTKKIVAVNNMSPGPVVYAQQGDRVIVRVTNESPYNATIHWHGVRQILSCWFDGPSYITQCPIQPGQSFTYEFTLVRQKGTFFWHAHVSWLRATVYGALVVYPKTGVPYPFPYPFEEHIVILGEYWLQDVVKLERQVLASGGGPPPSDAYTINGHPGPNYNCSANDVYEIEVVPGKTYLLRLINAGLNTENFFTIANHKLTIVEADAEYTKPFTTDRVMLGPGQTMIVLVTADQPIAKYSMAMGPYMSAQGIPFQNISAIANFQYLGAVPDRISIPARLPSFNDNLAVKTVMDGLRSLKTSKVPREIDTNLFVTIGINVNKCRSKKPQKNCQGINNGTMAASMNNISFIKPTVSVLEAYYKGNEGFFTDDFPGAPLRFYDFVNGAPNDAPIDTNSMKGTRTKVVEYGSRVQIILQDTGTISTENHPIHLHGYSFYVVGYGTGNYDPQTANFNLVDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHIDVHQSWGLGTVLIVKNGNGHLETLPHPPADLPRC >Manes.01G244200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40492480:40495149:-1 gene:Manes.01G244200.v8.1 transcript:Manes.01G244200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTPLSFMILCLTISSLAYTALSVPDWPAGRSTRFYDFKLHTMTVKKLCNTKKIVAVNNMSPGPVVYAQQGDRVIVRVTNESPYNATIHWHGVRQILSCWFDGPSYITQCPIQPGQSFTYEFTLVRQKGTFFWHAHVSWLRATVYGALVVYPKTGVPYPFPYPFEEHIVILGEYWLQDVVKLERQVLASGGGPPPSDAYTINGHPGPNYNCSANDVYEIEVVPGKTYLLRLINAGLNTENFFTIANHKLTIVEADAEYTKPFTTDRVMLGPGQTMIVLVTADQPIAKYSMAMGPYMSAQGIPFQNISAIANFQYLGAVPDRISIPARLPSFNDNLAVKTVMDGLRSLKTSKVPREIDTNLFVTIGINVNKCRSKKPQKNCQGINNGTMAASMNNISFIKPTVSVLEAYYKGNEGFFTDDFPGAPLRFYDFVNGAPNDAPIDTNSMKGTRTKVVEYGSRVQIILQDTGTISTENHPIHLHGYSFYVVGYGTGNYDPQTANFNLVDPPYMNTIGVPVGGWAAIRFVADNPGIWFVAGVWFMHCHIDVHQSWGLGTVLIVKNGNGHLETLPHPPADLPRC >Manes.01G152000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34008323:34012707:1 gene:Manes.01G152000.v8.1 transcript:Manes.01G152000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVNISSSPLMSRSCPLPSLPSRSVTARTQFKGWCRGLRVKCMSSGANQDAESESETTTSSSPPYPSLSSSSISTYNWCAALGGTGFLETVYLTYLKLTDSDAFCPLGGGSCGDVLNSDYAIVFGVPLPVIGIVAYGFVAALGLQLPRKNPFGIGESNGRLILLATATSMATASGYFLYILSTKLSGASCSYCLMSAFLSFSLFFITLKDFGLQEIQKVLGLQLSVAFLVIAALSTSYGTSAPASSSLAEINLPYFTSEITTPSSPFAVSLARHLHSIGAKMYGAFWCSHCLEQKQMFGKEASDILDYVECFPDGYRKGTKIAKVCADAKIEGFPTWVINDQVLSGEQELSELAQLSGFKYSESSQPT >Manes.01G152000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34008323:34012708:1 gene:Manes.01G152000.v8.1 transcript:Manes.01G152000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVNISSSPLMSRSCPLPSLPSRSVTARTQFKKGWCRGLRVKCMSSGANQDAESESETTTSSSPPYPSLSSSSISTYNWCAALGGTGFLETVYLTYLKLTDSDAFCPLGGGSCGDVLNSDYAIVFGVPLPVIGIVAYGFVAALGLQLPRKNPFGIGESNGRLILLATATSMATASGYFLYILSTKLSGASCSYCLMSAFLSFSLFFITLKDFGLQEIQKVLGLQLSVAFLVIAALSTSYGTSAPASSSLAEINLPYFTSEITTPSSPFAVSLARHLHSIGAKMYGAFWCSHCLEQKQMFGKEASDILDYVECFPDGYRKGTKIAKVCADAKIEGFPTWVINDQVSKVVMHLCFSIFSSFFTSDII >Manes.01G152000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34008323:34012707:1 gene:Manes.01G152000.v8.1 transcript:Manes.01G152000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVNISSSPLMSRSCPLPSLPSRSVTARTQFKKGWCRGLRVKCMSSGANQDAESESETTTSSSPPYPSLSSSSISTYNWCAALGGTGFLETVYLTYLKLTDSDAFCPLGGGSCGDVLNSDYAIVFGVPLPVIGIVAYGFVAALGLQLPRKNPFGIGESNGRLILLATATSMATASGYFLYILSTKLSGASCSYCLMSAFLSFSLFFITLKDFGLQEIQKVLGLQLSVAFLVIAALSTSYGTSAPASSSLAEINLPYFTSEITTPSSPFAVSLARHLHSIGAKMYGAFWCSHCLEQKQMFGKEASDILDYVECFPDGYRKGTKIAKVCADAKIEGFPTWVINDQVLSGEQELSELAQLSGFKYSESSQPT >Manes.05G039801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3249288:3253487:-1 gene:Manes.05G039801.v8.1 transcript:Manes.05G039801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDATRAELGLLVLYLNKAESRDKICRAIQYGSKFISNGQPGTAQNVDKSTSLARKVFRLLKFVNDLHALISPVPQGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERAELIGRISLYCWMGSSVCTTLVEIGEIGRLSASMKKLEKELKNGDKYQNEQYRAKLQKSNERSLALVKAAMDFVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSRPKAKTT >Manes.15G020700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1688398:1688653:1 gene:Manes.15G020700.v8.1 transcript:Manes.15G020700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSKRAVKKPIRQPNAVECIIDRKLRFGEAGCSACKASYSTSINPLTEPIDIYSEWIDECVKLNES >Manes.01G259701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41596116:41597696:-1 gene:Manes.01G259701.v8.1 transcript:Manes.01G259701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLVAGNCGRGKGSEDGFSFELGSNAYYSKALFASSFLRTVRGYRTGTGSRCRVIAKVKKGKKHDYPWPDDIDPNINQKVPEEVKAKMEFLKNAEAKLSKGEPLDKELVEEAEKAKKELLEVLKSSGLEIVGVAKRNVATPPPALREKIEIVNKEIPEEIERSVNAAGLSNKVEELKSEITKGSSSRNVEKIEAEIKEQILSALDAMKLKEKFENLRVELASSSETYKDYVGAEDGSL >Manes.11G099800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:22266381:22267934:1 gene:Manes.11G099800.v8.1 transcript:Manes.11G099800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDNELIDYDFDDDDYLPCDDFDATSNSDESLPELVDDQTGEERKYAILKESDIRQRQEKDITEVSEVLSIPRNAASILLRHCGWTVSEALDRWFANEEEVCKSAGLIEQDLKIVVASCEVGDLTCHICYESDSPAKFSSAACGHPFCNSCWSKYLEVSIDDGACCLILRCPDPSCGVVVDQDLINSFKELPEQYKAKYARFLLRSYVEECGKRRIKWCPGPGCENAVDFSPGHCENFDVLCDCSHEFCGNCPADEAHSPVDCETVAEWSKKNSNECCTVNWIAANTKPCPKCKSPIEKNQGCMHMTCRAPCNFEFCWLCLGKWRTSGYFHSCNSYEKAKSRLYAEDNHRKEMAEENPWKRYIHYYERWTANQSSRKRALADLDEAKTVQMVKLCDVYHKSISELKGIEEAWLQIVECRRVLRWSYVYGYYLSEKEETKKNIHLFEYLQGQAEIGLERLHRCAELELIPFIPYYRDRPTPKEFHDYYLKLINLTTVTKNYFQNLVRFFQNGLCAV >Manes.07G039300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4236275:4237002:-1 gene:Manes.07G039300.v8.1 transcript:Manes.07G039300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQSKGRRKLDIVKITKESNLLVTFSKRRYGVFKKASELATLCGAEIAIIVFSPSMKVFSFGHPSVETVIDRFFSGNSTQTSGVLQLAKIHRNARVRDLNLQLTQVTTQLDEEKKRGEELDQGNKAGDGYRWWESPVEELDLPKLEHLKASFEMLRENVTKRVEELLIQTTNHTQFYNPNSAPVNEALPTDPNNDVFDESLVYNLGFQNGNGFF >Manes.10G071600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11537500:11540243:-1 gene:Manes.10G071600.v8.1 transcript:Manes.10G071600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFMSIASIMIYICLSLSSLLSYLFIKKKTRASKPRAKLPPGPMGWPYVGETLKLYSQDPNVFFATKQLRYGEIFKTHILGCPCVMLASPEAARFMLVTHAHLFKPTYPKSKERLIGPSALFFHQGEYHTQLRKLVKGSLSPETVRKLIPDIESIAMSALESWAHGHTINTFHEMKKLSFQVGILSIFGVLDSNYREKLNENYHIMNKGYNCFPTKIPGTAYQKALLARKRLNQIVGEIICERKEKKMAEKDLLGRLLKFKDENGQILTEDQIADNIIGVLFAAHDTTAAALTWILKYLHENRTLLEAVKAEQMAIREANIRGNKSLTWTQTRNMPLTFRVVLESLRMASIIAFVYREAIIDVQYKGYLIPKGWKVMPLLRNIHHNSEFFPHPHIFDPSRFEVGSSGISRWDSIWAIPSASTGTTSQILARSNQPVGRLELD >Manes.10G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11537500:11540243:-1 gene:Manes.10G071600.v8.1 transcript:Manes.10G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFMSIASIMIYICLSLSSLLSYLFIKKKTRASKPRAKLPPGPMGWPYVGETLKLYSQDPNVFFATKQLRYGEIFKTHILGCPCVMLASPEAARFMLVTHAHLFKPTYPKSKERLIGPSALFFHQGEYHTQLRKLVKGSLSPETVRKLIPDIESIAMSALESWAHGHTINTFHEMKKLSFQVGILSIFGVLDSNYREKLNENYHIMNKGYNCFPTKIPGTAYQKALLARKRLNQIVGEIICERKEKKMAEKDLLGRLLKFKDENGQILTEDQIADNIIGVLFAAHDTTAAALTWILKYLHENRTLLEAVKAEQMAIREANIRGNKSLTWTQTRNMPLTFRLQVVLESLRMASIIAFVYREAIIDVQYKGYLIPKGWKVMPLLRNIHHNSEFFPHPHIFDPSRFEVSPKPNTFMPFGNGSHACPGNELAKLEMLSLIHHLITKFRWEVVGSVDGIQYGPFPVPQQGLPARFWPEATSL >Manes.10G071600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11537500:11540243:-1 gene:Manes.10G071600.v8.1 transcript:Manes.10G071600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFMSIASIMIYICLSLSSLLSYLFIKKKTRASKPRAKLPPGPMGWPYVGETLKLYSQDPNVFFATKQLRYGEIFKTHILGCPCVMLASPEAARFMLVTHAHLFKPTYPKSKERLIGPSALFFHQGEYHTQLRKLVKGSLSPETVRKLIPDIESIAMSALESWAHGHTINTFHEMKKLSFQVGILSIFGVLDSNYREKLNENYHIMNKGYNCFPTKIPGTAYQKALLARKRLNQIVGEIICERKEKKMAEKDLLGRLLKFKDENGQILTEDQIADNIIGVLFAAHDTTAAALTWILKYLHENRTLLEAVKAEQMAIREANIRGNKSLTWTQTRNMPLTFRLQVVLESLRMASIIAFVYREAIIDVQYKGYLIPKGWKVMPLLRNIHHNSEFFPHPHIFDPSRFEVGSSGISRWDSIWAIPSASTGTTSQILARSNQPVGRLELD >Manes.10G071600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11537500:11540243:-1 gene:Manes.10G071600.v8.1 transcript:Manes.10G071600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFMSIASIMIYICLSLSSLLSYLFIKKKTRASKPRAKLPPGPMGWPYVGETLKLYSQDPNVFFATKQLRYGEIFKTHILGCPCVMLASPEAARFMLVTHAHLFKPTYPKSKERLIGPSALFFHQGEYHTQLRKLVKGSLSPETVRKLIPDIESIAMSALESWAHGHTINTFHEMKKLSFQVGILSIFGVLDSNYREKLNENYHIMNKGYNCFPTKIPGTAYQKALLARKRLNQIVGEIICERKEKKMAEKDLLGRLLKFKDENGQILTEDQIADNIIGVLFAAHDTTAAALTWILKYLHENRTLLEAVKAEQMAIREANIRGNKSLTWTQTRNMPLTFRVVLESLRMASIIAFVYREAIIDVQYKGYLIPKGWKVMPLLRNIHHNSEFFPHPHIFDPSRFEVSPKPNTFMPFGNGSHACPGNELAKLEMLSLIHHLITKFRWEVVGSVDGIQYGPFPVPQQGLPARFWPEATSL >Manes.10G071600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11537500:11540265:-1 gene:Manes.10G071600.v8.1 transcript:Manes.10G071600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPEAARFMLVTHAHLFKPTYPKSKERLIGPSALFFHQGEYHTQLRKLVKGSLSPETVRKLIPDIESIAMSALESWAHGHTINTFHEMKKLSFQVGILSIFGVLDSNYREKLNENYHIMNKGYNCFPTKIPGTAYQKALLARKRLNQIVGEIICERKEKKMAEKDLLGRLLKFKDENGQILTEDQIADNIIGVLFAAHDTTAAALTWILKYLHENRTLLEAVKAEQMAIREANIRGNKSLTWTQTRNMPLTFRVVLESLRMASIIAFVYREAIIDVQYKGYLIPKGWKVMPLLRNIHHNSEFFPHPHIFDPSRFEVSPKPNTFMPFGNGSHACPGNELAKLEMLSLIHHLITKFRWEVVGSVDGIQYGPFPVPQQGLPARFWPEATSL >Manes.05G206250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33298939:33299646:1 gene:Manes.05G206250.v8.1 transcript:Manes.05G206250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIITWNCHGAASSTFRNAFQEYKRLYHPNIFCLVEPRISGEAADEVCGLLGYENWIRVEAVGFSGGIWLLWSEDSFRIELVVTDPQFITVAINFSTGEKWLFSVVYASSDIYLRRKIWQSLSGENSLSISKWIVAGDFNSIVDSSEQSGYSSSNPPGAQDFSDWIFKHSLIDLGFVGSGFTWQRSGENVPYQAARLDRCFVSTDWRLDYVDAIVEHPPKLHSDHVPIVIKCKGF >Manes.05G115333.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11711856:11714607:-1 gene:Manes.05G115333.v8.1 transcript:Manes.05G115333.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQTPGATWKKDKEVRWPSKHNPKKAGRRDSMKYYCFHEDHEHAIEECRQLKDEIERLIRDDTLRSSLGKTEKKGDSSLSEPVGVIHVIIGGPNDGKGKNKRVTEDVLSIEQESWTKQEIKFGPADKVIGFFNNDPLVISVCLNWYGWVLVNIGSFVNLITVDVFNKLGDKVVVVLGTINLPLVLGDEKQKRELYEEFVVVDIQLAFNVIFESARN >Manes.03G000300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:92073:99025:-1 gene:Manes.03G000300.v8.1 transcript:Manes.03G000300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAHDYAAAASAMAFAQQQQQQQRQTGNIQPQQQQFGFHPQHQQFSPPPFMSPHPSMQQFPYPHQLQQQQQPQLHPHPPPHPHLLHQQHPPPPFPPHLPPHLYSSPFHGHYDSAPPPAPPPSDAELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHNYYRYKLWLSTHPPGGPFNPPFTPSSMPMMHPPLNPMMNPVVGPSASMVGASMHQPPFPPFYDPQQHHHQHSQAFGAHGRLDFDQPSKSFKGLSGPLPPDVALELSNVLNSLNGTKESIKGAKTWFMQRSPFSPALAEALRDRVFALDDSERQLHIIYLANDILFDSLQRRVNPHELDNEALAFKPVLGPMLARVYHNPQNKDENQPRLQKILQFWASKEVYDQETIYALEGEMVGGPPANSFPGPPKELTAASTDSLTATGFTQQPSNFNASQWQPDRQSVPEHDHPDKPAALAIPPSLGNQQFMPNSVPAGTFSGSLPINSSVQPANQQLAQHSLQTPPPIVGEKLPPYPLFPPGLIPGMVKKMQIGSGVPYSPLSPLDIPTVIPPSNVSQSEILERVSKFFKDIGEINPFEGSIKSHLKDEDDEYERDPPIRKGGACIPPPPNLHVDPETGAYADGSVERKPGSTGSGRLGLGATADPNEASQYDDVYTSYRKQRSTNYHSSMSARAATR >Manes.03G000300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:92145:99025:-1 gene:Manes.03G000300.v8.1 transcript:Manes.03G000300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSQIEAFEDLKIGLMDRQAHDYAAAASAMAFAQQQQQQQRQTGNIQPQQQQFGFHPQHQQFSPPPFMSPHPSMQQFPYPHQLQQQQQPQLHPHPPPHPHLLHQQHPPPPFPPHLPPHLYSSPFHGHYDSAPPPAPPPSDAELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHNYYRYKLWLSTHPPGGPFNPPFTPSSMPMMHPPLNPMMNPVVGPSASMVGASMHQPPFPPFYDPQQHHHQHSQAFGAHGRLDFDQPSKSFKGLSGPLPPDVALELSNVLNSLNGTKESIKGAKTWFMQRSPFSPALAEALRDRVFALDDSERQLHIIYLANDILFDSLQRRVNPHELDNEALAFKPVLGPMLARVYHNPQNKDENQPRLQKILQFWASKEVYDQETIYALEGEMVGGPPANSFPGPPKELTAASTDSLTATGFTQQPSNFNASQWQPDRQSVPEHDHPDKPAALAIPPSLGNQQFMPNSVPAGTFSGSLPINSSVQPANQQLAQHSLQTPPPIVGEKLPPYPLFPPGLIPGMVKKMQIGSGVPYSPLSPLDIPTVIPPSNVSQSEILERVSKFFKDIGEINPFEGSIKSHLKDEDDEYERDPPIRKGGACIPPPPNLHVDPETGAYADGSVERKPGSTGSGRLGLGATADPNEASQYDDVYTSYRKQRSTNYHSSMSARAATR >Manes.03G000300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:92204:99025:-1 gene:Manes.03G000300.v8.1 transcript:Manes.03G000300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAHDYAAAASAMAFAQQQQQQQRQTGNIQPQQQQFGFHPQHQQFSPPPFMSPHPSMQQFPYPHQLQQQQQPQLHPHPPPHPHLLHQQHPPPPFPPHLPPHLYSSPFHGHYDSAPPPAPPPSDAELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHNYYRYKLWLSTHPPGGPFNPPFTPSSMPMMHPPLNPMMNPVVGPSASMVGASMHQPPFPPFYDPQQHHHQHSQAFGAHGRLDFDQPSKSFKGLSGPLPPDVALELSNVLNSLNGTKESIKGAKTWFMQRSPFSPALAEALRDRVFALDDSERQLHIIYLANDILFDSLQRRVNPHELDNEALAFKPVLGPMLARVYHNPQNKDENQPRLQKILQFWASKEVYDQETIYALEGEMVGGPPANSFPGPPKELTAASTDSLTATGFTQQPSNFNASQWQPDRQSVPEHDHPDKPAALAIPPSLGNQQFMPNSVPAGTFSGSLPINSSVQPANQQLAQHSLQTPPPIVGEKLPPYPLFPPGLIPGMVKKMQIGSGVPYSPLSPLDIPTVIPPSNVSQSEILERVSKFFKDIGEINPFEGSIKSHLKDEDDEYERDPPIRKGGACIPPPPNLHVDPETGAYADGSVERKPGSTGSGRLGLGATADPNEASQYDDVYTSYRKQRSTNYHSSMSARAATR >Manes.03G000300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:92204:99025:-1 gene:Manes.03G000300.v8.1 transcript:Manes.03G000300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSQIEAFEDLKIGLMDRQAHDYAAAASAMAFAQQQQQQQRQTGNIQPQQQQFGFHPQHQQFSPPPFMSPHPSMQQFPYPHQLQQQQQPQLHPHPPPHPHLLHQQHPPPPFPPHLPPHLYSSPFHGHYDSAPPPAPPPSDAELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHNYYRYKLWLSTHPPGGPFNPPFTPSSMPMMHPPLNPMMNPVVGPSASMVGASMHQPPFPPFYDPQQHHHQHSQAFGAHGRLDFDQPSKSFKGLSGPLPPDVALELSNVLNSLNGTKESIKGAKTWFMQRSPFSPALAEALRDRVFALDDSERQLHIIYLANDILFDSLQRRVNPHELDNEALAFKPVLGPMLARVYHNPQNKDENQPRLQKILQFWASKEVYDQETIYALEGEMVGGPPANSFPGPPKELTAASTDSLTATGFTQQPSNFNASQWQPDRQSVPEHDHPDKPAALAIPPSLGNQQFMPNSVPAGTFSGSLPINSSVQPANQQLAQHSLQTPPPIVGEKLPPYPLFPPGLIPGMVKKMQIGSGVPYSPLSPLDIPTVIPPSNVSQSEILERVSKFFKDIGEINPFEGSIKSHLKDEDDEYERDPPIRKGGACIPPPPNLHVDPETGAYADGSVERKPGSTGSGRLGLGATADPNEASQYDDVYTSYRKQRSTNYHSSMSARAATR >Manes.03G000300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:92073:99025:-1 gene:Manes.03G000300.v8.1 transcript:Manes.03G000300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAHDYAAAASAMAFAQQQQQQQRQTGNIQPQQQQFGFHPQHQQFSPPPFMSPHPSMQQFPYPHQLQQQQQPQLHPHPPPHPHLLHQQHPPPPFPPHLPPHLYSSPFHGHYDSAPPPAPPPSDAELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHNYYRYKLWLSTHPPGGPFNPPFTPSSMPMMHPPLNPMMNPVVGPSASMVGASMHQPPFPPFYDPQQHHHQHSQAFGAHGRLDFDQPSKSFKGLSGPLPPDVALELSNVLNSLNGTKESIKGAKTWFMQRSPFSPALAEALRDRVFALDDSERQLHIIYLANDILFDSLQRRVNPHELDNEALAFKPVLGPMLARVYHNPQNKDENQPRLQKILQFWASKEVYDQETIYALEGEMVGGPPANSFPGPPKELTAASTDSLTATGFTQQPSNFNASQWQPDRQSVPEHDHPDKPAALAIPPSLGNQQFMPNSVPAGTFSGSLPINSSVQPANQQLAQHSLQTPPPIVGEKLPPYPLFPPGLIPGMVKKMQIGSGVPYSPLSPLDIPTVIPPSNVSQSEILERVSKFFKDIGEINPFEGSIKSHLKDEDDEYERDPPIRKGGACIPPPPNLHVDPETGAYADGSVERKPGSTGSGRLGLGATADPNEASQYDDVYTSYRKQRSTNYHSSMSARAATR >Manes.03G000300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:92145:99025:-1 gene:Manes.03G000300.v8.1 transcript:Manes.03G000300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAHDYAAAASAMAFAQQQQQQQRQTGNIQPQQQQFGFHPQHQQFSPPPFMSPHPSMQQFPYPHQLQQQQQPQLHPHPPPHPHLLHQQHPPPPFPPHLPPHLYSSPFHGHYDSAPPPAPPPSDAELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHNYYRYKLWLSTHPPGGPFNPPFTPSSMPMMHPPLNPMMNPVVGPSASMVGASMHQPPFPPFYDPQQHHHQHSQAFGAHGRLDFDQPSKSFKGLSGPLPPDVALELSNVLNSLNGTKESIKGAKTWFMQRSPFSPALAEALRDRVFALDDSERQLHIIYLANDILFDSLQRRVNPHELDNEALAFKPVLGPMLARVYHNPQNKDENQPRLQKILQFWASKEVYDQETIYALEGEMVGGPPANSFPGPPKELTAASTDSLTATGFTQQPSNFNASQWQPDRQSVPEHDHPDKPAALAIPPSLGNQQFMPNSVPAGTFSGSLPINSSVQPANQQLAQHSLQTPPPIVGEKLPPYPLFPPGLIPGMVKKMQIGSGVPYSPLSPLDIPTVIPPSNVSQSEILERVSKFFKDIGEINPFEGSIKSHLKDEDDEYERDPPIRKGGACIPPPPNLHVDPETGAYADGSVERKPGSTGSGRLGLGATADPNEASQYDDVYTSYRKQRSTNYHSSMSARAATR >Manes.03G000300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:92204:99025:-1 gene:Manes.03G000300.v8.1 transcript:Manes.03G000300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAHDYAAAASAMAFAQQQQQQQRQTGNIQPQQQQFGFHPQHQQFSPPPFMSPHPSMQQFPYPHQLQQQQQPQLHPHPPPHPHLLHQQHPPPPFPPHLPPHLYSSPFHGHYDSAPPPAPPPSDAELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHNYYRYKLWLSTHPPGGPFNPPFTPSSMPMMHPPLNPMMNPVVGPSASMVGASMHQPPFPPFYDPQQHHHQHSQAFGAHGRLDFDQPSKSFKGLSGPLPPDVALELSNVLNSLNGTKESIKGAKTWFMQRSPFSPALAEALRDRVFALDDSERQLHIIYLANDILFDSLQRRVNPHELDNEALAFKPVLGPMLARVYHNPQNKDENQPRLQKILQFWASKEVYDQETIYALEGEMVGGPPANSFPGPPKELTAASTDSLTATGFTQQPSNFNASQWQPDRQSVPEHDHPDKPAALAIPPSLGNQQFMPNSVPAGTFSGSLPINSSVQPANQQLAQHSLQTPPPIVGEKLPPYPLFPPGLIPGMVKKMQIGSGVPYSPLSPLDIPTVIPPSNVSQSEILERVSKFFKDIGEINPFEGSIKSHLKDEDDEYERDPPIRKGGACIPPPPNLHVDPETGAYADGSVERKPGSTGSGRLGLGATADPNEASQYDDVYTSYRKQRSTNYHSSMSARAATR >Manes.16G043120.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6396366:6415040:-1 gene:Manes.16G043120.v8.1 transcript:Manes.16G043120.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRTSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVENAFAEVLTQIYRIVSKKAMEAGDEGATSAVPSKGEKIDVGKDVSAMKRVGCCSS >Manes.04G060101.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:20777418:20777957:1 gene:Manes.04G060101.v8.1 transcript:Manes.04G060101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIILVITGGPNDGKGKNKHVAEYILSVEQEPWAKQEVRFRPTNKAIGFFQNDPLVIKILLSMYEVRRKLVNTGSFINLLILNVFNKLGLDKSNIVKVFYPLVGLRDKNMAVLGNINLPLVLGNEKHKWDLYVEFMVVDILFAYNVILGHSVLNYHGIVINVDAMYLKLPASGRLVVV >Manes.04G110563.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31509256:31512834:-1 gene:Manes.04G110563.v8.1 transcript:Manes.04G110563.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCGISLHSPSLIIYLQVILLLSSNIEWWKQANASGNETDKLALLKFKEAISASDPNQLLDSWNDSLPFCNWFGITCSRRHQRVKSLDLEGQNLFGTISPYIGNLSFLRDINLQNNSFHGEIPQEVGRLFRLEELFLNNNTLAGEIPINLTRCSKLMFLDLGWNYHVSGKIPAELGSLTKLQNLSLVANNLIGEIPASLGNLSSLTFFRVSYNRLLGNIPDDLGKLTSLTVFAVSANQLSGTIPLPLFNISSIRMFYVIQNQLHGNLPENLGITLPNLIFFSVGNNNFSGTIPNSLFNASHLEIVNLGWSNFVGQVPMNLGNLKNLWWLRLHGNALGSNSTNDLAFLDSLTNCTKMKILDLGRNNFGGVLPNSVANLSTELGLFYIGENQITGTIPAGLENLIKLTGMALDGNLLSGVFPNYFGKFQKLQFLSLGGNRLSGEIPSSIGNLTHLLKLCLPDNNFQGSIPSSIGNCQNLYFLEISQNHLNGVIPPEISLVRSFTQLLDLSQNSLTGVLPFEVGKLSNIGALDFSENNLSGQIPATIGDCLSLEFLYLQGNSFQGTIPPSLASLRGLQYLDLSRNKLTGRIPKDLQDIPYLLFLNLSFNDLEGEVPTGGVFRNASAVSLIGNDKLCGGVSELNLPKCPNKRGGLFFHKLEIILTVMAVCILLTLAFLLVYWKRNPKQKSSSSSSMMKQFLKVSYGDICRATNGFSPENLIGSGSFGSVYKGFLDQVERPVAVKVLKLEHKGASKSFISECIVLRNIRHRNLVKMLTCCSSMDYKLNDFKALILEFMGNGSLEKWLHPEIEGKNQPWNLNLLQRLNVAVDVASALQYLHEQCENPIIHCDLKPSNILFDDDMVAHVSDFGLARLVSTSKSSSQSLSSTTGIKGTIGYAPPEYGMGCPASREGDVYSFGILVLEMFTGRRPTDEIFKDGLNLHSFVKTALPESLMQIIDPNIITATEEERELSNSNGNLSEMSAKARSCVVSVLEIGIGCSAESPKGRMSMEDVSRQLDLIRKTFLGI >Manes.10G054501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6768496:6769075:1 gene:Manes.10G054501.v8.1 transcript:Manes.10G054501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGISDHSPLIMKILGVINRRNVPFRFFNMWVSHPKYDGIVGREWQLKELKWELKKLNRREFFDISRRVDNYRQMIEQLQESLQSDPMNLVFLDEERAVMSYFRNLLEHEEEFYKQKSKLIWVQYEDFNTKFFHNSMKIRWVRNSIPNLVVEERAPGVSKFQNFALSSKNLQIKVKTH >Manes.11G048200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:5175155:5179104:1 gene:Manes.11G048200.v8.1 transcript:Manes.11G048200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAEHALPPSKKRAAGREISRDNPGLDDDEDSAEQETGTFKRASDEVLASRRILKVRRNQPSSTPSSNPFAGIQLVPPTKPTTAPAVTTTEIVTASEKVSDGKTDACEETEKGKDETGNKSESKVEEPVAETAAKEEIVEDKENNNVVNEATVPKVDSEKPAEGDKSENEKAAVGEEIENKKSAESDKTEKEKDNGKSENEEKENGSEKVDPSSESTHLSSFQQLSSSQNAFTGLAGTGFSTSTFTFGSVPKDGSALGTSTGSLFGQKNDQPSFGFGLSNNGSSSIFNTTGPPIVSKNEGTGFPSMQEVPVETGEENEKVVFSADSVLFEYFNGAWKERGKGELKVNVSTAGTERARLLMRARGNYRLILNASLYPDMKLTNMDKRGVTFACMNSTGENKEGLSTFALKFKDGSIVEEFRAAVAANKGKSAMNLKTPENSPKASDE >Manes.11G165100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32965830:32966321:-1 gene:Manes.11G165100.v8.1 transcript:Manes.11G165100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTKKKFLSKTVLTAANSGCGCRRSKPSDVHEPSAVPRSAVSHQTDPPTCISSSSTRHKSGGNEESCTSTITTSVSDENDPKDSKIINSIAVVKDSNDPYQDFRHSMLQMIFEKEIYSKDDLQELLNCFLELNSPYHHGLIIQAFTEIWNDVISKKLNKSQN >Manes.02G073000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5608423:5609824:-1 gene:Manes.02G073000.v8.1 transcript:Manes.02G073000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLPSCAIRIKPASSKLISTRQPLQVRAQSFKDEGISSDMVEANLRVLRERIQEVKTKERFERCCRCEYGWNYSTVYNHKHKKQVGLSQVFDLVVLVFRTIGFTCVSGTLILLLVSLIVHLNQ >Manes.11G145650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31001364:31001679:-1 gene:Manes.11G145650.v8.1 transcript:Manes.11G145650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSHSFGLSPARSDLKSQNPLKKNQHFVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFFPFL >Manes.10G026200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2583659:2589355:1 gene:Manes.10G026200.v8.1 transcript:Manes.10G026200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTSVDFFKTPLVLKITAIVLISITFFYLGRSWSSNGYQQLIFFSSAPQKSVAISPNFNKSFNITALIAQNQSKTLPDKTPQPEMDDSSRTDKNRTFGIVDSDGRMSDEFEVGEFDPEVVENWWNETGVESGEKDVRVRVKRFELCPESMREYIPCLDNVEAIKRLNSTERGENYERHCPEEGRGLNCLVPQPKGYRQPIPWPRSRDEVWYSNVPHTRLVEDKGGQNWISKEKDKFKFPGGGTQFIHGADQYLDQINKMVPDIAFGSHTRVVLDVGCGVASFGAYLLSRNVLTMSIAPKDVHENQIQFALERGVPAMVAAFSTHRLLYPSQAFEVIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEQILEEQWEEMLDLTTRLCWTLVKKEGYIAIWQKPFNNSCYLSREAGIKPPLCDPNDDPDNVWYVDLKACITRIPEDGYGANLVKWPDRLHITPGRLKSIQMDAYMSREELFKAESKYWSEIIGGYVRAWHWKKFKLRNVMDMRAVFGGFATALIDQGFDCWVLNVVPVTRPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNISTIMLEMDRILRPGGRVYIRDSLDVMDELQETAKAMSWRVALHDTSEGPHASYRILICDKGLSRP >Manes.10G026200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2583664:2590464:1 gene:Manes.10G026200.v8.1 transcript:Manes.10G026200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTSVDFFKTPLVLKITAIVLISITFFYLGRSWSSNGYQQLIFFSSAPQKSVAISPNFNKSFNITALIAQNQSKTLPDKTPQPEMDDSSRTDKNRTFGIVDSDGRMSDEFEVGEFDPEVVENWWNETGVESGEKDVRVRVKRFELCPESMREYIPCLDNVEAIKRLNSTERGENYERHCPEEGRGLNCLVPQPKGYRQPIPWPRSRDEVWYSNVPHTRLVEDKGGQNWISKEKDKFKFPGGGTQFIHGADQYLDQINKMVPDIAFGSHTRVVLDVGCGVASFGAYLLSRNVLTMSIAPKDVHENQIQFALERGVPAMVAAFSTHRLLYPSQAFEVIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEQILEEQWEEMLDLTTRLCWTLVKKEGYIAIWQKPFNNSCYLSREAGIKPPLCDPNDDPDNVWYVDLKACITRIPEDGYGANLVKWPDRLHITPGRLKSIQMDAYMSREELFKAESKYWSEIIGGYVRAWHWKKFKLRNVMDMRAVFGGFATALIDQGFDCWVLNVVPVTRPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNISTIMLEMDRILRPGGRVYIRDSLDVMDELQETAKAMSWRVALHDTSEGPHASYRILICDKGLSRP >Manes.10G026200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2583663:2590659:1 gene:Manes.10G026200.v8.1 transcript:Manes.10G026200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTSVDFFKTPLVLKITAIVLISITFFYLGRSWSSNGYQQLIFFSSAPQKSVAISPNFNKSFNITALIAQNQSKTLPDKTPQPEMDDSSRTDKNRTFGIVDSDGRMSDEFEVGEFDPEVVENWWNETGVESGEKDVRVRVKRFELCPESMREYIPCLDNVEAIKRLNSTERGENYERHCPEEGRGLNCLVPQPKGYRQPIPWPRSRDEVWYSNVPHTRLVEDKGGQNWISKEKDKFKFPGGGTQFIHGADQYLDQINKMVPDIAFGSHTRVVLDVGCGVASFGAYLLSRNVLTMSIAPKDVHENQIQFALERGVPAMVAAFSTHRLLYPSQAFEVIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEQILEEQWEEMLDLTTRLCWTLVKKEGYIAIWQKPFNNSCYLSREAGIKPPLCDPNDDPDNVWYVDLKACITRIPEDGYGANLVKWPDRLHITPGRLKSIQMDAYMSREELFKAESKYWSEIIGGYVRAWHWKKFKLRNVMDMRAVFGGFATALIDQGFDCWVLNVVPVTRPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNISTIMLEMDRILRPGGRVYIRDSLDVMDELQETAKAMSWRVALHDTSEGPHASYRILICDKGLSRP >Manes.05G011700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:846773:848833:1 gene:Manes.05G011700.v8.1 transcript:Manes.05G011700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFGDSNTDTGAYFSGLGMIFGAPNGRTYFNRPTGRLCDGRLVIDFLCENLNSEYLTPYLEPLGANFRYGANFAFSGAATSPRYKPFSLDVQVLQFLHFRNRSPELLSLGYKDLVGEEEFKDALYIMDIGQNDLAGSFEYLSYKEVIKKIPSIVDEIDYAIQGIYQHGGRNFWVHNTGPLGCLPRVLSITEKKENDFDEHGCLKPLNEAAKEFNKQMKAVCEELRSELEDATIVYVDIYSIKYDLFANASTYGFENPLMACCGYGGAPYNYNKNITCGARGHNVCEMGSKYISWDGVHYTEAANAIVASKILSTNFSAPQIKFNSFCNK >Manes.05G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:846773:848833:1 gene:Manes.05G011700.v8.1 transcript:Manes.05G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRANGFPLLLAILCSFLPFFVQSQCNRNPVMFTFGDSNTDTGAYFSGLGMIFGAPNGRTYFNRPTGRLCDGRLVIDFLCENLNSEYLTPYLEPLGANFRYGANFAFSGAATSPRYKPFSLDVQVLQFLHFRNRSPELLSLGYKDLVGEEEFKDALYIMDIGQNDLAGSFEYLSYKEVIKKIPSIVDEIDYAIQGIYQHGGRNFWVHNTGPLGCLPRVLSITEKKENDFDEHGCLKPLNEAAKEFNKQMKAVCEELRSELEDATIVYVDIYSIKYDLFANASTYGFENPLMACCGYGGAPYNYNKNITCGARGHNVCEMGSKYISWDGVHYTEAANAIVASKILSTNFSAPQIKFNSFCNK >Manes.03G035000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2948243:2950827:-1 gene:Manes.03G035000.v8.1 transcript:Manes.03G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRDLVSWNALLSGFHDFETGDQGLRIFDQILVEGFKPNMYTFVSVLRSCASLLDVCFGKQVHTHIIKNSLDGNDFVGTALIDMYAKSRCLEGADVAFNRLTNKDLFTWTVIIAGFAQNGQEEKAVKCLVQMLREDIKPNEFTLASCLSGCSRVAALGNGQQLHSVAIKSGHFGDVFVASALVDMYGKCGCIEDAEAIFKGLFSRDTVSWNTIISGYSQHGQGEKALEAFRMMLDEGIVPDEITYLGILAACSYMGLVEEGKKLFNLMCKDYGITPSIEHHACMVDILGRAGKFSEVDVYIEEMKLSQYSLVWETVLGSCKLHGNVEVGKRAAERLFELEPKMASSYILLSNIFAAKGMWDDVRSTRALMSTQGVKKEPGCSWVEVDGQVHVFTSQDGSHPKTREIYAKLEELGQKLASRGYIPKTENVLHNVSNKEKVENLYYHSERLALAFAFISTNPVKPIRIFKNLRICGDCHDFMKHVTDITNSEIFVRDIKRFHHFRRGICSCQDNW >Manes.03G106400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22997234:23000449:1 gene:Manes.03G106400.v8.1 transcript:Manes.03G106400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFVVELEGRSYRCKYCGTHFALPVDLVSRSFHCRRGKAYLFNNVVNITTGASEERMMLSGMHTVADIFCCCCGQIIGWKYEAAHEKSQKYKVEGGSLTRWTCRRESL >Manes.03G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22997234:23000449:1 gene:Manes.03G106400.v8.1 transcript:Manes.03G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFVVELEGRSYRCKYCGTHFALPVDLVSRSFHCRRGKAYLFNNVVNITTGASEERMMLSGMHTVADIFCCCCGQIIGWKYEAAHEKSQKYKVGKFVLERGRIVDEMDLSTGVFIDAHSGMSDGEDA >Manes.10G084401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21648420:21650859:-1 gene:Manes.10G084401.v8.1 transcript:Manes.10G084401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSLIRLPSPSWTTLITSGLEDIHYCNLNRFRTRFILGFALFMGLSMNQYFNTYDVFSGQPLPNASSSWFKNLMQVIFSSPPTTATIFASLFDLILPRPSDEEGEEKQEEVKKKEGEPPPKQKKPDQKPVETPPVETPSEVRGTTIPEPQTSLPLLEEEVMERPLAEEMKSFIDGNHSARSVLKKLFSQKHLIHLASEGVSGDLDLSNK >Manes.15G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11273589:11276484:1 gene:Manes.15G139200.v8.1 transcript:Manes.15G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNTTSSLPSPSSDPFPAFDNGVANKRKRKPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQEVKKRVYVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRRPQPELQALQPACSSRTASSTSPSSDGNFNIAPLPGLPPKPAEPVFLYSASDRNDASTSSRQEHNLELQLLPSSITRLSQNADDPTNLKLSIGSSGRSEKNESNQLSLEASREKSGGDPTLEVAKLKEFANEQMKLAMAEKSYAEEARQQAKRQLEMAELEFANAKRIRQQAQAELEKAQVLREQATKKISSTIMQITCQACKQQFQAPAVAAQADETSLAMSYMSSATTEGEGE >Manes.12G062100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6204977:6209650:1 gene:Manes.12G062100.v8.1 transcript:Manes.12G062100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSREGTDEFASDELQKSQGPDDGSNVIQQIDDSGVCTSQNEEVTPVTPEKSLQDTNPGAHISQVDKEESISSTILHKVSHTPGISSSSLQSSGQEGRTIVREKVSEDGYHWRKYGQKLVKGNEFVRSYYKCTHPSCQVKKQLERSQDGQIADIIYLGQHDHPKPEHNLPLVTGFVLSVAEERGDVPSSTGTEEDHVPHPLKATSTSQISVGTTNEHVKNALSESDKIKDEVDSDDDPHSKRQKKGNHNVEPTAVDKPTNEPRVVIQTLSEVHIVNDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCRVKKHVERASHDPKVVITSYEGQHDHDIPPSRTVTHNATGPSTYTTTIRSGESGVKSGGSDMIVHNSLDPSGNSEEHLNTESRCRNDASGTDMVIHSGSGDDSKSNELMNDKPVAPGGSDAAIIDVVVDASSVSGCRSNDQHDCDSRNESKVNCAAYSAHTINPGSETNPNEQHRPNAEPVQS >Manes.12G062100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6204977:6209650:1 gene:Manes.12G062100.v8.1 transcript:Manes.12G062100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSREGTDEFASDELQKSQGPDDGSNVIQQIDDSGVCTSQNEEVTPVTPEKSLQDTNPGAHISQVDKEESISSTILHKVSHTPGISSSSLQSSGQEGRTIVREKVSEDGYHWRKYGQKLVKGNEFVRSYYKCTHPSCQVKKQLERSQDGQIADIIYLGQHDHPKPEHNLPLVTGFVLSVAEERGDVPSSTGTEEDHVPHPLKATSTSQISVGTTNEHVKNALSESDKIKDEVDSDDDPHSKRQKKGNHNVEPTAVDKPTNEPRVVIQTLSEVHIVNDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCRVKKHVERASHDPKVVITSYEGQHDHDIPPSRTVTHNATGPSTYTTTIRSGESGVKSGGSDMIVHNSLDPSGNSEEHLNTESRCRNDASGTDMVIHSGSGDDSKSNELMNDKPVAPGGSDAAIIDVVVDASSVSGCRSNDQHDCDSRNESKVNCAAYSAHTINPGSETNPNEQHRPNAEPVQS >Manes.12G062100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6206344:6209650:1 gene:Manes.12G062100.v8.1 transcript:Manes.12G062100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSREGTDEFASDELQKSQGPDDGSNVIQQIDDSGVCTSQNEEVTPVTPEKSLQDTNPGAHISQVDKEESISSTILHKVSHTPGISSSSLQSSGQEGRTIVREKVSEDGYHWRKYGQKLVKGNEFVRSYYKCTHPSCQVKKQLERSQDGQIADIIYLGQHDHPKPEHNLPLVTGFVLSVAEERGDVPSSTGTEEDHVPHPLKATSTSQISVGTTNEHVKNALSESDKIKDEVDSDDDPHSKRQKKGNHNVEPTAVDKPTNEPRVVIQTLSEVHIVNDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCRVKKHVERASHDPKVVITSYEGQHDHDIPPSRTVTHNATGPSTYTTTIRSGESGVKSGGSDMIVHNSLDPSGNSEEHLNTESRCRNDASGTDMVIHSGSGDDSKSNELMNDKPVAPGGSDAAIIDVVVDASSVSGCRSNDQHDCDSRNESKVNCAAYSAHTINPGSETNPNEQHRPNAEPVQS >Manes.12G133100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34018810:34021085:1 gene:Manes.12G133100.v8.1 transcript:Manes.12G133100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLFFITFSITLFVIGLTKATDPPCRTTCGSLQVKYPFGTAYGCGSPRFYPYITCASSGDQLLLTTHTGSYPVISISYAASTITIAPPSMSTCTSMHQSLNFGLDWASPFQLGPSTFILLSCPPPTSSLTMKGSPVCDSSSSYLCASIYTCPAVIGLGLPLFPPTNTCCVYAPANFNGKSELDLHMLKCLGYASVASLEDYPTDPSRWEYGVVLNYRSGAFDDFDVDNKCNTCESSGGVCGYAPPENSFTCLCSGGINTTTDCNGYSHDLGFTWGSVSAIRSWKIWLGILAGLIFIGAT >Manes.16G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29505543:29511616:1 gene:Manes.16G088600.v8.1 transcript:Manes.16G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGADVEAFQAALNRDIGGDASTPQPSDSSVFSHEINQTPNQSFSNWQTTTQGENASGASQQQQEKDQHSSLMGPKHLSSAAENQQVHNDVMQESSQLLLHQKRPQDDVQKAQAEQVPLPNPRTPGMQISERNPMPLSERDKIQNPDTESQYLKVNMVNQQNQQAMGMEQPGNAKNQGKQIPFVLLLPALKPHLDKDREMQLQTLFNKLRKNEIAKEQFVRLMRNIVGDQVLRLAVAKLQSQPGSNQSHLQSQAFARQHNVRTSVGSTASSAGTVQADPSFLSAENNNQKSREVEHQPDSHGIQASQMPSSSAIVNQDRERSSISVPGHSKQEQHMRFQQTAFPVYGSNSATYQPYAGTNANTSGSSMKPQPHDLQMRQISHQSVGATQMGGSTQAINMLSVPKFERQNPVADPNRLQSGPISPYTNKSLLQQSSVPWKAPMTKGQSSGPSSTNYVKQEPFEQAIEQQQKSQLSNSQGLPVTPAEQGKSVPGNLKDESLEKQSSKVGCSAPIGMAPSNSVPPSISTQLDPNVQVGSRIPSVAASAGIPARTPPKKPLIGQKKPLEALGSSSPMSSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGSKEESRVSEASRRVVQEEEERLILQKIPLQKKLAEIMAKCGLKNINNDVERCLSLRVDAEKPRHWTVITSDVRQQIMAMNQKAKEEWEKKQAEAEKLQKVNEPEGDDGVEGDKEKDEGRMKSMKANKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTEATSGSQLSKDVSRKSTSGRNMKDNQEPEKRSPASASRAVRKIGRHQASAPQIRVARTITLKDVIAALEREPQMSKSTLIYRLYERIRSDASTE >Manes.08G004122.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:862485:868156:-1 gene:Manes.08G004122.v8.1 transcript:Manes.08G004122.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGVHFASQRNPAPMSNAFISSSHSVEVSYYQPDAAGPSHDPFLHSSTVGTFCAVPENHAHASSSNYDRQTINGVEGDLFDLTMGNGRGPHKRKSPGVPSSCEGGSTSRYYGAGSSSDPSVPSELRLEKPNLDPQYMVWECITMTPGHRGNLSIGPESSIRNVRSRPALDLEINLSRTHLSNNSSHNSYHAGHPFDHSSSVDFSSQSSSAMTHNWSHTRTSTASGRMLVSDANGYTHETNHFLVGSSIPNASADVRGYHHDFISSRNPVVPQSFHSASAHSARGIRSSYSQRPSPTFRASSSSLRLGHMAPSDDGMPLVAENFSSRQPRLLSTAAWRNSDRNGRSRNSYERYRSLPNEPSLHDRFSSEGFMVVDRSAFYGSRNLFDQHRDMRLDIDNKSYEELLALGERIGSVSTGFDEDLISKCLTETVYSSSGQSEDEGTCVICLEEYKDMDDVGSLKFCGHNYHVSCIKKWLSMKNLCPICKASAVADNMKE >Manes.10G131400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29697387:29705863:-1 gene:Manes.10G131400.v8.1 transcript:Manes.10G131400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEKRKPVALFMAFGTKGDVYPIAAIAAAFAYDKKQYHVVLVTHSAHENLRSHLEDRHVAFLPIKSPPVLSIHTETEESTFSLQKRIITREHRQECYSVVEGIFGNCPSMEGDFIVINFFALEGWSLAEHFRVRCVVASPYVIPYSAPSSFECRFRKELPLLYEYLQEAPTNKVCWKDVIHWMWPLFTESWGSWRSDDLNLSPIPFTDPVTGLPSWHDWPPSPLLLYGFSNEIVECPDYWPSHVHVCGFWFLPIEWQFACNECGQISAFLSPGSTRTKEKVCAAHVKLQCFLGTMVPPVFIGLSSAGSLGFLEHPEAFLKVIQIVLEITDFRFVLFSSGYEPLDEAIQVVATKTLHFDQRQYNEEGVCLFDGRLFCFPSTVPYNWLFRKCLAAVHHGGR >Manes.10G131400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29697387:29705863:-1 gene:Manes.10G131400.v8.1 transcript:Manes.10G131400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEKRKPVALFMAFGTKGDVYPIAAIAAAFAYDKKQYHVVLVTHSAHENLRSHLEDRHVAFLPIKSPPVLSIHTETEESTFSLQKRIITREHRQECYSVVEGIFGNCPSMEGDFIVINFFALEGWSLAEHFRVRCVVASPYVIPYSAPSSFECRFRKELPLLYEYLQEAPTNKVCWKDVIHWMWPLFTESWGSWRSDDLNLSPIPFTDPVTGLPSWHDWPPSPLLLYGFSNEIVECPDYWPSHVHVCGFWFLPIEWQFACNECGQISAFLSPGSTRTKEKVCAAHVKLQCFLGTMVPPVFIGLSSAGSLGFLEHPEAFLKVIQIVLEITDFSTVPYNWLFRKCLAAVHHGGSGSTAAALHAGIPQVICPFMLDQFYWAERMHWLGVAPEPLKRNHLVPDKMDDFRIRVAANVLSRAIADALSPEVKIRAQKIAEMISLEDGVMEAVRVLKQEMNCSN >Manes.10G131400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29697387:29705863:-1 gene:Manes.10G131400.v8.1 transcript:Manes.10G131400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEKRKPVALFMAFGTKGDVYPIAAIAAAFAYDKKQYHVVLVTHSAHENLRSHLEDRHVAFLPIKSPPVLSIHTETEESTFSLQKRIITREHRQECYSVVEGIFGNCPSMEGDFIVINFFALEGWSLAEHFRVRCVVASPYVIPYSAPSSFECRFRKELPLLYEYLQEAPTNKVCWKDVIHWMWPLFTESWGSWRSDDLNLSPIPFTDPVTGLPSWHDWPPSPLLLYGFSNEIVECPDYWPSHVHVCGFWFLPIEWQFACNECGQISAFLSPGSTRTKEKVCAAHVKLQCFLGTMVPPVFIGLSSAGSLGFLEHPEAFLKVIQIVLEITDFRFVLFSSGYEPLDEAIQVVATKTLHFDQRQYNEEGVCLFDGRLFCFPSTVPYNWLFRKCLAAVHHGGSGSTAAALHAGIPQVICPFMLDQFYWAERMHWLGVAPEPLKRNHLVPDKMDDFRIRVAANVLSRAIADALSPEVKIRAQKIAEMISLEEICI >Manes.10G131400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29697387:29705863:-1 gene:Manes.10G131400.v8.1 transcript:Manes.10G131400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEKRKPVALFMAFGTKGDVYPIAAIAAAFAYDKKQYHVVLVTHSAHENLRSHLEDRHVAFLPIKSPPVLSIHTETEESTFSLQKRIITREHRQECYSVVEGIFGNCPSMEGDFIVINFFALEGWSLAEHFRVRCVVASPYVIPYSAPSSFECRFRKELPLLYEYLQEAPTNKVCWKDVIHWMWPLFTESWGSWRSDDLNLSPIPFTDPVTGLPSWHDWPPSPLLLYGFSNEIVECPDYWPSHVHVCGFWFLPIEWQFACNECGQISAFLSPGSTRTKEKVCAAHVKLQCFLGTMVPPVFIGLSSAGSLGFLEHPEAFLKVIQIVLEITDFRFVLFSSGYEPLDEAIQVVATKTLHFDQRQYNEEGVCLFDGRLFCFPSTVPYNWLFRKCLAAVHHGGR >Manes.10G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29697387:29705863:-1 gene:Manes.10G131400.v8.1 transcript:Manes.10G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEKRKPVALFMAFGTKGDVYPIAAIAAAFAYDKKQYHVVLVTHSAHENLRSHLEDRHVAFLPIKSPPVLSIHTETEESTFSLQKRIITREHRQECYSVVEGIFGNCPSMEGDFIVINFFALEGWSLAEHFRVRCVVASPYVIPYSAPSSFECRFRKELPLLYEYLQEAPTNKVCWKDVIHWMWPLFTESWGSWRSDDLNLSPIPFTDPVTGLPSWHDWPPSPLLLYGFSNEIVECPDYWPSHVHVCGFWFLPIEWQFACNECGQISAFLSPGSTRTKEKVCAAHVKLQCFLGTMVPPVFIGLSSAGSLGFLEHPEAFLKVIQIVLEITDFRFVLFSSGYEPLDEAIQVVATKTLHFDQRQYNEEGVCLFDGRLFCFPSTVPYNWLFRKCLAAVHHGGSGSTAAALHAGIPQVICPFMLDQFYWAERMHWLGVAPEPLKRNHLVPDKMDDFRIRVAANVLSRAIADALSPEVKIRAQKIAEMISLEDGVMEAVRVLKQEMNCSN >Manes.10G131400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29696601:29705863:-1 gene:Manes.10G131400.v8.1 transcript:Manes.10G131400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEKRKPVALFMAFGTKGDVYPIAAIAAAFAYDKKQYHVVLVTHSAHENLRSHLEDRHVAFLPIKSPPVLSIHTETEESTFSLQKRIITREHRQECYSVVEGIFGNCPSMEGDFIVINFFALEGWSLAEHFRVRCVVASPYVIPYSAPSSFECRFRKELPLLYEYLQEAPTNKVCWKDVIHWMWPLFTESWGSWRSDDLNLSPIPFTDPVTGLPSWHDWPPSPLLLYGFSNEIVECPDYWPSHVHVCGFWFLPIEWQFACNECGQISAFLSPGSTRTKEKVCAAHVKLQCFLGTMVPPVFIGLSSAGSLGFLEHPEAFLKVIQIVLEITDFRFVLFSSGYEPLDEAIQVVATKTLHFDQRQYNEEGVCLFDGRLFCFPSTVPYNWLFRKCLAAVHHGGR >Manes.10G131400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29696601:29705863:-1 gene:Manes.10G131400.v8.1 transcript:Manes.10G131400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEKRKPVALFMAFGTKGDVYPIAAIAAAFAYDKKQYHVVLVTHSAHENLRSHLEDRHVAFLPIKSPPVLSIHTETEESTFSLQKRIITREHRQECYSVVEGIFGNCPSMEGDFIVINFFALEGWSLAEHFRVRCVVASPYVIPYSAPSSFECRFRKELPLLYEYLQEAPTNKVCWKDVIHWMWPLFTESWGSWRSDDLNLSPIPFTDPVTGLPSWHDWPPSPLLLYGFSNEIVECPDYWPSHVHVCGFWFLPIEWQFACNECGQISAFLSPGSTRTKEKVCAAHVKLQCFLGTMVPPVFIGLSSAGSLGFLEHPEAFLKVIQIVLEITDFRFVLFSSGYEPLDEAIQVVATKTLHFDQRQYNEEGVCLFDGRLFCFPSTVPYNWLFRKCLAAVHHGGSGSTAAALHAGIPQVICPFMLDQFYWAERMHWLGVAPEPLKRNHLVPDKMDDFRIRVAANVLSRAIADALSPEVKIRAQKIAEMISLEGMLRMSMIVCINF >Manes.03G136520.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26128502:26129304:1 gene:Manes.03G136520.v8.1 transcript:Manes.03G136520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICGSCFGQPSPQRSPKRKLNNQDVGLTSDVEFMKRGRSWRRHEVKKRQFRPQEKQQQQQQLQLENYKKFSTLEECFLASPMPNSYNSNGDQALHHHVLKQNKVYPSDYASNNPRGSFSKQRLLKAGEVGSSEVEVYSSLSRSQSGKRKKKVSFRLPEEADIIVYNISEETDE >Manes.09G020400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4163204:4214366:-1 gene:Manes.09G020400.v8.1 transcript:Manes.09G020400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVGKGISPNFQQVLELLLAEGEHLAFAPDTEETRMMIHFLSMKFPLIKEVSRVYKDEDELETYICSDLYGAYNGVKNYSNPKIKGAVIFHDQGPQLFDYSIRLNHTWAFSGFPDVKTIMDVNGPYLNDLELGVSPIPTMQYSFSGFFTLQQVVDSFIIFSAQQTVTNTANGHIELPSSNSSSSFLKVPWTQMSPSKIRIAPFPTREYTDDEFQTIVKNVMGVLYLLGFLYPISRLISYLVFEKEQKIREGLYMMGLKDGIFHLSWFITYALQFAISSGIITACTMNNLFQHSDKSVVFVYFFSFGLGAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDQAVPMILKVLASLLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMWLDMLLYCAFGLYLDKVLPRENGVRYPWNFLFKNCFWRKKSMEAKHNGRISKLGNDTVESAVEAINLDMKQQELDNRCLQIRNLHKVYATKGGSCAAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNILTDMDEIRNGLGVCPQHDILFPELTVREHLEMFATLKGVKQEILETSVTDMVDDVGLADKVNTVVRALSGGMKRKLSLGIALIGDSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASAAADIVYRHIPSAICVSEVGTEISFKLPLASSLSFESMFRQIESCLRISVSNSEISSNEDKKYLGIESYGISVTTLEEVFLRVAGCDYDGNDGFKQRSNILSSDSVVPTASHNDGSERVFDSKLLGNYRKFLGFISAIVAKACGLMVATVLSFINFLGMQCCSCCIFTGSTFWQHTKALFIKRAISARRDRKTIVFQLVIPAVFLLLGLLFLKLKPHPDQQSVTLTTSQFNPLLSGGGGGGPIPFDLSQPIAREVVEYIKGGWIQSFKKSVYKFPDSKGALADAIKAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDDQYDDGSLGYTVLHNSSCQHAAPTYINVMNAAILRLATGDQNMTIRTRNHPLPMTKSQHLQRHDLDAFSAAVIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSVLSYWASTYIWDFISFLFPSFFAIVLFYIFGLDQFIGRDCFLPTILIFLEYGLAIASSTYCLTFMFSDHTMAQNVVLLVHFFTGLILMVISFIMGLIETTTSANNFLKNFFRISPGFCFADGLASLALLRQGMKDKSSDAVFDWNVTGASICYLGIESIIYFLLTIGLELLPSHKLTPVTIKQCWRNFTNFWHGSSGFSEPLLKFPSETVAVDFDEDIDVQTERNKVLSGSVDNAILYLRNLQKVYPGGKYGMKVAVHSLTFSVQPGECFGFLGTNGAGKTTTLSMLSGEESPTDGTAFIFGKDIRSNPKAVRRHIGYCPQFDALLEFLTAREHLELYARIKGVADYRMNDVVMEKLVEFDLMRHADKPSFALSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISHLSTRQGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCRIIQERLLNIPSNPRSLLDDLEVCIGAVDCITSENASVAEISLSQETIMLIGRWLRNEERARSLISSTPVSDGVFGEQLAEQLVRDGGIPLPIFSEWWLAKEKFSAIDSFVLSSFPGATFQACNSLSVKYQLPYKDGLSLADVFGHLEQNRNQLGVAEYSISQATLETIFNHFAASF >Manes.09G020400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4163204:4214366:-1 gene:Manes.09G020400.v8.1 transcript:Manes.09G020400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRQLKAMLRKNWLLKIRHPFVTAAEILLPTVVMLLLIAVRTRVDTRIHPAQPYIRENMFVEVGKGISPNFQQVLELLLAEGEHLAFAPDTEETRMMIHFLSMKFPLIKEVSRVYKDEDELETYICSDLYGAYNGVKNYSNPKIKGAVIFHDQGPQLFDYSIRLNHTWAFSGFPDVKTIMDVNGPYLNDLELGVSPIPTMQYSFSGFFTLQQVVDSFIIFSAQQTVTNTANGHIELPSSNSSSSFLKVPWTQMSPSKIRIAPFPTREYTDDEFQTIVKNVMGVLYLLGFLYPISRLISYLVFEKEQKIREGLYMMGLKDGIFHLSWFITYALQFAISSGIITACTMNNLFQHSDKSVVFVYFFSFGLGAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDQAVPMILKVLASLLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMWLDMLLYCAFGLYLDKVLPRENGVRYPWNFLFKNCFWRKKSMEAKHNGRISKLGNDTVESAVEAINLDMKQQELDNRCLQIRNLHKVYATKGGSCAAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNILTDMDEIRNGLGVCPQHDILFPELTVREHLEMFATLKGVKQEILETSVTDMVDDVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASAAADIVYRHIPSAICVSEVGTEISFKLPLASSLSFESMFRQIESCLRISVSNSEISSNEDKKYLGIESYGISVTTLEEVFLRVAGCDYDGNDGFKQRSNILSSDSVVPTASHNDGSERVFDSKLLGNYRKFLGFISAIVAKACGLMVATVLSFINFLGMQCCSCCIFTGSTFWQHTKALFIKRAISARRDRKTIVFQLVIPAVFLLLGLLFLKLKPHPDQQSVTLTTSQFNPLLSGGGGGGPIPFDLSQPIAREVVEYIKGGWIQSFKKSVYKFPDSKGALADAIKAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDDQYDDGSLGYTVLHNSSCQHAAPTYINVMNAAILRLATGDQNMTIRTRNHPLPMTKSQHLQRHDLDAFSAAVIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSVLSYWASTYIWDFISFLFPSFFAIVLFYIFGLDQFIGRDCFLPTILIFLEYGLAIASSTYCLTFMFSDHTMAQNVVLLVHFFTGLILMVISFIMGLIETTTSANNFLKNFFRISPGFCFADGLASLALLRQGMKDKSSDAVFDWNVTGASICYLGIESIIYFLLTIGLELLPSHKLTPVTIKQCWRNFTNFWHGSSGFSEPLLKFPSETVAVDFDEDIDVQTERNKVLSGSVDNAILYLRNLQKVYPGGKYGMKVAVHSLTFSVQPGECFGFLGTNGAGKTTTLSMLSGEESPTDGTAFIFGKDIRSNPKAVRRHIGYCPQFDALLEFLTAREHLELYARIKGVADYRMNDVVMEKLVEFDLMRHADKPSFALSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISHLSTRQGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCRIIQERLLNIPSNPRSLLDDLEVCIGAVDCITSENASVAEISLSQETIMLIGRWLRNEERARSLISSTPVSDGVFGEQLAEQLVRDGGIPLPIFSEWWLAKEKFSAIDSFVLSSFPGATFQACNSLSVKYQLPYKDGLSLADVFGHLEQNRNQLGVAEYSISQATLETIFNHFAASF >Manes.09G020400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4163204:4214366:-1 gene:Manes.09G020400.v8.1 transcript:Manes.09G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRQLKAMLRKNWLLKIRHPFVTAAEILLPTVVMLLLIAVRTRVDTRIHPAQPYIRENMFVEVGKGISPNFQQVLELLLAEGEHLAFAPDTEETRMMIHFLSMKFPLIKEVSRVYKDEDELETYICSDLYGAYNGVKNYSNPKIKGAVIFHDQGPQLFDYSIRLNHTWAFSGFPDVKTIMDVNGPYLNDLELGVSPIPTMQYSFSGFFTLQQVVDSFIIFSAQQTVTNTANGHIELPSSNSSSSFLKVPWTQMSPSKIRIAPFPTREYTDDEFQTIVKNVMGVLYLLGFLYPISRLISYLVFEKEQKIREGLYMMGLKDGIFHLSWFITYALQFAISSGIITACTMNNLFQHSDKSVVFVYFFSFGLGAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDQAVPMILKVLASLLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMWLDMLLYCAFGLYLDKVLPRENGVRYPWNFLFKNCFWRKKSMEAKHNGRISKLGNDTVESAVEAINLDMKQQELDNRCLQIRNLHKVYATKGGSCAAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNILTDMDEIRNGLGVCPQHDILFPELTVREHLEMFATLKGVKQEILETSVTDMVDDVGLADKVNTVVRALSGGMKRKLSLGIALIGDSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASAAADIVYRHIPSAICVSEVGTEISFKLPLASSLSFESMFRQIESCLRISVSNSEISSNEDKKYLGIESYGISVTTLEEVFLRVAGCDYDGNDGFKQRSNILSSDSVVPTASHNDGSERVFDSKLLGNYRKFLGFISAIVAKACGLMVATVLSFINFLGMQCCSCCIFTGSTFWQHTKALFIKRAISARRDRKTIVFQLVIPAVFLLLGLLFLKLKPHPDQQSVTLTTSQFNPLLSGGGGGGPIPFDLSQPIAREVVEYIKGGWIQSFKKSVYKFPDSKGALADAIKAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDDQYDDGSLGYTVLHNSSCQHAAPTYINVMNAAILRLATGDQNMTIRTRNHPLPMTKSQHLQRHDLDAFSAAVIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSVLSYWASTYIWDFISFLFPSFFAIVLFYIFGLDQFIGRDCFLPTILIFLEYGLAIASSTYCLTFMFSDHTMAQNVVLLVHFFTGLILMVISFIMGLIETTTSANNFLKNFFRISPGFCFADGLASLALLRQGMKDKSSDAVFDWNVTGASICYLGIESIIYFLLTIGLELLPSHKLTPVTIKQCWRNFTNFWHGSSGFSEPLLKFPSETVAVDFDEDIDVQTERNKVLSGSVDNAILYLRNLQKVYPGGKYGMKVAVHSLTFSVQPGECFGFLGTNGAGKTTTLSMLSGEESPTDGTAFIFGKDIRSNPKAVRRHIGYCPQFDALLEFLTAREHLELYARIKGVADYRMNDVVMEKLVEFDLMRHADKPSFALSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISHLSTRQGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCRIIQERLLNIPSNPRSLLDDLEVCIGAVDCITSENASVAEISLSQETIMLIGRWLRNEERARSLISSTPVSDGVFGEQLAEQLVRDGGIPLPIFSEWWLAKEKFSAIDSFVLSSFPGATFQACNSLSVKYQLPYKDGLSLADVFGHLEQNRNQLGVAEYSISQATLETIFNHFAASF >Manes.09G020400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4163204:4214366:-1 gene:Manes.09G020400.v8.1 transcript:Manes.09G020400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFQHSDKSVVFVYFFSFGLGAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDQAVPMILKVLASLLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMWLDMLLYCAFGLYLDKVLPRENGVRYPWNFLFKNCFWRKKSMEAKHNGRISKLGNDTVESAVEAINLDMKQQELDNRCLQIRNLHKVYATKGGSCAAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNILTDMDEIRNGLGVCPQHDILFPELTVREHLEMFATLKGVKQEILETSVTDMVDDVGLADKVNTVVRALSGGMKRKLSLGIALIGDSKVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASAAADIVYRHIPSAICVSEVGTEISFKLPLASSLSFESMFRQIESCLRISVSNSEISSNEDKKYLGIESYGISVTTLEEVFLRVAGCDYDGNDGFKQRSNILSSDSVVPTASHNDGSERVFDSKLLGNYRKFLGFISAIVAKACGLMVATVLSFINFLGMQCCSCCIFTGSTFWQHTKALFIKRAISARRDRKTIVFQLVIPAVFLLLGLLFLKLKPHPDQQSVTLTTSQFNPLLSGGGGGGPIPFDLSQPIAREVVEYIKGGWIQSFKKSVYKFPDSKGALADAIKAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDDQYDDGSLGYTVLHNSSCQHAAPTYINVMNAAILRLATGDQNMTIRTRNHPLPMTKSQHLQRHDLDAFSAAVIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSVLSYWASTYIWDFISFLFPSFFAIVLFYIFGLDQFIGRDCFLPTILIFLEYGLAIASSTYCLTFMFSDHTMAQNVVLLVHFFTGLILMVISFIMGLIETTTSANNFLKNFFRISPGFCFADGLASLALLRQGMKDKSSDAVFDWNVTGASICYLGIESIIYFLLTIGLELLPSHKLTPVTIKQCWRNFTNFWHGSSGFSEPLLKFPSETVAVDFDEDIDVQTERNKVLSGSVDNAILYLRNLQKVYPGGKYGMKVAVHSLTFSVQPGECFGFLGTNGAGKTTTLSMLSGEESPTDGTAFIFGKDIRSNPKAVRRHIGYCPQFDALLEFLTAREHLELYARIKGVADYRMNDVVMEKLVEFDLMRHADKPSFALSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISHLSTRQGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCRIIQERLLNIPSNPRSLLDDLEVCIGAVDCITSENASVAEISLSQETIMLIGRWLRNEERARSLISSTPVSDGVFGEQLAEQLVRDGGIPLPIFSEWWLAKEKFSAIDSFVLSSFPGATFQACNSLSVKYQLPYKDGLSLADVFGHLEQNRNQLGVAEYSISQATLETIFNHFAASF >Manes.09G020400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4163204:4214366:-1 gene:Manes.09G020400.v8.1 transcript:Manes.09G020400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVGKGISPNFQQVLELLLAEGEHLAFAPDTEETRMMIHFLSMKFPLIKEVSRVYKDEDELETYICSDLYGAYNGVKNYSNPKIKGAVIFHDQGPQLFDYSIRLNHTWAFSGFPDVKTIMDVNGPYLNDLELGVSPIPTMQYSFSGFFTLQQVVDSFIIFSAQQTVTNTANGHIELPSSNSSSSFLKVPWTQMSPSKIRIAPFPTREYTDDEFQTIVKNVMGVLYLLGFLYPISRLISYLVFEKEQKIREGLYMMGLKDGIFHLSWFITYALQFAISSGIITACTMNNLFQHSDKSVVFVYFFSFGLGAIMLSFLISTFFTRAKTAVAVGTLSFLGAFFPYYTVNDQAVPMILKVLASLLSPTAFALGSINFADYERAHVGLRWSNIWRGSSGVNFLVCLLMMWLDMLLYCAFGLYLDKVLPRENGVRYPWNFLFKNCFWRKKSMEAKHNGRISKLGNDTVESAVEAINLDMKQQELDNRCLQIRNLHKVYATKGGSCAAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNILTDMDEIRNGLGVCPQHDILFPELTVREHLEMFATLKGVKQEILETSVTDMVDDVIVLDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASAAADIVYRHIPSAICVSEVGTEISFKLPLASSLSFESMFRQIESCLRISVSNSEISSNEDKKYLGIESYGISVTTLEEVFLRVAGCDYDGNDGFKQRSNILSSDSVVPTASHNDGSERVFDSKLLGNYRKFLGFISAIVAKACGLMVATVLSFINFLGMQCCSCCIFTGSTFWQHTKALFIKRAISARRDRKTIVFQLVIPAVFLLLGLLFLKLKPHPDQQSVTLTTSQFNPLLSGGGGGGPIPFDLSQPIAREVVEYIKGGWIQSFKKSVYKFPDSKGALADAIKAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDDQYDDGSLGYTVLHNSSCQHAAPTYINVMNAAILRLATGDQNMTIRTRNHPLPMTKSQHLQRHDLDAFSAAVIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSVLSYWASTYIWDFISFLFPSFFAIVLFYIFGLDQFIGRDCFLPTILIFLEYGLAIASSTYCLTFMFSDHTMAQNVVLLVHFFTGLILMVISFIMGLIETTTSANNFLKNFFRISPGFCFADGLASLALLRQGMKDKSSDAVFDWNVTGASICYLGIESIIYFLLTIGLELLPSHKLTPVTIKQCWRNFTNFWHGSSGFSEPLLKFPSETVAVDFDEDIDVQTERNKVLSGSVDNAILYLRNLQKVYPGGKYGMKVAVHSLTFSVQPGECFGFLGTNGAGKTTTLSMLSGEESPTDGTAFIFGKDIRSNPKAVRRHIGYCPQFDALLEFLTAREHLELYARIKGVADYRMNDVVMEKLVEFDLMRHADKPSFALSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISHLSTRQGKTAVILTTHSMNEAQALCTRMGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCRIIQERLLNIPSNPRSLLDDLEVCIGAVDCITSENASVAEISLSQETIMLIGRWLRNEERARSLISSTPVSDGVFGEQLAEQLVRDGGIPLPIFSEWWLAKEKFSAIDSFVLSSFPGATFQACNSLSVKYQLPYKDGLSLADVFGHLEQNRNQLGVAEYSISQATLETIFNHFAASF >Manes.10G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2612281:2614736:-1 gene:Manes.10G026400.v8.1 transcript:Manes.10G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICCGVVGESDAAAPVVETSSRASRRSRLELRPFKVVADAVVQPPLEDSRKRQKLDVCPLPLGSDAPTVPRDCDNAVESCKPSDDECKENEQNENSQKKEYLNSNVSMNGNSDSAKSDNDVAEESPKFGSTSVCGRRRDMEDAVSIRTSLTGDKISFFGVYDGHGCSHVAMKCKDRLHEIVKEEIERFEEVKCVEWKETMERSYAKMDKEVECCNNADKSANCRCELQTPQCDAVGSTAVVAVVTPSKIVVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELVRIQDAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVIPDPEVTVTERTAEDECLILASDGLWDVVSNETACGVARMCLRAQRPPSPPESPGSEAIVGSGAGESSDKACSDASILLTKLALARHSTDNVSVVVVDLRRNQHKQE >Manes.02G127202.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9641633:9644257:1 gene:Manes.02G127202.v8.1 transcript:Manes.02G127202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFAFCVHLLLVVIAVGGDTLSFATITRAFPDDDRFIKPDRPGHGTLPLPRGLSPPVLKTPLSHQLSQLSHFHPPPTQSYGPSPPLVLLPPSPSHCPPSTHYPPPSHGHPPPSRGCPPPSHGYPPPSQGHPPPSQVCPPPTQIPPSHGYPPPSHGHPPPSQGCPPPTQIPPSHGYPPPSHGQYPPPTHRPPPSPEHPPPTQTPPSQGCPPSHGRPPPTHYRPPPTRTPPSYGYPPPSHGRPPPIHTPPSHGRPPPTHYHPPPTQTPPSQRPPPTHYRPPPIQTPPSDCHPPPTHYRPPPTQTPPSHGHPPPSRSPPCQNPPPSRGSPPPTCYPPCGSTPPSSKYPPPSQERQPPSRHPPPSQGYPSPTHYPPPNSTSPSEGPPARRPPPSYGYTPPS >Manes.04G057032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:13246628:13248852:1 gene:Manes.04G057032.v8.1 transcript:Manes.04G057032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKGKEDARNTDRGVLTGTGRGRGRGDPEIVRGRGIIATAPSSVDFRAPALTPTTPVSYSAPAARPLAPVAPIAPTSSSSIPGSSASRSASSSSSYATRTYCYVHASGNLMPSEKTSSACTKIFQKYNVEEGSS >Manes.02G187600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15039440:15044193:-1 gene:Manes.02G187600.v8.1 transcript:Manes.02G187600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDSFFNKGFKAAKCKTLLKLTIPRIKLLRNRRGIQIKQMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELISVRLPIVEAQRECPLDLKEAISSICFAAPRCADLPELVQVQMLFTSKYGKEFVAATTELRPECGVNRQLIELFSVRAPSPEVKLKLLKEIAEEHELDWDPAASETELLKTHEDLLNGPTQFVSGSKLPLPEERHDEALNPAPDRSLDKDPDSDSDFEELDFPEVPKVSLRPSATSASAPEMQPIPATAQHSIETESSNHHATHENLAQGPHVENEDVIEEKPGAAKEEMPDYMVGAKEEKQFLPFISPLSVAPTPLSIRSSSLPPTSRTKSEAIIDLQDVLAAAEAAAETAERAAAAARSAASLAQARISELTKKNIEKFPDDSDENPFHTDFPDQSSTSKPHFDHQRSFDDHSGVSDYQELSRLHEDLQPSELHNLPSFEKRKLGYDSPPSDHFREQASVHHQTQRLPSMDDESYFSYPNLFTPPNPNVGTNGQFVTDNSYSTR >Manes.15G109700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8766143:8766709:1 gene:Manes.15G109700.v8.1 transcript:Manes.15G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIFFFFIAAMILAAAMENSQGHEYYSNTIPASHLKEKVTHLHFFLHDIVSGENATVAQIARPSQNDTGLGAFGALYAVNDAIRVGIESSSKLIGRAKGLYVAASQKDEFQLVLYLDFGFIAGKFNGSSFVVCSRNPVLQTEREVAVVGGRGRFRLARGIAKLHTRYLNFTSGDAIIEYNVTLFHY >Manes.09G078666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:24266263:24276483:1 gene:Manes.09G078666.v8.1 transcript:Manes.09G078666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAHPAVFDITKFGAAPDGKADASQAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSYDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTEHLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.10G060800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8504584:8507494:1 gene:Manes.10G060800.v8.1 transcript:Manes.10G060800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGPKKSDNTKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGAHDPFDIFQSFFGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMTCSGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETINDRDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLIVDHTLSLTEALCGFQFILTHLDGRQLLIKSQPGEVVKPDQFKGINDEGMPMYQRPFMRGKLYIHFSVDFPDSLPVDQCKALEAVLPSRTSVQLSDMELDECEETTLHDVNFEEEMRRKQQQAQEAYDEDEDMHGGAQRVQCAQQ >Manes.13G017100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1760991:1764339:1 gene:Manes.13G017100.v8.1 transcript:Manes.13G017100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDVENPKVKIDQKGPGASPPAYEIQPTWYSSLLHQTSIYGVAAGYCISASLLSIINKWAVMRFPYPGALTALQYFTSAAGVLVCGWFKLVEHDPLDLLTMWRFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSVVPIFVAIGETLFLHQPWPALKTWLSLATIFGGSVLYVITDYQFTVTAYSWALAYLVSMTVDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISDGSDWYSFEVVLPVGLSCLFGLSISFFGFSCRKAISATGYTVLGVVNKLLTVVINLVIWDKHSSLIGTVGLLICMLGGIMYQQSTSKPKSVPEVKSEETEEEQQKLLEMQSKLESNNSETEVTESQQGNETVQKTIG >Manes.16G042260.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6188160:6188963:-1 gene:Manes.16G042260.v8.1 transcript:Manes.16G042260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCFSLLSAKGDLPGDSCSALSLQGPWLFKDYTNGCENMGGSSVFPKSLQKEDELQM >Manes.18G143724.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:20591958:20605416:1 gene:Manes.18G143724.v8.1 transcript:Manes.18G143724.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSAGIPTPLAESYSITQPPLFNVDIEAWQRIIKGPKIPLSAKEVWDKLEGSKAKLLVRDFELFEKKSGENIVEMSTRFIDLEVELSWEAKTIFTFDTKDFTRYTYDELIGSLIVHEMMFKKEIIEKKEVKKGMALKSEKLIDGKKKFIDLKIDTSESSSLSSDEEEMVILAMLFIRAFRRGGSKYKRFVSMDEESMRKSEDDARTKESKRSSTGEVDPSTRSTAATRGKKKTRGLRRSKKGKFGQRLKASLDVSGSGPKRCKRCGRAHGGVCLAGTTACYRCKQEGHFARECPTKFNKARSPRTVSGEAAQPAVPALDQASGQGGERGVPSSLVGFPGANPSAPIRIFTWTQQETDTSNLMGLEKKKVLETKTESLTSDLAKFTKGKQSLKLPLGSQRLNHFVKISSSSFPSTTCLYCNQKGHMVYSCPIRKGNTKVCLKNKEETNKWHVDSGCSCHMNGDKTLFSKFFMKSEGFVRFGDKGRAQIIENGIIRLKSCIENVALCSKNLVRGLPKLNFENDHPYKACSMGKQTRVTFKPQNEVSTSRLLELLHLDLFGPITPISLGGKSYGFVIVDDYSRFS >Manes.07G027201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2854135:2854523:-1 gene:Manes.07G027201.v8.1 transcript:Manes.07G027201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSDVVAQLSSIKNKLVGTPLPRQGEVSGAVLLPVILNWHF >Manes.05G207100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:33388208:33390601:1 gene:Manes.05G207100.v8.1 transcript:Manes.05G207100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSSSSKPHNHESSTGCPETLPVQKKKKKKQQHRLRSRNPFQDLNFGAGIASSGNDNHCHDSCSNASSLSSIEAPKGCLRFFLSHASSSSKTPFTSTSQRPTKVKLLSSKTPKSAPSVRPAKENVSRRTIFRKPISQKLEKVTVDLPQSGRNPSSRYVSNSKLSSVLDGSASRENKLKSGSRELKQLVVDGVSDCHGSKSTPLNKVACGSGLNLAVEIKVRNEDGDNDRSNTKTNVNTNSTSCNSKTPPVQASVSPEIQCGSSMVPATTKTITPVCYGAGHVLSGITDKRKCRPRGILTVGEAKPFDCFDSEDESEQENAPEIVKSIPMLPLPAEASMRWLLSPCNEDEDQKEISEGGSCCIRRLKESAILKFPTSPLHGNNVFSPHLFSNCSDKSVYTISGGSKTRRASLLSPKGGLMGPPLFDNKADLCSEEGRKNFFELDEENSPLSVDSLGSGNVVRTPQSDSSSDRRVGMSWLNKDDVIVTHNFDCELNSVAQYLQMASLSPKSHVSIWDPTSSSFQFDCLATPSNSVDLLQFQKILDDRASWFSNSTMENVSQSQMRISWREGLVSRIFEMDEFDSCRCLSDEEDDAKGCNDDCLKSLWSPDLNFNAGNEKFSTNGSVSTMFVDSEHVIDGKAKEGRPPQVPCSCAESISTDGDGLVRSEDSDWTLCYKNRLFQ >Manes.09G050526.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10437804:10445659:-1 gene:Manes.09G050526.v8.1 transcript:Manes.09G050526.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPAASFGVIASIESNIAYDNSGKHLFAPGLEKVGVWHVRQGICTKTLVPSTSSRSGPSLAVTYIASSPSSLVASGYADGSIRIWDSDKGTCETTLNGHKGAVTVLRYNKSDGSSLASGSKDNDIILWDVVGETGLFRLRGHRDQVTDLVFLESGKKLVSSSKDKFLRVWDLETQHCMQIVSGHHSEIWSIDIDPEERYLVTGSADPELRFYIVKHELVDQQGTSNENRVVTMHNGDSSTQSKWEVLKLYGEVQRQSKDRVATVRFNKSGNLLACQVAGKTVDIFRVLDDNEARRKAKRRVHRKKEKKSAKEAVELTEITDPKLISEEHGGALTVTVPDVFKLLQTVRASKKICSISFNPTTPKDSLATLALSLNNNLLEFYSIESNSATKSLSIELQGHHSDVRSVTLSSDNTLLMSTSHNTVKFWNPSTGSCLRTIDSGYGLCGLIVPHNKYALVGTKDGKIEIIEIGSGTCIEVLEAHGGSVRSIAALPNENAFITGSADHDVRFWEYQVKQKPGQDTKQLLVSNVRTMKMNDDVLVVAVSPDAKYIAVALLDCTVKVFFMDTLKFFLSLYGHKLPVLCMDISSDGDLIVTGSADKNLKIWGLDFGDCHKSLFAHTDSVMAVQFVHNTHYMFSVGKDCLVKYWDADKFELLLTLEGHHADVWCLAISNRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKRLEEMFEADLDNALENRYAPKDEFPEEGAVALAGKRTQETLTATDLIIDALDAAEVELKRLAEHEEEKTRGIVAVFQPNPIMQGLAASDCVLRAISNVHTNDLEQTLLALPFSDALKLLSYLKDWASNPDKVELVCRVATVLLQTHHNQLVTTPAARAVLTVLKDILYARVKECQDTLGFNLAAMDHLKQLMATRSDALFKDAKTKLLEIRLQQSKRLEARTDTKEEKRKKKKQKKSNGTPAWA >Manes.01G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27846095:27847465:-1 gene:Manes.01G075500.v8.1 transcript:Manes.01G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRYIDAIYCVLIAIFVVATTVSSDDITPIPADDSKVSNWFQTNVKPWRSRKGTLDPALEAAEAKSKIIIVSKDGKGEFKTVTDAINSVPPKNKQRVIIKIGPGVYIEKIQIERTKHFITFLGDPKAMPTLAFNGTAYEYGTLASASVAIESTYFMAVNIIFKNTAPGPDSKKPGAQAVALRVGGDKAAFYNCKIFGFQDTLCDDRGRHFYKNCYVQGTVDFIFGRGRSLYLESHINVVKRKGSTYITAQAKQNKTEVYGYSFVQCKITGRGSRAYLGRAWRAMPEVVFSYTQMGAVIDPLGWSNNRLPERERTVFFAEYKNSGPGSNLKRRVKFAKKLTDREAKHFLSLGYIQGSEWLLPPPM >Manes.S049616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1653179:1653991:1 gene:Manes.S049616.v8.1 transcript:Manes.S049616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G157500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39400801:39402411:-1 gene:Manes.08G157500.v8.1 transcript:Manes.08G157500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICGVKLGNHILSKRHCFIILQRDFHLQHKKKTCECEAVRASMAATSESSASDLKLDLIQTIRSHEVALAELNNLPSSRAVYQKNGNIFFCTTVQKAKASEKKKLDSAKGKLEKLSTV >Manes.03G034100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2834817:2844766:-1 gene:Manes.03G034100.v8.1 transcript:Manes.03G034100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNASQGNKSITHAPVSVPATGPSKNLSEDPPIVHPSSPAISPIIQPSAPQILPPSTQRSKKNLFDDLPIIHPSSPATSPIIQPSAPEILPPSTHHSKKNFSDDLPVIHPSSPATSPIIQPSAPEILPPSNHHSKKNLSDDLPIIHPSSPATSPIIRPSAPEILPPSTHHSKKNLSDDLPIIHPSSPATSPIIQPSAPEILPPSTHHSKKNLSDDLPIIHPSSPATSPIIQPSAPEILPPSTHHSKKNLSDDLPIIHPSSPATSPIIQPSAPEILPRSTHHSKKNLSDELPIIHPSSPATSPIIQPSAPEILPPSTHHSKKNLSDELPIIHPSSPATSPIIQPSAPEILPPSTHHSKKNLSDELPIIHPSSPATSPIIQPSAPEILPPSTHHSKKNLSDELPIIHPSSPATSPIIQPSAPEILPPSTHHVKKNLSDDLPIIHPSSPATSPIIQPSAPEILPPNTHHSKKNSLDNLPTVHSSSPAISPIVQPGAREILPPSTHHSKKNFSDDLPIIHPSSPATSPIIQPGAPEILPPSTHHSKKNLPDDLPIIHPSSPAISPIIQPSAHEILPPSIHHGKENFPEGSPIFHPSSPATSPIIHPSAPEISPPSTHHSKRNLPDDSPIIRPSSPATSPIIHPSAPQVSPPSTHHSYTSFTGAPVPKSVAPGPDISHASTPLPSIGWKKNGIPVGAPPDESTPLPSIGRKKDGIPVAAPPDETLKALPPANHSPARGSFSFLAPSTHKAVRQSNDASVPSLSSPTPINKEHHSPASSPSIPFHWWKHARTKVNSPAPSLSPLSSKQRGPVISPSFLPTNRRTHYASPPLSPGSSASASHYPISTPVTNVSPAPSPSPTVASGWTRMPVLPPRVSPSGSSSLSPPLPHPVQALPPPPPNEDCSAIVCVEPYANTPPGSPCGCVLPMQVGLQLTVALYTFFPLVSELAQEIAAGVFMKQSQVRIMGANAASQQPEKTIVLIDLVPLGKRFDNTTAFLTYQRFWHKKVAIKSSFFGDYEVLYVRYPGLPPSPPSASSGITIIDNGPYSGNDNNARAIKPLGVDVRRRQQKDGLGGGIVAIIALSASVALILCSAVAWVLLVRHRGRTSQSTPTLRPLPPSIAKPSGTTASMVGSGLSSASLSFGSSIAPYAGSAKTFSTIDIERATNNFDASRILGEGGFGLVYSGTLEDGTKVAVKVLKRDDRQGDREFLAEVEMLSRLHHRNLVKLIGICTEERARCLVYELIPNGSVESHLHGADKEPSPLDWDARIKIALGAARGLAYLHEDSSPRVIHRDFKASNILLEHDFTPKVSDFGLARTALDEENRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVAWARPLLTSREGLEMIIDTSLGPDIPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKEVGSESSSRDLSVDMDAGVSAASGHLQDPFQNETTVPDYDSEPDIERGISMSGLFSTSVRYGSQASGSFRRYSSSGPLRTGRGRQLWQRMRRLAGESASEHEVIFKQWPGSH >Manes.02G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2899481:2904206:1 gene:Manes.02G035000.v8.1 transcript:Manes.02G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVRLTGSSLYSLCSFNGSPRGANSSSRPIRFLGLPPRASFSPSSISSSLSHFLGSMRFGSQSSKVYTSRQQQQRRNFSVFAMAADETKRAVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQLPVGSEDNFQGVIDIVKMKAILWSGEELGAKFEYADIPAELEELAQEYRALLIENIVELDDDVMEKYLEGVEPDEETIKQLIRKGTIASSFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPSMKGTDPEKPEVTVERTASDDEPFSGLAFKIMSDPFVGSLTFVRVYAGKLAAGSYVLNANKGKKERIGRLLEMHANSREDVKVALTGDIVALAGLKDTITGETLCDPDSPIVLERMDFPDPVIKVAIEPKTKADVDKMANGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVSEVKYVHKKQSGGQGQFADVTIRFEPMEAGSGYEFKSEIKGGAVPREYIPGVMKGLEECMNNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFRDGIKKAAPKMLEPVMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLATKEQEVAA >Manes.04G030300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3676391:3678789:-1 gene:Manes.04G030300.v8.1 transcript:Manes.04G030300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGSFWSFQESIDELRQRLLCTTIELESLKVEASEVMRKQKEDIKHLIDLLNMAYKERDEAKDQLQKLLNKLLPSNSALLHPILLQTKLESPLVIPMKANSSITESNSLSDTYNHQSHGGSSPVDSFLDAVTSPDFSSINMADSSHINYMNKTYVQDYTGAMSTGLVSASVPKIDPADAAIDSLINGKVLPQKGKLLQAVTEAGPLLQTLLVAGPLPRWRNPPPLQNFKIPPFSIEGGEISITIDQKPAVNASSGARRPLSLSSYPDLSRGSSQMCSASVLNYNNGASGSGLGSCWPLNSAKRQRFH >Manes.04G030300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3676391:3678806:-1 gene:Manes.04G030300.v8.1 transcript:Manes.04G030300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKEDIKHLIDLLNMAYKERDEAKDQLQKLLNKLLPSNSALLHPILLQTKLESPLVIPMKANSSITESNSLSDTYNHQSHGGSSPVDSFLDAVTSPDFSSINMADSSHINYMNKTYVQDYTGAMSTGLVSASVPKIDPADAAIDSLINGKVLPQKGKLLQAVTEAGPLLQTLLVAGPLPRWRNPPPLQNFKIPPFSIEGGEISITIDQKPAVNASSGARRPLSLSSYPDLSRGSSQMCSASVLNYNNGASGSGLGSCWPLNSAKRQRFH >Manes.12G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7508132:7523370:-1 gene:Manes.12G070200.v8.1 transcript:Manes.12G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTEISDEDHAVELIVRDASASSPDGSAEDGAGGGSSSGSGSEEMTSLLNPSEKPKINIFSVAYSRRKPREQVARLPDTETSPIIQFIIWIWGGSRYSGILCMALSSMIYFAMEVLSDSFSAQSIPLFETAFTRCTIVLILSYAWLRRSGQPLFGAASARKLLFWRALTGYLSLLSFIYCIQRLPLSQAIVLNFTTPVMASIVARIMLHEKLKIADIGGLACSFFGVIFIYRQILRTQGGWTRGGETNNTTAKGSQHIYAVLVGLFSAITGGISFCLIKAGAKASDQPVVTVFSFGLLSSPAAGICTFAFEEFVLPDFYSFTLMLILGVLAFFVEVFLARGFQLEKTSRAANVQYIEVAVSQFWLMVSSRISSSFGGIVGCLLILISVCCTIYFGPDKEME >Manes.04G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33357168:33358978:-1 gene:Manes.04G132800.v8.1 transcript:Manes.04G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPDFFIGGHYSGGAAAEFLPEKRISEQKPGDHFAVDDLLDFPNEDDATMTDCFFDNITKKCAVTTDSSTFTSNDSSNSSISGNHVGYRSFDDSQFSSELCVPYDDLAELEWLSNFVEDSFSTEQNLQANFHMISGSKSPTPESSSSESHPESSLRNLNNPMFQPETPLPGKARSKRSRAAPCDWSTRLLHLSPVTKPFSKKQGNHNPNPGTETPVRKCLHCAAEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVSTKHSNSHRKVLELRRQKELHMAQQEQFLHQRSIFGLSNGSDDFFIHHHSGPNFRHMI >Manes.17G010200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4278153:4280811:1 gene:Manes.17G010200.v8.1 transcript:Manes.17G010200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEATLSLLLRHPSSSSKFLSSPNLPRQFINLRSSNSTLFLSQNLPVSPLTHRHPTTRKLSFELGSTLQEITEERKPQETQEATQKRKLYIVNLPWSLTVADIKNLFGQCGTVTDVEIIKQKNGRSRGFAFVTMASGEEAQAVVDKFDSHELSGRIIRVEFAKRFKKSPPPRPGTPARETRHKLYVSNLAWKVRSSHLKEFFSANSNPVSSRVVFDSPSGRSAGYGFVSFATKEEAAAAISAFDGKELMGRPLRLKFSEREADESGSIKQEQETIEDQPGE >Manes.03G086900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17267937:17274477:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17267937:17274477:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266938:17274804:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGELFMLHW >Manes.03G086900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266938:17274804:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGELFMLHW >Manes.03G086900.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266938:17274804:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGELFMLHW >Manes.03G086900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266953:17274477:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266939:17274803:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266939:17274803:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266939:17274803:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17267937:17274477:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17267087:17274477:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17267937:17274477:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17267937:17274477:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266938:17274804:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGELFMLHW >Manes.03G086900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266939:17274803:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.03G086900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17266953:17274477:1 gene:Manes.03G086900.v8.1 transcript:Manes.03G086900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRTEVDYDEFEKLLGEIPNATSGNPHSEEAVSKTVSLNDSLAPICVNSYKGPLTEKLQSSAGLDERKRLINKTQGSPIRRVQSEEANLLDVQSLTSDFADLSFNSSSVSANFISPSHAVSLDCKLTSSMKNLVPSMNSSVTGAPSFQLPNSMPCDFDGFNVSKIVQESSNLLKLNAQEPKQMPIGYCQPQTIENFSTALPIAHAVQGYQFLSNVAVPGVDFPLMSEQRPYFTDMQHLLSCMHSQPLNQPHISWRNMEEEQFYRMHQQYLYLQQLRTQRLEAQHPVQGNGNVAMKLVNRNVRQPYFEVPISHQLQQSNQEPFWNNYAITRGLNQSHNGISVLDKLGKQTFPEKILTRSQGLNTLKAVKYGSVGGNESVANLNQSGKVLSNGHIRHNLSTPSAGCFQLDHLSSWNLSAEVTDLKSTNLRPQPQKYNSVDEVTGRVYLMAKDQHGCRFLQRKFSEGTPQDVEKIFLEVIDHIVELMTDPFGNYLVQKLLEVCNEDQQMQILCAITRKPGELVRISCDMHGTRAVQKVIENLKTPEQFCMVVSSLKPGIVTLIKNMNGNHVAQRCLQYLTPEYSEFLFEAATANCVELATDRHGCCVLQKCLSHSEGEQRRRLICEITSNALILSQDPFGNYVVQFVFELRLPWATADILDQLEGNYGDLSMQKYSSNVVEKCLKSASEDRRTHIIQELINNAHLDQIMQDPYGNYVIQAALHRSKGALHAALVEAIRPHVPVLRTSPYGKKVLSSNSLKK >Manes.16G074300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27669167:27673314:-1 gene:Manes.16G074300.v8.1 transcript:Manes.16G074300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAEMEPLTSGASNRIIPILKTLRTSLVFVQTILISLLLLLFPRRRISPRMSSDSMPQSPTKSSKRRSLWRLEEEDTLRRRALAEGIDMADGDFQCRWGTYLFFGVRRNALFCRSWLPVTGELKGILIIIHGLNEHSGRYGQFAKQLTSCNFGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGSFLEKIKSEHPGVPCFLFGHSTGGAVVLKAATCPRIEGMLEGIILTSPALRVKPAHPIVGAVAPIFSLIVPRFQFKGANKRGIPVSRDPAALVAKYSDPLVYTGPIRVRTGHEILRISSYLMRNFKFVTVPFFVLHGTADKVTDPLASQDLYNEAASQFKDIKLYDGFLHDLLFEPEREEIGQDIISWMEKRLEYQF >Manes.06G168501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29358445:29360732:1 gene:Manes.06G168501.v8.1 transcript:Manes.06G168501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKENIVMFPFMAQGHIIPFLALALHIERTSNYNITFVNTSLNLNKLNSSLPPNSSIRLLEIPFDSSDHGLPPHTENTDVLSYPLIIRLLHASTSLQPAFRKLIQDITCEQGGHPPLCIIADFFFGWTATVAKELGVFHAVFSGAGGYGLACYYSVWLALPHRNTESDEFELQDFKEVSKLHVTQLPLSILEADGTDSWSIFQRKNLPAWVDSNAILFNTVEEFDQIGLSYFRRKIGRPAWAIGPVLLSTENRARFGKEDSITTDLFKEWLDSKPVNSVLYVSFGSHNTIAPSQMMQLAMALEASGKNFIWVVRPPIGFDINSEFRSKEWLPEGFEERIKESGKGLLVHKWAPQVEILAHKSTGAFLSHCGWNSTLEALNHGVPLIGWAMAAEQFFNVKFLEEELGVCVEAARGKTCEIRCEEIKEKIELVMNETEKGKEIRRKACEVMEMIKNAMKDKDGLKGSSLKALDDFFQAALSMRKKTHQQ >Manes.01G061200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25785938:25793300:1 gene:Manes.01G061200.v8.1 transcript:Manes.01G061200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECSKLCTCCWTSDFDGSVPEGQNEENEEKNEVDDLPPFIEYTIETLKMATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGLLRNHRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLATRCLQYEPRERPNPKSLVVALIPLQKDSEVPSHVLMGIPDGAALLPLSPLGEACLRMDLTAMHEFIEKIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFRHKDFRAAIECYSQFIEVGTMVSPTVYARRSLSYLMSEMPQEALNDAVQAQVISPVWHIASYLQAASLFALGRESEAQAALKEGSTLESKRTTNA >Manes.01G061200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25785942:25793300:1 gene:Manes.01G061200.v8.1 transcript:Manes.01G061200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECSKLCTCCWTSDFDGSVPEGQNEENEEKNEVDDLPPFIEYTIETLKMATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGLLRNHRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLATRCLQYEPRERPNPKSLVVALIPLQKDSEVPSHVLMGIPDGAALLPLSPLGEACLRMDLTAMHEFIEKIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFRHKDFRAAIECYSQFIEVGTMVSPTVYARRSLSYLMSEMPQEALNDAVQAQVISPVWHIASYLQAASLFALGRESEAQAALKEGSTLESKRTTNA >Manes.01G061200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25785974:25793067:1 gene:Manes.01G061200.v8.1 transcript:Manes.01G061200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCECSKLCTCCWTSDFDGSVPEGQNEENEEKNEVDDLPPFIEYTIETLKMATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRSAWPDARQFLEEARAVGLLRNHRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWETQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLATRCLQYEPRERPNPKSLVVALIPLQKDSEVPSHVLMGIPDGAALLPLSPLGEACLRMDLTAMHEFIEKIGYKDDEGAATELSFQMWTNQMQETLNSKKKGDVAFRHKDFRAAIECYSQFIEVGTMVSPTVYARRSLSYLMSEMPQEALNDAVQAQVISPVWHIASYLQAASLFALGRESEAQAALKEGSTLESKRTTNA >Manes.17G065250.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26548927:26550455:1 gene:Manes.17G065250.v8.1 transcript:Manes.17G065250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNLNLVLFIAILVAASCYYGAMAHPLAASSSSLIARLKLDEQSSGCWDSLIQLQACTGEIIVFFLNGETYLGQGCCRAVRTISRQCWPNMIDTLGFTEEEGDILEGYCIKDDNDGKSPPSSPLPHVVVPNLVVPKESSVP >Manes.17G065250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26548927:26550455:1 gene:Manes.17G065250.v8.1 transcript:Manes.17G065250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNLNLVLFIAILVAASCYYGAMAHPLAASSSSLIARLKLDEQSSGCWDSLIQLQACTGEIIVFFLNGETYLGQGCCRAVRTISRQCWPNMIDTLGFTEEEGDILEGYCIKDDNDGKSPPSSPLPHVVVPNLVVPKESSVP >Manes.11G018150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2045911:2048615:1 gene:Manes.11G018150.v8.1 transcript:Manes.11G018150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNESHSGLASESSKAPRLFIKEMVMRNFKSYAGEQRVGPFHKSCGAQWEWQEQCNRRYVICIWKASKADET >Manes.14G033200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2979385:2983289:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRSETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQVNGNALKPRK >Manes.14G033200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2977845:2983116:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRSETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAAVYAGEKFRAPVEPY >Manes.14G033200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2977849:2983116:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRSETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAAVYAGEKFRAPVEPY >Manes.14G033200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2979134:2983116:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRSETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAAVYAGEKFRAPVEPY >Manes.14G033200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2979385:2983289:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRRDRSRLELLAGQNVLPSTTSFLG >Manes.14G033200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2977290:2983116:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRSETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAAVYAGEKFRAPVEPY >Manes.14G033200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2979385:2983289:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRSETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAAVYAGEKFRAPVEPY >Manes.14G033200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2979385:2983289:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRSETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQVNGNALKPRK >Manes.14G033200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2979385:2983289:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRRDRSRLELLAGQNVLPSTTR >Manes.14G033200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2979119:2983328:1 gene:Manes.14G033200.v8.1 transcript:Manes.14G033200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGATVKKIPLYQHIANLAGNKTLVLPIPAFNVINGGSHAGNKLAMQEFMILPVRASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYNSKDKTYDLNFKEENNDGSQKISGDNLKNVYKSFATDYPIVSIEDPFDQDDWEHYSKLTTEIGERVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMAKHRSETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAAVYAGEKFRAPVEPY >Manes.09G017700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3813121:3820446:1 gene:Manes.09G017700.v8.1 transcript:Manes.09G017700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKITFLFLASFIFFLLSSETQTTGNTTLFTYTEGERGPSKWGQLSPSWTACRTAAKAQSPVDLVHKAATLQPALGDLKLQYRPAAASIKSSGNFIEVIWKGNAGKIVVDGNQYKLKKIHWHTPAEHAIDGKRVDLELHLVHQNSAGGMAVIGILFKLGDADPFLTQLLPSIKTITKEGKDLGTINPGDSGIVSKSSYFRYTGSLTNPPCTEGVVWTVLDEVKMVSAEQLDALKKAVDAEFKMNSRPLQALNGRPVKFYKAAQ >Manes.07G104400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31012937:31035780:-1 gene:Manes.07G104400.v8.1 transcript:Manes.07G104400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKALEAYASYFYHASKIWSKPLPEVYDPQDIADYFSCRPHVVALRLLEVFSAFAFVTIKIRTSRIKRSLRTISNKDINGNISQYDFGLVLKETMLSLGPTFIKVGQSLSTRPDIIGTEISKALSELHDQIPPFPRTMAMKIIEEELDSPIKSLFSYISEEPVAAASFGQVYRGNTLDGHNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSLEAANASKFLDAHSSFRFMHVPKVYHHLSRKRVLTMEWVIGESPTNLLSLSTGDTVDQDSEYSERHKIEAKRRLLDLVSKGVESSLVQLLETGLLHADPHPGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVHSLTEMDVIRPGTNIRRVTMELENSLGEVEFRDGIPNVKFSRVLSKIWSVALKFHFRMPPYYTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHSAILNRRKEFRWDRLALFLKVGSTRKVLSTETAIKHESSLDYLTNRSSSGVFDVAHLVLMLLPSRDGVVLRKLLMTADGASLVRAMVSKEAVQFRQQLCKIIADLLYQWTVQTLGLGITATQYSSQVRLINEPDNRELGPSSRLSMPLYKYQSIFRDRRLKVIFYRIIISARKDPVLMLKFCWTSFIMVVAASALACHRVFVSLSEVYISPLLLAPKRAAVGA >Manes.07G104400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31012771:31035780:-1 gene:Manes.07G104400.v8.1 transcript:Manes.07G104400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGPTFIKVGQSLSTRPDIIGTEISKALSELHDQIPPFPRTMAMKIIEEELDSPIKSLFSYISEEPVAAASFGQVYRGNTLDGHNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSLEAANASKFLDAHSSFRFMHVPKVYHHLSRKRVLTMEWVIGESPTNLLSLSTGDTVDQDSEYSERHKIEAKRRLLDLVSKGVESSLVQLLETGLLHADPHPGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVHSLTEMDVIRPGTNIRRVTMELENSLGEVEFRDGIPNVKFSRVLSKIWSVALKFHFRMPPYYTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHSAILNRRKEFRWDRLALFLKVGSTRKVLSTETAIKHESSLDYLTNRSSSGVFDVAHLVLMLLPSRDGVVLRKLLMTADGASLVRAMVSKEAVQFRQQLCKIIADLLYQWTVQTLGLGITATQYSSQVRLINEPDNRELGPSSRLSMPLYKYQSIFRDRRLKVIFYRIIISARKDPVLMLKFCWTSFIMVVAASALACHRVFVSLSEVYISPLLLAPKRAAVGA >Manes.07G104400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31012937:31035780:-1 gene:Manes.07G104400.v8.1 transcript:Manes.07G104400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEVPVIGDFSHFGIAVRKDFEFMKKGIGKGVGWANETFRLPQVFKALDDVLWLRNLEDPQAPPLEPQSWPQPSYPGLSGVDLFMADLKALEAYASYFYHASKIWSKPLPEVYDPQDIADYFSCRPHVVALRLLEVFSAFAFVTIKIRTSRIKRSLRTISNKDINGNISQYDFGLVLKETMLSLGPTFIKVGQSLSTRPDIIGTEISKALSELHDQIPPFPRTMAMKIIEEELDSPIKSLFSYISEEPVAAASFGQVYRGNTLDGHNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSLEAANASKFLDAHSSFRFMHVPKVYHHLSRKRVLTMEWVIGESPTNLLSLSTGDTVDQDSEYSERHKIEAKRRLLDLVSKGVESSLVQLLETGLLHADPHPGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVHSLTEMDVIRPGTNIRRVTMELENSLGEVEFRDGIPNVKFSRVLSKIWSVALKFHFRMPPYYTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHSAILNRRKEFRWDRLALFLKVGSTRKVLSTETAIKHESSLDYLTNRSSSGVFDVAHLVLMLLPSRDGVVLRKLLMTADGASLVRAMVSKEAVQFRQQLCKIIADLLYQWTVQTLGLGITATQYSSQVRLINEPDNRELGPSSRLSMPLYKYQSIFRDRRLKVIFYRIIISARKDPVLMLKFCWTSFIMVVAASALACHRVFVSLSEVYISPLLLAPKRAAVGA >Manes.07G104400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31012937:31035780:-1 gene:Manes.07G104400.v8.1 transcript:Manes.07G104400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAASLSSPATAACFLRGSPKSKTAVNKGMKQEVPVIGDFSHFGIAVRKDFEFMKKGIGKGVGWANETFRLPQVFKALDDVLWLRNLEDPQAPPLEPQSWPQPSYPGCNISSTGLSGVDLFMADLKALEAYLQTSCSGPSTSRAFAFVTIKIRTSRIKRSLRTISNKDINGNISQYDFGLVLKETMLSLGPTFIKVGQSLSTRPDIIGTEISKALSELHDQIPPFPRTMAMKIIEEELDSPIKSLFSYISEEPVAAASFGQVYRGNTLDGHNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSLEAANASKFLDAHSSFRFMHVPKVYHHLSRKRVLTMEWVIGESPTNLLSLSTGDTVDQDSEYSERHKIEAKRRLLDLVSKGVESSLVQLLETGLLHADPHPGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVHSLTEMDVIRPGTNIRRVTMELENSLGEVEFRDGIPNVKFSRVLSKIWSVALKFHFRMPPYYTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHSAILNRRKEFRWDRLALFLKVGSTRKVLSTETAIKHESSLDYLTNRSSSGVFDVAHLVLMLLPSRDGVVLRKLLMTADGASLVRAMVSKEAVQFRQQLCKIIADLLYQWTVQTLGLGITATQYSSQVRLINEPDNRELGPSSRLSMPLYKYQSIFRDRRLKVIFYRIIISARKDPVLMLKFCWTSFIMVVAASALACHRVFVSLSEVYISPLLLAPKRAAVGA >Manes.07G104400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31012937:31035780:-1 gene:Manes.07G104400.v8.1 transcript:Manes.07G104400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKALEAYASYFYHASKIWSKPLPEVYDPQDIADYFSCRPHVVALRLLEVFSAFAFVTIKIRTSRIKRSLRTISNKDINGNISQYDFGLVLKETMLSLGPTFIKVGQSLSTRPDIIGTEISKALSELHDQIPPFPRTMAMKIIEEELDSPIKSLFSYISEEPVAAASFGQVYRGNTLDGHNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSLEAANASKFLDAHSSFRFMHVPKVYHHLSRKRVLTMEWVIGESPTNLLSLSTGDTVDQDSEYSERHKIEAKRRLLDLVSKGVESSLVQLLETGLLHADPHPGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVHSLTEMDVIRPGTNIRRVTMELENSLGEVEFRDGIPNVKFSRVLSKIWSVALKFHFRMPPYYTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHSAILNRRKEFRWDRLALFLKVGSTRKVLSTETAIKHESSLDYLTNRSSSGVFDVAHLVLMLLPSRDGVVLRKLLMTADGASLVRAMVSKEAVQFRQQLCKIIADLLYQWTVQTLGLGITATQYSSQVRLINEPDNRELGPSSRLSMPLYKYQSIFRDRRLKVIFYRIIISARKDPVLMLKFCWTSFIMVVAASALACHRVFVSLSEVYISPLLLAPKRAAVGA >Manes.07G104400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31012771:31035780:-1 gene:Manes.07G104400.v8.1 transcript:Manes.07G104400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAASLSSPATAACFLRGSPKSKTAVNKGMKQEVPVIGDFSHFGIAVRKDFEFMKKGIGKGVGWANETFRLPQVFKALDDVLWLRNLEDPQAPPLEPQSWPQPSYPGLSGVDLFMADLKALEAYASYFYHASKIWSKPLPEVYDPQDIADYFSCRPHVVALRLLEVFSAFAFVTIKIRTSRIKRSLRTISNKDINGNISQYDFGLVLKETMLSLGPTFIKVGQSLSTRPDIIGTEISKALSELHDQIPPFPRTMAMKIIEEELDSPIKSLFSYISEEPVAAASFGQVYRGNTLDGHNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSLEAANASKFLDAHSSFRFMHVPKVYHHLSRKRVLTMEWVIGESPTNLLSLSTGDTVDQDSEYSERHKIEAKRRLLDLVSKGVESSLVQLLETGLLHADPHPGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVHSLTEMDVIRPGTNIRRVTMELENSLGEVEFRDGIPNVKFSRVLSKIWSVALKFHFRMPPYYTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHSAILNRRKEFRWDRLALFLKVGSTRKVLSTETAIKHESSLDYLTNRSSSGVFDVAHLVLMLLPSRDGVVLRKLLMTADGASLVRAMVSKEAVQFRQQLCKIIADLLYQWTVQTLGLGITATQYSSQVRLINEPDNRELGPSSRLSMPLYKYQSIFRDRRLKVIFYRIIISARKDPVLMLKFCWTSFIMVVAASALACHRVFVSLSEVYISPLLLAPKRAAVGA >Manes.07G104400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31012771:31035780:-1 gene:Manes.07G104400.v8.1 transcript:Manes.07G104400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKALEAYASYFYHASKIWSKPLPEVYDPQDIADYFSCRPHVVALRLLEVFSAFAFVTIKIRTSRIKRSLRTISNKDINGNISQYDFGLVLKETMLSLGPTFIKVGQSLSTRPDIIGTEISKALSELHDQIPPFPRTMAMKIIEEELDSPIKSLFSYISEEPVAAASFGQVYRGNTLDGHNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSLEAANASKFLDAHSSFRFMHVPKVYHHLSRKRVLTMEWVIGESPTNLLSLSTGDTVDQDSEYSERHKIEAKRRLLDLVSKGVESSLVQLLETGLLHADPHPGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVHSLTEMDVIRPGTNIRRVTMELENSLGEVEFRDGIPNVKFSRVLSKIWSVALKFHFRMPPYYTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHSAILNRRKEFRWDRLALFLKVGSTRKVLSTETAIKHESSLDYLTNRSSSGVFDVAHLVLMLLPSRDGVVLRKLLMTADGASLVRAMVSKEAVQFRQQLCKIIADLLYQWTVQTLGLGITATQYSSQVRLINEPDNRELGPSSRLSMPLYKYQSIFRDRRLKVIFYRIIISARKDPVLMLKFCWTSFIMVVAASALACHRVFVSLSEVYISPLLLAPKRAAVGA >Manes.07G104400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31012937:31033276:-1 gene:Manes.07G104400.v8.1 transcript:Manes.07G104400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKALEAYASYFYHASKIWSKPLPEVYDPQDIADYFSCRPHVVALRLLEVFSAFAFVTIKIRTSRIKRSLRTISNKDINGNISQYDFGLVLKETMLSLGPTFIKVGQSLSTRPDIIGTEISKALSELHDQIPPFPRTMAMKIIEEELDSPIKSLFSYISEEPVAAASFGQVYRGNTLDGHNVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSLEAANASKFLDAHSSFRFMHVPKVYHHLSRKRVLTMEWVIGESPTNLLSLSTGDTVDQDSEYSERHKIEAKRRLLDLVSKGVESSLVQLLETGLLHADPHPGNLRYTPSGQIGFLDFGLLCQMEKKHQFAMLASIVHIVNGDWASLVHSLTEMDVIRPGTNIRRVTMELENSLGEVEFRDGIPNVKFSRVLSKIWSVALKFHFRMPPYYTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHSAILNRRKEFRWDRLALFLKVGSTRKVLSTETAIKHESSLDYLTNRSSSGVFDVAHLVLMLLPSRDGVVLRKLLMTADGASLVRAMVSKEAVQFRQQLCKIIADLLYQWTVQTLGLGITATQYSSQVRLINEPDNRELGPSSRLSMPLYKYQSIFRDRRLKVIFYRIIISARKDPVLMLKFCWTSFIMVVAASALACHRVFVSLSEVYISPLLLAPKRAAVGA >Manes.06G057200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15560668:15564666:1 gene:Manes.06G057200.v8.1 transcript:Manes.06G057200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIDVVPEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAATFQSLSWQDVQGTNYTLPDYRIDLGSSSKFSNKISTRAPARNVAEDRVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHYPHIHFGLMLETNSRAKVDDASEKQLMPRAAVCNK >Manes.03G164100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29097548:29101459:1 gene:Manes.03G164100.v8.1 transcript:Manes.03G164100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEPVHVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHLLDIPPAAEALNGVKMELVDAAFPLLKGIVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVKTSSGEKPVRELIKDDEWLNTEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPSGLIYSFPVTCQNGEWKIVQGLHIDEFSRKKLDLTAEELSEEKALAYSCLS >Manes.02G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5866998:5871016:-1 gene:Manes.02G077200.v8.1 transcript:Manes.02G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWKIESMADVFILLLLAVFVVAAADEAPFIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYNADRGMLVALANTGIQVMVSVPNEQLLGIGQSNSTAANWVSHNVVAHYPATNITAISVGSEVLTALPNAAPVLVNALKFIHSALVASNLDRQIKVSTPISSSIILDSFPPSQAFFNRSWNPVLVPMLNFLQSTGSYLMLNVYPYYDYMQSNGVIPLDYALLKPLAPNKEAVDANTLVHYSNVFDAMVDAAYFAMAFLNFTNVPVIVTESGWPSKGDFNEPDATIENANTYNSNLIRHVLNKTGTPKHPGIAVSTYIYELYNEDLKPGPVSEKNWGLFNANGEPVYILHLTGSGLVLANDTTNQTYCSAKEGADPKMLQAALDWACGPGKVDCSALLQGESCYEPDNVIAHATYAFDSYYHQMGKAPGTCDFNGVAAITTTNPSHGTCIFPGSSGKINGTLVNITAPSMNSTSSDSPAQKLYNSRYLSISMLLRVVIWILAVL >Manes.01G039084.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7562144:7565131:-1 gene:Manes.01G039084.v8.1 transcript:Manes.01G039084.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSANIPVVELLALLILLQSFLFCCSGTNFHGSCINIERETLLRFKSSLANSSNTLTSWVGDNCCRWEGVSCDNTTGHVVELDLFMRGLEGKVSLHLGNLSNLQHLELGSNGLAIDTLYFPSSLKYLDLEGVPLNKCANWLQSINMLPSLLELYLSFCELSITGHVSHVNLTSLEVLNLRGNNFNSTIPSWLFNITNLQHLDLSYSAFRGSLSTQIGNIPKTLNKLCNLSELHLETNKFSGEISGPFGNSSSCIHNSLQHLYLSNNSFSGSLPNNLGEFKRLKVLQLSHNFFCGSIPVSIGHLCNLQTLNFSQNSLHGEVTELHLSKLGALSELVMSGNSLVFDIDAKWIPPFQLYWIDLSSCKLGPRFPQWLKTQKRIVYLVMSNASISDSIPDWFENISSTIEGLDLSYNQLFGSLPNLRKVDTLGDRFISLKFNKFVSLANFHTDAGILDLSNNLLHGQIPRNISKMMPRLQFLSLSNNYLNGTIPASLCRIISFNILILSRNRLSGRIPSCLGNLGDLTVIDLSYNMLSGHVPMSLGSQHFLASLHLQNNNLQGKIPMSLRNLVYLEALDLSMNAFDGFIPWWIGESLSALKMLSLHSNKFEGEIPLQLCHLASLRILNLANNMMTGTVPTCFGNFTAISTHENNGIWDYHSYALDGSFEGDVYGENVQVYVKGIELEYTRTLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGFCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKFWVTIAIKANQVKRKFLRNKLEGNA >Manes.01G039084.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7542538:7565131:-1 gene:Manes.01G039084.v8.1 transcript:Manes.01G039084.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSANIPVVELLALLILLQSFLFCCSGTNFHGSCINIERETLLRFKSSLANSSNTLTSWVGDNCCRWEGVSCDNTTGHVVELDLFMRGLEGKVSLHLGNLSNLQHLELGSNGLAIDTLYFPSSLKYLDLEGVPLNKCANWLQSINMLPSLLELYLSFCELSITGHVSHVNLTSLEVLNLRGNNFNSTIPSWLFNITNLQHLDLSYSAFRGSLSTQIGNIPKTLNKLCNLSELHLETNKFSGEISGPFGNSSSCIHNSLQHLYLSNNSFSGSLPNNLGEFKRLKVLQLSHNFFCGSIPVSIGHLCNLQTLNFSQNSLHGEVTELHLSKLGALSELVMSGNSLVFDIDAKWIPPFQLYWIDLSSCKLGPRFPQWLKTQKRIVYLVMSNASISDSIPDWFENISSTIEGLDLSYNQLFGSLPNLRKVDTLGDRFISLKFNKFVSLANFHTDAGILDLSNNLLHGQIPRNISKMMPRLQFLSLSNNYLNGTIPASLCRIISFNILILSRNRLSGRIPSCLGNLGDLTVIDLSYNMLSGHVPMSLGSQHFLASLHLQNNNLQGKIPMSLRNLVYLEALDLSMNAFDGFIPWWIGESLSALKMLSLHSNKFEGEIPLQLCHLASLRILNLANNMMTGTVPTCFGNFTAISTHENNGIWDYHSYALDGSFEGDVYGENVQVYVKGIELEYTRTLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGFCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKFWVTIAIKANQVKRKFLRNKLEGNA >Manes.15G191300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28363447:28380551:-1 gene:Manes.15G191300.v8.1 transcript:Manes.15G191300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISDDEDDLLAHFLESEVLSEVSDQEEAEETEIEEKEEVEPKAKRVRSNEIERSKEKEKEKEKEKGKGKGKEKYSTKPRRIESGILSKIPPELFPHILKFLSSEDLMACSLTCKFLNYAASDESLWRRLYCMRWGLLPPFKKLCDCVWKNLYIQRDEEDMVRLVRNCPPEFKEYYMQMHAAKRSQAPLPSQVKDDQIILDKTLADQVSIWKKSKGLTDNVVTDHACSGDKCSYHQIGDVFVCEKTGNVHVCDETCREVVINPVNELLVCTISGLCFDRLLSPYEMEPYPAEGAATEEAEPFMGSGRFARAYSLGYNCDDEKELEACLRFC >Manes.01G004625.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2181600:2182130:-1 gene:Manes.01G004625.v8.1 transcript:Manes.01G004625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQTKETIVFTYGTLKRDFPNYTLIQDLILQNDAAYIGTCITHQSHPLVIGPHGIPFLIKLPGAGHHVNGELYCVSTRGLARLDEFEGTSNGHYERLPIQVTKTENKGEGSDIVLSEAEAYYAHRSFGERLWEKRGRIGLSEYSQNNAKEYVKKEDRDKDVSFLDSIEMLLSKS >Manes.09G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34853881:34855381:1 gene:Manes.09G151400.v8.1 transcript:Manes.09G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYSPMTNNVSLSSSSSSPSLYGPDTSELQRMLLTKNKKKLSKQLSMCETRRDIAWEKRRHQTLMQERKKNNIIDSEDLTDEDLNELKGCIELGFGFNEEEGQQLCNTLPALDLYFAVNRQLSPSPVSTPECPETMSSLGKRSSSFGSQTSDSDWKICSPGDDPQQVKTKLRHWAQAVACSVLQSY >Manes.01G105600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30531898:30534939:1 gene:Manes.01G105600.v8.1 transcript:Manes.01G105600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPLYGFHDPESFVQSIQKPRVILMLVKAGAPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKAMAEMGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYVEDILLKVAAQVSDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQNVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDVLTDQAVDKQKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWDLKLGELARIWKGGCIIRAVFLDRIKKAYDRNLDLANLLVDPEFAKEIIERQSAWRRVICLAINSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDIEGSFHTEWFKIARQLN >Manes.01G105600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30531696:30535796:1 gene:Manes.01G105600.v8.1 transcript:Manes.01G105600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPLYGFHDPESFVQSIQKPRVILMLVKAGAPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKAMAEMGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYVEDILLKVAAQVSDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQNVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDVLTDQAVDKQKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWDLKLGELARIWKGGCIIRAVFLDRIKKAYDRNLDLANLLVDPEFAKEIIERQSAWRRVICLAINSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDIEGSFHTEWFKIARQLN >Manes.01G105600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30531697:30535424:1 gene:Manes.01G105600.v8.1 transcript:Manes.01G105600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKKEGDLPLYGFHDPESFVQSIQKPRVILMLVKAGAPVDQTIKTLSAYMEKGDCIIDGGNEWYENTERREKAMAEMGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYVEDILLKVAAQVSDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQNVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDVLTDQAVDKQKLIDDVRQALYASKICSYAQGMNLIRAKSTEKGWDLKLGELARIWKGGCIIRAVFLDRIKKAYDRNLDLANLLVDPEFAKEIIERQSAWRRVICLAINSGISTPGMSSSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDIEGSFHTEWFKIARQLN >Manes.10G038800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3989929:3995709:1 gene:Manes.10G038800.v8.1 transcript:Manes.10G038800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKELSEKLKKIPLLSKGASSSSDNRASSLVKEELKVQSSITGGVQGVNPPTFTAVSAGTLSSTLAAGKPPASGMESLPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQVPAEVSVPQKPTKAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSVRVIIKEKTKEPIPEIEWWDVPLLPSGTYSDIDDGDITEKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPSERREKKEKKLFDDPNIVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEDEEEDGDDSDDKPVNKCVLVWQGSVAKPSFNRFSVHECVTEAAARKVFADAGVGHYWDLAVNFCDDQM >Manes.10G038800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3989935:3995737:1 gene:Manes.10G038800.v8.1 transcript:Manes.10G038800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKELSEKLKKIPLLSKGASSSSDNRASSLVKEELKVQSSITGGVQGVNPPTFTAVSAGTLSSTLAAGKPPASGMESLPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQVPAEVSVPQKPTKAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSVRVIIKEKTKEPIPEIEWWDVPLLPSGTYSDIDDGDITEKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPSERREKKEKKLFDDPNIVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEDEEEDGDDSDDKPVNKCVLVWQGSVAKPSFNRFSVHECVTEAAARKVFADAGVGHYWDLAVNFCDDQMYGLMKLI >Manes.10G038800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3989935:3995511:1 gene:Manes.10G038800.v8.1 transcript:Manes.10G038800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQLAVVLPMESMLLTMVLPWNHSLSHRAFCLRVLWDLFILFLSSTDGASSAAGKSGSLSLDALAKAKKALQMQKELSEKLKKIPLLSKGASSSSDNRASSLVKEELKVQSSITGGVQGVNPPTFTAVSAGTLSSTLAAGKPPASGMESLPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQVPAEVSVPQKPTKAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSVRVIIKEKTKEPIPEIEWWDVPLLPSGTYSDIDDGDITEKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPSERREKKEKKLFDDPNIVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEDEEEDGDDSDDKPVNKCVLVWQGSVAKPSFNRFSVHECVTEAAARKVFADAGVGHYWDLAVNFCDDQM >Manes.10G038800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3989931:3997053:1 gene:Manes.10G038800.v8.1 transcript:Manes.10G038800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKELSEKLKKIPLLSKGASSSSDNRASSLVKEELKVQSSITGGVQGVNPPTFTAVSAGTLSSTLAAGKPPASGMESLPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQVPAEVSVPQKPTKAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSVRVIIKEKTKEPIPEIEWWDVPLLPSGTYSDIDDGDITEKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPSERREKKEKKLFDDPNIVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEDEEEDGDDSDDKPVNKCVLVWQGSVAKPSFNRFSVHECVTEAAARKVFADAGVGHYWDLAVNFCDDQM >Manes.10G038800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3992195:3995511:1 gene:Manes.10G038800.v8.1 transcript:Manes.10G038800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKELSEKLKKIPLLSKGASSSSDNRASSLVKEELKVQSSITGGVQGVNPPTFTAVSAGTLSSTLAAGKPPASGMESLPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQVPAEVSVPQKPTKAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSVRVIIKEKTKEPIPEIEWWDVPLLPSGTYSDIDDGDITEKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPSERREKKEKKLFDDPNIVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEDEEEDGDDSDDKPVNKCVLVWQGSVAKPSFNRFSVHECVTEAAARKVFADAGVGHYWDLAVNFCDDQM >Manes.10G038800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3989935:3995503:1 gene:Manes.10G038800.v8.1 transcript:Manes.10G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSNKDKSSKRSREDRDRDSTKDHRVRERDHKHRSRDRDRDDKHRESDSLHRHHRSDRESHRDYYKSSRREDRESSRDRELKRERSYEPREDREGSREALERSHEPRSNKKSPSLRREDRERSRERSYDLREDGEGNRDGREREANGEMSYDSEDLEYEARRKRKERGDDEDRVVERAKRARVSEEKRERKRFEDKVKEEDSTDENDNNISIENAKRMESSLINAKQEVNDEPISGSTANGVNATDNGAALESFTKPSSFLPESPMGSVHPLPIKVSSISNTNENRGVSITRSHEVPGKSSTDGASSAAGKSGSLSLDALAKAKKALQMQKELSEKLKKIPLLSKGASSSSDNRASSLVKEELKVQSSITGGVQGVNPPTFTAVSAGTLSSTLAAGKPPASGMESLPGLASIPNIEAVKRAQELAAKMGFRQDPEFAPLINLFPGQVPAEVSVPQKPTKAPVLRIDALGREIDEHGNVVNVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPESNPHFDQSMGINKNKLLRPKRMSFQFVEEGKWSKEAEMMKLKSQFGEERAKDMKARQALHAKAKAAPDINPNLIEVSVRVIIKEKTKEPIPEIEWWDVPLLPSGTYSDIDDGDITEKLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNIARKLTPSERREKKEKKLFDDPNIVETIVSVYKINDLSHKKTRFKVDVNAQENRLTGCVVISEGINVVVVEGGSKSIKRYGKLMLRRINWAEAVEDEEEDGDDSDDKPVNKCVLVWQGSVAKPSFNRFSVHECVTEAAARKVFADAGVGHYWDLAVNFCDDQM >Manes.05G137535.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19768991:19773003:1 gene:Manes.05G137535.v8.1 transcript:Manes.05G137535.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >Manes.12G008400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:892749:894969:1 gene:Manes.12G008400.v8.1 transcript:Manes.12G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKNKGKVYPSPASSSSSVAAIKGGDRDVLSVLKLLPAAILALASVLSLEDREVLAYMITRSLKTTTNHNPNDPSSLSQDSKRKSSKKPPNAPTTNFVSSNHKPPIFDCDCFDCYTSYWFRWDSSSNRELIHQVIEAFEEHLTNGEQSRKSSKGKRRDRVGRRVGEKPVLDVTDRPEMLETPPLNADESSIVSPDDDVPLVGTPERAAEGEGEEEKGAKRTEEKSELVPAVETEEMAVVRSPQAVSSHKGLARKVLPDVLGLLNSRLWSLWGPNV >Manes.08G158500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39449405:39452741:-1 gene:Manes.08G158500.v8.1 transcript:Manes.08G158500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLIWRPYILSSTRPHRHHSLLHHQALPASSLLQPPKRRFVSHFAILPNKTETNFLFWSRIHDRKTKLQIESDDYKLSKLGFGFAGSRGLTVRATDVNDAGSIDSPLMQSMERKIKEELNAESVTVKDAYGDGRHVSIDVISSAFEGQSAVNRQRMVYKAIWEELQSTVHAVDQMTTKTPSEAAAQK >Manes.09G037701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7114490:7115068:1 gene:Manes.09G037701.v8.1 transcript:Manes.09G037701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQFLSSFKYSDSLTVVAISICTAVVCEAISWILIYRTNSYKSLKSSIDKASKKLETMKTETAKVTIKKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVALVLFIVFGLLNSLFEGKVVAKLPFKPIGIVMKMSHRGLQGDDATDCSMAFLYFLCSISIRTNLQKFLGFSPPRGAAGAGLFPMPDPKTN >Manes.16G062901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24528695:24529154:-1 gene:Manes.16G062901.v8.1 transcript:Manes.16G062901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRRIRYLHLSQTKSLISIIRVFISLQSRSHSHPPQSSVPQPPTGHPSCHPLAKASLFSPSFAASVPQPRPPTHPIASPTATHRPPTLPPTRQSQTCKFQCFTTVSLTG >Manes.18G029800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2525147:2527437:1 gene:Manes.18G029800.v8.1 transcript:Manes.18G029800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLLSKHLSDNYKIAPSTGTLQHSPIFSPTKFQPSLTSNAAKTNFHRESLTSPESSDKGFFRRFLHRNAMNQLPEFLSVPVGEKLREKLKGININGERLHLNALTPPTTQETATGDPNLFGISVVDARKLLRLSQVEKLKMKFKEIPKASISYSEFIQLCVEECGNEHQGIEFAKTLDQSGNVIILGNTVFLRPEQVAKSMESIISQSMALPNDPRRKQLEQMEQQKAIIDKKAQAQVRGELYCGLGFLVVQTLGFMRLTFWELSWDVMEPICFFVTSLHFALAYAFFLRTSVEPSFEGYFRRRFKAKQKKLMQIHSFDLQKYNELRKAFYPNLGYGFPHSEHYKPLNSKEGEFLRSMHY >Manes.11G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24257325:24269106:-1 gene:Manes.11G105300.v8.1 transcript:Manes.11G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRNTHTQMEGENGIGEKRSLVFAVNGKRFELSTVDPSMTLLEFLRTQTSFKSVKLGCGEGGCGACTVLISKYDSLLDKVEDFTASSCLTLVCSINQCSITTTEGLGNSRVGFHSIHKRFSGFHASQCGFCTPGMCMALFGALVNADKTDRPEPSPGFSKLTVIEAEEAIAGNLCRCTGYRPIVDASKSFAAEVDMEDLGFNCFWKEGDKQEINLSRLPSYNHNNEICAFPAAFKKEIKASLIIDSNRSSWHQPSSLKDLQSLLKCSDANNGVRTKLVVGNTGMGYFKELEQYDRYIDLRYIPELSAIWRDHTGIEIGAAVTISKTIEALREGNKDEFTPTSKMVFNKIADHMEKIASKFIRNTGSIGGNLVMAQGKHFPSDIATILLATGSFVHIINGAVHEKLSMEEFLERPPMDSKSVLISFRIPNCESINPERDSKLLFETYRAAPRPLGNALPYLNAAFLAEVSSSRSSSSGYVLNSCQFAFGAFGTKHAIKARKVEEFLTGKLLSTSVLYEAIKLVKAAVVPEDGTPEPAYRSSLAVSFVFDFLGLLVDSNPNSLLNGYNGHLTSEDVNSKEKYDNLDHFKFPTMLSSSKQVIQLNKDYHPIGEPITKSGSALQASGEAIYVDDIPSPENCLYGAFIYSTKPFARVKGIEFTSKSLPDGVHSLISFKDIPKDGQNIGTIAFGPEPLFAEEFTEYAGQPLALVLADTQKHADIAAMLAVIDYDVENLEPPILTEEEAVERSSLFGVPPTLYPKQVGDLSKGMDEADHKIFSSEIKLGSQYYFYMENQTALAVPDEDNCMMVYSSSQGPEHAQVAIAKCLGIPEHNVRVLTRRVGGGFGGKAMRSTPIATACALAAHKLQRPVRTYVNRKTDMIMIGGRHPMKITYNVGFKSNGKITALHLEILINAGISLDFSPLMPKTIVGALKKYDWGALSFDIKLCKTNLSSKSAMRGPGEVQGSYIAEAVIEQVASFLSIDADSVRTINFHTYDSLKLFYDVSAGDPLEYTLISIWDQLAISSNFNQRVEIVKEFNRCNVWKKRGVSRIPCIYDVMVRPTPGKVSILSDGSIVVEVGGIELGQGLWTKVKQMVAFALSSIQCEGTEDFLDKVRVIQADTLSLIQGGITGGSTSSESSCEAARLCCEVLVERLTPLKERLSKEMDSISWEMLIKQAHLEAVNLSINSFFTPEFASSNYLNYGAAVSEVEVDLLTGQTTILRTDILYDCGKSLNPAVDLGQIEGAFVQGIGFFMLEEHTSNSDGLVEAKGTWTYKIPTVDTIPKQFNVQLLNSGHNPKRVLSSKASGEPPLLLAASVHCATKAAIREARKQLYSWGCLQSSYSTFHLKVPATMPIVKELCGVDNVERYLQFKMGRN >Manes.03G076300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13238753:13246890:1 gene:Manes.03G076300.v8.1 transcript:Manes.03G076300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICSQHTISLPDIYLFNFQKLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQSISAFSAIIDRLGEFDDVLDSSISKHLSELSEEISLSYCNFRNSLVLESNGSVPVDNCQKLLSIENLTLQTPTSKATLIRDLSLVINEKDHLLVTGPSGSGKTSLLRALAGLWNVGRGKITFYVDDADDPQLPTSSELPANEINTSHEKAGELEGPINRNSRGLFFLPQRPYMVLGTLRQQLLYPTWADDTTPMSDGAKPVAGSLSFLMGKSNSENGGAKPNKPTTDDLIQVLENVRLGYILSRFGSLDSTYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYRQIEAAGITYVSVGHRRTLYEHHNMTLRISTADPNCNKRNWDIESISSKPMYDFSSQ >Manes.03G076300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13238753:13246890:1 gene:Manes.03G076300.v8.1 transcript:Manes.03G076300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQSISAFSAIIDRLGEFDDVLDSSISKHLSELSEEISLSYCNFRNSLVLESNGSVPVDNCQKLLSIENLTLQTPTSKATLIRDLSLVINEKDHLLVTGPSGSGKTSLLRALAGLWNVGRGKITFYVDDADDPQLPTSSELPANEINTSHEKAGELEGPINRNSRGLFFLPQRPYMVLGTLRQQLLYPTWADDTTPMSDGAKPVGSLSFLMGKSNSENGGAKPNKPTTDDLIQVLENVRLGYILSRFGSLDSTYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYRQIEAAGITYVSVGHRRTLYEHHNMTLRISTADPNCNKRNWDIESISSKPMYDFSSQ >Manes.03G076300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13238753:13246890:1 gene:Manes.03G076300.v8.1 transcript:Manes.03G076300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQSISAFSAIIDRLGEFDDVLDSSISKHLSELSEEISLSYCNFRNSLVLESNGSVPVDNCQKLLSIENLTLQTPTSKATLIRDLSLVINEKDHLLVTGPSGSGKTSLLRALAGLWNVGRGKITFYVDDADDPQLPTSSELPANEINTSHEKAGELEGPINRNSRGLFFLPQRPYMVLGTLRQQLLYPTWADDTTPMSDGAKPVAGSLSFLMGKSNSENGGAKPNKPTTDDLIQVLENVRLGYILSRFGSLDSTYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYRQIEAAGITYVSVGHRRTLYEHHNMTLRISTADPNCNKRNWDIESISSKPMYDFSSQ >Manes.03G076300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13238753:13246890:1 gene:Manes.03G076300.v8.1 transcript:Manes.03G076300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICSQHTISLPDIYLFNFQKLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQSISAFSAIIDRLGEFDDVLDSSISKHLSELSEEISLSYCNFRNSLVLESNGSVPVDNCQKLLSIENLTLQTPTSKATLIRDLSLVINEKDHLLVTGPSGSGKTSLLRALAGLWNVGRGKITFYVDDADDPQLPTSSELPANEINTSHEKAGELEGPINRNSRGLFFLPQRPYMVLGTLRQQLLYPTWADDTTPMSDGAKPVGSLSFLMGKSNSENGGAKPNKPTTDDLIQVLENVRLGYILSRFGSLDSTYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYRQIEAAGITYVSVGHRRTLYEHHNMTLRISTADPNCNKRNWDIESISSKPMYDFSSQ >Manes.03G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3278566:3293317:1 gene:Manes.03G037500.v8.1 transcript:Manes.03G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVNIIVGSHVWVEDPAVAWIDGEVFKINGEEVHVHTTNGKTVVTNISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLATRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAQFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAALPEEREKYKLGDPKSFHYLNQSNCYQLDGVNDAEEYLATRRAMDVVGISEEDQVAIFRVVAAILHLGNIEFAKGKEIDSSVLKDEKSRFHLNMTAELLKCDAKSLEDALIKRVMVTPEEVITRTLDPVGAVVSRDALAKTIYSRLFDWLVDKINSSIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVTYQADQFLDKNKDYVVAEHQSLLTASECSFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLEGNHDDKVACQMILDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIARKEFIAVRQAAIHLQSHCRGVLARKQFEQLRREAAALKIQRNFRRYTARKSYLTLYLSAVTLQTGLRAMTARDEFRFRKQTKAAIVIQAQLRCHIAYSYYKRLQKAALASQCGWRQRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLQDALHAMQIQVEEANARVIKEREAARKAIEEAPPVIKETPVIVQDTEKVGQLTAEVESLKALLLSEIQAAEETRKACKDAEARNSELSKKLEDAERKVDQIQETVQRLEEKLSNSESENQVLRQQALTMSPTGKSLSGRPRSIIIRRTPENGNVANGEQKVASDMMVAIANAREPESEEKPQKSLNEKQQENQDLLIKCISQNLSFSGGKPVAACIIYKCLLHWRSFEVERTSVFDRIIQTVASAIEVPDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTTSASLFGRMSQGLRASPQSAGLSFLNGRALSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSYLMIMKANYVPPFLVRKMFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCSGATGEFAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFTVDDISKSMQQVEIADIDPPPLLRENSGFGFLLPRPE >Manes.05G152600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26268150:26273000:1 gene:Manes.05G152600.v8.1 transcript:Manes.05G152600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSRSNSRSQSRSRSPRDRRIRSRRNSHRDAPYRRETHRGFSQSNLCNNCKRPGHFARECPNAAVCNNCGLPGHIAAECTTQSRCWNCREPGHVASNCPNEGICHSCGNSGHRARDCPNPEMPPGELRLCNNCYKPGHIAAECTNDKACKNCRKTGHIARDCQNEPVCNLCNIAGHVARQCPKGNNVAERGGWGRNSGYQDVVCRTCNQVGHMSRDCVGPMIICHNCGGRGHRAFECPSGRLADRGFRRF >Manes.05G048700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4034541:4037726:1 gene:Manes.05G048700.v8.1 transcript:Manes.05G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMPLPFDDFQGKGVLDFSSSSSSSLDSFSQLPPQNQQQQQQKWQNNNAKENYCYVGSEPTSVLEARRSPSPPTSSSTLSSSQGGSNGGGGGASTETTTGVAAAVSGFPSGDISTEKCGQLGMEDWEGVLPGSPSQEQSILRLIMGDVEDPSLGLNKLLQSGSGSQEMEFNAGFGVVDQGFGFEPMNSSSLVNNIDPSVHGTSSEFPLLSHNAKNGSVLSQNPNPVIPTSAGNLLLGMFQHGEEKPQIFNPQVTTNQNPAQFGENPAMFLPLSYAQLQEHQLLSAPPAKRLNSGPFGANYQVPKVPFSDSKPDLFLQRQQQQQHQIQMLQQQRPAMMKQKIMTDELAVQQLQQAILNPICQAAELIESGNPVLAQGILARLNHQLSLSIGKPHIRAAFYFKEALQLLLHMNNTTIPSSVSACSLISKIGAYKSFSEISPILQFANFTCNQALLEACEGFDRIHIIDFDIGFGGQWASLMQELAMRNGGVPSLKITAFASPSSHDEVELGFTQENLRIFASEINMPFEVEILGLESINSGSWSLPFRASEKEVIAVNLPIGPFSNYPSSLPVALRFVKQLSPKVVVSLDKGCDRTDLPFAHQVNHSIQSYSSLLESLEAVNMNLDVLQKIERSLVQPGIENIVLGRHRHPDRTPTWRSLFLQTGFTPFQFSNFAESQAECLVQRTPVRGFNVEKRQSSLVLCWQGKELISASAWRC >Manes.04G045337.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5280147:5281124:-1 gene:Manes.04G045337.v8.1 transcript:Manes.04G045337.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFSSKSTTVLVIFFILAVLRQVRVEATRVLQEDFATANHLENYSLVYEKAKNTMACWLESLASGPSHKGPGH >Manes.09G070300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:14463225:14469349:-1 gene:Manes.09G070300.v8.1 transcript:Manes.09G070300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSFIPVHPDSHFPIQNLPYGVFKPQPTSTPRPAVAIGDYALDLYEIALAGLFYGPILKGSDCFLQPNLNKFLAMGRPAWKEARVTLQKLLSSSEPALRDNESLRQKSLVPLSKVEMLLPVAIGDYTDFFSSMHHAKNCGTIFRGPQNPIPANWFHLPIAYHGRASSIVISGTDIVRPRGQGHPAGNSPPYFGPSKKLDFELEMAAVVGPGNELGKPVDVNEAGDHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALEPYACDAPKQDPNPLPYLAEKKSKNYDITLEVQIKPAGQEDSCLVTRSNFKNLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPEPESLGCLLELTWNGTKPLSLNGTTRTFLEDGDEVIFSGYSKGNGYNVGFGTCSGKILPSLPC >Manes.09G179400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36876805:36891739:1 gene:Manes.09G179400.v8.1 transcript:Manes.09G179400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPLSLSLTFLLLPVFLPLFFTSVASQCKNSPIIFNFGDSNSDTGGLAAGLGFPVNLPNGRLFFRRSTGRLSDGRLLIDFLCQSLNASLLSPYLDSLGSSFSNGANFAVVGSSTLPKYVPFSLNIQIMQFLHFKARALELFTAGNMINAEGFQNALYMIDIGQNDLADSFSKNLSYVQVIKRIPSIIREIENAVKTLYGQGGWKFWIHNTGPFGCLPQKLALVQKKDLDPHGCISSYNSAAKLFNEGLRRSCRRLRSQLTGATIVYVDMYSIKYDLIANASKYGFSSPLMACCGYGGPPYNYNIKVTCGQPGYQVCNEGSRFLSWDGIHYTEAANAIMASKVLSTAYSSPSTLFDFFCRN >Manes.17G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30312305:30315294:-1 gene:Manes.17G095000.v8.1 transcript:Manes.17G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRNKKLMSISLLISLVPIVNGILPDFPQQSLSIKHFVLVHGSGHGAWSWYKIVALLKSSGHNVTAIDLAASGIDLQQVSTLRSISDYHRPLMELMTSLAEHEKVILVGHSLGGLAISEAMERFPDKISAAVFVTALMPGPSLSISTLINESLNRSGPLLDSSYIYGDGPNNPPTALSFGPVFLSSVMYKLSPIEDCALATTLVRPFCLFSEEDMSKKIILTAKNYGSVRRIFLISEKDKVSKRDFQLWMIQRNPPNEVIEILGSDHMLMMSKASELWCHLLSIAGKCS >Manes.10G114850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28070824:28072398:1 gene:Manes.10G114850.v8.1 transcript:Manes.10G114850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVEGVFKNFSATFVGGNKNLCGGIPNFGLPECKFEQSKRRPTTKLKIIISAICVVTSITFFLIALLLWHLSKRRKGEATSLFDGNSLLKLSYQSLLKATNGFSSDNLIGVGSFGSVYKGILDQEGMIIAVKVLNLMRRGASKTFIAECEALRNIRHRNLVKVITACSGVDYQGNDFKALVYNFMVNGSLEDWLHPTLGLEEVPRSLNIVQRLSIAIDIACALEYLHNQCGTPIVHCDLKPSNILLDEEMVGHVSDFGLVKFLSSGMLDYSTNHSSSLGIRGTVGYCPPEYGVGSEVSTHGDVFSFGILLLEMFTGKKPIDDMFQNNLSLHSFVKRGLSEQVKEIVDPKLFQMRLNGDATSNYNHNFRNGRNNILIECLTSTLEIGMCCSLESPQERMNISDAIAQLTSIRSKLVGTRLPWGRETVSELQVC >Manes.04G022700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2717411:2720324:1 gene:Manes.04G022700.v8.1 transcript:Manes.04G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIDSLAEKINPKMRIVILYLALLDFLLFINCEGWCMEEEREALLQIKISINSPVGTAFSSWYGQDCCQWEGVECNVSTSRVIKIFFQHRRMVPYESWYPNATLFTQFKDLQELHLPGNQIGGFISFHALHKLKHLEKLDLRDNEIGNSAYLCWGNIHTLWYVDLSWNRLQGNIPQCLCESLSLTDLILSHNKLEGNLDECLSNLTSLKRLSLSGNSFNGAFPSFLFHNLTNIQYLDIANNQFKDVISFSIFANLLKLSHLDVSYNPDLEIETESPSWSPSFSLNYLGLGGCNLNERSGRNIPSFLSTQHLEFLDLSYNSLFGRFPSWLLYNVSSELWIRGNNLSGPFPRSQRNMSLQLTTLDISDNNLYGLFPVDIKSYFPYLELLNVSHNVFNGSIQSIGGLSQLRYLDLSDNNLQGGTSHETFSNLTYLEYLNLSNNNLQGEVLPRNSSLPNLKWLLLDRNGFTGTFPDGLLKCSSLKVVSMSHNELSGDLSTSFPVFPQLKALLLRGNRFKGSIPLQLCQMKHLGILDLSENGLSGEIPTCFSNITSLVEGSSGSPDFEISHSSVSVDLTVNQRSLTFAGRILDYFTAIDLSSNKLKGTIPIQVGELKGIRFLNMSNNLLTGEIPDCLGNLKSVEGIDLSYNGLSGNIPSNLQDLTFLGVFDVGHNNLSGLIPLGSQFGTFDESSYLGNPNLCGPPLKKECNLHAEKSPGNGLCDTSSQIMESSILVFVVVIHILLLC >Manes.10G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2950441:2956880:1 gene:Manes.10G029000.v8.1 transcript:Manes.10G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFISQFHRQSDYNYFSSATPIVIDNGASYFRIGWAGENDPRVIFRNIVQRPRHKSTGETVTIVGDHDPALLKYFDCTRSGPRSAFDSNVVYQFEIMEYILDFGFDRLGANGSQIDHPILITECVCNPVQSRSKMAELLFETYGVPSVAFGVDAAFSYKYNQRRGICNKDGLAICPGFTTTHVIPFIDGEPVYKGCCRTNIGGYHVTDYLKQLLSLKYPHHMARFTWEKVEDLKMEHCYIAPDYASEARLFQKGTKEAEDKTRCWQLPWVPPPVEEPPSEEEIARKAAIKERQGQRLREMAEAKRSSRINELENQLRGMEFLLQQLEQVEEDEIPSFLKDTGYVSKQEIESSLVKVTQSLRKAKGEPKAEQTEVEEKSDSSMNEKFPLVNIPDNMLTPEQLKEKKRQLFLKTTSDGRQRAKQKRQEEELERERRNQLDEEKRLENPELYLEQMHIKYKELSEKVEQRKRLKTNGNHSNGNNVSGGVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDNDDDDDDGLDEDEVELAHVSSRLQEIDPTFAPKPDAGPSQPAPEVPRLRPLTKEDFQILIGVERFRCPEILFHPNLVGIDQAGLDEMTGVSMRRLSPKDQDLQERLTSSILLTGGSCLYPGLSERLEAGVRMIRPSGSPIKVVRALDPVLDAWRGASTYAAALQFPRQTFSRMDYYEKGEDWLRRYQFCYTL >Manes.S036816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:970813:971187:1 gene:Manes.S036816.v8.1 transcript:Manes.S036816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.16G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4044642:4048007:-1 gene:Manes.16G033400.v8.1 transcript:Manes.16G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRVIVDRHLT >Manes.09G074652.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11825788:11826991:1 gene:Manes.09G074652.v8.1 transcript:Manes.09G074652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSCDASLLLESANGIESEKASQRNFGMRNLKYVNTIKDAVEAECPLTVSCADIVALSARDGIVMLGGPRIEMKTGRRDSKKSYAAVVEDFLPNHNDSMSLVLSRFQSIGIDTEGTVALLGGHSVGRVHCVNLVQRLYPTVDPSLDPEYAEYLKGRCPTPVPDPEEVQYARNDRETPMILDNMYYKNILKHKGLLLVDQQLASDPITSPFVEKMAGDNGYFLDQFSRAVVLLSENNPLTDDQGEIRKDCRYVNTN >Manes.09G074652.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11825490:11826991:1 gene:Manes.09G074652.v8.1 transcript:Manes.09G074652.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLKYVNTIKDAVEAECPLTVSCADIVALSARDGIVMLGGPRIEMKTGRRDSKKSYAAVVEDFLPNHNDSMSLVLSRFQSIGIDTEGTVALLGGHSVGRVHCVNLVQRLYPTVDPSLDPEYAEYLKGRCPTPVPDPEEVQYARNDRETPMILDNMYYKNILKHKGLLLVDQQLASDPITSPFVEKMAGDNGYFLDQFSRAVVLLSENNPLTDDQGEIRKDCRYVNTN >Manes.14G136021.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9431123:9432796:-1 gene:Manes.14G136021.v8.1 transcript:Manes.14G136021.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIINVGKQGEGPSINIIVVPRHRLYLPTCITVHRATKQQTKFEEWLHLFNLGVIYPTDRRHSREGSLIQEHEIYLTSKTLTETNKHLRGLFQIHACPYHKYYSHTCMLAHH >Manes.01G201500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37453417:37459134:1 gene:Manes.01G201500.v8.1 transcript:Manes.01G201500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPNQPHISPATPGASSFSSSVDFNKPVKKQRGNYNCGRCGLPKKGHTCHLPPAATTTPTSADSSASVSTSTSRSLPPSRQQFSNLRRALSFDDIDIRCDSPEPEIDESELDLLFSGSGKLPASCMWEVLKRLPPAGLLAAARVCKGWRDTAKRLWRAAEELRLKVPTRAQLGFVGSVLQKCPGLVRLSLRMESDVEATMLACIAFSCPNLECMEISKSDTAVNRITGDELSRFVADKRCLTSLKMEGCSNLGGFVLCSSSLSTLWLSDLYSLSKTVFNCPNLKEVSLDFSSQENDNTDLVAMVDALGRSCSRLQNIHVASIRLSHAVVLALTGANLRGLRMLSLVLGTEITDASVAAIASSYSKLELLDLSGSSISDSGIGMICNVFPATLSRLLLALCPNITSSGIQFATAQLPLLELMDCGMTICDPSSQGLSSDGSGDGELQTTYQNKLHLMCQKLIIKHARLKKLSLWGCCSLDALYLNCPELNDLNLNSCRNLHSERLLLQCPRLESVHASGCQKLLIGAIQRQVNDLGATENHCPGKRLADGSKRVRVPHFLSQQPIDDDNKKRRRIVSRPCNVLVD >Manes.17G012000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:4897556:4899829:1 gene:Manes.17G012000.v8.1 transcript:Manes.17G012000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLVLPAVSLLRKRSTGSRLGYQPLKDDGFVGDFNRPVTVVVGKEKREFLVDPFVLEESPFRVLIETVVTRNDHKQRQQEDMKRREKRSVIFVDVDAILFEHMLWLLYNDCSSLFELNVKEIIDFYAQDY >Manes.10G095700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24309305:24311341:1 gene:Manes.10G095700.v8.1 transcript:Manes.10G095700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGNFCFWVVVCSAIELHKRILQDILWLFLMDFASLLKLLNRSSELKGGFLVLGCFSPASNVFGLLLMFGLGLKLLQFTWQGKDFIQFLCEIRRKPGDKKYGFCSKKGLDKVCNSKTMTCKSSSLKLLVSNEPLEPDTVALDDDDYGRECDDDYGRKYCIEDEEFDVISLRRLVKIERYRAEMAYAELEKERMASESAAGEAMTMILRLQNEKSSLQIEANQQRRLVEQKEEYYQQMIQSLQWNLMKYMEGDEVDQFQGSYASLSFDIDSTMEVGTEDVQTDAVE >Manes.05G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19958201:19961283:1 gene:Manes.05G120600.v8.1 transcript:Manes.05G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQTAATESHVLVFPLPIQGHINPMLQFSKRLASKGLKVTLVTSTSIAHSMRAPHESAINVETIFDGFQEGERAASPDEFLKRYKATVPQSLAELIEKHGSSPYPVKCIIYDSVLPWVLDVARNTGISGASFFTQSCAVSVLYYHEIQGSLKVSSEAEAVGVVSLPSLPELEFNDLPSFVNGAGSYPAIYDLVFSRFSNIDDADWLFWNTFNGLEEEVVNWMASKWPIKPIGPTIPSMFLDKRLEDDKEYGLSLFKPNSDACMEWLDSKEPDSVVYVSFGSLAALGEVQMAELAWGLKRSNTSFLWVVREPEKEKLPNNFIEETKEMGLVVTWSPQLEVLAHKSVGCFITHCGWNSTLEALSLGVPMVAMPQWTDQPTNAKFVSDIWKVGIRVKVDEEGIVTQKEIERCIREVMEREISNEMVKNSEKWKKLACMAVDDGGSSDKNIEEFVTKLVCNSNSFKE >Manes.05G120600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:19958201:19961287:1 gene:Manes.05G120600.v8.1 transcript:Manes.05G120600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQTAATESHVLVFPLPIQGHINPMLQFSKRLASKGLKVTLVTSTSIAHSMRAPHESAINVETIFDGFQEGERAASPDEFLKRYKATVPQSLAELIEKHVSVLYYHEIQGSLKVSSEAEAVGVVSLPSLPELEFNDLPSFVNGAGSYPAIYDLVFSRFSNIDDADWLFWNTFNGLEEEVVNWMASKWPIKPIGPTIPSMFLDKRLEDDKEYGLSLFKPNSDACMEWLDSKEPDSVVYVSFGSLAALGEVQMAELAWGLKRSNTSFLWVVREPEKEKLPNNFIEETKEMGLVVTWSPQLEVLAHKSVGCFITHCGWNSTLEALSLGVPMVAMPQWTDQPTNAKFVSDIWKVGIRVKVDEEGIVTQKEIERCIREVMEREISNEMVKNSEKWKKLACMAVDDGGSSDKNIEEFVTKLVCNSNSFKE >Manes.14G053850.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4562150:4565964:1 gene:Manes.14G053850.v8.1 transcript:Manes.14G053850.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPEPTTGGTTTTHPFDPSTGFCKETRTFHSLRPSTPLPPPNEPLSITQCAFSLLQSSTTNPTTDTFIVNSNTGHSLTYAQFLSQTHSLSLALRARFSLSTNDVAFILSPPSLQIPVLYFSLLSLGVTISPANPLGSNSEVTHQIQLCKPKIAFATSQTARKLPSLPYGTILIDSPEFLSLLTQSNATTHDRLNRVEVKQSDTAAILYSSGTTGRVKGVALTHQNLIALISGFYYNRNLNANEPEIHKISLFTLPLFHVFGFFMLIRAAAMGETVVLMERFDFEGMLRAVEKYKVNYMPVSPPLIVAFVKSELINRYDLSSLLMLACGGAPLGKDVADKFKEKFPHVDIIQGYGLTETGGGATRMIGPEEAKKHGSVGLLVENMEAKIVDPETGVALPPGQRGELWLRGPTIMRGYVGDEKATSETLDSEGWLKTGDLCYFDSQGFLYIVDRLKELIKYKAYQVPPAELEQLLQSNPEIADAAVIP >Manes.14G053850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4562150:4565964:1 gene:Manes.14G053850.v8.1 transcript:Manes.14G053850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAPEPTTGGTTTTHPFDPSTGFCKETRTFHSLRPSTPLPPPNEPLSITQCAFSLLQSSTTNPTTDTFIVNSNTGHSLTYAQFLSQTHSLSLALRARFSLSTNDVAFILSPPSLQIPVLYFSLLSLGVTISPANPLGSNSEVTHQIQLCKPKIAFATSQTARKLPSLPYGTILIDSPEFLSLLTQSNATTHDRLNRVEVKQSDTAAILYSSGTTGRVKGVALTHQNLIALISGFYYNRNLNANEPEIHKISLFTLPLFHVFGFFMLIRAAAMGETVVLMERFDFEGMLRAVEKYKVNYMPVSPPLIVAFVKSELINRYDLSSLLMLACGGAPLGKDVADKFKEKFPHVDIIQGYGLTETGGGATRMIGPEEAKKHGSVGLLVENMEAKIVDPETGVALPPGQRGELWLRGPTIMRGYVGDEKATSETLDSEGWLKTGDLCYFDSQGFLYIVDRLKELIKYKAYQVPPAELEQLLQSNPEIADAAVIPYPDEDAGQIPMAYVVRKPGSTITEDQVIDFIAKQVAPYKKIRRVAFINSIPKSPAGKILRRELVSHALSGASSKL >Manes.07G135900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33858696:33863251:-1 gene:Manes.07G135900.v8.1 transcript:Manes.07G135900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVALQSFPCRLYGQRLTVDRKLVSHPVKRNVSLVSCVKVPEDAAAATASKAKSDAKGSLERSSRSATFPNGFEVGDFEMHLRRNVGAIKAPLSNISPTEPPPIPTKPMDVSAPVATTPSPPKTSSEKTTPFTNVSFGKSSKLAVLEASGATGYVLVASPTVGTFRRNRTVKGQRQPAILKEGDIIKEGQVIGYLDQFGTELPVKSDVAGEVIKLLFDDGDAVGYGDPLIAVLPSFPGINQ >Manes.07G135900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33853863:33863251:-1 gene:Manes.07G135900.v8.1 transcript:Manes.07G135900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVALQSFPCRLYGQRLTVDRKLVSHPVKRNVSLVSCVKVPEDAAAATASKAKSDAKGSLERSSRSATFPNGFEALVLEVCDETEVAELKLKVGDFEMHLRRNVGAIKAPLSNISPTEPPPIPTKPMDVSAPVATTPSPPKTSSEKTTPFTNVSFGKSSKLAVLEASGATGYVLVASPTVGTFRRNRTVKGQRQPAILKEGDIIKEGQVIGYLDQFGTELPVKSDVAGEVIKLLFDDGDAVGYGDPLIAVLPSFPGINQ >Manes.07G135900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33858697:33863354:-1 gene:Manes.07G135900.v8.1 transcript:Manes.07G135900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVALQSFPCRLYGQRLTVDRKLVSHPVKRNVSLVSCVKVPEDAAAATASKAKSDAKGSLERSSRSATFPNGFEALVLEVCDETEVAELKLKVGDFEMHLRRNVGAIKAPLSNISPTEPPPIPTKPMDVSAPVATTPSPPKTSSEKTTPFTNVSFGKSSKLAVLEASGATGYVLVASPTVGTFRRNRTVKGQRQPAILKEGDIIKEGQVIGYLDQFGTELPVKSDVAGEVIKLLFDDGDAVGYGDPLIAVLPSFPGINQ >Manes.13G047500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5514015:5518378:-1 gene:Manes.13G047500.v8.1 transcript:Manes.13G047500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRFELDGSYKKGKAINRDQLSVEKFTCDSEDRLSSAGNDANAIRVLEQALKEEKAARAALYQELDKERAAAATAADEAMAMILRLQEDKASIEMEARQYHRVIEEKCAYDEEEMNILKEIIVRREREILFLEKEVEAYEQMNFPGNDLPDGDSSYKINKKEQKLPLSIGSNENPLPVPQQIENSESIGEKEVDAKWSSNYEHLHTLTSGEEMMPRHEESASDFSASQRLVQKTPSVAGKEKAERDINMISLGMKAPQISGSSEEELKKYGEHWNQAVYDMHNSMLDIESTVYDVHVIDDKTLPLKENVRKESGPLSVPTSDSGVQNSQFLSDGLTTSITEFEPKVHGSSSNMHDESLFSSSSPYRTLSMDSRRRSPSVVDDERLKIDNEVEWFRERLRIVQDEKEKLTFTAEHRERVSAQLRLVEDIVNHLREIQLLREPARKVSLPPSSSKENVKKRHHRSVSSEDIESS >Manes.13G047500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5514015:5518378:-1 gene:Manes.13G047500.v8.1 transcript:Manes.13G047500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDRLVGPACLCNIFGHVSTSSCLNHYWDNVSHGNQDVPNGTESNERMRHRFELDGSYKKGKAINRDQLSVEKFTCDSEDRLSSAGNDANAIRVLEQALKEEKAARAALYQELDKERAAAATAADEAMAMILRLQEDKASIEMEARQYHRVIEEKCAYDEEEMNILKEIIVRREREILFLEKEVEAYEQMNFPGNDLPDGDSSYKINKKEQKLPLSIGSNENPLPVPQQIENSESIGEKEVDAKWSSNYEHLHTLTSGEEMMPRHEESASDFSASQRLVQKTPSVAGKEKAERDINMISLGMKAPQISGSSEEELKKYGEHWNQAVYDMHNSMLDIESTVYDVHVIDDKTLPLKENVRKESGPLSVPTSDSGVQNSQFLSDGLTTSITEFEPKVHGSSSNMHDESLFSSSSPYRTLSMDSRRRSPSVVDDERLKIDNEVEWFRERLRIVQDEKEKLTFTAEHRERVSAQLRLVEDIVNHLREIQLLREPARKVSLPPSSSKENVKKRHHRSVSSEDIESS >Manes.13G047500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5514015:5518378:-1 gene:Manes.13G047500.v8.1 transcript:Manes.13G047500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRFELDGSYKKGKAINRDQLSVEKFTCDSEDRLSSAGNDANAIRVLEQALKEEKAARAALYQELDKERAAAATAADEAMAMILRLQEDKASIEMEARQYHRVIEEKCAYDEEEMNILKEIIVRREREILFLEKEVEAYEQMNFPGNDLPDGDSSYKINKKEQKLPLSIGSNENPLPVPQQIENSESIGEKEVDAKWSSNYEHLHTLTSGEEMMPRHEESASDFSASQRLVQKTPSVAGKEKAERDINMISLGMKAPQISGSSEEELKKYGEHWNQAVYDMHNSMLDIESTVYDVHVIDDKTLPLKENVRKESGPLSVPTSDSGVQNSQFLSDGLTTSITEFEPKVHGSSSNMHDESLFSSSSPYRTLSMDSRRRSPSVVDDERLKIDNEVEWFRERLRIVQDEKEKLTFTAEHRERVSAQLRLVEDIVNHLREIQLLREPARKVSLPPSSSKENVKKRHHRSVSSEDIESS >Manes.13G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5514015:5518375:-1 gene:Manes.13G047500.v8.1 transcript:Manes.13G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAVHAWNLGGLIVAFVDLAIAYILLCGSAFAFVPSKLLSFFGIYLPCPCSGFFGYQNDDLCLHRLLIDLPIRKINAVKELVKNRFPFDLVLFGHESCNFHVEGCRKCGNGVIELEGEACSSSLSGPRLQSSVDRESGNDAKDKKITNQKHKSGIQRRRRAAVGYGKPYPALSCDSTRSVGIDFPRPSCNSRGIRSEISEDLDPGSVIEDGYQGNQDVPNGTESNERMRHRFELDGSYKKGKAINRDQLSVEKFTCDSEDRLSSAGNDANAIRVLEQALKEEKAARAALYQELDKERAAAATAADEAMAMILRLQEDKASIEMEARQYHRVIEEKCAYDEEEMNILKEIIVRREREILFLEKEVEAYEQMNFPGNDLPDGDSSYKINKKEQKLPLSIGSNENPLPVPQQIENSESIGEKEVDAKWSSNYEHLHTLTSGEEMMPRHEESASDFSASQRLVQKTPSVAGKEKAERDINMISLGMKAPQISGSSEEELKKYGEHWNQAVYDMHNSMLDIESTVYDVHVIDDKTLPLKENVRKESGPLSVPTSDSGVQNSQFLSDGLTTSITEFEPKVHGSSSNMHDESLFSSSSPYRTLSMDSRRRSPSVVDDERLKIDNEVEWFRERLRIVQDEKEKLTFTAEHRERVSAQLRLVEDIVNHLREIQLLREPARKVSLPPSSSKENVKKRHHRSVSSEDIESS >Manes.09G020650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4263122:4269774:1 gene:Manes.09G020650.v8.1 transcript:Manes.09G020650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSVLFFLSGLSTFLVLLPSVDPFSSNTSSSRLQGRGFSCGGTPIYMDNPLARVTMLDTINCISKAVNNEAITWDINVNERTCTIASYNQNSRYDQIFWNISSDLIQVEASFVRMSTLADVTYLRGVWQHGDDWKNVPSSSVVKECFYRSNMKHGGAVDGDDNNLESEFDADRYLDMVFDNARSGLTYKYEKTNWKFTVVICTLMTAAGVSAIILVLHKKIISKSTSNISCTSEAFASDILCKKLSYQELALATDNFSSENMLGKGGTSSVYRGYLSNSGKMVAVKRICSRHKYATEVFTTELKIMILLDCTSIVPLIGWSNDQDEYLIVYDYMPNGGLDKHLFGNGMHLPWNVRLNIVLNLAFALLYLHEESGHCILHRDIKSANVMLDLNFNAKLGDFGVSMFLDPNLSHQTTKVVGTFGYIAPEYYQLCRASKASDIYSFGIVLLEVATGKRKCRDEDSHMGLVEWVWNLYGAGKIVDAADERLGMDFDVQELECLLILGLWCAHPVDKQRPSARQAFQVLKFEAQLPQLPEEMPSLMYAKTAPSPVYSDPPSITFSLQSGR >Manes.03G139566.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26718228:26721098:1 gene:Manes.03G139566.v8.1 transcript:Manes.03G139566.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFHFLFTFLFVILLPMIFNILQNLRNAKLPPGPWKLPLVGNLHQLVGSLPHHSLRNLAKEYGPVAHLQLGQVSAVVISSPDMAKEVMKTHDTIFAYRPNLLAARIMSYDSTNIAFSPYGNYWRQLRKICMMELLSPGRVQSFRSIREDDVASLIKTISSSAGSPINLAEKVFSMIYSITARAAFGEKCKDQEQFMSLIMRSAALAGGFCLGDMYPSIKVLQVISGIRPKLEKLHQEMDKILDNILKEHREEKLAAKTGDEEASEDLVDILLRFQERDDLEFSITDNNIKAVILDIFGAGSETSAATIEWAMSEMLRNPRVMKEAQAEVRLAFDGKADVDEKRIQELKYLKLVIKETLRLHPPVPLLLPRECSETCEINGYKIPAKTRVVVNAWALGRDPSYWSEAETFFPERFLDISVDFKGTNFEYIPFGAGRRICPGISFAQPSIELPLAHLLYHFDWKLGNGLKHEDLDMTETFGLTARKKQNLVLIPIPYK >Manes.13G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5542031:5550756:1 gene:Manes.13G047800.v8.1 transcript:Manes.13G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVEAAGKRSVKERLNGSSADDFTRRAQITGKRQRQDDKWEHDLYEEDEPNASNRKVSSQDLRLKLQKKSLPQQSSQSGKGSGVRDLREKLSGTMNSQPVNADPPKRNLEVAKPARKSVTVEAPEPEIKKVASVALKTKSQQKADTSIEGFLQSIGLEKYIITFQAEEVDMAALVHMNDEDLKTIGIPMGPRKKILLALESRV >Manes.13G047800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5542143:5550107:1 gene:Manes.13G047800.v8.1 transcript:Manes.13G047800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVEAAGKRSVKERLNGSSADDFTRRAQITGKRQRQDDKWEHDLYEEDEPNASNRKVSSQDLRLKLQKKSLPQQSSQSGKGSGVRDLREKLSGTMNSQPVNADPPKRNLEVAKPARKSVTVEAPEPEIKKVASVALKTKSQQKADTSIEGFLQSIGLEKYIITFQAEEVDMAALVHMNDEDLKTIGIPMVNLSWSLRHDMHIWCIFI >Manes.11G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6599447:6603924:1 gene:Manes.11G053100.v8.1 transcript:Manes.11G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVELPLVELLSCFWNCITAHGGYVCYDEDLRTLQTKMEMLWQLRSHLKRIAESADRGSQELENNCLVGCSCCPRNCWSRHKIGRKIQSTLTEVAALNTEGEQLLATPAEGTLDKLRGCVAKDDVGRIGIYGKGGVGKTTVMNELTSNLLSERQFDYIIWVEVSQDLNLEKIQGDVGKELGFVEERWQDKSPNERAKDISEVLGRKRFLLLLDDIWEPVNLTEVGVPIPDRANGSKMIFTTRCEEVCNQMGAEEKIEVVRLTEKKAWELFWEKAGKNALDLPQIRKPAETIAKLCDGLPLTLITVGQAMLNKTLHEWSHSNEVLKKSISEFSGTKDPVFALLKFSYDNLPSDTYKACFLYCTLFPEDFSINKINLIDYWIGEGFLGEFNDASGIRNEGKKIISTLVQASLLQDDGEDVKMHDLIREVALWVACECGRLRDKYLVEAGAKLSEAPEIGRWERARRMSLMSNHILSLTKAPRCNDLLTLFLGNNHLKMIANTFFQFMPSLKVLDLSGNRDLNELPSGILKTDSLQYLNLSRTGIRQLPVELRNLVKLKCLNLEYTYELWTIPMGVISSFSNLKVLRMLHCASSDRTVGDGIQTGGYQSLVRELQQLENLNELTISITREYSLETFRGWDKFQTCTQALSLHLKHSRSFLDVSFLEGMKCLDDLEFINCINLKELRIEQSLIMRGGSFNSLRKVSIINCSKLEDLTWIVVAPNLEFLIVARCSNMEDICCKGKLTEGNVNLLALAKLQILRLVSLPKLKSICPVALPFPYLKEIIVDECPKLKKLPLDSNSAKEHRIVIQGWEDWWKNLEWEDEKTLRTFLPFFKSCMY >Manes.18G064621.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5838555:5845842:-1 gene:Manes.18G064621.v8.1 transcript:Manes.18G064621.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATTGTLSLSEQYLLEREKGDVGSKLVEVKEVVKPETAISEEKAEEVLIAAAAEEKVEDTAAAEESSETNPAAENKSEDAPAVETESSETTEENSDEQGAADEKPEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGASECEKYGKYYRSLCPTEWIERWNEQRENGTFPGPL >Manes.08G084400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:24577338:24580074:-1 gene:Manes.08G084400.v8.1 transcript:Manes.08G084400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRYLQAQRPKYDCLLFDLDDTLYPLSSGLAKACGQNIKDYMVEHLGIEKGKITELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSYVHGRLPYENLKPDPVLRSLLLSLPIRKVIFTNADKIHALKVLAMLGLEDCFEGIICFETLNSTHKSTISDDEDDIDFVGSSAAAAATTSNGPEIFDIIGHFAEANPSSALPKTPIVCKPSEIAIERALQIANINPQRTLFFEDSVRNIQAGKRVGLHTVLVGTSQRVKGADYALESIHNLREAVPELWEAEIKTEVGYPGKVPRETPVTA >Manes.08G084400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:24577338:24580074:-1 gene:Manes.08G084400.v8.1 transcript:Manes.08G084400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEDRYLQAQRPKYDCLLFDLDDTLYPLSSGLAKACGQNIKDYMVEHLGIEKGKITELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSYVHGRLPYENLKPDPVLRSLLLSLPIRKVIFTNADKIHALKVLAMLGLEDCFEGIICFETLNSTHKSTISDDEDDIDFVGSSAAAAATTSNGPEIFDIIGHFAEANPSSALPKTPIVCKPSEIAIERALQIANINPQRTLFFEDSVRNIQAGKRVGLHTVLVGTSQRVKGADYALESIHNLREAVPELWEAEIKTEVGYPGKVPRETPVTA >Manes.04G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6799010:6802224:-1 gene:Manes.04G041300.v8.1 transcript:Manes.04G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDDLRPEEMLPLSQRPEWSDVVPIPQDDGPNPVVPIAYKPEFEETMNYFRAIYLSDERSPRALQLTHLVILLNPGNYTVWHFRRLVLEALNADLDEELDYVARIAKKNTKNYQIWHHRRWVAEKLGTNAMAKELQFTRKILSLDAKNYHAWSHRQWVLQALGGWEDELDYCGQLLQDDVFNNSAWNQRYFVITRSPLLGGLKSMRESEVKYTVEAILANPENESPWRYLRGLYKGDSQSWINDLQVSSVCLKVLNSKTNYIFALSVLLDLLCDGFQANQEFIDAVTALRTSNADPLDTDLAKAVCSVLEHVDPIRVNYWTYRKSNLPVSA >Manes.06G126801.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672158:25680525:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPILKKPKLELDDGNNDDRQLVRKPNSMSEEENGGGGEEEATSREEQEEALVALIDHRTREVEHLKTRISYYKSQLQQAEKRLHETEARLARIRGQSNAAPSKASMGNGTKSAKMECRSTSPIHTIEGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATSALDGMVNLWQLQSRGSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRYNSHKPSQSVRAHQKRVFKAVWHYSHPLLISISSDLHIGLHKI >Manes.06G126801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672170:25680525:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPILKKPKLELDDGNNDDRQLVRKPNSMSEEENGGGGEEEATSREEQEEALVALIDHRTREVEHLKTRISYYKSQLQQAEKRLHETEARLARIRGQSNAAPSKASMGNGTKSAKMECRSTSPIHTIEGAPRNQPQLRTELLIPAANPKISQPIKLAGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATRSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRYNSHKPSQSVRAHQKRVFKAVWHYSHPLLISISSDLHIGLHKI >Manes.06G126801.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672170:25680525:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTKSAKMECRSTSPIHTIEGAPRNQPQLRTELLIPAANPKISQPIKLAGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATSALDGMVNLWQLQSRGSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRYNSHKPSQSVRAHQKRVFKAVWHYSHPLLISISSDLHIGLHKI >Manes.06G126801.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672170:25680525:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTKSAKMECRSTSPIHTIEGAPRNQPQLRTELLIPAANPKISQPIKLAGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATRSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRYNSHKPSQSVRAHQKRVFKAVWHYSHPLLISISSDLHIGLHKI >Manes.06G126801.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672158:25680525:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPILKKPKLELDDGNNDDRQLVRKPNSMSEEENGGGGEEEATSREEQEEALVALIDHRTREVEHLKTRISYYKSQLQQAEKRLHETEARLARIRGQSNAAPSKASMGNGTKSAKMECRSTSPIHTIEGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATRSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRYNSHKPSQSVRAHQKRVFKAVWHYSHPLLISISSDLHIGLHKI >Manes.06G126801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672170:25680611:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPILKKPKLELDDGNNDDRQLVRKPNSMSEEENGGGGEEEATSREEQEEALVALIDHRTREVEHLKTRISYYKSQLQQAEKRLHETEARLARIRGQSNAAPSKASMGNGTKSAKMECRSTSPIHTIEGAPRNQPQLRTELLIPAANPKISQPIKLAGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATSALDGMVNLWQLQSRGSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRMKMDDFGPLQDLI >Manes.06G126801.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672158:25680525:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTKSAKMECRSTSPIHTIEGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATSALDGMVNLWQLQSRGSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRYNSHKPSQSVRAHQKRVFKAVWHYSHPLLISISSDLHIGLHKI >Manes.06G126801.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672170:25680611:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPILKKPKLELDDGNNDDRQLVRKPNSMSEEENGGGGEEEATSREEQEEALVALIDHRTREVEHLKTRISYYKSQLQQAEKRLHETEARLARIRGQSNAAPSKASMGNGTKSAKMECRSTSPIHTIEGAPRNQPQLRTELLIPAANPKISQPIKLAGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATRSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRMKMDDFGPLQDLI >Manes.06G126801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672170:25680525:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRPILKKPKLELDDGNNDDRQLVRKPNSMSEEENGGGGEEEATSREEQEEALVALIDHRTREVEHLKTRISYYKSQLQQAEKRLHETEARLARIRGQSNAAPSKASMGNGTKSAKMECRSTSPIHTIEGAPRNQPQLRTELLIPAANPKISQPIKLAGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATSALDGMVNLWQLQSRGSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRYNSHKPSQSVRAHQKRVFKAVWHYSHPLLISISSDLHIGLHKI >Manes.06G126801.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25672158:25680525:1 gene:Manes.06G126801.v8.1 transcript:Manes.06G126801.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTKSAKMECRSTSPIHTIEGSSTKLSVGSGAQSSPSIHTNTVPKLKAEKSYRSSPDAEVNEIQDRGTKRKLEQKEHKELIPLIRSSSSPCTIRCHTSNHISSQHKRKLRSLVLCPVNDQLFATRSGASLLSSTDCMSSKHRRWPEDMAWHPLGNNLLCTYSADGGDSQISILNLNKTQGRARVTYLEEKPHVKGIINSIMFMPWENACFATGGSDHAVILWNEKDTENLWKPKQLHRNMHSSAVMGIAGLQQKHVVLSAGADKKIIGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTPEKQLRLFDIRLRQTELHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHLFDIRYNSHKPSQSVRAHQKRVFKAVWHYSHPLLISISSDLHIGLHKI >Manes.08G161704.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39736744:39737415:-1 gene:Manes.08G161704.v8.1 transcript:Manes.08G161704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSIIDYQLTNDTHNLYDITFFTDQIHTLVTNTPSLVDQWITETQQLLHQNPTIVGLDVEWRPNFNRHIENPIATLQLCIDHKCLIFQLIYSPTIPQSLVEFLLSENFLFVGVGIGSDVEKLVEDYGLSVRNTVDLRNLAAEKLGMRELKNAGLKNLVKEVLGKEINKPKRVTMSRWDNPWLTPDQVQYACLDAFVSSEICRRLNSSSAAAATATATAGAST >Manes.04G112700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31672496:31676328:-1 gene:Manes.04G112700.v8.1 transcript:Manes.04G112700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSASLFKTQPFSAVRSIPNTKVNPSVSNSLASTFIGASRQMNSMKKNRTVKISRKITAAAAVATSPLEEITEYSLPSWALFELGRAPVYWKTMNGLPPASGEKLKLFYNPAAAKLAPNEDFGIGFNGGFNQPIMCGGVPRAMLKKTRGKADPPIYTIQICVPKHAVNLIFSFTNGIDWDGPYRLQFQVPKAWKNRPIEFFNEGLSKELSVEGACEKAIFPDTNIVITRCAMIGNLSIEGIMLF >Manes.04G112700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31672496:31676328:-1 gene:Manes.04G112700.v8.1 transcript:Manes.04G112700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSASLFKTQPFSAVRSIPNTKVNPSVSNSLASTFIGASRQMNSMKKNRTVKISRKITAAAAVATSPLEEITEYSLPSWALFELGRAPVYWKTMNGLPPASGEKLKLFYNPAAAKLAPNEDFGIGFNGGFNQPIMCGGVPRAMLKKTRGKADPPIYTIQICVPKHAVNLIFSFTNGIDWDGPYRLQFQVPKAWKNRPIEFFNEGLSKELSVEGACEKAIFPDTNIVITRCAMIGNLSIEGGDRCSLDLVPGCMDPSSHLYDPLANVDDGSCAVDLDLEV >Manes.10G121812.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29084792:29088906:1 gene:Manes.10G121812.v8.1 transcript:Manes.10G121812.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSTPHCKYDVFISFRGKDIRGGFLSHLFDALQRKQINPFMDENLRKGEEISPALLETIQDSYVSIVVFSQNYADSPWCLDELVKILECKEILGQLVLPIFYHVDPTDVQDLIGNFGEAFAVAKHGEEVKGCLDKVDKWRRALMEISNLSGWDSRNIKSESKLVEEIANDVWKKLSLISSSDSYNDNLVGMESRLKKVESLLCIESINDRRVIGIWGMGGIGKTTIAGEVFNRIMDKFDGHCFVVNVREEMRKQTPVVLLDKIINQLLGEKNLHVSTPRLPPFIRRRLQSKKVVIVFDDVDDPNHLKLLAGECALYHNGSRIIVTSRDRQVLKNVCSEGYIYEVEKLTDDEALCLFSLYTFKQNHPKKGYVEISKKLITYAQGIPLALVILGSNLYDKVIEEWEGELEKLKEIPDMNIQAVLRISYDGLERHEKSIFLDIACFLKGEPKDRVERIFEGCGFFPRRAISRLIDKSLITVSNGKLGMHDLLQQMGKDVVCEESKQLGTRSRLWKYKDICHVLTRDKGTENIEGILLDMSGNGYLELSPTAFMNMCNLRFLKFFNVSTSRPGRVLLPSGLEFLPKELRYHHWEGYPLKSLPINFCPRNLVELHMPRSNLIQLWNQEKALESLKFLDLSYSFDLTKVPDLSSAPNLEVLCLRGCSNLIEIPSSVGESKCLKEIDLEYCSKLHSIPQSICNLKSLTHLYISGCLNVKALPENMGDLELLKKLYISGSGIKTLPSSINQLRRLEELRCARCEGLTLPPLTGLSCVREIDLSDCGILEIPLSLWFLVSLEELDLGGNNFKATPGSIKHLIELNWLGLKGCKRLKCLPELPSCLEELDASDCTSLESASTPFLFLEHHDEEEEKRLEFRNCINLDKNVNDNVMEDVLKSHLLKHKIVKLYIAGVEVPETMRYKNKSGSSLSFRLDQANLTGFSLCAVFYPKNYSHDRIIDISCIANFVGKSGHSSENFIFEALNFVLDPLYSEHVFLWNKLLDMEESFLEASFQFCISRHSSKPKYHVDRDYDSIIMCGVHPIFREDRLSRDKKRSRIEEDKEDEPSLQRLKNKTENLRIRWINHQEQEEELCLDADY >Manes.06G031600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8223461:8230962:-1 gene:Manes.06G031600.v8.1 transcript:Manes.06G031600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSQESNVLSKIEDCRSRYNGDAYHHQRCRKFSFPARLICADCYEVSLDEVLADDAPFDICSCQFALHCSWSTEALARRALANVSALLPPGGIFIRTMPDANVIVKKLREAKGLAFGNSVHWIRFDEDYFEKKFKCSSPYAIKYKFHLENTVDCPEWIVPFMALPVKSDLSSIVKGTPEAQGTPGGSPSAWGGPRRATCLRHSQARKAQYDLELIFVKNGHEFVQEYLKKPEYIELMRRLGALGDGNQDQSTLSSDEWEVAYLYLAFVLKKRGQPDRTKTNGKRDKGKMDI >Manes.06G031600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8223462:8230961:-1 gene:Manes.06G031600.v8.1 transcript:Manes.06G031600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQTLEEREASPIIHLKKLNNWIEDCRSRYNGDAYHHQRCRKFSFPARLICADCYEVSLDEVLADDAPFDICSCQFALHCSWSTEALARRALANVSALLPPGGIFIRTMPDANVIVKKLREAKGLAFGNSVHWIRFDEDYFEKKFKCSSPYAIKYKFHLENTVDCPEWIVPFMALPVKSDLSSIVKGTPEAQGTPGGSPSAWGGPRRATCLRHSQARKAQYDLELIFVKNGHEFVQEYLKKPEYIELMRRLGALGDGNQDQSTLSSDEWEVAYLYLAFVLKKRGQPDRTKTNGKRDKGKMDI >Manes.06G031600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8223462:8230961:-1 gene:Manes.06G031600.v8.1 transcript:Manes.06G031600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQTLEEREASPIIHLKKLNNWIEDCRSRYNGDAYHHQRCRKFSFPARLICADCYEVSLDEVLADDAPFDICSCQFALHCSWSTEALARRALANVSALLPPGGIFIRTMPDANVIVKKLREAKGLAFGNSVHWIRFDEDYFEKKFKCSSPYAIKYKFHLEYDLELIFVKNGHEFVQEYLKKPEYIELMRRLGALGDGNQDQSTLSSDEWEVAYLYLAFVLKKRGQPDRTKTNGKRDKGKMDI >Manes.06G031600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8223462:8230236:-1 gene:Manes.06G031600.v8.1 transcript:Manes.06G031600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQTLEEREASPIIHLKKLNNWIEDCRSRYNGDAYHHQRCRKFSFPARLICADCYEVSLDEVLADDAPFDICSCQFALHCSWSTEALARRALANVSALLPPGGIFIRTMPDANVIVKKLREAKGLAFGNSVHWIRFDEDYFEKKFKCSSPYAIKYKFHLEYDLELIFVKNGHEFVQEYLKKPEYIELMRRLGALGDGNQDQSTLSSDEWEVAYLYLAFVLKKRGQPDRTKTNGKRDKGKMDI >Manes.09G119400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32220557:32224090:-1 gene:Manes.09G119400.v8.1 transcript:Manes.09G119400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLFPENGGDTDLNGSSNSKKNSNSPLSISNSNSHSSTSKEEPVREVQGKGKMVRKRMACEMMEVQTANQNQSCYRRISSFPEDNTIGFCSSSLTNIINPNQNPNPNPYPPLLNCSTMTMLPSSTNLTPMTSGGPVSASLCGFLSSTGTPNFSSNETISSSPQPQAPAVCGFSGLPLFPSEREKNGNAAVPLVITTTSATTTGVASATPTSSMEDPSATAWIDGIIKDLIHSSTNVSIPQLIQNVREIIFPCNPSLASLLEYRLRCLAEPIPNYPVDRRRKEGLPPRDYSNQGQASSGLTLNLENVSSYPSLPDSTAAVAPVPPVVNQYSSWGSTPSMVCQGINQQLHHQVQLLHDQQQQQQGSPSSTSVTPPILALNQGHPQQQEQEKSSSAETEQVANTGIASTPTSVAAGGGVTSAREKKEELRQQKRDEEGLHLLTLLLQCAEAVSADNFEEANKMLLEISELSTPYGTSAQRVAAYFSEAMSARLVNSCLGIYATLPSMPISHTQKMASAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSMEALEATGKRLSDFAQKLGLPFEFFPVAEKVGNLDPERLNVSKREAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSREIRNVLAVGGPSRSGELKFYNWREKLRQSGFKGISLAGNAATQATLLLGMFPSDGYTLVEDNGALKLGWKDLCLLTASAWRPFHVTATTTMAAAATSIHHQYHHQRFATV >Manes.09G119400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32219857:32224201:-1 gene:Manes.09G119400.v8.1 transcript:Manes.09G119400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLFPENGGDTDLNGSSNSKKNSNSPLSISNSNSHSSTSKEEPVREVQGKGKMVRKRMACEMMEVQTANQNQSCYRRISSFPEDNTIGFCSSSLTNIINPNQNPNPNPYPPLLNCSTMTMLPSSTNLTPMTSGGPVSASLCGFLSSTGTPNFSSNETISSSPQPQAPAVCGFSGLPLFPSEREKNGNAAVPLVITTTSATTTGVASATPTSSMEDPSATAWIDGIIKDLIHSSTNVSIPQLIQNVREIIFPCNPSLASLLEYRLRCLAEPIPNYPVDRRRKEGLPPRDYSNQGQASSGLTLNLENVSSYPSLPDSTAAVAPVPPVVNQYSSWGSTPSMVCQGINQQLHHQVQLLHDQQQQQQGSPSSTSVTPPILALNQGHPQQQEQEKSSSAETEQVANTGIASTPTSVAAGGGVTSAREKKEELRQQKRDEEGLHLLTLLLQCAEAVSADNFEEANKMLLEISELSTPYGTSAQRVAAYFSEAMSARLVNSCLGIYATLPSMPISHTQKMASAFQVFNGISPFVKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSMEALEATGKRLSDFAQKLGLPFEFFPVAEKVGNLDPERLNVSKREAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSREIRNVLAVGGPSRSGELKFYNWREKLRQSGFKGISLAGNAATQATLLLGMFPSDGYTLVEDNGALKLGWKDLCLLTASAWRPFHVTATTTMAAAATSIHHQYHHQRFATV >Manes.08G126100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36613426:36617415:-1 gene:Manes.08G126100.v8.1 transcript:Manes.08G126100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLAKVQDVWKEFSTADSARKVVKLKAFSKFENTSEALEAATKIIEGTASKGLRKFLQAHCEGETLAVADSKLGNAIKEKLKIECVHSSAVMELMRGVRSQLTELIAGLGTQDLAPMSLGLSHSLSRYKLKFSPDKVDTMVIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKVVKLMGSRDNAAKFDFSEILPEEVETELKDASLISMGTEVSEVDLMNIRELCDQVLSLAEYRTQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHSTPKYGLIFHASLVGQAAPKLKGKISRSLAAKAALAIRYDALGDGQDNSLGLENRAKLEARLRNLEGRELTRSAGSAKGKPKIEAYDKDRKKGAGGLITPAKAYNPSADALLGRTPDSTSGNGEEIVPKKRKSETEPSHTGGVAEEAPVTGEQKKEKKKKKKADEGETAVQNDGTSTAEQEGEGKAKKEKKKKKHQAETESNDVQNESENVDAGEKKKKKRKHAEQDEEPDMPIKRKEKKKRKNDQGK >Manes.02G158732.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12407137:12410204:-1 gene:Manes.02G158732.v8.1 transcript:Manes.02G158732.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCSSCKVAVHLNCYGVQGDVMESWPCSRCKYKTDSEDSVKQACLLCPKKDGALKPVDVDGVESSGSVVQFAHLFCSLWMPEVYIEDLKKMEPIMNVKAIKGTRRKLLCKLCKLKCGACVHCSHGTCRTAFHPICAREARHRLEVWENCGSENVELWAFCSKQSEFPDVRGNPQFGDSFVARRSDSSTANCIPSKLLTEKQNKLKAGLNGDEVAVHVEAGFYF >Manes.05G094700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8668136:8672899:-1 gene:Manes.05G094700.v8.1 transcript:Manes.05G094700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAIMNDGSYNLAEIWQFPVNGNGRSQFGQSLGIQFGDSNREVLCCDPMNLEQRGNRGGGTAGARKRRDVVEDDSAKVVSSSNVNGNSNGLSNYDTKKLKTSGNRDENGNSKTDAEPSSGKHVEQNGQPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRVIPDIEAFPSKDFGQQAYDTTGVAFGSQATREYGRGTALDWLHMQVGGFERTS >Manes.05G094700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8668136:8672899:-1 gene:Manes.05G094700.v8.1 transcript:Manes.05G094700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAIMNDGSYNLAEIWQFPVNGNGRSQFGQSLGIQFGDSNREVLCCDPMNLEQRGNRGGGTAGARKRRDVVEDDSAKSNYDTKKLKTSGNRDENGNSKTDAEPSSGKHVEQNGQPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRVIPDIEAFPSKDFGQQAYDTTGVAFGSQATREYGRGTALDWLHMQVGGFERTS >Manes.17G056900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25571472:25572209:-1 gene:Manes.17G056900.v8.1 transcript:Manes.17G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKVEEKFSQFFEKWICQLNEYLQQLRRGSEDYQAKTNGYDNEQELQALVSKVAQHYKDYYTIKWALAHEDVLAFFCPIWVSPLENACSWVTGWKPSAVFKLVDSIRKSRLPGSNLAELTQEQLRKIEALRVRIRSEEEKVEREMERQQVAVADTKMAELSRLGIRLKNGEQVSQVEGLMQVALKGVLAGLEKVMKAADCVRLRTLKGVLDALKPVECVEFLAEVGMLQIQLSQYGKQWDMQHL >Manes.09G011632.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2350454:2354751:1 gene:Manes.09G011632.v8.1 transcript:Manes.09G011632.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRNFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRAQFSRFLSALVKMKQYHTVFSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKLGLEPNVVTFTTLINGLCMESKIDKAVEFFDDMVACGYQPNVYTYNVIINGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALELFSQMRNKGISLDVITYTGLIHGVCKLGQKNQALALMNEMVQQNILPDVYTFNVLIDALCKDGMVSEAQNTFNVMIQRGVEPNVVTYTSLIDGLCISDQFKEALALLKVMVGRNISPNVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPNVVTYSSLMDGYCLCKQIDKARKVFDLMVTNEIAGIFSYTILINGYCKCKMIDDAKEIFDEMSHKGLVPNVVTYSTLIEGMFQAGRPQTAKELFKDMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEMGLQPDVYVYSAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADAATTELVVHLSLNNDLILSKLRNRSKASKGVQ >Manes.10G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5059607:5068078:1 gene:Manes.10G046500.v8.1 transcript:Manes.10G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLNYCSSISKPSTTGSSNIVEDDGLISGKKRRSGFVLLVLFGVFIYSWAVFRYRFQRLPSPLTAEQAGESGFSEVEARKHVQALTQLGPHPVGSDTLDLPWQHVLTAAENIRKTAHRGVAVQVEHFHAKAGANRLDSGFSKGKTLVYSDLKHVIIRILPKSTSEAGENGIASEAAENAILVSAHIDTVIAGEGAGDDSSSVAVMLELARGISQEAHGFKNGVIFLFNTGEEEGLNGAHSFITQHPWSKTIRMAIDLEAMGVGGKSGIFQAGPNPFAIENFALAAKYPSGDIVEQDLFATGFSSATDFQVYREVAGLSGLDFAFIDNTAVYHTKNDKLEFLKPGSLQHLGENMLAFLLQIGPTSHLPKGNTMKEEEKSGQDTAVFFDILGTYMIVYRQSFASVLHNLVIAISLIIWNASLLLGGYPAAISFGLSILSVILMLIFSISFSVLVAFILLLISSSPVPYVASPWLLVGLFAAPALIGAMTGQHFGYHLLQIYLSNVYPKKKQLSSVNQADWAKLEAERWLFKAGFILWLVVLSLGNYYKIGSSYIALFWLVLPAFAYLLVEATPTPAPSPMPLRLATLLMGLPLPITIAAGTIIRLAATIIGNLIRSDRNPGGSPEWLGSVKLAVFVAVVICFTMVYVLSYVHLSGAIRTIILGTSILFGFSLILVLSGATPPFTEDTVRTLNVVHIVNTTGSYGNKQHHNSYVSLFSGTPGKLTKEVKYIGEGFSCGGDKVVDFVNFFAKYSCWSHEDTEGGWDDSDIPTLHVHSDTNGDERKTKVSIDTKVSKRWSLAINTNEVEDFTLKANSKELVPFGNKSSVDGWHIIQFSGGKRSPRKFKLTLFWAKKPTKSAHSVDEQATEKQQPLLKLRTDVNRLTPKAERVLRKFPKWCSQFGKSTSPYNLAFFTSLPVMNHGSRIAWADRQPGSSKVYVRRKDESAKEASDASRSAMPSERRWSSY >Manes.18G083605.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7728418:7735498:1 gene:Manes.18G083605.v8.1 transcript:Manes.18G083605.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASDPVQLKSAREDIKELLKSKFCYPILVRLGWHDAGTYNKNIEEWPKRGGANGSIRFEVELKHGANAGLVNALNLLQPIKDKYSGVTYADLFQLASATAVEEAGGPKIPMKYGRVDVSAPDDCPEEGRLPSAGPPKPADHLREVFYRMGLSDQDIVALSGAHTLGRSRPDRSGWGKPETKYTKSGPGEPGGQSWTAEWLKFDNSYFRDIKERKDEDLLVLPTDAVIFEDSSFKVYAEKYAADQVAFFKDYAEAHAKLSNLGAKFDPPQGILLD >Manes.02G128000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9682090:9684371:-1 gene:Manes.02G128000.v8.1 transcript:Manes.02G128000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICCEVVSDGEASTQSEGSSREARRRRMEIRRLKFVTNVAPASPETEESNKRRKIEVHTASLPASRGDNCEDTVVENQDKRIELKVENGKSEGKEIINSSKSLNLFRSRSISSPAIDPDFFPKYGVASVCGRRRDMEDAVTICPSFCSVGKKSTTDLHYFGVYDGHGCSHVAFRCKERLHKLVEEELQESKEAIAVTAAAKWKTAMVRSFWRMDREVIAWNKGVVGASCRCEMQTPECDAVGSTAVVAIVTPVEIIVANCGDSRAVLCRNGKSIPLSTDHKPDRPDELKRIQAAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVSCEPEVTITERTVEDDCLIIASDGLWDVVSNETACGVARMCLRGIVPGPQLCSPAENEVVGCGSGISSGCGEMSDQACWDASMLLTKLALARHTADNVSVVVVDLRKGT >Manes.02G049000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3973419:3980639:-1 gene:Manes.02G049000.v8.1 transcript:Manes.02G049000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLYLESGTNSFHGEFSDCPVKAIKGALACMVNSEIGAVLAVMRRNVRWGIRYVADDDQLEHSLIHSLKELRKQIFSWQHKWHNIDPTIYLQPFLDVIKSDETGAPITGVALSSVYKILTLDVLDVYTVNVAKAMCLIVDAVTTCRFEVTDPASEEVVLMKILQVLLACMKSKASVKLSNQHVCNIVNTCFRVVHQASSKGELLQRIARHTMHELVRCIFSHLPDMDKNEDAVANGSSIVSREVDTLEKDNAFGSKQLENGNSTAQSNSQPSILDTPSNLVDMIESGKDDSKIEIGNGMEAFDNGEKLNLEPFGIPCMVEIFHFLCSLLNVIKNIEVDLRSNTLAYDEDVPLFALGLINLAIELGGPSFSKHPALLSLIQGELFRNLMQFGLSMSPLILSTVCSIVLNLYHHLRTELKVQFEAFFSCVLLRVAESKHGSLYQLQEVAMEALVDLCRQQTFMAEMYANFDCDITCINVFEDLANLLSRSAFPVNGPLSSMHIVALDGLVSMVKCMADRIGNEMSLPEEASADLEGYKEFWTVKCENYSDPNFWIPHIRKMRHIKRKLMVGVDHFNRDPKKGLEFLQGMHPLPEKLNPQCVASLFRYTVGLDKNLIGDFLGNHDEFCIQVLQEFAGTFDFRGMNLDTALRIFLGTFRLPGESQKIQRVLEAFAERYYEHSPQILANKDAALLLSYSLILLNTDQHNAQVKKKMIEEDFIRNNRCTNGGKDFPQEYLSELYRSICENEIQMIPEQRAGVPLMTSGCWINVLHKSKITSPFIVSDSRELLDYDMFTILSGPAIAAMSVVFDHTENEEVLKICVDGFLAVAKISSSYHFDNVLDDLVVSLCKFTDQLTPLSVDDAILAFEEDIKARMATTTVFTIANKYGDYIRAGWENILDRVLSFHKLGLLPARLINDATDDMELSSDMERAKPAPSSSLPSQAPSGTNPRKSSGLMGRFSQLLFFDVEELRSLPIEEQLAAHQLSSETIKSCHIDSIFIESKFLQAVSLLQLVKSLILVASRLSKGTSPVEDEGSAVFCLELLITITLNNRDRIMLIWKEVYDHISNIVQSTKMPCTLVEKAVFGLLRICQRLLPYKENLTDELLKSLQLILKLDARVADAYYEQITQEVIHLVKANASHIKSHLGWRTITSLLSITSRHPEASQTGFETLAFIMSEGAYLLPSNYVLCVDAARQFAESRLGDVDQSVSALDMMAGSIACLARWSSEAEITMGQEADTKVSQDIGEMWLRLVQGLRKVCLDHREEVRNHAILMLQRCMAGVDEIHLPMALWFQCFDLVIFSLVDDLLDIAQQSSPKNYRKMEETLALAMKLMVKAFLQRLQDLSQQPSFCRLWLGVLDHMERFVQVKFQGKHSEEIYELVLEFLKNILLVMKTTGVLVPSSDIGKDSFWQLTWLQVKNICPTLQSEVFSDEELQQL >Manes.13G035800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4374619:4381909:-1 gene:Manes.13G035800.v8.1 transcript:Manes.13G035800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEDKALLSTLGIASANPEDIERDILAEVTNNTENVSEGGGSTEEELADKSKNIDPSSTSQTKLYNRLRAVKFEIDAVASTFEQVKNVASSEDHDDDDKAECGDREDDELVSPIDFTLQQALAADRLKCLKRTKVQIEKELSDLQEDDATKGMDYENLLADMVKEESRPKRKAKKVQKPGKKRQRSQKTVSFTDDTDFDATLDAVSAGFVETERDELVRKGILTPFHKLKGFERRLQQPGPSSGCKVSEEEDRSDDIASDSIARASQLMTEAIKARPRTKMLDLDSLPKLEAPTHPFQRLRAPLQIPRSLESDEEKGKGSKRKRKRPLPGQKWRKRISHEETLLEESDDAKCASVTSSYEDEKLEDGEDVDNGNSSFVTLEGGLKIPEAIFNKLFEYQKVGVQWLWELHCQRAGGIIGDEMGLGKTIQVLSFLGALHFSNMYKASIVVCPVTLLRQWKREAQKWYPRFHVELLHDSAQEFPHRKKQAKSSDSDNESEGSLDSNYEGNLSSKNSNKWDSLINRVLKSESGLLVTTYEQLRLLGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKVDVNAQLPKKTEHVLFCSLTAEQRSVYRAFLASTEVEQILDGNRNSLYGIDVMRKICNHPDLLEREHSCQNPDYGNPERSGKMKVIAQVLKVWQEQGHRVLLFAQTQQMLDILESFLISAGYCYRRMDGLTPVKQRMALIDEFNDSNDVFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFRARDMKDLFVLNDDGESVTTETSNIFSQLSEDVNVVGAKKEKEDKQKHHKGSTSHFDDAATNQESKRNSGGSRMKGKEKANHCDGEVDEETNILRSLFDAHGLHSAVNHDAIMNAHDEEKMRLEEQASQVARKAAEALRQSRMLRSRDSISVPTWTGKSGAAGAPSSVRQKFGSTVNSQLIRSSDQSSSNKPSRLNGIAAGASAGKALSSAELLAKIRGNQEKAVGAGLGSSSTNGMSCSSQNSSTVQPEILIRQICTFIQQRGGSTDSSSIVQHFKDRIPSKDLPLFKNLLKEIAKLEEDQNGKFWVLKPEYRQS >Manes.13G035800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4374619:4381909:-1 gene:Manes.13G035800.v8.1 transcript:Manes.13G035800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEDKALLSTLGIASANPEDIERDILAEVTNNTENVSEGGGSTEEELADKSKNIDPSSTSQTKLYNRLRAVKFEIDAVASTFEQVKNVASSEDHDDDDKAECGDREDDELVSPIDFTLQQALAADRLKCLKRTKVQIEKELSDLQEDDATKGMDYENLLADMVKEESRPKRKAKKVQKPGKKRQRSQKTVSFTDDTDFDATLDAVSAGFVETERDELVRKGILTPFHKLKGFERRLQQPGPSSGCKVSEEEDRSDDIASDSIARASQLMTEAIKARPRTKMLDLDSLPKLEAPTHPFQRLRAPLQIPRSLESDEEKGKGSKRKRKRPLPGQKWRKRISHEETLLEESDDAKCASVTSSYEDEKLEDGEDVDNGNSSFVTLEGGLKIPEAIFNKLFEYQKVGVQWLWELHCQRAGGIIGDEMGLGKTIQVLSFLGALHFSNMYKASIVVCPVTLLRQWKREAQKWYPRFHVELLHDSAQEFPHRKKQAKSSDSDNESEGSLDSNYEGNLSSKNSNKWDSLINRVLKSESGLLVTTYEQLRLLGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKVDVNAQLPKKTEHVLFCSLTAEQRSVYRAFLASTEVEQILDGNRNSLYGIDVMRKICNHPDLLEREHSCQNPDYGNPERSGKMKVIAQVLKVWQEQGHRVLLFAQTQQMLDILESFLISAGYCYRRMDGLTPVKQRMALIDEFNDSNDVFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFRARDMKDLFVLNDDGESVTTETSNIFSQLSEDVNVVGAKKEKEDKQKHHKGSTSHFDDAATNQESKRNSGGSRMKGKEKANHCDGEVDEETNILRSLFDAHGLHSAVNHDAIMNAHDEEKMRLEEQASQVARKAAEALRQSRMLRSRDSISVPTWTGKSGAAGAPSSVRQKFGSTVNSQLIRSSDQSSSNKPSRLNGIAAGASAGKALSSAELLAKIRGNQEKAVGAGLGSSSTNGMSCSSQNSSTVQPEILIRQICTFIQQRGGSTDSSSIVQHFKDRIPSKDLPLFKNLLKEIAKLEEDQNGKFWVLKPEYRQS >Manes.08G162401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39766147:39775586:-1 gene:Manes.08G162401.v8.1 transcript:Manes.08G162401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNIVSDEEDEVEFEGYDREPVHADAVEDPEDEDEEDEEGQDEYEKDDFIVDDVEEEEEVDEEEERADSDEERHKKKKKKKKRESEDVLDEDDYELLRDNNAFHHRPKDSKKFKRLKKAQRDSDEERFGFSDEEFDGSGKGGRTAEEKLKRTLFGDDEGVPLEDIAEEEQEEEEEEDGDIGEEDEMADFIVDEEVDENGAPMRRTKLKRKKSRQAPGVTSSAIQEAQELFGDVDDFLLRRKRELESSEWKETRLDKEFEPTVLSEKYMTEKDELIRMSDIPERMQISEESTGPPPTDEISITEEGSWIYNQLANGTVPLFRKRRDEAHEEEQDLPLSRDDIIRFLELHHVQKLDVPFIAMYRKEECSSLLKDPENLEVDDENHDKSDRTPMLKWHKVLWAIQDLDRKWLLLQKRKTALHSYYNRRFEEESRRIYDETRLNLNQQLFVSILKSLKDAESEREVDDVDAKFNLHFPPGEVGVDEGQYKRPKRKSQYSICSKAGLWEVASKFGCSAEQLGMGLSLEKMGEILENAKETPEEMASNFTCAMFETPQAVLKGARHMAAVEISCEPSIRKYVRSMYMENAVVSTSPTPDGNVAIDSFHQFAGVKWLREKPVNKFEDAQWFLIQKAEEEKLLQITFKLPEKYMNKLIGDCNEHYLSDGVSKSAQLWNEQRTLILKDALYTYLLPSMEKEARSLLTSRAKNWLLLEYGKVLWNKVSVGPYQRKENDVSSDDEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYAGSLTLRSQNVNDQQHKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTKLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISSDQLPGQPGIVRRAAALGRYLQNPLAMVATLCGPSREILSWKLSPLENFLNPDEKYAMVEQVMVDVTNQVGLDINMATSHEWLFAPLQFISGLGPRKAASLQRSLVRAGAIFTRKDFVTVHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDLLDDTRIHPESYGLAQEMAKDVYEMDNGEANDDDEALEMAIEHVRDRPNLLKTLDLDVYLRDKKRENKKETFKYIQLELIQGFQDWRRQYKEPSQDEEFYMISGETEDTLAEGRIVQATVRRVQGGRAICVLESGLTGMLTKEDYADDWRDMPELSDRLHEGDILTCKIKSIQKNRYQVFLVCREREMRSNRYQQVRDLDPYYHEDRSSLQSEQEKAQKEKELAKKHFKPRMIVHPRFQNITADEAMGFLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVTHLKAMLSYRKFRRGTKAEVDEQLKIEKSDYPMRIVYSFGISHEHPGTFILTYIRSKNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQRHIDDPMNESAPSIRSVAAMVPMRSPATGGSSGASVGSGWGGSANDGGWRGQSFDRDRSSAPGSRTGRSRDGHQSGLPRPYSGHGRGSHNSRGNNSSSDRQDSGYDNSRWDSGAKDGDRSWGSFPGAKVQNSPGREAFPGGWGTGGSDNGSSGWGTGSKRGSSQSQGDNAWSGGGSGGW >Manes.09G075100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18802644:18806370:-1 gene:Manes.09G075100.v8.1 transcript:Manes.09G075100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGVVKADKTEFTECWRTAWQTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFESVDKNTWLQATIVSMAVAGAIVGAAGGGIINDKFGRKKSILFADVVFFFGAVIMAIAPAPWVIIVGRLLVGFGVGMASMTSPLYISECSPARIRGALVSTNGLLITGGQFLSYLINLAFTKTNGTWRWMLGVAGIPPVVQIVLMLSLPESPRWLYRKNKVEEARAILQRIYPDDEVEMEMKALASSVEAEKADEAALGDDIFSKVKGAFSNTVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALALSLITSGLNVVGSLVSMAFVDRYGRRRLMIVSMTLTIGFLVALSIVFMQASSHAPKVSSIETAHFGKNTTCPKYLTVPDASRWSCMSCLKAKCGFCANGASEYQPGACLDGTNVLKATCHAEHRVFFENGCPSKFGFLAVILLGLYIIAYAPGMGTVPWIVNSEIYPLRYRGIGGGIAAVANWVSNLIVSESYLSLTEHLGAGGTFFLFAGISCIGLGFIFWFVPETKGLQFEEVEKILAAGYRPDLCGGKKKRKDVDTA >Manes.09G075100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18802644:18805663:-1 gene:Manes.09G075100.v8.1 transcript:Manes.09G075100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGVVKADKTEFTECWRTAWQTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFESVDKNTWLQATIVSMAVAGAIVGAAGGGIINDKFGRKKSILFADVVFFFGAVIMAIAPAPWVIIVGRLLVGFGVGMASMTSPLYISECSPARIRGALVSTNGLLITGGQFLSYLINLAFTKTNGTWRWMLGVAGIPPVVQIVLMLSLPESPRWLYRKNKVEEARAILQRIYPDDEVEMEMKALASSVEAEKADEAALGDDIFSKVKGAFSNTVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALALSLITSGLNVVGSLVSMAFVDRYGRRRLMIVSMTLTIGFLVALSIVFMQASSHAPKVSSIETAHFGKNTTCPKYLTVPDASRWSCMSCLKAKCGFCANGASEYQPGACLDGTNVLKATCHAEHRVFFENGCPSKFGFLAVILLGLYIIAYAPGMGTVPWIVNSEIYPLRYRGIGGGIAAVANWVSNLIVSESYLSLTEHLGAGGTFFLFAGISCIGLGFIFWFVPETKGLQFEEVEKILAAGYRPDLCGGKKKRKDVDTA >Manes.16G015300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1738784:1742905:-1 gene:Manes.16G015300.v8.1 transcript:Manes.16G015300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKVYVVYYSMYGHVAKLAEEMRKGAASVEGVEVKLWQVPETLSEEVLKKMGGPPKGDVPIINSSDLAEADGLLFGFPTRFGMMAAQFKAFLDTTGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGSGTYAGDGSRQPSELELQQAFHQGKYFAGITKKFNATA >Manes.16G015300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1738848:1741468:-1 gene:Manes.16G015300.v8.1 transcript:Manes.16G015300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVAKLAEEMRKGAASVEGVEVKLWQVPETLSEEVLKKMGGPPKGDVPIINSSDLAEADGLLFGFPTRFGMMAAQFKAFLDTTGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGSGTYAGDGSRQPSELELQQAFHQGKYFAGITKKFNATA >Manes.16G015300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1738848:1741189:-1 gene:Manes.16G015300.v8.1 transcript:Manes.16G015300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVAKLAEEMRKGAASVEGVEVKLWQVPETLSEEVLKKMGGPPKGDVPIINSSDLAEADGLLFGFPTRFGMMAAQFKAFLDTTGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGSGTYAGDGSRQPSELELQQAFHQGKYFAGITKKFNATA >Manes.16G015300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1738848:1742899:-1 gene:Manes.16G015300.v8.1 transcript:Manes.16G015300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKVYVVYYSMYGHVAKLAEEMRKGAASVEGVEVKLWQVPETLSEEVLKKMGGPPKGDVPIINSSDLAEADGLLFGFPTRFGMMAAQFKAFLDTTGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGSGTYAGDGSRQPSELELQQAFHQGKYFAGITKKFNATA >Manes.10G128100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30129182:30132971:-1 gene:Manes.10G128100.v8.1 transcript:Manes.10G128100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGNLNLNTIKFNFEMVAKIKETSDRLHEIINQKDELRLAEYTTRRVSHVTERPPATSLVNEAKVYGREEDKKAMLKLLNAETSDAQVSVISIVGMGGLGKTTLAQLVYNDPTLEFDLKAWVSVGEDFDVSRVTGTFLRQLGDGGDDKDLNLLQVKLKQKLSGKKFLVVLDDVWTQNYEEWTLFWVPFEAGAPQSRVIVTTRSHDVSSMMGKTQAYALKTLSHNECMSVFAQHALGANNFDAHLELKQMGEEIVKRCGGLPLAAKALGGILRGKPNPDLWKEVLSSEIRELPDNKSNILPALRLSYLHLPPHLKRCFSYCAILPKDREFDRHELVLLWMAEGFLYDKKKMKDSEGLGKNYFDDLLSRSFFQQSNDNKSMYIMHDLIIDLARYVSGETWLHMVDKLESTKPYAKIRHSSFIPHYRDTAQRFQSFYGMKNLRTFLPLREHCYRFYITSKVVHELVPKLKCLRSLSLAGYNIEELPNSIGDLKHLRYLDLSHTPIRRLPESVDKLLNLQTLKLRDCDELIELPKGICNLLNLQHLDIIGTRKLKEMPPHIGNLTSLCVLTKFIVGKSNGRITELKKLCDLRGQLHITSLENVEVADIRDAGFVNLKDKPGITELHLEWAEADERFDDLRNPSHEEQVLNSIQPYQSLSSLSITSFGGRKFPSWLGDPSFSGMVQVQLWKCRQMTSLPPLGRLKSLKKLSIGDMRGVKEVGVEFYEDDSCFSCLEELEIRSMGEWELWAWSNGLGEDSVPKFPKLHHLQIRNCPKLVGELPNFLPSLENVVIDDCPLLVELPKVLPSLTRLHFEKCQEAILRSVTNATSLTSLKYLEIRLCDELVSLVDGEEGVLPCNLEVLDIDECPNLKELPSGLKDLKSLKYLTINGCTSLVSFPAGGLPHNMIRLHIESCKSLESMPEGIVCPSNYSGETSHLEELYISGCESLRCPSNGKFPYSLKTLQIHNWTPQFLNSLYCGLSHLTELHIWWCPQLESFPGKELPLPSLISLTIARCEGLRSLSNHMQNFQSLQSLAIRCCHQLELFPEMGLPNPKLVSFQIFWCKNLRSLPNQMQNLTSLQSIYVYLCDGMESFGEGCLPPNLTSLNIGECLNMKQPMLEWGLHRLASLRSLALYVESTGEFISFPDDDGFLLPTSLTYLCIIGFKNLKSISRGIQKLTSLEKLSILRCPKLQSFPAECLPATLEWLEIHSCPLLRDRCLKDNGGDYWPIISDIPHVCIEN >Manes.09G065700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10829877:10837363:1 gene:Manes.09G065700.v8.1 transcript:Manes.09G065700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESEEIKTIEQWKWSEMQGLELVSPAPPPCSDPFKTNSSSSPPSTSTLTIDSQQLQMDNINTTPKKDGGGSVSNSGGGGGGEKPGDVATVGFVELFRFADGLDYVLMAIGSVGALVHGSSLPLFLRFFADLVNSFGSNANDMDKMMQEVLKYAFYFLIVGAAIWASSWAEISCWMWTGERQSTRMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFLHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIAAIHTNTLAKLSGKSQEALSQAGNIVEQTIVQIRVVLAFVGESRALQGYSSALKVAQRIGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAISTMFAVMLGGLALGQSAPSMGAFAKAKVAATKIFRMIDHKPAVDRNSESGLELDAVTGLVELKNVDFSYPSRPEVRILNNFTLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLVDGHDIKTLKLRWLRQQIGLVSQEPALFATSIKENILLGRPDADQIEIEEAARVANAHSFIVKLPEGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVTEIGTHDELIAKGENGVYAKLIRMQEAAHETAMNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDAAYPNYRLEKLPFKEQASSFWRLAKMNSPEWVYALVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPNHAYMSREIGKYCYLLIGLSSAALIFNTLQHFFWDIVGENLTKRVREKMLAAVLKNETAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPLVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSESQIVGLFTTNLQIPLRRCFWKGQIAGSGFGVAQFLLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFELLDRKTEIEPDEPDATAAPDRLRGEVELKHIDFSYPTRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALVQRFYEPSSGRVIIDGKDIRKYNLKSLRKHIAMVPQEPCLFAATIYENIAYGHESATEAEIIEAATLANAHKFISGLPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHNQVIGMTSGSSSRSKDNGEREA >Manes.09G065700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10829877:10837357:1 gene:Manes.09G065700.v8.1 transcript:Manes.09G065700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESEEIKTIEQWKWSEMQGLELVSPAPPPCSDPFKTNSSSSPPSTSTLTIDSQQLQMDNINTTPKKDGGGSVSNSGGGGGGEKPGDVATVGFVELFRFADGLDYVLMAIGSVGALVHGSSLPLFLRFFADLVNSFGSNANDMDKMMQEVLKYAFYFLIVGAAIWASSWAEISCWMWTGERQSTRMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFLHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIAAIHTNTLAKLSGKSQEALSQAGNIVEQTIVQIRVVLAFVGESRALQGYSSALKVAQRIGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAISTMFAVMLGGLALGQSAPSMGAFAKAKVAATKIFRMIDHKPAVDRNSESGLELDAVTGLVELKNVDFSYPSRPEVRILNNFTLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLVDGHDIKTLKLRWLRQQIGLVSQEPALFATSIKENILLGRPDADQIEIEEAARVANAHSFIVKLPEGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVTEIGTHDELIAKGENGVYAKLIRMQEAAHETAMNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDAAYPNYRLEKLPFKEQASSFWRLAKMNSPEWVYALVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPNHAYMSREIGKYCYLLIGLSSAALIFNTLQHFFWDIVGENLTKRVREKMLAAVLKNETAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPLVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSESQIVGLFTTNLQIPLRRCFWKGQIAGSGFGVAQFLLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFELLDRKTEIEPDEPDATAAPDRLRGEVELKHIDFSYPTRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALVQRFYEPSSGRVIIDGKDIRKYNLKSLRKHIAMVPQEPCLFAATIYENIAYGHESATEAEIIEAATLANAHKFISGLPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHNQVIGMTSGSSSRSKDNGEREA >Manes.09G065700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10829877:10837357:1 gene:Manes.09G065700.v8.1 transcript:Manes.09G065700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESEEIKTIEQWKWSEMQGLELVSPAPPPCSDPFKTNSSSSPPSTSTLTIDSQQLQMDNINTTPKKDGGGSVSNSGGGGGGEKPGDVATVGFVELFRFADGLDYVLMAIGSVGALVHGSSLPLFLRFFADLVNSFGSNANDMDKMMQEVLKYAFYFLIVGAAIWASSWAEISCWMWTGERQSTRMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFLHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIAAIHTNTLAKLSGKSQEALSQAGNIVEQTIVQIRVVLAFVGESRALQGYSSALKVAQRIGYKSGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAISTMFAVMLGGLALGQSAPSMGAFAKAKVAATKIFRMIDHKPAVDRNSESGLELDAVTGLVELKNVDFSYPSRPEVRILNNFTLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLVDGHDIKTLKLRWLRQQIGLVSQEPALFATSIKENILLGRPDADQIEIEEAARVANAHSFIVKLPEGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVTEIGTHDELIAKGENGVYAKLIRMQEAAHETAMNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDAAYPNYRLEKLPFKEQASSFWRLAKMNSPEWVYALVGSIGSVVCGSLSAFFAYVLSAVLSVYYNPNHAYMSREIGKYCYLLIGLSSAALIFNTLQHFFWDIVGENLTKRVREKMLAAVLKNETAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPLVVAATVLQKMFMTGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSESQIVGLFTTNLQIPLRRCFWKGQIAGSGFGVAQFLLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFELLDRKTEIEPDEPDATAAPDRLRGEVELKHIDFSYPTRPDVPIFRDLNLRARAGKTLALVGPSGCGKSSVIALVQRFYEPSSGRVIIDGKDIRKYNLKSLRKHIAMVPQEPCLFAATIYENIAYGHESATEAEIIEAATLANAHKFISGLPDGYKTFVGERGVQLSGGQKQRIAIARALVRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHNQVIGMTSGSSSRSKDNGEREA >Manes.01G145600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33589025:33613363:1 gene:Manes.01G145600.v8.1 transcript:Manes.01G145600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFRAFSVILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPSLENEVQPFLDFVCKIYHNFKSTVSHFFDNTTPAVEDIKPMDTSSDQVITGTAFVGNGQLNPSNRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPDKVPSNLKSHFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACYETLRPLAYSLLAEIVHHVRSDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQPSMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEEGRERATLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVMGMKTIIWSITHAHLPRSQVSPFTHGTHSQALVSPSSNLPAPQVFKGMREDEVWKASGVLKSGVYCLALFKEKDEERDMLNLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSSLLQSQKVYRPFADVLVNFLVSSKLDVLKQPDSPAAKLVLHLFRFIFGAVAKAPADFERILQPHVPVIMEVCMKNATEVEKPLGYMQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGPTGEDMRDLLLELCLTLPARLSALLPYLPRLMKPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKNSGMDAFYRKQALKFLRVCLSSQLNLPGSVSEEGFTTRQLSTLLVSSFDSSWRRSETSDIKADLGVKTKTQLLAEKSVFKILLMTIIAASAEPELHDSKDDFVVNICRHFAMVFHIDYTSANPSIPTATIGGPMLSSNASASRSKNSTSNLKELDPLIFLDALVDVLADENRVHAKAALNALNLFAETLLFLARSKHADVLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSTWEAQMGGVMGLGALVGKVTVETLCLFQVRIVRGLVYVLKKLPLYASKEQEETSQVLTQVLRVVNNVDEANSDSRRQSFQGVVEFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLYQPLLQPLIMRPLRSKTVDQQVGTVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADESVWVVKFMNPKMATSLNKLRTACIELLCTTMAWADFKTPNHAELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLSQSLKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLCIDLERALPAGQVYSEINSPYCLPLTKFLNRYATLAVDYFLARLSDPKYFRRFMYIIRSDAGQPLRDELAKSPQKILASAFPEFLPKADAAMTPGSSTAPGALMGDESLTTPPSDGSNLPSVSPAATSDSYFQGLALIKTLVKLIPGWLHSNRTVFDTLVLVWKSPARTSRLHNEQELNLVQVKESKWLVKCFLNYLRNDKTEVNVLFDILSIFLFHTRIDYTFLKEFYIIEVAEGYPPNLKRALLLHFLNLFQSKQLAHDHLVVVMQMLILPMLAHAFQNSQSWEVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKLLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERQRQNEMKIVPDSDLPSQSNDGFNSGSASADPKRAVDGSTFPEDPSKRVKVEPGLQSLCVMSPGGASSIPNIETPGSGGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASVMYKQALDLLSQALEVWPNANVKFNYLEKLLSSIQPSQSKDPSTQLAQGLDVMNKVLDKQPHLFIRNNINQISQILEPCFKHKMLDAGKSLCSLLKMVFVAFPPDAATTPTDVKLLYQKVDELIQKHINILTASQASSEDNSANSISFVLLVIKTLTEVEKHTDPFNLCRILQRLARDMGSSAGSHLRQGQRTDPDSAVSSSHQGSDLGAVISNLKSVLKLINEKVMVVTDCKRSVTQILNSLLSEKGTDASVLLCILDVIKGWIEDDSNKQGAVPSSAFLNPKEIVSFLQKLSQVDKQNFQPDALEEWNRKYLQLLYGICSDSNKYPLALRQEVFQKVERQFMLSLQAKDPDIRMKFFSLYHESLGKTLFTRLQYIIQVQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARLLPLLVSNSPPDGSGMLQQVTDVPGGTEEAPLTLDSLVLKHAQFLNEMSKLQVADLVIPLRELAHTDANVAYHLWVLVFPIVWVTLQKEEQVTLAKPMITLLSKDYHKKQQASRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNDTKCSESLAELYRLLNEEDMRCGLWKKRSITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDALVDFGKSVENYEILLDTLWKLPDWTYMKDHVIPKAQVEETPKLRLIQAFFALHDRNANGVGDAESIVGKGVDLALEHWWQLPEMSVHARIPLLQQFQQLVEVQESARILVDIANGNKLSGSSVVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKDFGNTNSQLHHLGYRDKAWNVNKLAHIARKQGLYDVCVIILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEIFRLKGDFLLKLNDSESANIAYSNAISLFKNLPKGWISWGNYCDMAFKETHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRAFDKYLDQIPHWVWLSWIPQLLLSLQRAEAPHCKLVLLKIAQVYPQALYYWLRTYLLERRDVANKSELGRLAMAQQRMQQNVSGAGAGSLGMSDGNARVSHSGSTLTPDSQVHQGPQPGSGIGSHDGGNSQEPERSAATTVESSVHAGNDQPLQQNSSTINDSGQNALRRGALGFVASAGSAFDAAKDIMEALRSKHTNLASELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTVTFPATLAELTERLKHWKNVLQSNVEDRFPAVLKLEEESRVLRDFHVVDVEVPGQYFSDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGHISPETVVDLRHQAYNEITKTLVTDSIFSQYMYKTLLSGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQNQHLWHQLAMFFRDELLSWSWRRPLGMPMAPVAGGGNMNPVDFKHKVTANVDHVINRISGIAPQFLSEEEENAVDPPQSVQRGVAELVEAALTPRNLCMMDPTWHPWF >Manes.09G071900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12241105:12248614:-1 gene:Manes.09G071900.v8.1 transcript:Manes.09G071900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRNAIRSKFPVRAFKLNHADAVAVCYRQPPKLFSTEAKQPPASEDSSIDPFLQNTGTGMVYAKLFGITRQTLKTDIINLLEGCNLTLDDIKVNYNRSFVPVGMMLQFPSRVVFDNAFKVIAKKGRLYRLENADRSQWDILMPHDGKTLLLEGIPRNAQPEDVERFLSGCEYDPSSIQLSLRQGFPDPIRIARVRCNTRTQAMNAFITKNRGFCLNNQVSVRVLQ >Manes.01G111200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30980129:30985656:1 gene:Manes.01G111200.v8.1 transcript:Manes.01G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSTGKTSSCLAITEKRPHRPGGCVGIFFQLFDWNRRFHKKKLFSKKLLPPAREKQSSKKFGGDEKMPKTKSHLIADENSRGFPNVKKNGNRSDNTEQKHEMRAPGLVARLMGLESLPAVHREKHKKVSKTPPCDVREEKFVNSHSGSDMEVVNLEKGSSKIESRPQKLQKTGQSERRAVTRFGAEALQIKNVLSRARKHNHPKLASPVKSPRISSSRNVSRASRLIDAATRILEPGLHATSRAKCALTYSSSRNYVPENEVLMDAMGLGVVSPDVQEQHRNDVNYNVGVDKSLMGQTSCKNCGNLLDVVDSRPNVEEQPFVYQSLAANVANKSLQGLERIEPRQLNSYPQQDRDAAYLRNQVQSAERLDSTRACSELISDRKPASSEGQMPRQLKSQQYRPQKDEPSSSPFQQRTPNEAAVAKGRIPPRAKLNNLQSRRDSSAANAVTGAKDFVALNRSLSGRTRPRVSNKTENYMVDTQRKFCSRRDDSLSQLRNPVRKRRTVSINAQLDSSGLVNPTSMRQKNVKSDFMSGRELEHNAPPAGGASIKARSAIHGEVHRTNGDNNNDVVSFTFSSPLRRKNLVPLGLRDMKDHIDKNASHQRKLPFDENDGKISSQRQMPLRGDTLGAILEQKLKELTSQEEDELTNGGSVPKRSTAMILQELISALTSQQPFYPDGHMVNAETTFQTEGRVGGSSVGFSHDVDHLSPGSVLEAPFSNDSCFSSSLDDCSARRLRSDSMDYSFDLLDSATSANEYMGSKIVTDLLNHISRILPSIDLAGGGLKGSRLTYVKEVILNAELLFGSAAARNSDGMKSMLRGPILFDELETLASAMWTDFNSIGFVESKEGRKDSRVRRFLFDCVIECLDSKYSKYCNSGFKAWRRVPLCMNTEMLIEEVGEEIRSWTNLAGMIPDEIIEWEMSHSLGKWTDFEIEAFESGAQIDWDILRVLVDELVMDLWDCGLGSF >Manes.13G033600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4176799:4178193:-1 gene:Manes.13G033600.v8.1 transcript:Manes.13G033600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADHSINTFLELQRKKVHRYVVFKIDEKKKEVVVEKTGGPAESYEDFTASLPENDCRYALYDFDFVTAENCQKSKIFFIAWSPSTSRIRAKMLYATSKERFRRELDGIHYEIQATDPTEMDLEVLRDRAN >Manes.13G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4176799:4178499:-1 gene:Manes.13G033600.v8.1 transcript:Manes.13G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGLSRPNATSGMGVADHSINTFLELQRKKVHRYVVFKIDEKKKEVVVEKTGGPAESYEDFTASLPENDCRYALYDFDFVTAENCQKSKIFFIAWSPSTSRIRAKMLYATSKERFRRELDGIHYEIQATDPTEMDLEVLRDRAN >Manes.17G015166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7989151:7990612:1 gene:Manes.17G015166.v8.1 transcript:Manes.17G015166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.01G179300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35868771:35873933:1 gene:Manes.01G179300.v8.1 transcript:Manes.01G179300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHCLLFQKQYHRIFNTHKRLYSIISHVNCTPQSGLEASQNPPQQPISSTPVTDLFLVEKLLFNLKQGNVNSLLNYHICLNPLVVVEVLKRCRDNLQLAQRFIDRGVLRGKNIKHSSMSLSAMIHVLVRSRRSSDAQALILRMIRRSGASRVEIVESLISVSTTWQLDNLVFDLLIRTYVQARKLREGTDAFTILSSKGFLVSINACNGLIVGLVKVGWIDLAWEVYREIVRSGIEFSVYTQNIMVNALCKDHKIDDVKTFVFDMEQKGIFADIVTYNTLVNAYCPQGLPLEAEKIFGEMLHRDIFPDLMSFSSLIRVLSKNGHLDQALVYFRDMRKSGLLPDNVIYNVLIDGNCRNRMISEALMIWDEIIERGCVMDVVTYNTILNGLCKEKMLTYANALFDEMLERGVP >Manes.18G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3977071:3980196:1 gene:Manes.18G045000.v8.1 transcript:Manes.18G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSGLWVSYLVVGTALMFLNFSCATTTLSISDVVVTDRSSRVITPATNRKLKEIGDAGNLNLDDYHPIDPVPSSKASIKPGPIEHGTPLNPFIPKRPPPPSSPAPPNKVGNFN >Manes.03G196300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31413495:31426571:1 gene:Manes.03G196300.v8.1 transcript:Manes.03G196300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVPGSSVKCSVCWEEVSDTSGRTVVKLRCSHMFHLAFNASGSMQCPNCRKVEIGLWRRFENNSPEESIDEDDNDEDVDDFTELLDDDFCPLDELSNYLLWLSYQNRIQRQEIFAGDLNFNHFMTGPLLPSEAAALHVLPHHYQRNCSCMFDMPCALHMAVSQISAQEVPSSNMRTVPVNIPQISIAHQAILNPWQGAQSSFRSSIESHSLGYYSSVYRNFEWVQPRRNSPAPAVHNMSAGGTAANGMQGWSLEMNAVPLPEQTSTYSYICPSSGSLQSHPLPVNFHRHRVQDGNIVTPPECLRSDINPFW >Manes.03G196300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31413508:31426571:1 gene:Manes.03G196300.v8.1 transcript:Manes.03G196300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVPGSSVKCSVCWEEVSDTSGRTVVKLRCSHMFHLDCIGSAFNASGSMQCPNCRKVEIGLWRRFENNSPEESIDEDDNDEDVDDFTELLDDDFCPLDELLIFKFCRSNYLLWLSYQNRIQRQEIFAGDLNFNHFMTGPLLPSEAAALHVLPHHYQRNCSCMFDMPCALHMAVSQISAQEVPSSNMRTVPVNIPQISIAHQAILNPWQGAQSSFRSSIESHSLGYYSSVYRNFEWVQPRRNSPAPAVHNMSAGGTAANGMQGWSLEMNAVPLPEQTSTYSYICPSSGSLQSHPLPVNFHRHRVQDGNIVTPPECLRSDINPFW >Manes.03G196300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31413441:31426571:1 gene:Manes.03G196300.v8.1 transcript:Manes.03G196300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVPGSSVKCSVCWEEVSDTSGRTVVKLRCSHMFHLDCIGSAFNASGSMQCPNCRKVEIGLWRRFENNSPEESIDEDDNDEDVDDFTELQLDDDFCPLDELSNYLLWLSYQNRIQRQEIFAGDLNFNHFMTGPLLPSEAAALHVLPHHYQRNCSCMFDMPCALHMAVSQISAQEVPSSNMRTVPVNIPQISIAHQAILNPWQGAQSSFRSSIESHSLGYYSSVYRNFEWVQPRRNSPAPAVHNMSAGGTAANGMQGWSLEMNAVPLPEQTSTYSYICPSSGSLQSHPLPVNFHRHRVQDGNIVTPPECLRSDINPFW >Manes.03G196300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31413508:31426571:1 gene:Manes.03G196300.v8.1 transcript:Manes.03G196300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVPGSSVKCSVCWEEVSDTSGRTVVKLRCSHMFHLDCIGSAFNASGSMQCPNCRKVEIGLWRRFENNSPEESIDEDDNDEDVDDFTELLDDDFCPLDELLIFKFCRSNYLLWLSYQNRIQRQEIFAGDLNFNHFMTGPLLPSEAAALHVLPHHYQRNCSCMFDMPCALHMAVSQISAQEVPSSNMRTVPVNIPQISIAHQAILNPWQGAQSSFRSSIESHSLGYYSSVYRNFEWVQPRRNSPAPAVHNMSAGGTAANGMQGWSLEMNAVPLPEQTSTYSYICPSSGSLQSHPLPVNFHRHRVQDGNIVTPPECLRSDINPFW >Manes.03G196300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31413442:31426571:1 gene:Manes.03G196300.v8.1 transcript:Manes.03G196300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVPGSSVKCSVCWEEVSDTSGRTVVKLRCSHMFHLDCIGSAFNASGSMQCPNCRKVEIGLWRRFENNSPEESIDEDDNDEDVDDFTELQLDDDFCPLDELSNYLLWLSYQNRIQRQEIFAGDLNFNHFMTGPLLPSEAAALHVLPHHYQRNCSCMFDMPCALHMAVSQISAQEVPSSNMRTVPVNIPQISIAHQAILNPWQGAQSSFRSSIESHSLGYYSSVYRNFEWVQPRRNSPAPAVHNMSAGGTAANGMQGWSLEMNAVPLPEQTSTYSYICPSSGSLQSHPLPVNFHRHRVQDGNIVTPPECLRSDINPFW >Manes.03G196300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31413442:31426571:1 gene:Manes.03G196300.v8.1 transcript:Manes.03G196300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVPGSSVKCSVCWEEVSDTSGRTVVKLRCSHMFHLDCIGSAFNASGSMQCPNCRKVEIGLWRRFENNSPEESIDEDDNDEDVDDFTELLDDDFCPLDELSNYLLWLSYQNRIQRQEIFAGDLNFNHFMTGPLLPSEAAALHVLPHHYQRNCSCMFDMPCALHMAVSQISAQEVPSSNMRTVPVNIPQISIAHQAILNPWQGAQSSFRSSIESHSLGYYSSVYRNFEWVQPRRNSPAPAVHNMSAGGTAANGMQGWSLEMNAVPLPEQTSTYSYICPSSGSLQSHPLPVNFHRHRVQDGNIVTPPECLRSDINPFW >Manes.03G196300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31413441:31426571:1 gene:Manes.03G196300.v8.1 transcript:Manes.03G196300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVPGSSVKCSVCWEEVSDTSGRTVVKLRCSHMFHLDCIGSAFNASGSMQCPNCRKVEIGLWRRFENNSPEESIDEDDNDEDVDDFTELLDDDFCPLDELSNYLLWLSYQNRIQRQEIFAGDLNFNHFMTGPLLPSEAAALHVLPHHYQRNCSCMFDMPCALHMAVSQISAQEVPSSNMRTVPVNIPQISIAHQAILNPWQGAQSSFRSSIESHSLGYYSSVYRNFEWVQPRRNSPAPAVHNMSAGGTAANGMQGWSLEMNAVPLPEQTSTYSYICPSSGSLQSHPLPVNFHRHRVQDGNIVTPPECLRSDINPFW >Manes.02G210260.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24727393:24729677:-1 gene:Manes.02G210260.v8.1 transcript:Manes.02G210260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLDFSTAFHPQTDGQSERTIHTIEDMLRMCVLDFGGSWRQHLPLVEFAYNNSYHASIGMAPYEVLYGRKCRSPVYWEERSPAE >Manes.09G124300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32628032:32642097:-1 gene:Manes.09G124300.v8.1 transcript:Manes.09G124300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKDTVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPSFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPTTANGARPPPPTNSPIVGPIPKAGAFPPIGAHGPFQPVVSPSPGAIAGWMSSNNPSLPHPSVAAGPPGLVQPPSAAAFLKHPRTPSGMTGIDYQSADSEHLMKRMRTGQSDEVSFSGVAHTPNVYSQDDLPKTVVRSLNQGSNVMSMDFHPQQQTILLVGTNVGDISLWEVGSRERLAHKPFKVWDLSVASMPLQTALLNDAAISVNRCVWGPDGLMLGVAFSKHIVQIYTYNPTGELRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKMIKVWDAVAGRRQYTFEGHEAPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDSLGSRVDYDAPGLWCTMMAYSADGTRLFSCGTSKEGESHLVEWNESEGTIKRTYSGFRKRSSGVVQFDTTRSRFLAAGDEFQIKFWDMDNINMLTAADADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANNDGLRLIRMLESRAIDKNRSPSEPMNSKPLIVNALGPVANVSSGIAPVLERSDRIPPAVTISSLGTMENSRLVDVKPRISDELDKIKSWKIPDIVDPSQLKALRLPDSIANGKVVRLIYTNSGLALLALASNAVHKLWKWQRSERNQSGKATAYVAPQLWQPPSGTLMTNDVSDNKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSSVQIYNVRVDEVKTKLKGHQSRITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKRKSRFIQAPPGRQSPLTGETRVQFHNDQTHLLVVHESQISIYDSKLECLRSWYPKDTLTAPISSAIYSSDGLLVYTGFCDGAVGVFDADGLRVRCRIAPSAYIPSFVAGNTAYPMVIAAHPSEPNQIALGMSDGAVHVVEPSDVELKWGGPSSQDNGPLPSNSSNPSLSGQQSELPSR >Manes.09G124300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32628032:32642097:-1 gene:Manes.09G124300.v8.1 transcript:Manes.09G124300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKDTVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPSFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPTTANGARPPPPTNSPIVGPIPKAGAFPPIGAHGPFQPVVSPSPGAIAGWMSSNNPSLPHPSVAAGPPGLVQPPSAAAFLKHPRTPSGMTGIDYQSADSEHLMKRMRTGQSDEVSFSGVAHTPNVYSQDDLPKTVVRSLNQGSNVMSMDFHPQQQTILLVGTNVGDISLWEVGSRERLAHKPFKVWDLSVASMPLQTALLNDAAISVNRCVWGPDGLMLGVAFSKHIVQIYTYNPTGELRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKMIKVWDAVAGRRQYTFEGHEAPVYSVCPHQKENIQFIFSTAIDGKIKAWLYDSLGSRVDYDAPGLWCTMMAYSADGTRLFSCGTSKEGESHLVEWNESEGTIKRTYSGFRKRSSGVVQFDTTRSRFLAAGDEFQIKFWDMDNINMLTAADADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANNDGLRLIRMLESRAIDKNRSPSEPMNSKPLIVNALGPVANVSSGIAPVLERSDRIPPAVTISSLGTMENSRLVDVKPRISDELDKIKSWKIPDIVDPSQLKALRLPDSIANGKVVRLIYTNSGLALLALASNAVHKLWKWQRSERNQSGKATAYVAPQLWQPPSGTLMTNDVSDNKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSSVQIYNVRVDEVKTKLKGHQSRITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKRKSRFIQAPPGRQSPLTGETRVQFHNDQTHLLVVHESQISIYDSKLECLRSWYPKDTLTAPISSAIYSSDGLLVYTGFCDGAVGVFDADGLRVRCRIAPSAYIPSFVAGNTAYPMVIAAHPSEPNQIALGMSDGAVHVVEPSDVELKWGGPSSQDNGPLPSNSSNPSLSGQQSELPSR >Manes.08G135900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37263127:37266164:-1 gene:Manes.08G135900.v8.1 transcript:Manes.08G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNQLGKRRKPMESSGIVPGDNAASNMDANNGFTFTEVNSAKASSMKVISCRFSADGKWLATAGHDKKALLWYADGLKPKSTFEGHTSLITDVCFSPSMPYLATSSFDKTIRVWDAGNPRSSLHIFRGHSTCVMSVDFHPNRDDLICSCDGGGDIRYWSIANSDCAAVFKGGSAHIRFQPRLGKYLAAAEDYAVSVLDVETQACLHLLQGHVKPIHFLCWDPSGKYIASVSKDAIRIWTIGSGGNGECIHELSSDNGHIFHSCVFHPVNSSLLIIGCEQSLELWNMTTNKSTTLPAHGGLVASLAVSTATRLVASASHDKFVKLWV >Manes.16G127300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32843041:32843799:-1 gene:Manes.16G127300.v8.1 transcript:Manes.16G127300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQRKEQSLSKASPRADTQNEQELLVEEEEEEEADPSLQLDLKLTRSNEFHRELNLIDCFNMDSINTSSETPQTTDVEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGRIGAFGHPYLHRHYYPDMASLPLYGAYGRSLGIQAHSMIHKPCHLSFANGFANLYGHGNWSRPPIDQHPAIGKLSMNHYHVNATTATSSPGTSCSGVGRFNLEKSTIAGSPADEGIASCWLGNNQDELQKLDLSLKL >Manes.07G102800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30867308:30872303:-1 gene:Manes.07G102800.v8.1 transcript:Manes.07G102800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGTWFSAVKKALSPKKSKSKKQEKNLDPVDSKYEETTLLDAPAPPPPLPPPIEDVKLIEAENEQSKHAYSVALATAAAAEAAVAAAHAAAEVVRLTSVARYSGKSREEIAAIRIQTAFRGYLARRALRALRGLVRLKTLIQGQSVRRQATNTLRAMQTLARVQSQIRARRIRMSEENQALQRQLQQKHEKELEKLRHAIGDQWNDTLQSKEQIEASLLHKQEAAMRRERALAYAFSHQQTWKNSSKSANPTFMDPNNPHWGWSWLERWMAARPWESRNTIDNNDRSSAKSSTSRAMSIGEISRAYSRRDFNHDNKTSPGAQMSNRPPSLQSPSTPSKAPSMSSVAGKTKPPSPKGSSFVADDDSRSLFSCQSARYRRHSIAGSSVRDDESLASSPSVPSYMAPTHSARAKSRLPSPLGIEKNGTPEKSSVGSAKKRLLFPASPAGPRRHSGPPRVESNVIKNLEVHTEKVINGESSR >Manes.07G102800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30867308:30871506:-1 gene:Manes.07G102800.v8.1 transcript:Manes.07G102800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGTWFSAVKKALSPKKSKSKKQEKNLDPVDSKYEETTLLDAPAPPPPLPPPIEDVKLIEAENEQSKHAYSVALATAAAAEAAVAAAHAAAEVVRLTSVARYSGKSREEIAAIRIQTAFRGYLARRALRALRGLVRLKTLIQGQSVRRQATNTLRAMQTLARVQSQIRARRIRMSEENQALQRQLQQKHEKELEKLRHAIGDQWNDTLQSKEQIEASLLHKQEAAMRRERALAYAFSHQQTWKNSSKSANPTFMDPNNPHWGWSWLERWMAARPWESRNTIDNNDRSSAKSSTSRAMSIGEISRAYSRRDFNHDNKTSPGAQMSNRPPSLQSPSTPSKAPSMSSVAGKTKPPSPKGSSFVADDDSRSLFSCQSARYRRHSIAGSSVRDDESLASSPSVPSYMAPTHSARAKSRLPSPLGIEKNGTPEKSSVGSAKKRLLFPASPAGPRRHSGPPRVESNVIKNLEVHTEKVINGESSR >Manes.07G102800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30867308:30872408:-1 gene:Manes.07G102800.v8.1 transcript:Manes.07G102800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGTWFSAVKKALSPKKSKSKKQEKNLDPVDSKYEETTLLDAPAPPPPLPPPIEDVKLIEAENEQSKHAYSVALATAAAAEAAVAAAHAAAEVVRLTSVARYSGKSREEIAAIRIQTAFRGYLARRALRALRGLVRLKTLIQGQSVRRQATNTLRAMQTLARVQSQIRARRIRMSEENQALQRQLQQKHEKELEKLRHAIGDQWNDTLQSKEQIEASLLHKQEAAMRRERALAYAFSHQQTWKNSSKSANPTFMDPNNPHWGWSWLERWMAARPWESRNTIDNNDRSSAKSSTSRAMSIGEISRAYSRRDFNHDNKTSPGAQMSNRPPSLQSPSTPSKAPSMSSVAGKTKPPSPKGSSFVADDDSRSLFSCQSARYRRHSIAGSSVRDDESLASSPSVPSYMAPTHSARAKSRLPSPLGIEKNGTPEKSSVGSAKKRLLFPASPAGPRRHSGPPRVESNVIKNLEVHTEKVINGESSR >Manes.18G142504.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:26520440:26521983:-1 gene:Manes.18G142504.v8.1 transcript:Manes.18G142504.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWAFPNFHTCLPFGGPYKASIPLNHATSPEADVLLAYEMNGEPLKRDHGYPLRVVVPGVIGARSVKWLDSINIIAEECQLCD >Manes.01G131702.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32474248:32493928:1 gene:Manes.01G131702.v8.1 transcript:Manes.01G131702.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICRSILGSYWAHIAALVPVLSCAEAGDEVANKILQDSVEELALSVKAVVRRLGLGGKDGNTSFPLVMVGGVLQANKTCDIGKEVINCISRDYPGACPIRPKVEPAVGAALLDWNFFANETYKEANER >Manes.01G260700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41658681:41660053:1 gene:Manes.01G260700.v8.1 transcript:Manes.01G260700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASCSLEITVLSAEDLRLDGKSIKKDAFVVVKFDSLSSKSTKADHQGGSNPSWNQKLEMDMPMHSHFITLEVQCKIGSVNRVIGTAGVPVSDFLGGYTPLNYLHFLSYRLRDVRGEKNGIVNVSVKVKGVADYRRKYLPGYQPCSSSSLSSQPTWGIPIPKEEKNCHGGVVTGVPVWCASRA >Manes.S054916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1896053:1896214:1 gene:Manes.S054916.v8.1 transcript:Manes.S054916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.11G003950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:497369:498043:1 gene:Manes.11G003950.v8.1 transcript:Manes.11G003950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCKRVFGILFGLLSFYLSFRNVVVLMLVSSSTMPQMQRRNPSSYSQQVIDILL >Manes.14G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7879018:7880920:1 gene:Manes.14G094400.v8.1 transcript:Manes.14G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGKAVEMYSNGSFQDYSSFSSDLPCKKHPSSSSVGICAYCLKDRLVKLVCSDCGEQRLSSCSCSEISSNRNSCTVEPKPSGDKADEIILLKRSSSSCVEIKRKGGFWRIGKLFRKKREKDCERSSIDGFEEKSDLWVVDYMGVSRSRSLCSFRGGGFFGSEDGTFSGARSSISAARSSISAARNSGVNGGMLFDPERKSGFSEAEPRKSGFDGEKKDASVLDPEKLDPGFSGANTRRVFSLKEGNFTTMEDSGFIDLKFDFPSEPKSDLSSVKIGGALSDSNSAFGSMRGSDFLTQDQCGGPFGSLVGDGMYTNGGSCRITVSDRGIKRSRKSFKSWRWIFRHNPNSRKKDEEPVVKPLA >Manes.12G138600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34493307:34495376:-1 gene:Manes.12G138600.v8.1 transcript:Manes.12G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPFVVLFAILILFLFVSQAIADDGFNSSSTFSLSPPPPSQTLQSTSMPPPSSPTVSSDLHENSFFSHTALLAPILSHLGFNELSMAAPSLSSDSATTAWSGPSTLFAPSDSSLHTCSSCSIPSILREHIIPGLYTIDYLRKLAFGTKIETLSPGLCLTVTSTSIPNATASTNAVKVFIGGVEITHPDLFNNGLIVIHGIQGYVAPLSPYSCDVERLNSLSIPFHVPASRQIHNQPLAQPSILRLMLRDAMLRLRNNGFNILSLAMRLKYAELASLNNLTVFALDDASIFSGSHSYISSVRFHMVPNHFLTATDLEKLPVGAILPTLERGQSLVVTTAGGGGTAAYLMRINYVKLKVPDMIRNLKIVVHSVYLPFPRIHPAAAGFEGMLGEELDGGVHAALDGACSADMEKGGSCGGVRMPQVKPAVMIGDHHGL >Manes.14G163200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:24900716:24905077:1 gene:Manes.14G163200.v8.1 transcript:Manes.14G163200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGACTVQQTLTAEAASVLKHSLSLARRRGHGQVTPLHVAATLLSSRASLLRRACLKSQPHQSSHPLQCRALELCFNVALNRLPTTPGPLLHGQPSLSNALLAALKRAQAHQRRGCIEQQQQQLLVTIKVVLEQLIISILDDPSVSRVMREAGFSSTAVKNNIEDSSASSVFQCYTTTGGGVFSSPCSPSPGETQREIINPTTFWQTHFLTYTSEQTPLLFSPQKKLTSNYFTDSISVKEDIKLILEVFLRKKRKNTVIVGDCVSITEGLAGELKERIERGEAPVELKHTQFVKFQFAPVSLRFMKKEDVEMNISQLKMNVDSAGEGGTIIYTGDLKWTVEESVINGEDSAAAGYSPVDHLVTEIGRLLSDYSSSNTKVWLMATASYQTYMRCQMRQPPLEIQWALQAVSVPSGGLGLGLHGSSINVSRVTFSQSPSQLLETKPIISNSKEEQDKLFCCPQCTSSYEKEAQLLKSGQQKNLPPWLHPQGTNANQEDKLVEMRRKWNGFCQGLKHQGRQIQTHLDSITLYNNNTNQWLMGKSYSYASPYPWWPSQNIFSDSSPISFADSVLKPNQASSFVPKFRRQQSCTIDFNFGNGTQKHLQYSGEPNLDSLKNTQGKEVKITLALGNSFISDIGERDRGRSNLRKLLRDNVPWQSEIIHSIVEALVESKSTGKGTWLLIQGNDTLGKRRLALAIAESVLGSVDLLLYINMRKKDNKVTPSSETIEKALRNQEKIVALVEDVNFADTQFMELLADGFDTGKFGESGNQAIFILTTGGNLISCEDGKMDQDSVVRMTLEVKETTPPNKRQAEWDISGNNKTPRTNENKEVKNGNKKKDYSRQSSFNTLDLNIKASEDDEREEKPAEFSPISSYLSRQNTLDPVTPNWFLDSIRNRYVFDQNEAQHREMTEGFSSKMKRCMEEVFGDQNVNGFSIEKRVLEEVLDGYGSFVNSLLERWLKDIFQTTLQRVKIEGKEGVGIRLCFEGRNERILEDGFMGTCLPNKIQISFMD >Manes.16G097800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30345099:30351107:-1 gene:Manes.16G097800.v8.1 transcript:Manes.16G097800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIARSKQPGDDSLSQPDESGTTNNPNTKQHQAIKSLTTQLRDMALKASGAYRHCNPCTAPTSQSRFRNNSNESDAESDRFRWSLRRTGSSSSTTPRTWGKEMEARLKGISSSSGEGTPNSVNGSGRRVDPPVVFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRVMELYNVQRFNRQAFPLPTPPRSEDESSKMESAEDSPVTPPLTRERLPRNLYRPTGMGMGYSSSDSLDHHPMQARHYCDSVGLTSTPKLSSISGAKTETSSMDASIRSSSSREADRSGELSISNASDMETEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEMHARVWWEENRARIHEQYL >Manes.16G097800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30345099:30351107:-1 gene:Manes.16G097800.v8.1 transcript:Manes.16G097800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIARSKQPGDDSLSQPDESGTTNNPNTKQHQAIKSLTTQLRDMALKASGAYRHCNPCTAPTSQSRFRNNSNESDAESDRFRWSLRRTGSSSSTTPRTWGKEMEARLKGISSSSGEGTPNSVNGSGRRVDPPVVFVEENEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRVMELYNVQRFNRQAFPLPTPPRSEDESSKMESAEDSPVTPPLTRERLPRNLYRPTGMGMGYSSSDSLDHHPMQARHYCDSVGLTSTPKLSSISGAKTETSSMDASIRSSSSREADRSGELSISNASDMETEWVEQDEPGVYITIRALPGGKRELRRVRFSREKFGEMHARVWWEENRARIHEQYL >Manes.12G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2024446:2031152:1 gene:Manes.12G023700.v8.1 transcript:Manes.12G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQEKATTSCCTSTKSSSAAAAAATEVLHDWSVATVSNPPQDPINKRATMSSLIRPVESLNDPSPPNTNSAKGITMMARAQTSHPLDPLTAAEISVAVATVRAAGATPEVRDSMRFVEVVLLEPDKQVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRKARLIVYNKKSNETSIWVVELSEVHAATRGGHHRGKVISSKVVPNVQPPMDAVEYAECEALVKAFPPFQEAMKKRGIEDMDLVMVDPWCAGYHSEADAPSRRLAKPLIFCRTESDCPIENGYARPVEGIHVLVDMQNMVVIEFDDRKLVPLPPADPLRNYTAGESRGGVDRSDVKPLQIIQPEGPSFRVNGHFVQWQKWNFRIGFTPREGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKNCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLSVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGETFNQVVEVNVKVEEPGKDNVHNNAFYAEEELLRSELQAMRDCNPLTARHWIIRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYARDEMYPGGEFPNQNPRVGEGLATWVKQNRSLEETNIVLWYVFGVTHIPRLEDWPVMPVDRIGFMLMPHGFFNCSPAVDVPPSSSDLDLKDNGITAKPIQNGLLAKL >Manes.04G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34775561:34783427:-1 gene:Manes.04G152300.v8.1 transcript:Manes.04G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELEKPLLNPENFNREGIDLERLPLEEVFEQLRTSRAGLSTEDAEIRLKIFGPNKLEEKPENKLLKFLSFMWNPLSWVMEAAAIMAIVLANGGGEGPDWQDFIGIICLLLINSTISFIEENNAGNAAAALMAHLAPKTKVLRDGHWQEQDAAILVPGDIVSIKLGDIIPADSRLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVNTFFGKAAHLVDSTEVVGHFQKVLTAIGNFCICSIAVGMILEIIVMFPIQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNKDMDKEMIVLLAARASRLENQDAIDAAIVNMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLSQEKDKIAGKVHNIIDKFAERGLRSLGVALQEVPEKTKDSPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDKDENEVLPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADSTDAARSAADLVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISQDRVKPSPRPDSWKLPEIFATGIVIGTYLALVTVLFYWVLIGTNFFERTFHVRSLSSNSEEVSSAVYLQVSIISQALIFVTRSQSWSFMERPGVLLMCAFVVAQLVATLIAVYAHISFAYIRGIGWGWAGVIWLYSLIFYIPLDIIKFTVRYALSGEAWDLLFDRKTAFTSKKDYGKEDRAAKWVLSQRSLQGVMAADLEFNGRRSRSSLIAEQARRRAEIARLGEIHTLKGHVESVVRLKNLNLNVIQAAHTV >Manes.04G152300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34775561:34783427:-1 gene:Manes.04G152300.v8.1 transcript:Manes.04G152300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELEKPLLNPENFNREGIDLERLPLEEVFEQLRTSRAGLSTEDAEIRLKIFGPNKLEEKPENKLLKFLSFMWNPLSWVMEAAAIMAIVLANGGGEGPDWQDFIGIICLLLINSTISFIEENNAGNAAAALMAHLAPKTKVLRDGHWQEQDAAILVPGDIVSIKLGDIIPADSRLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVNTFFGKAAHLVDSTEVVGHFQKGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNKDMDKEMIVLLAARASRLENQDAIDAAIVNMLADPKEARANIKEVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNLSQEKDKIAGKVHNIIDKFAERGLRSLGVALQEVPEKTKDSPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGRDKDENEVLPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADSTDAARSAADLVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLALIWEYDFPPFMVLIIAILNDGTIMTISQDRVKPSPRPDSWKLPEIFATGIVIGTYLALVTVLFYWVLIGTNFFERTFHVRSLSSNSEEVSSAVYLQVSIISQALIFVTRSQSWSFMERPGVLLMCAFVVAQLVATLIAVYAHISFAYIRGIGWGWAGVIWLYSLIFYIPLDIIKFTVRYALSGEAWDLLFDRKTAFTSKKDYGKEDRAAKWVLSQRSLQGVMAADLEFNGRRSRSSLIAEQARRRAEIARLGEIHTLKGHVESVVRLKNLNLNVIQAAHTV >Manes.02G217984.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30260247:30261647:1 gene:Manes.02G217984.v8.1 transcript:Manes.02G217984.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHCVDATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFQTNVKPWKSRKGTLDPALEAAEAKSKIITVSKDGKGKFKTVTDAIKSIPLQNKERAIIKIGPGVYTEKIEIEKTKPFITFLGDPKAMPTLAFGGTARKYGTHYSGTVTVDSDYFMGVNIIFQNTAPKPQSNKPGGQAVALRINGDKAAFYNCKFLGFQDTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESQLNVVDNKDLTFITAQGKEEKSENFGYSFVQCKITGSGSGSFLGRAWRKMPQVIFSYTEMGAVVNPLGWSDNRQPDRDSTVFFAEYKNSGPGSNPKGRVKFTKQLKDAQAKNFLSLGYIQGSKWLLPPPK >Manes.13G136400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34449616:34455858:-1 gene:Manes.13G136400.v8.1 transcript:Manes.13G136400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKIFGISLSLILINLAAIMERADENLLPAVYKEVSEAFNAGPADLGYLTFVRNFVQGLASPLAGVLVLIYDRPAVLAIGTLCWALSTAAVGASQQFMQVAFWRAVNGFGLAIVIPALQSFIADSYKDSVRGTGFGLVNLIGNLGGIGGGVLATVMAGQQYWGIQGWRCAFIMMATLSSIIGFLVFLFVVDPRKTMNIHRDALERDDRKEMIERSNSSVSSIWTESWTAMQAVMKVKTFQVIVLQGIVGTLPWTAMVFFTMWFELIGFDHNSTAFLLSLFAVGCSFGALLGGVIADRMSHIYPHSGRIMCAQFSAIMGIPFSWFLLKEIPQSVSSYFTFAVTVFLMGLSISWNGSAVNAPIFAEVVPVKHRTMIYAFDRAFEGSLSAFAAPLVGILSENMFGYDSKSIDPVKGSVQQASALSKGLLSMMTVPFGLCCLFYTPLYKYFWQDRENARLASLKEAEMTRVAL >Manes.13G136400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34449645:34455379:-1 gene:Manes.13G136400.v8.1 transcript:Manes.13G136400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRKIFGISLSLILINLAAIMERADENLLPAVYKEVSEAFNAGPADLGYLTFVRNFVQGLASPLAGVLVLIYDRPAVLAIGTLCWALSTAAVGASQQFMQVAFWRAVNGFGLAIVIPALQSFIADSYKDSVRGTGFGLVNLIGNLGGIGGGVLATVMAGQQYWGIQGWRCAFIMMATLSSIIGFLVFLFVVDPRKTMNIHRDALERDDRKEMIERSNSSVSSIWTESWTAMQAVMKVKTFQVIVLQGIVGTLPWTAMVFFTMWFELIGFDHNSTAFLLSLFAVGCSFGALLGGVIADRMSHIYPHSGRIMCAQFSAIMGIPFSWFLLKEIPQSVSSYFTFAVTVFLMGLSISWNGSAVNAPIFAEVVPVKHRTMIYAFDRAFEGSLSAFAAPLVGILSENMFGYDSKSIDPVKGSVQQASALSKGLLSMMTVPFGLCCLFYTPLYKYFWQDRENARLASLKEAEMTRVAL >Manes.04G108600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31261222:31273342:1 gene:Manes.04G108600.v8.1 transcript:Manes.04G108600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHSGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPSSVTHPAVSGGGAIGLGAPSIPAALKHPRTPPTNTSVDYPSGDSDHVAKRTRPMGISDEVNLPVNVLPVSFPGHGHGQTFNAPDDLPKTVARTLNQGSSPMSMDFHPVQQTLLLVGTNVGDVGLWEVGSRERLVLRNFKVWDLSTCSMPLQAALVKDPGVSVNRVIWSPDGNLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDAATGSKQHTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESHIVEWNESEGNVKRSYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDNVQILTSIDADGGLPASPRIRFNKDGTLLAVSANENGIKILANSDGLRLLRSFENLSYDASRASETVTKPIITPISAAAAAAATSAGLADRSVSVVNIPGMNGDARNLGDVKPRITEESNDKSKIWKLTEINEPSQCRSLRLPENLRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATASVSPQLWQPSSGILMTNDITDTSPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKTRFLQVPPGRTTTGQSETRVQFHQDQIQFLVVHETQLAIYEATKLECAKQWVTRESSAPISHATFSCDSQLVYASFFDATVCVFSAQNLRLRCRISPSSYLPANVSSNVHPLVIAAHPQESNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPAASSVGPSGSDQAQR >Manes.04G108600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31261254:31273342:1 gene:Manes.04G108600.v8.1 transcript:Manes.04G108600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHSGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPSSVTHPAVSGGGAIGLGAPSIPAALKHPRTPPTNTSVDYPSGDSDHVAKRTRPMGISDEVNLPVNVLPVSFPGHGHGQTFNAPDDLPKTVARTLNQGSSPMSMDFHPVQQTLLLVGTNVGDVGLWEVGSRERLVLRNFKVWDLSTCSMPLQAALVKDPGVSVNRVIWSPDGNLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDAATGSKQHTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESHIVEWNESEGNVKRSYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDNVQILTSIDADGGLPASPRIRFNKDGTLLAVSANENGIKILANSDGLRLLRSFENLSYDASRASETVTKPIITPISAAAAAAATSAGLADRSVSVVNIPGMNGDARNLGDVKPRITEESNDKSKIWKLTEINEPSQCRSLRLPENLRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATASVSPQLWQPSSGILMTNDITDTSPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKTRFLQVPPGRTTTGQSETRVQFHQDQIQFLVVHETQLAIYEATKLECAKQWVTRESSAPISHATFSCDSQLVYASFFDATVCVFSAQNLRLRCRISPSSYLPANVSSNVHPLVIAAHPQESNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPAASSVGPSGSDQAQR >Manes.04G108600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31262025:31273342:1 gene:Manes.04G108600.v8.1 transcript:Manes.04G108600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHSGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVDILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPSSVTHPAVSGGGAIGLGAPSIPAALKHPRTPPTNTSVDYPSGDSDHVAKRTRPMGISDEVNLPVNVLPVSFPGHGHGQTFNAPDDLPKTVARTLNQGSSPMSMDFHPVQQTLLLVGTNVGDVGLWEVGSRERLVLRNFKVWDLSTCSMPLQAALVKDPGVSVNRVIWSPDGNLFGVAYSRHIVQIYSYHGGDDVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDAATGSKQHTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKEGESHIVEWNESEGNVKRSYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDNVQILTSIDADGGLPASPRIRFNKDGTLLAVSANENGIKILANSDGLRLLRSFENLSYDASRASETVTKPIITPISAAAAAAATSAGLADRSVSVVNIPGMNGDARNLGDVKPRITEESNDKSKIWKLTEINEPSQCRSLRLPENLRVNKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNSTGKATASVSPQLWQPSSGILMTNDITDTSPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADAQLCVWNSDGWEKQKTRFLQVPPGRTTTGQSETRVQFHQDQIQFLVVHETQLAIYEATKLECAKQWVTRESSAPISHATFSCDSQLVYASFFDATVCVFSAQNLRLRCRISPSSYLPANVSSNVHPLVIAAHPQESNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPAASSVGPSGSDQAQR >Manes.14G160925.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:23550157:23597293:1 gene:Manes.14G160925.v8.1 transcript:Manes.14G160925.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMENPDGAETKDQTTAAGIVDKYNVESAEFLANSAQHLPINQAAPIYEQLLLLFPTAAKLWKQYVEAYMAVNNDDATKQIFSRCLLNCLQVPLWRCYIRFIRKVNEKKGVEGQEETRKAFDFMLGYVGADIASGPVWIEYITFLKSLPALNAQEESQRMTAVRKVYQKAIVTPTHHVEQLWKDYENFENSVSRQLAKGLLSEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPTGSYKEELQWMAWKRLLAFEKGNPQRIDSVSSNKRIVFTYEQCLMYLYHYPDIWYDYATWHAKSGSIDAAIKVFQRALKALPDSEMLKYAYAELEESRGAILPAKKIYESLLGDGVNTTALAHIQFIRFLRRNEGVEAARKYFLDARKSPNCTYHVYVAYALMAFCLDKDPKTAHKVFEAGLKRFMHEPVYILEYADFLSRLNDDRNIRALFERALSSLPPEESVEIWKRFTLFEQTYGDLASMLKVEQRRKEALSRSGEDGGSTLESSLQEVVSRYSFMDLWPCSSKDLDHLSCQEWLAKNISKKMEKSAVSNGLGIVDKDSTGLTSNSAVSTKVIYPDTSCMVIYEPRQKHETGISPSTTPGFATASNMSNPIIGLLGSGTTSALDEILKATPPALVSFLASLPTVEGPTPNVDIVLSICLQADIPNGQVGKLGASPAPATSDLSGSSKSRPVPSSSSFKQLRDRQSGKRKDSDRQEDDETATVQSQPLPRDAFRIRQIQKARVGTTSQTGSASYGSALSGDLSASTG >Manes.03G087803.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19030372:19058841:-1 gene:Manes.03G087803.v8.1 transcript:Manes.03G087803.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTIANVGVSDIVNAGLSMEEAVDFQKILKQIIGEAKCSDSREVWRKVVAKRMLLPCHPHKLHQLIYYSVYARWDASINGPPLYWFPSLSQSKYTNLGRVMEIHGPKYLGASYKDPITSFSLFQKYTVQHPEVYWSLILRELSIVFHEVPKCILDTSNKSKQGGNWLPGSVLNIAECCLHPASHPRKNDDSVAIVWRDESDNSKVNHLTLKELREQVMLVANALDATFTKGDAIAIDMPMTVNAVVIYLAIVLAGFVVVSIADSFAAKEIAARLRISNAKAIFTQDYILRGGRKFPLYSRVVEAAPHKAIVLPVIGSDVDVQLRHQDLSWKDFLSSVNHFLRPNYYSPICQPVDAITNILFSSGTTGEPKAILWTQLSPIRSASDAWAHIDIQVGDVYCWPTNLGWVMGPILLYSCFLNGATLALFHGSPIGREFGKFVQDAGVTILGTVPSLVKAWKSTNCMEGLDWTKIKSFCSTGESSNVDDDLWLSSRAYYKPIIECCGGTELASSYIQGCPLQPQAFGAFSTASMTTGFVILDENEVPYPDDQPCVGEVGLFPLYFGASERLLNADHYKVYFKGMPMFKGMQLRRHGDILKRTVGGYFIVQGRADDTMNLGGIKTSSVEIERVCDGADESIMETAAISIAPKDGGPELLVIFVVLKKGYASEPDELKMKFSKAIQSNLNPLFKVSFVKIIPEFPRTASNKLLRRVLRDQMKHELSLHSKI >Manes.01G112500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31070570:31070863:-1 gene:Manes.01G112500.v8.1 transcript:Manes.01G112500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSGSVVCVVVMMAALMLMTEVRLSNAVTCNPSELSSCLPAVTSSTPPSSTCCSKLREQKPCLCEYMNNPNLKQYINLPGAKRVSSACGVAFPSC >Manes.02G123800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9437686:9438871:1 gene:Manes.02G123800.v8.1 transcript:Manes.02G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSFRREKMALIIFLAMSLLLHGALGELACEQLPVELCSYSIASSGKRCLLENFPTKDGKVEYQCKTSEVVVDIMQEWIESDECVSACGLNRNTIGISSDNFHQPQFLAKLCSDDCYKACPNIVDLYFNLALGEGAYLPNLCDNPRRALSATSSSGHAVTNLHSGGVSPAASPISGDVDPACAPPSI >Manes.06G166000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29224842:29227907:-1 gene:Manes.06G166000.v8.1 transcript:Manes.06G166000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHQYPSVLQKVASHVHLSSTLSQDVQCRYGSFQRPVLHQRQFSYGRYYNAGFDYPNTRACQVSPFASTSPLLVQAPSEKGFVGFATDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFSRTIKEEGVISLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFRKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYKKTMQSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGNLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGTKSLFKGAGANILRAVAGAGVLAGYDKLQMIVFGKKYGSGGA >Manes.13G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2731207:2734461:1 gene:Manes.13G018800.v8.1 transcript:Manes.13G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDIVVVAISFLGFVSFCKNILKFLRWVWVMFLRPPKNLKESYGSWALITGCTDGIGKALAFELASQGLNLVLVGRNPLKLEATATEIRARNGKNNSQSEIKIKTSIVDLAKSSGEEISRAIEACIEGLDIGIVINNAGLAYPYSKFFHEIDLELMESIVKVNLEAATWVARSVIPLMLKKKKGAIVNIGSGSTVSVPSYPLNTVYASTKAYIAMLSNCMNLEYKNQGINVQCQIPLLVATKMTRLKKPNLLVASPEIYAKASIRWIGYEKLCSPFWSHSVQWFILQALPDALLNRFLFQYYLGLRNKGLKKEALRAKEKCIF >Manes.13G018800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2731207:2734461:1 gene:Manes.13G018800.v8.1 transcript:Manes.13G018800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDIVVVAISFLGFVSFCKNILKFLRWVWVMFLRPPKNLKESYGSWALITGCTDGIGKALAFELASQGLNLVLVGRNPLKLEATATEIRARNGKNNSQSEIKIKTSIVDLAKSSGEEISRAIEACIEGLDIGIVINNAGLAYPYSKFFHEIDLELMESIVKVNLEAATWVARSVIPLMLKKKKGAIVNIGSGSTVSVPSYPLNTVYASTKARYIAMLSNCMNLEYKNQGINVQCQIPLLVATKMTRLKKPNLLVASPEIYAKASIRWIGYEKLCSPFWSHSVQWFILQALPDALLNRFLFQYYLGLRNKGLKKEALRAKEKCIF >Manes.01G238400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40045914:40048970:1 gene:Manes.01G238400.v8.1 transcript:Manes.01G238400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVGYRFHPTEEELVDYFLKHKMNGHDSLVDEDIRFIDLCKFDPWDLPRYAAEVSNDQVWYFFCRREVKYKNATRQRFNRSTQSGHWKPTGNERSIMSRSTNTEIGTKRTLVFYKRGTPKEVRTNWVIHEYQPKTLLPHQTDFVLCKLKEKRLDKARISACDEGQSSRSGPSDNRPIEIPISEVDSVQLLESLLASTCGGPNFNPVSLMQPQMHIDQGISIEDLISTDDYGNDSDRLWEMEEDPNEMAYSFIVVPDEHSGEEYLYPISGNPYGYNSEEGARINRNHSSSPNSMNGVYLDEIRDMDFYETPLQSGASNLNNGHYRQMQRVQAITETLPPSTFDQSGSEKTGVLQMNISSSSADSDIDSFEDISVRVVSKKLPVHTRTRKQLQPSCGNIKAEKGVTPKGSLIQGKLSGASEHKVKESRKHVAGRVQPQENHKGSITVKTEQDQKTDFAKTKLRENAVATDNKTGSFIRLETSVLSQSSSPPSVYFINVLIGLVLFLAASREVLILH >Manes.17G122200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32712193:32714975:-1 gene:Manes.17G122200.v8.1 transcript:Manes.17G122200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSLVTPYLHPQTFTSCNQISPFLHHFHQIYTNCRRPTRQKVHNYNGFVSVTAYMEKPNSISSFANKVIGSLPVVGLIARIFSDEGGVGADTIDFAEFRRRVGKKCTVNDSRAFYEFQDRRGKAGDPLYVLLCCWLAAVGAGLLKSEEILEGVARLRISNDIEFEEENFISLMNEAKEKRAKLNIETPSIPMDVRAEKALEAIYVCCFGRNPIEKEDEELLCLMLSAVFPSVEQPEIQRIVKDKAKKVAEGTDEVKVPEPKNLPKEAVKLQMKDLQFLKQNTET >Manes.12G119000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:32223463:32224836:-1 gene:Manes.12G119000.v8.1 transcript:Manes.12G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLASAIRRSLARTTNTCGPRGISTAAAAAVAPSTEPFENQGVVTVKGVKISARPLYLDMQATSPVDPRVLDAMLPYYLARFGNPHSRTHLYGWESDSAVETARSQVADLIGASPKEIVFTSGATESNNISIKGVMKFYKEKKRHVVTTQTEHKCVLDSCRHLQQEGFEVTYLPVGNDGIVDLENLRSAIRPDTGLVSVMAVNNEIGVIQPMEEIGKICKEFNVPFHTDAAQALGKIPINVENWNVSLMSLSGHKIYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAKKEMEYDDKRIKALQERLLDGIKAKLDGVVVNGSVERRYAGNLNLSFAYVEGESLLMGLKDVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTAEAEIDRAIELTVQQVEKLREMSPLYEMVKEGIDIKQIQWAQH >Manes.09G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8799137:8806248:1 gene:Manes.09G053100.v8.1 transcript:Manes.09G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEDCGYDAIVVGSGYGGSIAACRMSLAGTKVCLIEKGRRWKAEDFPDDSLKLMSAVRMENQNLGISFGPKHALFQVYEQNDSLAAVACGLGGGSLVNAGVMLKTPVRARRNPKWPKEWERDWDICEASAAAVLRLQSSPVKFPIAKVMGEITERETEEICDSLFKLSMNFQVEEPPSTTMKLQQTSSCLACGNCIAGCPHDAKNSTDKNYILSAVQAGCTVRTECQVQYLTENMHEVLEEGRIIRKRRWRVYLNEIDYITSDFVILSAGVFGTTEILFQSQMRGLKLSKALGSGFSCNGNTVAYVAGSTAPLCGYGLDRKQVSTIPFQERPGPSISSSYTSSLGFTIQSAVLPRAYPYLLFKGIVNYGWPTGYWFIHGIIDKLKHILGLKSTQAIALNAMGYDESDGNIVLDKDTEKICFTPPYDPLLPQKIEAYQKLTKKLGGILFMSRYRSTAVHLLGGCNASSDPSRGVCNHKGQIFEPTTPASVHPGLYVCDASLIPCSVGINPCLTIATAAEHVSRHLVQDVLDYKSKRGRNFDISTVERNPCLVADKNSDNDHNSNVLFKETMRGYVGGMPCSAHLKMKMNYQNKEGIDEHNLLSRGFHPLLRGKVGGFVVFKAIEKDKIHIIDGEVDLCVVDRRTPYTQYMHYRLLLVASSGSKYVLEGKKILNPYLFAVYAWKETTTLHVTFEKVAANYARETMVNLKGELRVSFMELIKSLWSLKGDRSGRFICILLQSLLRTYVLQTPRESHRDFIPNDYSNKPYPRSTLYKIKTEDGYFISCRQWKCFPNPMRLKGQKQLNPVLLLDGHSTESYWLPTEPHDLIRTLLEEGHEVWVLRPRLHPANPANSFTIEDIGKYDIPAAINLILELNGPSTKIHVVAHCVGGLAIHIALMGGHVSATHIASLSCTNSSMFFKLTTLARFKMWLPIIPVSMAILGKKKILPLLETSKRSFRHRLLKFIASCIPRYEKCTCKECETFSGIFGNTFWHKNVSPTLHQWLNEYCLTRLPMGAFPHLRKICNSGFIVDKNGNDSYLIHPERMAVSTLYISGGWSLLVTPETSFLANKYMKLHQPSFRHEREVVEGFGHSDLLIGEKSYEMVFPHILSHVRVAEQESKGVMSSERKKYSNDALNWSGDLYEGCKGFGSWLSPMNVAAIFLVLFLLMLFSFLM >Manes.14G064800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5360281:5364992:1 gene:Manes.14G064800.v8.1 transcript:Manes.14G064800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAFTLSSSPSLPLLKPPSQRSLVNTSFTARFDPILASSRRQDLETSSNIVFPRRSWSLALSPSQLRPWNPLPPLVSETKTDLFQVKATAVPESAGDGEKSSSLVKTLELGLLFGLWYLFNIYFNIYNKQVLKVFPYPVTVTVVQFAVGTVLVILMWAFNLYKRPKISGAQLAAIVPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVILSAMFLGELPTIWVVGSLLPIVGGVALASATEASFNWAGFWSAMASNLTNQSRNVLSKKVMVNKEESVDNITLFSIITVMSFILLAPVSLFIEGVKFTPAYLQSAGLNVKQVYIRSLLAALSFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIVFQTPVSPINSLGTGIALAGVFLYSRVKRIKPKPKTA >Manes.03G071600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:11368172:11371983:-1 gene:Manes.03G071600.v8.1 transcript:Manes.03G071600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSLKQAQRFLQFLSHPPKQYTIISPLLHQSYASSSSSSSSSSSSSCFSSSLSEIDKPANSELELTQQELAKINLLIPRLCLSAHLTTAIHLTATALLAKPSHKSISFSILIHSLTSQPDMTKSMSLLTILRHTPQAHPHLTPITVMLIRSYVKKKKLKEAFKVYHWMLRPGSPCKVEKLVYELLVNGFCEFGLILEALRVLRDMVGVGFVPGDRLRKRVYRSLLREARVWDAIRLDEASCSCFGDANSDGVKQLTEMLDSMIGSWTE >Manes.03G071600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:11368172:11371983:-1 gene:Manes.03G071600.v8.1 transcript:Manes.03G071600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSLKQAQRFLQFLSHPPKQYTIISPLLHQSYASSSSSSSSSSSSSCFSSSLSEIDKPANSELELTQQELAKINLLIPRLCLSAHLTTAIHLTATALLAKPSHKSISFSILIHSLTSQPDMTKSMSLLTILRHTPQAHPHLTPITVMLIRSYVKKKKLKEAFKVYHWMLRPGSPCKVEKLVYELLVNGFCEFGLILEALRVLRDMVGVGFVPGDRLRKRVYRSLLREARVWDAIRLDEASCSCFGDANSDGVKQLTEMLDSMIGSWTE >Manes.03G071600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:11368172:11371989:-1 gene:Manes.03G071600.v8.1 transcript:Manes.03G071600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSLKQAQRFLQFLSHPPKQYTIISPLLHQSYASSSSSSSSSSSSSCFSSSLSEIDKPANSELELTQQELAKINLLIPRLCLSAHLTTAIHLTATALLAKPSHKSISFSILIHSLTSQPDMTKSMSLLTILRHTPQAHPHLTPITVMLIRSYVKKKKLKEAFKVYHWMLRPGSPCKVEKLVYELLVNGFCEFGLILEALRVLRDMVGVGFVPGDRLRKRVYRSLLREARVWDAIRLDEASCSCFGDANSDGVKQLTEMLDSMIGSWTE >Manes.15G063600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4818663:4825685:-1 gene:Manes.15G063600.v8.1 transcript:Manes.15G063600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFQIQRIKSTLSLPHRRNLFFANTHTRTHSHEHFPSPNYQTAPTYPFFSNPLLLSFDSPRQYTVSLSLSLFFTSFAMDNTSNESELRLCGASDPGDPTLRESDAAGAASSGGARYKLMSPAKLPISRSPCITIPPGLSPTSFLESPVLLSNVKAEPSPTTGSFTNTQTGHGFLGSNSYSVMAPSNAYGERKSSCFECRPHTRSNLVPADVNHQRTEQSVQVQGQYYSQSHASSPTVKSEEVPLNELSLSAPPPMVTSGSSAPTEVDSEELNQMGASNSGLQVSQSDHKGGSGLSMSSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYTAGAVLLMQEDRSDKISLHGRDDKSPSAYGQVSNTIEPNGTPELSPLTPNDDGTEGAEDDYDPFSKRRKMDTGGFDVTPVIKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARSSSHDTARSSSHDTAGPTPVNGQSRIRSDESDTISLDLGVGICSTAENRSNDQQQAMHSEFTQNRNQTSGSSFRIAPRTPITPYYGVLNGGMHQFGSRQNPNEGHSVEIPPLSHSSCPYPQNMGRLVMGP >Manes.12G089800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13783523:13786879:1 gene:Manes.12G089800.v8.1 transcript:Manes.12G089800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVSPLANTAAAKDESQEQVENSLSNGDNEEFPKLLEIIDFGEDFFVGFSDGDVLPDLEMDPEILAEFSVSDGGEEAEMNTWVSNEKMEDNPRKDEDDKVSGSGSGLDSSLSTRGEEIASKEDESLVVNPFSKVSDERRKSTKAKNNQGKRKMKVDWTPELHRRFVQAVEQLGVEKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLQKREAETATWSHRRQMYGAAAGGGSSEGDIIPWQAPTMGFPPITPLHHHLHHFRPLHVWGHPPMHMWPKYLAHSLSPPPPTCPPPPRPPDPSYWHHHNQQQVPNGLTPGTPCFPQPLPAARFATPPVPGIPSHAMYKADPAMRVPTTQLGPHPLLEFYPSKESLDAAIGDVLAKPWLPLPLGLKPPSIDSVLTELQRQGVAKIPPLVLESTTNTSKRSCSCWCFRKKK >Manes.03G190300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30960730:30967204:-1 gene:Manes.03G190300.v8.1 transcript:Manes.03G190300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRAKGIAESKMQSKDFDAARKIALKAQQLYKDLDNISHMLMVCDVHCAADKKLFGNEMDWYGILQIEQTAEEATIKKQYRKFALLLHPDKNKFPGAEAAFKLIGEAQRVLLDKEKRTMHDIKRKASVSKPAPTYRPQHRTSYNPNIVTQNNYRSNFMGFNSHQQQMQQPAAQQGSFNARKTFWTACPFCNVKYQYYAEVMNKSLICQHCTKPFIAYERIVHGAPTATNLNQSAFSEKKDMPNQAFNKVELTRQSKSSPEKSRTEFFPKKGFSSELGSQKVNGKRQRKKDSESSESCDSDSSIDTEEDVVVDEDGDFKARVKSGCYGENLRRSNRSKQKVSYKENLSEDEDFVTQSKKPKGSGSSHASEKDCRNGLKDKILKTNKHSGESGLTSGVKDKNEEKPKEGPESFPNEIKDTKNVKGKEKAEESGCKKSSEAYFDFASDSSPKSTSEPEHYVCPDPDFNDFDKGRNERCFSTGQIWAVYDTLDAMPRFYVRIRKVFSPTFKVRITWLEPDPDDEDEIQWVNENLPVACGKFKIGSSQNTEDLPMFSHMINCEKGSQRDAYKIFPRKGEVWAVFKNWDIKWKSDADHSQKFEYEFVEILSEYTEDSGACVVYLGKLKGFVSVFCRTSKEGNETFQIPPGELFRFSHMIPSFKLMGEEGQGVPKGSFELDPACLPKNIEEIAVPEDMVIDAGNRYPRDLCSRSSHTVKTEVESEASTTHWADIKGAYLKPEVAIVNEVCRTPPASTPEPTEIPEAEFFDFEAEKSIEKFQVGQIWSLYSDEDGLPKYYGQITKIASGRGFRLWLRWLEACALPNGAIEWHDKGMPISCGIFRTKKGESQSYTSADSFSHKLSVVSAGKNEHTILPRKNQIWALYKNWSAEMKPSDLGICEHEIVEVLEEKDLAIKVSILEQVDGFNSVFKAQLKEGSSVTMEVPRVELFRFSHQIPAFQLTEERGGSLRGFWELDPLALPVYYFASK >Manes.03G190300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30960730:30967204:-1 gene:Manes.03G190300.v8.1 transcript:Manes.03G190300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEAIRAKGIAESKMQSKDFDAARKIALKAQQLYKDLDNISHMLMVCDVHCAADKKLFGNEMDWYGILQIEQTAEEATIKKQYRKFALLLHPDKNKFPGAEAAFKLIGEAQRVLLDKEKRTMHDIKRKASVSKPAPTYRPQHRTSYNPNIVTQNNYRSNFMGFNSHQQQMQQPAAQQGSFNARKTFWTACPFCNVKYQYYAEVMNKSLICQHCTKPFIAYERIVHGAPTATNLNQSAFSEKKDMPNQAFNKVELTRQSKSSPEKSRTEFFPKKGFSSELGSQKVNGKRQRKKDSESSESCDSDSSIDTEEDVVVDEDGDFKARVKSGCYGENLRRSNRSKQKVSYKENLSEDEDFVTQSKKPKGSGSSHASEKDCRNGLKDKILKTNKHSGESGLTSGVKDKNEEKPKEGPESFPNEIKDTKNVKGKEKAEESGCKKSSEAYFDFASDSSPKSTSEPEHYVCPDPDFNDFDKGRNERCFSTGQIWAVYDTLDAMPRFYVRIRKVFSPTFKVRITWLEPDPDDEDEIQWVNENLPVACGKFKIGSSQNTEDLPMFSHMINCEKGSQRDAYKIFPRKGEVWAVFKNWDIKWKSDADHSQKFEYEFVEILSEYTEDSGACVVYLGKLKGFVSVFCRTSKEGNETFQIPPGELFRFSHMIPSFKLMGEEGQGVPKGSFELDPACLPKNIEEIAVPEDMVIDAGNRYPRDLCSRSSHTVKTEVESEASTTHWADIKGAYLKPEVAIVNEVCRTPPASTPEPTEIPEAEFFDFEAEKSIEKFQVGQIWSLYSDEDGLPKYYGQITKIASGRGFRLWLRWLEACALPNGAIEWHDKGMPISCGIFRTKKGESQSYTSADSFSHKLSVVSAGKNEHTILPRKNQIWALYKNWSAEMKPSDLGICEHEIVEVLEEKDLAIKVSILEQVDGFNSVFKAQLKEGSSVTMEVPRVELFRFSHQIPAFQLTEERGGSLRGFWELDPLALPVYYFASK >Manes.01G043600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8583622:8587752:-1 gene:Manes.01G043600.v8.1 transcript:Manes.01G043600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAETQMTPTQISDEEANLFAVQLTSASVLPMVLKSAIELDLLEIIGKAGPGAFLSPTDIASQLPTSNPDAPVMLDRILRLLASYSILKFSPRTLPDGKVERLYGLAPVCKFLTKNEDGVSIAALCLMNQDKVLIESWYHLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNKVFNKGMADHSTLAMKKILETYEGFQGLSSIVDVGGGTGAVLSMIVSKYPSIKGINFDLPHVIDDAPPHPGVEHVGGDMFVSVPKGDAIFMKWICHDWSDEHCLKFLKNCYNALPPNGKVIVAECILPVAPDNSLATKTVVHIDCIMLAHNPGGKERTEKEFEALAKGAGFQGFRVVCRAFHTYLMEFLKSA >Manes.07G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31156318:31163704:1 gene:Manes.07G105400.v8.1 transcript:Manes.07G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFPCAGKSSKNAKKTLKKRPDDQIPSSSGKTKPNLGQDVKKETPTDASSDHIAVHTFMFRELASATKNFRADCLLGEGGFGRVYKGRLESTNKVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEDYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWARPLFKDRRKFAQMADPLLQGQYPVRGLYQALAVAAMCVQEQPNMRPLIADVVTALTYLASQRYDPETQPVQAYRPGSSTPRTRREQ >Manes.03G169900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29515439:29526513:-1 gene:Manes.03G169900.v8.1 transcript:Manes.03G169900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MNS4 MTRMEKPLKLSIQFFFLLSILSPQHNLLFIHTALADGVTSLEAKLLRDEVREMFYHAFDGYMEHAFPLDELRPLSCEGEDTLGGYALTLIDSLDTLALLGDREHFTSSVEWIGKNLRFDINKTVSVFETTIRVLGGLLSAHLIASDYATGMRIPSYDNQLLALAEDLAQRILPAFDTPTGIPFGSVNLLHGVDEHESKITSTAGGGTLTLEFGVLSQLTNNPIYEQVTKNAVRGLWARRSRLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYMLFGDEEYLFIFQEAYTAAMHYLYNDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDIEPAIRTHAAFFSVWRRYGFTPEGFNLATLSVQHGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMVASLQYGARCPCGYCHISDVEFHKKEDHMESFFLAETVKYLWLLFDLASGPDNLVENGPYKYIFTTEGHLLPTTPQISMVGEHCSYFGAYCKSGGNEQESPKSDVSLNPQGTNASTSYGGQVHTGYPLDSTFFESTATSGLMKGICPGLTHGQKYGISYVDLIDTAQEDKSTHQSENAAKSHSPRVVSDQTAGSSLSNNNDRGGNVHESSERGSE >Manes.16G080800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28602252:28604563:-1 gene:Manes.16G080800.v8.1 transcript:Manes.16G080800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTKCLSSEIEACRDDSAALVLKLIAIASILLAGIVGIAIPLIGKQRRFLRTDGSLFVAAKSFAAGVILATGFVHMLSGGSEALTNPCLPKYPWSKFPFSGFFAMVASLLTLLVDFVGTQYYERKQGLNRASEEQARVGSDDAVSESGIVPFAGAKEPNGPNGKVFGEEEGGGMHIVGMHAHAAHHRHNHPHGQDACDGHKHGQGHGHGHSHGHGHGHGHGFSEGDEESGVRHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCILQAQFKTLSTALMACFFAITTPAGIGIGTGISSFYNAHSSGALIAEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVVSYFMLFLGAGLMASLAIWA >Manes.16G080800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28602252:28603969:-1 gene:Manes.16G080800.v8.1 transcript:Manes.16G080800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTKCLSSEIEACRDDSAALVLKLIAIASILLAGIVGIAIPLIGKQRRFLRTDGSLFVAAKSFAAGVILATGFVHMLSGGSEALTNPCLPKYPWSKFPFSGFFAMVASLLTLLVDFVGTQYYERKQGLNRASEEQARVGSDDAVSESGIVPFAGAKEPNGPNGKVFGEEEGGGMHIVGMHAHAAHHRHNHPHGQDACDGHKHGQGHGHGHSHGHGHGHGHGFSEGDEESGVRHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCILQAQFKTLSTALMACFFAITTPAGIGIGTGISSFYNAHSSGALIAEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVVSYFMLFLGAGLMASLAIWA >Manes.16G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28602252:28604563:-1 gene:Manes.16G080800.v8.1 transcript:Manes.16G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFEDLWELLCLDRFRLKTRAFSDSLIQGISEPMTNTKCLSSEIEACRDDSAALVLKLIAIASILLAGIVGIAIPLIGKQRRFLRTDGSLFVAAKSFAAGVILATGFVHMLSGGSEALTNPCLPKYPWSKFPFSGFFAMVASLLTLLVDFVGTQYYERKQGLNRASEEQARVGSDDAVSESGIVPFAGAKEPNGPNGKVFGEEEGGGMHIVGMHAHAAHHRHNHPHGQDACDGHKHGQGHGHGHSHGHGHGHGHGFSEGDEESGVRHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCILQAQFKTLSTALMACFFAITTPAGIGIGTGISSFYNAHSSGALIAEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVVSYFMLFLGAGLMASLAIWA >Manes.05G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9430874:9434910:-1 gene:Manes.05G101200.v8.1 transcript:Manes.05G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGFLYSIKNRFGFVNITGLVNEFVYHNMYRFFTFFWGYVCSYTVYLLELFFRHIFRFEKGKFLDKFESDSDEVYHQTEDDRFGSICSSDSEMDKSDEEGENSVIMESALSASTNKYEFLSGKGIRGFVEEPRTLSFTVHELSLDSNNDAIVNPPIFGTGRFPDDEFQGVGLIAEVADKEDESAAFVKSFAIDEASEGKQEGERLMMEKNSKDETLISDDGVTVDRGFRDHELDAEETENSVTSSVIEEGLEKQEPETSTEENISDNQEMGGLDHSPENSVNRTAGGVFESESLIFMDEEKMEHSREAEEISIEGVEPQSCMLMDEEMTEQNRETEAVLMREQSMDSDDEYIELKPQKQNSILDEEILSTEDLSNIDDREEEQELVHEKAEPKFEESIFQEHKASDSHVQSDLDYMFEHQDIIEQLKLELKLARTGGLPTILEESESEELETPKTEQELKPMKIEDQKFERKDLLEGIQKVYKSYLDKMRKLDILNFQTMHVLGLLQMKDTVQFQTARKSSVPAVISLLSQNLWSCKGTAVVDPMKKVISDIYSDFETIYVGQLCLSWEILQWQYWKAKELQNYDSQESHQYNQVAGEFQLFQVLIQRFLENEQFQGPRVQNYVKSRCVLRSLLQVPLVKDDSFKDKGKTGDNNEDAITSQMLIEAIEQSMQVFWEFLRADKDESSVFLQSHQQSHLNLQDLVDSELLTDIRTDCQKKDKKLKDILRIGNCIVKRFKRQQDDRVNHIQKLVRAQVELKLVSRVLSMPKLTRDQLIWCHEKLLKINFCNRKVFVESSFLLFPC >Manes.06G115600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24638556:24640239:1 gene:Manes.06G115600.v8.1 transcript:Manes.06G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQIISKDIVKPSSSTHPSTYNLSILDQLAPSLYVPMIFFYSSTGESSCKKSHHLKTSLSKTLTHFYPFAGRIKDGFSIDCNDEGASFSEANVAGQMSLIVDEPDIDKLEKLLPCNPRDVSPERSSQVMVAVQVNHFDCGGMAVGVCIWHVIADISAVASFLKSWAAIGRGSGDDIEGVIFDCTSLFPPLDFQGLSLSDSRKEDLSNIVVKRFVFDSSKLAVLREEIGSRPCLDPPTRFEAIAALIWRAVMVEIEEENENETKTNETSIAAVPVGMRKRMIPQLPKLSIGNIYEAAIARCSKNDEELPDYNGLARKLHESIGKVNNDHVKKIHSDGGYMQLLRKIGEELEKKPSFVFSSWCKNQFYEVDFGWGTPTWVGTALKLYKIAVFMDTKDGEGIEVWVSLPMKDMMKFEQNPGISAYSSFKPSTN >Manes.04G165501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35837813:35838205:-1 gene:Manes.04G165501.v8.1 transcript:Manes.04G165501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRNNFGLHNWASGAQTYFKRAYRAHLFANQPTIHPTQKPTKHSNHQSAQTQQIKPATHPPSKTSQPTSTPEPSRSTNKETEEIMSCRSTAQPPQKTIEKKNTRGRHAYNIAHQTKGLLRTNVAKFQQL >Manes.02G164600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12872674:12879560:-1 gene:Manes.02G164600.v8.1 transcript:Manes.02G164600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKTFSASSTVPAINGLDCNNYVGLKPAVNNLRFNGFKSSVKVFPKRALIVRASESQSGPMKKLGLSDAECEAAVAAGNVPEAPSVPPKPAAPAGTPVVPLLPLSRRPRRNRSSPVLRAAFQETNLSPSNFVYPLFIHEGEQDTPIGAMPGCYRLGWRHGLVEEVAKAREVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRAIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRTALDAEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANFREALIEAHEDESEGADILLVKPGLPYLDIIRLLREKSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >Manes.02G164600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12872674:12879794:-1 gene:Manes.02G164600.v8.1 transcript:Manes.02G164600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKTFSASSTVPAINGLDCNNYVGLKPAVNNLRFNGFKSSVKVFPKRALIVRASESQSGPMKKLGLSDAECEAAVAAGNVPEAPSVPPKPAAPAGTPVVPLLPLSRRPRRNRSSPVLRAAFQETNLSPSNFVYPLFIHEGEQDTPIGAMPGCYRLGWRHGLVEEVAKAREVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRAIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRTALDAEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANFREALIEAHEDESEGADILLVKPGLPYLDIIRLLREKSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >Manes.13G069726.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9554773:9556908:1 gene:Manes.13G069726.v8.1 transcript:Manes.13G069726.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNGGAAKETGLSGQKATNQSLKKGPWTATEDAVLIDYVKKHGEGNWNSVQKNSGLMRCGKSCRLRWANHLRPNLKKGSFTPEEERIIIELHAKLGNKWARMASQLPGRTDNEIKNFWNTRMKRRQRAGLPIYPQEFQEETIPFHIKNQIQHQHQEQNHPNVTNPSSSSFSSLFPSPRKASYNPSLTLLDPINFSPALDPLNNNLTRSFYSNPAVQFKSFPDNNASNCGLALPLSSYGRSPSSITGFNQNFPAQSIPMTPPSLHYSTSDFETNMSFTSLIMGAQVEPNELFPGLGSEIPSDQTPPRPNTPFSSNTSGGVCVREESSKNTDNDSETVVPEMMHDNRNSGLLDALLLESQNLSRKEGKLTGENSLVATDQKGKRVVDESAEEEEETEKEAAKRVKLSAMNGSENSGENNCCDDLSSSQSSIGVKPNEEPMDEMNSMDDDLLSLLDNFPTTTPLPEWYRSRNIASGLSSSTVVEGGEVEAEQEASLAGGETTDETPNVDWAFGSSYWNNMPGIC >Manes.17G049800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24721963:24724417:1 gene:Manes.17G049800.v8.1 transcript:Manes.17G049800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKQIAYDFTLALLSIFIIILGVVLYFFCRKKPVESEENLSVKVSAPTYPLTDIDAATDGFNHRRVIGKGRLGTVYAAVLPREELVAVKRIHPSLVLSNAGFGFSSILKTLSLAQHPNIVPILGISQAPGERIIVMEFVGMASLDFYLHESSDGGSLLDWSRRLRVAAGAARGLEYLHEGVAPNVIHGCFKASNILLDVKFSARVCDYGLSFLAPTERRGIAGYVDEEYWREIGGGPCKASDVYGFGVVLLELLTGRRNEEGLLVKWALPLIKDMNFSDVLDPRLALPSDMKQIIRLAKVASACVSNSRKSRPTIVQVAAILNSLEAEVCL >Manes.03G115500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24139681:24141562:-1 gene:Manes.03G115500.v8.1 transcript:Manes.03G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILISSSMLVILLALISGFIQANAAVEYFWCNTPGRRCYGKYVKCPDECPNTSSENPKAKVCYVNCNSPHCKPECKRRKADCNTPGSACYDPRFIGGDGVVFYFHGKSNEHFSLVSDSNLQINGRFIGHRPAGRTRDFTWIEALGILLNSHVFSLEAKKAATWDGEIDHLKFTYNGEELDIPEGSLSTWYSPEKDVKVERVSSKNRVIVTLKDTAEILVNVVPVTKEDDRVHKYQVPANDCFVHLEVQFRFLNLSPKVDGVLGRTYRPDFENPVKPGVAMPVLGDEKKYKTSSLLSTDCQSCIFSQDDSDQETSSVIDSGILDCTHGASAGYGIVCRK >Manes.05G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5513228:5521212:-1 gene:Manes.05G066100.v8.1 transcript:Manes.05G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRRRKPLVLSSTKLLVDSVLSLSTLCEGDQVAGDDVSPSLQLRAGILRFSKSSVSDSKLTSLDDSALVGLSTAVLKRLAVTSGSLVLIKNIETNAHRIAQVVILDPPRTHGHASGDKGPVSHPPNTMIVFPSFRLPSDDIPLLDQDIAYLSPLLAFNLDLHVSCLKSLVHQGNETLASLFYAKMDNETTTEVGDGSLITLGLEPLAQLPRYASHLRVSFIKIPECGTLESLKGSSSVEAEERQELIDLALHNYFKADRYLARGDIFNICINWNCNSVICIPCSQRSQNRSDNVIYFKVVAMEPSDEIILRVNHTQTALVLGGTVPSALPPDLLIGETKGFPPFQGDTVKTLASILSPPLCPSALSSKFRISVLLYGPAGCGKRTVVRHISRRLGLHVVEFSCHNLMSSSDRNTSVALAQAFNTAQRYSPTILLLRHFDVFRNLNSQDGSSNDQVGLTSEVASVIREFTEPDVEDGDKYSNGKLNGDPPVKDAGKISRHQLLLVATAESSEGLPPTVRRCFSHEISMGPPTEEQRVEMLSQLLQSGSELLSSSGLEDAVKDIVGQTSGFMPRDLRALAADAGANLISKCNTQVDEAKLEEMGSSLGVKVVQDDETCNDIPNVMGKEYLPRALERSKKRNASALGTPKVPNVKWEDVGGLEEVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFTLHQDISLYSIAKKCPPNFTGADMYALCADAWFHAAKRKVLSSESDSSSQVHQPDSVVVEYDDFVKVLAELSPSLSMAELRKYEMLRDQFEGAPR >Manes.05G066100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5513228:5521212:-1 gene:Manes.05G066100.v8.1 transcript:Manes.05G066100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRRRKPLVLSSTKLLVDSVLSLSTLCEGDQVAGDDVSPSLQLRAGILRFSKSSVSDSKLTSLDDSALVGLSTAVLKRLAVTSGSLVLIKNIETNAHRIAQVVILDPPRTHGHASGDKGPVSHPPNTMIVFPSFRLPSDDIPLLDQDIAYLSPLLAFNLDLHVSCLKSLVHQGNETLASLFYAKMDNETTTEVGDGSLITLGLEPLAQLPRYASHLRVSFIKIPECGTLESLKGSSSVEAEERQELIDLALHNYFKADRYLARGDIFNICINWNCNSVICIPCSQRSQNRSDNVIYFKVVAMEPSDEIILRVNHTQTALVLGGTVPSALPPDLLIGETKGFPPFQGDTVKTLASILSPPLCPSALSSKFRISVLLYGPAGCGKRTVVRHISRRLGLHVVEFSCHNLMSSSDRNTSVALAQAFNTAQRYSPTILLLRHFDVFRNLNSQDGSSNDQVGLTSEVASVIREFTEPDVEDGDKYSNGKLNGDPPVKDAGKISRHQLLLVATAESSEGLPPTVRRCFSHEISMGPPTEEQRVEMLSQLLQSGSELLSSSGLEDAVKDIVGQTSGFMPRDLRALAADAGANLISKCNTQVDEAKLEEMGSSLGVKVVQDDETCNDIPNVMGKEYLPRALERSKKRNASALGTPKVPNVKWEDVGGLEEVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERRLLVSSHCTKISLFTR >Manes.08G163100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39807460:39820679:1 gene:Manes.08G163100.v8.1 transcript:Manes.08G163100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYISEVIVQLSSNEASFRLERLYVNKLNIILVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPIPSYRNLTLQCLTEVAALNFGDFYNLQYVKMYNIFMVQLQAILPLATNIPEAYAHGSNEEQAFIQNLALFFTSFYKCHIRVLETSQENISALLMGLEYLTNISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAVTANMMGLQMPLLHGVVDGIGSQILQRRQLYANPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLTGLPTTVADLEPHQIHTFYESVGHMIQAEPDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQEVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEEQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDATTVPYPYPNNAIFVREYTIKLLGTSFPNMTASEVTQFVNGLFESRADLSVFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNELQDEMLDS >Manes.08G163100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39805417:39820679:1 gene:Manes.08G163100.v8.1 transcript:Manes.08G163100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMAAEKLRDLSQPIDVSLLDATVAAFYGTGSKEERAAADRILQELQNNMDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRLERLYVNKLNIILVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPIPSYRNLTLQCLTEVAALNFGDFYNLQYVKMYNIFMVQLQAILPLATNIPEAYAHGSNEEQAFIQNLALFFTSFYKCHIRVLETSQENISALLMGLEYLTNISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAVTANMMGLQMPLLHGVVDGIGSQILQRRQLYANPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLTGLPTTVADLEPHQIHTFYESVGHMIQAEPDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQEVIRTVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEEQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDATTVPYPYPNNAIFVREYTIKLLGTSFPNMTASEVTQFVNGLFESRADLSVFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNELQDEMLDS >Manes.13G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28053696:28057373:1 gene:Manes.13G095700.v8.1 transcript:Manes.13G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLSSKSLYSNAQSLSHHQPHHQQQPPLSFLPGNRNNSSRSLPSITAVHAAEPAKSTVKESKAPAAAPVAAPGTGKWTLDSWKTKKALQLPEYPDANELESVLKTIEAFPPIVFAGEARSLEEKLAEAALGNAFLLQGGDCAESFKEFSGNNIRDTFRILLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYKGDNINGDAFDEKSRIPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFAEHSEQGDRYQELAHRVDEALGFMAAAGLTVEHPIMTTTEFWTSHECLHLPYEQALTRLDSTSGQYYDCSAHMLWCGERTRQLDGAHVEFLRGISNPLGIKVSNKMDPNELVKLIEILNPNNKPGRITIICRMGAENMRVKLPHLIRAVRQAGQIVTWVCDPMHGNTIKAPCGLKTRPFDAILAEVRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRMGTQRLLSLSL >Manes.12G124700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33075273:33077102:-1 gene:Manes.12G124700.v8.1 transcript:Manes.12G124700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGEDNQEFENELQELNIGLMKMELPTRDCCIYRVPKTLRDIKPEAYTPRLISIGPLHHRTTTKTPSGEETVAPPPVQVEEESVSAGGLMMETVKLEYLKSFYGRTDRNLQELRDVVEQRKERIRRCYEETKPKDDVEFVNIILKDSVFIIELFLKTYEAQNHQNDFIVGKPWRRTAVLKDLMLLENQLPYFIIDDIYRHAIANVPGQWPSFLDLTLAYFKPYFEGPTNANYETLSCDCCNWLYCFCLNKSWSKCQNSENQQNRGEAHKHTEMPFIPLHFTDLIRWHLSPKHDPHPHSKKIGEENQEPSPQHCIRIVSCCCNAGESKKGAKYLFSATKMNAAGVTFQECKTEWPLGITFEDGVLSMPVLEIDDCTERQFRNLMAFEQCHYPDKAYICNYIKFIDRLIDTEGDVELLIDRGIIVHWLGDTKSVATLFNNLYEEIVEVDFLYETIWKQLNDHYGNCWYRTVAILRRIYFSNLWKGSGTVVAMILLVFTFIQSINSLMQIFKLR >Manes.12G124700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33075066:33077118:-1 gene:Manes.12G124700.v8.1 transcript:Manes.12G124700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGEDNQEFENELQELNIGLMKMELPTRDCCIYRVPKTLRDIKPEAYTPRLISIGPLHHRTTTKTPSGEETVAPPPVQVEEESVSAGGLMMETVKLEYLKSFYGRTDRNLQELRDVVEQRKERIRRCYEETKPKDDVEFVNIILKDSVFIIELFLKTYEAQNHQNDFIVGKPWRRTAVLKDLMLLENQLPYFIIDDIYRHAIANVPGQWPSFLDLTLAYFKPYFEGPTNANYETLSCDCCNWLYCFCLNKSWSKCQNSENQQNRGEAHKHTEMPFIPLHFTDLIRWHLSPKHDPHPHSKKIGEENQEPSPQHCIRIVSCCCNAGESKKGAKYLFSATKMNAAGVTFQECKTEWPLGITFEDGVLSMPVLEIDDCTERQFRNLMAFEQCHYPDKAYICNYIKFIDRLIDTEGDVELLIDRGIIVHWLGDTKSVATLFNNLYEEIVEVDFLYETIWKQLNDHYGNCWYRTVAILRRIYFSNLWKGSGTVVAMILLVFTFIQSINSLMQIFKLR >Manes.12G124700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33075066:33077132:-1 gene:Manes.12G124700.v8.1 transcript:Manes.12G124700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGEDNQEFENELQELNIGLMKMELPTRDCCIYRVPKTLRDIKPEAYTPRLISIGPLHHRTTTKTPSGEETVAPPPVQVEEESVSAGGLMMETVKLEYLKSFYGRTDRNLQELRDVVEQRKERIRRCYEETKPKDDVEFVNIILKDSVFIIELFLKTYEAQNHQNDFIVGKPWRRTAVLKDLMLLENQLPYFIIDDIYRHAIANVPGQWPSFLDLTLAYFKPYFEGPTNANYETLSCDCCNWLYCFCLNKSWSKCQNSENQQNRGEAHKHTEMPFIPLHFTDLIRWHLSPKHDPHPHSKKIGEENQEPSPQHCIRIVSCCCNAGESKKGAKYLFSATKMNAAGVTFQECKTEWPLGITFEDGVLSMPVLEIDDCTERQFRNLMAFEQCHYPDKAYICNYIKFIDRLIDTEGDVELLIDRGIIVHWLGDTKSVATLFNNLYEEIVEVDFLYETIWKQLNDHYGNCWYRTVAILRRIYFSNLWKGSGTVVAMILLVFTFIQSINSLMQIFKLR >Manes.18G057830.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4790417:4805484:-1 gene:Manes.18G057830.v8.1 transcript:Manes.18G057830.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVVVFSSTGKLYDFASSSMKSVIERYNKSKEEHQMGNLTSEMKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDKTLMDEIEELNRKGNLIHQENVELYKQVYAAGNINGVNRDYLRTEGLGIAEEPNVPVHLRLSQPQQQNYETPARSTKL >Manes.18G057830.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4790303:4805484:-1 gene:Manes.18G057830.v8.1 transcript:Manes.18G057830.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVVVFSSTGKLYDFASSSMKSVIERYNKSKEEHQMGNLTSEMKFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKELQNLESRLEMSLRGVRMKKDKTLMDEIEELNRKGNLIHQENVELYKQVYAAGNINGVNRDYLRTEGLGIAEEPNVPVHLRLSQPQQQNYETPARSTKLCRLQLH >Manes.03G041100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3631908:3634280:-1 gene:Manes.03G041100.v8.1 transcript:Manes.03G041100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTLYWGIKVTLLFDSWKTDSWPSYLLSLLACFLLSSFYQYMEDRRIRFKALASSRSRPSSLDVPLLRSKGRFSPAKFAAAILFGVNSAIGYLLMLAIMSFNGGVFLAIVLGLSVGYLLFRSEDDGVVVVEDPCACS >Manes.03G041100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3633721:3634140:-1 gene:Manes.03G041100.v8.1 transcript:Manes.03G041100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTLYWGIKVTLLFDSWKTDSWPSYLLSLLACFLLSSFYQYMEDRRIRFKALASSRSRPSSLDVPLLRSKGRFSPAKFAAAILFGVNSAIGYLLMLAIMSFNGGVFLAIVLGLSVGYLLFRSEDDGVVVVEDPCACS >Manes.03G041100.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3631908:3634280:-1 gene:Manes.03G041100.v8.1 transcript:Manes.03G041100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTLYWGIKVTLLFDSWKTDSWPSYLLSLLACFLLSSFYQYMEDRRIRFKALASSRSRPSSLDVPLLRSKGRFSPAKFAAAILFGVNSAIGYLLMLAIMSFNGGVFLAIVLGLSVGYLLFRSEDDGVVVVEDPCACS >Manes.03G041100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3631894:3634280:-1 gene:Manes.03G041100.v8.1 transcript:Manes.03G041100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTLYWGIKVTLLFDSWKTDSWPSYLLSLLACFLLSSFYQYMEDRRIRFKALASSRSRPSSLDVPLLRSKGRFSPAKFAAAILFGVNSAIGYLLMLAIMSFNGGVFLAIVLGLSVGYLLFRSEDDGVVVVEDPCACS >Manes.03G041100.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3631908:3634280:-1 gene:Manes.03G041100.v8.1 transcript:Manes.03G041100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTLYWGIKVTLLFDSWKTDSWPSYLLSLLACFLLSSFYQYMEDRRIRFKALASSRSRPSSLDVPLLRSKGRFSPAKFAAAILFGVNSAIGYLLMLAIMSFNGGVFLAIVLGLSVGYLLFRSEDDGVVVVEDPCACS >Manes.18G002700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:708255:710987:1 gene:Manes.18G002700.v8.1 transcript:Manes.18G002700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEGLGIGGETSIEEYIIGPADEPDDDQEPTAGKDKIKLYGPEAGLSWVAKPVTGQSSLALASRQGSMVNQSVPLMDPLVTLFGSVHEKLPESGSMLFPNFGSMFSTAEPHAKHEQWDEESLQREGDDYASEAAEGDSDDNLHSPLISRQTTSMEKDMPPPASHGSILSMRRHSSLMQGTGEAVGATGIGGGWQLAWKWSEREGEDGKKGGEFKRIYLHQEGVPGSRRGSLVSLPGGDVPAEGDYVQAAALVSQPALYSKELLDQHTVGPAMVHPAETAKKGPAWAALLDPGVKRALVVGVGIQILQQFSGIGGILYYTPQILEEAGVEVLLVNLGISSTSASFLISAFTTFLMLPCIALGMRLMDISGRRTLLLTTLPVLIVSLIILIIGQLVDLGTVANATISTVCVVIYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTVPVMLTSIGLKGIFIIFAVMCSMSWVFVFLKVPETKGMPLEVITEFFAVGARQAAAAKND >Manes.18G002700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:706194:710987:1 gene:Manes.18G002700.v8.1 transcript:Manes.18G002700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAALVAIAACIGSFLQGWDNATIAGAIVYIKKDLDLQTAVEGLVVAMSLIGATAITTCSGAISDWLGRRPMLIISSMLYFISGLIMVWSPNVYVLCIARLLDGFAIGLAVTLVPVYISETAPSDIRGMLNTLPQFTGSGGMFLSYCMVFGMSLTPSPSWRLMLGILSIPSLLYFALTIFYLPETPRWLVSKGKMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADEPDDDQEPTAGKDKIKLYGPEAGLSWVAKPVTGQSSLALASRQGSMVNQSVPLMDPLVTLFGSVHEKLPESGSMLFPNFGSMFSTAEPHAKHEQWDEESLQREGDDYASEAAEGDSDDNLHSPLISRQTTSMEKDMPPPASHGSILSMRRHSSLMQGTGEAVGATGIGGGWQLAWKWSEREGEDGKKGGEFKRIYLHQEGVPGSRRGSLVSLPGGDVPAEGDYVQAAALVSQPALYSKELLDQHTVGPAMVHPAETAKKGPAWAALLDPGVKRALVVGVGIQILQQFSGIGGILYYTPQILEEAGVEVLLVNLGISSTSASFLISAFTTFLMLPCIALGMRLMDISGRRTLLLTTLPVLIVSLIILIIGQLVDLGTVANATISTVCVVIYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTVPVMLTSIGLKGIFIIFAVMCSMSWVFVFLKVPETKGMPLEVITEFFAVGARQAAAAKND >Manes.18G002700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:706193:710987:1 gene:Manes.18G002700.v8.1 transcript:Manes.18G002700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAALVAIAACIGSFLQGWDNATIAGAIVYIKKDLDLQTAVEGLVVAMSLIGATAITTCSGAISDWLGRRPMLIISSMLYFISGLIMVWSPNVYVLCIARLLDGFAIGLAVTLVPVYISETAPSDIRGMLNTLPQFTGSGGMFLSYCMVFGMSLTPSPSWRLMLGILSIPSLLYFALTIFYLPETPRWLVSKGKMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADEPDDDQEPTAGKDKIKLYGPEAGLSWVAKPVTGQSSLALASRQGSMVNQSVPLMDPLVTLFGSVHEKLPESGSMLFPNFGSMFSTAEPHAKHEQWDEESLQREGDDYASEAAEGDSDDNLHSPLISRQTTSMEKDMPPPASHGSILSMRRHSSLMQGTGEAVGATGIGGGWQLAWKWSEREGEDGKKGGEFKRIYLHQEGVPGSRRGSLVSLPGGDVPAEGDYVQAAALVSQPALYSKELLDQHTVGPAMVHPAETAKKGPAWAALLDPGVKRALVVGVGIQILQQFSGIGGILYYTPQILEEAGVEVLLVNLGISSTSASFLISAFTTFLMLPCIALGMRLMDISGRRTLLLTTLPVLIVSLIILIIGQLVDLGTVANATISTVCVVIYFCCFVMAYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTVPVMLTSIGLKGIFIIFAVMCSMSWVFVFLKVPETKGMPLEVITEFFAVGARQAAAAKND >Manes.16G038200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4864084:4867981:-1 gene:Manes.16G038200.v8.1 transcript:Manes.16G038200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYNYNSSSASSGHGYFNALDPFSCSLEGSNGVLRGGSLVSQALVLDSEKGELVKAPATRIGKKGMSEAKVLAALKSHSEAEKRRRERINAHLASLRGLVPCGEKMDKATLLAEVINQVKELKKNAMEASKGLLIPMDDDEVKVETHDNGTGHGTLSFKAFLCCEYRPELLSDIRQAVDSLQLKMVDAEISTLGSRLKLVLFLTSCRNKSAVDDHEATQLLTNSIHQSLKSIMEKGYISAEYSPRTTLPNKRRRVSFFDSSSSSS >Manes.16G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4864070:4867981:-1 gene:Manes.16G038200.v8.1 transcript:Manes.16G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYNYNSSSASSGHGYFNALDPFSCSLEGSNGVLRGGSLVSQALVLDSEKGELVKAPATRIGKKGMSEAKVLAALKSHSEAEKRRRERINAHLASLRGLVPCGEKKMDKATLLAEVINQVKELKKNAMEASKGLLIPMDDDEVKVETHDNGTGHGTLSFKAFLCCEYRPELLSDIRQAVDSLQLKMVDAEISTLGSRLKLVLFLTSCRNKSAVDDHEATQLLTNSIHQSLKSIMEKGYISAEYSPRTTLPNKRRRVSFFDSSSSSS >Manes.17G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26835775:26839505:-1 gene:Manes.17G068300.v8.1 transcript:Manes.17G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTSKSKKRSSSSASSASSDAIRKTSESDKEMRPKPAMKPKRRSKKKSVKIDAKRPKKPPTAFFFFLEDFRKDFQEQNPDVKSMRDVGKACGEKWKTMNYEEKVKYYDIATEKRAEFDKVMAEYIKKLENGENEDTDDDSEFDE >Manes.17G068300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26835775:26839505:-1 gene:Manes.17G068300.v8.1 transcript:Manes.17G068300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTSKSKKRSSSSASSASSDAIRKTSESDKEMRPKPAMKPKRRSKKKSVKIDAKRPKKPPTAFFFFLYDFRKDFQEQNPDVKSMRDVGKACGEKWKTMNYEEKVKYYDIATEKRAEFDKVMAEYIKKLENGENEDTDDDSEFDE >Manes.10G005000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:705411:709387:-1 gene:Manes.10G005000.v8.1 transcript:Manes.10G005000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATNYISLSSVFSYPNETEVFFTRNKSTWKFLLSKDCFKMGRINCKLSDSGIEKKPTGKDVSLSSTNRMEEYNTAMKRMMRNPYEYHHDLGMNYTLITNSLIVGSQPQKTEDIDHLKHEENVAYILNLQQDKDIEYWGIDLQSIIKRCQELEILHMRRPATDFDPDSLRRMLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYLFWFQEMNLNTAYDELTSKRPCGPNKRSIRGATYDLAKNDQWKEPFDNLPEHAFGDIADWERNLIHERVRSLRGT >Manes.10G005000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:705411:709387:-1 gene:Manes.10G005000.v8.1 transcript:Manes.10G005000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATNYISLSSVFSYPNETEVFFTRNKSTWKFLLSKDCFKMGRINCKLSDSGIEKKPTGKDVSLSSTNRMEEYNTAMKRMMRNPYEYHHDLGMNYTLITNSLIVGSQPQKTEDIDHLKHEENVAYILNLQQDKDIEYWGIDLQSIIKRCQELEILHMRRPATDFDPDSLRRMLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVAIAYLFWFQEMNLNTAYDELTSKRPCGPNKRSIRGATYDLAKNDQWKEPFDNLPEHAFGDIADWERNLIHERVRSLRGT >Manes.13G141300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35469798:35475581:1 gene:Manes.13G141300.v8.1 transcript:Manes.13G141300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNNVKVPKVPGGGAASTLIKIGLVGGIGLYAAANSLYNVDGGHRAIVFNRIVGVKDKVYPEGTHLIIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVAGQLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAIIRAEGEATSAQLIGQAIANNPAFITLRKIEAAREIAHTISNSANKVFLNSDDLLLNLQKMELAVHGKK >Manes.13G141300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35469852:35475581:1 gene:Manes.13G141300.v8.1 transcript:Manes.13G141300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNNVKVPKVPGGGAASTLIKIGLVGGIGLYAAANSLYNVDGGHRAIVFNRIVGVKDKVYPEGTHLIIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVAGQLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAIIRAEGEATSAQLIGQAIANNPAFITLRKIEAAREIAHTISNSANKVFLNSDDLLLNLQKMELAVHGKK >Manes.13G141300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35471456:35475581:1 gene:Manes.13G141300.v8.1 transcript:Manes.13G141300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNNVKVPKVPGGGAASTLIKIGLVGGIGLYAAANSLYNVDGGHRAIVFNRIVGVKDKVYPEGTHLIIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVAGQLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAIIRAEGEATSAQLIGQAIANNPAFITLRKIEAAREIAHTISNSANKVFLNSDDLLLNLQKMELAVHGKK >Manes.02G049450.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4007483:4008161:1 gene:Manes.02G049450.v8.1 transcript:Manes.02G049450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGFDLQWRTLFFCMQSHAGLHAQGSVSIYSSSPSHAGLHAQGSVGIYSSSPTELSFFLFSTALIFLSGSSLLLLRLSLLLLRLFTSPPPALRFGIDHHIFNTPLIRFF >Manes.18G056400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4953588:4961297:-1 gene:Manes.18G056400.v8.1 transcript:Manes.18G056400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNPQPLQARLYEAHLRAPIQIEEDDGGEYEDGEAMDDVEEAHMNSGVNVADHNDGVGGGAGVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTAVPTFEVSYDQNNKGVADTPKHSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESSGGSSWESTKSHLQDSAPCPETFIRRCQHCGVNENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLHKGGRNVPLDQIEHETPVDVKPSIMEGEVSDNQDEHGTPEDTSKVVSEGSTNPSANPVVVLQEGNEDLTSTLPMGLVHSPADHEQEPLVELANPSDTELHMPANFN >Manes.05G046900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3906031:3913766:-1 gene:Manes.05G046900.v8.1 transcript:Manes.05G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSKICNGALNNCSLPNLSKPQRPKYLSSISFRSQLQGSSLSWALKQCQRKADYEVGTVKMIPVRVSASVAAAEKPSTAPEIVLQPIKEISGTVNLPGSKSLSNRILLLAALSEGITVVDNLLNSDDVRYMLGALRTLGLHVEDNSELKQAIVEGCGGHFPVGKESKDDLELFLGNAGTAMRPLTAAVTAAGGNSSYILDGVPRMRERPIGDLVAGLKQLGADVECSPTNCPPVRVNGKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVDMTLKLMERFGVTAEHSGSWDRFFIRGGQKYKSPGKAFVEGDASSASYFLAGAAITGGTITVEGCGTSSLQGDVRFAEVLDKMGAKVTWTENSVTVTGPPRNSPIKKHLRAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGANVEEGPDYCLITPPEKLNITEIDTYDDHRMAMAFSLAACGDVPVTIKDPGCTRKTFPDYFEVLERFTKH >Manes.08G009800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1213146:1215511:1 gene:Manes.08G009800.v8.1 transcript:Manes.08G009800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFVIGAFGVMILAHAAYTTIQYRGLLKIMEEEFSGPPMNVVVELLLGLVLCMWAALTVPGKFLSIHPHSDENRIVSLPANMDFMIFNHRGKAFPSGMDMKLKH >Manes.11G160300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32579003:32583591:1 gene:Manes.11G160300.v8.1 transcript:Manes.11G160300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYLIFQTFHGPRIKQTFKSIPTQFYFQIHTHHPLSKTHAIALNPQASFLDIKRPVVHHTFDLSPQQGSLQCNHFLFESSRNNSHPDVVNLFLGIHRSGFSIDGSTLSCVLKACACLFDENVGNQVHNYCVKSGFFEDVSVGTSLVDMYFKNGSVEEGRRVFDEMGVRNVVSWTSLLAGYAQNGLSVEALNCFFRMQTEGIKPNPFTFATVLGALADKAIVEKGVQVHTMVIKNGFDTSIYVCNSLINMYSKSGMVRDARAVFDSMVDRNDVSWNTMVAGYVTNGLHLEAFEMFYYLRLGGVKLTSMIFASIIKSCANIEELGFARQLQCQALKGGFEFALNVRTALMVAYSKCKDMDAAFKIFSTMHGIRNVVSWTAMIGGYLQNGTAEQAVHLFCQMSREGIRPNDYTISTILAAQPVISPFEVHAQAIKSNYEKSPSVGTALLDAYVMLGNIVGASKVFERIDEKDIVAWSAMVAGYAQMGDTEGSVKIFIQMVKDGVEPNEYTFSSVINACCSPTAAVEQGKQLHAWSIKLRFNDALCVSSSLVTMYAKRGDIDSANEVFKRQRVRDLVSWNSMVSGYAQHGNGRKSLEVFKDMQKQNLEIDGVTFIGVISACTHAGLVDEGQRYFNMMVKDHHIEPTMEHYSCMVDLYSRAGMLGKAMDIINAMPFPAGATVWRTLLAASCVHRNLELGKLAAEKLISLQPQDSAAYVLLSNIYAAAGNWKEKNKIRKLMDERKVKKEAGYSWIEVKKKTYSFLAGDVSHPMSDEIYSKLAELSTRLKDAGYQPDTNYVLQDVDEEHKETILSQHSERLAIAFGLITTTAGTPLQIVKNLRVCGDCHTVIKLISMIEERYIVVRDSNRFHHFKKGLCSCGDYW >Manes.11G160300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32579045:32583575:1 gene:Manes.11G160300.v8.1 transcript:Manes.11G160300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYLIFQTFHGPRIKQTFKSIPTQFYFQIHTHHPLSKTHAIALNPQASFLDIKRPVVHHTFDLSPQQGSLQCNHFLFESSRNNSHPDVVNLFLGIHRSGFSIDGSTLSCVLKACACLFDENVGNQVHNYCVKSGFFEDVSVGTSLVDMYFKNGSVEEGRRVFDEMGVRNVVSWTSLLAGYAQNGLSVEALNCFFRMQTEGIKPNPFTFATVLGALADKAIVEKGVQVHTMVIKNGFDTSIYVCNSLINMYSKSGMVRDARAVFDSMVDRNDVSWNTMVAGYVTNGLHLEAFEMFYYLRLGGVKLTSMIFASIIKSCANIEELGFARQLQCQALKGGFEFALNVRTALMVAYSKCKDMDAAFKIFSTMHGIRNVVSWTAMIGGYLQNGTAEQAVHLFCQMSREGIRPNDYTISTILAAQPVISPFEVHAQAIKSNYEKSPSVGTALLDAYVMLGNIVGASKVFERIDEKDIVAWSAMVAGYAQMGDTEGSVKIFIQMVKDGVEPNEYTFSSVINACCSPTAAVEQGKQLHAWSIKLRFNDALCVSSSLVTMYAKRGDIDSANEVFKRQRVRDLVSWNSMVSGYAQHGNGRKSLEVFKDMQKQNLEIDGVTFIGVISACTHAGLVDEGQRYFNMMVKDHHIEPTMEHYSCMVDLYSRAGMLGKAMDIINAMPFPAGATVWRTLLAASCVHRNLELGKLAAEKLISLQPQDSAAYVLLSNIYAAAGNWKEKNKIRKLMDERKVKKEAGYSWIEDVDEEHKETILSQHSERLAIAFGLITTTAGTPLQIVKNLRVCGDCHTVIKLISMIEERYIVVRDSNRFHHFKKGLCSCGDYW >Manes.11G160300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32578913:32583575:1 gene:Manes.11G160300.v8.1 transcript:Manes.11G160300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYLIFQTFHGPRIKQTFKSIPTQFYFQIHTHHPLSKTHAIALNPQASFLDIKRPVVHHTFDLSPQQGSLQCNHFLFESSRNNSHPDVVNLFLGIHRSGFSIDGSTLSCVLKACACLFDENVGNQVHNYCVKSGFFEDVSVGTSLVDMYFKNGSVEEGRRVFDEMGVRNVVSWTSLLAGYAQNGLSVEALNCFFRMQTEGIKPNPFTFATVLGALADKAIVEKGVQVHTMVIKNGFDTSIYVCNSLINMYSKSGMVRDARAVFDSMVDRNDVSWNTMVAGYVTNGLHLEAFEMFYYLRLGGVKLTSMIFASIIKSCANIEELGFARQLQCQALKGGFEFALNVRTALMVAYSKCKDMDAAFKIFSTMHGIRNVVSWTAMIGGYLQNGTAEQAVHLFCQMSREGIRPNDYTISTILAAQPVISPFEVHAQAIKSNYEKSPSVGTALLDAYVMLGNIVGASKVFERIDEKDIVAWSAMVAGYAQMGDTEGSVKIFIQMVKDGVEPNEYTFSSVINACCSPTAAVEQGKQLHAWSIKLRFNDALCVSSSLVTMYAKRGDIDSANEVFKRQRVRDLVSWNSMVSGYAQHGNGRKSLEVFKDMQKQNLEIDGVTFIGVISACTHAGLVDEGQRYFNMMVKDHHIEPTMEHYSCMVDLYSRAGMLGKAMDIINAMPFPAGATVWRTLLAASCVHRNLELGKLAAEKLISLQPQDSAAYVLLSNIYAAAGNWKEKNKIRKLMDERKVKKEAGYSWIEVKKKTYSFLAGDVSHPMSDEIYSKLAELSTRLKDAGYQPDTNYVLQDVDEEHKETILSQHSERLAIAFGLITTTAGTPLQIVKNLRVCGDCHTVIKLISMIEERYIVVRDSNRFHHFKKGLCSCGDYW >Manes.11G160300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32579045:32583575:1 gene:Manes.11G160300.v8.1 transcript:Manes.11G160300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYLIFQTFHGPRIKQTFKSIPTQFYFQIHTHHPLSKTHAIALNPQASFLDIKRPVVHHTFDLSPQQGSLQCNHFLFESSRNNSHPDVVNLFLGIHRSGFSIDGSTLSCVLKACACLFDENVGNQVHNYCVKSGFFEDVSVGTSLVDMYFKNGSVEEGRRVFDEMGVRNVVSWTSLLAGYAQNGLSVEALNCFFRMQTEGIKPNPFTFATVLGALADKAIVEKGVQVHTMVIKNGFDTSIYVCNSLINMYSKSGMVRDARAVFDSMVDRNDVSWNTMVAGYVTNGLHLEAFEMFYYLRLGGVKLTSMIFASIIKSCANIEELGFARQLQCQALKGGFEFALNVRTALMVAYSKCKDMDAAFKIFSTMHGIRNVVSWTAMIGGYLQNGTAEQAVHLFCQMSREGIRPNDYTISTILAAQPVISPFEVHAQAIKSNYEKSPSVGTALLDAYVMLGNIVGASKVFERIDEKDIVAWSAMVAGYAQMGDTEGSVKIFIQMVKDGVEPNEYTFSSVINACCSPTAAVEQGKQLHAWSIKLRFNDALCVSSSLVTMYAKRGDIDSANEVFKRQRVRDLVSWNSMVSGYAQHGNGRKSLEVFKDMQKQNLEIDGVTFIGVISACTHAGLVDEGQRYFNMMVKDHHIEPTMEHYSCMVDLYSRAGMLGKAMDIINAMPFPAGATVWRTLLAASCVHRNLELGKLAAEKLISLQPQDSAAYVLLSNIYAAAGNWKEKNKIRKLMDERKVKKEAGYSWIEDVDEEHKETILSQHSERLAIAFGLITTTAGTPLQIVKNLRVCGDCHTVIKLISMIEERYIVVRDSNRFHHFKKGLCSCGDYW >Manes.11G160300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32579039:32583575:1 gene:Manes.11G160300.v8.1 transcript:Manes.11G160300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYLIFQTFHGPRIKQTFKSIPTQFYFQIHTHHPLSKTHAIALNPQASFLDIKRPVVHHTFDLSPQQGSLQCNHFLFESSRNNSHPDVVNLFLGIHRSGFSIDGSTLSCVLKACACLFDENVGNQVHNYCVKSGFFEDVSVGTSLVDMYFKNGSVEEGRRVFDEMGVRNVVSWTSLLAGYAQNGLSVEALNCFFRMQTEGIKPNPFTFATVLGALADKAIVEKGVQVHTMVIKNGFDTSIYVCNSLINMYSKSGMVRDARAVFDSMVDRNDVSWNTMVAGYVTNGLHLEAFEMFYYLRLGGVKLTSMIFASIIKSCANIEELGFARQLQCQALKGGFEFALNVRTALMVAYSKCKDMDAAFKIFSTMHGIRNVVSWTAMIGGYLQNGTAEQAVHLFCQMSREGIRPNDYTISTILAAQPVISPFEVHAQAIKSNYEKSPSVGTALLDAYVMLGNIVGASKVFERIDEKDIVAWSAMVAGYAQMGDTEGSVKIFIQMVKDGVEPNEYTFSSVINACCSPTAAVEQGKQLHAWSIKLRFNDALCVSSSLVTMYAKRGDIDSANEVFKRQRVRDLVSWNSMVSGYAQHGNGRKSLEVFKDMQKQNLEIDGVTFIGVISACTHAGLVDEGQRYFNMMVKDHHIEPTMEHYSCMVDLYSRAGMLGKAMDIINAMPFPAGATVWRTLLAASCVHRNLELGKLAAEKLISLQPQDSAAYVLLSNIYAAAGNWKEKNKIRKLMDERKVKKEAGYSWIEDVDEEHKETILSQHSERLAIAFGLITTTAGTPLQIVKNLRVCGDCHTVIKLISMIEERYIVVRDSNRFHHFKKGLCSCGDYW >Manes.12G055800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5305936:5309009:-1 gene:Manes.12G055800.v8.1 transcript:Manes.12G055800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINMTTPVSEKHRLHLRRFLPTIFLLSATLLIGSAFVITDYKEKFSELVSTKAVKDERSKLCETQNKPRGSDTLPGGIISATSDLQMRPLWGHQQKNSKKPSNLLAMAVGIKQKDNVNKIVKKFLSSDFAVMFFHYDEVVDEWKDFEWNRHVIHIAAASQTKWWFAKRFLHPDIVSEYAYIFLWDEDIGVDYFNPGRYLSIIKPEGLEISQPALDPNKSEVHHHLTKRRTGSRVHRRIDRKIGGTRCDKNVTGPPCSGFVEMMAPVFSKASWRCAWYMIQNDLIQGWGVDFQLGYCAQGDRTKNIGIVDSEYIIHFGLPTLGGSAENKTQPSSKQSHDRQTVKHWSFIELEMFKNRWRKAASDDHCWSDPYRS >Manes.12G055800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5305771:5309335:-1 gene:Manes.12G055800.v8.1 transcript:Manes.12G055800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWGHQQKNSKKPSNLLAMAVGIKQKDNVNKIVKKFLSSDFAVMFFHYDEVVDEWKDFEWNRHVIHIAAASQTKWWFAKRFLHPDIVSEYAYIFLWDEDIGVDYFNPGRYLSIIKPEGLEISQPALDPNKSEVHHHLTKRRTGSRVHRRIDRKIGGTRCDKNVTGPPCSGFVEMMAPVFSKASWRCAWYMIQNDLIQGWGVDFQLGYCAQGDRTKNIGIVDSEYIIHFGLPTLGGSAENKTQPSSKQSHDRQTVKHWSFIELEMFKNRWRKAASDDHCWSDPYRS >Manes.12G055800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5305936:5309009:-1 gene:Manes.12G055800.v8.1 transcript:Manes.12G055800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINMTTPVSEKHRLHLRRFLPTIFLLSATLLIGSAFVITDYKEFSELVSTKAVKDERSKLCETQNKPRGSDTLPGGIISATSDLQMRPLWGHQQKNSKKPSNLLAMAVGIKQKDNVNKIVKKFLSSDFAVMFFHYDEVVDEWKDFEWNRHVIHIAAASQTKWWFAKRFLHPDIVSEYAYIFLWDEDIGVDYFNPGRYLSIIKPEGLEISQPALDPNKSEVHHHLTKRRTGSRVHRRIDRKIGGTRCDKNVTGPPCSGFVEMMAPVFSKASWRCAWYMIQNDLIQGWGVDFQLGYCAQGDRTKNIGIVDSEYIIHFGLPTLGGSAENKTQPSSKQSHDRQTVKHWSFIELEMFKNRWRKAASDDHCWSDPYRS >Manes.12G055800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5305936:5309009:-1 gene:Manes.12G055800.v8.1 transcript:Manes.12G055800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINMTTPVSEKHRLHLRRFLPTIFLLSATLLIGSAFVITDYKEKFSELVSTKAVKDERSKLCETQNKPRGSDTLPGGIISATSDLQMRPLWGHQQKNSKKPSNLLAMAVGIKQKDNVNKIVKKFLSSDFAVMFFHYDEVVDEWKDFEWNRHVIHIAAASQTKWWFAKRFLHPDIVSEYAYIFLWDEDIGVDYFNPGRYLSIIKPEGLEISQPALDPNKSEVHHHLTKRRTGSRVHRRIDRKIGGTRCDKNVTGPPCSGFVEMMAPVFSKASWRCAWYMIQNDLIQGWGVDFQLGYCAQGDRTKNIGIVDSEYIIHFGLPTLGGSAENKTQPSSKQSHDRQTVSVM >Manes.12G055800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5305770:5309336:-1 gene:Manes.12G055800.v8.1 transcript:Manes.12G055800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWGHQQKNSKKPSNLLAMAVGIKQKDNVNKIVKKFLSSDFAVMFFHYDEVVDEWKDFEWNRHVIHIAAASQTKWWFAKRFLHPDIVSEYAYIFLWDEDIGVDYFNPGRYLSIIKPEGLEISQPALDPNKSEVHHHLTKRRTGSRVHRRIDRKIGGTRCDKNVTGPPCSGFVEMMAPVFSKASWRCAWYMIQNDLIQGWGVDFQLGYCAQGDRTKNIGIVDSEYIIHFGLPTLGGSAENKTQPSSKQSHDRQTVKHWSFIELEMFKNRWRKAASDDHCWSDPYRS >Manes.11G046400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4960426:4961539:1 gene:Manes.11G046400.v8.1 transcript:Manes.11G046400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQYKKACLGLNFIVISQRKQFPHLLYPLFFSISAIMSQADELILLEWYPSPFAARVRIALAEKGLNYESRPEDLTNKSPLLLQMNPVNKQIPVLIHNGRPICESTVIVQYIDEVWNHKSPLFPSDPHQRAHSKFWADYVDKKIFGGGMQLAASKGEVKEAAKKYLIGCFETLEEELGDKPFFGGESFGYVDLVLIPCHSLFYTYESLGNLSMAEECPKIVDWAQRCLQKESVSKSLCDQQKFYAIISEISRSGSAWTSVQKFLDKLDLLQNS >Manes.13G007500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1162973:1163745:1 gene:Manes.13G007500.v8.1 transcript:Manes.13G007500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTGKGRKSNLIIQTWERCKSLGRNSKKTSTIVRSLTHKSKSWPRLHASMVEDDEKNSRKKRQVAPEGCFSVYVGAQKQRFVIKTEYANHPLFKILLEEAESEYGYNSEGPLELPCNVDLFYKMLMAMDEDLSQETNPRGCGFSSSSAYRRRKPARMIAMNKF >Manes.18G138920.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18960057:18961548:-1 gene:Manes.18G138920.v8.1 transcript:Manes.18G138920.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.07G019800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2198964:2199356:-1 gene:Manes.07G019800.v8.1 transcript:Manes.07G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTHLFTKTLSSTDVKSALSVPCYALEFFPIPQGDHVMQFEAVDITGFIWRFRLSTRCTGRYPKPVLLRSLWHFFVEKKGLVAGDRVMFFREHDHENGTRYSVGTQRKIGRLLGKDLWVDVSDLNRYGL >Manes.05G014704.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:621510:625132:1 gene:Manes.05G014704.v8.1 transcript:Manes.05G014704.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFIFFSFCSWKFIRFRVLLLFLLYRTLFSAGLALLKFRERIVSDPYDALKNWKDEDGVVNPCYWFGVECSDGKVVELNLKDLYLGGTLAPDLRNLVRIKSIILHNNSFTGIIPEGIGELKELEVLDFGNNNFSGPLPPVLDSSLSLTILLLDNNRLLSNLSPEIHRLETHSEFQVDENQLASAAKGPSYNERQNAVQTENAINKRQLQVANAPRVNESPYLRSRFSVPEAPSESGKAPPRSVAPPFSLLPSPPVNNSIQSPPPEPNPAPSSPPAVVSLPTPLEPNPPSASPNGSASNPLLKTFLIKKHVSIIAGAIGGALLAMSIVIFYVYKINKATVKPWATGLSGQLQKAFVTGCEDFSSVIGSSPIGTLYKGTLSSGIEIAVASVAVTSSKDWPKHLEVQFRKKIETLSKVNHKNFINLFGYCEEDILLHFSSLQFLPVKLLSFMNGK >Manes.03G154400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28208949:28213803:-1 gene:Manes.03G154400.v8.1 transcript:Manes.03G154400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIKLRDYKMSSRTFEAGFTVFWLLLLVCTSEGLNSEGHYLLDLKDSLHDEFDHLNNWKSTDQTPCEWIGVNCTSDYEPVVHSLNLSAMNLSGILSPSIGGLVNLRYLDLSYNMLTGYIPNTIGNCSKLQYLYLNNNQFSGQLPAELGNLSLLQRLNICNNRISGSLPEEFGNLSSLIEVVAYTNNLSGPLPHSIGKLKNLKTFRAGQNEISGSIPAEISGCQSLQLLGLAQNAIEGELPKEIGMLGSLTDLILWGNHITGFIPKEIANCTNLETLALYGNHLVGLIPVEIMNMNFLKKIYLYRNELNGTIPREIGNLSMATEIDFSENHLTGNIPTEFSKIKGLHLLYLFQNELTGVIPNELSSLRNLTKLDLSMNHLKGPIPYGFQYLTEMVQLQLFNNSLSGTVPQGLGLYSPLWVVDFSDNYLTGRIPPHLCRHSNLILLNLEANKFYGNIPTGILNCRSLVQLRLVRNRLTGSFPSEMCKLTNLSAIELAQNKFSGPIPQAIGNCQKLQRLHIANNYFANELPKEIGNLSQLVNFNVSSNLLKGQIPPEIVNCKLLQRLDLSHNNFIGSLPEELGTLLQLEILKLSENKFSGNIPPALGNLSHLTELQMGGNLFSGEIPPELGSLSGLQIAMNLSNNNLSGSIPPELGNLNLLIFLFLNNNHLTGEIPKTFGGLQSLFGCNFSYNNLTGPLPPVPLFQNMAVSSFLGNNGLCGGNLGYCNEDSFSSSVPMKSVDDPQGRIITIVAAAVGGVSLILIAIILYFMGRPAQPVPSTITNESSSPESDIYFPPKEGFTLQDLVEATKNFHDSYVVGRGACGTVYKAVMHTGQTIAVKRLASNREGNNIENSFQAEILTLGKIRHRNIVKLYGFCHHQGSNLLLYEYMARGSLGELLHGPSCSLEWPTRYMIALGAAEGLAYLHHDCRARIVHRDIKSNNILLDDNFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKIPVQPLDQGGDLVTWVRDYVRNHSLTSGILDSRLELEDERTVNHMISVLKIALMCTSMSPFDRPSMREVVLMLIESNEREGNFILSTDYDPPLKDDAS >Manes.03G154400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28208961:28213620:-1 gene:Manes.03G154400.v8.1 transcript:Manes.03G154400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIKLRDYKMSSRTFEAGFTVFWLLLLVCTSEGLNSEGHYLLDLKDSLHDEFDHLNNWKSTDQTPCEWIGVNCTSDYEPVVHSLNLSAMNLSGILSPSIGGLVNLRYLDLSYNMLTGYIPNTIGNCSKLQYLYLNNNQFSGQLPAELGNLSLLQRLNICNNRISGSLPEEFGNLSSLIEVVAYTNNLSGPLPHSIGKLKNLKTFRAGQNEISGSIPAEISGCQSLQLLGLAQNAIEGELPKEIGMLGSLTDLILWGNHITGFIPKEIANCTNLETLALYGNHLVGLIPVEIMNMNFLKKIYLYRNELNGTIPREIGNLSMATEIDFSENHLTGNIPTEFSKIKGLHLLYLFQNELTGVIPNELSSLRNLTKLDLSMNHLKGPIPYGFQYLTEMVQLQLFNNSLSGTVPQGLGLYSPLWVVDFSDNYLTGRIPPHLCRHSNLILLNLEANKFYGNIPTGILNCRSLVQLRLVRNRLTGSFPSEMCKLTNLSAIELAQNKFSGPIPQAIGNCQKLQRLHIANNYFANELPKEIGNLSQLVNFNVSSNLLKGQIPPEIVNCKLLQRLDLSHNNFIGSLPEELGTLLQLEILKLSENKFSGNIPPALGNLSHLTELQMGGNLFSGEIPPELGSLSGLQIAMNLSNNNLSGSIPPELGNLNLLIFLFLNNNHLTGEIPKTFGGLQSLFGCNFSYNNLTGPLPPVPLFQNMAVSSFLGNNGLCGGNLGYCNEDSFSSSVPMKSVDDPQGRIITIVAAAVGGVSLILIAIILYFMGRPAQPVPSTITNESSSPESDIYFPPKEGFTLQDLVEATKNFHDSYVVGRGACGTVYKAVMHTGQTIAVKRLASNREGNNIENSFQAEILTLGKIRHRNIVKLYGFCHHQGSNLLLYEYMARGSLGELLHGPSCSLEWPTRYMIALGAAEGLAYLHHDCRARIVHRDIKSNNILLDDNFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKIPVQPLDQGGDLVTWVRDYVRNHSLTSGILDSRLELEDERTVNHMISVLKIALMCTSMSPFDRPSMREVVLMLIESNEREGNFILSTDYDPPLKDDAS >Manes.15G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4093359:4096409:1 gene:Manes.15G053100.v8.1 transcript:Manes.15G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDARHHMLGRLASIIAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKVYEGVPPPYDKMKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYETIKELERKRKEKAQVAYERKKQLTKLRVKAEKVAEEKLGSQLDIISPIKY >Manes.15G182500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25590738:25594575:1 gene:Manes.15G182500.v8.1 transcript:Manes.15G182500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDDGNSNQEEQDHLHQTISSYIGLSFSLFLASLPSNSFNFVQKLQSQIRDLSLRLFHTEEQLKQMKSRRKEDSKANARVVEIFASHRNAWQAEEKRLLQQIDAAGEEMASLRARIQDLQGEREEWKARIQELEREVGEREEMIGFMSRSAVANFAAEEDEEDPSGGGCGNRECYGLEESFNFVYDQHHHQQQQQQQQQQQPVNGGLSADLLASPYKFWTDRASLWQDMHNESVESLYHMKHYVARESPWKVDGESTGISSKLKLLEQELQNLEKVGKTDLSKVPALMRKQAKRYQALAGKIDDLCRRMQASDPCEPTLGQEFRTQRQTEFLLEAFRLQQRASETGQKLMALQTEIGKSYYGDELDSEAKLSMRRSFDSIRNNLKEVQRNLEIWLARIMGDLEGILARDGASRAREFYVSRYPFVQ >Manes.15G182500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25590738:25594575:1 gene:Manes.15G182500.v8.1 transcript:Manes.15G182500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDDGNSNQEEQDHLHQTISSYIGLSFSLFLASLPSNSFNFVQKLQSQIRDLSLRLFHTEEQLKQMKSRRKEDSKANARVVEIFASHRNAWQAEEKRLLQQIDAAGEEMASLRARIQDLQGEREEWKARIQELEREVGEREEMIGFMSRSAVANFAAEEDEEDPSGGGCGNRECYGLEESFNFVYDQHHHQQQQQQQQQQQPVNGGLSADLLASPYKFWTDRASLWQDMHNESVESLYHMKHYVARRESPWKVDGESTGISSKLKLLEQELQNLEKVGKTDLSKVPALMRKQAKRYQALAGKIDDLCRRMQASDPCEPTLGQEFRTQRQTEFLLEAFRLQQRASETGQKLMALQTEIGKSYYGDELDSEAKLSMRRSFDSIRNNLKEVQRNLEIWLARIMGDLEGILARDGASRAREFYVSRYPFVQ >Manes.13G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:25789719:25798613:1 gene:Manes.13G075500.v8.1 transcript:Manes.13G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKAKEVGVMFIETSAKAGFNIKPLFRKIASALPGMETLSSTKQEDMVDVNLKPTVNSSQSEQQGGGCAC >Manes.05G062900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5271179:5273430:-1 gene:Manes.05G062900.v8.1 transcript:Manes.05G062900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEKQVVVVAVDDSEHSLYALGWTLDHLFVPFGSNSPFKLVVLHAKPYAATAVGLAGAAAADILPSVESDLKRIAARVIEKAREICTSKSVNDVLYEVAEGDARNVLCEAVEKHHASILVVGSHGYGAIKRAVLGSVSDYCAHHAHCSVMIVKKPKIKH >Manes.06G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10486951:10490130:-1 gene:Manes.06G036000.v8.1 transcript:Manes.06G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESKADAGASKTFPQQAGTIRKNGYIVIKNRPCKVMEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTETGNTKDDLRLPTDENLLSQIKDGFAEGKDLVVSVMSAMGEEQICSLKDIGPKN >Manes.08G150100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38540678:38545385:1 gene:Manes.08G150100.v8.1 transcript:Manes.08G150100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSPVLRQELANLDKDADSRRSAMRALKSYVKSLDSKAIPLFLAQVSETKETGSLSGEYTISLYEVLARVHGVNIVSQIDSIMATIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTMDEDKKRHVIHSLCKPLSQALLGSQESLTSGAALCLKALVDSDNWRFCSDEMVNMVCQNVAVALEEKCTQTISHMGLVMALAEHNPLIVEAYARLLIQSGLRILDAGVIESNSQKRLSAIQMVNFLMKCLDPRSIFSEVDLIIKEMEKCQTDQMAFVRGAAFEALQTAKKLSTEKGSKFEKSSSSVTGSNFGRRDHKGRRTVSRSGNHSPASISPESQTLDSFIEYDTLVESPISTTEISQDMDFDRQSVNRKLWRFENGGVDVSLKDGLFSDLAHGSSIHDAFSDHSGHHEVTENGDQAGDFAGFLPRTPRNGPRSTTPSPQRSGSHINNMNIFTTPRKLIRSLQDPTDVDSDFSEKLSRKVRSPWSSNFGYSPNMKFNINGFQHSVGCEVEDNRNLYADDEQFQDTSESVSSTDGIPVETDGQASPEVVTESKDDTPRCHNRKSHWRNSYRLVVGLFFALLAVLTSLMWTDGQKEGQYLVPT >Manes.08G150100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38539972:38545385:1 gene:Manes.08G150100.v8.1 transcript:Manes.08G150100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSPVLRQELANLDKDADSRRSAMRALKSYVKSLDSKAIPLFLAQVSETKETGSLSGEYTISLYEVLARVHGVNIVSQIDSIMATIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTMDEDKKRHVIHSLCKPLSQALLGSQESLTSGAALCLKALVDSDNWRFCSDEMVNMVCQNVAVALEEKCTQTISHMGLVMALAEHNPLIVEAYARLLIQSGLRILDAGVIESNSQKRLSAIQMVNFLMKCLDPRSIFSEVDLIIKEMEKCQTDQMAFVRGAAFEALQTAKKLSTEKGSKFEKSSSSVTGSNFGRRDHKGRRTVSRSGNHSPASISPESQTLDSFIEYDTLVESPISTTEISQDMDFDRQSVNRKLWRFENGGVDVSLKDGLFSDLAHGSSIHDAFSDHSGHHEVTENGDQAGDFAGFLPRTPRNGPRSTTPSPQVIVLRSGSHINNMNIFTTPRKLIRSLQDPTDVDSDFSEKLSRKVRSPWSSNFGYSPNMKFNINGFQHSVGCEVEDNRNLYADDEQFQDTSESVSSTDGIPVETDGQASPEVVTESKDDTPRCHNRKSHWRNSYRLVVGLFFALLAVLTSLMWTDGQKEGQYLVPT >Manes.08G150100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38539972:38545385:1 gene:Manes.08G150100.v8.1 transcript:Manes.08G150100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSPVLRQELANLDKDADSRRSAMRALKSYVKSLDSKAIPLFLAQVSETKETGSLSGEYTISLYEVLARVHGVNIVSQIDSIMATIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTMDEDKKRHVIHSLCKPLSQALLGSQESLTSGAALCLKALVDSDNWRFCSDEMVNMVCQNVAVALEEKCTQTISHMGLVMALAEHNPLIVEAYARLLIQSGLRILDAGVIESNSQKRLSAIQMVNFLMKCLDPRSIFSEVDLIIKEMEKCQTDQMAFVRGAAFEALQTAKKLSTEKGSKFEKSSSSVTGSNFGRRDHKGRRTVSRSGNHSPASISPESQTLDSFIEYDTLVESPISTTEISQDMDFDRQSVNRKLWRFENGGVDVSLKDGLFSDLAHGSSIHDAFSDHSGHHEVTENGDQAGDFAGFLPRTPRNGPRSTTPSPQRSGSHINNMNIFTTPRKLIRSLQDPTDVDSDFSEKLSRKVRSPWSSNFGYSPNMKFNINGFQHSVGCEVEDNRNLYADDEQFQDTSESVSSTDGIPVETDGQASPEVVTESKDDTPRCHNRKSHWRNSYRLVVGLFFALLAVLTSLMWTDGQKEGQYLVPT >Manes.08G150100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38540678:38545385:1 gene:Manes.08G150100.v8.1 transcript:Manes.08G150100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLSPVLRQELANLDKDADSRRSAMRALKSYVKSLDSKAIPLFLAQVSETKETGSLSGEYTISLYEVLARVHGVNIVSQIDSIMATIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTMDEDKKRHVIHSLCKPLSQALLGSQESLTSGAALCLKALVDSDNWRFCSDEMVNMVCQNVAVALEEKCTQTISHMGLVMALAEHNPLIVEAYARLLIQSGLRILDAGVIESNSQKRLSAIQMVNFLMKCLDPRSIFSEVDLIIKEMEKCQTDQMAFVRGAAFEALQTAKKLSTEKGSKFEKSSSSVTGSNFGRRDHKGRRTVSRSGNHSPASISPESQTLDSFIEYDTLVESPISTTEISQDMDFDRQSVNRKLWRFENGGVDVSLKDGLFSDLAHGSSIHDAFSDHSGHHEVTENGDQAGDFAGFLPRTPRNGPRSTTPSPQRSGSHINNMNIFTTPRKLIRSLQDPTDVDSDFSEKLSRKVRSPWSSNFGYSPNMKFNINGFQHSVGCEVEDNRNLYADDEQFQDTSESVSSTDGIPVETDGQASPEVVTESKDDTPRCHNRKSHWRNSYRLVVGLFFALLAVLTSLMWTDGQKEGQYLVPT >Manes.14G032200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2890332:2891905:-1 gene:Manes.14G032200.v8.1 transcript:Manes.14G032200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIASTLVAGFCFSKSRVEGLKPSTHSSARSRRMVAVRAQSINPEIRKNEEKVVDSVLVAELSKPLTAYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKKQKE >Manes.03G098864.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:18064018:18066408:1 gene:Manes.03G098864.v8.1 transcript:Manes.03G098864.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMKQILAKAIRLADQVIKSAKDVACFKDECYNIKSYAQKLAALLRDMCARTRSEFYERPVHRVINETERVLDNALSVVLKCCNNSFIKRVLVIIPAAEFRKVSSQLESCVGDVSWLVGILFMAGENLMLPPIAANDPILAWIWGYISMLYHCSPDDRHNAVNELLSLSRDSYRNNKLIVHEGGVVPLLKLLKEGRIEDQVIAAKTIGTLGCDSETTQLIIDAGVSLVFAKILKEGAMEVQAEVAWAISQLVAKYPQCGDIFAQHNIIRLLVEHLAFETDHVQNVVVINKATSVLALVMAKTRLDMRKAIDECNDDKPYRIPCPHQPNSSLAVTSFKGGDLEDPNVKSRIKGMVARALHQLAKSDSSICCSIAESRAMTSFVVLLEEGTEDVQHSSAMALMEITAVAEEDTVLRRSAFRPSSPACKAVVDQLVKVVERQSTHLLIPCVKAIGNLARTFRVTETRIIAPLVELLYRNEAKFSEDPPRSKFIGNTRLRGSFTRLIRRLGETEAEICREALMALRKFACHNHLQIEHSQAIVDVGGVAPIIQLVDSGDEMVQIPALILLCNIALHVPNGEGLVRAYNLIEWASKQGDLTQNEMLQTLIPEAKGRLEIYHTCD >Manes.12G043901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3840590:3843048:1 gene:Manes.12G043901.v8.1 transcript:Manes.12G043901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKRKIAAAASSCSKKEKEKDLFHVIHKVPCGDGPYVKAKHAQLVEKDPETAIVWFWKAINAGDRVDSALKDMAVVMKQVDRTEEAIEAIKSFRGRCSKQAQESLDNVLIDLYKKCGKVEEQIDLLKRKLRLIYQGEAFNGKPTKTARSHGKKFQVSVKQEISRLLGNLGWAYMQKSNFLAAEVVYQKTQMIDPDANKACNLGLCLIKQARYDEARLVLENVLEGKFPGSEDCKSRKRAEELLVEVESRQPLPEITEILGFDVDDDFVEGLERMMNEWQWAPSRSKRLPIFEQISSFRDQIAC >Manes.06G004300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:876897:880685:-1 gene:Manes.06G004300.v8.1 transcript:Manes.06G004300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHQPSYRIQMLLDRITVLARGNLIYMGSPGALPAHLSGFGRPVPDGETSMEYLLDVIKEYEESTVGLDPLVLYQRDGIKPDPIARTPIPKTPKTPKTPRTPYSNTPGSKRAISLRSQAFSINGIMTSRTESGQFDFNDEDDNFDNSLERRTMPTPMHMNSGVYQPRLASQFYKDFSVWIYHGVTGTPRRAPSWTPARTPGMTPGKSQISGARSQISSRYATPQQIPSRPKAPVTLSSSVEDSYATSYDDFGTYEDEDLLDGPLHGPKFANPWLREVAVLSWRTALNVIRTPELFLSREIVLTVMALILSSLFKDLGDSTFKTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLIVYLPFFAIQGFTFAAITRFMLHLKSSIINFWIILYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTQIPIYWRWLHYISAIKYPFEAMLSNEFKGTRCYNGAPADLSPGPLGDIKHSKLHSNYTDVASCPLIGEDILSSMDINMDNLWYDVAILLAWGILYRLFFYVVIRFYSKNERK >Manes.06G004300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:876897:880685:-1 gene:Manes.06G004300.v8.1 transcript:Manes.06G004300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVNRTKSNRNLETLLDIDKSLAAKKNDVPLEPRKIIPGHGLEFRNVSYSVKKKLKKDGVWITKEAYLLNDISGQAIRGEIMAIMGPSGAGKSTFLDALAGRIAQGSLEGSVRIDGKPVTTSYMKMISSYVMQDDQLFPMLTVFETFMFAAEVRLPSSISRSEKKQRVYELLDQLGLTSATHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAFSVVEKVKDIAKGGSIVLMTIHQPSYRIQMLLDRITVLARGNLIYMGSPGALPAHLSGFGRPVPDGETSMEYLLDVIKEYEESTVGLDPLVLYQRDGIKPDPIARTPIPKTPKTPKTPRTPYSNTPGSKRAISLRSQAFSINGIMTSRTESGQFDFNDEDDNFDNSLERRTMPTPMHMNSGVYQPRLASQFYKDFSVWIYHGVTGTPRRAPSWTPARTPGMTPGKSQISGARSQISSRYATPQQIPSRPKAPVTLSSSVEDSYATSYDDFGTYEDEDLLDGPLHGPKFANPWLREVAVLSWRTALNVIRTPELFLSREIVLTVMALILSSLFKDLGDSTFKTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLIVYLPFFAIQGFTFAAITRFMLHLKSSIINFWIILYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTQIPIYWRWLHYISAIKYPFEAMLSNEFKGTRCYNGAPADLSPGPLGDIKHSKLHSNYTDVASCPLIGEDILSSMDINMDNLWYDVAILLAWGILYRLFFYVVIRFYSKNERK >Manes.12G106700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29153223:29157103:-1 gene:Manes.12G106700.v8.1 transcript:Manes.12G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKSWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKVGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAVEYKKRVRQQAKQYPPLV >Manes.04G040234.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7125988:7132057:-1 gene:Manes.04G040234.v8.1 transcript:Manes.04G040234.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGREYVRFGVFWKVLEAGVQRLSSDELRFGRRRWFRPPNVPLDAWFGRLTLPPKVELWLESRLSAAEGNVRPPKVPDFRLWREGSAAEGAAEPTRVSSLEETFGRRRCRRKCLSSLFMAVFYACFSDV >Manes.06G178000.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30159824:30168667:-1 gene:Manes.06G178000.v8.1 transcript:Manes.06G178000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNDVGSATKNECKGITQPYYTTISPIHDFFSIESEGQSSTTTECSSCPSPLIRTESLSSPKNMQASTVQPVKYSLKSGPDSPPPVFHVQHSKSTFQRCSVFCTSLYLSSSSSSETNRPLGNLPFLPHPPTHSQSVSAVGSTKSPALFSWDISGFQVEKETSDAFVKDFLNLHGNASEGSFHGITSASDNLALTEQLELQFLSDELDIAITDHGENPRVDEIYETSESYTAMGLTCNQNFASVAPSVDDNSSLPSPGPATMHKPRMRWTPELHECFVEAVNKLGGAEKATPKGVLKLMNVEGLTIYHVKSHLQKYRIAKYLPERKEEKKVSCSEEKNAAPSCIEVDGRRKGTIQITEALRMQMEVQKQLHEQLEVQRVLQLRIEEHARYLQKILEEQQKAGSALLSPKSLSSQDSELVQPSSLEGAPHARSSESKTDASSLSKKRASDRSDFEQQACVKKMHLEEKPESAVEEVVVENPVQ >Manes.01G167000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35031054:35032582:-1 gene:Manes.01G167000.v8.1 transcript:Manes.01G167000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPNFFSNSRDNVFDPFSSFGLWDPFRDFQFPSSSSILSLDNSAFVNPRIDWKETTEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFLRRLRLPENAKMDQVKASMENGVLTVTVPKVEVKKSDVKTIDISG >Manes.10G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21312340:21313971:1 gene:Manes.10G082500.v8.1 transcript:Manes.10G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGGAQQKCMACDKTVYLVDKLTADKRNYHRSCFRCHHCKGTLKLSNFCSFEGVLYCRPHYDQLYKRTGSLDKSFEGTPKIAKPEKYIDTENASKVSNMFAGTTEKCVDCSKTAYPIERVTVNGTPYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLFKEKGNYSQLEAERDAALITTDFTTVEIAA >Manes.18G042000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3702926:3705817:1 gene:Manes.18G042000.v8.1 transcript:Manes.18G042000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARKDVDRIKGPWSPEEDEALQRLVQNYGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFSPEEDETIVKAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSLSDDLSDDGNAQQPLKRSASVGAGTNISGLQLNPNSPSGSDVSDSSLPGMPSSPVYRPIAKAGSLVSPGLLIDAVSSTTDPPTSLSLSLPGSDSCEASNPISISGSGSGFNQAVNPIQDVHTPVAQPAAGAVQQAPAGQYKGIGYEKQFFSPEFLAVMQEMIRKEVRNYMSGIEQNGLCLQTEAIRNAVVKRIGISRIE >Manes.14G139964.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14848407:14849817:-1 gene:Manes.14G139964.v8.1 transcript:Manes.14G139964.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLTRLFLAIYYYPAHLSLHTLLSSCTATIEFNTKMASLNMVLSLFISVLVSSLMVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQASGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSNGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIIFSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFNANACAWSNGVSSCGTSNSMTNSWLSEELDSTSQERLQWVRKNYMIYNYCTDANRFPQGFPPECNLS >Manes.01G069100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27041985:27045370:1 gene:Manes.01G069100.v8.1 transcript:Manes.01G069100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTLLSVLYPLLLAAFLLHCHGEDKKVHIVYMGNLPRGDFSAQSTHHSMLERVLGSTSSVKESLIYSYGRSFNGFAAKLSDEEVERLSEMDGVISVIPNHILKIHTTRSWDFMGFSKSKLSGAQQGNVIIGLLDTGMGPPPSKWKGTCQGEGNFTCNNKIIGARYYNSENWYFDTDFKSPRDSEGHGTHTASTAAGRKVEGASYFGLAEGVARGGVPYARIAVYKVCWSFGCATADILAAFDDAIADGVDILSVSLGSPWPFPYMEDPIAIGSFHAMRYGILTSNSAGNSGPYPYSVSNFAPWTLTVAASTIDRKFIASVVLGNGKVFNGLSINNFALNGTYPLVWGGDAANYSAGADPEIASYCLPGSLNSYVVQGKIVFCETVWDGSGILIANGVGAIMADSEYSKDFAFSYPLPATVISTEDGQQILDYIRSTQDPIATILVGETWKDIMAPSVVSFSSRGPNPISPDILKPDLTAPGVDILAAWSPVSPPSIYWMDSRSVNFNIISGTSMSCPHASAAAAYVKAAHPDWSPAAVKSALMTTAYIMDSRKHPDLEFAYGSGHINPLDATDPGLIYDASEADYINFLCKQGYNTTTLRLITGDNSSVCSSTVPGRAWDLNYPAFSLAIEDGQPIQGIFTRKVTNVGKPNSTYIVSIYMPSNVSVTVEPSVLSFSAIGETKTFTLKVYGPKIAQQPIMSGAIMWRDGTYVVRSPLVVYNILPGATYSSPYSMAKPQKTPKFEGSSVYHKNGILGHE >Manes.01G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27041985:27045370:1 gene:Manes.01G069100.v8.1 transcript:Manes.01G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTLLSVLYPLLLAAFLLHCHGEDKKVHIVYMGNLPRGDFSAQSTHHSMLERVLGSTSSVKESLIYSYGRSFNGFAAKLSDEEVERLSEMDGVISVIPNHILKIHTTRSWDFMGFSKSKLSGAQQGNVIIGLLDTGVWPESESFSDTGMGPPPSKWKGTCQGEGNFTCNNKIIGARYYNSENWYFDTDFKSPRDSEGHGTHTASTAAGRKVEGASYFGLAEGVARGGVPYARIAVYKVCWSFGCATADILAAFDDAIADGVDILSVSLGSPWPFPYMEDPIAIGSFHAMRYGILTSNSAGNSGPYPYSVSNFAPWTLTVAASTIDRKFIASVVLGNGKVFNGLSINNFALNGTYPLVWGGDAANYSAGADPEIASYCLPGSLNSYVVQGKIVFCETVWDGSGILIANGVGAIMADSEYSKDFAFSYPLPATVISTEDGQQILDYIRSTQDPIATILVGETWKDIMAPSVVSFSSRGPNPISPDILKPDLTAPGVDILAAWSPVSPPSIYWMDSRSVNFNIISGTSMSCPHASAAAAYVKAAHPDWSPAAVKSALMTTAYIMDSRKHPDLEFAYGSGHINPLDATDPGLIYDASEADYINFLCKQGYNTTTLRLITGDNSSVCSSTVPGRAWDLNYPAFSLAIEDGQPIQGIFTRKVTNVGKPNSTYIVSIYMPSNVSVTVEPSVLSFSAIGETKTFTLKVYGPKIAQQPIMSGAIMWRDGTYVVRSPLVVYNILPGATYSSPYSMAKPQKTPKFEGSSVYHKNGILGHE >Manes.08G121600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36196010:36203722:-1 gene:Manes.08G121600.v8.1 transcript:Manes.08G121600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDDDDEGFGDFKSAPFSRTVLSNSITVNGRDSTVAANDDEDWGDFVNSSGLSHPKASNPLDAFGFSTDKKLENKDSESNQPASAPGRVNSGMVRWERLKGALPLSIFGDVDEDEESGVGDPSFGDGGASLFSSKNVDSMKKGSGLNVNDLVANSYKQIDHKNDCITDLNGSNSVDKTNKNDKNSDLNISSLKLGVAELSSNARPPDSSWNWVNSNANDLHENGTNGLKLNSKWLDLDWSLLNLNTHINNSNKDGKFSVTGEAKSSTNKANSVSAAENGRFDNGDNDGWGFKDSQAQTPMDDELSKVKQIKIENGLLPNLNGINPVLVAENREVVDDGDGDDEWEFKVADSKPQVEVDKKMPEKFEGALYTSSFGNGVHGISNFGFDFNSSYKNKENDIEKKLHYPQVDAKVGSDENSWAFKDAFPEAGSKDKEEHNIAEVSLAVEALVFNEVQGNKVRADNHKGALPLSLFGDEETEADDPVIDQDISAQFTSDQRVGVKNPYFNIPINDLISRLYSQAEQSTSVNREQSLSENGLDSTKTVMSSNLGNANHDFDYDSWEFQDASAGARAEDQFSVIGLQESHTKYSTKIELNDYVELFSKLKKELYYIALCHLENLKKTQSAAALIGEDAKVQALDREIQG >Manes.08G121600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36196010:36203722:-1 gene:Manes.08G121600.v8.1 transcript:Manes.08G121600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDDDDEGFGDFKSAPFSRTVLSNSITVNGRDSTVAANDDEDWGDFVNSSGLSHPKASNPLDAFGFSTDKKLENKDSESNQPASAPGRVNSGMVRWERLKGALPLSIFGDVDEDEESGVGDPSFGDGGASLFSSKNVDSMKKGSGLNVNDLVANSYKQIDHKNDCITDLNGSNSVDKTNKNDKNSDLNISSLKLGVAELSSNARPPDSSWNWVNSNANDLHENGTNGLKLNSKWLDLDWSLLNLNTHINNSNKDGKFSVTGEAKSSTNKANSVSAAENGRFDNGDNDGWGFKDSQAQTPMDDELSKTNQIKTKNEPVPNLNGLKPCWNELSWDLNGWNVNVNRVNSSATSINTDLVDENKAVDNGDEDDWEFKDARPKTLMSDEVSKVKQIKIENGLLPNLNGINPVLVAENREVVDDGDGDDEWEFKVADSKPQVEVDKKMPEKFEGALYTSSFGNGVHGISNFGFDFNSSYKNKENDIEKKLHYPQVDAKVGSDENSWAFKDAFPEAGSKDKEEHNIAEVSLAVEALVFNEVQGNKVRADNHKGALPLSLFGDEETEADDPVIDQDISAQFTSDQRVGVKNPYFNIPINDLISRLYSQAEQSTSVNREQSLSENGLDSTKTVMSSNLGNANHDFDYDSWEFQDASAGARAEDQFSVIGLQESHTKYSTKIELNDYVELFSKLKKELYYIALCHLENLKKTQSAAALIGEDAKVQALDREIQDLTNELHKDSISSGEAYSERRSQENISLHMFVKVLHEPKFQDLESECHLTKKLSLAESDFRSALELLKYVSFTLKVLSSVPREEQSSYISAWSKMLSVCAQELRHGAFIWKRSLQENVHDQILSKPQGKKYVLALGEIYRVVEVIRLSAELYKPWILASSTDSMGIFTLLSKCSLIWSSSGLEMALLGILNSPDFEYGESLKTILESIKYIHDLDSHTLYNHVVSGQGPICQLSALTAGMVPGMKTVVWNGQHCFLTLANLWANLVSSEPPNLPCIHVG >Manes.08G121600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36196010:36203722:-1 gene:Manes.08G121600.v8.1 transcript:Manes.08G121600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDDDDEGFGDFKSAPFSRTVLSNSITVNGRDSTVAANDDEDWGDFVNSSGLSHPKASNPLDAFGFSTDKKLENKDSESNQPASAPGRVNSGMVRWERLKGALPLSIFGDVDEDEESGVGDPSFGDGGASLFSSKNVDSMKKGSGLNVNDLVANSYKQIDHKNDCITDLNGSNSVDKTNKNDKNSDLNISSLKLGVAELSSNARPPDSSWNWVNSNANDLHENGTNGLKLNSKWLDLDWSLLNLNTHINNSNKDGKFSVTGEAKSSTNKANSVSAAENGRFDNGDNDGWGFKDSQAQTPMDDELSKVKQIKIENGLLPNLNGINPVLVAENREVVDDGDGDDEWEFKVADSKPQVEVDKKMPEKFEGALYTSSFGNGVHGISNFGFDFNSSYKNKENDIEKKLHYPQVDAKVGSDENSWAFKDAFPEAGSKDKEEHNIAEVSLAVEALVFNEVQGNKVRADNHKGALPLSLFGDEETEADDPVIDQDISAQFTSDQRVGVKNPYFNIPINDLISRLYSQAEQSTSVNREQSLSENGLDSTKTVMSSNLGNANHDFDYDSWEFQDASAGARAEDQFSVIGLQESHTKYSTKIELNDYVELFSKLKKELYYIALCHLENLKKTQSAAALIGEDAKVQALDREIQDLTNELHKDSISSGEAYSERRSQENISLHMFVKVLHEPKFQDLESECHLTKKLSLRVILGQHLNSSNMFPSH >Manes.08G121600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36196010:36203722:-1 gene:Manes.08G121600.v8.1 transcript:Manes.08G121600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDDDDEGFGDFKSAPFSRTVLSNSITVNGRDSTVAANDDEDWGDFVNSSGLSHPKASNPLDAFGFSTDKKLENKDSESNQPASAPGRVNSGMVRWERLKGALPLSIFGDVDEDEESGVGDPSFGDGGASLFSSKNVDSMKKGSGLNVNDLVANSYKQIDHKNDCITDLNGSNSVDKTNKNDKNSDLNISSLKLGVAELSSNARPPDSSWNWVNSNANDLHENGTNGLKLNSKWLDLDWSLLNLNTHINNSNKDGKFSVTGEAKSSTNKANSVSAAENGRFDNGDNDGWGFKDSQAQTPMDDELSKTNQIKTKNEPVPNLNGLKPCWNELSWDLNGWNVNVNRVNSSATSINTDLVDENKAVDNGDEDDWEFKDARPKTLMSDEVSKVKQIKIENGLLPNLNGINPVLVAENREVVDDGDGDDEWEFKVADSKPQVEVDKKMPEKFEGALYTSSFGNGVHGISNFGFDFNSSYKNKENDIEKKLHYPQVDAKVGSDENSWAFKDAFPEAGSKDKEEHNIAEVSLAVEALVFNEVQGNKVRADNHKGALPLSLFGDEETEADDPVIDQDISAQFTSDQRVGVKNPYFNIPINDLISRLYSQAEQSTSVNREQSLSENGLDSTKTVMSSNLGNANHDFDYDSWEFQDASAGARAEDQFSVIGLQESHTKYSTKIELNDYVELFSKLKKELYYIALCHLENLKKTQSAAALIGEDAKVQALDREIQDLTNELHKDSISSGEAYSERRSQENISLHMFVKVLHEPKFQDLESECHLTKKLSLRVILGQHLNSSNMFPSH >Manes.08G121600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36196010:36203722:-1 gene:Manes.08G121600.v8.1 transcript:Manes.08G121600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDDDDEGFGDFKSAPFSRTVLSNSITVNGRDSTVAANDDEDWGDFVNSSGLSHPKASNPLDAFGFSTDKKLENKDSESNQPASAPGRVNSGMVRWERLKGALPLSIFGDVDEDEESGVGDPSFGDGGASLFSSKNVDSMKKGSGLNVNDLVANSYKQIDHKNDCITDLNGSNSVDKTNKNDKNSDLNISSLKLGVAELSSNARPPDSSWNWVNSNANDLHENGTNGLKLNSKWLDLDWSLLNLNTHINNSNKDGKFSVTGEAKSSTNKANSVSAAENGRFDNGDNDGWGFKDSQAQTPMDDELSKVKQIKIENGLLPNLNGINPGWNECNFDFSGWNSNGNRINSSTNSMNPVLVAENREVVDDGDGDDEWEFKVADSKPQVEVDKKMPEKFEGALYTSSFGNGVHGISNFGFDFNSSYKNKENDIEKKLHYPQVDAKVGSDENSWAFKDAFPEAGSKDKEEHNIAEVSLAVEALVFNEVQGNKVRADNHKGALPLSLFGDEETEADDPVIDQDISAQFTSDQRVGVKNPYFNIPINDLISRLYSQAEQSTSVNREQSLSENGLDSTKTVMSSNLGNANHDFDYDSWEFQDASAGARAEDQFSVIGLQESHTKYSTKIELNDYVELFSKLKKELYYIALCHLENLKKTQSAAALIGEDAKVQALDREIQDLTNELHKDSISSGEAYSERRSQENISLHMFVKVLHEPKFQDLESECHLTKKLSLAESDFRSALELLKYVSFTLKVLSSVPREEQSSYISAWSKMLSVCAQELRHGAFIWKRSLQENVHDQILSKPQGKKYVLALGEIYRVVEVIRLSAELYKPWILASSTDSMGIFTLLSKCSLIWSSSGLEMALLGILNSPDFEYGESLKTILESIKYIHDLDSHTLYNHVVSGQGPICQLSALTAGMVPGMKTVVWNGQHCFLTLANLWANLVSSEPPNLPCIHVG >Manes.08G121600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36196010:36203722:-1 gene:Manes.08G121600.v8.1 transcript:Manes.08G121600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDDDDEGFGDFKSAPFSRTVLSNSITVNGRDSTVAANDDEDWGDFVNSSGLSHPKASNPLDAFGFSTDKKLENKDSESNQPASAPGRVNSGMVRWERLKGALPLSIFGDVDEDEESGVGDPSFGDGGASLFSSKNVDSMKKGSGLNVNDLVANSYKQIDHKNDCITDLNGSNSVDKTNKNDKNSDLNISSLKLGVAELSSNARPPDSSWNWVNSNANDLHENGTNGLKLNSKWLDLDWSLLNLNTHINNSNKDGKFSVTGEAKSSTNKANSVSAAENGRFDNGDNDGWGFKDSQAQTPMDDELSKKMPEKFEGALYTSSFGNGVHGISNFGFDFNSSYKNKENDIEKKLHYPQVDAKVGSDENSWAFKDAFPEAGSKDKEEHNIAEVSLAVEALVFNEVQGNKVRADNHKGALPLSLFGDEETEADDPVIDQDISAQFTSDQRVGVKNPYFNIPINDLISRLYSQAEQSTSVNREQSLSENGLDSTKTVMSSNLGNANHDFDYDSWEFQDASAGARAEDQFSVIGLQESHTKYSTKIELNDYVELFSKLKKELYYIALCHLENLKKTQSAAALIGEDAKVQALDREIQDLTNELHKDSISSGEAYSERRSQENISLHMFVKVLHEPKFQDLESECHLTKKLSLAESDFRSALELLKYVSFTLKVLSSVPREEQSSYISAWSKMLSVCAQELRHGAFIWKRSLQENVHDQILSKPQGKKYVLALGEIYRVVEVIRLSAELYKPWILASSTDSMGIFTLLSKCSLIWSSSGLEMALLGILNSPDFEYGESLKTILESIKYIHDLDSHTLYNHVVSGQGPICQLSALTAGMVPGMKTVVWNGQHCFLTLANLWANLVSSEPPNLPCIHVG >Manes.08G121600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36196010:36203722:-1 gene:Manes.08G121600.v8.1 transcript:Manes.08G121600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDDDDEGFGDFKSAPFSRTVLSNSITVNGRDSTVAANDDEDWGDFVNSSGLSHPKASNPLDAFGFSTDKKLENKDSESNQPASAPGRVNSGMVRWERLKGALPLSIFGDVDEDEESGVGDPSFGDGGASLFSSKNVDSMKKGSGLNVNDLVANSYKQIDHKNDCITDLNGSNSVDKTNKNDKNSDLNISSLKLGVAELSSNARPPDSSWNWVNSNANDLHENGTNGLKLNSKWLDLDWSLLNLNTHINNSNKDGKFSVTGEAKSSTNKANSVSAAENGRFDNGDNDGWGFKDSQAQTPMDDELSKVKQIKIENGLLPNLNGINPVLVAENREVVDDGDGDDEWEFKVADSKPQVEVDKKMPEKFEGALYTSSFGNGVHGISNFGFDFNSSYKNKENDIEKKLHYPQVDAKVGSDENSWAFKDAFPEAGSKDKEEHNIAEVSLAVEALVFNEVQGNKVRADNHKGALPLSLFGDEETEADDPVIDQDISAQFTSDQRVGVKNPYFNIPINDLISRLYSQAEQSTSVNREQSLSENGLDSTKTVMSSNLGNANHDFDYDSWEFQDASAGARAEDQFSVIGLQESHTKYSTKIELNDYVELFSKLKKELYYIALCHLENLKKTQSAAALIGEDAKVQALDREIQDLTNELHKDSISSGEAYSERRSQENISLHMFVKVLHEPKFQDLESECHLTKKLSLAESDFRSALELLKYVSFTLKVLSSVPREEQSSYISAWSKMLSVCAQELRHGAFIWKRSLQENVHDQILSKPQGKKYVLALGEIYRVVEVIRLSAELYKPWILASSTDSMGIFTLLSKCSLIWSSSGLEMALLGILNSPDFEYGESLKTILESIKYIHDLDSHTLYNHVVSGQGPICQLSALTAGMVPGMKTVVWNGQHCFLTLANLWANLVSSEPPNLPCIHVG >Manes.06G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:866792:872076:1 gene:Manes.06G004100.v8.1 transcript:Manes.06G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSKEDFNDQKSREEGDNIIESDIELDDSDIVEPDNDPPQKMGNPAIEVTEEKQDAAQTEKLKAMDAISEGKLSEAIDHLTEAIMMNPTSAILYATRASVFVKVKKPNAAIRDANAALEINPDSAKGYKIRGIARAMLGQWEEAAGDLHVASKLDYDEEIGLVLKKVEPNAHKIQEHRRKYERLRKEKELKKVERERQQRAGAQGREALSALNDGQVIGIHSVSELETKLNAASKTSRLAILYFTATWCGPCRFISPVFTSLATKYPKTVFLKVDIDEARDVAAKWNISSVPTFFFIKNGKQVDKVVGADKNGLERKIEQYASYA >Manes.06G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:866792:872073:1 gene:Manes.06G004100.v8.1 transcript:Manes.06G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKIADLRHFVDHCKSNPSILHDPSLAFFRTYLHSLGARIPPQTKSEEGDIDMSYSKEDFNDQKSREEGDNIIESDIELDDSDIVEPDNDPPQKMGNPAIEVTEEKQDAAQTEKLKAMDAISEGKLSEAIDHLTEAIMMNPTSAILYATRASVFVKVKKPNAAIRDANAALEINPDSAKGYKIRGIARAMLGQWEEAAGDLHVASKLDYDEEIGLVLKKVEPNAHKIQEHRRKYERLRKEKELKKVERERQQRAGAQGREALSALNDGQVIGIHSVSELETKLNAASKTSRLAILYFTATWCGPCRFISPVFTSLATKYPKTVFLKVDIDEARDVAAKWNISSVPTFFFIKNGKQVDKVVGADKNGLERKIEQYASYA >Manes.06G120000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25109643:25114226:1 gene:Manes.06G120000.v8.1 transcript:Manes.06G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNTKEENVSRAGSKAVMEGQHKKSLFASIAKPPTDSGRPSSMVVKKAHTVIPAHIVAEAISTIRGLDLRWSGPITPSEMQYVEQYVLAKYPQYAGLVVGEKIDLSTLCINEEASETATDDKRKSPRSSFREASSPSNGGNLPDLDRTQLEPSRLLDILTKKSSFPGSFISIPEIQAQNKVLKHCGLPDDEYLVLFTPNYKDAMMLVGESYPFFRGNFYMSIIAEEMDYAREFATYKESKVILAPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPADVNGTRYSMHWVSEAHRNSWHVLLDATALVMGKDSMNLALHRPDFVLCSPDNSQANPSNITCLLVRKKSFDTSTALS >Manes.02G013400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1327846:1331127:-1 gene:Manes.02G013400.v8.1 transcript:Manes.02G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTACSNNANGYAAAGLRSNGYHDEESKRDKWCCFQIPLHYPRFKKSDYEAMPEWRLDCLLREYGLPISGDVDQKRKFAMGAFLWPSDD >Manes.03G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4875726:4880500:-1 gene:Manes.03G052600.v8.1 transcript:Manes.03G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPMTPLPDLVTIHPPKEEEEYVPPPVMTANIEIPVA >Manes.03G052600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4875726:4880500:-1 gene:Manes.03G052600.v8.1 transcript:Manes.03G052600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPMTPLPDLVTIHPPKEEEEYVPPPVMTANIEIPVA >Manes.06G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27303589:27305774:1 gene:Manes.06G146600.v8.1 transcript:Manes.06G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSSDKPKQKPKHRKGLWSPDEDQRLRNYVLKHGHGCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGLFSSQEEETILTLHRLLGNKWSQIAQHLPGRTDNEIKNYWHSHLKKKVLKGDQGTKEANVYNTTSDNLDNNSPKKITMMQTPDFESLLMNMENSSTDADQSVPRMSESPKQPNRSSLPKLMFAEWLSLDSFSSLSCEGIMSSKDQNVSSSFQDNFMQGCFLNEGTFGNGEYRNLLSYASPDDMFSSQLKFECQSSGNEQFVDFSCGEDICSEFNMRSELRYIYEH >Manes.05G203650.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32916688:32917461:1 gene:Manes.05G203650.v8.1 transcript:Manes.05G203650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTSLIKERRIFCLQRKISCSMKFLCLPSKLVLCFVVSRKRCMRCFLFSAPSTPHAPPYIPICCLSYSTFCPLSPQQNHRTSQNRAFLAPLCLLYVRPCMYAKQNLLNQGPPLLFSTLINGFSSNLKRW >Manes.07G053800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7527017:7533731:-1 gene:Manes.07G053800.v8.1 transcript:Manes.07G053800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHPLLSKPTYPQPDDDTDPLTRPAIAAGLPTVRKKGTGVRAWLLLDNTGQAQVVEAGKHAIMRRTGLPARDLRILDPQLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFVEELQRRLACHYKATEAQEGNGDDSNWKNLYNPEDPQSRENLKNFAGGFLDSQDQDEGGKLDGKPGLENRDGPNVLPFEFVALEACLEGACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLTQHLENSSTTSLSDRNDTDDEYLLADMDDRIPAEVSLETRGGSIGGEGDIHNADNPHDHMFSAAHVLSRGSRGTHTSTTQSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVISLFVAVAGVFGMNINIELFNEEVETGMQKFLWTVGGSCTGSVFLYVIAIAWCKHKRLLE >Manes.07G053800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7528506:7533731:-1 gene:Manes.07G053800.v8.1 transcript:Manes.07G053800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHPLLSKPTYPQPDDDTDPLTRPAIAAGLPTVRKKGTGVRAWLLLDNTGQAQVVEAGKHAIMRRTGLPARDLRILDPQLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFVEELQRRLACHYKATEAQEGNGDDSNWKNLYNPEDPQSRENLKNFAGGFLDSQDQDEGGKLDGKPGLENRDGPNVLPFEFVALEACLEGACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLTQHLENSSTTSLSDRNDTDDEYLLADMDDRIPAEVSLETRGGSIGGEGDIHNADNPHDHMFSAAHVLSRGSRGTHTSTTQSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTQMI >Manes.07G053800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7527017:7533731:-1 gene:Manes.07G053800.v8.1 transcript:Manes.07G053800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHPLLSKPTYPQPDDDTDPLTRPAIAAGLPTVRKKGTGVRAWLLLDNTGQAQVVEAGKHAIMRRTGLPARDLRILDPQLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFVEELQRRLACHYKATEAQEGNGDDSNWKNLYNPEDPQSRENLKNFAGGFLDSQDQDEGGKLDGKPGLENRDGPNVLPFEFVALEACLEGACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLTQHLENSSTTSLSDRNDTDDEYLLADMDDRIPAEVSLETRGGSIGGEGDIHNADNPHDHMFSAAHVLSRGSRGTHTSTTQSAISKHLDVEELEMLLEAYFVQIDGTLNKLSTLFYVFWPHL >Manes.07G053800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7527017:7533732:-1 gene:Manes.07G053800.v8.1 transcript:Manes.07G053800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHPLLSKPTYPQPDDDTDPLTRPAIAAGLPTVRKKGTGVRAWLLLDNTGQAQVVEAGKHAIMRRTGLPARDLRILDPQLSYPSTILGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFVEELQRRLACHYKATEAQEGNGDDSNWKNLYNPEDPQSRENLKNFAGGFLDSQDQDEGGKLDGKPGLENRDGPNVLPFEFVALEACLEGACSCLENEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLTQHLENSSTTSLSDRNDTDDEYLLADMDDRIPAEVSLETRGGSIGGEGDIHNADNPHDHMFSAAHVLSRGSRGTHTSTTQSAISKHLDVEELEMLLEAYFVQIDVEGVRR >Manes.01G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31502900:31506314:-1 gene:Manes.01G118600.v8.1 transcript:Manes.01G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGVEFVLALGCMRWAWKRCMHVGSDDSASWASATKDEFEPVPRICSRILSVYKNDTENPQSSSSLDPKCLIKRVIYEQTLGRAPPYIIYLDHEHKEIVLAIRGLNLIKESDYKMLLDNKLGMQKFDGGYVHHGLLKSAVWLLNEEKETLKDLWVNNGKEYSMVFAGHSLGSGVASLLTIIAVNHGDQLGGIPRNKIRCYAVAPARCMSLNLAVKYADVINSIVLQDDFLPRTTTPLEDIFKSIFCLPCLLFLVCLRDTFVPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCNATSDHAIIWIKSETEKALQTMVEGSSETIVTPPKIQKLQRLQTLEKEHQDAMERAVSLNIPHTETEAETQDGKEAESSHGDHEGEKASGTKSESSNARSKWDELLNNVLQKDIQAAKEDANSPK >Manes.01G118600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31502900:31506314:-1 gene:Manes.01G118600.v8.1 transcript:Manes.01G118600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGVEFVLALGCMRWAWKRCMHVGSDDSASWASATKDEFEPVPRICSRILSVYKNDTENPQSSSSLDPKCLIKRVIYEQTLGRAPPYIIYLDHEHKEIVLAIRGLNLIKESDYKMLLDNKLGMQKFDGGYVHHGLLKSAVWLLNEEKETLKDLWVNNGKEYSMVFAGHSLGSGVASLLTIIAVNHGDQLGGIPRNKIRCYAVAPARCMSLNLAVKYADVINSIVLQDDFLPRTTTPLEDIFKSIFCLPCLLFLVCLRDTFVPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCNATSDHAIIWIKSETEKALQ >Manes.01G118600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31502900:31506314:-1 gene:Manes.01G118600.v8.1 transcript:Manes.01G118600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGVEFVLALGCMRWAWKRCMHVGSDDSASWASATKDEFEPVPRICSRILSVYKNDTENPQSSSSLDPKCLIKRVIYEQTLGRAPPYIIYLDHEHKEIVLAIRGLNLIKESDYKMLLDNKLGMQKFDGGYVHHGLLKSAVWLLNEEKETLKDLWVNNGKEYSMVFAGHSLGSGVASLLTIIAVNHGDQLGGIPRNKIRCYAVAPARCMSLNLADDFLPRTTTPLEDIFKSIFCLPCLLFLVCLRDTFVPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHIVLSCNATSDHAIIWIKSETEKALQTMVEGSSETIVTPPKIQKLQRLQTLEKEHQDAMERAVSLNIPHTETEAETQDGKEAESSHGDHEGEKASGTKSESSNARSKWDELLNNVLQKDIQAAKEDANSPK >Manes.14G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1191706:1195362:1 gene:Manes.14G014100.v8.1 transcript:Manes.14G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELAEIGADISSDIEVDDLRCDNIAEKDVSDEEIEAEELEKRMWKDRVKLRRIKENQKFAAQQAAEKQKPKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEAECLAIVEADKNRNGNSQSVLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGDEEWWVKLGLPQGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRHVRQSKCLQDKMTAKESAIWLSVLSREESLIQQPSSDNGTSGITEMPQGGRGEKKRPAVSSDSDYDVDAVDDGVGSVSSKDNRRNATTEVEALSIVQNENPDAVQDKEPAEKQPKRKRPRGKSSHADQQSGPHLNKHSFAEDARNTVPDINTADLAAVECQINGIEEENDPYTVLRPSEKGLESGSSLPPSEFNYFPAVPSANVDPMGSMHVDGSSMFFSVLQNTELHHGSAYDMYNPSIDFGPTPDARQSQITMDAPQVRSQDDGGHASALHRDGNEIIGGELHQLDKDRPFGSPPPLHSLSLEFGGIPSPYNFEIEEFLLDDELIQCFGA >Manes.12G021200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1860567:1868205:1 gene:Manes.12G021200.v8.1 transcript:Manes.12G021200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSLGTRASNSPMKRDEDASPLIHENVTDNDHHHHARHHLTRDRDRPFWSNLPFFGDDLRVSPYNSRISLFLLGIIVLAAVISVFSIFHQLNAPYLCKKDGIVLHCPYVKEPASLWENPYSATTSWKPCAERRSGGISDVPPENETTGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFKDIFDVDHFIDYLKDDVRIVRDIPEWFTDKSELFSSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEQMADLLVSRMRNRTESSNPFMALHLRFEKGMVGLSFCDFVGTREEKARMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELATKEELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRHKSIKPDKGLMSKSFGDPYMGWATFVEDVIVTHQTRTGLPEETFPHYDLWENPLTPCMCKA >Manes.13G121900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33026616:33027458:-1 gene:Manes.13G121900.v8.1 transcript:Manes.13G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHLRSISLPSRSHPLTVNIEEQLCKLRAPESSSIGHKLSGLKNLFESVDDFLQLSFVQQTISNERQSQSVENAINGSMELLDICDTTRDLFSQMNECLQELELSLRRRNSSLTSEVDAYMVSRKKLNKAICKYLRNLKKKERNCTTATLENNSNLDNMISMLREVQEISLVMFESILSFISQPKVRSSPPGWFAIPKLLQSKRVSCKVEIEANEAEKIGAELLSLKSSKDISLSQLQKLLKELEAFESSMKEAEEELECIYRRLVKTRVSLLNILNH >Manes.04G101600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30594824:30596797:-1 gene:Manes.04G101600.v8.1 transcript:Manes.04G101600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVGMIGSNGCAGSSSSSWFQIKNRRKKNINMGKNRVRFLCVSSSSSVMDPYKTLRIQPGASESEVRKAFRQLALQYHPDVCRESNSSVKFTMINEAYDIVMSSLRGEADESQMSSSSYEPYDEDEPMRGMNDPDWDMWEEWMGWEGAGIRDYTSHINPYI >Manes.15G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7982016:7990400:1 gene:Manes.15G101800.v8.1 transcript:Manes.15G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQLQMNWQPSLLSQKRKNGPPLGLRNLGNSCYLNSVLQCLTYTPPLANFCLRLQHSSLCDSVSNGERKRECPFCILEKRIVRSLSLDLNLDAPAKIQSCLRIFAEHFRCGRQEDAHEFLRYVIDACHNTCLRLMKLRRKGIDSINGSNTVVKEIFGGALQSQVKCLSCDSESNKVDEIMDISLDVLHSNSLREAMQKFFQPEILDGSNKYRCESCKKLVTARKQMSILQAPNILVIQLKRFEGIFGGKIDKAIAFEEVLVLSNFMCKGSQDPRPEYNLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCNDSYVTLSTLQDVLSEKVYILFFSRANQRPVSAGATFIANGVKSSNLNGSEAYKSPKMSVAPKAVPTKHSVKQSSPSDIPSMSKVHKVPSSPQIKFNIFGNSSSRRVPTTVDRKADTYKSQNMKMNWDEKGTVHTEKHDKDVSPVVNGNGCNKNRTVHAETCPAIAVSSENSVTQNGAFDSEKVNGYEATCSMGRTTMEKGSNHLELQNGGENGNSGNSELKRKSKEDKFILFAQDSHSQAKVEELKEVLKQEASSGLRSCGWSNKVYSFMCSRKRLCEREERNTSGDIELKKLLIAEAKSTFISQIPESLKEDLVKHLRSLSQEK >Manes.17G087600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29530222:29579999:1 gene:Manes.17G087600.v8.1 transcript:Manes.17G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKPTMLPLIFAAFIICFCSFSSLAELQRFQQALKSDGSLSFLVIGDWGRRGLFNQSEVASQMGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSSSNAKWKLVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSSHSNIQFITSGGGSKAWRGDIRKWDPEELKLYYDGQGFMSAQMTDSTATFAFYDAFGSVLHQWSISKESHSAA >Manes.07G038672.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4655317:4656800:1 gene:Manes.07G038672.v8.1 transcript:Manes.07G038672.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAQAEEDNSEDTKDTLQEKKQELGDYFNMSLEEIHQANAFNNIEKIVSTLTHNSANLYEKANLQKLMDRFTEFKGSVPDFVTTAERTEAQKKSLLIKSRKLKQSLAHEQEQLRSSEAEISKISKEKEELDIQIQSLISRKEKLIEHKKSTEFQLETTKKIVSTNLSEQNMIDGEIEQAYENWFKAKEKLVFANASWKLFKECIEL >Manes.07G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3600285:3604261:-1 gene:Manes.07G032700.v8.1 transcript:Manes.07G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISGRDSIISFACLTFHLLLLQSFVAESGSIVENLPGFSGVLPFKLETGYVSVNESELFYLFVESQGKPKQDPLLVYLIGGPGCSALNGFFFQTGPLILNTSDYSGGLPQLLYNEFTWTKTSSIIFVDAPVGSGYSYANSAQGYYVSDTISVAQIHVFLRKWLADHQEYIENPFFIASDSFSGIITPVLAQEIIFGNEGGLQPTINLQGIVSGSSHINYSLEANSRIPLAYSLALISRSVYESAKNSCNENYLDVDSSNAECLQDLEEIDECIDPINEENILEPKCAELSPKPDDDLRQQARRSLIAHSRNLRRPFFRPHDYWCRNFEYKLLDIWANDKSVQDALHVRRGSIKEWYRCNNSIPDNTYSYDIGSGVDYYSNLTNYGLQVLLYSADHDLVIPYISTLEWIESLDLTVDYAWRPWFVHGQVAGYTLRNEYHGFRLTFATLKGAGHSPTQYKPVECYNMFERWIHYYPL >Manes.S032016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:785523:786182:1 gene:Manes.S032016.v8.1 transcript:Manes.S032016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSSMESDPSEWESEPFSLARSGGDDRARDPERSVEVCREVMVQRRDVSLQVNMDEESMEKSKDSKSSSSGEVDPSMLSTATKRGRKWGRARAPKQWGKTRKGRLWKRFRLDAEDGSSSGQGPTRCLRCGRLHRGPCRVGTTACFRCGQEGHFARDCPTAPRRVWSQQRAAGDVAQASVPGRGADTSNAVMPGTLTCSCSDVCVCALVCFPFLLLL >Manes.03G174200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29837640:29839060:1 gene:Manes.03G174200.v8.1 transcript:Manes.03G174200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYSYLTLALWAILIFFSKPSHQASYSPPPPALNPRLLDAFVALQAWKHSITSDPKNFTSDWYGPNVCNYTGIYCAPAPDDPHTITVAGVDLNHGNISGSLPEELGLLTDLSLFHLNSNRFCGTIPDSFRHLRLLHELDISNNRFTGEFPSVVLSMPSLRFLDIRYNEFHGNVPSKLFDVNLDALFINNNKFNSSLPENFGNSPVSVVVLANNNISGCFPSSLTKMAGTLSQIILINMGLTGCLQSDIGKLNQVKVFDVSSNELVGSLPDSIGEMKMLEQLNVAHNKLSGEIPESICLLPKLEKFTYSYNYFCSEPHVCLKLSANDDRKNCIPERPLQRSPEECKAFYTYPVKCDASGCSPPPPPPPPPPPPPPPPPPPPPPVHHYQYP >Manes.04G036700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835298:4870259:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREALQANADQAILSKNLALLRSDLPFYMVPFAIGDLTFKKPEDNGEKFTSLLNAIGAYAEGFSADPIIRRAFYLWKKLEAQ >Manes.04G036700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835298:4870259:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKAFSSLSNTSSALPQVVCSTSTSNSLSSSKTEQEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREALQANADQAILSKNLALLRSDLPFYMVPFAIGDLTFKKPEDNGEKFTSLLNAIGAYAEGFSADPIIRRAFYLWKKLEAQ >Manes.04G036700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835298:4870259:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKAFSSLSNTSSALPQVVCSTSTSNSLSSSKTEQEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREALQANADQAILSKNLALLRSDLPFYMVPFAIGDLTFKKPEDNGEKFTSLLNAIGAYAEGFSADPIIRRAFYLWKKLEAQ >Manes.04G036700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835298:4870259:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREALQANADQAILSKNLALLRSDLPFYMVPFAIGDLTFKKPEVCAIKRQWREVYEPSECYRCLC >Manes.04G036700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835298:4870259:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKAFSSLSNTSSALPQVVCSTSTSNSLSSSKTEQEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREALQANADQAILSKNLALLRSDLPFYMVPFAIGDLTFKKPEVCAIKRQWREVYEPSECYRCLC >Manes.04G036700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835332:4857415:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKAFSSLSNTSSALPQVVCSTSTSNSLSSSKTEQEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREVKCPVTLKYKSRAS >Manes.04G036700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835298:4870259:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREALQANADQAILSKNLALLRSDLPFYMVPFAIGDLTFKKPEDNGEKFTSLLNAIGAYAEGFSADPIIRRAFYLWKKLEAQ >Manes.04G036700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835298:4870259:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREALQANADQAILSKNLALLRSDLPFYMVPFAIGDLTFKKPEVCAIKRQWREVYEPSECYRCLC >Manes.04G036700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4835298:4870259:1 gene:Manes.04G036700.v8.1 transcript:Manes.04G036700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYQFMAYNQYLNLPVHSLWRSFNYLGENFTRTQRLSNFFSNLKTVVRIHPSSILSTKAFSSLSNTSSALPQVVCSTSTSNSLSSSKTEQEHTPYQEAVLDSVKCEEGAVNASSSNGRVMLIDGTSVIYRAYYKLLAKLHHGHLSHADGNADWVLTIFSALSLVIDVLEFIPSHVAVVFDHDGVPFDHTCVAFKENAMAKGLNFRHTLYPSYKSNRPPTPDTIVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAVRSVDEGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLDMVSFGVEDFANLYGELKPSQFADVMALMGDKSDNIPGVEGIGTVHAVQLITKFGTLENLLQCVDQVEGERIREALQANADQAILSKNLALLRSDLPFYMVPFAIGDLTFKKPEVCAIKRQWREVYEPSECYRCLC >Manes.01G013425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3425635:3426283:-1 gene:Manes.01G013425.v8.1 transcript:Manes.01G013425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSYRTKLRTKYGLVESPATDWITHFFCGWCALCQEYRELQKRGLDPAIGWQENLRRQSIQQPAMMPPVNQKMMP >Manes.09G010450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2090336:2093131:-1 gene:Manes.09G010450.v8.1 transcript:Manes.09G010450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTFKLWALLLFKNFSLAWGLESELQKIKENLEVIKPVLLDAEQQLSQNPWVEIWLENLKQVLYDAEDVVDDFEYEALRRKVTRKVRRFFSSPNPLAFHFKTGHKVKKIRERIDKIAALKSKFGLTERIFHRHVIHKKREMTHSFIDASNVIGREEAKFTIIEMLLQFVDGENVISIIPIVGVGGLGKTTLAKLVYNDQRRGPQKWLDLKSLLMGGSNGSRIVVTTRSNRVAEIMGKVSPHNLSLLHHQYLQEGKQNPNFTRIRGEIVRKCKGVPLALLSYEHLPSYLKRCFAYYIDLVYLWMANGLVQSSNENQEFEDVGLRYFEVLCSRCFFLDFSEYGGNVRCKMHELIHDLALSITQNEYSMFIGSTQQFAKSLRHVSFPYPESLSKVVPKSLQNLDCMRTICCINERGDGISSEVFKSTIKRLPNSICKVQSLQVLLLFGCWNLKELPKDIKCMINLRLLWVTTHQKCFSMGGIGCLKALRSLFITECPNLEYLFEYMLGLQKLRRLAVSSCRSLISLPRSIKCLTALDREDTQFRLHKLELRELPKLVDFPQWLIQGFINSLKVLEVAYCDNLRELPNCLQNMACLQELQFIDCTKLNNNPL >Manes.09G080400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12009825:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12010080:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12010080:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDFGFSDPDLGFRFRFVFPFFVDLVYFIYLFFSGIENFRLATMSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12009819:12018174:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12009837:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12010080:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDFGFSDPDLGFRFRFVFPFFVDLVYFIYLFFSGIENFRLATMSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNQKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12010080:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12009825:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNQKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12010080:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNQKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12009837:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNQKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12009819:12018174:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNQKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.09G080400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12010080:12017157:1 gene:Manes.09G080400.v8.1 transcript:Manes.09G080400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGDKLCPLCTEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRSFYDKERIVGMAANCERLVAEINSERKLKSTKVKAKLSEGRMHLTNVRVIQRNLVYLIGLPLNLADEAVLQQREYFGQYGKVLKVSISKTATGVIQHSSNKSCCVYITYSKEEEAVRCIQSVHSFVLEGRTLRACFGTTKYCHAWLKNMPCSIPDCLYLHEFGTQEDSFTKDDLVSAFTRSKVQQIIGATNNLHRRSGNVLPPPADEYTDGNIISTAKLNSKSSLNQKIGNCDSGPCADSGTETSDTFPGTASWVMSVSSGAALATNLLGSGSSDFYGTLNHNPDTSSGTQVFASEVLSTKKSSDAKKTMISEGSCELHPILVTSRNVAMPPVGVSSLGLNKPSCFLDEDGDFQGVCSAISSIRIDSHLKNENSNPVISGSSIYNHNLPVSQGSQQDVSLPVKSSALPALRENLIVKDLFGDQHLKGSGDVNNVPSTSCLLPPQQNLKESGFNSLKQGQISYESNFNAYPSTAPIECEADVVPLRAVQPGKSSEFCDNQPSSGLQMDGTSNYSVVFSDTGLGKCLEGGNNSEGSPNNKVAPDVGENNIISSILAMDFDAWEDTLTSPQSLVKLLAKTDRQHSSLRIPNLRKVQDGNQSRFSFARQDDFFDQDSKLGHTVPDAINCSTLQHYNENKDVCMDRHYDISSNGGSVDSNSFLSSNPFLASKFSVAKAPASPPPGFTLPSRAVPPGFPTNGAVNYDASCLRQNPSPLPGNAGISVDVEFMDPAITEAGKGTQAVRLNNPGFGMRPALSPHLGTFDHDMELQMVMQQPVSVKQNPRFLDHFRNRFSPPDNAYNISSMLLGQSPPNSPSPFAQVSTQQVRNWQMSHGCWGGWDEIKSGNLGMSELLMNGRLGFNKIFPSHEDLKYQMSSSNNLYNRGFA >Manes.15G145200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11931663:11935013:1 gene:Manes.15G145200.v8.1 transcript:Manes.15G145200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVGPDVSDSPATGSNSCMFYKLMIASILQDKKLRIPGKFVKKYGDELSSIATLTVPNGRIWLVELEKVDKKLWFHNGWHEFVEHYSIRVGYFLVFRYGGESNFNVYIFDLAVSEISYPCNIPGSLQEPCHDNHYLVAHKKHVVHNDLLEILGSGPPCHTPISPRSKFFDKYVHCNCTINENYDTSREKLILRKDIYDMEENFQSSQHVGLQFNDIEPIRTPDKVVLPVSDEAEGRNRRRKQKTDPIEHEPIIKQEADEIPVGANVASETFTRRWRTVTPEEKQRTVNAADKFKSDNPFFKVILRSSYVYRGFLLTSGFRWQTMACPLCFWEGGS >Manes.15G145200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11931663:11935013:1 gene:Manes.15G145200.v8.1 transcript:Manes.15G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVGPDVSDSPATGSNSCMFYKLMIASILQDKKLRIPGKFVKKYGDELSSIATLTVPNGRIWLVELEKVDKKLWFHNGWHEFVEHYSIRVGYFLVFRYGGESNFNVYIFDLAVSEISYPCNIPGSLQEPCHDNHYLVAHKKHVVHNDLLEILGSGPPCHTPISPRSKFFDKYVHCNCTINENYDTSREKLILRKDIYDMEENFQSSQHVGLQFNDIEPIRTPDKVVLPVSDEAEGRNRRRKQKTDPIEHEPIIKQEADEIPVGANVASETFTRRWRTVTPEEKQRTVNAADKFKSDNPFFKVILRSSYVYRGFLLHIPSSFARKYLTVTAFIRLQVSDGKQWPVRCVSGKGGAKLSKGWTEFVWENNLEEGDVCIFELINMIDIVLKVTVFRVLQDAVPVNLLPNRIMHKIK >Manes.15G145200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11931663:11935013:1 gene:Manes.15G145200.v8.1 transcript:Manes.15G145200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVGPDVSDSPATGSNSCMFYKLMIASILQDKKLRIPGKFVKKYGDELSSIATLTVPNGRIWLVELEKVDKKLWFHNGWHEFVEHYSIRVGYFLVFRYGGESNFNVYIFDLAVSEISYPCNIPGSLQEPCHDNHYLVAHKKHVVHNDLLEILGSGPPCHTPISPRSKFFDKYVHCNCTINENYDTSREKLILRKDIYDMEENFQSSQHVGLQFNDIEPIRTPDKVVLPVSDEAEGRNRRRKQKTDPIEHEPIIKQEADEIPVGANVASETFTRRWRTVTPEEKQRTVNAADKFKSDNPFFKVILRSSYVYRGFLLVSP >Manes.18G097500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9020508:9024696:-1 gene:Manes.18G097500.v8.1 transcript:Manes.18G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLDSLFNKGFKAAKCKTLLKLTIPRIKLLRNRREIQIKQMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELISVRLPIIESQRECPLDLKEAISSVCFAAPRCADLPELLQVQMLFASKYGKEFVAGATELMPECGVNRQLIELLSVRAPSPEIKLKLLKEIAEEHELDWDPAASETELLKPHEDLLNGPTQFVSGSKLPLPEEKHDEALNPVTDNALNKEPDSDSDFEEIDFPEVPKVSLRPSASAASVPEMQPIPATALHSIDHESSFQSKNPENLVQGHDLKDKDVTEEKPVVAKDNMPNIILGAKEEKQFLPFISPPSVASIPLPTTPTTKINLPPTSRTKSEANVDLQDVLAAAQAAAETAERAAAAARSAATLAQARISQLTKQNSEKFPEDGDDNPFHADIPNHSATTEKPLFHHQLSFDDPNGVSSYQDSHQCHEDCQPSELHDLISLDKLKVEHNSSSDHVHEQGSAHHQPQRLPSMDDESYFSYPNLFSSQNPNVGSNSQSDR >Manes.18G097500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9020508:9024696:-1 gene:Manes.18G097500.v8.1 transcript:Manes.18G097500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDIAKLLETGQEATARIRVEHIIREENMMAAQEILELFCELISVRLPIIESQRECPLDLKEAISSVCFAAPRCADLPELLQVQMLFASKYGKEFVAGATELMPECGVNRQLIELLSVRAPSPEIKLKLLKEIAEEHELDWDPAASETELLKPHEDLLNGPTQFVSGSKLPLPEEKHDEALNPVTDNALNKEPDSDSDFEEIDFPEVPKVSLRPSASAASVPEMQPIPATALHSIDHESSFQSKNPENLVQGHDLKDKDVTEEKPVVAKDNMPNIILGAKEEKQFLPFISPPSVASIPLPTTPTTKINLPPTSRTKSEANVDLQDVLAAAQAAAETAERAAAAARSAATLAQARISQLTKQNSEKFPEDGDDNPFHADIPNHSATTEKPLFHHQLSFDDPNGVSSYQDSHQCHEDCQPSELHDLISLDKLKVEHNSSSDHVHEQGSAHHQPQRLPSMDDESYFSYPNLFSSQNPNVGSNSQSDR >Manes.17G043900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23768088:23770887:1 gene:Manes.17G043900.v8.1 transcript:Manes.17G043900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEMRENKHEHQEEEGVMATDFFWSYTDEPHASRRKQILSQYPQIKELFGPDPLAFLKVTVVVLLQLWTATLLHDAGWLKILAIAYCFGSFLNHNLFLAIHELSHNLAFSRPVCNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSNVEGHLVTNVVAKSIWVIFQLFFYALRPLFLKPKPPGYWEFINVFVQIALDAAMVYLWGWRSFAYLILSTFVGGGMHPMAGHFISEHYVFKPEQETYSYYGPLNFLTWHVGYHNEHHDFPRIPGSKLYKVKEIAPEYYEGLDCYKSWSQVIYMYIMDRTVGPYSRMKRKVSTATKKSE >Manes.03G062600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7255237:7258917:-1 gene:Manes.03G062600.v8.1 transcript:Manes.03G062600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSGSPLLLPPGEHNINPPPLPSQSLADKASPPPPLSQITLFESSLPSSTTTAVGVELPPAHSVRPVAIAPLAFPEPVGAPIVSVTAPTPKATSIHTVPMKRRRRSVTSPPAASPSHPSTALSPLSTPLKPTAIAPSQPPSVRSRPPTIMPPPARPNRPPPSAFPLLPPSPPSSSMRSPPLLSLPLLNGSLPWSPKESHLSMGLIDGCVIGGVLLFLLLTLICICNKNRRGRKDFIQDEEHNYRTPSLETKDNSLSVQLVETFSQPPLSIGSRGSLSMYSGSEYPLLINNPCFSLGLSSGSFTYDELVAATDGFSETNLIGEGGFGYVHKGYIRNGQEVAIKQLKDGSRQGEREFRAEVEIISRAHHKHLVSVIGYCIAGTKRLIVYEFVPNNTLEFHLHGIGQPVLEWATRLKIAIGSAKGLAYLHEDCNPSIVHRDIKAANILLDHKFEAKVSDFGLARSFTDRTIINHISTQVVGTFGYLAPEYASCGRVTEKSDVYSYGIVLLELITGRPPISNINPLKREALVSWVSLFLHILLNLPSMIIMPIT >Manes.03G062600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7255240:7258917:-1 gene:Manes.03G062600.v8.1 transcript:Manes.03G062600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSGSPLLLPPGEHNINPPPLPSQSLADKASPPPPLSQITLFESSLPSSTTTAVGVELPPAHSVRPVAIAPLAFPEPVGAPIVSVTAPTPKATSIHTVPMKRRRRSVTSPPAASPSHPSTALSPLSTPLKPTAIAPSQPPSVRSRPPTIMPPPARPNRPPPSAFPLLPPSPPSSSMRSPPLLSLPLLNGSLPWSPKESHLSMGLIDGCVIGGVLLFLLLTLICICNKNRRGRKDFIQDEEHNYRTPSLETKDNSLSVQLVETFSQPPLSIGSRGSLSMYSGSEYPLLINNPCFSLGLSSGSFTYDELVAATDGFSETNLIGEGGFGYVHKGYIRNGQEVAIKQLKDGSRQGEREFRAEVEIISRAHHKHLVSVIGYCIAGTKRLIVYEFVPNNTLEFHLHGIGQPVLEWATRLKIAIGSAKGLAYLHEDCNPSIVHRDIKAANILLDHKFEAKVSDFGLARSFTDRTIINHISTQVVGTFGYLAPEYASCGRVTEKSDVYSYGIVLLELITGRPPISNINPLKREALVSWARPLLNQSLEYCNFEALVDPRLENNYNTCEMASMVACAAACVRHSSWLRPRMSQVTLSLTFFSKI >Manes.03G062600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7255237:7258917:-1 gene:Manes.03G062600.v8.1 transcript:Manes.03G062600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSGSPLLLPPGEHNINPPPLPSQSLADKASPPPPLSQITLFESSLPSSTTTAVGVELPPAHSVRPVAIAPLAFPEPVGAPIVSVTAPTPKATSIHTVPMKRRRRSVTSPPAASPSHPSTALSPLSTPLKPTAIAPSQPPSVRSRPPTIMPPPARPNRPPPSAFPLLPPSPPSSSMRSPPLLSLPLLNGSLPWSPKESHLSMGLIDGCVIGGVLLFLLLTLICICNKNRRGRKDFIQDEEHNYRTPSLETKDNSLSVQLVETFSQPPLSIGSRGSLSMYSGSEYPLLINNPCFSLGLSSGSFTYDELVAATDGFSETNLIGEGGFGYVHKGYIRNGQEVAIKQLKDGSRQGEREFRAEVEIISRAHHKHLVSVIGYCIAGTKRLIVYEFVPNNTLEFHLHGIGQPVLEWATRLKIAIGSAKGLAYLHEDCNPSIVHRDIKAANILLDHKFEAKVSDFGLARSFTDRTIINHISTQVVGTFGYLAPEYASCGRVTEKSDVYSYGIVLLELITGRPPISNINPLKREALVSWARPLLNQSLEYCNFEALVDPRLENNYNTCEMASMVACAAACVRHSSWLRPRMSQIVRALEGDISAMDVYEGTRPGHSTFYGSTTASIYDKFPYNQDIKKRNMELTTWWCGTSGYSGSTSEYGLNPSRSSSDTSSR >Manes.03G062600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7255335:7259232:-1 gene:Manes.03G062600.v8.1 transcript:Manes.03G062600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSGSPLLLPPGEHNINPPPLPSQSLADKASPPPPLSQITLFESSLPSSTTTAVGVELPPAHSVRPVAIAPLAFPEPVGAPIVSVTAPTPKATSIHTVPMKRRRRSVTSPPAASPSHPSTALSPLSTPLKPTAIAPSQPPSVRSRPPTIMPPPARPNRPPPSAFPLLPPSPPSSSMRSPPLLSLPLLNGSLPWSPKESHLSMGLIDGCVIGGVLLFLLLTLICICNKNRRGRKDFIQDEEHNYRTPSLETKDNSLSVQLVETFSQPPLSIGSRGSLSMYSGSEYPLLINNPCFSLGLSSGSFTYDELVAATDGFSETNLIGEGGFGYVHKGYIRNGQEVAIKQLKDGSRQGEREFRAEVEIISRAHHKHLVSVIGYCIAGTKRLIVYEFVPNNTLEFHLHGIGQPVLEWATRLKIAIGSAKGLAYLHEDCNPSIVHRDIKAANILLDHKFEAKVSDFGLARSFTDRTIINHISTQVVGTFGYLAPEYASCGRVTEKSDVYSYGIVLLELITGRPPISNINPLKREALVSWARPLLNQSLEYCNFEALVDPRLENNYNTCEMASMVACAAACVRHSSWLRPRMSQIVRALEGDISAMDVYEGTRPGHSTFYGSTTASIYDKFPYNQDIKKRNMELTTWWCGTSGYSGSTSEYGLNPSRSSSDTSSR >Manes.10G018500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1854043:1858908:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1854043:1858908:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKGQGMISFIHLAKNLNAAEFGVYEDVILDACCQNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1850713:1858839:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKGQGMISFIHLAKNLNAAEFGVYEDVILDACCQNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1854601:1858901:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1853999:1858907:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKGQGMISFIHLAKNLNAAEFGVYEDVILDACCQNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1854840:1858839:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKGQGMISFIHLAKNLNAAEFGVYEDVILDACCQNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1854840:1858839:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1853614:1858901:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKGQGMISFIHLAKNLNAAEFGVYEDVILDACCQNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1852602:1858839:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKGQGMISFIHLAKNLNAAEFGVYEDVILDACCQNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1854601:1858901:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKGQGMISFIHLAKNLNAAEFGVYEDVILDACCQNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.10G018500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1854041:1858839:-1 gene:Manes.10G018500.v8.1 transcript:Manes.10G018500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQPGVVIESHKMSNSLSVLHNHLTQLSDAILDLLSTVNYTPREGSNVSTKSMLESLLSSQNFKPNPEITETQFHNSIKDFALACALLSSSQTSTHELLLWIPNELSVMATEGFKEFSRMYFGSVCGSRNEKRVCELLGLDCGLLSEEKRLVVVLMPEVLPELKGSIKESCIDKGTDGDEVSAASARAPVGFAIVAACQCRWFVSQVDYPHLGKVSNLVIPCGLTALDHWSPEVKNIASADEIWYQVVEMSVLLVTCIQQSNPRSPWFERMLNEMLSHLERQPRNKDRRVAWLTFIEPLLHSVGLVLLAHFRRIFPLFFQWMHADDDETVLLVLKRVQTIIRLTWIRSTPYVERLVDELVVLYKEAALKKAREEIRKHVLEILVLLHHCKGLQFEATWEKHRDDPNLTTLSSSLREIYCE >Manes.18G073699.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6656021:6658147:-1 gene:Manes.18G073699.v8.1 transcript:Manes.18G073699.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSQGSMIPGGNPFGGLDLQGSIRVHQQAQHQHSVPHQQHPLHRQGSSVHPSIHEGFPLTMGTMHNSDQIISMTDYSKGDKGKNSASDDDEPSYTEDGADGHNDASRGRKGSPWQRVKWTDKMVRLLITAVSYIGEDATSDCGGGMRRKFAVLQKKGKWKSISKVMAERGHLVSPQQCEDKFNDLNKRYKKLNDVLGRGTSCQVVENPALLDVIDYLTEKEKEDVRKILSSKHLFYEEIH >Manes.08G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3043160:3049369:-1 gene:Manes.08G031600.v8.1 transcript:Manes.08G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSRASTRPPTAAAATPPPGPILPPLRRHLAFATMKPPFVPPDDYHQFTSPTTNRAATDREAEGIVVRSPMLKRKNGSDENGGDSSEWASNSGFGDVSNSSFQTPVSAKGGRTYNRSKASKGTRSGPHTPASNIDSPSPLTPAGSCRYDSSLGLLTKKFVNLIKRAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIRWKGVDASRPGEAEGDASLLQVEIEKLSMEEHRLDDQIREMQERLRELSEEENNQKWLFVTEEDIKSIPCFQNETLIAIKAPHGTTLEVPDPAEAVEYPQRRYRIILRSTMGPIDVYLVSQFEENFEEMNGEPSTSIPHVSSSGSNEIPGKVMINEQRIQKRDEPQVQQVNSFVDLDASQELTGGMLKIVPSDVDNDADYWLLSDADVSITDIWRTDSNVEWSDFEIPDVHTPRAQTPPSGIGEVPSGGNSARR >Manes.18G074500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6804061:6805619:-1 gene:Manes.18G074500.v8.1 transcript:Manes.18G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGAGTGGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGATE >Manes.14G039600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3405276:3405972:-1 gene:Manes.14G039600.v8.1 transcript:Manes.14G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSASWNKVAVDYFLHPSQNAAAGMRISPLPEEKELPTYDPIVEMANKEKRIKFAENAVHVIPFLLMLCAFTLWFFSNPDVGVGVKTDSIAARIEGHIKTNSHATQTRSLPIDLDAPRRTTDHKIGRRLTDISR >Manes.05G125600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22864793:22868990:-1 gene:Manes.05G125600.v8.1 transcript:Manes.05G125600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEAPGARELDQTPTWAVSAVCAAIVMISIVLEKVLHWIGEWFQERHKKALYEALEKVKAELMVLGFISLLLTFSQSYISQICIKEKYANTMLPCPRKVEHDEHKSPSVAEDHHRRLLWYDRRFLGGHSNVKGCKPGYVPLISVNGLHQLHIFIFFLAVFHVFYSAITMTLGRLKIRGWKEWEMENLKEHEAMNDPTRFRLTHETSFVKSHMSFWAKTPALSYIVCFFQQFFISVRKADYLTMRHGFVAVHLTPGSNFDFQKYIKRSLEDDFKVVVGISPLLWASVVLFLLCNVNGWQATFWFSLLPIVVILAVGTKLQAIITEMALEIQERHAVVQGIPLVEVSDRHFWFSWPQLVLYLIHFVLFQNAFELTYFLWIWYEFGLHSCFHENLTLIFLRVALGAGAQFLCSYITLPLYALVTQMGSTMKRSIFDEQTSKALKQWHMKAVKKTHDGKPEHTGTRALGGSPGDSPTHAHNGAQAGGDKVADVEADQTDITGDQDDPKNPYSQHDLRS >Manes.09G120800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32366966:32368998:1 gene:Manes.09G120800.v8.1 transcript:Manes.09G120800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIIEFRDLDLEESLSFSSCYDFNSSSSLVTFNNNNSEDDNESYIEIALEAADVSDGVENEDDCDDEMELRLSFSSSVLLPVETKAIDLYDSVTSCTSSLSSSSSSAFTLCSSSTDAESQRNQQVESELCSCIMPKSIRSKVEFPAVNRFVNSFTSSFRDSSEIDLGLGDSRSPHANPLDLMAGSTTKPSKITGAATTNINRGMMMKVLIKFRAMKLGTLIASILKAPQAKSSIDKDKVREETLWACNQSLMHKRRSLQEGRQGERPRVLELNLDTIRGALETMSIRSLGRRDRRRTKSYSGSTKSSPIHQRFPSETCKISSAVTDNSIQAAIAHCKK >Manes.09G120800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32366967:32369025:1 gene:Manes.09G120800.v8.1 transcript:Manes.09G120800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIIEFRDLDLEESLSFSSCYDFNSSSSLVTFNNNNSEDDNESYIEIALEAADVSDGVENEDDCDDEMELRLSFSSSVLLPVETKAIDLYDSVTSCTSSLSSSSSSAFTLCSSSTDAESQRNQQVESELCSCIMPKSIRSKVEFPAVNRFVNSFTSSFRDSSEIDLGLGDSRSPHANPLDLMAGSNSTTKPSKITGAATTNINRGMMMKVLIKFRAMKLGTLIASILKAPQAKSSIDKDKVREETLWACNQSLMHKRRSLQEGRQGERPRVLELNLDTIRGALETMSIRSLGRRDRRRTKSYSGSTKSSPIHQRFPSETCKISSAVTDNSIQAAIAHCKK >Manes.09G120800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32367159:32368884:1 gene:Manes.09G120800.v8.1 transcript:Manes.09G120800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIIEFRDLDLEESLSFSSCYDFNSSSSLVTFNNNNSEDDNESYIEIALEAADVSDGVENEDDCDDEMELRLSFSSSVLLPVETKAIDLYDSVTSCTSSLSSSSSSAFTLCSSSTDAESQRNQQVESELCSCIMPKSIRSKVEFPAVNRFVNSFTSSFRDSSEIDLGLGDSRSPHANPLDLMAGSNSTTKPSKITGAATTNINRGMMMKVLIKFRAMKLGTLIASILKAPQAKSSIDKDKVREETLWACNQSLMHKRRSLQEGRQGERPRVLELNLDTIRGALETMSIRSLGRRDRRRTKSYSGSTKSSPIHQRFPSETCKISSAVTDNSIQAAIAHCKK >Manes.10G056666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7324444:7325967:1 gene:Manes.10G056666.v8.1 transcript:Manes.10G056666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPNLTNIDRNLHDVSFSSFLSNSDDTFVRKLAESNRNVSAQDVEEHHYLGIKKKDGEIGIFDAEKYCNGCMDEDSPRLTSIIPKHLQPKKDEQLNDHMVPMKPNVHLETPSINSEFTWNSQIALLQSIQRKTSEAKTNKVHGKIGKNFLAVLGCKCSCSDKDSIDVDDDDEHIGEISFKRSSNAPMLQGKVISEEFTKDSLDLDDKPPSGSWVEEEDINCQNMEKLGIRMKKETYFKEVKQRKLSEVFGSPVHDKRSKSFRIGWKLSMFSWKEVPRMEEINYSAISGGVYNDNESDASSDLFEIESHIGKFTQFLARQGSNATSDCPSPTTGYALSEASIKWSVVTASTADFSVMSDYEELKPPITLPNPIKTFHTTVNAKFETSKETPRHRSSISFGCNSYKAIRVAGDAYKTKFSWI >Manes.06G097300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23146080:23156202:1 gene:Manes.06G097300.v8.1 transcript:Manes.06G097300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRNPTRSKQPPPLTPAAAAAVDEDENVTHLSLVKIETSITYESDSSYSKIKIECERALTALRRGNHTKALRIMKELCMRYGDNSPHAALIHRVQGTVCVKLASIIDDPNAKQRHLKNAIDSASRGAVLSPNSIEFAHFYANLLYEAANDGKEYEEVMKECDRALDILNPIDPAKESLQDESQQKITTAEARIAHVQSELRSLKQKSSIASISTWMKTLGTGEEIRLIPIRRATEDPMELRLLQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQKSESGLGHNEGERSEKGAEAPPGSDKRGERRKYGINVKKSGTNKERKDWVQLYWNSMSMEMKRDLLKIRVSDVKSYFGSSKDSLAFEVLNEALAFAEENKAWRFWMCCRCLEKFADSESHTRHVAQEHMGNLMPRMQAVLPHSVENKWIDMILNCAWKPLDVSSAVKMFESPGKCQDGDTVEDFFSGRHNEECDDYFKDARDSSPEKESSSNGCNDFTAGSSDADRVSSIECKECDRNQSTMPESIDSWPLSEDSERGKLLEKIHAVFEALIKCKCLAASHVNKVIQLTMDELQTLASGYQLLKHGVHQTPLCICFLGALQLRKILKFLQELSHSCGLGRYSEKNSTKDDVNSAQGPEIKEKVVLNGDALCLYLDDCLLPSESAPGTCIPDDMATAASTNVENEILPDVDALLSWIFAGLSSGEHLQSWLRMKEEKVNHGVEILQTLEKEFYHLQSLCERKCEHLSYEEALQALEDLCLEESKKREMDTLDCSCYEYALRKRRDDIAENENNALFVSSRIEVDVIANVLKEAEDLNGSQLGYENTHSSLNSQLCDLEFGEDNDSKTKDIVHQMNTCIQVVIQRQKHQLSVELSKIDARIIRIVTGMQQLELKLEPVSGHDYRSILLPLLKSYMRAHMEDLAEKDATEKSDAAREAFLAELALDSKKIARGSDNLRNTQEKAKDKKKNREYRKSKDPKAPFGNEQHLLHDETAEQSSFPVASHGDPPDSEIPLSVKSDDLKRQEEEHRLKIELEEEERKLEETLEYQRRIENEAKLKHLAEQQHKKSGRTLPEKVAGLPDNCLKCGADDVHGPLEHLTFKDGFPNDIEGIHMVDSAAVPIKPSISSAEVIIGTHNTNVKQVPSSEGTADDSLLASDWRTGRRSRRQKSSTRSFDGKFQPTSSEHNNVEVGINPTIGDNGTRALRQLQAEDDEERFQADLKQAVRQSLDTFQACQKTPLSSSLGMPENIPLEVDSSGVSPTEVPIENVNETDVVGTGLQNDVGEYNCFLNVIIQSLWHLRRFREEFLRKSTSEHVHVGEPCVACALYDIFTALSTASKDIRREAVAPTSLRIALSNLYPDSNFFQEAQMNDASEVLAVVFDCLHRAFTSGSSVFDSDSVESNGMGSWDCTNDACLVHSLFGMDIFERMNCYSCCLESRHLKYTSFFHNINASALRTMKTTSSENSFEELLNLVEMNHQLACDPEVGGCGKLNYIHHILSTTPHVFTTVLGWQNTCESAEDIAATLAALSTEIDISILYRGLDPKNMHRLVSVVCYYGQHYHCFAYSQDHERWIMYDDKTVKVIGSWADVLSVCEKGHLQPQVLFFEAVK >Manes.01G161500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34650905:34659519:-1 gene:Manes.01G161500.v8.1 transcript:Manes.01G161500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTKRKKKKHRPSRASNPSSNHAPHDGEQNPQQQHTNMVLSLMEAFDSISFEEASSACREGDGDVNKAAGILANLTDNLEDPSTSSVSSLDLGSGLNSSTAGSSLGSSDGYMEVNMSVVNRKGFRGNHKQKRVVAVTGTVSTVLGKEYINASPRRDSTKTKEFVNGVVVKEEAEQFLCSMLSDDCELSMAVVRDVLCQCGYDVEKALDVLLDLSASSYEQSRNSRYFNNPMNYKEDNACAAERNDNVTDKASDCTSRSTENEGNESIWSYDCRNYSEAPLTTPRGNESDLPQKVLESLFHISRSSEHEPGSMNWRNVVKKMQLLGSGVDVCPSSDAVSQHDTCAKGAEYHLFRQSAKQHWDSMRSYYQKAAVAYSKGEREYAAYLSDQGKLQTKLAQAADERASQDIFKARNKGIENIITIDLHGQHVKQAMKLLKLHLLFGTYVRSIQNLRVITGCGSHGVGKSKLKQAIIKLLEREGIRWSEENRGTVLIKLDGLKEYSFLDSDSDTEPL >Manes.01G161500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34651042:34659741:-1 gene:Manes.01G161500.v8.1 transcript:Manes.01G161500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTKRKKKKHRPSRASNPSSNHAPHDGEQNPQQQHTNMVLSLMEAFDSISFEEASSACREGDGDVNKAAGILANLTDNLEDPSTSSVSSLDLGSGLNSSTAGSSLGSSDGYMEVNMSVVNRKGFRGNHKQKRVVAVTGTVSTVLGKEYINASPRRDSTKTKEFVNGVVVKEEAEQFLCSMLSDDCELSMAVVRDVLCQCGYDVEKALDVLLDLSASSYEQSRNSRYFNNPMNYKEDNACAAERNDNVTDKASDCTSRSTENEGNESIWSYDCRNYSEAPLTTPRGNESDLPQKVLESLFHISRSSEHEPGSMNWRNVVKKMQLLGSGVDVCPSSDAVSQHDTCAKGAEYHLFRQSAKQHWDSMRSYYQKAAVAYSKGEREYAAYLSDQGKLQTKLAQAADERASQDIFKARNKGIENIITIDLHGQHVKQAMKLLKLHLLFGTYVRSIQNLRVITGCGSHGVGKSKLKQAIIKLLEREGIRWSEENRGTVLIKLDGLKEYSFLDSDSDTEPL >Manes.01G161500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34651042:34659741:-1 gene:Manes.01G161500.v8.1 transcript:Manes.01G161500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTKRKKKKHRPSRASNPSSNHAPHDGEQNPQQQHTNMVLSLMEAFDSISFEEASSACREGDGDVNKAAGILANLTDNLEDPSTSSVSSLDLGSGLNSSTAGSSLGSSDGYMEVNMSVVNRKGFRGNHKQKRVVAVTGTVSTVLGKEYINASPRRDSTKTKEFVNGVVVKEEAEQFLCSMLSDDCELSMAVVRDVLCQCGYDVEKALDVLLDLSASSYEQSRNSRYFNNPMNYKEDNACAAERNDNVTDKASDCTSRSTENEGNESIWSYDCRNYSEAPLTTPRGNESDLPQKVLESLFHISRSSEHEPGSMNWRNVVKKMQLLGSGVDVCPSSDAVSQHDTCAKGAEYHLFRQSAKQHWDSMRSYYQKAAVAYSKGEREYAAYLSDQGKLQTKLAQAADERASQDIFKARNKGIENIITIDLHGQHVKQAMKLLKLHLLFGTYVRSIQNLRVITGCGSHGVGKSKLKQADPLRLQNSRSLIFQQQ >Manes.01G161500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34650905:34659741:-1 gene:Manes.01G161500.v8.1 transcript:Manes.01G161500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTKRKKKKHRPSRASNPSSNHAPHDGEQNPQQQHTNMVLSLMEAFDSISFEEASSACREGDGDVNKAAGILANLTDNLEDPSTSSVSSLDLGSGLNSSTAGSSLGSSDGYMEVNMSVVNRKGFRGNHKQKRVVAVTGTVSTVLGKEYINASPRRDSTKTKEFVNGVVVKEEAEQFLCSMLSDDCELSMAVVRDVLCQCGYDVEKALDVLLDLSASSYEQSRNSRYFNNPMNYKEDNACAAERNDNVTDKASDCTSRSTENEGNESIWSYDCRNYSEAPLTTPRGNESDLPQKVLESLFHISRSSEHEPGSMNWRNVVKKMQLLGSGVDVCPSSDAVSQHDTCAKGAEYHLFRQSAKQHWDSMRSYYQKAAVAYSKGEREYAAYLSDQGKLQTKLAQAADERASQDIFKARNKGIENIITIDLHGQHVKQAMKLLKLHLLFGTYVRSIQNLRVITGCGSHGVGKSKLKQAVRFLS >Manes.01G161500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34651042:34659741:-1 gene:Manes.01G161500.v8.1 transcript:Manes.01G161500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTKRKKKKHRPSRASNPSSNHAPHDGEQNPQQQHTNMVLSLMEAFDSISFEEASSACREGDGDVNKAAGILANLTDNLEDPSTSSVSSLDLGSGLNSSTAGSSLGSSDGYMEVNMSVVNRKGFRGNHKQKRVVAVTGTVSTVLGKEYINASPRRDSTKTKEFVNGVVVKEEAEQFLCSMLSDDCELSMAVVRDVLCQCGYDVEKALDVLLDLSASSYEQSRNSRYFNNPMNYKEDNACAAERNDNVTDKASDCTSRSTENEGNESIWSYDCRNYSEAPLTTPRGNESDLPQKVLESLFHISRSSEHEPGSMNWRNVVKKMQLLGSGVDVCPSSDAVSQHDTCAKGAEYHLFRQSAKQHWDSMRSYYQKAAVAYSKGEREYAAYLSDQGKLQTKLAQAADERASQDIFKARNKGIENIITIDLHGQHVKQAMKLLKLHLLFGTYVRSIQNLRVITGCGSHGVGKSKLKQAIIKLLEREGIRWSEENRGTVLIKLDGLKEYSFLDSDSDTEPL >Manes.17G099000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30517988:30534932:-1 gene:Manes.17G099000.v8.1 transcript:Manes.17G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEIDFKDYKLSSELRGHEDDVRGICICGNAGIATSSRDRTVRFWSLDPSDMCKYTSSKILLGHSSFVGPLAWIPPNEQYPEGGIVSGGMDTLVLVWNLSTGEKVQVLRGHELQVTGIALDNEDIVSSSVDCTLRRWRKGQGIESWEGHKSAIQAVVKLSSGELVTGSSDKTLKLWKGRTCVHTFVGHTDTVRGLAEMHGLGILSASHDGSIRLWALTGQVLMEMVGHTSIVYSVDSHVSGLIVSGSEDCSAKMWKDGVCVQSIEHPGCVWDAKFLENGDIVTACSDGVVRVWTSHQDRIADPLDLESYVSQLSQYKLSRKRVGGLKLEDLPGLEALQIPGTTDGQTKVVREGDNGVAYAWNLREQKWDKIGEVVDGPDDGMKRPILDGIEYDYVFDVDIGDGEPIRKLPYNRSDNPYTVADKWLIKENLPLSYRQQIVDFILQNSGQKGIALDSSFRDPYTGANAYVPGQASNLPAVSAKPTFKHIPKKGMLVFDVAQFDGILKKIKEFNDAFLSEPDKRNLSLSELDISRLGAVIKILKDTSHYHNSKFADVDIALLLKLLKSWPVDMLFPVIDVLRMIVLHPDGASVLLKHVEDESDILMEVIKRVTINSPLPPNILTSMRAVTNLFKNSCYYNWLLRHRSEILDAFSSCYLSPNKNLQLSYSTLILNYAVLLIQKKDEEGQSQVLSAALEIAEEGNVEVDSKFRALVAIGSLMLDGLVKQIALDFDVGNIAKIAKASRDAKIAEVGADIELLIKQH >Manes.04G147100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443470:34449753:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTGEAVGVHDEL >Manes.04G147100.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443934:34446932:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTAVGVHDEL >Manes.04G147100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443498:34448565:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTDAKNLCKSTVTYRVNLSGRW >Manes.04G147100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34449717:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTAVGVHDEL >Manes.04G147100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34448303:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTDAKNLCKSTVTYRVNLSGRW >Manes.04G147100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443934:34446932:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTGEAVGVHDEL >Manes.04G147100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443470:34449753:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTDAKNLCKSTVTYRVNLSGRW >Manes.04G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443461:34449753:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTDAKNLCKSTVTYRVNLSGRW >Manes.04G147100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443467:34449414:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTGEAVGVHDEL >Manes.04G147100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34449347:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTDAKNLCKSTVTYRVNLSGRW >Manes.04G147100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34449347:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTGEAVGVHDEL >Manes.04G147100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34449345:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTAVGVHDEL >Manes.04G147100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34449347:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTDAKNLCKSTVTYRVNLSGRW >Manes.04G147100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443467:34449716:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTDAKNLCKSTVTYRVNLSGRW >Manes.04G147100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443498:34448525:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTGEAVGVHDEL >Manes.04G147100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34448434:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTGEAVGVHDEL >Manes.04G147100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34449347:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTAVGVHDEL >Manes.04G147100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34449347:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTGEAVGVHDEL >Manes.04G147100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34449347:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTAVGVHDEL >Manes.04G147100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34443500:34448434:1 gene:Manes.04G147100.v8.1 transcript:Manes.04G147100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRVIFSSSFFFFFLLVSLHFPTRSSSNGSTEEEETLAMVKPDGVLGNYTERIKNAVVESGFSIIRETVIQLDEHRASTFYVEHSSKSFFSSLVKYMTSGPVLVMILKKENAVADWRAIIGPTDARKAKITHPHSIRAMCGLNAEKNCVHGSDSLESARREISFFFGEISTDAKNLCKSTVTYRVNLSGRW >Manes.09G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7500547:7504541:1 gene:Manes.09G041300.v8.1 transcript:Manes.09G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGETFMEYLHGDNQSFLDKLSHVEYKRLKKVLKSCRACQSEQDQRDKDNSNLSHLCQCQSCPLCDQMFFTELMKEASDIAGCFRSRVRHLLHLHVARGMQRYVLRLRQCFKNDQQAMVEQGQMLIEYITMNAIAICKILKKYDKVHSSVNGKNFKLKMRAEHLELLWSPWLIELGAFYLNFNGSDGGELSEFCGHFSCDLNATEPVITLRLPNSAKLEYSLTCAICLETVFNPYALSCGHLFCKSCACSAASVLIFQGLKAATPDAKCAICREAGVYANSVHMLELDLLLKTRCKKYWKERMIAERAEMVKQIKEYQNLQAKYAIGY >Manes.18G003600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:744503:747914:-1 gene:Manes.18G003600.v8.1 transcript:Manes.18G003600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVAVLLITIFTISTFTIVSAVEDKCAACYAVADELEQGLSNNNLDMRDRLNSKGQREGKVIDYRVSELRVVELLDGLCEKMQEYTLQKMDSTTSWWVKTDYYLSDEARAYSKEISSYCGRLLEETEDELAELIKKGSVKVGGVRKVLCQDLSKHCVHSSYSHQSHEDDDEPDEEL >Manes.18G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:744503:747914:-1 gene:Manes.18G003600.v8.1 transcript:Manes.18G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVAVLLITIFTISTFTIVSAVEDKCAACYAVADELEQGLSNEKPKNNLDMRDRLNSKGQREGKVIDYRVSELRVVELLDGLCEKMQEYTLQKMDSTTSWWVKTDYYLSDEARAYSKEISSYCGRLLEETEDELAELIKKGSVKVGGVRKVLCQDLSKHCVHSSYSHQSHEDDDEPDEEL >Manes.12G123001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32890490:32905747:-1 gene:Manes.12G123001.v8.1 transcript:Manes.12G123001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQKLLSLLFSQLILVFLLLYLTNSQVLQLGFYDQSCPNAESTIHGVGCDGSVLLNSTSTNQAEKEALPNQTLRDFYVIDAIKSAVEKKWPGVVSCADILALAARDSVELVGGPSWVVPTGRKDGRISLVSEASAQLPSPFANIDQLKQHFAAKGLNVKDLVVLSGAHTIGIGRCSTLNNRLYNFTRKGDTDPSLDPAYAAELKTKCKPGDTNTVVDMDPGSSKLFDSNYYSVVARRRGMFQSDAALPNDIQTRVCHSSGFNKMNHFRS >Manes.08G059600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:6954399:6959825:-1 gene:Manes.08G059600.v8.1 transcript:Manes.08G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQSGVAKAWEATIRKTQAAKKRANSIFGSSSVAHAEDETEDDYDGSNGGEPYIAEKVLPNGDYYTGQWLDNFPCGQGKYIWTDGCMYIGEWDRGKKMGRGRFSWPSGAAYEGDFKTGYMDGSGVYTGPSGDTYKGQWVMNLKHGHGVKNFANGDVYDGEWRRGLQEGHGKYEWTNGNNYIGDWKNGTICGKGTFVWTNGNRYDGNWEDGVPKGNGTFKWPDGSFYVGNWSKDPSDQNGSYYPSGSSIEQNLEWNPQDVYEMYLSESQICPGEKLSILPSQKKLAVCASSKTDGDKNRRMSVDGRSSVGIEKPFDRMNLLDGEDGYVSDGKTMEADLLGLHVEESIPKCLPMKIPKIVKRQGDTISKGHKNYDLMLNLQLGIRHAVGRTPPVTSLDLKPSAFDPKEKVWTRFPSEGTKNTPPHQSPEFKWKDYCPLVFRTLRKLFKVDAADYMLSICGNEALRELSSPGKSGSFFYLTNDDKYMIKTMKKAEVKVLIRMLAAYYNHVRSFENTLVTKFFGLHCVKLTGATQKKVRFIIMGNLFCSEYIIHRRFDLKGSSLGRITDKPESEIESTTILKDLDLNFIFRLQKAWFQEFCRQVDRDCEFLEQERIMDYSLLVGLHFREVSTNGDLIPCATTICSGGEIENDSGPRLSRADLDQLLLDPARWARIRLGVNMPARVERTMRKSDCETQLIGEPTGEYYDVIMFFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPKQYSRRFRDFIFNIFTEETLEV >Manes.12G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35158227:35160739:1 gene:Manes.12G144700.v8.1 transcript:Manes.12G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSQAMLNQGLFEEQEMPTQMGFFSFAPHLNFPQLGSCHQSLKSFTIPHSLVADAPSTANLAETLISSAAAKQREDVTAYLGGPHLLSLQRSSANLWAWGEVNECLSSKRSGGDDHHLGVSAMKMKKIKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTLHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSHDLEDSQANSQLNNFFF >Manes.02G048300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3934570:3939174:-1 gene:Manes.02G048300.v8.1 transcript:Manes.02G048300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFAAQMVQEWQEAYMDYNYLKKILKEVLQFKQRNTPSSMPAAATSKGSLKRRVSLYRAFSGLTNRYRGSPRKNANEDEVILISAMEEEGGGEGRYQTMFMNASEEGGERELLFFKRLDDEFNKVVKFYKGKVDEVTAEAEDLNRQMDALIALRIKVENPGLAGANMGNLATNRVSLNTASIVHPINSSTRNPELSHMEVIQEVEMSTETNSDDDKKVSDAENSASSSQGKTTSNVERFRPASLEVLDHVKINVEPETPVSTMKNIIASSKSDLSYSKEELRKAEELMARAFIVFYQKLRLLKSYCFLNQLAFSKIMKKYDKITSRNASKAYLNMVDVSYLGSSEEVTKLMERVEAAFVKHFANGNHRKGINILRPKSKREKHRTTFLLGFFSGCSLALVIAVAVLIHARDVLNSPGGPTYMENIFPLYSLFGFIVLHMMLYAANIYFWKRHRINYAFIFGFKQGRELGYREVLLLGSGLAVLTLGGVLSNLDMEMDPRTSSFKAIIELIPLGILTLVLLIIFCPFNIIYRSSRFFLIQCAFHCLLAPLYKVVLPDFFLADQLTSQVQAFRNLEFYVCYYGFGDFKRRSNRCHESKVFESFYFVVAIIPYWIRFLQCLRRYFEERDSMQVYNSVKFFSTIIAVVVKTFYDLKRGMIWKILAAVTSGFATIISTYWDIVIDWGLLRQNSGNPWLRDKLVLPNKGVYFVAMGLNVVLRLAWMQTVLGFREAPVLHRTALTAIVACLEILRRGIWNFFRLENEHLNNVGKYRAFKSVPFPFYYDDDEDKSV >Manes.02G048300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3934570:3939174:-1 gene:Manes.02G048300.v8.1 transcript:Manes.02G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFAAQMVQEWQEAYMDYNYLKKILKEVLQFKQRNTPSSMPAAATSKGSLKRRVSLYRAFSGLTNRYRGSPRKNANEDEVILISAMEEEGGGEGRYQTMFMNASEEGGERELLFFKRLDDEFNKVVKFYKGKVDEVTAEAEDLNRQMDALIALRIKVENPGLAGANMGNLATNRVSLNTASIVHPINSSTRNPELSHMEVIQEVEMSTETNSDDDKKVSDAENSASSSQGKTTSNVERFRPASLEVLDHVKINVEPETPVSTMKNIIASSKSDLSYSKEELRKAEELMARAFIVFYQKLRLLKSYCFLNQLAFSKIMKKYDKITSRNASKAYLNMVDVSYLGSSEEVTKLMERVEAAFVKHFANGNHRKGINILRPKSKREKHRTTFLLVAVLIHARDVLNSPGGPTYMENIFPLYSLFGFIVLHMMLYAANIYFWKRHRINYAFIFGFKQGRELGYREVLLLGSGLAVLTLGGVLSNLDMEMDPRTSSFKAIIELIPLGILTLVLLIIFCPFNIIYRSSRFFLIQCAFHCLLAPLYKVVLPDFFLADQLTSQVQAFRNLEFYVCYYGFGDFKRRSNRCHESKVFESFYFVVAIIPYWIRFLQCLRRYFEERDSMQVYNSVKFFSTIIAVVVKTFYDLKRGMIWKILAAVTSGFATIISTYWDIVIDWGLLRQNSGNPWLRDKLVLPNKGVYFVAMGLNVVLRLAWMQTVLGFREAPVLHRTALTAIVACLEILRRGIWNFFRLENEHLNNVGKYRAFKSVPFPFYYDDDEDKSV >Manes.10G017800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1808284:1814049:1 gene:Manes.10G017800.v8.1 transcript:Manes.10G017800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRNQELGDDRPEQSSGDNFQDCSSVSRGADTSTVGSGAVSHGRSEIGLTERLANILVEDSDGDLLLQRSDREDRVLQWLQALDMQVMGACRADERLKPLLKLNASSGMAEDHLLAHLSQHFEPSEVGMLARCFCIPLVSIRVGKINKRGTLLCPTATRGNLNLTMLPTSDLRFSFIGDDGNTERLFTLSNKSQSPAVTVEEILADSSGRSLHIKIADGRDYYFWCSEKSKLLGIELVAKMKDILKSRPSIAELTGISKSRLDCFAVHLRSYLLGTRESVVCIPGSSSGTNHDLSDATSSISSKPLRSRHVGSQTLKANSSYQGSLSPRSSSFKDGPPRSFSSLKGAAAREKLKRRGDSHLSVVENLMIALPHDNDATTSNQSENEEQPVSKSYPMSASSFLESLGKLSISPSPISASHATFTSPPLVSPYYCWCPQGPTMQYPSVSPQLATSSIESPLLPPLSSLLSAARSSSLLTPTPSLSLADVPSMDFPALLPDPLIRLPIPGSQQIPTFTPLMCDPIVHIPVIDVCSSGQGYLVSAGPAMSSTIPPLHPKLVSPLIPETDSMVEKGARETLRLLISSSTQGNPQLMDVLPAMLASTDEKHGIHVTGSRGLYTGSSDVDASIETIGIGLGFVRHGDAKVLGSCDTVLEDGPSGLDGSCYDDNKTPKEGDI >Manes.10G017800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1808284:1814049:1 gene:Manes.10G017800.v8.1 transcript:Manes.10G017800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSDLRFSFIGDDGNTERLFTLSNKSQSPAVTVEEILADSSGRSLHIKIADGRDYYFWCSEKSKLLGIELVAKMKDILKSRPSIAELTGISKSRLDCFAVHLRSYLLGTRESVVCIPGSSSGTNHDLSDATSSISSKPLRSRHVGSQTLKANSSYQGSLSPRSSSFKDGPPRSFSSLKGAAAREKLKRRGDSHLSVVENLMIALPHDNDATTSNQSENEEQPVSKSYPMSASSFLESLGKLSISPSPISASHATFTSPPLVSPYYCWCPQGPTMQYPSVSPQLATSSIESPLLPPLSSLLSAARSSSLLTPTPSLSLADVPSMDFPALLPDPLIRLPIPGSQQIPTFTPLMCDPIVHIPVIDVCSSGQGYLVSAGPAMSSTIPPLHPKLVSPLIPETDSMVEKGARETLRLLISSSTQGNPQLMDVLPAMLASTDEKHGIHVTGSRGLYTGSSDVDASIETIGIGLGFVRHGDAKVLGSCDTVLEDGPSGLDGSCYDDNKTPKEGDI >Manes.10G017800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:1808284:1814049:1 gene:Manes.10G017800.v8.1 transcript:Manes.10G017800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDILKSRPSIAELTGISKSRLDCFAVHLRSYLLGTRESVVCIPGSSSGTNHDLSDATSSISSKPLRSRHVGSQTLKANSSYQGSLSPRSSSFKDGPPRSFSSLKGAAAREKLKRRGDSHLSVVENLMIALPHDNDATTSNQSENEEQPVSKSYPMSASSFLESLGKLSISPSPISASHATFTSPPLVSPYYCWCPQGPTMQYPSVSPQLATSSIESPLLPPLSSLLSAARSSSLLTPTPSLSLADVPSMDFPALLPDPLIRLPIPGSQQIPTFTPLMCDPIVHIPVIDVCSSGQGYLVSAGPAMSSTIPPLHPKLVSPLIPETDSMVEKGARETLRLLISSSTQGNPQLMDVLPAMLASTDEKHGIHVTGSRGLYTGSSDVDASIETIGIGLGFVRHGDAKVLGSCDTVLEDGPSGLDGSCYDDNKTPKEGDI >Manes.06G109351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24125223:24125663:-1 gene:Manes.06G109351.v8.1 transcript:Manes.06G109351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLIPQEVKDKASKDNVPIIDVTQHGYFKVLGKGALPENKPIVVKAKLVSKIAEKKIKENGGAVVLTA >Manes.04G051544.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:16884135:16884713:1 gene:Manes.04G051544.v8.1 transcript:Manes.04G051544.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFISFHFIHFLSPLLSSFLHFPLILFSSLISLHFIHFFSPHSFIFPLSYFLFSIIFFHFLFNFLSFLIFLLSLNFCFIFFIFSHIFFLSFSSIFFHFFPFSSIFFIFSSTFYHFPFLFLSLFIHLLSFLSYIYSNFFHSFFSISSFSIYFSLFFLHLSLIFFFFLFFLPFFSFSLISHFSLFSLHFYHYFLLF >Manes.13G073200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10767459:10768542:-1 gene:Manes.13G073200.v8.1 transcript:Manes.13G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGKLTANSSRQPELIQPEPTTPRVLTILSTVIEKLVARNDRIVDGMSSGLTRLGKSLNAFHGVRAPSISIQKYLERLYKYTSCSPSCFVVGYVYIDRLLHKHPDSLVVSLNVHRLLVTSVLVASKMLDDEHHNNAFYARVGGVSNAELNKLEIEFLFLLDFGVMVSSRIFENYCLHLEKEMLLNGTLQKIERPIPSNSLDDVTEISVDDTHNSTSPSQVVD >Manes.08G160200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39601735:39605950:-1 gene:Manes.08G160200.v8.1 transcript:Manes.08G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQLLLQHQQQQFLLLQQLQKQAQQQQQHQQAGAIARFPSNIDAHLRPPGLHRPLNLQQQNPNPNSNPHLQQQGSNLGPNTQQLQHSEQQQQQQQKQQQQQGIRPPVNQVELQMAYQDAWRVCHPDVKRPFSSLEDACERLLPYHVVADYEAEEDDRILDSDTTGQIPSRIQQWDFNIAAKVAEFTGTFEKQALAFNIISRKRALGEFRSEERLMIEQILLQEEKRQLFELKTEMEKAGREAQLRMAAIAQAEQGRAEPHGHSEMMSRAPIRTSALGSQGNNVRISHDMGEQEHGGNPDQMMNGWGNNAPKDEKEPSEDFLNDEETENGETDAQGKWREVGEFDLNSR >Manes.17G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24477608:24492643:-1 gene:Manes.17G047800.v8.1 transcript:Manes.17G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPETVDFARNFAVMVRVQGPDPKGLKMRKHAFHQYNSGKTTLSASGMLLPNTFYEAGLAKRILGSSCKEGQALALVVTVASIVESFLSPNQRDGISQGRPEIIPGAQIDVMLEEKLCLERDAEGSLDKGASSWFTAELIRLVDIPLSSLAVQSLIESSSGTLDHGWEVGWSLASYDSGPRNFMGVTQTQIEDGNISFIEGQRHLGMGESGNTSLMSKKTTRIAILGVFLSLKVLPNIEIPPSSRRGVSLLAVGSPFGVLSPVHFFNSLSVGSIANCYPARSSNVSLLMADIRCLPGMEGGPVFGDNAHFIGILIRPLRQKSSGAEIQLVIPWEAIATACSDLLLKEPQNAEKGTHINKENLNAVGNACSHESDGPLCFEYEPLKTYCPSSLPVEKVKASVCLITIDEGVWASGVLLNDRGLILTNAHLLEPWRFGKTTASGGRNGTNSEAVFLLPEESSFHGYSHVDNHKKSLRFQPEAVKRMNSLVLDHSKGYQLNLSYKGHRNIRVRLDHVNPWIWCDAKVVYVCKGPLDVALLQLEYVPDQLCPIKMDFACPILGSKVYVIGHGLFGPRCGFSPSVCSGVVAKIVKAEAPPYYGHKADSHIPAMLETTAAVHPGGSGGAVINSEGHMIGLITSNARHGGGTVIPHLNFSIPCALLAPIFEFARDMQDISLLVNLDLPNQHLSSVWALMPSLSPKPSPHLLNLPFSLVEDNDKQEKGSKFAKFIAERNKDFGSPTQLGKVKKTSSGIIPSKL >Manes.17G096600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30400462:30401358:1 gene:Manes.17G096600.v8.1 transcript:Manes.17G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNEELKSYTYPCPCGDLFQITKEDLRLGEEIARCPSCSLYITVIYNIEDFIGSDDKSKNKKNLEPAKQQPVVVA >Manes.11G139300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEQEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLREGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRQENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEQEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLREGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRQENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRQENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEQEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRQENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEQEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRQENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRQENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEQEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRQENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRQENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.11G139300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30482297:30487525:1 gene:Manes.11G139300.v8.1 transcript:Manes.11G139300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAESSESIQNSDSVALMDKYQYQYPEGSGTSNSSIVNADVSSNDDSCSTRACTGDASNSSKNNTSSMFTFNFDILKVGGGGGGGNENETVAAVTKEFFPLSNGKGVAGDYGNFFGQGSSKNWIDLSFERRHDIGDGEVRKVQPPLQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDLKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEMMSEASNEGSEHNLDLNLGISPSLDDGPRENEGHLQFHLGPYDMDRKSLRENPTALMVGDLPFKGPVTTDQPTSRNNVYASFFPNEQEGAPDKRIELGSSQGLPNWAWQMHGHVTATPMTMFSTAASSGFSFSATPPSAATLPSKTLNQAAQNLCFTPPATMTPSSSHFYYPVKPPRAPP >Manes.08G133100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37015377:37021704:-1 gene:Manes.08G133100.v8.1 transcript:Manes.08G133100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARNFHVRCGDSDFDVNYDTDDGFEVFKFQLFSLTSIPPDEQKIIGGDGDRVVLDDSDLVSICNKLKLVSIHEEDKSRQQESTSSTGSVAQDNANFMISDEELARRLQAEEEALMLQQFAVSEQREQFEQRIQPYISQVLMYEDPVRQEAARKTVPVEELEEKALISLAKEGNFKPSKIEQDHAFLLQLLFWFKESFRWVNAPPCDSCGNNTINQGMGVAFPSESQYGANRVELYRCNSCPRITRFPRYNDPLKLVETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSHLLGRWMHLDPCEGAYDKPLLYEKGWGKKLNYLIAIAKDGVYDVTKRYTRKWLEVLSRRTIITEPDLSATLTNMTKNCRRSFTSQVCSMLEDREKNELEELEKGLQSTYDASISLPGRQSGDKEWRMSRSEIGSNEDSSFSCSSCPVRLCVDVHVTSIYNAFSPVLSQFIENSVSNSRAVEILKILKEILVELKSSPYKTRKTSINPFVLHMLPYFDQLLNALSLKSEIDTDEKVNICLAGDPVKTSLALPVVLDALDDVIINLEKCGSLSKASLSLPLVRLNRIHSGSVLASGEEIPLGIATSAFDGLRTTKWEEPNGAKGCWILYKGPDNQMHELVAYDLMSANDAPERDPMDWVLEGSDDGGSSWQILDKQNSQVFENRFQRKLFKVRSEGFFCNAFRFRFLAVKDVQSTSRLQLGSIDLYSSNLIG >Manes.15G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10523080:10525783:1 gene:Manes.15G131600.v8.1 transcript:Manes.15G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKNFFQNLVKPFKLSSSREGPSEEDLDQIAAQEQKHFAFDALVSATRDFHPTQKLGEGGFGPVYRGKLADGREIAVKKLSHSSNQGKKEFMNEAKLLARVQHRNIVNLLGYCVYGMEKLLVYEYVANESLDKFLFKSNRKEQLDWKRRYDIITGIARGLLYLHEDSHNCIIHRDIKASNILLDDKWVPKIADFGMARLFPEDQSHVNTRVAGTNGYMAPEYVMHGHLSVKADVFSFGVVVLELISGQRNSAFRQSVDAQNLLDWVYKLYKRDRSLEAMDPTLASSAANDQVKLCIHIGLLCTQGDPQLRPNMRRVVIMLSKRPGNLEEPTRPGIPGSRYRRSRRPPGMFSTAGTSGDSDSRTSDYSLNTNTATASTSTRTSPRLDPHGKRPMES >Manes.02G056600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4460130:4464477:1 gene:Manes.02G056600.v8.1 transcript:Manes.02G056600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPISEEDPTLSVVRFTSELAWADAGPEVAERQVSRLCVEAQECMVMGRWLDLASLMLTSADLIFSNSKVSEKDLECIFTVICDLVSKSGSPDEALEMAKLICGKIILQPTDKPALRLKILFNLYNLLEDAYSRFYVYMRALNLAVSGKVMEHIIPSFKTIDSFLKEWNLDVKDQRDLFLGISNVLRENKSSGKDSFKFLTKYLATFSGEDAYAMAEAKEEAVRTIIVFVKAPDIFQCDLLGMPAVEQLEKDAKYALVYQLLNIFLTQRLDAYLEFHAANSALLKSYGLVHEDCISKMRLMSLVDLASDGSGRIQYNLIQDTLRINNDEVELWVVKAITARLINCKMDQMNQVVLVSTCIDRVFRQHHWLKLRAKLATWRGNISNVIDTIQANKITEDGSLAMQGLMIR >Manes.04G122700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32416519:32419448:-1 gene:Manes.04G122700.v8.1 transcript:Manes.04G122700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALEIKKEFTQLSERVKSVDLHPTQPWILASLYSGTVCILNYQSQTMEKSVKVTESPVRSAKFIARKNWIVTGSDDKFIRVYDQDTMEMIKEFEAHTDYIRCVTVHPSLPCILSSSDDMLIKMWDWEKGWDCTQTFEGHSHYVMQSAFNPKDSNVFASASLDETVKIWNLNSPAPISTLDGHAKGVNCVDYFINNEKLYLLSGSDDFTVKVWDYESKTCMQTLESHTNNVCAVCVHPEFPIIITCSEDGTICVWDANTCRLENTLNYGLERVWTVGCMKGSHQVAFGCDNGTIVVRVSGSN >Manes.04G122700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32416519:32419448:-1 gene:Manes.04G122700.v8.1 transcript:Manes.04G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALALEIKKEFTQLSERVKSVDLHPTQPWILASLYSGTVCILNYQSQTMEKSVKVTESPVRSAKFIARKNWIVTGSDDKFIRVYDQDTMEMIKEFEAHTDYIRCVTVHPSLPCILSSSDDMLIKMWDWEKGWDCTQTFEGHSHYVMQSAFNPKDSNVFASASLDETVKIWNLNSPAPISTLDGHAKGVNCVDYFINNEKLYLLSGSDDFTVKVWDYESKTCMQTLESHTNNVCAVCVHPEFPIIITCSEDGTICVWDANTCRLENTLNYGLERVWTVGCMKGSHQVAFGCDNGTIVVRVSGSN >Manes.08G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1263295:1271348:1 gene:Manes.08G010700.v8.1 transcript:Manes.08G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILSSVHILHPRLSLYVKPLFLCKFTLLRQSLGINPRILLSVASALPPTEDGGSCGRSGSLSAPPRVIEEAVQKIDVNPPKGTRDFPPEEMRLRNWLFHNFREVSRLYGFEEVDFPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVPLPLKWFAVGQCWRYERMTRGRRREHYQWNMDIIGVPEVTAEAELISSIVTFFKRIGITASDVGFKVSSRKVLQEVLKCYSISENLFGKVCIIIDKIDKIPIDEIKKDLKSVGMSEDAVEELLQVLSIKSLTKLEEILGGAGEALSDLKQLFFLAEKFGYSEWIQFDASVVRGLAYYTGVVFEGFDREGKLRAICGGGRYDRLLSTFGGDDIPACGFGFGDAVIVELLKEKGILPEVSLQVENIVCALDPDLQGVAATVATLLRDKGQSVDLVLENKPLKWVFKRAARINAQRLILVGNTEWQKGMVGVKILSSGEQYEVKLDELE >Manes.01G117300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31434533:31439816:1 gene:Manes.01G117300.v8.1 transcript:Manes.01G117300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRLQPTTAFAASAACALSSTVKNHLGFTLRLPRNNSGFRRHSVTRRNLSVFAMSAASDPLEVCVKASLTVSNRLGDCPFCQRVLLTMEEKHLPYDMKLVDLANKPEWFLKLSPEGKVPVIKLEDKWVPDSDVIAQSLEEKFPDPPLGTPPEKASVGSKIFSTFIGFLKSKDASDGTEQALLNELSALNDYIKTNGPFINGEKVSASDLSLGPKLYHLEIALGHYKQWSVPESLPHVKSYMKAIFTLDSFVKTRALPEDVIAGWRPKVMG >Manes.12G056500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5851453:5854900:-1 gene:Manes.12G056500.v8.1 transcript:Manes.12G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGVCGHYHKYEEGEVCGICGHRMPESAEKSPLHFSAFPSEILPEFLYLGSYDNASRSELLKTQGISRVLNTVPACQNLYKNSFTYHCLQDDKTLQFDDANQFLGQCEKDKARVLVHCMSGKNRSPAIVIAYLMKSKGWRLAQSYQWVKERRPAVDLTQAVYQQLQEYEQKIFGSADGNNPSVPVFPHAGVPSFSFGFPKVNDPVPVLVPAFNSIGTTSIFTRSLEIPPQEFQFGAGRPQHNLSESPFTVNPQNPNGGDIPMDS >Manes.18G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3850552:3856437:1 gene:Manes.18G043500.v8.1 transcript:Manes.18G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFYYCFLLFLILRFFSKHLLHINKNLPPSPGPSLPIIGHLHLFKKPLHRTFADLSDKYGPVLYLKFGSRPVILVSSPDAAEECFTKNDIIFANRPRLLAGKHLGYGYTTLVWASYGDHWRNLRRIASLELLSSNRLQMFYNIRLEEVRSLVRWLFRQSKDGEFVTVDMKSMFFKLTLNVMMRMIAGKRYYGESLTELDEERRFKEIVTETFELSGATNIGDFLPVMKWIGLNKMGKRLEDLQRKRDGLMQELIEEHRRSKGNSASAKKDKTMIDVLLGLQENEPQYYTDDIIRGMMQVLLSAGSDTSAGTMEWALSLLLNNPEALVKARAEIVKNTGETKFIEESDLSELLYLQGIINETLRMRPAAPLLVPHESSEECTVGGFHVPQGTMLLVNMFAIQNDAKFWEEPTKFKPERFQALEAKKEKGYILLPFGAGRRGCPGEGLAMRMVGLALGTLLQCFEWERVGEEMVDMKEGSGLTLPKAQPLLAKCRPCPTMVNLLSQS >Manes.11G068256.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15766390:15766691:-1 gene:Manes.11G068256.v8.1 transcript:Manes.11G068256.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPLVLRAKQIFQRSSPTANQTASAAMDVPKGYLAVYPSFQDLLIRAEEEFGYDHPMGSLTIPCSENIFTDVISCLNRS >Manes.15G057800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4439111:4446012:-1 gene:Manes.15G057800.v8.1 transcript:Manes.15G057800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGGGGGGGGPARVTIPETVLKTIQSIREITGKQHSDEDIYSVLRDCSMDPNDTAQKLLYLDTFHEVKRKHEKRDRRKEMSSTQGRGARGGRGNNSANHAYSDAVGGRNASFRRENGVNHMKERRPSASLPVVHKTNSSAAVTGTKASTVSPNGPSSLSSGSSSPGLGQQSPESIVDFTKDSSDADVKKPESPLCLPGVPKATPNQVAEPVIQVQQGKPTSNLNDLPNPTISSSVSGVYSSESNPVLEPSMTQDPSAAGGIKQEVENQGRAAGQYHMQCNKLVSYDDPSELPKNETATSSIINSVYRNKLPGKLKAAEKELSETLQPSLSDRDNFLPVGHSSCYSNSSQESILPQTVVSSNDVQADDSSCLLPEQTVPNGHVTFPNHFKVPEALKTGLTFGSFDTNSGLGTEFGNSNGCGISGTHAIESSCGNDETAREPSSNQSISSTTQVDNSDQPESPQPSFEKVTNSEDSFVSTANSKSDKAMQETVLLPEGNQNPIVQIAPNYGLGIMPAMQGGHLLQFEGHEIQARDMSHLSGYVSENPTTSSSPSPTPPVQNSLAASPHQILFRPPYPPSYIPYGHYFNPYFLPPMHQFLSHNGLSQQLSTGNTFLTPAPGMKFPLPQFNPGTSTGNPAPIGIQPLYGAYASSPIGFNPASGVTSGCSDCNNDLSTSQLKESQNYTTGPLVGHGPFPGIYPPVQTIAAASTLNPLLQQSQAGSATVETVGLGHPSGAYQQTQLAQINWNSNY >Manes.15G057800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4439111:4446012:-1 gene:Manes.15G057800.v8.1 transcript:Manes.15G057800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGGGGGGGGPARVTIPETVLKTIQSIREITGKQHSDEDIYSVLRDCSMDPNDTAQKLLYLDTFHEVKRKHEKRDRRKEMSSTQGRGARGGRGNNSANHAYSDAVGGRNASFRRENGVNHMKERRPSASLPVVHKTNSSAAVTGTKASTVSPNGPSSLSSGSSSPGLGQQSPESIVDFTKDSSDADVKKPESPLCLPGVPKATPNQVAEPVIQVQQGKPTSNLNDLPNPTISSSVSGVYSSESNPVLEPSMTQDPSAAGGIKQEVENQGRAAGQYHMQCNKLVSYDDPSELPKNETATSSIINSVYRNKLPGKLKAAEKELSETLQPSLSDRDNFLPVGHSSCYSNSSQESILPQTAVVSSNDVQADDSSCLLPEQTVPNGHVTFPNHFKVPEALKTGLTFGSFDTNSGLGTEFGNSNGCGISGTHAIESSCGNDETAREPSSNQSISSTTQVDNSDQPESPQPSFEKVTNSEDSFVSTANSKSDKAMQETVLLPEGNQNPIVQIAPNYGLGIMPAMQGGHLLQFEGHEIQARDMSHLSGYVSENPTTSSSPSPTPPVQNSLAASPHQILFRPPYPPSYIPYGHYFNPYFLPPMHQFLSHNGLSQQLSTGNTFLTPAPGMKFPLPQFNPGTSTGNPAPIGIQPLYGAYASSPIGFNPASGVTSGCSDCNNDLSTSQLKESQNYTTGPLVGHGPFPGIYPPVQTIAAASTLNPLLQQSQAGSATVETVGLGHPSGAYQQTQLAQINWNSNY >Manes.15G057800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4439111:4446012:-1 gene:Manes.15G057800.v8.1 transcript:Manes.15G057800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGGGGGGGGPARVTIPETVLKTIQSIREITGKQHSDEDIYSVLRDCSMDPNDTAQKLLYLDTFHEVKRKHEKRDRRKEMSSTQGRGARGGRGNNSANHAYSDAVGGRNASFRRENGVNHMKERRPSASLPVVHKTNSSAAVTGTKASTVSPNGPSSLSSGSSSPGLGQQSPESIVDFTKDSSDADVKKPESPLCLPGVPKATPNQVAEPVIQVQQGKPTSNLNDLPNPTISSSVSGVYSSESNPVLEPSMTQDPSAAGGIKQEVENQGRAAGQYHMQCNKLVSYDDPSELPKNETATSSIINSVYRNKLPGKLKAAEKELSETLQPSLSDRDNFLPVGHSSCYSNSSQESILPQTVVSSNDVQADDSSCLLPEQTVPNGHVTFPNHFKVPEALKTGLTFGSFDTNSGLGTEFGNSNGCGISGTHAIESSCGNDETAREPSSNQSISSTTQVDNSDQPESPQPSFEKVTNSEDSFVSTANSKSDKAMQETVLLPEGNQNPIVQIAPNYGLGIMPAMQGGHLLQFEGHEIQARDMSHLSGYVSENPTTSSSPSPTPPVQNSLAASPHQILFRPPYPPSYIPYGHYFNPYFLPPMHQFLSHNGLSQQLSTGNTFLTPAPGMKFPLPQFNPGTSTGNPAPIGIQPLYGAYASSPIGFNPASGVTSGCSDCNNDLSTSQLKESQNYTTGPLSDVSSWIPPSGQEVSSLHLNSLYHLSPQGQHLTFSPAQVGHGPFPGIYPPVQTIAAASTLNPLLQQSQAGSATVETVGLGHPSGAYQQTQLAQINWNSNY >Manes.15G057800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4439111:4446012:-1 gene:Manes.15G057800.v8.1 transcript:Manes.15G057800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGGGGGGGGPARVTIPETVLKTIQSIREITGKQHSDEDIYSVLRDCSMDPNDTAQKLLYLDTFHEVKRKHEKRDRRKEMSSTQGRGARGGRGNNSANHAYSDAVGGRNASFRRENGVNHMKERRPSASLPVVHKTNSSAAVTGTKASTVSPNGPSSLSSGSSSPGLGQQSPESIVDFTKDSSDADVKKPESPLCLPGVPKATPNQVAEPVIQVQQGKPTSNLNDLPNPTISSSVSGVYSSESNPVLEPSMTQDPSAAGGIKQEVENQGRAAGQYHMQCNKLVSYDDPSELPKNETATSSIINSVYRNKLPGKLKAAEKELSETLQPSLSDRDNFLPVGHSSCYSNSSQESILPQTAVVSSNDVQADDSSCLLPEQTVPNGHVTFPNHFKVPEALKTGLTFGSFDTNSGLGTEFGNSNGCGISGTHAIESSCGNDETAREPSSNQSISSTTQVDNSDQPESPQPSFEKVTNSEDSFVSTANSKSDKAMQETVLLPEGNQNPIVQIAPNYGLGIMPAMQGGHLLQFEGHEIQARDMSHLSGYVSENPTTSSSPSPTPPVQNSLAASPHQILFRPPYPPSYIPYGHYFNPYFLPPMHQFLSHNGLSQQLSTGNTFLTPAPGMKFPLPQFNPGTSTGNPAPIGIQPLYGAYASSPIGFNPASGVTSGCSDCNNDLSTSQLKESQNYTTGPLSDVSSWIPPSGQEVSSLHLNSLYHLSPQGQHLTFSPAQVGHGPFPGIYPPVQTIAAASTLNPLLQQSQAGSATVETVGLGHPSGAYQQTQLAQINWNSNY >Manes.18G124600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:24097611:24101612:-1 gene:Manes.18G124600.v8.1 transcript:Manes.18G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPEVPVILVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGATVYIECSSKTQQNVKAVFDATIKVVLQPTK >Manes.18G035350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2923769:2927467:1 gene:Manes.18G035350.v8.1 transcript:Manes.18G035350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVTLTYSGHVAQNIASCAGIRAGNFRSFHACWVRSRIFASPTVQNSDLEPPAPRTRDFQSGCRRTNQKLNSWNKSSVSNYGTVSGEIFGDNCRNPIAVGLVSLMKSTAGISASSSCTGILGISPLKASSILPFIQGSRWLPCNESASEPKSSDVDKGGTVSCVSNDCNTVTLKVSGKEFAKSGSWLTKVFSFCSEDAKAIFTAAAVSLLFRSALAEPRSIPSNSMCPTLDVGDRILAEKVSYVFRKPEVSDIVIFRAPPILQEIGYSSGDVFIKRIVATAGDIVEVHEGKLYVNGVVQDEDFILEPLAYEMEPVLIPEDYVFVMGDNRNNSFDSHNWGPLPVENIVGRSVFRYWPPSKVSTTIYGSYAEKNTASIS >Manes.10G051200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5684230:5689776:1 gene:Manes.10G051200.v8.1 transcript:Manes.10G051200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEENRIFVGGLSWDVTERQLENAFNRYGKIIECQVMLERDTGRPRGFGFITFADRRAMDDAIREMHGREFGDRVISVNKAQPKMGGDDLDHGYRGSYSTSGGRGGGYGGDRPLGQDECFKCGRLGHWARDCPSSGGRGAGGGSFSSRSRFPAGDRSDRFGSDRDRYMDDRYDGGRYGDRDRFDARDKYGNRDRYANDRYPPSGDRFGSERYGGSDRYAHNGYGKDRAYDRDGIGRGGNDRYASGGPARNDRGYRNRPVPYDRPSRGGHPSSFERY >Manes.10G051200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5684230:5689776:1 gene:Manes.10G051200.v8.1 transcript:Manes.10G051200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVPRVKEPENPAFSIQSLKSRKHKICLVMVMLERDTGRPRGFGFITFADRRAMDDAIREMHGREFGDRVISVNKAQPKMGGDDLDHGYRGSYSTSGGRGGGYGGDRPLGQDECFKCGRLGHWARDCPSSGGRGAGGGSFSSRSRFPAGDRSDRFGSDRDRYMDDRYDGGRYGDRDRFDARDKYGNRDRYANDRYPPSGDRFGSERYGGSDRYAHNGYGKDRAYDRDGIGRGGNDRYASGGPARNDRGYRNRPVPYDRPSRGGHPSSFERY >Manes.10G051200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5686722:5689776:1 gene:Manes.10G051200.v8.1 transcript:Manes.10G051200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMLERDTGRPRGFGFITFADRRAMDDAIREMHGREFGDRVISVNKAQPKMGGDDLDHGYRGSYSTSGGRGGGYGGDRPLGQDECFKCGRLGHWARDCPSSGGRGAGGGSFSSRSRFPAGDRSDRFGSDRDRYMDDRYDGGRYGDRDRFDARDKYGNRDRYANDRYPPSGDRFGSERYGGSDRYAHNGYGKDRAYDRDGIGRGGNDRYASGGPARNDRGYRNRPVPYDRPSRGGHPSSFERY >Manes.10G051200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5684230:5689776:1 gene:Manes.10G051200.v8.1 transcript:Manes.10G051200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFVPRVKEPENPAFSIQSLKSRKHKICLVMVMLERDTGRPRGFGFITFADRRAMDDAIREMHGREFGDRVISVNKAQPKMGGDDLDHGYRGSYSTSGGRGGGYGGDRPLGQDECFKCGRLGHWARDCPSSGGRGAGGGSFSSRSRFPAGDRSDRFGSDRDRYMDDRYDGGRYGDRDRFDARDKYGNRDRYANDRYPPSGDRFGSERYGGSDRYAHNGYGKDRAYDRDGIGRGGNDRYASGGPARNDRGYRNRPVPYDRPSRGGHPSSFERY >Manes.11G025000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2513037:2517481:-1 gene:Manes.11G025000.v8.1 transcript:Manes.11G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDYEQNRTQFEDNIVAYNGAEEEDDYYDDTQRTQQMGGAGHARKLSLNHHNRDSSSSFPGKLFVGGVSWETTEETFMNYFSKYGEITDSVIMTDRHSGRPRGFGFVTFADPAVADRVLEEDHLIDGREVEVKRTVPREGMEVKGVVRAKKIFVGGIPAALAEDELKEYFSMYGNIVEHQIMLDHKTGRSRGFGFVTFDNEDSVEHIFSEGRTHELGGKRVEIKKAVPKRNGGDYGSATARPHSGFSNGGGHSSGELYDEKVARGYGMYNGYGYNGYGGYVAYGSSNGFYGGYGYGFGFGGPMMFGNGGYGGIGYGTPSGYGAAAGFGGGKVYGRNIDTDSFGTGKGYGNRIDGALHGGHGSSKGYGGSENGGSAVTARYHPYQK >Manes.17G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27919733:27920484:1 gene:Manes.17G079000.v8.1 transcript:Manes.17G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVGKLEGVVEIDAPAVEFHDVFSCRPHHVPNMTPDRIHGCDLHEGEWGKEGTIVLWNYSHDGSRKVAKELIENIDDVNLSTTYKVIEGDILKEYKSIKGTVQATPKEKGSLVRWTLEYEKLNENIPDPHTLLEFLIHCSKDISAHLMECQKK >Manes.02G006500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:830803:834896:1 gene:Manes.02G006500.v8.1 transcript:Manes.02G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVSVKTPPEAPPLRISVPEIQPQPQSQSQPRPDPAVPRTPNKKPPSPSPSRSKPSPTRSSKKPPPETPNPLLLSDASLDNPDLGPFLLKVARDTIASGEGPGKALDYAIRASKSFERCAIDGEPSLDLVMSLHVLAAIYCSLGRFDEAVPALERAITVPDLSKGMDHALAAFSGYMQLGDTYSMLGQVDKSIAAYEKGLKIQIEALGETDPRVGETCRYLAEANVQAMNFDKAEELCKKTLEIHRAHSEPASIEEAADRRLMALICEAKGDYESALEHLVLASMAMIANGQDNEVAAIDVSIGNIYMSLCRFDEAIFSYQKALTVFKSSKGDNHPSVASVFVRLADLYNRTGKLRESKSYCENALRIYAKPVPGTTAEEIAGGLTEISAIYESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMFYMVGRYEEARNSFESAVEKLRASGERKSAFFGVVLNQMGLACVQLFKIEEAAELFEEARGILEQECGPCNQDTLGVYSNLAATYDAMGRVDDAIENLEYVLKLREEKLGIANPDFEDEKNRLAELLKEAGRVRNKKTKSLENLIDNNSKRNKKESTKRWPGLGFRI >Manes.02G037101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3068768:3069325:-1 gene:Manes.02G037101.v8.1 transcript:Manes.02G037101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFPFQELVAFSLEQVSLWIFKYGVSENLRSVADAHFLVSAIPYTVAHVIICLKLSFLFIKHKGRQVIIINAYFNYDEIKISQCAEMPKWGHKINNKKKKKRKEKKRGRRNVIASMGWHLAIS >Manes.11G157300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:32355741:32360212:-1 gene:Manes.11G157300.v8.1 transcript:Manes.11G157300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISPPSPLKSLVSTRRRLARVSPNKLHVHCIYRSDPVHFPNGVGSNRADWQSSCAILASKVVSQEQSIDKSNGNSGDADHVAAVNGHKTSIDLSLVPLNKGSNDGNSDNNKPIKSLSITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPAENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYITRVISHPQALAQCELTLTKLGLHAAREAVDDTAGAAEYIASNNLRNTAAIASARAAELYGLQILADGIQDDSSNVTRFLMLAREPIIPRTDRPFKTSIVFAHEKGTSVLFKVLSAFAFRNINLTKIESRPHRSCPIRLVDDATVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSRED >Manes.05G056100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4690937:4694518:1 gene:Manes.05G056100.v8.1 transcript:Manes.05G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEREVPNYELQVSFTTPQAIHEMGFVQFEENQVLSFLAPSHSHHSSHMSQPLNTSTANTHMGFSTHNDQVGTSDPKTTVEENCTGSANDGNNSWWRSSSSSDKSKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPSDDSNSSEHECFTSF >Manes.05G056100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4690936:4694519:1 gene:Manes.05G056100.v8.1 transcript:Manes.05G056100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEREVPNYELQVSFTTPQAIHEMGFVQFEENQVGTSDPKTTVEENCTGSANDGNNSWWRSSSSSDKSKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPSDDSNSSEHECFTSF >Manes.02G073500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5634136:5635189:-1 gene:Manes.02G073500.v8.1 transcript:Manes.02G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKLFSSPQLTPRKPFSRKACRRRGLTIAMAFNKPDHNDQGSLVDDNMIVLRVRMREMKMMEEFDYPPSHWMEWEKQYYAHHNYNTDVCEAVGLLQNFLMNIRPSIALGILALLFMCVLISTGVVFSYAVEITMRLILSVFHLR >Manes.13G023901.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:3299553:3300230:-1 gene:Manes.13G023901.v8.1 transcript:Manes.13G023901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRRPTFIFLIETFCLSSKISKIKTCLDYDDFVAVDCIGYNGGLALLWRSVSHISVLNLFSHCIDVEISIDGIGSWRLTGFYGQPNHLRRNETWNLLRNLRDCSPLPWVCLGDFNDLLAIHEKRGGRPQPRAFIQGFREAICDAGLTDFPMGGYPFTWEHGKDSDHWIEEKLDRVLVSNGWRYKFLYAQAYTLDITTFDHLPIFLDVRSMVTRDHVRRFKFENY >Manes.01G054202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:22260085:22261432:-1 gene:Manes.01G054202.v8.1 transcript:Manes.01G054202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLLNVNKDHFHPQENDELLDPKVPYLSAIRALMYLANNTHPDIAFAVSLLARFISSPTRRPWNRVKHILRYLQGTIDMRLFYSNEFGSQLISYANARYLSNPHKGCSQMGYLLTYGGTTISLHSTKQALATTSSNHTEIIAKHETKEKILIILYENNAACITQLREGYIKGDITNHISPKFFFTHDLQKNGDIDI >Manes.15G168200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14384947:14386293:1 gene:Manes.15G168200.v8.1 transcript:Manes.15G168200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTPSRFVHQLHQRPDLHLQHHLEPDDHDSNRHGGGGGVGAHFSTDHHHQQHEDGSNQGLDLVAGAGNSGPEDIGGRRPRGRPPGSKNKPKPPIIITRESANTLRAHILEVGNGCDVFECIANYARRRQRGICVLSGAGTVTNVTIRQPAAAGAVVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLEEDEQLQMQNGDGSGEGGSGGGVGNNPFPDGAPTSGGLPFFNLPLNMQPNLQLPVDGWTGNSRAPF >Manes.09G183250.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37097765:37100044:1 gene:Manes.09G183250.v8.1 transcript:Manes.09G183250.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDTKIFACSFLGLLRKWGFGDRDKVKNASDDNGFNKTVQQDSFRRINNVCMQMMQQLCLSHDKQVRNSCVVFFFWPHCIVRNVITFLRFLMSNIQVHTQVKIVADAKLGAGATEARELEANWGCPLLERKVIDAIIDPQLKQNYEEKEVECMMYAASLCISPNPEKRSRMSKVLKILEGDIPLTWLVIMDDTPPVT >Manes.06G131400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26040612:26049606:-1 gene:Manes.06G131400.v8.1 transcript:Manes.06G131400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSILGNGTANDGIIKNGVCSSESVNGSCDVRSCKDSDSSSADHLVIMVHGILGSASDWKFAAEQFVRMLPDKVIVHCSERNMSRLTLDGVDVMGERLANEVLEVIERKPNLRKISLVAHSVGGLVARYAIGRLYRSPQKENVEDSAAETREEHVKATIGGLEAINFITVATPHLGSRGNKQVPFLFGVTAFEKAAGLVIHWIFKRTGRHLFLTDADEGKPPLLKRMIEDYADCFFMSALRTFKRRVVYSNVGYDHIVGWRTSSIRRKNELPKWEDNVNEKYPHIVYEERCKAYDAEECNLVSIEDNYFDKLEEELVTGLSRVSWEKVDVSFHTCRQRFAAHSVIQVKDHTMHIEGADVIQHMIDHFLL >Manes.06G131400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26040612:26049606:-1 gene:Manes.06G131400.v8.1 transcript:Manes.06G131400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSILGNGTANDGIIKNGVCSSESVNGSCDVRSCKDSDSSSADHLVIMVHGILGSASDWKFAAEQFVRMLPDKVIVHCSERNMSRLTLDGVDVMGERLANEVLEVIERKPNLRKISLVAHSVGGLVARYAIGRLYRSPQKENVEDSAAETREEHVKATIGGLEAINFITVATPHLGSRGNKQVPFLFGVTAFEKAAGLVIHWIFKRTGRHLFLTDADEGKPPLLKRMIEDYADCFFMSALRTFKRRVVYSNVGYDHIVGWRTSSIRRKNELPKWEDNVNEKYPHIVYEERCKAYDAEECNLVSIEDNYFDKLEEELVTGLSRVSWEKVDVSFHTCRQRFAAHSVIQVKDHTMHIEGADVIQHMIDHFLL >Manes.17G015175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8019002:8035646:1 gene:Manes.17G015175.v8.1 transcript:Manes.17G015175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVGSDVIFKNIRGSSRRNAPKPFNGHAACSVPCKNVELSNVNLQYNRI >Manes.10G103500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25123696:25132898:-1 gene:Manes.10G103500.v8.1 transcript:Manes.10G103500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSNHDQPSSNRQFRPRPLFNHRPYYNHPRQQPQPKPQPQTRSFFVKLISNHHRGDHTVSIDTIVSECNPKPCRFYTRTSGTLAASLSFEHQADALDAVVSLWERRLAGDHLFTPVVGFNVDDEFNQRVRSLFQLHVERFARESVGKFERKVSDKSDEIEKIASFLRKFRDLQVFSEAQGRKKRLEEENDQTVNRIEEFKCAMKCVMDYLKGNEVEELAVLGFKNGKGFNWNRIHSLLLREYRRLDAELPIYGFRREILQQIHLQQVIVLIGETGSGKSTQLVQFLADSGVASSGSIICTQPRKIAAISLAKRVGEESMGCYEDNSIVCYPTYSSVQCFNAKVAYMTDHCLMQHLMKDKTLSGVSCIIVDEAHERSLNTDLLLALIKELLIERGDLRLIIMSATVDSSKLSEYFFGCGTFHVLGRNFPVQINYVPGVPGGPCGPLPNAIAPYVSDVIKMAMEIHQVEKEGAILAFLTSQLEVEWACQKFQSPSAIALPLHGKLSHEEQCHVFQNYPGKRKVIFATNLAETSLTIPGVRYVVDSGMVKESKFEPTSGMNILRVSKISQSSAKQRAGRAGRTEPGKCYRLYSESDYQLMDIYEEPEIRKVHLGIAVLRILALGINNVLEFDFIDAPSAKAVEMAIRKLVQLGAVVRRDDAFELTVDGHYLVKLGIEPRLGKIILESCHCGLRKEGVALAAVMANASTIFCRVGTNDDKQKSDCQKVRFCHRDGDLFTLLTVYREWESVSPENRNKWCWNNSINAKTMRRCKETVLELENCLKNELSIIVPTYWLWSPYAVVVHDRIMKKIILSSLADNVAMYSGYDRLGYEVVLSGEYVQLHPSCSLQVYGKKPNWVVFAELLSIASQYLVCVTAVDFDSLSAFSPPLFDISKMQSKKLHLRVIRGYGSTVLRRFCGKSNNSLLSLLSRIQTDFMDKRIGIEVSVDNNEILLYASLRDMEKVYGLVVDALDYEVKWLSNECLEKCLYNGGRTGSSPPVALFGAGAEIKHLELDKRNLSVDVFLSNKNGADDKEVLAFFEKSVPGVCGFHRSTVSGQDSDQVEKWGRVTFLTPEAARKALELNGFVLSGSLLKLSPARSSVGSSNKLSSFAALKAKVNWPRRYSKGYAVVRCERNDVESVVEDCFSLLIGGRLAYCERSTKDLNCVIIRGLDRDTSELEILEVLQMNTTRRILDVFLIRGDAVINPPIGACEEAILKEIAPFMPSQGPLSNYCHVQVFSPEPKDAFMKAWITFDGSLHLEAAKALQHLQGKVLTGCCSWQKMRCEQVFHSSVSCPAPVFAFIERQLHSLLKRFMHRPGVHCSLERNENGSYRVKISANATRTVAELRRPLEQLMNGRTVNHDSLTQAVLQLLFSKDGRLLMNSLQQETGTYILFDRQNLNVRIFGPENKVALAEQKFVQSLLALHDNRQIDIPLRGGAMPHDLMKKVVEKFGSDLHVLKEKFPEASFMLNTRRHAISFSGKGDLRPRIEDVIHDFARTLSVSGTAEKPEEEATACPVCLCEVEECFQLEACAHKFCRSCLVDQLESAMRGHDGFPVFCAHEGCGMHIWLTDLKSLLPCERLEDLFRASLGAFVASSGGTYRFCPSPDCPSVYRVSDTGMVGGPFVCGACYAETCTKCHLEYHPYVSCERYKEFKEDPDLSLKDWCKGKEHVKSCPVCGFIIEKVDGCNHIECRCGRHICWVCSESFSTSDECYGHLRLVHLTII >Manes.10G103500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25123662:25132942:-1 gene:Manes.10G103500.v8.1 transcript:Manes.10G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSNHDQPSSNRQFRPRPLFNHRPYYNHPRQQPQPKPQPQTRSFFVKLISNHHRGDHTVSIDTIVSECNPKPCRFYTRTSGTLAASLSFEHQADALDAVVSLWERRLAGDHLFTPVVGFNVDDEFNQRVRSLFQLHVERFARESVGKFERKVSDKSDEIEKIASFLRKFRDLQVFSEAQGRKKRLEEENDQTVNRIEEFKCAMKCVMDYLKGNEVEELAVLGFKNGKGFNWNRIHSLLLREYRRLDAELPIYGFRREILQQIHLQQVIVLIGETGSGKSTQLVQFLADSGVASSGSIICTQPRKIAAISLAKRVGEESMGCYEDNSIVCYPTYSSVQCFNAKVAYMTDHCLMQHLMKDKTLSGVSCIIVDEAHERSLNTDLLLALIKELLIERGDLRLIIMSATVDSSKLSEYFFGCGTFHVLGRNFPVQINYVPGVPGGPCGPLPNAIAPYVSDVIKMAMEIHQVEKEGAILAFLTSQLEVEWACQKFQSPSAIALPLHGKLSHEEQCHVFQNYPGKRKVIFATNLAETSLTIPGVRYVVDSGMVKESKFEPTSGMNILRVSKISQSSAKQRAGRAGRTEPGKCYRLYSESDYQLMDIYEEPEIRKVHLGIAVLRILALGINNVLEFDFIDAPSAKAVEMAIRKLVQLGAVVRRDDAFELTVDGHYLVKLGIEPRLGKIILESCHCGLRKEGVALAAVMANASTIFCRVGTNDDKQKSDCQKVRFCHRDGDLFTLLTVYREWESVSPENRNKWCWNNSINAKTMRRCKETVLELENCLKNELSIIVPTYWLWSPYAVVVHDRIMKKIILSSLADNVAMYSGYDRLGYEVVLSGEYVQLHPSCSLQVYGKKPNWVVFAELLSIASQYLVCVTAVDFDSLSAFSPPLFDISKMQSKKLHLRVIRGYGSTVLRRFCGKSNNSLLSLLSRIQTDFMDKRIGIEVSVDNNEILLYASLRDMEKVYGLVVDALDYEVKWLSNECLEKCLYNGGRTGSSPPVALFGAGAEIKHLELDKRNLSVDVFLSNKNGADDKEVLAFFEKSVPGVCGFHRSTVSGQDSDQVEKWGRVTFLTPEAARKALELNGFVLSGSLLKLSPARSSVGSSNKLSSFAALKAKVNWPRRYSKGYAVVRCERNDVESVVEDCFSLLIGGRLAYCERSTKDLNCVIIRGLDRDTSELEILEVLQMNTTRRILDVFLIRGDAVINPPIGACEEAILKEIAPFMPSQGPLSNYCHVQVFSPEPKDAFMKAWITFDGSLHLEAAKALQHLQGKVLTGCCSWQKMRCEQVFHSSVSCPAPVFAFIERQLHSLLKRFMHRPGVHCSLERNENGSYRVKISANATRTVAELRRPLEQLMNGRTVNHDSLTQAVLQLLFSKDGRLLMNSLQQETGTYILFDRQNLNVRIFGPENKVALAEQKFVQSLLALHDNRQIDIPLRGGAMPHDLMKKVVEKFGSDLHVLKEKFPEASFMLNTRRHAISFSGKGDLRPRIEDVIHDFARTLSVSGTAEKPEEEATACPVCLCEVEECFQLEACAHKFCRSCLVDQLESAMRGHDGFPVFCAHEGCGMHIWLTDLKSLLPCERLEDLFRASLGAFVASSGGTYRFCPSPDCPSVYRVSDTGMVGGPFVCGACYAETCTKCHLEYHPYVSCERYKEFKEDPDLSLKDWCKGKEHVKSCPVCGFIIEKVDGCNHIECRCGRHICWVCSESFSTSDECYGHLRLVHLTII >Manes.01G169400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35194440:35200907:1 gene:Manes.01G169400.v8.1 transcript:Manes.01G169400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTTSTVYIHVIEDVINKVRDEFINNGGPGEGVLSELQAIWEMKMMQAGVICGPIDRSSAPKLAPGAPITPVHDLNVPYEGTEEYETPTAEMLFPPTPLQTPIQTPLPGSVQTPLPGSVQTPLPGSVDNSSMYNIPTGPTSEYPTPASDTGGSTEAKAGRPSPYMQPPSPWMNQRPPLDVNVAYVEGRDEADRGASHQPLTQDFFMMSSGKRKRDDFGAQYNNGGFIPQQDGAGDAPSVALQASQGYGSLGRHDMITNANIEILSHATRQAMRIPQLDGPIPDPYDDVLSTPNIYNYQGVVNEDYNIANTPAPNDLQASTPAVAPQNDAGDDDDDEPLNEDDDDDDDLDDVEQGEDMNTQHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFDF >Manes.03G120433.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24480060:24481708:1 gene:Manes.03G120433.v8.1 transcript:Manes.03G120433.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAGSYSVIKLGLLVFVKARLENENEIDGSDQSSEEDLDLPLFDFVTISHATNKFSLSNRLGRGGFGPVYKGILADGHEIAVKRLQSNSGQGLKEFKNEVKLIARLQHRNLVKLLGSCIQGNERMLIYEYMPNKSLDFFIFDRTRGKLLDWSNRFNIVCGIARGLLYLHQDSRLRIIHRDLKASNVLLDADMNPKISDFGMAKTFREDQTEGNTKRVVGTYGYMALEYATDGLFSVKSDVFSFGILILEIISGQKSRGFYHPNHSLNLIGYAWRLWKEGSPLELAAPIILDSCHVSEVIRCIHISLLCVQLHAEDRPSMASVVLMLGSETALLPQPKEPGFFKDKDSTEAESSSSNQVSLSTNEISLSLLEAR >Manes.03G164000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29081291:29082978:1 gene:Manes.03G164000.v8.1 transcript:Manes.03G164000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSLGKKLQPAKKAWKRLAKTVEAKFHNLNLSKAIKVIKTNSSRLLSYCSIRFVHPLKKRFLTTPPYRGYRSNSHPYRHYYSNKNQVHNNFSPIYIDQLYSVEAAGSSTFLLQAKHFNAETSSRGKQLVDEQVLPRKEEKVLYSIEDAWREVVAKSPQLRPVDERAEEFISNFHKDIKLQKEKSILEFEEMLARGA >Manes.05G040400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3274838:3276995:1 gene:Manes.05G040400.v8.1 transcript:Manes.05G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSNFKHLTKHFPLDHRPRMLKDFLTDDSNSSCASTGFKSFPRKPILLQTDLNDSELLTCRSRAASTTISAFQAMINAVKNIHLTAIKSPSILPKSLSRRLSSSERNSCRCRKTETENEKENENKETQTKIPVTIKDIIRWKSFRDINEEKSQPLDLASSPHHCTTTTTATTATTPRCSYSSNGSSWCDSDFTSEYGNFEECVDDGAEGVGKQYLLRVGEEKDSVEPAAVGPKAEKHQNGPVSVIDIEVEEDEESSSFLDQSLDTVNLEKWFMSMEENSSSGEEDDDEEATETNTIYEEEEEEAWQLLKQVREIISVGELYRHNVDRMLLDIFRDELSRNGNQSRNVGFGCEMVSRVKAWVDGEQSLLIGWDKKEAYVIEMEREGKWREFEEEQEEIALAIENCILDELLVDLFS >Manes.15G047700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3674478:3677976:1 gene:Manes.15G047700.v8.1 transcript:Manes.15G047700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNITHQIGALAGTPISTGPITTTTSESTSTVSAAAVWKTPTPNIRCEIKNPDAVEQKSQPTSPCGSPILNGIRADLSVACRAFAAEATTLERETGGEERMYKEGSVKEKGSGVPVYVMMPLDSVTMGNTVNRRKAMNASLQALKSAGVEGIMMDVWWGLVERDAPGVYNWGGYSELLQMAKRHGLKVQAVMSFHQCGGNVGDSCTIPLPKWVGEEVDKDKDLAYTDQWGRRNYEYISLGCDTLPVLKGRTPVQCYSDFMRAFRDNFKNLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWKFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGHYNNWPEDTQFFRKENGGWISPYGEFFLNWYSQMLLDHGDRILSSAKAIFENSNVKISVKIAGIHWHYGTRSHAPELTAGYYNTRYRDGYLPIAQMLGRHGAIFNFTCIEMRDHEQPQDALCAPEKLVQQVALATQKAQIPLAGENALPRYDEYAHEQILQAASLNVDCNSGDREMCAFTYLRMSPHLFQEDNWRRFVGFVKKMKEGKNAERCWEQVEREAEHFVHISQPLVQQAAVALMH >Manes.02G032900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724587:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANEAFDKDIVALEKPP >Manes.02G032900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724587:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANAFDKDIVALEKPP >Manes.02G032900.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724587:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANAFDKDIVALEKPP >Manes.02G032900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724587:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANEDFKQSVGRKRGN >Manes.02G032900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724587:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANGEDDVLNEVLVDTSSVRF >Manes.02G032900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2722955:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANEDFKQSVGRKRGN >Manes.02G032900.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724588:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANGEDDVLNEVLVDTSSV >Manes.02G032900.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724587:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANGEDDVLNEVLVDTSSV >Manes.02G032900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724587:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANGEDDVLNEVLVDTSSVRF >Manes.02G032900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2719625:2724587:1 gene:Manes.02G032900.v8.1 transcript:Manes.02G032900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRHRSVNRARYKRLENLRFSETRLTFYLIFSCFLRSTIMATATNTTAPAVDSAASADELTAKAVRKRYEGLVVVRNKAIKGKGAWYWAHLEPMLVHNNDNGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPSSNTAVASPSSGGGTAASVVHNNRKRSAGASSGWMSASSYPMTAVATVASVTSYQVSPFAIVDQSRFSGELAVLPQQPHLVLSGGKEDLDALAMLEDSVKKLKSPKTSPGPALSKTQIDCALDYLADWVYESCGSVSFSALEHPKFRAFLNQVGLPAVSRREFCGGRLDVKYEDVKAESEARIRDAMFFQIASDGWKVKNSSGFNGVNLVNLTVNLPYGTGLYWRAVFVSGSVPSKYAEEILWETISGICGNAVQQCVGIVADKFKAKALRNLENQNHWMVNLSCQFQGFTSLIKDFSKELPLFKTVTEKCFKLANLINNNPQIRNSFHKYQLQEYGNAGLLRVPSREYEKMDFAPVYTMIEDILSSARALPLVLLDESYKIASMEDPTAREVAETIRDVGFWNEVEAVHSLVKLIKEMAQEIETERPLVGQCLPLWDELRAKVKDWCSKFDIAEEAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDASGKYLPPFKYLTSEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERNPITGKMKIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATACGFKCNWSLLKWACGHGHFRAAMDKAQKLIFIAAHSKLERREFSSDEDKDAELFALANEAFDKDIVALEKPP >Manes.07G026200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2823959:2827378:-1 gene:Manes.07G026200.v8.1 transcript:Manes.07G026200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMAGEIKKKLGSQPTASKSTGTVQMKGQPIQQKNNCCG >Manes.S027016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:416049:416423:1 gene:Manes.S027016.v8.1 transcript:Manes.S027016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.13G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5412830:5417156:1 gene:Manes.13G046300.v8.1 transcript:Manes.13G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDKPRTVKLLCPSLSKTVAFAAWDDQKLDLGSIARAFGLDPSTLKLNGHFLSRGVDLVSSSVTWRSLLRFFSSKGLSTGKDDKDALIVHGKLCKSGSKRANDSQDAAPSSRVNYRTETEEVSTGPQPELVINFPMNKKLKDNNSGSEDSHQVFRCNGLGFKRKQLLEDFSLFKKLKINEANSELGERGKSYPSFVLTSKLKCSYMGSNVKRMREDEVILAAPCKRIR >Manes.16G051400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:11436506:11437887:1 gene:Manes.16G051400.v8.1 transcript:Manes.16G051400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPWNQYQLVDNEPDPNLQLASLKNRFSRGCASLVCFGRASAGLDSPSHLKVGPAQQQDVLPESLVTDKGKDHPNEVQGDNITRRVNLKSSLKKPSNSIPVPVEDANQHDALGDKSSDVSGLTERRKVQWTDVCGSELAEIREFEPSLKK >Manes.17G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25786096:25787224:1 gene:Manes.17G058800.v8.1 transcript:Manes.17G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLQRRVALRRKLHILRTLTCSKSVKRSSIIADAVVYIYKLTLKLEAIKRELSNLDAIKREYLSLVKQVQYLPKVNVEKVGKGFLIKVIHQKGGHNLVPILEVFEEMGLIVLHARVSCNFFFSMEVIVVAEEEHDLDVKNVSEAVLQAMERHVERANRSI >Manes.13G039800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4717868:4724142:1 gene:Manes.13G039800.v8.1 transcript:Manes.13G039800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVFCGGAGGGATEAMSTVSRDDNAAMSSEDSSSPDDAELELGLGLSLGGGFKSKQIAVKSHYARILTAQDLPSCVSASSSSSSTSSFSSTLSRANATAGTKRSADSVSTTNGASSQVVGWPPIRAYRMNSMVNQAKSVATEEYNSIIGKNKSKTAVLEKTNNGSTINNAKVRNSLYVKVNMDGIAIGRKVDLNAHGCYETLAQTLEDMFLRPNPTINALRSNVPEHNLMVKATRCPKLLDGSSEFVLTYEDKDGDWMLVGDVPWGMFLSSVKRLRIMRRSEATGLGKQT >Manes.13G039800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4717868:4724142:1 gene:Manes.13G039800.v8.1 transcript:Manes.13G039800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVFCGGAGGGATEAMSTVSRDDNAAMSSEDSSSPDDAELELGLGLSLGGGFKSKQIAVKSHYARILTAQDLPSCVSASSSSSSTSSFSSTLSRANATAGTKRSADSVSTTNGASSQVVGWPPIRAYRMNSMVNQAKSVATEEYNSIIGKNKSKTAVLEKTNNGSTINNAKVRNSLYVKVNMDGIAIGRKVDLNAHGCYETLAQTLEDMFLRPNPTINALRSNVPEHNLMVKATRCPKLLDGSSEFVLTYEDKDGDWMLVGDVPWGMFLSSVKRLRIMRRSEATGLAPRLQERNGRQRNKPI >Manes.02G129800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9853380:9857246:-1 gene:Manes.02G129800.v8.1 transcript:Manes.02G129800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMGEYETNGEDTHGDVERVAEWEIGLPTADDLTPLSQVLIPPELASAFSISPEPQRTFIDVNLASQNTISNLRGPGAGGQLNALSSNNFKSYNEDRAPDLMLVDPEQEPEDNNMDRDGSGSESRKLRRIDSEEADSALRTENSGEDPSTRTPKRPRLVWTPQLHKRFVDVVAYLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPVPQSLHESGSGAGSGGNGAAHANGHLGMPIPVPYHQGAGSMMPMPVYGHMGNHHHHHGFDGNLPYNMLQQRDWSGNNYGSVVSYPHHPPHVAPNDNM >Manes.02G129800.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9853380:9857246:-1 gene:Manes.02G129800.v8.1 transcript:Manes.02G129800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMGEYETNGEDTHGDVERVAEWEIGLPTADDLTPLSQVLIPPELASAFSISPEPQRTFIDVNLASQNTISNLRGPGAGGQLNALSSNNFKSYNEDRAPDLMLVDPEQEPEDNNMDRDGSGSESRKLRRIDSEEADSALRTENSGEDPSTRTPKRPRLVWTPQLHKRFVDVVAYLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPVPQSLHESGSGAGSGGNGAAHANGHLGMPIPVPYHQGAGSMMPMPVYGHMGNHHHHHGFDGNLPYNMLQQRDWSGNNYGSVVSYPHHPPHVAPNDNM >Manes.02G129800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9853380:9857246:-1 gene:Manes.02G129800.v8.1 transcript:Manes.02G129800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMGEYETNGEDTHGDVERVAEWEIGLPTADDLTPLSQVLIPPELASAFSISPEPQRTFIDVNLASQNTISNLRGPGAGGQLNALSSNNFKSYNEDRAPDLMLVDPEQEPEDNNMDRDGSGSESRKLRRIDSEEADSALRTENSGEDPSTRTPKRPRLVWTPQLHKRFVDVVAYLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPVPQSLHESGSGAGSGGNGAAHANGHLGMPIPVPYHQGAGSMMPMPVYGHMGNHHHHHGFDGNLPYNMLQQRDWSGNNYGSVVSYPHHPPHVAPNDNM >Manes.02G129800.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9853530:9857246:-1 gene:Manes.02G129800.v8.1 transcript:Manes.02G129800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMGEYETNGEDTHGDVERVAEWEIGLPTADDLTPLSQVLIPPELASAFSISPEPQRTFIDVNLASQNTISNLRGPGAGGQLNALSSNNFKSYNEDRAPDLMLVDPEQEPEDNNMDRDGSGSESRKLRRIDSEEADSALRTENSGEDPSTRTPKRPRLVWTPQLHKRFVDVVAYLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPVPQSLHESGSGAGSGGNGAAHANGHLGMPIPVPYHQGAGSMMPMPVYGHMGNHHHHHGFDGNLPYNMLQQRDWSGNNYGSVVSYPHHPPHVAPNDNM >Manes.02G129800.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9853380:9857246:-1 gene:Manes.02G129800.v8.1 transcript:Manes.02G129800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMGEYETNGEDTHGDVERVAEWEIGLPTADDLTPLSQVLIPPELASAFSISPEPQRTFIDVNLASQNTISNLRGPGAGGQLNALSSNNFKSYNEDRAPDLMLVDPEQEPEDNNMDRDGSGSESRKLRRIDSEEADSALRTENSGEDPSTRTPKRPRLVWTPQLHKRFVDVVAYLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPVPQSLHESGSGAGSGGNGAAHANGHLGMPIPVPYHQGAGSMMPMPVYGHMGNHHHHHGFDGNLPYNMLQQRDWSGNNYGSVVSYPHHPPHVAPNDNM >Manes.02G129800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9853530:9857246:-1 gene:Manes.02G129800.v8.1 transcript:Manes.02G129800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMGEYETNGEDTHGDVERVAEWEIGLPTADDLTPLSQVLIPPELASAFSISPEPQRTFIDVNLASQNTISNLRGPGAGGQLNALSSNNFKSYNEDRAPDLMLVDPEQEPEDNNMDRDGSGSESRKLRRIDSEEADSALRTENSGEDPSTRTPKRPRLVWTPQLHKRFVDVVAYLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPVPQSLHESGSGAGSGGNGAAHANGHLGMPIPVPYHQGAGSMMPMPVYGHMGNHHHHHGFDGNLPYNMLQQRDWSGNNYGSVVSYPHHPPHVAPNDNM >Manes.02G129800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9853380:9857246:-1 gene:Manes.02G129800.v8.1 transcript:Manes.02G129800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKMGEYETNGEDTHGDVERVAEWEIGLPTADDLTPLSQVLIPPELASAFSISPEPQRTFIDVNLASQNTISNLRGPGAGGQLNALSSNNFKSYNEDRAPDLMLVDPEQEPEDNNMDRDGSGSESRKLRRIDSEEADSALRTENSGEDPSTRTPKRPRLVWTPQLHKRFVDVVAYLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSSSDQLFASTPVPQSLHESGSGAGSGGNGAAHANGHLGMPIPVPYHQGAGSMMPMPVYGHMGNHHHHHGFDGNLPYNMLQQRDWSGNNYGSVVSYPHHPPHVAPNDNM >Manes.08G134200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37103004:37104629:1 gene:Manes.08G134200.v8.1 transcript:Manes.08G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSNLLPDEASPEWLNKGDNAWQLTAATLVGLQSIPGLVILYGSMVKKKWAINSAFMAFYAFAAVLVCWVGWVYRMSFGEKLAFFLGKPGVALDEKFLLGKPFLGYFPTATMVFFQGVFACITLILIGGSLLGRMNFRAWVLFVPLWLTFSYTVSAFSIWCPDGWLAKLGIIDFSGGYVIHLSAGVAGFTAACWVGPRSEKDREKFPPNNIILMLAGAGLLWMGWSGFNGGGPFVASVDASLAVLNTHVCTATSILTWLLLDTLFFGKPSVIGAIQGMISGLVCITPAAGVVQGWAAMIMGTMSGSIPWYTMMVLHKKVKFLSKVDDPMAIFHTHAIAGSLGGILTGFFAVPKLCRLFYMVTNWEKYIGLAYGLENGRTSAGFRQMGIQVAGIVFVTCLNVVSTSLICWFIGLIVPLRLSDDELQVGDDGIHGEEAFALWGDGERFENYKHNSVYDAEELSYVNSRPMVDDP >Manes.13G001300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:714337:726463:1 gene:Manes.13G001300.v8.1 transcript:Manes.13G001300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDEFDMQDAAAESADDDFYSGGDDVFDSDDADVADYEFIDNDSDDSDDLISHRYQQNYTVLGEADIRQRQEDDVMRISTVLSISKVSASILLRYYNWSVSKVHDEWFADEEKVRKVVGLLEKPVVEFPDGREMTCGICFETYPCDRLHVAACGHPFCSTCWQGYISTAINDGPGCLMLRCPDPSCSAAVGQDMINELASDEDKEKYSRYFIRSYVEDNRKTKWCPAPGCDYAVDFIVGSGSYDVTCRCSYSFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCQFEFCWLCLGAWSDHGERTGGFYACNRYETAKQEGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQTVHLEKLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHGKRIFFEYLQGEAESGLERLHQCAEKELQTYLNSEGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSHGACSRTTSSKSLGGGSSRGRSGRGKGSTSRSSGPSRNIDDSGHWSCEHCTFANIRSATICAMCQQRK >Manes.13G001300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:714337:726463:1 gene:Manes.13G001300.v8.1 transcript:Manes.13G001300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDEFDMQDAAAESADDDFYSGGDDVFDSDDADVADYEFIDNDSDDSDDLISHRYQQNYTVLGEADIRQRQEDDVMRISTVLSISKVSASILLRYYNWSVSKVHDEWFADEEKVRKVVGLLEKPVVEFPDGREMTCGICFETYPCDRLHVAACGHPFCSTCWQGYISTAINDGPGCLMLRCPDPSCSAAVGQDMINELASDEDKEKYSRYFIRSYVEDNRKTKWCPAPGCDYAVDFIVGSGSYDVTCRCSYSFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCQFEFCWLCLGAWSDHGERTGGFYACNRYETAKQEGVYDEAEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQTVHLEKLSDIQCQPESQLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHGKRIFFEYLQGEAESGLERLHQCAEKELQTYLNSEGPSKDFNEFRTKLAGLTSRTTSSKSLGGGSSRGRSGRGKGSTSRSSGPSRNIDDSGHWSCEHCTFANIRSATICAMCQQRK >Manes.08G119200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35811857:35814640:-1 gene:Manes.08G119200.v8.1 transcript:Manes.08G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRPLEITQISAKDIKDVNRFTKMDVYAEVSIKGDPYNSKQKQKTPVDKDCGTNPKWNFPMKFTIHEGSAQDNRLILEFKLKSDRSLGDKDIGEVHVPIKELMSQKSGDEKTQNEGNVSYGVRTPNGKSKGTLYFSFKFGEKFQAPLQEKAKKVDEPVMAYPVGYPGAGASGSSAYPPPGAYPPPPQYHTEAVKGQAAYPYPYPPPGGYPPPPQQAYGGYPPAGGYPPAYGYGGYPPVVQQPQKPKKSGMGKLGLGLGAGLLGGLLVGDMISDVGEMAAYDAGYDAGFDDGGFDF >Manes.12G107300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:29376554:29381010:-1 gene:Manes.12G107300.v8.1 transcript:Manes.12G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFFPRIFSLKHLYSTPLKTLMASSLTQDHPPPDLDNLLSSFPQQQQTEDDTPLSLPEMTASCCLDTPGRYLSGESRVERAWSHWAKLGRPKFIVAPMVDNSELPFRMLCRKYGAQAAYTPMLHSRIFNEDDKYRNQEFTTCQEDRPLFVQFCANDPDTLLEAARRVEPYCDYVDINLGCPQRIARRGNYGAFLMDNLPLVKSLVEKLSHNLHVPVACKIRVFQKVEDTINYARMLEEAGCSLLAVHGRTRDEKDGKKFRADWNAIKAVKNAVKIPVLANGNIRHMDDVQNCLEETGVDGVLSAESLLENPALFAGFRTAGWITGDEESKFDEKLDQTDLFVEYLKLCEKYPVPWRIIRSHVHKMLGDWFRIHPHIREDLNAQARLTFEFLYNIVDQLRELHVRIPLYLKDVKVHTQVAEVSASGASN >Manes.04G165100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35815920:35818702:-1 gene:Manes.04G165100.v8.1 transcript:Manes.04G165100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:Ann7 MATLRVPDVEPSPTQDCERLRNAVQGLGTDERAIIWILGRRNASQRGKIRETYLQLYKESLIDRLHSELSGDFRKAVILWTYDPPERDAKLANEALKSKKKGAKELQVIVEIACANSPHHLQAVRQAYCSLFDCSLEEAITSVVSLPHKKLLVGIVSSYRYDKELVDMNIASLEAAKLHEAIKRKQLDHDDIVYILSTRNVYQLRATFKCYQQKFGNPIDQDIKSCGKGELESLLEVVIWCIQSPEKHFAKVIGTAVIGLGTDEDSLTRAIVSRAEIDAMKIRGEYFNLYKTNLDGAVIDDTSGDYRDFLMTLLGARI >Manes.01G225300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39109403:39110592:1 gene:Manes.01G225300.v8.1 transcript:Manes.01G225300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSAPSLQSCHRPVTSAPKLFGFPLTDHDEVPAKAENCAESRKFECHFCQRAFANSQALGGHQNAHKRERQKARRAQYHCDRRLLAAASASSSQVVKASSISSEGFSGIAAKFRPQAAASYCPSSTRPGLLLPSRIYVAQPLRLGAAMPSFVEFPPKLLCDEDVGTDLRLKLTPSV >Manes.02G174300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13777760:13779245:-1 gene:Manes.02G174300.v8.1 transcript:Manes.02G174300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLFTSCSFPWNRKCHLLAHSSQETGISRVKATAEPRESGFLEGEIDKSSVFFKRRSVLVSGISIVSSAVLEYSGEGLAVVKQGLLAGRIPGLSEPDEEGWRTYRRPDEKSGGHGVGWSPIIPYSFAVPQDWEEVPVSIADLGGTEIDLRFASSKEGRLFVIVAPVLRFADNLGEDATIQRIGPPEKVINAFGPEVIGENVEGKVLSTNVAEHSGRMYYQYELEPPHVLITATAAGNRLYLFAVTGSGLQWKRHYKDLKRIAESFRVV >Manes.03G089016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19481233:19483091:-1 gene:Manes.03G089016.v8.1 transcript:Manes.03G089016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGRIWVCFDICCVKLHVILATDQLVHTRGEYLIQSDFNTTLFVSKRLGGQKFLNQDENFKDCIENAGLIERRFDRCLGNVHWMDEMGIIECEAMPVGISNHSPLIIRMQSAINRRNIPFRFFNICVSHMMGLQEECGKWKGGKMLCLNYGVRELKKLNRREFFDISRRVDNYGSDHMNPILSDEERAMMSYFRNLLKPEEEFYKQKSMLIWANKEISTPKFFHNAMKIRRNDDIIEDQDAIKQAMVPTRRHADETESLILCEEVSDEEIKMTLWSIGNDKTSGMDRYNRRDVVNAFNVTTLTIISRVENPSSLGDYRPITYCTVIYKVIKCWLED >Manes.17G038900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20551194:20559209:-1 gene:Manes.17G038900.v8.1 transcript:Manes.17G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIINGQPSDQQNASPDIDERGSKPQEEVENENEEEDEEEDLDFNPFLKGTPSPEASSSLSSEVEGLDGNSLKPRMGEVQNYAVGDSEHGEEVVMQTAFSAQSEKELQASPHAKSKKRKSDFSSQPENGGVGEKGSTFSTHAMSLDDDDAIWKRTRARYSLASFTLDELETFLQETDDEDDLQNVDDEEEYRKFLAAVLQGGDGDGQSTRGNENADDEDEDNDADFEIELEELLESDDDDSKRDMDRKVELEKRGRRPETRQNRRQRASAEYKKKLLEQTKRPLRPLLPILPNGAIASFPNSNGKTLVPEGAPSYLCSPAEEGLINGFTPKQIGQLHCLIHEHMQLLIQVFSLSILDPSRQQIASQVQGLIFEMLHKRDEVIACRSMPYPGFCFEAPYVCPSVTDEFPNYNTSQCTGSSSTPNMQMSQNISTATGRNDPVFNGQNSSLQIAGSLWVPLVSGPIMSIMDVAPLNFVGRYMEDVFNAVQEYRQRHLDSSCDTWNEREPLFHLPRFSASTEANGEVSKRNMPTALSSVPSAPAQQPPKKTLAASIVENVKKQSVALVPKDISKLARRFFPLFNPTLFPHKPPPAAVANRVLFTDSEDELLALGMMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTSEEIECIQEGLRVFKHDWMSVWRFIVPHRDPSLLPRQWRISLGTQRSYKLDAAKKEKRRIYESNRRRCKTADLANWQVISDKEDNHVDSTGRQNNSGDDYADNASEAYVHQAFLADWRPDASCLIPSEHPCLNVNGKDLPSGALLREGTQIREQSKIDNMHGFPYAHYYQYSHNMSHFSHVRHCPPNSVQLNHQVSDTAQNAAKSPIYLRPYRTRRTDGAYLVKLAPDLPPVNLPPTVRVISQAAFKSNQCRVPIKVSASGGSTGDARKVNTVHQLPQVANLRTTSSAKAARDKSNQVTDNVTNSCPEGLTSSRAEESAIVHDRCSAESDLQMHPLLFQAPEDGRVSYFSSNCTAGTSSSFTFTSANQPQLNLSLFQSPNQASHFADYFNKSSKTKESSSASCGIDFHPLLQRTDEENSDLATACSNTHGFVCLGGKSAQLQNPLNAAQITSLVNSGPSATGSKPSIPNEKANELDLEIHLSSTCTKEKAKGNGVGGANNQPKSTLSASNAGNTIEKHKTNCSCHHQSSNCPLQNNLVSSADASAVPINNDSSCNMDDLGDQSHPEIVMEQEELSDSDEETEEHVEFEREEMTDSDGEEGLGCEPIAEVHDKDFPSLAAEEVTADADCGDEQCEWRSHVHPQANTSIPGESSPFLKLGLTSLEKDATSNSWLTLDSRPPVDLPSTKARYEECTISVCPISKNLASCRPSRSCKKTAPSVKTIATEGNVIDMAQQLSLGPLAVSSLKKPRKRSCRTNTGSSTGMKTENPSYDQEKFD >Manes.01G185700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36306181:36310912:-1 gene:Manes.01G185700.v8.1 transcript:Manes.01G185700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALPSPQQRRRFFATQRLQKFIKPNSMEHEEEETKRWACRRCGHVGLDESDGFYYCQECGAQADDIILTGVADEDFIDKAGDGGALYSARYTRHPQRTSTIQADPSSQAWFRYTQEEDNKNTSNIKVKREEPDDYHYSNQYLDGLGPMEPEDFGSGAAGKLSYEDYYNEVRIRYVMGMQWMIQLQCEALVEKFNASPLICGVAGTVWLRYLAGAGVFKEAWADEVLLDSETKTSGDPEHVEIRSCHRNEPHNTYGQRAVMIWFKYLRKAIPLSCSLAISFLACHVAREAILPTDIVKWSFEGKLPYFAAHVEIEKRFERSSPACPISPRLMFRPSQIVPIQKLESMAAAIAESIGLHLPPVNFYGIASRYLKKLSLPVEKILLQACRIHEWSMPPDLWLSINELRLPTRVCVMSILIVTVRILYNINGFGAWERSLSSRNCSTSGPHRGGRIDSTFASEILEDAQEVSGCHLDKVNNLGEKFIKNHSHLQKPELDSSELLYHLEATYNEIGDTYEFRKDLPSYLQHCNDVVFAGAGPSHMDHLEEEKLIEKLWDFYQNEKDSELGEEPEVQSSSVLKQKRPRNDDGYVNSPSEKKKNREGWYDCPSADASIDNADGSPQQSEDNDRFSNNSQGDQNPYNNENSEETLVDTAIRRLKLDMEENRFCYIPPRVNLKRFDYLHYVRKKDEGAFTYVAHADYYILLRACARVAQVDIRIMHIGVLSFERRLAWLEKRIDHCLHLTPPSITCEFCRDEPDHSKDDLIGLSNLNL >Manes.07G038731.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4742147:4743155:1 gene:Manes.07G038731.v8.1 transcript:Manes.07G038731.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAQAEEDNSEDTKDTLQEKKQELGDYFNMSLEEIHQANAFNNIEKIVSTLTHNSATLYEKANLQKLMDRFTEFKGSVPDSVTTAERTQAHSISLLMKSIMLKQSLAHVQEQLRSSEAGLSKISKEKEELDIQIQSLISRKEKLIEHKKSTEFQLETTKKTVSTNLSEQKMIDGEIEQAYENWFKAKEKLVLANASWKLFKECIEL >Manes.18G143100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:32963083:32970033:-1 gene:Manes.18G143100.v8.1 transcript:Manes.18G143100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGASIPCGGSFTQRCENPPRRFRITKATLMKRVQWYLVEGWTSVECRIGDKQPVYLCSLNQGSVSLEVEFEESEDIIFRARGYRGVRLSGYYVSQSTETDLCEVKAAEDARSYYNALRPCKCMETCTNGAMLELENDKVESTKHLENGTDNNVEGSRSCNIQKDEICEGEVVHSKHLEDIASDMEVIVELESVKVATVKHLEDDIADTAGLRNNEVDCVHHLEEDIVSDVEGSEQQIAIVERTCDVQIDEAVQDADILGRSGEEFCNED >Manes.01G217800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38655557:38658937:-1 gene:Manes.01G217800.v8.1 transcript:Manes.01G217800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRLDKVFITVLLPDAKNAKVNLEPEGVFTFSASAGAEDHLYELKLELHDKVNVEESKINIGVRSIFCILEKAEKVWWKKLLRGDGKPPHYLKVDWDKWVDEDEDDGGLANNFDLGGMDFSNFGNMGMGGMGDDAMGEFDESDDEDQEVAKPGKAEGAAKTDDKENLEEKKEAASST >Manes.01G217800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38655557:38658937:-1 gene:Manes.01G217800.v8.1 transcript:Manes.01G217800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRLDKVFITVLLPDAKNAKVNLEPEGVFTFSASAGAEDHLYELKLELHDKVNVEESKINIGVRSIFCILEKAEKVWWKKLLRGDGKPPHYLKVDWDKWVDEDEDDGGLANNFDLGGMDFSVRLRS >Manes.14G114900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10853161:10863014:-1 gene:Manes.14G114900.v8.1 transcript:Manes.14G114900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMRYFEDMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGETKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMGAVPKAGGFPSLSVHGPFQPAPAALPTSLAGWMANPTPVPHPSASAGPIGLSAPNNAAIVKRPRTPTANQAIDYQTADSDHVLKRTRAFGLSDEVNNLPVNILPVTYASQTHGQSSYSSDDLPKTLVMALNQGSAVKSMDFHPLQQILLLVGTNMGDVMVWDLGGKERIASRNFKVWEPSALSVGLQASLTNDYTVSVNRVAWSPDGSLFGVAYSKHLVHLYSYHGSDDVKNYREIEAHVGSVTDLAFSYPNARLSVVTCGEDRVIKVWDPVTGNPKFTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDNIGSRVDYDAPGHASTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGTVKRGYIGLGKRSVGVVQFDTTKNRFLAAGDEFMVKFWDMENVNLLTSIDAEGGLPAAPCIRFNKEGALLAVSTNDNSIKILANSDGIRLLRTVENRTFDASRAASAAVVKAPGIGNFASINSTVGTSIGDRAASVAPVIGIVNNSDNRSLVDVKPRIVDEPVEASRTWKLTEINEPSQCRSLRLPDNLTAMRVSRLIYTNSGLAILALASNAVHKLWKWQRNDRNLNPKATASVVPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADAQLCVWSTDGWEKQASKFLQVPPGRGSASLADTKVQFHLDQTHLLVVHESQIAVYEAPKFESLKQWFPREGTAPITHATYSCDSQSIYVSFEDGSVGVFTASTLRMRCRINATAYLPPNPSSRVFPLVIAAHPSEPNQFALGLTDGGVHVVEPLEMEGKWGTSPPVENGAGPSTTSAAAGSEQAQR >Manes.14G114900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10853161:10863014:-1 gene:Manes.14G114900.v8.1 transcript:Manes.14G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMRYFEDMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGETKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMGAVPKAGGFPSLSVHGPFQPAPAALPTSLAGWMANPTPVPHPSASAGPIGLSAPNNAAAIVKRPRTPTANQAIDYQTADSDHVLKRTRAFGLSDEVNNLPVNILPVTYASQTHGQSSYSSDDLPKTLVMALNQGSAVKSMDFHPLQQILLLVGTNMGDVMVWDLGGKERIASRNFKVWEPSALSVGLQASLTNDYTVSVNRVAWSPDGSLFGVAYSKHLVHLYSYHGSDDVKNYREIEAHVGSVTDLAFSYPNARLSVVTCGEDRVIKVWDPVTGNPKFTFEGHEAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDNIGSRVDYDAPGHASTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGTVKRGYIGLGKRSVGVVQFDTTKNRFLAAGDEFMVKFWDMENVNLLTSIDAEGGLPAAPCIRFNKEGALLAVSTNDNSIKILANSDGIRLLRTVENRTFDASRAASAAVVKAPGIGNFASINSTVGTSIGDRAASVAPVIGIVNNSDNRSLVDVKPRIVDEPVEASRTWKLTEINEPSQCRSLRLPDNLTAMRVSRLIYTNSGLAILALASNAVHKLWKWQRNDRNLNPKATASVVPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADAQLCVWSTDGWEKQASKFLQVPPGRGSASLADTKVQFHLDQTHLLVVHESQIAVYEAPKFESLKQWFPREGTAPITHATYSCDSQSIYVSFEDGSVGVFTASTLRMRCRINATAYLPPNPSSRVFPLVIAAHPSEPNQFALGLTDGGVHVVEPLEMEGKWGTSPPVENGAGPSTTSAAAGSEQAQR >Manes.17G000300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:868232:872308:1 gene:Manes.17G000300.v8.1 transcript:Manes.17G000300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQVEAVEAGDDCISKLPDDILSLILSFLTMRDAVKTRLLSRRWRFLSPFTPQLKFDILSVFGKSSDSQNSSKFLMAVNQFLRSYTGPKIDTFRLTYRLGYESASHIDGWINFASEMESKTISLDFEFGFDSGIERYDFPCHLLPYDTKTSSLKHLHLASCTFTPSPDYAKRLISLRTLYLCHVPLSQCHLDTIISTCLNLKCFKLYCCPVPETLRIFGPSSGLESFKISCNGYLKIELNSLENLNFFEFVGHAKELTFIGLPALRKAYFKFFNRMWSGTLYMYMKLAKDLPQLEILSVVLFPFEGVPVSDSVSPLRNLKELVLYNYLSNYDLFRIVPLIDAVPALQKLYLTLHYSTCDQFNRAKVGSKQPHLELKELEISGCVGRSCEMEFITYLLDNCIALKVMSVRRDRKKYLGDGRWTNDGITRGELNLEVLRDMLLQQMVNSCIEIIDTNMLVMKLE >Manes.14G125000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9889595:9891181:-1 gene:Manes.14G125000.v8.1 transcript:Manes.14G125000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVKAFLSLLIIISCANSSPVHENFVNCMSPSFNPYTKSFEIIFTAESPLYSDLLQSSPQNLRWVNSTTSNNPLLIIAPFHESEIQAAVLCSKKQGVQVRVRSGGHDYEGLSYLCQTPFIIIDLRNFRAVEIDIADESAWVQSGATLGELYYAIGRKSRVHGFPAGICPTVGIGGHFSGGGFGTLLRKYGLAADNVVDAFLIDVNGRILDRKAMGEDLFWAIRGGGGASFGVILSWKIKLVKVPPIVTVFTVSKTIEQGATELVHRWQYIADKLHEDLFIRIIVQNVGAGTRTNPKTIQASFNSLFLGGIDRLIPLMNQNFPELGLKAADCTQMTWIESTLYTAGFPRGSPLEVLLNKTQLYEAIFKAKSDYITEPIPEDGLRGIWQRFLEEELVYLIMDPYGGRMNDILESETPFPHRKGNLYNMQYMVKWRVNGVREANKHVHWIRMLYRYMTPYASKSPRSAYFNYRDLDLGRNKDVNTSYLEACVWGMKYFKGNFKRLVQVKSKIDPGNFFRNEQSIPSIHE >Manes.15G147000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12135125:12136021:1 gene:Manes.15G147000.v8.1 transcript:Manes.15G147000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSLYKVTPSSTIPINSLSQARQLSKSQMGNCASPQYAKRDSLSHTLNIQSTAKVIHTDGSLHEFKQPIKANLILSQYPNFFLCSSEFMFVDCRLPRVADDEELQLGQIYFLMPLSRSNAPLSLQELCALAIKASAALAKRDGLTKTSSYLHGDVERFCKVSVGFDIVCVNFPAGRNSGKLGTR >Manes.18G008300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1121380:1123624:1 gene:Manes.18G008300.v8.1 transcript:Manes.18G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPDSKSTKSPPPQQEPELKKPKMSTTTSDDEETVTTAPGDTTTIKKQRYKRRKIAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALFHSGAVPEQDRGNSKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVERLNSNLSSQIRIFGYKRVTASFNAKKFCDRRRYVYLIPVFALDPCSHPDRESVLASLGSDNELVKCFECSERGRKVVGAVGKRSFESKSVVSQTDISLNDGDYAVKPEVIEDIRMPADNADCDNTNAELVKEAEVPRNEDTETRPAIPESAILSNDVDANNEPDGKEEIMESVEHTSDADTKPETIERDQKVVISEEKVNGGKQVTIGSEFCYGEKEKERFNRILKYYVGSHNFHNFTTRTKAEDPSARRYIISFDAKTTVTVDGIEFVKCEVVGQSFMLHQIRKMIGLAVAIVRNCAPESLISTALQKDVSINVPTAPEVGLYLDECLFASYNQKWKDSHEELSMKDYEEVAEDFKMKHIYSHVASTEHKEGAVALWLHSLNHRNYPDLRVSNCADTNAEKSAEVNLAKEM >Manes.06G149800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27813025:27821518:1 gene:Manes.06G149800.v8.1 transcript:Manes.06G149800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLKSSNNTDVMKTEKGNDSLDTFIRQAIGKEPFLSFSKAGDSPVQWIQLLQALDQQDLPGWPLLTPLKVQMQKCEKCSREFCSSINYRRHIRVHHRLKKLDKDSSKNRDLLGTFWDKLSEDEAMEILSFKDVTLEGVPGSSIVKALMGLIRKPGFSSLPQYCLRAGSALLDIIQARPSRFPLSSEDLFSILDDASEKTFLCGTAVSMQKYIFDGEAGKIGLEMKNLVACTSFLVEQKLVKAWLADKDAESLRCQKLLVEEEEAAQRRQAELLERKRQKKLRQKEQKTKEHVQEEQANLLKERIDNTVEGVSSAEQSFPLTASDSGMSCLEALADHIPSSFESFELPSMVENVGLEIQIGSGSDPGTRHNVERQTVQRNSRRHFVVGRWHLSPKSQWNHVPNGFHASQNSQASKHSAMQKHGNHRDSKPVPSINGNRKWSRKSKPNYTEDGLKTRVQKEAISLPDHNERHEVLIGSISVALGNCSQQEGNNVDGARDDFLSEHQIPKENSVQDKHNRPDSAHCNTNRSTIKLWRPVSRNGMQGPISVDNGARESHVDGVAGKIDDYTPSNGNCLTSLSVGDNKCGTGSFPLLPESLHPGTVHFSCQAAKAFLAERWKEAIASEHVKLVLSPELKSSADIQNGCLVDVAQSSDMKKCSLHGNVENQLVDVGSHESSTTGASKAKFRIKPEKGVKLKYIPKQRTIS >Manes.13G130800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33922292:33923999:1 gene:Manes.13G130800.v8.1 transcript:Manes.13G130800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKPKRNAGAKFFKILFGDFTKKLAIPPLFIKTFKKNIPKEPILKTEKGKFLVSVKFDDNRYFFKSGWNKFVKDHELETGDFLVFNLVDDKTFEVDMYAPTCCLKSLKLAFHEKRSCKDWEAKVTAEQEMLSKKRGRPRKPQVSARKAHGNAKRRPNVQGNTETSQRERVAEAIRAIDPKHPHFDMDLKHNQKYILVIPRRFVDKTGLDSKRNTLMKDPQGRVHTVDVKVRNRQVRLSSGWSAFYKANGLATGDTCVFESLPEKGNLIEVQIIKKRRQRISH >Manes.04G022900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2730196:2730906:1 gene:Manes.04G022900.v8.1 transcript:Manes.04G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKFLLFFLLYCTTTAFAQGPAAAPAPPGPTNVTKVLEKAGQFTVFIRLLKATQEDVTLNGQLNNTNNGITIFAPSDSAFQSLKSGTLNSINDQEKAELVQFHIIPTYLSSSQFQTVSNPVATQAGSGDRFQLNVTTTGNAVNISTGLTNTSVSGTVYTDGQLAIYQVDKVLLPIDIFTPKPPPPAPAPEIPKKKKGKSADSPTTSKDISGAVSFIVSNNVVFFGVGIVSAIVSL >Manes.11G044600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:4826344:4828007:1 gene:Manes.11G044600.v8.1 transcript:Manes.11G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRESIQKTKKFFHKALQNFRSLFFGGYQKLPKPFSCATTSIMNHQNDQCYADSCNEWCCDLEKATKRKKNGMSLSKELAREEDGCNESSLKLPSSPLKKKEDGIKEEKSKKHSNSRREEKCMDKDEGGYVLAKKMKELEMMDRSDMEHMLDVEEALHYYSRLRSPVYVGIVDKFFTDMYKEFSVPQRSASINSSRGRLGSIRL >Manes.12G004900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:625744:630939:1 gene:Manes.12G004900.v8.1 transcript:Manes.12G004900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVMRAMDKLKLAIAFCMILILAFTEAAPKASLITSLPGFNGNFPSKHYSGYVSFDQKNLFYYFIVSERNPLKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEEGKPKGSQPKLHLNPHSWSKVSNIIYLDSPCGVGLSYSINTTKYITGDQQTAVDTHTFLLKWFELYPEFVKNPFYISGESYAGIYVPTLASEVVKGIKAGQKPIFNFKGYLVGNGASHSKFDGINALIPFAHGMGLISEDIFEEIQSTCKGNYYNPTANCDTSLGKLDRSLSGLNIYDILEPCFHDPESQQKAKGNSTLPLSFQQLGVTNRPLKVRKRMFGRAWPLWALQRKGHLPLWPKLAEQGSVPCFSDEVATAWLNDESVRKAIHAQPKSIAGPWELCSDRIDYESFVGSMIPYHKNLTSQGYRALIYSGDHDMCVPFTGTQAWTRSLGYKIVDEWRPWISNDQVAGYLQGYEKNLIFLTIKGAGHTVPEYKPQESLDFYSRWLDGKPI >Manes.12G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:626218:631035:1 gene:Manes.12G004900.v8.1 transcript:Manes.12G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVMRAMDKLKLAIAFCMILILAFTEAAPKASLITSLPGFNGNFPSKHYSGYVSFDQKNLFYYFIVSERNPLKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEEGKPKGSQPKLHLNPHSWSKVSNIIYLDSPCGVGLSYSINTTKYITGDQQTAVDTHTFLLKWFELYPEFVKNPFYISGESYAGIYVPTLASEVVKGIKAGQKPIFNFKGYLVGNGASHSKFDGINALIPFAHGMGLISEDIFEEIQSTCKGNYYNPTANCDTSLGKLDRSLSGLNIYDILEPCFHDPESQQKAKGNSTLPLSFQQLGVTNRPLKVRKRMFGRAWPLWALQRKGHLPLWPKLAEQGSVPCFSDEVATAWLNDESVRKAIHAQPKSIAGPWELCSDRIDYESFVGSMIPYHKNLTSQGYRALIYSGDHDMCVPFTGTQAWTRSLGYKIVDEWRPWISNDQVAGYLQGYEKNLIFLTIKGAGHTVPEYKPQESLDFYSRWLDGKPI >Manes.12G004900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:626246:630939:1 gene:Manes.12G004900.v8.1 transcript:Manes.12G004900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVMRAMDKLKLAIAFCMILILAFTEAAPKASLITSLPGFNGNFPSKHYSGYVSFDQKNLFYYFIVSERNPLKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEEGKPKGSQPKLHLNPHSWSKVSNIIYLDSPCGVGLSYSINTTKYITGDQQTAVDTHTFLLKWFELYPEFVKNPFYISGESYAGIYVPTLASEVVKGIKAGQKPIFNFKGYLVGNGASHSKFDGINALIPFAHGMGLISEDIFEEIQSTCKGNYYNPTANCDTSLGKLDRSLSGLNIYDILEPCFHDPESQQKAKGNSTLPLSFQQLGVTNRPLKVRKRMFGRAWPLWALQRKGHLPLWPKLAEQGSVPCFSDEVATAWLNDESVRKAIHAQPKSIAGPWELCSDRIDYESFVGSMIPYHKNLTSQGYRALIYRNIFIFYFLQWRP >Manes.14G067300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5627631:5628822:-1 gene:Manes.14G067300.v8.1 transcript:Manes.14G067300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQKAATEDVKMDLFEDDDEFEEFEINEEWEDKEEGKEVTVTQQWEDDWDDDDVNDDFSLQLRRELEKNTEKN >Manes.11G051800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5852452:5854204:1 gene:Manes.11G051800.v8.1 transcript:Manes.11G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTGFLLVNKHFQVEAFQNKKIYQMKKAVKDEMRMASASSRRKTISQEYGVWKKHFGRVNAATGEERQKLNSWKSNISGGSGSLVQDGLVYRQNFLVRSFEIGFDRKLSLAALTNYLQDTALEQCRVIGISADGFGSTPEMSRQDLIWVTSTLQIVVDSYPSWHDCVEVDTWFYPSGQNSVGRDWIVRDGKTGCTLAQATSVWVLMNKKTRKLSKLKEEIRDELAPHMRNCDPIIVKDRRKLLRLDVDTADFAREGVKPDWDQLDLNQHVNHVQYINWILEIVPRSFVEHHKLSSITLEYRKECTTDSVLQSLAKIVKNGVRHNSNDKVIELEHLLLLENGSEIARGSTSWKPRDIPA >Manes.14G014500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1161811:1169576:1 gene:Manes.14G014500.v8.1 transcript:Manes.14G014500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6B METGGGSSESPVEPKKGKSKIPRKAKESLLKQKSPAEFFAENKNIAGFDNPGKCLYTTVRELVENSLDSAESISELPFVEITIEEIGKSKFNSMIGLVDRERVDEELYDDYETAKAREKRLAKEARAQEMQAKNAALGKKVKEQPSAKGMKGRGEASFYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKNQNYISFCRLDIDIHRNVPHIHFHEKRKNDDRWHGAEIQVVIEGNWTTYRSKMLHYMRQMAVITPYAQFLFKFISDTSDKNVTINFARRTDVMPPVPLETKHHPSSVDLLLIKRLIAETSKQNLLQFLQHEFVNIGKAHAERLIGEMGPEFSPKMPIKSLTDQQIVRIHQLFRQAKFDDPTGDCLSPAGEYNLRLGIIKELHPDMVATYSSSAQVFEGHPFLVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWASYKINQIQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIATAVKYAIQQCCIQLKSKIMKKMQAREQQERKRNLSKYIPDASGAVYDVLREMAQLHASKRKCFEEEDADILEKVSARLITKDTLTEKLAQHVEKVDYEMALEYATQSGVSEEPREDIYIQTLESENKFIDFHSPIFVFRLIS >Manes.10G056300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:12583327:12584553:1 gene:Manes.10G056300.v8.1 transcript:Manes.10G056300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRAKLTASPTNDFSPPPLAPPRLTQEQELSIMVAALKNVVSGGATNTATLTDVSQELFRLQFSTTAATSSQFATSSSATTVTDGFCSPLLPPSDLDTCNVCKIKGCLGCNFFPPNQEEKKGKRKRVKKNYRGVRQRPWGKWAAEIRDPRRATRVWLGTFNTAEEAARAYDKAAIDFRGPRAKLNFPFPDNIGNTSNLEDQKSTDQEQEQKENGKTKEFEAERELGKEFWEKIGEDEIQQWMTMMDFGGNSSDSSGIATSTL >Manes.06G134600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26257141:26263475:-1 gene:Manes.06G134600.v8.1 transcript:Manes.06G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDLGPFSDEKFDPKKWINSACQTRHPQESLDKHLVDLEMKLQMVSEEIAVSLEEQSAASLLRVPRATRDVIRLRDDAVSLRNSVSGILQKLKKAEGSSAESIAALAKVDTVKQRMETAYETLQDAAGLTQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRKQLEVLESRLDAMVQPRLTDALSNRKVDTAQDLRGILIRIGRFKSLEMHYTKVHLEPIKQLWEDFDSRQQASKFAGEKNELEKLSGSNDLSTTVSFSSWLPSFYDELLLYLEQEWKWCMVAFPDDYRILVPKLLIETMQAVGASFVSRINLATGDVVPETKALAKGILDILSGDMPKGIKIQTKHLEALIELHNMTVTFARNVQHLFSESDLRVLLDTLKAVYLPFESFKQRYGQMERAILSSEIAGVDLRGAVTRGVGAQGIELSETVRRMEESIPQVIVLLEAAVERCINFTGGSEADELILALDDIMLQYISFLQETLKSLRVVCGVDNVTDARKDTILEKKEGSQNVRKIDSVSNEEEWSIVQGALQILTVADCLTSRSSVFEASLKATLARLSTSLSVSVFGSSLDQKQSHIAANDGNEEPSLGGKAALDVAAVRLVDVPEKARKLSNLLDQSKDPRFHALPLASQRVAAFADTVNELVYDVLISKVRQRLSDVSRLPIWSSIEEQGAFPLPTFSAYPQSYVSSVGEYLLTLPQQLEPLAEGISSSDVNNDEAQFFATEWMFKVAEGATALYMEQLRGIQYITDRGAQQLAVDIEYLSNVLSALSMPIPPVLATFHTCLSTPRDQLKHLVKSDAGNQLDLPTANLVCKIRRISLD >Manes.15G092600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7189638:7209001:-1 gene:Manes.15G092600.v8.1 transcript:Manes.15G092600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFRAKLRVAVLVIITIWIGLLALYGLLKPISNGCIMTYMYPTYIPISTNDGGSSAKYGLYLYHEGWKKIDFNEHIKQLSGVPVLFIPGNGGSYKQVRSLAAESDRAYQGGPLEQTFYQEAYLTPEEGGDGASGAGFHLPNQYSSRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHKILDQYKESRDARQREGAVVSASLPKSVILVGHSMGGFVARAVIVHPHLRKSAVETILTLSTPHQSPPVALQPSLGDYFKRVNEEWRRRYEVQTTRTGRYVSDPLLSHVVVVSISGGYNDYQVRSKLETLDNIVPPTHGFMISSTGMRNVWLSMEHQAILWCNQLVVQVSHTLLSLMDSRTGQPFPETQKRLTVFSRMLRSGIPRTFNWMKQPYSSHQSTHTPIKDIKNALGSQAYALSKCPSNVHWNNDGLERDLYIQTTTMTVLAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRLHLWPEKAKSTSDLAANKRVVEVTSKLVKIPSRPAPRQMEPGSQTEQAPPSAVLCLSPEEMRGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPQDGERDLSAQLMLLSTYSQKEIFLKEDHPLAFNLSFSISLGLLPVTLSLNTVGCGIKRSGLLVEEDGDMENSRLCKLRCFPSVALAWDPTSGIHMFPNLQDETIIVDSSPALWSSTQGSERTTVLLLV >Manes.15G092600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7189638:7209001:-1 gene:Manes.15G092600.v8.1 transcript:Manes.15G092600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFRAKLRVAVLVIITIWIGLLALYGLLKPISNGCIMTYMYPTYIPISTNDGGSSAKYGLYLYHEGWKKIDFNEHIKQLSGVPVLFIPGNGGSYKQVRSLAAESDRAYQGGPLEQTFYQEAYLTPEEGGDGASGAGFHLPNQYSSRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHKILDQYKESRDARQREGAVVSASLPKSVILVGHSMGGFVARAVIVHPHLRKSAVETILTLSTPHQSPPVALQPSLGDYFKRVNEEWRRRYEVQTTRTGRYVSDPLLSHVVVVSISGGYNDYQVRSKLETLDNIVPPTHGFMISSTGMRNVWLSMEHQAILWCNQLVVQVSHTLLSLMDSRTGQPFPETQKRLTVFSRMLRSGIPRTFNWMKQPYSSHQSTHTPIKDIKNALGSQAYALSKCPSNVHWNNDGLERDLYIQTTTMTVLAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRLHLWPEKAKSTSDLAANKRVVEVTSKLVKIPSRPAPRQMEPGSQTEQAPPSAVLCLSPEEMRGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPQDGERDLSAQLMLLSTYSQKEIFLKEDHPLAFNLSFSISLGLLPVTLSLNTVGCGIKRSGLLVEEDGDMENSRLCKLRCFPSVALAWDPTSGIHMFPNLQDETIIVDSSPALWSSTQGSERTTVLLLV >Manes.15G092600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7189638:7209001:-1 gene:Manes.15G092600.v8.1 transcript:Manes.15G092600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRILEEHTEYVVYAIHKILDQYKESRDARQREGAVVSASLPKSVILVGHSMGGFVARAVIVHPHLRKSAVETILTLSTPHQSPPVALQPSLGDYFKRVNEEWRRRYEVQTTRTGRYVSDPLLSHVVVVSISGGYNDYQVRSKLETLDNIVPPTHGFMISSTGMRNVWLSMEHQAILWCNQLVVQVSHTLLSLMDSRTGQPFPETQKRLTVFSRMLRSGIPRTFNWMKQPYSSHQSTHTPIKDIKNALGSQAYALSKCPSNVHWNNDGLERDLYIQTTTMTVLAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRLHLWPEKAKSTSDLAANKRVVEVTSKLVKIPSRPAPRQMEPGSQTEQAPPSAVLCLSPEEMRGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPQDGERDLSAQLMLLSTYSQKEIFLKEDHPLAFNLSFSISLGLLPVTLSLNTVGCGIKRSGLLVEEDGDMENSRLCKLRCFPSVALAWDPTSGIHMFPNLQDETIIVDSSPALWSSTQGSERTTVLLLVDPHCSYKMSIGVSETAAASRFLLLYNSQMVGFSFAIIFFALMRQAHAWDLDLPIPSLLTAVESNLRMPLPFLFLCIMPILISLFISLIMSQPLPPFVSFITVSVICYFFANGFIILLIFVTQLIFYAAAIIHVFIKTRWQGWEGSVCFGFLHWFINLSSSFFSLKVVRVLRVNPLLVTALTAITLGCFVHPALGLFILLVSHALCCHNALCSFLTASFRSHAQRKELYDCKDRGNEGSQEDESKHEGLLNQNFIAAENSSSSANSTKSFGDTQLEIFHHRHGLLILHLLAAMMFVPSLVAWLQRIGLGHSFPWFLDSALCIGVILHGIFNSKPECNSLFSFPAILGKEVRLDFVYLLAGYFSYFFGLGSEPYKVFYAMAAVGFLSFALRTLQRKEKGEVHFGRKKHSHKH >Manes.15G092600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7189638:7209001:-1 gene:Manes.15G092600.v8.1 transcript:Manes.15G092600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFRAKLRVAVLVIITIWIGLLALYGLLKPISNGCIMTYMYPTYIPISTNDGGSSAKYGLYLYHEGWKKIDFNEHIKQLSGVPVLFIPGNGGSYKQVRSLAAESDRAYQGGPLEQTFYQEAYLTPEEGGDGASGAGFHLPNQYSSRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHKILDQYKESRDARQREGAVVSASLPKSVILVGHSMGGFVARAVIVHPHLRKSAVETILTLSTPHQSPPVALQPSLGDYFKRVNEEWRRRYEVQTTRTGRYVSDPLLSHVVVVSISGGYNDYQVRSKLETLDNIVPPTHGFMISSTGMRNVWLSMEHQAILWCNQLVVQVSHTLLSLMDSRTGQPFPETQKRLTVFSRMLRSGIPRTFNWMKQPYSSHQSTHTPIKDIKNALGSQAYALSKCPSNVHWNNDGLERDLYIQTTTMTVLAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRLHLWPEKAKSTSDLAANKRVVEVTSKLVKIPSRPAPRQMEPGSQTEQAPPSAVLCLSPEEMRGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPQDGERDLSAQLMLLSTYSQKEIFLKEDHPLAFNLSFSISLGLLPVTLSLNTVGCGIKRSGLLVEEDGDMENSRLCKLRCFPSVALAWDPTSGIHMFPNLQDETIIVDSSPALWSSTQGSERTTVLLLVDPHCSYKMSIGVSETAAASRFLLLYNSQMVGFSFAIIFFALMRQAHAWDLDLPIPSLLTAVESNLRMPLPFLFLCIMPILISLFISLIMSQPLPPFVSFITVSVICYFFANGFIILLIFVTQLIFYAAAIIHVFIKTRWQGWEGSVCFGFLHWFINLSSSFFSLKVVRVLRVNPLLVTALTAITLGCFVHPALGLFILLVSHALCCHNALCSHAQRKELYDCKDRGNEGSQEDESKHEGLLNQNFIAAENSSSSANSTKSFGDTQLEIFHHRHGLLILHLLAAMMFVPSLVAWLQRIGLGHSFPWFLDSALCIGVILHGIFNSKPECNSLFSFPAILGKEVRLDFVYLLAGYFSYFFGLGSEPYKVFYAMAAVGFLSFALRTLQRKEKGEVHFGRKKHSHKH >Manes.15G092600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7189638:7209001:-1 gene:Manes.15G092600.v8.1 transcript:Manes.15G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFRAKLRVAVLVIITIWIGLLALYGLLKPISNGCIMTYMYPTYIPISTNDGGSSAKYGLYLYHEGWKKIDFNEHIKQLSGVPVLFIPGNGGSYKQVRSLAAESDRAYQGGPLEQTFYQEAYLTPEEGGDGASGAGFHLPNQYSSRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHKILDQYKESRDARQREGAVVSASLPKSVILVGHSMGGFVARAVIVHPHLRKSAVETILTLSTPHQSPPVALQPSLGDYFKRVNEEWRRRYEVQTTRTGRYVSDPLLSHVVVVSISGGYNDYQVRSKLETLDNIVPPTHGFMISSTGMRNVWLSMEHQAILWCNQLVVQVSHTLLSLMDSRTGQPFPETQKRLTVFSRMLRSGIPRTFNWMKQPYSSHQSTHTPIKDIKNALGSQAYALSKCPSNVHWNNDGLERDLYIQTTTMTVLAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRLHLWPEKAKSTSDLAANKRVVEVTSKLVKIPSRPAPRQMEPGSQTEQAPPSAVLCLSPEEMRGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPQDGERDLSAQLMLLSTYSQKEIFLKEDHPLAFNLSFSISLGLLPVTLSLNTVGCGIKRSGLLVEEDGDMENSRLCKLRCFPSVALAWDPTSGIHMFPNLQDETIIVDSSPALWSSTQGSERTTVLLLVDPHCSYKMSIGVSETAAASRFLLLYNSQMVGFSFAIIFFALMRQAHAWDLDLPIPSLLTAVESNLRMPLPFLFLCIMPILISLFISLIMSQPLPPFVSFITVSVICYFFANGFIILLIFVTQLIFYAAAIIHVFIKTRWQGWEGSVCFGFLHWFINLSSSFFSLKVVRVLRVNPLLVTALTAITLGCFVHPALGLFILLVSHALCCHNALCSFLTASFRSHAQRKELYDCKDRGNEGSQEDESKHEGLLNQNFIAAENSSSSANSTKSFGDTQLEIFHHRHGLLILHLLAAMMFVPSLVAWLQRIGLGHSFPWFLDSALCIGVILHGIFNSKPECNSLFSFPAILGKEVRLDFVYLLAGYFSYFFGLGSEPYKVFYAMAAVGFLSFALRTLQRKEKGEVHFGRKKHSHKH >Manes.15G092600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7189638:7209001:-1 gene:Manes.15G092600.v8.1 transcript:Manes.15G092600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRILEEHTEYVVYAIHKILDQYKESRDARQREGAVVSASLPKSVILVGHSMGGFVARAVIVHPHLRKSAVETILTLSTPHQSPPVALQPSLGDYFKRVNEEWRRRYEVQTTRTGRYVSDPLLSHVVVVSISGGYNDYQVRSKLETLDNIVPPTHGFMISSTGMRNVWLSMEHQAILWCNQLVVQVSHTLLSLMDSRTGQPFPETQKRLTVFSRMLRSGIPRTFNWMKQPYSSHQSTHTPIKDIKNALGSQAYALSKCPSNVHWNNDGLERDLYIQTTTMTVLAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRLHLWPEKAKSTSDLAANKRVVEVTSKLVKIPSRPAPRQMEPGSQTEQAPPSAVLCLSPEEMRGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPQDGERDLSAQLMLLSTYSQKEIFLKEDHPLAFNLSFSISLGLLPVTLSLNTVGCGIKRSGLLVEEDGDMENSRLCKLRCFPSVALAWDPTSGIHMFPNLQDETIIVDSSPALWSSTQGSERTTVLLLVDPHCSYKMSIGVSETAAASRFLLLYNSQMVGFSFAIIFFALMRQAHAWDLDLPIPSLLTAVESNLRMPLPFLFLCIMPILISLFISLIMSQPLPPFVSFITVSVICYFFANGFIILLIFVTQLIFYAAAIIHVFIKTRWQGWEGSVCFGFLHWFINLSSSFFSLKVVRVLRVNPLLVTALTAITLGCFVHPALGLFILLVSHALCCHNALCSHAQRKELYDCKDRGNEGSQEDESKHEGLLNQNFIAAENSSSSANSTKSFGDTQLEIFHHRHGLLILHLLAAMMFVPSLVAWLQRIGLGHSFPWFLDSALCIGVILHGIFNSKPECNSLFSFPAILGKEVRLDFVYLLAGYFSYFFGLGSEPYKVFYAMAAVGFLSFALRTLQRKEKGEVHFGRKKHSHKH >Manes.15G092600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7189638:7209001:-1 gene:Manes.15G092600.v8.1 transcript:Manes.15G092600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFRAKLRVAVLVIITIWIGLLALYGLLKPISNGCIMTYMYPTYIPISTNDGGSSAKYGLYLYHEGWKKIDFNEHIKQLSGVPVLFIPGNGGSYKQVRSLAAESDRAYQGGPLEQTFYQEAYLTPEEGGDGASGAGFHLPNQYSSRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHKILDQYKESRDARQREGAVVSASLPKSVILVGHSMGGFVARAVIVHPHLRKSAVETILTLSTPHQSPPVALQPSLGDYFKRVNEEWRRRYEVQTTRTGRYVSDPLLSHVVVVSISGGYNDYQVRSKLETLDNIVPPTHGFMISSTGMRNVWLSMEHQAILWCNQLVVQVSHTLLSLMDSRTGQPFPETQKRLTVFSRMLRSGIPRTFNWMKQPYSSHQSTHTPIKDIKNALGSQAYALSKCPSNVHWNNDGLERDLYIQTTTMTVLAMDGRRRWLDIQKLGSNGKSHFIFVTNLAPCSGVRLHLWPEKAKSTSDLAANKRVVEVTSKLVKIPSRPAPRQMEPGSQTEQAPPSAVLCLSPEEMRGFRFLTISVAPRPSISGRPPPAASMAVGQFFNPQDGERDLSAQLMLLSTYSQKEIFLKEDHPLAFNLSFSISLGLLPVTLSLNTVGCGIKRSGLLVEEDGDMENSRLCKLRCFPSVALAWDPTSGIHMFPNLQDETIIVDSSPALWSSTQGSERTTVLLLVDPHCSYKMSIGVSETAAASRFLLLYNSQMVGFSFAIIFFALMRQAHAWDLDLPIPSLLTAVESNLRMPLPFLFLCIMPILISLFISLIMSQPLPPFVSFITVSVICYFFANGFIILLIFVTQLIFYAAAIIHVFIKTRWQGWEGSVCFGFLHWFINLSSSFFSLKR >Manes.06G033000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8820057:8822980:-1 gene:Manes.06G033000.v8.1 transcript:Manes.06G033000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENIRHMAKLRHLIIACNVIYVLVRMLIFHSTFTWKHWMGLVFTSIAYFIPYQQLAAMAKPSYGDDGELLDGGFDMSTGGICGYLHDVIYITSFVQLTSIISEKFWYTYLVVRSHLLTFLLKLHLDVKDCTCTIL >Manes.06G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8817883:8822980:-1 gene:Manes.06G033000.v8.1 transcript:Manes.06G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENIRHMAKLRHLIIACNVIYVLVRMLIFHSTFTWKHWMGLVFTSIAYFIPYQQLAAMAKPSYGDDGELLDGGFDMSTGGICGYLHDVIYITSFVQLTSIISEKFWYTYLVIPAFGAYKSFGFIKGFLSLGSEGDVEDEKTRKKREKLEKKASRAKFVKTRNR >Manes.18G018500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1878662:1879072:1 gene:Manes.18G018500.v8.1 transcript:Manes.18G018500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Manes.07G018700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2123368:2124771:-1 gene:Manes.07G018700.v8.1 transcript:Manes.07G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQLVLVPWAGMGHIVPAVELSKILLTRDHRLSITVFILNPSFINSKPIDNYIESLQSSSNRVRFIVLPRYETESFNFTSFFEIQKSHVKEAVLKITHSESAADSPPPRLAGFIIDMFCSPMMDVANDFNVPSYSFYTTSAAFIGLMLYVQKIHDQDEEKFDPTELINSNTELPISSFKNSFPAKLMPSLILSKEWLPPFIENARRYGEAKGILVNTFSELESYAIESLKTPPVYPVGPILDVESAGSKGDDEILKWLDDQHPSSVVFLCFGSMGSFSEDQVKEIAYALEHSGHRFLWVLRRPPPPGQLASPTDYEDPRDILPEGFLDRTAGIGKVTGWAPQMAVLAHRAVGGFVSHCGWNSILESIWFGVPIATWPIYSEQHLNAFEMVIELGLAVDIKMDYNTQNGIIVNSNEIERGIRCLMEHDSDKRKKVKEMSEKSRKALMDGGSSYLWLDRFIKDIGQF >Manes.15G042800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3291630:3294689:1 gene:Manes.15G042800.v8.1 transcript:Manes.15G042800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQETVFTPSLEGMKHVKSEQGEMLTKPFLDVCKLILPVIDKFGAAMALVKSDIGGNISRLEAKYSSDPTKYTHLYTMVQEEIDAKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLAHADWTMSQACIDAYGKTLKKFHGWLASSSFTVAMKLAPDRKKFMEVIAGSGDIGADMENFCASFSPFLEENHKFLASVGMDDLKAS >Manes.07G080670.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24658486:24659970:1 gene:Manes.07G080670.v8.1 transcript:Manes.07G080670.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIPVVAVCFYQRSSAQYLDVTKYGAKAGSDITKVWNAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.05G157200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27023312:27026078:1 gene:Manes.05G157200.v8.1 transcript:Manes.05G157200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISCHSVLPSHKVSFSSSSSAFSSNLLSHSARFSSASVSSRAIRGRILCQTSSATSTVNGTELKDFLHISDFDKATILKILDRAAEVKALLKSGDRTFLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDVIMARVFAHQDILDLAKYSTVPVINGLTDFNHPCQIMADALTIIEHIGQLEGTKVVYVGDGNNIVHSWLLMASVIPFHFVCACPKGFEPDAKTVEMARQAGISKIEITNDPKEAVRGADVVYSDVWASMGQKEEAAQRRKLFEGFQVDEDLMKLAGPNAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGL >Manes.05G157200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27023054:27026762:1 gene:Manes.05G157200.v8.1 transcript:Manes.05G157200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISCHSVLPSHKVSFSSSSSAFSSNLLSHSARFSSASVSSRAIRGRILCQTSSATSTVNGTAKTELKDFLHISDFDKATILKILDRAAEVKALLKSGDRTFLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDVIMARVFAHQDILDLAKYSTVPVINGLTDFNHPCQIMADALTIIEHIGQLEGTKVVYVGDGNNIVHSWLLMASVIPFHFVCACPKGFEPDAKTVEMARQAGISKIEITNDPKEAVRGADVVYSDVWASMGQKEEAAQRRKLFEGFQVDEDLMKLAGPNAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGL >Manes.12G006000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:678252:680697:-1 gene:Manes.12G006000.v8.1 transcript:Manes.12G006000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIIRESDYEDKNDGVLLPPANFSMVEDGIFRSAFPQPHNFPFLKSLNLRSIIYLCCEPYPQENLEFLRANNIKLLQFGIEGKSEPSVSMPRDTIMEALKVLIDVRNHPILIHCNRGKHRTGCLVGCFRKLQNWCLASVFEEYRHFAGVKWRNSDLQFMEKFDVTCLRQCLYSIIYQYHGYGSNKRRLLYREENIQKPQIKSN >Manes.12G006000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:678400:680681:-1 gene:Manes.12G006000.v8.1 transcript:Manes.12G006000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIIRESDYEDKNDGVLLPPANFSMVEDGIFRSAFPQPHNFPFLKSLNLRSIIYLCCEPYPQENLEFLRANNIKLLQFGIEGKSHRTGCLVGCFRKLQNWCLASVFEEYRHFAGVKWRNSDLQFMEKFDVTCLRQCLYSIIYQYHGYGSNKRRLLYREENIQKPQIKSN >Manes.12G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:678400:680681:-1 gene:Manes.12G006000.v8.1 transcript:Manes.12G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIIRESDYEDKNDGVLLPPANFSMVEDGIFRSAFPQPHNFPFLKSLNLRSIIYLCCEPYPQENLEFLRANNIKLLQFGIEGKSEPSVSMPRDTIMEALKVLIDVRNHPILIHCNRGKHRTGCLVGCFRKLQNWCLASVFEEYRHFAGVKWRNSDLQFMEKFDVTCLRQCLYSIIYQYHGYGSNKRRLLYREENIQKPQIKSN >Manes.02G122100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9289740:9291592:1 gene:Manes.02G122100.v8.1 transcript:Manes.02G122100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGGVTSMDDFLEKFFPLVYVKKHRAREDNYCKYDNKYLQLFTSSLYLAAILSSFAASFFCKLWGRKPTIQVASIFFLVGAILNAVAQNLGMLIAGRLCLGAGVGFGNQAVPLFISEIAPAKYRGGLNICFQLLITIGILIANIINYFTSNLHPYGWRISLGGAAGPAVILLLGSILISETPTSLIERGKIEKGLEVLRKIRGVDNVDKEYLEILNAIELAKQVKSPFRNLITKRSSWPQLFCGTILQIFQQFTGINVVMFYAPVLFQTMGLGGDASLLSAVVTDSINVAATLIAIFTVDRIGRRTLLIEAVIQMLIAQSVMGATLAKHLKATNVMPKHSAMVVLILICVFVSGFAWSWGPLGWLIPSEIFPLETRSAGFFFAVSMNMLCTFLIAQTFLSMLCHMRSGIFFFFAAWIVVMGLFAIFLLPETKGIPIDEMNEKVWKKHWLWKRCYKKETEENLEEKSLPLS >Manes.02G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9289206:9291592:1 gene:Manes.02G122100.v8.1 transcript:Manes.02G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIMVESCSGKDFPAKLTSQVLVCSIIAAFGGLMFGYDIGISGGVTSMDDFLEKFFPLVYVKKHRAREDNYCKYDNKYLQLFTSSLYLAAILSSFAASFFCKLWGRKPTIQVASIFFLVGAILNAVAQNLGMLIAGRLCLGAGVGFGNQAVPLFISEIAPAKYRGGLNICFQLLITIGILIANIINYFTSNLHPYGWRISLGGAAGPAVILLLGSILISETPTSLIERGKIEKGLEVLRKIRGVDNVDKEYLEILNAIELAKQVKSPFRNLITKRSSWPQLFCGTILQIFQQFTGINVVMFYAPVLFQTMGLGGDASLLSAVVTDSINVAATLIAIFTVDRIGRRTLLIEAVIQMLIAQSVMGATLAKHLKATNVMPKHSAMVVLILICVFVSGFAWSWGPLGWLIPSEIFPLETRSAGFFFAVSMNMLCTFLIAQTFLSMLCHMRSGIFFFFAAWIVVMGLFAIFLLPETKGIPIDEMNEKVWKKHWLWKRCYKKETEENLEEKSLPLS >Manes.18G045900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4034613:4037667:1 gene:Manes.18G045900.v8.1 transcript:Manes.18G045900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESISELLCQESETCLAEEVVDEDTFIDMTKSCGDFGEEENGYLKMLVEREINFGCKRDQSVSFDNWVKCARLEAIAWILKTRAIFGFRFQTAYLSITYFDRFLSKRSIDSEKLWAVRLLTVACLSLAAKMEETNAPALSEFQIEEYNFESQVIQRMELLVLNTLEWRMISITPFAFLHYLIIKFCKDSPTRHIVSRTVGFISALMREINLKDHRPSAIAAAATLMALDQSLTRQALECKMNSISYSGFLEIEDVCQCYNLMQKLEMENIKTPKLVNSQVASPAAQLRPLDVVENSSVTCAISTKRKRHAFDNSDKNYGLTDEKKR >Manes.18G045900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4034613:4037292:1 gene:Manes.18G045900.v8.1 transcript:Manes.18G045900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESISELLCQESETCLAEEVVDEDTFIDMTKSCGDFGEEENGYLKMLVEREINFGCKRDQSVSFDNWVKCARLEAIAWILKTRAIFGFRFQTAYLSITYFDRFLSKRSIDSEKLWAVRLLTVACLSLAAKMEETNAPALSEFQIEEYNFESQVIQRMELLVLNTLEWRMISITPFAFLHYLIIKFCKDSPTRHIVSRTVGFISALMREINLKDHRPSAIAAAATLMALDQSLTRQALE >Manes.18G045900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4034613:4037614:1 gene:Manes.18G045900.v8.1 transcript:Manes.18G045900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESISELLCQESETCLAEEVVDEDTFIDMTKSCGDFGEEENGYLKMLVEREINFGCKRDQSVSFDNWVKCARLEAIAWILKTRAIFGFRFQTAYLSITYFDRFLSKRSIDSEKLWAVRLLTVACLSLAAKMEETNAPALSEFQIEEYNFESQVIQRMELLVLNTLEWRMISITPFAFLHYLIIKFCKDSPTRHIVSRTVGFISALMREINLKDHRPSAIAAAATLMALDQSLTRQALECKMNSISYSGFLEIEDVCQCYNLMQKLEMENIKTPKLVNSQVASPAAQLRPLDVVENSSVTCAISTKRKRHAFDNSDKNYGLTDEKKR >Manes.04G078479.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:29153570:29155388:-1 gene:Manes.04G078479.v8.1 transcript:Manes.04G078479.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYFPKHLVFNILFKLPVRSVVRFRCVCRSWCALFSDPNFVYKNLLSCSDSTTNSQILVKYDDYRFEYYLAFSFLCNDTFDMSPPQEIPYPHDIMEKCSFVDIVGSCCNGVICLRDGYFFENLLGLWDDVYNYESNIVLWNPTTSETKMVPQSNLSHPPPPPEPFSLEIVEFGFDSTTCDYKVLRIFEYLTHDNQCDYLAEIYSLRDDTWRKLDVSLNSWELPRYKYENGYSDYNYDHRAHTGANGTFHWCAKERDHSRDLIVSFDLSNEVIKTTALPDAFSSHFWRTILCLNEHVALSLSTNHHVELWVLLEYGVDESWTKLFTVAYPECLEMSLPLGFSRKGELFFSSWNQHLLVWNPPEETISPVPLEGAVHTSNYLQAVPYMESHTSLKGCNKLEDEQNSGDAAQC >Manes.02G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5808395:5813777:1 gene:Manes.02G076500.v8.1 transcript:Manes.02G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSAHADQGLKEEEQIYFHDNYTKQNDGEWQTVSYHKRNRKYSKLPAPENSSGYSYNGVTATNPDVCRSIEQYSEDRLQRILKEQRASAAVGEYSVAGNGSKRHSDDDGDSDTEATAGAANGEKKKKAKKQKKPKVTVAEASATIDAGDLGAFLVDITSSYETQQDIQLMRFADYFGRAFASVSSAQFPWLKTFRESSVSKLVDIPLSHISEDVYKIAVDWLGHRSHEALESFVLWLMDSIFADFASHQAAVKGSKKPVQQLPSKSQVAMFVILAIALRRKPDVLINLLPIIRENPKYQGQDKLPVTVWVIAQASEGDLVVGLYMWTRVLLPVLSSKSSNNPQSRDLILQLVERILSFPKARAILLNGAVKKGERLVPPSAFELLMRVTFPAPSGRVKATERFEAVYPILKEIALAGSSGSKSMNQVTHEILNSAIKAAGEGVPDLSKEASDVFIWCLAQNSDAYKQWDMLYLDHLEASVIVLRKLSDEGKEYSVKHATHNSLSLRETLEFFRQKNEKALADAEDAGYHASLREADKYCKVILGRVSKGHGCRRTMLFVSVALAVGAAIVSRTVQSWDL >Manes.02G076500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5808395:5813777:1 gene:Manes.02G076500.v8.1 transcript:Manes.02G076500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSAHADQGLKEEEQIYFHDNYTKQNDGEWQTVSYHKRNRKYSKLPAPENSSGYSYNGVTATNPDVCRSIEQYSEDRLQRILKEQRASAAVGEYSVAGNGSKRHSDDDGDSDTEATAGAANGEKKKKAKKQKKPKVTVAEASATIDAGDLGAFLVDITSSYETQQDIQLMRFADYFGRAFASVSSAQFPWLKTFRESSVSKLVDIPLSHISEDVYKIAVDWLGHRSHEALESFVLWLMDSIFADFASHQAAVKGSKKPVQQLPSKSQVAMFVILAIALRRKPDVLINLLPIIRENPKYQGQDKLPVTVWVIAQASEGDLVVGLYMWTRVLLPVLSSKSSNNPQSRDLILQLVERILSFPKARAILLNGAVKKGERLVPPSAFELLMRVTFPAPSGRVKATERFEAVYPILKEIALAGSSGSKSMNQVTHEILNSAIKAAGEGTGVPDLSKEASDVFIWCLAQNSDAYKQWDMLYLDHLEASVIVLRKLSDEGKEYSVKHATHNSLSLRETLEFFRQKNEKALADAEDAGYHASLREADKYCKVILGRVSKGHGCRRTMLFVSVALAVGAAIVSRTVQSWDL >Manes.04G131300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33278902:33282627:1 gene:Manes.04G131300.v8.1 transcript:Manes.04G131300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPKEYDYIGLSENSSVERISDKVSSSSSSSSTLPTEENGNNNKTSSLNLKETELRLGLPGYQSQERKSLPGVSLFGKDIDTDTNGYSLSPLKNLVSGAKRGFSDAIDESSGKWVFSVNNGSNYDLNKGAVLFSPRGDNGNTQKTSVCGPAKQDVVGVFTQSSKPIQEKSSQLSGPNENSNAPAAKAQVVGWPPIRSFRKNTMASNATKKNEDAESKSGFGCLYVKVSMDGAPYLRKIDLKTYSNYVELSSALEKMFSCFTIGPCGTHGLPGRDGLSETCLKDILHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLAPRSMEKCKNRN >Manes.04G131300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33278752:33282627:1 gene:Manes.04G131300.v8.1 transcript:Manes.04G131300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPKEYDYIGLSENSSVERISDKVSSSSSSSSTLPTEENGNNNKTSSLNLKETELRLGLPGYQSQERKSLPGVSLFGKDIDTDTNGGDNGNTQKTSVCGPAKQDVVGVFTQSSKPIQEKSSQLSGPNENSNAPAAKAQVVGWPPIRSFRKNTMASNATKKNEDAESKSGFGCLYVKVSMDGAPYLRKIDLKTYSNYVELSSALEKMFSCFTIGPCGTHGLPGRDGLSETCLKDILHGSEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSEAIGLAPRSMEKCKNRN >Manes.04G149701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34624791:34626429:-1 gene:Manes.04G149701.v8.1 transcript:Manes.04G149701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVTSIRQDADTFYNNLLDGVSGISEIEAFDCSQFPTKIAGEIKSFSADGCVSPKLFKRADKSVLYLLTAGKKALADAGITEQVMNVLDKTRCGVVIGSALGGMKDFNDAIEALRVTYKKMNPFCVPFATTNVGSAILAMDLGWMGPNYSISSACATSNFCILNSANHIIRGETDLMLCGGSDAAIIPLGLGGFVACRTLSQRNDDPTKASCPWVTDRDGFVLGEGAGFCS >Manes.04G004300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:646732:648133:-1 gene:Manes.04G004300.v8.1 transcript:Manes.04G004300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSESESIAYGRNGNTCPSHQQDQDSLKETSSVSPLAAAGAGEGGQSSSSLLSLTLNEIQHKSGKSFGSMNMDDFLANLWSVDENQGPSQPNQHQPTKDNNNNSNNSSNNGILNQPPLARQGSFSIPAPLCKKTVDEVWFEIQKDRPEHQNSTNTGPHEPPQRQLTLGEMTLEDFLVKAGVVREAPGSASSQQKIVNIPGIQNISLDAVLGMGQVMGIGFSAAHHQRIGNNFSAGNDFTSYQMFPSDASNGKHEEGQQQSKKRILDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELELELNQLKEENAKLKQLVEEIEQNQEEEVMPEKKGDNKLKSIRRTVSLSW >Manes.04G004300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:646732:648668:-1 gene:Manes.04G004300.v8.1 transcript:Manes.04G004300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSESESIAYGRNGNTCPSHQQDQDSLKETSSVSPLAAAGAGEGGQSSSSLLSLTLNEIQHKSGKSFGSMNMDDFLANLWSVDENQGPSQPNQHQPTKDNNNNSNNSSNNGILNQPPLARQGSFSIPAPLCKKTVDEVWFEIQKDRPEHQNSTNTGPHEPPQRQLTLGEMTLEDFLVKAGVVREAPGSASSQQKIVNIPGIQNISLDAVLGMGQVMGIGFSAAHHQRIGNNFSAGNDFTSYQMFPSDASNGKHEEGQQQSKKRILDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELELELNQLKEENAKLKQLVEEIEQNQEEEVMPEKKGDNKLKSIRRTVSLSW >Manes.01G019801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:4627430:4628063:1 gene:Manes.01G019801.v8.1 transcript:Manes.01G019801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFFSSNFRSLSWLPLPLLLPLAVITPCHHCSSVAVVTPCFYCCHRRSSAAPLPSLCHRLSVISLSSHLHLCCRHLSARGTVAPLRLVLWLLCLPLLL >Manes.15G162502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13523009:13526675:1 gene:Manes.15G162502.v8.1 transcript:Manes.15G162502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTNFIITVAGVSAVILLLRSDVKQSATIFRRNVKHIRQWLEEESAAASKASENATPKELESKVHKKDAPKEDKH >Manes.04G134300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33514286:33521383:-1 gene:Manes.04G134300.v8.1 transcript:Manes.04G134300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKCDLVPLICFLSLQILFGNSYSTQPMSCTDTTRLCTSFLAFKPQKDQTLAVIQSMFDVLPQDVAVEGNDRGYIFIKKNCSCLSTTKVYASNTTYTVKSSEGYVYDIVIDAYDGLAFLPNTTRLAKVGAVISLRLFCGCSNGLWNYLMSYVMGEEDTVESLSSRFGVSMDSIESVNGLGNPDNVTVGALYYIPLNSENPPKQKAQVPYGWIIGGLGIGLALIVFCIFICVCLKSSSCCFSKARGDHAKDPDGKVSHRFHTLRKPSFCCASGRYTSGKSGEWKQTDGESSSYQITIPKALGTEMLDVEKPVVFTYEEIIFATDGFSDSSLIGHGTYGSVYYGHLHDQEVAVKRMTATKTKEFMAEMKVLCKVHHTNLVELLGYAASDDELFLIYEYAQKGSLKNHLHDPLNKGHSSLSWVMRVQIALDAARGLEYIHEHTKTHYVHRDIKTSNVLLDGSFRAKISDFGLAKLVGKPGEGEATATKVVGTFGYLAPEYLSDCLATAKSDVYAFGVVLFEIISGKEAIIQTEGALKKNPERRSLASIMLAALRNSPDSMSMSSLKDHIDPNMMDLYPHDCVFKMAMVAKQCVDEDPIIRPDMKQVVISLSQILLTSIEWEATLAGNSQVFSGLVQGR >Manes.04G134300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33514286:33521382:-1 gene:Manes.04G134300.v8.1 transcript:Manes.04G134300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKCDLVPLICFLSLQILFGNSYSTQPMSCTDTTRLCTSFLAFKPQKDQTLAVIQSMFDVLPQDVAVEGNDRGYIFIKKNCSCLSTTKVYASNTTYTVKSSEGYVYDIVIDAYDGLAFLPNTTRLAKVGAVISLRLFCGCSNGLWNYLMSYVMGEEDTVESLSSRFGVSMDSIESVNGLGNPDNVTVGALYYIPLNSVPGDPYPVENDIPPSPAPVPEPSFDGSSENPPKQKAQVPYGWIIGGLGIGLALIVFCIFICVCLKSSSCCFSKARGDHAKDPDGKVSHRFHTLRKPSFCCASGRYTSGKSGEWKQTDGESSSYQITIPKALGTEMLDVEKPVVFTYEEIIFATDGFSDSSLIGHGTYGSVYYGHLHDQEVAVKRMTATKTKEFMAEMKVLCKVHHTNLVELLGYAASDDELFLIYEYAQKGSLKNHLHDPLNKGHSSLSWVMRVQIALDAARGLEYIHEHTKTHYVHRDIKTSNVLLDGSFRAKISDFGLAKLVGKPGEGEATATKVVGTFGYLAPEYLSDCLATAKSDVYAFGVVLFEIISGKEAIIQTEGALKKNPERRSLASIMLAALRNSPDSMSMSSLKDHIDPNMMDLYPHDCVFKMAMVAKQCVDEDPIIRPDMKQVVISLSQILLTSIEWEATLAGNSQVFSGLVQGR >Manes.17G033500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22975946:22979297:1 gene:Manes.17G033500.v8.1 transcript:Manes.17G033500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATYQNQLILSLKSGCVFLDSQLQAFSLEPSAFSSTLSTACLRLSSLQKREEKEINDAVLHALEDKLKHQLIMAIADQKSPPDNYSNGNKVWGFFKLPFRGSNANTTPSSSSLTHLHHQNNAQVEGSNAYASNSVSSVARSFLPTRRRLKLDPATKLYFPYEPGKQVRSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVELPENNEKPVEQKSKVKFKILSLKVKGAMDYVPELFDEQKDQVSIEQILRVVFLDPERTSPALEKLKRQLADAEAAVEARKKPPEDAGPRIIGEGLVIDEWKERRERYLARQQVEGVVDSV >Manes.17G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22975837:22980115:1 gene:Manes.17G033500.v8.1 transcript:Manes.17G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADQKSPPDNYSNGNKVWGFFKLPFRGSNANTTPSSSSLTHLHHQNNAQVEGSNAYASNSVSSVARSFLPTRRRLKLDPATKLYFPYEPGKQVRSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVELPENNEKPVEQKSKVKFKILSLKVKGAMDYVPELFDEQKDQVSIEQILRVVFLDPERTSPALEKLKRQLADAEAAVEARKKPPEDAGPRIIGEGLVIDEWKERRERYLARQQVEGVVDSV >Manes.07G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34285593:34291899:-1 gene:Manes.07G143400.v8.1 transcript:Manes.07G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGDLRLPRTDHTAIGEKRSSGGLGEKQESLHERIKVRKLDSVLRTEETSTHPMKNREASDQFQFGEGLSQVTSLPVTIDLAAAQAERRGRTSLSVEAGSRPLDLNTEACVADNSASNASPGNTETCKKVSLLKQHDREHDSKFVTSGGIGLDLNAEDVTSPMNPELFRNPKIHDHLKSRRDASECGSTTSPVKGKDSLRVWKEMKQNGFLSSSHGGISIQRGVTSFSHGGIPMPKQRGRKSKNDILKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNKKQVHSIIEALVKSEKLENGCVETKETRNVGDSGVHLLSFSRGNGGSTILSGNKQIGGCHILTGESDSSMVGTICGRNSLSHSTSVIEDDTLALKLSTSSKVSEESRTFSNEESTNVNSISSLSVRAASVASQWLELLHQDIKGRLSALRRSKKRVRAVITTELPFLISKEFPSNQENDPFIMKTSSDGQSSNAMSSLHQARWSTLFDQMDKALIEEEKQLESWLNQVKEMQLHCDQGLQNFQWNSIFVSQLQETSENYNRKVETFEREIAVRAAAASIYSTCSFLMSKENVPCSGRITESDC >Manes.07G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:750778:753635:1 gene:Manes.07G005500.v8.1 transcript:Manes.07G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKRVESIFVEDNLYENINAPKWVDFLNSEDDSMDDEAWFCRPDCNHPKTAKDFFRTTPTSKLLRSADKSKSPFGNGNLRDAKLKRRVQSQCSFAYSDRSKLNEDSENQNPNLSTPPNYQAKLLKAATKSSSEKKKPVEDTFQTNEAPRLKSTLSARNLFAGKDILGHISEFCNELKKIAMRAKERECDEKLNERESNLKEKKDVLVVNGNSREALREVDVKEKEKKPLLEAGKEKSEAIEKGSVKEMQRRKKLNEDAENIPTPLNMENVKRKGEERLLQIRTNPPSPQCFSATRAPTKTTPSKASKSRLMERGILQEVKQSKEVAKEETEDKGRNFSIVDGRETRALDVFWFLKPCTMSS >Manes.07G005500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:750778:753635:1 gene:Manes.07G005500.v8.1 transcript:Manes.07G005500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKRVESIFVEDNLYENINAPKWVDFLNSEDDSMDDEAWFCRPDCNHPKTAKDFFRTTPTSKLLRSADKSKSPFGNGNLRDAKLKRRVQSQCSFAYSDRSKLNEDSENQNPNLSTPPNYQAKLLKAATKSSSEKKKPVEDTFQTNEAPRLKSTLSARNLFAGKDILGHISEFCNELKKIAMRAKERECDEKLNERESNLKEKKDVLVVNGNSREALREVDVKEKEKKPLLEAGKEKSEAIEKGSVKEMQRRKKLNEDAENIPTPLNMENVKRKGEERLLQIRTNPPSPQCFSATRAPTKTTPSKASKSRLMSSLLFSCD >Manes.09G134400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33441631:33447983:1 gene:Manes.09G134400.v8.1 transcript:Manes.09G134400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDAARIGLHIRRIFIVSIRTSYKSVLKHPVLVGLVCFLIFLYRSFPFLFSLLVSASPVLFCTVILLGTLLSFGEPNIPEIEKANKEVSHEISSLKAGVLGDATTVLEKDENIFVENFVGKRKDAAEEAIGEENWEKNRVSKIERDVGSCDYVQLVDGSSQDIKFEKQVIEQVERDFGDLELEKNREFYKEKQGIKVVLTNGEAIENHCSLVQNVGDETLQVKKDKSAGGFIAAEKGHHLDHELSSWKQVNDDDEEEEEEKEDDDEASDPGSDGAESSSPDASMADIMPMLDELHPLLDEEAPQPAPVSHDGSDAASKRSNRSNESSVGSEDDIENEADEEDGDDDNDNEEDEEVQEGKEDESKSAIKWTEADQKNLMDLGTLELERNQRLESLIARRRARRNMSLVAERNLIDLDGADLPLNVPPISTMRRNPFDVPYDDVPGSAPSVLLPRRNPFDLPYDSNEEKPDLKGDSFQQEFSPLQHREPLFCRHESFSVGPSVWGGAKQHRQALRWKPYFVPERLDSEETSYHALQRQLSEASESKISSVLDTESVSSAVEEEDKKLNEDLSQETEMISNLNHASVLVERGSLSSEDIDSVDIENIERRDVHNDGKEITLGDAENHPELDSSLSASGGATGIELNTSVILLRMEPGEEEYSSRSSPSSQSEVDEKISGVRKRSMSPDPGKSQIEESHISTQASLDSAFHFMGEVVGEIQEKELVMNPRGNDTAKDVTAMQMSFDSDIHFTSGVEDENRHIEPGFESTGDPIEDSRILTLASSDSDSHFKIVVLDNDQQEEPVLEPSGNLIRKSCISIQTSLNSDFHFTSDPVDDNQQKDPVYDSSPHAVEKFLSFSSISSDTQGETSEMGSPPALAEFSGKESEVHTENIGKDTSCQKEAYEGSSKEHSLFENESSSRKVAETEPDVAKVGQLGDNQVLDFQSGENGFMKSELVVERDASEDTVLHALEEQHPLVGDVSVDSKLFSSESKSVEEDVLRMKGISQPEQEEVPSSGFDAEVHSNSSVSSSFEHMPSNDLNLSENDERQPVVVAGQVLEAHPNASSSKIKHVEELSLMKGEDFQFKQDQEPSVAVEESTAEVNIANNLHVPEVFNHEASESASVPPEILEHKPKLDEVDFEDNILDKIVYEDSGHVSEHLDYSARTHRSFIAEENINEDEDEVKEIDEGLLSELDTVGDFSVKVVGESLHDEQIPVRTMAGIPEYNLLPTDSRVPEIKQEISVLEVRSVTDGDLAFKELHEGVDVEEVTLPSMIEDQPVVVKGPGETNSDLRVVEARSLDIEPNTVEATEAGMIQESGSSAVVLDSQEMSTVVPGEPKH >Manes.06G003200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:696961:701281:-1 gene:Manes.06G003200.v8.1 transcript:Manes.06G003200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSRNDHRDRTSRFPNQHARQTWVPRGSGSNAVVNPPSSFGSPPNGIGGGHTNHTSAPPSPRHSGNSNASRGRKPWPTNHRRDREKERDRSHTHEVKDLKDPNLPQLVQEIKDKLVKGTVECMICYDMVRRSASIWSCSSCYSIFHLNCIKKWARAPTSIDLSAEKSQGFNWRCPGCQSVQLTSLKEIKYVCFCGKRTEPPSDLYLTPHSCGEPCGKPLERRVPGAGENKEDLCPHVCVLQCHPGPCPPCKAFAPPRVCPCGKKIITTRCSDRMSVLTCGQHCDKLLECWRHRCEKICHVGSCDPCQVLVSASCFCKKKVETVLCGDMAVKGEVKAEDGVFSCNLTCKKMLACGNHECSNTCHPGPCGDCDLMPGRVKSCYCGKTSLQLERKSCLDPIPNCDQICGKPLACRIHHCKEVCHAGDCPPCLVLVTQKCRCGSTSRTVECFKTSSENEKFACEKPCGRKKNCGRHRCSERCCPISNLHNLLTGDWDPHFCQMACGKKLRCGQHSCESLCHTGHCPPCLETIFTDLSCACGRTSIPPPLPCGTPPPSCQLPCSVPQPCGHSASHSCHFGDCPPCSVPIAKECVGGHVVLGNIPCGSKDIRCNKLCGKTRQCGLHACGRTCHPPPCDTSSSGIEAGPRASCGQTCGAPRRDCRHTCTALCHPSSPCPDVRCEFPVTITCSCGRITASVPCDAGGSNSGFNADTVFEASIVQKLPVPLQPVESTGKKIPLGQRKLVCDDECAKLERKRVLADAFDITPNLEALHFGENSAVTELLSDLYRRDPKWVLAVEERCKYLVLGKNRGSINGLKLHVFCPMLKDKRDAVRLIADRWKLAVYAAGWEPKRFIVVHVTPKSKTPSRVIGVKGTTTLTAPHPPAFDPLVDMDPRLVVSFLDLPREADISSLVLRFGGECELVWLNDKNALAVFNDPARAATAMRRLDHGSVYQGAVVVLQSGGASNAPANPWGGTGNTKGGAVAALKPWKKAVVPEHGWREDSWGSEEWSHGSVDVQASAWKGKEAPITATINRWSALDSESTSRTSATSVRIEDPAKLAANSRMELNPSISTSSELPGGASSQAELSEVVDDWEKAYDTENIQ >Manes.01G066800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26756588:26763958:1 gene:Manes.01G066800.v8.1 transcript:Manes.01G066800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEELRDAQKKNRLITLPSLIEYFGYCLCCGSHFAGPVYEVKDYLEWTERKGIWACTEGGPSPSPYGATTRALFQAAFCMALYLYLVPHFPLSRFTDPVYQEWGFWKRLSYQYMSGFTARWKYYFIWSISEASIVISGLGFSGWTNSSPPKARWDRAKNVDILGVEFAKSSVELPLVWNIQVSTWLRHYVYERLIQKGKKPGFFQLLATQTTSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAIPPNMAIIKKMLVFMNFAYTVLVLNYSCVGFMVLSLHETIAAYGSVYYIGTIVPIIFILLGYIIKPARPARSKARKDQ >Manes.01G066800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26756871:26759384:1 gene:Manes.01G066800.v8.1 transcript:Manes.01G066800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMESMAVAIGVSIPVLRFLLCFVATIPVSFIHRLVPGKFGKHLYAAFSGAFLSYLSFGFASNLHFLVPMLLGYASMLLFRSHCGILTFILGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEELRDAQKKNRLITLPSLIEYFGYCLCCGSHFAGPVYEVKDYLEWTERKGIWACTEGGPSPSPYGATTRALFQAAFCMALYLYLVPHFPLSRFTDPVYQEWGFWKRLSYQYMSGFTARWKYYFIWSISEASIVISGLGFSGWTNSSPPKARWDRAKNVDILGVEFAKSSVELPLVWNIQVSTWLRHYVYERLIQKGKKPGFFQLLATQTTSAVWHVSTITRFISFFYSLQLSRVSPTSVNFYKTIDQA >Manes.01G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26756588:26763958:1 gene:Manes.01G066800.v8.1 transcript:Manes.01G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDMESMAVAIGVSIPVLRFLLCFVATIPVSFIHRLVPGKFGKHLYAAFSGAFLSYLSFGFASNLHFLVPMLLGYASMLLFRSHCGILTFILGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEELRDAQKKNRLITLPSLIEYFGYCLCCGSHFAGPVYEVKDYLEWTERKGIWACTEGGPSPSPYGATTRALFQAAFCMALYLYLVPHFPLSRFTDPVYQEWGFWKRLSYQYMSGFTARWKYYFIWSISEASIVISGLGFSGWTNSSPPKARWDRAKNVDILGVEFAKSSVELPLVWNIQVSTWLRHYVYERLIQKGKKPGFFQLLATQTTSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQAIPPNMAIIKKMLVFMNFAYTVLVLNYSCVGFMVLSLHETIAAYGSVYYIGTIVPIIFILLGYIIKPARPARSKARKDQ >Manes.08G032400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3111653:3113308:1 gene:Manes.08G032400.v8.1 transcript:Manes.08G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLNVICTALTVVFAVFLVILVAEILYVLWRRRRFRGVNVADGDGEFSDESFYSTPSKELLYFFCWKNQTSQIEPDAETAAAALPPITPTDAQVDEMLKQHALYGPSRVLFTIKEEEEEEMQTDVSSAENEERKRKKKTKNKSRSISLEDVAAVAAVVINIDDSTPFSTPCTSPPYYTPSSSPTRDRNSPHSSAENIVLEANNDMQIEPRDIVINDDVSGGKTASLLSIEFHSSQ >Manes.03G166300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29219051:29223981:-1 gene:Manes.03G166300.v8.1 transcript:Manes.03G166300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCCGSNDERKSQLKAFDDTKAGVKGLVDAGVTSIPHIFIHNNHIQSHNSPSSADCKPTIPIIDLQGIDKDASRRAEVVGKVEDACQKWGFFQVLNHGVPLKVLDEMIDGVSRFHEQDTQVKKELYSRDYTGRKVYFSSNFDLYSAPTTNWRDTLSCVMAPRPPNPQELPQVCGDIMMDYCNKITTLAHTLFELLSEALGLNPDYLKNIGCAEGLFFLGHYYPACPEPELTMGTSGHSDSSFLTVLLQDQIGGLQVLCGNQWVDVTPTPGALVINLGDLLQLISNDKFKSSEHRVLAKPVGPRISVACFYRQHSPPESESRRYGPIKELLSEETPPVYRETTVKDYLSHYYLKGLDGTSGLEHFKLGNSSASKEKKNDL >Manes.11G117000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27420179:27422229:-1 gene:Manes.11G117000.v8.1 transcript:Manes.11G117000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCLVCCLCFIIFLADRGLGFNDCNTSTCGSFGPPVRFPFRIRGKQPLHCGSPQSEFHLSCSEKNDTVLQLPNSWKLFIQKIDYKSQVIYAKDSGGCLFRRLISNLSLSVSPFELMNTRENITLFKCPSRKIEGWSHYVYQIPCLSDLHYDFLGSRYCFIDNPNLLYCTKIHHYPEVALTDNVGYRESIIGLNWSNPLLILNWTNPTCKSCEARGKYCRLKMSTIASEMECYGSLKPSKGASIKFIETGAVLGSVLLVAAVVLLYRRYSFNKMEKEFQSKIKSFLDDYMSHKPTRYSYADIKRMTSQFKDELGQGAYGTVFRGKLSDEILVAIKVLNISKGNGEEFVNEVGTIGKIHHVNVVRLIGFCADGFRRALVYEYLPNDSLQKFISPADDKNHFLGWKRLQDIALGIAKGIEYLHQGCDQRILHFDIKPHNILLDHDFNPKISDFGLAKLCAKDQSAVSMTTARGTIGYIAPEVFSRNFRNVSYKADIYSFGMLVLEMVGGRKIVDITKDSDEQIYFPEWIYNLLEEGEDLRFHIEEEGDAKIAKKLAIIGLWCIQWNPVDRPTIRVVVHMLEGEGENLTIPPNPFNSGIQTRKKPKISGKRLHSELDAISETE >Manes.18G104200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10083493:10094603:1 gene:Manes.18G104200.v8.1 transcript:Manes.18G104200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLIGSGGGTPPGFRLPLNSVGVNPRKNKNKNKPNLNASILSQIPLSTKIPGTQTIYIKTFGCSHNQSDSEYMAGQLSSFGYALTDLPEHADLWLVNTCTVKSPSQSAMDTLLAKGKSAKKPLVVAGCVPQGSRNLKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLNRRTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVGRVRTVIADGVKEIWLSSEDTGAYGRDIGVNLPALLNAIVAELPSDSSTMLRIGMTNPPFILEHLKEIAEVLCHPCVYSFLHVPVQSGSDSVLNAMKREYTVSEFRTVVDTLTELVPGMQIATDIICGFPGETDDDFAQTVSLIDEYKFPQVHISQFYPRPGTPAARMKKVPSNIVKKRSRELTSVFEAFTPYNGMEGRVERIWITEIATDGIHLVGHTKAYIQVLVVAPESMLGTSAIVKITSVGRWSVFGEVIQALNQMDEKTVSSKKMPGQEKCSPCSDPFVTCACSKESEPCDCGPESCGGQNDVLLDNRNRRNLIGWLLRKRKNQMHKKVENGIALGSLKKQEQTRENSSTWSIVDWALVGGMLVSLLTIVVLLLHLSFRTISAK >Manes.06G065900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20192044:20205789:1 gene:Manes.06G065900.v8.1 transcript:Manes.06G065900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAESTSAPVIRRDPYDVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDAENMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEESEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKTYEDTTEKLKEIEAQILRKRNELRQFETEYRKVSAEWVAECRMIMYHLCHRTMESQLCHFGGTIGLGTLSRSNQQIQPGKAIGG >Manes.06G065900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20192044:20205789:1 gene:Manes.06G065900.v8.1 transcript:Manes.06G065900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAESTSAPVIRRDPYDVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDAENMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEESEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKTYEDTTEKLKEIEAQILRKRNELRQFETEYRKALARFQEVTNKYSQEKQSVDELLKQRDSIHASFTVTRMVSNFSNGSTSKVLSEDSKAESPKEDGSDGKDKSTKKKWFNLNLKGSDKKLG >Manes.06G065900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20192035:20205789:1 gene:Manes.06G065900.v8.1 transcript:Manes.06G065900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAESTSAPVIRRDPYDVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDAENMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEESEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKTYEDTTEKLKEIEAQILRKRNELRQFETEYRKALARFQEVTNKYSQEKQSVDELLKQRDSIHASFTVTRMVSNFSNGSTSKVLSEDSKAESPKEDGSDGKDKSTKKKWFNLNLKGSDKKLG >Manes.06G065900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20192044:20205789:1 gene:Manes.06G065900.v8.1 transcript:Manes.06G065900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKAESTSAPVIRRDPYDVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSAGFEALDAENMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVDKQCAHFFGVTINEQQAESGIVVRVTSTAQSKFKLLYFEQDANGGYGLALQEESEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPDAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKTYEDTTEKLKEIEAQILRKRNELRQFETEYRKVSAEWVAECRMIMYHLCHRTMESQLCHFGGTIGLGTLSRSNQQIQPGKAIGG >Manes.14G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12715027:12716739:1 gene:Manes.14G111400.v8.1 transcript:Manes.14G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVFPLFFAALLFLLQFHSSSAQNAVRGAYWYYGSGFQVSEIESNLFTHLFCAFADLDPQTNQVTIPSGSQAQFFTFTQIVQRKNPSVKTLLSIGGGGGDKVAAAFDSMASQASSRKTFIDSSISLARAYNFHGLDLDWEYPNTTTQMTNFGLLLNEWRAAVAAEAQNSGRTPLLLSAAVLYLSYYYSTSVPYPIQAVSNSLDWINLMAYDFYGPGWSNVTGPPAALYNPGTTVSGDYGVTSWINAGLQANKIVLGFPFFGRAWQLANASENGFFADTVGAALTDAVIYSEIEEFIDDNDITALYNGTYVSNYCYFGTTWIGYDDTQSVSAKVSYVKDKALLGYFAWQVSGDDDDWTLSRQAASTWGA >Manes.15G120000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9540407:9545366:1 gene:Manes.15G120000.v8.1 transcript:Manes.15G120000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQSPSLRPPLLNPFHKNSHNAKKTPTRKLSFISASSTNNSTISAPKREKDPKKRVVITGMGLVSVFGNDVDVYYDKLLDGESGIGLIDRFDASKFPTRFGGQIRGFTSEGYIDGKNDRRLDDCLRYCIVAGKKSLEHADLGGDKLSKIDKERAGVLVGTGMGGLTVFSDGVQALIERGYRKITPFFIPYAITNMGSALLAMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKGRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFTNTSEIKINATKSMIGHCLGAAGGLEAIACVKAITTGWLHPSINQFDPEPSVEFDTVANKKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >Manes.05G196700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32107241:32116183:1 gene:Manes.05G196700.v8.1 transcript:Manes.05G196700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIPQPKGQFIVNKSDVVEDDEKKEMGNNQPLPFLKLLSYADALDWALMALGTLGSIVHGMAQPVGYLLLGKALDAFGNNVNDDHAMVKALDKVIPYVWYMAFATFPAGILEIGCWMYASERQLARIRLAFLEAMLNQEIGAFDTDLTSGKIITGVTNHMSIIQDAIGEKLGHFLSSFATFFSGILIAAICSWEVSLLTLLVLPMILVIGATYTKKMITISAAKMVYLSEATALVEQTISQIKTVFAFVGESRAIKSFSECMSKQISLSKGEALIKGVGTGMFQTVTFTSWALIIWIGAIVVSNKKSTGGDVIAAIMCILFGAISLTYAAPDMQIFNQAKAAGTEVFQVIQRKSLISHNSTGKKLDKVEGNIDICEVHFAYPSRPENLILKGFSLSIPAGKTVALVGSSGCGKSTIISLVERFYDPLKGRILIDKHNIIDLDLKFLRRNLGAVSQEPSLFAGNIKDNLKVGNMNADDQQIQDAALMANAHSFISQLPNQYLTEVGERGVQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKLVQDALERAMQGRTVILIAHRLSTIVNADMIVVVENGQVTETGTHSSLLETSKFYSHLFSMQNISTVGDSRSAASEEQESTKQQVALEEIENPEKLDDCSIYLSQSPKQEEQNDRTKSAIFLRIWFGLQKKEILKTAIGSFAAAFSGISKPIFGFFIITVGVAYYHPDAKKKVGWYSIIFALIGLLSLFTHTLQHYFFGVVGEKAMTNLRQALYSGVLCNELAWFEKPENSVGSLTSRIIHDTSMVKMIIADRMSVIVQCISSILIATIVSMVVDWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDSAAAHYKLVALASESAANIRTIASFCHEEHILSKAKTSLEKPIKKSRSKSIKYGLIQGVSLCLWNIAHAVALWYTTHLVKAHQASFENGIRAYQIFSLTVPSITELWTLIPTVFSAISVLTPAFETLDRETEIEPDSPKCSHLERIMGSVEFQNVKFNYPLRPDVTVLNNFSLQIEAGSKVALVGPSGAGKSSVLALLLRFYDPKAGRVLIDGKNVKEYNLKMLRAQIGLVQQEPLLFSSSIRDNIAYGNEAASEAEIVKVSREANIDEFISNLPDGYNTVVGEKGCQLSGGQKQRIAIARTLLKKPAILLLDEATSALDAESERSVVSALESINLNSYESSLYRTTQITVAHRLSTIKNSDIIVVMDKGQIAEIGSHSTLTQVSEGIYSRLYQLQNLRES >Manes.05G196700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32107241:32116183:1 gene:Manes.05G196700.v8.1 transcript:Manes.05G196700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIPQPKGQFIVNKSDVVEDDEKKEMGNNQPLPFLKLLSYADALDWALMALGTLGSIVHGMAQPVGYLLLGKALDAFGNNVNDDHAMVKALDKVIPYVWYMAFATFPAGILEIGCWMYASERQLARIRLAFLEAMLNQEIGAFDTDLTSGKIITGVTNHMSIIQDAIGEKLGHFLSSFATFFSGILIAAICSWEVSLLTLLVLPMILVIGATYTKKMITISAAKMVYLSEATALVEQTISQIKTVFAFVGESRAIKSFSECMSKQISLSKGEALIKGVGTGMFQTVTFTSWALIIWIGAIVVSNKKSTGGDVIAAIMCILFGAISLTYAAPDMQIFNQAKAAGTEVFQVIQRKSLISHNSTGKKLDKVEGNIDICEVHFAYPSRPENLILKGFSLSIPAGRILIDKHNIIDLDLKFLRRNLGAVSQEPSLFAGNIKDNLKVGNMNADDQQIQDAALMANAHSFISQLPNQYLTEVGERGVQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKLVQDALERAMQGRTVILIAHRLSTIVNADMIVVVENGQVTETGTHSSLLETSKFYSHLFSMQNISTVGDSRSAASEEQESTKQQVALEEIENPEKLDDCSIYLSQSPKQEEQNDRTKSAIFLRIWFGLQKKEILKTAIGSFAAAFSGISKPIFGFFIITVGVAYYHPDAKKKVGWYSIIFALIGLLSLFTHTLQHYFFGVVGEKAMTNLRQALYSGVLCNELAWFEKPENSVGSLTSRIIHDTSMVKMIIADRMSVIVQCISSILIATIVSMVVDWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDSAAAHYKLVALASESAANIRTIASFCHEEHILSKAKTSLEKPIKKSRSKSIKYGLIQGVSLCLWNIAHAVALWYTTHLVKAHQASFENGIRAYQIFSLTVPSITELWTLIPTVFSAISVLTPAFETLDRETEIEPDSPKCSHLERIMGSVEFQNVKFNYPLRPDVTVLNNFSLQIEAGSKVALVGPSGAGKSSVLALLLRFYDPKAGRVLIDGKNVKEYNLKMLRAQIGLVQQEPLLFSSSIRDNIAYGNEAASEAEIVKVSREANIDEFISNLPDGYNTVVGEKGCQLSGGQKQRIAIARTLLKKPAILLLDEATSALDAESERSVVSALESINLNSYESSLYRTTQITVAHRLSTIKNSDIIVVMDKGQIAEIGSHSTLTQVSEGIYSRLYQLQNLRES >Manes.10G139509.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30731982:30732588:1 gene:Manes.10G139509.v8.1 transcript:Manes.10G139509.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGNRQTSQLVNPQGEYDPQGLKLENHQLLVNSQGGNVEGGNADDDDQSGQSNWKCCLRWIWPKLKWPSWKRCLRWKWPKLKWPSWKRSKLRWPSELYTVNGEAQDCLSSPLVTNSHGGRRAKNSARPSQRVKTFTLSGK >Manes.13G040200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4681585:4683324:1 gene:Manes.13G040200.v8.1 transcript:Manes.13G040200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPIRALCLPWLHLLLITFIGIFVSVTSAADYTNLVFKGCAEQKFQDPSGIYSQNLNNLLESLVSQSSQKSFSTTTSGDGQNAIIGLYQCRGDLTTAQCYTCVSKIPDMVKKLCGPVIAARVQLNGCSLRYEITGFKQVSDTELLFKVCGSSQATGSGFEGMRTAALDSMVSGLKNGFYTGNYQTVFVLGQCEGDLSSDGCGNCVKSAVESVKNQCGDTVSGQVYLLKCYISYSYYPNGVPTMNSPSGKEVVGTKQHTARTVAIVVGGIAAFGFLIVCLMFISSLFKKHRRTKHDSWN >Manes.13G110300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31584379:31587644:-1 gene:Manes.13G110300.v8.1 transcript:Manes.13G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPEQLSSPKSHSSPMAKQLFRNNNKSSSCFSDVFLYLGGALLAFLLLWSLWSYASPDVAGNLGHNVTDSNFKLDSSSKSCVQEHPAVDLRYDPPDTTFYDDPALRYSIEQPMKDWDQKRNEWLKHHPSFAPGARDRVVMVTGSQTRPCRNPIGDHLLLRFFKNKVDYCRLHGYDIFYNNVLLHPKMHTFWAKLPMVKAAMLAHPEAEWIWWVDSDALFTDMEYKLPLRRYDYKNHNLVIHGWAKLIYEEKSWTALNAGVFLIRNCQWSMDFMDKWAKMGPMSPDYDKWGQIQRSLFKDKLFPESDDQSALIYLLYKDKSLTDKIYLEGEYYLEGYWLEIVPTYNNITERYTEIEREDGKLRRRHAEKVSEQYGAFREAHLKEAGNGKGSWRRPFITHFTGCQPCSGDHNKMYEGDSCWNGMVRALNFADNQVLRKYGFVHPDLLDSKTVVETPFDYPEEGPW >Manes.09G025327.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5618906:5621835:1 gene:Manes.09G025327.v8.1 transcript:Manes.09G025327.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVCGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFGRFLKNGFMLLMRVIAATICVTLAASLAARHGSTSMAAFQVCLQIWMATSLLADGLAVAGQAMLASAFANKDHDRAKAIASRVFQYGLLLGLVLSIFLFGGLQFASRLFTEDVNVLNLIAVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISILCLFALSSSHGFFGIWVALTIFMTLRAYVGLLRIGTGTGPWSFLRK >Manes.15G019075.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1595575:1597221:1 gene:Manes.15G019075.v8.1 transcript:Manes.15G019075.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDEDGEFKAEQQVSYKENLSDDEDFMTHSKKTKGTGSSCANEEECKNGLKDNLLKKDNHSGVAYVKDLNEENQKKGPESFANELKDIKDVKRKEKAEENGYKKTFDPHVDSASDSSPKSTSDPECYEYPDPDFNDFDEGRNEGCFSVGQIWAIYDTLDGMPRFYTRIGKVLSPDFKLWITWLESDPDDEDEIGWVCEGWPTACGKFRNGNSESTEDRLMFSHMVNWEKGRQRKPCKIFPRKGEIWALFKDWNIKWKSDTDSSRKFEYEFVEILSESTEDGGACVVYLGKLKGFVSLFCRISKEGNATFQIPPNELFRFSHMIPSFKMTGKEGEGVPKGSFELDPASLPKNIEEIVVPEHMVVDVSNSHPSDLFSGFSESREA >Manes.02G097400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7600416:7606987:1 gene:Manes.02G097400.v8.1 transcript:Manes.02G097400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVVSVFLEKLLNTLIEGGRIVNEFRDQFENLQKELELMRSVLKDADKRKRKEQTLQIIMGNLRELIYEAEDMLADCQLQSRENDSFSNGCLTCIHPPKLGFQYRTAKRLREINENITKIKQDLSYLGLSTSNGIAGIDMCNDPRWSSPVYDHTLVVGLEGDTKKFKGWLFEADHGILSIGVVGMGGLGKTTIAQKVFNDREIEDHFERRLWVSVSQTFDEAQIMRSMLRNLGDASVGDDRSELLRKINKYLLGKRFLIVMDDVWSTDVSWWRRIYEGLPKGNGSSIIITTRINEVARMMGVSEASIHRPKFLSKDDSWLLFRKIAFAASGGDCTYPELEQVGMEIVFKCKGLPLAIKAIGGLLLYKSHYNEWRRIAENFRDELAENDDSVMATLQLSYDELPTHLKSCFLSFALYPEDCVIPKEQLVHWWIGEGFVPVRMGRSLIEAGEDCFSGLTNRCLVEVVVKTYNGVISTCKIHDMVRDLVIKMAKDDAFFRLDGIGCRHLGIDGNMDHKKLAANRKLRALLSTTRTGEVNKIVSSFPNKLNQCRYLGVLDLCKSIFEMPLAVLLRQIGCLQHLTYLSLSNTHPLIQFPPSLEKLKNLQVLDVSYSQNLTILPPYLMTFKKLIVLDVSHCGSLDYLPKGLGRLSNLEVLLGFRPARSSQLNGCRIAELRNLTRLRRLSLHLSQSDEIEDDEVNALVNLQELQLLTISCFDSHGIDLIDKLDKLYPPPALQDLSLRYFPGKMSPVWLNPFSLPMLRYLSISCGNVAKIHQSFWGENNTVWKIEAMLLESLSELEMDWRVVQQVMPSLRIVNASWCPELTSFPIEDIGFRGGVWTKGEHKANKIVVLVTFFLGNSLVPWRNKKQTIVARSSKESKYPAFVDATFELLRLRWLLTDMDLIHSSATILHYDNRSII >Manes.02G097400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7600416:7604206:1 gene:Manes.02G097400.v8.1 transcript:Manes.02G097400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVVSVFLEKLLNTLIEGGRIVNEFRDQFENLQKELELMRSVLKDADKRKRKEQTLQIIMGNLRELIYEAEDMLADCQLQSRENDSFSNGCLTCIHPPKLGFQYRTAKRLREINENITKIKQDLSYLGLSTSNGIAGIDMCNDPRWSSPVYDHTLVVGLEGDTKKFKGWLFEADHGILSIGVVGMGGLGKTTIAQKVFNDREIEDHFERRLWVSVSQTFDEAQIMRSMLRNLGDASVGDDRSELLRKINKYLLGKRFLIVMDDVWSTDVSWWRRIYEGLPKGNGSSIIITTRINEVARMMGVSEASIHRPKFLSKDDSWLLFRKIAFAASGGDCTYPELEQVGMEIVFKCKGLPLAIKAIGGLLLYKSHYNEWRRIAENFRDELAENDDSVMATLQLSYDELPTHLKSCFLSFALYPEDCVIPKEQLVHWWIGEGFVPVRMGRSLIEAGEDCFSGLTNRCLVEVVVKTYNGVISTCKIHDMVRDLVIKMAKDDAFFRLDGIGCRHLGIDGNMDHKKLAANRKLRALLSTTRTGEVNKIVSSFPNKLNQCRYLGVLDLCKSIFEMPLAVLLRQIGCLQHLTYLSLSNTHPLIQFPPSLEKLKNLQVLDVSYSQNLTILPPYLMTFKKLIVLDVSHCGSLDYLPKGLGRLSNLEVLLGFRPARSSQLNGCRIAELRNLTRLRRLSLHLSQSDEIEDDEVNALVNLQELQLLTISCFDSHGIDLIDKLDKLYPPPALQDLSLRYFPGKMSPVWLNPFSLPMLRYLSISCGNVAKIHQSFWGENNTVWKIEAMLLESLSELEMDWRVVQQVMPSLRIVNASWCPELTSFPIEDIGFRGGVWTKGEHKANKVSM >Manes.02G097400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7600416:7606987:1 gene:Manes.02G097400.v8.1 transcript:Manes.02G097400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAVVSVFLEKLLNTLIEGGRIVNEFRDQFENLQKELELMRSVLKDADKRKRKEQTLQIIMGNLRELIYEAEDMLADCQLQSRENDSFSNGCLTCIHPPKLGFQYRTAKRLREINENITKIKQDLSYLGLSTSNGIAGIDMCNDPRWSSPVYDHTLVVGLEGDTKKFKGWLFEADHGILSIGVVGMGGLGKTTIAQKVFNDREIEDHFERRLWVSVSQTFDEAQIMRSMLRNLGDASVGDDRSELLRKINKYLLGKRFLIVMDDVWSTDVSWWRRIYEGLPKGNGSSIIITTRINEVARMMGVSEASIHRPKFLSKDDSWLLFRKIAFAASGGDCTYPELEQVGMEIVFKCKGLPLAIKAIGGLLLYKSHYNEWRRIAENFRDELAENDDSVMATLQLSYDELPTHLKSCFLSFALYPEDCVIPKEQLVHWWIGEGFVPVRMGRSLIEAGEDCFSGLTNRCLVEVVVKTYNGVISTCKIHDMVRDLVIKMAKDDAFFRLDGIGCRHLGIDGNMDHKKLAANRKLRALLSTTRTGEVNKIVSSFPNKLNQCRYLGVLDLCKSIFEMPLAVLLRQIGCLQHLTYLSLSNTHPLIQFPPSLEKLKNLQVLDVSYSQNLTILPPYLMTFKKLIVLDVSHCGSLDYLPKGLGRLSNLEVLLGFRPARSSQLNGCRIAELRNLTRLRRLSLHLSQSDEIEDDEVNALVNLQELQLLTISCFDSHGIDLIDKLDKLYPPPALQDLSLRYFPGKMSPVWLNPFSLPMLRYLSISCGNVAKIHQSFWGENNTVWKIEAMLLESLSELEMDWRVVQQVMPSLRIVNASWCPELTSFPIEDIGFRGGVWTKGEHKANKDLGSPCYFLRLEVSHNFDGYYLSQVKHALDLISKASLTDSKTT >Manes.S047616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1514811:1514945:1 gene:Manes.S047616.v8.1 transcript:Manes.S047616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQLFVFHKSKNFTSDYEIRMPPTVPVNHYSDPEGQQNRTEIL >Manes.14G006200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1691844:1696156:1 gene:Manes.14G006200.v8.1 transcript:Manes.14G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDYQEDEEETKLKPNSNPSSSSSSKTLSFNATFDPRNPIGIVERMFDFLVKETDFMVEDTAEKEIMAVVKSAKDKIRKKMAGEREREASLRGNENKRLKEEKKPEVKEENKVEVKKEMKTEVNKEIKPEVKDEPMEVEKEEESGARVPNKGNGLDLEKYSWTQTLHEVNVLVPVPAGTKARFVVCDIKKNHLKVGLKGQPPTIEGELYKPVKVDDCYWSIEDQNTISILLTKHDQMEWWKCLVKGEPEINTQKVEPENSKLSDLDPETRQTVEKMMFDQRQKSMGLPTSDEMQKQEILKKFMAQHPEMDFSRAKIS >Manes.01G099600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30073733:30077118:-1 gene:Manes.01G099600.v8.1 transcript:Manes.01G099600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFFNLPVKSCKRLLCTFIGGPLSHPISISEKFAFCLENCSDILSLKKLHASIFVYGLGDVRFLGSKLITCYAKFDLLTESRWVFDRIINNSLFLWNSLLAGYFRAGHYKEVQWRYLNLRQRSICLDSSVIIICLKSCIEYGCLNFGKGVHLDAFKFGLNSNSFVGSSLIVLYANYGDIVDASKVFDEITQKDVVVYTSMITGYAKIGDHRAYGAFRIAGNMQQEKLDPNRVTLVSLLQAAAQLALLHEGRSIHGYAIRRGIGCSDEVLETSFTDMYIKCGDPKSAACIFGKMNVRNIGSWNAMIAGYHKMGQPLEALNLFYFMVQENIMPDLITLANGIMCCADLAYLREGKSIHCFILRMGFHLDLVAMTALIDMYSKCNCLVQASKLFNKTEPRDVILCNVMMEGYLHNEFASEAVKTFSEMVRGCIKPNIGSFLNVLGALSNLKDGKQGRCVHGHVLRQGFHLNVEVANQIIHMYANCGCIYYARQVFNRLRNRDLVSWTSMMRGYTQHGQANESIYLFRLLQREQVEHDSVALTILLQAFCQLGHLSLAKEVHCHLYRALFKRDIPVTNSLITTYAKCGKLNMARNIFENATEKCITSWNAMVAAYGMHGDCVNALKLFAYMKEENIEPDEITFTSLLTACSHSGLVEDGLHVFRSMTEEYYIKPCEEHFSCLVDLLSRGGQLEEAYNLIKFLPLGQRAQALGALLAACRVHRNAEIGLMIGKDLLDLEPENASAYILVSNLYAECGKWDDAAKIRSTTKEKGLRRTHGYSLIETNKHVKDATISRTRLS >Manes.01G099600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30073642:30077118:-1 gene:Manes.01G099600.v8.1 transcript:Manes.01G099600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFFNLPVKSCKRLLCTFIGGPLSHPISISEKFAFCLENCSDILSLKKLHASIFVYGLGDVRFLGSKLITCYAKFDLLTESRWVFDRIINNSLFLWNSLLAGYFRAGHYKEVQWRYLNLRQRSICLDSSVIIICLKSCIEYGCLNFGKGVHLDAFKFGLNSNSFVGSSLIVLYANYGDIVDASKVFDEITQKDVVVYTSMITGYAKIGDHRAYGAFRIAGNMQQEKLDPNRVTLVSLLQAAAQLALLHEGRSIHGYAIRRGIGCSDEVLETSFTDMYIKCGDPKSAACIFGKMNVRNIGSWNAMIAGYHKMGQPLEALNLFYFMVQENIMPDLITLANGIMCCADLAYLREGKSIHCFILRMGFHLDLVAMTALIDMYSKCNCLVQASKLFNKTEPRDVILCNVMMEGYLHNEFASEAVKTFSEMVRGCIKPNIGSFLNVLGALSNLKDGKQGRCVHGHVLRQGFHLNVEVANQIIHMYANCGCIYYARQVFNRLRNRDLVSWTSMMRGYTQHGQANESIYLFRLLQREQVEHDSVALTILLQAFCQLGHLSLAKEVHCHLYRALFKRDIPVTNSLITTYAKCGKLNMARNIFENATEKCITSWNAMVAAYGMHGDCVNALKLFAYMKEENIEPDEITFTSLLTACSHSGLVEDGLHVFRSMTEEYYIKPCEEHFSCLVDLLSRGGQLEEAYNLIKFLPLGQRAQALGALLAACRVHRNAEIGLMIGKDLLDLEPENASAYILVSNLYAECGKWDDAAKIRSTTKEKGLRRTHGYSLIETNKHVKDATISRTRLS >Manes.08G156200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39312153:39317757:-1 gene:Manes.08G156200.v8.1 transcript:Manes.08G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRKAAASPNMALTDVLEDAHNMVEVLVQSGNAAEDKERDGLSDNFENREGEGEGEEEEDEGDDDDNEDEEEKGEEERPKLDEGFFEIEAIRRKRVRKGQLQYLIKWRGWPETANTWEPLENLQSCSDVIDAFEDSLRSGKSSRKRKRKYGGPHNQLKKKLSHSSVGYSMTGIEVNVVDKPLFSASLKNLSLANRAAGSGHEGEKNEDISNAKLKTVKKADENGYTNVSRQTFDKKEDNEYDPKLSELRGTHTTNDVNADMLAIHFHDDNASRGDVPTNVLPRVDYVDSNQNSRRTGAKRRKSGSVKRFKKDLDMCESLCFQSSPFFLQPSPLNISVGATAQLGIENGTLAGTNSSYKPVDENSITISKILKPIGFSASVMDNVQDVLVNFVALRSDGKEVIVDNRFLKDNNPLLLIDFYEQHLKYST >Manes.16G019400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2159801:2168236:1 gene:Manes.16G019400.v8.1 transcript:Manes.16G019400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEPLPEHLRCKRTDGRQWRCNRRVMDDKKLCEIHHLQGRHRQYKRKVPESLKLQRKYRKKSTGNAESVPGNVEIRAQKEEGLTRLVKLDKRMKRKKLIGESEALDEAVKKVRLKRGDLQLELIRMVLKREIEKRKKKKKKKKKKVVVEEISSDNDNEMDSSNSEGELMRDLPNGLMAISPAKHFGNVAAAAAASSSMPCDIKIGAADFSATTRRCFRSKNIEPMPIGTLQVVPFKKDMVRLRRGKRKKCHLCRRSGLKTLIRCTSCRKQFYCMDCIKDQYSDMQEEVQIACPVCRGTCCCKVCSAIQCRGTECKGFSKDKSKVNKVLHFHYLICMLLPVLKQLNQDQSSELEIEAKIKGQKPSDVQIQQLSSSCNKKCCCNNCKSSIVDFHRSCPSCSYSLCLSCCQDIFQGSLPGTVKAHMCKCPNRRKACVSGKQLSEMKSGCISKRNYGNKYLESSMLLPSWKLPNGNGIPCPPTEFGGCGDSLLDLSCLFPSSWTKELETSAEEIVGCYELPEALDVMSRCSLCLGMDSEVYGITQLQKAATRENSNDNFLYYPTVVDIHGDNLEHFQKHWGKGQPVIVRNVLQGTSDLSWDPIVMFCTYLKNNAAKSENEQAADCLDWFEVEIGIRQLYMGSFKGPTHANMWHEKLKLKGWLSSHLFEEHFPAHYAEILHALPLPEYMDPVSGVLNITAELPQEISKPDLGPCVYISYGSGENLLQADSMSNLRYDSYDTVNILAHTTDVPVSTEQLNYVRKLMKRNKEQTEVRGEQNVEEVGLHDMITEDMCLHEKVARVSWFSAASHKAQSLSVKNREVYLDEECDSDSDTDTDTEVSKFFFGPVKSSRTSENLKFCGKYTDGSNHFGKPKVAESCGAQWDVFRRQDVPKLVEYLRRHCNEFNQTYGLQKLVGHPILDQNFFLDATHKMRLKEEFKIEPWTFEQHVGEAVIIPAGCPYQIRNLKSCVNVVLDFISPENVTECIQLIDELRLLPENHKAKVDSLEVKKMTVYSISKAIKGIRELTCAETSGELNDRQ >Manes.16G019400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2159841:2168236:1 gene:Manes.16G019400.v8.1 transcript:Manes.16G019400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEPLPEHLRCKRTDGRQWRCNRRVMDDKKLCEIHHLQGRHRQYKRKVPESLKLQRKYRKKSTGNAESVPGNVEIRAQKEEGLTRLVKLDKRMKRKKLIGESEALDEAVKKVRLKRGDLQLELIRMVLKREIEKRKKKKKKKKKKVVVEEISSDNDNEMDSSNSEGELMRDLPNGLMAISPAKHFGNVAAAAAASSSMPCDIKIGAADFSATTRRCFRSKNIEPMPIGTLQVVPFKKDMVRLRRGKRKKCHLCRRSGLKTLIRCTSCRKQFYCMDCIKDQYSDMQEEVQIACPVCRGTCCCKVCSAIQCRGTECKGFSKDKSKVNKVLHFHYLICMLLPVLKQLNQDQSSELEIEAKIKGQKPSDVQIQQLSSSCNKKCCCNNCKSSIVDFHRSCPSCSYSLCLSCCQDIFQGSLPGTVKAHMCKCPNRRKACVSGKQLSEMKSGCISKRNYGNKYLESSMLLPSWKLPNGNGIPCPPTEFGGCGDSLLDLSCLFPSSWTKELETSAEEIVGCYELPEALDVMSRCSLCLGMDSEVYGITQLQKAATRENSNDNFLYYPTVVDIHGDNLEHFQKHWGKGQPVIVRNVLQGTSDLSWDPIVMFCTYLKNNAAKSENEQAADCLDWFEVEIGIRQLYMGSFKGPTHANMWHEKLKLKGWLSSHLFEEHFPAHYAEILHALPLPEYMDPVSGVLNITAELPQEISKPDLGPCVYISYGSGENLLQADSMSNLRYDSYDTVNILAHTTDVPVSTEQLNYVRKLMKRNKEQTEVRGEQNVEEVGLHDMITEDMCLHEKVARVSWFSAASHKAQSLSVKNREVYLDEECDSDSDTDTDTEVSKFFFGPVKSSRTSENLKFCGKYTDGSNHFGKPKVAESCGAQWDVFRRQDVPKLVEYLRRHCNEFNQTYGLQKLVGHPILDQNFFLDATHKMRLKEEFKIEPWTFEQHVGEAVIIPAGCPYQIRNLKSCVNVVLDFISPENVTECIQLIDELRLLPENHKAKVDSLEVKKMTVYSISKAIKGIRELTCAETSGELNDRQ >Manes.16G019400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2159841:2168236:1 gene:Manes.16G019400.v8.1 transcript:Manes.16G019400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEPLPEHLRCKRTDGRQWRCNRRVMDDKKLCEIHHLQGRHRQYKRKVPESLKLQRKYRKKSTGNAESVPGNVEIRAQKEEGLTRLVKLDKRMKRKKLIGESEALDEAVKKVRLKRGDLQLELIRMVLKREIEKRKKKKKKKKKKVVVEEISSDNDNEMDSSNSEGELMRDLPNGLMAISPAKHFGNVAAAAAASSSMPCDIKIGAADFSATTRRCFRSKNIEPMPIGTLQVVPFKKDMVRLRRGKRKKCHLCRRSGLKTLIRCTSCRKQFYCMDCIKDQYSDMQEEVQIACPVCRGTCCCKVCSAIQCRGTECKGFSKDKSKVNKVLHFHYLICMLLPVLKQLNQDQSSELEIEAKIKGQKPSDVQIQQLSSSCNKKCCCNNCKSSIVDFHRSCPSCSYSLCLSCCQDIFQGSLPGTVKAHMCKCPNRRKACVSGKQLSEMKSGCISKRNYGNKYLESSMLLPSWKLPNGNGIPCPPTEFGGCGDSLLDLSCLFPSSWTKELETSAEEIVGCYELPEALDVMSRCSLCLGMDSEVYGITQLQKAATRENSNDNFLYYPTVVDIHGDNLEHFQKHWGKGQPVIVRNVLQGTSDLSWDPIVMFCTYLKNNAAKSENEQAADCLDWFEVEIGIRQLYMGSFKGPTHANMWHEKLKLKGWLSSHLFEEHFPAHYAEILHALPLPEYMDPVSGVLNITAELPQEISKPDLGPCVYISYGSGENLLQADSMSNLRYDSYDTVNILAHTTDVPVSTEQLNYVRKLMKRNKEQTEVRGEQNVEEVGLHDMITEDMCLHEKVARVSWFSAASHKAQSLSVKNREVYLDEECDSDSDTDTDTEVSKFFFGPVKSSRTSENLKFCGKYTDGSNHFGKPKVAESCGAQWDVFRRQDVPKLVEYLRRHCNEFNQTYGLQKLVGHPILDQNFFLDATHKMRLKEEFKIEPWTFEQHVGEAVIIPAGCPYQIRNLKSCVNVVLDFISPENVTECIQLIDELRLLPENHKAKVDSLEVKKMTVYSISKAIKGIRELTCAETSGELNDRQ >Manes.17G075600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27619082:27621035:1 gene:Manes.17G075600.v8.1 transcript:Manes.17G075600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPVQVNSIFLLSNSKYIPLLSLLYPIFFLLHLSVSIYLTFLACISIWNLMRKPCCDKQDTNKGAWSKQEDQKLIDYIRKHGEGSWRTLPQSAGLLRCGKSCRLRWINYLRPDLKRGNFAEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRRKLVNMGIDPNNHRLNRNFPRLQNPQGSFSATSSELKSDTTKTRHDNNNNNNEQASDAASCLEDSPIALPDLNLDLTMSIPSSRSFNISPEAKQKITESNLSKEPEFAASSPTLLLFQ >Manes.02G019100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1757603:1759787:1 gene:Manes.02G019100.v8.1 transcript:Manes.02G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTELLLSKGLIHTLSFLGFIRNLISTLFRCLGLPDFLEPDISWPETPPRVPEFYSVSAVLIREILPVVKFSDLVDPADSCAVCLHDFEDQDEIRCLTNCRHIFHRTCLDRWISYDQKTCPLCRTPVIPEDMQAAFNERLWAASGIPDFHGEYSQIAAL >Manes.11G075300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10928422:10930237:1 gene:Manes.11G075300.v8.1 transcript:Manes.11G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEEIRMAQRAQGPATILAIGTATPSNYFIQADYPDFYFRVTRSEHMTNLKEKFKRICDKSMIKKRYMHLNEEILKENSNMCAYWEPSLDARQDIAVVEVPKLGKEAAIKAIEEWGQPKSKITHLIFCTTAGVDMPGCDYQLTKLLGLHLSVKRYMLYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITTMIFRGPSDTHLDSLVCQALFGDGAGALIVGSDPDDTIEHPLFQLVSSAQTIIPNSDAALKLHVREVGLTFHLLKEVPELISKNIEKPLAESFNPIGISDWNSIFWIVHPGGAAILDQIEKKLDLKPEKMRASRHVLSEYGNLTSACVLFILDEMRKKSFEEGKVTTGEGVEWGVLFGFGPGLTVETIVLHSVPTGAKK >Manes.03G147300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27500871:27507206:-1 gene:Manes.03G147300.v8.1 transcript:Manes.03G147300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKKKSRSLQKEKRVTAHSPKNSPEQINPSVGNIENGPILIERKPCAHLDKGFNIDNFSEKLGSSCPIRCEDCREAKGKGKQGKKKASVDSKCESKAIWVCLDCGHYACGGIGLPTVPQSHAVRHARQTRHPLVIQWENPHLRWCFQCNTLLPFEITEENGEKKDALFDVVKLIKSRSLEKSPVNVEDVWFGSASVASEIKAESSTSSSLEGRDGYMVRGLVNLGNTCFFNSVMQNLLGMNKLRDFFFNQDASFGPLTIALKKLYNETKPETGIKNVIHPRSLFGCICLKAPQFRGYQQQDSHELLHCLLDGLSSEELAVKKQISSKDDGISLRPGPTFVDSLFGGRISNTISCIECGHSSTVYEPFLDISLPVPTKKPPTKKIQPVSRPKKTKLPPKRGGKVRGKVNKDTDYVSAQSSNPSTSNEYSNQTHATVPHAENVGTSSGDAAGSECVCLITEPDKSELVSQRASAAQNTETVEVVKATLEQTAASFEDFTWMDYLETETILPSQNDDVSTSQYSENMIRNNDLMENSQVCSVEWEPHLKPGSSSVNPWEDEVPLEVRSSEVLLLPYKEENFTDGEIIKVECQASSSVVGCREDEVDFDGFGDLFNEPEVYQGPVAGPSLANETAGNGFTAANSSESDPDEVDNSDSPVSVESCLAHFIKPELLSDDNAWECDNCSKTLQNLEAKEKQGESLVLDNGKFDFSNKSCIETEISQTNKLKQIVSQNDEEKGEMTAANVEQSHYSAHYNSCSQESFTCPAADSSGVDEPSSTGYANAKDQLGNPQTSGNYRDGEEEDEEGISRKVKVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLSKLNGHVNFGDVLDLTPYMDPRCADRGKHVYRLLGVVEHSGTMRGGHYVAYVRGGERSQGKAENENGGSVWYHASDVYVREVSLEEVLHCEAYILFYEKV >Manes.03G147300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27500871:27507205:-1 gene:Manes.03G147300.v8.1 transcript:Manes.03G147300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKKKSRSLQKEKRVTAHSPKNSPEQINPSVGNIENGPILIERKPCAHLDKGFNIDNFSEKLGSSCPIRCEDCREAKGKGKQGKKKASVDSKCESKAIWVCLDCGHYACGGIGLPTVPQSHAVRHARQTRHPLVIQWENPHLRWCFQCNTLLPFEITEENGEKKDALFDVVKLIKSRSLEKSPVNVEDVWFGSASVASEIKAESSTSSSLEGRDGYMVRGLVNLGNTCFFNSVMQNLLGMNKLRDFFFNQDASFGPLTIALKKLYNETKPETGIKNVIHPRSLFGCICLKAPQFRGYQQQDSHELLHCLLDGLSSEELAVKKQISSKDDGISLRPGPTFVDSLFGGRISNTISCIECGHSSTVYEPFLDISLPVPTKKPPTKKIQPVSRPKKTKLPPKRGGKVRGKVNKDTDYVSAQSSNPSTSNEYSNQTHATVPHAENVGTSSGDAAGSECVCLITEPDKSELVSQRASAAQNTETVEVVKATLEQTAASFEDFTWMDYLETETILPSQNDDVSTSQYSENMIRNNDLMENSQVCSVEWEPHLKPGSSSVNPWEDEVPLEVRSSEVLLLPYKEENFTDGEIIKVECQASSSVVGCREDEVDFDGFGDLFNEPEVYQGPVAGPSLANETAGNGFTAANSSESDPDEVDNSDSPVSVESCLAHFIKPELLSDDNAWECDNCSKTLQNLEAKEKQGESLVLDNGKFDFSNKSCIETEISQTNKLKQIVSQNDEEKGEMTAANVEQSHYSAHYNSCSQESFTCPAADSSGVDEPSSTGYANAKDQLGNPQTSGNYRDGEEEDEEGISRKVKVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLSKLNGHVNFGDVLDLTPYMDPRCADRGKHVYRLLGVVEHSGTMRGGHYVAYVRGGERSQGKAENENGGSVWYHASDVYVREVSLEEVLHCEAYILFYEKV >Manes.03G147300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27501169:27507157:-1 gene:Manes.03G147300.v8.1 transcript:Manes.03G147300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKKKSRSLQKEKRVTAHSPKNSPEQINPSVGNIENGPILIERKPCAHLDKGFNIDNFSEKLGSSCPIRCEDCREAKGKGKQGKKKASVDSKCESKAIWVCLDCGHYACGGIGLPTVPQSHAVRHARQTRHPLVIQWENPHLRWCFQCNTLLPFEITEENGEKKDALFDVVKLIKSRSLEKSPVNVEDVWFGSASVASEIKAESSTSSSLEGRDGYMVRGLVNLGNTCFFNSVMQNLLGMNKLRDFFFNQDASFGPLTIALKKLYNETKPETGIKNVIHPRSLFGCICLKAPQFRGYQQQDSHELLHCLLDGLSSEELAVKKQISSKDDGISLRPGPTFVDSLFGGRISNTISCIECGHSSTVYEPFLDISLPVPTKKPPTKKIQPVSRPKKTKLPPKRGGKVRGKVNKDTDYVSAQSSNPSTSNEYSNQTHATVPHAENVGTSSGDAAGSECVCLITEPDKSELVSQRASAAQNTETVEVVKATLEQTAASFEDFTWMDYLETETILPSQNDDVSTSQYSENMIRNNDLMENSQVCSVEWEPHLKPGSSSVNPWEDEVPLEVRSSEVLLLPYKEENFTDGEIIKVECQASSSVVGCREDEVDFDGFGDLFNEPEVYQGPVAGPSLANETAGNGFTAANSSESDPDEVDNSDSPVSVESCLAHFIKPELLSDDNAWECDNCSKTLQNLEAKEKQGKTSLQTMINGGESLVLDNGKFDFSNKSCIETEISQTNKLKQIVSQNDEEKGEMTAANVEQSHYSAHYNSCSQESFTCPAADSSGVDEPSSTGYANAKDQLGNPQTSGNYRDGEEEDEEGISRKVKVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLSKLNGHVNFGDVLDLTPYMDPRCADRGKHVYRLLGVVEHSGTMRGGHYVAYVRGGERSQGKAENENGGSVWYHASDVYVREVSLEEVLHCEAYILFYEKV >Manes.03G147300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27501169:27507157:-1 gene:Manes.03G147300.v8.1 transcript:Manes.03G147300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKKKSRSLQKEKRVTAHSPKNSPEQINPSVGNIENGPILIERKPCAHLDKGFNIDNFSEKLGSSCPIRCEDCREAKGKGKQGKKKASVDSKCESKAIWVCLDCGHYACGGIGLPTVPQSHAVRHARQTRHPLVIQWENPHLRWCFQCNTLLPFEITEENGEKKDALFDVVKLIKSRSLEKSPVNVEDVWFGSASVASEIKAESSTSSSLEGRDGYMVRGLVNLGNTCFFNSVMQNLLGMNKLRDFFFNQDASFGPLTIALKKLYNETKPETGIKNVIHPRSLFGCICLKAPQFRGYQQQDSHELLHCLLDGLSSEELAVKKQISSKDDGISLRPGPTFVDSLFGGRISNTISCIECGHSSTVYEPFLDISLPVPTKKPPTKKIQPVSRPKKTKLPPKRGGKVRGKVNKDTDYVSAQSSNPSTSNEYSNQTHATVPHAENVGTSSGDAAGSECVCLITEPDKSELVSQRASAAQNTETVEVVKATLEQTAASFEDFTWMDYLETETILPSQNDDVSTSQYSENMIRNNDLMENSQVCSVEWEPHLKPGSSSVNPWEDEVPLEVRSSEVLLLPYKEENFTDGEIIKVECQASSSVVGCREDEVDFDGFGDLFNEPEVYQGPVAGPSLANETAGNGFTAANSSESDPDEVDNSDSPVSVESCLAHFIKPELLSDDNAWECDNCSKTLQNLEAKEKQGKTSLQTMINGGETQFQSHPPNLDNGILCTMEVRNLHNGDINTDAFINSTGESLVLDNGKFDFSNKSCIETEISQTNKLKQIVSQNDEEKGEMTAANVEQSHYSAHYNSCSQESFTCPAADSSGVDEPSSTGYANAKDQLGNPQTSGNYRDGEEEDEEGISRKVKVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLSKLNGHVNFGDVLDLTPYMDPRCADRGKHVYRLLGVVEHSGTMRGGHYVAYVRGGERSQGKAENENGGSVWYHASDVYVREVSLEEVLHCEAYILFYEKV >Manes.03G147300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27501195:27507157:-1 gene:Manes.03G147300.v8.1 transcript:Manes.03G147300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKKKSRSLQKEKRVTAHSPKNSPEQINPSVGNIENGPILIERKPCAHLDKGFNIDNFSEKLGSSCPIRCEDCREAKGKGKQGKKKASVDSKCESKAIWVCLDCGHYACGGIGLPTVPQSHAVRHARQTRHPLVIQWENPHLRWCFQCNTLLPFEITEENGEKKDALFDVVKLIKSRSLEKSPVNVEDVWFGSASVASEIKAESSTSSSLEGRDGYMVRGLVNLGNTCFFNSVMQNLLGMNKLRDFFFNQDASFGPLTIALKKLYNETKPETGIKNVIHPRSLFGCICLKAPQFRGYQQQDSHELLHCLLDGLSSEELAVKKQISSKDDGISLRPGPTFVDSLFGGRISNTISCIECGHSSTVYEPFLDISLPVPTKKPPTKKIQPVSRPKKTKLPPKRGGKVRGKVNKDTDYVSAQSSNPSTSNEYSNQTHATVPHAENVGTSSGDAAGSECVCLITEPDKSELVSQRASAAQNTETVEVVKATLEQTAASFEDFTWMDYLETETILPSQNDDVSTSQYSENMIRNNDLMENSQVCSVEWEPHLKPGSSSVNPWEDEVPLEVRSSEVLLLPYKEENFTDGEIIKVECQASSSVVGCREDEVDFDGFGDLFNEPEVYQGPVAGPSLANETAGNGFTAANSSESDPDEVDNSDSPVSVESCLAHFIKPELLSDDNAWECDNCSKTLQNLEAKEKQGKTSLQTMINGGETQFQSHPPNLDNGILCTMEVRNLHNGDINTDAFINSTGESLVLDNGKFDFSNKSCIETEISQTNKLKQIVSQNDEEKGEMTAANVEQSHYSAHYNSCSQESFTCPAADSSGVDEPSSTGYANAKDQLGNPQTSGNYRDGEEEDEEGISRKVKVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLSKLNGHVNFGDVLDLTPYMDPRCADRGKHVYRLLGVVEHSGTMRGGHYVAYVRGGERSQGKAENENGGSVWYHASDVYVREVSLEEVLHCEAYILFYEKV >Manes.03G147300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27500871:27506848:-1 gene:Manes.03G147300.v8.1 transcript:Manes.03G147300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVKKKSRSLQKEKRVTAHSPKNSPEQINPSVGNIENGPILIERKPCAHLDKGFNIDNFSEKLGSSCPIRCEDCREAKGKGKQGKKKASVDSKCESKAIWVCLDCGHYACGGIGLPTVPQSHAVRHARQTRHPLVIQWENPHLRWCFQCNTLLPFEITEENGEKKDALFDVVKLIKSRSLEKSPVNVEDVWFGSASVASEIKAESSTSSSLEGRDGYMVRGLVNLGNTCFFNSVMQNLLGMNKLRDFFFNQDASFGPLTIALKKLYNETKPETGIKNVIHPRSLFGCICLKAPQFRGYQQQDSHELLHCLLDGLSSEELAVKKQISSKDDGISLRPGPTFVDSLFGGRISNTISCIECGHSSTVYEPFLDISLPVPTKKPPTKKIQPVSRPKKTKLPPKRGGKVRGKVNKDTDYVSAQSSNPSTSNEYSNQTHATVPHAENVGTSSGDAAGSECVCLITEPDKSELVSQRASAAQNTETVEVVKATLEQTAASFEDFTWMDYLETETILPSQNDDVSTSQYSENMIRNNDLMENSQVCSVEWEPHLKPGSSSVNPWEDEVPLEVRSSEVLLLPYKEENFTDGEIIKVECQASSSVVGCREDEVDFDGFGDLFNEPEVYQGPVAGPSLANETAGNGFTAANSSESDPDEVDNSDSPVSVESCLAHFIKPELLSDDNAWECDNCSKTLQNLEAKEKQGKTSLQTMINGGETQFQSHPPNLDNGILCTMEVRNLHNGDINTDAFINSTGESLVLDNGKFDFSNKSCIETEISQTNKLKQIVSQNDEEKGEMTAANVEQSHYSAHYNSCSQESFTCPAADSSGVDEPSSTGYANAKDQLGNPQTSGNYRDGEEEDEEGISRKVKVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLSKLNGHVNFGDVLDLTPYMDPRCADRGKHVYRLLGVVEHSGTMRGGHYVAYVRGGERSQGKAENENGGSVWYHASDVYVREVSLEEVLHCEAYILFYEKV >Manes.18G096200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8888622:8894961:-1 gene:Manes.18G096200.v8.1 transcript:Manes.18G096200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLAALLRTIFFVLGSIMVATLIYTISIDGLPFRRDLLTPWMAATLVDFYINVVPLAAWIYYKESNSISAIIWIILLVCLGSIATCAYIFIQFLNLSPEESLKDPIYHVLLRHEERDGVEKRSKHPPVVVARIAFSSLGCLMLGTLIYTSVTDGSPFRKEVFTPWMVATLVDFYINVVSISVWFVYKESSWIHSLLWITLLVCVGSVATCAYIVIQLLQLASQDPLYLVLFAKDKKQV >Manes.18G096200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8888622:8894961:-1 gene:Manes.18G096200.v8.1 transcript:Manes.18G096200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLAALLRTIFFVLGSIMVATLIYTISIDGLPFRRDLLTPWMAATLVDFYINVVPLAAWIYYKESNSISAIIWIILLVCLGSIATCAYIFIQFLNLSPEESLKDPIYHVLLRHEERDGVEKRSKHPPVVVARIAFSSLGCLMLGTLIYTSVTDGSPFRKEVFTPWMVATLVDFYINVVSISVWFVYKESSWIHSLLWITLLVCVGSVATCAYIVIQLLQLASQDPLYLVLFAKDKKQV >Manes.18G096200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8888622:8894961:-1 gene:Manes.18G096200.v8.1 transcript:Manes.18G096200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLAALLRTIFFVLGSIMVATLIYTISIDGLPFRRDLLTPWMAATLVDFYINVVPLAAWIYYKESNSISAIIWIILLVCLGSIATCAYIFIQFLNLSPEESLKDPIYHVLLRHEERDGVEKRSKHPPVVVARIAFSSLGCLMLGTLIYTSVTDGSPFRKEVFTPWMVATLVDFYINVVSISVWFVYKESSWIHSLLWITLLVCVGRAENRYENLAVGKQGRN >Manes.18G096200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8888622:8894962:-1 gene:Manes.18G096200.v8.1 transcript:Manes.18G096200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLAALLRTIFFVLGSIMVATLIYTISIDGLPFRRDLLTPWMAATLVDFYINVVPLAAWIYYKESNSISAIIWIILLVCLGSIATCAYIFIQFLNLSPEESLKDPIYHVLLRHEERDGVEKRSKHPPVVVARIAFSSLGCLMLGTLIYTSVTDGSPFRKEVFTPWMVATLVDFYINVVSISVWFVYKESSWIHSLLWITLLVCVGRKQV >Manes.18G096200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8888622:8894961:-1 gene:Manes.18G096200.v8.1 transcript:Manes.18G096200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLAALLRTIFFVLGSIMVATLIYTISIDGLPFRRDLLTPWMAATLVDFYINVVPLAAWIYYKESNSISAIIWIILLVCLGSIATCAYIFIQFLNLSPEESLKDPIYHVLLRHEERDGVEKRSKHPPVVVARIAFSSLGCLMLGTLIYTSVTDGSPFRKEVFTPWMVATLVDFYINVVSISVWFVYKESSWIHSLLWITLLVCVGSVATCAYIVIQLLQLASQDPLYLVLFAKDKAENRYENLAVGKQGRN >Manes.18G096200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8888622:8894961:-1 gene:Manes.18G096200.v8.1 transcript:Manes.18G096200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLAALLRTIFFVLGSIMVATLIYTISIDGLPFRRDLLTPWMAATLVDFYINVVPLAAWIYYKESNSISAIIWIILLVCLGSIATCAYIFIQFLNLSPEESLKDPIYHVLLRHEERDGVEKRSKHPPVVVARIAFSSLGCLMLGTLIYTSVTDGSPFRKEVFTPWMVATLVDFYINVVSISVWFVYKESSWIHSLLWITLLVCVGR >Manes.16G020500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2266682:2267908:-1 gene:Manes.16G020500.v8.1 transcript:Manes.16G020500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEISWELNSVPALVRVEIMSFISSEMISNLSSDPLFSCIITLYTLILLYFPHALKICVSLILIVTALLILFLLRLGAIQSREPEKNEKKDCIESEENRDGNFHEEEKSGVLAKVDKWVVFQDETFCDPNSRSDFEVSFVEWNVRAPLEVIHEAYEGEEEDEDDNENNQDSDPTRSAALQRYPSLSMYYPDTDSDTSSDGDFSLNGVWDSPESVCFRWEEEDRAGLLIEIALESNSNDKKLSGLGSGFQVEEDNLIEIDLSPHKNEAFYRIN >Manes.09G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6138809:6142329:-1 gene:Manes.09G028800.v8.1 transcript:Manes.09G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PURA MSFTSLRLDSNPITSPKWTHRRLPHRSLLHPRNVVVCSVKSPPSSPSLSVAASDSLSRIESLSQVSGVLGCQWGDEGKGKLVDILAQHFDVVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTLCVIGNGVVVHLPGLFKEIDGLESNGVSCEGRILVSDRAHLLFDFHQQVDGLREAELAKSFIGTTRRGIGPCYSSKVIRNGIRVSDLRHMDTFPQKLDILLSDAASRFQGFNYGPEMLRDEVEIYKRFAERLEPFIADTVHVMNESIVQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLIGVVKAYTTRVGSGPFPTEILGQGGDLLRFAGQEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSDLPEIQLGVAYKQMDGTPIKSFPADLRVLEQLKVEYEVLPGWKTDISSIRNYTDLPKAARQYVERIEELVGVPIHYIGVGPGRDALIYK >Manes.01G137100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32949946:32954013:-1 gene:Manes.01G137100.v8.1 transcript:Manes.01G137100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGDDSGVPLAKWRSDFSRAFQYYLDRSTPHTMHRWLGTLAVAMIYILRVYYLQGFYVVSYGLGIYILNLLIGFLSPKDDPELEALDGASLPTKGSDEFKPFIRRLPEFKFWYAITKAFVVAFLLTFFSVLDVPVFWPILLCYWIVLFVLTMKRQILHMIKYKYVPFNIGKQRYTGKKSTATSSKAAD >Manes.01G137100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32951159:32952741:-1 gene:Manes.01G137100.v8.1 transcript:Manes.01G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGDDSGVPLAKWRSDFSRAFQYYLDRSTPHTMHRWLGTLAVAMIYILRVYYLQGFYVVSYGLGIYILNLLIGFLSPKDDPELEALDGASLPTKGSDEFKPFIRRLPEFKFWYAITKAFVVAFLLTFFSVLDVPVFWPILLCYWIVLFVLTMKRQILHMIKYKYVPFNIGKQRYTGKKSTATSSKAAD >Manes.01G137100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32949946:32953979:-1 gene:Manes.01G137100.v8.1 transcript:Manes.01G137100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAGDDSGVPLAKWRSDFSRAFQYYLDRSTPHTMHRWLGTLAVAMIYILRVYYLQGFYVVSYGLGIYILNLLIGFLSPKDDPELEALDGASLPTKGSDEFKPFIRRLPEFKFWYAITKAFVVAFLLTFFSVLDVPVFWPILLCYWIVLFVLTMKRQILHMIKYKYVPFNIGKQRYTGKKSTATSSKAAD >Manes.06G120800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25202580:25207282:-1 gene:Manes.06G120800.v8.1 transcript:Manes.06G120800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLSDQAWPFSEMEEETKKKPIEEAKSGFGSLSEDILEKILRRLPAPSLASAACVSKLWYQNCNRILSRPKLASAISLNPSLEVIGGYCCSDCDDERLDANSGILLTVGSVPGLKVEAIPLLRRTKQAPRVSMADDLVVDIRNYTILASGCTSPVAIIMFGGGDIDLKPIIEKLDYAMLKETVIAGDEKTEFLYRSGMESKNVCESTKYFSDAVALVFARDREKAHGIGDIQFHAALSNGVSAIGPRYKAASVRVNGRDCTTWLTAKREGEHEIFDGQRILNDINDELENRIGGADLYIGVSKHRKYSIEREKPRSMSSLAFHGVMGVDEEYLFVYGSGIRTADYFQFYHSDPNIALSSCNNVSIHLRDLKVDWNSKRGLHPLSVANECKKECIGGFVFACRGRGESFFGRPNVDSSPILENFPGVPLAGIYCGGEIGRGISILNAHGRQEESTTRLVHVFSTVYLVLSYTPASMEH >Manes.06G120800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25202581:25207282:-1 gene:Manes.06G120800.v8.1 transcript:Manes.06G120800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLSDQAWPFSEMEEETKKKPIEEAKSGFGSLSEDILEKILRRLPAPSLASAACVSKLWYQNCNRILSRPKLASAISLNPSLEIAVQEVLDKVLSEPIRPHFAIANGFGYSFCMQKAFDLLSGKMGSRTPLIVTWASGAMGRDARTNEFREVIGGYCCSDCDDERLDANSGILLTVGSVPGLKVEAIPLLRRTKQAPRVSMADDLVVDIRNYTILASGCTSPVAIIMFGGGDIDLKPIIEKLDYAMLKETVIAGDEKTEFLYRSGMESKNVCESTKYFSDAVALVFARDREKAHGIGDIQFHAALSNGVSAIGPRYKAASVRVNGRDCTTWLTAKREGEHEIFDGQRILNDINDELENRIGGADLYIGVSKHRKYSIEREKPRSMSSLAFHGVMGVDEEYLFVYGSGIRTADYFQFYHSDPNIALSSCNNVSIHLRDLKVDWNSKRGLHPLSVANECKKECIGGFVFACRGRGESFFGRPNVDSSPILENFPGVPLAGIYCGGEIGRGISILNAHGRQEESTTRLVHVFSTVYLVLSYTPASMEH >Manes.06G120800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25202581:25207286:-1 gene:Manes.06G120800.v8.1 transcript:Manes.06G120800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLSDQAWPFSEMEEETKKKPIEEAKSGFGSLSEDILEKILRRLPAPSLASAACVSKLWYQNCNRILSRPKLASAISLNPSLEIAVQEVLDKVLSEPIRPHFAIANGFGYSFCMQKAFDLLSGKMGSRTPLIVTWASGAMGRDARTNEFREVIGGYCCSDCDDERLDANSGILLTVGSVPGLKVEAIPLLRRTKAPRVSMADDLVVDIRNYTILASGCTSPVAIIMFGGGDIDLKPIIEKLDYAMLKETVIAGDEKTEFLYRSGMESKNVCESTKYFSDAVALVFARDREKAHGIGDIQFHAALSNGVSAIGPRYKAASVRVNGRDCTTWLTAKREGEHEIFDGQRILNDINDELENRIGGADLYIGVSKHRKYSIEREKPRSMSSLAFHGVMGVDEEYLFVYGSGIRTADYFQFYHSDPNIALSSCNNVSIHLRDLKVDWNSKRGLHPLSVANECKKECIGGFVFACRGRGESFFGRPNVDSSPILENFPGVPLAGIYCGGEIGRGISILNAHGRQEESTTRLVHVFSTVYLVLSYTPASMEH >Manes.06G120800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25202580:25207282:-1 gene:Manes.06G120800.v8.1 transcript:Manes.06G120800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSLSDQAWPFSEMEEETKKKPIEEAKSGFGSLSEDILEKILRRLPAPSLASAACVSKLWYQNCNRILSRPKLASAISLNPSLEVIGGYCCSDCDDERLDANSGILLTVGSVPGLKVEAIPLLRRTKAPRVSMADDLVVDIRNYTILASGCTSPVAIIMFGGGDIDLKPIIEKLDYAMLKETVIAGDEKTEFLYRSGMESKNVCESTKYFSDAVALVFARDREKAHGIGDIQFHAALSNGVSAIGPRYKAASVRVNGRDCTTWLTAKREGEHEIFDGQRILNDINDELENRIGGADLYIGVSKHRKYSIEREKPRSMSSLAFHGVMGVDEEYLFVYGSGIRTADYFQFYHSDPNIALSSCNNVSIHLRDLKVDWNSKRGLHPLSVANECKKECIGGFVFACRGRGESFFGRPNVDSSPILENFPGVPLAGIYCGGEIGRGISILNAHGRQEESTTRLVHVFSTVYLVLSYTPASMEH >Manes.01G153200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34063201:34064088:-1 gene:Manes.01G153200.v8.1 transcript:Manes.01G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVHSHVRSISLPSRLHHNSLKIEAELTNLKSSASNSNCLHAETIQLGLTKLAELFICIEELTHSPQNQQVYHRQLLEEVLDGSVELVDVCSTARDLFFTMQEHIRDLQSALRRRGKDSSSIESNVQAYISFRKRAKKQVTKSLATLKKLESNSLSFLPTLDEEQHLSYVVKVIREAHAIAVTMFRSVMLFLSPLAAKTNIVGWSLVSKLIRPGSLAYSDKGEKIFNEVEKVDVSVCCIHGKIRKNSEAKIDLQQVQDRLETLAVSINDVEAKLNCLFRCLIQNRVSLLNLVTP >Manes.15G103700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8170270:8217626:1 gene:Manes.15G103700.v8.1 transcript:Manes.15G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEDLWERLVRAALRRERTGTDALGRPVGGIAGYVPSSLANNRDIDAILRAADEIQDEDPNVSRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDIARLQEFYKLFREKNNVDKLREEEMKLRESGTFSGNLGELERKTVKRKRVFATLKVLGSVLEQLTKEIPEELKRVIESDAAMTEDLVAYNIIPLDSPTVTNAIVAFPEVRAAMTALKYFPDLPKLPVDFPVPSTRDADMLDFLQFVFGFQKDNVSNQREHIVHLLANEQSCLRIPDETEPILDEAAVHRVFMKSLENYIKWCSYLNIQPVWSNLESVCKEKKLLFLSLYFLIWGEAANVRFIPECLCYIFHHMVREMDEILRQQIAQPANSCNSENGVSFLDKVITPLYEVIAAEAGNNENGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKNSSFFLKPKPRTKYLLKTAGSQRQGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTIFAFNGEKFNSKTLHEVLSLGPTFVVMKFFESVLDVIMLYGAYSTTRRVAVSRIFLRFAWFSSASVFICFLYVKALQEENKQNSGSVIFRLYLIIIGIYAGIQFFISFLMRIPACHRMTNQCDQSPIVRFIKWMRQERYYVGRGMYERTSDFLKYMLFWLVVLSAKFAFAYFLLIKPLVNPTKDIVKMTDNLVYSWHDLVSKNNHNALTIASLWAPVICIYLLDIHIFYTIISAIYGFLLGARDRLGEIRSLDAVHQLFEEFPGAFMSTLHVPPGNRTSGSSSNEVVQKRKIDAARFSPFWNEIIKNLREEDYITYQEMELLLMPKNSGNLALVQWPLFLLSSKIFYAKDIAVESTQNRDSQDELWERIARDEYMKYAVEESYHALKFILTEILEGEGKMWVERVYGDIQASIENKTIQVDFQLNKLVLVISRVTALMGILKGTETPELGKGAIKAVQDLYDVVRCDFFSVIMREHYETWNLLFEARSQGRLFTDLKWPRDAELKTQIRRLHALLTIKESASNIPKNIEARRRLEFFTNSLFMDMPEARPVREMLSFSVFTPYYSEIVLYSMAELQKKNEDGISILFYLQKIFPDEWKNFLARIGRNENALDTELFDSPNDILELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERATAGDMEAAISSNDATDTGGFELSPEARAQADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVETLKDGKVQREFYSKLVKADINGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHRDHGIHPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKAYLALSGVGEQIQLRSDILQNDALSAALNAQFLFQIGIFTAVPMILGFILEQGFLRAVVSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGQEVVLLLVVYLAYGYNEGGALSYILLTVSSWYMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEELAHIRTLKGRILETILSLRFFIFQYGIVYKLDLQGSNTSLSVYGFSWIVLAVLVLLFKVFSFSQKISVNFQLLLRFIQGVSFLLAFAGLAVAVIFTDLSVPDIFACILAFVPTGWGILSIAAAWKPLMKKLGLWKSIRSIARLYDAGMGMLIFIPIALLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >Manes.15G103700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8170270:8217627:1 gene:Manes.15G103700.v8.1 transcript:Manes.15G103700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEDLWERLVRAALRRERTGTDALGRPVGGIAGYVPSSLANNRDIDAILRAADEIQDEDPNVSRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDIARLQEFYKLFREKNNVDKLREEEMKLRESGTFSGNLGELERKTVKRKRVFATLKVLGSVLEQLTKEIPEELKRVIESDAAMTEDLVAYNIIPLDSPTVTNAIVAFPEVRAAMTALKYFPDLPKLPVDFPVPSTRDADMLDFLQFVFGFQILDEAAVHRVFMKSLENYIKWCSYLNIQPVWSNLESVCKEKKLLFLSLYFLIWGEAANVRFIPECLCYIFHHMVREMDEILRQQIAQPANSCNSENGVSFLDKVITPLYEVIAAEAGNNENGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKNSSFFLKPKPRTKYLLKTAGSQRQGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTIFAFNGEKFNSKTLHEVLSLGPTFVVMKFFESVLDVIMLYGAYSTTRRVAVSRIFLRFAWFSSASVFICFLYVKALQEENKQNSGSVIFRLYLIIIGIYAGIQFFISFLMRIPACHRMTNQCDQSPIVRFIKWMRQERYYVGRGMYERTSDFLKYMLFWLVVLSAKFAFAYFLLIKPLVNPTKDIVKMTDNLVYSWHDLVSKNNHNALTIASLWAPVICIYLLDIHIFYTIISAIYGFLLGARDRLGEIRSLDAVHQLFEEFPGAFMSTLHVPPGNRTSGSSSNEVVQKRKIDAARFSPFWNEIIKNLREEDYITYQEMELLLMPKNSGNLALVQWPLFLLSSKIFYAKDIAVESTQNRDSQDELWERIARDEYMKYAVEESYHALKFILTEILEGEGKMWVERVYGDIQASIENKTIQVDFQLNKLVLVISRVTALMGILKGTETPELGKGAIKAVQDLYDVVRCDFFSVIMREHYETWNLLFEARSQGRLFTDLKWPRDAELKTQIRRLHALLTIKESASNIPKNIEARRRLEFFTNSLFMDMPEARPVREMLSFSVFTPYYSEIVLYSMAELQKKNEDGISILFYLQKIFPDEWKNFLARIGRNENALDTELFDSPNDILELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERATAGDMEAAISSNDATDTGGFELSPEARAQADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVETLKDGKVQREFYSKLVKADINGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHRDHGIHPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKAYLALSGVGEQIQLRSDILQNDALSAALNAQFLFQIGIFTAVPMILGFILEQGFLRAVVSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGQEVVLLLVVYLAYGYNEGGALSYILLTVSSWYMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEELAHIRTLKGRILETILSLRFFIFQYGIVYKLDLQGSNTSLSVYGFSWIVLAVLVLLFKVFSFSQKISVNFQLLLRFIQGVSFLLAFAGLAVAVIFTDLSVPDIFACILAFVPTGWGILSIAAAWKPLMKKLGLWKSIRSIARLYDAGMGMLIFIPIALLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >Manes.15G103700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8170270:8217626:1 gene:Manes.15G103700.v8.1 transcript:Manes.15G103700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEDLWERLVRAALRRERTGTDALGRPVGGIAGYVPSSLANNRDIDAILRAADEIQDEDPNVSRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDIARLQEFYKLFREKNNVDKLREEEMKLRESGTFSGNLGELERKTVKRKRVFATLKVLGSVLEQLTKEIPEELKRVIESDAAMTEDLVAYNIIPLDSPTVTNAIVAFPEVRAAMTALKYFPDLPKLPVDFPVPSTRDADMLDFLQFVFGFQKDNVSNQREHIVHLLANEQSCLRIPDETEPILDEAAVHRVFMKSLENYIKWCSYLNIQPVWSNLESVCKEKKLLFLSLYFLIWGEAANVRFIPECLCYIFHHMVREMDEILRQQIAQPANSCNSENGVSFLDKVITPLYEVIAAEAGNNENGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKNSSFFLKPKPRTKYLLKTAGSQRQGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTIFAFNGEKFNSKTLHEVLSLGPTFVVMKFFESVLDVIMLYGAYSTTRRVAVSRIFLRFAWFSSASVFICFLYVKALQEENKQNSGSVIFRLYLIIIGIYAGIQFFISFLMRIPACHRMTNQCDQSPIVRFIKWMRQERYYVGRGMYERTSDFLKYMLFWLVVLSAKFAFAYFLLIKPLVNPTKDIVKMTDNLVYSWHDLVSKNNHNALTIASLWAPVICIYLLDIHIFYTIISAIYGFLLGARDRLGEIRSLDAVHQLFEEFPGAFMSTLHVPPGNRTSGSSSNEKRKIDAARFSPFWNEIIKNLREEDYITYQEMELLLMPKNSGNLALVQWPLFLLSSKIFYAKDIAVESTQNRDSQDELWERIARDEYMKYAVEESYHALKFILTEILEGEGKMWVERVYGDIQASIENKTIQVDFQLNKLVLVISRVTALMGILKGTETPELGKGAIKAVQDLYDVVRCDFFSVIMREHYETWNLLFEARSQGRLFTDLKWPRDAELKTQIRRLHALLTIKESASNIPKNIEARRRLEFFTNSLFMDMPEARPVREMLSFSVFTPYYSEIVLYSMAELQKKNEDGISILFYLQKIFPDEWKNFLARIGRNENALDTELFDSPNDILELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERATAGDMEAAISSNDATDTGGFELSPEARAQADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVETLKDGKVQREFYSKLVKADINGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHRDHGIHPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKAYLALSGVGEQIQLRSDILQNDALSAALNAQFLFQIGIFTAVPMILGFILEQGFLRAVVSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGQEVVLLLVVYLAYGYNEGGALSYILLTVSSWYMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEELAHIRTLKGRILETILSLRFFIFQYGIVYKLDLQGSNTSLSVYGFSWIVLAVLVLLFKVFSFSQKISVNFQLLLRFIQGVSFLLAFAGLAVAVIFTDLSVPDIFACILAFVPTGWGILSIAAAWKPLMKKLGLWKSIRSIARLYDAGMGMLIFIPIALLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >Manes.15G103700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8170270:8217627:1 gene:Manes.15G103700.v8.1 transcript:Manes.15G103700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEDLWERLVRAALRRERTGTDALGRPVGGIAGYVPSSLANNRDIDAILRAADEIQDEDPNVSRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDIARLQEFYKLFREKNNVDKLREEEMKLRESGTFSGNLGELERKTVKRKRVFATLKVLGSVLEQLTKEIPEELKRVIESDAAMTEDLVAYNIIPLDSPTVTNAIVAFPEVRAAMTALKYFPDLPKLPVDFPVPSTRDADMLDFLQFVFGFQILDEAAVHRVFMKSLENYIKWCSYLNIQPVWSNLESVCKEKKLLFLSLYFLIWGEAANVRFIPECLCYIFHHMVREMDEILRQQIAQPANSCNSENGVSFLDKVITPLYEVIAAEAGNNENGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKNSSFFLKPKPRTKYLLKTAGSQRQGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTIFAFNGEKFNSKTLHEVLSLGPTFVVMKFFESVLDVIMLYGAYSTTRRVAVSRIFLRFAWFSSASVFICFLYVKALQEENKQNSGSVIFRLYLIIIGIYAGIQFFISFLMRIPACHRMTNQCDQSPIVRFIKWMRQERYYVGRGMYERTSDFLKYMLFWLVVLSAKFAFAYFLLIKPLVNPTKDIVKMTDNLVYSWHDLVSKNNHNALTIASLWAPVICIYLLDIHIFYTIISAIYGFLLGARDRLGEIRSLDAVHQLFEEFPGAFMSTLHVPPGNRTSGSSSNEKRKIDAARFSPFWNEIIKNLREEDYITYQEMELLLMPKNSGNLALVQWPLFLLSSKIFYAKDIAVESTQNRDSQDELWERIARDEYMKYAVEESYHALKFILTEILEGEGKMWVERVYGDIQASIENKTIQVDFQLNKLVLVISRVTALMGILKGTETPELGKGAIKAVQDLYDVVRCDFFSVIMREHYETWNLLFEARSQGRLFTDLKWPRDAELKTQIRRLHALLTIKESASNIPKNIEARRRLEFFTNSLFMDMPEARPVREMLSFSVFTPYYSEIVLYSMAELQKKNEDGISILFYLQKIFPDEWKNFLARIGRNENALDTELFDSPNDILELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERATAGDMEAAISSNDATDTGGFELSPEARAQADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVETLKDGKVQREFYSKLVKADINGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHRDHGIHPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYIFLYGKAYLALSGVGEQIQLRSDILQNDALSAALNAQFLFQIGIFTAVPMILGFILEQGFLRAVVSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGQEVVLLLVVYLAYGYNEGGALSYILLTVSSWYMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEELAHIRTLKGRILETILSLRFFIFQYGIVYKLDLQGSNTSLSVYGFSWIVLAVLVLLFKVFSFSQKISVNFQLLLRFIQGVSFLLAFAGLAVAVIFTDLSVPDIFACILAFVPTGWGILSIAAAWKPLMKKLGLWKSIRSIARLYDAGMGMLIFIPIALLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >Manes.15G096100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7491954:7509331:1 gene:Manes.15G096100.v8.1 transcript:Manes.15G096100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPTLAGMEVPIFGSDSVKWVELSVAADGDSSTGYATASAPLAPLTDDCASCSVIGDPPLYVIWRINKNLPRAIELLELSANKEFPRIGLRITFSDALCPFAYICSNEIGSPANPFLLYALTISGVAYVFKLRNFSGYTSSSAFPANEVIVCNLQRYLNNVTITSVAATSGCLVVGKSDGSVACFQLGLLEQTAPDFVYELRYDLGISRLWGFVSRGRMLGSMQDLVIQRLHGLKLLFGLHSDGTLQVWDLSYRAKLLSHTMSIPNSEGATFLRLWVGEATEDSSLIPLAMLYRSTMDVSMEMIHVCKLRFSLGDKISLSVVPPVQNIQLEEGECIDVKLTLEKIWILRDNGVMIHNSLRTDSNVEEGRCYALQEEFVAEQLFQSSELSSDDLLWIIHSIFSHTKDHVAPFISSIFLRRLLHPGVHHSTILRATFMDYNKHWTDTEFQSLTVGGLKKEIQSLIDHEGFSESPMSVFCSWKHFCTRYFHNWCKHNSPCGFLVQSSAVIGLVRNNSITLFRDMEKIEVLIDGSSDELLDHSFGLDICDDDSEREILVDVLRCIISLNQQLGKTASAIFYESLVGTSVISSEEIVPRLLKILETGYSSLVSSVHVSDLGGDFALEKELADHRNLRKFSVNLLFSLHALSRKTDSWGKILDVIETYLQFLVPQKVMQKLDAGMSLHISASILVQAASPIAKSMFDSAFDILLFVSYLLNVSGQINMSPDNVSRIQLEFVPMIQDIVFEWLIIHFFCTTPSESPAIEDFSSQLSSLQIDGSTDKRSWNERLGKCDFPLAFILMLTSQISFGDPNHSSSRHLPNPQDIVGSVREFTSWIIWGKSGEESHSFLKRSTEIALILLRHSQYDAVEYLLTIVEANSQREKIFRSIQDTSGDWCLLQHLLGCCLLAQARYGFHGMLKEKKVCEAIRCFFRASSGQGASQALQDLSHDAGLPYLGFDGCVASAEWKLHYYQWAMQIFEQYGISEGACQFALAALEQVDEALSQKDDSGGRDILSESATIIKGRLWANVFKFTLDLNHLYDSYCAILSNPDEESKYICLRRFIIVLYERGGMKVLCGGQIPFIGLAEKIEQELAWKAERSDILTKPNPYKLLYAFEMHRHNWRRAASYIYQYAARLRAELILKDHQHVSLVLQERLNALSASINALNLVHPAYAWIDPLHEGNSLQNECYPSKKAKKTVEEQLVVGDVQPQRLQFHIDIEKIENEFVLTSAEYLLSLANVKRTSTGKDDAPSDLVALLIQTNLYDMAFTVLLKFWKGSGLKRELENVFSAMSLKCCSNKIVSSSVGNDLRTHGLLLTSSTEDMVVHCSPDIGPMQQQSRGNTQWETLELYLEKYKAYHAGLPVTVAETLLRTDPQIELPLWLVHMFKESRRDRMWGMTGQVSNPASLFRLYVDYGRFTEATNLLLEYMEAFASVRPSDLIHRKRPFAAWFPYSTIERLWCQLDELINLGHMVDQCDKLKKLLHGALLNHLKLLKVDSDDAISSAAC >Manes.15G096100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7491954:7509331:1 gene:Manes.15G096100.v8.1 transcript:Manes.15G096100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPTLAGMEVPIFGSDSVKWVELSVAADGDSSTGYATASAPLAPLTDDCASCSVIGDPPLYVIWRINKNLPRAIELLELSANKEFPRIGLRITFSDALCPFAYICSNEIGSPANPFLLYALTISGVAYVFKLRNFSGYTSSSAFPANEVIVCNLQRYLNNVTITSVAATSGCLVVGKSDGSVACFQLGLLEQTAPDFVYELRYDLGISRLWGFVSRGRMLGSMQDLVIQRLHGLKLLFGLHSDGTLQVWDLSYRAKLLSHTMSIPNSEGATFLRLWVGEATEDSSLIPLAMLYRSTMDVSMEMIHVCKLRFSLGDKISLSVVPPVQNIQLEEGECIDVKLTLEKIWILRDNGVMIHNSLRTDSNVEEGRCYALQEEFVAEQLFQSSELSSDDLLWIIHSIFSHTKDHVAPFISSIFLRRLLHPGVHHSTILRATFMDYNKHWTDTEFQSLTVGGLKKEIQSLIDHEGFSESPMSVFCSWKHFCTRYFHNWCKHNSPCGFLVQSSAVIGLVRNNSITLFRDMEKIEVLIDGSSDELLDHSFGLDICDDDSEREILVDVLRCIISLNQQLGKTASAIFYESLVGTSVISSEEIVPRLLKILETGYSSLVSSVHVSDLGGDFALEKELADHRNLRKFSVNLLFSLHALSRKTDSWGKILDVIETYLQFLVPQKVMQKLDAGMSLHISASILVQAASPIAKSMFDSAFDILLFVSYLLNVSGQINMSPDNVSRIQLEFVPMIQDIVFEWLIIHFFCTTPSESPAIEDFSSQLSSLQIDGSTDKRSWNERLGKCDFPLAFILMLTSQISFGDPNHSSSRHLPNPQDIVGSVREFTSWIIWGKSGEESHSFLKRSTEIALILLRHSQYDAVEYLLTIVEANSQREKIFRSIQDTSGDWCLLQHLLGCCLLAQARYGFHGMLKEKKVCEAIRCFFRASSGQGASQALQDLSHDAGLPYLDGCVASAEWKLHYYQWAMQIFEQYGISEGACQFALAALEQVDEALSQKDDSGGRDILSESATIIKGRLWANVFKFTLDLNHLYDSYCAILSNPDEESKYICLRRFIIVLYERGGMKVLCGGQIPFIGLAEKIEQELAWKAERSDILTKPNPYKLLYAFEMHRHNWRRAASYIYQYAARLRAELILKDHQHVSLVLQERLNALSASINALNLVHPAYAWIDPLHEGNSLQNECYPSKKAKKTVEEQLVVGDVQPQRLQFHIDIEKIENEFVLTSAEYLLSLANVKRTSTGKDDAPSDLVALLIQTNLYDMAFTVLLKFWKGSGLKRELENVFSAMSLKCCSNKIVSSSVGNDLRTHGLLLTSSTEDMVVHCSPDIGPMQQQSRGNTQWETLELYLEKYKAYHAGLPVTVAETLLRTDPQIELPLWLVHMFKESRRDRMWGMTGQVSNPASLFRLYVDYGRFTEATNLLLEYMEAFASVRPSDLIHRKRPFAAWFPYSTIERLWCQLDELINLGHMVDQCDKLKKLLHGALLNHLKLLKVDSDDAISSAAC >Manes.15G096100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7496040:7509331:1 gene:Manes.15G096100.v8.1 transcript:Manes.15G096100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIHVCKLRFSLGDKISLSVVPPVQNIQLEEGECIDVKLTLEKIWILRDNGVMIHNSLRTDSNVEEGRCYALQEEFVAEQLFQSSELSSDDLLWIIHSIFSHTKDHVAPFISSIFLRRLLHPGVHHSTILRATFMDYNKHWTDTEFQSLTVGGLKKEIQSLIDHEGFSESPMSVFCSWKHFCTRYFHNWCKHNSPCGFLVQSSAVIGLVRNNSITLFRDMEKIEVLIDGSSDELLDHSFGLDICDDDSEREILVDVLRCIISLNQQLGKTASAIFYESLVGTSVISSEEIVPRLLKILETGYSSLVSSVHVSDLGGDFALEKELADHRNLRKFSVNLLFSLHALSRKTDSWGKILDVIETYLQFLVPQKVMQKLDAGMSLHISASILVQAASPIAKSMFDSAFDILLFVSYLLNVSGQINMSPDNVSRIQLEFVPMIQDIVFEWLIIHFFCTTPSESPAIEDFSSQLSSLQIDGSTDKRSWNERLGKCDFPLAFILMLTSQISFGDPNHSSSRHLPNPQDIVGSVREFTSWIIWGKSGEESHSFLKRSTEIALILLRHSQYDAVEYLLTIVEANSQREKIFRSIQDTSGDWCLLQHLLGCCLLAQARYGFHGMLKEKKVCEAIRCFFRASSGQGASQALQDLSHDAGLPYLGFDGCVASAEWKLHYYQWAMQIFEQYGISEGACQFALAALEQVDEALSQKDDSGGRDILSESATIIKGRLWANVFKFTLDLNHLYDSYCAILSNPDEESKYICLRRFIIVLYERGGMKVLCGGQIPFIGLAEKIEQELAWKAERSDILTKPNPYKLLYAFEMHRHNWRRAASYIYQYAARLRAELILKDHQHVSLVLQERLNALSASINALNLVHPAYAWIDPLHEGNSLQNECYPSKKAKKTVEEQLVVGDVQPQRLQFHIDIEKIENEFVLTSAEYLLSLANVKRTSTGKDDAPSDLVALLIQTNLYDMAFTVLLKFWKGSGLKRELENVFSAMSLKCCSNKIVSSSVGNDLRTHGLLLTSSTEDMVVHCSPDIGPMQQQSRGNTQWETLELYLEKYKAYHAGLPVTVAETLLRTDPQIELPLWLVHMFKESRRDRMWGMTGQVSNPASLFRLYVDYGRFTEATNLLLEYMEAFASVRPSDLIHRKRPFAAWFPYSTIERLWCQLDELINLGHMVDQCDKLKKLLHGALLNHLKLLKVDSDDAISSAAC >Manes.13G060800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7110298:7116531:1 gene:Manes.13G060800.v8.1 transcript:Manes.13G060800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASRIFQTTLFPSFSILHKSCTLTQSPSFLRKKYSTTIHCSSSVSTSDSATEKAASVTPKVPWGCEIDSLQNAEALQRWLSDSGLPPQKMAIQKVEVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSCPEAGEVLKQYSVPDWPLLATYLISEASLQQSSRWSNYISALPRQPYSLLYWTRAELDRFLEASQIRERAIERITNVIGTYDDLRLRIFSKYPDLFPEEVFNMETFKWSFGILFSRLVRLPSMDGRVALVPWADMLNHSCEVETFLDYDKSSKGVVFTTDRQYEPGEQVFISYGKKSNGELLLSYGFVPREGTNPSDSVELSLSLKKSDKCYKEKLEALRKHGLSASQCFPLRITGWPVELMAYSFLAVSPPSMSRQFEEMAAAASNATTIRKDIRYPEIEEQALQFILDSCEMSISKYTKFLQASGSMDLDVTSPKQLNRRLFLKQLAVDLCNSERRILFRAQSVLRRRLRDIRSGELRALRIFDGFRNLFK >Manes.15G086900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6647199:6647633:-1 gene:Manes.15G086900.v8.1 transcript:Manes.15G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFFLICMLHSLIALTCGALMMFYTNEASVFGHGIEVASKLKGSTPHDQLLIQTSDSFSGLLLFAIGFLLFMVAFVKDREFQSFFAKGCVLIHVAVAFWRIYFEWKLEDLAHDLPRQVVGDIALALSWVFFLVYSWREKYD >Manes.15G098100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7653646:7654209:1 gene:Manes.15G098100.v8.1 transcript:Manes.15G098100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:INH3 MKTLLVLLLFIFPVHAAIPSILGSNLINHTCKQTPYYEVCVNSLISNPHSSSTDVNGLAMIMVLTIEAKATNTLKRINRLLQHSSSSLTQKELQGLRHCADRYSVIIKGDVPQALAALRTGDYKFAQDGADDAATEAISCEEEFSGQSSPLSDMNSSVHDVSVVASSIVHIILRS >Manes.01G049495.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:15265092:15265716:1 gene:Manes.01G049495.v8.1 transcript:Manes.01G049495.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLVGPSQPAISDNLFPSAGRWDREVWDMFAVSSINHPDLRRISADYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFR >Manes.11G114950.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27099536:27115957:-1 gene:Manes.11G114950.v8.1 transcript:Manes.11G114950.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSGVCSCRDYSVSLAHSCTFSNSFPNSNVKSQRSFFFPYNLTFQTLKTTCPAARVPQSVVTCSAERTSPAKSLRLILDSPGIHQGPACFDALSAKLVERAGFNYCFTSGFSISAARLALPDTGFISYGEMVDQGQLITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKETGLDIIIVARTDSRQAVSLDESLWRSRAFADAGADVLFIDALASREEMKSFCEISPLVPKMANMLEGGGKTPILNPLELEELGYKLVAYPLSLIGVSIQAMQDSLTAIKGGRIPPPGTMPTFEEIKEILGFNTYYEEEKRYATSTGQMLRPGDGSRDPFSRIWSRTLRIKITGRDGFEKLDVRIPAGFLEGITNIVPALGGVNIKELLDDAAGEVGGKLLLDFNDTIGDRIQVFLE >Manes.11G114950.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27091033:27115957:-1 gene:Manes.11G114950.v8.1 transcript:Manes.11G114950.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSGVCSCRDYSVSLAHSCTFSNSFPNSNVKSQRSFFFPYNLTFQTLKTTCPAARVPQSVVTCSAERTSPAKSLRLILDSPGIHQGPACFDALSAKLVERAGFNYCFTSGFSISAARLALPDTGFISYGEMVDQGQLITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDAREETGSDIVIVARTDSRQAISLDESLWRSRAFADAGADVLFIDALTSREEMKSFCEVAPQVPKMANMLEGGGKTPILSPSELEELGYKVVVYPLSLIGVAIQAMQDSLTAIKGGRIPPPGTMPTFEEIKEILGFNLYYEEEKRYATSTS >Manes.11G114950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27099622:27115957:-1 gene:Manes.11G114950.v8.1 transcript:Manes.11G114950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSGVCSCRDYSVSLAHSCTFSNSFPNSNVKSQRSFFFPYNLTFQTLKTTCPAARVPQSVVTCSAERTSPAKSLRLILDSPGIHQGPACFDALSAKLVERAGFNYCFTSGFSISAARLALPDTGFISYGEMVDQGQLITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKETGLDIIIVARTDSRQAVSLDESLWRSRAFADAGADVLFIDALASREEMKSFCEISPLVPKMANMLEGGGKTPILNPLELEELGYKLVAYPLSLIGVSIQAMQDSLTAIKGGRIPPPGTMPTFEEIKEILGFNTYYEEEKRYATSTGQMLRPGGYSSASSNVYDIQRRIPDDTEQRNQSPQDPVVEVITPDVYNNYGADGSRDPFSRIWSRTLRIKITGRDGFEKLDVRIPAGFLEGITNIVPALGGVNIKELLDDAAGEVGGKLLLDFNDTIGDRIQVFLE >Manes.11G114950.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27099622:27115957:-1 gene:Manes.11G114950.v8.1 transcript:Manes.11G114950.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSGVCSCRDYSVSLAHSCTFSNSFPNSNVKSQRSFFFPYNLTFQTLKTTCPAARVPQSVVTCSAERTSPAKSLRLILDSPGIHQGPACFDALSAKLVERAGFNYCFTSGFSISAARLALPDTGFISYGEMVDQGQLITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKETGLDIIIVARTDSRQAVSLDESLWRSRAFADAGADVLFIDALASREEMKSFCEISPLVPKMANMLEGGGKTPILNPLELEELGYKLVAYPLSLIGVSIQAMQDSLTAIKGGRIPPPGTMPTFEEIKEILGFNTYYEEEKRYATSTGQMLRPGDGSRDPFSRIWSRTLRIKITGRDGFEKLDVRIPAGFLEGITNIVPALGGVNIKELLDDAAGEVGGKLLLDFNDTIGDRIQVFLE >Manes.11G114950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27099536:27115957:-1 gene:Manes.11G114950.v8.1 transcript:Manes.11G114950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSGVCSCRDYSVSLAHSCTFSNSFPNSNVKSQRSFFFPYNLTFQTLKTTCPAARVPQSVVTCSAERTSPAKSLRLILDSPGIHQGPACFDALSAKLVERAGFNYCFTSGFSISAARLALPDTGFISYGEMVDQGQLITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKETGLDIIIVARTDSRQAVSLDESLWRSRAFADAGADVLFIDALASREEMKSFCEISPLVPKMANMLEGGGKTPILNPLELEELGYKLVAYPLSLIGVSIQAMQDSLTAIKGGRIPPPGTMPTFEEIKEILGFNTYYEEEKRYATSTGQMLRPGGYSSASSNVYDIQRRIPDDTEQRNQSPQDPVVEVITPDVYNNYGADGSRDPFSRIWSRTLRIKITGRDGFEKLDVRIPAGFLEGITNIVPALGGVNIKELLDDAAGEVGGKLLLDFNDTIGDRIQVFLE >Manes.11G114950.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27104305:27115957:-1 gene:Manes.11G114950.v8.1 transcript:Manes.11G114950.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSGVCSCRDYSVSLAHSCTFSNSFPNSNVKSQRSFFFPYNLTFQTLKTTCPAARVPQSVVTCSAERTSPAKSLRLILDSPGIHQGPACFDALSAKLVERAGFNYCFTSGFSISAARLALPDTGFISYGEMVDQGQLITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKETGLDIIIVARTDSRQAVSLDESLWRSRAFADAGADVLFIDALASREEMKSFCEISPLVPKMANMLEGGGKTPILNPLELEELGYKLVAYPLSLIGVSIQAMQDSLTAIKGGRIPPPGTMPTFEEIKEILGFNTYYEEEKRYATSTGQMLRPGASSNVYDIQRRIPDDTEQRNQSPQDPVVEVITPDVYNNYGADGSRDPFSRIWSRTLRIKITGRDGFEKLDVRIPAGFLEGITNIVPALGGVNIKELLDDAAGEVGGKLLLDFNDTIGDRIQVFLE >Manes.11G114950.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27099622:27115957:-1 gene:Manes.11G114950.v8.1 transcript:Manes.11G114950.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSGVCSCRDYSVSLAHSCTFSNSFPNSNVKSQRSFFFPYNLTFQTLKTTCPAARVPQSVVTCSAERTSPAKSLRLILDSPGIHQGPACFDALSAKLVERAGFNYCFTSGFSISAARLALPDTGFISYGEMVDQGQLITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKETGLDIIIVARTDSRQAVSLDESLWRSRAFADAGADVLFIDALASREEMKSFCEISPLVPKMANMLEGGGKTPILNPLELEELGYKLVAYPLSLIGVSIQAMQDSLTAIKGGRIPPPGTMPTFEEIKEILGFNTYYEEEKRYATSTGQMLRPGDGSRDPFSRIWSRTLRIKITGRDGFEKLDVRIPAGFLEGITNIVPALGGVNIKELLDDAAGEVGGKLLLDFNDTIGDRIQVFLE >Manes.11G114950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27099622:27115957:-1 gene:Manes.11G114950.v8.1 transcript:Manes.11G114950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVSGVCSCRDYSVSLAHSCTFSNSFPNSNVKSQRSFFFPYNLTFQTLKTTCPAARVPQSVVTCSAERTSPAKSLRLILDSPGIHQGPACFDALSAKLVERAGFNYCFTSGFSISAARLALPDTGFISYGEMVDQGQLITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIKAGFAGIILEDQVSPKACGHTRGRKVVSREEAVMRIKAAVDARKETGLDIIIVARTDSRQAVSLDESLWRSRAFADAGADVLFIDALASREEMKSFCEISPLVPKMANMLEGGGKTPILNPLELEELGYKLVAYPLSLIGVSIQAMQDSLTAIKGGRIPPPGTMPTFEEIKEILGFNTYYEEEKRYATSTGQMLRPGGYSSASSNVYDIQRRIPDDTEQRNQSPQDPVVEVITPDVYNNYGADGSRDPFSRIWSRTLRIKITGRDGFEKLDVRIPAGFLEGITNIVPALGGVNIKELLDDAAGEVGGKLLLDFNDTIGDRIQVFLE >Manes.07G051800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6141044:6142574:-1 gene:Manes.07G051800.v8.1 transcript:Manes.07G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKACSAAISITKRLEGKVGLITGGASGIGAATAKLFLKHGAKVIVADVQDDLGHSLCQKLGSEEIITYAHCDVTCESDVRNAVDLAVSKYGKLDIMHSNAGLLSKAVGILDTDNEEFRRAFDVNVFGGFLAAKHAARVMIPAKKGSIIFTASYVSMTYLKAAHAYVSSKNAVVGLAKNLCVELGQYGIRVNCVSPYAIVTPLLKFGLGLMGKENEKIQEEIEAAGNLKQAVLEAEDIAEAALYLGSDESKYVSGLNLVVDGGCSLANPAIEMAVKRLHSS >Manes.05G202600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32718291:32728884:1 gene:Manes.05G202600.v8.1 transcript:Manes.05G202600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSVVDMIEASSGVHFSGFHMDGLESRNTETGQPMTCETEKIYKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLTEEELTRVHEYNFDHPDAFDTEKLLCDMEKLRHGQAVDIPNYDFKSYKNDVFPARRVNPSDVIILEGILVFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTVEKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAVDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLVRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVTTPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISKRHVLLLDPILGTGNSAVQAISLLISKGVPESNIIFLNLISAPQGVHVVCKRFPRIKIVTSEIEIGLNEDFRVIPGMGEFGDRYFGTDDDQPVVTLTQ >Manes.10G004500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:656819:658402:-1 gene:Manes.10G004500.v8.1 transcript:Manes.10G004500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHKTQKLKPLLVLFFLLVSLSTLYSSSSARLLHEHNSLSQTLAASEPTLNLVFIGNDVIDSPASNKNFNHILPCQIDSFKMNSTAKDKAGLTKKYRQKISHVLAKRQQSSHRREKSFRERT >Manes.10G004500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:656866:658292:-1 gene:Manes.10G004500.v8.1 transcript:Manes.10G004500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHKTQKLKPLLVLFFLLVSLSTLYSSSSARLLHEHNSLSQTLAASEPTLNLVFIGNDVIDSPASNKNFNHILPCQIDSFKMNSTAKDKAGLTKKYRQKISHVLAKRQEREEL >Manes.10G004500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:656866:658292:-1 gene:Manes.10G004500.v8.1 transcript:Manes.10G004500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHKTQKLKPLLVLFFLLVSLSTLYSSSSARLLHEHNSLSQTLAASEPTLNLVFIGNDVIDSPASNKNFNHILPCQIDSFKMNSTAKDKAGLTKKYRQKISHVLAKRQQSSHRREKSFRERT >Manes.10G004500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:656819:658402:-1 gene:Manes.10G004500.v8.1 transcript:Manes.10G004500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTHKTQKLKPLLVLFFLLVSLSTLYSSSSARLLHEHNSLSQTLAASEPTLNLVFIGNDVIDSPASNKNFNHILPCQIDSFKMNSTAKDKAGLTKKYRQKISHVLAKRQEREEL >Manes.02G019900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1801211:1805066:1 gene:Manes.02G019900.v8.1 transcript:Manes.02G019900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANSKMREELKFHQRWEFRRGDSDFDSSTDNSKSSLGGGSASNKRKLVSSLVLVDNGETCEASKFQQNGNNDSCISGQFELGKAEKGKRRRSKNDNLAHNEKKRGSRNRKEVSPNKGKTTTNECSALDDLKNYMNSLLGELKVTRKDLLLWMRKELEKLVAEEKASESERNEGSFHLQHQNNSEDNERVQYQSLLGKNIQVQQPNSFEDYVQGNEQSKFEENVTNMENTDVQQPQKNSKENNPLARQKRIRSRPGSENSNGGCFKKSGNNKKSLDSNNHIPALGDKVDYSQAIVLVTPTEKNGEDRLALSDKSKPKARPSDQNLQAQQQKSVVLAIRAQNCSTGSPVKNAKGKKAASSNSTCQASDKQIDYSQAIESIPLAERDKGERSGLYVEPKFSSDSFNQVASSMYLTLPSVLAKPHIANHRPDTSSFSYMQPRIAQNQAGISSERSNLILGSTSHLGYFQGMQPEERSRYSHYAQMNPRDINFFNQNNSTTSIVGSGFSVPLQAVSGGFIIPNQFDLENLPRENNNTLGLTMNEGAIRFSGGGYSLPDPYIANFHSHSNYRTDGRLMTYQDSCRFPK >Manes.11G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4992068:4994349:1 gene:Manes.11G046800.v8.1 transcript:Manes.11G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKAEPPPPHYYAPLPCHHDQNYIVLPFYHPTNIHLPPWRRRIIITVAVFLLVAAFLYLLCPSDPSIKIVRLQLNKLHIHTLPVISIDVSLHVTVKVRNLNVYSMDFRHIEVDLKYRGKMLGNVRSGEGHVRALASSYVDAEMEFNGVRVLSDVVFLLEDLARGRVPLDTTTAFTGKLGFWFFQFPLKAKMSCEVLVNTNSQTIVRQNCLSEVYIERKREAHVLPP >Manes.14G056500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4819347:4822853:1 gene:Manes.14G056500.v8.1 transcript:Manes.14G056500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAHYATATTTFSGDFYPCQIANQNYRELPKPDVQIITSGGLRIPAHSSVLASVSSVLENIIDRPLKHRSSERIIPILGVPCDAVASFIRFIYSSRCDEEELEKYGIHLLALSHVYLVPNLKQRCAKAVGQHLTIENVVDVLQLARLCDAPDLYLKCMKLVNGHFKAVQKTEGWKFVQNHDPWLELEILQFIDEAELRKKKSRRHREEQSLYMELSVAMDCLEHICTEGCTSVGPYDMQPTKKRGPCSKFSTCQGIQLLIKHFATCKNRVNGGCSRCKRMWQLLRLHSSICDQLESCKVPLCRQFKLKMQQEKKGDDPLWRLLVKKVASARVMSSLKLLKRKREEQPREMVQDHGLRTFRL >Manes.14G075900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6335690:6341125:1 gene:Manes.14G075900.v8.1 transcript:Manes.14G075900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Manes.08G166400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40077597:40083026:-1 gene:Manes.08G166400.v8.1 transcript:Manes.08G166400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREESAVVSNAQVQQQLNHMLSSSSVGVRNGVSEKKHSRSVSDLSDPSSTPRKFEDSRKNAVLYTHVIAFTLYELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGYQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLSWATRLMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQSLVDWARPKLNDKRKMLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCSNDGANEISSTPNLAGGTGAFAMGGVPDYRMRRRFTNNVGPGSSCRSPNPNCSPGGPAACRVR >Manes.13G048200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5566099:5569803:-1 gene:Manes.13G048200.v8.1 transcript:Manes.13G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLYLVLFAEGVVAFLLLVKVGPLRELTIKSLDQLRMGRGPVMIVTVACTMFAILISSVMSIFKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGFTLFLGFIIDRMHHYLINLIGLRNSIGSSKEEVERLQKEKMELNEKEETAYKEIKVLKEQIAALSENLKKLKLENEEKDKQIETAEAHVVSLQKQSADLLLEYDRLLEDNQNLQAQGLGYKS >Manes.03G066597.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8519808:8523823:-1 gene:Manes.03G066597.v8.1 transcript:Manes.03G066597.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMHLLAGSNWPAIGLCFFFFFLIASSAKIPTSKIPSTTKKSSALGVQLQVTDKQVVIDNGIVQVNFSSPGGDVIGIKYKEMDNVLETKNYENNRGYWDVVWSRPRDSNIFDKVQATKFSIIVQNEDQVEISFSKIWSPSMDKTTVPLKVDKRYIVRRGSSGLYLYAVMERLKGWPDVDMDQIRVVFKLQSEKFHYMAISDDRQRVMPMPQDRTTGQPLAYPEAVLLTNPVNPQQKGEVDDKYQYSCENKDNKVHGWISNDPPVGFWMITPSNEFRDAGPVKQDLTSHVGPIVLNMFGSVHYAGKDLNTEYRNGEPWKKVFGPVYVYLNSIPPSENPKALWEDAKRQMSTEVKSWPYNFPRSEDFPSSDQRGNVVGQLVVRDPYINEKLIDASLAYVGLAAPGAVGSWQTEVKGYQFWTQADKKGSFSIKNIRAGKYSLYAFVPGFLGDYKYNVDVIIQPGSEIKLGVLTYDPPRNGTTLWEIGIPDRTASEFYVPDANPTLVNKLYIDSPANKFRQYGLWERYTDLYPKNDLIYTVGVSNYAKDWFFAHVNRKVGNTAYKPTTWQIIFELKSVMQSGSYTLQIALASATNSELQVRFNNANVKRPLFTTRLIGKDNAIARYGIHGLYWLYSIQVPASQLLQGKNTIYLTQTRNGSPFSGIMYDYIRLEAPTKA >Manes.15G174700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15761325:15768642:1 gene:Manes.15G174700.v8.1 transcript:Manes.15G174700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL1 MGCASSKVARQFPRHEDPVVLASQTTFSVSEVEALFELFKRISGSVVDDGLINKEEFQLALFNNRKKENLFANRIFDLFDVKNKGVIDFGDFVRALDVFHPNSPQEEKIDFAFRLYDLENTGFIERQEVKQMLIALLCESEMKLSDETIEMIIDKTFLEADSDNDGKIDRSEWRDFVCKNPSLLRIMTLPYLRDITTTFPSFVFNSEVDEIAT >Manes.02G181800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14460748:14464394:1 gene:Manes.02G181800.v8.1 transcript:Manes.02G181800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHQQNTTLSKIFPVKPKLKPKSRTPSKSPESKYWSSFKSHEIQNLISSIPSISFSPVSSSHLFAAANSTSLTLFSSQSFSPTSSISSFSDVVTCCSFRSDGSLIAACDLSGLVQVFDVKTRTPLRRLRSHTRPARFVKYPALDKLHLVSGGDDTVVKYWDVAGESVVLDLLGHKDYVRCGDCSPVNAEMFVTGSYDHTVKLWDVRVESKKSVMEVNHGKPIEDVMFLPSGGMIATAGGNTVKIWDLIGGGKMVYSLESHNKTVTSICVGKIMGQENGEETMQNRIMSVGLDGYMKVFDYAKMKVTHSMRFPAPLMSIGFSQDCMARAIGTSNGIIFGGKRKMKEKEEKTELGDFLGLGSVEEPQRRILKPTYFRYFHRSQGEKPNEGDYLIMRSKKVKLAEHDKLLKKFRHKEALVSALTGKNPENVVAVMEELVARRKLLKCVSNLDKEELGLLLGFLHKYSTMPRHSGLLMGLTRKVIEMRADDIRGSDALKDHIRNLKRSVEEEIRIQQSLQEIQGIISPLLRIAGRR >Manes.02G181800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14460733:14464394:1 gene:Manes.02G181800.v8.1 transcript:Manes.02G181800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHQQNTTLSKIFPVKPKLKPKSRTPSKSPESKYWSSFKSHEIQNLISSIPSISFSPVSSSHLFAAANSTSLTLFSSQSFSPTSSISSFSDVVTCCSFRSDGSLIAACDLSGLVQVFDVKTRTPLRRLRSHTRPARFVKYPALDKLHLVSGGDDTVVKYWDVAGESVVLDLLGHKDYVRCGDCSPVNAEMFVTGSYDHTVKLWDVRVESKKSVMEVNHGKPIEDVMFLPSGGMIATAGGNTVKIWDLIGGGKMVYSLESHNKTVTSICVGKIMGQENGEETMQNRIMSVGLDGYMKVFDYAKMKVTHSMRFPAPLMSIGFSQDCMARAIGTSNGIIFGGKRKMKEKEEKTELGDFLGLGSVEEPQRRILKPTYFRYFHRSQGEKPNEGDYLIMRSKKVKLAEHDKLLKKFRHKEALVSALTGKNPENVVAVMEELVARRKLLKCVSNLDKEELGLLLGFLHKYSTMPRHSGLLMGLTRKVIEMRADDIRGSDALKDHIRNLKRSVEEEIRIQQSLQEIQGIISPLLRIAGRR >Manes.02G181800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14460740:14464415:1 gene:Manes.02G181800.v8.1 transcript:Manes.02G181800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHQQNTTLSKIFPVKPKLKPKSRTPSKSPESKYWSSFKSHEIQNLISSIPSISFSPVSSSHLFAAANSTSLTLFSSQSFSPTSSISSFSDVVTCCSFRSDGSLIAACDLSGLVQVFDVKTRTPLRRLRSHTRPARFVKYPALDKLHLVSGGDDTVVKYWDVAGESVVLDLLGHKDYVRCGDCSPVNAEMFVTGSYDHTVKLWDVRVESKKSVMEVNHGKPIEDVMFLPSGGMIATAGGNTVKIWDLIGGGKMVYSLESHNKTVTSICVGKIMGQENGEETMQNRIMSVGLDGYMKVFDYAKMKVTHSMRFPAPLMSIGFSQDCMARAIGTSNGIIFGGKRKMKEKEEKTELGDFLGLGSVEEPQRRILKPTYFRYFHRSQGEKPNEGDYLIMRSKKVKLAEHDKLLKKFRHKEALVSALTGKNPENVVAVMEELVARRKLLKCVSNLDKEELGLLLGFLHKYSTMPRHSGLLMGLTRKVIEMRADDIRGSDALKDHIRNLKRSVEEEIRIQQSLQEIQGIISPLLRIAGRR >Manes.01G105101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30500153:30500961:-1 gene:Manes.01G105101.v8.1 transcript:Manes.01G105101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLMSNPWNPSKSPCSHEVEIVSLSHESPANEARNEGEMINTQSSAANKQEPLAIIPSKKSIFEEWANAFVMMPFTIITNLFAALWAVNGNGQLAVSPSTCHVFFFFLVMLNFYASIVGVLLWHVSPKVARGIHLIAFLCTLLSLTLLFSAILPGQLKGLPWIFMVIVLLIVAGLLIYELSSLPNKSS >Manes.13G076800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:11951396:11954105:1 gene:Manes.13G076800.v8.1 transcript:Manes.13G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNNTSPWFKLCVLFMFFSLKFHLSLAADRITATQPLSGDQTIASANFKLGFFNPGNTSNYYIGMWYTRVIQQTIVWVANRETPVSDRFSSELRISDGNLVLFNESKAPIWSTNLNSTASPSVEAVLGDDGNLILNGSGSSLPLWQSFEHPADTWLPGAKVGLNKITGENTRLISWKNKTDPAPGLFSLELDPNGTSQYYILWNMSKNFWTSGTWNGQIFSLVPEMRLNYIYNFSYFTNASENYFTYSLYNNSIISRFVMDVGGQIQQMSWLEPANQWNLFWNQPRVQCEVYAYCGAFGSCNLKGQPFCNCLTGFDPKWADEWNSEVYSGGCARKTKLQCGNSSLVNGKRDKFLPSFRMSLPENPQTLDVGSAQECESNCLSNCSCTAYAYDNSQCSIWIGDLLDLQQLTDGDPNGKTLYVRLAASEIPSSKSNKGVVIGAVVGSVVVVLLIGLILFAILRRKRTIKPGKAVEGSLVAYGYRDLQNATKNFSEKLGGGGFGSVFKGILPDSGVIAVKKLESISQGEKQFRTEVSTIGTIQHVNLVRLRGFCSEGTKKLLVYDYMPNGSLDFHLFHEKNSKVLDWNTRYNVALGTARGLTYLHEKCRDCIIHCDIKPENILLDAEFCPKVADFGLAKLIGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLFELVSGRRNSEQSENGTVKFFPTWVARQITEGGDVLSLLDPRLEGNADLDELNRVCKIACWCIQDDEAQRPSMGQVVQILEGVLNVNVPPIPRTLQVFVDEEEHIVFFTESSTSQSSQAQSNTSTASSSQSQTKSITSSISSQS >Manes.09G129500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169187:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRERSVEELYNSSEVIRELKITSVLRGSYRMQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQGSDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169121:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQGSDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169172:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169183:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQGSDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169121:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRERSVEELYNSSEVIRELKITSVLRGSYRMQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQGSDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169172:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQGSDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169187:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169121:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRERSVEELYNSSEVIRELKITSVLRGSYRMQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169187:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQGSDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169121:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169121:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169121:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQGSDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169187:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRERSVEELYNSSEVIRELKITSVLRGSYRMQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.09G129500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33155345:33169183:-1 gene:Manes.09G129500.v8.1 transcript:Manes.09G129500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVEETEQDIEKNMLPLQPEKTFGKRSMRRKVHTRAESGTCNVCSAPCSSCMHLKLACMGSKSNEFSDETCHETATSQSSINEDQLSPFKNRAYDSLQHATSEASNFPSVNSCHDSLSENMESKASIRCSDIVDVSAESEMLAKLSSGGTVVVDQPFPKPQSILDQITSSNNNEYPNTVEAHDDNISCVSRSNDASIAVSHHNKNVDRKNLSCSSAVVSSLGSGGTGKAPISPKSELLETPSGDAYAGSSSLEVQSRCLSSTTSDTHLAEKDRKIDSSRVSSQLAEGTGKTPILPEKLLETPSNDAYAGCSSPKGQSSTSNGVHLEEDTKIDSSKFSSRLSEGSGKTLVLPKSEIPETPLNDVDAGSSSLKVQSRCLSATNGLQSEEDTKFDTSNFSRKLYPKVEEGTKKDNGDQLDEGNKCLNQVGQDEKLNGSVELPDMREPALQTVSGDESDESEILEHDVKVCDICGDAGREDLLAICSKCSDGAEHTYCMREMLQKVPEGDWLCEECKLAEETENQRQDAEAKRTNKSSAPSSGKRHLETMEVASPSKRQAVEASFGSPKSSSPSRTAALSRDSSVKGLDKGKVKPAHPISFVNHSSFDSPRVQAPKGTLLKSNSFNTFNSKPKVKLVDEVPQNQKCSREGRSPDVKVGTARMISKSMSFRSMNSGRSNATESKVKMLSSKYSQAQDVKALKQAKERNAFESKSSSKLDRPIGSSLTTSSNVCVSKVGQKLTPHGDSVMSSSTSNNKESNTSQSDGKLGSSSRSISSIAHKGAEIPVTSVRPLPANGVCSASVEQKLNQVSAKDEPSLNSSWTAERPYNNVEENVQDGLSRSRESSNQSEKAREISVSRSKPASTAGQKNIACKKCKEIGHVAEFCTVDSPQASGIDTTGARTVWDDMSKGSKLKALLEAAMLKKPGIFRKKKESDQSDGLSSSNVDIASEIASHDQFSVSNKMRNVISDEGTDEGQANFGISSSENCKLTDNNNEKQLNVHSTDAVFRFKAGDLDPTFPSIGKPSHALAAVPIFSKMLTIPEHEYIWQGSFEVRRGGKTLYLYGGIQAHLSVCASPKVLEVVNLFPQKITLDELPRLSTWPRQFHGNGAKEDNIALYFFARDLESYEKSYKNLLDNMIKRDLALKGYFDGVEFLIFPSTQLPENSQCWNMMFFLWGVFRGRRSNCSDSLSKSFVPNSNVMPWDMNSPEDPYASLNGDIDNGVSSLQANSEQQNGRLDSTALSKTTMESAVFCPGTRSTSPSKEVAALPKSRLDTECKQSTEATGSNIDSNSREETKTPVDASCIREVEVSQVGDQAEDVGGSVEEKMVDIMVDSVDTGRNEAKFERNLNEDSMRVDAEASSGTDLNIKGLDCWQSSSRKRAYLELSETVPEISNREGQKLPSDTVDRDNISKKLKPGFCERYGCSSLREGISSSVGFASQICDSGSRSSIEEKCCEQAVDEKVILEDMGTTERYFFPLDSRRVKDSRLGGNSNSMPWKECSSNDEIQLHDGVPNLELALGAESKPPNKGILPFFVGMLEKNNTQNKTPDNVTDKEEEDGVSASLSLSLSFPFPEKEQTVKPVSKTEQLLHKRRHVNTSLLLFGGLSDK >Manes.11G151300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31540577:31543717:-1 gene:Manes.11G151300.v8.1 transcript:Manes.11G151300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNLSNPQLKTRIMASTLSYKFLSLFLFVSISSPFSHATSRLHGQLHLSSASSLAKLQAEKLIRAFNLSPQHSINIPTLVDSLVNGTPIVEKPLNLHLLGNPGSSVQDFGHHAGYFKLPHAKAARMFYFFFESRNSKNDPVVIWLTGGPGCSSELALFYENGPFHISKNKSLIWNDFGWDKASNLIYVDQPTGTGFSYTTDESDIRHDENGVSNDLYDFLQAFFNKHPQFVKNDFYITGESYAGHYIPAFATRIHQGNKNKEGIQINLKGFAIGNGLTNPSIQYEAYTDYALDNKLIQQSDYDKINELVPDCEQAAKACGTDGESSCETAYLNCQKIFNGILQVTGNINYYDIRKQCEGQLCYDFSDMGTFLNTKEVRDALGVGDLDFVSCSSDVYEALLSDWMRNLEVGIPALLEDGIRVLIYAGEEDLICNWLGNSRWVHAMEWSGQKEFGAAPTVRFVVEGAEAGQLKSHGPLTFLKVYEAGHLVPMDQPNAALQMLKSWMQGKLAVTTTERRNSSQVINL >Manes.09G104100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30484128:30493522:-1 gene:Manes.09G104100.v8.1 transcript:Manes.09G104100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACFGALNCCKGKDSHSQQQPEEIATNNVRIFSYNSLTSATGNFHPSNRIGGGGFGVVYRGVLRDGTQVAVKCLSAESKQGKHEFMTEIQMISNIRHPNLVELIGCCIEGSNRILVYEYMENNSLATSLLGSKGKHIVMDWSTRAAICLGTATGLAFLHEEVEPPIVHRDIKASNILLDGNLKPKIGDFGLAKLFPDNVTHVTTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLLLEIISGRSSSKAAFGVDLLVLVEWVWKLRKEERLMDLVDPEVNQYPEDEVMRFIKVALFCTQAAAHQRPTMKQVLEMLSKNVNLNEKALTEPGVYRSHTSQHFGGSSDETSSSQKKKGKQSVYSPATSIQFDSNQSVTQMLPR >Manes.09G104100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30484128:30493522:-1 gene:Manes.09G104100.v8.1 transcript:Manes.09G104100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACFGALNCCKGKDSHSQQQPEEIATNNVRIFSYNSLTSATGNFHPSNRIGGGGFGVVYRGVLRDGTQVAVKCLSAESKQGKHEFMTEIQMISNIRHPNLVELIGCCIEGSNRILVYEYMENNSLATSLLGSKGKHIVMDWSTRAAICLGTATGLAFLHEEVEPPIVHRDIKASNILLDGNLKPKIGDFGLAKLFPDNVTHVTTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLLLEIISGRSSSKAAFGVDLLVLVEWVWKLRKEERLMDLVDPEVNQYPEDEVMRFIKVALFCTQAAAHQRPTMKQVLEMLSKNVNLNEKALTEPGVYRSHTSQHFGGSSDETSSSQKKKGKQSVYSPATSIQFDSNQSVTQMLPR >Manes.09G104100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30484128:30491306:-1 gene:Manes.09G104100.v8.1 transcript:Manes.09G104100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIQMISNIRHPNLVELIGCCIEGSNRILVYEYMENNSLATSLLGSKGKHIVMDWSTRAAICLGTATGLAFLHEEVEPPIVHRDIKASNILLDGNLKPKIGDFGLAKLFPDNVTHVTTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLLLEIISGRSSSKAAFGVDLLVLVEWVWKLRKEERLMDLVDPEVNQYPEDEVMRFIKVALFCTQAAAHQRPTMKQVLEMLSKNVNLNEKALTEPGVYRSHTSQHFGGSSDETSSSQKKKGKQSVYSPATSIQFDSNQSVTQMLPR >Manes.09G104100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30484128:30493522:-1 gene:Manes.09G104100.v8.1 transcript:Manes.09G104100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACFGALNCCKGKDSHSQQQPEEIATNNVRIFSYNSLTSATGNFHPSNRIGGGGFGVVYRGVLRDGTQVAVKCLSAESKQGKHEFMTEIQMISNIRHPNLVELIGCCIEGSNRILVYEYMENNSLATSLLGSKGKHIVMDWSTRAAICLGTATGLAFLHEEVEPPIVHRDIKASNILLDGNLKPKIGDFGLAKLFPDNVTHVTTRVAGTVGYLAPEYALLGQLTKKADVYSFGVLLLEIISGRSSSKAAFGVDLLVLVEWVWKLRKEERLMDLVDPEVNQYPEDEVMRFIKVALFCTQAAAHQRPTMKQVLEMLSKNVNLNEKALTEPGVYRSHTSQHFGGSSDETSSSQKKKGKQSVYSPATSIQFDSNQSVTQMLPR >Manes.14G104100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8699234:8701606:-1 gene:Manes.14G104100.v8.1 transcript:Manes.14G104100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEFTEDLQNLKPLFSGINYANFLLTGNDQLRALENFNSTDDRDFIAFSNGNSYSNDQQQPYLPMNFASNIQGLVPVSPTTPAVPGTGLSENIKSFGGRKRKRKDEKELQKPTDVVHVRAKRGQATDSHSLAERVRREKINEKLRHLQDLVPGCYKTMGMAVMLDVIIHYVQSLQNQIEFLSMKLSAASMYYDFNLDRDDIETMQGTNAYEVQEMGREGYGLPSNYFQSARPL >Manes.12G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12009901:12011849:1 gene:Manes.12G080000.v8.1 transcript:Manes.12G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSRTVFFSCVLLLSLCVPPSLAQTCRGHTFSTNQVFTACSDLPVLSSFFYWNYYPSNLTADIAFRKAGASTNTWVAWALNPSGQQMVGSQAILAFHNSSGVPTAYTTPITSFSPSMQPGNLSFQVSNLKAEYSNGHMILFATLHLTSSLISTNQVWQEGSMSGTSFNSHAMDSENSASVGTINFETGATVAGSVGTSTKKNVHGALNAVSWGVLMPMGIMMARYLKVFKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYTKHRNIGITLFSFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIILSIINIYEGFDILDPEKKWKKIYTGIIIFLGALAALLEGITWIIVLRRKKAVTSNKHVIGSA >Manes.01G231500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39560225:39570371:1 gene:Manes.01G231500.v8.1 transcript:Manes.01G231500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELVQAALKPDGFPKPATLPSRAYVTFLAGQGDYVKGVIGLAKGLRKVETAYPLVVAVLPDVPPESQTDPPITGLHSPIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYTKMIYLDWDIQVFENIDHLFDLPDGYFYAVMDCFCEKTWSNTPQYKIGYCQQCPDRVKWPAEMGHPPSLYFNAGMFVFEPSVSTYRDLLKTVAVTPPTSFAEQDFLNMYFKDVYKPLPLVYNLVLAMLWRHQENVELDKVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVKKWWEIYNDESLDYKKRGAGEGDAGPVSLQPFIAALSEAGAIQFVAAPSAA >Manes.02G012350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1227905:1228318:-1 gene:Manes.02G012350.v8.1 transcript:Manes.02G012350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGKEQELQKAQGAAVIWDCGSPLYDSYEVASLFHIIDRHTMTLPFSPSGSNRFIFRPSFQREEKSLNVIKKEGVHHKGLLPKLMSSLLWTRRREKGTNENARHLSFGFYSLCGNVGLCGKKTAKVKKIMPGSN >Manes.13G059200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6806874:6813053:-1 gene:Manes.13G059200.v8.1 transcript:Manes.13G059200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPTPTLFFLSFALLTLLLKPTFASKKSYVVYLGAHSHGQEFTSIDQTLVSDSHSDFLGSFLGSRELAQDAIFYSYTRHINGFAATIEDEVAAQIAKHPKVVSVFLNTGKKLHTTHSWSFLGLEQNGVVPSNSIWKTARYGEDTIIGNLDTGVWPESESFSDEGLGPIPSKWKGICQTGSDPGFHCNRKLIGARYFNKGYASVVGPLNSTLETPRDKEGHGTHTLSTAGGNFVAGANVFGLGNGTAKGGSPKARVAAYKVCYPPVGGNECFDADILAAFDAAISDGVDVLSVSLGAEPTPFFNDSVAIGSFHAVKHGIVVICSAGNSGPDDATASNLAPWQITVGASTLDREFPSYVILGNNMTYKGESLSKLALPKDKFFPIISAADARTANSSLEDALLCKAGSLDPKEAKGKILVCLRGGNARVDKGEQAALAGAVGMVLANDEDSGNEILADPHLLPASHLNYTNGVAVFAYINSTKSPIAHITSPVTQIGARPAPFMAAFSSKGPNTIAPYILKPDITAPGVSIIAAYTEEQAPTNEDFDTRRVLFNSISGTSMSCPHVSGVAGLLRTLHPSWSPAAIKSAIMTSATTMNNNREPILNASYSKATPFSYGAGHIKPNQAMDPGLIYDLTVHDHLNFLCASGYNAAQILSFSGTPYKCPSKPISLSNFNYPSITIPDFNGSISITRRVKNVGSIPSTYRSLIRKPTGVSISVEPEMLKFNKVGEEKSFNVILKSKRSSAREEYAFGELIWSDSKHYVRSPIVVKW >Manes.S037716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1024424:1024546:1 gene:Manes.S037716.v8.1 transcript:Manes.S037716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.13G071916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14955071:14957703:1 gene:Manes.13G071916.v8.1 transcript:Manes.13G071916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGFCAKGTNFLPFGRNQVRQPKDFRPPNLPRRQAFWLLKLPPKRDFRLCVGLSAAEARVEGSICNAYLVEEAAAFSAHYFEAHVMTRHRKVPRNLPEFVFDDDVQGKLSIFKCTGRTIGKEKSRYMTEDEIRAAQTYILLNCPEVKTYIDIYVERVKSIQPNITDAAVDEKLEREFGQWFYKYVHDLQNNVDNQFIQDLSNGPLRSVTTFDGYCVNGCKLNTIKGNSSSNSMNFGVCIKGSNYSSKESDYYGQLVEVLRLEYPGLPIKWTVLFKCDWFDPTPNMGIKVDRQYIIVYVNNKRTSQATQVVYASYPSKRHDKNDWWAVMKVKGRPIVEVSDTSSKTDEPFQEDEIDYAELNLDDVTEQHCLNDSCGGMIEIHDDVSTDEDKFLSDPDSDADIDGDNKFDSYESE >Manes.02G075000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5707180:5712359:-1 gene:Manes.02G075000.v8.1 transcript:Manes.02G075000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCAENLQSPCPSSPDTETPRAASSAVQRALCLIKSDDLDSKVDAAKEIRRLTKTSQRCRRQLAQAVNPLVSMLRVLDSPECHEAALLALLNLAVQDEKNKKSIVEAGALEPIISFLQSQNLNLQEYATASLLTLSASTANKPIISASGAIPLLVEILRSGSSQAKFDAVMALSNLSTLPDNLSNVLKTNPIPSLVSLLKTCKKSSKMAEKCCALIESMVGFDEGRTALTSEEGGVLAVVEVLENGSLQSREHAVGALLTMCQSDRCKYREPILREGVIPGLLELTVQGTPKSQIKAQTLLQLLRDTPYPRSELQPDTLENIVCNIISQIDGDDQSSKAKKMLAEMVQVSMEQSLRHLQQRAMVCTTSDLPISSCTSEVSLK >Manes.06G113900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24464528:24469485:-1 gene:Manes.06G113900.v8.1 transcript:Manes.06G113900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTISLSFSAVSSSISSASFSSQTKTPIKSHQTNLSSTLFGAKVCAHRTLRSKSSTQISTSIGVTCLAPASSVLPSALLFDCDGVLVDTEKDGHRVSFNDTFNEKELGVTWDVELYGVLLKIGGGKERMTAYFNQTGWPEKAPKSEEERKEFIASLHKRKTELFMALIEKKLLPLRPGVEKLIDQALGKGVKVAVCSTSVEKAVSTIVSCLLGPERAEKIKVFAGDVVARKKPDPAIYTLAANTLGVDPSSCVVVEDSAIGLAAAKAAGMKCIVTRSGYTADEDFLNADAVFDCIGDPPEERFDLAFCGSLLEKKYVS >Manes.03G155600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28436532:28438845:1 gene:Manes.03G155600.v8.1 transcript:Manes.03G155600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTHFLSNPKPHHISSSFSFLSSLYQSTIQDSPPFMSETNKRKEEKRKLLLMDCFGGKKQSSNQCEKDLRGLAEKVRLLQDEIKAILCEREKETRAYERDMVVFTFKEAEWKQERKKLKEEVKRLRKMLEEKEEKIREMEDGLVEEKNEKNWSFSGLNSSFLVEQMREERVWRDEAVDKWKKLYLTIKTELDDLIQRTHRGDGLYWKAEEEDMIEELKMEVKAKEEIIEELKARLALVEHEEYKRAREVDILRQSLRIMSSKKDPSFDLSKPKLTLVKQPRKV >Manes.13G152900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36721182:36724989:-1 gene:Manes.13G152900.v8.1 transcript:Manes.13G152900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKPRYHSSRVLTLVYQPFAFATLAILAYHEAKLNTRKRNLFGYVLFFMSSVLVLVLDLATSGGGGIGTFIGICVVSGLFGIADAHVQGGMIGDLSFMQPEFLQSFLAGLAASGTLTSGLRLITKAAFQNSKDGLRKGAILFFAISAFFELLCVILYAYAFPKLPIVKYYRSKAALEGSKTVSADLAAGGIRALPQKQAEEDPEQLKRLSNKELLLENIDYAFDLFLIYVLTLSIFPGFLSEDTGSHSLGEWYALVLIAMYNVWDFIGRNIPLMKSLKLESRKILMFAILSRFSLIPAFYFTAKYADQGWMIMLTSFLGLTNGYLTVCVLTSAPKGYKGPEQNALGNLLTLFLLGGIFAGVTLDWLWLIGKGW >Manes.17G069108.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:26915667:26918616:-1 gene:Manes.17G069108.v8.1 transcript:Manes.17G069108.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTFIKHLPSSLSFFNSLSCFRSFSSLATQQDPYSLLKEDPIEICTSLWVKSFSSPVDATFPNLTGFLSKLDLWVLAYQRSCAHVTGTFPPRSALHSHTLHSLLSLQNAVVHNRFRWNDKANQIIRSPNDKPLTKLLSKTKLKAMLDSDDPCFQDRVVQEVLLMILEPFFEARFSSKSHAFRPGRNAHTVIRTIRSNFAGYLWFLRGDISELFVAADANIVMGCVEKVVKDKKVLNLIKTALKPVTRSQQPPKSGDTNELRRKKKKKATKKKILNENEPKPDPYWLRTFFDFAPEEAMKVPSYGYCGILSPLLANVCLNELDQMMEEKIVKFFRPNKLDSIWKDSIDDGCHNPSWPEFVPSSGKEKTRRMNYIRYGGHFLIGIRGPREDAVQTRKEIIEFCESKLGIRLDNSKIEIEHITRGIQFLDHIICRRVIHPTLHYTASGGKIVSQKCAGTLLSVTASLQQCIRQFRRLEFVKGDKDPEPLPCTPMLYSSQAHTNAQMNKFLETMADWYRYADNRKKVVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIASRDLSRPLRESSNNSAPEYSDLLRMGLVDAIEGVQFSHMSLIPSCDYTPFPRNWIPDHERVLHEYIRLQDPKFFCELHRSIKRQGLIVPQDEISEIFWDCKTLGIRRYQSRGKNEPNTASEKVDLTS >Manes.05G123900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23044421:23050317:1 gene:Manes.05G123900.v8.1 transcript:Manes.05G123900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISATMTKKKKKKGRPSLLDLQKRSLKQQQQQQNPNFENPNSLIASHRRSTRRNPTLNEPDWINGDDDDDDDDERKQKKHKLLLGLNSQQNTNSNHHYPFSSANSLGPNPSFGSDGANPETAQKRRKISDACLGSDDMGEKAPKATDTLHESPLEPGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPEELPDYHDIIEHPMDFSTVRKKLDGGAYANLEQFEKDVFLICSNAMQYNSPDTIYFRQARSMQELARKDFENLRQDSDGETQPKIVRRGRPPGKLKKALERSPLDRVGPECSSDATLASGGDNPSCSTGYNLRRTHSYKYQPAEVLVRTTYGSHSSETYSTWMSEWENEFPASVLKAVLKYGKKPYEIDEHKRDTYKHPSASTHGPSSWNIFGGEPKQLMVVGLNSEHGYARSLARFAADLGPIAWKIASKKIESVLPTGLEFGPGWVGEDKVVEGQQQLLFSDRNRVSNSCVANENLGRLQPSIASGSNSNVASRCAAGSREDMIENVGGSSSQSELNSLNSGSGGINPIASVLVQQKPLLHSDLNGFSGGFGHNKISPLMGTARLGMASGNSCSEHTVVPSQSFGMVSTSNSTFCPTLGNEFKLNKAKLSEASSVLLQSGKSSALGPSPDSQTLLNAGIVGKSSQQGLSPYPQQDFLDLPPDLNVGFLAPNSPSSSVPIGSPRQPDLALQL >Manes.13G145817.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:35980939:35982331:1 gene:Manes.13G145817.v8.1 transcript:Manes.13G145817.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGKQRMMGKVVKKEAAELVWESSDDDGYVKMGCVENDVESNKKKKVVAGGCGGGGGGGVGGGGGKKGSGGGGCGGSGGMRCCQADMCMADLSDAKPYHRRHKVCENHAKAQIVLVAGIRQRFCQQCSRFPRNKFANLSPCIIIMVHIIREL >Manes.11G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:707202:709220:1 gene:Manes.11G005800.v8.1 transcript:Manes.11G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFLSLLLLFFSLAQGLNPKCSTQDQGSNLQVFHVYSPCSPFRSSKPLSWEESVLQMQAKDQARLQYLSSLVAGKSVVPIASGRQIIQSPTYIVRAKIGTPAQTLLLAVDTSNDAAWVPCSGCVGCSSTVFDSAQSTTFKTLGCQAPECNQVPNPTCGGSACTFNLTYGSSSIAANLSQDTVTLATDSVPGYTFGCITKATGSSVPPQGLLGLGRGPLSLLSQSQNLYQSTFSYCLPSFRSLNFSGSLRLGPIGQPKRIKTTPLLKNPRRSSLYYVNLVAIRVGRRVVDIPPNALAFDPTTGAGTIFDSGTVFTRLVTPAYTAVRDEFRKRVGNATVTSLGGFDTCYSVPIVAPTITFMFSGMNVTLPPENILIHSTAGSTTCLAMAAAPDNVNSVLNVIANMQQQNHRILFDVPNSRVGVAREQCS >Manes.03G091900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21851519:21857381:1 gene:Manes.03G091900.v8.1 transcript:Manes.03G091900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKGYNLTGVLPTEIGNLSFLVELDLTRNSINGTIPKSFALLPNLRTLSLLGNRLTGPIPEEIGNSPSLEELILEDNLIGGPLPSNLGNLRSLKRLCLSSNNFTGVIPDSFGKLKNLTDFRIDGSELSGKIPEFIGNWTNLDRLDLQGTSMEGPIPATISLLTNLTELRISDLKGTSSNIPNLRAMTKMERLALRNCLITGTIPDYIGDMTELRLLDLSFNKLTGPIPVTFSSLNKLNYMFLTNNSLTGALPDWILSSKQNLDVSYNNFTGSVTPGCQLPQVNLVSSFSPSESNDISWCLRRDLTCSGKPEYHSLFINCGGQKMSSENNEYEEDLSPVGPSAFISVAEKWAYSSTGLYVGDDSASFVSRTSLGPNATGAGLYESARLAPQSLKYYGLCMRQGSYKVKLHFAETMFSNDDTFNSTGRRIFDVSIQGNVVLEDFNIMEKAGGAGIGHDEEFDNIFVNDSTLVIHLYWLGKGTNAIPFRGVYGPLISAITVTPNFNVDDGGGLSVGAIIGIVASSCLVIVLILIVLRLKGYLGGKDLEDKELRGLDLQTGYFTLRQIKHATNNFDAANKIGEGGFGPVYKGVLSDGAIIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLFGCCIEGNQLLLVYEYLENNSLARALFGREDQRLELDWSTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKQEAMRVLNLALLCTNPSPTLRPSMSSVVSMVEGKIPVQAPIIKRGSIDQDARFKAFEILSHDSQTHISKLSHDSHDQRSMSIDGPWIDSSVSLPSHDASQEHSSSKLLKDLYDVNLE >Manes.03G091900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21849084:21857381:1 gene:Manes.03G091900.v8.1 transcript:Manes.03G091900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNHMAFVPTVLKIFSVNFFWVLVFGCCFVSRAQVLPPDEVEVLRTISTKLNIPWNVTQDSCDKEEWKRIIQEGAIDILSNVSCNCSFENGRVCHVTNMLVKGYNLTGVLPTEIGNLSFLVELDLTRNSINGTIPKSFALLPNLRTLSLLGNRLTGPIPEEIGNSPSLEELILEDNLIGGPLPSNLGNLRSLKRLCLSSNNFTGVIPDSFGKLKNLTDFRIDGSELSGKIPEFIGNWTNLDRLDLQGTSMEGPIPATISLLTNLTELRISDLKGTSSNIPNLRAMTKMERLALRNCLITGTIPDYIGDMTELRLLDLSFNKLTGPIPVTFSSLNKLNYMFLTNNSLTGALPDWILSSKQNLDVSYNNFTGSVTPGCQLPQVNLVSSFSPSESNDISWCLRRDLTCSGKPEYHSLFINCGGQKMSSENNEYEEDLSPVGPSAFISVAEKWAYSSTGLYVGDDSASFVSRTSLGPNATGAGLYESARLAPQSLKYYGLCMRQGSYKVKLHFAETMFSNDDTFNSTGRRIFDVSIQGNVVLEDFNIMEKAGGAGIGHDEEFDNIFVNDSTLVIHLYWLGKGTNAIPFRGVYGPLISAITVTPNFNVDDGGGLSVGAIIGIVASSCLVIVLILIVLRLKGYLGGKDLEDKELRGLDLQTGYFTLRQIKHATNNFDAANKIGEGGFGPVYKGVLSDGAIIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLFGCCIEGNQLLLVYEYLENNSLARALFGREDQRLELDWSTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKQEAMRVLNLALLCTNPSPTLRPSMSSVVSMVEGKIPVQAPIIKRGSIDQDARFKAFEILSHDSQTHISKLSHDSHDQRSMSIDGPWIDSSVSLPSHDASQEHSSSKLLKDLYDVNLE >Manes.08G077200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:18035442:18039548:-1 gene:Manes.08G077200.v8.1 transcript:Manes.08G077200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQMQSLFIRMKPFIAVIFMQVGLAGMDILSKAALNKGMSNYVLVVYRHAVATLVIAPFAVFLDKKVRPRMTRSIFIKILVLSFLEPVIDQNLYFLGMKYTTATFAAAIINILPAITFLMAWIVRLEKVKIRSLHSQAKITGTIATVGGAMVMTLMKGPPVDLFSTGGKAYHLNEQATAGVSLHNSMKGAIMITIGCFSWACFMILQAITLKTYPAELSLTAWICLFGTIEGSIAALIMERGNYGVWSLSWDTKLAAAVYSGVVCSGLAYYIQGVVMQDRGPVFVTAFSPLCMIIVAVMSSIILAEQMFLGRVIGAVIIVAGLYLVVWGKSKDYNSTSPTVNDQTLPTKQTAESRNHEKENCDEQVVQPVNQIEIL >Manes.11G096700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20191533:20196811:-1 gene:Manes.11G096700.v8.1 transcript:Manes.11G096700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRVHPDCINASNPYHECVEYCFSKIAEAKAQMSKTETEVVQDKRGNYESTALASEQDEEEKSVFEEKSDDDDNHPVQENVEGDGTQLTGRQKKLFELRLKMNEARKANQTAMVAEKKKMEAVPESRGISKQKWLEDRKKKIGKLLDANGLDMKEAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYDAYKKRSKNVEVDLEDYNKIKEADPEFYREASSLQYGKAPKLSEDKVERMVKELKDQEEKRKSFSRRRRFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Manes.11G096700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20191717:20196562:-1 gene:Manes.11G096700.v8.1 transcript:Manes.11G096700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRVHPDCINASNPYHECVEYCFSKIAEAKAQMSKTETEVVQDKRGNYESTALASEQDEEEKSVFEEKSDDDDNHPVQENVEGDGTQLTGRQKKLFELRLKMNEARKANQTAMVAEKKKMEAVPESRGISKQKWLEDRKKKIGKLLDANGLDMKEAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYDAYKKRSKNVEVDLEDYNKIKEADPEFYREASSLQYGKAPKLSEDKVERMVKELKDQEEKRKSFSRRRRFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Manes.11G096700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20191717:20196811:-1 gene:Manes.11G096700.v8.1 transcript:Manes.11G096700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRVHPDCINASNPYHECVEYCFSKIAEAKAQMSKTETEVVQDKRGNYESTALASEQDEEEKSVFEEKSDDDDNHPVQENVEGDGTQLTGRQKKLFELRLKMNEARKANQTAMVAEKKKMEAVPESRGISKQKWLEDRKKKIGKLLDANGLDMKEAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYDAYKKRSKNVEVDLEDYNKIKEADPEFYREASSLQYGKAPKLSEDKVERMVKELKDQEEKRKSFSRRRRFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Manes.11G096700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20191717:20196811:-1 gene:Manes.11G096700.v8.1 transcript:Manes.11G096700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRVHPDCINASNPYHECVEYCFSKIAEAKAQMSKTETEVVQDKRGNYESTALASEQDEEEKSVFEEKSDDDDNHPVQENVEGDGTQLTGRQKKLFELRLKMNEARKANQTAMVAEKKKMEAVPESRGISKQKWLEDRKKKIGKLLDANGLDMKEAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYDAYKKRSKNVEVDLEDYNKIKEADPEFYREASSLQYGKAPKLSEDKVERMVKELKDQEEKRKSFSRRRRFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Manes.11G096700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20191533:20196811:-1 gene:Manes.11G096700.v8.1 transcript:Manes.11G096700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRVHPDCINASNPYHECVEYCFSKIAEAKAQMSKTETEVVQDKRGNYESTALASEQDEEEKSVFEEKSDDDDNHPVQENVEGDGTQLTGRQKKLFELRLKMNEARKANQTAMVAEKKKMEAVPESRGISKQKWLEDRKKKIGKLLDANGLDMKEAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYDAYKKRSKNVEVDLEDYNKIKEADPEFYREASSLQYGKAPKLSEDKVERMVKELKDQEEKRKSFSRRRRFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Manes.11G096700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20191533:20196811:-1 gene:Manes.11G096700.v8.1 transcript:Manes.11G096700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRVHPDCINASNPYHECVEYCFSKIAEAKAQMSKTETEVVQDKRGNYESTALASEQDEEEKSVFEEKSDDDDNHPVQENVEGDGTQLTGRQKKLFELRLKMNEARKANQTAMVAEKKKMEAVPESRGISKQKWLEDRKKKIGKLLDANGLDMKEAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYDAYKKRSKNVEVDLEDYNKIKEADPEFYREASSLQYGKAPKLSEDKVERMVKELKDQEEKRKSFSRRRRFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Manes.11G096700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20191533:20196811:-1 gene:Manes.11G096700.v8.1 transcript:Manes.11G096700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRVHPDCINASNPYHECVEYCFSKIAEAKAQMSKTETEVVQDKRGNYESTALASEQDEEEKSVFEEKSDDDDNHPVQENVEGDGTQLTGRQKKLFELRLKMNEARKANQTAMVAEKKKMEAVPESRGISKQKWLEDRKKKIGKLLDANGLDMKEAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYDAYKKRSKNVEVDLEDYNKIKEADPEFYREASSLQYGKAPKLSEDKVERMVKELKDQEEKRKSFSRRRRFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Manes.14G174500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:29288932:29289601:1 gene:Manes.14G174500.v8.1 transcript:Manes.14G174500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMICSVKGCYCYSTIPAGIMIIGRRRRGERSSKKIGVRVRVKVPLKVFHVPRVPEVDLTGKEGQLKQYVALWKGKRISANLPYKVEFVVDIEGRCPIKFFAHLKEDEFDYLK >Manes.08G100380.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:19404210:19404683:1 gene:Manes.08G100380.v8.1 transcript:Manes.08G100380.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYRLVYGKACHLPVELEHKAYWAVKNCNMDLKEAGHHRKLQLQELEEIRRDAYENSWNYKTKTKASHDNHLSRKQFEVGDKVLLFDSRLKLFPGKLRSRWIRPFIVEHVYPHGAVDIRSIETGKIFKVNGHRLKPYFEGFAVQVVEEIPLQHPSA >Manes.05G001000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:131115:134664:-1 gene:Manes.05G001000.v8.1 transcript:Manes.05G001000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIMRFSGFFSAAMIMIILSPSFQSFSPAEAIRSSHLDSFLGNPATHFPDRFSFRKAAVFRNADKCSSSDNQISGKTGVCDPNLVHVAITLDVEYLRGSIAAVHSILQHSLCPESVFFHFLVSETNLEYLVRSTFPQLKFKVYYFDPDIVRNLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDIAKLWTTNLGSRTIGAPEYCHANFTKYFTASFWSNIRFSGTFNGRRSCYFNTGVMVIDLAKWRRVGYTKRIERWMEIQKSDRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVRGSCRDLHSGPVSLLHWSGSGKPWLRIDSRQPCPLDALWAPYDLYGHSH >Manes.05G001000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:131115:134664:-1 gene:Manes.05G001000.v8.1 transcript:Manes.05G001000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIMRFSGFFSAAMIMIILSPSFQSFSPAEAIRSSHLDSFLGNPATHFPDRFSFRKAAVFRNADKCSSSDNQISGKTGVCDPNLVHVAITLDVEYLRGSIAAVHSILQHSLCPESVFFHFLVSETNLEYLVRSTFPQLKFKVYYFDPDIVRNLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDIAKLWTTNLGSRTIGAPEYCHANFTKYFTASFWSNIRFSGTFNGRRSCYFNTGVMVIDLAKWRRVGYTKRIERWMEIQKSDRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVRGSCRDLHSGPVSLLHWSGSGKPWLRIDSRQPCPLDALWAPYDLYGHSH >Manes.07G070830.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:14386211:14396778:1 gene:Manes.07G070830.v8.1 transcript:Manes.07G070830.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGGNGTDHLTLLEFKAKIVHDPQNATSSWNASTHFCDWEGLVGNLSPYIGNMSFLRQIQLQKNTIQGEIPSELGRLFRLQILDLRNNSFEDKIPSNLSKCLNMIDLGLAYNGLAGNLPAELVALSRLKMILVHNNYLSGGIAPFLGNFSLLDVISAGGNIPYSLGQLKYLSAIVSQSIYNLSSITTYVIYGSLPSNIGLLLPQLQMLQMSSNNFSGSIPVSLSNASKLQQIYLDDNIFNGKVNIDFEVLQHFVWLSLWANNLGNKGDSLLNFIPSLLNYSNLKMVDISYNQFKGVLSDFVGNLSSSIEQLSMQFNQISGSLPLWLLVLLSMQFNQISGSLPLWLLVLVNLQHLNIAHNLITSTIPIESGKLTRLQRLRLENYFSISQNMLSGKIPTDLGRCSSLELLYMGNNNFQGALPPSLASLKGLRKLDLSENNFSGQIPKYLENFVLEYINLSFNNFDGNSRLCCGIPKVQLPRCLVETSKRSKMRLVTTVVILISCVICVTVMSTAIYYMFKKKKRDKSPTSLQIKSLEKVSYKMLRKATDGFSSTNLIGRVFRNARHQNLVKIIISCSSIDFQGNDFKELIYDDYMPNRNLNKWLHTNVTDEQPSLSLLQRLSIAIDVGNGLDYLHHHCQEPIVHCDLKPSNILLDNDMVAHVGDFGLAKFLPHIVNPTQSSSNGVRGTVGYAAPGGCDPFCHNGDVYSYGILLLERVIGKEPTDG >Manes.03G049100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4465775:4468778:-1 gene:Manes.03G049100.v8.1 transcript:Manes.03G049100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGLIMDESLSNLTSASGEIIASSGNRIETPGTMYLQQSSFPSTNQAPPPKKKRNLPGNPDPDAEVIALSPKTLLATNRFICDICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPEATCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESAKAITLDPILSTHQQPSSSASHMINLQSLSVKREQESFHLFNGRPSNNVPPWLACHHGPPQMNLSSSLFAENLDQTFLQHENPSPNPNTTVLPSFKSSPHMSATALLQKAAQMGVTMSKPSSSLASGSGAAMLRPHQAHMSGTTSDFISTSVATSTAGSGLGLSSSEDMGHGLAPFGNKAAATTGIVGPSSLVLDVMSSFSSASGFDGSSFDEDFNGMLNPKRDGNNFQETLSNSKSTESHFSNRSEHEDKGAATTASAGGGRHGHGNDGLTRDFLGLKAFSHKDFLNLAGLGGHINSSSSSTYEQQNQNQAPWQG >Manes.03G049100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4465879:4468778:-1 gene:Manes.03G049100.v8.1 transcript:Manes.03G049100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGLIMDESLSNLTSASGEIIASSGNRIETPGTMYLQQSSFPSTNQAPPPKKKRNLPGNPADPDAEVIALSPKTLLATNRFICDICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPEATCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESAKAITLDPILSTHQQPSSSASHMINLQSLSVKREQESFHLFNGRPSNNVPPWLACHHGPPQMNLSSSLFAENLDQTFLQHENPSPNPNTTVLPSFKSSPHMSATALLQKAAQMGVTMSKPSSSLASGSGAAMLRPHQAHMSGTTSDFISTSVATSTAGSGLGLSSSEDMGHGLAPFGNKAAATTGIVGPSSLVLDVMSSFSSASGFDGSSFDEDFNGMLNPKRDGNNFQETLSNSKSTESHFSNRSEHEDKGAATTASAGGGRHGHGNDGLTRDFLGLKAFSHKDFLNLAGLGGHINSSSSSTYEQQNQNQAPWQG >Manes.04G067520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26505806:26506871:1 gene:Manes.04G067520.v8.1 transcript:Manes.04G067520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRRVANCTRWTICLGILLCEGTKSSIRLLFSKFHLSLCSWEEVLWNYNYGQCGNAIGVGLLNNPDLAATDPVISFKTAIWFWMTPQSPKPSCHNVIIGKWSPTPADSAAGRVPGYGVITNIINGGIECGKGPNDQVKDRIGFYKRYCDILGVSYGSNLDCHNQRPFGNGLLNLVNSM >Manes.17G120501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:35005018:35006138:-1 gene:Manes.17G120501.v8.1 transcript:Manes.17G120501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMEENESPDSRVHEDDYTQKFVFAVVATVAMTCLKSILVMLFVKQWRALLILNIVLLAIFFTSIRSSSSENQETRGNAEVNIQEQKRRKHCGWSAKAKAHKECNQEMSKRKRDAEEVKQTNRVDSEHQKLSKEELNERVEAFIAMFRQHLVSDARNCRNQFLSRPA >Manes.14G116100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11002847:11009951:1 gene:Manes.14G116100.v8.1 transcript:Manes.14G116100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQFSATNSSTLVYMDDFDSAVVTLNIAIIWFHLHEYTKALSVLEPLYNNIEPIDETTALHVCLLLLDVALACQDAAKSADVLIYLEKAFGVSSTSQGDNASMTNQQSANLVAKSSSILSGSSALDASNSDLAPSGNALENSLSRTLSLSEESLEYETMFSLDISGQNLTRPSGFPSSNDLSRIQLDRCISSIDLKLMLQLYKVRFLLLTRNLKQAKREVKLAMNIARGRDSSTALLLKSQLEYARGNHRKAIKLLMASSNRAEMGISSMFNNLGCIYFRLYKYHTSSVLFSKALSSSSSVRKDKPLKLSTFFQDKSLLIIYNCGIQHLSCGKPLLAARCFQKASLIFYNLPILWLRLAECCLMALEKGLIKVGRTPGKSEIIVHVVGKGKWRHLAIENGSSRNGCLDFVEREDLFLGSERQLKLSVSLARQCLLNALHLLDCAGMKDLKSSLPSSISLEENESSEGEAVKNSYHRGLTGLDTKASTLSVGLGQLNANGDAKEQKGATSQENMHNSISYFEDTRWRENQMIKQALLANLAYVELELENPEKALSTANSLLELSECSRIYVFLGHVYAAEALCLLNKPKEAAEHLSIYVSGGSNVELPFSQEDCDQWKVEKTFDCEDSNGGSVAKTSSPEESQGIVFHKPEEARGILYANFATMYAAQGELERAHHFVTQALSLVPDSPEVTLTAVYVDLVLGKSQAAISKLKHCSRVKFVPSHVQLNKS >Manes.14G116100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11000561:11009951:1 gene:Manes.14G116100.v8.1 transcript:Manes.14G116100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDSSSSQSTPSAIRDAAAASSSTAGEDDAILSVTAALAKDAALHFQSLRFAECLAVLHQLKLKKEDDPKVLHNIAIAEYFRDGCSDPRKLLEVLNNVKKSEQLAQASGEQVDAGSNAGNRVISGSKESGTMAHQFSATNSSTLVYMDDFDSAVVTLNIAIIWFHLHEYTKALSVLEPLYNNIEPIDETTALHVCLLLLDVALACQDAAKSADVLIYLEKAFGVSSTSQGDNASMTNQQSANLVAKSSSILSGSSALDASNSDLAPSGNALENSLSRTLSLSEESLEYETMFSLDISGQNLTRPSGFPSSNDLSRIQLDRCISSIDLKLMLQLYKVRFLLLTRNLKQAKREVKLAMNIARGRDSSTALLLKSQLEYARGNHRKAIKLLMASSNRAEMGISSMFNNLGCIYFRLYKYHTSSVLFSKALSSSSSVRKDKPLKLSTFFQDKSLLIIYNCGIQHLSCGKPLLAARCFQKASLIFYNLPILWLRLAECCLMALEKGLIKVGRTPGKSEIIVHVVGKGKWRHLAIENGSSRNGCLDFVEREDLFLGSERQLKLSVSLARQCLLNALHLLDCAGMKDLKSSLPSSISLEENESSEGEAVKNSYHRGLTGLDTKASTLSVGLGQLNANGDAKEQKGATSQENMHNSISYFEDTRWRENQMIKQALLANLAYVELELENPEKALSTANSLLELSECSRIYVFLGHVYAAEALCLLNKPKEAAEHLSIYVSGGSNVELPFSQEDCDQWKVEKTFDCEDSNGGSVAKTSSPEESQGIVFHKPEEARGILYANFATMYAAQGELERAHHFVTQALSLVPDSPEVTLTAVYVDLVLGKSQAAISKLKHCSRVKFVPSHVQLNKS >Manes.14G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11000561:11009951:1 gene:Manes.14G116100.v8.1 transcript:Manes.14G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRDSSSSQSTPSAIRDAAAASSSTAGEDDAILSVTAALAKDAALHFQSLRFAECLAVLHQLKLKKEDDPKVLHNIAIAEYFRDGCSDPRKLLEVLNNVKKKSEQLAQASGEQVDAGSNAGNRVISGSKESGTMAHQFSATNSSTLVYMDDFDSAVVTLNIAIIWFHLHEYTKALSVLEPLYNNIEPIDETTALHVCLLLLDVALACQDAAKSADVLIYLEKAFGVSSTSQGDNASMTNQQSANLVAKSSSILSGSSALDASNSDLAPSGNALENSLSRTLSLSEESLEYETMFSLDISGQNLTRPSGFPSSNDLSRIQLDRCISSIDLKLMLQLYKVRFLLLTRNLKQAKREVKLAMNIARGRDSSTALLLKSQLEYARGNHRKAIKLLMASSNRAEMGISSMFNNLGCIYFRLYKYHTSSVLFSKALSSSSSVRKDKPLKLSTFFQDKSLLIIYNCGIQHLSCGKPLLAARCFQKASLIFYNLPILWLRLAECCLMALEKGLIKVGRTPGKSEIIVHVVGKGKWRHLAIENGSSRNGCLDFVEREDLFLGSERQLKLSVSLARQCLLNALHLLDCAGMKDLKSSLPSSISLEENESSEGEAVKNSYHRGLTGLDTKASTLSVGLGQLNANGDAKEQKGATSQENMHNSISYFEDTRWRENQMIKQALLANLAYVELELENPEKALSTANSLLELSECSRIYVFLGHVYAAEALCLLNKPKEAAEHLSIYVSGGSNVELPFSQEDCDQWKVEKTFDCEDSNGGSVAKTSSPEESQGIVFHKPEEARGILYANFATMYAAQGELERAHHFVTQALSLVPDSPEVTLTAVYVDLVLGKSQAAISKLKHCSRVKFVPSHVQLNKS >Manes.04G088600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29399685:29400975:-1 gene:Manes.04G088600.v8.1 transcript:Manes.04G088600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEANKNRFIEEWSSARENLEYNFRWTRRNFALVGLFGIAVPIFIYKGIVKEFVMQSGYCF >Manes.04G088600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29398733:29400975:-1 gene:Manes.04G088600.v8.1 transcript:Manes.04G088600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEANKNRFIEEWSSARENLEYNFRWTRRNFALVGLFGIAVPIFIYKGIVKEFNMQDEDAGRPYRKFL >Manes.07G132700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33431407:33431937:1 gene:Manes.07G132700.v8.1 transcript:Manes.07G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINQLSVAFLLLLPLAIPSISAYENAATRPAEKKVDVVVEGMVYCQSCDHYGSWSFNGAEPIPSAKVSVICKNHKKQVSYNKAYETNEHGYFYAQLDGFKMDNNILDHPLQSCLVKLVSSPLANCSLLSNVNYGINGAPLRYENKVLRGSHYEAVIYSAGPLAFRPAHCSPQTHI >Manes.14G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3805494:3806087:-1 gene:Manes.14G043500.v8.1 transcript:Manes.14G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRITGYYWKSMVNRLRGCATYGTSTSPKMRAYVSATGYGHTLVEEQSKSKVKVKGDFVPVYVALGMILLQASLALHIAKQHLMYSPSVRVKKKLRETMPEVDYPDKVVDDAYKFTGNSFFRKAAHIHEFESGFQSTPDPIRKDVYAHTPQAVTLKSVGIDPSTQH >Manes.10G013200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1358622:1362228:-1 gene:Manes.10G013200.v8.1 transcript:Manes.10G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTRPQFVLFGSSIVQLSFSHGGWGSILTDIYARKADIVLRGYYGWNSRRAVEVLNQIFPKNASVQPALVIVYFGGNDSMGPHSSGQGPHVPLPEYIENMRKIALHLKSLSDTTRIIFLSCPPVDEERVHSSTSGIFSELVRTNELCQKYSEACIELCQEMGVEVVDLFSALQRRCDWTTACFTDGIHLAAEGSKIVVEEILKVLKEAEWSPSLHWKSMPAEFPEDSSYYLVAADGKTTLNPSEWTFHREIQWD >Manes.10G013200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1358622:1362217:-1 gene:Manes.10G013200.v8.1 transcript:Manes.10G013200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTRPQFVLFGSSIVQLSFSHGGWGSILTDIYARKADIVLRGYYGWNSRRAVEVLNQIFPKNASVQPALVIVYFGGNDSMGPHSSGQGPHVPLPEYIENMRKIALHLKSLSDTTRIIFLSCPPVDEERVHSSTSELVRTNELCQKYSEACIELCQEMGVEVVDLFSALQRRCDWTTACFTDGIHLAAEGSKIVVEEILKVLKEAEWSPSLHWKSMPAEFPEDSSYYLVAADGKTTLNPSEWTFHREIQWD >Manes.11G122400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28363290:28363945:1 gene:Manes.11G122400.v8.1 transcript:Manes.11G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGDGHQRPSTAVTSAANTVQSETKESKNSSPQMIRKLGQFALNLLHFAGILLFILSLIPRHKIPLILMVILTYLASPYALMLGFRRVTMPNSNTFVYKLVDRRIVLGLLVVAVVLVG >Manes.11G155700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32226730:32242679:1 gene:Manes.11G155700.v8.1 transcript:Manes.11G155700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCPNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGNIGGREFAESLAADVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNIEGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWSCLPKCVKALERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNAAHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQEIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYILGEFSHLLARRPGCSPKEIFNIIHEKLPTVSTPTIPILLSTYAKILMHTQPPDPELQNQIWAIFNKYESCIDAEIQQRAVEYFALSRKGAALMDILAEMPKFPERQSALIKKAEDAEVDTAEQSAIKLRAQQQTSNALVVTDQRSANGPPPTVPVGPLALVKVPNMSDNADHTSVDQTLTQPNGTLSKVDPQTPSADLLGDLLGPLAIEGPPGTAVPSERNAASEAEGVVNTMDRAAIVPVVEQTNSVQPIGNINERFYALCLKDSGVLYEDPYIQIGIKAEWRAQHGRLVLFLGNKNTSPLDFVQALILPPAHLKMELSLVPETIPPRAQVQCPLEVLNLRPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVKPLPLVEMADLLNSFRLMISPGLDPNPNNLVASTTFYSESTRAMLCLIRIETDPADRTQLRMTVASGDPILTFELKEFIKEQLVSIPTAPQAPPAAPPVAQPTSPVSALADPGAMLAGLL >Manes.11G155700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32226730:32242679:1 gene:Manes.11G155700.v8.1 transcript:Manes.11G155700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCPNKEQERLRVDKELGNVRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAADVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNIEGWADRMAQLLDERDLGVLTSSMSLLVALVSNNHEAYWSCLPKCVKALERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNAAHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQEIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYILGEFSHLLARRPGCSPKEIFNIIHEKLPTVSTPTIPILLSTYAKILMHTQPPDPELQNQIWAIFNKYESCIDAEIQQRAVEYFALSRKGAALMDILAEMPKFPERQSALIKKAEDAEVDTAEQSAIKLRAQQQTSNALVVTDQRSANGPPPTVPVGPLALVKVPNMSDNADHTSVDQTLTQPNGTLSKVDPQTPSADLLGDLLGPLAIEGPPGTAVPSERNAASEAEGVVNTMDRAAIVPVVEQTNSVQPIGNINERFYALCLKDSGVLYEDPYIQIGIKAEWRAQHGRLVLFLGNKNTSPLDFVQALILPPAHLKMELSLVPETIPPRAQVQCPLEVLNLRPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVKPLPLVEMADLLNSFRLMISPGLDPNPNNLVASTTFYSESTRAMLCLIRIETDPADRTQLRMTVASGDPILTFELKEFIKEQLVSIPTAPQAPPAAPPVAQPTSPVSALADPGAMLAGLL >Manes.16G136800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33744532:33749337:1 gene:Manes.16G136800.v8.1 transcript:Manes.16G136800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILHSAMSSLPLKKLIQPSKPISALTHPIPTTQAKLSIHFQIMNPNASSSSIHTYQVPALDSDQMCRIADQTFDRYSSNAVKRKGKGTAIVWFRNDLRILDNEALFKAWASSEAVLPVYCVDPRLFGSTYYFGFPKTGALRAQFIIECLADLKKNLMKRGLNLLIRHGKPEEIIPSLVQGFAAHTVYAQKETCSEEVDVERLVKKALLQVKLPPSPEKPTSHHSTNCPKLQLVWGSTMYHMDDLPFSTNSIPDVYTQFRKSIEAKCAIRRCVKIPTSLLPTPSVEDWGCVPSIDLLGIQSQKVNKGMKFLGGETAALSRVFEYFWKKDLLKTYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVIRYEKERQANDSTYWVLFELIWRDYFRFLSIKYGNSIFHLGGPRKVEKRWSQEQRLFESWRDGCTGYPLIDANMKEVSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGTNL >Manes.16G136800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33744532:33749337:1 gene:Manes.16G136800.v8.1 transcript:Manes.16G136800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILHSAMSSLPLKKLIQPSKPISALTHPIPTTQAKLSIHFQIMNPNASSSSIHTYQVPALDSDQMCRIADQTFDRYSSNAVKRKGKGTAIVWFRNDLRILDNEALFKAWASSEAVLPVYCVDPRLFGSTYYFGFPKTGALRAQFIIECLADLKKNLMKRGLNLLIRHGKPEEIIPSLVQGFAAHTVYAQKETCSEEVDVERLVKKALLQVKLPPSPEKPTSHHSTNCPKLQLVWGSTMYHMDDLPFSTNSIPDVYTQFRKSIEAKCAIRRCVKIPTSLLPTPSVEDWGCVPSIDLLGIQSQKVNKGMKFLGGETAALSRVFEYFWKKDLLKTYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVIRYEKERQANDSTYWVLFELIWRDYFRFLSIKYGNSIFHLGGPRKVEKRWSQEQRLFESWRDGCTGYPLIDANMKEVSTTGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPELQKLQKDKRHFPGNSYIEQIVPLKFGTPKKHNGQGTAFAEKQTKGFKRLERKKK >Manes.02G148400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11400009:11401833:-1 gene:Manes.02G148400.v8.1 transcript:Manes.02G148400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIQIFQTILSKATVSIIHDTSFTCQLMTTPNLRPQLYHGLLLKLLLFLFTSPFTVAQRGTLASSSPQQSIDPFSQTPQFNPSLAILMIIIVGAFFLMARFSVYIRQCSERRFLGGNFNPASQIFGRGRWSRREQQGLDTAVIETFPTFLYSTVKVHKIGERSLECAICLNEFEDDQTLRLIPKCSHVFHPDCIDAWLASHITCPVCRANLVPRLEESAFDSAQLFETGTDSVEPDQHVGNVREETQNNVLIHASDGNDRNRRESPDVILLNTTAQNRPRRSWSTGWRLGKLFPRSRSTGNFLVQPVENFERFTLRLPEDVRSQLMNSHLNRTKSCVAFPRATSARRSYRSRSGGSWRSKNYFYYERYEREERPDRWGFTVTPFISRSGSIPRSKQGGSGSGDQVNAPLPKNPLKSVQSPLDRLLQNSSNNKAGEGLSDRLREDVSDNQA >Manes.S049416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1651936:1652058:-1 gene:Manes.S049416.v8.1 transcript:Manes.S049416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.05G055600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4647240:4648325:1 gene:Manes.05G055600.v8.1 transcript:Manes.05G055600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALATAFVILLVAAAPAAYATQYVVGDSAGWTNFGTDYGTWASGKTFAVGDTLVFNYDSSTHKVAEVSQSDYNSCSASNAVQTYSDGSTTISLSKPGSMYFICPTAGHCSSGMKLSVSVVAAATPTTPAPPSGSTTPAPPSGSTTPSAGTPPTTRAASPPPPAGNGAASSFCNMYSLILGSLLILALMG >Manes.10G101800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24984918:24986327:1 gene:Manes.10G101800.v8.1 transcript:Manes.10G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGIASIVAGASTHPLDLIKVRMQLQGESHLPNPASLQALRPAIAFNTVAAGAGNISLPVEIAPPPARVGPISIGVRIIQSEGAAALFSGVSATILRQTLYSTTRMGLYDVLKHKWTDQDTGSMPLPRKILAGLISGGIGASVGNPADVAMVRMQADGRLPIDQRRNYKSVFDALTQMSKQEGIASLWRGSSLTVNRAMIVTASQLASYDQIKEMILEKGVMSDGIGTHVTASFAAGFVASVASNPIDVIKTRVMNMKVETGAEPPYKGALDCAMKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Manes.07G133104.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29557709:29559582:1 gene:Manes.07G133104.v8.1 transcript:Manes.07G133104.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHIVAREVIKPCSLAIQHQKPYKFSLFDQLTPTTYVPVIYFYPNNNNSEPNLIRQTLTHLKESLSQTLDLYYPFSGRTNDNLYVDRFDEGVPFFEAKVKCSMSDFLKRHETEWLNRFLPCRPFTKEVNMSIPFFAFQVTIFTCGGIALGWCLSHKLFDGLTASAFVTTWASIFRGELQDVIKPDLNEASLVFPPKISFPQKHLSLMESLWFTKANYVTRRFVFDAKAISTLRDRTKGKLAVPPSRIETLSCFIWKCSMTASKIISGTTKPSILAEAVNLRQKTQPPMSDASSGNLFWWAIAVANPTDTNTELHDLVGLLSEAIAVYKSDYTHTLQGEDGFEILSDYCDQLEELFSLEKPDIFAFTSWNHMSLTRPNFGWGEPFWVGVMGKAGAEFRNLTVFIDTTDGKGIEAWITLDEQRMGILQHDPEFLAFASPNPRIYSL >Manes.04G116200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31857803:31859287:1 gene:Manes.04G116200.v8.1 transcript:Manes.04G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFVSCFLLFNVLLLLCGHHASAVRDVTKPVHFHSTLNDDVLKKDSLQSLYYKILDELFKNPTTGLPGPPGPPGGSDDPPGPPGPGGSKASADFADFVFDYIEPSYIGLFTPDQVYSGKIIPIYFPIQDPSTTVLPFVLEGKEMGFSISHSPSHLPNIFKNMPPKILKRPPAYDICDIDPDETKICAKDIESTLEFIGHAFDSKEAFKILGTKQTLTTAFLQEYFVSEDPQEIKGSRKVICHPMYGSYYCHYDVKGAFKVLKVLLDGESGDKVEAIAVCHLHTHITAEHAEHPLYRMLGLKPGMSSVCHILAVGNFVLVQT >Manes.14G142000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12511111:12511443:-1 gene:Manes.14G142000.v8.1 transcript:Manes.14G142000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKFWQILILLAIAIVAKSATCEAAFANPNHTDAVGDSINDEEEMMMDSETSRRVLAGKPRTISYAVLKASTTPCNKRGRSYYNCRVGTGANPYRRGCQVITGCYRFTY >Manes.08G099611.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33229533:33231796:-1 gene:Manes.08G099611.v8.1 transcript:Manes.08G099611.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSAPLIGTNFKSWCRAIRIALRAKQKLEFIEGTVAIPDKGSNFYEQWKRCDFMLVDGFIYTASARDLWLEITERFSECNGTMIYELQRKISLISQDNASASVYFTKLKGFWDELGSMETLPPCTCGASKAIDEINNRNRLMQFLMGLSDAYGTVRDQILGMDPLPSVNKAYSMVLKFESQKDTLGSINGNTEPLILMNRTHKQYQGRQKRPDQKRRHCSYCDMDGHVREGCFKLIGYPEWFKMKIKNNGQPNTSTQINDLNIMMNSLQQEVSKLMKEKLMTIPGPLGPS >Manes.06G089401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22535034:22550543:1 gene:Manes.06G089401.v8.1 transcript:Manes.06G089401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDASSSGVPLEGLDDVEDYIWANEGARSLSWDRFSHVFDLVQNGNQAFRENHFEEAINCYSRANNIKPGDPVILGNRSAAYSRISQFLKQRPASASEYKPLNGLDPTMHAELALKDAEKVMDLRNNSVNSYILKASALILLEKYELARDVILSGLQVDPFSNPLRASLQNLERSVANTSRRSHKPERSDEFDCTLCLKLLYEPVTTPCGHSFCRSCLFQTMDRGNRCPLCRTVLFISPRTCAISVTLNNIIQKNFPEEYADRKSEHESLTNFGVDLIPLFVMDVVIPCQKFPLHIFEPRYRLMVRRIMEGNHRMGMVILDSATGFIVDFACEVEITECEPLPDGRFYLEVESRRRFRILQSWDQDGYRVAEVEWVQDDPPRMRAELQDITNNAAEYAQSWLRRAKEAARQDRRRLEKLLNVEAMMPTPLDPERFSFWLATLSDRKPYEKLELLRIRDTEERIKRGLVYLRAYEQGSSICTIGPLLSVMKINHHSILSNLNHIPMIEDNPKTKDLILYRFSSLPHLMGRDNHTLLLLFFFLLIATFNCVSSRNSSDLAFSPSDNYLIDCGSSQDTKLEDGRTFKSDSSTRSYLETSTEVQVSMDSVSVNVASNSSSPLSSSALPLYRSARILTSDSKYSLYISDPGWHWVRLYFYPLHHLKFNLTTAVFSVTTGDDFGLLHEFSVRDNSSVVFKEYLLNVTGETFSLIFKPNQESYAFINAIEVVSAPDVLLSDSASTLPQGGTVNGLVKYAFEVFYRLNVGGPIITPKNDTLSRTWLPDTEYNKFPQGSQNASVSTSVIKYPKNGSNSYIAPRGVYATAEQMKDSNIQLQNFNLTWEMRVDPGFSYLIRLHFCDIVSKSLNELYFNVYINELIGVSSLDLSSINNALATAYYMDFLVNASSIKNNTVMVQVGPSSVQSGQTDAILNGLEVIKISNKVRSLAGLFGAKGSQGAQTMKIVAAVGLVMGLTAMILLAMVCIRWQKRPTDWQKRNSFSSWLLPLHTSQATFLSSKSSSRRSSIFGSRKSKNSHSGYFNNQGLGRYFTFSELQKATQNFDEKAVIGVGGFGKVYIGELEDGIKTAIKRGNPSSQQGINEFQTEIQMLSKLRHRHLVSLIGFSDEQSEMILVYEYMANGPLRDHLYGSNLPPLSWKQRLEICIGAARGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVSDFGLSKAASLEQGYVSTAVKGSFGYLDPEYFRKQQLTEKSDVYSFGVVLFEVLCARPVINPTLSREQVNLAEWAMRWHRKGMIEKVIDPQIAGTINPGSLKKYVEAAEKCLAEYGVDRPGMGDVLWNLEYALQLQEASSVPDNSEDKSTNLIPLENSSRKAPEGQPAVLINDDSEVTTDSPMFSQLANFQGRDSSPENHADDDFSIFPPSNHENLIPSRFNLQSKNHPTPPQSLPSSPLPFLRPDFDPSPSPPEVTLLPNWWGFAFKILRSRIANIGSYFGSNSSGTKRSFWSFGNVALAVTVVLWWLYVRVRRQRRRSESVENLMQIISEKDEKIMQLLNQISQMNQVLLSQHKVLASKLAN >Manes.12G002100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:433292:440575:-1 gene:Manes.12G002100.v8.1 transcript:Manes.12G002100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVLILLCLLISIPITSHAAHQEVGEEEAEQLVSSQPKKWDGRVIEVKGGPESVIWVVQLSDLHFSVHHPDRALDFKKIVGPALQMVNPSLVLITGDLTDGKSKDLLIMKQNEVEWLEYKNVMEDVVKRSGLDKSIFYDLRGNHDSFGVPVVGSSFDFFSKYSINGQLERSRKVNSVTVETGERKHLFVGLDTTSSVGLRGPINLFGHPTDKLLTQIDSQLSQWDSQSNKTVTKITFGHFPLSFSASSHSGKSLKDIFLNHSISAYLCGHLHTRFGKNLKRHHQSMASQNFFSSQKFFQLNMHQRPSGTTKNCSFGAPPAEEFWEWEMGDWRKSRAMRIIAIDRGHVSYLDVDFKSGAKKTIVLPTFPLDSRFMSTSSWRQKYECQHMVPSSYETVRALVFSVSPIVSAVARIYDARPGTLDIVMETPMTKVVGDMSRGDFYAAPWNHKAFDDPSPDRFWLQIEVIDIKGRSTLTELRPFSVNGLTAKISWTWKEFFVMGCQWAALYYPIFWSVIYLLLSVLLIPRVLLVFSKKQYSYRSFSVEKGFFNGVAWVLQDLCRIPIAWFGILGYLIYLLLCPWFIGQVFSDGEDKGYMTYMGWVVRSSNDNKKHQYIGSPDVMVVVLPHLIFVVLPATLVAGALAAERGMFKEYFLSLSGKKEDDDSSQMHTQMLDKQGDKRLKLYVGHRWIRKVILVVCLGIYWKHLMACRALMKAYEMNPLFHFPGYSLAIPLLLAYTAYKTRRI >Manes.12G002100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:433460:440541:-1 gene:Manes.12G002100.v8.1 transcript:Manes.12G002100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVLILLCLLISIPITSHAAHQEVGEEEAEQLVSSQPKKWDGRVIEVKGGPESVIWVVQLSDLHFSVHHPDRALDFKKIVGPALQMVNPSLVLITGDLTDGKSKDLLIMKQNEVEWLEYKNVMEDVVKRSGLDKSIFYDLRGNHDSFGVPVVGSSFDFFSKYSINGQLERSRKVNSVTVETGERKHLFVGLDTTSSVGLRGPINLFGHPTDKLLTQIDSQLSQWDSQSNKTVTKITFGHFPLSFSASSHSGKSLKDIFLNHSISAYLCGHLHTRFGKNLKRHHQSMASQNFFSSQKFFQLNMHQRPSGTTKNCSFGAPPAEEFWEWEMGDWRKSRAMRIIAIDRGHVSYLDVDFKSGAKKTIVLPTFPLDSRFMSTSSWRQKYECQHMVPSSYETVRALVFSVSPIVSAVARIYDARPGTLDIVMETPMTKVVGDMSRGDFYAAPWNHKAFDDPSPDRFWLQIEVIDIKGRSTLTELRPFSVNGLTAKISWTWKEFFVMGCQWAALYYPIFWSVIYLLLSVLLIPRVLLVFSKKQYSYRSFSVEKGFFNGVAWVLQDLCRIPIAWFGILGYLIYLLLCPWFIGQVFSDGEDKGYMTYMGWVVRSSNDNKKHQYIGSPDVMVVVLPHLIFVVLPATLVAGALAAERGMFKEYFLSLSGKKEDDDSSQMHTQMLDKQGDKRLKLYVGHRWIRKVILVVCLGIYWKHLMACRALMKAYEMNPLFHFPGYSLAIPLLLAYTAYKTRRI >Manes.11G048900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6177678:6181664:-1 gene:Manes.11G048900.v8.1 transcript:Manes.11G048900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKWYWKLYLLIFCTVLAPAFSVDPRIPSHGHETPKVTKDWCTKCDYKVDDGDEYVCCKDCSDTYFFSNDQRLGYCKSGAELLVEPKPKEIYKWVAGPWKACSSLCDGGIRYRDVDCYAVFEVTMVPDYPVYDYKCSKEEKPVREEPCNLRSCLQLTDEDLHKRKHNRTSTWMLGLISLGLVAIIGVGFACFIQYKRRTSMQYGQVYIMMDGYS >Manes.11G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6177678:6181664:-1 gene:Manes.11G048900.v8.1 transcript:Manes.11G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKWYWKLYLLIFCTVLAPAFSVDPRIPSHGHGCYWNVSCQDTWWGDCAMGHAIIDRSNDCKGLCLEPKYSLCPPYYTRFYCCMLETPKVTKDWCTKCDYKVDDGDEYVCCKDCSDTYFFSNDQRLGYCKSGAELLVEPKPKEIYKWVAGPWKACSSLCDGGIRYRDVDCYAVFEVTMVPDYPVYDYKCSKEEKPVREEPCNLRSCLQLTDEDLHKRKHNRTSTWMLGLISLGLVAIIGVGFACFIQYKRRTSMQYGQVYIMMDGYS >Manes.11G048900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6177678:6181664:-1 gene:Manes.11G048900.v8.1 transcript:Manes.11G048900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKIFFVPSLLYTFLLLHARLGYCKSGAELLVEPKPKEIYKWVAGPWKACSSLCDGGIRYRDVDCYAVFEVTMVPDYPVYDYKCSKEEKPVREEPCNLRSCLQLTDEDLHKRKHNRTSTWMLGLISLGLVAIIGVGFACFIQYKRRTSMQYGQVYIMMDGYS >Manes.S028716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:565354:565515:-1 gene:Manes.S028716.v8.1 transcript:Manes.S028716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGRGRHSVLRIFMGRRGRTGHRATCGALPAAGPYLRLSRFQGGRAVKQKR >Manes.01G222800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38965446:38969278:1 gene:Manes.01G222800.v8.1 transcript:Manes.01G222800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGADKPAAAPPAAASQQTE >Manes.01G222800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38965446:38969278:1 gene:Manes.01G222800.v8.1 transcript:Manes.01G222800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKILWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKIARREERLAQGPGADKPAAAPPAAASQQTEGSKKSKK >Manes.05G186000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30561375:30568876:1 gene:Manes.05G186000.v8.1 transcript:Manes.05G186000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALTPSKRPHDRNLNESNGKGKWQKTSGLNSPNQSVKTSSGGVVFRILCPASKTGSVIGKGGAIISQIRQETGAKVRVEETVPGCDERVVVIVGSDKDIEVNNEQNGEDGDKKANVAEEGDEKKDNGEENENKETVPAVDSSKSVKETSSLQKALLLVYERIVEADPDTGGGDEEDNKPSMFILRLLVLSGQVGCLLGKGGSVIKQMSAESGAQIRILPRDKLPICASPSDELVQITGEVDAVRKAIQLVTQQLLENPPRDHDSFPSNSTGPSFHSFGHPPPRPEAYPPPYQSFNARGTTYGAGPRDFHESGIPGRMKPASDIITFRLLCLDEKVGGVIGKGGTIIKTLQQETGCDIKVLEGVSNSEDRIILISGPAHPDDRISAPQDAVLRVQTRIARALPLSDGKERTVIARLLVSSNQIGCLLGKGGAIMAEMRKSTGAYIRILGKDQVPKCASENEEVVQINGEYEVVQEALLQITTRLRNHFFRDVYPSIDHPSNPPFLDQPPFPPYMGRRELSPPFHAFHSFDGMGGPPPHGGFHPHDDRPPFMHNIHRPGMPPHMSERRPWGPQGPIEGGPPVGLPDFGGPPPRRLSGFGGVNHPAIITSTTVEVVVPRTVVPVIYGEDGLCLKQICQISDAKITINEPKPGATETMIIISGTPEQTHAAQSLIQAFVMSERDSTSSLQ >Manes.05G186000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30561375:30568876:1 gene:Manes.05G186000.v8.1 transcript:Manes.05G186000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALTPSKRPHDRNLNESNGKGKWQKTSGLNSPNQSVKTSSGGVVFRILCPASKTGSVIGKGGAIISQIRQETGAKVRVEETVPGCDERVVVIVGSDKDIEVNNEQNGEDGDKKANVAEEGDEKKDNGEENENKETVPAVDSSKSVKETSSLQKALLLVYERIVEADPDTGGGDEEDNKPSMFILRLLVLSGQVGCLLGKGGSVIKQMSAESGAQIRILPRDKLPICASPSDELVQITGEVDAVRKAIQLVTQQLLENPPRDHDSFPSNSTGPSFHSFGHPPPRPEAYPPPYQSFNARGTTYGAGPRDFHESGIPGRMKPASDIITFRLLCLDEKVGGVIGKGGTIIKTLQQETGCDIKVLEGVSNSEDRIILISGPAHPDDRISAPQDAVLRVQTRIARALPLSDGKERTVIARLLVSSNQIGCLLGKGGAIMAEMRKSTGAYIRILGKDQVPKCASENEEVVQINGEYEVVQEALLQITTRLRNHFFRDVYPSIDHPSNPPFLDQPPFPPYMGRRELSPPFHAFHSFDGMGGPPPHGGFHPHDDRPPFMHNIHRPGMPPHMSERRPWGPQGPIEGGPPVGLPDFGGPPPRRLSGFGGVNHPAIITSTTVEVVVPRTVVPVIYGEDGLCLKQICQISDAKITINEPKPGATETMIIISGTPEQTHAAQSLIQAFVMSERDSTSSLQ >Manes.05G186000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30562569:30568876:1 gene:Manes.05G186000.v8.1 transcript:Manes.05G186000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALTPSKRPHDRNLNESNGKGKWQKTSGLNSPNQSVKTSSGGVVFRILCPASKTGSVIGKGGAIISQIRQETGAKVRVEETVPGCDERVVVIVGSDKDIEVNNEQNGEDGDKKANVAEEGDEKKDNGEENENKETVPAVDSSKSVKETSSLQKALLLVYERIVEADPDTGGGDEEDNKPSMFILRLLVLSGQVGCLLGKGGSVIKQMSAESGAQIRILPRDKLPICASPSDELVQITGEVDAVRKAIQLVTQQLLENPPRDHDSFPSNSTGPSFHSFGHPPPRPEAYPPPYQSFNARGTTYGAGPRDFHESGIPGRMKPASDIITFRLLCLDEKVGGVIGKGGTIIKTLQQETGCDIKVLEGVSNSEDRIILISGPAHPDDRISAPQDAVLRVQTRIARALPLSDGKERTVIARLLVSSNQIGCLLGKGGAIMAEMRKSTGAYIRILGKDQVPKCASENEEVVQINGEYEVVQEALLQITTRLRNHFFRDVYPSIDHPSNPPFLDQPPFPPYMGRRELSPPFHAFHSFDGMGGPPPHGGFHPHDDRPPFMHNIHRPGMPPHMSERRPWGPQGPIEGGPPVGLPDFGGPPPRRLSGFGGVNHPAIITSTTVEVVVPRTVVPVIYGEDGLCLKQICQISDAKITINEPKPGATETMIIISGTPEQTHAAQSLIQAFVMSERDSTSSLQ >Manes.08G032350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3108492:3108962:-1 gene:Manes.08G032350.v8.1 transcript:Manes.08G032350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSLSLSLLFPWILHSSLSNDKCIKAKREVIIQSSIVGIYKQLSDPRFPLLSCKNNRPISLITRVKGMDENPEKIKLRGRNRQ >Manes.08G106700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34621305:34624011:1 gene:Manes.08G106700.v8.1 transcript:Manes.08G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQDDMEATEAEYNSLSKREKGKGGFRACSFVFVMVALENMGFIANMVSLVLYFLGVMFFDTASSSNTLTNLMGATFLLTVVGGFISDTYLSRLTTVLIFGVIEILALIMMTIQAHAKSLQPTYCGPGRSSCVEGKTAVMLYASLALLALGSGGVRGVLPSLGADQFDQNDPEEAKALATYFNWIILSTVLGATIGVTGIVWVSVNDAWYKGFMISTIATFVGFAVLLLGKPFYHQRKPGESPFIRIAQVIVLVFKNRRLSLPARPDELYEISEKDTISLEGKIAHTDQFRFLDKAAIVPKDLNIAPWRVCTVTQVEEVKILTRMLPILFSTMIMNTCLAQLQTFSVQQGYEMDKNLGKLKVPAPSVPVIPLLFMVILIPAYEFLFVPFARKITGHPSGITQLQRVGVGLVLSAISMAVAGIVEVKRRDQMHKDPAHPISLFWLSFQYGIFGIADMFTLVGLMEFFYKEAPSGMKSLSTSFTFLSLSFGYFLSSVFVSIINKVTERVTPSKKGWLHGEGIDDNNLNLFYWFLAVLSCINFVNYLFWASWYKYKVDDVDCIKPDLIRSTDGLLLTKVENTPDLSVVKAEVEENTKEKVVETSEAEAPSSDLQPKDDKENQQTHEQETRSGN >Manes.08G106700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34621061:34624011:1 gene:Manes.08G106700.v8.1 transcript:Manes.08G106700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEAEYNSLSKREKGKGGFRACSFVFVMVALENMGFIANMVSLVLYFLGVMFFDTASSSNTLTNLMGATFLLTVVGGFISDTYLSRLTTVLIFGVIEILALIMMTIQAHAKSLQPTYCGPGRSSCVEGKTAVMLYASLALLALGSGGVRGVLPSLGADQFDQNDPEEAKALATYFNWIILSTVLGATIGVTGIVWVSVNDAWYKGFMISTIATFVGFAVLLLGKPFYHQRKPGESPFIRIAQVIVLVFKNRRLSLPARPDELYEISEKDTISLEGKIAHTDQFRFLDKAAIVPKDLNIAPWRVCTVTQVEEVKILTRMLPILFSTMIMNTCLAQLQTFSVQQGYEMDKNLGKLKVPAPSVPVIPLLFMVILIPAYEFLFVPFARKITGHPSGITQLQRVGVGLVLSAISMAVAGIVEVKRRDQMHKDPAHPISLFWLSFQYGIFGIADMFTLVGLMEFFYKEAPSGMKSLSTSFTFLSLSFGYFLSSVFVSIINKVTERVTPSKKGWLHGEGIDDNNLNLFYWFLAVLSCINFVNYLFWASWYKYKVDDVDCIKPDLIRSTDGLLLTKVENTPDLSVVKAEVEENTKEKVVETSEAEAPSSDLQPKDDKENQQTHEQETRSGN >Manes.S024116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:310590:310748:1 gene:Manes.S024116.v8.1 transcript:Manes.S024116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.05G161900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27459052:27464957:-1 gene:Manes.05G161900.v8.1 transcript:Manes.05G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFASASAITDQRQKIEQYRHILASVLLSDDVIQAKKFIDHVLSDDVPLVVSRQLLQTFALALERLEPETQKEIAHYTLAQIQPRVVSFEEQVLIIREKLADLYESEQEWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKICYARVLDIKRKFLEAALRYYDISQIEKRQIGDETIDEEALQQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLRVYPILQKVYLERILRKPEIDAFAEELKTHQLALLPDNFTVLDRAMIEHNLLSASKLYSNISFDELGTLLGIPPNKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGLCQALNDVLDSMAKRGLSIPV >Manes.05G161900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27459052:27464957:-1 gene:Manes.05G161900.v8.1 transcript:Manes.05G161900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFASASAITDQRQKIEQYRHILASVLLSDDVIQAKKFIDHVLSDDVPLVVSRQLLQTFALALERLEPETQKEIAHYTLAQIQPRVVSFEEQVLIIREKLADLYESEQEWSKAAQMLSGIDLDSGMRVIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKICYARVLDIKRKFLEAALRYYDISQIEKRQIGDETIDEEALQQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLRVYPILQKVYLERILRKPEIDAFAEELKTHQLALLPDNFTVLDRAMIEHNLLSASKLYSNISFDELGTLLGIPPNKAEKIASRMIYEDRMRGSIDQVLNSKDSSSMLDVISSI >Manes.05G062400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5244012:5250712:1 gene:Manes.05G062400.v8.1 transcript:Manes.05G062400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKAAIKKLDDSEFRNAFSRAYVRVREYDSKRDLSRSPSRGRSYSRGRSGSRSRGRSSSRSRSKSPKTKSSRRTPVRSRSRSASRSRSGSKPHSLSRSHSRSRSPLPVRQKRTSKSPKKRSVSRSPSGSRSRSRSRSLSR >Manes.05G062400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5244561:5247525:1 gene:Manes.05G062400.v8.1 transcript:Manes.05G062400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKAAIKKLDDSEFRNAFSRAYVRVREYDSKRDLSRSPSRGRSYSRGRSGSRSRGRSSSRSRSKSPKTKSSRRTPVRSRSRSASRSRSGSKPHSLSRYSFLSA >Manes.05G062400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5244012:5250712:1 gene:Manes.05G062400.v8.1 transcript:Manes.05G062400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKAAIKKLDDSEFRNAFSRAYVRVREYDSKRDLSRSPSRGRSYSRGRSGSRSRGRSSSRSRSKSPKTKSSRRTPVRSRSRSASRSRSGSKPHSLSRYSFLSA >Manes.05G062400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5244012:5250712:1 gene:Manes.05G062400.v8.1 transcript:Manes.05G062400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRASRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGVSRRSEYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKAAIKKLDDSEFRNAFSRAYVRVREYDSKRDLSRSPSRGRSYSRGRSGSRSRGRSSSRSRSKSPKTKSSRRTPVRSRSRSASRSRSGSKPHSLSSELGIG >Manes.15G084100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6480389:6482238:1 gene:Manes.15G084100.v8.1 transcript:Manes.15G084100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSNRLFIILLLFVSGASEIYAATVTCIRRGPCYLKRVSCPAQCPDLYPTDPKAKACYLNCNSPICMPECRNRKPNCNAPGAACLDPRFIGGDGIVFYFHGKSNEHFSLVSDLNLQINAHFIGLRPAGRTRDYTWIQALGILFNSHTFSLEATKAEKWDDEIDHLRFTHNGKELVIPEDHLSSWQSPESNIRVDRTSSKNSVQVTIPDVAEISVNVVPVTRKDDRIHNYQIPSDDCFVHLEVQFKFYSLSSKVEGVLGRTYQTDFKNPAKPGVAMPVVGGENKYRTTSLLSADCGSCIFSPDGFLEQKEEAAVMDFGTLDCTGGSNGGYGIFCRK >Manes.03G083274.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:14817941:14819345:-1 gene:Manes.03G083274.v8.1 transcript:Manes.03G083274.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLKKLRRLAVSSCRSLISLPQSIKCLTTLDSLCIEDCKNLDLRIEEGEDAQFSLHKLELRELPKLVDFPQWLIRGFTNTLKVLEVAYCDNLRELPNCLQNMASLQELRFIDCTKLNNNLL >Manes.11G088400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:16165236:16166191:1 gene:Manes.11G088400.v8.1 transcript:Manes.11G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLPAVISSAMQSLKKQPFQGRNQSGVPKGHVAVYVGEFQWKRFIVPISYLNHPCFQDLLNQAEEEFGFNHPMGAITIPCKEDEFIDLTSRFLAL >Manes.02G153600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11950596:11951963:1 gene:Manes.02G153600.v8.1 transcript:Manes.02G153600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQLHKHPPFFLHLTSFIYTHTFTAMFSTLETITQAASNSLFIFCFCNLIILIVLITASKPASFCYQKSQVPVLTVANGYINVNQSTTTKHFLDVNKSSNAAEARIHENANDEDDEFRRKVEEFIDKINRGWKEELLRIQK >Manes.02G095400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7454556:7457746:1 gene:Manes.02G095400.v8.1 transcript:Manes.02G095400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGIFYSSLTFIFIGILELGFISFPAEAAIKKYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTIYVREGDRVLVNVTNYAQYNMSIHWHGLKQYRNGWADGPAYITQCPIQKGSSYTYDFNVTGQRGTLWWHAHIFWLRATVYGAIVIMPKQGTPYPFPRPNMEVNILLGEWWNTDVEEVVRQGTKMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEPGKTYLLRIVNAALNDELFFGVSGHKMTVVEVDAVYTKPFITETILIAPGQTTNVLVQANQPPGRYFMATRPFMDVPIPVDNKTATAILQYKGIPNTVLPSLPQLPAPNDTEFALSYNRKLRSLNSPQFPANLPIKIDRKLFYTIGFGKDSCPTCINGTRLLASLNNISFVMPQTALLQAHYLNLTGVFRTDFPDKPPTPFNYTGAPLTASLGTVHGTKPSLSKLAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPVKDPAKYDLVDPIERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVEDGAGPDQSILPPPKDLPAC >Manes.02G095400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7454556:7457746:1 gene:Manes.02G095400.v8.1 transcript:Manes.02G095400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCYDVLCGRHGLKQYRNGWADGPAYITQCPIQKGSSYTYDFNVTGQRGTLWWHAHIFWLRATVYGAIVIMPKQGTPYPFPRPNMEVNILLGEWWNTDVEEVVRQGTKMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEPGKTYLLRIVNAALNDELFFGVSGHKMTVVEVDAVYTKPFITETILIAPGQTTNVLVQANQPPGRYFMATRPFMDVPIPVDNKTATAILQYKGIPNTVLPSLPQLPAPNDTEFALSYNRKLRSLNSPQFPANLPIKIDRKLFYTIGFGKDSCPTCINGTRLLASLNNISFVMPQTALLQAHYLNLTGVFRTDFPDKPPTPFNYTGAPLTASLGTVHGTKPSLSKLAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPVKDPAKYDLVDPIERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVEDGAGPDQSILPPPKDLPAC >Manes.12G091100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:16883978:16884802:1 gene:Manes.12G091100.v8.1 transcript:Manes.12G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSGKQVLVVFMVALIILVMRSEAKVTRNELHSRLLLREIGYTESKLEYHRQIFKQNSDRVSPGGPDPEHHSHPPRTKA >Manes.06G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9554536:9554789:-1 gene:Manes.06G035000.v8.1 transcript:Manes.06G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTFKLNNFIWNTCRHIVELLDLDEATEIDLSWNCKFMAQVCDPLAQNQSAKFFP >Manes.06G091100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22668026:22668983:1 gene:Manes.06G091100.v8.1 transcript:Manes.06G091100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLVFPDQNDHEDSSTKAPSNFIVRSQASICVSRALRWWEKTLTPNMVEIHSAQELVHSLLNAGDKLVIVDFYSPGCGGCKSLHPKICQLAESYPNAIFLKVNYEELKIMCQALHIHVLPFLRFYRGAEGRLCSFSCTNATIKKFKNALAKHGADRCSLGPAKGLDEAELLTLASMGELPIGLPLTSTKEESAGDFSVRSIDLPGVCSNTAVGV >Manes.06G091100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22667867:22668983:1 gene:Manes.06G091100.v8.1 transcript:Manes.06G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLKCNLSTSRMNETILNSKIAGASGFCPSFGTARIGESKANGFPILRIDFMGKPLVFPDQNDHEDSSTKAPSNFIVRSQASICVSRALRWWEKTLTPNMVEIHSAQELVHSLLNAGDKLVIVDFYSPGCGGCKSLHPKICQLAESYPNAIFLKVNYEELKIMCQALHIHVLPFLRFYRGAEGRLCSFSCTNATIKKFKNALAKHGADRCSLGPAKGLDEAELLTLASMGELPIGLPLTSTKEESAGDFSVRSIDLPGVCSNTAVGV >Manes.01G129950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32344684:32359389:1 gene:Manes.01G129950.v8.1 transcript:Manes.01G129950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEINIHTMDHEVNIHNRGKEKENYLPFDIENGCPKEIRSSNSSDDTADSFGNHEKSPLKSKSPVSAADILKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFAMQAVLSNFITWFWSHRFQLTVSMTWRDYFMRVVPTALGTALDVNLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLSGIIVIISIGILLSVAKETEFEFWGFVLVMLAAVMSGFRWSMTQILLQKEEYGLKNPLTLMSYVTPIMAIGTAFLSLIFDPWYEFEKSGYFDNSWHIFQSCLLMFFGGTLAFFMVLTEYVLVSVTSAVTVTIAGVVKEAVTILVAVFYFHDKFTRLKGVGLLIIMVGVSLFNWYKYEKLQKRQMSENDLAGSPAANLAAKYVILEEMDDGS >Manes.01G129950.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32344684:32359389:1 gene:Manes.01G129950.v8.1 transcript:Manes.01G129950.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEINIHTMDHEVNIHNRGKEKENYLPFDIENGCPKEIRSSNSSDDTADSFGNHEKSPLKSKSPVSAADILKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFAMQAVLSNFITWFWSHRFQLTVSMTWRDYFMRVVPTALGTALDVNLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLSGIIVIISIGILLSVAKETEFEFWGFVLVMLAAVMSGFRWSMTQILLQKEEYGLKNPLTLMSYVTPIMAIGTAFLSLIFDPWYEFEKSGYFDNSWHIFQSCLLMFFGGTLAFFMVAVFYFHDKFTRLKGVGLLIIMVGVSLFNWYKYEKLQKRQMSENDLAGSPAANLAAKYVILEEMDDGS >Manes.01G129950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32344654:32359389:1 gene:Manes.01G129950.v8.1 transcript:Manes.01G129950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEINIHTMDHEVNIHNRGKEKENYLPFDIENGCPKEIRSSNSSDDTADSFGNHEKSPLKSKSPVSAADILKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFAMQAVLSNFITWFWSHRFQLTVSMTWRDYFMRVVPTALGTALDVNLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLSGIIVIISIGILLSVAKETEFEFWGFVLVMLAAVMSGFRWSMTQILLQVLTEYVLVSVTSAVTVTIAGVVKEAVTILVAVFYFHDKFTRLKGVGLLIIMVGVSLFNWYKYEKLQKRQMSENDLAGSPAANLAAKYVILEEMDDGS >Manes.01G129950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32344540:32359471:1 gene:Manes.01G129950.v8.1 transcript:Manes.01G129950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEINIHTMDHEVNIHNRGKEKENYLPFDIENGCPKEIRSSNSSDDTADSFGNHEKSPLKSKSPVSAADILKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFAMQAVLSNFITWFWSHRFQLTVSMTWRDYFMRVVPTALGTALDVNLSNASLVFISVTFATMCKSASPIFLLLFAFAFRLESPSIKLSGIIVIISIGILLSVAKETEFEFWGFVLVMLAAVMSGFRWSMTQILLQKEEYGLKNPLTLMSYVTPIMAIGTAFLSLIFDPWYEFEKSGYFDNSWHIFQSCLLMFFGGTLAFFMVLTEYVLVSVTSAVTVTIAGVVKEAVTILVAVFYFHDKFTRLKGVGLLIIMVGVSLFNWYKYEKLQKRQMSENDLAGSPAANLAAKYVILEEMDDGS >Manes.16G094100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30057237:30061521:1 gene:Manes.16G094100.v8.1 transcript:Manes.16G094100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTDSGAGLEVHNPLQSICYKRGSLQLLDQRKLPLETVYLDIQHAEDGWSAIREMVVRGAPAIAIAAVLSLAVEVFNLDDFNGTSDDAASYLFKKLEYLVSSRPTAVNLSDAATKVKEVILKAAATASDAKKVFQAYIEAAENMLEDDVASNKAIGTHGAIFIQNQLKDSDRLSVLTHCNTGSLATAGYGTALGVIRALHTEGVLNRAYCTETRPFNQGSRLTAFELVHDKIPATLVADSAAAALMKDGQVSAVIVGADRVAANGDTANKIGTYSLALCAMHHKIPFYVAAPLTSIDLSLSSGKQIIIEERSPKELLNSHGGLGEQVAASGISVWNPAFDVTPAELISGIITEKGVITKINNGDFDIKNFVKRAAGQSVA >Manes.08G007500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1038074:1043974:1 gene:Manes.08G007500.v8.1 transcript:Manes.08G007500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRAEKIRIQKWYTVYKDHITLKDYEIHDGMGLELYYN >Manes.13G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3150260:3152810:-1 gene:Manes.13G021800.v8.1 transcript:Manes.13G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYTLCLWMPVFLLPFLLLIKRNMKVECENKQLPPSPHKFPIIGNLHQLRGLPHYSLWQLSKKYGPVMLLQFGRVPAVVISSADAAEEVLKVNDLLCCSRPPLAGAGRLSYNYLDIAFAPYGDYWREIRKICVLELFSTKRVQSFRFIREEEVALLIDSISQFSSSANPVDLTEKFLTLSANITFRMAFGTNFRETDFEKDRFKRLVDDAQALLGSFSANEYFPHLGWIFDKVSGYHTRTERVFHELDTFFKRVIDDHIELGHGRTDKGEEDIIDVLLRTEKEQTGVGSIQLTKDHIKAVLMDLFLAGTNTSAVVLIWAMSELARNPRVMKKAQQEVRDIIGNKARVTESDIGELHYLKLVIKETLRLHPPGPFLLPREAMSKFKINGYDIYPKTLIQINIWAIGRDPKYWKDPEEFFPERFLDSSIEFKGQDFEFLPFGAGRRGCPAIYMGTISVELVLANLLYYFDWKLPNGGEGINMEEKAVPSLTVSKKEALQLVPVNFLQ >Manes.06G099100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23302320:23305031:-1 gene:Manes.06G099100.v8.1 transcript:Manes.06G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCTTGGGGGGAGGGAREIMLFGVRVVVDSMRKSVSLNNLSQYEQPQECNKSDIHKDGTNNNSKEDVAAATAAGYASADDAVPHSSNSRGERKRGVPWTEDEHKLFLIGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDTVSAFPMEEEQAHCQDNKNITVQSHPVPPPLPETNNIGNFHVMPAFPMTTSPVVLPVPIESPTKNLSLGQSKGATNLAANLIRPIPALPSPHASGISDLYLNLKSSEDPSSLSLKLSLPCDERESSSMQSVFQVMSGLNNGDSMISVA >Manes.14G014900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1097164:1100159:1 gene:Manes.14G014900.v8.1 transcript:Manes.14G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSRVPPIAYPTSIIVGYRLVSEKILVSVMAFLPPFFICFLFPLLLAQPFSATVQTYQNISLGSSLTAMNDDTSWPSPSGEFAFGFQKIENGCFLLAIWFDMIPEKTIIWSANRNNPVKRGSKVTLTGDGRLVLNDRTSKFIWEADTAGRRPHFAAMLDNGNLVLANYDSNNLWESFSYPTDTLLPTQSLSQGSKLIARYSSKDYSTGRFVLELHSDGNLKLCTTAFPLDYADSVYWSSNTDGSGFRMIFNQSGEIYLEAKNKSILVMFSQNVPSTQDFYHRAILELDGVFRHYVYPKQPSLHDSVWPMKWSPVSFSPPNICLQLTENTGSGACGLNSYCILGDDHRSNCKCPPGYTFLDQDDVMKGCKQDFVSQNCEEASQAEDLFYLEAKENTDWPTSDYEHFMMVSEDWCRKACLSDCFCAVAIFRNGECWKKRIPLSNGRADSSVGGKALIKVRRDNSSFQPDSSRNGHRSALLIIVSLLFSSSVSLNFLQLLGAFLAVFCFGYGKTKKIQSETTMQGINLQSFTYSELEKATDKFKEEIGRGGFATVYKGLLAFDNGTVVAVKNLDTMMRENKKEFETEVRAIGRTNHKNLVQLIGLCNEGEHRLLVYEFVSNGNLANFLFGNSRPSWYKRMKIAFGIARGLFYLHEECSTQIIHCDIKPQNILLDDSFTARISDFGLAKLLKAEQTRTSTAIRGTKGYVAPEWFKNLPVTVKVDVYSFGILLLELISCRKNFEPEVEDENQMILADWSCDCYKEGEVELLIQNDEEAMQDIKRVEKFVMIAIWCIQEDPSLRPTMKKVTQMLEGAVEVSVPPDPSSFISSVGSFL >Manes.05G010400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:947910:956290:-1 gene:Manes.05G010400.v8.1 transcript:Manes.05G010400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFGGEGEAQAHHFCGMSATEMRAVGKRSLEWDLNDWKWDGDLFIASPLNPVPSGGMGRQFFPVATGIPVNGNSSNSSSSCSDEVNLGIEQGKRELEKRRRVIVIEDDNLNGEEVGSLSLKLGGHGYPITQREIRSWEGNSGKKTKLAGGSMSRAVCQVEDCGADLSNAKDYHRRHKVCDLHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPEAAGNGSSLNDDQTTSYLLISLLRILSNMHSNRSDQVTDQDLLSHLLKSLGSHTIEHGGRNISGLLQESRDLLNDGNSEQVGHAHGANGANMQTSPVKPSILNNYPAYSEVRDTTVGQVKMNNFDLNDIYVDSDDGAEDIERSPVVTNMMTSSLDCPSWIQQDSHQSSPPQTSRNSDSASAQSPSSSSGDAQSRTDRIIFKLFGKEPNDFPLALRAQILDWLSHSPTDIESYIRPGCVILTIYLRQADATWEELCCNLSSSLGRLLDVSDDAFWRTGWVYIKVQHQVAFVCNGKVVVDTSLSLKSNNCSQILSVKPIAISASEKAQFVIKGINLSRPTTRLLCAVEGKYMFQKDTEELMGRVDNLKGHKELQCVNFSCSIPTVSGRGFIEIEDHGFSSSYFPFIVAEDDVCSDIRMLEGVLEFAETDADGSGKMVAKNQAMEFIHEIGWLLHRSQLKSRLDHLDPYTDLFPLKRFKWLMEFSMDHEWCAVVKKLLNILLKGVVGTGEHSSLDLALSEMGLLHRAVRKNSRSLVELLLRYAPEKTVPENKLLIGGSHESSLFRPDATGPAGLTPLHIAAGKDGSEDVLDVLTDDPGMVGIEAWKSARDSTGFTPEDYARLRGHYLYIHLVQKKINKRSAVGHVVLDIPEALSDCSTKQKQNEEVSTSFEIAQTAKRPIQRPCKLCHQKLDYGTAGRSLLYRPAMLSMVAIAAVCVCVALLFKSSPEVVYVFRPFRWELLDYGTS >Manes.05G003500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:330514:331815:-1 gene:Manes.05G003500.v8.1 transcript:Manes.05G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAGLNLSTPRVLAKATEAPKAQPIFKLNNPWKRAYRLGSGRMQMGAVRAAPDSISEKVEESIKSAEEACAGDPASGECVAAWDEVEELSAAASHARDKQKQSDPLENYCKDNPETDECRTYDN >Manes.11G113500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26636681:26640630:1 gene:Manes.11G113500.v8.1 transcript:Manes.11G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRTTFDSVGRWLDELKTHSDTTVARMLVGNKCDLENIRDVSVEEGKSLAEAEGLFFMETSALDSTNVKKAFEIVIREIYNNVSRKVLNSDTYKAELSLNRVTLVNNGTDGSKKSQNYFSSCCSR >Manes.11G113500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26636723:26639921:1 gene:Manes.11G113500.v8.1 transcript:Manes.11G113500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRTTFDSVGRWLDELKTHSDTTVARMLVGNKCDLENIRDVSVEEGKSLAEAEGLFFMETSALDSTNVKKAFEIVIREIYNNVSRKVTSVLLISKWHTSSGLFHGWFVDSIWLVGCFIKVL >Manes.13G013123.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2015610:2018283:-1 gene:Manes.13G013123.v8.1 transcript:Manes.13G013123.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFEFYIYCNSLLIMFSFFSVSNAHGSAQFSASGQLSFESSMQGKHSASGSASQQSSLEQSSQGKVDVGQQSSSGQSAQMSASASGGKEASASASKEASASASGEKEASASSSGSKEASATGSASASGEKEASASASKEASLSASGSVSGSVSASGKKEASASSSKEASASASGSVSASKEASVSASKEGSASASGSVSASKEASASASGSVSASKEASASASKEASASASSEKEASASASGSASASKEASASASKEVSASGSGSVSASKEASASASGSKEDSASKEASASASGSASASASGSGSVSASKEASASGSGSVSASKEASASASGSKEDSASKEASASASGSKEASASASKEASASGSGSVSASKEASASASGSKEDSASKEASASASKEASASASKEASASASKEASASASKEASASGEASQSTTSSSQQSQGSANASSQQTQESQSSATLSSSSSSSLNVSLKGQFKKLYAFGDSFTDTGNAATIQGVKSFAGKLIKNSALAKSAGQRMCNGRLLVDFLCDDIGLPSLHAYKEASGDFSAGVNFAVAGSTCLTADLFSTNKITHSFMFKKKPENTLTQIDWFNKFIMGHDCKGMDEAQCKSHLSNSLFWVGAIGFSDYARIFGSAISGKSIAEASTDHVGKILKAVLDRGARYAIVQGLPPAGCCPLQLLLNPPKERDSMGCSSGLNALVQAHNELLQKKLGEFRAQYKDAVVIYADTWKAYKTILVNHKKYKFEEPFKACCGAGGGPLNCNLHSLCGSTGSSTCKNPDNYISWDGIHFTEAMHKRLAELLFQEDFCSPTFEVMIEKKVKASVTVKTAAAA >Manes.08G173800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40822500:40825380:1 gene:Manes.08G173800.v8.1 transcript:Manes.08G173800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLKSFWVLLNKINHCPHPGQSISVLQLIKINVSGKHSPMSIWAHLCFNRKLALQSPPTSPSSPASLPSSSISPYVRNAAMSLLRNCSELHLSAPAPSPIPTGTGSRSACNEILSDYLAKSLKVPDLSLPHLHPPLNEADHIPAEIENPSLELRDYETIDRLLRSAREFGAFRITCHGIFGDELRSFVHEADRIFQDVEQADIGLRGKSSGRNQTKEQIAWVRSRKERTKCISNYFLPEKYQDFSEKMDNIAIKLDAIAELLGNIFVENIARMQFGKRIQGNESVLSLYRYNHSDNMLQHLSTLNERNSKPCDFTFCLHLPATPSRFFLRSAHGPLSFDAGPDTIVVIVGHRIEEWSMGDFICVCKEVICVPHLQESQARLSIELKCLSLNFDPNSKKICNAISIRDQILFVLVIGYLYKIYVFLFS >Manes.03G132700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26019344:26023929:-1 gene:Manes.03G132700.v8.1 transcript:Manes.03G132700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MOCS3 MESNGGDAGRILAEIQSLKASKTDLDNRIAALESQLRLLNFHNDTVSSNGSCPSISNPDFAFGHDLSPDMIYRYSRHLLLPAFGVQGQSNLLKSSILVVGAGGLGSPALLYLAACGVGRLGIVDHDVVELNNMHRQVIHTEAFIGQPKVKSAAAACRSINSSILIVEHQEALRTYNALEIFSQYDIIVDATDNAPSRYMISDCCVLLGKPLVSGAALGLEGQLTVYNYKGGPCYRCLFPTPPPTTACQRCADSGVLGVVPGVIGCLQALEAIKIASGIGEPLSGRMVLFDALSARIRIVKIRGRSLQCEVCGENAALTQQQFKDFDYEKFTQSPLATAPLKLNLLPADSRIDSGEFNEKIVKGEPHVLVDVRPAHHFKIVSLPNALNIPLSSLEGQLPEISSALKEERERRGIESESGVSLYVVCRRGNDSQRAVQLLHKKGFSSARDIIGGLEAWANDVDPNFPTY >Manes.14G012210.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:825358:827109:1 gene:Manes.14G012210.v8.1 transcript:Manes.14G012210.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIFKVNLFHHGHTQIIRQQIIRKKARKMNSNSANTRPDMDDDDDEEEEVALPGFRFHPTDEELVGFYLRRKVDKKPLSIELIKQVDIYKCDPWDLPKPSSVGEKEGYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPVYSHGGEGRDCIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPTNDSTTTTNLANVKSSTQEAEVWTLCRIFKRNVSHRKYTSDWRELSNKRQPAAIDTSSKTCSVDSNSRENYLSFGAPHIQYSDKKPVLNHVNERKQLHAEQLSSITKPASSMATSSNIQSPYWNEAFTYGDWDELRSVVEFALGSPFL >Manes.02G158900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12428897:12435496:1 gene:Manes.02G158900.v8.1 transcript:Manes.02G158900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLPGFFIRSKKGGPMDPKNRRRPRLDRRNAAKHIEYDASSYSSSLDDLSSSSLVTRSLDLPDRTSFRIEGTEGEFDRICRSLGLSGLEDFEIPAAAWEAMKVRSASDLLPRSRFHEADSPRIGDEKQQHQQLQEQEDSKLCARVLYSVSISDAAELTPDEPVQPAKLNACCGPAGGIKGARPPLLKPPPSMTLPVIDNACSTWDLLRDFAPQNNRGSRSLAWASDDEEGGLSEHGLGATAIKRGEEDNLLRVGTIAALSESCSFTTSNDDDSSSTTTELMSSISPNERFRRIINYWEKGELLGRGSFGSVYEGISDDGFFFAVKEVSLLDQGSQGKQSIYQLEQEIALLSQFEHENIVQYYGTDKDESKLYIFLELVTKGSLMNLYQRYNLRDSQVSAYTRQILHGLKYLHDRNVVHRDIKCANILVDANGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVNRKNQGYGLPADIWSLGCTVLEMLTRQIPYSHLECMQALFKIGKGDPPPVPDTLSQDARDFILQCLQVNPNDRPTAAQLLEHSFVRRSLSTSSSGSASPYIGRRT >Manes.13G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3210241:3217808:1 gene:Manes.13G022300.v8.1 transcript:Manes.13G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWGTIGDWAADVEREEQEAAAAAASGGASAESQNFPSLREAVSAKPKKKKMSLNEFHTSSGGLGGGRALESKGLTTDEMLRLPTGPKERSAEEMQYGRLGGGFSNYGRTGPPPGRTRDRDDNDGSWGGGRRQYGGIDEERRGPPPRVSDYDQPSRADEVDNWAMTKKPLQSFDSGHQNRYGTLGGGVGGGSRADEVDNWGSAKRPLTTTRSSTFGSGFRDSGREPDRWTRGGNREPDRERPRLVLHPPKADLDANESVKTIKPNPFGAARPREEVLAEKGLDWKKLDIEIESKKTSSHSSRPTSSHSSRPSSAHSARSEGSGMQQGLENVALKPRPRVNPFGEAKPREVLLEERGQDWRKIDLELEHRSVDRAQTEEEKLLREEIEHLKEHQKELTVKGNRESLQGPSQDQSNVQDIISQKEKELEQLIRDLDDKVRFGQKATDRPGSGAGRSASFSERPHSQSGSFDESRSTEHMDRPRSRGKPDIWTRPGDDRRAFQGGRERGFVGSRDFDRPRSRERW >Manes.09G063042.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10558794:10561668:-1 gene:Manes.09G063042.v8.1 transcript:Manes.09G063042.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQKAYMSFTVTLTFVLLFSYLASSVESHEVEVEDEREFDYVQGSQKGPAHWGEIKKEWGICKTGKLQSPIDMANNRVKLIKEPGDFKRNYKACNSIIKNRGHDISLQWEEDKAGTVEIDGSQYFLQQCHWHSPSEHTINSKGYKMEMHMVHLSTDPKVKNNIAVVGLLYEIGPPDAFLTKLLTDIKSLTDQMPEKSVGMINPTEIKMDGKEYYRYLGSLTVPPCTEGVIWIINKKISTVSEDQVKALRDAVHDYAEKNARPIQPLNEREIKLYGIVGPSAEFGASSLACNRI >Manes.17G108600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31523452:31524691:-1 gene:Manes.17G108600.v8.1 transcript:Manes.17G108600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRLLLLVTIFSFLHYLYVSSFEYQVGDHKGWAVPPANDTRIYNDWASENRFQVGDTVRFKYKKDSVMKVTEEEYKECNSSHPSFFSNTGNTLYKLNHSGPFYFVSGVSGHCERGQKIIIKVMAPEEDYPSHGGAKKSAASRSLSLPSGVFNLATCVQLLLSYVGSHVFF >Manes.10G036329.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3830890:3831402:1 gene:Manes.10G036329.v8.1 transcript:Manes.10G036329.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFIPSKLFFQEIVRLHGVPKTITSDRDVKFLAHFWVTFWKCFGTELRYSSAAHPQTDGQTEVVNQTLGNLLRCICSNKKTAWDLALAQAEFAYNSAIHSSTKMSPFAIVYRKVPAHTVDLIALPTGYHNSLAASNLAKQQVDIFKQVQQCLTEANDKY >Manes.08G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2712299:2719500:1 gene:Manes.08G028200.v8.1 transcript:Manes.08G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDNFYLTDEQLQNSPSRKDGIEEATETTLRIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFDVKKVAASCVWLASKLEESPRKSRQVIIVFHRMECRRENLPIEFLDLTSKKFAELKVELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLTNDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLAHLYSLPKAKYISVCKDGDFSFSSKSSDSQVQPVPKEVPQVGSPANDVVAPKSAPPVVSAESGGSKGAIKAALDKLKEAKKNDDESKSANGEARDEPIPRSKSEHRTEASGDRSKERDRDRDRDRDRERERDRMKVQDRERGRDSDREREREETERDRDKSKDRVHRAKDRGKDSGHLEKSRYLSSRDRDYHSSSYSSREKDRHRHHSYG >Manes.17G023547.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:14461899:14462234:-1 gene:Manes.17G023547.v8.1 transcript:Manes.17G023547.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNTNISQHGLDMTPRLPLSVPGPHLGGSHRTFNGARSTESSHRTFNGARSTESSHRTFNLIIWCPTLHGLTQDSSIKCPALKGLTQDFQDDRSMGYWRRLGPSTLSYSS >Manes.15G188900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:30769009:30770167:1 gene:Manes.15G188900.v8.1 transcript:Manes.15G188900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYIDEVEVWKCPKHPSKKRRTGICHVCLRERLSSLCPDCANVRPCGCSAATTSSTSSSSSSSHFSSTAGIGTVGRVSSLIESEPSFRRSRSLAIPFLRSKPPVDHDCSVRKDNRKTASPFWSLFKSSSSSGNTSNRGDIERNNAVLLEQEDSNKKKNEEERKKMMRKSRSVAATVTAESRSVDVKSSKGKGWYFPSPIKAFKQSTSRGIMVQERSPLYRG >Manes.10G039000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4003741:4006504:1 gene:Manes.10G039000.v8.1 transcript:Manes.10G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVADKFSTNKDTSPFYTQAMELHHLSSRADNGASPSLVQMFNRVGDVRRETTGDGSETPVHQVLELADTNTEAPRSIPFILSFNNLTYNVKVRQKITLSGILNRRRHHHLGPVTSAEPAVGESIFTTTKTLLSDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGRLKGTIKLNGEGLESRMLKVISAYVMQDDLLYPMLTVQETLMFSAEFRLPRSLSKSKKKMRVQTLIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAFMVVKVLQRIAQTGSIVIMSVHQPSYRILGLLDRLIFLSRGQTVYSGPPMHLPSFFADFGHPIPENENRTEFALDLIRELEGSPGGTKSLVEFSKSWQNMKHTPNTEPDRHGLSLKEAISASISKGKLVSGATNNDAASNSTVPTFANPIWIEMAVLSKRSVINSRRMPELFGTRFASLSITGFILATVFWHLDDSPRGVKERLGFFAFAMSTTFYTCADALPVFLQESYIFMRETAYNAYRRSSYVLSHALVSLPSLIFLSFSLAAITFWSVGLDGGFSGFLFYFLIIFASFWAGSSFVTFLSGVVPHVMLGYIIVVAILAYFLLFSGFFINRDRIPPYWIWFHYMSLVKYPYEAVLQNEFQDPTKCFVRGVQVFDNTPFGSLPSSVKEKLLQTLSTTLAMNISSSTCVTTGSDILKQEGITDLSKWNCLWVTVAWGFLFRILFYLALLLGSKNKRR >Manes.06G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1886313:1890344:-1 gene:Manes.06G012200.v8.1 transcript:Manes.06G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKCFPLFFILMIIHFAVSASMDTIAINQTIEDGGFLISKENNFVLGFFSPGNPKYRYLGIWYYKVREQTVVWVANRNHPINGSSGVLSVNQYGNLVLYSNHSRKVPVWSANVSREVTKTDTCLAQLLDSGNLILVQERSGRVLWESFDYPTDTQLPGMKLGLNRETGIHQFLTSWRSADDPGTGDYVLELNLKGSPQGILYKGTKRYWRGVPWPVKNYVNRQNFSFVHNQKETFFTFFPVDASLILRTKLDYSGLILHLTWHESEGKWKELRSAPRNLCEFYGHCGPYSMCNPLYLYPKFECDCLPGYEPQSPRDWNFLKDGSGGCVRKRKESSSLCNQGEGFVQVTGVKVPDTSEAVWLGLNMSPVDCELQCKRNCTCSAYSSTSISGKETGCLAWYGELTDTVIGIAEGSDIYVRVDALELAEITQKSNGFLVKKHLLAILLVSIFSAWFVIILFAYLWFKKKRKTVRNKWNAKLFNTINDPYYIENEDGGGVSYPEIVYFKLSTILSATDNFSLANKLGQGGFGLVYKGQLSNGREIAVKRLSKSSGQGIKEFENEVLLMAKLQHQNLVKILGCCIQGEEPMLVYEYMPNKSLDSFLFDETRRLILDWRKRFDIIIGIARGILYIHQDSRLRIIHRDLKTSNILLDKEMNPKISDFGLARVFKGDQSLEKTNKIAGTFGYMSPEYVVFGKFSTKSDVFSFGVILLEIVTGKKNNSFCQEDSYLSMAGKIWHLWKEERALEMVDSSLKESCSAHEVLRCIQIGLLCVQEDAFERPSMSAVVVMLNSEISLPSPRQPPFTFRKPSNSYSPLVTQKEFYSVDKETITEVVCR >Manes.06G012200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1881399:1890292:-1 gene:Manes.06G012200.v8.1 transcript:Manes.06G012200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKCFPLFFILMIIHFAVSASMDTIAINQTIEDGGFLISKENNFVLGFFSPGNPKYRYLGIWYYKVREQTVVWVANRNHPINGSSGVLSVNQYGNLVLYSNHSRKVPVWSANVSREVTKTDTCLAQLLDSGNLILVQERSGRVLWESFDYPTDTQLPGMKLGLNRETGIHQFLTSWRSADDPGTGDYVLELNLKGSPQGILYKGTKRYWRGVPWPVKNYVNRQNFSFVHNQKETFFTFFPVDASLILRTKLDYSGLILHLTWHESEGKWKELRSAPRNLCEFYGHCGPYSMCNPLYLYPKFECDCLPGYEPQSPRDWNFLKDGSGGCVRKRKESSSLCNQGEGFVQVTGVKVPDTSEAVWLGLNMSPVDCELQCKRNCTCSAYSSTSISGKETGCLAWYGELTDTVIGIAEGSDIYVRVDALELVRNKWNAKLFNTINDPYYIENEDGGGVSYPEIVYFKLSTILSATDNFSLANKLGQGGFGLVYKGQLSNGREIAVKRLSKSSGQGIKEFENEVLLMAKLQHQNLVKILGCCIQGEEPMLVYEYMPNKSLDSFLFDETRRLILDWRKRFDIIIGIARGILYIHQDSRLRIIHRDLKTSNILLDKEMNPKISDFGLARVFKGDQSLEKTNKIAGTFGYMSPEYVVFGKFSTKSDVFSFGVILLEIVTGKKNNSFCQEDSYLSMAGKIWHLWKEERALEMVDSSLKESCSAHEVLRCIQIGLLCVQEDAFERPSMSAVVVMLNSEISLPSPRQPPFTFRKPSNSYSPLVTQKEFYSVDKETITEVVCR >Manes.09G182500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37051250:37057417:-1 gene:Manes.09G182500.v8.1 transcript:Manes.09G182500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPSHSSSSSVRSRHSARIIAQTTVDAKLHAEFEESGSSFDYSNSVRVTNSVGDQLPRSDKVTSAYLHQIQKGKLIQPFGCLLALDEKTFKVIAYSENAPEMLTTVSHAVPSVGDQLVLGIGTDIRTIFTAPSASALQKALGFGDVSLLNPILVHCKTSGKPFYAIVHRVTGSFIVDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMTYKFHDDDHGEVISEITKPGLEPYLGLHYPATDIPQSARFLFMKNKVRMIVDCRAKQVKVLQDEKLPFDLTLCGSTLRAPHSCHLQYMENMDSIASLVMAVVINEGDEDDDSPTSVQTQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLVQVFAIHVNKELELENQIVEKNILRTQTLLCDMLMRDAPLGIIVQSPNIMDLVKCDGAALLYKNKIWRLGATPSDLQIEDIAVWLSEYHMDSTGLSTDSLYDAGYPAALALGDVVCGMAAVRITSKDMLFWFRCQTAAEIRWGGAKHEPGEKDDGRRMHPRSSFKAFLEVSKTRSLPWKDYEMDAIHSLQLILRNAFKDIESVDMHTKTIHSRLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNTKIADLTGLPVDKAIGKHLLTLVEESSFDIVKNMLFLALQGKEERNVQFDIKTHGSKAEDGPISLIANACSSRDINENVVGVCFVAQDITSQKTVMDKFTRIEGDYKAIVQNPNPLIPPIFGTDEFGWCSEWNPAMTKLTGWKREEVIDKMLLGEVFGINMACCRLKNQEAFLNLGIVLNNAMTGAEPEKVSFSFSARNGNYVECLLCVSKKLDREGAATGVFCFLQLASLELQQALHIQRLSEQTALKRLKTLAYIKRQIRNPLSGIIFSRKMMEATELDAEQNQLLQTSAQCQRQLGKILDDSDLDSIIEGYLDLEMVEFTLREILVASISQVKMKSEGKGIQVSHDASEEIMTEILYGDSLRLQQVLSDFLLVSVNYTPTGGQLIIATNLTKDQLGQSVQLVHLELRITHTGGGIPETLLNQMFGSDVDASDEGISLLISRKLVKHMSGDVLYLREAGQSSFIISAELAAGCKPKS >Manes.04G046101.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7231719:7235166:-1 gene:Manes.04G046101.v8.1 transcript:Manes.04G046101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLLNMIPQFYPAMCLVARAICGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNFMPIRYSYSDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQEGSISLSWEKLYEISLGVARGIEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAARGTIGYMAPELFYKNIGRVSHKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSNGKLTIEDSSEEENILARKMIITGLWCIQMQPCNRPPMNKVLDMLEGDLRSLELPPRPVLYPIESMTIDEGESSSKSSEVK >Manes.04G046101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7228827:7235165:-1 gene:Manes.04G046101.v8.1 transcript:Manes.04G046101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTETMSISVYLNSTIGEIVIFVFLLISQTCKGGDSNQCPPSSCGKNHNISYPFRLQTDPKNCGNHSYEVSCENNLTVLNLNGGRYFVQSINYDNFTIRLVDAGVHPDNCSSIPRFPFIYDLSERYSTYRYQWSETEERKWKKLRQLPELSQMIMFIKCQNPVKSPLYVETAPCLNSSYVNIGDMKANDLMELCSVEMISLFPLFPAKKNMSFLEIHRQLAFGFQLSWHSIYCGKCHPYACYLDSRKGIRCLIWWKENFLDIIVWMLSSSLICLAMCLVARAICGAPCVIAFLIYKWRRRHLSGYETIEEFLQSHNNFMPIRYSYSDIRKITRGFKEKLGEGGFGFVYKGKLRSGKFAAIKMLGKSKANGQDFINEVATIGRIHHTNIVKLIGFCVEGSKHALVYEFMSNGSLDNYIFCQEGSISLSWEKLYEISLGVARGIEYLHQGCNMQILHFDIKPHNILLDENFTPKISDFGLAKLYPTKGSIASLTAARGTIGYMAPELFYKNIGRVSHKADVYSFGMLLLEIAGKRKNLNALAENLSQVYYPFWVYDQLSNGKLTIEDSSEEENILARKMIITGLWCIQMQPCNRPPMNKVLDMLEGDLRSLELPPRPVLYPIESMTIDEGESSSKSSEVK >Manes.01G267900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42196376:42196882:-1 gene:Manes.01G267900.v8.1 transcript:Manes.01G267900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIRGFRIGKRLVGISRWIFRRNRSSSSYNRLYSPGQTCRPKPFAKLINWYRRFTTEAKSLCSGIPRLDYIPVGEEPIPENSLTVPKGHLAIYVGQKDGDFHRVLVPVIYINHPLFGELLRGAEDEYGFNQQGGITIPCRYSDFERVQTRISAGSGRRKLAWKPNHN >Manes.01G061550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25840573:25841639:-1 gene:Manes.01G061550.v8.1 transcript:Manes.01G061550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNSTLSLRSILEKDKLKENETNFIDWFRNLRIVLKQEKKSYVLDEAIPEPPLADATNAVKNKHKKHMDDSNDIGCLMLATICPEHQKDLEHLEVYEMSVHLKQTFQQQARQDRYETTIALHDCKMAEDENFARLGYPLSLELFTDMILHSLSSSFSHFVMNYNMNNMEKSIPELHGMLKTVEVNVKKRPTQILNVNKGKPMKNKGKPKSKGGNGPKGRGKPKQQDKAKVPKEIVPKEGICFHCKEPGHWKRNCKLYLDECKKNKSNINLSISTSWVLDTEYGSHICTNVHGLKRSRKLKKGDVDLRVGNGARVVALAVRTYELVLPNGFC >Manes.06G058801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15987188:15990094:-1 gene:Manes.06G058801.v8.1 transcript:Manes.06G058801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKKENKHPNLLSPKPLLLLLLALSLLCFFFFFFFSSRSPSNPNPSLSVSETIYQTQTTVYPIPPFDCLKSPQAHPVVANIVENLKYPFLYSLSDFGSLPDKPHKNIVRLLKGKPFRKPDISVTIQQLLEGKKGRDGLVVDVGANVGMASFAAAVMGFKVLAFEPVFENLQRICDGIWFNRVGNLVTVFEAAVSDQIGNITFHKLVGRLDNSAVSATGAKLAFKSNEEIAVQVRTIPLDELIPESEPVLLLKIDVQGWEYHVLKGASKLLSRKKGEAPYLIYEEDERLLQASNSSAKEIRDFLQTVGYHQCTQHGTDAHCSKD >Manes.05G130700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23345693:23356695:-1 gene:Manes.05G130700.v8.1 transcript:Manes.05G130700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHPSSQLLTKLIRNSEISVDMDPYKNRPSSGFNSAFWTTNYGAPVWNNNSSMTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHNVSHLTCADFLRSPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDVVHAFKPNPKSHIQESWRIFDFLSHVPESLHMLTFLFDDLGIPQDYRHMEGSGVNTYTLINKAGKVHYVKFHWKPTCGVKCLLEEEAVKVGGTNHSHATQDLYDSIAAGNYPEWKLYIQTMDPEHEDKFDFDPLDVTKTWPEDILPLQPVGRMVLNKNIDNFFGENEQLAFCPGIIVPGVSYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHSEKYPIPSAIYSGKREKCVIEKENNFKQPGERYRSWAPDRQGRFLGRMVDCLSDPRVTHELRSIWISYWTQCDRSLGQKLASRLNVRPSF >Manes.05G130700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23346608:23350192:-1 gene:Manes.05G130700.v8.1 transcript:Manes.05G130700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKNRPSSGFNSAFWTTNYGAPVWNNNSSMTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHNVSHLTCADFLRSPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDVVHAFKPNPKSHIQESWRIFDFLSHVPESLHMLTFLFDDLGIPQDYRHMEGSGVNTYTLINKAGKVHYVKFHWKPTCGVKCLLEEEAVKVGGTNHSHATQDLYDSIAAGNYPEWKLYIQTMDPEHEDKFDFDPLDVTKTWPEDILPLQPVGRMVLNKNIDNFFGENEQLAFCPGIIVPGVSYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHSEKYPIPSAIYSGKREKCVIEKENNFKQPGERYRSWAPDRQGRFLGRMVDCLSDPRVTHELRSIWISYWTQCDRSLGQKLASRLNVRPSF >Manes.04G151700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34740713:34743349:-1 gene:Manes.04G151700.v8.1 transcript:Manes.04G151700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITFMDCSSSTTARLFPFTRTTFRHNSVLFLRRLVRPLSMRSTSLRTLTVGSSSVSSVPAINAAIGSEAGAKVIDGKLVAKKIREEIAAEVSRMKEEIGVVPGLAVILVGDRKDSATYVRNKKKACESVGINSFEVHLPEDSAEQEVLKFISGFNDDPSVHGILVQLPLPSHMNEQNILNAVSIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYGVQIKGKRAVVIGRSNIVGMPAALLLQREDATISIVHSRTKNPEEITKQADIIISAVGQPNMVRGNWIKPGAVVIDVGINPVEDAKSPRGYKLVGDVCYEEACKVASAVTPVPGGVGPMTIAMLLSNTLCSAKRAHNFQ >Manes.05G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5305391:5308447:1 gene:Manes.05G063300.v8.1 transcript:Manes.05G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPEVKWAQRVDKVFITVLLPDAKNAKVNLEPEGVFTFSASAGAGDNLYELKLELHDKVNVEESKINIGVRSIFCILEKAEKGWWKKLLRGDGKPPHYLKVDWDKWVDEDEDDGGLGNNFDMGGMDFSNFGGMGGMGGMGGMGGMGGMGMGDDGMGEFDDSDDEDQEVAKPDKAEGAAKTDEKENVEEKKEAAPSG >Manes.08G090750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:30585484:30588738:1 gene:Manes.08G090750.v8.1 transcript:Manes.08G090750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRSNSRWVFINLLHGVLVLFMNSGLESATAAATPGNETDILALLDFKNLVTQDPLRVMSSWNDSVHFCNWIGVSCSSSNDRVITLNLNSKKLAGSIPPSIGNLTHLTVINLYENSFSGELPQEMGRLWQLQHLNLTHNSFVGKIPSNLTHCKELTVIEASGNNLVGEIPEQLISLSKLVVFAFGRNNLTGKIPTWIGNFSSLFALSLALNNFVGNIPNELGRLSSLGLFQLYGNYLSGTVPSSVYNLSSIYYFSVTQNQLHGQLPQDVGLTLPSLRIFAGGVNNFTGVIPVSLSNASGLQVLDFAQNSLTGNIPRNLKNLQSLYRLNFDENNLGNGEIDDLNFLSSLANCTSLEVLGLAQNHYAGELPSTIGNLSTNLQIFTIGWNLIHGSIPVGIENLVNLYSLGLEGNYLSGNVPSAIGKLQNLGALHLNLNRFSGSIPPFIGNLTRLTRLFMEENRFEGSIPESLGNCKNLQNLNLSSNNLNGSIPKQVIGLSSLSISLVISNNSLTGSIPSEVGNLHNLVELDLSHNKLFGEIPSSLGTCSSLERLHLEGNELGGTIPESLKDLRGIEELDLSSNNMSGEIPEFLSKLRDLKYLNLSFNDFEGEVSGEGIFSNASAVSISGNDKLCGGIPDLHLPSCSKKKKEKPLNLKVIISVTIAVLFAIAILCYVVIFCMTNSKAPPSEDKHVGMSYSEIVKSTNGFSAESLIGSGSFGSVYKGTLSDDGKMVAIKVMNLQQRGASKSFIDECDALRSIRHRNLLRIITACSTIDHQGNDFKCLVFEFMANGSLDTWLHPRADEQDQTKRLSFIQRLNIAIDIASALDYLHHYCETPIVHCDLKPSNVLLNEDMTAHVGDFGLATFLLESSNNPSKSEAISVLLKGSIGYIPPEYGLNDQVSALGDVYSFGILLLEMFTGRRPTDDMFKDDLSIHKFVAMALPENAMDVIDPRMLDEETNEEEEIITNSNAQGNASRTQECVVSAMRIGVSCSSSSPRERMAISSVVNKLHDIRDSFLR >Manes.11G129400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29383602:29392421:1 gene:Manes.11G129400.v8.1 transcript:Manes.11G129400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDDDLMIETSYVVNNRPPIKEIALAVSLLVFGVVGIVLGIFLASNKIGGDHAHGLFFAILGMVLFIPGFYYTRIAYYAYKGYKGFSFANIPPV >Manes.11G129400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29383595:29384879:1 gene:Manes.11G129400.v8.1 transcript:Manes.11G129400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSITDDDLMIETSYVVNNRPPIKEIALAVSLLVFGVVGIVLGIFLASNKIGGDHAHGLFFAILGMVLFIPGFYYTRIAYYAYKGYKGFSFANIPPV >Manes.14G022200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2170926:2173770:1 gene:Manes.14G022200.v8.1 transcript:Manes.14G022200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPNIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSARPSGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGDFGGEKGGAPADFQPSFRGSGGRPGFGRGGGGYGGAQSSSAGFA >Manes.14G122228.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9608356:9660724:-1 gene:Manes.14G122228.v8.1 transcript:Manes.14G122228.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSVSSVEEPMNKIKTSHKQSPVSSVEAGKTTKAAISATSNLLPTTGSIRKRGEPKSSSDSSSNVNKPTITASSRNSNSIPVIKRNGAGGVPGKSSTVKRQNSVITVAGKRTTASSDPVKRSLPELRRSSLPSAATKPVTRSSVSEVKKSQSVSATDKSLRSSTGSDVSRPETIKKPSVKPVLSVSSSSKKLSSTSLDSTDSSVGRKTVSKVNSPSARSPSVSSALRTNSLSKSLDRSSNLSGRRSMGTPESRDSRFIVLPRVEIKAGDDVRLDLRGHRVRSLNAGGLNLSPNLEFVYLRDNFLSTLEGIELLKRVKVLDLSFNEFKGPGFEPLENCKALQQLYLAGNQITSLTSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVFAVSKNKITTLKGFPHLPVLEHLRVEENPILKMPHLEAASILLVGSTLKRFNDRDLSHEEVAISKRYPACTALCIRDGWEFCRPENAPDSTLRFLFEQWKDHFPPGYLLKGASVDQPFEEDACRSHFVFIQDTTSVDLELVLRYQWFVGERALSNFAAIPDATGQAYWPKHEDIDKFLKVECTPILEEIQYPAIFAISSPVSRGSGIPKVLNLEVRGELVEGNVIRGYAEVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYRLTLDDIGLSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVTDVKIVGDVVEGSIIKGVGEYFGGREGTSKFEWLRENKETRDFLLVSTGTSEYTLTKEDVGRRIAFVYVPINFEGEEGESVSVLSSVVKQAPPKVANVKIIGDMMENNKITVTGIVTGGTEGSSKVQWFKTNSSTLDGENGLEAVTATKIAKAFRIPVGAVGYHIVAKYIPMTPDGESGEPAYAISEEAVDTLPPSLNFLSITGDYAEGGMLTASYGYIGGHEGKNVYNWYIHEAETDSGTLIPEGSGVLQYCISRDAIGKFISFQCLPVRDDGIVGEPRTCMGHERVLPGSPRLLSLQIVGNAVEGTPLSVDKKYWGGEEGDSVFRWLRTSSDGTQTEICDATAMSYMLSIDDIGFFISVSCEPVRSDWVRGPIVVSGQIGPIIPGPPTCQSLEFLGSMMEGQRLSFVASYSGGERGNCLHEWFRVRSDGFREKLSADEFLDLTLEDVGKFIELVYTPMRKDGVRGNPRSIKSNMIAPADPVGLELVIPTCCEDKEVIPQKTYFGGQEGVGEYIWYRTKDKLHGSALVDICNGCEDVLICSKTLTYTPSLEDVGSYLALYWLPTCADGKCGKPLVTISDSPVNPALPIVSNVQVKELRSGVYSGEGKYFGGYEGASLFSWYRETKEGTVSLINHANSRTYEVMDVDYNCRLLFGYTPVRSDSVVGELKLSESTDIILPELPRVEILSLNGKAIEGGVLTAVEVIPKSAIQQSVWRKYKKDIKYQWFCSSVIGDRDSFELLPSQCSCSYKVRLEDIGKCLRCECIVTDVFGRSSEPSYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRVVAIYTPVREDGVEGQPVSASTEPIAVEPDVLKEVKQKLELGSVKFEALCDKNRSPKKAPGEGSLERRILEVNRKRIKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDQHRLRIVVDSENEVDLMVHSRHLRDVIVLVIRGVAQRFNSTSLNSLLKIET >Manes.08G118400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35769798:35771891:-1 gene:Manes.08G118400.v8.1 transcript:Manes.08G118400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLPPLPMAAEGKTEAKAESQQEANKEVAVEENPESPLKYKTLVLKVSVHCEGCKRKVKKILTNTDGVYTAEIDLRQQKVTVLGNVDADTLIKKLVKAGKHAELWPEKADQKEKKKGKSKNKEKEKEKEKQSDPENGDEDGEKEKETVKTEEIQIQDPSKPTENGSSTHKPEMTNNVGKPSEGGTTSKPAAGGQMKEVTIDEKPPVTTPAGSQSPPPVTDKKGSSENEGGGAEKGGSGGKKKKKNGQKGNTTNVDEGEHSSDAPAGTGSPSQGNGQGPIHYPINQSPPHHHVSHYPPHYYAPPPVYAAHYNTAHPSTSYGASIYPPSYSYVYMHPGTASEPPTSDLDSDLSQPSDSFEIFSDENPNACSIM >Manes.07G091600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28440132:28441661:-1 gene:Manes.07G091600.v8.1 transcript:Manes.07G091600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASYYHHLFFTILALFISLLIFLLSRKTKSKRLNLPPGPPGWPVVGNLFQVAFSGKPFFQYVEDLRPKYGPIFTLKMGTRTLIILTDSKLVHEALIERGPLFATRPPENPTRIIFSSNKFTVNSAVYGPVWRSLRRNMVQNMLSSSRIKEFRNVRASAMDKFIHRLRTEAEANDGVVSVRKNARFAVFCILLSMCFGLEMDEETIINMDQVMKSVLIVLQPRIDDFLPILSPFFSKQRKRVSKVRKEQVDFVVPLIEKRRKALQNPGSDPTAITFSYLDTLFDLKIEGRKSSPTDQELVTLCSEFLNGGTDTTATAVEWGIAQLIDNPTVQAKLYNEIKETVGDRKVDEKDVEKMRYLQAIVKELLRKHPPTYFLLTHAVTEPTTLGGYDIPIDANVEIFSQAIGEDPRIWSDPEKFDPDRFASGGEEADITGVTEVKMTPFGVGRRICPGLGLGTVHLLLMIARMVQEFEWSGYPSDENVDFSEKFEFTVVMKNTLRAKIKPRII >Manes.06G130400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25987962:25991396:-1 gene:Manes.06G130400.v8.1 transcript:Manes.06G130400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHLATCSTASSRLLLLVSSSLSKPFISLSKKLQFSSSSSKPIASPQNSRSISYYRAPMNFLNKLGFGTRSPDPSTMDPTIPQGPDDDLPAAGQQFAQFGAGCFWGVELTFQRVPGVTKTEVGYSQGFFNNPSYEDVCTGTTNHNEVVRVQYDPKECSYETLLDVFWARHDPTTLNRQGNDVGTQYRSGIYYYTPEQEKAARETLEQRQKLLNRKIVTEIIPAKKFYRAEQYHQQYLAKGGRFGFKQSAEKGCNDPIRCYG >Manes.01G057900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24510687:24518198:1 gene:Manes.01G057900.v8.1 transcript:Manes.01G057900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPLCYVGVARASPAFRLMKQMGWEEGEGLGKDKQGIKGYVRVKNKQDTIGVGVEKPNNWAFDTTQFDSILKRLKVQAAHAPDEAVGEKKHTQEETEPGVSNDHQEPVVKATRPQGRYKKRERGKLVHAYSSKDLEGILVKKVQKASHKNNVENELMSAEAFETEIFCPAESKAEEVSQEWWGYKSGFVSGGFLGAQTKKKNRTGNALNGNERTAFLEEDQENLYKLVQDKATAGKQGLGIKDRPKKIAGVHFQGKKTSFGNSDDEDSAADDTDSAGFDSSAEEEFENAPAMKNVDEGKVDNEESADFASLGKRKRDSSPEMKINDEQKVKLKKLCKQLLRQAPGESLKLKKLKVLIEEHSSSFFSNFSSRSEALAFLKQKLEGSRNFSLEGKRVRLTCRRG >Manes.15G114800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9115840:9122093:1 gene:Manes.15G114800.v8.1 transcript:Manes.15G114800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGATLITTCSGAISDWLGRRPMLIISSALYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMKAPSWRLMLGVLSIPSLVYFALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGRDDVSGEMALLVEGLDVGGETSIEEYIIGPANDVTDDQDVSADKDHIKLYGPGQGLSWVAKPVTGSTVGLVSRGGSMANQSVPLMDPVVTLFGSVHEKLPEMGSMRSMLFPHFGSMFSVGGNQARNEEWDEENPTREGDDYPSDAGGDDSDDNLQSPLISRQTTSMEPPAHGSVSSMRHGSLMQGNAGEPVGTEIGGGWQLAWKWSEREGQEGKKEGGFKRIYLHQEGVPGSRRGSLVSMHGGDAPAESEFLQAAALVSQPALYSKELVNHHPIGPAMVHPSETMAKGPSWGDLFEPGVKHALAVGVAIQILQQFSGINGVLYYTPQILEQAGVGVLLSHMGLSSASASLLISAITTLLMLPCIAVAMRLMDISGRRTLLLSTIPILIVSLVVLVIGSAVNLGSVVNASISTASVIIYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLAGVFGLYAVVCIISLVFVFLRVPETKGMPLEVITEFFSVGAKQAAAAKNN >Manes.15G114800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9115840:9122093:1 gene:Manes.15G114800.v8.1 transcript:Manes.15G114800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKKEFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWLGRRPMLIISSALYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMKAPSWRLMLGVLSIPSLVYFALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGRDDVSGEMALLVEGLDVGGETSIEEYIIGPANDVTDDQDVSADKDHIKLYGPGQGLSWVAKPVTGSTVGLVSRGGSMANQSVPLMDPVVTLFGSVHEKLPEMGSMRSMLFPHFGSMFSVGGNQARNEEWDEENPTREGDDYPSDAGGDDSDDNLQSPLISRQTTSMEPPAHGSVSSMRHGSLMQGNAGEPVGTEIGGGWQLAWKWSEREGQEGKKEGGFKRIYLHQEGVPGSRRGSLVSMHGGDAPAESEFLQAAALVSQPALYSKELVNHHPIGPAMVHPSETMAKGPSWGDLFEPGVKHALAVGVAIQILQQFSGINGVLYYTPQILEQAGVGVLLSHMGLSSASASLLISAITTLLMLPCIAVAMRLMDISGRRTLLLSTIPILIVSLVVLVIGSAVNLGSVVNASISTASVIIYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLAGVFGLYAVVCIISLVFVFLRVPETKGMPLEVITEFFSVGAKQAAAAKNN >Manes.15G114800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9115828:9122093:1 gene:Manes.15G114800.v8.1 transcript:Manes.15G114800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKKEFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWLGRRPMLIISSALYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMKAPSWRLMLGVLSIPSLVYFALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGRDDVSGEMALLVEGLDVGGETSIEEYIIGPANDVTDDQDVSADKDHIKLYGPGQGLSWVAKPVTGSTVGLVSRGGSMANQSVPLMDPVVTLFGSVHEKLPEMGSMRSMLFPHFGSMFSVGGNQARNEEWDEENPTREGDDYPSDAGGDDSDDNLQSPLISRQTTSMEPPAHGSVSSMRHGSLMQGNAGEPVGTEIGGGWQLAWKWSEREGQEGKKEGGFKRIYLHQEGVPGSRRGSLVSMHGGDAPAESEFLQAAALVSQPALYSKELVNHHPIGPAMVHPSETMAKGPSWGDLFEPGVKHALAVGVAIQILQQFSGINGVLYYTPQILEQAGVGVLLSHMGLSSASASLLISAITTLLMLPCIAVAMRLMDISGRRTLLLSTIPILIVSLVVLVIGSAVNLGSVVNASISTASVIIYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLAGVFGLYAVVCIISLVFVFLRVPETKGMPLEVITEFFSVGAKQAAAAKNN >Manes.15G114800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9115741:9122093:1 gene:Manes.15G114800.v8.1 transcript:Manes.15G114800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVLVAVAAAVGNLLQGWDNATIAGAVLYIKKEFKLESEPTIEGLIVAMSLIGATLITTCSGAISDWLGRRPMLIISSALYCLSGVVMFWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFMSYCMVFGMSLMKAPSWRLMLGVLSIPSLVYFALTIFYLPESPRWLVSKGRMLEAKKVLQRLRGRDDVSGEMALLVEGLDVGGETSIEEYIIGPANDVTDDQDVSADKDHIKLYGPGQGLSWVAKPVTGSTVGLVSRGGSMANQSVPLMDPVVTLFGSVHEKLPEMGSMRSMLFPHFGSMFSVGGNQARNEEWDEENPTREGDDYPSDAGGDDSDDNLQSPLISRQTTSMEPPAHGSVSSMRHGSLMQGNAGEPVGTEIGGGWQLAWKWSEREGQEGKKEGGFKRIYLHQEGVPGSRRGSLVSMHGGDAPAESEFLQAAALVSQPALYSKELVNHHPIGPAMVHPSETMAKGPSWGDLFEPGVKHALAVGVAIQILQQFSGINGVLYYTPQILEQAGVGVLLSHMGLSSASASLLISAITTLLMLPCIAVAMRLMDISGRRTLLLSTIPILIVSLVVLVIGSAVNLGSVVNASISTASVIIYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYTLPVMLKSIGLAGVFGLYAVVCIISLVFVFLRVPETKGMPLEVITEFFSVGAKQAAAAKNN >Manes.02G162400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12760232:12769260:1 gene:Manes.02G162400.v8.1 transcript:Manes.02G162400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSADGEAPTAVATAAEEIQQQESSRVRRRLVQSTLLRHKSPAVETPGDQKDDKECNDEVKDGDDEECSGSRGKKKRTRKRKESPKTKTPKVSRKAKAVSSAEATPEKDATPKKSKRSSINAAGRKSATPKKNGTSVGKIADYLTENSDALLQIPNLRLEAKMVAEENSRVFGGKQIHPFFLSRNTSKIGQKTDIQIKSKSITVGPIHVFEREQDDAVSLDWRDWKFCEKFFTNSSFTPEGSFSSIFECTVKSLSFDEFPSVLHLTGSHFQDNKPPDECLPQQEVLYEASATAMSCGAWVECYQQIKDTEINHQVNEVGLLSGCARKSDAEHQTKNLEERVSSHPGCANQLDNRLWTFKYQPKKAMEICGNHDSVKFLNEWLCTWHQRGYEASKASSVADECYMQDPDYNCSQSDSDSGNAGEDANFKNVLLITGPVGSGKSAAIYACAKEQGFRVLEFNTSECRNGAAVKERFGVLDSQSTLDSQLLQWSQESPLEFQTMDTIKPPAVVQEIDGKVIEVIPIADEDISHEATETSEQFVYKDSSVPCSQGPLKLLILFEDVDVIFAEDRGFLTAIQQISDKVKWPVILTTNTGDKTVLPDSLDRLELCFKMPLEKELFQHLCMVCSAENADVQPHQVEQLIKFCQRDIRKIIMHLQFWCQGEQFIKGNEVRRLFSRLPFDLEDVYQILPKMIPWDFPSQLSELVEKEITMSLCMMEENGVSTVVITDDKDMHNHMEKHICETDSIKAKKKVMLSNNSFDHDPIDFIPPFNLACDFLDSSNSPFPSSRKNSKRKLVAMSSDSEDDRVPTSLEKDISNGFFLENGGFAPHHPNFQIGFSPSTDLQFYSESEKLEENLYLCSETAVHLDVKDTCISVDVSCVPESSYVPETEIDDGTRIFGRVSCGQMGQAEIIEETSVSNEFRQNILSVDAKNFDEPMPKLCKDSDVLGGTCDMTAVSSHEEVEDSQNDLTESITREHQLMDECSCMDFSRKFNQHQKRGSSDVIDTVQESWRKLRDRRADLRHLAAAEHKYCSGIMKLACGMSNLISEAEVLQTKCQSLDSLGLPMVFSEESEAFSWGNEQLQMASTIVQHGFCTYAKDIVAAGLNMGYRNNGGLTWEVLSATTKMKVSNSLGQNVNAKNLHVGLGAEMTLLENGSSNSEQKSQSINIIQSVVPSRAYMTMKGDALYEYRSSLACISRSESSRLSEGDSKTRRRRGRAARNYLSTGSLMLSPEEISLLGQSSMHGKISPQSLETKY >Manes.02G162400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12760232:12769260:1 gene:Manes.02G162400.v8.1 transcript:Manes.02G162400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSADGEAPTAVATAAEEIQQQESSRVRRRLVQSTLLRHKSPAVETPGDQKDDKECNDEVKDGDDEECSGSRGKKKRTRKRKESPKTKTPKVSRKAKAVSSAEATPEKDATPKKSKRSSINAAGRKSATPKKNGTSVGKIADYLTENSDALLQIPNLRLEAKMVAEENSRVFGGKQIHPFFLSRNTSKIGQKTDIQIKSKSITVGPIHVFEREQDDAVSLDWRDWKFCEKFFTNSSFTPEGSFSSIFECTVKSLSFDEFPSVLHLTGSHFQDNKPPDECLPQQEVLYEASATAMSCGAWVECYQQIKDTEINHQVNEVGLLSGCARKSDAEHQTKNLEERVSSHPGCANQLDNRLWTFKYQPKKAMEICGNHDSVKFLNEWLCTWHQRGYEASKASSVADECYMQDPDYNCSQSDSDSGNAGEDANFKNVLLITGPVGSGKSAAIYACAKEQGFRVLEFNTSECRNGAAVKERFGVLDSQSTLDSQLLQWSQESPLEFQTMDTIKPPAVVQEIDGKVIEVIPIADEDISHEATETSEQFVYKDSSVPCSQGPLKLLILFEDVDVIFAEDRGFLTAIQQISDKVKWPVILTTNSDKTVLPDSLDRLELCFKMPLEKELFQHLCMVCSAENADVQPHQVEQLIKFCQRDIRKIIMHLQFWCQGEQFIKGNEVRRLFSRLPFDLEDVYQILPKMIPWDFPSQLSELVEKEITMSLCMMEENGVSTVVITDDKDMHNHMEKHICETDSIKAKKKVMLSNNSFDHDPIDFIPPFNLACDFLDSSNSPFPSSRKNSKRKLVAMSSDSEDDRVPTSLEKDISNGFFLENGGFAPHHPNFQIGFSPSTDLQFYSESEKLEENLYLCSETAVHLDVKDTCISVDVSCVPESSYVPETEIDDGTRIFGRVSCGQMGQAEIIEETSVSNEFRQNILSVDAKNFDEPMPKLCKDSDVLGGTCDMTAVSSHEEVEDSQNDLTESITREHQLMDECSCMDFSRKFNQHQKRGSSDVIDTVQESWRKLRDRRADLRHLAAAEHKYCSGIMKLACGMSNLISEAEVLQTKCQSLDSLGLPMVFSEESEAFSWGNEQLQMASTIVQHGFCTYAKDIVAAGLNMGYRNNGGLTWEVLSATTKMKVSNSLGQNVNAKNLHVGLGAEMTLLENGSSNSEQKSQSINIIQSVVPSRAYMTMKGDALYEYRSSLACISRSESSRLSEGDSKTRRRRGRAARNYLSTGSLMLSPEEISLLGQSSMHGKISPQSLETKY >Manes.18G069600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6310268:6316341:-1 gene:Manes.18G069600.v8.1 transcript:Manes.18G069600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVFHQAIWILPSHHLQNSGIHQEFGNVSVKSISKGFKFDLGLSMSRNGGYSSSKGNSFAVEASTSQNSVVDPISAPSNTSSNEFQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQCIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIETQLRSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFSRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMLH >Manes.18G069600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6310268:6315783:-1 gene:Manes.18G069600.v8.1 transcript:Manes.18G069600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVFHQAIWILPSHHLQNSGIHQEFGNVSVKSISKGFKFDLGLSMSRNGGYSSSKGNSFAVEASTSQNSVVDPISAPSNTSSNEFQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQCIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIETQLRSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFSRRGSPAGPTEAGVYAYTRVCNF >Manes.18G069600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6312181:6316313:-1 gene:Manes.18G069600.v8.1 transcript:Manes.18G069600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVFHQAIWILPSHHLQNSGIHQEFGNVSVKSISKGFKFDLGLSMSRNGGYSSSKGNSFAVEASTSQNSVVDPISAPSNTSSNEFQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQCIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIETQLRSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFSRRGSPAGPTEAGVYAYTRVCNF >Manes.18G069600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6310268:6316341:-1 gene:Manes.18G069600.v8.1 transcript:Manes.18G069600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVFHQAIWILPSHHLQNSGIHQEFGNVSVKSISKGFKFDLGLSMSRNGGYSSSKGNSFAVEASTSQNSVVDPISAPSNTSSNEFQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQCIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIETQLRSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFSRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMLH >Manes.18G069600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6310268:6315783:-1 gene:Manes.18G069600.v8.1 transcript:Manes.18G069600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVFHQAIWILPSHHLQNSGIHQEFGNVSVKSISKGFKFDLGLSMSRNGGYSSSKGNSFAVEASTSQNSVVDPISAPSNTSSNEFQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQCIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIETQLRSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFSRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMLH >Manes.18G069600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6311897:6316341:-1 gene:Manes.18G069600.v8.1 transcript:Manes.18G069600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVFHQAIWILPSHHLQNSGIHQEFGNVSVKSISKGFKFDLGLSMSRNGGYSSSKGNSFAVEASTSQNSVVDPISAPSNTSSNEFQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQCIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIETQLRSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFSRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMLH >Manes.18G069600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6310268:6316313:-1 gene:Manes.18G069600.v8.1 transcript:Manes.18G069600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVFHQAIWILPSHHLQNSGIHQEFGNVSVKSISKGFKFDLGLSMSRNGGYSSSKGNSFAVEASTSQNSVVDPISAPSNTSSNEFQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQCIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIETQLRSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFSRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMLH >Manes.18G069600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6311897:6316313:-1 gene:Manes.18G069600.v8.1 transcript:Manes.18G069600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVFHQAIWILPSHHLQNSGIHQEFGNVSVKSISKGFKFDLGLSMSRNGGYSSSKGNSFAVEASTSQNSVVDPISAPSNTSSNEFQKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMTQHRRRKVPIIMHNESEQARAWSQIFSEDTMRQCIPVITAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIETQLRSGKHVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGRFSRRGSPAGPTEAGVYAYTRRLAQYRQKLDEMLH >Manes.17G059700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25846604:25849478:-1 gene:Manes.17G059700.v8.1 transcript:Manes.17G059700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFVPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >Manes.17G059700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25846604:25849534:-1 gene:Manes.17G059700.v8.1 transcript:Manes.17G059700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFVPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >Manes.17G059700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25846160:25849639:-1 gene:Manes.17G059700.v8.1 transcript:Manes.17G059700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFVPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >Manes.12G059400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5090944:5095694:1 gene:Manes.12G059400.v8.1 transcript:Manes.12G059400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLYSPPYSSCKRDVVSLPRKHLCPATFKTSFFGSNFPVKPLSISNQNTSKLRPKTVTSASTHTFDVVIIGAGIVGLTIARQFLIGSDLSVAVVDKAVPCSGATGAGQGYIWMVHKSPESDTWELTKRSHKLWEMLAEGISYQGLSPLEVLGWKKTGSLLVGRNPEEAESLKRRVKQLSEAGLRAEYLSSHDLHLEEPALEIGESGGAAFLPDDCQLDAHRAVAFIEKINRQFASKGRYAEFYHDPVMSLLRSSTNGEVEAVRTSKNTLYSRKAIIVAAGCWSGSLMHDLFRESDTALNVPVKPRKGYLLVLENFSSLKLNHGVMEAGYVNHYGAGKQLSNSASGLDHGENLSVSMTATMDSMGNLVLGSSRQFLDFNTEVDESIVGYIWNRAGEFFPKLKEMSLRDFTMSRKLRIGLRPYMPDGKPVIGPLPDFKNVLIATGHEGGGLSMALGTAEMVADMVLGNPGTVDYTPFAVQDRCC >Manes.11G105900.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTTDTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTRTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24379239:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTTDTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVSLLTYAADTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTRTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24379239:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTRTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.44.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVSLLTYAADTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24379239:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTRTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.45.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24381045:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTTDTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.43.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTRTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTTDTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24379154:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTTDTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTRTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.42.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTTDTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTTDTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24379284:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTRTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.11G105900.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24378756:24384777:1 gene:Manes.11G105900.v8.1 transcript:Manes.11G105900.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRARAALSPLLNRLLQPASFPSVNNAFRGFSTTDTSLRDKMMKQMAHLDINAQVGTCMPLASMRIGTLIYNIEMNPGQGGKLVRAAGSCAKILKEPTSKYCLVKLPSGAEKLIDSQCRATIGRVSNPGHNTRTLRKAGQSRWLGRRPVVRGVAMNPVDHPHGGGEGRSKSSGSFGRVSQTPWGKPTKCGRNQERKRNGKFK >Manes.10G034200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3402154:3403566:-1 gene:Manes.10G034200.v8.1 transcript:Manes.10G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGHMIPTIDMAKLFASRGLRATIITTPLNSLLFSKTIERSQDLGMNIDLLILKFPSKEAGLPEGCENMDSITSHANGEELIKNFFQAASLLQEPLESLLQECKPDCLVADMFFPWATDAAAKFGIPRLVFHGMGFFSLCTQVCLYQYKPYKNVSSDSESFVVPHLPGEIKLTRKQLPDSIRNEVETDLTKLMKAVVESESKSFGVVVNSFYELEPAYADYYRKVLGRRAWHVGPVSLCNRGIEDKAQRGKQASIDEHECLKWLDSKKPNSVIYICFGSVANFTGSQLMEIAMALEASRQQFIWVVRRDKNNKEDEEKWLPEGFEQRIEDKGLIIRGWAPQVLILDHEAVGGFVSHCGWNSTLEGITSGKPLVTWPVSAEQFYNEKLVTDVLKVGIGVGVKEWVRLQGDFVESKDIEKAISRVMEGEEAEKMRIRSKKLREMARQAVEEGGSSYSDFNALVEELRWRRP >Manes.13G150300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36580759:36582630:-1 gene:Manes.13G150300.v8.1 transcript:Manes.13G150300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFSIKRHCSSCTIKTTQIAQTKEFRILQYCKSGALFDALHLLNSLDFKNLSNKPFFYASLLQTCTKVVSFNHGLQIHAHLVKSGLETDRFVGNSLLALYFKLGRNFFETRRVFDGLYFRDVISWTSMITGYIRLEKPKNALKLFWEMLDFGVEPNAFTLSAMIKACSDLGDLKLGKCFHGVVMIRGFDSNHVIASALIDMYGRNYGQDDARTLFDELLEPDAICWTSVISAFTRNDMYEKALGFFYLMQRKIGLTPDGFTFGTVLTACGNLGRLKQGKEVHAKVITSGFSGNVVVESSLVDMYGKCGLVNESQRVFDRMSIKNSVSWSALLGGYCQNEDFESVIRIFREMEEVDLYSFGTILRACAGLAAIKQGKEVHCQYVRKGGWRDVIVESALVDLYAKCGCIHFARRIFTKMPVRNLISWNSMIGGFAQNGRGGEALQIFDEMIKEGIKPDYITFIGLLFACSHAGLVDEGKKYFMSMTKEYGIKPGIEHYNCMVDLLARAGLLEEAENLIENADCRDDSSLWAVLLGACATCTDSVSGERIAKKTMQLEPGYHLSYVYLANIYRAVGRWDDAVKIRRLMKIRGVKKMPGRSWIETNNNMHSYLNTDNFSMSKDND >Manes.18G094000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8699112:8705585:-1 gene:Manes.18G094000.v8.1 transcript:Manes.18G094000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIVFTIYVLVLLFLTSARDPGIVPRNSHPPEEVFRNDSSVSVEAGGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVSSATLLCIYVFAMSAVYIKILMDNYQSTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNLGCVHNFLEVFCTEVKPSKNNFRAFVQEEVPRPTLPSTREAEPEEIGGDPRPKVEDDLEIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNISEVDSVHSSDHRAPTIRSDTRHSSWGRRSGSWEIAPEVLANSNVTESRSYVTAKEPRQ >Manes.18G094000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8699112:8708968:-1 gene:Manes.18G094000.v8.1 transcript:Manes.18G094000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYEVWKGSNVLVLLFLTSARDPGIVPRNSHPPEEVFRNDSSVSVEAGGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVSSATLLCIYVFAMSAVYIKILMDNYQSTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNLGCVHNFLEVFCTEVKPSKNNFRAFVQEEVPRPTLPSTREAEPEEIGGDPRPKVEDDLEIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNISEVDSVHSSDHRAPTIRSDTRHSSWGRRSGSWEIAPEVLANSNVTESRSYVTAKEPRQ >Manes.18G094000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8699112:8708968:-1 gene:Manes.18G094000.v8.1 transcript:Manes.18G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYEVWKGSNKFILGGRLIFGPDARSLIITLLLIIVPVVIFCVFVARHLRHEFSPYNAGYAIMVVAIVFTIYVLVLLFLTSARDPGIVPRNSHPPEEVFRNDSSVSVEAGGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVSSATLLCIYVFAMSAVYIKILMDNYQSTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNLGCVHNFLEVFCTEVKPSKNNFRAFVQEEVPRPTLPSTREAEPEEIGGDPRPKVEDDLEIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHNISEVDSVHSSDHRAPTIRSDTRHSSWGRRSGSWEIAPEVLANSNVTESRSYVTAKEPRQ >Manes.16G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4780649:4782206:-1 gene:Manes.16G037600.v8.1 transcript:Manes.16G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILENKIIFMVVLVVGLWVSQAWSRSLKDATMAEKHEIWIAKYGRVYKDNAEKERRFNIFKENVEFIESFNNAGHRPYKLGVNAFADLTNEEFRNSKNGYRSSSIFKTPETSPFRYENVTTVPTSIDWTKKGAVTPIKDQSQCGCCWAFSAVAAMEGITKLSTGKLISLSEQELVDCDTSGEDQGCEGGLMDDAFKFIIKNGGLTTEANYPYQGVDGTCNNGKASNHAAKITGYEDVPANSEESLRKAVANQPLSVAIDASGSAFQFYSSGVFTGDCGTELDHGVTAVGYGTSDDGKKYWLVKNSWGTSWGEDGYIRMERDIDAKEGLCGIAMEPSYPTA >Manes.02G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8721705:8728906:1 gene:Manes.02G113000.v8.1 transcript:Manes.02G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGAGDEVSESNGGGNEVTVNIRCSNGSKFSVGISLSSTVEAFKAVLAGKCDVPADQQRLIYKGRILKDDQTLHSYGLEADHTVHMVRGFAPAAAANTAPASNTGASNTVSTTTRAVGSNEGGALGGSGFEASLFPGLGLGGSGGLFGAGLPEFEQVQQQLTRNPNIMREIMNMPVIQNLMNNPEIMRNLIVNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNTGGDNTNPFAALLGNQATDRSTNPSTTSSESTTGSPAPNTNPLPNPWTAAGTGGAQINSPRSNTAADARPPAPAGLGGLGLPEFDNMFGAMPDANGMSQLLQNPAISQMMQSLLSNPQYMNQMLGANPQFRSMLDSNSQFREMMQNPEFLRQLTSPETMQQLLSFQQALLSQLGRQQSTQEPGQTGAGTGTPNNLGLEMLMNMFGGLGAGSLAVPSRPNVPPEELYATQLSQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNSGL >Manes.02G165100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12927956:12933835:-1 gene:Manes.02G165100.v8.1 transcript:Manes.02G165100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPSHLTLTPSPTMASLAGAASSRLFPSTYRARISLSSPASSSSLSPLSLSSSSSYSFAPLKCLLTSPLAPHFFLNQRASSMSTVAAASDLAQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPKRGGANGSLRFEIELKHGANAGLVNALNLLQPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSAPEECPEEGRLPSAGPPNPADHLQEIFYRMGLNDQEIVALSGAHTLGRSRPDRSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFRDIKERKDEDLLVLPTDAVLFEDPSFKVYAEKYADDQEAFFKDYAAAHAKLSNLGAKFDPPQGILLDGVAGEKFVAANYSTGKRELSEAMKQKIRAEYEAVGGSPDKPLKSNYFLNIMIVIAVLALLTSLLGN >Manes.03G024000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1964804:1968173:1 gene:Manes.03G024000.v8.1 transcript:Manes.03G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLESLLGDLQQFSNPKIELEQYPTGSHIASRMLYTAENSFGDVSNKVVADFGCGCATLGAAAALLGAEQVIGIDMDSESLEIASLNAEELELDIDFLQCDVRNLGWRGGIVDTVVMNPPFGTRKKGADMDFLFVSLKVASQAVYSLHKTSTRDHVKRTALRDFGASSAEVLCELRFDVPQLYKFHKKKEVDIAVDLWRFVPKSSQGKGI >Manes.04G113532.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31723863:31724300:-1 gene:Manes.04G113532.v8.1 transcript:Manes.04G113532.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRSYADGLRIAVTVAFVFCLMFLPPEPDLNSEMPPCCVNKCFSCRPCMATLVVPSDQKKSLTFKALSHGDNDDNGNKLFQP >Manes.09G161900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35639111:35646946:-1 gene:Manes.09G161900.v8.1 transcript:Manes.09G161900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSPRIVAVSLATQIYCFDALTLENKFNVLTYPVPHLGGQGMNGVNAGYGPMAVSPRWLAYASDNPLLSNTGRLSPQSLTPPLGVSPSTSPSSGSLMARYAMESSKQIATGLINFGDMGYKTLSRYCQDLIPDGSSSPVYSNSSWKVGRGATPSAETDNAGMVVVKDFVSGVVVSQFRAHNSPISALCFDPSGTLLVTASIHGNNINIFRIMPSFSHSASGSKSYEWSSSHVHLYKLHRGITSAVIQDICFSHYGQWIAIVSSRGTCHIFVLSPFGGANVLQIHNSHVDGPSLSPILSLPWWSTTSFSVNQQCSFASPPSAVTLSVVSRIRSNNTGWLNTVSNAASSGAGKTSVPSGAIASVFHSSVSRDMQPSHLKNANALEHLLVYTPCGHLVQYKLLSSVGRESSEVASRIGQGSLVQIQDEELRVNVEPVQWWDVCRRVDWGEREECISGITLGRQETAELPMVTSDCEDNDSEQHVESLKSHEPSHLYLSNAEVQMSSWRMPFWQNPKMHFHEMSHLETAEQNFIEDHAGGEIEIEKVGVREVEIRRKDLLPVIDHFNRTLPNWTDRGLNGERYITSLAGSQEAKDSEDVISHSKSVSAGSVASSDGGSLTKFYPLVIQSENGTAGEGRFSVAASSIECKSSINKDSSSISSKQSQAGFSPVDFNSMDSNVTSLTNISSSTGRTIAKEVQSSNGVVISEASNTGSNCSNLSMNIIDEGPANESLDSGLFFQEGYCKVMPLSECPESTEVSFLDNNISPCDLEKSEDGDNDDMLGGVFAFSEEG >Manes.09G161900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35639111:35648323:-1 gene:Manes.09G161900.v8.1 transcript:Manes.09G161900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSKSNSNSKTNTNRFLPNSLKFISSCIKTASTSVRSASASVAASISSDNQDRKDQVLWASFDRLELGPSSFKRVLLLGYSNGFQVIDVEDASDVVELVSKRDDPVTFLQIQPLPAKLDGREGFRASHPLLLVVSCAELKSSGPVLGGRDGLVRDGYNEPQVGNLSISPTTVQFYSLRSHNYVHYLRFRSTVFMVRCSPRIVAVSLATQIYCFDALTLENKFNVLTYPVPHLGGQGMNGVNAGYGPMAVSPRWLAYASDNPLLSNTGRLSPQSLTPPLGVSPSTSPSSGSLMARYAMESSKQIATGLINFGDMGYKTLSRYCQDLIPDGSSSPVYSNSSWKVGRGATPSAETDNAGMVVVKDFVSGVVVSQFRAHNSPISALCFDPSGTLLVTASIHGNNINIFRIMPSFSHSASGSKSYEWSSSHVHLYKLHRGITSAVIQDICFSHYGQWIAIVSSRGTCHIFVLSPFGGANVLQIHNSHVDGPSLSPILSLPWWSTTSFSVNQQCSFASPPSAVTLSVVSRIRSNNTGWLNTVSNAASSGAGKTSVPSGAIASVFHSSVSRDMQPSHLKNANALEHLLVYTPCGHLVQYKLLSSVGRESSEVASRIGQGSLVQIQDEELRVNVEPVQWWDVCRRVDWGEREECISGITLGRQETAELPMVTSDCEDNDSEQHVESLKSHEPSHLYLSNAEVQMSSWRMPFWQNPKMHFHEMSHLETAEQNFIEDHAGGEIEIEKVGVREVEIRRKDLLPVIDHFNRTLPNWTDRGLNGERYITSLAGSQEAKDSEDVISHSKSVSAGSVASSDGGSLTKFYPLVIQSENGTAGEGRFSVAASSIECKSSINKDSSSISSKQSQAGFSPVDFNSMDSNVTSLTNISSSTGRTIAKEVQSSNGVVISEASNTGSNCSNLSMNIIDEGPANESLDSGLFFQEGYCKVMPLSECPESTEVSFLDNNISPCDLEKSEDGDNDDMLGGVFAFSEEG >Manes.09G161900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35639111:35648327:-1 gene:Manes.09G161900.v8.1 transcript:Manes.09G161900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSKSNSNSKTNTNRFLPNSLKFISSCIKTASTSVRSASASVAASISSDNQDRKDQVLWASFDRLELGPSSFKRVLLLGYSNGFQVIDVEDASDVVELVSKRDDPVTFLQIQPLPAKLDGREGFRASHPLLLVVSCAELKSSGPVLGGRDGLVRDGYNEPQVGNLSISPTTVQFYSLRSHNYVHYLRFRSTVFMVRCSPRIVAVSLATQIYCFDALTLENKFNVLTYPVPHLGGQGMNGVNAGYGPMAVSPRWLAYASDNPLLSNTGRLSPQSLTPPLGVSPSTSPSSGSLMARYAMESSKQIATGLINFGDMGYKTLSRYCQDLIPDGSSSPVYSNSSWKVGRGATPSAETDNAGMVVVKDFVSGVVVSQFRAHNSPISALCFDPSGTLLVTASIHGNNINIFRIMPSFSHSASGSKSYEWSSSHVHLYKLHRGITSAVIQDICFSHYGQWIAIVSSRGTCHIFVLSPFGGANVLQIHNSHVDGPSLSPILSLPWWSTTSFSVNQQCSFASPPSAVTLSVVSRIRSNNTGWLNTVSNAASSGAGKTSVPSGAIASVFHSSVSRDMQPSHLKNANALEHLLVYTPCGHLVQYKLLSSVGRESSEVASRIGQGSLVQIQDEELRVNVEPVQWWDVCRRVDWGEREECISGITLGRQETAELPMVTSDCEDNDSEQHVESLKSHEPSHLYLSNAEVQMSSWRMPFWQNPKMHFHEMSHLETAEQNFIEDHAGGEIEIEKVGVREVEIRRKDLLPVIDHFNRTLPNWTDRGLNGERYITSLAGSQEAKDSEDVISHSKSVSAGSVASSDGGSLTKFYPLVIQSENGTAGEGRFSVAASSIECKSSINKDSSSISSKQSQAGGLL >Manes.09G161900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35639111:35648327:-1 gene:Manes.09G161900.v8.1 transcript:Manes.09G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSKSNSNSKTNTNRFLPNSLKFISSCIKTASTSVRSASASVAASISSDNQDRKDQVLWASFDRLELGPSSFKRVLLLGYSNGFQVIDVEDASDVVELVSKRDDPVTFLQIQPLPAKLDGREGFRASHPLLLVVSCAELKSSGPVLGGRDGLVRDGYNEPQVGNLSISPTTVQFYSLRSHNYVHYLRFRSTVFMVRCSPRIVAVSLATQIYCFDALTLENKFNVLTYPVPHLGGQGMNGVNAGYGPMAVSPRWLAYASDNPLLSNTGRLSPQSLTPPLGVSPSTSPSSGSLMARYAMESSKQIATGLINFGDMGYKTLSRYCQDLIPDGSSSPVYSNSSWKVGRGATPSAETDNAGMVVVKDFVSGVVVSQFRAHNSPISALCFDPSGTLLVTASIHGNNINIFRIMPSFSHSASGSKSYEWSSSHVHLYKLHRGITSAVIQDICFSHYGQWIAIVSSRGTCHIFVLSPFGGANVLQIHNSHVDGPSLSPILSLPWWSTTSFSVNQQCSFASPPSAVTLSVVSRIRSNNTGWLNTVSNAASSGAGKTSVPSGAIASVFHSSVSRDMQPSHLKNANALEHLLVYTPCGHLVQYKLLSSVGRESSEVASRIGQGSLVQIQDEELRVNVEPVQWWDVCRRVDWGEREECISGITLGRQETAELPMVTSDCEDNDSEQHVESLKSHEPSHLYLSNAEVQMSSWRMPFWQNPKMHFHEMSHLETAEQNFIEDHAGGEIEIEKVGVREVEIRRKDLLPVIDHFNRTLPNWTDRGLNGERYITSLAGSQEAKDSEDVISHSKSVSAGSVASSDGGMPILNIRFFFS >Manes.15G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8780325:8782686:-1 gene:Manes.15G110100.v8.1 transcript:Manes.15G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPASYPSLYSSSPLPRTCCISATKQSPLLFSQINPCLSKNYSFFCTSTSFSNTHFLKPSNLICKASETESKTSKPENESEGGEEAYEEYEVELIQPYGIKFTKGRDGGTYIDAIAPGGAADKTGLFTVGDKVIATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKTDYAGELTEKEIIRAERNSGVISNRVREIQMQNYMRKKEQKEQREKDLREGLLLYKNGKYEEALEKFESVLGSKPDSNETSVACYNVACCYSKLNQIKAGVSALEEAMQAGYEDFKRIRTDPDLAGLRTSEEFEPLLKRFDESFINENAINAIKSLFGIFKKE >Manes.13G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2011513:2014018:1 gene:Manes.13G013000.v8.1 transcript:Manes.13G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMYLQVGSSSYQESLKVLEADIQQANALAAAIPRGKNGARLQMKLVYNQWTPFFLFLLQRIDFSCICLLPRYLNLFHILVYKVYADGRPNLFTHGRKATIKEFYGVILPSLQRLHSNMEDSEDVKEGRLEMEGLGKKRVERDIRLANVDIEREDECGICLEPCTKVVLPNCCHAMCIKCYRNWNTRSESCPFCRGSIKRVNSEDLWVLTCNNDVVDTKTLSKEDLLRFYLYINSLPKDYPDALFLVYYEYLM >Manes.06G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13387180:13390024:1 gene:Manes.06G043300.v8.1 transcript:Manes.06G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVAIIGAGASGLVACKYTLNKGLTPIVFEAEETIGGVWARTIDSTRLQNTQQAYQFSDFPWPSSVQDLHPTHTQVMEYLESYAHHFKIFPCIKFNSRVISLNYVGESFEAMESWHLWSGTGKGFGSKGKWLVKVQHTRTCSIEEYHVEFVILCIGQFSGLPNIPEFPPDAGPQVFKGKVMHSNNFSAFHNLGVENFVKGKKVAVIGSFKSAVDIAAECANTNGVNFPCTMIQRNAHWFLPTGLLSVYLLGFLYFNRFSELLVHKPGETILFSFLVTLLSPLRWVISKFMESYVKWNLPLKKYGMLPKFRFDEDISSCQIALLPEKFFDKVEEGSIIIKNSQSFRFCREGLVIKGEAQPLETDIVIFATGFKGYEKLMNIFESSVFQDCIKATTPLLLYRQILHPRIPQLAIIGFNESFSTLGNSELKSLWIANFLDGKLELPCIKDMEKEAKMWGDHIKQSTGRYFKRGCIGNSNIWYNDQLCKDMGYNPRRKKGFLSDLFLPYAPADYGDLTIK >Manes.06G043300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13387180:13390024:1 gene:Manes.06G043300.v8.1 transcript:Manes.06G043300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVAIIGAGASGLVACKYTLNKGLTPIVFEAEETIGGVWARTIDSTRLQNTQQAYQFSDFPWPSSVQDLHPTHTQVMEYLESYAHHFKIFPCIKFNSRVISLNYVGESFEAMESWHLWSGTGKGFGSKGKWLVKVQHTRTCSIEEYHVEFVILCIGQFSGLPNIPEFPPDAGPQVFKGKVMHSNNFSAFHNLGVENFVKGKKVAVIGSFKSAVDIAAECANTNELLVHKPGETILFSFLVTLLSPLRWVISKFMESYVKWNLPLKKYGMLPKFRFDEDISSCQIALLPEKFFDKVEEGSIIIKNSQSFRFCREGLVIKGEAQPLETDIVIFATGFKGYEKLMNIFESSVFQDCIKATTPLLLYRQILHPRIPQLAIIGFNESFSTLGNSELKSLWIANFLDGKLELPCIKDMEKEAKMWGDHIKQSTGRYFKRGCIGNSNIWYNDQLCKDMGYNPRRKKGFLSDLFLPYAPADYGDLTIK >Manes.02G122600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9323449:9327932:-1 gene:Manes.02G122600.v8.1 transcript:Manes.02G122600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTRAEFDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIKTLYVGGLDARITEQDLRDNFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQALMAHGGMLPRAVISQQQNQIHPPGTQAQPPPMHYFNIPPPPQQERTFYPSMDPQRMGALLPSQDGASSGPSGSGENKNALERQQGQHYPYQGMPLPHGQYHQQLYPAYGYVPPLPPYQQYPPSYHSAVPPPQAPQATQLHQHSVPPPRTAPPASASAGPTPPGSGASTSSNP >Manes.02G122600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9323449:9327925:-1 gene:Manes.02G122600.v8.1 transcript:Manes.02G122600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTRAEFDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIKTLYVGGLDARITEQDLRDNFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQALMAHGGMLPRAVISQQQNQIHPPGTQAQPPPMHYFNIPPPPQQERTFYPSMDPQRMGALLPSQDGASSGPSGSGENKNALERQQGQHYPYQGMPLPHGQYHQQLYPAYGYVPPLPPYQQYPPSYHSAVPPPQAPQATQLHQHSVPPPRTAPPASASAGPTPPGSGASTSSNP >Manes.02G122600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9323316:9327976:-1 gene:Manes.02G122600.v8.1 transcript:Manes.02G122600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTRAEFDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIKTLYVGGLDARITEQDLRDNFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQALMAHGGMLPRAVISQQQNQIHPPGTQAQPPPMHYFNIPPPPQQERTFYPSMDPQRMGALLPSQDGASSGPSGSGENKNALERQQGQHYPYQGMPLPHGQYHQQLYPAYGYVPPLPPYQQYPPSYHSAVPPPQAPQATQLHQHSVPPPRTAPPASASAGPTPPGSGASTSSNP >Manes.02G122600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9323449:9327921:-1 gene:Manes.02G122600.v8.1 transcript:Manes.02G122600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTRAEFDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIKTLYVGGLDARITEQDLRDNFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQALMAHGGMLPRAVISQQQNQIHPPGTQAQPPPMHYFNIPPPPQQERTFYPSMDPQRMGALLPSQDGASSGPSGSGENKNALERQQGQHYPYQGMPLPHGQYHQQLYPAYGYVPPLPPYQQYPPSYHSAVPPPQAPQATQLHQHSVPPPRTAPPASASAGPTPPGSGASTSSNP >Manes.02G122600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9323449:9327932:-1 gene:Manes.02G122600.v8.1 transcript:Manes.02G122600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTRAEFDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIKTLYVGGLDARITEQDLRDNFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQALMAHGGMLPRAVISQQQNQIHPPGTQAQPPPMHYFNIPPPPQQERTFYPSMDPQRMGALLPSQDGASSGPSGSGENKNALERQQGQHYPYQGMPLPHGQYHQQLYPAYGYVPPLPPYQQYPPSYHSAVPPPQAPQATQLHQHSVPPPRTAPPASASAGPTPPGSGASTSSNP >Manes.02G122600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9323449:9327921:-1 gene:Manes.02G122600.v8.1 transcript:Manes.02G122600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTRAEFDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIKTLYVGGLDARITEQDLRDNFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQALMAHGGMLPRAVISQQQNQIHPPGTQAQPPPMHYFNIPPPPQQERTFYPSMDPQRMGALLPSQDGASSGPSGSGENKNALERQQGQHYPYQGMPLPHGQYHQQLYPAYGYVPPLPPYQQYPPSYHSAVPPPQAPQATQLHQHSVPPPRTAPPASASAGPTPPGSGASTSSNP >Manes.02G122600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9323449:9327921:-1 gene:Manes.02G122600.v8.1 transcript:Manes.02G122600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDLEADGWERSDFPIICESCLGDNPYVRMTRAEFDKECKICTRPFTVFRWRPGRDARFKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKARPNDTILKLQRTTPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIKTLYVGGLDARITEQDLRDNFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGRPQAPKPESEASEEARQQALMAHGGMLPRAVISQQQNQIHPPGTQAQPPPMHYFNIPPPPQQERTFYPSMDPQRMGALLPSQDGASSGPSGSGENKNALERQQGQHYPYQGMPLPHGQYHQQLYPAYGYVPPLPPYQQYPPSYHSAVPPPQAPQATQLHQHSVPPPRTAPPASASAGPTPPGSGASTSSNP >Manes.01G164600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34830300:34832934:1 gene:Manes.01G164600.v8.1 transcript:Manes.01G164600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFTKVNGVTSDGGAPPDFPAKLTKQVVVCTIIAAVGGFMFGYDLGISGGVTSMDSFLMKFFPTVYVKKHQAKANNYCKYSNQYLQLFTSSLYLAAIVASFFASLISKKYGRKPTIQVASIFFFAGAILNAAAQNLAMLIAGRMLLGAGVGFGNQAVPLFISEIAPPRYRGGLNICFQLLITFGILSANIINYFTSKLHPHGWRISLGGAAVPAIVLLIGSIIIVETPTSLIDRGRKEKGLSTLKKIRGVDDVGKEYAEILSAVGLAKQYKHPYRNLVSLYNRPQLICGSLLQFFQQFTGITAVMFYAPVLFLTMGFGDNASLFAAVMANIVKPIGTVVAILVVDRVGRRVLLVEAAIQMLISQCAIGGILAVHLKTTNVVPKHYCVAVIFFICVFLAGFAWSWGPLGWLIPSETFPLETRSSALFITVSMNMFFTFIIAQSFLTMLCTMRSGIFFFFAFWLVVMGLFAIFLLPETKGIPIDEMIDRVWKKHWFWKRYYKDYDARKGQQELQDKPLGNCK >Manes.01G164600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34830463:34834257:1 gene:Manes.01G164600.v8.1 transcript:Manes.01G164600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFTKVNGVTSDGGAPPDFPAKLTKQVVVCTIIAAVGGFMFGYDLGISGGVTSMDSFLMKFFPTVYVKKHQAKANNYCKYSNQYLQLFTSSLYLAAIVASFFASLISKKYGRKPTIQVASIFFFAGAILNAAAQNLAMLIAGRMLLGAGVGFGNQAVPLFISEIAPPRYRGGLNICFQLLITFGILSANIINYFTSKLHPHGWRISLGGAAVPAIVLLIGSIIIVETPTSLIDRGRKEKGLSTLKKIRGVDDVGKEYAEILSAVGLAKQYKHPYRNLVSLYNRPQLICGSLLQFFQQFTGITAVMFYAPVLFLTMGFGDNASLFAAVMANIVKPIGTVVAILVVDRVGRRVLLVEAAIQMLISQCAIGGILAVHLKTTNVVPKHYCVAVIFFICVFLAGFAWSWGPLGWLIPSETFPLETRSSALFITVSMNMFFTFIIAQSFLTMLCTMRSGIFFFFAFWLVVMGLFAIFLLPETKGIPIDEMIDRVWKKHWFWKRYYKDYDARKGQQELQDKPLGNLGAETS >Manes.03G131600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25828583:25834083:1 gene:Manes.03G131600.v8.1 transcript:Manes.03G131600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSFVSKARTAFHSAAAKAERVFTDIKSDFISDRADSDKPSPKESKKQIEYESLKNDDESKSNEGKHLRWRSAHIGTKQEWQDKLKKIRIGKKGSENHTEKVENPNMAVPFYDENLYILNMKNDAEAKGSQVSFIVERLNSTSPDSIPPTSVMKQLAIAIDAGKKHKSMKDILSSSGGSSPIMERASLSLAAVKSLVLRDKEDKLASEFGGDEEKVSSLIQSLFDVEGKFLKRNISSGLEQLSMSRDIHGAPPESLLAKLSEVIGSFKTLRKMAFIWCKIVDEMRRHWSEERHIPGIPVDDLPDLNLCLLYQKLQVINCCVSRKRRRIIATQSLELVMRDASSCAEQSAISNEAIGSSVILYARLSNGENVLRLGADQPADNLTLLETGEPVYSPITQEGPLLTEDLIKENEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTDNLDEDNEFFEGGDVTSSRGQLSSRMQKEGNLWRELWQTAKAIPAIKQAPQFDEDLAVEGILHDLEELSPSELFEQLFISLLGLGILVTESKVSSNNDLSKLFHKCKDYIIFTCQGNNWSEKVDDLCQVYETLEKIVLEPEEVLKAVKQAEEATTPTAGESKGRFKRLGLNFGNKDRNLRKSSFKETCSEISPRQQFSNFFDGKSSLFSKKPPKPESASSGDRTPSSDENDWTIVNP >Manes.12G097100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22093314:22096200:1 gene:Manes.12G097100.v8.1 transcript:Manes.12G097100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVPGSMGTSASLALRLGQAIFSTASLFFMCLGIDFYSYTAFCYLVTVMGLLIPWSVTLVLVDVYSVFVKCLPHRPKVLSAIIIGDWVLSFLSLAAASSTASVTDLLLDVGTSYCPAKMCSRYQLSAAMAFLSWFLSFASALFNLWLLPSL >Manes.05G147500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24965213:24968425:1 gene:Manes.05G147500.v8.1 transcript:Manes.05G147500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIAAMDVSNNGSVKSLETTGSVFEIEDSDISKLLERPRPINIERKRSFDERSFNSELSITLSPRFSYRNHLENGSPVGRRSGYSTPLSSCYFESHPMVAEAWESLRRTLVYHRRQPVGTLAALDHSMDELNYDQVFVRDFVPSALAFLMNGEHEVVKNFILKTLHLQSWEKRIDQFKLGEGVMPASFKVLHKPEKNIETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAERPDCQRGMRLILTLCLSEGFETFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALILLKHDDEGKEFIERIVTRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVMPDSLPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCVAILSSLATPEQALAIMDLIESRWEELVGEMPLKICYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELTENRLSKDHWPEYYDGKLGRFVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLVKRSASWTC >Manes.05G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24964746:24968563:1 gene:Manes.05G147500.v8.1 transcript:Manes.05G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIAAMDVSNNGSVKSLETTGSVFEIEDSDISKLLERPRPINIERKRSFDERSFNSELSITLSPRFSYRNHLENGSPVGRRSGYSTPLSSCYFESHPMVAEAWESLRRTLVYHRRQPVGTLAALDHSMDELNYDQVFVRDFVPSALAFLMNGEHEVVKNFILKTLHLQSWEKRIDQFKLGEGVMPASFKVLHKPEKNIETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAERPDCQRGMRLILTLCLSEGFETFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALILLKHDDEGKEFIERIVTRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVMPDSLPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCVAILSSLATPEQALAIMDLIESRWEELVGEMPLKICYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELTENRLSKDHWPEYYDGKLGRFVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLVKRSASWTC >Manes.17G089080.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29756006:29758364:-1 gene:Manes.17G089080.v8.1 transcript:Manes.17G089080.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKPTILPLIFATFIICFCSFSSLAELQRFQQPLKSDGSLSFLVIGDWGRRGLFNQSEVASQMGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSSSTAKWKLVVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSKHSNIQFITSGGGSKAWRGDIRKWDPEELKLYYDGQGFMSVQMTDSTATFAFYDAFGSVLHQWSISKESHSAA >Manes.07G081700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:25514184:25515098:-1 gene:Manes.07G081700.v8.1 transcript:Manes.07G081700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSTEISFEFGSFFRAYKDGRVERFFGTDKVPASINSPDGISTKDVLIVPETGVSARIFIPSNINSGQKIPLLVYFHGGGFLIGSPFCPTYHNFLASLVTAANVIAVSIDYRLAPENPLPTAYEDSWVALKWVASHCNGGGSEPWLKDHADFGRVFLAGDSAGANIVHNVGVQASVEDLNGVKLFGICLIHPYFGRKEGGVDDSWLFVSPDTSGFNDLRINPALDSRLASLGCTRVLICIAEKDKLRERGVFYYETLVKSGWNGEVEIVETEGEEHVFHLFKPNCEHAVALLKKLASFMNQD >Manes.11G017900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2027533:2030171:-1 gene:Manes.11G017900.v8.1 transcript:Manes.11G017900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNILTKLVAGVLEKNSLPPAIFTSFCGGADIGQAIAKDTRIPLVSFTGSSKVGLMVQQTVNQRFGKCLLELSGNNAIIVMDDADIQLAVRSILFAAVGTAGQRCTTCRRLLLHESIYDRVIDQLLSVYKQVKVGDPLEKGTLLGPLHTSESRKNFEKGIETIKSQGGKILTGGSVIESEGNYVQPTIVEISPKADVVKEELFAPVLYVMKFQTLQDAIEINNSVPQGLSSSIFTRKPEVIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFGS >Manes.12G116800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31825146:31830774:-1 gene:Manes.12G116800.v8.1 transcript:Manes.12G116800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAYQPYIDPEFESLLERIYPPRVCIDNDACQDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYISSDGVWFMDVFHVTDQLGIKITDESLILYIQQVICIWKYTYLCRSAQFCLVIIAELQALCASRKEGISKELQNCLKREVRPPHVSMGHTALEITGTDRPGLLSEISAVLTQLECNVTAAVAWTHNTRAASIIYVEDKLRGGPITDLKRLAHVEEQLENVVDARHRVGEKRSVRLTTPVAGQTHTERRLHQLMYANMDYEPCRGCTETGVAHRRHCTKIHVSIESCKEKGYSVVNMRSRDRPKLLFDTLCALTDMQYVVFHAAVSSRGTMADQVWYMNSTEKDYARTITAKTENWKSLQEYFIRHKDGFTLDTECERHKLTQCLIAAVERRVSHGLRLDLCTHNRVGLLSDVTRVFRESGLSISRAEIGTQGDRAVGSFYVTDASGDEVNPQTIELVRKEIGGSILVVNKSPGWTPRTPSTRNISRSSSGSVGEDKPRFSLGSLFWSQLERLSNNFGSIRS >Manes.12G116800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31825146:31831054:-1 gene:Manes.12G116800.v8.1 transcript:Manes.12G116800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAYQPYIDPEFESLLERIYPPRVCIDNDACQDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYISSDGVWFMDVFHVTDQLGIKITDESLILYIQQVICIWKYTYLCRSAQFCLVIIAELQALCASRKEGISKELQNCLKREVRPPHVSMGHTALEITGTDRPGLLSEISAVLTQLECNVTAAVAWTHNTRAASIIYVEDKLRGGPITDLKRLAHVEEQLENVVDARHRVGEKRSVRLTTPVAGQTHTERRLHQLMYANMDYEPCRGCTETGVAHRRHCTKIHVSIESCKEKGYSVVNMRSRDRPKLLFDTLCALTDMQYVVFHAAVSSRGTMADQEYFIRHKDGFTLDTECERHKLTQCLIAAVERRVSHGLRLDLCTHNRVGLLSDVTRVFRESGLSISRAEIGTQGDRAVGSFYVTDASGDEVNPQTIELVRKEIGGSILVVNKSPGWTPRTPSTRNISRSSSGSVGEDKPRFSLGSLFWSQLERLSNNFGSIRS >Manes.12G116800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31825303:31831054:-1 gene:Manes.12G116800.v8.1 transcript:Manes.12G116800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAYQPYIDPEFESLLERIYPPRVCIDNDACQDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYISSDGVWFMDVFHVTDQLGIKITDESLILYIQQALCASRKEGISKELQNCLKREVRPPHVSMGHTALEITGTDRPGLLSEISAVLTQLECNVTAAVAWTHNTRAASIIYVEDKLRGGPITDLKRLAHVEEQLENVVDARHRVGEKRSVRLTTPVAGQTHTERRLHQLMYANMDYEPCRGCTETGVAHRRHCTKIHVSIESCKEKGYSVVNMRSRDRPKLLFDTLCALTDMQYVVFHAAVSSRGTMADQEYFIRHKDGFTLDTECERHKLTQCLIAAVERRVSHGLRLDLCTHNRVGLLSDVTRVFRESGLSISRAEIGTQGDRAVGSFYVTDASGDEVNPQTIELVRKEIGGSILVVNKSPGWTPRTPSTRNISRSSSGSVGEDKPRFSLGSLFWSQLERLSNNFGSIRS >Manes.12G116800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31826771:31831054:-1 gene:Manes.12G116800.v8.1 transcript:Manes.12G116800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAYQPYIDPEFESLLERIYPPRVCIDNDACQDCTLVKVDSANKHGILLEMVQVLTDLDLVISKSYISSDGVWFMDVFHVTDQLGIKITDESLILYIQQALCASRKEGISKELQNCLKREVRPPHVSMGHTALEITGTDRPGLLSEISAVLTQLECNVTAAVAWTHNTRAASIIYVEDKLRGGPITDLKRLAHVEEQLENVVDARHRVGEKRSVRLTTPVAGQTHTERRLHQLMYANMDYEPCRGCTETGVAHRRHCTKIHVSIESCKEKGYSVVNMRSRDRPKLLFDTLCALTDMQYVVFHAAVSSRGTMADQEYFIRHKDGFTLDTECERHKLTQCLIAAVERRVSHGLRLDLCTHNRVGLLSDVTRVFRESGLSISRAEIGTQGDRAVGSFYVTDASGDEVNPQTIELVRKEIGGSILVVNKSPGWTPRTPSTRNISRSSSGSVGEDKPRFSLGSLFWSQLERLSNNFGSIRS >Manes.02G103500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8114097:8117061:1 gene:Manes.02G103500.v8.1 transcript:Manes.02G103500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNTEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEDIEDAERD >Manes.12G157600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:36551948:36552571:1 gene:Manes.12G157600.v8.1 transcript:Manes.12G157600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESHGSCPNVPSRGSPESPCLKSTSTNNNNNNNKEQDRFLPIANVGRIMKKVIPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEDYVAPLKLYLSRYRDIEGEKLNIPKQQRTTDQRLQQTPQEQDQSLPSFNSVYSSTTSLISQPSFVPADQSFSLNFSPNSIQKQLQQHDQIDSVGHW >Manes.17G112400.56.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.56.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.57.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891359:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.57.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEQMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.49.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.49.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891359:31914516:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891359:31914516:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.48.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.48.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.54.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.54.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.55.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.55.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.52.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.52.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.46.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.46.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEQMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.58.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.58.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.53.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.53.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.51.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891359:31914516:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.51.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.50.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.50.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.45.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEEISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEQMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAQISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.17G112400.47.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31891433:31914305:1 gene:Manes.17G112400.v8.1 transcript:Manes.17G112400.47.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEADIQEPVSLAENDGVNIHLTPREFNQPPETADDLLKQEKKEVSSHVETSVSGSEGNAALKVGGSFFESPTIAKVPGEETCHAVDMPYQAEKEMPENEKQIQKDEKFKAAAEEMETKEDEVISEKKKKAEKDETSCEISDATEISLKMDKIEEPRLRFGEEEIREERISDHNEENTKTIGKETIGLQKYEGDGPKENEDAELTTSKEASIAEASSGHNEETMVHIPELTVHDLETNVEQRTEACLKNENDKTWSTETAVTEEKSGKKTSEGVQEKKEERCSSFLEENKSPDEDEIVENKGSEKEGIEHEAIEETSQTLRESIDIIENEGEASSAIIERVDVTLATDTSRIQEDDVLQVGDKVEETFSHKMKEEKQDTNENKKDMTPIIGESQEKDHVDIPGVTQTEEKCLQKAEETQVSNVVQEKWSKDVKENPQEEGQTEILEKAQGDGSGGENITNEMIPEQKLENLLVNHKEGRENIDEQVTVTETLATESIHDIGHTVIAAEDINEALTASGQSKDEPENEEIKTTLEMASMETEKIASGECPETIACAKEDTSIKNVEEMCLEEVDKTQVSNVVQENWTEDVKENPQEEGQIEIPKKAEADCSEGENVKDEMTREQKLDSMLVNHKEDKEDIDEQLDVTKALAEKSRHIAHPVIVVEDINDALTAPGHSIDEPGNEEIKASLEKASEEIETTASGECSETIACPKEDTSIKNEEESSDRKDKEEKSMDTGAIREIGILKLENLQPKELNEKVEIKEVEIPNKSLHELTATHSLEKKTGQLEDEELSVVSEEPQDQVEEEEKSVKVDAIRDDGTPKIENPQSTQVNEKIEMAEVEIQNKSIDELNETHSLKQERGPVEGKELGEISEFEPQDQVEEEEKSVVIDMIRDDGIPKVENLSPAEVNEKTDVTEVEISNKSIGEFSSTHSMEREILLGEDEELMEVSNSKPQDQVQEANEAGTQEGNHDKHNYSACERTKEVNLELTEPSSETSTAKIEKLEEAGAYDSKEKLEIKDAPTSIEEQNFQAACLPEVVITLEGEKEEAKSIKNEEITGTREVNDVQNIKGNIMHLPSEVTDEETVQSSEQIESEVHISTGEDTIGQIVEGNNNEDISQESVEECAVKNVQNDEKNSDKSVITEIFHENETVKTVDYIANEAEQNDERPNPTLQSSLVDSMQSEHLTSHEVSSAGETVEDASSIQKDEYKENYTAQIEVEEHNEEKDKEDLETIMKTSHNTVGQIVEDNNNEDISQESVEECAVKNIHNDKKNSDKSIIKEVFQETETVKTVDYIANEAKQNDERPNSTLESSLVESTQSKNLTSHAVSSAGETVEDVSSIKKDEYKENYTGQIEVEEHNEEKKEEDLETTFQDNEPRENIKQLLNAISEETEAGVLSKMDNTAEERLEGTQDIERGIRMVTEEEITNESHIKSLSQQSVEQDQIKNFQNDDIGAEKSNTEKFEESKTAGRGKSIAHETNQIEESLPTSMVKEVESLQVEDKNIQVEASLEEKKEDGSSINMNEANASHARLEEDTMNIDVEKSKTDDFYHETNQNDESINSSTSLAKEAESLQGEGKNIPVEASLEEKKETCSSIKMDEVNGNNAQLEELEEQNEELLKSEEDSEQILKKIEPGEKLEQPITVVYAEREAVTWTEMANNTDAEITENPEDNVEGEMPKEEDDTKEYIIDERDIGSLSKECIEQGMLENFHNDEINAEASNQEIFKETPTSKTGESIALGTNENNENCNATHPTAPMKEKESLQSKVENINPTEVLPEDTTEEDESLTKASTDSDNNTESAEAMKNGEKERSQGEENREPELQKNETEEPPESSSNVITAKSETGKISEKANDIIIEKEVEAIQNTEETVQNDNNEEENEDIESKIQKVPTREDLKPEAKTCITNVSVKSEAVEIKPITSEIDVEGKHTSNKTEEELEKEEIEEVNNDTKPACLNEYNKIYITTEAHATRDISPQLAEEETALVNKGEKEEERPKMETQEVLDTQKLVLEEVNVMKDKSKEPAIAIAGEMEVVPEKEMHEITRSSKDEDIGKQIIEEGSATKDNVDVPVRGTDEEDPMRKVKEDESGTMKSKEEIKSFNSEPSEQIASRGYEIENQFNSMKDKEEPNMGLYPVEESEEGMLLKEGKESLVEVVFLESQSKEDTLMKEESHVNDSNNESVMEVTKETSVEGVEFEVEAKRQLESSGSYTKEKGPVSIVAKGNTLDGFDINAPRDDASTLVEKRDHDRPQEADEAEEDIKHDIQNQALIAGSDIQSELIISATFSGKISDDNLMECSKMSTKDSELITSQESKPVDENSSKHPTAHENIEIALISQATEPTHNEVTAAEEVSRLGLVDTGIENTNEEARSYESEDLHQNLELHNEQILSKPEDIEILKTEETGELVDQGEVCNSKTSEDETSKCTESINADRKETIPEEDCAKKSEDKEDDAKDSSKNCSLKKDDSVDKEAVELAEEKELEATQSKNYGIPTEENLESEESSKKLDCTSEVVKRDQSHETILETNLNTVEENIISESVEQSSQESQEHQETEEKAESKLEAEDHIKETQNADETTKAAILTEEVREFSLISTESLKATDSNKQTEKVSSEVQEAKEKEERELGDEDSENTASLSPTIPTKESEEKTKEQNKEGADSGYDKIKATTVVKEVLSDDRMDSKIPSDESKPHAVEVEASQQHIMVPEENPSSLASQLPPDDHESIKQETTTMGNANLDDTEDSGKVSDVVYGFSKRSVEELHTDEIREEIKEASETVDKSHSDETVAGIEVATDQTLQEEITKEHETPSLAMPCKEEEQGITATFENMGEKIEKVGIIKDEMPENSTALETTEDRCLDVEKGEPLDKVKEEIKEVSETALKSKHEVAMEDEGSDDKEEKSEEQHRTESGALLFKEHELEYSANIEKIEESIKEVELLVGGSKTNEEIFLPKEESRDLIVSQLNLELCKDKEESPNEAQREQDGTSLETEEKKESSDSILKSNSRDNEEHKSEISSDKTDTIEKLEEQNKTLSSSLLSKEQEDGTSAKIKSAEENKEREMLEDKSEAINDVFLQKEDPRELEVSQLEFQPDKDAKEESPNEIHDRQDGAIDVTKEAIKEVSESALQPSSEDHAEAAENEIVSDQSLSADKLHEQNQTASSGLLSKEQQHSISTNIDRIEEKEMEVKVLEIAPKETGEGYIKKEETRELKPSQLDLQIDNDMKDDGLELIHEAEYASHGTPDEHLKLQPELGQEIRDAEHPSESGKISESEITGPFEKTSNLKIEVNEESPEPESDVQGHEVFTESEETEIKEKHLEATTLDLMEKENQGEKTTGANQIIHNDVTNEQIMEEDDAKKCEEIINGEDGAKESHQDHEIKGEKNLGDEALTKAKQDETISTEKETVENLQQVAADNILVEEAAKIIYQEREMKDKEIPGDEKLAKEKQNEEISAGKGIIENLHHDVNENILDEEKTEEIHQEHEIKGDEILGDNMLAKEMQSEEMITEKGTVENLHQVVVNNLSKEAIEEIHQEHKIKVEESQGDNEVTNEQIMEENDAKKCEEITNGEEGAKESCKDHEINGEESLGDDALAKATQDETISTEKETVENHQQAVVNSILVEEATKIIHQEHEMKDEENLGDETKEKQNEEVSVGKGIIENLHQDVVENILGEEETIEIHQEHEIKGEEIVGDKVLAKEMQSEAISKEKGTVEKLYEAVVDNISSKEATEEIYQEHDIKDEESQGANEVTNEQIIEEDDAKKCEEIAKGEDGAKESHQDHEINGEESLGDEALTKETQDETISTEKETVENLQQATADNILVEEATKMIHQERKIKVEENQRDNEVTNGQIMEENDDKKCEEITNDEDRAKESYQDHEIKGAESLGDEALTTATQDERISTERETVEHEIKVDESQGDNEVTNEQIMEENDDKKCEEITTDEDEAKENHQAHEIKGAESLGDEALTKATQDETISTKGETVENIQQATADNILIEEATKMIHQEHEMKGKEILADDILAKEVISTGKETIENLRQGILDNVLSEEATKVIHQEYEIKGEEILGDKALVEETRSEALCKEIVESNASETLQKHISKEKGIVEKLQEAIVDDISSEAATEEILQEQEIKVEESQEEDKVTNEQILGANEAKNCGKITYIEEGNKESHLDHEIKGEESLGDEALIKATQNELVSTDMEAFENLQQVVTDNILVEDVMKDEEIPRNEILGKEEQNEELRKEIRKTDSRDTIEQQISTKKGTTENLHQGGVENILGHQEHEIKGEEIPGDKVLAKEVEIVKTNASETLQKQINAEKGTVDNLHQAVVDNISSEEATKEINQEHEIKVEKTQRDDEVTNEQIMEENHEETEIIHDEEGAKASHQDHEVRGPGDETLTKGTQDEEISKKKETAEVIQQAIIGNNLVEEAIKMVHQEHEMKDEETLREKTLAKEIQSEVTSPEKGVMENIHQGISDTISSEGAIKEIHQENEIKSEEILADLELPGKMQSEVLWKEITKTDTSETFQKHTNAEKGTTENLQQVAVDNISGEKAIDKIQQERGVKSEENLEDNEVTDKQIMEDNDAKNCEAITYGEGGTKQSHQDHEIRSEESQGYEELTKEIQNEMISTEKGTAKSLQQAVADNNLVKEGTKMICQEHEMTEEGIQGDKILAKETQNEVLCKEIRMTDSSDTTENQINTEKGTIVNLHQGVDENISGEEATKEIHQEHEIKGEDILGDKMLAEVIQSEVLHKEITETDASEMLAKHIITEKGIVENLHQVVVDNISSEEATEEVQQEHEIKGEESPGENILAKEMQKEALHKEIQKTDASETIEKHISTKIVIVENFHQAVQNENAEVHFPDETECRKTENAERKLNIESQGDETISRDCITDATTATEKELDVDTSRIEVAIGLPKASETMKDIHQEEKTSDGTLENQSLREVEPETMVSMGEKDVQQVLKEPVAETIQVTQIGGSQPVYATDVDLELKRVDHGTKDIVDEKDGKAIEEIVPRDSAKLSLFDMMQKSTRERQEARESAEEKEPKARKEELEQTEKAKSDEEEDDEREEQKKDDSGSDAPVIVEASRDIEIKVAHKKSHNILSGVGSKVKHSISKVKKAITGKSSHPKQQSPK >Manes.05G123600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21223985:21228847:1 gene:Manes.05G123600.v8.1 transcript:Manes.05G123600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNIKSTIERYKKACSDSSNTSSITEINAQYYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKHELLLAEIEYLQKREIELENESVCLRTKIAEIERLQQANMVTGAELNAIQALTSRNFFGPHMIEGGTAYSHPDKKILHLG >Manes.13G105400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30636741:30651459:1 gene:Manes.13G105400.v8.1 transcript:Manes.13G105400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSITHSTQSPSWFTPRRLLMMFCVINMLNYVDRGAIASNGVNGSIRTCDDKGICNSGSGIQGDFNLNNFQDGVLSSAFMVGLLVASPIFASLAKSHNPFRLIGVGLSVWTFATAGCGSSFDFWSIAICRMLVGVGEASFISLAAPFIDDNAPVAQKTAWLATFYMCIPTGVALGYVYGGFVGNHFNWRFAFWGEAFLMLPFAVLGFVMKPLPLKGFAFTESKEALTSIEALASIPEEDDNEGIGSNASVKGSNRLKGKTTILQQLSRISRDMKVLLLDRVYVVNVLGYISYNFVIGAYSYWGPKAGYNIYHMSNADMMFGGITIVCGILGTLAGGIILDRMNATISNAFKLLSVATFLGAIFCFSAFCLRSLFGFIVLFSVGELLVFATQAPVNFVCLHCVKPGLRPLSIAMSTVSIHIFGDVPSSPLVGVLQDHINNWRGTALILTAVLFLAAAIWFIGVFLNSADMFDEDGENHISADTKASMEPLLEDRTEMSDNFNEA >Manes.13G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30636741:30651459:1 gene:Manes.13G105400.v8.1 transcript:Manes.13G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSITHSTQSPSWFTPRRLLMMFCVINMLNYVDRGAIASNGVNGSIRTCDDKGICNSGSGIQGDFNLNNFQDGVLSSAFMVGLLVASPIFASLAKSHNPFRLIGVGLSVWTFATAGCGSSFDFWSIAICRMLVGVGEASFISLAAPFIDDNAPVAQKTAWLATFYMCIPTGVALGYVYGGFVGNHFNWRFAFWGEAFLMLPFAVLGFVMKPLPLKGFAFTESKEALTSIEALASIPEEDDNEGIGSNASVKGSKLKGKTTILQQLSRISRDMKVLLLDRVYVVNVLGYISYNFVIGAYSYWGPKAGYNIYHMSNADMMFGGITIVCGILGTLAGGIILDRMNATISNAFKLLSVATFLGAIFCFSAFCLRSLFGFIVLFSVGELLVFATQAPVNFVCLHCVKPGLRPLSIAMSTVSIHIFGDVPSSPLVGVLQDHINNWRGTALILTAVLFLAAAIWFIGVFLNSADMFDEDGENHISADTKASMEPLLEDRTEMSDNFNEA >Manes.10G110823.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27211425:27211842:1 gene:Manes.10G110823.v8.1 transcript:Manes.10G110823.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDGMDKEKLRELKLKALELAKEKLKKEIAGRLRLKTECMTKNDINSRISEELNDKYLLVLNDVWCIFELDDIGICSNNKGNNVILASRNQDICWGMDIDAIEEVKSLQK >Manes.01G189100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36531141:36533306:1 gene:Manes.01G189100.v8.1 transcript:Manes.01G189100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAEEVKQRSKLASCDAYFVKVQSRKKLPQPLQETLASAFARIPASSFPLVPGGKVIEIQAETSVADAVKILSDCNIMSAPVKCSDGGTSLDWRDRYLGIIDYSAIILWVLESAELAAVALSANTATAAGVGAGAVGAVGAVALGITGPAAVAGLTAGAVGAAVVGGVEADKGLGKDAPTAADNLGQDFYQVILQEEPFKSTTVKSILKSYRWAPFLPVATDSSMLSVLLLLSKYRMRNVPVIEPGNPDVKNFITQSAIIQGLEGCKGRDWFDCIASRPISDFGLPFMSPKEVVSVQSNELILVAFKQMKDYQIGGLPVVEGPQKKVVGNISIRDIRHLLLKPELFTNFRQLTVMDFIKTISSSQVGGAIPPVTCKLNASLGGVIHSLASKSIHRIHVVGNDENEVVGVITLRDVISCFVYEPPNISDHYFGFPAKEMLNG >Manes.07G053501.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7596608:7599473:1 gene:Manes.07G053501.v8.1 transcript:Manes.07G053501.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEAILSTSMNTNESPINPTKYREIISTLPRQPNVFAPPLDQYIHKYQGFWFRTTMLESIMSVHEHFTPQPTDIIICTNPKSGTTWLKALCFATFTRTRFNDFSSHPLFTKSPHDFIPWIEISANRESELPVFATHIPYTLLPKYIVELKCKIIYLSRDPKDLFISYWQFMCKLRKEAIPLETAYQYFCKGINSCGPWWDHILGSWKASLEFPEKILFMKYEDMMNDPFSYVKRIAEFMSCPFSAEEERQGLVQKIVNLCSFETLSNLEVNRNSLENSATGALKIENNSFFRKGKVGDWKNYLTAEMGAHLDQITEQKFSGSGLSFRAQDLQCLSEGKS >Manes.07G053501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7596513:7599602:1 gene:Manes.07G053501.v8.1 transcript:Manes.07G053501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEAILSTSMNTNESPINPTKYREIISTLPRQPNVFAPPLDQYIHKYQGFWFRTTMLESIMSVHEHFTPQPTDIIICTNPKSGTTWLKALCFATFTRTRFNDFSSHPLFTKSPHDFIPWIEISANRESELPVFATHIPYTLLPKYIVELKCKIIYLSRDPKDLFISYWQFMCKLRKEAIPLETAYQYFCKGINSCGPWWDHILGSWKASLEFPEKILFMKYEDMMNDPFSYVKRIAEFMSCPFSAEEERQGLVQKIVNLCSFETLSNLEVNRNSLENSATGALKIENNSFFRKGKVGDWKNYLTAEMGAHLDQITEQKFSGSGLSFRAQDLQCLSEGKS >Manes.07G053501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7596511:7599602:1 gene:Manes.07G053501.v8.1 transcript:Manes.07G053501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEAILSTSMNTNESPINPTKYREIISTLPRQPNVFAPPLDQYIHKYQGFWFRTTMLESIMSVHEHFTPQPTDIIICTNPKSGTTWLKALCFATFTRTRFNDFSSHPLFTKSPHDFIPWIEISANRESELPVFATHIPYTLLPKYIVELKCKIIYLSRDPKDLFISYWQFMCKLRKEAIPLETAYQYFCKGINSCGPWWDHILGSWKASLEFPEKILFMKYEDMMNDPFSYVKRIAEFMSCPFSAEEERQGLVQKIVNLCSFETLSNLEVNRNSLENSATGALKIENNSFFRKGKVGDWKNYLTAEMGAHLDQITEQKFSGSGLSFRAQDLQCLSEGKS >Manes.07G053501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7596511:7599127:1 gene:Manes.07G053501.v8.1 transcript:Manes.07G053501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEAILSTSMNTNESPINPTKYREIISTLPRQPNVFAPPLDQYIHKYQGFWFRTTMLESIMSVHEHFTPQPTDIIICTNPKSGTTWLKALCFATFTRTRFNDFSSHPLFTKSPHDFIPWIEISANRESELPVFATHIPYTLLPKYIVELKCKIIYLSRDPKDLFISYWQFMCKLRKEAIPLETAYQYFCKGINSCGPWWDHILGSWKASLEFPEKILFMKYEDMMNDPFSYVKRIAEFMSCPFSAEEERQGLVQKIVNLCSFETLSNLEVNRNSLENSATGALKIENNSFFRKGKVGDWKNYLTAEMGAHLDQITEQKFSGSGLSFRAQDLQCLSEGKS >Manes.04G063980.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24553773:24556586:1 gene:Manes.04G063980.v8.1 transcript:Manes.04G063980.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFALFINSIFIFIQSYSCDAKDLMACKFDAIYQLGDSISDTGNSIIEMPQAYHARFPYGQTIHKATGRSSDGYLIIDYIAQSAGLPLLEPYENPNSTFIHGVNFAVAGATASSIRTLRRWHLPLPYTNSSLYVQARWLKKHLFAICNDKIECERKLKHALYMIGTIGCNDYIIAFQYGKSIEEVKVMVPRVIQSIKTAIRRVIRYGAYRVVVPGAFQLGCAPSFLTAFSSNKSSYDSYGCLKDYNDFFMYHNNHLQVALQKIREKNPHIHIIYGDLYGALEWILDNFSNLGFTSLRKGCCGIGGRFNYNPSIKKMCGAYGVPICPNPKEYVFWDGSHFSHEANKYMSKWLVKDILPQLQCNI >Manes.18G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:774727:776463:-1 gene:Manes.18G004000.v8.1 transcript:Manes.18G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAFIWQAHPLYPLLLLQNRDEYHNRPTEPVAWWDGCDILGGRDAVAGGTWLACSRTGRVAFLTNVLELHALPEAKSRGELPLRFLESTKSPKEFAESLVKEVHLYNGFNLIVADIASKSMVYLSNRPKGEAIVIQEVSPGIHVLSNAKLDSPWPKAQRLEKNFKEQLEQYGEGEIPVKEMIHKLMRDTVKAAKSRLPGICSTDWEYNLSSIFIEVETPLGRYGTRSTIGLTVRANGEASFYETYLENDMWKERTVKYYISKAKSY >Manes.11G150800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31504176:31506353:-1 gene:Manes.11G150800.v8.1 transcript:Manes.11G150800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKNKNNHDHDHGHDHDQAHSHEAEKEVVQKKEAGDGREEKKGEKNPQTTVFKIEIHCEGCASKIIKLARGLDGVENVKADPAASKLTVIGKVDPSKIREILHQKTKKKVDIISPQPKKEDSNNKNKDENKKSSEKKPDADKKKPDADNKKAKEAPVTSAVIKVAFHCLGCIGKIHKIVTKTKGVQEMALDKQKETVTVKGTMNVKALTEALRDKLKRPVEIVPPKKEKEAGGGGNKDGENGGGGGGKKKNKGGEGQDNAGGGEAAAAKMEGNKMEYAMQPGFGYGPGPGFGYVGQPVPAYGNGYMGQPMPMYGNGYMGHPVQIPMPVPVHEYGYGYGYGPVQVPGYPVHMKFNDENPNACSVM >Manes.02G147600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11367984:11370393:-1 gene:Manes.02G147600.v8.1 transcript:Manes.02G147600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLWLNNKKEQNQNQLREKYKKMGKEAEKVRKPRLLCLHGFRTSGEILKKQVHKWPESVVQKLDLVFLDAPYPAQGKSDVEGIFEPPYYEWFQFNKEFTEYTNFDECLAYIEDFMIKHGPFDGLLGFSQGAILSAGLPGLQAKGVALTKVPKIKFLIIIGGAKFKATSVAEKAYGSPIQCPSLHFLGEMDFLKPYGLELLESCVDSVVVKHPKGHTIPRLDEQGLETTTSFIEKIENMLAKTESTDSLIV >Manes.15G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1113032:1117641:1 gene:Manes.15G013000.v8.1 transcript:Manes.15G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESFIYSFVSRGTMILAEYTEFTGNFPAIAAQCLQRLPSSNDKFTYNCDHHTFNFLVEDGYAYCVVAKESVSKQISIAFLERMKADFKKRYGGGKADTAVAKSLNKEFGPIMKEHMKYIIDHAEEIEKLIKVKAQVSEVKSIMLGNIDKAIDRGVTITTLADKTENLRDQAQAYKKQGTQIRRKMWYQNMKIKLVVLGILLLLVLIIWLSICRGFDCTN >Manes.02G043200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3564408:3566416:1 gene:Manes.02G043200.v8.1 transcript:Manes.02G043200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMQMQISSTLPAHNFHLVQAKCGNFKCQQSPLNPSIKPTSASMTQSLKPVTSTEFTKKHLANLEKLIQKQSTPEPNQPELVQPVHRVSNGNDNRSTLANKGKALLEGLNLGRMWSEMRAAEEMSPRHLNRLQRLLSKTAEYSPRNNLASRWREYHGSNNWAGLLDPLDENLRREVVRYGEFVQAAYHAFHSNPTMSTEEVPLPRHVALPDRSYKVTKSLYATSSVGLPKWVDDVAPDLGWMTQRSSWVGYVAVCDDKREIQRMGRRDIVIALRGTSTCLEWAENMRAHLVDMPGNHDSVHGQPKVECGFLSLYKTSGAHVPSLAESVAEEIRRLMEQYKGETLSITVTGHSLGAALAVLVADDLSTQAKDMPPVAVFSFGGPKVGNRGFANQINAKNVKVLRIVNNQDVITKVPGLPMVEELNDDLPLAYSHVGIELRVDTKMSPYLKPNADVACCHDLEAYLHLVDGFLASNCPFRANAKRSLVKLLNDQGSNVKKLYTTKAHALSLNLDRQGFSPSGCLPSPSQ >Manes.12G057905.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5542017:5544197:-1 gene:Manes.12G057905.v8.1 transcript:Manes.12G057905.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPNAGSNAPAKPWERAGTSSSGPTPFKPSSPGSTSDVVEASGTAKPGEIVPAVNKNTTVAGNSLGRPVPTRPWELQTYGSTYGGYGSGLNYNSGYGSGMYGSSYGGYGGSYGGGLYGNNMYRGGYGGLYGGGMYGGGGGMYNGGFGGPMGGYGTGMGPYGDQDPNNPYGAPSSPPGFWISFLRVMQGVVNFFGRISILIDQNTQAFHMFMTALLQLFDRTGLLYGELARFVLRLLGIKTKPRKVEGPGPNGLPAPLNPHGNQNYIEGPKGAPSGGWDNVWGDGSS >Manes.01G274500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42844080:42845375:1 gene:Manes.01G274500.v8.1 transcript:Manes.01G274500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLGIARPCTSPSASKHLPLHFPTPLFRRFLSSKFPVLTYSQQPNSQYSPHVLCAITSNSAKLGKKWMEYQGINNWEGLLDPLDDNLRSEILRYGQFVEAAYRSFDFDPSSPTYATSKFSRNSLLAKTGIGETGYRMTKNLRATCGIQFPHWVDRAPSWASTQSSWIGYVAVCQDKEVITRLGRRDVVIAYRGTATCLEWVENLRVKLSCLSDGIEESKAPMVESGFLSLYTSCRGTGPSLQNMVRGEVERVLEMYGDEPLSFTITGHSLGAALATLTAYDIKCSCGNAAMVTVISFGGPRVGNRSFRFQLENRGTKILRIVNSDDLITKVPGFVIDNNDVSESQAVRVAGVPSWLQKSVESTEWVYADVGRELRLSSKSLSKRDVATCHELSTYLELVNGFVSSTCPFKTTAKKMLNKHEREKLGLMR >Manes.02G091700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7161700:7163031:1 gene:Manes.02G091700.v8.1 transcript:Manes.02G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLKLKFSRVLTSFSSCRLKDPSTFPSNPVPSFLRFSPVKLHLPPSVPPPSKPHPSSSIKRHMSSALASITCGFRSRTTTKYYLSETKHKKSPPPPSPPPPEFHWEREEKWHVVAKMYGETPRRKIYNSLVSDDFKTDNIFLPPPPPLNTERKRRRIRKKKKTTPRFRTSSSSADNGLPGSEGLDNDDDNEEDDMDKGEIETLVSSTRSFSIDYPFPELNFHLKSIRESPFNRINHRKKKVIKKAKRYVNKSGRKSCDESQSSPARLSRFQWLIPCTLEGKVRESFAVVKKSENPHEDFKRSMMEMIVEKQMFEVNDLEQLLQCFLSLNSRQYHGIIVEAFSEIWVDLICKSSISNGVSRAL >Manes.09G023800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4785743:4790688:1 gene:Manes.09G023800.v8.1 transcript:Manes.09G023800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHSYQEICFAWGLKAELRKLEDILLTVKAVLMDAEEKQVNDNQLRLWLAKLKDALYDAEDVLDEFESEDQRRRMLQLYGTTCKKVGRFFSCSNPIAFRFKMSAKVKQIRERLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIITLLQDSSDSEQISVIPIVGIGGLGKTALTKLVYNDTEVKNHFQLQIWVCVSEDFDIKILTEKIIKSTEVGKRYGVESLSKMEMEQLQEILRESIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPRAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIGQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFYNWELIQFWMAHGLLESANQDEEPEDIGSRYFQELGSRSFFQDFETREGISISCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSTQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRNLHVHGNSRIKKLPNSICKLYNLQTLMLCEGIEELPSDIRYLINLRFLMFSTKQKFLPKNGIGCLTSLRFLGIANCENLEHLFEDMQGLKHLRTLIIGGCESLISLPQSMKYLTALEILAIGSCENLKLTLEEKGKSDKHYLAQFNLQKLILAKLPKLVDFPEWLLQGSSNTLQFLKLESCEHLKELPVCIQNIVSLQQLEIEDCDELNERCERGKGEDWSKIAHIPKIIINGSDIDSSDD >Manes.09G023800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4785743:4791354:1 gene:Manes.09G023800.v8.1 transcript:Manes.09G023800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHSYQEICFAWGLKAELRKLEDILLTVKAVLMDAEEKQVNDNQLRLWLAKLKDALYDAEDVLDEFESEDQRRRMLQLYGTTCKKVGRFFSCSNPIAFRFKMSAKVKQIRERLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIITLLQDSSDSEQISVIPIVGIGGLGKTALTKLVYNDTEVKNHFQLQIWVCVSEDFDIKILTEKIIKSTEVGKRYGVESLSKMEMEQLQEILRESIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPRAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIGQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFYNWELIQFWMAHGLLESANQDEEPEDIGSRYFQELGSRSFFQDFETREGISISCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSTQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRNLHVHGNSRIKKLPNSICKLYNLQTLMLCEGIEELPSDIRYLINLRFLMFSTKQKFLPKNGIGCLTSLRFLGIANCENLEHLFEDMQGLKHLRTLIIGGCESLISLPQSMKYLTALEILAIGSCENLKLTLEEKGKSDKHYLAQFNLQKLILAKLPKLVDFPEWLLQGSSNTLQFLKLESCEHLKELPVCIQNIVSLQQLEIEDCDELNERCERGKGEDWSKIAHIPKIIINGSDIDSSDD >Manes.09G023800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4785743:4791377:1 gene:Manes.09G023800.v8.1 transcript:Manes.09G023800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESFAFNIAEKVLEKIASHSYQEICFAWGLKAELRKLEDILLTVKAVLMDAEEKQVNDNQLRLWLAKLKDALYDAEDVLDEFESEDQRRRMLQLYGTTCKKVGRFFSCSNPIAFRFKMSAKVKQIRERLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIITLLQDSSDSEQISVIPIVGIGGLGKTALTKLVYNDTEVKNHFQLQIWVCVSEDFDIKILTEKIIKSTEVGKRYGVESLSKMEMEQLQEILRESIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPRAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIGQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFYNWELIQFWMAHGLLESANQDEEPEDIGSRYFQELGSRSFFQDFETREGISISCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSTQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRNLHVHGNSRIKKLPNSICKLYNLQTLMLCEGIEELPSDIRYLINLRFLMFSTKQKFLPKNGIGCLTSLRFLGIANCENLEHLFEDMQGLKHLRTLIIGGCESLISLPQSMKYLTALEILAIGSCENLKLTLEEKGKSDKHYLAQFNLQKLILAKLPKLVDFPEWLLQGSSNTLQFLKLESCEHLKELPVCIQNIVSLQQLEIEDCDELNERCERGKGEDWSKIAHIPKIIINGSDIDSSDD >Manes.09G023800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4788205:4791377:1 gene:Manes.09G023800.v8.1 transcript:Manes.09G023800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVKQIRERLDEIASQKSKFHLTERYESRHVMPRERALTHSFVQASEVIGRDDDKENIITLLQDSSDSEQISVIPIVGIGGLGKTALTKLVYNDTEVKNHFQLQIWVCVSEDFDIKILTEKIIKSTEVGKRYGVESLSKMEMEQLQEILRESIGDKKYLLILDDVWNDDPMKWNQLKELLCMGANGSKILVTTRSNKVASIMGTIPRAYELSGLPEDECVALFTKFAFKEGQVKRYPNLLKIGVEIVKKCKGVPLAVKTLASLLLLNTDESYWKSIRDSELWKIGQKETDILPALRLSYEQLPAHLKKCFAYCSFYPKDYEFYNWELIQFWMAHGLLESANQDEEPEDIGSRYFQELGSRSFFQDFETREGISISCKMHDLVHDLALSLTQNEFLAITSSTTHISHNVRHLLFPNSTSLPQDLSTLLQGLDRVRTAIFQSDEKSPSTQSNLDSYLLRFQYLRMLDLAHSKLEISLDWIGALKHLRNLHVHGNSRIKKLPNSICKLYNLQTLMLCEGIEELPSDIRYLINLRFLMFSTKQKFLPKNGIGCLTSLRFLGIANCENLEHLFEDMQGLKHLRTLIIGGCESLISLPQSMKYLTALEILAIGSCENLKLTLEEKGKSDKHYLAQFNLQKLILAKLPKLVDFPEWLLQGSSNTLQFLKLESCEHLKELPVCIQNIVSLQQLEIEDCDELNERCERGKGEDWSKIAHIPKIIINGSDIDSSDD >Manes.08G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28499990:28501273:-1 gene:Manes.08G082400.v8.1 transcript:Manes.08G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRNIGVAMDFSPSSKKALKWAIDNLADRGDSLYIIHINPNSLDESRNQLWAKSGSPLIPLAQFREPEVMKSYDVKIDIEVLDMLDTISRQKEVKVITKLYWGGDAREKLLDAIEDLKLDSLVMGSRGLGTVRRILLGSVSTYVMTHAPCPVTIVKEEK >Manes.17G114400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32207990:32211382:1 gene:Manes.17G114400.v8.1 transcript:Manes.17G114400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTLNSPLLYTTWLFSSFIHSFFSLSLADPRITQSGLFCGNSTRFKDLIPAFVKEMGTLSQLITNAHFATYHLNNSPIPIYALAQCHGDLSQTDCLLCYAASRTKIPRCLPSVSARIYLDGCFLRYDKYNFFQEAVSPSLDSRKCSQEKALGSGDESLKLKFVTNVGYLVANVTHKAVENGGFAAMGIEGVYALAQCWESVGEDGCMECLEKSEKAVKGCLPSKEGRAMNTGCYLRYSTDKFFNHEGELEDAHRFSGLLGVTIGIALAAVALLMLCLLAAYARYRNLLIKKEERINLEKISISFNKSSLNFKYETLEKVTDYFNPSRKIGQGGAGSVFVGTLPNGQTVAVKRLIFNTREWVDEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDHFIFGKNRTRILNWKERFNIIVGTAEGLAFLHGGCKERIIHRDIKSSNVLLDENLTPKIADFGLVRRFDADKTHLSTGVAGTIGYMAPEYLIRGQLTEKADVYSFGILVLEIVMGKRCNAFIEDSKSILQTAWQLYRSNRLDEAVDPCLRNDFPVQKASRVLQIGLLCTQASVALRPSMAEVVRMLNTSDCVIPPPNQPPFINATLLEPESFRRFNRTNSFVSNAATKLEVFSHSSSESSSLNSSYRPSRSEELRQE >Manes.17G114400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32207990:32211382:1 gene:Manes.17G114400.v8.1 transcript:Manes.17G114400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTLNSPLLYTTWLFSSFIHSFFSLSLADPRITQSGLFCGNSTRFKDLIPAFVKEMGTLSQLITNAHFATYHLNNSPIPIYALAQCHGDLSQTDCLLCYAASRTKIPRCLPSVSARIYLDGCFLRYDKYNFFQEAVSPSLDSRKCSQEKALGSGDESLKLKFVTNVGYLVANVTHKAVENGGFAAMGIEGVYALAQCWESVGEDGCMECLEKSEKAVKGCLPSKEGRAMNTGCYLRYSTDKFFNHEGELEDAHRFSGLLGVTIGIALAAVALLMLCLLAAYARYRNLLIKKEERINLEKISISFNKSSLNFKYETLEKVTDYFNPSRKIGQGGAGSVFVGTLPNGQTVAVKRLIFNTREWVDEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDHFIFGKNRTRILNWKERFNIIVGTAEGLAFLHGGCKERIIHRDIKSSNVLLDENLTPKIADFGLVRRFDADKTHLSTGVAGTM >Manes.17G114400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32207990:32211382:1 gene:Manes.17G114400.v8.1 transcript:Manes.17G114400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLTLNSPLLYTTWLFSSFIHSFFSLSLADPRITQSGLFCGNSTRFKDLIPAFVKEMGTLSQLITNAHFATYHLNNSPIPIYALAQCHGDLSQTDCLLCYAASRTKIPRCLPSVSARIYLDGCFLRYDKYNFFQEAVSPSLDSRKCSQEKALGSGDESLKLKFVTNVGYLVANVTHKAVENGGFAAMGIEGVYALAQCWESVGEDGCMECLEKSEKAVKGCLPSKEGRAMNTGCYLRYSTDKFFNHEGELEDAHKRINLEKISISFNKSSLNFKYETLEKVTDYFNPSRKIGQGGAGSVFVGTLPNGQTVAVKRLIFNTREWVDEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDHFIFGKNRTRILNWKERFNIIVGTAEGLAFLHGGCKERIIHRDIKSSNVLLDENLTPKIADFGLVRRFDADKTHLSTGVAGTIGYMAPEYLIRGQLTEKADVYSFGILVLEIVMGKRCNAFIEDSKSILQTAWQLYRSNRLDEAVDPCLRNDFPVQKASRVLQIGLLCTQASVALRPSMAEVVRMLNTSDCVIPPPNQPPFINATLLEPESFRRFNRTNSFVSNAATKLEVFSHSSSESSSLNSSYRPSRSEELRQE >Manes.09G085800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:25594278:25598180:-1 gene:Manes.09G085800.v8.1 transcript:Manes.09G085800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSPRAAHPTPNHKRKKRKAAYSSCAFSRVLTILFFPLSRTLLINVRVLALSCISLPIMDSIAKLRFPPQPSHLSLNPHRPSFSKPIFSLSFRTPPPLSPLSSPPSFKFASIRASSSCAPLCKSSQTPHPSLLETLNPLLKTTCITLTCAAAVLFSRFQIKPAIASPVAATPTVEPAKESSKENVSVEEQERDLEEHLSRNPNDTEALRSLMEVRIKARKLLGAIEVVDRLIELEPNEDEWPVLKAQIYIYSGEFELARKEFEEILEKDPYRVEAYHGLVMAHSESGTSFDQVFKRIEAAMDKCKKEKKKSDLRDFKLLIAQIRVMEEKYVDALKVYDELVKEEPRDFRPYLCQGIIYTLMRKKDEAEKKFEQFRKLVPENHPYREFFLDNMFGTKFFSEKV >Manes.11G044400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4816708:4818843:-1 gene:Manes.11G044400.v8.1 transcript:Manes.11G044400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACAFPAARKRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSGFREGTQAAPRKKGAATSA >Manes.11G147500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31230352:31237036:-1 gene:Manes.11G147500.v8.1 transcript:Manes.11G147500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGKGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPLQNPASDSSHGRKKINAVGWPRDDAWMTVLRRLPSDRPEANRNVSSLHHAQEPPIFADDETLDQQHEIAEGKVCIIDGVGDTNFMGTIEVKTYPEVSAVSRSASHDNFNILIHLKAPVTSGRHNGKNNHAELPQMNQNSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSAIAFSFTARRLFPLRLMTDAGRQEALQAVNSLISNGGTNIAEGLRKGVKVIVDRKWKNSVANIILLSDGQDTYTVNSPSGTHSRTDYKSLLPISIRQNGGTGFKIPVHSFGFGTDHDATSMHSISEISGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQDLNVKVDCVDQNLHIGSIKAGSYRTSIMGNARMGTIDVGDLYAEEERDILVTINIPVDRSIGQMSLLKVGCVYKDPIAKHAVTLEGASEVRIQRPEIIGAQIMSIEVDRQRNRLHAAEAMAEARVAAENGDLIRAVSILESCYKSLSESASAQAGDRLCVALCAELKEMQERMANRQAYETSGRAYVLSGLSSHSWQRATARGDSTDSASLVQAYQTPSMVDMVTRSHTMLLGKPSSHPKLRQALSFPAARLQPR >Manes.11G147500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31230352:31236269:-1 gene:Manes.11G147500.v8.1 transcript:Manes.11G147500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGKGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPLQNPASDSSHGRKKINAVGWPRDDAWMTVLRRLPSDRPEANRNVSSLHHAQEPPIFADDETLDQQHEIAEGKVCIIDGVGDTNFMGTIEVKTYPEVSAVSRSASHDNFNILIHLKAPVTSGRHNGKNNHAELPQMNQNSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSAIAFSFTARRLFPLRLMTDAGRQEALQAVNSLISNGGTNIAEGLRKGVKVIVDRKWKNSVANIILLSDGQDTYTVNSPSGTHSRTDYKSLLPISIRQNGGTGFKIPVHSFGFGTDHDATSMHSISEISGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQDLNVKVDCVDQNLHIGSIKAGSYRTSIMGNARMGTIDVGDLYAEEERDILVTINIPVDRSIGQMSLLKVGCVYKDPIAKHAVTLEGASEVRIQRPEIIGAQIMSIEVDRQRNRLHAAEAMAEARVAAENGDLIRAVSILESCYKSLSESASAQAGDRLCVALCAELKEMQERMANRQAYETSGRAYVLSGLSSHSWQRATARGDSTDSASLVQAYQTPSMVDMVTRSHTMLLGKPSSHPKLRQALSFPAARLQPR >Manes.11G147500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31230352:31237036:-1 gene:Manes.11G147500.v8.1 transcript:Manes.11G147500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGKGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPLQNPASDSSHGRKKINAVGWPRDDAWMTVLRRLPSDRPEANRNVSSLHHAQEPPIFADDETLDQQHEIAEGKVCIIDGVGDTNFMGTIEVKTYPEVSAVSRSASHDNFNILIHLKAPVTSGRHNGKNNHAELPQMNQNSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSAIAFSFTARRLFPLRLMTDAGRQEALQAVNSLISNGGTNIAEGLRKGVKVIVDRKWKNSVANIILLSDGQDTYTVNSPSGTHSRTDYKSLLPISIRQNGGTGFKIPVHSFGFGTDHDATSMHSISEISGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQDLNVKVDCVDQNLHIGSIKAGSYRTSIMGNARMGTIDVGDLYAEEERDILVTINIPVDRSIGQMSLLKVGCVYKDPIAKHAVTLEGASEVRIQRPEIIGAQIMSIEVDRQRNRLHAAEAMAEARVAAENGDLIRAVSILESCYKSLSESASAQAGDRLCVALCAELKEMQERMANRQAYETSGRAYVLSGLSSHSWQRATARGDSTDSASLVQAYQTPSMVDMVTRSHTMLLGKPSSHPKLRQALSFPAARLQPR >Manes.11G147500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31230352:31237036:-1 gene:Manes.11G147500.v8.1 transcript:Manes.11G147500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLKEMGSKWRKAKLTLGLNMCLYVPPDPDEDSSPSSSRLSDAVSLSPASALSRGSSTPTPSSSGLRFSKYGAKSSKSTCAICLTAMKPGKGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPLQNPASDSSHGRKKINAVGWPRDDAWMTVLRRLPSDRPEANRNVSSLHHAQEPPIFADDETLDQQHEIAEGKVCIIDGVGDTNFMGTIEVKTYPEVSAVSRSASHDNFNILIHLKAPVTSGRHNGKNNHAELPQMNQNSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPSDRLSAIAFSFTARRLFPLRLMTDAGRQEALQAVNSLISNGGTNIAEGLRKGVKVIVDRKWKNSVANIILLSDGQDTYTVNSPSGTHSRTDYKSLLPISIRQNGGTGFKIPVHSFGFGTDHDATSMHSISEISGGTFSFIEAEDVIQDAFAQCIGGLLSVVVQDLNVKVDCVDQNLHIGSIKAGSYRTSIMGNARMGTIDVGDLYAEEERDILVTINIPVDRSIGQMSLLKVGCVYKDPIAKHAVTLEGASEVRIQRPEIIGAQIMSIEVDRQRNRLHAAEAMAEARVAAENGDLIRAVSILESCYKSLSESASAQAGDRLCVALCAELKEMQERMANRQAYETSGRAYVLSGLSSHSWQRATARGDSTDSASLVQAYQTPSMVDMVTRSHTMLLGKPSSHPKLRQALSFPAARLQPR >Manes.08G071300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:13482910:13504660:-1 gene:Manes.08G071300.v8.1 transcript:Manes.08G071300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYKILELDKNATKEEIKEAYKRLALKYHPDKHSQSSKSVRDNATLCFKHLSEAYQILSDDRKRVDYNIRSSSSGYDNHRQAHRYGYGCGYSNDYNYRSYRSQSRKADSSFVSQFDVALRFLTTRAFLLNLAFAGALIGGIVIIDKSREALWKIHNSGKSFEEAMDSIEKAKANTGHEV >Manes.02G124600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9478641:9480268:1 gene:Manes.02G124600.v8.1 transcript:Manes.02G124600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPFLGNRNSIFDPFSLDIWDPLKDFPFPSSASSSSLLPRENSAFVSTRIDWKETPEAHVFKADLPGLRKEEVKVEIEDDRVLQISGERHVEKEDKNDTWHRVERSSGKFARRFRLPENAKMDQVKASMENGVLTVTVPKVEVKKPDVKSVEISG >Manes.06G111900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24345383:24349395:-1 gene:Manes.06G111900.v8.1 transcript:Manes.06G111900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRLPSLNALTVTHSSFQKEQSAQFSGKLNYFNSSMSPSLKLGPLLRTHRFRRRVIQMQAEDEDYDLKQMRDMAAAKRRWDAMIREGKINILTPREAGYAIQLNNRTLLDVRPSVERKKAWVKGSTWIPIFEVDDRFEMGTISRKVTNFMMGGWWSGVPTLSYDNQFIPKVEEKCPKDADLIVACQRGLRSLAACELLYNAGYRNLFWVQGGLETSEEEVYRFPM >Manes.06G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24345383:24349395:-1 gene:Manes.06G111900.v8.1 transcript:Manes.06G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRLPSLNALTVTHSSFQKEQSAQFSGKLNYFNSSMSPSLKLGPLLRTHRFRRRVIQMQAEDEDYDLKQMRDMAAAKRRWDAMIREGKINILTPREAGYAIQLNNRTLLDVRPSVERKKAWVKGSTWIPIFEVDDRFEMGTISRKVTNFMMGGWWSGVPTLSYDNQFIPKVEEKCPKDADLIVACQRGLRSLAACELLYNAGYRNLFWVQGGLETSEEEDLVIEGPQPLKFAGIGGVSEFLGWTDQQRVAAAKEGWGYRLLFSARLVGVFLVADALFLGAQQVSRYIQDIRSH >Manes.16G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30817001:30818997:-1 gene:Manes.16G103000.v8.1 transcript:Manes.16G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVANNITALLNFVAFLCSIPIIASGIWLASKPDNECIHYFRWPIIVLGILVLLVSLAGFVGAYWYKETLIAFYLCCMAILIGLLLILLVFAFVVTRADGGYDVPGRGYKEYRVEGFSSWLRNHVVNSKNWVKIRNCLAESDVCSKLGQNYLTADQFIVAHISPLQSGCCKPPTVCGYNYVNPTLWLNPTNPTSDPDCYLWNNDQSQLCYNCNSCKAGLLGNLRKEWRKANVILIVTVVVLIWVYLIACSAFKNAQTEDLFRRYKQGWA >Manes.10G114900.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28094586:28096846:1 gene:Manes.10G114900.v8.1 transcript:Manes.10G114900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCCWISSASFSSLTLVFILHFLCINLPAAATVIHGNNETDRLALLELKAKISDSSSGVMTSWNSSLHFCRWFRVSCGRRHQRVTMLDLNSLQLSGSLSSHVGNLSFLRVLNISNNSFTHEIPPQIGHLRRLQILVLANNSFQGQIPLSISNCSNLRTLHLYNNQLVGKIPVELGSLLNLISFSLHGNNLIGTLPPSFGNLSSLEMLGAAKNHLNGNLPDTIGQLKSVWILEVYENEFSGTVASSIFNLSSMEILDLSSNHFQGNLPLGIGNSLPKLRFFSVAQNQFTGTIPASISNASNLQWLYLAENDLNGRVPSLDKLHRLLNLEIGGNNLGSGKADDLKFLSTLINATDLRALNIESNNFGGELPEHIANFSKELNILVIQNNKISGNIPIGIEALVNLEVLVTNTNKLSGTIPSGIGQLQNLKLLYLGNNNLSGYIPSSLGNLTNLLEILLHNNSLQGTIPSGLGRWKKLLRLDFSRNNLSGPISPELFGLSSLSKLLSLSVNHLSGSIPKEVENLKNLGILDLHDNMLSGEIPNGLGSCTSLELLFMSSNLFQGSIPSSFASLRGIRELNLSHNNLSGKIPEFLKGFNSIELLDLSYNDFEGMVPVEGVFKNFSATFVGGNKNLCGGIPDFGLPVCKFEQSKRRPTAKLKIIISVVCAVISIAIFLIALLLCHQLKKRKGEATSLFDEKSL >Manes.09G072919.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17345539:17346495:-1 gene:Manes.09G072919.v8.1 transcript:Manes.09G072919.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNNTTLSLQFILEKDKLKENVTNFVDWFRNLRIVLKQEKKSYVLDEAILKPPPADATNAIKNKHKKHMDDSNDIGYLMLATMCPELQKDLEHLEAYEMIRQDRYETTIALHDCKMAEGKSVSAHVLKMKGYIDHLVRLGYPLSLELSTDLILHSLPSSFSQFFMNYNMNNMEKSIPELHGMLKTTKVNVKKRLTQILNVNKGKPMKNKGKPKSKGSNGLKGRGKPKPQAKAKVPKEIVPKEGICFHCKQPGQWKRNCKIFLDECKKKKSSETMTSGIYVIDINLSISTSWVLDTGCGSHICTNV >Manes.08G051800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5530378:5531584:-1 gene:Manes.08G051800.v8.1 transcript:Manes.08G051800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQELVTLQRNSSCSRSTKKIIPSNYMRSTPPISEHEDISDKLLLSRHSPIQRLNKIHSRFSSILRSIFKIVAFPNIIIPTCKWLSLPTQLSITPSLGRKVTGTLFGHRRGHVSFAVQDDPRSEPVLLLELAMSTSMLVKEMSSGLVRIALECDKVQVPAQGRGTRSGKLFNEPMWTMYCNGRKCGFAASRTCTDLDWHVLSTVQSVSVGAGVIPMVEDGRKGGGSDGELLYMRAKFERVVGSRDSEAFYMMNPDGNGGPELGIFLLRI >Manes.01G233900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39713693:39715321:-1 gene:Manes.01G233900.v8.1 transcript:Manes.01G233900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRQQNDHLGVNKTSKNIRKGQLQQPNVGNNAHRQQPQPQVYNISKNDFRNIVQQLTGSSSQEPLPRLPQNPPKPKSMPLQKIRSPILTPINQSHIPPSLLTPAGASPTFPSNNDFVGPSHFGYTASTMTASGDSTWANTATSNLSLHAIFSEFNHGSSQIRGRT >Manes.01G233900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39713693:39715321:-1 gene:Manes.01G233900.v8.1 transcript:Manes.01G233900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSKNRQQNDHLGVNKTSKNIRKGQLQQPNVGNNAHRQQPQPQVYNISKNDFRNIVQQLTGSSSQEPLPRLPQNPPKPKSMPLQKIRSPILTPINQSHIPPSLLTPAGASPTFPSNNDFVGPSHFGYTASTMTASGDSTWANTATSNLSLHAIFSEFNHGSSQIRGRT >Manes.16G020000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2217259:2221044:1 gene:Manes.16G020000.v8.1 transcript:Manes.16G020000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADQKSPSDNNNNKVWGFFKLPFRGSNSNTTPSSSSSTHLHNHNNPQIEGSNAHASNSVSSVARSLLPTRRRLKLDPAAKLYFPYEPGKQVRSAIKIKNTSKSYVAFKFQTTAPKSCFMRPPGAILAPGESLIATVFKFVEPPENNEKPVEQKSKVKFKIMSLKVKGAMDYVPELALEKLKRQLADADAAVEARKKPPEDAGPRIIGEGLVIDEWKERRERYLARQQVEGVDSV >Manes.16G020000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2217259:2221044:1 gene:Manes.16G020000.v8.1 transcript:Manes.16G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADQKSPSDNNNNKVWGFFKLPFRGSNSNTTPSSSSSTHLHNHNNPQIEGSNAHASNSVSSVARSLLPTRRRLKLDPAAKLYFPYEPGKQVRSAIKIKNTSKSYVAFKFQTTAPKSCFMRPPGAILAPGESLIATVFKFVEPPENNEKPVEQKSKVKFKIMSLKVKGAMDYVPELFDEQKDQVSIEQILRVVFLDPEHSCTALEKLKRQLADADAAVEARKKPPEDAGPRIIGEGLVIDEWKERRERYLARQQVEGVDSV >Manes.04G121450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32322141:32327242:1 gene:Manes.04G121450.v8.1 transcript:Manes.04G121450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMEDPREGYRGFSVFPRTLACLSNHPQPCARSIHNFLKSLPVRNPDKLLEQAKTTLDNATDIPSAKMTNDIMLKDKNDVGAAKAVDHPRGQRPGHPTVDLEPTLDFDKLKDPEDFFLAYERLEKIAKQTGHAFTDSDKYNIFMVSRSQRPGIPGRARMGKYKHLYSTMPSQETPEGNILSSCDSEVKAESSINKFLDKFLSNNYKELEGDGPISLLQEHLQIKPLHIEKLSFPELPDIQRINFKASGVNLPKHRNVLLDVNNLLNGTKNVTSMKLQNLESSVHSFSSPTPPKSPLASLSILKRLMFQSNLSSDSFSTVNIDQPSARNVSPVEEINKDSDPVDVEKTLCTSADVNSLTTKDYGTITNRSSTMAIGDFSSSFEKLLNESSLRLASGSEVGVGPRDSGEELADNNVCKDYDVINENLSQADAVEGVASEQSDKETDDFPVEMSNSIQNKLGTYRDLVEQAALH >Manes.08G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1359317:1361645:-1 gene:Manes.08G011800.v8.1 transcript:Manes.08G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSFQFLLLLFFLSFCFYLYLLYILQNKNNKGFKIYPLVGALPDFLRNRHRFLDWTTEILGQCPTNTAVFHRPGKVHGVITANPLNVEYILKTNFDNYPKGDRFIYLLEDFLGQGIFNSDGDLWRVQRKTASYEFNTKSLRNFAMDNVRIEISNRLFPILSRASKKNQVLDLQDVLERFAFDNICKLAFNVDPGCLGGDGTAGGEFMRAFEVAATLSSERFMYALPLLLKICKFFNLGTERALKRSIKIVHQFADEIIQSRMEQGTDNKDEDLLSRFIGNDDNSKEFLRDIIISFILAGRDTTSSALSWFFWQLSLNPQVERNILYELEAIGARKDTKACKRDDCFPDGTFVGKDWFVTYHTYAMGRMESIWGKDCREFVPERWLQEDGICRQESPFKFPAFHAGPRMCLGKDMAYIQMKSIAAAVIERFKIDVQNKEKCPEHLLSLTLRIKGGLQVKVKERYPGSVSASQISALYSS >Manes.02G203000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17318158:17327754:-1 gene:Manes.02G203000.v8.1 transcript:Manes.02G203000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDWINGYLEAILDVGSSLRKRNEGKLNVVKFEDSKEKEDKSFSPTKYFVEEVINSFDESDLHRTWVKVIATRNTRERSNRLENMCWRIWHLAREKKKIAWDDAQRLARRQLELEQGRNDAADDLSELSEGEKEKGDANFSEPVKHFSRINSDMQIWSDDEKPRHLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALATTKGVYRVDLLTRQISSPDVDFSYGEPIEMLSCPPDGCGSCGAYIVRIPCGPRDKYIPKESLWPYIPEFVDGALSHIMNMAKALGEQVNGGKPTWPYVIHGHYADAGEVASHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSREDINATYKIMRRIEAEELGLDASEMVVTSTKQEIEEQWGLYDGFDLQLERKLRVRRRRGVSCMGRHMARMVVIPPGMDFSYVTTQDSLEGDLKSLIGSDRTQTKRNLPPIWSEIMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQRLRELANLTLILGNRDDIEEMSNSSSVVLTAVLKLIDKYDLYGQVAYPKHHKQTEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKALNNGLLVDPHDQKAIADALLKLVADKNLWTECRKNGLKNIHRFSWPEHCRNYLSHIEHCRNRHPTSRLEITSIPEEPMSDSLKDVEDLSLRFSIEGENKFNGELDAATRQKKLIEAISQATSSNGNASVTYSPGRRQMLFVIAVDCYDCNGKSTEAFQEITKNVMKAAGLCVGLGKIGFILLTGSSLQETMEALRCCPVNIEDFDAIICNSGSEMYYPWRDMVADLDYEAHVDHRWPGENVRSVAIRLAKIEDGAEDDVLEYFQACGSRCYSYIIKPGAKTRKVDDIRQRLRMRGFRCNLVYTRAASRLNVIPLFASRKQALRYLSVKWGIELSKMFVFVGERGDTDYEELLTGLHKTLIIRGSVEYERENFLHNEDSFKREDIVPQESSNLRFVEGNYEVHDISAALETLLFK >Manes.14G125400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9953816:9955411:-1 gene:Manes.14G125400.v8.1 transcript:Manes.14G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSTSAFILSLFLLLSLSASAHGETETTLQCLLHHSHPSHPISEAVYTATDVSYSSVLQSYIRNLRFNMSTTPKPLFILTALHESHVQAAIVCARKHGLQMKIRSGGHDYEGISYVSEVPFFILDMFNLRRINVDVQSETAWVQAGATLGEVYYRIAEKSKVHGFPAGVCPTVGVGGHLSGGGYGNMMRKYGLSVDNIVDAQLVDVNGRLLDRKSMGEDLFWAISGGGGASFGVVLAYKIKLVRVPEIVTVFRVRRTLEQNATDIVYQWQQVAHKLDEDLFIRLTLNVVNGSRSGEKTIRATFLSLFLGDSARLVSLMNSSFPELGLVQSDCIEMSWLESVLYWTEFQIGTPTTALLSRTPQVLTHLKRKSDYVKKPIPKVGLEGIWKKMMELQVPALTFNPYGGKMAQVPAKATPFPHRAGNLWKVQYMTNWNEEGTEAANNNIELTRKLYNYMTPFVSKNPRQAFLNYRDLDLGINHNGKKSYSEGKVYGIKYFKGNFKRLVQIKTKVDPGNFFRNEQSIPVFPYWKK >Manes.15G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6353447:6355491:-1 gene:Manes.15G082500.v8.1 transcript:Manes.15G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLNCFGKGNHSSASASGNQVHEKGKSNVTVDASAEEQRRAGAIMVELFSSQGCATSPEAELLVSRLARGDFALETPVIVLAFHVDYWDYMGWKDPYGSSQWTVRQKAYVEALKLDTIFTPQVVIQGRTQCVANEEEALLSSIMSAPKFPSPTFQATFQCPTSDSLQVNLSGALRSKVDSNGANIMVALYESGLVTDCPTGENKGRMLSNDYVVRKLEKLCTVKDISAKKTVSGTVNFSLWDGFNCSKCGIAVFVQDNSHQIFGSQNFQLPDNI >Manes.04G097100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30230181:30232847:-1 gene:Manes.04G097100.v8.1 transcript:Manes.04G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRETLGLRFMQKLKPERCWFPRRPHPSCRFLWAQPEIQTQPQEIFSPQPLEADTCVSLIKQCSSRRSVKMVHASMLRSHLHLNLYFLTNLVSQYSSLGSISYAYSLFSSSHSSDPFIWNVMIRGFVDHAQYHRSILLYSQMLELGIRPNNYTFPFVIKACGFLRDFKFGIQVHDDVVEFGYDSDVFVCNSLIVMYGKCESYELSRQVFDRMPERTVTSWSTIIRACSLNGRCEEGLSLFWRMLREDIKPERATILNVMACAHRESDADDICRVAVLNGFDFDQCVHNAALGMYARCGRIDLARSIFDGISNKDLVTWASMIDAYAQADLPLEAIGLFKQMNSQRLLPDSITLLSVIQACSVLASFQHAHAVHGITILTGGFFNNQLAVESAVIDLYVKCGSLTYARKVFDRMQNRNIITWSTMISGYGMHGLGREAYNLFNQMKSSVKPDHIAFVSILSACSHAGLVAEGWECFNSMVRDFGVTPRTEHYACMVDLLGRAGKLDEARGFIERMPIRPDAGVWGTLLGACRIHSNIDLAEMVAKKLLDLDSKNPGRYILLSNIYASSGKTKEAHKIRTLMTNRGVRKISGHTIIEIKNKVYTFSAGDMSHPQTDLIYSELERVMDMIRLEGYTPDINFVLHDVEEETKERMLYVHSEKLAIVFGLLNSGSQSVIRIRKNLRICGDCHTATKLISKVTRREIVARDARRFHHFKDGTCSCGDYW >Manes.13G081000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16751757:16761881:1 gene:Manes.13G081000.v8.1 transcript:Manes.13G081000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKANLESSIEQLQNVEKQMRLAGDVAGTKKAVTDILQLCFEAKDWKMLNDQIILLSKKRGQLKQAVTAMVQQAMQYIDETPDLDTRIELIKTLNTVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNVVEEAPADIPSLLALKRIYYELMIRYYSHNNDYLEICRCYKAIYEIPSVKEDPAQWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDKHLSEIPKFKVLLKQLVTMEVIQWTSLWNTYNEEFDTEKNLLGGSLGDKAAEDLKQRIIEHNILVVSKYYSRITVKRLAELLCLSIQETEKHLSDMVVSKALVAKIDRPMGIICFQVAKDSNDILNSWSMNLEKLLDLVEKSCHQIHKETMVHKAALKV >Manes.13G081000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16751694:16762306:1 gene:Manes.13G081000.v8.1 transcript:Manes.13G081000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKANLESSIEQLQNVEKQMRLAGDVAGTKKAVTDILQLCFEAKDWKMLNDQIILLSKKRGQLKQAVTAMVQQAMQYIDETPDLDTRIELIKTLNTVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADTSKEKKKPKEGDNVVEEAPADIPSLLALKRIYYELMIRYYSHNNDYLEICRCYKAIYEIPSVKEDPAQWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDKHLSEIPKFKVLLKQLVTMEVIQWTSLWNTYNEEFDTEKNLLGGSLGDKAAEDLKQRIIEHNILVVSKYYSRITVKRLAELLCLSIQETEKHLSDMVVSKALVAKIDRPMGIICFQVAKDSNDILNSWSMNLEKLLDLVEKSCHQIHKETMVHKAALKV >Manes.02G025600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2195773:2199157:-1 gene:Manes.02G025600.v8.1 transcript:Manes.02G025600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASSTINTGVPPSTRSSDDIIDNTPLLSPASYGESGSNSATRFSRRQSLRDAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVILDIIWNFAFVAVAVAVLILSRNEHPSMPLRLWIVGYGLQCVLHMLCVCVEYRRRRRRRVGFGRVERGLGSDGNSSSQMRGDSGEYVTLAQLQEDGTSVAKHLESANTMFSFVWWIIGFYWVSAGGQALAHGSPQLYWLCIIFLGFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVADQEGASKEDIDQLPKFKFRRTGDNEKLAGEAQGSLGGIMTECGTDSPVEHVLPEEDAECCICLSTYDDGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKSSSRAREEV >Manes.17G113000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31968236:31994792:1 gene:Manes.17G113000.v8.1 transcript:Manes.17G113000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYAPLLEKTRVPQPSLQKFAVVSIFTKLRSAPAYLDPDSDPGRDAISQCLHSSSPAVVDQTVRELCRLVLDSKLDLSRGLLELQSALEGADSKFVGLLVKGLGFIIRVGFQRNHGSWWVGSPDNHPFVKILSCRTEIHSELVHQVLLFVAQNRRLGMEEVCEFLRPFLIFSILRIPFLGSSSSLFARQLISSMTSFCCSYPNEAIPILQLLMGCLKYLPHRNSDELRDSYCFLECIVDAYSVVLRQLVQIGLLVGEAQRFGVELSETILSLLTLPGHSAGAEPIVDLVKWLFVIQKDLSLCYIPELSSTVLSLFIILIQSDLEHEQLSLLKFLIFLLKWKSENGFVDRTKCDFSEELVFTFPVISLMSSTSRSVKGAAADLLLVLEKLLLQVLREPRTKLVTEGRFPSISSPGSIVYRLLQHLWFQDQFSQSTSFFVNFASNDKTDAKGTHSQARTWASQLREYTLRIIDRRKSSQFISQSEETYLKGISPLLCAITGVLVMHQSLGNIAIDLLATIVTMDPQQGVPMLLAILFYCNIFTRNDINYQYMLPKLLAMLPSLASHFLMIPLIIQTILPMLRNGGQSALYATGVRLLCQTWAINDRAFGNLQAVLLPIRFSEFNSDRNICISLATSVRDVCSKNPDRGVDIILSVSACIESKDPIIQALGLQSLAYLCEADVIDFYTAWDVIAKHVLEYSSDPVLAQSVCCLLRWGALDAEAYPEASKNVLQILWDVAVSVHFSHVVQWAKARAAAFEALSQYEVSHIEKGIPDFKRESMELLLSETDTDVLKAMEGFEVKIITHEHMNRRRLVKERKVTGSKIEKLLDILPQVLFPSDEKSNAGKLPGAALLCLSFTPKDVNNLGLSRASLDVHAAYENALVEIASSLQLSRNIFVALLSLQSWKTFMRRWMRASLLLLDAKAPSVALDKTSKAANNILKIMMRLAEESIPRSAENIALAVGALCVVLPPSAHTIKSTASNFLLNWMFQYEHEYRQWSSAISLGLISSCLHVTDHKQKFQNITGLIEVLCGSKSTLVKGACGVGLGFSCQDLLTRVEAASSNELDRDDYKIREFALLGKVVRTLLLMTSKLSQASCDILEGLSSYFPPGIGDLEPNMTSELFPEKHDDLEEDIWGVAGLVLGLGSSVSAIYRAGAHDVVLKIKDLILSWTPHFDTLVINSGFSSEATDKVLSVGSCLVLPVVVAFCQRVELMNGNELDHLITGYTELISELQSVKKSGAIHQSLLMASCIGAGSLLSCILNEAVHPIKVERIKGLLETFRKCYSNPYPALVHMGGMLGVINAMGANAGIFIHNYHFPSPVRTGYEQKDSSYVLGPLFSSPICESHLTALIQEIFLVAQNSDDLQMKHIAAWAVSFLRNFLWSKELLDVDNGIQTDVANSKTVSRSFSNDSLVMKLSLWLMHLHYSAEGKSPRVGTVSVVLRCLSGAPRLPTMDWGSIIRRCMRYEAQLSELLPPDSALEKRSLREESLLFSIAHANQFDPLLTFLDELSEVSRFRTLELNLQSCLLVHLADLTKVFSSSRLEKLFDDITEFFSSDSSPKMYNSNQTRSLRISFWRGLCQCLDEASLSSLEYMPNVEKCMEVLFSMLPVSESSAVGSHMLNPVQEWREAVKCLAKARVNWLLDFLQVPLVNLIQGDEQFNETLKKIVAKAKLVRMGSITLTELGRLKAYILNSRSYGIWNVLVEVVAALQYAAEGSVKRQWLVDAVEISCVSSYPSTALQFVGLLSGSCCKYMPLLTLDRLTVLSDLPVTLPSLLSEPSWEIVAESIVSHLLVSTERIYHWVTHVRMVLDNDAANMQAIDESENDMVDFLLPVMHQVCLSLKHYLPLEKQLKLASIVIK >Manes.02G106100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8263939:8272568:-1 gene:Manes.02G106100.v8.1 transcript:Manes.02G106100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKADNSYATSPEGSPTMKAPMACPLLRKVFDKFLGFSSWRRNRPASGRRIFRRDVEQDDFQYASTHCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTRSYTKRSLDTLAFGLRYELLQRPILRMWNILNSTSEITTAQVKLSEYVIKRYSNPATQAEQVELYEVMKDVTWAMFASRKALNAITITYKNGFVQAFHRDHRSNNTFYIYSNLVNYSISGNEPSDVNNLSSHKKWNEQAIHGNVSAIWYREPLDPVTGEKKGKASRIPPDDLINIAGFSEVQDGVASWHVAVSKYTDSPLLSAALPVRDASNESIVAVVGVTTALYSVGQLMRELVEVHSGHIYLTSQEGYLLATSTNAPLLRNSTTGPNLMMAVDSEDKIIKMGAQWLERVYGNKFPPGHIVHENAKLGHQQYYIDSFFLNLKRLPIVGVIIIPRRYIMGKVDERAFKTLVILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASNNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLTNEQYATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLIDMFSVQCINHNVEAVLDLSDDMPKLVRGDSARVVQIFANLISNSIKFTTSGHIILRGWCENTFNGATKFPLDQKKARCTLKTKLRQQGNHIKKATKKENKVILWFEVDDTGCGIDPSKWETVFESFEQADPSTTRLHGGTGLGLCIVRTLVHKMGGEIKVVKKNGPGTLMRFYLLLNTTGDGAEHHCQVDFARHNVVILLALYGSMGRGIMSQWLHKIGLPSLGVSEWNELTQVLQELFYSRRHNNAFEARCSLNEPLKAVLNMQDVRDPLFVIVVDIGLLDLSTDIWMEQLNFLDMFSGKATFAWMLNHDTSNAIKMELRRKGHILMVNKPLYKAKMIQILETVIKEQQKKCLNAVRAITRDDMHECLEIDSTQFDNASSEDSDMPEMGNSNVLNALLHGDKQKERGRIKVSSQYETFKESLAELSDVHLEENNLRMEDACQIKPNLPDTKEETAILMKNEQTSFSTVSRSEIAKEREEHTENNCPKGNANSYSSKTVTERKALEGLRILLAEDTPVLQRVATIMLEKMGATVIAVGDGLQAVDALNCLPDTKERGRESPMQDGTKGSQIETRYSLPYDLILMDCQMPKMDGYEATKAIRKSEAGTGFHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLAKRTT >Manes.13G034900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4278546:4285245:1 gene:Manes.13G034900.v8.1 transcript:Manes.13G034900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLDSMSQNQSKEELLYQLLNSGNVEAIKALCREGANLEWIDKDGKTPLIVACMDSGLFNVAKTLIEMGANVNAYRPGRHAGTPLHHAAKRGLKDTVVLLLSSGANALVRNDDCHTALDVARIKGHTNVVRAIENRICYFSGWLREFHGPGFLKALTPQLLSRKIWVVVTPCGSSNSMKPLRLELAIYSALQDAQPHTVIALWKAKIEEPKFHKSDPGLAIFDHSTKNQYKFFSSNEGDKRQFYKFYDACRGIPQVVPPPTHHDNDPVSRQQESAEALDLAMALSASIQSATEERPPLNPQQISGTSNANGWERSQHGESHNGWSTAVASAQSEASSSGWTDKEEKEDYKGWGVPISGPLGNQGHVGIHGNATPVVQTSGGITTSVSSAPSAPPIPDEVLSEGPIHYPVVDFSPVDSLVPPVEHGTSATSDVNDGGGSSSCIICWEAPVEGACIPCGHMAGCMACLSEIKGKKGVCPVCRTKINQIIRLYAV >Manes.07G007450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:896563:898166:1 gene:Manes.07G007450.v8.1 transcript:Manes.07G007450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVENAQPPQLENQQGGNLQAPVFGNFQAGNQQGSYDRGLKSTSTQFGNQQGGNIQIPHLSGGCIPNIQGGNTQSGNCQIQPCMPSIEFPQPGNPYMECVVQFPCYHAINNQGGNVQGASTQGGNTQGINTQGGYIQGGNLLGRNAQGGNVQGGNCQGFYGPYSNIQGGNVQDGNAQGGNAQRGKAQGGNVQGGNAYGGIAQAGNAQGGNAQGFYGPYSNIQGGNVQGGNARGGNAQGGNARGGNAQGGNARGGNAQGGNAQGGNAYGGIAQGGNAQGFYGPYSNAKGGNVQGGNARGGNAQGGNARGGNAQGGNARGGNAQGGNAQGGNAQEGNAYGGIAQGGNAQGFYGPYSNIQGGNVQGGNAQGGNAQGGNARGGNAQGGNAQGGNAQGGNAYGGIAQGGNAQGFYGPYSNAQGENVQGGNAQGGNAQGGNARGGNAQGGNAQGGNAYLGIAQAGNAQGGNAQGFYGPYSNIQGGNAHGGYAQGGNAQGGYAQDGKAQT >Manes.01G065500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26529897:26531670:-1 gene:Manes.01G065500.v8.1 transcript:Manes.01G065500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSSFSCMVLTTVLLIFSSFPCKAQLSSNFYDSTCPNALSTIRSVIDAAVSNEQRMAASLIRLHFHDCFVQGCDGSILLEDTASFTGERTARNNAGSVRGFGVIDDAKAQVESICPGIVSCADILAVAARDSSVAVGGPSWTVNLGRRDSTSASRSLADSDLPAFTDSLDRLISLFGNKNLNARDMVALSGSHTIGQARCLTFRGRIYNNASDIDPDFANTRRSQCPNTGGDGNLAPLDLVTPNTFDNNYYSNLIARRGLLESDQVLFSGGSTDSIVNEYSSDSSAFSSDFASAMVKMGNIDPLTGSQGEIRRICTAVN >Manes.03G200300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31667669:31668780:1 gene:Manes.03G200300.v8.1 transcript:Manes.03G200300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVTNDTEIASAIPAAKLFKIFLLDSPNTFPKILPQVFRSIEILEGDGGPGTITKTTFAEGTQLKYIKHKVDVVDKDNFIYNYTAVEGDPWLEGLDKISYETKIVASPDGGSISKCTTKYFPKGDSQLNEDKIKEGQQKALELFKAVEAIILANPDAY >Manes.03G200300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31662997:31668780:1 gene:Manes.03G200300.v8.1 transcript:Manes.03G200300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVTNDTEIASAIPAAKLFKIFLLDSPNTFPKILPQVFRSIEILEGDGGPGTITKTTFAEGTQLKYIKHKVDVVDKDNFIYNYTAVEGDPWLEGLDKISYETKIVASPDGGSISKCTTKYFPKGDSQLNEDKIKEGQQKALELFKAVEAIILANPDAY >Manes.18G043100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3819200:3819448:-1 gene:Manes.18G043100.v8.1 transcript:Manes.18G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHFQRSRPQVGMLQKLIVVILVSLLVVSGQKEETLVFNNTDNQQPIRQEKQQRQRLRHSFDVFFSSKRKVPNASDPLHNR >Manes.14G166260.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26571856:26583158:1 gene:Manes.14G166260.v8.1 transcript:Manes.14G166260.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLKSFVIDKIPLSLMIILRAFIELFTKKSKSRQGILTSMAKSSTK >Manes.12G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2061506:2067190:-1 gene:Manes.12G024300.v8.1 transcript:Manes.12G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLNPEPPLSIHIINQRNITRPKLHASLRFIPDLWIRRKCCLTVTAALDSATIDQLGIPESDIKNPSVSSSYRSSNFPKPNKTVLEAQARVCTGPTQTRPLNEDQAFKVLDTILRSVRGEIKDEEEVSKAQLGAFFAAMTIRANAFPEATQWSEGEKRAMNNFWPLLVRALPPDVIFIADPEGSIMGIGSSVGPQYVGNHTSEMRLVGALREILAGAHLGYEEVQGVLRDVLPLKVGDNECSGVGESLLSAFFIGQRMNRETDRELKAYCLAFDGELGPPPVADVSSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKGLLEDDEVGFAYISQREASPSLYSLIGLREHIKKRPPLATTEKVQQFVRARGKEAMVAGFYHEAYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSANASKGLPVNYCSGFRSLSMASAYELDGVSCESFNIEVNAKDYGFQPTDTPRTDRSVSQNIELGLAALRGEKGPAYDRIVLNAGMVDHLLGCDGAEDISSALDRAREAIDSGKALKRLLNYIKISHRVR >Manes.12G024300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2061506:2067190:-1 gene:Manes.12G024300.v8.1 transcript:Manes.12G024300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLNPEPPLSIHIINQRNITRPKLHASLRFIPDLWIRRKCCLTVTAALDSATIDQLGIPESDIKNPSVSSSYRSSNFPKPNKTVLEAQARVCTGPTQTRPLNEDQAFKVLDTILRSVRGEIKDEEEVSKAQLGAFFAAMTIRANAFPEATQWSEGEKRAMNNFWPLLVRALPPDVIFIADPEGSIMGIGSSVGPQYVGNHTSEMRLVGALREILAGAHLGYEEVQGVLRDVLPLKVGDNECSGVGESLLSAFFIGQRMNRETDRELKAYCLAFDGELGPPPVADVSSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKGLLEDDEVGFAYISQREASPSLYSLIGLREHIKKRPPLATTEKVQQFVRARGKEAMVAGFYHEAYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSANASKGLPVNYCSGFRSLSMASAYELDGVSCESFNIEVNAKDYGFQPTDTPRTDRSSAQVLLWS >Manes.12G024300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2061506:2067190:-1 gene:Manes.12G024300.v8.1 transcript:Manes.12G024300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLNPEPPLSIHIINQRNITRPKLHASLRFIPDLWIRRKCCLTVTAALDSATIDQLGIPESDIKNPSVSSSYRSSNFPKPNKTVLEAQARVCTGPTQTRPLNEDQAFKVLDTILRSVRGEIKDEEEVSKAQLGAFFAAMTIRANAFPEATQWSEGEKRAMNNFWPLLVRALPPDVIFIADPEGSIMGIGSSVGPQYVGNHTSEMRLVGALREILAGAHLGYEEVQGVLRDVLPLKVGDNECSGVGESLLSAFFIGQRMNRETDRELKAYCLAFDGELGPPPVADVSSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLTPLQAKGLLEDDEVGFAYISQREASPSLYSLIGLREHIKKRPPLATTEKVQQFVRARGKEAMVAGFYHEAYEEPLLMLMKRRGVNSGLVVKGEEGALSMTTRLRSANASKGLPVNYCSGFRSLSMASAYELDGVSCESFNIEVNAKDYGFQPTDTPRTDRSVIF >Manes.12G064700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6480257:6481021:1 gene:Manes.12G064700.v8.1 transcript:Manes.12G064700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKPQNRQASLSKFSNTHLLQLVSKLPASVFFFSVILLCSSLFPFITFKLMQFLSCKISKNYMFLLCNGILVLIVKNSGLINKSQPQETNQINGETSIKRSIELPELKTEVAEGKTVAMEQNSEENVLLITEDVADDQIEEDEQGEEEVGLLSAEELNKKCEDFIRKMKAGIKFEAQQQILVH >Manes.12G093700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18498057:18500536:1 gene:Manes.12G093700.v8.1 transcript:Manes.12G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKLLNELNTAQREDAVNSLAYEAEARLHDPVYGCVGLISILQHRLKELQSDLYNAKKELSQYIGPQAMLPTLQSPAYMPHQHLSNPNASSMMQHGALPIMGIPCRPTNGGQLVMREPSQQQHQNQIFEAQQLAAALAAREQQDMFRAYEQQQEIVRLNRGFDAVDSGTTTGFNQITVAAMSPSLALGSFDTPYQVQQQQVDHPQHHQLEAQLFLLPQESQPQPHEQQQHSQQTQQQHQPSGSDEGGSIGLSC >Manes.12G093700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:18498175:18500536:1 gene:Manes.12G093700.v8.1 transcript:Manes.12G093700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFANVHKVFGASNVAKLLNELNTAQREDAVNSLAYEAEARLHDPVYGCVGLISILQHRLKELQSDLYNAKKELSQYIGPQAMLPTLQSPAYMPHQHLSNPNASSMMQHGALPIMGIPCRPTNGGQLVMREPSQQQHQNQIFEAQQLAAALAAREQQDMFRAYEQQQEIVRLNRGFDAVDSGTTTGFNQITVAAMSPSLALGSFDTPYQVQQQQVDHPQHHQLEAQLFLLPQESQPQPHEQQQHSQQTQQQHQPSGSDEGGSIGLSC >Manes.08G119311.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:35823715:35824500:-1 gene:Manes.08G119311.v8.1 transcript:Manes.08G119311.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTAPVEKAGLTTSLSTPQPPTSEKDHLHCDYCGKPRHTKETCWKLHGRPTRGRGGKRGTSRNQAKLAETVEEPFKETTTTEFLSPNELQSLKRLLSHIDTSSFSGATSNFVKSGNASSFNNVPWIIDSGANRHMTGFYKGFLNYSPSLTKDSVKIADGSFTPISGTGSVICTSNIKLSSVLHVPHFPVNLLSVSAITNALNCKIEFFPDHCVIQDLRTGKMIGNGRLHDGLYMLEGDPASSISQACFGENKDVNQEIIQ >Manes.03G013700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1220402:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSGARLNQNLSRTGTGENAEFGGPGAADFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1220443:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSDFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1220447:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSDFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1219810:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSGARLNQNLSRTGTGENAEFGGPGAADFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1221487:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSDFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1219592:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSGARLNQNLSRTGTGENAEFGGPGAADFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1221487:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSDFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1220443:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSDFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1219811:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSDFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1221469:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSGARLNQNLSRTGTGENAEFGGPGAADFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1220447:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSDFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1220402:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSGARLNQNLSRTGTGENAEFGGPGAADFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G013700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1209247:1221469:1 gene:Manes.03G013700.v8.1 transcript:Manes.03G013700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITKDESTCIAEQVVDLISAVKELHGLTSQELNKLIRDSENFTIHFQTEKGLQLKIDVEKLAGFLPLHLIAVLVSSNKDESLLRYSLCGIRLLHSLCDLAPRHTKLEQILLDDVKISEQLLDLVFYILVVLSNTRQESHNTNLVPLLHSALVTCSLYLLTGCISSHWQDLVQVLLAHPKVDIFMDAAFRAVNVAIRFLQVKLSAQHADFNMGSSPTAEQVVNYLCQQCEASLQFLQTLCQQKLFRERLLRNKELCGKGGVLFLAQAILKLKVSTPFLESSTVVAAVSRLKAKVLLILLHLCEAESISYLDEVASSSGSLDLAKSVALEVLELLKAALDPKHLSSCSERTVPMGLLRLNAMRLADIFSDDSNFRSYITTYFTKVLTAIFSLPHGEFLSIWCSSELPPREEDATLEYDLFTAAGWVLDTFSSLNLSNASDIEIILIPSNMPQAAYAHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLECMRMDPSESLPGFSFTSGAHKANTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFYNQLQSLINPADFEENQVQEIKFERSISLDKFSKLDINEHHQEAQSTAGYSSSPLLKKERSSLNNISSNQKEEMSESSAFQEDQHNFRNEHMNHVDDATKEDKDKSGGTATAVLKESDRDFQNVETSGSDTSSTRGKNFVGQMGNVDFPKSNDHMKENGRQGVQEDEKVEPIQIEEKQPRKRKRTIMNDYQMTMIEKALVDEPDMQRNAASIQLWADKLSIHGSEVTFSQLKNWLNNRKARLARAGKDVRASMEVDNAHSERQSGPAVRHSHDSPESHGEENVPSGARLNQNLSRTGTGENAEFGGPGAADFVRCMPGQYVVLVDKQGEEIGKGKVLQVQGKWYGKSLEESETCVVDISELKAERWVRLPHPSEATGSSFSEAEAKLGVMRVLWDSKKILSFRPQ >Manes.03G104000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22754849:22760586:-1 gene:Manes.03G104000.v8.1 transcript:Manes.03G104000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNMLCTNQIDLEMDRQGQGYLHPEPCILLGGVTNFAQPDIQTVVTASGNTSNLDSRHPPECYDNAIFYGMPQYPGVQHHPQHHAPNLDLGVVAASSFYVPYMTPSSGFPLSHGSCDHLPSSTNYGVIGVSADAFGTNSHFMDNARGSYKRKNAEGNPANFQYFNASASSSSSTTPLNTRHPDGITLMDAAPFAPPQYRGNSTSSIREVGSHRSVRNRLGATGLDPVLAQNQNHFIQGNHMSQPFQPSGSPWLDQQLSNASSDAGASAWSHNPAITYMHGNNFSGVESGSMSPQRYHDLSGNRSNTSFLHPSPANLRHHNFHHLSLPIQGMRRHNLNVLPPTSFRGPTSYVSQTNVNPSQDGMDIGIRHPGPIQPTGLRIYRPHREGFVSETSIRHRNVPHLRVLPTDGVALLEFTDYYELENYVDHHSDMRLDIEDMSYEELLALGERIGNVSTGLSEETIRSQLKIRTYSLSPMSINLEEMACMDQETDSCIICQDEYKDQEKIGSLECGHEYHADCLKKWLQVKNVCPICKSEALTAETKDV >Manes.12G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8115624:8129372:-1 gene:Manes.12G074000.v8.1 transcript:Manes.12G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSIAISGCELPQLSWSRVSLFKPLNWIGSLNTARRDVWFGAIRAEVSQSVDRTVTNVSGKLQKDFSSFPRPLTVADFSADPDDGMKVRISYKGLPGSYSEDAALKAYPKCETVPCDEFEEAFKAVELWLADKAVLPIECSIAGSIHRNYDLLLRHRLHIVGEVQLSINLCLLAMPGVRTEYLKRVLSHPQVLDMSDIFLSKLSVARESVDDAAGAAQYIASNELRDAGAVASRRASEIYGLNIVAENVQDDSDNVIRFLVLARDPIIPRADKLYKTSIVFTLDEGPGVLFKALAVFALRDINLTKIESRPQRKQPLRVVDDSNTGSAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYPTNTIAL >Manes.12G074000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8115624:8129375:-1 gene:Manes.12G074000.v8.1 transcript:Manes.12G074000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSIAISGCELPQLSWSRVSLFKPLNWIGSLNTARRDVWFGAIRAEVSQSVDRTVTNVSGKLQKDFSSFPNDGMKVRISYKGLPGSYSEDAALKAYPKCETVPCDEFEEAFKAVELWLADKAVLPIECSIAGSIHRNYDLLLRHRLHIVGEVQLSINLCLLAMPGVRTEYLKRVLSHPQVLDMSDIFLSKLSVARESVDDAAGAAQYIASNELRDAGAVASRRASEIYGLNIVAENVQDDSDNVIRFLVLARDPIIPRADKLYKTSIVFTLDEGPGVLFKALAVFALRDINLTKIESRPQRKQPLRVVDDSNTGSAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYPTNTIAL >Manes.12G074000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8115624:8129372:-1 gene:Manes.12G074000.v8.1 transcript:Manes.12G074000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSIAISGCELPQLSWSRVSLFKPLNWIGSLNTARRDVWFGAIRAEVSQSVDRTVTNVSGKLQKDFSSFPRPLTVADFSADPDDGMKVRISYKGLPGSYSEDAALKAYPKCETVPCDEFEEAFKAVELWLADKAVLPIECSIAGSIHRNYDLLLRHRLHIVGEVLDMSDIFLSKLSVARESVDDAAGAAQYIASNELRDAGAVASRRASEIYGLNIVAENVQDDSDNVIRFLVLARDPIIPRADKLYKTSIVFTLDEGPGVLFKALAVFALRDINLTKIESRPQRKQPLRVVDDSNTGSAKYFDYLFYIDFEASMAEPRAQNALGHLQEFATFLRVLGCYPTNTIAL >Manes.15G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10923399:10929730:1 gene:Manes.15G135000.v8.1 transcript:Manes.15G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQRAASIVSATASNYGYCKVAAADGVVSDQFPAGLRVLVVDDDTTCLRILEQMLRRCLYHVTSCSEAKVALDLLREKKGCFDVVLSDVHMPDMDGFKLLEHVGLEMDLPVIMMSADRRTSAVMKGIRHGACDYLIKPIREEELKNIWQHVVRKKWHENKDLEPSGSLEDNDRNKQVNDDAEYASSVNEGTEGVLKGQKKRSSSKDEDDGEPENDDPSTSKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQHGGIPNTFCGPLDPNINLNSLGRFDIQALAASGQIPPQTLAALHAELLGRPAGSLVTTMDQPALLQASMQGPKCIPVEHGVAFGQPLVKCQSNISKPFRQNMTPVEEVASKFGAWPSNSLGMVGPNTNLGGVGTQNGNMLMDMLHQQQPQQQSLSEASLSINVQPSCLVVPSQSSASFQAGNSPSSVNQSCNFNRNAVIDYSLLLSQSSLNIGHAPIGNQKTTGVVSGYSVSASISPSASSCSVNADSGINRQVQNSTITSGADRHLPGLASNISDVQGTYGAKSGEVLDQGPLRYLGCVGKGTILPSQFTVDEFTVPISNLSRGKIFGDNNGSKVKQEPNMEFTDNARVGVSVLQQFPPSDLMSVFTE >Manes.10G109061.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:26671105:26673740:-1 gene:Manes.10G109061.v8.1 transcript:Manes.10G109061.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTHLSLYWNNIQGRIPSSIGKLCNLKFLSLRFNNLTGKIPDFHGESVNCPFEIPFPSLQILVLSSNQLVGQLPYWLGSLKNLVVLDLEYNSLQGPIPVLDNLKKLVVLKLAENELNGTLPDSLQQLSELYELDVSNNHLTGIVSESHFSKLSKLKDLDLSGNFFILNVTSFWVPPFQLESLYISSCLLNSSFPVWLKSQSNIIYLHFSNVSVSGIVPDWFWDMSANLKDLNASFNQLQGKLPNPSKLSADVMDLSSNLFEGHIPLPSFPISLLDLSNNQFSAPIPNRLGEILATTRFLSLSGNQLTGEIPISVGEMLSAAVIDLSRNNLRGSIPSSLGNCSSLEVLDLQKNLLSGKITGSLSQLNMLQTLHLSSNKLSGEIPSFFHNWSSLETLDLGDNRLTGNIPPWTGSVFPNLRILSLRSNALSGEIPSELSDLSSLQILDLAENELNGTIPSSFVNLRAMVQIQRINHYLFYGMSFRHYYEESYSANIKNQHQTFNKILSILTSLDLSGNNLHGQIPEELMKLLGLAVLNLSANHLTGEIPESISELNQLLSLDLSSNKLSGPIPPSISSLSYLGYWNLSNNNLSGEIPFQGHITTFDAPSFAGNPALCGAPLDVNCTGNELDNGKRRADEDDSNNGFTDQWLYLSIGLGFAAGVIVPFLVLAIRRSWSHAYFLLVDRTVEEILSLARKIVVHWRNHRRFRV >Manes.08G155400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38801368:38830114:-1 gene:Manes.08G155400.v8.1 transcript:Manes.08G155400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGKLEKPLMDEEEDGLFAMQLATVSVVPMVLRTAMELNLLEMIAEKGEGGQSSAFELASRLSTKNPDAPNIVDRMLRLLASYSILTCSTVTDNQGHAQNLYGLAPICKYYTRDKDGVSLVPYVTTFLTRPFIDSWYHLKEAVLEGAAPFEKANGMEIFEFVRRNKTGFNETMFNNTMMVMRKFLEKYKGFEDLHQLVDVGGGFGANLSLIVSKYPQIQGINFDLPHVVQDALPCAGVKHVGGDMFVNIPKGEAIFMKMCRYRVDQEVSQSMEAMFM >Manes.08G155400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38814039:38830114:-1 gene:Manes.08G155400.v8.1 transcript:Manes.08G155400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGKLEKPLMDEEEDGLFAMQLATVSVVPMVLRTAMELNLLEMIAEKGEGGQSSAFELASRLSTKNPDAPNIVDRMLRLLASYSILTCSTVTDNQGHAQNLYGLAPICKYYTRDKDGVSLVPYVTTFLTRPFIDSWYHLKEAVLEGAAPFEKANGMEIFEFVRRNKTGFNETMFNNTMMVMRKFLEKYKGFEDLHQLVDVGGGFGANLSLIVSKYPQIQGINFDLPHVVQDALPCAGVKHVGGDMFVNIPKGEAIFMKSCRYRRDQEVG >Manes.S029252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2409293:2409667:-1 gene:Manes.S029252.v8.1 transcript:Manes.S029252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.03G066800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8895073:8904232:1 gene:Manes.03G066800.v8.1 transcript:Manes.03G066800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHMNLNLKSCVISYKLLYIYICLQDSSFKHTSKNDGNLERSGSPRNRKVTARWDPAEACRPIIDEAPVFYPTVEEFEDTLGYISKLRSKAESFGICRIVPPSSWTPPCRLKEKDIWEIAKFSTRIQQVDLLQNREPMRKKFRSRKRKRRKHSKTGRTRSQATSCEADEKFGFQSGSDFTLEEYHRYADYFKACYFQMIDSVEDVKLGGNDIEHQKWKPSVEEIEGEYWRIIEQPTDEVEVYYGADLETGTFGSGFPKASSMVIEDDSQSEQYVKSGWNLNNFPRLPGSVLCFEESDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSDASNLEDAMRKHLPDLFAEQPDLLHELVTQLSPSVLKAESVPVYRVVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQHAVELYSKQHRKTSISHDKLLLGSAQEAVRALSELLVLGKETPGNLRWRSVCGKDGLLTQAVKTRVQMEEETLQHLPTHLKLQKMDKEFDLCSERECFTCFYDLHLSAASCKCSPEKFACLKHANHFCSCKLDDKFVVLRYTMTELNTIVKALEEELDAIKMWTSKDPKLDCDDDSGAHISKLDQKGESFQTSYSKQRESPSCSPRAEEILGTSVSCCSNNQVCLEVMQSDGHGNAFNSDALIVKKKAKVKQESFIDLNLDFMSVDHENELVPSSDNYNNKVVSDMEMNLSVGKQEKVSNSNAANEPDVSQVDSDCNSSELHQFSNQVRSSDTRAIGKSFGVDLSILRSYSMVPSESFSDTKTLNTLDVRQSIDDQNNPAKNLGFLVEPLNFGCVVFGKLWCSRYAIFPKGFRSRVKFFSVLDPRKICSYISEVVDAGLIGPLFKVSLEECPREAFANVSAEKCWEMVLQRLNEEITRLNSIRERGLPPVLPLQNINGLKMFGFLSSPIVRAIEALDPNHQCIEYWNNRHMNLTSTSEVGESPFRLNCKLLESKAKLGINAVAKDLDNLATEGHLDEEGARQVLQGLFKKASPGELQTMHRIFSGEAQSAEWKAAFATLKEEINKTSI >Manes.03G066800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8894595:8904232:1 gene:Manes.03G066800.v8.1 transcript:Manes.03G066800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFKTTSATASQSHIKEDSSFKHTSKNDGNLERSGSPRNRKVTARWDPAEACRPIIDEAPVFYPTVEEFEDTLGYISKLRSKAESFGICRIVPPSSWTPPCRLKEKDIWEIAKFSTRIQQVDLLQNREPMRKKFRSRKRKRRKHSKTGRTRSQATSCEADEKFGFQSGSDFTLEEYHRYADYFKACYFQMIDSVEDVKLGGNDIEHQKWKPSVEEIEGEYWRIIEQPTDEVEVYYGADLETGTFGSGFPKASSMVIEDDSQSEQYVKSGWNLNNFPRLPGSVLCFEESDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSDASNLEDAMRKHLPDLFAEQPDLLHELVTQLSPSVLKAESVPVYRVVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQHAVELYSKQHRKTSISHDKLLLGSAQEAVRALSELLVLGKETPGNLRWRSVCGKDGLLTQAVKTRVQMEEETLQHLPTHLKLQKMDKEFDLCSERECFTCFYDLHLSAASCKCSPEKFACLKHANHFCSCKLDDKFVVLRYTMTELNTIVKALEEELDAIKMWTSKDPKLDCDDDSGAHISKLDQKGESFQTSYSKQRESPSCSPRAEEILGTSVSCCSNNQVCLEVMQSDGHGNAFNSDALIVKKKAKVKQESFIDLNLDFMSVDHENELVPSSDNYNNKVVSDMEMNLSVGKQEKVSNSNAANEPDVSQVDSDCNSSELHQFSNQVRSSDTRAIGKSFGVDLSILRSYSMVPSESFSDTKTLNTLDVRQSIDDQNNPAKNLGFLVEPLNFGCVVFGKLWCSRYAIFPKGIQICLNILL >Manes.03G066800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8895073:8904232:1 gene:Manes.03G066800.v8.1 transcript:Manes.03G066800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIMFQVTARWDPAEACRPIIDEAPVFYPTVEEFEDTLGYISKLRSKAESFGICRIVPPSSWTPPCRLKEKDIWEIAKFSTRIQQVDLLQNREPMRKKFRSRKRKRRKHSKTGRTRSQATSCEADEKFGFQSGSDFTLEEYHRYADYFKACYFQMIDSVEDVKLGGNDIEHQKWKPSVEEIEGEYWRIIEQPTDEVEVYYGADLETGTFGSGFPKASSMVIEDDSQSEQYVKSGWNLNNFPRLPGSVLCFEESDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSDASNLEDAMRKHLPDLFAEQPDLLHELVTQLSPSVLKAESVPVYRVVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQHAVELYSKQHRKTSISHDKLLLGSAQEAVRALSELLVLGKETPGNLRWRSVCGKDGLLTQAVKTRVQMEEETLQHLPTHLKLQKMDKEFDLCSERECFTCFYDLHLSAASCKCSPEKFACLKHANHFCSCKLDDKFVVLRYTMTELNTIVKALEEELDAIKMWTSKDPKLDCDDDSGAHISKLDQKGESFQTSYSKQRESPSCSPRAEEILGTSVSCCSNNQVCLEVMQSDGHGNAFNSDALIVKKKAKVKQESFIDLNLDFMSVDHENELVPSSDNYNNKVVSDMEMNLSVGKQEKVSNSNAANEPDVSQVDSDCNSSELHQFSNQVRSSDTRAIGKSFGVDLSILRSYSMVPSESFSDTKTLNTLDVRQSIDDQNNPAKNLGFLVEPLNFGCVVFGKLWCSRYAIFPKGFRSRVKFFSVLDPRKICSYISEVVDAGLIGPLFKVSLEECPREAFANVSAEKCWEMVLQRLNEEITRLNSIRERGLPPVLPLQNINGLKMFGFLSSPIVRAIEALDPNHQCIEYWNNRHMNLTSTSEVGESPFRLNCKLLESKAKLGINAVAKDLDNLATEGHLDEEGARQVLQGLFKKASPGELQTMHRIFSGEAQSAEWKAAFATLKEEINKTSI >Manes.03G066800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:8894595:8904232:1 gene:Manes.03G066800.v8.1 transcript:Manes.03G066800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFKTTSATASQSHIKEDSSFKHTSKNDGNLERSGSPRNRKVTARWDPAEACRPIIDEAPVFYPTVEEFEDTLGYISKLRSKAESFGICRIVPPSSWTPPCRLKEKDIWEIAKFSTRIQQVDLLQNREPMRKKFRSRKRKRRKHSKTGRTRSQATSCEADEKFGFQSGSDFTLEEYHRYADYFKACYFQMIDSVEDVKLGGNDIEHQKWKPSVEEIEGEYWRIIEQPTDEVEVYYGADLETGTFGSGFPKASSMVIEDDSQSEQYVKSGWNLNNFPRLPGSVLCFEESDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPGSDASNLEDAMRKHLPDLFAEQPDLLHELVTQLSPSVLKAESVPVYRVVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQHAVELYSKQHRKTSISHDKLLLGSAQEAVRALSELLVLGKETPGNLRWRSVCGKDGLLTQAVKTRVQMEEETLQHLPTHLKLQKMDKEFDLCSERECFTCFYDLHLSAASCKCSPEKFACLKHANHFCSCKLDDKFVVLRYTMTELNTIVKALEEELDAIKMWTSKDPKLDCDDDSGAHISKLDQKGESFQTSYSKQRESPSCSPRAEEILGTSVSCCSNNQVCLEVMQSDGHGNAFNSDALIVKKKAKVKQESFIDLNLDFMSVDHENELVPSSDNYNNKVVSDMEMNLSVGKQEKVSNSNAANEPDVSQVDSDCNSSELHQFSNQVRSSDTRAIGKSFGVDLSILRSYSMVPSESFSDTKTLNTLDVRQSIDDQNNPAKNLGFLVEPLNFGCVVFGKLWCSRYAIFPKGFRSRVKFFSVLDPRKICSYISEVVDAGLIGPLFKVSLEECPREAFANVSAEKCWEMVLQRLNEEITRLNSIRERGLPPVLPLQNINGLKMFGFLSSPIVRAIEALDPNHQCIEYWNNRHMNLTSTSEVGESPFRLNCKLLESKAKLGINAVAKDLDNLATEGHLDEEGARQVLQGLFKKASPGELQTMHRIFSGEAQSAEWKAAFATLKEEINKTSI >Manes.11G119800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:27912668:27913816:1 gene:Manes.11G119800.v8.1 transcript:Manes.11G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGISDSSVPVALIVGVTGMAGLSLAEALKKPTVLSSSATKFPWKVYGVARQPLPSWFPSSLLDQFISVDVLDQTQTIQKLTPISSQVTHVFWVALQLRETEEANVTLNSIMLANVLDVLISASPSRLRHITLQTGTKHYLGPIFDPQLADNLVHHEPPFEEHMPRLPYPNFYYALEDLVASYSPSITYSVHRSSIIIGASSRSFHNCLLTLCVYANICRHKGLPFRYPGSKYTWEHFCDMTDARVLAEQHIWAAVTDTAKNQSFNCTNGDVFTWKRLWKVLCEMLDVEFVDFDENEKFDLVEMMNDKGKVWDEIVKKYELFKTKMEDITCCDAVNTVLHFKLQHVCSMNKSREMGFLGFADTPKSIRMWVGRLRDMKIIP >Manes.14G165151.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26115172:26118520:1 gene:Manes.14G165151.v8.1 transcript:Manes.14G165151.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLNRHEAKFIHAIVETVTQSLNRVRLILPLHLVGMDTRLQGINSWLKDASDDFSIGMICGIGGVGKTPLAKIAFILNYQNFDSFSFLEVRAKVEQDNNGLVMLQRKLLSDILKEKAEEIYNKDEGTAMIREAIKRRKALIVLDDVDDNEQLAEFIGEWDILHSKGSKIIITTRQDWLLGSHAPYKKFEVQPLDENESLELFNLHAFPTGIPSSISMENSKNIVGLCGGLPLALEVLGSALSGNSRLSMDELKRRLETEEETEGENIQKTLRTSYNSFQEPRDKNLFLDIACFYIGKKKYQVDKVVEDCELYRTLGIQTLIDRHLIAEQKSTEGETHRRIWNSEDALKVMRENIGTDRIRSLIPYKQQLNLTPTNELNVGIEGFATMRNLKLLHLNNVKFNRGNKKFARSLRWVWRYASPLNAKLNGGGYKSFPTRLIWLSWHGFPLQFIPNAFSLEELVVLDMRNSSLQYFRSNLKILNLSHSHLLVTFPDCTKLPNLELLILKGCLNLVEIDKSIGFLEKLILLNLKGCKNLKKLPREIARMKSLEEPLLSGCSELHEIPEELVELNSLKVCDASGTAINQASSISQLHSTGFSLAILPRFLTDLRLKDCNLSDDVIPMDLSRLCSLMMLELSGNPIQTLPESIVCLTLLQFLSLDRCRRLQFLPELPAALRGLNLVSCKSLEKLKGELWTEKESVGINGCANLLEIEGLYKLQKMTDFDKMMMDLLHLFNLKALESSFEVEMIIMPVLHECGITSFFFPWKMPHVEGNRLTGLNLCVVYAFDSSKIDSFHPIVKDKYDGSFSFVIIITNITKGLEWFYRPAFFAKPETDVNMTWLSHWNFWDQLQGGDEIQVSVTGSFAVRVKKVGIDSVLEEGMLSGYVDTSPYVVRPGQFQPVEFRFDHSRRGPVGPKPFRYLANRSPYEVMPGENRRGSTSPPIYFHLNHYPDD >Manes.02G183100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14630536:14634802:-1 gene:Manes.02G183100.v8.1 transcript:Manes.02G183100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKSTATSLDEVDRTMYASFCSAANSLSQLYTQAMNHQKLSFNAGERHGLEKLYQWIWRQQEGGSRVATVDILNYIQNELDYSGEEPPMSPRAPSQHQNSQPIQFSNSSFLVSSGSSGLTAGQGARSEQCDQQSKNSVFSNALSSPVRRSLQNYQIAQGAYYPPSGPPSGNGTRSNEANFLQHQNRDPNPVSSNDSSMDI >Manes.06G105600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23841507:23847228:1 gene:Manes.06G105600.v8.1 transcript:Manes.06G105600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKGRLLCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLDRNTGGTLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFNFVKDRKDLGPKLFLAWAGWVCVWTALLLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIRGVVEEFGIPERENPNQTAFQPSWRFGNGMFALVLSFGLLWNALMSRKARTWRYGTGCLRGFIADYGVPLMVLVWTAISYIPAKHVPRGIPRRLFSPNPWSPGAYSNWTVIKEMTDVPALYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGALVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTVRKSISKNANLGQLYQNMQEAYNEMQTPLAYQLPPTLGLKELKESTIQLASSAGYIDAPVDETVFDVDKDLDDLLPVEVKEQRISNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDCHATFLETVPFKTIAIFTLFQTVYLLVCFGLTWIPIAGVLFPMLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAVSYNITFEDSQAKASNIDGGEILDEIITRSRGEIRHTQSPKVTSSTSGPLHDIKPAYSPRVSLRTYSPRVSELRAEWSPQSPAKVEIKRTPSSGLSSLGQSTSGSSSS >Manes.06G105600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23841757:23847228:1 gene:Manes.06G105600.v8.1 transcript:Manes.06G105600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKGRLLCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLDRNTGGTLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFNFVKDRKDLGPKLFLAWAGWVCVWTALLLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIRGVVEEFGIPERENPNQTAFQPSWRFGNGMFALVLSFGLLWNALMSRKARTWRYGTGCLRGFIADYGVPLMVLVWTAISYIPAKHVPRGIPRRLFSPNPWSPGAYSNWTVIKEMTDVPALYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGALVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTVRKSISKNANLGQLYQNMQEAYNEMQTPLAYQLPPTLGLKELKESTIQLASSAGYIDAPVDETVFDVDKDLDDLLPVEVKEQRISNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDCHATFLETVPFKTIAIFTLFQTVYLLVCFGLTWIPIAGVLFPMLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAVSYNITFEDSQAKASNIDGGEILDEIITRSRGEIRHTQSPKVTSSTSGPLHDIKPAYSPRVSLRTYSPRVSELRAEWSPQSPAKVEIKRTPSSGLSSLGQSTSGSSSS >Manes.06G105600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23842830:23847228:1 gene:Manes.06G105600.v8.1 transcript:Manes.06G105600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFMFNFVKDRKDLGPKLFLAWAGWVCVWTALLLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIRGVVEEFGIPERENPNQTAFQPSWRFGNGMFALVLSFGLLWNALMSRKARTWRYGTGCLRGFIADYGVPLMVLVWTAISYIPAKHVPRGIPRRLFSPNPWSPGAYSNWTVIKEMTDVPALYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGALVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTVRKSISKNANLGQLYQNMQEAYNEMQTPLAYQLPPTLGLKELKESTIQLASSAGYIDAPVDETVFDVDKDLDDLLPVEVKEQRISNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDCHATFLETVPFKTIAIFTLFQTVYLLVCFGLTWIPIAGVLFPMLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAVSYNITFEDSQAKASNIDGGEILDEIITRSRGEIRHTQSPKVTSSTSGPLHDIKPAYSPRVSLRTYSPRVSELRAEWSPQSPAKVEIKRTPSSGLSSLGQSTSGSSSS >Manes.06G105600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23841622:23847228:1 gene:Manes.06G105600.v8.1 transcript:Manes.06G105600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKGRLLCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLDRNTGGTLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFNFVKDRKDLGPKLFLAWAGWVCVWTALLLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIRGVVEEFGIPERENPNQTAFQPSWRFGNGMFALVLSFGLLWNALMSRKARTWRYGTGCLRGFIADYGVPLMVLVWTAISYIPAKHVPRGIPRRLFSPNPWSPGAYSNWTVIKEMTDVPALYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGALVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTVRKSISKNANLGQLYQNMQEAYNEMQTPLAYQLPPTLGLKELKESTIQLASSAGYIDAPVDETVFDVDKDLDDLLPVEVKEQRISNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDCHATFLETVPFKTIAIFTLFQTVYLLVCFGLTWIPIAGVLFPMLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAVSYNITFEDSQAKASNIDGGEILDEIITRSRGEIRHTQSPKVTSSTSGPLHDIKPAYSPRVSLRTYSPRVSELRAEWSPQSPAKVEIKRTPSSGLSSLGQSTSGSSSS >Manes.06G105600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23841533:23847228:1 gene:Manes.06G105600.v8.1 transcript:Manes.06G105600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKGRLLCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLDRNTGGTLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFNFVKDRKDLGPKLFLAWAGWVCVWTALLLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIRGVVEEFGIPERENPNQTAFQPSWRFGNGMFALVLSFGLLWNALMSRKARTWRYGTGCLRGFIADYGVPLMVLVWTAISYIPAKHVPRGIPRRLFSPNPWSPGAYSNWTVIKEMTDVPALYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGALVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTVRKSISKNANLGQLYQNMQEAYNEMQTPLAYQLPPTLGLKELKESTIQLASSAGYIDAPVDETVFDVDKDLDDLLPVEVKEQRISNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDCHATFLETVPFKTIAIFTLFQTVYLLVCFGLTWIPIAGVLFPMLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAVSYNITFEDSQAKASNIDGGEILDEIITRSRGEIRHTQSPKVTSSTSGPLHDIKPAYSPRVSLRTYSPRVSELRAEWSPQSPAKVEIKRTPSSGLSSLGQSTSGSSSS >Manes.06G105600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23841747:23847228:1 gene:Manes.06G105600.v8.1 transcript:Manes.06G105600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKGRLLCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLDRNTGGTLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFNFVKDRKDLGPKLFLAWAGWVCVWTALLLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIRGVVEEFGIPERENPNQTAFQPSWRFGNGMFALVLSFGLLWNALMSRKARTWRYGTGCLRGFIADYGVPLMVLVWTAISYIPAKHVPRGIPRRLFSPNPWSPGAYSNWTVIKEMTDVPALYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGALVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTVRKSISKNANLGQLYQNMQEAYNEMQTPLAYQLPPTLGLKELKESTIQLASSAGYIDAPVDETVFDVDKDLDDLLPVEVKEQRISNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDCHATFLETVPFKTIAIFTLFQTVYLLVCFGLTWIPIAGVLFPMLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAVSYNITFEDSQAKASNIDGGEILDEIITRSRGEIRHTQSPKVTSSTSGPLHDIKPAYSPRVSLRTYSPRVSELRAEWSPQSPAKVEIKRTPSSGLSSLGQSTSGSSSS >Manes.S011445.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:85887:86009:1 gene:Manes.S011445.v8.1 transcript:Manes.S011445.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.13G068110.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9241613:9250856:1 gene:Manes.13G068110.v8.1 transcript:Manes.13G068110.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGIIRRRVASSWVTGQSASRIRPAAVRPRCYAQNEAVISGSNFEHFQSFSYSTSLGIHVANLRREATILVQHGNHIISNNRSFSSKSGDLVDAVVPFMGESITDGTLAKFLKKPGDRVEVDEPIAQIETDKVTIDVTSPEAGVIQKLVAKEGETVEPGTKIAVISKSAEAVTQSASPEKAVPDTPISAEKESEKAQTPTAKTSSTKESKRAPASAPEPAAKAPSPPPKPMEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNSMKLRSEYKDAFVEKHGVKLGFMSGFVKAAVSALQYQPVVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNAGSMNFAEIEKEINTLAKKANDGSISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSIISRPMVVEGNIVPRPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >Manes.S037616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1021204:1021371:1 gene:Manes.S037616.v8.1 transcript:Manes.S037616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.01G215400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38481999:38487068:-1 gene:Manes.01G215400.v8.1 transcript:Manes.01G215400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRNQAWNGSQSGQQPEWSPAGGETGLEESMWRLGLATSESYPERPGVPDCVYYMRTGFCGYGSRCRYNHPRNRVGVEAAVRATGEYPERVGEPPCQFYLKTGTCKFGASCKFHHPKHGGGSLSHVPLNTHGYPLRPGEIECSYYLKTGQCKFGVTCKFHHPQPAGSSLPESAPQFYQPVQSPSITIPDQYGAASTSLRVRPPLLHGSYVQGAYGPVLLSPGVVPIPGWSPYSAPVSPALSPGAQPAVGATSVYGITQLSSSAPTAGPYPLPSSVAGLSSGTQKEQHFPERPGEPECQYYLRTGDCKFGSSCRYHHPRDRVLPRTNCVLSPLGLPLRPGIQPCSFYLRNGNCKFGATCKFDHPTGSIRYSPSASSLIDMPVAPYPVGSLLATLAPSSSSSELRPELIGVAKKDPYLNRIPSTGNTSSSSVGLMFSQTGSVPLSELQHSSQSSVPLTSGRSTRQGGEVRHSS >Manes.01G215400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38481999:38487068:-1 gene:Manes.01G215400.v8.1 transcript:Manes.01G215400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRNQAWNGSQSGQQPEWSPAGGETGLEESMWRLGLATSESYPERPGVPDCVYYMRTGFCGYGSRCRYNHPRNRVGVEAAVRATGEYPERVGEPPCQFYLKTGTCKFGASCKFHHPKHGGGSLSHVPLNTHGYPLRPGEIECSYYLKTGQCKFGVTCKFHHPQPAGSSLPESAPQFYQPVQSPSITIPDQYGAASTSLRVRPPLLHGSYVQGAYGPVLLSPGVVPIPGWSPYSAPVSPALSPGAQPAVGATSVYGITQLSSSAPTAGPYPLPSSVAGLSSGTQKEQHFPERPGEPECQYYLRTGDCKFGSSCRYHHPRDRVLPRTNCVLSPLGLPLRPGGSGTLFLA >Manes.01G215400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38481999:38487068:-1 gene:Manes.01G215400.v8.1 transcript:Manes.01G215400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGRNQAWNGSQSGQQPEWSPAGGETGLEESMWRLGLATSESYPERPGVPDCVYYMRTGFCGYGSRCRYNHPRNRVGVEAAVRATGEYPERVGEPPCQFYLKTGTCKFGASCKFHHPKHGGGSLSHVPLNTHGYPLRPGEIECSYYLKTGQCKFGVTCKFHHPQPAGSSLPESAPQFYQPVQSPSITIPDQYGAASTSLRVRPPLLHGSYVQGAYGPVLLSPGVVPIPGWSPYSAPVSPALSPGAQPAVGATSVYGITQLSSSAPTAGPYPLPSSVAGLSSGTQKEQHFPERPGEPECQYYLRTGDCKFGSSCRYHHPRDRVLPRTNCVLSPLGLPLRPVREFMHFLHSYSYISQTTSPKRTPMPKKSK >Manes.03G073016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:11964225:11964551:-1 gene:Manes.03G073016.v8.1 transcript:Manes.03G073016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQNNRTIRNHAYPSFGDFRPSVVRSRVDANNFEFEPSLVQMVQQSLFNGQHIENPYLHLSNVMEISDMVKLNGVSKGAIRLRLFPFSLRDRAREWLNALPPRSITTWE >Manes.12G159200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36663519:36669830:-1 gene:Manes.12G159200.v8.1 transcript:Manes.12G159200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYTSGNFLGIFIRDFVQSSSSGRWTIPFRPYVNFVRRKCRISVPGNEKNGPSSYLRDKKIVPYSDPPSNTDVNLLYQFFDRSTNLVVLTGAGISTECGIPDYRSPNGAYSSGFKPINHKEFLRSSRARRRYWARSYAGWRRFTAAQPGAAHFALAALEKAGRINFMVTQNVDRLHRRAGSNPLELHGTVYSVICLDCGSSFSRSLFQGQLKALNPKWAAATESLGYGSPGSDKSFGMKQRPDGDIEIDEKFWEEDFHIPNCQKCNGMLKPDVVFCGDNVPKDRADKAMEAAKGCDAFLVLGSSLMTMSAFRLVRAAQEAGAATAIVNVGVSRADNLVPLRINARLGEILPRVIDIGSLSIPAL >Manes.13G012400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1572363:1574644:-1 gene:Manes.13G012400.v8.1 transcript:Manes.13G012400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKKNPDLKRLGFVRIAAIQALVCVSNLYDYAKQNLGPLRSTVGTVESAVTTVVSPVYRKFKDLPDELLLYLDNKVDEGTRKFDKNAPPVAKQAVSQAHSWLQIASEKAQELVNEARVGGPRAAVRYATSEFKRLALTQSVKAWIKLNEFPVVHTVADMAVPTAAHWSEKYNHLVKGMAEKGYTVFGYVPLVPIDDIAKAYKQGKAEKKANETAHKSDSSDSD >Manes.13G132002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34109366:34114504:1 gene:Manes.13G132002.v8.1 transcript:Manes.13G132002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEETQLMLIVITSVFLVISTRAHRHNSLAQCQKSCGTGESTKWVPYPFGFSDSCSIRLNCDHQTGDIRIGDFKVQNITPNGIFIFLPAECNRSLESIQPLFGQYYSPAWSNGMLLQNCSASLNNCLIPPSSFSSQLEIQNCDAKSDNISCYSREKSGIDILRYENLNSTHCKFVFSSFAVGSNNPVLSLEFERVELNWWLQGNCAADPCAKNGNCSEVTLDNGMRGHRCQCNEGFTGDGFKSGEGAEGCRSVSGCNASKYINGECGGTTRIGVLVGGVIAGAVLMAGLALICYFVRRRSTSLRNRLSAKRLLCEAAGNSSVPFYAYKEIERATNGFSEKQRLGTGAYGTVYAGKLHDDDWVAIKKIRHRDTDSIDQVMNEIKLLSSVSHPNLVRLLGCCIEEGEPILVYEFMPNGTLCQHLQRERGKGLPWTIRLTIAAETANAIAYLHSAMNPPIYHRDIKSSNILLDYNYKSKVADFGLSRLGMTESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITSLKVVDFSRPHTEVNLAALAIDRIGRGCVDEIIDPYLDPHKDAWTLSSIHNVAELAFRCLAFHRDMRPTMMEVAEELEHIRLSSWVPNLYSASPAASSCSSPDNGSEKSFGVSSVKAGVTSRRVLVPQRAADCLTSLEEVKDSSPVSVQDPWLSEQSSPSINSLLGNAVQ >Manes.04G139700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33903075:33907527:1 gene:Manes.04G139700.v8.1 transcript:Manes.04G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGAVKLFLLSLVLWVYALSSCQGKQNFYPFGYPFIKKASTFSSSSSSSDSSSGGEIGYDYIVVGGGTAGCPLAATLSQNFRVLLLERGGVPFTNPNVSFLSNFHIGLADTSPTSASQYFISTDGVLNARARVLGGGSSINAGFYTRASTRFIQKVGWDEKLVNESYPWVEKQIVHRPKVAPWQVAVRNGLLDVGVSPFNGFTYDHIYGTKFGGTIFDRFGRRHTAAELLASANPQTLTVLVHATVQKLVFDTSGKRPKAVGVIFKDENGKEHQTFLANNPRSEIILSCGALGTPQMLMLSGIGPSDELKKMRIPLVLDNEFVGKGMADNPMNTIFVPTKRPVQQSLIQTVGITKFGVYIEASSGFGQSNDSIHCHHGIMSAEIGQLSTIPPKQRTQEAIQAYIKRKKDLPHEAFKGGFIIEKLANPISTGQLSLINTNVDDNPSVTFNYFSHPKDLQRCVEGIRMATKIVQSEHFTNVTQCDKETVDKILNMTVLSNVNLIPKHPNDTKSLEQFCKDTVITIWHYHGGCHVGKVVTPDYKVIGVQRLRIVDGSTFDESPGTNPQATVLMMGRYMGLKILRKRLGMEDGV >Manes.09G097100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29436719:29447389:-1 gene:Manes.09G097100.v8.1 transcript:Manes.09G097100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKAFIKVKKFSWVLVKMLEGKAVVRETDMPELMQNHVTELACQALDLHEVSDCQAIARYIKQKFDEAHGPTWHCVVGKDFGSCITHLCGSFIFFRVEMLEFLIFKDGKDFTESKEVSGMVQKS >Manes.06G094600.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22943511:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22943512:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22943512:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAILFTCFSPYFLTYQLFSTFTFPRTSHWNAFYCLTYAPSRFSSRLKLLSFFFFFLHLSETALKLDTLVGDIEDTVSSAMNKNMRKLSSTQSSEEMRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22943512:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIQNFPLFSTLSSSVVSFLNERLHNQEDLATAPTLVSELQSQCLDLDQALLDLNSRLELSLLAYASFSDRIHGLFSDASSKLTDLGSLTRAPTSLSDGGGGGEGEGRKGQLFREELPALAKEVARVETVRAYAETALKLDTLVGDIEDTVSSAMNKNMRKLSSTQSSEEMRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22943511:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAILFTCFSPYFLTYQLFSTFTFPRTSHWNAFYCLTYAPSRFSSRLKLLSFFFFFLHLSETALKLDTLVGDIEDTVSSAMNKNMRKLSSTQSSEEMRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22943512:22947788:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22943512:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22944030:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIQNFPLFSTLSSSVVSFLNERLHNQEDLATAPTLVSELQSQCLDLDQALLDLNSRLELSLLAYASFSDRIHGLFSDASSKLTDLGSLTRAPTSLSDGGGGGEGEGRKGQLFREELPALAKEVARVETVRAYAETALKLDTLVGDIEDTVSSAMNKNMRKLSSTQSSEEMRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22943512:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIQNFPLFSTLSSSVVSFLNERLHNQEDLATAPTLVSELQSQCLDLDQALLDLNSRLELSLLAYASFSDRIHGLFSDASSKLTDLGSLTRAPTSLSDGGGGGEGEGRKGQLFREELPALAKEVARVETVRAYAETALKLDTLVGDIEDTVSSAMNKNMRKLSSTQSSEEMRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22943512:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIQNFPLFSTLSSSVVSFLNERLHNQEDLATAPTLVSELQSQCLDLDQALLDLNSRLELSLLAYASFSDRIHGLFSDASSKLTDLGSLTRAPTSLSDGGGGGEGEGRKGQLFREELPALAKEVARVETVRAYAETALKLDTLVGDIEDTVSSAMNKNMRKLSSTQSSEEMRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.06G094600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22943512:22948325:-1 gene:Manes.06G094600.v8.1 transcript:Manes.06G094600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKIQNFPLFSTLSSSVVSFLNERLHNQEDLATAPTLVSELQSQCLDLDQALLDLNSRLELSLLAYASFSDRIHGLFSDASSKLTDLGSLTRAPTSLSDGGGGGEGEGRKGQLFREELPALAKEVARVETVRAYAETALKLDTLVGDIEDTVSSAMNKNMRKLSSTQSSEEMRLLAIETLDQMEDVLTSITKTRPQWTRLVSAVDHRIDRALAILRPQAVADHRSLLASLGWPPPLSTMTSANLDTGKSAEVTNPLFTMEGDLKHQYCENFLALCRLQALQRRRKSRQLEGHNREVALHQPLWAIEELVNPISIACQRHFSKWTDKLEFIFALVYKITRDYVDTMDELLQPLVDEARLVGYSCREEWISAMVTSLSTYLAKEIFPVYVSQLNEESTAGMQSQARISWLQLVDLIIAFDKRIQSLVTHSEIMFSLEEDGNLRKISSLSVFCDRPDWLDLWAEIELSDTVEKIKTEMEVERNWTVKIQGAALVSDPEKYRSPAVSSAFLRRLSMVVDRCRSLPTTSLRSRFLRLAGAPVLQRFLDCVLLRCQEAEGLTALTDDDALIKVANSINAAHYIESVLKEWSEDIFFLEMRLDHGDQLGMSIHDDEGREAPVDGPVSVIFYEEVGKLEEFRKEWVEKISVVVLRGFDARCRDYLKNRRQWQDNGEEGWTVSRNLVGALDYLQGKMAVVEENLNGIDFVGVWRSLAAGVDRLLFNCILMSNVKFHDCGVERFNHDLEVLFGVFGAWCLRPEGFFPKLSDGLKLLNMEEEKLKLQGSLMGGEQWMKAIGIRHLSSSESEKIMNSRVFMG >Manes.01G270100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42359108:42377196:-1 gene:Manes.01G270100.v8.1 transcript:Manes.01G270100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSSAIPNQIRCLFQSLNEANVDSVLQELCQFIEYGVEGSVLVLKTCLERLHFHGTDLQNGQLESVLVSIFKYLLDKPNFSTVLCQSLRSTEINDEFLENLSNVLHLSLSEKIGIGLALSDSDIIETRMSAKKFFMAQVEALCANPVSMNSAEQIQNIVMCLQRSEGLSKHVDHLLRILSLLQPKESFPFVLTPLLSDEMREANFLRNVGQFHECEENEFDALLAEMEEEMSTSDILRELGYGCTFDASHCKEILSHFLPLSESTISKMLGTVARSHASLEDNQSTFLNFGIAIGCSISSDLPLLSSWDIDILVKTIKQLAPGTNWVQVMENMDHEGFYIPNEEAFSFFMSVYRHACQDPFPLHAICGSPWKNTLGQLSLLKYAVLAPPEVFTFAHSGRLLVYHGAVHGHKLQLGHANHAWLCLDLLDVLCQLAEMGHSSSIQSMLEYPLKHCPEILLLGISHINTAYNLLQYEVSFVVFPMIIKSGTGNGMIHYLWHINPSLVVLGFVDAHNIEPDCIIKILDICQELKILSSVLDMIPSPLGIRLAALASRKELVDLEKWLTTNLVTYRDFFFEECLKFLKEVQPGGSQDFSTKPFRHSSSITDIYMETSSTFLKVLKTHTSLIISSRLSEEMETLNLTIMDSNPPLQSDGSADSSTPDGFSDDVEAEANSYFHQMFSSQLTIDAMVQMLSRFKESPVRREQLIFECMIGNLFEEYRFFPKYPEKQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRGTHSELVSFIERALARISSGHLESDGSSNASAAHHHGLSQASSGNEELNSVSITPPVQQLSSTLHVQERHDSPIDDRHKVFMASSNETKSLLSAGGKSLIASLGDNSSTQKIVASTTAMSSSHGFVRPSRGATSARFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISSANVEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKTLNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLTEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKREIEGNPDFSNKDAGASQPQIVAEVKPAITLSHAELPHEAGNPPNSAGHTHLLSQYAAPVHLSTGTLMEDEKLAALGLSDQLPPAQGLFQATPSQSHFSVSQLPNPTTTPNIGTHVIINPKLNSWGLHLHFQRVVPIVMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRTLISTQLRNSLQGLSVASELLEHAVQLATNDNLDLGCAIIEQAATDKAIQTIDGEIAQQLSLRRKHRDSVGPTFFDANIYTQGSINVLPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSQSSHSILAGSSSSSGAPGLASAFGSGSEQLNVGYSSTPGNLGLEAVSRSLDMASDAIESNSAAVPSGLAIHTGATDGIISQNSENNSVSASFPSTVPSPAPELQSGDTSDTVKELASQQMSSAASDRLSSGVSEPTLNTRDALDKYQIVAQKLEALVNSDAIEAEIQGVIAEVPEIILRCISRDEAALAVAQKVFKGLYENASNNIHVNACLAILAAIRDVCKLVVKELTSWVSYSDEERKFNKDITLGLISSELLNLAEYNVHMAKLIDGGRNKGATEFAISLIQALVIEDSKVISELHNLVDALAKLATKPGSPESLQQLIEIVRNPNPVAASAVLSSFAVGKEDKIRQSRDKKAVNQSIANREDYSNAESVEPVPANLHDQVSKLFAEWYRICELPAANDAAYTHYVLQLHQNGLLKGDDMTDSFFQILTELSVAHCLSSEGINSGGLQSTQQGHSLSFLAIDIYAKLVFSILKVEQGSNRFFLLSKILAVTVRFIQKDSEDKKASFNPRPYFRLFVDWLLDLVSPDPVIDGASFQILTAFAGAFHNLQPLRVPSFSFAWLEMVSHRSFMPKLLTGNAQKGWPYVQRLLVDLFQFLEPFLRSAELGIPVQFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREAPHILSEVDAALKAKQMKADVDEYLKTRRQGSSFLTELKQRLLLSTSETASAGTRYNVPLINSLVLYAGMQATQQLQARTTHQQSTGNTAHLLLDAALDIYQTLMLELDTEGRYLFLNAIANQLRYPNNHTHYFSFVLLYLFAESNQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGSLKPMDESMVSGWVSEGTH >Manes.01G270100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42359108:42377196:-1 gene:Manes.01G270100.v8.1 transcript:Manes.01G270100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSSAIPNQIRCLFQSLNEANVDSVLQELCQFIEYGVEGSVLVLKTCLERLHFHGTDLQNGQLESVLVSIFKYLLDKPNFSTVLCQSLRSTEINDEFLENLSNVLHLSLSEKIGIGLALSDSDIIETRMSAKKFFMAQVEALCANPVSMNSAEQIQNIVMCLQRSEGLSKHVDHLLRILSLLQPKESFPFVLTPLLSDEMREANFLRNVGQFHECEENEFDALLAEMEEEMSTSDILRELGYGCTFDASHCKEILSHFLPLSESTISKMLGTVARSHASLEDNQSTFLNFGIAIGCSISSDLPLLSSWDIDILVKTIKQLAPGTNWVQVMENMDHEGFYIPNEEAFSFFMSVYRHACQDPFPLHAICGSPWKNTLGQLSLLKYAVLAPPEVFTFAHSGRLLVYHGAVHGHKLQLGHANHAWLCLDLLDVLCQLAEMGHSSSIQSMLEYPLKHCPEILLLGISHINTAYNLLQYEVSFVVFPMIIKSGTGNGMIHYLWHINPSLVVLGFVDAHNIEPDCIIKILDICQELKILSSVLDMIPSPLGIRLAALASRKELVDLEKWLTTNLVTYRDFFFEECLKFLKEVQPGGSQDFSTKPFRHSSSITDIYMETSSTFLKVLKTHTSLIISSRLSEEMETLNLTIMDSNPPLQSDGSADSSTPDGFSDDVEAEANSYFHQMFSSQLTIDAMVQMLSRFKESPVRREQLIFECMIGNLFEEYRFFPKYPEKQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRGTHSELVSFIERALARISSGHLESDGSSNASAAHHHGLSQASSGNEEQLSSTLHVQERHDSPIDDRHKVFMASSNETKSLLSAGGKSLIASLGDNSSTQKIVASTTAMSSSHGFVRPSRGATSARFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISSANVEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKTLNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLTEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKREIEGNPDFSNKDAGASQPQIVAEVKPAITLSHAELPHEAGNPPNSAGHTHLLSQYAAPVHLSTGTLMEDEKLAALGLSDQLPPAQGLFQATPSQSHFSVSQLPNPTTTPNIGTHVIINPKLNSWGLHLHFQRVVPIVMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRTLISTQLRNSLQGLSVASELLEHAVQLATNDNLDLGCAIIEQAATDKAIQTIDGEIAQQLSLRRKHRDSVGPTFFDANIYTQGSINVLPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSQSSHSILAGSSSSSGAPGLASAFGSGSEQLNVGYSSTPGNLGLEAVSRSLDMASDAIESNSAAVPSGLAIHTGATDGIISQNSENNSVSASFPSTVPSPAPELQSGDTSDTVKELASQQMSSAASDRLSSGVSEPTLNTRDALDKYQIVAQKLEALVNSDAIEAEIQGVIAEVPEIILRCISRDEAALAVAQKVFKGLYENASNNIHVNACLAILAAIRDVCKLVVKELTSWVSYSDEERKFNKDITLGLISSELLNLAEYNVHMAKLIDGGRNKGATEFAISLIQALVIEDSKVISELHNLVDALAKLATKPGSPESLQQLIEIVRNPNPVAASAVLSSFAVGKEDKIRQSRDKKAVNQSIANREDYSNAESVEPVPANLHDQVSKLFAEWYRICELPAANDAAYTHYVLQLHQNGLLKGDDMTDSFFQILTELSVAHCLSSEGINSGGLQSTQQGHSLSFLAIDIYAKLVFSILKVEQGSNRFFLLSKILAVTVRFIQKDSEDKKASFNPRPYFRLFVDWLLDLVSPDPVIDGASFQILTAFAGAFHNLQPLRVPSFSFAWLEMVSHRSFMPKLLTGNAQKGWPYVQRLLVDLFQFLEPFLRSAELGIPVQFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREAPHILSEVDAALKAKQMKADVDEYLKTRRQGSSFLTELKQRLLLSTSETASAGTRYNVPLINSLVLYAGMQEWNSLELQATQQLQARTTHQQSTGNTAHLLLDAALDIYQTLMLELDTEGRYLFLNAIANQLRYPNNHTHYFSFVLLYLFAESNQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGSLKPMDESMVSGWVSEGTH >Manes.01G270100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42359108:42377196:-1 gene:Manes.01G270100.v8.1 transcript:Manes.01G270100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSSAIPNQIRCLFQSLNEANVDSVLQELCQFIEYGVEGSVLVLKTCLERLHFHGTDLQNGQLESVLVSIFKYLLDKPNFSTVLCQSLRSTEINDEFLENLSNVLHLSLSEKIGIGLALSDSDIIETRMSAKKFFMAQVEALCANPVSMNSAEQIQNIVMCLQRSEGLSKHVDHLLRILSLLQPKESFPFVLTPLLSDEMREANFLRNVGQFHECEENEFDALLAEMEEEMSTSDILRELGYGCTFDASHCKEILSHFLPLSESTISKMLGTVARSHASLEDNQSTFLNFGIAIGCSISSDLPLLSSWDIDILVKTIKQLAPGTNWVQVMENMDHEGFYIPNEEAFSFFMSVYRHACQDPFPLHAICGSPWKNTLGQLSLLKYAVLAPPEVFTFAHSGRLLVYHGAVHGHKLQLGHANHAWLCLDLLDVLCQLAEMGHSSSIQSMLEYPLKHCPEILLLGISHINTAYNLLQYEVSFVVFPMIIKSGTGNGMIHYLWHINPSLVVLGFVDAHNIEPDCIIKILDICQELKILSSVLDMIPSPLGIRLAALASRKELVDLEKWLTTNLVTYRDFFFEECLKFLKEVQPGGSQDFSTKPFRHSSSITDIYMETSSTFLKVLKTHTSLIISSRLSEEMETLNLTIMDSNPPLQSDGSADSSTPDGFSDDVEAEANSYFHQMFSSQLTIDAMVQMLSRFKESPVRREQLIFECMIGNLFEEYRFFPKYPEKQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRGTHSELVSFIERALARISSGHLESDGSSNASAAHHHGLSQASSGNEELNSVSITPPVQQLSSTLHVQERHDSPIDDRHKVFMASSNETKSLLSAGGKSLIASLGDNSSTQKIVASTTAMSSSHGFVRPSRGATSARFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISSANVEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKTLNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLTEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKREIEGNPDFSNKDAGASQPQIVAEVKPAITLSHAELPHEAGNPPNSAGHTHLLSQYAAPVHLSTGTLMEDEKLAALGLSDQLPPAQGLFQATPSQSHFSVSQLPNPTTTPNIGTHVIINPKLNSWGLHLHFQRVVPIVMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRTLISTQLRNSLQGLSVASELLEHAVQLATNDNLDLGCAIIEQAATDKAIQTIDGEIAQQLSLRRKHRDSVGPTFFDANIYTQGSINVLPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSQSSHSILAGSSSSSGAPGLASAFGSGSEQLNVGYSSTPGNLGLEAVSRSLDMASDAIESNSAAVPSGLAIHTGATDGIISQNSENNSVSASFPSTVPSPAPELQSGDTSDTVKELASQQMSSAASDRLSSGVSEPTLNTRDALDKYQIVAQKLEALVNSDAIEAEIQGVIAEVPEIILRCISRDEAALAVAQKVFKGLYENASNNIHVNACLAILAAIRDVCKLVVKELTSWVSYSDEERKFNKDITLGLISSELLNLAEYNVHMAKLIDGGRNKGATEFAISLIQALVIEDSKVISELHNLVDALAKLATKPGSPESLQQLIEIVRNPNPVAASAVLSSFAVGKEDKIRQSRDKKAVNQSIANREDYSNAESVEPVPANLHDQVSKLFAEWYRICELPAANDAAYTHYVLQLHQNGLLKGDDMTDSFFQILTELSVAHCLSSEGINSGGLQSTQQGHSLSFLAIDIYAKLVFSILKVEQGSNRFFLLSKILAVTVRFIQKDSEDKKASFNPRPYFRLFVDWLLDLVSPDPVIDGASFQILTAFAGAFHNLQPLRVPSFSFAWLEMVSHRSFMPKLLTGNAQKGWPYVQRLLVDLFQFLEPFLRSAELGIPVQFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREAPHILSEVDAALKAKQMKADVDEYLKTRRQGSSFLTELKQRLLLSTSETASAGTRYNVPLINSLVLYAGMQEWNSLELQATQQLQARTTHQQSTGNTAHLLLDAALDIYQTLMLELDTEGRYLFLNAIANQLRYPNNHTHYFSFVLLYLFAESNQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGSLKPMDESMVSGWVSEGTH >Manes.01G270100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42359108:42377196:-1 gene:Manes.01G270100.v8.1 transcript:Manes.01G270100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSSAIPNQIRCLFQSLNEANVDSVLQELCQFIEYGVEGSVLVLKTCLERLHFHGTDLQNGQLESVLVSIFKYLLDKPNFSTVLCQSLRSTEINDEFLENLSNVLHLSLSEKIGIGLALSDSDIIETRMSAKKFFMAQVEALCANPVSMNSAEQIQNIVMCLQRSEGLSKHVDHLLRILSLLQPKESFPFVLTPLLSDEMREANFLRNVGQFHECEENEFDALLAEMEEEMSTSDILRELGYGCTFDASHCKEILSHFLPLSESTISKMLGTVARSHASLEDNQSTFLNFGIAIGCSISSDLPLLSSWDIDILVKTIKQLAPGTNWVQVMENMDHEGFYIPNEEAFSFFMSVYRHACQDPFPLHAICGSPWKNTLGQLSLLKYAVLAPPEVFTFAHSGRLLVYHGAVHGHKLQLGHANHAWLCLDLLDVLCQLAEMGHSSSIQSMLEYPLKHCPEILLLGISHINTAYNLLQYEVSFVVFPMIIKSGTGNGMIHYLWHINPSLVVLGFVDAHNIEPDCIIKILDICQELKILSSVLDMIPSPLGIRLAALASRKELVDLEKWLTTNLVTYRDFFFEECLKFLKEVQPGGSQDFSTKPFRHSSSITDIYMETSSTFLKVLKTHTSLIISSRLSEEMETLNLTIMDSNPPLQSDGSADSSTPDGFSDDVEAEANSYFHQMFSSQLTIDAMVQMLSRFKESPVRREQLIFECMIGNLFEEYRFFPKYPEKQLKIAAVLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRGTHSELVSFIERALARISSGHLESDGSSNASAAHHHGLSQASSGNEEQLSSTLHVQERHDSPIDDRHKVFMASSNETKSLLSAGGKSLIASLGDNSSTQKIVASTTAMSSSHGFVRPSRGATSARFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNISSANVEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKTLNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILGLLTEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKREIEGNPDFSNKDAGASQPQIVAEVKPAITLSHAELPHEAGNPPNSAGHTHLLSQYAAPVHLSTGTLMEDEKLAALGLSDQLPPAQGLFQATPSQSHFSVSQLPNPTTTPNIGTHVIINPKLNSWGLHLHFQRVVPIVMDRAIKEIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRTLISTQLRNSLQGLSVASELLEHAVQLATNDNLDLGCAIIEQAATDKAIQTIDGEIAQQLSLRRKHRDSVGPTFFDANIYTQGSINVLPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSQSSHSILAGSSSSSGAPGLASAFGSGSEQLNVGYSSTPGNLGLEAVSRSLDMASDAIESNSAAVPSGLAIHTGATDGIISQNSENNSVSASFPSTVPSPAPELQSGDTSDTVKELASQQMSSAASDRLSSGVSEPTLNTRDALDKYQIVAQKLEALVNSDAIEAEIQGVIAEVPEIILRCISRDEAALAVAQKVFKGLYENASNNIHVNACLAILAAIRDVCKLVVKELTSWVSYSDEERKFNKDITLGLISSELLNLAEYNVHMAKLIDGGRNKGATEFAISLIQALVIEDSKVISELHNLVDALAKLATKPGSPESLQQLIEIVRNPNPVAASAVLSSFAVGKEDKIRQSRDKKAVNQSIANREDYSNAESVEPVPANLHDQVSKLFAEWYRICELPAANDAAYTHYVLQLHQNGLLKGDDMTDSFFQILTELSVAHCLSSEGINSGGLQSTQQGHSLSFLAIDIYAKLVFSILKVEQGSNRFFLLSKILAVTVRFIQKDSEDKKASFNPRPYFRLFVDWLLDLVSPDPVIDGASFQILTAFAGAFHNLQPLRVPSFSFAWLEMVSHRSFMPKLLTGNAQKGWPYVQRLLVDLFQFLEPFLRSAELGIPVQFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIREAPHILSEVDAALKAKQMKADVDEYLKTRRQGSSFLTELKQRLLLSTSETASAGTRYNVPLINSLVLYAGMQATQQLQARTTHQQSTGNTAHLLLDAALDIYQTLMLELDTEGRYLFLNAIANQLRYPNNHTHYFSFVLLYLFAESNQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGSLKPMDESMVSGWVSEGTH >Manes.11G049475.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6302540:6304150:1 gene:Manes.11G049475.v8.1 transcript:Manes.11G049475.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGLIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKIVSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.05G188600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31039733:31042319:-1 gene:Manes.05G188600.v8.1 transcript:Manes.05G188600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLWNFALALSLVIFFFIAPSFCVVQKMNPIDSCWRRNPNWRRSRQQLATCSVGFSGKMTNNIGKDTIRYKVSDPSDDPLNPKKGTLRYGATMITGKVWITFQKNMNIQLVKPLLISSFTTLDGRGVDIHITGNACLLVYKATDVIIHGLRIHHCKAVGPSSVRGPNGEMVPLGQMDGDAIRLVTASKVWIDHNTLYSCQDGLLDVTRGSTDVTISNNWFKDQDKVMLLGHDDGYLRDKNMRVTVVFNHFGPNCNQRMPRVRHGYAHVANNLYQGWEQYAIGGSMNPSIKSEANYFIAPKSGNKEVTWRNGINGNSKPWKFYSVGDVFENGASFIQTGSGGAKPNYNDQQKFKVADAKFVRSITKSSGALKCFRTIMC >Manes.13G131500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33971297:33972697:-1 gene:Manes.13G131500.v8.1 transcript:Manes.13G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGGKAAARFFSGERGMVPGLGHGRRNKNSSVGDCSSILSTNGSSQGFSFFEFDVASSAINNNDVSPSSPFRNYPWPPQPQVNRDCQDKDEDYWNNDDDQCKSPNVLMGSLIREEGHICSLATSGDLLYTGSDGKKIRVWKNRKEISGFRWNTGFVKAILISEDRIFTGHQDGKIRLWKLSSENPCIHKRVGTLPKFKDHVKSSMKPIKRNRSMVWLKKVDAISCLSLSEDKTLLYSASRGKSFKVWRISDSKCLETVAGHRDAVNSIVSGFDGLVFTGSEDGSIKVWRREMMGKGTKHFYSQTLLKQESAITTLAVNPEATVIYGGSSEGLVNFWERKMNLSHGGVLRGHTSAVLCLITAGSLVFSGSEDTGICVWRRLGRDHICLSLLSGHTGPVKCLAAEKDDTSQETTWILYSGSLDKSVKLWRVSENAPPVAWNGCANAMPISLPPARSSDCSRRKHTRY >Manes.14G109766.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9241278:9245268:-1 gene:Manes.14G109766.v8.1 transcript:Manes.14G109766.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSENRNMEGTLLIKQNREGDHSSALTWQVFFQEVKKLGFIAGPMVAVILSQFLVHFISMTMVGHLDELALSSTAMAISLSSVTGLSPLMGMASALETLSGQAYGAKQYKKLGIQTQTAIFCLILVCIPLSVIWINMGKILIFIGQDPRISHEAGKFTMWLVPQLFAFATLQPLIRYFQTQSLIFPMVLSSCGALCFHIPLCWVLVFKSGLDNLGAAVAMCISNWLNVIILALYMKFASACAKTRAPISMELFHGIGEFFRFAIPSAVMICLEWWSFELLVLLSGLLPNPELETSILSVCLTTISTLYAIPYGFGAAASTRVSNELGAGNPQAARVAVYAVSFLAVVETTIVTATLFASRRIFGYVFSNEKDVVDYVTTMAPLLCLSVIMDSLQGVLSGVARGSGWQQIGAYINLGAYYLCGIPVAAVLAFTVKLRGMGLWIGIQVGAFTQTVLLAIVTGCTDWERQASKARERMFEGRSLVHNEVM >Manes.14G109766.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9241278:9245268:-1 gene:Manes.14G109766.v8.1 transcript:Manes.14G109766.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSENRNMEGTLLIKQNREGDHSSALTWQVFFQEVKKLGFIAGPMVAVILSQFLVHFISMTMVGHLDELALSSTAMAISLSSVTGLSPLMGMASALETLSGQAYGAKQYKKLGIQTQTAIFCLILVCIPLSVIWINMGKILIFIGQDPRISHEAGKFTMWLVPQLFAFATLQPLIRYFQTQSLIFPMVLSSCGALCFHIPLCWVLVFKSGLDNLGAAVAMCISNWLNVIILALYMKFASACAKTRAPISMELFHGIGEFFRFAIPSAVMICLEWWSFELLVLLSGLLPNPELETSILSVCLTTISTLYAIPYGFGAAASCGDNYSNCYPLRKPPHFRVCFQQRKGCGGLCHNYGSPALFVSNNGQLTRGAFRSCKGVRMAANRGVYKPGSLLSLWDSSCCSIGFHGEIKRNGALDWNTSRCIYTNGSACYCYRLHRLGKTGKQGKGKDV >Manes.08G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16493221:16495734:-1 gene:Manes.08G074800.v8.1 transcript:Manes.08G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNDDEKIDMGALQDSGTKPTPIIAGRVQLISINNNMVPLEENKLTVMLEITGGDSSNDRLGLDLVAVLDVSGSMAGEKLEKAKTAMLFVLKKLSPIDRLSIVTFATEAQRLCPLRQITENSQKEIENIINGLTPIDATNVTAGLQTALKVINDRSVKAGRTVGIMLMSDGEQNRGGDAAQVPLGNVPVHTFGFGTNHEPTVLTAIAHNAIGGTFAEVHDMDNLSKAFSQCLAGLLTQVVDDLQLTVTEVFKESTIQQVTAGSYPQSKDDAAGSVTVTFGGLYAKEVRKVMVDLLLPSVPKERGADVLEINYSYRFHDKPFEATPVTLAVKRSGKIVDQQERPEVKNEQTRLFTASMIKEARVMADNKNLDDARDMLVKAQNALEDVEDNPLIEMLRSELLQLLKLMKTQDIYDKQGRPFALSSEISHARQRFAARGDGESLRMFSTPRMDKYLEQAKSFDEDPSKPPPTADEDVKEEIVANPLAPIAGALSFYIQSAIQSLQAIEKILIRGV >Manes.14G130728.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10432418:10433652:1 gene:Manes.14G130728.v8.1 transcript:Manes.14G130728.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSLQRSTTSFRRQGSSGLVWDDKLSGELKQNKEKVEMMKRSRSAGGVIYRTVKVSSSPNVDPPSPKVSGCGLCGIFGKPVSTHQRKSFKR >Manes.03G004800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:429044:430282:-1 gene:Manes.03G004800.v8.1 transcript:Manes.03G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSGDRFDVVVVGAGIMGSATAYQLAKRGEKTLLLEQFDFLHHRGSSHGESRTIRATYPQDYYCAMAMESSTLWEEAQSEIGFKVYFKAQQLDMGPSDNEDLLSIFSSCQKNSVPYEILDHQEVAEKFSGKIDIPENWIGIYTQLGGVIKATKAVSMFQALACRKGAILRDNIEVKGIVKDAEKGGVWISASNGEKFWAKKCIITAGAWARKLVKTVSGFELPIQPLETTVCYWRIKEGHESEFAIGGDFPTFASYGPQLIYGTPSLEFPGLIKIALHDGYPCDPDKRPWGPAMLLSSLKEWIEGRFSGLVDHGEPVVSQSCMYSMTPDEDYVIDFVGGEFGKDVVVGGGFSGHGFKMAPLVGKTLADMALYGKAEGVNLKHFRIQRFERNPKGNIKDPSEDRVGFSSNNK >Manes.07G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3768851:3770924:-1 gene:Manes.07G034400.v8.1 transcript:Manes.07G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEIRSSLTKLKSLRNLDLSSNSFMGIPIAEFFHSLKKLQYLNLENSDLGGKIPSILGNISSLLNLGLSRNELNGTLPFNLENLSKLSTLDVSSNHLTGSLPSIIGQLSELSVLDVSFNHLYGIVSESHFSKLTKLETLRFSSSSLILKVGSNWIPPFQVQVLEMSSCHVGPPFPAWLQTQNELGFLDLSNASISGLIPNWFWDISSDITWLNFSFNQLRGPIPYPLRLSPIPYPLRLSFPESIDWSSNLFEGPLPLPNPGIKALSLSNNQFSGPIPESIDKLGENFTFLSLASNQLTGEIPPSIGELQGLKIIDLSKNNLSGSIPSSIGRCYQLNVLDLQTNNLSGVIPESLGQLLFLETLYLSNNMISGEIPLSLQNLLSLETLDLGSNKMTGYIPSWIGRDLKFLRILSLRSNAFTGEIPTTLADLKHLQVLDLAEILLDGEFPANLGYYYQGNLFVSIKGQSLEYTKTLYLLTCIDLSGNYLQGELSLGITKLVGLVVLNLSRNHISGQIPQSISELHQLASLDLSSNMLSGSIPPSCRIPFVGHLTTFEASCFADNPNLCGAPLDVECPGDNSTNAGTKEKVSSRSCNDDNKWFYLAIGLGFATNILVPSLILATKKSWSDAYFGFAEKVADRLTPWACKTRWV >Manes.04G143783.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34216280:34216603:-1 gene:Manes.04G143783.v8.1 transcript:Manes.04G143783.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLETEMESMGLQSSDDDLDQAEDLCRENDVPFQEKVDTADSWRETNKVVPAVVVLRVTACRSFDTELPSSGSATGFVVDKQRGIILTSRHVVSFLYGFLSSIETI >Manes.01G170300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35263522:35271093:-1 gene:Manes.01G170300.v8.1 transcript:Manes.01G170300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEVGRRPMIGTNDRSFGDGLENEIGLLLREQRRQEADDLEKELNLYRSGSAPPTVEGSLSAVGGLLGNGSCGASAAFAEFVSGKSGNGPVSEEELRSDPAYLSYYYSNVNLNPRLPPPLISREDWRFTQRLKGGGSSVLGGIGDRRKVNRADNGKGRSLFSMPPGFDPRKQEIRVETDKVHGSTEWGGDGLIGLPGLGIGSKQKSLAEIFQDDLGHATPGTGHPSRPASSNAFNENIEAAGSAEAELAHLRHKLSSTDTLRSGSNGQGSSAAQNIGPPSSYSYAAAVGSSLSRSTTPDPQLVARVPSPCLTPIGQGRASASERRGVTSSNSFNGVISRVGESTDLAAALSGMNLSTNGVMDEDNREDVDIFGIQGGQNHKKQNAFLKKVESRHLHMPSLSQSAKVSYSYLAKSNDSGSDVNSSTLIVDRHAELQKSGVHSGNSFMKGSPTSTLNSGGGLPMQYQHLDDANSSLPNYGLSGYAVNPALASMMASQFGTGNLPMLFENVAAASAVAVSGMDSRVLGGGVGSGANLTAAASESHNLGRVGSPMAGSALQAPFVDPLYLQYLRTPEYAAHHAALNDPSIDRNYLGNSYMNILELQKAYVEALLSSQKPQYGVPMGGKSGASSHHGYFGNPAFGVGMSYPGSPLASPVIPNSPVGPGSPIRHSELNMHFPSVMRNLAGGIIGPWHLDTGVKMDDSFASTLLEEFKSNKTKCLELSEIVGHVVEFSADQYGSRFIQQKLETATTDEKNMVYKEIMPHALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECVPEENIQFIVSTFFDQVVTLSTHPYGCRVIQRILEHCKDPNTQSKVMDEILGAVSILAQDQYGNYVIQHVLEHGKPHERSAIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKIHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPA >Manes.10G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8038208:8052743:-1 gene:Manes.10G058800.v8.1 transcript:Manes.10G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPWVKMVTAQTPTNIAVIKYWGKRDETLILPVNDSISITLDPAHLCTTTTVAVSPSFVQDRMWLNGKEISLSGGRYQNCLREIRARACDIEDKERGIKISKKDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNAKEDNSELSAIARQGSGSACRSLFGGFVKWNMGKVEDGSDSLAIQVVDEKHWHDLVIIIAVVSSREKETSSTTGMHETVETSLLLQHRAKEIVPKRIVQMEMSIKNRDFASFAHLTCADSNQFHAVCLDTCPPIFYMNDTSHRIISCVEKWNRSEGTPQVAYTFDAGPNAVLIAHNRKAAAQLLQKLLFYFPPNSDTQLNSYVLGDKSILKDAGIEDLKDVEALPPPSEIKDAARYKGDVSYFICTRPGRGPVLLSDESQALLSPETGLPK >Manes.10G058800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8038208:8052743:-1 gene:Manes.10G058800.v8.1 transcript:Manes.10G058800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPWVKMVTAQTPTNIAVIKYWGKRDETLILPVNDSISITLDPAHLCTTTTVAVSPSFVQDRMWLNGKEISLSGGRYQNCLREIRARACDIEDKERGIKISKKDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFALAKLMNAKEDNSELSAIARQGSGSACRSLFGGFVKWNMGKVEDGSDSLAIQVVDEKHWHDLVIIIAVDLQTIHSFAISWRNNSFFCDKLEIRGQRCIVSSREKETSSTTGMHETVETSLLLQHRAKEIVPKRIVQMEMSIKNRDFASFAHLTCADSNQFHAVCLDTCPPIFYMNDTSHRIISCVEKWNRSEGTPQVAYTFDAGPNAVLIAHNRKAAAQLLQKLLFYFPPNSDTQLNSYVLGDKSILKDAGIEDLKDVEALPPPSEIKDAARYKGDVSYFICTRPGRGPVLLSDESQALLSPETGLPK >Manes.13G069776.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:9724251:9724634:-1 gene:Manes.13G069776.v8.1 transcript:Manes.13G069776.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQQLSTNETDEPVQMQEETLEHTPAALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGNVFLDSTVTRSISNDIKMRYTAP >Manes.03G048200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4384296:4385649:1 gene:Manes.03G048200.v8.1 transcript:Manes.03G048200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVFPSSKPGANAAATNPTASDTVNPTAPPKKTHLTRPPYRPQPHNRRYRTRSGRSIFCCCCFWSFLILLLLILLAAIAGAALYIMYRPHRPSFSIPSLRVHRLKLTTSADSSSSHISTLLNLTIISKNPNSHLTFFYDPFTVSSFSNDVFLGNGTLPAYSLSKKNETSFRDVVISGSNNLDADSVNTLSSDLKKKSSGVALKIQLDTKVKVKIGAIKTKKLGIRVTCEGFKGAVPKGKSPTVAVTTDSKCKVDLRIKIWKWTF >Manes.03G135400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26177888:26180388:-1 gene:Manes.03G135400.v8.1 transcript:Manes.03G135400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVTTLIFVVIGIIASLCTRICCNRGPSANLFHLTLVITATVCCWMMWAIVYLAQMKPLIVPILSEGE >Manes.03G135400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26178201:26180153:-1 gene:Manes.03G135400.v8.1 transcript:Manes.03G135400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFVTTLIFVVIGIIASLCTRICCNRGPSANLFHLTLVITATVCCWMMWAIVYLAQMKPLIVPILSEGE >Manes.16G057900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21684828:21689672:1 gene:Manes.16G057900.v8.1 transcript:Manes.16G057900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAMSLLSKLRCITVDVTGTLIAYKGELGDYYCMAAKSVGLPCPDYKRVHEGFKLAYTDMAKKYPCFGHAAKMPNIVWWKTCVRNSFIKAGYDYDEETFEKIFRRIYASFGSSAPYTVFPDSQPFLRWAREKGILVGLVSNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPRIYEIALERAGNIAPEEALHIGDSMRKDYLPAKSVGMHALLLDRFKTPDAEQWRKSGAVVLPDLVSVQELLTLGTLTC >Manes.16G057900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21684590:21690229:1 gene:Manes.16G057900.v8.1 transcript:Manes.16G057900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAMSLLSKLRCITVDVTGTLIAYKGELGDYYCMAAKSVGLPCPDYKRVHEGFKLAYTDMAKKYPCFGHAAKMPNIVWWKTCVRNSFIKAGYDYDEETFEKIFRRIYASFGSSAPYTVFPDSQPFLRWAREKGILVGLVSNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPRIYEIALERAGNIAPEEALHIGDSMRKDYLPAKSVGMHALLLDRFKTPDAEQWRKSGAVVLPDLVSVQELLTLGTLTC >Manes.16G057900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21687287:21689672:1 gene:Manes.16G057900.v8.1 transcript:Manes.16G057900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAMSLLSKLRCITVDVTGTLIAYKGELGDYYCMAAKSVGLPCPDYKRVHEGFKLAYTDMAKKYPCFGHAAKMPNIVWWKTCVRNSFIKAGYDYDEETFEKIFRRIYASFGSSAPYTVFPDSQPFLRWAREKGILVGLVSNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPRIYEIALERAGNIAPEEALHIGDSMRKDYLPAKSVGMHALLLDRFKTPDAEQWRKSGAVVLPDLVSVQELLTLGTLTC >Manes.05G117026.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:14669559:14674713:-1 gene:Manes.05G117026.v8.1 transcript:Manes.05G117026.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVFTPHIHTFTAITSRLQPKPKQRIKTSVALTRSPIIQASFSDPFVLQLAETFEDSLSPSQSPSLQKLRDSSSESLLSIPWPSRKDEPFRFTDTSFIKQSQIHPISKPPSTDHLTNVSNDTHSPNIVIVDGFILNSLSNSSNLPHGVYVGSLVDNPKDKIAQTLTKFSDDFQWGDLFWSINGLGAPDVAVVYIPAGVRVENPIHLSYVSVEGGEEGSNKLPVSNPRVLVVVEEGGEVGIIEEFTSIGRNDKCYWTNSVLEVMIEEGATVRHSYVQSQSLNSAHIKWTSVQQKSTSTYELVEVSTGGKLSRHNLHVQQLGPDTSTELSTFHLSLCGQTQDLHSRLVLDHPRAHSRQLHKCIVAHSQGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEESQLFYFQARGIDLETARKALVFSFGAEVIERCPYSFVRKRVENHVKELLSSTS >Manes.01G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8751629:8753225:1 gene:Manes.01G043800.v8.1 transcript:Manes.01G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMEKAVEMEESTCSSSSVEDQGTPLRPIFCLKKKVDLKIFDDIYDCFILDFDPVEPIPSISNLSVSSNDDLSVVAEKGQVACRDYPHSRHLCLKYPFDTTPHENFCHMCYCYVCDSVAPCKYWEDPKSAHCHASEHIDAWKLKRSLGKNQPPPCS >Manes.02G084400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6586434:6596271:-1 gene:Manes.02G084400.v8.1 transcript:Manes.02G084400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDHRKKNSTEMDFFSEYGDANRYKILEVIGKGSYGLVCSAIDTHTGEKVAIKKIRDIFEHISDAARILREIKLLRLLRHPDIVEIKHVMLPPSGRDFKDVYVVFELMESDLHQVIKANNDLTREHHQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDAPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGRPLFPGKNVVHQLDLMTDLLGTPSLDTISQVRNDKARRYLTTMRKKPPMPFGQKFPNGDPLALRLLGRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKIEFEFERRKVTKEDVRELIFREILEYHPQLLKDYKCGTERTNFLYPSAVDQFRRQFTHLEENSGKSGPVIPLERKHTSLPRSTIIHSNTVPSREQSIASLRVRPAETTYNKTSRYSEGAHVNISTLPAPQRIPQAKPGKAVGPIVPYESGNIMKDAYDPRTFVRGSFLPAQTIPPAYGYRKTSTGKQE >Manes.07G063300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11612633:11616244:1 gene:Manes.07G063300.v8.1 transcript:Manes.07G063300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRFCCRPLERCFGRRPGVGGGGGGDALMWHTDLKSHASGDYSIAVVQANSNLEDQSQVFTSPSATYVGVYDGHGGPEASRFVNKHLFPFMHKFAIEQGGLSADVIKRAFNATEEEFCHLVKRSLPVKPQIASVGSCCLVGAITNDVLYVANLGDSRAVLGRRTYEDKKKPVVAERLSTDHNVAVEEVRKEVEALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFNRDPLFQQFGNPIPLKRPVMTAEPSILIRKIRPQDLFLIFASDGLWEQLSDQAAVEIVFKYPRAGIAKRLVRAALQEAAKKREMRYDDIKKIDRGIRRHFHDDITVIVIYLDHKGPSNDRSKQNAIGCTTAPVDIFSLNADQVEKDFLHSIS >Manes.01G111700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31002463:31010853:1 gene:Manes.01G111700.v8.1 transcript:Manes.01G111700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVYSVKGITTGISVGSQPRNRRRLLAAGGLSGGFRKSSSLSVSVSSFNYSSCMRSRITFCGLRPAETVSMGTELVRTAFSRSRLVKALSSEGDIEEAAPIHPQRKSTGTVLPFVGIACLGAILFGYHLAVVNGALEYLAKDLGIAENTVLQGWIVSTLLAGATVGSFTGGALADKLGRTRTFQLDAIPLIIGAFLCTTAQSVQTMIIGRLLAGVGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILLALVAGLPLAGNPLWWRTMFGIAAVPSILLALGMAFSPESPRWLFQQGKISEAEKSIRTLYGKERVAEVMQDLAVGGQGSAEPEAGWFDLFSSRYWKVVSVGVALFFFQQMAGINAVVYYSTAVFRSVGIASDVAASALVGASNVFGTTVASSLMDRQGRKSLLITSFFGMAASMLLLSLTFTWKVLAPYSGTLAVLGTVCYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFFIGLYFLSVVNKFGISTVYLGFAAICVLAVLYIAVNVVETKGRSLEEIERALNPAI >Manes.01G111700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31002540:31010853:1 gene:Manes.01G111700.v8.1 transcript:Manes.01G111700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVYSVKGITTGISVGSQPRNRRRLLAAGGLSGGFRKSSSLSVSVSSFNYSSCMRSRITFCGLRPAETVSMGTELVRTAFSRSRLVKALSSEGDIEEAAPIHPQRKSTGTVLPFVGIACLGAILFGYHLAVVNGALEYLAKDLGIAENTVLQGWIVSTLLAGATVGSFTGGALADKLGRTRTFQLDAIPLIIGAFLCTTAQSVQTMIIGRLLAGVGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILLALVAGLPLAGNPLWWRTMFGIAAVPSILLALGMAFSPESPRWLFQQGKISEAEKSIRTLYGKERVAEVMQDLAVGGQGSAEPEAGWFDLFSSRYWKVVSVGVALFFFQQMAGINAVVYYSTAVFRSVGIASDVAASALVGASNVFGTTVASSLMDRQGRKSLLITSFFGMAASMLLLSLTFTWKVLAPYSGTLAVLGTVCYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFFIGLYFLSVVNKFGISTVYLGFAAICVLAVLYIAVNVVETKGRSLEEIERALNPAI >Manes.06G015900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2658706:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQVHAKNSTIQTVQRDVEKIYGKYAPFQFLAYWYVMQ >Manes.06G015900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2660128:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQVHAKNSTIQTVQRDVEKIYGKYAPFQFLAYCYENNSSKADHRKTKKM >Manes.06G015900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2662808:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQVHAKNSTIQTVQRDVEKIYGKYAPFQFLAYWAELWHFYEQRFGKLSQMPCSDYKLITASNMRNERGRKAKRSKVS >Manes.06G015900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2660639:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQL >Manes.06G015900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2657887:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQVHAKNSTIQTVQRDVEKIYGKYAPFQFLAYWYVMQ >Manes.06G015900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2662808:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQFSDLM >Manes.06G015900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2662808:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQGRIMALL >Manes.06G015900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2662808:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQVHAKNSTIQTVQRDVEKIYGKYAPFQFLAYWYVMQ >Manes.06G015900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2653070:2662808:1 gene:Manes.06G015900.v8.1 transcript:Manes.06G015900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKGGGEGVVLLEVPLGDAAETFDLEKTICSHGLFMMSPNHWDSLSRTFSRPLRLNHPPHSLMVSISHPSDLPHSLLVRVHGVRSLSSLDRASLLGQVVRMLRLSDTDEWNVREFRKIAAALEEEECDWIKGFGGRVFRSPTLFEDMVKCILLCNCQWSRTLSMARALCELQPELQLQSCSMNASTKNEQSDTNNFIPKTPAGKDSKRKLRVSRVSTSLTNKLLGMEMDLEADTCLTMDDAQMKMANLSPNFSLSCIEGDSSDTCISCEEVNEFYENSSSATSGLQSHEGTLHFARQPIYNFPSPRELANLDERFLAKRSGLGYRAGRIIKLSQDIVEGRIPMGELEEVCSGGSLSTYSKLADQLRVIDGFGPFTRANVLMCMGFYHVIPTDSETVRHLKQVHAKNSTIQTVQRDVEKIYGKYAPFQFLAYCSLI >Manes.18G014301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1539305:1541986:-1 gene:Manes.18G014301.v8.1 transcript:Manes.18G014301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTFLPDHSEQGKQPSKRNRKLQKQKQKKPSSWDQIKNLLTCKQIEGSSVHDPSKNHNGYSKLGSSCSSICSFRDVVHGNTRVVHRADNSPESSTVGQETGLLSRKAVSGSSTRSLASSGRSKPGATYTSSSKGMQFRKLYGCYECHMIVDPSRYPSPRTTICACSQCGEVFPKAESLELHQKVRHAVSELGPEDSGRNIVEIIFKSSWLKKDNSICKIERILKVHNTQRTIQRFEDCRDVVKTRALNNTKKNPRCAADGNELLRFHCTSLTCALGARGSSNLCSSIPDCGVCTIIRHGFQGKECKGVRTTASSGVAHDSLIGCTDGQRAMLVCRVIAGRVKRVADDVPPPEEDAATSIVGTLYDSVAGCIGIYSNLEELFVFNPRAILPCFVVIYRVVEC >Manes.09G140000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33933171:33943900:1 gene:Manes.09G140000.v8.1 transcript:Manes.09G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPIQLQQLAFLVGPDPTHFETLVNHLMSSSNEKRSAAESLFNICKQAHPDSLVLRLAHLLSSSALPEVRAMSAILLRKHLTRSTANDDAFLYPKLNESTRSAIKNILLSSLQRETTKSIIKKINDTVSELAASILPDGGWPELLPFMFQCVTSQNHNLQESALLIFARLAQFVGETLIPHLSTLHELFLNCLSDSTSADLRIAALNATINYIQCLSNNNDREKFQDLLPLMLRTLTEALNSKQEATAQEALELLIELAGSEPRFLRKQIVEVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKFPQFVHKLFMVLMTMLLDIEDEPVWHSAESEEEDAGETSNYGFGQECLDRLSISLGGNCVVPVASEVLQGFLAASEWEKHHAALIALSQIAEGSSKVMIKSLEQIVSMVLNSFQDPHPRVRWAAINAIGQLSTDLGPDLQIKYHQRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTAEILAPYLDGIVSKLLILLQNGKQMVQESALTALASVADSSQEQFQKYYDAVMPFLKAILVNANEKSNRMLRAKAMECISLVGMAVGRDKFRDDARQVMDVLMLLHGSQMEADDPTISYMLQAWTRLCKCLGQDFLPYMNAVIPPLLRSAQLKPDVIISSADSDVDIDDDDDSIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDKVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGQSHGRNELYLKQLSDYILPALVEALHKEPEVEICACMLDSLTECVQVSGRLLDESQVRSIVDEVKQVITASTARKQERAERARTEDFDVEEGELLKEENEQEEELFDQVGELLGALVKTFEVSFLPFFDELLSYITAMWGKDKSAEERRIAICIFDGVVEECREAALKYYDTCLPFLLEACNDENPDVRQAAVYGVGVCAEFGGSVFKPLVREALSKLNVVISDPSAHLSDNVMAYDNAVSALGKICKFHRDSIDAAQVFPAWLSCLPIKSDLIEAKLVHDQLCSMVERSDRELLGLHNQYLPKVVHVFAEVICAGKDLATEQTASRMIDLLRQLQQMLPPATLASTWSSLEPQQQLALQSILSS >Manes.08G052900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5695486:5698807:1 gene:Manes.08G052900.v8.1 transcript:Manes.08G052900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYHRYNQWRKGEHAEEDEGLALVCINSGFYKRKRPKLVSLLLLSLLSCSLILLPHLFCSSSAFSLLYSFVAETDDRVIVDMDVNAPLCSSISNGTICCDRSSFRSDICIMKGDVRTQSASSSIFLYTSSNSSKSIKEDEEFHHEKIKPYTRKWETSVMDTIDQLDLISKHEKSATHHQCDVTHHVPAVVFSTGGYTGNVYHEFNDGILPLYITSQHLNKKVVFVMLEYHTWWIMKYGDILSRLSDYPAIDFSGDKRNHCFPEVIVGLRIHNELTIDPSLMQENKSIVDFRNLLDKAYWPRIRGLIQKEELEALSPSSGTLLEFRKDVQEAKMKKPKLVILSRNASRAITNEDLLVKMAVRIGFRVEVLRPDRTTELAKIYRSLNSSEVMIGVHGAAMTHFLFMKPGSVFIQVIPLGTEWAAETYYGDPAKKLGLKYIGYQIMPRESSLFEKYDKNDPVLQDPRSISEKGWEYTKKIYLDSQNVRLNLARFQKRLVRAYQHCKKMDTLHHHSH >Manes.14G152800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17856870:17869984:1 gene:Manes.14G152800.v8.1 transcript:Manes.14G152800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKLSSSSVIIGTDESCTIALELSENDPFFDKKKNLLHNKGFHIREHVQLKSLTCPDSIATTLEKMLQIARITHLDEIELYFGEIDECSSVEYCSPRNEVEALNSILALVNSMLSRKTRTEMNVLQALRDAIVDRFEEFVDKNREEARIDKSYICDKEKRVAEWGEINGIKSKLEIVYVEGAGRGAIATKDLKAGDVAMEIPVSIIISEELVHQSDMYHILGKLDGISSETMLLLWSMKERHNSNSKFKVYFDTLPKEFNTGLSFGVAAIMALDGTLLLEEIMQAKEHLRIQYDELVPALCNKYPDVFPPELYTWEQFLWACELWYSNSMKVMFPDGKLRTCLIPFAGFLNHSLHPHIIHYGKVDSMTNTLKFPLSRPCLIGEQCCLSYGNFSSSHLITFYGFLPQGDNPYDVIPLDFDAGEPDSMEDCPVSSQTTHMVRGTWLSKNHNIFHYGLPSPLLNFLRSAQGLTLHNMTITRSNLEIEMQILEDLQSTFNNMMENLGDADLVDSDRETAAWDVKLAIEFKDLQRRIVSSIVTSCDAGIKLVQHELSKWTAEE >Manes.09G182200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37036415:37044001:1 gene:Manes.09G182200.v8.1 transcript:Manes.09G182200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLVDNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRIGTEMLTNEAGEVTSHLQGMFTRTIRLLEAGIKPVYVFDGQPPDLKKVELAKRYSRRADATDDLAQAVEAGNKEDIEKFSKRTVKVTNKHNEDCKRLLKLMGVPVIEAPSEAEAECAALCKSGKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKIPVMEFEVSKILEELNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIENILDNINKERYQIPENWPYQEARQLFNKPIVLADEEVPELKWTAPDEEGLITFLVNENGFNIDRVTKAIEKIKAAKNKSSQGRLESFFKPVANASIPIKRKETKCVLQSPKPAIKSKILPLQVCNCSVPKVIGSLSQPIVYLESKLSTPSRGFCFGG >Manes.18G025940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2868206:2870784:-1 gene:Manes.18G025940.v8.1 transcript:Manes.18G025940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGASRDCRSWNEEIYWTHFQYVHFSRFLHAGFDQCLAIPENFTRNLPRKLPNTVTLKSPSGIKWEIGLTANDNSLFFEHGWKEFVKYHSLEENDILVFKYNGESHFDVLMFNGWSMCEKTACYFLRKHGPKEHDSGCQIKRESGKKSAGVVIGSCPKKKPKNKNIHTPLKQPVISKFAKNVTAGNKEPCDEDIEAKPDIKHREKEIQCVLQLAHATLTDKGFIVMMKPTHVSRKFFMSIPSAWMTKHISCRENQDVILRMKENTWRTRLYYRRKPNRGGLACGGWRSFVLDNKLREFDVCVFEPGSLDNESVVLDVKIFHVKK >Manes.11G040537.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4311976:4314907:1 gene:Manes.11G040537.v8.1 transcript:Manes.11G040537.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSYCRWFRNNEGNANGQDREEENAIPAPSRGGVRKYSFEELAKATGDFSNNNRVGQGGSGQVYKGTLPNGKQVAIKRLQYNSDPEKQQLELLFENEFKTISRTRHPNIVEVVGYCSEEADRLIVYEFVSNKSLKSHLYVGRRQQKMVTALIDWPTRMKIALGIAEGLAYLHEDCKPGIIHGDIKSDNILLDDEFNPKIKDFEISKEFADSETDVSTIPMGTPDYLAPEHCTEDDQNKKLTDKSDVFSFGVVLLELITGKLAVFEKERREYIFLTIWAVPLLKQILDADYQDLDAENCKEIFDSKLPNNFEKNDMKRLIYSTAACVYKPANLRPRMCEIVKVLNGDMEPKTIWVRSDCKYLYNGSPYTPFPQAQGTSSS >Manes.14G042400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3734269:3740397:-1 gene:Manes.14G042400.v8.1 transcript:Manes.14G042400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSSPLSVHSRWDLGPLQPSRSQRLDPLLTFSSSNNSTISSSLSFCSGISISHRHRPHNPLSFKCSRSRRKRRILPISAVFERFTERAIKVVIFSQREARALGKDMVFTQHLLLGLIGEDRDPNGLLGSGLKIDKAREVVRDIWSSDADDDDANASVSSTQRDGGAGATSSTDVPFSISTKRVFEAAVEYSRTMGHNFISPEHIAVGLFTVDDGSATRVLKRLGANVDYLAAEAVTRLQGELAKDGRELSVGSKRSREKSFYKKAAASRSSEKTREKSALDQFCLDLTARASEGLLDPVIGRETEIERIIEILCRRTKNNPILLGESGVGKTAIAEGLAISIAQANVPVFLLAKRVMSLDMGLLIAGAKERGELEARVTALIREILKEGNIILFIDEVHTLVGTGTVGRGNKGSGLDIANLLKPSLGRGELQCIASTTIDEYRMHFESDKALARRFQPVSINEPNQEDAVKILMGLRQKYEAHHNCIFTPEAIYAAVHLSARYIADRYLPDKAIDLLDEAGSRAHIDAYRKKKEQQTCILSKSPDDYWQEIRTVQAMHEVVLASKIKDYDIASSTKDSGEIILEAPVPVTSDADEPTIVGPDDIAAVASLWSGIPVQQLTADERMFLLSLDDQLRERVIGQDEAVAAISRAVKRSRVGLKDPDRPIAAMMFCGPTGVGKTELAKALAACYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYVGYGEGGTLTEAIRRQPFSLVLLDEIEKAHPDVFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSTAIAKGGRTSIGFLIAADESTSYAGIKALVMEELKAYFRPELLNRIDEVVVFHPLEKAQILKISNLMLQEVKERLISLGIGLEVSESVKELVCQQGYDKIYGARPLRRAVTRIIENPISEALLAGGFKPGDTAMVDLDASGNTVVINQSDQSIHVSDTTTVL >Manes.18G144804.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:21207625:21207960:-1 gene:Manes.18G144804.v8.1 transcript:Manes.18G144804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMIAYVKMNCFLLWFLLTGLFSSPLSPNPRFAGSEVVQEDGKIWLWS >Manes.17G011700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4722634:4732097:-1 gene:Manes.17G011700.v8.1 transcript:Manes.17G011700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRIAGFLGFVKDEGAHEVKDQESVEDDHHRNNHRHHQQSRFPSNYEETGLPRKGFGVPVKVAVDRAQLGPVLVPSSSGDGGVQGLRWHAKRLKMDEDGDVADEFLEEVLPETSSCVDHQKPLPRFKVKCSARPAKIKNQVISHDGKIQVCVEYQGRLQLM >Manes.06G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18551836:18556702:-1 gene:Manes.06G058800.v8.1 transcript:Manes.06G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKGFTVDLNKPLVFQVGHLGEDYEEWVHQPIVSKEGPRFFESDFWEFLTRTVWWVIPVIWLPVVCWCISMSVRMGHTPSEIALIVGFGIFVWTLLEYSLHRFLFHIKTKSYWWNTLHYLIHGCHHKHPMDGLRLVFPPAATAVLCVPFWNFAQLIGTPSTAPALFGGGLLGYVMYDCTHYYLHHGQPSGGVSKNLKKYHLNHHFRIQNLGFGITSSLWDKVFGTLPPEKVVEKGR >Manes.12G078700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9835371:9836158:-1 gene:Manes.12G078700.v8.1 transcript:Manes.12G078700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHVQNFLNKISVACATIATITLLYLYLQTPETCIPPDTPITKSNLKFPSSTCDPALNRPYLSHDKKNQRLWSSKSWLSQVSSFTAFFSQVQHLNLLHNHSRVLCVSAGAGHEVMALNNMGVSDVTGVELVDSLPLVRKADPNNLPFFDGVFDLAFSARLVEALFPLRFAGEMERTVRRGGVCVIVVEECGNEEVSDIVGLFRKSKFVGAKNVTLIGMKMTRIIMSVAVSSPS >Manes.12G078700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9834419:9836149:-1 gene:Manes.12G078700.v8.1 transcript:Manes.12G078700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHVQNFLNKISVACATIATITLLYLYLQTPETCIPPDTPITKSNLKFPSSTCDPALNRPYLSHDKKNQRLWSSKSWLSQVSSFTAFFSQVQHLNLLHNHSRVLCVSAGAGHEVMALNNMGVSDVTGVELVDSLPLVRKADPNNLPFFDGVFDLAFSARLVEALFPLRFAGEMERTVRRGGVCVIVVEECGNEEVSDIVGLFRKSKFVGAKNVTLIGMKMTRIIMSVAVSSPS >Manes.12G078700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:9834419:9836207:-1 gene:Manes.12G078700.v8.1 transcript:Manes.12G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHVQNFLNKISVACATIATITLLYLYLQTPETCIPPDTPITKSNLKFPSSTCDPALNRPYLSHDKKNQRLWSSKSWLSQVSSFTAFFSQVQHLNLLHNHSRVLCVSAGAGHEVMALNNMGVSDVTGVELVDSLPLVRKADPNNLPFFDGVFDLAFSARLVEALFPLRFAGEMERTVRRGGVCVIVVEECGNEEVSDIVGLFRKSKFVGAKNVTLIGMKMTRIIMSVAVSSPS >Manes.03G116100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24174024:24176249:-1 gene:Manes.03G116100.v8.1 transcript:Manes.03G116100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTAAARQAGNITRLSSPRVASAPQAASLIHRRGLAGGGDHHGPPKVNFWQDPMSPSKWKEEHFVIVSLTGWGLLFFGGYKFFTRGKKDKTEEEVGEAH >Manes.14G051250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4370274:4372679:-1 gene:Manes.14G051250.v8.1 transcript:Manes.14G051250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFVFQVWYAIGHPPSSKIHFPSLASGFYLFIYFINCLVKWTCLLSITKLRHYRARAQSSSPDLEVLERHRKALPKFWPDKNSLAKSILYARLHCNTDNTQRSNIAGRKRC >Manes.10G135700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30316504:30322521:-1 gene:Manes.10G135700.v8.1 transcript:Manes.10G135700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGEAILSTAVSLLIHKLASPDLLKLARKAHVHKELKKWGKILHQIYAVLDDAEEKLINNRLLKFWLEELRELAYDVEDILDVFSTEVLRQNLREKQESNSSKLWSVLHNCCAALNPKNLAFNYNWESRIKTITARLEGIVKQKDDLELCANSSQSRSGGSFKRLPSTSLLDEQHVFGRKEDQDRIVELLLSREDWDNGVCVIPIIGMGGIGKTTLAQLVYNDERVMSFFDLRAWVYVSEDFDVSRVTKTILEAITLESLDAKDFNLLQVRLRDRLMGKKFLIVLDDVWNENYEAWALLCRTFKVRCPSSKIIVTTRNEGVADIMRTVPSLHLEGLSFNDCLSLFANHALGRKDFDSHPHLKEIGKEIVQRCRGLPLAVKTLGGLLRTKPNLDEWENILNSKLWDLPEDKSGIIPALRLSYYHLPSHLKQIFAFCSIFPKGYGFYQDELVLLWISEGFFPRPEENKQVKDLYSCFNELLSRSFFQRSSSTEPQFVMHDLISDLAQYAAGETCVSLNDKLEGNKHYSVAEKTRHVSFNRHTYEIWNRFKDLVKQKHLRTLIALPTHPSSWAACCYLSDTVLYEAISKLRCLRVLSLSGYCISRLPNSISGLKHLRYLNLSCSKIEQLPESVTTLLNLQILILFGCRELCRLPQNIGDLINLCHLDVTDTFNLNVMPPGIGNLIGLWKLSKFIVGKDNGCGIRELKELNNLEGRLSILDLHNVIDFCQAFDANLRNKHYLTELELEWSRSVGDLRNKEHETQVLKLLQPHTSLKELKISFYGGTKFPSWIGDPSFAKIVHLKLCCCRNCTSLPPLGRLPLLRNLHVEGMDAVKTVGHEFYGDGSPAKLFPSLETLKFENMKEWEKWLPSTGVDKGAGDIFPRLHKLYLLNCPKLVGKLHCCLPSLVKLTICKCPILEDSLISLPSLQELHLEECSKVVFRNMVDSTSITSLRIQNMSDLTNMQDLFIRSLTLRAPKVLVISNCTKLMNFGTEGIGLEKVMGLERLVIEDCPQFVSLAEKDQAMCPRLDNLEFLGCNSEERLSNSLGSVMPFRDSRWESCIKDASAAPQSRLWSTLRQLVLRNCRALESLPRDIMMFSCQSNKCLLEDLEIEDCPLLEYFPRGRLPTTLKMLKIRYCTNLKCLPEGLMHNDNSPNDLSHLEQLEIVGCPSLASFPAGKLGIQLKQLKIWDCMQLESLSGRMMHESTSLEYVSICNCKFITILPESPHSLINLTDLNISNCSSLVSFPETTLFLPNLRTLSIYDCVNLKSLPTWMRDITSLQELTISGCPGATSFLRGDFPPNLISLELWDCENFDGPTSEWNLHSLSRLRDFSISGGCLSSAVCFPDDKCVLPPSLISVWIGRLPCLESLSMQLQSLTSLEELEIVACPKLWSLPKEGLTATLGRFSIRDCPLLKKRCNKEKGVLWPMIAHIPCVEMDGEDVMN >Manes.10G135700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30316504:30322521:-1 gene:Manes.10G135700.v8.1 transcript:Manes.10G135700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGEAILSTAVSLLIHKLASPDLLKLARKAHVHKELKKWGKILHQIYAVLDDAEEKLINNRLLKFWLEELRELAYDVEDILDVFSTEVLRQNLREKQESNSSKLWSVLHNCCAALNPKNLAFNYNWESRIKTITARLEGIVKQKDDLELCANSSQSRSGGSFKRLPSTSLLDEQHVFGRKEDQDRIVELLLSREDWDNGVCVIPIIGMGGIGKTTLAQLVYNDERVMSFFDLRAWVYVSEDFDVSRVTKTILEAITLESLDAKDFNLLQVRLRDRLMGKKFLIVLDDVWNENYEAWALLCRTFKVRCPSSKIIVTTRNEGVADIMRTVPSLHLEGLSFNDCLSLFANHALGRKDFDSHPHLKEIGKEIVQRCRGLPLAVKTLGGLLRTKPNLDEWENILNSKLWDLPEDKSGIIPALRLSYYHLPSHLKQIFAFCSIFPKGYGFYQDELVLLWISEGFFPRPEENKQVKDLYSCFNELLSRSFFQRSSSTEPQFVMHDLISDLAQYAAGETCVSLNDKLEGNKHYSVAEKTRHVSFNRHTYEIWNRFKDLVKQKHLRTLIALPTHPSSWAACCYLSDTVLYEAISKLRCLRVLSLSGYCISRLPNSISGLKHLRYLNLSCSKIEQLPESVTTLLNLQILILFGCRELCRLPQNIGDLINLCHLDVTDTFNLNVMPPGIGNLIGLWKLSKFIVGKDNGCGIRELKELNNLEGRLSILDLHNVIDFCQAFDANLRNKHYLTELELEWSRSVGDLRNKEHETQVLKLLQPHTSLKELKISFYGGTKFPSWIGDPSFAKIVHLKLCCCRNCTSLPPLGRLPLLRNLHVEGMDAVKTVGHEFYGDGSPAKLFPSLETLKFENMKEWEKWLPSTGVDKGAGDIFPRLHKLYLLNCPKLVGKLHCCLPSLVKLTICKCPILEDSLISLPSLQELHLEECSKVVFRNMVDSTSITSLRIQNMSDLTNMQDLFIRSLTLRAPKVLVISNCTKLMNFGTEGIGLEKVMGLERLVIEDCPQFVSLAEKDQAMCPRLDNLEFLGCNSEERLSNSLGSVMPFRDSRWESCIKDASAAPQSRLWSTLRQLVLRNCRALESLPRDIMMFSCQSNKCLLEDLEIEDCPLLEYFPRGRLPTTLKMLKIRYCTNLKCLPEGLMHNDNSPNDLSHLEQLEIVGCPSLASFPAGKLGIQLKQLKIWDCMQLESLSGRMMHESTSLEYVSICNCKFITILPESPHSLINLTDLNISNCSSLVSFPETTLFLPNLRTLSIYDCVNLKSLPTWMRDITSLQELTISGCPGATSFLRGDFPPNLISLELWDCENFDGPTSEWNLHSLSRLRDFSISGGCLSSAVCFPDDKCVLPPSLISVWIGRLPCLESLSMQLQSLTSLEELEIVACPKLWSLPKEGLTATLGRFSIRDCPLLKKRCNKEKGVLWPMIAHIPCVEMDGEDVMN >Manes.05G169100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28267417:28272334:-1 gene:Manes.05G169100.v8.1 transcript:Manes.05G169100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPETELISIPATPRASTPEILTPSGQRSPRPPSKEAKSSNAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYFWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCTSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAITAITYSTMVWVLSISQQRPPSISYEPLALPSFTSSVFQVLNALGIVAFAFRGHNLVLEIQATMPSTFKHPAHIPMWKGAKVAYFFIAMCLFPIAIGGFWAYGNLMPSGGILNALYGFHSHDIPRGLLALTFLLVVFNCLSSFQIYSMPVFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFISFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPSKYSFNWYFNWILGWLGVAFSLAFSIGGVWSMVNSGLKLKFFKPPN >Manes.05G154700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26594941:26600025:1 gene:Manes.05G154700.v8.1 transcript:Manes.05G154700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACYCSLVRLSPPIHEQYLVWTPNPKKPNFPLTSTAHTTNSSRFITRVLQHGVEVVSPENVSLPSPVIDTKEDKEDVNGLVAGGALSNDKTFVSSTRVKKKRPEEDSFENRFKLRNGREVFEEKAYLVGVEQKGVKVDSFGIEESLKELAQLADTAGLMVVGSTYQKLTTPNPRTYIGSGKVAEIKSAIHALDVETVIFDDELSPGQLRNLEKTFGGDVRVCDRTALILDIFDQRAATHEASLQVTLAQMEYQLPRLTKMWTHLERQAGGKVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRVSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDRLFATLDPTTRRVQMKNGNEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLAAQQIEAVERVLSELDVSSIPKLMVWNKVDRVSDPAKTKVEAEKRPDVACISALNGVGLQDFCMAVQEKLKDSMVWVEALIPFEKGDLLSTIHQVGMVERTEYMENGTLVKAYVPLRFARLLTPMRQLLGKKDTHRVREREKHAVIV >Manes.15G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7611844:7619322:1 gene:Manes.15G097400.v8.1 transcript:Manes.15G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFEAWEEVQRHGQDLADRLAQGFTDLIQSHMTNPPAFPWPNPQKSKLFDLELPGHSFNRRDFGVLTDNTGINGVSAILDIGNRIGQAGADFGAGLNGLVQQFFRSLPVPFRQEEGVGMEVRMDSKRINLGVGVDGELGLVSDRLRDYGFVENTTTGKLDDPSDEQTGGFNLKPVGHIGKPQGIINITSTYDSRSNNIESSLVTRGDLWRVEASHGSYTPGNDNSSLFLIQLGPVLFVRDSTLIPVHLSKQHLLWYGYDRKNGMHSLCPAVWSKHRRWLLMSMLCLNPLACSFVDLQFPNGQFTYVSGEGLTTSAFLPIFGGLLQAQGQYPGEMRFSFSHKNKWGTRITPMVQWPDKSFTLGFAQALAWQRSGLMVRPTVQFSLCPTFGGNNPGVQAELIHSVNEKLNLMCGCSFMSHPSVFASLSIGRSKWNGNVGSSGIVVRVDSPLCDVDRPSVSVQINSGIEF >Manes.02G056800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4477361:4478238:1 gene:Manes.02G056800.v8.1 transcript:Manes.02G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPALHLLLVVLIVCIARNSCRAAFLQKGNTTSRCEDGHLDECLIAEDFEIEVLMDSYITRILGDKGDPYTDFTLSRSEVKVCKGNQSPSYDHCQCPVYRPHCGKKG >Manes.01G026200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5452257:5463242:1 gene:Manes.01G026200.v8.1 transcript:Manes.01G026200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYKEEEDDKPDGLEIISIGSLYSGTWDKKYWSSSRGKDRYPYPLGYHARRAFNGSTYKMEIQEGSKGPLFVITSADGHLCSGQTPDITWEKFQKKGFLRMKIWHGKRSSCKIDGIEFFGFRNPFVQRLLRELVANVNGIAEHSLLSSSFCNGASSMDDNNQYQDALVCSEILPHLARPQVKGKRNKRCEIVNPKSLNVDGSKRLRAGDPTFNGAVPVGVQSKYISGEANNHISAEDCLHLKPVDAPDHIRVKALLAHEDLASSENNKSTGVVMNSFAEERPLDRLQNAEVEGLNFPASSEFKDVDASCPGDSLSVHDVDLCAPDTLDFVQDDTTNSAPSTLDKITCGVKEELMTADVIVSEALVNKSHSEEEMAPYDANMNSEKSDFDSVSQDIAKSMMTLLLPHAIPLLKKTRRKKKKTVMPSENLSSTPKPREDQSKFESSEHNKPVVLQKFDDDQCVINKLILPSNNVEANQPSLDKNKNAYLPNGGELFVGGDVVSPWFLDAETNGNGDVLHDDKLQVNLSKRPQDGYVCLPESILGCMPANKKVLSEVNQDFCNNVDENSLSANINSEKVLKNSSDYNEGASVATVALRCSMHLSMKDTVVQAGDSEISNLSNSQVPRKVYTRKKVPNTELTARKHNPSLSESIICRKLVDGCVPQSTGTLLESGPFNMSSSVDEPRESFMGADTMVVGQLLDMHTDVTTLTSNTVLDSQATLISQTFLCASEGQDTSNLFVPPLSYVEKAQELFEERLIEVQNTSDVNGTRTQKQGTGVCHNKTPIVKEVQGNPELEIQRNVEVNNDLECIVKFLGSYSHPMPVLSMLLSRKGNEIYICALCGILRDKRRILFLYKLSIEEPRRGCPCFVGHVPVTWPSSTDIFGREIAFERSGLQLTPDGQHLVLLGSTRAPHCREGILDCSCSTCTLDCLENSAVKIVEVKAGYVSVVVKLRATDNLQCILVCEPDHLVAAGEGRRLHLWTMNSRWSAPTEEFTLSSNDCISPCIVEMKRIPKCASLVIGHNGLGEFTLWDISKRIFISRFSAPSTSVYQFCPISLFSWQREVNGFSCSNVEVHINRLMDATKIWFSEHSKNHSSPPLEGEDIAIWFLVSTVHESDAQHDYESNDCQRNPVGRWRLALLVKNTMILGKALDIRAAAVNISAGHGIIGTVDGLVYMWELLTGNKLGTLHEFKGGSVSCIATDDLESGVLAVVDERGQAMVYRRLQ >Manes.01G026200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5452257:5463210:1 gene:Manes.01G026200.v8.1 transcript:Manes.01G026200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWHGKRSSCKIDGIEFFGFRNPFVQRLLRELVANVNGIAEHSLLSSSFCNGASSMDDNNQYQDALVCSEILPHLARPQVKGKRNKRCEIVNPKSLNVDGSKRLRAGDPTFNGAVPVGVQSKYISGEANNHISAEDCLHLKPVDAPDHIRVKALLAHEDLASSENNKSTGVVMNSFAEERPLDRLQNAEVEGLNFPASSEFKDVDASCPGDSLSVHDVDLCAPDTLDFVQDDTTNSAPSTLDKITCGVKEELMTADVIVSEALVNKSHSEEEMAPYDANMNSEKSDFDSVSQDIAKSMMTLLLPHAIPLLKKTRRKKKKTVMPSENLSSTPKPREDQSKFESSEHNKPVVLQKFDDDQCVINKLILPSNNVEANQPSLDKNKNAYLPNGGELFVGGDVVSPWFLDAETNGNGDVLHDDKLQVNLSKRPQDGYVCLPESILGCMPANKKVLSEVNQDFCNNVDENSLSANINSEKVLKNSSDYNEGASVATVALRCSMHLSMKDTVVQAGDSEISNLSNSQVPRKVYTRKKVPNTELTARKHNPSLSESIICRKLVDGCVPQSTGTLLESGPFNMSSSVDEPRESFMGADTMVVGQLLDMHTDVTTLTSNTVLDSQATLISQTFLCASEGQDTSNLFVPPLSYVEKAQELFEERLIEVQNTSDVNGTRTQKQGTGVCHNKTPIVKEVQGNPELEIQRNVEVNNDLECIVKFLGSYSHPMPVLSMLLSRKGNEIYICALCGILRDKRRILFLYKLSIEEPRRGCPCFVGHVPVTWPSSTDIFGREIAFERSGLQLTPDGQHLVLLGSTRAPHCREGILDCSCSTCTLDCLENSAVKIVEVKAGYVSVVVKLRATDNLQCILVCEPDHLVAAGEGRRLHLWTMNSRWSAPTEEFTLSSNDCISPCIVEMKRIPKCASLVIGHNGLGEFTLWDISKRIFISRFSAPSTSVYQFCPISLFSWQREVNGFSCSNVEVHINRLMDATKIWFSEHSKNHSSPPLEGEDIAIWFLVSTVHESDAQHDYESNDCQRNPVGRWRLALLVKNTMILGKALDIRAAAVNISAGHGIIGTVDGLVYMWELLTGNKLGTLHEFKGGSVSCIATDDLESGVLAVVDERGQAMVYRRLQ >Manes.01G026200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5454794:5463210:1 gene:Manes.01G026200.v8.1 transcript:Manes.01G026200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNQYQDALVCSEILPHLARPQVKGKRNKRCEIVNPKSLNVDGSKRLRAGDPTFNGAVPVGVQSKYISGEANNHISAEDCLHLKPVDAPDHIRVKALLAHEDLASSENNKSTGVVMNSFAEERPLDRLQNAEVEGLNFPASSEFKDVDASCPGDSLSVHDVDLCAPDTLDFVQDDTTNSAPSTLDKITCGVKEELMTADVIVSEALVNKSHSEEEMAPYDANMNSEKSDFDSVSQDIAKSMMTLLLPHAIPLLKKTRRKKKKTVMPSENLSSTPKPREDQSKFESSEHNKPVVLQKFDDDQCVINKLILPSNNVEANQPSLDKNKNAYLPNGGELFVGGDVVSPWFLDAETNGNGDVLHDDKLQVNLSKRPQDGYVCLPESILGCMPANKKVLSEVNQDFCNNVDENSLSANINSEKVLKNSSDYNEAVGASVATVALRCSMHLSMKDTVVQAGDSEISNLSNSQVPRKVYTRKKVPNTELTARKHNPSLSESIICRKLVDGCVPQSTGTLLESGPFNMSSSVDEPRESFMGADTMVVGQLLDMHTDVTTLTSNTVLDSQATLISQTFLCASEGQDTSNLFVPPLSYVEKAQELFEERLIEVQNTSDVNGTRTQKQGTGVCHNKTPIVKEVQGNPELEIQRNVEVNNDLECIVKFLGSYSHPMPVLSMLLSRKGNEIYICALCGILRDKRRILFLYKLSIEEPRRGCPCFVGHVPVTWPSSTDIFGREIAFERSGLQLTPDGQHLVLLGSTRAPHCREGILDCSCSTCTLDCLENSAVKIVEVKAGYVSVVVKLRATDNLQCILVCEPDHLVAAGEGRRLHLWTMNSRWSAPTEEFTLSSNDCISPCIVEMKRIPKCASLVIGHNGLGEFTLWDISKRIFISRFSAPSTSVYQFCPISLFSWQREVNGFSCSNVEVHINRLMDATKIWFSEHSKNHSSPPLEGEDIAIWFLVSTVHESDAQHDYESNDCQRNPVGRWRLALLVKNTMILGKALDIRAAAVNISAGHGIIGTVDGLVYMWELLTGNKLGTLHEFKGGSVSCIATDDLESGVLAVVDERGQAMVYRRLQ >Manes.01G026200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5452257:5463210:1 gene:Manes.01G026200.v8.1 transcript:Manes.01G026200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEGSKGPLFVITSADGHLCSGQTPDITWEKFQKKGFLRMKIWHGKRSSCKIDGIEFFGFRNPFVQRLLRELVANVNGIAEHSLLSSSFCNGASSMDDNNQYQDALVCSEILPHLARPQVKGKRNKRCEIVNPKSLNVDGSKRLRAGDPTFNGAVPVGVQSKYISGEANNHISAEDCLHLKPVDAPDHIRVKALLAHEDLASSENNKSTGVVMNSFAEERPLDRLQNAEVEGLNFPASSEFKDVDASCPGDSLSVHDVDLCAPDTLDFVQDDTTNSAPSTLDKITCGVKEELMTADVIVSEALVNKSHSEEEMAPYDANMNSEKSDFDSVSQDIAKSMMTLLLPHAIPLLKKTRRKKKKTVMPSENLSSTPKPREDQSKFESSEHNKPVVLQKFDDDQCVINKLILPSNNVEANQPSLDKNKNAYLPNGGELFVGGDVVSPWFLDAETNGNGDVLHDDKLQVNLSKRPQDGYVCLPESILGCMPANKKVLSEVNQDFCNNVDENSLSANINSEKVLKNSSDYNEAVGASVATVALRCSMHLSMKDTVVQAGDSEISNLSNSQVPRKVYTRKKVPNTELTARKHNPSLSESIICRKLVDGCVPQSTGTLLESGPFNMSSSVDEPRESFMGADTMVVGQLLDMHTDVTTLTSNTVLDSQATLISQTFLCASEGQDTSNLFVPPLSYVEKAQELFEERLIEVQNTSDVNGTRTQKQGTGVCHNKTPIVKEVQGNPELEIQRNVEVNNDLECIVKFLGSYSHPMPVLSMLLSRKGNEIYICALCGILRDKRRILFLYKLSIEEPRRGCPCFVGHVPVTWPSSTDIFGREIAFERSGLQLTPDGQHLVLLGSTRAPHCREGILDCSCSTCTLDCLENSAVKIVEVKAGYVSVVVKLRATDNLQCILVCEPDHLVAAGEGRRLHLWTMNSRWSAPTEEFTLSSNDCISPCIVEMKRIPKCASLVIGHNGLGEFTLWDISKRIFISRFSAPSTSVYQFCPISLFSWQREVNGFSCSNVEVHINRLMDATKIWFSEHSKNHSSPPLEGEDIAIWFLVSTVHESDAQHDYESNDCQRNPVGRWRLALLVKNTMILGKALDIRAAAVNISAGHGIIGTVDGLVYMWELLTGNKLGTLHEFKGGSVSCIATDDLESGVLAVVDERGQAMVYRRLQ >Manes.01G026200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5454794:5463210:1 gene:Manes.01G026200.v8.1 transcript:Manes.01G026200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNQYQDALVCSEILPHLARPQVKGKRNKRCEIVNPKSLNVDGSKRLRAGDPTFNGAVPVGVQSKYISGEANNHISAEDCLHLKPVDAPDHIRVKALLAHEDLASSENNKSTGVVMNSFAEERPLDRLQNAEVEGLNFPASSEFKDVDASCPGDSLSVHDVDLCAPDTLDFVQDDTTNSAPSTLDKITCGVKEELMTADVIVSEALVNKSHSEEEMAPYDANMNSEKSDFDSVSQDIAKSMMTLLLPHAIPLLKKTRRKKKKTVMPSENLSSTPKPREDQSKFESSEHNKPVVLQKFDDDQCVINKLILPSNNVEANQPSLDKNKNAYLPNGGELFVGGDVVSPWFLDAETNGNGDVLHDDKLQVNLSKRPQDGYVCLPESILGCMPANKKVLSEVNQDFCNNVDENSLSANINSEKVLKNSSDYNEGASVATVALRCSMHLSMKDTVVQAGDSEISNLSNSQVPRKVYTRKKVPNTELTARKHNPSLSESIICRKLVDGCVPQSTGTLLESGPFNMSSSVDEPRESFMGADTMVVGQLLDMHTDVTTLTSNTVLDSQATLISQTFLCASEGQDTSNLFVPPLSYVEKAQELFEERLIEVQNTSDVNGTRTQKQGTGVCHNKTPIVKEVQGNPELEIQRNVEVNNDLECIVKFLGSYSHPMPVLSMLLSRKGNEIYICALCGILRDKRRILFLYKLSIEEPRRGCPCFVGHVPVTWPSSTDIFGREIAFERSGLQLTPDGQHLVLLGSTRAPHCREGILDCSCSTCTLDCLENSAVKIVEVKAGYVSVVVKLRATDNLQCILVCEPDHLVAAGEGRRLHLWTMNSRWSAPTEEFTLSSNDCISPCIVEMKRIPKCASLVIGHNGLGEFTLWDISKRIFISRFSAPSTSVYQFCPISLFSWQREVNGFSCSNVEVHINRLMDATKIWFSEHSKNHSSPPLEGEDIAIWFLVSTVHESDAQHDYESNDCQRNPVGRWRLALLVKNTMILGKALDIRAAAVNISAGHGIIGTVDGLVYMWELLTGNKLGTLHEFKGGSVSCIATDDLESGVLAVVDERGQAMVYRRLQ >Manes.01G026200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5452257:5463210:1 gene:Manes.01G026200.v8.1 transcript:Manes.01G026200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNNQYQDALVCSEILPHLARPQVKGKRNKRCEIVNPKSLNVDGSKRLRAGDPTFNGAVPVGVQSKYISGEANNHISAEDCLHLKPVDAPDHIRVKALLAHEDLASSENNKSTGVVMNSFAEERPLDRLQNAEVEGLNFPASSEFKDVDASCPGDSLSVHDVDLCAPDTLDFVQDDTTNSAPSTLDKITCGVKEELMTADVIVSEALVNKSHSEEEMAPYDANMNSEKSDFDSVSQDIAKSMMTLLLPHAIPLLKKTRRKKKKTVMPSENLSSTPKPREDQSKFESSEHNKPVVLQKFDDDQCVINKLILPSNNVEANQPSLDKNKNAYLPNGGELFVGGDVVSPWFLDAETNGNGDVLHDDKLQVNLSKRPQDGYVCLPESILGCMPANKKVLSEVNQDFCNNVDENSLSANINSEKVLKNSSDYNEGASVATVALRCSMHLSMKDTVVQAGDSEISNLSNSQVPRKVYTRKKVPNTELTARKHNPSLSESIICRKLVDGCVPQSTGTLLESGPFNMSSSVDEPRESFMGADTMVVGQLLDMHTDVTTLTSNTVLDSQATLISQTFLCASEGQDTSNLFVPPLSYVEKAQELFEERLIEVQNTSDVNGTRTQKQGTGVCHNKTPIVKEVQGNPELEIQRNVEVNNDLECIVKFLGSYSHPMPVLSMLLSRKGNEIYICALCGILRDKRRILFLYKLSIEEPRRGCPCFVGHVPVTWPSSTDIFGREIAFERSGLQLTPDGQHLVLLGSTRAPHCREGILDCSCSTCTLDCLENSAVKIVEVKAGYVSVVVKLRATDNLQCILVCEPDHLVAAGEGRRLHLWTMNSRWSAPTEEFTLSSNDCISPCIVEMKRIPKCASLVIGHNGLGEFTLWDISKRIFISRFSAPSTSVYQFCPISLFSWQREVNGFSCSNVEVHINRLMDATKIWFSEHSKNHSSPPLEGEDIAIWFLVSTVHESDAQHDYESNDCQRNPVGRWRLALLVKNTMILGKALDIRAAAVNISAGHGIIGTVDGLVYMWELLTGNKLGTLHEFKGGSVSCIATDDLESGVLAVVDERGQAMVYRRLQ >Manes.01G026200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5452257:5463210:1 gene:Manes.01G026200.v8.1 transcript:Manes.01G026200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYKEEEDDKPDGLEIISIGSLYSGTWDKKYWSSSRGKDRYPYPLGYHARRAFNGSTYKMEIQEGSKGPLFVITSADGHLCSGQTPDITWEKFQKKGFLRMKIWHGKRSSCKIDGIEFFGFRNPFVQRLLRELVANVNGIAEHSLLSSSFCNGASSMDDNNQYQDALVCSEILPHLARPQVKGKRNKRCEIVNPKSLNVDGSKRLRAGDPTFNGAVPVGVQSKYISGEANNHISAEDCLHLKPVDAPDHIRVKALLAHEDLASSENNKSTGVVMNSFAEERPLDRLQNAEVEGLNFPASSEFKDVDASCPGDSLSVHDVDLCAPDTLDFVQDDTTNSAPSTLDKITCGVKEELMTADVIVSEALVNKSHSEEEMAPYDANMNSEKSDFDSVSQDIAKSMMTLLLPHAIPLLKKTRRKKKKTVMPSENLSSTPKPREDQSKFESSEHNKPVVLQKFDDDQCVINKLILPSNNVEANQPSLDKNKNAYLPNGGELFVGGDVVSPWFLDAETNGNGDVLHDDKLQVNLSKRPQDGYVCLPESILGCMPANKKVLSEVNQDFCNNVDENSLSANINSEKVLKNSSDYNEAVGASVATVALRCSMHLSMKDTVVQAGDSEISNLSNSQVPRKVYTRKKVPNTELTARKHNPSLSESIICRKLVDGCVPQSTGTLLESGPFNMSSSVDEPRESFMGADTMVVGQLLDMHTDVTTLTSNTVLDSQATLISQTFLCASEGQDTSNLFVPPLSYVEKAQELFEERLIEVQNTSDVNGTRTQKQGTGVCHNKTPIVKEVQGNPELEIQRNVEVNNDLECIVKFLGSYSHPMPVLSMLLSRKGNEIYICALCGILRDKRRILFLYKLSIEEPRRGCPCFVGHVPVTWPSSTDIFGREIAFERSGLQLTPDGQHLVLLGSTRAPHCREGILDCSCSTCTLDCLENSAVKIVEVKAGYVSVVVKLRATDNLQCILVCEPDHLVAAGEGRRLHLWTMNSRWSAPTEEFTLSSNDCISPCIVEMKRIPKCASLVIGHNGLGEFTLWDISKRIFISRFSAPSTSVYQFCPISLFSWQREVNGFSCSNVEVHINRLMDATKIWFSEHSKNHSSPPLEGEDIAIWFLVSTVHESDAQHDYESNDCQRNPVGRWRLALLVKNTMILGKALDIRAAAVNISAGHGIIGTVDGLVYMWELLTGNKLGTLHEFKGGSVSCIATDDLESGVLAVVDERGQAMVYRRLQ >Manes.01G026200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5452257:5463210:1 gene:Manes.01G026200.v8.1 transcript:Manes.01G026200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQEGSKGPLFVITSADGHLCSGQTPDITWEKFQKKGFLRMKIWHGKRSSCKIDGIEFFGFRNPFVQRLLRELVANVNGIAEHSLLSSSFCNGASSMDDNNQYQDALVCSEILPHLARPQVKGKRNKRCEIVNPKSLNVDGSKRLRAGDPTFNGAVPVGVQSKYISGEANNHISAEDCLHLKPVDAPDHIRVKALLAHEDLASSENNKSTGVVMNSFAEERPLDRLQNAEVEGLNFPASSEFKDVDASCPGDSLSVHDVDLCAPDTLDFVQDDTTNSAPSTLDKITCGVKEELMTADVIVSEALVNKSHSEEEMAPYDANMNSEKSDFDSVSQDIAKSMMTLLLPHAIPLLKKTRRKKKKTVMPSENLSSTPKPREDQSKFESSEHNKPVVLQKFDDDQCVINKLILPSNNVEANQPSLDKNKNAYLPNGGELFVGGDVVSPWFLDAETNGNGDVLHDDKLQVNLSKRPQDGYVCLPESILGCMPANKKVLSEVNQDFCNNVDENSLSANINSEKVLKNSSDYNEGASVATVALRCSMHLSMKDTVVQAGDSEISNLSNSQVPRKVYTRKKVPNTELTARKHNPSLSESIICRKLVDGCVPQSTGTLLESGPFNMSSSVDEPRESFMGADTMVVGQLLDMHTDVTTLTSNTVLDSQATLISQTFLCASEGQDTSNLFVPPLSYVEKAQELFEERLIEVQNTSDVNGTRTQKQGTGVCHNKTPIVKEVQGNPELEIQRNVEVNNDLECIVKFLGSYSHPMPVLSMLLSRKGNEIYICALCGILRDKRRILFLYKLSIEEPRRGCPCFVGHVPVTWPSSTDIFGREIAFERSGLQLTPDGQHLVLLGSTRAPHCREGILDCSCSTCTLDCLENSAVKIVEVKAGYVSVVVKLRATDNLQCILVCEPDHLVAAGEGRRLHLWTMNSRWSAPTEEFTLSSNDCISPCIVEMKRIPKCASLVIGHNGLGEFTLWDISKRIFISRFSAPSTSVYQFCPISLFSWQREVNGFSCSNVEVHINRLMDATKIWFSEHSKNHSSPPLEGEDIAIWFLVSTVHESDAQHDYESNDCQRNPVGRWRLALLVKNTMILGKALDIRAAAVNISAGHGIIGTVDGLVYMWELLTGNKLGTLHEFKGGSVSCIATDDLESGVLAVVDERGQAMVYRRLQ >Manes.06G023300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4836907:4844571:1 gene:Manes.06G023300.v8.1 transcript:Manes.06G023300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFEGTALDPSKCSKLSLDEKRELVYQLSKCAGACEMLQSWSRQEILQILCVEMGKERKYTGLTKLKIIEHLLKIVSEKKAGECMATTDVETPVQRSSRQRKTDNPSRLTVSVNYAAVNNGGNDLGNTVYCKNSACRATLKQEDAFCKRCSCCICYKYDDNKDPSLWLTCSSEPPFLDAACGMSCHLDCALRQERSAIGKEGYDGSFCCVACWKVNDLLGCWRKQLLMAKDTRRVDILCYRVSLSKKLLNKTGKYQKLYEIVDEAVKKLEAEVGPLTGLPVKMGRGIVNRLSSGPDVQKLCAFALESLDKMLSHTIVHPFPDSMIRDLNARASTVVRFEDVYATSLAVVLGSEDPSPSNVVGYTLWHRKAQDTDYPTEPTCTLLVPNTRFVITGLSPATEYFFKVLSTNDVRREIGMFEVQCCTRDKDPNCSVVERSQSLTTNCSSLSNPSSVEDETNHNATCGDQIINREDNYLSYRDDTDKIVSSIVSNGVITCIGASGGATSDAVPLLDEEHAMQVVTLPSSDVHKCHNNHLPDYQIVDEISTDNRSETPVQTGLECVPFVGNSDASLPITPCKLEIIKEVQGRHARSKSSNKDLLNGTGKGDEAQDARTSKKGSGERQDDECMANGHSDGDFEYYVKVIRWLECEGHIEKNFRQKFLTWYSLRASPQELRVVKAFVDTLIQDPASLAEQLMDTFSECISCKRSSLVPSGFCMRLWH >Manes.18G099800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9197225:9200489:-1 gene:Manes.18G099800.v8.1 transcript:Manes.18G099800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKDRLCQETWANSSSSGNELPKNISTQKPSYANFNFNAQQHQQKWEDTSIFGVRTNSTFQEFNPQSETQSLLPSNNNDHIKIPDRESSRINETLQTNKVPDWDPKAMLNNLSFLEQKIHQLQDLAHLIIGRRSQVLGRPDELLTQQQQLITADLTSIIVQLISTAGSLLPSVKHTLSVAMPPAGQLGGVLFPSGAGMNSSLQPQYSSASKVSDQSNQMDIAVNCGTEQNYSIEEHEMRDEEDAEEGENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKEASSEPVLIKRYSCPFAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPPDETKATPGPSDKGDENEVANSVGSMNYSFGSGGANGSGAQDIMDVKGGVDDPASYFSPLNFDTCNFGGFHEFPRPPFDDSESSFSFLISGLCNYSQKTGGESSSNNIH >Manes.17G122350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:32774012:32774101:1 gene:Manes.17G122350.v8.1 transcript:Manes.17G122350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFCICPLETPARLLWTTSFFRHKLMLF >Manes.06G161950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28930045:28943496:-1 gene:Manes.06G161950.v8.1 transcript:Manes.06G161950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVLTVEGLQHLGFLIQFGDKGSERVSLSQAAPFFANSDPDMLAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGSSFIEGISKSSYVRQASDLTDLNMD >Manes.06G161950.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28930045:28943496:-1 gene:Manes.06G161950.v8.1 transcript:Manes.06G161950.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVLTVEGLQHLGFLIQFGDKGSERVSLSQAAPFFANSDPDMLAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGSSFIEGISKSSYVRQASDLTDLNMD >Manes.06G161950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28930042:28943496:-1 gene:Manes.06G161950.v8.1 transcript:Manes.06G161950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVLTVEGLQHLGFLIQFGDKGSERVSLSQAAPFFANSDPDMLAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGSSFIEGISKSSYVRQASDLTDLNMD >Manes.06G161950.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28930045:28943496:-1 gene:Manes.06G161950.v8.1 transcript:Manes.06G161950.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVLTVEGLQHLGFLIQFGDKGSERVSLSQAAPFFANSDPDMLAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGSSFIEGISKSSYVRQASDLTDLNMD >Manes.06G161950.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28930045:28943496:-1 gene:Manes.06G161950.v8.1 transcript:Manes.06G161950.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKESSLAQAIVTTVNICGMLFIIIVGGYLAFKTGWIGYELPSGYFPLGLNGMLGGSAVVFFSFIGFDVVASTAEEVLTVEGLQHLGFLIQFGDKGSERVSLSQAAPFFANSDPDMLAVPVPAVQVHDWVLQNIASALEHITERISAKENGPSSFFDNDVAMADACTSSIKGSPSARGSSFIEGISKSSYVRQASDLTDLNMD >Manes.04G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28513619:28515898:-1 gene:Manes.04G083900.v8.1 transcript:Manes.04G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCKLRRLNSFLPAAGALYPITPHSNSSTSNQVFASPIQVWLTVHKAVCFLHTDIVFYKSLAMASSEYIVEGSISSLIDSLNSKQHGDSLSPEEIAWVDSCLVKDPEISDSDWSYMKDALIEILGLQPGSQELSAPGTDGFPGGAAIEMLDSAEPEIVESSGVTEDGSIQINKEIETSSDDFPIKEQSGNSLSQHFQELSETSLGNAFLPNYEEEYLRMSESIDSGLDVGSSTDEIEPSTENIFRIWDLSIPSEEDQLVKQLNKALSESNDILMSSRTDDIGAWKDFEEESVVDLIAGIADLSLGVHSN >Manes.01G120100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31637020:31639975:1 gene:Manes.01G120100.v8.1 transcript:Manes.01G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVRVVHNGGCHCGRVRWRAQAPSSVVVWKCNCSDCSMRGNVHFIVPSKRFELLGDSKQYLTTYTFGTHSAKHTFCKVCGITSFYTPRSNPDGVAVTYRCVDPGTLTHVEIKHYDGQNWEGSYNETDIASCSKIDVAV >Manes.13G140800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35435251:35436687:1 gene:Manes.13G140800.v8.1 transcript:Manes.13G140800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFISEESIDELIQVQTHIWNHTFSFAKSMSLRCAVQLGIPDAINSHGRSMTLSELVAALPVHPTKTHHLHRLMRLLVHLGFFTSEKVAGDNHFQESYSLTPASRLLLKDNPFTYKHFIDLVLGPYLTKPYDSMSTWFQNDDSSPFLTEFGETLWDKAGHESELNRMINASMGGDSSLIGKAVIVKCKEVFEGLTSLVDVAGGTGNMAKAIADAFPHLNCTVFDQPRVVGDLQGSKNLNFVGGDMFKAVPPADAVLLKWTLHDWRDEDCVKILKNCKEAITIRNEKQGGKVMIIDMVVGDQTSDADDKITESKLLFDITAMSCLTGKQRNEKEWANLFFSAGFSSYKINPVLGPRALIEVYP >Manes.11G022600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2363048:2365848:-1 gene:Manes.11G022600.v8.1 transcript:Manes.11G022600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIPARALYMTALEVTKSNVGTATIRLGFPEATAGAIANAAAGLSAAMAAQLVWTPVDVVSQRLMVQGGRLNSVPDASTCKYANGIDAFRKIIRTDGPRGLYRGFGISILTYAPSNAVWWASYSVAQRMVWGGMSCYLCKKDEDGNENGIIALRPDSKTVMAVQGVSAAMAGGVSALITMPLDTIKTRLQVLDGEENGKRGPTIGQTVRNLVREGGWTACYRGLGPRWASMSMSATTMITTYEFLKRLSAKNQEVLQ >Manes.11G022600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2363052:2365816:-1 gene:Manes.11G022600.v8.1 transcript:Manes.11G022600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTAEDESDQEIHIPADIDWEMLDKSKFFFLGAALFSGVSATLYPVVVLKTRQQVAQSQVSSVKTAFGIVRHEGFRALYRGFGTSLMGTIPARALYMTALEVTKSNVGTATIRLGFPEATAGAIANAAAGLSAAMAAQLVWTPVDVVSQRLMVQGGRLNSVPDASTCKYANGIDAFRKIIRTDGPRGLYRGFGISILTYAPSNAVWWASYSVAQRMVWGGMSCYLCKKDEDGNENGIIALRPDSKTVMAVQGVSAAMAGGVSALITMPLDTIKTRLQVLDGEENGKRGPTIGQTVRNLVREGGWTACYRGLGPRWASMSMSATTMITTYEFLKRLSAKNQEVLQ >Manes.12G008900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:927134:936525:1 gene:Manes.12G008900.v8.1 transcript:Manes.12G008900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRPQWKPPSNDVVSTLPLYRSAPALEVRLEDFELYAMDRLRVLKGISDGLSRGKKAEEMEKLVNDLWKVNMKHPKAVEVLDKDVISHFVLRLVYCRTEDLRKWFLSMETALFRYRFRLLAADAQRALLTEFGLPYRMVARAEFEGIKEKLVQVARSIGQPMLSSDAIFYKVPFEEVPELVAGRKVFICKGHAYVAMNQVVSLVVTQFRSLLSKALILTNRKWTSAIREQEKDRLTPIVEALCSSYLGPDYSQPKEFAEISIKDIDLVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISLTPGVGDHHGCPYRHFSEENLRAALCRMGVNGSAVEDVMDKVRNRHYQLACTLTFEAIHGLSCDAGINHPNQYFSDSQKTLKVKSHSSEQRESTVD >Manes.15G105700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8364671:8370650:-1 gene:Manes.15G105700.v8.1 transcript:Manes.15G105700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVDQTSAVEHHKNKMSAINTSSGASTTGPKVSIFAAKSGFVIPKNKLSGSLVPIFRGGKKSGGNDAANEDSKNQELRKTKWGPDPYQDAAVRRGRALAYQTRVDQITQKLELGILEPVETQDLQADQSADLKLSSPPIDIKELKLERREIIGEILKLNPSYKAPPDYEPLLKEASVPIPVKDHPGCNFVGLLFGSGGETHKRLEKETGAKIRVFGTKANAGKKVEISPSDMNETHLAYEELYVHVSAETFEKVDEAVALIELLITSVSGSLVAGNNVNVPNQSQEVSAPFRVPNGDQGVTQLAFGPAQTPQRGQFQYQGPWLPSVSAQAQVHPPGFIPLRNSSVPIDNNLLHVQSPLTPTSMPSLFGPRPIPSPGFNSNFLNGSPVPSRPQLPIQVPSHPYAPRNLPMPAPQPSSVQSNVLASLSFTGNQAPPAMPSPVAGPLVPSLPQPVSSVPPGPQSDRSLTSVGSSSGWPLASGGVPAPLVPGNMGQMVPPMGSLQGPRPVVPQSGFLSSALPSTMPAANIVPPVSFPSAPSSINVLMNQVSGASSLVSVLPPQPTLQSGTAGSFPGNVSNPTLTRPAIAGPAMQHSGPGDFTFQPCHPQNLAPHLRPSNQPMTQDPPLARPMMQPSPPAPSFRLAVPNSIPPPGMPVFPRSQVSNQMGQTQAHMSAIPFVGNSAGPVPPRVPAFSNASPIIPPARNFSQAARLPDLPGPFPPRPGNPLQVQHNYPGPITPRGNFMGPNQQSNRNLSFAPSPGGQQIYDPFSPTSVPIKPQQPGGNLLNGRKQETDPEYEDLMASVGVK >Manes.15G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8364671:8370650:-1 gene:Manes.15G105700.v8.1 transcript:Manes.15G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVDQTSAVEHHKNKMSAINTSSGASTTGPKVSIFAAKSGFVIPKNKLSGSLVPIFRGGKKSGGNDAANEDSKNQELRKTKWGPDPYQDAAVRRGRALAYQTRVDQITQKLELGILEPVETQDLQADQSADLKLSSPPIDIKELKLERREIIGEILKLNPSYKAPPDYEPLLKEASVPIPVKDHPGCNFVGLLFGSGGETHKRLEKETGAKIRVFGTKANAGKKVEISPSDMNETHLAYEELYVHVSAETFEKVDEAVALIELLITSVSGSLVAGNNVNVPNQSQEVSAPFRVPNGDQGVTQLAFGPAQTPQRGQFQYQGPWLPSVSAQAQVHPPGFIPLRNSSVPIDNNLLHVQSPLTPTSMPSLFGPRPIPSPGFNSNFLNGSPVPSRPQLPIQVPSHPYAPRNLPMPAPQPSSVQSNVLASLSFTGNQAPPAMPSPVAGPLVPSLPQPVSSVPPGPQSDRSLTSVGSSSGWPLASGGVPAPLVPGNMGQMVPPMGSLQGPRPVVPQSGFLSSALPSTMPAANIVPPVSFPSAPSSINVLMNQVSGASSLVSVLPPQVSSSSTPLSHALINPVSGSTPISLPMTSASQPTLQSGTAGSFPGNVSNPTLTRPAIAGPAMQHSGPGDFTFQPCHPQNLAPHLRPSNQPMTQDPPLARPMMQPSPPAPSFRLAVPNSIPPPGMPVFPRSQVSNQMGQTQAHMSAIPFVGNSAGPVPPRVPAFSNASPIIPPARNFSQAARLPDLPGPFPPRPGNPLQVQHNYPGPITPRGNFMGPNQQSNRNLSFAPSPGGQQIYDPFSPTSVPIKPQQPGGNLLNGRKQETDPEYEDLMASVGVK >Manes.01G135300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32827532:32830545:-1 gene:Manes.01G135300.v8.1 transcript:Manes.01G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLINANPVVHAKKERVVRSEDLHCDDAVDPLDIYDFVRDIRDPEHPYSLEQLSVLSEESITVDDKLGRILITFTPTIQHCSMATVIGLCLRVKLQECFPPHYKVDIKVSPGSHADEESVNKQLNDKERVAAALENPNLRQLVDECLYSSEL >Manes.S007471.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251224.1:92720:113414:1 gene:Manes.S007471.v8.1 transcript:Manes.S007471.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRTKSGTGCNMCDRLASVLRWKLLGRNRAPDVTWVIDLAVFWMEAIRTKSGTGCNMGDRLGSLLGWKLLGLNRAPDVTWVIDFPVFGMEAIRLKSGTECNMGDRLASFQDGSY >Manes.18G001900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:669460:673014:1 gene:Manes.18G001900.v8.1 transcript:Manes.18G001900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSSFIDPSTATPPFNPKLPQPSLVPTTPSSSLKPEVPTPSTATHRPPPATLSPDADIVHIPSYSRWFSWNSIHECEVRFLPEFFDSRSPSKNPRVYMYYRNSIIKYYRRNPSAKITFTEIRKTLVGDVGSIRRVFDFLEAWGLINYSPSALNKPLKWEDKDSKSTSQSSADGGGTSADSTPPKRDTSKRLCSGCQSVCSIACFVCDKYDLTLCARCYVRGNYRVGVSSSDFRRVEISEEIRTEWTEKETLQLLEAVTHYGDDWKKVALHVPGRSEKDCVAHFIKLPFGEEFAGYTNLGELDNKYDQIKDSTDSENGSEGIGSSSANKRMRLTPLADASNPIMGQAAFLSALAGTDVAEAAAQAAIAALTENRKGGVGSLFSNATQQGGGVRSNGDTNLNPLERASLDANSVPEKEEPDAEKAISGIIDVEMKEIQDKIVRFEEMDLLMEKEWQQLDQIKNLLFVDQLTLMFHKKSTPKTGELMEENVRTE >Manes.17G065500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26568946:26579998:1 gene:Manes.17G065500.v8.1 transcript:Manes.17G065500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGGGGAASYNSNNNGKGNSGISGIPAGSRKIVQSLTEIVNCSESEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKETTDVRSRGANNTMHRGGRGGADRYGRGGSTQFSSNETGVPHAKPSYKKENGTHAYAGSSSSASGMAGNTVYRRPQALHSDSLASENRTPNVDVGDGLSQSLQPPSGFQSSWLGGPGQVSMADIVKMGRPHNKASAMPPHHGVNLHHPAAPSLAASNHDLHLSENHTAKISEINAEQEVTASQCVHSNDEWPSTEQPTASSISSVLEVPADTELYADPADLPLDRISQHMKSQLDDVLPAEDGHAETLNGNHGGPASVSSRNMQEDNSVGSSIFDNNLYGNVSSYQPPGHAYEHEAEDVASSVAANLQQLNLHSDDQVAPPEEDNASVIIPNHLQVHGQDFSHLSFGSFGSGISSAFSGPFASRPSNNNQEETTEVVDTSSAAHPDTRNPEYYGDEHLRNTADENLIHRTGASPVHYDSPSVPQPEVLNETPEAAQVNQYAFPSSAPGYTYDNAQQLNAAFNNPQTSSQIQNVAPFSSVMQAYTNSLPSTLLASTIQPGREPDLPYSPFPVTQSMPTKYSNTASPINGPSISVPEALRSASISTPQPTQQTLPGASVATGPTLPQHLAVHPYSQHTVPLGPFANMIGYPFLPQSYTYMPSAFQQTFAGNSTYHQSLAAVLPQYKNSVSVSSLPQSAAVASAYGFGSSTSIPAGNFPLNPPTAPGGTTIGYDDVLSSQYKDGSHLISSQQNETSGMWVHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQLSQHFGTLGYPNYYHSQTGISLEHQQQNSRDSSLSGSQGQPSKQTQQLWQNSY >Manes.18G076400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6956176:6958172:1 gene:Manes.18G076400.v8.1 transcript:Manes.18G076400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGSFLRQLSGRETWRSTSRRWGCSNKHSSAEERNLKQMEGLNMYGGENGGLGLRKRVMVVVDNTSHSKHAMMWALTHAANKGDLLTLLHIIPPASKGGGDRAPGSDSSSSSPYLVNSLGSLCKACKPQVEVEALVIQGPRLATVINQVKKLDVSVLVVGQKKPSPLTNCLCGSSSSEEFVDQCINNVECLTIGVSKQSKSVGGYLISTRWKKNFWLLA >Manes.02G159100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12445526:12447045:1 gene:Manes.02G159100.v8.1 transcript:Manes.02G159100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARIHLSNKFSWAKATNSNKSVIISVYVESPRKCSHHKTGDHLTNKAKRNPLFRRPQGAETKCYDRRAELLAYVRQLRDGGARHSRRDSLRLKFEEKKSKLSSAPVRIETSMRRIFRRNERQDRYEKIVSEENCGVVPEFHSRNKKTPRGNIASSFCNKLKRMFKGLSCGLTCSER >Manes.02G159100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12445526:12447045:1 gene:Manes.02G159100.v8.1 transcript:Manes.02G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARIHLSNKFSWAKATNSNKSVIISVYVESPRKCSHHKTGDHLTNKAKRNPLFRRPQGAETKCYDRRAELLAYVRQLRDGGARHSRRDSLRLKFEEKKSKLSSAPVRIETSMRRIFRRNERQDRTN >Manes.13G095200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27877784:27878770:1 gene:Manes.13G095200.v8.1 transcript:Manes.13G095200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCKWEDLPEDCLANVFRRASVQSLLMDVPFVCKSWFRASLHPFCWKLLDFNNIVFVLKDILFHVIFDDEGVRMVHILVVEYRIKEFFGNCVLLKFPANGCNGDVLEFVAVKCPALRCLSFSCELFKNDHLPIIQDLIKKCKQLEFLSIFYSRVTNLKEIVAHIALNCQKFRGLSAPFAYIGEEEAAAIVTKLPNINYLILVHSYIDRKYLVEILLGCKSLARLDVSYCCGFKVDDNLLELASHIHTFKYEGAVDSFSHEDHFQLFDGYNSA >Manes.10G020600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2019558:2020778:1 gene:Manes.10G020600.v8.1 transcript:Manes.10G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSSFFGGRRTNVFDPFSLDIWDPFQDIPFPSTALSAPRSELANETSAFANTRIDWKETPESHVFKADLPGLKKEEVKVEIEDGRVLQISGERSKEKEEKNDKWHRVERSSGKFMRRFRLPENAKVDQVKASMENGVLTVTVPKEEVKKPDVKAIEISGGRK >Manes.02G066300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5044997:5047008:1 gene:Manes.02G066300.v8.1 transcript:Manes.02G066300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIFVVEAIDKRFDHVSRIEGRSERLEMLMQLDVNTEIYPIKKEDKYALLITPTLHADGTGDSGYYGQGKQGSIADKYEYIMYGKLYKIQEEGSGADIKAELFISFGGLLLSLKGNPDYITEFQLDHKYFLCMRKLV >Manes.02G183400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14652119:14660863:1 gene:Manes.02G183400.v8.1 transcript:Manes.02G183400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFCVIFFSLGFSFFLPSSVARGGERSSLRSGTNNVSWYANSARRFLVEGDKSQGSVDKARGYLSNSDLEKAVKEFGKRCSNISKIYSIGESVNGVPLWVIEISDKPGVEEPEPAFKYIGNMHGDEPVGRELLIRLANWICDNYLKDPLATLIVDNVHLHILPSMNPDGFSLGRRGNANNIDLNRDFPDQFFPRNDDIDSRQPETRAIMNWLRKIQFTASASLHGGALVANYPWDGTEDKRRIYYRCPDDDTFRFMASTYSRSHRNMSLSTEFPGGITNGASWYPIYGGMQDWNYIHAGCFELTLEISDNKWPNADELSTLWEYNKMSLLNLIASVVKMGVHGRIFSSDRGRPLPGSIMIKGINYTIKAGRLFADYHRLLTPAKGYEGI >Manes.02G183400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14652119:14660863:1 gene:Manes.02G183400.v8.1 transcript:Manes.02G183400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFCVIFFSLGFSFFLPSSVARGGERSSLRSGTNNVSWYANSARRFLVEGDKSQGSVDKARGYLSNSDLEKAVKEFGKRCSNISKIYSIGESVNGVPLWVIEISDKPGVEEPEPAFKYIGNMHGDEPVGRELLIRLANWICDNYLKDPLATLIVDNVHLHILPSMNPDGFSLGRRGNANNIDLNRDFPDQFFPRNDDIDSRQPETRAIMNWLRKIQFTASASLHGGALVANYPWDGTEDKRRIYYRCPDDDTFRFMASTYSRSHRNMSLSTEFPGGITNGASWYPIYGGMQDWNYIHAGCFELTLEISDNKWPNADELSTLWEYNKMSLLNLIASVVKMGVHGRIFSSDRGRPLPGSIMIKGINYTIKAGRLFADYHRLLTPAKGYEVVASVSGYKSKTTGILLGEAGMSLDFILDPEGTPVENLRTTCDCSYCGMSKLENLWGFHLEFYYIILIVILAFLCFLFIRRMGFYFLNHRQSPKRSFQA >Manes.09G023500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:4763616:4765965:-1 gene:Manes.09G023500.v8.1 transcript:Manes.09G023500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQFPDLGKHCTREDCKQIDFLPFTCDRCLQVFCLEHRSYAKHGCPKADRQDVTVVICPLCAKGVRLNPDEDPNISWETHVNTECDPSNYEKVTKKRKCPVRGCREVLTFSNTIKCRDCTIDHCLKHRFGPDHDCPGPKKLEAGFQFLSLLNRSRKEESKPNKTPAASSTKWASAFRNAASTVRASAEAGVAKLSTEISQAWQTAKSPAGPSSSNGRDAIGLEEECPQCGARFSSVMVLVEHVQKVHERSANQSRVLKLPVDVCPKCSKGFRDPVALVEHVERDHGGTSKA >Manes.06G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23478854:23483450:1 gene:Manes.06G101100.v8.1 transcript:Manes.06G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRKRKQQLRLKPGESETKPAKISIPTKHDPYPTHPRPTPEECLAVRDSLLACHGFPQEFAKYREQRRNLSSLVIDTDAQNGVKSETLDTGEESVLDGLIKTLLSQNTTEVNSQRAFANLKSAFSTWEDVHAAESKCIEHAIRCGGLAPKKASCIKNILSCLLEKKGKLCLEYLRDLSVEEIKAELSHFKGVGPKTVSCVLLFQLQLDDFPVDTHVFEIAKAIGWVPEGADRNKTYLHLNQRIPNELKFDLNCLLFTHGKLCRKCTKKGGNQQSKESCDNSCPLLNYRVNL >Manes.06G101100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23478854:23483450:1 gene:Manes.06G101100.v8.1 transcript:Manes.06G101100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRKRKQQLRLKPGESETKPAKISIPTKHDPYPTHPRPTPEECLAVRDSLLACHGFPQEFAKYREQRRNLSSLVIDTDAQNGVKSETLDTGEESVLDGLIKTLLSQNTTEVHAAESKCIEHAIRCGGLAPKKASCIKNILSCLLEKKGKLCLEYLRDLSVEEIKAELSHFKGVGPKTVSCVLLFQLQLDDFPVDTHVFEIAKAIGWVPEGADRNKTYLHLNQRIPNELKFDLNCLLFTHGKLCRKCTKKGGNQQSKESCDNSCPLLNYRVNL >Manes.06G141800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26983021:26985271:-1 gene:Manes.06G141800.v8.1 transcript:Manes.06G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNQHPTIEEIDQEQSITANFSSSETSMVEPPDPEGQAEPEPTILLKEEELTDTDGHELDNNNNNSLPISLLQVPHSNNRALVPAKRPSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIEATGTGTVPAIAVSVNGTLKIPTTSPARPDGDDLLPRKRRKRPSNSDFVDVNEHQTSVSSGLAPIASMTTATAAVAALPNFGGASAQGLVPLWPMGTFMLPQSAGGGSNQPQLWAIPAAATPFFNVAGRPISTFVSAMQPGVQLGDGISKSVGSGGASSPMGSMSSNSGTSTTNSAGGNNTSNGAANNTGAQMLRDFSLEIYDKKELQFLGHPSKP >Manes.11G022000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2313852:2319179:-1 gene:Manes.11G022000.v8.1 transcript:Manes.11G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIDCSKQLNNGSLHHREREDDDAMGTPRTKQAIKAITAQIKDIAVKASGAYRNCKPCSGPSNNNPNGNYADSDVASESARFHYSYQRAGSSNSTPRMWGKEMEARLKGLTSGEGTPASVSGRTDSVVFMEEDEPKEWVAQVEPGVLITFVSLSQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQQAVPLPTPPRSEDESSKPESAKDSPVTPPLGKERPRNFCCPTGMGYSSSDSLDQQPIQVHQYYESAALASTPKLSSISGAKSEASSVGGSARSSLSREADHSGELSISNASDMEAEWVEQDEPGVYITIRALPGGTRELRRVRFSREKFGEMHARLWWEENRARIQEQYL >Manes.09G094650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:28659202:28660916:1 gene:Manes.09G094650.v8.1 transcript:Manes.09G094650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVGAFNLMGLVVGLSSAELTLVAIIVTFITSWRSKKAKVIEIVDDWEIDFGCHRFSYRELSVASNGFTEREILGKRGF >Manes.11G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12368710:12369961:1 gene:Manes.11G080700.v8.1 transcript:Manes.11G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQVKDVLNSMHKDAGEKGENRNAKGEFLLRVDGGATVNNLLIQLQADLLGSPVVRPADIETTALGAAYAVGLAVGI >Manes.06G063200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:19781480:19784440:1 gene:Manes.06G063200.v8.1 transcript:Manes.06G063200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCVRASKALAISYPFTLKVRLLFPFYAFLRDCTYIASKNTESFAETHFKDLIFNTVRDKPWAFCNQNWVSDQFNSVIIDPELLIRVLNVMREKPRIALRFFRWVQTQPGFKSSEFCFCVMLDILVENSLMRSAYWVMESVINIEMHGIADVLVGGYLNFEVSIKLLDLLLWIYTKKLMIEQCLLVFDKMVRSGLLPDVKSCNRILRTLRDKNLLLKAREIYRMMGEYGIKPTIITYNTMLGSFCKEGEVQQAIDLLSQMQKKGCYPNDVTYNILINRLSNKGQLQQAKGLLGEMLNMGLGVSAYTYNPLVCWYCKKGFVVEALALVAEMVTRGVSLTVATYNIIIYGLCEEGKVNDARQWFSDMLKEDMMPDIISYNTLIYGYCRLGNIGEAFVLFDELRYQNLSPTIVTYNTLIDGVCRLEDLETAQKLKIDMINYGILPDVFTYTTLVTGSYMMGNMLMAKEFFDEMLHLGFSPDRVAYTARIVGELKLGRKTSAFKLQEEMLAKGFPPDVITYNVFVHGLCKLGNLEEARVLFQKMISNGHVPDHVTYTSIIHAYLESGHLRKGREVFYEMLSKGQTPTVVTYTVLIHAHALNGRIELAFMYFSEMLEKGVMPNVITYNALINGFCKVRRMDQAYKFLSEMEEKGVFPNKYTYTILIGENCNIGNWQEALRLYAQMLDRNILPDSCTHGALLKHLNKDYKVQAAQYIECLIQGSDKTLDANT >Manes.16G093500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29959577:29962605:-1 gene:Manes.16G093500.v8.1 transcript:Manes.16G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPEFVEFAARKASSFSQTCSLLSQYIKEKGSLGDLSLGMPCSGEGNGNGTPELRQASTTMNLFPMNEKQVDVCSRNMATARTNVRSMDLFPQQAGFAPSAPKEDVQKSLDSSINKAATPEPQTAPMTIFYAGQVIVFNDFPADKAKEVMLLASKGSSQSLTGFPSDSVKSHPEGDPNVVKAPVESTNPVPPSSNAIPNFGNNLIQERVQPPSRSIGSDLPIARRASLHRFLEKRKDRITASARAPYQTVGLSASSSKPAESKSWLGLAGQSLQ >Manes.05G037300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3072742:3076097:1 gene:Manes.05G037300.v8.1 transcript:Manes.05G037300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLDKCKACDKTVYVVDMLSLEGVPYHKYCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKESGNFSKNFQAGKTERQIDPSRAPSKLSSMFSGTQDKCSACSKTVYPLEKVTMEGECFHKSCFRCAHGGCPLTHSSYAALDGVLYCKHHFAQLFMEKGSYSHVLQAASHKRNASSTPPPEPAEAEADASAAADDSEEHS >Manes.05G037300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3072747:3076089:1 gene:Manes.05G037300.v8.1 transcript:Manes.05G037300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLDKCKACDKTVYVVDMLSLEGVPYHKYCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKESGNFSKNFQAGKTERQIDPSRAPSKLSSMFSGTQDKCSACSKTVYPLEKVTMEGECFHKSCFRCAHGGCPLTHSSYAALDGVLYCKHHFAQLFMEKGSYSHVLQAASHKRNASSTPPPEPAEAEADASAAADDSEEHS >Manes.S042716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1269982:1271127:1 gene:Manes.S042716.v8.1 transcript:Manes.S042716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNHCNCWSSTRNSYAKEHETKRGRSRSGRAVLFREPKRLSATDISALASMKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRRIATAGRGWPADRGWPADRGWPADRGWPANR >Manes.05G204100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33030262:33034011:-1 gene:Manes.05G204100.v8.1 transcript:Manes.05G204100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKTAAFIPESVLKKRKRSEDWALLKVQELKAKKEKSAENRKIIFKRAEKYGKEYREQERELINLKREAKLKGGFYVEPEAKLLFIIRIRGINAMDPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIIEQALGNFGIICMEDLIHEIMTVGPHFKEANNFLWPFKLSAPSGGLEKKRNHYVEGGDAGNRENYINELVRRMN >Manes.05G204100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33030262:33034128:-1 gene:Manes.05G204100.v8.1 transcript:Manes.05G204100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKTAAFIPESVLKKRKRSEDWALLKVQELKAKKEKSAENRKIIFKRAEKYGKEYREQERELINLKREAKLKGGFYVEPEAKLLFIIRIRGINAMDPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIIEQALGNFGIICMEDLIHEIMTVGPHFKEANNFLWPFKLSAPSGGLEKKRNHYVEGGDAGNRENYINELVRRMN >Manes.05G204100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33030262:33034147:-1 gene:Manes.05G204100.v8.1 transcript:Manes.05G204100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKTAAFIPESVLKKRKRSEDWALLKVQELKAKKEKSAENRKIIFKRAEKYGKEYREQERELINLKREAKLKGGFYVEPEAKLLFIIRIRGINAMDPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIIEQALGNFGIICMEDLIHEIMTVGPHFKEANNFLWPFKLSAPSGGLEKKRNHYVEGGDAGNRENYINELVRRMN >Manes.05G204100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33030262:33034147:-1 gene:Manes.05G204100.v8.1 transcript:Manes.05G204100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKTAAFIPESVLKKRKRSEDWALLKVQELKAKKEKSAENRKIIFKRAEKYGKEYREQERELINLKREAKLKGGFYVEPEAKLLFIIRIRGINAMDPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHKVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIIEQALGNFGIICMEDLIHEIMTVGPHFKEANNFLWPFKLSAPSGGLEKKRNHYVEGGDAGNRENYINELVRRMN >Manes.18G015500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1646157:1647416:-1 gene:Manes.18G015500.v8.1 transcript:Manes.18G015500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNIGDGTAKFKRATVCSSAVNILMIFSVISTNLFALYAFTSSPKHQSHVLHNTHKNFSLISEHVSLILKEIDSSQKKLAKMEKELLGYETIDISRPNIANELKFFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMTYKVSGPCPDDWSLGQKLILRGCEPLPRRRCFAKPVSKVGLSPFPISLWKPVSEKILTWSGIGCKNFECLNKKKLSRECVGCFDLANGYENQKYVKATSKSDFVIDDVLALASGGIRIGFDIAGGSGTFAARMAERNVTVVTNTLNIDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLVHASSGLDMGGKPEKLEFLMFDIDRILRAGGLFWLDNFFCSDEEKKKTLTRLIERFGYKKLKWVVGDKVDTAGSGKSEIYLSAVLQKPARG >Manes.11G055408.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7496857:7502793:1 gene:Manes.11G055408.v8.1 transcript:Manes.11G055408.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRFNKSILSSLAAFTSLNTLILSDMFDTMNGSFPIQELKNLKNLIFLDISGNYFNSNLSFKELSNLKNLKTLDVSYNQFNSSLSAAGLCVLKSLVELHLQGNRLSGPLPECIGNLTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLSGNEFEGSFSFSALANHSKLEAFILSPGSSRLELETENPTWFPAFQLNYIQLSNCNLNVRTRAIPSFLRYQHDIRFIDLSQNKLVETFPTWILQNNSNLGVINLRNNSFTGTFQLPNIKHGLVELDISSNNLTGMLPKEFGLVLPRLEYINMSRNNFGGNVPSSISETPLSILDLSHNNFLGELPRILFANCTMNCTLILSNNNFQGNVFPQGMNLRSMTMLDMKNNNFSAMVGVDLVNSSSLSFFYISNNKISGPIPRLLCNQTELVFLDLSKNR >Manes.15G060100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4603630:4610958:-1 gene:Manes.15G060100.v8.1 transcript:Manes.15G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGVARRCACRRSYAAFREVSFLSRRKTRTNLRNVSMIPLFKRPLLYPRWRSLSGSYRILSMDAREKSRSTLLESSRHKKVPIFVMMPVDTFCIDSSGSPRIRKIKALTISLKALKLAGVYGIAVEVWWGIVERFSPLEYNWFLYEELFRLVSESGLKLHVSLCFHSNTHVSPGTGGVGLPLWILEIGDHNRDIYYQDKSGFSNDDYLTLGVDQLPLFCGRTALQCYEDFMLSFVNKFDSYIGSVIEEISVGLGPSGELRYPAHPLGDGRWKFPGIGEFQCYDKYMMEDLKMAACKEGKPQWGDRGPQNAGCYNSLPPGVPFFEDGQESFLSDYGRFFLEWYSGKLICHADAILAKAANILKKYQQDKQTPVILVAKIGGIYWWYQTISHPAELTAGYYNTALRDGYDPVASVLSRHGAALHISCLEMIDSETPQAYHCSPEGLVQQIRTISKKRIHLIGRNTSERFDQNGLRQILANCYHPQAEAVRSFTYFRMNDKIFAVENWNNFVPFVRKMSTDL >Manes.02G191200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15342039:15346156:1 gene:Manes.02G191200.v8.1 transcript:Manes.02G191200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDVYSTGCFSLVKSNSNLHQFLVPPRPTCSFEVNNQRRVITMASSTLPVEHVNEGQQRLTGDSFIRPHLRKLSPYQPILPFEVLSAQLGRKPEDIVKLDANENPYGPPPEVFEALGSLKFPYIYPDPQSRQLREALAIDSGLESDYILAGCGADELIDLIMRCTLDPGDKILDCPPTFTMYQFDAAVNGAEVIKVPRKPDFSLNVELIIDAVQQENPKGIFLTSPNNPDGSVISDEDLLKILELPILVVLDEAYIEFSGLESRMKWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPAYLEKVKNALVQERDRLYKLLLEVPFLNPFPSHSNFILCEVISGKDAKKLKDDLASMGLMIRHYSNKELQGYVRVSVGKPEQTDALMQGLKSIS >Manes.02G191200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15342024:15346452:1 gene:Manes.02G191200.v8.1 transcript:Manes.02G191200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDVYSTGCFSLVKSNSNLHQFLVPPRPTCSFEVNNQRRVITMASSTLPVEHVNEGQQRLTGDSFIRPHLRKLSPYQPILPFEVLSAQLGRKPEDIVKLDANENPYGPPPEVFEALGSLKFPYIYPDPQSRQLREALAIDSGLESDYILAGCGADELIDLIMRCTLDPGDKILDCPPTFTMYQFDAAVNGAEVIKVPRKPDFSLNVELIIDAVQQENPKGIFLTSPNNPDGSVISDEDLLKILELPILVVLDEAYIEFSGLESRMKWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPAYLEKVKNALVQERDRLYKLLLEVPFLNPFPSHSNFILCEVISGKDAKKLKDDLASMGLMIRHYSNKELQGYVRVSVGKPEQTDALMQGLKSIS >Manes.02G191200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15342024:15346452:1 gene:Manes.02G191200.v8.1 transcript:Manes.02G191200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLPVEHVNEGQQRLTGDSFIRPHLRKLSPYQPILPFEVLSAQLGRKPEDIVKLDANENPYGPPPEVFEALGSLKFPYIYPDPQSRQLREALAIDSGLESDYILAGCGADELIDLIMRCTLDPGDKILDCPPTFTMYQFDAAVNGAEVIKVPRKPDFSLNVELIIDAVQQENPKGIFLTSPNNPDGSVISDEDLLKILELPILVVLDEAYIEFSGLESRMKWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPAYLEKVKNALVQERDRLYKLLLEVPFLNPFPSHSNFILCEVISGKDAKKLKDDLASMGLMIRHYSNKELQGYVRVSVGKPEQTDALMQGLKSIS >Manes.02G191200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15342376:15346157:1 gene:Manes.02G191200.v8.1 transcript:Manes.02G191200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIDVYSTGCFSLVKSNSNLHQFLVPPRPTCSFEVNNQRRVITMASSTLPVEHVNEGQQRLTGDSFIRPHLRKLSPYQPILPFEVLSAQLGRKPEDIVKLDANENPYGPPPEVFEALGSLKFPYIYPDPQSRQLREALAIDSGLESDYILAGCGADELIDLIMRCTLDPGDKILDCPPTFTMYQFDAAVNGAEVIKVPRKPDFSLNVELIIDAVQQENPKGIFLTSPNNPDGSVISDEDLLKILELPILVVLDEAYIEFSGLESRMKWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPAYLEKVKNALVQERDRLYKLLLEVPFLNPFPSHSNFILCEVISGKDAKKLKDDLASMGLMIRHYSNKELQGYVRVSVGKPEQTDALMQGLKSIS >Manes.03G147900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27535184:27538195:-1 gene:Manes.03G147900.v8.1 transcript:Manes.03G147900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHEIRRWTFSELVGAFLDLSITFLLLCASSLAYFAFKFLSLFGLSLPCPCKSFSAIPDDNNNNKICLQTRLLNSPLQKISTTQCSLKSKFPFSPIGNDLQSNFNKENDRNDDKHEGVGSEDEVSCISSSERRRNNFTGGDLAKLKEKSFVMGTVNFPEVKEGRYELKGKSVTRHRSRNGLRRRRKGSFDHNGKLPWVPSYKSLLSDADTSRSAPSRLSNSDEDTGKDGNDPADFEGESACDVNDCEILDGKEAPVDIGSKRKFSDGFELNESVDENEPIYENASIVDELNSHGDPGSDCNAKSTIRLLEQALEEEHAARAVLYIELEKERTAAATAADEAMAMILRLQEEKASLEMEAIQCQRIIEEKYAYDAEEMNILKEILVRREREKYYLEKEVEAYRQIISGNEQFDEEMYGVPATKGEITLYSSDEDSMLMMPQMNTSDSTDKEEKTEKGNWKNLPSTKLSEDNNSSNQMGIPIPETDEERKAQKINATSKLNLSKITPPRNLHEKAIDNKESEEGDIHDIHVIDDQATVYKQVMRDKNKQLSTNASANSKNPNIPIGLPPTGSSRSRSSRSEMRRKSMSAFDIERYKIDSEISWLREKLKFVQEGREKLHFTKGNKEREKVQLQIVEDIISQLREIRQLTEPGKAARRASLPPLTSNVMSKKRRWRSGPLLVEGSV >Manes.11G060044.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7283188:7285307:1 gene:Manes.11G060044.v8.1 transcript:Manes.11G060044.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDCGLESIPEFLFHLFKLEVLDLSHNKLKGRFPYWLLQNNGGLKILDLRNNSFNGQLEIGAKMLPSMTYLNLAKNHFKGDLLFSAGDDCKLVVLDLSHNYFSGEVPERLLSNCISVSYLRLSHNNFHGQIALFNLTRIADLQLNDNQFEGTLSSLLTNFSHQSSGPVVLHLSNNQLHGEIPHRMGNFTGLKYLNLRDNLFQGQISCQLLSTGIEYLDFSYNSLSGLLPSYFNGNSLRQINLQGNRFSGSIPEALLNISTLNSLDLSDNELSDTILNKSGENLSGLRVILLRENHFNGFIPNWLCQLNNVNLFDLSRNSFSGSIPYCLYNLSFAREGEGHLYDPPFSDKLFEWVIEYRGSSKTLFDNRVSFDAEVDEESEFVTKYKAHTYKNKALNLMSGLDLSVTGEIPYELGALSEIHELNLSHNQLTGSIPRSFSNLSQIESLDLSYNILSGQIPVELIDLNFLEAFSVAHNNLSGRILDMKGQFSTFESKSYEGNPFLCGTQVRRKCHDDNDEPSPSQMESPQEEVGNGMKLIARFFLQVFQ >Manes.14G148100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16028873:16043553:-1 gene:Manes.14G148100.v8.1 transcript:Manes.14G148100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGFASLLLRTSFAFTCSQRRFKSFSPSPSTSGAHQHHRMAAEASPSANDGAASSFPSDSSAIDFLSLCHNLKKTKRAGWVKRNVNNPESISDHMYRMGLMALIAPDIPGIDRDKCIKMAIVHDIAEAIVGDITPCDGISKAEKSRQEQEALDQMCKLLGGGLRAKEIHQLWMEYEENSSLEAKIVKDFDKVEMILQALEYENEQERDLDEFFQSTEGKFQTEVGKAWALEIASRRRGH >Manes.14G148100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16039024:16043553:-1 gene:Manes.14G148100.v8.1 transcript:Manes.14G148100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGFASLLLRTSFAFTCSQRRFKSFSPSPSTSGAHQHHRMAAEASPSANDGAASSFPSDSSAIDFLSLCHNLKKTKRAGWVKRNVNNPESISDHMYRMGLMALIAPDIPGIDRDKCIKMAIVHDIAEAIVGDITPCDGISKAEKSRQEQEALDQMCKLLGGGLRAKEIHQLWMEYEENSSLEAKIVKDFDKVEMILQALEYENEQERDLDEFFQSTEGESCKVPFGML >Manes.03G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:773080:782027:1 gene:Manes.03G009200.v8.1 transcript:Manes.03G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVILIGSTVFGCCFKTDILDDDDEYKDYIGSIASELHDYFPDSSFMVFNFKEGENQSQIGSKLSENDMTVMDYPLHYEGCPLLTLDMIHHFLRSSESWLSLQQQNMLLMHCERGGWPILAFMLAALLIHRKQFTGEQKTLEMIYKQAPRELLQLMSPLNPLPSQLRYLQYVSRSNTGSHWPPMDRALTLDCVILRVIPNMNREGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEFCDLIKIDIHCHIQGDVVMECISVESDLEREQLMFRVVFNTAFINSNILMLNRDEIDTLWNVKDKFPKDFRAEVLFSEMDSTSSRTSIDLPVLEGKGGLRKETFAKVQEVFSNVGWLDTRPDVALNVLQHITALQEDLEAVSPQKAKKGSNMPESNVEKVQDESFSAPHPPPPPPPPSFSGPSPLSAIKKSHATLLPPPPTEVASLVAISVSSPQLVTNSAVISGSPPPSPPPFSGSASGSFFSVKVPPPPPPPSSARNSSNNSARILPVPPPPAPFSEELSKQCAASLPSSRVSKGIVPSVPGPPSGPPFSVKGRGLSRPNPRSQVQPKKANLRPYHWLKLTRVMQGSLWAETQKSDEASKAPEFDMAELESLFSAAAPTGQGGMEGKSNRRTLGRKSDKVQLIELRRAYNCEIMLSKVKVPLSDLMISVLAMDDSALDVDQIDNLIKFCPTKEETALLKGYNGDKENLGKCEQFFLEMMKVPRVESKLRVFSFKLQFNHQVSDLRRNLNVVNSAAEEIRSSVKLKRVMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKMLDEKRPELLEFPKDLTSLEAATKIQLKYLAEEMQAISKGLEKVAQELIASENDVEVSENFGKYHVQILRAFLDSAEREVRSLAPLFVTAGRNADALAVYFGEDPARFSFEQVVSTLRNFVKMFVRAREENYKQLEFEKRKAEREEKMRS >Manes.03G009200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:773080:782027:1 gene:Manes.03G009200.v8.1 transcript:Manes.03G009200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVILIGSTVFGCCFKTDILDDDDEYKDYIGSIASELHDYFPDSSFMVFNFKEGENQSQIGSKLSENDMTVMDYPLHYEGCPLLTLDMIHHFLRSSESWLSLQQQNMLLMHCERGGWPILAFMLAALLIHRKQFTGEQKTLEMIYKQAPRELLQLMSPLNPLPSQLRYLQYVSRSNTGSHWPPMDRALTLDCVILRVIPNMNREGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEFCDLIKIDIHCHIQGDVVMECISVESDLEREQLMFRVVFNTAFINSNILMLNRDEIDTLWNVKDKFPKDFRAEVLFSEMDSTSSRTSIDLPVLEGKGGLRKETFAKVQEVFSNVGWLDTRPDVALNVLQHITALQEDLEAVSPQKAKKGSNMPESNVEKVQDESFSAPHPPPPPPPPSFSGPSPLSAIKKSHATLLPPPPTEVASLVAISVSSPQLVTNSAVISGSPPPSPPPFSGSASGSFFSVKVPPPPPPPSSARNSSNNSARILPVPPPPAPFSEELSKQCAASLPSSRVSKGIVPSVPGPPSGPPFSVKGRGLSRPNPRSQVQPKKANLRPYHWLKLTRVMQGSLWAETQKSDEASKAPEFDMAELESLFSAAAPTGQGGMEGKSNRRTLGRKSDKVQLIELRRAYNCEIMLSKVKVPLSDLMISVLAMDDSALDVDQIDNLIKFCPTKEETALLKGYNGDKENLGKCEQFFLEMMKVPRVESKLRVFSFKLQFNHQVSDLRRNLNVVNSAAEEIRSSVKLKRVMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKMLDEKRPELLEFPKDLTSLEAATKIQLKYLAEEMQAISKGLEKVAQELIASENDVEVSENFGKYHVQILRAFLDSAEREVRSLAPLFVTAGRNADALAVYFGEDPARFSFEQVVSTLRNFVKMFVRAREENYKQLEFEKRKAEREEKMRS >Manes.03G009200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:773080:782027:1 gene:Manes.03G009200.v8.1 transcript:Manes.03G009200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVILIGSTVFGCCFKTDILDDDDEYKDYIGSIASELHDYFPDSSFMVFNFKEGENQSQIGSKLSENDMTVMDYPLHYEGCPLLTLDMIHHFLRSSESWLSLQQQNMLLMHCERGGWPILAFMLAALLIHRKQFTGEQKTLEMIYKQAPRELLQLMSPLNPLPSQLRYLQYVSRSNTGSHWPPMDRALTLDCVILRVIPNMNREGGCRPIFRIYGQDPLMVADRTPKVLFSRPKRSKLVRHYKQEFCDLIKIDIHCHIQGDVVMECISVESDLEREQLMFRVVFNTAFINSNILMLNRDEIDTLWNVKDKFPKDFRAEVLFSEMDSTSSRTSIDLPVLEGKGGLRKETFAKVQEVFSNVGWLDTRPDVALNVLQHITALQEDLEAVSPQKAKKGSNMPESNVEKVQDESFSAPHPPPPPPPPSFSGPSPLSAIKKSHATLLPPPPTEVASLVAISVSSPQLVTNSAVISGSPPPSPPPFSGSASGSFFSVKVPPPPPPPSSARNSSNNSARILPVPPPPAPFSEELSKQCAASLPSSRVSKGIVPSVPGPPSGPPFSVKGRGLSRPNPRSQVQPKKANLRPYHWLKLTRVMQGSLWAETQKSDEASKAPEFDMAELESLFSAAAPTGQGGMEGKSNRRTLGRKSDKVQLISVLAMDDSALDVDQIDNLIKFCPTKEETALLKGYNGDKENLGKCEQFFLEMMKVPRVESKLRVFSFKLQFNHQVSDLRRNLNVVNSAAEEIRSSVKLKRVMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKMTLMHYLCKMLDEKRPELLEFPKDLTSLEAATKIQLKYLAEEMQAISKGLEKVAQELIASENDVEVSENFGKYHVQILRAFLDSAEREVRSLAPLFVTAGRNADALAVYFGEDPARFSFEQVVSTLRNFVKMFVRAREENYKQLEFEKRKAEREEKMRS >Manes.06G069100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20532841:20537618:-1 gene:Manes.06G069100.v8.1 transcript:Manes.06G069100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSTTFASCFLVLILCFNGVRPSSTPTRIGNGYRLISIEETHDGGILGYLQVKQKNDIYGPDIPLLQLFVKHETEDRLRIHITDAEKKRWEVPYDLLPREQPPALNRTIFLRSSKNSITVQEFSGSELIFSYEEDPFSFAVKRKSNGQTLFNTSSDESDPFGEMVFKDQYLEISTKLPKDASLYGLGENTQPHGIKLYPGDPYTLYTTDISAINLNADLYGSHPVYMDLRNVNGQPSAHSVLLLNSNGMDVFYRGTSLTYKIIGGVLDFYFFAGPSPLAVVDQYTTLVGRPAPMPYWALGFHQCRWGYHNLSVVEDVVENYKKAQIPLDVIWNDDDHMDGHKDFTLNPTNYPRPKLLAFLEKIHSIGMKYVVIIDPGIGVNSTYGVYQRGIANDVFIKHHGKPFLAQVWPGAVNFPDFLNPKTVEWWGDEIRRFHELVPVDGLWIDMNEVSNFCSGLCTMPEGRQCPSGPGPGPGSVCCLDCKNITTTRWDDPPYKINASGIQAPIGYKTIATSAVHYNGVLEYDAHSLYGFSQSIATHKALQGLEGKRPFILSRSTFVGSGKYVAHWTGDNKGTWEDLRYSISTMLNFGIFGVPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVAESARNALGMRYKLLPYLYTLNYEAHTSGAPIARPLFFSFSNYTECYGLSTQFLLGSSLMVSPVLEQGKSQVKALFPPGSWYSVFDMTQTITSKGGQYVTLDAPLHAVNVHLYQNSILPMQQGGLISKQARMTPFSLIVTFPAGASYGEAKGNLYLDNDELPEMKLGGGYSTYIDFYASVSQGTVKVWSKVQEGKFALDNDWIIEKITVLGLEGSGQPSALEINANSIDGASKIGLNSLEQKYPDDIEVGDIKKSVIVEVNGLDIPIGKDFSISWKMEISRSGY >Manes.08G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38302274:38303557:-1 gene:Manes.08G147100.v8.1 transcript:Manes.08G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWKRSGQIPAFGDWEHANEMPITQYFECARQAGLIRYSSSGECDQYMRGDLYATHFKKPSRELAPPRKTRVRQRRVSHGNKEPKKQGKVCDVTEPPRKQQHQQKTMSMYNVSENKNGVSVHPRPKLSVRPPKPVDEDLYKIPPELLRSSKRKKKVTGFFSCLVPACAS >Manes.08G147100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38302615:38304283:-1 gene:Manes.08G147100.v8.1 transcript:Manes.08G147100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWKRSGQIPAFGDWEHANEMPITQYFECARQAGLIRYSSSGECDQYMRGDLYATHFKKPSRELAPPRKTRVRQRRVSHGNKEPKKQGKVCDVTEPPRKQQHQQKTMSMYNVSENKNGVSVHPRPKLSVRPPKPVDEDLYKIPPELLRSSKRVSFSPPYVLFINIIQ >Manes.08G061501.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8008816:8041844:1 gene:Manes.08G061501.v8.1 transcript:Manes.08G061501.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGNPNIQEANNSNFQVVVEEKDSSSSGSKENGKEKAKTVPFLKLFSFADSVDISLMITGTVGAFGNGVSMPLMSLLMGQMVDSFGKNQADKEILHIVSKVSQKFLYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFAIAFAKGRMLALDMLAAIPLLVLAGATGSILVSRMATRGQNAYAEAATAVEQTIGSIRTVASFTGEKRAISTYNKYLQIAYKSGAHEGFASGVVIGTIILIVFCTYAMAVWFGAKLILEKGYSGGQVINVIVAVLIGSMSLGQASPCMRAFASGQAAAYKMFETINRKPEIDAYDMSGQVMDDIRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPNSGEVLIDGINIKEFQLKWIRENIGLVSQEPVLFSCSIKDNIAYGKEGATIGEIRSAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDTESERVVQEALDRIMLDQTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEVNKESEQAPNDCSRSEISVESFRLSSQRSLRRSISRGSSRNSSHHSLSLSFGLPTGLDGPENDLENLEEVPSKEKYPEVPILRLAYLNKPELPVLIVGTIAASINGTILPIFGVLISKAIKTFFEPPHELRKDSKFWALMFMTLGLASFLVHPFRTYFFSVAGSKLIQRIRSMCYEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAELVQNIATTVTAMVIAFTASWQLAFVILALIPLIGVNGVVQVKFMKGFSADAKAMYEEASQVANDAVGSIRTVASFCAEEKAMQLYEKKCEGPMWTGVRLGMVSGIGFGLSSFFLFCFYAISFYAGARLVEGGHITFADVFQVFFSLTMAAIGMSQSSSMGTDSAKAKAAAASVFAIIDRQSKIDPSDESGATIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIHSGKTVALVGESGSGKSTVLALLQRFYDPDLGHITLDGVEIQKLQLRWLRQQMGLVSQEPLLFNDTIRANIAYGKEGEATEAEIIAAAELANAHNFISSLKQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDAMDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVETGRHETLINIKDGFYASLVALHTSAQTA >Manes.08G061501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8008816:8041844:1 gene:Manes.08G061501.v8.1 transcript:Manes.08G061501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGNPNIQEANNSNFQVVVEEKDSSSSGSKENGKEKAKTVPFLKLFSFADSVDISLMITGTVGAFGNGVSMPLMSLLMGQMVDSFGKNQADKEILHIVSKVSQKFLYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFAIAFAKGRMLALDMLAAIPLLVLAGATGSILVSRMATRGQNAYAEAATAVEQTIGSIRTVASFTGEKRAISTYNKYLQIAYKSGAHEGFASGVVIGTIILIVFCTYAMAVWFGAKLILEKGYSGGQVINVIVAVLIGSMSLGQASPCMRAFASGQAAAYKMFETINRKPEIDAYDMSGQVMDDIRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPNSGEVLIDGINIKEFQLKWIRENIGLVSQEPVLFSCSIKDNIAYGKEGATIGEIRSAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDTESERVVQEALDRIMLDQTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEVNKESEQAPNDCSRSEISVESFRLSSQRSLRRSISRGSSRNSSHHSLSLSFGLPTGLDGPENDLENLEEVPSKEKYPEVPILRLAYLNKPELPVLIVGTIAASINGTILPIFGVLISKAIKTFFEPPHELRKDSKFWALMFMTLGLASFLVHPFRTYFFSVAGSKLIQRIRSMCYEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAELVQNIATTVTAMVIAFTASWQLAFVILALIPLIGVNGVVQVKFMKGFSADAKAMYEEASQVANDAVGSIRTVASFCAEEKAMQLYEKKCEGPMWTGVRLGMVSGIGFGLSSFFLFCFYAISFYAGARLVEGGHITFADVFQVFFSLTMAAIGMSQSSSMGTDSAKAKAAAASVFAIIDRQSKIDPSDESGATIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIHSGKTVALVGESGSGKSTVLALLQRFYDPDLGHITLDGVEIQKLQLRWLRQQMGLVSQEPLLFNDTIRANIAYGKEGEATEAEIIAAAELANAHNFISSLKQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDAMDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVETGRHETLINIKDGFYASLVALHTSAQTA >Manes.08G061501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8008816:8041844:1 gene:Manes.08G061501.v8.1 transcript:Manes.08G061501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGNPNIQEANNSNFQVVVEEKDSSSSGSKENGKEKAKTVPFLKLFSFADSVDISLMITGTVGAFGNGVSMPLMSLLMGQMVDSFGKNQADKEILHIVSKVSQKFLYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFAIAFAKGRMLALDMLAAIPLLVLAGATGSILVSRMATRGQNAYAEAATAVEQTIGSIRTVASFTGEKRAISTYNKYLQIAYKSGAHEGFASGVVIGTIILIVFCTYAMAVWFGAKLILEKGYSGGQVINVIVAVLIGSMSLGQASPCMRAFASGQAAAYKMFETINRKPEIDAYDMSGQVMDDIRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPNSGEVLIDGINIKEFQLKWIRENIGLVSQEPVLFSCSIKDNIAYGKEGATIGEIRSAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDTESERVVQEALDRIMLDQTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEVNKESEQAPNDCSRSEISVESFRLSSQRSLRRSISRGSSRNSSHHSLSLSFGLPTGLDGPENDLENLEEVPSKEKYPEVPILRLAYLNKPELPVLIVGTIAASINGTILPIFGVLISKAIKTFFEPPHELRKDSKFWALMFMTLGLASFLVHPFRTYFFSVAGSKLIQRIRSMCYEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAELVQNIATTVTAMVIAFTASWQLAFVILALIPLIGVNGVVQVKFMKGFSADAKAMYEEASQVANDAVGSIRTVASFCAEEKAMQLYEKKCEGPMWTGVRLGMVSGIGFGLSSFFLFCFYAISFYAGARLVEGGHITFADVFQVFFSLTMAAIGMSQSSSMGTDSAKAKAAAASVFAIIDRQSKIDPSDESGATIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIHSGKTVALVGESGSGKSTVLALLQRFYDPDLGHITLDGVEIQKLQLRWLRQQMGLVSQEPLLFNDTIRANIAYGKEGEATEAEIIAAAELANAHNFISSLKQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDAMDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVETGRHETLINIKDGFYASLVALHTSAQTA >Manes.08G061501.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8008816:8041844:1 gene:Manes.08G061501.v8.1 transcript:Manes.08G061501.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGNPNIQEANNSNFQVVVEEKDSSSSGSKENGKEKAKTVPFLKLFSFADSVDISLMITGTVGAFGNGVSMPLMSLLMGQMVDSFGKNQADKEILHIVSKVSQKFLYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFAIAFAKGRMLALDMLAAIPLLVLAGATGSILVSRMATRGQNAYAEAATAVEQTIGSIRTVASFTGEKRAISTYNKYLQIAYKSGAHEGFASGVVIGTIILIVFCTYAMAVWFGAKLILEKGYSGGQVINVIVAVLIGSMSLGQASPCMRAFASGQAAAYKMFETINRKPEIDAYDMSGQVMDDIRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPNSGEVLIDGINIKEFQLKWIRENIGLVSQEPVLFSCSIKDNIAYGKEGATIGEIRSAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDTESERVVQEALDRIMLDQTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEVNKESEQAPNDCSRSEISVESFRLSSQRSLRRSISRGSSRNSSHHSLSLSFGLPTGLDGPENDLENLEEVPSKEKYPEVPILRLAYLNKPELPVLIVGTIAASINGTILPIFGVLISKAIKTFFEPPHELRKDSKFWALMFMTLGLASFLVHPFRTYFFSVAGSKLIQRIRSMCYEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAELVQNIATTVTAMVIAFTASWQLAFVILALIPLIGVNGVVQVKFMKGFSADAKAMYEEASQVANDAVGSIRTVASFCAEEKAMQLYEKKCEGPMWTGVRLGMVSGIGFGLSSFFLFCFYAISFYAGARLVEGGHITFADVFQVFFSLTMAAIGMSQSSSMGTDSAKAKAAAASVFAIIDRQSKIDPSDESGATIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIHSGKTVALVGESGSGKSTVLALLQRFYDPDLGHITLDGVEIQKLQLRWLRQQMGLVSQEPLLFNDTIRANIAYGKEGEATEAEIIAAAELANAHNFISSLKQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDAMDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVETGRHETLINIKDGFYASLVALHTSAQTA >Manes.08G061501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8008816:8041844:1 gene:Manes.08G061501.v8.1 transcript:Manes.08G061501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGNPNIQEANNSNFQVVVEEKDSSSSGSKENGKEKAKTVPFLKLFSFADSVDISLMITGTVGAFGNGVSMPLMSLLMGQMVDSFGKNQADKEILHIVSKVSQKFLYLAIGAAAAAFLQVTCWMVTGERQAARIRSYYLKTILRQDIAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFAIAFAKGRMLALDMLAAIPLLVLAGATGSILVSRMATRGQNAYAEAATAVEQTIGSIRTVASFTGEKRAISTYNKYLQIAYKSGAHEGFASGVVIGTIILIVFCTYAMAVWFGAKLILEKGYSGGQVINVIVAVLIGSMSLGQASPCMRAFASGQAAAYKMFETINRKPEIDAYDMSGQVMDDIRGDIELRDVYFSYPARPDEEIFSGFSLSIPSGTTAALVGHSGSGKSTVISLIERFYDPNSGEVLIDGINIKEFQLKWIRENIGLVSQEPVLFSCSIKDNIAYGKEGATIGEIRSAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDTESERVVQEALDRIMLDQTTVIVAHRLTTVRNADIIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEVNKESEQAPNDCSRSEISVESFRLSSQRSLRRSISRGSSRNSSHHSLSLSFGLPTGLDGPENDLENLEEVPSKEKYPEVPILRLAYLNKPELPVLIVGTIAASINGTILPIFGVLISKAIKTFFEPPHELRKDSKFWALMFMTLGLASFLVHPFRTYFFSVAGSKLIQRIRSMCYEKVVHMEIGWFDEPEHSSGAIGARLSADAATVRALVGDALAELVQNIATTVTAMVIAFTASWQLAFVILALIPLIGVNGVVQVKFMKGFSADAKAMYEEASQVANDAVGSIRTVASFCAEEKAMQLYEKKCEGPMWTGVRLGMVSGIGFGLSSFFLFCFYAISFYAGARLVEGGHITFADVFQVFFSLTMAAIGMSQSSSMGTDSAKAKAAAASVFAIIDRQSKIDPSDESGATIENVRGEIELHHVSFKYPSRPDIQIFRDLSLTIHSGKTVALVGESGSGKSTVLALLQRFYDPDLGHITLDGVEIQKLQLRWLRQQMGLVSQEPLLFNDTIRANIAYGKEGEATEAEIIAAAELANAHNFISSLKQGYETAVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDAMDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVETGRHETLINIKDGFYASLVALHTSAQTA >Manes.18G146601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:31044574:31045389:1 gene:Manes.18G146601.v8.1 transcript:Manes.18G146601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFAFIVSSLLFTSLWLPTLAEQCGTQGGGAVCPGGLCCSQWGWCGSTIDYCCVGCQSQCNAAICNGGRKAGNLRGGGGDMDEISSEKAFDKMLRQKPFA >Manes.04G037800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:5421590:5423130:1 gene:Manes.04G037800.v8.1 transcript:Manes.04G037800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNLCTVDFSTLHATTAAREKVNVKMSYRPAKEVHVQVTHSMPPQTIDILKSLEGWAEKNVLTLLKPVEKCWQPQDFLPEPDSEGFYDQIKEIRERTQDLSDEYFVVLVGDMITEEAVPTYQSILNSLDGIQDKTGVSLSPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRKIEKTIQYLIGCGMDSKFENNPYFGFIYTSFQERATFISHGNTAKLAKELGDIKLAEICGTIAADEKRHETAYVKIVEKLFEIDPDTTILAFANMMRKKVSMPAELMYDGEDYNLFNHYSAVAQRLGVYTAKDYADILEFLVRRWKVDKLTDLSGEGRRAQDFVCGLAPRFRKLVERAQERAKQAPSIRFSWIFGRELQV >Manes.15G092400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7180094:7182405:1 gene:Manes.15G092400.v8.1 transcript:Manes.15G092400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVKAPVSGGRKSSYRLETRNLSYKLSSTFDELNWVGFGRIQHRVPKFILKDVNCEARPGEITAIAGPSGAGKTTLLEILSGMVCPREGSHQVLVNNRPMDAKHFRRLSGYVTQDDALFPLLTVQETLMYSALLRLPGGQKEAANRVKKLMKELGLEHVAASRIGKGSNRGISGGERRRVSIGVDLVHDPAVVLIDEPTSGLDSASALHVVTLLKSMAANQERLKFAGHPIPPHVNVLEFAIDVIESLEVQNSVSSLQSIHEETCIVPVTQEKLPSCCYPNSVLEEVLILGQRFCSNVFRTKQLFATRIIQALVAGLILGTIFLNVGNETGKTNLQTRIGFFAFSLTFLLSSTTEGLPIFLQERRILMRETSRGAYRISSYVLSNTLIFLPFLLLVSLLYSTPVYWLVGLRRDIDGFLYFCLVVWMVLLMSNSFVACFSAIVPNFIMGTSVIAGLMGSFFLFSGYFISKNNIPSYWIFMHYLSLFKYPFECFLINEFGGEKASRCIEVDNKGSCNFDGNGFLRQQGLRESEKWSNLCVMLSFIIGYRVMCHLILWYRYYRLSR >Manes.10G054300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718078:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKMHLAGMLLNAGPNLVDPRGRLLPRSFDVLGLYTTFIFQKPDPDVAPPLATPLLQDDSFKHDEREWQTTIWRDDEKPTEPPPLGLGKISEQKGILGPGPADLRFAEAL >Manes.10G054300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718078:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKYLIASLTLPYTYFKLFIYLLVFGD >Manes.10G054300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718078:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKMHLAGMLLNAGPNLVDPRGRLLPRSFDVLEARPRCCPAPCNPIIAG >Manes.10G054300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718078:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKYLIASLTLPYTYFKLFIYLLVFGD >Manes.10G054300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718055:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKMHLAGMLLNAGPNLVDPRGRLLPRSFDVLEARPRCCPAPCNPIIAG >Manes.10G054300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718078:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKYLIASLTLPYTYFKLFIYLLVFGD >Manes.10G054300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718078:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKMHLAGMLLNAGPNLVDPRGRLLPRSFDVLGLYTTFIFQKPDPDVAPPLATPLLQDDSFKHDEREWQTTIWRDDEKPTEPPPLGLGKISEQKGILGPGPADLRFAEAL >Manes.10G054300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718078:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKMHLAGMLLNAGPNLVDPRGRLLPRSFDVLGLYTTFIFQKPDPDVAPPLATPLLQDDSFKHDEREWQTTIWRDDEKPTEPPPLGLGKISEQKGILGPGPADLRFAEAL >Manes.10G054300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718055:6723369:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNLETWNDLGTSMSSSFAIPRTESTHHRLYEFAKLALIKIFVHPYATVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKMHLAGMLLNAGPNLVDPRGRLLPRSFDVLGLYTTFIFQKPDPDVAPPLATPLLQDDSFKHDEREWQTTIWRDDEKPTEPPPLGLGKISEQKGILGPGPADLRFAEAL >Manes.10G054300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6718054:6723370:1 gene:Manes.10G054300.v8.1 transcript:Manes.10G054300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIRLYFGALKVCDLYCGGVDAEKWDGAQIGHYIGIDVSSSGVSEIREAWESRRKSYTAEFFEADPCTENFGVQLQEKTNQADLVCCLQNLQLCFENEESARKLLHNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSSSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDPAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNKMHLAGMLLNAGPNLVDPRGRLLPRSFDVLGLYTTFIFQKPDPDVAPPLATPLLQDDSFKHDEREWQTTIWRDDEKPTEPPPLGLGKISEQKGILGPGPADLRFAEAL >Manes.07G076200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:18287557:18289328:-1 gene:Manes.07G076200.v8.1 transcript:Manes.07G076200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITRSWNREDKNVCRYDGFACDYRPDNGVLSVAAADFNGCNFGGPDLQIKDFLDKLEDLTIFHANSNNFTGIFPSEIGSENIKFLFELDLSNNKYTGGFPMSVLSATNLTFLDIRYNSFSGPVPGEVFNLDLDVLLLNNNQFTQQLPENIGSTPALYLNFANNRFTGPIPSSIGNAKNLREVLFLNNNFTGCLPYEIGFLKKATVFDASYNKLTGPIPQSFACLKKIEILNLANNEFYGSVPEMVCMLPNLKNLSISGNYFTQIGPKCRKLIRLKRLDVGKNCILDLPNQKRVEQCRQFFSKQRQCPNEKSLSSIPCSKSYRNTAAKFAQQSAVATPPISSGSISPQNKL >Manes.07G076200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:18287547:18289318:-1 gene:Manes.07G076200.v8.1 transcript:Manes.07G076200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNSFYSLILFFTITYGSCLIYQVDCEHDPRVGSQREALDISIGGGGYTPAPSTEYEDRPPPPPFPEASYPPSPPKHVCPPTLPPPSPSPSPKTPPLPPPSSSSNVSKNIVRDKTIQEFSKKITFDPMGITRSWNREDKNVCRYDGFACDYRPDNGVLSVAAADFNGCNFGGPDLQIKDFLDKLEDLTIFHANSNNFTGIFPSEIGSENIKFLFELDLSNNKYTGGFPMSVLSATNLTFLDIRYNSFSGPVPGEVFNLDLDVLLLNNNQFTQQLPENIGSTPALYLNFANNRFTGPIPSSIGNAKNLREVLFLNNNFTGCLPYEIGFLKKATVFDASYNKLTGPIPQSFACLKKIEILNLANNEFYGSVPEMVCMLPNLKNLSISGNYFTQIGPKCRKLIRLKRLDVGKNCILDLPNQKRVEQCRQFFSKQRQCPNEKSLSSIPCSKSYRNTAAKFAQQSAVATPPISSGSISPQNKL >Manes.01G198700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37277381:37282171:-1 gene:Manes.01G198700.v8.1 transcript:Manes.01G198700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGKNLLVLLLMFLLLFGGVSSAPSTTSPAKIVSGLFSNVASAFMKWLWSLKATTKTAISGRPTMKFESGYTVETVFDGSKLGIEPYSVEVLPSGELLILDSANSNIYRISSSLSLYSRPKLVAGSPEGYSGHVDGKTRDARMNHPKGLTIDDRGNIYIADTMNMAIRKISDAGVTTIAGGKWGRGGGHVDGASEDAKFSNDFDVVYIGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQLRVGMIVSSQNDRDAMKTSTAASPYQKPLKSVRPPLIPTEDEQEKHEEGFFGSLGKLFANGGAAVVEILGGIIPGIKKKPLSYQYQNQSQMHSNAWPVQDSFVITEEDEPPSIETRTPTPRKTYAFMSKDAEKVHQWRQGRAFYSGWDDDIHHQQQQQQQQQQKQQHHHRYQSTTPQTCYEQSYEKTKEIVFGAVQEQDGKREAAVIKPVDYGNPIYNHHNIRSRTNYVGYSNGY >Manes.18G138400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:17647761:17650388:1 gene:Manes.18G138400.v8.1 transcript:Manes.18G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRARQWLSLVGIIWLQSVNGTNTNFPAYSSQLKQLLSISQLQLNNLAFASDAGKIFGFFSGIAAFYLPLWLVLLIGSTLGLIGYGVQYLFLTSYISSLSYTHIFLLTVVAGNSICWINTVCYVVAIRNFPFHRQLAVGLSTSYQGLSAKIYTVLVDALFFSFPDKRAKAYLLLNSILPFLVSVLAAPVVRDVVDIGNSKNMKSGFMVMFIITIVTGIFAVITSLKSMASGLPPLGNAIGVVGFLLAPLVIPLAVKIREVLAAKWMLNREAKVHTFTVEENDDAGRMESGVKEDDTREAIEVGVKEEIGVKLMLTRLNFWLYFFVYLCGATLGIVYLNNLGQIAESRGCSGTSSLVSLSSSFGFFGRLIPSLVDYFFPRRKHLISRPTCIAALMTPMAGAFFFLLNKSNISLYISTAIIGICTGAITCISVSTTTELFGSKNFSINHNLVVANIPIGSFIFGYFSALVYHKEGNEDGNCMGMQCYRSTFIIWGSLCFLGSTLALILYARMRKFYSKVNH >Manes.17G095600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30341291:30348051:-1 gene:Manes.17G095600.v8.1 transcript:Manes.17G095600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKNSPKKEDKEPHEQDTEQYHESRADKSPSPPPPEPKTRGGGWGGWGFSASSVLADLQKAAEEISRNASVVAEKAAKSIAEIQNVDEDSESTKGEEEQEESESDKDTEAEDETEKIRKSALDKLENASEDSLLGQGLKVLDHSVENFASGAWQALGSALKGGTNLVQKIEHSAVNIAESIQHGNIPGGAGSVAPSLLQTGKAFTFKGMQVLEYVGKETMDLLITETGIEVEKNSKDIEREADEDQLLEEVTFDRCFYIYGGPEQLEELEALSSHYALLFNRRKAKLPLEQKSVYDGKLKLVQQLFNLSIEMDDNDNESNKGKKIETGTEGSSYEIKSLHDSSVNKAADMAAGFTSALAGLNANDIVQRTAGRLEALHSEGVHRLSEMCSSAVSQLLMLGKSIISIANKMQEEDVDGDILNIDWPEDSVEKAKVIRTKAQSMAGYVEAVCNSFITGISDVAEAYVAAMKSATADSHDNLPKTPIKEKANTFSQLIRTDQTTAVSKIQDGLQYLSYVVISTSMPSA >Manes.03G111200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23504247:23506238:1 gene:Manes.03G111200.v8.1 transcript:Manes.03G111200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLSKMPSEIWVVPFFGQGHLLPSIELCKLIASKNFKTTLIISSDLSSTIPSSFSQYPLIHVAQLPSSPPPPPPPEPSSDPFQHHLQHHSQMAQAIQNLISIRSQNPDLGFPVCAVVDVMMSWTGEIFNKFGIPTVGFFTSGACSAAMEYAMWKNYPKDLKPGEIRLLPGLPEDMALTDLDLKERPHGPPGPPPGGIGGAPVVPPGPPGGFPRPPGGIGPRDFGPPKAADQPPWLEETKGSIALMINTCNLLEQPFIEYLSTQIGKPVWGVGPLLPDQYWKSSGSILHDHQFRANRRSSVTEDEVITWLNSKPRGSVLYVSFGSEVGPSIEEYQHLANALEESNRSFIWVIQLGSGRHGPPRPAGQAETEEGYFPHGLKERVGERGLIIHGWAPQLLILNHPSTGGFLSHCGWNSTAEAIGLGMPILAWPIRGDQFFNAKLIVKHLKLGYMICDDMSTRVKKDAIIKGMEKLLGDEDVKQRAALLGAQFRNGFPPSSLDALDAFRDFINHNKT >Manes.03G096516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:16346268:16347599:1 gene:Manes.03G096516.v8.1 transcript:Manes.03G096516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVASPLFRSLSLPIFLSPSLFFLPLSFHWQPPLINHNNSSIIDKRRPTIIPILKRWRMVAVVGEETRRLRRERCDAPKLLHPKSSDIGSRCFWRCSAPGFMGFLLRQWHLQP >Manes.07G101300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30634533:30644972:-1 gene:Manes.07G101300.v8.1 transcript:Manes.07G101300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALCSSSLELSNLCFEGLRCNTADLRFAKSFQGFGFLAKNENVQQSYRNGKRMVISSQLMPGHLEGSFMGNKQLQDPSRKLDFVRTLLIDNYDSYTYNIYQELSVVNGVPPVVVKNDEWTWEEVCHYLYEEKAFDNIVISPGPGSPTCAADIGICLRLLLECRDIPILGVCLGHQALGYVHGAQIVHASEPVHGRMSEIEHNGCRLFSDIPSGKQSGFKVVRYHSLVIDAQSLPKEIVPIAWTTSTGTHSFLESQNSDFTSDACESQIRATIGYDTFSPELNNGTSWSFSRSREVKKRKILMGIMHSTRPHYGIQFHPESIATCHGRKIFENFREMTRDYLRSTFFKERHAFYTACMQVPGVSQLFGVPRNGPLEYSEDTLPFRETSRMQILGKVDEKNCVSISSLLSFPESSGRVKHLKLKWRKFDRLAAQLGGARNIFCKLFGANKAENTFWLDSSSVEKKRARFSFMGGKGGSLWKQMTFILSDERNSNHSFTTKKILKDCHLTFMVDILVILGSTTNTTWLDDVEQKLLHLEASPVSKLEKQTSQPSTIFSCEAGFLAEKSREQYINDVHKCLEYIKDGESYELCLTTQMRKKVGDIESLRLYLHLREKNPAPYAAWLNFSNENLCICCSSPERFLRLDRNGVLEAKPIKGTIARGRTEEEDEQLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPRLMDVESYATVHTMVSTIRGKKRSNINAVDCIRAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGSIGFFSYNQTFDLNIVIRTIVIHEGEASIGAGGAIVALSNPEDEYDEMILKTGAPAKAVVEFQ >Manes.07G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30634533:30644972:-1 gene:Manes.07G101300.v8.1 transcript:Manes.07G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFALCSSSLELSNLCFEGLRCNTADLRFAKSFQGFGFLAKNENVQQSYRNGKRMVISSQLMPGHLEGSFMGNKQLQDPSRKLDFVRTLLIDNYDSYTYNIYQELSVVNGVPPVVVKNDEWTWEEVCHYLYEEKAFDNIVISPGPGSPTCAADIGICLRLLLECRDIPILGVCLGHQALGYVHGAQIVHASEPVHGRMSEIEHNGCRLFSDIPSGKQSGFKVVRYHSLVIDAQSLPKEIVPIAWTTSTGTHSFLESQNSDFTSDACESQIRATIGYDTFSPELNNGTSWSFSRSREVKKRKILMGIMHSTRPHYGIQFHPESIATCHGRKIFENFREMTRDYLRSTFFKERHAFYTACMQVPGVSQLFGVPRNGPLEYSEDTLPFRETSRMQILGKVDEKNCVSISSLLSFPESSGRVKHLKLKWRKFDRLAAQLGGARNIFCKLFGANKAENTFWLDSSSVEKKRARFSFMGGKGGSLWKQMTFILSDESDIALKSGGYLSIEHAQGVTKSMYLENGFLDFMNQELQSFLYDEKDFEGLPFDFYGGYIGYIGYNLKVECGMLSNRYKSKTPDACFFFADNFVVIDHQYDDVYIMSVQEGSTTNTTWLDDVEQKLLHLEASPVSKLEKQTSQPSTIFSCEAGFLAEKSREQYINDVHKCLEYIKDGESYELCLTTQMRKKVGDIESLRLYLHLREKNPAPYAAWLNFSNENLCICCSSPERFLRLDRNGVLEAKPIKGTIARGRTEEEDEQLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPRLMDVESYATVHTMVSTIRGKKRSNINAVDCIRAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGSIGFFSYNQTFDLNIVIRTIVIHEGEASIGAGGAIVALSNPEDEYDEMILKTGAPAKAVVEFQ >Manes.07G101300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30634533:30644972:-1 gene:Manes.07G101300.v8.1 transcript:Manes.07G101300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGYRDIPILGVCLGHQALGYVHGAQIVHASEPVHGRMSEIEHNGCRLFSDIPSGKQSGFKVVRYHSLVIDAQSLPKEIVPIAWTTSTGTHSFLESQNSDFTSDACESQIRATIGYDTFSPELNNGTSWSFSRSREVKKRKILMGIMHSTRPHYGIQFHPESIATCHGRKIFENFREMTRDYLRSTFFKERHAFYTACMQVPGVSQLFGVPRNGPLEYSEDTLPFRETSRMQILGKVDEKNCVSISSLLSFPESSGRVKHLKLKWRKFDRLAAQLGGARNIFCKLFGANKAENTFWLDSSSVEKKRARFSFMGGKGGSLWKQMTFILSDESDIALKSGGYLSIEHAQGVTKSMYLENGFLDFMNQELQSFLYDEKDFEGLPFDFYGGYIGYIGYNLKVECGMLSNRYKSKTPDACFFFADNFVVIDHQYDDVYIMSVQEGSTTNTTWLDDVEQKLLHLEASPVSKLEKQTSQPSTIFSCEAGFLAEKSREQYINDVHKCLEYIKDGESYELCLTTQMRKKVGDIESLRLYLHLREKNPAPYAAWLNFSNENLCICCSSPERFLRLDRNGVLEAKPIKGTIARGRTEEEDEQLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPRLMDVESYATVHTMVSTIRGKKRSNINAVDCIRAAFPGGSMTGAPKLRSMELLDSLESCSRGIYSGSIGFFSYNQTFDLNIVIRTIVIHEGEASIGAGGAIVALSNPEDEYDEMILKTGAPAKAVVEFQ >Manes.02G223750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:37193536:37194332:1 gene:Manes.02G223750.v8.1 transcript:Manes.02G223750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNFLPPLVLTSLSLSISSSLSRLLGIPLPYFVLARPTIIQVQCYNGVSTMNLLETFGFERI >Manes.S038016.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1032584:1052952:-1 gene:Manes.S038016.v8.1 transcript:Manes.S038016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPAPPVPVPPSSAPAGPRLGPPSSAVLPAPVSRASACFAPSPFFPVPAPAARPGPLPSLAVVAVSPAPSPASPPPSPSPVPPWSASLLPSPVARAALCLLRRRPAGRALRRVLLPPPRPGPRPPPSASARPAPVPGPRPPLPACLAPGCRSGVRFALRRGRRAPPGPPPFRLPLLWVCPPAPFVAPRRAPLSGRACPGSRAPVRCSRPAPARRPALVPLLRPPSLRRPPAPPCPRRAPARRAAAPPPGALARPAPPPGGPAWGPVRVPSPPPAPRRPLLPLCLARSCPRPPLRPRPCCPRRRPRLLPPPPPPPPPVGPSARAPVRPRPPALALLPPPVPPRPSPLGLRPAFSPPPSPRPRPALPPPSPPAPPPASVLPPPPRRPSRPAARPPPPPASPPGPPPPGCLARPPVFRVRPPPLPRLPFAPPPGPPPCPLPRLSARPLPPARPSPAPRRLAPASPCSSPPGARPPRPAPVPPLALAPRPGPPPLPCPPCPRVPFPAPAPAPAPALLAPPGGASPPPPAPSAPLGCGRRPLPLSAGPVAPSCAPFPVPPPGAPGPSAPSCAPFPVPPPGAPGPSAPSCAPFPVPPPCAPGPLALPPPPLPLFPFPFRLFALRCAPSPSPPPPPAPPPLALPLPPSGLAPAPAPALLAPPVPPPPLVPSASPCSAAPPSPAPPPRRSARLAAPRPCAAPPPPPCPCLPPGSAAVAALGPPAGRRPASASRPPSLPFPVVVFPRRRFRLPFSSPLPSFPPSASRPAPPGLLSPLLPPRPFPFPALGCLSSLCPSAPWAALPLRAPPPGPSPCFVFLPPSASPCPSPFCVACSPPGAGPRRGRSPSVPRPARGAPLSPRAPLAPSAARRRVRAWAPRAPPSAPLLFPRPLPPPASFPGWAGCSPPRSLFPRPPPPSPASLPSPSAAPSAPPAAPPGLAPRVLPRPPRPPPPRPSPPSAARFAPPPAPRGAPGSGPAGALPLSGAPFPGPWARSAAAAASPASPSAAPRSPWRSPPRPLLLGPPRARCPRAAPSAPRPRPGAPGLAAPVPAPVPWPSRAVAGGAPPPSPRSAPSPAPSLGRRAGRPPLPCSLPSRCPFPASPGLPSPAPRSPPPPGPAPPPRLPPALSAPPPPAPPPLLSPPVPAASSPPPPPLPGPRAGGPPRPVPARRASPAAGPRRPVPPARRPGRPPPVPLRAFSLPPFPLPSLAVVAVSPAPSPASPPPSPSPVPPWSASLLPSPVARAALCLLRRRPAGPSPLPSPPLPAFLRPSPVCPPAPFVAPRRAPLSGRACPGSRAPVRCSRPAPARRPALVPAPAPPVPAPPPRAALPPAARPPAGLPPRPLAPLPAPLCARLPRRGRAPAPPALPPVLPGPFASAAPALPSAPGLPLRPPLASAARPPASARPAVPCLPPALALPPPPWPPRSSPPPSPRPRLAGPRGAPCASPPRPPAPRRPLLPPLPRPLLRRFGAPVACPAPPSPPPSPVLPPSPPPAPPPASAPAPPPGPCPSAAACASPPLAPRLAPGLLSPALPPPSPRLAPAFAPRSSPRLGLAPPPPRRPSPPPRPVPPPPPASPPGPPPPGCLARPPVFRVRPPPLPRLPFAPPGPAFAPLASALSPAPPPPRLCPPALPPAPCLAFPPARCPPPGPRLPPAALPPPPLAPPPPPSRRPVPPLAPRPSARAPPLPCPPLPPRPFPPPRPAPAPALLAPPGGASPPPPAPSAPVGCSPSAPPPPPPSSAPPPAPSCAPFPVPPPGAPGPSAPSCAPFPVPPPGAPGPSAPSCAPFPVPPPCAPGPCAPSPSPPPPPRPASARPLARSRWRGGSPASLPALPLPPSGLAPAPAPALLAPPGGASPPPPAPSAPVALWPAAPPPVSGAPPPPPSLFAPRSSVGSPPLSPAGLPPLPFPLAVPPPPPPPCPCLPPGSAAVAALGPPAGRRPASASRPPSLPFPVVPPPPSPASLPSPSAAPSRLPSPPPPRRPRLVVRPGPGPPGLSPSPAPPSRGLGPGPPLRPLLPPPLRPPRAPAPCPPPRIPPALSVCPS >Manes.06G097500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23157724:23158786:1 gene:Manes.06G097500.v8.1 transcript:Manes.06G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGGTSQACAACKYRRRKCSSECPLAPYFLPDQPKMFQNAHKLFGVSNILKILKNLDAPQKAEAMRSIIYQSNIRDRFPVHGCWGIICQLHYQIRQAEEELHAVHSQLEMYRQHQQHQISSLTDDVPSQLELGMATPSNALSLFGHSTSPQPYDVVNALPISQQHSYSNSSNAGYSSGYLDSKDHLGNSSLWVQHPYATTTNNNNNNTNPMAIQSQLVASQPLSIQQEVVQDYDEIHPFFDTIDDRQSYIDSKEAYDSSSEESLKDTTQSIEHVAENELKSAAACFSLTSVN >Manes.14G128300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10220666:10222679:1 gene:Manes.14G128300.v8.1 transcript:Manes.14G128300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCITPSRLLPWRSLFFLLLVISRLLSSSHGDVGTAAQYSPPYLPTTCYGNDPSQFPSNNLFAAAGDGIWDNGASCGREYLVRCISASVAGSCKPGQTIQVKIVDYALSTPTPPSARGTTIVLSETAFGSIANSSATSINIEFQQV >Manes.18G084200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7737135:7756026:-1 gene:Manes.18G084200.v8.1 transcript:Manes.18G084200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWKKDNKSKKRPLAAISKYPNLPFDQQDTEDSIEIGDKDGEHSYKEHGVASHEPLNSQSDRQLAESFQAQGNKLAEDGKYREALGKWEAALNLMPESAVLHEQKAQVLLEIGDAWNALKAANRATELEPSWAEAWITLGRAQLNFGEPDSAIESFDKALAIKPDSEEAQDDRHTALLLVKRRKQLHSSGLNTDKSRFVVGDKAETS >Manes.18G084200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7737135:7741801:-1 gene:Manes.18G084200.v8.1 transcript:Manes.18G084200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWKKDNKSKKRPLAAISKYPNLPFDQQDTEDSIEIGDQDGEHSYKEHGVASHEPLNSQSDRQLAESFQAQGNKLAEDGKYREALGKWEAALNLMPESAVLHEQKAQVLLEIGDAWNALKAANRATELEPSWAEVQQFYVLVCCFYCSTHVISYMYIKCCRLSNLLSNDCLL >Manes.18G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7737119:7741819:-1 gene:Manes.18G084200.v8.1 transcript:Manes.18G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWKKDNKSKKRPLAAISKYPNLPFDQQDTEDSIEIGDQDGEHSYKEHGVASHEPLNSQSDRQLAESFQAQGNKLAEDGKYREALGKWEAALNLMPESAVLHEQKAQVLLEIGDAWNALKAANRATELEPSWAEAWITLGRAQLNFGEPDSAIESFDKALAIKPDSEEAQDDRHTALLLVKRRKQLHSSGLNTDKSRFVVGDKAETS >Manes.10G143000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31134227:31140680:-1 gene:Manes.10G143000.v8.1 transcript:Manes.10G143000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRALQQTERKWKMPLLVFFRDARLVFKMDELGSEILRIAVPAAMALAADPIASLIDTAFIGHLGPVEIAAVGVSIAIFNQASKVTIFPLVSITTSFVAEEDTVQRVSKEPQEVENLEKKDSGKTSVVKEDVMLENLEKGSATDTEKNKDSIPEDATCKSPTFTEGKGVNEKSNNKKKGRRHIPSASTALIVGGILGLVQAIFLIFCAKPLLNIMGVKSNSPMLTPARKYLTLRSLGSPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFIFVCRLGVSGAAIAHVLSQYLISLILLWRLMKKVDLLPPSLKDLQFGRFLKNGFLLLARVIAATICVTLAASRAARLGSTPMAAFQVCLQVWLTSSLLADGLAVAGQAIIACAFAEKDYQKATTAATRVLQMSFVLGLGLAVVVGVGLHFGDGIFSKDPNVLHIISIGIPFVAATQPINSIAFVFDGVNFGASDFAYSAYSMVLVAIASIAAIFVLSKTGGFVGIWVALTIFMGLRTFAGVWRMGTGTGPWGFLKGRLLP >Manes.10G143000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31134340:31139021:-1 gene:Manes.10G143000.v8.1 transcript:Manes.10G143000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRALQQTERKWKMPLLVFFRDARLVFKMDELGSEILRIAVPAAMALAADPIASLIDTAFIGHLGPVEIAAVGVSIAIFNQASKVTIFPLVSITTSFVAEEDTVQRVSKEPQEVENLEKKDSGKTSVVKEDVMLENLEKGSATDTEKNKDSIPEDATCKSPTFTEGKGVNEKSNNKKKGRRHIPSASTALIVGGILGLVQAIFLIFCAKPLLNIMGVKSNSPMLTPARKYLTLRSLGSPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFIFVCRLGVSGAAIAHVLSQYLISLILLWRLMKKVDLLPPSLKDLQFGRFLKNGFLLLARVIAATICVTLAASRAARLGSTPMAAFQVCLQVWLTSSLLADGLAVAGQAIIACAFAEKDYQKATTAATRVLQMSFVLGLGLAVVVGVGLHFGDGIFSKDPNVLHIISIGIPFVAATQPINSIAFVFDGVNFGASDFAYSAYSMVLVAIASIAAIFVLSKTGGFVGIWVALTIFMGLRTFAGVWRMGTGTGPWGFLKGRLLP >Manes.10G143000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31134340:31140457:-1 gene:Manes.10G143000.v8.1 transcript:Manes.10G143000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRALQQTERKWKMPLLVFFRDARLVFKMDELGSEILRIAVPAAMALAADPIASLIDTAFIGHLGPVEIAAVGVSIAIFNQASKVTIFPLVSITTSFVAEEDTVQRVSKEPQEVENLEKKDSGKTSVVKEDVMLENLEKGSATDTEKNKDSIPEDAATCKSPTFTEGKGVNEKSNNKKKGRRHIPSASTALIVGGILGLVQAIFLIFCAKPLLNIMGVKSNSPMLTPARKYLTLRSLGSPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFIFVCRLGVSGAAIAHVLSQYLISLILLWRLMKKVDLLPPSLKDLQFGRFLKNGFLLLARVIAATICVTLAASRAARLGSTPMAAFQVCLQVWLTSSLLADGLAVAGQAIIACAFAEKDYQKATTAATRVLQMSFVLGLGLAVVVGVGLHFGDGIFSKDPNVLHIISIGIPFVAATQPINSIAFVFDGVNFGASDFAYSAYSMVLVAIASIAAIFVLSKTGGFVGIWVALTIFMGLRTFAGVWRMGTGTGPWGFLKGRLLP >Manes.11G029600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2817768:2825714:1 gene:Manes.11G029600.v8.1 transcript:Manes.11G029600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMHSFRHLTIIHSQFHRLQKLQKFHSLASLDPSSVTQYPERQQQRRQETGLKTQENRVINKNKKDSIESNVDNNSFSSFFPKRGQTLELVCESLAFKGKGVCKVADTGFVVLCDRALPGERFIGRVTRRKGSYAEVTKVKTMSPHWDIIDAPCEYASYCGGCKTQNLSYEAQLRAKEQQVLELMIHVGKFSLEDVDMKSIVPCDIKFHYRNKMEFSFGSKKWLPRELLEEKQDGIENYALGLHAPGFFDKVLNVDKCLLQSEPANMVLAIIQDVWRDPQLGLTPYDVKSHVGFLKHLMLRTGRNAKTGLHELMVNFVTSSYKPQMLKPLVEKISTIPEVVSIMNNVNSSVGNTSVGEEEYTLYGKSTITETLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLGGDNSEIVLDLFCGTGTIGLTLSKRNAELNGITNATFVQGDLNKVGESFGNNFPKPDVVISDPNRPGMHMKLIKFLLKLKAPRIVYVSCNPATCARDLDYLCHGVKEQNIEGCYKLKSLQPVDMFPHTPHIECVCLLELR >Manes.11G029600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2817768:2825714:1 gene:Manes.11G029600.v8.1 transcript:Manes.11G029600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMHSFRHLTIIHSQFHRLQKLQKFHSLASLDPSSVTQYPERQQQRRQETGLKTQENRVINKNKKDSIESNVDNNSFSSFFPKRGQTLELVCESLAFKGKGVCKVADTGFVVLCDRALPGERFIGRVTRRKGSYAEVTKVKTMSPHWDIIDAPCEYASYCGGCKTQNLSYEAQLRAKEQQVLELMIHVGKFSLEDVDMKSIVPCDIKFHYRNKMEFSFGSKKWLPRELLEEKQDGIENYALGLHAPGFFDKVLNVDKCLLQSEPANMVLAIIQDVWRDPQLGLTPYDVKSHVGFLKHLMLRTGRNAKTGLHELMVNFVTSSYKPQMLKPLVEKISTIPEVVSIMNNVNSSVGNTSVGEEEYTLYGKSTITETLRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLGGDNSEIVLDLFCGTGTIGLTLSKRAKHVYGYEIVPQAISDACRNAELNGITNATFVQGDLNKVGESFGNNFPKPDVVISDPNRPGMHMKLIKFLLKLKAPRIVYVSCNPATCARDLDYLCHGVKEQNIEGCYKLKSLQPVDMFPHTPHIECVCLLELR >Manes.11G050560.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6416530:6418063:1 gene:Manes.11G050560.v8.1 transcript:Manes.11G050560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.07G022900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2495610:2501633:-1 gene:Manes.07G022900.v8.1 transcript:Manes.07G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPLQPNFQQCAAAASLASSTSLFPSSFSFRLITLRPSISALRPVVCCLSQSQVSVVNGKIDNRVSERNEIRLGLPSKGRMASDTLDLLKDCQLSVKQVNPRQYVAEIPQLSNLEVWFQRPKDIVRKLLSGDLDLGIVGFDTVSEYGKENEDLILVHDALDYGDCRLSLAIPKYGIFENINSMRELAQMPQWTAEKPLRVATGFTYLGPKFMKENGLEHVTFSTADGALEAAPAMGIADAILDLVSSGTTLRENNLKEIEGGVVLESQAVLVASRKSLMQRKGALDTTHEILERLEAHLRAIGQFTVTANMRGSSADEVAERVLSQPSLSGLQGPTVSPVFCKRDGKVSPDYYAIVICIPKKALYKSVQQLRAIGGSGVLVSPLTYIFDEETPRWRQLLSKLGL >Manes.05G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3022827:3026532:-1 gene:Manes.05G036800.v8.1 transcript:Manes.05G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSSLHGEQLHAHLPTARRVLKRWTSATSLLFFTFLLFGAFVYIIDNLFANFLITKRLENSFSLNYSGERETTTCNCNHYPTALETYESSDAACPEYFRWIHDDLRPWKSTGITKDMVERAKEFASFRLIIIKGKAYVERYKKSFQTRDLFTIWGILQLLRLYPGKLPDLDVMFWCGDKPKVLKHDHATSPPALFQYCGRQDSLGIIFPDWSFWGWGELSIEPWKNMLARLIESNKKIKWKSRVPYAYWKGNPAVSTNREELMMCNVSDKHEWNARLYSQNWFKEKMQGFKDSKLEDQCTHRYKIYVEGRGWSVSNKYILSCDSMTLLIKPRYYDFYMRSMVPMQHYWPISSKNKCRDIKFAVEWGNSHPDKAQAIGKAGSRFIQENLKMENIYAYMYHSLREYANLLKFKPEIPEGRAEICPELIASQEGGLWRKFMLGSMVKSPSNTLPCTMPSPLDPSALKALFERNENITTQVTMWEQEYWENLPPDHH >Manes.05G036800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3022773:3026537:-1 gene:Manes.05G036800.v8.1 transcript:Manes.05G036800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQTLHVQSTSGGSTTISGRGRVQLLRLYPGKLPDLDVMFWCGDKPKVLKHDHATSPPALFQYCGRQDSLGIIFPDWSFWGWGELSIEPWKNMLARLIESNKKIKWKSRVPYAYWKGNPAVSTNREELMMCNVSDKHEWNARLYSQNWFKEKMQGFKDSKLEDQCTHRYKIYVEGRGWSVSNKYILSCDSMTLLIKPRYYDFYMRSMVPMQHYWPISSKNKCRDIKFAVEWGNSHPDKAQAIGKAGSRFIQENLKMENIYAYMYHSLREYANLLKFKPEIPEGRAEICPELIASQEGGLWRKFMLGSMVKSPSNTLPCTMPSPLDPSALKALFERNENITTQVTMWEQEYWENLPPDHH >Manes.18G061200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5413681:5414051:1 gene:Manes.18G061200.v8.1 transcript:Manes.18G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTNFRALKHENGIAGSATIIVRVIACFHPLQDCQAEYFRHLLKPVT >Manes.01G169700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35202502:35207293:-1 gene:Manes.01G169700.v8.1 transcript:Manes.01G169700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVELDTIRSPDFQDADANHVGIDVNSLNSIESASATYFSNTEGTNRSLNLISGDPIKVWIDYDETETLLNVTLAPISSPKPNQLLLSTTTNLSEILLESMYVGFSAATGTLASNHYILGWSFNKSGKAQDLDISKLPSLPPLGKAEKKSKVVIVVILVAVMVVLITIVGGTYIIRRKKYEEIREDWEREYGPQRFSYKILYTATKGFKDKELLGAGGFGKVYRGILPSSNLPVAVKKVSHDSKQGMKEFVAEIASIGRLRHRNLVQLLGYCRRQGELLLVYDYMPNGSLDKFLFTNEKPVLDWPQRFQILKGVASGLLYLHEGWEQVVLHRDIKASNILLDGGLNGRLGDFGLAILYNHGSNPQTTHVVGTVGYLAPELARFGKATTSTDVFAFGAFLLEVACGRRPIELLGLPEEVTLVDWVIECWKRGDILDASDPRLQGNYVIDEMELVLKLGLICSHSVPTMRPSMRQVMQYLDGDATLPDIPLENAAIGTFITYNEASDFVMSFPSTVGKGSGRSFTSNDSILTDGR >Manes.01G169700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35202502:35207293:-1 gene:Manes.01G169700.v8.1 transcript:Manes.01G169700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVRFLLLYFHLSMISLNLAQEVDQFIYNGFLGANLQLDGIAEIHPNGLLQLTNTSKQKMGHAFYQFPLKFNPNSANSSESLSFSTIFVFAMVPELQNLSGHGIAFTISPSLDFSHAVASQYLGLLNTSNNGVSTNHMLAVELDTIRSPDFQDADANHVGIDVNSLNSIESASATYFSNTEGTNRSLNLISGDPIKVWIDYDETETLLNVTLAPISSPKPNQLLLSTTTNLSEILLESMYVGFSAATGTLASNHYILGWSFNKSGKAQDLDISKLPSLPPLGKAEKKSKVVIVVILVAVMVVLITIVGGTYIIRRKKYEEIREDWEREYGPQRFSYKILYTATKGFKDKELLGAGGFGKVYRGILPSSNLPVAVKKVSHDSKQGMKEFVAEIASIGRLRHRNLVQLLGYCRRQGELLLVYDYMPNGSLDKFLFTNEKPVLDWPQRFQILKGVASGLLYLHEGWEQVVLHRDIKASNILLDGGLNGRLGDFGLAILYNHGSNPQTTHVVGTVGYLAPELARFGKATTSTDVFAFGAFLLEVACGRRPIELLGLPEEVTLVDWVIECWKRGDILDASDPRLQGNYVIDEMELVLKLGLICSHSVPTMRPSMRQVMQYLDGDATLPDIPLENAAIGTFITYNEASDFVMSFPSTVGKGSGRSFTSNDSILTDGR >Manes.02G219400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34405873:34412248:-1 gene:Manes.02G219400.v8.1 transcript:Manes.02G219400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNECDGHKQNVLDDEHEKFHGVEKSTSPQKPGIVGKQNLETKFASQTPEKTNQPLNAKSKEGEAELLQRHKAMVELFDGMSSSLRLLGLRKKSPTFQNIRTQVEVLTGRKFSFGQLAQLKYLLSEAIQIDKILVYDKTTLCMKPDMKITMLFDVIEGHNEQSDFIALHQLFASRLINYFTATPETCDIPEAMLPDPFWKSKETASGGKISADIIIHEHVSQISESTAPEKSLANSSTGDLQITFENEMLSKSSHMHSSFIRHFSETVVTDEEKTQLLASSVPLSFMAPYSLKNQDVKVEKTREFPDLSSNFGTGTNLDIKYEKVEESPSTNSKSTSHVQTSNPQFCVSNACASPLYKLASSADNLMVETPAQSTPKRVTPNSDDNHKGKASQNQAAYCKAAKRSLDFSFFEDGESEFCEFLPENISQTLDVTPVKLQEVKGLGCAAEEHETNQPDVLHQQTSTYLPGLVSLIHHIFQSVNYCPITKEELVHKIIINSFDFEEKRQVEEQIEILERLVPDWICIKLAPAGDVLYSIEKLSDLNSVKSRVVVT >Manes.02G219400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34405873:34412029:-1 gene:Manes.02G219400.v8.1 transcript:Manes.02G219400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNECDGHKQNVLDDEHEKFHGVEKSTSPQKPGIVGKQNLETKFASQTPEKTNQPLNAKSKEGEAELLQRHKAMVELFDGMSSSLRLLGLRKKSPTFQNIRTQVEVLTGRKFSFGQLAQLKYLLSEAIQIDKILVYDKTTLCMKPDMKITMLFDVIEGHNEQSDFIALHQLFASRLINYFTATPETCDIPEAMLPDPFWKSKETASGGKISADIIIHEHVSQISESTAPEKSLANSSTGDLQITFENEMLSKSSHMHSSFIRHFSETVVTDEEKTQLLASSVPLSFMAPYSLKNQDVKVEKTREFPDLSSNFGTGTNLDIKYEKVEESPSTNSKSTSHVQTSNPQFCVSNACASPLYKLASSADNLMVETPAQSTPKRVTPNSDDNHKGKASQNQAAYCKAAKRSLDFSFFEDGESEFCEFLPENISQTLDVTPVKLQEVKGLGCAAEEHETNQPDVLHQQTSTYLPGLVSLIHHIFQSVNYCPITKEELVHKIIINSFDFEEKRQVEEQIEILERLVPDWICIKLAPAGDVLYSIEKLSDLNSVKSRVVVT >Manes.02G219400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34405494:34412274:-1 gene:Manes.02G219400.v8.1 transcript:Manes.02G219400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNECDGHKQNVLDDEHEKFHGVEKSTSPQKPGIVGKQNLETKFASQTPEKTNQPLNAKSKEGEAELLQRHKAMVELFDGMSSSLRLLGLRKKSPTFQNIRTQVEVLTGRKFSFGQLAQLKYLLSEAIQIDKILVYDKTTLCMKPDMKITMLFDVIEGHNEQSDFIALHQLFASRLINYFTATPETCDIPEAMLPDPFWKSKETASGGKISADIIIHEHVSQISESTAPEKSLANSSTGDLQITFENEMLSKSSHMHSSFIRHFSETVVTDEEKTQLLASSVPLSFMAPYSLKNQDVKVEKTREFPDLSSNFGTGTNLDIKYEKVEESPSTNSKSTSHVQTSNPQFCVSNACASPLYKLASSADNLMVETPAQSTPKRVTPNSDDNHKGKASQNQAAYCKAAKRSLDFSFFEDGESEFCEFLPENISQTLDVTPVKLQEVKGLGCAAEEHETNQPDVLHQQTSTYLPGLVSLIHHIFQSVNYCPITKEELVHKIIINSFDFEEKRQVEEQIEILERLVPDWICIKLAPAGDVLYSIEKLSDLNSVKSRVVVT >Manes.02G219400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34405494:34412274:-1 gene:Manes.02G219400.v8.1 transcript:Manes.02G219400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNECDGHKQNVLDDEHEKFHGVEKSTSPQKPGIVGKQNLETKFASQTPEKTNQPLNAKSKEGEAELLQRHKAMVELFDGMSSSLRLLGLRKKSPTFQNIRTQVEVLTGRKFSFGQLAQLKYLLSEAIQIDKILVYDKTTLCMKPDMKITMLFDVIEGHNEQSDFIALHQLFASRLINYFTATPETCDIPEAMLPDPFWKSKETASGGKISADIIIHEHVSQISESTAPEKSLANSSTGDLQITFENEMLSKSSHMHSSFIRHFSETVVTDEEKTQLLASSVPLSFMAPYSLKNQDVKVEKTREFPDLSSNFGTGTNLDIKYEKVEESPSTNSKSTSHVQTSNPQFCVSNACASPLYKLASSADNLMVETPAQSTPKRVTPNSDDNHKGKASQNQAAYCKAAKRSLDFSFFEDGESEFCEFLPENISQTLDVTPVKLQEVKGLGCAAEEHETNQPDVLHQQTSTYLPGLVSLIHHIFQSVNYCPITKEELVHKIIINSFDFEEKRQVEEQIEILERLVPDWICIKLAPAGDVLYSIEKLSDLNSVKSRVVVT >Manes.02G219400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:34405771:34412248:-1 gene:Manes.02G219400.v8.1 transcript:Manes.02G219400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNECDGHKQNVLDDEHEKFHGVEKSTSPQKPGIVGKQNLETKFASQTPEKTNQPLNAKSKEGEAELLQRHKAMVELFDGMSSSLRLLGLRKKSPTFQNIRTQVEVLTGRKFSFGQLAQLKYLLSEAIQIDKILVYDKTTLCMKPDMKITMLFDVIEGHNEQSDFIALHQLFASRLINYFTATPETCDIPEAMLPDPFWKSKETASGGKISADIIIHEHVSQISESTAPEKSLANSSTGDLQITFENEMLSKSSHMHSSFIRHFSETVVTDEEKTQLLASSVPLSFMAPYSLKNQDVKVEKTREFPDLSSNFGTGTNLDIKYEKVEESPSTNSKSTSHVQTSNPQFCVSNACASPLYKLASSADNLMVETPAQSTPKRVTPNSDDNHKGKASQNQAAYCKAAKRSLDFSFFEDGESEFCEFLPENISQTLDVTPVKLQEVKGLGCAAEEHETNQPDVLHQQTSTYLPGLVSLIHHIFQSVNYCPITKEELVHKIIINSFDFEEKRQVEEQIEILERLVPDWICIKLAPAGDVLYSIEKLSDLNSVKSRVVVT >Manes.09G180400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36936965:36943044:1 gene:Manes.09G180400.v8.1 transcript:Manes.09G180400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAGGNGIDLWSEIIAEEQEYIDQLQEQKIEVIYRRRRPQKTPPDVNSEKLESNKENRVSLAAATKRVSWNRSLSIRGRVSIAIAACVDNRPKQKQGKRKGKPPVPKGKVVQPPNFDKEKEYFQEVDAFELLEESPSPKNIGTWATGNGTDTSSIPYLSSRLEKWLISKKLNFSAAPSTTLSKLLETPAMPLETIYDNDFSAAHLKTPEKSSSKINSSLHSVHSRINAYLCSKCVPEMKSDSQMISTMLRSTDDEGFKDIEAAVKKLSLASTSTSVDHDYVDPFSSLLVVCGQTVPSTLLDVFSKYCDAERITKVGEGTYGEAFKAGNTVCKIVPIDGDLKVNGEVQKKSEELLEEVVLSKTLNLLRQHDGDAHNASTTFIETLDLKVCQGPYDNALIKAWEDWDDKNGSENDHPGEFPEKQRYVVFVLQHGGKDLESFVLSNFDEARSLLVQVTAALAVAEAAFEFEHRDLHWGNILLSRSDSTTIQFILEGKRILVRTYGLLISIIDFTLSRINTGEDILFLDLSSDPYLFKGPKGDKQAETYRKMREVTEDFWEGSFPRTNVLWLLYLVDILLLKKSFERSSKNERELRSLKKRLDKYNSAKEAIFDPFFSDLLVSCE >Manes.09G180400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36936965:36943044:1 gene:Manes.09G180400.v8.1 transcript:Manes.09G180400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAGGNGIDLWSEIIAEEQEYIDQLQEQKIEVIYRRRRPQKTPPDVNSEKLESNKENRVSLAAATKRVSWNRSLSIRGRVSIAIAACVDNRPKQKQGKRKGKPPVPKGKVVQPPNFDKEKEYFQEVDAFELLEESPSPKNIGTWATGNGTDTSSIPYLSSRLEKWLISKKLNFSAAPSTTLSKLLETPAMPLETIYDNDFSAAHLKTPEKSSSKINSSLHSVHSRINAYLCSKCVPEMKSDSQMISTMLRSTDDEGFKDIEAAVKKLSLASTSTSVDHDYVDPFSSLLVVCGQTVPSTLLDVFSKYCDAERITKVGEGTYGEAFKAGNTVCKIVPIDGDLKVNGEVQKKSEELLEEVVLSKTLNLLRQHDGDAHNASTTFIETLDLKVCQGPYDNALIKAWEDWDDKNGSENDHPGEFPEKQRYVVFVLQHGGKDLESFVLSNFDEARSLLVQVTAALAVAEAAFEFEHRDLHWGNILLSRSDSTTIQFILEGKRILVRTYGLLISIIDFTLSRINTGEDILFLDLSSDPYLFKGPKGDKQAETYRKMREVTEDFWEGSFPRTNVLWLLYLVDILLLKKSFERSSKNERELRSLKKRLDKYNSAKEAIFDPFFSDLLVSCE >Manes.01G157700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34354698:34355105:-1 gene:Manes.01G157700.v8.1 transcript:Manes.01G157700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKVMGYSKIGRRCHGAGRGFRLNPKRFSVQRLRARFFHLFKLLSRWKSSYGQALQSLKRGMVTINNYSLRRNSSTNSRRGLVVEVSSHNYGLGDCRMITFRRSNSFYSEAIADCLEFIKRSSISMEQKQDSPR >Manes.02G152900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11711578:11711997:-1 gene:Manes.02G152900.v8.1 transcript:Manes.02G152900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDEALRSQVAIRCAKASILLYSLKSFPNRHFKTTTNDKDKDLMMEEIRDLKIKLTRERIKSKRIKLCGLVEVILQVMVVLSFSTVLLVLAFKSPSN >Manes.06G107900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24006216:24010999:-1 gene:Manes.06G107900.v8.1 transcript:Manes.06G107900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPWIFFSFSILSFVLLVPFPSTTFAVNQQGETLLSWKRSFNGLPEVLSDWEASDETPCRWFGITCNFNNQVVALELRYVDLFGNVPSNFTSLFSLKKLILSGTNLTGPIPKEIGALSQLTSLDLSDNALTGEIPSELCNLLELEELYLNSNELEGSIPIEIGNLTSLKWLILYDNQLSGGLSYTIGKLKNLQVIRAGGNKNLEGPLPQEIGNCSNLVLLGLAETSISGFLPPSLGFLKKLQTIAIYTSLLSGQIPPELGYCTQLENIYLYENSLTGAIPNTLGNLPNLKNLLLWQNNLVGIIPPELGNCNQMLVIDISMNSLTGAIPQSFGNLTQLQELQLSVNQISGEIPSQLGNCQKITHIELDNNQITGAIPPELGNLSNLTLLFLWQNKLEGNIPTSISNCHNLEAIDLSQNGLMGPIPKGIFQLKQLNKLLLLSNNLSGEIPPEIGNCSSLIRFRANNNKLTGSIPPEIGNLRNLNFLDLGSNHLTGVIPEEFSGCQNLTFLDLHSNSISGNLPQSLSQLVSLQLVDFSDNLIEGTLSPSLGSLRSLTKLVLAENRFSGSIPNQLGSCSKLQLLDLSSNRLSGNIPSSVAKIPSLEITLNLSCNQLTGEIPEEFTELDKLGILDLSYNQLSGDLRYLANLQNLVVLNVSHNNLSGRIPDTPFFSKLPLSVLSGNPELCFSGNQCSNANGKRTKHATAARVAMVVLLCTACALLLAALYIIIGGKRRRHGSHDCNMDGDTDIEMGPPWEVTVYQKLDLSIEDVARSLSAGNVVGRGRSGVVYKVTLPSGTTVAVKRFKSSEKIAAASFSSEIATLARIRHRNIVRLLGWGANRKTKLLFYDYLSNGTVGELLHEGSVGLIEWETRFKIALGVAEGLAYLHHDCVPAILHRDVKAQNILLGDRYEACLADFGLARLVEDEQGSFSANPQFAGSYGYMAPEYACMMKITEKSDVYSFGVVLLEIITGKKPVDPSFPEGQHVIQWVREHLKSKKDPVEILDQKLQGHQDTQIQEMLQALGISLLCTSNRADDRPTMKDVAALLREIRHEPAGGSEAHKPTTNKSTKATETTPSYSSSSVTPAQLLMLQSSSHCSLAYSSPSASYSSQNQ >Manes.17G033200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:23006448:23008175:-1 gene:Manes.17G033200.v8.1 transcript:Manes.17G033200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDNPRQDRSSSASSSTSIVRQAIQGVSSLISFSHGIRVFPVKWQMLRYKLEELNTSLLAIENCDSTQNPKLSGLISAIILTANNCYDLARRCVDLSYSGKLLMQSDLDVMASKFDRLVKNLSGICSAGVLTQDFALIVSKPGLSACKEDLRFYVRDLLTRMKIGDTEMKRQALVNLYEVLVEDERYFRVIAEVGDIVHISVNLLDSADIGIQEEAAKAVSVLSGFDSCKSALVRSGVIGPLVRALETGSELGKEAATRSLQKLTENSDNAWSVSAHGGVTALLKICASGDSREELIGPACGVLRNLVGVEEIKRFMIEDGVVSRFIKLARSRSEAVRISSIEFLQNIASGDESVRQLVVREGGIRALVHILDPKISSTYKSREIALRAIENLCFSSTNCINILTNHGFLDQLLSFLRNGDVSVQELALKVAFRLAGTSGEAKKAMGDAGFMSEFVRFLDAKSCEVREMASEALTGMISVPKIRKRFVQDDRNIGLLLQLLDQEEANSSGTKKLLISILISLTSSNSGRRKIVNSGYLKNIEKLAEAEVSDAKRLVRKLSTNRFRSMLSGLWHS >Manes.02G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5394461:5396640:-1 gene:Manes.02G070700.v8.1 transcript:Manes.02G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLSVILPRVLIVSRRSVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVNGVHMLLDSFEPIHGVLLCEGEDIDPSLYEAESTDLSPEELEEIRRLHASDTAIDKEKDSIELRLAKLCLERNIPYLGICRGSQVLNVACGGTLYVDIEKEISNKCLESQRVKHIDYDNYDGHRHVVKVVESSPLHHWFKDSLEEGKMEISVNSYHHQGVKRLAKRFVPMAYAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRQPDTDQFDYPGCPKAYQEFVKAVIAYQKKLNSSASVPKPIKLNQEMENKRKVILRSFSLARNMYATGQELHPSKESELKAGAEFLESNTAFSFQQENRLKQIGATVRNGGSYIERLKLNEESEKQAKNAMGKMSVEKLSDLLSFYHMMGQICSEVLERKLNGFVSEIAS >Manes.17G029900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22395686:22399225:-1 gene:Manes.17G029900.v8.1 transcript:Manes.17G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMNNFGLILYLLILFISLASAQQLVCYETGNFTTNSTYAQNRGLLLSSLASNITKNGSFYATSVGQDSDKVYGLVLCRADTPSDACSNCVDYGIQNLISNCPNQKEAISWGGNTDFPPCIIRYANRNIFGRAEVDPSNSGVNVGDLPSNIPQFDEIWSSLMEQVGTRASMGSSKIKFATGEANYSTGLHETIYVMMQCTPDLSQSNCSYCLQQAVGDFRACCSGKRGGYVLQPSCWFRWDLFPFYASTADDAPPPSTPPPPPTTPIAEDNGGIKSGIVVITVVPVVSFLLLVTVTYILFRRKKKRPPSKNGYEGNDESTTTVESLQFDIEAIRVATNNFCDDNKLGEGGFGTVYKGRLPDGPDIAVKRWSRNSKQGDIEFKNEVLLVAMLQHRNLVRLLGFCLQEKEKLLIYEFVPNSSLDRYIFDSNKRLLLDWSKRYKIIEGIARGILYLHQDSRTRIIHRDLKASNILLDEQMNPKISDFGTAKLSAVDQSQDATRRIVGTYGYMPPEYAMHGRFSVKSDVFSFGVLVLEIMSGQKAAHLRNGDMDENLLTYAWRCWNDGTPLHLIDAIPLSVGSRNEMIRCIHIGLLCVQEEVTRRPTMDSVVLMLSSHSVSLPQPDRVAYFLYSTIDEEPLASPPNHEINVVEQSINEASFSEQGPR >Manes.15G187401.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27306930:27309745:1 gene:Manes.15G187401.v8.1 transcript:Manes.15G187401.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLRFMWFYVAPYLNCTQATVKRLIPSKVEIAESNNRPVLELLRTQQSSNINALKYLVSSYKPDILFLMETKALNSRMKFFRSFLHFDDCFSVNRQELGGDLSLM >Manes.14G007244.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1818857:1825345:1 gene:Manes.14G007244.v8.1 transcript:Manes.14G007244.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAGRGLECQKIMDGKVNNGNSSEKAIPSCCLKARASAPELDAKCHSTVVSGWFSESYSCSGTGSKRVYFNNPMWPGEAHSLEAKNILYEGKSEYQEILVFESSAYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHSSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLRLAPAGKYDAIIVDSSDPVGPAQELVEKPFFQTIARALRPGGVLCNMAESMWLHTHLIQDMISICCETFKGSVRYAWASVPTYPSGVIGFLLCSTEGPPVDFLNPVNPIEKLEGAVKYKRELRFYNSEVHSAAFALPTFLKREVKLLRDSPILAKGVDVS >Manes.14G007244.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1818906:1824665:1 gene:Manes.14G007244.v8.1 transcript:Manes.14G007244.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGAGRGLECQKIMDGKVNNGNSSEKAIPSCCLKARASAPELDAKCHSTVVSGWFSESYSCSGTGSKRVYFNNPMWPGEAHSLEAKNILYEGKSEYQEILVFESSAYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKSVLVVGGGDGGVLREISRHSSVELIDICEIDKMVIDVCKEYFPELSVGFEDPRVRLHVGDAVEFLRLAPAGKYDAIIVDSSDPVGPAQELVEKPFFQTIARALRPGGVLCNMAESMWLHTHLIQDMISICCETFKGSVRYAWASVPTYPSGVIGFLLCSTEGPPVDFLNPVNPIEKLEGAVKYKRELRFYNSEVHSAAFALPTFLKREVKLLRDSPILAKGVDVS >Manes.05G186754.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:19087962:19088513:1 gene:Manes.05G186754.v8.1 transcript:Manes.05G186754.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTTVNQESRLVEMVEQIRVLQESVKDKEELREEIKKNALRNRNAILEELRSIFGANIINKGKGVVCESSEGAGEPTAAAGITMGSLGGRGLLPSLRGPSHPSSLQDSNSMGFVVDAQGMTRFISKIKCSRYD >Manes.13G027700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3692927:3697957:-1 gene:Manes.13G027700.v8.1 transcript:Manes.13G027700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRISGYELPIKSAQVTESTDFNELAEKEPWLSSGKLVVKPDMLFGKRGKSGLVALNLDLAEVAVFVKERLGKEVEMSGCKGPITTFIVEPFIRHNEEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTVYVPTGSSFTSETCAPLVATLPLEIKREIEEFIKAVFALFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATSDPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMHIYVRRGGPNYQRGLTKMRSLGEEIGIPIEVYGPEATMTGICKQAIECISAAA >Manes.13G027700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3692927:3697957:-1 gene:Manes.13G027700.v8.1 transcript:Manes.13G027700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRISGYELPIKSAQVTESTDFNELAEKEPWLSSGKLVVKPDMLFGKRGKSGLVALNLDLAEVAVFVKERLGKEVEMSGCKGPITTFIVEPFIRHNEEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTVYVPTGSSFTSETCAPLVATLPLEIKREIEEFIKAVFALFQDLDFTFLEMNPFTLVNGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATSDPDGRKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMHIYVRRGGPNYQRGLTKMRSLGEEIGIPIEVYGPEATMTGICKQAIECISAAA >Manes.02G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8566622:8572847:1 gene:Manes.02G110600.v8.1 transcript:Manes.02G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISESEKQPICLHGDLDLFIIEARRLPNMDLVSTRVRSCFAGCTPHSTAAATSAGSTDEETGEEDQKIHRHRNIVTSDPYVTVVVPQATVARTRVIKNAKNPKWRQRFCIPLAHPVIDLEFHVKDNDLFGAELIGIVKIPARRLVTGESISDWFSIIGSSGKPPKPDTALHLEMKFTPCEKNPLYRHGIAGDPEHRGVRNTYFPLRKGSTVRMYQDAHVLDEMLPEIAIDGGKVYKQEKCWEDICYAISEAHHMIYIVGWSVFYKIKLVREPTRPLPRGGDLTLGELLKYKSEEGVRVLLLIWDDKTSHDKFGISTPGLMQTHDEETRKFFKHSSVICVLAPRYASSKLSFLKQQVVGTAFTHHQKCVLVDTQAYGNNRKITAFLGGIDLCDGRYDTPEHRLFRDLDTVFKDDFHNPTFPAGTKAPRQPWHDLHCRIEGPAVYDVLINFEQRWRKATKWTEFGLRFKRVSHWHDDALIKIERISWILSPPFAIRDGVTIVPPDDPTVYVSSEEDPENWHVQIFRSIDTGSLKGFPRRAQDCQAQNLVATKNQVVDKSIQTAYIQAIRSAQHFIYIENQYFLGSSYGWPSYKNAGADNLIPMELALKIASKIRANERFAVYIVLPMWPEGDPKSDTMQEILYWQSQTMQMMYDIVARELKSMQMDSHPQDYLNFFCLGNREENPQQMSSTNGEAISDAYKHQRFMIYVHAKGMIVDDEYVIIGSANINQRSMAGSKDTEIAMGAYQPHYTWAAKKKHPRGQIYGYRMSLWAEHLGEIQELFVEPESLECVKKVKNTAETNWNKYTDPNFTLLQGHLLMYPVQVDADGKVGLRPGYENFPDAGGKVLGAQSIKIPDILTT >Manes.06G070800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20668926:20669430:1 gene:Manes.06G070800.v8.1 transcript:Manes.06G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQFHYSNTTQANGHLSGRDPCLEFEDIDDQDAFYEELRLQVLLLIADDDDDFAETRQSDKLFGRFPAKLQPGCYFDWCEWDRRDADSVPTWLENLWKKGKGTGVFIPQTVKSTRCGHGRNRMSKGKKRVYKQVEL >Manes.08G087300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29292573:29319528:1 gene:Manes.08G087300.v8.1 transcript:Manes.08G087300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTMDLNVSPVPEDDEENFEEHIEEFSAPEERFETGVETARREREERRKRLRTERSDDRPVPVSRQPVHEQCYPAKHYKTYDKSKLPPGWLDCPASGQEIFGIVPSKVPLGEAYNDCIPPGRRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTIDLKKEGIKYVKIQCKGRDSVPENASVNNFVYEVTQFLARQKSKKHILVHCTHGHNRTGYMIVHYIMRTMPKSVTQAIKLFSDARPPGIYKPDYIKTLYEFYHETKPEMVVCPPTPEWKRSSDLDLNGEAVPDDDDDGGSAAPLHDNHEVDAIMTNDDVLGDEIPVDQLDALRLFCYQTLKLNIPVRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCKGTNEVLADKTHHYTLLDGEMIIDTMPESQKQERRYLIYDVMAINHMSVVERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPELNSVDFLFEVDADDRQLLYLNERGKKKLMEGNTVVFKDGSDPSSFSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIKDNITEDILLNEINEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.08G087300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29292586:29319528:1 gene:Manes.08G087300.v8.1 transcript:Manes.08G087300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLFLCLGSQYMNNAIRPNTIKLMINLSSLLEIFGIVPSKVPLGEAYNDCIPPGRRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTIDLKKEGIKYVKIQCKGRDSVPENASVNNFVYEVTQFLARQKSKKHILVHCTHGHNRTGYMIVHYIMRTMPKSVTQAIKLFSDARPPGIYKPDYIKTLYEFYHETKPEMVVCPPTPEWKRSSDLDLNGEAVPDDDDDGGSAAPLHDNHEVDAIMTNDDVLGDEIPVDQLDALRLFCYQTLKLNIPVRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCKGTNEVLADKTHHYTLLDGEMIIDTMPESQKQERRYLIYDVMAINHMSVVERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPELNSVDFLFEVDADDRQLLYLNERGKKKLMEGNTVVFKDGSDPSSFSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIKDNITEDILLNEINEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.08G087300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29292586:29319528:1 gene:Manes.08G087300.v8.1 transcript:Manes.08G087300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTMDLNVSPVPEDDEENFEEHIEEFSAPEERFETGVETARREREERRKRLRTERSDDRPVPVSRQPVHEQCYPAKHYKTYDKSKLPPGWLDCPASGQEIFGIVPSKVPLGEAYNDCIPPGRRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTIDLKKEGIKYVKIQCKGRDSVPENASVNNFVYEVTQFLARQKSKKHILVHCTHGHNRTGYMIVHYIMRTMPKSVTQAIKLFSDARPPGIYKPDYIKTLYEFYHETKPEMVVCPPTPEWKRSSDLDLNGEAVPDDDDDGGSAAPLHDNHEVDAIMTNDDVLGDEIPVDQLDALRLFCYQTLKLNIPVRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCKGTNEVLADKTHHYTLLDGEMIIDTMPESQKQERRYLIYDVMAINHMSVVERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPELNSVDFLFEVDADDRQLLYLNERGKKKLMEGNTVVFKDGSDPSSFSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIKDNITEDILLNEINEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.08G087300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29292586:29319528:1 gene:Manes.08G087300.v8.1 transcript:Manes.08G087300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTMDLNVSPVPEDDEENFEEHIEEFSAPEERFETGVETARREREERRKRLRTERSDDRPVPVSRQPVHEQCYPAKHYKTYDKSKLPPGWLDCPASGQEIFGIVPSKVPLGEAYNDCIPPGRRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTIDLKKEGIKYVKIQCKGRDSVPENASVNNFVYEVTQFLARQKSKKHILVHCTHGHNRTGYMIVHYIMRTMPKSVTQAIKLFSDARPPGIYKPDYIKTLYEFYHETKPEMVVCPPTPEWKRSSDLDLNGEAVPDDDDDGGSAAPLHDNHEVDAIMTNDDVLGDEIPVDQLDALRLFCYQTLKLNIPVRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCKGTNEVLADKTHHYTLLDGEMIIDTMPESQKQERRYLIYDVMAINHMSVVERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPELNSVDFLFEVDADDRQLLYLNERGKKKLMEGNTVVFKDGSDPSSFSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIKDNITEDILLNEINEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.08G087300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29292586:29319528:1 gene:Manes.08G087300.v8.1 transcript:Manes.08G087300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTMDLNVSPVPEDDEENFEEHIEEFSAPEERFETGVETARREREERRKRLRTERSDDRPVPVSRQPVHEQCYPAKHYKTYDKSKLPPGWLDCPASGQEIFGIVPSKVPLGEAYNDCIPPGRRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTIDLKKEGIKYVKIQCKGRDSVPENASVNNFVYEVTQFLARQKSKKHILVHCTHGHNRTGYMIVHYIMRTMPKSVTQAIKLFSDARPPGIYKPDYIKTLYEFYHETKPEMVVCPPTPEWKRSSDLDLNGEAVPDDDDDGGSAAPLHDNHEVDAIMTNDDVLGDEIPVDQLDALRLFCYQTLKLNIPVRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCKGTNEVLADKTHHYTLLDGEMIIDTMPESQKQERRYLIYDVMAINHMSVVERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPELNSVDFLFEVDADDRQLLYLNERGKKKLMEGNTVVFKDGSDPSSFSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIKDNITEDILLNEINEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.08G087300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29298497:29319528:1 gene:Manes.08G087300.v8.1 transcript:Manes.08G087300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKSNSLIFQLGLVIDLTNTTRYYSTIDLKKEGIKYVKIQCKGRDSVPENASVNNFVYEVTQFLARQKSKKHILVHCTHGHNRTGYMIVHYIMRTMPKSVTQAIKLFSDARPPGIYKPDYIKTLYEFYHETKPEMVVCPPTPEWKRSSDLDLNGEAVPDDDDDGGSAAPLHDNHEVDAIMTNDDVLGDEIPVDQLDALRLFCYQTLKLNIPVRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCKGTNEVLADKTHHYTLLDGEMIIDTMPESQKQERRYLIYDVMAINHMSVVERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPELNSVDFLFEVDADDRQLLYLNERGKKKLMEGNTVVFKDGSDPSSFSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIKDNITEDILLNEINEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.08G087300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:29292586:29319528:1 gene:Manes.08G087300.v8.1 transcript:Manes.08G087300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTMDLNVSPVPEDDEENFEEHIEEFSAPEERFETGVETARREREERRKRLRTERSDDRPVPVSRQPVHEQCYPAKHYKTYDKSKLPPGWLDCPASGQEIFGIVPSKVPLGEAYNDCIPPGRRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTIDLKKEGIKYVKIQCKGRDSVPENASVNNFVYEVTQFLARQKSKKHILVHCTHGHNRTGYMIVHYIMRTMPKSVTQAIKLFSDARPPGIYKPDYIKTLYEFYHETKPEMVVCPPTPEWKRSSDLDLNGEAVPDDDDDGGSAAPLHDNHEVDAIMTNDDVLGDEIPVDQLDALRLFCYQTLKLNIPVRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCKGTNEVLADKTHHYTLLDGEMIIDTMPESQKQERRYLIYDVMAINHMSVVERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPELNSVDFLFEVDADDRQLLYLNERGKKKLMEGNTVVFKDGSDPSSFSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIKDNITEDILLNEINEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.09G121400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32409000:32416507:-1 gene:Manes.09G121400.v8.1 transcript:Manes.09G121400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSNSDGYRGSSGGSSTSSGNSRRYGMLSASSIIQAPISALLEYSGLLRTSRSTHQETDTLMNASGGVHDHRLDDSTAVAAGIAAAANNGEVAIRIIGAGEHEHDRESSGLAVGQLGSQREVSVQQPMAGMESDVQGDSRNDRGSGEGPPQQPSGASGDGEVADGSGTNGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDILRKQTALKGERKIYVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKTIPPFWHAIFIIMLNDTLVRQAAMVFKCILLMYYKNSRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVFEKVQSFFAALKALSRKEVHYGAYATSEQVREGKDVSLVQGLGQTCRS >Manes.09G121400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32409000:32416507:-1 gene:Manes.09G121400.v8.1 transcript:Manes.09G121400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSNSDGYRGSSGGSSTSSGNSRRYGMLSASSIIQAPISALLEYSGLLRTSRSTHQETDTLMNASGGVHDHRLDDSTAVAAGIAAAANNGEVAIRIIGAGEHEHDRESSGLAVGQLGSQREVSVQQPMAGMESDVQGDSRNDRGSGEGPPQQPSGASGDGEVADGSGTNGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDILRKQTALKGERKIYVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKTIPPFWHAIFIIMLNDTLVRQAAMVFKCILLMYYKNSRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVFEKVQSFFAALKALSRKEVHYGAYATSEQVREGKDVSLVQGLGQTCRS >Manes.09G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32409000:32416506:-1 gene:Manes.09G121400.v8.1 transcript:Manes.09G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSNSDGYRGSSGGSSTSSGNSRRYGMLSASSIIQAPISALLEYSGLLRTSRSTHQETDTLMNASGGVHDHRLDDSTAVAAGIAAAANNGEVAIRIIGAGEHEHDRESSGLAVGQLGSQREVSVQQPMAGMESDVQGDSRNDRGSGEGPPQQPSGASGDGEVADGSGTNGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDILRKQTALKGERKIYVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKTIPPFWHAIFIIMLNDTLVRQAAMVFKCILLMYYKNSRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVFEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTTLFFQIF >Manes.09G121400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32409000:32416506:-1 gene:Manes.09G121400.v8.1 transcript:Manes.09G121400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSNSDGYRGSSGGSSTSSGNSRRYGMLSASSIIQAPISALLEYSGLLRTSRSTHQETDTLMNASGGVHDHRLDDSTAVAAGIAAAANNGEVAIRIIGAGEHEHDRESSGLAVGQLGSQREVSVQQPMAGMESDVQGDSRNDRGSGEGPPQQPSGASGDGEVADGSGTNGRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDILRKQTALKGERKIYVLIGISLAFTLHVVGVYWWYQNDDLLYPLIMLPPKTIPPFWHAIFIIMLNDTLVRQAAMVFKCILLMYYKNSRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVFEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTTLFFQIF >Manes.04G103500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30856096:30876466:1 gene:Manes.04G103500.v8.1 transcript:Manes.04G103500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPRLSFFGIGGSKKVNDLSDGRKSQLAPTIKLQTNKDVYRPGDSIFVTIEISNPCNGASERTLCSLLIEKLGFEIKGIEKLDPQWFATQKQFPGSRQRRGDHIFMDCSTSSLVSNQILSSGATKTYVVRAVLPGIIPPSYRGAAIRYLYYVKGALTGQWLTLENGHSHTELSKDLIELEARVPLQIWATQKSNGLLMEEGQNDGTVPTATLQLDVYWKVIDGDTETEWARANDIDDGVEEGYDSSRDDFSSFSSYNPTKESLHKTFGSSLSLQSFAARSSNKEASLVEGRRTSLSTLAYPRLSAAEVLYGCGADISSPDKSVSTMSSSQQQKIVKPQSEYGIVGESSPPGVGTSGPATSEGFIRGKSYNIRMDDQVLLRFSPKNSDSTYYFSDMIGGTLTFLHEERSRRCLEVSVTLETSETINRQFVHPSRRNSPTITKVQSDHHEAVADLVETSFLFSVPMDGPMSFSTLHVSVQWVLRFEFFTTPKNVDWRRYEHPLLVEGRDKSEWVLPITVHAPPPGTPGAHTHSEKHSSLEPLWFQT >Manes.04G103500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30856086:30876466:1 gene:Manes.04G103500.v8.1 transcript:Manes.04G103500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPRLSFFGIGGSKKVNDLSDGRKSQLAPTIKLQTNKDVYRPGDSIFVTIEISNPCNGASERTLCSLLIEKLGFEIKGIEKLDPQWFATQKQFPGSRQRRGDHIFMDCSTSSLVSNQILSSGATKTYVVRAVLPGIIPPSYRGAAIRYLYYVKGALTGQWLTLENGHSHTELSKDLIELEARVPLQIWATQKSNGLLMEEGQNDGTVPTATLQLDVYWKVIDGDTETEWARANDIDDGVEEGYDSSRDDFSSFSSYNPTKESLHKTFGSSLSLQSFAARSSNKEASLVEGRRTSLSTLAYPRLSAAEVLYGCGADISSPDKSVSTMSSSQQQKIVKPQSEYGIVGESSPPGVGTSGPATSEGFIRGKSYNIRMDDQVLLRFSPKNSDSTYYFSDMIGGTLTFLHEERSRRCLEVSVTLETSETINRQFVHPSRRNSPTITKVQSDHHEAVADLVETSFLFSVPMDGPMSFSTLHVSVQWVLRFEFFTTPKNVDWRRYEHPLLVEGRDKSEWVLPITVHAPPPGTPGAHTHSEKHSSLEPLWFQT >Manes.04G103500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30856083:30876571:1 gene:Manes.04G103500.v8.1 transcript:Manes.04G103500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPRLSFFGIGGSKKVNDLSDGRKSQLAPTIKLQTNKDVYRPGDSIFVTIEISNPCNGASERTLCSLLIEKLGFEIKGIEKLDPQWFATQKQFPGSRQRRGDHIFMDCSTSSLVSNQILSSGATKTYVVRAVLPGIIPPSYRGAAIRYLYYVKGALTGQWLTLENGHSHTELSKDLIELEARVPLQIWATQKSNGLLMEEGQNDGTVPTATLQLDVYWKVIDGDTETEWARANDIDDGVEEGYDSSRDDFSSFSSYNPTKESLHKTFGSSLSLQSFAARSSNKEASLVEGRRTSLSTLAYPRLSAAEVLYGCGADISSPDKSVSTMSSSQQQKIVKPQSEYGIVGESSPPGVGTSGPATSEGFIRGKSYNIRMDDQVLLRFSPKNSDSTYYFSDMIGGTLTFLHEERSRRCLEVSVTLETSETINRQFVHPSRRNSPTITKVQSDHHEAVADLVETSFLFSVPMDGPMSFSTLHVSVQWVLRFEFFTTPKNVDWRRYEHPLLVEGRDKSEWVLPITVHAPPPGTPGAHTHSEKHSSLEPLWFQT >Manes.17G086000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29312153:29322450:-1 gene:Manes.17G086000.v8.1 transcript:Manes.17G086000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSGRKLSFEILSEGRSTEEGRPLLYRSNTDPIEKVSISPEKPNRKKKKHRKKKPPESYSTVPEDPISESRFTDSNSVIQNGNVFMENRSSSFAGGVSVECTVSDATEVAECQSVLNNSGSELRQRNVSNGGGGGGRDEMLSSSPMGENGMLDSGVEVSSAEKLCRDEPNGSVVPIATLEPAVSLDWMQLMAEDPNFIFSVEASPVKYFMDEMYKGNSLRSTTTIGSEKERERVYDTIFRLPWRCELLIDVGFFVCLDSFLSLLTIMPMRMLMTLWRLLNTRQFKKPSAAELSDIGCFLVLASGVALLECTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFGGDVLQTLFNSAEGLASCSEENNRFWIWRFITDQALAMAFSIFHSFILLVQAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRFSKDNIHSLVYSDSVERFHISAFLVFVLAQNILEAEGPWFESFLFNALMVFICEMLIDIIKHSFLAKFNDIKPIAYSEFLEELCNQTLNTQTEDKKKNLTFVPLAPACVVIRVLTPVYSAHLPYSPFLWRFFWILLLSAMTSVMLTSLKVMIGLGLQKHATWYINRCRKRKLHLHND >Manes.17G086000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29312198:29322278:-1 gene:Manes.17G086000.v8.1 transcript:Manes.17G086000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSGRKLSFEILSEGRSTEEGRPLLYRSNTDPIEKVSISPEKPNRKKKKHRKKKPPESYSTVPEDPISESRFTDSNSVIQNGNVFMENRSSSFAGGVSVECTVSDATEVAECQSVLNNSGSELRQRNVSNGGGGGGRDEMLSSSPMGENGMLDSGVEVSSAEKLCRDEPNGSVVPIATLEPAVSLDWMQLMAEDPNFIFSVEASPVKYFMDEMYKGNSLRSTTTIGSEKERERVYDTIFRLPWRCELLIDVGFFVCLDSFLSLLTIMPMRMLMTLWRLLNTRQFKKPSAAELSDIGCFLVLASGVALLECTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFGGDVLQTLFNSAEGLASCSEENNRFWIWRFITDQALAMAFSIFHSFILLVQAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRFSKDNIHSLVYSDSVERFHISAFLVFVLAQNILEAEGPWFESFLFNALMVFICEMLIDIIKHSFLAKFNDIKPIAYSEFLEELCNQTLNTQTEDKKKNLTFVPLAPACVVIRVLTPVYSAHLPYSPFLWRFFWILLLSAMTSVMLTSLKVMIGLGLQKHATWYINRCRKRKLHLHND >Manes.17G086000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29311841:29322614:-1 gene:Manes.17G086000.v8.1 transcript:Manes.17G086000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSGRKLSFEILSEGRSTEEGRPLLYRSNTDPIEKVSISPEKPNRKKKKHRKKKPPESYSTVPEDPISESRFTDSNSVIQNGNVFMENRSSSFAGGVSVECTVSDATEVAECQSVLNNSGSELRQRNVSNGGGGGGRDEMLSSSPMGENGMLDSGVEVSSAEKLCRDEPNGSVVPIATLEPAVSLDWMQLMAEDPNFIFSVEASPVKYFMDEMYKGNSLRSTTTIGSEKERERVYDTIFRLPWRCELLIDVGFFVCLDSFLSLLTIMPMRMLMTLWRLLNTRQFKKPSAAELSDIGCFLVLASGVALLECTDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFGGDVLQTLFNSAEGLASCSEENNRFWIWRFITDQALAMAFSIFHSFILLVQAITLSTCIVAHNNALLALLVSNNFAEIKSNVFKRFSKDNIHSLVYSDSVERFHISAFLVFVLAQNILEAEGPWFESFLFNALMVFICEMLIDIIKHSFLAKFNDIKPIAYSEFLEELCNQTLNTQTEDKKKNLTFVPLAPACVVIRVLTPVYSAHLPYSPFLWRFFWILLLSAMTSVMLTSLKVMIGLGLQKHATWYINRCRKRKLHLHND >Manes.02G156300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIGEELQFIVDRLKQLKRNLEELRLNLEHVESKLSSKPNKEA >Manes.02G156300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIEAIRKISFWYVG >Manes.02G156300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIEAIRKISFCNSKGTLRNFDLIWNMSKANSGLILTFLLRLHIISYTKKF >Manes.02G156300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIEAIRKISFWKSGT >Manes.02G156300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIEWNLTNPTSSR >Manes.02G156300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIATQKEP >Manes.02G156300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIEWNLTNPTSSR >Manes.02G156300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIGEELQFIVDRFSLSLSLNASN >Manes.02G156300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIGRVEPD >Manes.02G156300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12223807:12225296:-1 gene:Manes.02G156300.v8.1 transcript:Manes.02G156300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECSKRYNIIKVVFRWRCKKYLSTAKTTHCTSAYFPNKTQSCITPSHCSLKYSILLFHLLCKWLGLKSLPRRRGAWRLLELNTCSRSINFLLFLPCQLNSPEIGEELQFIVDRFSLSLSLNASN >Manes.01G058900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:24866396:24867995:1 gene:Manes.01G058900.v8.1 transcript:Manes.01G058900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTELLLPKILIHTLSFLGFVRKIICTLFRYLGLPDFLEPDISWSETPPRIPEFYSVSAVLIREILPVVKFSDLVDPPDSCAVCLYEFEDQDEIRRLTNCRHIFHRSCLDRWVGYDQKTCPLCRTPVIPEDMQEAFNERLWAASGIPEFYGEYSQIASL >Manes.06G034601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9376428:9378704:1 gene:Manes.06G034601.v8.1 transcript:Manes.06G034601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIKESNLIHQAEDLPNNQHQWLSNLDRIHERNNIPTVYFYKAADHPISFESKVLKEALSKVLVPFYPVAGRLGRDNKGRLEIVCNNEGVLFIEAETDSELDEVGDLMLVEVSQLIPSVDYSQGISSFPILAVQVTKFKCGGLSLGLRFHHILADGFGALHFINTWCDVARGLSITMPPFIDRTILRCRAPPTPTFEHAEYDKPLSMNSATQILTSQQNCIQIFKITLQQLETLKNKVKNADGKTKYTTYEILTAHIWRCTCKARALSNNQPIKLFIPINGRSRLHPPLPPNFFGNVIFSATLFALSGEILSETLKNTVERIDKKIKRIDDEYMRSAIDYLEVMDDLTPILRGANTCRCPNLNIVSWMRLPFYDADFGMGKPIIVRPANPLEGKVYIMQTPSDDGSWQLAICLQADHMQSFQRLFYEF >Manes.06G034601.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9376428:9378705:1 gene:Manes.06G034601.v8.1 transcript:Manes.06G034601.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIKESNLIHQAEDLPNNQHQWLSNLDRIHERNNIPTVYFYKAADHPISFESKVLKEALSKVLVPFYPVAGRLGRDNKDEVGDLMLVEVSQLIPSVDYSQGISSFPILAVQVTKFKCGGLSLGLRFHHILADGFGALHFINTWCDVARGLSITMPPFIDRTILRCRAPPTPTFEHAEYDKPLSMNSATQILTSQQNCIQIFKITLQQLETLKNKVKNADGKTKYTTYEILTAHIWRCTCKARALSNNQPIKLFIPINGRSRLHPPLPPNFFGNVIFSATLFALSGEILSETLKNTVERIDKKIKRIDDEYMRSAIDYLEVMDDLTPILRGANTCRCPNLNIVSWMRLPFYDADFGMGKPIIVRPANPLEGKVYIMQTPSDDGSWQLAICLQADHMQSFQRLFYEF >Manes.06G034601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:9376644:9378706:1 gene:Manes.06G034601.v8.1 transcript:Manes.06G034601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDIKESNLIHQAEDLPNNQHQWLSNLDRIHERNNIPTVYFYKAADHPISFESKVLKEALSKVLVPFYPVAGRLGRDNKGRLEIVCNNEGVLFIEAETDSELDEVGDLMLVEVSQLIPSVDYSQGISSFPILAVQVTKFKCGGLSLGLRFHHILADGFGALHFINTWCDVARGLSITMPPFIDRTILRCRAPPTPTFEHAEYDKPLSMNSATQILTSQQNCIQIFKITLQQLETLKNKVKNADGKTKYTTYEILTAHIWRCTCKARALSNNQPIKLFIPINGRSRLHPPLPPNFFGNVIFSATLFALSGEILSETLKNTVERIDKKIKRIDDEYMRSAIDYLEVMDDLTPILRGANTCRCPNLNIVSWMRLPFYDADFGMGKPIIVRPANPLEGKVYIMQTPSDDGSWQLAICLQADHMQSFQRLFYEF >Manes.01G206000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37872111:37876446:1 gene:Manes.01G206000.v8.1 transcript:Manes.01G206000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRAVTSKQALMTDHSSQSPPIQNQTKPTPSFFCSPRFKALTFKGIPEAEAVISPTSVLDSTKSLSPFKNPFWYDMNQLKSPRVSSENQHSWDKVDSKGIGVALIDEKPDVKNDSSFSKPKNTMVLFGTSLRVQIPPLANVVLSPTGSPKSPADFGIKTRNSQLSASGYSGIQTNDSPRVLTGCISMSEIELSEDYTCVISYGPNPKTTHIFENCVLENCSISDKSNTAPESFLSFCHTCKKNLEEKNDIFIYRGEKAFCSQECRYQEMLLDGVEN >Manes.01G206000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37872111:37874905:1 gene:Manes.01G206000.v8.1 transcript:Manes.01G206000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRAVTSKQALMTDHSSQSPPIQNQTKPTPSFFCSPRFKALTFKGIPEAEAVISPTSVLDSTKSLSPFKNPFWYDMNQLKSPRVSSENQHSWDKVDSKGIGVALIDEKPDVKNDSSFSKPKNTMVLFGTSLRVQIPPLANVVLSPTGSPKSPADFGIKTRNSQLSASGYSGIQTNDSPRVLTGCISMSEIELSEDYTCVISYGPNPKTTHIFENCVLENCSISDKSNTAPESFLSFCHTCKKNLEEKNDIFIYRGEKAFCSQECRYQEMLLDGVEN >Manes.01G206000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37872111:37876446:1 gene:Manes.01G206000.v8.1 transcript:Manes.01G206000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRAVTSKQALMTDHSSQSPPIQNQTKPTPSFFCSPRFKALTFKGIPEAEAVISPTSVLDSTKSLSPFKNPFWYDMNQLKSPRVSSENQHSWDKVDSKGIGVALIDEKPDVKNDSSFSKPKNTMVLFGTSLRVQIPPLANVVLSPTGSPKSPADFGIKTRNSQLSASGYSGIQTNDSPRVLTGCISMSEIELSEDYTCVISYGPNPKTTHIFENCVLENCSISDKSNTAPESFLSFCHTCKKNLEEKNDIFIYRGEKAFCSQECRYQEMLLDGVEN >Manes.01G206000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37872111:37874905:1 gene:Manes.01G206000.v8.1 transcript:Manes.01G206000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRSRAVTSKQALMTDHSSQSPPIQNQTKPTPSFFCSPRFKALTFKGIPEAEAVISPTSVLDSTKSLSPFKNPFWYDMNQLKSPRVSSENQHSWDKVDSKGIGVALIDEKPDVKNDSSFSKPKNTMVLFGTSLRVQIPPLANVVLSPTGSPKSPADFGIKTRNSQLSASGYSGIQTNDSPRVLTGCISMSEIELSEDYTCVISYGPNPKTTHIFENCVLENCSISDKSNTAPESFLSFCHTCKKNLEEKNDIFIYRGEKAFCSQECRYQEMLLDGVEN >Manes.08G172300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40619346:40623637:-1 gene:Manes.08G172300.v8.1 transcript:Manes.08G172300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGTTDTESRGIINGENLVSKDGASNEDTMRARGETQAQVLQGLLRRLPLSSNIGRPPLNEVGEEVLALGKIACPIILTTILIYSRSVISMLFLSRMGKIELAGGSLALGFANITGLSVMKGLAMGMDPICGQAYGAKRWSVISQTYLRTLCLLLVVALPISLLWLNVEPIFLRLGQDPDITSVAKVYMVFCIPELIAQAVLHPMRSFLRTQGLTAPLTICAVGAVILHTPFNYFFAIYLNLGVKGVALAIACNTINMNIGLLIYVAVSKKPLKPWHGITATSMLQGWKPLLSLSLPSVVSVCLEWWWYEIMLFLCGLLSNPKASVAATGILIQTAGLIYSFPFSLSCSLSTRVGHALGAGQPARAQWTAIIGIILGFACGLTAAVFTAVFSSVWGKLYTDEPQILDLISTGLPLLGLCEIGNSPQTAACGVLTGTARPKDGARINLYAFYLVGLPVAVLLTFKLKMGFRGLWFGLFAAQISCVSMMLYTVYRTDWKHQAKRADELTSAAGERDDLENGLLMTDQ >Manes.08G172300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40619346:40623637:-1 gene:Manes.08G172300.v8.1 transcript:Manes.08G172300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARGETQAQVLQGLLRRLPLSSNIGRPPLNEVGEEVLALGKIACPIILTTILIYSRSVISMLFLSRMGKIELAGGSLALGFANITGLSVMKGLAMGMDPICGQAYGAKRWSVISQTYLRTLCLLLVVALPISLLWLNVEPIFLRLGQDPDITSVAKVYMVFCIPELIAQAVLHPMRSFLRTQGLTAPLTICAVGAVILHTPFNYFFAIYLNLGVKGVALAIACNTINMNIGLLIYVAVSKKPLKPWHGITATSMLQGWKPLLSLSLPSVVSVCLEWWWYEIMLFLCGLLSNPKASVAATGILIQTAGLIYSFPFSLSCSLSTRVGHALGAGQPARAQWTAIIGIILGFACGLTAAVFTAVFSSVWGKLYTDEPQILDLISTGLPLLGLCEIGNSPQTAACGVLTGTARPKDGARINLYAFYLVGLPVAVLLTFKLKMGFRGLWFGLFAAQISCVSMMLYTVYRTDWKHQAKRADELTSAAGERDDLENGLLMTDQ >Manes.15G108500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8683715:8686919:-1 gene:Manes.15G108500.v8.1 transcript:Manes.15G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHEIRPDGMMPSDTSVGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDQDEGEDY >Manes.05G026200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2299884:2301320:-1 gene:Manes.05G026200.v8.1 transcript:Manes.05G026200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSVKYTEHKKVTNKLVKCNDSNSTRVVRIYVTDGDATDSSSDENDNFISTHQRVKKHINEIRIEDCVEYATGRASSDNSKSRFNKQMLRKNTRDQRYYPEGKKYRGVRQRPWGRFAAEIRDPFRRTRVWLGTFDTAEEAAMVYDRAAIKIKGSNALTNFIKPPVRTSPPDFDIAASSGYDSGMESHSLSSPTSVLRFQFTEEVGNEPQVLNGNVSRPVGEMKEKKWDWRLDQGIEEKEWRPIQCVREEDPTDNCFEELVDIENYAPILLDEYIIPDTVLTKDFVDVDGDFGGWTLWPLDDHMLSSFCDFMSLSFQLETQNPLPIANMFVQLFFLSEISWATL >Manes.02G147300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11340192:11342866:1 gene:Manes.02G147300.v8.1 transcript:Manes.02G147300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEHEDQEEVMGLAVPAGYDTISNSSRPKMGPAGGEGASTTAAATATTNARKSGSSVRYRECLKNHAVSIGGHAVDGCGEFMAAGEEGTLDALKCAACNCHRNFHRKEIDGGGEAIYHHHQHHPQFSPFYRAPPPAGYLHLAPPHQHRPLALPAASGGGAGGAVYSREEEDVSNPSSSGGGGGGGGATGSSKKRFRTKFTLEQKDKMLDFSEKLGWRIQKHDEAAVEQFCAETGVKRHVLKVWMHNNKHTLAFTPTLSCIVPSAKEIMRKEARAYDLATSSAIDTFSERKNGKVESFDVKLVGVVT >Manes.15G131200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10466581:10468724:1 gene:Manes.15G131200.v8.1 transcript:Manes.15G131200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSCHCMSRALVFASLSSNIDDSPLPQSNTTRPHNDKDKAPIPTIKSKTRTKRRHSKLQQQLALIQMERAVGAGSYRDSEPTGSDQRTKDSILTGLSPNSGDALEGPVEKQLRETGEWIVDTTEGQFRSSGKRILMFFFQWVLPIYIFMFLVTSGIVKLPFSTPQLDDLLM >Manes.06G105500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23834490:23837698:-1 gene:Manes.06G105500.v8.1 transcript:Manes.06G105500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLASKKLLGQVPPRLSPILPRFYHENVIDHFNNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDEKTGEIVDARFKTFGCGSAIASSSVATEWVKGKQMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYQTKRAKADGTPNAAPVEQAAQA >Manes.16G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:21702562:21708697:-1 gene:Manes.16G058100.v8.1 transcript:Manes.16G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRELVFSSLISALLGSCVFGRFVVEKSSISVLSPLSLRAKHDSAIGNFGLPDYGGFLVGSAMYNEKEALGCQPFDGDKPFRSKTSRPTILLLDRGECYFALKVWNAQQAGAAAVLVVDSMDEPLITMDSPEANGNAEGYVEKIQIPSALIERSFGESLKQALKNGEDVVIKLDWRESVPHPDQRVEYELWTNSNDECGTRCDEQMDFVKSFKGHAQILEKGGYTLFTPHYITWYCPQAFILSSQCKSQCINHGRYCAPDPEQDFGEGYQGKDVVYENLRQLCVHRVANESGRSWVWWDYVTDFHIRCSMKKKRYSKECAEEVLKSLDLPVDKIKKCMGDPEADVENEVLKTEQDLQVGKGSRGDVTILPTLVINNVQYRGKLERTAVLKAICSGFKETTDPPICLSSDLETNECLERNGGCWQDKRSNVTACKDTFRGRICECPVVKGVQYKGDGYASCQAFGPARCTMDNGGCWSETKNGLTFSACSESHLSGCQCPEGFRGDGHDKCEDINECKESTACQCDDCSCKNTWGSYECKCKGDLLYIKEQDACIERNGSNFGWFLTFVVVAVVGGAGFAGYIFYKYRLRSYMDSEIMAIMSQYMPLDNQHNNEATAEAQPLRQATSV >Manes.15G000200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:455643:462103:-1 gene:Manes.15G000200.v8.1 transcript:Manes.15G000200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAEELLRKIQELEAGQAHLSQEMSKLKLTSDPKSEQFLPHRQQQPHQRSHSISPQRTGPRRRSVSGAGTGFEAVGARKKGSTTIRHSSPLRRESRSMSGTGNTNAAKDEANCGPSAIRFTDKQYLNILQCMGQSVHIFDLSGRIIYWNRTAEILYGYSAAEALGQDAIELLVDPRDFPLANNVVHRVTMGESWTGPYPVKNKMGERFTAVATSTPFFDDDGTLVGIICVSNDSRPFQEMRVTMVDSKNSEADSSFSRPRSVLTTKLGFDSQQPLQAALASKLSNLASKVSNKVKSKMRTGDNILDREGGSGDSHKSDHGEDANSSGASTPRGDLRPSHFGTFSHVEDRSPTKPSGDSGDESEGKPAIHKLLSSKAEAWMGKKGLSWPWRGNEREGSDTRTTRFMWPWLQNDQESETVHLRSPALAAKPESQANESNRTTNNEASGSWSSVNVNSTSSASSCGSTSSSAINKVDMDTDCLDYEILWEDLIIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVILSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDITRGMNYLHHCNPPIIHRDLKSSNLLVDRNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVVMWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKDVDPQWASIIESCWHSDPQRRPTFQELLEKLRDMQRKYTIQFQAARSAAGDNTQKEL >Manes.15G000200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:455643:462104:-1 gene:Manes.15G000200.v8.1 transcript:Manes.15G000200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAEELLRKIQELEAGQAHLSQEMSKLKLTSDPKSEQFLPHRQQQPHQRSHSISPQRTGPRRRSVSGAGTGFEAVGARKKGSTTIRHSSPLRRESRSMSGTGNTNAAKDEANCGPSAIRFTDKQYLNILQCMGQSVHIFDLSGRIIYWNRTAEILYGYSAAEALGQDAIELLVDPRDFPLANNVVHRVTMGESWTGPYPVKNKMGERFTAVATSTPFFDDDGTLVGIICVSNDSRPFQEMRVTMVDSKNSEADSSFSRPRSVLTTKLGFDSQQPLQAALASKLSNLASKVSNKVKSKMRTGDNILDREGGSGDSHKSDHGEDANSSGASTPRGDLRPSHFGTFSHVEDRSPTKPSGDSGDESEGKPAIHKLLSSKAEAWMGKKGLSWPWRGNEREGSDTRTTRFMWPWLQNDQESETVHLRSPALAAKPESQANESNRTTNNEASGSWSSVNVNSTSSASSCGSTSSSAINKVDMDTDCLDYEILWEDLIIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVILSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDIVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVVMWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKDVDPQWASIIESCWHSDPQRRPTFQELLEKLRDMQRKYTIQFQAARSAAGDNTQKEL >Manes.15G000200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:455643:462103:-1 gene:Manes.15G000200.v8.1 transcript:Manes.15G000200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAEELLRKIQELEAGQAHLSQEMSKLKLTSDPKSEQFLPHRQQQPHQRSHSISPQRTGPRRRSVSGAGTGFEAVGARKKGSTTIRHSSPLRRESRSMSGTGNTNAAKDEANCGPSAIRFTDKQYLNILQCMGQSVHIFDLSGRIIYWNRTAEILYGYSAAEALGQDAIELLVDPRDFPLANNVVHRVTMGESWTGPYPVKNKMGERFTAVATSTPFFDDDGTLVGIICVSNDSRPFQEMRVTMVDSKNSEADSSFSRPRSVLTTKLGFDSQQPLQAALASKLSNLASKVSNKVKSKMRTGDNILDREGGSGDSHKSDHGEDANSSGASTPRGDLRPSHFGTFSHVEDRSPTKPSGDSGDESEGKPAIHKLLSSKAEAWMGKKGLSWPWRGNEREGSDTRTTRFMWPWLQNDQESETVHLRSPALAAKPESQANESNRTTNNEASGSWSSVNVNSTSSASSCGSTSSSAINKVDMDTDCLDYEILWEDLIIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEDVILSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDITRGMNYLHHCNPPIIHRDLKSSNLLVDRNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVVMWELATEKIPWDNLNSMQLILTGDWSCRVHEPKVRDTQRRGSTVGFYY >Manes.18G078475.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7166530:7184417:-1 gene:Manes.18G078475.v8.1 transcript:Manes.18G078475.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIFNAPSTVPSVKGLGCKNYVGLKPTINNLRFNGGKTSVKTASKRVLIVRASEPHDGLTKKLGLSEAECEAAVVAGNVPEAPPVPPKPAAPAGTPVVPLLPLSRRPRRNRKSPALRASFQETNLSPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRAIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRTALDAEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIEAREDESEGADILLVKPGLPYLDIIRLLRDKSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >Manes.18G078475.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7166530:7183921:-1 gene:Manes.18G078475.v8.1 transcript:Manes.18G078475.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIFNAPSTVPSVKGLGCKNYVGLKPTINNLRFNGGKTSVKTASKRVLIVRASEPHDGLTKKLGLSEAECEAAVVAGNVPEAPPVPPKPAAPAGTPVVPLLPLSRRPRRNRKSPALRASFQETNLSPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRAIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRTALDAEGFHHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIEAREDESEGADILLVKPGLPYLDIIRLLRDKSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >Manes.06G080200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21579375:21582946:1 gene:Manes.06G080200.v8.1 transcript:Manes.06G080200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSPPNPHKRLKSVLSNSRCTVKEENHPYESSPEQEGEENQSQRNPSVSVSMNGEDSLSCGICLSDHGSAIRGQIDSCEHFFCFICIMEWAKVESRCPMCKRRFTTIRRPPKDGVFPSERIVNIPKRDQVCHFSRNTTIEPFDPYEQVQCSICRLVGDENLLLLCDLCDSASHTYCVGLGATVPEGDWFCHDCAVSRTEHDNIQKDDDNMNQELYAKSLVMLTAESHVSMYNDVVDNQNTSGNSNVLAVDEIDVSIFDIVRESDDQLRSPVFLGCERQSSLANELTQPDEIAPQFRSVEGGPHSDADNVAQTGARTLSRCRNVQGYIRALRENWNYLRSGSLRFSSSPSKSSTGKHNACAVSHDNSAVPQSKHSRDIDKAWKMMDKAKSIQQVCRRTKSVHGVSQNPRNEGNASRKAIDGRSSLYLPRIQQSRTTELGNTGTKKQYNLYSPEKKTDMHTSTNLEMQKHSKVVMKEIVECNDILPTISFPQFSVSESSWKVQTNSPSNVSDESRETIQQKNPCRPLLNFSSQQNISGHLITSVSSEPGASESLKAKEEYSGLSRCKVDIPKGDVRMEKAYAESKHREDDDAKSEIQSLVKLNLKLLSRDKQLGSVCKHSEQSQQLRKSTLMRNSCRECFYVFVKDVVSSILSEKVCPGNS >Manes.06G080200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21579375:21582946:1 gene:Manes.06G080200.v8.1 transcript:Manes.06G080200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSPPNPHKRLKSVLSNSRCTVKEENHPYESSPEQEGEENQSQRNPSVSVSMNGEDSLSCGICLSDHGSAIRGQIDSCEHFFCFICIMEWAKVESRCPMCKRRFTTIRRPPKDGVFPSERIVNIPKRDQVCHFSRNTTIEPFDPYEQVQCSICRLVGDENLLLLCDLCDSASHTYCVGLGATVPEGDWFCHDCAVSRTEHDNIQKDDDNMNQELYAKSLVMLTAESHVSMYNDVVDNQNTSGNSNVLAVDEIDVSIFDIVRESDDQLRSPVFLGCERQSSLANELTQPDEIAPQFRSVEGGPHSDADNVAQTGARTLSRCRNVQGYIRALRENWNYLRSGSLRFSSSPSKSSTGKHNACAVSHDNSAVPQSKHSRDIDKAWKMMDKAKSIQQVCRRTKSVHGVSQNPRNEGNASRKAIDGRSSLYLPRIQQSRTTELGNTGTKKQYNLYSPEKKTDMHTSTNLEMQKHSKVVMKEIVECNDILPTISFPQFSVSESSWKVQTNSPSNVSDESRETIQQKNPCRPLLNFSSQQNISGHLITSVSSEPGASESLKAKEEYSGLSRCKVDIPKGDVRMEKAYAESKHREDDDAKSEIQSLVKLNLKLLSRDKQLGKLICVHQLFYGVRGESIYVEIRLQDSFFFSFSIFFFF >Manes.06G080200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21579375:21582946:1 gene:Manes.06G080200.v8.1 transcript:Manes.06G080200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSPPNPHKRLKSVLSNSRCTVKEENHPYESSPEQEGEENQSQRNPSVSVSMNGEDSLSCGICLSDHGSAIRGQIDSCEHFFCFICIMEWAKVESRCPMCKRRFTTIRRPPKDGVFPSERIVNIPKRDQVCHFSRNTTIEPFDPYEQVQCSICRLVGDENLLLLCDLCDSASHTYCVGLGATVPEGDWFCHDCAVSRTEHDNIQKDDDNMNQELYAKSLVMLTAESHVSMYNDVVDNQNTSGNSNVLAVDEIDVSIFDIVRESDDQLRSPVFLGCERQSSLANELTQPDEIAPQFRSVEGGPHSDADNVAQTGARTLSRCRNVQGYIRALRENWNYLRSGSLRFSSSPSKSSTGKHNACAVSHDNSAVPQSKHSRDIDKAWKMMDKAKSIQQVCRRTKSVHGVSQNPRNEGNASRKAIDGRSSLYLPRIQQSRTTELGNTGTKKQYNLYSPEKKTDMHTSTNLEMQKHSKVVMKEIVECNDILPTISFPQFSVSESSWKVQTNSPSNVSDESRETIQQKNPCRPLLNFSSQQNISGHLITSVSSEPGASESLKAKEEYSGLSRCKVDIPKGDVRMEKAYAESKHREDDDAKSEIQSLVKLNLKLLSRDKQLDFCSCHD >Manes.06G080200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21579375:21582946:1 gene:Manes.06G080200.v8.1 transcript:Manes.06G080200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSPPNPHKRLKSVLSNSRCTVKEENHPYESSPEQEGEENQSQRNPSVSVSMNGEDSLSCGICLSDHGSAIRGQIDSCEHFFCFICIMEWAKVESRCPMCKRRFTTIRRPPKDGVFPSERIVNIPKRDQVCHFSRNTTIEPFDPYEQVQCSICRLVGDENLLLLCDLCDSASHTYCVGLGATVPEGDWFCHDCAVSRTEHDNIQKDDDNMNQELYAKSLVMLTAESHVSMYNDVVDNQNTSGNSNVLAVDEIDVSIFDIVRESDDQLRSPVFLGCERQSSLANELTQPDEIAPQFRSVEGGPHSDADNVAQTGARTLSRCRNVQGYIRALRENWNYLRSGSLRFSSSPSKSSTGKHNACAVSHDNSAVPQSKHSRDIDKAWKMMDKAKSIQQVCRRTKSVHGVSQNPRNEGNASRKAIDGRSSLYLPRIQQSRTTELGNTGTKKQYNLYSPEKKTDMHTSTNLEMQKHSKVVMKEIVECNDILPTISFPQFSVSESSWKVQTNSPSNVSDESRETIQQKNPCRPLLNFSSQQNISGHLITSVSSEPGASESLKAKEEYSGLSRCKVDIPKGDVRMEKAYAESKHREDDDAKSEIQSLVKLNLKLLSRDKQLEIKAFKEVARLATHTILAACGFGHSRHVIHSFPGSVCKHSEQSQQLRKSTLMRNSCRECFYVFVKDVVSSILSEKVCPGNS >Manes.15G085200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6548543:6550564:1 gene:Manes.15G085200.v8.1 transcript:Manes.15G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLFLALFVLDFIAFALALQAEHMRSTAKVVVDPEFMYNYCVYDSEIATSLGITATVLLLVSQVLTVRLACPFSFSFRKALKHGGYRTCAVILSITSWITFMAAEGCLVVGSVRNSQHTKYRTIFGDDPPYCETLRKGVFEAGAALTLHTSLFSKMNYVCCFKHQVQEGFDTDNTELGTYASI >Manes.09G141000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33980260:33980508:-1 gene:Manes.09G141000.v8.1 transcript:Manes.09G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSNLVVSIANVSANLCQYIACNPERLRSHEVLNLLFCFPLHHLGRLALSLWTYLCYNPNPANLSDFDFNDDDNDDSHSD >Manes.05G154300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26540653:26546745:-1 gene:Manes.05G154300.v8.1 transcript:Manes.05G154300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRLPVMMISLTTALSWRHPPFTFFRTQTDLISLKSVSASPRIAPLSFCYSSQISPELTGSISEDSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSAHVILSEDTRHSGKLLQYYNIRTPLLSYHKFNESQRELTVLKRLKQGEIVALISDAGMPGISDPGAELAKLCVDENIPVIPIPGPSALVAALSASGLATDEFTFVGFLSKHSRSRRERLMASADEARTQIFYVPPHKFSQFLEETSSLFGDSRQCVMAREITKIHEEFWRGTLGEAKEIFSDHQPKGEITLLIEGKMNSLVEPPSESQLENELRDLISTGHSLSMAVKMVAEKTSVRRKTIYSLALRKLGKQLEEEDSSN >Manes.05G154300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26540653:26546744:-1 gene:Manes.05G154300.v8.1 transcript:Manes.05G154300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRLPVMMISLTTALSWRHPPFTFFRTQTDLISLKSVSASPRIAPLSFCYSSQISPELTGSISEDSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSAHVILSEDTRHSGKLLQYYNIRTPLLSYHKFNESQRELTVLKRLKQGEIVALISDAGMPGISDPGAELAKLCVDENIPVIPIPGPSALVAALSASGLATDEFTFVGFLSKHSRSRRERLMASADEARTQIFYVPPHKFSQFLEETSSLFGDSRQCVMAREITKIHEEFWRGTLGEAKEIFSDHQPKGEITLLIEGKMNSLVEPPSESQLENELRDLISTGHSLSMAVKMVAEKTSVRRKTIYSLALRKLGKQLEEEDSSN >Manes.01G181600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35980630:35986979:1 gene:Manes.01G181600.v8.1 transcript:Manes.01G181600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARLTNAWRMTVNEKKFIETALASDLRIDGRNPLEYRKITVKFGREDGSSEVQLGQTHVMGFVTSQLVQPYRDRPNEGSLSIFTEFSPMADPSFEPGRPGESAVELGRIIDRGLRESRAVDTESLCVLAGKLVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECTLGGEDGQQVIVHPPEVREPLPLIVHHLPIAVTFAFFNSEHTMVIDPTHSEETVMGGRMTVTVNANGDICAIQKAGGEGVTQSDIMRCLRIASRNAESITKKIKDAVEAYNTERALRKIKRHSTSVAVNVSTSGGDVREHESKSFMQKGVSELSKYQMERLKLVSEESCTSQSNDTDGGLRSSEQGGTSCREGNATNFLGGPSSWDPYSRGVDSGSLKDSLASCGISTPNRQKGAEQKGTPIKEDEIAEDTELATDASGTEPQISGEKTLKDAVKPKKKRRKKASSSNAS >Manes.11G147850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31266438:31268114:-1 gene:Manes.11G147850.v8.1 transcript:Manes.11G147850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFAIQLLYSFSFLSLLLHILAAESHQTGEEKEFGYQLNSENGPSRWGELEPEWRTCSNGTKQSPINILKQSVKTVTHLGELDRDYRPSNATLKNKGHDMMLEWESGAGSIEINGKEYVLQQCHWHSPSEHTINGRRFAVEMHMVHKSEDGKVAVVGILFKIGNPESFLSSLRDHLKSVGGTRKAEKVVGVVDPNDIKMSRKYYRYMGSLTTPPCTENVTWTISTKVRTVSKEQVRMIRVAAHAESGSNARPIQATNGRLVQLYQPDEEDD >Manes.05G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4064647:4066982:-1 gene:Manes.05G049200.v8.1 transcript:Manes.05G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKQQIQETLPDWRDKYLSYKELKKLVRLVSSAPLLMSGSIENGKAEAEFVYLLNNEIDKFNAFFMEQEEDFIIRHKELQQRIQTVIDAWGPNGNQPSGAEYKNEMGKIRKDIINFHGEMVLLENYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLQQPFFTTDLISKLVKECEYTIDAVFPVEEEDRAREGREAITVAEDGIFRNTVAALLTMQEIRRGSSTYSCFSLPPLNLPDSDLIQSLQLNSPIPIL >Manes.13G009600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1307113:1313010:1 gene:Manes.13G009600.v8.1 transcript:Manes.13G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPETNVSAREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESIERQLDMSLKQIRSTRTQCMLDQLTDLQRKEHMLNEANKTLKQRLVEGYQINSMQLNPSAEDVGFGRQEAQPQGDGFFHPLDCEPTLQIGYQHDQITVVTAGPSMNNYMPGWLP >Manes.13G009600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1307113:1313010:1 gene:Manes.13G009600.v8.1 transcript:Manes.13G009600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPETNVSAREALQELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESIERQLDMSLKQIRSTRTQCMLDQLTDLQRKEHMLNEANKTLKQRLVEGYQINSMQLNPSAEDVGFGRQEAQPQGDGFFHPLDCEPTLQIGYQHDQITVVTAGPSMNNYMPGWLP >Manes.16G030400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:3531712:3532200:1 gene:Manes.16G030400.v8.1 transcript:Manes.16G030400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPAPATGLSLDLNAPSDSHDRWSSTAGHRFAFGEFLQTGLLKEINFNGESSGSVPNEIAATTAGGAGPPVPDTGVQPSFLGIVPRGLPIDLNEPPPLWL >Manes.08G030000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2883134:2884721:1 gene:Manes.08G030000.v8.1 transcript:Manes.08G030000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHSSSTSTSRRLEGKVALITGAASGIGESISRVFVQQGAKVLIADVQDELGHAICQEFSSEETISYVHCDVTSESDVKNAVDTAVSRYGKLDIMFNNAGIGGGPESGISTSNSENFKWVFDVNVFGSFFGAKHAARVMIPAKKGCILFTSSLVSVCFTDTASNAYTASKHAVVGLAKNLAVELGQYGIRVNSISPAGVVTPSLTKHLNLGKKEAEDLFSSAGNLKGVVLEPEDVANAALYLASDESKYVSGINLVVDGGYSLTNPSLPNALKSLSS >Manes.15G176052.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18503395:18506129:1 gene:Manes.15G176052.v8.1 transcript:Manes.15G176052.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESRRNLRQGKTLYKGPCHATNPIDRCWRCKDNWSNQRKRLAECGLGFGRRAVGGKAGKIYEVTNNRDDNVAEPIPGTLRHAVIQKEPLWIIFARDMNIKLSKELIVNDNKTIDGRGANVHIAYGAGITIQFVSNVIIHGIRIHHIGPASGGMIRDAPDHCGLRTQSDGDGISIFGSSNIWIDHVSMSRCHDGLIDAIQGSTGITISNSHFTHHNDVILLGASDSFSGDQFMQVTVAFNHFGQGLVQRMPRCRWGFFHVVNNDYTHWQLYAIGGSMHPTIISQGNKFIAPNNIYLKQVTKRDYATEAEWKKWIWRSEGDLFMNGAYFVESGQKLSTTPSKKYLIKAKPGVYASKITRFAGALDCKRGKKC >Manes.15G176052.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18503395:18506129:1 gene:Manes.15G176052.v8.1 transcript:Manes.15G176052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVANKLVLIFVVSFVIIIPYLEAADMVQYDDVWKRRAQEAKKNMMITYIPNPLEVTQEFDSQFNQDKEKNGTWDSDEKWEIGIGDSSNNGTWDSDDKWKNETWDSSKNGTRDSGTEKEMNESRRNLRQGKTLYKGPCHATNPIDRCWRCKDNWSNQRKRLAECGLGFGRRAVGGKAGKIYEVTNNRDDNVAEPIPGTLRHAVIQKEPLWIIFARDMNIKLSKELIVNDNKTIDGRGANVHIAYGAGITIQFVSNVIIHGIRIHHIGPASGGMIRDAPDHCGLRTQSDGDGISIFGSSNIWIDHVSMSRCHDGLIDAIQGSTGITISNSHFTHHNDVILLGASDSFSGDQFMQVTVAFNHFGQGLVQRMPRCRWGFFHVVNNDYTHWQLYAIGGSMHPTIISQGNKFIAPNNIYLKQVTKRDYATEAEWKKWIWRSEGDLFMNGAYFVESGQKLSTTPSKKYLIKAKPGVYASKITRFAGALDCKRGKKC >Manes.15G076400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5766516:5767860:1 gene:Manes.15G076400.v8.1 transcript:Manes.15G076400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCGDGCCRCCCSFIFTLGLTALFMWLSLRPSKPKCILKQFYIPALNKTLNPPGNTTLFFQLRLENTNKDKGVYYDPVNVTFFDSPNKSHFIGNFNIPKFYQGHKKKATKGGNFSPSGVDWKAVSLAVSNGSAVFHVNMATWVRYKIMAWKTKRHRIMVGADVKISNEGTQVNPKKGIKLSSGQEMIGKSYGKTIVILLITLFA >Manes.09G100772.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29886429:29890175:1 gene:Manes.09G100772.v8.1 transcript:Manes.09G100772.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILGKITVETPAYEVIKSTSEYEIRKYAPLVLAEFTYDPSQFKGNKDGGFMVLANYIGAVGNPQNNKPEKIAMTAPVITKTGGEKIAMTAPVVTKEGAEGKKLVTMQFLLPAKYKKAEDAPRPTDERVVIREEGEKKYGVVKFGGVATDKVVEEKVEKLKQSLERDGHKVAGEFVLARYNPPWTLPPFRTNEIQQFTVSASQLKNQQLKS >Manes.09G100772.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:29886429:29890175:1 gene:Manes.09G100772.v8.1 transcript:Manes.09G100772.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILGKITVETPAYEVIKSTSEYEIRKYAPLVLAEFTYDPSQFKGNKDGGFMVLANYIGAVGNPQNNKPEKIAMTAPVITKTGGEKIAMTAPVVTKEGAEGKKLVTMQFLLPAKYKKAEDAPRPTDERVVIREEGEKKYGVVKFGGVATDKVVEEKVEKLKQSLERDGHKVAGEFVLARYNPPWTLPPFRTNEVMIPIE >Manes.09G100772.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29888156:29890175:1 gene:Manes.09G100772.v8.1 transcript:Manes.09G100772.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILGKITVETPAYEVIKSTSEYEIRKYAPLVLAEFTYDPSQFKGNKDGGFMVLANYIGAVGNPQNNKPEKIAMTAPVITKTGGEKIAMTAPVVTKEGAEGKKLVTMQFLLPAKYKKAEDAPRPTDERVVIREEGEKKYGVVKFGGVATDKVVEEKVEKLKQSLERDGHKVAGEFVLARYNPPWTLPPFRTNEIQQFTVSASQLKNQQLKS >Manes.10G059450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8147057:8147479:1 gene:Manes.10G059450.v8.1 transcript:Manes.10G059450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRCKLRKENSININLENETENNVNQNFQETQELHQNQASNFQGNTSQKTMRYYYEGHFIFSPNRIYENGRFMEKPNFDVDFISFLIYWMT >Manes.02G019800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1795540:1800671:1 gene:Manes.02G019800.v8.1 transcript:Manes.02G019800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFTSQLHALSSHLSFLSFTSSAFKPIPSILKFPFPSPRNPPPPLAIRPDGKFYPTPSEDDPPEAPEDSAHGVSKFAQIHIQAARARKIQEEDFKKNQSTYLNAIAETEDPSVSSNSASDKNSGDDLFGEIDKAIALKREEFIKQGLIRPRTNKENEELEGIEELELEEVVDLDEINELQGLRVVDAESDEDDASSFDEGIRENGKREHRGFTLDPSFDLDFDSYGKGKTTIIEPKFRMSLAELLDESKVVPVSVAGDLEEEITGIQHDSRMVSAGDLFVCCVGRRTDGHLYLTEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNAILPALAAAFYKYPSKNMAVIGITGTYGKTTTAYLIKGMYGAMGLRTGMLSTVAYYVHGDNKLESPSTTPDAVLVQNMMAKMLYNGTEAVVMETSSHGLALGRCDEVDFDIAVFTNLTGDHLDFHGTEEEYKNANAKLFARMVDPDRHRKIVNIDDPNASFFIAQGNPDVPVVTFAMEDKSAHVHPLKFELSLFETQVLVNTPHGILEISSGLLGKHNIYNILAAVAVGVAVGAPLEDIVRGIEEIDAVPGRCELIDEEQAFGVIVDYAQTPDALSRLLDSVRELGPKRIITVIGCVGEGDRAKRPLMAKIATDKSEVTMLTSDNPKNEDPLEILDDMLAGVGWTMQDYLKYGENDYYPPLPNGHRLFLHDIRRVAVRCAVAMGEEGDVVVVTGKGHETYQIEGEKKELFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >Manes.05G126500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:22766892:22769900:1 gene:Manes.05G126500.v8.1 transcript:Manes.05G126500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAENSSRRNSNTQLLEELEALSQSLYQTHTTTNRRTASLALPRTSVPSLASVDETTSIKVYDKSTSRPRSRRLSLSPWRSRPKPDDDDAKTKPSNQPSAKKLDETATSNEKKGIWNWKPIRALSRIGMQKLSCLFSVEVVAIQSLPASMNGLRLSVCIRKKETKDGTVHTMPSRVSQGAADFEETLFVKCNVYCTPGNGNQLKFEPRPFWIYAFAVDAGELDFGRGTVDLSQLIQESMEKNQEGTRIRQWDTSFNLSGKAKGGELVLKLGFQIMEKDGGVDIYSQADKLKPSKSRNFTSSFGRKQSKTSFSIPSPRMTSRSEAWTPSQTKSAADLQGIDDLNLDELGPVPSSPPPVQKSQVPEPKIEELEFPEFDVVDKGVEIQDKEESEVNVETKSASSIEIVKEMVHDQVHLTRLNELDSIAQQIKALESMMGEEKIVRIEDEIESQKLDADEETVTREFLQMLEAEEINTYKFNRPEIPPIQLGETDDSADSESKVYLSDLGKGLGCVVQTRNGGYLASMNPLDTVVVRKDTPKLAMQISKPIIIPTHKSMSGFELFQKMAAIGFEELSSQILSLMPMDELIGKTAEQIAFEGIASAIIQGRNKEVASSSAARTITSVKNMGNAINTGRKGRILTGIWNVDENPLTAEEILAFSLQKLEAMSVEGLKIQAEIAEEDAPFEVSPLNGKTSTSGERENNNLLASAIPLEDWIKNYSPSTSDGESGDPATITVAVVVQLRDPLRRYEAVGGPVVALIQATSTDDKEDNYNEEKKFKVTSLHVGGLKLRKGGKRNMWDAERQRLTAMQWLVAYGPGKGGKRGKHVLAKRQDLLWSTSSRIMADMWLKPMRNPDVKFTG >Manes.14G030100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2726737:2727752:1 gene:Manes.14G030100.v8.1 transcript:Manes.14G030100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYRKQTIKVSISIIDAVPLYFAIFILGLILLASIRESSSTSEPVKGNLLLGRPCDEIYVVGEGETLHTISDKCGDPFIVEHNPHIHDPDDVFPGLVIKITPSKPRKLSR >Manes.07G071716.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:13309555:13318785:1 gene:Manes.07G071716.v8.1 transcript:Manes.07G071716.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSLMLLLLVLVLIIHSHGADSNASCIKSERDALLNFKKCLTDPSNRLGSWVGEDCCLWEGISCSNRNGHVTKLDLKWKKFAVGANFVGKIPHFLGNLSSLQVLDLSHNLLTVDSLFFASTLTSLKYLDLNSLDLSKVDDWLSSINMLPSLMELRLSSCALHSFPSFLHVNFTSLAVLDLSSNYFNSTIPHWFSNISNIQNLDLSGSALRGSQPSELSDASFLKLLHFCNLLELDLGSNSFSGEIGELFGNSSGCVHSNLRKLDLSSNNFRGSLPDKLEIFKHLEYLDLSDNYLLGPIPESVGRISSLKELSLNFNRLNGSIPASLGQLSKLKSLNIGNNLLKGSVPDVGQLSKLEILDMSNNLLNGSIPQSLGQLSKLDVLDIHNNSLDCIVSELHFSQLKSLTQLVMYGNSIVFDIEPTWVAPFQLQSLYLSSCKVGPKFPQWLISQTNNSLEVLDLYNTSIFDAIPDWFESISSNIHWLDLSHNQITKHLPKLTRTSSDGSTRVIYLNSNKFEGPLTAFPPDVKVLDISDNFLWGQIPQKIGKMMPNLDFLSLSNNHLNGSIPNSLCKMTDLSYLDLSRNQLSGALPQYWQLGMQFVVFDVGSNNLSGHIPVSLGSLAGLESLHLENNNLKGNIPTSLKNLGNLLTLDLSENAFTGAIPPWIGENLSSLAILSIHSNMFEGEIPPQLCRLASLRVLNIAKNKVTGTLPRCFGNFTSMIVDDQGFIDSWLSSFSFPVLFQMPDRWTAYQEHVLAYMKGTELLYNKTLVFLFSIDVSDNDLFGEIPNELVNLSQIQNLNLSGNNFKGQIPLQIGKLKSLESLDLSRNELSGSIPPSISALNFLSYLNLSFNNLSGPIPHGNQLQTLDDKSIYIGNSKLCGPPLESCQEMEPPGHGKPVEGSNKDDEFDMLWFYCGLGVGFMAGFVGVCSTLYFKTPWRRTSFQLVDKVFNYLWVIVAIKINQLRRKFSRGESRDQQP >Manes.07G071716.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13309555:13318877:1 gene:Manes.07G071716.v8.1 transcript:Manes.07G071716.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSLMLLLLVLVLIIHSHGADSNASCIKSERDALLNFKKCLTDPSNRLGSWVGEDCCLWEGISCSNRNGHVTKLDLKWKKFAVGGEISHSLLNLTHLTYLDLSANDFSDIGIPSFLGSFKMLKYLKLSAANFVGKIPHFLGNLSSLQVLDLSHNLLTVDSLFFASTLTSLKYLDLNSLDLSKVDDWLSSINMLPSLMELRLSSCALHSFPSFLHVNFTSLAVLDLSSNYFNSTIPHWFSNISNIQNLDLSGSALRGSQPSELSDASFLKLLHFCNLLELDLGSNSFSGEIGELFGNSSGCVHSNLRKLDLSSNNFRGSLPDKLEIFKHLEYLDLSDNYLLGPIPESVGRISSLKELSLNFNRLNGSIPASLGQLSKLKSLNIGNNLLKGSVPDVGQLSKLEILDMSNNLLNGSIPQSLGQLSKLDVLDIHNNSLDCIVSELHFSQLKSLTQLVMYGNSIVFDIEPTWVAPFQLQSLYLSSCKVGPKFPQWLISQTNNSLEVLDLYNTSIFDAIPDWFESISSNIHWLDLSHNQITKHLPKLTRTSSDGSTRVIYLNSNKFEGPLTAFPPDVKVLDISDNFLWGQIPQKIGKMMPNLDFLSLSNNHLNGSIPNSLCKMTDLSYLDLSRNQLSGALPQYWQLGMQFVVFDVGSNNLSGHIPVSLGSLAGLESLHLENNNLKGNIPTSLKNLGNLLTLDLSENAFTGAIPPWIGENLSSLAILSIHSNMFEGEIPPQLCRLASLRVLNIAKNKVTGTLPRCFGNFTSMIVDDQGFIDSWLSSFSFPVLFQMPDRWTAYQEHVLAYMKGTELLYNKTLVFLFSIDVSDNDLFGEIPNELVNLSQIQNLNLSGNNFKGQIPLQIGKLKSLESLDLSRNELSGSIPPSISALNFLSYLNLSFNNLSGPIPHGNQLQTLDDKSIYIGNSKLCGPPLESCQEMEPPGHGKPVEGSNKDDEFDMLWFYCGLGVGFMAGFVGVCSTLYFKTPWRRTSFQLVDKVFNYLWVIVAIKINQLRRKFSRGESRDQQP >Manes.07G071716.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13309555:13318877:1 gene:Manes.07G071716.v8.1 transcript:Manes.07G071716.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSLMLLLLVLVLIIHSHGADSNASCIKSERDALLNFKKCLTDPSNRLGSWVGEDCCLWEGISCSNRNGHVTKLDLKWKKFAVGGEISHSLLNLTHLTYLDLSANDFSDIGIPSFLGSFKMLKYLKLSAANFVGKIPHFLGNLSSLQVLDLSHNLLTVDSLFFASTLTSLKYLDLNSLDLSKVDDWLSSINMLPSLMELRLSSCALHSFPSFLHVNFTSLAVLDLSSNYFNSTIPHWFSNISNIQNLDLSGSALRGSQPSELSDASFLKLLHFCNLLELDLGSNSFSGEIGELFGNSSGCVHSNLRKLDLSSNNFRGSLPDKLEIFKHLEYLDLSDNYLLGPIPESVGRISSLKELSLNFNRLNGSIPASLGQLSKLKSLNIGNNLLKGSVPDVGQLSKLEILDMSNNLLNGSIPQSLGQLSKLDVLDIHNNSLDCIVSELHFSQLKSLTQLVMYGNSIVFDIEPTWVAPFQLQSLYLSSCKVGPKFPQWLISQTNNSLEVLDLYNTSIFDAIPDWFESISSNIHWLDLSHNQITKHLPKLTRTSSDGSTRVIYLNSNKFEGPLTAFPPDVKVLDISDNFLWGQIPQKIGKMMPNLDFLSLSNNHLNGSIPNSLCKMTDLSYLDLSRNQLSGALPQYWQLGMQFVVFDVGSNNLSGHIPVSLGSLAGLESLHLENNNLKGNIPTSLKNLGNLLTLDLSENAFTGAIPPWIGENLSSLAILSIHSNMFEGEIPPQLCRLASLRVLNIAKNKVTGTLPRCFGNFTSMIVDDQGFIDSWLSSFSFPVLFQMPDRWTAYQEHVLAYMKGTELLYNKTLVFLFSIDVSDNDLFGEIPNELVNLSQIQNLNLSGNNFKGQIPLQIGKLKSLESLDLSRNELSGSIPPSISALNFLSYLNLSFNNLSGPIPHGNQLQTLDDKSIYIGNSKLCGPPLESCQEMEPPGHGKPVEGSNKDDEFDMLWFYCGLGVGFMAGFVGVCSTLYFKTPWRRTSFQLVDKVFNYLWVIVAIKINQLRRKFSRGESRDQQP >Manes.07G071716.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:13309555:13318785:1 gene:Manes.07G071716.v8.1 transcript:Manes.07G071716.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSLMLLLLVLVLIIHSHGADSNASCIKSERDALLNFKKCLTDPSNRLGSWVGEDCCLWEGISCSNRNGHVTKLDLKWKKFAVGANFVGKIPHFLGNLSSLQVLDLSHNLLTVDSLFFASTLTSLKYLDLNSLDLSKVDDWLSSINMLPSLMELRLSSCALHSFPSFLHVNFTSLAVLDLSSNYFNSTIPHWFSNISNIQNLDLSGSALRGSQPSELSDASFLKLLHFCNLLELDLGSNSFSGEIGELFGNSSGCVHSNLRKLDLSSNNFRGSLPDKLEIFKHLEYLDLSDNYLLGPIPESVGRISSLKELSLNFNRLNGSIPASLGQLSKLKSLNIGNNLLKGSVPDVGQLSKLEILDMSNNLLNGSIPQSLGQLSKLDVLDIHNNSLDCIVSELHFSQLKSLTQLVMYGNSIVFDIEPTWVAPFQLQSLYLSSCKVGPKFPQWLISQTNNSLEVLDLYNTSIFDAIPDWFESISSNIHWLDLSHNQITKHLPKLTRTSSDGSTRVIYLNSNKFEGPLTAFPPDVKVLDISDNFLWGQIPQKIGKMMPNLDFLSLSNNHLNGSIPNSLCKMTDLSYLDLSRNQLSGALPQYWQLGMQFVVFDVGSNNLSGHIPVSLGSLAGLESLHLENNNLKGNIPTSLKNLGNLLTLDLSENAFTGAIPPWIGENLSSLAILSIHSNMFEGEIPPQLCRLASLRVLNIAKNKVTGTLPRCFGNFTSMIVDDQGFIDSWLSSFSFPVLFQMPDRWTAYQEHVLAYMKGTELLYNKTLVFLFSIDVSDNDLFGEIPNELVNLSQIQNLNLSGNNFKGQIPLQIGKLKSLESLDLSRNELSGSIPPSISALNFLSYLNLSFNNLSGPIPHGNQLQTLDDKSIYIGNSKLCGPPLESCQEMEPPGHGKPVEGSNKDDEFDMLWFYCGLGVGFMAGFVGVCSTLYFKTPWRRTSFQLVDKVFNYLWVIVAIKINQLRRKFSRGESRDQQP >Manes.07G071716.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13309555:13318878:1 gene:Manes.07G071716.v8.1 transcript:Manes.07G071716.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSLMLLLLVLVLIIHSHGADSNASCIKSERDALLNFKKCLTDPSNRLGSWVGEDCCLWEGISCSNRNGHVTKLDLKWKKFAVGGEISHSLLNLTHLTYLDLSANDFSDIGIPSFLGSFKMLKYLKLSAANFVGKIPHFLGNLSSLQVLDLSHNLLTVDSLFFASTLTSLKYLDLNSLDLSKVDDWLSSINMLPSLMELRLSSCALHSFPSFLHVNFTSLAVLDLSSNYFNSTIPHWFSNISNIQNLDLSGSALRGSQPSELSDASFLKLLHFCNLLELDLGSNSFSGEIGELFGNSSGCVHSNLRKLDLSSNNFRGSLPDKLEIFKHLEYLDLSDNYLLGPIPESVGRISSLKELSLNFNRLNGSIPASLGQLSKLKSLNIGNNLLKGSVPDVGQLSKLEILDMSNNLLNGSIPQSLGQLSKLDVLDIHNNSLDCIVSELHFSQLKSLTQLVMYGNSIVFDIEPTWVAPFQLQSLYLSSCKVGPKFPQWLISQTNNSLEVLDLYNTSIFDAIPDWFESISSNIHWLDLSHNQITKHLPKLTRTSSDGSTRVIYLNSNKFEGPLTAFPPDVKVLDISDNFLWGQIPQKIGKMMPNLDFLSLSNNHLNGSIPNSLCKMTDLSYLDLSRNQLSGALPQYWQLGMQFVVFDVGSNNLSGHIPVSLGSLAGLESLHLENNNLKGNIPTSLKNLGNLLTLDLSENAFTGAIPPWIGENLSSLAILSIHSNMFEGEIPPQLCRLASLRVLNIAKNKVTGTLPRCFGNFTSMIVDDQGFIDSWLSSFSFPVLFQMPDRWTAYQEHVLAYMKGTELLYNKTLVFLFSIDVSDNDLFGEIPNELVNLSQIQNLNLSGNNFKGQIPLQIGKLKSLESLDLSRNELSGSIPPSISALNFLSYLNLSFNNLSGPIPHGNQLQTLDDKSIYIGNSKLCGPPLESCQEMEPPGHGKPVEGSNKDDEFDMLWFYCGLGVGFMAGFVGVCSTLYFKTPWRRTSFQLVDKVFNYLWVIVAIKINQLRRKFSRGESRDQQP >Manes.07G071716.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13309551:13318878:1 gene:Manes.07G071716.v8.1 transcript:Manes.07G071716.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSLMLLLLVLVLIIHSHGADSNASCIKSERDALLNFKKCLTDPSNRLGSWVGEDCCLWEGISCSNRNGHVTKLDLKWKKFAVGGEISHSLLNLTHLTYLDLSANDFSDIGIPSFLGSFKMLKYLKLSAANFVGKIPHFLGNLSSLQVLDLSHNLLTVDSLFFASTLTSLKYLDLNSLDLSKVDDWLSSINMLPSLMELRLSSCALHSFPSFLHVNFTSLAVLDLSSNYFNSTIPHWFSNISNIQNLDLSGSALRGSQPSELSDASFLKLLHFCNLLELDLGSNSFSGEIGELFGNSSGCVHSNLRKLDLSSNNFRGSLPDKLEIFKHLEYLDLSDNYLLGPIPESVGRISSLKELSLNFNRLNGSIPASLGQLSKLKSLNIGNNLLKGSVPDVGQLSKLEILDMSNNLLNGSIPQSLGQLSKLDVLDIHNNSLDCIVSELHFSQLKSLTQLVMYGNSIVFDIEPTWVAPFQLQSLYLSSCKVGPKFPQWLISQTNNSLEVLDLYNTSIFDAIPDWFESISSNIHWLDLSHNQITKHLPKLTRTSSDGSTRVIYLNSNKFEGPLTAFPPDVKVLDISDNFLWGQIPQKIGKMMPNLDFLSLSNNHLNGSIPNSLCKMTDLSYLDLSRNQLSGALPQYWQLGMQFVVFDVGSNNLSGHIPVSLGSLAGLESLHLENNNLKGNIPTSLKNLGNLLTLDLSENAFTGAIPPWIGENLSSLAILSIHSNMFEGEIPPQLCRLASLRVLNIAKNKVTGTLPRCFGNFTSMIVDDQGFIDSWLSSFSFPVLFQMPDRWTAYQEHVLAYMKGTELLYNKTLVFLFSIDVSDNDLFGEIPNELVNLSQIQNLNLSGNNFKGQIPLQIGKLKSLESLDLSRNELSGSIPPSISALNFLSYLNLSFNNLSGPIPHGNQLQTLDDKSIYIGNSKLCGPPLESCQEMEPPGHGKPVEGSNKDDEFDMLWFYCGLGVGFMAGFVGVCSTLYFKTPWRRTSFQLVDKVFNYLWVIVAIKINQLRRKFSRGESRDQQP >Manes.07G071716.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13309483:13312978:1 gene:Manes.07G071716.v8.1 transcript:Manes.07G071716.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLMELRLSSCALHSFPSFLHVNFTSLAVLDLSSNYFNSTIPHWFSNISNIQNLDLSGSALRGSQPSELSDASFLKLLHFCNLLELDLGSNSFSGEIGELFGNSSGCVHSNLRKLDLSSNNFRGSLPDKLEIFKHLEYLDLSDNYLLGPIPESVGRISSLKELSLNFNRLNGSIPASLGQLSKLKSLNIGNNLLKGSVPDVGQLSKLEILDMSNNLLNGSIPQSLGQLSKLDVLDIHNNSLDCIVSELHFSQLKSLTQLVMYGNSIVFDIEPTWVAPFQLQSLYLSSCKVGPKFPQWLISQTNNSLEVLDLYNTSIFDAIPDWFESISSNIHWLDLSHNQITKHLPKLTRTSSDGSTRVIYLNSNKFEGPLTAFPPDVKVLDISDNFLWGQIPQKIGKMMPNLDFLSLSNNHLNGSIPNSLCKMTDLSYLDLSRNQLSGALPQYWQLGMQFVVFDVGSNNLSGHIPVSLGSLAGLESLHLENNNLKGNIPTSLKNLGNLLTLDLSENAFTGAIPPWIGENLSSLAILSIHSNMFEGEIPPQLCRLASLRVLNIAKNKVTGTLPRCFGNFTSMIVDDQGFIDSWLSSFSFPVLFQMPDRWTAYQEHVLAYMKGTELLYNKTLVFLFSIDVSDNDLFGEIPNELVNLSQIQNLNLSGNNFKGQIPLQIGKLKSLESLDLSRNELSGSIPPSISALNFLSYLNLSFNNLSGPIPHGNQLQTLDDKSIYIGNSKLCGPPLESCQEMEPPGHGKPVEGSNKDDEFDMLWFYCGLGVGFMAGFVGVCSTLYFKTPWRRTSFQLVDKVFNYLWVIVAIKINQLRRKFSRGESRDQQP >Manes.11G046367.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:4959565:4960125:1 gene:Manes.11G046367.v8.1 transcript:Manes.11G046367.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWASKGERKEASTKELIECFKTLEGELGDKAYFGGESFGYVDVALLIPFYSMFYAFEKLGNFSMAVESPKLLEWAQRCLQKESVAKSLCEPSKVYEVVLEIRKKLGAE >Manes.S030716.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:717373:718185:1 gene:Manes.S030716.v8.1 transcript:Manes.S030716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G168700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29416243:29420472:1 gene:Manes.03G168700.v8.1 transcript:Manes.03G168700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTLFDLMEFWKKPSITETFVDILLCAVPIWLAVMIGLVIGWSWRPRWTGLVFLGLRSKFRFLWTAPPGFGARRLWLAFTALSAFSVCRTIWSNFKGKNGKSSATAATSASTQPASARNPGEGGGDSISSHGEPEEGEKIVTENDLEHLLHLLEGKDGQMEWQSMMERSTTNMAYQAWRLEPQTGPTVYRSRTVYEDATAELVRDFFWDDEFRLKWDPMLAYFKILEEFPRTGIMIVHWIKKFPFFCSDREYIIGKRIWEAGKTYYCITKGVPYPGLPKRDKPRRVEHYFSSWVIRAVESRKGDGQMSACEVILLHYEDMGIPKDVAKLGVRHGMWGTVKKIHSGMRAYQNARKTEASLSRSALMASITTKISFDESADPSEPTTHEEDKGEVVDIKRDKDHGIDWKWIVVGGAVALVCGLHSGAVGKALLLGAGQRIARR >Manes.15G126600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10086282:10089132:1 gene:Manes.15G126600.v8.1 transcript:Manes.15G126600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQDDFKLLKIQTFVLKVNIHCEGCKQKVKKLLQRIEGVYQVNIDADQQKVTVSGSVDSATLIKKLVRAGKHAEVWSQKSNQNQNQKQNDYCIKDDKNNKTQKQGIIKGLEGLKNQRKFPAFSSEEDDDYFDGDDENDEEDELRFLSPSHLGLLRQQIEASNGKKNIRAMAAAPNNGNKMNNNVGNGNAQKKGNPNPNQNMVLKVNPGGIDQKAMAALKVNNAQLGGGNISSGEGKRANDIATMMNLAGFHGNGANFANSAAALGCNPNGLGGFQQVQSNIGYQGSLAGGLPGGGYAAGQHPSSMLMSMNGYNHTAAAASAMMNMQNRHILQQQQQPQPQMMYHRSTFVPSNTGYYYNYSPAAYPYIEQPNYNVSNSAATHTFNDETTSSCSIM >Manes.02G103000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8065897:8069518:-1 gene:Manes.02G103000.v8.1 transcript:Manes.02G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANQVRASHILVKHEGSRRKASWKDPEGHIIKNTTREAAVTQLKVFRDDIISGKAKFEDIASRFSDCSSAKRGGDLGPFGRGQMQKPFEDATYALKVGEISDIVDTDSGVHIIMRTG >Manes.10G083455.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20690185:20697652:1 gene:Manes.10G083455.v8.1 transcript:Manes.10G083455.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYMRSNVDLKDKWRNMSVMANGWSSREKSKLAVKRVHHHVPKQEENPMSLYTVAQSDEDVSDTKSTVIANSLMQTGGPKRTTVRLDNLIMEAITSLKEPGGSNKTTITAYIEEQYWPPHDFKRILSAKLKYLTSNGKLIKVKRKYRIAPTSTFSDRRGNSSMSFLEGRERISPRVERDDFNMLTKSQIDLELAKMRMMTAQEAAAAAARAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMKTLKSRTPPNMMVRA >Manes.10G083455.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20689927:20697652:1 gene:Manes.10G083455.v8.1 transcript:Manes.10G083455.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYMRSNVDLKDKWRNMSVMANGWSSREKSKLAVKRVHHHVPKQEENPMSLYTVAQSDEDVSDTKSTVIANSLMQTGGPKRTTVRLDNLIMEAITSLKEPGGSNKTTITAYIEEQYWPPHDFKRILSAKLKYLTSNGKLIKVKRKYRIAPTSTFSDRRGNSSMSFLEGRERISPRVERDDFNMLTKSQIDLELAKMRMMTAQEAAAAAARAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMKTLKSRTPPNMMVRA >Manes.10G083455.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:20689927:20697652:1 gene:Manes.10G083455.v8.1 transcript:Manes.10G083455.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYMRSNVDLKDKWRNMSVMANGWSSREKSKLAVKRVHHHVPKQEENPMSLYTVAQSDEDVSDTKSTVIANSLMQTGGPKRTTVRLDNLIMEAITSLKEPGGSNKTTITAYIEEQYWPPHDFKRILSAKLKYLTSNGKLIKVKRKYRIAPTSTFSDRRGNSSMSFLEGRERISPRVERDDFNMLTKSQIDLELAKMRMMTAQEAAAAAARAVAEAEAAIAEAEEAAREAEAAEADAEAAQAFAEAAMKTLKSRTPPNMMVRA >Manes.07G063442.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11186452:11191203:-1 gene:Manes.07G063442.v8.1 transcript:Manes.07G063442.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSFFRKSSISRLLRSYTITGTRLDPSTTTAAIHSIMKHQTTQTKAYFRFPQIFRKSREFNEFSPPFFTSPFSSSSSTPSSSTVPATFVGWYLAMVKSRPILTKSVTSSLIYVAADLSSQTIARPVLEPYDLVRTLRMAGYGMLILGPSLHFWFNFVSKLFPKRDLITTFKKIIMGQTLYGPAMTVLFFSLNAGLQGENGAEIIARLKRDLLPTMVNGVMYWPICDFITFKFIPVHLQPLVSNSFSYLWTVYMTYMAGLEKVNSNRLTAD >Manes.16G128950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33038191:33039158:1 gene:Manes.16G128950.v8.1 transcript:Manes.16G128950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFCISLLLLRSSFVLSVQTVESWRCAILLDFQLITGWTYFLDRHMLRYWDYVLGELSVCFHSVLQPVRSCLNKIYICIGKKKGVK >Manes.12G065100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6394113:6396283:-1 gene:Manes.12G065100.v8.1 transcript:Manes.12G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHHHHPHISSPNTAQKRHKFCINDHIDILIEILKRLDGRSLGVAACVCRLWCTIARNDSLWEDLCFRHVSPPPSGVRAVVVALGGYKRLYMVCVRPVLSRLGRRLKLGDSDRVGRVWTRDEVQLSLSLFCIDYYERLGRLGGGGGGGDASASSLMFLCKPVNV >Manes.03G077500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13768104:13769629:1 gene:Manes.03G077500.v8.1 transcript:Manes.03G077500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRCCTKQKVKKGLWSPEEDEKLINHITTYGHGSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSAQEEQIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLISQGLDPKTHNLIPSRQRSNNKFAQAQAIILQSHQQPFSIITVNSQMRDVSMEMNSPILTPPAAPPDITQQPSSLQTSSGPSIFTSGDHQNPNILWTANGRQNSLDSAVFPSIQSTLISRASSPVNGLLDENFSWGSNPIGENFEAPRMEVVKAQEQENNQAKENVDAANGVQDMDASFDSSCFGLDFVESTLFSSSMCRELSSMDDLAWNF >Manes.02G079300.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6175607:6179764:1 gene:Manes.02G079300.v8.1 transcript:Manes.02G079300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIDLEVEAFSENDSDVSSQVASNLSTHENSAGPSNDSLTNCSNLTNAVAVPSGSEPVSLDLTLCSNNDELGRRESIGLSLSSTSESSNEPATLTTAAAIPRVFSCNYCQRQFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLNGSSRSLGIKAHSSVHQNFTPLVRPQDNRNSARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHQGFVLTGNPNMNFLGETPSAEIDDSTPDLTLKL >Manes.02G079300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6175606:6179065:1 gene:Manes.02G079300.v8.1 transcript:Manes.02G079300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIDLEVEAFSENDSDVSSQVASNLSTHENSAGPSNDSLTNCSNLTNAVAVPSGSEPVSLDLTLCSNNDELGRRESIGLSLSSTSESSNEPATLTTAAAIPRVFSCNYCQRQFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLNGSSRSLGIKAHSSVHQNFTPLVRPQDNRNSARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHQGFVLTGNPNMNFLGETPSAEIDDSTPDLTLKL >Manes.02G079300.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6175781:6179888:1 gene:Manes.02G079300.v8.1 transcript:Manes.02G079300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIDLEVEAFSENDSDVSSQVASNLSTHENSAGPSNDSLTNCSNLTNAVAVPSGSEPVSLDLTLCSNNDELGRRESIGLSLSSTSESSNEPATLTTAAAIPRVFSCNYCQRQFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLNGSSRSLGIKAHSSVHQNFTPLVRPQDNRNSARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHQGFVLTGNPNMNFLGETPSAEIDDSTPDLTLKL >Manes.02G079300.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6175607:6179887:1 gene:Manes.02G079300.v8.1 transcript:Manes.02G079300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIDLEVEAFSENDSDVSSQVASNLSTHENSAGPSNDSLTNCSNLTNAVAVPSGSEPVSLDLTLCSNNDELGRRESIGLSLSSTSESSNEPATLTTAAAIPRVFSCNYCQRQFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLNGSSRSLGIKAHSSVHQNFTPLVRPQDNRNSARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHQGFVLTGNPNMNFLGETPSAEIDDSTPDLTLKL >Manes.02G079300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6175606:6179764:1 gene:Manes.02G079300.v8.1 transcript:Manes.02G079300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIDLEVEAFSENDSDVSSQVASNLSTHENSAGPSNDSLTNCSNLTNAVAVPSGSEPVSLDLTLCSNNDELGRRESIGLSLSSTSESSNEPATLTTAAAIPRVFSCNYCQRQFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLNGSSRSLGIKAHSSVHQNFTPLVRPQDNRNSARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHQGFVLTGNPNMNFLGETPSAEIDDSTPDLTLKL >Manes.02G079300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6175607:6179069:1 gene:Manes.02G079300.v8.1 transcript:Manes.02G079300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIDLEVEAFSENDSDVSSQVASNLSTHENSAGPSNDSLTNCSNLTNAVAVPSGSEPVSLDLTLCSNNDELGRRESIGLSLSSTSESSNEPATLTTAAAIPRVFSCNYCQRQFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLNGSSRSLGIKAHSSVHQNFTPLVRPQDNRNSARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHQGFVLTGNPNMNFLGETPSAEIDDSTPDLTLKL >Manes.02G079300.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6175794:6179065:1 gene:Manes.02G079300.v8.1 transcript:Manes.02G079300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIDLEVEAFSENDSDVSSQVASNLSTHENSAGPSNDSLTNCSNLTNAVAVPSGSEPVSLDLTLCSNNDELGRRESIGLSLSSTSESSNEPATLTTAAAIPRVFSCNYCQRQFFSSQALGGHQNAHKRERTLAKRAMRMGIFSERYVSLASLPLNGSSRSLGIKAHSSVHQNFTPLVRPQDNRNSARFDQGYLGLPIFVDDDEAELLWPGSFRQVAEADDTHQGFVLTGNPNMNFLGETPSAEIDDSTPDLTLKL >Manes.06G098750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23266126:23266760:1 gene:Manes.06G098750.v8.1 transcript:Manes.06G098750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPLPLPPVYVRSHSLLSLRGRCTLGISLVLFVCFPTGVWKLTFIHVFCVGIGVTLNNLTLIQVDNQLTSELQTLHLAAARTGGSSDSYSASTEIREL >Manes.04G154600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35000278:35002851:1 gene:Manes.04G154600.v8.1 transcript:Manes.04G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAAPPSHHATQQFLSSVLSQRGPSSLPYTEDTKWLIRQHLLSLIATYPSLEPKTATFTHNDGRSVNLLQADGTIPMPYQGVTYNIPIIVWLMDSYPRHPPCVYVNPTRDMIIKRPHPYVNPSGLVSVPYLQNWIYPSSNLVDLVRELSGFFGRDPPLYSQRRPNPNPSPSPSPSPSPSPNFAANPSNLSHSSTFGSLGPTGGHAGFPRPMNRPYPPSPYSGGSSGGAPGRPQTEDAAEVYKRNAINKIVETVHGDIMQLRKARELEMEGLFSAQAVLRRREEEINKGLKEMQDDKEGLEAQLQFVLMNTDVLEAWVRENKEKSKGNAGDLDVDNMFECADALSKQMLDCTAADLAIEDTVYSLDKAVQEGAVPFDQYLRNVRLLSREQFFHRATATKVRAVQMQAQVASMAARAPHFAS >Manes.04G154600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:35000278:35002851:1 gene:Manes.04G154600.v8.1 transcript:Manes.04G154600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAAPPSHHATQQFLSSVLSQRGPSSLPYTEDTKWLIRQHLLSLIATYPSLEPKTATFTHNDGRSVNLLQADGTIPMPYQGVTYNIPIIVWLMDSYPRHPPCVYVNPTRDMIIKRPHPYVNPSGLVSVPYLQNWIYPSSNLVDLVRELSGFFGRDPPLYSQRRPNPNPSPSPSPSPSPSPNFAANPSNLSHSSTFGSLGPTGGHAGFPRPMNRPYPPSPYSGGSSGGAPGRPQTEDAAEVYKRNAINKIVETVHGDIMQLRKARELEMEGLFSAQAVLRRREEEINKGLKEMQDDKEGLEAQLQFVLMNTDVLEAWVRENKEKSKGNAGDLDVDNMFECADALSKQMLDCTAADLAIEDTVYSLDKAVQEGAVPFDQYLRNVRLLSREQFFHRATATKVRAVQMQAQVASMAARAPHFAS >Manes.13G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5342694:5346942:-1 gene:Manes.13G045400.v8.1 transcript:Manes.13G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHKVVLNVYDLSQGLARQLSTTFLGKAIEGIWHTGVVVYGNEYFFGGGIQHEPAGRTPYGTPIKVVDLGITHVPQDVFELYLQEISPRYTAETYSLLTHNCNNFSNEVAQFLVGATIPEYILQLPNEVLSSPMGALIMPMIQNLETTLRVGAVPQVPQFRPQPSPAAANAKQFSVNGPTQSTNATVRAVNEEVKSEDTKSEEPTAPEKSVPPVVKPSVVVEEQSVNGVADPLGNARSKVQDEIGREFAAIMATGTLRASEAAALATRRVMQRYGNLNVAVNQS >Manes.13G047100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5476260:5493045:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAGTVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRTGHNSEHQRQNMAPELHMQGNNQGVPAFSGLTSTFTNQTTPPAVQAYPGHPQQQHQMPSQQSHVMSNPHHPNPQGANHTTGQQQAYAIRFAKERQMQQRLLQQQQFASSGALMTHAQPQPQLPISSSMQNSSQIQPQTSSQPVSLPPLTPSSPMTPVQQQQKHALTHPGISRNSQTVASGLNNQMGKQKPRQPQQFQQSGRIHPQQRQHSQSPQQAKLLKGMGRGNMVVHQSVPIDHSHLNGLSVPSGNQSAEKGEHIMHLMQGPGLYSGSGLGLTQPSKQLIASQSSNQSQPQQKLFSGSAPPSSKQMQQMPSHSDNTAQGHVPSVTSGHAHSAAHQALPAAIVTSNHQHMQPQLHQKQTGQAQPTVQRMLQQNRLLNSDPPTKSQIDKSHTEQQLASNVLQMGTSTTTSISQSCNDQTNLVVSSVASQCKLFEPSCDSAMTNPASQVGSIRSPPLTNSAGSDAVVSVNPGLGQRQLSGGLPQHGSAGAQWQQQPQLSQSTTQQPPLPQPQHEQQSPRQQHSQQQTQNLQSAQGSLYMMSTNPPLE >Manes.13G047100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5477491:5493045:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAGTVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRTGHNSEHQRQNMAPELHMQGNNQGVPAFSGLTSTFTNQTTPPAVQAYPGHPQQQHQMPSQQSHVMSNPHHPNPQGANHTTGQQQAYAIRFAKERQMQQRLLQQQQFASSGALMTHAQPQPQLPISSSMQNSSQIQPQTSSQPVSLPPLTPSSPMTPVQQQQKHALTHPGISRNSQTVASGLNNQMGKQKPRQPQQFQQSGRIHPQQRQHSQSPQQAKLLKGMGRGNMVVHQSVPIDHSHLNGLSVPSGNQSAEKGEHIMHLMQGPGLYSGSGLGLTQPSKQLIASQSSNQSQPQQKLFSGSAPPSSKQMQQMPSHSDNTAQGHVPSVTSGHAHSAAHQALPAAIVTSNHQHMQPQLHQKQTGQAQPTVQRMLQQNRLLNSDPPTKSQIDKSHTEQQLASNVLQMGTSTTTSISQSCNDQTNLVVSSVASQCKLFEPSCDSAMTNPASQVGSIRSPPLTNSAGSDAVVSVNPGLGQRQLSGGLPQHGSAGAQWQQQPQLSQSTTQQPPLPQPQHEQQSPRQQHSQQQTQNLQSAQGSLYMMSTNPPLE >Manes.13G047100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5477847:5493045:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRLCRHILAIPSSSIKCLHNNPM >Manes.13G047100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5476257:5493045:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRTGHNSEHQRQNMAPELHMQGNNQGVPAFSGLTSTFTNQTTPPAVQAYPGHPQQQHQMPSQQSHVMSNPHHPNPQGANHTTGQQQAYAIRFAKERQMQQRLLQQQQFASSGALMTHAQPQPQLPISSSMQNSSQIQPQTSSQPVSLPPLTPSSPMTPVQQQQKHALTHPGISRNSQTVASGLNNQMGKQKPRQPQQFQQSGRIHPQQRQHSQSPQQAKLLKGMGRGNMVVHQSVPIDHSHLNGLSVPSGNQSAEKGEHIMHLMQGPGLYSGSGLGLTQPSKQLIASQSSNQSQPQQKLFSGSAPPSSKQMQQMPSHSDNTAQGHVPSVTSGHAHSAAHQALPAAIVTSNHQHMQPQLHQKQTGQAQPTVQRMLQQNRLLNSDPPTKSQIDKSHTEQQLASNVLQMGTSTTTSISQSCNDQTNLVVSSVASQCKLFEPSCDSAMTNPASQVGSIRSPPLTNSAGSDAVVSVNPGLGQRQLSGGLPQHGSAGAQWQQQPQLSQSTTQQPPLPQPQHEQQSPRQQHSQQQTQNLQSAQGSLYMMSTNPPLE >Manes.13G047100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5476262:5493045:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAGTVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRTGHNSEHQRQNMAPELHMQGNNQGVPAFSGLTSTFTNQTTPPAVQAYPGHPQQQHQMPSQQSHVMSNPHHPNPQGANHTTGQQQAYAIRFAKERQMQQRLLQQQQFASSGALMTHAQPQPQLPISSSMQNSSQIQPQTSSQPVSLPPLTPSSPMTPVQQQQKHALTHPGISRNSQTVASGLNNQMGKQKPRQPQQFQQSGRIHPQQRQHSQSPQQAKLLKGMGRGNMVVHQSVPIDHSHLNGLSVPSGNQSAEKGEHIMHLMQGPGLYSGSGLGLTQPSKQLIASQSSNQSQPQQKLFSGSAPPSSKQMQQMPSHSDNTAQGHVPSVTSGHAHSAAHQALPAAIVTSNHQHMQPQLHQKQTGQAQPTVQRMLQQNRLLNSDPPTKSQIDKSHTEQQLASNVLQMGTSTTTSISQSCNDQTNLVVSSVASQCKLFEPSCDSAMTNPASQVGSIRSPPLTNSAGSDAVVSVNPGLGQRQLSGGLPQHGSAGAQWQQQPQLSQSTTQQPPLPQPQHEQQSPRQQHSQQQTQNLQSAQGSLYMMSTNPPLE >Manes.13G047100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5476257:5493045:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRLCRHILAIPSSSIKCLHNNPM >Manes.13G047100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5476258:5493045:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAGTVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRLCRHILAIPSSSIKCLHNNPM >Manes.13G047100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5476251:5493273:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAGTVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRTGHNSEHQRQNMAPELHMQGNNQGVPAFSGLTSTFTNQTTPPAVQAYPGHPQQQHQMPSQQSHVMSNPHHPNPQGANHTTGQQQAYAIRFAKERQMQQRLLQQQQFASSGALMTHAQPQPQLPISSSMQNSSQIQPQTSSQPVSLPPLTPSSPMTPVQQQQKHALTHPGISRNSQTVASGLNNQMGKQKPRQPQQFQQSGRIHPQQRQHSQSPQQAKLLKGMGRGNMVVHQSVPIDHSHLNGLSVPSGNQSAEKGEHIMHLMQGPGLYSGSGLGLTQPSKQLIASQSSNQSQPQQKLFSGSAPPSSKQMQQMPSHSDNTAQGHVPSVTSGHAHSAAHQALPAAIVTSNHQHMQPQLHQKQTGQAQPTVQRMLQQNRLLNSDPPTKSQIDKSHTEQQLASNVLQMGTSTTTSISQSCNDQTNLVVSSVASQCKLFEPSCDSAMTNPASQVGSIRSPPLTNSAGSDAVVSVNPGLGQRQLSGGLPQHGSAGAQWQQQPQLSQSTTQQPPLPQPQHEQQSPRQQHSQQQTQNLQSAQGSLYMMSTNPPLE >Manes.13G047100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5477847:5493045:1 gene:Manes.13G047100.v8.1 transcript:Manes.13G047100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCDSGYTLLVNAEVDSMGGVVDGGVGIGIKTSPRRAAIERAQAELRQEYDIREERRRELEFLEKGGNPLDFKFGSAASVSVQSTSLTDHHIEHFVTSEAKGSFALTASPHGDSVESSGRPGAPTVCEPNSADNFGENQILEGKRNPKHPSRRTHIAPSEQSSQMDGTQNAKESEDSAIVRPYARRNRSRPNRDGARSSSTDIIQSSGGYGSFFKVHGGLREVKGSISEAINRKDQIIPSVSYPKSTTSNGDVISRIQIANPQSNTELDGAVAREATTSLPKGSRLDDSEIDISKHNQHDKPSEVDAKKMSIGMASVECNSIGEKEMVISAAPEYPPGAAAAKTENENGSSRSNGFGDLKRDRNEGQNDSGGIGTKGLDSESSCTQNSLCLDVNNESDFRTNGRNSDINGISLKRTPESEGTQNPVAGEMGNKSNEIKAMDSSVVIKDDDSVVNQNNPGNGLVLEMDEEIQGRSDLKNDLKCPNLVGVEQNDLAASEADKKLYVVLDSDSNLNNEIICPGGPQGPVDVSIQELPESTLSEKHSSAALDPQSCSGSHLIVVDKANEDSILEEARIIEAKRKRIAELSVGIVPLESCKKSHWDFVLEEMMWLANDFAQERLWKMTAAAQICHQVAFTSRVRVEEQNQHWKLKKVAYTLAEAVMQFWHSAEMLLNKDGQSSSLTYCMQDSRRIYGNEFSKDKFKELDKEACKELGIQNPGKKLACLIQGYAVRFLKCNSAAVPSLQADAPATPDRIADSGIIQTSWEDHLTEESLFYAVPSGAMETYRSSIESHLVQCEKTGTSMQEEVDTSTYDAVFGYHENAYNEEEGETSTYYLQGVFEGSKSKHDQKKRKNSMKLGCHLPYGFCTTGSQPNTSMGKRPANNLHVGPIIKRVRSVAYRQRFISPFNAGVAGGLQTLAKADASSGDTSSFQDDQSTLNGGSQIQKSVEVESAGDFEKQLPYDYAETSIKPKKKKIKHHMGPNYEQVWQLDSTVHNEQRGNSKKRFDSNHFDSNGASGLLYGQHIAKKPKKQSMDGTFDNMAPMTGSVPSPAASQMSNMPKIIKFIAGRDRGRKPKSLKVPTGQLGFGSPWSLFEDQALVVLAHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDKGAGDGADSADDLVSSQSYPSTLPGIPKGSARQLFQRLQGPMEEETLKSHFEKIIMIGKKYIYRNQNDNQDPKQIVAVHSSHIHALDQVPTNKNGGALTPLDLCDATASSPDVLPVGYQSSHASGLPMPNQGAVGSMLSTSGLNSSLQVSSGVVLGNNSTSSVPLNAPIRDGRCSVPRTSLPVDEQQRMQHYNQMLSNRSLQQSNLPVSGALSGADRGVRMLPGGNPVSVMSGMSRSMQLMRPGFQGVASSSSMVNSSSMLSSSMVGMSTPVNMQSGSISGQGNSMMRSRESLHLMRTGHNSEHQRQNMAPELHMQGNNQGVPAFSGLTSTFTNQTTPPAVQAYPGHPQQQHQMPSQQSHVMSNPHHPNPQGANHTTGQQQAYAIRFAKERQMQQRLLQQQQFASSGALMTHAQPQPQLPISSSMQNSSQIQPQTSSQPVSLPPLTPSSPMTPVQQQQKHALTHPGISRNSQTVASGLNNQMGKQKPRQPQQFQQSGRIHPQQRQHSQSPQQAKLLKGMGRGNMVVHQSVPIDHSHLNGLSVPSGNQSAEKGEHIMHLMQGPGLYSGSGLGLTQPSKQLIASQSSNQSQPQQKLFSGSAPPSSKQMQQMPSHSDNTAQGHVPSVTSGHAHSAAHQALPAAIVTSNHQHMQPQLHQKQTGQAQPTVQRMLQQNRLLNSDPPTKSQIDKSHTEQQLASNVLQMGTSTTTSISQSCNDQTNLVVSSVASQCKLFEPSCDSAMTNPASQVGSIRSPPLTNSAGSDAVVSVNPGLGQRQLSGGLPQHGSAGAQWQQQPQLSQSTTQQPPLPQPQHEQQSPRQQHSQQQTQNLQSAQGSLYMMSTNPPLE >Manes.01G054100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:22088279:22090446:-1 gene:Manes.01G054100.v8.1 transcript:Manes.01G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIMSPSSPSSLFSFCQESSPSLQQRLHVIIQSGAGWWVYAIFWQASKDAGDRLVLTWADGNFHGSKDFVTEPNNKHIQQKFGLNLEKKLSMEFLAPLGDAMDMDRLIEVDHTNCELFYTVSSTRSFTIGEGILGQAFHSGGFIWLTGDHELQLYECERVKEARLHGILTLACVSTSCGVVELGSSVVIEEDWSLVQLCQSLFGADIACLLSKQPSCKSHLQIPDRSTFHIGMFSSPQKETSPGKQHKGDIKRDPSGSGQGRSSSDSGPPYSEGNYAAGNTNQFQTRGRKPNVKELPLHHVEAERKRRERLNHRFYALRSVVPNVSKMDKASLLADAVTYIKDLKAKVDDLEAKLNTQSNKSKMISANVYENQSTDCMIDPLMPSSSYRANKSMAVDVKIVGSQAMIRVQSLDVNYPAARLMDALRELDFQVHHASFSSINEMVLQDVIVSIPEGLISEKIMESIIFKKMQN >Manes.14G091300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7555430:7557892:1 gene:Manes.14G091300.v8.1 transcript:Manes.14G091300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIADCVATLLGLPFPLAYMNLSETERSKITTGLNYGSSSCGILPETGSSEGYCLTLDKQIDLFKSTTNNDMLRENLEMHLSKSIFFISIGPNDYFLNYFKNSSDISKLFSPDEFAKFLINELAKRLQILYELGARKFLLNGIGPVGCTPGMINSTQHEGECVEFINKVVNLYNVELSTKLLCLQSQLPGSLFTHSDNFRLFQDMKESHETYGITNINSTCWIPNQDLCPERDKYLFFDAFHTSGGANMIHARRCFNETSICIPLNIMQLALA >Manes.04G079900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28180098:28182979:1 gene:Manes.04G079900.v8.1 transcript:Manes.04G079900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLNSPCWECVFSVLDMHGVAFALTDVKPAQTSNKQWKLWVHANKGTTLEFPASKLSMVESLAYIYEVSKNMGFRLPAILRAKQILQRSSSANQTAPIAMDVPKGYLAVYVGEKRKKRFVIPVSYLNKPSFQDLLIQAEEEFGYDHPMGGLTIPCRENMFIDVISCLNCS >Manes.07G090000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28229684:28231115:-1 gene:Manes.07G090000.v8.1 transcript:Manes.07G090000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWLAHGHLSPFLELSKKLANRNFHVYFCSTPVNLDSIKPKLSPEYHFSIQFVELHLPSSPELPSHYHTTNGLPPHLMKTLKKAFDMASSSFFNILKTLNPDLLIYDFLQPWAPALASSLNIPAVNFLCSSMAMSCFGLNLNKNKEIKFLFPEIYPRDYMEMKLFRVFESSSNQIKDGERAGRCIDQSFHVILAKTFRELEGKYIDYVSVKCNKKIVPVGPLVEDTIHEDDEKTMDHHHHHHDEVIKWLEKKERSTTVFVSFGSEYFLSKEEMEEIAHGLELSKVNFIWVVRFPKGEKINLEESLPEGYLERIQERGKIVEGWAPQRKILGHSSIGGFVSHCGWSSIMESMKLGVPIIAMPMNLDQPINSRIVEAAGVGIEVSRNQSGELEREEMAKTIRKVVVEREGVYVRRKAREMSDVLRKKGEEEIDGVVDELVQLCDMKTNYL >Manes.01G135000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32797844:32799461:1 gene:Manes.01G135000.v8.1 transcript:Manes.01G135000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVVRSVSHHRPSAVFLSSKSNRLGRRTTASVISFRQATTTVSSTPENPHNMDKTQNPDEKTEWGDVMSHSFGEGYATRSDEEGFGGIYGGNQSERKEKIHENHPGAREVK >Manes.01G135000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32797845:32799460:1 gene:Manes.01G135000.v8.1 transcript:Manes.01G135000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVVRSVSHHRPSAVFLSSKSNRLGRRTTASVISFRQATTTVSSTPENPHNMDKTQNPDEKTEWGDVMSHSFGEGYATRSDEEGFGGIYGGNQSERKEKIHENHPDYDRSQGSEVNEKEKSRHQSNNPP >Manes.01G135000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32798086:32798817:1 gene:Manes.01G135000.v8.1 transcript:Manes.01G135000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLNLDKFADCKGTETYIYRSASSGNPSFKTMNHVVRSVSHHRPSAVFLSSKSNRLGRRTTASVISFRQATTTVSSTPENPHNMDKTQNPDEKTEWGDVMSHSFGEGYATRSDEEGFGGIYGGNQSERKEKIHENHPDYDRSQGSEVNEKEKSRHQSNNPP >Manes.01G135000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32797844:32799461:1 gene:Manes.01G135000.v8.1 transcript:Manes.01G135000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVVRSVSHHRPSAVFLSSKSNRLGRRTTASVISFRQATTTVSSTPENPHNMDKTQNPDEKTEWGDVMSHSFGEGYATRSDEEGFGGIYGGNQSERKEKIHENHPGIYAVFFL >Manes.01G135000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32797845:32799460:1 gene:Manes.01G135000.v8.1 transcript:Manes.01G135000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVVRSVSHHRPSAVFLSSKSNRLGRRTTASVISFRQATTTVSSTPENPHNMDKTQNPDEKTEWGDVMSHSFGEGYATRSDEEGFGGIYGGNQSERKEKIHENHPDYDRSQGSEVNEKEKSRHQSNNPP >Manes.01G135000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32797844:32799461:1 gene:Manes.01G135000.v8.1 transcript:Manes.01G135000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVVRSVSHHRPSAVFLSSKSNRLGRRTTASVISFRQATTTVSSTPENPHNMDKTQNPDEKTEWGDVMSHSFGEGYATRSDEEGFGGIYGGNQSERKEKIHENHPGAREVK >Manes.01G135000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32797844:32799461:1 gene:Manes.01G135000.v8.1 transcript:Manes.01G135000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVVRSVSHHRPSAVFLSSKSNRLGRRTTASVISFRQATTTVSSTPENPHNMDKTQNPDEKTEWGDVMSHSFGEGYATRSDEEGFGGIYGGNQSERKEKIHENHPGIYAVFFL >Manes.04G137800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:33737384:33738145:-1 gene:Manes.04G137800.v8.1 transcript:Manes.04G137800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSSAFQPIKAQFPEQEQLKCPRCDSTNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGSRKNAKRPSNQKRSNPDSNTNTDPARLNRRVPEPSSSSTPPPTTTTPLTSTSQFVANGISDLGDPTRLYGLEADQDRKILDMSGSFSSLLASNGQYGSIFEGLNPNGSGLKMVHMGKFGEDLNSGLNAGSGQSTGLDVQGSNTNDGGGSGGGSGGGESYLQSGDWGNSNGWPDLAIYTPGSSFQ >Manes.18G108500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10721125:10726985:1 gene:Manes.18G108500.v8.1 transcript:Manes.18G108500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSITVKLGFLCFVFLDVLLLFMADDQCKVHHYEFFLKETNFTKLCRTKTMLTVNDSFPGPVITVHKGDLVYFNIHNQAKYGVTIHWHGVKQPRNPWSDGPENITQCPIQAGTNFTQEIILSDEEGTLWWHAHSDWSRATVHGAFVILPPHVNYPFPTPDFQQIIVLGSWYRGDVMAIYEEAIETGGNPNISDAHTINGFTGNSTDCPTGETFRMKVTSGKTYLLRIVNAVMNEEQFFGIAKHNLTLVGMDGAYTQPLTTNYLMVTPGQTMDVLFTANQTPTRYYMVSTPFSDGFAEVDNTTAKGIIEYLGDYTPSSTIISLNLPELNNTTAAFHFTAALKSLATSEHPINVPKDITRHIFIAISLNVLPCPTGKFCKGPSVDDNQTILAASLNNVSFSTPKVDILEAYYRNINNIYTEDFPNSLEVFNYTGDVTNISQYTTRGTKVIMLNYGEAVEIVLQGTAIFSPENHPMHLHGFSFYVVGIGSGNFDNSSNPHHYNLENPPRVNTIGVPKSGWVAIRFFANNPGVWFMHCHLERHASWGMDTVFIVKNGCTPQTSIRKPPAYMPPCFAS >Manes.03G189400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30864911:30872858:-1 gene:Manes.03G189400.v8.1 transcript:Manes.03G189400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPTSPGAPRPMLHDMEIRPPMFEDPSSSALWDWGDLLDFTVDDQFPISFDSVDDSTNIEVNHIEATPVPDRVRKRDPRLTCSNFLAGRVPCACPELDEKLEEEEVVPGKKRARTTRSTAGVARCQVPGCEADISELKGYHKRHRVCLRCANASSVVLDGESKRYCQQCGKFHLLSDFDEGKRSCRRKLERHNNRRRRKSHDSKGAADKEPQRELLSEDAACDGEAGKDCQIVEKEAMVESEDGNISSLHSAPNSQNVNSDSGLSVGSPNPKDGVKDDSKFLHSPFNCDNKSSYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYVRPGCTILTAFLSMPTFMWAKLFEDPVSYVHDFVITPGKMLSKRSPMLVYLNNMIFRVMQDGNSVLKVNLEGQAPRLHYVHPSCFEAGKPIEFVACGSNLLQPKFRLLVSFAGKYLKYDYCVALPRGPTEGCSSLDHQLCKIYIPHVDPNVFGPAFIEVENESGLSNFIPVLIGNEEICSEMERIQHRLDSSQQASGSQCKVSTHRQMAFSELVVDIAWLIKKPSLESFQQKMSSSQIQRLNSLLNFLLHHESAAILDKILQNLKIILDEMEKKRVLYGISDSDMSLLQKHMDSASNILQQKVKQSDDVDERDFRGCSESDMPSVASFNNEDLEKSSNGKLGIMENSSAVVKSDRIPLLSKDVVMNVNLSKERPNKSCSLVFSNRLMKSRPAIFLIATFTICLGVCAILVHPNQVSKFAVSIRRCLTDRF >Manes.03G189400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30864911:30872858:-1 gene:Manes.03G189400.v8.1 transcript:Manes.03G189400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLYLMERARDTVNSVGSKRSCRRKLERHNNRRRRKSHDSKGAADKEPQRELLSEDAACDGEAGKDCQIVEKEAMVESEDGNISSLHSAPNSQNVNSDSGLSVGSPNPKDGVKDDSKFLHSPFNCDNKSSYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYVRPGCTILTAFLSMPTFMWAKLFEDPVSYVHDFVITPGKMLSKRSPMLVYLNNMIFRVMQDGNSVLKVNLEGQAPRLHYVHPSCFEAGKPIEFVACGSNLLQPKFRLLVSFAGKYLKYDYCVALPRGPTEGCSSLDHQLCKIYIPHVDPNVFGPAFIEVENESGLSNFIPVLIGNEEICSEMERIQHRLDSSQQASGSQCKVSTHRQMAFSELVVDIAWLIKKPSLESFQQKMSSSQIQRLNSLLNFLLHHESAAILDKILQNLKIILDEMEKKRVLYGISDSDMSLLQKHMDSASNILQQKVKQSDDVDERDFRGCSESDMPSVASFNNEDLEKSSNGKLGIMENSSAVVKSDRIPLLSKDVVMNVNLSKERPNKSCSLVFSNRLMKSRPAIFLIATFTICLGVCAILVHPNQVSKFAVSIRRCLTDRF >Manes.03G189400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30864911:30872858:-1 gene:Manes.03G189400.v8.1 transcript:Manes.03G189400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLYLMERARDTVNSVGSKFHLLSDFDEGKRSCRRKLERHNNRRRRKSHDSKGAADKEPQRELLSEDAACDGEAGKDCQIVEKEAMVESEDGNISSLHSAPNSQNVNSDSGLSVGSPNPKDGVKDDSKFLHSPFNCDNKSSYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYVRPGCTILTAFLSMPTFMWAKLFEDPVSYVHDFVITPGKMLSKRSPMLVYLNNMIFRVMQDGNSVLKVNLEGQAPRLHYVHPSCFEAGKPIEFVACGSNLLQPKFRLLVSFAGKYLKYDYCVALPRGPTEGCSSLDHQLCKIYIPHVDPNVFGPAFIEVENESGLSNFIPVLIGNEEICSEMERIQHRLDSSQQASGSQCKVSTHRQMAFSELVVDIAWLIKKPSLESFQQKMSSSQIQRLNSLLNFLLHHESAAILDKILQNLKIILDEMEKKRVLYGISDSDMSLLQKHMDSASNILQQKVKQSDDVDERDFRGCSESDMPSVASFNNEDLEKSSNGKLGIMENSSAVVKSDRIPLLSKDVVMNVNLSKERPNKSCSLVFSNRLMKSRPAIFLIATFTICLGVCAILVHPNQVSKFAVSIRRCLTDRF >Manes.03G189400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30864911:30872860:-1 gene:Manes.03G189400.v8.1 transcript:Manes.03G189400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSPTSPGAPRPMLHDMEIRPPMFEDPSSSALWDWGDLLDFTVDDQFPISFDSVDDSTNIEVNHIEATPVPDRVRKRDPRLTCSNFLAGRVPCACPELDEKLEEEEVVPGKKRARTTRSTAGVARCQVPGCEADISELKGYHKRHRVCLRCANASSVVLDGESKRYCQQCGKFHLLSDFDEGKRSCRRKLERHNNRRRRKSHDSKGAADKEPQRELLSEDAACDGEAGKDCQIVEKEAMVESEDGNISSLHSAPNSQNVNSDSGLSVGSPNPKDGVKDDSKFLHSPFNCDNKSSYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYVRPGCTILTAFLSMPTFMWAKLFEDPVSYVHDFVITPGKMLSKRSPMLVYLNNMIFRVMQDGNSVLKVNLEGQAPRLHYVHPSCFEAGKPIEFVACGSNLLQPKFRLLVSFAGKYLKYDYCVALPRGPTEGCSSLDHQLCKIYIPHVDPNVFGPAFIEVENESGLSNFIPVLIGNEEICSEMERIQHRLDSSQQKHMDSASNILQQKVKQSDDVDERDFRGCSESDMPSVASFNNEDLEKSSNGKLGIMENSSAVVKSDRIPLLSKDVVMNVNLSKERPNKSCSLVFSNRLMKSRPAIFLIATFTICLGVCAILVHPNQVSKFAVSIRRCLTDRF >Manes.13G017700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1721553:1726282:-1 gene:Manes.13G017700.v8.1 transcript:Manes.13G017700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTSGLESAAPLFGVTSELVDGFSTVPSFELPVTTDFDGFQKEAIQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGVLDSGYRYDLSIEEAAELARRAIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYKYYPVMPSTVEQEMVEVAGA >Manes.01G156200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34247961:34251375:-1 gene:Manes.01G156200.v8.1 transcript:Manes.01G156200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATDVSRQIPAWEVGCNSSNGSLPVVGNEHNDASENLSQSTTGKPPRNLSCIRHCSSTAILHNPELDVGIVGLKSPSTENSGYLPIFRSGSCSDKGPKQYMEDEYIRVDNLHQYLSTAVFDGHGGTDAASFTRKNILKFIVEDSQFPSGTKKAIKSAFVKADHALADSKSVDSSSGTTALTALILGRTMLIANAGDSRAVLGKRGRAVELSKDHKPNCTSERLRIERLGGVIYDGYLDGQLSVARALGDWHIKGAEGSKSPLSAEPEFEEINLTEEDEFLIIGCDGLWDVMSSQFAVTIVRKELMIHNDPEQCSKALVKEAIRRNSCDNLTVVVVCFSPDPPPKIEMPRSHKRRSISAEGLDLLKGVLDNAI >Manes.01G156200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34247961:34251375:-1 gene:Manes.01G156200.v8.1 transcript:Manes.01G156200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATDVSRQIPAWEVGCNSSNGSLPVVGNEHNDASENLSQSTTGKPPRNLSCIRHCSSTAILHNPELDVGIVGLKSPSTENSGYLPIFRSGSCSDKGPKQYMEDEYIRVDNLHQYLSTAVNCPVSGAFYGVFDGHGGTDAASFTRKNILKFIVEDSQFPSGTKKAIKSAFVKADHALADSKSVDSSSGTTALTALILGRTMLIANAGDSRAVLGKRGRAVELSKDHKPNCTSERLRIERLGGVIYDGYLDGQLSVARALGDWHIKGAEGSKSPLSAEPEFEEINLTEEDEFLIIGCDGLWDVMSSQFAVTIVRKELMIHNDPEQCSKALVKEAIRRNSCDNLTVVVVCFSPDPPPKIEMPRSHKRRSISAEGLDLLKGVLDNAI >Manes.01G156200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34247961:34251376:-1 gene:Manes.01G156200.v8.1 transcript:Manes.01G156200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATDVSRQIPAWEVGCNSSNGSLPVVGNEHNDASENLSQSTTGKPPRNLSCIRHCSSTAILHNPVFDGHGGTDAASFTRKNILKFIVEDSQFPSGTKKAIKSAFVKADHALADSKSVDSSSGTTALTALILGRTMLIANAGDSRAVLGKRGRAVELSKDHKPNCTSERLRIERLGGVIYDGYLDGQLSVARALGDWHIKGAEGSKSPLSAEPEFEEINLTEEDEFLIIGCDGLWDVMSSQFAVTIVRKELMIHNDPEQCSKALVKEAIRRNSCDNLTVVVVCFSPDPPPKIEMPRSHKRRSISAEGLDLLKGVLDNAI >Manes.15G164000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13723033:13729250:1 gene:Manes.15G164000.v8.1 transcript:Manes.15G164000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPELKARHVAATETVNSLRERLKQKRLLLLDTDVAGYARARGKSPISFGATDLVCCRTLQGHTGKVYSLDWTAEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNSPIDKDGNLPISKMLSGHKGYISSCQYVPDEDTHLITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSINGSNLRMFVSGSCDSTARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYHQQHRDNEVPQVTSIAFSVSGRLLFAGYSNGDCYVWDTLLAQVVLDLGSLQNSHEGRISCLGLSADGSALCTGSYDTNLKIWAFGGHRKVI >Manes.S045416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1363026:1366329:1 gene:Manes.S045416.v8.1 transcript:Manes.S045416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.01G018000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4312281:4314066:1 gene:Manes.01G018000.v8.1 transcript:Manes.01G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQRVAAGTHILALPMPFQGHINPMLQFSKRLASKGLKVTLLNFTDKQWSKSAEHGSVNIELIFDDTLFSAVSGQEDDDIMSGYAYLKNLYAAVKRRLPEVVAKHGESGYPISCLVYDSLMPWALDIAKQLGLFGAVLFTQSCAVNQIYCEVYQGKLKVPVEKAHVELEGMPPLEISDLPTFLYDLENYPISLSLSTSQFFNIEEADWVFFNTFNSLEDEGLKWMGRQYSITPIGPTIPSTYMDKRVKDNKDYGLSLFKPDTESCMDWLDSRETCSVVYVSFGSLSTLKEKQIEEIACGLKRSNHYFLWVVREIEKEKIPSSFLDETSEKGLVVTWCPQLQVLAHKAVGCFMTHCGWNSTLEAMSLGVPMVAMPQWADQTTNAKFVTDVWRVGVRVKVGEEGIVAKEEIDLRIREAMEGETAIEIRKNCQKWEKLAKEAVDEGGNSEKNIEKFVAKLAT >Manes.11G155301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32198651:32201027:-1 gene:Manes.11G155301.v8.1 transcript:Manes.11G155301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNSLSFTFLLTALIFSVANAQPLAPAMFIFGDSVVDAGNNNHLYTIVKANFPPYGRDFINHQPTGRFCNGKLASDFTAENIGFTSYPPAYLSKEAQGTNLLIGANFASGASGFYDPTAKLYHAIPLTQQLQYYKEYQNKIVGIAGKTNASSIISGAIYLVSAGASDFVQNYYINPLLYKKYTPDQFSDILMQSYANFIQDLYKLGARKIGVTSLPPLGCLPAAITIFGSDSNECVAKLNKDAISFNNKLNATSQRLINKLSGLNLLVFDIYQPLYDLVTKPSDNGFVEARKACCGTGLLETSILCNSKSVGTCANASEYVFWDGFHPSEAANKILADDLLTSGISLIF >Manes.10G115700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28137288:28145185:-1 gene:Manes.10G115700.v8.1 transcript:Manes.10G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHKLQLFPPNALRRSLVNQSSHQFSEMATDSAKSFARRDRLLEIEQKVRSWWEEKDVFMAEPGKNPPEPDEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAYHRLRGANVLLPFAFHCTGMPIKASADKLRREIQQFGDPPDFAKEEEKQVESQPEPDDGRGSLPVDKFKGKKSKAASKSGGQMFQWEIMRSFGLSDGEISKFQDPYEWLGFFPPLAMEDLKAFGLGCDWRRSFVTTEINPYFDSFVQWQMRKLRSMGKIVKDVRYTIYSPLDGQPCADHDRASGEGVQPQEYTVVKMEVLPPFPTKLRPLDGKKVFLGAATLRPETMYGQTNAWVLPDGEYGAFEINETDVFILTERAALNLAYQNFSRFPQKPSCLIELSGYDLIGLRLKSPLSFNEFIYALPMLTILTDKGTGIVTSVPSDAPDDYMALHDLKQKPAFRAKYGVKDEWIMPFDLIPIINIPEFGDMAAEKVCLDLKIKSQNEKEKLAEAKRLTYLRGFTDGTMLVGEFAGRKVQEAKPLVKAKLIETGEAILYSEPEKKVVSRSGDECVVALTDQWYITYGEEEWKKLAEECLSNMNLYSDETRHVFEHTLSWLNQWACSRSFGLGTRIPWDKDFVVESLSDSTIYMAYYTVAHLLHNDDIYGTSKSHPVQPEQMTDDVWNFIICNSPYPKSSNIPSSILDKMKQQFEYWYPFDLRVSGKDLIQNHLTFSIYNHTAIMSKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTIRQAIEEFSADATRFSLADAGDGVDDANFVFETSNAAILRLTKEISWMEEVLAEESSLRTGPPSTYADQVFANEMNNAVRMTDKNYRDYMFREALKTGFYDLQAARDEYRYSCGSGGMNRDLLFCFMDVQTRLITPICPHYAEYVWRELLKKDGFVVKAGWPTADAPDLTLKAANKYLQDSIVLMRKLLQKQLLGSKKANKDGAPAAILTEDKITGLIYVNEQFDGWQAECLRILQSKFDNKTCAFASDEDIMDALKNSSIGNATNFKETQKLCMPFLRFKKDEAIAIGVQALDLRLPFGEIEVLQKNLDLIKRQIGLQDVEVLSVADPDAVAKAGSYKSLLNHNPPSPGNPTAIFLTR >Manes.10G115700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28126871:28144927:-1 gene:Manes.10G115700.v8.1 transcript:Manes.10G115700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHKLQLFPPNALRRSLVNQSSHQFSEMATDSAKSFARRDRLLEIEQKVRSWWEEKDVFMAEPGKNPPEPDEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAYHRLRGANVLLPFAFHCTGMPIKASADKLRREIQQFGDPPDFAKEEEKQVESQPEPDDGRGSLPVDKFKGKKSKAASKSGGQMFQWEIMRSFGLSDGEISKFQDPYEWLGFFPPLAMEDLKAFGLGCDWRRSFVTTEINPYFDSFVQWQMRKLRSMGKIVKDVRYTIYSPLDGQPCADHDRASGEGVQPQEYTVVKMEVLPPFPTKLRPLDGKKVFLGAATLRPETMYGQTNAWVLPDGEYGAFEINETDVFILTERAALNLAYQNFSRFPQKPSCLIELSGYDLIGLRLKSPLSFNEFIYALPMLTILTDKGTGIVTSVPSDAPDDYMALHDLKQKPAFRAKYGVKDEWIMPFDLIPIINIPEFGDMAAEKVCLDLKIKSQNEKEKLAEAKRLTYLRGFTDGTMLVGEFAGRKVQEAKPLVKAKLIETGEAILYSEPEKKVVSRSGDECVVALTDQWYITYGEEEWKKLAEECLSNMNLYSDETRHVFEHTLSWLNQWACSRSFGLGTRIPWDKDFVVESLSDSTIYMAYYTVAHLLHNDDIYGTSKSHPVQPEQMTDDVWNFIICNSPYPKSSNIPSSILDKMKQQFEYWYPFDLRVSGKDLIQNHLTFSIYNHTAIMSKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTIRQAIEEFSADATRFSLADAGDGVDDANFVFETSNAAILRLTKEISWMEEVLAEESSLRTGPPSTYADQVFANEMNNAVRMTDKNYRDYMFREALKTGFYDLQAARDEYRYSCGSGGMNRDLLFCFMDVQTRLITPICPHYAEYVWRELLKKDGFVVKAGWPTADAPDLTLKAANKYLQDSIVLMRKLLQKQLLGSKKANKDGAPAAILTEDKITGLIYVNEQFDGWQAECLRILQSKFDNKTCAFASDEDIMDALKNSSIGNATNFKETQKLCMPFLRFKKDEAIAIGVQALDLRLPFGEIEVLQKNLDLIKRQIGLQDVEVLSVADPDAVAKAGSYKSLLNHNPPSPGNPTAIFLTSDKKKGNKKGRETGMFPSKIRETKGMSSDVSVTEIEEQMHNISNLWMKEMKEIFTREREKVEKRIETVY >Manes.12G076700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9234506:9237514:-1 gene:Manes.12G076700.v8.1 transcript:Manes.12G076700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLSNSSLFYPMNRYHPQPRAFHISSVELTWSVKAQKSHSQNQRRSSDYQPTIWSHSFIQSLKNENADLLYKDNARKLEEETRAAIYSETEDFLSILELIDDIQRLGLAYRFEKDIKSVLDRFVDSTGCNLLNHKSLHATSLMFRLLRQYGYEVSQDEFKNSLDDKGNLLAIQEKDVKGMLSLYEASFLGFEGEDLLDKATEQARTYLINTLQKGNPSELDVSMMESVSHALELPLHRRIGMSEARWYIEANDKKKDTNHLLLQLAKMNFNMVQSILQRDLKEMSRWWKNLGLASKLTFGRDRLMECFFWAVGMESKPHSSSCRKVLTKVASFITIIDDVYDVYGTLDELELFTDAVERWDINAVKDLPECMKLCFLALHNTVNEIAYDTLINQGDSSFPI >Manes.12G076700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9234507:9237427:-1 gene:Manes.12G076700.v8.1 transcript:Manes.12G076700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLSNSSLFYPMNRYHPQPRAFHISSVELTWSVKAQKSHSQNQRRSSDYQPTIWSHSFIQSLKNENADLLYKDNARKLEEETRAAIYSETEDFLSILELIDDIQRLGLAYRFEKDIKSVLDRFVDSTGCNLLNHKSLHATSLMFRLLRQYGYEVSQDEFKNSLDDKGNLLAIQEKDVKGMLSLYEASFLGFEGEDLLDKATEQARTYLINTLQKGNPSELDVSMMESVSHALELPLHRRIGMSEARWYIEANDKKKDTNHLLLQLAKMNFNMVQSILQRDLKEMSRWWKNLGLASKLTFGRDRLMECFFWAVGMESKPHSSSCRKVLTKVASFITIIDDVYDVYGTLDELELFTDAVERWDINAVKDLPECMKLCFLALHNTVNEIAYDTLINQGDSSFPI >Manes.01G127300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:32168322:32169413:-1 gene:Manes.01G127300.v8.1 transcript:Manes.01G127300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPPQLPLEIFIDILSRLPVKPLLRFKSVCKSWRSLISNNPEFAKFHLERAKQDTSDSCHRLFLATFPLQSIDLQAYCDGDDSTATRELSYPLRSESELSFVGSCNGLIAAVLDSNPQIIVWNPSTGQSRELSMPSCCFPDDKLFSGFGYESHLDDYKVVRGSISASSSEVEMEVFNLKGNEWRRKTNLHCSAAFTGSATYLNGVLHWLVEEKYPNRRYLIVSFDLAEEKFLEMVTVPDHISQNSHLDLKVLRGFLCACNGTYDPYYEAWIMKGYGPEASWTRLFSFCSDPLPGCKYWLQVLWAAKNGNIVLDYEGWELVVFNPEEKTVKQFTVPNEWDCFEATTYIESLVSPNAAVEAGN >Manes.16G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29266286:29268103:-1 gene:Manes.16G085900.v8.1 transcript:Manes.16G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRENSKATIPTFVPSCVLVSSWIAQNPFLRTLKPNLASQNSVIRELHSSLTSTAEKCLRFLHLFASENPILKRIDTFSSDFSRHLTQVQCRDYRNRNSLSNHNFAAVLPGDSVAGIVVTNGILNFLNIYNTLLIVRLVLTWFPNSPPAIVSPLSTLCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSAAAALPAEMPKTEAPQGSCTPLTMFPNFTTSQKKWMRRLYGNKPQRSTGSN >Manes.13G037400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4514184:4523028:-1 gene:Manes.13G037400.v8.1 transcript:Manes.13G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKNFHPYTCTHLTPPSIHLISTSFNTSSEKRNSTVKIIRFNLDFGVPSFVFDVSMADTTKETSVRSMNPWLLHLQKLGLELKCSLCLNFLKRPLLLSCDHLFCNACLPGTMQFGLECPLCKAQYLDRDLRPVPFIENMVTIYRNLEATICASFQSGASDTGRILEQCPTSISADYNDKLSKESFKTAVEGNPSNGTSMFLLASSNLAQVPLNSCVKNVVQKIDMADKSNVPRKVKDNEYEMVGIREDEVDGEQNVNSRPASSHIRAGGLQESTTVQIDANQVDQLSASFGDIKTPENDSYYEGGDNSPKNHLPLRLVKRNPDDSTRQERYDSSASGTEGGDRRDSKRHKKLSYGPLTICTNSVDHITVSSQAENLGNCYSLSEDKSVPPCNGAAKTICGFCRSSRISKDTGPIFHFVNGKSVEGDDAFLSNAIHVHWACIEWAPRVFFVGETIKNLKTELARSAKLKCSSCGMRGAALGCYKKSCRRSYHAPCAKGVAGCRWDFDNFLVLCPSHTSVRFPERKPKKHNLDCHVPTQIAPQQSNFLSESLNRAKEWVFCGSALSSEEKCLLVEFGSMIGVPVTKFWRPNVTHVIAAADTEGACTRTLKVLMAILNGRWVLTIDWVKACMKSIRPVDEEPYEVSLDNHGCYNGPRTGRLSVWGKAPKLFNGLKFYFAGDFASDYKEDLQNLVVAAGGTILEREEELVEQTNAQAAPSRTIVVYNLDPPQGCKLGEEASILWRRLNEAENIAAKIGSQIIDHTWVLESIASYKLQLDL >Manes.13G037400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4514184:4523028:-1 gene:Manes.13G037400.v8.1 transcript:Manes.13G037400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGLECPLCKAQYLDRDLRPVPFIENMVTIYRNLEATICASFQSGASDTGRILEQCPTSISADYNDKLSKESFKTAVEGNPSNGTSMFLLASSNLAQVPLNSCVKNVVQKIDMADKSNVPRKVKDNEYEMVGIREDEVDGEQNVNSRPASSHIRAGGLQESTTVQIDANQVDQLSASFGDIKTPENDSYYEGGDNSPKNHLPLRLVKRNPDDSTRQERYDSSASGTEGGDRRDSKRHKKLSYGPLTICTNSVDHITVSSQAENLGNCYSLSEDKSVPPCNGAAKTICGFCRSSRISKDTGPIFHFVNGKSVEGDDAFLSNAIHVHWACIEWAPRVFFVGETIKNLKTELARSAKLKCSSCGMRGAALGCYKKSCRRSYHAPCAKGVAGCRWDFDNFLVLCPSHTSVRFPERKPKKHNLDCHVPTQIAPQQSNFLSESLNRAKEWVFCGSALSSEEKCLLVEFGSMIGVPVTKFWRPNVTHVIAAADTEGACTRTLKVLMAILNGRWVLTIDWVKACMKSIRPVDEEPYEVSLDNHGCYNGPRTGRLSVWGKAPKLFNGLKFYFAGDFASDYKEDLQNLVVAAGGTILEREEELVEQTNAQAAPSRTIVVYNLDPPQGCKLGEEASILWRRLNEAENIAAKIGSQIIDHTWVLESIASYKLQLDL >Manes.06G044600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13956401:13960323:1 gene:Manes.06G044600.v8.1 transcript:Manes.06G044600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQIWMRRLLILLCIFSCSFAYVSSQSVAGDGSVEGTVFIDGKSSIGKIDEDFICATLDWWPPEKCDYGTCSWDYASLINLDLSSNILLNAIKAFSPLKIRLGGTLQDKVIYDTDDNKEPCKQFVKNTTEMFGFTQGCLPMYRWDELNEFFKKSGAKIIFGLNALAGRSIQSDGSAAGAWNYTNAESFISYTIRKNYSIHGWELGNELSGSGVGTRIAADQYAADTISLYKIVQKIYSGAESKPLVIAPGGFFDANWFKEFIDKTGNSVDVITHHIYNLGPGVDEHLVEKILNPSYLDGEADTFSGLQNTLKSSATSATAWVGEAGGAYNSGRNLVSNAFVYSFWYLDQLGMASAYDTKTYCRQSLIGGNYGLLNTTTFLPNPDYYSALLWHRLMGRKVLSTKFSGAKTIRAYAHCAKESKGITLLLINLDNSTTVEVKVSFNSTWTLHHQKKHHRSYKSHRTRTIKLPQGSESTTREEYHLTAKDGNLHSQIMLLNGNILSVSSSGEIAAFEPVNVNLTKPIFVAPFSVVFAHLPYVVPACS >Manes.03G178700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30097580:30099572:1 gene:Manes.03G178700.v8.1 transcript:Manes.03G178700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLAAKISPFFTCQSPRNTSHPNNDCVCKLQFNVTSQAASSSRRHILVCSTSFFAIQNLNCISMPFPVRAEEESNDQEEKDGVVGAIKSLFDPNETTKSGKLLPKAYLKSAREVVKTLRESLKEDPKDIAKFRRTADAAKESIREYLGSWRGQEKVMHEESYMELEKAIRSLASFYSKAGPSAPLPEEVKSEILNDLNTAEEFL >Manes.15G138700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11215384:11227484:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAIGSPTISLRTSTSCNALLRELQQIWNDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11221016:11227484:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAIGSPTISLRTSTSCNALLRELQQIWNDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11217807:11228263:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAIGSPTISLRTSTSCNALLRELQQIWNDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11217807:11227484:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAIGSPTISLRTSTSCNALLRELQQIWNDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11220843:11227484:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAIGSPTISLRTSTSCNALLRELQQIWNDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11221136:11227484:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11217807:11227484:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11220843:11227484:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAIGSPTISLRTSTSCNALLRELQQIWNDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11221133:11227484:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAIGSPTISLRTSTSCNALLRELQQIWNDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.15G138700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11221016:11228263:1 gene:Manes.15G138700.v8.1 transcript:Manes.15G138700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLAIGSPTISLRTSTSCNALLRELQQIWNDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLNQSVAAKEAELATLMATLGELNIHSLTQTEKKAPSLKEKLASVTPLVEDLRTKKEERMKQFADIKAQIEKISGEISGYNTLNNSLMSSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLHKVFDYVNEVHSLCGVLGLDFGKTVSDVHPSLHEGNQEQSTNISNSTLEGLEQAIVRLKLERKARIQKLKDIVASLFELWNLMDSPKEEKNTFSRITSILASSESEIIEPGVLSTEMIEQASAEVERLTKLKASRMKELVMKRRSELEEICKMTHIEPDTSTAAEKSNALIDSGLVDPSELLANIEAQIVKAKEEAMSRKEVMDRIDRWLSACEEENWLEEYNLDSNRYNAGRGAHINLKRAERARVTISKIPAMVDNLINKTLAWEDEKKVSFLYDGVRLVSILQDYKLARQQREEEKKRCRDQKKLQDLLLTEKEAMYGSKPSPRRTNSFRKPNGYRANGNGSMTPTPRRNSVGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTMSFASVCDSEPGSPPHC >Manes.08G003400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:625253:631440:1 gene:Manes.08G003400.v8.1 transcript:Manes.08G003400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEENFGLEDKILSLIDVCFEDDCLYNSPFRDQLPPFSDDQTRHRTVQMVGVHALEDSFGGDKPAVEPFESAEQEKARKNEKYNLRKSLAWDSAFFTSAGVLEPEELSSIIGGNEKGGKNHILPGIQEDIQISTDSISTFATDNSTLGTLEDELFGDIRASIQKSCKGSNKAVSHGKAGSGVNEGQFSNPSEKVDIVAQNKLKTKAAPRKPNVGSGKLANEVSPIPQASKSGFTNGESTSFLPKPAKIVGRVSPILSTATRIANNVKVEKDSHLHTPKTLTVAVRGAKLPTTGGSRNTVPKPTFSSKSSLRSSVASASELTTSSSVDSLGSLTSDSSSRCSLNSMKRKIDSKTANHSSTVSTAKSTLRITSRSKNQSMRSHISPHLKSVTKAKLSASISPASSISEWSLGSMSPTSTLNKRINSSKSSLNTSSCKDAYDNGDALQVLHSQNQSSDRCSVGLGTQAIGLPSEHGKRVATGSGAVVWSDSIIPSGLRMPSPKIGFFDGVRSTVRTSTENTESHPALRGGSSRYWVENVSPSGRSNQAKFGKLQPAKTALAVRGTKERAPRTASESKPKSPLPLQSPSTASKVTNASRNGKHYPVVPLKVQNRISPGTGGQDKLKAEKIAPRYCDIAIKNPDIDYAEKNDNLHKNKTSPYNENKGSVKDEEVFPILGGLGVACSSRSVSEAENITLSQKMGKSATYGQNKILGSLANTNEKEISLEDQVDDLASQIGVVDIHREPAKTCSWLFSSSC >Manes.08G003400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:625286:634552:1 gene:Manes.08G003400.v8.1 transcript:Manes.08G003400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEENFGLEDKILSLIDVCFEDDCLYNSPFRDQLPPFSDDQTRHRTVQMVGVHALEDSFGGDKPAVEPFESAEQEKARKNEKYNLRKSLAWDSAFFTSAGVLEPEELSSIIGGNEKGGKNHILPGIQEDIQISTDSISTFATDNSTLGTLEDELFGDIRASIQKSCKGSNKAVSHGKAGSGVNEGQFSNPSEKVDIVAQNKLKTKAAPRKPNVGSGKLANEVSPIPQASKSGFTNGESTSFLPKPAKIVGRVSPILSTATRIANNVKVEKDSHLHTPKTLTVAVRGAKLPTTGGSRNTVPKPTFSSKSSLRSSVASASELTTSSSVDSLGSLTSDSSSRCSLNSMKRKIDSKTANHSSTVSTAKSTLRITSRSKNQSMRSHISPHLKSVTKAKLSASISPASSISEWSLGSMSPTSTLNKRINSSKSSLNTSSCKDAYDNGDALQVLHSQNQSSDRCSVGLGTQAIGLPSEHGKRVATGSGAVVWSDSIIPSGLRMPSPKIGFFDGVRSTVRTSTENTESHPALRGGSSRYWVENVSPSGRSNQAKFGKLQPAKTALAVRGTKERAPRTASESKPKSPLPLQSPSTASKVTNASRNGKHYPVVPLKVQNRISPGTGGQDKLKAEKIAPRYCDIAIKNPDIDYAEKNDNLHKNKTSPYNENKGSVKDEEVFPILGGLGVACSSRSVSEAENITLSQKMGKSATYGQNKILGSLANTNEKEISLEDQVDDLASQIGVVDIHREPAKTCSWLFSSSC >Manes.08G003400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:625286:634552:1 gene:Manes.08G003400.v8.1 transcript:Manes.08G003400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEENFGLEDKILSLIDVCFEDDCLYNSPFRDQLPPFSDDQTRHRTVQMVGVHALEDSFGGDKPAVEPFESAEQEKARKNEKYNLRKSLAWDSAFFTSAGVLEPEELSSIIGGNEKGGKNHILPGIQEDIQISTDSISTFATDNSTLGTLEDELFGDIRASIQKSCKGSNKAVSHGKAGSGVNEGQFSNPSEKVDIVAQNKLKTKAAPRKPNVGSGKLANESGFTNGESTSFLPKPAKIVGRVSPILSTATRIANNVKVEKDSHLHTPKTLTVAVRGAKLPTTGGSRNTVPKPTFSSKSSLRSSVASASELTTSSSVDSLGSLTSDSSSRCSLNSMKRKIDSKTANHSSTVSTAKSTLRITSRSKNQSMRSHISPHLKSVTKAKLSASISPASSISEWSLGSMSPTSTLNKRINSSKSSLNTSSCKDAYDNGDALQVLHSQNQSSDRCSVGLGTQAIGLPSEHGKRVATGSGAVVWSDSIIPSGLRMPSPKIGFFDGVRSTVRTSTENTESHPALRGGSSRYWVENVSPSGRSNQAKFGKLQPAKTALAVRGTKERAPRTASESKPKSPLPLQSPSTASKVTNASRNGKHYPVVPLKVQNRISPGTGGQDKLKAEKIAPRYCDIAIKNPDIDYAEKNDNLHKNKTSPYNENKGSVKDEEVFPILGGLGVACSSRSVSEAENITLSQKMGKSATYGQNKILGSLANTNEKEISLEDQVDDLASQIGVVDIHREPAKTCSWLFSSSC >Manes.08G003400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:625286:634552:1 gene:Manes.08G003400.v8.1 transcript:Manes.08G003400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEENFGLEDKILSLIDVCFEDDCLYNSPFRDQLPPFSDDQTRHRTVQMVGVHALEDSFGGDKPAVEPFESAEQEKARKNEKYNLRKSLAWDSAFFTSAGVLEPEELSSIIGGNEKGGKNHILPGIQEDIQISTDSISTFATDNSTLGTLEDELFGDIRASIQKSCKGSNKAVSHGKAGSGVNEGQFSNPSEKVDIVAQNKLKTKAAPRKPNVGSGKLANESGFTNGESTSFLPKPAKIVGRVSPILSTATRIANNVKVEKDSHLHTPKTLTVRGAKLPTTGGSRNTVPKPTFSSKSSLRSSVASASELTTSSSVDSLGSLTSDSSSRCSLNSMKRKIDSKTANHSSTVSTAKSTLRITSRSKNQSMRSHISPHLKSVTKAKLSASISPASSISEWSLGSMSPTSTLNKRINSSKSSLNTSSCKDAYDNGDALQVLHSQNQSSDRCSVGLGTQAIGLPSEHGKRVATGSGAVVWSDSIIPSGLRMPSPKIGFFDGVRSTVRTSTENTESHPALRGGSSRYWVENVSPSGRSNQAKFGKLQPAKTALAVRGTKERAPRTASESKPKSPLPLQSPSTASKVTNASRNGKHYPVVPLKVQNRISPGTGGQDKLKAEKIAPRYCDIAIKNPDIDYAEKNDNLHKNKTSPYNENKGSVKDEEVFPILGGLGVACSSRSVSEAENITLSQKMGKSATYGQNKILGSLANTNEKEISLEDQVDDLASQIGVVDIHREPAKTCSWLFSSSC >Manes.08G003400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:625253:631440:1 gene:Manes.08G003400.v8.1 transcript:Manes.08G003400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEENFGLEDKILSLIDVCFEDDCLYNSPFRDQLPPFSDDQTRHRTVQMVGVHALEDSFGGDKPAVEPFESAEQEKARKNEKYNLRKSLAWDSAFFTSAGVLEPEELSSIIGGNEKGGKNHILPGIQEDIQISTDSISTFATDNSTLGTLEDELFGDIRASIQKSCKGSNKAVSHGKAGSGVNEGQFSNPSEKVDIVAQNKLKTKAAPRKPNVGSGKLANEVSPIPQASKSGFTNGESTSFLPKPAKIVGRVSPILSTATRIANNVKVEKDSHLHTPKTLTVRGAKLPTTGGSRNTVPKPTFSSKSSLRSSVASASELTTSSSVDSLGSLTSDSSSRCSLNSMKRKIDSKTANHSSTVSTAKSTLRITSRSKNQSMRSHISPHLKSVTKAKLSASISPASSISEWSLGSMSPTSTLNKRINSSKSSLNTSSCKDAYDNGDALQVLHSQNQSSDRCSVGLGTQAIGLPSEHGKRVATGSGAVVWSDSIIPSGLRMPSPKIGFFDGVRSTVRTSTENTESHPALRGGSSRYWVENVSPSGRSNQAKFGKLQPAKTALAVRGTKERAPRTASESKPKSPLPLQSPSTASKVTNASRNGKHYPVVPLKVQNRISPGTGGQDKLKAEKIAPRYCDIAIKNPDIDYAEKNDNLHKNKTSPYNENKGSVKDEEVFPILGGLGVACSSRSVSEAENITLSQKMGKSATYGQNKILGSLANTNEKEISLEDQVDDLASQIGVVDIHREPAKTCSWLFSSSC >Manes.08G003400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:625286:634552:1 gene:Manes.08G003400.v8.1 transcript:Manes.08G003400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEENFGLEDKILSLIDVCFEDDCLYNSPFRDQLPPFSDDQTRHRTVQMVGVHALEDSFGGDKPAVEPFESAEQEKARKNEKYNLRKSLAWDSAFFTSAGVLEPEELSSIIGGNEKGGKNHILPGIQEDIQISTDSISTFATDNSTLGTLEDELFGDIRASIQKSCKGSNKAVSHGKAGSGVNEGQFSNPSEKVDIVAQNKLKTKAAPRKPNVGSGKLANEVSPIPQASKSGFTNGESTSFLPKPAKIVGRVSPILSTATRIANNVKVEKDSHLHTPKTLTVRGAKLPTTGGSRNTVPKPTFSSKSSLRSSVASASELTTSSSVDSLGSLTSDSSSRCSLNSMKRKIDSKTANHSSTVSTAKSTLRITSRSKNQSMRSHISPHLKSVTKAKLSASISPASSISEWSLGSMSPTSTLNKRINSSKSSLNTSSCKDAYDNGDALQVLHSQNQSSDRCSVGLGTQAIGLPSEHGKRVATGSGAVVWSDSIIPSGLRMPSPKIGFFDGVRSTVRTSTENTESHPALRGGSSRYWVENVSPSGRSNQAKFGKLQPAKTALAVRGTKERAPRTASESKPKSPLPLQSPSTASKVTNASRNGKHYPVVPLKVQNRISPGTGGQDKLKAEKIAPRYCDIAIKNPDIDYAEKNDNLHKNKTSPYNENKGSVKDEEVFPILGGLGVACSSRSVSEAENITLSQKMGKSATYGQNKILGSLANTNEKEISLEDQVDDLASQIGVVDIHREPAKTCSWLFSSSC >Manes.13G117800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32445876:32448618:1 gene:Manes.13G117800.v8.1 transcript:Manes.13G117800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRVIGWAARDSSGHLSPYSFSLRKTEAEDVVFKVLYSGVDHTDIHVVRSEIMPANYPLVPGHEVVGEVVELGKEVKKFKVGDIVGVGCIIWSCGECFSCKSKMEQYCNQRILTYNAIDKDGRITQGGYSSAMVVHQRFVVRIPDKLAPEQAAPLLCAGVTAYSPLKQFNVSKTLKAGIVGLGGVGHLGVLIAKAMGHHVTVISSSEKKREEALEHLGADAFLVSSNAHEMEKAVNSLDYILDTVPAGHPLDSYLSLLQVDGKLIIVGAAPTPLQFIASDLITGKRNISGSFVGSIEDTQEILELWAEKGLTSMIETVKIDYVNEAFKRMERNEVRYRFVLDVGGSNLE >Manes.05G166800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27902377:27908487:-1 gene:Manes.05G166800.v8.1 transcript:Manes.05G166800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFLSFPTVVEKFLSFPSNAMANSILMILICSLIFWISRRISSTTGKKKAAPEAGRAWPLIGHLHHMGGPQPPHIILSNMADKYGPIFTVKMGMYRALVVSNWEIAKECLTIKDKIFANRPKTLAMDLLGYHGAMIGFVSYDTYWRQMRKIATLELLSNHRLEKLKHVRESEVRTALKELYRLWEKKKNNSNVVLVEMKRWFADITLNVILKIVFGKSVGYETTNESEENEKLKVALRDFFDLSGRFVVADAMPFLRWLDIGGYERDMKKTAKHLDLFAERWLKEHKEKKSSGFKKGEEDFMDVMLDILDDDAEAVLGRDSDTVNKAITLGLTLAASDTTSVTLTWVLSLLINNPCVLKKAQHELDRNVGRERLAHESDMSNLVYLQAIIKETLRLYPAGPLSLPHESMEDCTVAGYHIPAGTRLLVNLSKIQRDPRVWSNPSEFEPERFLTTHKDYDVRGQHFEFMPFSSGRRMCPGVSFALQVLQLTLATLLQGFDFANPSAEPLDMSESIGLTNLKSTPLECLIHPRLQSHFYL >Manes.13G099900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29259777:29266136:-1 gene:Manes.13G099900.v8.1 transcript:Manes.13G099900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHSLLEASEKSVQAFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G099900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29259839:29266110:-1 gene:Manes.13G099900.v8.1 transcript:Manes.13G099900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHSLLEASEKSVQAFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G099900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29259800:29266110:-1 gene:Manes.13G099900.v8.1 transcript:Manes.13G099900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHSLLEASEKSVQAFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQDLTFSGILQQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G099900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29259778:29266136:-1 gene:Manes.13G099900.v8.1 transcript:Manes.13G099900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHSLLEASEKSVQAFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.13G099900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29259800:29266110:-1 gene:Manes.13G099900.v8.1 transcript:Manes.13G099900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEEVKTFKDLGICEQLLEACDDLGWKNPTKIQVEAIPHALEGKDLIGLAQTGSGKTGAFALPILHSLLEASEKSVQAFFACVMSPTRELAIQIAEQFEALGSGIGVKCAVLVGGVDMVQQAIALGKRPHIIVGTPGRLVDHLSNTKGFSLRTLKYLVLDEADRLLNEDFEKSLDEILKVIPRDRRTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFIPAKYKDCYLVYILTEMSGSTSMVFTRTCDATTFLALVLRNLGLRAIPINGHMTQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLLIEKLIGKKLPEFPAEEEEVLLLLERVTEAKRISQMKIKESGGKKRKGGGDGEEEIEKYLGIKDKKSKKFKKR >Manes.02G212100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:26924270:26925694:1 gene:Manes.02G212100.v8.1 transcript:Manes.02G212100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHYVDATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFQTNVKPWKSRKGTLDPALEAAEAKSKIITVSKDGKGKFKTVTDAIKSIPSQNKERVIIKIGPGVHTEKIEIEKTKPFITFLGDPKAMPTLAFGGTARKYGTHYSGTVTIDSDYFMGVNIIFQNTAPKPQSNKPGGQAVALRINGDKAAFYNCKFLGFQDTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESQLNVVDNKDLTFITAQGKEQKSENFGYSFVQCKITGSGSGSFLGRAWRKMPEVTFSYTEMGAVVNPLGWSDNRQPDRDSTVFFAEYKNSGPGSNPKGRVKFTKQLKDAQAKNFLSLGYIQGSKWLLPPPK >Manes.02G182701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14598503:14599429:1 gene:Manes.02G182701.v8.1 transcript:Manes.02G182701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWISDLSGCQNLAMPSNGKMIHHKLKILYPWEMAVECVDQIADVEAPWKGLRTLVESTNATISANQNEKLVFLRSKGTSMVQFMIIHQESMLL >Manes.S045216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1361111:1361485:-1 gene:Manes.S045216.v8.1 transcript:Manes.S045216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.14G005200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1620367:1620876:-1 gene:Manes.14G005200.v8.1 transcript:Manes.14G005200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPKRAQHHQPRQSWTRSTNSVSAWEKRFCLEVGGMQWKYFLKAKRYTSLFTNVMEWEDSAGKESFQRAKSRFWAKNGGLPCKFLPLPNPDMYIDKIDWNAKLDPQLLAELEAARRQVEEYKEENAPVLLSEIRATGWDEDCEEWHKPLVITGMIVGDKESSGDDKKN >Manes.04G002101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:525475:526994:-1 gene:Manes.04G002101.v8.1 transcript:Manes.04G002101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLVTVLFFALALSGVQLSTCHVLKGNLTCLDCSSHYDFSGIKVVVKCANVKKLATSTATSEGSFEVELPIESSSSTPLNCVAKIVGGSSQMYASRKKMVSRIARSQEDSLLLPSPLHPLHLGS >Manes.16G009600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1022182:1026398:1 gene:Manes.16G009600.v8.1 transcript:Manes.16G009600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLLGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPSFIKSLFADEAVVVARPANVRFAAPPAEDMHQD >Manes.16G009600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1021490:1026398:1 gene:Manes.16G009600.v8.1 transcript:Manes.16G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPIITRSYLTAAIVTTIGCSLDIISPHNLYLHPTLVAKHYQFWRLITNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLLGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPSFIKSLFADEAVVVARPANVRFAAPPAEDMHQD >Manes.16G009600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1021490:1026398:1 gene:Manes.16G009600.v8.1 transcript:Manes.16G009600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQADAYYHPLVSNSSYCHHHRLFPGYLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLLGGMIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPSFIKSLFADEAVVVARPANVRFAAPPAEDMHQD >Manes.11G035900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3484404:3488529:1 gene:Manes.11G035900.v8.1 transcript:Manes.11G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEGIGRFRVELDESYRPLPSVCLALLTIWLLSAFSWTINTYKNRHFQMNNLQWMLASVPLIKAVQLMLSFLFWYSCVYHEICSLWLSFGVYITGVLFQTASLVSFLLISHGYCIMCERLSATERRATAALGFVFYLSLVGYKASVPYFTVLLLLNYLISFYLIFHLIAQNLSVLSEQLTFIEDEDVRDMHDAVYTKYIMFKKFQGAMQVAAIAETVIYINVDTSSENYWLRFLVKEWAQLCISMYIGWTFRSKGLAPRFFVMPTIKSQGDMTVPPVYSVEMDAATFKDFNCNEWHIGVPISSSCDKSSMDSIVVVIQHPHPLRLPTATTAS >Manes.11G035900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3484404:3488529:1 gene:Manes.11G035900.v8.1 transcript:Manes.11G035900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEGIGRFRVELDESYRPLPSVCLALLTIWLLSAFSWTINTYKNRHFQWMLASVPLIKAVQLMLSFLFWYSCVYHEICSLWLSFGVYITGVLFQTASLVSFLLISHGYCIMCERLSATERRATAALGFVFYLSLVGYKASVPYFTVLLLLNYLISFYLIFHLIAQNLSVLSEQLTFIEDEDVRDMHDAVYTKYIMFKKFQGAMQVAAIAETVIYINVDTSSENYWLRFLVKEWAQLCISMYIGWTFRSKGLAPRFFVMPTIKSQGDMTVPPVYSVEMDAATFKDFNCNEWHIGVPISSSCDKSSMDSIVVVIQHPHPLRLPTATTAS >Manes.11G035900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3484403:3488530:1 gene:Manes.11G035900.v8.1 transcript:Manes.11G035900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEGIGRFRVELDESYRPLPSVCLALLTIWLLSAFSWTINTYKNRHFQMNNLQWMLASVPLIKAVQLMLSFLFWYSCVYHEICSLWLSFGVYITGVLFQTASLVSFLLISHGYCIMCERLSATERRATAALGFVFYLSLVGYKASVPYFTLTFIEDEDVRDMHDAVYTKYIMFKKFQGAMQVAAIAETVIYINVDTSSENYWLRFLVKEWAQLCISMYIGWTFRSKGLAPRFFVMPTIKSQGDMTVPPVYSVEMDAATFKDFNCNEWHIGVPISSSCDKSSMDSIVVVIQHPHPLRLPTATTAS >Manes.11G035900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3484404:3488529:1 gene:Manes.11G035900.v8.1 transcript:Manes.11G035900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEGIGRFRVELDESYRPLPSVCLALLTIWLLSAFSWTINTYKNRHFQMNNLQWMLASVPLIKAVQLMLSFLFWYSCVYHEICSLWLSFGVYITGVLFQTASLVSFLLISHGYCIMCERLSATERRATAALGFVFYLSLVGYKASVPYFTVLLLLNYLISFYLIFHLIAQNLSVLSEQLTFIEDEDVRDMHDAVYTKKFQGAMQVAAIAETVIYINVDTSSENYWLRFLVKEWAQLCISMYIGWTFRSKGLAPRFFVMPTIKSQGDMTVPPVYSVEMDAATFKDFNCNEWHIGVPISSSCDKSSMDSIVVVIQHPHPLRLPTATTAS >Manes.11G035900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3485532:3488529:1 gene:Manes.11G035900.v8.1 transcript:Manes.11G035900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVYSCVYHEICSLWLSFGVYITGVLFQTASLVSFLLISHGYCIMCERLSATERRATAALGFVFYLSLVGYKASVPYFTVLLLLNYLISFYLIFHLIAQNLSVLSEQLTFIEDEDVRDMHDAVYTKYIMFKKFQGAMQVAAIAETVIYINVDTSSENYWLRFLVKEWAQLCISMYIGWTFRSKGLAPRFFVMPTIKSQGDMTVPPVYSVEMDAATFKDFNCNEWHIGVPISSSCDKSSMDSIVVVIQHPHPLRLPTATTAS >Manes.06G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16228769:16229658:-1 gene:Manes.06G053700.v8.1 transcript:Manes.06G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVAQSTLEKNSLASLDQKMAMAKRCSHEGVVAGAKAAVVASIATAIPTLASARMLPWARANINHSAQALIISTVAGAAYFIVADKTVLATARRNSFKNSKIEA >Manes.11G042000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4628650:4633914:1 gene:Manes.11G042000.v8.1 transcript:Manes.11G042000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGMNGADRRMETRDPNESGSSPEKRPSHTSASVNYNDIGPKPYSYSELARVTGHFSLNNLIGRGGFGHVFKAVLDGEIRAIKKLDFLDVQSEGSLEREIMVIKSISHRNLVELVGYCIDGANRLLILKYFPNGSLRFKLHGSDNVLDWETRMKIAIGSARGLEYLHENCKPKIIHLDIKPDNILLDENFEPKITDFGLAQFFTDGATHISKSSVMGTHVYEDPFTTKMGKYSDKSDIYSFGVTLLELITGRKPIYNGIDIITWANPLIENAIDGRYVNLVDSKLQSFDQEEMHRMISCINSCLNQLPNSRPTMEKIRLVLEGKLPPEKLYKHKLQWSITHEDEPKPSPKPPIIQGTNNNSTRNIRLARRRRPTEATSNGSSNIIQETSFDDEMIYEKPVLDRGENCCIS >Manes.10G134600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30225626:30227411:1 gene:Manes.10G134600.v8.1 transcript:Manes.10G134600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGELENFIKVWLEVIAALCYCYAIRNTIPIGIKRLLFILPVVCLFLYLPLNLFSPHLGGTTAFFIAWLANFKLLLFAFGKGPLSADPAISLPLFVAVSCLPIKIQKNPPPNTPLNHQNKETPPPKSHQNGEIKENPSRKPREGPLNYAIKGIVVAILVRVYDYSDYIHPKLIIVLYAFHVYFLLELTLAVSAAMARSLLGIELEPQFNEPYLSTSLQDFWGKRWNLMVSRILHPTVYEPTRNFFGNLIGRKWATLPAVFGTFVVSAVMHELMFYYLGRVRPTGDITAFFIIHGFCLTVEIALKKALNDKWQLPRYLSGILTVAFVMTTAFWLFFPKFIECRIDVRAFEEYAAVGAWLRNASESALRIWPGHSRS >Manes.10G134600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30225626:30227407:1 gene:Manes.10G134600.v8.1 transcript:Manes.10G134600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGELENFIKVWLEVIAALCYCYAIRNTIPIGIKRLLFILPVVCLFLYLPLNLFSPHLGGTTAFFIAWLANFKLLLFAFGKGPLSADPAISLPLFVAVSCLPIKIQKNPPPNTPLNHQNKETPPPKSHQNGEIKENPSRKPREGPLNYAIKGIVVAILVRVYDYSDYIHPKLIIVLYAFHVYFLLELTLAVSAAMARSLLGIELEPQFNEPYLSTSLQDFWGKRWNLMVSRILHPTVYEPTRNFFGNLIGRKWATLPAVFGTFVVSAVMHELMFYYLGRVRPTGDITAFFIIHGFCLTVEIALKKALNDKWQLPRYLSGILTVAFVMTTAFWLFFPKFIECRIDVRAFEEYAAVGAWLRNASESALRIWPGHSRS >Manes.10G055100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6961985:6968839:1 gene:Manes.10G055100.v8.1 transcript:Manes.10G055100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSSESVIFDSYGFSGQVGMIWQVVKAPLIVPVLKLLVMICLVMSIMLFIERVYMGVVIAFVKLFGRKPNKRYKWEAIKDDIELGNSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKSLVEMECQRWANKGINIKYEIRDNRNGYKAGALKEGMKHSYVKQCDYVAIFDADFQPEPDFLWRTIPFLLHNPHIALVQARWKFGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYIADLKVKNELPSTFKAYRYQQHRWSCGPANLFKKMAMEIIRNKKVSMWKKFYVIYSFFFVRKIVAHIVTFLFYCVVLPATVLVPEVEVPKWGYVYIPSTITLLNAVGTPRSLHLLIFWVLFENVMSMHRTKATFIGLLEAGRVNEWVVTEKLGDALKIKSTTKASRKPRLRIGERMHMQELGVGAYLFFCGCYDFAFGKNSYFIYLFLQSMAFFISGIGYVGTFVPTS >Manes.10G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6961985:6968839:1 gene:Manes.10G055100.v8.1 transcript:Manes.10G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSSESVIFDSYGFSGQVGMIWQVVKAPLIVPVLKLLVMICLVMSIMLFIERVYMGVVIAFVKLFGRKPNKRYKWEAIKDDIELGNSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKSLVEMECQRWANKGINIKYEIRDNRNGYKAGALKEGMKHSYVKQCDYVAIFDADFQPEPDFLWRTIPFLLHNPHIALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYIADLKVKNELPSTFKAYRYQQHRWSCGPANLFKKMAMEIIRNKKVSMWKKFYVIYSFFFVRKIVAHIVTFLFYCVVLPATVLVPEVEVPKWGYVYIPSTITLLNAVGTPRSLHLLIFWVLFENVMSMHRTKATFIGLLEAGRVNEWVVTEKLGDALKIKSTTKASRKPRLRIGERMHMQELGVGAYLFFCGCYDFAFGKNSYFIYLFLQSMAFFISGIGYVGTFVPTS >Manes.06G131601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26049128:26055927:1 gene:Manes.06G131601.v8.1 transcript:Manes.06G131601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQEKTGSMRVRLIGSREEEEKDVAELLLKCRSLGELRKHLLFIPRLTYAKASAAAVSLDKMFWSKPCPLALAPDSSLRIEERKYEGIRHFILTLLMFYSKQSKSIRGQNVAYRRIISQVDKPAIYEVFNMEKTFKMTFLLLYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAAMLQRLNLMSYVSCRNVFSDDGTSEPDATALQAAQACSHLLLFLLCFAVLQTAKGIAMARYVRQEVDCLSLTDKEAMCSGNFMFTSLDNTSSHAARS >Manes.09G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33194155:33198378:1 gene:Manes.09G129800.v8.1 transcript:Manes.09G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKGPVCVTGGTGFIASWLIMRLLQSGYAVRTTVRPDPERKDIRYLTNLPGAAEKLQIFYAELEKPDSFNEAIQGCTGVFHVAHPIELAYKESEEVVTKRSIEASIGILKACVASKTVKRVVYTSSIITVLFSGNGKEMVDESAWTDVDFYRSLNLMRTSYVAAKINTERAALEFAKENGMDLVTLVPSLVLGPFICPKLPSSVCMGLALILGNKSQYFIKSNMVHVDDVARAYIFLFECPNAKGRYICSSDEASLTEMSEFLSARYPHLQIPTPDSLKDVKGYETCSISSKKLLDCGFTYQYSLGDMFDGAIQSCKEKGFL >Manes.18G032200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2778072:2781159:-1 gene:Manes.18G032200.v8.1 transcript:Manes.18G032200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLYPFSATVMVTILSFITWFIYSSFRTSRKACKKRALPEPAGAWPVIGHLRLLAGSQPPHVILGKLADKYGPIFTIKLGVHRAVIVSDWKIAKECFTSNDKAFANRPKGLAMEILGYDYSMIAFSPYGEYWRQIRKIVTLELLSNHRLEMLKHLRDAEVKAAIKGLYQEWIKNKSNNDKLKIEMKRWLWDITLNVILKIIVGKRYVEYANVGEGQESDAWREAMREFMELSGVFAVSDALPYLRWLDLGGVERKMKKVLKQLDPVIEEWLEERKQKKGASVTKGEEDFMEALLSILNDSKELSNRDVDTINKATCLSLILAASDTTTITMTWALSLLLNNRDVLKKAQNEIDVHVGRQRQVKESDTQSLIYLQAIIKETFRLYPAVPLLVPHESMEETVINGYHIQPKTRLFINASKIHKDPSVWQDPEKFQPERFLTTHKDVDFKGQNFELIPFGSGRRICPGISFALQVLNLTLASFLHAFEVETLSESPIDMSESAGLTNSKATPVEVFVTPRLPAYLY >Manes.13G039600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4730475:4735855:-1 gene:Manes.13G039600.v8.1 transcript:Manes.13G039600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVDQEGIARRFWIKSKSDWTFAMYSPFFVSLASGNLDTHTFLNCISQDVHFFKAFAQAYELAEECADDDEDKSAIRKLRKRVKDKLKNYEAIVHEWGFEFPAEGTPIVATAKYTDFLLATASGKVEGEKVPGKIATPFERIKLAAYTLGAMAPCMRIFAHICKEIHHLLDPDDSSHIYKRWIDNYCSENFEASTLQIEDMLDKLSISLTGGELEVLEKLYLQAVKLRVDFFSIQPIGQQTVMPLSRVQSPAVRLTMFCDFDMTCTAVDSSAVLAEIAIITASKVDLNGSETKLTQMSSADLRSTWGVLSAQYVEEHDQCIESIVSSETVEKFNYEDLCKALEQLADFEKRANTRVIQSGVLKGLNLEDIKRAGQQLVFQDGCPGFFQKIVRNENSKTDVHILSYCWCGDLIRSAFSSGDLNVPQIYSNELAYEETFSTGEIVRRVECPMEKLQAFNDILKDRNSDDVQQLTVYVGGSVGDLLCLLKADIGIVIGSSPSLRRLGDHFGISFVPLFAGMVKKQKELVEGGSPNWKGQPGVLYTVSTWAEIHAFILGS >Manes.13G039600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4730475:4735855:-1 gene:Manes.13G039600.v8.1 transcript:Manes.13G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVDQEGIARRFWIKSKSDWTFAMYSPFFVSLASGNLDTHTFLNCISQDVHFFKAFAQAYELAEECADDDEDKSAIRKLRKRVKDKLKNYEAIVHEWGFEFPAEGTPIVATAKYTDFLLATASGKVEGEKVPGKIATPFERIKLAAYTLGAMAPCMRIFAHICKEIHHLLDPDDSSHIYKRWIDNYCSENFEASTLQIEDMLDKLSISLTGGELEVLEKLYLQAVKLRVDFFSIQPIGQQTVMPLSRVQSPAVRLTMFCDFDMTCTAVDSSAVLAEIAIITASKVDLNGSETKLTQMSSADLRSTWGVLSAQYVEEHDQCIESIVSSETVEKFNYEDLCKALEQLADFEKRANTRVIQSGVLKGLNLEDIKRAGQQLVFQDGCPGFFQKIVRNENSKTDVHILSYCWCGDLIRSAFSSGDLNVPQIYSNELAYEETFSTGEIVRRVECPMEKLQAFNDILKDRNSDDVQQLTVYVGGSVGDLLCLLKADIGIVIGSSPSLRRLGDHFGISFVPLFAGMVKKQKELVEGGSPNWKGQPGVLYTVSTWAEIHAFILGS >Manes.16G083400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28983614:28984302:-1 gene:Manes.16G083400.v8.1 transcript:Manes.16G083400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSGCNYAAAPDSNYGELTNFEPSDFLKFDEWTEEEEEEKSFLLCVSPNNPVYQAHVMGESGGATGSHGGPSVGGEQKVGREKKEVKGRVAFKTKSEVEILDDGFKWRKYGKKMVKNSPNPRNYYRCSVEGCPVKKRVERDREDLTYVITTYEGVHNHPCSS >Manes.08G140000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37638477:37646076:-1 gene:Manes.08G140000.v8.1 transcript:Manes.08G140000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGQKSLEKVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSIGTFEFSGISFSAISLGNSLPRNSSHETINSKLEVMETERIDDPQRSTNGVNDESVSFLHSAWSASLSETVDGESELLQRLGLSRSSVPNAPHLENCKLNAKINHRLDKREGNETFPPWTTWKGLLDVHPASTANEQLRHFRHQAISEGAYPPWITGSDEDNYPLTRKVQRDIWIHQHPLNCRDPNVRFLAADWERLPGFGIGAQLAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGSARSSWSCYFLPETSQECRDRAFELISNEEALGNGTITTKDNYNSKEIWAGRTPRIWGDPWSYLQPTTEINGSLLTFHRKMDRRWWRAQAIRYLMRFQTEYTCGLMNVARNAAFGREVAKMVLESLGDEWRKEVRNKPRSDIQEFVWSNHKPWIPRPMLSMHVRMGDKACEMKVVEFEEYMQLADSIRRRFPHLNSIWLSTEMLEVINKSKLYSNWKFYYTNVTRQVGNMTMAAYEASLGRQTSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Manes.08G140000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37638477:37646009:-1 gene:Manes.08G140000.v8.1 transcript:Manes.08G140000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGQKSLEKVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSIGTFEFSGISFSAISLGNSLPRNSSHETITWSASLSETVDGESELLQRLGLSRSSVPNAPHLENCKLNAKINHRLDKREGNETFPPWTTWKGLLDVHPASTANEQLRHFRHQAISEGAYPPWITGSDEDNYPLTRKVQRDIWIHQHPLNCRDPNVRFLAADWERLPGFGIGAQLAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGSARSSWSCYFLPETSQECRDRAFELISNEEALGNGTITTKDNYNSKEIWAGRTPRIWGDPWSYLQPTTEINGSLLTFHRKMDRRWWRAQAIRYLMRFQTEYTCGLMNVARNAAFGREVAKMVLESLGDEWRKEVRNKPRSDIQEFVWSNHKPWIPRPMLSMHVRMGDKACEMKVVEFEEYMQLADSIRRRFPHLNSIWLSTEMLEVINKSKLYSNWKFYYTNVTRQVGNMTMAAYEASLGRQTSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Manes.08G140000.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37638477:37645892:-1 gene:Manes.08G140000.v8.1 transcript:Manes.08G140000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGQKSLEKVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSIGTFEFSGISFSAISLGNSLPRNSSHETITWSASLSETVDGESELLQRLGLSRSSVPNAPHLENCKLNAKINHRLDKREGNETFPPWTTWKGLLDVHPASTANEQLRHFRHQAISEGAYPPWITGSDEDNYPLTRKVQRDIWIHQHPLNCRDPNVRFLAADWERLPGFGIGAQLAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGSARSSWSCYFLPETSQECRDRAFELISNEEALGNGTITTKDNYNSKEIWAGRTPRIWGDPWSYLQPTTEINGSLLTFHRKMDRRWWRAQAIRYLMRFQTEYTCGLMNVARNAAFGREVAKMVLESLGDEWRKEVRNKPRSDIQEFVWSNHKPWIPRPMLSMHVRMGDKACEMKVVEFEEYMQLADSIRRRFPHLNSIWLSTEMLEVINKSKLYSNWKFYYTNVTRQVGNMTMAAYEASLGRQTSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Manes.08G140000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37638477:37645900:-1 gene:Manes.08G140000.v8.1 transcript:Manes.08G140000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGQKSLEKVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSIGTFEFSGISFSAISLGNSLPRNSSHETINSKLEVMETERIDDPQRSTNGVNDESVSFLHSAWSASLSETVDGESELLQRLGLSRSSVPNAPHLENCKLNAKINHRLDKREGNETFPPWTTWKGLLDVHPASTANEQLRHFRHQAISEGAYPPWITGSDEDNYPLTRKVQRDIWIHQHPLNCRDPNVRFLAADWERLPGFGIGAQLAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGSARSSWSCYFLPETSQECRDRAFELISNEEALGNGTITTKDNYNSKEIWAGRTPRIWGDPWSYLQPTTEINGSLLTFHRKMDRRWWRAQAIRYLMRFQTEYTCGLMNVARNAAFGREVAKMVLESLGDEWRKEVRNKPRSDIQEFVWSNHKPWIPRPMLSMHVRMGDKACEMKVVEFEEYMQLADSIRRRFPHLNSIWLSTEMLEVINKSKLYSNWKFYYTNVTRQVGNMTMAAYEASLGRQTSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Manes.08G140000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37638477:37646076:-1 gene:Manes.08G140000.v8.1 transcript:Manes.08G140000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGQKSLEKVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSIGTFEFSGISFSAISLGNSLPRNSSHETINSKLEVMETERIDDPQRSTNGVNDESVSFLHSAWSASLSETVDGESELLQRLGLSRSSVPNAPHLENCKLNAKINHRLDKREGNETFPPWTTWKGLLDVHPASTANEQLRHFRHQAISEGAYPPWITGSDEDNYPLTRKVQRDIWIHQHPLNCRDPNVRFLAADWERLPGFGIGAQLAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGSARSSWSCYFLPETSQECRDRAFELISNEEALGNGTITTKDNYNSKEIWAGRTPRIWGDPWSYLQPTTEINGSLLTFHRKMDRRWWRAQAIRYLMRFQTEYTCGLMNVARNAAFGREVAKMVLESLGDEWRKEVRNKPRSDIQEFVWSNHKPWIPRPMLSMHVRMGDKACEMKVVEFEEYMQLADSIRRRFPHLNSIWLSTEMLEVINKSKLYSNWKFYYTNVTRQVGNMTMAAYEASLGRQTSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Manes.08G140000.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37638477:37645985:-1 gene:Manes.08G140000.v8.1 transcript:Manes.08G140000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGQKSLEKVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSIGTFEFSGISFSAISLGNSLPRNSSHETITWSASLSETVDGESELLQRLGLSRSSVPNAPHLENCKLNAKINHRLDKREGNETFPPWTTWKGLLDVHPASTANEQLRHFRHQAISEGAYPPWITGSDEDNYPLTRKVQRDIWIHQHPLNCRDPNVRFLAADWERLPGFGIGAQLAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGSARSSWSCYFLPETSQECRDRAFELISNEEALGNGTITTKDNYNSKEIWAGRTPRIWGDPWSYLQPTTEINGSLLTFHRKMDRRWWRAQAIRYLMRFQTEYTCGLMNVARNAAFGREVAKMVLESLGDEWRKEVRNKPRSDIQEFVWSNHKPWIPRPMLSMHVRMGDKACEMKVVEFEEYMQLADSIRRRFPHLNSIWLSTEMLEVINKSKLYSNWKFYYTNVTRQVGNMTMAAYEASLGRQTSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Manes.08G140000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37638477:37645890:-1 gene:Manes.08G140000.v8.1 transcript:Manes.08G140000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGQKSLEKVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSIGTFEFSGISFSAISLGNSLPRNSSHETINSKLEVMETERIDDPQRSTNGVNDESVSFLHSAWSASLSETVDGESELLQRLGLSRSSVPNAPHLENCKLNAKINHRLDKREGNETFPPWTTWKGLLDVHPASTANEQLRHFRHQAISEGAYPPWITGSDEDNYPLTRKVQRDIWIHQHPLNCRDPNVRFLAADWERLPGFGIGAQLAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGSARSSWSCYFLPETSQECRDRAFELISNEEALGNGTITTKDNYNSKEIWAGRTPRIWGDPWSYLQPTTEINGSLLTFHRKMDRRWWRAQAIRYLMRFQTEYTCGLMNVARNAAFGREVAKMVLESLGDEWRKEVRNKPRSDIQEFVWSNHKPWIPRPMLSMHVRMGDKACEMKVVEFEEYMQLADSIRRRFPHLNSIWLSTEMLEVINKSKLYSNWKFYYTNVTRQVGNMTMAAYEASLGRQTSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Manes.08G140000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37638477:37645890:-1 gene:Manes.08G140000.v8.1 transcript:Manes.08G140000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFGQKSLEKVVSQRALQMGSSFPCQICVVGFLCGVCLTSLFLAALTSIGTFEFSGISFSAISLGNSLPRNSSHETINSKLEVMETERIDDPQRSTNGVNDESVSFLHSAWSASLSETVDGESELLQRLGLSRSSVPNAPHLENCKLNAKINHRLDKREGNETFPPWTTWKGLLDVHPASTANEQLRHFRHQAISEGAYPPWITGSDEDNYPLTRKVQRDIWIHQHPLNCRDPNVRFLAADWERLPGFGIGAQLAGMCGLLAIAIKEKRVLVTNYYNRADHDGCKGSARSSWSCYFLPETSQECRDRAFELISNEEALGNGTITTKDNYNSKEIWAGRTPRIWGDPWSYLQPTTEINGSLLTFHRKMDRRWWRAQAIRYLMRFQTEYTCGLMNVARNAAFGREVAKMVLESLGDEWRKEVRNKPRSDIQEFVWSNHKPWIPRPMLSMHVRMGDKACEMKVVEFEEYMQLADSIRRRFPHLNSIWLSTEMLEVINKSKLYSNWKFYYTNVTRQVGNMTMAAYEASLGRQTSTNYPLVNFLMATEADFFIGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Manes.18G072550.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6494539:6501734:1 gene:Manes.18G072550.v8.1 transcript:Manes.18G072550.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLFTRVFASLPDDVKVDEQLSEKMSLIQQFIRPENLDIKPTFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGEAAYFFTNMLSTESFISNIDARSLSMEESEFEKNMESARALLSGLSTDLGGSNKSDPSIGNSLKAELLESRLQALNSGKERKSSFRSKSFETRSVSKDVHYVKDESSMAKVPSLSDIENRGALMLLKEDLPSIVFREYPYLFAHTGDLTISDVEDLLNNYKQLVFKYVCLSKGLGGALPPCHPSNSQVHRPHEAETAKERQDNGAVEPNDETEKHIAMTNSSSTISLAGEENFESKSPLDKAVEGKEETSH >Manes.18G072550.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6494539:6501734:1 gene:Manes.18G072550.v8.1 transcript:Manes.18G072550.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGEAAYFFTNMLSTESFISNIDARSLSMEESEFEKNMESARALLSGLSTDLGGSNKSDPSIGNSLKAELLESRLQALNSGKERKSSFRSKSFETRSVSKDVHYVKDESSMAKVPSLSDIENRGALMLLKEDLPSIVFREYPYLFAHTGDLTISDVEDLLNNYKQLVFKYVCLSKGLGGALPPCHPSNSQVHRPHEAETAKERQDNGAVEPNDETEKHIAMTNSSSTISLAGEENFESKSPLDKAVEGKEETSH >Manes.18G072550.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6494539:6501734:1 gene:Manes.18G072550.v8.1 transcript:Manes.18G072550.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKYVMTKLFTRVFASLPDDVKVDEQLSEKMSLIQQFIRPENLDIKPTFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGEAAYFFTNMLSTESFISNIDARSLSMEESEFEKNMESARALLSGLSTDLGGSNKSDPSIGNSLKAELLESRLQALNSGKERKSSFRSKSFETRSVSKDVHYVKDESSMAKVPSLSDIENRGALMLLKEDLPSIVFREYPYLFAHTGDLTISDVEDLLNNYKQLVFKYVCLSKGLGGALPPCHPSNSQVHRPHEAETAKERQDNGAVEPNDETEKHIAMTNSSSTISLAGEENFESKSPLDKAVEGKEETSH >Manes.18G072550.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6498807:6500276:1 gene:Manes.18G072550.v8.1 transcript:Manes.18G072550.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGEAAYFFTNMLSTESFISNIDARSLSMEESEFEKNMESARALLSGLSTDLGGSNKSDPSIGNSLKAELLESRLQALNSGKERKSSFRSKSFETRSVSKDVHYVKDESSMAKVPSLSDIENRGALMLLKEDLPSIVFREYPYLFAHTGDLTISDVEDLLNNYKQLVFKYVCLSKGLGGALPPCHPSNSQVHRPHEAETAKERQDNGAVEPNDETEKHIAMTNSSSTISLAGEENFESKSPLDKAVEGKEETSH >Manes.18G072550.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6494539:6501734:1 gene:Manes.18G072550.v8.1 transcript:Manes.18G072550.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGEAAYFFTNMLSTESFISNIDARSLSMEESEFEKNMESARALLSGLSTDLGGSNKSDPSIGNSLKAELLESRLQALNSGKERKSSFRSKSFETRSVSKDVHYVKDESSMAKVPSLSDIENRGALMLLKEDLPSIVFREYPYLFAHTGDLTISDVEDLLNNYKQLVFKYVCLSKGLGGALPPCHPSNSQVHRPHEAETAKERQDNGAVEPNDETEKHIAMTNSSSTISLAGEENFESKSPLDKAVEGKEETSH >Manes.18G072550.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6494539:6501734:1 gene:Manes.18G072550.v8.1 transcript:Manes.18G072550.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQQFIRPENLDIKPTFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGEAAYFFTNMLSTESFISNIDARSLSMEESEFEKNMESARALLSGLSTDLGGSNKSDPSIGNSLKAELLESRLQALNSGKERKSSFRSKSFETRSVSKDVHYVKDESSMAKVPSLSDIENRGALMLLKEDLPSIVFREYPYLFAHTGDLTISDVEDLLNNYKQLVFKYVCLSKGLGGALPPCHPSNSQVHRPHEAETAKERQDNGAVEPNDETEKHIAMTNSSSTISLAGEENFESKSPLDKAVEGKEETSH >Manes.18G072550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6494539:6501734:1 gene:Manes.18G072550.v8.1 transcript:Manes.18G072550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENADVFFGLHDFLDRMRQPSAADFVKSIKSFIVSFSNNAPDPERDSAMVQEFFANMEAAFRAHPLWARCSDEELESAGEGLEKYVMTKLFTRVFASLPDDVKVDEQLSEKMSLIQQFIRPENLDIKPTFQNETSWLLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTLKANPPQLHSNLLYIQRYRRQSRLVGEAAYFFTNMLSTESFISNIDARSLSMEESEFEKNMESARALLSGLSTDLGGSNKSDPSIGNSLKAELLESRLQALNSGKERKSSFRSKSFETRSVSKDVHYVKDESSMAKVPSLSDIENRGALMLLKEDLPSIVFREYPYLFAHTGDLTISDVEDLLNNYKQLVFKYVCLSKGLGGALPPCHPSNSQVHRPHEAETAKERQDNGAVEPNDETEKHIAMTNSSSTISLAGEENFESKSPLDKAVEGKEETSH >Manes.02G115700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8889858:8893006:-1 gene:Manes.02G115700.v8.1 transcript:Manes.02G115700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVALVAAVGNMLQGWDNATIAGSLMYIKKEFNLQTQPTMEGLIAAISLIGATLITTFSGPVSDMLGRRPMLIVSALMYFLSGIVMFWSPNVYVLLIGRILDGFGTGLAVTLVPVYISETAPPEIRGLLNTFPQFMGSGGMFVSYCMVFGISLMDSPKWRIMLGILSIPSIAYILLTIFYLPESPRWLVSKGKMREAKEVLQRLRGREDVSGEMALLVEGLDVGREGAIEEYIIGPATDGETTEKGQVKLYGHEEGMSWVAKPVTGQSNLGIVSRQGSMANQNVPFMDPLVTLFGSVHENIPAMGSMRSMLIPNSGSMFSMIGNQGRNENWDEENARDDEDSAGGNADSDDNLQSPLLSPQESSVEKDAARPVNSSMLSMRRNSSLFNPGDEASTGIGGGWQLAYKYSERTGKDGRKEGGIQRMYLKQEVPVGPQRGSFLSVAAAVEPEEAEFVQASAVVSQTAVRSKQVLGQIPDVPSKVEPSQKVDKGPSFGDLFEPGVKRALIVGVTIQLLQQMSGINGVLYYTPQILEQAGVAVLLSNMGLRSDSASLFLSLLTALSMLPCILVAMRLMDVAGRRSIMLYTIPVLVVSLLALVLSDVVNVGSVLHAIISAASVMTYISFFVMGFGAIPNIICAEIFPTSVRGLCITICALTFWFGDIAITYLLPVMLNSLGLAGVFTIFAFACIVSWIFVFLKVPETKGMPLEVISEFFAVGATAIPKND >Manes.03G005300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:463715:468316:-1 gene:Manes.03G005300.v8.1 transcript:Manes.03G005300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSETETSPFLSLFSALSYGIASMAMVFINKGILMQYSHSMTLLTLQQLATALLIHLGQQMGYTKARGVDMQTSKRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFSSGKGKPTAQVTLSVLLTAAGVLVAALGDFSFDLFGYSMALTSVFFQTMYLVLVEKSGAEDGLSSVEIMFYNSFLSLPFLMFLIIVTGEFPNSLSLLFAKSILL >Manes.03G005300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:463715:468316:-1 gene:Manes.03G005300.v8.1 transcript:Manes.03G005300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSETETSPFLSLFSALSYGIASMAMVFINKGILMQYSHSMTLLTLQQLATALLIHLGQQMGYTKARGVDMQTSKRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFSSGKGKPTAQVTLSVLLTAAGVLVAALGDFSFDLFGYSMALTSVFFQTMYLVLVEKSGAEDGLSSVEIMFYNSFLSLPFLMFLIIVTGEFPNSLSLLFAKSNSLSFLLMLILSLVMGIVLNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVQVHALNVTGLIINTAGGIWYSFAKYQQKKRKPPRLTSDVEAHRK >Manes.03G005300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:463715:468316:-1 gene:Manes.03G005300.v8.1 transcript:Manes.03G005300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSETETSPFLSLFSALSYGIASMAMVFINKGILMQYSHSMTLLTLQQLATALLIHLGQQMGYTKARGVDMQTSKRLLPISLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFSSGKGKPTAQVTLSVLLTAAGVLVAALGDFSFDLFGYSMALTSVFFQTMYLVLVEKSGAEDGLSSVEIMFYNSFLSLPFLMFLIIVTGEFPNSLSLLFAKSNSLSFLLMLILSLVMGIVLNYTMFLCTIVNSALTTTIVGVLKGVGSTYML >Manes.08G040350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3953041:3953541:-1 gene:Manes.08G040350.v8.1 transcript:Manes.08G040350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFYKFFTFLIFFFFLYFIFFFYFIHFSLIFFSIILYFFLFSYFFHLCYFFLFFIFFSSPFLFLIYIYIFSFFFFLSIFFVVLLFYSLSALFSSNML >Manes.09G023251.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4712936:4715855:-1 gene:Manes.09G023251.v8.1 transcript:Manes.09G023251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIAFGVTPSRSLFPIRIFERPLQFPTNSGILPLNLFCVRTRTVFDSSQPMIIPTTSPELSSTGNSHFTIIFSPVSHFSPHSLNRTSVPIQCISINSSIPPIAPLPSTHQHAMTTRSQHGIFTLNVPFNLHTLITHFQFIPNTYK >Manes.18G084235.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7758175:7758898:1 gene:Manes.18G084235.v8.1 transcript:Manes.18G084235.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPHTVRKGPFACINRFHLHRLKKRTEMDGKQNQRSGGEQLFLTGDYNETVGSSEIEPRFKPKNGSVFPRKKKSVKSMMLDTVASVFSSASSSVAQKNSKNGVSRRNKVQPSNTD >Manes.09G080000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12079932:12082390:-1 gene:Manes.09G080000.v8.1 transcript:Manes.09G080000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPLVTVQSLPSLNDMATDSATTVALPDVMKASIRPDIVNFVHANISKNSRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAIPSLVMARGHRVESVPEMPLVISDSAESVEKTSAAIKLLKEIGAYPDAEKAKDSHAIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVDRLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGSFEKTSENKKGYVLPRAKMVNADLARIINSDEVQSVVKPIKNEVKRAPLKKNPLKNLNVMLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRKAVTKEEAAAIKSAGKAWYHTMISDSDYTEFENFTKWLNVTQ >Manes.10G076993.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:16555737:16557182:-1 gene:Manes.10G076993.v8.1 transcript:Manes.10G076993.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSLDHVLLVSFPAQGHVNPLLRLGKHLASKGMLVTFSVTEDVGKNMRKANNITDQPVSVGDGFIRFEFFELGWKEDDPRRQDLDQFLSQLEFVGKQVIPQMIKKHSEQGHPVSCIINNPFIPWVSDVAASLGIPSAMLWIQSCACFSAYYHYFHRLVPFPCEENAEIDVQLPSMPLLKYDEVPSFLHPSTPYPFLGRAILGQFKNIDKPFCILMETFHELEHDLIEYMSKYCNIMPVGPLFKDPKAPTTTVQGDFLKADDCMEWLDSKPPSSVVYVSLGSIVSLKQVQLNELAYGLLNSGFSFLWIFRSPPENSAFEPVDLPDGFLEKVGAKGKVVKWSPQERVLAHSSVSCFVTHCGWNSSMEALASGMPVVAFPQWGDQVTDAKYLVDVFKVGVRMSRGEAENKLITRDKVQKCLLEATVGPKAAELKQNALKWKAAAEAVVAEGGSSHRNIKAFMEEVKKRSIKITGKSSSQYGN >Manes.15G068900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5236681:5243828:1 gene:Manes.15G068900.v8.1 transcript:Manes.15G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLKPITAAIFLCFLLLPLVFAAPNDGLVRIGLKKRKLDQNNLVAAQFESKEGESLQASIKKYYLRGNLGDADDIDIVSLKNYMDAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSLACYFHSKYKSGHSSTYKKNGKSADIHYGTGAISGFFSQDYVNVGDLVVKDQEFIEATKEPSITFLVAKFDGILGLGFQEISVGKAVPVWYNMVNQGLVKEPVFSFWFNRNADEDEGGEIVFGGVDPKHYKGEHTYVPVTQKGYWQFDMGDVFIDGKTTGICGSGCAAIADSGTSLLAGPTGIIAEVNHAIGATGVVSQECKAVVAQYGETIIEMLLAKDQPQKICSQIGLCTFDGSRGVSMTIESVVNENSQEVAGSLHDAMCSTCEMAVIWMQNQLKQNATLERILNYANELCERLPSPMGESAVDCGSLSTMPNVSFTIGGKVFDLSPEQYVLKVGEGEAAQCISGFTALDVPPPRGPLWILGDVFMGRFHTVFDYGNLRVGFAEAA >Manes.15G068900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5236681:5243828:1 gene:Manes.15G068900.v8.1 transcript:Manes.15G068900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFCFQLACYFHSKYKSGHSSTYKKNGKSADIHYGTGAISGFFSQDYVNVGDLVVKDQEFIEATKEPSITFLVAKFDGILGLGFQEISVGKAVPVWYNMVNQGLVKEPVFSFWFNRNADEDEGGEIVFGGVDPKHYKGEHTYVPVTQKGYWQFDMGDVFIDGKTTGICGSGCAAIADSGTSLLAGPTGIIAEVNHAIGATGVVSQECKAVVAQYGETIIEMLLAKDQPQKICSQIGLCTFDGSRGVSMTIESVVNENSQEVAGSLHDAMCSTCEMAVIWMQNQLKQNATLERILNYANELCERLPSPMGESAVDCGSLSTMPNVSFTIGGKVFDLSPEQYVLKVGEGEAAQCISGFTALDVPPPRGPLWILGDVFMGRFHTVFDYGNLRVGFAEAA >Manes.17G015173.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:8013074:8014535:1 gene:Manes.17G015173.v8.1 transcript:Manes.17G015173.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFTSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKVKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.10G020500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2017547:2019538:-1 gene:Manes.10G020500.v8.1 transcript:Manes.10G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKGRRLVLFPLPLQGHINPMIQLANILHSKGFSITIIHTTFNSPDSSKYPHFNFHFIQENLTETEASTTDVLALLSLLNIKCVGPFQDCLSRLLSDVSDEPVSCLISDAIFHFTQSVSNSLNLPRLVLRTSGASSFLIFSTFPFLREKGYLPIQESQIEEPVVEFPPLKVKDLPVVKTCHPELLYQLVVNMVNETKSSSGIIWNTFEELEQSALATIRHEFHIPVFPIGPFHKCSHALSSSLLTQDQSCISWLDKQAPKSVIYVSFGSIAAITEAEFLEIAWGLANSKQPFLWVVRPGLVQGKQWLEHLPNGFLEDVNERGHIVKWAPQLQVLAHQAVATFWTHNGWNSTLESICEGVPMICMPCFSDQGVNARFVSDVWRVGVQIESGLKREKVEEIIRRLMVEEEGEEIRNRTLNLKEKAKLCLSKDGSSSQSLDGLISHILSLESFIFQA >Manes.11G030300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2862146:2865423:1 gene:Manes.11G030300.v8.1 transcript:Manes.11G030300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPGVNFEGNPPAAPQPPGTDMTGMCFRDQLWLNTYPLDRNLIFDYFALSPFYDWTCNNEQLRLQSIHPLDLSQLTKMTGTEYMLSEVMEPNLFVFRKQKRDSPEKVTPMLTYYILDGSIYQAPQLCNVFAARIGRALYYISKAFTTAASKLEKIGYVDEGEGVPCEPKTNKDLIDFKEVKRIDHILASLQRKLPPAPPPTPFPDGYAPPATTEAEKSAETQQGAESQPPVDPIIDQGPAKRMKF >Manes.01G157300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34328012:34336038:1 gene:Manes.01G157300.v8.1 transcript:Manes.01G157300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEMAYQYNGEFQEMEDVNFSDSELVCHVRDALISVQTGDSDSYHQLVGVMHHSERLAPDEVALLVTSLKALSGAVSYIDNVHHDSLLNSIFGMSLWKYGPDVMDALLELIIALAASNGKYVDSCLDMLVSNFMPPMYLVYTLKQPRGQAKKEQVLSRVHTALEDIVDLIPMAASRLSSVVVHRMPTTFKKDLEKDHLMYRTEIYVENILRLESGAIREFVGSRMLMAVVDLLTELDVAIGWDDILRDDSCKGIFAIELEDADEVDDDENDYGELPRTLNHKSLGKNIVADLLDSLMVLTFEHLESCANNKRLGEVFETLLDSFMLTILNTYKSKFAQFVMFYACALDPEKCGVKFAKTLADRFSCSDNPVTRMSAVAYLASYLARAKFLSPAFVASTLKRLVDWCLEYCETQGSDMNPKAHRVFYSGCQAIMYVLCFRMKSLMDVPRFKSQLLLMPLEPVLKHKLDPLKVCLPSIVEEFLKQAKAAYLFTTSETFIFEDMLESDFSKDFGGLERLDMFFPFDPCLLKKSDRGYIRPNFVYWKHVRTTYGSDEDDSSDADIAEDFVAENGESSMEEGRTGSLDEQYLELDEFDHAMNKMSITPKNGFTYRFGGEQMKMPSRIRPSTTPESL >Manes.01G157300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34328012:34335082:1 gene:Manes.01G157300.v8.1 transcript:Manes.01G157300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEMAYQYNGEFQEMEDVNFSDSELVCHVRDALISVQTGDSDSYHQLVGVMHHSERLAPDEVALLVTSLKALSGAVSYIDNVHHDSLLNSIFGMSLWKYGPDVMDALLELIIALAASNGKYVDSCLDMLVSNFMPPMYLVYTLKQPRGQAKKEQVLSRVHTALEDIVDLIPMAASRLSSVVVHRMPTTFKKDLEKDHLMYRTEIYVENILRLESGAIREFVGSRMLMAVVDLLTELDVAIGWDDILRDDSCKGIFAIELEDADEVDDDENDYGELPRTLNHKSLGKNIVADLLDSLMVLTFEHLESCANNKRLGEVFETLLDSFMLTILNTYKSKFAQFVMFYACALDPEKCGVKFAKTLADRFSCSDNPVTRMSAVAYLASYLARAKFLSPAFVASTLKRLVDWCLEYCETQGSDMNPKAHRVFYSGCQAIMYVLCFRMKSLMDVPRFKSQLLLMPLEPVLKHKLDPLKVCLPSIVEEFLKQAKAAYLFTTSETFIFEDMLESDFSKDFGGLERLDMFFPFDPCLLKKSDRFAFLALA >Manes.11G005500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:664518:670762:-1 gene:Manes.11G005500.v8.1 transcript:Manes.11G005500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKGWEATVRKSQAATKRRSNYIFGTTMSAAVAHADDDDPSCNESVYHAERVFPCGDFYTGQWHEGLPHGHGKYLWIDGCMYVGEWYKGKTMGKGKFSWPCGATYEGDFKGGYMDGKGTYTGSSGATYRGSWVMNLRQGHGTKCYYTGDCYEGDWRRGVQDGHGRYQWRNGNHYIGQWKNGVMNGNGTMIWRNGNRYDGFWEDGLPKGNGTFRWSDGSFYVGVWSKDPKDQNGTYYPSGSKSGNLDWDPQEVFLKDLSDCQICACEKVSIFPSQKTLNFPGTELGYVKPIKGNVEDGKLRRMSVDGRLSNYSLASMESNDTSVGGGDGEWRDGDEGFCHFDDLDSRMHRLNLRPVKRQGETISKGHKNYELMLNLQLGIRHSVGRPAPAISLDLKASCFDPKEKVWTKFPPEGSKYTPPHQSSDFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYTHVRSFEHTLVTKFYGLHCVKLAGPNQKKVRFVIMGNLFCSEYTIHRRFDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYIFRLHKVWFQEFCRQVDRDCDFLEQERIMDYSLLVGIHFREASYKDSMTPTRSSGVRTPTGLRTPTGLLTPSGPRTPTGNGNPDNEFGALPRLSRAEMDKIILDPAR >Manes.11G005500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:664519:670761:-1 gene:Manes.11G005500.v8.1 transcript:Manes.11G005500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKGWEATVRKSQAATKRRSNYIFGTTMSAAVAHADDDDPSCNESVYHAERVFPCGDFYTGQWHEGLPHGHGKYLWIDGCMYVGEWYKGKTMGKGKFSWPCGATYEGDFKGGYMDGKGTYTGSSGATYRGSWVMNLRQGHGTKCYYTGDCYEGDWRRGVQDGHGRYQWRNGNHYIGQWKNGVMNGNGTMIWRNGNRYDGFWEDGLPKGNGTFRWSDGSFYVGVWSKDPKDQNGTYYPSGSKSGNLDWDPQEVFLKDLSDCQICACEKVSIFPSQKTLNFPGTELGYVKPIKGNVEDGKLRRMSVDGRLSNYSLASMESNDTSVGGGDGEWRDGDEGFCHFDDLDSRMHRLNLRPVKRQGETISKGHKNYELMLNLQLGIRHSVGRPAPAISLDLKASCFDPKEKVWTKFPPEGSKYTPPHQSSDFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYTHVRSFEHTLVTKFYGLHCVKLAGPNQKKVRFVIMGNLFCSEYTIHRRFDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYIFRLHKVWFQEFCRQVDRDCDFLEQERIMDYSLLVGIHFREASYKDSMTPTRSSGVRTPTGLRTPTGLLTPSGPRTPTGNGNPDNEFGALPRLSRAEMDKIILDPARLSSIKLGIGMPARAEKTTRKSNCEVGLMGEAAGNLYEIILFFGIIDILQDYDISKKLEHAYKSMHYDPTSISAVDPKQYSKRFRDFILRVFVEDT >Manes.11G005500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:664518:670762:-1 gene:Manes.11G005500.v8.1 transcript:Manes.11G005500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKGWEATVRKSQAATKRRSNYIFGTTMSAAVAHADDDDPSCNESVYHAERVFPCGDFYTGQWHEGLPHGHGKYLWIDGCMYVGEWYKGKTMGKGKFSWPCGATYEGDFKGGYMDGKGTYTGSSGATYRGSWVMNLRQGHGTKCYYTGDCYEGDWRRGVQDGHGRYQWRNGNHYIGQWKNGVMNGNGTMIWRNGNRYDGFWEDGLPKGNGTFRWSDGSFYVGVWSKDPKDQNGTYYPSGSKSGNLDWDPQEVFLKDLSDCQICACEKVSIFPSQKTLNFPGTELGYVKPIKGNVEDGKLRRMSVDGRLSNYSLASMESNDTSVGGGDGEWRDGDEGFCHFDDLDSRMHRLNLRPVKRQGETISKGHKNYELMLNLQLGIRHSVGRPAPAISLDLKASCFDPKEKVWTKFPPEGSKYTPPHQSSDFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYTHVRSFEHTLVTKFYGLHCVKLAGPNQKKVRFVIMGNLFCSEYTIHRRFDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYIFRLHKVWFQEFCRLSSIKLGIGMPARAEKTTRKSNCEVGLMGEAAGNLYEIILFFGIIDILQDYDISKKLEHAYKSMHYDPTSISAVDPKQYSKRFRDFILRVFVEDT >Manes.11G005500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:664518:670762:-1 gene:Manes.11G005500.v8.1 transcript:Manes.11G005500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKGWEATVRKSQAATKRRSNYIFGTTMSAAVAHADDDDPSCNESVYHAERVFPCGDFYTGQWHEGLPHGHGKYLWIDGCMYVGEWYKGKTMGKGKFSWPCGATYEGDFKGGYMDGKGTYTGSSGATYRGSWVMNLRQGHGTKCYYTGDCYEGDWRRGVQDGHGRYQWRNGNHYIGQWKNGVMNGNGTMIWRNGNRYDGFWEDGLPKGNGTFRWSDGSFYVGVWSKDPKDQNGTYYPSGSKSGNLDWDPQEVFLKDLSDCQICACEKVSIFPSQKTLNFPGTELGYVKPIKGNVEDGKLRRMSVDGRLSNYSLASMESNDTSVGGGDGEWRDGDEGFCHFDDLDSRMHRLNLRPVKRQGETISKGHKNYELMLNLQLGIRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYTHVRSFEHTLVTKFYGLHCVKLAGPNQKKVRFVIMGNLFCSEYTIHRRFDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYIFRLHKVWFQEFCRQVDRDCDFLEQERIMDYSLLVGIHFREASYKDSMTPTRSSGVRTPTGLRTPTGLLTPSGPRTPTGNGNPDNEFGALPRLSRAEMDKIILDPARLSSIKLGIGMPARAEKTTRKSNCEVGLMGEAAGNLYEIILFFGIIDILQDYDISKKLEHAYKSMHYDPTSISAVDPKQYSKRFRDFILRVFVEDT >Manes.11G005500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:664200:670761:-1 gene:Manes.11G005500.v8.1 transcript:Manes.11G005500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKGWEATVRKSQAATKRRSNYIFGTTMSAAVAHADDDDPSCNESVYHAERVFPCGDFYTGQWHEGLPHGHGKYLWIDGCMYVGEWYKGKTMGKGKFSWPCGATYEGDFKGGYMDGKGTYTGSSGATYRGSWVMNLRQGHGTKCYYTGDCYEGDWRRGVQDGHGRYQWRNGNHYIGQWKNGVMNGNGTMIWRNGNRYDGFWEDGLPKGNGTFRWSDGSFYVGVWSKDPKDQNGTYYPSGSKSGNLDWDPQEVFLKDLSDCQICACEKVSIFPSQKTLNFPGTELGYVKPIKGNVEDGKLRRMSVDGRLSNYSLASMESNDTSVGGGDGEWRDGDEGFCHFDDLDSRMHRLNLRPVKRQGETISKGHKNYELMLNLQLGIRHSVGRPAPAISLDLKASCFDPKEKVWTKFPPEGSKYTPPHQSSDFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLPAYYTHVRSFEHTLVTKFYGLHCVKLAGPNQKKVRFVIMGNLFCSEYTIHRRFDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYIFRLHKVWFQEFCRQVDRDCDFLEQERIMDYSLLVGIHFREASYKDSMTPTRSSGVRTPTGLRTPTGLLTPSGPRTPTGNGNPDNEFGALPRLSRAEMDKIILDPARLSSIKLGIGMPARAEKTTRKSNCEVGLMGEAAGNLSKAIFKTFSRFHFESFCRRHLTI >Manes.12G072900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7932075:7934499:1 gene:Manes.12G072900.v8.1 transcript:Manes.12G072900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIRGPTLGRGSTATVSLGNSISGDVFAVKSAELDQFQFLQREQKILSSLSSPYVVGYKGCDITRENNKVMYNLFLEYIPGGTLADKVKAHGGRLEESVIGYYTGQIVQGLDYLHSNGWVHCDIKGRNVLIGETGAKLADFGCAKRVDAVEAATPIGGTPMFMAPEVARGEEQGCSSDIWALGCTIIEMANGGVVWPDVNDPVSVMYRIGFSDQLPEFPCCLSEQARDFLDKCLRRDPKQRWTANQLLKHPFLVKSNSNSHSHAKQIQESNSSSNSPTSILDQGFWSSLDESESENVDNLVHPSEESSAKERIRRLSLLSEGPSWDWDENWIPVRGNCSEDGDTMMDSVDAAGDVIRYGSVYLESHGGEQLLDDLLDTNIRSRISGDFLGVCKYGKDSSLIRSNVEFNKHKDTLLIPYIPRLILQA >Manes.04G107000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31182932:31183618:-1 gene:Manes.04G107000.v8.1 transcript:Manes.04G107000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRVDVKLNSTSLSPNPMPPPFFFLELRLHRYCRKLLRNLQGELMEIGTRQVAPTSSFLFEIHSHDLVSEQPCKSRLNYLFSSINLDEPVRDFLADHIACVLVFMANEQPFVGHYVVADTDITLECLIAGDPIDRTMVVDEEPREVVPGGASTSALNKLKKQRFFAKKSGDGDELSDDCVICLEGLSGSREALTNMTCNHIFHERCIFGWLKVQNSCPTCRRELED >Manes.02G127500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9649898:9654412:1 gene:Manes.02G127500.v8.1 transcript:Manes.02G127500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTISRMKSLISPPFSSIPKVTPSLFFSLPSNSKFLSFPTTLTSPISLPLKPPPMLSIFPIQNRCLHSNSSPSSSSGEIHVIVGPMFAGKTTTLLRRMQSESSDGSFQVTSVRQLDKTLKDLSFSGRTSEAVRLLWHTGFKVEHGTYALLLQECIFGKQYKKGKRIHAHMVIVGYVASEYLKTKLLILYAKSGDLKTARVLFNMVGGKNLISWNAVIAGYVQKRHEEIGLTFYYKMRQNGLTPDQYTFASVFRACAALATLEHGKKAHGIMIKCNLSENVVVNSALIDMYFKCSNLSDGHKVFSKSLNRNVVTWTSLISGYGQHGRVTEVLESFHRMKDEGFRPNYVTFLAVLSACSHGGLIDEAWDYFLSMKRDYGIQPRGQHYAAMVDLLGRAGMLQEAYEFVLEAPCKEHSVVWGALLGASRIHGDMDLVNHAAKQYFKLDPQNAGKYVVLSNTYATFGFWDDVAKVRSMMRDSGIMKEPAYSRIEVQGEIHCFLMGDKSHRKYKEICSTIIKMMCTLRDAGYVPDISELIHLED >Manes.02G093700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7336563:7346202:1 gene:Manes.02G093700.v8.1 transcript:Manes.02G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDSFELLRGCPMKIDAIESCGSKLLVGCSDGALRIYVPQPSADRSSQSDYHGQAHELRRETYALERTVTGFSKKPLLSMEVLASRELLLSLSESIAFHRLPNLETLAVITKAKGANVYSWDDRRGFLCFARQKRVSIFRHDGGRGFVEVKEFGVPDTVKSMSWCGENICLGIRKEYVILNASNGALTEVFPSGRMAPPLVVSLPSGELLLGKENIGVFVDQNGKLLQAERICWSEAPSIVVIQKPYAVALLPRRVEIRSLRVPYPLIQTIVLQNVRRLIQSNNAVIVALDHSVYGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSSLRAAKEGSIHIRYAHYLFENGSYEEAMEHFLAAQVDITYVLSMYPSIVLPKTSMLPEPEKPMDASSEAPYLSRGLSGMSDDMDSSPLPQLTEFDEHAALESKKMSHNTLMALIKFLQKKRYSIIEKATAEGTEEVVFDAVGGNFGTYETSRFKKSNKARGTVSINSGAREMAALLDTALLQALLLTGQSSAALELLKGLNYCDIKICEEILQKQNHYTALLELYKCNSMHREALNLLHQLVEESKSSQAQPDLASKFKPESIIEYLKPLCGTEPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPSMQGRYLELMLAMNENGISGNLQNEMVQIYLSEVLEWHTELSAQQKWDEKVYSPTRKKLLSALESISGYNPDALLKRLPADALYEERAILLGKMNQHELALSLYVHKLLVPELALSYCDRVYESASHQPSAKSSGNIYLTLLQIYLNPRKTINNFEKRITNIVSSQNTSIPKVGSGSSVKAKGGRGAKKIAAIEGAEDMRFSLSSTDSSRSDGDADDFTEEGGSTIMLDEVLDLLSRRWDRINGAQALKLLPKETKLQNLLPFLGPLMRKSSEAYRNLSVIKSLRQSENLQVKNELYNQRKTVVKITSDSMCSLCNKKIGTSVFAVYPNGKTLVHFVCFRDSQSMKAVAKGTPLRKR >Manes.12G048600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4505175:4507027:-1 gene:Manes.12G048600.v8.1 transcript:Manes.12G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQAQPFRTIMDFSSNLIAILGVVALLLLRNLWRAKNKKKIKGKLVPEAPGGLPIIGHLHQLGGKKSLARTLGEMADKYGSIFSLRLGVHRSVIITDHQAMKDCFTTNDKLFASRPHSIQAIHVGYDYASIGFAPYGTYWRNMRKLATIELLSSHRAKILNYVQISEVNYLVKDLYLHYKNNANAKINMSERIEHLILNMVTRMVAGKRFFDDNKEARSESGRPIGEIIREYMFVTGALVPGDLIPFLGWLDIGGIVKTMKRVTKEVDVIVESWIEEHKKKTENEAKKDFIDVMLSVVEDEPSMKLKRETIIKATTTAIILAGSDTTAITTIWALSSLVNNRQALERAQQEIDEKIGRDRCVQVSDVDKLEYLSAIIKETLRLYPPGPLGVPREAAEDCFISGYFIPKGTRIFTHLWKLHRDPKVWKDPEAFIPERFLTTNANLDVTGQNFEYLPFSAGRRSCPGMNLAMQVLHLTLARLIQAFDLKTPANEPVDMTEAQGIVMPRLTPLEIVVVPRLDPEFYERE >Manes.01G177300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35734373:35737594:-1 gene:Manes.01G177300.v8.1 transcript:Manes.01G177300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILVGILGKNFFFSRLLLFCPPRIRFTPKKSCMISIPVGFPRTSPLAVHSTEIVANYRQIATHASLHEDVVAEGNLNQILAAIEDAPSSTEEICIAYLDKLCKAGDVSIAARLLQFLQNKNIFLGPNAYNLVLAAAGEKTKIEILSQVFKDLIMSREFLPLASFLNLAKGFINTNDHVLLLRLVREVSELTFPRSTMFMNRIMFAFAECRQYDKALLIYDQIKDLRSKPDLITYNTVLDILGHVGRVDEMLHEFASMKEAGIAPDFISYNTLLNQLQKAGRLDLCLIYMKEMDEGGIEPDLLTYTALIQNFGRTGNIEESLKLFREMKTKQIRPSIYIYRSLINRLKKMGKVELAMTLLEEMNASLPNLAGPRDFKRKGRR >Manes.12G136100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34297472:34300400:-1 gene:Manes.12G136100.v8.1 transcript:Manes.12G136100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFVASLLNESVKMDSGNSGSIQSSSTGGDEEYDSRAESISAFFNNNNNPLSHVGPMPNPPPPQPPPPPDHHHHQTHHHSSSSSMFDLLSNFFDPLSSSRSPPPLTNPNSFLNLDMVWSKNLRSEPNCTDLGALIAPSSPTQQFFTNQPQSRATFPSAQIPQGPETAARGPSSASGSNDQTATTTSSGTNIIRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRSRLDLFGTASTLRSTPHLESPTPPYLLRPFAQKFQPLPPFLSSSSSSSSSFSTSMVDAIASTTTTNINSSTSINYQLSSDLGLLKQQPQNLLNINMQNPILNLHSLLPKYPLPNSTILDTSKTQQASLDIPSNDSHLKMGVLEEFGLSHGHVSTNLTGLQNIVTSSPNTTLRRNESNNNNSNPANWGDGVGSNEGDQGLLMSINGNYSNSQQRVANGKVNYSASSSDFHGDKGPENVSAARSEGMVESWICSSD >Manes.11G113300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:26556587:26558059:-1 gene:Manes.11G113300.v8.1 transcript:Manes.11G113300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVFMPKPSANHIAFSGDNKPSRSHSFGQVCLPKKCTEKTSSSSSSSSSSSSLVASANTIPSAVICTANFAKSVSTNTSSTLAQLWREIQGCNNWENLVKPLHPLLRQEIIRYGKFVTACYKAFDLDPNSKRYLCCKYGKKNMFNQIGMGNSGYQVTKYVYATPEINIPIQNGASCGRWIGYVAVSSDDTVKRLGRRDIVITFRGTVTKREWVANFMSSLTPARLDPHNHRPNVQVESGFLSLYTSDESDDKFGLESCREQLLSEVSRLLNKYKGEEISISMAGHSMGSSLALLLAYDISELGLNRLNNPSTDIPVTVFSFGGPRVGNAGFKERCQELGVKVLRIVNVNDPITKLPGVFLNENFRVLGGRYELPWSCSLYSHVGVELVLDFFNMQNPSCVHDLEAYISSLRAKCPKRSSSEGDDDNHHEVYFLNKPKELLLSAQKFNLLPMKVAVSNIVNLVQSQKAEFLINEHILAWMNTLALYILF >Manes.06G006950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1177527:1178645:1 gene:Manes.06G006950.v8.1 transcript:Manes.06G006950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGIPFSAFWLRSSVVSVLISLISDTWTNGSHDIKFIFLWGEPITVACYWGSHASPMRCTAVRIEAPLFVLPIFAYQRSKICHQRAFIFLLDFLEAVSFGPSGRRERRL >Manes.13G137000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34494092:34498231:-1 gene:Manes.13G137000.v8.1 transcript:Manes.13G137000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFGSNMTSDVGLRLLLSPLGSNVVVRTACCSVGVVLPVYSTFKAIEKKDQNEKQKWLIYWAAYGSLSLVEAFSDKLLSWVPMYYHVKFAFLGATHLYRKHLRPFFSRHQARVDMVVGFAYGEMARIVSRHQAEFECARTIVLKIMGSADQTNKSRYDHSDEYLAIEGETRTSTSSDTLSDIDD >Manes.13G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34494092:34498231:-1 gene:Manes.13G137000.v8.1 transcript:Manes.13G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFGSNMTSDVGLRLLLSPLGSNVVVRTACCSVGVVLPVYSTFKAIEKKDQNEKQKWLIYWAAYGSLSLVEAFSDKLLSWVPMYYHVKFAFLVWLQLPSTDGATHLYRKHLRPFFSRHQARVDMVVGFAYGEMARIVSRHQAEFECARTIVLKIMGSADQTNKSRYDHSDEYLAIEGETRTSTSSDTLSDIDD >Manes.10G051500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5723689:5730056:-1 gene:Manes.10G051500.v8.1 transcript:Manes.10G051500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLCILLICLSSSFLLFIRATSSFNLSLPHQHPDPEAVAQDFQRRVNASLSTRHLLSTQVNDQCITGNPIDDCWRCDPNWASNRQRLADCVIGFGQGSLGGRGGQIYVVTDSSDHDPANPTPGTLRYGVIQNEPLWIIFSTSMAIKLRHELIFNSYKTIDGRGANVHITGNGCLTLQYVSHIIIHNIHIHNCKPSGNTNIASSPTHVGRRGRSDGDGISIFGSQKIWIDHCSLSYCTDGLIDAIMGSTGITISNNYFSHHDEVMLLGHDDRYVLDSGMQVTIAFNIFGEALVQRMPRCRRGYIHVVNNDFTYWEMYAIGGSASPTINSQGNRYVAPADPNAKEVTKRVETEEREWADWNWRTDGDILVNGAFFVPSGAGLSAQYAKASSVEPRSAGLISQLTMNAGVFGAPRDNSVGISNPGINGGTSTAGTTNTGSSGASSGDGDGDFLGMIFGSGAPPPTSNISIFFSLLIILILYICMVTNNGARLSFPLLLVLL >Manes.10G051500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5724769:5730056:-1 gene:Manes.10G051500.v8.1 transcript:Manes.10G051500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLCILLICLSSSFLLFIRATSSFNLSLPHQHPDPEAVAQDFQRRVNASLSTRHLLSTQVNDQCITGNPIDDCWRCDPNWASNRQRLADCVIGFGQGSLGGRGGQIYVVTDSSDHDPANPTPGTLRYGVIQNEPLWIIFSTSMAIKLRHELIFNSYKTIDGRGANVHITGNGCLTLQYVSHIIIHNIHIHNCKPSGNTNIASSPTHVGRRGRSDGDGISIFGSQKIWIDHCSLSYCTDGLIDAIMGSTGITISNNYFSHHDEVMLLGHDDRYVLDSGMQVTIAFNIFGEALVQRMPRCRRGYIHVVNNDFTYWEMYAIGGSASPTINSQGNRYVAPADPNAKEVTKRVETEEREWADWNWRTDGDILVNGAFFVPSGAGLSAQYAKASSVEPRSAGLISQLTMNAGVFGAPRDNSVGISNPGINGGTSTAGTTNTGSSGASSGDGDGDFLGMIFGSGAPPPTSNISIFFSLLIILILYICMVTNNGARLSFPLLLVLL >Manes.10G051500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5723687:5730056:-1 gene:Manes.10G051500.v8.1 transcript:Manes.10G051500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLCILLICLSSSFLLFIRATSSFNLSLPHQHPDPEAVAQDFQRRVNASLSTRHLLSTQVNDQCITGNPIDDCWRCDPNWASNRQRLADCVIGFGQGSLGGRGGQIYVVTDSSDHDPANPTPGTLRYGVIQNEPLWIIFSTSMAIKLRHELIFNSYKTIDGRGANVHITGNGCLTLQYVSHIIIHNIHIHNCKPSGNTNIASSPTHVGRRGRSDGDGISIFGSQKIWIDHCSLSYCTDGLIDAIMGSTGITISNNYFSHHDEVMLLGHDDRYVLDSGMQVTIAFNIFGEALVQRMPRCRRGYIHVVNNDFTYWEMYAIGGSASPTINSQGNRYVAPADPNAKEVTKRVETEEREWADWNWRTDGDILVNGAFFVPSGAGLSAQYAKASSVEPRSAGLISQLTMNAGVFGAPRDNSVGISNPGINGGTSTAGTTNTGSSGASSGDGDGDFLGMIFGSGAPPPTSNISIFFSLLIILILYICMVTNNGARLSFPLLLVLL >Manes.07G040700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4323857:4324511:-1 gene:Manes.07G040700.v8.1 transcript:Manes.07G040700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRSSLIIMLSLITLSISVDARHLLETELPEVPELPKPELPSLPKVELPPLPEVSTFPKPELPILPKPELPELPKPELPAFPHLPELPKPTLPSKDTKPPQSTTSP >Manes.09G048700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8339887:8341131:1 gene:Manes.09G048700.v8.1 transcript:Manes.09G048700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKKRPILRTISVSLGCSSCKKPNLSNIFQPRPRKSTATSYYKRYNHDLYYSSTSSKTNPSPPGYDTATSFSPTADTPPHSFCDTNGGQKCSKTVQGLGRVGGESLAVEKDSDDPYMDFRKSMLQMILEKEIYSKDDLRELLNCFLQLNSPYHHGIIVRAFTDIWDGVYSVKSSAGGGSGGGSSQSQQKLHYYNYYNYGC >Manes.14G151800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:17748740:17751237:1 gene:Manes.14G151800.v8.1 transcript:Manes.14G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYWTSFASLLGVLAFCQSLLQVIFPPELRFAFLKLFNRILNAFSSFLYFDITEIDGVNTNELYNAVQLYLSSSVSISGSRLSLTRALNSSAITFGLTNNDSIFDTFSGITVLWEHVVSQRQAQTFSWRPLPEEKRGFTLRIKKKDKSLILDSYLDYIMEKANDIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPVKKQEIMEDLKDFANGQGFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDIYDLELTEVHNNSELRKLLMKTTSKSLIVIEDIDCSINLSNRKKNNNSGNSMGRSYYDSEIRSGSGSGGEEGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDSALLRSGRMDMHIFMSYCSFAAVKILLKNYLGIEESDLDDEILRELEEIIDKSEMTPADVSEVLIKNRRNKDRAVRELLGALKEKAENNLKNVGLRDKNLNAVKEEEEEEEEQEKRALESPKEGCACEEENCKKETEEEEKIK >Manes.18G048500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4197691:4201325:1 gene:Manes.18G048500.v8.1 transcript:Manes.18G048500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLANSLRLDDDDGGDDDADSENDVAPNTPYDPSPAKCIQEESVERTEIQDEGDETQTRGVKEDLSELKQTLTRQLWGVATFLAPAPTSNDRPPSNLNQFEASDRSNEADHSDSEEVSGIRQDFTEIGGRFRSGVTEISKMATNYLPFGSEENEVENDEGNETHGEELEIEEPDDWGPEAIGITDEVLAFARNIAMHPETWLDFPLDEEDDLDDFDMSDAQCEHALAIEQLAPRLAALRIELCPCHMTESYFWKVYFVLLHSRLDKHDAEILSTPQVMEARALWMQELHKQTKPENDWFGQGTYHANDGAVLHEKFEYGRTFNFEPATSMATDYETQKHPVVSADMEFIDKSVIEEKPVTKIVNKDVLASQSSKVLVPNYEDDEDDWPDEEDSDLGSYKATFPTGNEEDISFSDLEDDANSTIPIKSKMLSKGSETSTSKT >Manes.01G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29335379:29336151:1 gene:Manes.01G091800.v8.1 transcript:Manes.01G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYETSLDQTISDMPYLSFLAISEEAADQGEQPLENIHEVVVFDGVTHSFSFSQVPTDDGSDTSGPLPASKASIDAMPRVTVTDDCVEDCSICLDEMRVGSVIREMPCKHGFHSDCIEMWLVLHGSCPVCRFMMPVEDDGHRDRDGDGSVNGRRLRTRRLISDNFIIPSDEQTSSDRNQDSDSGSANSNLGMDTID >Manes.S095525.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251225.1:516860:518404:1 gene:Manes.S095525.v8.1 transcript:Manes.S095525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRNRAPDVTWVIDLAVFGMEAISPKSGTGCNMGDRLGSFWDGSC >Manes.15G189500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:30354240:30355229:1 gene:Manes.15G189500.v8.1 transcript:Manes.15G189500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRQMLLCLFISFFFFFLCLPGSSNASLLPFLDRPGSLLTDFWPERFPDPFRVLEQIPFGIERDDNSLELSLARVDWKETPEGHVIKLDVPGLRKEDVKIEVVEENRVLRVSGERKKEEEKKGDHWHRVERSYGKFWRQFRLPDNVDLESVNAKLENGVLTLSFSKLSPNKIKGPRVVDIAVDQERPEPAKLTNSESKQEL >Manes.02G013832.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1356650:1358413:-1 gene:Manes.02G013832.v8.1 transcript:Manes.02G013832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIIWLVLSLYFALPVDSLHNHNTDSLDAFLQDSAFKSLVQHRPQTGALYRAFLPANLSGMEASIVRLRSRRLWNVGANFSNFQIPSRTRTMPHVKRLAIVYQDLGNWSDHYYSVPGYSMVTSVVGFMIFNASNARARRLKRISLDTRGRSIVIHFPDLTFPESMNSGAKCVAFSEHGTFHLSEMNQFNVCYSQDQGHFSIVVPMERKGQGNTKQRLFYLWIIGFMLGLGGLALVGYFGLVSKKLLKTQKIQVMERQSDEDLVLETVWVGRSKMPAATVTRTQPTIENGGFT >Manes.15G080700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6203913:6204281:-1 gene:Manes.15G080700.v8.1 transcript:Manes.15G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQNQNQSPSFHDYLPLMAQKLGGDGLIGELCNGFNLLMDSERGVITFESLKRNSAVLGLQDLSDDDLRCMLKEGDYDGDGALSQMEFCVLMFRLSPELMEESQFLVEEALMQELKDFSY >Manes.09G068300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:11397671:11400485:-1 gene:Manes.09G068300.v8.1 transcript:Manes.09G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRAEKIRIQKWYTVYKDHITLKDYEIHDGMGLELYYN >Manes.02G020400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1827916:1833976:1 gene:Manes.02G020400.v8.1 transcript:Manes.02G020400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNLFPTANSQKQDYLIQVKQENCRLCISLPIFGRIPIASPHSSLGNCNMWIFGWKGASGFSACSTAEQVTQGIDGTGLTAIVTGASSGIGAETARVLALRGVHTIMAIRNLEAGKNVAEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGFPLNILINNAGIMATPFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFEKINDESGYISLCAYGQSKLANILHSSELSRRLKEDGVNITANSLHPGSIATNLLRYHSIVNEALSMHCVSYW >Manes.02G020400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1829613:1834134:1 gene:Manes.02G020400.v8.1 transcript:Manes.02G020400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGWKGASGFSACSTAEQVTQGIDGTGLTAIVTGASSGIGAETARVLALRGVHTIMAIRNLEAGKNVAEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGFPLNILINNAGIMATPFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFEKINDESGYISLCAYGQSKLANILHSSELSRRLKEDGVNITANSLHPGSIATNLLRYHSIVNGIASLIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLSSPNSQAKDAELAKKLWDFSLGLTNPK >Manes.02G020400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1829699:1833948:1 gene:Manes.02G020400.v8.1 transcript:Manes.02G020400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNLEAGKNVAEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGFPLNILINNAGIMATPFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFEKINDESGYISLCAYGQSKLANILHSSELSRRLKEDGVNITANSLHPGSIATNLLRYHSIVNGIASLIGKFVLKNVQQGAATTCYVALHPQVKGITGEYFMDSNLSSPNSQAKDAELAKKLWDFSLGLTNPK >Manes.02G020400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1829740:1833948:1 gene:Manes.02G020400.v8.1 transcript:Manes.02G020400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGWKGASGFSACSTAEQVTQGIDGTGLTAIVTGASSGIGAETARVLALRGVHTIMAIRNLEAGKNVAEAILKEIPTAKIDVMQLDLSSMTSVRKFASEYISSGFPLNILINNAGIMATPFMLSQDNIEMQFATNHVGHFLLTDLLLETMKRTARESNQEGRIVNVSSEGHRFAYREGIRFEKINDESGYISLCAYGQSKLANILHSSELSRRLKEDGVNITANSLHPGSIATNLLRYHSIVNGIASLIGKFVLKNVQQVCGAGLILN >Manes.09G030500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6302100:6302456:-1 gene:Manes.09G030500.v8.1 transcript:Manes.09G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQPFTTASSMLRQRLASSLRIRGGAAAGPSRWTSPGHEERPKGYLFNRTPLPPGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLSIETWAHQKALERLEMEKLGASGSGESE >Manes.10G056462.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12879412:12881255:1 gene:Manes.10G056462.v8.1 transcript:Manes.10G056462.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPLNDQQEHFPVSGFPNPHPPHPYASYVPTSICPPPAAGKWSTGLCHCCDDPANCVITCFCPCITFGQIAEIVNKGSSSCAGSGAKYGLLLGFTGFACFYSCFYRSKLRGQYDLEEAPCVDCLVHFCSETCALCQEYRELKARGFDMGIGWEANMERQKRGVMMAPMVTASMTR >Manes.08G170300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40437980:40441756:-1 gene:Manes.08G170300.v8.1 transcript:Manes.08G170300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLSGLTKTFMCKPLQNQLLFPENPPETLVSDHVELDFADVFGPLQAQASEVNCGDLANPLAGTDITELIYDDPVVIYNRSHSLVGPSSCVSQSPKLNKLTLHETEDSMELVELEESSDDDANEKVVEDNNGDSLEVHIVGIEDFEVLKVVGQGAFGRVYQVKKKGTSEIYAMKVMRKDRIVEKNHVEYMKAERDILTKMDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHHGLFREDLARVYAAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKQFDENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGVLLYEMLTGKPPFIGGNREKIQQKVVKDKMKLPAYLSSEAHSLLKGLLQKDASKRLGSGPTGSEEIKRHKWLKPINWKKLESREIQPSFRPEVAGKHCIANFEKRWTDMPLSDSPAASPKSNVNPFINFTYVRPQVPFLPKNDPLW >Manes.08G170300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40437980:40441756:-1 gene:Manes.08G170300.v8.1 transcript:Manes.08G170300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLSGLTKTFMCKPLQNQLLFPENPPETLVSDHVELDFADVFGPLQAQASEVNCGDLANPLAGTDITELIYDDPVVIYNRSHSLVGPSSCVSQSPKLNKLTLHETEDSMELVELEESSDDDANEKVVEDNNGDSLEVHIVGIEDFEVLKVVGQGAFGRVYQVKKKGTSEIYAMKVMRKDRIVEKNHVEYMKAERDILTKMDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHHGLFREDLARVYAAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKQFDENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGVLLYEMLTGKPPFIGGNREKIQQKVVKDKMKLPAYLSSEAHSLLKGLLQKDASKRLGSGPTGSEEIKRHKWLKPINWKKLESREIQPSFRPEVAGKHCIANFEKRWTDMPLSDSPAASPKSNVNPFINFTYVRPQVPFLPKNDPLW >Manes.07G042900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5407950:5411066:-1 gene:Manes.07G042900.v8.1 transcript:Manes.07G042900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISQLHIVLLVICLIIGEFALNGKAQMVDCNESDREALIDFKKGLEESEDRISSWRGSNCCQWWGITCDNNTGAVVTVDLHNQYPSGYDASGRYGNWNLSGEIRPSLTKLKSLRYLDLSFNTFNGQIPDFLSSLENLQYLNLSNAGFRGAVPPNLGNLSRLQYLDLSPSFPYYLSVDNFEWVTGLVSLKYLEMTGSNLSMVGLRWIEAFNKLPHLTELHLSSCGLSTFTSTLTFVNFTSLAVLDLYGNQFNSMLPSWLVNISSLVSLDISYSSLYGRIPLGFRELTNLQSLNLGNNDNLTASCSQLLGGSWKKIEVLDFELNKLHGSLPASLGNMSFLTRLNLFHNGIKGRIPGSIGRLCNLQYIDLSANNLTGSIPEGIENCPSKGPLPSLQKFIASDNQLVGNLPDWLGQLTSLVELNLQWNSLQGPIPASLGNLQHLSELRLEANKLNGSLPESLGQLSNLSALDVSINELTGVISETHFSSLSKLQLLLLSENSFILNLNSHWIPPFQLWYLELGSCHLGPLFPEWLRSQKELQYLHFPNASVSGSIPEWFWEMSGNLSVLNVSFNQLEGQLPNPFNIAPSALLDLSSNLFYGPIPLPSAEINLLDLSNNQFSGPIPDNIGKIMPNLVFLSLSNNQITSEVPVSIGEMKSLQVVDLSRNNLTGSIPPSIGNSSLLSVLDLQKNNLSGEIPASLGQLNLLQTLHLNNNRFSGEIPSTLQNSSFLETLDLGNNRFTGNIPPWIGQTFPHLRIFSLRSNKFFGEIPPVLSNLSSLQVLDLAENKLNSTIPASFGDLKAMTQQQTVNIYLFYGSYMTQYYQENFAVNMYGQPLVYTKTLSLLTSIDLSGNNLYGELPEQITKLVGLVVLNLSGNHISGRIPNSISELRQLLSLDLSDNNNSGGIPPSMSSMTFLAYLNVSNNKLSGIIPYTNQMTTFNATSFSGNPGLCGGPLTVKCSDGGVTGDSDGRRNAESDRDDSFIDKWFYLSIGLGYAAGLLLPYLTFAIRTSWGDIYFGFVDKIVAKLLEFL >Manes.03G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4211420:4217977:1 gene:Manes.03G046800.v8.1 transcript:Manes.03G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATFPAAHPQMRFLGSNHKLSRPQVRSISVSGHSYGELLDFDKPTSQAVVALSSSSSGKSIAISRGSLLLSPAQKRHPVGQTFCAASAGEYTYGESLIESHSDTTEDRVGVLLLNLGGPETLHDVQPFLFNLFADPDIIRLPRLFRFLQRPLAQLISVLRAPKSKEGYAAIGGGSPLRKITDEQADAIKMALKAKGMPANVYVGMRYWYPFTEEAIHQIKRDRITRLVVLPLYPQFSISTTGSSLRVLQNIFSEDAYLSRLPVSIIQSWYQREGYIKAMADLIGEELKKFPKPEEVMIFFSAHGVPVSYVEDAGDPYKDQMEECIYLIMQELKVRGFNNDHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKHLALESGVENWGRVPALGCTSTFITDLADAVIEALPSAKAISTSKSTSEEADYDPLSYAIKMFFGSILAFVLLLSPKMVHAFRNHVF >Manes.04G108300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31241913:31242491:-1 gene:Manes.04G108300.v8.1 transcript:Manes.04G108300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFFWLSKTGLEPSLVYEYALAFSYNELEEDDIAYFNHEFLQSMGISIAKHRLEILKLAREEKGAAPRPMARVLIAIKRTKRCLAKYIKAWSSRDGSSLIVVPSPAGYATRWRGAMLKRNKKLMMAKQGKLLLTNGSPMVVSGPKRLGIFSSPVMYDLHKEEKMDGDDDGYWSTGVEEIRWDTMFQNLKPT >Manes.04G107101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31184584:31187884:1 gene:Manes.04G107101.v8.1 transcript:Manes.04G107101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSLPFLLLFQIFILTSSSLLSLSLEASEFSPLPNEELQGMKNDEQYDVMIGGKERRVLEEENESVDNNSTLILAAEQTHRRDPLEHFNYYTGGWDYRNSHYWASVSFSAAPLFVTAVVWFVLLGLFLCVACIWYCCCCCCPQRKSIGYSPIAYALSLIFLAFSTTAVIAGCIVLYINQENFSNSISDMLKFIIQKAVSVFDNLMNVMKSLAAVSEVTGGGQLPLPPDLASQIDSVGQMINSTANIPEIQSLRNTKRIEGVLEPARTTLNILAAVMLSVAILGFLFSVVGVQCCIYVFVVMGWILVTLAFILCGIFLILHNVVADTCVAVDDWLQNPSANAPLSLQFLPCMDNETAQETLKATKQTSFYVINVVNKFIIEASNRDLPPDAGILYVNQSGPPVPLLCNPYYPDLTERDCSHAEVNFGNVAQEWRKHVCEVSDEGLCITQGRLTPKICDQMTVAVNISYSLYSSGEFLVQLGDCSFVLKTFSEINENYCPDLRRYSFWTYVGLRIVATSVMCATVLWMVYSRERRIRVFTKELTEQNHFP >Manes.04G107101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31184584:31187884:1 gene:Manes.04G107101.v8.1 transcript:Manes.04G107101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSLPFLLLFQIFILTSSSLLSLSLEASEFSPLPNEELQGMKNDEQYDVMIGGKERRVLEEENESVDNNSTLILAAEQTHRRDPLEHFNYYTGGWDYRNSHYWASVSFSAAPLFVTAVVWFVLLGLFLCVACIWYCCCCCCPQRKSIGYSPIAYALSLIFLAFSTTAVIAGCIVLYINQENFSNSISDMLKFIIQKAVSVFDNLMNVMKSLAAVSEVTGGGQLPLPPDLASQIDSVGQMINSTANIPEIQSLRNTKRIEGVLEPARTTLNILAAVMLSVAILGFLFSVVGVQCCIYVFVVMGWILVTLAFILCGIFLILHNVVADTCVAVDDWLQNPSANAPLSLQFLPCMDNETAQETLKATKQTSFYVINVVNKFIIEASNRDLPPDAGILYVNQSGPPVPLLCNPYYPDLTERDCSHAEVNFGNVAQEWRKHVCEVSDEGLCITQGRLTPKICDQMTVAVNISYSLYSSGEFLVQLGDCSFVLKTFSEINENYCPDLRRYSFWTYVGLRIVATSVMCATVLWMVYSRERRIRVFTKELTEQNHFP >Manes.04G107101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31184584:31187884:1 gene:Manes.04G107101.v8.1 transcript:Manes.04G107101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSLPFLLLFQIFILTSSSLLSLSLEASEFSPLPNEELQGMKNDEQYDVMIGGKERRVLEEENESVDNNSTLILAAEQTHRRDPLEHFNYYTGGWDYRNSHYWASVSFSAAPLFVTAVVWFVLLGLFLCVACIWYCCCCCCPQRKSIGYSPIAYALSLIFLAFSTTAVIAGCIVLYINQENFSNSISDMLKFIIQKAVSVFDNLMNVMKSLAAVSEVTGGGQLPLPPDLASQIDSVGQMINSTANIPEIQSLRNTKRIEGVLEPARTTLNILAAVMLSVAILGFLFSVVGVQCCIYVFVVMGWILVTLAFILCGIFLILHNVVADTCVAVDDWLQNPSANAPLSLQFLPCMDNETAQETLKATKQTSFYVINVVNKFIIEASNRDLPPDAGILYVNQSGPPVPLLCNPYYPDLTERDCSHAEVNFGNVAQEWRKHVCEVSDEGLCITQGRLTPKICDQMTVAVNISYSLYSSGEFLVQLGDCSFVLKTFSEINENYCPDLRRYSFWTYVGLRIVATSVMCATVLWMVYSRERRIRVFTKELTEQNHFP >Manes.15G066000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5014054:5019832:1 gene:Manes.15G066000.v8.1 transcript:Manes.15G066000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGNDEAPDGMNPQSECEIAAVGEKRPVENGETEELGVKSTKKAKCGVGEMRRVAEIVLVLSTMAGMRGGKSPTEAEVNLMEEARAKLVEICQDLAPKDLVARDAIGTVIEDLGLNWKLKDQRLGFRGTRLSIKEKIALTKKKMEESKKFAAPSGTYSAQTSQPSFGAMGDIRGPSHSIRMFPSDKPSNTSIPSGGLPTPATIGHVSAATSTPLASQPIPSEVRVSTASTGLSNSHPGRDSSALAGHRVEKSHFKSEGPNGTTYIPYAQANASANQPLLNVSTWPLQSHSMSSARATPENKVLNHNSARAEGPTDLDLSQAPQAARDQAFRPFIAQNTPANLPGVHQPVQGMKVVQPSSFFNNHNEIAKIVQKILQPKLPEHPTWTPPSREYMNKPLTCQMCKVTVNEVETVVLCDACEKGFHLKCLESVNQKGIPRGGEWHCLRCTTLSNGKPLPPKYGRVMRSITPPKGPSNPAGAQPSSEKKNGILDQKSTQEKLIANGSSGLQSAARSVTVIGNHAEPTSDSKVSNAREMTGNSFGSSMKDVNQATHATNFPNNLTKTLGVVSDSPSVGLSNEISMQLTQVSESHIQEEGSVSESKFQPPATLHEKVSEKLENSRPSNILQDIDPTVSSNAEVPLKTSKDHSMVEDSESVRGLSACNPRFDVKQSDQDVTHANPVGSFEPNNDSRKHSGTSSDGVHSTEWIGNVLKISDGKTFYESCLVGGVKYKVQDHALFRSSHEKLIPSKLQAMFEDIETGSKWVVVNRCYFPSDLPEAVGHPCAPESNEVYESNHESSVMAGLIQGPCAVLPPTKFQENNERRSRLETEANTVLQPVFICKYPSIAFCQTPSHSRNLGDRLWRLHFR >Manes.15G066000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5013905:5023537:1 gene:Manes.15G066000.v8.1 transcript:Manes.15G066000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGNDEAPDGMNPQSECEIAAVGEKRPVENGETEELGVKSTKKAKCGVGEMRRVAEIVLVLSTMAGMRGGKSPTEAEVNLMEEARAKLVEICQDLAPKDLVARDAIGTVIEDLGLNWKLKDQRLGFRGTRLSIKEKIALTKKKMEESKKFAAPSGTYSAQTSQPSFGAMGDIRGPSHSIRMFPSDKPSNTSIPSGGLPTPATIGHVSAATSTPLASQPIPSEVRVSTASTGLSNSHPGRDSSALAGHRVEKSHFKSEGPNGTTYIPYAQANASANQPLLNVSTWPLQSHSMSSARATPENKVLNHNSARAEGPTDLDLSQAPQAARDQAFRPFIAQNTPANLPGVHQPVQGMKVVQPSSFFNNHNEIAKIVQKILQPKLPEHPTWTPPSREYMNKPLTCQMCKVTVNEVETVVLCDACEKGFHLKCLESVNQKGIPRGGEWHCLRCTTLSNGKPLPPKYGRVMRSITPPKGPSNPAGAQPSSEKKNGILDQKSTQEKLIANGSSGLQSAARSVTVIGNHAEPTSDSKVSNAREMTGNSFGSSMKDVNQATHATNFPNNLTKTLGVVSDSPSVGLSNEISMQLTQVSESHIQEEGSVSESKFQPPATLHEKVSEKLENSRPSNILQDIDPTVSSNAEVPLKTSKDHSMVEDSESVRGLSACNPRFDVKQSDQDVTHANPVGSFEPNNDSRKHSGTSSDGVHSTEWIGNVLKISDGKTFYESCLVGGVKYKVQDHALFRSSHEKLIPSKLQAMFEDIETGSKWVVVNRCYFPSDLPEAVGHPCAPESNEVYESNHESSVMAGLIQGPCAVLPPTKFQENNERRSRLETEANTVLQPVFICKWFYDELKGSFQPVFG >Manes.06G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19077605:19085113:-1 gene:Manes.06G055100.v8.1 transcript:Manes.06G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSAEEDKSTTNSSTVWSSSTLMAPPTLRKPSAALATPQAILKSQNKTKAQNSAPKTITSPAVAPVPTVLPEEPAQPALVGVTSVVIEEYDPARPNDYEEYRREKKRKALEAERMRELERRRQEEEERERREREERDREREKDRNISGEEAWRRRAAMSGAVPRSPSPPSNGDRFTIGKSETAGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASETKPEKKVKSVSFNGPPTRVLLLRNMVGPGEVDDELEDEVGSECSKYGTVTRVLIFEITEPNFPRDEAVRIFVEFERSEQTTKALIDLDGRFFGGNVVRATFYDEERFSKNELAPMPGEIPGF >Manes.05G149001.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:25195438:25197148:1 gene:Manes.05G149001.v8.1 transcript:Manes.05G149001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIWNIQSDAAKRSLRVLKDYCHQYKPLILALVEPKVSGPQAKSISLGLDFNEWVQVEAIGLSGGIWVFWQSKLGTLTIAHTNLQFVHCVVTGASSPTWEFIAVYTSPREQYRNLFFSRMLELRKWVAHPWLLAGDFNTFLDEDETISSESYMSQRCQNFKDW >Manes.14G169500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:27674057:27678402:1 gene:Manes.14G169500.v8.1 transcript:Manes.14G169500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWINMSKILLFSAIFRLVLIIYGEWQDSHMEVRYTDVDYLVFSDAASLMASGESPFKRSTYRYSPLLAFLLIPNSIIHRSWGKFLFSASDLLVGMFIHNILKRRKVPEDLCLYSVMVWLLNPFTFTIGTRGNCEPIVCAMILWTIICLMDGNVVQAAIWYGLVVHFRIYPIIYALPVVLVLDPCFFQSGQRPLLVNWKSSQQKTSQSSLGGPRECGIWSLLKRIFTRERIKFGLISGTVFLSCTALFFYLYGWEFLNEALLYHLTRTDPRHNFSIYFYHIYLHYEHEFSILEKLISFLPQLIVQLVLIFCFAPDLPFCFFLQTVAFVAFNKVITAQYFVWFFCLLPLILPWSNMKLRWVGISCMLVWMGAQSHWLFWGYMLEFKGKNVFLQLWLASLLFLAANTFVVVMLIRHHRCCPMFRRLEHSSSKKSE >Manes.13G069100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8938734:8940658:-1 gene:Manes.13G069100.v8.1 transcript:Manes.13G069100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVKKYQQKFRKVSEEMNRWDHVQSRLLSQFRNACSIIERLTVIHDSKNYGTLNSVHGIVNAVLRKQMESLETILLSMNKTLEEFRGIVLFLEKMHRDGRQLVKGGSSQLSAKQLQQRIGTKPCLTDCLDGLMILHEMHRSEYLLKSSVVSALPLLILKPSSGDLAALQQLMVDQPNIPKEEVQLIFDIIFADEIC >Manes.06G164600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29114679:29118577:-1 gene:Manes.06G164600.v8.1 transcript:Manes.06G164600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALTSSSSCSLAFNCLPSLKSRSLSHIRSTPNRVPFLPKLTANSRFPLSHSFLPKFSKSNVATATAISQKRRNLNLTVKASVSASSPASASASSSSTQPWQGAAIKPLLASIATGVILWFVPVPSGVSRPAWQLLAIFLATIVGIITQPLPLGAVALMGLGASVLTKTLTFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTENKLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTLSTIKQTIGWTDWAKAAFVPGLVSLLVVPLLLYIIYPPTVKTSPDAPKLAREKLEKMGPMTKNEIIMTGTLLLTVGLWIFGSKLNVDAVTAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYFGAMVLSFLSNLMGGLTHYGIGSAPVFYGANYVPLAKWWGYGFLISVVNIIIWLGVGGVWWKAIGLW >Manes.02G149200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11461053:11462489:1 gene:Manes.02G149200.v8.1 transcript:Manes.02G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLIMGIGRAMRRKRTSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVPEKLPNYVVPDLTDFKLKPYVSQCPREVKTTEASEAAK >Manes.18G037600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3320899:3324617:-1 gene:Manes.18G037600.v8.1 transcript:Manes.18G037600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRETRMSSHSSGSTGSEFVQTRQDLPTPESVSTEWTDEKHSLYLKSMEASFVDQLYNSMNLLGVQVQDKMSNPKLSQQVHCNAHVPSGQFKVLQDGCWKKINFRKTDSHVNMANEPHGLLINPWIQHFKSARKPQVAFQENATVQSQATNFRRRKEVKSGTATSLKHSYPCGCHSCSHCLIDSNKEVSDQNFVDEDIKNEKTSSTCSSKRLKIRSAGTSS >Manes.18G037600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3320836:3324759:-1 gene:Manes.18G037600.v8.1 transcript:Manes.18G037600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRETRMSSHSSGSTGSEFVQTRQDLPTPESVSTEWTDEKHSLYLKSMEASFVDQLYNSMNLLGVQVQDKMSNPKLSQQVHCNAHVPSGQFKVLQDGCWKKINFRKTDSHVNMANEPHGLLINPWIQHFKSARKPQVAFQENATVQSQATNFRRRKEVKSGTATSLKHSYPCGCHSCSHCLIDSNKEVSDQNFVDEDIKNEKTSSTCSSKRLKIRSAGTSS >Manes.18G037600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3320899:3324617:-1 gene:Manes.18G037600.v8.1 transcript:Manes.18G037600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRRETRMSSHSSGSTGSEFVQTRQDLPTPESVSTEWTDEKHSLYLKSMEASFVDQLYNSMNLLGVQVQDKMSNPKLSQQVHCNAHVPSGQFKVLQDGCWKKINFRKTDSHVNMANEPHGLLINPWIQHFKSARKPQVAFQENATVQSQATNFRRRKEVKSGTATSLKHSYPCGCHSCSHCLIDSNKEVSDQNFVDEDIKNEKTSSTCSSKRLKIRSAGTSS >Manes.06G093000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22799798:22802059:-1 gene:Manes.06G093000.v8.1 transcript:Manes.06G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLTHCLSLLNSCKTLTSLKQIHANVFKTGLDTDPFLVGKLLLHCTVALSDSVDYAWSLFRHTPKPDVFMYNTLIRGLAESDKPQNSIIVFIEMRKKSLSSPDSFSFAFILKAAANLRSLGDGIQLHCQALTHGLDIHLFVGTTLVSMYGECGCIGSARKVFDEMHERNVVAWNAVITACFRVGNVKDARKMFDLMPFRDLTSWNVMLAGHVKEGELEHAKKMFLEMSVRDDVSWSTIIVGFAHNGSFDEAFGFFRELLRDGSRPNEVSLTGVLSACAQAGAFELGKILHGFIEKSGLIWIVSVNNALLDTYSKCGNLSMARLVFERMPDKKSIVSWTSMMVGLAMHGQGEEAIRLFHEMEKSETRPDGITFISLLYACSHAGLIEQGCEYFSKMKDVYNIKPAIEHYGCMVDLYGRAGQLQKAYEFVSQMPIPPNDIIWRTLLGACSIHVAEKWKDVAAVRRSMTDQRLKKTPGWSMIEVNKIMYTFMAGKKQDKIMEEAYEMLKDILLRLRVEGGYVPEIGTVLHDIEEEEKEDSVSMHSEKFAVAFGIARLCEGKTIRIVKNLRICRDCHVVMKLISQVYKLEIAVRDRSRFHSFKNGSCSCGDYW >Manes.12G123000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32861158:32884949:-1 gene:Manes.12G123000.v8.1 transcript:Manes.12G123000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRWIFSLLSILLVTLRFECLIGKEIKVPVGIVLDQNSTVGEMAENFMAMAISDFYAANDNYQTRLSLIKRDSRGDVVSAASAVLDLMENEEVQAIIGPQSSSQANFVIELGGKAQVPIISFSATSPSLSPAKSQYFVRTAHNDSFQVKAIASIVHAYGWQEIVIIYEDTDYGNGLIPYLLDSFQELGTRIFCRINILASYTDNEITRELNNMKNKRRSIFVVHMAATNGARLFSLAKKVGMMGEGYAWLVTQGLSILLDPVDEKVMMDSMLGVLGVRPYIPNSEKLKKFKPRWEKNFSSNRKLDELNLFGLWAYDTIWAIAMAVEKTVDNVKSSSLKHNRTGQSTRINLEAAIGISSMGPKLLKSILSTSFQGLSGEFHLAKGELKPKALEIFNVIGNKERILGYYSLNGVLSKSLTSKDKFKQPLWPGDTLEQPRKLRIGVPVKTGFSEFMKVKWHPETAEPIVSGFSADVFREMHKMLPFPLPYEFIPFADKDRKSRGTYDQLLDQIRKQEVDAAVGDITVVAKRSLFVDFTLPFSESGVSMVVLMKHDERDNMWIFLKPLSRNLWLTTALAFIFTGLVVWVLEHRINTDFRGPPDQQFSTVFWFSFSTLVFAHREKLLGSWTRFVVIIWFFVVLIISQSYTASLASMLTVKRMQPTFADVKEIQRNGHFVGYQSNSFVKDFLIKQLNFSESKLKNYSTPEDYAEALSLGIHNGGVAAIFDEIPYIKLFLAKFCSKFQMVGPTYKTDGFGFAFPLGSPLVSNISRAILNVTQDKGKMDIIERSNFPDENACDDQIAPNSSGSLSVTSFGGLFIITGLASIFSLLIHIMKFIWFHWPISNTTHHPEGISFWLRILELAKHFDQKDPSVHHDSRVHPTTSPAATSVGASPDHNFDDHTHNLSRIPSQGAEVVARYQDNQNETTATIPPNSP >Manes.03G212300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32863365:32870354:-1 gene:Manes.03G212300.v8.1 transcript:Manes.03G212300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMVAELFGKGSFSFIHRETFGGLLMAAPTNYSLPLGPISHISFRPPLSMCRCTLGSKSPSTVGEQVFSVTSSNKYEFDYLGQSTKGDLNLNYDHLDSIGINPQITLEGPIEEVARLKAQEAEDLLRDLGILSPFSARNSPRGIFCSRTLNLRSISAIGYDMDYTLIHYNVMAWEGRAYDYCMDNLENMGFPVDGLAFDPDLVIRGLVIDKERGNLVKADRFGYVKRAMHGTKMLSTQAVSEVYGRELVDLRKESRWVFLNTLFSVSEAVAYMQMVDRLDEGAIAAELGPLDYKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMKHSFNRFLPNDMSWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKACTGGLYSGGSAQMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYTALIRSRDHRAALVELINQKEVVGDLFNQLRLALQRRNKERPAQTLAATNMDDQELTESIQKLLIVMQRLDEKIAPMLEADGELFNRRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFNSQEQTLAHDSYSHYQSQHGSHAEEEQFPL >Manes.09G120100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32308431:32311958:1 gene:Manes.09G120100.v8.1 transcript:Manes.09G120100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEGIIERLLEGKNNRGKRIQLTESEIRNLCLTAKQVFLAQPVLLEIQAPINICGDIHGQYPDLLRLFEYGGFPPDSSYLFLGDYVDRGKQSIETICLLLAYKIKFPEKFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAAVVDEKILCMHGGLSPEMENLNQIRAIERPVDVPDQGLLCDLLWADPDRDIKGWGDNDRGVSYTFGADKVAEVLKKNDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMRVDESLLCSFQILKPCKGKGQLE >Manes.17G055400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25307629:25308932:1 gene:Manes.17G055400.v8.1 transcript:Manes.17G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLHAKVLFAVLMILGTRASQSMSRPIMDEEAIVQKHEKWMAHHGRNYQDNEEKERRFQIFKTNLEYIENFNNNATNKTYKLSLNVFADLTDEEFFATYAGFRVPSLPKTNKTTQFKYADQADEAPESIDWREKGVVTSVKDQHHCGCCWAFSAVGAVEGIIRDGVSLSAQQLVDCVSNNYGCNGGTMVNAFEYIIQNQGLASEENYPYTEMQGMCTESNSVAKITGYENVPSNNENALKLAVANQPVSVAIDAYGQSFKLYSGGVFNGDCGTQLTHAVTVVGYGVSSEDGSKYWLIKNSWGDYWGENGYMRLQRDVDAMEGLCGIAMQASYPTVN >Manes.13G024200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3327293:3330805:-1 gene:Manes.13G024200.v8.1 transcript:Manes.13G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHKHCSFMAKITTGNFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNQLWERVKLPRNYEKALEIIDKHLSYWPKLLVHKVKQRLTKMTQMRIRMRKLALKTREKIMTTPRKLAKREARREEKAEKAALLDKSIEKELLERLKKGVYGDIYNYPADKYNELLDMDELQVAGEEEEEEEAEVEYVEGYDDLEEEDDIEDFGDLGIDGPLAFDGDNDDDDFERPEKVDHKRVRKESDRASSKPEKDESGAKLKKKARVLVEVEHEGGSERQKAVH >Manes.10G151000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31821028:31826154:-1 gene:Manes.10G151000.v8.1 transcript:Manes.10G151000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVDGVPFSTISSEESDTLAALMEHPLLVSASRALKAKQEMKFSVSEESGSQRSMQSRWVYIFQREYATVDPALVDFVGTDEATTCVGLVIRSQKNGMVSVAHMDSPKIVDTGLNQMLSLLVDQNFDLNLDVHMIGGFEDVTLKRANGTTWSESHEKLDGYSFPLCTKIIDSLVKRREKFHIRTLFVLSHNTKRDSQGNAYPIFNGFLVETSTGSLNPASFERSTRCPDEIVRRLRVSASNEDPTWNGKLLETYDTRADRFVIAPCCWTSYQLYIALQLQQLSDADILLTCSTSPAAEGPDFVDNERRLWEYIIKHPDWQYTFPLRQPRIFKRTADGGWKRCLLTTGDSSQEPEAKTSLQMA >Manes.10G151000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31820469:31826196:-1 gene:Manes.10G151000.v8.1 transcript:Manes.10G151000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVDGVPFSTISSEESDTLAALMEHPLLVSASRALKAKQEMKFSVSEESGSQRSMQSRWVYIFQREYATVDPALVDFVGTDEATTCVGLVIRSQKNGMVSVAHMDSPKIVDTGLNQMLSLLVDQNFDLNLDVHMIGGFEDVTLKRANGTTWSESHEKLDGYSFPLCTKIIDSLVKRREKFHIRTLFVLSHNTKRDSQGNAYPIFNGFLVETSTGSLNPASFERSTRCPDEIVRRLRVSASNEDPTWNGKLLETYDTRADRFVIAPCCWTSYQLYIALQLQQLSDADILLTCSTSPAAEGPDFVDNERRLWEYIIKHPDWQYTFPLRQPRIFKRTADGGWKRCLLTTGDSSQEPEAKTSLQMA >Manes.10G151000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31820469:31826196:-1 gene:Manes.10G151000.v8.1 transcript:Manes.10G151000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVDGVPFSTISSEESDTLAALMEHPLLVSASRALKAKQEMKFSVSEESGSQRSMQSRWVYIFQREYATVDPALVDFVGTDEATTCVGLVIRSQKNGMVSVAHMDSPKIVDTGLNQMLSLLVDQNFDLNLDVHMIGGFEDVTLKRANGTTWSESHEKLDGYSFPLCTKIIDSLVKRREKFHIRTLFVLSHNTKRDSQGNAYPIFNGFLVETSTGSLNPASFERSTRCPDEIVRRLRVSASNEDPTWNGKLLETYDTRADRFVIAPCCWTSYQLYIALQLQQLSDADILLTCSTSPAAEGPDFVDNERRLWEYIIKHPDWQYTFPLRQPRIFKRTADGGWKRCLLTTGDSSQEPEAKTSLQMA >Manes.10G151000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31820931:31826194:-1 gene:Manes.10G151000.v8.1 transcript:Manes.10G151000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVDGVPFSTISSEESDTLAALMEHPLLVSASRALKAKQEMKFSVSEESGSQRSMQSRWVYIFQREYATVDPALVDFVGTDEATTCVGLVIRSQKNGMVSVAHMDSPKIVDTGLNQMLSLLVDQNFDLNLDVHMIGGFEDVTLKRANGTTWSESHEKLDGYSFPLCTKIIDSLVKRREKFHIRTLFVLSHNTKRDSQGNAYPIFNGFLVETSTGSLNPASFERSTRCPDEIVRRLRVSASNEDPTWNGKLLETYDTRADRFVIAPCCWTSYQLYIALQLQQLSDADILLTCSTSPAAEGPDFVDNERRLWEYIIKHPDWQYTFPLRQPRIFKRTADGGWKRCLLTTGDSSQEPEAKTSLQMA >Manes.04G089510.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29509391:29511285:-1 gene:Manes.04G089510.v8.1 transcript:Manes.04G089510.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIKISSIPGIRNSPVVELKARDAVKDAIQLLYEENLSGAAIADVVESDATVGRISDHYMVFIDFVSLFVWSLEECEKVSVQAKNNGGDEIGTSSFFTMLEQTPEIGQTKHHRLQAVPLIQQSDFKLIGYIIQNAVIQLLLQSSGLEWFDGIADKALSEFRLESEERVILVYGDQSLAEAIHILWESRIGAIAVVNQETKEIISCVMNTSLPPRMDSPVTAKRSNTLKQVMNKLTETKGSFCFLVNDSQQPIGMLTLRDIIIQFAPPCIDSGIHGGGFFESALELTGCQIKNGTMICDH >Manes.16G131000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33183722:33194591:1 gene:Manes.16G131000.v8.1 transcript:Manes.16G131000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSITRKKTPFQKHREEEEAKKKREDDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNERITADSEGEKSKDGVSGPKKGSRYVPSFLPPPMAVKGKESEKKKEEERPREKEKGKARNIDHFMEELKHEHEMRERRNQERERWRDGCATEISAPSSRFDELPDDFDPSGKGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGIVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVVLSGPSGPPVTSVPSHSSELVLTPNVPDIKVVPPDDNHLCHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTGKSPEREKESGNTYAAGKSRRVDPERTLTDPERDEFEDMLRGLTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRASFLRSNTSGVIPFHSICGHAPEMGKKINSEDTGGGNMNQDAALAMGKGAAMKELMCLPIAELERRCRHNGLSLIGGREIMVARLLSLEEAEKQRGYELDNDLKFSQSHSSTRKFSSGHGETYVESEPEGLSGRDLYGEDGMQSQSRDSASLATTLPIPQPELKAFTKKEKNDPVLPVSKWARDDDDSYDEQKKSARDLGLSYSSSGSENAGDDPGKADDEMEFGTDASISMQPDVGMNEEQRQKLRRLEGALIEYRESLEERGIKSAEEIEKKVAIHRKWLQSEYGLFDSPHDIPGNSKRKSSERKDRRDDSRESSRKRHRSQSRSQSPQRKTSTRDKEWGNDSDRERERHRDRDRPHDLESERWERDHHEKSGSKERDDHDRERGRERDRDRRRRVK >Manes.16G131000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33183704:33195099:1 gene:Manes.16G131000.v8.1 transcript:Manes.16G131000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSITRKKTPFQKHREEEEAKKKREDDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNERITADSEGEKSKDGVSGPKKGSRYVPSFLPPPMAVKGKESEKKEEERPREKEKGKARNIDHFMEELKHEHEMRERRNQERERWRDGCATEISAPSSRFDELPDDFDPSGKGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGIVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVVLSGPSGPPVTSVPSHSSELVLTPNVPDIKVVPPDDNHLCHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTGKSPEREKESGNTYAAGKSRRVDPERTLTDPERDEFEDMLRGLTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRASFLRSNTSGVIPFHSICGHAPEMGKKINSEDTGGGNMNQDAALAMGKGAAMKELMCLPIAELERRCRHNGLSLIGGREIMVARLLSLEEAEKQRGYELDNDLKFSQSHSSTRKFSSGHGETYVESEPEGLSGRDLYGEDGMQSQSRDSASLATTLPIPQPELKAFTKKEKNDPVLPVSKWARDDDDSYDEQKKSARDLGLSYSSSGSENAGDDPGKADDEMEFGTDASISMQPDVGMNEEQRQKLRRLEGALIEYRESLEERGIKSAEEIEKKVAIHRKWLQSEYGLFDSPHDIPGNSKRKSSERKDRRDDSRESSRKRHRSQSRSQSPQRKTSTRDKEWGNDSDRERERHRDRDRPHDLESERWERDHHEKSGSKERDDHDRERGRERDRDRRRRVK >Manes.16G131000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33183721:33194591:1 gene:Manes.16G131000.v8.1 transcript:Manes.16G131000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSITRKKTPFQKHREEEEAKKKREDDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNERITADSEGEKSKDGVSGPKKGSRYVPSFLPPPMAVKGKESEKKKEEERPREKEKGKARNIDHFMEELKHEHEMRERRNQERERWRDGCATEISAPSSRFDELPDDFDPSGKGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGIVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVVLSGPSGPPVTSVPSHSSELVLTPNVPDIKVVPPDDNHLCHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTGKSPEREKESGNTYAAGKSRRVDPERTLTDPERDEFEDMLRGLTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRASFLRSNTSGVIPFHSICGHAPEMGKKINSEDTGGGNMNQDAALAMGKGAAMKELMCLPIAELERRCRHNGLSLIGGREIMVARLLSLEEAEKQRGYELDNDLKFSQSHSSTRKFSSGHGETYVESEPEGLSGRDLYGEDGMQSQSRDSASLATTLPIPQPELKAFTKKEKNDPVLPVSKWARDDDDSYDEQKKSARDLGLSYSSSGSENAGDDPGKADDEMEFGTDASISMQPDVGMNEEQRQKLRRLEGALIEYRESLEERGIKSAEEIEKKVAIHRKWLQSEYGLFDSPHDIPGNSKRKSSERKDRRDDSRESSRKRHRSQSRSQSPQRKTSTRDKEWGNDSDRERERHRDRDRPHDLESERWERDHHEKSGSKERDDHDRERGRERDRDRRRRVK >Manes.16G131000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33183704:33195099:1 gene:Manes.16G131000.v8.1 transcript:Manes.16G131000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSITRKKTPFQKHREEEEAKKKREDDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNERITADSEGEKSKDGVSGPKKGSRYVPSFLPPPMAVKGKESEKKEEERPREKEKGKARNIDHFMEELKHEHEMRERRNQERERWRDGCATEISAPSSRFDELPDDFDPSGKGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGIVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVVLSGPSGPPVTSVPSHSSELVLTPNVPDIKVVPPDDNHLCHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTGKSPEREKESGNTYAAGKSRRVDPERTLTDPERDEFEDMLRGLTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRASFLRSNTSGVIPFHSICGHAPEMGKKINSEDTGGGNMNQDAALAMGKGAAMKELMCLPIAELERRCRHNGLSLIGGREIMVARLLSLEEAEKQRGYELDNDLKFSQSHSSTRKFSSGHGETYVESEPEGLSGRDLYGEDGMQSQSRDSASLATTLPIPQPELKAFTKKEKNDPVLPVSKWARDDDDSYDEQKKSARDLGLSYSSSGSENAGDDPGKADDEMEFGTDASISMQPDVGMNEEQRQKLRRLEGALIEYRESLEERGIKSAEEIEKKVAIHRKWLQSEYGLFDSPHDIPGNSKRKSSERKDRRDDSRESSRKRHRSQSRSQSPQRKTSTRDKEWGNDSDRERERHRDRDRPHDLESERWERDHHEKSGSKERDDHDRERGRERDRDRRRRVK >Manes.16G131000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33183704:33195099:1 gene:Manes.16G131000.v8.1 transcript:Manes.16G131000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSITRKKTPFQKHREEEEAKKKREDDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNERITADSEGEKSKDGVSGPKKGSRYVPSFLPPPMAVKGKESEKKKEEERPREKEKGKARNIDHFMEELKHEHEMRERRNQERERWRDGCATEISAPSSRFDELPDDFDPSGKGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGIVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVVLSGPSGPPVTSVPSHSSELVLTPNVPDIKVVPPDDNHLCHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTGKSPEREKESGNTYAAGKSRRVDPERTLTDPERDEFEDMLRGLTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRASFLRSNTSGVIPFHSICGHAPEMGKKINSEDTGGGNMNQDAALAMGKGAAMKELMCLPIAELERRCRHNGLSLIGGREIMVARLLSLEEAEKQRGYELDNDLKFSQSHSSTRKFSSGHGETYVESEPEGLSGRDLYGEDGMQSQSRDSASLATTLPIPQPELKAFTKKEKNDPVLPVSKWARDDDDSYDEQKKSARDLGLSYSSSGSENAGDDPGKADDEMEFGTDASISMQPDVGMNEEQRQKLRRLEGALIEYRESLEERGIKSAEEIEKKVAIHRKWLQSEYGLFDSPHDIPGNSKRKSSERKDRRDDSRESSRKRHRSQSRSQSPQRKTSTRDKEWGNDSDRERERHRDRDRPHDLESERWERDHHEKSGSKERDDHDRERGRERDRDRRRRVK >Manes.16G131000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33182987:33194591:1 gene:Manes.16G131000.v8.1 transcript:Manes.16G131000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGIVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVVLSGPSGPPVTSVPSHSSELVLTPNVPDIKVVPPDDNHLCHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTGKSPEREKESGNTYAAGKSRRVDPERTLTDPERDEFEDMLRGLTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRASFLRSNTSGVIPFHSICGHAPEMGKKINSEDTGGGNMNQDAALAMGKGAAMKELMCLPIAELERRCRHNGLSLIGGREIMVARLLSLEEAEKQRGYELDNDLKFSQSHSSTRKFSSGHGETYVESEPEGLSGRDLYGEDGMQSQSRDSASLATTLPIPQPELKAFTKKEKNDPVLPVSKWARDDDDSYDEQKKSARDLGLSYSSSGSENAGDDPGKADDEMEFGTDASISMQPDVGMNEEQRQKLRRLEGALIEYRESLEERGIKSAEEIEKKVAIHRKWLQSEYGLFDSPHDIPGNSKRKSSERKDRRDDSRESSRKRHRSQSRSQSPQRKTSTRDKEWGNDSDRERERHRDRDRPHDLESERWERDHHEKSGSKERDDHDRERGRERDRDRRRRVK >Manes.16G131000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33182988:33195353:1 gene:Manes.16G131000.v8.1 transcript:Manes.16G131000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSITRKKTPFQKHREEEEAKKKREDDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNERITADSEGEKSKDGVSGPKKGSRYVPSFLPPPMAVKGKESEKKKEEERPREKEKGKARNIDHFMEELKHEHEMRERRNQERERWRDGCATEISAPSSRFDELPDDFDPSGKGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGIVVYEYELKIGWGKSVALPSQALPAPPPGHMAIRSKEGATVVLSGPSGPPVTSVPSHSSELVLTPNVPDIKVVPPDDNHLCHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWMPPPLPTGKSPEREKESGNTYAAGKSRRVDPERTLTDPERDEFEDMLRGLTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRASFLRSNTSGVIPFHSICGHAPEMGKKINSEDTGGGNMNQDAALAMGKGAAMKELMCLPIAELERRCRHNGLSLIGGREIMVARLLSLEEAEKQRGYELDNDLKFSQSHSSTRKFSSGHGETYVESEPEGLSGRDLYGEDGMQSQSRDSASLATTLPIPQPELKAFTKKEKNDPVLPVSKWARDDDDSYDEQKKSARDLGLSYSSSGSENAGDDPGKADDEMEFGTDASISMQPDVGMNEEQRQKLRRLEGALIEYRESLEERGIKSAEEIEKKVAIHRKWLQSEYGLFDSPHDIPGNSKRKSSERKDRRDDSRESSRKRHRSQSRSQSPQRKTSTRDKEWGNDSDRERERHRDRDRPHDLESERWERDHHEKSGSKERDDHDRERGRERDRDRRRRVK >Manes.18G140100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15034705:15058967:-1 gene:Manes.18G140100.v8.1 transcript:Manes.18G140100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAVDHVIETIVSALQKEATLLVGIKYELDEIRKELVSMKSFLQDAARKQVMSKGEETWVAEVRDIAHQIEDLIDEYMYYVYRKQYSTVVHRIFRTPKSLLEKHKIASKLRQINKNIIGMDERRKRFGNDRIEGSNDHYDSPLYPRDLAVFVKEDDVVGFVDESRLLKTWLMDNKKHQTLIAVVGMGGSGKTTIIAKTYNNETVKSYFDCYAWITVSQTYTRDDLLRKLIKECHGSRKEQVPNDLCSKGFKDLVEFLMGYLKHKAYLVVLDDVWDISLWENIKASLPNNQFGSRIIFTTRNADVGSFSSDVRTHKLTINPLKKEEAWDLFCMKAFLHYPDKSCPKELKPLALELVKKCDGLPLAIVALGGLMCSKKSTSEWSSVRDNLNWQLNNNPRLEIVKSILLLSFNYLPSPLEYCFLYCCLFPEDYKVRRKRVIRLWIAEGFVQNVERATPLEVAESYFMELTLRSMLQVESRNACGRPNACKMHDLLREIGISILEREKFGVVYDGKVKINEYQLHQARRISIQTNDGDLQLSGNMSYLRSLLVFVDCSVSFSKTSLSNLKLMRSLDLENVAIDVLPEELGTLFNLRYLNLRGTQVAKLPKSIRKLGNLESLDIRETNVKELPSEVAELQNLYHLTMLSKKIANTDFGDFLLCSGVQVPFEISKLKKLQVLYYIEAKSNIIRQLRSMTQLRRMGISNLREADEHDLCSSIQNLKLLRTLRLCVNNEAEFLPMDALETPPPQLQNLSLGGKLKRVPHWFHSLQNLTVLWLHWSRLKEDLLPQVAALPNLGCLYLINSFVGESLHFYSGFDKLKELLLVNFPQLKGIIIEKGVMPDIQKLWIDSCFALNAVPQGIEFLINLQTLWLSNFSSRLIDVESIDHSKLQHIPNVKIMEHRGELPQTQTHKILIKESLCPYSNNLK >Manes.12G101500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25522417:25528117:-1 gene:Manes.12G101500.v8.1 transcript:Manes.12G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRVRTALQAMKAPLNHEKEEKMETRAIRAKAAQKSSVNRRRSNRERKLALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPPNTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKKNAESSNDVIIDHPQPSPTRPKHVRSKSLSHNEFSSATFAGRPNPSLARSTSSRKLISSEATVDRSAHSSNRLMHGKQAYGKPSCSSFLMDDGRGKENRSCTNAVKDKQSPDKKTVKIVTPIKKATNKHESEKSLEPLKIECRLVEQERAQESSSGYSEDKVSETNITDTPNKVSEDILKCLSGILLRISTFKDKAMESGTLLSRTAFVSLESNEEIEVWDPYGIISESRARDIGPYKHLCAIEASSVDLNRTTNALFLIHRLKLLLGKLASVNLEGLTHQQKLAFWINTYNSCMMNAILEHGIPKTPEMVVALMQKATITVGGQLLNAITIEHFILRLPYHLKFTCSKAAKNDEMKTRSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASHVEEELEAAKREYLQAAVGISKTNKLIIPKVLDWYLLDFAKDIEALLDWVCLQLPDELRTEAVKFVERRGTEPLSQLVQVMPYDFSFRLLLQR >Manes.12G101500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25522345:25528117:-1 gene:Manes.12G101500.v8.1 transcript:Manes.12G101500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRVRTALQAMKAPLNHEKEEKMETRAIRAKAAQKSSVNRRRSNRERKLALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPPNTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYISSKKNAESSNDVIIDHPQPSPTRPKHVRSKSLSHNEFSSATFAGRPNPSLARSTSSRKLISSEATVDRSAHSSNRLMHGKQAYDKKTVKIVTPIKKATNKHESEKSLEPLKIECRLVEQERAQESSSGYSEDKVSETNITDTPNKVSEDILKCLSGILLRISTFKDKAMESGTLLSRTAFVSLESNEEIEVWDPYGIISESRARDIGPYKHLCAIEASSVDLNRTTNALFLIHRLKLLLGKLASVNLEGLTHQQKLAFWINTYNSCMMNAILEHGIPKTPEMVVALMQKATITVGGQLLNAITIEHFILRLPYHLKFTCSKAAKNDEMKTRSIFGLEWSEPLVTFALSCGSWSSPAVRVYTASHVEEELEAAKREYLQAAVGISKTNKLIIPKVLDWYLLDFAKDIEALLDWVCLQLPDELRTEAVKFVERRGTEPLSQLVQVMPYDFSFRLLLQR >Manes.10G148800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31456471:31461931:1 gene:Manes.10G148800.v8.1 transcript:Manes.10G148800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQIQKLNSTKLFSLLPQKPSLCSHGNTSCFPTYYKQQKCFIFPKKNSRLSVRASIIDKAMSDINHDGEAVSVKANVTVKVTVGGLISSIGITKPLEELTDIIGKSLLLELVSAELDPKTGLEKDTVKSYAHIRLGIRKPGEVKLEAKFKVPDGFGEIGAILLENEHRKEIFIGSIELEGFPNGPVTVSCNSWVHSKRDNPKKRIFFTNKSFLPIDTPNGLKRLREEELKNLQGNGQGERKSFERIFDYDVYNDLANPDSTEDLARPVLGGKKYPYPRRCRTGRPRTKKDPLTESRSSDFYVPRDEAFGELKQATFGVNTVKSVLHALLPTIETAIVDDKLGFPHFTAIDKLFEDGVELPKEVKKPWYLHTLLPRAVKAVKDTKDEILRFETPELFDRDKFSWFRDEEFSRQTLAGLNPYGIELVTEWPLTSKLDPEVYGPPKSAITKEIIEKEIKGFMTVEEALRQKRLFMLDYHDILLPYVAKVRALEGTTLYGSRTLFFLVNDSTLRPVAIELTRPPIGGQPQWKQVFTPSFDATSCWLWRMAKAHALAHDSGIHQLVSHWLRTHCCVEPYIIAAHRQLSEMHPIFRLLHPHFRYTMEINALARESLINAGGIIESSFSPGKYSMELSSVAYDKLWRFDTEALPADLISRGMAVEDPTAKHGLKLTIEDYPFANDGLMLWEAIKQWVTDYVNNYYKEESKVVSDNELQAWWEDVRTKGHEDKKDEPWWPVLKTQQDLIQVLTTIIWVTSGHHAAVNFGQYVFGGYFPNRPTIARINMPTEEPTESEFELFLNKPELTLLKCFPSQQQATKVMAILDVLSNHSPDEEYIGDTLESSWEADPVIKAAYERFRAKLMELEATIDERNSNSKNTNRAGAGVVPYELMKPFSEPGVTGKGVPNSISI >Manes.10G148800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31456471:31461932:1 gene:Manes.10G148800.v8.1 transcript:Manes.10G148800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQIQKLNSTKLFSLLPQKPSLCSHGNTSCFPTYYKQQKCFIFPKKNSRLSVRASIIDKAMSDINHDGEAVSVKANVTVKVTVGGLISSIGITKPLEELTDIIGKSLLLELVSAELDPKTGLEKDTVKSYAHIRLGIRKPGEVKLEAKFKVPDGFGEIGAILLENEHRKEIFIGSIELEGFPNGPVTVSCNSWVHSKRDNPKKRIFFTNKSFLPIDTPNGLKRLREEELKNLQGNGQGERKSFERIFDYDVYNDLANPDSTEDLARPVLGGKKYPYPRRCRTGRPRTKKDPLTESRSSDFYVPRDEAFGELKQATFGVNTVKSVLHALLPTIETAIVDDKLGFPHFTAIDKLFEDGVELPKEVKKPWYLHTLLPRAVKAVKDTKDEILRFETPELFDRDKFSWFRDEEFSRQTLAGLNPYGIELVTEWPLTSKLDPEVYGPPKSAITKEIIEKEIKGFMTVEEALRQKRLFMLDYHDILLPYVAKVRALEGTTLYGSRTLFFLVNDSTLRPVAIELTRPPIGGQPQWKQVFTPSFDATSCWLWRMAKAHALAHDSGIHQLVSHWLRTHCCVEPYIIAAHRQLSEMHPIFRLLHPHFRYTMEINALARESLINAGGIIESSFSPGKYSMELSSVAYDKLWRFDTEALPADLISS >Manes.01G040100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6957835:6961969:-1 gene:Manes.01G040100.v8.1 transcript:Manes.01G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWQQLIQSIFIGLLFSYLLAKLISIVVSFKDDNLTISRSAESNQSLPPRPKSVTDFGFCGQDFTAAEFTAVHDSDSMMAEQGSVRNESITGSDIGDPDIDDDDDDDDDWEGVESTELDEMFSAATAFVAAAAADRLSQKVSTDVQLQLYGLYKIATEGPCSTPPPSAIKMSARAKWQAWQKLGAMPPEDAMQKYIDIVTELYPTWASGSAMKRKGGDGDAQSNDSKGPMGPVFSTHVFEEESGNELKMDAIHAFAREGDLKNLLRCIENGVSTNLKDSEGRTPLHWAVDRGHLNVVGALVERNSDVNSKDNEGQTPLHYAAVCEREAIAEFLVKQNADTNLEDNDGKSARDLCEVDWPCLRH >Manes.12G059300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5079946:5087499:-1 gene:Manes.12G059300.v8.1 transcript:Manes.12G059300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVIGSGITGLVSAYVLAKAGVNVVLYEKDDYLGGHSKTVSIDGVDVDLGFMVFNRVTYPNMMEFFESLGVDMELSDMSFAVSLDKGQGYEWGTRNGLSALFAQKKNLVDPRFLRMLRELVKFKHDVLSYLQMLENNPDIDRNETLGNFIKCKGYSDVFQNAYLIPMCGSIWSCISEKVLSFSAYSILSFCRNHHLLQLTGRPQWLTVRCRSHTYVNKVREMLESWGCQIRTSCDVLSVSTDDEGCRVVGVDGSEEMFTGCIIASHAPDTLKMLGEQATLDERRILGAFQYVYSDIFVHHDKEFMPKNPVAWCAWNFLGSEDNKLCLTYWLNVLQNLGDTGLPFFVTLNPDHTPDHTLLKWTTSHPVPSVAATNAALEFENIQGKRGLWFCGAYQGYGFHEDGLKSGMLAAHGFLGKSCALLSNPKHMVPSMLEIGARLVVTRFLGNYISTGSLIILEEGGTMFTFEGTAKKCSLKVVLKVHSPQFYWKIMTQADLGLADAYINGDFSFVDKDAGLLNLFMVLIANRDANTTTASKLNRKKGWWTPMFFTASFASAKFFIQHVSRQNTLTQARRNISRHYDLSNDLFALFLDETMTYSSAVFKTEDEDLKEAQMRKISLLIEKARVSKEHEVLEIGFGWGTLAIEVVKRTGCRYTGITLSEEQLKYAENKVKEAGLQDSIRFLLADYRQLPKNIKYDRIISCEMLEAVGHEYMEEFFGCCDAALAKDGLIVMQFISIPEERYEEYRQSSDFIKEYIFPGACLPSLTRVTTAMAAKTRLCLEHVENVGLHYFQTLRCWRKNFLENQSKILALGFNEKFIRTWEYYFDYSAAGFKTYAWRLSGCFYTPRQCGCTGQPIQRTSCSILLILSTQRGQTVKSIWFFLLLYNLYVIKSFVSQNLRGINFAPAYLIEICRFNTLFFSLKISVCFMI >Manes.06G153500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28071335:28079543:1 gene:Manes.06G153500.v8.1 transcript:Manes.06G153500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQPAPNRHHSNLFHSATTALISLVSSPKASPLSTIPLCPPKICIPFQFADSSYPLIQSIRSPFDSNQPESVSPKSAAVKGLSSAESNSGFPSTVRIAGLKSNGKSGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPEWLKKIFATVTKSERNGPVFRFFIDLGDAVAYVKRLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANQLLKKIPQGNGRRKVDGVPVFTAQNLDIAIATADGIKWYTPYFFDKNMLDNILEESVDQHFHSLIQTRHMQRRRDVVDDNLAAEVIEEMGDNMLEPPEVQEMLDEMGHPGIPLSVISKAAEIQLLHAVDRVLLGNRWLRKATGIQPKFPYMVDSFEKRSASSFQRASESTSYLANPKTDSTSNCTFKDNAQADHEQKTDLRIPFGDWFRHPWLKQKWKPEKLPEIRSHESLSECTKQKLERNSFLPKITMVGISTGEAGQMSKASLKKTMEDLTRELEQTDRENATGSSSNSNELENEYRDPLFVANVGDYYSGMSRTNSSRWVRGGSR >Manes.15G144032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11669819:11670272:1 gene:Manes.15G144032.v8.1 transcript:Manes.15G144032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGLLVGSMILLLVFSFDAALAVPTKLFNPREMNKGKHESLKENKAGTRGSHSPPSGPGSSPPTKS >Manes.S033016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:824478:824858:1 gene:Manes.S033016.v8.1 transcript:Manes.S033016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.12G135100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34206007:34211176:-1 gene:Manes.12G135100.v8.1 transcript:Manes.12G135100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKSFRSKAVHFVSDLTTVFLNPISDKPSKQPPPPLTDDQSEPKNSQLESITEEDNRDLVDGPDTSSFTAFLYSLLSSSDTGDNTNADEKNDNTAEVIDQPSENVRKESGKRKGLLSRGKQSLRTIYQAARIGGFRSQERKGESDMKLADETDDNFDGLEMKHMQNEKEPVELEDLPGSSEPSMLLSEKARSALYASLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGPSLLVVGDRKGAVFGGLVEAPLRPTNKRKYQGTNNTFVFTNKPGHPMLYRPTGANRYFTLCSTDFLAIGGGGHFALYLDGDLINGSSSVSETYGNPCLAHSEDFEVKEIELWGFVYGSKYEEILALSRTEAPGICRW >Manes.01G007050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2583996:2585236:1 gene:Manes.01G007050.v8.1 transcript:Manes.01G007050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPSSSQPQTQNPQPILPSNPGSLNSIPISTSGILPKPLPLTLHTIYLENFPTSCDDAFLLKIKSNYVKISDVYVARKLNKKGKCFAFLWVFSISDMLFLLKTLNLLWIGTYKLKAFKSRFMKNHNGRNQNQLSHQSLCKTRLLQNLRNICIFIIPKSRSCKKKPKSTAPFDICPWYR >Manes.16G117600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32176265:32176732:1 gene:Manes.16G117600.v8.1 transcript:Manes.16G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINEKQEKRVRHLETKLMWIVTSYIVSQGIVFLSISRPSSISCNNWWYPFCLAFSVGSIFGMTFTSSISKWARTQYQYELNLLDLEMINYEMYLLKHGQPKVNALSNLEQQQRVLTPDKVKVYQRYAFMYLTTFSLIVFTFVILRSSSTLPCN >Manes.10G076211.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13860720:13875729:-1 gene:Manes.10G076211.v8.1 transcript:Manes.10G076211.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASSLLFSSLPPPQLLSSSNLHNPLSFSLSFPVSPNTATLTSNPRKIRTLIPASSNSSPSFDDFVFDGKSGSTDKKSVLSDLIQEIEPLDVSLIQRDVPPTTLDAMKRTISGMLGLLPSDRFKVFIESLWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLNVDEGNIENQAPENSKLNLQGTEVDNAKANQYCGKNVKFEKRHADLSDNISVEGLGEMSSEAKKYILDLQSRLSSVKKELHEAKRRSAALQMQQFVGEEKNELLDYLRSLQPEKVLISRAFSFG >Manes.10G076211.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13860721:13875728:-1 gene:Manes.10G076211.v8.1 transcript:Manes.10G076211.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASSLLFSSLPPPQLLSSSNLHNPLSFSLSFPVSPNTATLTSNPRKIRTLIPASSNSSPSFDDFVFDGKSGSTDKKSVLSDLIQEIEPLDVSLIQRDVPPTTLDAMKRTISGMLGLLPSDRFKVFIESLWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLNVDEGNIENQAPENSKLNLQGTEVDNAKANQYCGKNVKFEKRHADLSDNISVEGLGEMSSEAKKYILDLQSRLSSVKKELHEAKRRSAALQMQQFVGEEKNELLDYLRSLQPEKMLQALHKVRDYWLIMLVLITSCCKGFFFPIFFFSFLAC >Manes.10G076211.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13860721:13875728:-1 gene:Manes.10G076211.v8.1 transcript:Manes.10G076211.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASSLLFSSLPPPQLLSSSNLHNPLSFSLSFPVSPNTATLTSNPRKIRTLIPASSNSSPSFDDFVFDGKSGSTDKKSVLSDLIQEIEPLDVSLIQRDVPPTTLDAMKRTISGMLGLLPSDRFKVFIESLWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLNVDEGNIENQAPENSKLNLQGTEVDNAKANQYCGKNVKFEKRHADLSDNISVEGLGEMSSEAKKYILDLQSRLSSVKKELHEAKRRSAALQMQQFVGEEKNELLDYLRSLQPEKGQDMAFALTVGPA >Manes.10G076211.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13860721:13875728:-1 gene:Manes.10G076211.v8.1 transcript:Manes.10G076211.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFASSLLFSSLPPPQLLSSSNLHNPLSFSLSFPVSPNTATLTSNPRKIRTLIPASSNSSPSFDDFVFDGKSGSTDKKSVLSDLIQEIEPLDVSLIQRDVPPTTLDAMKRTISGMLGLLPSDRFKVFIESLWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLNVDEGNIENQAPENSKLNLQGTEVDNAKANQYCGKNVKFEKRHADLSDNISVEGLGEMSSEAKKYILDLQSRLSSVKKELHEAKRRSAALQMQQFVGEEKNELLDYLRSLQPEKVAELSEPTSLELKEVINSVVHGLLATLSPKMHSKAPPQSENASTGTWNIGSEDCAELLENTSLQFQPLISLTRDYLARLLFWCMLLGHYLRGLEYRMELMELLSLTSNVEKHDACGDDQVA >Manes.17G031500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22603649:22607593:-1 gene:Manes.17G031500.v8.1 transcript:Manes.17G031500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRKFLHYLLLLLQFIFCTSLDNFTETQTFKEGNLLVSKGNKFAFGFFSPGSSNNRYLGIWFHEVSEPSVVWVANRNNPLSGSTGFLSINQYGNLVLYSNPDQKVLVWSTNISVEVSDACVAQLLDTGNLVLLQSRSKRILWQSFDYPTDTLIPGMKIGQNWKAGMNWILTSWISANDPGSGDYSLELNPRGSPQFLLYKDSRIYWRSIPWPWRKISDVYNYSYVNNEEEAYYFYHMFDASVIMRGVVDNSGFIKWLRWQKSEGRWKEFWSVPKYQCDLYAQCGVSSKCTPGSPDIFECSCLPGYEPKSPNDWNLRDASKGCIKKQQDPSSLCDHRERFVKVGNVKVPDTSAAVWVNKNLSLLGCEQECKKNCSCSAYATIDIVGRASGCLTWYGQLWDTVDHIDERYDLYIRVDGVEPANNESKSNAFLGKEQRILTISVGLAWFTVFFFAYLWLRRRRKGMRNKWENKVFDPINGSIYYKNTMVACELGGNSHPPDITFFDLSTIVVATNSFSPTNKLGQGGFGSVYRGQLSNGQEIAVKQLSKNSVQGIEEFKNEAMLIAKLQHRNLVKLLGCCIQGGEKILIYEYLPNKSLDSFLFDETKRSSLDWSKRFDIIIGIARGILYLHQDSRLRIIHRDLKCSNILLDSEMNPKISDFGTARIFRADQIQEKTNRVVGTYGYMSPEYAVFGQFSVKSDVFSFGVVLLEIVSGKKSNGCNQENPSICLIGQVWELWREDNVLEIVDSILEESYPPDEVLRCIQIALLCVQENAVDRPTMLEVVLMLSSETAIPSPKKPAFIFRASSSSNTNTLKVVGEGSSSVNEVTITTFVAR >Manes.08G022300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2247231:2250689:1 gene:Manes.08G022300.v8.1 transcript:Manes.08G022300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLKQWRTRQHESEEQHSAKMPKLLMDSHPPQPQPQPHHPSESTAPLPLFVPESNSKISNVSAFPDSTPAAAAAAATRFPRMGGSYFSLAQWQELELQALIYRYMLAGAAVPPELLQPIKKSLLHSPPYFLHHPLQQYSYYQPALLQTGYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRNRSRKPVEIPTPTSSSTTSTSIGIGGGGASGVAGAAFSSTTSIITPPLSAVTDGASFSFSGQSPSADLLHLNHRSSDSKHEIKGLFGHQTEVGHRSDGHILRHFFDDWPRSLQEPDTAGSNVTATCLSISMPGNPSSDVSLKLSTGNAEESVGPRGNDREHPQVNWTGAWGTTQVASMGGPLAEALRSSTSNSSPTSVLHQLPRGSASEISYVST >Manes.03G074500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:12299513:12300967:-1 gene:Manes.03G074500.v8.1 transcript:Manes.03G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISETMTSSSEWFPFYEPTSTHGLPAPSSSFFGFSEANMVTTTTAQSGHSDHCLVLSPSCSTTATAPLTPKGCVSKPTRRRSRASKKTPTTLLNANISNFRALVQQFTGCHSSTRSLGKQKGPINLNFQLGSVQNPSTETTRMNPFYKSCNTCCPHEHHQMQKLEGQQQPLQQQDHDHQQLLQPEQKHMVSSLEDVCFPGPGDIDSTATAGTNLQIPDELLIMDDFSLHELARESLYDEI >Manes.01G142100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33317619:33319263:1 gene:Manes.01G142100.v8.1 transcript:Manes.01G142100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIHFYLSSHHLLLLLLLLTLAGLFTVESKTYWRDVEVLKELKNGLDPASVTPGSCLSSWDFSVDPCDHLFSERFTCGFRCDLLVSGFSRVTELSLDQAGYTASLANITWNLPYLDTLDLSSNNFYGQIPESFSNLSRLSRLGLSGNWFSGQIPVFLGSLANLEELYLDNNILQGTIPATLNGLISLKRLEIQSNKLYGEFPELGSLKSLYLLDASDNAISGKVPATLPSSLVQISMRNNSLEGTIPESFRNLGYLQVVDLSHNKLSGSVPSLLFNHPSLQQLTLSFNYFTTVQSLARFGPPTTIQSELIAVDMSNNQLQGFLPSFLALMPKLSALSLENNKFTGMIPTQFAIKTAVPGSGFLAFQRLLLGGNYLFGPIPVPLMELKAGSVDVRLNDNCLYWCPVTLFFCEGGDQKSLMECKSFNPFIP >Manes.02G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6536313:6540365:-1 gene:Manes.02G083200.v8.1 transcript:Manes.02G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGSSVISKKYPMLPPRSPFQVIVSPHSDFISSSITNSKTSTKVVGEVLHYRASSDGYLLEQPSWLDDLLDEPDSPLCIAHHRSSSDSDALLGSPLTFETGFECLLPLSGDTTVDQICKSSFPQKSGRNVPPKAMKNQESSNVSDSSIAATASKTDSRRSKQQSARSARLRKLQYIAELERSVQILQAEGTEFTAAIHYLDQQVLMLNMENRALKQRLDSLSQEQLLKYLEQDMLEREIARLQVLHCQQQQQNQKQKRPAHRQSKSREVELQFANLCT >Manes.02G083200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6536313:6539634:-1 gene:Manes.02G083200.v8.1 transcript:Manes.02G083200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSGSSVISKKYPMLPPRSPFQVIVSPHSDFISSSITNSKTSTKVVGEVLHYRASSDGYLLEQPSWLDDLLDEPDSPLCIAHHRSSSDSDALLGSPLTFETGFECLLPLSGDTTVDQICKSSFPQKSGRNVPPKAMKNQESSNVSDSSIAATASKTDSRRSKQQSARSARLRKLQYIAELERSVQILQAEGTEFTAAIHYLDQQVLMLNMENRALKQRLDSLSQEQLLKYLEQDMLEREIARLQVLHCQQQQQNQKQKRPAHRQSKSREVELQFANLCT >Manes.05G169800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28403748:28405472:-1 gene:Manes.05G169800.v8.1 transcript:Manes.05G169800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAISEWKSQITKVILQWKEAKQTICTCGNCSLASHNVNSGLRGKNIQNHHHILSTRKIVKLELLDMEIAKLRHAQGEVIQKGSSSFMIFK >Manes.17G071100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27093767:27098168:-1 gene:Manes.17G071100.v8.1 transcript:Manes.17G071100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRMSSEQAPVHKLGDSQMTLSPKFRLAMIQSSVLSPSEIEFSLQGEPLIPGLPDDVALNCLLRLPVQSHAACRTVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWRVLDLTHFSWHTIPAMPCKDRVCPHGFRCASIPLDGALFVCGGMVSDVDCPLDLVLKYEMHKNRWTVMNRMIAARSFFASGVIDGRIYVAGGNSTDLFELDSAEVLDPVKGNWCRIASMGTNMASYDAAVLDGKLLVTEGWLWPFFVSPRGQVYDPRTDRWENMAVGLREGWTGSSVVVYGCLFVVSELERMKLKVYDVDTDSWETIDGPPLPEQICKPFAVNACDCKIYVVGRNLHVAVGHISKLRQKGTCEDKWNFSVTWDVVDPPDGFSDLTPSSSQLL >Manes.17G071100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27093767:27098203:-1 gene:Manes.17G071100.v8.1 transcript:Manes.17G071100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRMSSEQAPVHKLGDSQMTLSPKFRLAMIQSSVLSPSEIEFSLQGEPLIPGLPDDVALNCLLRLPVQSHAACRTVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWRVLDLTHFSWHTIPAMPCKDRVCPHGFRCASIPLDGALFVCGGMVSDVDCPLDLVLKYEMHKNRWTVMNRMIAARSFFASGVIDGRIYVAGGNSTDLFELDSAEVLDPVKGNWCRIASMGTNMASYDAAVLDGKLLVTEGWLWPFFVSPRGQVYDPRTDRWENMAVGLREGWTGSSVVVYGCLFVVSELERMKLKVYDVDTDSWETIDGPPLPEQICKPFAVNACDCKIYVVGRNLHVAVGHISKLRQKGTCEDKWNFSVTWDVVDPPDGFSDLTPSSSQVLFA >Manes.17G071100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27093767:27098168:-1 gene:Manes.17G071100.v8.1 transcript:Manes.17G071100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRMSSEQAPVHKLGDSQMTLSPKFRLAMIQSSVLSPSEIEFSLQGEPLIPGLPDDVALNCLLRLPVQSHAACRTVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWRVLDLTHFSWHTIPAMPCKDRVCPHGFRCASIPLDGALFVCGGMVSDVDCPLDLVLKYEMHKNRWTVMNRMIAARSFFASGVIDGRIYVAGGNSTDLFELDSAEVLDPVKGNWCRIASMGTNMASYDAAVLDGKLLVTEGWLWPFFVSPRGQVYDPRTDRWENMAVGLREGWTGSSVVVYGCLFVVSELERMKLKVYDVDTDSWETIDGPPLPEQICKPFAVNACDCKIYVVGRNLHVAVGHISKLRQKGTCEDKWNFSVTWDVVDPPDGFSDLTPSSSQL >Manes.17G071100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27093767:27098168:-1 gene:Manes.17G071100.v8.1 transcript:Manes.17G071100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRMSSEQAPVHKLGDSQMTLSPKFRLAMIQSSVLSPSEIEFSLQGEPLIPGLPDDVALNCLLRLPVQSHAACRTVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWRVLDLTHFSWHTIPAMPCKDRVCPHGFRCASIPLDGALFVCGGMVSDVDCPLDLVLKYEMHKNRWTVMNRMIAARSFFASGVIDGRIYVAGGNSTDLFELDSAEVLDPVKGNWCRIASMGTNMASYDAAVLDGKLLVTEGWLWPFFVSPRGQVYDPRTDRWENMAVGLREGWTGSSVVVYGCLFVVSELERMKLKVYDVDTDSWETIDGPPLPEQICKPFAVNACDCKIYVVGRNLHVAVGHISKLRQKGTCEDKWNFSVTWDVVDPPDGFSDLTPSSSQLL >Manes.17G071100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27093767:27098203:-1 gene:Manes.17G071100.v8.1 transcript:Manes.17G071100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRMSSEQAPVHKLGDSQMTLSPKFRLAMIQSSVLSPSEIEFSLQGEPLIPGLPDDVALNCLLRLPVQSHAACRTVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWRVLDLTHFSWHTIPAMPCKDRVCPHGFRCASIPLDGALFVCGGMVSDVDCPLDLVLKYEMHKNRWTVMNRMIAARSFFASGVIDGRIYVAGGNSTDLFELDSAEVLDPVKGNWCRIASMGTNMASYDAAVLDGKLLVTEGWLWPFFVSPRGQVYDPRTDRWENMAVGLREGWTGSSVVVYGCLFVVSELERMKLKVYDVDTDSWETIDGPPLPEQICKPFAVNACDCKIYVVGRNLHVAVGHISKLRQKGTCEDKWNFSVTWDVVDPPDGFSDLTPSSSQVLFA >Manes.17G071100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27093767:27098204:-1 gene:Manes.17G071100.v8.1 transcript:Manes.17G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRMSSEQAPVHKLGDSQMTLSPKFRLAMIQSSVLSPSEIEFSLQGEPLIPGLPDDVALNCLLRLPVQSHAACRTVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWRVLDLTHFSWHTIPAMPCKDRVCPHGFRCASIPLDGALFVCGGMVSDVDCPLDLVLKYEMHKNRWTVMNRMIAARSFFASGVIDGRIYVAGGNSTDLFELDSAEVLDPVKGNWCRIASMGTNMASYDAAVLDGKLLVTEGWLWPFFVSPRGQVYDPRTDRWENMAVGLREGWTGSSVVVYGCLFVVSELERMKLKVYDVDTDSWETIDGPPLPEQICKPFAVNACDCKIYVVGRNLHVAVGHISKLRQKGTCEDKWNFSVTWDVVDPPDGFSDLTPSSSQVLFA >Manes.17G071100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27093767:27098204:-1 gene:Manes.17G071100.v8.1 transcript:Manes.17G071100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRMSSEQAPVHKLGDSQMTLSPKFRLAMIQSSVLSPSEIEFSLQGEPLIPGLPDDVALNCLLRLPVQSHAACRTVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFAFHKCTGKIQWRVLDLTHFSWHTIPAMPCKDRVCPHGFRCASIPLDGALFVCGGMVSDVDCPLDLVLKYEMHKNRWTVMNRMIAARSFFASGVIDGRIYVAGGNSTDLFELDSAEVLDPVKGNWCRIASMGTNMASYDAAVLDGKLLVTEGWLWPFFVSPRGQVYDPRTDRWENMAVGLREGWTGSSVVVYGCLFVVSELERMKLKVYDVDTDSWETIDGPPLPEQICKPFAVNACDCKIYVVGRNLHVAVGHISKLRQKGTCEDKWNFSVTWDVVDPPDGFSDLTPSSSQVLFA >Manes.02G151100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11576174:11577925:-1 gene:Manes.02G151100.v8.1 transcript:Manes.02G151100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVSSHVLLFLVLIVSLVDFSSSARSLGESSDAPQQQLLFQYHNGPLLSGKISVNLIWYGKFSPAQRAIITDFITSLSSSKTKTVHPSVVTWREAIDKYYHLVKSYKASSLALSLGTQILDTNYSLGKSLTSKQIVQLASKGGQKDAINVVLTSSDVAVEGFCSSRCGTHGSALSARKINNGKTSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQSPPLIAPNNDVGLDGMVINLASLLAGTATNPFGNGYFQGPKEAPLEAASACPGVFGKGAYPGYAGELLVDATTGASYNANGVNGRKYLLPALLDPSTSVCSTLV >Manes.14G051001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4360009:4362596:-1 gene:Manes.14G051001.v8.1 transcript:Manes.14G051001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGMTSSQGSQNITQTSATPGKAGGNVHTGAPPPWLEATEETQLVAELKPISSSFISLSNKPGKKLNPKRVGAAWAERRKIELEMERRGEIVKSDGDDNWLPNFGRVWQSGTRKESRKEFEKEKQKLPKVESHSEMPIKMEPYISKRMRRDASE >Manes.06G141650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26979641:26980027:-1 gene:Manes.06G141650.v8.1 transcript:Manes.06G141650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNTWEASKLSLAGRVTLAQLALATIQTVLLPSFVCEEIDKMCRQFIWGSTSTERKVNLVPWEHVIHPKDMGGLGFRSAKIMNTSFLLKLAWTLQTDMQVLWAKVVKVKYKLCPTNVDVIPEIQKRV >Manes.17G120900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32554102:32554882:-1 gene:Manes.17G120900.v8.1 transcript:Manes.17G120900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVRSRMVMASTIVLEVNFFHLVKNKDDQRRLFQDAALFFFD >Manes.14G047264.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4123381:4125215:-1 gene:Manes.14G047264.v8.1 transcript:Manes.14G047264.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLPPGFRFQPTDEELVFEYLKRKVLSWPLPASIIPVINLCNYDPWDLPGDKEQERYFFSHREAKYRNGNRINRATASGYWKATGLDRQIGPSHKNTALGMKKTLVFYRGKAPHALRTDWIMHEYRLVSVGDVASNSFPLTKNSAQNSCDEIEKWVLCRIYLKKRNSEIDENCEDERVENAAVTYDQRRFFYFTRRDEIVFDSVSSSSSSSSSSGITEVSSNGEDLDEESSSSSCNFF >Manes.07G031700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3463139:3474371:1 gene:Manes.07G031700.v8.1 transcript:Manes.07G031700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLTLIKSHASNPKLWVVIGVTVAGIVVLAETRRRRRKAMLMNREDFGAFVERFEILPFPQPPPPAAKQSLSGLTFAIKDIFDVKDYVTGFGNPDWRRTHDVAENMAVVVTALLKNGATCVGKTVMDELAMGITGENMHYGTPINPQMPSFVPGGSSSGSAVAVASELVDFALGTDTIGCIRIPAAFCGIFGCRPSHGAVSMIGAIPNSQSLDTIGWFARDPSVLHRVGHALLQLNAVEPRRARLLIFADDLFQLSKVPKQKTECVISKAIGNLSGYQLPKHMKLGQYIASNVPSLKGFHEESTKLQNGTSALKALSSVMISLQRYEFKANHEEWVKSVKPKLAPDVSNHVLAAINTKYENIKMLYKVRTEMRACLQSLLKDDGILVFPTVADPPFKLNTKKVYSAESHDRALILSAIASMSGCCQVTIPLGKHDGCPISVSFISYHGADKFLLDTVLDMYSSLQEQVGIVSNLAPFPDSNGNFDASELLKEKLSAS >Manes.07G031700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3463139:3474371:1 gene:Manes.07G031700.v8.1 transcript:Manes.07G031700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLTLIKSHASNPKLWVVIGVTVAGIVVLAETRRRRRKAMLMNREDFGAFVERFEILPFPQPPPPAAKQSLSGLTFAIKDIFDVKDYVTGFGNPDWRRTHDVAENMAVVVTALLKNGATCVGKTVMDELAMGITGENMHYGTPINPQMPSFVPGGSSSGSAVAVASELVDFALGTDTIGCIRIPAAFCGIFGCRPSHGAVSMIGAIPNSQSLDTIGWFARDPSVLHRVGHALLQLNAVEPRRARLLIFADDLFQLSKVPKQKTECVISKAIGNLSGYQLPKHMKLGQYIASNVPSLKGFHEESTKLQNGTSALKALSSVMISLQRYEFKANHEEWVKSVKPKLAPDVSNHVLAAINTKYENIKMLYKVRTEMRACLQSLLKDDGILVFPTVADPPFKLNTKKVYSAESHDRALILSAIASMSGCCQVTIPLGKHDGCPISVSFISYHGADKFLLDTVLDMYSSLQEQVGIVSNLAPFPDSNGNFDASELLKEKGNAAFKGKQWNKAVNYYNEAIKLNGSSATYYCNRAAAYLELGCFQQAEEDCSKAISLDKKNVKAYLRRGTARESLLCYKEAAQGEL >Manes.07G031700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3463139:3474371:1 gene:Manes.07G031700.v8.1 transcript:Manes.07G031700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLTLIKSHASNPKLWVVIGVTVAGIVVLAETRRRRRKAMLMNREDFGAFVERFEILPFPQPPPPAAKQSLSGLTFAIKDIFDVKDYVTGFGNPDWRRTHDVAENMAVVVTALLKNGATCVGKTVMDELAMGITGENMHYGTPINPQMPSFVPGGSSSGSAVAVASELVDFALGTDTIGCIRIPAAFCGIFGCRPSHGAVSMIGAIPNSQSLDTIGWFARDPSVLHRVGHALLQLNAVEPRRARLLIFADDLFQLSKVPKQKTECVISKAIGNLSGYQLPKHMKLGQYIASNVPSLKGFHEESTKLQNGTSALKALSSVMISLQRYEFKANHEEWVKSVKPKLAPDVSNHVLAAINTKYENIKMLYKVRTEMRACLQSLLKDDGILVFPTVADPPFKLNTKKVYSAESHDRALILSAIASMSGCCQVTIPLGKHDGCPISVSFISYHGADKFLLDTVLDMYSSLQEQVGIVSNLAPFPDSNGNFDASELLKEKGNAAFKGKQWNKAVNYYNEAIKLNGSSATYYCNRAAAYLELGCFQQAEEDCSKAISLDKKNVKAYLRRGTARESLLCYKEAAQDFKHALVLEPQNKVASNAERRLRKLMS >Manes.13G118700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32549901:32550302:1 gene:Manes.13G118700.v8.1 transcript:Manes.13G118700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQVIRETETIDGQGNVDCRSSAAGQCQEIRNVQVTHQPHPKENSKTSGGVLSNAAASIASTLESARDAIIRN >Manes.04G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4401312:4404738:1 gene:Manes.04G034200.v8.1 transcript:Manes.04G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCAATQEHGFFSAVQSGDLDAVKTMLERDPSLIHQTTAYDRQSALHIAAANGRIEILSMILERSVDPDVVNRHKQTPLMLAAMHGKIACLIKLIEAGANILKFDSLHERTCLHYAAYYGHSDCLQAVLSAAQSSHVAVSWGYARFVNIRDGRGAAPLHLAARQRRPQCVRILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRELLAWGADRIQRDASGRIPYLVALKHKHGACAALLNPSATEPLVWPSPLKFISELNQEAKALLERALMDANKEREKNILKGTAYSLPSPSHSDSGADDNISEASDTEVCCICFEQVCTIEVQDCGHQMCAQCTLALCCHNKPNPTTACLNPPVCPFCRSSIVRLVVAKVKDSEDADLDIGDIGSPKMRKARKSRNFSSEESSSFKGLSGMSPFGKMGGRGSGRIAAENERVDKP >Manes.01G039060.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:7502020:7505179:-1 gene:Manes.01G039060.v8.1 transcript:Manes.01G039060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTASIVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDDCCRWHGVNCDDINGHVVKLVLSRASIMGNISLHLGNLSNLQNLDLSWNPSLAIHSLHFPSSLKYLNLSFVLLDKCDNWLQTINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLDLGLNNFHSTIPSWLYNITNLRHLDLYSGAFRGSLATDISNLKSLASLSAGFNSLEGNIPNTLNRLCNLIELDLDYNKFSGEISGTFGNSSGCIKNSLENLYLLNNSFSGSIPDNLGQFKRLKFLSLSKNSFWGSIPVSIGQLYNLETLNFSKNSLHGKVSELHLLNLRSLIGLSMDGNSLVFDIDPEWIPPFQLSSIDLSSCEEGPSFPQWLKTQKSIRFLEMSNASISDNIPDWFENISSNIVGLVLSYNQLFGTLPTFRKLNTPYPNEYRIILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNLSEMMPRLRLLSLSNNYLNGTVPATLCRIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPWWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKGHWDYYTTAEPYVGFVRASYGENVQVYVKGIELEYTRTLLFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPRNIGKLSSLESLDLS >Manes.17G073200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27362829:27366103:-1 gene:Manes.17G073200.v8.1 transcript:Manes.17G073200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHQKSPPPPAAATAADAATVQSDLPPPPPFDPSRMIGIIKRKALIKKLAAVYHAECLAYCQELLELQKKWEEPFVDLKTPDDTRKEQTKPPKRLKKSR >Manes.17G073200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27362829:27366103:-1 gene:Manes.17G073200.v8.1 transcript:Manes.17G073200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQHQKSPPPPAAATAADAATVQSDLPPPPPFDPSRMIGIIKRKALIKKLAAVYHAECLAYCQELLELQKKWEEPFVDLKTPDDTRKEQTKPPKRLKKSR >Manes.01G208900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38053300:38056438:-1 gene:Manes.01G208900.v8.1 transcript:Manes.01G208900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRPLGRGRGRGRGRGRGRGR >Manes.13G140100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34776411:34779219:1 gene:Manes.13G140100.v8.1 transcript:Manes.13G140100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVTMEDAKAFAERENTFFMETSALESLNVENAFTEVLTQIYRVVSRKALEVGDDPAALPKGQTINVGKDDVSAMKKVGCCSA >Manes.16G035600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4384297:4386716:-1 gene:Manes.16G035600.v8.1 transcript:Manes.16G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNIKETASIRPSTPPFSENHVLPLSPLDIDRNMNVIFRYLRVYLNNAAATNSNHPFNVIASAISSALVHYYPLTGTIRRRQVDNRFEVFCSGDQGLPLINATVNCTLESLNYLDDPESDFVERLVPDPSPDEGLVNPCILQVTTFECGGFTLGAAIHHALCDGLGATQFFNVAAEVARGVDRISVQPVWDRTSLLSPRDPPQIEGAVREFLSLEKGSEPYGQAIGKVARVCFTVKEEWLDRLKSVLLEKSGLNFTTFEALGAFLWRAKVKASGIPSDEVVKFTYAMNIRRLVKPPLPAGYWGNGCAPMYAQLLAKELLEQPIWKTAEVIKKSKINATDEYVRSFIDFQEVHYADGITAGNRVSGFTDWRHLGHSTVDFGWGGPVTVFPLSTKLLGSVEPCFFLPCSSANAGKKDGFKVLVTLQETSVLAFKKEMEKFSNQEFEL >Manes.10G003700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:350754:355176:-1 gene:Manes.10G003700.v8.1 transcript:Manes.10G003700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKLTMPFQNNSLLPIGPPDALPSDYVEFDFSDVFGSAPCQASTQNLVVGSAASGSLYEDPAVICSRSHSLVGPSSHVSHPLKLSKLTLCDTEEDILELTNPVIGEPRGDLTEPPTAVGLEDFEVLRLVGRGGFGKVYQVRRTDTSEIYAMKVVRKDEIMEKNNAGYLKSERDILTKVDHPFIVQLRYSFQTKYRLYLVLDFINGGHLFYQLYRQGLFREDLARIYTAEIVSAVSHLHANGIMHRDLKPENVLLDADGHVMLTDFGVAKQFDENTRSNSMCGTLEYMSPEIILGKGHDKAADWWSVGILLYEMLTGKPPFIGGNRKQIQQKIIKNKIKLPSFLTGEAHSLLKGLLQKEASKRLGCGPGGSKEIKNHKWFKSINWKKLEAREIRPSFCPKVAGNLCVANFEECWTTMPILDTPAATPKSHDNPFKGFTYVRPASFLQTPP >Manes.15G173000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15214073:15214360:-1 gene:Manes.15G173000.v8.1 transcript:Manes.15G173000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSWFKGSPKPESKSSPSSKPELSASEVPGMNGAIQVPRPAKNVTVFEFGSVTASADKVTLAGYCPVSEELEPCRWEILPASEADAPQFRVVF >Manes.11G152172.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31815949:31822123:-1 gene:Manes.11G152172.v8.1 transcript:Manes.11G152172.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFIFFSFCSWKFIRFRVLLLFLLYQNFIFCWSLNDEGLALLKFRERIVSDPYDALKNWKDEDGVVNPCYWFGVECSDGKVVELNLKDLYLGGTLAPDLRNLVRIKSIILHNNSFTGIIPEGIGELKELEGLDFGNNNFSGPLPPVLDSSLSLTILFLDNNRLLSNLSPEIHRLETHSEFQVDENQLASAAKGPSYNERSALRNAVQTENAINKRQLQVANAPRVNESPYLRSRFSVPEAPSESGKAPPRSVAPPFSLLPSPPVNNSIQSPPPEPNPAPSSPPAVVSLPTPLEPNPPSASPNGSASNPLLVPTPPSSNNPRKPSSSKKHVSIIAGAIGGALLAMSIVIFYVYKINKATVKPWATGLSGQLQKAFVTGVPKLKKDLSLKKAVKISVV >Manes.06G001500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:486357:487363:-1 gene:Manes.06G001500.v8.1 transcript:Manes.06G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDHTTNDVYKECLRNHAASLGSYATDGCGEFTLDHTSPSTLQCAACGCHRNFHRKVTYSSSSSRPDQSTVMEMMEYVDVGSRLPGERSSGKTKRFRTKFTAEQKEKMLGFAEKLGWKLQRKDEEDEVERFCRGVGISRQVFKVWMHNHKNSSSSSSTTGNASSLTTQ >Manes.09G036900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7040886:7043106:1 gene:Manes.09G036900.v8.1 transcript:Manes.09G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGVNSHILYREVYQYHHIDQHQQQLWSGGGAGCSLLQTTSGCCNWFDSRNNGRCCKRISKRDLRVEAFWPDITRPTAVDMEPINDSEHLDQILLQAQEVSQPILIDWMAAWCRKCIYLKPKLEKLAAEYDTKIKFYFVDVNKVPQSLVKRGNISKMPTIQLWKDGEMKAEVIGGHKAWLVIEEVREMIQKFV >Manes.09G036900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7040885:7043106:1 gene:Manes.09G036900.v8.1 transcript:Manes.09G036900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGVNSHILYREVYQYHHIDQHQQQLWSGGGAGCSLLQTTSGCCNWFDSRNNGRCCKRISKRDLRVEAFWPDITRPTAVDMEPINDSEHLDQILLQAQEVSQPILIDWMAAWCRKCIYLKPKLEKLAAEYDTKIKFYFVDVNKVPQSLVKRGNISKMPTIQVLFHLQVLLYCNKFTR >Manes.10G148175.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31715983:31719262:-1 gene:Manes.10G148175.v8.1 transcript:Manes.10G148175.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSSSGIGLLALCNLLAIAAAFDRSSFPPGFVFGTAGSSYQYEGAASEDGRTPSIWDTYTHKHPERILGKGNGDVANDFYHRYKEDIALMKNMNLDAFRFSIAWPRVLPAGNLKGGVNAKGIEFYNNLIDKLLAEGIQPYVTLFHWDLPQTLEDEYGGFLSPRVVDDFRDFSDVCFKEFGDRVKYWITLNEPWSYCNPGYNTGLLAPGRCSKYMNPACQAGDSSTEPYMVAHNLLLSHAAAVKLYKEKYQESQKGEIGITLVSHWMVPFSDSKPDMEATKRAIDFMYGWFMDPLTSGAYPESMVKLVGNRLPKFTKEQSEMVKGSFDFLGLNYYSAFYVSDMPAKPTPVNVSVSSDSLANLTNHRNGIFIGPLGTSLWIRAYPKGLGEFVKYTKEKYNNPIIYITENGVDQNDNGTFTFEDLQKDTYRIEFFQTHLESLNNAIKEGVKVKGYFAWTLLDDFEWTGGYIMRYGLHLIDYKNDLKRSPKESVTWFTNFLKK >Manes.06G013300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2200248:2202783:1 gene:Manes.06G013300.v8.1 transcript:Manes.06G013300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMANTLFYALFILSTLTLLTTTAKKTYIVHMNRLSKPHSYATHHDWYQSLTTSDSILYTYTTAFHGFAAYLSPQEADSLRNMDYVLNVFEDTVYSVQTTRTPRFLGLDSNFGLIDDAREFQEIEQASQDVIVGVLDTGVWPESKSFDDTGLPEVPKRWKGKCDSTPDFNPALCNRKLIGARYFVEAHKRREPEWSHILSPRDYMGHGTHTATTIAGSPVANASMFGLARGTARGVAVRARVASYKVCWTSCLGADILAGIDTAIVDGVDVLSISMGGDGDQADPYYRDPIAIGGFRAMQLGIFVSCSAGNSGPRESSVGNVAPWIMTVGASSIDRDFPAYVLLGNKRIYRGISLYSGRKLGNEPVGLVYHKGKNSSSNFCLAGTLEPAVVHGKVVICDKGRNRRTEKGVVVLKAGGVGMILVDTVAMKELTADSHLVPTVGVGKKVGNLIKKYVKTGRNPTVVLGFRGMVVDVRPSPMVASFSSRGPNPITPEILKPDVIGPGVNILAAWPEVASPTHLEEDRRITKFNIVSGTSMSCPHVSGIVALLKAAHPSWSPSAIRSALMTTAYTLDNTNSSIKDSATLASANPWESGSGHVDPKKAFSPGLIYDISTEDYTKFLCSLNYPLDIIREVSLNPNLTCLKKFTDLGNFNYPSFSVLFGNKTMVQYTREVTNVGVAKCTYEVAVSAPSAVAVRVKPRKLVFKDVGEKLKYRVTFMGKRNRKPTGKAAFGSISWRYGQYMVRSPVAFTWI >Manes.12G039200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3420016:3421121:1 gene:Manes.12G039200.v8.1 transcript:Manes.12G039200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLRIIVEKNPSESKLTELNIKCWPKWGCSPGRYQLKFDAEETCYFLKGKVKVYPKGSSEFVEFGAGDLVTIPKGLSCTWDVSVAVDKYYKFESSSSLPPPPPPPSSSSSSSS >Manes.13G059300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6815629:6816122:-1 gene:Manes.13G059300.v8.1 transcript:Manes.13G059300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKMVIKVFMNGNSKTRSKALQIAVTTNGVQSAALGEKDKDQLEVVGEGVDAVKLIASLRKKLAKWPCLTYILPKTQVHAELLNMKDVEEKK >Manes.11G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26829960:26832585:-1 gene:Manes.11G114300.v8.1 transcript:Manes.11G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNQENFGTSIFGNLQFQRFGLPAKSLMELAKEAKKLGQDDPRRIVHSLKAGLALILVSLFYYIDPLYSTFGDNTTWAVMTAVVIFEFSVGATLGKSVNRMIATLGGGALSIGVCRLATVFGNTGKAIVIDIFVFAIAAMVTFARFFPKLKARCDYGLMIFILTFSLISVSSYRDDNIAKMALERLSTIVVGCCATILVSICICPVWIGEDLHKLVALNIEKLGKFLEGFGGEYFGLPSSDRSFLQGYRSVLNSQSSEENMANLAKWEPGHGRFRFFHPWKQYLTIGSLTRQCAIKIDVLNNYLNPQIQTSSEIPSEVKEQCKTISLECGKALKESSASIRTMIRIDTVHLHIGKSKTAAENLKSLFKTGVWKEADLLEIAPATAVASLLLEVVQCSERIADAVQELANLAGFRSVESSGSLQQFQGSSQQVHSIDLQNHEITIGE >Manes.04G023900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2780991:2781377:-1 gene:Manes.04G023900.v8.1 transcript:Manes.04G023900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGTSQSQTASSSASCPDIMAPRGSAAATDGLRRRRLGSSACGSASFAVGGLGGGSSNMLRLYTDDAPGLKISPTVVLVMSLCFIGFVTALSRFLEALSQQCSFRTLNCSIGTDESQSEEVPDVSDL >Manes.03G010100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:861230:864169:1 gene:Manes.03G010100.v8.1 transcript:Manes.03G010100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFFSLSIFLFLNLDIEYGSFSPASAASSSSEGPEITYGTVLKLMHERTKFRLHSHDVPYGTGSGQQSVTGFPDVDDANSYWIVRPQLGSDAKQGDRIETGTVIRLQHMKTRKWLHSHLHLSPISGNLEVSCFGGETESDTGDYWRLLIEGSGKTWKQDQRIRLQHIDTGGYLHSHDKKYQRIAGGQQEVNY >Manes.03G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:860956:866587:1 gene:Manes.03G010100.v8.1 transcript:Manes.03G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFFSLSIFLFLNLDIEYGSFSPASAASSSSEGPEITYGTVLKLMHERTKFRLHSHDVPYGTGSGQQSVTGFPDVDDANSYWIVRPQLGSDAKQGDRIETGTVIRLQHMKTRKWLHSHLHLSPISGNLEVSCFGGETESDTGDYWRLLIEGSGKTWKQDQRIRLQHIDTGGYLHSHDKKYQRIAGGQQEVCTYQEKRADNVWLAAEGVYLPVTQSK >Manes.13G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4949706:4964604:1 gene:Manes.13G041800.v8.1 transcript:Manes.13G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSLVVGSLVWLEDPDEAWVDGEVTEIKGEDIKVLCTSGKTVDIKASNVYAKDAEVPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRKLPHLYDSHMMAQYKGAAFGELSPHPFAIADDAYRLMINEGVSQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGMISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDLQRFKLGNPRMFHYLNQSNCYELDGVDDSKEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLKTAAELLMCDVKALEDSLCKRVIVTRDETITKWLDPESAAVSRDALAKIVYSRLFDWLVDKINSSIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIDFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASECSFVSGLFPVLAEESSKQSKFSSIGSRFKQQLQQLLETLSSTEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFLDRFSILAQEVLDGSSDEVTACKRLLEKVGLKGYQIGKTKVFLRAGQMAELDARRSEMLGRSASIIQRKVRSYLSRRSFIMVRQSAIQIQAACRGQLARQVYENMRREAASLRIQRCMRMYIARNAYTELCCSALSIQSGMRGMAARDDLRFRRQTKAAIVIQSQCRKYLAQLHYMELKKAAIVTQCAWRGRVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADIEESKTQENAKLQSALQEMQLQFKETKEMLIKEREAAKKAKEIVPVIKEVPVVDQSMLDKLTMENEKLKALVSSLEQKIDETEKRFEETNKVSEERLKQALEAESRIVELKTAMHRLEEKFSDMEIENQILQQQSLLQTPVKKTSERPPIPATQILENGHHVNEEHKTNEPQSATPVKMYGTESDSKFRRSHIERQHENIDALINCVTNNIGYSHGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENEDNNDHMAYWLSNTSTLLFLLQRSLKTVGSNGATPNRKPSSAASLFGRMTMGFRSPSSSNLAAALSVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELSSLLSLCIQAPRTSKGGMLRSGRSFSKDSPASHWQSIIDSLNILLSTLKQNFVPPVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPVLSVQQLYRICTLYWDDNYNTRSVSSGVISSMRVLMTEDSNDAVSSSFLLDDNSGIPFSVDDLSNSLQEKDFMDVEPAEELLENPAFQFLNE >Manes.13G041800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4949685:4964985:1 gene:Manes.13G041800.v8.1 transcript:Manes.13G041800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSLVVGSLVWLEDPDEAWVDGEVTEIKGEDIKVLCTSGKTVDIKASNVYAKDAEVPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRKLPHLYDSHMMAQYKGAAFGELSPHPFAIADDAYRLMINEGVSQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGMISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDLQRFKLGNPRMFHYLNQSNCYELDGVDDSKEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLKTAAELLMCDVKALEDSLCKRVIVTRDETITKWLDPESAAVSRDALAKIVYSRLFDWLVDKINSSIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIDFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFSKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASECSFVSGLFPVLAEESSKQSKFSSIGSRFKQQLQQLLETLSSTEPHYIRCVKPNNLLKPAIFENKNVLQQLRCGGVMEAIRISCAGYPTRKPFYEFLDRFSILAQEVLDGSSDEVTACKRLLEKVGLKGYQIGKTKVFLRAGQMAELDARRSEMLGRSASIIQRKVRSYLSRRSFIMVRQSAIQIQAACRGQLARQVYENMRREAASLRIQRCMRMYIARNAYTELCCSALSIQSGMRGMAARDDLRFRRQTKAAIVIQSQCRKYLAQLHYMELKKAAIVTQCAWRGRVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADIEESKTQENAKLQSALQEMQLQFKETKEMLIKEREAAKKAKEIVPVIKEVPVVDQSMLDKLTMENEKLKALVSSLEQKIDETEKRFEETNKVSEERLKQALEAESRIVELKTAMHRLEEKFSDMEIENQILQQQSLLQTPVKKTSERPPIPATQILENGHHVNEEHKTNEPQSATPVKMYGTESDSKFRRSHIERQHENIDALINCVTNNIGYSHGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENEDNNDHMAYWLSNTSTLLFLLQRSLKTVGSNGATPNRKPSSAASLFGRMTMGFRSPSSSNLAAALSVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELSSLLSLCIQAPRTSKGGMLRSGRSFSKDSPASHWQSIIDSLNILLSTLKQNFVPPVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPVLSVQQLYRICTLYWDDNYNTRSVSSGVISSMRVLMTEDSNDAVSSSFLLDDNSGIPFSVDDLSNSLQEKDFMDVEPAEELLENPAFQFLNE >Manes.10G062750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8851887:8854085:-1 gene:Manes.10G062750.v8.1 transcript:Manes.10G062750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSLVSSTSSSTLPTSHSFQIPNVTQFLIIKLTSSNYLLLTSHIDGSAIAPSGTLSNGEPNSNYNDWFCYSVSESIMLHQIVRCATAKEAWDKLAVIYSTSAKTQVQQLRKQVKHFPISNETLVCDAEYHPFARAIEAQNTSVNFDELYALLLSEEMQLRLDNLAITSAVLPIANYVSIGRGSVWTIDSSANYHLAPNQENISCPVPVHDNTTLTIANGKTLPILSRGSSATFVNGHSFVLNDILYSPADIPAKQVLYQGQSKDDLYLFPMQPVHSFSPKALLATISLWHERLGHANLRVVKNILSNNKISFYSNKHFEFCHGCSVSKSLRLPFANSLFRATQPLELICSDVWGPSPVVSMDGYRYYFIQFQKLVEKYFGVPIKSFQSNWGERKYRYIIELGRALLHNVFVIYLYWTYAFDIAAYTINSLLSTHNSIQTPFELLFHVKPNYDKSRVFGFLYYLWLKPYTPHKLASKSSKCAFLRYMKIHKGVSLLES >Manes.01G083600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28631706:28634364:-1 gene:Manes.01G083600.v8.1 transcript:Manes.01G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQANEVRLKILFTSLCHTDVYFWEAKGQNPLFPRIYGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECAHCKSEESNMCSLLRINTDRGVMLNDGKSRFSINGKPIYHFVGTSTFSEYTVVHVGCLAKINPLAPLDKVCVLSCGISTGLGATLNVAKPPKDSSVAVFGLGAVGLAAAEGARMAGASRIIGVDLNSGRFEEAKKFGVNEFVNPKDHKKPVHEVIAEMTDGGVDRSVECTGNVDAMISAFECVHDGWGVAVLVGVPHKDAVFKTHPVNLLNERTLKGTFFGNYKPRTDLPSVVEKYMNKELELEKFITHSVPFSEINKAFEYMLKGESLRCIIRMEE >Manes.04G142400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34079133:34081029:1 gene:Manes.04G142400.v8.1 transcript:Manes.04G142400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEDMGLPFWLQTTNRRRGQAFYIFLNSGTLLIVLLVVAFLFVFILVPSFVSFTSQIFRPHLIKKSWDYLNFVLVFFAILCGFLTRNNDNNGNSKSTSSRSISSVQQDLQRQYPSTHAVNSFNRLRSFSSYPDLRQESLWLTNDERWRFYDDTHLHSYRFPSSSDQVQDHRPQQQYQAKADQDEDSLTKDIDVDISLKEVVYTPPPSSPLPPPHSPSAPPLSSLLPPPKAVRRKVKTTYEDLGHEKREEKDLKVEKFYIPQTSQAPTPTPSPPPPVFNKNEKRRGKGFLNSLRIKKKKQSQKSVENLHSFFDPHHPSSLPSIPPPPPPPPPNFFQNLFSSNKGKSKKVHSLQPPPPPVTSSSSTRVSTQNVTQSPESRASSTTTAQVAAVTPHQVPKTVKRGSPSRVKENMETGNASYFIPIPPPPPPPPFKITSWKFVRVGDYVRVASFNSSRSGSPDLDSEDPSDKESSPMARKDNGGDSITPQFCPSPDVNAKAENFIEKFRAGLKLEKINSVKRKSNLGPSPDGVGEEGPS >Manes.11G080000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12176864:12179996:1 gene:Manes.11G080000.v8.1 transcript:Manes.11G080000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAFWGTRIMEIVKKHDSGGLVWKRIKLTTTRKANAKKRLLRVWQNEAVLKACAEPPPSKTSMADTVGAAEKDSTNSVS >Manes.06G107400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23976234:23977749:-1 gene:Manes.06G107400.v8.1 transcript:Manes.06G107400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYIDECFQRNNWLFLGKQEYNTDRVRMKMPRFSIAILILLYLVAQGTAIEKPQYEIVHTESDFEVRLYTQSTWMAAPVTEISFQKATLDGYHRLFQYTQGANLNWTRIPMTVPVVTSIVPGAGPFQSSAYSVLFYLPVEFQDDPPVPIPELHLTPYGWSSHCVAVREFSGYANDDNIVSEAEALAVSLSRSTWTNTTAAESKFSYSIAQYDSPFHFIGRVNEVWADVNATGGCEYSNIATY >Manes.11G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:14893459:14906451:1 gene:Manes.11G081900.v8.1 transcript:Manes.11G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAVAFPIGKWPKNASFCSKKIALPLLTEAAITEKVPEREKMSVSTTAYLARRAAQKERVQIPYRRALRDTLNWAVHRHLFYQDASNLREKFDAKKHVEDQDAIDRMIAEGEAQYNKWRHPDPYIVPWAPGGSKFTRNPTPPSGIEIVYDYGREDND >Manes.15G179800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:22100010:22107379:-1 gene:Manes.15G179800.v8.1 transcript:Manes.15G179800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSYIGRRGGGGGGAGTTWAQSLLPSSSSSSSPKPKVPQRRSRRRTALINFLFTNFFTIALSISLIFLLITIFHFGIPKPFSSPFKSRPKPTFRLFKPRKTIHRNPQKDNDNKDAISGAVVDITTKGLYDKIEFLDVDGGPWKQGWRVNYKGNEWDTEKLKVFVVPHSHNDPGWKLTVDEYYARQSRHILDTIVATLSKDVRRKFIWEEMSYLERWWRDATDEKRESFASLVKNGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLLRRMGFENMLIQRTHYEVKKELALKKNLEYTWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARVHGFFYEMCPWGEHPVETSPENVHERAQVLLDQYRKKSTLYRTNTLLIPLGDDFRYVSVAEAEAQFRNYQKLFDYINSNPSLNAEAKFGTLEDYFQTLREEADRINYSLPGEVGSAQIVGFPSLSGDFFTYADRQRDYWSGYYVSRPFFKAVDRVLEQTLRATEMMMALLLGYCQRVQCEKLATGFGYKLTAARRNLALFQHHDGVTGTAKDHVVRDYGIRMHTSLQDLQFFMSKAIEVLLGIRHEKSDHNPSQFEAGQVRSKYDVQPVHKAISAHEGTWQSVILFNSLEQTREEVVMVVVNRPDVTVLDSNWTCVQSQVSPELQHDRSKIFTGRHRVHWKASVPALGLQTYYIANGLAGCEKAIPAKLKYSVSNSFSCPSPYVCSKVEGDFAEIHNQHQTLTFDVQHGLLHRISQKNGSKIVVDEEIGMYTSPESGAYLFKPDGDAQPIVEAGGNMVISEGLLMQEVFVYPKTAWERTPISHGTRIYSGDGTIQEFIVEKEYHVELLGKEFNDRELIVRYKTDLDNKRIFYSDLNGFQMSRRETYSKIPLQGNYYPMPSLAFLQGSNGQRFSVHSRQSLGVASLKDGWLEIMLDRRLVKDDGRGLGQGVMDNRPMNVIFHILVESNISSTSDPVSNPLPLSPSLLSHCVGAHLNYPLHAFIAKDPQELSVQPPPRYFSPLAAQLPCDLHIVNFKVPRPAKYSQLLTDESRFVLILQRRHWDTSFCRKARSQCTTLADEPLNLFKMFKGLEVLNARATSLNLLHDDVEMLGYAEQVGDVSQDGHVVISPMEIQAYKLELRLRQ >Manes.05G105650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9991493:9991873:1 gene:Manes.05G105650.v8.1 transcript:Manes.05G105650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKAGHHRKLQLQELEEIRRDAYENSWNYKAKTKATHDSHLSRKQFEVGDKILLFDSRLKLFPGKLRSRWIGLFIVKHAYPHGAVDIKSIETGKIFKVNGHRLKPYYEGFAVQVVEEIPLSRSE >Manes.15G160900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13360252:13365669:1 gene:Manes.15G160900.v8.1 transcript:Manes.15G160900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQSEFDRILFFEHARKTAEATYVKDPLDAENLTRWGGALLELSQFQNVPDSKKMILDGISKLEEALVVQPKKHETLWCLGNAHTSFAFLTPDQDEAKESFEKASVFFQQAVDEDPENEIYRKSLEVTAKAPELHMEIHKHGLAQQAMGAAPASGPSTSSSAKGSKKKNKSSDLKYDIGGWIILAVGIVAWIGFAKSQMLPPPPPPPR >Manes.09G016644.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3441640:3444453:1 gene:Manes.09G016644.v8.1 transcript:Manes.09G016644.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSPMAKKTCWFVSQEKTRTEKKSRRWRWILGKLKFKQRPVLPSPQRIIDEAAEKQRKFAVTVALATAAAVAAAQAAAEVVKLTGSSQSRLHFAMKDENLAAIKIQSAFRGYLARKALRALKGVVKLQAIVRGQVVRRQVGTKLKRLPSNAKMRSKVRVTTIDICKEGGNKQLSKSKELGEMDSKLECKSQSCWDYSTLSKEDMESLWFKKQEASIKRDRMMKYSFSHRERGNTHVLEESIAKNEPDRQRHWLEELANKDALARERIENLKASDIFGTTQVKISSRKQDNIEALSSPVSFPRRSFCRTQRNTTGDDSSALNSPVFPTYMAATESAKAKARSMSTPRQRIGIQDHFLIDSLSYKSGLSIWSSYNSEWFGNSSEAAQRVPISVNRHY >Manes.09G067600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11047317:11052720:-1 gene:Manes.09G067600.v8.1 transcript:Manes.09G067600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYEQDSDVIQWGLRLLDGDPPFYPGYYAGGGVQTGDDYRGHYVRDHYDISDCTDVDSDEAIARTLQEEFSQLAVNSGYSPAREDQLEISIYKHDWHGTPRRSYSSDHEDSHEDADDMVASSSCSSPENGEEYSYSAEFTDEYGLDVEVGKRLNQMIPIPHVPRINGEIPSIDEATSDHERLLNRLQLYDFVEVKVQGDGNCQFRALSDQLYNTPDRHQVVRQRIVNQLRSHPEIYEGYVPMEYGDYLKKMSKNGEWGDHVTLQAAADSYGVKILVMTSFKDTCYIEILPINQKSKGAIFLSFWAEVHYNSIYFQGDTASNEHRKKKKWWSFGSRH >Manes.12G159100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36650110:36653682:1 gene:Manes.12G159100.v8.1 transcript:Manes.12G159100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKRKDDECASDDNSEGHAPPKKSSKTESADDSDDIVVCEISKNRRVTVRNWQGKVWIDIREFYIKDGKQLPGKKGISLSVDQVQSIKFDAFSE >Manes.12G159100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36650110:36653681:1 gene:Manes.12G159100.v8.1 transcript:Manes.12G159100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKRKDDECASDDNSEGHAPPKKSSKTESADDSDDIVVCEISKNRRVTVRNWQGKVWIDIREFYIKDGKQLPGKKVECTQGSR >Manes.12G159100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36650110:36653681:1 gene:Manes.12G159100.v8.1 transcript:Manes.12G159100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKRKDDECASDDNSEGHAPPKKSSKTESADDSDDIVVCEISKNRRVTVRNWQGKVWIDIREFYIKDGKQLPGKKGISLSVDQWNVLRDHVEEIDRALADS >Manes.09G124900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32712883:32714851:1 gene:Manes.09G124900.v8.1 transcript:Manes.09G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPYQLGALIRPNDKELLQLLVAKIHGYDDPRIPVININNFEPWELAQFLGVNSYDREWYCFRYRQEPNSRTTKAGYYKVTGKGSKIKDRQQEEIGTKTFLVYYTGRTPNGVKTSWKMHEITATCLPDHQRSIILCKLIDRSENEGYLSSCNGNGHGYSMAASDSHHMANHSSFDNGETSWSMSPENQVTENGIPQQEGPELAPYPESFHGWTGQSYTSDNLPVPVAAAAEQGLFYDIFGSPMF >Manes.09G079705.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11886171:11886819:-1 gene:Manes.09G079705.v8.1 transcript:Manes.09G079705.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELGQLWARMRALFLTQCHGVLPAELDHNVCISLIEHQENQIEELKSELHSAQIKLYKKEAELQALKDCALNSLYQPYQASILASIHLRLYRVCM >Manes.09G079705.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11885936:11886819:-1 gene:Manes.09G079705.v8.1 transcript:Manes.09G079705.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELGQLWARMRALFLTQCHGVLPAELDHNVCISLIEHQENQIEELKSELHSAQIKLYKKEAELQALKDCALNSLYQPYQMMKL >Manes.04G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:7090420:7095564:-1 gene:Manes.04G040300.v8.1 transcript:Manes.04G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNISSVFYADAYHPIQAGSIDGTDIAPHDNGVFRALLCSSAGLYDPFGDPKVIGDPYCTVFVGRLSHSTTEQTLRKAMSKYGRVKNLRLVRDIVTGASRGYAFVEYETEREMRRAYKDAHHTFIDDSEIIVDYNRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRERPFRAPLQSIPWDDLKRLGIPPPPEGRYMSRFEVPSPPRRDRSSIDREESSRRSSSLEREHSNRRSSRGKEDRFGKRRSKEREDHYRKESSVGRSGHSHRKVSVEREDHHYERISEDGEKHSKKRSHAYREETSHETNAEEYSHRSSGDWKEGSYKRHKHDSGSDRHKRRSTNRDHTSDY >Manes.12G145400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35209674:35218482:-1 gene:Manes.12G145400.v8.1 transcript:Manes.12G145400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPIIISDDEDQKTPVPFLSKKPRTLSDPFPTILVLDDDPTPQKFQPASSSFSSTPSFVAETPMSDLSIVKCTKRSSNSQIGVSNCDPKPSGNCGFMCLESENEESESEPVMENQKNKTMADSDDEKDKMGWCSRLFGSTDLLDSDPSNWDPHSSISTVIVEEHLSGDGNPAQATGDASSQPVFLQGDIDQVSSPDGENFSMERRSRTVKQTTTDVNYDKNIMKKGAGKKKMSKEERIQLAEEKKLKKEQEKLQKAALKAQTAELKKLEKEKQKWEKGKLALKSIVAEFDTKIVEQGSVGGHLLTRFAEKGLTYRITSNPIEKSIIWSMSVPEHILQLSPEGTEVRYVLLVYEAEEFCKLVINESLLGHVTRVQNRYPSYTICYLTNKLMAYINKREKEQYKNPENDDAWRCPPVEEVLAKLTTHFSRVHYRHCRDEAELAEHIVGLTCSLATCQFRNKLTRLSVNANGSLIPKDSVDKDLIKKSPWLKALVAIPKVQPRHAVAIWKKYPTMKSLLRVYMDPSISVRMRRKCPIYFLAMLSC >Manes.12G145400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35209674:35218482:-1 gene:Manes.12G145400.v8.1 transcript:Manes.12G145400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPIIISDDEDQKTPVPFLSKKPRTLSDPFPTILVLDDDPTPQKFQPASSSFSSTPSFVAETPMSDLSIVKCTKRSSNSQIGVSNCDPKPSGNCGFMCLESENEESESEPVMENQKNKTMADSDDEKDKMGWCSRLFGSTDLLDSDPSNWDPHSSISTVIVEEHLSGDGNPAQATGDASSQPVFLQGDIDQVSSPDGENFSMERRSRTVKQTTTDVNYDKNIMKKGAGKKKMSKEERIQLAEEKKLKKEQEKLQKAALKAQTAELKKLEKEKQKWEKGKLALKSIVAEFDTKIVEQGSVGGHLLTRFAEKGLTYRITSNPIEKSIIWSMSVPEHILQLSPEGTEVRYVLLVYEAEEFCKLVINESLLGHVTRVQNRYPSYTICYLTNKLMAYINKREKEQYKNPENDDAWRCPPVEEVLAKLTTHFSRVHYRHCRDEAELAEHIVGLTCSLATCQFRNKLTRLSVNANGSLIPKDSVDKDLIKKSPWLKALVAIPKVQPRHAVAIWKKYPTMKSLLRVYMDPSISVHDKEFLLEDLTTEGLLGGERRVGQICSKRVYRILMAQSGSIKTDDVEDGADFFRHQSS >Manes.12G145400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35209674:35218482:-1 gene:Manes.12G145400.v8.1 transcript:Manes.12G145400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPIIISDDEDQKTPVPFLSKKPRTLSDPFPTILVLDDDPTPQKFQPASSSFSSTPSFVAETPMSDLSIVKCTKRSSNSQIGVSNCDPKPSGNCGFMCLESENEESESEPVMENQKNKTMADSDDEKDKMGWCSRLFGSTDLLDSDPSNWDPHSSISTVIVEEHLSGDGNPAQATGDASSQPVFLQGDIDQVSSPDGENFSMERRSRTVKQTTTDVNYDKNIMKKGAGKKKMSKEERIQLAEEKKLKKEQEKLQKAALKAQTAELKKLEKEKQKWEKGKLALKSIVAEFDTKIVEQGSVGGHLLTRFAEKGLTYRITSNPIEKSIIWSMSVPEHILQLSPEGTEVRYVLLVYEAEEFCKLVINESLLGHVTRVQNRYPSYTICYLTNKLMAYINKREKEQYKNPENDDAWRCPPVEEVLAKLTTHFSRVHYRHCRDEAELAEHIVGLTCSLATCQFRNKLTRLSVNANGSLIPKDSVDKDLIKKSPWLKALVAIPKVQPRHAVAIWKKYPTMKSLLRVYMDPSISVRMRRKCPIYFLAMLSC >Manes.13G054600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6294479:6294997:1 gene:Manes.13G054600.v8.1 transcript:Manes.13G054600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHRNSSSLNPSSLKSRFTENFLRSLVKINKQTPYPCRLREMFQRCHKVKTAADVSLACAVGSRRIWSRVMLSKIRKRALRRGTQKPSSVRRIIVKNQPMKMGYNRLKEKNKKRDDEEADTETDQASKLRKLVPGGEAMDLCNLLDEAAHYIKCLNTQVQVMRCIADFYST >Manes.12G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7341581:7343213:-1 gene:Manes.12G069300.v8.1 transcript:Manes.12G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPVINLEKLNGEERAATMAKIKDACENWGFFELLNHGIEPEFLDRVESMTKGHYRKCMEQRFKEMVANKGLDAVQTEIKDMDWESTFFIRHLPDSNLAQLPDLDDEHRAVMKEFAAKLEKLAEDLLDLLCENLGLEKGYLKKAFYGSRGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDRVSGLQLLKDGQWIDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVVAQTDGTRMSLASFYNPGSDAVIYPAPALVEKEAEEKKQVYPKFVFEDYMKLYVGLKFQAKEPRFEAMKAVENNVNLGPIATA >Manes.05G012500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:788841:791122:-1 gene:Manes.05G012500.v8.1 transcript:Manes.05G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLLAFSFRGSPCFIHKCHNSCSYKKRFVCTPVCSLGDQTRSTLGNNWPSVALSLFSSGFFLGPLIDGLHSRVDLVVYQNGSINIGPLHSNIWVPPLLGLFYCTVGLLQLFLDERASSKVPEGSLEKVAVSLLALVLFIEMSAEMYKAGVADNIEAYILFAAAEIIWLSLDRTWVGFTLASIGGLVCPLAEIPIMKFFHLWYYPQANIEILGQGLVTWTITCYFVYTPFLINLARWLRSAIAAADKSA >Manes.15G096900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7568802:7570060:1 gene:Manes.15G096900.v8.1 transcript:Manes.15G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGFMPNKALLPMPSSRLSFYQSKTMIIRCGPPKKPDISRGKKPQINAAPREGTLARSKREEDVTLARTSFNSSEKESKGRVDDGMESEVSK >Manes.02G157450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12306993:12307334:1 gene:Manes.02G157450.v8.1 transcript:Manes.02G157450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHVTVFLFLILLNTFLESKISPHFAYISTKELPIKTSSLYIFLTMAACSCSPNLNAFFSPQAFRTAHAVNSLGKNPSSNICENIPKASSSCPCWEKAAIIVVQQTTSLTAI >Manes.05G011677.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:544144:545393:1 gene:Manes.05G011677.v8.1 transcript:Manes.05G011677.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSLSRAHTSPRQPQEPPSPRYFSCSSFKDINDIILEEQNGSKSQSQTPRRPSIFHRASPLHGHHRNHSKTFIISPPPNQDDHEIILYFTGLGIVRKTFEDCRTVRSILRGFRVPIDERDLSMDAGYLDEIQMITCSKKVKLPAVFLGGKYVGGAEEIKEMNESGELSKLIGELPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFRTCTSCNVNDPVSPSSEIGIM >Manes.15G099000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7733397:7734145:-1 gene:Manes.15G099000.v8.1 transcript:Manes.15G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWNLEDKWNVTTQEALLLFACTAFAVIGLCAATMLKRKAQRKPTANRDSAASDASMSITWSDTHQRCSNNWARIRRVLMESMRWSEAKRWEEETLGERSPPQPLLGLGEYESSSRSSVGWQSLNSVSPVWQRPILMGEKCEFPRFSGLILYDERGRLLDHSLASSHEENIDQEKPAAALRTTLRDLL >Manes.10G106700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25671289:25693110:-1 gene:Manes.10G106700.v8.1 transcript:Manes.10G106700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPPKRPRDDAKAIESPPIGSDRRLVIYEDPVVQLPESSHEPQPSDHMLCTYQCRQMVKSDFLDALSNAEKQVCDYQSKLENLNENFCKVEADRNKFRDQFLYAEQELAAAKGREQALQQQFLKEINDSQERLKKQLESCSKLEIKLENEKNLRIKAESSATSAEEKASLLEAKLSQLSESIDREKKRLNNELAQLQRDSKLSVSRITADLERMECRAKNAEKESELLKEQLGNLKLQLNECLHQKSELEKKLSNLTIPGGASTESNILVKHLQDELRNYESEVREARKLKASYENTELLKEKLLEEKSRKERAESELSKLREFELNTRKLEDELSSWKSMLKKIPDVSCCDDIPLKFSALQKEVIDNMMKVGEANARLKQIEVALDAAQLGKQNAEAEAALAKEKAESLKLEVNRIQLMLSAVTEERDRLKNIADELRRSKNEQAGDGVSSGTHLQELESSLMKKDCLIKELESNLNEQKEVNHRQLAEINLLNDRLNNEARRIKSLERESDRLRSEISLLESKLGHGDYSAANTKVLRMVNTLAVDNDAKQTIEALHTELQKTKEKLQAVEELKSQSGDAGKLVDSYISGKVTQLKEQIATLEKREERYRTVFAERISVFRRACCELFGYKIVMDEHQRSNGIPVTRFTLQSIYAQSDDEKLEFEYESGNTNILANAYTSQSEISRQVDIFIHKMNSIPAFTANLTVESFNRRTLS >Manes.10G106700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25682782:25693057:-1 gene:Manes.10G106700.v8.1 transcript:Manes.10G106700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPPKRPRDDAKAIESPPIGSDRRLVIYEDPVVQLPESSHEPQPSDHMLCTYQCRQMVKSDFLDALSNAEKQVCDYQSKLENLNENFCKVEADRNKFRDQFLYAEQELAAAKGREQALQQQFLKEINDSQERLKKQLESCSKLEIKLENEKNLRIKAESSATSAEEKASLLEAKLSQLSESIDREKKRLNNELAQLQRDSKLSVSRITADLERMECRAKNAEKESELLKEQLGNLKLQLNECLHQKSELEKKLSNLTIPGGASTESNILVKHLQDELRNYESEVREARKLKASYENTELLKEKLLEEKSRKERAESELSKLREFELNTRKLEDELSSWKSMLKKIPDVSCCDDIPLKFSALQKEVIDNMMKVGEANARLKQIEVALDAAQLGKQNAEAEAALAKEKAESLKLEVNRIQLMLSAVTEERDRLKNIADELRRSKNEQAGDGVSSGTHLQELESSLMKKDCLIKELESNLNEQKEVNHRQLAEINLLNDRLNNEARRIKSLERESDRLRSEISLLESKLGHGDYSAANTKVLRMVNTLAVDNDAKQTIEALHTELQKTKEKLQAVEELKSQSGYVW >Manes.16G050000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:14001277:14007136:-1 gene:Manes.16G050000.v8.1 transcript:Manes.16G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDFNNSEISSPPRPRWRKVAYGGMQPGFDDNNTDDSFLEDMVMNASVVKRDLLKVMQDSVSISQYLCIVALVGLVWTYTLQSILDENSLLLLDVSLLGSGFIVLLLTKEMVSLNLLFHYILNISFFTTGLYVLAPIYHTLTKSISSDSIWAVTVSLIILHLFLHDYSGSTIRAPGAIKPPNLTSCVSLNASVVASVFIASRLPSRLLVFAIMLFSLQVFLFAPFVTFCIKKYSFRLYLLFSFGLVVVTLSFVYMLHHLLFALLLALLVFVSVVCPYWLIKIQEYKFEINGPWDEAKLCFDITD >Manes.12G039000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:3410920:3412392:-1 gene:Manes.12G039000.v8.1 transcript:Manes.12G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSCSAADLLPLLGPAANASAAAEFICGRFEAVSNKFVDTGFALDTTYLLFSTYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGFFYYTFGFAFAFGSPSNGFIGQHFFGLSKFPSPSFDYSYFLFQWAFAIAAAGITSGSIAERTQFIAYLVYSSFLTGLVYPIVSHWFWSSNGWASPGRSENLLFGSGVIDFAGSGVVHLVGGVAGLCGALIEGPRIGRFDDSGRAVTMRGHSGTLVVLGTFLLWFGWYGFNPGSFVNILKSYNGSGSYYGQWSAVGRTAVTTTLAGCTAALTTLFGRRLLVGHWNVTDVCNGLLGGFAAITGGCSVVDPWAAVVCGFVAAWVLIGCNKLAEKLHYDDPLEAAQLHGGCGSWGIIFTGLFAKKAYVEEVYPGHPGRPYGLFMGGGGRLLAANTVQILVIVAWVSVTMGTLFLILHKLQLLRITPEEETEGMDLTSHGGHAYTLADVLDAQKQAAAAAV >Manes.11G150400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31468506:31470043:-1 gene:Manes.11G150400.v8.1 transcript:Manes.11G150400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKPKKPHAIVIPYPLQGHVIPTVNLALKLASQGFTITFINSESIHHQTSKAQAKTGVELFAKVRESGLDIRYTTVSDGLPVGFDRSLNHDQFMASLLHVFSAHIEEVVAQIVASGEDVHCLIADTFFVWPSKIAKKFGLVYVSFWTEPALVFTLYYHLDLLRLNGHFAREDCRKDTIDYIPGVKGIEQKDTTSYIQETDTTSICHQIIFSCFNDAKNADFVLCNTVQELEPETISALQAKIPYYAIGPIFPNDFSKTVVATSLWSESQCTQWLDKKPHGSVLYVSFGSYAHVTKTDLAQIANGLSLSKVSFVMVLRPDVVSSDDTEPLPVGFQEEVADRAIIVPWCRQKEVLAHPAIGGFLTHCGWNSILESIWCQVPFLCFPLYTDQFTNRKLVVDDWKIGINLTNRKVITKEEVSDNINRLMCGESRDEFRNRIKEVKKILENAVSPTGSSEKNKVQFIKDLKAKIEKIAQAKCSKCNGHA >Manes.02G148700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11423217:11426371:1 gene:Manes.02G148700.v8.1 transcript:Manes.02G148700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVILMELVAFIISEDSCNQVDLKLFTPPAPYIQLYKDLLRQPFQSNKATIPKFNLLLLSSLLFYCVAFPLTMGSFGSAVVCAILVLINMVVPNLATVYTVGDSSGWTMGVDYSTWTSGKTFKIGDSLVFNYGGGHTVDEVSASDYKTCTVGNAINSDSSGATTIELKTAGTKHYICGVVGHCGSGMKIAIPVKAAASGSGTTPASTSPAGTTTTTTHQPSSNNTPDSASPTLSPFMGIVATWVAFCVMVLSL >Manes.03G014200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1243702:1245523:1 gene:Manes.03G014200.v8.1 transcript:Manes.03G014200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSNRRFTYTHDHKWPSEEIDVHHIIVRKRNTKGFLVCISAFVLLVNGLLLFLVKDISVGILSWSFFLTAILIKLMFWKSVVKESVVVMPAFGVQLETHYVSGRIARRFVPIGKILKPVLLECVTPITCYWSLSLILRGEADLMLVFKELHPPMKMLVPIWKALCIACGSEESSDTSIKDG >Manes.03G014200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1242649:1246867:1 gene:Manes.03G014200.v8.1 transcript:Manes.03G014200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSNRRFTYTHDHKWPSEEIDVHHIIVRKRNTKGFLVCISAFVLLVNGLLLFLVKDISVGILSWSFFLTAILIKLMFWKSVVKESVVVMPAFGVQLETHYVSGRIARRFVPIGKILKPVLLECVTPITCYWSLSLILRGEADLMLVFKELHPPMKMLVPIWKALCIACGSEESSDTSIKDG >Manes.15G124200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9890329:9891323:1 gene:Manes.15G124200.v8.1 transcript:Manes.15G124200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGMRDVYSFGEVAPAILISHRKSSSNPRLETIFEEVSYHTYSNDDNLEVVDVPKRVVVVLPVVLALSLYFLLYKDVAASA >Manes.07G113602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31907815:31920884:1 gene:Manes.07G113602.v8.1 transcript:Manes.07G113602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMETLFCVKSFLSYPRVKSDATPSIVWVSQLIDETSLSWNIQKFKEVFEEEEVKNIEAIPIAMFWGEDKLTWHFSRSCMYSVKSGYWVACDIRDAKITPATSRAGSFIHAWDRNFWRQVWRLHLPAKLAIFL >Manes.15G155900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12881037:12882827:-1 gene:Manes.15G155900.v8.1 transcript:Manes.15G155900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKIGLKRGPWTIEEDHKLMNFILNNGIHCWRMVPKLAGLLRCGKSCRLRWINYLRPDLKRGSFTEMEENQIIQLHSRLGNRWSKIASHFPGRTDNEIKNHWNTRIKKRLRQMGLDPVTHKPIEQMNNETTPVSHDDQAMISDCLVTKKADEKRDGEDEIVAMDDEKSDLLSNYEMLCGSLDLGSWINQLETNTASYSSSLSADESNNVSFGESSSVQDMDSVLSWGSFNHLVDDIFFMENSQQCNIPTDSVFKYC >Manes.06G006400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1100831:1102694:-1 gene:Manes.06G006400.v8.1 transcript:Manes.06G006400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEVWTAERVVAFLSIAFLLVLWLWWWSRRRRYTVINWPGNRGRSSIFINWPVFGMIPTLICHFSHVHDLATYVLQKSRGTFFFKGPWFSGMEFLLVGDPMNVHYILTKNFSNYHKGPEFKQIFEPLGDGIFNADSNNWSFQRRIFHSLLHKNKKFELAAEVTLKQKILQGLFPLLENVSLVDIQDVFQRFTFDNICQLVLGFDPNSLSIEFPEVPYQKAFDDVEEAVIYRHAVPGSIWKLQKWLQIGKEKKLKKAWKNFDDFLEQRITRKREQLSQSCRDQIEGEGFNLLTYFLTEYNYFVEDAAGSVIQTKSNKFLRDMAFNLMVAGRDTMGAALVWFFWLVGTHPLIEKKILEEIKSNLGEKIDEKWRVFSIEEDGRNMGQRLLRIQTREVDFRRRKNQTRALLQVHSIQCRTQELYR >Manes.08G138200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37440662:37447811:1 gene:Manes.08G138200.v8.1 transcript:Manes.08G138200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVLDDIIRRLLEVRGKPGKQVQLSEAEIRQLCVVSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKHPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPDVHNLDQIRNLQRPTDVPDTGLLCDLLWSDPSKDVKGWGMNDRGVSFTFGPDQVTEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKSKFNFGSTATAKAGNTSGGGVFGSTTTAKPGNTPAGVKSFLGAKV >Manes.14G062166.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5183549:5184213:-1 gene:Manes.14G062166.v8.1 transcript:Manes.14G062166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIAIRCLDSPRRRKQSPLKMGMAFFRTFSVKKTVPFVLLQPMKCWCDLRAADFRNL >Manes.09G064200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11195886:11199094:1 gene:Manes.09G064200.v8.1 transcript:Manes.09G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAFRNAYVSLSRSLNPIPKSSSNSRSLRSITASVSLSFLLSCPQHQSFRSFCSSSSMTGAGDSPAPNSSPSLEKQFKEFRVQLEESGTLREKIRAVVVDIESATRLMHVSLLLVHQSRPIPEVLEEAKAQIGVLKGLYSQLAEIIRDCPGQYYRYHGDWRSETQTVVSLIAFMHWLETGNLLAHSEAEEKLGLNSSEFGLDIEDYLIGVCFMSNEMPRYVVNRVTAGDYDCPRNVLKFLTDLHAAFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLAANGGTADQGLEGQS >Manes.09G064200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11195886:11199095:1 gene:Manes.09G064200.v8.1 transcript:Manes.09G064200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAFRNAYVSLSRSLNPIPKSSSNSRSLRSITASVSLSFLLSCPQHQSFRSFCSSSSMTGAGDSPAPNSSPSLEKQFKEFRVQLEESGTLREKIRAVVVDIESATRLMHVSLLLVHQSRPIPEVLEEAKAQIGVLKGLYSQLAEIIRDCPGQYYRYHGDWRSETQTVVSLIAFMHWLETGNLLAHSEAEEKLGLNSSEFGLDIEDYLIGW >Manes.13G082500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14665421:14700042:-1 gene:Manes.13G082500.v8.1 transcript:Manes.13G082500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFNRSKSFNQKALGVGSPRFLSFGSPRINRPSTVPHWFRLLVVIGSLLSFFIAIGGGFIYGLPSFSQAFQGYGIFKSNDSIGDCNVFDGNWVVDDSYPLYNASECPFVEQGFNCLGNGRKDEDYLKWRWKSKKCDIPRFNVYDILIMFQNKRVVFVGDSMSRSQWESLICLLMTGVEDKKSVYEVNSNKITKRIRFLGVRFHSFNFTIEFFRSVFLVQHVWMPIHAPKRVRSTLRLDKMDDISSKWVNSDVLIFNTGQWWVPGKLFETGCYFQVGNALKLGMSIPAAFKIALNTWALWVQNTVDTNRTRVFFRTFEPSHWSDQSHRFCNVSRQPLSETRGKERRLFLDATWEIVKNMTVPVTILNITSMSAFRSDAHVGKWNDNSPIADCSHWCLPGVPDMWNEILLSFLLYQL >Manes.17G065600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26579999:26586693:1 gene:Manes.17G065600.v8.1 transcript:Manes.17G065600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNLSVMGEDHSISQNNRMKKPDIHKSYPSRDVMPGSELWTDGLICAFEFVRGHGRKSVNSKSTMSRQIHSMQANGIRESSSPRLDRNKLLESSSTCESRGNQDAASQYKDSQIDQSGQYHAVEKLGDIHWVPIGWARISELVKMVQTDAGWAMQQLELMDEEDDLTVAELAAPYWERPAGPTWWCHVAAGHPSIQAWLNSAQWLHPAISLALRDESRLISERMKYLFYEVPVRVAGGLLFELLGQSVGDPFVEEDDIPIVLRSWQAQNFLITALHIKGTVSRVNVLGITEVQELLSAGGYNSPRTVHEVIALLVCRLTRWDDRIFRKSIFGAADEIELKFMNRRHHEDMNLFSVILNQEIKRLSRQVIRVKWSLHAREEIVFELLHHLRGNAARTLLEEIRKSTREMMEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGCGLVLSIITGLFGINVDGIPGANSTPYAFGLFAGILVFIGVVLIAVGLLYLGLKQPITEEKVEVRRMELQELVKMFQHEAETHAQVHKSAYRNNLTPTSGDDVDYLLIQ >Manes.07G002600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:543082:547179:-1 gene:Manes.07G002600.v8.1 transcript:Manes.07G002600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKLPSVSSSTSVSGQNLKHFLIPRTICSLNYKSSPFTEKHSIERCQRDHWLYKLDYQSDSSCPLPSDKECIRENDIALQLPELRKLLHVLKEKRTTCGKNGEKCGPGNVYLVGTGPGDPELLTLKAVKMIQKADLLLYDRLVSNDVLDLVGPHARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGSDPLFVAENAADPDSTLVVYMGLATLPSLALKLMHHGLPAETPAAAVERGTTPQQRVIFAELNDLADEIASAKLVSPTLIVIGKVVSLSPFWPHSSKQASCLMEAV >Manes.07G002600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:543082:547179:-1 gene:Manes.07G002600.v8.1 transcript:Manes.07G002600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKLPSVSSSTSVSGQNLKHFLIPRTICSLNYKSSPFTEKHSIERCQRDHWLYKLDYQSDSSCPLPSDKECIRENDIALQLPELRKLLHVLKEKRTTCGKNGEKCGPGNVYLVGTGPGDPELLTLKAVKMIQKADLLLYDRLVSNDVLDLVGPHARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGSDPLFVAENAADPDSTLVVYMGLATLPSLALKLMHHGLPAETPAAAVERGTTPQQRVIFAELNDLADEIASAKLVSPTLIVIGKVVSLSPFWPHSSKQASCLMEAV >Manes.07G002600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:543082:547184:-1 gene:Manes.07G002600.v8.1 transcript:Manes.07G002600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKLPSVSSSTSVSGQNLKHFLIPRTICSLNYKSSPFTEKHSIERCQRDHWLYKLDYQSDSSCPLPSDKECIRENDIALQLPELRKLLHVLKEKRTTCGKNGEKCGPGNVYLVGTGPGDPELLTLKAVKMIQKADLLLYDRLVSNDVLDLVGPHARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGSDPLFVAENAADPDSTLVVYMGLATLPSLALKLMHHGLPAETPAAAVERGTTPQQRVN >Manes.07G002600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:543082:547179:-1 gene:Manes.07G002600.v8.1 transcript:Manes.07G002600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKLPSVSSSTSVSGQNLKHFLIPRTICSLNYKSSPFTEKHSIERCQRDHWLYKLDYQSDSSCPLPSDKECIRENDIALQLPELRKLLHVLKEKRTTCGKNGEKCGPGNVYLVGTGPGDPELLTLKAVKMIQKADLLLYDRLVSNDVLDLVGPHARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGSDPLFVAENAADPDSTLVVYMGLATLPSLALKLMHHGLPAETPAAAVERGTTPQQRVVSMTVIICLK >Manes.07G002600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:543081:547180:-1 gene:Manes.07G002600.v8.1 transcript:Manes.07G002600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKLPSVSSSTSVSGQNLKHFLIPRTICSLNYKSSPFTEKHSIERCQRDHWLYKLDYQSDSSCPLPSDKECIRENDIALQLPELRKLLHVLKEKRTTCGKNGEKCGPGNVYLVGTGPGDPELLTLKAVKMIQKADLLLYDRLVSNDVLDLVGPHARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGSDPLFVAENAADPDSTLVVYMGLATLPSLALKLMHHGLPAETPAAAVERGTTPQQRVN >Manes.07G002600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:543082:547184:-1 gene:Manes.07G002600.v8.1 transcript:Manes.07G002600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKLPSVSSSTSVSGQNLKHFLIPRTICSLNYKSSPFTEKHSIERCQRDHWLYKLDYQSDSSCPLPSDKECIRENDIALQLPELRKLLHVLKEKRTTCGKNGEKCGPGNVYLVGTGPGDPELLTLKAVKMIQKADLLLYDRLVSNDVLDLVGPHARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGSDPLFVAENAADPDSTLVVYMGLATLPSLALKLMHHGLPAETPAAAVERGTTPQQRVIFAELNDLADEIASAKLVSPTLIVIGKVVSLSPFWPHSSKQASCLMEAV >Manes.07G002600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:543082:547179:-1 gene:Manes.07G002600.v8.1 transcript:Manes.07G002600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVYKLPSVSSSTSVSGQNLKHFLIPRTICSLNYKSSPFTEKHSIERCQRDHWLYKLDYQSDSSCPLPSDKECIRENDIALQLPELRKLLHVLKEKRTTCGKNGEKCGPGNVYLVGTGPGDPELLTLKAVKMIQKADLLLYDRLVSNDVLDLVGPHARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGSDPLFVAENAADPDSTLVVYMGLATLPSLALKLMHHGLPAETPAAAVERGTTPQQRVN >Manes.06G081100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21660792:21665146:-1 gene:Manes.06G081100.v8.1 transcript:Manes.06G081100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVVATTIDAASIGPASALLSMPGWQPGPPWPEDAQSFVNKEVRLIKLGNRLVKEDHLDKRWEEATGESVNEVIFLSKHVASSSRPALTIHPIGTPHISEGEVLVAGGKAGWVAPPNPRIGPWLRLLKTIAASHNLSPEFEVTLEATHHGPVINSPTMFVEIGSTEEYWRRQDAAQTIALLVWQGLGLGEGISVGDWPRNNGKNKILFGIGGGHYVPRHMDIVLKDGVWVGHLLSGYSLQMEDPNPSQPKVPVNANAVGGTWREAIKVAFEATKMAFPGGEVLAHLDQKSFKSWQRNAIKGFLVDRNIKIGKPSDF >Manes.07G093400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29009310:29010827:1 gene:Manes.07G093400.v8.1 transcript:Manes.07G093400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGLFSLYQEAFKIIFSGRKILTQISFTFILPLCFTILSDIKISDVLFAQITHNQIHDESKKLSKHISCLWVYFWLFKITYFTVLLIFSFLSNAAVVYTIACAYSEQEEKLTFKKLMDIIPKVCTEITISFFQCCSAIFTYIMLSVLLNGATKVVEDLFNIYVISVPNIMLLLYIITCRQTASIASSTEEEDQIEIKSRVQMKGKIWVHLFIYLKMTISLIIIAKAFEVVVVHGNSVGLVNKVVWVIILLSLLLLLILFGLVLQTVTTFSCKPSSYLESMLLDPLHVNPADHLPLKAEGA >Manes.S025752.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2185036:2185203:1 gene:Manes.S025752.v8.1 transcript:Manes.S025752.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.02G212020.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:25886533:25894971:-1 gene:Manes.02G212020.v8.1 transcript:Manes.02G212020.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGDIPPNQTIYIKNLNEKVKKEELKRSLYCLFSQYGRILDVVALKTPKLRGQAWVCFSEVMAASNAVRQMQGFPFYDKPMRIQYAKTKSDCLVEAEGNWDPNAKKKKKQEEKAERKRLAEEAQQSATANGTTTESSRGPASFRQGNRGEQETAPPNNILFIQNLPYETTSMMLQVLFSQYPGFREVRMIEAKPGIAFVEYEDDVQSSMAMQALQGFKITPQNPMAITYAKK >Manes.15G073100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5523107:5529935:-1 gene:Manes.15G073100.v8.1 transcript:Manes.15G073100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLTVLGISFYLSFFAAHALQLPFHPHDFLPLLPRQVSWPILNYLNSAVDLLPTFVGATSSPNGTVEWKGACFYKNKAWMEFHNKTGNEFGGGTLHIEVSEAHSWTCMDIYVFVTPYRVTWDYYFLSREHTLEFKEWEGKAEYEYVKNRGISIFLMQAGMLGTLQALWDVFPLFTNTGWGENSNIAFLEKRMGATFEQRPQPWVTNISADDIHTGDLLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLRDSEGKLWVGESGNENEQGEDIIAVLPWDEWWEFELTKDDSNPHIALLPLHPDVRAKFNETAAWEYALSMNGKPYGYHNMIFSWIDTIDGNYPPPLDAHLVASVMTVWNQIQPAYAANMWNEALNKRLGTEGLDLPDILIETEKRGSSFGELLTIPEQDDWLYTDGKSTSCIAFILEMYKEAGLFDPIASSVQVTEFTIKDAYTLRFFENNSSRLPKWCNDGDDVKLPYCQIRGKYRMELPGYNTMDPYPHMNERCPSLPPKYYRPQNC >Manes.15G073100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5523107:5529935:-1 gene:Manes.15G073100.v8.1 transcript:Manes.15G073100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLTVLGISFYLSFFAAHALQLPFHPHDFLPLLPRQVSWPILNYLNSAVDLLPTFVGATSSPNGTVEWKGACFYKNKAWMEFHNKTGNEFGGGTLHIEVSEAHSWTCMDIYVFVTPYRVTWDYYFLSREHTLEFKEWEGKAEYEYVKNRGISIFLMQAGMLGTLQALWDVFPLFTNTGWGENSNIAFLEKRMGATFEQRPQPWVTNISADDIHTGDLLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLRDSEGKLWVGESGNENEQGEDIIAVLPWDEWWEFELTKDDSNPHIALLPLHPDVRAKFNETAAWEYALSMNGKPYGYHNMIFSWIDTIDGNYPPPLDAHLVASVMTVWNQIQPAYAANMWNEALNKRLGTEKMIKKLSASDAFLDK >Manes.13G016800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1800852:1810871:1 gene:Manes.13G016800.v8.1 transcript:Manes.13G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPIVQFEEKIMKTVEENPVVVIIGETGSGKSTQLSQMLHRRGYTKSGIVGVTQPRRVAAVSVARRVAQELGVKLGEEVGYAIRFEDRTSELTKIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLVKRLIKIRSSNLKVLITSATLDGEKVSEFFSGCPVLNVPGKLYPVEILYSKERPTNYIESSLKTAIDIHTREPEGDILIFLTGQDDIEKLVLKLEDRIQSLEEGSCMDAIVLPLHGSLPPEMQVRVFTPPPSNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDVVQISKVQANQRAGRAGRTRPGKCYRLYPSMIYEDDFLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILMFDFLDSPSYESLTDALKQLYLIDAIDENGSITSVGRTMAELPLEPSLSRTLMEANENGSLSQALTVAAMLSAETSLLPGRSSRGTEKKRKQTPLEFDLPDGSGLGDHIQLLQIYEQWDQNDYDIGWCKDNGLQVRGMIFVKDVRKQLCQIMQKIAKGPLDVQADKRYKKGQQEYRNLRKALCVGYADKLAERMIQHNGYRTIGFKSQLVQVHPSSVLKADECGMFPDFVLYHELIATSRPYMRNVCAVENSWVKSILQKLKELDINKLSGRLGQNPKDGTEGNIPDLPNKEANAAARVPDDRESRIQAARDRFLARKANK >Manes.13G016800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1800852:1810871:1 gene:Manes.13G016800.v8.1 transcript:Manes.13G016800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPIVQFEEKIMKTVEENPVVVIIGETGSGKSTQLSQMLHRRGYTKSGIVGVTQPRRVAAVSVARRVAQELGVKLGEEVGYAIRFEDRTSELTKIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLVKRLIKIRSSNLKVLITSATLDGEKVSEFFSGCPVLNVPGKLYPVEILYSKERPTNYIESSLKTAIDIHTREPEGDILIFLTGQDDIEKLVLKLEDRIQSLEEGSCMDAIVLPLHGSLPPEMQVRVFTPPPSNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDVVQISKVQANQRAGRAGRTRPGKCYRLYPSMIYEDDFLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILMFDFLDSPSYESLTDALKQLYLIDAIDENGSITSVGRTMAELPLEPSLSRTLMEANENGSLSQALTVAAMLSAETSLLPGRSRGTEKKRKQTPLEFDLPDGSGLGDHIQLLQIYEQWDQNDYDIGWCKDNGLQVRGMIFVKDVRKQLCQIMQKIAKGPLDVQADKRYKKGQQEYRNLRKALCVGYADKLAERMIQHNGYRTIGFKSQLVQVHPSSVLKADECGMFPDFVLYHELIATSRPYMRNVCAVENSWVKSILQKLKELDINKLSGRLGQNPKDGTEGNIPDLPNKEANAAARVPDDRESRIQAARDRFLARKANK >Manes.08G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2080966:2094794:1 gene:Manes.08G020600.v8.1 transcript:Manes.08G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRPNYTLLSQYPDENLAAAPPPPYYDSSISSDSKSSKLKPDRSFDWDSSGDHKVNQQGNSNRIGNMNLFSSIGLQRQSSGSSFGESSLSGEYCAPTLSTAGGNEIEAYGYMHEDGNLMRGRAVDAAVIPGMGTGSSVKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPMPDESGLRSTSSNSPEALSHRFWVNGCLSYFDKIPDGFYQIHGMDPYVWTVCIDLQENGRIPSIELLKSVDPSVDSSLEVVLVDRRSDPSLKELQNRVHSISCSCITTKEVVDQLAKLVSNRMGGLTNMAEDDLVSNWRDCSNDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRIARGCKYCKRDDASSCLVRFGLEREYLVDLVGKPGCLCEPDSLLNGPASISISSPLCFPRMKSAEPIIDFRTLAKQYFSDCQSLNIVFDDASAATVLDEAAPGFSMYPKKIERMDAERNDPVQITTNSSEISQLPLPMKVARTSAQDRNAQNFKSYNPSQNIEQATNLMKDPIHLKHIPTRGHRDVQPLLSLSDQKVDTSKNSRFSEGFQLVSSKTSNELSLGDVEDLDIPWGDLILKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHAERFKEFLREVAIMKRLRHPNIVLFMGAVTKPPNLSIVTEYLSRGSLYRLLHKSGAREVLDERRRLNMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKGKRLEIPRDLNPQVATIIEACWANEPWKRPSFATIMESLRALIKPPTPPTGHAEMPLLT >Manes.08G020600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2084505:2094794:1 gene:Manes.08G020600.v8.1 transcript:Manes.08G020600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVWTVCIDLQENGRIPSIELLKSVDPSVDSSLEVVLVDRRSDPSLKELQNRVHSISCSCITTKEVVDQLAKLVSNRMGGLTNMAEDDLVSNWRDCSNDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRIARGCKYCKRDDASSCLVRFGLEREYLVDLVGKPGCLCEPDSLLNGPASISISSPLCFPRMKSAEPIIDFRTLAKQYFSDCQSLNIVFDDASAATVLDEAAPGFSMYPKKIERMDAERNDPVQITTNSSEISQLPLPMKVARTSAQDRNAQNFKSYNPSQNIEQATNLMKDPIHLKHIPTRGHRDVQPLLSLSDQKVDTSKNSRFSEGFQLVSSKTSNELSLGDVEDLDIPWGDLILKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHAERFKEFLREVAIMKRLRHPNIVLFMGAVTKPPNLSIVTEYLSRGSLYRLLHKSGAREVLDERRRLNMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKGKRLEIPRDLNPQVATIIEACWANEPWKRPSFATIMESLRALIKPPTPPTGHAEMPLLT >Manes.08G020600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2084736:2094794:1 gene:Manes.08G020600.v8.1 transcript:Manes.08G020600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYVWTVCIDLQENGRIPSIELLKSVDPSVDSSLEVVLVDRRSDPSLKELQNRVHSISCSCITTKEVVDQLAKLVSNRMGGLTNMAEDDLVSNWRDCSNDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRIARGCKYCKRDDASSCLVRFGLEREYLVDLVGKPGCLCEPDSLLNGPASISISSPLCFPRMKSAEPIIDFRTLAKQYFSDCQSLNIVFDDASAATVLDEAAPGFSMYPKKIERMDAERNDPVQITTNSSEISQLPLPMKVARTSAQDRNAQNFKSYNPSQNIEQATNLMKDPIHLKHIPTRGHRDVQPLLSLSDQKVDTSKNSRFSEGFQLVSSKTSNELSLGDVEDLDIPWGDLILKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHAERFKEFLREVAIMKRLRHPNIVLFMGAVTKPPNLSIVTEYLSRGSLYRLLHKSGAREVLDERRRLNMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKGKRLEIPRDLNPQVATIIEACWANEPWKRPSFATIMESLRALIKPPTPPTGHAEMPLLT >Manes.06G061000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19534703:19552002:-1 gene:Manes.06G061000.v8.1 transcript:Manes.06G061000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWNYVVTAQKPTNVTHSCVGNFTSPQELNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITRAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAVVYIGSSYGDSQLIKLNLQPDAKGSYVEVLESYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFSSQVQTLFCHYAVYNQLVQVTSSCVRLVSSTTREFRTEWNAPSGYSINVATANATQVLLATGGGHLVYLEIGNGVLTEVKHAQLEYEISCLDINPIGENPNYSQLAAVGMWTDISVRIFSLPDLNVITKEHLGGEIIPRSVLLCSFEGISYLLCALGDGHLLSFLLNLNTGELSDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRTIPLGEHARRICHQEQSRTFAICSLKNQSSAEESETHFIRLLDDQSFEFISTYQLDPFEYGCSMLSCSFSDDINVYYCVGTAYVLPEENEPTKGRILVFMVEEGKLQLITEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGSRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAMEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRMPDSEVGQIPTIIFGTVNGVIGVIASLPHEQYMFLEKLQTNLRKVIKGVGGLSHEQWRSFNNEKKTVDAKNFLDGDLIESFLDLSRARMDEISKAMSVSVEELSKRVEELTRLH >Manes.17G117600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:33475899:33476574:1 gene:Manes.17G117600.v8.1 transcript:Manes.17G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIYCRVFRVPDAIVEPDDDASFSSNMVSIRVIVTFMPYSDSETEEEEDDDEGETSERTFLVERQRFLLEETSRSTVRQIFLDMNVPPQEFWINYILCDVRHYERCNVGSEKVLHLEVEIEVPVQTHTSDGLGPVPATKSSIDALETVKVEGSVNQRCSICLEEILIGSEAICMPCSHLYHRSCICNWLERSRVCPMCRFEVV >Manes.11G041133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4506465:4508154:1 gene:Manes.11G041133.v8.1 transcript:Manes.11G041133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDAVPAIQNDWPKGNYKFVDEKLERYFDKDEMDRMIECALACLERYPQNRPEMSKVVEVLAGNIYRKNLKN >Manes.12G028800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2497545:2500766:1 gene:Manes.12G028800.v8.1 transcript:Manes.12G028800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHPFSPLFLFFLCFPFLLNVSLAGARVLLAGDSPFTPKAYLIRYWDKEIHSNLPKSTFILSKASPLNAVDAATFTKLASQNALSTKFPAFCSSAKLFCFPDLAPSLDKHDGNSNFAVYFDKNFTNYGTDSVNGVDSFKNYSDGENIPVNSFRRYGRDSIDHNEKFSAYGPDGNVVDQSFNTYGAHSSGGAGEFKEYNDQVNVPDLRFASYSDHGNRKAQKFSSYTGDTNSGSESFTSYGKNGVATPNEFTSYADDSNVIGSEFTNYGEDSNGANNTFKSYGSNGNVPENNFKNYGAEGTVSVDSFTSYREESNVGDDSFQSYAKKSSAGAAYFKNYGQSFNEGSDTFTGYGEGANEPKVGFKIYGENSTFKEYADKKTTSFSDYRKQSSATASDEKTAKTASGSLVNKWIEPGKFFRESELKKGNVMPMPDIRDKMPKRSFLPRTITSKLPFSTSNIASLKETFHAADNSTMEKIIKDALEECERAPTPGETKRCVGSAEDLIDFATSVLGRNVVVRTTANVNGSKKKIMIGSIKGINGGKVTKSVSCHQSLFPYLLYYCHSVPKVRVYEADILDPNSKAKINHGVAICHLDTSVWSPSHGAFLALGSGPGKIEVCHWIFENDMTWVTADN >Manes.12G028800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2498142:2500766:1 gene:Manes.12G028800.v8.1 transcript:Manes.12G028800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHPFSPLFLFFLCFPFLLNVSLAGARVLLAGDSPFTPKAYLIRYWDKEIHSNLPKSTFILSKASPLNAVDAATFTKLASQNALSTKFPAFCSSAKLFCFPDLAPSLDKHDGNSNFAVYFDKNFTNYGTDSVNGVDSFKNYSDGENIPVNSFRRYGRDSIDHNEKFSAYGPDGNVVDQSFNTYGAHSSGGAGEFKEYNDQVNVPDLRFASYSDHGNRKAQKFSSYTGDTNSGSESFTSYGKNGVATPNEFTSYADDSNVIGSEFTNYGEDSNGANNTFKSYGSNGNVPENNFKNYGAEGTVSVDSFTSYREESNVGDDSFQSYAKKSSAGAAYFKNYGQSFNEGSDTFTGYGEGANEPKVGFKIYGENSTFKEYADKKTTSFSDYRKQSSATASDEKTAKTASGSLVNKWIEPGKFFRESELKKGNVMPMPDIRDKMPKRSFLPRTITSKLPFSTSNIASLKETFHAADNSTMEKIIKDALEECERAPTPGETKRCVGSAEDLIDFATSVLGRNVVVRTTANVNGSKKKIMIGSIKGINGGKVTKSVSCHQSLFPYLLYYCHSVPKVRVYEADILDPNSKAKINHGVAICHLDTSVWSPSHGAFLALGSGPGKIEVCHWIFENDMTWVTADN >Manes.12G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2497715:2500766:1 gene:Manes.12G028800.v8.1 transcript:Manes.12G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHPFSPLFLFFLCFPFLLNVSLAGARVLLAGDSPFTPKAYLIRYWDKEIHSNLPKSTFILSKASPLNAVDAATFTKLASQNALSTKFPAFCSSAKLFCFPDLAPSLDKHDGNSNFAVYFDKNFTNYGTDSVNGVDSFKNYSDGENIPVNSFRRYGRDSIDHNEKFSAYGPDGNVVDQSFNTYGAHSSGGAGEFKEYNDQVNVPDLRFASYSDHGNRKAQKFSSYTGDTNSGSESFTSYGKNGVATPNEFTSYADDSNVIGSEFTNYGEDSNGANNTFKSYGSNGNVPENNFKNYGAEGTVSVDSFTSYREESNVGDDSFQSYAKKSSAGAAYFKNYGQSFNEGSDTFTGYGEGANEPKVGFKIYGENSTFKEYADKKTTSFSDYRKQSSATASDEKTAKTASGSLVNKWIEPGKFFRESELKKGNVMPMPDIRDKMPKRSFLPRTITSKLPFSTSNIASLKETFHAADNSTMEKIIKDALEECERAPTPGETKRCVGSAEDLIDFATSVLGRNVVVRTTANVNGSKKKIMIGSIKGINGGKVTKSVSCHQSLFPYLLYYCHSVPKVRVYEADILDPNSKAKINHGVAICHLDTSVWSPSHGAFLALGSGPGKIEVCHWIFENDMTWVTADN >Manes.12G028800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2497786:2500766:1 gene:Manes.12G028800.v8.1 transcript:Manes.12G028800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHPFSPLFLFFLCFPFLLNVSLAGARVLLAGDSPFTPKAYLIRYWDKEIHSNLPKSTFILSKASPLNAVDAATFTKLASQNALSTKFPAFCSSAKLFCFPDLAPSLDKHDGNSNFAVYFDKNFTNYGTDSVNGVDSFKNYSDGENIPVNSFRRYGRDSIDHNEKFSAYGPDGNVVDQSFNTYGAHSSGGAGEFKEYNDQVNVPDLRFASYSDHGNRKAQKFSSYTGDTNSGSESFTSYGKNGVATPNEFTSYADDSNVIGSEFTNYGEDSNGANNTFKSYGSNGNVPENNFKNYGAEGTVSVDSFTSYREESNVGDDSFQSYAKKSSAGAAYFKNYGQSFNEGSDTFTGYGEGANEPKVGFKIYGENSTFKEYADKKTTSFSDYRKQSSATASDEKTAKTASGSLVNKWIEPGKFFRESELKKGNVMPMPDIRDKMPKRSFLPRTITSKLPFSTSNIASLKETFHAADNSTMEKIIKDALEECERAPTPGETKRCVGSAEDLIDFATSVLGRNVVVRTTANVNGSKKKIMIGSIKGINGGKVTKSVSCHQSLFPYLLYYCHSVPKVRVYEADILDPNSKAKINHGVAICHLDTSVWSPSHGAFLALGSGPGKIEVCHWIFENDMTWVTADN >Manes.11G117500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:27507636:27511282:1 gene:Manes.11G117500.v8.1 transcript:Manes.11G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTNWVSFFFLVFAVLLFYSYPIIPFSYSSSSSKNMAQETPNSIYDFTVKDIRGNDVSLSEYSGKVLLIVNVASKCGLTQSNYKELNVLYEKYKSQGFEILAFPCNQFAGQEPGSNEEIQEVVCTMFKAEFPIFDKIDVNGKNTAPLYNYLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLKIEKDIQNLLGSS >Manes.01G227400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39236058:39238230:-1 gene:Manes.01G227400.v8.1 transcript:Manes.01G227400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIKKEKQKSVRAPSNHYQGGISFHKSKGQHILKNPLLVDTIVQKSGIKSTDVILEIGPGTGNLTKKLLEAGKMVIAVELDPRMVLELQRRFQGTPLSNRLKVIQGDVLKTDLPYFDICVANIPYQISSPLTFKLLNHQPSFRCAIIMFQREFAMRLVAQPGDTLYCRLSVNTQLYSRVFHLLKVGKNNFRPPPKVDSSVVRIEPRKPRPQVNPKEWDGFIRICFIRKNKTLGSIFRMKNVLSLLEKNYKTLQALQPSEDADAEMDISGLGDCKEDQSMDLDDGTDDEMEMEDGDADAEVSEFKEKVLSVLKEKNFYEKRSSKLSQEEFLYLLSRFNMAGIHFS >Manes.01G227400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39236058:39238230:-1 gene:Manes.01G227400.v8.1 transcript:Manes.01G227400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIKKEKQKSVRAPSNHYQGGISFHKSKGQHILKNPLLVDTIVQKSGIKSTDVILEIGPGTGNLTKKLLEAGKMVIAVELDPRMVLELQRRFQGTPLSNRLKVIQGDVLKTDLPYFDICVANIPYQISSPLTFKLLNHQPSFRCAIIMFQREFAMRLVAQPGDTLYCRLSVNTQLYSRVFHLLKVGKNNFRPPPKVDSSVVRIEPRKPRPQVNPKEWDGFIRICFIRKNKTLGSIFRMKNVLSLLEKNYKTLQALQPSEDADAEMDISGLGDCKEDQSMDLDDGTDDEMEMEDGDADAEVSEFKEKVLSVLKEKNFYEKRSSKLSQEEFLYLLSRFNMAGIHFS >Manes.12G143200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34899126:34903313:1 gene:Manes.12G143200.v8.1 transcript:Manes.12G143200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARALLGMPGPWADDNREPSDHYTTKIGGLPDLPFPLEAVPHNLLNCGACGKKLCLVAQVYAPVSSGRLKIGDRLVMVFGCVTAECGSTSLSWRALRLQKLDDGRFPCTNSDDVVPVTPPPDSVPKTNWLEDLGDESDEDIDLEALGKALSEAGSLASHSKKLHKNELSESVVNASPSVPRMRIVDMELPVVPCFYVYTQEESFSKDVSSMCSNYYSTLSIKEKQSNNDQEQQEAWGDESYEYDKALNVDRAYLKFKKKLDADPEQCFRYLYGGKPLLATPDVGDPGSCKLCGASRHYEMQLMPPLIYFLQDAADDCQKTALENWDWMTLVVFTCSKSCSNPSAEEKSKTGCWIVAEEAVVVQFEKPLDESVQLGFFS >Manes.12G143200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34899082:34903194:1 gene:Manes.12G143200.v8.1 transcript:Manes.12G143200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARALLGMPGPWADDNREPSDHYTTKIGGLPDLPFPLEAVPHNLLNCGACGKKLCLVAQVYAPVSSGRLKIGDRLVMVFGCVTAECGSTSLSWRALRLQKLDDGRFPCTNSDDVVPVTPPPDSVPKTNWLEDLGDESDEDIDLEALGKALSEAGSLASHSKKLHKNELSESVVNASPSVPRMRIVDMELPVVPCFYVYTQEESFSKDVSSMCSNYYSTLSIKEKQSNNDQEQQEAWGDESYEYDKALNVDRAYLKFKKKLDADPEQCFRYLYGGKPLLATPDVGDPGSCKLCGASRHYEMQLMPPLIYFLQDAADDCQKTALENWDWMTLVVFTCSKSCSNPSAEEKSKTGCWIVAEEAVVVQFEKPLDESVQLGFFS >Manes.13G103200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:30216285:30218213:-1 gene:Manes.13G103200.v8.1 transcript:Manes.13G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFFFFSLLVLQSADSLNFKLTGFDSDVNSIIYRGDAEPAAGAVELISRFTYTCRVGQVTHAERVRIWDSSSGQLSNFTTHFSFIVDTQKLSTYGRGLAFFLAPVGFDIPPNSPGGFLGLYNTSTYENSSQNQMVHVEFDSFSDQEWDIEPAGHVGINNNSLRSAAHTPWNASFHSGDTADVWITYDAITKNLSVSWSYQETSNPLENSSLSYIIDLMKILPEWVNIGFSSATGSYSERNKLLSWEFSSTLEVKDTNESISKRIRVIVGVAVSVCVLTFGVILISWRRKQALKKKDGKKINLTSIYEDLERRAGPRRFSYEELVSATNNFSNERMLGKGGFGAVYKGYLFDMDLAIAVKKISRGSKQGRKEYVTEVKTIGLLRHRNLVQLLGWCHENGEFLLVYEFMPKGSLDAHLFSKKSPLNWAARYKISLGLASALLYLHEEWDQCVVHRDVKSSNVMLDSNFNAKLGDFGLAKLTDHELGPQTTGLAGTLGYMAPEYITTRRASKESDVYSYGVVALEIGSGRRAINHIEEEHEMSLLEWIWELYGRNKLHVAIDKSLHMDYDQKQIECLMIVGLWCTHPDHHLRPSIRQAIQVLNFEAPVPNLPAKMPVPMYSVQVNNVNSGEVFLTNSSIALGR >Manes.08G122300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36219525:36223010:-1 gene:Manes.08G122300.v8.1 transcript:Manes.08G122300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTARAPGKIILSGEHAVVHGSTAVAASINLYTNVTVSIPTAGNDDSLKLQLKDMALEFSWPIGRIKEALSNLGPPSSSTPTSCSIESIKSISALVEEKNIPEAKIALASGVSAFLWLYTSIQGFKPATVAVTSELPLGSGLGSSAAFCVALSAALLALSDSVNVDKQHQGWKMFGESDLELLNKWAFEGEKIIHGKPSGIDNTVSTYGNMIKFTSGNLTRIKSNMPIKMLVTNTKVGRNTKALIAGVSERTLRHPNAMSVVFNAVDSISNEVASIILSPAPDDVSITEKEQKLEELMEMNQGLLQCMGVSHASIETVLRTTLKYKLASKLTGAGGGGCVLTLLPTLLSGTVVDKVITELETCGFQCLISGIGGNGVEICIGGSS >Manes.08G122300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36219525:36223010:-1 gene:Manes.08G122300.v8.1 transcript:Manes.08G122300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTARAPGKIILSGEHAVVHGSTAVAASINLYTNVTVSIPTAGNDDSLKLQLKDMALEFSWPIGRIKEALSNLGPPSSSTPTSCSIESIKSISALVEEKNIPEAKIALASGVSAFLWLYTSIQGFKPATVAVTSELPLGSGLGSSAAFCVALSAALLALSDSVNVDKQHQGWKMFGESDLELLNKWAFEGEKIIHGKPSGIDNTVSTYGNMIKFTSGNLTRIKSNMPIKMLVTNTKVGRNTKALIAGVSERTLRHPNAMSVVFNAVDSISNEVASIILSPAPDDVSITEKEQKLEELMEMNQGLLQCMGVSHASIETVLRTTLKYKLASKLTGAGGGGCVLTLLPTLLSGTVVDKVITELETCGFQCLISGIGGNGVEICIGGSS >Manes.04G158800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35318124:35319032:1 gene:Manes.04G158800.v8.1 transcript:Manes.04G158800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPVIKIAALSGSLRKASFNSGLIRSAIELSKESVNGIQIEEIDISQLPLLNADLIVNGSYPPVVEAFRQKIIKADSVLFASPENNYSLSAPLKNAMDWASLPPNCWGDKTAAVVSAGGGFGGGRSHYHLRQIGVYLDLHFINKPEFFLNAFQSPAKFDDDGNLIDAETKERLKEILVALRDFTLRLHK >Manes.03G050700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4697008:4709156:1 gene:Manes.03G050700.v8.1 transcript:Manes.03G050700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLERESEVVEREYSETELENFRGRENLKNTQVDRERFLRERDRKMEDIEEEEKGEEEVLGSSLTMEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLASSDVPKEEQINLIKDLERKETEFMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTENVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCTALSVIPENKTVDGENMTEPMDIDGSFPDADNKSNWKSPLEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLRFPEDARLSPEAKDLICRLLCDVDHRLGTGGAHQIKAHPWFKDIVWDKLYEMEAAFKPEINGELDTQNFMKFDELDPPRARTGSGPSRKMLLTPKDLSFVGYTYKNFDAVKGLHAFGGSGADYPTNRPAEETEVQMLASSGDHMLP >Manes.12G141700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34795883:34803226:-1 gene:Manes.12G141700.v8.1 transcript:Manes.12G141700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDLLSSWCACVGSCNTNSQKLGASFHCYGRCQVNLLNSIECFHMDQWIEAVPQYEHIQQNDFSYRKHRKQKEEDIAICECKFDASDPESACGEGCLNVLTSTECSPGYCPSGVFCKNQKFQKCDYAKTKLFKTEGRGWGLLADEDIKAGRFIIEYCGEVISWKEAKRRSQAYEKQGLKDAFIISLNSSESIDATKKGSLARFINHSCQPNCETRKWNVFGEIRVGIFAKQDISIGTELAYDYNFEWYGGAKVRCLCGATSCSGFLGAKSRGFQEDTYLWEDDDERYSVEKIPLYDSAEDEPSTKLLKITNSNSEYDSGMNIEYSVMTNFNVGSEHHVESAALMLNPQDSVSTEGAIMNPVKLEASEEINLYSQDAQQAFAQKNTMIPFIGSKSTCGNYHTGRGPMPKKRSKHYSNGKLKQMPQKQVDAKHVAKLLEKEAQEEVLIYEEVRNDAASQLSSLYNEIRPAIEEHERDNQDSVATSVAEKWIEVCCLKLKAEFDLYSSIIKNVVCAPRRAIEQAQPSEVGDHDNDVKYLRF >Manes.14G092600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7740876:7742342:1 gene:Manes.14G092600.v8.1 transcript:Manes.14G092600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGELTGIHYLAAENQIPFSANLGLIEQSNIPAFHFNRILSNFHSPPFSQPVHEFNPQSSCLSNNSTSDEAEENQVSIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHNLIDKLNHVSECHDRVLQENARLKEEASDLRQMLTDLQIGSPFTSSALRDLEEVPCNTAHLRAESSNQSISSSVEFLH >Manes.07G091450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28413108:28414713:1 gene:Manes.07G091450.v8.1 transcript:Manes.07G091450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVDKPEFLQEKVPSDLAFSFTEYIQEKAQVRNPTHEHVEENRVPLLPAPPQPIHVEVEPLPKRHRTQPPKESQRRRGRRRPQLVNDVPSWLPDGWTVKKWVRRNGASAGHVDKILVVVEEG >Manes.03G197700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31504108:31508455:1 gene:Manes.03G197700.v8.1 transcript:Manes.03G197700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEPKRSITGGLVGKPISDDRKVSPATAPTAKKVIIKNADMKDDMQKEAVDIAIAAFEKPSVEKDVAEHIKKEFDRRHGPTWHCIVGRNFGSYVTHETNNFVYFYLDQKAVLLFKSG >Manes.02G047100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3844478:3845890:-1 gene:Manes.02G047100.v8.1 transcript:Manes.02G047100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVNSNELHPKSRHSNHVHKSRKTNNHSNCSNIPVCDRSRSAVVDVVILIAVIGACGFLLFPHIKFVTLYLIEFVGALHCVVKEEVMRNPMIYASIGISTFCAAIAAWIVLTCTTRKCGNPDCKGLKKAAEFDIQLETEECVKNSNGTLVKDGVKRGLFELPRDHHRELEAELRKMAPPNGRAVLVFRARCGCSVGRLEVPGPKKQKKVKK >Manes.02G047100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3844726:3845427:-1 gene:Manes.02G047100.v8.1 transcript:Manes.02G047100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFHSSISVCNSVDQSTTVMANSVNSNELHPKSRHSNHVHKSRKTNNHSNCSNIPVCDRSRSAVVDVVILIAVIGACGFLLFPHIKFVTLYLIEFVGALHCVVKEEVMRNPMIYASIGISTFCAAIAAWIVLTCTTRKCGNPDCKGLKKAAEFDIQLETEECVKNSNGTLVKDGVKRGLFELPRDHHRELEAELRKMAPPNGRAVLVFRARCGCSVGRLEVPGPKKQKKVKK >Manes.15G192980.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32630922:32650072:1 gene:Manes.15G192980.v8.1 transcript:Manes.15G192980.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSAGSEGETRKGNDASVNMGFGSPLSFWEVTLASTVVLGFVLGLLGVYCTMPSSDYSFLKLPRTLEDLQILKFVISSFSDHLEGYTSDYTAQVLVGYCVVYVFMQTFMIPGTIFMSLLAGALFGVFKGMALVVSTSTAGASSCYFLSKLIGRPLVFSLWPDKLSFFQEQIARRKECLLNYMLFLRLTPTLPNIFINVASPIVDVPYHIFFLATFIGLIPATYVTVKAGIALAEIESLGDLYNFNFIATLFLIGIVSITPTLMSKSKS >Manes.15G192980.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32630887:32635985:1 gene:Manes.15G192980.v8.1 transcript:Manes.15G192980.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSAGSEGETRKGNDASVNMGFGSPLSFWEVTLASTVVLGFVLGLLGVYCTMPSSDYSFLKLPRTLEDLQILKDHLEGYTSDYTAQVLVGYCVVYVFMQTFMIPGTIFMSLLAGALFGVFKGMALVVSTSTAGASSCYFLSKLIGRPLVFSLWPDKLSFFQEQIARRKECLLNYMLFLRLTPTLPNIFINVASPIVDVPYHIFFLATFIGLIPATYVTVKAGIALAEIESLGDLYNFNFIATLFLIGIVSITPTLMSKSKS >Manes.15G192980.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32630922:32635985:1 gene:Manes.15G192980.v8.1 transcript:Manes.15G192980.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYRLRDHLEGYTSDYTAQVLVGYCVVYVFMQTFMIPGTIFMSLLAGALFGVFKGMALVVSTSTAGASSCYFLSKLIGRPLVFSLWPDKLSFFQEQIARRKECLLNYMLFLRLTPTLPNIFINVASPIVDVPYHIFFLATFIGLIPATYVTVKAGIALAEIESLGDLYNFNFIATLFLIGIVSITPTLMSKSKS >Manes.15G192980.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32630922:32635985:1 gene:Manes.15G192980.v8.1 transcript:Manes.15G192980.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGSAGSEGETRKGNDASVNMGFGSPLSFWEVTLASTVVLGFVLGLLGVYCTMPSSDYSFLKLPRTLEDLQILKFVISSFSDHLEGYTSDYTAQVLVGYCVVYVFMQTFMIPGTIFMSLLAGALFGVFKGMALVVSTSTAGASSCYFLSKLIGRPLVFSLWPDKLSFFQEQIARRKECLLNYMLFLRLTPTLPNIFINVASPIVDVPYHIFFLATFIGLIPATYVTVKAGIALAEIESLGDLYNFNFIATLFLIGIVSITPTLMSKSKS >Manes.15G192980.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32632498:32634587:1 gene:Manes.15G192980.v8.1 transcript:Manes.15G192980.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYRLRDHLEGYTSDYTAQVLVGYCVVYVFMQTFMIPGTIFMSLLAGALFGVFKGMALVVSTSTAGASSCYFLSKLIGRPLVFSLWPDKLSFFQEQIARRKECLLNYMLFLRLTPTLPNIFINVASPIVDVPYHIFFLATFIGLIPATYVTVKAGIALAEIESLGDLYNFNFIATLFLIGIVSITPTLMSKSKS >Manes.15G183100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25093311:25099129:1 gene:Manes.15G183100.v8.1 transcript:Manes.15G183100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIPCHFCSSLSNSTLTISPKLPRNHFGFANAFRINAAWWARRPAPRCSLRNSHQPQFSDKQKGKPFSLKECAISLALAVGLITGVPSLDWSTIAYAASPALPDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVSGVKALDSVERNVRQASRALKQGKSLIISGLAESKKDHGVELLDKLEAGMDELQQIVEDRNRDGVAPKQKELLNYVGGVEEDMVNGFPYEVPEEYQSMPLLKGRAAVDMKVKVKDNPNVDECVFHIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVNGEKAPFYGATLEELGLYKAQTKLPFNAFGTMAMARDEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYITENEDYLADLKVGDVIESMQVVSGLDNLVNPSYKIAG >Manes.18G038400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3399511:3401048:-1 gene:Manes.18G038400.v8.1 transcript:Manes.18G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDQLKSATQDQMEMMMMMMDKLPDFYGAYHDVADLAPPSEFPGATTAINDTVASDAIPHFIDNNPHIGTSPPYMAIPFTSDNAPIHQQSPPAAFLSNPPTSRWRGAAEFPGPNAYSTPSQKKNSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAQATRSSSAGIGFPVAMSSGSYLPVGKACLQEPPHQNVQHFEDA >Manes.18G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12711198:12717410:1 gene:Manes.18G120200.v8.1 transcript:Manes.18G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMKRIENPVHRQVTFCKRRAGFLKKAKELSVLCDAEIGVFIFSTHGKLYELATNGSMQGLIEKYLNATGGSLQPDLPNQTHPLDAKEEIMMLKKEIEILQKGLSYLLGGRYAEMSIDELLMLERNLEIWICHIRSTKMEIMSKEIQLLRNKEGILKDANQYLQDKIEETVELSKFAAMTTNSPYPLTIQNEIFQF >Manes.04G106900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:31180381:31181058:-1 gene:Manes.04G106900.v8.1 transcript:Manes.04G106900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDVKLNSTSLSPNPMPPPFFFLELRLHRYCRKLLRNLQGELIEIGTRQVAPTSAFLFEIHSHDLVSEQPCKSRLNYLFSSINLDEPVRDFLADYIARVLVFMANEQPFVGHYVVADTDITLECLIAGDPIDRTMIVDEEPREVVPRGASTSALNKLKKQRFFAEKSGDGDELSDDCVICLEGLSGSREALTKMTCNHIFHERCIFGWLKVQNSCPTCRRELED >Manes.18G011107.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1312911:1315198:1 gene:Manes.18G011107.v8.1 transcript:Manes.18G011107.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQMYSESLCLPLSGLQDCMVNNPVSGFEADFCFAFQDSQQQNLFLQSQSSQNFGFYCYNKGAGPSSSSSQSTCNSFPSMALSQSLDAHHLEIQRQEVDYVLQLQNERLRSALQDQRRQQLAILLKNAETKASYLMRQKEEDLAQARKKTMELETCLRKAQMERESWQRQAGENEAMVVELSNTLEQVRETLVLGSSSRGQDTESFCCGSCDREQEDPPKKMACKGCNSRASCVLFLPCKHLCSCKFCEAFLLSCPVCESLKEGSLEVFWA >Manes.07G079444.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24558181:24559691:1 gene:Manes.07G079444.v8.1 transcript:Manes.07G079444.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCSRKKPSKVQISDVSFKNIQGSSRMPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.08G046900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4664365:4666267:-1 gene:Manes.08G046900.v8.1 transcript:Manes.08G046900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISMANNDNAIYYCLLFFMSLISIFLMRLLIKTCTNFGSSIRHPPSPLALPIIGHLHLVGTAFPKSFQTLARRYGPLMQLRIGSSTFVVASSASIAKEIFKTHDLKFASKFEMGPKDYNIYQGTGFITAPYGAYWKFMRRLCITELFAGSQFDRFNRIREQEIINLLKSLMGCAKKGEVCDLNLELETLTNNSICKMAMSRRFSDKDDEAKKMRKMVSEIMEAGAKLGIHQVFGVLKKFDIFGHGKKLQEATMAFDGVMEQIMKDYEESLVDGGENEEKDVMHVLMQTYKNTEAEVKLTRTQIKHFILELFMASVDTESAAIQWAMAELINHPSVFKKLRDEIHSVVGSCNRLINVSDFPNLPYLQAIVKESLRLHTPGPIIHRECTKDCQINGFDIKANTKILINAYAIMRDPDTWHDPDVYIPERFLVGPRGEFDKSEMEMKRHDFSYLPFGGGRRICPGSPHAYALMHSVIGALVQCFDWKVKDGENIDINIANGFSGTMAPPLLCYPITHFNPF >Manes.17G105700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31261808:31265349:-1 gene:Manes.17G105700.v8.1 transcript:Manes.17G105700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYLRRAISFTSSVMLRRSCNCAIQIGNFSNHLISNSGIHRTQNLPAFNFLGDHCRGFAKGKKSKDDSAGDTVQFVPDIGPTIKAGAASQMDAAIVALSRELAKLRTGRASAGMLDHIIVETDGLKLPLNRLAVVAVMDPKTLSVNPYDPNTLKALEKAIVSSPLGLNPRVDGDRLIASVPPLTKEHIQAICKVVSKSCEDVKQSIRRARQKAIDTIKKAGSSFSKDEIKRLEKEVDELTKKFVKSVEDICKAKEREIIGG >Manes.02G077400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5891010:5893005:-1 gene:Manes.02G077400.v8.1 transcript:Manes.02G077400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKQLPTTETSQPQEPQQVQEQKQAQSCSTIAMPEPELEHKKETETSIQSSITEESRVEEDKSIRSTFETMELMNNGFCVDEVPLIEPHEMLVPCAASSSSTTSSSSPSSSSHGSNNNFLEDLQFSDFEWPDNDIDLWGDDLSSCWDLLMNDADSDRKQAAAIDHHPPPINQCPRMVLDQESWTYGIL >Manes.09G014621.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3257481:3259137:1 gene:Manes.09G014621.v8.1 transcript:Manes.09G014621.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRNISPDVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVTYSLLMDGYCLGSQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDARQIFDEMSLKGLVPDVVTYHTLIKAMFQAGRPQTAKELFKDMCSHGQQPNIVTFSIMINDARELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNNNLILRLLKVRNEGSAN >Manes.11G147200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31197021:31200772:-1 gene:Manes.11G147200.v8.1 transcript:Manes.11G147200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKDLRLSKFKRICVFCGSSPGKKSTYKDAAIELGKELVARNIDLVYGGGSIGLMGLISQAVYNGGRHVIGVIPKTLMPREITGETVGEVKPVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIIVSAPNPKELVKKMEEYFPQHEIVASKVTWEMEQLGYSPKCEISR >Manes.15G177000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16438236:16442019:-1 gene:Manes.15G177000.v8.1 transcript:Manes.15G177000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVERGWNTFIFGSEHRQLADDWSSIAMINPLFIKEGEVLDSGSNRVATIFQVSTPEELQQLQPENARAENIVIDLLDWQIIPAENIVAAFQGSQKTVFAISNTPSEAQIFLEALELGLGGVVLKVEEAEAVIELKEYFDRRNESSNVLNLTKGTVTKIQVAGMGDRVCVDLCSLMKPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYISVPGGKTCYLSELKAGKEVMIADQKGHLRTAIVGRVKIETRPLILVEAKIDFDDQMHYSIFLQNAETVALVPPCQGNGMQKVAVPVTSLKVGDEVLLRIQGAARHTGIEIQEFIVEN >Manes.15G177000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16438236:16442019:-1 gene:Manes.15G177000.v8.1 transcript:Manes.15G177000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVERGWNTFIFGSEHRQLADDWSSIAMINPLFIKEGEVLDSGSNRVATIFQVSTPEELQQLQPENARAENIVIDLLDWQIIPAENIVAAFQGSQKTVFAISNTPSEAQIFLEALELGLGGVVLKVEEAEAVIELKEYFDRRNESSNVLNLTKGTVTKIQVAGMGDRVCVDLCSLMKPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYISVPGGKTCYLSELKAGKEVMIADQKGHLRTAIVGRVKIETRPLILVEAKVCVTYSITKHGLSI >Manes.15G177000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16438236:16442019:-1 gene:Manes.15G177000.v8.1 transcript:Manes.15G177000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVERGWNTFIFGSEHRQLADDWSSIAMINPLFIKEGEVLDSGSNRVATIFQVSTPEELQQLQPENARAENIVIDLLDWQIIPAENIVAAFQGSQKTVFAISNTPSEAQIFLEALELGLGGVVLKVEEAEAVIELKEYFDRRNESSNVLNLTKGTVTKIQVAGMGDRVCVDLCSLMKPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYISVPGGKTCYLSELKAGKEVMIADQKGHLRTAIVGRVKIETRPLILVEAKLNHWPRYLLAQC >Manes.15G177000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:16438236:16442019:-1 gene:Manes.15G177000.v8.1 transcript:Manes.15G177000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVERGWNTFIFGSEHRQLADDWSSIAMINPLFIKEGEVLDSGSNRVATIFQVSTPEELQQLQPENARAENIVIDLLDWQIIPAENIVAAFQGSQKTVFAISNTPSEAQIFLEALELGLGGVVLKVEEAEAVIELKEYFDRRNESSNVLNLTKGTVTKIQVAGMGDRVCVDLCSLMKPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYISVPGGKTCYLSELKAGKEVMIADQKGHLRTAIVGRVKIETRPLILVEAKVCVTYSITKHGLSI >Manes.07G115500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32072747:32073517:-1 gene:Manes.07G115500.v8.1 transcript:Manes.07G115500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITEIEHPTHPLHKLKLESSPVPYICDGCKELGFGICYQCQICNFHLHKECGVPSSSFYHRFFEGCEFKFYEKSPRPDARRCDGCGRDIGGFVYQSSHEGANDLHPPCAKLPATLAGEGMTLELKKNVKSKCLKCKSRRNSSEGARGWCYVSGCGKFCFHVACVKEMVYEAWEMGYFNGQETEPDPNVDGSVVRSLVPSGPSSSTEMVQPRPGKATHYWKMVASVLKLILSAIFGDPITAFATLVFPLVQSLATN >Manes.14G058718.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4926832:4928589:1 gene:Manes.14G058718.v8.1 transcript:Manes.14G058718.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVALLKQWWQEFAFNPMLVFYLFLLSFVYLFRLNRTHKLKLPPSPPKLPIIGNLHQLGALPNRSLKKLSDKYGPLMLMHFGKVPTLVVSTAEMVHEITKIMTLLSQIGQKPASEMFCFSGTKTLHFAPMVNIGDR >Manes.14G136528.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11904756:11919170:1 gene:Manes.14G136528.v8.1 transcript:Manes.14G136528.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDEIPRACEAEGSETMIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTDVEDGHTLHLVVRQPVLPSSDGLLGHSVLSRY >Manes.12G132100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33952020:33952737:1 gene:Manes.12G132100.v8.1 transcript:Manes.12G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGERRIAVPMIIAMKGHPGTGKSTLAQSLASSLKIPLIDKDDVRHCTFSLQNSSLSSIASLSKLFNDLSYRVIWQIASTQLRLGLSVILDSPLSRKTHLDQLTYLASSAGARLVIIECKPLNEALWRLRLEQRGAADQDSWHKPSTWQDLQRLLEEYGGCTDYDVGDVPKLVVDTTADVGIDEIVSRVVQFIISHAHCPSDSCGVLQLQS >Manes.17G073400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27371010:27373781:-1 gene:Manes.17G073400.v8.1 transcript:Manes.17G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKRSFFPNTLPEARCQSLSWLTAMLQAQWSSNFFNPIIPQFLHQIRPFTVYTSILNLCEKPQHLQQIHARFILHGLHQNPTLSSKLVDSYANHGLLHISKQVFNSISNPNTLLYNTILRNLAKFGDFKSTLVLYQKMGINSILPDEETYPVVLGSCSCLLDVVNGKAIHGHIVKLGFESFYLVGTASMEMYRSFGDLENDREVIDGKSINDLDYWNSFVSETAENTKRSFWFYERMRMEKTDSLSVTIVNFLRSTVQMGSLRAGRAIHCVIVVCGLCKDLSLNTALLTMYSKLRGLGDARLIFDKMPEKDCVVWNIMISAYSQNGYPEKSIDLLRYMSKSGVRADMFTALPAVSAIRQLKSIELGKEMHAHVMRNGSDCQVSVPNSLIDMYCECDCLTYAQKIFELLSNKTAASWSSLIKGYVKHDQSLDALSLFYKMKLDGPRVDFITVINILPACVNVGALEQVKYLHGYSIKFCMTKLASVNTALLVSYAKCGCIEMARKIFDEETINKDTITWNSMINAYAKHGDSLQCFNLYRQMKQSNPVPDEITFLGLLTACVNTGLVKEGQEFFKEMMETHACQPSQEHYACMVDLLGRAGHVNEARELIKTMPFKPDAQVWGPLLSACKMQSETELAEVAAEKLISMEPRNAGNYILLSNIYAAAGKWDGVAKMRSFLRDRGLKKTPGCSWLEINGCVHEFRAADQSHPKADDIHTVLRKLELEIKEAREKFELTILNAEFVHFC >Manes.06G153100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28047847:28050005:1 gene:Manes.06G153100.v8.1 transcript:Manes.06G153100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKVVLKLELHDDKEKKKAMKKVSGLSGVESISLDMKDKKLTVIGDVDPVHIAGKLRKLCHTEIVSVGPAKEPEKKKEEPKKEEAKKAEPQKDPKEKEKDQVAELVKAYKAYNPHMTTYYYVRSAEEDPNACVIC >Manes.04G081712.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28303981:28305180:1 gene:Manes.04G081712.v8.1 transcript:Manes.04G081712.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKVHQEASSSLIYTCVRFSHIPLASIYTHTSTFKPTPNSSPQEVITSSTCIPNFTFTFFIISAIFSSKRVKQIAMAIRFPAITHAKQLLHRSNMLQNQSASNFKDVPKGHLAVYVGEDQKKRFIVPVSLLNKPSFQELLRKAEEEFGFSHSMGAITIPCREDIFIDLTSRLNRS >Manes.11G121522.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28264255:28266504:-1 gene:Manes.11G121522.v8.1 transcript:Manes.11G121522.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIVRVASKSLSMVLTVSSRSISPHPPLVCHIPFKTRQIFSESLGLGYKFYLAAFFFVPILYESCFCCTSIGCDAAFVLKFAIAFVLIHQSRKGLLQKLCRIVSPYLHSAIPIHRNVQINILKAILFSFAKSVFFQNI >Manes.12G060000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5182380:5186613:-1 gene:Manes.12G060000.v8.1 transcript:Manes.12G060000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLNRILATVMISSAALSLTPKPAFAYLTHPASTDSIIFDAKEKMKTMGYHSFFTKKDHGSGDKGNEMHDEIKCGNVVSRLFQTVIKDYKCPTLDHSVSELNKTNCGTFPLEKGKLLKQVLEDENYHVEKMYSSEVWYRDVKKGKGAIATDDQILQLRIHCNLYNDAGDTLFNSLEHNTSSVEVHLCDHIFGPGVVKAIKNMRVGGIRRIILPKKYAPMISKRGAPNIKAYGVMDVELVAVCASPVCCS >Manes.04G141800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34024857:34032278:1 gene:Manes.04G141800.v8.1 transcript:Manes.04G141800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASHIINHSKKLRNAPNLLRHEYGSLVRWFSSDTRFSISKKNDTGKVHHHGFAAAEKERFSSNAPNGTVLSFGASRENVTIMQVRNPMAGSLLFKDFSCSQILSRRGYSSDSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKISPGEVLCEVETDKATVEMECMEEGFLAKIIKGDGSKEIKVGEVIAITVEDEEDIPKLKDYSPSASDGAPAAKGPSTSTEPPPPKEEAVEETVSSPEPKTSKPSATPSEGRIFASPLARKLAEDHNVPLSSIKGTGPDGHIVKADIEDYLASRGKESKVSATAPRDKDTTPAALDYVDIPHSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMDLRSQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDNYIRQYSNVNINVAVQTENGLYVPVVRDADKKGLSKIAEEVKRLAQKAKENSLKPEDYEGGTFTVTNLGGPFGIKQFCAIVNPPQSGILAIGSAEKRVIPGSGADEFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Manes.12G089300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:13926992:13931053:-1 gene:Manes.12G089300.v8.1 transcript:Manes.12G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSKKWGFEANNSKALMTASAITVRGVLNTLMANLNKQDQRPLIPFGYGDPSAFPCFRTTCIAEDAIVDALKSAKYNCYAPTVGILPARRAIADHLNRDLPFKLSPDDVFVTLGCTQAIEVTLTVLGRPGANILVPRPGFPYYEAVAAISNLEVRHFDLLPGKGWEVDLEAVEALADDNTVAIVVINPGNPCGNVYSYGHLKQIAETARKIGIMVIADEVYAHLTFGRTPYVQMGSFGSIVPVLSLGSISKRWIVPGWRIGWLVAADPNGILQKSGVIDSIVSCLNLSADPATFIQGAIPEILENTKKDFFLKIVNLLREAVDKCYDRIQQNPYITCPMKPEGSMFVMAKLNLSLLEDIKDDMDFCLKLAKEESVIVLPGIAVGLKNWLRITFAIEPSSLEDGLGRMKSFCERHAKKQ >Manes.06G054700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18960671:18967502:-1 gene:Manes.06G054700.v8.1 transcript:Manes.06G054700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRRLKELLLQSDNRFCADCRAPDPKWASANIGVFLCLKCCGVHRSLGPLVSKVLSVTLDEWSDEEIDAMIEVGGNSAANAIYEAYVPENVSKLRPDANHGERMKFIRSKYELQEFLKPSLKITSGKPSISVQSSFSRRILDNFRVTSTSRDSEKAMVEFIGMLKVRVKNGTNLAVRDMMSSDPYVILTLGKQTVQTTVASSNLNPVWNEELRLSVPPNFGPVKKFLIMTHFQLMT >Manes.06G054700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18960671:18964754:-1 gene:Manes.06G054700.v8.1 transcript:Manes.06G054700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVGGNSAANAIYEAYVPENVSKLRPDANHGERMKFIRSKYELQEFLKPSLKITSGKPSISVQSSFSRRILDNFRVTSTSRDSEKAMVEFIGMLKVRVKNGTNLAVRDMMSSDPYVILTLGKQTVQTTVASSNLNPVWNEELRLSVPPNFGPVKLEVFDYDTFSADDIMGEAELDIQPLITSAMAYGNPEMFGNMQIGKWLKSHDNALIDDSTINIVDGKVKQEVSLKLQNVESGELQLDLEWIAS >Manes.06G054700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18960671:18965296:-1 gene:Manes.06G054700.v8.1 transcript:Manes.06G054700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVGGNSAANAIYEAYVPENVSKLRPDANHGERMKFIRSKYELQEFLKPSLKITSGKPSISVQSSFSRRILDNFRVTSTSRDSEKAMVEFIGMLKVRVKNGTNLAVRDMMSSDPYVILTLGKQTVQTTVASSNLNPVWNEELRLSVPPNFGPVKLEVFDYDTFSADDIMGEAELDIQPLITSAMAYGNPEMFGNMQIGKWLKSHDNALIDDSTINIVDGKVKQEVSLKLQNVESGELQLDLEWIAS >Manes.06G054700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18960671:18963669:-1 gene:Manes.06G054700.v8.1 transcript:Manes.06G054700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVGGNSAANAIYEAYVPENVSKLRPDANHGERMKFIRSKYELQEFLKPSLKITSGKPSISVQSSFSRRILDNFRVTSTSRDSEKAMVEFIGMLKVRVKNGTNLAVRDMMSSDPYVILTLGKQTVQTTVASSNLNPVWNEELRLSVPPNFGPVKLEVFDYDTFSADDIMGEAELDIQPLITSAMAYGNPEMFGNMQIGKWLKSHDNALIDDSTINIVDGKVKQEVSLKLQNVESGELQLDLEWIAS >Manes.06G054700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18960671:18967502:-1 gene:Manes.06G054700.v8.1 transcript:Manes.06G054700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRRLKELLLQSDNRFCADCRAPDPKWASANIGVFLCLKCCGVHRSLGPLVSKVLSVTLDEWSDEEIDAMIEVGGNSAANAIYEAYVPENVSKLRPDANHGERMKFIRSKYELQEFLKPSLKITSGKPSISVQSSFSRRILDNFRVTSTSRDSEKAMVEFIGMLKVRVKNGTNLAVRDMMSSDPYVILTLGKQTVQTTVASSNLNPVWNEELRLSVPPNFGPVKLEVFDYDTFSADDIMGEAELDIQPLITSAMAYGNPEMFGNMQIGKWLKSHDNALIDDSTINIVDGKVKQEVSLKLQNVESGELQLDLEWIAS >Manes.05G104000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9785230:9792173:-1 gene:Manes.05G104000.v8.1 transcript:Manes.05G104000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHESCWQNNTNFSSPPSRWDFSFPSEELPYESPEATPLFRSSTSSNQKEYRWMRGNNHCSASGSAGLLLSSPDLSSGPQWMPPVMQEISANVYETATRRGQVLQPLPSAPSIVGTSGNPESRGSTSSFSDSSESDPTFKSCPSNHCNSSSRRSFFSKPIHPLFFPTQATSEASTTPATGSLRFDAATLRRDSRRLTSASSSIDFSDISEQFESENLDRLFESENLDRLCISSDSFKCGLCERFLSQRSPWSSRQIVSGDMPVAGVLSCCHVFHADCLEQTTPTAHKNDPPCPLCVRSDEEKFPELQVFPRLKNRFSSITPSSEFGPSRPWGFVTKGDSVEGALHAPHQNTMLLLNRNRMRKNLFLKGNSGKEFPGKLMKSGSYSSQLLNGKSVDFGTVGCSNATANPSVKR >Manes.05G104000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9785230:9792173:-1 gene:Manes.05G104000.v8.1 transcript:Manes.05G104000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHESCWQNNTNFSSPPSRWDFSFPSEELPYESPEATPLFRSSTSSNQKEYRWMRGNNHCSASGSAGLLLSSPDLSSGPQWMPPVMQEISANVYETATRRGQVLQPLPSAPSIVGTSGNPESRGSTSSFSDSSESDPTFKSCPSNHCNSSSRRSFFSKPIHPLFFPTQATSEASTTPATGSLRFDAATLRRDSRRLTSASSSIDFSDISEQFESENLDRLFESENLDRLCISSDSFKCGLCERFLSQRSPWSSRQIVSGDMPVAGVLSCCHVFHADCLEQTTPTAHKNDPPCPLCVRSDEEKFPELQVFPRLKNRFSSITPSSEFGPSRPWGFVTKGDSVEGALHAPHQNTMLLLNRNRMRKNLFLKGNSGKEFPGKLMKSGSYSSQLLNGKSVDFGTVGCSNATANPSVKR >Manes.16G134500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33538738:33539985:1 gene:Manes.16G134500.v8.1 transcript:Manes.16G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCGDGFDVVVVGAGIMGSCTAYELAKRGHKTLLLEQFDFLHHRGSSHGESRTIRATYPEDYYCAMAMESSKLWEEAQLEINFKVYFKAQQFDMGPLDDKSLLSAVSSCQKNSVPHQVLDSQQVAKKFSGRIKLPENWIGICTELGGVIKPTKAVSMFQALASRKGAILRDNIQVNNIVKDGVKGGVWVSAASGEKFWAKKCVITAGAWVRKLVKTVSGLELPIQALETTVCYWRIREGHEADFAIGGDFPTFASYGQPYIYGTPSLEFPGLIKIAVHDGYPCDPDKRPWGPGMSLSSLKKWIEGRFSGLVDCGEPVATQLCMYSMTPDEDYVIDFLGGEFGKDVVIGGGFSGHGFKMAPVVGRMLADMALCGEAKGVDLNYFRIQRFQQNPKGNVKNFEHQVGFSANNKSIVQ >Manes.08G171400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40523930:40524780:-1 gene:Manes.08G171400.v8.1 transcript:Manes.08G171400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASQQSWKISINARAKKFHFKFKATTIDPTWKFFKLSLFLRLHEFLLQVKTDSTPRQPPTPLKSKFLKIFRRFNARSAKKRAVGAEKTSILQNPESKIPADPLRRSTNEEPICIGSLFVGSLALLSKSISEKDEKGTTIHGLFIMSFLALFFKKYVTGKAKALLLFLTIVLVVSAAKFPLDKYIYYKSKDCAFQLVWKAWNYAASSGCLYVLSQVIFSRFCQFVGVNSGTK >Manes.01G142201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33325256:33326033:-1 gene:Manes.01G142201.v8.1 transcript:Manes.01G142201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILREIGVVLLVAVLFSPFLVVELHGLIDKANGVAQFNTNTTSPLLSHESSDIVKDIQGRKTNSTKEVLYSGKSNMNNGGKGGGGGGGGGSGGGGGGGNGGGGGGGGSGGGGGGGGGGSGGGGGGGGSGSGNGKGKTEAHKRKGGKRSGGGGGGGGGGGGGGGNGGGGGGGGNGNGHGWGGGSGGGGGGGGGGGGGGGGGNGGGGGGGGGGEGGGWGWGGGGNQQGGCWIWGCGGHPKKSMGRKAGSPSS >Manes.03G045000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3932052:3936091:1 gene:Manes.03G045000.v8.1 transcript:Manes.03G045000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREMAQKKGKVTFTSLSKQEKEKNMYPHIDDYSNPVWPATKALAAPQVGSESVKEKFSFQFPFESEDFLDGGYDSSEDSSNTVQITRPPKVNLKNVLGGIVAILTGRNKGASVSLNQRLPISNVSFIGSENNGETYLHSSVYIPSAPPLLEPSGINYSAYKEVLEAEPPEWLPDSSTTVCMQCTAPFTALTRGRHHCRFCGGIFCRACTSGRCLLPVKFRERNPQRVCDACYDRLDPLQGILVNTISNAVQTAKHDVMDWTCTRGWLNAPVGLSMEHEIYKASNTLRSYCQVARLNPERSIPLAVLKGAKGLAILTVAKAGVLVAYKLGTGLVIARRLDGSWSAPSAICSVGLGWGAQIGGELMDFIIVLHDMKAVKTFCSRMHFSLGAGCSAAAGPIGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMDTNLKFYGDPYLTTNDILLGTVERPKAAQPLYSALEDLYSSLRC >Manes.18G049850.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4289748:4290854:1 gene:Manes.18G049850.v8.1 transcript:Manes.18G049850.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQGPGGALSARKCTRISPLPFAHKNPPLFSNITGPKFISRRPPFHLNLAKPDGGIDSTSTTKQPLSNNNSPPPPPPPPSFTRDDPVFVGPESVPLEGVIQFDKLDSSSRLDKWGRVALFSGGDVLALVLFSAIGRFSHGFPVFDFETLRTADPFIAGWFLGAYFLGGYGEDGRGMNGVSKAVVAAVKSWALGIPVSFCWA >Manes.18G049850.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4289741:4294363:1 gene:Manes.18G049850.v8.1 transcript:Manes.18G049850.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQGPGGALSARKCTRISPLPFAHKNPPLFSNITGPKFISRRPPFHLNLAKPDGGIDSTSTTKQPLSNNNSPPPPPPPPSFTRDDPVFVGPESVPLEGVIQFDKLDSSSRLDKWGRVALFSGGDVLALVLFSAIGRFSHGFPVFDFETLRTADPFIAGWFLGAYFLGGYGEDGRGMNGVSKAVVAAVKSWALGIPLGLVIRAVISGHAPPFTFILVTMGSTAVLLVGLRALLSSILPNNESKKDDVYRHGSPFELFELLTSLVRRW >Manes.18G089700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:8237961:8239193:-1 gene:Manes.18G089700.v8.1 transcript:Manes.18G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNELYITVPNLFRCPISLDVMKSPVSLCTGVTYDRSSIQRWLDTGHDTCPATMQVLATKDFVPNLTLHRLINLWTQSSTRRPDSGHSTPTATTVSDQQVGIWIEDIKRLKHESLVKIVEFLRYSEDNRVFFVRFDGLIEEIIVVLSRDEVEIQILELIVRILDLILLQNGIRENVHRLLFKNNQNCLSSFLSVIQNGKSKSKIQAVRVLESISINNESKRLVAETQNLMLVLFHLLKTENDPALHDSVLSLLISLTVTRSIKNQLIQHELVEVLSKTLCNKNVAVSLVEKSLKLLSIVSTCTEGRNAISEDPKCVECIVERLMKLPKTAREDAVVVLWSLCWSLRDGKVQEKVMRSNGLTKLLVVMQSEGEGNVRRMCADLVKVLRVGCKDGGAVMSYETKTTHIMPC >Manes.12G076000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8732714:8736322:-1 gene:Manes.12G076000.v8.1 transcript:Manes.12G076000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSVPSMIMEEEGRFEAEVAEVQAWWNTERFRLTKRPYTARDVVALRGNLKQSYGSNEMAKKLWRTLKTHQANGTASRTFGALDPIQVAMMAKYLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGVETLLVARTDAVAANLIQTNVDTRDHQFILGVTNPNLRGKSLASLLADAMAAGKTGNELQALEDNWLAAAQLKTFSECVTDAIKKMNIGEDEKRRRLNEWMNYSSYDKCLSYEQGREVAERMGLKNLFWDWDLPRTREGFYRFKGSVMAAVVRGWAFAPHADIIWMETSSPDLVECTKFAEGVKSMQPEIMLAYNLSPSFNWDASGMTDEQMRDFIPRIARLGFCWQFITLGGFHADALVTDTFAKDYSRRGMLAYVERIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRPGTMEMNGAGSEVIAKARM >Manes.04G147700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34469984:34473423:-1 gene:Manes.04G147700.v8.1 transcript:Manes.04G147700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPENSNASGGAVAFEDAQKIILRWDSTVSEEARERMIFEGDRDEVDRYLKAVDDIQKSMSSTSISDDQDKVNSTTIQIAMARLEDEFRNILLNHTTPVELDSLAAADPSSSVHSSAAGEYEEDDHAGDDDIQDQIQRADSSTSNSSASYRSTSSIREIDLIPQEAVCDLQSIAKRMISAGYLRECIQVYGGVRKSAVDSNFKRLGFEKLSIGDIQRLEWDALETKIRRWIRAAKVCIRILFSSEKRLCEQIFYGIGTAVDDACFMETVKGPAIQLFNFAEAISISRRSPEKMFKILDLHDTLMDLMPDIEVVFESKSADSIRVQAAEILSRLAEAARGILSEFENAVLREPSLVPVPGGTIHPLTRYSGDSTTPDMEFAELEGKTPLAIHLIWIIVILQFNLDGKSKHYKDVSLAHLFMMNNIHYIVQKVKGSPELREMIGDDYLRKLTGKLRQAATSYQRATWVRVLYCLRDEGLHVSGSFSSGVSKSVLRERFKTFNAMLEEVHRTQATWLVPDNQLREELRISISEKLIPAYRSFLGRYRSHIESGKHPENYMKYSVEDLESAVLDFFEGYPVSQLLRRRCQ >Manes.09G096700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29348200:29370222:-1 gene:Manes.09G096700.v8.1 transcript:Manes.09G096700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNVTAEDLIDALREVDWSSPPRPLSEFFARFTVPKSSAKWNSRLKCNLYYYRTNYFIMIVLILGLGFLRRPLAIVAALLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIHICGRPRWVFVLMFSSVSFILWYVSCGLLTVLWALAIGLLVTILHASLRTPNLKARLNTFREEFRAVWRNYSEL >Manes.09G096700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29348181:29370222:-1 gene:Manes.09G096700.v8.1 transcript:Manes.09G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNVTAEDLIDALREVDWSSPPRPLSEFFARFTVPKSSAKWNSRLKCNLYYYRTNYFIMIVLILGLGFLRRPLAIVAALLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIHICGRPRWVFVLMFSSVSFILWYVSCGLLTVLWALAIGLLVTILHASLRTPNLKARLNTFREEFRAVWRNYSEL >Manes.12G109800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30584456:30587360:1 gene:Manes.12G109800.v8.1 transcript:Manes.12G109800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILMYRFSSSDCSSNLVHAAYSLDPGLPSTQMVSFVMGTLYKQFVEKDIQNFDEFHMAILDIFNTFNSALPGRHYDAPSRKEIEACFAGWKETPESKRKQFFVDFMKKCVNLSRLDDSAMVTGVVTPPAAMAAKRAAENLPQLKIIKVVPDVVFVPTATVLALASVKLTRRMFLGSIASP >Manes.12G109800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30585298:30587361:1 gene:Manes.12G109800.v8.1 transcript:Manes.12G109800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSMSFMGKGLPSTQMVSFVMGTLYKQFVEKDIQNFDEFHMAILDIFNTFNSALPGRHYDAPSRKEIEACFAGWKETPESKRKQFFVDFMKKCVNLSRLDDSAMVTGVVTPPAAMAAKRAAENLPQLKIIKVVPDVVFVPTATVLALASVKLTRRMFLGSIASP >Manes.07G114600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31985619:31987714:1 gene:Manes.07G114600.v8.1 transcript:Manes.07G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Manes.04G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33562531:33564121:-1 gene:Manes.04G135000.v8.1 transcript:Manes.04G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEEKMVQAPAMASVPNAKQKDQWLIMEAEADDDDDNIYNASSSLDNSTTSNGSTSSSDMVDDASSPTSIITSSSNSNNGPLFEFSQLMAHLPIKRGLSKYYQGKSQSFTSLSRVISIEDLPKKETPYRRKIKASKSFGNGFDSHKSYTLPKPIISKKVSRGSLSSLSCPGRRGGSFLNSSRPPPAPAQK >Manes.05G054050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4481635:4481979:-1 gene:Manes.05G054050.v8.1 transcript:Manes.05G054050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVISMLVAVAMVQYMATPGEAIDCGQVSSSLAACIPFLTGGNASPSAGCCSGVKNLNSLAQTTADRRAACDCIKKAAARYPNIKDDAASSLPQKCGIDFNIPISKSTDCQS >Manes.11G060247.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7922597:7926082:1 gene:Manes.11G060247.v8.1 transcript:Manes.11G060247.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFVGSNGFNADHLLSSWIHDPTSNCCQWERVLCNSTTGHVTELSLNNTRQYDLESDSFYFDENSWYVNLSMFQQLKELKTLNLSYNHFDCSIDDKGFQRLEELDLSWNNFNNSILSSLAALPSLNTLILTDNYMEGSFPNQGIFDYWNCFLSSFCLK >Manes.S041816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1215645:1216019:1 gene:Manes.S041816.v8.1 transcript:Manes.S041816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGIEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.01G244100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40484950:40490947:1 gene:Manes.01G244100.v8.1 transcript:Manes.01G244100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEEEDHFFEAREEITSVSDSSSERLANLDSDDEVVDILPISMSHEAWIMNLGSIHERRSNFLKWMGLNVDETMMDDLGNAYSQEVEVETDRMTESSGAVLRSSSFDDMCSSRHSSMSCRSSNFLNLLDGELEENFVPRIRNLDEGTEFIVDELGQDGLSGRIQQVGLNRLLTVEEFERSLGLSSPLVQQVIRRRAKDTSNSPRTQVKMEWLRRLGVVACIVDRQVKAGGIKCYEAYPVASTRAQMVRVRSYKKQFKEFSALYMRQEILAHEGSILTMKFSPDGLYLASAGKDGIVRVWQVELERSDEFGICDIDPSYECFKINNLSELVPLHANKEKKGKLKNLRTASGSASVIFPQKVFQISEKPIHEFYGHCGEVLDLSWSKNKYLLSSSTDKTVRLWQLGCNRCLEVFSHNNYVTCVQFNPMDGDSFISGSLDGKVRIWEIPGCQVIDWTDITEIVAAVCYRPDGKGLVVGSMTGNCLFYDASDNHLQLCAQVCLQGKQSTPFKRITGFQFSPSDPTRLMVTSADSQICILDGVDVICKYRGIHNAGTQTSASFTSDGKHIISASEGSSVYVWNYASQDGPVPHVKNYKACERFFSNNVSVAIPWSGITCGNCVPSNVSATMLSHMNTGLCNDERMSMHCPFGESSECKLPFSLSDHFSWNHGFFSETLPKGSATWPEENLPSRSPEISSKMCKSHYKYLKTSCQTMHGSPHAWGLVIVTAGCDGRIKWFQNYGLPVRL >Manes.01G244100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40484883:40491081:1 gene:Manes.01G244100.v8.1 transcript:Manes.01G244100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEEEDHFFEAREEITSVSDSSSERLANLDSDDEVVDILPISMSHEAWIMNLGSIHERRSNFLKWMGLNVDETMMDDLGNAYSQEVEVETDRMTESSGAVLRSSSFDDMCSSRHSSMSCRSSNFLNLLDGELEENFVPRIRNLDEGTEFIVDELGQDGLSGRIQQVGLNRLLTVEEFERSLGLSSPLVQQVIRRRAKDTSNSPRTQVKMEWLRRLGVVACIVDRQVKAGGIKCYEAYPVASTRAQMVRVRSYKKQFKEFSALYMRQEILAHEGSILTMKFSPDGLYLASAGKDGIVRVWQVELERSDEFGICDIDPSYECFKINNLSELVPLHANKEKKGKLKNLRTASGSASVIFPQKVFQISEKPIHEFYGHCGEVLDLSWSKNKYLLSSSTDKTVRLWQLGCNRCLEVFSHNNYVTCVQFNPMDGDSFISGSLDGKVRIWEIPGCQVIDWTDITEIVAAVCYRPDGKGLVVGSMTGNCLFYDASDNHLQLCAQVCLQGKQSTPFKRITGFQFSPSDPTRLMVTSADSQICILDGVDVICKYRGIHNAGTQTSASFTSDGKHIISASEGSSVYVWNYASQDGPVPHVKNYKACERFFSNNVSVAIPWSGITCGNCVPSNVSATMLSHMNTGLCNDERMSMHCPFGESSECKLPFSLSDHFSWNHGFFSETLPKGSATWPEENLPSRSPEISSKMCKSHYKYLKTSCQTMHGSPHAWGLVIVTAGCDGRIKWFQNYGLPVRL >Manes.01G244100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40484948:40491009:1 gene:Manes.01G244100.v8.1 transcript:Manes.01G244100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEEEDHFFEAREEITSVSDSSSERLANLDSDDEVVDILPISMSHEAWIMNLGSIHERRSNFLKWMGLNVDETMMDDLGNAYSQEVEVETDRMTESSGAVLRSSSFDDMCSSRHSSMSCRSSNFLNLLDGELEENFVPRIRNLDEGTEFIVDELGQDGLSGRIQQVGLNRLLTVEEFERSLGLSSPLVQQVIRRRAKDTSNSPRTQVKMEWLRRLGVVACIVDRQVKAGGIKCYEAYPVASTRAQMVRVRSYKKQFKEFSALYMRQEILAHEGSILTMKFSPDGLYLASAGKDGIVRVWQVELERSDEFGICDIDPSYECFKINNLSELVPLHANKEKKGKLKNLRTASGSASVIFPQKVFQISEKPIHEFYGHCGEVLDLSWSKNKYLLSSSTDKTVRLWQLGCNRCLEVFSHNNYVTCVQFNPMDGDSFISGSLDGKVRIWEIPGCQVIDWTDITEIVAAVCYRPDGKGLVVGSMTGNCLFYDASDNHLQLCAQVCLQGKQSTPFKRITGFQFSPSDPTRLMVTSADSQICILDGVDVICKYRGIHNAGTQTSASFTSDGKHIISASEGSSVYVWNYASQDGPVPHVKNYKACERFFSNNVSVAIPWSGITCGNCVPSNVSATMLSHMNTGLCNDERMSMHCPFGESSECKLPFSLSDHFSWNHGFFSETLPKGSATWPEENLPSRSPEISSKMCKSHYKYLKTSCQTMHGSPHAWGLVIVTAGCDGRIKWFQNYGLPVRL >Manes.01G244100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40484940:40491081:1 gene:Manes.01G244100.v8.1 transcript:Manes.01G244100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEEEDHFFEAREEITSVSDSSSERLANLDSDDEVVDILPISMSHEAWIMNLGSIHERRSNFLKWMGLNVDETMMDDLGNAYSQEVEVETDRMTESSGAVLRSSSFDDMCSSRHSSMSCRSSNFLNLLDGELEENFVPRIRNLDEGTEFIVDELGQDGLSGRIQQVGLNRLLTVEEFERSLGLSSPLVQQVIRRRAKDTSNSPRTQVKMEWLRRLGVVACIVDRQVKAGGIKCYEAYPVASTRAQMVRVRSYKKQFKEFSALYMRQEILAHEGSILTMKFSPDGLYLASAGKDGIVRVWQVELERSDEFGICDIDPSYECFKINNLSELVPLHANKEKKGKLKNLRTASGSASVIFPQKVFQISEKPIHEFYGHCGEVLDLSWSKNKYLLSSSTDKTVRLWQLGCNRCLEVFSHNNYVTCVQFNPMDGDSFISGSLDGKVRIWEIPGCQVIDWTDITEIVAAVCYRPDGKGLVVGSMTGNCLFYDASDNHLQLCAQVCLQGKQSTPFKRITGFQFSPSDPTRLMVTSADSQICILDGVDVICKYRGIHNAGTQTSASFTSDGKHIISASEGSSVYVWNYASQDGPVPHVKNYKACERFFSNNVSVAIPWSGITCGNCVPSNVSATMLSHMNTGLCNDERMSMHCPFGESSECKLPFSLSDHFSWNHGFFSETLPKGSATWPEENLPSRSPEISSKMCKSHYKYLKTSCQTMHGSPHAWGLVIVTAGCDGRIKWFQNYGLPVRL >Manes.01G244100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40484950:40490948:1 gene:Manes.01G244100.v8.1 transcript:Manes.01G244100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSEEEDHFFEAREEITSVSDSSSERLANLDSDDEVVDILPISMSHEAWIMNLGSIHERRSNFLKWMGLNVDETMMDDLGNAYSQEVEVETDRMTESSGAVLRSSSFDDMCSSRHSSMSCRSSNFLNLLDGELEENFVPRIRNLDEGTEFIVDELGQDGLSGRIQQVGLNRLLTVEEFERSLGLSSPLVQQVIRRRAKDTSNSPRTQVKMEWLRRLGVVACIVDRQVKAGGIKCYEAYPVASTRAQMVRVRSYKKQFKEFSALYMRQEILAHEGSILTMKFSPDGLYLASAGKDGIVRVWQVELERSDEFGICDIDPSYECFKINNLSELVPLHANKEKKGKLKNLRTASGSASVIFPQKVFQISEKPIHEFYGHCGEVLDLSWSKNKYLLSSSTDKTVRLWQLGCNRCLEVFSHNNYVTCVQFNPMDGDSFISGSLDGKVRIWEIPGCQVIDWTDITEIVAAVCYRPDGKGLVVGSMTGNCLFYDASDNHLQLCAQVCLQGKQSTPFKRITGFQFSPSDPTRLMVTSADSQICILDGVDVICKYRGIHNAGTQTSASFTSDGKHIISASEGSSVYVWNYASQDGPVPHVKNYKACERFFSNNVSVAIPWSGITCGNCVPSNVSATMLSHMNTGLCNDERMSMHCPFGESSECKLPFSLSDHFSWNHGFFSETLPKGSATWPEENLPSRSPEISSKMCKSHYKYLKTSCQTMHGSPHAWGLVIVTAGCDGRIKWFQNYGLPVRL >Manes.18G025372.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5724344:5724993:-1 gene:Manes.18G025372.v8.1 transcript:Manes.18G025372.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAMIPLKLLVLLILVCVTAPPAIAASLANPVCQDKCGDVNFYFPFGVGEGCYMNKSFEVVCNDSFTPPKPFLKSINMELLALVSSSDAVLVNNPVIHSDCGDKVSTNRGVNMSGTGFVYSNEANRFTATGCDNYAMLVQDGETVGGCLSICRNESSNTR >Manes.03G024900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2022788:2026636:-1 gene:Manes.03G024900.v8.1 transcript:Manes.03G024900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLELSLGLGCGGSSIKSKGKNGCSSDSRTEEGHRGNKLIDNFKNFLHAGAQKQDSTIGSQISDSVKHQENFFNDLSKANADADGSINLNNRGLWVSSSKISAEIEEEKRPEVGKKRKTLFDEINNRRKQERDAHHFDVHDKKASHVSITTEDGSTAENEDVAESDIEGSTSRFFHQHDDGPKRFIGAGGPEVPKEVHGFSDSSVVDLKGQKRPNGSSETEIKHGNLNYGVPFSVRPVNISNMPCPFPVKESNSIGVPSSSGHPLPGVTQVIPTSNSEQRTGTQSVNPGNLPVMFGYSPVQLPTLDKDNSWGLVSHLQQFQPSNAGRVPSNSDKQNDGLKIAPAMQVISRNSSEATLYDGRTLEQVKGDGKQRIAEEGSTSQNEEDVKGSSINLRAKDTSGASTSEGLSFDFPAIKPGIASDVKFGGCGSYPDLPWVSTTGSGPNGRTISGVTYRFSANQIRIVCACHGSHMSPEEFIRHASEENVNPDNGSGLASFPSTNPAASAQS >Manes.03G024900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2022788:2026636:-1 gene:Manes.03G024900.v8.1 transcript:Manes.03G024900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDENGLELSLGLGCGGSSIKSKGKNGCSSDSRTEEGHRGNKLIDNFKNFLHAGAQKQDSTIGSQISDSVKHQENFFNDLSKANADADGSINLNNRGLWVSSSKISAEIEEEKRPEVGKKRKTLFDEINNRRKQERDAHHFDVHDKKASHVSITTEDGSTAENEDVAESDIEGSTSRFFHQHDDGPKRFIGAGGPEVPKEVHGFSDSSVVDLKGQKRPNGSSETEIKHGNLNYGVPFSVRPVNISNMPCPFPVKESNSIGVPSSSGHPLPGVTQVIPTSNSEQRTGTQSVNPGNLPVMFGYSPVQLPTLDKDNSWGLVSHLQQFQPSNAGRVPSNSDKQNDGLKIAPAMQVISRNSSEATLYDGRTLEQVKGDGKQRIAEEGSTSQNEEDVKGSSINLRAKDTSGASTSEGLSFDFPAIKPGIASDVKFGGCGSYPDLPWVSTTGSGPNGRTISGVTYRFSANQIRIVCACHGSHMSPEEFIRHASEENVNPDNGSGLASFPSTNPAASAQS >Manes.09G169700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36164875:36166765:1 gene:Manes.09G169700.v8.1 transcript:Manes.09G169700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKTTEPKQEPNKVVVEANPEPPLKYKTFVLKVSVHCEGCKRKVKKILTNIDGVYTTEIDLRQQKVTVLGNVDAETLIKKLVKAGKHAELWPEKADQKEKKKRKSKNKKEKEKEKEKQSDPESSDEGVEKETETVKTEVVQIQDPSKPPEYGSPLKNPEIINVGKPGEGAAASKTAAGEQMKEVNVEVKQPVSSPLDSQPPVTDKKGVSENEGGAEKSGSGCGGSGGKKKKKKGHKGNNNNVDEGEHCGDAPAGTGSPSQGHSQGPVQCPTNQSPPHHHVYQYPPHYYAPPPVYSVHYNAAQPSTSYGASISYAYMHPGTVSEPPPSDVDSDISPPSDSFEIFSDENPNACSIM >Manes.03G166600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29231728:29245914:-1 gene:Manes.03G166600.v8.1 transcript:Manes.03G166600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSSDYCRKRELKAFDDTKAGVKGLVDAGIAQVPRIFHCQSDDLNKISHSHADFPVIDLGGIDQGSAFRKEIVDKVRNASETWGFFEVVNHGIPVDGARSDSPTSRRFYEQDFELKRDFYTRDVMKKVVYNTNFDLFTAPSANWRDTILFQMAPDPCTPEELPAACRDIVIKYSKEVQKLGNLVFQLLSEALGLKPNHLKDMDCAEGLAGLCHYYPACPQPELTMGASKHADNGFLTVLLQDHIGGLQVLHQNQWIDVPPTPGALLVNIGDLLQLISNDKFASVEHRVLANHRGPRVSVACFFGTAFNSNSRLYGPIKELLSEENPPKYRETTVQEFFKYYQAKGLDGISALLHFKL >Manes.05G053100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4419330:4421870:1 gene:Manes.05G053100.v8.1 transcript:Manes.05G053100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWELVHLLFIGVAVSYGLFSRRNAEVDFQTHSNNYDYDDSQSSFVSRIFHVSPIFEDGHENSCGSDEKNLYQSWNSQFYRGESDVTVPNGSSAINEVSKTESINFEKETEISLEQDENNVAQAWNSQYFRGESVVLLSQANYGIGEWEKPAQVVGYKPLGLPIRSLKSKIRNSDSAQFSNGSESSPSLIGSPCSSGRSMEEEHFGDLGPSNLEENFDESVSSSAQIPWRSRSGRAEMWERVGSVAGSPLHFRPLSVDETQFESLKSHSFRSTPAFSSQASSISNSPTRLSPSESVCSDSPNSGTLESGKKEDFRASYPHASQSQKTTVNREARLNAFHLRRYSSGSLFQKDLKGTSKDKLKDRGGRKEDPLGSKERGEGSLKLDNNGRKEDSMDSKERGQSSLRLDNNGKKEDSMGSKERGQRSLKLDDNGRKEDSMASKERGQISLKLDNNGRKEDSMGSEESGQSSLRLDNNGRKEDSMGSEEKGQGSLKFDKKPATIVKTPSRGRSVRTIRSGVYSPEPEATKIAEISKTHSDDKAGKGSDEGKAVNTGKNEKKNLDTHYDVPMPTYAKFQKREKDSCLENVDVESENDSESETDSSTPASSDEGTGTDPVRDAGLDPNDSEVDKKAGEFIAKFREQIRLQKVASIERSRRKRMSSKHLS >Manes.01G079700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28268375:28279918:1 gene:Manes.01G079700.v8.1 transcript:Manes.01G079700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQGDRNVAGDLGFCRSIAISVGGDFLGYGEELTKTSIQKQIVDALYLGDRGRASKLLSDLGHANKSLRAADFVDIFKYCARSPDPLFAIETWSIMEEKQIPPNNTSCLFMTRALCKGGYLNEAFNLINFIGEKHGMTPSLAVYNSFLGACSKLCSMVHANQCLDLMERKMMRKNEVTYTELLKLAVYNQNLSAVHEIWKDYIKYYGPSIFSLQRFICSFTRLRDLSSAYQALQYMVVLALRGNTSIMSNAEGKLYSSRVLDIPIPNLESSLQRFDMEEFDEQFVPLKVDAYIRSIEWSTVSTTGKEVESSGVVGLGKTKCVPAMQLLKPSFGNVMRACAQNQNYRLAEQLMIQMQNLGVQPSSRTYDGFIRAVISGRGISEGIKVLEIMQEKNLKPHNSTLATLSIACCQALELDLAEKLLYQISYYQDPHPYNRFLEACDTLEKPERAIRMWAKMKQLKIKPDIWTYELLFSLFGNVNAPYEDGDMLSHADSAKRIKAIEMDMAKYSVQHSHLSMKNLLKALGAEGMIRELMEYLRMAENLFYRSNTYLGTPIYNTVLHSLVEAEESYLAIEIFKNMKSSGFCLNAATYTIMIDCCSIIKCYKSASALFCMMLRDGFYPQAATYTALIKNVLDDGNFGEAFNLLGLGSSEGIQTDVLLYNTILKRACDEGRIDVTELIVEQMHREKIRPDASTCQYVFSTYVGRHFYNTAMEALQVLSMRMICGEDHAFEEKKAEFEEAYILSEDEESELRILEFLGGPDENIAFALLNLRWCAILGFPISWSPNGTSWARRLAANYDSLI >Manes.01G079700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28268378:28281340:1 gene:Manes.01G079700.v8.1 transcript:Manes.01G079700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIGLTELRLLEQISQSMHRPLGRVPLWSIANLCNKSKSCKHGDRNVAGDLGFCRSIAISVGGDFLGYGEELTKTSIQKQIVDALYLGDRGRASKLLSDLGHANKSLRAADFVDIFKYCARSPDPLFAIETWSIMEEKQIPPNNTSCLFMTRALCKGGYLNEAFNLINFIGEKHGMTPSLAVYNSFLGACSKLCSMVHANQCLDLMERKMMRKNEVTYTELLKLAVYNQNLSAVHEIWKDYIKYYGPSIFSLQRFICSFTRLRDLSSAYQALQYMVVLALRGNTSIMSNAEGKLYSSRVLDIPIPNLESSLQRFDMEEFDEQFVPLKVDAYIRSIEWSTVSTTGKEVESSGVVGLGKTKCVPAMQLLKPSFGNVMRACAQNQNYRLAEQLMIQMQNLGVQPSSRTYDGFIRAVISGRGISEGIKVLEIMQEKNLKPHNSTLATLSIACCQALELDLAEKLLYQISYYQDPHPYNRFLEACDTLEKPERAIRMWAKMKQLKIKPDIWTYELLFSLFGNVNAPYEDGDMLSHADSAKRIKAIEMDMAKYSVQHSHLSMKNLLKALGAEGMIRELMEYLRMAENLFYRSNTYLGTPIYNTVLHSLVEAEESYLAIEIFKNMKSSGFCLNAATYTIMIDCCSIIKCYKSASALFCMMLRDGFYPQAATYTALIKNVLDDGNFGEAFNLLGLGSSEGIQTDVLLYNTILKRACDEGRIDVTELIVEQMHREKIRPDASTCQYVFSTYVGRHFYNTAMEALQVLSMRMICGEDHAFEEKKAEFEEAYILSEDEESELRILEFLGGPDENIAFALLNLRWCAILGFPISWSPNGTSWARRLAANYDSLI >Manes.01G079700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28261647:28279914:1 gene:Manes.01G079700.v8.1 transcript:Manes.01G079700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIGLTELRLLEQISQSMHRPLGRVPLWSIANLCNKSKSCKHGDRNVAGDLGFCRSIAISVGGDFLGYGEELTKTSIQKQIVDALYLGDRGRASKLLSDLGHANKSLRAADFVDIFKYCARSPDPLFAIETWSIMEEKQIPPNNTSCLFMTRALCKGGYLNEAFNLINFIGEKHGMTPSLAVYNSFLGACSKLCSMVHANQCLDLMERKMMRKNEVTYTELLKLAVYNQNLSAVHEIWKDYIKYYGPSIFSLQRFICSFTRLRDLSSAYQALQYMVVLALRGNTSIMSNAEGKLYSSRVLDIPIPNLESSLQRFDMEEFDEQFVPLKVDAYIRSIEWSTVSTTGKEVESSGVVGLGKTKCVPAMQLLKPSFGNVMRACAQNQNYRLAEQLMIQMQNLGVQPSSRTYDGFIRAVISGRGISEGIKVLEIMQEKNLKPHNSTLATLSIACCQALELDLAEKLLYQISYYQDPHPYNRFLEACDTLEKPERAIRMWAKMKQLKIKPDIWTYELLFSLFGNVNAPYEDGDMLSHADSAKRIKAIEMDMAKYSVQHSHLSMKNLLKALGAEGMIRELMEYLRMAENLFYRSNTYLGTPIYNTVLHSLVEAEESYLAIEIFKNMKSSGFCLNAATYTIMIDCCSIIKCYKSASALFCMMLRDGFYPQAATYTALIKNVLDDGNFGEAFNLLGLGSSEGIQTDVLLYNTILKRACDEGRIDVTELIVEQMHREKIRPDASTCQYVFSTYVGRHFYNTAMEALQVLSMRMICGEDHAFEEKKAEFEEAYILSEDEESELRILEFLGGPDENIAFALLNLRWCAILGFPISWSPNGTSWARRLAANYDSLI >Manes.01G079700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28268375:28280086:1 gene:Manes.01G079700.v8.1 transcript:Manes.01G079700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIGLTELRLLEQISQSMHRPLGRVPLWSIANLCNKSKSCKHGDRNVAGDLGFCRSIAISVGGDFLGYGEELTKTSIQKQIVDALYLGDRGRASKLLSDLGHANKSLRAADFVDIFKYCARSPDPLFAIETWSIMEEKQIPPNNTSCLFMTRALCKGGYLNEAFNLINFIGEKHGMTPSLAVYNSFLGACSKLCSMVHANQCLDLMERKMMRKNEVTYTELLKLAVYNQNLSAVHEIWKDYIKYYGPSIFSLQRFICSFTRLRDLSSAYQALQYMVVLALRGNTSIMSNAEGKLYSSRVLDIPIPNLESSLQRFDMEEFDEQFVPLKVDAYIRSIEWSTVSTTGKEVESSGVVGLGKTKCVPAMQLLKPSFGNVMRACAQNQNYRLAEQLMIQMQNLGVQPSSRTYDGFIRAVISGRGISEGIKVLEIMQEKNLKPHNSTLATLSIACCQALELDLAEKLLYQISYYQDPHPYNRFLEACDTLEKPERAIRMWAKMKQLKIKPDIWTYELLFSLFGNVNAPYEDGDMLSHADSAKRIKAIEMDMAKYSVQHSHLSMKNLLKALGAEGMIRELMEYLRMAENLFYRSNTYLGTPIYNTVLHSLVEAEESYLAIEIFKNMKSSGFCLNAATYTIMIDCCSIIKCYKSASALFCMMLRDGFYPQAATYTALIKNVLDDGNFGEAFNLLGLGSSEGIQTDVLLYNTILKRACDEGRIDVTELIVEQMHREKIRPDASTCQYVFSTYVGRHFYNTAMEALQVLSMRMICGEDHAFEEKKAEFEEAYILSEDEESELRILEFLGGPDENIAFALLNLRWCAILGFPISWSPNGTSWARRLAANYDSLI >Manes.01G079700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28261647:28279914:1 gene:Manes.01G079700.v8.1 transcript:Manes.01G079700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSQGDRNVAGDLGFCRSIAISVGGDFLGYGEELTKTSIQKQIVDALYLGDRGRASKLLSDLGHANKSLRAADFVDIFKYCARSPDPLFAIETWSIMEEKQIPPNNTSCLFMTRALCKGGYLNEAFNLINFIGEKHGMTPSLAVYNSFLGACSKLCSMVHANQCLDLMERKMMRKNEVTYTELLKLAVYNQNLSAVHEIWKDYIKYYGPSIFSLQRFICSFTRLRDLSSAYQALQYMVVLALRGNTSIMSNAEGKLYSSRVLDIPIPNLESSLQRFDMEEFDEQFVPLKVDAYIRSIEWSTVSTTGKEVESSGVVGLGKTKCVPAMQLLKPSFGNVMRACAQNQNYRLAEQLMIQMQNLGVQPSSRTYDGFIRAVISGRGISEGIKVLEIMQEKNLKPHNSTLATLSIACCQALELDLAEKLLYQISYYQDPHPYNRFLEACDTLEKPERAIRMWAKMKQLKIKPDIWTYELLFSLFGNVNAPYEDGDMLSHADSAKRIKAIEMDMAKYSVQHSHLSMKNLLKALGAEGMIRELMEYLRMAENLFYRSNTYLGTPIYNTVLHSLVEAEESYLAIEIFKNMKSSGFCLNAATYTIMIDCCSIIKCYKSASALFCMMLRDGFYPQAATYTALIKNVLDDGNFGEAFNLLGLGSSEGIQTDVLLYNTILKRACDEGRIDVTELIVEQMHREKIRPDASTCQYVFSTYVGRHFYNTAMEALQVLSMRMICGEDHAFEEKKAEFEEAYILSEDEESELRILEFLGGPDENIAFALLNLRWCAILGFPISWSPNGTSWARRLAANYDSLI >Manes.04G149600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34619297:34621339:-1 gene:Manes.04G149600.v8.1 transcript:Manes.04G149600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMLRSHIILVFCATLLIPNNCQTDIRSPKDHVSLFIFGDSLFDAGNNNYLKHAAFRSNFFPYGQTFFKHPTGRFSDGRLISDFIAEYLKLPLLPPYLQPGNQNYTHGVNFASAGAGVLAETRQGLVIDLNTQLIYFKDVEKKLRQQLGNEATYKLISKALYLLSVGGNDYFAMLTSNSTVFLSFSKEDFVGIVIGNLTTVIKEIYKKGGRRFGFTKVGPYGCAPAGRVLNGSGGCLEQATALIKLHNKALPKVLEDLKGELKGFDYSVLDFYTALSKRMDQPSKYGFKVGKVACCGSGPFRGILKCGQRNEYELCDDTTEHVFFDSAHLTDKAHNQLAKLMWSGSTDVTSPCNLNTLLMA >Manes.07G094800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29458618:29461916:-1 gene:Manes.07G094800.v8.1 transcript:Manes.07G094800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSEVENMPRKTLADISNLSQQNQDKRLESISFNPKDYINKLHQENLSLVKLLADRNKIIQSHVFQLQKLRTECQQLQQKNLQLAQSNSQMLAELNTSKDRLKVLQHELGCKNILLKVRELESEDKANVGRCQINGAGVETMKHDEVGEFCQPDKSDNEPCNKKRRRQSKSLDSATVKPVQPKERINKKRQSATYRAKDQETTDDVLETCNALDALGEPTESEKKVNNKRLSARRQSARFKTGKQEPTDDIFETNDTLGPTTVKPVQSEMKIDNKSLITER >Manes.07G094800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29458617:29461961:-1 gene:Manes.07G094800.v8.1 transcript:Manes.07G094800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSEVENMPRKTLADISNLSQQNQDKRLESISFNPKDYINKLHQENLSLVKLLADRNKIIQSHVFQLQKLRTECQQLQQKNLQLAQSNSQMLAELNTSKDRLKVLQHELGCKNILLKVRELESEDKANVGRCQINGAGVETMKHDEVGEFCQPDKSDNEPCNKKRRRQSKSLDSATVKPVQPKERINKKRQSATYRAKDQETTDDVLETCNALDALGEPTESEKKVNNKRLSARRQSARFKTGKQEPTDDIFETNDTLGPTTVKPVQSEMKIDNKRHGSRRQSARFKCQEQVHEASENLFQKDDAKFSISPLHECGPTSSVSSLKDETEAALSAPRSEAQALQTSSVRPKRQAVEKVQSYKEIPINIKMRRTN >Manes.07G094800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29458618:29461916:-1 gene:Manes.07G094800.v8.1 transcript:Manes.07G094800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSEVENMPRKTLADISNLSQQNQDKRLESISFNPKDYINKLHQENLSLVKLLADRNKIIQSHVFQLQKLRTECQQLQQKNLQLAQSNSQMLAELNTSKDRLKVLQHELGCKNILLKVRELESEDKANVGRCQINGAGVETMKHDEVGEFCQPDKSDNEPCNKKRRRQSKSLDSATVKPVQPKERINKKRQSATYRAKDQETTDDVLETCNALDALGEPTESEKKVNNKRLSARRQSARFKTGKQEPTDDIFETNDTLGPTTVKPVQSEMKIDNKRHGSRRQSARFKCQEQVHEASENLFQKDDAKFSISPLHECGPTSSVSSLKDETEAALSAPRSEAQALQTSSVRPKRQAVEKVQSYKEIPINIKMRRTN >Manes.15G152400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12661605:12664735:-1 gene:Manes.15G152400.v8.1 transcript:Manes.15G152400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETLATLANAIKDHLSLQSNASITSPRPPKQPFIIGVAGGTASGKTTVCNMIISQLHDQGVLLINQDSFYHSLSHQQLEKVHEYNFDHPDAFDTELLLACMENLKNGQPISVPNYDFKSHKSTGPGQKVNPSDVIILEGILVLHDHRVRDLMNMKIFVDTDSDLRLARRIQRDTVERGRNIQNVLDQYARFVKPSFEEFILPAKKHADIIIPRGGDNDVAIDLIVQHIQTKLGQHDLCKIYPNVFVIFSTFQIRGMHTLIRDVKTTKHDFVFYADRLIRMVVEHGLGHLPFTERQIKTPTGSIYPGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGNDGKQLIYEKLPADISSRHVLLLDPVLASGYCAVKSISLLLSKGVPESSIIFLNLIAAPQGIHAVCKKFPKVKIVTSEIDVTLDNDLRVIPGMGEFGDRYFGTDED >Manes.15G152400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12661605:12664735:-1 gene:Manes.15G152400.v8.1 transcript:Manes.15G152400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISQLHDQGVLLINQDSFYHSLSHQQLEKVHEYNFDHPDAFDTELLLACMENLKNGQPISVPNYDFKSHKSTGPGQKVNPSDVIILEGILVLHDHRVRDLMNMKIFVDTDSDLRLARRIQRDTVERGRNIQNVLDQYARFVKPSFEEFILPAKKHADIIIPRGGDNDVAIDLIVQHIQTKLGQHDLCKIYPNVFVIFSTFQIRGMHTLIRDVKTTKHDFVFYADRLIRMVVEHGLGHLPFTERQIKTPTGSIYPGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGNDGKQLIYEKLPADISSRHVLLLDPVLASVKSISLLLSKGVPESSIIFLNLIAAPQGIHAVCKKFPKVKIVTSEIDVTLDNDLRVIPGMGEFGDRYFGTDED >Manes.15G152400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12661605:12664735:-1 gene:Manes.15G152400.v8.1 transcript:Manes.15G152400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISQLHDQGVLLINQDSFYHSLSHQQLEKVHEYNFDHPDAFDTELLLACMENLKNGQPISVPNYDFKSHKSTGPGQKVNPSDVIILEGILVLHDHRVRDLMNMKIFVDTDSDLRLARRIQRDTVERGRNIQNVLDQYARFVKPSFEEFILPAKKHADIIIPRGGDNDVAIDLIVQHIQTKLGQHDLCKIYPNVFVIFSTFQIRGMHTLIRDVKTTKHDFVFYADRLIRMVVEHGLGHLPFTERQIKTPTGSIYPGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGNDGKQLIYEKLPADISSRHVLLLDPVLASGYCAVKSISLLLSKGVPESSIIFLNLIAAPQGIHAVCKKFPKVKIVTSEIDVTLDNDLRVIPGMGEFGDRYFGTDED >Manes.05G164600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27701134:27703600:1 gene:Manes.05G164600.v8.1 transcript:Manes.05G164600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISISSLTSSLSSLSFSSQISQKPNTLSFSRSKSLPLSLSSKPARLLVSATVASPVESEATDLKKLVKSRLPGGFAAQPIFGTGRRKCAIARVVLQEGTGNIIINYRDAKEYLQGNPLWLQYIKVPLLTLGYESSYDVFVKAHGGGLSGQAQAISLGIARALLKVSESHRPPLKKEGLLTRDSRVVERKKVGLKKARKAPQFSKR >Manes.09G056350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:9073833:9074891:-1 gene:Manes.09G056350.v8.1 transcript:Manes.09G056350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLSECCTTDMEDEEEELFEIDLDAVDRIPPPHYWESYFTATSSALLANCLLPIADVSCAVPTSSTLSSVLSLTEHLVFFRFQNFQDFLGHTDEKNRT >Manes.S043016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1293532:1293912:1 gene:Manes.S043016.v8.1 transcript:Manes.S043016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.11G016600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1717970:1723955:-1 gene:Manes.11G016600.v8.1 transcript:Manes.11G016600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQRLKQQQQALMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHYNIFVGDLSPEVTDATLFACFSVYSSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQSAINDLTGKWLGSRQIRCNWATKGAGTNDDKQSLDAKSVVELTNGTSEDGKETTNNEAPENNPQYTTVYVGNLAPEVTQLDLHRHFHSLGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQVGNNQSILCGKQIKCSWGSKPTPPGTSSNPLPPPAAAPLPGLSATDLLTYERQLAMSKMGGVHALMHPQGQHPLKQAAMGMGAAGASQAIYDGGFQNVAAAQQLMYYQ >Manes.05G082600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6787533:6789737:1 gene:Manes.05G082600.v8.1 transcript:Manes.05G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETASSVDEQVVAAAGPDTRGKHRILAELKRVEQEIKCLEEELGELERTDNISTVCEELLVNVGDTPDPLLSETNGPVNPLWDRWFEGPQESQGCRCWIL >Manes.12G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31044395:31046316:1 gene:Manes.12G112600.v8.1 transcript:Manes.12G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNVKVLGSGDQVIVLSHGFGSDQSIWRYLVPHLVEDYRVILYDNMGAGTTNPEYFDFERYSTIEGFVYDLLAILEELQVKSCIFIGHSALSMVGAIASISRPDLFSKLIMLSATPRLLNDEDYQGGMEIQDVEQIFEGMRSNYEAWCQGFAPLVVGGDMDSVVVQEFSRTLFNMRPDIALSLAQVIFPTDLRHFLPLVTTPCHILQSIKDMAVPVFVSEYLHQNLGSQSIVEIMPTGGHLPQLSSPEIVIPVILRHLHLDITE >Manes.09G081612.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20257060:20261259:1 gene:Manes.09G081612.v8.1 transcript:Manes.09G081612.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVIDSRLRIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMR >Manes.09G081612.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20257060:20274093:1 gene:Manes.09G081612.v8.1 transcript:Manes.09G081612.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEKEMNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVIDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMRFGPEEPRTSAVSSAASESVRASARGEWNNSLCFKVNNK >Manes.09G081612.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20257052:20261258:1 gene:Manes.09G081612.v8.1 transcript:Manes.09G081612.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVIDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMR >Manes.09G081612.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20257051:20274313:1 gene:Manes.09G081612.v8.1 transcript:Manes.09G081612.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVIDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMRFGPEEPRTSAVSSAASESVRASARGEWNNSLCFKVNNK >Manes.09G081612.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20257051:20274093:1 gene:Manes.09G081612.v8.1 transcript:Manes.09G081612.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVIDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMRFGPEEPRTSAVSSAASESVRASARGEWNNSLCFKVNNK >Manes.09G081612.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:20257060:20261099:1 gene:Manes.09G081612.v8.1 transcript:Manes.09G081612.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVIDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMR >Manes.10G061300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:8560692:8561345:-1 gene:Manes.10G061300.v8.1 transcript:Manes.10G061300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIRVTEIVLFFLLFHGYFAFASDPDPVRDYCILNTDSEADHSSCKNSSAATVEDFIFSGIQKSPGKFDETGLSSNPVNVNVFPGLSTLGMSLVRADFEVGGVNVPHFHPRATEVAYVLEGKIYSGFVDSQNRVFAKVIEKGEIMVFPRGLMHFQMNIGDKPATILGSFNSQNPGLQRIPTAVFGSGIKEELLEKAFGLSSKEIAKLRKRFALHD >Manes.18G112450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11277826:11278749:-1 gene:Manes.18G112450.v8.1 transcript:Manes.18G112450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSIIPFKTTYDVFLSFRGSDTRPNFTSHIYAALCRNHISTFIDDNSLDRGEEIKETLLKAIEESKISVIIFSENYASSHWCLDELVKIMDCMKTKGRKVLPVFYHVDPCDVRKQTGKFGEAFGKVKQQFRESIEMVERWTTALTEAANLAGWDSSNYRLESELSERIVNQIAKKLYPSSFSISTDLVGIDLHIEKLLPLLSIGTVDVRFVGIWGMGGIGKTTIAEALITQIFDQFDDCCFLRNVREK >Manes.11G129100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29429557:29433753:1 gene:Manes.11G129100.v8.1 transcript:Manes.11G129100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPLCLSSPIIFLFLKGKKKAKQNLTMAKKKKIKKLKRLPFLNEESATLNSFFFYKCPIPNFCLLSKSDTYYYVNNVKQRIVHIYTVLLLPVLSSFPMANPIHTTAAVSTYGNTVKRCMLTHLHHHRHELSDLIPGLPDHIALLCLSCVPPSLLFSVCPSWRRLIYSPSFPPFLSLYTVLSSTDHGDLSNSIQFFNFDPISSKWASLPPPPPDPPLHLLLRHPAFISRYIPVQSVSVSGQLILLAATTHNFFPALSRPLIFNPLNCTWVFGPPLATPRRWCAAGAINGSIYVASGIGAYFSSDVAKSVEKWDFLHDKKTAVVRKGSSWKWEKVKGLKDGRFSRDAIDAIGWRGKLYMVNVKGDAAKEGLVYDTEKDAWEDMPEGMLAGWKGPVAAMDEEVIYVVEELKGALKRYDPERDDWEDIMESERLIGAQQISAGGGRVCVVCGGSNSGGIVVLDVVTDPVRLWIVETPPGFEAVAVHILPRMSQPDLLFPVPP >Manes.03G112900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23737834:23745777:1 gene:Manes.03G112900.v8.1 transcript:Manes.03G112900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQWDHVNASNNFITSLPEDLAKCSKLTKVDVEGNKLKDFSGNLMASWNVLTELNASKNLLTVVPDNFGSLSRLIRLDLHQNRISSIPPSIKGCCSLMEFYMGNNSLATLPAEFGELCRLATLDLHSNQLKEFPKEACGLRLSVLDLSNNSLSGLPSEIGKMTTLRKLLLTGNPLRTIRSALVSGPTPALLKYLRSRLSEGEGSEVSTPAKEDVIAMAARLSVSSKELSLAGLGLNVVPSEVWESCEVVKVDLSRNSIQELPVELSSCTSLQSLILSRNKIQEWPVAILKSLANLSCLKLDNNPLRQIPPNGFQAVSTLQILDLSGNPSLLPERPAFSSMPHLQELYLRHVQLHEVPSDILSLRQLRILDLSRNALQSIPEGIKNLTSLSELDLSDNNISALPPELGLLEPSLQALRLDGNPLRSIRRTILDRGTKAVLKYLKDKIPEQ >Manes.03G112900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23736318:23745777:1 gene:Manes.03G112900.v8.1 transcript:Manes.03G112900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIKAARTSGSLNLSNRSLREVPDEVYRSLDGVGEGEKWWEAVELQKLILAHNNIETLKEDLRNLPQLTVLNVSNNKLTELPAAVGELSLLKSLDVSFNMIQKLPEEIGSATSLVKFDCSSNQLKELPSSLGRCLNLSDLKASNNFITSLPEDLAKCSKLTKVDVEGNKLKDFSGNLMASWNVLTELNASKNLLTVVPDNFGSLSRLIRLDLHQNRISSIPPSIKGCCSLMEFYMGNNSLATLPAEFGELCRLATLDLHSNQLKEFPKEACGLRLSVLDLSNNSLSGLPSEIGKMTTLRKLLLTGNPLRTIRSALVSGPTPALLKYLRSRLSEGEGSEVSTPAKEDVIAMAARLSVSSKELSLAGLGLNVVPSEVWESCEVVKVDLSRNSIQELPVELSSCTSLQSLILSRNKIQEWPVAILKSLANLSCLKLDNNPLRQIPPNGFQAVSTLQILDLSGNPSLLPERPAFSSMPHLQELYLRHVQLHEVPSDILSLRQLRILDLSRNALQSIPEGIKNLTSLSELDLSDNNISALPPELVRIPCLFAIHGHRISCFKHPPLPKVSSPKKRREVKKLCN >Manes.03G112900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23736338:23746005:1 gene:Manes.03G112900.v8.1 transcript:Manes.03G112900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIKAARTSGSLNLSNRSLREVPDEVYRSLDGVGEGEKWWEAVELQKLILAHNNIETLKEDLRNLPQLTVLNVSNNKLTELPAAVGELSLLKSLDVSFNMIQKLPEEIGSATSLVKFDCSSNQLKELPSSLGRCLNLSDLKASNNFITSLPEDLAKCSKLTKVDVEGNKLKDFSGNLMASWNVLTELNASKNLLTVVPDNFGSLSRLIRLDLHQNRISSIPPSIKGCCSLMEFYMGNNSLATLPAEFGELCRLATLDLHSNQLKEFPKEACGLRLSVLDLSNNSLSGLPSEIGKMTTLRKLLLTGNPLRTIRSALVSGPTPALLKYLRSRLSEGEGSEVSTPAKEDVIAMAARLSVSSKELSLAGLGLNVVPSEVWESCEVVKVDLSRNSIQELPVELSSCTSLQSLILSRNKIQEWPVAILKSLANLSCLKLDNNPLRQIPPNGFQAVSTLQILDLSGNPSLLPERPAFSSMPHLQELYLRHVQLHEVPSDILSLRQLRILDLSRNALQSIPEGIKNLTSLSELDLSDNNISALPPELGLLEPSLQALRLDGNPLRSIRRTILDRGTKAVLKYLKDKIPEQ >Manes.03G112900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23736338:23746005:1 gene:Manes.03G112900.v8.1 transcript:Manes.03G112900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIKAARTSGSLNLSNRSLREVPDEVYRSLDGVGEGEKWWEAVELQKLILAHNNIETLKEDLRNLPQLTVLNVSNNKLTELPAAVGELSLLKSLDVSFNMIQKLPEEIGSATSLVKFDCSSNQLKELPSSLGRCLNLSDLKASNNFITSLPEDLAKCSKLTKVDVEGNKLKDFSGNLMASWNVLTELNASKNLLTVVPDNFGSLSRLIRLDLHQNRISSIPPSIKGCCSLMEFYMGNNSLATLPAEFGELCRLATLDLHSNQLKEFPKEACGLRLSVLDLSNNSLSGLPSEIGKMTTLRKLLLTGNPLRTIRSALVSGPTPALLKYLRSRLSEGEGSEVSTPAKEDVIAMAARLSVSSKELSLAGLGLNVVPSEVWESCEVVKVDLSRNSIQELPVELSSCTSLQSLILSRNKIQEWPVAILKSLANLSCLKLDNNPLRQIPPNGFQAVSTLQILDLSGNPSLLPERPAFSSMPHLQELYLRHVQLHEVPSDILSLRQLRILDLSRNALQSIPEGIKNLTSLSELDLSDNNISALPPELGLLEPSLQALRLDGNPLRSIRRTILDRGTKAVLKYLKDKIPEQ >Manes.03G112900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23736265:23745779:1 gene:Manes.03G112900.v8.1 transcript:Manes.03G112900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIKAARTSGSLNLSNRSLREVPDEVYRSLDGVGEGEKWWEAVELQKLILAHNNIETLKEDLRNLPQLTVLNVSNNKLTELPAAVGELSLLKSLDVSFNMIQKLPEEIGSATSLVKFDCSSNQLKELPSSLGRCLNLSDLKASNNFITSLPEDLAKCSKLTKVDVEGNKLKDFSGNLMASWNVLTELNASKNLLTVVPDNFGSLSRLIRLDLHQNRISSIPPSIKGCCSLMEFYMGNNSLATLPAEFGELCRLATLDLHSNQLKEFPKEACGLRLSVLDLSNNSLSGLPSEIGKMTTLRKLLLTGNPLRTIRSALVSGPTPALLKYLRSRLSEGEGSEVSTPAKEDVIAMAARLSVSSKELSLAGLGLNVVPSEVWESCEVVKVDLSRNSIQELPVELSSCTSLQSLILSRNKIQEWPVAILKSLANLSCLKLDNNPLRQIPPNGFQAVSTLQILDLSGNPSLLPERPAFSSMPHLQELYLRHVQLHEVPSDILSLRQLRILDLSRNALQSIPEGIKNLTSLSELDLSDNNISALPPELGLLEPSLQALRLDGNPLRSIRRTILDRGTKAVLKYLKDKIPEQ >Manes.15G093700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7296560:7302371:-1 gene:Manes.15G093700.v8.1 transcript:Manes.15G093700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRNLEKLASIDAQLRLLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDCRKLEELGSVLTSLDPGDSIVVAKSFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLKRLVADMKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADELHRSSKRDAKHYIEFWKQVPPSEPYRVILGDLRDKLYQTRERSRQLLSHGISEIPEEGTFTNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYREWSEERRQDWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVISELPADNFGAYIISMATAPSDVLAVELLQRECRVKQPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRIDGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRKLMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRYVIQKDIKNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSQELWSFGEKLRKNYEETKGLLLQIAGHKDLLEGDPYLKQRLKLRDSYITTLNVCQVYTLKRIRDPNYSVTVRPHISKEIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Manes.06G159500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28523458:28524599:-1 gene:Manes.06G159500.v8.1 transcript:Manes.06G159500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLNQFISGDDGERGESFLDDESDDLCSLSPAQRMYAFAACLVAGLACTFLSLIVFVKPIKFAVLFTFGNVLAVGSLPHWSGEATKCDV >Manes.06G159500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28521459:28524805:-1 gene:Manes.06G159500.v8.1 transcript:Manes.06G159500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLNQFISGDDGERGESFLDDESDDLCSLSPAQRMYAFAACLVAGLACTFLSLIVFVKPIKFAVLFTFGNVLAVGSTVFLIGVGKQLSVMFDSVRIYATAIYIGFVVISLICALWIHSKILTVIAIIFEICALSWYSLSYIPFARTMVSNLMVRFCDTEL >Manes.06G159500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28521459:28524805:-1 gene:Manes.06G159500.v8.1 transcript:Manes.06G159500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLNQFISGDDGERGESFLDDESDDLCSLSPAQRMYAFAACLVAGLACTFLSLIVFVKPIKFAVLFTFGNVLAVGSTVFLIGVGKQLSVMFDSVRIYATAIYIGFVVISLICALWVQSELHSFCSHNGV >Manes.11G008400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:898778:905089:1 gene:Manes.11G008400.v8.1 transcript:Manes.11G008400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHLKRSTTCIFQIPRLTGVRLFVIMSMQRSGSGWFETLLNSHPNVSSNGEIFSVKQRRSNISTIQATLDTVYALEWVSSSAKNDCVAAVGLKWMLNQGVMVYHREIANYFNQKGVSVIFLFRRNLLGRIVSVLANAYDKDAKQINGTHKSHVHSREEADLLARYKPTLNVSSLISDLSTSEHMMAEALEYFKSCRHLVLYYEDLMKNHKALSDAQEFLRVPFRKLVSHQVKIHVKPLSEQINNWEEVYSTLEGSKYEYLLHHPDIIL >Manes.11G008400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:899504:904702:1 gene:Manes.11G008400.v8.1 transcript:Manes.11G008400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQSIFSSKLSKKSPTLLWLLFLFTVLGFGIYICYICFQQISIPLKPKGAFIKDITQAACSIHAMSSQEIHYVHFPNPKTYRREECECTPVRLFVIMSMQRSGSGWFETLLNSHPNVSSNGEIFSVKQRRSNISTIQATLDTVYALEWVSSSAKNDCVAAVGLKWMLNQGVMVYHREIANYFNQKGVSVIFLFRRNLLGRIVSVLANAYDKDAKQINGTHKSHVHSREEADLLARYKPTLNVSSLISDLSTSEHMMAEALEYFKSCRHLVLYYEDLMKNHKALSDAQEFLRVPFRKLVSHQVKIHVKPLSEQINNWEEVYSTLEGSKYEYLLHHPDIIL >Manes.11G008400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:898778:905089:1 gene:Manes.11G008400.v8.1 transcript:Manes.11G008400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQSIFSSKLSKKSPTLLWLLFLFTVLGFGIYICYICFQQISIPLKPKGAFIKDITQAACSIHAMSSQEIHYVHFPNPKTYRREECECTPVRLFVIMSMQRSGSGWFETLLNSHPNVSSNGEIFSVKQRRSNISTIQATLDTVYALEWVSSSAKNDCVAAVGLKWMLNQGVMVYHREIANYFNQKGVSVIFLFRRNLLGRIVSVLANAYDKDAKQINGTHKSHVHSREEADLLARYKPTLNVSSLISDLSTSEHMMAEALEYFKSCRHLVLYYEDLMKNHKALSDAQEFLRVPFRKLVSHQVKIHVKPLSEQINNWEEVYSTLEGSKYEYLLHHPDIIL >Manes.11G008400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:899347:904911:1 gene:Manes.11G008400.v8.1 transcript:Manes.11G008400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQISIPLKPKGAFIKDITQAACSIHAMSSQEIHYVHFPNPKTYRREECECTPVRLFVIMSMQRSGSGWFETLLNSHPNVSSNGEIFSVKQRRSNISTIQATLDTVYALEWVSSSAKNDCVAAVGLKWMLNQGVMVYHREIANYFNQKGVSVIFLFRRNLLGRIVSVLANAYDKDAKQINGTHKSHVHSREEADLLARYKPTLNVSSLISDLSTSEHMMAEALEYFKSCRHLVLYYEDLMKNHKALSDAQEFLRVPFRKLVSHQVKIHVKPLSEQINNWEEVYSTLEGSKYEYLLHHPDIIL >Manes.01G195500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36958954:36960552:-1 gene:Manes.01G195500.v8.1 transcript:Manes.01G195500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSLVNIPEVILGSSGPRMPLLGMGTATSPLVGSEEIKTAILQAIELGYRHFDTATLYLTEEPLGEAIAEALSRDLIKSREELFISSKLWCSDAHSDLVLPALQKSLKALRVEYLDLYVIHWPVSSRPGIYEFPIKKGDFLPMDFKGVWAAMEECQKLGLAKSIGVSNFSCKKLSDILAIAETPPAINQVEINPLWQQKKQMEFCKANGIVLTAYGPLGGTIMGCSRVMENEVLKEIANAKGKSVAQICLRWAYEQGVCILVKSFHSERMKENLDIFNWTLSEEESKKISEIPQSKGSSGVDYISDQGPFKTLEELWDGEI >Manes.12G145200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35189866:35190393:-1 gene:Manes.12G145200.v8.1 transcript:Manes.12G145200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSFSAYSNAYSEIRLGFEERSKSYSFNGPTTGKIDEVAASGDPEMKRRKRVAQYNMYNMEGKLKSSLRNSFKWIKSKFVNDYFDV >Manes.15G061600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4692884:4695577:1 gene:Manes.15G061600.v8.1 transcript:Manes.15G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDLNKVWEIKALEKPGEEEAKEMLEKIAKQVQPIMRKRKWRVKLLSEFCPSNPAVLGLNIGGGEHVKLRLRRPNRDWDFFPFDQVLDTMLHELCHNVHGPHDANFYKLWDELRKECEELLSKGITGTGEGFDLPGRRLGGFSRQPPLSSLRKTALDAAEKRAKLGSMLPSGPKRLGGDSTIMGALSPIQAAAMAAERRLKDDIWCGSQSAEVFEQGESSNDVKENLSDVGQITECSRPDNGSKLNHDTVHNLEEHATWECGTCTLLNTSLAPICKLCSTEKPKDASTKYKTWSCKFCTLNNSVKLDKCSACNQWRYSYGPPVSTRPPNLGT >Manes.13G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35451165:35452454:1 gene:Manes.13G140900.v8.1 transcript:Manes.13G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKNKIKTMEFSDGESRNYLLEAQAHIWNHTFNFIKSMSLKCAVELHIPDIIHSHGQPMTLSELISALQINPSKSHHINRLMRILVHSGFFSLQKLGDNRHENGYLLTKTSLLLLKDHPQSARPHVLAALDPILTEPSHFLSSWFQNDDHPTPFSIAHGETFWDFASHEAKFNSSFNEAMASDSSLVIEVLVNDSKCKEVFKGLNSLVDVGGSTGIMAKAISEKFPNMKCTVLDLPHVVAGLQNDKNLNFLAGNMFDYIPPADAVLLKMVFHIMEDEECLKLLKKCREAVIGKGERPGKVIIIDIVMGDENCVKKSSETIELYDLDMMVLVSGKERNEEEWAKLFFEAGFSSYKINPVLGLRAIIEVYA >Manes.18G048050.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4170501:4170851:1 gene:Manes.18G048050.v8.1 transcript:Manes.18G048050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRASEDVFRVLRDCSHAKELWNLLGAQQIFSDFFWISSVDDLIFHNLRLAARQIGGVGWDIIFAFATWFIWKNRNAIVFRSLVSTSEGLKTAVISQAGHSQKNLGKSTSSWGMTCT >Manes.10G090351.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:23382036:23383006:-1 gene:Manes.10G090351.v8.1 transcript:Manes.10G090351.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFQALYGRLPPTIPLYHEGSSSVHEVDQNLLSRDALLSQLKSNLAATTNRMKQYANSKRRDVQYEVGDWVFLKLHPYRQHSVTVRTYLKLACKFYGPYQIEEKIGPVAYKLQLPPGSRIHPVFHVSLLKKKIGEAALSSHELPPVTDDGELLLEPESVLDTRWVKKGSKIVEQLLVKWKKLQEKDATWEDSQSFTVRFPNINLEDKVAVRGGGNDRPRRSSRLPKINSKYLD >Manes.17G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21599391:21601075:-1 gene:Manes.17G035500.v8.1 transcript:Manes.17G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAEGINVTVRSQDGQEKHYRIKLGTPIAKLLRYYCDTKQLEYYTMVFLIKGRRFNQTKTPAELNLKDGVQIEAFMHQNGGGCKGV >Manes.10G129400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29575437:29579760:-1 gene:Manes.10G129400.v8.1 transcript:Manes.10G129400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILKELVTIFIFIAYVFMISTEYSWDVVALKVQCLDSEQKALQTFKEGFQSHLDRFSSWVPEEDCCKWRGVKCENETGHVIALDLHSSDSSEAMQGQLRTSLLDLPYLSYLDLSLNDFRQIQIPEFIGSLSNLKHLNLSDANFKGTIPDQLGNLSHLVSLDLSGNGYSLRANDLNWLHHLSSLKLLDLGGVDLSNIVNWLDDINMLSSLIELSLFACKLQDLPHSLPRVNFTSLKILDLSFNRLYGRIPNWLFEIEQSLVFLNLRRNELHGSIPEAFGHMTSLIVLDLSENNLAGPIPTTLGMIFVKNQPKEFSSLRELYLSHNQLNGTLQKILPKLSRLRVLDVSWNSLEGVVTDAELLNFSNLQVLEFSGNNLILNVSSSWVPSFQLESIGLHSCKLGPQFPQWIRSQKLISAIDISKNGISDALPDWFWNLTSEIGHVNLSSNNLTGTVLDLSSKIKLSTIDLSSNKLSGPLPLLSPNIATVVLARNSFSGSIVHLCEMLKVNNSLKYLDISNNFLSGQIPDCWTHGKNLVILNLASNDLSGKIPESIGHLLRLNSLRLDRNGLSGKIPSSLKNCTSLFVLDLGQNRLSGEIPEWIGENLLNLMILGLSSNAFKGHIPVEVCQLESLKILDLSSNYLSGEIPRCVDNFKAMSEFVTVRSYMYDPYMYGKILQLVKILDFSSNSLTGEIPQEITSLVGLLSLNLSRNNLMGAIPPNIGEMEFMESLDLSRNQLSCSIPTSITDLISLSHLNLSHNRLSGSIPSGGNMLTFDAASYQGNHNLCGPPLAYNCSEDKSNEDPNCIDNQVGRKMEAETGQGLHVPPFYISMGLGFIVGFLGFWGPLLLKTTRRQAYFRWLGNMIDQIYVWVKVT >Manes.16G085100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29115210:29124003:-1 gene:Manes.16G085100.v8.1 transcript:Manes.16G085100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFRFRSSANYDSLLIEGQPSISIRDLKAKIVNNKNLNICQDFDLVFSDAETGQEYYDENIQLPSGSSVIIKRVPAGSARADIGHVKSLENIRIKETNMVRTSPQANVEVDNFDDFGVELCPIPEATISGSDLDLVVDKQFCTTNDETPRYFEISRVGCQKLEASELIEAIPTRPLNIGDNEDISPKKMVTANPQAMQNVDLPAELKCSLCETFFKEAMMIPCCQHSFCEKCIRLVLIEKRRCPKCLSTKYTVEDLLPNVSLRQAIEHFLESQILIRSSDNAFGYAPDGESGIQVKEMSCAVSIHQKEAVLPHSPCATGRGSNQIIGKSVGGKSLLHHKLKETHAGKHGLRHPVDSDRGSEDLVSDFQGENQPMHEEAESTMKKKKASWVNTAGVDRSFVEMGRHRKGDRTCFMCGSPDHFIRDCPAASSPHPMLQTGNAMFPGAMPGFLSPWGSFPQIRPFLSPYGNPYAPAIFPPTTFAVPTYMPSMFGGVAPYGGFTRVGGLACPVGPSAEWHVDRSDGQELQGYEKRQKVSHNNLGRRQSFDYDEDASFNKRHNETERSPGLRTHRDGGRSASHSRDSFTDSPRRKHRHHVSFDYELERSSSEVEDMPSSSNWHSEEQLKRRHRNSKKHDGRIVHIGGDSRHSHRQHQTQSKPKDIKRMRVASEGKRDERKHHSQSESGLQPSLSSDHKTQWKERDCSYGSRHSRHNSWSMNNDPSHERWQMVSGSDEDEDHHYYKRKRLH >Manes.11G093478.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:15596125:15602948:-1 gene:Manes.11G093478.v8.1 transcript:Manes.11G093478.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIFSSHVSQTFDSVAQFLDHSYQTKNVIAIKKLHARLLRTGLLFFSLNIHCRLIFACTTCINKNNLQTLTNCCKFLNPINPLPFNLLLSNFCRNGFPLLALKTCSLMHITSVSLDTYALCSSLKASSSMEDVNFGKQIHAHVTKSGWLSSVFVGSALIDLYAKSSFVGHAAMVFDEIPVKNTVCANALLSGYVEGKLWDQGIKLLRNMPCLSLDYDHFTLAAMLRTCAGLSAIELGRQVHAYLTRKINDLGNDVFLQSSLIEMYGKCGFVEKALQVFNLEGYKLGGKVNRDIVLWTSMLGAYGTSLGTACGTLLGAALGRSLVISVGISALGIALVIVLCTLLGASVVVSMGTSVVESVGTSHGKSVGASVSLAMVVLGRFWLWPVGVVASGNFLPSGSAVGAIVDGGTCSTKNGPIHLDRSFPGNNLNLESKSKTLSPTWKCFLEIFLSWKALVFVL >Manes.06G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3049413:3069289:1 gene:Manes.06G018200.v8.1 transcript:Manes.06G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSRDRFSLDELRYLTDQLQKVQVINDVNKDFVIEALRSIAELMTYGDQHDSNYFEYFMEKQVMGEFVRILKICRSVIVSLQLLQTLSIMIQNLKSEHAIYYMFSNEHLNFLITYAFDFHNEELLSYYISFLRAISGKLNRNTISLLVKTQNGEVVSFPLYVEAIRFAFHEENMIRTAVRALTLNIYHVGDESVNRFVAKAPQADYFLNLVTFFRKQCIDLNVLVSEVLRTSDADGTNAVVAAVDEIEDNLYYFSDVISAGIPDVITDNMLQFLIIPFLLPSLRLDAVNEQLGAITSLYLLCCILRIVKIKDLANTIAAALFCPLEVFSQKSEAKLNGHVSERNYMNDIQQSERDNVKEVDARLKVSLPSLAGCSQVHPEDVISQNDCGCSHGPLRDALLSYITSGNDLQVLGSLSVMATLLQTKELDETMLDALGILPQRKQHKKLLLQALVGEGSGEDQLFASEFGPRRETFSSDLDVYLQKLKEQFGVVCYFPEVGTSPRVHRFQVLDALVGLFCRSDISAEILWDGGWLLRQLLPYSKAEFNNRHLKLLKDSYNNCTCALLEETRGIWPDLLETVLCDEWKKCKRAIEASSPRKEPKCILLPLQKSSYDDSIPSESSVFAGESMCELVKVFVLLHQLQIFSLGRALPEQPPTYLPTDSPESSRASTAGLEGSGPKPGVELRLVDAVPCRIAFERGKERHFCFLAISLGTSGWILLLEELPLKQHYGVVRVVAPLAGCNPRIDDKHSRWLHLRIRPSSLPFVDSAKSVTLRKTKAKALVDGRWTLAFRNEESCKTALSMILEEINLQSSEVERRLRPLLDFQGDVDDLFNHSEALSTNSTPSNSL >Manes.02G159300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:12450421:12451710:1 gene:Manes.02G159300.v8.1 transcript:Manes.02G159300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGSGSGHHPTWNFRSQSGSSRDRNWTYNWATGSGPGGSTFSFGSGAGWRPDGAGGFGFGWGGSSSIGNGGFGFYGGSSGFGFGFSGGSGSGGFNFQNDFGGGQAQGSSGQTRTDGARSRLGGNN >Manes.03G061400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6888098:6893589:-1 gene:Manes.03G061400.v8.1 transcript:Manes.03G061400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRENREQHKVKQQYLAMYSSVFGGITTDPAAMIVPIDDHMVHRGHGVFDTAAIVDGILYELDQHLDRILRAASKAKISLPFDRESIRRILIQTVSASKCQKGLLRYWLSAGPGDFQLSSSGCHQPALYAIVIQDQSPLDSKGIKVVTSSIPIKHPQFATVKSVNYLPNVLSKMEAEEKGAFAAIWLDNDGFIAEGPSMNVAFVTKEKELLMPRFDKILSGCTAKRVLNLAEGLVMEGKLHGVKVANLTIEEGKNADEMMLIGSGLLVRPVVQWDEQIIGNGKEGPITLALLDLVLEDMKSGPPTVRTPVS >Manes.03G061400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6888098:6893589:-1 gene:Manes.03G061400.v8.1 transcript:Manes.03G061400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSSCQENFVSPIQAFDVPILTCSEAMERLRENREQHKVKQQYLAMYSSVFGGITTDPAAMIVPIDDHMVHRGHGVFDTAAIVDGILYELDQHLDRILRAASKAKISLPFDRESIRRILIQTVSASKCQKGLLRYWLSAGPGDFQLSSSGCHQPALYAIVIQDQSPLDSKGIKVVTSSIPIKHPQFATVKSVNYLPNVLSKMEAEEKGAFAAIWLDNDGFIAEGPSMNVAFVTKEKELLMPRFDKILSGCTAKRVLNLAEGLVMEGKLHGVKVANLTIEEGKNADEMMLIGSGLLVRPVVQWDEQIIGNGKEGPITLALLDLVLEDMKSGPPTVRTPVS >Manes.03G061400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6888088:6893608:-1 gene:Manes.03G061400.v8.1 transcript:Manes.03G061400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRENREQHKVKQQYLAMYSSVFGGITTDPAAMIVPIDDHMVHRGHGVFDTAAIVDGILYELDQHLDRILRAASKAKISLPFDRESIRRILIQTVSASKCQKGLLRYWLSAGPGDFQLSSSGCHQPALYAIVIQDQSPLDSKGIKVVTSSIPIKHPQFATVKSVNYLPNVLSKMEAEEKGAFAAIWLDNDGFIAEGPSMNVAFVTKEKELLMPRFDKILSGCTAKRVLNLAEGLVMEGKLHGVKVANLTIEEGKNADEMMLIGSGLLVRPVVQWDEQIIGNGKEGPITLALLDLVLEDMKSGPPTVRTPVS >Manes.03G061400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6888039:6891297:-1 gene:Manes.03G061400.v8.1 transcript:Manes.03G061400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVSPIQAFDVPILTCSEAMERLRENREQHKVKQQYLAMYSSVFGGITTDPAAMIVPIDDHMVHRGHGVFDTAAIVDGILYELDQHLDRILRAASKAKISLPFDRESIRRILIQTVSASKCQKGLLRYWLSAGPGDFQLSSSGCHQPALYAIVIQDQSPLDSKGIKVVTSSIPIKHPQFATVKSVNYLPNVLSKMEAEEKGAFAAIWLDNDGFIAEGPSMNVAFVTKEKELLMPRFDKILSGCTAKRVLNLAEGLVMEGKLHGVKVANLTIEEGKNADEMMLIGSGLLVRPVVQWDEQIIGNGKEGPITLALLDLVLEDMKSGPPTVRTPVS >Manes.03G061400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6888013:6891288:-1 gene:Manes.03G061400.v8.1 transcript:Manes.03G061400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSSCQENFVSPIQAFDVPILTCSEAMERLRENREQHKVKQQYLAMYSSVFGGITTDPAAMIVPIDDHMVHRGHGVFDTAAIVDGILYELDQHLDRILRAASKAKISLPFDRESIRRILIQTVSASKCQKGLLRYWLSAGPGDFQLSSSGCHQPALYAIVIQDQSPLDSKGIKVVTSSIPIKHPQFATVKSVNYLPNVLSKMEAEEKGAFAAIWLDNDGFIAEGPSMNVAFVTKEKELLMPRFDKILSGCTAKRVLNLAEGLVMEGKLHGVKVANLTIEEGKNADEMMLIGSGLLVRPVVQWDEQIIGNGKEGPITLALLDLVLEDMKSGPPTVRTPVS >Manes.03G061400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6887994:6891267:-1 gene:Manes.03G061400.v8.1 transcript:Manes.03G061400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSSCQENFVSPIQAFDVPILTCSEAMERLRENREQHKVKQQYLAMYSSVFGGITTDPAAMIVPIDDHMVHRGHGVFDTAAIVDGILYELDQHLDRILRAASKAKISLPFDRESIRRILIQTVSASKCQKGLLRYWLSAGPGDFQLSSSGCHQPALYAIVIQDQSPLDSKGIKVVTSSIPIKHPQFATVKSVNYLPNVLSKMEAEEKGAFAAIWLDNDGFIAEGPSMNVAFVTKEKELLMPRFDKILSGCTAKRVLNLAEGLVMEGKLHGVKVANLTIEEGKNADEMMLIGSGLLVRPVVQWDEQIIGNGKEGPITLALLDLVLEDMKSGPPTVRTPVS >Manes.07G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3383579:3390865:1 gene:Manes.07G030900.v8.1 transcript:Manes.07G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKIKQSPNPTSQTLTSTASSQDSRLLIRETLRISANLASASSPALSESPSASPSHSLVSPAQSVSFTDNGRRLGLVENEFIESSLRLICCEEIDGRRWKYVAENDDFGRYKKNSIRAVSLQTPQAPVEEIVSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTKTLLNSVGVSKSNAISGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSIMIAKRNPSLVTSFALLSCGYVFSSYQEVKSVVLHTLNRARFSVAVDSFLKTGKVPSLQEGNMKENIFSFPWLKERPIVLGPRFKDAFQDPTAFLAIEPLFEQEKYIVTYNPLKDKVYALLKDQAKSDDILKAAFHAYVLLHSINSSNDSSSSSWKQQQYGHSNYILSVNDIEAHIADSCRMVSTLYGPFKSKAAEQGWRMSDSLLNPGRARIVVAC >Manes.03G138800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26522575:26524298:-1 gene:Manes.03G138800.v8.1 transcript:Manes.03G138800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQFTSLHVLFVFPFIFFFFFFFMAMKRRAKPSSQKLPPGPWKLPVIGNMHQLLDTLPHRSLARLAMKYGPLMHLKLGEVSTVVVSSPDIAKQILKTHDIDFAQRSSFLAPEIITYDCTDIVFSPYGGYWRQLRKICMVELLSTKRVQSFRWIREEEVENLIKAISSHEGSPINVSDLIFSLTYGMVSRAAFGKKYKDQEQFMKVIKKVFELASGFSVADMYPSIKLLQKATGLRPKLEKLHGIADRILGNIIKEHRNKNGNEMEEDTVDVLLKLQEHADLEFPISDKIIKTVILDLFSAGSDTSSATMEWAISEMLRNPRILRRAQTEVRYLFRDKGKVDEDGLHELKFLNCIIKETLRLHPPVPLIPRESRTNVEINGYHIPVKTKVTINAWAIGRDPKHWTEAEKFYPERFLDSSINYKGTDFEFIPFGSGRRICPGIAFAMANIELPLAQLLYHFDWKLPKGMKNEDLDMTEDYGLTSRRKRHLFVVPTSYHL >Manes.04G030500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3802799:3806397:1 gene:Manes.04G030500.v8.1 transcript:Manes.04G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRPRNAELLQVPRKFKDRDATPERTKLWIEPKPKNATERKVPVVYYLSRNGQLEHPHFMEVPLSSTKGLYLRDVINRLNILRGKTMASLYSWSSKRSYNHGFVWHDLTENDFIYPVHGHEYVLKGSELLDPSINPNKTLLLEATLSSRSLKPPEIHKLSSNEDSDFPVITRRRNQSWSSIDLNERKVYRTEPFSNSTRKLAAEASTQTDDKKRRRRPARQEDEIGEMQEEKSPGPEVNREEIEISPPPSDSSPETLESLMKADGPLILGTGSGNEESLNRTVDNCGRMKAPTVLMQLISCGSISFRDCGAIAVKEREFSLIGHFKGRLPRGGGNTETEGTTKNLMNSGGVRLEDKEYLSGSLMDTKKDEVPALKRSNSYHAERRSQSLQLGGKEEEGAHTKCIPRKPKAMPTKKASNNSFAGDTNISRSKRFEIQMENETK >Manes.03G162200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28902787:28906904:-1 gene:Manes.03G162200.v8.1 transcript:Manes.03G162200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDWHPLLRGKREGKYRHGFSSAEMITLGSICEAAFPPIHIDEKEPQPSKAVKDFFRASGAHNSIPDELAELVVQRGFIEIVIVMRLVLLILSTRVGTLLLCGSLCLGEKWPYINSFSSMSLEKREKVLQKWFKHRFFTPIRVGLIFLKVGCLYVFFNRVDENGENPSWEAIDYKTKSDKNPTQVPNERPLQEGVIETMFETDSTLVDNLTQKGLEVTKDPGHNLYKINCDVAIVGSGCGGGVAAAMLATSGQKVVVLEKGNYFTANDYSGLEGPSKKELYESGGVLPTVDGKVMILAGSVVGGGSAINWSACINTPKPVLKEWAENHKLRLFGSSEYLAAMDTVCKRIGVTENCIEEGFQNQVLRKGCQKLGFEINSVPRNSSEKHYCGSCGYGCQQGDKKGTDSTWLVDAVNHGAVILTGCKAERFILEHNKSGSKRKKKCLGVMARSLNNNISMRFQIEAKVTISACGALLTPPLMIASGLKNPNIGRNLHLHPVLMAWGYFPDSNSELKGKSYDGGIITSVHKVVAKDSTTRAIIETPQLGPASLASLIPWECGRDIKNRMLRFSRTAHLIAIIRDQGSGQVKVEGRVSYNLNALDRENLKAGLRQSLRILVAAGAVEVGTHRSDGQRIKCEGISNKELEEFLDRVAPCGGPLTPVKDWMIYTSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPSAVGVNPMITVQSTAYCLSKRLAESLRREQ >Manes.16G075950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27978377:27980885:1 gene:Manes.16G075950.v8.1 transcript:Manes.16G075950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESQRAADEEVESHAPSEAAVAPAAPAPAAAPPPAAAGGPGQDALFQQIAELIRRVTQNVPEVPPPPVVAQAQPRPPIEKLRKYGATEFRGKKEDDPSAAEFWLESTERVLQQLQCSPAESLMCAVSLLKDEAYRWWTTLTQMVRLERQTWEFFLAEFKKKYIGALSIEERRREFLYLRQGRLTVTEYEREFVRLSKYATEIVPTEEERCKRFEQGLHADIRMYLTVMHIRELSVLVETAHSLERIKEEEQSRRQKGQQKRSQSQYQGQSSASQTSSKRQREFQQTGQRGPPRQIQRPGQSSVVRSGQQTTSVSSTGGPGRGLPPVCEHCGRRHGGVCRRLTGACYLCGSSDHFMRDCPRGQSVQPIQTERSLPTGSRGRGRGRGESSSAQSYRVSETVDRPDTRAPARAYAIRAKEDQDKPDVIAVKTLSQTVCSTQLDPRNS >Manes.03G086600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17144296:17157974:1 gene:Manes.03G086600.v8.1 transcript:Manes.03G086600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHLSILESPKDQTPSGKPIKDIRKLPSNPEEVEDLRRNSAANPLIAFTYDELKVITENFRQDRLLGGGGFGSVYEGFINEDLREGLQPLPVAVKVHDGDNSHQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDKHRVLIYEYMARGSVENNLFSRVLLPLPWHIRMKIAFEAAKGLAYLHDAEKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPMGDKTHVSTRVMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLADWALPLLKEKKKLLNIVDSRLQGDYPIKGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQVPEEAYLNGKSVFTVINVADGEIKRKEDSV >Manes.03G086600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17143572:17157974:1 gene:Manes.03G086600.v8.1 transcript:Manes.03G086600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWSRWKPSVYTVSSNAKSESPKDQTPSGKPIKDIRKLPSNPEEVEDLRRNSAANPLIAFTYDELKVITENFRQDRLLGGGGFGSVYEGFINEDLREGLQPLPVAVKVHDGDNSHQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDKHRVLIYEYMARGSVENNLFSRVLLPLPWHIRMKIAFEAAKGLAYLHDAEKPVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPMGDKTHVSTRVMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLADWALPLLKEKKKLLNIVDSRLQGDYPIKGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQVPEEAYLNGKSVFTVINVADGEIKRKEDSV >Manes.08G095300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32265251:32269795:1 gene:Manes.08G095300.v8.1 transcript:Manes.08G095300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEITPILLSAQSPDAKVRNEAEANLRQFQEQNLPLFLLSLSVELANNEKPNESRRLAGIVLKNSLDAKDAARKEHLVQQWMAIEFSIKSQIKDLLLRTLGSSVQEARHTSAQVIAKVASIEVPRKQWPELIGLLLHNMTQQDGPGALKEATLQTLGYVCEEISNQDLVQDEVNNVLTAVVQGMNLAQHGPEVRLAATRALYNALEFAQTNFQNEMERNYIMKVVCETALSKEVEIRQAAFECLVSIASTYYDVLEPYMQTLFQLTSNAVKGDEETVALQAIEFWSSICDEEIEIQEYESPESGDSEPVHSQFIKKALPSLVPMLLETLLKQEEDQDQDDSIWNISMAGGTCLGLVARTVGDDVVLLVMPFVEANIVKPDWRSREAATFAFGSILEGPSIDKLTPLVNAGLDFLLNAMKDGNNHVKDTTAWTLSRVFELLHSPANGFSVISPEKLHRIVAVLLESINDAPHVAEKVCGAIYYLAQGYEDAGSDSSLLTPCLPGIISQLLKTADRTDGGDSKLRSSAYETLNEVVRSSNIVETSHIIKELLPVIMNKLGQTLELQIVSSDDREKQGDLQASLCGVLQVIIQKLSSADETKPIILQAADPIMILFLRVLACRSSTVHEEAMLAIGALAYASGPEFGKYMPELYKYLEMGLQNFEEYQVCAITIGVVGDICRALDDKVLPYCDGIMSHLIRDLQSAELHRSVKPPIFSCFGDIALAIGEQFLKYIESAITMMQSAAQICAQMDTNDEEFIDYGNQLKRSIFEAYSGILQGFKNSKPEVMLPHAGHLLQFIELVFRESQRDESVTKAAVAVMGDLADSLGSNTKILFRDNTFYVDFLGECLQSDDEQLKETANWTQVMIAKVIS >Manes.08G095300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32265251:32269795:1 gene:Manes.08G095300.v8.1 transcript:Manes.08G095300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEITPILLSAQSPDAKVRNEAEANLRQFQEQNLPLFLLSLSVELANNEKPNESRRLAGIVLKNSLDAKDAARKEHLVQQWMAIEFSIKSQIKDLLLRTLGSSVQEARHTSAQVIAKVASIEVPRKQWPELIGLLLHNMTQQDGPGALKEATLQTLGYVCEEISNQDLVQDEVNNVLTAVVQGMNLAQHGPEVRLAATRALYNALEFAQTNFQNEMERNYIMKVVCETALSKEVEIRQAAFECLVSIASTYYDVLEPYMQTLFQLTSNAVKGDEETVALQAIEFWSSICDEEIEIQEYESPESGDSEPVHSQFIKKALPSLVPMLLETLLKQEEDQDQDDSIWNISMAGGTCLGLVARTVGDDVVLLVMPFVEANIVKPDWRSREAATFAFGSILEGPSIDKLTPLVNAGLDFLLNAMKDGNNHVKDTTAWTLSRVFELLHSPANGFSVISPEKLHRIVAVLLESINDAPHVAEKVCGAIYYLAQGYEDAGSDSSLLTPCLPGIISQLLKTADRTDGGDSKLRSSAYETLNEVVRSSNIVETSHIIKELLPVIMNKLGQTLELQIVSSDDREKQGDLQASLCGVLQVIIQKLSSADETKPIILQAADPIMILFLRVLACRSSTVHEEAMLAIGALAYASGPEFGKYMPELYKYLEMGLQNFEEYQVCAITIGVVGDICRALDDKVLPYCDGIMSHLIRDLQSAELHRSVKPPIFSCFGDIALAIGEQFLKYIESAITMMQSAAQICAQMDTNDEEFIDYGNQLKRSIFEAYSGILQGFKNSKPEVMLPHAGHLLQFIELVFRESQRDESVTKAAVAVMGDLADSLGSNTKILFRDNTFYVDFLGECLQSDDEQLKETANWTQWSYAPRRRQYLVTKLTGDCSCVYCSACYYILFFLFYFLSTLHKFYNMKRDFYIFVYSDNK >Manes.12G015200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1451462:1456961:-1 gene:Manes.12G015200.v8.1 transcript:Manes.12G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEIKEEFHKIGFMLDNEEEILKKCLTFCINYNLKPSDLVSSWEVYYLNRQLDESTVQNSEMDGFLLHLQTEQKEAVLREEHNLHVYSSKDVDMILNDEEEDLKEVIPGTPTDKTLRLDSEPFDSTVKSNANGYSSGKPSKHVTPFGLRTDKFVVKFNINNLPDTENGDTEHANENLEDDIIKRVHPAKKCSLVFHGSGPEPGCRFMYDRIEDRFNALENRIRRHAAVLSSSGLYEEPMDPTIASQRNVFAVGMICCDGEGHLNEKSILLQSSVEHSGGQCVRLDLHNLSQFSIFPGQIVGVEGHNPSGHCLIASKVVDYVPLLASPDEDLHPAKKQAVDQEIQATESTYTQGEISTLIASGPFTTTDNLLFEPLTELLAYATRKLPQLLILLGPFVDSEHPEIKKGTVDRSFDEIFHMEILRRLQDYVEYSGPEAQVLIVPSTRDAHHDFVFPQPAFEIHPPSLKHQITSLRNPGVFSANQVRVGCCTADILRQLSGEELSRNPKDGTPIDRMSRLASHILSQCSFYPLYPPAEDIPLDFSLASEALHISSIPEILILPSDMKYFIKVLYLSGGSEGEEHMKCICINPGRLAKGEGGGTFVELNYQGSADRMNASVIGI >Manes.14G133600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10693687:10695708:-1 gene:Manes.14G133600.v8.1 transcript:Manes.14G133600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFQSLSWQDVQTPSYSFPDYRIDLGSSSKCNNKISMRAPASHVSEDRVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHYPHIHFGLMLETNSQAKLDDASEKHLMSRAALYNK >Manes.14G133600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10693323:10697427:-1 gene:Manes.14G133600.v8.1 transcript:Manes.14G133600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCIDVVPEQLCYIPCNFCNIVLAVSVPCSNLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQTPSYSFPDYRIDLGSSSKCNNKISMRAPASHVSEDRVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHYPHIHFGLMLETNSQAKLDDASEKHLMSRAALYNK >Manes.14G133600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10693323:10697427:-1 gene:Manes.14G133600.v8.1 transcript:Manes.14G133600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDWSHSLLQVPTNFNLDRLMVSVPCSNLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQTPSYSFPDYRIDLGSSSKCNNKISMRAPASHVSEDRVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHYPHIHFGLMLETNSQAKLDDASEKHLMSRAALYNK >Manes.14G133600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10693323:10697427:-1 gene:Manes.14G133600.v8.1 transcript:Manes.14G133600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDWSHSLLQVSVPCSNLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDVQTPSYSFPDYRIDLGSSSKCNNKISMRAPASHVSEDRVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHYPHIHFGLMLETNSQAKLDDASEKHLMSRAALYNK >Manes.17G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32706851:32710590:1 gene:Manes.17G122100.v8.1 transcript:Manes.17G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRAATSAIRAFPSSFGISGSSALGRHLHASAGSKKIVGVFYKANEYAAMNPNFLGCAEGALGIREWLESKGHRYIVTDDKEGPDCELEKHIPDLHVLITTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHIDLKAAADAGLTVAEVTGSNVVSVAEDELMRILILLRNFLPGYHQVINGEWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKMDPELENQTGAKFEEDLDAMLPKCDIIVINTPLTEKTRGLFNKDKIAKMKKGVLIVNNARGAIMDTQAVVDACSSGQIGGYSGDVWYPQPAPKDHAWRYMPNQAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEEFPLQNYIVKEGKIASQYQ >Manes.11G072200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:10444718:10447077:-1 gene:Manes.11G072200.v8.1 transcript:Manes.11G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFSCAVSTPSRSPIFSSRRHNNKPKFASQEAIILIQKCSNFSHLKLIHAKIIRNTLSNDQLIVRKLLHLCFSYGKMDYASLLFHQIRYPHTFTWNFMIRAYTKNGSSQQAILLYNLMICQGFPPDKFTFPFIVKACLASSALEKGKEVHGFAIKTGFSKDTFLHNTLMDLYFKCGDAEYGRKVFDKMCVRSIVSWTTFVAGLVACGELDAARRAFNQMPTRNVVSWTAMINGYVKDQRPQEAFELFWRMQLSNVRPNEFTLVGLLKASTELGSLQLGSWIHEYALKNGFKLGVFLGTALIDMYSKCGSLVDAKHVFDKMQVKSLATWNSMITSLGVHGCGKEALAVFAQMEEANIEPDAITFVGVLCACVQMNNVEEGDRYFKYMTECYRITPILEHYTCMIELYTRANMLNEVNMLVNTMEIKLNGSPEAALIGSSIIDGMAENGRFFEHHGKDLYCLETPTLQLSQNKFWCFKWDVG >Manes.15G115500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9171261:9177757:1 gene:Manes.15G115500.v8.1 transcript:Manes.15G115500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSNSGETSLQRKIGRGKIQIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVKSTIERYKKASADSSNTGSVSEVNAQFYQQEAAKLRVQISNLQNSNRHMLGESLGALNVKELKSLEIRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLIRAKIAENERKQQNMNLMPGGGDYEMMQPQPFDNRNYFQVNALQPTNHYPHQDQMALQLV >Manes.15G115500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9171261:9177757:1 gene:Manes.15G115500.v8.1 transcript:Manes.15G115500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSNSGETSLQRKIGRGKIQIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSTRGRLYEYANNSVKSTIERYKKASADSSNTGSVSEVNAQFYQQEAAKLRVQISNLQNSNRHMLGESLGALNVKELKSLEIRLEKGISRIRSKKNELLFAEIEYMQKREIDLHNNNQLIRAKIAENERKQQNMNLMPGGGDYEMMQPQPFDNRNYFQVNALQPTNHYPHQDQMALQLV >Manes.14G134321.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:9589878:9590546:-1 gene:Manes.14G134321.v8.1 transcript:Manes.14G134321.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHRYITNDLDFRYYLHSTLYQIKQDCRQSIHDFYAHMRSIWDQIALSDFVWENSIDAQKFQKYRNEDKLIQFLMALKDEFESNRASLLHMIPLPTLDQVVLQLVSEETRLGSLYIQRTDMVMAISNISSNVIDVVAPSSKNGPIECRYCKKPAYHISNCRKLARKISSRIDQSKSHQNSRPVASVTPDYNTTHSYFQSSFTIFFNSRSSRLSSTPIYRYG >Manes.08G145300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38700811:38705146:-1 gene:Manes.08G145300.v8.1 transcript:Manes.08G145300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVKAVALITGEPHVKGSLQFVQRPNGPTHITGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGSPADEERHAGDLGNIFAGSDGIAEISVKDMQIPLSGPHSILGRAVVVHADPDDLGKGGHELSKTTGNAGARVGCGIIGLQSSV >Manes.01G041200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8251914:8252645:1 gene:Manes.01G041200.v8.1 transcript:Manes.01G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEREKNNHHSNFHGNLLQLLHFHNHHHHHHHQGKKDLKDIPKGCLAILVGQGEEQQRFVIPVIYINHPLFIQLLKEAEEEYGFDQKGPIIIPCHVQEFINIQGMIDKENTHHQHHHLLCCRV >Manes.08G139700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37606656:37621369:1 gene:Manes.08G139700.v8.1 transcript:Manes.08G139700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGDVAMLDAELLQLPEVAPLSLKSYPDFAQKLFEQWLALPDANRLVTSLLSDAKAGVPLNVSGNSPKANTTTSSSLPSMFPGGSTPPLSPRSTSGSPRIMKQRAGPSNLGSPLKVVSEPVREFIPQFYFQNGRPPPNELREQCLFRISHFFYGRSDGLQLHEFKSVTKEICKLPSFFSAVLFRKIDVNGTGFVTRDAFVNYWINGNMLTMDTATQMFKILKQPDLKYLTQEDFKPILRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIRYGNHALTYRIVDRIFSQVARKFTCKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPENESYITLSDLKGCKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSADVWDESLEAPF >Manes.08G139700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37613749:37618728:1 gene:Manes.08G139700.v8.1 transcript:Manes.08G139700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIAPFITLLVPYLENMYKCINMVTSLLSDAKAGVPLNVSGNSPKANTTTSSSLPSMFPGGSTPPLSPRSTSGSPRIMKQRAGPSNLGSPLKVVSEPVREFIPQFYFQNGRPPPNELREQCLFRISHFFYGRSDGLQLHEFKSVTKEICKLPSFFSAVLFRKIDVNGTGFVTRDAFVNYWINGNMLTMDTATQMFKILKQPDLKYLTQEDFKPILRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDMDHDFLIDKENLIRYGNHALTYRIVDRIFSQVARKFTCKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGVLTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPENESYITLSDLKGCKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSADVWDESLEAPF >Manes.06G161700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28904997:28915650:-1 gene:Manes.06G161700.v8.1 transcript:Manes.06G161700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKFGDLNYGFSNSSGNIQNVNFSSPSIPGSSGKPVSRPRLVKVRRESNSQKLKSPADNCSSPGFNPFRPFSGSAEQDVAKSSAFGFGSVGNQSFIFGTSKSSFGGNSDSGKWNVENQITEQMKNVRIGSGNAFMNTNLNASDSSDFVFGSDRNKNSSIDDGMKKLAINDKEIEKLVDERSKLTANYIATFGPGSGDNVTASIGKSGESNLPDELKKKLDIEETVGFNGGSGISSVDEVKMSGSRRSANISNAFAESLETALPDQIKSLNIKDPLDANAIDKKTYEKNSYASGSRKGTGSQLGTETESILLSEMERKLNIASAIGESSDQTDMGCSSFRVFAKEMQTGNGCAKKFPDFCNSVEMEFTLKGGMQGEETSSSRVPIDQTKKDTQPSGVAEPSSAFSSSGLAGGHAFGIGLPPTGKEEKREGFIFTSRQDSVGSPFVEFKTPNPKGNLFSGLNQKVEISAKFKDSKLKKKRGKLKQPAKVHLWPGQEFVSRESGWCETPEVSESCSPMDVSPYPETLSDTQFSRETSVASEGSFNLDNQYPSTDSQPSALNDAIDEDLVIATQQMDINEDDVQFRESKKEGSGYCSDKCIGAENPQEDSTSFAETESFKSANEEIEFINDVVVTSAENGASSSTSTERQGSDVGVQFGSPASSEVIGASGFTFVSSSAAQTSSKRQHKKKNWVKVGHALFNSSSNAKVSQASSSSEFTTFSGASLPSSPTLGKKVGVSTPFHMRGDNSEELGGQEMKQESDLISSASIAAQEACEKWRLRGNQAYTRGDLAKAEDCYTQGINCVSPSETSRSCLRALMLCYSNRAATRMSLGRMRDALGDCKMAAEIDPTFLRVQVRAANCHLALGEVEDASQYFMKCLQFGNDVCVDRKVAVEASEGLQKAQKVSECLQHSAKLLERKTSYDAGCALELLLEASTISPFSEKLLEMKATSLFLLRKYDEVIQLCEQTFDSAKHNSLLIDADCQSADLGDHELMNNVSFSLWQLWRSNLIFKSYFYLGKLEEAIGSLEKHKELTAERNDSKMMDSLISLAATVRELLCHKAAGNEAFQGGKHSEAIEHYTAALSCNVESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKAISRRSTLYETIRDYGQAASDLQRLVSLLTKQLEEKSNQFGSSERMGNLANDLRQARMRLSTIEEEARKEIPLDMYLILGVEPSASASEIKKAYRKAALRHHPDKAGQSLARSENGDDGLWKEIGEEIHNHADRLFKMIGEAYAVLSDPTKRSQYDLEEEMRNAQKKHGGNSTYRTYSDAQKHQFERSGPRRQWREVWRAYGR >Manes.06G161700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28904997:28915650:-1 gene:Manes.06G161700.v8.1 transcript:Manes.06G161700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSKFGDLNYGFSNSSGNIQNVNFSSPSIPGSSGKPVSRPRLVKVRRESNSQKLKSPADNCSSPGFNPFRPFSGSAEQDVAKSSAFGFGSVGNQSFIFGTSKSSFGGNSDSGKWNVENQITEQMKNVRIGSGNAFMNTNLNASDSSDFVFGSDRNKNSSIDDGMKKLAINDKEIEKLVDERSKLTANYIATFGPGSGDNVTASIGKSGESNLPDELKKKLDIEETVGFNGGSGISSVDEVKMSGSRRSANISNAFAESLETALPDQIKSLNIKDPLDANAIDKKTYEKNSYASGSRKGTGSQLGTETESILLSEMERKLNIASAIGESSDQTDMGCSSFRVFAKEMQTGNGCAKKFPDFCNSVEMEFTLKGGMQGEETSSSRVPIDQTKKDTQPSGVAEPSSAFSSSGLAGGHAFGIGLPPTGKEEKREGFIFTSRQDSVGSPFVEFKTPNPKGNLFSGLNQKVEISAKFKDSKLKKKRGKLKQPAKVHLWPGQEFVSRESGWCETPEVSESCSPMDVSPYPETLSDTQFSRETSVASEGSFNLDNQYPSTDSQPSALNDAIDEDLVIATQQMDINEDDVQFRESKKEGSGYCSDKCIGAENPQEDSTSFAETESFKSANEEIEFINDVVVTSAENGASSSTSTERQGSDVGVQFGSPASSEVIGASGFTFVSSSAAQTSSKRQHKKKNWVKVGHALFNSSSNAKVSQASSSSEFTTFSGASLPSSPTLGKKVGVSTPFHMRGDNSEELGGQEMKQESDLISSASIAAQEACEKWRLRGNQAYTRGDLAKAEDCYTQGINCVSPSETSRSCLRALMLCYSNRAATRMSLGRMRDALGDCKMAAEIDPTFLRVQVRAANCHLALGEVEDASQYFMKCLQFGNDVCVDRKVAVEASEGLQKAQKVSECLQHSAKLLERKTSYDAGCALELLLEASTISPFSEKLLEMKATSLFLLRKYDEVIQLCEQTFDSAKHNSLLIDADCQSADLGDHELMNNVSFSLWQLWRSNLIFKSYFYLGKLEEAIGSLEKHKELTAESGLSRNDSKMMDSLISLAATVRELLCHKAAGNEAFQGGKHSEAIEHYTAALSCNVESRPFAAICFCNRAAAYKALGQITDAIADCSLAIALDGNYLKAISRRSTLYETIRDYGQAASDLQRLVSLLTKQLEEKSNQFGSSERMGNLANDLRQARMRLSTIEEEARKEIPLDMYLILGVEPSASASEIKKAYRKAALRHHPDKAGQSLARSENGDDGLWKEIGEEIHNHADRLFKMIGEAYAVLSDPTKRSQYDLEEEMRNAQKKHGGNSTYRTYSDAQKHQFERSGPRRQWREVWRAYGR >Manes.04G103000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30768454:30769325:-1 gene:Manes.04G103000.v8.1 transcript:Manes.04G103000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSIQYTQEYNITPFPFHSQNHQPMHEEKPSMCNDKPANGAFWGSSPAVAQSAWFPSNPTPPPPCSYHIPSNPRRVNSYRSAPAPLTEDQVRQIFMKFDLNGDNVLSREEIRQAFNYLGAMFPAQQARQGIKLADSNGDGVVDMSEMEDLVKYAYNLGYVVR >Manes.02G178600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14107107:14110126:1 gene:Manes.02G178600.v8.1 transcript:Manes.02G178600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEPVDQKKYLEESCKPKCVKPLLEYEACVKRIKGDESGHKHCTGQYFDYWSCIDKSVAPKLFSKLK >Manes.04G041950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6889272:6890637:-1 gene:Manes.04G041950.v8.1 transcript:Manes.04G041950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPHRILLDTDVDTDDFFALLYMLKLNRSEFELQAITINANPWTDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTMIPNVGGHLPLIEQGNSTAGGCRYRQAIPVGLGGKLDIDSNYGLRKGFLLEILIHYGIPVTLVPLDATNTIPINEDFF >Manes.07G124300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32775872:32776105:1 gene:Manes.07G124300.v8.1 transcript:Manes.07G124300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWTLLEGTLLLANGLAILNEDRFLAPRGWSFSEFSVGGTKSFKGQLMGLIYATQYMRVPLIILNAICIVVKLVSG >Manes.14G142200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13800318:13803646:1 gene:Manes.14G142200.v8.1 transcript:Manes.14G142200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETDTGELEEALYAQQQLLQKLNTELDVERESAATAASEALSMILRLQGEKASLKMEASQYKRMAEEKMCHAEEALAIFEDLIYQREMEIASLEFQVQAYRYRLLSLGCNDLGVYEIKIPENLLMQRSESFLGEKVVNSNLRRFNSLSEAMIKDPNLKKSGIERKRSVNPVPDSIPSPVEEKMNQETADSEKKPGSSGIIDINSYWKQIKRLDERVKEISDSKDSGKNKPKLCRVCSWSPSLLPQVSIGTSIDPVTEVNIKVSDQVSSQANSSSSVQDVFEFPQTTENKKPCKKKHCELTVDVGNRQEKLDSVIEDTDQSPYKHETDKVKTKLSSTNHEKKAPKPRRVLSFSRCVSPVLEAVNQGNCATDHQHLSWRIDRLERGRSNARQEIVTGGEEELNLLKEIHEKIKSIESEMKSWRAKKSDFQTEQSVDLLQEAMVYFWM >Manes.14G142200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13800318:13805049:1 gene:Manes.14G142200.v8.1 transcript:Manes.14G142200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETDTGELEEALYAQQQLLQKLNTELDVERESAATAASEALSMILRLQGEKASLKMEASQYKRMAEEKMCHAEEALAIFEDLIYQREMEIASLEFQVQAYRYRLLSLGCNDLGVYEIKIPENLLMQRSESFLGEKVVNSNLRRFNSLSEAMIKDPNLKKSGIERKRSVNPVPDSIPSPVEEKMNQETADSEKKPGSSGIIDINSYWKQIKRLDERVKEISDSKDSGKNKPKLCRVCSWSPSLLPQVSIGTSIDPVTEVNIKVSDQVSSQANSSSSVQDVFEFPQTTENKKPCKKKHCELTVDVGNRQEKLDSVIEDTDQSPYKHETDKVKTKLSSTNHEKKAPKPRRVLSFSRCVSPVLEAVNQGNCATDHQHLSWRIDRLERGRSNARQEIVTGGEEELNLLKEIHEKIKSIESEMKSWRAKKSDFQTEQSVDLLQEAMVYFWM >Manes.02G134700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10266751:10267827:-1 gene:Manes.02G134700.v8.1 transcript:Manes.02G134700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGIKEVEGSANSVEIDNLARFAVDDYNKKQNALLEFKRVVSTKQQVVAGTMYYITLEVADGGQTKVYEAKVWEKPWLNFKEVQEFKPIGVAPSDSTA >Manes.02G134700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10267015:10267643:-1 gene:Manes.02G134700.v8.1 transcript:Manes.02G134700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGIKEVEGSANSVEIDNLARFAVDDYNKKQNALLEFKRVVSTKQQVVAGTMYYITLEVADGGQTKVYEAKVWEKPWLNFKEVQEFKPIGVAPSDSTA >Manes.03G052916.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5016143:5018117:1 gene:Manes.03G052916.v8.1 transcript:Manes.03G052916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTINNSEDVASCKATTVSQPSSTTQPANSSTHSQLEPSTPINPSHSLPAASNPTVSLPPISNLGKKRKMTSTVWDHFEKVHHSGNDWAICSYCKTSLKANSKNETKSLHNHIEKCAKKGNQDIVKCLEKQKQISMDIRNDGKVHFGNFTFDQEKSRRELACAIILHEYPLSITDHVGFRKFVASLQPLFKMVSRNSIKKDILNIYDVEFNKLYKSLEKLKSRIAITTDMWTLNQKKGYMSITAHYIDDFWVLQNRILRFVYVPTPHTKEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIVVDKLFGDLLCDGAVLHMRCCAHILNLVVKDGLATIESSLSRIRDSVVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFSRLKIREKSYKDVPTYDDWEMAKRVAEKLETFHSITEIFSGRKYPTSNCFFISICQLRNSIMEWMSSDDDVIKSMSARMFEKFEKYWSVVHIVLAVAVILDPRYKIKVVEYYFPMIYGDNASNEIEQVKVTCYNLLNDYQSRAFKPKSQSSSSVPPISISENQGSLKKDFSNLVAFLNSSSTSVHVKSELDHYLEEPVLPWMQEFDILNWWKTNGIKY >Manes.07G030001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3256754:3257411:1 gene:Manes.07G030001.v8.1 transcript:Manes.07G030001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMKKKKEVVLLLILITIGGSISSTVGVEYETYAWGKGNKEKIGCQLVRKSNPRRSSYLACEDVKRRIHTEYEHLLPAGSDQTDDANFRHNPMPRLISKPHIYRRIGADGSSSKPGAHGDAH >Manes.14G057300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4849032:4849537:-1 gene:Manes.14G057300.v8.1 transcript:Manes.14G057300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLINQANKTMPSLRIIATSIKTPLLLDPVLHATKPEFINNSVPLCDSTAFENNPIPQNPTRTPQFYYPNFPFGYCLNPFSMNMSYELKAIVGDSTGNDTDDARTVWADSVKKKRKKKMNKHKYKKLRKRLRRKA >Manes.13G125601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33305101:33305781:-1 gene:Manes.13G125601.v8.1 transcript:Manes.13G125601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKALYGTDPPCHQLNSVESLVTMVEDMLLERKEMNAYFQNQLAIAKNKMKQWADKKRTAREFQVLDWVYLRLQPYRQKSLGPWCFTKLSPRFFGSFQIIEKIGAVAYKLALPAESVIHPLFHVSLLKNSAFLLLGAMQTLPPLKDGHFFNGHFEVFPETRTSYQGDMVVPQLLIKWQYYPSVDSTWKDASFIAQQFSALYHSWGKECAKGEGIVTYHPEIRGF >Manes.11G051180.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6492703:6506695:1 gene:Manes.11G051180.v8.1 transcript:Manes.11G051180.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVRHVGGIENCFVSLPFQLLQTLESTRPGGILPQVLTLELRSPSINNQWVVAWSGATSSSFAIEVSRQFADCISLPDHTSVQVRAVSNVASATLVTIEPSSEDDWEVLELNSEQAEAAILKQVRIVHQAMRFPLWLHGHTSVTFLVVSTFPKNVVVQLVPGTEVAVAPKRRKTDVNKQDTVIQSSNKEFNSAKALLRLQDSDRRFFHKSEVKGVELGIVLSSVAYMHPETAKKISLDSHQLVTVVPRLSSKGTMRTPENDVMRTKTSSTLKEINNDTLTDKKEYRQAIVRILFSDSVAKGHLMIAQSLCLYLRVSLHSWVYLKMCKSDLREDIASLSLSPCYFKMPGQDKSIEKNGLEVLDSHRGRKPRNMLSETISGTYVGTVDVSIHDKIVTALSHDLHCKEDLDTTSQSDNRQGLRRLLQAWFLAQLDAIVSTSGVEANSLVLGKETVLHFEVKGCDFDKFKVQASNESVENRKNIGEVPLEFLFLLTISGESLHAGKVNSYKLTFDKSKRDILAGMELFGKLKLGDPVSLYTLKERSPVKGFSTNISSLSWMGTTATDVINRIKVLLSPASGMLFSNYNLPLPGHVLIYGPHGSGKTILARAVAKSLEECQELLAHIVFVGCSGLALEKASTIRQALSGYISEALDRAPSLIIFDDLDSIISSPSDTEGHQSLTSVVSLTKFLTDIMDEYGEKRKHSCGIGPLAFIASAQTLENIPQSLSSSGRFDFHVQLPAPAASERQAILRHEIRRRSLQCSENILLDVASKCDGYDAYDLEILVDRTVHAAIGRFLPSHCTLEKHEVPTLVRDDFSRAMHDFLPVAMRDITKSAPEGGRSGWDDVGGLKDIRSAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFMSVKGPELLNKYIGASEQAVRDIFAKATAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSCRWTMMLTWMP >Manes.11G051180.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6492703:6506695:1 gene:Manes.11G051180.v8.1 transcript:Manes.11G051180.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVRHVGGIENCFVSLPFQLLQTLESTRPGGILPQVLTLELRSPSINNQWVVAWSGATSSSFAIEVSRQFADCISLPDHTSVQVRAVSNVASATLVTIEPSSEDDWEVLELNSEQAEAAILKQVRIVHQAMRFPLWLHGHTSVTFLVVSTFPKNVVVQLVPGTEVAVAPKRRKTDVNKQDTVIQSSNKEFNSAKALLRLQDSDRRFFHKSEVKGVELGIVLSSVAYMHPETAKKISLDSHQLVTVVPRLSSKGTMRTPENDVMRTKTSSTLKEINNDTLTDKKEYRQAIVRILFSDSVAKGHLMIAQSLCLYLRVSLHSWVYLKMCKSDLREDIASLSLSPCYFKMPGQDKSIEKNGLEVLDSHRGRKPRNMLSETISGTYVGTVDVSIHDKIVTALSHDLHCKEDLDTTSQSDNRQGLRRLLQAWFLAQLDAIVSTSGVEANSLVLGKETVLHFEVKGCDFDKFKVQASNESVENRKNIGEVPLEFLFLLTISGESLHAGKVNSYKLTFDKSKRDILAGMELFGKLKLGDPVSLYTLKERSPVKGFSTNISSLSWMGTTATDVINRIKVLLSPASGMLFSNYNLPLPGHVLIYGPHGSGKTILARAVAKSLEECQELLAHIVFVGCSGLALEKASTIRQALSGYISEALDRAPSLIIFDDLDSIISSPSDTEGHQSLTSVVSLTKFLTDIMDEYGEKRKHSCGIGPLAFIASAQTLENIPQSLSSSGRFDFHVQLPAPAASERQAILRHEIRRRSLQCSENILLDVASKCDGYDAYDLEILVDRTVHAAIGRFLPSHCTLEKHEVPTLVRDDFSRAMHDFLPVAMRDITKSAPEGGRSGWDDVGGLKDIRSAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFMSVKGPELLNKYIGASEQAVRDIFAKATAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATRLVSL >Manes.11G051180.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6492703:6506695:1 gene:Manes.11G051180.v8.1 transcript:Manes.11G051180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEVRHVGGIENCFVSLPFQLLQTLESTRPGGILPQVLTLELRSPSINNQWVVAWSGATSSSFAIEVSRQFADCISLPDHTSVQVRAVSNVASATLVTIEPSSEDDWEVLELNSEQAEAAILKQVRIVHQAMRFPLWLHGHTSVTFLVVSTFPKNVVVQLVPGTEVAVAPKRRKTDVNKQDTVIQSSNKEFNSAKALLRLQDSDRRFFHKSEVKGVELGIVLSSVAYMHPETAKKISLDSHQLVTVVPRLSSKGTMRTPENDVMRTKTSSTLKEINNDTLTDKKEYRQAIVRILFSDSVAKGHLMIAQSLCLYLRVSLHSWVYLKMCKSDLREDIASLSLSPCYFKMPGQDKSIEKNGLEVLDSHRGRKPRNMLSETISGTYVGTVDVSIHDKIVTALSHDLHCKEDLDTTSQSDNRQGLRRLLQAWFLAQLDAIVSTSGVEANSLVLGKETVLHFEVKGCDFDKFKVQASNESVENRKNIGEVPLEFLFLLTISGESLHAGKVNSYKLTFDKSKRDILAGMELFGKLKLGDPVSLYTLKERSPVKGFSTNISSLSWMGTTATDVINRIKVLLSPASGMLFSNYNLPLPGHVLIYGPHGSGKTILARAVAKSLEECQELLAHIVFVGCSGLALEKASTIRQALSGYISEALDRAPSLIIFDDLDSIISSPSDTEGHQSLTSVVSLTKFLTDIMDEYGEKRKHSCGIGPLAFIASAQTLENIPQSLSSSGRFDFHVQLPAPAASERQAILRHEIRRRSLQCSENILLDVASKCDGYDAYDLEILVDRTVHAAIGRFLPSHCTLEKHEVPTLVRDDFSRAMHDFLPVAMRDITKSAPEGGRSGWDDVGGLKDIRSAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFMSVKGPELLNKYIGASEQAVRDIFAKATAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSQQERLDILSVLSRKLPLDDDVDLDAIAYITEGFSGADLQALLSDAQLAAVHEHLSADSGKPGKMPIITDALLKSVASKARPSTSESEKQRLYSIYSQFLDSKKSAAAQSRDAKGKRATLA >Manes.11G051180.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6492703:6506695:1 gene:Manes.11G051180.v8.1 transcript:Manes.11G051180.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPETAKKISLDSHQLVTVVPRLSSKGTMRTPENDVMRTKTSSTLKEINNDTLTDKKEYRQAIVRILFSDSVAKGHLMIAQSLCLYLRVSLHSWVYLKMCKSDLREDIASLSLSPCYFKMPGQDKSIEKNGLEVLDSHRGRKPRNMLSETISGTYVGTVDVSIHDKIVTALSHDLHCKEDLDTTSQSDNRQGLRRLLQAWFLAQLDAIVSTSGVEANSLVLGKETVLHFEVKGCDFDKFKVQASNESVENRKNIGEVPLEFLFLLTISGESLHAGKVNSYKLTFDKSKRDILAGMELFGKLKLGDPVSLYTLKERSPVKGFSTNISSLSWMGTTATDVINRIKVLLSPASGMLFSNYNLPLPGHVLIYGPHGSGKTILARAVAKSLEECQELLAHIVFVGCSGLALEKASTIRQALSGYISEALDRAPSLIIFDDLDSIISSPSDTEGHQSLTSVVSLTKFLTDIMDEYGEKRKHSCGIGPLAFIASAQTLENIPQSLSSSGRFDFHVQLPAPAASERQAILRHEIRRRSLQCSENILLDVASKCDGYDAYDLEILVDRTVHAAIGRFLPSHCTLEKHEVPTLVRDDFSRAMHDFLPVAMRDITKSAPEGGRSGWDDVGGLKDIRSAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFMSVKGPELLNKYIGASEQAVRDIFAKATAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSQQERLDILSVLSRKLPLDDDVDLDAIAYITEGFSGADLQALLSDAQLAAVHEHLSADSGKPGKMPIITDALLKSVASKARPSTSESEKQRLYSIYSQFLDSKKSAAAQSRDAKGKRATLA >Manes.16G033100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4034178:4037505:-1 gene:Manes.16G033100.v8.1 transcript:Manes.16G033100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQELGTNELFQAQCHIYKHMYHYMESMSLKCAVQLGIPDIIHKHNQPITLTDLASHLQVPPIKANCLQRVMRVLVHSGFFATAKVHENKEEEGYVLTTSSSLLLKDSPTSLSAAVLPFLDPALITPWFSLADCFHGNELTAFETYHGMNFWEYGKQNHEFINFLNEAMACDSQLVSLVVKDHKEIFEGVASLVDVGGGTGTLASAIADAYPHMECTVLDLPQVVADIQESKSLKFVGGDMFESVPSAEAVLIKSVLHNWSDEACIKILNRCREAIAGSEKGGKVIIVEMVINDKKDESNLAETKLFADLQMMIICNGRERNEQEWARLFSQAGFSSYKMTATCGLNSIIEVYP >Manes.16G033100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4034178:4037505:-1 gene:Manes.16G033100.v8.1 transcript:Manes.16G033100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQELGTNELFQAQCHIYKHMYHYMESMSLKCAVQLGIPDIIHKHNQPITLTDLASHLQVPPIKANCLQRVMRVLVHSGFFATAKVHENKEEEGYVLTTSSSLLLKDSPTSLSAAVLPFLDPALITPWFSLADCFHGNELTAFETYHGMNFWEYGKQNHEFINFLNEAMACDSQLVSLVVKDHKEIFEGVASLVDVGGGTGTLASAIADAYPHMECTVLDLPQVVADIQESKSLKFVGGDMFESVPSAEAVLIKSVLHNWSDEACIKILNRCREAIAGSEKGGKVIIVEMVINDKKDESNLAETKLFADLQMMIICNGRERNEQEWARLFSQAGFSSYKMTATCGLNSIIEVYP >Manes.16G033100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4034178:4037539:-1 gene:Manes.16G033100.v8.1 transcript:Manes.16G033100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQELGTNELFQAQCHIYKHMYHYMESMSLKCAVQLGIPDIIHKHNQPITLTDLASHLQVPPIKANCLQRVMRVLVHSGFFATAKVHENKEEEGYVLTTSSSLLLKDSPTSLSAAVLPFLDPALITPWFSLADCFHGNELTAFETYHGMNFWEYGKQNHEFINFLNEAMACDSQLVSLVVKDHKEIFEGVASLVDVGGGTGTLASAIADAYPHMECTVLDLPQVVADIQESKSLKFVGGDMFESVPSAEAVLIKSVLHNWSDEACIKILNRCREAIAGSEKGGKVIIVEMVINDKKDESNLAETKLFADLQMMIICNGRERNEQEWARLFSQAGFSSYKMTATCGLNSIIEVYP >Manes.16G033100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4034178:4037539:-1 gene:Manes.16G033100.v8.1 transcript:Manes.16G033100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDQELGTNELFQAQCHIYKHMYHYMESMSLKCAVQLGIPDIIHKHNQPITLTDLASHLQVPPIKANCLQRVMRVLVHSGFFATAKVHENKEEEGYVLTTSSSLLLKDSPTSLSAAVLPFLDPALITPWFSLADCFHGNELTAFETYHGMNFWEYGKQNHEFINFLNEAMACDSQLVSLVVKDHKEIFEGVASLVDVGGGTGTLASAIADAYPHMECTVLDLPQVVADIQESKSLKFVGGDMFESVPSAEAVLIKSVLHNWSDEACIKILNRCREAIAGSEKGGKVIIVEMVINDKKDESNLAETKLFADLQMMIICNGRERNEQEWARLFSQAGFSSYKMTATCGLNSIIEVYP >Manes.02G133400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10158172:10162472:1 gene:Manes.02G133400.v8.1 transcript:Manes.02G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRKPAKCAHASSTNFSSGRKKSHNNELKQDSTSSYLQASWGSFNNSLITSKVDTSVPSNLKSFSFSELKNATKNFRSETFLGEGGFGCVFKGWLDENTLAPTKPGTGIVVAVKRLKAESFQGHKEWLAEVNYLGQLRHGNLVKLIGYCAESENRLLVYEFMPKGSLECHLFRKRDLPLPWATRMRIAIDVARGLSFLHGLNANVIYRDLKASNILLDSDFNAKLSDFGLARDGPTGDNTHVSTRVVGTRGYAAPEYVATGHLTPKSDVYSFGVVLLELLSGRRAMDDERANFVEETLVDWAKPFLSDPRRVLRIMDTRLGGQYSKKGAQAAAALALQCLHTDPKNRPSMTEVLTALEKNISKDVPTSPSPTNLDNHRIKQLNSPRRTNTAITNLT >Manes.01G226600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39160673:39167269:1 gene:Manes.01G226600.v8.1 transcript:Manes.01G226600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSENKKKNFPPFLDKFSKIFFFFFSSQLHIQTRFALLSLWLCSFRFNLSIFLTFVSAEFASMLETTSPSTTFLAVRFLLFGFDPIKEREVRAKLLSGGGVDAGQYSQNCTHVIVDKILFDDPLCVAARNDGKTLVTGLWVDHSYDIGMAVDATSIMYRPLRDLNGIEGAERLIVCLTGYQRQDRDDIMTMVGLMGAQFSKPLVANKVTHLICYKFEGEKYELAKKLKKIKLVNHRWLEDCLRDWELLPEDNYSKSGYELEAMEAEAKDSEEEAEGPTVKQSSHEMANRSPHLRMGTPKSCQMPTLTGELPKMACNLSEPEGMPSVGNGKDMLGTPSRNNRSASGFISNFVSEASPCPVSDASNDAPSVGLHNPQEKTPNSTKGGSDLETISGSAERPYSDAKFSARSYTRKNPRTSPISTFSGKLGNTRGSPKVQLGESIDKSSAKFESAKDLTGSGHVEVLPRTSELFHEEASSSKKQKMDVSCFNPKSHDMGHEPPRSVTGSPSVSCNQGLEQPHLVDGLSKINNQHPDVTGSPLVSLDAAAQKSHSDVSIGKASKFKTKQLMQDLLPLEDAASEDEQKKDADENMPQTSLKALKKSSLASKPEVGDFGVKKSEDLVADAEVPCHQQQDRQVPSPFNRNLEGEKSQTIANLEGLEEGNGNLMTKRVRTKMIAKKTLGSRPKLKSTANLKGSIYLNKVAAQSDPAVGLPREIAGHENFSSFNELEISPATVDAIAVKEVETKIDPKSGDNTENATTVMDDETEPPEDKDTHEGVHDEEKDGVVDLSSKADDNTKVKPDVSQHSTNNTAADMDDGAKEDKIAVQSQQKDKTTCKANGMKGKVRQGKKQPSGKSKTKTVLTLSGHAKSKQASDGEKTCNGEDSVEKVMGEEKGKPCSAGQTKSRTISKRKSENSMEVDKENKQIVDGDQNISQFKGHVRKTALKSDVSMKANQKSRKRDPKCVLVREVSKQLETEPIWFILSGHKLQRKEFQQVIRRLKGKVCRDSHQWSYQATHFIAPDPIRRTEKFFAAAASGRRHTECRWILKTDYLAACSQAGRFLGEEPYEWHKHGLSEDGAINLEAPRKWRLLREKTGHGAFYGMRVIIYGDCIAPSLDTLKRVVKAGDGTILATSPPYTRFLSSGVDYAIVSPGMPRVDLWVQEFMRHEIPCIVADYLVEYVCKPGYSLERHVLYNTQTWAERSFANLLSKAEDIVEGVTPSDDCSNDDTTCKVCGSHDRGEVMLICGDESGSVGCGIGMHIDCCDPPLENIPEEDWFCPNCSNSNSSPKRRKGA >Manes.01G226600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39160673:39167269:1 gene:Manes.01G226600.v8.1 transcript:Manes.01G226600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDATSIMYRPLRDLNGIEGAERLIVCLTGYQRQDRDDIMTMVGLMGAQFSKPLVANKVTHLICYKFEGEKYELAKKLKKIKLVNHRWLEDCLRDWELLPEDNYSKSGYELEAMEAEAKDSEEEAEGPTVKQSSHEMANRSPHLRMGTPKSCQMPTLTGELPKMACNLSEPEGMPSVGNGKDMLGTPSRNNRSASGFISNFVSEASPCPVSDASNDAPSVGLHNPQEKTPNSTKGGSDLETISGSAERPYSDAKFSARSYTRKNPRTSPISTFSGKLGNTRGSPKVQLGESIDKSSAKFESAKDLTGSGHVEVLPRTSELFHEEASSSKKQKMDVSCFNPKSHDMGHEPPRSVTGSPSVSCNQGLEQPHLVDGLSKINNQHPDVTGSPLVSLDAAAQKSHSDVSIGKASKFKTKQLMQDLLPLEDAASEDEQKKDADENMPQTSLKALKKSSLASKPEVGDFGVKKSEDLVADAEVPCHQQQDRQVPSPFNRNLEGEKSQTIANLEGLEEGNGNLMTKRVRTKMIAKKTLGSRPKLKSTANLKGSIYLNKVAAQSDPAVGLPREIAGHENFSSFNELEISPATVDAIAVKEVETKIDPKSGDNTENATTVMDDETEPPEDKDTHEGVHDEEKDGVVDLSSKADDNTKVKPDVSQHSTNNTAADMDDGAKEDKIAVQSQQKDKTTCKANGMKGKVRQGKKQPSGKSKTKTVLTLSGHAKSKQASDGEKTCNGEDSVEKVMGEEKGKPCSAGQTKSRTISKRKSENSMEVDKENKQIVDGDQNISQFKGHVRKTALKSDVSMKANQKSRKRDPKCVLVREVSKQLETEPIWFILSGHKLQRKEFQQVIRRLKGKVCRDSHQWSYQATHFIAPDPIRRTEKFFAAAASGRWILKTDYLAACSQAGRFLGEEPYEWHKHGLSEDGAINLEAPRKWRLLREKTGHGAFYGMRVIIYGDCIAPSLDTLKRVVKAGDGTILATSPPYTRFLSSGVDYAIVSPGMPRVDLWVQEFMRHEIPCIVADYLVEYVCKPGYSLERHVLYNTQTWAERSFANLLSKAEDIVEGVTPSDDCSNDDTTCKVCGSHDRGEVMLICGDESGSVGCGIGMHIDCCDPPLENIPEEDWFCPNCSNSNSSPKRRKGA >Manes.01G226600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39160673:39167269:1 gene:Manes.01G226600.v8.1 transcript:Manes.01G226600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDATSIMYRPLRDLNGIEGAERLIVCLTGYQRQDRDDIMTMVGLMGAQFSKPLVANKVTHLICYKFEGEKYELAKKLKKIKLVNHRWLEDCLRDWELLPEDNYSKSGYELEAMEAEAKDSEEEAEGPTVKQSSHEMANRSPHLRMGTPKSCQMPTLTGELPKMACNLSEPEGMPSVGNGKDMLGTPSRNNRSASGFISNFVSEASPCPVSDASNDAPSVGLHNPQEKTPNSTKGGSDLETISGSAERPYSDAKFSARSYTRKNPRTSPISTFSGKLGNTRGSPKVQLGESIDKSSAKFESAKDLTGSGHVEVLPRTSELFHEEASSSKKQKMDVSCFNPKSHDMGHEPPRSVTGSPSVSCNQGLEQPHLVDGLSKINNQHPDVTGSPLVSLDAAAQKSHSDVSIGKASKFKTKQLMQDLLPLEDAASEDEQKKDADENMPQTSLKALKKSSLASKPEVGDFGVKKSEDLVADAEVPCHQQQDRQVPSPFNRNLEGEKSQTIANLEGLEEGNGNLMTKRVRTKMIAKKTLGSRPKLKSTANLKGSIYLNKVAAQSDPAVGLPREIAGHENFSSFNELEISPATVDAIAVKEVETKIDPKSGDNTENATTVMDDETEPPEDKDTHEGVHDEEKDGVVDLSSKADDNTKVKPDVSQHSTNNTAADMDDGAKEDKIAVQSQQKDKTTCKANGMKGKVRQGKKQPSGKSKTKTVLTLSGHAKSKQASDGEKTCNGEDSVEKVMGEEKGKPCSAGQTKSRTISKRKSENSMEVDKENKQIVDGDQNISQFKGHVRKTALKSDVSMKANQKSRKRDPKCVLVREVSKQLETEPIWFILSGHKLQRKEFQQVIRRLKGKVCRDSHQWSYQATHFIAPDPIRRTEKFFAAAASGRRHTECRWILKTDYLAACSQAGRFLGEEPYEWHKHGLSEDGAINLEAPRKWRLLREKTGHGAFYGMRVIIYGDCIAPSLDTLKRVVKAGDGTILATSPPYTRFLSSGVDYAIVSPGMPRVDLWVQEFMRHEIPCIVADYLVEYVCKPGYSLERHVLYNTQTWAERSFANLLSKAEDIVEGVTPSDDCSNDDTTCKVCGSHDRGEVMLICGDESGSVGCGIGMHIDCCDPPLENIPEEDWFCPNCSNSNSSPKRRKGA >Manes.01G226600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39160673:39167269:1 gene:Manes.01G226600.v8.1 transcript:Manes.01G226600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSENKKKNFPPFLDKFSKIFFFFFSSQLHIQTRFALLSLWLCSFRFNLSIFLTFVSAEFASMLETTSPSTTFLAVRFLLFGFDPIKEREVRAKLLSGGGVDAGQYSQNCTHVIVDKILFDDPLCVAARNDGKTLVTGLWVDHSYDIGMAVDATSIMYRPLRDLNGIEGAERLIVCLTGYQRQDRDDIMTMVGLMGAQFSKPLVANKVTHLICYKFEGEKYELAKKLKKIKLVNHRWLEDCLRDWELLPEDNYSKSGYELEAMEAEAKDSEEEAEGPTVKQSSHEMANRSPHLRMGTPKSCQMPTLTGELPKMACNLSEPEGMPSVGNGKDMLGTPSRNNRSASGFISNFVSEASPCPVSDASNDAPSVGLHNPQEKTPNSTKGGSDLETISGSAERPYSDAKFSARSYTRKNPRTSPISTFSGKLGNTRGSPKVQLGESIDKSSAKFESAKDLTGSGHVEVLPRTSELFHEEASSSKKQKMDVSCFNPKSHDMGHEPPRSVTGSPSVSCNQGLEQPHLVDGLSKINNQHPDVTGSPLVSLDAAAQKSHSDVSIGKASKFKTKQLMQDLLPLEDAASEDEQKKDADENMPQTSLKALKKSSLASKPEVGDFGVKKSEDLVADAEVPCHQQQDRQVPSPFNRNLEGEKSQTIANLEGLEEGNGNLMTKRVRTKMIAKKTLGSRPKLKSTANLKGSIYLNKVAAQSDPAVGLPREIAGHENFSSFNELEISPATVDAIAVKEVETKIDPKSGDNTENATTVMDDETEPPEDKDTHEGVHDEEKDGVVDLSSKADDNTKVKPDVSQHSTNNTAADMDDGAKEDKIAVQSQQKDKTTCKANGMKGKVRQGKKQPSGKSKTKTVLTLSGHAKSKQASDGEKTCNGEDSVEKVMGEEKGKPCSAGQTKSRTISKRKSENSMEVDKENKQIVDGDQNISQFKGHVRKTALKSDVSMKANQKSRKRDPKCVLVREVSKQLETEPIWFILSGHKLQRKEFQQVIRRLKGKVCRDSHQWSYQATHFIAPDPIRRTEKFFAAAASGRWILKTDYLAACSQAGRFLGEEPYEWHKHGLSEDGAINLEAPRKWRLLREKTGHGAFYGMRVIIYGDCIAPSLDTLKRVVKAGDGTILATSPPYTRFLSSGVDYAIVSPGMPRVDLWVQEFMRHEIPCIVADYLVEYVCKPGYSLERHVLYNTQTWAERSFANLLSKAEDIVEGVTPSDDCSNDDTTCKVCGSHDRGEVMLICGDESGSVGCGIGMHIDCCDPPLENIPEEDWFCPNCSNSNSSPKRRKGA >Manes.18G059880.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5260841:5265075:1 gene:Manes.18G059880.v8.1 transcript:Manes.18G059880.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGCTSSKSHDRDDCGERVAAEHLKGVPKLGGVIWVKLSGGSWWPAVVVESNKADGRLMGDVRVRLYGSYEYMYVDPIKYCSEFQITLEQHSGSYQEIFMRALEQDLSSSKSGRGKGKVTKSKDGACKQLKHKRPGTKETEEHDSNQKRLKKNKQGAAGAKCKSPKQDVKSSKQNKDAALSGRSQELSARRTKVMQTLGLVAPSGSPFRKKKSFI >Manes.18G059880.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5260841:5265075:1 gene:Manes.18G059880.v8.1 transcript:Manes.18G059880.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGCTSSKSHDRDDCGERVAAEHLKGVPKLGGVIWVKLSGGSWWPAVVVESNKADGRLMGDVRVRLYGSYEYMYVDPIKYCSEFQITLEQHSGSYQEIFMRALEQDLSSSKSGRGKGKVTKSKDGACKQLKHKRPGTKETEEHDSNQKRLKKNKQGAAGAKCKSPKQDVKSSKQNKLCLEDLKN >Manes.18G059880.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5260841:5265075:1 gene:Manes.18G059880.v8.1 transcript:Manes.18G059880.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGCTSSKSHDRDDCGERVAAEHLKGVPKLGGVIWVKLSGGSWWPAVVVESNKADGRLMGDVRVRLYGSYEYMYVDPIKYCSEFQITLEQHSGSYQEIFMRALEQDLSSSKSGRGKGKVTKSKDGACKQLKHKRPGTKETEEHDSNQKRLKKNKQGAAGAKCKSPKQDVKSSKQNKLCLEDLKN >Manes.18G059880.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5260841:5265075:1 gene:Manes.18G059880.v8.1 transcript:Manes.18G059880.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGCTSSKSHDRDDCGERVAAEHLKGVPKLGGVIWVKLSGGSWWPAVVVESNKADGRLMGDVRVRLYGSYEYMYVDPIKYCSEFQITLEQHSGSYQEIFMRALEQDLSSSKSGRGKGKVTKSKDGACKQLKHKRPGTKETEEHDSNQKRLKKNKQGAAGAKCKSPKQDVKSSKQNKDAALSGRSQELSARRTKVMQTLGLVAPSGSPFRKKKSFI >Manes.01G129800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32336828:32339248:-1 gene:Manes.01G129800.v8.1 transcript:Manes.01G129800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAVRRRVNIIAAHFAPADDISSTHLLPMNCSGSLNSVIPRCDNRVYFARQCSASQAFFMRQGSTEQPGGGFKCTASQAPLFSRPTKMDEHKPHQQIQSPPNDFKSALPAEPKTPPCFARPSSGISRKKQQSLSNKKIYSPQPNGIEWSPRMDVAESERSYVLTVELPGADVNDIRVEVNNHSLLVRGKRSIQKSEQSISAYHKREILLGRYQVVWPFPSNVNRDNVSAEFL >Manes.01G129800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32336828:32339248:-1 gene:Manes.01G129800.v8.1 transcript:Manes.01G129800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAVRRRVNIIAAHFAPADDISSTHLLPMPGGGFKCTASQAPLFSRPTKMDEHKPHQQIQSPPNDFKSALPAEPKTPPCFARPSSGISRKKQQSLSNKKIYSPQPNGIEWSPRMDVAESERSYVLTVELPGADVNDIRVEVNNHSLLVRGKRSIQKSEQSISAYHKREILLGRYQVVWPFPSNVNRDNVSAEFLNGFLQITVPKL >Manes.01G129800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32336828:32339248:-1 gene:Manes.01G129800.v8.1 transcript:Manes.01G129800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPLTSSPCGSLNSVIPRCDNRVYFARQCSASQAFFMRQGSTEQPGGGFKCTASQAPLFSRPTKMDEHKPHQQIQSPPNDFKSALPAEPKTPPCFARPSSGISRKKQQSLSNKKIYSPQPNGIEWSPRMDVAESERSYVLTVELPGADVNDIRVEVNNHSLLVRGKRSIQKSEQSISAYHKREILLGRYQVVWPFPSNVNRDNVSAEFLNGFLQITVPKL >Manes.01G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32336828:32339248:-1 gene:Manes.01G129800.v8.1 transcript:Manes.01G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAVRRRVNIIAAHFAPADDISSTHLLPMNCSGSLNSVIPRCDNRVYFARQCSASQAFFMRQGSTEQPGGGFKCTASQAPLFSRPTKMDEHKPHQQIQSPPNDFKSALPAEPKTPPCFARPSSGISRKKQQSLSNKKIYSPQPNGIEWSPRMDVAESERSYVLTVELPGADVNDIRVEVNNHSLLVRGKRSIQKSEQSISAYHKREILLGRYQVVWPFPSNVNRDNVSAEFLNGFLQITVPKL >Manes.13G076268.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19461984:19465239:-1 gene:Manes.13G076268.v8.1 transcript:Manes.13G076268.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQAMLNQGLFEEQEMPTQMGFFSFAPNSSFPLLGSCHQSLKGFTIPHSLAADAPSTANLTETLLISSAAAKQREDMSANLGGPHLFSVQRSSANLWTQGEVNEYLSNKRSGGDGHLGVSALKMKKIKGRRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTLHPRSYYRCTQDNCGVKKRVERLAEDPRMVITTYEGRHVHSPSHDLEDSEAQSHLNNFFF >Manes.17G028401.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19804460:19808854:1 gene:Manes.17G028401.v8.1 transcript:Manes.17G028401.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVSPAPARHVIWFGWKLVITLSVSLCIFALLKLHFKSDFSSPASSFYRSRSRISRGSFQFVGPPKIAFLFLVRKDLPLDFLWATFFENAQVSNFSIFIHSAPGFEFDESTTRSHFFYGRQLKNSIQVIWGESSMIEAERLLLSAALEDPANQRFVLLSDSCVPLYNFSYIYSYLMASPRSFVDSFVDTKEERYNQNMSPIIRKNKWRKGSQWITLVRSHAEVIVDDEVIFQVFQKHCKRAPPPDTSKGKLNPKPKKQNNCIPDEHYVQTLLSMAELEGELERRTLTYTVWNQSATKMESKGWHPITFTYANAGPQKIKEIKEILSGSCHAPFESGGGWCL >Manes.17G028401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19804460:19808854:1 gene:Manes.17G028401.v8.1 transcript:Manes.17G028401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVSPAPARHVIWFGWKLVITLSVSLCIFALLKLHFKSDFSSPASSFYRSRSRISRGSFQFVGPPKIAFLFLVRKDLPLDFLWATFFENAQVSNFSIFIHSAPGFEFDESTTRSHFFYGRQLKNSIQVIWGESSMIEAERLLLSAALEDPANQRFVLLSDSCVPLYNFSYIYSYLMASPRSFVDSFVDTKEERYNQNMSPIIRKNKWRKGSQWITLVRSHAEVIVDDEVIFQVFQKHCKRAPPPDTSKGKLNPKPKKQNNCIPDEHYVQTLLSMAELEGELERRTLTYTVWNQSATKMESKGWHPITFTYANAGPQKIKEIKDINHVYYETEYRTEWCRTNSTYVPCFLFARKFSRGAAMRLLSQGVVGAFDASALLATST >Manes.09G011640.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2370309:2372710:1 gene:Manes.09G011640.v8.1 transcript:Manes.09G011640.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPDVVTFNTLINGLSIESKMDKALEFFDDMVARGYQPNVRTYSVIINVLCKFGKTNVAIGLLKEMADRGCEPDVVTYNAIIDTLCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFSVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYTSLIDGLCISDHFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNTIKIMIQRGVEPDVVTYNSLMDGYCLRKQIDKARKVFALMMTNEIADILSYNILINGYCKCKMIDDAKQIFDEMSHKGLVPTTVTYHTLIKGMFQAGRPQNAKELFKDMCSYGKQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNFVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVCVYNAIMKGLCQQGLMDEAYKLFKDMEKVGCLPNSCCYNIIIQGFLKHEDLPKASELINEMIDKGFSADDATTELVVHLSRNNNLILRLLKARNEGSAN >Manes.07G056900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6457163:6457597:-1 gene:Manes.07G056900.v8.1 transcript:Manes.07G056900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRITIFLICVLLLAFQYPSGRAIRFSNHWRVGVENGLSHKKTLLVHCKSGDDDLGAHYLSVGSEFSWEFKMNYFANTLFWCYFAPDRYHHASTNVFWYSDHLFQRCFENNCSWVARDDGVYLRNIPLRSEEFMKGWDRGLK >Manes.12G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2650845:2658091:1 gene:Manes.12G030600.v8.1 transcript:Manes.12G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIGPFQNHVKKESWKTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIRHSETNEEIFGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPSCQVADEELYEYKKDNIGLAPSSNFGARLKSTLEKHRVLQRFLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSNEHHKYVEVPVACIILIGLFALQHYGTHRVGFLFAPVVLTWLLCISAIGVYNIVHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLVLAYMGQAAYLSKHHFVDNDYRIGFYVSVPDKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWTLLLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKSVFLAIGFVFFFGTIEALYFTASLIKFLEGAWVPIALSFIFLIIMCVWHFGTRKKYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLVQTELVSGIPSIFSHFVTNLPAFHQVLVFLCIKSVPVPHVKPDERFLVGHIGPREYRLYRCIVRYGYRDFHKDDMEFEKDLVCSIAEFIRSGSTELAGANEDVGKEDNKMTVVGTCSTNTDGIQLSEDDGDIIELASTSEMREIRSPPVIYPRKRVRFIIPESPQIDRAAREELHELMEAREAGIAYILGHSYMRAKQGSSMLKKLVINYGYEFLRRNSRAPAYPLSVPHASTLEVGMVYHV >Manes.05G025900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2272072:2277158:1 gene:Manes.05G025900.v8.1 transcript:Manes.05G025900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNGDYRNLYNHENIFVSDHGGGAGNIWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFTQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASRAKYISILNIIQGEVDPTQVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDILESLVDEYKACESPDYIKWGMEDPDQILTGEGNVTGNVDPKLTV >Manes.05G025900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2272411:2277125:1 gene:Manes.05G025900.v8.1 transcript:Manes.05G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNGDYRNLYNHENIFVSDHGGGAGNIWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFTQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASRAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDILESLVDEYKACESPDYIKWGMEDPDQILTGEGNVTGNVDPKLTV >Manes.07G016800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1965894:1971156:1 gene:Manes.07G016800.v8.1 transcript:Manes.07G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCNVLLPLVHLFFGVSSIVAATTGAGNETDYLALSEFKAKIVKDPQNVMKSWNDSMHFCNWEGVTCGSRQSRVTILNLQSKGLSGSLPPHIGNMSFLTEITLQNNTLQGNIPSELGRLLRLQVLNLGNNSFEGKIPANLSSCSNLTVLRLSYNKLVGQIPTEITSFSRLRELWIHNNYLTGGIPSSIANLSLLETLSASDNFFGGRIPDSLGKLNHLSAIGLGRTNLSGIIPPSIYNISSISIFSMPYNSLSGKLPSNIGLLLPNLEFLQVSGNQLEGSIPISLSNASKLERISIGRNKFTEKVGVHFGSMQRLVLLILAENNLGSLEGGDLNFITSLANCSSLVRLHLSRNQFKGVLPNSMANLSSTLEWMSIDENQIHGSLPPGLSNLVNLSTMDLQFNKISGTLPTEFGKLQKLQRLFLNQNRLSGTVPPSMGNLSLLNELHLQQNQLEGTIPSSLGNCHELLFLNLSQNKLNGSIPKQLFSIQSKLVGIDLSENHLVESLPSQIGNLFNLNTLDVSQNKLSGEIPINLGQCNSLEFLYMGSNNFQGTIPASLASLRGLKLFDLSKNNISGKIPEFLENLALEFFNMSFNDFEGEVPAKGVFASKKAISVEGNKKLCGGIPELQLPKCIIQTSKKQKSKRHLVKIVVIALSSASCVIVLLTFLSYRCRKIKRQQSPDLLETGSLYRLSYDRILKATDGFSSTNLVGVGSFGSVYKGIFSDGVIVAIKVFNPQQEGAVRSFMAECKALRNVRHRNLVKIITSCSSIDFQGNDFRALVYEYMPNGNLDQWLHQNSRIDVKLDEHQNLNLLQRISIALDVGNALDYLHRHCHKPIVHCDLKPSNILLDNDMTAHVGDFGLAKFLSELTNPVQSSSIGVRGTIGYAAPEYGFGSEVSTSGDVYSYGILLLEMMTGKKPTDDIFVEGLNIQKFARMALLDQVLEVADPSLLQEEARVNCNESSIQTRNHCIVECLISVIRVGLACSMEYPHQRMDISNAVNELQTIKDKFIRENERVVSA >Manes.11G093066.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14683823:14684185:-1 gene:Manes.11G093066.v8.1 transcript:Manes.11G093066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKFLLGLQIKQAKDSIFINQAKYTKELIKGFGMENSKPSRTPMSTNTKLDKDEKGKPIDEKLYRGMIASLLYLTASRPDIMFSVCLCAHFQLCPKESHLHAVKRILRYLNGLLHLGL >Manes.09G016300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3374197:3375774:1 gene:Manes.09G016300.v8.1 transcript:Manes.09G016300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSVNSSRGSVKLNRSLSWIRESPFFIDDGYSWRKYGQRIILNCRYPRNYFRCTHKYDQGCLATKQVQRIAEDPSNFRTTYFGHHSCSFTPKDAEIVTTDPNPWKEEDIQLCFDRPKIQRNTTGCDLNLNLKLPTIDPIHRRSVNITRSDSFASYMATANSKISGKKNHLSSNQESAEEASVGPDNSYSSVELNLGKDLRSFVSAEASMSSDYGSTEYSSSTSKSSGMSSGAEPIDFDAGFCFHR >Manes.06G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26021731:26022850:-1 gene:Manes.06G131000.v8.1 transcript:Manes.06G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQIQQAIQLPPRSHQVVKAATAATAGGSFLLLSGLILVGTVIALTIATPLLVIFSPVLVPAVIAVGLIITGFLASGGFGVAAISVLSWIYRYVSGKKPPGAESLDQARMKLTGKAREMKDRAEQYAGQQTS >Manes.11G004100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:527809:532404:1 gene:Manes.11G004100.v8.1 transcript:Manes.11G004100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNPLFDDMRSKPEVIDPPQNEDMMDVGESVNDPAQTALKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCMGIYPYYSKLKHESQCVFRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Manes.11G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:527950:532404:1 gene:Manes.11G004100.v8.1 transcript:Manes.11G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNPLFDDMRSKPEVIDPPQNEDMMDVGESVNDPAQTALKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCMGIYPYYSKLKHESQCVFRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Manes.02G041000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3360728:3361561:1 gene:Manes.02G041000.v8.1 transcript:Manes.02G041000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRKIIEVEPPSPLRYILGAAIMMIGVVLPVGYMMFRTKRVPSSSSYSKQTNKF >Manes.12G088838.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14268641:14273580:1 gene:Manes.12G088838.v8.1 transcript:Manes.12G088838.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEQAPPGDVKSGEKIFKTKCAQCHTVEKGAGHKQGPNLTGLFGRQSGTTAGYSYSAANKNMAVIWGESTLYDYLLNPKKYIPGTKMVFPGLKKPQDRTDLIAYLKEATA >Manes.12G088838.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14268676:14273414:1 gene:Manes.12G088838.v8.1 transcript:Manes.12G088838.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEQAPPGDVKSGEKIFKTKCAQCHTVEKGAGHKQGPNLTGLFGRQSGTTAGYSYSAANKNMAVIWGESTLYDYLLNPKKYIPGTKMVFPGLKKPQDRTDLIAYLKEATA >Manes.12G088838.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:14270265:14273137:1 gene:Manes.12G088838.v8.1 transcript:Manes.12G088838.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFEQAPPGDVKSGEKIFKTKCAQCHTVEKGAGHKQGPNLTGLFGRQSGTTAGYSYSAANKNMAVIWGESTLYDYLLNPKKYIPGTKMVFPGLKKPQDRTDLIAYLKEATA >Manes.S032416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:796064:796438:1 gene:Manes.S032416.v8.1 transcript:Manes.S032416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.03G125900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25446385:25448590:1 gene:Manes.03G125900.v8.1 transcript:Manes.03G125900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNVLFIAPSICALQEHFDEREIESHEADFSVSSVSLAGRVFHVCDNNLSGPIPKKLGDCSKLLFLNLSKNKLTESIPEELGNLGSLEILDLSQNSLTAGIPPQLGKLQRMEIWNLSHNLLSGSIPTTFNYLSSLTVVNISYNELEGPIPHNKAFQQAPFEAFQNNRHLCGNNTGLEACISVAINKNIRKKDSKPVRVIVIPLVCSLLLLGVLIGGFFVLCKRIRNRKTNSGEGEKNGEDIYAMWGRDEDMKYENIVKATEGFDSKYCIGEGGYGVVYKAVLPTGRVVAVKKLHQAQNGDLTDFKAFKSEICVLMNIRHRNIVKLHGFCSHAKHSFLVYKFIERGSLRNILSNEEQAVELNWFRRLNVVKGIANALSYMHHDCSPSIIHRDISSNNVLLDSEFEAHVSDFGTARILMPDSSHWTSFAGTFGYSAPELAYTMMVNEKCDVYSFGVVTIEILMGRHPGDFISSLSSSFSIPSSSPMDENTPLEDVIDQRLPTPRNKAAEGVVHVARIAHACLSANPQFRPTMKQVSSHLMDKWHPLTKPFSEVKLEEILFRPISHQ >Manes.04G055488.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11344644:11359026:1 gene:Manes.04G055488.v8.1 transcript:Manes.04G055488.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIPLLLMGCGGVGRQLLQHIVSCRSLHANQGVHLRVVGVCDSKSLVVASDVFTRELNDKFLVEVCRVKLNGLSLSKLADFGLAFVDCSASSETTGVLNRVVDLGCCIVLANKKPLTSRLEDYDKLYSYPRRIRHESTVGAGLPVIASLNRILLSGDPVHRIIGSLSGTLGYVMSEVEGGKPLSEVVKTAKSLGFTEPDPRDDLSGMDVARKIESLYPDEMEPDVMSVEEFLATGIKLLDNDVEERVKKASLRGNVLRYVCVIEGSRCEVGIQELPKTSALGRLKGSDNVLEIYSRCYNEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >Manes.04G055488.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11344644:11359026:1 gene:Manes.04G055488.v8.1 transcript:Manes.04G055488.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIPLLLMGCGGVGRQLLQHIVSCRSLHANQGVHLRVVGVCDSKSLVVASDVFTRELNDKFLVEVCRVKLNGLSLSKLADFDECLIYLNSESKRKVIDIAALLGKSTGLAFVDCSASSETTGVLNRVVDLGCCIVLANKKPLTSRLEDYDKLYSYPRRIRHESTVGAGLPVIASLNRILLSGDPVHRIIGSLSGTLGYVMSEVEGGKPLSEVVKTAKSLGFTEPDPRDDLSGMDVARKIESLYPDEMEPDVMSVEEFLATGIKLLDNDVEERVKKASLRGNVLRYVCVIEGSRCEVGIQELPKTSALGRLKGSDNVLEIYSRCYNEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >Manes.04G055488.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11344644:11359026:1 gene:Manes.04G055488.v8.1 transcript:Manes.04G055488.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIPLLLMGCGGVGRQLLQHIVSCRSLHANQGVHLRVVGVCDSKSLVVASDVFTRELNDKFLVEVCRVKLNGLSLSKLADFGLAFVDCSASSETTGVLNRVVDLGCCIVLANKKPLTSRLEDYDKLYSYPRRIRHESTVGAGLPVIASLNRILLSGDPVHRIIGSLSGTLGYVMSEVEGGKPLSEVVKTAKSLGFTEPDPRDDLSGMDVARKALILARLLGRRVNLDDIKIESLYPDEMEPDVMSVEEFLATGIKLLDNDVEERVKKASLRGNVLRYVCVIEGSRCEVGIQELPKTSALGRLKGSDNVLEIYSRCYNEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >Manes.04G055488.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:11344644:11359026:1 gene:Manes.04G055488.v8.1 transcript:Manes.04G055488.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIPLLLMGCGGVGRQLLQHIVSCRSLHANQGVHLRVVGVCDSKSLVVASDVFTRELNDKFLVEVCRVKLNGLSLSKLADFDECLIYLNSESKRKVIDIAALLGKSTGLAFVDCSASSETTGVLNRVVDLGCCIVLANKKPLTSRLEDYDKLYSYPRRIRHESTVGAGLPVIASLNRILLSGDPVHRIIGSLSGTLGYVMSEVEGGKPLSEVVKTAKSLGFTEPDPRDDLSGMDVARKALILARLLGRRVNLDDIKIESLYPDEMEPDVMSVEEFLATGIKLLDNDVEERVKKASLRGNVLRYVCVIEGSRCEVGIQELPKTSALGRLKGSDNVLEIYSRCYNEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >Manes.15G173300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15193764:15196406:1 gene:Manes.15G173300.v8.1 transcript:Manes.15G173300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLKRYVLRLFISLKYITANVVDRNNGRIVATASTVEHSIKNALECGRSCNAKAATVVGEVLAMRLKVEGLEQGQGRGIHVNLNKEIEKKGFKNQTKIWAIVNALKNNGVKVVLDDLEDNTSRTKFP >Manes.15G173300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15193762:15196499:1 gene:Manes.15G173300.v8.1 transcript:Manes.15G173300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLKRYVLRLFISLKYITANVVDRNNGRIVATASTVEHSIKNALECGRSCNAKAATVVGEVLAMRLKVEGLEQGQGRGIHVNLNKEIEKKGFKNQTKIWAIVNALKNNGVKVVLDDLEDNTSRTKFP >Manes.15G173300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15193764:15196258:1 gene:Manes.15G173300.v8.1 transcript:Manes.15G173300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLKRYVLRLFISLKYITANVVDRNNGRIVATASTVEHSIKNALECGRSCNAKAATVVGEVLAMRLKVEGLEQGQGRGIHVNLNKEIEKKGFKNQTKIWAIVNALKNNGVKVVLDDLEDNTSRTKFP >Manes.S049216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1650767:1650934:-1 gene:Manes.S049216.v8.1 transcript:Manes.S049216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.16G079650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28427961:28428927:1 gene:Manes.16G079650.v8.1 transcript:Manes.16G079650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLACLVGCCSCFGYFSSFIGEQTDKHFIPIKSLGLVHSSACLDCGWPTKCDANELSSLILLWPLIDPSILGRCNLVLL >Manes.18G101000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9380020:9386444:-1 gene:Manes.18G101000.v8.1 transcript:Manes.18G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHHPSSSSSTKPAHTVLPYQTSRLRDHYHIGKKLGQGQFGTTYLCINKATSKQYACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHPHVVQIKGTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDTPGDDAKLRATDFGLSVFYKPGQYFSDVVGSPYYVAPEVLLKLYGPEVDVWSAGVILYILLSGVPPFWAETESGIFRQILKGKIDFESEPWPNISENAKDLIRKMLERDPRRRISAHEVLCNPWIVDDRVAPDKPLDSAVLTRLKKFSAMHKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKVGLKRVGSELTECEIKDLMEAADIDNSGTIDYGEFLAATLHLNKMEREENLVAAFAYFDKDGSGYITVDELQQACKDFGLDDVQLDEMVKEIDQDNDGRIDYAEFTTMMRKEDEEIGRSRTMRSNLNFNLADALGVNEPTPSAN >Manes.02G039400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3248941:3253677:1 gene:Manes.02G039400.v8.1 transcript:Manes.02G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFRERKINPWFLRFLLLVVLAVHKTMAYGEIGTQQKPQQDHRQRHRNAYATMMYMGTPRDYEFYVATRVMIRSLARLNVDADLVVIASVDVPLRWVHALEQEDGAKVVRVENVNNPYKNQDNFDRRFKLTLNKLYAWSLVDYDRVVMLDADNLFLRKTDELFQCGQFCAVFINPCIFHTGLFVLQPSTVVFKDMLHQLEIGQDNPDGADQGFIGGYFPDLLDKPMFNPPPNATKLEGTYRLPLGYQMDASYYYLRLRWNVPCGPNSVVTFPGAPWLKPWYWWSYPVLPLGIQWHEQRRQNLGYGAEIPMVLIQTLLYLGIIAVTRLARPNLSKLCYRRTDRNSSVVHAGMKLLAMWSILAAYVLPFFIIPCTIHPVVGWALYLLGSFALCSIAINAFMLPMLHVLTPWLGIFGVLLVMACPWYPDGVIRALAVFGYAFCFAPLAWVSLVKIMTCLQLSLEREVFFPILGESSPPSGFNKLY >Manes.10G049300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5428256:5433821:-1 gene:Manes.10G049300.v8.1 transcript:Manes.10G049300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASEQSFYIESDEEDEEKVLNRDGQGEDYGYQSDSDDSVADNQQQNKTGSYNTSWPQSYRQSIDLYSSVPSPSIILGTPTLSRFSSSFLSSSLTRRHTPESLPSVAKPLISKAAEDEQLPTQRRSSHSLLPSVLSRRSSIKKDEKPTKTSHEFATSRQSSFGQAVLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPGIETYPDIGQAAFGTVGRTAISIILYVELYACCVEHIILESDNLSSLFPNAHLSLGGLELNSHHVFALLTTLAVLPTVWLRDLSVLSYISAGGVIASVVVVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPMALLTCFGICTVMYAGVAVMGYTMFGESTQSQFTLNMPQDLLASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSTHLKSHIYAICIRTALVISTLIVGLLIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKVTRFQAILCVMIIVIGVISSVFGTYSALSKIIENLRS >Manes.10G049300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5428261:5433761:-1 gene:Manes.10G049300.v8.1 transcript:Manes.10G049300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASEQSFYIESDEEDEEKVLNRDGQGEDYGYQSDSDDSVADNQQQNKTGSYNTSWPQSYRQSIDLYSSVPSPSIILGTPTLSRFSSSFLSSSLTRRHTPESLPSVAKPLISKAAEDEQLPTQRRSSHSLLPSVLSRRSSIKKDEKPTKTSHEFATSRQSSFGQAVLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPGIETYPDIGQAAFGTVGRTAISIILYVELYACCVEHIILESDNLSSLFPNAHLSLGGLELNSHHVFALLTTLAVLPTVWLRDLSVLSYISAGGVIASVVVVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPMALLTCFGICTVMYAGVAVMGYTMFGESTQSQFTLNMPQDLLASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSTHLKSHIYAICIRTALVISTLIVGLLIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKVTRFQAILCVMIIVIGVISSVFGTYSALSKIIENLRS >Manes.10G049300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5428255:5433764:-1 gene:Manes.10G049300.v8.1 transcript:Manes.10G049300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASEQSFYIESDEEDEEKVLNRDGQGEDYGYQSDSDDSVADNQQQNKTGSYNTSWPQSYRQSIDLYSSVPSPSIILGTPTLSRFSSSFLSSSLTRRHTPESLPSVAKPLISKAAEDEQLPTQRRSSHSLLPSVLSRRSSIKKDEKPTKTSHEFATSRQSSFGQAVLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPGIETYPDIGQAAFGTVGRTAISIILYVELYACCVEHIILESDNLSSLFPNAHLSLGGLELNSHHVFALLTTLAVLPTVWLRDLSVLSYISAGGVIASVVVVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPMALLTCFGICTVMYAGVAVMGYTMFGESTQSQFTLNMPQDLLASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSTHLKSHIYAICIRTALVISTLIVGLLIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKVTRFQAILCVMIIVIGVISSVFGTYSALSKIIENLRS >Manes.10G049300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5428262:5433821:-1 gene:Manes.10G049300.v8.1 transcript:Manes.10G049300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASEQSFYIESDEEDEEKVLNRDGQGEDYGYQSDSDDSVADNQQQNKTGSYNTSWPQSYRQSIDLYSSVPSPSIILGTPTLSRFSSSFLSSSLTRRHTPESLPSVAKPLISKAAEDEQLPTQRRSSHSLLPSVLSRRSSIKKDEKPTKTSHEFATSRQSSFGQAVLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPGIETYPDIGQAAFGTVGRTAISIILYVELYACCVEHIILESDNLSSLFPNAHLSLGGLELNSHHVFALLTTLAVLPTVWLRDLSVLSYISAGGVIASVVVVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPMALLTCFGICTVMYAGVAVMGYTMFGESTQSQFTLNMPQDLLASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSTHLKSHIYAICIRTALVISTLIVGLLIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKVTRFQAILCVMIIVIGVISSVFGTYSALSKIIENLRS >Manes.10G049300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5428446:5433760:-1 gene:Manes.10G049300.v8.1 transcript:Manes.10G049300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSASEQSFYIESDEEDEEKVLNRDGQGEDYGYQSDSDDSVADNQQQNKTGSYNTSWPQSYRQSIDLYSSVPSPSIILGTPTLSRFSSSFLSSSLTRRHTPESLPSVAKPLISKAAEDEQLPTQRRSSHSLLPSVLSRRSSIKKDEKPTKTSHEFATSRQSSFGQAVLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRYCLDSEPGIETYPDIGQAAFGTVGRTAISIILYVELYACCVEHIILESDNLSSLFPNAHLSLGGLELNSHHVFALLTTLAVLPTVWLRDLSVLSYISAGGVIASVVVVICLFWVGLVDHVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNKFPMALLTCFGICTVMYAGVAVMGYTMFGESTQSQFTLNMPQDLLASKIAVWTTVVNPFTKYALTMSPVAMSLEELIPSTHLKSHIYAICIRTALVISTLIVGLLIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKVTRFQAILCVMIIVIGVISSVFGTYSALSKIIENLRS >Manes.12G003000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:482101:514527:1 gene:Manes.12G003000.v8.1 transcript:Manes.12G003000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVYMDIDDTQQLAADPLPFARSYQLEALEKALEQNTVLFLETGSGKTLVAIMLLRSYAYLIRKPSPFIAVFLVPHVVLVQQQAEAVEMHTDLVVGKYWGEMGVDFWDAATWKEHLEKHEVLVMTPQILLNGLKHCFFKLDLIKVLIFDECHHARGNHPYACILTEFYHRQLSSGNFNLPRIFGMTASPIKSKGANSEQAYWQKICELENMMHSKVYTCVSESVLMEFLPFSTPKFKCYNHMDIPYDLYVSLAEKLKILKAKCECKLKQMDLTDSMVESTIKNLTKVHSTLIYCLDELGVWLAFKAAQSISCRGSNFPSWDKLDVFGENIVKEFSLVASQEFDNCTKTAIEHLGPKWSIGDNAKVDADAGLLTPKIFCLIDSLLEYRDLKDIRCIVFVERVITAIVLNSLLNELLPRYNGWKSEYIAGHNLGLQTQTRKTQNEIVEEFRKGMVNIVVATSILEEGLDVPNCNLVVRFDPSATVSSFIQSRGRARMRNSDYLLMVNSGDHSTCSRLQNYLSSGHIMRKEAIRHASVPCSPLKFELHDDEFYCIESTGAVVTLTSSVSLIYFYCSRLPSDGYFKPAPRCVIVKEREICTLHLPKSCHIQNISVQGNVKTLKQKACLEACKQLHKIGALTDYLVPDIVMEEAVVQEIGKVPYDDEHPLYFPPELVSQGSKESKGTYYCYLIELNENFDSDIPVCNIILVMGSELESDISTLDFDLEVDRGLLTMKLKYIGELDLTPELVLICRKFLIALAKVLMDHNVDELEDILNGLQLRKDHEIDYFLLPSMGSCQKPAIDWNSVVSVLFSYENAWEDHVKCPLKESARIMWTKNGQLCKCMLENSLVSTPHNGEVYCIRAVFDHLDGRSLLKLKNGDYITYKEYYKNRHNIDLIFDQELLLSGRHMFSLQNHLHRCRQHKGKDSQNAFVELPPELCCIKMSPISISTFYSFTFVPSIMHRLESLLIAVNLKKMLSDHCMQNVYIPTMKVLEAITTRKCQEKFHLESLETLGDSFLKFAASQQLFKTYQSDHEGLLSIKKDKLISNATLCKLGCDCKLPGFIRNESFDPKNWMIPGDASGCYSLSQELLSNIRSIYITGRRKLKRKIIADVVEALIGAYLSAGGEIAALLFLNWLGIKVDFFNTPYEPQFKVNPEKYINIQGLESLLNYSFKNHYLLLEALTHGSYMLPEIPRCYQRLEFLGDSVLDYLITVHLYEKYPGLSPGLLTDMRSASVNNDCYAISAVREGLHKHILHLSQKLHKDIVVTVKNLENYSSESTFGWESDVSFPKVLGDVIESLAGAIFVDSGYNKEVVFQSIRPLLEPLVTPDTLKLHPVRELNELCQVQHFEKRKAVSSRINGTSSVTVEVEAHGLTFEHTSSAADEKTAKKLASKEVLKALKDRFNI >Manes.08G100600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33464630:33467934:-1 gene:Manes.08G100600.v8.1 transcript:Manes.08G100600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISKLASASPAASLSPPSSFVSRSSAPLCFVDCHTKNSFIARAASSKLSVRSSQPMIRSLVIPCFSVRCAQSTGNGSPIKRTTLHDLYEREGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTKGTVEAAKWLHKVVDRPNVYIKIPATAPCIPSIKEVISNGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLVDKMLEKIGTPEALDLRGKAAVAQAALAYQLYQKKFSGPRWEALVKKGAKKQRVLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFVDHGSVGRTIDSNVSEAEGIYSALEKLGIDWSYVGNQLEVEGVDSFKKSFDSLLDTLQEKANSLKLVSL >Manes.08G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33463850:33467946:-1 gene:Manes.08G100600.v8.1 transcript:Manes.08G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISKLASASPAASLSPPSSFVSRSSAPLCFVDCHTKNSFIARAASSKLSVRSSQPMIRSLVVRCAQSTGNGSPIKRTTLHDLYEREGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTKGTVEAAKWLHKVVDRPNVYIKIPATAPCIPSIKEVISNGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLVDKMLEKIGTPEALDLRGKAAVAQAALAYQLYQKKFSGPRWEALVKKGAKKQRVLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFVDHGSVGRTIDSNVSEAEGIYSALEKLGIDWSYVGNQLEVEGVDSFKKSFDSLLDTLQEKANSLKLVSL >Manes.15G168900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14535375:14536062:-1 gene:Manes.15G168900.v8.1 transcript:Manes.15G168900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAKAKISNIASAAREHMTICRAKVEEKVEKATARTHEEKEMAKERRKAKEAQAKMELHQAKAKHAAEKSTAKRTHHHVPGVGADHHLHQPRPTVGGYPNQPVGATVPAYPVGGHPPVYN >Manes.S028452.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2355793:2356605:-1 gene:Manes.S028452.v8.1 transcript:Manes.S028452.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G013900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1709120:1713051:-1 gene:Manes.07G013900.v8.1 transcript:Manes.07G013900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRSRSYPTRLLILAFSFFFLSSTLNLTFASIHIYNKEPLNEVGNAYLLSGGSEGIVASDSSVPNGRSYIRFRDITFWRTKDAADEHSEMEHSTGLVQIVIFEAADRNNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRIPSATENNWPIVLNVQFGGNYLSANIRDEYVNITKTGMYNLFFITCDPKLKGLIMSGKTVWKNPDGYLPGRMAPLMKFYVLMSLAYLLLSVIWFTQYMRFWKDILQLQHCITAVIALGLFEMILWYSEYANFNSTGIRPVAITTWVVTVGAIRKTLARLLILSISMGYGVVRTTLGGLTSKVLLLGFTYFLASELLDITEYVGSINDISGRARLFLVLPDAFLDAFLILWIFTSLSRTLEQLQAKRSSVKLDIYRKFSNALAVAVIASVAWIAYEVYFKATDPFNERWQSAWIITAFWDILAFALLCVICYLWAPSQSSQRYAYSEEVGEESDDEEAHSLTRGKSDGDIGLVEKKVQNGGTTDVYDQEDETEEDKRE >Manes.13G112100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:31731383:31732083:1 gene:Manes.13G112100.v8.1 transcript:Manes.13G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKNRKAHFTAPSSVRRILMSAPLSTDLRQKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFTAEDIMQTVD >Manes.S010464.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:75392:82118:-1 gene:Manes.S010464.v8.1 transcript:Manes.S010464.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTAPACFLLELAQFWTICLSPVGLLCAPICLVHSGLVLSQLAYRFGPGNPCLPLPSWPANCLLVHSLPSSSVGQPDACWCPVLLLGQPTACWCTVCPVPPLASQMPAGAQFCSVGQPNVCWCTNCPDCGATSLSTHQSGPLDLYCPEISLFPRSLHMEGTYLLRRKFWIFGRKLGRCYKQRRRHDPAS >Manes.16G061400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24117992:24142543:-1 gene:Manes.16G061400.v8.1 transcript:Manes.16G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSARGIPIQEPYIRSRENPYFDGLLRDYNASRNQSSALDFQGNDESRNISRTTSDISDARSKSLLQDISEKSMESPEDSLSDIVLYPIEQSTFGRLSKIAKATSFGFPNIQADLSSESGGTLESMKESSPKASEATEECPSEATEECHTLSTLARPIIEKSYSLNPRKQNLSSIPLPQSAASFYSGFSPLVEVVESCESINRLNLYLKCRRDDVSSGVPGRFLHAVIGQDVSDLGSIAATIMYAFYLNETLESDQLCTVPVINMKREDLSSHAEMKWLLDSCQIDELSLIFVDEIDLSYYDLFGSLKLVLLNGHKLPAKQEALKDAVVEIFNCRKGESMYPGVENATVGELIAHIQDSSCCTLIAEKFALTSPEILVAQGFSRLLLAGILLDTGNLTGPHCTTKDKYMATLLVNGAGRFGSNGLYQLLRYKMYDTSDLTVVDILRKDFKKWTRVGKPDNVGSRSVMSYIGISSIGISIEQLLAREASSTGEIKYFQQLEKLRLLMIVSGYYDSQKNFKREILVTAESVELTKNLLTFLNSNASRLPLKVLHNPGLKEEMKLFEIENITSRKTIERLLEEFGGASKG >Manes.03G110832.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23343063:23344349:1 gene:Manes.03G110832.v8.1 transcript:Manes.03G110832.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIEVRCVLGTCESESESHFAFGCLSKDLPVEALTVSDNFGRSIQLFSSVNFLQILIIIIFFKSKNDFDVCFSVYKSRITLDIKLINVRFSSNLVT >Manes.08G061350.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7855724:7857730:1 gene:Manes.08G061350.v8.1 transcript:Manes.08G061350.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQYRNQRGNVNQLLARQTAAMEDDYRTRLSTVVSVARILLEEGLPFRGHDESAESLHQGNFLEHISWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIECCAMETRKIILNELGEKKFALLVDEARDCSVKEQMSLVLRFVNDKGMVLERFLGLVHVNETSAKVLKNIIDTFFAKHDLSLAKLRGQGYDGAANMSGEFNGLKTLILKENKNAHYIHCFAHQLQLVVVTASHESESVGDFFETLSMIVNTIGASCKRNDTLREIHNEEVLNQVEMGEISTGRGQNQEISLARPGDTRWGSHYTTIVRLFDMWNSVERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFMMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFCEGHSIDVPNMENFVHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTKLLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQLQNYICDMRSNEIFSNISNLGDLAKKMVEINYHTYFPLVYRLIELALILSVGTASVERTFSAMNVVKTDLRNRLGDDLLSDCLVCYFEKEIFRNIDDEVIMQSFQNLASRRNQLRPLKIRRPNPC >Manes.16G024700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2636558:2639641:1 gene:Manes.16G024700.v8.1 transcript:Manes.16G024700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQESGNGVGDKHKYRRMDFDAAEDVFLLSSNAQCQEDVFKRRRDVQKFVFACAVFASLNSVLMGYDMGVMSGAILFIEQDLQISEVQQEVLVGILAIISLLGSLVGGKTSDAIGRKWTIALAAIVFQTGAAIMTFAPSFEILMIGRLLAGIGIGFGVMIAPVYIAEISPTVARGYLTSFPEIFINFGILLGYVSNYAFSGLPVHINWRVMLGVGILPSVFMGFALFVIPESPRWLVMQNRVEEARKVLSKTNENESEVEQRLAEIRMAAGFANAEKYETKAVWHEILYPSPAVRQMLITGCGIQFFQQVTGIDATVYYSPTIFMDAGIKDNTQILAATVAVGFTKTIFILVATFLIDRLGRRPLLFVSTVGMTISLLVLSLSLSFTGDGKLGIGLAILSVCANVAFFSIGLGPICWVVSSEIFPLRLRAQASALGAVGSRVSSGVVSMSFLSVSRVITVGGTFFIFSVISAISALFVHTCIPETKGKSLEQIEMMFQNEGEWQRGEVELGNLERLVQK >Manes.03G183500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30474395:30476027:1 gene:Manes.03G183500.v8.1 transcript:Manes.03G183500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLQFILLSLLIFFSVSNAQSSSSNSKPKTLVLPIYKDASTLQYLTRLNLGTPSLQTNLVVDIGGKHLWIDCSTGYQSSTYRPGHCGSASCSVAKADCVSLCPRPASPGCNNNTCRVLAKNSIFGGYLISEVAIDTISLRATDGSKAGSQVSIPNFIFACAESFDLINLASGAKGMLGLAKERVSMPTQLSSAFGGSFRRKFAICLPSNSKANGVLFFGDSPYLFHPRYNTSKAIDVSSEFRYTKLHVNYETTASPRLQGAQLPEYFVKITSILVNQKPIPINSTLLEFHKTGVGGSRITTVQPYTTLETSIYKSLAKAFDEQMLVTTGNRTVRKVGAVEPFKDCYLKQDLGMSLLGIRVPDINLVFENLDVNWAIFGANSMVEVSNDVVCLGFVDRGPDTISTSTSIDIGAHQLQDNLLQFDLAASRLAFTSTLHLQDVECSNFKF >Manes.05G169201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28303657:28304371:1 gene:Manes.05G169201.v8.1 transcript:Manes.05G169201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDDVCIIGESSNTGEGSNLGEGGNTVEDINLDDALGRNTPPLSRDGSTRGRGRSMPLRGKGGRISFTRGRGIRQESVSESGQLGGGANESPQIDSESDVSFNESEVGDDLPEEVVFDNEISTEESDGLSGYASDNDKEIEDSENEFYDPKNRKKKYIDPYHGMYDHPFQHNEGEEILFKEGQVFKDIVTLRNAMRDYAIKGRYDITRFSSAGCPWRFHASVLSD >Manes.07G030550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3357052:3357823:-1 gene:Manes.07G030550.v8.1 transcript:Manes.07G030550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLNNRFSFFYLFISLTVTPPHQFIDYVLTFYQFGFVGFLKSHSVFSKNEKVRVAL >Manes.02G182500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14591290:14593195:1 gene:Manes.02G182500.v8.1 transcript:Manes.02G182500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFSLLLISCILVASCFAAIEAQKRPPIVNGLSWNFYKTSCPKVESIIKNELKKVFKKDIGQAAGVLRIQFHDCFVQTGGPDYDVPLGRRDGVVFAQVNQTFIDLVGPDANTTTILTKLARKNLDATDAVALSGAHTIGIGHCTSFTDRLYPTQDPTLDKTFANNLKRTCPKVDTTNTTFLDLRSPNKFDNKYFVDLMNRQGLFTSDQDLYEDRRTRGIVTSFAVNESLFFEKFVLSMIKMGQLNVLTGKQGEIRANCSARNSNKEYLASVAEEENMGSSAEMR >Manes.02G182500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14591290:14593195:1 gene:Manes.02G182500.v8.1 transcript:Manes.02G182500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFSLLLISCILVASCFAAIEAQKRPPIVNGLSWNFYKTSCPKVESIIKNELKKVFKKDIGQAAGVLRIQFHDCFVQGCDGSVLLDGSAGGPSEKSELPNLTLRKEAFKIINDLRDAVHKQCGRVVSCSDIVAIAARDSVVLTGGPDYDVPLGRRDGVVFAQVNQTFIDLVGPDANTTTILTKLARKNLDATDAVALSGAHTIGIGHCTSFTDRLYPTQDPTLDKTFANNLKRTCPKVDTTNTTFLDLRSPNKFDNKYFVDLMNRQGLFTSDQDLYEDRRTRGIVTSFAVNESLFFEKFVLSMIKMGQLNVLTGKQGEIRANCSARNSNKEYLASVAEEENMGSSAEMR >Manes.09G032100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6534634:6538129:-1 gene:Manes.09G032100.v8.1 transcript:Manes.09G032100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSELRYLEDDDTPTMKTIKGATMGLVAGTIWGTVVATWYDVPRVEKHVALPGLIRTVKMMGNYGMTFAAIGGVYIGVEQMMQHYRMKRDFVNGAVGGFVAGASILGFKGRSISTAVSAGTALAVTSALIDAGGQTTRTDIGKEYYPYTTKKRSTVES >Manes.17G056350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25468794:25469840:1 gene:Manes.17G056350.v8.1 transcript:Manes.17G056350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDQCEHSLWQVATHYNTIVSLSSTLHVLSHLQFSKNHQFQLPYLYLHSYSSSLSLPYSLRPPFPGAVSLSSSSQFHGSCSLQAATSSHYCIFIFIFIPSQDSLFLFTHLSQFLNLSSSPSHRV >Manes.05G153000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26427994:26431002:-1 gene:Manes.05G153000.v8.1 transcript:Manes.05G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKRIENSTNRQVTYSKRRNGLFKKANELTVLCDARVSIIMFSTSGKLHEYISPSTTTKQVFDQYQKTLGVDIWSSQYEKMQETLKKLKEANMNLRREIRKRMGECLNDMSFEDLRGLEQDMDTALNVIRERKNRVIANQIVTSTKKLRNVEEVHRNLLHEYEARARDEDPHYGLVDNEGDYGCVIGYQNGGQAPRDMFALRLQPNHPNLHSGAGSDLTTYSLLD >Manes.14G055000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4704467:4707210:1 gene:Manes.14G055000.v8.1 transcript:Manes.14G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLFGGIGPCVSASSTVRSSTERFYRSTGNSLSYVCCKKLSLCSNHLAAFHHPSHLFSYSFSRPSHAKLRKATKTRIFLPHLVASMEVEETYIMVKPDGVQRGLVGELISRFEKKGFKLTGLKLFQCPKELAEEHYKDLKARPFFPKLINYITSGPVVCMAWEGVGVVASARKLIGSTDPLQAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIALWFKEGEICQWTPAQAPWLRE >Manes.02G040100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3297010:3298788:1 gene:Manes.02G040100.v8.1 transcript:Manes.02G040100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSLLKGLKEFPQKQHHPHSPLLNKITVNISINKIPHLHIQSWSAIVPVLAQGGTTKELAFYNASKLLNSATKPDAYSLLHLLRASTDLGFHSYTRQLHAYILKSGFAPNVFVSTALLRFYSVTESISDADKAFDEIPQPNAVSWNTLISAYVHSGQFRKALFLFLQLERSGTCPDAYSFTLALSASAHLNILEIGRSIHCKIVKYGLECAIVVGNCLIDMYGKCASVEEAICVFEEMIDKDIISWNSVIAASARNRRLELACGFFYQMPEPDTISYNEIINGIAQFGSVEDAVEILLNMPKPNSSSWNSIITSLVNKNRAREALSFFTKMHSSEIKMDEYTYSVLLRGVAGLAALKWGMLIHGCATKGGLDTYLVVGSALIDMYSKCGQVKNAESVFQLLPKRNIITWNAMISGYAHNGDSYKVIQHFEEMKTVEDLKPDGITFLNVIAACSNTEVPLQKAIWYFESMLNDYGIEPTVEHCCSMIRLMGQRGKLWKAKRMIYELAFGSSGTVWRALLGACGACRDLNVANIAAAKVIELEGDDGYVYVMMSNIFASYGKWRDVSRVRKIMRERGVRKEVGSSWIEVEGAAP >Manes.12G148300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35471876:35476527:-1 gene:Manes.12G148300.v8.1 transcript:Manes.12G148300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGHEGAILAARFNGDGNYCLSCGKDRTIHLWNPHRGIHIKTYKSHGREVRDVHVTLDNSKLISCGGDRQIFYWDVATGRVIRKFRGHDSESLRAWDCRSHSTEPIQIIDTFQDSVMSVCLTKTEMIAGIVDGTVRTFDIRIGREILNALGKPVNCISMSNDGNCILASCLDSTLRLLDRSTGERLQEYKGHTCKSYKLDCCLTNTDAHVTGGSEDGYIYFWDLVDATVVSSFCAHACSFANEGAGYPLFTLNVIQLLTLSLHQYNSALCKNTCYDSVRFFSISTAIGLLPLLHTLLYLNHSILNPFPAWRKVTSVSYHPRDNCMITASVDSTIRVWKS >Manes.02G130600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9914730:9918200:1 gene:Manes.02G130600.v8.1 transcript:Manes.02G130600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGNQPLALEKYDCLLFDVDDTLYPLSSGLSKQVTKNIEEYMIQKLGIEESKVPQLCISLYKHYGTTMAGLRAIGYKLDYDDFHSFVHGRLPYEILEPDHVLSHLLHSVPLRKVIFTNADKAHAAKVLSKLGLEDCFEEVLCFETLNTTSKGYDSVEEDDNAVFDINEYCSAPNAGIALPKSPVLCKPFEESFDQVFKIASINPQRTLFFDDSIRNIQTGKRVGLNTVWVGSSERTEGVDYAVESIHNIKEALAELWEDSEGVKCSGKAAIET >Manes.02G130600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9914730:9918200:1 gene:Manes.02G130600.v8.1 transcript:Manes.02G130600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGNQPLALEKYDCLLFDVDDTLYPLSSGLSKQVTKNIEEYMIQKLGIEESKVPQLCISLYKHYGTTMAGLRAIGYKLDYDDFHSFVHGRLPYEILEPDHVLSHLLHSVPLRKVIFTNADKAHAAKVLSKLGLEDCFEEVLCFETLNTTSKGYDSVEEDDNAVFDINEYCSAPNAGIALPKSPVLCKPFEESFDQVFKIASINPQRTLFFDDSIRNIQTGKRVGLNTVWVGSSERTEGVDYAVESIHNIKEALAELWEDSEGVKCSGKAAIET >Manes.02G130600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9915442:9918200:1 gene:Manes.02G130600.v8.1 transcript:Manes.02G130600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGNQPLALEKYDCLLFDVDDTLYPLSSGLSKQVTKNIEEYMIQKLGIEESKVPQLCISLYKHYGTTMAGLRAIGYKLDYDDFHSFVHGRLPYEILEPDHVLSHLLHSVPLRKVIFTNADKAHAAKVLSKLGLEDCFEEVLCFETLNTTSKGYDSVEEDDNAVFDINEYCSAPNAGIALPKSPVLCKPFEESFDQVFKIASINPQRTLFFDDSIRNIQTGKRVGLNTVWVGSSERTEGVDYAVESIHNIKEALAELWEDSEGVKCSGKAAIET >Manes.02G130600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9914730:9918200:1 gene:Manes.02G130600.v8.1 transcript:Manes.02G130600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGNQPLALEKYDCLLFDVDDTLYPLSSGLSKQVTKNIEEYMIQKLGIEESKVPQLCISLYKHYGTTMAGLRAIGYKLDYDDFHSFVHGRLPYEILEPDHVLSHLLHSVPLRKVIFTNADKAHAAKVLSKLGLEDCFEEVLCFETLNTTSKGYDSVEEDDNAVFDINEYCSAPNAGIALPKSPVLCKPFEESFDQVFKIASINPQRTLFFDDSIRNIQTGKRVGLNTVWVGSSERTEGVDYAVESIHNIKEALAELWEDSEGVKCSGKAAIET >Manes.02G130600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9914730:9918200:1 gene:Manes.02G130600.v8.1 transcript:Manes.02G130600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGNQPLALEKYDCLLFDVDDTLYPLSSGLSKQVTKNIEEYMIQKLGIEESKVPQLCISLYKHYGTTMAGLRAIGYKLDYDDFHSFVHGRLPYEILEPDHVLSHLLHSVPLRKVIFTNADKAHAAKVLSKLGLEDCFEEVLCFETLNTTSKGYDSVEEDDNAVFDINEYCSAPNAGIALPKSPVLCKPFEESFDQVFKIASINPQRTLFFDDSIRNIQTGKRVGLNTVWVGSSERTEGVDYAVESIHNIKEALAELWEDSEGVKCSGKAAIET >Manes.07G042320.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4846385:4885561:-1 gene:Manes.07G042320.v8.1 transcript:Manes.07G042320.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQNKNKGVAADCSTSSDDVERYFNSLPVGYRFAPSDDELIRYYLLRKINNEHLPPNRIHVVDLYNYSPQQLAETYKLNRERESQWYFFTSREKKYPNGSRPKRNAGELGYWKATGTDKAILDGKKPLGFRKSLDYYEGRQRDGTKTNWKMHEYLLHQSLVPSGATARGKNPLQPNQETKRRKSKPSESPISWDSHYAKP >Manes.03G125700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25411362:25412711:1 gene:Manes.03G125700.v8.1 transcript:Manes.03G125700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHLLLIFALFTAIVLKGSHASVPAELYWQSMLPNTPFPKALKDFLHPADIGKKNTFSFPEDYMSYCAEIYGKEVNYGVGYWPDNRKPISNATTVYFLYQDLLPGKNMRIIFLKSTNGSNFLPRKIAESIPFSSNKFPEILNYFSIKSTSKEAQIMKQTIEECETPSMRGEDKYCATSLESLVDFVAAKFGQNVQVFSNEVEEENKKQEYTILQGIKMMGENNIICHKERYAYAVLYCHRIKDTKVYKVPLMAADGSKAEAAVVCHMDTSAWHPHHSAFQILNVKPGGPAICHILNSDATVWISTS >Manes.02G216130.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:32346232:32352590:-1 gene:Manes.02G216130.v8.1 transcript:Manes.02G216130.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLTPFLGMTLVHCSMLTLQNLSNLWNQPKLNSETLVICSTFLLTIIILYFMRKPRKVYLVDFACYKPEPSYKCSKEHCLKIAESMRVFTKESLEFAKKILERSGIGQDAYGSNGILQNPQDFSMAEARRESEMVIFGAIDELLAKTGVKLVDIGILVVNCSLFNPQPSLSAMIINRYKLRANILSFNLAGMGCSAGLISIQLAKDLLQVHGDSYALVVTTENVTSSWYAGNERSMLVTNSLFRVGGAAILLSNLTSDRRHSKYQLMYSIRTHNGADDKSYNSIMQQEDENNILGVSLSKELIRVAGDTLKANITALGPLVLPLSEQLIFLANLIMKRIFKMKIKSYIPDFKLAIEHFCIHPGGRAVLDEVEKSLGLSEWHMEPSRMTLFRFANTSSSSLWYELAYTEAKGRIKKGDKVLQLGFGAGFKCNSVVWHAIRSINPANEKNPWIDEINDFPVFVPKVTPVIY >Manes.05G028700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2449688:2451179:-1 gene:Manes.05G028700.v8.1 transcript:Manes.05G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LEA14 MLQLLDKAKNFVVEKVVNLKKPAATVNDVDMSSVHRDYVEYFAKISISNPYSHSLPICEVSYTLKSDGRVIASGNMTDPGSLKANGVTMLNVTLKVPHSILMSLARDIGTDWDIDYELEVDLTIDLPIIGNFTIPLSNKGEIKLPTWPF >Manes.12G092900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18260809:18264976:1 gene:Manes.12G092900.v8.1 transcript:Manes.12G092900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNAKSKCASSSHHLFVDNAKNRLNDLQERFSNLQAAIKDGRVSDVAILEEQVYQSLREWKADLDAPSPASSVLGGSLGTFSDDIGRLLKLCEEEDDATSALAEQSVLKPEPTDQNLNIGHFTAFEDGCLPNSDSQIHNFQGFDQSNSSALALQDMVVSTSDMNTFLDCHHFILDEGFNHGLSFGYTDGKELGKSAEMNNLPINPPPAAFMGPQCALWDCTRPAQGSEWFADYCSNFHATLALNEGPPGMTPVLRPWGISLKDNSLFNALTAKKQGKNVGIPQCEGAASMKSPWNAAELFDLRLLDGETIREWLFFDKPRRAFESGSRKQRSLPDYRGRGWHESRKQVMKEFGGQKRSYYMDPQPPGCYEWHLYEYEVNNYDECALYRLEVKLVDEKKTPRGKVMKDSLADLQKKMGKLTADTSDSSPSTKGRTKVDGKTKAEDVDSSEDHKTTGAGSVGCDSIQWSSK >Manes.12G092900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18260809:18264976:1 gene:Manes.12G092900.v8.1 transcript:Manes.12G092900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNAKSKCASSSHHLFVDNAKNRLNDLQERFSNLQAAIKDGRVSDVAILEEQVYQSLREWKADLDAPSPASSVLGGSLGTFSDDIGRLLKLCEEEDDATSALAEQSVLKPEPTDQNLNIGHFTAFEDGCLPNSDSQIHNFQGFDQSNSSALALQDMVVSTSDMNTFLDCHHFILDEGFNHGLSFGYTDGKELGKSAEMNNLPINPPPAAFMGPQCALWDCTRPAQGSEWFADYCSNFHATLALNEGPPGMTPVLRPWGISLKDNSLFNALTAKKQGKNVGIPQCEGAASMKSPWNAAELFDLRLLDGETIREWLFFDKPRRAFESGSRKQRSLPDYRGRGWHESRKQVMKEFGGQKRSYYMDPQPPGCYEWHLYEYEVNNYDECALYRLEVKLVDEKKTPRGKVMKDSLADLQKKMGKLTADTSDSSPSTKGRTKVDGKTKAEDVDSSEDHKTTGAGSVGCDSIQWSSK >Manes.12G092900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18260809:18264976:1 gene:Manes.12G092900.v8.1 transcript:Manes.12G092900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNAKSKCASSSHHLFVDNAKNRLNDLQERFSNLQAAIKDGRVSDVAILEEQVYQSLREWKADLDAPSPASSVLGGSLGTFSDDIGRLLKLCEEEDDATSALAEQSVLKPEPTDQNLNIGHFTAFEDGCLPNSDSQIHNFQGFDQSNSSALALQDMVVSTSDMNTFLDCHHFILDEGFNHGLSFGYTDGKELGKSAEMNNLPINPPPAAFMGPQCALWDCTRPAQGSEWFADYCSNFHATLALNEGPPGMTPVLRPWGISLKDNSLFNALTAKKQGKNVGIPQCEGAASMKSPWNAAELFDLRLLDGETIREWLFFDKPRRAFESGSRKQRSLPDYRGRGWHESRKQVMKEFGGQKRSYYMDPQPPGCYEWHLYEYEVNNYDECALYRLEVKLVDEKKTPRGKVMKDSLADLQKKMGKLTADTSDSSPSTKGRTKVDGKTKAEDVDSSEDHKTTGAGSVGCDSIQWSSK >Manes.14G092000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7601015:7603735:1 gene:Manes.14G092000.v8.1 transcript:Manes.14G092000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVEDFVGNGVLKELVQKLLEDGWDDVPTLKVMSSEDMAAINMTQRQKDAMEIRSYLHDRALMQYGDKLEASGKCLPELLSLSSGDLSAQFGMKRGHIARFTDRTSACAEPLPKPYNQPARKMTGTESGNNSVSKSFGSVNSKMKQSTSKLSARSSMDYEKSLEQSLADFKIKDGYVFKGIVASGPAEPRACGCVQPPAVVDEVAPYAFIENISVEKLAPEYKIGMERLVKTKAPPMKAVELWRDKPAVLLCIRRPGCIMCRAEAHQLYAKKPMFDALGIQLIAVLHEYIESEVKDFWPRYWGGVVLYDRERQFFKALGGGELLKDKFISGFILNPRAIANYKRAKAMGIKQNFKGEGEIKGGLFIIGRGKSGIAYQFIERNFGDWAPVAEVIDICSKLQNQQQSQEKSIKI >Manes.14G092000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7601014:7603735:1 gene:Manes.14G092000.v8.1 transcript:Manes.14G092000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVEDFVGNGVLKELVQKLLEDGWDDVPTLKVMSSEDMAAINMTQRQKDAMEIRSYLHDRALMQYGDKLEASGKCLPELLSLSSGDLSAQFGMKRGHIARFTDRTSACAEPLPKPYNQPARKMTGTESGNNSVSKSFGSVNSKMKQSTSKLSARSSMDYEKSLEQSLADFKIKDGYVFKGIVASGPAEPRACGCVQPPAVVDEVAPYAFIENISVEKLAPEYKIGMERLVKTKAPPMKAVELWRDKPAVLLCIRRPGCIMCRAEAHQLYAKKPMFDALGIQLIAVLHEYIESEVKDFWPRYWGGVVLYDRERQFFKALGGGELLKDKFISGFILNPRAIANYKRAKAMGIKQNFKGEGEIKGGLFIIGRGKSGIAYQFIERNFGDWAPVAEVIDICSKLQVILVSFP >Manes.14G092000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7601038:7603727:1 gene:Manes.14G092000.v8.1 transcript:Manes.14G092000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVEDFVGNGVLKELVQKLLEDGWDDVPTLKVMSSEDMAAINMTQRQKDAMEIRSYLHDRALMQYGDKLEASGKCLPELLSLSSGDLSAQFGMKRGHIARFTDRTSACAEPLPKPYNQPARKMTGTESGNNSVSKSFGSVNSKMKQSTSKLSARSSMDYEKSLEQSLADFKIKDGYVFKGIVASGPAEPRACGCVQPPAVVDEVAPYAFIENISVEKLAPEYKIGMERLVKTKAPPMKAVELWRDKPAVLLCIRRPGCIMCRAEAHQLYAKKPMFDALGIQLIAVLHEYIESEVKDFWPRYWGGVVLYDRERQFFKALGGGELLKDKFISGFILNPRAIANYKRAKAMGIKQNFKGEGEIKGGLFIIGRGKSGIAYQFIERNFGDWAPVAEVIDICSKLQNQQQSQEKSIKI >Manes.04G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9425532:9436672:-1 gene:Manes.04G054100.v8.1 transcript:Manes.04G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETIPFKNLHSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWLIEPHGHGKTKDIELKGHTDSVDQLCWDPKHTDLIATASGDKTVRLWDARSGKCSQQAELSGENINITYRPDGTHIAVGNRDDELTILDVRKFKPIHKRKFNYEVNEIAWNMTGEMFLLTTGNGTVEVLAYPSLRPLDTVVAHTAGCYCIAIDPKGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNYTGDYIASASEDLFVDISNVHTGRSVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYQNDEGVFRIFGFESA >Manes.09G030900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6348073:6351428:1 gene:Manes.09G030900.v8.1 transcript:Manes.09G030900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSLPIITAFLILLATPFSFSLTRDGLALLALKAAVTTDPTQVLASWSDSDRTPCHWHGITCINHRVTSIILPNRSLTGYLPSELGLLDSLTRLCLSHNNFSKPIPSHLFNATSLSTLDLSHNSLAGSISPQIRSLKALTHLDLSSNFLNGSLPEFLVELKNLTGTLNLSYNAFSGEIPESYGRFPVMVSLDLKHNNLSGKVPQVGSLVNQGPTAFVGNPSLCGFPLQNQCPEAFNLTASGNPENPENPRDNNPDFVADTEGKENAKNGSVAVPLISGVSVVIGAVSITVWLFRRKWVSEEQKGKMGKEKNMGDNIDINEEGQKGKFVVIDEGFDLELEDLLRASAYVVGKSRSGIVYKVVVGGRGSGTVVPTVVAVRRLNEGDATWRFKDFESEVEAIGRIHHPNIVRLRAYYYAHDEKLLISDFIRNGSLYTALHGGPPNTLPPLTWASRLKIAQGTARGLMYIHEYSPRKYVHGNLKSTKILLDDELQPYISSFGLARLVSGTSKFTTSTSKKQYLNQTIVSSTIGSRVSPPSNCYLAPEARVFGTKYSQKSDVYSFGIILMELLTGRLPNAGPENDGKGLESLVRKVFREERPLSEIIDPALLSEVYAKKQVVSVFHIALNCTELDPEVRPRMKTVSESLDRIKMQ >Manes.01G028900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5832689:5838296:1 gene:Manes.01G028900.v8.1 transcript:Manes.01G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMMMRRRGIGLVILVVVAFEIQICLAEINRGSFPKGFVFGTASSAFQYEGAVKEDGRGPSVWDTFSHSFGKIIDFSNADVAVDQYHRFAEDIQLMKDMGMDAYRFSISWSRIYPNGNGAINQAGVDHYNKLINALIAAGIEPYVTIYHWDLPQALEDRYNGWLDPQIIKDYATFAETCFEKFGDRVKQWITFNEPHTFTIQGYDVGLQAPGRCSILLRLLCRAGNSATEPYIVAHNVLLSHGTVADIYKKKYKAKQGGSLGISFDVMWFEPSSNSTEDIEATQRAQDFQLGWFIEPLLFGDYPSSMKTRVGNRLPLFSKSEAALIKGSLDFVGINHYTTYYAKQNSSNIISNLLNDSLADSGATTLPFRGLKPIGDRANSIWLYIVPHGIRSLMNYIKNKYGNIPIFITENGMDDSNTFISIKDALKDEKRIKYHNDYLTNLLASIKEDGCNVKGYFVWSLLDNWEWAAGYTSRFGLFFVDYNDKLKRYPKDSVKWFKNFLTST >Manes.14G171200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28144889:28146556:-1 gene:Manes.14G171200.v8.1 transcript:Manes.14G171200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPGSPPAEKKSSSSSLMNSLLGLLRIRIKRGVNLAVRDVRSSDPYVVVKMGKQKLKTRVIHKDINPEWNEDLTLSVVDPELPVKLTVYDHDTFSKDDRMGDAEFGIQTFIDALRMNLGELPNGTVIAREQPSRQNYLSEETCVCYTDGKVIQDLCLRLRNVECGEVEIQLQWIDLPGAKGI >Manes.11G013501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:1266592:1266999:-1 gene:Manes.11G013501.v8.1 transcript:Manes.11G013501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTDQRPLKRTRGRQKIEIKKVEKENSRYVTFSKRKNGIFKKATELSTLCGADVAVILFSEHGKVFSYGNPNVEKVLDQYLAETEEGDDNSCVLESGSNGGTLTQTLQEQDYEKSLRRLEEMKRTLKMVKKEQ >Manes.07G057100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6500451:6508610:1 gene:Manes.07G057100.v8.1 transcript:Manes.07G057100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADVAKACLDSFNQISENIEGAILYLDSGCTESFQYAGVFSKLLDLGVRAVCSLENLCSLDVVVNWSANSDPATKIVVITSRLLSDAHRYILRCLSTHQYVQHFTVYTSISEIAHSAYPDSPLGPDAFREYESLLLQDYEELIKKSGTKSGLSKDSDFQENTNFEDEGWSHLTSSEEEAPHLGAASGAKIFSGDDEYLEDAGHKLVVSVHHFPMIFCPLSPRVFVLPSEGSVAEACLSMDHEDSISQGLPSISSGVPPYGDDVPPGALLTAHFLYHLAAKMDLKMEIYSLGDLSKTVGKIMTDMSSLYDVGRRKRSAGLLLIDRTLDLLTPCCHGDSLIDRMFSSLPRRERTTSYSHVKGSQTQVKLGPSNVERTPLNVEIPLANILREGASEINNSQLLERIAAFLAGWDDNNSAHQSVNLVNLCNKGQDEKSPLSEIQLLNGSFVSIETFRGTPYMEAIFDRRTKDGSLLVRKWLQETLRRENITINVKTRPGFATKSEMNPMIEALARSQSSLIRNKGIIQLAAAVLVALDESHCARWDAFISAEKILSASAGDTSQSLAAQIGDLIHKSTVLAASGQKSLQALLSFQDALFLMIVGYILAGENFPTSGSGGPFSWEEEHFLKEAVLDAILENATASKLKFLHGLTEELEANHNRKKLQETKETSPDKLDIDDFDDDQWGKWGDEEEEDNKNIKEQQYDDMQLRLELRDRVDSLFKYLHKLSSLKRRNVPLREGTFYLENNLSGDPDSNKGLLYKILRSVLSKNEVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQNVILVFVVGGINGTEVREAWEAISESGRPDLELMVGGTTLLTPDNMFDLLMGQYSYL >Manes.14G082500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6872630:6875485:1 gene:Manes.14G082500.v8.1 transcript:Manes.14G082500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDTKPIEPVQVALTLFEEKSKQRKHLPGSSSGDEMDKEKDFEGLQKDLANYKVQLDAKDAAYLQLLHKMEHYQKTAEEVSAQLKNSEVERDGYLGECRVARLQIDELEARVKEMGDQVLESGKIREQLLHVLGELKAVQGEVLSMESELASATEAKLKALTQAELMETAANMEKERAEELLKRVIDLNEAVFLSKQAALEAEKDECTALSEKEEAAEIEDQAQKQEDDMKTQMGIMQELENQLRAKAVFIDSLQLELNQANERLSSSDKAASDVINDLNQLKVDLKDKEKENFDQAIYIAELETEMNKLKLELKNANDEVTLLNCDVEMLTEELAKVKTEMEEIKERENDEQIEIALLKAELHKVRSKLAAAEAAEARDGNVKSGLYLAVHQLAIEAEVAKKENERLKQEADLAAESADLGLGYETSSQAVEVSQANESVAEAEKRSDENDHNITISLEEYESLINKAKKVNQSANKDSKSENKNELETLKKEFEVATAKVAELRTRTEQAITRAEAAERAKLAVEGQLRKWREQKQRRKAALAALRKESLSGEFASSSYDTTPINYQPLGKFLDMKF >Manes.01G199200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:37309124:37310438:-1 gene:Manes.01G199200.v8.1 transcript:Manes.01G199200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHLHDGGETDRSEQANDDDAGTGRSYECVFCKRGFNTAQALGGHMNIHRKDRAKPRPSSVPSISSRVDDDYYASLRGYPPIQSYPPHYSTAHHHPHNEVLINYQTFFPSASTWGFRSPHGDDLYAHTPQLLNSFEEDWRRNLNLQIAPSHVDDNKGKTEDCSESDELDLELRLGHDP >Manes.07G050000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5835453:5843228:-1 gene:Manes.07G050000.v8.1 transcript:Manes.07G050000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYECCGTDFFLHILIIIFLVMFAGSMSGLTLGLMSMSIVDLEVLAQSGTPKDRKHAAKILPVVRKQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVRILVFICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNFHGNEAGKGGELTHDETTIIAGALELSEKTAGDAMTPISETFAIDINAKLDKDLMNLVLEKGHSRVPVYYEQPTNIIGLILVKNLLTIHPEDETPVKNVTVRKIPRVQENLPLYDILNDFQKGHSHMAVVVKKCSKMEEKPTSNGAGDPLKEVKVDIDGEKPAQEKTLKNRRPLQKWKSFPNTGSNSFKGSRSKKWTKDIDSDILHINGNPLPQIPEEEEAVGIITMEDVIEELLQEEIYDETDHQFEDS >Manes.07G050000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5835453:5843231:-1 gene:Manes.07G050000.v8.1 transcript:Manes.07G050000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYECCGTDFFLHILIIIFLVMFAGSMSGLTLGLMSMSIVDLEVLAQSGTPKDRKHAAKILPVVRKQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGELLDFLLGHGHVALFRRAELKTLVNFHGNEAGKGGELTHDETTIIAGALELSEKTAGDAMTPISETFAIDINAKLDKDLMNLVLEKGHSRVPVYYEQPTNIIGLILVKNLLTIHPEDETPVKNVTVRKIPRVQENLPLYDILNDFQKGHSHMAVVVKKCSKMEEKPTSNGAGDPLKEVKVDIDGEKPAQEKTLKNRRPLQKWKSFPNTGSNSFKGSRSKKWTKDIDSDILHINGNPLPQIPEEEEAVGIITMEDVIEELLQEEIYDETDHQFEDS >Manes.07G050000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5835453:5843228:-1 gene:Manes.07G050000.v8.1 transcript:Manes.07G050000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEYECCGTDFFLHILIIIFLVMFAGSMSGLTLGLMSMSIVDLEVLAQSGTPKDRKHAAKILPVVRKQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEAGKGGELTHDETTIIAGALELSEKTAGDAMTPISETFAIDINAKLDKDLMNLVLEKGHSRVPVYYEQPTNIIGLILVKNLLTIHPEDETPVKNVTVRKIPRVQENLPLYDILNDFQKGHSHMAVVVKKCSKMEEKPTSNGAGDPLKEVKVDIDGEKPAQEKTLKNRRPLQKWKSFPNTGSNSFKGSRSKKWTKDIDSDILHINGNPLPQIPEEEEAVGIITMEDVIEELLQEEIYDETDHQFEDS >Manes.12G066000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:6553822:6554217:1 gene:Manes.12G066000.v8.1 transcript:Manes.12G066000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIKHQNYQTKKSKPSNITKFIDMMFLFAVLLLYSSLLPFIFNLMQFFSSNIGKNYMFLLCNGILVFIVKNSGLVGSSHQDINPINNGENLQKQLETVSAEEQVHEIADKSLVMVEGVDEDDDDEEIGLPN >Manes.18G020500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1998977:2002650:-1 gene:Manes.18G020500.v8.1 transcript:Manes.18G020500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQGPVICPAVRAKQAGFYTLPVNVPLANARLVGNGLWRFKRINGYKTKVGLFSPQLIAHGRYTLQCCLSSSSNGSGSTAENFNENDEDYVNSSIVEAVEVKSGVEGFMIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTLYQVVKEMIEKMGYEVRLVRVTKRVHEAYFAQLYLTKVGNETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLTQSPASDVLFTEQDRPTGQPCLDTKEFNLVRNMITAAIEERYQDAAQWRDKLGQFRAKRNLKKHI >Manes.03G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2956868:2957499:1 gene:Manes.03G035100.v8.1 transcript:Manes.03G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFQLKASQLRLYYALKELILSTMRNYLNGTRGSILHPLRSHRHRSWTFDLPPIPSLSHFEIESGLINSANSRLDDFLCEFYSRFASTWNSHRKHFRRWR >Manes.02G024800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2139523:2144350:-1 gene:Manes.02G024800.v8.1 transcript:Manes.02G024800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQERLDRFKKQQEKCQSTLTSIAAKAGPSKSASFQKTTPVAASPLTNPRTPGPPVKFSNDTERLQHINSIRKGPVGAQIKRVIDLLLEKRLAFTPEQINEACFVDVNSNKAVFDSLRNNPKVYYDGKRFSYKSKHDLKDKSQLIVLIRKFPEGIAVIDLKDAYPTVMEDLQALKAAGQIWLLSNFDSQEDIAYPNDPRAVIKVDDDLKQLFREIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISTKPKIKKKKHEISKRTKLTNAHLPELFRNLGS >Manes.02G024800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2139523:2144374:-1 gene:Manes.02G024800.v8.1 transcript:Manes.02G024800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQERLDRFKKQQEKCQSTLTSIAAKAGPSKSASFQKTTPVAASPLTNPRTPGPPVKFSNDTERLQHINSIRKGPVGAQIKRVIDLLLEKRLAFTPEQINEACFVDVNSNKAVFDSLRNNPKVYYDGKRFSYKSKHDLKDKSQLIVLIRKFPEGIAVIDLKDAYPTVMEDLQALKAAGQIWLLSNFDSQEDIAYPNDPRAVIKVDDDLKQLFREIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISTKPKIKKKKHEISKRTKLTNAHLPELFRNLGS >Manes.02G024800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2139111:2144361:-1 gene:Manes.02G024800.v8.1 transcript:Manes.02G024800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQERLDRFKKQQEKCQSTLTSIAAKAGPSKSASFQKTTPVAASPLTNPRTPGPPVKFSNDTERLQHINSIRKGPVGAQIKRVIDLLLEKRLAFTPEQINEACFVDVNSNKAVFDSLRNNPKVYYDGKRFSYKSKHDLKDKSQLIVLIRKFPEGIAVIDLKDAYPTVMEDLQALKAAGQIWLLSNFDSQEDIAYPNDPRAVIKVDDDLKQLFREIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISTKPKIKKKKHEISKRTKLTNAHLPELFRNLGS >Manes.02G024800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2139278:2144359:-1 gene:Manes.02G024800.v8.1 transcript:Manes.02G024800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQERLDRFKKQQEKCQSTLTSIAAKAGPSKSASFQKTTPVAASPLTNPRTPGPPVKFSNDTERLQHINSIRKGPVGAQIKRVIDLLLEKRLAFTPEQINEACFVDVNSNKAVFDSLRNNPKVYYDGKRFSYKSKHDLKDKSQLIVLIRKFPEGIAVIDLKDAYPTVMEDLQALKAAGQIWLLSNFDSQEDIAYPNDPRAVIKVDDDLKQLFREIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISTKPKIKKKKHEISKRTKLTNAHLPELFRNLGS >Manes.02G024800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2139111:2144372:-1 gene:Manes.02G024800.v8.1 transcript:Manes.02G024800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQERLDRFKKQQEKCQSTLTSIAAKAGPSKSASFQKTTPVAASPLTNPRTPGPPVKFSNDTERLQHINSIRKGPVGAQIKRVIDLLLEKRLAFTPEQINEACFVDVNSNKAVFDSLRNNPKVYYDGKRFSYKSKHDLKDKSQLIVLIRKFPEGIAVIDLKDAYPTVMEDLQALKAAGQIWLLSNFDSQEDIAYPNDPRAVIKVDDDLKQLFREIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISTKPKIKKKKHEISKRTKLTNAHLPELFRNLGS >Manes.16G131600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:33212349:33212651:-1 gene:Manes.16G131600.v8.1 transcript:Manes.16G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTADQLIQYSGTDPSKPIYVAIKGRVFDVTTGKSFYGPGGSYAMFAGKDASRALAKMSKNDEDVSPSLDGLTEKEMGVLDDWEKKFEAKYPIVGRVIS >Manes.10G024800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2514927:2524316:1 gene:Manes.10G024800.v8.1 transcript:Manes.10G024800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:P5CS MNGCVDRSRPFFKDVKRLVIKVGTAVVTRSDGRLALGKLGALCEQIKELNSEGYEVILVSSGAVGIGRQRLRYRKLVNSSFADLQKPQNEIDGKACAAVGQNSLMALYDTLFSQLDVTSAQLLVTDNDFRDKEFRKQLNDTVKSLLALRVIPIFNENDAVSTRRAPYEDSSGIFWDNDSLSALLALELKADLLILLSDVEGLYSGPPSDPRSKLIHTYIKEIHQGEITFGDKSRVGRGGMTAKVKAAVNAAYAGVPVVITSGYAPENIIKVLQGECVGTLFHQDAHSWSPVKHFGAREMAAAARESSRQLQALSSQDRRKILLNIAEALEANEKLISIENEADITAAQLAGLEKSLISRLALKPGKIQSLANSIRVLANMEDPIGHVLKRTELADGLLLEKTSSPLGVLLIIFESRPDALVQISSLAIRSGNGLLLKGGKEAKRSNEILHKVITSAIPETVGGRLIGLVTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVFVDKSANMEMARRIILDAKVDYPAACNAMETLLVHKDLVQTGGLNELTVDLRTEGVNLYGGPRASKELNLPEAHSFHHEYNSMACTIEIVDDVYAAIEHIHQHGSAHTDCIIAEDHDVAEVFLHQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGSGQVVDGDKGVVYTHKDVTYSQANGYTQPSPQKEENGGDVGIH >Manes.01G180300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35933073:35936201:-1 gene:Manes.01G180300.v8.1 transcript:Manes.01G180300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSFFFFIFKSVPFVLCLVPVTSALCHGHESSALLQLKESFIIDEGASYGPAYPKIYTWKQQGDCCSWDGVTCDERSGHVIGLDLSSSCLYGSINSSSTLFHLVHLRALNLANNHFNHSPIPSSLAYLPKLSYLNLSGSSFSGQIPSNISELSKLSSLDLSLNDELTLKTPNFRSLVQNLTSLEELNLSWVEISSSVPEILANFSSLKSLSVRNCGLNGEFPSGIFRLANLQALLLSVNWDLSGHFPEFNSSSPLRSIQLSHTRFSGELPPSIGNLGYLDTLNIWECYFLGQIPASLGNLTRLDYLDLSDNNFESHNISSLAWIGKETKLTLLGLSEISLNGQPLPAYFANLTQLDSFLLSACQITGPIPSWLMNMTQLTTIELSRNSLRGPIQDSILQLENLEILNLSENNLSGIVDFRMFPELKRLQSLLLSNNQLTLLAKANRNATLQKFRVLGFASCHLSRFPSFLHGQDKLQMLDLSNNNIQGEVPEWIWNTSKDTLEYLDLSNNHLIGFQTHPTVFPWTRLTYLQLSSNMLRGSLPIPPPSTVIYSISNNKLTGEISPYICSLNSVYALDLSYNNLSGMLPPCLGNFSPTLQLLNLAGNNFSGKIPHTHTNECSLRMIMLDSNQLEGQAPRSLSNCANLEFLSFENNRIFSPLG >Manes.08G049400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5046272:5067511:1 gene:Manes.08G049400.v8.1 transcript:Manes.08G049400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAISFNSSSLSLINPNHVPKRTASSLLTKQLFGLSLSSYPNARTSLRCCSRSPFHRPIKCSVSQATETATATEKKTQLMRRGDIRNIAIVAHVDHGKTTLVDAMLKQSKVFRDNQFVQERIMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQAIYASGIKGKAGLSPDDLAEDLGPLFESIIRCIPGPRIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLRKGMDVRVCTSEDSCRYARISELFVYEKFSRVPAETVEAGDICAVCGIDDIQIGETIADKVSGKPLPSIKVEEPTVKMAFAINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKVDEKVLEPYEIATVEVPEEHMGSVVELLGRRRGQMFDMQGVGAEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTIFDGYGPWAGDISTRDQGSLVAFEEGTSTSYALSSSQERGQMFIGPGVEVYKGQIVGIHQRPGDLSLNVCKKKAATNVRSNKEQTVVLDTPLDYSLDDCIEYIQEDEMVEVTPSSIRMCKNPKLAKKTR >Manes.04G065100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25920829:25927371:-1 gene:Manes.04G065100.v8.1 transcript:Manes.04G065100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAKLKTSSSELDLDRPNIEDYLPSGSAIQEPHGKLRLRDLLDISPILTEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCCGVVIRYGILFPTRVLILTIGWIIFLSSYIPVHFLLKGNDKLRKKLERCLVELICSFFVASWTGVVKYHGPRPSMRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESLGCIWFNRSESKDREIVAKKLREHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPIAIKYNKLFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLKPGETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQRFAESVLRRMEEK >Manes.11G164700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32915417:32919719:-1 gene:Manes.11G164700.v8.1 transcript:Manes.11G164700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAHSNRFLRPKESCDHPSSQYQPQQFNITRKKLEMALVPAPPPPSADSPLFAEVDMGADSSAPTVRATVVQASTVFYDTPATLDKAERLLAEAAGYGAQLVVFPEAFVGGYPRGSSFGASIGNRTPKGREEFRKYHAAAIDVPGPEVDRLAAMAGKYKVYLVMGVIERDGYTLYCTILFFDSQGHYLGKHRKLMPTATERIVWGFGDGSTIPVIDTPIGKIGGAICWENRMPLLRTAMYAKGVEIYCAPTADARDTWQATMKHIALEGGCFVLSANQFCRRKDYPPPPEYIFSGIEEDRTPDSVVCAGGSVIISPLGTVLAGPNFEGEALISADLDLGEIACAKFDFDVVGHYSRPEVFSLTVKDHPTNAVTFTSAAAKNESRNK >Manes.09G003000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:923142:926015:-1 gene:Manes.09G003000.v8.1 transcript:Manes.09G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQSSWMILLAVICMIFMQVSFSAEGFSSREDDKIVSLPGQPQISFQQYAGYITVDQKQNRALFYYFVEAETDPASKPLVLWLNGGPGCSSVGAGAFSEHGPFRPSGGGNLVTNEFSWNKEANMLYLESPAGVGFSYSANASFYDYVNDTITEQDNFIFLLQWFAKFPEYKNRDFFITGESYAGHYVPQLAKLIVQSGLNFSLKGIAIGNPLLEFSIDMNSEGVYYWSHSLISDATYELINSVCNTSQLWRERIRGSLSAACRAVSAQLSSEIPFEIDDYDVTADVCVSSGQSRSQSQLHKHPLRPKFQFSLQEGSNQPEASENIDLCVQEKSYEYLNRKDVQEALHAQLVGISSWSFCSQVINYDGQNLEIPTIGVVGSLVSSGIRVLVYSGDQDSVIPFSGTRTLVNGLAKYLKLNSTKTYRPWFEDKQVGGWTQIYGDILTFTTIRGGSHMAPFSSPKRSLALFKAFLAGNPLA >Manes.08G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32296041:32298478:-1 gene:Manes.08G095800.v8.1 transcript:Manes.08G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATMFLRAVSAFPVSTRQGDAAFSPINGLSSSSSSCSSSPPVLTLSPRRGRNSFAVSASAESGVLTGVVFQPFEEVKKEAYMVPIAPQVSIARQFYEDECEAALNEQINVEYNASYVYHAMFAYFDRDNVALKGLAKFFKESSEEEREHAEKLMEYQNIRGGRVKLHSILMPLSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHSVASRNNDPQMADFVESEFLEEQVESIKKISEYVTQLRMVGKGHGVWHFDQKLLHEGDAA >Manes.05G049250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4067379:4068085:-1 gene:Manes.05G049250.v8.1 transcript:Manes.05G049250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELAHHCQKEKSPPAKVRYQREGIITANNKTHGTEARVPNRVAMWANIRILLQLTKLSFYSALGSLVFNCAYQEANKVIDCLANLAVGCITRFHALPISFSVNS >Manes.01G239700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40115049:40118060:-1 gene:Manes.01G239700.v8.1 transcript:Manes.01G239700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPLVPSLPSSIRTTSSASLSLHHSVKFQCSKISCLLRPYSRDFILSSFAAAAPRWPPNKGRSFFSLLAVVDEESVVAEEIDRNESYNDLLGRELKKQPRPCELYVCNLPRSCDISELAEMFKPFGTVISVEVSRNPETGISRGCGYVTMGSINSAKNAIAALDGSEVGGREMRVKFSVDMSSSNRSPEALNSAPIKDVVYESPYKLYIGNISWSVKPEELRNQFSQFGIVVSARVLYDRKAGKNRAYGFLSFSSAADRDAALSLNGREFRGRILVVRKGVERDN >Manes.01G239700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40115049:40118307:-1 gene:Manes.01G239700.v8.1 transcript:Manes.01G239700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPLVPSLPSSIRTTSSASLSLHHSVKFQCSKISCLLRPYSRDFILSSFAAAAPRWPPNKGRSFFSLLAVVDEESVVAEEIDRNESYNDLLGRELKKQPRPCELYVCNLPRSCDISELAEMFKPFGTVISVEEVGGREMRVKFSVDMSSSNRSPEALNSAPIKDVVYESPYKLYIGNISWSVKPEELRNQFSQFGIVVSARVLYDRKAGKNRAYGFLSFSSAADRDAALSLNGREFRGRILVVRKGVERDN >Manes.06G158900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28433111:28471915:-1 gene:Manes.06G158900.v8.1 transcript:Manes.06G158900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVLCFLFLFSVTCRAKKIHRCDKLPSCGNLENISYPFGIEGDPNNCGDPNYLLTCENNNTVLRLHSGIYYVQAIHHDDQTIRVVDAGLQQGNCSSLPLFPSIFDGFSHYLHGLRFDYHAPYQLRSSEKVTFMNCENPVNSPLFVETAPCVDGVYTTKYSSGTRNKNKYSYSYVVFGEMELAEIPDLCRVDLIVAVSSIKCDKNCSYLDIFEQLLHGFELSWHHIYCKECKGNGYCIFNRDYSEVLICSYVPYNVIWFLTAIFLCLSLFLALRCVCGTPCIFIFLIIKWRRRHLSMYDTIEDFLRSHNNLMPIMYSYSDIKKMTKGFKDKLGEGGYGTVYKGKLASGRIVAIKILGKSKANGQEFINEVATIGRIHHKNVVRLIGFCAERSRRALVYEFMCNGSLEKYIFSGKQQVGLTCEKMYEISLGIARGIEYLHRGCDMQILHFDIKPHNILLDENFMAKVSDFGLAKLYSTEDSIVSLTAPRGTMGYMAPELFYKNIGGISYKADVYSFGMLLMEMAGRRKNLNAFAEHSSQIYFPSWVYDQILIAKDIEIEDATDEEKKIIKKMMIVALWSIQMKPGDRPSMGRIVEMLEGEVESLPVPPKPFLTPEELHQLNVEINMNAPTLPNSPKESANSENAAQLKQWQTDL >Manes.06G158900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28468148:28472383:-1 gene:Manes.06G158900.v8.1 transcript:Manes.06G158900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVLCFLFLFSVTCRAKKIHRCDKLPSCGNLENISYPFGIEGDPNNCGDPNYLLTCENNNTVLRLHSGIYYVQAIHHDDQTIRVVDAGLQQGNCSSLPLFPSIFDGFSHYLHGLRFDYHAPYQLRSSEKVTFMNCENPVNSPLFVETAPCVDGVYTTKYSSGTRNKNKYSYSYVVFGEMELAEIPDLCRVDLIVAVSSIKCDKNCSYLDIFEQLLHGFELSWHHIYCKECKGNGYCIFNRDYSEVLICSYVPYNVIWFLTAIFLCLSLFLALRCVCGTPCIFIFLIIKWRRRHLSMYDTIEDFLRSHNNLMPIMYSYSDIKKMTKGFKDKLGEGGYGTVYKGKLASGRIVAIKILGKSKANGQEFINEVATIGRIHHKNVVRLIGFCAERSRRALVYEFMCNGSLEKYIFSGKQQVGLTCEKMYEISLGIARGIEYLHRGCDMQILHFDIKPHNILLDENFMAKVSDFGLAKLYSTEDSIVSLTAPRGTMGYMAPELFYKNIGGISYKADVYSFGMLLMEMAGRRKNLNAFAEHSSQIYFPSWVYDQILIAKDIEIEDATDEEKKIIKKMMIVALWSIQMKPGDRPSMGRIVEMLEGEVESLPVPPKPFLTPEELHQLNVEINMNAPTLPNSPKESANSENAAVNST >Manes.06G158900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28466786:28471915:-1 gene:Manes.06G158900.v8.1 transcript:Manes.06G158900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVLCFLFLFSVTCRAKKIHRCDKLPSCGNLENISYPFGIEGDPNNCGDPNYLLTCENNNTVLRLHSGIYYVQAIHHDDQTIRVVDAGLQQGNCSSLPLFPSIFDGFSHYLHGLRFDYHAPYQLRSSEKVTFMNCENPVNSPLFVETAPCVDGVYTTKYSSGTRNKNKYSYSYVVFGEMELAEIPDLCRVDLIVAVSSIKCDKNCSYLDIFEQLLHGFELSWHHIYCKECKGNGYCIFNRDYSEVLICSYVPYNVIWFLTAIFLCLSLFLALRCVCGTPCIFIFLIIKWRRRHLSMYDTIEDFLRSHNNLMPIMYSYSDIKKMTKGFKDKLGEGGYGTVYKGKLASGRIVAIKILGKSKANGQEFINEVATIGRIHHKNVVRLIGFCAERSRRALVYEFMCNGSLEKYIFSGKQQVGLTCEKMYEISLGIARGIEYLHRGCDMQILHFDIKPHNILLDENFMAKVSDFGLAKLYSTEDSIVSLTAPRGTMGYMAPELFYKNIGGISYKADVYSFGMLLMEMAGRRKNLNAFAEHSSQIYFPSWVYDQILIAKDIEIEDATDEEKKIIKKMMIVALWSIQMKPGDRPSMGRIVEMLEGEVESLPVPPKPFLTPEELHQLNVEINMNAPTLPNSPKESANSENAAVNST >Manes.17G093000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30132548:30134050:-1 gene:Manes.17G093000.v8.1 transcript:Manes.17G093000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLNVSISPAKAAVFAFMVLLFYTACQAQLTSTFYDNACPNALKTIRTSIRNSIAAERRMAASLIRLHFHDCFVQGCDASILLEETPTIESEQTALPNKDSARGFRVIEKAKSEVEKICPGVVSCADIVAVAARDASAYVGGPSYTVMLGRRDSTTASRTLANNELPSFKDGLDRLISSFQRKGLSARDLVALSGAHTLGHAQCFTFRDRIYSNVSIDAGFASTRKRTCPAIGGDANLAPFDLVTPNSFDNNYFKNLIQKKGLLESDQILFSGGSTDSIVREYSRSPAAFNSDFAAAMIKMGNIDILTGTAGEIRKICSAVN >Manes.15G050100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3855540:3858991:1 gene:Manes.15G050100.v8.1 transcript:Manes.15G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKVFTLAQVSEHNNPKDCWLIIGGKVYDLTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSTSAREMMDQYYVGEIDPSTIPKKATYNPSKQPHYNQDKTSEFIIKLLQFLVPLAILGLAFGIRIYTKST >Manes.04G086800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28830120:28837014:1 gene:Manes.04G086800.v8.1 transcript:Manes.04G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCHSSAVKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPHISITPYHANVKDSDFNVDFFKQFSVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKDLLFSKLFGDKNQENDLNVRSSDASNSSEHGEDVFEWRNNEDIEQYGRRIYDHVFGYNIEIALKNQETWKNRNRPKPIYSRDVLPEHLNHQNGNVDKKCATDDPLSVSAMTSLGLKNPQDIWSLTENSTVFLESLKLFFACREKEIGNLSFDKDDQLAVEFVTAAANIRAASFGIPMHSLFEAKGIAGNIVHAVATTNAVVAGLIVIEAIKVLEKDIDNYRMTYCLEHPSKKMLLMPVEPFEPNKSCCVCSESPLALEINTHRSKLRDFVEKIVKAKLGMNSPLIMHGSTLLYEVGDDLEDDMIANYTANLEKVLSELPSSVTGGTMLTVEDLQQEFTCNIYIKHREEFDEEKEPDGMVLSGWTQASLEKKDDNKVVGNGGNTSTSMPTEGEREVELDEISEGTEISGKKRKQPEVSAGETNSSNEVQRLDVVDDDEDELVMLDHQDTSNKKKKLQ >Manes.02G033400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2754036:2776445:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.02G033400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2765981:2776452:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIDLDQGWDFMQKGITKLKRILEGSLEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYINSIVLPSLREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.02G033400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2765808:2776449:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIDLDQGWDFMQKGITKLKRILEGSLEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYINSIVLPSLREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.02G033400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2754036:2776443:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIDLDQGWDFMQKGITKLKRILEGSLEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYINSIVLPSLREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.02G033400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2765808:2776449:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIDLDQGWDFMQKGITKLKRILEGSLEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYINSIVLPSLREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.02G033400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2765981:2776453:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIDLDQGWDFMQKGITKLKRILEGSLEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYINSIVLPSLREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.02G033400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2759207:2776445:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIDLDQGWDFMQKGITKLKRILEGSLEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYINSIVLPSLREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.02G033400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2759207:2776446:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIDLDQGWDFMQKGITKLKRILEGSLEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYINSIVLPSLREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.02G033400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2754036:2776443:-1 gene:Manes.02G033400.v8.1 transcript:Manes.02G033400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRTIDLDQGWDFMQKGITKLKRILEGSLEQFNSEEYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEDYINSIVLPSLREKHDEFMLRELVKRWSNHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQEVNEKAKDAVIVLIDKEREGEQIDRASLKNVLDIFVEIGMGAMDRYEEDFEAHMLQDTGAYYSRKASNWILEDSCPDYMLKAEECLKKERDRVSHYLHSSSEPNLVEKVQHELLVVYANQLLEKEHSGCHVLLQDDKVEDLSRMYRLYYKIPKGLEPVATVFKKHITAEGTIFVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMAYVNDCFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGNEKLSDETIEEMLERVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEKYLGDNPNAHPGIDLTVTVLTTGFWPSYKSSDLNLPAEMVRGVEIFKVFYDQKSKHRKLTWIYSLGSCHLNGKFEQKTIELIVSTYQAALLLLFNSSDRLSYSETMTQLNLTHDDLVRVLHSLSCAKYKILTKEPNTKTISQTDYFEVNHKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLIARDYLERDKENPNMFRYLA >Manes.05G184800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30418457:30420436:1 gene:Manes.05G184800.v8.1 transcript:Manes.05G184800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGISDDVCNTGLGLGLSSHDNQQNYSQSVHLKQKKKLFLKYDHTFPSLTLGPPPQEPYPSATMVEADLQPQASSPSAVSSFSNSSIKKEREFRGEEVEVERVSSRVSDEDEEGSPRKKLRLSKQQSAILEDSFKEHSTLNPKQKQALAEQLNLRPRQVEVWFQNRRARTKLKQTEVDCEVLRKCCETLTEENKRLQKELQELRSLKMAAPLYMQLPAATLTVCPSCERIGSGGGDATSTSTLTVGPKPHFYSPFTHPSAAC >Manes.08G019100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1924838:1926778:-1 gene:Manes.08G019100.v8.1 transcript:Manes.08G019100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIYDTLVWCSLFLSVALILLLNGKKWRNINKNLPPGPPGWPVFGNMFDLGTAPHRSLYELKFKYGPVLLLRLGSINTMVVQSAKAAAEFFKNHDASFCDRKSPDVLSAHNYEEASLAIGRYGSFWRMLRRLCSVELMTNKRLNETASIRLKCINQMLRSIEDDVEAAKARGESGAVNLPHYLFLMSFNVIGNLVVSRDLLDSQCKEGHEFFEAMDKVATWVGTPNIADFLPFLKWLDPQGLKRSMLRDLGKAKEIVASFVEERIKDRKIGKEKTKDFLDTLLEFEGDGKEWHEKIPYEKVILIVLEIFFTGTETTSTTTEWIIAELLKNPEAMRKVKEELNEVVGENRKVEESDIEKLPYLQAVIKETLRLHPAAPLLLPRNTIQNTNFMGYHIPKDTQVFVNVWAIGRDPDTWEDPFTFKPERFLGSSIDYKGQNFELIPFGSGRRICVGISLAQRLVSFGLASLIHNFDWELDKNSTPESLDMSERIGITVRKLEPLNLIPTKRPRTMVV >Manes.05G011600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:851057:852114:-1 gene:Manes.05G011600.v8.1 transcript:Manes.05G011600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCILVRLESAMENEKVDRASVYNAEDDVDDLQEDQKVEKFFALIRCFQEARNNRRKDQVLEEEEKKKKKVRRLNDPQPSWVPSFEWEDFTEEIQFRKLPIFTRPHDQKEDKKLQEEDDGLDLNLRL >Manes.16G068300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26238017:26242157:1 gene:Manes.16G068300.v8.1 transcript:Manes.16G068300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMAMVLLCSPSLLPPHHFLRKQSKKLLSEKNCKLKEKITVRSCASSSSKPISVEGIFRRDLVLFGLSSSMSIVFPSSGSVAEEDLRMDALVDEINAYTYLYPVELPSKKVLFKWVESRKPERYSSAAPLSPDARLRIVSERVDIIDNLIISVTIGPPNFQFIKSKDKSTWTAKDVADSVLSDKSALRVTSSQRLAESSVLDTHTSEINGEPYWYYEYLVRKSPTKTAQESNLYRHYISSTAEREGYLYTVNASTLSKQWNKMGPFLEKTVASFRLLPPTDNYVPPYKDPWRFW >Manes.16G068300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26238017:26242157:1 gene:Manes.16G068300.v8.1 transcript:Manes.16G068300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFFFETHFSRRDIQERFGALWSIFVHVHCLSVFGVSVAGSVAEEDLRMDALVDEINAYTYLYPVELPSKKVLFKWVESRKPERYSSAAPLSPDARLRIVSERVDIIDNLIISVTIGPPNFQFIKSKDKSTWTAKDVADSVLSDKSALRVTSSQRLAESSVLDTHTSEINGEPYWYYEYLVRKSPTKTAQESNLYRHYISSTAEREGYLYTVNASTLSKQWNKMGPFLEKTVASFRLLPPTDNYVPPYKDPWRFW >Manes.16G068300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26238017:26242157:1 gene:Manes.16G068300.v8.1 transcript:Manes.16G068300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSSTFLPHMDYSVSVAGSVAEEDLRMDALVDEINAYTYLYPVELPSKKVLFKWVESRKPERYSSAAPLSPDARLRIVSERVDIIDNLIISVTIGPPNFQFIKSKDKSTWTAKDVADSVLSDKSALRVTSSQRLAESSVLDTHTSEINGEPYWYYEYLVRKSPTKTAQESNLYRHYISSTAEREGYLYTVNASTLSKQWNKMGPFLEKTVASFRLLPPTDNYVPPYKDPWRFW >Manes.01G085900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28797013:28801192:-1 gene:Manes.01G085900.v8.1 transcript:Manes.01G085900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFMVFTRSLGHHCIKKSKSHNFSRLFGLGKLIVSSEISSPNFNGSFHQLQSRRTLILEPASSESVKLQRLSDSDLGIVEVNLDRPGAKNAIGKEMLRGLRATFETINRDDSANVVMICSSVPKVFCAGADLKERKTMIPSEVQFFVNTLRSTFSLIEALRVPTIAVIEGAALGGGLEMALSCDLRICGEGAVLGLPETGLAIIPGAGGTQRLPRLVGKSVAKELIFTGRKIGGREAMSIGLVNYSVPAGEARLKALDVAREINQKGPIALRMAKKAINQGLEIDLESALELEEECYEQVLNTNDRLEGLAAFAEKRKPIYTGK >Manes.08G042333.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4071212:4075229:-1 gene:Manes.08G042333.v8.1 transcript:Manes.08G042333.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYRLPQSQPTLCGIECYRGYGLSPPFHSHISLLLPGSRNYKIEDMEESSKGAKRNRQRSSSSMAGYFHDPCQNFSAPRDHFCQSSNFTHATSPKATAVEQPLKHGRDCYYIQSSWPPSISKGLAASPGKIDKIKTSHNSQRSGWSVAWQWSRTRQSIIRKNNATDFLSTRSFNGYPQEITFEANCSQENSRKEIDPINPDNFSQSGYSYGYFSNDIQHSHSQQKRLSMSVHRSSTSLSHKYQPKSFQQLVGHEINIKVISNAIQGSKVAQLYLFHGPSGTGKTSIARVFAMALLCESTSPEKPCWTCRGCSRSLYMMKLCSGNRTTGFQRISTLLQRTSLAQAVPGFKVFIIEESHSLTVEAWDDLLGILENINSATFIFVLIADDANTIPESISSKCQKFSFPKLNNKDVALKLARIVAQEAITIEKDAVGLIVAKAEGSLKEAEHILGQLILLGPRITSSMVQQLVGLVPKSKLTNLLKAALSGDARKTVITAKELIASGVEAEVIVYQLTSLITNILTITSPAHSGIDGPSKDEESLETESQFKDTQSENLCHALKILLEAEKQHRSSFANITWIISDGISSGISFSKRTVQSSGDTIQSHSRNLASHHCNIKTCVQQSTRSRDLKIKSKGKGVESELYLANTKDMDEIWLNILERIESKDMKEFLSSHVKLASLTVSTANVIVHLMFKKAEDKLAAQMSEESISKALETAIGKLEPPLVNNAQHAELKDNTLISEMQATRETKTRSQILPCFGPLMQENQMRASTGPTNNSLGKDQFLLDIAQILRNEEPEHKWLSLSFFQQNDASVEPYSQDILYENANGDKENRAKKDPELRKNSSKVHEVNNLHKNRESM >Manes.03G086400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17050085:17052462:1 gene:Manes.03G086400.v8.1 transcript:Manes.03G086400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMAAQYWCHMCSQMVNPIMEAEIKCPFCQSGFVEEMSSSTRENQEPDPDFSSDRALSLWAPILLGMMGNPRRRRRYRRMDFEEEEDSDDGEPHHGGETELDRELESFMRRRRRSSATILQLLQGIRAGMASESENSEGERNRDGDRDRERERVILINPMNRTVIVQGSYDSSNGQNQNHTPIGSLGDYFLGPGLDMLLQHLAENDPNRYGTPPAQKEAIEALPTVTIKETFQCSVCLDDFEIGTEAKEMPCKHKFHSSCILPWLELHSSCPVCRFQLPADESKSDSERSRISIHRTESSNVDNNNSSSNGSNSSNSSNNHQHHGNSIEEGEEEGRNGNGRRFSFPWPFNSLFSSSSGSQSSGNHSTSTSSSSLANAPGNASQRDEN >Manes.03G086400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:17049918:17052455:1 gene:Manes.03G086400.v8.1 transcript:Manes.03G086400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMAAQYWCHMCSQMVNPIMEAEIKCPFCQSGFVEEMSSSTRENQEPDPDFSSDRALSLWAPILLGMMGNPRRRRRYRRMDFEEEEDSDDGEPHHGGETELDRELESFMRRRRRSSATILQLLQGIRAGMASESENSEGERNRDGDRDRERERVILINPMNRTVIVQGSYDSSNGQNQNHTPIGSLGDYFLGPGLDMLLQHLAENDPNRYGTPPAQKEAIEALPTVTIKETFQCSVCLDDFEIGTEAKEMPCKHKFHSSCILPWLELHSSCPVCRFQLPADESKSDSERSRISIHRTESSNVDNNNSSSNGSNSSNSSNNHQHHGNSIEEGEEEGRNGNGRRFSFPWPFNSLFSSSSGSQSSGNHSTSTSSSSLANAPGNASQRDEN >Manes.03G086400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17050174:17052446:1 gene:Manes.03G086400.v8.1 transcript:Manes.03G086400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFSKNLENQLINIDYNKGGVAGGGERERMEEAMAAQYWCHMCSQMVNPIMEAEIKCPFCQSGFVEEMSSSTRENQEPDPDFSSDRALSLWAPILLGMMGNPRRRRRYRRMDFEEEEDSDDGEPHHGGETELDRELESFMRRRRRSSATILQLLQGIRAGMASESENSEGERNRDGDRDRERERVILINPMNRTVIVQGSYDSSNGQNQNHTPIGSLGDYFLGPGLDMLLQHLAENDPNRYGTPPAQKEAIEALPTVTIKETFQCSVCLDDFEIGTEAKEMPCKHKFHSSCILPWLELHSSCPVCRFQLPADESKSDSERSRISIHRTESSNVDNNNSSSNGSNSSNSSNNHQHHGNSIEEGEEEGRNGNGRRFSFPWPFNSLFSSSSGSQSSGNHSTSTSSSSLANAPGNASQRDEN >Manes.14G007788.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1847891:1856043:1 gene:Manes.14G007788.v8.1 transcript:Manes.14G007788.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRSIAKLNQAMGFQRSSTNKPKMQPGNDRSTSMRTTNIKSHPITSGPISINDASYDTWVVEHPSALGSFDQMMKAAKGKKIAVFLDYDGTLAPIVDNPDLAFMSDEMRSAVREVAKYFPTFIITGRSRDKVKEFVQLSNVYYAGSHGMDILAPPRPVKSCDGKYQSIALDKKGNEVLFQPAKKFLPAIQKIQTALEEKVVKIQGARIEDNRFCISVHFRQVREEDYETLEKDVKSVLEHYPEFHLSWGKKVMEIRPSIQWDKGDALEYLLDTLGLSNSNDVIPVYIGDDRTDEDAFKVIQRRGQGYPIIVSSSPKDTKASFSLHDPSEVLTFLLRLARWRKSSSSSSRSLAQIWGVSN >Manes.14G007788.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1851060:1856043:1 gene:Manes.14G007788.v8.1 transcript:Manes.14G007788.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRSIAKLNQAMGFQRSSTNKPKMQPGNDRSTSMRTTNIKSHPITSGPISINDASYDTWVVEHPSALGSFDQMMKAAKGKKIAVFLDYDGTLAPIVDNPDLAFMSDEMRSAVREVAKYFPTFIITGRSRDKVKEFVQLSNVYYAGSHGMDILAPPRPVKSCDGKYQSIALDKKGNEVLFQPAKKFLPAIQKIQTALEEKVVKIQGARIEDNRFCISVHFRQVREEDYETLEKDVKSVLEHYPEFHLSWGKKVMEIRPSIQWDKGDALEYLLDTLGLSNSNDVIPVYIGDDRTDEDAFKVIQRRGQGYPIIVSSSPKDTKASFSLHDPSEVLTFLLRLARWRKSSSSSSRSLAQIWGVSN >Manes.14G007788.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1847870:1856043:1 gene:Manes.14G007788.v8.1 transcript:Manes.14G007788.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRSIAKLNQAMGFQRSSTNKPKMQPGNDRSTSMRTTNIKSHPITSGPISINDASYDTWVVEHPSALGSFDQMMKAAKGKKIAVFLDYDGTLAPIVDNPDLAFMSDEMRSAVREVAKYFPTFIITGRSRDKVKEFVQLSNVYYAGSHGMDILAPPRPVKSCDGKYQSIALDKKGNEVLFQPAKKFLPAIQKIQTALEEKVVKIQGARIEDNRFCISVHFRQVREEDYETLEKDVKSVLEHYPEFHLSWGKKVMEIRPSIQWDKGDALEYLLDTLGLSNSNDVIPVYIGDDRTDEDAFKVIQRRGQGYPIIVSSSPKDTKASFSLHDPSEVLTFLLRLARWRKSSSSSSRSLAQIWGVSN >Manes.13G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14187895:14191252:-1 gene:Manes.13G084300.v8.1 transcript:Manes.13G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Manes.06G173066.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29700864:29702225:1 gene:Manes.06G173066.v8.1 transcript:Manes.06G173066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKDNEVDKILCTIGDTSGGDVDIVKSAEIGVQEDCNISDTLSEPINSSRTGDDQNGDVSEACDGKTFSRCSKHDLNEENQLPPSALTSPSTSPITKNDNNLESVVEVSERPSPVSVLEPLFTEEDVSPASTRLQPAPLPIQPQRSQFEEHAPSSVDIGTHFKAHIAYKESIFEYVKAVVQASGENWDESYIMSNPSDPLFDPSIFDEVEFFPNQFCYDKKLLFDCVDEVLMEVYGKNFGCSLGLSFAKPTVRPAPDMKNTIHEIWEGVYRYLLPLPLPCTIELIVEKDMAKTGTWMDLRYDSETIIIEIGEAIFKDLME >Manes.09G126800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32987583:32993213:1 gene:Manes.09G126800.v8.1 transcript:Manes.09G126800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFKLSRSNLSVSSDATDSQRPPLPPTVTFGRRTSSGRYVSYSRDDLDSELGSSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIDSETSHPQMAGAKGSSCSIPGCDGKVMSDERGVDILPCECDFKICRDCYIDAVKTGGGICPGCKEPYKNTELDEVAVENGRALPFPQSGTVSKMERRLSLMKSTKSVLMRSQTGDFDHNRWLFETRGTYGYGNAIWPDDGGFGNGKDEEIAESKELMNKSWRPLTRKVKIPAAVISPYRLLICIRIVVLALFLTWRVRHPNEDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFETPSPSNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFSNIWVPFCRKHDIEPRNPETYFNLKRDPYKNKVRPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKHQRQNRDDEPVESVKIPKATWMADGTHWPGTWMHPALEHSKGDHAGIIQVMLKPPSDEPLHGNADDTKILDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDQGGDRICYVQFPQRFEGIDPSDRYANNNTVFFDINMRALDGLMGPVYVGTGCLFRRTALYGFDPPRAKEYHPGCFSCCFARRKKHSSVGNTPVENRALRMGDSDDDDEEMNLSQFPKMFGNSSFLIDSIPVSEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAVSVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLVISLTLCLLALLEVKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDEFADLYIVKWSSLMIPPIVIMMLNIIAIAVGFSRTIYSVIPQWSRLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSNTDQIGGSFQFP >Manes.09G126800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32987547:32993223:1 gene:Manes.09G126800.v8.1 transcript:Manes.09G126800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFKLSRSNLSVSSDATDSQRPPLPPTVTFGRRTSSGRYVSYSRDDLDSELGSSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIDSETSHPQMAGAKGSSCSIPGCDGKVMSDERGVDILPCECDFKICRDCYIDAVKTGGGICPGCKEPYKNTELDEVAVENGRALPFPQSGTVSKMERRLSLMKSTKSVLMRSQTGDFDHNRWLFETRGTYGYGNAIWPDDGGFGNGKDEEIAESKELMNKSWRPLTRKVKIPAAVISPYRLLICIRIVVLALFLTWRVRHPNEDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKEKFETPSPSNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFSNIWVPFCRKHDIEPRNPETYFNLKRDPYKNKVRPDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKHQRQNRDDEPVESVKIPKATWMADGTHWPGTWMHPALEHSKGDHAGIIQVMLKPPSDEPLHGNADDTKILDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDQGGDRICYVQFPQRFEGIDPSDRYANNNTVFFDINMRALDGLMGPVYVGTGCLFRRTALYGFDPPRAKEYHPGCFSCCFARRKKHSSVGNTPVENRALRMGDSDDDDEEMNLSQFPKMFGNSSFLIDSIPVSEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAVSVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLVISLTLCLLALLEVKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDVDDEFADLYIVKWSSLMIPPIVIMMLNIIAIAVGFSRTIYSVIPQWSRLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSNTDQIGGSFQFP >Manes.08G164300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39934878:39941760:-1 gene:Manes.08G164300.v8.1 transcript:Manes.08G164300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSGAADCGIGPIVWVRRRNGSWWPGKILGPEELAECNLTSPRTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIERAESAQGMPIKKREKYARREDAILHALDLEKQLLKKQGKLSVTADQQRSKSPGPAKEPGIASEGLTNNSGKPGNPKLNTVIKDEIVNNPVKTKDVNQPISEDDHSEATPRMRGLRDFGLRTALKRKFPSSGDSDGSIIPMADNHFLGHPVGSPNAERTNLANGVDEMGSLFHAKRCRRVYFPTESSDSLDDKELHPSQIKMLHSHFEDDGGHLNDSSLNEPNSSSGFMGNVESDSSETDSFESESDSSETEPDVADKMSVFPGTAMPTEAEQDVLRQPDVPGEHGSTSSEDADELAFSGEMPHLYPDDPFLANEAVSKWQLKGKRNIRHLTKKSVDGAERKLLNGPLHGTYHGMKGSTSGQRAYGFDDGDLGRKYIRTMVDVDSGHYSYASRYASKGRNNTGHNFIDWREMAWEDRPAFKGRLEERAEHFNPIIGRHMYGGRARSILVDVDLKVQVSYQKERVPIVSLMSKLNGKAIIGHPIQIEALEDGCSETLISASDYHANEAVDHDGNTSLPPAWRTARRTNFRVPRPHLSLMLGAEDAEDHPFIDQGRSPFRKSSIGNFNHKASLVRKSLPHIRPSIDRKFPRKLQKKASLSSNQKTRTLSSISVQQNFRTKPLHYSSSSQMDGLIKPETSRPTTVACIPVKLVFSRLLEKINRPPSKAASKVVISNRDAERQPS >Manes.09G143400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34140244:34150390:-1 gene:Manes.09G143400.v8.1 transcript:Manes.09G143400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGCRLQSDVLCHGSCGINGSWLSSNRSRSGVGCEQLTNHHHSISDEVLSYSDSLHDNVQEPRWTSPVQKFNLGNVATSTSGGSRSQTSRLRFPSTERRFAVRATTVSPSFASPSSLYESSPWGSTSKRPFAFSNCNFPSRRSYMSKAVYPLVFRNLVSDCETFDDVGISNIGRLTPGEDLTSPSCWLDNSSSVEYKFHKILTELESLETSPDPSASSRREGFRWSGSTSYDLGLDGDLFDVAEPMDMESLRSSSSAVPDQKCGVCGKLLREKSPWSSRRIMRGGDLPVAGVLSCSHVFHAECLEQATPKTQIHDPPCPLCLKSIGALEESLSVSEPLQVALRRRNRGVVISEAQGSRNSNEACTHIKGRLRKNWLRAVQPQSENGSSLTNRLKRHFTLRGKVG >Manes.10G105142.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25360997:25369402:1 gene:Manes.10G105142.v8.1 transcript:Manes.10G105142.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDVVMAASENNEAVPELDDMKRRLKEMEDEAAALREMQAKVEKEMSSAQDPAAATSQASREEVDSRSVFVGNVDYSCTPEEVQQHFQSCGTVNRITIRTDKYGQPKGYAYVEFVEPEAVQEALLLNESELHGRQLKVTAKRTNVPGMKQFRPRRPNPYMGFPPRGSQMPPYFYSPYGYGKVPRFRMPTRYNPYY >Manes.01G005233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2301433:2311450:1 gene:Manes.01G005233.v8.1 transcript:Manes.01G005233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGEEEEPQETPLLRTQPAETSLKRTGTKWTAVAHVITGVIGSGVLSLAWSMAQLGWIAGPLTMLCFAFITLYSTYLLSDCYRFPDPEYGPQRNPSYLEAVDASLGKKAAWICGTFVEISLYGVGIAYTITSAISMRAIQKSNCYHKEGHEAKCEYGDTSYMLIFGAVQIILSQIPDFHNVQWLSIIAAVMSFAYSSIGFALGFAQVIENGYVMGSITGVSASSAIDKVWNISQALGDIAFAFPYSLILLEIQDTLKSPPPENETMKKASTIALVVTTFFYICCGGFGYAAFGENTPGNLLTGFGFYEPYWLIDFANACVVLHLLGGYQVYSQPVFATIEKWFADKYPNSEFINHNFSIKLPFLPAFGLNLFRVCFRTAYVVSITVISIIFPYFNQVIGVLGALNFWPLTIYFPVEMYLRQRNVEAWTTKWIMLRAFSFLVFFLALFALIGSVEELISAKLS >Manes.08G167250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40186806:40188060:-1 gene:Manes.08G167250.v8.1 transcript:Manes.08G167250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLVHYASAPAVINSSTCSLFISTYFVQIGGGEAPDETTLLCSRGSDSALEFLSTCKLANLTVKAELGCCLLHRSGRLTIDGCILQCESNPLDYLSCPIVTTAGGSEIFSSSVKTSCDGVSVSQTRIEGGSKAVVTSGKLALQRVRVICSRTCVYFWFDVEDN >Manes.01G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32196250:32198839:-1 gene:Manes.01G127800.v8.1 transcript:Manes.01G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQMVILKLAELVLYQLLAKSCYRAARKMRSYGFLFNNPSLKSPHQQVQLFSNVGKCCLENRGSDTLVCDIQGGLLRTSSFFPYFMLIAFEGGGLFRAFLLLLSCPLLWVLDYELQLRVMIFITFCGLKIRDVQSVGRAVLPKFYLENLHLQAYELLASTGSRVVFTTVPRVMVEGFLKEYLRVNKVIGTELHTVGNYFTGLLSNSGILVKHRALKDYFGDKKPEIGLGSSSLSDHLFISLCKEAYVVNVEENKSGNSSVMTRDKYPKPLIFHDGRLAFLPTPLATLSMFMWLPLGIILAIFRLLVGISLPYKVALFLGTKSGVEVELCVNGGSPPASTSSGVLYVCSHRTLLDPVFLSTCLGKPLTAVTYSLSKMSEILAPIKTVRLTRDRKRDGETMQRLLREGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAVNTRVSMFYGTTASGLKCLDPIFFLMNPRPSYQIHILDKLPRELTRAGGISSCQVANCIQKQLAEALGFECTTLTRKDKYMMLAGNEGVVQDKSRKPA >Manes.13G092800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27308516:27309782:-1 gene:Manes.13G092800.v8.1 transcript:Manes.13G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHFVLIHTICHGAWIWYKLVPLLEAAGHNVTALDLAASGIDQRQINQLGSFDDYSEPLLKYMASVPKGKKVILVGESCGGINIAIAADKYPEKIAAAVFHNSLMPDTVHNPAYVVDKLLEVFPDWKDTVFSNYTYKGENITALNLGFKLMKENIYTNCPIEDYELAKRLVRKGSLFQNILAKRKFFTKEGYGSIKRIYVYGDEDKIFLPKFHRWQIANYKPHKVYLVPGGDHKLMLSKRIELFHILQEVAQTYA >Manes.05G031200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2665613:2672433:1 gene:Manes.05G031200.v8.1 transcript:Manes.05G031200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHENIIALRDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCRYFLYQLLRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDASLGFLRSENARRYVRQLPQYPRQNFAARFPNMSAGAVNLLEEMLVFDPNRRITVDAALCHPYLAPLHDINEEPVCPRPFNFDFEQPTFTEENIKELIWRESAKFNPDP >Manes.05G031200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2666474:2672433:1 gene:Manes.05G031200.v8.1 transcript:Manes.05G031200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHENIIALRDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCRYFLYQLLRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDASLGFLRSENARRYVRQLPQYPRQNFAARFPNMSAGAVNLLEEMLVFDPNRRITVDAALCHPYLAPLHDINEEPVCPRPFNFDFEQPTFTEENIKELIWRESAKFNPDP >Manes.05G031200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2665613:2672433:1 gene:Manes.05G031200.v8.1 transcript:Manes.05G031200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGSGEHNVRGIPTHGGRYVQYNVYGNLFEVSRKYVPPIRPVGRGAYGIVCAAINSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMSHENIIALRDIIRPPQKENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCRYFLYQLLRGLKYVHSAHVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDASLGFLRSENARRYVRQLPQYPRQNFAARFPNMSAGAVNLLEEMLVFDPNRRITVDAALCHPYLAPLHDINEEPVCPRPFNFDFEQPTFTEENIKELIWRESAKFNPDP >Manes.04G091400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29662286:29669273:1 gene:Manes.04G091400.v8.1 transcript:Manes.04G091400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQKPPHEHYPPPGYTPPYPPPGYSPSAPPYEGYAPPPPPSGYTGYPPPGPRQPYEGYQGYFADGYPTPPPPAGQPQYQHCQYEHQHYQDDSDGFSSFLKGCLAALCCCCVLEECCF >Manes.04G091400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29662433:29666873:1 gene:Manes.04G091400.v8.1 transcript:Manes.04G091400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQKPPHEHYPPPGYTPPYPPPGYSPSAPPYEGYAPPPPPSGYTGYPPPGPRQPYEGYQGYFADGYPTPPPPAGQPQYQHCQYEHQHYQDDSDGFSSFLKGCLAALCCCCVLEECCF >Manes.06G055400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19112579:19127674:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTASPVETILDKDNFTLEELLDEDEIIQECKALNGRLINFLRERTQVERLIRFIVEEAPDGAEKRRSFKFSFIACEIFTCEVDIILKTLVEDVELMNLLFSFLEPNRSHSTLLAGYFSKVVICLLLRKTVSFMHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVANERSAGALASSSPNNEETGCVNGAGNDETMVGEGDDLDATASSSPVPEPSIDDVSVNNSSKDVNETSANDKPPVWVEWRETPNSTSSDSNLSLRPNGELRVDSEIQGGDGGPHAAKPVSSLDTSVDDSNAAGRLPNATNESPTPILPELTEAGSENPSVVHGDSDDKTTVETEVTAVARKDGQTAMVN >Manes.06G055400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19112578:19128336:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLFSFLEPNRSHSTLLAGYFSKVVICLLLRKTVSFMHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVANERSAGALASSSPNNEETGCVNGAGNDETMVGEGDDLDATASSSPVPEPSIDDVSVNNSSKDVNETSANDKPPVWVEWRETPNSTSSDSNLSLRPNGELRVDSEIQGGDGGPHAAKPVSSLDTSVDDSNAAGRLPNATNESPTPILPELTEAGSENPSVVHGDSDDKTTVETEVTAVARKDGQTAMVN >Manes.06G055400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19112823:19127674:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTASPFQVETILDKDNFTLEELLDEDEIIQECKALNGRLINFLRERTQVERLIRFIVEEAPDGAEKRRSFKFSFIACEIFTCEVDIILKTLVEDVELMNLLFSFLEPNRSHSTLLAGYFSKVVICLLLRKTVSFMHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVANERSAGALASSSPNNEETGCVNGAGNDETMVGEGDDLDATASSSPVPEPSIDDVSVNNSSKDVNETSANDKPPVWVEWRETPNSTSSDSNLSLRPNGELRVDSEIQGGDGGPHAAKPVSSLDTSVDDSNAAGRLPNATNESPTPILPELTEAGSENPSVVHGDSDDKTTVETEVTAVARKDGQTAMVN >Manes.06G055400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19112579:19127674:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVANERSAGALASSSPNNEETGCVNGAGNDETMVGEGDDLDATASSSPVPEPSIDDVSVNNSSKDVNETSANDKPPVWVEWRETPNSTSSDSNLSLRPNGELRVDSEIQGGDGGPHAAKPVSSLDTSVDDSNAAGRLPNATNESPTPILPELTEAGSENPSVVHGDSDDKTTVETEVTAVARKDGQTAMVN >Manes.06G055400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19112424:19128336:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTASPVETILDKDNFTLEELLDEDEIIQECKALNGRLINFLRERTQVERLIRFIVEEAPDGAEKRRSFKFSFIACEIFTCEVDIILKTLVEDVELMNLLFSFLEPNRSHSTLLAGYFSKVVICLLLRKTVSFMHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVANERSAGALASSSPNNEETGCVNGAGNDETMVGEGDDLDATASSSPVPEPSIDDVSVNNSSKDVNETSANDKPPVWVEWRETPNSTSSDSNLSLRPNGELRVDSEIQGGDGGPHAAKPVSSLDTSVDDSNAAGRLPNATNESPTPILPELTEAGSENPSVVHGDSDDKTTVETEVTAVARKDGQTAMVN >Manes.06G055400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19112579:19127674:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTASPFQVETILDKDNFTLEELLDEDEIIQECKALNGRLINFLRERTQVERLIRFIVEEAPDGAEKRRSFKFSFIACEIFTCEVDIILKTLVEDVELMNLLFSFLEPNRSHSTLLAGYFSKVVICLLLRKTVSFMHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVANERSAGALASSSPNNEETGCVNGAGNDETMVGEGDDLDATASSSPVPEPSIDDVSVNNSSKDVNETSANDKPPVWVEWRETPNSTSSDSNLSLRPNGELRVDSEIQGGDGGPHAAKPVSSLDTSVDDSNAAGRLPNATNESPTPILPELTEAGSENPSVVHGDSDDKTTVETEVTAVARKDGQTAMVN >Manes.06G055400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19112540:19127615:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRMTGLSTASPVETILDKDNFTLEELLDEDEIIQECKALNGRLINFLRERTQVERLIRFIVEEAPDGAEKRRSFKFSFIACEIFTCEVDIILKTLVEDVELMNLLFSFLEPNRSHSTLLAGYFSKVVICLLLRKTVSFMHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEVMYFLQTSKLHELETYHDSHG >Manes.06G055400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19116305:19127674:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLFSFLEPNRSHSTLLAGYFSKVVICLLLRKTVSFMHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVANERSAGALASSSPNNEETGCVNGAGNDETMVGEGDDLDATASSSPVPEPSIDDVSVNNSSKDVNETSANDKPPVWVEWRETPNSTSSDSNLSLRPNGELRVDSEIQGGDGGPHAAKPVSSLDTSVDDSNAAGRLPNATNESPTPILPELTEAGSENPSVVHGDSDDKTTVETEVTAVARKDGQTAMVN >Manes.06G055400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19114198:19127674:1 gene:Manes.06G055400.v8.1 transcript:Manes.06G055400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLFSFLEPNRSHSTLLAGYFSKVVICLLLRKTVSFMHYVKVHQQILQQLVDLIGITSIMEVLIRLISADEPMYNNCTDAVQWMEDTNVLEMIVDKFSSSDSPEVHANAAETLCTISRFAPPGLAAKISSSNFIGRLFHHALEESRPKSVLVNSLSICISLLDPKRLTLGTYQSYNRQLNHESAVTASPETVEGMLGSLGDLLKLLDITSTEIALLTTYGKLQPPLGKHRLKIVEFLSVLLTVGSEAAEEELIRLGAFQRILDLFFEYPYNNFLHHHVENIIFSCLESKNPILIGHVLRECNLVGKILEAEKNFTLVAGPGKPTIPADGRPPPRIGNIGHLTRISNKLVQLGNNNGDILACLQENGEWTDWHANVLTKRNVVENVYQWACGRPTALQDRRDSDDDDYQDRDYDVAALANNLSQAFRYGMYSNDDTDEVHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVANERSAGALASSSPNNEETGCVNGAGNDETMVGEGDDLDATASSSPVPEPSIDDVSVNNSSKDVNETSANDKPPVWVEWRETPNSTSSDSNLSLRPNGELRVDSEIQGGDGGPHAAKPVSSLDTSVDDSNAAGRLPNATNESPTPILPELTEAGSENPSVVHGDSDDKTTVETEVTAVARKDGQTAMVN >Manes.S035616.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:916254:916376:1 gene:Manes.S035616.v8.1 transcript:Manes.S035616.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.04G055300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:15539694:15541680:-1 gene:Manes.04G055300.v8.1 transcript:Manes.04G055300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQQRIAAAERVYEDFEPSMDWLREPGADTLRVYVPGFKKEQMKVQVTSSGNLRISGERPLADGNKWCRFRKEIPIPSIYDHNAIGAKFEKGLLFIRHPKIIIPDNKPQDQMINPSTEPPKPSPREAPKPPQEKPQPPAADPPKLKKQPSDVSKPTKPTEPAEKITKLATKIEPAEKSKPATKTEPAETSKPATKMEPAEKSKPATKTEPTETSKPATKIEPAEKSKPARKTEPAETSKPATKIEPAEKSPTTKIEPAETSKPATTTEPARPEKPVLSKPQAANIGMERQNIGKDGTRNRNSVLQQMIEKETVTSKYEQKDRSNGVADTGRDMTTPSTEQEKRADFVKEKTDKNGDVTGIVRGSYSKFAAENLKQVFEGMVMEMKQRNLSKWVMIVLVLVLGLCAIRYLKKSED >Manes.13G050400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5764302:5767342:-1 gene:Manes.13G050400.v8.1 transcript:Manes.13G050400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSCHLLAITGVLTLVLLYRFNLWRLRDQSHKGKLLAPEPSGTLPIIGHLHQLGAEKTLARTLARLADNYGPIFTIWLGVHRTVVVCNHDTIKECFTTNDKVLASRPRSSHGQYLSYNYAAFAFTSYGPFWRNMRKMVLVELLSSHRLKSLKHVQVSEVNNLMNDLYLLCKQEQGSAKIVISECFDHLTLNMITRMIAGKRYFNSANGGDEQGRRIGKLMKEYMYISGVFVPSDLIPFLWWMNFLGPVKAMKRLSKEFDSLMESWIDEHKLKRMKMSDDSMNMEEDFIDVMLSLLEDDFFGHSREDIIKGTAMTLIIAGADTTSITLTWILSNLLNNRRTLELAVEELDHKVGKERCVENSDIENLVYIHAIVKETLRLYPPGPLAVPHEATEDCRIAGYHIPKGTRVFANLWKLHRDPKIWTNPDEFMPERFLTDHAKLDVSGQNFEYIPFGSGRRACPGLNFAIQAIHLTLARLLQGFSLTTPLNEPVDMSEGLGITLPKATPLEIKITPRLRPELYGC >Manes.13G050400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5764260:5767530:-1 gene:Manes.13G050400.v8.1 transcript:Manes.13G050400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSCHLLAITGVLTLVLLYRFNLWRLRDQSHKGKLLAPEPSGTLPIIGHLHQLGAEKTLARTLARLADNYGPIFTIWLGVHRTVVVCNHDTIKECFTTNDKVLASRPRSSHGQYLSYNYAAFAFTSYGPFWRNMRKMVLVELLSSHRLKSLKHVQVSEVNNLMNDLYLLCKQEQGSAKIVISECFDHLTLNMITRMIAGKRYFNSANGGDEQGRRIGKLMKEYMYISGVFVPSDLIPFLWWMNFLGPVKAMKRLSKEFDSLMESWIDEHKLKRMKMSDDSMNMEEDFIDVMLSLLEDDFFGHSREDIIKGTAMTLIIAGADTTSITLTWILSNLLNNRRTLELAVEELDHKVGKERCVENSDIENLVYIHAIVKETLRLYPPGPLAVPHEATEDCRIAGYHIPKGTRVFANLWKLHRDPKIWTNPDEFMPERFLTDHAKLDVSGQNFEYIPFGSGRRACPGLNFAIQAIHLTLARLLQGFSLTTPLNEPVDMSEGLGITLPKATPLEIKITPRLRPELYGC >Manes.03G172500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29696019:29704449:1 gene:Manes.03G172500.v8.1 transcript:Manes.03G172500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNQPQKHHKTPQNGKGPPSQETHSKSQTSPPTKNRGRRRNRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAGNPLARAPNGSVRNAGNICEMEMGLGFPTSSKSLSFAPRPGYGQLGTKCIVKANHFFAELPDKDLNHYDVTITPEVASRAKNRAIMAELVRLYKESDLGRRLPAYDGRKSLYTAGELPFAWKEFVIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLCDSDRIKIKKALRGVKVEVTHRGNIRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDHDPFAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWSCINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPEMQENGSSGGGSGYGTKGARAGETGVRPLPALKENVKRVMFYC >Manes.03G172500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29694855:29704449:1 gene:Manes.03G172500.v8.1 transcript:Manes.03G172500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNQPQKHHKTPQNGKGPPSQETHSKSQTSPPTKNRGRRRNRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAGNPLARAPNGSVRNAGNICEMEMGLGFPTSSKSLSFAPRPGYGQLGTKCIVKANHFFAELPDKDLNHYDVTITPEVASRAKNRAIMAELVRLYKESDLGRRLPAYDGRKSLYTAGELPFAWKEFVIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLCDSDRIKIKKALRGVKVEVTHRGNIRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDHDPFAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWSCINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPEMQENGSSGGGSGYGTKGARAGETGVRPLPALKENVKRVMFYC >Manes.03G172500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29695052:29704610:1 gene:Manes.03G172500.v8.1 transcript:Manes.03G172500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNQPQKHHKTPQNGKGPPSQETHSKSQTSPPTKNRGRRRNRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAGNPLARAPNGSVRNAGNICEMEMGLGFPTSSKSLSFAPRPGYGQLGTKCIVKANHFFAELPDKDLNHYDVTITPEVASRAKNRAIMAELVRLYKESDLGRRLPAYDGRKSLYTAGELPFAWKEFVIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLCDSDRIKIKKALRGVKVEVTHRGNIRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDHDPFAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWSCINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPEMQENGSSGGGSGYGTKGARAGETGVRPLPALKENVKRVMFYC >Manes.03G172500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29695990:29704450:1 gene:Manes.03G172500.v8.1 transcript:Manes.03G172500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNQPQKHHKTPQNGKGPPSQETHSKSQTSPPTKNRGRRRNRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAGNPLARAPNGSVRNAGNICEMEMGLGFPTSSKSLSFAPRPGYGQLGTKCIVKANHFFAELPDKDLNHYDVTITPEVASRAKNRAIMAELVRLYKESDLGRRLPAYDGRKSLYTAGELPFAWKEFVIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLCDSDRIKIKKALRGVKVEVTHRGNIRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDHDPFAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWSCINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPEMQENGSSGGGSGYGTKGARAGETGVRPLPALKENVKRVMFYC >Manes.03G172500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29694851:29704610:1 gene:Manes.03G172500.v8.1 transcript:Manes.03G172500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHLVIKTHLQNTMNQPQKHHKTPQNGKGPPSQETHSKSQTSPPTKNRGRRRNRGGRKSDQGDVCMRPSSRPCTVAHKPVNPAGNPLARAPNGSVRNAGNICEMEMGLGFPTSSKSLSFAPRPGYGQLGTKCIVKANHFFAELPDKDLNHYDVTITPEVASRAKNRAIMAELVRLYKESDLGRRLPAYDGRKSLYTAGELPFAWKEFVIKLVDEEDGINGPKREREYKVVIKFVARANMHHLGQFLAGKRADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLCDSDRIKIKKALRGVKVEVTHRGNIRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQHNAYDHDPFAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWSCINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYNARPDQVEKALKHVYHASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSIDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPEMQENGSSGGGSGYGTKGARAGETGVRPLPALKENVKRVMFYC >Manes.08G014100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1585848:1588674:1 gene:Manes.08G014100.v8.1 transcript:Manes.08G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLTQLHFVLIPLMSPGHLIPMIDMAKLLANHGLIVTVVTTPLNTLKFSSTIERSIESGLKIQLLQLQFPAIQAGLPERCESMDKLPSRNLIRNFFNASRMLQQQFEEAFETLQPRPSCLISGKNLPWTVETARKFGIPRIFFDGMGCFSYCCTHKLEVSKVHEGVSKFEPFVVPGLPHRIELSRAKLPESLNPGSNDLTDVRDNIRAAEFIADGIVVNTFEELEGEYVKEYRRIKGDNIWCIGPVSACNKLKLDKAERGDKASVDNTELLKWLDLWEPGSVIYACLGSISGLTSWQLAELGLGLESTNQPFIWVIREGEKSEGLEKWILEEGYEERIKERGLLIRGWSPQVLILSHPAIGAFFTHCGWNSTLEGISAGVPIVACPLFAEQFYNEKLVVEVLGIGVSVGVEAAVTWGLEDKCGAVMKKEQVKKAIEIVMDKGKEGEERRRRAREIGEMAKRTIEEGGSSYLDMEMLIQYVSERSPSRA >Manes.03G079200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21331746:21336882:-1 gene:Manes.03G079200.v8.1 transcript:Manes.03G079200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNESANKKPKRLTSVVWNHFERVRKADICYAVCVHCNKRLSGSSNSGTTHLRNHLMRCLKRSNCDVSQLLAAKRRKKETTLSLPNVNYDEGQRKDEYIKPTVIKYDQEQRKDEVISLGSSRFDQERSQLDLARMIILHGYPLTMVEHVGFKLFVKNLQPLFEFLPNTSIELSCVDIYGKEKAKVYEMINRLQGRINLSIEMWSSPENTEYLCLTAHYIDEDWKLQKKILNFVTLDSSHTEDMLSEVIIKCLMEWDIECKLFAMTFDDCSVDDDIVLRIKERISQNRPLLSNGQLFDVRSAAHALNCIVQDAMEALREVTQKIRGSVRYVKSSQVTHGKFNEISQQVGITGQKNLVLDSPVHWNSTYFMLETALEYKGAFSLLQEHDPAYTSALTDREWEWSSSITSYLKLFVEITNVFSGNKCTTANIYFPEICDVHIQLIEWCKSTDDFLSSTALKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGNSLPSTSTDSRDRLKGFDKFLHETSQTQSVASDLDKYLEEPVFPRNCDFNILNWWKVHRPRYPILSMMARDVLGTPMSTVAPELAFNTGGRVLDCHRSSLNPDTRQALICARDWLRGEPEEHNPSSAVTLYLEAS >Manes.03G079200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21331746:21336882:-1 gene:Manes.03G079200.v8.1 transcript:Manes.03G079200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNESANKKPKRLTSVVWNHFERVRKADICYAVCVHCNKRLSGSSNSGTTHLRNHLMRCLKRSNCDVSQLLAAKRRKKETTLSLPNVNYDEGQRKDEYIKPTVIKYDQEQRKDEVISLGSSRFDQERSQLDLARMIILHGYPLTMVEHVGFKLFVKNLQPLFEFLPNTSIELSCVDIYGKEKAKVYEMINRLQGRINLSIEMWSSPENTEYLCLTAHYIDEDWKLQKKILNFVTLDSSHTEDMLSEVIIKCLMEWDIECKLFAMTFDDCSVDDDIVLRIKERISQNRPLLSNGQLFDVRSAAHALNCIVQDAMEALREVTQKIRGSVRYVKSSQVTHGKFNEISQQVGITGQKNLVLDSPVHWNSTYFMLETALEYKGAFSLLQEHDPAYTSALTDREWEWSSSITSYLKLFVEITNVFSGNKCTTANIYFPEICDVHIQLIEWCKSTDDFLSSTALKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGNSLPSTSTDSRDRLKGFDKFLHETSQTQSVASDLDKYLEEPVFPRNCDFNILNWWKVHRPRYPILSMMARDVLGTPMSTVAPELAFNTGGRVLDCHRSSLNPDTRQALICARDWLRGEPEEHNPSSAVTLYLEAS >Manes.16G021400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2400599:2402140:1 gene:Manes.16G021400.v8.1 transcript:Manes.16G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSNSFKRFSDVKEQPNLDDLEAGKGNMSLDKFFDDVENVKEDMKEVEKLHKKLQETNEQSKTAHNAKTMKNLRARMDSDVEQVLKRVKLIKGKLEALERSNAAARNNPGCGPGSSADRTRTSVVSGLGKKLKDLMDNFQNFRAQMSAEYKETVERRYFTITGEKASEETIENLISSGESESFLQKAIQDQGRGQILDTISEIQERSDAVKEIEKNLIELHQLFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTEQLVEAREYQKSSRKWTCIAIYGFIILLFLLLLPLLPTIIALM >Manes.14G089300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7371190:7375088:-1 gene:Manes.14G089300.v8.1 transcript:Manes.14G089300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIHSIGGGGRLFNGGGGDRRLRPHHHQNHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSKPKQATSTADPTTSSTNTATATISTTQSPEQQQQQQRDRKSSNSHSSSESSSLTATNTTGANTGLEAISAHSSGSASNNILNGISESKLFAHANINPNFESGLLEQGADCGIFSEIGTLTSLITSTNEQLPIGFGNIMNQKCVEHVQQNQNQQWQQQQQKMVGISGEEMKMQDMAGGLIDQTVHVELSALQSSRTGNGGFGALDWPGTGDQGLFDLPNAVDQTYWSQSQWTDQGSPSLYLP >Manes.05G054150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4487095:4489025:-1 gene:Manes.05G054150.v8.1 transcript:Manes.05G054150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCCKKGYILGLSKPTDFIWIGSDSDAVRIQLVTRLEIWFVDFDPVCLGCIN >Manes.18G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3080420:3081961:-1 gene:Manes.18G032700.v8.1 transcript:Manes.18G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFYHEEQSNPSRKCKFFTATLKDAFSNCRTCRRVSVSSPELEYPASDLDDEQELVVSAVRSRAIEKSRQRSFVLTDSFSWVFSPRTGELYLAPKIFQDKDGDDEEVDDEREEFLSVASRFSCCSSALSKEAFVSVKTNFSRCSSFSEVLGFQDFPKRSILLELCHCEGWPFGLCRKAVLLPPLPKSPSESWSWRKGSRIVKMA >Manes.10G001800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:498720:502471:-1 gene:Manes.10G001800.v8.1 transcript:Manes.10G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSKLISREISYLANYHVSPFLFNAEIKALVLQGQCFEALQLYSRNPLNTTRFIYPSLLKACASLSNLQYGKIIHSTIITTGVFFDQFIATSLIDMYVKCGSLSDAVHVFDKLPGSGVVVQDVAIWNSIIDGYFRFGHLKEGVGQFHRMQLVGVRPDPYSLCILLGDHGYVGYKEVKQIHGYSVRNVSTSDLFLETALMDAYFSCGRPEDAWCLFKELEDQSNIVAWNVMIGGLGQNRLRERSLELYLLMKNKNVKPVSSSFTRIIHVCSEGEFVTFGNQVHCDAIKLGFENDPYVCTSLLIMHAKCQLVEQAEKVFNQVPHKEVELWNAMISAYVGNGRAYDALKIYKQMRLSKHLPDSFTISTILSSISMVAFYDFGRLIHTELVKRPIENNLTVQSALLTMYSRCGNNDDSYSVFSIMKERDVVAWGSMISGFCQNRQYKEAAEIFRAMKADGVKVDSDIMASIISACTGIEKVESGCVVHGFVIKNGLEMDIFVASSLVDMYSKFGFPDRAGNVFSDMRFKNLVAWNSIISCYSRNGLSELSIGLFPQILQNGFYPDSVTFTSVLVAISSVAALLKGKSVHGYLIKLRNPFTFDIQVENTLLDMYIKCGFLKYAEHIFQSMPQNNLVAWNSMISGYGSQGECFKALRLFDEMRSSEITPDDVTFLSLLSACNHSGLIDKGLDLFEMMRNEYVIEPRMEHYVNIVDLFGRAGRVDDAYSFVKNMPIEPDKSIWLSLLSSCRIHHNLELGEMVANELLKVQPSSGSNYVQLLNIYGEAELWDRAANLRASMKEKGLKKTPGCSWIEVRDRVDTFFSGDCSSPRTTEIYETLSSLKRNMVKKQSNYEIEIL >Manes.14G045700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3969440:3976734:1 gene:Manes.14G045700.v8.1 transcript:Manes.14G045700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVHSTNIPAIASGVKHGQSQESETSRRATTKMMCSSRSPTLVLRGFSGLRSSNSLDMLLRSGQDFHSKVGITMSRRQQKAKRFSPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADTSNIRTQVIRMVGESTENIPAPVGPGGGSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIERKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIEILKGLRERYEIHHKLRYTDEALVSAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRSQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPIVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVILFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKGKDIELQVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAGDIKEGDSVIVDVDSDGNVIVLNGSSGSPESLPDVLSIV >Manes.14G045700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3969578:3976725:1 gene:Manes.14G045700.v8.1 transcript:Manes.14G045700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVHSTNIPAIASGVKHGQSQESETSRRATTKMMCSSRSPTLVLRGFSGLRSSNSLDMLLRSGQDFHSKVGITMSRRQQKAKRFSPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADTSNIRTQVIRMVGESTENIPAPVGPGGGSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIERKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIEILKGLRERYEIHHKLRYTDEALVSAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRSQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPIVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVILFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKGKDIELQVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAGDIKEGDSVIVDVDSDGNVIVLNGSSGSPESLPDVLSIV >Manes.14G085201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7043597:7054792:1 gene:Manes.14G085201.v8.1 transcript:Manes.14G085201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAPQRKRKNSLGFSSLKIEDKTADQSQTLARKKKKKEGIAICDRLKARAKDHNNHLGSPEFSPKGISVDAVRSRPIGLAIPGNKEAPSVNEERTQAQDDASSYSGKKAKKATNEVSCPSFSFKKPSFTLSHLGRRAEQATGGTLSLTSVTDRFPLLFDSSGKTRENKKGLWPSFFRPGSLSPFTHVGKNAEQDIKDGVSLSSLAGKLPVSPGHSRKKLSGEKRKRDSKSKSTDIQATPAMYSLNHSEKYPSNCPKLEAVWSGSVEVLNMALPGKFSGDFRAHLPRCPWVIDHRAYKLSKQMPEVLQFELLPRGNIWDEIFDNDFAFSCDISLYFFPGNLERSIHQFDYLWSLMEKEDLVMRSRMDDFELIVFTSMRLCYAKELKWTPFLWGVFQFAKDEHISTSISNKKISTSTSNSNLSETHDSEVGTTSKQPSMKKTIRMIHLEVPPGFSREHASKGALKASFDVSNLVEVPVRNDPDLSLSLANNTCSARHPTSLKRKRGKPNKRP >Manes.03G036300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3175206:3180124:1 gene:Manes.03G036300.v8.1 transcript:Manes.03G036300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASMLSPRRRVVQQSISITIAFLLSSGCVHELKKLISSFHHSDLSSKGSPVTDIVCKYCETVITRVSNVVNVNQMVSSLASALRELKDKRDDIKRRVVLAELNGLTSTSQVQGWLERVEVVETKASLIIESLGQRNGCCLAHVCSKYKLSKKVSKLQRETNELIDRGSFDADVADRLFPEAVQEIPSRPAVGLRPMLERILQFLSEDEVGIVGIYGMGGVGKTTLLKIINNEFLTKSHHYDVVIWVVVSRDFAANKIQQAIGTRLGLSWEECESQEQRALKIHGVMIKKTVLLLLDDVWEGIDLQKIGIPLPQKENKSKVIFTARSLDVCSDMDAHRKLKVEFLGEEDSWKLFCDKVGGREILELKPIRYYAETIVRKCGGLPLALITIGRAMANKETEEEWKHAIEVLSRSPSELRGMEYVFTLLKFSYDNLETETLRSCFLYCSLYPEDYSIDKEQLIEYWIGEGFIDSCHESNVHNKGHAIIGSLKVACLLETGEEKTQVMMHDVVRSFALWVATECGCNKGLFLVEASMGLTAAPIAEKWKEAQRISLMDNGITTLAELPECPNLISLLLQYNSGLSRIPNAFFQFMPNLKVLDLSLTSLREIPASINRLVELQHLDLSGTKLTELPEELGHLVKLKHLDLQRTSSLRKIPQKAVSGLLQLRILNFYYSYGGWEDLNCGGVNEVGIADLECLKHLTTLGITVKELMTLKRLDNFSSLLKCIQYLYIKECKDLFYLQISSHSNFGRRLRRLSINNCYDLKYLQVDKEAGDNWLPSLEVLALHGLPSLTVVWKNPVTPECLQNLQSVNIWHCNMLKNVSWVINLPKLEAIYLMYCKEIEEMVGSDQMEDSKAFPSLKALSIRDLPKLKIITQWAVAFPSLKSIAVIDCPRLRKLPMKTRKTLTLPTVYGSKEWWDGLEWDDCTTGSALLPNFVSI >Manes.03G036300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3175206:3180319:1 gene:Manes.03G036300.v8.1 transcript:Manes.03G036300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASMLSPRRRVVQQSISITIAFLLSSGCVHELKKLISSFHHSDLSSKGSPVTDIVCKYCETVITRVSNVVNVNQMVSSLASALRELKDKRDDIKRRVVLAELNGLTSTSQVQGWLERVEVVETKASLIIESLGQRNGCCLAHVCSKYKLSKKVSKLQRETNELIDRGSFDADVADRLFPEAVQEIPSRPAVGLRPMLERILQFLSEDEVGIVGIYGMGGVGKTTLLKIINNEFLTKSHHYDVVIWVVVSRDFAANKIQQAIGTRLGLSWEECESQEQRALKIHGVMIKKTVLLLLDDVWEGIDLQKIGIPLPQKENKSKVIFTARSLDVCSDMDAHRKLKVEFLGEEDSWKLFCDKVGGREILELKPIRYYAETIVRKCGGLPLALITIGRAMANKETEEEWKHAIEVLSRSPSELRGMEYVFTLLKFSYDNLETETLRSCFLYCSLYPEDYSIDKEQLIEYWIGEGFIDSCHESNVHNKGHAIIGSLKVACLLETGEEKTQVMMHDVVRSFALWVATECGCNKGLFLVEASMGLTAAPIAEKWKEAQRISLMDNGITTLAELPECPNLISLLLQYNSGLSRIPNAFFQFMPNLKVLDLSLTSLREIPASINRLVELQHLDLSGTKLTELPEELGHLVKLKHLDLQRTSSLRKIPQKAVSGLLQLRILNFYYSYGGWEDLNCGGVNEVGIADLECLKHLTTLGITVKELMTLKRLDNFSSLLKCIQYLYIKECKDLFYLQISSHSNFGRRLRRLSINNCYDLKYLQVDKEAGDNWLPSLEVLALHGLPSLTVVWKNPVTPECLQNLQSVNIWHCNMLKNVSWVINLPKLEAIYLMYCKEIEEMVGSDQMEDSKAFPSLKALSIRDLPKLKIITQWAVAFPSLKSIAVIDCPRLRKLPMKTRKTLTLPTVYGSKEWWDGLEWDDCTTGSALLPNFVSI >Manes.03G036300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3175206:3180124:1 gene:Manes.03G036300.v8.1 transcript:Manes.03G036300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGPVTDIVCKYCETVITRVSNVVNVNQMVSSLASALRELKDKRDDIKRRVVLAELNGLTSTSQVQGWLERVEVVETKASLIIESLGQRNGCCLAHVCSKYKLSKKVSKLQRETNELIDRGSFDADVADRLFPEAVQEIPSRPAVGLRPMLERILQFLSEDEVGIVGIYGMGGVGKTTLLKIINNEFLTKSHHYDVVIWVVVSRDFAANKIQQAIGTRLGLSWEECESQEQRALKIHGVMIKKTVLLLLDDVWEGIDLQKIGIPLPQKENKSKVIFTARSLDVCSDMDAHRKLKVEFLGEEDSWKLFCDKVGGREILELKPIRYYAETIVRKCGGLPLALITIGRAMANKETEEEWKHAIEVLSRSPSELRDYSIDKEQLIEYWIGEGFIDSCHESNVHNKGHAIIGSLKVACLLETGEEKTQVMMHDVVRSFALWVATECGCNKGLFLVEASMGLTAAPIAEKWKEAQRISLMDNGITTLAELPECPNLISLLLQYNSGLSRIPNAFFQFMPNLKVLDLSLTSLREIPASINRLVELQHLDLSGTKLTELPEELGHLVKLKHLDLQRTSSLRKIPQKAVSGLLQLRILNFYYSYGGWEDLNCGGVNEVGIADLECLKHLTTLGITVKELMTLKRLDNFSSLLKCIQYLYIKECKDLFYLQISSHSNFGRRLRRLSINNCYDLKYLQVDKEAGDNWLPSLEVLALHGLPSLTVVWKNPVTPECLQNLQSVNIWHCNMLKNVSWVINLPKLEAIYLMYCKEIEEMVGSDQMEDSKAFPSLKALSIRDLPKLKIITQWAVAFPSLKSIAVIDCPRLRKLPMKTRKTLTLPTVYGSKEWWDGLEWDDCTTGSALLPNFVSI >Manes.03G036300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3175200:3180124:1 gene:Manes.03G036300.v8.1 transcript:Manes.03G036300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGPVTDIVCKYCETVITRVSNVVNVNQMVSSLASALRELKDKRDDIKRRVVLAELNGLTSTSQVQGWLERVEVVETKASLIIESLGQRNGCCLAHVCSKYKLSKKVSKLQRETNELIDRGSFDADVADRLFPEAVQEIPSRPAVGLRPMLERILQFLSEDEVGIVGIYGMGGVGKTTLLKIINNEFLTKSHHYDVVIWVVVSRDFAANKIQQAIGTRLGLSWEECESQEQRALKIHGVMIKKTVLLLLDDVWEGIDLQKIGIPLPQKENKSKVIFTARSLDVCSDMDAHRKLKVEFLGEEDSWKLFCDKVGGREILELKPIRYYAETIVRKCGGLPLALITIGRAMANKETEEEWKHAIEVLSRSPSELRGMEYVFTLLKFSYDNLETETLRSCFLYCSLYPEDYSIDKEQLIEYWIGEGFIDSCHESNVHNKGHAIIGSLKVACLLETGEEKTQVMMHDVVRSFALWVATECGCNKGLFLVEASMGLTAAPIAEKWKEAQRISLMDNGITTLAELPECPNLISLLLQYNSGLSRIPNAFFQFMPNLKVLDLSLTSLREIPASINRLVELQHLDLSGTKLTELPEELGHLVKLKHLDLQRTSSLRKIPQKAVSGLLQLRILNFYYSYGGWEDLNCGGVNEVGIADLECLKHLTTLGITVKELMTLKRLDNFSSLLKCIQYLYIKECKDLFYLQISSHSNFGRRLRRLSINNCYDLKYLQVDKEAGDNWLPSLEVLALHGLPSLTVVWKNPVTPECLQNLQSVNIWHCNMLKNVSWVINLPKLEAIYLMYCKEIEEMVGSDQMEDSKAFPSLKALSIRDLPKLKIITQWAVAFPSLKSIAVIDCPRLRKLPMKTRKTLTLPTVYGSKEWWDGLEWDDCTTGSALLPNFVSI >Manes.03G036300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3175206:3180124:1 gene:Manes.03G036300.v8.1 transcript:Manes.03G036300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGPVTDIVCKYCETVITRVSNVVNVNQMVSSLASALRELKDKRDDIKRRVVLAELNGLTSTSQVQGWLERVEVVETKASLIIESLGQRNGCCLAHVCSKYKLSKKVSKLQRETNELIDRGSFDADVADRLFPEAVQEIPSRPAVGLRPMLERILQFLSEDEVGIVGIYGMGGVGKTTLLKIINNEFLTKSHHYDVVIWVVVSRDFAANKIQQAIGTRLGLSWEECESQEQRALKIHGVMIKKTVLLLLDDVWEGIDLQKIGIPLPQKENKSKVIFTARSLDVCSDMDAHRKLKVEFLGEEDSWKLFCDKVGGREILELKPIRYYAETIVRKCGGLPLALITIGRAMANKETEEEWKHAIEVLSRSPSELRDYSIDKEQLIEYWIGEGFIDSCHESNVHNKGHAIIGSLKVACLLETGEEKTQVMMHDVVRSFALWVATECGCNKGLFLVEASMGLTAAPIAEKWKEAQRISLMDNGITTLAELPECPNLISLLLQYNSGLSRIPNAFFQFMPNLKVLDLSLTSLREIPASINRLVELQHLDLSGTKLTELPEELGHLVKLKHLDLQRTSSLRKIPQKAVSGLLQLRILNFYYSYGGWEDLNCGGVNEVGIADLECLKHLTTLGITVKELMTLKRLDNFSSLLKCIQYLYIKECKDLFYLQISSHSNFGRRLRRLSINNCYDLKYLQVDKEAGDNWLPSLEVLALHGLPSLTVVWKNPVTPECLQNLQSVNIWHCNMLKNVSWVINLPKLEAIYLMYCKEIEEMVGSDQMEDSKAFPSLKALSIRDLPKLKIITQWAVAFPSLKSIAVIDCPRLRKLPMKTRKTLTLPTVYGSKEWWDGLEWDDCTTGSALLPNFVSI >Manes.13G133200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34190722:34191397:1 gene:Manes.13G133200.v8.1 transcript:Manes.13G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALLLLPLLVLSTFHGSSNGAAQPTSASPTALPTAASEFLEAHNQARAAVGVGPLEWSEKLANASSRLVRYQRNKMGCQFANLINSSYGGNQLWASGMAVTPRMAVDHWVQEKIYYNHASNSCVPNHQCGVYTQVVWKKSLELGCAQAACVKERASLTVCFYNPPGNVVGESPY >Manes.13G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13159043:13172201:1 gene:Manes.13G079000.v8.1 transcript:Manes.13G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKAAEAAIEAVGLGFDLVVDLSLKYCKKNSSRLILMDDEKVRDLVIPGGFSIRNVPKFIKCDKGERMRFSSDVLSFQQMSEQFNQELSLSGKIPSGHFNAAFEFTGVWQIDAANTKALAFDGVFITLYNIALEKSQLVLCDHVKKAVPSSWDPAALASFIEKYGTHVVVGIKIGGRDIIYAKQTYTGQQHSSPLEPVDVQKKLKEVADKLFMDGTGQNISDSDKFYEKDKFVKDHGFAFMDQFPSSSYSHTEEIKFIHRRKGGSNKSRHHSEWCQTVQFEPDVISMSFIPITSLLSGINGSGFLTHAINLYTRYKPPIEELHQFLEFQLPRQWAPVFGELALGPDRKQHTGPSLQFSLMGPKLYVNTTPVDVGMKPVTGLRLYLEGKKSNCLAIHLQHLSSLPMTFQLEHEQTGNISDPSSERKYYEKVQWKSFSHICTAPVESDEELAIVTGAQFEVGDSGLKRVLFLRLHFSKVIGAATLRKAEWDGSPAMVQKSGIISSFISTHFSATQRPLPKQPEVNINSAVYPGGPPVAAQDPKLLKFVDTTEMTRGPQDSPGYWVVSGAKLNVDKGKIFLRVKYSLLTPILPDEEV >Manes.11G059808.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7252336:7258692:1 gene:Manes.11G059808.v8.1 transcript:Manes.11G059808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHFQMELANIAKCLLLGVVILWIQIHGNKCCFEEERLALLDFKAFVGSNGFDADHLLPSWIDDPTSNCCKWERVMCNSTIDHVTELSLNNTRQYDIGSDSFYYDENSWYVNLSMFQQLKELKTLNLSYNNFDCSIDDQGCERLLKVKKLEVLDLTSNRFSNIILSSLGALISLKTLILSSNRMEGSFPIQGFQKLEELDISLNSFNNSILSSLTVLPSLKTLILISNLMEGSFPNQGIFNY >Manes.14G041700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3543869:3545719:-1 gene:Manes.14G041700.v8.1 transcript:Manes.14G041700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKFPIFLHLRNKQHLPKIYQREMDKKQLNFISFSQYIVFVIVLAATAARSDDETPIPASLDGVQAWFDANVKPLADRAGTLEKALEAAEAKPKTIKVRADGSGEFKTLTEAVKSVPKKNTERVIVDIGPGKYTEKVTIEKDQPFITFVGTGAEKPTLSFDGTAAKYGTVYSATLQVDSDFFMASNLIIENTAPRPDGVSKLQQALALRIGGTMAAVYNVKMIGFQDTLCDDRGVHFYKDCYIEGTVDFIFGRGKSIYLQTEIHVLADVPNQLTFIAAQAREKDSEDVGYSFVHCKVDGKGKGAFLGRPWMPMPITVYSYCTMSAVVNPEGWTNNRNPESEKNVFFGEYKNTGPGADPAGRVKFAKQLTEAEAKPFLSLGYIKGSSWLLPPPKV >Manes.05G192200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31502874:31508386:-1 gene:Manes.05G192200.v8.1 transcript:Manes.05G192200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSEEEFRFFDASEDIASVSDGKSDILETFDSHSSADNSIPNSPHYEIWRKSPLSVEERRCKFLNWMGIELDQSANESSFAVCNMEGEMYRIRGSSGAVLRKSIFGDEFCSTRSTMSCWSCDNSNLLGELGSKANFICREGTSGGVMVCNDEVSLENSVTADESENTSAPSPSFKQLLMKETEEPSTLMGTPKRAKNWWMSRLRSIACVVDKQREAEKLSHDGDDKLLEYRVQRVKVRQCGKRTKELSALYKGQDIQAHEGSIRTMKFSPDGQYLASAGEDRIVRVWHVLEDVRSNELDIPEIDPSCIYFTVNQLSELKPLFIDKEKTGKLRSLQKTSDSACVIFPPNIFRILEKPLHEFHGHSGEILDLSWSKDHHLLSASEDKSVRLWHVGSDHCLRVFSHSNYVTCVQFNPVDNNYFISGSIDGKVRIWGLPSCQVVDWTDIKEIVTAVCYHPNGQGVIVGSIGGNCRFFNMSESHLQLDAQICLFSKKKSPCKRITGFQFFPRDSTKVMVTCADSQIRILKGLNVIGKYRGLKNAASQISACFTSDGKHIISACEDSSVYMWNYINQEEHFPAQAKKIRSCERFSTNASIAIPWCGFQHANPENGGGFHFLNDNSSETMPFSSPAGFSLSQGYFLESFPKGSATWPEEKLPLSSQLSPSSAMHKSQYKFLKASCQSTSISHGWDLVIVTAGRDGRIRSFHNYGLPVSV >Manes.05G192200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31502874:31508386:-1 gene:Manes.05G192200.v8.1 transcript:Manes.05G192200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSEEEFRFFDASEDIASVSDGKSDILETFDSHSSADNSIPNSPHYEIWRKSPLSVEERRCKFLNWMGIELDQSANESSFAVCNMEGEMYRIRGSSGAVLRKSIFGDEFCSTRSTMSCWSCDNSNLLGELGSKANFICREGTSGGVMVCNDEVSLENSVTADESENTSAPSPSFKQLLMKETEEPSTLMGTPKRAKNWWMSRLRSIACVVDKQREAEKLSHDGDDKLLEYRVQRVKVRQCGKRTKELSALYKGQDIQAHEGSIRTMKFSPDGQYLASAGEDRIVRVWHVLEDVRSNELDIPEIDPSCIYFTVNQLSELKPLFIDKEKTGKLRSLQKTSDSACVIFPPNIFRILEKPLHEFHGHSGEILDLSWSKDHHLLSASEDKSVRLWHVGSDHCLRVFSHSNYVTCVQFNPVDNNYFISGSIDGKVRIWGLPSCQVVDWTDIKEIVTAVCYHPNGQGVIVGSIGGNCRFFNMSESHLQLDAQICLFSKKKSPCKRITGFQFFPRDSTKVMVTCADSQIRILKGLNVIGKYRGLKNAASQISACFTSDGKHIISACEDSSVYMWNYINQEEHFPAQAKKIRSCERFSTNASIAIPWCGFQHANPENGGGFHFLNDNSSETMPFSSPAGFSLSQGYFLESFPKGSATWPEEKLPLSSQLSPSSAMHKSQYKFLKASCQSTSISHGWDLVIVTAGRDGRIRSFHNYGLPVSV >Manes.06G024333.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:5086146:5088477:-1 gene:Manes.06G024333.v8.1 transcript:Manes.06G024333.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTEPSKDSLKPQTSDKTQEEIKKWIEELSQSPEVIKALQFKAIVPAYGTASLSQTVDSKDLSNPLKAVGLPKIQSSHGYNTGFYKWYLKPAFEFEIEVEHGFNNINPWEVIRKYYPENWYFTPKNILKPQDYYQSILEETGSVKVKHNFDKNHKEIIVYSSIQIKSVMHPKDWPAPNLYTEIAFKKLKRYSTSYNYFDYVNAWTNIFSIQNPTTTHSWLIYFDQQTIKTVTRFPNWFFTWWQSRGITEDILSQELLHIYQYFKTNYKPPQNEKYIPPLMYFCINFFLPWVYQWYFDFQYVTELNIPVIVKKHKIKWWGSFRNPTTEETVKQWIIKRPNFLKPHMLVN >Manes.09G026900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5914901:5922777:-1 gene:Manes.09G026900.v8.1 transcript:Manes.09G026900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTLVNLWESLAKLPLVMLFKDTRNVFNVDELAVEIAQIAVPAALALAADPVASLIDTAFIGHLGPVELAAVGVSIAIFNQMSKIAIFPLVSVTTSFVAEEDSAGKSSTKEDASLEDGSVVNKEMEELLPKSESTHKSSSASSISTKRDYERRHIPSASSALAIACVLGVIQALFLILAAKPVLSYMGVQSDSPMLIPAQQYLTLRSLGAPAVLLSLAMQGVFRGIKDTKTPLFATVVGDVANIILDPIFIFVFRLNVSGAAIAHVISQYLISLILLWKLIEHVDLLPPNIKDLQFSRFLKNGFMLLMRVIAATFCVTLAASLAARYGSTSMAAFQVCLQIWMATSLLADGLAVAGQAILASAFANKDHDKAKAITSRVFQYGLILGLVLSNLLLGGLQFASRLFTEDVNVLNLISVGIPFVAATQIVNVLAFVFDGINYGASDFAYSSYSMVLVSIISIVCLFTLSSSHGFFGIWVALTIFMTLRAFVGLLRIGTGMGPWSFLRS >Manes.15G152700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12676790:12681084:1 gene:Manes.15G152700.v8.1 transcript:Manes.15G152700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEYNVNVLSPQNKGCAKSIAESAVRRKNRKKKQLQESVEMEEEQEVNEYSPSAGGNVHSIDMRVETGKNKIKKKFLEEASISKEGSKKSDSESKRKRKERKKQLLQKAVEDEGEDVNTEGLPEEVNPAAEGGRIETAEGKEEEMIIGINPIAEKNPKSNDKMVNRLKKKKKERLLKEAAKADKRGVCYLSRIPPHMDHVKLRHILSQYGEIQRIYLAPEDPTAQISRKRAGGFRGQEFSEGWVEFTNKSIAKRVANILNGEQIGGRKRSQFYYDLWNIKYLSKFKWDDLTEEIAYKRAIREQKLALEISAAKRERDFYLNKVDQSRALSSIEERLKKKQKVQQESVGQVSVNEQAPKVIRQFSQTKPVANKVEESKSQLSKDILAGVFGGL >Manes.15G152700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12676784:12681084:1 gene:Manes.15G152700.v8.1 transcript:Manes.15G152700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEYNVNVLSPQNKGCAKSIAESAVRRKNRKKKQLQESVEMEEEQEVNEYSPSAGGNVHSIDMRVETGKNKIKKKFLEEASISKEGSKKSDSESKRKRKERKKQLLQKAVEDEGEDVNTEGLPEEVNPAAEGGRIETAEGKEEEMIIGINPIAEKNPKSNDKMVNRLKKKKKERLLKEAAKADKRGVCYLSRIPPHMDHVKLRHILSQYGEIQRIYLAPEDPTAQISRKRAGGFRGQEFSEGWVEFTNKSIAKRVANILNGEQIGGRKRSQFYYDLWNIKYLSKFKWDDLTEEIAYKRAIREQKLALEISAAKRERDFYLNKVDQSRALSSIEERLKKKQKVQQESVGQVSVNEQAPKVIRQFSQTKPVANKVEESKSQLSKDILAGVFGGL >Manes.15G152700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12676786:12681084:1 gene:Manes.15G152700.v8.1 transcript:Manes.15G152700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQEVNEYSPSAGGNVHSIDMRVETGKNKIKKKFLEEASISKEGSKKSDSESKRKRKERKKQLLQKAVEDEGEDVNTEGLPEEVNPAAEGGRIETAEGKEEEMIIGINPIAEKNPKSNDKMVNRLKKKKKERLLKEAAKADKRGVCYLSRIPPHMDHVKLRHILSQYGEIQRIYLAPEDPTAQISRKRAGGFRGQEFSEGWVEFTNKSIAKRVANILNGEQIGGRKRSQFYYDLWNIKYLSKFKWDDLTEEIAYKRAIREQKLALEISAAKRERDFYLNKVDQSRALSSIEERLKKKQKVQQESVGQVSVNEQAPKVIRQFSQTKPVANKVEESKSQLSKDILAGVFGGL >Manes.15G152700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12677040:12681084:1 gene:Manes.15G152700.v8.1 transcript:Manes.15G152700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEYNVNVLSPQNKGCAKSIAESAVRRKNRKKKQLQESVEMEEEQEVNEYSPSAGGNVHSIDMRVETGKNKIKKKFLEEASISKEGSKKSDSESKRKRKERKKQLLQKAVEDEGEDVNTEGLPEEVNPAAEGGRIETAEGKEEEMIIGINPIAEKNPKSNDKMVNRLKKKKKERLLKEAAKADKRGVCYLSRIPPHMDHVKLRHILSQYGEIQRIYLAPEDPTAQISRKRAGGFRGQEFSEGWVEFTNKSIAKRVANILNGEQIGGRKRSQFYYDLWNIKYLSKFKWDDLTEEIAYKRAIREQKLALEISAAKRERDFYLNKVDQSRALSSIEERLKKKQKVQQESVGQVSVNEQAPKVIRQFSQTKPVANKVEESKSQLSKDILAGVFGGL >Manes.08G148200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38429788:38432963:1 gene:Manes.08G148200.v8.1 transcript:Manes.08G148200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLSNTNLVSSSFSSSFTGHRNEQKNSSCRLKGFPRKVNRQTLRLKATSLGSDFHGKRVVLQDNQGKPKRGIYLQMSIKAQHTGLRLKSAPKWWEKGLQPNMREVTSAQDFVDSLLNAGDKLVIVDFFSPGCGGCKALHPKICQFAEMNPDVLFLHVNYEEHKSMCYSLNIHVLPFFRFYRGAQGRLCSFSCTNATIKKFRDALAKHSPDRCSLGPTKGLEEKELIALASNKDLNFKYAQKPDLPTPIPAKEERVPVVSPSHPNPALPLPLPLPTASPKSGQGSEEKTLVGSGR >Manes.09G047900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10168402:10173610:-1 gene:Manes.09G047900.v8.1 transcript:Manes.09G047900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPFLILAVLLPVSVVYCATLLPLHRAFPLNHRLQLDQLRARDRLRHARLLQGFVGGVVDFSVQGSSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGIQLNYFDTASSSTAGLVPCSHPICTSEIQTTATQCSQSNQCSYSFQYGDGSGTTGYYVSDTFYFDAVVGESLIANSSASINFGCSTFQSGDLTKTDKAVDGIFGFGQGDLSVISQLSSHGITPRVFSHCLKGEGSGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLPIDPAAFATSSNRGTIVDSGTTLAYLVEEAYDPFVSAVTAMVQPSVTPMISKGSQCYLVSNSVSEVFPPVSLNFAGGASMLLKPEEYLINLGFYILF >Manes.09G047900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10168402:10173610:-1 gene:Manes.09G047900.v8.1 transcript:Manes.09G047900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPFLILAVLLPVSVVYCATLLPLHRAFPLNHRLQLDQLRARDRLRHARLLQGFVGGVVDFSVQGSSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGIQLNYFDTASSSTAGLVPCSHPICTSEIQTTATQCSQSNQCSYSFQYGDGSGTTGYYVSDTFYFDAVVGESLIANSSASINFGCSTFQSGDLTKTDKAVDGIFGFGQGDLSVISQLSSHGITPRVFSHCLKGEGSGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLPIDPAAFATSSNRGTIVDSGTTLAYLVEEAYDPFVSAVTAMVQPSVTPMISKGSQCYLVSNSVSEVFPPVSLNFAGGASMLLKPEEYLINLGFYGGAALWCIGFQKVQGGVTILGDLVLKDKVFVYDLARQRIGWANYDCSSSVNVSLTSSKDFINSGQLSVSSSSRDMLFKLLPLSITSLLMHILASTNFQFL >Manes.09G047900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10168402:10173610:-1 gene:Manes.09G047900.v8.1 transcript:Manes.09G047900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPFLILAVLLPVSVVYCATLLPLHRAFPLNHRLQLDQLRARDRLRHARLLQGFVGGVVDFSVQGSSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDVLWVTCSSCSNCPQSSGLGIQLNYFDTASSSTAGLVPCSHPICTSEIQTTATQCSQSNQCSYSFQYGDGSGTTGYYVSDTFYFDAVVGESLIANSSASINFGCSTFQSGDLTKTDKAVDGIFGFGQGDLSVISQLSSHGITPRVFSHCLKGEGSGGGILVLGEILEPGIVYSPLVPSQPHYNLNLQSIAVNGQLLPIDPAAFATSSNRGTIVDSGTTLAYLVEEAYDPFVSAVTAMVQPSVTPMISKGSQCYLVSNSVSEVFPPVSLNFAGGASMLLKPEEYLINLGFYQGGAALWCIGFQKVQGGVTILGDLVLKDKVFVYDLARQRIGWANYDCSSSVNVSLTSSKDFINSGQLSVSSSSRDMLFKLLPLSITSLLMHILASTNFQFL >Manes.02G092000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7199806:7202369:-1 gene:Manes.02G092000.v8.1 transcript:Manes.02G092000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYFKFFSAKEYDFIPVDGSVISVDALKRKFFESKYKQKSKGSCLGTDLDLVVVNAQTNDCYVDDMLIPNNTRVLIRRVPGTRFRKPISTATIVVIGTQQPLSSHFTGSSSSRTGSEAAVTAACRSDAVNASVSSVHGSTVTSLSSTKYSEDITFEDDDGFGDDDVLVIPRMQPVQSSKSTVDEEFDEDSKIKALVNTPALDWQPKGSNGVGFGSRGVIGGLRKKIPPQGYICHRCKVPGHFIQHCPTNGDPKYDFKRVKPPTGIPKSMLMPNPDGSYKLSSGATAVLQPNNDAFEKEILGCFPSKISVDNLPPELLCPLCKQVMRDAVLTSKCCFKSFCDTCIRVHLITSKLTCACGATNVLTDYLIPNMTLRDTINCFVQSGSSYSSTVENAKSNSFPVKDMESSHCSQAQISTTKLSAESFEEEQKPSPSNVEDKANERKPLDAPRQMAKKARTEGAADVSEATIGSIRTKDTASQGSILVVGEEVQQKDVSVEGEKKRKERKVIKYEVQQKEGSGERRKKKRGRNSQDVEAESYMMPVGSYAYNPHWIGMQAGLQGYMAPYYAAGAMSYGWSPFGTTFNGA >Manes.14G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2361208:2364886:1 gene:Manes.14G025500.v8.1 transcript:Manes.14G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFMITGIFKHVAGDFPDRRAVSVSGKFDLTYSHLHQLVEKAAYRLVAAGIKPGDVVALTFPNTIEFVIMFLAVIRARATAAPLNSAYTAEEFEFYLSDSESKLLLTPQEGNSTAQAAASKLNIRHAAVALPGADSELALSLPDPESDFISLAQLANEPSDVALFLHTSGTTSRPKGVPLTQLNLASSVRNIKAVYRLTESDSTVIVLPLFHVHGLVAGLLSSLGAGAAVTLPAAGRFSASTFWKDMIKYKATWYTAVPTIHQIILDRHFSNPEPAYPKLRFIRSCSASLAPSILARLEETFGAPVLEAYAMTEATHLMSSNPLPEDGPHKSGSVGKPVGQEMAILDENGATQEANASGEVCIRGPNVTKGYKNNPEANRVAFQFGWFHTGDLGYLDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLSHPEIAQAVAFGVPDDKYGEEINCAIIPRDGSKIEEAEVLRYCRQNLAAFKVPKKVFITDSLPKTASGKIQRRIVAEHFLAQISTAKVPKFGA >Manes.01G157000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34304826:34309080:-1 gene:Manes.01G157000.v8.1 transcript:Manes.01G157000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHDKFSIRTLPVYSRLAALVMVVDLSDLSSLVALQNWVSRTDIQRFEILLCIGNKVDLIPGHPVHAEYRKRLEKLEDYSADPHSEFYEFGISETEGSSLLGGEDPAMEIRKSCLEWCTEHNIEYIEACASNADFDKCLSTDGDSQGVERLFGALSVHMWPGLILKSGNSITVPTLRDKEELSEEESDYEFEYELLSVGSAEPLDDTNGGWVSANGTSSASDMAGPVDQNNHITEHDGDNWEKFDNEKLQPSTSMVELQDNKGVVPKLADPSKNTEINEVTPFDFEDIEHLMSEIGNIRDNLRFMPDFQRREMAAELTMKMATMFGGGSDDEDAFD >Manes.01G157000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34304826:34309080:-1 gene:Manes.01G157000.v8.1 transcript:Manes.01G157000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDLTALENRPGIFMIGSSNVGKRALLSRLLSVDFEDASDSSFEVLSHGWTINTKYYTADVSVWMAHLHDKFSIRTLPVYSRLAALVMVVDLSDLSSLVALQNWVSRTDIQRFEILLCIGNKVDLIPGHPVHAEYRKRLEKLEDYSADPHSEFYEFGISETEGSSLLGGEDPAMEIRKSCLEWCTEHNIEYIEACASNADFDKCLSTDGDSQGVERLFGALSVHMWPGLILKSGNSITVPTLRDKEELSEEESDYEFEYELLSVGSAEPLDDTNGGWVSANGTSSASDMAGPVDQNNHITEHDGDNWEKFDNEKLQPSTSMVELQDNKGVVPKLADPSKNTEINEVTPFDFEDIEHLMSEIGNIRDNLRFMPDFQRREMAAELTMKMATMFGGGSDDEDAFD >Manes.10G070800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:11135406:11147311:-1 gene:Manes.10G070800.v8.1 transcript:Manes.10G070800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEETVKAFYAKSLSEKFSIADMGCSSGPNALEAMSVIINTIFTQCKEKGQTSPEHLVFLNDLPGNDFNNVFKSLPQFYEKLKKQTDLEMETCFISGMPGTFYGRLFPSETLDFVHASCSVHWLSQVLEGIENNKGNIYISKTSPKNVFEAYLDQFQKDFSLFLCCRAKELKSKGQMILTLLGRSTSDPACNDCIQFWYLLAQSLLEISREGLIEEANVDSFNMPYYTPFSGEVVDIIGKEGSFEINNLNNIRLNWDPNDNDENQNYAFNKNTSGKNVACHVRAASESVLVSHFGEAIIDELFLRYSRNVGEHLSQEKTKYNFVVISMTKKS >Manes.07G006400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:821270:824352:-1 gene:Manes.07G006400.v8.1 transcript:Manes.07G006400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQLATQAICRQDLLNFNPIITTGMQAACMLVISHIFHLILTPSGQPGPVANIIAGLVLGPSLLCRVKRLKEFFIQSYSIEYYQVVSFIFRVFFMFLIGLDTDVPYIRRNLRLSSIIAFGCIFVCTLFGAASAIIVLHVLKLQYNKWTLIIVIPLIIANSASPVVIRMAAELKIDTLEVGRLGISSSLVNEMSCVVLYSLYISCQTGKKFGNGILCLLFSVGLVLLNRHLAFWFNRRNQNQKYVSNKEVLVVFVLVLATAAIIESYGYLSTLACFLFGLLFPREGKTARTLLQKLSYSVHNFVLPIYFGFVGFQFDVDHFMRVDNVVMIVLMILLSTGGKLVGALAACHYLNIPKNEAVMLAFILNFKGHTELLILELVPKVIAWWNRRLHSLVIIVVVLDTLIAGLVVVFMLRSKDYYFSHKRTSLESQDTDTELRMLACVYSSRHTSATVGLISAMSGCQNVPFTPYLMHLVELPEKRRKTKLMYHQLQDGDQFSDEEEYGGNDVLEINDVVDAFTADTKILINQTKVVASFAAMYEDVCSGAEYFRVSIIFVHLHKHQRIDEKLEDGKEGIRTSNQKILKHAPCSVGILVDRGHTGFKKPGSEYVQQVAVLFFGGPDDREALACSKRMVMHPYINLTLIRFLLSESKEQVNKWNNDASPNDDEVLLAISDPGIQNEMDDAYVDDFKNRFVKCGRASFAEKHVKNGEETGKILREMGETYSLIIVGKGGRGQSSMLIGLTDWEGCPELGTVGNLLASSELSISGSVLVIQQYRNHLNEKHVDDDL >Manes.09G163500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35758555:35760908:1 gene:Manes.09G163500.v8.1 transcript:Manes.09G163500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLSSHSLSPLFNPKPSSPKTHFPLPLQPRPHSLSLTKPITCSLKNQTPQSLKPFLPIPTSWVSHAQQGLAALALSLALNFSPILYTGNALASEFDVINEGPPKDSYVVDDAGVLSRVTKTDLKQLLSDLESRKNVKINFITVRKLTSKADAFEFADQILEKWYPTVEEGNNKGIVVLVTSQKEGAVTGGPGFVQAVGETVLDSTVSENLPVLATEEKYNEAVVSSAKRLVAAIDGLPDPGGPKFKDNKRESNFKTREETEEKRGQFALVVGGLLVIAFVVPMAQYYAYVSKK >Manes.16G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28078422:28097616:-1 gene:Manes.16G076600.v8.1 transcript:Manes.16G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHSFTPYHFAIIITTLILNNNLVLSHSREDYLSIIDSDSYLFHQDYSPPSPPPPPPHPPSVSCADDLGGIGSLDTTCQIVSNVNLTRDVYIEGKGNFYIHPGVSFNCPSAGCSININITGNFTLSSNASIVTGSFELLAHNSNFLDGTSVNTTGLAGKPPPQTSGTPQGIDGAGGGHGGRGACCLVGDKKLPEDVWGGDAYSWSSLQKPTSYGSRGASTSKEVNYGGGGGGKVKLKVRDYVVVDGYILADGGDGGTKGGGGSGGSIWMKAYKMTGSGRISACGGSGFAGGGGGRVSVDIFSRHDEPQIFVHGGNSRGCPQNAGAAGTLYDAVPKSLTINNHNMSTDTETLLLDFPYQPLWTNVYLRNHARATVPLLWSRVQVQGQISLLCGGVLSFGLAHYASSEFELFAEELLMSDSVIKVYGALRMTVKIFLMWNSNMIVDGGEDATVATSWLEASNLIVLRESSVIQSNANLGVHGQGLLNLSGPGDSIEAQRLVLSLFYSIHVGPGSVLRGPLKNASSDAVTPRLYCEREDCPIELIHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGAVVHFHRARTVSVPSSGTISASGMGCTGGVGRGQVLENGVGSGGGHGGKGGLGCYNGSCVEGGISYGNAELPCELGSGSGGENPSSSTAGGGVIVMGSLEHPLSSLTVEGSVRSDGESSKGIIKQGEFNVRNDITRGPAGGSGGSILLFLHTLDLSESAVLSSGGGYGSPNGAGGGGGGRIHFHWSDIPTGDVYQPIASVKGIINTGGGMGRGEGHAGENGTVTGKACPKGLYGVFCKECPAGTYKNVTGSDRALCRPCPLNDLPHRAIYIPVRGGIAETPCPYKCISDRFHMPHCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPTPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHTPPQEINEIVYESAYNTFVDEINSITAYQWWEGAIYSILSVLLYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRTNLPPRLHQRFPMSIIFGGDGSYMAPFSIQSDNILTSLMSQMVPPTTWYRMVAGLNAQLRLVRRGRLRVTFQSVIRWLETHANPALRIHGIHVDLAWFQATACGYCQYGLLVHAAEGEAVERIDGSKQTEQESRMKGAQRGNPSGHLRVDTLSSEGPRNSGSIMRRKKSYGGSMDSNTLQMLVEKRDIFCLLSFILHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARIYALWNITSMINVVVAFLCGFVHYRNQSSSSKKFPFQPWNISMDESEWWIFPVGLVVCKILQSQLINWHVANLEIQDRSLYSNDFELFWQS >Manes.16G076600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28078422:28097616:-1 gene:Manes.16G076600.v8.1 transcript:Manes.16G076600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHSFTPYHFAIIITTLILNNNLVLSHSREDYLSIIDSDSYLFHQDYSPPSPPPPPPHPPSVSCADDLGGIGSLDTTCQIVSNVNLTRDVYIEGKGNFYIHPGVSFNCPSAGCSININITGNFTLSSNASIVTGSFELLAHNSNFLDGTSVNTTGLAGKPPPQTSGTPQGIDGAGGGHGGRGACCLVGDKKLPEDVWGGDAYSWSSLQKPTSYGSRGASTSKEVNYGGGGGGKVKLKVRDYVVVDGYILADGGDGGTKGGGGSGGSIWMKAYKMTGSGRISACGGSGFAGGGGGRVSVDIFSRHDEPQIFVHGGNSRGCPQNADTETLLLDFPYQPLWTNVYLRNHARATVPLLWSRVQVQGQISLLCGGVLSFGLAHYASSEFELFAEELLMSDSVIKVYGALRMTVKIFLMWNSNMIVDGGEDATVATSWLEASNLIVLRESSVIQSNANLGVHGQGLLNLSGPGDSIEAQRLVLSLFYSIHVGPGSVLRGPLKNASSDAVTPRLYCEREDCPIELIHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGAVVHFHRARTVSVPSSGTISASGMGCTGGVGRGQVLENGVGSGGGHGGKGGLGCYNGSCVEGGISYGNAELPCELGSGSGGENPSSSTAGGGVIVMGSLEHPLSSLTVEGSVRSDGESSKGIIKQGEFNVRNDITRGPAGGSGGSILLFLHTLDLSESAVLSSGGGYGSPNGAGGGGGGRIHFHWSDIPTGDVYQPIASVKGIINTGGGMGRGEGHAGENGTVTGKACPKGLYGVFCKECPAGTYKNVTGSDRALCRPCPLNDLPHRAIYIPVRGGIAETPCPYKCISDRFHMPHCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPTPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHTPPQEINEIVYESAYNTFVDEINSITAYQWWEGAIYSILSVLLYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRTNLPPRLHQRFPMSIIFGGDGSYMAPFSIQSDNILTSLMSQMVPPTTWYRMVAGLNAQLRLVRRGRLRVTFQSVIRWLETHANPALRIHGIHVDLAWFQATACGYCQYGLLVHAAEGEAVERIDGSKQTEQESRMKGAQRGNPSGHLRVDTLSSEGPRNSGSIMRRKKSYGGSMDSNTLQMLVEKRDIFCLLSFILHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARIYALWNITSMINVVVAFLCGFVHYRNQSSSSKKFPFQPWNISMDESEWWIFPVGLVVCKILQSQLINWHVANLEIQDRSLYSNDFELFWQS >Manes.16G076600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28078422:28097616:-1 gene:Manes.16G076600.v8.1 transcript:Manes.16G076600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTETLLLDFPYQPLWTNVYLRNHARATVPLLWSRVQVQGQISLLCGGVLSFGLAHYASSEFELFAEELLMSDSVIKVYGALRMTVKIFLMWNSNMIVDGGEDATVATSWLEASNLIVLRESSVIQSNANLGVHGQGLLNLSGPGDSIEAQRLVLSLFYSIHVGPGSVLRGPLKNASSDAVTPRLYCEREDCPIELIHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGAVVHFHRARTVSVPSSGTISASGMGCTGGVGRGQVLENGVGSGGGHGGKGGLGCYNGSCVEGGISYGNAELPCELGSGSGGENPSSSTAGGGVIVMGSLEHPLSSLTVEGSVRSDGESSKGIIKQGEFNVRNDITRGPAGGSGGSILLFLHTLDLSESAVLSSGGGYGSPNGAGGGGGGRIHFHWSDIPTGDVYQPIASVKGIINTGGGMGRGEGHAGENGTVTGKACPKGLYGVFCKECPAGTYKNVTGSDRALCRPCPLNDLPHRAIYIPVRGGIAETPCPYKCISDRFHMPHCYTALEELIYTFGGPWLFCLLLVGLLILLALVLSVARMKFVGVDELPGPTPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHTPPQEINEIVYESAYNTFVDEINSITAYQWWEGAIYSILSVLLYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRTNLPPRLHQRFPMSIIFGGDGSYMAPFSIQSDNILTSLMSQMVPPTTWYRMVAGLNAQLRLVRRGRLRVTFQSVIRWLETHANPALRIHGIHVDLAWFQATACGYCQYGLLVHAAEGEAVERIDGSKQTEQESRMKGAQRGNPSGHLRVDTLSSEGPRNSGSIMRRKKSYGGSMDSNTLQMLVEKRDIFCLLSFILHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARIYALWNITSMINVVVAFLCGFVHYRNQSSSSKKFPFQPWNISMDESEWWIFPVGLVVCKILQSQLINWHVANLEIQDRSLYSNDFELFWQS >Manes.07G084800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:26681625:26683434:1 gene:Manes.07G084800.v8.1 transcript:Manes.07G084800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMKGKLFKKLKSIKPFGYVKQDRILLVNAADGFIETFPKSPGIDSPAQFTPKEPDQEKVEEAMVINQEPDIIDVAELMRGLEDDEMEIDDDICDKENIGPPIEVEDKLKTPFRRQTPLSEIDISSFRRPELSSGTILVPDLLAAFGQVVKEQVTMSEAESQTRTENENLERRETRRDLEKFEGEPPLKTRRIQDEDDDPLLSFEEKCPPGGNDSVILYTTTLRGIRKTFENCNSIRIILESFRVIFYERDVSMDKEFKEELWRVLESKVKPPRLFIKGRYIGGAEEILRLHEQGKFRILFKGVPIDHSTRPCEGCAGFRFVVCFHCRGSHRIVEDDGLSRRCHDCNENGLIICPLCC >Manes.17G011150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:3937710:3938168:1 gene:Manes.17G011150.v8.1 transcript:Manes.17G011150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFKHSLIDLGFVGSGFIWQRSGENVPYQAARLDRCFVSTDWRLDYVDAIVEHPPKLHSDHVPIVIKCQGVLAFGVHPFRFLTAWTLHAQFDQVVACSWDPNRSLIHNLSILKIQLGEWNRTQLGNIFDNKRRLLRRLGGVQRDLAESRSRSLV >Manes.01G003000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1947175:1947827:1 gene:Manes.01G003000.v8.1 transcript:Manes.01G003000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNMQVEVAAAMDEASTARVENYLPGHLNCLASLSGGPRSSGRREKDPH >Manes.12G141600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34794296:34795426:1 gene:Manes.12G141600.v8.1 transcript:Manes.12G141600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTVQAVLAATATFFLISALFAFICLVCKSSKARSRSHNHQPLPQRQTRGLNGHRTVPNSHLSSITIDESATFDPTLNRISMEELKIATKNFSADLIIGDGSFGFVYKATLSDGVTVAIKKLDPDAFQGFREFRAEMETLGKLQHPNIVRILGYCVSGIERVLIYEFIEKGNLDQWLHDTSPGSEQLGNLPLSWETRIKAVRGIANGLAYLHQLDTPIIHRDIKASNVLLDSDFEAHIADFGLARAIDGSHSHVSTQVAGTMGYMPPEYKEGVTAATAKADVYSFGILMIEIATAERPNLPMVLEGKEMGLIVWARKMLEQNKHMEMLDSKMAKEGLREEKVEEYFGIASMCTREIMMDRPVMSEVVHLLDRLAT >Manes.10G087900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22583760:22588200:-1 gene:Manes.10G087900.v8.1 transcript:Manes.10G087900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRAPSRNARTRGFKVKHVLQICLLLGVCFWLIYQLKHSHDKKKELDEYEAQISVRTQSDVGISNLGRKGLHPRVQELSKDKKHEEEDDEETTVDEEVNKMEENKHEQKQVEGEAKYEEDEREEGTKHIEEEQEEEGTKHEEEEQEEEGTKHEEEEREEGNKHEDDEQEEEIEDERRGGGDDEIGEHDQEKLGEADHEEDLVDGERGREEQGEEKESGNDEAEDKEAQSGHETSTEDQDNDGGVQNDHEAREEHYKADDASSAVTHDSQTISAEAENTSSDNSNDNSVTNDLELERNSNSINTNDVSGDEKNSQSQLEGVVSADNDPPPNATAAEKKDDKIINVEDLSTKHATFSSLSNDQPVLSNTSKDVNVEAGNNPEGGRTETSGSSQHNGTLLTSDSNQVQNATIYGASTGEASNLKTTEWVQVNNSIVSGNIETNSISSIPDKTLDNISAAATSNFSANSEPAGSGKVIKPEVTAEAEVRPDGSDKIIKPELEVNAEAEVKPDGSDKVIKPEPEVNSEVNSESSSTTKETAHDANESGGTEENLGSSATDGTEAVVHDHTDSSVGQEEKEARIDLETLPGDSGEGVNSRDAAAE >Manes.10G087900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22583760:22588200:-1 gene:Manes.10G087900.v8.1 transcript:Manes.10G087900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRAPSRNARTRGFKVKHVLQICLLLGVCFWLIYQLKHSHDKKKELDEYEAQISVRTQSDVGISNLGRKGLHPRVQELSKDKKHEEEDDEETTVDEEVNKMEENKHEQKQVEGEAKYEEDEREEGTKHIEEEQEEEGTKHEEEEQEEEGTKHEEEEREEGNKHEDDEQEEEIEDERRGGGDDEIGEHDQEKLGEADHEEDLVDGERGREEQGEEKESGNDEAEDKEAQSGHETSTEDQDNDGGVQNDHEAREEHYKADDASSAVTHDSQTISAEAENTSSDNSNDNSVTNDLELERNSNSINTNDVSGDEKNSQSQLEGVVSADNDPPPNATAAEKKDDKIINVEDLSTKHATFSSLSNDQPVLSNTSKDVNVEAGNNPEGGRTETSGSSQHNGTLLTSDSNQVQNATIYGASTGEASNLKTTEWVQVNNSIVSGNIETNSISSIPDKTLDNISAAATSNFSANSEPAGSGKVIKPEVTAEAEVRPDGSDKIIKPELEVNAEAEVKPDGSDKVIKPEPEVNSEVNSESSSTTKETAHDANESGGTEENLGSSATDGTEAVVHDHTDSSVGQEEKEARIDLETLPGDSGEGVNSRDAAAE >Manes.05G004100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:350744:352531:-1 gene:Manes.05G004100.v8.1 transcript:Manes.05G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALFSFTPFDFNGIQGDYLPQHYEKGEAVIKEKQNPLFSMEELGQVYPICSEYGAYQDDTTSNKGLHFFKDQQQQPPPPKSNCLTLDEYFGSCFPAPAQPIQKLNKSEINIAKPNKESPHPFVLSSSLELLNNYGSSIKKLNMNQFNNASNQTHRVETRKKLSTEEVMRVAGARYIQFSDPRYDDFSMLMHPFGYALSGLSEEETRDVELAHLLLAAAEKVGYQQYDRANRLLTRCEWIAYERANPVQRVVYCFAEALRERIDKATGRFTQKERQAKCEIAHGLGTNLAVLDFHQSVPFNQITQLTSIQAIMENIGSARKLHAIDLEIRSGVQWTAMMQALAEREQRPLEHLKVTAVGLTGIHNKIEETGRSLERFAKSMNFPFTFKAIYVSNVNDIKEDLFEIAPDEVLVVVSNMFLRTLISNPDCLENLMRVIKNLNPSIMVVTEVEANHNSPIFVNRFIEALFFYSAYFDCLETCMKQNIENKLIVEAAFCHGIREIVAVGDNERIARSVKIDVWRAFFSRFRMVEIGFSESSLYQATLVPKQFPCGSSCSLDKNGKCLLVGWKGTTLHSLSAWKFSRERLGRFFANYRF >Manes.14G051600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4392201:4395409:1 gene:Manes.14G051600.v8.1 transcript:Manes.14G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAFWGHLPLLVRANSKESVEYILQALWRTRKTGLDAADRQVICDMLNIQNDSDLDPLLVCLRMLIRRCVYENISKDEIQKLFPKEVLPELQRLLTILLQKFQREWQEDVFKDQVILPRLKTMTWNMANQDTAEVTEPMAVINLKLQNDVPSHSGELEVKFQLTKDTLETMVKSMYCIRDQLSDMDVASNRRHLSQETNTV >Manes.S025352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2170435:2171247:1 gene:Manes.S025352.v8.1 transcript:Manes.S025352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.05G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11358363:11364093:1 gene:Manes.05G111900.v8.1 transcript:Manes.05G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIDNKINRQVTFSKRRAGLLKKAHEISVLCDAEVALIVFSQKGKLFEYSTDSCMEKILERYERYSYAERQIISTDLNSQENWTLEYNRLKAKVELLQRNHRHYMGEDIESLSLKELQNLEQQLDTALKHIRARKNQLMYESISELQKKEKAIQEQNSMLTKQIKEKEKAVAQQALWEQHNHGTNMSPFLLPQPPLPCLNIGVNYQEEAPEVRRNELDLTLEPLYSCHLGCFTS >Manes.18G021800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2168912:2171356:-1 gene:Manes.18G021800.v8.1 transcript:Manes.18G021800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEGGENNYMSVGPWGSQNGVRWDDGVFNSVRQVVIFYGAQVDSIQFEYDKMGTSVWSDKHGGTGGFRTNKVKLDYPDEYLVSVSGHYGNVVDCGPILIRSLTFESNKRKYGPFGIQQGTHFSFPLTGGKLVGFHGRCSWYLDSIGVYLMPLLQRNPSKNLATATGRITGNKEKDPSDSEVENNLKVVTSNAVIGRTMKAVSHGPWGGNGGMLFDDGVYTGVREIHLTRYGGVVSIRVCYDLNGQAIWGNKNGGSGGIRLDKIIFDYPSEILTHVTGYYGSTILRGPIVVKSLTFHTNKMKYGPFGDEQGIFFSSGSNNGFVVGFHGRKGWFIDSIGVHVAQVNLSRQSRPSHDPPVSTNIQAYEVTVPGMVKEPAAAAAWSSGPWGGDGGKPWDDGVFSGVKKIFLAKGEAIYSIQIKYDRNGQSAWSVRHGGGSEGSSHLIKFEYPYEILTSVCGYHGSLTGDESHNVIKSLTFYTNKGKYGPYGVETGTFFTTTKTEGKIVGFHGRSGCYLNSIGVHTQQWSSDRESAPQGPPGERGGTVKTIFNKLFN >Manes.15G154100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:12780148:12780901:1 gene:Manes.15G154100.v8.1 transcript:Manes.15G154100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQALVLALLFVAIAGAFAKSSHSASLSSSPSGKNSTSPAPSKAPAESATSPAQSPSSSEAPKSSADGPASSSGPSPSSSDEGSAPETAVSSPPPPSLNGSTSDDATGPAAADGPAEAPADSGAATLKISAVAAAAGLFLLSF >Manes.06G041900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12906974:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSTWGVTADCCSWVRVYCNSTTGHVVQLSLDGVRSSEEDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLELSDNSFHKSILSSLSGLSSLKILSLSGNRLKGIINIEEFNHLISLEELDLSANAIEGFISSNELGKSKLVTLDLSGNNITEFVDSREIRASNNISELYLDDITITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGLPHLQIIGAMSSLKKLSLGGCGLNDTEFLNQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.06G041900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12906974:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSTWGVTADCCSWVRVYCNSTTGHVVQLSLDGVRSSEEDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLELSDNSFHKSILSSLSGLSSLKILSLSGNRLKGIINIEEFNHLISLEELDLSANAIEGFISSNGSDELSKLSNLERFDLSYNHVNISLLSPLIRLPSLRYLDLGYNQLEGLFNFKELGKSKLVTLDLSGNNITEFVDSREIRASNNISELYLDDITITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGLPHLQIIGAMSSLKKLSLGGCGLNDTEFLNQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.06G041900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12905839:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKKLSLGGCGLNDTEFLNQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.06G041900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12906974:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSTWGVTADCCSWVRVYCNSTTGHVVQLSLDGVRSSEEDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLELSDNSFHKSILSSLSGLSSLKILSLSGNRLKGIINIEEFNHLISLEELDLSANAIEGFISSNEIRASNNISELYLDDITITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGLPHLQIIGAMSSLKKLSLGGCGLNDTEFLNQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.06G041900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12906974:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSTWGVTADCCSWVRVYCNSTTGHVVQLSLDGVRSSEEDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLELSDNSFHKSILSSLSGLSSLKILSLSGNRLKGIINIEEFNHLISLEELDLSANAIEGFISSNELGKSKLVTLDLSGNNITEFVDSREIRASNNISELYLDDITITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.06G041900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12906974:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSTWGVTADCCSWVRVYCNSTTGHVVQLSLDGVRSSEEDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLELSDNSFHKSILSSLSGLSSLKILSLSGNRLKGIINIEGYNQLEGLFNFKELGKSKLVTLDLSGNNITEFVDSREIRASNNISELYLDDITITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGLPHLQIIGAMSSLKKLSLGGCGLNDTEFLNQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.06G041900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12906974:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSTWGVTADCCSWVRVYCNSTTGHVVQLSLDGVRSSEEDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLELSDNSFHKSILSSLSGLSSLKILSLSGNRLKGIINIEEFNHLISLEELDLSANAIEGFISSNGSDELSKLSNLERFDLSYNHVNISLLSPLIRLPSLRYLDLGYNQLEGLFNFKELGKSKLVTLDLSGNNITEFVDSREIRASNNISELYLDDITITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGLPHLQIIGAMSSLKKLSLGGCGLNDTEFLNQGKININPSLFEICFILKILAKPFANEFTGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.06G041900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12906974:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSTWGVTADCCSWVRVYCNSTTGHVVQLSLDGVRSSEEDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLELSDNSFHKSILSSLSGLSSLKILSLSGNRLKGIINIEEFNHLISLEELDLSANAIEGFISSNGSDELSKLSNLERFDLSYNHVNISLLSPLIRLPSLRYLDLGYNQLEGLFNFKELGKSKLVTLDLSGNNITEFVDSREIRASNNISELYLDDITITKGSKLLESLGAFSHLKNLSLVSCKFEGAILHQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.06G041900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:12900043:12906974:-1 gene:Manes.06G041900.v8.1 transcript:Manes.06G041900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECWIMVMMILLLKESWCSDGCLENERIALLQIKSHFNSSSSSFMSTWGVTADCCSWVRVYCNSTTGHVVQLSLDGVRSSEEDYWYLNASLFLPFQQLNYLSLWGNNIAGCIKNEGFERLSALGNLEVLELSDNSFHKSILSSLSGLSSLKILSLSGNRLKGIINIEEFNHLISLEELDLSANAIEGFISSNGSDELSKLSNLERFDLSYNHVNISLLSPLIRLPSLRYLDLGYNQLEGLFNFKELGKSKLVTLDLSGNNITEFVDSRGLPHLQIIGAMSSLKKLSLGGCGLNDTEFLNQGVCKLKQLQELDISYNDISGSLPSCLANMTSLQSLHLSSNNFVGNISLYPFNRLTNLEYLDLSHNLFQIPVSLDLFFNHSKLKHFKSQGNNEIYGEKTEQNLTPMFQLETLYIDGYACIKAFPKFLYYQHNLREASLQSLKLRGRFPYWLLQNNTKLEELYLKNNSLSGPLQLPLHSHLNLSLLDISDNLFHGIIPPDIGTHLPRLELVYLSKNDFNGSIPSSFGNMSSLQILDLSNNDISGSLPSDFCCSHMLEVHLSRNQLQGSLEDAFFDCLQLVVLDLSHNNMTGSIPSWFERFYQLAYMILGYNNIEGEIPIQLCNLTQLSLIDLSHNNLSGHIPPCLRSTSNFASLSNGEEIEFTTKRNIYSYQRSMLYYFSGIDLSCNKLIGQIPIQIGYLNEIRVLNLSHNNLNGKIPASFSNLSQIESLDLSHNNLQGNIPSQLTELDFLEVFNVSCNDLSGRTPEKVKQFATFDESSYRDNPLLCGWPLQKYCATMESSPSIPRSSNDTEESNCFIDMEDFYASFGVAYIMVLLTIAGVLFINPYWRQVWFYFVEVSIDKCYYFLIDNLSCLSKFKLF >Manes.01G071400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27263985:27264524:1 gene:Manes.01G071400.v8.1 transcript:Manes.01G071400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNEGTSFPPSEPILCANGCGFFGTAANMNLCSKCYRDLRVKEEQAASAKAAMEKTLSIKPKQPAIIADTHDVVVVDVPPAAPNPSELVASSESSSSSSEHPVSGSDQAQLKATNRCFCCNKKVGLTGFKCKCGGTFCGSHRYSENHDCSFDFKGAGRNAIAKANPVVKADKVERI >Manes.01G071400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27262802:27264931:1 gene:Manes.01G071400.v8.1 transcript:Manes.01G071400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNEGTSFPPSEPILCANGCGFFGTAANMNLCSKCYRDLRVKEEQAASAKAAMEKTLSIKPKQPAIIADTHDVVVVDVPPAAPNPSELVASSESSSSSSEHPVSGSDQAQLKATNRCFCCNKKVGLTGFKCKCGGTFCGSHRYSENHDCSFDFKGAGRNAIAKANPVVKADKVERI >Manes.05G190600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31336331:31343601:1 gene:Manes.05G190600.v8.1 transcript:Manes.05G190600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEINPDKRFLAAAGNPHIRLFDVNSNSAQPVRSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVRIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNRGTCYVWRLLRGSQTMTNFEPLHKLQAHDGYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSTGEDIRVYQGHHKATVCCALHDGAEPSSA >Manes.05G190600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31336331:31343601:1 gene:Manes.05G190600.v8.1 transcript:Manes.05G190600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEINPDKRFLAAAGNPHIRLFDVNSNSAQPVRSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVRIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNRGTCYVWRLLRGSQTMTNFEPLHKLQAHDGYILKCLLSPEFYIWQLHLLITLSRYGMLMGLH >Manes.06G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22454138:22456984:-1 gene:Manes.06G088500.v8.1 transcript:Manes.06G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDTKPIEPVQVALTLFGEKSEQRKHRPGNSSSSDVRSSSSGDDVDKEKDPEGVQKDLANYKLQLEAKDAAYWQLLHKLEHYQKTSEELSTQLKNSEVERDVNLEECREARIRIDELEAKIKEMGDQLLESGKLKEQLSHILGELKDVQGELLSMEIELVAATEEKLKALTQAELMESVANMEKGRAEELLKRVTDLNEALLLSKQAAESGKIAEIKERSETEAQAQKQVEDMKMQMEIMQELENKLRDKSVFIDSLQVELNQANELLSSSDKVVSEVINDLNQLKADLKAKEKENSDQAIYIGELETEMNQLKLELKKENEEVTQLNCEVEMLTDELEKVKNEMGGIKERENDAHAEIAMLKAELHRARSNIVAAEVSEAKESKKENERLKQGSEMVTEESLDIGLVGNGPENSVAGAERSRDDNDRNITISLEEYESLISKAAKVNQSATKDLNMLTTYENRNEMETLKKELEVASAKVSEFRTRTEQAVTRAEAAERAKLALEDQLRKWREQKKRRKAALAALREESLSREFGSSSCGNTPINYQPLGKVLNMKF >Manes.08G073300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:14278352:14279118:1 gene:Manes.08G073300.v8.1 transcript:Manes.08G073300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCISNCVNDARDPRVPVRATYVNLYKWPESDAEFIKSVHRVAQGFQGQPRVVDSISCRQMYLRSYTFSRKESVPEKTKKCIGRVKEKVANHGKKKKDQKGRKRKCLVFRKVKEFSCSALFRIFHRLLSCGASVDVVDQKDSH >Manes.09G089201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26812922:26814393:1 gene:Manes.09G089201.v8.1 transcript:Manes.09G089201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSVVQAQPAVFDITKFGAAPDGKADASKAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELTGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKSCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.13G108900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31413769:31416838:-1 gene:Manes.13G108900.v8.1 transcript:Manes.13G108900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKIVLVTGCAKGGIGYEYCKAFSEQNCHVIASDIPQRIDDMLDLKISKNIETLSLDVSSDESVSSAVNAIISKYGRIDILVNNAGVGSTGPLAELSLDTIRKAWEINTLGQLRMVQKVVPYMASQGSGCIVNIGSVVGMVPTPWAGSYCASKAAVHAMANTLRVELRPFGINVVLVVPGAIRSNFGRANSETLANHDWKLYKDYKEAIAERARASQAAKAMDASVFARHVATKVLSPKPPKQIIFGHMTGW >Manes.09G087200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:26400538:26401481:1 gene:Manes.09G087200.v8.1 transcript:Manes.09G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTFLASLRDRISMRWPLLLYAATWTALLTTIVAVASFSPEMAFVSAISTSSAFSRKCEAQGTVRVPVDVPGEIVCLPAQLFVRSKIDLIVPPVFAAVVVAGSAWVVRAMGLWDDHEAR >Manes.18G135166.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:14878836:14879258:1 gene:Manes.18G135166.v8.1 transcript:Manes.18G135166.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHYEGHFIFSPNRIYENGRFMEKPNFDVDFISFFDILDDLKKDCGFDVIKGDKFYYLKADKSLSDLDALIEVKDDTDVKNMMDSYKKFPSKPIDIYTLFRDYDILPNGLGDELPAITVDHTSNQLQNPNATAATGLLI >Manes.14G108500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9108119:9111247:-1 gene:Manes.14G108500.v8.1 transcript:Manes.14G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHLNFLSLTMKKQESPTISTLLVLSLFIFLSTIEVEARVLQQICSSSCGDLTNITYPFRLTTDPVKCGDPDYQISCQDNKPILEFHSGKYFVKQISYDRHIIRLVDINLANGSCNLPYKSVSINEVKYDSRYRGLVSSTFASFFRCSSEINDPAYRKVPCLSGNGSHFYVSYGTYIISDLQGYCSFTSRVPTIYQAVWYPSYESILELMALGFDLEWSVECRDCIADGGSCSLSSLGTPNIYECHFSGIHVPPLVSSIIYAIWDILLGINLIARFILAPIVIVGFLIYRHRTAKKAEDNKENFLPSQRSLMPRRYSYSDLIAITDNFKDKLGQGGFGTVYKGQLPDGFSVAVKMLGNSKCSDVDFINEVFTIGKIHHAHVIQLVGFCCDGSYRALLFEYMVNRSLDKYIFAGETELQPLSWERLLRIAVGTAQGIEHLHTGCDVCILHFDIKPHNVLLDHNFIPKVSDFGLAKFYPKEYDFVLVSTARGTMGYIAPELMSNNLGAVSCKSDVYSFGMLLLEMARGRRNTDAKGNSSSKVYFPSWVYDRLNGEGDLELDNVSETEAAIAKKLCVVGLWCIQENASDRPLMAKVVEMLSSSIDDMQLPPSPLSFPDHIAVEEPQSDSSTELLISETVEHSV >Manes.02G223000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36984923:37005774:1 gene:Manes.02G223000.v8.1 transcript:Manes.02G223000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGNPWLRTTNNHCGRQIWEFDPQLGSPEELLEIQNARQNFTNNRFHQKHSADLLMRFQLGKENPLAEVLPQVKVKDTEDVTEEAVTTTLRRALNFYSTIQAHDGHWPGDYGGPMFLMPGLVITLSVTGALNAVLSEEHKREICRYLYNHQNRDGGWGLHIEGPSTMFGSVLCYVTLRLLGEGANDGEGAMEKGRTWILDHGGATAITSWGKMWLSVLGAFEWSGNNPLPPEIWLLPYILPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELFTVPYHEIDWNQARNQCAKEDLYYPHPLVQDVLWALLDKFVEPILMHWPGKRLRDKALNVAIEHIHYEDENTRYVCIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIVSTKLVEEYGPTLRKAHTYIKKSQVLENCPGELDFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEAVDANRLYDAVNVILSLQNGDGSFATYELTRSYRWLEFINPAETFGDIVIDYPYVECTSAATQALTSFRQTHPEHRREEVEHCIKKATEFLEKIQAEDGSWYGSWGVCFTYGTWFGIKGLVAGGKNFNNCSSIRKACDFLLSKQCPSGGWGESYLSCQQKIYSHLEGNKCHVVNTAWAMLSLMDAGQAERDPTPLHRAARYLINTQMENGDFPQQEIMGVFNKNCMITYAAYRDIFPIWALGEYSCRVLHAS >Manes.02G223000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:36984653:37005774:1 gene:Manes.02G223000.v8.1 transcript:Manes.02G223000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGNPWLRTTNNHCGRQIWEFDPQLGSPEELLEIQNARQNFTNNRFHQKHSADLLMRFQLGKENPLAEVLPQVKVKDTEDVTEEAVTTTLRRALNFYSTIQAHDGHWPGDYGGPMFLMPGLVITLSVTGALNAVLSEEHKREICRYLYNHQNRDGGWGLHIEGPSTMFGSVLCYVTLRLLGEGANDGEGAMEKGRTWILDHGGATAITSWGKMWLSVLGAFEWSGNNPLPPEIWLLPYILPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELFTVPYHEIDWNQARNQCAKEDLYYPHPLVQDVLWALLDKFVEPILMHWPGKRLRDKALNVAIEHIHYEDENTRYVCIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIYDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIVSTKLVEEYGPTLRKAHTYIKKSQVLENCPGELDFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEAVDANRLYDAVNVILSLQNGDGSFATYELTRSYRWLEFINPAETFGDIVIDYPYVECTSAATQALTSFRQTHPEHRREEVEHCIKKATEFLEKIQAEDGSWYGSWGVCFTYGTWFGIKGLVAGGKNFNNCSSIRKACDFLLSKQCPSGGWGESYLSCQQKIYSHLEGNKCHVVNTAWAMLSLMDAGQAERDPTPLHRAARYLINTQMENGDFPQQEIMGVFNKNCMITYAAYRDIFPIWALGEYSCRVLHAS >Manes.16G123800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32584829:32591145:-1 gene:Manes.16G123800.v8.1 transcript:Manes.16G123800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDWINSYLEAILDVDPGLDYKKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWIRAAAMRSTQERNTRLENMCWRIWNLARKKKRLEGEEVQRKAKRHIERERGRKEATADMSEDLSEGERGDVHGEILSHGGSVRGRMPRISSVDVMENWAKQHKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGTTPGVYRVDLLTRQVSAPDVDWSYAEPTEMLNLINSENSMQEHGESSGAYIIRIPFGPKDKYIQKELLWPYIPEFVDGALNHIIQMSRVLGEQIGSGNPVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQSREEINATYKIMRRIEAEELTLDASEIIITSTRQEIEEQWRLYDGFDPVLERKLRARIKRGVSCHGRFMPRMIVIPPGMEFHHIIPHDADMDGEDEEKFEDSPAAPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSSTNASYLLSIIKLIDKYDLYGHVAYPKHHKQSDVPNIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKTYLARIASCRPRQPQWQRSEDGLRNEESDSPGDSLRDIKDLSLKLSLDGDKNESGNLDNSLDTEENASDRKNVLGNNALTLSKDAIRGAQNESIEKADNNICSSKFPSPRKRKYIFVIAVDGDTTSDSLEAIKIVVEVGMKETSIGFILSTSMTISEVYSLLASGGLSPLDFDAFICNSGSEVYYPSSSNGDTTGLPFVLDLDYHSHIEYHWGGEGLRRTLVRWAASINDKHGQEQIVVEDESGSTAHCCAFKVKEPSMIPSFRELRKLMRIQGLRGHVIYCQNGSKINVIPVLASRSQALRYLYIRWGADLSKFVIFVGECGDTDYEGLLGGIHKSVILKGVGSNARKLHADRSYPLEDVTPFDSPNVVQSEGCNVNDIKQSLEKLGVLKV >Manes.16G123800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32584829:32591145:-1 gene:Manes.16G123800.v8.1 transcript:Manes.16G123800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNLLRSDILMSLHGLIRGENMELGRDSDTGGQVKYVVELARALGTTPGVYRVDLLTRQVSAPDVDWSYAEPTEMLNLINSENSMQEHGESSGAYIIRIPFGPKDKYIQKELLWPYIPEFVDGALNHIIQMSRVLGEQIGSGNPVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQSREEINATYKIMRRIEAEELTLDASEIIITSTRQEIEEQWRLYDGFDPVLERKLRARIKRGVSCHGRFMPRMIVIPPGMEFHHIIPHDADMDGEDEEKFEDSPAAPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSSTNASYLLSIIKLIDKYDLYGHVAYPKHHKQSDVPNIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKTYLARIASCRPRQPQWQRSEDGLRNEESDSPGDSLRDIKDLSLKLSLDGDKNESGNLDNSLDTEENASDRKNVLGNNALTLSKDAIRGAQNESIEKADNNICSSKFPSPRKRKYIFVIAVDGDTTSDSLEAIKIVVEVGMKETSIGFILSTSMTISEVYSLLASGGLSPLDFDAFICNSGSEVYYPSSSNGDTTGLPFVLDLDYHSHIEYHWGGEGLRRTLVRWAASINDKHGQEQIVVEDESGSTAHCCAFKVKEPSMIPSFRELRKLMRIQGLRGHVIYCQNGSKINVIPVLASRSQALRYLYIRWGADLSKFVIFVGECGDTDYEGLLGGIHKSVILKGVGSNARKLHADRSYPLEDVTPFDSPNVVQSEGCNVNDIKQSLEKLGVLKV >Manes.16G123800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32584829:32589644:-1 gene:Manes.16G123800.v8.1 transcript:Manes.16G123800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCIEHVSVVDRMSKISMLATCDFLCFWFFEKNGKHFVLAISSLMWIWFLNQLEGEEVQRKAKRHIERERGRKEATADMSEDLSEGERGDVHGEILSHGGSVRGRMPRISSVDVMENWAKQHKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGTTPGVYRVDLLTRQVSAPDVDWSYAEPTEMLNLINSENSMQEHGESSGAYIIRIPFGPKDKYIQKELLWPYIPEFVDGALNHIIQMSRVLGEQIGSGNPVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQSREEINATYKIMRRIEAEELTLDASEIIITSTRQEIEEQWRLYDGFDPVLERKLRARIKRGVSCHGRFMPRMIVIPPGMEFHHIIPHDADMDGEDEEKFEDSPAAPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSSTNASYLLSIIKLIDKYDLYGHVAYPKHHKQSDVPNIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKTYLARIASCRPRQPQWQRSEDGLRNEESDSPGDSLRDIKDLSLKLSLDGDKNESGNLDNSLDTEENASDRKNVLGNNALTLSKDAIRGAQNESIEKADNNICSSKFPSPRKRKYIFVIAVDGDTTSDSLEAIKIVVEVGMKETSIGFILSTSMTISEVYSLLASGGLSPLDFDAFICNSGSEVYYPSSSNGDTTGLPFVLDLDYHSHIEYHWGGEGLRRTLVRWAASINDKHGQEQIVVEDESGSTAHCCAFKVKEPSMIPSFRELRKLMRIQGLRGHVIYCQNGSKINVIPVLASRSQALRYLYIRWGADLSKFVIFVGECGDTDYEGLLGGIHKSVILKGVGSNARKLHADRSYPLEDVTPFDSPNVVQSEGCNVNDIKQSLEKLGVLKV >Manes.16G123800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32584829:32591145:-1 gene:Manes.16G123800.v8.1 transcript:Manes.16G123800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNLLRSDILMSLHGLIRGENMELGRDSDTGGQVKYVVELARALGTTPGVYRVDLLTRQVSAPDVDWSYAEPTEMLNLINSENSMQEHGESSGAYIIRIPFGPKDKYIQKELLWPYIPEFVDGALNHIIQMSRVLGEQIGSGNPVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQSREEINATYKIMRRIEAEELTLDASEIIITSTRQEIEEQWRLYDGFDPVLERKLRARIKRGVSCHGRFMPRMIVIPPGMEFHHIIPHDADMDGEDEEKFEDSPAAPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSSTNASYLLSIIKLIDKYDLYGHVAYPKHHKQSDVPNIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKTYLARIASCRPRQPQWQRSEDGLRNEESDSPGDSLRDIKDLSLKLSLDGDKNESGNLDNSLDTEENASDRKNVLGNNALTLSKDAIRGAQNESIEKADNNICSSKFPSPRKRKYIFVIAVDGDTTSDSLEAIKIVVEVGMKETSIGFILSTSMTISEVYSLLASGGLSPLDFDAFICNSGSEVYYPSSSNGDTTGLPFVLDLDYHSHIEYHWGGEGLRRTLVRWAASINDKHGQEQIVVEDESGSTAHCCAFKVKEPSMIPSFRELRKLMRIQGLRGHVIYCQNGSKINVIPVLASRSQALRYLYIRWGADLSKFVIFVGECGDTDYEGLLGGIHKSVILKGVGSNARKLHADRSYPLEDVTPFDSPNVVQSEGCNVNDIKQSLEKLGVLKV >Manes.16G123800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32584829:32591146:-1 gene:Manes.16G123800.v8.1 transcript:Manes.16G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGEEVQRKAKRHIERERGRKEATADMSEDLSEGERGDVHGEILSHGGSVRGRMPRISSVDVMENWAKQHKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGTTPGVYRVDLLTRQVSAPDVDWSYAEPTEMLNLINSENSMQEHGESSGAYIIRIPFGPKDKYIQKELLWPYIPEFVDGALNHIIQMSRVLGEQIGSGNPVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRQSREEINATYKIMRRIEAEELTLDASEIIITSTRQEIEEQWRLYDGFDPVLERKLRARIKRGVSCHGRFMPRMIVIPPGMEFHHIIPHDADMDGEDEEKFEDSPAAPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSSTNASYLLSIIKLIDKYDLYGHVAYPKHHKQSDVPNIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKTYLARIASCRPRQPQWQRSEDGLRNEESDSPGDSLRDIKDLSLKLSLDGDKNESGNLDNSLDTEENASDRKNVLGNNALTLSKDAIRGAQNESIEKADNNICSSKFPSPRKRKYIFVIAVDGDTTSDSLEAIKIVVEVGMKETSIGFILSTSMTISEVYSLLASGGLSPLDFDAFICNSGSEVYYPSSSNGDTTGLPFVLDLDYHSHIEYHWGGEGLRRTLVRWAASINDKHGQEQIVVEDESGSTAHCCAFKVKEPSMIPSFRELRKLMRIQGLRGHVIYCQNGSKINVIPVLASRSQALRYLYIRWGADLSKFVIFVGECGDTDYEGLLGGIHKSVILKGVGSNARKLHADRSYPLEDVTPFDSPNVVQSEGCNVNDIKQSLEKLGVLKV >Manes.05G072100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5947668:5949725:-1 gene:Manes.05G072100.v8.1 transcript:Manes.05G072100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVAVSSKEKDNLHRSGICQRIINFITGLVFPGLKRITDHTASVHDPLVNGVGSVVQHQHPESLSKPRDAEIEIHFKQSDEEDLERWTPVDKLDSSVHSEEDYQVQFNKGHNISSSSSAMPSDKVSGKRSKVTESPREKEVQLPIVDVHGSSPHQGTKKANQPTQQQKKGEKAPNLTSLAQGRSPQNDSSRNKNTENRASEDSIVPIEIQKPRMRPHLLDVAPNINEKTDAFLKIKKENMRRNLGSEPEES >Manes.05G096800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8896616:8900086:-1 gene:Manes.05G096800.v8.1 transcript:Manes.05G096800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAGASTIKINLSSLTSPSFRFLSSTSSSPSLQTKSRDFTPLTNVSLSTGKRRYLCSVSASKSENGFTGSSFQGDESFFRSVLEGMETVYLNRNPTAKAVLGLVQSADGERICYDHFAFRTFGVNGHGIDSMASIFLDYGYTQKEELRFPVKKLRALWFSPPSNLSDAGSGIKGPLPRIFISELLVDQMSPQAQEIIKKYVEISASGYKHAALASALGSLTWKKPLYSEFQQLARESEYAAWTLVNGYALNHVTISTHRLKSQLRSIKRLNQFIEGSEFKLNSEGGILKVSPDGLLLQSSTVADSSTFQFSDGVTESVPCSYIEFAERLVLPQYKNLPEEEVREFHRRDGFEVGNADKIFESTSKEQLTRRAA >Manes.03G042600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3735396:3737193:-1 gene:Manes.03G042600.v8.1 transcript:Manes.03G042600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRTHPMIGRLSELLVSGNRAGFMDVSTSPRSPLEYRIQSPRGLKNYDIGGVGLGIVAALEKSCSDGTHEILAKYAICSPNMNRSDPIPVNSGKRCNCGVKEMEVESLEDYTYVTSHGPDKSLTKVYYDNGNKRMNDRVTVVSMARESPARFSDEFALYPTSDFLSSCHLCKKKLHGKDIYMYRGEKAFCSNECRSRQIMIDERKEQCRKEIPRSADVSSSPYTTSPIFSTGILAI >Manes.01G251800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41026089:41029097:-1 gene:Manes.01G251800.v8.1 transcript:Manes.01G251800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTNPTAATFPTISSPITNAAPPAAYLTNLGLGYSIAIALGFLVLLSTILLASYICCRTSRNHSRGRNDNGNNNPNSPSDGIIIPRIIFVAEDEDDQERDSERAAVGLEQAVINSYPKFQFTKEGSLDGNCTTCPICLCEYKDLEMLRMMPECRHYFHLCCIDAWLKLNGSCPVCRNSPLPTPLSTPLAEVVPLSQYAEDRRRRR >Manes.08G069250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11064129:11064846:1 gene:Manes.08G069250.v8.1 transcript:Manes.08G069250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTAPSKWVKRLSLAEWWYNSYPPPPWPCFPHRILHGRLGFFEASTYRQTSIVIRKYLKLSAKCYGPFQIFAKVGLVAYKLQLSPNSTKHPVFHVSLLKKKLGDTITALLDLPVMANEELVVSPEQLLKQRTISRQGQRVLQGLVKWVNFPIENATWEDQAFLYAQFLDFQPFWGQEGSHGEGIVVYRRKRKNH >Manes.01G231900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39586195:39588128:1 gene:Manes.01G231900.v8.1 transcript:Manes.01G231900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGIPFPRFKQLGVTYWASSPTPFNSSLNFFLFLLLKIYLPVGSGWRFWWLRWTVGRQRRGHKAEDMASKEEASRSEGEEYVYRISTAEEWESLQKDGAIFGGDLDKSSGFIHLSKLNQLGDGLIYEVVDGSNSFPHFYGPSRSFVPLPLDAVVKAEKITVSDGQFICSLLN >Manes.01G231900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39586195:39588128:1 gene:Manes.01G231900.v8.1 transcript:Manes.01G231900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGIPFPRFKQLGVTYWASSPTPFNSSLNFFLFLLLKIYLPVGSGWRFWWLRWTVGRQRRGHKAEDMASKEEASRSEGEEYVYRISTAEEWESLQKDGAIFGGDLDKSSGFIHLSKLNQVKSTLHNFFLNTNLELYLLQIDAKKLGDGLIYEVVDGSNSFPHFYGPSRSFVPLPLDAVVKAEKITVSDGQFICSLLN >Manes.16G095950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30181264:30187018:1 gene:Manes.16G095950.v8.1 transcript:Manes.16G095950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSHSHQHNRETTNGGSQRVNSPRFSGPMTRRAHSFKRNNANATVAASQTTTNNNRNTHVIITNDNTSTPSHEIDLQVNSPRSELVEVFERQNHHQYVTQRVHGGVVKCLLNKKGGFGSVVMDLGLRERKKLGHWMFFICANGWFGSVLERASSHQLHHNTRSTRHCNSSEYGKVAADMEQNIKIVKSGVVGESSGIWSKPNNENFTQCIDQSRSRKKLEAKTNGYILINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSFWLMKGYFTLKLLHDFKDLFNWQHFIETLKNEIHIVESLPPSYAGFEPLTKIPISWSKVSHYKAEVLPFLKQHKIIYFTHTDSRLANNDLPDSIQKLRCRVNYRALKYSEPIEDLGNILVSRMRENENPYLALHLRYEKDMLAFTGCSHNLTAEEDEELRRMRYEVSHWKEKEINGTERRLLGGCPLTPRETSVLLKGLGFPSDTRIYLVAGEAYGKGSMQYLLDDFPNIFSHSTLATEEELNPFKNHQNMLAGIDYVVALQSDVFVYTYDGNMAKAVQGHRRFEDFKKTISPDKMNFVMLVDELDEGKISWETFSSKVKELHKDRIGDPYLREPGDFPKLEESFYANPLPGCICERKQEKYK >Manes.S039316.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1089918:1092035:1 gene:Manes.S039316.v8.1 transcript:Manes.S039316.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEIVSAGRLFAGASNCWLSIPFTRGPRADRASVQRMGSCVAYPQKRNSKVLMSIFSLCAPFGARSGPRSCIRVPSMPLLAAVAHGLSLCSRMRNVMREDGVFSFHKPNYPIGNRTTGAPVSNPRHAGASVRATTSRRNATWLILPVVICLSQRLSHACVSMN >Manes.06G039800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11956878:11960949:-1 gene:Manes.06G039800.v8.1 transcript:Manes.06G039800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDWSAPVAHGRFVALPSRITASPTLHSAAAYSSPTFRPLRYAVLGAGFAGLSVVWHLLKHSPKGSNLRIDIFDEVGIGGGASGVSGGLLHPYSPKEMSALSKESNSELGGSGQCFDSFIVRRRGILRPATSMKNLSVLLDNAQNCDASCRIEIIDKETAQELVPNICLPFNSAFYMPQAVNVHPLQYLQALFLACKNLVKELSDSSHGLKELYLHKKSVQKLVELEGDYDAVIICLGAKADMLPELSGRLPLRTCRGVIAHLHLPGNSREEYPDHAPSILSDAWFAVQGTRSLHMGSTWEWKSRNSSPDVSVDEASKALDELLPKVSAFYPGIKDWTFTGAKAGLRAMPPLTPQGSLPLLGCVNDFVGDNCTCKFWFFGGLGSRGLLYHAWLGNLMAQAVLSCNEELIPAELTSWKNINR >Manes.06G039800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11956878:11960949:-1 gene:Manes.06G039800.v8.1 transcript:Manes.06G039800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDWSAPVAHGRFVALPSRITASPTLHSAAAYSSPTFRPLRYAVLGAGFAGLSVVWHLLKHSPKGSNLRIDIFDEVGIGGGASGVSGGLLHPYSPKVKLLWRGAECWKECLMLLSIAEMSALSKESNSELGGSGQCFDSFIVRRRGILRPATSMKNLSVLLDNAQNCDASCRIEIIDKETAQELVPNICLPFNSAFYMPQAVNVHPLQYLQALFLACKNLVKELSDSSHGLKELYLHKKSVQKLVELEGDYDAVIICLGAKADMLPELSGRLPLRTCRGVIAHLHLPGNSREEYPDHAPSILSDAWFAVQGTRSLHMGSTWEWKSRNSSPDVSVDEASKALDELLPKVSAFYPGIKDWTFTGAKAGLRAMPPLTPQGSLPLLGCVNDFVGDNCTCKFWFFGGLGSRGLLYHAWLGNLMAQAVLSCNEELIPAELTSWKNINR >Manes.14G065000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5383871:5390681:-1 gene:Manes.14G065000.v8.1 transcript:Manes.14G065000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLKGRLLCYKQDWTGGFHAGIRILAPTTYIFFASAIPVISFGEQLERNTDGTLTAVQTLASTALCGIIHSIAGGQPLLILGVAEPTVLMYTFMFDFVKDKKDLGQRLFLAWAGWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGVVEEFGMPQRENPNQTALQPSWRFGNGMFALVLSFGLLWTALRSRKARSWRYGTGWLRGFIADYGVPLMVLAWTAISYIPVKHVPRGIPRRLFSPNPWSPGAYSNWTVVKEMTDVPVLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGALVILCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNKLVSTVRKSISKNANLGQLYRNMQEAYNEMQTPLVYQMPPALGLKELKESTVQLASSAGYIDAPVDETVFDVDKDVDDLLPVEVKEQRLSNLLQALMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEECHATFIDTVPFKTIAIFTLFQTVYLLVCFGITWIPIAGVLFPLLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEVPAVSYNMTFEDLDPQARASNIEGGEILDEIITRSRGEIRRTQSPKVTSSTPGSLNDIKPAYSPSLSQRAYSPRVSELRGKWSPQSTGKGVEIKRTPSPSPSGLGQSMHGSSSS >Manes.05G101400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:9470449:9470733:-1 gene:Manes.05G101400.v8.1 transcript:Manes.05G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAHRVFILLICVGFLAVQQNKVYGLTSVDLAIRHSKKAPGTVSSHQRILRDENMQVRNTEKKSASLNKTFDPYQSSKRRVRRGSDPIHNRS >Manes.18G086334.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7957429:7959441:-1 gene:Manes.18G086334.v8.1 transcript:Manes.18G086334.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSASHQAFRGKALRTITHQPIVSSGLRGADGLERNDQTNTHQASRRKVKDSGPIPACITQTTKQSVEQPRKTANLKISLCCLIFETQGKKRQKKKKKATGRWGENPLFGGRGRVPNRGNRQGDKIKRRSSAKKDTFKVE >Manes.05G097701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9002589:9012876:-1 gene:Manes.05G097701.v8.1 transcript:Manes.05G097701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHHSVVVLLLFLLSVFCQAGNASKIQRDASAALFPGPAIPVCRRNSYSHCICPTYNPNCKSRSRSPSFNCSGPGTLPCDEAYGLAEFVDELNLPSRPIITGDCKTESEDISIRCDCSYDNSSICHVTYISTSVLDLKVGRIHENVSKLTYLEQLDLSFNRLRCVIPDSLGKLKYLVNLKLNDNFLNGSIPPSLGSLSSLQFLTLSNNRLSGGIPRELGQLANLTSLRFDENNLNGSLPMELGNLTKLEILDLSSNNLTGSLPPSYKNLKSLNLFGVAGNSLNGSIPAFISEWPYLRELYLLGNDFRGTLPLEIFRMQNLSYLWVSDLNNSGFSFPDHAHINMSSIMQMLLRNCNLKGSIPATVDWRQLDYLDLSFNELTGEIPNTLENVTEIHLTGNMLQGSIPPFIRGNSDVSYNNFLTPPSKDSKERKNHGPQLNSKPNRNYTLDARTKFCQGKSKYYYLFINSGGASLTFEKNHYDGDTSQAKFYEGPEESREKRWAYTCSDSFLSVSTDNPGVYIKNKTCGVSEESLHETARYCPVSLTYYGFCLHKGDYNVKLHFAEIVYTDDEDYSSSEKRVFDVYVQGERKLKDFSIKDEAKDTNKALQREFMAHVDDNLLEIHFHWAGKGSVSKSGSSNGPLVAGISVTPNFTIPGGDKLSTPQIVGISVGSVFAPILLLAFMWKMGWLGNRELQEVRIEVEDGTFTLKEIIDATRNFSPDMEIGEGRFGIVYKAELPGQIKFAVKKISPESMQDKLKDELHGEIFFNQMKSLKHDNVIKLFGGYSRKDLHLLIYEHMENGSLHQALFDPKSKIELDWTRRFNICLGLAKALKYLHGEEDGVKILHRNIKASNILLDENCSAKLTDFGWAKVYNEEDPFLTIKAGGARIYIAPEYALRQPITDKADVYSFGVVTLEIFCGRPGVENKPNQESAILIDDARIKYAKGRVFDLVDRKLINYDRKMALTILRLAILCINPTADLRPTMSEVVCVLEDEKTIDEISKVQSS >Manes.05G097701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9002589:9012876:-1 gene:Manes.05G097701.v8.1 transcript:Manes.05G097701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHHSVVVLLLFLLSVFCQAGNASKIQRDASAALFPGPAIPVCRRNSYSHCICPTYNPNCKSRSRSPSFNCSGPGTLPCDEAYGLAEFVDELNLPSRPIITGDCKTESEDISIRCDCSYDNSSICHVTYISTSVLDLKVGRIHENVSKLTYLEQLDLSFNRLRCVIPDSLGKLKYLVNLKLNDNFLNGSIPPSLGSLSSLQFLTLSNNRLSGGIPRELGQLANLTSLRFDENNLNGSLPMELGNLTKLEILDLSSNNLTGSLPPSYKNLKSLNLFGVAGNSLNGSIPAFISEWPYLRELYLLGNDFRGTLPLEIFRMQNLSYLWVSDLNNSGFSFPDHAHINMSSIMQMLLRNCNLKGSIPATVDWRQLDYLDLSFNELTGEIPNTLENVTEIHLTGNMLQGSIPPFIRGNSDVSYNNFLTPPSKDSKERKNHGPQLNRNYTLDARTKFCQGKSKYYYLFINSGGASLTFEKNHYDGDTSQAKFYEGPEESREKRWAYTCSDSFLSVSTDNPGVYIKNKTCGVSEESLHETARYCPVSLTYYGFCLHKGDYNVKLHFAEIVYTDDEDYSSSEKRVFDVYVQGERKLKDFSIKDEAKDTNKALQREFMAHVDDNLLEIHFHWAGKGSVSKSGSSNGPLVAGISVTPNFTIPGGDKLSTPQIVGISVGSVFAPILLLAFMWKMGWLGNRELQEVRIEVEDGTFTLKEIIDATRNFSPDMEIGEGRFGIVYKAELPGQIKFAVKKISPESMQDKLKDELHGEIFFNQMKSLKHDNVIKLFGGYSRKDLHLLIYEHMENGSLHQALFDPKSKIELDWTRRFNICLGLAKALKYLHGEEDGVKILHRNIKASNILLDENCSAKLTDFGWAKVYNEEDPFLTIKAGGARIYIAPEYALRQPITDKADVYSFGVVTLEIFCGRPGVENKPNQESAILIDDARIKYAKGRVFDLVDRKLINYDRKMALTILRLAILCINPTADLRPTMSEVVCVLEDEKTIDEISKVQSS >Manes.05G097701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9002589:9012876:-1 gene:Manes.05G097701.v8.1 transcript:Manes.05G097701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHHSVVVLLLFLLSVFCQAGNASKIQRDASAALFPGPAIPVCRRNSYSHCICPTYNPNCKSRSRSPSFNCSGPGTLPCDEAYGLAEFVDELNLPSRPIITGDCKTESEDISIRCDCSYDNSSICHVTYISTSVLDLKVGRIHENVSKLTYLEQLDLSFNRLRCVIPDSLGKLKYLVNLKLNDNFLNGSIPPSLGSLSSLQFLTLSNNRLSGGIPRELGQLANLTSLRFDENNLNGSLPMELGNLTKLEILDLSSNNLTGSLPPSYKNLKSLNLFGVAGNSLNGSIPAFISEWPYLRELYLLGNDFRGTLPLEIFRMQNLSYLWVSDLNNSGFSFPDHAHINMSSIMQMLLRNCNLKGSIPATVDWRQLDYLDLSFNELTGEIPNTLENVTEIHLTGNMLQGSIPPFIRGNSDVSYNNFLTPPSKDSKERKNHGPQLNSKPNRNYTLDARTKFCQGKSKYYYLFINSGGASLTFEKNHYDGDTSQAKFYEGPEESREKRWAYTCSDSFLSVSTDNPGVYIKNKTCGVSEESLHETARYCPVSLTYYGFCLHKGDYNVKLHFAEIVYTDDEDYSSSEKRVFDVYVQGERKLKDFSIKDEAKDTNKALQREFMAHVDDNLLEIHFHWAGKGSVSKSGSSNGPLVAGISVTPNFTIPGGDKLSTPQIVGISVGSVFAPILLLAFMWKMGWLGNRELQEVRIEVEDGTFTLKEIIDATRNFSPDMEIGEGRFGIVYKAELPGQIKFAVKKISPESMQDKLKDELHGEIFFNQMKSLKHDNVIKLFGGYSRKDLHLLIYEHMENGSLHQALFDPKSKIELDWTRRFNICLGLAKALKYLHGEEDGVKILHRNIKASNILLDENCSAKLTDFGWAKVYNEEDPFLTIKAGGARIYIAPEYALRQPITDKADVYSFGVVTLEIFCGRPGVENKPNQESAILIDDARIKYAKGRVFDLVDRKLINYDRKMALTILRLAILCINPTADLRPTMSEVVCVLEDEKTIDEISKVQSS >Manes.07G123600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32699470:32704488:-1 gene:Manes.07G123600.v8.1 transcript:Manes.07G123600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLQKLHVVDVVDYDVEKSSHHHQSDDEEQKSSSSESGSSSEIMKESRSSMSEVDLERVVPEIKVHLVKIERDCRICHLSLDAGNLDSGLPIELGCSCKDDLASAHKHCAEAWFKIKGNKEKECKSSFCTKSGEYVIKIWSLSRRGRSQISEPEPVRFVDQLPETLPVQMRLSW >Manes.07G123600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32699470:32704488:-1 gene:Manes.07G123600.v8.1 transcript:Manes.07G123600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLQKLHVVDVVDYDVEKSSHHHQSDDEEQKSSSSESGSSSEIMKESRSSMSEVDLERVVPEIKVHLVKIERDCRICHLSLDAGNLDSGLPIELGCSCKDDLASAHKHCAEAWFKIKGNNFCTKSGEYVIKIWSLSRRGRSQISEPGDHCSCCISNLRIKNGLHLLCWKMKCSQISIQHV >Manes.07G123600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32699470:32704488:-1 gene:Manes.07G123600.v8.1 transcript:Manes.07G123600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLQKLHVVDVVDYDVEKSSHHHQSDDEEQKSSSSESGSSSEIMKESRSSMSEVDLERVVPEIKVHLVKIERDCRICHLSLDAGNLDSGLPIELGCSCKDDLASAHKHCAEAWFKIKGNNFCTKSGEYVIKIWSLSRRGRSQISEPEPVRFVDQLPETLPVQMRLSW >Manes.07G123600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32702319:32704488:-1 gene:Manes.07G123600.v8.1 transcript:Manes.07G123600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLQKLHVVDVVDYDVEKSSHHHQSDDEEQKSSSSESGSSSEIMKESRSSMSEVDLERVVPEIKVHLVKIERDCRICHLSLDAGNLDSGLPIELGCSCKDDLASAHKHCAEAWFKIKGNKEKECKSSFCTKSGEYVIKIWSLSRRGRSQISEPGTLCIYLLYCFTLRVHIDPV >Manes.07G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32699470:32704488:-1 gene:Manes.07G123600.v8.1 transcript:Manes.07G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLQKLHVVDVVDYDVEKSSHHHQSDDEEQKSSSSESGSSSEIMKESRSSMSEVDLERVVPEIKVHLVKIERDCRICHLSLDAGNLDSGLPIELGCSCKDDLASAHKHCAEAWFKIKGNKTCEICGSVARNVAGPNEVELVEQWNEVNETAVATAAPVHTTETRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >Manes.16G058825.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:22268417:22268961:1 gene:Manes.16G058825.v8.1 transcript:Manes.16G058825.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISSWNCQGAVSPNFRRAVNEYRRLYKIDVIALLETRVSGSQADKICKDLGFEHWLRVEAFGFSGGIWVCWNNNGFELEVLNTHPQFINCKIKPTWGSPWIVSFVYGSPNTGLRRLL >Manes.10G052850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6123736:6125222:1 gene:Manes.10G052850.v8.1 transcript:Manes.10G052850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVLGTAFLVLLLVDLCFAGRSSKAIAGGGGGGEGGGGGGGGGLDAGLGSGSGHGSGGGEGYGGAGGFGSGGGGGGGGGGGGGGGGASGSGRGSGSGSGAGYGSGSGGGKGGGGGGGGGEGGGGGGGGGTGNGSGSGFGYGSGSGSGYGSGGGKGGGGGGGGGGGGGGGNGGGNGSGYGSGAGGGSGYGGGGGGEDDGGFP >Manes.01G077300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28059517:28061652:1 gene:Manes.01G077300.v8.1 transcript:Manes.01G077300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSGVPSWNFKVLEVAEKSFSQAYHLFSCISDKNRKRNIQEVNLIAQATVNEFRILLNLLDGSSQSDPKRIKRGPLPISHDINPAELMESPSSVPQNSGRNLTTQPQLLPFHSIQTTNSLIPIKSFSFDRNTNMSKTNVDVTDRLIISNLSLSQPITSFLSLDARGGTDKLLVHDSPSEALASPDGSSMFSKSKSGVLSEETSAKCLASTGGCHCSRRRIKKTIQVPALSGKLAEIPPDDYTWRKYGQKPIKGSPYPRNYYKCSSMRGCPARKHVERCLQDPSMLVVTYEGDHNHSQVYISITQHLDSGLIC >Manes.01G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28059517:28061652:1 gene:Manes.01G077300.v8.1 transcript:Manes.01G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSGVPSWNFKVLEVAEKSFSQAYHLFSCISDKNRKRNIQEVNLIAQATVNEFRILLNLLDGSSQSDPKRIKRGPLPISHDINPAELMESPSSVPQNSGRNLTTQPQLLPFHSIQTTNSLIPIKSFSFDRNTNMSKTNVDVTDRLIISNLSLSQPITSFLSLDARGGTDKLLVHDSPSEALASPDGSSMFSKSKSGVLSEETSAKCLASTGGCHCSRRRKSRIKKTIQVPALSGKLAEIPPDDYTWRKYGQKPIKGSPYPRNYYKCSSMRGCPARKHVERCLQDPSMLVVTYEGDHNHSQVYISITQHLDSGLIC >Manes.01G087400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28888420:28891449:1 gene:Manes.01G087400.v8.1 transcript:Manes.01G087400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFASFFDSQSTSRNRWTYDSLKNFRQISPVVQTHLKQVYLTLCCALVASAAGAYLHILWNIGGLLTTFACLGCMGWLLSLPPYEEQKRVALLMAAGLFEGASIGPLIDLAIEIDPSVLITAFVGTSVAFGCFSAAAMLARRREYLYLGGLLSSGLSILLWLQFASSIFGGFAAIFKFELYFGLLVFVGYVVVDTQDIIEKAHLGDLDYVKHALSLFTDFVAVFVRILIVMLKNSAEREERKKKRRD >Manes.05G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23743818:23750281:1 gene:Manes.05G135400.v8.1 transcript:Manes.05G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSSCLGVVISQEKASKNYLHQAQNHHPSHPANGNDPHSAASGGLRGFIEFSLADLKAATNNFSPEFIVSESGEKAPNIVYKGRLQNQDNRTWIAVKKFTKLAWPDPKQFADEALGVAKLKHKRLANLIGYCCEGDERLLVAEYMPNDILAKHLFHWENQTIEWALRLRVALYIAEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLQTGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGHFSTEEATVVFDLASECLQYEPRERPNTKDLVATLAPLQNKPDVPSYVMLGIPKHEEAPPTPQHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDVAFRDKDFRTAIECYSQFIDVGTMISPTVYARRSLCYLLCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAADMLNEAAALEEKKQRGGRAS >Manes.S033816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:862085:862459:-1 gene:Manes.S033816.v8.1 transcript:Manes.S033816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.09G102000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30039083:30040605:-1 gene:Manes.09G102000.v8.1 transcript:Manes.09G102000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSMEEFWPFYVTQHSKPWTRRWHFVGTLTSILFLLYSVFVNLRFLFCVPLFGYGFAWYSHFFVERNVPATFGHPFWSLLCDYKMFGLMLTGQMDREIKRLGKRPVLQSF >Manes.09G102000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:30039083:30040605:-1 gene:Manes.09G102000.v8.1 transcript:Manes.09G102000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSMEEFWPFYVTQHSKPWTRRWHFVGTLTSILFLLYSVFVNLRFLFCVPLFGYGFAWYSHFFVERNVPATFGHPFWSLLCDYKMFGLMLTGQMDREIKRLGKRPVLQSF >Manes.14G143616.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13638592:13648376:-1 gene:Manes.14G143616.v8.1 transcript:Manes.14G143616.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCESKKHTAVGKPRPPLVPAEGNSNAAVTTRRPRTREVSSRYKSPSPSDSRRCTSPSLSRTVPTTSQLVSKRAKSAERRRPSTPPSPARPSTPVHDSSVDMQLSSRRLSTGNRLPESLWPSTMRSLSVSFQSDSISIPISKKEKPVNNISSDRTLRSSSNVAHKQAETPAGSRKPTPERKRSPLKGKNVQDQSENARPINSLQNKLIDQHRWASRLGGKISSNSSNKSTDLTDKTMKALSTPVGIGISSIRRMPVHGGTVKPLQKSASDAAKLSFPEDIGRKLSGANSADDNSLQLSGAHKLVSANLTDRMSLIIPAVRSQSLPTHGSRPPSPSKTSVSRGVSPARARPSTPPSRGVSPSRTRPLSVSSQSNSSTSVLSFIVDFKKGKKGASYIEDAHQIRLLYNRYLQWRFANARAEAVLYVQKVKSEKALYNVWTSTLAMWDSVCKMRISLQQLKLELKLNAVLNDQMAYLDDWALLEKDHLNSLSGALEDLEATTVRLPVTSGAKADIDSLKVAICSAVDVMQAMGSSICYLLSRVEGMNELVSELAIVAAKEKALLDECEALLASTAALQAEECSMRTHLIQVKSCS >Manes.15G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4165581:4169710:-1 gene:Manes.15G054300.v8.1 transcript:Manes.15G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP15-3 MSVFFSTLLSLSLSTSSTCNIKYKNQQMGIVSVFIFPLFFLLVLSSDIVHGDFPTTLQGPFTPVTTPLDKEAYHGNAVDLPDTYPQLQKNVEGFEPQQISVSLSSNYDSVWISWVTGDFQIGDDITPLDPQLVSSVVQYGISGLPMSYQATGYSLVYNQLYPFEGAQNYTSGIIHHVRLTGLEPGELYQYQCGDPSIPVMSDIFYFRTMPVSSPTNYPRTIAVVGDLGLTYNTSTTFSHLLSNHPDLLVLVGGVSYADIYLTDGTSSDCYSCSFPQTPMHESYQPRWDYWGRFMQPLLANVPTMVVGGKHEIEEQAEDEIFAAYSSRFAFPSEESGSSSTLYYSFNAGGVHFVILNPYIYYDRSSDQYGWLENDLYNVDRDVTPWLVAAWYAPWYSTFKAHYREAECMRVEMEDMLYAYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITLGAGGSREAIAIDHADDPGNCPEPSTTPDEYIGGFCAFNFTSGPAAGEFCWEQQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYQVAGDIIYIVREPDRCLLVNHRSPYQSVLT >Manes.15G054300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4165581:4169677:-1 gene:Manes.15G054300.v8.1 transcript:Manes.15G054300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP15-3 MSVFFSTLLSLSLSTSSTCNIKYKNQQMGIVSVFIFPLFFLLVLSSDIVHGDFPTTLQGPFTPVTTPLDKEAYHGNAVDLPDTYPQLQKNVEGFEPQQISVSLSSNYDSVWISWVTGDFQIGDDITPLDPQLVSSVVQYGISGLPMSYQATGYSLVYNQLYPFEGAQNYTSGIIHHVRLTGLEPGELYQYQCGDPSIPVMSDIFYFRTMPVSSPTNYPRTIAVVGDLGLTYNTSTTFSHLLSNHPDLLVLVGGVSYADIYLTDGTSSDCYSCSFPQTPMHESYQPRWDYWGRFMQPLLANVPTMVVGGKHEIEEQAEDEIFAAYSSRFAFPSEESGSSSTLYYSFNAGADQYGWLENDLYNVDRDVTPWLVAAWYAPWYSTFKAHYREAECMRVEMEDMLYAYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITLGAGGSREAIAIDHADDPGNCPEPSTTPDEYIGGFCAFNFTSGPAAGEFCWEQQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYQVAGDIIYIVREPDRCLLVNHRSPYQSVLT >Manes.15G054300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4165581:4169710:-1 gene:Manes.15G054300.v8.1 transcript:Manes.15G054300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP15-3 MSVFFSTLLSLSLSTSSTCNIKYKNQQMGIVSVFIFPLFFLLVLSSDIVHGDFPTTLQGPFTPVTTPLDKEAYHGNAVDLPDTYPQLQKNVEGFEPQQISVSLSSNYDSVWISWVTGDFQIGDDITPLDPQLVSSVVQYGISGLPMSYQATGYSLVYNQLYPFEGAQNYTSGIIHHVRLTGLEPGELYQYQCGDPSIPVMSDIFYFRTMPVSSPTNYPRTIAVVGDLGLTYNTSTTFSHLLSNHPDLLVLVGGVSYADIYLTDGTSSDCYSCSFPQTPMHESYQPRWDYWGRFMQPLLANVPTMVVGGKHEIEEQAEDEIFAAYSSRFAFPSEESGSSSTLYYSFNAGGVHFVILNPYIYYDRSSDQYGWLENDLYNVDRDVTPWLVAAWYAPWYSTFKAHYREAECMRVEMEDMLYAYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITLGAGGSREAIAIDHADDPGNCPEPSTTPDEYIGGFCAFNFTSGPAAGEFCWEQQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYQVAGDIIYIVREPDRCLLVNHR >Manes.15G054300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4165581:4169658:-1 gene:Manes.15G054300.v8.1 transcript:Manes.15G054300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP15-3 MSVFFSTLLSLSLSTSSTCNIKYKNQQMGIVSVFIFPLFFLLVLSSDIVHGDFPTTLQGPFTPVTTPLDKEAYHGNAVDLPDTYPQLQKNVEGFEPQQISVSLSSNYDSVWISWVTGDFQIGDDITPLDPQLVSSVVQYGISGLPMSYQATGYSLVYNQLYPFEGAQNYTSGIIHHVRLTGLEPGELYQYQCGDPSIPVMSDIFYFRTMPVSSPTNYPRTIAVVGDLGLTYNTSTTFSHLLSNHPDLLVLVGGVSYADIYLTDGTSSDCYSCSFPQTPMHESYQPRWDYWGRFMQPLLANVPTMVVGGKHEIEEQAEDEIFAAYSSRFAFPSEESGSSSTLYYSFNAGADQYGWLENDLYNVDRDVTPWLVAAWYAPWYSTFKAHYREAECMRVEMEDMLYAYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITLGAGGSREAIAIDHADDPGNCPEPSTTPDEYIGGFCAFNFTSGPAAGEFCWEQQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYQVAGDIIYIVREPDRCLLVNHR >Manes.15G054300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4165581:4169654:-1 gene:Manes.15G054300.v8.1 transcript:Manes.15G054300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP15-3 MSVFFSTLLSLSLSTSSTCNIKYKNQQMGIVSVFIFPLFFLLVLSSDIVHGDFPTTLQGPFTPVTTPLDKEAYHGNAVDLPDTYPQLQKNVEGFEPQQISVSLSSNYDSVWISWVTGDFQIGDDITPLDPQLVSSVVQYGISGLPMSYQATGYSLVYNQLYPFEGAQNYTSGIIHHVRLTGLEPGELYQYQCGDPSIPVMSDIFYFRTMPVSSPTNYPRTIAVVGDLGLTYNTSTTFSHLLSNHPDLLVLVGGVSYADIYLTDGTSSDCYSCSFPQTPMHESYQPRWDYWGRFMQPLLANVPTMVVGGKHEIEEQAEDEIFAAYSSRFAFPSEESGSSSTLYYSFNAGGVHFVILNPYIYYDRSSDQYGWLENDLYNVDRDVTPWLVAAWYAPWYSTFKAHYREAECMRVEMEDMLYAYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITLGAGGSREAIAIDHADDPGNCPEPSTTPDEYIGGFCAFNFTSGPAAGEFCWEQQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYQVAGDIIYIISISIGSHMKPSPGVNSATFILLAINNSRVTRIRLNN >Manes.15G054300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4163981:4169654:-1 gene:Manes.15G054300.v8.1 transcript:Manes.15G054300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP15-3 MSVFFSTLLSLSLSTSSTCNIKYKNQQMGIVSVFIFPLFFLLVLSSDIVHGDFPTTLQGPFTPVTTPLDKEAYHGNAVDLPDTYPQLQKNVEGFEPQQISVSLSSNYDSVWISWVTGDFQIGDDITPLDPQLVSSVVQYGISGLPMSYQATGYSLVYNQLYPFEGAQNYTSGIIHHVRLTGLEPGELYQYQCGDPSIPVMSDIFYFRTMPVSSPTNYPRTIAVVGDLGLTYNTSTTFSHLLSNHPDLLVLVGGVSYADIYLTDGTSSDCYSCSFPQTPMHESYQPRWDYWGRFMQPLLANVPTMVVGGKHEIEEQAEDEIFAAYSSRFAFPSEESGSSSTLYYSFNAGGVHFVILNPYIYYDRSSDQYGWLENDLYNVDRDVTPWLVAAWYAPWYSTFKAHYREAECMRVEMEDMLYAYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITLGAGGSREAIAIDHADDPGNCPEPSTTPDEYIGGFCAFNFTSGPAAGEFCWEQQPEYSAYRESSFGHGILEVKNETHALWSWHRNQDFYQVAGDIIYIVREPDRCLLVNHRANFVFLQGVKGEALV >Manes.08G160900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39656256:39668333:1 gene:Manes.08G160900.v8.1 transcript:Manes.08G160900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGVAIYEAVVMAGSLCLLGCAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLLQLVLFEIIPLLSKESRWINWKVDLFCLMLLLVFMLPYYHCYLMLCNNGVRKERAALGAILFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEESEIKALERQLMQSIETCIAKKKKIILSQMEMERIQGSEENLKARSFFKRIVGTVVRSVQDDQKEQDIKIMEAEVQALEELSKQLFLEIYELRQAKEAAAFSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVIFKEAGSVDPVTMTISIFLQFFDIGINAALLSQYISLLFIGVLVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLANEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQNDKHPID >Manes.08G160900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39656518:39662089:1 gene:Manes.08G160900.v8.1 transcript:Manes.08G160900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGVAIYEAVVMAGSLCLLGCAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLLQLVLFEIIPLLSKESRWINWKVDLFCLMLLLVFMLPYYHCYLMLCNNGVRKERAALGAILFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEESEIKALERQLMQSIETCIAKKKKIILSQMEMERIQGSEENLKARSFFKRIVGTVVRSVQDDQKEQDIKIMEAEVQALEELSKQLFLEIYELRQAKEAAAFSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVIFKEAGSVDPVTMTISIFLQFFDIGINAALLSQVS >Manes.08G160900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39656256:39668333:1 gene:Manes.08G160900.v8.1 transcript:Manes.08G160900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGVAIYEAVVMAGSLCLLGCAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLLQLVLFEIIPLLSKESRWINWKVDLFCLMLLLVFMLPYYHCYLMLCNNGVRKERAALGAILFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEESEIKALERQLMQSIETCIAKKKKIILSQMEMERIQGSEENLKARSFFKRIVGTVVRSVQDDQKEQDIKIMEAEVQALEELSKQLFLEIYELRQAKEAAAFSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVIFKEAGSVDPVTMTISIFLQFFDIGINAALLSQYISLLFIGVLVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLANEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQNDKHPID >Manes.08G160900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39656256:39668333:1 gene:Manes.08G160900.v8.1 transcript:Manes.08G160900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGVAIYEAVVMAGSLCLLGCAGLWFLNRRLYKEYEEKRVLVQIIFSVVFAFSCNLLQLVLFEIIPLLSKESRWINWKVDLFCLMLLLVFMLPYYHCYLMLCNNGVRKERAALGAILFLLAFLYAFWRMGIHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIEESEIKALERQLMQSIETCIAKKKKIILSQMEMERIQGSEENLKARSFFKRIVGTVVRSVQDDQKEQDIKIMEAEVQALEELSKQLFLEIYELRQAKEAAAFSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVIFKEAGSVDPVTMTISIFLQFFDIGINAALLSQYISLLFIGVLVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLANEYRMIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQNDKHPID >Manes.07G133160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29688322:29692508:-1 gene:Manes.07G133160.v8.1 transcript:Manes.07G133160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTEDSKSKMMGRLIDSVKEICGLPECKNVCKKMHGNLIRRIKLLSPLFEELKDSNEDLGEEAVKGFELLRISLDSAMELLKSTNEGSKVYQAIQRDKIAHKFCQITGKIEAALSEIAYDKLDLSEEVREQIELVHTQLRRAKEKPESLDSQLDLDLALAQREKDPEPAVLKRLSEKLELKTIGDLKKESHAFHELVISNGGDPGDWFPKMSSILKKLKDYVQMENPEADNSEPEHGLIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLSHTALTPNFVLKSLIALWCENNGVELPKHEGTCRNKKVGSGVSDCDRIAIVALLEKLANGNLEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSTDPRTQEHAVTAILNLSINDSNKGTIVNAGAIPDIVDVLKNGSMEARENAAATLFSLSVIDENKVAIGAAGAIPALIDLLREGTPRGKKDAATAIFNLSIYQGNKARAVRAGIVPPLMQLLKDAGGGMVDEALAILAILASHQEGKVAIGKSEPIPVLIEVIMTGSPRNRENAAAILWSLCAGDSQQLKLAKECGAEEALKELSDSGTDRAKRKAVSLLELIQRADVVVNQSCL >Manes.03G085400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:18960374:18962413:1 gene:Manes.03G085400.v8.1 transcript:Manes.03G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPPKSPDHMSRELPITTVNLPKKLTLVPLIFLIYFEVAGGPYGEEPAVQAAGPLYALLGFLIFPFIWSIPEALITAELSTAYPGNGGFVIWADSAFGPFFGSLMGAWKFLSGVINIAAFPVLCIDYLEKVLPALDSGWPRKFAVLMSTLILSFLNYTGLAIVGYVAVVLGIVSLSPFIIMSLIAIPKIRPHRWLSLGQKGVKKDWTLFFNTLFWNLNFWDNVSTLAGEVDKPHKTFPVALLVAVIFTCVSYLIPLFAVTGAVSVAQTEWESGFHATAAEMIAGKWLKYWIEIGAVLSAIGLFEAQLSSSAYQLLGMADLGFLPTIFAKRSKWFNTPWVGILLSTLITIGVSYMNFADIISSANFLYSLGMLLEFSSFLWLRMKMPGLKRPYRIPLKLPGLVIMCLIPSGFLVLIMVIATKTVYLVSGLMTVGAIVWYFLTKFCKSKKLLKYRSAEAEAEAVE >Manes.15G159000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13155447:13158748:1 gene:Manes.15G159000.v8.1 transcript:Manes.15G159000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLQPQASFPSISSSSSTFFAQGSKLLAPKLRNALLNRTTSYSTSSCKASWQELAGVLVFSAVPFTAVKAIANSPLGESLQRRMEERKKVAIQDSSKFQALAAKARKESLWYGEERPRWLGPIPYDYPAYLTGELPGDYGFDVAGLGKDSVAFQRYFNFEILHARWAMLAALGALVPELLDLLGAFHFTEPVWWLVGYSKLKGDTLDYLGIPGLHLAGSQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLNLSSDPVAFQELKVKEIKNGRLAMVAWLGFYAQAALTGKGPVQNLLDHISDPFHNNLLSVLNFM >Manes.15G159000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13155447:13158239:1 gene:Manes.15G159000.v8.1 transcript:Manes.15G159000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLQPQASFPSISSSSSTFFAQGSKLLAPKLRNALLNRTTSYSTSSCKASWQELAGVLVFSAVPFTAVKAIANSPLGESLQRRMEERKKVAIQDSSKFQALAAKARKESLWYGEERPRWLGPIPYDYPAYLTGELPGDYGFDVAGLGKDSVAFQRYFNFEILHARWAMLAALGALVPELLDLLGAFHFTEPVWWLVGYSKLKGDTLDYLGIPGLHLAGSQGVIVIAICQALLMVTPPFQLCCFLASYEDNYIISCILLSAYA >Manes.05G013500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:736992:739608:1 gene:Manes.05G013500.v8.1 transcript:Manes.05G013500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEAVKIENFVGSSTFPDHIQSCYSLQGVFDFCEGDKNSLGFMELLGMQDFSPSLFDMLPVPSVVQTAASNPGVTKMESPEALNQPATPNTSSISSASSEALNDEPVKTVDNEEEEQQKTKKELKPKKTTQKRQREPRFAFMTKSDVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSASCNVKKRVERSFSDPSIVVTTYEGQHVHPSPVMPRPSFAGAASESGFSATAFAMPMQRRLSLYQQPYVNSFSPLALGYNETSNASFLHESRFCTSQARSALLEDHGLLQDMLPSHMLNE >Manes.11G054600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6686158:6698067:-1 gene:Manes.11G054600.v8.1 transcript:Manes.11G054600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLSARFNVVSSNNTYDVLSYGAVGDGNADDSLAFVKAWNDTCNDPDTPTMIIPDGKTFFVHPITLVGPCKSTNINVQLLGKIIAPDDTNAWKGLEFGDWLVFKYVDGLIVNGASRGLLDGRGKGWWDISCKNNPDKDCNKLAPTILGFENCNNINMRGIRTVQSGGVHIRFHSCEQVELDSLNLQSPGDSPNTDGIHISHSKSFFINNSIIGTGDDCISIVDRSYNINITYIDCGPGHGISIGSLGQKGEEVDVNNITVSHINFHNTTNGARIKTWTVGRGQVQNVQFSDIIFKEVQNPIIIDQHYTESGNTPSPPSNVGVHIDKVQYYGLNGTSKSVVAIDLNCSNYVPCTNIILENIELISATPGEKVDSSCNNAFGSAKGVVEPNSCLR >Manes.07G066970.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11964324:11966096:-1 gene:Manes.07G066970.v8.1 transcript:Manes.07G066970.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPEIIEDILLRLPVKSLVRFKSASKTLYSLISESRFVNLQAKRASNNPKILLFSVSGTCQSFDYETPFGNTCAFVNLNIPRKVLKQVELGVDVIGSCSGLVCIGSSFDHKLVIWNPCTGTHLVIPYPSDSFTYYSYGFGYDPSSECFKIVLVSLEERTIFQIFSSKSSSWRSLCCPYGSTYILVNYIGDSSAPLLNGALHWLSYSKPQLPSTFLRACEISSEFIALDLAKEKFQKLPMPQSIPNHRLVLGELGGCLSLLLSSSMDKVEIWVMKEYGVEASWSKLLNVFETEYGSIYDFWPLYLCRSREVVGLQDGMKLKRWNIQDGKLVDTFNICEELCEAFKYRAVVYAENLISPTDFNEMN >Manes.17G003100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2496209:2499579:-1 gene:Manes.17G003100.v8.1 transcript:Manes.17G003100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPRAAAGGGEVDLHAAARSGDLNAVQSILRSNPLAINSRDKHSRTPLHLAAWSGHAHVVSYLCKNKADVGAAAMDDMGAIHFAAQKGHLEVVRTLLSSGVSIKTCTRKGLTPLHYAAQGSNLELVKYLVKKGASLAVKTKAGKTPLDLASREEISMFLEECERSPEKRNVNAKEEAEESNPKPSLPEEPENTGGESPVMEDNEEQDSENVNKRKDEESNDTKETSSEPKRQRIKLNHLLSADDTQEDENL >Manes.13G013738.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2539754:2540292:1 gene:Manes.13G013738.v8.1 transcript:Manes.13G013738.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQQKQQEVFICQTKYAKEILKKSKMDKCKIDTTIMGKNEKLGKESAAEKVDETLYRSLVGCLMYLTTTRPDILHFVSMLFRFTNCAVETHFIAAKRVLRYVRGILDYGIKFDASKDCVLLGYFDNNHGGIDDMKKMFSCCCKKKEVVAQSSAEVEFIAATATSLMA >Manes.17G093600.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30170094:30176824:-1 gene:Manes.17G093600.v8.1 transcript:Manes.17G093600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKPQIHHSIPFLSSPTDALLADKALTLLKRHPYHLNSLSSHFTPDAASCLLLKSQHDQTLTLKFINWAQPYPFFDPRSKCIALHILTRFKLYKPAQTLAQDLAMSTTDDTGSFVFQCLKETYHFCNSSSAVFDLVVKSYSHLNFTDKALNIVNLAKFNGFMPGVLSYNAIIDSVIRCQKPIRFAEEVYGEMIASGVSLNVYSYNILIRGFVRAGNLKMGLRFFKEMEGNGCLPNVVTYNTMIDAYCKLNRIDEAFSLLRSMGSKGLEPNLITYNMVINGLCREGRMKETSEILAEMRQKGYVPDEVTYNTLVNGYCKDGNFHQALVLHAEMVRNGLSPNVVTYTSLINGMCKAGNLNRAMEFFDQMHVRGLHPNERTYTTLINGFAQQGFLNEAYRVLNEMIRSGFTPSIVTYNALIKGHCVLGRTEEAVGLLQDMVGKGLSPDVVSYSTIIAGFSCNQELERAFQMKLEMVEKGVLPDAITYSSLIQGLCEQRKLTEACDLFQEMLTMRLPPDEFTYTSLINAYCEEGDLKKALHLHDEMIQKGFLPDIVTYNVLINGLNKQARTKEAKRLLLKLFYDESIPSEVTYNTLIENCCNIEFKSVVALMKGFCMKGLMDEADRVFESMIERNHKPNEAVYNVIIHGHCRGGNVQKAYKLYREMVHFGFVPHTVTIIALVKSLFSEGMNEELNQVVENILRSCKLTDAELAKVLVEINHKEGNMDVVFNVLTEMAKDGLIPSGGIGNPPNPRERSV >Manes.17G093600.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30170094:30176824:-1 gene:Manes.17G093600.v8.1 transcript:Manes.17G093600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKPQIHHSIPFLSSPTDALLADKALTLLKRHPYHLNSLSSHFTPDAASCLLLKSQHDQTLTLKFINWAQPYPFFDPRSKCIALHILTRFKLYKPAQTLAQDLAMSTTDDTGSFVFQCLKETYHFCNSSSAVFDLVVKSYSHLNFTDKALNIVNLAKFNGFMPGVLSYNAIIDSVIRCQKPIRFAEEVYGEMIASGVSLNVYSYNILIRGFVRAGNLKMGLRFFKEMEGNGCLPNVVTYNTMIDAYCKLNRIDEAFSLLRSMGSKGLEPNLITYNMVINGLCREGRMKETSEILAEMRQKGYVPDEVTYNTLVNGYCKDGNFHQALVLHAEMVRNGLSPNVVTYTSLINGMCKAGNLNRAMEFFDQMHVRGLHPNERTYTTLINGFAQQGFLNEAYRVLNEMIRSGFTPSIVTYNALIKGHCVLGRTEEAVGLLQDMVGKGLSPDVVSYSTIIAGFSCNQELERAFQMKLEMVEKGVLPDAITYSSLIQGLCEQRKLTEACDLFQEMLTMRLPPDEFTYTSLINAYCEEGDLKKALHLHDEMIQKGFLPDIVTYNVLINGLNKQARTKEAKRLLLKLFYDESIPSEVTYNTLIENCCNIEFKSVVALMKGFCMKGLMDEADRVFESMIERNHKPNEAVYNVIIHGHCRGGNVQKAYKLYREMVHFGFVPHTVTIIALVKSLFSEGMNEELNQVVENILRSCKLTDAELAKVLVEINHKEGNMDVVFNVLTEMAKDGLIPSGGIGNPPNPRERSV >Manes.17G093600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30169928:30176825:-1 gene:Manes.17G093600.v8.1 transcript:Manes.17G093600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKPQIHHSIPFLSSPTDALLADKALTLLKRHPYHLNSLSSHFTPDAASCLLLKSQHDQTLTLKFINWAQPYPFFDPRSKCIALHILTRFKLYKPAQTLAQDLAMSTTDDTGSFVFQCLKETYHFCNSSSAVFDLVVKSYSHLNFTDKALNIVNLAKFNGFMPGVLSYNAIIDSVIRCQKPIRFAEEVYGEMIASGVSLNVYSYNILIRGFVRAGNLKMGLRFFKEMEGNGCLPNVVTYNTMIDAYCKLNRIDEAFSLLRSMGSKGLEPNLITYNMVINGLCREGRMKETSEILAEMRQKGYVPDEVTYNTLVNGYCKDGNFHQALVLHAEMVRNGLSPNVVTYTSLINGMCKAGNLNRAMEFFDQMHVRGLHPNERTYTTLINGFAQQGFLNEAYRVLNEMIRSGFTPSIVTYNALIKGHCVLGRTEEAVGLLQDMVGKGLSPDVVSYSTIIAGFSCNQELERAFQMKLEMVEKGVLPDAITYSSLIQGLCEQRKLTEACDLFQEMLTMRLPPDEFTYTSLINAYCEEGDLKKALHLHDEMIQKGFLPDIVTYNVLINGLNKQARTKEAKRLLLKLFYDESIPSEVTYNTLIENCCNIEFKSVVALMKGFCMKGLMDEADRVFESMIERNHKPNEAVYNVIIHGHCRGGNVQKAYKLYREMVHFGFVPHTVTIIALVKSLFSEGMNEELNQVVENILRSCKLTDAELAKVLVEINHKEGNMDVVFNVLTEMAKDGLIPSGGIGNPPNPRERSV >Manes.17G093600.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30169928:30176825:-1 gene:Manes.17G093600.v8.1 transcript:Manes.17G093600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKPQIHHSIPFLSSPTDALLADKALTLLKRHPYHLNSLSSHFTPDAASCLLLKSQHDQTLTLKFINWAQPYPFFDPRSKCIALHILTRFKLYKPAQTLAQDLAMSTTDDTGSFVFQCLKETYHFCNSSSAVFDLVVKSYSHLNFTDKALNIVNLAKFNGFMPGVLSYNAIIDSVIRCQKPIRFAEEVYGEMIASGVSLNVYSYNILIRGFVRAGNLKMGLRFFKEMEGNGCLPNVVTYNTMIDAYCKLNRIDEAFSLLRSMGSKGLEPNLITYNMVINGLCREGRMKETSEILAEMRQKGYVPDEVTYNTLVNGYCKDGNFHQALVLHAEMVRNGLSPNVVTYTSLINGMCKAGNLNRAMEFFDQMHVRGLHPNERTYTTLINGFAQQGFLNEAYRVLNEMIRSGFTPSIVTYNALIKGHCVLGRTEEAVGLLQDMVGKGLSPDVVSYSTIIAGFSCNQELERAFQMKLEMVEKGVLPDAITYSSLIQGLCEQRKLTEACDLFQEMLTMRLPPDEFTYTSLINAYCEEGDLKKALHLHDEMIQKGFLPDIVTYNVLINGLNKQARTKEAKRLLLKLFYDESIPSEVTYNTLIENCCNIEFKSVVALMKGFCMKGLMDEADRVFESMIERNHKPNEAVYNVIIHGHCRGGNVQKAYKLYREMVHFGFVPHTVTIIALVKSLFSEGMNEELNQVVENILRSCKLTDAELAKVLVEINHKEGNMDVVFNVLTEMAKDGLIPSGGIGNPPNPRERSV >Manes.17G093600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30171771:30176833:-1 gene:Manes.17G093600.v8.1 transcript:Manes.17G093600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKPQIHHSIPFLSSPTDALLADKALTLLKRHPYHLNSLSSHFTPDAASCLLLKSQHDQTLTLKFINWAQPYPFFDPRSKCIALHILTRFKLYKPAQTLAQDLAMSTTDDTGSFVFQCLKETYHFCNSSSAVFDLVVKSYSHLNFTDKALNIVNLAKFNGFMPGVLSYNAIIDSVIRCQKPIRFAEEVYGEMIASGVSLNVYSYNILIRGFVRAGNLKMGLRFFKEMEGNGCLPNVVTYNTMIDAYCKLNRIDEAFSLLRSMGSKGLEPNLITYNMVINGLCREGRMKETSEILAEMRQKGYVPDEVTYNTLVNGYCKDGNFHQALVLHAEMVRNGLSPNVVTYTSLINGMCKAGNLNRAMEFFDQMHVRGLHPNERTYTTLINGFAQQGFLNEAYRVLNEMIRSGFTPSIVTYNALIKGHCVLGRTEEAVGLLQDMVGKGLSPDVVSYSTIIAGFSCNQELERAFQMKLEMVEKGVLPDAITYSSLIQGLCEQRKLTEACDLFQEMLTMRLPPDEFTYTSLINAYCEEGDLKKALHLHDEMIQKGFLPDIVTYNVLINGLNKQARTKEAKRLLLKLFYDESIPSEVTYNTLIENCCNIEFKSVVALMKGFCMKGLMDEADRVFESMIERNHKPNEAVYNVIIHGHCRGGNVQKAYKLYREMVHFGFVPHTVTIIALVKSLFSEGMNEELNQVVENILRSCKLTDAELAKVLVEINHKEGNMDVVFNVLTEMAKDGLIPSGGIGNPPNPRERSV >Manes.17G093600.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30172628:30176824:-1 gene:Manes.17G093600.v8.1 transcript:Manes.17G093600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFFKEMEGNGCLPNVVTYNTMIDAYCKLNRIDEAFSLLRSMGSKGLEPNLITYNMVINGLCREGRMKETSEILAEMRQKGYVPDEVTYNTLVNGYCKDGNFHQALVLHAEMVRNGLSPNVVTYTSLINGMCKAGNLNRAMEFFDQMHVRGLHPNERTYTTLINGFAQQGFLNEAYRVLNEMIRSGFTPSIVTYNALIKGHCVLGRTEEAVGLLQDMVGKGLSPDVVSYSTIIAGFSCNQELERAFQMKLEMVEKGVLPDAITYSSLIQGLCEQRKLTEACDLFQEMLTMRLPPDEFTYTSLINAYCEEGDLKKALHLHDEMIQKGFLPDIVTYNVLINGLNKQARTKEAKRLLLKLFYDESIPSEVTYNTLIENCCNIEFKSVVALMKGFCMKGLMDEADRVFESMIERNHKPNEAVYNVIIHGHCRGGNVQKAYKLYREMVHFGFVPHTVTIIALVKSLFSEGMNEELNQVVENILRSCKLTDAELAKVLVEINHKEGNMDVVFNVLTEMAKDGLIPSGGIGNPPNPRERSV >Manes.13G007200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1156920:1157336:1 gene:Manes.13G007200.v8.1 transcript:Manes.13G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQYQQFAESSSSWGFYVPPTAAIREMMGGSDALERVVRLASESAVVIFSMSSCCMCHTVKRLLCGMGVNPTVYELDQEPRGKDIERALMRLVGNYSNVVPVVFIGGKLIGAMDRVMASHINGTLVPLLKEAGALWL >Manes.09G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:11416802:11418431:1 gene:Manes.09G068800.v8.1 transcript:Manes.09G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEVGGHGSDFQAKDYHDPPPAPLIDAEELTQWSFYRAIIAEFIATLLFLYITVLTVIGYKSQTDPTKNADACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGCGLVKAFQKAYYNRYGGGANELADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNQDKAWDDQWIFWVGPFIGAAIAAFYHQYILRASAAKALGSFRSSSNI >Manes.15G189900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27848519:27855981:1 gene:Manes.15G189900.v8.1 transcript:Manes.15G189900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSRSPMDRKIRSDRFSYRGAPYRRESRRGFSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNAPICNLCNVSGHMARHCPKANTLGDSRSSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.15G189900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:27848519:27855981:1 gene:Manes.15G189900.v8.1 transcript:Manes.15G189900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRSRSPMDRKIRSDRFSYRGAPYRRESRRGFSSQSNLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASHCPNEGICHTCGKAGHRARECTAPPLPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARDCQNAPICNLCNVSGHMARHCPKANTLGDSRSSSYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAVECPSGRLMDRYPRRFY >Manes.13G096900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:28744207:28746575:-1 gene:Manes.13G096900.v8.1 transcript:Manes.13G096900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGSSDSLGALMSICPSTDEHSPRNSNHVYGREFQSMSMLDSLDDEACVEESGHVAEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYEAFKLNFESLQHDNEVLLKEVRELKAKLNGEKTESNVSVKEEIILAESDDKASEEEPLLLGSEVKDLNYESFNSDNKGVLEASLFTEFKDGSSDSDSSAILNEDNSPNPAISSSTGILQNHLLMMSPPPPSSSSSSFQFTKTTTYQTQFVKMEEHNFFSSEEACNFFSDEQAPTLQWYCPDQWN >Manes.01G059600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:25090779:25094183:1 gene:Manes.01G059600.v8.1 transcript:Manes.01G059600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFTERQPIGTSAQTDKDYKEPPPAPVFEPGELSSWSFYRAGIAEFIATFLFLYITVLTVMGVSKSPNKCATVGTQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIIMQCLGAICGAGVVKGFEGNRVYESLGGGANVVVSGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDHAWNDHWVFWVGPFIGAALAALYHQIVIRAIPFKARA >Manes.02G038200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3135724:3137597:-1 gene:Manes.02G038200.v8.1 transcript:Manes.02G038200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSPSGSLGPGGLDLSQVFFKPLHDTAPPSPTKRNTKISVIGTGNVGMAIAQTILTQDLVDELALVDAKADKLRGEMLDLQHAAAFLPRTKIIASTEYSITAGSDLCIVTAGARQIPGESRLNLLQRNVNLFELIIPPLAKYSPETILMIVSNPVDILTYIAWKLSGFPPNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPILNFLDKQQIAYEKETLENIHQAVVDSAYEVISLKGYTSWAIGYSAANLARSILRDQRKIHPVSVLAKGFYGIDGGDVFLSLPSQLGRGGVLGVTNVHLTEEEAQRLRDSAKTLLELQSQLGI >Manes.14G136829.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11938232:11942234:1 gene:Manes.14G136829.v8.1 transcript:Manes.14G136829.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSIRLSPSSSSPQSPHQAVFFLTFSEFCSYEVKLG >Manes.12G076301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8883870:8886224:1 gene:Manes.12G076301.v8.1 transcript:Manes.12G076301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIGYFGLIIFGVLAFMGSSTEAQLQMGFYAKSCPKAEKIVQDFVNKHIHNAPSLAASFLRLNFHDCFVRGCDASVLLNSSSSSGEQPEKEAIPNQTIRGFDFLDRVKSLLEDKCPGIVSCADILALVARDSIVATGGPFWRVPTGRRDGVISRLSEAMADIPPPFANFSTLQTQFADKGLDLKDLVLLSGAHTIGISHCPAFSDRLYNFSGTGEPDPSLDSEYAANLRANKCRTPDDRTTIVEMDPGSRKTFDLSYYSLLLKRRGLFESDAVLITDPVTLSLINQLLSGSVDDFFAQFANSMEKLGRVDVKTGTDGQIRKHCAVVNS >Manes.02G162300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12743372:12747003:-1 gene:Manes.02G162300.v8.1 transcript:Manes.02G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPKTIILFLILVNVVGFVNSKSTIEPCSNNDSCNALLGYTLYTDLKVSEVASLFQIDPVALLTANSIDISYPDVENHILPSQLFLKIPIVCSCVDGIRKSVSTHYKTRPSDTLSSIADSIYSGLVSADQLKEANSIQDPSALDVGQSLVVPLPCTCFNGTDNSLPAIYLSYVVKEVDTLAGIASRYSTTITDLMNVNAMGNPAIKAGDILAVPLPACASRFPRYALDYGLIVPNGSYAISASHCVQCSCGPGNLDLYCMPASLAVSCSSMQCRNSNLMLGNVTWKQSSAGCNVTSCSYGGYVNGSIVTLLSTSLQPRCPGPQQFPPLLAPPTSVTRDSVFGSAPAPAPQSDGSGATMPRSTVVPTTGTLPGLPPVGGPIGGASDACPLVNPLATSVIALMLYKLGTFMISLLL >Manes.11G060223.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7864600:7865130:1 gene:Manes.11G060223.v8.1 transcript:Manes.11G060223.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINKGKEWWMGASHFQMELANIAKCLLLGVVILWIQIHGNKGCFEEERLALLDFKAFIGSNGFDADHLLPSWIHDPTSNCCKWERVTCSSTTGHVTELSLNNT >Manes.01G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1948062:1957838:-1 gene:Manes.01G003100.v8.1 transcript:Manes.01G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFVQSRNAILREAHANGEPALQSPNPSSNKLKPSPTQFSSRRQKLYKENAPPSNLNSIPLPSDQKPSPSPAAKMKSPLPPRPPSFNPLKRKLNMETVPENAVLDSGVKVIVRIRPITKDEEEGETIVQKVSDQSLSINGHSFTFDSVADAQSTQLDIFHLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANALLEENLSSDQQGLTPRVFQRLFARINEEQIKDADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLREEYVFSMKDVTQLLIKGLNNRRTGATSINAESSRSHGVFTCVVESRCKGVTDGISSLKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAVSPAQSCKSETFSTLRFAQRAKAIKNKAIINEEMENDVNHLREVIRQLRDELHRVKMNSNSPTGWDPRKSLNLLKSLIHPHPRLTQVDEDGDEEMEIDEDAVENLCIEGLQPADTEDCNIIDKRRCSFEQDPDAVVEMEEGISEQGEKQETVYVDCAHPVRIAQGCSNVNDKDVQILIRTLDEDSSKGPSNNNDKEKRNLSSSVGKLLTEQSPSRMMESDSQTRFSMEMIVVGKIDGSQNDAMHCASPSSLSIVPCEASPTLKSPTPSVSPRITSSRKSLRTSSISTASQKDSKDESKSNLEDIHISFAKSMSSSSCDALTSQKSKGFPATTEHLAASLHRGLEVIESHRKSSAFRRSSFRFSCRPPEPKQVKLVEKVDAGVQTYPENNDIPEEDEEFVCKRCKSKKQLEEKDADDSSNLQLVPIDGSECADKSKKQVPKAVEKVLAGAIRREMALEEFCAEQTSEIIQLKRLVQQYKHERECNAIIGQTREEKILRLESLMDGVLPTEEFIEEELVSLMHEHKLLKEKYENHPEVLRTNIELKRAQDELEHYRNFYDLGEREVLLEEIQDLRNQLQYYIDSSSTSALKRRSLLQLTYSPLSTIPEATGGCSEVKLEQERISWTEAESQWILLVEELRTELDASRALAEKRRQELETEKKCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLASHKKIQEGIDGVKKAASKAGARGAESKFINALAAEISALKVEREKERRYLRDENKMLQAQLRDTVEAVQAAGELLVRLKEAEEAIAAAEKRADGAEQETSKAYKQIDKLKRKHENEINTLNELLAESRVPKETIQPACNDVKTAKYDTGDHFNEGERWREEFEPFYNNGEHGELSKLAEPSSWFSGYDRCNI >Manes.01G003100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1948062:1957838:-1 gene:Manes.01G003100.v8.1 transcript:Manes.01G003100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFVQSRNAILREAHANGEPALQSPNPSSNKLKPSPTQFSSRRQKLYKENAPPSNLNSIPLPSDQKPSPSPAAKMKSPLPPRPPSFNPLKRKLNMETVPENAVLDSGVKVIVRIRPITKDEEEGETIVQKVSDQSLSINGHSFTFDSVADAQSTQLDIFHLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANALLEENLSSDQQGLTPRVFQRLFARINEEQIKDADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLREEYVFSMKDVTQLLIKGVTDGISSLKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAVSPAQSCKSETFSTLRFAQRAKAIKNKAIINEEMENDVNHLREVIRQLRDELHRVKMNSNSPTGWDPRKSLNLLKSLIHPHPRLTQVDEDGDEEMEIDEDAVENLCIEGLQPADTEDCNIIDKRRCSFEQDPDAVVEMEEGISEQGEKQETVYVDCAHPVRIAQGCSNVNDKDVQILIRTLDEDSSKGPSNNNDKEKRNLSSSVGKLLTEQSPSRMMESDSQTRFSMEMIVVGKIDGSQNDAMHCASPSSLSIVPCEASPTLKSPTPSVSPRITSSRKSLRTSSISTASQKDSKDESKSNLEDIHISFAKSMSSSSCDALTSQKSKGFPATTEHLAASLHRGLEVIESHRKSSAFRRSSFRFSCRPPEPKQVKLVEKVDAGVQTYPENNDIPEEDEEFVCKRCKSKKQLEEKDADDSSNLQLVPIDGSECADKSKKQVPKAVEKVLAGAIRREMALEEFCAEQTSEIIQLKRLVQQYKHERECNAIIGQTREEKILRLESLMDGVLPTEEFIEEELVSLMHEHKLLKEKYENHPEVLRTNIELKRAQDELEHYRNFYDLGEREVLLEEIQDLRNQLQYYIDSSSTSALKRRSLLQLTYSPLSTIPEATGGCSEVKLEQERISWTEAESQWILLVEELRTELDASRALAEKRRQELETEKKCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLASHKKIQEGIDGVKKAASKAGARGAESKFINALAAEISALKVEREKERRYLRDENKMLQAQLRDTVEAVQAAGELLVRLKEAEEAIAAAEKRADGAEQETSKAYKQIDKLKRKHENEINTLNELLAESRVPKETIQPACNDVKTAKYDTGDHFNEGERWREEFEPFYNNGEHGELSKLAEPSSWFSGYDRCNI >Manes.01G003100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1948062:1957838:-1 gene:Manes.01G003100.v8.1 transcript:Manes.01G003100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKTYTMWGPANALLEENLSSDQQGLTPRVFQRLFARINEEQIKDADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLREEYVFSMKDVTQLLIKGLNNRRTGATSINAESSRSHGVFTCVVESRCKGVTDGISSLKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAVSPAQSCKSETFSTLRFAQRAKAIKNKAIINEEMENDVNHLREVIRQLRDELHRVKMNSNSPTGWDPRKSLNLLKSLIHPHPRLTQVDEDGDEEMEIDEDAVENLCIEGLQPADTEDCNIIDKRRCSFEQDPDAVVEMEEGISEQGEKQETVYVDCAHPVRIAQGCSNVNDKDVQILIRTLDEDSSKGPSNNNDKEKRNLSSSVGKLLTEQSPSRMMESDSQTRFSMEMIVVGKIDGSQNDAMHCASPSSLSIVPCEASPTLKSPTPSVSPRITSSRKSLRTSSISTASQKDSKDESKSNLEDIHISFAKSMSSSSCDALTSQKSKGFPATTEHLAASLHRGLEVIESHRKSSAFRRSSFRFSCRPPEPKQVKLVEKVDAGVQTYPENNDIPEEDEEFVCKRCKSKKQLEEKDADDSSNLQLVPIDGSECADKSKKQVPKAVEKVLAGAIRREMALEEFCAEQTSEIIQLKRLVQQYKHERECNAIIGQTREEKILRLESLMDGVLPTEEFIEEELVSLMHEHKLLKEKYENHPEVLRTNIELKRAQDELEHYRNFYDLGEREVLLEEIQDLRNQLQYYIDSSSTSALKRRSLLQLTYSPLSTIPEATGGCSEVKLEQERISWTEAESQWILLVEELRTELDASRALAEKRRQELETEKKCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLASHKKIQEGIDGVKKAASKAGARGAESKFINALAAEISALKVEREKERRYLRDENKMLQAQLRDTVEAVQAAGELLVRLKEAEEAIAAAEKRADGAEQETSKAYKQIDKLKRKHENEINTLNELLAESRVPKETIQPACNDVKTAKYDTGDHFNEGERWREEFEPFYNNGEHGELSKLAEPSSWFSGYDRCNI >Manes.18G035000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2930334:2933627:-1 gene:Manes.18G035000.v8.1 transcript:Manes.18G035000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICRDVKTGVGVNQERRLGGNRAALFVYAMEGLENMAFVSNAISLVTYFNGYMNFSLTKSATSLTNFMGTSFLLTLFGGFISDTFISRFKTCVLFGCIELLGYGILTVQAHFHQLRPIPCKVVEKHQCEAANGSQEAMLFTGLYLVAFGTSGVKSALSALGADQFDENDPKEAVHLSSFFNWFLFSLTAGAIIGVTFLVWISTNRGWEWSFAVCTVAVLLAVLFLSMGKSLYRINVPKGSPILRFAQVFAAAIRNRNLPIPEKTDELHEIHDKQEEILERTDQFRFLDRAAIVRTNNGASTSMAPGPWRLCTVTQVEETKILLRMLPIILSTVFMNTCLAQLQTFTIQQSTTMDTSFIGFHIPGPSIPVIPLLFMFFLIPIYDRIFVPLVRKITGIPTGIRYLQRIGVGLVLSAISMAVSGIVETHRKSVANEHNMVDSTEPLPMSVFWLGFQYAIFGAADMFTLVGLLEFFYAESSAGMKSLSTAISWCSLAFGYFLSSAVVEIVNKASGGWLASDNLNRDKLNYFYWLLAGASVVNFVFYLVCASWYRYKKVEVKKVESSGEGDAKGKVELIQV >Manes.12G067200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6956748:6960356:1 gene:Manes.12G067200.v8.1 transcript:Manes.12G067200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAPKIVFLFKDSDGFATAIADALHPSTACSFHRLEESFELSLGRYGIQDRKANGNLIHFIDDDSNYQVSMLLMEKYEPPILACALSEVLTQIIGEASAGLPTLVVPFIGSSTKLKWESRTSTTNDSKLLLYGQQIGPETDVTQSIASRTQKPPSSLQVHYEPLACFLQLVTVLKLPTAIVIGQRGRSSSDKAAEEDLEILYEIGGLLATTTCLHFVREKLIWNPANASKGVKEPWRALYG >Manes.04G071100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27033849:27038683:1 gene:Manes.04G071100.v8.1 transcript:Manes.04G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIQRAISSRINRRGERSNQMSHSKDGEGGFFSSGKFSSDYPMRIMWKRGFIRLVLVAGILWMLLILLVLLFHVWSCQSSYSFFSAICNKESKVYSVLNSWGFVAQQHRCPIPVANNPDKIVIPERGSSDDIVKNLSYFMEDELVNNGSQPSPLFGGHESWSQREQSFKLKSSMKVHCGFMHGGGAEMDPMDIKYVSKCRFVVASGIFDGYDVPHQPSNISDRSKQLFCFLMVVDEISLDFIKENATVRKDINGGLWVGIWRLVLLKHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKNTFAIAQHKHHHSIYEEADANKRRKRYARPLIDLHMKIYRYEGMEPWSLKKSTISDVPEGAIIVREHTAMSNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGGAFKFFMFPNCEYYSLFVLHPHTREHSSKVEWVKSLSEFKGSGSSMKESRGGLGLWTPYPGDLDSVVLPPVVRTSKAG >Manes.04G071100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27033849:27038683:1 gene:Manes.04G071100.v8.1 transcript:Manes.04G071100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIQRAISSRINRRGERSNQMSHSKDGEGGFFSSGKFSSDYPMRIMWKRGFIRLVLVAGILWMLLILLVLLFHVWSCQSSYSFFSGCPIPVANNPDKIVIPERGSSDDIVKNLSYFMEDELVNNGSQPSPLFGGHESWSQREQSFKLKSSMKVHCGFMHGGGAEMDPMDIKYVSKCRFVVASGIFDGYDVPHQPSNISDRSKQLFCFLMVVDEISLDFIKENATVRKDINGGLWVGIWRLVLLKHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKNTFAIAQHKHHHSIYEEADANKRRKRYARPLIDLHMKIYRYEGMEPWSLKKSTISDVPEGAIIVREHTAMSNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGGAFKFFMFPNCEYYSLFVLHPHTREHSSKVEWVKSLSEFKGSGSSMKESRGGLGLWTPYPGDLDSVVLPPVVRTSKAG >Manes.12G012200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1232206:1235061:-1 gene:Manes.12G012200.v8.1 transcript:Manes.12G012200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQTPIGMRSSALLETSCGYLLQELQIIWDEVGEDQFEREKVLLDLEQECLEVYRRKVDSANISRARLHQELAESEAEFTHLLLSLGERSLPGRPEKKSGTLKEQLDAITPALREMRLRKEERVNQFRAVKGQIQKISAEIAGQSVYDDSITNVIVNENDLSLKKLEEYQIELQTLHNEKNDRLQQVETYIDTIHRLSTTLGMESSMIVTKVHPSLNELCGISKNISDSILAKLNITVESLKEEKQKRLEKLHHLGKALTNLWNLMGTPYEDRRLFSHVTGLLPVSSDEVSDPGSLTLNIMQQAEAEVKRLDQLKASKMKELFFKKQNELENICNKSHMEIPSRPEIDNIITLINSGEIDHADLLMSMDEQIARAKEEASSRKEIMEKVERWMLARDEERWLEEYSMDENRYSVSRGAHKNLRRAERARGIVNKIPGNVLKFL >Manes.12G012200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1230553:1235061:-1 gene:Manes.12G012200.v8.1 transcript:Manes.12G012200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQTPIGMRSSALLETSCGYLLQELQIIWDEVGEDQFEREKVLLDLEQECLEVYRRKVDSANISRARLHQELAESEAEFTHLLLSLGERSLPGRPEKKSGTLKEQLDAITPALREMRLRKEERVNQFRAVKGQIQKISAEIAGQSVYDDSITNVIVNENDLSLKKLEEYQIELQTLHNEKNDRLQQVETYIDTIHRLSTTLGMESSMIVTKVHPSLNELCGISKNISDSILAKLNITVESLKEEKQKRLEKLHHLGKALTNLWNLMGTPYEDRRLFSHVTGLLPVSSDEVSDPGSLTLNIMQQAEAEVKRLDQLKASKMKELFFKKQNELENICNKSHMEIPSRPEIDNIITLINSGEIDHADLLMSMDEQIARAKEEASSRKEIMEKVERWMLARDEERWLEEYSMDENRYSVSRGAHKNLRRAERARGIVNKIPVLVASLIAKTKNWEEERKKVFLFDDVPLLAMLEEYNMSRQEREEEKQRQREKKKVQSQVVVDQDNAFGSRPGTSSRRLSNRSINGGFSNATPLNRRLSLSIHQLGNNSINSASQNISLMKDGRKIQGQKMFARPGFASHLRDETASVVSTFSGPVSP >Manes.06G107750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:23990256:23991370:1 gene:Manes.06G107750.v8.1 transcript:Manes.06G107750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKHYVPLKEKSLSPNTIPQIVASRLAVQFGQQLLILAADDTKFCYNIVYTLTESSGAQMEKLWPEISTTNSSFGSYYSNSVQLSFHKFFPLMHRLKKIWIKIKFQSLWVTPE >Manes.15G169500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14660599:14663789:-1 gene:Manes.15G169500.v8.1 transcript:Manes.15G169500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASLPPGFRFHPTDEELVGYYLHRKVQGLEIELEVIPVIDLYKFDPWDLPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSEVTGYRKTLVFYRGRAPFGDRTDWVMHEYRLCDDVSQGSSSFQGAFALCRVVKKNDQGHKTSDSHGEPKTKNICSSSNNGGFTSAVISNEHMSASGDISQITHLHNECHHSSPIASPYQLTAVAEFETLPMENNPSSLWVSPDLILDSSKDYPQIQEAASGYFPQYEYPSSMTPFQPYEHREFSSSSSYSNFTGEFKTVDDLSRIGYMSPYSGHGNYLGFSGNEDIPYEGYDQTNSLGYPKHF >Manes.15G169500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14660599:14663809:-1 gene:Manes.15G169500.v8.1 transcript:Manes.15G169500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASLPPGFRFHPTDEELVGYYLHRKVQGLEIELEVIPVIDLYKFDPWDLPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSEVTGYRKTLVFYRGRAPFGDRTDWVMHEYRLCDDVSQGSSSFQGAFALCRVVKKNDQGHKTSDSHGEPKTKNICSSSNNGGFTSAVISNEHMSASGDISQITHLHNECHHSSPIASPYQLTAVAEFETLPMENNPSSLWVSPDLILDSSKDYPQIQEAASGYFPQYEYPSSMTPFQPYEHREFSSSSSYSNFTGEFKTVDDLSRIGYMSPYSGHGNYLGFSGNEDIPYEGQFADKQVEDGSFGEYGGLWCQEDNMVVVI >Manes.15G169500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14660599:14663785:-1 gene:Manes.15G169500.v8.1 transcript:Manes.15G169500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASLPPGFRFHPTDEELVGYYLHRKVQGLEIELEVIPVIDLYKFDPWDLPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSEVTGYRKTLVFYRGRAPFGDRTDWVMHEYRLCDDVSQGSSSFQGAFALCRVVKKNDQGHKTSDSHGEPKTKNICSSSNNGGFTSAVISNEHMSASGDISQITHLHNECHHSSPIASPYQLTAVAEFETLPMENNPSSLWVSPDLILDSSKDYPQIQEAASGYFPQYEYPSSMTPFQPYEHREFSSSSSYSNFTGEFKTVDDLSRIGYMSPYSGHGNYLGFSGNEDIPYEGQFADKQVEDGSFGEYGGLWCQEDNMVVVI >Manes.12G136600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34356812:34357645:1 gene:Manes.12G136600.v8.1 transcript:Manes.12G136600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERFPLFRIQQADEPPLQTRPSPPNYEPIFRPRPFPSRKSSLKVKPKPVSIDQSETYVVQIPKEHIFNVPPPENAIIAERYRNPEKKKNSNGNCTLCVVITLLVVAALVGLIVGVVHIASKPKTPVFSVVHVGVKNPPSSSHKNARNTIYEITLKAKNRNKKTETIYSSPGGITLLYNGNKIGAGKSPRFDQPAGSSTKVGLTLKSSKGSLPEDITRSIKDKKGKRHVSLVLKMNKVPVKMKSWSKAINIICNLKVSSLGASGNNVVSQRCQTKFN >Manes.16G047576.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9807048:9814262:1 gene:Manes.16G047576.v8.1 transcript:Manes.16G047576.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVAFGDVPSVDLHREIKLEEDEEEFRSCCGDDEVWKDPEETEKEEPQEILDEFSVNMFFKGISIAEGGDSGSGFSGIGVVMERTKNVSLIQVQKKLDFYVEESVADYLALMDGLAEALQHNICRVYAFTDSEMLYDQITHEEKVEIPLLIALRQRILEHASNLETFVLKVIPVCDLQRPLHLAQVAIGVVSFPADGSKSRDNCSICCEEKISPMMIAMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQLGCKYYISSTECRSFLPLTSYECLEKALAEADVLHSDRIYCPYPNCSVLLDPRECVSTQASSSSQSDNSCVECPVCQRFVCVECGVPWHSLMSCEEFQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELMQGCYHMTCWCGHEFCYSCGAEYRDGQQTCQCAFWDENNSEDLVSQSAQESEQWAWETFNSLPMLMDAYSDQERSQLALIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEDYIQ >Manes.16G047576.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9807076:9814255:1 gene:Manes.16G047576.v8.1 transcript:Manes.16G047576.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVAFGDVPSVDLHREIKLEEDEEEFRSCCGDDEVWKDPEETEKEEPQEILDEFSVNMFFKGISIAEGGDSGSGFSGIGVVMERTKNVSLIQVQKKLDFYVEESVADYLALMDGLAEALQHNICRVYAFTDSEMLYDQITHEEKVEIPLLIALRQRILEHASNLETFVLKVIPVCDLQRPLHLAQVAIGVVSFPADGSKSRDNCSICCEEKISPMMIAMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQLGCKYYISSTECRSFLPLTSYECLEKALAEADVLHSDRIYCPYPNCSVLLDPRECVSTQASSSSQSDNSCVECPVCQRFVCVECGVPWHSLMSCEEFQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELMQGCYHMTCWCGHEFCYSCGAEYRDGQQTCQCAFWDENNSEDLVSQSAQESEQWAWETFNSLPMLMDAYSDQERSQLALIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEDYIQ >Manes.15G027900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2204895:2207111:-1 gene:Manes.15G027900.v8.1 transcript:Manes.15G027900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCTNSSSPFDCLIFDLDDTLYSSKLGIAEPLRKNIDDFLVEKCGFAEDKASRLRVELFKTYGSSLAGLRALGYDIDADDYHSFVHGRLPYDVIKPDSQLRNLLRSITQRKIIFTNSDRIHAIKVLKRLGIEDCFDQIICFETMNPNLSQSTRPDEFPVLLKPSMDAMKIALHAANVDPRRTLFLDDNVRNVAAGKAMGLGTVLVGKTVKSKEADYVMENVNKLAQVIPEIWGVGKDNGDQSINRTRSDLDSILATTIVGA >Manes.17G090400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29840583:29856315:1 gene:Manes.17G090400.v8.1 transcript:Manes.17G090400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLASLASTGQKIPLIGLGTAEFPFGEDEATVKQSVLHAIEVGYRHFDTAALYQSEKPLGDAIAEALQRGLIKSRDELFVTSKLFSSNCHSHLVLPALQQTLKNLGLEYLDLYLIHVPVSLKPGAQLPFAPGDILVMDFEGVWKAMEECQNLGLTKSIGVSNFTCKKIEKLLATARIPPAVNQVEMNVFWQQKQLRKLCEEKGIHITAYSALGSKGTPWELNSRPIDCDALKEIASGREKTVAQVLSSNRTLRYTYDGTRRKPYLS >Manes.17G090400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29840583:29875741:1 gene:Manes.17G090400.v8.1 transcript:Manes.17G090400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLASLASTGQKIPLIGLGTAEFPFGEDEATVKQSVLHAIEVGYRHFDTAALYQSEKPLGDAIAEALQRGLIKSRDELFVTSKLFSSNCHSHLVLPALQQTLKNLGLEYLDLYLIHVPVSLKPGAQLPFAPGDILVMDFEGVWKAMEECQNLGLTKSIGVSNFTCKKIEKLLATARIPPAVNQVEMNVFWQQKQLRKLCEEKGIHITAYSALGSKGTPWELNSRPIDCDALKEIASGREKTVAQVRTRGTEDLSSEPAATEFIRASQRCAGTG >Manes.17G090400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29840583:29843235:1 gene:Manes.17G090400.v8.1 transcript:Manes.17G090400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLASLASTGQKIPLIGLGTAEFPFGEDEATVKQSVLHAIEVGYRHFDTAALYQSEKPLGDAIAEALQRGLIKSRDELFVTSKLFSSNCHSHLVLPALQQTLKNLGLEYLDLYLIHVPVSLKPGAQLPFAPGDILVMDFEGVWKAMEECQNLGLTKSIGVSNFTCKKIEKLLATARIPPAVNQVEMNVFWQQKQLRKLCEEKGIHITAYSALGSKGTPWELNSRPIDCDALKEIASGREKTVAQVCLRWVYEQGVSIVVKSFNKERMKENMQIFEWELSQEDLQKIDQLPQRRGNLAHFYVTDDGPYKSLFEFWDGEL >Manes.17G090400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29840583:29859890:1 gene:Manes.17G090400.v8.1 transcript:Manes.17G090400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLASLASTGQKIPLIGLGTAEFPFGEDEATVKQSVLHAIEVGYRHFDTAALYQSEKPLGDAIAEALQRGLIKSRDELFVTSKLFSSNCHSHLVLPALQQTLKNLGLEYLDLYLIHVPVSLKPGAQLPFAPGDILVMDFEGVWKAMEECQNLGLTKSIGVSNFTCKKIEKLLATARIPPAVNQVEMNVFWQQKQLRKLCEEKGIHITAYSALGSKGTPWELNSRPIDCDALKEIASGREKTVAQKKILNPK >Manes.17G090400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29840583:29867057:1 gene:Manes.17G090400.v8.1 transcript:Manes.17G090400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLASLASTGQKIPLIGLGTAEFPFGEDEATVKQSVLHAIEVGYRHFDTAALYQSEKPLGDAIAEALQRGLIKSRDELFVTSKLFSSNCHSHLVLPALQQTLKNLGLEYLDLYLIHVPVSLKPGAQLPFAPGDILVMDFEGVWKAMEECQNLGLTKSIGVSNFTCKKIEKLLATARIPPAVNQVEMNVFWQQKQLRKLCEEKGIHITAYSALGSKGTPWELNSRPIDCDALKEIASGREKTVAQVRTRGTEDLSSEPAATEFIRASQRCAGTG >Manes.06G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13882007:13886892:1 gene:Manes.06G044100.v8.1 transcript:Manes.06G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSGTNKKGTAGQAVESNVKQNSPKSNNKETTALISMSQELKDEGNRLFQKRDLEGAMMKYQKALKLLPRNHMDVSYLRSNMASCYMQMGLNEYPRAIHECNLALEVTPTYSKALSKRARCYEVLNRLDLALKDVSMILKIDPNNVMAIEIAERVKTALEQKGLRVNDTVIELPPEYAEPPSTSIVPKAVEEKTRKKKNKKAEKKNKQVEEKKVDGEIEEKQTEDKAVIEEQISVAKEEPKKIVKLIFEEDIRWAQIPFNCSLLQLREVISDRFPGSGAVLIKYRDQEGDLVTVTSDEELRWAEASAESQASIRLYLVKVNPQQDPFFGKLNNEEVHNMDFVQKFARVNGNMEKGKEAENRSCHIDEWIIEFAKLFKDHMGFDSDAYFGVHELGVKVYSEAMEEAITSEEAQDLFNTAAGKFQEMAALALFNWGNVHMSRARKKVYCTEDASKGPVLEQIKTAYDWARIEYVEAGQKYEAALRIKPDFYEGLLALGQRQFEEAKMSWYYAIANNIDLESWPSEEVLQLYNSAENNMEKGMRIWEELEAQGQSELSNSFKLNSQSEKKGLDGLFKDVTAEEATEQAKNMRSQINLLLGTILYERSIMEFKLGLPLWQNCLETAVEKFELAGASPTDIAVMRKNHVSNDNAREGLGFRIHEIAHAWNKMYEYKAKKWQSGVPTFRLEPILQRRVSKLYHALEFA >Manes.06G084900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22010042:22016520:-1 gene:Manes.06G084900.v8.1 transcript:Manes.06G084900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRFATPLISPQPITAIRSSLIAEEQAPSGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTRAENSRGLWQPFTALLGDAPSVDVKKNVIVTISSDKGLCGGINSTSVKISKAMHKLASGPDKETKYVILGEKAKAQLIRDSKKDIELSITELQKNPLNYTQVSVLADDILKNVEYDALRIVFNKFHSVVSFLPTMSTVLSPEVVEREAESGGKLGDLDSYEIEGGETKGEILQNLAEFQFSCVLFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >Manes.06G084900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22010042:22016669:-1 gene:Manes.06G084900.v8.1 transcript:Manes.06G084900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRFATPLISPQPITAIRSSLIAEEQAPSGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTRAENSRGLWQPFTALLGDAPSVDVKKNVIVTISSDKGLCGGINSTSVKISKAMHKLASGPDKETKYVILGEKAKAQLIRDSKKDIELSITELQKNPLNYTQVSVLADDILKNVEYDALRIVFNKFHSVVSFLPTMSTVLSPEVVEREAESGGKLGDLDSYEIEGGETKGEILQNLAEFQFSCVLFNAVLENACSEQGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >Manes.03G144800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27271444:27273752:-1 gene:Manes.03G144800.v8.1 transcript:Manes.03G144800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYGLIIPGSDASRFCFSSTTGSSTVTSKADPILRFKPVTRFPRASFKTKAAVQESISTDMSFYELLGIPESGTLIEIKQAYKQLARKYHPDVSPPDRVEEYTQRFIQVQEAYETLSDPRSRALYDRDMARGLHLAFSARRRYQNDEELEVRGEWKNRWEAQLSELKRRSMNKDAEGNMSWAARMRRQRERMSQQV >Manes.04G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2062855:2069114:1 gene:Manes.04G015800.v8.1 transcript:Manes.04G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQTSTDFLFLFSSPKKALLLHDIPTSTMSHQAESSDSKSAKKDFSTAILERKKSPNRLIVDEAVNDDNSVVSMHSETMEKLQLFRGDTILIKGKKRKDTICIALADDTCEPPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVIGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLSDEVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKEKRRSENPEAMDEDVEDEVAEIKAAHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFSETRSSGATSDPFTTPAGGADDDDLYG >Manes.02G156500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12239343:12243303:-1 gene:Manes.02G156500.v8.1 transcript:Manes.02G156500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLVCRLLQFFGAPLYMGHLIVQERDLSQYSPSLSSTSRHLSCSHQLCELGPNCKNLQEPCPYIATYDDPAANTSSSGFLVEDTLHLDSVSENATRRRVQASVIIGCGRKQSGGYLDGAAPDGVMGLGPGDISVPSLLAKAGLVRKSFSLCFDENDCGRIFFGDQGHASQKSTPFVPIQGNYITYFVEVESVCVGNSCLKQSGFKAAIDSGSSFTFLPTEVYNKIVLEFDKQVNAQRISYEGVPWNYCYNSSSQDSIDIPALRLHFLMNQSFIVHNPKYSISQNQKFTMFCLALQPTDLEFGIIGQNYMTGYRVVFDMENLKLGWSNSNCQDASDKTEVNLAPPPNAKSPNPLPTNEQHSIPSKHAIAPAVAGRTSSKASAASHHIPSLLHLTSSLVLLLNSCLFVLWT >Manes.02G156500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12239343:12243303:-1 gene:Manes.02G156500.v8.1 transcript:Manes.02G156500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTNTCLHAMAENRLLSLLVFMAICFCFAFDGSIGVVGLTFSSKLINRFSDEAKALWISRNRNVSLDSWPKRNSFEYFQLLLGSDLKRQRMKLGSHSQLLFPVQGSETFFFGNELDWLHYTWIDIGTPNVSFLVALDAGSDLLWVPCDCIQCAPLSAGYYSSLERDLSQYSPSLSSTSRHLSCSHQLCELGPNCKNLQEPCPYIATYDDPAANTSSSGFLVEDTLHLDSVSENATRRRVQASVIIGCGRKQSGGYLDGAAPDGVMGLGPGDISVPSLLAKAGLVRKSFSLCFDENDCGRIFFGDQGHASQKSTPFVPIQGNYITYFVEVESVCVGNSCLKQSGFKAAIDSGSSFTFLPTEVYNKIVLEFDKQVNAQRISYEGVPWNYCYNSSSQDSIDIPALRLHFLMNQSFIVHNPKYSISQNQKFTMFCLALQPTDLEFGIIGQNYMTGYRVVFDMENLKLGWSNSNCQDASDKTEVNLAPPPNAKSPNPLPTNEQHSIPSKHAIAPAVAGRTSSKASAASHHIPSLLHLTSSLVLLLNSCLFVLWT >Manes.02G156500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12239343:12242427:-1 gene:Manes.02G156500.v8.1 transcript:Manes.02G156500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLIVQERDLSQYSPSLSSTSRHLSCSHQLCELGPNCKNLQEPCPYIATYDDPAANTSSSGFLVEDTLHLDSVSENATRRRVQASVIIGCGRKQSGGYLDGAAPDGVMGLGPGDISVPSLLAKAGLVRKSFSLCFDENDCGRIFFGDQGHASQKSTPFVPIQGNYITYFVEVESVCVGNSCLKQSGFKAAIDSGSSFTFLPTEVYNKIVLEFDKQVNAQRISYEGVPWNYCYNSSSQDSIDIPALRLHFLMNQSFIVHNPKYSISQNQKFTMFCLALQPTDLEFGIIGQNYMTGYRVVFDMENLKLGWSNSNCQDASDKTEVNLAPPPNAKSPNPLPTNEQHSIPSKHAIAPAVAGRTSSKASAASHHIPSLLHLTSSLVLLLNSCLFVLWT >Manes.15G153800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12765545:12770606:-1 gene:Manes.15G153800.v8.1 transcript:Manes.15G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLFPLYHPLFCFSYSSSSPSFFSISRSLLSPFLSSSSFLMAPPAAMEAPEAAPRNAFTNVASAASHISRVTVVGSGNWGSVAAKLIASNTLKLPSFHDEVRMWVFEETLPTGEKLTDVINRTNENVKYLPGIKLGKNVIADPDLDNAVRDANMLVFVTPHQFMEGICKRLVGKVKEGVEAISLIKGMEVKMEGPCMISTLITEQLGINCSVLMGANIANEIAVEKFSEATVGYRTNREIAEKWVQLFSTPYFMVTPVQDVEGVELCGTLKNVVALAAGFVDGLEMGNNTKAAIMRIGLREMRAFSKLLFSSVKDSTFFESCGVADVITTCLGGRNRKVAEAFAKNGGKRSFDELEAEMLQGQKLQGVSTAREVYEVLSHRGWLELFPLFATVHEICIGRLPPSAIVEYSEHKPNFALVEGSAEYY >Manes.05G066500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5540303:5544904:1 gene:Manes.05G066500.v8.1 transcript:Manes.05G066500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKMGVHVYSTTSYVVSKFLALSNMPISDVSSDLTVEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSRINIPAVPGGAEAFELAAKFCYGVNVEITLSNVAMLLCAAHFLEMTEDFAEKNLEARAEAYLKEMVLPNISSSISVLHRCEVLLPISEEINLVSRLINAIANNACKEQLTSGLLKLDHNFPPKQANIEPETPSEWWGKALTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLIVRDPHLVKGSLLDLELQKKQRVIVETIVSLLPTQSRKSPVPMAFLSSLLKTAIAASATTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSILRIFSMFLNLDEDDDEDNHLQGESEMGYDFDSPGSPKQSSILKVSKLLDNYLAEVTLDSNLMPSKFIALAEVLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGALNGQFPQRSSSGAGSGALSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTRKLSKLNSIFRINGLKPIGGKANSEARFLFQKRRRHSVS >Manes.05G066500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5540303:5544904:1 gene:Manes.05G066500.v8.1 transcript:Manes.05G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVAELKTSISGKRSFRPSSSIRHATEWPISDVSSDLTVEIGASSFALHKFPLVSRSGRIRKLLLEAKDSKVSRINIPAVPGGAEAFELAAKFCYGVNVEITLSNVAMLLCAAHFLEMTEDFAEKNLEARAEAYLKEMVLPNISSSISVLHRCEVLLPISEEINLVSRLINAIANNACKEQLTSGLLKLDHNFPPKQANIEPETPSEWWGKALTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLIVRDPHLVKGSLLDLELQKKQRVIVETIVSLLPTQSRKSPVPMAFLSSLLKTAIAASATTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSILRIFSMFLNLDEDDDEDNHLQGESEMGYDFDSPGSPKQSSILKVSKLLDNYLAEVTLDSNLMPSKFIALAEVLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGALNGQFPQRSSSGAGSGALSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTRKLSKLNSIFRINGLKPIGGKANSEARFLFQKRRRHSVS >Manes.05G066500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5540303:5544904:1 gene:Manes.05G066500.v8.1 transcript:Manes.05G066500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCAAHFLEMTEDFAEKNLEARAEAYLKEMVLPNISSSISVLHRCEVLLPISEEINLVSRLINAIANNACKEQLTSGLLKLDHNFPPKQANIEPETPSEWWGKALTVLNLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLIVRDPHLVKGSLLDLELQKKQRVIVETIVSLLPTQSRKSPVPMAFLSSLLKTAIAASATTSCRSDLERRIGLQLDQAILEDILIPANSHGNSHSTMYDTDSILRIFSMFLNLDEDDDEDNHLQGESEMGYDFDSPGSPKQSSILKVSKLLDNYLAEVTLDSNLMPSKFIALAEVLPDHARIVSDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGALNGQFPQRSSSGAGSGALSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTRKLSKLNSIFRINGLKPIGGKANSEARFLFQKRRRHSVS >Manes.08G105950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19426313:19426926:-1 gene:Manes.08G105950.v8.1 transcript:Manes.08G105950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNINVLNAYSTTNSIVQFNPVSQLPVKLIGSHKFSTWKAQISILMCGHNLFGHLDGTSPAPSPTLIQGDQQIENPSYNTWFCQDQLIHNAIMASVDLTIAPTIAVAIMAKISWNYLHTSYVNKTQTRIFNYLHQVRSLCDELVTVRTKVSNDELIVKFLSGLRLEFRGMSVAIRTRDSIISYEEL >Manes.16G005700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:634517:638072:1 gene:Manes.16G005700.v8.1 transcript:Manes.16G005700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLAGIAQLGERQTEDLKVLLDNGLVRLTILKPHGYLTGIKYGGLDNLLDLKSSQSSRGYWDINWSFPGGQERYQILRGAVHSVVEMSNDCLETRMVFKLRREKFHYMAISDEKQRIMPMPEDLLPGRGKQLIVPESVLLVNPINPDPRGEGSIIHEFRNGGPTKQNLTAHTGPDCLAMFHGTHYIGDEILAHFQEGEEWRKTFGPFFVYLNSTNNVSNAYNLWEDAKKQRLLEEAAWPYEFVSSSYYLTAKGLGSATGRLYVQDRFISGSLIPAKRAYVGLLAARTAGAWHTESKDYQLWVQTDSNGYFTIKNVIPGVYGLHGWVPGFIGSETQLGNLTYVPRRDGPTVWEIGFPDRTALGYYVPDVNPALFRQYGLWDRYPDMHPLSDQTFTIGINNPKKEWFFAHVDTDNKYLPLTWTIKFYLDSVTSGTYKLRLAIASATRSDLEDCKCIVYVNGMDLEHMVFQVMNLGTECSFIGVSSSLLVKGDNSMFLTQARSGDALCGILYDYIRLEAPLPSGNSCGSEEGFDLDEKLI >Manes.07G099600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30443132:30446933:1 gene:Manes.07G099600.v8.1 transcript:Manes.07G099600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPANFMDEIDCGSFFDHIDDLLDFPTDDIEACLPAPDCTTTNNNNGNANSFPSIWSTQSDSMPGSNSVFSNNSGSDFSAELSVPYEDIVQLEWLSSFVEDSFSGGSLTMNKEDSSSDNKDSSPQQFQTSSPVSVLESSSSCSGEKLVPDIIASARHGRARSKRPRPPTFTPRPTMQLISPSSSVTETPQPQTLAVSKVPSDSENYAESRLLIKMPKHVAPEHKKKKKKIKFTVPLCPAETTTQNSPPQQQTIRKCVHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPSLHSNSHKKVLEMRSKTGDSPAGNATTMSNSPEFIPNNSNLAMDYI >Manes.07G099600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30444066:30446933:1 gene:Manes.07G099600.v8.1 transcript:Manes.07G099600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPANFMDEIDCGSFFDHIDDLLDFPTDDIEACLPAPDCTTTNNNNGNANSFPSIWSTQSDSMPGSNSVFSNNSGSDFSAELSVPYEDIVQLEWLSSFVEDSFSGGSLTMNKEDSSSDNKDSSPQQFQTSSPVSVLESSSSCSGEKLVPDIIASARHGRARSKRPRPPTFTPRPTMQLISPSSSVTETPQPQTLAVSKVPSDSENYAESRLLIKMPKHVAPEHKKKKKKIKFTVPLCPAETTTQNSPPQQQTIRKCVHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPSLHSNSHKKVLEMRSKTGDSPAGNATTMSNSPEFIPNNSNLAMDYI >Manes.07G099600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30443132:30446934:1 gene:Manes.07G099600.v8.1 transcript:Manes.07G099600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPANFMDEIDCGSFFDHIDDLLDFPTDDIEACLPAPDCTTTNNNNGNANSFPSIWSTQSDSMPGSNSVFSNNSGSDFSAELSVPYEDIVQLEWLSSFVEDSFSGGSLTMNKEDSSSDNKDSSPQQFQTSSPVSVLESSSSCSGEKLVPDIIASARHGRARSKRPRPPTFTPRPTMQLISPSSSVTETPQPQTLAVSKVPSDSENYAESRLLIKMPKHVAPEHKKKKKKIKFTVPLCPAETTTQNSPPQQQTIRKCVHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFIPSLHSNSHKKVLEMRSKTGDSPAGNATTMSNSPEFIPNNSNLAMDYI >Manes.08G004111.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:714570:715191:-1 gene:Manes.08G004111.v8.1 transcript:Manes.08G004111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSLSFRNMLQDSFLLILHVFFAVIIILVLKKLVAKKEIGEITSCSASDDLRRLTCAVGTNIFSHCL >Manes.15G144300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11850066:11854961:-1 gene:Manes.15G144300.v8.1 transcript:Manes.15G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIDPSFVQDHEQRPKFNSTEIEEIPVIDLSASSPSDSQELVSKIGEACKNYGFFQVINHGVPLELRQKTEKVAKEFFDQSMEEKRKVKRNEVDPMGYYDSEHTKNVRDWKEVFDYLVLDPTLIPASADPDDKELRTLTNQWPEYPFEFREQCQGYTKQVEKLAFKLLELISMSLGLPADRLNGYFKDQISFARLNHYPPCPSPHLALGVGRHKDGGALTVLAQDEVGGLEIARRSDGEWIPVKPIPDAFIINIGNCMQVWSNDLYWSAEHRVVVNSEKERFSMPFFFFPSHYVQIKPLEELVNEQNPPKYKEFNWGKFFASRNRSDYKQQEVENVQIDHYKASE >Manes.16G078500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28317272:28319765:-1 gene:Manes.16G078500.v8.1 transcript:Manes.16G078500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLAQLQSKVSQASQFVAKHGTTYYKQLLEQNKQYIQEPPTVEKCNLLSKQLFYTRLASIPGRREAFWKELDYVKHLWKNRQELTVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >Manes.06G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26854686:26856858:-1 gene:Manes.06G141200.v8.1 transcript:Manes.06G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDCIKTMPSLPQHHPKEERKDDRKPLVFDARLLSYQSNIPQQFVWPDDEKPSANAPELPVPLIDLGGFLSGDPVSAMEASRLIGEACQKHGFFLVVNHGVDPHLIADAHRYMDNFFELPLCDKQRAQRKIGEYCGYASSFTGRFSSKLPWKETLSFRYSAEKNSPKLVQDYFHNTMGDSYAEFGKVYQKYCESMSTLSLGIMELLGMSLGVSKAHFREFFEENDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDRVGGLQVFVDNEWRSISPNFEAFVVNIGDTFMALSNGRYKSCLHRAVVNSETPRKSLAFFLCPRSDKMVIPPKEVVDSCNPRVYPDFTWAMLLEFTQKHYRADMKTLEMFTNWLQQRQ >Manes.15G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:798960:800147:1 gene:Manes.15G008500.v8.1 transcript:Manes.15G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTFTDEFTSPVPAKKLFIALILDADNLIPKLMPQAVKSIETIQGNGGPGTIKKMTFAEGAGPGLKYVKHRIDALDKEKMTYNYTLIEGDVLMDKIESIAYEIKFEATPDGGCKGTNVTKFHPKAGVEIKEEAVQEGKQKAMAVFKAVEAYLIANPQAYV >Manes.13G083900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14345659:14362840:1 gene:Manes.13G083900.v8.1 transcript:Manes.13G083900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGAIPFPHPLTNLRPQITGSHNHRCLFFSFDLKSSKRVLALNTPFQAVPELDGDDDDSACSHLPNKNSEDASPGIASTENIASPTPSTFRKLKAHKLKLLMKKTSQNFRETMATGMREDMKSSDAYAKHGELQILDNEIIQDESQISFRKRAGPKHSAPAGSSDSRGWGNVGHVHSLKSKSTTPLQMQKKSSADNDFFSRESFKDLGCGDFMVESLKGQLFLRPSHIQAMAFTPVIEGKSCVIADQSGSGKTLAYLIPIIQRLRLEELEGLGKPLSKSPQVLIMVPTAELASQVLNNCRSISKFGVPFRSMTATGGFSQRTQLENLEQGVDVLIVTPGRFMFLVKEGFLQLTSLKCVVLDEVDILFNDEDFEVALNSLMNSSPVATQYLFVTATLPVDVYNKLIEVFPDCEVVMGPGMHRTSIRLEEVLVDCSGEVGTDRTPETAFLNKKSALLQIMEQSPVSKTIVFCNKIETCRKVENVLKRFDRKGTNIRVLPFHAALAKESRLENMKEFMNSFPGENSLFLVCTDRASRGIDFTGVDHVILFDFPRDPSEYVRRVGRTARGATGRGKAFIFVVGKQVSLAQRIIERNRKGHPLHDVPSAYELMS >Manes.13G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14345659:14362840:1 gene:Manes.13G083900.v8.1 transcript:Manes.13G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGAIPFPHPLTNLRPQITGSHNHRCLFFSFDLKSSKRVLALNTPFQAVPELDGDDDDSACSHLPNKNSEDASPGIASTENIASPTPSTFRKLKAHKLKLLMKKTSQVKDKNFRETMATGMREDMKSSDAYAKHGELQILDNEIIQDESQISFRKRAGPKHSAPAGSSDSRGWGNVGHVHSLKSKSTTPLQMQKKSSADNDFFSRESFKDLGCGDFMVESLKGQLFLRPSHIQAMAFTPVIEGKSCVIADQSGSGKTLAYLIPIIQRLRLEELEGLGKPLSKSPQVLIMVPTAELASQVLNNCRSISKFGVPFRSMTATGGFSQRTQLENLEQGVDVLIVTPGRFMFLVKEGFLQLTSLKCVVLDEVDILFNDEDFEVALNSLMNSSPVATQYLFVTATLPVDVYNKLIEVFPDCEVVMGPGMHRTSIRLEEVLVDCSGEVGTDRTPETAFLNKKSALLQIMEQSPVSKTIVFCNKIETCRKVENVLKRFDRKGTNIRVLPFHAALAKESRLENMKEFMNSFPGENSLFLVCTDRASRGIDFTGVDHVILFDFPRDPSEYVRRVGRTARGATGRGKAFIFVVGKQVSLAQRIIERNRKGHPLHDVPSAYELMS >Manes.18G035401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2927468:2931393:1 gene:Manes.18G035401.v8.1 transcript:Manes.18G035401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLVLKFPDEIASWNKFNEERDDFGDFVREVGYSAVLDTFRVDGPFDLLVAGQDELSLLLPLNVSHSRLKRILVGEGITVEVKGAQELSLFDTFDHSFTENRSFKISKGRTGFCSFGQSLCMPLLPIRIIGSASLIAYRTRNYDSPVETTLLSEKMIELLPEKCYISNAYKSKARLNHPFSLKINMLGKLLRSFLGNRLGQKWVSGSLRANVKAATIIHFQLEIIKSIARNGTSHDVLEDWKTRPTVERVWFEVMARIEAQKLRLVMLKKVRPFISVDSVSWSNLMSNISFTKFPSILVPPETLTLDVKW >Manes.10G120901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28593398:28600226:-1 gene:Manes.10G120901.v8.1 transcript:Manes.10G120901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFSKILTSVDINRGLEVPHELQITGQRMEILVADMQGNPWNFVCFTKSGNQQLPKPVFKKGWLEFAGHWNLAAGTTITFYKEIDQATGAQYKIRVR >Manes.02G000700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:115886:116178:1 gene:Manes.02G000700.v8.1 transcript:Manes.02G000700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRLFPIPIAVPAIPIAKFSSILKTLGKGDDEEYICSVCLDSTRNKDGIRELCNCSHVFHKECLDKWVDVGQVTCPLCRSTLFPN >Manes.11G165700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33084139:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLLHRVLVGYLGRYVKNIQKDHLKLSLWNEEVLLENVELIPEAFDYLQLPFAIKRGRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRRVCDNQTGKSFISYITEKVLDSIQLSIRNFHIQYSEIRLDSSQVLFGLKFSSLAIKQNLVGSSGGKVVRGQVNKTADLEGLEIYCASCEGAVDSESVDDTADSRYERSEGSTFDHLLQPFDVTASLVVNRAGRLDSDLAQYSINAEITGLVISLNEVQLQQILILSDCITISRLREKYGRYRPWGHSLARKHNGWQLRWWHYAQESVLSDVRGKLKKTSWRYFGQRLDSRRKYINLYKIKLDFLQQEQSIDEYILRELELMEKESDLDDILSYRSAAEHELQDVLSSSSSSNMGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEVFIILPSLTAEFSPSIYESIMALVVHLHMLHAKSSSLIPRNPYAHNAMSSQPWESVFGFSIDAKLKSLSFHVDLANDQGCSSKLQLFLQELDIWYSHTKFDECFVCTKELKVTTSPLRNQNDGCILFSSGDQFASGAANRQALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33063964:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESDLDDILSYRSAAEHELQDVLSSSSSSNMGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRYIISNACRRELCYKQKGTDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33049478:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRYIISNACRRELCYKQKGTDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33063964:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESDLDDILSYRSAAEHELQDVLSSSSSSNMGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRYIISNACRRELCYKQKGTDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33084139:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLLHRVLVGYLGRYVKNIQKDHLKLSLWNEEVLLENVELIPEAFDYLQLPFAIKRGRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRRVCDNQTGKSFISYITEKVLDSIQLSIRNFHIQYSEIRLDSSQVLFGLKFSSLAIKQNLVGSSGGKVVRGQVNKTADLEGLEIYCASCEGAVDSESVDDTADSRYERSEGSTFDHLLQPFDVTASLVVNRAGRLDSDLAQYSINAEITGLVISLNEVQLQQILILSDCITISRLREKYGRYRPWGHSLARKHNGWQLRWWHYAQESVLSDVRGKLKKTSWRYFGQRLDSRRKYINLYKIKLDFLQQEQSIDEYILRELELMEKESDLDDILSYRSAAEHELQDVLSSSSSSNMGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEVFIILPSLTAEFSPSIYESIMALVVHLHMLHAKSSSLIPRNPYAHNAMSSQPWESVFGFSIDAKLKSLSFHVDLANDQGCSSKLQLFLQELDIWYSHTKFDECFVCTKELKVTTSPLRNQNDGCILFSSGDQFASGAANRQALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRYIISNACRRELCYKQKGTDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33065028:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESDLDDILSYRSAAEHELQDVLSSSSSSNMGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEVFIILPSLTAEFSPSIYESIMALVVHLHMLHAKSSSLIPRNPYAHNAMSSQPWESVFGFSIDAKLKSLSFHVDLANDQGCSSKLQLFLQELDIWYSHTKFDECFVCTKELKVTTSPLRNQNDGCILFSSGDQFASGAANRQALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRYIISNACRRELCYKQKGTDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33063963:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESDLDDILSYRSAAEHELQDVLSSSSSSNMGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEVFIILPSLTAEFSPSIYESIMALVVHLHMLHAKSSSLIPRNPYAHNAMSSQPWESVFGFSIDAKLKSLSFHVDLANDQGCSSKLQLFLQELDIWYSHTKFDECFVCTKELKVTTSPLRNQNDGCILFSSGDQFASGAANRQALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRYIISNACRRELCYKQKGTDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33058812:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33058812:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRYIISNACRRELCYKQKGTDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33084169:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLLHRVLVGYLGRYVKNIQKDHLKLSLWNEEVLLENVELIPEAFDYLQLPFAIKRGRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRRVCDNQTGKSFISYITEKVLDSIQLSIRNFHIQYSEIRLDSSQVLFGLKFSSLAIKQNLVGSSGGKVVRGQVNKTADLEGLEIYCASCEGAVDSESVDDTADSRYERSEGSTFDHLLQPFDVTASLVVNRAGRLDSDLAQYSINAEITGLVISLNEVQLQQILILSDCITISRLREKYGRYRPWGHSLARKHNGWQLRWWHYAQESVLSDVRGKLKKTSWRYFGQRVDSRRKYINLYKIKLDFLQQEQSIDEYILRELELMEKESDLDDILSYRSAAEHELQDVLSSSSSSNMGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEVFIILPSLTAEFSPSIYESIMALVVHLHMLHAKSSSLIPRNPYAHNAMSSQPWESVFGFSIDAKLKSLSFHVDLANDQGCSSKLQLFLQELDIWYSHTKFDECFVCTKELKVTTSPLRNQNDGCILFSSGDQFASGAANRQALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRYIISNACRRELCYKQKGTDHFVHLSIGKHSHLHWTDTMRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33084139:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLLHRVLVGYLGRYVKNIQKDHLKLSLWNEEVLLENVELIPEAFDYLQLPFAIKRGRVGKLSIKISWKKLGWDHPIIIALEDVFICASQRDDHEWSVEAVERREFAGKKAKLAAAELAKLSRRVCDNQTGKSFISYITEKVLDSIQLSIRNFHIQYSEIRLDSSQVLFGLKFSSLAIKQNLVGSSGGKVVRGQVNKTADLEGLEIYCASCEGAVDSESVDDTADSRYERSEGSTFDHLLQPFDVTASLVVNRAGRLDSDLAQYSINAEITGLVISLNEVQLQQILILSDCITISRLREKYGRYRPWGHSLARKHNGWQLRWWHYAQESVLSDVRGKLKKTSWRYFGQRLDSRRKYINLYKIKLDFLQQEQSIDEYILRELELMEKESDLDDILSYRSAAEHELQDVLSSSSSSNMGVDGANISVEKSQNDEHTSGRSRGWLNWLSRGMLGAGGTDDSSQFSGVVSDDVVKDIYEATEFHPSVSCGGDANASVKMFTCAVKLSMGQIAATLQSKYSSERIADLIIKDAVVECKLQEELASVFCSIKSGKMVYPRDEMVILQIGRSLTEPEDKPTSCRIQVDESRKQEVELSIKVMLQPLEVNCDVEFLLKLLEFFTVLQCFEFQNKRVLLSLNGFKDVKTRLLSKAEYALSSHKKLSWDISILNLIIIIPGRNAISEQCNLVMEMGSLHCKSKYDLESITPTNQEQSYMLNHSSSSAFTINFLRDFLVQDLYNYFAIELGNIELKLVISQHAHTITILEKFCASITFALCIISDESILKNMEALNVGNSNQDGNCGDNSGCIEAFFLMHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.11G165700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:33030606:33049478:-1 gene:Manes.11G165700.v8.1 transcript:Manes.11G165700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYEAHRSVDFVSHKFSFGLNDADLHCYPHIFGLLVGFYERLSSFYAYEKSCSSVMDGKSPNNRPGFQFQRFGYSNFSEDGCCDHASISLDCYPFITISNSGSHGNLQNSFCHFIPDWRKYFKLKDTKLRSPKCAMKKESRTFHASPVINTSGVDTFTAPESLCEPDIFNIDINLCGIRVHFHDSSCTVGTITIPTSKSSFLIYDNYMDLLCSIEGLIVTSPWWTSNFKDFLWGPSLPNMSSTLNLRVRKGHAGLATSQLEVSVGVQHVCCFLPPEYLAIIIGYFSLPDWISNLSEQPVAENCDSVATNKGSPVVYKFEILESTLILPVERDEHQFLKIELHQLYYSLILESSPSDVLKDIPPQCKVPAHKVAKANHCLNIYGRDLILSLLLCKDDEHGCLIVDKDTGCGNVILIAPLSADVWIRLPCEDESCLYRSSASTCVMSRISNCQLIADDCYTWGGFEALMDVINQLSSVDNESKCFTSDVLHFFQLKRSLKENAAVPTVASATVFTEARFCADSLSISLYQSREDSFLSQPIAKTDMQFMCSLSLINETPIDLDMTFSSLSLYSLLNSVMMAQCTNTCSASSALHVSFSKSTGGGQELLISVPSLYIWLHVSDWSAIIDLYISYSQLMAETVEMKASSKNSSKDMVDLAENVALAVPHSYLLNNALPYHVKEHVKRDSVTLNVRLKNIGLAIHFPLWPMESAVWQLATSEVQQERPQNVSSNATEGKNYKFMVLTTHSSSSELSVFGGSVNLKSSLEETSGTAEIRKGNSITTWPLFQISQLSIMADIFHKQMDLVNVKVGVQVNRLDMWLSHQVLCFWYGLQFDIPEADTSQSSFASMDFNIQLREVSLQMSDERWSCGGRLLEILMRNILLHAIMTETDVESSVTSDVEVNYNNIHKVLWEPFIEPWKFQINMIRRHKMSALLNSSIKTDILLTSTAPLNLNVTESLMECVFRTVEMFKDAWHLMEPSDPCEIQRFSSHQLPETLNGGKHAPYILQNSTSLPLVYHVFHGPVNTEEFDFSEFGDEKAVQPGSSVPIYLNETPEEQLFQFRPAQSSDRLSEKQSNGVLHHFMSIQLDGMYMPSAPISMDLVGLTCFEVNFSKASNKIEIEKLEDVSRYNINIKENVTSSTNHGFAVPVVFDVSMQRYSKLIRLYSTVILSNSTSMTLELRFDIPFGLSPKILDPIHPGQEVPLPLHLAEAGRLRWRPLGNSYLWSEVHDLSNILSQEIKIGFLRSFVCYPSHPSSDPFRCCISVQKFGIPSSGKSIKGSPSYVNNPTDQSAQSCTHGWMQSKKQCIHQVTLSTPLVVYSYLPDAVSLTIESGGVTRTALLSEVEASFHHVDPSHDLGLEFCMQGYRPSALKFPRTETFSAMAKFSGAKFSLTETMIFNPEFSNGPLYINVDLMMDAFSGAREIFIFAPFLLYNCTGLPFHISESAPETKGNHYAIPSCYLIEQELQEMKDGLSLLSSDQDSCAGNNQFILLGKNANPHLGKFMCKPSVLSGSSFFGQSDNPDLGGKTSSSIMWSTSKPTPKDSDPVDAERGKVKACMYSPRVISSSSEIMVRIRRCLPEHVEKESNSSWSEPFLLVPPSRSSIVLVPQSSPNAAFIISVTSSALAGSFVGRTRAITFQPRDLLVSIRFNEPGWQWSGSFLPDHLGDTQLKMRNYISGLLNMIRVEVQNADVSIRDEKIIGSLHGDSGTYLILLSDDDTGFMPYRIENFTKERLRIYQQRCETFDTIIHPYTSCPYAWDEPHYPHRLTVEVPGERVIGVYSLDELREYVPIHLKPTSEKPERTLLLSIHAEGATKVFSIVDSGYHVLEDLSPSLFQVKNKYEQREQNFVDYQEKFSLAVSCIGISLVNAHPQELLFACAKDITLNLIQSLDQQKLSFQISSLQIDNQLHRTPYPVILSFNQEYRSNAASQRAKDDVAKLKGERVWQLSTESYCEPVVYVAIATWRKKDTSLVSFEYISLRVANFRLELEQEIILNLLAFIRSLSSRFQGKALPISDPAYNPPMYVGFSHTQTSEYVKTREDQLHGINFPEFSKSLISSSSLPTMVPIGAPWQQIYLLARRQKKIYVELFDLAPIKFTLSFSSAPWILTNGFLTSGESIIHRGLIALADVEGARIHLKQLTIAHQMASWESMQDILLRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSILQSPTGLITGMAQGTTSLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQSVGRMEKQHKGVTSQSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIALGVTGLVARPAASILEVTGKTAQSIRNRSKLHQIGSQRYRARLPRPLSREHPLRPYSFEEAVGTSVLMEVDDGLKLKDEVFVMCKPLKQAGKFVVVTERLMLIVSSPSFVDLGKPEFRGVPIDPEWLVESDIGLDSVIHADTVEGVVHIVGSGLDAGLRHNQHQSKKGGGTRTKHWSNPSTPLPLFQTSLELASKKDAEDLLQKLLSIIELGRGKGWGCGYLLHKSNIISK >Manes.05G013498.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:581479:582207:1 gene:Manes.05G013498.v8.1 transcript:Manes.05G013498.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLRSLSRAHTSPRQPQEPPSPRYFSCSSFKDINDVILEEQNGSKSQSQTPRRPSIFHRASPLHGHHRNHSKTFIISPPPNQDDHEIILYFTGLGIVRKTFEDCRTVRSILRGFRVPIDERDLSMDAGYLDEIQMITCSKKVKLPAVFLGGKYVGGAEEIKEMNESGELSKLIGELPFVGNNSFCDVCGELRHVLCAQCNGSHKIYSEKHGFRTCTSCNVNGLVKCGLCYPVNRRRMST >Manes.02G104500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8163998:8165637:-1 gene:Manes.02G104500.v8.1 transcript:Manes.02G104500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTKRETRSQTLAALNNNDNNIPISSRIEDSEKGVSKSTRSGKQQQDRSALIDITNDSPIVGVAMGSLETPASAIAKQRSNRVKINYTPGSGEAKLRGQVKTLLQKVEEEAELSKVALENRPFLRIQGFANSPMGLLAPTPVNTPQVPNLSEADGGIDCTTPLSVVEEPLLFCQVVSDIFDGKKEGLESQKSLTRSLMLDFSEKSEITDASSECPSVVTTREVDKSLTSDDDSASNWSILVNASTHEEEEEEEEEEYYDPYDYEDGDDGGLVDALCEGIGKIRFSENNTMAAKFRGKHTRFRYNSDDEVVEEEEVVEDAAFQHQMFFT >Manes.S052016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1785252:1788190:1 gene:Manes.S052016.v8.1 transcript:Manes.S052016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVSFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.06G000500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:235034:236474:-1 gene:Manes.06G000500.v8.1 transcript:Manes.06G000500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTRVSSILAITVIAASLCWPSTTAAATADTQAAFVKKTITSHKIVIFSKSYCPYCRRAKAVFEELNEVPHVVELDQRDDGPTIQDALSKMFGRRTVPQVFIDGKHIGGSDDTVEAYKSGELAKFLGIAAGKDDL >Manes.02G005200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:605549:607102:1 gene:Manes.02G005200.v8.1 transcript:Manes.02G005200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCSKVGLHRGQWTPREDKLLINYIRAHGEGHWRSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPDEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKRLNKTSGTRSSSSESKKKNKEGNKDGANGKAAKSKIDLPKAIRVTSVSITRTSSTSSLRGSSKTHAEVHNLPWLEMVCPNLEVRDGIINDGETLPCNEDHDDLDMPTNENMLDEMFEEYEQLLRTDNYAQLDSFIDSLLA >Manes.S030216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:692615:693427:-1 gene:Manes.S030216.v8.1 transcript:Manes.S030216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.04G079300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28165179:28165478:1 gene:Manes.04G079300.v8.1 transcript:Manes.04G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLPAILRAKQILQRSPSANQTASVAMDVPKGYLAVYVGEKQRKRFVIPVSYLNTPSFQDLLIQAEEEFGYDHPMGGLTIPCSESMFFDVISCLNCS >Manes.06G095501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23013371:23017603:-1 gene:Manes.06G095501.v8.1 transcript:Manes.06G095501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQNDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Manes.15G031000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2424153:2425221:1 gene:Manes.15G031000.v8.1 transcript:Manes.15G031000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRSRDVRSSSQGEIGIFNHIQPIESPVSFLERKRPAPITISNSTTVKDSWPPLSADKQQCRMFTFGSPATEKNDALPKNKKPGEGFGEFLNACFLCKKKLQVGQNLYIYLGAFCSPECREDQMDLDGFEKEIARESSTRLKTAGKLFIK >Manes.15G031000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2423454:2426257:1 gene:Manes.15G031000.v8.1 transcript:Manes.15G031000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRSRDVRSSSQGEIGIFNHIQPIESPVSFLERKRPAPITISNSTTVKDSWPPLSADKQQCRMFTFGSPATEKNDALPKNKKPGEGFGEFLNACFLCKKKLQVGQNLYMYGYLGAFCSPECREDQMDLDGFEKEIARESSTRLKTAGKLFIK >Manes.15G031000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2423454:2426257:1 gene:Manes.15G031000.v8.1 transcript:Manes.15G031000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRSRDVRSSSQGEIGIFNHIQPIESPVSFLERKRPAPITISNSTTVKDSWPPLSADKQQCRMFTFGSPATEKNDALPKNKKPGEGFGEFLNACFLCKKKLQVGQNLYIYLGAFCSPECREDQMDLDGFEKEIARESSTRLKTAGKLFIK >Manes.14G133000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10620734:10623428:1 gene:Manes.14G133000.v8.1 transcript:Manes.14G133000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKMCEFCQIIKRRGRVYVICSSNPKHKQRQGISTFAYEGSVAAETNAKQEITPSHNVGLGLASIIPKKHEPSMIYGWSANLASLLFKQGH >Manes.06G113500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24441021:24444655:1 gene:Manes.06G113500.v8.1 transcript:Manes.06G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRHQVCPSTLPLLHGSTQNPILSKSQLSVWSKPLRPSFSIFATQPTSSSHSSSSIFLPVLENARIDQQEPENEQEEQEDENLTDPIVRFFKSRTSTSTQDPTHQGKLSLQKNRRTSWRLSPEFQSDSEPDIEDVFTREESQHMGSASSDPIPLPEGIVGEIMQIARELPENSTLGEQLGPFEGRISATECVEVLGLMGEEGMVTCCLYFFEWMRLQEPSLVTPRSCTVLFPILGTAGMGDKLMILFRNLPQNKEFGDVHVYNAAISGLLCCRRYDDACTVYEAMEANNISPDHVTCSIMITVMRKKGCSAKEAWEFFERMNRKEVKWSPEVLGALIKSFCDEGLKNEALIIQVEMAKKGVFSNAIVYNTLMDAYNKSNQIEEVEGLFAEMKAKGLKPTSATFNILMDAYSRRMQPEIVEKLLLEMQDAGLKPDARSYTCLISAYGRQKKMSDMAADAFLKMKKVGIKPTSHSYTALIHAYSVSGWHEKAYIAFEHMQREGIKPSIETYTALLDAFRRAGDTQMLMKIWKLMISEKVEGTRVTFNILLDGFAKQGHYVEARDVISEFGTFGLQPTVMTYNMLMNAYGRGGQHSKLPQLLKEMEGLNLKPDSVTYLTMIYAFVRVRDFKRAFFYHKEMVKSGQVPDAKSYQKLRAILDVKAKIKNRKDRSAILGIISSKMGMLKVKKKGKKDEFWKHKKRHPRVHNGDQS >Manes.12G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2897425:2904612:1 gene:Manes.12G033100.v8.1 transcript:Manes.12G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPITVRCLINSISRFILLVSCQTRTHMPIQKDYRSMAMVLKHLKTVLDEIVDHKVSSDEILYKECEELDLAVNEAREFMENWNPKMSKICCVRKSEDLFIKIQASSLEICHVLSRLLQSSSSISSLTSIQHCMQELQCLKQEMITEHIEAALRSKRDYIVPCTDHLPKIIEALNLSSDGELLKESVAVEKERMNIQFNSAKGNLEQINQIVALISEIRNCLLKVECLEPKSGVPIPSYFRCPLSLELMLDPVIVASGQTYERTSIQKWLDHGLTICPKTRQTLAHTNLIPNYTVKAMITNWCEENHIRVSSNSKQTNCTLASVSSDRSLLCSDSFNCSLQSSNSTSKSSFEATNGFEKHRVDFSSKLSREAANGYQSGQTESIERPSRELSYIHSRSESASSAISSIEYVSPVTNEESAIPIKHAKASGLSGEITSKCLNKESGFSSWLSGNQLVKADRESNANHNHRKHSLSFLNSSSDHLVTTSHVSDLIEDLKSQSNELQTTAAAELRLLAKNKMENRIFIGHCGAIAPLLSLLYSEVKLTQEHAVTALLNLSISEEIKIMIAEAGAVEPLIHVLKSGNDGAKENSAAALYSLSVLEEYKAKIGCSGAVKALVNLLASGTLRGKKDAATALFNLSILNENKVRIVQAGAVKYLVELMDPANGMVDKAVALLANLSTTGEGRLAIVRAGGIPLLVEIIEAGSHRGKENAASILLQLCLSSPMFCNLVLQEGAVPPLVALSQSGTLRAKEKAQQLLSHFRNQREVSMRKGKS >Manes.12G033100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2898947:2903347:1 gene:Manes.12G033100.v8.1 transcript:Manes.12G033100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPITVRCLINSISRFILLVSCQTRTHMPIQKDYRSMAMVLKHLKTVLDEIVDHKVSSDEILYKECEELDLAVNEAREFMENWNPKMSKICCVRKSEDLFIKIQASSLEICHVLSRLLQSSSSISSLTSIQHCMQELQCLKQEMITEHIEAALRSKRDYIVPCTDHLPKIIEALNLSSDGELLKESVAVEKERMNIQFNSAKGNLEQINQIVALISEIRNCLLKVECLEPKSGVPIPSYFRCPLSLELMLDPVIVASGQTYERTSIQKWLDHGLTICPKTRQTLAHTNLIPNYTVKAMITNWCEENHIRVSSNSKQTNCTLASVSSDRSLLCSDSFNCSLQSSNSTSKSSFEATNGFEKHRVDFSSKLSREAANGYQSGQTESIERPSRELSYIHSRSESASSAISSIEYVSPVTNEESAIPIKHAKASGLSGEITSKCLNKESGFSSWLSGNQLVKADRESNANHNHRKHSLSFLNSSSDHLVTTSHVSDLIEDLKSQSNELQTTAAAELRLLAKNKMENRIFIGHCGAIAPLLSLLYSEVKLTQEHAVTALLNLSISEEIKIMIAEAGAVEPLIHVLKSGNDGAKENSAAALYSLSVLEEYKAKIGCSGAVKALVNLLASGTLRGKKDAATALFNLSILNENKVRIVQAGAVKYLVELMDPANGMVDKAVALLANLSTTGEGRLAIVRAGGIPLLVEIIEAGSHRGKENAASILLQLCLSSPMFCNLVLQEGAVPPLVALSQSGTLRAKEKAQQLLSHFRNQREVSMRKGKS >Manes.12G033100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2898669:2903413:1 gene:Manes.12G033100.v8.1 transcript:Manes.12G033100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPITVRCLINSISRFILLVSCQTRTHMPIQKDYRSMAMVLKHLKTVLDEIVDHKVSSDEILYKECEELDLAVNEAREFMENWNPKMSKICCVRKSEDLFIKIQASSLEICHVLSRLLQSSSSISSLTSIQHCMQELQCLKQEMITEHIEAALRSKRDYIVPCTDHLPKIIEALNLSSDGELLKESVAVEKERMNIQFNSAKGNLEQINQIVALISEIRNCLLKVECLEPKSGVPIPSYFRCPLSLELMLDPVIVASGQTYERTSIQKWLDHGLTICPKTRQTLAHTNLIPNYTVKAMITNWCEENHIRVSSNSKQTNCTLASVSSDRSLLCSDSFNCSLQSSNSTSKSSFEATNGFEKHRVDFSSKLSREAANGYQSGQTESIERPSRELSYIHSRSESASSAISSIEYVSPVTNEESAIPIKHAKASGLSGEITSKCLNKESGFSSWLSGNQLVKADRESNANHNHRKHSLSFLNSSSDHLVTTSHVSDLIEDLKSQSNELQTTAAAELRLLAKNKMENRIFIGHCGAIAPLLSLLYSEVKLTQEHAVTALLNLSISEEIKIMIAEAGAVEPLIHVLKSGNDGAKENSAAALYSLSVLEEYKAKIGCSGAVKALVNLLASGTLRGKKDAATALFNLSILNENKVRIVQAGAVKYLVELMDPANGMVDKAVALLANLSTTGEGRLAIVRAGGIPLLVEIIEAGSHRGKENAASILLQLCLSSPMFCNLVLQEGAVPPLVALSQSGTLRAKEKAQQLLSHFRNQREVSMRKGKS >Manes.04G161100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35543195:35547544:-1 gene:Manes.04G161100.v8.1 transcript:Manes.04G161100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELLGSKCTTCTTAINSSNIGFQLLKKHGWKEGTGLGVSEQGRLEPVQAYFKNNKRGLGADKVKKRTPKPLESSISKDQNEKFQEHSPSKMSKTLSKKQRKMQQLEKHLQEKEFERAFFREFWPDNV >Manes.04G161100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35543195:35547606:-1 gene:Manes.04G161100.v8.1 transcript:Manes.04G161100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELLGSKCTTCTTAINSSNIGFQLLKKHGWKEGTGLGVSEQGRLEPVQAYFKNNKRGLGADKVKKRTPKPLESSISKDQNEKFQEHSPSKMSKTLSKKQRKMQQLEKHLQEKEFERAFFREFWPDNV >Manes.04G161100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35543193:35548099:-1 gene:Manes.04G161100.v8.1 transcript:Manes.04G161100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRAGVMGDELLGSKCTTCTTAINSSNIGFQLLKKHGWKEGTGLGVSEQGRLEPVQAYFKNNKRGLGADKVKKRTPKPLESSISKDQNEKEHSPSKMSKTLSKKQRKMQQLEKHLQEKEFERAFFREFWPDNV >Manes.04G161100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35543195:35547606:-1 gene:Manes.04G161100.v8.1 transcript:Manes.04G161100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELLGSKCTTCTTAINSSNIGFQLLKKHGWKEGTGLGVSEQGRLEPVQAYFKNNKRGLGADKVKKRTPKPLESSISKDQNEKEHSPSKMSKTLSKKQRKMQQLEKHLQEKEFERAFFREFWPDNV >Manes.04G161100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35544161:35545824:-1 gene:Manes.04G161100.v8.1 transcript:Manes.04G161100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELLGSKCTTCTTAINSSNIGFQLLKKHGWKEGTGLGVSEQGRLEPVQAYFKNNKRGLGADKVKKRTPKPLESSISKDQNEKEHSPSKMSKTLSKKQRKMQQLEKHLQEKEFERAFFREFWPDNV >Manes.04G161100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35544161:35545824:-1 gene:Manes.04G161100.v8.1 transcript:Manes.04G161100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELLGSKCTTCTTAINSSNIGFQLLKKHGWKEGTGLGVSEQGRLEPVQAYFKNNKRGLGADKVKKRTPKPLESSISKDQNEKFQEHSPSKMSKTLSKKQRKMQQLEKHLQEKEFERAFFREFWPDNV >Manes.04G161100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35543195:35547544:-1 gene:Manes.04G161100.v8.1 transcript:Manes.04G161100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRAGVMGDELLGSKCTTCTTAINSSNIGFQLLKKHGWKEGTGLGVSEQGRLEPVQAYFKNNKRGLGADKVKKRTPKPLESSISKDQNEKFQEHSPSKMSKTLSKKQRKMQQLEKHLQEKEFERAFFREFWPDNV >Manes.07G131700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33364970:33366605:1 gene:Manes.07G131700.v8.1 transcript:Manes.07G131700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHYSSGWPVVPPEANWSAAANFSAYDESYLFPWPQTPHSSTSTSAALLPSWSIPTQEAGQGFPSWSTLPVQESAEDKAASVSKSHSQAEKRRRDRINAQLGILRKLIPKSDKMDKAALLGSVIDQVRDLKGKAMEVSKSITIPTEFDEVTVDVDDSDDVSQDVSSTTSKQSKEDNIYVRVSVCCDDRPEVFSELIRVLKGLRLSIVRADIASVGGRVKSILVLCNKDSKEGGVSVSTIKQSLNVFLSRISSSSMPSNYRIRSKRQRFFLPSQ >Manes.07G131700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33365183:33366605:1 gene:Manes.07G131700.v8.1 transcript:Manes.07G131700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHYSSGWPVVPPEANWSAAANFSAYDESYLFPWPQTPHSSTSTSAALLPSWSIPTQEAGQGFPSWSTLPVQESAEDKAASVSKSHSQAEKRRRDRINAQLGILRKLIPKSDKMDKAALLGSVIDQVRDLKGKAMEVSKSITIPTEFDEVTVDVDDSDDVSQDVSSTTSKQSKEDNIYVRVSVCCDDRPEVFSELIRVLKGLRLSIVRADIASVGGRVKSILVLCNKDSKEGGVSVSTIKQSLNVFLSRISSSSMPSNYRIRSKRQRFFLPSQ >Manes.01G218250.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38709528:38714454:-1 gene:Manes.01G218250.v8.1 transcript:Manes.01G218250.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAYSLLIVLLNLAVTISCADNYSREDFPAGFVFGSGTSAYQSVNFERWKGQQVKMGEVLAFWIHFLTRGKWAARQEMYQLKSITNTRKDFTAYADVCFREFGDRVSHWTTLNEPNVFPLYSYDVGMLPPFRCSPPFVVNCSQGNSSSEPYMVAHHLLLAHASAIKLYRKKYQGKQLGLIGIHLFVFGAFPLTNSTQDVLATQRANEFFVGLIANPVVFGDYPESVKRNAGSRLPVFTNEESKQVKGSFDFLGINHYISVMVKDNSASLNSEHRDYQADMAVEMIGSKTNAF >Manes.01G218250.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38712521:38713550:-1 gene:Manes.01G218250.v8.1 transcript:Manes.01G218250.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRFDWIQLFIFLYVGIEPHVTLYHYDHPQAIEDEYGGWLSRKIVKDFTAYADVCFREFGDRVSHWTTLNEPNVFPLYSYDVGMLPPFRCSPPFVVNCSQGNSSSEPYMVAHHLLLAHASAIKLYRKKYQGKQLGLIGIHLFVFGAFPLTNSTQDVLATQRANEFFVGLIANPVVFGDYPESVKRNAGSRLPVFTNEESKQVKGSFDFLGINHYISVMVKDNSASLNSEHRDYQADMAVEMIGSKTNAF >Manes.15G092700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7211983:7217448:-1 gene:Manes.15G092700.v8.1 transcript:Manes.15G092700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRHVTPHSLTHSLHCSSPFSSKFSPLRTRLSFSAKATAVMASSDKKVLVPIANGTEPMEAVITIDVLRRAGAVVTVASVEKQLRVEAGHGVRIIADDLISDCSDTDYDLITLPGGMPGATNLKNSAALESMVKKQAANGRLYAAVCASPAVALGSWGVLKGLKATCYPSFMEQLQSCATAVESRVQQDGVVVTSRGPGTTMEFAVALVEQLYGKEKANEVSSPLVMRTNHGDEYTIKELNPVKWTFDSIPQILVPIANGTEEMEAIMIIDILRRAKANVVVASVEDKLEILASRKVKLEADMLLDEAAKLSYDLIVLPGGLGGAQAFTASEKLVDMLKKQRESNRPYGAICASPALVLEPHGLLKGKKATAFPAMCDKLSDKSEAENRVVVDGNLITSRGPGTSMEFALAIVEKFFGRQKAIELAKTMLFTRP >Manes.16G097400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30308757:30312106:-1 gene:Manes.16G097400.v8.1 transcript:Manes.16G097400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRVVVEHTGKNDVVLQISTNQEAYFASQGNKDAKAYTVSENSQLGSSPEHGNLELMEDPRLRIKTSTFVTSPSSSDIARQSPVLTPTISKPPKIPTTDSITRRKSFARSEFSKPKSRLVEPSYPNDANLKEEKARLGNSSSPCSKSPCPTSPSNGISISTQKDNLKSAPITPRTPLIGTPGPEDDDDEEVYKTASLKVSKRMGNKRNILILFELTAFICFLSLLIASLTIDRLQNSTIWGVELWKWCVLILVIFCGGLVTEWSINILVFLIERNFLLKKKVLYFVYGLKKSVKAVLWLSLVLLAWGLLFNRGVKRSRHAKKVLNYITRALASCLIGAAIWLLKTFLVKLLASSFHVTRFFDRIQESIFHQYVLRTLSGPPVMEIAEKVGSSNTMPGQLSFNNLKKQNDEKKEEVIDVDKLKKMKNGKVSAWTMKGLVNVITGTGLSTLSNTLDQSDEEEGEQDKEITSEWEAKAAAYKIFRNVAKPGSKYIDEEDLLRFMKKEEVDDVILLFEGAAETGKIKRSALKNWLVNVYNERKSLAHSLNDTKTAIEELNKLLSGIVFLVFLIVWLLMMGFLTTKVLVFISSQLLLVVFMFGNTAKTAFEAIIFVFVMHPFDVGDRCVIDGVQMVVEEMNILTTVFLRYDNEKIFYPNSVLATKPISNFYRSPEMGDAVEFAVDVSTSIETIGILKSKIKAYLESKPQHWRPGHSVQVKEIENVNKMKMALYVTHTINFQNSGEKGNRRSDLVLEMKKIFEELGMKYHLLPQEVNISYVGSAAMAVPPLTR >Manes.08G092911.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31523876:31524943:-1 gene:Manes.08G092911.v8.1 transcript:Manes.08G092911.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNNDHLQNSSNPYFLHPNENSALILVSPAKAMKMVLSSKNKLQFINEYFTRNNYEIRFLKWLNDQFANVKSQIMTMDPLPNVNKVFSLVLQQERQNSNGHVIESEAFVNKTVRNYVSYGNSGYGRGHFTIGRGSRSIKVCTYCGKYKHTVDTCYRKHGFPPSFQFMNSNANIVSVEHRNSNANAIFDDHNEPVLQVPNEPYTSKEADSFKFTTEQYQRLLNLI >Manes.01G259200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41562586:41564211:1 gene:Manes.01G259200.v8.1 transcript:Manes.01G259200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGMAVSDECKLKFLELKAKRNHRFIVFKINEENQQVKVETLGSPQASYDDFTKSLPPNECRYAVFDFEFTTDENCQKSKIFFFAWAPDTSKVRNKMLYASSKDRFKRELDGIQVELQATDPSEMSLDIVKGRAL >Manes.13G152500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36693313:36699505:-1 gene:Manes.13G152500.v8.1 transcript:Manes.13G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDSVSESNLNEFRIPSFIFQPELHVSDDGSSSPLPECPVLVFINSKSGGQLGGDLLLTYRSLLNEKQVFDLGEEAPDKVLRRIYLNLEVLKSRSKLAVEIERRLRIIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDRNSVMSFLDQVKKAKEMKIDNWHILMRMRAPRKGPCDPIAPLELPHSLHAFKRVSPTDELNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYAKLGCTQGWFFASLFHPTSKNIAQLAKVKVMKRHGQWQDLHIPRSIRSIVCLNLPSFSGGLSPWGTPNSKKQRDRDLTPPYVDDGLLEVVGFRDAWHGLVLLAPNGHGTRLAQAHKIRFEFHKGYADHTFMRIDGEPWKQPLPEEDDTVVVEISHLGQVNMLATHDCRSRSVNDPSSPCNHDDGDDDSNEDESEHGEERKKFGAADTFKIPDGIDIAHLS >Manes.17G094300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30249644:30250697:1 gene:Manes.17G094300.v8.1 transcript:Manes.17G094300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTYIQLLILLLSSSMFLGVTVASKAFNYGSPRPTSDNIPNRIIVGGSANWSFGFDYPSWAFKHGSFYVNDTLVFKYNVPSENNTHPHNVYLLPNMRSFLSCNISKGVKIANESQGAGEGFEFVLNKWKPYYFVCGATDGYHCNVGGMKFFVLPLLRRWHY >Manes.05G092200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8466117:8468658:-1 gene:Manes.05G092200.v8.1 transcript:Manes.05G092200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGVASMHWRSEKIGGFDLSPSVDEQVHVLAVDDSLVDRKVIEHLLKISCCKVDSGIRALQFLGLDEGKGSSVGLDDLKVDLIITDYCMPGMTGYELLKKIKESSTFREIPVVIMSSENVMARIDRCLEEGAQDFIVKPVKLSDVKRLRDYMSAGEFRMKSQQIGNNNSNSNKRKLRESCDLSSSPPSISFSSSPTSPSPSPSPSSLSSPSSPLPGLPPSPPSPPILSYSAPCSPTSLDSPTRRLKMSISE >Manes.05G092200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8466117:8468658:-1 gene:Manes.05G092200.v8.1 transcript:Manes.05G092200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGVASMHWRSEKIGGFDLSPSVDEQVHVLAVDDSLVDRKVIEHLLKISCCKVTAVDSGIRALQFLGLDEGKGSSVGLDDLKVDLIITDYCMPGMTGYELLKKIKESSTFREIPVVIMSSENVMARIDRCLEEGAQDFIVKPVKLSDVKRLRDYMSAGEFRMKSQQIGNNNSNSNKRKLRESCDLSSSPPSISFSSSPTSPSPSPSPSSLSSPSSPLPGLPPSPPSPPILSYSAPCSPTSLDSPTRRLKMSISE >Manes.14G060000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5010737:5014806:1 gene:Manes.14G060000.v8.1 transcript:Manes.14G060000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCGSLIRRQSSYIGHFKSARPCLAISSSYFHGLSSLGSDSLVLDENPASKNQIFRSFHHLASRSSVTSTKIDYGTDTLILFNGNGASSRPNLSLRVAAGPPFPSRTMTTVGKSVESASKVPSTPITDDVPHIKFKRLDKTARHIMQILDKEAVQETRAQREIPDIKPGYIVQLKVEVPENKRRVSIVKGIVIARRNAGLNTTFRLRRLVAGVGVESLFPLYSPNIKEIKVLEKKKVRRAKLYYLRDKMNALKK >Manes.14G060000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5010737:5014738:1 gene:Manes.14G060000.v8.1 transcript:Manes.14G060000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFCGSLIRRQSSYIGHFKSARPCLAISSSYFHGLSSLGSDSLVLDENPASKNQIFRSFHHLASRSSVTSTKIDYGTDTLILFNGNGASSRPNLSLRVAAGPPFPSRTMTTVGKSVESASKVPSTPITDDVPHIKFKRLDKTARHIMQILDKEAVQETRAQREIPDIKPGYIVQLKVEVPENKRRVSIVKGIVIARRNAGLNTTFRLRRLVAGVGVESLFPLYSPNIKEIKVLEKKKVRRAKLYYLRDKMNALKK >Manes.18G015700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1651263:1655820:1 gene:Manes.18G015700.v8.1 transcript:Manes.18G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTEKKSASEQREVESLAASTGFLPMLQNSFAKLVDPQTNAIPLQSLPQVFCLNFKNLDCEAPNFPDSFLGLLDHLGESIVELFFISEKGGVSWIEFLSGYLRCCGRMPSSVALNTLLRVFATAFTKAGLPLTLEFESSVDDCKISGSFLATDVRMLLWMCWTMLWDSRTSRCKKGKENLCLPDVSHLILSAVSSCTQVDSGLNLWDCDISALEVELPVGKFLTWALTTVPSLTDCFTQFVIARLQSSVSSEQDNSEPSSSLLEIPPRDVCDIHLLTHGRAWAISLTLSGTISAEILKPYLPSDSDGTLENLLYRSSLHGRGLNRFWSNIEGYHGPLLFLVSATSGDLHGDATSNRKWIIGALTQQGFENRDTFYGSSGSLYAISPTFHVFPPSGKDKNFVYSRLHPTGRAYEPHPKPVGIAFGGTIGNERIHIDEDFARVTVRHHAADRTYQPGSLFPSQGFLAVEALISEVEVWGLGGRTAKKVQASHKKREELFIEQRRKVDLKTFASWEDSPEKMMMDMMSDPNAVRREDR >Manes.18G015700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1651263:1655820:1 gene:Manes.18G015700.v8.1 transcript:Manes.18G015700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVALNTLLRVFATAFTKAGLPLTLEFESSVDDCKISGSFLATDVRMLLWMCWTMLWDSRTSRCKKGKENLCLPDVSHLILSAVSSCTQVDSGLNLWDCDISALEVELPVGKFLTWALTTVPSLTDCFTQFVIARLQSSVSSEDNSEPSSSLLEIPPRDVCDIHLLTHGRAWAISLTLSGTISAEILKPYLPSDSDGTLENLLYRSSLHGRGLNRFWSNIEGYHGPLLFLVSATSGDLHGDATSNRKWIIGALTQQGFENRDTFYGSSGSLYAISPTFHVFPPSGKDKNFVYSRLHPTGRAYEPHPKPVGIAFGGTIGNERIHIDEDFARVTVRHHAADRTYQPGSLFPSQGFLAVEALISEVEVWGLGGRTAKKVQASHKKREELFIEQRRKVDLKTFASWEDSPEKMMMDMMSDPNAVRREDR >Manes.18G015700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1651263:1655820:1 gene:Manes.18G015700.v8.1 transcript:Manes.18G015700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTEKKSASEQREVESLAASTGFLPMLQNSFAKLVDPQTNAIPLQSLPQVFCLNFKNLDCEAPNFPDSFLGLLDHLGESIVELFFISEKGGVSWIEFLSGYLRCCGRMPSSVALNTLLRVFATAFTKAGLPLTLEFESSVDDCKISGSFLATDVRMLLWMCWTMLWDSRTSRCKKGKENLCLPDVSHLILSAVSSCTQVDSGLNLWDCDISALEVELPVGKFLTWALTTVPSLTDCFTQFVIARLQSSVSSEDNSEPSSSLLEIPPRDVCDIHLLTHGRAWAISLTLSGTISAEILKPYLPSDSDGTLENLLYRSSLHGRGLNRFWSNIEGYHGPLLFLVSATSGDLHGDATSNRKWIIGALTQQGFENRDTFYGSSGSLYAISPTFHVFPPSGKDKNFVYSRLHPTGRAYEPHPKPVGIAFGGTIGNERIHIDEDFARVTVRHHAADRTYQPGSLFPSQGFLAVEALISEVEVWGLGGRTAKKVQASHKKREELFIEQRRKVDLKTFASWEDSPEKMMMDMMSDPNAVRREDR >Manes.15G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2606723:2608466:-1 gene:Manes.15G033100.v8.1 transcript:Manes.15G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATALQAAVLASPAFRISNRARVSHFFPTPYVPQLRRSSYRINTSIKCMAEGDEKLQEKQKIQQTQPEPVTTPPASAAAAKVSTKFSDVLAFSGPAPERINGRLAMIGFVAALAVELSNGQDVLDQISNGGITWFLGTSIVLSLASLIPLFKGVSVESKSDGFMTSDAELWNGRFAMLGLVALAFTEYVKGGALV >Manes.02G046200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3776440:3777753:1 gene:Manes.02G046200.v8.1 transcript:Manes.02G046200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQVVVRREEPSSRRSSSSSITIRSVRYGECQKNHAANVGGYAVDGCREFMASGEEGTDAALTCAACGCHRNFHRREVETEVVCDCSSPSSNGFEG >Manes.11G004200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:532755:535763:-1 gene:Manes.11G004200.v8.1 transcript:Manes.11G004200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLILKLHEISAVKFGNFKLKSGISSPIYIDLRLIISYPSLLSHISQTLISSVSSSSSFDLICGVPYTALPIATCVSLSNGIPMVMRRKEVKDYGTSKAIEGVFQSGQTCLIVEDLVTSGTSVLETAAPLRAAGLNVSDAVVLIDREQGGRENLEVNGIKLHSIIKLSEMVRVLREKGKLDEEMERIVMKFLEENRKVAAPAMAKVRTKALKFEERAKLAKNPTGKRLFELMVKKESNLCLAADVGTAAELLDLAEKVGPEICMLKTHVDIFPDFTPDFGSRLRAIADKYNFVIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNFATGDYTAAAVKIAEEHSDFVIGFISVNPASWSGAPVNPAFIQATPGVQKVTGGDALGQQYNTPYSVIYDRGSDIIIVGRGIIKAADPAEAAREYRLQGWDAYLAKCT >Manes.11G004200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:532567:535772:-1 gene:Manes.11G004200.v8.1 transcript:Manes.11G004200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLILKLHEISAVKFGNFKLKSGISSPIYIDLRLIISYPSLLSHISQTLISSVSSSSSFDLICGVPYTALPIATCVSLSNGIPMVMRRKEVKDYGTSKAIEGVFQSGQTCLIVEDLVTSGTSVLETAAPLRAAGLNVSDAVVLIDREQGGRENLEVNGIKLHSIIKLSEMVRVLREKGKLDEEMERIVMKFLEENRKVAAPAMAKVRTKALKFEERAKLAKNPTGKRLFELMVKKESNLCLAADVGTAAELLDLAEKVGPEICMLKTHVDIFPDFTPDFGSRLRAIADKYNFVIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNFATGDYTAAAVKIAEEHSDFVIGFISVNPASWSGAPVNPAFIQATPGVQKVTGGDALGQQYNTPYSVIYDRGSDIIIVGRGIIKAADPAEAAREYRLQGWDAYLAKCT >Manes.14G030900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2756835:2760889:-1 gene:Manes.14G030900.v8.1 transcript:Manes.14G030900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWYWGGGKSSKRGRGRLDKESATTSGCMCSVFQLFDFHQFQFPSHNHQPSLKPDQPFLSQTEPEAEFISEGAEASRNGLELDEPSSATTVEADESLSIPMGIQIKTNGHASSRLRGATNDSSSEIASSPSMRSPNLVARLMGLDLVPDQSYSPTFSSSTRGTPNPLGKSQLHHHFRHRQFLQSKQSSHRSSLDCDFSGALSLPETPRISSARRSDVEPRLSFQINKENLSPNEEQVLSRISSLKRKELKTEDENRSPGHYARQIVKQAKESVSRKVGLDITNTVKSREPVSRDELVSQLKSKKMSKVLTKVTADSSPGKHSTAPFSLKSLEPRNRPIFRASSTKDHSISQSLKPSTSAHSRVNVRLQKTKAPTKSKLLALQEQEYDHQHQQRPIKKCKKVAEERFSPPLRLAKPPQSSDIIRKKREEPLVRPIMATGANIPEKKCEKSPLSNDLLNITVPTLLLPVKKDHPPQAVEIPQKPAPNAQEAKRRSQLSSCSSHSYKQQEALNARGSNNEDRSNGAAATTTEDEAAAAELREYVTRILRHVGIEKDTSVSFSRWFSPSRPLDPSIFYYLENFSTISHASSNSEKYAYGQLKHRCNRKLLFHLVDEMLVEILKPCMNMKSWAASSSGSGYSNNIAGKKDVRGSHLIDLLCSKVRSFPCTDCRVLEDIDALVEKDMPLLKLQNDVAFVEVEGIVTELEKDLLDSIIHETATIFYGDGVSSIETAWPFLIDDGKAKRG >Manes.14G030900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2756835:2760914:-1 gene:Manes.14G030900.v8.1 transcript:Manes.14G030900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEWYWGGGKSSKRGRGRLDKESATTSGCMCSVFQLFDFHQFQFPSHNHQPSLKPDQPFLSQTEPEAEFISEGAEASRNGLELDEPSSATTVEADESLSIPMGIQIKTNGHASSRLRGATNDSSSEIASSPSMRSPNLVARLMGLDLVPDQSYSPTFSSSTRGTPNPLGKSQLHHHFRHRQFLQSKQSSHRSSLDCDFSGALSLPETPRISSARRSDVEPRLSFQINKENLSPNEEQVLSRISSLKRKELKTEDENRSPGHYARQIVKQAKESVSRKVGLDITNTVKSREPVSRDELVSQLKSKKMSKVLTKVTADSSPGKHSTAPFSLKSLEPRNRPIFRASSTKDHSISQSLKPSTSAHSRVNVRLQKTKAPTKSKLLALQEQEYDHQHQQRPIKKCKKVAEERFSPPLRLAKPPQSSDIIRKKREEPLVRPIMATGANIPEKKCEKSPLSNDLLNITVPTLLLPVKKDHPPQAVEIPQKPAPNAQEAKRRSQLSSCSSHSYKQQEALNARGSNNEDRSNGAAATTTEDEAAAAELREYVTRILRHVGIEKDTSVSFSRWFSPSRPLDPSIFYYLENFSTISHASSNSEKYAYGQLKHRCNRKLLFHLVDEMLVEILKPCMNMKSWAASSSGSGYSNNIAGKKDVRGSHLIDLLCSKVRSFPCTDCRVLEDIDALVEKDMPLLKLQNDVAFVEVEGIVTELEKDLLDSIIHETATIFYGDGVSSIETAWPFLIDDGKAKRG >Manes.17G051400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24830822:24833321:-1 gene:Manes.17G051400.v8.1 transcript:Manes.17G051400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYKKWIPELKHYAPNVPIVLVGTKLDLRENKQYLIDHPGATPITTAQGEELKKMIGAVVYIECSSKTQQNVKAVFDSAIKVALRPPKPKKKAPKQQRNCTFL >Manes.14G171400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28155335:28173627:-1 gene:Manes.14G171400.v8.1 transcript:Manes.14G171400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPANLWVLLGLGLAGILLMTRKLKKTIREDFGAFVEKLQLLPPPQPAPPKAPHPLTGLTFAVSDVFDIEGYVTGFGHPDWARTHEAASQTSSVVSALVEGGATCIGKTVVDELAYSINGENKHYGTPTNPAAPAYVPGGSSSGAAVAVAADLVDFSLGVDTVGGVRVPAGFCGIIGFRPSYGTIPHLGILPVSASLDSVGWFAKDPNVMRLVGHVLLQLPFGVQRNPRQIIIVDDCFQLLKFPVDRVIQVVIKSTEKLFGRQVLKHETLEGILVSKVPSLKQFHDKKTNGELRTSSITLLGNILQTLHRYEFRYNHKEWINVEKPNLDNSVELNEILEITEAEIGIFKSIRNEMRAAIDSLLKDDGILAIPTTACLPPKLGAKEMLSEDYQIHSFSLLSIASLSGCCQVTIPLGHIDKCPVSVSFIARHGGDRFLLDTVQNMYASLQEQVDMLAKSKLSPNSDSQERTAEMAKEKGNQAFKDKQWQKAIGYYTEAIKLNGKNATYYSNRAAAYLELGSFLQAEADCTEAIKFDKKNVKAYLRRGTAREMLGYYKEAIEDFKYALVLEPTNKRAALCAERLRKVFL >Manes.05G001700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:199332:209022:-1 gene:Manes.05G001700.v8.1 transcript:Manes.05G001700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIFQSVIEKERRGDYLGKTVQVVPHITDAIKNWIESVAVIPVDGKEGAADVCVIELGGTVGDIESMPFIEALRQLSFSVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLENTKEKLSQFCHVAAANILNIHDVPNIWHIPLLLMNQNSHYAILKQLNLLSIATPPDLQDWTKMAETYDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDTAKLTPEAHAAAWETLRNAACVLVPGGFGDRGVRGMMLAAKFARENNIPYLGICLGMQISVIEFARSVLSMERANSEEFDAQTSENVVIFMPEGSTTHMGSTMRLGSRKTFFQTPDCITAKLYGNRQYVDERHRHRYEVNPDIIGVLEERGLKFVGKDETRKRMEILELPSHPFYIGVQFHPEFKSRPRRPSPLFLGFMLAATGRLEAFLNNHQNGV >Manes.05G001700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:199332:209022:-1 gene:Manes.05G001700.v8.1 transcript:Manes.05G001700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNNLSNCLSIFTVLYLCICLADPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIFQSVIEKERRGDYLGKTVQVVPHITDAIKNWIESVAVIPVDGKEGAADVCVIELGGTVGDIESMPFIEALRQLSFSVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLENTKEKLSQFCHVAAANILNIHDVPNIWHIPLLLMNQNSHYAILKQLNLLSIATPPDLQDWTKMAETYDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDTAKLTPEAHAAAWETLRNAACVLVPGGFGDRGVRGMMLAAKFARENNIPYLGICLGMQISVIEFARSVLSMERANSEEFDAQTSENVVIFMPEGSTTHMGSTMRLGSRKTFFQTPDCITAKLYGNRQYVDERHRHRYEVNPDIIGVLEERGLKFVGKDETRKRMEILELPSHPFYIGVQFHPEFKSRPRRPSPLFLGFMLAATGRLEAFLNNHQNGV >Manes.06G156700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28288297:28289850:1 gene:Manes.06G156700.v8.1 transcript:Manes.06G156700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLAVLDALDRARTQWYHITAIIIAGMGFFTDAYDLFCISSVSKLLGRLYYFDPTTGKPGKLPTSVNNMVLGVALVGTLSGQLVFGWLGDKLGRKKVYGITLILMVACAIFSGLSFGSTAKSVIGCLCFFRFWLGFGIGGDYPLSATIMCEYANKRTRGAFIAAVFAMQGVGIIFAGLVSMIVSKIFLSRFPAPPFHAAPILSTQPQADFIWRIVLMVGAFPAALTYYWRMKMPETGRYTALVQGNAKQAAMDMGRVLEIEIEEEQGKVTQFKAANDYPFLSNEFYKRHGVHLIGTMSTWFLLDIAFYSQSLTQKDVYPAMGLIKKDDEVNAIEEVYETSRAMFIVALFGNFPGYWFTVAFIEKLGRFTIQLLGFFVMSVFMLVLGIQYENLKDQKGVFALLYGLTFFFANFGPNTTTFVFPAELFPTRVRSTCHAFSAAAGKAGAMIGTFVVQSYTSDENVSKIKHALMVLAFTNMLGFCATFLLPETKGRSLEDISGEDGGQNQRYKAAKPSV >Manes.06G156700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28288254:28289998:1 gene:Manes.06G156700.v8.1 transcript:Manes.06G156700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEYANKRTRGAFIAAVFAMQGVGIIFAGLVSMIVSKIFLSRFPAPPFHAAPILSTQPQADFIWRIVLMVGAFPAALTYYWRMKMPETGRYTALVQGNAKQAAMDMGRVLEIEIEEEQGKVTQFKAANDYPFLSNEFYKRHGVHLIGTMSTWFLLDIAFYSQSLTQKDVYPAMGLIKKDDEVNAIEEVYETSRAMFIVALFGNFPGYWFTVAFIEKLGRFTIQLLGFFVMSVFMLVLGIQYENLKDQKGVFALLYGLTFFFANFGPNTTTFVFPAELFPTRVRSTCHAFSAAAGKAGAMIGTFVVQSYTSDENVSKIKHALMVLAFTNMLGFCATFLLPETKGRSLEDISGEDGGQNQRYKAAKPSV >Manes.07G071178.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:13779538:13780653:1 gene:Manes.07G071178.v8.1 transcript:Manes.07G071178.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEKFDGIYFGLWKMQIEDYLYQNNLHELLSGEKLETMKQEIWDLKNQKALGLICLLLARNVSFNIIKETTNAGLLATLANMYEKLLAINKLDSTGYRIVFRESFWKIVK >Manes.14G039900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3415254:3417030:1 gene:Manes.14G039900.v8.1 transcript:Manes.14G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEATAVMPKVIAFLSSLLQRVAESNDLTHQLYPQRISVFHGLTRPNISVQNYLERIFKYANCSPSCFVVAYVYLDRFAQKQPSFPINSFNVHRLLITSVLLSVKFLDDIYYNNAYYAKVGGISTSEMNVLEVDFLFGLGFQLNVTPTTFHTYCSYLQKEMLMQSAVDLVDNPLNMGRPLKIHCCFNEDESTHQKQLAV >Manes.05G017300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1609800:1613680:1 gene:Manes.05G017300.v8.1 transcript:Manes.05G017300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALRRALRSTFLFLVLLVVRCTPPDDHIKCVSKNTNCTITNSYGTFPDRSICQAANAAYPTTEEELISLVASATKAKRKMKVATRYSHSIPKLVCPDGSDGLLISTNYLNRVLEIDLNSMRMSVESGVTQRQLIDEAAKAGLALPYAPYWWGLTIGGLLSTGAHGSTLWGKGSSVHDYVVELTMVSPGGPEDGFVKVRRLDESNSELNAAKVSLGVLGVISKVTLQLQPLFKRSITYVVKNDLDLGDEAASFGRQHEFADITWYPSQHKAVYRVDDRISSNISGNGLYNFIPFRSTLSVGLALVRTTEENQESLSDAEGKCISAKLSTTTLLTSAYGLTNNGIIFTGYPVIGYHNRLQSSGTCLDSPEDGLLTACPWDTRVKGEYFLQTTFSVSLSVVKNFIQDVQQLVNLEPKGLCVLEQYNGILMRYVKASSAYLGKQEDAIDFDITYYRSKDPKNPRLYEDILEEIEQLALFKYGALPHWGKNRNLAFVGAVNKYANAGEFLRVKQMYDPTGLFSNEWTGQVLGLKGNVTIVKEGCALEGLCICSEDIHCSPSKGYFCRPGKIYEKARVCSFIGKKKLL >Manes.05G017300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1609800:1613680:1 gene:Manes.05G017300.v8.1 transcript:Manes.05G017300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVALRRALRSTFLFLVLLVVRCTPPDDHIKCVSKNTNCTITNSYGTFPDRSICQAANAAYPTTEEELISLVASATKAKRKMKVATRYSHSIPKLVCPDGSDGLLISTNYLNRVLEIDLNSMRMSVESGVTQRQLIDEAAKAGLALPYAPYWWGLTIGGLLSTGAHGSTLWGKGSSVHDYVVELTMVSPGGPEDGFVKVRRLDESNSELNAAKVSLGVLGVISKVTLQLQPLFKRSITYVVKNDLDLGDEAASFGRQHEFADITWYPSQHKAVYRVDDRISSNISGNGLYNFIPFRSTLSVGLALVRTTAEENQESLSDAEGKCISAKLSTTTLLTSAYGLTNNGIIFTGYPVIGYHNRLQSSGTCLDSPEDGLLTACPWDTRVKGEYFLQTTFSVSLSVVKNFIQDVQQLVNLEPKGLCVLEQYNGILMRYVKASSAYLGKQEDAIDFDITYYRSKDPKNPRLYEDILEEIEQLALFKYGALPHWGKNRNLAFVGAVNKYANAGEFLRVKQMYDPTGLFSNEWTGQVLGLKGNVTIVKEGCALEGLCICSEDIHCSPSKGYFCRPGKIYEKARVCSFIGKKKLL >Manes.15G172600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:15234746:15237194:1 gene:Manes.15G172600.v8.1 transcript:Manes.15G172600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFCFRALKFSLPRTSLYLLFCSLHVRFFNSPTTFSKTHHVKLTFNEYQLLQCLSKCRIQDANNLLNKMPGRSPHSRVVYWTSLLTKYSRSGFIDEARAVFDIMPERNIVSYNAMLSGFLQCGKLSEAMRLFEEMPERNVVSWTSMLYGLADSGMIYEARRLFEEMPERNVVSWNAMIAGLIRNGDLEDARLVFHNMPVKNVASWNAMIAGYVENDRIEEARILFEEMEDRNVITWTSMIAGYCRAGEVEEGYFLFQRTPNRNVVSWTAMIGGFAWNGFYEDALFLFLEMKRSSDIIPNAETLISLAYACAGVGFHLLGKQLHAQLIIKGLDNDDYDGRLSKSLIYMYSSFGFMDCAHFIFSKNSNNNVIQSCNCMINGYIRIGQLEKAQNLFDMMPIRDKITWTSMIDGYFRAGNVSKARYLFHIMPEKDAVAWTAMISGHVENEHFDEATYLFSDMQTHGVSPLSSTYSILFGAAGAMACLDQGMQFHGMLIKTLSDKDLILDNSLISMYAKCGDIQTAYRIFSHMTSHDLISWNSMIMGFSHHGLANEALKVFEAMLESGTHPNSVTFLGILSACGHAGLIAQGWELLNTMTNVYAIQPGLEHYICMINILGRAGKVKEAEEFILGLQVERNQAIWGALLGVCNLGEKNADIAERAATRLLEVDPLNASAHVLLSNIYAASGQHVEVQNLRKEMCLKGLRKVPGCSWIVINGRANVFLSGDKLDSEVTEMLLFLSHFGDG >Manes.11G128500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29513798:29520238:1 gene:Manes.11G128500.v8.1 transcript:Manes.11G128500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SACPD MALKLNPSISQSHKMPSFSLPPMASLRSPKFYMASTLKSGSKEVENLKKPFMPPREVHVQVTHSMPPQKIEIFKSLEDWAEENILVHLKPVEKCWQPQDFLPDPASDGFDEQVKELRERAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKEHGDIKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTVLAFADMMRKKISMPAHLMYDGHDDNLFDHFSAVAQRLGVYTAKDYADILEFLVGRWKVDKLTGLSAEGQKAQDFVCRLPPRIRRLEERAQGRVKEAATIPFSWIFNREVKLWV >Manes.12G116100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31782243:31786915:-1 gene:Manes.12G116100.v8.1 transcript:Manes.12G116100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKLSESRDLTRIERIGAHSHIRGLGLDSALEPRAVSEGMVGQTAARKAAGVILQMIKDGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGLETPFAMISGSEIFSLEMSKTEALMQAFRKAIGVRIKEETELIEGEVVEVQIDRPAVAGAASKTGKLTLKTTEMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKADIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITAIRGTNYKSPHGIPIDLLDRLLIITTQPYTKDEISKIVDIRCQEEEVEISEEAKALLTHIGVETSLRYAINLITAAALACHRRKGKLVESEDVTRVYNLFLDVKRSTQYLMEYQNQYMFNEVPVGDGDEDDPSAMLS >Manes.12G116100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31782489:31786908:-1 gene:Manes.12G116100.v8.1 transcript:Manes.12G116100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKLSESRDLTRIERIGAHSHIRGLGLDSALEPRAVSEGMVGQTAARKAAGVILQMIKDGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGLETPFAMISGSEIFSLEMSKTEALMQAFRKAIGVRIKEETELIEGEVVEVQIDRPAVAGAASKTGKLTLKTTEMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKADIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITAIRGTNYKSPHGIPIDLLDRLLIITTQPYTKDEISKIVDIRCQEEEVEISEEAKALLTHIGVETSLRYAINLITAAALACHRRKGKLVESEDVTRVYNLFLDVKRSTQYLMEYQNQYMFNEVPVGDGDEDDPSAMLS >Manes.12G116100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31782319:31786921:-1 gene:Manes.12G116100.v8.1 transcript:Manes.12G116100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKLSESRDLTRIERIGAHSHIRGLGLDSALEPRAVSEGMVGQTAARKAAGVILQMIKDGKIAGRAVLLAGQPGTGKTAIAMGMAKSLGLETPFAMISGSEIFSLEMSKTEALMQAFRKAIGVRIKEETELIEGEVVEVQIDRPAVAGAASKTGKLTLKTTEMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQVKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKADIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITAIRGTNYKSPHGIPIDLLDRLLIITTQPYTKDEISKIVDIRCQEEEVEISEEAKALLTHIGVETSLRYAINLITAAALACHRRKGKLVESEDVTRVYNLFLDVKRSTQYLMEYQNQYMFNEVPVGDGDEDDPSAMLS >Manes.17G054900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25251621:25257105:-1 gene:Manes.17G054900.v8.1 transcript:Manes.17G054900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRILRYRTTWLFFRNPCLVMKVSSRQFRKFLAAIGTDALFLGLFTTPILWRPIMLWIRKACSRRKPRRFGKTFIPERLWRTVQFYRVVDLKPASQWFTSQEADSVSVACNEWRNSSLTADVPFFLVCIASNSHATIRHLKDWEACQGDGLKVLFGFYDPCHLPNNPGWPLRNFLALICSRWNLKTVHFLCYRENRGFADLGLSLVGEAVITIPQGWGDVHCVPNPVGWELNKGRHMSRVINLAKSMDPAMLAISAADLNLKLMRWRALPSLNLDKLSSTKCLLLGAGTLGCQVARMLMAWGVRKITLLDNGRVAMSNPLRQSLYTLDNCLNGGDFKAMAAVKSLKQIFPAVEAEGVVMAIPMPGHPVSSQEEESMLEDCRRLHELVDSHDAVFLLTDTRESRWLPALLCANANKITITAALGFDSFLVMRHGPGPLNSTKTDDLNAEPGNALSADIDGLALADNGGKRLGCYFCNDVVAPTDSTANRTLDQQCTVTRPGVAPIASSLAAELFVDILHHPIGIFAEGEIANSTNCDSSDQPLGILPHQIRGSLHQFSQMTLVGHASNSCTACCSTVVTEYRKRGKEFLLQAINHPTYLEDLTGLTELMKSASSFNLDWDNEIDPDDDDDYLEL >Manes.17G054900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25251714:25257105:-1 gene:Manes.17G054900.v8.1 transcript:Manes.17G054900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQDRSGGSILQFAPFQSFVDEGFWHRLSSLKLNKLGIDDSPISITGFYAPCSHSQVSNHLALLSESLSSDESEQSSIPEISRGNRNRCAVPGIIYNTNTLEAYHALDKKSLLKEEAKKIWEDIHTGKAVEDSAVLSRFLLISFADLKKWSFHYWFAFPALTFDPPVTVVDLKPASQWFTSQEADSVSVACNEWRNSSLTADVPFFLVCIASNSHATIRHLKDWEACQGDGLKVLFGFYDPCHLPNNPGWPLRNFLALICSRWNLKTVHFLCYRENRGFADLGLSLVGEAVITIPQGWGDVHCVPNPVGWELNKGRHMSRVINLAKSMDPAMLAISAADLNLKLMRWRALPSLNLDKLSSTKCLLLGAGTLGCQVARMLMAWGVRKITLLDNGRVAMSNPLRQSLYTLDNCLNGGDFKAMAAVKSLKQIFPAVEAEGVVMAIPMPGHPVSSQEEESMLEDCRRLHELVDSHDAVFLLTDTRESRWLPALLCANANKITITAALGFDSFLVMRHGPGPLNSTKTDDLNAEPGNALSADIDGLALADNGGKRLGCYFCNDVVAPTDSTANRTLDQQCTVTRPGVAPIASSLAAELFVDILHHPIGIFAEGEIANSTNCDSSDQPLGILPHQIRGSLHQFSQMTLVGHASNSCTACCSTVVTEYRKRGKEFLLQAINHPTYLEDLTGLTELMKSASSFNLDWDNEIDPDDDDDYLEL >Manes.01G065700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26553681:26555435:-1 gene:Manes.01G065700.v8.1 transcript:Manes.01G065700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSPSLLPTKPAKNPNLVSAARLQPCLSLLELCRYTFEFKQVQAKLTKLGQITHPLALTRLLCYSSISRYSDIHYSQSIFNLDKNPNTFAYNVLIRGYAQSEKPEIAISLFYSMLCNPNSVPNQFTFPFVLKACSQVIGVGEGEQVHGLVFKHGLSQDLFVQNSLITFYSACGVIGSACKVFDKIDDPDVVSWNSLIGGLVDSGFVEEGRWMFDRMPKRSLVTWNCLIDGYIKVGLLKEARELFDQMEGRDSVSWNTMIGGYVGCGLMEEAEVLFGEMPVEIKDLITYNLMIDGYVRDRSYRKVLQILEDVKEAKAEINKFTMVSVLTACSHLAALDQGEWVQAYIKGNGIEVDAVLGTALVNMFAKCGDMERALSVFESMEERDVGAWNSIIHNLGVHGYGQEAFAMFSDMLRINIPPDEITFLGLLSACRHSGLVAEGKKYFQLMSEEYGLEPKIEHYGCMVDLLCRADLLEEARCLIETKQMSSSVPMWGALLGASSRLGNLEMGEYAAKHLIELDPFDGSCYIVLSNMYSAAGFYEKAIEVRKTMRDLGLDKVPGSSSIEIDGVVHEFRVSSNCVELS >Manes.01G234000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39717205:39718465:1 gene:Manes.01G234000.v8.1 transcript:Manes.01G234000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFPKMLFGWSWEENKLFELALAAVDEENPDRWQVVASMLGGKKSAEDVQKHYAILLEDLQFIESGELDHTLVEEAQACVEVERTPSVCWTEEDQKLLAQLNIN >Manes.04G092000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29743482:29748034:-1 gene:Manes.04G092000.v8.1 transcript:Manes.04G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDSSRQSLIPTSLYSSSSAKTPSLSKLLHSNSTLPSSSPSPSLMRKTGGFVIPAPSEPGKIAMYSPAFYAACTAGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLGDGLPKFVKSEGALGLYKGLVPLWGRQIPYTMMKFASFETIVELIYKHAIPTPKDQCSKSLQLGISFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPAAAPAVAADLAKV >Manes.05G157500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27041989:27045408:-1 gene:Manes.05G157500.v8.1 transcript:Manes.05G157500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEALPRNGDISPAAPPHPLILGLQPAALIDHVARVDCSLLDQVPGERGGSTTVAIQELQRILGKVKTHMLTSPDNASPMLTIAGGSVANTIRGLSANFGVSCGIIGAYGDDEEGRWFANNMGFSGVNISRLRRKKGSTGQCVCLVDALGNRTMRPCLSSAVKVQADELTKEDFKGSKWLVIRYGIFNIEVIQAAIQIAKQEGLYVSLDLASFEMIRNFRSRLVQLLESGDIDLCFANEDEAKELLRGEPNTDPEAPLEFLAKYCKWAVVTLGPNGCIAKHGKETVRVAAIGEAKATDATGAGDLFASGFLYGLVKGLSLEECCKIGTCSGGSVVRSLGGEVTPENRQWMYKQMQIKGLPVPDIRN >Manes.14G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3828105:3830376:1 gene:Manes.14G044000.v8.1 transcript:Manes.14G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMAITTVSSSLYFLAEDCDQNKLKQGIRKEEISSMTKTREMDTTDDAHQAQQHKATSKGMVEQTKTSLLRAAVERQDPSSKGVDDSTLRRFLRARDLDVQRASAMFLKYLKWRREFVPNGSISPSEVSNEIAQNKVFVQGTDKKGRPIMVAFGSRHYQNKESSEELKRFVVYVLDKICARTSPEQEKFVVLADLQGWGYANSDVRGCLAALAILQDYYPERLGKLFIVNAPYIFMAVWKIIYPFIDNNTKKKIVFVENKKLKSTLLEDIDESLTPDIYGGRLPLVPVL >Manes.14G044000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3828078:3830213:1 gene:Manes.14G044000.v8.1 transcript:Manes.14G044000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTREMDTTDDAHQAQQHKATSKGMVEQTKTSLLRAAVERQDPSSKGVDDSTLRRFLRARDLDVQRASAMFLKYLKWRREFVPNGSISPSEVSNEIAQNKVFVQGTDKKGRPIMVAFGSRHYQNKESSEELKRFVVYVLDKICARTSPEQEKFVVLADLQGWGYANSDVRGCLAALAILQDYYPERLGKLFIVNAPYIFMAVWKIIYPFIDNNTKKKIVFVENKKLKSTLLEDIDESLTPDIYGGRLPLVPVL >Manes.14G044000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3828113:3830376:1 gene:Manes.14G044000.v8.1 transcript:Manes.14G044000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMAITTVSSSLYFLAEDCDQNKLKQGIRKEEISSMTKTREMDTTDDAHQAQQHKATSKGMVEQTKTSLLRAAVERQDPSSKGVDDSTLRRFLRARDLDVQRASAMFLKYLKWRREFVPNGSISPSEVSNEIAQNKVFVQGTDKKGRPIMVAFGSRHYQNKESSEELKLYVLDKICARTSPEQEKFVVLADLQGWGYANSDVRGCLAALAILQDYYPERLGKLFIVNAPYIFMAVWKIIYPFIDNNTKKKIVFVENKKLKSTLLEDIDESLTPDIYGGRLPLVPVL >Manes.14G044000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3828078:3830213:1 gene:Manes.14G044000.v8.1 transcript:Manes.14G044000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKYLKWRREFVPNGSISPSEVSNEIAQNKVFVQGTDKKGRPIMVAFGSRHYQNKESSEELKRFVVYVLDKICARTSPEQEKFVVLADLQGWGYANSDVRGCLAALAILQEASNNGLFSLSIGQLQDYYPERLGKLFIVNAPYIFMAVWKIIYPFIDNNTKKKIVFVENKKLKSTLLEDIDESLTPDIYGGRLPLVPVL >Manes.14G044000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3828078:3830215:1 gene:Manes.14G044000.v8.1 transcript:Manes.14G044000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKYLKWRREFVPNGSISPSEVSNEIAQNKVFVQGTDKKGRPIMVAFGSRHYQNKESSEELKRFVVYVLDKICARTSPEQEKFVVLADLQGWGYANSDVRGCLAALAILQDYYPERLGKLFIVNAPYIFMAVWKIIYPFIDNNTKKKIVFVENKKLKSTLLEDIDESLTPDIYGGRLPLVPVL >Manes.14G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6563208:6565184:1 gene:Manes.14G078800.v8.1 transcript:Manes.14G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMPSLRILILAILLGFGIDGCQCKVVQFIFGDSLSDVGNNKYLSRSLAQASLPWYGIDFGNGMPNGRFTNGRTVADIIGDNAGLPRPPAFLDPSLTEDVILNDGVNYASGGGGILNETGGYFIQRFSLNKQIALFRGTQQLIINKIGKKAAQEFFQEALYVVALGSNDFINNYLMPVYSDSWKYNDQGFIDYLMETLEGQLRTLHSLGGRQLMVFGLGPMGCIPLQRVLSTSGDCQDRTNKLAISFNQATSKLIDSLSTKLANASFKFGDAYDVVNDVITNPTKYGFINSDSPCCSFGRIRPALTCVPASTLCKDRSKHVFWDEYHPSDSANELIANELIKKFGFLRLNDTNGPSPAPAVAPSPAPAVAPSPKA >Manes.04G024000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2781786:2782629:-1 gene:Manes.04G024000.v8.1 transcript:Manes.04G024000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVVELLGRFGRQCYAVLCLGVILVSASVVRYLFEDDELIDHPKERTTPSLRAIHGPPIRSSKSFC >Manes.11G121800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28301083:28304943:1 gene:Manes.11G121800.v8.1 transcript:Manes.11G121800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVSNGSSGSSLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKSAFVGKLGDDEFGHMLAGILKENGVIGNGINFDKGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNLELIRSAKVFHYGSISLIVEPCRSAHLKAIETAKDAGALLSYDPNLRLPLWPSAAEAREQIMSIWDKAEVIKVSDVELEFLTGSDKIDDADALSLWHPNLKLLLVTLGEKGCRYYTKNFHGSVEAFNVKTVDTTGAGDSFIGALLCKIVDDRSIIEDEARLRDVLKFANACGAITTTKKGAIPALPTEQEVLALINGSK >Manes.03G153250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28093242:28093640:1 gene:Manes.03G153250.v8.1 transcript:Manes.03G153250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAQIMLLMLVMSSVSGATGFILQKKRTINITNELGTNNELKLHCKSKNDDLGEQLLPYKGFWYFRFRPNFWGTTAFRCSMGWEQVSHSFNIYVDSRDDLKCIVCQWIIQATGPCLWNKDTHQFDICFPWNE >Manes.17G051700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24850563:24851405:-1 gene:Manes.17G051700.v8.1 transcript:Manes.17G051700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMAIQSDHYHHHLLENVWATFINGDDGGDKRTRNTQGDPKSWEDQLPCLDGREDSMKILQRLPSLGRWMSMGAEAWEELLAGIVPSSQNITDSAFDYSSEKKSSKLMNNVKGERTTQRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFDTAEDAALAYDKAALRIRGPKANLNFPFETVAKAMGVDCSSNLSSKACNKTSENSYKFLAGSNGDNVPRHRKRVSRDWEESSTDMMRDQEPALKRMATVEESKFGNGFDVLEFQDLGSDYLDSLLSSL >Manes.12G057507.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5588043:5590223:-1 gene:Manes.12G057507.v8.1 transcript:Manes.12G057507.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPNAGSNAPAKPWERAGTSSSGPTPFKPSSPGSTSDVVEASGTAKPGEIVPAVNKNTTVAGNSLGRPVPTRPWELQTYGSTYGGYGSGLNYNSGYGSGMYGSSYGGYGGSYGGGLYGNNMYRGGYGGLYGGGMYGGGGGMYNGGFGGPMGGYGTGMGPYGDQDPNNPYGAPSSPPGFWISFLRVMQGVVNFFGRISILIDQNTQAFHMFMTALLQLFDRTGLLYGELARFVLRLLGIKTKPRKVEGPGPNGLPAPLNPHGNQNYIEGPKGAPSGGWDNVWGDGSS >Manes.15G162700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13536246:13540332:-1 gene:Manes.15G162700.v8.1 transcript:Manes.15G162700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPVKRVLLTSNGDEISENIAFHLAKQGCRLVLMGNENCLKSIGEKIAGRVKGVAPVEVVAMDMEEEREGAFDEAVDKACRILGNLDAFVHCYAYEGKMQGPLELAEEEFKKTVKINFMAPWFLLKAVGRRMRDNKLGGSIVFVTSIIGAERGIYPGAAAYGSCSAGIQQLVRHSAMEIGKYKIRVNAIARGLHLQDEYPLAVGKEKAEKLVKEVAPLQRWLDARNDLASTVIYLISDGSRYMTGTTIFVDGGQSLSRPRMRSFI >Manes.02G007600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:902425:907376:-1 gene:Manes.02G007600.v8.1 transcript:Manes.02G007600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAANQSGSFSQANNEGCAGGDDMYTELWKACAGPLVDVPRNGERVYYFPQGHMEQLEASTNQELNQRVPLFNLPSKILCRVIHIQLLAEQETDEVYAQITLLPEPDQAELTCPDPLPSEPSRCPTVHSFCKVLTASDTSTHGGFSVLRKHATECLPQLDMAQPTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGKNGELRVGVRRLARQQSNMPSSVISSQSMHLGVLATASHAVATQTLFVVYYKPRTSQFIISLNKYLEAINNKFAVGMRFKMRFEGEDSPDRRFSGTIVGVEDFSPHWADSKWRQLKVQWDESASIPRPDKVSPWEIEPFSSSVPMNIAQPVAVKNKRPRPPVEIPTLDLSSTAAPPWDSRLTQSHDLTQMSGTAEGKRKENHFIWHHKQTGINSHSNSISRSPTEGGWLSSPHVNVSRHLFQEATEDSKSVSNWPVISCYSTPQSAKLKNDTILDPVEKGRKTEAASSYRLFGIELINHSTSSPVEKAPAQPVSVSSGTTEGHVLSTLSATDSDKKSDISKEKKPEHLHVPPKDVQSRQSCSSSTRSCTKVHMQGVAVGRAIDLTVMKGYDQLLDELEEMFDIRGQLHRREKWEIVYTDDEGDMMLVGDDPWPEFCNMVRRIFICLSQDVKKTTPGSKLPMFSIEGEGTIVSSNLTDN >Manes.03G039900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3512493:3513416:1 gene:Manes.03G039900.v8.1 transcript:Manes.03G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYIFLIFSLLSSSSYAAVNDFCVADLSGPDGPAGYSCKKPATVSVNDFVYSGLASPGKISSLIKAAVTPAFVDQFPGLNSLGISMARLDLAVGGVVPMHTHPGASEVLLVVQGSICAGFISSANSVYFKTLKKGDIMVFPQGLLHFQINAGGTPALAFVSFSSARPGLQILDFALFANDLPSQIVEMTTFLDDAQVKKLKGVLGGTN >Manes.15G069701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5305897:5313557:1 gene:Manes.15G069701.v8.1 transcript:Manes.15G069701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADQEAIEQFRALMDQLDEPLKNTFQNMHQGCPSEALERFLKAREWNVVKAHKMLVDCLQWRIQNEIDNILAKPIIPADLYRAIRDSQLVGLSGCTKEGLPVIAFGVGLSTFDKASLLTDISTIDDLNYPKKTQTYYIVNAPYVFSACWKVVKPLLRERTRRKIQVLQGCGRDELLKIMDYTSLPHFFRKEGSVSCHHIGNVTTDNCFSLDHDFHRLVYNYIQQQAALLEAVSPIKQGSVMGIPVPDPEDANVAKTIKSRFQKFGGLTGLSNSLNGLEVNGR >Manes.04G071450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27091411:27093319:-1 gene:Manes.04G071450.v8.1 transcript:Manes.04G071450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQRSSRRERTENVISGLNEQLLAESFNTNTGLKKKKEEELDRG >Manes.10G042200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4388247:4390423:-1 gene:Manes.10G042200.v8.1 transcript:Manes.10G042200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAARKLKSHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Manes.06G133200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26145696:26152579:1 gene:Manes.06G133200.v8.1 transcript:Manes.06G133200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANLSRIFKYLLATQFLSRGIPFIFNSWIVYAVQFHLFVTCVLFLSWEGFRRACMRAEIRCDGTSTEEHTAKLLKAAWMTLPLGIVITVSVCIFVFWWQGLSLSDPYAQAILINGCPCILELLAEPLYILSQNLLLLELRLIVETITTFSRCLIMYILIVRQPNMAIGIIFALSQTAYGACLCIGYWSYFLLFCAFRVSDLLPSSLGTEMDYDKQLSNMCMLFTLQSFRKLILQEGEKMLLVLVVRLVFLPFEESSYATFARSSSGEDPRRSRKLASYLTEALKLVLLIGLVFMAFGPSFSYSLIRLLYGHKWSDGEASTALQYYCLYIIVLAMNGTSEAFLHAVATESQLKRSNDSLLVFSLLYVVLNVLLIRSAGAVGLILANSLNVILRIAYSAVFIKHYFKAYTRNFPLPQLDSSAFTFRSCLPSGWTVLLFSGVTTVISERVFLDHNNFWPTFLVHFSIGFTCFGTSSAVIYQRERPFINKIICFRNHGD >Manes.08G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35772254:35776941:-1 gene:Manes.08G118500.v8.1 transcript:Manes.08G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohB MEIQENQQETWSETESRSSTSSRRAGFSGPLATNKRNSSKKSSKYKEEEYVEITLDVRDDSVSVQNIRGGDSETAFLASQLERKNHPSLGSQLSLRLRQVSQELKRITSSNTFDKVDRSKSGAARALKGLKFMTKNVGTEGWSEVEARFDKLAVDGLLPKNRFGQCIGMNESSEFASELFDALARNRGITSASISKAVLREFWEQITDQGFDARLQIFFGMVDKNADGRITEEEVKEIIALSASANKLSKIQERAEEYAALIMEELDPDNLGYIELYNLEMLLLQAPNQSTNLATDSRILSQVLSQRLVPTKDHNPIKRCYRKVAYFVEDNWKRIWVMALWLGICAGLFTWKFIQYKHRAVFDVMGYCVTTAKGAAETTKFNMALILLPVCRNTITWLRSKTKLGAVVPFDDNINFHKVVAFGIAIGVGLHAGAHLTCDFPRLLHATDEEYEPMKPFFGDDRPNNYWWFVKGTEGWTGVVMVVLMAIAYILAQPWFRRNRLNLPTTLKKLTGFNAFWYSHHLFVIVYALFIVHGYYLYLSKKWYKKTTWMYLAIPMGLYACERLIRAFRSGYKSVRILKVAVYPGNVLALHMSKPQGFRYTSGQYIFVNCSAVSQFQWHPFSITSAPGDDYLSIHIRTLGDWTAQLKAVFSKVCQPASSNQSGLLRADIASSDNKPRLPKLLIDGPYGAPAQDYKNYDVLLLVGLGIGATPLISIVKDVLNNIKQQKEIEEGMVESGIKGNKRKPFATKRAYFYWVTREQGSFEWFRGVMNEVADYDQDRVIELHNYCTSVYEEGDARSALIAMLQSLQHAKNGVDVVSETRVKTHFARPNWRKVFKHVAVNYPNQKVGVFYCGAPGLTGELRRLAQDFSRKTTTKFDFHKENF >Manes.08G118500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35772255:35776931:-1 gene:Manes.08G118500.v8.1 transcript:Manes.08G118500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RbohB MEIQENQQETWSETESRSSTSSRRAGFSGPLATNKRNSSKKSSKYKEEEYVEITLDVRDDSVSVQNIRGGDSETAFLASQLERKNHPSLGSQLSLRLRQVSQELKRITSSNTFDKVDRSKSGAARALKGLKFMTKNVGTEGWSEVEARFDKLAVDGLLPKNRFGQCIGMNESSEFASELFDALARNRGITSASISKAVLREFWEQITDQGFDARLQIFFGMVDKNADGRITEEEVKEIIALSASANKLSKIQERAEEYAALIMEELDPDNLGYIELYNLEMLLLQAPNQSTNLATDSRILSQVLSQRLVPTKDHNPIKRCYRKVAYFVEDNWKRIWVMALWLGICAGLFTWKFIQYKHRAVFDVMGYCVTTAKGAAETTKFNMALILLPVCRNTITWLRSKTKLGAVVPFDDNINFHKVVAFGIAIGVGLHAGAHLTCDFPRLLHATDEEYEPMKPFFGDDRPNNYWWFVKGTEGWTGVVMVVLMAIAYILAQPWFRRNRLNLPTTLKKLTGFNAFWYSHHLFVIVYALFIVHGYYLYLSKKWYKKTTWMYLAIPMGLYACERLIRAFRSGYKSVRILKVAVYPGNVLALHMSKPQGFRYTSGQYIFVNCSAVSQFQWHPFSITSAPGDDYLSIHIRTLGDWTAQLKAVFSKVCQPASSNQSGLLRADIASSDNKPSRLPKLLIDGPYGAPAQDYKNYDVLLLVGLGIGATPLISIVKDVLNNIKQQKEIEEGMVESGIKGNKRKPFATKRAYFYWVTREQGSFEWFRGVMNEVADYDQDRVIELHNYCTSVYEEGDARSALIAMLQSLQHAKNGVDVVSETRVKTHFARPNWRKVFKHVAVNYPNQKVGVFYCGAPGLTGELRRLAQDFSRKTTTKFDFHKENF >Manes.16G072200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27398505:27402888:1 gene:Manes.16G072200.v8.1 transcript:Manes.16G072200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLRVALLFFYGVMMLSADFHQQANAAVSSNTIQLINNANEHGPYLGLVIPNLFEMNPLLQSPNFTATDLIIDFSGRRFRFGTIGEKKVILVMTGLSLINAGITTQLLLSFFNIEGVVHYGIAGNANPSLNIGDVTIPRYWAHTGLWNWQRYGHGPEEELPLEANGDYTRDIGYLKFANYTVNVTGCSAYDNLLNNVWYQPEEVFPVDGNPEERQHVFWVPVDLAYFEISQTLKDLKLEGCLNSTTCLSETPKVVTVERGASASIYLDNAAYRSFIYDKFNVSPVEMETVAVALICLQQKIPFIAFRALSDLAGGGSAHSNEADTFISLAANNSVAVVLEFIKRLPVKKTYIS >Manes.05G197800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32193456:32194893:-1 gene:Manes.05G197800.v8.1 transcript:Manes.05G197800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSMFSSFDAFCKEFYEQKVKFSGVSSTRNERSKATAVESMKKKGMEKKSSPSSENVKESLKQQRKPRFAPELDGVHCFETILPY >Manes.05G197800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32193559:32193819:-1 gene:Manes.05G197800.v8.1 transcript:Manes.05G197800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSMFSSFDAFCKEFYEQKVKFSGVSSTRNERSKATAVESMKKKGMEKKSSPSSENVKESLKQQRKPRFAPELDGVHCFETILPY >Manes.05G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1657216:1662529:-1 gene:Manes.05G017900.v8.1 transcript:Manes.05G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINVFKLCSGLKVLGYLMILLVVGIIAVSYYAVVVITWGPKLFRGGFHSCLAMLMVIVFHILLVLLLWCYFKVVFKDPGSVPENWRPMRLEENLEGGSCIHESDYGATENLGSTWSASDGLDRKDVGYCNHCKNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFLETTMNTLVLLPSFIKFFDEAKNHSSSPANLAVTFLAFVLNLAFSLSLLCFVCMHASLLSSNTTSIEVYEKKGVARWKYDLGRKQNFEQVFGTKKALWFVPLFSKDDLDSIPALHGLDFPTRSDVEA >Manes.18G045401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4007849:4015838:1 gene:Manes.18G045401.v8.1 transcript:Manes.18G045401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCISVISERVSDKRGEIAFCNISILYRHLTAYLGIEAIGFIFGPPIAVAIGAKFVPMRKPNKLPGEDISEYSLEYGKDIMEMHVGEWALVIDDLIATGRTLCAVIKLLGTVGQDRLEDKPLFVLVSG >Manes.05G007900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1106611:1109838:-1 gene:Manes.05G007900.v8.1 transcript:Manes.05G007900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCEGDVVRSVDFVDPTSGRLSQLFRKVRNLVVKSTTTLDLMLEDSVILTALLKEKATEIIKLLSQSHWTSSCIVTMRKFQDMCGGPNEASAVLSYLSGLGKAQFLSVNKKELIEGVKVSLSPAPVPTISSLDYDVLHLIWTTEKLQQQIDLIDRRYEISRKSALVYVKSGNKKMAIRHAREMKLASESREKCTSLLNRVEEILNAIMNAESTKKVTEAIQIGAQAMKQNRITMEEVDLCLEELEESIDSQKQVEKALESTPSYAGIEDEDVEEEFKKLEFEVGSDDLQPSVPRIGVSSTSGETGNLVSTDSLSDALSNLKLRDVSENQVFVGAMRTDGSKDLTLEAA >Manes.05G007900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1106611:1109836:-1 gene:Manes.05G007900.v8.1 transcript:Manes.05G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVVREFIRKEFPDWDDEVIATARFKAFSGQRSDWESKYLFWRDLILKIARHLGIFLIGPSQVKNEWFNRGGLTPLCLDHVLFLMYSEGDVVRSVDFVDPTSGRLSQLFRKVRNLVVKSTTTLDLMLEDSVILTALLKEKATEIIKLLSQSHWTSSCIVTMRKFQDMCGGPNEASAVLSYLSGLGKAQFLSVNKKELIEGVKVSLSPAPVPTISSLDYDVLHLIWTTEKLQQQIDLIDRRYEISRKSALVYVKSGNKKMAIRHAREMKLASESREKCTSLLNRVEEILNAIMNAESTKKVTEAIQIGAQAMKQNRITMEEVDLCLEELEESIDSQKQVEKALESTPSYAGIEDEDVEEEFKKLEFEVGSDDLQPSVPRIGVSSTSGETGNLVSTDSLSDALSNLKLRDVSENQVFVGAMRTDGSKDLTLEAA >Manes.13G107300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:30997161:30997964:1 gene:Manes.13G107300.v8.1 transcript:Manes.13G107300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKKLFTRRGLSLMFLILWLMVISPTVACPLASTAGSGCKECIVDQMKHGCPSCAPILRCMARCLWGGGSRSKCVKKCDCDGAMLRLSDCKKCMARCKCSCVAYRY >Manes.04G148700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34557066:34559297:-1 gene:Manes.04G148700.v8.1 transcript:Manes.04G148700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEEIQSHTSEAIGSPSLSPPNGRITVTVAAGPPSSLPQQQNINNLALTVPIHQPKTNGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVADIVSSREDYKKAAKTDIQCKNRIDTVKKKYKLEKAKIAAGGGPSKWPFFERLDQLIGPTAKIPVTAASLSSKVPMGIPVGIHSGGASGSNQYQFHEGKAQMKSNQITKNHNVKPQFRKRSQVETESSSEEEEENFPDSEDSLPPEKKSRVVVQRGVNTKEVKERKGWGNSIRMLTQAILKFGEVYEQAESMKLQQVMEMEKTRMKFAKELELQRMQFFMKTQMEISQLKCSRRGGNVSHQNHHISGNNIIHTNNNSDSDN >Manes.07G117500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32294072:32297401:-1 gene:Manes.07G117500.v8.1 transcript:Manes.07G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPRRKSGLNLPSGMTETSLRLDTFPGSVRAITCVSSPRALSSLSSPSKSSNCSDRFIPCRSSSRLHTFGLVEKGSPVKEGGNEAYSRLLKSELFGSDFSSFSSPAGVQGGSALSSPSKNMLRFKTDQSGPNSPYSPSILGYDSGISSEASTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTKLCDLGPHDSVCSVQWTREGSYISIGTYLGQVQVWDGTQCKKVRTMAGHQTRTGVLAWNSRILSSGSRDRNILQHDLRVSNDYVSKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSQQPILKLTEHTAAVKAIAWSPHQNGLLASGGGTADRCIRFWNTTNGHQLNHVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSMAKVATLTGHSLRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKTPTPVKDTGLWSLGRTHIR >Manes.01G260900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41667861:41673146:-1 gene:Manes.01G260900.v8.1 transcript:Manes.01G260900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTNPSASSPTSDSVDTSPLLTHSIADHLLRSRRLLRRPPQPLRGAAARLLRRASSRRMMLREPSVRVRENAAEQLEERQSDWAYSKPIIVLDVLWNLVLVGIAVVVLGLSVKEQAEVPLRLWIIGYGMQCVLHIACVIFEYRRRTRRNLGLGVTGAWPSGDSTSGSGSDGDISVDYGAEERANDDETSVVKNLESANTMFSFVWWIAGFYWVTAGGQNVPQDSPQLYWLCITFLAFDVIFVIICVAVASLIGIAICCCLPCIIGILYAMTDREGATKEEIDRLPKYKFCRKGDPEKINGDIQACFGGTMTECEIDTPTERLLSHEDAECCICISAYEDGNELRELPCHHHFHSMCIEKWLYINATCPLCKFNILKASNQIDSEEV >Manes.17G112900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31952023:31958523:-1 gene:Manes.17G112900.v8.1 transcript:Manes.17G112900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLTELDDDYVRSMSIGAVFSDFGGKINSVDFHRKDDLLVTASEDDSVRLYDIASAKLLKTTYHKKHGADRICFTHHPSSVICSSKYNLDSTGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPINDSFMSGSLDHSARIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTSNNIYVLDAYGGEKKCGFSLEPSPNTTIEATFTPDGQYVVSVQIIRCPSYLDIIA >Manes.17G112900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31952035:31958523:-1 gene:Manes.17G112900.v8.1 transcript:Manes.17G112900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLTELDDDYVRSMSIGAVFSDFGGKINSVDFHRKDDLLVTASEDDSVRLYDIASAKLLKTTYHKKHGADRICFTHHPSSVICSSKYNLDSTGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPINDSFMSGSLDHSARIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTSNNIYVLDAYGGEKKCGFSLEPSPNTTIEATFTPDGQYVVSGSGDGTLHAWNINMRNEVAFWNSNIGVASCLKWAPRRAMFVAASTVLTFWIPNNSKSAAEPRSMENEGLEHISQ >Manes.17G112900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31952035:31958523:-1 gene:Manes.17G112900.v8.1 transcript:Manes.17G112900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLTELDDDYVRSMSIGAVFSDFGGKINSVDFHRKDDLLVTASEDDSVRLYDIASAKLLKTTYHKKHGADRICFTHHPSSVICSSKYNLDSTGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPINDSFMSGSLDHSARIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTSNNIYVLDAYGGEKKCGFSLEPSPNTTIEATFTPDGQYVVSDLLQCA >Manes.17G112900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31952023:31958523:-1 gene:Manes.17G112900.v8.1 transcript:Manes.17G112900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLTELDDDYVRSMSIGAVFSDFGGKINSVDFHRKDDLLVTASEDDSVRLYDIASAKLLKTTYHKKHGADRICFTHHPSSVICSSKYNLDSTGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPINDSFMSGSLDHSARIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTSNNIYVLDAYGGEKKCGFSLEPSPNTTIEATFTPDGQYVVSDLLQCA >Manes.05G140400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23904183:23905853:1 gene:Manes.05G140400.v8.1 transcript:Manes.05G140400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COR47 MAEEHHNKAHESETPVVVEGSAVESKDRGLFDFMGKKEEEKPQGEVIVTEFEEKVQVSEPEEKKPGLLEKLHRSDSSSSSSSDEEEGEGEQKKKKKEKKGLKEKIKEKISGDHKEEKKEEDTTVPIEKYEEAEAANQADEKKGFLEKIKEKLPGHNKKPEEVATPPPPPPPTEHVHAESASHEGEAKEKKGIFEKIKEKLPGYHPKTEEEKEKEKESH >Manes.08G007200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1028644:1030105:1 gene:Manes.08G007200.v8.1 transcript:Manes.08G007200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLFHAKREKNSFIFVSQDADFNSLNTPENLFDFDEADVWNNSNNSSGVSAFEAKKIIPSSRPLRKGGRNMVVERTSRSVNCAASLPVNIPDWSKIYREDNYTRHHKQDDDQDQDQDSEYDGDHDHGGDDEDERIPPHEYLARRRGASFSVHEGIGRTLKGRDLRQVRNAIWKKVGFED >Manes.05G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6784504:6786434:1 gene:Manes.05G082500.v8.1 transcript:Manes.05G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPWNLPSGLMPDESSPEWLSKADNAWQLTAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVGWGYRMSFGEQFVPFLGKPDVSLDQKYLLSQAFLGKFPNATMIYFQFVFAAITLILIAGALLGRMNFHAWMLFVPLWLTFSYTFTAYSIWSLNGWLAKMGIIDYSGGYVIHLSSGVAGFTAAFWVGPRANKDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYSVSIDASLAVLNTHVCTATSLLTWLFLDIIFFGKPSVIGATQGMITGLVCITPAAGVVQGWAAILMGLMSGSIPWYTMMVLHKKIWLLKQVDDTMAVFHTHAVAGSLGGILTGFFAEPKLNRIFYMVDNWQHYIGLAYGLQTGRTSAGFKQMGIQLLGIIFVIILNIFTTSVICFLIKFVIPLRLADDELQTGDDAIHGEEAYALWGDGEKYESKHNSVYEEFPQVVPKGGQVEMA >Manes.05G010700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:928059:928475:-1 gene:Manes.05G010700.v8.1 transcript:Manes.05G010700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNYVFFPFISINSQHISSLVVLYCRFLVPRDMSVGQFIHVLNIRLRLTPGKALFVFVKNTLPQTATLMNSVYESFKDEDGFLYMCYSSEKTFGHATTSYLQP >Manes.05G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:927439:932025:-1 gene:Manes.05G010700.v8.1 transcript:Manes.05G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKSFKDEFTSEQRLEESRDIIAKYPDRVPVIVERYCKTDLPEMEKKKFLVPRDMSVGQFIHVLNIRLRLTPGKALFVFVKNTLPQTATLMNSVYESFKDEDGFLYMCYSSEKTFGHATTSYLQP >Manes.18G110700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11009411:11010241:-1 gene:Manes.18G110700.v8.1 transcript:Manes.18G110700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDYFHVFSAQASMKDQLFAANQSDKKRNHRYILDVQENNAVSTELTLHCGFPSMIKKPRTTPISSSSFLHSSIVVSTRPAKEEEVSTKLKLFDETWVADHDASATRKEPDGVSKESSELKTLARDTANERIYSPEEERKMRLKHPVWTKLVLYDPWKIKKRLTGSDLGNHCRLLVTSALVKNHIFPFMGSEIIEKIRGEGAEFCFWDCDTNTELNLVLKYWHTSKSYIFNKGWPNNFVKRRNLVGGDLIGIYWDSTKKIFNFAVLERACEVYP >Manes.08G060801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7697896:7700583:1 gene:Manes.08G060801.v8.1 transcript:Manes.08G060801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLSAQLEPWYNLQNKVVLVTGASSGLGRDFCLNLAKAGCRIVAAARRVDRLKSLCQEINQLPSLSSPNDRPNSARRAIAVELDVCADGATIDRCVQMAWEAFGGIDALVNNAGVRGSVKTPLDLSEQEWDHAVRTNLTGSWLVSKSVCIRMRDSKRGGSIINISSIAGLHRGQLPGAVAYASSKAGLNAMTKVMALELGVHKIRVNSISPGLFKSEITEGLMQKEWLNNVALRTVPLQEFGTSDPALTSLVRYLIHDSSEYVTGNIFIVDAGATLPGVPIFSSL >Manes.06G022800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4563544:4574701:-1 gene:Manes.06G022800.v8.1 transcript:Manes.06G022800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINRDPSTPPPVIGKIGPYTVFMTPPSTPKPVEPVFDSPKKVVSPPPVKPPPQQIDKSVSAQPLSGGSVSGFFRNAVNKVQNAHSSLDEHLARWFGLNQSKYQWALDDYYESKELGKDDAKPKEISSKVQSV >Manes.06G022800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4569911:4574702:-1 gene:Manes.06G022800.v8.1 transcript:Manes.06G022800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINRDPSTPPPVIGKIGPYTVFMTPPSTPKPVEPVFDSPKKVVSPPPVKPPPQQIDKSVSAQPLSGGSVSGFFRNAVNKVQNAHSSLDEHLARWFGLNQSKYQWALDDYYESKELGKDDAKPKEISSKVQSV >Manes.06G022800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4569932:4574702:-1 gene:Manes.06G022800.v8.1 transcript:Manes.06G022800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINRDPSTPPPVIGKIGPYTVFMTPPSTPKPVEPVFDSPKKVVSPPPVKPPPQQIDKSVSAQPLSGGSVSGFFRNAVNKVQNAHSSLDEHLARWFGLNQSKYQWALDDYYESKELGKDDAKPKEISSKVQSV >Manes.06G022800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4569936:4574701:-1 gene:Manes.06G022800.v8.1 transcript:Manes.06G022800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINRDPSTPPPVIGKIGPYTVFMTPPSTPKPVEPVFDSPKKVVSPPPVKPPPQQIDKSVSAQPLSGGSVSGFFRNAVNKVQNAHSSLDEHLARWFGLNQSKYQWALDDYYESKELGKDDAKPKEISSKVQSV >Manes.06G022800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4573165:4574703:-1 gene:Manes.06G022800.v8.1 transcript:Manes.06G022800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINRDPSTPPPVIGKIGPYTVFMTPPSTPKPVEPVFDSPKKVVSPPPVKPPPQQIDKSVSAQPLSGGSVSGFFRNAVNKVQNAHSSLDEHLARWFGLNQSKYQWALDDYYESKELQKQ >Manes.01G187600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36422128:36425563:-1 gene:Manes.01G187600.v8.1 transcript:Manes.01G187600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGRSCLVSRLFGSSCQPESQWPFMSLRPSGKHPVDGSEDDYRPIKYPRLSYCRETAEEQSDDDRAGQSSDSDPLIDAIGRDMSINCLIRCSRSDYGSLASLNRSFRSLIRSGEIYKLRRQRGVTEHWVYFSCHLLEWEAFDPISRRWMHLPRMPSNDCFMCSDKESLAVGTELLVFGKEVMSHVIYRYSILTNSWSSGMTMNAPRCLFGSASRGEIAILAGGCDSQGKILSSAEMYNSENQKWETLPSMNKPRKMCSAVFMDGKFYVIGGIGGSEMKLLTCGEEYDLETKKWTEIPNMSPGRGGAARDNEMPAAAEAPPLVAVVNNELYAAVDMEVRKYDKEKRSWFKVGALPERAVSMNGWGLAFRACGDRLIVIGGPRTHGEGFIELNSWVPSEGSPQWNTLAQKHSVNFVYNCAVMGC >Manes.18G070900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6383866:6385905:-1 gene:Manes.18G070900.v8.1 transcript:Manes.18G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISPSLPSFSSLIGDYYMGCVDLKNKVDSLQSSSKVEEIQGFSERCDMRDRRKEGFPPPIPSLARTGNLSSHMPWVLRRFYTDDGRLILREERVKHHEYFQAHRCNGRLTMRLVSLDNEVFADKEEDENDLENSQEEVASELDNCRIEEAINEEEEEEEENELKNCDSEEEKEDIIDECKETQVDEYCNDVVDYFHSFNGIIAVKEIVYEDQKMLLVETKNIGLGGNLSEIKCLKIYKSVRAGPSFIFRVAVPATRPVHG >Manes.18G070900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:6383903:6385861:-1 gene:Manes.18G070900.v8.1 transcript:Manes.18G070900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISPSLPSFSSLIGDYYMGCVDLKNKVDSLQSSSKVEEIQGFSERCDMRDRRKEGFPPPIPSLARTGNLSSHMPWVLRRFYTDDGRLILREERVKHHEYFQAHRCNGRLTMRLVSLDNEVFADKEEDENDLENSQEEVASELDNCRIEEAINEEEEEEEENELKNCDSEEEKEDIIDECKETQVDEYCNDVVDYFHSFNGIIAVKEIVYEDQKMLLVETKNIGLGGNLSEIKCLKIYKSVRAGPSFIFRVAVPATRPVHG >Manes.03G175701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29919181:29920141:-1 gene:Manes.03G175701.v8.1 transcript:Manes.03G175701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRSRVVRSSSQVEIGLWNHIRPIESPVAFFERKHTLISPQRRLKSSISLQYRQKSRRLGGGLESFLKLVSCARRNYFRTKIFTCMGAFCSLECREGQMDLDVFEKEIAKATSVRLQIAGKLFTKDVHKLGFHC >Manes.05G069800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5754750:5754941:1 gene:Manes.05G069800.v8.1 transcript:Manes.05G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRIAHATLKGPSVVKEICLGIAFGLAAAGLWKMHHWNEQRKVRAFYNLLEKGEISVVAEE >Manes.09G055600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8993160:8995554:1 gene:Manes.09G055600.v8.1 transcript:Manes.09G055600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDGDFELREIQKLQGHTDRVWSFAWNPATGTGDIPPVFASCSGDKTVRIWEQSPSSRSWDCKAVLEETHTRTVRSCAWSPSGKLLATVSFDATTAIWENIGGDFECVSTLEGHENEVKSVSWNASGSLLATCSRDKTVWIWEVMPGNEFECASVLQGHTQDVKMVKWHPSMDVLFSCSYDNTIKVWAEDGDGDWRCVQTLCESNNGHTSTVWALSFNAEGGKMVTCSDDLTLKIWETDIERMVSGDDHTPW >Manes.09G055600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8992894:8999076:1 gene:Manes.09G055600.v8.1 transcript:Manes.09G055600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDGDFELREIQKLQGHTDRVWSFAWNPATGTGDIPPVFASCSGDKTVRIWEQSPSSRSWDCKAVLEETHTRTVRSCAWSPSGKLLATVSFDATTAIWENIGGDFECVSTLEGHENEVKSVSWNASGSLLATCSRDKTVWIWEVMPGNEFECASVLQGHTQDVKMVKWHPSMDVLFSCSYDNTIKVWAEDGDGDWRCVQTLCESNNGHTSTVWALSFNAEGGKMVTCSDDLTLKIWETDIERMVSGDDHTPWSHICTLSGFHDRTIFGVDWSREGIIVSGAADDAIRFFVESKDGLVDGPSYRLLLKREKAHDMDINSVQWGPGENRLLASASDDGTIKIWELATLR >Manes.12G011100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1091759:1092968:1 gene:Manes.12G011100.v8.1 transcript:Manes.12G011100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIVLTQLATGLSVLAGAVLVKSVMDQKPMAGPFQRCPTCNGTGRVTCLCSRWSDGDVGCRTCAGSGRMACSSCGGSGTGRPLPVRITAQPTNRPS >Manes.02G194600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15894661:15898489:-1 gene:Manes.02G194600.v8.1 transcript:Manes.02G194600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLLQKNPSSSLLCTSATTSFLSLSCKEKPRNCLYFNSNRIPSLFLTLKPYTSLSMPIATVGGGGGSSLAACVARKAIVRPTLPSRFSSNSYSGCRCASDNRTIKTPLRAWVVARPAWNHTAAGAGPEGNVTTAAAAAVEGGKGCDGGNSEKRGKNEKTSEEKTGRMNRRQRGSSEVVGNADLLTIPGVGPKNLRKLVEKGLRGVAELKQLYKDKFLGKANETMVEYLQSSVGIIHKNHAESITTFVKESVDEELNDINTDVKAALKKRITFCVEGNISVGKTTFLHRIVSDTIELRDLVEVVPEPIDKWQNIGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSAGVKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEINIYDSWFDPVVSVLPGLIPDGFIYLRASPDTCHKRMKLRKRAEEGGVSLDYLRDLHEKHESWLFPFQSGNHGVLSVSKPPLQLDNALHPEIRDRVFYLEGDHMHSSIQKVPALVLDCEANIDFSRDIEAKEHYARQVAEFFKYVKNRKEVSPSKPGEGGMSNRQVLLPHEGGLFLPSQKHFPESALRSLDFRRTMSFMSGQ >Manes.12G133700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:34066864:34069518:-1 gene:Manes.12G133700.v8.1 transcript:Manes.12G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSESAAFPTGYSFPPSTADPRVPLQNLSMVRVRMDSVQQFLADSVNNNNLMSKGQMEMVSAEISSAIHQIIVNGAALLACSQSVVEPPTAGKLAERLSDPPDPKFAAELSNSLEDNSDKGNQPAVFSLKVEERDDFDVDCDIVELDAVELLAEHVHFCEICGKGFKRDANLRMHMRAHGNQFKTPEALAKPDKGKESSAAATRKTRFSCPFEGCNRNKKHKKFRPLKSVICVRNHFKRSHCPKMYSCNRCNKKSFSVVADLKSHLKHCGESRWKCSCGTTFSRKDKLFGHMALFEGHMPAVVGEDDDRAKSDVAAMEADENGEGTIEEGDQLQGNSVDNGLFEGLLDGFASIEGYNLEDVLESARNGWGSEMEGLCGGTERS >Manes.01G094500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29609118:29614428:1 gene:Manes.01G094500.v8.1 transcript:Manes.01G094500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCTCLAPKTVKKKKSTKRLPGNSQSVLNSSNRWSRIRSTRKDSTDSVIQEQALAAAILFRQHQQQNGSASLPFDRSTSLRYPNSSGSKKSQLPRSSSSRARSLTDPLLQPHQLVNQDIKLDDLETNHFVLVHGGGFGAWCWYKTIALLEEGGFKVTAIDLTGSGIHSFDTNGITSLSQYVKPLTNFLEKLADGEKVIMVGHDFGGACISYAMELFPHKISKAIFVAAAMLANGQSTLDMFSQQSNSNDLMQQAQIFVYANGSGHPPTAIELDKSLLRELLFNQSPAKDVALASVSMRPIPFAPVLEKLSLSDMKHGTVRRFYIETPEDNAIPITLQQSMINSNPPEKVYRLKGADHSPFFSKPQALHKILVEISKVPST >Manes.06G168401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29355690:29357721:1 gene:Manes.06G168401.v8.1 transcript:Manes.06G168401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKHNVVMIPFMAQGHIIPFLALALQLEQRKGCTVTFVNTPLNIQKLRSSLPRNSSIHLLEIPFNSSDYGLPPDTENTDSLPHDLILNFLQSSLALKPVFRKLISDLVHESCGQPPVCIITDMFFSWCAEIAHEFGIFHAIFCGGGGYGFACCFSLWLNLPHRNTDSEEFTLPDFQEASKFHATQLTKHLRNADGRDSISVFQRKMLRGWYNADGILMNTVEELDKLGLTYFRRKIGRPVWPIGPALLSSRTRAGKATGIAPDVCEKWLDTKPLNSVLYISFGSQNTLSESNIVQLAMALEASSVNFIWVVRPPLGFDINSEFKAKEWLPEGFEERIKASGRGLLVQKWAPQVEILSHGAIAAFLSHCGWNSTLEALSCGVPIIGWPQAAEQFYNAKFLEEEIGVCVEVGRGLTCEVRHDAIARKIELVMNETEKGIEMRRRACDVRDMMKEAIKAEKGFVGSSAKAMDEFLNAAMLMRKDIDTSTRVPNGEVLKQLCPCRDG >Manes.03G163701.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29050240:29055170:-1 gene:Manes.03G163701.v8.1 transcript:Manes.03G163701.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLFQQVNYGNTEGIKALCREGAGLEWLDRDGKTPLILACLNPELYHVAKTLIELGANVNAYRPGRNGGTPLHHAAKRGLDRTVNLLLSHGANALVLNDDCQTPLEVARAKGHNNVVRAIENHICLFSGWMREFYGPGFLEVLAPQLVSRKVWVVILPIGSRNPTKPFKLELAIYNNSQDAQPRTLIALWKANLEEPKLHHCDTSVMIVDNATIPRGRRRRQARHRPRTVRQTRVRLAPANEGDKQQLQWFCDACKGIPQAMHPPAFLHNPVSSTVQATAPPSAEELELAMALNASIQSAMAEAPIIDAHSGGASASTSWNEPVNAGSQSVLPSKATGSMWAAHEAGPSGNPTQHQIQNSDISTVQTATQAPDSVPSAPSIIDEIVEDGPIHYPSIDSSPIDMCSPPVDNLAASTGEKKENGGSSSCTICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRANIDQVIRLYAV >Manes.03G163701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29049158:29055170:-1 gene:Manes.03G163701.v8.1 transcript:Manes.03G163701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLFQQVNYGNTEGIKALCREGAGLEWLDRDGKTPLILACLNPELYHVAKTLIELGANVNAYRPGRNGGTPLHHAAKRGLDRTVNLLLSHGANALVLNDDCQTPLEVARAKGHNNVVRAIENHICLFSGWMREFYGPGFLEVLAPQLVSRKVWVVILPIGSRNPTKPFKLELAIYNNSQDAQPRTLIALWKANLEEPKLHHCDTSVMIVDNATKTRVRLAPANEGDKQQLQWFCDACKGIPQAMHPPAFLHNPVSSTVQATAPPSAEELELAMALNASIQSAMAEAPIIDAHSGGASASTSWNEPVNAGSQSVLPSKATGSMWAAHEAGPSGNPTQHQIQNSDISTVQTATQAPDSVPSAPSIIDEIVEDGPIHYPSIDSSPIDMCSPPVDNLAASTGEKKENGGSSSCTICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRANIDQVIRLYAV >Manes.03G163701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29049158:29055170:-1 gene:Manes.03G163701.v8.1 transcript:Manes.03G163701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLFQQVNYGNTEGIKALCREGAGLEWLDRDGKTPLILACLNPELYHVAKTLIELGANVNAYRPGRNGGTPLHHAAKRGLDRTVNLLLSHGANALVLNDDCQTPLEVARAKGHNNVVRAIENHICLFSGWMREFYGPGFLEVLAPQLVSRKVWVVILPIGSRNPTKPFKLELAIYNNSQDAQPRTLIALWKANLEEPKLHHCDTSVMIVDNATKTRVRLAPANEGDKQQLQWFCDACKGIPQAMHPPAFLHNPVSSTVQATAPPSAEELELAMALNASIQSAMAEAPIIDAHSGGASASTSWNEPVNAGSQSVLPSKATGSMWAAHEAGPSGNPTQHQIQNSDISTVQTATQAPDSVPSAPSIIDEIVEDGPIHYPSIDSSPIDMCSPPVDNLAASTGEKKENGGSSSCTICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRANIDQVIRLYAV >Manes.03G163701.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29050240:29055170:-1 gene:Manes.03G163701.v8.1 transcript:Manes.03G163701.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLFQQVNYGNTEGIKALCREGAGLEWLDRDGKTPLILACLNPELYHVAKTLIELGANVNAYRPGRNGGTPLHHAAKRGLDRTVNLLLSHGANALVLNDDCQTPLEVARAKGHNNVVRAIENHICLFSGWMREFYGPGFLEVLAPQLVSRKVWVVILPIGSRNPTKPFKLELAIYNNSQDAQPRTLIALWKANLEEPKLHHCDTSVMIVDNATKTRVRLAPANEGDKQQLQWFCDACKGIPQAMHPPAFLHNPVSSTVQATAPPSAEELELAMALNASIQSAMAEAPIIDAHSGGASASTSWNEPVNAGSQSVLPSKATGSMWAAHEAGPSGNPTQHQIQNSDISTVQTATQAPDSVPSAPSIIDEIVEDGPIHYPSIDSSPIDMCSPPVDNLAASTGEKKENGGSSSCTICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRANIDQVIRLYAV >Manes.03G163701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29049158:29055170:-1 gene:Manes.03G163701.v8.1 transcript:Manes.03G163701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLFQQVNYGNTEGIKALCREGAGLEWLDRDGKTPLILACLNPELYHVAKTLIELGANVNAYRPGRNGGTPLHHAAKRGLDRTVNLLLSHGANALVLNDDCQTPLEVARAKGHNNVVRAIENHICLFSGWMREFYGPGFLEVLAPQLVSRKVWVVILPIGSRNPTKPFKLELAIYNNSQDAQPRTLIALWKANLEEPKLHHCDTSVMIVDNATIPRGRRRRQARHRPRTVRQTRVRLAPANEGDKQQLQWFCDACKGIPQAMHPPAFLHNPVSSTVQATAPPSAEELELAMALNASIQSAMAEAPIIDAHSGGASASTSWNEPVNAGSQSVLPSKATGSMWAAHEAGPSGNPTQHQIQNSDISTVQTATQAPDSVPSAPSIIDEIVEDGPIHYPSIDSSPIDMCSPPVDNLAASTGEKKENGGSSSCTICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRANIDQVIRLYAV >Manes.03G163701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29049158:29055170:-1 gene:Manes.03G163701.v8.1 transcript:Manes.03G163701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKDELLFQQVNYGNTEGIKALCREGAGLEWLDRDGKTPLILACLNPELYHVAKTLIELGANVNAYRPGRNGGTPLHHAAKRGLDRTVNLLLSHGANALVLNDDCQTPLEVARAKGHNNVVRAIENHICLFSGWMREFYGPGFLEVLAPQLVSRKVWVVILPIGSRNPTKPFKLELAIYNNSQDAQPRTLIALWKANLEEPKLHHCDTSVMIVDNATIPRGRRRRQARHRPRTVRQTRVRLAPANEGDKQQLQWFCDACKGIPQAMHPPAFLHNPVSSTVQATAPPSAEELELAMALNASIQSAMAEAPIIDAHSGGASASTSWNEPVNAGSQSVLPSKATGSMWAAHEAGPSGNPTQHQIQNSDISTVQTATQAPDSVPSAPSIIDEIVEDGPIHYPSIDSSPIDMCSPPVDNLAASTGEKKENGGSSSCTICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRANIDQVIRLYAV >Manes.02G195350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:16114821:16116929:1 gene:Manes.02G195350.v8.1 transcript:Manes.02G195350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDLAKILTAITTKSNETDPYQIHSSEAPGFSIVSVPLKGPNYISWSRAVQIALRAKKKLGFVNGTIKAPEPDSDDYEKWATADSMVVSWLLNAMSKDISDAFVFLKNAKVLWDELKQCYGESNGPMIYQIERDIAAPLPVCCETGTAISDYDNNRRLVQFLMGLGDEYDNVKNQVLLQSPLPSINKAYSMVMSVEKQREVQTSNATFTETAVVMMARRGNNNYSDNTSSLRNNNRYSSYPRKEDKKKEYCTKCKIGGHTIEDYSSLQQHVPSQKSSDVQDNAVTNYIQQEFQKFLRAKGGFPDPAAEDVRNVNFAGTLLNSVITGIDFNCKDNWIIDSGATDYITPKLSFFDQVVQLNPSKTIRLPDKTTRKDLQTEEVLGEGRVIEDFYFVTRDSFNKQISCFSVAGCNEHDRHLAMLWHARLGHVAHKRLKHVAGIFKCDYADLICSVCPIAKQTRIPFSTSRISTKEVFDLLHVDLWGPYHLKSITNVVYILTIVDDFSWFCWTYMLKSKEQVFSALKNFFHYVFTKPLSSLFLVLNPNSSIILLSTTLPCKLNEYHTHALLKNSKNPMLSQIKKIKESKE >Manes.02G147900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11378931:11381352:-1 gene:Manes.02G147900.v8.1 transcript:Manes.02G147900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKKRKGSETEACENLQNEVTRSHDKKSSNLRSHYSLEDYNRLKKRCKEDAGDEHVSSCKSRLAGIATAPPCGASSTLVPPGRGLKRKIGCIDTATQTGRKNKIEDDYVSGATIGRGKFGSVWLCRSKATGVEFACKTLRKGEETVHREVEIMQHLSGHSGVVTLHAVYEEAECFHLVMELCSGGRLIDQMVKEGQYSEQRAANIFKDVMSVVKYCHDMGVVHRDIKPENVLLMSSGKIKLADFGLAMRISNCQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHSLLVGVLPFQGDSLEAVFEAIKNVKLDFHRGIWESVSKPARDLVSRMLTRDVSARITADEVLRHPWILFYTERTLKTLSIKSKIKNQVGPTSCQSPSVPVPEMHANKVDGGSLGEDSNHHLSSGSWNSASEEQDDFDLVDAITVAVSHVQISEPKRSRLCGPTGPIEQQHSSNLTANNLCRAF >Manes.15G181100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23884230:23895798:1 gene:Manes.15G181100.v8.1 transcript:Manes.15G181100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWDLLAPVGRRVSVETLSGKKLAIDASIWIVQFMKAMRDEKGEMVRSAHLLGFFRRICKLLYLRTKPVFVFDGATPALKRRTVIARRRQRENAQAKIRKTAEKLLLNHLKTMRLKELAKDLENQRKKQKNDSKGKKLLSDQKQEEESNLQNTDVESYDQEKLDEMLAASIVAEEDGHLNNNASTSDAAAPAMEAEDSDENEEIILPAMDGNVDSAVLSALPPSMQLSLLMRQSSMVENRKDNKDVKDIVDQKDQKRNLIDLTDTEGSNLEGSSVVAENYNQEKLDEMLAASIAIEEDESLTKSASLSAAAIPFEEGSGDEEEEMILPAMCGKVDPAVLAALPPSMQLDLLVQMRERLMAENRQRYQKVKKAPEKFSELQIEAYLKTVAFRREIDQVQKAAAGQDVGGVQTSRIASEANREFIFSSSFTGDKQLFTSAGMQRNGNKKQQTPTGQCPSDSVDHVASNTAIGMLQDESTRVFDEDIGTYLDERGNVRVSRVRAMGMCMTRDLQRNLDLMKEIEQERIHAMKSAASHSELDKQNVGSSKRIPSKKRHVKVSLNNCGDTVNLIDRDGLSILDNEKSIHISFDVDFNDESKCVDSDDNIFTSLVAGQPIKIASAGSGPSRSQSAYSASDSDRGEGVIEGRGNSSNGITLETNPPPKESNTSDDSEVDWEEGVCNVHDSSLPSESGKPASKGYLEEEADLQEAIRRSLEDLGCEKLNQEPTLLENLVCSKENAYKGIVFLDQEDNTGGRNLVDENAAQQHKPFPEIVAVRKLDSMGQIHVSQVCSSSDRQLKDETCVPNNMSFLPNKSCTRNLGSDAGQSNEATSVGGCLFGEMPSVEPAAPLEVRDVHVMVNQFSHTFVQEIGLSTSEMHSGDASRVSGALFGGVSSPIPIDDELNKMKAEPSMLVNEEKKTEPSYQPIEISNPSMYVTELSKASTTGTDVEPNLDGKRNSDHEVDEKEHYMNKFGRNENLQAGISEASLQEEILNLGKEFTNLGDEQKKQERNAESVSSEMFGECQELLQMFGLPYIIAPMEAEAQCAYMEQANLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIEKELGLTREKLIRMALLLGSDYTEGISGIGIVNAIEVVNAFPEEDGLKKFREWIESPDPSILGKLGAQNDSSVRKRGSKVGGNDSNCANSNIEGINSFNQNINQCHEGEQSADHIQEIKQIFMDKHRNVSKNWHIPSSFPSEVVISAYSCPQVDKSTELFTWGKPDHQVLGRLCWEKFGWGIQKSDELLLPVLKEYNKHETQLRLEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELMDDAGNYKSKKNRMIRPGESGDAKPERPSKRAKGGSNKMKSLEKSAGAPVSSEVENPGHQWQEESGQSTHKGSRGDGKKRGRGCGRGKGRGRGTLGFEQSDSSPGDVSDGDDELEVHVEKSERVQEVRRSLRSRKPVNYTLDDLEIDDVDQSLGEGDTRPNEGALEAGVSGVQGASENAEQMTSQSLDPLPDDFFDDHLEQEVGFLADEAGSGHAGVTKNTYPSEAGVSDDYLMRGGGFCVDESETATHQDTCHSPSMAAECGTVDSSHFTGLMEETDRGKVSVQSVSRVQRSSNDIQDGGKTNAFDSESSVGCVNATDTSGHFEVSLPESSKKDAGESSVRSLSAMPFLRRKRKKN >Manes.15G181100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23884300:23895588:1 gene:Manes.15G181100.v8.1 transcript:Manes.15G181100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHGLWDLLAPVGRRVSVETLSGKKLAIDASIWIVQFMKAMRDEKGEMVRSAHLLGFFRRICKLLYLRTKPVFVFDGATPALKRRTVIARRRQRENAQAKIRKTAEKLLLNHLKTMRLKELAKDLENQRKKQKNDSKGKKLLSDQKQEEESNLQNTDVESYDQEKLDEMLAASIVAEEDGHLNNNASTSDAAAPAMEAEDSDENEEIILPAMDGNVDSAVLSALPPSMQLSLLMRQSSMVENRKDNKDVKDIVDQKDQKRNLIDLTDTEGSNLEGSSVVAENYNQEKLDEMLAASIAIEEDESLTKSASLSAAAIPFEEGSGDEEEEMILPAMCGKVDPAVLAALPPSMQLDLLVQMRERLMAENRQRYQKVKKAPEKFSELQIEAYLKTVAFRREIDQVQKAAAGQDVGGVQTSRIASEANREFIFSSSFTGDKQLFTSAGMQRNGNKKQQTPTGQCPSDSVDHVASNTAIGMLQDESTRVFDEDIGTYLDERGNVRVSRVRAMGMCMTRDLQRNLDLMKEIEQERIHAMKSAASHSELDKQNVGSSKRIPSKKRHVKVSLNNCGDTVNLIDRDGLSILDNEKSIHISFDVDFNDESKCVDSDDNIFTSLVAGQPIKIASAGSGPSRSQSAYSASDSDRGEGVIEGRGNSSNGITLETNPPPKESNTSDDSEVDWEEGVCNVHDSSLPSESGKPASKGYLEEEADLQEAIRRSLEDLGCEKLNQEPTLLENLVCSKENAYKGIVFLDQEDNTGGRNLVDENAAQQHKPFPEIVAVRKLDSMGQIHVSQVCSSSDRQLKDETCVPNNMSFLPNKSCTRNLGSDAGQSNEATSVGGCLFGEMPSVEPAAPLEVRDVHVMVNQFSHTFVQEIGLSTSEMHSGDASRVSGALFGGVSSPIPIDDELNKMKAEPSMLVNEEKKTEPSYQPIEISNPSMYVTELSKASTTGTDVEPNLDGKRNSDHEVDEKEHYMNKFGRNENLQAGISEASLQEEILNLGKEFTNLGDEQKKQERNAESVSSEMFGECQELLQMFGLPYIIAPMEAEAQCAYMEQANLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKAHAFLSQDIEKELGLTREKLIRMALLLGSDYTEGISGIGIVNAIEVVNAFPEEDGLKKFREWIESPDPSILGKLGAQNDSSVRKRGSKVGGNDSNCANSNIEGINSFNQNINQCHEGEQSADHIQEIKQIFMDKHRNVSKNWHIPSSFPSEVVISAYSCPQVDKSTELFTWGKPDHQVLGRLCWEKFGWGIQKSDELLLPVLKEYNKHETQLRLEAFYTFNERFAKIRSKRIKKAVKGITGNQSSELMDDAGNYKSKKNRMIRPGESGDAKPERPSKRAKGGSNKMKSLEKSAGAPVSSEVENPGHQWQEESGQSTHKGSRGDGKKRGRGCGRGKGRGRGTLGFEQSDSSPGDVSDGDDELEVHVEKSERVQEVRRSLRSRKPVNYTLDDLEIDDVDQSLGEGDTRPNEGALEAGVSGVQGASENAEQMTSQSLDPLPDDFFDDHLEQEVGFLADEAGSGHAGVTKNTYPSEAGVSDDYLMRGGGFCVDESETATHQDTCHSPSMAAECGTVDSSHFTGLMEETDRGKVSVQSVSRVQRSSNDIQDGGKTNAFDSESSVGCVNATDTSGHFEVSLPESSKKDAGESSVRSLSAMPFLRRKRKKN >Manes.03G117800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24311776:24313589:1 gene:Manes.03G117800.v8.1 transcript:Manes.03G117800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRQFLAFVCLSTEQTKRQKPPAAIKSRPYIKLKTPNATFPRFLRFPRYFLAPQTPKSPPIHTFSTAGVLPFFSFSLYNTIMAMLCTKIHKSNLNKEDQESYDRINKGNKLFLCFRPVIMDGSDYVNRGRGHSNSFARYVQMEDKDGVIFAHLYSDLPSSAASSFSSQTEKEVDGHRKKKSKRKFLRAIKAVLFETSLAKKIKKKAFPRKSKQSTTPEAENNVNPENEDSPFQKSSMKSYGTTGTFAPSMNSCAWSSRNPSLNSPNSMLLSDKSLPLESYNNLMEIKKNQELVQEENGKVHGSATKGLVLLLVTLVVLVFWGKLYAILCTSTWLFLVPNWNSGKKFLKIMAKSHSMDLDERKKKTFMEVIFDSDRRSPSPLMS >Manes.13G068500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8773025:8782059:1 gene:Manes.13G068500.v8.1 transcript:Manes.13G068500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSEFACGFFLLLCFGCFAESKCTRGCDLALGSYYVWQKTNLTFISDVMKSYIIESPDTIVSYNRDQVPSKDSLTASIRINVPFPCDCINGEFLGHVFQYNVKSGDTYDVVSSVYYSNLTTVNWLKQFNSYPENNVPDTGVLNVTVNCSCGDSSISKDYGLFITYPLRPIDTLDSIASASNISADLLQRYNVGANFSQGSGLVYIPGKDENNSYRPLTSSTGIAGGLIAVISIGAVAGVLIVGVCVYFGFYRKKLKKATLLSGSHDLSAQTLQAPGSNSDKPVESTGLAPSPVLTGITVDKSVEFSYEELAQATDNFSTANKIGEGGFGSVYYAELRSEKAAIKKMDMQASKEFFAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGSGRDPLPWSARVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLTKLTEVGSGSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKINGSSSETRGLVALFEEVLNEPDPKEELCKLIDPRLGDNYPFDSVRKMAQLAKACTHENPHLRPSMRSIVVALMTLSSSTEDWDVGSFYENQALVNLMSGR >Manes.17G020700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11317369:11320062:1 gene:Manes.17G020700.v8.1 transcript:Manes.17G020700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLKQVMLHQLFIICQSNKERAHKRTVNKGFTQEGKKKQRTTEKEKEKRNKRGRYLQRLQPINQAQIPMISLSDVYHVVSATVPLYFAMLLAYISMKWWRLFTPDQCAGINKFVAKFSIPLLSFQVISHNNPYQMNLKLILADFLQKLLALLALTALTKISSRGRLNWIITGLSLSTLPNTLILGIPLLKAMYGAEAESLLSQIIVLQSLVWYNLLLFLFELNATYAVPITPSSEITVDHEDPQEAEEKEEEEEEEEETQTRTTRRVKTMLILLTVGRKLVRNPNFHATLLGLIWASIRFRWGVKMPEIVDSSIMILSNGGLGMAMFSLGLFMASRASIIACGVRMAVLSMAMKFTAGPALMAVASLATTLRGTVFKVAIVQAALPQGIVPFVFAKEYNIHPDILSTGVIFGMLIALPIALAYYSLLEL >Manes.11G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7135382:7148175:1 gene:Manes.11G058800.v8.1 transcript:Manes.11G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSGRGKSNKAKAEKKKKEEKVVVPSLLDITVITPYDIQVILRGISTDRILDVKKLLAVNIETCHLTNYSLSHEVKGQRLSDKVEIVTLKPCLLRMVEEDYTEEAQSVAHVRRLLDIVACTTRFAKPKRPSPSTPASESKSQKANINSIGNGHHTSTSPSGAASVSMALSGGMDMAAIHPTPKLSDFYEFFSFSHLTPPILNLRRCNSKDGEQRRQGDYFEIQIKICNGKLIHVVASAKGFYTVGKQFSQSHSLVDLLQNLSRAFAKAYDSLMKAFVEHNKFGNLPYGFRENTWLVPPIVGESPSNFPSLPAEEESWGGNGGGQGRNGEYDLRPWATEFETLAKLPCKTEEERVTRDRKAFLLHSQFVDVAIFKAAAAIRQLIDSDINIKETLNWNSGSIPSEDRVGDLSIVVKRDVADASMKSREKVDGHSFSSISAKEAAQRNLLKGVTADESVVVHDTSSLGIVIVRHCGYTATVRVVGEVKKRNCEAQDIEINDQPDGGSNALNINSLRVLLYKSCVKESSGGQSPHCRFDDSEASRCLVRKLIKESLTKLEEMPGAFERSIRWELGSCWLQHLQKQETPTDANSKHSEEDTETEHAVKGLGKEFKFLKKRDKTTCMNGTLEKEETKNGSCRLSVGTDEGQHSNGESSSENEWKKLISEEAFSRLKETGTGLHLKSVDELIQMAYGYYDEIALPKLVTDFGSLELSPVDGRTLTDFMHLRGLQMRSLGRVVELAEKLPHIQSLCIHEMVTRAFKHIVKAVIASVDNVADLSLAIASSLNFLLGSCEMEDNDQDMKDDYALKLHWLQTFLSRRFGWTLKDEFLHLRKLSILCGLCHKVGLELVPRDYDMECPNPFRKFDIISIVPVCKHVGCSSADGRNLLESSKISLDKGKLEDAVNYGTKALAKMIAVCGPCHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRFLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYINPDQDSKGSDAQKKQRRVKVLQISDKAPQGDQDEIVEDAMLHERLENAAPLASGNKEEIKVDVVQCEESEEKDDVALYRPMVAVEVVEEAASDEGWQEANPKGKLGNAGGKKSGRRRPALAKLNVNGYEYSNIRESNYRREIISPSKKTIPRTITTELSVAKQSNARGLSVVEDLVKLQAKSSVPKTSSSPANLSAMASKSVSYKEVAVAPPGTVLKLPLMEPVEESNEKKPETQTCCIPQETSAEEINTVSVVDNVPDDGEPDEHHDNGTQSEKSRSELDEIPASDQEKSDETNGSKLSAAAEPFNPGPLSIVHKLNSVSPTSIYDVRASQSMLAEPVAPPLAARVPCGPRSPLFYRNTRSYRMKQGLLKYQTPLTMPSRSMNPHAPEFVPRKAWQPNLGNESNSMLVKNKAEVEILDEESSKGIIDGSPRKNNSESENAELARQILLSFIVKSVQHNVDTGSEPVPEKKFESSSDAIANDSAIIEILYGNEGKTDQVTQSNDHEQSKAVDVNNKKNGDSEGFTVVTKRRRSRQFANGVSRLYNQQSICASVR >Manes.18G069802.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6323317:6325937:1 gene:Manes.18G069802.v8.1 transcript:Manes.18G069802.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSVKRLLTTSLLLALMVSLSHGRLNPSFKIKAVNLGGWLVTEGWIKPSLFEGIPNKDFLDGAVLQFKSVTVGKYLCAELGGGTILVANRTSASRWETFKMWRINETHFNFRVFNKQFLGLDTSGNGTDLVAISNTPGRLETFEIVKNSNDSTRVRIRASNGFFLQVKTGELLTADYAGGSNWGDDDPSVFVMTIPGKMQGEFQVTNGYGPKLAPGVMREHWRTFIVEKDFKFISENGLNAVRIPVGWWIAIDPSPPKPYVGGSLQALDNAFSWAEKYKVKVIIDLHAAPGSQNGFEHSSSRDGSQEWGLTDSNIQQTVKVIEFLTARYAKSPSLYAVELMNEPLSPGVTLHSLTKYYKAGYGAVRKHSSTAYVVMSNRIGPSEPRELFPLASGLTGTVIDIHYYNLYSNIFDNMTVQQNIDYIHTNRSAQLHYVTASNGPPIFIGEWVAEWQVSGATNEDYRRFGQAQLEVYGRATFGWAYWTLRNVNSHWSREWMINNGNIKL >Manes.15G133700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10782569:10782784:1 gene:Manes.15G133700.v8.1 transcript:Manes.15G133700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHVKNNKRGDGDVGGVPIHSQVMKIRQEFEKIKHPSLKQTEMRHGIWKITRERSRSPLGLAERPISVGN >Manes.01G138000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32986984:32993876:-1 gene:Manes.01G138000.v8.1 transcript:Manes.01G138000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPMCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSIFRGVLKVNQFIEVRPGIVVKDETGNIKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGDVGSLPEVFVELEVNFFLLRRLIGVRTKGTEKQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGMIQAGTTLDVPPCPL >Manes.11G148401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31308127:31308777:-1 gene:Manes.11G148401.v8.1 transcript:Manes.11G148401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTISFIYLFLVFYMIISFPPPSNAISSCNGPCSTENDCSGQLICINGKCNDDPEVGTHICTGGSSVPPSDGDCKPYGTLTCGGQSYPQYSCSPPVTSSTKASLTLNNFSEGGDGGAPSECDENYHDKTERVVALSTGWYAGRSRCGKMVRITAENGRSVLAKVVDECDSRNGCDNDHAWQPPCKNNIVDGSDAVWEDLGLNKDLGVVDVTWSMA >Manes.06G085300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22051297:22055979:-1 gene:Manes.06G085300.v8.1 transcript:Manes.06G085300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIVLLMLMLAMTGHASCTWCVCKDMSDAVLQKTLDYACGAGADCRPTHSSGACFQPNTVKAHCSYAVNSYFQKKGQAQGSCDFAGTATVSAADPSSSGCSYPATVSAAGTSITTPTPVTTNPSTTNPSGTTPTTTTPYNTTPSNGVLGGIGNGASPTGTGINTDISDGRFRVPITSWFLVFVTLLISSLMLLWV >Manes.S050816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1704691:1705503:1 gene:Manes.S050816.v8.1 transcript:Manes.S050816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGRGGPKPPVAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.09G134900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33523863:33526348:-1 gene:Manes.09G134900.v8.1 transcript:Manes.09G134900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLRSQERDHNNDLKGRIWEENKKIWKVGFPSTLARVTQFGMFVVTQAFIGHVGELQLAGYALIQIITVRFANGILLGMSSATETLCGQAFGARQYHMMGIYLQRSCIINVVTATILLPVFIFSGKIFRLLGEEEGIANTAGYISLWFIPMLYFFSLAFAIQKYLQTQLKNMIVGWVSAASFVLHVLLSWLFVSKLNWGIPGAMSAMSISSWLIVIGLLVYVFGGWCPDTWRGFTLAAFSDLIPVIKLSISSGLMLCLELWYTASLVLLAGYMKDATTQVSALSICLNITAWELMLFVGFLTSSSVRVSNELGRGDAKAAKFSVKVIFFTSVCIGVLFFIVCLALDRQIAKIFTNEQQVIKAVSSLSLLVAFSVLLNSFQAVLTGMYICWKALSFCYFFNG >Manes.09G134900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33523771:33526331:-1 gene:Manes.09G134900.v8.1 transcript:Manes.09G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLRSQERDHNNDLKGRIWEENKKIWKVGFPSTLARVTQFGMFVVTQAFIGHVGELQLAGYALIQIITVRFANGILLGMSSATETLCGQAFGARQYHMMGIYLQRSCIINVVTATILLPVFIFSGKIFRLLGEEEGIANTAGYISLWFIPMLYFFSLAFAIQKYLQTQLKNMIVGWVSAASFVLHVLLSWLFVSKLNWGIPGAMSAMSISSWLIVIGLLVYVFGGWCPDTWRGFTLAAFSDLIPVIKLSISSGLMLCLELWYTASLVLLAGYMKDATTQVSALSICLNITAWELMLFVGFLTSSSVRVSNELGRGDAKAAKFSVKVIFFTSVCIGVLFFIVCLALDRQIAKIFTNEQQVIKAVSSLSLLVAFSVLLNSFQAVLTGVAVGAGRQSMVAYINISCYYIIGVPIGVILGYVFHLEIKGIWIGMTIGVVMQVTVLGYITSTTNWDEQVRKASERLDRWLLRHSEESSNGNSIRERLKS >Manes.09G134900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33523845:33526309:-1 gene:Manes.09G134900.v8.1 transcript:Manes.09G134900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLRSQERDHNNDLKGRIWEENKKIWKVGFPSTLARVTQFGMFVVTQAFIGHVGELQLAGYALIQIITVRFANGILLGMSSATETLCGQAFGARQYHMMGIYLQRSCIINVVTATILLPVFIFSGKIFRLLGEEEGIANTAGYISLWFIPMLYFFSLAFAIQKYLQTQLKNMIVGWVSAASFVLHVLLSWLFVSKLNWGIPGAMSAMSISSWLIVIGLLVYVFGGWCPDTWRGFTLAAFSDLIPVIKLSISSGLMLCLELWYTASLVLLAGYMKDATTQVSALSICLNITAWELMLFVGFLTSSSVRVSNELGRGDAKAAKFSVKVIFFTSVCIGVLFFIVCLALDRQIAKIFTNEQQVIKAVSSLSLLVAFSVLLNSFQAVLTVGAGRQSMVAYINISCYYIIGVPIGVILGYVFHLEIKGIWIGMTIGVVMQVTVLGYITSTTNWDEQVRKASERLDRWLLRHSEESSNGNSIRERLKS >Manes.09G134900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33523772:33526331:-1 gene:Manes.09G134900.v8.1 transcript:Manes.09G134900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWISFYISKSNTIWNVCSNTSIHWTRWGTATCWLCTHPDHHRSICKWDTGMSSATETLCGQAFGARQYHMMGIYLQRSCIINVVTATILLPVFIFSGKIFRLLGEEEGIANTAGYISLWFIPMLYFFSLAFAIQKYLQTQLKNMIVGWVSAASFVLHVLLSWLFVSKLNWGIPGAMSAMSISSWLIVIGLLVYVFGGWCPDTWRGFTLAAFSDLIPVIKLSISSGLMLCLELWYTASLVLLAGYMKDATTQVSALSICLNITAWELMLFVGFLTSSSVRVSNELGRGDAKAAKFSVKVIFFTSVCIGVLFFIVCLALDRQIAKIFTNEQQVIKAVSSLSLLVAFSVLLNSFQAVLTGVAVGAGRQSMVAYINISCYYIIGVPIGVILGYVFHLEIKGIWIGMTIGVVMQVTVLGYITSTTNWDEQVRKASERLDRWLLRHSEESSNGNSIRERLKS >Manes.09G134900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33523845:33526309:-1 gene:Manes.09G134900.v8.1 transcript:Manes.09G134900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWISFYISKSNTIWNVCSNTSIHWTRWGTATCWLCTHPDHHRSICKWDTGMSSATETLCGQAFGARQYHMMGIYLQRSCIINVVTATILLPVFIFSGKIFRLLGEEEGIANTAGYISLWFIPMLYFFSLAFAIQKYLQTQLKNMIVGWVSAASFVLHVLLSWLFVSKLNWGIPGAMSAMSISSWLIVIGLLVYVFGGWCPDTWRGFTLAAFSDLIPVIKLSISSGLMLCLELWYTASLVLLAGYMKDATTQVSALSICLNITAWELMLFVGFLTSSSVRVSNELGRGDAKAAKFSVKVIFFTSVCIGVLFFIVCLALDRQIAKIFTNEQQVIKAVSSLSLLVAFSVLLNSFQAVLTVGAGRQSMVAYINISCYYIIGVPIGVILGYVFHLEIKGIWIGMTIGVVMQVTVLGYITSTTNWDEQVRKASERLDRWLLRHSEESSNGNSIRERLKS >Manes.02G113950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8777456:8778145:-1 gene:Manes.02G113950.v8.1 transcript:Manes.02G113950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIGSSLLPFTEKSKRTSLLEDERLQSENQIQRSELLKRDMRGLGRW >Manes.18G107800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10657791:10660137:-1 gene:Manes.18G107800.v8.1 transcript:Manes.18G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESMRLFTGDSSRSKIVRRDSRLWRMVQERRASQVVKYRACEGEYFSSDFIFQILVLLPIKSLVRLSLVCKTWFNLINSSAFADAHLQFCETSLICFTPKSQFPNPHTLSIDLRLGLEQFSIFPTDSSRHYQPGHLRFLEFLDSKINVVELNITCSGHILATCDGLVLVQLGKNRGIVVMNPMTRKLVRYPLGTIPPISKHLESYGFMFSNREGRYKVVHLFIDKSLHVGCEILSVGTNSWRGVDGPSFGLLRKFAQESVSAIGALHWVPDIHNCEYIVSMDIDRETFFSIPLPSAYKRGDRLVELWGFLGLVAHNAQPFVIDIWIYKGLDGEAWSKQHTILIDFVTDDLVPVTALKCAREICFASRGDACYYIYDPEHQEMRRIEMEKKPGWHYGSYLPFVNSLVSWENPRDLL >Manes.04G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30317945:30320360:1 gene:Manes.04G098800.v8.1 transcript:Manes.04G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLPARFPPHQSFKLIRICLESGDLQRALYLLDKVPEPDLRTWTIVISAHIQHGFHKKAIEIYATLLGRNIKPDKLLLLSASKACAASGDVVKAREIHNDAIRFGFNRDLLLGNALIAMYGKCKYVDGAKRAFDDIVVKDVVSWTSMASCYVNCGMFRQGVMAFREMGSDGIRPNSLTVSSILPAFADLKELKLGREIHGFVLRNGMEGNLYVSSALVNMYASCASLRQARLVFDNMSQRDIVSWNVILTAYFLNKECENGLALFYQMRKAGIELNHASWNAVISGCLQNGQNELALDTFNKMQDSGFKPNQITIVTLLPACTNLENLRGGREIHGFVFRHSFIEDTTITTALVLLYARCGDLEHSREVFNMMPTKDVVAWNTMILANSMHGNGEESLMLFHKMVDLGIKPNSVTFIGVLSGCSHSKLADKGLQIFNSMTSEYAIKPDEDHYSCMVNVLSRAGRLEQAHEFIQKMPVEPIASAWGALLGACTVYENVELARIAAGRLFEIDPDNPANYVLLSNILFTAKMWVEASETRKMMRDKGLGKTPGRSWVQNVEQEEREETLCSHSERLAVAFGILNSSGKSSIPVFNNLRICGDCHNTIKLIAKIVDMQIIVRDSLRFHHFRDGYCSCRDFL >Manes.03G010800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:920980:926314:-1 gene:Manes.03G010800.v8.1 transcript:Manes.03G010800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFHHPTSMSRLIRSAWRTLGSSSSSSTSDAFLANGFKGYNHFSSHFQCRNSISSLLHQSRPYATHTNTKSPFEANILRILHNEIEYQVEYAPPHQHATKFNSFVVQDRPGGQWMTMKGTYNDSEEIKLEVTMFDGYESVPKLGDDSSGEDVRLHISVLVDISKRSGDDALEFVCSAWRDCLEIHKLYLFSPNSMASRPYLGPHFRNLSSQLQQRLREILEARGAV >Manes.03G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:925229:926199:-1 gene:Manes.03G010800.v8.1 transcript:Manes.03G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFHHPTSMSRLIRSAWRTLGSSSSSSTSDAFLANGFKGYNHFSSHFQCRNSISSLLHQSRPYATHTNTKSPFEANILRILHNEIEYQVEYAPPHQHATKFNSFVVQDRPGGQWMTMKGTYNDSEEIKLEVTMFDGYESVPKLGDDSSGEDVRLHISVLVDISKRSGDDALEFVCSAWRDCLEIHKLYLFSPNSMASRPYLGPHFRNLSSQLQQRLREILEARGVNDKLAVFLHEYMMNKDRVELIQWFGKVKSFIEQ >Manes.12G151550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35971706:35974281:1 gene:Manes.12G151550.v8.1 transcript:Manes.12G151550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEDGGTHSEPPDPDVLEVDPTGRYIRYEEVLGKGAFKTVYKAFDEVNGLEVAWNQVRIDEVLQSPEDLERLYSEVHLLKSLKHNNIVKFYNSWIDDKNKTVNIITELFTSGNLRQYRKKHRNVDLKALKSWARQILMGLSYLHSHKPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDEDYNELADIYSFGMCMLEMVTLEYPYSECRNSAQIYKKVSSVSLVNNQPLFPLVDERQFMK >Manes.12G151550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35971770:35974628:1 gene:Manes.12G151550.v8.1 transcript:Manes.12G151550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEDGGTHSEPPDPDVLEVDPTGRYIRYEEVLGKGAFKTVYKAFDEVNGLEVAWNQVRIDEVLQSPEDLERLYSEVHLLKSLKHNNIVKFYNSWIDDKNKTVNIITELFTSGNLRQYRKKHRNVDLKALKSWARQILMGLSYLHSHKPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDEDYNELADIYSFGMCMLEMVTLEYPYSECRNSAQIYKKVSSVQAND >Manes.16G074801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27759114:27760407:1 gene:Manes.16G074801.v8.1 transcript:Manes.16G074801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDRGWMYARLKDGLLNPLFLEGLNEFISATKQFPDCLNGELIRCPLPRNVDISENTENYEGNLSIFMQSGRPIGKGRTRYLMDDEYKAAQMYILLNCPEVKPYIDIYIDQLRSNDPLVNDSQIDIKLESEFAIWFNNFAHDSCSNISNKFIISLAKSPLRSVTSYNGYMVNGYKFQSKSYCTSRATMNSGVCIKGSNYSNEESDYYGQLLEVIRLEYPGLPIKRVVLFKCNWFDPTPNVGTKIHSKYKLIDVNHKRSFNRYKPFVLGVQAIQVIYTSYPSLRRDKIEWWAAVKVKARSMIQLPTQENTQPDEEPFQQDEMEHTAIVIEIDDSTQQLNDPTGDVIEIDDGEENDEDETIIATETDDDDDDDDNNDLDVDSE >Manes.12G102700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:23667108:23669883:-1 gene:Manes.12G102700.v8.1 transcript:Manes.12G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSAAVSLFILSVLVFCPLIHSQQLYEGKATTDCSNTGGSVLGYSCNGVNRSCQAYLTFRSQPSYNNVSSISTLLNSDPSQLSAINSVSETSSFDTNKLVLIPVNCSCSGAYYQSNASYVVQARDSIFVIANDTYQALSNCQAVLEQNGKTTLIIPGERLTIPLRCACPTKNQTDIGIKYLLSYLVTWEDTVSAISAQFGVDSERILDANGLTEENIINPFTTLLIPLESPPSSVQTITPPPPPASPPPPPSPNTNDSSNKTWVYVLVGVLGGVAVITVLGVIIFFAVFRKNKKKSDPNIISKSFETREKPINKKLDEESQDFLESLSSIAQSIKVYKFKELQMATDNFSPSCLINGSVYRGLLNGDYAAIKKVNGDVSKEIDILNKINHFNLVRLSGVCFSEGNWYLVYEYAVNGPLSNWIYSTNNDGKFLIWTQRLQIAFDVATGLNYLHCFTVPSQVHKDIKSSNILLDSDFRAKIANLGMARSAQGQEGEFALTRHIVGTKGFMAPEYLEHGLISTKLDVYAFGVLMLEIVSGKEVDALYTEEDMNLSDVLNDVLSKEDGQETLRKFVDPSMQGNYPLELTVLVMRLIDSCLNKNPADRPSMDEITESLSRILTSSLNWESSTVSGYHS >Manes.09G016622.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3409729:3412966:-1 gene:Manes.09G016622.v8.1 transcript:Manes.09G016622.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALLKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKIGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPNVYSYSVIINGMCKFGKKSVAIRLLKGVADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPSVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVVTYNSLIDGLCISNQFKEALALLKEMVGRNISLSVFTFNILIDTLCKKGLVSNAENIIKIMIQRGVEPTVVNYSSLMDGYCLGSQIDKARKLFDLMVTNEIADIFSYNILINGYCKCKMIDDAKQIFDKMSHKGLVPDAITYHTLIKGIFQAGRPQNAKELFKDMCFHGQQPNIVTFSIMIDGLCRQGDLDEALTLLKAMEKSQLKPNLVIYSSLINGMCKVGKINDAKELFSSLFEIGLQPDVYVYYAIMKGLCQQGLIDEAYKVFKDMEKVGCLPNNCCYNIIIQGFLRHEDLPKASELINEMVDRGFSADDATTELVVHLSRNNDIILRLLKVRNEGSAN >Manes.02G022700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2013696:2015387:-1 gene:Manes.02G022700.v8.1 transcript:Manes.02G022700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSKKKTRLPRKSKEGRKEFCFCSAIWLQILSRIPALTVLLILLFLWSSSTTIISGNIVHVCISSRKLSNLYCLSAGSQPSFEIPNINNGSTSVRPSDVIREINVSSSINDSVKEVGVVVSPINNNITGIANVVGKYPNLIVTDRNEEVENAKKIVEEQLQLHRSWTSNTNPAVCDGRGIYVYDLPSKFNKDLVGQCGDMILWMDFCKYFDNEALGRPIEKLGKCWYDTHQYSLEPIFHQRILKHPCRVYSENEAKLFYVPYYGGLDILRWHFKNVSNDVKDILALELMKWLDSKKPWVQNSGKDHVFVLGKISWDFRRINDSSWGTRFLQLEKMQNPIKLLIERQPWEVNDIGIPHPTYFHPHSDDDIVAWQLKIIQATRKSLVSFAGAARPDQPESIRSILINQCTSAGDKCQFLNCRSSECDQPETITELFMESEFCLQPPGDSPTRKSVFDSLVSGCIPVIFDPFTAYYQYPWHLPEDHGKYSVFIDQEEVRKMKVNVVERLMNVSAKEREDMRRYIIYEMLPGLVYGDSSSQLHKFQDAFSITVNNLVNRLQLLD >Manes.04G021400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2574305:2577172:-1 gene:Manes.04G021400.v8.1 transcript:Manes.04G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGAVLGSAFVGVVVFEQRKRIYESISADRNQLDAQSQLREPICGKQFRSQFELQWNKAVDETFKPAVASLNSRRQ >Manes.06G152500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27972928:27979617:-1 gene:Manes.06G152500.v8.1 transcript:Manes.06G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSLNKRSSKSKRSASKPDNIMPSASSHFSFTKVPLLVLLVMAFAVVALLGISSVMWSTLTSTDHSPKFYAIQVLNEFPHDPNSFTQGLLYAGNDTIFESTGLYGQSSVRRVALHSGEVEVLQEMDSSYFGEGLTLLGERLFQVTWLTKTGFIYDRNNLSKIEKFTHQMRDGWGLATDGKVLFGSDGTSMLYQLDPQTLKVIAKHIVKYENQEVHYLNELEFVNGEVWANVWQTDCIARISHKDGTVVGWILLENLRKGLIAAGQRGIDVLNGIAWDSNDNRIFVTGKLWPKLYEIKLQPVRKHVDSGVIKKLCVP >Manes.13G023800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3293784:3297786:-1 gene:Manes.13G023800.v8.1 transcript:Manes.13G023800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAQKKPKMTLASYFGHQEMPVIGMGTAADPFDEATMKTAVLEAIKVGYRHFDTSPLYRSEKAVGEAIAEALSLGLIGSRDELFITSKLWCCDAHSDLVIPALKNSLRVLQLEYLDLYLIHWPISCKPGRLMFPMPKDELLPMDFNSVWAAMEECQQLGLTKAIGVSNFSCKKLEHLLAFATIPPAVNQVEMSPLWQQKKLRGFCKANDIVVTAYSPLGAKGTRWGSSLVMDNEVLNEIAKTRGKTVAQVCLRWLYEQGTSFVVKSYRKERLEENMNIFDWALLKDDHDKINQIPQQRLQPKEQLVSANGPYKSLEELWDGEI >Manes.04G032200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4115688:4118933:1 gene:Manes.04G032200.v8.1 transcript:Manes.04G032200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDIIKSNKKSGSSKTRGRGRASGPGPARRFTNRGANRAAPYTAPKAPETTWQHDMFADQGVGYPGLAGRSPAIETGTKLYISNLHFGVSNEDIKELFSEVGDLKRCSIHYDRSGRSKGTAEVVFSRRTDAVAAVKRYNNVQLDGKPMKIEMATNVATPAAPASSGTFVNSNGAPRGGQGRDGATGRPRGGSGRGFGRGRGRGRGRGEKVSAEDLDADLEKYHSEAMQTN >Manes.04G032200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4115705:4118933:1 gene:Manes.04G032200.v8.1 transcript:Manes.04G032200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDIIKSNKKSGSSKTRGRGRASGPGPARRFTNRGANRAAPYTAPKAPETTWQHDMFADQGVGYPGLAGRSPAIETGTKLYISNLHFGVSNEDIKELFSEVGDLKRCSIHYDRSGRSKGTAEVVFSRRTDAVAAVKRYNNVQLDGKPMKIEMATNVATPAAPASSGTFVNSNGAPRGGQGRDGATGRPRGGSGRGFGRGRGRGRGRGEKVSAEDLDADLEKYHSEAMQTN >Manes.04G032200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4115705:4118933:1 gene:Manes.04G032200.v8.1 transcript:Manes.04G032200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALDMSLDDIIKSNKKSGSSKTRGRGRASGPGPARRFTNRGANRAAPYTAPKAPETTWQHDMFADQGVGYPGLAGRSPAIETGTKLYISNLHFGVSNEDIKELFSEVGDLKRCSIHYDRSGRSKGTAEVVFSRRTDAVAAVKRYNNVQLDGKPMKIEMATNVATPAAPASSGTFVNSNGAPRGGQGRDGATGRPRGGSGRGFGRGRGRGRGRGEKVSAEDLDADLEKYHSEAMQTN >Manes.10G146600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31360759:31363151:-1 gene:Manes.10G146600.v8.1 transcript:Manes.10G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFLTAFTIFIILVTFPIVAVLYRPSFTNLPPGNVGLPYIGESLEFLSTGRKGHPEKFISDRMAKYSRQLFRTSILGEETAVVCGAQGNKFLFSNENKLVTAWWPNSVLKLFPSVTHSSIQTEGLKMRNMLPNFLKPEALQRYVGVMDAKAKKHFEDFWEGKNQVNVYPLAKFYTFAVACKVFLSMDDPEQVTKFAAPFNDMASGIISIPIDLPGTPFHRGIKASKIIRNQMLTMIKQRRKDLAENKASPMQDILSHMLIATDEDGLRLGDVGIADKIISLLIGGHDTASATITFVVKFLSELPEIYNNVLKEQMEILKSKASGEFLNWDDIQKMKYSWNVACEVMRLAPPLQGSFREALHDFNYAGFSIPKGWKLYWSAHTTHKNPEYFPQPEKFDPSRFEGSRAAVPYTFVPFGGGPRMCPGKEYARLEILVFIHNIVRRFKWEKIIPDEKIVVDPIPVPAKGLPVHLYPQKHQ >Manes.14G148700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:16791092:16791927:1 gene:Manes.14G148700.v8.1 transcript:Manes.14G148700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTESANETSAFAKTRIDWKETPEAHVFKADLPGIKKEEVKVEVKEGRVLQISGERSKEKEEKNEKWHRVERSSGKFLRRFRLPENAKVDEVKASMENGVLTVTVPKEDVKKPDVKAIEISG >Manes.13G094200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:26983593:26986007:1 gene:Manes.13G094200.v8.1 transcript:Manes.13G094200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP79D1 MAMNVSTTIGLLNATSFASSSSINTVKILFVTLFISIVSTIVKLQKSAANKEGSKKLPLPPGPTPWPLIGNIPEMIRYRPTFRWIHQLMKDMNTDICLIRFGRTNFVPISCPVLAREILKKNDAIFSNRPKTLSAKSMSGGYLTTIVVPYNDQWKKMRKILTSEIISPARHKWLHDKRAEEADNLVFYIHNQFKANKNVNLRTATRHYGGNVIRKMVFSKRYFGKGMPDGGPGPEEIEHIDAVFTALKYLYGFCISDFLPFLLGLDLDGQEKFVLDANKTIRDYQNPLIDERIQQWKSGERKEMEDLLDVFITLKDSDGNPLLTPDEIKNQIAEIMIATVDNPSNAIEWAMGEMLNQPEILKKATEELDRVVGKDRLVQESDIPNLDYVKACAREAFRLHPVAHFNVPHVAMEDTVIGDYFIPKGSWAVLSRYGLGRNPKTWSDPLKYDPERHMNEGEVVLTEHELRFVTFSTGRRGCVASLLGSCMTTMLLARMLQCFTWTPPANVSKIDLAETLDELTPATPISAFAKPRLAPHLYPTSP >Manes.15G025100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1951499:1953165:1 gene:Manes.15G025100.v8.1 transcript:Manes.15G025100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGFPPQPEQDGQPGKEYIMHPLPQSLNPDYKPSNKLLGKIALVTGGDSGIGRAVSYYFTLEGATVAFTYVKGQEDEDKDQTLKMICKLKVEGAKDPIAIPTDLSYEENCKRVVDEVIDVYGQIDILVNNAAQQYYTTNIEDVDENRLERLFRINVFSYFFLSRYALKYMKRGSCIINTASLVAYAGNSVLLDYSSTKGAIVAFTRSLSLQLIEKGIRVNAVAPGPVWTPLEAVSLPPHELAIFGSQVPMNRAAEPYEIAPAFVFLACNVCASYITGQVVHPNGGTIVNA >Manes.01G259100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41555694:41560524:-1 gene:Manes.01G259100.v8.1 transcript:Manes.01G259100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVSAHDLMPKDGQGSANAFVELHFDHQKFRTTTKEKDLSPVWNEHFYFNISDPNKLSNLTLEAYIYNHNKENNSKSFLGKVRLTGTSFVPYSDAVVLHYPVEKRSIFSRVKGELGLKVFVTDNPSIRSSNPLPAMDSSLFTETRSTQAQAPEQQIPNPVPQLFSSERSETRHTFHHLPNSSQPQPQTQSQPQPQPPVQQSVPASGPQQTMNYGIHEMRSPQAPRIVRMFSDSSSQPADYALKETNPFLGGGQIVGGRVIRGDRTASTYDLVEQMRCLFVRVVKARDLPTKDVTGSLDPYVEIKVGNYKGITKHFEKKQNPEWNEVFAFARDTIQSSVLEVVVKDKDLVKDDFVGIVRFDMNEIPTRVPPDSPLAPEWYRLEDKKGDKVKGELMLAVWYGTQADEAFPDAWHSDAVTPTDSSSAISAHIRSKVYHSPRLWYVRVNVIEAQDLVISEKNRFPDAYVKVQIGNQVLKTKMVQTRTMNLVWNEDLMFVAAEPFEDHLVLSVEDRIGPNKDETIGKVVIPLISVEKRADDRIIRSRWFNLEKSISAAMDEKQAKKDKYSSRIHLRVVLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGVLSADGLHPMKTRDGKGTSDTYCVAKYGHKWIRTRTIINSLSPKYNEQYTWEVYDTATVLTVGVFDNNHVGGSNGYRDTKIGKVRIRLSTLETGCVYTHSYPLLVLHPSGVKKMGELHLAIRFSYTSMVDMMFQYTRPLLPKMHYVRPLTVMQQDMLRHQAVNIVAARLSRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGEVCMWKNPITAVLVHLLFVMLVCFPELILPTVFLYMFLIGLWNYRFRPRYPPHMNTRISCADAVHPDELDEEFDTFPTTRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGERIQSLLSWRDPRATTIFVTLCLVAAVVLYSTPFQVLALVAGFYFMRHPRFRHRTPSAPINFFRRLPSRTDSML >Manes.01G259100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41555694:41560524:-1 gene:Manes.01G259100.v8.1 transcript:Manes.01G259100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVSAHDLMPKDGQGSANAFVELHFDHQKFRTTTKEKDLSPVWNEHFYFNISDPNKLSNLTLEAYIYNHNKENNSKSFLGKVRLTGTSFVPYSDAVVLHYPVEKRSIFSRVKGELGLKVFVTDNPSIRSSNPLPAMDSSLFTETRSTQAQAPEQQIPNPVPQLFSSERSETRHTFHHLPNSSQPQPQTQSQPQPQPPVQQSVPASGPQQTMNYGIHEMRSPQAPRIVRMFSDSSSQPADYALKETNPFLGGGQIVGGRVIRGDRTASTYDLVEQMRCLFVRVVKARDLPTKDVTGSLDPYVEIKVGNYKGITKHFEKKQNPEWNEVFAFARDTIQSSVLEVVVKDKDLVKDDFVGIVRFDMNEIPTRVPPDSPLAPEWYRLEDKKGDKVKGELMLAVWYGTQADEAFPDAWHSDAVTPTDSSSAISAHIRSKVYHSPRLWYVRVNVIEAQDLVISEKNRFPDAYVKVQIGNQVLKTKMVQTRTMNLVWNEDLMFVAAEPFEDHLVLSVEDRIGPNKDETIGKVVIPLISVEKRADDRIIRSRWFNLEKSISAAMDEKQAKKDKYSSRIHLRVVLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGVLSADGLHPMKTRDGKGTSDTYCVAKYGHKWIRTRTIINSLSPKYNEQYTWEVYDTATVLTVGVFDNNHVGGSNGYRDTKIGKVRIRLSTLETGCVYTHSYPLLVLHPSGVKKMGELHLAIRFSYTSMVDMMFQYTRPLLPKMHYVRPLTVMQQDMLRHQAVNIVAARLSRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGEVCMWKNPITAVLVHLLFVMLVCFPELILPTVFLYMFLIGLWNYRFRPRYPPHMNTRISCADAVHPDELDEEFDTFPTTRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGERIQSLLSWRDPRATTIFVTLCLVAAVVLYSTPFQVLALVAGFYFMRHPRFRHRTPSAPINFFRRLPSRTDSML >Manes.01G259100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41555694:41560524:-1 gene:Manes.01G259100.v8.1 transcript:Manes.01G259100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVSAHDLMPKDGQGSANAFVELHFDHQKFRTTTKEKDLSPVWNEHFYFNISDPNKLSNLTLEAYIYNHNKENNSKSFLGKVRLTGTSFVPYSDAVVLHYPVEKRSIFSRVKGELGLKVFVTDNPSIRSSNPLPAMDSSLFTETRSTQAQAPEQQIPNPVPQLFSSERSETRHTFHHLPNSSQPQPQTQSQPQPQPPVQQSVPASGPQQTMNYGIHEMRSPQAPRIVRMFSDSSSQPADYALKETNPFLGGGQIVGGRVIRGDRTASTYDLVEQMRCLFVRVVKARDLPTKDVTGSLDPYVEIKVGNYKGITKHFEKKQNPEWNEVFAFARDTIQSSVLEVVVKDKDLVKDDFVGIVRFDMNEIPTRVPPDSPLAPEWYRLEDKKGDKVKGELMLAVWYGTQADEAFPDAWHSDAVTPTDSSSAISAHIRSKVYHSPRLWYVRVNVIEAQDLVISEKNRFPDAYVKVQIGNQVLKTKMVQTRTMNLVWNEDLMFVAAEPFEDHLVLSVEDRIGPNKDETIGKVVIPLISVEKRADDRIIRSRWFNLEKSISAAMDEKQAKKDKYSSRIHLRVVLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGVLSADGLHPMKTRDGKGTSDTYCVAKYGHKWIRTRTIINSLSPKYNEQYTWEVYDTATVLTVGVFDNNHVGGSNGYRDTKIGKVRIRLSTLETGCVYTHSYPLLVLHPSGVKKMGELHLAIRFSYTSMVDMMFQYTRPLLPKMHYVRPLTVMQQDMLRHQAVNIVAARLSRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVGKWFGEVCMWKNPITAVLVHLLFVMLVCFPELILPTVFLYMFLIGLWNYRFRPRYPPHMNTRISCADAVHPDELDEEFDTFPTTRSAEIVRMRYDRLRSVAGRIQTVVGDVATQGACSCGWVLLYEASQV >Manes.15G182900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25162874:25168902:-1 gene:Manes.15G182900.v8.1 transcript:Manes.15G182900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCNGDVSLHPVNGLPPSHNLRLLKQVHDNVHGYIYIDPLCLKFMDTEQFQRLRELKQLGATHMVYPGAVHSRFEHSLGVYWLAGEAVERLKTYQGLELGIDHFDVQTVRLAGLLHDVGHGPFSHLFEREFLPRVINGAKWSHEQMSAKMVDHIVDEHHIDVDSEMMRRVKEMILAGSEFTQRKDSKEKRFLYDIVANGRNGIDVDKFDYIVRDCRACGLGCSFEFPRLMETMQVMDDEICYRAKDYLTIHKLFATRADLYRTVYTHPKVKAIELMIVDALLKANDYLQFSSYIQDPAEYWKLDDTIIKTIETAPDEQLRESRELILRIRRRNLYQFCNEYAVPRDKVENFKDVTAQDIVCSQKNGGVLLKEDDIAVSNVRIDLTRGKHNPLESINFFKDYESEEKFSIPDDRISHLLPTCYQDMIVRVYSKKPELVAAVSEAFENFQLRTYGIKAQVHATPEKKKRRT >Manes.15G182900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25161744:25168902:-1 gene:Manes.15G182900.v8.1 transcript:Manes.15G182900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCNGDVSLHPVNGLPPSHNLRLLKQVHDNVHGYIYIDPLCLKFMDTEQFQRLRELKQLGATHMVYPGAVHSRFEHSLGVYWLAGEAVERLKTYQGLELGIDHFDVQTVRLAGLLHDVGHGPFSHLFEREFLPRVINGAKWSHEQMSAKMVDHIVDEHHIDVDSEMMRRVKEMILAGSEFTQRKDSKEKRFLYDIVANGRNGIDVDKFDYIVRDCRACGLGCSFEFPRLMETMQVMDDEICYRAKDYLTIHKLFATRADLYRTVYTHPKVKAIELMIVDALLKANDYLQFSSYIQDPAEYWKLDDTIIKTIETAPDEQLRESRELILRIRRRNLYQFCNEYAVPRDKVENFKDVTAQDIVCSQKNGGVLLKEDDIAVSNVRIDLTRGKHNPLESINFFKDYESEEKFSIPDDRISHLLPTCYQDMIVRVYSKKPELVAAVSEAFENFQLRTYGIKAQVHATPEKKKRRT >Manes.15G182900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25162221:25168902:-1 gene:Manes.15G182900.v8.1 transcript:Manes.15G182900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCNGDVSLHPVNGLPPSHNLRLLKQVHDNVHGYIYIDPLCLKFMDTEQFQRLRELKQLGATHMVYPGAVHSRFEHSLGVYWLAGEAVERLKTYQGLELGIDHFDVQTVRLAGLLHDVGHGPFSHLFEREFLPRVINGAKWSHEQMSAKMVDHIVDEHHIDVDSEMMRRVKEMILAGSEFTQRKDSKEKRFLYDIVANGRNGIDVDKFDYIVRDCRACGLGCSFEFPRLMETMQVMDDEICYRAKDYLTIHKLFATRADLYRTVYTHPKVKAIELMIVDALLKANDYLQFSSYIQDPAEYWKLDDTIIKTIETAPDEQLRESRELILRIRRRNLYQFCNEYAVPRDKVENFKDVTAQDIVCSQKNGGVLLKEDDIAVSNVRIDLTRGKHNPLESINFFKDYESEEKFSIPDDRISHLLPTCYQDMIVRVYSKKPELVAAVSEAFENFQLRTYGIKAQVHATPEKKKRRT >Manes.16G119000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32252871:32256935:1 gene:Manes.16G119000.v8.1 transcript:Manes.16G119000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLQSFIDPRKNWFAKMHMKALSKRLRKYGLRYDDLYDPYYDLDIKEALNRLPREIVDARHQRLKRAMDLSMKHEYLPEDLQAMQTPFRSYLQDMLALVKKERAEREALGALPLYQRTIP >Manes.16G119000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32252699:32256456:1 gene:Manes.16G119000.v8.1 transcript:Manes.16G119000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLQSFIDPRKNWFAKMHMKALSKRLRKYGLRYDDLYDPYYDLDIKEALNRLPREIVDARHQRLKRAMDLSMKHEYLPEDLQAMQTPFRSYLQDMLALVKKERAEREALGALPLYQRTIP >Manes.04G018600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2233410:2238316:1 gene:Manes.04G018600.v8.1 transcript:Manes.04G018600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLMFYKQSFCVFRTGAVNAAAGSAYAEFGNTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDIPVVISCASLALADAGIMMYDLVAGVSVSCLGTNLVIDPILEEESCQDGNLMMTCMPSRYEVTQLTVTGEWSSAKMNEAMQLCLDACSKLATIMRSCLKEAASATQD >Manes.04G018600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2233410:2238316:1 gene:Manes.04G018600.v8.1 transcript:Manes.04G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGSAPTTYTPTPTRKSRPPIFKDSDVDWVRPDGRGFHQCRPAFFRTGAVNAAAGSAYAEFGNTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATPVRGQGSDHKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDIPVVISCASLALADAGIMMYDLVAGVSVSCLGTNLVIDPILEEESCQDGNLMMTCMPSRYEVTQLTVTGEWSSAKMNEAMQLCLDACSKLATIMRSCLKEAASATQD >Manes.03G082400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14483301:14486979:1 gene:Manes.03G082400.v8.1 transcript:Manes.03G082400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAYSVPSLVTSSLYKTNGIVFHEIVVQILQLFSSSLFSEMLKTKPSSSLFSRYLAFAEPNVLHHSLTEKLLYLLKQCLSMKSLLQIHTQMIINSIPKPNFLLSKIIEFKDFNYASLLFAQIPYPNDYAFNIMIRGLTTIWKNHSLSIQLYYQMKLLGLKPNNFTYPFVFISCANLLALKHGQIAHSMVFKVGLDNDGHVNHSLITMYSKCSELGYAWKVFDEICDKDLVSWNSMISGYSRMGFAQEAVRLFMEMRKQGLEPDEMTLVSVLGACGDVGNLGLGKWVEELVAAKKIALNSYVGSALIDMYGKCGDLISARRVFDAMEKKDVITWNAMITGYSQNGASDGAITLFNYMRELGIKPDKVTLIVVLSACASIGALDLGKWIETYASQRGLQYDVYVASALIDFYAKCGSLDNALRVFEDMPHRNEVSWNAMISALAFHGKAHEALSLFRHMINVGTAQPNDITFVGVLSACVHAGLVDEGRQLFDSMNSSFGLVPKIEHYSCMVDLLARAGHLYEAWDFIERMPRKPDEIMLGALLGACQKRRNVEVGERVIKLLLEIEPSNSGNYIISSKIYANLRRWNDSAKMRVLMRRQGVTKTPGCSWIEIGGQVHEFHAGDDLDHHSIEIYGLLNEEMKREGYSPKVDCT >Manes.03G082400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:14483203:14487038:1 gene:Manes.03G082400.v8.1 transcript:Manes.03G082400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAYSVPSLVTSSLYKTNGIVFHEIVVQILQLFSSSLFSEMLKTKPSSSLFSRYLAFAEPNVLHHSLTEKLLYLLKQCLSMKSLLQIHTQMIINSIPKPNFLLSKIIEFKDFNYASLLFAQIPYPNDYAFNIMIRGLTTIWKNHSLSIQLYYQMKLLGLKPNNFTYPFVFISCANLLALKHGQIAHSMVFKVGLDNDGHVNHSLITMYSKCSELGYAWKVFDEICDKDLVSWNSMISGYSRMGFAQEAVRLFMEMRKQGLEPDEMTLVSVLGACGDVGNLGLGKWVEELVAAKKIALNSYVGSALIDMYGKCGDLISARRVFDAMEKKDVITWNAMITGYSQNGASDGAITLFNYMRELGIKPDKVTLIVVLSACASIGALDLGKWIETYASQRGLQYDVYVASALIDFYAKCGSLDNALRVFEDMPHRNEVSWNAMISALAFHGKAHEALSLFRHMINVGTAQPNDITFVGVLSACVHAGLVDEGRQLFDSMNSSFGLVPKIEHYSCMVDLLARAGHLYEAWDFIERMPRKPDEIMLGALLGACQKRRNVEVGERVIKLLLEIEPSNSGNYIISSKIYANLRRWNDSAKMRVLMRRQGVTKTPGCSWIEIGGQVHEFHAGDDLDHHSIEIYGLLNEEMKREGYSPKVDCT >Manes.17G019100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:10009905:10012763:1 gene:Manes.17G019100.v8.1 transcript:Manes.17G019100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRFQEAKTGFECLKSVNYDKYLRKVGLGKEDWYFWKQVGKALLCTYTLFGAAWLYNETSPLGWWTLKPRPKEERELAHLYERREFPYPGDTEAMEEFIAKGGMIGTTIGPKGIVETDKDAYNYQKELQNKKFEQEALKLWVRMRNEVIQELQGKGYDVE >Manes.14G147100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16331175:16332130:-1 gene:Manes.14G147100.v8.1 transcript:Manes.14G147100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIRVAMKAVIVVSMLGWLASIAYAAEGTATFYTPPYVPSSCYGFEDKGVMIAAASDAIWDNRAACGRRYSVRCTGATNQVPQPCRGGSVIVTVVDYCPPGCRGTIDLSQEAFSQIADPNAGNIKIEYTQV >Manes.03G016100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1385659:1388833:-1 gene:Manes.03G016100.v8.1 transcript:Manes.03G016100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTMLPLSYSHRNRIPVCIVNLNLGKSVHGSVIKFGFDNDVHVQNTMVHMYCCGREGIEFARKVFDEMRKMDSVSWSAMIGGYARLGRSSDAVSLFRQMQIAGVCPDEITMVSVLSACTDLGALELGKWVESYIEKERVQKTVELCNALIDMFAKCGNVDKAIKFFRSMEERTIVSWTSVIVGLAMHGRGIEAVALFEEMIGSGMEPDDVVFIGLLSACSHSGLVDRGRDYFDMMGKNFGIVPKIEHYGCMVDMLCRAGLISEALEFVQQMPIEPNPVVWRTLINACRAHGELKLGEKITRQLIRSEPMHESNYVLLSNIYAKMFNWEKKMRIRELMDMKGMRKVPGSTMIELGNEIYEFVAGDKSHNQYSEIYEMVDEMGREMKQAGYVPTTSEVLLDIDNEDKEDTLNTHSEKLAIAFALLNTPPGTPIRIVKNLRVCSDCHTATKFISKIYNREIIMRDRNRFHHFKDGMCSCRDFW >Manes.03G016100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1385659:1388833:-1 gene:Manes.03G016100.v8.1 transcript:Manes.03G016100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTMLPLSYSHRNRIPVCMIPFSSIPSSELMLKLIIRRTKLFVCIISCFIIMFCLISSRILLCSKHVQNTMVHMYCCGREGIEFARKVFDEMRKMDSVSWSAMIGGYARLGRSSDAVSLFRQMQIAGVCPDEITMVSVLSACTDLGALELGKWVESYIEKERVQKTVELCNALIDMFAKCGNVDKAIKFFRSMEERTIVSWTSVIVGLAMHGRGIEAVALFEEMIGSGMEPDDVVFIGLLSACSHSGLVDRGRDYFDMMGKNFGIVPKIEHYGCMVDMLCRAGLISEALEFVQQMPIEPNPVVWRTLINACRAHGELKLGEKITRQLIRSEPMHESNYVLLSNIYAKMFNWEKKMRIRELMDMKGMRKVPGSTMIELGNEIYEFVAGDKSHNQYSEIYEMVDEMGREMKQAGYVPTTSEVLLDIDNEDKEDTLNTHSEKLAIAFALLNTPPGTPIRIVKNLRVCSDCHTATKFISKIYNREIIMRDRNRFHHFKDGMCSCRDFW >Manes.03G016100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1385659:1388833:-1 gene:Manes.03G016100.v8.1 transcript:Manes.03G016100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTMLPLSYSHRNRIPVCIVNLNLGKSVHGSVIKFGFDNDVHVQNTMVHMYCCGREGIEFARKVFDEMRKMDSVSWSAMIGGYARLGRSSDAVSLFRQMQIAGVCPDEITMVSVLSACTDLGALELGKWVESYIEKERVQKTVELCNALIDMFAKCGNVDKAIKFFRSMEERTIVSWTSVIVGLAMHGRGIEAVALFEEMIGSGMEPDDVVFIGLLSACSHSGLVDRGRDYFDMMGKNFGIVPKIEHYGCMVDMLCRAGLISEALEFVQQMPIEPNPVVWRTLINACRAHGELKLGEKITRQLIRSEPMHESNYVLLSNIYAKMFNWEKKMRIRELMDMKGMRKVPGSTMIELGNEIYEFVAGDKSHNQYSEIYEMVDEMGREMKQAGYVPTTSEVLLDIDNEDKEDTLNTHSEKLAIAFALLNTPPGTPIRIVKNLRVCSDCHTATKFISKIYNREIIMRDRNRFHHFKDGMCSCRDFW >Manes.14G157000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28559300:28562169:1 gene:Manes.14G157000.v8.1 transcript:Manes.14G157000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRITSKGKEDEEAEAEAEAEAEALKKRISSHPLYGLLVQTHMDCLKVGSVDDADQSHGNMKQKLAAGTVPSSSLLTQPELDHFMEAYCLALSKLKEAMEEPQHETVAFIKTMHMQLRDLTTNYPQSPDDPSTTSSDLRRKHE >Manes.14G157000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28559300:28562169:1 gene:Manes.14G157000.v8.1 transcript:Manes.14G157000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRITSKGKEDEEAEAEAEAEAEALKKRISSHPLYGLLVQTHMDCLKVGSVDDADQSHGNMKQKLAAGTVPSSSLLTQPELDHFMGMQEAYCLALSKLKEAMEEPQHETVAFIKTMHMQLRDLTTNYPQSPDDPSTTSSDLRRKHE >Manes.10G113400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26391855:26392858:1 gene:Manes.10G113400.v8.1 transcript:Manes.10G113400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEVKVFRTWSSPFALRVIWALKLKGVEFDTVYEDLSNKSPLLLKYNPVHKKVPVLLHNGKPICESLVILEYVDETWPQVPLLPQDPHERAMARFWAKFGDDKVLPSIVYGILFKQGKEQEEEIPKAMENLQFLEEELKGKKFFGGEEIGLVDLALGWLAYELGIFEEVMGLKLIDQQKFPQLAQWIQEFSNVPIIQENWPPRDKLIAKFAACREAALAKAGL >Manes.13G063232.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7391615:7394696:-1 gene:Manes.13G063232.v8.1 transcript:Manes.13G063232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGHLPYLRTLGLASCNLTQFPNFLQNQHGWEYLDLSSNSLQAQIPSWMCSISTNFMDFLNLSHNLLTGFEQNPIIFQWARIQTLDLRSNQLQGSLPLPPPSTISYLISHNQLTGELSPLICNLYSLDVLDLSFNNLSRQLPHCLSNFSDLSVLDLRRNNFHGIIPAAWRDDCKLRMISISYNQLQGRVPKSLANCSSLHLENGCDNKITDTFPSWLGNLAELRILILRSNHFYGVIDQKPKTKGFLSLRIIDLSGNGFVGKLPSVYLDMWETMKTIQANHMTYMGENLRPNFTDVDTYYGEYDYSMTMFNKGVKLEYEKIQDIFLAIDSSNNRFEGKIPEIIGNLKGLNLLNLSNNLLKGHIPPSLACLSSLEGLDLSKNKLSGKIPPELAQLTFLAFFNVSYNKLEGPIPQGK >Manes.02G124800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:9484681:9485523:-1 gene:Manes.02G124800.v8.1 transcript:Manes.02G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIPSFFGNRRSSIFDPFNSFDLWDPFKDFPFPSSSSIVSHENTAFVNTRIDWKETPEAHIFKADLPGLKKEEVKVEVEDDRVLQISGERNVEKEEKNDTWHRVERSSGKFLRRFRLPENAKMDQVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Manes.06G098200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23223431:23229175:-1 gene:Manes.06G098200.v8.1 transcript:Manes.06G098200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRKSETRLDKFDLFLSLSRQRSIQILIGVGFLYILLVTIEIPFVFNTGFSAVSQETLARPFTLQSEEDLLDKGAPTRPRHLVSHNSAQPTQSQSTNRTLRLLSSLNFDPTTFDPTKKDGSIELHKTAKTAWEVGRKFWEEIDSGKVQVSDVKTPKNLSESCLHSVSLPGSDFLKRGKVVELPCGLTLGSHVTVVGMPRWAHAEKDQKISLVKDGGEPVMVSQFMIELQGLKIVEGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGTALRCEGWKSKADEETVDDQVKCEKWIRDDDNHSEESKATWWLSRLIGRTKKVSVDWPFPFVEGKLFVLTLSAGLEGYHVNVDGRHIASFPYHTGYTPEDATGLTVNGDIDVHFLFAASLPTTHPSFAPQRHLEMTDRWRAPPLPEGSAELFIGILSAGNHFAERMAARKSWMQHRIIKSSTAVARFFVALHARKDVNLELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVHAKYIMKGDDDTFVRVDAVLDEARKVPEGRSFYIGNINYYHKPLRYGKWAVTYEEWPEEEYPPYANGPGYIVSSDIAWFIVSEFERHKLRLFKMEDVSMGMWVEQFNSSKPVEYVHSLKYCQFGCIEGYFTAHYQSPRQIICLWDKLQKLGKPQCCNMR >Manes.02G167801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13190109:13191641:1 gene:Manes.02G167801.v8.1 transcript:Manes.02G167801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLITLPLSTHSLNLNNIDSPVNFPSRSLLAFLFPQGVYSIMFEAFDVDDQPIPFRLSIRRVGAYPKPVLCQALWRRYVAQKNLQLNDRVFFSLEINRVTGLVRYRVMALRRMFTLFGQDIWVNVEKLHH >Manes.18G054300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4611081:4612828:1 gene:Manes.18G054300.v8.1 transcript:Manes.18G054300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPKPSSPSSFLCPKTTCFAKSNKTMDLCNDDEEELHRWPTLSEVLEEIKAIGKISGPTVLTGLILYSRAMISMLFLGYLGELELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQWKLLGLTLQRTVLLLLSTSVPISFMWLNMKRILLWCGQDQEISSVAHTFILFSIPDLFFLSLLHPLRIYLRTQSITLPLTYCSAISVLLHVPLNFLLVVHFKMGIAGVAIAMVWTNLNVFIFLFSFVYFSGVYKDSWVSPSMDCLRGWSSLLSLAVPTCVSVCLEWWWYEFMIMLCGLLVNPRSTIASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGANRPAKARISMIVSLVCAFVLGLLAMLFTSLMRHQWGRFFTSDAEILELTAVALPIAGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAILMGFVAKMGFAGLWLGLLAAQASCAILMLYVLCRTDWMAQAERAKELTKTSSATSNNTSILPISSSSPPSNPENKIKPQLEEILSIDHELVKSSSLETDPLLSTKRTVH >Manes.17G048000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24497438:24497956:1 gene:Manes.17G048000.v8.1 transcript:Manes.17G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLQNQFSVDIAADSSSCDSLSFAGLLSIQDLRSMSSQTDAVIQIKRQEQEFEFDHITPDSAAQAPSKTCPANISVSRGQLLLQEFLYQSKQVKHKPGSKGATRSNNKRLIDGNNNNTKVSDNQNHKAVSNLSLGQILQSFVSPCKECHAHKPSIKAHTVPQKNCQVTLD >Manes.14G085000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7030479:7034487:1 gene:Manes.14G085000.v8.1 transcript:Manes.14G085000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEAIAYATSPSLGPKIPDSSRIDAQADHTFPAIGGRKCEGPWYVIVLVLPAIIFVVYLGINAKKNLRKLWNGRSYIMISYYALLWLASSLNLAWCCLQAWQCSPGKEISWNFLSLFTGSAMLCLEISLIAFLLQNSYASGLETLTRNFAVSGIIIGVDILFKGIYVFGFGVPLFIDGDDSTQRMKWGLWIIHKLLLTAVYGYILFVHFSKWRERLPPRPAFHNYIIVMFVTSAASLFACVLAALGASFAIWLYDFMVVCYHSLYLPFLYLTFLADFFQEEDFLLDNAYYSEMKDAGFFDADWD >Manes.03G165350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29173554:29174093:1 gene:Manes.03G165350.v8.1 transcript:Manes.03G165350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGVWNCQGAASSNFLRAFKEYNRIYKPQIFCLVEPRISGHSADNVCKQLGYDNWVRVETFGFSGGIWILWSEIFFKLTLVSTDPQFITCNVLLDNGDSWLVSFVYVSPDISLRRRLWHSVLGFNGSEKSWLLLGDFNSFTSENEQTGYVNVHSIGASDFRQWILLIYLISLLIKQLI >Manes.18G100400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:9328914:9330148:1 gene:Manes.18G100400.v8.1 transcript:Manes.18G100400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDYFSFPTTTDTTSSAIDTPPLWRLSPAASPDFCHGTTKTEEEEEEEEDSDQDEDYQDCLPTHQPIKYSTQIKSCSWAERGGGAKLDDENEDKEEKMDMLWEDFNEELSIKRSPSSLRFDSDIHMVKMGCIHQIQSLRSSTKTTSTAMVSPKKPPAAAASLVVFIKVLKKLFLLHNSHTHLSVHRNHRSPIKRAENQSW >Manes.15G181280.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:24035088:24035975:1 gene:Manes.15G181280.v8.1 transcript:Manes.15G181280.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEFEMSMMGELKFFLGLQIKQAKDSIFINQAKYTKELIKRFGMENNKPSRTPMSTNTKLHKDEKGKLIDEKFYRSMIRSLSYLIASRSDIMFSICLCARFQSCPKEPHLYVVKRILKYLNGTLHLGLWYPRNTSFSLCSYSDADFVGSIIDRKSILGTCQLLVQSLVSWSEYVAVGLCYSQILWTKQQLRDFEVSLDHILIKCDNTSEINLTKNPIQHSRTKHIDIRHYFIRDHVLNGDIVLEFMDTNNQLTDIFTKHLNEEIFNFIKRELGMLDGVIC >Manes.14G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13966944:13974677:-1 gene:Manes.14G113100.v8.1 transcript:Manes.14G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLKWDFGFLHVFLAIFFFFSIDFFVGTSVIASSLTDPVAQRELDRVLELPGLNFNISFQHYSGYVTVNEKSGRALFYWFIEAVEDPDSKPLVLWLNGGPGCSSIGYGEAEEIGPFHIRPDGKTLYLNPHSWNQVANILFIDSPVGVGYSYSNTSSDLHNNGDRRTAADSLAFLLKWFERFPQYKGRDFYITGESYAGHYVPQLSQAIVRYNQATKNKAINLRGYMVGNALTDDYHDHLGIFEFMWAAGLISDQTYEQLNLLCDFQSFIHSSESCDQILDVASEELGVIDPYSIYTPPCNSNVSRSNKLLKRIHKIGDVSEKYDPCTEAHAVVYFNRPEVQKALHVSPVFASSNWESCSDIVSSNWKDSPRTVLDVYHELIRAGLRIWMFSGDTDAIIPVTSTRYSINALKLPTIKPWHAWYDDGQVGGWSEEYAGLTFVVVRGAGHEVALHRPKLALTLIKAFLSGTSMPTFELIDAS >Manes.16G035000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4257897:4265630:-1 gene:Manes.16G035000.v8.1 transcript:Manes.16G035000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEAVFMEKKSKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAVDVKPGMVILCADGTISFTVLSCDIQAGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILKWGIPNHIDMIALSFVRKGSDLVEVRKVLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVSKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSAKASHEETTEEALDFAIQHAKNKGLCKIGDSVVALHRVGTASVIKILTVK >Manes.16G035000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4257894:4261661:-1 gene:Manes.16G035000.v8.1 transcript:Manes.16G035000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEAVFMEKKSKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAVDVKPGMVILCADGTISFTVLSCDIQAGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILKWGIPNHIDMIALSFVRKGSDLVEVRKVLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVSKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSAKASHEETTEEALDFAIQHAKNKGLCKIGDSVVALHRVGTASVIKILTVK >Manes.16G035000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4257894:4261434:-1 gene:Manes.16G035000.v8.1 transcript:Manes.16G035000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEAVFMEKKSKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAVDVKPGMVILCADGTISFTVLSCDIQAGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILKWGIPNHIDMIALSFVRKGSDLVEVRKVLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVSKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSAKASHEETTEEALDFAIQHAKNKGLCKIGDSVVALHRVGTASVIKILTVK >Manes.16G035000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4257946:4265482:-1 gene:Manes.16G035000.v8.1 transcript:Manes.16G035000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEAVFMEKKSKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAVDVKPGMVILCADGTISFTVLSCDIQAGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILKWGIPNHIDMIALSFVRKGSDLVEVRKVLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVSKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSAKASHEETTEEALDFAIQHAKNKGLCKIGDSVVALHRVGTASVIKILTVK >Manes.16G035000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4257944:4265482:-1 gene:Manes.16G035000.v8.1 transcript:Manes.16G035000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEAVFMEKKPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTVTVEMNGEPEAVFMEKKSKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAVDVKPGMVILCADGTISFTVLSCDIQAGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILKWGIPNHIDMIALSFVRKGSDLVEVRKVLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVSKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSAKASHEETTEEALDFAIQHAKNKGLCKIGDSVVALHRVGTASVIKILTVK >Manes.16G035000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4257897:4261577:-1 gene:Manes.16G035000.v8.1 transcript:Manes.16G035000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEAVFMEKKSKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAVDVKPGMVILCADGTISFTVLSCDIQAGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILKWGIPNHIDMIALSFVRKGSDLVEVRKVLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVSKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSAKASHEETTEEALDFAIQHAKNKGLCKIGDSVVALHRVGTASVIKILTVK >Manes.16G035000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4257894:4261647:-1 gene:Manes.16G035000.v8.1 transcript:Manes.16G035000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEAVFMEKKSKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAVDVKPGMVILCADGTISFTVLSCDIQAGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILKWGIPNHIDMIALSFVRKGSDLVEVRKVLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVSKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSAKASHEETTEEALDFAIQHAKNKGLCKIGDSVVALHRVGTASVIKILTVK >Manes.16G035000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4257944:4265482:-1 gene:Manes.16G035000.v8.1 transcript:Manes.16G035000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEPEAVFMEKKPKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTVTVEMNGEPEAVFMEKKSKTKIVCTLGPASRSVPMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYSIKGDENMICMSYKKLAVDVKPGMVILCADGTISFTVLSCDIQAGLVRCRCENSAVLGERKNVNLPGVVVDLPTLTEKDKEDILKWGIPNHIDMIALSFVRKGSDLVEVRKVLGEHAKDILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQHSPVPMSPLESLASSAVRTANSAKASLILVLTRGGSTAKLVSKYRPGMPILSVVVPEIKTDSFDWSCSNEAPARHSLIFRGLVPVLYAGSAKASHEETTEEALDFAIQHAKNKGLCKIGDSVVALHRVGTASVIKILTVK >Manes.11G091100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:13458175:13460727:1 gene:Manes.11G091100.v8.1 transcript:Manes.11G091100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKKHFFNLFLSSSLWSSSMAVLLALVYGFLVVASAEPASFTPADQILISCGSKSLSAIPDGRVFKTDHEAQSFLQTDENILVSVPSADVPSPIYLSARIFVDEATYAFTMKSPGWHWVRLHFFPFKNTQFDLQAATFSVVTDKYVLLHNFNVNGETKPFLKEYIINVTDPMFSLHFQPLKNSAAFINSIEIVSAPDVLLPDEGTGLSPVSSFPGLTSYSYQVVYRINVGGPLITSANDTLFRTWENDNKYLKDSALAKAVSIPTSSIKYGEGISPIIAPATVYASAQQMADSETKLANFNVTWKFEVDTSFNYLIRLHFCDIVSKSLNDLYFNVYINGKMAISGLDLSSITGQLAAPYFKDIVVNSTLMSDGLNVQVGPMGEDTGTINAILNGLEILKMSSSVDSLDGEFGVDGRMALASRSTVAAVGFAMMFGAFVGLGAMVLKWHKRPQDWQKRNSFSSWLLPIHAGDSSFMASKTNYSSSLGLGRYFSFSELQEATKNFDRSAIIGVGGFGNVYLGVIDEGTKVAVKRGNPQSEQGITEFHTEIQMLSKLRHRHLVSLIGYCDENEEMILVYEYMSNGPFRDHLYGKNLPPLSWKKRLEISIGSARGLHYLHTGTAQGIIHRDVKTTNILLDDAFVAKVADFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEVLCARPAINPQLPREQVNLAEWAMQWKRKGLLEKIIDPILVGTINPESMKKFAEAAEKCLADNGVDRPSMGDVLWNLEYALQLQEAFSQGKTEEENKSSTGSAASPVEAAPPPTSDDRPVYVPDENRNTAEVRAIDEHSGTAMFTQFAGLNGR >Manes.04G113200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31696587:31701630:-1 gene:Manes.04G113200.v8.1 transcript:Manes.04G113200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEEVISVELPAPPAWKKMYLPKRAGTPRKSEIMFIAPTGEEINNRKQLEQYLKSHPGNPAISEFDWGTGETPRRSVRISEKAKATPTPDKEPPKKRGRKSSGSKKDGKEIESTPEKGEGEKEVQMQDAEEAGKENKEAGKENDVAKENQVQEGDKGESAQTKDVDTKIEEATQEEEADKVTKIQEDAGEGKETKNDKEGVENTQHVTEVQEHENAEAVLKNRPAEEVGSGEGSGKESQTEVEKETVSGDKKDIKEANEGAEKENANGTVPASEGGVKEKPDIQENDAKHSIAVDGKINTTDGEVKENGKDSQTGQNDAPQNAAPPVSC >Manes.05G105800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10001443:10014379:-1 gene:Manes.05G105800.v8.1 transcript:Manes.05G105800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNSSSASDSSVPPAERGPPPPPSDKQKEKARVSRTSMILWHAHQNDAAAVRKLLVEDPSLVHARDYDNRTPLHVASLHGWIDVAKCLIEFGADVNAQDRWKNTPLADAEGAKKHGMVELLKSHGGLSYGQSGSHFEPKPVPPPQPNKCDWEVDPTELDFSNSAIIGKGSFGEILKAHWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDKKPLMLITEYLRGGDLHQYLKEKGALSPSTAINFALDIARGMTYLHNEPNVIIHRDLKPRNVLLVNTNADHLKVGDFGLSKIIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPLANYEPYEAAKFVAEGHRPIFRAKGFTPELRELTDQCWAADMNRRPTFLDILKRLEKIKETLPADHHWSIFNA >Manes.12G102200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25281014:25283824:-1 gene:Manes.12G102200.v8.1 transcript:Manes.12G102200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADHNNNLKPGKKITVLSIDGGGIRGIIPGIILASLESKLQDLDGPDARIAYYFDILAGTSTGGLLTTMLTAPNKDKRPMFQAKDMENFYLEHCPKIFPQKSRNNFIDSITNFFGAVLGPKYNGKYLHELTNQLLGDLTIKETLANVIIPAFDIKLLQPVIFSTDDAKVDALKNARLADICISTSAAPTFFPPHSFTTKDDQRTRTFELIDGGVAASNPTSLAISHIRKEILMENPLFSDAKLTESKSMLVLSLGTGTSKFEEKYRAATASKWGLINWVFDNGKTPLLDIFSDASSDLVDFHVSTLFQSLLHSKDYYLRIQVDNLTGDESSVDIATKENLQRLVDIGNELLEKPVSRVNLDNGRFETIPGAPTNEAALAEFARLLSEERKLRQININ >Manes.12G102200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25281031:25283824:-1 gene:Manes.12G102200.v8.1 transcript:Manes.12G102200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAPNKDKRPMFQAKDMENFYLEHCPKIFPQKSRNNFIDSITNFFGAVLGPKYNGKYLHELTNQLLGDLTIKETLANVIIPAFDIKLLQPVIFSTDDAKVDALKNARLADICISTSAAPTFFPPHSFTTKDDQRTRTFELIDGGVAASNPTSLAISHIRKEILMENPLFSDAKLTESKSMLVLSLGTGTSKFEEKYRAATASKWGLINWVFDNGKTPLLDIFSDASSDLVDFHVSTLFQSLLHSKDYYLRIQVDNLTGDESSVDIATKENLQRLVDIGNELLEKPVSRVNLDNGRFETIPGAPTNEAALAEFARLLSEERKLRQININ >Manes.12G102200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:25281074:25283824:-1 gene:Manes.12G102200.v8.1 transcript:Manes.12G102200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAPNKDKRPMFQAKDMENFYLEHCPKIFPQKSRNNFIDSITNFFGAVLGPKYNGKYLHELTNQLLGDLTIKETLANVIIPAFDIKLLQPVIFSTDDAKVDALKNARLADICISTSAAPTFFPPHSFTTKDDQRTRTFELIDGGVAASNPTSLAISHIRKEILMENPLFSDAKLTESKSMLVLSLGTGTSKFEEKYRAATASKWGLINWVFDNGKTPLLDIFSDASSDLVDFHVSTLFQSLLHSKDYYLRIQVDNLTGDESSVDIATKENLQRLVDIGNELLEKPVSRVNLDNGRFETIPGAPTNEAALAEFARLLSEERKLRQININ >Manes.10G142900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31128909:31134030:1 gene:Manes.10G142900.v8.1 transcript:Manes.10G142900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPDRSSKSSAPSPSTVEITANTKDMNHLTVETGDSFCNLLELAANNDVEGFKQLIERDASSTNEVGYWYGRQKGSKNVVLDHRTPLMVAATYGSIDVIKFLLTDTDADVNLSCGMDKTTALHCAASGGSLNAVEVVKLLLSAGAYVNCLDANGYRPVDVIVVPPKLHSMRDALKELLSNSISNGSLGERNCNGSVGENTSNGSVGECNLRVSISTSNTSSPTLSSSPENGSMSSPVLGSSPMSSKYNDLPINTSEKKEYPIDPSLPDIKNSIYATDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTCCNRRVCFFAHTPEELRPLYVSTGSAVPSPRSSASAASVMDMAAALSLLPASPSSVSAMSPTPFNQPMSPTASISHSSAAWPQPNIPTLHLPLSNLQSSRLRSSLSARDIPPEDLNLLPDFDAQQQILNDLTCFSLSRSNSVSLNHSGRSKALTPSNLEELFSAEISSPRYADQAAAVFSPSHKSAVFNQFQQQQSMLSPINTSVFSPKNVEHPLLQASFGVGSPGRMSPRSVEPISPMGSRLSAFAQREKQNQQLRSLSSRDLGSNNPAVSIVGSTVNSWTKWGSPNGKLDWSVSGRNDLGQLRRSSSFELANNGEEPDLSWVQSLVKESPPEMIKEKYGVPVSGAASTGEGLNPSSQIDSVLESWLEQMQLDQQQQLVV >Manes.10G142900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31129032:31133954:1 gene:Manes.10G142900.v8.1 transcript:Manes.10G142900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGPDRSSKSSAPSPSTVEITANTKDMNHLTVETGDSFCNLLELAANNDVEGFKQLIERDASSTNEVGYWYGRQKGSKNVVLDHRTPLMVAATYGSIDVIKFLLTDTDADVNLSCGMDKTTALHCAASGGSLNAVEVVKLLLSAGAYVNCLDANGYRPVDVIVVPPKLHSMRDALKELLSNSISNGSLGERNCNGSVGENTSNGSVGECNLRVSISTSNTSSPTLSSSPENGSMSSPVLGSSPMSSKYNDLPINTSEKKEYPIDPSLPDIKNSIYATDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTCCNRRVCFFAHTPEELRPLYVSTGSAVPSPRSSASAASVMDMAAALSLLPASPSSVSAMSPTPFNQPMSPTASISHSSAAWPQPNIPTLHLPLSNLQSSRLRSSLSARDIPPEDLNLLPDFDAQQQILNDLTCFSLSRSNSVSLNHSGRSKALTPSNLEELFSAEISSPRYADQAAAVFSPSHKSAVFNQFQQQQSMLSPINTSVFSPKNVEHPLLQASFGVGSPGRMSPRSVEPISPMGSRLSAFAQREKQNQQLRSLSSRDLGSNNPAVSIVGSTVNSWTKWGSPNGKLDWSVSGRNDLGQLRRSSSFELANNGEEPDLSWVQSLVKESPPEMIKEKYGVPVSGAASTGEGLNPSSQIDSVLESWLEQMQLDQQQQLVV >Manes.15G054400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4170107:4174259:-1 gene:Manes.15G054400.v8.1 transcript:Manes.15G054400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKETPPFPLLSYSYKSSDTYNYSMGLVSVPLIALCFHVLLSSILVRGDIQTTLQGPFKPVTVPLDKSFRGNAVDLPDTDPCVQRRVQGFEPEQISVSLSATHDSVWISWITGQYQIGDNIKPLNPRTVASVVRYGRSRFVLTHQATGYSLVYNQLYPFEGLQNYSSGIIHHVRLTGLKPQTKYYYQCGDPSISARSNVYHFRTMPVSGPKSYPSRIAIAGDLGLTYNTTSTVEHMIRNHPDLFLLIGDVTYANLYLTNGTGSDCYSCSFSQTPIHETYQPRWDYWGRYMQPVISKVPIMVVEGNHEIEQQAENQTFVAYSSRFAFPLKESGSPSTFYYSFNAGGIHFIMLGAYIFYNKSADQYKWLERDLAKVDREVTPWLIATWHPPWYSTYKAHYREAECMRVEMEELLYKYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAITHADEPGNCPDPSTTPDNYMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGILEVKNETHALWTWHRNQDIYGTAGDQIYIVRQPEKCPVELKVFS >Manes.03G061900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6732469:6734873:-1 gene:Manes.03G061900.v8.1 transcript:Manes.03G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSWYILGAVHLLLLWGLNPITVLAMPPPPPVRCNDSGCTLYNSYGVWNDRKPCNVANVSYPSSEEELRLAVAYANRNKLKVKVVSRFSHTIPKFACPGSGNERSMLISTLNYKSGIEIDMANLAVTSDAGVGLRELIDKVEEAGLSLVAAPYWEGVSVGGLISTGAHGSSWWGKGGAVHDHVIGLSLIVPAKESEGYAKIIRIGAQDPLLKAAKVSLGMLGVISKVKLSLEPAFKRSITYNFTDDAHIEDMIIDHGKKYEFGDITWYPSRKTAVYRYDFRVPVNLSGDGAFDFLGFQSNSILVSKSTRAAEKSLEKARDVSGKCLLAISFVGFKKLVANGLKNSLIFTGYPVVGHQGKMQTSGSCLYSPEARIDTSCAWDPRINGLFFYETTAIFPASKFVDFIKDVKKLRDLKPENFCGVDIYNGFLIRFIKASQAYLGQSEDSVVLDFNYYRADDPSTPRLNQDIWEEVEQMAFFKYGAKPHWAKNRNLAFLDVQSKNPNLSKFLAAKKLLDPENMFSSEWSDEILMSGKEAVKGDGCALEGQCICSEDKHCSPENGYFCRTGLVYKEARVCRYSLSSTT >Manes.09G004100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1096115:1106303:-1 gene:Manes.09G004100.v8.1 transcript:Manes.09G004100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNMQSPTPDNVETKAAFRKPSNHVGNRKYRRRSPVNGSSSSEGSPKIERDTSPFSSRGDPGKVSERQHTRKDEREDRDSGRSQYGKDSYRHFDRYSSRNSHGYSRHDEYSRHEKLADDEERHYQTSSRSGLESRRASHSDHTREESERGRLRDYVRNVDKHSRDRYDNSGHRSKDKERDSRDRQKFRDKDASPDRAGSGRKHSLTGYEDKDRDRQRRGRDGWDEKRDYRRSSGDYRNDHAPYYEESRANQNDSGRGHDEHLHRDSYKDEQKEKKKYDDCDANRVKDRYNRESQEQNEDKPVFGGENLESLAKKPKLFSSERDPNYKDGNEKQSLKQVEADTKVTGGQAHVNNSEVANDLNAAKVAAMKAAELVNRNLVGVGFMSTEQKKKLLWGNKKSADSEESGHRWDTALFGDRERQEKFNKLMVNLVSFNLSSFSVVISSVVELLENEK >Manes.09G004100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1096115:1106303:-1 gene:Manes.09G004100.v8.1 transcript:Manes.09G004100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNMQSPTPDNVETKAAFRKPSNHVGNRKYRRRSPVNGSSSSEGSPKIERDTSPFSSRGDPGKVSERQHTRKDEREDRDSGRSQYGKDSYRHFDRYSSRNSHGYSRHDEYSRHEKLADDEERHYQTSSRSGLESRRASHSDHTREESERGRLRDYVRNVDKHSRDRYDNSGHRSKDKERDSRDRQKFRDKDASPDRAGSGRKHSLTGYEDKDRDRQRRGRDGWDEKRDYRRSSGDYRNDHAPYYEESRANQNDSGRGHDEHLHRDSYKDEQKEKKKYDDCDANRVKDRYNRESQEQNEDKPVFGGENLESLAKKPKLFSSERDPNYKDGNEKQSLKQVEADTKVTGGQAHVNNSEVANDLNAAKVAAMKAAELVNRNLVGVGFMSTEQKKKLLWGNKKSADSEEVFLIISL >Manes.09G004100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1096115:1106303:-1 gene:Manes.09G004100.v8.1 transcript:Manes.09G004100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNMQSPTPDNVETKAAFRKPSNHVGNRKYRRRSPVNGSSSSEGSPKIERDTSPFSSRGDPGKVSERQHTRKDEREDRDSGRSQYGKDSYRHFDRYSSRNSHGYSRHDEYSRHEKLADDEERHYQTSSRSGLESRRASHSDHTREESERGRLRDYVRNVDKHSRDRYDNSGHRSKDKERDSRDRQKFRDKDASPDRAGSGRKHSLTGYEDKDRDRQRRGRDGWDEKRDYRRSSGDYRNDHAPYYEESRANQNDSGRGHDEHLHRDSYKDEQKEKKKYDDCDANRVKDRYNRESQEQNEDKPVFGGENLESLAKKPKLFSSERDPNYKDGNEKQSLKQVEADTKVTGGQAHVNNSEVANDLNAAKVAAMKAAELVNRNLVGVGFMSTEQKKKLLWGNKKSADSEESGHRWDTALFGDRERQEKFNKLMGVKGEGKVEQTINEDGNGRLEAEKQKEVQMDLEKQYTAGLRRRDGRTVGLGL >Manes.09G004100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1099311:1106303:-1 gene:Manes.09G004100.v8.1 transcript:Manes.09G004100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNMQSPTPDNVETKAAFRKPSNHVGNRKYRRRSPVNGSSSSEGSPKIERDTSPFSSRGDPGKVSERQHTRKDEREDRDSGRSQYGKDSYRHFDRYSSRNSHGYSRHDEYSRHEKLADDEERHYQTSSRSGLESRRASHSDHTREESERGRLRDYVRNVDKHSRDRYDNSGHRSKDKERDSRDRQKFRDKDASPDRAGSGRKHSLTGYEDKDRDRQRRGRDGWDEKRDYRRSSGDYRNDHAPYYEESRANQNDSGRGHDEHLHRDSYKDEQKEKKKYDDCDANRVKDRYNRESQEQNEDKPVFGGENLESLAKKPKLFSSERDPNYKDGNEKQSLKQVEADTKVTGGQAHVNNSEVANDLNAAKVAAMKAAELGT >Manes.09G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1096115:1106303:-1 gene:Manes.09G004100.v8.1 transcript:Manes.09G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNMQSPTPDNVETKAAFRKPSNHVGNRKYRRRSPVNGSSSSEGSPKIERDTSPFSSRGDPGKVSERQHTRKDEREDRDSGRSQYGKDSYRHFDRYSSRNSHGYSRHDEYSRHEKLADDEERHYQTSSRSGLESRRASHSDHTREESERGRLRDYVRNVDKHSRDRYDNSGHRSKDKERDSRDRQKFRDKDASPDRAGSGRKHSLTGYEDKDRDRQRRGRDGWDEKRDYRRSSGDYRNDHAPYYEESRANQNDSGRGHDEHLHRDSYKDEQKEKKKYDDCDANRVKDRYNRESQEQNEDKPVFGGENLESLAKKPKLFSSERDPNYKDGNEKQSLKQVEADTKVTGGQAHVNNSEVANDLNAAKVAAMKAAELVNRNLVGVGFMSTEQKKKLLWGNKKSADSEESGHRWDTALFGDRERQEKFNKLMSLRLPWYLWPIVGSEGRREGRANHQRRWQWSSRGREAEGSTDGFREAIHCWSSTKRWSYCWIGSLSNYVRSFCTLTTKLSDFLSYSFSRLMVLCFCNVGENMQSISGHTVLLLNGLFVVAEVVRCACEIFLMISDAHCCFDHRWLSHIMCALVGHRLWSRQDFKATNLKLLVSND >Manes.14G108000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9053383:9060187:1 gene:Manes.14G108000.v8.1 transcript:Manes.14G108000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSHTDQNGKVGLDSGAARLKELGYKQELKRDLSVFSNFAFSFSIISVLTGITTLYNTGLSFGGPVSLQYGWFIAGGFTMAVGLAMAEICSSFPTSGGLYYWSAKLAGPQWAPFASWLTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYIVIGMHGGILFLHAILNSLPISVLSFFGQLAAVWNLVGVIVLTILIPCVATERASAKFVFTYYNTNNGDGISSKPYIFVLGLLMSQYTLTGYDASAHMTEETKSADKNGPRGIISAIGISVIFGWFYILGITFAVTNIPYLLSEDNDAGGYAIAEIFYQAFKNRYGSGAGGIVCLGVVAIAIFFCGMSSVTSNSRMAYAFSRDGAMPFSSLWHKVNKQEVPINAVWLGAFISFCMALTYLGSTVAFQAMVSIATIGLYIAYALPIFFRVTLASKSFTPGPFNLGRYGVLVGWIAVLWVLTISILFSLPVAYPITNETLNYTPVAVGGLLFLTVSSWIFSARHWFKGPITNVDS >Manes.09G096200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29050224:29052714:1 gene:Manes.09G096200.v8.1 transcript:Manes.09G096200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLLRRASASVLPLAIRSVGSQRTFHSAISAVLSVEKRNLRYEACRQVFAPFFRFSTSTGAKLSADENLIRVLESEIDCAEQPNDVEDIPNGFPFEIQDNPGERTILLKRKYQDETIKVEVDEPSIPDEDVQEDDDGDQDKNVEDSDNPASLPLVVSITKGNGQILEFGITAYPDEITIDTLSVKDSEYSEDQLAYEGPDFCDLDENLQKAFHKYLEIRGIKPSTTNFLFEYMRNKDNKEYLLWLKNLKSFMER >Manes.15G150400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12580249:12582611:-1 gene:Manes.15G150400.v8.1 transcript:Manes.15G150400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAENVVARDQPPVEEKANGVEQKAANGLNCENGGKACGEPTAEKGQAEMKPAGKETERDAPKEEEKKEEVGIEVEPKTGVSFPVKLDDGMKLLSVGLRKKSMLGMGIKIYSFGMYTDNEKLKDLLKSKIGKAPAKPSKEMYQVVIDSDLSMLVRLVIVFSGLTMSMVKKNFDEGLGASIKKLTGGKKNEELANKVMGQASDEIKLTSGSVIEISRLPGFVLQTKVMDEVVSKVESELLCRAYIHMYLGDDPFDKDAKEKFGMSLLSLF >Manes.15G150400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12581221:12582611:-1 gene:Manes.15G150400.v8.1 transcript:Manes.15G150400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAENVVARDQPPVEEKANGVEQKAANGLNCENGGKACGEPTAEKGQAEMKPAGKETERDAPKEEEKKEEVGIEVEPKTGVSFPVKLDDGMKLLSVGLRKKSMLGMGIKIYSFGMYTDNEKLKDLLKSKIGKAPAKPSKEMYQVVIDSDLSMLVRLVIVFSGLTMSMVKKNFDEGLGASIKKLTGGKKNEELANKVMGQASDEIKLTSGSVIEISRLPGFVLQTKG >Manes.14G131100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10451188:10455873:1 gene:Manes.14G131100.v8.1 transcript:Manes.14G131100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPVGGGYMRQRHSPGYASGGDDLEDDACSRPQPFTPLSPRERSWVEIVENVLWIASAIFIVYFGDRHSNLIYLLWHDERIRRLPLYLGMIGAGLNVIIFLYTSMFAWSVRRFDEKWELSSLSALPFVTLLGLVSFCLFAFALWPIWSFLTLPLLFTLFMACMVIFPHIMIVTFRQPNDTFRID >Manes.14G131100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10451164:10455873:1 gene:Manes.14G131100.v8.1 transcript:Manes.14G131100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPVGGGYMRQRHSPGYASGGDDLEDDACSRPQPFTPLSPRERSWVEIVENVLWIASAIFIVYFGDRHSNLIYLLWHDERIRRLPLYLGMIGAGLNVIIFLYTSMFAWSVRRFDEKWELSSLSALPFVTLLGLVSFCLFAFALWPIWSFLTLPLLFTLFMACMVIFPHIMIVTFRQPNDTFRID >Manes.14G131100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10451146:10455890:1 gene:Manes.14G131100.v8.1 transcript:Manes.14G131100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPVGGGYMRQRHSPGYASGGDDLEDDACSRPQPFTPLSPRERSWVEIVENVLWIASAIFIVYFGDRHSNLIYLLWHDERIRRLPLYLGMIGAGLNVIIFLYTSMFAWSVRRFDEKWELSSLSALPFVTLLGLVSFCLFAFALWPIWSFLTLPLLFTLFMACMVIFPHIMIVTFRQPNDTFRID >Manes.08G110550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34986032:34989773:-1 gene:Manes.08G110550.v8.1 transcript:Manes.08G110550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIAVKTTFSEETLKNVLQWISFVLRNFPPSKLSFQSHLESLRLKMVQFHQIQALPLEATMPNKFNQHLQSIDISVKINWMVCDDLRSRK >Manes.03G152950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28082223:28082624:1 gene:Manes.03G152950.v8.1 transcript:Manes.03G152950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHFWVCSLFLLLVSFAFCVQAANPPQISPSLAPSANGSVRAGGGGGGEGGGGGGGTGRGSGFGSGYGFGGGSGREASGGSFGGQGGGGGGGSGTGGGSGSGFGGGSGSGYSGGGGGATPSKDRKNSTSSSN >Manes.15G034200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2689722:2691643:1 gene:Manes.15G034200.v8.1 transcript:Manes.15G034200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDSSDSSGPITQRVNSLPSATDTRGKHRIQAEIKRLEQEVRFLEEELEQLDKMENATAACEEILSYVDCRPDPLLQLTNGPLSPAWDRWFEGPQESQGCRCWIL >Manes.S037816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1025547:1025714:1 gene:Manes.S037816.v8.1 transcript:Manes.S037816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Manes.07G032100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3514025:3516928:-1 gene:Manes.07G032100.v8.1 transcript:Manes.07G032100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAIGFRQTKWKRVSWNTNVTAIQLSPTLDKTCQIPRDERSNISNITPNSKDDKKPAAYDNDREKSKLNPELAICRGCLQGLSTTIPCDQSLGEKPTNSSLNCKPSPLFLSPCGIKRALLVGVTYKNWKYKLKGTVTNVKNMRDLLIDSFFFKPENILVLTVQKMRQPYLTPTKKNIETSFKWLVKDCQVSLFMLLLMLLTAALSILSMFTREKMIWGHNSSPSSSRKHTNGGLAISTDFRMAADNTGFPEWRTNDDQLTYIFIEIVKKCPGVTYGDLLDILHETIDVVNNRGSVFSRFLRSMLEGKILQKPQLSASEPFDVYKKHFIL >Manes.10G127200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29107176:29108786:-1 gene:Manes.10G127200.v8.1 transcript:Manes.10G127200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNHILNPPNKNLNQLVLAILSKCNHLNHLKQLQSFLTVLGHSQTQFYVFKLLRFSILKISNLSYARYVFDHVCFPNIYLYTAMVTAYASKLDYLSAFEFYRNMVRRGHPKPNQFIFPHVLKSYQTTQLVHAQIAKWGFVQYPVVQTALVDSYSRSSSDIGVARQLFDEMSEKNVVSWTAMISGYTRVGQVGNAISLFDQMPERDVPSWNAVIAGCTQNGLFSEAISLFRKMMQHRHNRPNQVTVVCALSACGHTGMLQLGKSIHGYVYRYSLGFDSFMSNALVDMYGKCGSLKEAKRVFGMTSKKSLTTWNSMINCFALHGHGESAIGIFEEMIQHGEDVRPDEVTFIGLLNACTHGGLVEKGRYYFLMMTQDYGIEPQIEHYGCLIDILGRAGRFEEALEVVGGMKIEPDEVVWGSLLNGCKVHGQIDLAEFAVKKLIEIDPKNGGYGIMLANLYGELGKWDESRMVRKMLKQHNAYKTPGCSWIEIDNEVYQFYSADKTHPRSEEIYKILGALLGLSLRFWYSPYIDCSTQL >Manes.05G087500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7900542:7905047:1 gene:Manes.05G087500.v8.1 transcript:Manes.05G087500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLNAATTTESGSKMEQFLGDRLLDPTLPISERFRALFSLRNLKGTAPRNALIRATRDTSNLLAHEAAFALGQMQDTEAIPALAAVLNDLSLHPIVRHEAAEALGAIGLESNIPLLKNSLSVDPAEEVRETCELALKRIEQMNAAGTCDDSSTTEKSPFMSVDPAAPAASCSSIDMLREALLDEEKGMYERYAALFALRNHGGEGAVSAIIESLGARSALLKHEVAYVLGQLQNKAASAALSRILKDVNEHPMVRHEAAEALGSIADEQSIALLEEFSKDSEPIVSQSCEVALSMLEYERSGKSFEYLFMQDPLAQA >Manes.04G054132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9096150:9097792:1 gene:Manes.04G054132.v8.1 transcript:Manes.04G054132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQEWNRNILTRAENEILLKIVIQAVPNDLMNQLEKAVNQFWWVNFSAGSTGIHWSSWSGLAWSKIEGALVSRIYNARYFHKCGFLEANILASQELLRRGCLKQIGSGTETLVFSDPWIPSLGVDNRSWDNEALHSLFSPEERAATLRIPVSYTTTDDSWFWLEEHRGIYSIKSGYRLLVNGLYHLPSISAGLWLSLWKVDVPKKILNFVWRAVSNHLLVLSRLIQHKVEVHNCFPVCAECDETIIHSLYNCSFTKALYQRAMGFFIAWKLWIHRNGKVWKNKLSTIGVVWDHVLQGLDEWHLAQASKLSIWQPTAEAFALILRNEYGHSLGGCMMALGGVDSPALAEALYKNVVAEMLMETDCMILSYFGSLVLDIKSLMSDVNSIAISFVKKSAR >Manes.13G104850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30541266:30547859:1 gene:Manes.13G104850.v8.1 transcript:Manes.13G104850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLREEMKQNAVETKNCIMEEFRSILTGLMTDKVKGEATEEFVTDGATLGVRGKGILPTPRELLNQKQGSSSSGGNVVGAILGHLMDREGMQKYLPKIELITFDRKEPRVWVRKCVKHFEVYKVPNEEKVGIASLFLIDRVMLGVTIRLWIEGHMLGKILRENCV >Manes.16G016800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1628401:1629415:1 gene:Manes.16G016800.v8.1 transcript:Manes.16G016800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKSSKKSASRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYMAAVLEYLAAEVLELAGNAARDNKKSRVVPRHVQLAIRNDEELSKLLGSVTIANGGVLPNIHNMLLPKRPGPGPGLKGPADDDS >Manes.11G100750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21807946:21821090:-1 gene:Manes.11G100750.v8.1 transcript:Manes.11G100750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENQSTGKRSNLKPSSAQKIFGADMLQIHGDDASCVIGSIIEKGISENPQNKPLTPTPPPKVTVLPFPVARHRSHGPHWGPMRSTNVTEDDEDGEDEDDNDSTEVDFISGYANPVQRRQKKGLDLRHWRDLIPSDNLLDSNKAEGNRPKLNRTVKQKKDGEVVDNMDKKNKSSDPSLVGNTSMEVDAYQDSSSFVPPTTKGVKSSDIDAGADMEIDDLHQLNVQDNNRKASSSFSRSESRSMESIPNNGIAKGKLENMEKMDPAFVDMVTKRGQKTSTMVSSSSLSNFGKEEGSMSLESEIDVENRARLKKMSPEEIAEAQTEIMEKMDAALINLLKKRGQDKLKLQNLSRSDKRTGAELGNTSESQTSKRSNVSSHVTSDSCETRTINTSTDTKNRPENGLVQDLGPSDGNLWNRWSERVEAVRRLRFSLEGSVISGELETGDISIDNVESALNVTERDFLRTEGDPGAVGYTIKEAVQLTRSVIPGQRGLALHLLSSVLDKAIHNIQHNQVGCTVKNANLVDKLIDWEAIWAYALGPEPELVLSLRMCLDDNHSSVILACARVIQCILCCDLNENFFDIFEKIAVHEKDIFIGPVFRSKPEINAGFLHGGFWKYNAKPSNILTFTEDVIDDETEGKHTIQDDIVVAGQDFAAGLVRMGILPRLQCLLEADPNTALEECIISILVAIARHSPTCAEAIMNCQGLVHTVVHKFTMGYAKESHASKIKSVCLLKALARSDKKNCLKFLKNGSFQAIIQHLFQCTSSLDQWLKSGKENCKLLSALMVEQLRFWRVCIDYGLCISYFSDIFPALCLWLHPPTFNKLQENNVVNEFLSISREAYLVLEALARKLPRFYSNKHLNNRISDCAGNEQETWSWSFVTPIVDLALKWIASKDDPYVSKYFEREKGFQRRFVSPDSSDVSLLWVFSAVMHMLSTLLEKVNPEETMGPQGSIKSVPWLPEFVPKVGLEIIKNQFLSVNGAELPDDIDEGGTFIEELCRFRQQSKSESSVASVCCLHGLLRVITSIDKLISLAKGDIRNPPSQGFNLSREGKILEDGLLKWSLVEWNGVLNVFMKLVGSEWHFVQSIEIFSRGGPAPGVGLGWGASGGGFWSVTVLMAQTDARLLIYVLERIQMASSIESPTDEEMVAAMHRVNSVLGACLTFGPKDRVVMERAFDILLQVPTLRYLGFCVQRFLQSNTRMKEFRWEYKEEDYFLFSEMLASHFKNRWLSVKTKLKAKDENNSSVHTNLKRGKVYLETIHEDLDTSNMSMTSQAQYCNSLMVEWAHQRLPLPMHWFLSPISTISYDKHAGLQNSSSVPNLIKESSDIIEVAKGGLFFLLAMEAMSTFLSADVHSPICSVPLVWKFHSLSVILLVGMDVLDDDKSKDVYETLQDIYGHLLNEARFNKSNKHSVDENVKLLLESEKKHSLDFLRFQSEIHENYSTFLEALVEQFAAVSYGDLVFGRQVSVYLHRCTEAAVRLSAWNALSNARVLEILPPLKECIAEAEGYLEPIEDNEGILEAYMKSWISGALDRSAARGSMAFTLVLHHLSSFIFHVHSHDNISLRNKLVKSLLRDYSQKQKHEGMMLDIIQYCKPNPHLPEDSSSQQSINIDKRFEVLSEACDRNSSLLAEVEKLRSAFVKKFNSVK >Manes.11G100750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21808225:21821090:-1 gene:Manes.11G100750.v8.1 transcript:Manes.11G100750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENQSTGKRSNLKPSSAQKIFGADMLQIHGDDASCVIGSIIEKGISENPQNKPLTPTPPPKVTVLPFPVARHRSHGPHWGPMRSTNVTEDDEDGEDEDDNDSTEVDFISGYANPVQRRQKKGLDLRHWRDLIPSDNLLDSNKAEGNRPKLNRTVKQKKDGEVVDNMDKKNKSSDPSLVGNTSMEVDAYQDSSSFVPPTTKGVKSSDIDAGADMEIDDLHQLNVQDNNRKASSSFSRSESRSMESIPNNGIAKGKLENMEKMDPAFVDMVTKRGQKTSTMVSSSSLSNFGKEEGSMSLESEIDVENRARLKKMSPEEIAEAQTEIMEKMDAALINLLKKRGQDKLKLQNLSRSDKRTGAELGNTSESQTSKRSNVSSHVTSDSCETRTINTSTDTKNRPENGLVQDLGPSDGNLWNRWSERVEAVRRLRFSLEGSVISGELETGDISIDNVESALNVTERDFLRTEGDPGAVGYTIKEAVQLTRSVIPGQRGLALHLLSSVLDKAIHNIQHNQVGCTVKNANLVDKLIDWEAIWAYALGPEPELVLSLRMCLDDNHSSVILACARVIQCILCCDLNENFFDIFEKIAVHEKDIFIGPVFRSKPEINAGFLHGGFWKYNAKPSNILTFTEDVIDDETEGKHTIQDDIVVAGQDFAAGLVRMGILPRLQCLLEADPNTALEECIISILVAIARHSPTCAEAIMNCQGLVHTVVHKFTMGYAKESHASKIKSVCLLKALARSDKKNCLKFLKNGSFQAIIQHLFQCTSSLDQWLKSGKENCKLLSALMVEQLRFWRVCIDYGLCISYFSDIFPALCLWLHPPTFNKLQENNVVNEFLSISREAYLVLEALARKLPRFYSNKHLNNRISDCAGNEQETWSWSFVTPIVDLALKWIASKDDPYVSKYFEREKGFQRRFVSPDSSDVSLLWVFSAVMHMLSTLLEKVNPEETMGPQGSIKSVPWLPEFVPKVGLEIIKNQFLSVNGAELPDDIDEGGTFIEELCRFRQQSKSESSVASVCCLHGLLRVITSIDKLISLAKGDIRNPPSQGFNLSREGKILEDGLLKWSLVEWNGVLNVFMKLVGSEWHFVQSIEIFSRGGPAPGVGLGWGASGGGFWSVTVLMAQTDARLLIYVLERIQMASSIESPTDEEMVAAMHRVNSVLGACLTFGPKDRVVMERAFDILLQVPTLRYLGFCVQRFLQSNTRMKEFRWEYKEEDYFLFSEMLASHFKNRWLSVKTKLKAKDENNSSVHTNLKRGKVYLETIHEDLDTSNMSMTSQAQYCNSLMVEWAHQRLPLPMHWFLSPISTISYDKHAGLQNSSSVPNLIKESSDIIEVAKVYHWFGNFIHYLSYYLLEWMCLMMTRVRMFMKPYKTSMVIFLMKQDLIKVISTVWMKM >Manes.11G100750.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21807969:21821090:-1 gene:Manes.11G100750.v8.1 transcript:Manes.11G100750.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENQSTGKRSNLKPSSAQKIFGADMLQIHGDDASCVIGSIIEKGISENPQNKPLTPTPPPKVTVLPFPVARHRSHGPHWGPMRSTNVTEDDEDGEDEDDNDSTEVDFISGYANPVQRRQKKGLDLRHWRDLIPSDNLLDSNKAEGNRPKLNRTVKQKKDGEVVDNMDKKNKSSDPSLVGNTSMEVDAYQDSSSFVPPTTKGVKSSDIDAGADMEIDDLHQLNVQDNNRKASSSFSRSESRSMESIPNNGIAKGKLENMEKMDPAFVDMVTKRGQKTSTMVSSSSLSNFGKEEGSMSLESEIDVENRARLKKMSPEEIAEAQTEIMEKMDAALINLLKKRGQDKLKLQNLSRSDKRTGAELGNTSESQTSKRSNVSSHVTSDSCETRTINTSTDTKNRPENGLVQDLGPSDGNLWNRWSERVEAVRRLRFSLEGSVISGELETGDISIDNVESALNVTERDFLRTEGDPGAVGYTIKEAVQLTRSVIPGQRGLALHLLSSVLDKAIHNIQHNQVGCTVKNANLVDKLIDWEAIWAYALGPEPELVLSLRMCLDDNHSSVILACARVIQCILCCDLNENFFDIFEKIAVHEKDIFIGPVFRSKPEINAGFLHGGFWKYNAKPSNILTFTEDVIDDETEGKHTIQDDIVVAGQDFAAGLVRMGILPRLQCLLEADPNTALEECIISILVAIARHSPTCAEAIMNCQGLVHTVVHKFTMGYAKESHASKIKSVCLLKALARSDKKNCLKFLKNGSFQAIIQHLFQCTSSLDQWLKSGKENCKLLSALMVEQLRFWRVCIDYGLCISYFSDIFPALCLWLHPPTFNKLQENNVVNEFLSISREAYLVLEALARKLPRFYSNKHLNNRISDCAGNEQETWSWSFVTPIVDLALKWIASKDDPYVSKYFEREKGFQRRFVSPDSSDVSLLWVFSAVMHMLSTLLEKVNPEETMGPQGSIKSVPWLPEFVPKVGLEIIKNQFLSVNGAELPDDIDEGGTFIEELCRFRQQSKSESSVASVCCLHGLLRVITSIDKLISLAKGDIRNPPSQGFNLSREGKILEDGLLKWSLVEWNGVLNVFMKLVGSEWHFVQSIEIFSRGGPAPGVGLGWGASGGGFWSVTVLMAQTDARLLIYVLERIQMASSIESPTDEEMVAAMHRVNSVLGACLTFGPKDRVVMERAFDILLQVPTLRYLGFCVQRFLQSNTRMKEFRWEYKEEDYFLFSEMLASHFKNRWLSVKTKLKAKDENNSSVHTNLKRGKVYLETIHEDLDTSNMSMTSQAQYCNSLMVEWAHQRLPLPMHWFLSPISTISYDKHAGLQNSSSVPNLIKESSDIIEVAKGGLFFLLAMEAMSTFLSADVHSPICSVPLVWKFHSLSVILLVGMDVLDDDKSKDVYETLQDIYGHLLNEARFNKSNKHSVDENVKLLLESEKKHSLDFLRFQSEIHENYSTFLEALVEQFAAVSYGDLVFGRQVSVYLHRCTEAAVRLSAWNALSNARVLEILPPLKECIAEAEGYLEPIEDNEGILEAYMKSWISGALDRSAARGSMAFTLVLHHLSSFIFHVHSHDNISLRNKLVKSLLRDYSQKQKHEGMMLDIIQYCKPNPHLPEDSSSQQSINIDKRFEVLSEACDRNSSLLAEVEKLRSAFVKKFNSVK >Manes.12G110633.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:29974366:29974623:-1 gene:Manes.12G110633.v8.1 transcript:Manes.12G110633.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFKLFNFLLVLVLVLLGSSSEARPLSILKSDGSRVWDKGFFDGLSLGAIKQSGPSPGQGNKYIDSKAFRSIRKDGPSPGEGH >Manes.05G185101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:30459597:30488454:-1 gene:Manes.05G185101.v8.1 transcript:Manes.05G185101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQEISGNWKSSEVEEYVSMSSTRRTVSEVKVLSQQIAFERTASSSSSDAFNIIMDQGMSRSSGSGAFNIITDQSTVGSYGDTDFKTIMDQGIASSSSSDGFNIIMDQGMSRTSGSGAINIIMDQTTVGSHGETAFKTIMDQGKASSSSSDAIIIMDQSRVGSSSDTAFKTIMDQSRVASSSDTAFKTIMDQGIATSSTSETINIITDHGMAGSKGNTVIKTIMDQGISGSSGNTTINVITNHDFSQGLHSWHANGCSFSVVSAESGHPAFLTKRGGYYAVVSNRTESWQGLEQDITSIVSPGSTYYVTAYVGVSGNIQGTTDVQATLRVEYRNSPTDYLFIGRISLSKEKWEKLEGKFSLPTKPDRVVFYLEGPSPAVDLLIQSVVITSSPPSEFYETSYQKETCYQKETSNYQFGDIGYGEGNIMANPKFEDGLNKWSGRGCKLVLHESLACGKIVPQFGKVFACATERTQSWNGIEQEITGKVQAKQAYEAIAVVRIFGNNVSSADVRVTLSVQTTDLREEYIGIANRQVTDKEWVQLKGRFLLNGYLKKVVIFIEGPPADIDILVNGFFLKHAQKVTFSPHPTIQGKAGSSSSGAIHITMDQSTGGSYGDTAIKTIMDQGMSGSSGNTTINVISNHDFSQGLHSWHANGCIFSVVSAESGHPAFLTKRGGHYAVVTNRTESWQGLEQDITSIVSPGSTYYVTAYVGVSGNIQGTTDVQATLRVEYRNSPTDYLFIGRISLSKEKWEKLEGKFSLPTNPDRVVFYLEGPSPAVDLLIQSVVITSSPPSEFYETSYQKETSYQKETSYQMETSYQEETSYQKETSNYQFVDIGYGEGNIMANPKFEDGLNKWSGRGCKLVLHESLACGKIVPQFGKVFACATERTQCWNGIEQEITGKVQAKLAYEAIAVVRIFGNNVSSADVRVTLCVQTTDLREEYIGIANRQVTDKEWVQLKGRFLLNGYLKKVVIFIEGPPADVDILVNGFFLKHAEKVTSSPHPTIQGKASSSSSDIANIRRDHGMSSTSSSGAINITMDQSTVGSYGDTAIKTIMDQGISSSSGNTTINVITNHDFSQGQHSWQANGCSFSVVSAESGHPAFLTKRGGYYAVVTNRTESWQGLEQDISSIVSPGSTYYVTAYIGVSGNIQGTTDVQATLRVEYGNSPTDYLFIGRISLSKERWEKLEGKFSLPKKPDRVLFYLEGPSPAVDLLVQSVVISSSPPSEFYETSYQKETSYQEETSYQKETSNYQFVDIGYGEGNIMANPKFEDGLNKWSGRGCKLVLHESLACGKIVPQFGKVFACATERTQCWNGIEQEITGKVQAKQAYEAIAVVRIFGNNVSSADVRVTLCVQTTDLREEYIGIANMQITDKEWVQLKGKFLLNNYPKKVVIFIEGPPADTDILINGFFLKHAEKVPSSPHPTIQGIATSCSSETINITADHGMAGSRGDTVIKTIMDQGISGSSGNTTINVITNHDFSQGQHSWHANGCSFSVVSAESGHKAFLTKRGGYYAVVSNRTESWQGLEQDITSIVSPGSTYYVTAYVGVSGNIQGTTDVQATLRVEYRNSPTDYLFIGRISLSKERWEKLEGKFSLPKKPDRVLFYLEGPSPAVDLLVQSVVITSSPPSEFYETSYQKVTSYQKETSNYQFVDTGYGEGNIMANPKFEDGLNKWSGRGCKLVLHESLACGKIVPLFGKVFACATERTQSWNGIEQEITGKVQAKVAYEAIAVVRIFGNNVSSADVRVTLCVQTTDLREEYIGIANMEVTDKKWVQLKGKFLLDNYPKKVVIYIEGPPADTDILVNGFFLKHAEKVHSSPHPTIQGIASSSSSGTIKTTTDQGIATSCSSETINITADHGMAGSRGDTVSKTIIDQGISGSSGNTTINVITNHDFSQGLHSWHANGCSFSAVSAESGHPAFLTKRGGYYAVVTNRTESWQGLEQDITSIVSPGSTYYVTAYVGVSGNIQGTTDVQATLRVEYRNSPTDYLFIGRISLSKERWEKLEGKFSLPKKPDRVLFYLEGPSPAVDLLVQSVVITSSPPSEFHETSYQKETSYQKETSYQEKTSYQKETSNYQFVDAGYGEGNIMANPKFEDGLNKWSGRGCKLVLHESMACGKIVPLFGKVFACATERTQSWNGIEQEITGKVQAKQAYEAIAVVRIFGNNVGSAEVRVTLCVQTTDLREEYIGIANMQVTDKEWVQLKGKLLLNNYPKKLVIFIEGPPAGIDILVNGFFLKHAEKVSSSPHPTIQGIASSSSRGTIKTTVDQGIATSCSSETINITSDHGMAGSRGDTVIKTIMDQGISGSSGNTTINVITNHDFSQGQHSWHANGCSFSVVSAESGHKAFLTKRGGYYAVVSNRTESWQGLEQDITSIVSPGSTYYVTAYVGVSGNIQGTTDVQATLRVEYRNSPTDYLFIGRISLSKERWEKLEGKFSLPKKPDRVLFYLEGPSPAVDLLVQSVVITSSPPSEFHETSYQKETSYQEKTSYQKETSNYQFVDTGYGKGNIMANPKFEDGLNKWSGRGCKLVLHESLACGKIVPLFGKVFACATERTQSWNGIEQEITGKVQAKQAYEAIAVVRIFGNNVSSADVRVTLCVQTTDLQEEYIGIANMQTTDKEWIQLKGKFHLNDYPTKVVIFIEGPPAGTDILVNGFFLKHAEKVPPSSRPTIEGKVSSSSSDIANIRRDHGMSCRSSSGAINITMDQSTVGSYGDTAIKTIMDQGISGSSGNTTINVITNHDFSQGQHSWHANGCSFSVVSAESGHPAFLTKRGGYYAVVSNRTESWQGLEQDITSIVSPGSTYYVTAYVGVSGHIQGTTDVQATLRVEYRNSPTDYLFIGRISLSKERWEKLEGKFSLPKKPDRAVFYLEGPSAAVDLLIQSVVISSSPPSEFYETSYQKETSYQEKTSYQKETSHYQFVDTGYGEGNIMANPKFEDGLNKWSGRGCKLVLHESLACGKIVPLFGKVFACATERTQSWNGIEQDITGKVHAKLAYEAIAVVRIFGNNVSSADVRATLWVQTTDLREQYISIANLQATDKEWVQLKGKFLLNDYSKKVVIFIEGPPAKTDILVNGFFLKHAEKVPSSPRPRIEGIVRSSSGGATAIKTINVITNHDFSQGLHSWHANGCSFSVVSAESGHPAFLTKRGRYYAVVTNRTESWQGLEQDITSIVSPGSTYYVTAYVGVSGNIQGTTDVQATLRVEYRNSPTDYLFIGRISLSKEKWEKLEGKFSLPKKPDRVLFYLEGPSLAVDLLVQSVVITSSPPSEFHETSYQMETSYRKGTSYQKETSYQKETSHQCVDIGYGEGNIIANPKFKDGLSNWSGRGCKLVLHESMAGGKIVPQCGKVFACATERTESWNGIEQELTGKIHRKLVYEVTAVVRIFGDNVTSADVRVTLWVQTTDLREEYICIAQLPATDKEWVQLKGKFLLNGYPIKVVIFIEGPSAGTDILVNNFFLKYAQKVPPSPRPVIQNPGYGVNIIQNSDFNDGTNGWFPLGSCTLNVAAGSPQVLPPMASNSLGHCEPLSGHYILVTERTDTWMGPAQIITDKIKLFLTYQISAWVKVGSCIDGPQTVNVAIGVDDEWVNGGNVEIRDDEWHEIGGSFRIEKQATKIMVYVQGPASGVDLMVAGLQIFAVDREARFKHLKRQTDKIRKRDITLRITGVDSGGFLGSYLQVKQTQNTFPIGSCMSRTTIDNEDFVSFFIKNFNWAVFENELKWYWTEAERGKLNYKDADEMLDLCIKNNIATRGHCIFWGVEDAVQPWVKALNNNDLMAAVQNRLTDLLTRYKGKFRHYDVNNEMLHGSFYQDRLGKDIHAYMFKTANQLDPSPTLFVNDYHVEDGKDSRSTPEKYIAQILDLKEQGAPVGGIGIQGHIDSPVGPIVCAALDKLAELRLPIWFSELNLSSINEHIRGDDLEVMLREAFAHPAVEGIMFWGFWELFMSRENSHLVNADGEINEAGKRLLALKKEWLISEFHGHLNNKGEFTFRGFQGTYNVEIFGPCKKIAKTFVVDRGECPQVVTIELK >Manes.11G107501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24921205:24926675:1 gene:Manes.11G107501.v8.1 transcript:Manes.11G107501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEMEMQREWLNENCKQSQFCNDFDHGEWEELHLPAVSCNGDGPRYKKNSLLVSTTNVYPFPFSSSSTTTTASSGGFSFIQHPVSRLDTLAGIAIKYGVEISDIRKINGLVTDLQMFALKSLQIPLPGRHPPSPGVSNSGDTTRFCRQNSSQQTSPCSDLINSFQSSRLQSPQVKVSPAMSSLQGYYGIKSVTDPKIPQGSERDVYSKGFSDVKYLTPLPVSGTPLNRNRKSKSVVINGFLDENNGLADSQLSSEVRESDVEKWNEKLVRSCCSQPETLMQEDSNGGVSSAITGKSLALRSNAASRTVCTADAETTGLAPVPVNSVDIGGYSGVRKSSSTSNLHDQDSSISSIWETSKWSLKQDLQSLSVAAIGRPIFDGLPKPGTGRRNKAAID >Manes.09G172300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37153683:37155833:1 gene:Manes.09G172300.v8.1 transcript:Manes.09G172300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPKLLLSHLRRSRAVSSLNSLSRAYVSHFLINPTPLLSQAPIPSHNPRGPHYANAFTSFHLFNNRSFSTRSDDDLEFFADSVTMSGVESKPPEFAVNEVAEAVVKGSTGGEESILPVRALISLLDGFHDLTGLPWWIVIISATVSMRVLLFPLLVLQLNKLKRISELLPKCEFSLFLFFCSQDTVYALLYCFIIVSMTLVGEDSLVVVL >Manes.17G061900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26135910:26143524:1 gene:Manes.17G061900.v8.1 transcript:Manes.17G061900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSAVRFTLGKQSSLAPDWHQKDSGAEQEELEEIDPGVRLMYSANEGNLDAIRELLDSGIDVNFRDIDNRTALHVAACQGNADVVALLLDKGAQVDTRDRWGSTPLADAIYYKNHDVIKLLEKRGAKPLMAPMHVNHAREVPEYEINPAELDFTNSKEITKGTFRIASWRGIQVAVKRLGEDVISDEEKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLCAYMKGKGALKTGTAVRFALDIARGLNYLHENKPPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLSCLDTSCRYVAPEVFKNEEYDTKVDVFSFALILQEMIEGCPPFSAKQEDEVPKSYAAKERPPFRAPTKHYSHGLKELIQECWNENPAKRPTFRQIITRLESIYNSIGHKRRWKVSLSLTHPCPRTLYFTDP >Manes.17G061900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26135910:26143524:1 gene:Manes.17G061900.v8.1 transcript:Manes.17G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSAVRFTLGKQSSLAPDWHQKDSGAEQEELEEIDPGVRLMYSANEGNLDAIRELLDSGIDVNFRDIDNRTALHVAACQGNADVVALLLDKGAQVDTRDRWGSTPLADAIYYKNHDVIKLLEKRGAKPLMAPMHVNHAREVPEYEINPAELDFTNSKEITKGTFRIASWRGIQVAVKRLGEDVISDEEKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLCAYMKGKGALKTGTAVRFALDIARGLNYLHENKPPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLSCLDTSCRYVAPEVFKNEEYDTKVDVFSFALILQEMIEGCPPFSAKQEDEVPKSYAAKERPPFRAPTKHYSHGLKELIQECWNENPAKRPTFRQIITRLESIYNSIGHKRRWKVRPLKCFQNLEAMLKKDLSLSGRSRSSRSTNRG >Manes.13G051300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:5886341:5888195:1 gene:Manes.13G051300.v8.1 transcript:Manes.13G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQSADELHVLMFPYFAFGHISPFVQLSNRLSLHGLRVSFLSAPGNIPRIKSSLLLTPNVEIIPISLPPVEGLPPGLDSTSEMTPHMAELLKKSVDLMQPQIKTLLSQLNPHFVFIDFAQYWLPKLASELGIKTVFFSVFSAISGAFLTVPARDPGDKMPTVEDLSKPPIGFPLTSVTYAQTFQARDFLYLFKSFDGNPGAYHRVSEGMSGCTALAVKTCHEMEGPYVDFMKTEHQKPILLTGPLVPEPPTGELEEKWAQWLSKFPSGSVIFCSFGSETFLDDSQIEELALGLELTGLPFILVLKFPAGVDPQTELNRTLPKGFLERVKDRGIVHSGWVPQLLILANSSVGCYLCHSGFSSLIEGLVNDCQLVLLPLKGDQYLNSKVFAGDLNVGVEVKRRDEDGYFGKDDINEAVRKVMMEIEKEPCKSIRSSHKKWKEFLLNKEIQNKFITDMIKELKALA >Manes.05G114300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21525419:21530995:-1 gene:Manes.05G114300.v8.1 transcript:Manes.05G114300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVIDDDWELTSPSTGVRTIVLVGRTGNGKSATGNSILGRKAFKSRASSSGVTSTCELQRTVVADGQIINVIDTPGLFDFSAESEFVGKEIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIFDYMIVVFTGGDELEDNDETLDDYLGRECPQPLKEILTLCKNRLVLFDNKTKDEFKRTEQVQQLLSLVNVVLVQNGGQPYTDELFAELQGAMKLRDQQEEVDSFIGYSKREILQLKKQMHRSYEEQLKRITEMVESKLKETTIRLEQQLAKEQAARLKAEEIAQMAQMKSNDEIRQLRENLERAQKETEELRKQAGNRCTIL >Manes.05G114300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21525419:21530995:-1 gene:Manes.05G114300.v8.1 transcript:Manes.05G114300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVIDDDWELTSPSTGVRTIVLVGRTGNGKSATGNSILGRKAFKSRASSSGVTSTCELQRTVVADGQIINVIDTPGLFDFSAESEFVGKEIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIFDYMIVVFTGGDELEDNDETLDDYLGRECPQPLKEILTLCKNRLVLFDNKTKDEFKRTEQVQQLLSLVNVVLVQNGGQPYTDELFAELQGAMKLRDQQEEVDSFIGYSKREILQLKKQMHRSYEEQLKRITEMVESKLKETTIRLEQQLAKEQAARLKAEEIAQMAQMKSNDEIRQLRENLERAQKETEELRKQAGNRCTIL >Manes.05G114300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21525419:21531220:-1 gene:Manes.05G114300.v8.1 transcript:Manes.05G114300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVIDDDWELTSPSTGVRTIVLVGRTGNGKSATGNSILGRKAFKSRASSSGVTSTCELQRTVVADGQIINVIDTPGLFDFSAESEFVGKEIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIFDYMIVVFTGGDELEDNDETLDDYLGRECPQPLKEILTLCKNRLVLFDNKTKDEFKRTEQVQQLLSLVNVVLVQNGGQPYTDELFAELQGAMKLRDQQEEVDSFIGYSKREILQLKKQMHRSYEEQLKRITEMVESKLKETTIRLEQQLAKEQAARLKAEEIAQMAQMKSNDEIRQLRENLERAQKETEELRKQAGNRCTIL >Manes.05G114300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:21525419:21530995:-1 gene:Manes.05G114300.v8.1 transcript:Manes.05G114300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVIDDDWELTSPSTGVRTIVLVGRTGNGKSATGNSILGRKAFKSRASSSGVTSTCELQRTVVADGQIINVIDTPGLFDFSAESEFVGKEIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKIFDYMIVVFTGGDELEDNDETLDDYLGRECPQPLKEILTLCKNRLVLFDNKTKDEFKRTEQVQQLLSLVNVVLVQNGGQPYTDELFAELQGAMKLRDQQEEVDSFIGYSKREILQLKKQMHRSYEEQLKRITEMVESKLKETTIRLEQQLAKEQAARLKAEEIAQMAQMKSNDEIRQLRENLERAQKETEELRKQAGNRCTIL >Manes.16G090600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29700514:29706897:1 gene:Manes.16G090600.v8.1 transcript:Manes.16G090600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SuSy4 MAERVITRVQSIRERLDETLSAHRNEIVALLSRIEGKGKGILQHHQIIAEFEEIPEENRKKLLESVFGEVLRSAQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELRVAEYLHFKEELVDGSVNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLEFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLTALAPETPYSQFEHKFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLHRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVFGTEHSDILRIPFRTEKGIVRKWISRFEVWPYLETYTEDVATEIGKEFQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPESDIYWKKFDEKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADETIYFSYTEEKRRLTSFHPEIEELLYSPVENEEHLCVLKDRSKPIIFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEQAEMKKMHSLIEKYNLNGHFRWISSQMNRVRNGELYRYICDTKGVFVQPAIYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAELLVQFFEKCKADPSHWIKISEGAMQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSKLDRRESRRYLEMFYALKYRKLAESVPLTVED >Manes.16G090600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29700514:29706897:1 gene:Manes.16G090600.v8.1 transcript:Manes.16G090600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SuSy4 MAERVITRVQSIRERLDETLSAHRNEIVALLSRIEGKGKGILQHHQIIAEFEEIPEENRKKLLESVFGEVLRSAQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELRVAEYLHFKEELVDGSVNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLEFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLTALAPETPYSQFEHKFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLHRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVFGTEHSDILRIPFRTEKGIVRKWISRFEVWPYLETYTEDVATEIGKEFQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPESDIYWKKFDEKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADETIYFSYTEEKRRLTSFHPEIEELLYSPVENEEHLCVLKDRSKPIIFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEQAEMKKMHSLIEKYNLNGHFRWISSQMNRVRNGELYRYICDTKGVFVQPAIYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAELLVQFFEKCKADPSHWIKISEGAMQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSKLDRRESRRYLEMFYALKYRKLAESVPLTVED >Manes.16G090600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29701744:29706898:1 gene:Manes.16G090600.v8.1 transcript:Manes.16G090600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SuSy4 MAERVITRVQSIRERLDETLSAHRNEIVALLSRIEGKGKGILQHHQIIAEFEEIPEENRKKLLESVFGEVLRSAQEAIVLPPWVALAVRPRPGVWEYIRVNVHALVVEELRVAEYLHFKEELVDGSVNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLEFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLTALAPETPYSQFEHKFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLHRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVFGTEHSDILRIPFRTEKGIVRKWISRFEVWPYLETYTEDVATEIGKEFQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPESDIYWKKFDEKYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADETIYFSYTEEKRRLTSFHPEIEELLYSPVENEEHLCVLKDRSKPIIFTMARLDRVKNLTGLVEWYGKNAKLRELANLVVVGGDRRKESKDLEEQAEMKKMHSLIEKYNLNGHFRWISSQMNRVRNGELYRYICDTKGVFVQPAIYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFNIDPYHGDQAAELLVQFFEKCKADPSHWIKISEGAMQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSKLDRRESRRYLEMFYALKYRKLAESVPLTVED >Manes.10G105400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25524589:25527611:1 gene:Manes.10G105400.v8.1 transcript:Manes.10G105400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGKLLKDTRGYSCGRCRQRLLPNTSNVPEIQLQCPACKRIASAAACKTDGTVSYVVEKFKNKLLRRNNRQESDDSEKFISLNWNPSPLKSSSPPSSSRSDRRPRKRAVLVGVTYKRWKYMLKGTVNDVRNMRSFLIESFSFLPQNILVLTEDETEPYLIPTKKNIQISLQWLVNDCRSGDSLVFYFSGHGLRQPDFNGDERDGFDETICPVDFLKEGMIYDNDINSTIVWPLPKGVTLHAIVDACHSGTILDLVYVYNREKDEWEDNFPPNGTRKHTNGGLAISISACEDNQMAADTTAFTEKGMNGALTYLLIEIVKKNPNSTYKELLDNIHEAIVAVNNSGCLFSRVLRSVLGNMILQKAQLSASEPFDVRKKKFIL >Manes.10G105400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25524627:25531579:1 gene:Manes.10G105400.v8.1 transcript:Manes.10G105400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSGKLLKDTRGYSCGRCRQRLLPNTSNVPEIQLQCPACKRIASAAACKTDGTVSYVVEKFKNKLLRRNNRQESDDSEKFISLNWNPSPLKSSSPPSSSRSDRRPRKRAVLVGVTYKRWKYMLKGTVNDVRNMRSFLIESFSFLPQNILVLTEDETEPYLIPTKKNIQISLQWLVNDCRSGDSLVFYFSGHGLRQPDFNGDERDGFDETICPVDFLKEGMIYDNDINSTIVWPLPKGVTLHAIVDACHSGTILDLVYVYNREKDEWEDNFPPNGTRKHTNGGLAISISACEDNQMAADTTAFTEKGMNGALTYLLIEIVKKNPNSTYKELLDNIHEAIVAVNNSGCLFSRVLRSVLGNMILQNSRKRRCVLSLIKNMHKGNGKFSRSLRGLSISSMTMKIRNL >Manes.10G004700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:685867:692018:1 gene:Manes.10G004700.v8.1 transcript:Manes.10G004700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGHGKCWDTSKKDSWKTLLVLAYQSLGVVYGDLSTSPLYVYKSTFAEDIHHSDSNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQAADEALSTYKTEHLPEKNNKSGVKIYLEKHKALHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSKEHHQYAVVPITCFILVCLFALQHYGTHRVGFFFAPIVLTWLLCISALGLYNIIYWNPHVYQALSPYYMFKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAYLSQHHHNDNHIGFYISVPEKLRLPVLIIAILASVVGSQAIITGTFSIINQSQSLCCFPKVKVVHTSDEMHGQIYIPEINWILMVLCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWNKNPAVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALFLMTIMFVWHYATIKKYEFDLHNKVSLDWLLELGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPPAERYLVGRVGPSTHRSYRCIVRYGYREVHQDVDSFETELIARLADFINYDWRRALRTNSHTEDAASQSNESSSEYRLAVIGNTTFACTPQYEIEETVQPASVSGGFSTVESMADVIEMEPVVERRVRFAIDDDQESDIHLQLKEELEDLLAAQEAGTAFILGHSHVQAKQGSSVLKKLAINFGYNFLGRNCRGPDVALKIPPASLLEVGMVYVV >Manes.08G004114.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:830899:832204:1 gene:Manes.08G004114.v8.1 transcript:Manes.08G004114.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGATETQEPSSPKDTCIGQVRVKRSKQAKTQPSKIKCFCKWVRNTPFCQHLNRATRRPKCTLLSWRKWIMFFKVGVRRESKIREDSSKVEPKFGNISEDAGQESEVEDEENKMYVSSSISPPKNALLLTRSRSAPCRSSSVACRFWGSPLESEETEQN >Manes.01G078700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28186129:28186724:-1 gene:Manes.01G078700.v8.1 transcript:Manes.01G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAASIALLLTLNLLFFTMGSAATCPVDALKFKVCANVLGLIKIPPDAPCCSLIANLVDLEAALCLCTAIKANVLGINLTIPVDLSLVLNNCGKKVPEGFQCP >Manes.01G118700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31524790:31526968:-1 gene:Manes.01G118700.v8.1 transcript:Manes.01G118700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDNKLINFILTNGQCCWRALPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVVDLHAQLGNRWSRIASHLPGRTDNEIKNHWNTHIKKKLRKLGIDPLTHKPLPATETPPQEQQQAMAELQQNKETKTSAESRITEEETLEEDKRMTSTFETIEPTNNSFCVDEVPLIEPHEMLVPCTAASSSSTTTTSSSSSSSHGSNNNLFLEGFQFADFEWPDNAIDDLWGDDLSSSWDLLINDADSDRKQLHSHELHHHHALINQCSKMVFDQDSWTHGIS >Manes.11G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31968755:31975235:1 gene:Manes.11G152200.v8.1 transcript:Manes.11G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMKKYRQVSPERAKVWTEKSPKYQQNLKVPVVYYLCRNRQLEHPHFIEVPFCSPDGLHLRDVIERLNVLRGRGMASMYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFEENNSDRFAPVGTMKIQNLKQLPEPASSRCQDDSSSSSSMNRETKNSQDDDVSPPLQRPGSSGVSPESRDGKNSSWNGSLSLTEYKVCKSDGLADASTQTEETMSRPKSRETCTRGVSTDDCSSEHEYNDNYLNQVPRVKETSDISESSVSPPPSSSSASSSGGKTETLESLIRADVNKINSFRILQEEEIRMPANARLKATNILMQLISCGSISVKDHSFGLVPTYRPRFSHSKFATPLFSTSLMLGELDCLTENPRLMGLRLQDKEYFSGSLLEKKKLKEEGDELNTLKRSSSYNEDRSCKQTESAENNDESTSGRSKCVITSMKASISKQPRSESMGSPISDKPRNSSDEANGSEAMHSSTSNGNSKRITEPVSGKKQSKKLDSFREEREKVIRIEESFLQELGL >Manes.11G152200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31969301:31973402:1 gene:Manes.11G152200.v8.1 transcript:Manes.11G152200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMKKYRQVSPERAKVWTEKSPKYQQNLKVPVVYYLCRNRQLEHPHFIEVPFCSPDGLHLRDVIERLNVLRGRGMASMYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFEENNSDRFAPVGTMKIQNLKQLPEPASSRCQDDSSSSSSMNRETKNSQDDDVSPPLQRPGSSGVSPESRDGKNSSWNGSLSLTEYKVCKSDGLADASTQTEETMSRPKSRETCTRGVSTDDCSSEHEYNDNYLNQVPRVKETSDISESSVSPPPSSSSASSSGGKTETLESLIRADVNKINSFRILQEEEIRMPANARLKATNILMQLISCGSISVKDHSFGLVPTYRPRFSHSKFATPLFSTSLMLGELDCLTENPRLMGLRLQDKEYFSGSLLEKKKLKEEGDELNTLKRSSSYNEDRSCKQTESAENNDESTSGRSKCVITSMKASISKQPRSESMGSPISDKPRNSSDEANGSEAMHSSTSNGNSKRITEPVSGKKQSKKLDSFREEREKVIRIEESFLQELGL >Manes.11G152200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31969274:31973677:1 gene:Manes.11G152200.v8.1 transcript:Manes.11G152200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMKKYRQVSPERAKVWTEKSPKYQQNLKVPVVYYLCRNRQLEHPHFIEVPFCSPDGLHLRDVIERLNVLRGRGMASMYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFEENNSDRFAPVGTMKIQNLKQLPEPASSRCQDDSSSSSSMNRETKNSQDDDVSPPLQRPGSSGVSPESRDGKNSSWNGSLSLTEYKVCKSDGLADASTQTEETMSRPKSRETCTRGVSTDDCSSEHEYNDNYLNQVPRVKETSDISESSVSPPPSSSSASSSGGKTETLESLIRADVNKINSFRILQEEEIRMPANARLKATNILMQLISCGSISVKDHSFGLVPTYRPRFSHSKFATPLFSTSLMLGELDCLTENPRLMGLRLQDKEYFSGSLLEKKKLKEEGDELNTLKRSSSYNEDRSCKQTESAENNDESTSGRSKCVITSMKASISKQPRSESMGSPISDKPRNSSDEANGSEAMHSSTSNGNSKRITEPVSGKKQSKKLDSFREEREKVIRIEESFLQELGL >Manes.11G152200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31969301:31973402:1 gene:Manes.11G152200.v8.1 transcript:Manes.11G152200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMKKYRQVSPERAKVWTEKSPKYQQNLKVPVVYYLCRNRQLEHPHFIEVPFCSPDGLHLRDVIERLNVLRGRGMASMYSWSCKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFEENNSDRFAPVGTMKIQNLKQLPEPASSRCQDDSSSSSSMNRETKNSQDDDVSPPLQRPGSSGVSPESRDGKNSSWNGSLSLTEYKVCKSDGLADASTQTEETMSRPKSRETCTRGVSTDDCSSEHEYNDNYLNQVPRVKETSDISESSVSPPPSSSSASSSGGKTETLESLIRADVNKINSFRILQEEEIRMPANARLKATNILMQLISCGSISVKDHSFGLVPTYRPRFSHSKFATPLFSTSLMLGELDCLTENPRLMGLRLQDKEYFSGSLLEKKKLKEEGDELNTLKRSSSYNEDRSCKQTESAENNDESTSGRSKCVITSMKASISKQPRSESMGSPISDKPRNSSDEANGSEAMHSSTSNGNSKRITEPVSGKKQSKKLDSFREEREKVIRIEERLSSGARVIIQSKTSCDAVCRS >Manes.08G004123.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:766114:766785:1 gene:Manes.08G004123.v8.1 transcript:Manes.08G004123.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPPLKAVTLTHVRYQRGDRLGHFLAWVSLVPVFISLGGFICHFIFRRELQGMFFALGLLISQFISELIKKSVQQARPETCIFLEMCDSHGWPSSHSQYMFFFATYFTLLTFKGIGLTELLAVLTMQSRVYLGYHTVAQVFAGATLGILLGAAWFWMVNYKLFYFFPVIEESRFGRMFYVKDTSHIQNVLEFEYENARRARKDMAAKCN >Manes.17G087200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29452768:29456571:-1 gene:Manes.17G087200.v8.1 transcript:Manes.17G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLLAQFALLQSLPLKKLHSPRLPSPSTVSLPSKLSFVAKRENGFAAKCSMAVTESISLPAASSSRETKNPIVVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEELKRKNPRGLLISPGPGTPQDSGISLQAVLELGPLVPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSLVYFDEKGEDGMFSGLKNPFTAGRYHSLVIEKDSFPSEQLEITAWTEDGLIMAARHKKYKYLQGVQFHPESIKTSEGKIIVRNFIKQIERKEAESQN >Manes.05G106700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10117612:10124932:-1 gene:Manes.05G106700.v8.1 transcript:Manes.05G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKIFQYIIMGIYTPLITCVFGLYIWCAAADPADPGVFRSKKYLNIPHDRKHAQQKDSKLGVGGESVSSMHDATASTVGGKSVSKGSEIADATLKDPNADFEQKHAMLGHSSCLRWAFFPCALICNFCSSSDDSSSQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGRRNYRQFFTLMVSALLLLILQWLTGILVLICCFLERKRFSVEISSKLGSSFSLVPFVIVVAVCTILAMLATLPLAQLFFFHILLIKKGISTYDYIIALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPETGSVSSLGKKTVGEEPIRKKNPAAVKISPWTLARLNAEEVSKAAAEARKKSKILQPVMRREAPFGLGESSFGSSGHRMVPRPDNNRRRVNKRVRLPADLPMELVTKVSGMAAEKGFTETCTSLAPLQLEARSAFQTSRAMSSSAGIVASSPESSLDSPDIHPFRISSSGAEESRRLTGLSVAAAASLGGIPLSRSASDGYEASGGEDSDRVPSRIVQRSTNWSNFLFRADQDESVLRLKASSSSSQANSRKL >Manes.17G088700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29678917:29682176:-1 gene:Manes.17G088700.v8.1 transcript:Manes.17G088700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLIFAAFIICFCSFSSLAELQSFQQPLKSDGSLSFLVIGDWGRRGLYNQSEVALQMGVIGEELDIDFVISTGDNFYEDGLTGIDDPNFYESFTNIYTAPSLQKQWYSVLGNHDYRGDVEAQLNPILTQKDSRWLCMRSFIVNAEIVEIFFVDTSPFVNDYFTNPEHNYDWKGISPRETYLANLLKDVDAALSSSTAKWKLLVGHHAILSAGHHGITVELLQQLVPILQEHNVDAYINGHDHCIQHISSSHSLLQFLMSGGSSKAWTGDVDWWNPKEMQFFMMVKVSCLWRLHKLK >Manes.12G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4240622:4244423:-1 gene:Manes.12G047300.v8.1 transcript:Manes.12G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDTYLDLLQADYSEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLERSEGS >Manes.14G155400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19834411:19838450:1 gene:Manes.14G155400.v8.1 transcript:Manes.14G155400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLSKEFASSESARKVVKLKAFSKFENTSEALEAVTKLLDSSLSKGLRKFLRSHCDGETLAVADSKLGNAIKDKLKIECVHNNAVMELMRGLRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGSRDNAIKLDFSEILPEEIETELKEAAMISMGTEVSDVDLMNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLLFHASLVGQASSKMKGKISRSLAAKAALAIRYDALGEGQDNSMGLENRLKLEARLRNLEGRELGRSAGSAKGKPKIEAYDKDRKKGAGALLAAAKTYNPSADSILGQTLSSNAGTDEEMVPRQQKTDQAEEPAEEAPVTGEKKKEKKKKKKASEEAALMTNGNGSAAQLEAEESVKKEKKKKRKDRAENGDEQNDKKDVDVGEKKKKRKHGEQDEETEMPNKKDKKKKKKSKD >Manes.14G155400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19835205:19838450:1 gene:Manes.14G155400.v8.1 transcript:Manes.14G155400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNHNLVIQYLLQVVKLKAFSKFENTSEALEAVTKLLDSSLSKGLRKFLRSHCDGETLAVADSKLGNAIKDKLKIECVHNNAVMELMRGLRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGSRDNAIKLDFSEILPEEIETELKEAAMISMGTEVSDVDLMNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLLFHASLVGQASSKMKGKISRSLAAKAALAIRYDALGEGQDNSMGLENRLKLEARLRNLEGRELGRSAGSAKGKPKIEAYDKDRKKGAGALLAAAKTYNPSADSILGQTLSSNAGTDEEMVPRQQKTDQAEEPAEEAPVTGEKKKEKKKKKKASEEAALMTNGNGSAAQLEAEESVKKEKKKKRKDRAENGDEQNDKKDVDVGEKKKKRKHGEQDEETEMPNKKDKKKKKKSKD >Manes.14G155400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19834411:19838450:1 gene:Manes.14G155400.v8.1 transcript:Manes.14G155400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLSKEFASSESARKVVKLKAFSKFENTSEALEAVTKLLDSSLSKGLRKFLRSHCDGETLAVADSKLGNAIKDKLKIECVHNNAVMELMRGLRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGSRDNAIKLDFSEILPEEIETELKEAAMISMGTEVSDVDLMNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLLFHASLVGQASSKMKGKISRSLAAKAALAIRYDALGEGQDNSMGLENRLKLEARLRNLEGRELGRSAGSAKGKPKIEAYDKDRKKGAGALLAAAKTYNPSADSILGQTLSSNAGTDEEMVPRQQKTDQAEEPAEEAPVTGEKKKEKKKKKKASEEAALMTNGNGSAAQLEAEESVKKEKKKKRKDRAENGDEQNDKKDVDVGEKKKKRKHGEQDEETEMPNKKDKKKKKKSKD >Manes.14G155400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19834411:19838450:1 gene:Manes.14G155400.v8.1 transcript:Manes.14G155400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLSKEFASSESARKVVKLKAFSKFENTSEALEAVTKLLDSSLSKGLRKFLRSHCDGETLAVADSKLGNAIKDKLVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGSRDNAIKLDFSEILPEEIETELKEAAMISMGTEVSDVDLMNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLLFHASLVGQASSKMKGKISRSLAAKAALAIRYDALGEGQDNSMGLENRLKLEARLRNLEGRELGRSAGSAKGKPKIEAYDKDRKKGAGALLAAAKTYNPSADSILGQTLSSNAGTDEEMVPRQQKTDQAEEPAEEAPVTGEKKKEKKKKKKASEEAALMTNGNGSAAQLEAEESVKKEKKKKRKDRAENGDEQNDKKDVDVGEKKKKRKHGEQDEETEMPNKKDKKKKKKSKD >Manes.14G155400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:19834411:19838450:1 gene:Manes.14G155400.v8.1 transcript:Manes.14G155400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLSKEFASSESARKVVKLKAFSKFENTSEALEAVTKLLDSSLSKGLRKFLRSHCDGETLAVADSKLGNAIKDKLVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGSRDNAIKLDFSEILPEEIETELKEAAMISMGTEVSDVDLMNIKDLCDQVLSLSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLLFHASLVGQASSKMKGKISRSLAAKAALAIRYDALGEGQDNSMGLENRLKLEARLRNLEGRELGRSAGSAKGKPKIEAYDKDRKKGAGALLAAAKTYNPSADSILGQTLSSNAGTDEEMVPRQQKTDQAEEPAEEAPVTGEKKKEKKKKKKASEEAALMTNGNGSAAQLEAEESVKKEKKKKRKDRAENGDEQNDKKDVDVGEKKKKRKHGEQDEETEMPNKKDKKKKKKSKD >Manes.08G168900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40299970:40305198:-1 gene:Manes.08G168900.v8.1 transcript:Manes.08G168900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPLLYVTAKRKLKVTRIKASFWDSIRSGFLKENSTEVIEPSSTLPEEEEPLPEEFVLVEKTELDGEIEQIVFSSGGDIDLYDLQALCDKVGWPRRPLSKLAAALKNSYMVVTLHSLRKSPGSEGNDQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALIEKLIRALLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPKY >Manes.08G168900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40300361:40305198:-1 gene:Manes.08G168900.v8.1 transcript:Manes.08G168900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQNLNLVARPSLLPVSSFDCPYEASKQLNTLNFRLARAKRKLKVTRIKASFWDSIRSGFLKENSTEVIEPSSTLPEEEEPLPEEFVLVEKTELDGEIEQIVFSSGGDIDLYDLQALCDKVGWPRRPLSKLAAALKNSYMVVTLHSLRKSPGSEGNDQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALIEKLIRALLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPKY >Manes.05G081600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6684455:6709011:-1 gene:Manes.05G081600.v8.1 transcript:Manes.05G081600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFLLEYFALHTYFLLDATYSFVLKKYAGRLPSKREKDAVFQVLNWDEELGRPELNFDRFKFPLPENFDDAFHLEILKEALLRAIRDIDATFSKEASRRNLDSGSTATIVLIANDQILVANIGDSKAFLCSEKFQSPAEAKANLLRLYRERRRSGAAFPSRYRDNIKLIASNGLAYFIVEELTRDHHPDRDDEKFRVETAGGYVHEWGGVSRVNGQLAVSRAIGDVYFKSYGVISAPEVTDWQSLTTNDTYLVVASDGMFEKLSLQDVCDLLWEVHTHGTERSDLSSSCSHSLAECLVNTAFQKGSVDNVATVVVPLLGSVRIPQNLPSKRCIEDGDMDCSSIGLKKFMHDQSTNGVTSDLQQLTHGHPLIAKFDRLLVEGKRGNFGCYYLSENLDDMDTLGAQNIDKENYVHGIPQALPEAFSHQYGGPLNLYNDLNLCLSIGMPVGVKDQCTNPEVFASFLGLLESIPFHDTGSNLGSTEYAAPDLRYVLKKRFGQGSYGEVWLAFYWNCHQDRNASVWARKNDNISFASCSDANGRTSSRHTMQDCNASSPDDNLFILKRIMVERGAAVYLSGLREKYFGELFLNASRRLGCLLSDGTSAPLLEELESDFDDLLESNESFYGSWNSWNFENIFPNKFRLQRASFEEGLNHIARYVESFESRSNEIWLVFYHEGVSLSKIMYTVEEIENKSEKEKIEEVIRVQVLHPSKWWHWLKTTEAGKEEMRNLIWQLLIAVKACHDRHITHRDIKPENMVICFEDQATGRCLKGGPTRDKNYTTKMRIIDFGSAMDEFTLKHLYGSTGPSRAEQTYEYAPPEAFLNASWYQGPSYLNLKYDMWSVGVVILELILGSPNIFQISSLTRALLDPHIAGWNEDLKELAYKLRSLMELCILIPGNSSKHNPTMGQGGATSPASWKCSEEFFAQQIKSRDPLKLGFPDVWALRLVRQLLLWNPEDRLSVHDALQHPYFHPPPTR >Manes.05G081600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6684455:6709011:-1 gene:Manes.05G081600.v8.1 transcript:Manes.05G081600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCNWKRLVVGFVITLYATTQCGGESSTCLTVYKEGGAPAVFQSTKCPRWNLPNYDTPSRTTSTTVTGSTRCQSALLQGRRKSQEDRILCALDVRIPFPGKTGLKEVTVGIVAVFDGHNGAEASEMASKFLLEYFALHTYFLLDATYSFVLKKYAGRLPSKREKDAVFQVLNWDEELGRPELNFDRFKFPLPENFDDAFHLEILKEALLRAIRDIDATFSKEASRRNLDSGSTATIVLIANDQILVANIGDSKAFLCSEKFQSPAEAKANLLRLYRERRRSGAAFPSRYRDNIKLIASNGLAYFIVEELTRDHHPDRDDEKFRVETAGGYVHEWGGVSRVNGQLAVSRAIGDVYFKSYGVISAPEVTDWQSLTTNDTYLVVASDGMFEKLSLQDVCDLLWEVHTHGTERSDLSSSCSHSLAECLVNTAFQKGSVDNVATVVVPLLGSVRIPQNLPSKRCIEDGDMDCSSIGLKKFMHDQSTNGVTSDLQQLTHGHPLIAKFDRLLVEGKRGNFGCYYLSENLDDMDTLGAQNIDKENYVHGIPQALPEAFSHQYGGPLNLYNDLNLCLSIGMPVGVKDQCTNPEVFASFLGLLESIPFHDTGSNLGSTEYAAPDLRYVLKKRFGQGSYGEVWLAFYWNCHQDRNASVWARKNDNISFASCSDANGRTSSRHTMQDCNASSPDDNLFILKRIMVERGAAVYLSGLREKYFGELFLNASRRLGCLLSDGTSAPLLEELESDFDDLLESNESFYGSWNSWNFENIFPNKFRLQRASFEEGLNHIARYVESFESRSNEIWLVFYHEGVSLSKIMYTVEEIENKSEKEKIEEVIRVQVLHPSKWWHWLKTTEAGKEEMRNLIWQLLIAVKACHDRHITHRDIKPENMVICFEDQATGRCLKGGPTRDKNYTTKMRIIDFGSAMDEFTLKHLYGSTGPSRAEQTYEYAPPEAFLNASWYQGPSYLNLKYDMWSVGVVILELILGSPNIFQISSLTRALLDPHIAGWNEDLKELAYKLRSLMELCILIPGNSSKHNPTMGQGGATSPASWKCSEEFFAQQIKSRDPLKLGFPDVWALRLVRQLLLWNPEDRLSVHDALQHPYFHPPPTR >Manes.05G081600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6684455:6709011:-1 gene:Manes.05G081600.v8.1 transcript:Manes.05G081600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCNWKRLVVGFVITLYATTQCGGESSTCLTVYKEGGAPAVFQSTKCPRWNLPNYDTPSRTTSTTVTGSTRCQSALLQGRRKSQEDRILCALDVRIPFPGKTGLKEVTVGIVAVFDGHNGAEASEMASKFLLEYFALHTYFLLDATYSFVLKKYAGRLPSKREKDAVFQVLNWDEELGRPELNFDRFKFPLPENFDDAFHLEILKEALLRAIRDIDATFSKEASRRNLDSGSTATIVLIANDQILVANIGDSKAFLCSEKFQSPAEAKANLLRLYRERRRSGAAFPSRYRDNIKLIASNGLAYFIVEELTRDHHPDRDDEKFRVETAGGYVHEWGGVSRVNGQLAVSRAIGDVYFKSYGVISAPEVTDWQSLTTNDTYLVVASDGMFEKLSLQDVCDLLWEVHTHGTERSDLSSSCSHSLAECLVNTAFQKGSVDNVATVVVPLLGSVRIPQNLPSKRCIEDGDMDCSSIGLKKFMHDQSTNGVTSDLQQLTHGHPLIAKFDRLLVEGKRGNFGCYYLSENLDDMDTLGAQNIDKENYVHGIPQALPEAFSHQYGGPLNLYNDLNLCLSIGMPVGVKDQCTNPEVFASFLGLLESIPFHDTGSNLGSTEYAAPDLRYVLKKRFGQGSYGEVWLAFYWNCHQDRNASVWARKNDNISFASCSDANGRTSSRHTMQDCNASSPDDNLFILKRIMVERGAAVYLSGLREKYFGELFLNASRRLGCLLSDGTSAPLLEELESDFDDLLESNESFYGSWNSWNFENIFPNKFRLQRASFEEGLNHIARYVESFESRSNEIWLVFYHEGVSLSKIMYTVEEIENKSEKEKIEEVIRVQVLHPSKWWHWLKTTEAGKEEMRNLIWQLLIAVKACHDRHITHRDIKPENMVICFEDQATGRCLKGGPTRDKNYTTKMRIIDFGSAMDEFTLKHLYGSTGPSRAEQTYEYAPPEAFLNASWYQGPSYLNLKYDMWSVGVVILELILGSPNIFQISSLTRALLDPHIAGWNEDLKELAYKLRSLMELCILIPGNSSKHNPTMGQGGATSPASWKCSEEFFAQQIKSRDPLKLGFPDVWALRLVRQLLLWNPEDRLSVHDALQHPYFHPPPTR >Manes.06G178100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30277685:30283746:-1 gene:Manes.06G178100.v8.1 transcript:Manes.06G178100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGNHPYLYTTNNYVGRQTWEFDPNAGTVEELALVEELRQNFYKNRYQVKPSGDLLWRMQFLREKNFKPQIPAVKIEEGEEITYEKATAALRRAVQFFSALQASDGHWPAENAGPLFFLPPLVMCVYITGHLDSVFPAEHRKEILRYIYYHQNEDGGWGLHIEGHSTMFCTVLSYISMRLLGVGPDAGQDNACARAQNWIHQHGGATYIPSWGKTWLSILGVYDWSGCNPMPPEFWILPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLRQELHTEPYHQINWKKTRHQCAPEDLYYPHPLIQDLMWDTLYIFTEPLLTRWPFNSVIRKKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPEGDYFKKHLARIPDYLWVAEDGMKMQSFGSQEWDTGFAIQALISSNLTDEIGDVLKRGHDFIKKSQVKGNPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSMMPPEIVGEKMEPQQLYDAVNVLISLQSKNGGLAAWEPVKAQEWLEILNPTEFFADIVIEHEYVECTASAIHALVLFKKLYPGHRKKEIESFIANAVRYLENVQTSEGGWYGNWGVCFTYGSWFALGGLAAAGKTYNNCAAMRKGVDFLLRIQKENGGWGESYLSCPQKKYVPLEGDRSNLVHTSWAMMGLISARQMDRDPTPLHRAAKLVINSQMEDGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYRNRVILPRKAI >Manes.06G178100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30277685:30283746:-1 gene:Manes.06G178100.v8.1 transcript:Manes.06G178100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAEGGNHPYLYTTNNYVGRQTWEFDPNAGTVEELALVEELRQNFYKNRYQVKPSGDLLWRMQFLREKNFKPQIPAVKIEEGEEITYEKATAALRRAVQFFSALQASDGHWPAENAGPLFFLPPLVMCVYITGHLDSVFPAEHRKEILRYIYYHQNEDGGWGLHIEGHSTMFCTVLSYISMRLLGVGPDAGQDNACARAQNWIHQHGGATYIPSWGKTWLSILGVYDWSGCNPMPPEFWILPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLRQELHTEPYHQINWKKTRHQCAPEDLYYPHPLIQDLMWDTLYIFTEPLLTRWPFNSVIRKKALQVTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPEGDYFKKHLARIPDYLWVAEDGMKMQSFGSQEWDTGFAIQALISSNLTDEIGDVLKRGHDFIKKSQVKGNPSGDFKSMHRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLLSMMPPEIVGEKMEPQQLYDAVNVLISLQSKNGGLAAWEPVKAQEWLEILNPTEFFADIVIEHEYVECTASAIHALVLFKKLYPGHRKKEIESFIANAVRYLENVQTSEGGWYGNWGVCFTYGSWFALGGLAAAGKTYNNCAAMRKGVDFLLRIQKENGGWGESYLSCPQKKYVPLEGDRSNLVHTSWAMMGLISARQMDRDPTPLHRAAKLVINSQMEDGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYRNRVILPRKAI >Manes.12G029500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2559017:2569809:-1 gene:Manes.12G029500.v8.1 transcript:Manes.12G029500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENSKKRPLDLDWNVILDSNDDEPPSILIVKGDKQHPKPSQMSSDHLLREDCASLTDRELEAAIKRYNVNIANLGPVLPDKGEKLRALLKGYEDEHRRRNLGRLGMEVDLCEKPTQLISSGGFKQQNASSEVHSRSEFASIFNRKMEEKTDNRVVKAFDKELSYLGRCNCPKMRSNGALSQRRRQQGHSLSQNSPCQPARSLSHNGNKHVTSNSDQMGRASSSPFYHNGENFSSNFPKKKDTCQVNGSRPTKGQTVVLVEEDEIQPVETIEPEIKLTECMKGAKIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPTNKSTCDYHFFNTFFYKKLKQAVSHKGSDKGSFFVKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIVSIPDREDESGPIILHLDSLGLHSSKSVFEDIRSYLIEEWNYMNQEVSPSDLPIADHIWKKLPCKIDEKKIEVKFCVKCIEFFCCYCYLHGNKFVNFYLYVAVVTSLKLLMLCFSVVFSMAMFLFSSFHTI >Manes.12G029500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2559017:2569809:-1 gene:Manes.12G029500.v8.1 transcript:Manes.12G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENSKKRPLDLDWNVILDSNDDEPPSILIVKGDKQHPKPSQMSSDHLLREDCASLTDRELEAAIKRYNVNIANLGPVLPDKGEKLRALLKGYEDEHRRRNLGRLGMEVDLCEKPTQLISSGGFKQQNASSEVHSRSEFASIFNRKMEEKTDNRVVKAFDKELSYLGRCNCPKMRSNGALSQRRRQQGHSLSQNSPCQPARSLSHNGNKHVTSNSDQMGRASSSPFYHNGENFSSNFPKKKDTCQVNGSRPTKGQTVVLVEEDEIQPVETIEPEIKLTECMKGAKIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPTNKSTCDYHFFNTFFYKKLKQAVSHKGSDKGSFFVKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIVSIPDREDESGPIILHLDSLGLHSSKSVFEDIRSYLIEEWNYMNQEVSPSDLPIADHIWKKLPCKIDEKKIEVPQQKNDYDCGLFVLFFMERFIEEAPERLKKKDLAMFGKRWFRPEEASGLRVKIRKLLINEFQNAKVSSPLSSDCASP >Manes.12G029500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2559016:2569810:-1 gene:Manes.12G029500.v8.1 transcript:Manes.12G029500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNGALSQRRRQQGHSLSQNSPCQPARSLSHNGNKHVTSNSDQMGRASSSPFYHNGENFSSNFPKKKDTCQVNGSRPTKGQTVVLVEEDEIQPVETIEPEIKLTECMKGAKIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPTNKSTCDYHFFNTFFYKKLKQAVSHKGSDKGSFFVKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIVSIPDREDESGPIILHLDSLGLHSSKSVFEDIRSYLIEEWNYMNQEVSPSDLPIADHIWKKLPCKIDEKKIEVPQQKNDYDCGLFVLFFMERFIEEAPERLKKKDLAMFGKRWFRPEEASGLRVKIRKLLINEFQNAKVSSPLSSDCASP >Manes.12G029500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2559017:2569809:-1 gene:Manes.12G029500.v8.1 transcript:Manes.12G029500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENSKKRPLDLDWNVILDSNDDEPPSILIVKGDKQHPKPSQMSSDHLLREDCASLTDRELEAAIKRYNVNIANLGPVLPDKGEKLRALLKGYEDEHRRRNLGRLGMEVDLCEKPTQLISSGGFKQQNASSEVHSRSEFASIFNRKMEEKTDNRVVKAFDKELSYLGRCNCPKMRSNGALSQRRRQQGHSLSQNSPCQPARSLSHNGNKHVTSNSDQMGRASSSPFYHNGENFSSNFPKKKDTCQVNGSRPTKGQTVVLVEEDEIQPVETIEPEIKLTECMKGAKIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPTNKSTCDYHFFNTFFYKKLKQAVSHKGSDKGSFFVKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIVSIPDREDESGPIILHLDSLGLHSSKSVFEDIRR >Manes.12G029500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2559017:2569809:-1 gene:Manes.12G029500.v8.1 transcript:Manes.12G029500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENSKKRPLDLDWNVILDSNDDEPPSILIVKGDKQHPKPSQMSSDHLLREDCASLTDRELEAAIKRYNVNIANLGPVLPDKGEKLRALLKGYEDEHRRRNLGRLGMEVDLCEKPTQLISSGGFKQQNASSEVHSRSEFASIFNRKMEEKTDNRVVKAFDKELSYLGRCNCPKMRSNGALSQRRRQQGHSLSQNSPCQPARSLSHNGNKHVTSNSDQMGRASSSPFYHNGENFSSNFPKKKDTCQVNGSRPTKGQTVVLVEEDEIQPVETIEPEIKLTECMKGAKIYYPSRDDPESVEICYSDINCLAPEGFLTSPIMNFYIRYLRLQISPTNKSTCDYHFFNTFFYKKLKQAVSHKGSDKGSFFVKFRRWWKGVNIFQKAYVFIPIHEDLHWSLVIVSIPDREDESGPIILHLDSLGLHSSKSVFEDIRSYLIEEWNYMNQEVSPSDLPIADHIWKKLPCKIDEKKIEVKFCVKCIEFFCCYCYLHGNKFVNFYLYVAVVTSLKLLMLCFSVVFSMAMFLFSSFHTI >Manes.13G014900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1926927:1928603:1 gene:Manes.13G014900.v8.1 transcript:Manes.13G014900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTVAKDIITLRGSSAIVSEFFGYAANSILYNRGVYPEESFVKVKKYGLPMLLTQDEGVKSFIANLTAQLSEWLEAGKLQRVVLVIMSKATSEVLERWNFSIETDSEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEACVFDVLAYTDKDVAVPFTWIESDPKLISNPQMVKLHSFDTKIHKVDTLVSYKNDEWDEE >Manes.13G014900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1926927:1928603:1 gene:Manes.13G014900.v8.1 transcript:Manes.13G014900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTQDEGVKSFIANLTAQLSEWLEAGKLQRVVLVIMSKATSEVLERWNFSIETDSEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEACVFDVLAYTDKDVAVPFTWIESDPKLISNPQMVKLHSFDTKIHKVDTLVSYKNDEWDEE >Manes.01G015400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3970067:3977335:-1 gene:Manes.01G015400.v8.1 transcript:Manes.01G015400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHRHRHLLPSPFPVSSYLSYYPSFLPFPTKPRSRKILCCLSIKHSNDSQSATSNANNHQQDTSNLRVVFAAGGTGGHIIPAVAIADELRIANPNTQFLFIGTPNSVESASIPSAGYPFSSIPPVRLYRPLLSLRNLSLPFHLIQSTIHSFKLLRDFNPHVVVGTGGYVSFPTCLAALFRGLKVVIQEQNSVPGIANYVLSFLAEVVFVAYNSTVECFPRKHKCLVSGNPVRLSLRQFVSKAVARKEFFPRSGGKEEAKVILVLGGSLGANTVNIALLNLYSQMLLEHKNWFIIWQTGVESYNEMESLVRIHPHLFLTPFLHSMDLAYAAADLVVSRAGAMTCSEILATGKPAILIPSPNLEEGHQLRNASLMADVAGTRVITEDELDSTTLGATIEEIIGDKDVMADMSERALKAAKPDASAEIALHILSLVEASTKK >Manes.01G015400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3970067:3977334:-1 gene:Manes.01G015400.v8.1 transcript:Manes.01G015400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHRHRHLLPSPFPVSSYLSYYPSFLPFPTKPRSRKILCCLSIKHSNDSQSATSNANNHQQDTSNLRVVFAAGGTGGHIIPAVAIADELRIANPNTQFLFIGTPNSVESASIPSAGYPFSSIPPVRLYRPLLSLRNLSLPFHLIQSTIHSFKLLRDFNPHVVVGTGGYVSFPTCLAALFRGLKVVIQEQNSVPGIANYVLSFLAEVVFVAYNSTVECFPRKHKCLVSGNPVRLSLRQFVSKAVARKEFFPRSGGKEEAKVILVLGGSLGANTVNIALLNLYSQMLLEHKNWFIIWQTGVESYNEMESLVRIHPHLFLTPFLHSMDLAYAAADLVVSRAGAMTCSEILATGKPAILIPSPNLEEGHQLRNASLMADVAGTRVITEDELDSTTLGATIEEIIAGDKDVMADMSERALKAAKPDASAEIALHILSLVEASTKK >Manes.06G036901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10999779:11008843:-1 gene:Manes.06G036901.v8.1 transcript:Manes.06G036901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYLVAKWNPFQNGSLLMVPNMERGFCFLLWSDIRHSMAKREVFAENFHVHRNAMGKDIVSMISSGETAVIEEVSDSNSTTYPSLMSREARLDKSICTTKFRGVVAHQNGHWGSQIYFDHQRIWLGTFKSEKEAAMAYDSAALKLRSGDCRRNFPFTNVTVEEPKFQNLYSTEAVLNMIKDGTYQHKFADFLMTCTQNSNADLSPKLMKTQSKRILTCKQLFQKELTPSDVGKLNRLVIPKKFATKFFPSMSEVDQENGVDDRQLAFYDKAMKLWKFRYCYWRSSQSYVFTRGWNGFVKEKKLKANDTICFSLCECRENSKVTQTYCMIDVKNGEERSSLLGLGSQWVDMGVELQLQLHLGQSCGQDCIEKMEEEEEEEEEVVERGGLVMAEPRSECADTKGFRLFGARII >Manes.06G036901.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:10999997:11001070:-1 gene:Manes.06G036901.v8.1 transcript:Manes.06G036901.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDIVSMISSGETAVIEEVSDSNSTTYPSLMSREARLDKSICTTKFRGVVAHQNGHWGSQIYFDHQRIWLGTFKSEKEAAMAYDSAALKLRSGDCRRNFPFTNVTVEEPKFQNLYSTEAVLNMIKDGTYQHKFADFLMTCTQNSNADLSPKLMKTQSKRILTCKQLFQKELTPSDVGKLNRLVIPKKFATKFFPSMSEVDQENGVDDRQLAFYDKAMKLWKFRYCYWRSSQSYVFTRGWNGFVKEKKLKANDTICFSLCECRENSKVTQTYCMIDVKNGEERSSLLGLGSQWVDMGVELQLQLHLGQSCGQDCIEKMEEEEEEEEEVVERGGLVMAEPRSECADTKGFRLFGARII >Manes.06G036901.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10999825:11008521:-1 gene:Manes.06G036901.v8.1 transcript:Manes.06G036901.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYLVAKWNPFQNGSLLMVPNMERGFCFLLWSDIRHSMAKREVFAENFHVHRNAMGKDIVSMISSGETAVIEEVSDSNSTTYPSLMSREARLDKSICTTKFRGVVAHQNGHWGSQIYFDHQRIWLGTFKSEKEAAMAYDSAALKLRSGDCRRNFPFTNVTVEEPKFQNLYSTEAVLNMIKDGTYQHKFADFLMTCTQNSNADLSPKLMKTQSKRILTCKQLFQKELTPSDVGKLNRLVIPKKFATKFFPSMSEVDQENGVDDRQLAFYDKAMKLWKFRYCYWRSSQSYVFTRGWNGFVKEKKLKANDTICFSLCECRENSKVTQTYCMIDVKNGEERSSLLGLGSQWVDMGVELQLQLHLGQSCGQDCIEKMEEEEEEEEEVVERGGLVMAEPRSECADTKGFRLFGARII >Manes.06G036901.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10999780:11008843:-1 gene:Manes.06G036901.v8.1 transcript:Manes.06G036901.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALIVLFGFCGNCCFLPLPSGNRNAMGKDIVSMISSGETAVIEEVSDSNSTTYPSLMSREARLDKSICTTKFRGVVAHQNGHWGSQIYFDHQRIWLGTFKSEKEAAMAYDSAALKLRSGDCRRNFPFTNVTVEEPKFQNLYSTEAVLNMIKDGTYQHKFADFLMTCTQNSNADLSPKLMKTQSKRILTCKQLFQKELTPSDVGKLNRLVIPKKFATKFFPSMSEVDQENGVDDRQLAFYDKAMKLWKFRYCYWRSSQSYVFTRGWNGFVKEKKLKANDTICFSLCECRENSKVTQTYCMIDVKNGEERSSLLGLGSQWVDMGVELQLQLHLGQSCGQDCIEKMEEEEEEEEEVVERGGLVMAEPRSECADTKGFRLFGARII >Manes.06G036901.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10999825:11008843:-1 gene:Manes.06G036901.v8.1 transcript:Manes.06G036901.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALIVLFGFCGNCCFLPLPSGNRNAMGKDIVSMISSGETAVIEEVSDSNSTTYPSLMSREARLDKSICTTKFRGVVAHQNGHWGSQIYFDHQRIWLGTFKSEKEAAMAYDSAALKLRSGDCRRNFPFTNVTVEEPKFQNLYSTEAVLNMIKDGTYQHKFADFLMTCTQNSNADLSPKLMKTQSKRILTCKQLFQKELTPSDVGKLNRLVIPKKFATKFFPSMSEVDQENGVDDRQLAFYDKAMKLWKFRYCYWRSSQSYVFTRGWNGFVKEKKLKANDTICFSLCECRENSKVTQTYCMIDVKNGEERSSLLGLGSQWVDMGVELQLQLHLGQSCGQDCIEKMEEEEEEEEEVVERGGLVMAEPRSECADTKGFRLFGARII >Manes.06G036901.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10999685:11008843:-1 gene:Manes.06G036901.v8.1 transcript:Manes.06G036901.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALIVLFGFCGNCCFLPLPSGNRNAMGKDIVSMISSGETAVIEEVSDSNSTTYPSLMSREARLDKSICTTKFRGVVAHQNGHWGSQIYFDHQRIWLGTFKSEKEAAMAYDSAALKLRSGDCRRNFPFTNVTVEEPKFQNLYSTEAVLNMIKDGTYQHKFADFLMTCTQNSNADLSPKLMKTQSKRILTCKQLFQKELTPSDVGKLNRLVIPKKFATKFFPSMSEVDQENGVDDRQLAFYDKAMKLWKFRYCYWRSSQSYVFTRGWNGFVKEKKLKANDTICFSLCECRENSKVTQTYCMIDVKNGEERSSLLGLGSQWVDMGVELQLQLHLGQSCGQDCIEKMEEEEEEEEEVVERGGLVMAEPRSECADTKGFRLFGARII >Manes.06G036901.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:10999780:11008843:-1 gene:Manes.06G036901.v8.1 transcript:Manes.06G036901.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTALIVLFGFCGNCCFLPLPSGNRNAMGKDIVSMISSGETAVIEEVSDSNSTTYPSLMSREARLDKSICTTKFRGVVAHQNGHWGSQIYFDHQRIWLGTFKSEKEAAMAYDSAALKLRSGDCRRNFPFTNVTVEEPKFQNLYSTEAVLNMIKDGTYQHKFADFLMTCTQNSNADLSPKLMKTQSKRILTCKQLFQKELTPSDVGKLNRLVIPKKFATKFFPSMSEVDQENGVDDRQLAFYDKAMKLWKFRYCYWRSSQSYVFTRGWNGFVKEKKLKANDTICFSLCECRENSKVTQTYCMIDVKNGEERSSLLGLGSQWVDMGVELQLQLHLGQSCGQDCIEKMEEEEEEEEEVVERGGLVMAEPRSECADTKGFRLFGARII >Manes.12G153200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36097654:36100702:-1 gene:Manes.12G153200.v8.1 transcript:Manes.12G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLAHHKRPTRFSLSNYGFLSISMASLLAFSVLTLFLLLSSSSSSSFGIPRNHRPKSKPLPVPTSAPPEILQACKATRFPDICASSLSDPKHVPPNPTSLQIIQSAISISAANLENALSMVKSILANSAGNANRTIAAKNCLEQLGNSAYRISSTVDAMPRGRNKDGRAWMGAALVYQYDCWNNFKYANDSSQTSETMAFLDTLQMITSNALSMLMAYDVYGQQTASWTPPKTERDGFWEGSGSGGDMGFRGGFPSKLTADVTVCKDGSGGCYKTVQEAVNAAPDNQVGKKFVVYIKAGVYSEIVRIPLEKKNVVFVGDGMGKTVVTGSLTVGQPGISTYNTATVAVLGDGFMASGITFQNAAGPPTHQAVAFRSDSDLSYIENCEFLGNQDTLYAHSLRQFYKSCRIQGNVDFIFGNSAAVFQDCQILVSPRQEKPDKGENNAVTAHGRRDPAQPTGFVFQNCSINGTAEYMALYYSKPKVHKNFLGRPWKEYSRVVYINCYFEALITAQGWLPWSGDFALSTLYYGEFKNSGPGSSLSQRVTWSSQIPAEHVNAYSVQNFIQGDEWMPTSS >Manes.14G025200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2341975:2349880:-1 gene:Manes.14G025200.v8.1 transcript:Manes.14G025200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTQYGIKYAVHLITNHFGNLVAKVCECLLRKGPLTLHNIVRYTELTQMQVKNSLLVLIQHNCVQAFRLADTGGSQSAPKQITQYIALFDNILHRMRFSKFLAIISQEFDTLCVGIVEGLLQHGRLTLRQIVERANSSQKEGNSVGMGAVQENLRKLVMARYVEHCPTAEPFLESPTEDDAPARKRGAKSSKMVIEPETLEQRVMEAARPMEAKRFSLEMDTELNEDGEKDQNKSPDKHVGDKRKRDASDPNVDSDTTEDQVVLWHANFEEFIRRLRHKACIENVRARMDDGAAIVLSAMLEASKTEEKKVRTASSVPLSVNSIYEEVIKSEKGRNMTFDHVRSALVQLSSPPPFVTVIDESYSIDFKHIIEVAQSEEVESIVLKRFGRDAYRMFRLLSKAGRLLETDKISDKIFVEKKETTKILYKLWQDDYLLMEKLVVGTATFLLWKVNKHVLWEHVLDEMFHAALNLSLRVAYELEQQKEIVNLPTDRREGPMKEKYEKLKKMRVLMESSQMKLDDAIMLFHDF >Manes.10G001500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:557561:561475:1 gene:Manes.10G001500.v8.1 transcript:Manes.10G001500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEISLVPSQNGLNSQLVFQDDPLRFNCGPPQRRVGGDPGPKRATRELTGFIDDKLFSTSNSAADAANNRYFSSHQGGPEFPRNMYRENRNWNGTGTSGEESDGDEDEDEDDEDDVDDEDDDVGGGDGDHDNEVGALVGVNSSTSNTNNNNNSGKSNNNNTATTTSTTSNTGNVDKMGNGNGRPKHHPSFVGATGELMAVKDGGGSIGQTGNNTVTIAEPDGDMYYSQYLQATEGSGGAGKDMGVENGCGFSGRKEGSSFSCESGESLRAILSDPVTGALMDDAMILPCGHSFGAGGIQHVIRMKSCYTCSQSVSEDLIASNLSLRAAVQAFRREEELQFYRSSKRRRERFDQDKGVYGDSPGVDTPRGRGVQFPFVVTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLEKVSDDPSSKATSSKMPPNWL >Manes.03G031145.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2551775:2552892:1 gene:Manes.03G031145.v8.1 transcript:Manes.03G031145.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRKKYKCVNVHFARSVWILSYMGWQSTGLQCFSDWFRVVFVSVGVENATKMIMICWSLWYNRNLIVWEHKFKSPQQVYSLSMRYLQEWRAAATPLVQQNGSNVSSRSWQRPDSRLH >Manes.05G068201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5642345:5644588:-1 gene:Manes.05G068201.v8.1 transcript:Manes.05G068201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDTREINDHRYCSVGNGGETRKAQSMAYKSSPTPRKKKKKHKEFHLDLKEHKLQVALYAHGSSYLLSIEIHAAINSG >Manes.16G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4918093:4919513:-1 gene:Manes.16G038400.v8.1 transcript:Manes.16G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQSKYTPLEFLLIIAICATKTLCRPLEEVYLLNLHEQWMDQHGRTYEDAGEKQKRYAVFKDNVERIEAFNNGVDRGYKLGVNKFADLTDEEFRTMHLGYKKPASSSKLMASSSKFRSFRYGNFTAVPDILDWRKKGAVTPVKDQGSCGCCWAFSAVAATEGITKLKTGKLKSLSEQELVDCDIKGEDEGCDGGFMDSAFQYILQNKGITSESNYPYRGSDGICNREKAATPAADITGYEDVPSNNEKALLQAVANQPVSVAIDGSSYDFRFYSSGVFNGDCTTYLDHAVTAIGYGTAGDGTKYWLIKNSWGTSWGEGGYMMMQRDVSAKEGLCGIAMKASYPTA >Manes.05G163100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27561775:27566460:-1 gene:Manes.05G163100.v8.1 transcript:Manes.05G163100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKTSDRLSVFLDSGIYRFENSNVVFIDPVRVLNLSYNRHRVSPTAYYSRFFHSKCSGQDRHETKLSSSSKKRKRREKKPHSLNERERAADQRHRQARPMLLKALECLLTATDLLAIMRNLRSESCPFECREAPLHHNEHALTELCRVWQAPLYEITLNFPKHHEPSKDVGSPIDQCNGQRIIPVFNNLVVNETISDVDAEFLNEKYILPRESCFYMSDLEQIHNLIPAESDCGFNLIVVDPPWENASASQKLLYPTLPNRYFLSLPIKQLAHIDGALIALWVTNREKLRNFVEKELFPSWGAHYAATFYWLKVKADGSLISDLDLFHHRPYECLLLGYCHGKGRNSEHMSSWSDKVIISIPGDYSRKPPIGELLLEHVPGPKHARCIELFAREMIGGWTSWGNEPLHFQKSSYFVER >Manes.13G146095.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36125246:36132496:1 gene:Manes.13G146095.v8.1 transcript:Manes.13G146095.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGKQRMMGKVVKKEAAELVWESSDDDGYVKMGCVENDVESNKKKKVVAGGCGGGGGGGVGGGGGKKGSGGGGCGGSGGMRCCQADMCMADLSDAKPYHRRHKVCENHAKAQIVLVAGIRQRFCQQCSRFHELSEFDETKKSCCRRLAGHNERRRKNAAESHSEVGNHKGTVTQLKDMVCGQVDDRGRIKITIQENATYKHFQIR >Manes.10G133100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29827658:29832211:1 gene:Manes.10G133100.v8.1 transcript:Manes.10G133100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFGETEPIQLFVKMMNSEAPPIEINADANNRIQIIHEKILVTWKIPVTGQRLFYERKQLLRQDRLIDYSIQNKACLELMVGWDDKDDPSDILQMIHQMSSNICRMCQGQDESASTYKDCFDILREALKREAEVGILSLSSVPATLVMLYSSPIGGNKSYGNNLIRLSLDTLLMTDNGISAGKIAYLGVEFCILLREVSCEDLLYKSCRTMLADYLEHNYEILYDYYPGAVIEILFFAIKLSEDLSNGLCNIFYRSEYIESLRIQVRDLGKFLCVPRKVINVQINEDEDDDKNIMIGYTNAVINVLFKDHLKDMKQNLTRLPDMIKIFERLHTTHSVSLLYLAILNELNSISQLVKDGADKLQRALEGQKNSLQIMVRNIMRSDDYDWFLKHIVVLDSESRMHLVMMKMFPEKKLRDAQLLNPLFCWSEKLDKKLFNAFKYKDLTDPKILHPWLCKVCQVLFEPKNLLFRACPDNPTDFYPNPELEPEPFHLDCFKFAGQVIALALMHEIQVGVALGRVFLMQLARKNISLEDVKDADPCFYNRCKEFINKDDLSALESPKVKFGFKTGKTMKLYPSHGYIKSLVRHCFVHSISKQVSFFSKGFEMIFKTSISQLLEDFKGLKLEDLNHVLKGNGNAGSNFGKKRKSLNHECNGSDPLMSQLQKIRRRRINITDWQWGDFLGSGSFGQVHEGYTSDGFFFAVKVAPLLEGKKVDQIEQEIALLRQFSHPNIVKYFGTHKDEMNLYIFLELVRAGSLEKLYQKFQLQDSQVSLYTKQILKGLKYLHDRNVVHRDIKCANILVNENGCVKIADFGLSRVTNLKTLMKSCWWNPRWMPPEVVNGKGGGYGLKADIWSVGCVVLEMSTRQIPYSHLEPGAVDYSIGEGKLPRLPDSLTEHSRDFILQCLQVNPNDRPTAAKLLEHPFVKGRCS >Manes.10G133100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29827658:29832219:1 gene:Manes.10G133100.v8.1 transcript:Manes.10G133100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFGETEPIQLFVKMMNSEAPPIEINADANNRIQIIHEKILVTWKIPVTGQRLFYERKQLLRQDRLIDYSIQNKACLELMVGWDDKDDPSDILQMIHQMSSNICRMCQGQDESASTYKDCFDILREALKREAEVGILSLSSVPATLVMLYSSPIGGNKSYGNNLIRLSLDTLLMTDNGISAGKIAYLGVEFCILLREVSCEDLLYKSCRTMLADYLEHNYEILYDYYPGAVIEILFFAIKLSEDLSNGLCNIFYRSEYIESLRIQVRDLGKFLCVPRKVINVQINEDEDDDKNIMIGYTNAVINVLFKDHLKDMKQNLTRLPDMIKIFERLHTTHSVSLLYLAILNELNSISQLVKDGADKLQRALEGQKNSLQIMVRNIMRSDDYDWFLKHIVVLDSESRMHLVMMKMFPEKKLRDAQLLNPLFCWSEKLDKKLFNAFKYKDLTDPKILHPWLCKVCQVLFEPKNLLFRACPDNPTDFYPNPELEPEPFHLDCFKFAGQVIALALMHEIQVGVALGRVFLMQLARKNISLEDVKDADPCFYNRCKEFINKDDLSALESPKVKFGFKTGKTMKLYPSHGYIKSLVRHCFVHSISKQVSFFSKGFEMIFKTSISQLLEDFKGLKLEDLNHVLKGNGNAGSNFGKKRKSLNHECNGSDPLMSQLQKIRRRRINITDWQWGDFLGSGSFGQVHEGYTSDGFFFAVKVAPLLEGKKVDQIEQEIALLRQFSHPNIVKYFGTHKDEMNLYIFLELVRAGSLEKLYQKFQLQDSQVSLYTKQILKDFGLSRVTNLKTLMKSCWWNPRWMPPEVVNGKGGGYGLKADIWSVGCVVLEMSTRQIPYSHLEPGAVDYSIGEGKLPRLPDSLTEHSRDFILQCLQVNPNDRPTAAKLLEHPFVKGRCS >Manes.02G051600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4118130:4124661:-1 gene:Manes.02G051600.v8.1 transcript:Manes.02G051600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAASFSGISLFPPSPHQSNRNVLPLPSLSFPAKRNSLKSLLLKRSGVGYESISRSRRSFVVRCNASNGRITQQEFTEMAWQGIVSSPDVAKENKHQIVETEHLMKALLEQKNGLARRIFSKAGVDNTRLLEATDKFIQRQPKVLGESAGSMLGRDLEALIQRAREYKKDYGDSFVSVEHLVLAFTQDQRFGKQLFRDFQISQQTLKSAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEIDRSVLKLEMEKLSLTNDTDRASRDRLNRLEAELSLLKKKQMELTEQWEHEKTVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLGSAEKELDEYMSSGKSMLREEVTGDDIAEVVSKWTGIPVSKLKQSEKEKLLHLEEELHKRVVGQDNAVRSVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETIRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILDTDDDMPKEVAYETIKQRVLEAARSVFRPEFMNRVDEYIVFQPLDRDQINSIVRLQLERVQQRIADRKMKMQVTETAVELLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGEFKDEDTVLVDTEVSAFSNGQLPQQKLVFKRLEIDANASAAENQAVSRTL >Manes.07G124100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32761995:32766276:-1 gene:Manes.07G124100.v8.1 transcript:Manes.07G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSRLFTIGLVGSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIVWMKMVPMQTIRSRLQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAVFAYLMTLKREAWLTYVTLIPVVTGVIIASGGEPSFHLFGFIMCVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEDNVVGITLALARDDIKIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVFGVILYSEAKKRSK >Manes.07G124100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32762872:32766276:-1 gene:Manes.07G124100.v8.1 transcript:Manes.07G124100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSRLFTIGLVGSWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIVWMKMVPMQTIRSRLQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAVFAYLMTLKREAWLTYVTLIPVVTGVIIASGGEPSFHLFGFIMCVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEDNVVGITLALARDDIKIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVSLVLIDFSKYLLLMP >Manes.01G009200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3015682:3022874:1 gene:Manes.01G009200.v8.1 transcript:Manes.01G009200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGGGEGGGTTLEYTPTWVVAGVCSVIVIISLLLERFLHYLGKLLKKKKQKPLFEALLKIKEELMLLGFISLLLTVFQDGITTICISEELANKWLPCKKVSTTTETDHLQTFFSGGSTRRLLDESSDSPSSCDKGKVPILSITALHHLHIFIFFLACLHVAFCALTILFGMAKIRQWKKWEDLVSNLEYDLEQAKSSKFTHVREHDFIKKRFMGIGKNFYFMGWVHSFFKQFYVSVNRSDYNTLRLGFIATHCRGNPKFNFHKYMVRALEADFKKVVGISWYLWLFVVIFLLLNVAGWEAYFWIAFIPFFLLLAVGTKLEHIINELAHEVAEKHIAITGDLVVQPSDAHFWFHKPQIVIILIHIILFQNSFELAFLFWIWVQYGIHSCILGDTPYIIPRLIIGLSAAIVLYHSMQLSHRWELHSRKQYLMNIYERVLLFGLSRPKRRQLKEKVLLLVDLAK >Manes.01G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3015682:3022873:1 gene:Manes.01G009200.v8.1 transcript:Manes.01G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGGGEGGGTTLEYTPTWVVAGVCSVIVIISLLLERFLHYLGKLLKKKKQKPLFEALLKIKEELMLLGFISLLLTVFQDGITTICISEELANKWLPCKKVSTTTETDHLQTFFSGGSTRRLLDESSDSPSSCDKGKVPILSITALHHLHIFIFFLACLHVAFCALTILFGMAKIRQWKKWEDLVSNLEYDLEQAKSSKFTHVREHDFIKKRFMGIGKNFYFMGWVHSFFKQFYVSVNRSDYNTLRLGFIATHCRGNPKFNFHKYMVRALEADFKKVVGISWYLWLFVVIFLLLNVAGWEAYFWIAFIPFFLLLAVGTKLEHIINELAHEVAEKHIAITGDLVVQPSDAHFWFHKPQIVIILIHIILFQNSFELAFLFWIWVQYGIHSCILGDTPYIIPRLIIGAFVQFVCSYSTLPLYAIVTQMGTSFKKAIFDEHIREGLVVWAKQAKKKTVERKGATASGSSQVASQASTQASSQASTQVSSQASTQVSSQAPTLSSQASSQVTSQVGKQEDSPGAVQLARTGSRGSKTEEREAGDISHEADSDRPK >Manes.06G105900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23860607:23865374:-1 gene:Manes.06G105900.v8.1 transcript:Manes.06G105900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAFTVSSSPALPLLKPRRSLVNNCFTARCGSIRASSTRQYLEASSNVVFPRLSWSFSSSPSLLRPWNPLPPLVSETKIDRFEVRATAVPESAGEAEKSNSLAKTLELGLLFGLWYLFNIYFNIYNKQVLKVYPYPVTVTAVQFAVGTVLVILMWTFNLHKRPKISGAQLAAIVPLAMVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTIWVVGSLMPIVGGVALASATEASFNWAGFWSAMASNLTNQSRNVLSKKVMVKKEESIDNITLFSIITIMSFILLAPVSLFMEGVNFTPAYLQSAGLNIKEVYIRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVLFFQTPVSPINSIGTGIALAGVFLYSRVKRIKPKPKTA >Manes.14G072000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5993372:5993806:-1 gene:Manes.14G072000.v8.1 transcript:Manes.14G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVRSNDSLCEKSMKMVVSIIKLSSFSIAKMSLGATEPAVVTKKLAPVTGSVMDANGPLLPQIPRSHKSQQVRSRSKPFSFVMQPDEGNSSAYTIHEENSVIDGMASDYIRKFHEKNSYDAHFHEISNLSAYRPTPPSRAVK >Manes.10G073266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13734983:13769666:1 gene:Manes.10G073266.v8.1 transcript:Manes.10G073266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDVSSLQNIYVDSCERHGVLPNAAILSGFFKAEVKKTCNELCSLDIFLDQLNDFDFPPLLDVCLSIETSEIEAVDIHNGSSRVLNGDYALSLMRAFNQKLRVVDLQDSLYGKDFLRELSQGGLTCQVLNLRSSRFRKLNMAGEFMRICTLNLDFNTSLSSFREDCFTCMPNLSCLSMCATRVANLWTTIAALSKLSSLVELRFQKWMCCNEAGSSSAPSGDKSDYQCEFGQMSSFSNNEALPIVRSEQTDFNLGTEEALRNMFSFNDVTINQEVQSMMEDSSDDSEVDFSTHWQEFEYMDSLSNETSARNRQINVQNEVSFGTMCNHSEESLAGAFTRHIADVALKYISCHASPICYEKHYKEYMIASLPHLKTLDNLPIRKIDRERAAVTYSQYFEYLPYNRKYKESVVHILNKREIKESRTSLQTKNHKPSYPCRNSQSFYTRSFCAAKVSSSAWPSLHPLSVSGGDLGGGRRSFRPRQFEYHPSISSLLVFGTLDGEVVVVNHENDKIVSYVPSLGAMNSVLGLCWLKSYPSKLIAGSDNGSLKLYDVEHMIPTRTGMCSGAGSVTFDDFDQLTSVHVNATDELFLASGYSKNVALYDINSGRRIQMFTDMHREHINVVKFSNHSPSVFATSSFDRDVKLWDLRQRPIQPCYTTSSSNGNVMVCFSPDDHYLLVSAVDNEVRQLLAVDGRPHLNFEISPTASSQNYTRSYYMNGRDYIISGSCDEHVVRVCCAQTGRRLKDISLEGRGSGTSMYVQSLRGDPFRDFNMSILAAYMRPNSKYEIVKVNLLASGDRTKECSYSQHSQSSNSMGG >Manes.01G016000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4094332:4106528:-1 gene:Manes.01G016000.v8.1 transcript:Manes.01G016000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWNFFITFFLNGFYQVQRHREYRQKIISNYQPLNRELYAMHPSTFFVPSFIKAISDNTEESFRSIMSEPSPGVFTFEMLQPQFCNLLLSEVENFEKWVNDAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMDGFVRPISKVFFPEVGGSTLDSHHGFVVEYGKDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQSEEIFDYSHVPGGAVLHRGRHRHGARATTSGHRINLLLWCRSSVFREMKKYQKDFSNWCGECLREKKERQRLSIASAKSELLRKDGESTA >Manes.01G016000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4094332:4110621:-1 gene:Manes.01G016000.v8.1 transcript:Manes.01G016000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRRDVPPVAGDGNGTVAAANSGKQATPVVSVTPSQRLRLNPNKDHKPESYEDLQLDFSPSVFSSLEQYLPPSMLSVSRDDKMKFMREILLKYLPHGEGTRVQRHREYRQKIISNYQPLNRELYAMHPSTFFVPSFIKAISDNTEESFRSIMSEPSPGVFTFEMLQPQFCNLLLSEVENFEKWVNDAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMDGFVRPISKVFFPEVGGSTLDSHHGFVVEYGKDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQSEEIFDYSHVPGGAVLHRGRHRHGARATTSGHRINLLLWCRSSVFREMKKYQKDFSNWCGECLREKKERQRLSIASAKSELLRKDGESTA >Manes.01G016000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4094332:4110621:-1 gene:Manes.01G016000.v8.1 transcript:Manes.01G016000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRRDVPPVAGDGNGTVAAANSGKQATPVVSVTPSQRLRLNPNKDHKPESYEDLQLDFSPSVFSSLEQYLPPSMLSVSRDDKMKFMREILLKYLPHGEGTRVQRHREYRQKIISNYQPLNRELYAMHPSTFFVPSFIKAISDNTEESFRSIMSEPSPGVFTFEMLQPQFCNLLLSEVENFEKWVNDAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMDGFVRPISKVFFPEVGGSTLDSHHGFVVEYGKDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQSEEIFDYSHVPGGAVLHRGRHRHGARATTSGHRINLLLWCRSSVFREMKKYQKDFSNWCGECLREKKERQRLSIASAKSELLRKDGESTA >Manes.01G016000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4094332:4110621:-1 gene:Manes.01G016000.v8.1 transcript:Manes.01G016000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWNFFITFFLNGFYQVQRHREYRQKIISNYQPLNRELYAMHPSTFFVPSFIKAISDNTEESFRSIMSEPSPGVFTFEMLQPQFCNLLLSEVENFEKWVNDAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMDGFVRPISKVFFPEVGGSTLDSHHGFVVEYGKDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQSEEIFDYSHVPGGAVLHRGRHRHGARATTSGHRINLLLWCRSSVFREMKKYQKDFSNWCGECLREKKERQRLSIASAKSELLRKDGESTA >Manes.01G016000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4094320:4110806:-1 gene:Manes.01G016000.v8.1 transcript:Manes.01G016000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDRRDVPPVAGDGNGTVAAANSGKQATPVVSVTPSQRLRLNPNKDHKPESYEDLQLDFSPSVFSSLEQYLPPSMLSVSRDDKMKFMREILLKYLPHGEGTRVQRHREYRQKIISNYQPLNRELYAMHPSTFFVPSFIKAISDNTEESFRSIMSEPSPGVFTFEMLQPQFCNLLLSEVENFEKWVNDAKFRIMRPNTMNKYGAVLDDFGLETMLDKLMDGFVRPISKVFFPEVGGSTLDSHHGFVVEYGKDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQSEVC >Manes.09G127400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33026400:33031478:1 gene:Manes.09G127400.v8.1 transcript:Manes.09G127400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAPDCFSSSAASSTLSSSLSHNRKFSFLFSKASVSINSPIRTRSIRLCTASLITNPDSFEVGKLIGSYGFMNVTSYSGFQSGMDMEFSSPGNMGQLKVQDVGEGGVKIRLYEGRIALGSLKGTPVMFKVYPGQRAGGVEADMMAANELNAHAVLQSSSKGICQNVVILVGGFETKTGEQWLAFRNDGKYSAADYAKIMSERISKSRSMGENSWNRFELGQTIKLRRYFVIKLLRGAISGLAYMHDHDRLHQSLGPSSIVLKMSCLEEGLGTLSEGLWRRAIAAGAFTPMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGIIDSLSLQRLLENTFQLDLEAVRE >Manes.09G127400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33026400:33031477:1 gene:Manes.09G127400.v8.1 transcript:Manes.09G127400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAPDCFSSSAASSTLSSSLSHNRKFSFLFSKASVSINSPIRTRSIRLCTASLITNPDSFEVGKLIGSYGFMNVTSYSGFQSGMDMEFSSPGNMGQLKVQDVGEGGVKIRLYEGRIALGSLKGTPVMFKVYPGQRAGGVEADMMAANELNAHAVLQSSSKGICQNVVILVGGFETKTGEQWLAFRNDGKYSAADYAKIMSERISKSRSMGENSWNRFELGQTIKLRRYFVIKLLRGAISGLAYMHDHDRLHQSLGPSSIVLNTIVEKDAAYLVPRLRDLAFSVDIRMSCLEEGLGTLSEGLWRRAIAAGAFTPMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGIIDSLSLQRLLENTFQLDLEAVREYCLADDKLEEAVKFLDLGDRAGWQLLQKLC >Manes.09G127400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33026400:33031477:1 gene:Manes.09G127400.v8.1 transcript:Manes.09G127400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAPDCFSSSAASSTLSSSLSHNRKFSFLFSKASVSINSPIRTRSIRLCTASLITNPDSFEVGKLIGSYGFMNVTSYSGFQSGMDMEFSSPGNMGQLKVQDVGEGGVKIRLYEGRIALGSLKGTPVMFKVYPGQRAGGVEADMMAANELNAHAVLQSSSKGICQNVVILVGGFETKTGEQWLAFRNDGKYSAADYAKIMSERISKSRSMGENSWNRFELGQTIKLRRYFVIKLLRGAISGLAYMHDHDRLHQSLGPSSIVLNTIVEKDAAYLVPRLRDLAFSVDIRMSCLEEGLGTLSEGLWRRAIAAGAFTPMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGIIDSLSLQRLLENTFQLDLEAVREYCLADDKLEEAVKFLDLGDRAGWQLLQAMLDSDFRKRPIAEAVLNHRFLTGAVLE >Manes.09G127400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33026400:33031477:1 gene:Manes.09G127400.v8.1 transcript:Manes.09G127400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAPDCFSSSAASSTLSSSLSHNRKFSFLFSKASVSINSPIRTRSIRLCTASLITNPDSFEVGKLIGSYGFMNVTSYSGFQSGMDMEFSSPGNMGQLKVQDVGEGGVKIRLYEGRIALGSLKGTPVMFKVYPGQRAGGVEADMMAANELNAHAVLQSSSKGICQNVVILVGGFETKTGEQWLAFRNDGKYSAADYAKIMSERISKSRSMGENSWNRFELGQTIKLRRYFVIKLLRGAISGLAYMHDHDRLHQSLGPSSIVLKMSCLEEGLGTLSEGLWRRAIAAGAFTPMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGIIDSLSLQRLLENTFQLDLEAVREYCLADDKLEEAVKFLDLGDRAGWQLLQAMLDSDFRKRPIAEAVLNHRFLTGAVLE >Manes.09G127400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33026400:33031477:1 gene:Manes.09G127400.v8.1 transcript:Manes.09G127400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAPDCFSSSAASSTLSSSLSHNRKFSFLFSKASVSINSPIRTRSIRLCTASLITNPDSFEVGKLIGSYGFMNVTSYSGFQSGMDMEFSSPGNMGQLKVQDVGEGGVKIRLYEGRIALGSLKGTPVMFKVYPGQRAGGVEADMMAANELNAHAVLQSSSKGICQNVVILVGGFETKTGEQWLAFRNDGKYSAADYAKIMSERISKSRSMGENSWNRFELGQTIKLRRYFVIKLLRGAISGLAYMHDHDRLHQSLGPSSIVLKMSCLEEGLGTLSEGLWRRAIAAGAFTPMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGIIDSLSLQRLLENTFQLDLEAVREYCLADDKLEEAVKFLDLGDRAGWQLLQKLC >Manes.09G127400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33026400:33031477:1 gene:Manes.09G127400.v8.1 transcript:Manes.09G127400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVYPGQRAGGVEADMMAANELNAHAVLQSSSKGICQNVVILVGGFETKTGEQWLAFRNDGKYSAADYAKIMSERISKSRSMGENSWNRFELGQTIKLRRYFVIKLLRGAISGLAYMHDHDRLHQSLGPSSIVLNTIVEKDAAYLVPRLRDLAFSVDIRMSCLEEGLGTLSEGLWRRAIAAGAFTPMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGIIDSLSLQRLLENTFQLDLEAVREYCLADDKLEEAVKFLDLGDRAGWQLLQAMLDSDFRKRPIAEAVLNHRFLTGAVLE >Manes.09G127400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33026400:33031478:1 gene:Manes.09G127400.v8.1 transcript:Manes.09G127400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAPDCFSSSAASSTLSSSLSHNRKFSFLFSKASVSINSPIRTRSIRLCTASLITNPDSFEVGKLIGSYGFMNVTSYSGFQSGMDMEFSSPGNMGQLKVQDVGEGGVKIRLYEGRIALGSLKGTPVMFKVYPGQRAGGVEADMMAANELNAHAVLQSSSKGICQNVVILVGGFETKTGEQWLAFRNDGKYSAADYAKIMSERISKSRSMGENSWNRFELGQTIKLRRYFVIKLLRGAISGLAYMHDHDRLHQSLGPSSIVLNTIVEKDAAYLVPRLRDLAFSVDIRMSCLEEGLGTLSEGLWRRAIAAGAFTPMEKRAFGIADDIYEAGLLFAYLAFVPFCEAGIIDSLSLQRLLENTFQLDLEAVRE >Manes.13G139600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34747199:34753691:-1 gene:Manes.13G139600.v8.1 transcript:Manes.13G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGVTKMCSSPLRFHHFVLQFPLVRSISSLEQTLKAKVEAKAYQEIPDLFESYRGEYFENPNPFSFLSTFSLNLRTQVVDEILQSFIPLRPHFRPQLVYSCLLSYTLQSPSPLPLALAILQRTFRSGCSPVPQTRLLLSSAWLDRRRQSHTVANILEEMESIGYRADSGICNYLVSSLCAVDQLQEAVKVLKGMGRAGCVPDLEGYGFVIGSMCTARRTADAVEMLKEMVVKIGLNPRHGTVVKIAAALRANREIWTAVEMIEFMEREGCHVGFESYELVLEGCLECKEYLLAGKVAMRMTEKRFIPYIKVRQKVVEKLAGVGEWKLACAVRQRFTELSS >Manes.16G068700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356993:26364185:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLRARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26357002:26364154:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364580:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356983:26364154:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPVHTWGHKYT >Manes.16G068700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364580:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356905:26364185:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364580:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364579:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356983:26364154:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPVHTWGHKYT >Manes.16G068700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364580:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364580:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364580:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356983:26364154:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPVHTWGHKYT >Manes.16G068700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26357002:26364154:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364579:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356993:26364185:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLRARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364580:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364579:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364580:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356905:26364185:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKREKEEERIERIIRGLLKLSENRRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSVSMAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.16G068700.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:26356849:26364579:1 gene:Manes.16G068700.v8.1 transcript:Manes.16G068700.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKAEEVSALQAGGNERARQIYFKEWDPQQNSYPDGSNMHKLRDFVKHVYVDRKYTGERSHERLPKLRLSGKEEFHEKKKLGIYSGSYRSPNYENRYDQKGRSLPGGISDDKSRRYYNDERRSPCFAREHSIYGGFKKSPLRFEVVDDRFRDDGTQNTRESANHRFLHRNGSFGSLSPDRVKRRDLSSPPVARPIEDILGKNAPVLQVGERSKATIGKNSDGSAHNQVIASSGSKGSIDGKTAEEKNQKLESLINFDSDSMPSNAEAGPQTQENHQLSDGGNHKSNESSTKQVVPQVPKPNTLELLLFELSVPSVGSAGSVPEDSNNDKPPSTTSGGNMPMSSGISAAEPPGMMLALPENVGGSTSAPGGNVPFDVVSPASPPGQMLAVSTSAAIPSEGNMPNGNVSAAVPVGQILTSSNNDGPSSNALRENKAASGIPQSAPVEQTLSLFDTFDSTTPSTTSLHVQPSEGTPSQAAPDIHGDSIFKFTNKQQVRSMQQHQLFAFPAAENGPGGQPTSTTKVGDLNNQLCTSLNAPDAQGPFSASAFFAHDVTKANRDSSSGTKSQQFPVETKSIERKELPADLFAASYSPVTGSIPGWQSALPYGMGFSTQYYPNAVPVLPYPNQAKSSNPFDLNNESTSAQDSPAAPANVLVPRNLMPATGIDTNSLGLMAPSYASVLPSSPFASPNSGAYMGPQVHMNGQPSRLQGAAGGYGTDAYFGSLNMDHQSSGGYSLPSAPNSLPSMGGNPFG >Manes.14G101100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8338756:8343213:-1 gene:Manes.14G101100.v8.1 transcript:Manes.14G101100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEKMEEKGVHAKRQKGGMVTMPFIFANEACEKLAVVGFNTNMISYLTTQLHMPLTKAANTLTNFSGTASLTPLIGAFCADAFAGRFWTITVASILYQIGMTSLTLSAILPNLRPPPCKGDYCKEANTGQLAVLYASLLLTALGSGGIRPCVVAFGADQFDETDPKQSTKTWKYFNWYYFVMGVSILLAVTMLVYVQDNVGWGLGLGIPSIAMFLSIIAFIVGYPLYRNLDPAGSPFTRLLQVSVAAFRKRKLPMVSDPMLLYQNEELDAPISIGGKLLHTKHMKLLDKAAIVTEEDQLKAGQTPNLWRLNTVHRVEELKSVIRMGPIWAAGILLITAYAQQSTFSLQQAKSMDRHLSKSFQIPAGSMSVFTMTSMLTTIAIYDRFFVPFARRFTGLERGITFLHRMGIGFVISILATLVAGFVEIKRKHVAAANGLLNSHESIPISVFWLVPQYSLHGIAEAFMSIGHLEFFYDQAPESMRSTAMALFWTAISFGNYVSTLLVTLVHKFSAGPDGSNWLPDNNLNKGKLEYFYWLITLMQAINLVYYLICAKMYTFKPIQIHTKEVSDSKDDGVAVELTNKV >Manes.16G045400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7727924:7739284:1 gene:Manes.16G045400.v8.1 transcript:Manes.16G045400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHTQSLTACFPLSQFTIPKPITKLQFAPPKQNPFRQLSLKCSLSTVSEPAHLESSIDKPFPAEVSRTIMELSSVGTLSTLTSESWPLGVGVRFAVDDDGTPVLCLSDRHSQFSVDKRSSLHVQLEQCGMRTQQCTIQGSLQKPDDGKVLKWQQSLWKKRFGEEVDDELIYVIAVERVLQMEDFMEDGVWVSSSDYKNAIPDPLRGSAEAIVNEINDKNIEDVHRFCNIYVDLDFQAMVKERTCGSLSWHTSVVKETCWILSLTYFMNNK >Manes.16G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:7727924:7739284:1 gene:Manes.16G045400.v8.1 transcript:Manes.16G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHTQSLTACFPLSQFTIPKPITKLQFAPPKQNPFRQLSLKCSLSTVSEPAHLESSIDKPFPAEVSRTIMELSSVGTLSTLTSESWPLGVGVRFAVDDDGTPVLCLSDRHSQFSVDKRSSLHVQLEQCGMRTQQCTIQGSLQKPDDGKVLKWQQSLWKKRFGEEVDDELIYVIAVERVLQMEDFMEDGVWVSSSDYKNAIPDPLRGSAEAIVNEINDKNIEDVHRFCNIYVDLDFQVTEAKMIWVDRLGLDIRVYSPERGVFDVRIPFPREVTDEKGAKSSFNGMSQLAWEVERNYRLPDFNKVTQLKRITYRGL >Manes.03G130151.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25652705:25654855:1 gene:Manes.03G130151.v8.1 transcript:Manes.03G130151.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRYNLMRQGSGVWRSLRDGDFEEEDVWDVLRERKSASSQYEKSIESSFSVTRNLPSAARMIPRATSSGSSSNNSSHEAKVVQQSAPVNIPDWSKFCKNKSKKNASSFHQEHHDDDDDDDDHDHESNDDNYHGVVNDDSDDEFENEGGDYYYKLPPHELIARRLARSQISSFSVFEGIGRKLKGRDLSKVRNAVLIKTGFLESP >Manes.03G130151.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25652475:25654722:1 gene:Manes.03G130151.v8.1 transcript:Manes.03G130151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRYNLMRQGSGVWRSLRDGDFEEEDVWDVLRERKSASSQYEKSIESSFSVTRNLPSAARMIPRATSSGSSSNNSSHEAKVVQQSAPVNIPDWSKFCKNKSKKNASSFHQEHHDDDDDDDDHDHESNDDNYHGVVNDDSDDEFENEGGDYYYKLPPHELIARRLARSQISSFSVFEGIGRKLKGRDLSKVRNAVLIKTGFLESP >Manes.03G130151.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25652475:25654479:1 gene:Manes.03G130151.v8.1 transcript:Manes.03G130151.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRYNLMRQGSGVWRSLRDGDFEEEDVWDVLRERKSASSQYEKSIESSFSVTRNLPSAARMIPRATSSGSSSNNSSHEAKVVQQSAPVNIPDWSKFCKNKSKKNASSFHQEHHDDDDDDDDHDHESNDDNYHGVVNDDSDDEFENEGGDYYYKLPPHELIARRLARSQISSFSVFEGIGRKLKGRDLSKVRNAVLIKTGFLESP >Manes.15G164800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819187:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHGVERVHRLIDMISNICLRLLWHFLHLLVSLWYLNVGIVQMIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819187:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823601:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819187:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHGVERVHRLIDMISNICLRLLWHFLHLLVSLWYLNVGIVQMIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823601:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819187:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823601:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823601:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.15G164800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13819639:13823600:-1 gene:Manes.15G164800.v8.1 transcript:Manes.15G164800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHGVERVHRLIDMISNICLRLLWHFLHLLVSLWYLNVGIVQMIESYLVSSGLLKRYNALDVGKIQYLAIVVDSEDTYKFSKVLQLLKWLEAIGVRHLCLYDSKGVLKTFKKTIVKSLKNAMLFEEAVEKDLPLDEKKMTLEFTSSSDGKGAITEAANLLFMKYLKSAKAGVEQEEQLFTEAQMDEALKALGHRGPEPDLLLVYGPVRCYLGFSPWRIRYTEIVHMGPLQSMRYGSLIKALYKFTTVCQNYGK >Manes.13G111900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31707138:31711973:-1 gene:Manes.13G111900.v8.1 transcript:Manes.13G111900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGKSSNIVWHKSSVDKFDRQELLQQKGCVIWITGLSGSGKSTLACALSRGLHSRGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADSGVICIASLISPYRKDRDGCRALLPDGDFIEVFMDVPLQVCEARDPKGLYKLARAGKIKGFTGVDDPYEPPLNCEIVLKQMGDDCPSPCDMAETVISYLEEKGYLQA >Manes.13G111900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31707138:31712039:-1 gene:Manes.13G111900.v8.1 transcript:Manes.13G111900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGKSSNIVWHKSSVDKFDRQELLQQKGCVIWITGLSGSGKSTLACALSRGLHSRGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADSGVICIASLISPYRKDRDGCRALLPDGDFIEVFMDVPLQVCEARDPKGLYKLARAGKIKGFTGVDDPYEPPLNCEIVLKQMGDDCPSPCDMAETVISYLEEKGYLQA >Manes.13G111900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31707138:31711973:-1 gene:Manes.13G111900.v8.1 transcript:Manes.13G111900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVHGARHFACSYLPEFESGPLVPCKLGFAKFSIGNNAVNNLGLCRGGDARLRSSRIIKAMEESAAFLTKDCVSISGKDHQIMSCNGKSSNIVWHKSSVDKFDRQELLQQKGCVIWITGLSGSGKSTLACALSRGLHSRGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADSGVICIASLISPYRKDRDGCRALLPDGDFIEVFMDVPLQVCEARDPKGLYKLARAGKIKGFTGVDDPYEPPLNCEIVLKQMGDDCPSPCDMAETVISYLEEKGYLQA >Manes.13G111900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31707138:31711973:-1 gene:Manes.13G111900.v8.1 transcript:Manes.13G111900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVHGARHFACSYLPEFESGPLVPCKLGFAKFSIGNNAVNNLGLCRGGDARLRSSRIIKAMEESAAFLTKDCVSISGKSTLACALSRGLHSRGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADSGVICIASLISPYRKDRDGCRALLPDGDFIEVFMDVPLQVCEARDPKGLYKLARAGKIKGFTGVDDPYEPPLNCEIVLKQMGDDCPSPCDMAETVISYLEEKGYLQA >Manes.13G111900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31707138:31711975:-1 gene:Manes.13G111900.v8.1 transcript:Manes.13G111900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGKSSNIVWHKSSVDKFDRQELLQQKGCVIWITGLSGSGKSTLACALSRGLHSRGKLTYILDGDNVRHGLNRDLSFKAEDRAENIRRIGEVAKLFADSGVICIASLISPYRKDRDGCRALLPDGDFIEVFMDVPLQVCEARDPKGLYKLARAGKIKGFTGVDDPYEPPLNCEIVLKQMGDDCPSPCDMAETVISYLEEKGYLQA >Manes.13G072000.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:14833927:14834451:-1 gene:Manes.13G072000.v8.1 transcript:Manes.13G072000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLWLLLYGKPVISIAVFCNPPFWVLFEAQNKSPVLHFPPLYPPVKTHLWITDFVFQFLVSRLHLKSVSLLSKSFVGISFSTVRKGTVTVKASTDIKGTGSDAGESVSESDDEENLLSEKIPLDAKLQQKLEQKLRMKLAKKIRLRRKKLVRKRRMRKKGQWPPSKANKLKNV >Manes.13G072000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14833927:14834720:-1 gene:Manes.13G072000.v8.1 transcript:Manes.13G072000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTIISPPPLLPSLCASLPLSTKAISTSPVSRLHLKSVSLLSKSFVGISFSTVRKGTVTVKASTDIKGTGSDAGESVSESDDEENLLSEKIPLDAKLQQKLEQKLRMKLAKKIRLRRKKLVRKRRMRKKGQWPPSKANKLKNV >Manes.07G017700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2062516:2073088:1 gene:Manes.07G017700.v8.1 transcript:Manes.07G017700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSATCAVGSATTLLQPLLRERTIPLNSHHRCLNGTFWTGREKKKQQKVTFTSTSQSSKGCSWRPISASPFDLSPPPIDLDLLETLTADGAKASEDGIIETFDNDDEALDAFGNGVVVLDLSHFGRIRVSGDDRIQFLHNQSTANFECLHEGQGCNTVFVTPTARTIDIAYAWIMKNSIMLVVSPVTCGSIIQMLNKYIFFADKVEIEDINKKTSFFIIAGPKSNQLMVDLNLGDLVGQPYGTHHHYSVNGMPITVGVGNAISEEGYSFLMSPAAAGSVWRTLLSQGAAPMGSNAWEKLRIIQGIPVPGKELTDEFNVLEAGLWNSISLNKGCYKGQETISRLITYDGVKQRLWAIHLSAPAEPGSLITVDGRRVGRVTSYTSWIKGSGHCGLGYIKRNTVSEGSTVIIEDSIVGTVVDPPFLARQHPPSKSPSS >Manes.01G007600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2715330:2720673:-1 gene:Manes.01G007600.v8.1 transcript:Manes.01G007600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDDNVAIIGDWVPPSPSPRAFFSAIVGDGISSRTIPEPSRENRIEGLFPGSQEQMTTGNTDEKDAKQTGGSHFTELAPFSEQKSSSRGGLVERMAARAGFNAPRLNTESIRSADLSLNPEIRSPYLTIPPGLSPTTLLDSPVFLSNSLAQPSPTTGKFSFVTNGNSKNSTVVSESVDKGKDNFFEDFNASSFAFKPVPDSGSSFFLGATSKQSFPGIEVSVHSENSLQSQSVEPTKVQSQNRNTLHFPVDFSRSATEKDNGVNNVMTETRAFDTVGGSTEHSPCPDEQQDEGDQRASGDSIAGGGSGTPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCLVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRAAIGSSNPLLDVQLDVPDQTGLQSGTDNDPVWANTQKGTAAGTPDWRHDNIEVTSASIGPEFGNPSSSVQAQNGNHFESGDAVDASFTFSNDEDEDDRATHGSVGYDGEGDETESKRRKIETYPTDMGGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSGHVNSVASSTGPGQAGTALQTNIHRPEPSQVHNSMARFERSATYGSFSLPVRQQLGPTASFSFGMNQPGLANLAMAGLGPGHQPKMHIMPVHPYLAQQRPMSEMGFMLPKGEPKVEPMAEPSLNLSNNPSVYQQIMSRLPLGPHI >Manes.01G007600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2715330:2720673:-1 gene:Manes.01G007600.v8.1 transcript:Manes.01G007600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDDNVAIIGDWVPPSPSPRAFFSAIVGDGISSRTIPEPSRENRIEGLFPGSQEQMTTGNTDEKDAKQTGGSHFTELAPFSEQKSSSRGGLVERMAARAGFNAPRLNTESIRSADLSLNPEIRSPYLTIPPGLSPTTLLDSPVFLSNSLAQPSPTTGKFSFVTNGNSKNSTVVSESVDKGKDNFFEDFNASSFAFKPVPDSGSSFFLGATSKQSFPGIEVSVHSENSLQSQSVEPTKVQSQNRNTLHFPVDFSRSATEKDNGVNNVMTETRAFDTVGGSTEHSPCPDEQQDEGDQRASGDSIAGGGSGTPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCLVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRAAIGSSNPLLDVQLDVPDQTGLQSGTDNDPVWANTQKGTAAGTPDWRHDNIEVTSASIGPEFGNPSSSVQAQNGNHFESGDAVDASFTFSNDEDEDDRATHGSVGYDGEGDETESKRRKIETYPTDMGGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSGHVNSVASSTGPGQAGTALQTNIHRPEPSQVHNSMARFERSATYGSFSLPVRQQLGPTASFSFGMNQPGLANLAMAGLGPGHQPKMHIMPVHPYLAQQRPMSEMGFMLPKGEPKVEPMAEPSLNLSNNPSVYQQIMSRLPLGPHI >Manes.01G007600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2715330:2720673:-1 gene:Manes.01G007600.v8.1 transcript:Manes.01G007600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDDNVAIIGDWVPPSPSPRAFFSAIVGDGISSRTIPEPSRENRIEGLFPGSQEQMTTGNTDEKDAKQTGGSHFTELAPFSEQKSSSRGGLVERMAARAGFNAPRLNTESIRSADLSLNPEIRSPYLTIPPGLSPTTLLDSPVFLSNSLAQPSPTTGKFSFVTNGNSKNSTVVSESVDKGKDNFFEDFNASSFAFKPVPDSGSSFFLGATSKQSFPGIEVSVHSENSLQSQSVEPTKVQSQNRNTLHFPVDFSRSATEKDNGVNNVMTETRAFDTVGGSTEHSPCPDEQQDEGDQRASGDSIAGGGSGTPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCLVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRAAIGSSNPLLDVQLDVPDQTGLQSGTDNDPVWANTQKGTAAGTPDWRHDNIEVTSASIGPEFGNPSSSVQAQNGNHFESGDAVDASFTFSNDEDEDDRATHGSVGYDGEGDETESKRRKIETYPTDMGGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSGHVNSVASSTGPGQAGTALQTNIHRPEPSQVHNSMARFERSATYGSFSLPVRQQLGPTASFSFGMNQPGLANLAMAGLGPGHQPKMHIMPVHPYLAQQRPMSEMGFMLPKGEPKVEPMAEPSLNLSNNPSVYQQIMSRLPLGPHI >Manes.05G091500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:8334887:8335873:1 gene:Manes.05G091500.v8.1 transcript:Manes.05G091500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLSLFLFLLLTFIPFSQSKLSLDYYAKTCPQFQEIIQKVVTQKQKDSPITAAAVLRLFFHDCEVGGCDGSILISSTAFNKAERDAEPNLVLPGDAFDVILRAKTALEIQCPGIVSCSDILATAARDLVSMVGGPQYNVPLGRKDGLESNASRVEANLPTPNMPLSKVISLYTSKGFTVQEMVALAGARTIGFSPCKEFSNRLFNFSKTSDTDPALSPKYAEALKKLCANYTQDASMTAFNDVITPNKFDNMYYQNLQKGLGLLPVDQAMAVDERTKPFVHLYASNDTAFLQDFGRAMEKLSVYKVKTGKEGEVRRRCDHFNTVNL >Manes.14G026100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2406745:2409724:1 gene:Manes.14G026100.v8.1 transcript:Manes.14G026100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEPKKVESETPSEPPAPAPAPEAVEPPKDVAEEKSVIPPHPEEKGDDSKALIVAVQKAPESAEAKNTEGSVNRDAVLARVETEKRLSLIKAWEESEKSKAENKAHKKLSAIESWENSKKASVEAELKKIEEILEKKKAEYVEKMKNKIALIHKEAEEKRAMIEAKRGEDHLKAEETAAKYRATGTAPKKLLGCF >Manes.14G026100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2406745:2409474:1 gene:Manes.14G026100.v8.1 transcript:Manes.14G026100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEPKKVESETPSEPPAPAPAPEAVEPPKDVAEEKSVIPPHPEEKGDDSKALIVAVQKAPESAEAKNTEGSVNRDAVLARVETEKRLSLIKAWEESEKSKAENKAHKKLSAIESWENSKKASVEAELKKIEEILEKKKAEYVEKMKNKIALIHKEAEEKRAMIEAKRGEDHLKAEETAAKYRATGTAPKKLLGCF >Manes.01G018800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4396193:4398744:-1 gene:Manes.01G018800.v8.1 transcript:Manes.01G018800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEEAVSNKQVIFKDYVSGFPKESDMFLTTTSINLKVPEGSKAVLVKNLYLSCDPYMRGRMSNLPPEDPNFSSFQPGSPIQGFAVAKVVDSGHPDFKKGELVWGVMGWEEYSLVTAPDQRLFKIYHTDVPLSYYTGLLGMPGITAYFGLTDICSPKEGERVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSQEKVELLKDKFGFDEAFNYKEEHDWNAALKRYFPEGIDIYYENVGGKMLDAVLLNMRPHGRIAACGMISQYNLQQPEGVHNLTSIIYKRVKIEGFVSFDYFSQYSKFLDFVVPSIREGKITYVEDIAQGIENAPAALVGLFSGRNVGKQVVAIAHE >Manes.15G182300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:25646177:25647582:1 gene:Manes.15G182300.v8.1 transcript:Manes.15G182300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSRSQKSLKDALIQKESPNTTPRVVIVMDALREFSIDPLRWALENIIPAGCVVTLLGIMPWLNIPLSSKTQEVWALELEEVFMAQDTNEPKMDPKLLKLKQVVDLCETFGVVLQKEVVMGFPLKWMVVEKITSLHATWVVFDRYQKRKRRFFAHRIPCSMVIMNENSEADMIKGQPMIDNGELTPTPFSLIPTPQLIISDGCKAGQGQINNHIMRN >Manes.01G217100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38593641:38596024:-1 gene:Manes.01G217100.v8.1 transcript:Manes.01G217100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDSEDPKQSTADMTAFVQNLLQQMQSRFQTMSDSIVTKIDEMGTRINDLEQSINDLRAEMGVEGSPSPLASKQKPNEDNRGEGSA >Manes.11G110900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25660645:25666093:-1 gene:Manes.11G110900.v8.1 transcript:Manes.11G110900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGFKTDSVSRNLPGAAMDLDFMDELLYDGCWLTTDGLNISQPGLSSSTVPNDSSQYFPFVDTNLHQQINQDGMENNFPENPPLSNPKVEQLADDESQDHKSVKITTSSVSAGFPNEGSELSRSFWIEPRADPGPTSSVKVRLMHAIRRLKECTKDREVLVQIWVPTKKEGKHVLTTTDQPCFVSLKSESLARYRYVSENYHFPVEGDSKESLGLPGRVFLGKLPESTPDVRFFRNDEYPRKTYAKQYNISGSLAVPVFERGTGTCLGVVEVITTTRYINYRSELETICKALEAFDLRSSQDFCPPSVKACKEFCQAAVPEISEILGSVCETHKLPLALTWARCFQQGKGGCRHFDEKFANCISTVDSACFVDDRECLVFHAACSEQFLSLGQGIVGRAFVTNKQCFASDVTAFSKTDYPLSHHAKVLGLHAAVAIPLRSTHDGSADFVLELFLPKDCRDPEEHKQMQELLPIAIQQACRSLQVVMDKELEASSLNEPYPLGSSWFAQMLEAQQKGKRVCVSWDNPEEPKEEFKVATHWDEGLEKLYEGQVFAESGQLQQNSGRKDSTEGGNNSSFAGQQSLGSRKTGEKRRTKTEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKLKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYNTFPELTSPSFCRKPSLMMNENLKPLNPQPESGTSNAGATASKSPSSSCSQSSGSSISCSTGAKEHTITNNTSNTEDALAVEDPGGVLKRTLSDAELHALYREEPKPLARSQSHKILGDQPSLDQILPPLGKGSGKNLRENGAFRVKANFGEDKVRFSLQPSWCFKDLQQELAKRFNISDVCKIDLKYLDDDHEWVLLTCDADLEECKDIYRLTQSQTIKLSVHQASQNLR >Manes.11G110900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25660645:25666093:-1 gene:Manes.11G110900.v8.1 transcript:Manes.11G110900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGFKTDSVSRNLPGAAMDLDFMDELLYDGCWLTTDGLNISQPGLSSSTVPNDSSQYFPFVDTNLHQQINQDGMENNFPENPPLSNPKVEQLADDESQDHKSVKITTSSVSAGFPNEGSELSRSFWIEPRADPGPTSSVKVRLMHAIRRLKECTKDREVLVQIWVPTKKEGKHVLTTTDQPCFVSLKSESLARYRYVSENYHFPVEGDSKESLGLPGRVFLGKLPESTPDVRFFRNDEYPRKTYAKQYNISGSLAVPVFERGTGTCLGVVEVITTTRYINYRSELETICKALEAFDLRSSQDFCPPSVKACKEFCQAAVPEISEILGSVCETHKLPLALTWARCFQQGKGGCRHFDEKFANCISTVDSACFVDDRECLVFHAACSEQFLSLGQGIVGRAFVTNKQCFASDVTAFSKTDYPLSHHAKVLGLHAAVAIPLRSTHDGSADFVLELFLPKDCRDPEEHKQMQELLPIAIQQACRSLQVVMDKELEASSLNEPYPLGSSWFAQMLEAQQKGKRVCVSWDNPEEPKEEFKVATHWDEGLEKLYEGQVFAESGQLQQNSGRKDSTEGGNNSSFAGQQSLGSRKTGEKRRTKTEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKLKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYNTFPELTSPSFCRKPSLMMNENLKPLNPQPESGTSNAGATASKSPSSSCSQSSGSSISCSTGAKEHTITNNTSNTEDALAVEDPGGVLKRTLSDAELHALYREEPKPLARSQSHKILGDQPSLDQILPPLGKGSGKNLRENGAFRVKANFGEDKVRFSLQPSWCFKDLQQELAKRFNISDVCKIDLKYLDDDHEWVLLTCDADLEECKDIYRLTQSQTIKLSVHQASQNLR >Manes.11G110900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25660628:25666093:-1 gene:Manes.11G110900.v8.1 transcript:Manes.11G110900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGFKTDSVSRNLPGAAMDLDFMDELLYDGCWLTTDGLNISQPGFPNEGSELSRSFWIEPRADPGPTSSVKVRLMHAIRRLKECTKDREVLVQIWVPTKKEGKHVLTTTDQPCFVSLKSESLARYRYVSENYHFPVEGDSKESLGLPGRVFLGKLPESTPDVRFFRNDEYPRKTYAKQYNISGSLAVPVFERGTGTCLGVVEVITTTRYINYRSELETICKALEAFDLRSSQDFCPPSVKACKEFCQAAVPEISEILGSVCETHKLPLALTWARCFQQGKGGCRHFDEKFANCISTVDSACFVDDRECLVFHAACSEQFLSLGQGIVGRAFVTNKQCFASDVTAFSKTDYPLSHHAKVLGLHAAVAIPLRSTHDGSADFVLELFLPKDCRDPEEHKQMQELLPIAIQQACRSLQVVMDKELEASSLNEPYPLGSSWFAQMLEAQQKGKRVCVSWDNPEEPKEEFKVATHWDEGLEKLYEGQVFAESGQLQQNSGRKDSTEGGNNSSFAGQQSLGSRKTGEKRRTKTEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKLKKKTFINDE >Manes.11G110900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25660628:25666093:-1 gene:Manes.11G110900.v8.1 transcript:Manes.11G110900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGFKTDSVSRNLPGAAMDLDFMDELLYDGCWLTTDGLNISQPGLSSSTVPNDSSQYFPFVDTNLHQQINQDGMENNFPENPPLSNPKVEQLADDESQDHKSVKITTSSVSAGFPNEGSELSRSFWIEPRADPGPTSSVKVRLMHAIRRLKECTKDREVLVQIWVPTKKEGKHVLTTTDQPCFVSLKSESLARYRYVSENYHFPVEGDSKESLGLPGRVFLGKLPESTPDVRFFRNDEYPRKTYAKQYNISGSLAVPVFERGTGTCLGVVEVITTTRYINYRSELETICKALEAFDLRSSQDFCPPSVKACKEFCQAAVPEISEILGSVCETHKLPLALTWARCFQQGKGGCRHFDEKFANCISTVDSACFVDDRECLVFHAACSEQFLSLGQGIVGRAFVTNKQCFASDVTAFSKTDYPLSHHAKVLGLHAAVAIPLRSTHDGSADFVLELFLPKDCRDPEEHKQMQELLPIAIQQACRSLQVVMDKELEASSLNEPYPLGSSWFAQMLEAQQKGKRVCVSWDNPEEPKEEFKVATHWDEGLEKLYEGQVFAESGQLQQNSGRKDSTEGGNNSSFAGQQSLGSRKTGEKRRTKTEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKLKKKTFINDE >Manes.11G110900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25660628:25666093:-1 gene:Manes.11G110900.v8.1 transcript:Manes.11G110900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGFKTDSVSRNLPGAAMDLDFMDELLYDGCWLTTDGLNISQPGFPNEGSELSRSFWIEPRADPGPTSSVKVRLMHAIRRLKECTKDREVLVQIWVPTKKEGKHVLTTTDQPCFVSLKSESLARYRYVSENYHFPVEGDSKESLGLPGRVFLGKLPESTPDVRFFRNDEYPRKTYAKQYNISGSLAVPVFERGTGTCLGVVEVITTTRYINYRSELETICKALEAFDLRSSQDFCPPSVKACKEFCQAAVPEISEILGSVCETHKLPLALTWARCFQQGKGGCRHFDEKFANCISTVDSACFVDDRECLVFHAACSEQFLSLGQGIVGRAFVTNKQCFASDVTAFSKTDYPLSHHAKVLGLHAAVAIPLRSTHDGSADFVLELFLPKDCRDPEEHKQMQELLPIAIQQACRSLQVVMDKELEASSLNEPYPLGSSWFAQMLEAQQKGKRVCVSWDNPEEPKEEFKVATHWDEGLEKLYEGQVFAESGQLQQNSGRKDSTEGGNNSSFAGQQSLGSRKTGEKRRTKTEKTISLEVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKLKKKTFINDE >Manes.07G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31459777:31462657:-1 gene:Manes.07G108700.v8.1 transcript:Manes.07G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCCSSGSKSESSLHHDGKGMNKASRKISSATVHSEGGILKSPNLEKFCFSELKAATVNFSLGNLLGQGGFGLVFQGWIDKYSLTASSPEMGMAIAVKMLRKKGSQGQQEWLKEIKYLGQLHHPNLVNLIGYCIEDDKRLLVYEFMPNGSLENHIIKTDSFFQPLSWNLRMKIALGAAKGLAFLHDDAQVIYRDFKTSNVLLDTDYNAKLSDFGFAKDGPTDDRSHLTASILGTHGYLAPEYANKGRLTTKVDVYSFGVVLLEMISGRSAIDDNRLSEDQNLVEWAKLHLSRKGKIFLVLDDTIEGENALRGALKAAELAGQCLSTEPRLRPTMKEVVEALEQLYNSS >Manes.07G108700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31459915:31462657:-1 gene:Manes.07G108700.v8.1 transcript:Manes.07G108700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCCSSGSKSESSLHHDGKGMNKASRKISSATVHSEGGILKSPNLEKFCFSELKAATVNFSLGNLLGQGGFGLVFQGWIDKYSLTASSPEMGMAIAVKMLRKKGSQGQQEWLKEIKYLGQLHHPNLVNLIGYCIEDDKRLLVYEFMPNGSLENHIIKTDSFFQPLSWNLRMKIALGAAKGLAFLHDDAQVIYRDFKTSNVLLDTDYNAKLSDFGFAKDGPTDDRSHLTASILGTHGYLAPEYANKGGRLTTKVDVYSFGVVLLEMISGRSAIDDNRLSEDQNLVEWAKLHLSRKGKIFLVLDDTIEGENALRGALKAAELAGQCLSTEPRLRPTMKEVVEALEQLYNSS >Manes.14G174100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28995978:28997065:1 gene:Manes.14G174100.v8.1 transcript:Manes.14G174100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGITISASTLALRILTLLALVACVALFIVNSASVTVVIEDLTLSNEPTKITFKDYIAYRFVVSTAVIGTAYTMLQLPFALNYACTGKRMMQCLPEFDFYGDKVISFLLATGVGAGFGVSFELKSFAKDLFKAIEDPTVPDIQESKASYSRFFNRGIIASAVLSVGFLCMATISVLSSINRTGKKGFFN >Manes.17G082900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28904652:28907339:-1 gene:Manes.17G082900.v8.1 transcript:Manes.17G082900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSTILSLSFFCLLFLSSSLLSSNSMDSTYSIISDKQEKGKFKPDEDYPVQRHGFFFDRNQDGAVYPWETYQGLRALGAGVLLSAASTIFINIGSSQRTRPGKFPSLLFPLELRNVALAKHGSDTEVYDEKGRFNEEKFENIFRDYARTHSDALTASELREMRRGHKKPKDYFGWVSSWIEWTLTHHLFKDEHGLLKKDTVKGIYYGTAFEEKEKELKEKRKTSAKKKASI >Manes.07G115600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32112491:32113261:-1 gene:Manes.07G115600.v8.1 transcript:Manes.07G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITEIEHPTHPLHQLKLESSPVPYICDGCKELGFGICYQCQICNFHLHKECGVASSSFYHRFFKGCEFKFYEESPRLGARVCDGCWRDIGGFVYQCSHERANDLHPPCAKLPATLAGEGMKLVLKENVKSKCLKCKSRRNSSKGSRGWCYVSDCGKFCFHVACVKEMVYEAWEMGYFDRQETEPDPNVDGSVVRSLVPSGLSSSTEMVQPRPGKAMHYWKMVASVLKLILSAIFGDPITAFATLIFALAQSLATN >Manes.03G156800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28499868:28502540:-1 gene:Manes.03G156800.v8.1 transcript:Manes.03G156800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLSPFKAFVFITSPYHRSAALISPSRNLLHLLQLSIAHSSLDLTHQCHSRILSLGFSQNPFLATKLITAYAVCGFPTESQLVFNSLEHKSVHLWNSLINGYVKNQTYGEAFRLFCQMCCSGVFLDDYTLSTLCKVCGEMGDLNTGKLIHGKSVATGFVIDIVVTNSLMSMYCKCGEFRECLKLFGEMPERNATSWNVVIAGYADSGDRNFNKEIIRFVKDMQIEGFKPNGYTVSSLLLLCNDTTEKMDYGRELHGFLVRNELSWGFAGSDIHLGCCLIDMYSRNNKVDVGKRVFDLMKRRNVYVWTAMINGYVQNGVLKEALVLFYEMQVKDGIEPNRVSLLSVLSTCGSLAGLTGGKQIHGYAIRKKLNHDVSLGNALIDMYSKCGNLNYARRVFEDRSFCRDAISWSSLISGYGLHGMGEEAVCLYDRMLQKGNKPDTITVVGVLSACGRSGLVDEGLRIYNSAISEYGIKPTVEICSCLVDMLGRSGKLGLALDFIKTMPMEPGPSVWGALVSASIQHGNLEMQDLAYKFLIRLEPENPSNYVSLSNLHAFSRRWHDVAKVRKIMKERGLRKTPGCSWISINNSTHCFYAADKAHPCSNSIYEMLDGLILLMKGTVHPPDFQFMT >Manes.15G069900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5323666:5333817:1 gene:Manes.15G069900.v8.1 transcript:Manes.15G069900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIKGPSGDQIHDFRDKISDKIEFMACQRGVHSFCFTNKSPYHETIDFDVHVGHFSYYEHFRPLLDQTCKLEEALYNIQFEQHWLEAQTEQRQAIGLFWIFLRSVLI >Manes.15G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5323512:5326335:1 gene:Manes.15G069900.v8.1 transcript:Manes.15G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIKGPSGDQIHDFRDKISDKIEFMACQRGVHSFCFTNKSPYHETIDFDVHVGHFSYYEHFRPLLDQTCKLEEALYNIQFEQHWLEAQTERDGSQSKP >Manes.15G069900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5324476:5326335:1 gene:Manes.15G069900.v8.1 transcript:Manes.15G069900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMFQLYCKFQIKGPSGDQIHDFRDKISDKIEFMACQRGVHSFCFTNKSPYHETIDFDVHVGHFSYYEHFRPLLDQTCKLEEALYNIQFEQHWLEAQTERDGSQSKP >Manes.15G127800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10184749:10188396:-1 gene:Manes.15G127800.v8.1 transcript:Manes.15G127800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPTSSSSLELTISMPGFASSPSLPSSGEGGCAVRDLDINQVPSGAEEEWITGGMDDEEESTNGAPPRKKLRLSKEQSRLLEESFRQHHTLNPRQKEALAMQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVISPHSCEPLPASTLTMCPRCERVTTTTAALEKGPIKTTATVATVATATATATAATLSSKVGTPALQSRQSSAAC >Manes.12G122400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32768287:32769528:1 gene:Manes.12G122400.v8.1 transcript:Manes.12G122400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAVSLTNYGDSFCTIHNNMHELVCHHYLYVDKTIPLPNINLHIAHCTRNLERCKSCGEMIPVRHAERHYSIRHVPVACKLCNKTVERANLALHKCQSCPLRIVSCEYCNFRLPAVELFEHHEICRNQRLSYHRCRPRRENRAQGAPRRWSEKSWPPKQFLVTVAITGAAIFLNSVFFQRKDDKGKSIHYI >Manes.12G122400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32768122:32769869:1 gene:Manes.12G122400.v8.1 transcript:Manes.12G122400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSVQSTTTCTNCDKTIPLPNINLHIAHCTRNLERCKSCGEMIPVRHAERHYSIRHVPVACKLCNKTVERANLALHKCQSCPLRIVSCEYCNFRLPAVELFEHHEICRNQRLSYHRCRPRRENRAQGAPRRWSEKSWPPKQFLVTVAITGAAIFLNSVFFQRKDDKGKSIHYI >Manes.06G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23071779:23074970:-1 gene:Manes.06G096500.v8.1 transcript:Manes.06G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEANRLSTWEGYVDWKNGPALRGRHGGMLAASFVLVVEVLENLAYLANASNLVLYLSKFMHFSPSSSANIVTNFMGTAFLLALLGGFLADAFFTTYRIYLISALIEFMGLLVLTLQARFPSLKPPPCVPGDTVISCEEVDGLKKMMLFAGLYLVALGVGGIKGSLPPHGAEQFDETTPQGRKQRSAFFNYYVFCLSCGALIAVTFVVWIEDNKGWQWGFGISTATILLSIPIFLLGSPTYRCKVPKGSPITTILKVIVAAIYNVCKPGSPSNAVTGMDTSSSYTTETSEGEDNHAKRGAPSQENLMFLDRAVMNKNVNPKLQCTAKEVEEVKIVLRILPIFMSTIMLNCCLAQLSTFSVQQASTMNTKLGSLKVPPASLPVFPVIFIMILAPIYNHIIAPFARKITKSEMGITHLQRMGTGLFLSIVAMAVAALVEIKRKRVVANYELTDSAEPLPTTFLWIAWQYLFLGSADLFTLAGMMEFFFTEAPLSMRSLATSLSWASLAMGYYLSSVLVSIVNAVTGACRHTPWLFGNNLNHYHLERFYWLMCTLSGLNFLHYLFWACRYKYK >Manes.06G096500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23071779:23074970:-1 gene:Manes.06G096500.v8.1 transcript:Manes.06G096500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAASFVLVVEVLENLAYLANASNLVLYLSKFMHFSPSSSANIVTNFMGTAFLLALLGGFLADAFFTTYRIYLISALIEFMGLLVLTLQARFPSLKPPPCVPGDTVISCEEVDGLKKMMLFAGLYLVALGVGGIKGSLPPHGAEQFDETTPQGRKQRSAFFNYYVFCLSCGALIAVTFVVWIEDNKGWQWGFGISTATILLSIPIFLLGSPTYRCKVPKGSPITTILKVIVAAIYNVCKPGSPSNAVTGMDTSSSYTTETSEGEDNHAKRGAPSQENLMFLDRAVMNKNVNPKLQCTAKEVEEVKIVLRILPIFMSTIMLNCCLAQLSTFSVQQASTMNTKLGSLKVPPASLPVFPVIFIMILAPIYNHIIAPFARKITKSEMGITHLQRMGTGLFLSIVAMAVAALVEIKRKRVVANYELTDSAEPLPTTFLWIAWQYLFLGSADLFTLAGMMEFFFTEAPLSMRSLATSLSWASLAMGYYLSSVLVSIVNAVTGACRHTPWLFGNNLNHYHLERFYWLMCTLSGLNFLHYLFWACRYKYK >Manes.16G004600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:576942:577874:1 gene:Manes.16G004600.v8.1 transcript:Manes.16G004600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIPSWVLLIFTFLIIFPVSNSEEENVKQALVQFMAKLSPGNGQNNRNWGWNMTSDPCKDRWVGVVCDSRLQTVKKIVLDRFNFTGTLDASSLCMVKSLTLLSVRSNNIVGILPEEMGNCTSLTHLYISENNFSGAIPEALSLLNNLKRSDISNNQFSGGISTMSRISGLTSFLAQDNELTGKIPDFNFSDLQQFNVSNNNFIGQIPDVQGRFGADSFSGNPGLCGKPLLNACPPSAAPPSAEP >Manes.09G040902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7457291:7457929:1 gene:Manes.09G040902.v8.1 transcript:Manes.09G040902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTKTTKTMMTDEGGEDDEDDEVQVLQSSRGPPVQSADDDEDDDEDDDGEGGDDDDDGEGGDDDDDDDDDEEENDDEDEDGEEEVIYVQIYIIFCIYFISLIWDWVAFSVGAGDMGPTSLSGVSNMQDLRCYSASYASSVGNNDVRFKKGKSASGSVSKSWSFNDPELQRKKRVASYKVYSVEGKVKGSFRKSFRWFKDR >Manes.08G074000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14574356:14577630:1 gene:Manes.08G074000.v8.1 transcript:Manes.08G074000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRGSIAFFSTYKPPVPLDIYSSPVPPTERHDELHMTDGLSNNYNSRLIPPEALKTIIKRPKLASEANEADVDSGRLSGLVFVSERDKNLETLHVALRFTDRVKVFSFADVYGTYSDIRMEDSGCIGGGYKVGRRTIDHTLVYITTKDSPKDRRQPWTAVYKTNLKTGKTERLTPSGVSDLSPSVSPYGRKIAVASFQGKGWNGEIEDLLTDIYVMNVEKPPLERKRIIKNGGWPTWGSDNIIFFHRKVGDFWGVFRFDIASGETVRVTPDGIDAITPAAISDTKVAVATIRKKSSFNDVRVEEQYRHIEIFDSIAPDQPIKITQITRPKADHYNPFVLDGGKRIGYHRCKSDLLKHGDEIPRNFHKLHSPHPDVGLFRVSGVFPTFSKDGSKLAFVDNEFKAVWVADSQGLRIVYETKGPDNIFSPVWNQNSQKDILYVCMGPSFNAEKTLEICAIPNVSSGVRQRRKLTKGFNNAFPSTSPDGKKLVFRSTRDGGDKKYKNLYVMEDTEVGEYGDGKITRLTNGPWTDTHCQWSPTGDWIVFSSTRDKPKDAPETDNGLDPGYFSVFLVNANDPSVVVRVIKSGDDLSGHVNHPFFSPDGKSIVVTSDLGAVSIDPISLPLFLHPVRPYGDIFSVDIDTDDINKNKDVKKFNRITHSRYENSTPSWTMFATEDPNATWNMFLKDDYTPSCPYLYPDGGESWHMTGHLCIPKRSC >Manes.13G138600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34655999:34660919:1 gene:Manes.13G138600.v8.1 transcript:Manes.13G138600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGDFFKHHHRLLHLLQACLRVRSLDTTKPLHALTITLGPSSYQPTFVYNNIITLYASLDELVLARKVFENMPQRSVVSYNSMISSYCRYDYLEEALGIFRQMKHFGFRPNNFTFAALLSCASMDLRRGVQLQTFAIKNGLFHADAFVGTALLGLFGRCGWLDEAFFVFEDMPTKSLVTWNSMISLFGNQGYVDGVLLFCELFRKEGCLSECSFVGVLSGLVCLEDLEFGQQIHGSVIKRGFDYRVSVVNSLINMYAKCASIQLAEKIFEEAACTDIVTWNTIIGALSKSQKPREALEQFLKMNKEGIMPSQTTFVSIISSCANLQIPMYGELVHAKIIMHDLETDVFVGSALVDYYAKCDKLDDARCCFVKINEKNVVSWNALILGCANKCSSASISLLLQMLQCGHRPNEFSFSSVLKSSSKLELQQLHCLIIRMGYEDNEYVLASLISSYGRNGLISDVLVFIAASETPLSAVPSNNIAGIYNRCGKYHETLKLLSQLEEPDNVSWNIVIAACARNGNYKEVFELFKHMLMARIHPDNYTYISVLNVCSKICDLALGSSIHCFLIKNNFSFCDLFVCNILIDMYGKCGCLGSSVKIFNSMTDRNLITWTALISALGINGCAPEALERFKEMEYMGFRPDKVAFIAALTACRHGPLVREGIELFERMNSYGIEPEMDHYHCIVDLLARHGHVREAEKVISSMPFPPGPHIWRSFLEGCKRYGMVEDQVMGM >Manes.13G138600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34656095:34665127:1 gene:Manes.13G138600.v8.1 transcript:Manes.13G138600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGDFFKHHHRLLHLLQACLRVRSLDTTKPLHALTITLGPSSYQPTFVYNNIITLYASLDELVLARKVFENMPQRSVVSYNSMISSYCRYDYLEEALGIFRQMKHFGFRPNNFTFAALLSCASMDLRRGVQLQTFAIKNGLFHADAFVGTALLGLFGRCGWLDEAFFVFEDMPTKSLVTWNSMISLFGNQGYVDGVLLFCELFRKEGCLSECSFVGVLSGLVCLEDLEFGQQIHGSVIKRGFDYRVSVVNSLINMYAKCASIQLAEKIFEEAACTDIVTWNTIIGALSKSQKPREALEQFLKMNKEGIMPSQTTFVSIISSCANLQIPMYGELVHAKIIMHDLETDVFVGSALVDYYAKCDKLDDARCCFVKINEKNVVSWNALILGCANKCSSASISLLLQMLQCGHRPNEFSFSSVLKSSSKLELQQLHCLIIRMGYEDNEYVLASLISSYGRNGLISDVLVFIAASETPLSAVPSNNIAGIYNRCGKYHETLKLLSQLEEPDNVSWNIVIAACARNGNYKEVFELFKHMLMARIHPDNYTYISVLNVCSKICDLALGSSIHCFLIKNNFSFCDLFVCNILIDMYGKCGCLGSSVKIFNSMTDRNLITWTALISALGINGCAPEALERFKEMEYMGFRPDKVAFIAALTACRHGPLVREGIELFERMNSYGIEPEMDHYHCIVDLLARHGHVREAEKVISSMPFPPGPHIWRSFLEGCKRYGMVEDQVMGM >Manes.13G138600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34656098:34665063:1 gene:Manes.13G138600.v8.1 transcript:Manes.13G138600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGDFFKHHHRLLHLLQACLRVRSLDTTKPLHALTITLGPSSYQPTFVYNNIITLYASLDELVLARKVFENMPQRSVVSYNSMISSYCRYDYLEEALGIFRQMKHFGFRPNNFTFAALLSCASMDLRRGVQLQTFAIKNGLFHADAFVGTALLGLFGRCGWLDEAFFVFEDMPTKSLVTWNSMISLFGNQGYVDGVLLFCELFRKEGCLSECSFVGVLSGLVCLEDLEFGQQIHGSVIKRGFDYRVSVVNSLINMYAKCASIQLAEKIFEEAACTDIVTWNTIIGALSKSQKPREALEQFLKMNKEGIMPSQTTFVSIISSCANLQIPMYGELVHAKIIMHDLETDVFVGSALVDYYAKCDKLDDARCCFVKINEKNVVSWNALILGCANKCSSASISLLLQMLQCGHRPNEFSFSSVLKSSSKLELQQLHCLIIRMGYEDNEYVLASLISSYGRNGLISDVLVFIAASETPLSAVPSNNIAGIYNRCGKYHETLKLLSQLEEPDNVSWNIVIAACARNGNYKEVFELFKHMLMARIHPDNYTYISVLNVCSKICDLALGSSIHCFLIKNNFSFCDLFVCNILIDMYGKCGCLGSSVKIFNSMTDRNLITWTALISALGINGCAPEALERFKEMEYMGFRPDKVAFIAALTACRHGPLVREGIELFERMNSYGIEPEMDHYHCIVDLLARHGHVREAEKVISSMPFPPGPHIWRSFLEGCKRYGMVEDQVMGM >Manes.02G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2928442:2934088:1 gene:Manes.02G035400.v8.1 transcript:Manes.02G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFPHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCKIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMPTMRKVGDYDSPCWYDDQVSFMPELDSPRRITQPYASYLHHYPCKQEFELQYNMPHDPFLQLPQLESPKVPQSAASVNCNSVVPYGGFDRNNGSTLQSSTLTQEEQVQQNHHQNLNSLYHNNNGEQAVDQVTDWRVLDKFVASQLSHEDASKEANYSNAATFHVAHEQMNMLASESKRPEIVQEYASTSTSSCQIDLWK >Manes.02G035400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2928721:2934088:1 gene:Manes.02G035400.v8.1 transcript:Manes.02G035400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFPHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCKIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMPTMRKVGDYDSPCWYDDQVSFMPELDSPRRITQPYASYLHHYPCKQEFELQYNMPHDPFLQLPQLESPKVPQSAASVNCNSVVPYGGFDRNNGSTLQSSTLTQEEQVQQNHHQNLNSLYHNNNGEQAVDQVTDWRVLDKFVASQLSHEDASKEANYSNAATFHVAHEQMNMLASESKRPEIVQEYASTSTSSCQIDLWK >Manes.02G035400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2928613:2934088:1 gene:Manes.02G035400.v8.1 transcript:Manes.02G035400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFPHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCKIGTEEQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWVVCRVFKKRMPTMRKVGDYDSPCWYDDQVSFMPELDSPRRITQPYASYLHHYPCKQEFELQYNMPHDPFLQLPQLESPKVPQSAASVNCNSVVPYGGFDRNNGSTLQSSTLTQEEQVQQNHHQNLNSLYHNNNGEQAVDQVTDWRVLDKFVASQLSHEDASKEANYSNAATFHVAHEQMNMLASESKRPEIVQEYASTSTSSCQIDLWK >Manes.09G075257.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:19133640:19134679:-1 gene:Manes.09G075257.v8.1 transcript:Manes.09G075257.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSTSTWKIPATTAKRVSDSTISSYFLFFSNIPNPKQHKSSHHKKPIKSAETSDGSCQCYILMRRWKLFQSMLRRSSLSGRRFCLALTSSGAFSLLNFITVVYVLMSALGVAMLECSGSPPNVGDLLNFLSAIFFFGIHMFRTEHFSRSTKKENFFQLLGYEL >Manes.10G033900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3385780:3388494:-1 gene:Manes.10G033900.v8.1 transcript:Manes.10G033900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAILPSVPLQLYNFGNTEIQITNLDVSTESFWLDSRVDDNQLRGESIAIPHQQFQSDCQFTSSSWEENTQQSLILSKKANVSQELDFQSTTRRKRSTEVVTSDLTDLIENRAAFSEWKKDKRRKASSSEEQWLDHQVKQSTNIKDHKLQVPVRRSQKLADKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIKNLLQMLSSSCHFQETDGRLLDLQSRGLCLFPISVMQEFSQENCFDTCNAYSIKSIFN >Manes.10G033900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3385780:3388494:-1 gene:Manes.10G033900.v8.1 transcript:Manes.10G033900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAILPSVPLQLYNFGNTEIQITNLDVSTERVDDNQLRGESIAIPHQQFQSDCQFTSSSWEENTQQSLILSKKANVSQELDFQSTTRRKRSTEVVTSDLTDLIENRAAFSEWKKDKRRKASSSEEQWLDHQVKQSTNIKDHKLQVPVRRSQKLADKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIKNLLQMLSSSCHFQETDGRLLDLQSRGLCLFPISVMQEFSQENCFDTCNAYSIKSIFN >Manes.18G075500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6881150:6884680:1 gene:Manes.18G075500.v8.1 transcript:Manes.18G075500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKSQAKLTRNHSSLLRSLPTVRSSIHSLTSVAQKDLIKPELQQLQPQKQELDWKIGEEKRKPHRSGSTPRRTSSVRFTPVLTVVSISFFSLFSVSLFFFFYLRREEIPTSENLLLGLIFIAIILFFASKNKNLINQNLTVIKQLWEENTKKLGVSTSRTKSKPVQWFIGESNADSSSKIEKEKRIIREGVEFYSNGDFYEGEFHKGKCNGSGVYNYFVNGRYEGDWIDGRYDGYGIESWARGSRYKGHYRQGQRHGYGVYKFYTRDSYAGEWCNGQSHGVGLQTCSDGSCYLGEFKRGVKHGLGVYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGSWHEGRKQGYGMYTFRNGDAKCGEWDHGILKTPMPLLTDCVLRAVQAARKTAESAIVLRRVDELVNTAVEAANRAATAARVVAVKAVQNRMDGKFCDTNV >Manes.06G065350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20060884:20062005:1 gene:Manes.06G065350.v8.1 transcript:Manes.06G065350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLPLKGLGDDFSEQILTVQPDCGSGGGRGAAKVVGSTMPMMGLQLGTPDAGGLRTNNIGMMPLRLNLEHHGFLRQQKDRGGALDILIMPIIMFLHLLQLLESMQVSLFYLLFCAFE >Manes.11G134900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30010227:30012102:-1 gene:Manes.11G134900.v8.1 transcript:Manes.11G134900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKADSSKKPDAKAQAVKAAKAVKSGPTFKKKASKIRTKVTFHRPKTLKKERNPKYPRISAPPRNKLDQYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDFDALDVANKIGII >Manes.01G211200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38215553:38217947:-1 gene:Manes.01G211200.v8.1 transcript:Manes.01G211200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTILFLYHGLLSLLLFFLSSTSIIAAATASSSSAGIRLGLIRKPSSDVPAFREAPAFRNGDSCGTEIVHITMTLDANYLRGTTAAVLSILQHSACPENIEFHFLWGRSEPEVFSKINSTFPYLQFRFHRFDSNRVRGKISKSIRQALDQPLNYARIYLADILPQNVKRIIYLDSDLVVVDDIARLWEVDLKGKVLGAPEYCHANFTKYFTELFWSNPVWVRTFQGRKPCYFNTGVMVVDVEMWRHGGYMQKVEFWMGVQKHKRIYNLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNIEGKCRNLHPGPISLLHWSGKGKPWLRLDSRKPCSVDYLWAPYDLYRSSSTHSLE >Manes.17G038605.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:20784110:20788535:1 gene:Manes.17G038605.v8.1 transcript:Manes.17G038605.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLISNLNEYRYIRGFALMDRRLDAFLPEISPTPEPFLPILAPSPLQPFNSSSTPKLSGLCTLNFTADGSLMSVASIACWAFFASLLANLVCCPQLEATLVILIGQSSTVTNVLALNGTISKYCLSDIEQILEVQGAADNVNFSLLSASVCPLVFPDMNHVAKGCENGISNTAGCCSATESYVSHLQKHSFLTNLQALDCATTLGIKLQKSNITRDVFSLCHISLKDFSLQDLNDNIPAPWPSSSQLSASSCNKTVKNPALPAAASGETGLYSEALVVYGMFAASVFIMLLL >Manes.17G101700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30867848:30868195:-1 gene:Manes.17G101700.v8.1 transcript:Manes.17G101700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNSQQQNQPSPAVTSCRKKKNEEATFMEDLKDHIDEFIHASMDEHKSCFKKTIQKMFGMSKIVAERSAQAKEVESSLPLRTVVSD >Manes.17G101700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30867502:30869241:-1 gene:Manes.17G101700.v8.1 transcript:Manes.17G101700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNSQQQNQPSPAVTSCRKKKNEEATFMEDLKDHIDEFIHASMDEHKSCFKKTIQKMFGMSKIVAERSAQAKEVESSLPLRTVVSD >Manes.08G024600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2462729:2463573:-1 gene:Manes.08G024600.v8.1 transcript:Manes.08G024600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLFVLLVIFSLHCLLALASDPDPIQDFCIPNPKYGAIRTARFTILPCKNSSEATTDDFVFSGIKTAGNFSETGLATVPVNPTNFPGLNTLGMSFVRADLKVGAINPPHFHPRATEITHVVHGSVYSGFVDSNNRVFARVLEQGEVMVFPRGLVHFQMNVGEKPATVFGSFNSQNPGNQKIPAAIFGSGIDDELLEKAFGLSSKQIGMMKRKFDPKLEA >Manes.10G046301.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5024409:5024938:1 gene:Manes.10G046301.v8.1 transcript:Manes.10G046301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICKKKSYTFLLNFNKGMHWQVIDGHSTVRFGYHIAKQICRETTNVSAANHGPYAQLTLEAFWQNIWSIKLPSKSALSYFESFNEANVSWHIENTRPDSANLWEPPPWSFLKPILMLQSNREAL >Manes.12G046900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219570:4222856:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.12G046900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219543:4222850:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.12G046900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219543:4223257:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.12G046900.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219570:4222850:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.12G046900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219543:4222850:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.12G046900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219570:4222856:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.12G046900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219528:4222850:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.12G046900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219543:4223257:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.12G046900.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4219528:4222850:1 gene:Manes.12G046900.v8.1 transcript:Manes.12G046900.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQAQGVRGPTLRGYRRRKAMLDLNLLPNESRDQEGTSTQDVQFGVPTSQQEQPVPPTTIDVEALDDDVIESSPRAFAEAKSNAQRNNTQRTHGSTVVVDVDSGQTTRLNYNNHNKRRRILPNQTIISCEHYVNLESSSSSMRENVQPPPSPQPPKEPTFNCPICMGPFVEETSTKCGHIFCKACIKAAIRVQGKCPTCRKKVAVKELIRVFLPATNCL >Manes.04G047500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:5707523:5710807:1 gene:Manes.04G047500.v8.1 transcript:Manes.04G047500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFSWGLLICFVGALVALKRFLQGANRWFYETKLGEIQYYLPPGDLGWPFIGNMWSFLKAFKSTDPDSFMRSFTARYGRIGIYKAFMFGKPSVIVTSPETCKRVLNDDASFQPGWPKATMELIGKKSFIGISYEEHKRLRRLTAAPVNGHEALSIYVNYIEEVVISSLEKWTTMGKIEFLTQLRKLTFRIIMYIFLGSESEPVMEVLEKEYTTLNYGVRAMAINLPGFAYHKGLKARKHLVAALQSAIDERRKQRKENITSKKKDMLDALMDAEDENGKKLDDEEIIDVLLMYLNAGHESSGHTIMWAAVFLEKHPEYLRKAKEEQEEIVRKRPPTQKGLTLKEIREMEYLSKVIDETLRLVTFSLMVFREAKVDVNLNGYIIPKGWKVLVWFRSVHFDPEIYPNPMEFNPDRWDNFIPKAGAFLPFGAGTRLCPGNDLAKLEISIFLHHFLLNYELERLNPGSSLMYLPHSRPKDNCLAKIKKVPNSVLGKQK >Manes.08G044900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4475509:4476272:1 gene:Manes.08G044900.v8.1 transcript:Manes.08G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMKETAANAAASAKAGMEKTKATVQEKVDKISAHDPMQKEMATQKKEERKAEAEWNKLEAHEQNAAARQAAKVGGHASYTTGETGGGAYGTEPLAHPHSASATGTPGYPTGTQQMSAVPGHGTGQPYGGQVDPTGVRRTHPTGLPGDTTGHNTRV >Manes.02G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8381852:8384940:1 gene:Manes.02G107700.v8.1 transcript:Manes.02G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFESLGFTLPYLIFFVLVTSLLHLNAAVPTIKLPNNETVPAVLVFGDSIVDTGNNNKIISSAKCNFPPYGRDFIGGKPTGRFSNGRVPSDFIAEAFGVKKFLPAYLDPNLKLEDLLTGVCFASGGGGYDPITSNIPPAFSLSDQLQQFKQYINKIKSGVGEERSATIVSKSIYVICTGSNDILNTYYSTPFRQSHYTIDSYADFLVGIASSFIQELHGLGARRFGVLSMPPLGCVPSQRTTRGGIQRKCADYANKAATLFNSKLISAMNSLNSTLSDSILLYLDVYNPLLSLIQNPAKYGFEVATKGCCGTGKLEVTYLCNSFDDPLTCKNDTKYVFWDSYHPTEKAYDVLITIVFNSTVQKLLR >Manes.07G020000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2242852:2243746:1 gene:Manes.07G020000.v8.1 transcript:Manes.07G020000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTANLSSAMFSTSFTVREPVTSLRDLPNIGKALFGLKSNRGGRFKAMALYKVTAITPDCNIQIDCPDYTIILDALEKAGHDLPCSCRAGDCSACVAKLVEGTVDQSEGCFLDEDQIAAGWVLTCVAYPRSDVVIMTHKEEEMTNDED >Manes.11G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2185584:2188944:-1 gene:Manes.11G020300.v8.1 transcript:Manes.11G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWLGFSLTPHLRIDQGFGRDEQGGFSSVMPLRSDGSLCVVDPFRRSSNASQDWRYENSIDGTSASEEGPKLEDFLGCYSNSPSDETKFYCQEDHTQNQSNGINVNVVPSINNNGDIDTRDNLTNPPHLIQSYHHYNENPHILIPSDNLQHCDPNPSHSHNNRMYSLPFESGSSVSGFKSWLRQTPYSGDKSSSEANNCNFQPLSLTMSPSSQNELAAVSPVQAVDNRKRPIGKSVAREPIPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIAGDLAKRSPKDLAPSTLEDNNSCASSTSPQRLLAMANCEASDELADTMWNSNSDEHQQRPSASNANNPVSSKCSVGLAGEFSTGVGDYTQGFSSLEGSKYEDGNNGSNDRISGLGLVHPVPMFALWNE >Manes.11G020300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2185589:2188893:-1 gene:Manes.11G020300.v8.1 transcript:Manes.11G020300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWLGFSLTPHLRIDQGFGRDEQGGFSSVMPLRSDGSLCVVDPFRRSSNASQDWRYENSIDGTSASEEGPKLEDFLGCYSNSPSDETKFYCQEDHTQNQSNGINVNVVPSINNNGDIDTRDNLTNPPHLIQSYHHYNENPHILIPSDNLQHCDPNPSHSHNNRMYSLPFESGSSVSGFKSWLRQTPYSGDKSSSEANNCNFQPLSLTMSPSSQNELAAVSPVQAVDNRKRPIGKSVAREPIPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIAGDLAKRSPKDLAPSTLEDNNSCASSTSPQRLLAMANCEASDELADTMWNSNSDEHQQRPSASNANNPVSSKCSVGLAGEFSTGVGDYTQGFSSLEGSKYEDGNNGSNDRISGLGLVHPVPMFALWNE >Manes.15G027500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2181618:2187670:-1 gene:Manes.15G027500.v8.1 transcript:Manes.15G027500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEGATSSSQNMQISIADLHERHQLELENLTLTTQPFKTIKFFILAIVEYFKRSVIYLLAKGGWLMLISTVVVTLGILLVTIDGPHEKHIEELSLYLRFGLWWIILGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAMYDTIQLKRGPSWLDKACQEFGDPVFPTSQGLRVPLSSILPQVQVEAVLWGLGTALGELPPYFISRAASMSGSKLDAMEELNASSSEDNGIIATHLNTIKRWFLSHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGISFWKFFLATLIGKAIVKTHIQTVFIISVCNNQLLDWIENELIWVLSFIPGLDSVLPSLISKLHAVKEKYMSPAPPLPSNNKVKKWDFSFAALWNTIVWLMLLNFFFKIVTATAQRYLKKQQEKEVAELTNNFSSSTHSD >Manes.15G027500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2181618:2187661:-1 gene:Manes.15G027500.v8.1 transcript:Manes.15G027500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEGATSSSQNMQISIADLHERHQLELENLTLTTQPFKTIKFFILAIVEYFKRSVIYLLAKGGWLMLISTVVVTLGILLVTIDGPHEKHIEELSLYLRFGLWWIILGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAMYDTIQLKRGPSWLDKACQEFGDPVFPTSQGLRVPLSSILPQVQVEAVLWGLGTALGELPPYFISRAASMSGSKLDAMEELNASSSEDNGIIATHLNTIKRWFLSHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGISFWKFFLATLIGKAIVKTHIQTVFIISVCNNQLLDWIENELIWVLSFIPGLDSVLPSLISKLHAVKEKYMSPAPPLPSNNKVKKWDFSFAALWNTIVWLMLLNFFFKIVTATAQRYLKKQQEKEVAELTNNFSSSTHSD >Manes.06G128500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25786765:25787424:1 gene:Manes.06G128500.v8.1 transcript:Manes.06G128500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSACFFRMILCACINICLVYCDNLQDTCPAATAGKQSIFINGLPCKNPASITPSDFKSTKLSSPGDTDNFFRSSTTILTAADFPGLNTLGLSIARTDLDVDGLVVPQSHPRASELLFVNAGVVIAGFVDTNNQLFQKILKEGDVFVLPRGLLHFCLNAGNEPATIFSVLSSQNPGVVSVAGAMFDSDPDMINKLVRKIRSLSSSQIISLENATLFELY >Manes.07G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2369474:2375159:-1 gene:Manes.07G021300.v8.1 transcript:Manes.07G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSLSTSTVTEEELTLIVKWSGKEYTVRVCGDDSVGELKRRICELTNVLPKRQKLLYPKIGNKLADDSVLLSQLPLKSSLKMTMIGTVEDDLILDQEDSPEVVDDFELGQDEAVDIKDKEVNKQKLRRRIDQYKIELRNPCREGKKLLVLDIDYTLFDHRSTAENPLELMRPYLHEFLTAVYAEYDIIIWSATSMKWVELKMGQLGVLNNPNYKITALLDHLAMITVQSDSRGIFDCKPLGLIWALFPQFYNPGNTIMFDDLRRNFVMNPQNGLTIKPFRKAHANRDSDQELVKLTQYLLAIAELDDLSTLNHANWEFFAEDNTKRRRHA >Manes.03G045100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3936710:3938224:1 gene:Manes.03G045100.v8.1 transcript:Manes.03G045100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVATMSYKFRRRHKKPKGVVEFKVISKPIVQKHHSNDEEVFFTPKSYHSEDEEDQQNKDNMKISEELLSLDSQIDIPTFVCEICVESRALNDSFSLMGCAHFYCTECTVRYVSSKLDDNLTSISCPVSGCEGVLEPDYCRNILPKDVFDRWGVALCESVIDNSQKFYCPYEDCSVLLINDTGGHIENTVCPFCEREFCVKCKVPWHPEINCKKFQKLKKKGDDSLFVDLAKRKNWRRCPKCKYYVERSLGCFYMKCRCGHAFCYRCGARSSTTSHSCPKCKH >Manes.04G108800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31291197:31297089:1 gene:Manes.04G108800.v8.1 transcript:Manes.04G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLDVASTFLSFTSRNQTPLSRIRTAVLPLFLKDHQSLSLKSTRSFHSRIVALGFSKPTSNFRRRFTVSAASSTTAAPQSEDSDLTTKIPPDNRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKTTGAEDIIMLNNGCLCCTVRGDLVRMISELVAKKKGKFDHIVIETTGLANPAPIIQTFYAEDTIFNDVKLDGVVTLVDAKHAAFHLDEVKPKGVVNEAVEQIAYADRIVVNKTDLVGEKEVSSLVQRIRNINGMANLKRTQFGKVDLDYVLGIGGFDLERIESAVDADGGKEDHDSHDHDHEHDHHHHHHEHDHHHEHHDGHHSHDHVHDPGVSSVSIVCEGNLDLEKANMWLGTLLLERSEDIYRMKGLLSVEGMDERFVFQGVHDIFQGSPDRLWGPDEPRINKIVFIGKNLDTQEIEKGFKACLL >Manes.09G033600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:6665572:6666510:1 gene:Manes.09G033600.v8.1 transcript:Manes.09G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLHPLQVLSAKSPSSTLSSMKIKTLIHTLIISHVCRIVRALSKAKTIVVQILKETQPINFICSTKETKRKHRQRKVFFGSFRLHYNWCSSHVLPVQEPVVFVDGFPSGSHLYYDSTWNSVITTEETPESQLSGYLHWLEDQKAQDLKSKNDEVDVNEIDRLADMFIASCHEKFKLEKQESYRRFQEMMARSM >Manes.01G051301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9941069:9941685:1 gene:Manes.01G051301.v8.1 transcript:Manes.01G051301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMLLWVVSFWFIGSWVIPFVAHMAGFNKESLTFRGQALFNLVTDVTEGLAGIAILHRCLSRFCPLPSDWFRFSLKGNWLLDVALGCFMFPLVNRLSQFNLSLLPILPSTPVTLSSLE >Manes.08G004120.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:851749:853339:1 gene:Manes.08G004120.v8.1 transcript:Manes.08G004120.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKPISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGATETQEPSSPKDTCIGQVRVKRSKQAKTQPSKIKCFCKWVRNTPFCQHLNRATRRPKCTLLSWRKWIMFFKVGVRRESKIREDSSKVEPKFGNISEDAGQESEVEDEENKMYVSSSISPPKNALLLTRSRSAPCRSSSVACRFWGSPLESEETEQN >Manes.08G093600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31673882:31676516:-1 gene:Manes.08G093600.v8.1 transcript:Manes.08G093600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLSPLQTSPSLRVLSSYTPQTAPNLSFYSHSKPSIPFPSTSLKPYTPIIPRSRSRSRSRSLIVPAAVKKISETDLVAVPLTAEEFSQKLPSESGVYAVYDKNDDLQFIGISRNVGASVFSHLKSVPDLCSSVKVGIVDEPDKESLTQAWKSWMEEHIKTTGKVPPGNQSGNATWIRQPPKKKSDLRLTPGRHVQLTVPLEELIGKLVKESQVVAFIKGSRNAPLCGFSQRVIGILETQGIDYESVDVLDEEYNYGLRETLKKYSNWPTFPQIFVNGELIGGCDILTSMHEKGELAGLLKK >Manes.08G109700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34857148:34861356:1 gene:Manes.08G109700.v8.1 transcript:Manes.08G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIFLSNSTMKPSCRFLITRRTPGIFGSAKYHHTLTGDISRNQISFDHNKQFSEYPSGFFGFRSIINSTQKFFCIPIANFGPPRLVSGSSCGERTRRRTSRGVSVVASVASEVKEYSTSVETRVNDKNFERIYVHNGIGVKPLVVEKIDKDENVLGEAASRIGVVVPDEGEKVNTENLEGVKGVEIGNSWREESEIEKEAWKLLNDAIVTYCGSPVGTVAANDQGDKQPLNYDQVFIRDFVPSALAFLLRGEGEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKSEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDNTLQERVDVQIGIKLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDGSKNLVRTINNRLSALSFHIREYYWVDIKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSVISSLGTPKQNKAILNLIEAKWDDLVGRMPLKICYPALEDEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRLELAQKAVALAEERLAVDHWPEYYDTRTGKFIGKQSRLYQTWTIAGFLTSKVLLENPQMASMLLWEEDYELLEICVCALSKTGRKKCSRGAAKTQILV >Manes.08G109700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34857148:34861356:1 gene:Manes.08G109700.v8.1 transcript:Manes.08G109700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIFLSNSTMKPSCRFLITRRTPGIFGSAKYHHTLTGDISRNQISFDHNKQFSEYPSGFFGFRSIINSTQKFFCIPIANFGPPRLVSGSSCGERTRRRTSRGVSVVASVASEVKEYSTSVETRVNDKNFERIYVHNGIGVKPLVVEKIDKDENVLGEAASRIGVVVPDEGEKVNTENLEGVKGVEIGNSWREESEIEKEAWKLLNDAIVTYCGSPVGTVAANDQGDKQPLNYDQVFIRDFVPSALAFLLRGEGEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDGNKSEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDNTLQERVDVQIGIKLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDGSKNLVRTINNRLSALSFHIREYYWVDIKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSVISSLGTPKQNKAILNLIEAKWDDLVGRMPLKICYPALEDEDWRIITGSDPKNTSLWHASRWAD >Manes.14G121300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11732538:11735587:1 gene:Manes.14G121300.v8.1 transcript:Manes.14G121300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRESLRKSSLVSITRVLITNQHLCTRQFFNQSQGFASSASSSLRPSFGGSSYGGQQKNGDALLKSLFRSFSSGALLVGSSLGFSYWCFSLVDDRSFVSFAEGATETAVWDSNDDLLLPKKKHRFLFGDAYRRRIFFNYEKRIRTRSPPEKVFEYFASLRTPAGDVLMTPADLMRAVVPVFPSSESNRVREGFLRGERVPGELLCEPSQFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSVAFKMFDLDNNGEIDREEFKKVMNLMRSKDRQGARYRDGRRLGLRTVEPAENGGLLEYFFGKDGKNCLKHGTFVQFLRDLHNEILRLEFAHYDCKSRGTISAKDFALSMVASADISDINKLLDRVEELNKEPDLQYKRITFKEFRDFAELRKQLQPFSLALFSYGKANGMLTKNDFQRAASRVCGISITDNLVDIIFHVFDADRDGSLSSDEFIAVLQRREKETSLPREAGLKGLISCCAKCAKTQSVKPFL >Manes.14G121300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11732538:11735587:1 gene:Manes.14G121300.v8.1 transcript:Manes.14G121300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLCLLLKAQLRLQYGILTMISFYPRRSIDAYRRRIFFNYEKRIRTRSPPEKVFEYFASLRTPAGDVLMTPADLMRAVVPVFPSSESNRVREGFLRGERVPGELLCEPSQFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSVAFKMFDLDNNGEIDREEFKKVMNLMRSKDRQGARYRDGRRLGLRTVEPAENGGLLEYFFGKDGKNCLKHGTFVQFLRDLHNEILRLEFAHYDCKSRGTISAKDFALSMVASADISDINKLLDRVEELNKEPDLQYKRITFKEFRDFAELRKQLQPFSLALFSYGKANGMLTKNDFQRAASRVCGISITDNLVDIIFHVFDADRDGSLSSDEFIAVLQRREKETSLPREAGLKGLISCCAKCAKTQSVKPFL >Manes.14G121300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11731752:11735603:1 gene:Manes.14G121300.v8.1 transcript:Manes.14G121300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRLCLLLKAQLRLQYGILTMISFYPRRSIDAYRRRIFFNYEKRIRTRSPPEKVFEYFASLRTPAGDVLMTPADLMRAVVPVFPSSESNRVREGFLRGERVPGELLCEPSQFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSVAFKMFDLDNNGEIDREEFKKVMNLMRSKDRQGARYRDGRRLGLRTVEPAENGGLLEYFFGKDGKNCLKHGTFVQFLRDLHNEILRLEFAHYDCKSRGTISAKDFALSMVASADISDINKLLDRVEELNKEPDLQYKRITFKEFRDFAELRKQLQPFSLALFSYGKANGMLTKNDFQRAASRVCGISITDNLVDIIFHVFDADRDGSLSSDEFIAVLQRREKETSLPREAGLKGLISCCAKCAKTQSVKPFL >Manes.07G080400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24354501:24380537:-1 gene:Manes.07G080400.v8.1 transcript:Manes.07G080400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGALGTYFVLNTGAKIPAIGLGTWQSGGDLCVQAVKTALSVGYRHIDCAHLYGNEVEVGESLAQAFNASLKREDVFLTSKLYCTMNSLNKIDNYVRVSLKNLGVSYLDLYLMHWPDISAFGDATDPPSKSGTEYRQFLNRLKKAWKAMEGLVELGLVRAIGVSNFNIQQIKELLKFAKIVPAVNQVELHPFWRQDELVKFCQLKGIHVSAHTPLGVPTSSPGTSDSGSGEDEPGTPRISFKRSRSVHGPMLKLSVVSEIADKLKKTPEQVILRWGLQRGTSVLPCSLKPDRIRKNIDIFSWSLSDGEWNRLNQIEPQNKLKGRKVQQRGKARKESN >Manes.07G080400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24362977:24380537:-1 gene:Manes.07G080400.v8.1 transcript:Manes.07G080400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGALGTYFVLNTGAKIPAIGLGTWQSGGDLCVQAVKTALSVGYRHIDCAHLYGNEVEVGESLAQAFNASLKREDVFLTSKLYCTMNSLNKIDNYVRVSLKNLGVSYLDLYLMHWPDISAFGDATDPPSKSGTEYRQFLNRLKKAWKAMEGLVELGLVRAIGVSNFNIQQIKELLKFAKIVPAVNQVELHPFWRQDELVKFCQLKGIHVSAHTPLGVPTSSPGTSDSGSGEDEPGTPRISFKRSRSVHGPMLKLSVVSEIADKLKKTPEQGILLSGVQFTTYFICLQLGGAPSLKVLFEGYSSVGITERNKCPTL >Manes.07G080400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24362977:24380537:-1 gene:Manes.07G080400.v8.1 transcript:Manes.07G080400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGGALGTYFVLNTGAKIPAIGLGTWQSGGDLCVQAVKTALSVGYRHIDCAHLYGNEVEVGESLAQAFNASLKREDVFLTSKLYCTMNSLNKIDNYVRVSLKNLGVSYLDLYLMHWPDISAFGDATDPPSKSGTEYRQFLNRLKKAWKAMEGLVELGLVRAIGVSNFNIQQIKELLKFAKIVPAVNQVELHPFWRQDELVKFCQLKGIHVSAHTPLGVPTSSPGTSDSGSGEDEPGTPRISFKRSRSVHGPMLKLSVVSEIADKLKKTPEQVILRWGLQRGTSVLPCSLKPDRIRKNIDIFSWSLSDGEWNRLNQIEPQVCLFGNGNGLVNNLTDSGGFMFGSGPLQVVREIEDDVEPNA >Manes.13G029900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3883577:3887567:1 gene:Manes.13G029900.v8.1 transcript:Manes.13G029900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSYEEAVAGLSKLLSEKAELGGVAAGKIKQLTAELEAACSHGCNPYDRIKSGFIHFKTEKFEKDPTLYGALAKGQSPKFMVFACSDSRVCPSHILNFQPGEAFMVRNIANMVPPYDKTKYSGAGAAIEYAVLHLKVEHIVVIGHSCCGGIKGLMSIPDDGTTASEFIENWVQIGSTARTIVKKGCSDLSFEEQCLTCEKEAVNVSLGNLLTYPFVREGLLKKTLSVKGAHYDFVKGSFELWDLDFKVTTCVVA >Manes.13G029900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3883577:3887567:1 gene:Manes.13G029900.v8.1 transcript:Manes.13G029900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKFGKCMMLCCSGKVSKEEDMANDSYEEAVAGLSKLLSEKAELGGVAAGKIKQLTAELEAACSHGCNPYDRIKSGFIHFKTEKFEKDPTLYGALAKGQSPKFMVFACSDSRVCPSHILNFQPGEAFMVRNIANMVPPYDKTKYSGAGAAIEYAVLHLKVEHIVVIGHSCCGGIKGLMSIPDDGTTASEFIENWVQIGSTARTIVKKGCSDLSFEEQCLTCEKEAVNVSLGNLLTYPFVREGLLKKTLSVKGAHYDFVKGSFELWDLDFKVTTCVVA >Manes.13G029900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3884268:3887588:1 gene:Manes.13G029900.v8.1 transcript:Manes.13G029900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSYEEAVAGLSKLLSEKAELGGVAAGKIKQLTAELEAACSHGCNPYDRIKSGFIHFKTEKFEKDPTLYGALAKGQSPKFMVFACSDSRVCPSHILNFQPGEAFMVRNIANMVPPYDKTKYSGAGAAIEYAVLHLKVEHIVVIGHSCCGGIKGLMSIPDDGTTASEFIENWVQIGSTARTIVKKGCSDLSFEEQCLTCEKEAVNVSLGNLLTYPFVREGLLKKTLSVKGAHYDFVKGSFELWDLDFKVTTCVVA >Manes.11G020400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2194998:2196593:1 gene:Manes.11G020400.v8.1 transcript:Manes.11G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTHDESVKREDKPVETMTNIPTKKEVNKGAWTPEEDRKLAQVIAIHGAKRWKIIAATAGLNRCGKSCRLRWLNYLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINQKEKQSGASTREESMDGKMTAGKVDIVEVREENMSCNNTREEDSNATFNVDDFFDFSNEDPLDLEWMNNFLEMDEGFTSM >Manes.09G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1768210:1776799:1 gene:Manes.09G007400.v8.1 transcript:Manes.09G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENFTLLLLYFHLLSMFVSLEATMFRNFTTAPCNTIERKALVEFKDGVEDPTGLLSSWVGDNCCAWNGVVCSSTTGNIIKLHLRNLNKLSDAQFLTPGLVGNISHSLVNLKYLRYLDLSRNNFSRIQIPSFFGSLRKLKYLNLSDASFIGKVPSSLGNLSNLQYLDLSANSYIWVSDVNWVSRLSSLRYINLGYVDMSFASSTWLEAFGVLSSLEELHMQRCNLQAFPHGRLPTVNFTSLLLLHLHENNFNSSIPLWLFNITTLVDLNLANSGIQGSIPNIAFRNLCHLQNLAFSSNRINSQLTEVVGGLSECSNNSLRLLDLSNNYFWGPIPASIMKLSFLEELVLDLNDLNGTIPESIGQLKALKSLSLTGNSWEGIISEVHFHSLEDLKYFGISSTNKSLAFDLRNEWIPPFSLEDIHIAHCQVGPSFPGWLETQKELVAITLNEVAISDTIPAWIWDMSPQIELLFLYNNQLRGTVPQALRFSPVMSVVDLSFNLLEGPIPSWYNVICLWLSNNKFSGQIPENIDQAISILDLSGNSLTGNLPSSLSELKNLQILSLSDNQLSGKILVDWKGLTGLNALDLSKNNLSGRIPSTLCSIRSLTDLKLNNNNFSGKVYKSLENCTRLSMLDLAGNEFTGKIPNLIGERQLFLESVNLKANKFIGSIPEYFCHLPVLRILVLSGNNLSGSIPPCLANLTSLKSFTSYFESQLGSRPAYIEEMDLMMKGRKLSYTKTLQVVNVIDLSQNNLHGEIPEEMMNLSYLITFNLSRNQLTGKIPENIGDLKHLETLDLSCNHLSGSIPPSMSSMTFLNYLNLSHNNLSGPIPSANQFHTFSDPSIYEGNPELCGSPLPITCSMPKDKDEEAKVGDHRDDGIEMIWFYSGMALGFVVGFWSVCGTLILKKPWRHAYFRFVDRMKDNAYVFAAVNKARLLKKLKREI >Manes.10G003520.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:178672:185380:-1 gene:Manes.10G003520.v8.1 transcript:Manes.10G003520.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTSFLFAGISFDKKKFANDFARFKDTKQGNGDGPTDDFNIGKRDPEDVAVSVRKRKRKIAYVSEVVEGFNVFKSSKSVSLPFEEQNDQDEENQPSRKKKELNKQIEQDALLRKKHHIHVSGSNSPSPLQNFAELSSRYGCDSYLLHNLAELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVCPMLMKLKHASKDGIRAVILCPTRELASQTTRECKRMAKGNKFRIKLMTKELVRNADFSKLLCDILISTPLRLQLAICKKKVDLSRVEHLVLDESDKLFELGMLKQIDYVVKACSNTSVIRSLFSATLPDFVEELARTIMHDAVRVVVGRKNTASDTINQKLVYAGSEEGKLLALRQSFAESLNPPVLIFVQNKKRANELYEELKFDSIRVGVVHSDLAQKQRENAVDDFRAGNTWVLIATDVVARGLDFKGVNCVINFDFPDSAAAYIHRIGRSGRAGRTGEAITFYTEDDVLVLRNIANVMAASGCEVPSWIMALPKVKWRRHRPERESISTNPEDQEE >Manes.10G003520.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:178671:185380:-1 gene:Manes.10G003520.v8.1 transcript:Manes.10G003520.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTSFLFAGISFDKKKFANDFARFKDTKQGNGDGPTDDFNIGKRDPEDVAVSVRKRKRKIAYVSEVVEGFNVFKSSKSVSLPFEEQNDQDEENQPSRKKKELNKQIEQDALLRKKHHIHVSGSNSPSPLQNFAELSSRYGCDSYLLHNLAELGFKEPTPIQRQAIPVLLSGRECFACAPTGSGKTLAFVCPMLMKLKHASKDGIRAVILCPTRELASQTTRECKRMAKGNKFRIKLMTKELVRNADFSKLLCDILISTPLRLQLAICKKKVDLSRVEHLVLDESDKLFELGMLKQIDYVVKACSNTSVIRSLFSATLPDFVEELARTIMHDAVRVVVGRKNTASDTINQKLVYAGSEEGKLLALRQSFAESLNPPVLIFVQNKKRANELYEELKFDSIRVGVVHSDLAQKQRENAVDDFRAGNTWVLIATDVVARGLDFKGVNCVINFDFPDSAAAYIHRIGRSGRAGRTGEAITFYTEDDVLVLRNIANVMAASGCEVPSWIMALPKVKWRRHRPERESISTNPEDQEE >Manes.06G069300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20565699:20567510:1 gene:Manes.06G069300.v8.1 transcript:Manes.06G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHSNKKRVREDEAEFDLPEVKKIRDDLLDILDESDPEATAQDLDSVMKSFEEELSASSSSSPVVVDLTSDSCESSQPDLGYLLEASDDELGLPPSVTSSLEEDRNGVTELARVDSAGNDGFWGFEDQIPSYDSFELGIVEYNTEYAAFDDGLFDHSNVCFDSSDYSWRLGTMPAE >Manes.06G161000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28836600:28853304:1 gene:Manes.06G161000.v8.1 transcript:Manes.06G161000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRSLVLRIGDKCPEYGTSSDFKEHIETCSGALRRELEHSANDIIPFLLQCAEQLPHKIPLYGTVIGLLNLENEDVVRKIVENIQTNLQDALDSENCDRIRILMRLLTAMMCSKVLQPVSLVVVFETLLSSAATTVDEEKGNPSWQARGDFYVSCILSCLPWGGSEFIEQVPEEIERVMVGIEAYLSIRRRNSDTGLSFFDDDDEESGKVSSEKDFLEDLWDRIQVLSSNGWKLDSVPRPHLSFEAQLVAGRSHEFVPINAPDQPEPLSQLSGVLYGKQKHHAELKYPQRIRRLNIFRAGKTEDMQPIDRFVVEEYLLDVLLFLNGCRKECAAYMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPIYYTLVIMDLCKALPGAFPAVVAGAVRALFEKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSVENAPALEELLPPKGTPNFKFTVEEGKERTEEHALSAELSKKVKGRQTAREIISWVEESVFPNHGLEVTLTVVLQTFLDIGSKSFTHLITVLERYGQVIARICHDQDKQIMLMAEVSSFWKNNTQMTAITIDRMMGYRLISNLAIVRWVFSPANIDQFHISDRPWEVLRNAVSKTYNRISDLRKEILSLKKNVVSAEEAAAKAKAELDAAESKLTLVDGEPVLGENPARMKPLKSNAEKTKEEEISLRDSLEAKEALLARALDENEALFLSLYKNFSNVLMERLPEASKAQTLQALKSIQADEMVVDLDESSEMEVDNEKERSKKSQSNGEKANKVYNVGDKEQWCLSTLGYVKAFSRQYASEIWPHIEKLDAEIFTESAHPLFLKAVYSGLGRPVFEVSSS >Manes.06G161000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28836600:28853304:1 gene:Manes.06G161000.v8.1 transcript:Manes.06G161000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRSLVLRIGDKCPEYGTSSDFKEHIETCSGALRRELEHSANDIIPFLLQCAEQLPHKIPLYGTVIGLLNLENEDVVRKIVENIQTNLQMCSKVLQPVSLVVVFETLLSSAATTVDEEKGNPSWQARGDFYVSCILSCLPWGGSEFIEQVPEEIERVMVGIEAYLSIRRRNSDTGLSFFDDDDEESGKVSSEKDFLEDLWDRIQVLSSNGWKLDSVPRPHLSFEAQLVAGRSHEFVPINAPDQPEPLSQLSGVLYGKQKHHAELKYPQRIRRLNIFRAGKTEDMQPIDRFVVEEYLLDVLLFLNGCRKECAAYMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPIYYTLVIMDLCKALPGAFPAVVAGAVRALFEKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSVENAPALEELLPPKGTPNFKFTVEEGKERTEEHALSAELSKKVKGRQTAREIISWVEESVFPNHGLEVTLTVVLQTFLDIGSKSFTHLITVLERYGQVIARICHDQDKQIMLMAEVSSFWKNNTQMTAITIDRMMGYRLISNLAIVRWVFSPANIDQFHISDRPWEVLRNAVSKTYNRISDLRKEILSLKKNVVSAEEAAAKAKAELDAAESKLTLVDGEPVLGENPARMKPLKSNAEKTKEEEISLRDSLEAKEALLARALDENEALFLSLYKNFSNVLMERLPEASKAQTLQALKSIQADEMVVDLDESSEMEVDNEKERSKKSQSNGEKANKVYNVGDKEQWCLSTLGYVKAFSRQYASEIWPHIEKLDAEIFTESAHPLFLKAVYSGLGRPVFEVSSS >Manes.02G109400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8494492:8496538:-1 gene:Manes.02G109400.v8.1 transcript:Manes.02G109400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLALFFSSILIFSIISPGNALSLNYYKETCPDVDSIVRDAVKKAAMKDKTVPAALLRMHFHDCFIRGCDGSVLLSSKGSNKAEKDGPPNVSLHAFYVIDNAKKEVEASCPGVVSCADILALAARDAVVLSGGPTWDVPKGRKDGRTSKASETIQLPAPTFNISQLQQSFSQRGLSMSDLVALSGGHTLGFSHCSSFKNRIYNFNATHDIDPTMNPSFAAMLRSICPKKNNPKNAGSTMDPSSTTFDNTYFKLILQGKVLFSSDQTLLTSTRTKALVSNFASSKETFYKAFVKSMIKMSSITGGQEVRKDCRVVN >Manes.03G141000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26888082:26892967:-1 gene:Manes.03G141000.v8.1 transcript:Manes.03G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGCDQMEQFHRNEAISAVADEGFLGEEDDDYEDLYNDVNVGEGFLQSLRKNEDLGFQNQDSNNNNNNTNDDKKIDPPVVAPNTGGAVTGDSNVSRISGYESQGFRGSDMVQKGPSAGSGGLRVELGQNSSKVNEIEEQSANNNSVISSSNNNNNESVQGNISQQTHSVGGVNVGNIPNESMVRNVNGANMIGNGSSGSGVVVPGGGATGGGGGGTILFVGDLHWWTTDAELEAELCKYGVVKEVKFFDEKASGKSKGYCQVEFYDPAAAAACKEGMNGHIFNGRPCVVAFASPYSVKRMGEAQVNRNQQMAQASLSQARRGNNDGGKSGGAGGTGGASGGAIATGGNYQGGDGNRGYGRGNWGRGNAQGMGGRGPIGPMRNRAGGGMGGRGIMGNAGNGFGQGIGATPPLLHPNSMMGQGFDPAFGAPMGRMGTYGGFPGAPTPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGADGPNMGMWSDPSMGGWGGEDHGGGRAGESSYGEEAASDHQYGEVSHDRGGWQNTIKEKDRASERDWSGSSDRRYRDDREAGYDREMPREKDMGGHDNEWSEKRHRDERDVGRERERERERDRERSRDREREKERDRDRDRDRYREDRDRYVDHHRYRDREVEHDDDWDKGRSSRTHSKSRISQEEEHRSRSRDADYGKRRRLTSE >Manes.03G141000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26887499:26892967:-1 gene:Manes.03G141000.v8.1 transcript:Manes.03G141000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGCDQMEQFHRNEAISAVADEGFLGEEDDDYEDLYNDVNVGEGFLQSLRKNEDLGFQNQDSNNNNNNTNDDKKIDPPVVAPNTGGAVTGDSNVSRISGYESQGFRGSDMVQKGPSAGSGGLRVELGQNSSKVNEIEEQSANNNSVISSSNNNNNESVQGNISQQTHSVGGVNVGNIPNESMVRNVNGANMIGNGSSGSGVVVPGGGATGGGGGGTILFVGDLHWWTTDAELEAELCKYGVVKEVKFFDEKASGKSKGYCQVEFYDPAAAAACKEGMNGHIFNGRPCVVAFASPYSVKRMGEAQVNRNQQMAQASLSQARRGNNDGGKSGGAGGTGGASGGAIATGGNYQGGDGNRGYGRGNWGRGNAQGMGGRGPIGPMRNRAGGGMGGRGIMGNAGNGFGQGIGATPPLLHPNSMMGQGFDPAFGAPMGRMGTYGGFPGAPTPPFSGILSSFPPVGGVGLPGVAPHVNPAFFGRGMPMNGMGMMPTSGADGPNMGMWSDPSMGGWGGEDHGGGRAGESSYGEEAASDHQYGEVSHDRGGWQNTIKEKDRASERDWSGSSDRRYRDDREAGYDREMPREKDMGGHDNEWSEKRHRDERDVGRERERERERDRERSRDREREKERDRDRDRDRYREDRDRYVDHHRYRDREVEHDDDWDKGRSSRTHSKSRISQEEEHRSRSRDADYGKRRRLTSE >Manes.01G075300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27798443:27799821:-1 gene:Manes.01G075300.v8.1 transcript:Manes.01G075300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRYIDATYCVLIAILVVATTVSSDDITPIPADDSKVSNWFQINVKPWRSRKGTLDPALEAAEAKSRIIIVSKDGKGEFKTVTDAINSVPLNNKQRVIIKIGPGVYTEKIQIERTKHFITFLGDPKAMPTLAFGGTAHEYGTLASASVAIEPNYFMAVNIIFKNTAPGPNSKKPGAQAVALRVSGDKAAFYNCKMLGFQDTLCDDRGHHFYKNCYIEGTVDFIFGRGRSLYLESHINVVNNKGLTFITAQAKENKSENWGYSFVQCKITGSASETYLGRAWRAMPEVVFSYTEMGTVINPLGWSNNKRPERERTVFFAEYENSGPGSNFKRRVKFAKKLTDRDAKHFLSLGYIQGSKWLLPPPM >Manes.07G034100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3728272:3733292:-1 gene:Manes.07G034100.v8.1 transcript:Manes.07G034100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKEGMEWKMLLVAAAWICYYMVKMANSDAGINFLNEGCSIYNVSSVLDFSINLNNSFSDLRSQINGGKNFATTQRFRGVDSVYAMIQCRDYMSTAECLSCFSVASTKLRNCSAANGGRVIYDGCFLRYERSDFYGETTRDANREYCGNRNTSAPATAFEAAVKGLLNDLQIATPRIQGFFAASKREVIGANNESVYAIAQCVQTIDSVGCLACMQVAHNNLQRCPPTADGRAVDSGCFMRYSDTAFFANNQTTNLESYLKTGGSGKKTALIGGVAGGVGLLLLIVVLIAWFKLSRRKRANRGDILGATELRGPTSYSYRDLKSATKNFKEENKLGEGGFGDVYKGTLKNGKIVAVKKLALGQSRRAKADFASEVTLISNVHHRNLVRLLGCCSKGPELLLVYEYMANSSLDRFLFGQNKGSLVWKQRLDVIIGTAQGLAYLHEQFHVCIIHRDIKPSNILLDDEFQPKIADFGLARLLPDDQTHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSYGVVVLEIISGRKSNEMIADPGSDYLLKRAWRLYENGSHLELVDESLDLNEHEAEEVKRMIEIALMCTQTSPALRPTMSEVVVLLKSKGSLIEHPPPTKPPFIDNEERVGVHGDKSTSTASSSSNATASITQVSGR >Manes.03G135000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26238419:26239968:-1 gene:Manes.03G135000.v8.1 transcript:Manes.03G135000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMEMELKRYVKVWVLATTCLCYCYYVAARLPKGALRLLSILPVIYIFIILPITLTSVSLCGPTAFFLVWLANFKLLLFSFGQAPLSPPPPKLFHFISLACLPIKLMQKTDNDNNPSPSFMPRLLLVIKTFVLLLLLHVHNYRQFIHPYVFLSLYCLEMYLQIELVLAISAIPARALFRFEIEPQFNEPYLATSLQDFWGHRWNLMVTSILRPTVYYPVSEFSKRLIGPKWASLPGVVATFVVSGLMHEVIFFYLTRVSPTWEVTWFFILHGICVAMEVALKKMVKDRWQLHRAISGPLAVIFAGVTGFWLFFPQLTRNRVDDQVVWECYILLNFIKHKVSSFFIG >Manes.14G035200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3113637:3118685:-1 gene:Manes.14G035200.v8.1 transcript:Manes.14G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRFSLFLLTRFALFTTLCFAGDPSVSYEFRLSYITASPLGVPQQVIAVNGKFPGPVINATTNYIVIVNVWNDLDENLLMTWPGIQLRHNAWQDGVRGTNCPLPPKMNFTYSFQTKDQIGSFFYFPSLNLQRASGGFGPFIVNNREIVPIPFPQPDGDIILMIGDWYTQNHTALRTALDSGDDLGMPDGVLINGKGPYRYNTTLVTDGIEYETIQVDPGKTYRLRLHNVGTSTSLNFRIQGHNLLLVETEGYYTSQQNYSSFDIHVGQSYSFLVTMDQNATSDYYIVASARFVNESLWQRVTGVAVLHYSNSKGPVSGPLPEAPNDIYYPWAAMNQPRSIKQNTTASGARPNPQGSFHYGQINITGTYVLRSLPPVTIGGKQRATVNGISFVNPDTPIRLADLHNVTGAYKLDFPNKPLNRPPRMDISVINATYKSFIQVILQNNDTKMLSFHMDGYSFFVVAMDWGIWTEDKNSSYNNWDAIFRCTVEVYPGGWTAVLVSLDNVGVWNLRVENLDRWYLGHETYMRIINPEENGETEMGPPANVRYCGALQGLQKDPIQLSAAFILASKSLVFSSLLIALCSSFLIFG >Manes.14G035200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3113637:3118685:-1 gene:Manes.14G035200.v8.1 transcript:Manes.14G035200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRFSLFLLTRFALFTTLCFAGDPSVSYEFRLSYITASPLGVPQQVIAVNGKFPGPVINATTNYIVIVNVWNDLDENLLMTWPGIQLRHNAWQDGVRGTNCPLPPKMNFTYSFQTKDQIGSFFYFPSLNLQRASGGFGPFIVNNREIVPIPFPQPDGDIILMIGDWYTQNHTALRTALDSGDDLGMPDGVLINGKGPYRYNTTLVTDGIEYETIQVDPGKTYRLRLHNVGTSTSLNFRIQGHNLLLVETEGYYTSQQNYSSFDIHVGQSYSFLVTMDQNATSDYYIVASARFVNESLWQRVTGVAVLHYSNSKGPVSGPLPEAPNDIYYPWAAMNQPRSIKQNTTASGARPNPQGSFHYGQINITGTYVLRSLPPVTIGGKQRATVNGISFVNPDTPIRLADLHNVTGAYKLDFPNKPLNRPPRMDISVINATYKSFIQVILQNNDTKMLSFHMDGYSFFVVAMDWGIWTEDKNSSYNNWDAIFRCTVEVGLSIGSICFTIYLRMWKLLRFLS >Manes.01G221500.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38890656:38892697:1 gene:Manes.01G221500.v8.1 transcript:Manes.01G221500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTDGITKPRNQVSNNSTYARDGKILLCSGIILFTVLVVVVCFHGYARWIFKRRLRSRRQRRDHLLSPSVTPAASGVANRALDPSVLETLPTLVYSSKTQETVLQCAVCLSEFEDGEKGRVLPKCEHAFHIDCVDIWFHTHSNCPLCRAPVQSDRVSETCAETVVKLIEETCLQPESRQKREDERGCSASSLPVSLSHVESHWKSCERVSIVVDVPTGTATGGGHGLGFYG >Manes.01G221500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:38890824:38891522:1 gene:Manes.01G221500.v8.1 transcript:Manes.01G221500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTDGITKPRNQVSNNSTYARDGKILLCSGIILFTVLVVVVCFHGYARWIFKRRLRSRRQRRDHLLSPSVTPAASGVANRALDPSVLETLPTLVYSSKTQETVLQCAVCLSEFEDGEKGRVLPKCEHAFHIDCVDIWFHTHSNCPLCRAPVQSDRVSETCAETVVKLIEETCLQPESRQKREDERGCSASSLPVSLSHVESHWKSCERVSIVVDVPTGTATGGGHGLGFYG >Manes.06G008100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1298126:1300469:1 gene:Manes.06G008100.v8.1 transcript:Manes.06G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNTLPFFLFFFHILGLANPDPAATTTQSFLPNPSLSSTIPAFPEQSNAASCPLDLPDDLFHGIKVSCGSSETGQLHKSRCCPVLAAWLYSAYSATALGRAGRVAGHTTSSYLPLLPDDSETCVDDLGKALKQKGIELVKPNETCDLVYCYCGIRLHPLNCPEAFSTNQMGKLVGDKKVKRLERNCLSSSTNVNQFPGLGGCSKCLNSLYSLNNKALNSSKSEDSRTIKMHNKDCQLMGLTWLLAKNRTAYFHIVSAVLRAMMMNEDQSDPQSCSLSSDGMPLAVDSSEISSNSLSISHQPPIYASVVFVICLFHLLLFAPSTKF >Manes.15G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7930195:7932417:1 gene:Manes.15G101200.v8.1 transcript:Manes.15G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDMCDLALTSNGSECFSSTPPTPLITSLSSLSSLLSCPLLICITFPREHTRDMDKGDKLGVGDSNSPMGMIGSTTDDREEPTSPLRTVETILRLVPMALCISALVLMLKNSQTNDYGSLSYSDLGAFRYLVHANGVCAGYSLLSAVIVAMPRPSTMSKAWTFFFLDQVLTYIILAAAAVSVEVIYLARKGDTAITWSAACVSFGGFCHKATTSTVITFVVVAFYILLSLVSSYKLFSKFSAPAVSFSGKGIEISGFQG >Manes.06G084400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21967210:21972189:1 gene:Manes.06G084400.v8.1 transcript:Manes.06G084400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNCQSIMPTYEAEVEQHIFAATQHILKALGASKNLSDDFRRALTELDSHLSTMTIITENEGGGFSEIEEQLKHAEKKIIRWESDPSLIWDSGPMEATEYLQAINEILTVIESLGGLSLSENGKLRMIALRAQNALQRAMSRLEKELCHILSQHKQYFRPQYVSFHSRAEDMFYNESFAFLEDEIVEEISQRDGEDGETFEFSLDLVDPHVIPDIKSIANVMSASNYMQEFCEAFIGKRREALYEYLSILEIEKLSLEDVLKLEWDSLNREIKKWTWAIKIIIRVYLASEKRLCDQILGGFGSVNSFCFIEISKASVLCLLNFGQAVAMGPHKPEKLFRLLDMYEIMADLHIDVDGLFSDDDGSFVRIEYHKLLRTLGDYARETSMRFVNAIASDASLHPFRGGGIHHLTKYVMNYMRVLADYSDTFSLLLKDQDADESQAVVEIDNDQDFYSSSSGPMACHLRLVTCTLESNLIVKSKLYKDDSLQHIFLMNNIHYMVKKVKDSDLRLFFGDEWIRKHIGKFQQHATSYVRATWCSVISILRDDGKTSLKERCRRFSTAFEEIYKNQTRWCIPDLQLREDLQISTSQKVIPAYRNFLGNNNSNVSDKHVKYTTDDLAELLLDLFVGSPRSLRNSRRRA >Manes.06G084400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21967268:21971426:1 gene:Manes.06G084400.v8.1 transcript:Manes.06G084400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNCQSIMPTYEAEVEQHIFAATQHILKALGASKNLSDDFRRALTELDSHLSTMTIITENEGGGFSEIEEQLKHAEKKIIRWESDPSLIWDSGPMEATEYLQAINEILTVIESLGGLSLSENGKLRMIALRAQNALQRAMSRLEKELCHILSQHKQYFRPQYVSFHSRAEDMFYNESFAFLEDEIVEEISQRDGEDGETFEFSLDLVDPHVIPDIKSIANVMSASNYMQEFCEAFIGKRREALYEYLSILEIEKLSLEDVLKLEWDSLNREIKKWTWAIKIIIRVYLASEKRLCDQILGGFGSVNSFCFIEISKASVLCLLNFGQAVAMGPHKPEKLFRLLDMYEIMADLHIDVDGLFSDDDGSFVRIEYHKLLRTLGDYARETSMRFVNAIASDASLHPFRGGGIHHLTKYVMNYMRVLADYSDTFSLLLKDQDADESQAVVEIDNDQDFYSSSSGPMACHLRLVTCTLESNLIVKSKLYKDDSLQHIFLMNNIHYMVKKVKDSDLRLFFGDEWIRKHIGKFQQHATSYVRATWCSVISILRDDGKTSLKERCRRFSTAFEEIYKNQTRWCIPDLQLREDLQISTSQKVIPAYRNFLGNNNSNVSDKHVKYTTDDLAELLLDLFVGSPRSLRNSRRRA >Manes.06G084400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21967210:21972189:1 gene:Manes.06G084400.v8.1 transcript:Manes.06G084400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNCQSIMPTYEAEVEQHIFAATQHILKALGASKNLSDDFRRALTELDSHLSTMTIITENEGGGFSEIEEQLKHAEKKIIRWESDPSLIWDSGPMEATEYLQAINEILTVIESLGGLSLSENGKLRMIALRAQNALQRAMSRLEKELCHILSQHKQYFRPQYVSFHSRAEDMFYNESFAFLEDEIVEEISQRDGEDGETFEFSLDLVDPHVIPDIKSIANVMSASNYMQEFCEAFIGKRREALYEYLSILEIEKLSLEDVLKLEWDSLNREIKKWTWAIKIIIRVYLASEKRLCDQILGGFGSVNSFCFIEISKASVLCLLNFGQAVAMGPHKPEKLFRLLDMYEIMADLHIDVDGLFSDDDGSFVRIEYHKLLRTLGDYARETSMRFVNAIASDASLHPFRGGGIHHLTKYVMNYMRVLADYSDTFSLLLKDQDADESQAVVEIDNDQDFYSSSSGPMACHLRLVTCTLESNLIVKSKLYKDDSLQHIFLMNNIHYMVKKVKDSDLRLFFGDEWIRKHIGKFQQHATSYVRATWCSVISILRDDGKTSLKERCRRFSTAFEEIYKNQTRWCIPDLQLREDLQISTSQKVIPAYRNFLGNNNSNVSDKHVKYTTDDLAELLLDLFVGSPRSLRNSRRRA >Manes.06G084400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21967215:21970446:1 gene:Manes.06G084400.v8.1 transcript:Manes.06G084400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNCQSIMPTYEAEVEQHIFAATQHILKALGASKNLSDDFRRALTELDSHLSTMTIITENEGGGFSEIEEQLKHAEKKIIRWESDPSLIWDSGPMEATEYLQAINEILTVIESLGGLSLSENGKLRMIALRAQNALQRAMSRLEKELCHILSQHKQYFRPQYVSFHSRAEDMFYNESFAFLEDEIVEEISQRDGEDGETFEFSLDLVDPHVIPDIKSIANVMSASNYMQEFCEAFIGKRREALYEYLSILEIEKLSLEDVLKLEWDSLNREIKKWTWAIKIIIRVYLASEKRLCDQILGGFGSVNSFCFIEISKASVLCLLNFGQAVAMGPHKPEKLFRLLDMYEIMADLHIDVDGLFSDDDGSFVRIEYHKLLRTLGDYARETSMRFVNAIASDASLHPFRGGGIHHLTKYVMNYMRVLADYSDTFSLLLKDQDADESQAVVEIDNDQDFYSSSSGPMACHLRLVTCTLESNLIVKSKLYKDDSLQHIFLMNNIHYMVKKVKDSDLRLFFGDEWIRKHIGKFQQHATSYVRATWCSVISILRDDGKTSLKERCRRFSTAFEEIYKNQTRWCIPDLQLREDLQISTSQKVIPAYRNFLGNNNSNVSDKHVKYTTDDLAELLLDLFVGSPRSLRNSRRR >Manes.06G084400.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21967215:21970446:1 gene:Manes.06G084400.v8.1 transcript:Manes.06G084400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNCQSIMPTYEAEVEQHIFAATQHILKALGASKNLSDDFRRALTELDSHLSTMTIITENEGGGFSEIEEQLKHAEKKIIRWESDPSLIWDSGPMEATEYLQAINEILTVIESLGGLSLSENGKLRMIALRAQNALQRAMSRLEKELCHILSQHKQYFRPQYVSFHSRAEDMFYNESFAFLEDEIVEEISQRDGEDGETFEFSLDLVDPHVIPDIKSIANVMSASNYMQEFCEAFIGKRREALYEYLSILEIEKLSLEDVLKLEWDSLNREIKKWTWAIKIIIRVYLASEKRLCDQILGGFGSVNSFCFIEISKASVLCLLNFGQAVAMGPHKPEKLFRLLDMYEIMADLHIDVDGLFSDDDGSFVRIEYHKLLRTLGDYARETSMRFVNAIASDASLHPFRGGGIHHLTKYVMNYMRVLADYSDTFSLLLKDQDADESQAVVEIDNDQDFYSSSSGPMACHLRLVTCTLESNLIVKSKLYKDDSLQHIFLMNNIHYMVKKVKDSDLRLFFGDEWIRKHIGKFQQHATSYVRATWCSVISILRDDGKTSLKERCRRFSTAFEEIYKNQTRWCIPDLQLREDLQISTSQKVIPAYRNFLGNNNSNVSDKHVKYTTDDLAELLLDLFVGSPRSLRNSRRR >Manes.09G007600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1784852:1794786:-1 gene:Manes.09G007600.v8.1 transcript:Manes.09G007600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLVAEGNGHGAERGGSFDMGSLGRCLSSFVDEGSCESHRYYLSRRTVLEMLRDRDYSVPSSEIDITLQEFRAIHGQNLDIDRLKFSATNKSDPSKRVLVIFCGTGVVKVSSVRLIAAQIANRDSLTGLILVLQNQITNQALKAMDLFTFRVEMFQITDLLVNITKHVLKPKHEVLTDREKEKLLNKYKIEEKQLPRLLKKDAISRYYGLEKGQVVKVTYTGEITESHVTYRCVW >Manes.09G007600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1784852:1794757:-1 gene:Manes.09G007600.v8.1 transcript:Manes.09G007600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDILIPSNCRHVHARNSSSGLGEMEANLVAEGNGHGAERGGSFDMGSLGRCLSSFVDEGSCESHRYYLSRRTVLEMLRDRDYSVPSSEIDITLQEFRAIHGQNLDIDRLKFSATNKSDPSKRVLVIFCGTGVVKVSSVRLIAAQIANRDSLTGLILVLQNQITNQALKAMDLFTFRVEMFQITDLLVNITKHVLKPKHEVLTDREKEKLLNKYKIEEKQLPRLLKKDAISRYYGLEKGQVVKVTYTGEITESHVTYRCVW >Manes.09G007600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1782324:1794770:-1 gene:Manes.09G007600.v8.1 transcript:Manes.09G007600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLVAEGNGHGAERGGSFDMGSLGRCLSSFVDEGSCESHRYYLSRRTVLEMLRDRDYSVPSSEIDITLQEFRAIHGQNLDIDRLKFSATNKSDPSKRVLVIFCGTGVVKVSSVRLIAAQIANRDSLTGLILVLQNQITNQALKAMDLFTFRVEMFQITDLLVNITKHVLKPKHEVLTDREKEKLLNKYKIEEKQLPRLLKKDAISRYYGLEKGQVVKVTYTGEITESHVTYRCVWGRRLDLWELV >Manes.09G007600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1784826:1794770:-1 gene:Manes.09G007600.v8.1 transcript:Manes.09G007600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLVAEGNGHGAERGGSFDMGSLGRCLSSFVDEGSCESHRYYLSRRTVLEMLRDRDYSVPSSEIDITLQEFRAIHGQNLDIDRLKFSATNKSDPSKRVLVIFCGTGVVKVSSVRLIAAQIANRDSLTGLILVLQNQITNQALKAMDLFTFRVEMFQITDLLVNITKHVLKPKHEVLTDREKEKLLNKYKIEEKQVRF >Manes.09G007600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1781714:1794770:-1 gene:Manes.09G007600.v8.1 transcript:Manes.09G007600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLVAEGNGHGAERGGSFDMGSLGRCLSSFVDEGSCESHRYYLSRRTVLEMLRDRDYSVPSSEIDITLQEFRAIHGQNLDIDRLKFSATNKSDPSKRVLVIFCGTGVVKVSSVRLIAAQIANRDSLTGLILVLQNQITNQALKAMDLFTFRVEMFQITDLLVNITKHVLKPKHEVLTDREKEKLLNKYKIEEKQLPRLLKKDAISRYYGLEKGQVVKVTYTGEITESHVTYRCVW >Manes.09G007600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1784817:1794770:-1 gene:Manes.09G007600.v8.1 transcript:Manes.09G007600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLVAEGNGHGAERGGSFDMGSLGRCLSSFVDEGSCESHRYYLSRRTVLEMLRDRDYSVPSSEIDITLQEFRAIHGQNLDIDRLKFSATNKSDPSKRVLVIFCGTGVVKVSSVRLIAAQIANRDSLTGLILVLQNQITNQALKAMDLFTFRVEMFQITDLLVNITKHVLKPKHEVLTDREKEKLLNKYKIEEKQLPRLLKKDAISRYYGLEKGQVVKVTYTGEITESHVTYRCVWGRRLDLWELV >Manes.01G065550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:26543582:26544729:-1 gene:Manes.01G065550.v8.1 transcript:Manes.01G065550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKERIENLEATVETLNDTMGKLQTTVSNLDKGLSSKLQQIEAAITRFSDIAVSNKEGVSSVGDHSQTRSNKEESREGGKPIFASKLAKIEFPKFSGDDSTEWMTKVDHFFDYQKTDPSEKVYLASYHLQGEANQWWRWLQ >Manes.10G110300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27102893:27106239:-1 gene:Manes.10G110300.v8.1 transcript:Manes.10G110300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSGAATRIMGRRHSPYLFFCAFLLCSSCIVASSRKLLLDNGLGLTPPMGWNSWNHFHCQINKTIVKETADALVSTGLARLGYVYVNIDDCWGESKRDQQGNLVANKTTFPSGIKALADYVHGKGLKLGIYADAGLRTCTGKVAGSLGHEEQDANTFASWGVDYLKYDNCYNNDVKPTIRYKAMSAALKKTGRPIFFSMCEWGDMRPALWGADVGNSWRTTDDISDSWESMLKVADMNEVYAAYAKPGGWNDPDMLEVGNGGMKYNEYVVHFSIWAISKAPLLLGCDVRSLSNETMQIISNEEVIAINQDSLGVQARKVRMEGQRDIWAGPLSGDRVVVLFVNRKPWKSPMTAHWDDIGIEPTDRAEVRDVWEHRTLPATFEEKLTADVDPRACKLYVLTIIK >Manes.10G110300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27102954:27106239:-1 gene:Manes.10G110300.v8.1 transcript:Manes.10G110300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHSPYLFFCAFLLCSSCIVASSRKLLLDNGLGLTPPMGWNSWNHFHCQINKTIVKETADALVSTGLARLGYVYVNIDDCWGESKRDQQGNLVANKTTFPSGIKALADYVHGKGLKLGIYADAGLRTCTGKVAGSLGHEEQDANTFASWGVDYLKYDNCYNNDVKPTIRYKAMSAALKKTGRPIFFSMCEWGDMRPALWGADVGNSWRTTDDISDSWESMLKVADMNEVYAAYAKPGGWNDPDMLEVGNGGMKYNEYVVHFSIWAISKAPLLLGCDVRSLSNETMQIISNEEVIAINQDSLGVQARKVRMEGQRDIWAGPLSGDRVVVLFVNRKPWKSPMTAHWDDIGIEPTDRAEVRDVWEHRTLPATFEEKLTADVDPRACKLYVLTIIK >Manes.10G110300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27102954:27109143:-1 gene:Manes.10G110300.v8.1 transcript:Manes.10G110300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRHSPYLFFCAFLLCSSCIVASSRKLLLDNGLGLTPPMGWNSWNHFHCQINKTIVKETADALVSTGLARLGYVYVNIDDCWGESKRDQQGNLVANKTTFPSGIKALADYVHGKGLKLGIYADAGLRTCTGKVAGSLGHEEQDANTFASWGVDYLKYDNCYNNDVKPTIRYKAMSAALKKTGRPIFFSMCEWGDMRPALWGADVGNSWRTTDDISDSWESMLKVADMNEVYAAYAKPGGWNDPDMLEVGNGGMKYNEYVVHFSIWAISKAPLLLGCDVRSLSNETMQIISNEEVIAINQDSLGVQARKVRMEGQRDIWAGPLSGDRVVVLFVNRKPWKSPMTAHWDDIGIEPTDRAEVRDVWEHRTLPATFEEKLTADVDPRACKLYVLTIIK >Manes.01G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7238145:7240073:1 gene:Manes.01G037500.v8.1 transcript:Manes.01G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTLSSSSSSSSLYPNFQSSPLIFSSKSVQDLSSSLSTIRSKGSVRRRSSSQTVSRSLRVLSAATKPAKTPAEEEWKVKRELLLQKKVRSVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPDFLEIVESKIDKNAKIIVACSSGGTMKPSQNLPEGQQSRSLIAAYLLVLNGYTNVFHLEGGLYTWFKEGLPAVSEE >Manes.02G023100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2053215:2056837:1 gene:Manes.02G023100.v8.1 transcript:Manes.02G023100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYADRMSQPSRAVIIFCKVNGIDFEEVRTDITKRQQLSPEFKEINPMGKVPAIVDGRFKLFESHAILIYLACVFPGVADHWYPADFFKKAKIHSVLDWHHSNLRQGAVTYVVNTALAPALGLPLNPQAASDAEKVLSSSLSKIESFWLQGSGRFLLGGNQPSIADLSLVCEIMQLEVLDENDHNRILAPYEKVQQWIKDTRYATRPHFDEVHKILFKAKARLQKQRSTGENSETQLSLTTTLPSKM >Manes.12G129900.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33759630:33760094:1 gene:Manes.12G129900.v8.1 transcript:Manes.12G129900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSDSEKACKDHPYHNQKQGVCPSCLRERLSQLYIVVSGEKEAAAAMAGPSSSSSMSFSSAYSSNHLSPGLHNHQYHHQRNMSDIMGSISFRVSAGNGLKKSRSVAFVARSSLVGDVKHGTKKNKKKGFWSKLLHLKGKNKEVNFPGKFALNV >Manes.17G084755.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29217110:29223762:1 gene:Manes.17G084755.v8.1 transcript:Manes.17G084755.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEASRGDRTRGTEEAISVSCCRVSPASARVLQV >Manes.11G070900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEFYILNEEVGQGVSAAVHRALCIPFDEIVAIKILDFERYNCDLNNISREVQIMILIEHPNVVKSHCSFVNDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILMDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIARTRSEKSDDEFSITSPRHEQYVSHISSPLDDSVENNASIFEINGKSMEDTASQQKTGGSLGRSNLPENLIPSNKGESDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGPIPILHKSHSMQVGSFEVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEFYILNEEVGQGVSAAVHRALCIPFDEIVAIKILDFERYNCDLNNISREVQIMILIEHPNVVKSHCSFVNDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILMDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIARTRSEKSDDEFSITSPRHEQYVSHISSPLDDSVENNASIFEINGKSMEDTASQQKTGGSLGRSNLPENLIPSNKGESDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGPIPILHKSHSMQVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEFYILNEEVGQGVSAAVHRALCIPFDEIVAIKILDFERYNCDLNNISREVQIMILIEHPNVVKSHCSFVNDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILMDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIASDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGPIPILHKSHSMQVGSFEVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIARTRSEKSDDEFSITSPRHEQYVSHISSPLDDSVENNASIFEINGKSMEDTASQQKTGGSLGRSNLPENLIPSNKGESDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGPIPILHKSHSMQVGSFEVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEFYILNEEVGQGVSAAVHRALCIPFDEIVAIKILDFERYNCDLNNISREVQIMILIEHPNVVKSHCSFVNDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILMDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIASDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGIEKVGPIPILHKSHSMQVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEFYILNEEVGQGVSAAVHRALCIPFDEIVAIKILDFERYNCDLNNISREVQIMILIEHPNVVKSHCSFVNDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILMDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIASDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGPIPILHKSHSMQVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEFYILNEEVGQGVSAAVHRALCIPFDEIVAIKILDFERYNCDLNNISREVQIMILIEHPNVVKSHCSFVNDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILMDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIARTRSEKSDDEFSITSPRHEQYVSHISSPLDDSVENNASIFEINGKSMEDTASQQKTGGSLGRSNLPENLIPSNKGESDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGIEKVGPIPILHKSHSMQVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIARTRSEKSDDEFSITSPRHEQYVSHISSPLDDSVENNASIFEINGKSMEDTASQQKTGGSLGRSNLPENLIPSNKGESDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGIEKVGPIPILHKSHSMQVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIARTRSEKSDDEFSITSPRHEQYVSHISSPLDDSVENNASIFEINGKSMEDTASQQKTGGSLGRSNLPENLIPSNKGESDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGPIPILHKSHSMQVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSANRAIDGGSTPIHINSIERSLLEASHDREKELLHDITELQWRLIRVQDELQKNRTENAQV >Manes.11G070900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10223823:10236933:1 gene:Manes.11G070900.v8.1 transcript:Manes.11G070900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEFYILNEEVGQGVSAAVHRALCIPFDEIVAIKILDFERYNCDLNNISREVQIMILIEHPNVVKSHCSFVNDHNLWVVMPFMAGGSCLHILKAVYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILMDSRGAIKLGDFGVSACMFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYISRTLLEGLPSLGDRIKELKRKEEDMLAQKKMPDGQKEEISQNEYKRGISGWNFNLEDMKAQASLIQDVEYSLADNNLGGSSSSFVALDSLEKQLDPRHSSLGQIAEMDDNNPIQNQSTHLPLFNSSINIARTRSEKSDDEFSITSPRHEQYVSHISSPLDDSVENNASIFEINGKSMEDTASQQKTGGSLGRSNLPENLIPSNKGESDKLLNQPQNNSSLEGEDVLYEIPPKPSKLSAPNNDELDEKAKPPVVQQKGRFKVTSENVGIEKVGPIPILHKSHSMQVLHQHSIVSVPPTSDATPSTFSGHFLFPMLNSILQTNILQRDTILNLMKQVSESSARSIS >Manes.13G126400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:33394735:33395016:-1 gene:Manes.13G126400.v8.1 transcript:Manes.13G126400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVDRTCFFFLLMLFSYNLICIEGRELKCVGCINTSNPVNGWKRLTRIPSRGINTNSSPSHIDMQESFETNEESIDAFRPTYPGHSPGVGH >Manes.15G019400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1624238:1630087:1 gene:Manes.15G019400.v8.1 transcript:Manes.15G019400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRNFWVVIVLVTGRNAAANLDRVEGRPHRILLDTDVDTDDFFALLYILKLNRSEFELEAITINANAWSDAGHAVNQIYDILYMMGRDDIAVGVGGEGGILEDGTILPDVGGYLSIIEQGNSTAGGCRYRQAIPVGLGGRLDIDSKYGLRKAFLPQGSRKYSPLRQPTTQQVMIDKISAGPITIFMTGVHTNFAIFLMKKPHLKQNVEHIYIMGGGVRSGKPTGSCPQSASSSCLPSQYVERGNLFAEYDCNPYAEFNIFGDPFAAYQVIHSGIPLTLVPLDATNTIPINEDFFKTFEKSQHTYEAQYCFQSLKIIRDTWFNDQFYTSYFMWDSFASGIAVSIMRNSHNQNGENEFAEMEYMNITVITSNEPYAAYDGSNPFFDGRKIPKFNLKKDGVHSGHVQTSIRDPFCIVQSEKERCQDGYTKEIKGSEGVRVLVATRAKPNPDNSSKLDRAYFKSFLDVLNHPQQTGKFNFTTQFPYYKEVLYKPDFGNKKLGKPIVFDMDMSAGDFLALFYLLKLPAEEINLKAIIVSPTGWANAATIDVVYDLLHMMGRDDIPVGLGDVFAMDLSDPRDCKYVKAIPQGSGGFLDSDTLYGLARHLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALEIWDSVVKTLEPGSKISILTNGPLTNLAKIIQSRKNTSSVIRDVYVVGGHINHGNLDKGNVFTVHSNEYTEMNMYLDPSAAKTVLESSLDIKLIPLNAQRKASSFSEILQRLGKTNRTPEALFAHRLLSRLYRLQQTHYRYHHMDTFLGEILGAVVLAGGSLLNPILQIKPIKVLADGVESKDGQTVVDEKQGKLVKILETVDPVAYYDTFANQMGVTKQSAVIGSFEEQRRIWTGTGMNSLFRPLKKWGGENLDIFFSIVIFLILIYHYII >Manes.15G059800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4583608:4586480:-1 gene:Manes.15G059800.v8.1 transcript:Manes.15G059800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSVVFLLLLLSSASTLTDAHNITHLLAKHPAFSTFNHYLTITHLAAEINRRTTITVCAVDNAAMSELLSKHPSIYTIKNILSLHVLLDYFGAKKLHQITNGTALAATMFQETGSAPGSSGFVNITDMKGGKVALGPENNGGKLDVNFVKALEEMPYNISVIQISKVLPSDVAEAPTPGPSQMNLTSIMSAHGCKLFADTLLANSEASKTYQDNLDGGLTVFCPLDDPFKAFLPKFKNLTAAGKTSFLEFFGVPVYQSLSMLKSNNGLMNTLATDGANKFDFTVQNDGEDVTLKTRSTTAKITGTLIDEQPVAVYTINKVLLPRELFKAEAPTPAPGPAPEKAADAPKSSKHKDLSSAPSDSPADAPADDSADQVADDNVGVRFTGGRLSAVGLSLWLGLLML >Manes.06G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4072517:4076381:1 gene:Manes.06G021700.v8.1 transcript:Manes.06G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENMIPVITGENGGKKLKSEISHSSKVQGTVIVQTKKNVLNSLHELFDKLTGKKVSLQLISAFNGDPEDGHRGKTGSPAYLEKCNTALPAAPVKEDEVQFQISFDWEEQIGVPGAFTIRNDHHGEFFLKSLTLEHVPDHGSIHFDCHSWVYPAKFYQKDRVFFSNKTYLPYNTPVPLRKYREEELENLRGDGKGELQEADRIYDYAYYNDLGDPKHVRPVLGGSLHRPYPRRVRTGRGPLDSAPCNERRLPLHKSLSIYVPRDERFSLSKNEDFLVSSFKALAQLIGPELKSLFDKEFDSYKDVLTLYKEGVKLPDGPLHASLEMLNMIFESGRKFPTPQLIQADESAWSTDEEFAREMLAGVNPVSIRRLEEFPPKSKLNHEQFGDQNSSITKEHIENKLHGMSIEEAIDKNKLFVLDYHDVLMPYLRLINETSTKVYASRTLLFLKEDGTLKPLAIELSLPHPEGDQFGAVSNVYTPAEHGIEGSIWKLAKAYVAVNDSGFHQLISHWLRTHAVIEPFVIATNRQLSVLHPVYKLLHPHFRDTLHINAIGRQMFLNAEGVLEATVFPGKYSMEMSSAVYKDWNFTDQALPEDLKKRGVAVEDENSPNGLRLMIEDYPFAVDGLEIWSAIKIWVKQYCSFYYKTNDMVRQDSELQTWWKEIQEKGHGDKKDEPWWPRMQTCDELIETCTITIWIASALHAALNFGQYPYTGYVPNRPAISRRLMPEKGSAEYKELESNFEEALFKTITAKPVALVGISVVEILSTHSPEEEYLGQRTPGWTSDAEPLEAFKKFQETVEGIGERILDRNRDTRLKNRTGPVQVPYTLLFPASEGGLTGKGIPNSISI >Manes.01G180600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35944810:35950634:-1 gene:Manes.01G180600.v8.1 transcript:Manes.01G180600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVRVSLLWFGTGWQESGKEAIRNAINSLTPSRYLGKNSEVPTLGDWWNIVRQYTDSSNDPVSDRVDVGSECFYTGSELNMTRDQVIDIGHSVFNKTLIDAFRGNLTCNEAFEVNENSIFHVVFSHTVIFLDRKEQTELMVSCSGDLQVEAFAGANVTLGWARAPQKDANSDQCSRFLQGKSYLGPPNGDEKIDSLVGYILSKITEGVTNRDGRGWIANDGSGMTVGSFCASTIQRRPEGPPLFRDGERKVSFNAVGLNGYRYIVQYIWDQKIQNCALKVSETCNFNVIVLKQPKGYLNRGLAVNHTDGLQPYPPNQMCQWSVSYPAAKFISFTMNYLSLTANGDDTLQICPSNSSLAQCNVLQLLEKNSNRKFKVMGSKATIKFTSGDHVSFESRGWELSYSAGFCNGKEDVYNHDGIIGYPPLIGFSYIEGLSCLWILHGKPGTLVSLSFTHINISEDFDFLAIYNGAKQQVANFSGYYSGSGLPHLNLTGEVRIAFATQTEKGQGWSANYFIASPVSRNSKTFLVIIIVVSAVGVSISLAFIAFALRKNRSRCSYAMDSDESLMLMRLETIRDENLIGEGPSAVVYRAVLTDGKCIAVKAPKGTASPVDLEREILSKSSSHPNIISLVGYAHDGLQRHYLAFEFMSGGNLRMNLMERGERLDWEKRLAIALQICSAIQMLHMYLKPPIYHGNIASENILLDELSNAKLAGFSKADYCSNNRMNPGDLSEMAEDIWSFGIVLVELLRGQNLDDRNAYKNFRSLEEVNEFVGNHEHFDRRLGIPDEQSRIMGLAKLGEIAKWCIGLGCGVERERNNPQIGDVLSGLKQVKQMFCCV >Manes.01G180600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35944809:35950525:-1 gene:Manes.01G180600.v8.1 transcript:Manes.01G180600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVRVSLLWFGTGWQESGKEAIRNAINSLTPSRYLGKNSEVPTLGDWWNIVRQYTDSSNDPVSDRVDVGSECFYTGSELNMTRDQVIDIGHSVFNKTLIDAFRGNLTCNEAFEVNENSIFHVVFSHTVIFLDRKEQTELMVSCSGDLQVEAFAGANVTLGWARAPQKDANSDQCSRFLQGKSYLGPPNGDEKIDSLVGYILSKITEGVTNRDGRGWIANDGSGMTVGSFCASTIQRRPEGPPLFRDGERKVSFNAVGLNGYRYIVQYIWDQKIQNCALKVSETCNFNVIVLKQPKGYLNRGLAVNHTDGLQPYPPNQMCQWSVSYPAAKFISFTMNYLSLTANGDDTLQICPSNSSLAQCNVLQLLEKNSNRKFKVMGSKATIKFTSGDHVSFESRGWELSYSAGFCNGKEDVYNHDGIIGYPPLIGFSYIEGLSCLWILHGKPGTLVSLSFTHINISEDFDFLAIYNGAKQQVANFSGYYSGSGLPHLNLTGEVRIAFATQTEKGQGWSANYFIASPVSRNSKTFLVIIIVVSAVGVSISLAFIAFALRKNRSRCSYAMDSDESLMLMRLETIRDENLIGEGPSAVVYRAVLTDGKCIAVKAPKGTASPVDLEREILSKSSSHPNIISLVGYAHDGLQRHYLAFEFMSGGNLRMNLMERGERLDWEKRLAIALQICSAIQMLHMYLKPPIYHGNIASENILLDELSNAKLAGFSKADYCSNNRMNPGDLSEMAEDIWSFGIVLVELLRGQNLDDRNAYKNFRSLEEVNEFVGNHEHFDRRLGIPDEQSRIMGLAKLGEIAKWCIGLGCGVERERNNPQIGDVLSGLKQVKQMFCCV >Manes.01G180600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35944946:35950423:-1 gene:Manes.01G180600.v8.1 transcript:Manes.01G180600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVRVSLLWFGTGWQESGKEAIRNAINSLTPSRYLGKNSEVPTLGDWWNIVRQYTDSSNDPVSDRVDVGSECFYTGSELNMTRDQVIDIGHSVFNKTLIDAFRGNLTCNEAFEVNENSIFHVVFSHTVIFLDRKEQTELMVSCSGDLQVEAFAGANVTLGWARAPQKDANSDQCSRFLQGKSYLGPPNGDEKIDSLVGYILSKITEGVTNRDGRGWIANDGSGMTVGSFCASTIQRRPEGPPLFRDGERKVSFNAVGLNGYRYIVQYIWDQKIQNCALKVSETCNFNVIVLKQPKGYLNRGLAVNHTDGLQPYPPNQMCQWSVSYPAAKFISFTMNYLSLTANGDDTLQICPSNSSLAQCNVLQLLEKNSNRKFKVMGSKATIKFTSGDHVSFESRGWELSYSAGFCNGKEDVYNHDGIIGYPPLIGFSYIEGLSCLWILHGKPGTLVSLSFTHINISEDFDFLAIYNGAKQQVANFSGYYSGSGLPHLNLTGEVRIAFATQTEKGQGWSANYFIASPVSRNSKTFLVIIIVVSAVGVSISLAFIAFALRKNRSRCSYAMDSDESLMLMRLETIRDENLIGEGPSAVVYRAVLTDGKCIAVKAPKGTASPVDLEREILSKSSSHPNIISLVGYAHDGLQRHYLAFEFMSGGNLRMNLMERGERLDWEKRLAIALQICSAIQMLHMYLKPPIYHGNIASENILLDELSNAKLAGFSKADYCSNNRMNPGDLSEMAEDIWSFGIVLVELLRGQNLDDRNAYKNFRSLEEVNEFVGNHEHFDRRLGIPDEQSRIMGLAKLGEIAKWCIGLGCGVERERNNPQIGDVLSGLKQVKQMFCCV >Manes.01G180600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35944964:35948731:-1 gene:Manes.01G180600.v8.1 transcript:Manes.01G180600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLLTALLSLFIHQFPCLPSDDSSFGLSYNGGKIMTRPVRVSLLWFGTGWQESGKEAIRNAINSLTPSRYLGKNSEVPTLGDWWNIVRQYTDSSNDPVSDRVDVGSECFYTGSELNMTRDQVIDIGHSVFNKTLIDAFRGNLTCNEAFEVNENSIFHVVFSHTVIFLDRKEQTELMVSCSGDLQVEAFAGANVTLGWARAPQKDANSDQCSRFLQGKSYLGPPNGDEKIDSLVGYILSKITEGVTNRDGRGWIANDGSGMTVGSFCASTIQRRPEGPPLFRDGERKVSFNAVGLNGYRYIVQYIWDQKIQNCALKVSETCNFNVIVLKQPKGYLNRGLAVNHTDGLQPYPPNQMCQWSVSYPAAKFISFTMNYLSLTANGDDTLQICPSNSSLAQCNVLQLLEKNSNRKFKVMGSKATIKFTSGDHVSFESRGWELSYSAGFCNGKEDVYNHDGIIGYPPLIGFSYIEGLSCLWILHGKPGTLVSLSFTHINISEDFDFLAIYNGAKQQVANFSGYYSGSGLPHLNLTGEVRIAFATQTEKGQGWSANYFIASPVSRNSKTFLVIIIVVSAVGVSISLAFIAFALRKNRSRCSYAMDSDESLMLMRLETIRDENLIGEGPSAVVYRAVLTDGKCIAVKAPKGTASPVDLEREILSKSSSHPNIISLVGYAHDGLQRHYLAFEFMSGGNLRMNLMERGERLDWEKRLAIALQICSAIQMLHMYLKPPIYHGNIASENILLDELSNAKLAGFSKADYCSNNRMNPGDLSEMAEDIWSFGIVLVELLRGQNLDDRNAYKNFRSLEEVNEFVGNHEHFDRRLGIPDEQSRIMGLAKLGEIAKWCIGLGCGVERERNNPQIGDVLSGLKQVKQMFCCV >Manes.12G062900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6106074:6108216:-1 gene:Manes.12G062900.v8.1 transcript:Manes.12G062900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWRTVFFSCAMLLSLCVPSSFAQTCRDHTFSSNQVFIACTDLPVLSSFLYWNYHPSNLTADIAFRKTGASTNTWVAWALNPGGQQMIGSQAILAFHNSSGVPIAYTTPITSLSPSMQPGDLSFQVSNLKAEYSNGDMIIFATLHLTGSMISTNQVWQEGTMSGTSFNPHAMDSPNRASVGTINFENGATVAGTVRTSNEKNVHGVLNAVSWGVLMPMGIMIARYLKVFKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYTKHRNIGITLFCFATLQVLALLLRPKPDHKYRLYWNVYHHSIGYVTIVLSIVNIYEGFDILDPEKKWKKIYTGIIIFLGTITALLEVITWIIVLRKKKTISSDKHINGTNGYGA >Manes.15G088000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6750982:6753208:-1 gene:Manes.15G088000.v8.1 transcript:Manes.15G088000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGSLYLLLSLFACFFFYSSVAYTNFYVGGKDGWVVNPSQQYNDWAARNRFQVNDALVFKYKEGSDSVFLVKKDDYYNCNTKEPLKAMKSGSSVFQFDHSGPFFFISGNKGNCENGQRLIVVVLAVRTNPAPKTPAPVSQPPVMSPNGSSPLTPPPAFSPASPSPKSPHSSPVPPVSPVSPSPNAKAPSLAPSPKSPHSSPVPPVSPVSPSPNAKAPSLAPSPKSPHSSPVPPVSPVSPSPIAKTPSLAPSPKSSHSSPVPSVSPVSPSPIAKTPSLAPSPKPSHSSPVPSASPVSPSPIAKTPSLAPSPKPSHSSPVPSASPVSPSPIAKTPSLPPSPKPSHSSPVPSASPVSPSPIAKTPSLAPSSPKSPYSSPVPSVSPVSANVPSLAPSHKSPFSAPVPAVSPVSPSPTAYTPSLSPVPVNSPSTSPSATSPTLSPTKAPSPAAHGPSSPAPATAPTVSSPAPSKTSQLANTPEPASSPGSGHTAEVNAPGPSPSVSWALAPSTMTLFSVSFVVSITIGAYPRGVY >Manes.13G038200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4887183:4893797:-1 gene:Manes.13G038200.v8.1 transcript:Manes.13G038200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNCENKEMTGRSLPHDRNSDKHHASSNSLRSSRNALSLLVRREICPKTKHVPKRRWGETSRWNANSSPMPKSEPARDAKRGLISWVEAESLRHLSAKYCPLVPPPRSTIAAAFSPDGRTLASTHGDHTVKIIDCQTGNCLKVLTGHRRTPWVVRFHPLQPEILASGSLDYEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNRRGEASSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTRATSPGYLRYPPPAVFMANAQSSERVSLAAELPLMSFPFLFMPSFSMVDTRTDVNRHVGSSIMQVESSSSRQLQSDANAAENYDTLVSPMETFPVVPSSREGTVSNPFPSGMRSGLADSMLDAMETDEMQPLGGNHTDPETSASGNNMVHGESGNISVRQQSTEAGQLHQHLSSREPTWWELRFLQGWLMGQSQAGVPSVLPLNSGGREYSSQFTGSSSTSYPSNHNMETAVATLAMPTSLSGVSGRSLQHNVPPIRFSGESAAPMNMQHDVTDNQPIFNRIQSEIATSLAAAAAELPCTVKLRVWSHDIQNPCAPLNAQRCRLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPNMETDPGLQTLVQQDTGTATSPTRHPISARQVMYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGETTSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKVHFSLLNFPFYQSIFFNCVSLFL >Manes.13G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4887183:4893797:-1 gene:Manes.13G038200.v8.1 transcript:Manes.13G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNCENKEMTGRSLPHDRNSDKHHASSNSLRSSRNALSLLVRREICPKTKHVPKRRWGETSRWNANSSPMPKSEPARDAKRGLISWVEAESLRHLSAKYCPLVPPPRSTIAAAFSPDGRTLASTHGDHTVKIIDCQTGNCLKVLTGHRRTPWVVRFHPLQPEILASGSLDYEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNRRGEASSPTIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDSSMTRATSPGYLRYPPPAVFMANAQSSERVSLAAELPLMSFPFLFMPSFSMVDTRTDVNRHVGSSIMQVESSSSRQLQSDANAAENYDTLVSPMETFPVVPSSREGTVSNPFPSGMRSGLADSMLDAMETDEMQPLGGNHTDPETSASGNNMVHGESGNISVRQQSTEAGQLHQHLSSREPTWWELRFLQGWLMGQSQAGVPSVLPLNSGGREYSSQFTGSSSTSYPSNHNMETAVATLAMPTSLSGVSGRSLQHNVPPIRFSGESAAPMNMQHDVTDNQPIFNRIQSEIATSLAAAAAELPCTVKLRVWSHDIQNPCAPLNAQRCRLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPNMETDPGLQTLVQQDTGTATSPTRHPISARQVMYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGETTSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLQYDGAHGVNCTGSNYSPEVNLAEVQTYAVEG >Manes.01G018400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4355455:4360418:-1 gene:Manes.01G018400.v8.1 transcript:Manes.01G018400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITTQLRRGISRQLSTGSFRRTLSRQLSRQTSLDPRKRNLRFSFGRQSSLDPIRRSPIDDEQFAVPENLDTTMQLLFLACRGDVHGVEDLLNEGIDVNSIDLDGRTALHIAACEGHLEVVKLLLSKRANIDARDRWGSTACADAKYYGNVEVYNFLKARGAKAPKTRKTPMTVANPREVPEYELNPSELQVRKCDGISKGSHQVAKWNGTKVSVKILHKDTYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYLPKGDLASYLHKKGRLSPSKALRFSLDIARGMNCLHECKPDPIIHCDLKPKNILLDSGGLLKVSGFGLIKLSKISTDKAKVAPGTPIEPSNIYGAPEIYKDEIFDRTVDAYSFGIILYEMIEGVLPYHSKSPEEAVKLMCLEKQRPPLKTKSRSYPPDLKQ >Manes.01G018400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4353153:4360418:-1 gene:Manes.01G018400.v8.1 transcript:Manes.01G018400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITTQLRRGISRQLSTGSFRRTLSRQLSRQTSLDPRKRNLRFSFGRQSSLDPIRRSPIDDEQFAVPENLDTTMQLLFLACRGDVHGVEDLLNEGIDVNSIDLDGRTALHIAACEGHLEVVKLLLSKRANIDARDRWGSTACADAKYYGNVEVYNFLKARGAKAPKTRKTPMTVANPREVPEYELNPSELQVRKCDGISKGSHQVAKWNGTKVSVKILHKDTYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYLPKGDLASYLHKKGRLSPSKALRFSLDIARGMNCLHECKPDPIIHCDLKPKNILLDSGGLLKVSGFGLIKLSKISTDKAKVAPGTPIEPSNIYGAPEIYKDEIFDRTVDAYSFGIILYEMIEGVLPYHSKSPEEAVKLMCLEKQRPPLKTKSRSYPPDLKQLIVECWHPEPVARPTFSDIIVRLNKIVAQCSKQGWWKDTFKLPWK >Manes.01G018400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4353152:4360418:-1 gene:Manes.01G018400.v8.1 transcript:Manes.01G018400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITTQLRRGISRQLSTGSFRRTLSRQLSRQTSLDPRKRNLRFSFGRQSSLDPIRRSPIDDEQFAVPENLDTTMQLLFLACRGDVHGVEDLLNEGIDVNSIDLDGRTALHIAACEGHLEVVKLLLSKRANIDARDRWGSTACADAKYYGNVEVYNFLKARGAKAPKTRKTPMTVANPREVPEYELNPSELQVRKCDGISKGSHQVAKWNGTKVSVKILHKDTYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYLPKGDLASYLHKKGRLSPSKALRFSLDIARGMNCLHECKPDPIIHCDLKPKNILLDSGGLLKVSGFGLIKLSKISTDKAKVAPGTPIEPSNIYGAPEIYKDEIFDRTVDAYSFDD >Manes.01G018400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4353152:4360418:-1 gene:Manes.01G018400.v8.1 transcript:Manes.01G018400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITTQLRRGISRQLSTGSFRRTLSRQLSRQTSLDPRKRNLRFSFGRQSSLDPIRRSPIDDEQFAVPENLDTTMQLLFLACRGDVHGVEDLLNEGIDVNSIDLDGRTALHIAACEGHLEVVKLLLSKRANIDARDRWGSTACADAKYYGNVEVYNFLKARGAKAPKTRKTPMTVANPREVPEYELNPSELQVRKCDGISKGSHQVAKWNGTKVSVKILHKDTYSDPESMGMNCLHECKPDPIIHCDLKPKNILLDSGGLLKVSGFGLIKLSKISTDKAKVAPGTPIEPSNIYGAPEIYKDEIFDRTVDAYSFGIILYEMIEGVLPYHSKSPEEAVKLMCLEKQRPPLKTKSRSYPPDLKQLIVECWHPEPVARPTFSDIIVRLNKIVAQCSKQGWWKDTFKLPWK >Manes.03G166000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29199691:29202221:-1 gene:Manes.03G166000.v8.1 transcript:Manes.03G166000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQIRTGSKHDSDWESELKNFDDTKAGVKGLVDSGVTKIPRIFIHQERPALLDDTSGSDEPNFSIPVIDMQGFDMDPRLQANIIAQLKIACENWGFFQVINHGIPMSILEEMINGIHRFHYQEAEAKKEFYSRDYTRKVLYNSNFDLYQVSAASWRDTLTCVMAPNPPNSEVLPSICRDIIIDYTSRIMKIGVILFQLLAESLGLKPNHLIDMGCAEGLYVLGHCYPACPEPELTMGCTRHSDSSFLTLLLQDQIGGLQFLHKNRWIDVTSVPGALVVNVGDLLQLISNGKFISANHRVLAMNVGPRTSVACFFRQHLWPETSRVYGPIRELLSEENPPVYRETSVKDLIQLKHLRGNDGVSRLEHLKL >Manes.08G114600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35359132:35364143:1 gene:Manes.08G114600.v8.1 transcript:Manes.08G114600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSSMFNGLARSFSIRKGKNTGNGDGREAAEAMAKEAKKNDLILRSSGFINLDGSKNFASVFSKRGEKGVNQDTFIVWEGFGCQEDMMFCGIFDGHGPWGHFVAKKVREWMPSCLLCNWQETLGETSVDPDIDLESDKKHQRFNIWKHSYIKTCAAVDQELGQHRKIDTCHSGTTALTIVRQGEDIFLANVGDSRAVLATTSDDGDLVSVQLTTDFKPNLPQESERILQCKGRVFCLDDEPMVHRIWLPDEESPGLAMSRAFGDYCIKDFGLISVPEVTQRHITSKDQFVVLATDGVWDVISNQEAVEIVSSTPDRAKAAKRLVESAAHAWKRKRKGIAMDDISAICLFFNSSPQNEEVPLVSTPK >Manes.15G012500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1093829:1095160:-1 gene:Manes.15G012500.v8.1 transcript:Manes.15G012500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNNYCILLICAILAVHVVVSTSSTAAVNFIPMESGCRGSIAECLMGEDEDFGMEFAMDTEINRRILATNKYISYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCNRITRCRS >Manes.11G024700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2497610:2500007:1 gene:Manes.11G024700.v8.1 transcript:Manes.11G024700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLINYISTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIVELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLISHDVHSLASFTDVHNPNVSEEAFFSLTGNPNLILTAQQDQLYLPSPASMLQSFGQGDFKFNQPNNYNLDFAHFAPPTIPPAQLNNSSSSFDPVWTLPYPPQHIGTNHQEDQILSNGAGPHYIGSKANQDETLAILPNYENQTMVPMMPKLCDIIESSACCNIPSSSSSSSTLQEVLIDPVSRLPCFPAGSYPHEPHVPANIQMEYIDAIMSSLPSSSSSSSLSAFSSSQFGANPNNNNNNNNNNKLPSSCSWDA >Manes.11G024700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2497675:2499795:1 gene:Manes.11G024700.v8.1 transcript:Manes.11G024700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNKQKVKRGLWSPEEDEKLINYISTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIVELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLISHDVHSLASFTDVHNPNVSEEAFFSLTGNPNLILTAQQDQLYLPSPASMLQSFGQGDFKFNQPNNYNLDFAHFAPPTIPPAQLNNSSSSFDPVWTLPYPPQHIGTNHQEDQILSNGAGPHYIGSKANQDETLAILPNYENQTMVPMMPKLCDIIESSACCNIPSSSSSSSTLQEVLIDPVSRLPCFPAGSYPHEPHVPANIQMEYIDAIMSSLPSSSSSSSLSAFSSSQFGANPNNNNNNNNNNKLPSSCSWDA >Manes.12G084400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12477666:12481676:-1 gene:Manes.12G084400.v8.1 transcript:Manes.12G084400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEKGSTQSNLDCFLHCTTPVVPSQFLPKTEIRNLNRLWHPWERDTVDYFTLGDLWNCFDEWSAYGAGVPIILNSGETLVQYYVPYLSAIQIFTSNASLSGFREEIESGDGETRDSFSDSCSDESGSDKLWRWDGCSSEEGGFEQDNLWRLNDRLGYLYFQYFERSTPYGRVPLMDKINGLAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDLDDDIESPEKKQKEGESISLPPFGLATYKMQGNVWISGNCGQDQERLVSLLSVADSWLKQLRVQHHDFNYFTGIRRG >Manes.16G046300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:9085704:9088364:-1 gene:Manes.16G046300.v8.1 transcript:Manes.16G046300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFVIIMRILCCWIDKLSLLSLLLHSSKPRTQRVPYITHFILIIFHLPLLSLSILQMGSRARRKQRWCTQPLTPLMEGPDPDMQEEGNKKESSWEIIREWFRMQKGFSVNQFSSSVTPYGSIPANKRQDLRLLLGVLGCPLAPIPLVSDPIHHFPIKDIPMENSVAHYIIQQYLAATGCLKRQKCVKNMYATGSVKMIRCETEILSGKNVKSLGTRSAENGCFVLWQMMPGMWSLELVVGGNKVIAGSDGKTVWRRTPWLGTHAAKGPQRPLRRIIQGLDPKSTASLFAKAQCLGEKRIGEDDCFVLKVAADKEAVMERSEGATEVLRHVLYGYFCQKSGLLIYLEDSHLTRVETPENSIYWETTIGSCIGDYRDVDGVLIAHQGRSIATVFRFEEESVQHTRTRMEEIWRIDDVVFNVPGLSLDYFIPPADIFDANSP >Manes.14G140000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12261940:12285221:1 gene:Manes.14G140000.v8.1 transcript:Manes.14G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIDATTTTTEVHVRKVSGGDRSERDGNDSSFGSGGGVGRAGLEDSERGILEYFGWVYHLGTNSIGREYCHLRFLIIKGKYVEMYKRDPHDKPGIKPIRRGVVGPTFMLEELGRRKVNNGEVYVIRFYNRLDETKKGEIACASAGEARKWMEAFDNAKQHAEYELLRGGSTRSKLNTETEINLEGHRPRIRRYAYGLKKLIRIGQGPEMLLRKASNLNTPIKSDSYYEGEIGDAVEAHEWKCVHMINGVRIFEDVSDSKNDKGALVKAVGVIDSSADTVFEIILSIDRHQRYEWDTLTGNLELLDAYDGHFDVVYGTYDPKYLTRWQSKRDFLFSRQWFSSQDGTYTILQFPAVHKKRPPRSGYRRTKINPSTWEIRNLNTPMGSNTMRCIVTQTLEVHHAGWCRWKRNHCSKFEKSLPYALLSQVAGLKEYVGANPTLKSKYATVVVHRKVSDDSTYSSENEDTEVKDEFYDAISADSSSSEGSDDEDRPKSEEKKVKLKNVSWAITSLALRRTSVLDANKELDSREAPIDIDLSQFHGSLPKGKDEKDSNCWTSPGGMGFVIRGKTYLKDSSKVMGGDPLLKLLAVDWFKVDKSMDGVALHPKCLVQTEAGKKLPFILVINLQIPAKPNYSLVLYYAAERPVNKSSLLGKFVDGTDMFRDSRFKLIPSIIEGYWMVKRAVGTKACLLGKAVTCKYLRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEAKEEEELPEYILGTVRLNRVRVDTAVPLEA >Manes.02G041400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3387759:3392167:-1 gene:Manes.02G041400.v8.1 transcript:Manes.02G041400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMHPHPYTVDSLSKSQELASAIFSSSTPAQISSVCSSIASFLQTHTPDQSRHFFSLTFPTLICKLYGFGDGASPPNETQLASSNGGWIDIILQSKDSDLASRVFSLLSPNGVIFQSIYAVDRQSLVKYVFPVERLPEWARFMLSSERDCQVLNNLCPLFRGKIKEDSIKGSLYYQVQLNVFEYFMFWFAYYPICKGNSENPNNKPTKRTRKLKLENWIWTSSIPGFSHSKRGNEQEFEHNLYVRLLCAYLLAFVPIRDLESHQPYRNSLLHYGRGNDGSILLRAEFFVDTLVHYWLVDNDFSPLPVNVCKSFGLSFSLKSVLGENPPTPNLGEIVKLLVKHLNLSATVVKDSPAQAESPKWRSSVGSFDLKSREFAASLNDSMHVGASWNLWIQRPVYRFVLRTFLFCPVGTSIKNASQVFLVWISFLEPWKVGLGDFLELDAIADGLGKDLKKENERSREGGYSALWQDYVLSNYLYYSSLVMHFIGFAHKFLHTDPELIVQMVLQVMKILTSSKELTDLVKKMDAVFHSKQAGSGKLMLNSLYRYVPLIREHLQDWEDGLCESDADGSFLHEHWNKDLRLFSDGEDGGQQLLQLFILRAEAELQANSGDNLAHNLQLVDSLKAQVSCLFGGYTVKPISFTPETKQPEQSRDEIFKPRRVANRALADVKYRGDRMKRPISDDEVAWLANLLVWLSIWLNENLGLNQEDNNDGGPKWSYVEVSSEVENVCGPSESMKMMFFAISSWFLALCGSAVRLMRKHGVRINLRILASKKVVMVLFMSALFSVLKKAFGFISV >Manes.12G075600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8615307:8623410:-1 gene:Manes.12G075600.v8.1 transcript:Manes.12G075600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMMDSQLHHLDMTQNTSESDLVRLRDEEFDSTNTKSGSDNHEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAAKYVGKPVVNYPLLSSPMAPRPLELGVGNFGAQPGIGGDIYGAGDLLRSIGGHSDADKPMIIELAVAAMEELIRMAQMGEPLWMSSLDGTNAVLNEDEYIRIFPRGIGPKPSGFKCEASRETAVVIMNHINLVEYLMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVSLDNLRPSPAARCRRRPSGCLIQEMPNGYSKVTWVEHIEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPTGDVGVITNQEGRKSMLKLAERMVISFFAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTTHGGGIGESGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCETA >Manes.12G075600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8615307:8623410:-1 gene:Manes.12G075600.v8.1 transcript:Manes.12G075600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGVMIPARNMPSMIGTNGSVGGFGSTSGLSLGQPNMMDSQLHHLDMTQNTSESDLVRLRDEEFDSTNTKSGSDNHEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAAKYVGKPVVNYPLLSSPMAPRPLELGVGNFGAQPGIGGDIYGAGDLLRSIGGHSDADKPMIIELAVAAMEELIRMAQMGEPLWMSSLDGTNAVLNEDEYIRIFPRGIGPKPSGFKCEASRETAVVIMNHINLVEYLMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVSLDNLRPSPAARCRRRPSGCLIQEMPNGYSKVTWVEHIEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPTGDVGVITNQEGRKSMLKLAERMVISFFAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNVSDTQSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTTHGGGIGESGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCETA >Manes.12G075600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8615307:8623410:-1 gene:Manes.12G075600.v8.1 transcript:Manes.12G075600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGVMIPARNMPSMIGTNGSVGGFGSTSGLSLGQPNMMDSQLHHLDMTQNTSESDLVRLRDEEFDSTNTKSGSDNHEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAAKYVGKPVVNYPLLSSPMAPRPLELGVGNFGAQPGIGGDIYGAGDLLRSIGGHSDADKPMIIELAVAAMEELIRMAQMGEPLWMSSLDGTNAVLNEDEYIRIFPRGIGPKPSGFKCEASRETAVVIMNHINLVEYLMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVSLDNLRPSPAARCRRRPSGCLIQEMPNGYSKVTWVEHIEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPTGDVGVITNQEGRKSMLKLAERMVISFFAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTTHGGGIGESGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCETA >Manes.12G075600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8615306:8623410:-1 gene:Manes.12G075600.v8.1 transcript:Manes.12G075600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGVMIPARNMPSMIGTNGSVGGFGSTSGLSLGQPNMMDSQLHHLDMTQNTSESDLVRLRDEEFDSTNTKSGSDNHEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAAKYVGKPVVNYPLLSSPMAPRPLELGVGNFGAQPGIGGDIYGAGDLLRSIGGHSDADKPMIIELAVAAMEELIRMAQMGEPLWMSSLDGTNAVLNEDEYIRIFPRGIGPKPSGFKCEASRETAVVIMNHINLVEYLMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVSLDNLRPSPAARCRRRPSGCLIQEMPNGYSKVTWVEHIEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPTGDVGVITNQEGRKSMLKLAERMVISFFAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTTHGGGIGESGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCETA >Manes.12G075600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8615307:8623410:-1 gene:Manes.12G075600.v8.1 transcript:Manes.12G075600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMMDSQLHHLDMTQNTSESDLVRLRDEEFDSTNTKSGSDNHEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAAKYVGKPVVNYPLLSSPMAPRPLELGVGNFGAQPGIGGDIYGAGDLLRSIGGHSDADKPMIIELAVAAMEELIRMAQMGEPLWMSSLDGTNAVLNEDEYIRIFPRGIGPKPSGFKCEASRETAVVIMNHINLVEYLMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVSLDNLRPSPAARCRRRPSGCLIQEMPNGYSKVTWVEHIEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPTGDVGVITNQEGRKSMLKLAERMVISFFAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNVSDTQSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTTHGGGIGESGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCETA >Manes.12G075600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8615306:8623410:-1 gene:Manes.12G075600.v8.1 transcript:Manes.12G075600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPNMMDSQLHHLDMTQNTSESDLVRLRDEEFDSTNTKSGSDNHEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRTENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAAKYVGKPVVNYPLLSSPMAPRPLELGVGNFGAQPGIGGDIYGAGDLLRSIGGHSDADKPMIIELAVAAMEELIRMAQMGEPLWMSSLDGTNAVLNEDEYIRIFPRGIGPKPSGFKCEASRETAVVIMNHINLVEYLMDVNQWSTVFSGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHAEGTWAVVDVSLDNLRPSPAARCRRRPSGCLIQEMPNGYSKVTWVEHIEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPTGDVGVITNQEGRKSMLKLAERMVISFFAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTTTHGGGIGESGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAALSCETA >Manes.10G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21094105:21094949:1 gene:Manes.10G081600.v8.1 transcript:Manes.10G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSITPGSKIYLPNPRISPKTHFNFSPFTPQTHLNSLKNRALRLENPKHVTLPTMADILASSKTQNLDLQLKTLGPFFRITARNLDTHNELGRAEGIIRVWLGGKILHLDSIRLRRETMGMERSIFGIGLFIGAVAIRYGYDCGCRTAELLAINDTDLYHSKLVRFYTRIGFKAVHEVTGSTIGDLAHMLVWGGIGTRMDADVEELLIKWCIRFKPRD >Manes.02G177400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13994999:14008020:1 gene:Manes.02G177400.v8.1 transcript:Manes.02G177400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQIFLLLSSISSLAIAQQSVIALGSSLSPTNISYWSSESGHFAFGFYPQGVGFAIGIWLPRIHQKTVIWTANRDDPPLSKNSTLILNAQGELILQQQGSESKSIAKIPKPASSASMLNSGNFVLYDSDSKIIWQNFDAPTDTILPGQSLVATEQLVSSISDTVHSSGRFAIRMRMNGNLVMFPVEDPDQFDYIYWRSRTAYAGGNVKLNFDKNGLLYLLDTNGKNIRNLSNSITIFGKAMYRATIDADGIFRLYSHNLNGHSNWTVEWQSSDNKCDPTGLCGSNAYCTLVDHGTSCACPPGFDFIDQSQKHLGCQRNSSDEGCMEFKESNYIIHKLEYVSWEDDPYATFGSNTETDCREECSTDCNCEAALFKNQECRKQKFPLRFGRFKQNEPVVTFIKVSIRSSGTKIESMKKMKEQRMNILIIGIVLLTLAVFLLAIFGVLSYRYRVWNYKKISGQGNNALFEDITLRSFTYDELNKATNHFKDEIGKGAFGTVFRGVIANGITVAIKRLEKVVAEGEREFRNEMRVIGRTHHKNLVRLFGYCHDGTNRLLVYEYMSNGSLADFLFKSEQKPAWEERIEIALNIARGIFYLHEECETQIIHCDIKPENILIDEKGGVKIADFGLSKLLMPNQSKTYTGVRGTRGYVAPEWHRNFPITVKADVYSYGIMLLEIICCRRNLDMHVPDNEIVLAEWVYECFESNELRKLVQHEEVGETKLERMVKVGLWCIQDEPSLRPSIKRVALMLEGTIDTPTPPTPPSFSIS >Manes.06G008600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1365659:1371786:1 gene:Manes.06G008600.v8.1 transcript:Manes.06G008600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPCGSTYVAQWGIRPQLMLRSYMQNRILTSQYGLNNKMSYLAAPSSSLFSRDTFPILSFVGPSQASHHRRGSRFTVRADADYYSILGVSKNASKSEIKSAYRKLARSYHPDVNKEAGAEQKFKEISNAYEVLSDDEKRSLYDRYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGGMGGRASRSRAVDGQDEYYSLILTFKEAVFGVEKEIEITRLESCGTCNGSGAKPGTKASKCNTCGGQGQVVSSARTPLGIFQQVTTCSTCGGTGETFTPCNACSGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGSPGDLFVIIEVIPDPVLKREDTNILYTCKVSYVDAILGTTIKVPTVDGMVDLKVPAGTQPNTTLVMSKKGVPVLNKSNMRGDQLVRVQVEIPKRLSSEERKLIEELADLSKGKTVSSRR >Manes.06G008600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1365659:1371786:1 gene:Manes.06G008600.v8.1 transcript:Manes.06G008600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPCGSTYVAQWGIRPQLMLRSYMQNRILTSQYGLNNKMSYLAAPSSSLFSRDTFPILSFVGPSQASHHRRGSRFTVRADADYYSILGVSKNASKSEIKSAYRKLARSYHPDVNKEAGAEQKFKEISNAYEVLSDDEKRSLYDRYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGGMGGRASRSRAVDGQDEYYSLILTFKEAVFGVEKEIEITRLESCGTCNGSGAKPGTKASKCNTCGGQGQVVSSARTPLGIFQQVTTCSTCGGTGETFTPCNACSGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGSPGDLFVIIEVIPDPVLKREDTNILYTCKVSYVDAILGTTIKVPTVDGMVDLKVPAGTQPNTTLVMSKKGVPVLNKSNMRGDQLVRVQVEIPKRLSSEERKLIEELADLSKGKTVSSRR >Manes.16G097200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30304316:30307641:1 gene:Manes.16G097200.v8.1 transcript:Manes.16G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKDYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEEEEYARPPPVLATDIEVPVPAPVSVA >Manes.11G123200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28493841:28497943:-1 gene:Manes.11G123200.v8.1 transcript:Manes.11G123200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGKIWDEMVEDVNLNTDNPVNGFFTSAGTNSLLLFFQHLIERNEVIMQLNLIKASFRDSDMGRSIISTVMESGKTMHSPYSISYCSEILIPEAIRVICSRFFLGERYDQALWQSLYNQVDSIKRNLSFMETLSEDVEAKEEVALREKIWILEMKDVADHVKSLHDFLLRHGPERRRFSNNMMSQKEVSKEIQQIKSIEISVPNIGQESVAQNWQDKETSISDICNTINFKEQAQPGSSNHEEIQEIDNSCILEILIYLLTQKSIEDLKEQVESVREDFRKICVLLEKFPEKEKRDQKLEALVEEIQEVAQQAKAIIELYDNSKELKRRGVFERFFNLHHEIQTRNKIANEIDRIKSRISDISRRKLIHDMECIRGRRSSSSSTAKKLQGTGPATPGRQTQLSTSIQEERNHMEDGIFSILNKKFFNWFSDQSAGNLEDQVKLMETEIMLMRALLEDFQATEKPSRRVKVWLEEIRGIADDAEDVIKTYRERIQKDLSNYEDMMIQNKIANGIRRVMNKIHDVSERREASNSIFHSLCQRRPPPNIIGFDEDVHAIKKRILTGDGYRCIISIVGEEGTGKTTLGKLIYSDDEVINHFPFRAWISVPQENSDRAVLQEIQKQVMDSLQQKGKWNVREPLAALDGRYLIILDDIRKAKVLDFLRTAFPDKSNGSIIVITTQEMAIALRADSNNSPHEIQLPNEEESWSLFTGTLKVEIPPELEDIGKEFVRSCGRVRQNLVHMGNLLSKNAVTSENWSRVLKQFNKGRIPWLKTQKVYMDTPRNLRKCLDYFRLFPDDFEIPERRLITLWVAEGFVNKRMDNEPPEHIARGYLKDLIDKEMVEVVKEKFDGDVTCRLSKEGAKFLQDYAEATSGFSSSIHGPRRFADHYDHTDFHFKHIHGDESDTPLESYYADVLSFLSFDLCKGNKPGEDVRKFLHRCISSKCFLLLRVLVLECVFRPKFPKVFNRLLQLRYLGLRWTYLEELPPFVSNLLKLQTLDVKHTYISTLPHSIWKMQRLRHLYLSESYRSRFEPKPNSSSLRELQTLWGVFIDEESPVKNGLKTLLNLRKLGLACRIMLSEKKQMLSQIEAVADWILELKDLESLRLRSFDELGKPWYLPLKDLSKLKNLSSMYLLGRLKFDFVRTGIPESLTYLTLSASRLKNDPMQMLQHLRNLTKLRLFSDSFVGKTMCCSSEIFLHLEVLKVWNLEHLIRSCRQLKILPKEVQCMKNLRILRLTDMLFQKSMHCYSGSFPQLLELKLENLKHLQIWTVGKEALPQLSHLEIKSCSQLRMLPKGLQHKRNLKVLKVKNMPQEFTNRLDENRGLDWFKIAHVPTREII >Manes.01G247604.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40765978:40766840:-1 gene:Manes.01G247604.v8.1 transcript:Manes.01G247604.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSWYPVHKIRIRSEPATVTHSRLLFSLPSSALPKSLNQSLNLINSSFLFNINPLFSSPSLYRICFSLSRPTCRRPSALPIGCHLASRTVLTTPYCHLVSIFYCSNLPIGFLCCVAESLSAGASVFFNFNH >Manes.10G053400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6358324:6364137:-1 gene:Manes.10G053400.v8.1 transcript:Manes.10G053400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSGGHLSIMYTIKGGWVGCQTYVLAKSNESGGRKSRIRRSKEERKEMVESFIKKHQSLNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRVLGPAKYLPEEQSADHLSVKYPLGTISIEPEASQSISSIGSPFVSDQHQNTTEEHDLIPDVLSAETEQPGFDKEQIINGSHVSLRNKECDETKVVKSQVSEALETKKGMEEVAASGTKVTHTVDVIVETFQLQPVTQPTYNLEGNGELRDLNGTVVEDVKKVHPGPGCVDSEIDLTKLSSNSYLVDDKVENPVGPPFEGNSSSVDEKAVKTADLPLGSSNSFATKSSTVHDTQVLNASKGTHTATLNDPYSRNGSGELITQKEVIGNKADVLPSSNSQKGNNPALDRININKSWEGASKNPAESETNPVLAIFKSFIAAFVKFWSQ >Manes.05G161800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27447663:27459779:1 gene:Manes.05G161800.v8.1 transcript:Manes.05G161800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGGKFSSPPDIPSGLNRIKTRPVPSKDQLSSKSDDLTKSNTYVASRPPVKGKQRQKQKQKSIFQGRAKVNSSRGEELYKGKKITRWFSSYFSKDAGQVMSDVSTNIEESSLEAKTLDKKEQAGSKISNGGNYQNGKQSSLESLSRSKMTKGLKSFSHELGTKGSITPVFPRAHSYSDLEEILGSLHSRFDAAKEVVNVELASFAGEVVDVLEKIDSSLQEDLKMGEDLLTLAQLCMEMNCAQFRIKCEIIVQDLTKKRQQCQTGLLKWLFTRMLFILTRCTRLLQFQKDTEPIDEKSLHKFKKCLESVPSVEMSWAANHGIGDSDIGCALNQKGDIKQKLLGQNNLSSLPEAMCCGSQELDDLSGITSRKDSLEQKLPSLNSNKDTLSQLQQFSETDKSSLRNSLNNSSRSLHGQGQLLDDSLHEQEQILDGSDSVICRICEEIVPISHLESHSYICAYADKCDLNCLDVDERLSNLAEILEQIVESRNLNVHPSYSPENSKAQTVNAVTAEVCSPKISEWRNKGVEGMFEDIHEMDTAFIDDSHLLPANLKGHFGIKLCNYGATSSTGSMTSVSSTTTPRAGHFDSFWLEHNNPSESEDIQQMIDLADIARCVAGTDLSKEGSYEFLLACMQDLQDVLQNSKLRALVIDTFGGRIEKLLREKYILACDVMDTKTPTSDGKLKENSRLLFDNASQSSPMSTPVHSSHKERTSIDDFEIIKPISRGAFGKVFLARKRITGDLFAIKVLKKLDMLCKNDVQRILAERNILITVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLFSLLRKVGCLEEDVARIYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINSTIELSGPEMDGNKVSGVHSPHTRQSEDRSQHSAVGTPDYLAPEILLGTDHGYAADWWSVGIILFELITGIPPFNAESPEIIFYNILNRKIPWPPIPDSMSYEAQDFINRLITHDPNQRLGAKGSTEVKSHPFFRGVDWDNLALQKAVFVPSPDSADDTSYFISRFSQISSGMPNGRTSSCSDIEGYDSSSNSGVEMDEYGDLAEFDSSPLSLINFSFKNLSQLASINHDLLLQTGRDSAKHSPSRAPDT >Manes.05G161800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27447663:27459779:1 gene:Manes.05G161800.v8.1 transcript:Manes.05G161800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGGKFSSPPDIPSGLNRIKTRPVPSKDQLSSKSDDLTKSNTYVASRPPVKGKQRQKQKQKSIFQGRAKVNSSRGEELYKGKKITRWFSSYFSKDAGQVMSDVSTNIEESSLEAKTLDKKEQAGSKISNGGNYQNGKQSSLESLSRSKMTKGLKSFSHELGTKGSITPVFPRAHSYSDLEEILGSLHSRFDAAKEVVNVELASFAGEVVDVLEKIDSSLQEDLKMGEDLLTLAQLCMEMNCAQFRIKCEIIVQDLTKKRQQCQTGLLKWLFTRMLFILTRCTRLLQFQKDTEPIDEKSLHKFKKCLESVPSVEMSWAANHGIGDSDIGCALNQKGDIKQKLLGQNNLSSLPEAMCCGSQELDDLSGITSRKDSLEQKLPSLNSNKDTLSQLQQFSETDKSSLRNSLNNSSRSLHGQGQLLDDSLHEQEQILDGSDSVICRICEEIVPISHLESHSYICAYADKCDLNCLDVDERLSNLAEILEQIVESRNLNVHPSYSPENSKAQTVNAVTAEVCSPKISEWRNKGVEGMFEDIHEMDTAFIDDSHLLPANLKGHFGIKLCNYGATSSTGSMTSVSSTTTPRAGHFDSFWLEHNNPSESEDIQQMIDLADIARCVAGTDLSKEGSYEFLLACMQDLQDVLQNSKLRALVIDTFGGRIEKLLREKYILACDVMDTKTPTSDGKLKENSRLLFDNASQSSPMSTPVHSSHKERTSIDDFEIIKPISRGAFGKVFLARKRITGDLFAIKVLKKLDMLCKNDVQRILAERNILITVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLFSLLRKVGCLEEDVARIYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINSTIELSGPEMDGNKVSGVHSPHTRQSEDRSQHSAVGTPDYLAPEILLGTDHGYAADWWSVGIILFELITGIPPFNAESPEVSFILRTLLLDGPNSQWLGWQHFCKCINIIILISCLYLLIVEAASWTQVLVFSIPCLIQLHGIPYAATYCLQCLKIISIFYMICPLDVHVKMDIFLEVSCVQFWAVFSP >Manes.05G161800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27447663:27459779:1 gene:Manes.05G161800.v8.1 transcript:Manes.05G161800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSTNIEESSLEAKTLDKKEQAGSKISNGGNYQNGKQSSLESLSRSKMTKGLKSFSHELGTKGSITPVFPRAHSYSDLEEILGSLHSRFDAAKEVVNVELASFAGEVVDVLEKIDSSLQEDLKMGEDLLTLAQLCMEMNCAQFRIKCEIIVQDLTKKRQQCQTGLLKWLFTRMLFILTRCTRLLQFQKDTEPIDEKSLHKFKKCLESVPSVEMSWAANHGIGDSDIGCALNQKGDIKQKLLGQNNLSSLPEAMCCGSQELDDLSGITSRKDSLEQKLPSLNSNKDTLSQLQQFSETDKSSLRNSLNNSSRSLHGQGQLLDDSLHEQEQILDGSDSVICRICEEIVPISHLESHSYICAYADKCDLNCLDVDERLSNLAEILEQIVESRNLNVHPSYSPENSKAQTVNAVTAEVCSPKISEWRNKGVEGMFEDIHEMDTAFIDDSHLLPANLKGHFGIKLCNYGATSSTGSMTSVSSTTTPRAGHFDSFWLEHNNPSESEDIQQMIDLADIARCVAGTDLSKEGSYEFLLACMQDLQDVLQNSKLRALVIDTFGGRIEKLLREKYILACDVMDTKTPTSDGKLKENSRLLFDNASQSSPMSTPVHSSHKERTSIDDFEIIKPISRGAFGKVFLARKRITGDLFAIKVLKKLDMLCKNDVQRILAERNILITVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLFSLLRKVGCLEEDVARIYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINSTIELSGPEMDGNKVSGVHSPHTRQSEDRSQHSAVGTPDYLAPEILLGTDHGYAADWWSVGIILFELITGIPPFNAESPEIIFYNILNRKIPWPPIPDSMSYEAQDFINRLITHDPNQRLGAKGSTEVKSHPFFRGVDWDNLALQKAVFVPSPDSADDTSYFISRFSQISSGMPNGRTSSCSDIEGYDSSSNSGVEMDEYGDLAEFDSSPLSLINFSFKNLSQLASINHDLLLQTGRDSAKHSPSRAPDT >Manes.05G161800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27447663:27459779:1 gene:Manes.05G161800.v8.1 transcript:Manes.05G161800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGGKFSSPPDIPSGLNRIKTRPVPSKDQLSSKSDDLTKSNTYVASRPPVKGKQRQKQKQKSIFQGRAKVNSSRGEELYKGKKITRWFSSYFSKDAGQVMSDVSTNIEESSLEAKTLDKKEQAGSKISNGGNYQNGKQSSLESLSRSKMTKGLKSFSHELGTKGSITPVFPRAHSYSDLEEILGSLHSRFDAAKEVVNVELASFAGEVVDVLEKIDSSLQEDLKMGEDLLTLAQLCMEMNCAQFRIKCEIIVQDLTKKRQQCQTGLLKWLFTRMLFILTRCTRLLQFQKDTEPIDEKSLHKFKKCLESVPSVEMSWAANHGIGDSDIGCALNQKGDIKQKLLGQNNLSSLPEAMCCGSQELDDLSGITSRKDSLEQKLPSLNSNKDTLSQLQQFSETDKSSLRNSLNNSSRSLHGQGQLLDDSLHEQEQILDGSDSVICRICEEIVPISHLESHSYICAYADKCDLNCLDVDERLSNLAEILEQIVESRNLNVHPSYSPENSKAQTVNAVTAEVCSPKISEWRNKGVEGMFEDIHEMDTAFIDDSHLLPANLKGHFGIKLCNYGATSSTGSMTSVSSTTTPRAGHFDSFWLEHNNPSESEDIQQMIDLADIARCVAGTDLSKEGSYEFLLACMQDLQDVLQNSKLRALVIDTFGGRIEKLLREKYILACDVMDTKTPTSDGKLKENSRLLFDNASQSSPMSTPVHSSHKERTSIDDFEIIKPISRGAFGKVFLARKRITGDLFAIKVLKKLDMLCKNDVQRILAERNILITVRNPFVLTDFGLSKIGLINSTIELSGPEMDGNKVSGVHSPHTRQSEDRSQHSAVGTPDYLAPEILLGTDHGYAADWWSVGIILFELITGIPPFNAESPEIIFYNILNRKIPWPPIPDSMSYEAQDFINRLITHDPNQRLGAKGSTEVKSHPFFRGVDWDNLALQKAVFVPSPDSADDTSYFISRFSQISSGMPNGRTSSCSDIEGYDSSSNSGVEMDEYGDLAEFDSSPLSLINFSFKNLSQLASINHDLLLQTGRDSAKHSPSRAPDT >Manes.05G161800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27447663:27459779:1 gene:Manes.05G161800.v8.1 transcript:Manes.05G161800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGGKFSSPPDIPSGLNRIKTRPVPSKDQLSSKSDDLTKSNTYVASRPPVKGKQRQKQKQKSIFQGRAKVNSSRGEELYKGKKITRWFSSYFSKDAGQVMSDVSTNIEESSLEAKTLDKKEQAGSKISNGGNYQNGKQSSLESLSRSKMTKGLKSFSHELGTKGSITPVFPRAHSYSDLEEILGSLHSRFDAAKEVVNVELASFAGEVVDVLEKIDSSLQEDLKMGEDLLTLAQLCMEMNCAQFRIKCEIIVQDLTKKRQQCQTGLLKWLFTRMLFILTRCTRLLQFQKDTEPIDEKSLHKFKKCLESVPSVEMSWAANHGIGDSDIGCALNQKGDIKQKLLGQNNLSSLPEAMCCGSQELDDLSGITSRKDSLEQKLPSLNSNKDTLSQLQQFSETDKSSLRNSLNNSSRSLHGQGQLLDDSLHEQEQILDGSDSVICRICEEIVPISHLESHSYICAYADKCDLNCLDVDERLSNLAEILEQIVESRNLNVHPSYSPENSKAQTVNAVTAEVCSPKISEWRNKGVEGMFEDIHEMDTAFIDDSHLLPANLKGHFGIKLCNYGATSSTGSMTSVSSTTTPRAGHFDSFWLEHNNPSESEDIQQMIDLADIARCVAGTDLSKEGSYEFLLACMQDLQDVLQNSKLRALVIDTFGGRIEKLLREKYILACDVMDTKTPTSDGKLKENSRLLFDNASQSSPMSTPVHSSHKERTSIDDFEIIKPISRGAFGKVFLARKRITGDLFAIKVLKKLDMLCKNDVQRILAERNILITVRNPFVLTDFGLSKIGLINSTIELSGPEMDGNKVSGVHSPHTRQSEDRSQHSAVGTPDYLAPEILLGTDHGYAADWWSVGIILFELITGIPPFNAESPEVSFILRTLLLDGPNSQWLGWQHFCKCINIIILISCLYLLIVEAASWTQVLVFSIPCLIQLHGIPYAATYCLQCLKIISIFYMICPLDVHVKMDIFLEVSCVQFWAVFSP >Manes.05G161800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27447663:27459779:1 gene:Manes.05G161800.v8.1 transcript:Manes.05G161800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETGGKFSSPPDIPSGLNRIKTRPVPSKDQLSSKSDDLTKSNTYVASRPPVKGKQRQKQKQKSIFQGRAKVNSSRGEELYKGKKITRWFSSYFSKDAGQVMSDVSTNIEESSLEAKTLDKKEQAGSKISNGGNYQNGKQSSLESLSRSKMTKGLKSFSHELGTKGSITPVFPRAHSYSDLEEILGSLHSRFDAAKEVVNVELASFAGEVVDVLEKIDSSLQEDLKMGEDLLTLAQLCMEMNCAQFRIKCEIIVQDLTKKRQQCQTGLLKWLFTRMLFILTRCTRLLQFQKDTEPIDEKSLHKFKKCLESVPSVEMSWAANHGIGDSDIGCALNQKGDIKQKLLGQNNLSSLPEAMCCGSQELDDLSGITSRKDSLEQKLPSLNSNKDTLSQLQQFSETDKSSLRNSLNNSSRSLHGQGQLLDDSLHEQEQILDGSDSVICRICEEIVPISHLESHSYICAYADKCDLNCLDVDERLSNLAEILEQIVESRNLNVHPSYSPENSKAQTVNAVTAEVCSPKISEWRNKGVEGMFEDIHEMDTAFIDDSHLLPANLKGHFGIKLCNYGATSSTGSMTSVSSTTTPRAGHFDSFWLEHNNPSESEDIQQMIDLADIARCVAGTDLSKEGSYEFLLACMQDLQDVLQNSKLRALVIDTFGGRIEKLLREKYILACDVMDTKTPTSDGKLKENSRLLFDNASQSSPMSTPVHSSHKERTSIDDFEIIKPISRGAFGKVFLARKRITGDLFAIKVLKKLDMLCKNDVQRILAERNILITVRNPFVVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINSTIELSGPEMDGNKVSGVHSPHTRQSEDRSQHSAVGTPDYLAPEILLGTDHGYAADWWSVGIILFELITGIPPFNAESPEIIFYNILNRKIPWPPIPDSMSYEAQDFINRLITHDPNQRLGAKGSTEVKSHPFFRGVDWDNLALQKAVFVPSPDSADDTSYFISRFSQISSGMPNGRTSSCSDIEGYDSSSNSGVEMDEYGDLAEFDSSPLSLINFSFKNLSQLASINHDLLLQTGRDSAKHSPSRAPDT >Manes.03G033600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2797743:2804060:-1 gene:Manes.03G033600.v8.1 transcript:Manes.03G033600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMHVLDVKSIDRRSTAISNLLSHLPGDLSLDNNKLPLRLLSWYLDPLTLKHDISNILQETMKRPFLTLSKEFYERMEWRSILLCLVLSPMMFIHTRALLHDWFMLTDLGSVLEFLIELVAVILDVISRPTWWGIPLELASELPFSNAYFPCKDHLLRILSGPLVSSSFQQLVQVTSESDSLVCKQFGPISKSSTLKFASVDRKSIWFLGRALVICFPDWFYFASGLLFSNNCYQNNCQLNCLLEAPNSIMEQPAVSAASFIAWILSPNSKPHQDLLFEGLTRMSECWSRKQIDSDVHENEYGCQVIGLWLNEFHRVMKYGVESTDKSSNCEAESYYFVLQNSMLFRRITLGVLIGCPSYVKEDGFELLLHYAATGRVLHLTSNNARMKHVDEFNRKEAVAGACLIFSLTDIVERISASSFENEKSGLDIICQVKLGASKYLIKCMKKLIEPNIFEDNKMLVDLHDRLERWRHQGQEMLELDKDLDDTIKGLSNELLLL >Manes.03G033600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2797743:2804064:-1 gene:Manes.03G033600.v8.1 transcript:Manes.03G033600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSMHVLDVKSIDRRSTAISNLLSHLPGDLSLDNNKLPLRLLSWYLDPLTLKHDISNILQETMKRPFLTLSKEFYERMEWRSILLCLVLSPMMFIHTRALLHDWFMLTDLGSVLEFLIELVAVILDVISRPTWWGIPLELASELPFSNAYFPCKDHLLRILSGPLVSSSFQQLVQVTSESDSLVCKQFGPISKSSTLKFASVDRKSIWALVICFPDWFYFASGLLFSNNCYQNNCQLNCLLEAPNSIMEQPAVSAASFIAWILSPNSKPHQDLLFEGLTRMSECWSRKQIDSDVHENEYGCQVIGLWLNEFHRVMKYGVESTDKSSNCEAESYYFVLQNSMLFRRITLGVLIGCPSYVKEDGFELLLHYAATGRVLHLTSNNARMKHVDEFNRKEAVAGACLIFSLTDIVERISASSFENEKSGLDIICQVKLGASKYLIKCMKKLIEPNIFEDNKMLVDLHDRLERWRHQGQEMLELDKDLDDTIKGLSNELLLL >Manes.03G033600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2797743:2804064:-1 gene:Manes.03G033600.v8.1 transcript:Manes.03G033600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGEITDLFCKLAFHLQTLTHTAQMHYQDEETEHSDSVDLSISSITQSLNLNDNAGVRVLDTALSLMCFTAPQVFDSMIEYFVKTIVSVLSTSISCKVLPFGKEEILRVGSCISQRDCVEFIEVVNDVVSKLDQHGVPSHLLLRSAARVAVSTSCCRYMVPSMHVLDVKSIDRRSTAISNLLSHLPGDLSLDNNKLPLRLLSWYLDPLTLKHDISNILQETMKRPFLTLSKEFYERMEWRSILLCLVLSPMMFIHTRALLHDWFMLTDLGSVLEFLIELVAVILDVISRPTWWGIPLELASELPFSNAYFPCKDHLLRILSGPLVSSSFQQLVQVTSESDSLVCKQFGPISKSSTLKFASVDRKSIWALVICFPDWFYFASGLLFSNNCYQNNCQLNCLLEAPNSIMEQPAVSAASFIAWILSPNSKPHQDLLFEGLTRMSECWSRKQIDSDVHENEYGCQVIGLWLNEFHRVMKYGVESTDKSSNCEAESYYFVLQNSMLFRRITLGVLIGCPSYVKEDGFELLLHYAATGRVLHLTSNNARMKHVDEFNRKEAVAGACLIFSLTDIVERISASSFENEKSGLDIICQVKLGASKYLIKCMKKLIEPNIFEDNKMLVDLHDRLERWRHQGQEMLELDKDLDDTIKGLSNELLLL >Manes.03G033600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2797743:2804060:-1 gene:Manes.03G033600.v8.1 transcript:Manes.03G033600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGEITDLFCKLAFHLQTLTHTAQMHYQDEETEHSDSVDLSISSITQSLNLNDNAGVRVLDTALSLMCFTAPQVFDSMIEYFVKTIVSVLSTSISCKVLPFGKEEILRVGSCISQRDCVEFIEVVNDVVSKLDQHGVPSHLLLRSAARVAVSTSCCRYMVPSMHVLDVKSIDRRSTAISNLLSHLPGDLSLDNNKLPLRLLSWYLDPLTLKHDISNILQETMKRPFLTLSKEFYERMEWRSILLCLVLSPMMFIHTRALLHDWFMLTDLGSVLEFLIELVAVILDVISRPTWWGIPLELASELPFSNAYFPCKDHLLRILSGPLVSSSFQQLVQVTSESDSLVCKQFGPISKSSTLKFASVDRKSIWALVICFPDWFYFASGLLFSNNCYQNNCQLNCLLEAPNSIMEQPAVSAASFIAWILSPNSKPHQDLLFEGLTRMSECWSRKQIDSDVHENEYGCQVIGLWLNEFHRVMKYGVESTDKSSNCEAESYYFVLQNSMLFRRITLGVLIGCPSYVKEDGFELLLHYAATGRVLHLTSNNARMKHVDEFNRKEAVAGACLIFSLTDIVERISASSFENEKSGLDIICQVKLGASKYLIKCMKKLIEPNIFEDNKMLVDLHDRLERWRHQGQEMLELDKDLDDTIKGLSNELLLL >Manes.03G033600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2797743:2804060:-1 gene:Manes.03G033600.v8.1 transcript:Manes.03G033600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGEITDLFCKLAFHLQTLTHTAQMHYQDEETEHSDSVDLSISSITQSLNLNDNAGVRVLDTALSLMCFTAPQVFDSMIEYFVKTIVSVLSTSISCKVLPFGKEEILRVGSCISQRDCVEFIEVVNDVVSKLDQHGVPSHLLLRSAARVAVSTSCCRYMVPSMHVLDVKSIDRRSTAISNLLSHLPGDLSLDNNKLPLRLLSWYLDPLTLKHDISNILQETMKRPFLTLSKEFYERMEWRSILLCLVLSPMMFIHTRALLHDWFMLTDLGSVLEFLIELVAVILDVISRPTWWGIPLELASELPFSNAYFPCKDHLLRILSGPLVSSSFQQLVQVTSESDSLVCKQFGPISKSSTLKFASVDRKSIWFLGRALVICFPDWFYFASGLLFSNNCYQNNCQLNCLLEAPNSIMEQPAVSAASFIAWILSPNSKPHQDLLFEGLTRMSECWSRKQIDSDVHENEYGCQVIGLWLNEFHRVMKYGVESTDKSSNCEAESYYFVLQNSMLFRRITLGVLIGCPSYVKEDGFELLLHYAATGRVLHLTSNNARMKHVDEFNRKEAVAGACLIFSLTDIVERISASSFENEKSGLDIICQVKLGASKYLIKCMKKLIEPNIFEDNKMLVDLHDRLERWRHQGQEMLELDKDLDDTIKGLSNELLLL >Manes.02G039900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3283336:3284630:-1 gene:Manes.02G039900.v8.1 transcript:Manes.02G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHKCKLCTRTFANGRALGGHMKAHLATLPLPPKTTITTQQQQLGERTESASSSYSSPGEEQEQEIKNREVEEKALVYGLRENPKKSFRFADPEFSFAVDAGSVVQDRESETESRNPTRRRSKRTRKSGFAENQKQNFDVKKLKLKNLSSVESPTEPEPVSSVSDTSPEEDVAMCLMMLSRDVWMRNNEEEDQEQGKDGERSIGMMLEEAEEIKVSKIRGKLRCEKCMKLFRSSQALGAHKRICSLNGTELRNNEGNQRIFECPYCFKVFGSGQALGGHKRSHLIGTSTPSAVENSAKLDNNLIDLNLPAPAEDDDFSVVSDA >Manes.06G101701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23508951:23511247:1 gene:Manes.06G101701.v8.1 transcript:Manes.06G101701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRCHVIVIPYPAQGHINPLLQFAKRLASKGVKATLATTPYTINSIHAPTVGVEPISDGFDEGGFKQSSGVEAYLESFKAVGSRTLTELILKFNASDSPVRCIVYDSLLTWPVDVARQLGIHAAVFFTNSASVCSMYWQIDRGLTLPVEQETVPVSLPGLPSLGFHEFPSFLASPTTHSAYLAAILEKFHSLDKNDWVGLQLLRRARDSASRSHERTVASGDGRSNGAICLLRPTN >Manes.12G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22779511:22780769:-1 gene:Manes.12G096600.v8.1 transcript:Manes.12G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSVLFSFCSLLMSAIFAYSGFVQLNDPDWYFWFPLYASSCVVNMLNWAISSNFIRKIAEVTLWLGIFLFFKVVMEDFVNETAGFWSLDLSERVVREKTGSGLVIFSLILQLQALSKLENSKSGNQRKKKVFPRFVEYGMAILVSFSFGLPLVFFVVRNEEMKFD >Manes.01G235600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39819251:39821890:1 gene:Manes.01G235600.v8.1 transcript:Manes.01G235600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGVVLMGVVSIVIVSKIWEVFKVVVWRPYWLTKTFEKQGIRGPTYKLLYGSLKEIKKLKKLARDAVLDTDSNIDITPRVLPHYHKWSSEYGDTWLYWFGTQPRITTTNPELAKQLLSNKFGFYVKPKTRPSIRLLTGNGLVLVDGLDWVRHRRILNPAFSIDKLKSMIKKMADCTMSMLDEWKNMAGVGDEQIVKIEMNEFFQKLTADIIAHTAFGSSYAEGKQAFKAQRELQKCCVASFTDIFIPGSQYLPTPLNLRMWKLNRQLKNSLKSIIDGRIKAKASSDGCYGDDLIGLMIEASVTKADDIKTSPKMKTSEIMENCKTFFFAGHETTSNLLTWTTFLLSLHPEWQERLREEVLKECGMGIPDADMLAKLKLVNMVLLEALRLYCPVIMLVRKQSEDMKLGNLMIPKETCISIPIVKIHRSKEYWGEDASEFNPMRFVNGISKAAKHPNALLAFSMGPRACIGQNFAMMEAKTVLALLLQRFSFSLCPEYKHAPVDYLTLHPQYGLPINVKSLLL >Manes.14G157292.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20248585:20250079:1 gene:Manes.14G157292.v8.1 transcript:Manes.14G157292.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCKLLFALLLIFFFVLPPSSNAHLLKACQFQAIYNLGDSISDTGNLIHEDPASVFGRLPYGQNFYRNATGRCSNGLLIIDFIAKSAGIPLLDAYLNASSSKTHGVNFAVAGSTALPVEFLAENRVIAPVTNSSLSTQLGWMDTHFNSTCQNSKACTEKHNESLFMVGEIGGNDYNYAFFQGKTIDELNSMVPNVVKAIKEAVMRVIGFGAARVVVPGNFPIGCMPIYLTGFHTNDSDAYDEFHCLKGLNNFAMFHNEQLQQAIEELQQEHPHVIIVYGDYYNAFKWVLQKAAILGFDTKSLQKACCGSGGDYDFSLERLCGAPNVQVCDKPQERMSWDGIHLTQKAYFFMARWLIRDIFRKLQCVA >Manes.11G162650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32756108:32758155:-1 gene:Manes.11G162650.v8.1 transcript:Manes.11G162650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVHEVPILTMKCVSSGNKACNQLYLLLASNRIRKEIIQSEHGDSKIILYGNSFRAVAGDKEEAVLVAKFDIDKSKYKRPSLGIF >Manes.15G185432.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:26504157:26504597:-1 gene:Manes.15G185432.v8.1 transcript:Manes.15G185432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDMGFMGPVFTWNNRRNEFFNIQERLDRSLVFVNWCNLYPNAFVQHMEDMGSDHRPLLLSISPFILTTKRFYFDSRWISNPRTSQIIKLSLKQNFNGSTMFNVCSKLKLYMKNLFDWNRKNATNSKVRIAHLESRLATVKDNS >Manes.03G021100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1785915:1791184:-1 gene:Manes.03G021100.v8.1 transcript:Manes.03G021100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSSNLESDKVGYYHPLPDSESSSTSETDLKNEKRNKIWHEDAAEGGSQEHEGPQGVSAHRKTHINKYALGGAILASTNSVLLGYDIGVMSGAILYIRDNLKISSTQVEILVGCLNLCSLIGSLASGRTSDYIGRRYTIVLAAATFLIGALLMGLAPSFIFLMAGRVVAGIGVGYSLMIAPVYTAELSPATTRGFLSSLPEVFINIGILLGYISNYALSSLPENINWRLMLGLAALPAILVALGVLVMPESPRWLVMKGRFDDAKQVLIKTSDSKEEAELRLAEMIEAAKAPGAASSNWQGQGAWKELLCRPSPPIRRVLIAAIGVNFFMQASGNDAVVYYSPEVLKDAGIQSRQQLVGVTVIMGIAKTFFVLVSALFLDRFGRRPLLLLGSTGMAASLAALGVGSKYLEQSDKKPVWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISINRLVSGIIAMTFLSISKLISFGGMFFSLAGIMVVGIVFFYFFLPETKGKTLEDIGILFEDKIPENETHFLS >Manes.03G021100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1785730:1791291:-1 gene:Manes.03G021100.v8.1 transcript:Manes.03G021100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSSNLESDKVGYYHPLPDSESSSTSETDLKNEKRNKIWHEDAAEGGSQEHEGPQGVSAHRKTHINKYALGGAILASTNSVLLGYDIGVMSGAILYIRDNLKISSTQVEILVGCLNLCSLIGSLASGRTSDYIGRRYTIVLAAATFLIGALLMGLAPSFIFLMAGRVVAGIGVGYSLMIAPVYTAELSPATTRGFLSSLPEVFINIGILLGYISNYALSSLPENINWRLMLGLAALPAILVALGVLVMPESPRWLVMKGRFDDAKQVLIKTSDSKEEAELRLAEMIEAAKAPGAASSNWQGQGAWKELLCRPSPPIRRVLIAAIGVNFFMQASGNDAVVYYSPEVLKDAGIQSRQQLVGVTVIMGIAKTFFVLVSALFLDRFGRRPLLLLGSTGMAASLAALGVGSKYLEQSDKKPVWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISINRLIKSLLMHENSCNDKGRMLPSLSIPVNELAPQASQ >Manes.03G021100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1785754:1791184:-1 gene:Manes.03G021100.v8.1 transcript:Manes.03G021100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSSNLESDKVGYYHPLPDSESSSTSETDLKNEKRNKIWHEDAAEGGSQEHEGPQGVSAHRKTHINKYALGGAILASTNSVLLGYDIGVMSGAILYIRDNLKISSTQVEILVGCLNLCSLIGSLASGRTSDYIGRRYTIVLAAATFLIGALLMGLAPSFIFLMAGRVVAGIGVGYSLMIAPVYTAELSPATTRGFLSSLPEVFINIGILLGYISNYALSSLPENINWRLMLGLAALPAILVALGVLVMPESPRWLVMKGRFDDAKQVLIKTSDSKEEAELRLAEMIEAAKAPGAASSNWQGQGAWKELLCRPSPPIRRVLIAAIGVNFFMQASGNDAVVYYSPEVLKDAGIQSRQQLVGVTVIMGIAKTFFVLVSALFLDRFGRRPLLLLGSTGMAASLAALGVGSKYLEQSDKKPVWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISINRLIKSLLMHENSCNDKGRMLPSLSIPVNELAPQASQ >Manes.03G021100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1785730:1788248:-1 gene:Manes.03G021100.v8.1 transcript:Manes.03G021100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSSNLESDKVGYYHPLPDSESSSTSETDLKNEKRNKIWHEDAAEGGSQEHEGPQGVSAHRKTHINKYALGGAILASTNSVLLGYDIGVMSGAILYIRDNLKISSTQVEILVGCLNLCSLIGSLASGRTSDYIGRRYTIVLAAATFLIGALLMGLAPSFIFLMAGRVVAGIGVGYSLMIAPVYTAELSPATTRGFLSSLPEVFINIGILLGYISNYALSSLPENINWRLMLGLAALPAILVALGVLVMPESPRWLVMKGRFDDAKQVLIKTSDSKEEAELRLAEMIEAAKAPGAASSNWQGQGAWKELLCRPSPPIRRVLIAAIGVNFFMQASGNDAVVYYSPEVLKDAGIQSRQQLVGVTVIMGIAKTFFVLVSALFLDRFGRRPLLLLGSTGMAASLAALGVGSKYLEQSDKKPVWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISINRLIKSLLMHENSCNDKGRMLPSLSIPVNELAPQASQ >Manes.03G021100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1785915:1791291:-1 gene:Manes.03G021100.v8.1 transcript:Manes.03G021100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSSNLESDKVGYYHPLPDSESSSTSETDLKNEKRNKIWHEDAAEGGSQEHEGPQGVSAHRKTHINKYALGGAILASTNSVLLGYDIGVMSGAILYIRDNLKISSTQVEILVGCLNLCSLIGSLASGRTSDYIGRRYTIVLAAATFLIGALLMGLAPSFIFLMAGRVVAGIGVGYSLMIAPVYTAELSPATTRGFLSSLPEVFINIGILLGYISNYALSSLPENINWRLMLGLAALPAILVALGVLVMPESPRWLVMKGRFDDAKQVLIKTSDSKEEAELRLAEMIEAAKAPGAASSNWQGQGAWKELLCRPSPPIRRVLIAAIGVNFFMQASGNDAVVYYSPEVLKDAGIQSRQQLVGVTVIMGIAKTFFVLVSALFLDRFGRRPLLLLGSTGMAASLAALGVGSKYLEQSDKKPVWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISINRLVSGIIAMTFLSISKLISFGGMFFSLAGIMVVGIVFFYFFLPETKGKTLEDIGILFEDKIPENETHFLS >Manes.03G021100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1785915:1791184:-1 gene:Manes.03G021100.v8.1 transcript:Manes.03G021100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSSNLESDKVGYYHPLPDSESSSTSETDLKNEKRNKIWHEDAAEGGSQEHEGPQGVSAHRKTHINKYALGGAILASTNSVLLGYDIGVMSGAILYIRDNLKISSTQVEILVGCLNLCSLIGSLASGRTSDYIGRRYTIVLAAATFLIGALLMGLAPSFIFLMAGRVVAGIGVGYSLMIAPVYTAELSPATTRGFLSSLPEVFINIGILLGYISNYALSSLPENINWRLMLGLAALPAILVALGVLVMPESPRWLVMKGRFDDAKQVLIKTSDSKEEAELRLAEMIEAAKAPGAASSNWQGQGAWKELLCRPSPPIRRVLIAAIGVNFFMQASGNDAVVYYSPEVLKDAGIQSRQQLVGVTVIMGIAKTFFVLVSALFLDRFGRRPLLLLGSTGMAASLAALGVGSKYLEQSDKKPVWAIALCIVAVCADVSFFSIGLGPITWVYSSEIFPMRLRAQGSSLAISINRLVSGIIAMTFLSISKLISFGGMFFSLAGIMVVGIVFFYFFLPETKGKTLEDIGILFEDKIPENETHFLS >Manes.11G123300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28498636:28501756:-1 gene:Manes.11G123300.v8.1 transcript:Manes.11G123300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKVLERLSFIQPWMLLEMFPFISKIAWSDLELRATILLSLLSQVVLILLGNRRKYIAQKWIKILLWLAYMSADWAATVSLSVISRSYSDSTIDISSLIMAFWAPFLLLHLGGPDTITALSMEDNELWLRHSLGLVVQLYLACQVIHRSWIGTPLNVLAILVLFVGGFKYGERTWILWSVSSEQFRDSMLRDPDPGPNYAKFMDEYSSKQAEGYEVSVEPLIEAPADLEFVPNAPKNNLIPNATILNTAHHFYVNFKPLFADLILSFQDRKNSQSFFQGRNWEEAFDLIGYELGFMFDTLYTKAIVIYTYKGFFLRFFYLSFTSFVFVAFLVVDKQCNSPVDLSITYLLLVGAVVLELYATITLLFSDWTMLWLSRHKNSLADLTYQGISWLQSWLQRFHLAPASEKWSNSLAGYNLTSYCLTKRPAKCNKVYKFCIEMLQSNQYSNLPIIQYDLKMFIFDHLRRKSKQASDFIQSHKQASDIMEYCKRLCNQKGDNVLRERRFFYEIGWSVEVEFDQSILLWHIATDLCYYFDVDKKPVIVERPECKVSKALADYMLHLLVNCPFMLPSGIGKIRFQDTCAEAIEFFQERKFFLNGDIDEKTKLRVKACTALLKVNTKIKPSEVKGDRSKSVLFDACRLAESLQSLKVEETWPNEKWEMISHVWVEMLAYAANQCRFNYHAKQLREGGELLTHVWLLMTHLGISEKFQIAESHERVKLVVT >Manes.06G140500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26814892:26817815:1 gene:Manes.06G140500.v8.1 transcript:Manes.06G140500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHQGNELCFQISSNHPHKIPQDLILSNPALYGSDITDDLGKSRRRKSISKDNDGTAENNDNDKKKLHRDIERQRRQEMATLYASLRSLLPLQYIKGKRSISDHMNEAVNYINHLQKRIKKLGVKRDALKQQTNLREIPQRSGSSNKTSPGTRVIIRPRLGGIEIVFSSGFGEQGFTLSRVLQLLLEVELSVVNCVSTKVNERVLHTVQAEV >Manes.06G140500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26814892:26817815:1 gene:Manes.06G140500.v8.1 transcript:Manes.06G140500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHQGNELCFQISSNHPHKIPQDLILSNPALYGSDITDDLGKSRRRKSISKDNDGTAENNDNDKKKLHRDIERQRRQEMATLYASLRSLLPLQYIKGKRSISDHMNEAVNYINHLQKRIKKLGVKRDALKQQTNLREIPQRSGSSNKTSPGTRVIIRPRLGGIEIVFSSGFGEQGFTLSRVLQLLLEVELSVVNCVSTKVNERVLHTVQAEVKDPTCLNLSELQQKLNPLVP >Manes.13G064000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7744361:7746508:-1 gene:Manes.13G064000.v8.1 transcript:Manes.13G064000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRSSMSLSSIEGHSKAAGEIHVIMGPMFAGKTTSLLRRIKSEGNNGRNVAMVKSSKDTRYAKDSVVTHDGLKFPCWALPDLASFQQKIGDDAYQKIDVIGIDEAQFFEDLYDFCCKAADHDGKTIIIAGLDGDYLRRSFGSVLDIIPLADTVTKLTARCELCGKRAFFTLRKTEEIQTELIGGADVYMPVCRQHYVNGQVVMEAARSVLESCKLQSDSQTDIAPAVV >Manes.04G071200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27038347:27045705:-1 gene:Manes.04G071200.v8.1 transcript:Manes.04G071200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQNNFDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSMDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLGDVEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVSQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDLFYSRDSSH >Manes.18G071300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6412954:6417893:-1 gene:Manes.18G071300.v8.1 transcript:Manes.18G071300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFLSQMAFCSCRPNAVLLRPQPNRWASSSKSKDDSEVAGHCTRVQRNVNMEGLRTGYLFPEIFKRESEHMQKKAHARLIRLGIGDTTLPIPDIITSAMAEHAYALSTIQGYKGYGAEQGNMDLRKAIAEKFYKDMGVEGHEIFVSDGAQSDISRLQMLLGSNVTVAIQDPSFPGYTDSSVIVGQAGKLEEESGKYGNIVYMNCGSENGFFPDLSTTPRTDIIFFCSPNNPTGNAASRQQLQQLVDFARINGSIIVYDSAYAAFISDESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLYSNRFPVIKDYNRIVCTCFNGASNIAQAGGLACLSEDGYKAVTNVVNYYKENAKILVEAFASLGLKVYGGKNAPYIWVHFPGLSSWDVFNEILEKTDVVTVPGRGFGPGGEGYIRVSAFGHRENILEASMRLKKLFFLA >Manes.18G071300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6412954:6417893:-1 gene:Manes.18G071300.v8.1 transcript:Manes.18G071300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFLSQMAFCSCRPNAVLLRPQPNRWASSSKSKDDSEVGHCTRVQRNVNMEGLRTGYLFPEIFKRESEHMQKKAHARLIRLGIGDTTLPIPDIITSAMAEHAYALSTIQGYKGYGAEQGNMDLRKAIAEKFYKDMGVEGHEIFVSDGAQSDISRLQGYTDSSVIVGQAGKLEEESGKYGNIVYMNCGSENGFFPDLSTTPRTDIIFFCSPNNPTGNAASRQQLQQLVDFARINGSIIVYDSAYAAFISDESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLYSNRFPVIKDYNRIVCTCFNGASNIAQAGGLACLSEDGYKAVTNVVNYYKENAKILVEAFASLGLKVYGGKNAPYIWVHFPGLSSWDVFNEILEKTDVVTVPGRGFGPGGEGYIRVSAFGHRENILEASMRLKKLFFLA >Manes.18G071300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6412954:6417893:-1 gene:Manes.18G071300.v8.1 transcript:Manes.18G071300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFLSQMAFCSCRPNAVLLRPQPNRWASSSKSKDDSEVGHCTRVQRNVNMEGLRTGYLFPEIFKRESEHMQKKAHARLIRLGIGDTTLPIPDIITSAMAEHAYALSTIQGYKGYGAEQGNMDLRKAIAEKFYKDMGVEGHEIFVSDGAQSDISRLQMLLGSNVTVAIQDPSFPGYTDSSVIVGQAGKLEEESGKYGNIVYMNCGSENGFFPDLSTTPRTDIIFFCSPNNPTGNAASRQQLQQLVDFARINGSIIVYDSAYAAFISDESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLYSNRFPVIKDYNRIVCTCFNGASNIAQAGGLACLSEDGYKAVTNVVNYYKENAKILVEAFASLGLKVYGGKNAPYIWVHFPGLSSWDVFNEILEKTDVVTVPGRGFGPGGEGYIRVSAFGHRENILEASMRLKKLFFLA >Manes.18G071300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6412954:6417893:-1 gene:Manes.18G071300.v8.1 transcript:Manes.18G071300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFLSQMAFCSCRPNAVLLRPQPNRWASSSKSKDDSEVAGHCTRVQRNVNMEGLRTGYLFPEIFKRESEHMQKKAHARLIRLGIGDTTLPIPDIITSAMAEHAYALSTIQGYKGYGAEQGNMDLRKAIAEKFYKDMGVEGHEIFVSDGAQSDISRLQGYTDSSVIVGQAGKLEEESGKYGNIVYMNCGSENGFFPDLSTTPRTDIIFFCSPNNPTGNAASRQQLQQLVDFARINGSIIVYDSAYAAFISDESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLYSNRFPVIKDYNRIVCTCFNGASNIAQAGGLACLSEDGYKAVTNVVNYYKENAKILVEAFASLGLKVYGGKNAPYIWVHFPGLSSWDVFNEILEKTDVVTVPGRGFGPGGEGYIRVSAFGHRENILEASMRLKKLFFLA >Manes.05G111950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11342813:11349294:1 gene:Manes.05G111950.v8.1 transcript:Manes.05G111950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTSSMAKTIEKYQRCSYAPLGNDQSVHDTQSCYQEYLKLKAKVEVLQHSQRNLHGENLGDLNIKDLQQLELQLDSSLKKIRSTKTQFMLDQLSELQRKEELLLQTNKALRKKLVETDAALQSSWEASDQSAFQYDRQPAQPDGLLDPLQCNRNLRIGFSPGEADELPVATTDQNVNGFIPGWML >Manes.05G020900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1884355:1888760:1 gene:Manes.05G020900.v8.1 transcript:Manes.05G020900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTPWKQLLLRALESNSHLKHSSFFQFATVGSDGRPSNRTVVFRGFAENSDKIQINTDSRTRKIEELKQCPFAEICWYFTDSWEQFRINGKVDIIDGLNPDPAKHEIREKSWYASSLKSRMQYLGPNPGLPCLNEQPSHDFFLDPSSGPVATFCLLLLDPEQVDYLNLKSNQRIMFTLMRNVNGEHYWNSERTNP >Manes.05G020900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1884069:1888286:1 gene:Manes.05G020900.v8.1 transcript:Manes.05G020900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTPWKQLLLRALESNSHLKHSSFFQFATVGSDGRPSNRTVVFRGFAENSDKIQINTDSRTRKIEELKQCPFAEICWYFTDSWEQFRINGKVDIIDGLNPDPAKHEIREKSWYASSLKSRMQYLGPNPGLPCLNEQPSHDFFLDPSSGPVATFCLLLLDPEQVDYLNLKSNQRIMFTLMRNVNGEHYWNSERTNP >Manes.05G020900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1884069:1888819:1 gene:Manes.05G020900.v8.1 transcript:Manes.05G020900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTPWKQLLLRALESNSHLKHSSFFQFATVGSDGRPSNRTVVFRGFAENSDKIQINTDSRTRKIEELKQCPFAEICWYFTDSWEQFRINGKVDIIDGLNPDPAKHEIREKSWYASSLKSRMQYLGPNPGLPCLNEQPSHDFFLDPSSGPVATFCLLLLDPEQVDYLNLKSNQRIMFTLMRNVNGEHYWNSERTNP >Manes.05G020900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1884069:1888777:1 gene:Manes.05G020900.v8.1 transcript:Manes.05G020900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTPWKQLLLRALESNSHLKHSSFFQFATVGSDGRPSNRTVVFRGFAENSDKIQINTDSRTRKIEELKQCPFAEICWYFTDSWEQFRINGKVDIIDGLNPDPAKHEIREKSWYASSLKSRMQYLGPNPGLPCLNEQPSHDFFLDPSSGPVATFCLLLLDPEQVDYLNLKSNQRIMFTLMRNVNGEHYWNSERTNP >Manes.05G020900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1884069:1888760:1 gene:Manes.05G020900.v8.1 transcript:Manes.05G020900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTPWKQLLLRALESNSHLKHSSFFQFATVGSDGRPSNRTVVFRGFAENSDKIQINTDSRTRKIEELKQCPFAEICWYFTDSWEQFRINGKVDIIDGLNPDPAKHEIREKSWYASSLKSRMQYLGPNPGLPCLNEQPSHDFFLDPSSGPVATFCLLLLDPEQVDYLNLKSNQRIMFTLMRNVNGEHYWNSERTNP >Manes.08G079000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:22667909:22668235:1 gene:Manes.08G079000.v8.1 transcript:Manes.08G079000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSDCKCGNGCGGCKMYPDMSFSEKTTTDTRILGVAPAKAHSEGAEMIVGAENGGCKCGDNCTCNPCTCK >Manes.13G027200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3629252:3631928:-1 gene:Manes.13G027200.v8.1 transcript:Manes.13G027200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLSLSFPSTPRTSVSRFRYRKIGCTNERQALFSRFALVYDNLNDVLSLGQHRIWKRMAVSWTGAKMGDNVLDLCCGSGDLAFLLSEKVGSNGKVIGVDFSKEQLLIASSRHELSSKECYQIIEWVEGEATDLPFSDCYFDAITMGYGLRNVIDKRAKASILDFNKSTQPFVASVQLPMVLQKSMNT >Manes.13G027200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3629252:3631928:-1 gene:Manes.13G027200.v8.1 transcript:Manes.13G027200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLSLSFPSTPRTSVSRFRYRKIGCTNERQALFSRFALVYDNLNDVLSLGQHRIWKRMAVSWTGAKMGDNVLDLCCGSGDLAFLLSEKVGSNGKVIGVDFSKEQLLIASSRHELSSKECYQIIEWVEGEATDLPFSDCYFDAITMGYGLRNVIDKRAKASILDFNKSTQPFVASVQEWMISSFVVPVAAAYGLAKEYEYLKSSIRELSITRNG >Manes.13G027200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3618870:3631928:-1 gene:Manes.13G027200.v8.1 transcript:Manes.13G027200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLSLSFPSTPRTSVSRFRYRKIGCTNERQALFSRFALVYDNLNDVLSLGQHRIWKRMAVSWTGAKMGDNVLDLCCGSGDLAFLLSEKVGSNGKVIGVDFSKEQLLIASSRHELSSKECYQIIEWVEGEATDLPFSDCYFDAITMGYGLRNVIDKRKAMQEMFRILKPGAKASILDFNKSTQPFVASVQGRSWRNLLWKQDFLLQNIMKSVEV >Manes.13G027200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3629252:3631928:-1 gene:Manes.13G027200.v8.1 transcript:Manes.13G027200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLSLSFPSTPRTSVSRFRYRKIGCTNERQALFSRFALVYDNLNDVLSLGQHRIWKRMAVSWTGAKMGDNVLDLCCGSGDLAFLLSEKVGSNGKVIGVDFSKEQLLIASSRHELSSKECYQIIEWVEGEATDLPFSDCYFDAITMGYGLRNVIDKRKAMQEMFRILKPGAKASILDFNKSTQPFVASVQLPMVLQKSMNT >Manes.13G027200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3629252:3631928:-1 gene:Manes.13G027200.v8.1 transcript:Manes.13G027200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLSLSFPSTPRTSVSRFRYRKIGCTNERQALFSRFALVYDNLNDVLSLGQHRIWKRMAVSWTGAKMGDNVLDLCCGSGDLAFLLSEKVGSNGKVIGVDFSKEQLLIASSRHELSSKECYQIIEWVEGEATDLPFSDCYFDAITMGYGLRNVIDKRKAMQEMFRILKPGAKASILDFNKSTQPFVASVQEWMISSFVVPVAAAYGLAKEYEYLKSSIRELSITRNG >Manes.13G027200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3619132:3631928:-1 gene:Manes.13G027200.v8.1 transcript:Manes.13G027200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLSLSFPSTPRTSVSRFRYRKIGCTNERQALFSRFALVYDNLNDVLSLGQHRIWKRMAVSWTGAKMGDNVLDLCCGSGDLAFLLSEKVGSNGKVIGVDFSKEQLLIASSRHELSSKECYQIIEWVEGEATDLPFSDCYFDAITMGYGLRNVIDKRAKASILDFNKSTQPFVASVQGRSWRNLLWKQDFLLQNIMKSVEV >Manes.14G164500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26002406:26009306:1 gene:Manes.14G164500.v8.1 transcript:Manes.14G164500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKNFRKRTLEESDDQNNNNNAASDDEEDRRLALEEVKFLQKQRERKSGIPAIPSVQSGNLIPKKVTEKNDGDGEKEELVLQDTFAQETAVMVEDPNMLKYVEQELAKKRGKNIDATNQVENDLKRAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLMGQAKSEFSIPSSYSADYFQRGRDYAEKLRREHPELYKDRSSQDDGAGSKPAENNTDATRREAATDEFMLERFRKRERHRVMRR >Manes.14G164500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26002408:26009306:1 gene:Manes.14G164500.v8.1 transcript:Manes.14G164500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKNFRKRTLEESDDQNNNNNAASDDEEDRRLALEEVKFLQKQRERKSGIPAIPSVQSGNLIPKKVTEKNDGDGEKEELVLQDTFAQETAVMVEDPNMLKYVEQELAKKRGKNIDATNQVENDLKRAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLMGQAKSEFSIPSSYSADYFQRGRDYAEKLRREHPELYKDRSSQDDGAGSKPAENNTDATRREAATDEFMLERFRKRERHRVMRR >Manes.11G055420.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7546880:7579216:1 gene:Manes.11G055420.v8.1 transcript:Manes.11G055420.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSFPIQELKNLNNLRFLDISHNNFNGALSFKELKNLKSLEFLDISHNNFNSTLSFKELKNLNNLQFLDISYNNFNGSLSFEGLCVLKSLVELHLQGNRLSGPLPECIGNFTNLQFLDLSFNQLSGNIQSIVSELTSLKYLLLHGNEFEGSFSFSALANHSKLEVFILSPGNSRLEVETENPTWFPAFQLKYIQLSNCSLNVRTRAIPSFLHYQYDIRFIDLSHNTLVGAFPTWILQNNSNLGVINLRNNSFTGTFQLPNFKHDLVQLDISSNNLTGMLPKEFGLVLPRLEYINMSRNNFGGNVPSSISKTPELSILDLSHNNFSGELPGSLFASCTMYCALILSNNNFQGNVFPQGMNLRSMTVLDMKNNNFSAMVGADLLNSSSLSSLNFFDISNNKVSGPIPRLLCNLTYLVFLDLSKNKLYGSMPSCFDSSLLRFLFLQKNNLSGLIPHELLRSPNLGALNLRDNNFSGNIPSWIGQFSELQVLSLGGNALHGRILNKLCELRNANIMDLSRNLLFGSVPTCFSNISFGNNISFGMMEVVDIMYFMGFNQSAPDKIALNLHLPWVDWDSSEIVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVGFSNLRSLESLDLGNNNLSGEIPSELVALTFLETFNVSYNNLSGRVPNGAQFGTFDENNYRGNPGLCGEPIHKSCKSDEAPQTPPPSADVEEEDEGVIDMVWFYWSFSGSYVTILLVLAAILRINRHWRMLWFYYVDICIYSISIWV >Manes.08G025400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2500819:2507558:-1 gene:Manes.08G025400.v8.1 transcript:Manes.08G025400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTCFTVSAKSRLPYSHPIFTSKPSFRLKPPDSIAIIQPLRSSRIASKVANSRVSAASVRASIDFPNGIRPGAAVESDKLPADVRKRTMEAVDACGGRVTIGDVASRAGLNLNEAQKSLQALATDTDGFLEVSDEGDVLYVFPKDYRSKLASKSFRMKVEPLIEKAKATAEYLIRVSFGTALIASIVIVYTTIIALLSSRSEEDNRGRRGGRSYDSGFTFYFSPTDLFWYWDPYYYRRRQVQTDGDGKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYISSNGGVVTAEELAPYLDLQTNEQTMNDESYILPVLLRFDGQPEIDEERTASSQRSRRKEYVGRRWADWIGLEKFFREKKWQFRFFICLVMPLNLIYAGSFFAIPLIRWFLVRKRNAEIEKRNQAREQVARTLELPDPSLRRKLLSARDMAQRTVIGQDRIVYSTDRDIIEQDVEAQEWDRRFREIEKSE >Manes.08G025400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2499986:2507594:-1 gene:Manes.08G025400.v8.1 transcript:Manes.08G025400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTCFTVSAKSRLPYSHPIFTSKPSFRLKPPDSIAIIQPLRSSRIASKVANSRVSAASVRASIDFPNGIRPGAAVESDKLPADVRKRTMEAVDACGGRVTIGDVASRAGLNLNEAQKSLQALATDTDGFLEVSDEGDVLYVFPKDYRSKLASKSFRMKVEPLIEKAKATAEYLIRVSFGTALIASIVIVYTTIIALLSSRSEEDNRGRRGGRSYDSGFTFYFSPTDLFWYWDPYYYRRRQVQTDGDGKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYISSNGGVVTAEELAPYLDLQTNEQTMNDESYILPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSRRKEYVGRRWADWIGLEKFFREKKWQFSKTSASERAMVIGLGGVNLFGVIILGAMLKDVAVTPSGLIKFVSGIFPLLQIYAGSFFAIPLIRWFLVRKRNAEIEKRNQAREQVARTLELPDPSLRRKLLSARDMAQRTVIGQDRIVYSTDRDIIEQDVEAQEWDRRFREIEKSE >Manes.08G025400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2500819:2507558:-1 gene:Manes.08G025400.v8.1 transcript:Manes.08G025400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTCFTVSAKSRLPYSHPIFTSKPSFRLKPPDSIAIIQPLRSSRIASKVANSRVSAASVRASIDFPNGIRPGAAVESDKLPADVRKRTMEAVDACGGRVTIGDVASRAGLNLNEAQKSLQALATDTDGFLEVSDEGDVLYVFPKDYRSKLASKSFRMKVEPLIEKAKATAEYLIRVSFGTALIASIVIVYTTIIALLSSRSEEDNRGRRGGRSYDSGFTFYFSPTDLFWYWDPYYYRRRQVQTDGDGKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYISSNGGVVTAEELAPYLDLQTNEQTMNDESYILPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSRRKEYVGRRWADWIGLEKFFREKKWQFSKTSASERAMVIGLGGVNLFGVIILGAMLKDVAVTPSGLIKFVSGIFPLLQIYAGSFFAIPLIRWFLVRKRNAEIEKRNQAREQVARTLELPDPSLRRKLLSARDMAQRTVIGQDRIVYSTDRDIIEQDVEAQEWDRRFREIEKSE >Manes.08G025400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2500819:2507558:-1 gene:Manes.08G025400.v8.1 transcript:Manes.08G025400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEPLIEKAKATAEYLIRVSFGTALIASIVIVYTTIIALLSSRSEEDNRGRRGGRSYDSGFTFYFSPTDLFWYWDPYYYRRRQVQTDGDGKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYISSNGGVVTAEELAPYLDLQTNEQTMNDESYILPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSRRKEYVGRRWADWIGLEKFFREKKWQFSKTSASERAMVIGLGGVNLFGVIILGAMLKDVAVTPSGLIKFVSGIFPLLQIYAGSFFAIPLIRWFLVRKRNAEIEKRNQAREQVARTLELPDPSLRRKLLSARDMAQRTVIGQDRIVYSTDRDIIEQDVEAQEWDRRFREIEKSE >Manes.08G025400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2500118:2507594:-1 gene:Manes.08G025400.v8.1 transcript:Manes.08G025400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEPLIEKAKATAEYLIRVSFGTALIASIVIVYTTIIALLSSRSEEDNRGRRGGRSYDSGFTFYFSPTDLFWYWDPYYYRRRQVQTDGDGKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYISSNGGVVTAEELAPYLDLQTNEQTMNDESYILPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSRRKEYVGRRWADWIGLEKFFREKKWQFSKTSASERAMVIGLGGVNLFGVIILGAMLKDVAVTPSGLIKFVSGIFPLLQIYAGSFFAIPLIRWFLVRKRNAEIEKRNQAREQVARTLELPDPSLRRKLLSARDMAQRTVIGQDRIVYSTDRDIIEQDVEAQEWDRRFREIEKSE >Manes.08G025400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2501064:2506997:-1 gene:Manes.08G025400.v8.1 transcript:Manes.08G025400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEPLIEKAKATAEYLIRVSFGTALIASIVIVYTTIIALLSSRSEEDNRGRRGGRSYDSGFTFYFSPTDLFWYWDPYYYRRRQVQTDGDGKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYISSNGGVVTAEELAPYLDLQTNEQTMNDESYILPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSRRKEYVGRRWADWIGLEKFFREKKWQFSKTSASERAMVIGLGGVNLFGVIILGAMLKDVAVTPSGLIKFVSGIFPLLQIYAGSFFAIPLIRWFLVRKRNAEIEKRNQAREQVARTLELPDPSLRRKLLSARDMAQRTVIGQDRIVYSTDRDIIEQDVEAQEWDRRFREIEKSE >Manes.02G014550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1399367:1400328:1 gene:Manes.02G014550.v8.1 transcript:Manes.02G014550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSCKNALLILIFLAVVSSTYACKKKRYHAKPCKELTLYFHDILYDGKNTANATSALVTAPQGSNLTTLAFPFHFGNMVVFDDPITKDNDLQSPPVGRAQGMYLYDTKNTVSALLAFSFSLNTTHHHGTISFLGTNPIMERTRDISVVGGTGDFFMHRGVATVMTDAFEGEVYFRLRVDIKFYECW >Manes.09G044300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7846241:7846654:1 gene:Manes.09G044300.v8.1 transcript:Manes.09G044300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIRNRSCSVILTFLLMGSQHIVLGKVNVVITNKLGNGRTMNIHCRSRDDDLGHHSVADGSKIFWKFSVNFWGTTLFYCNVKWDDSKWHGFIAYSYERDSDRCERECIWKISADGLLYKYNEVTAEWEFMPFQDGQ >Manes.04G150300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34651929:34659816:-1 gene:Manes.04G150300.v8.1 transcript:Manes.04G150300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLQTLVHISSSEAKSKSNVVLKSSMKKVNHRHLPAAASSSATTIESYCYLKSCYLCNKKLRLDSDIYMYRGDQGFCSIECRNRRIVLDEMKELEISTKQMRKSYRHCSASGRHETRRLLEDLRQRRNPVSHQNHWAIVS >Manes.04G150300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34652796:34653845:-1 gene:Manes.04G150300.v8.1 transcript:Manes.04G150300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNFKNPFAMLAGFSQDSKSTCATSKERVMVVGLQTLVHISSSEAKSKSNVVLKSSMKKVNHRHLPAAASSSATTIESYCYLKSCYLCNKKLRLDSDIYMYRGDQGFCSIECRNRRIVLDEMKELEISTKQMRKSYRHCSASGRHETRRLLEDLRQRRNPVSHQNHWAIVS >Manes.12G027000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2372098:2375204:1 gene:Manes.12G027000.v8.1 transcript:Manes.12G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNNIGMLEELEGFEIDEESEVELFYTPSWTSERGSKVLVNVDSFGAIGDGISDDTQAFVKAWQMACATPKSVLLVPPGRRYLVNATKFKGPCEDRLVIQIDGTIVAPDEPMNWDPKLPRLWLDFSRLNGVLFQGNGVIDGSGSKWWESSCKKNKSNPCRGAPTAVTIDSSSSVKVRGLTIQNSQQMHFVISRCASVRVSEVLVSAPEDSPNTDGIHITESTNVVLQDCKIGTGDDCISIVNGSSGIKMKRIYCGPGHGVSIGSLGKDNSTGIVTKVVLDTAFLKEATNGLRIKTWQGGNGYVRGIRFENVRMEDVANPIIIDQFYCDSPKACQNQTSAVKISEIMYRNITGTTKSAKAMKFACSDTVPCSNIVLSNINLEKKDGTVETYCNAAQGFGYGIIHPSADCLTSQDKGYFGVGLTSIAEDNVTDRTDIAELAESSGDAIVHTEL >Manes.17G014150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6790102:6792022:-1 gene:Manes.17G014150.v8.1 transcript:Manes.17G014150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRSVPALLRRFLCSSLVVRCCSSPRPRSLQLEEMMWASVRRKVLSGSSTTYLVLEQSLPGTCFMAPLSRSYSNPLKVVIMLDVFGSLCILCLVCLLHFCIMACIMCNVNSC >Manes.17G014150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6790102:6792022:-1 gene:Manes.17G014150.v8.1 transcript:Manes.17G014150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRSVPALLRRFLCSSLVVRCCSSPRPRSLQLEEMMWASVRRKVLSGSSTTYLVLEQSLPGTCFMAPLSRSYSNPLKILPFERQSNNLRNVSFHGSLGKSIVNGIMGFAYLI >Manes.17G032550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22790621:22791475:1 gene:Manes.17G032550.v8.1 transcript:Manes.17G032550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNGVVFEDFFPAMVEKLGADGFMKELCNGFRLLMDREKGVITFESLKRNAKQLGLQDMSDDEVMCMLREGDLDGDGALNEMEFCTLMFRLSPGLMRNSRKWLVEALLSEI >Manes.04G123300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32447836:32453519:-1 gene:Manes.04G123300.v8.1 transcript:Manes.04G123300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVVKSIASKRSISTLSHLCDRIKQTENEIVQMFRLPVLKDEKQNLPINSKISRKGTSVRILDERFIRILKIFKWGPDAEKALEVLKLKVDHRLVRDVLKIDVEINVKIQFFKWVGKRRNFEHDSTTYMALIHCLDEARLYGEMWKTIQDMARSTCMTSPADLSEIVKILGNAKMVNKALSVFYQIKGHKCKPTASTYNSMILMLMRGGHHEKVHELYNEMCNEGSCFPDTITYTALISAFGKLGRDDYAIRLFDEMKDNGLYPTAKIYTTLLGIYFKLDKVEKALDLIREMKSKGCTPTVFTYTEWIKGLGKAGKADDAYSVFLDMLKEGCKPDVVLINNLINILGKAGRLEDALRLFNQMGAWQCKPTVVTYNIVIKALFECKAPASEAVSWFEKMQANGVTPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGNAKRYEAANELFLELKENFGCTNARVYAVMIKHFGKCGRLNDAEVLFKEMKKLGCKPDVYAYNALMSGLVRAGMIDQAHSWLRTMEENGCSPDINSHNIILNGLARTGGTNRVIEMFTKMKHSITKPDSVSYNTVLGCLSRAGMFEEAAKLMKEMNSKGFEYDHITYSSILEALGKVDEDHASTVF >Manes.04G123300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32447836:32452679:-1 gene:Manes.04G123300.v8.1 transcript:Manes.04G123300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVVKSIASKRSISTLSHLCDRIKQTENEIVQMFRLPVLKDEKQNLPINSKISRKGTSVRILDERFIRILKIFKWGPDAEKALEVLKLKVDHRLVRDVLKIDVEINVKIQFFKWVGKRRNFEHDSTTYMALIHCLDEARLYGEMWKTIQDMARSTCMTSPADLSEIVKILGNAKMVNKALSVFYQIKGHKCKPTASTYNSMILMLMRGGHHEKVHELYNEMCNEGSCFPDTITYTALISAFGKLGRDDYAIRLFDEMKDNGLYPTAKIYTTLLGIYFKLDKVEKALDLIREMKSKGCTPTVFTYTEWIKGLGKAGKADDAYSVFLDMLKEGCKPDVVLINNLINILGKAGRLEDALRLFNQMGAWQCKPTVVTYNIVIKALFECKAPASEAVSWFEKMQANGVTPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGNAKRYEAANELFLELKENFGCTNARVYAVMIKHFGKCGRLNDAEVLFKEMKKLGCKPDVYAYNALMSGLVRAGMIDQAHSWLRTMEENGCSPDINSHNIILNGLARTGGTNRVIEMFTKMKHSITKPDSVSYNTVLGCLSRAGMFEEAAKLMKEMNSKGFEYDHITYSSILEALGKVDEDHASTVF >Manes.04G123300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32447836:32453519:-1 gene:Manes.04G123300.v8.1 transcript:Manes.04G123300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVVKSIASKRSISTLSHLCDRIKQTENEIVQMFRLPVLKDEKQNLPINSKISRKGTSVRILDERFIRILKIFKWGPDAEKALEVLKLKVDHRLVRDVLKIDVEINVKIQFFKWVGKRRNFEHDSTTYMALIHCLDEARLYGEMWKTIQDMARSTCMTSPADLSEIVKILGNAKMVNKALSVFYQIKGHKCKPTASTYNSMILMLMRGGHHEKVHELYNEMCNEGSCFPDTITYTALISAFGKLGRDDYAIRLFDEMKDNGLYPTAKIYTTLLGIYFKLDKVEKALDLIREMKSKGCTPTVFTYTEWIKGLGKAGKADDAYSVFLDMLKEGCKPDVVLINNLINILGKAGRLEDALRLFNQMGAWQCKPTVVTYNIVIKALFECKAPASEAVSWFEKMQANGVTPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGNAKRYEAANELFLELKENFGCTNARVYAVMIKHFGKCGRLNDAEVLFKEMKKLGCKPDVYAYNALMSGLVRAGMIDQAHSWLRTMEENGCSPDINSHNIILNGLARTGGTNRVIEMFTKMKHSITKPDSVSYNTVLGCLSRAGMFEEAAKLMKEMNSKGFEYDHITYSSILEALGKVDEDHASTVF >Manes.04G123300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32448031:32453519:-1 gene:Manes.04G123300.v8.1 transcript:Manes.04G123300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVVKSIASKRSISTLSHLCDRIKQTENEIVQMFRLPVLKDEKQNLPINSKISRKGTSVRILDERFIRILKIFKWGPDAEKALEVLKLKVDHRLVRDVLKIDVEINVKIQFFKWVGKRRNFEHDSTTYMALIHCLDEARLYGEMWKTIQDMARSTCMTSPADLSEIVKILGNAKMVNKALSVFYQIKGHKCKPTASTYNSMILMLMRGGHHEKVHELYNEMCNEGSCFPDTITYTALISAFGKLGRDDYAIRLFDEMKDNGLYPTAKIYTTLLGIYFKLDKVEKALDLIREMKSKGCTPTVFTYTEWIKGLGKAGKADDAYSVFLDMLKEGCKPDVVLINNLINILGKAGRLEDALRLFNQMGAWQCKPTVVTYNIVIKALFECKAPASEAVSWFEKMQANGVTPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGNAKRYEAANELFLELKENFGCTNARVYAVMIKHFGKCGRLNDAEVLFKEMKKLGCKPDVYAYNALMSGLVRAGMIDQAHSWLRTMEENGCSPDINSHNIILNGLARTGGTNRVIEMFTKMKHSITKPDSVSYNTVLGCLSRAGMFEEAAKLMKEMNSKGFEYDHITYSSILEALGKVDEDHASTVF >Manes.04G123300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32447834:32453519:-1 gene:Manes.04G123300.v8.1 transcript:Manes.04G123300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVVKSIASKRSISTLSHLCDRIKQTENEIVQMFRLPVLKDEKQNLPINSKISRKGTSVRILDERFIRILKIFKWGPDAEKALEVLKLKVDHRLVRDVLKIDVEINVKIQFFKWVGKRRNFEHDSTTYMALIHCLDEARLYGEMWKTIQDMARSTCMTSPADLSEIVKILGNAKMVNKALSVFYQIKGHKCKPTASTYNSMILMLMRGGHHEKVHELYNEMCNEGSCFPDTITYTALISAFGKLGRDDYAIRLFDEMKDNGLYPTAKIYTTLLGIYFKLDKVEKALDLIREMKSKGCTPTVFTYTEWIKGLGKAGKADDAYSVFLDMLKEGCKPDVVLINNLINILGKAGRLEDALRLFNQMGAWQCKPTVVTYNIVIKALFECKAPASEAVSWFEKMQANGVTPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINSLGNAKRYEAANELFLELKENFGCTNARVYAVMIKHFGKCGRLNDAEVLFKEMKKLGCKPDVYAYNALMSGLVRAGMIDQAHSWLRTMEENGCSPDINSHNIILNGLARTGGTNRVIEMFTKMKHSITKPDSVSYNTVLGCLSRAGMFEEAAKLMKEMNSKGFEYDHITYSSILEALGKVDEDHASTVF >Manes.09G085200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:22664659:22667892:-1 gene:Manes.09G085200.v8.1 transcript:Manes.09G085200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MESSSWSPLSLLIAKCRSPSSPHCYSSAAAMAISSPEATNSSMTQNSEETLLSRPFDLQKLPHVTDYLPDLKSYINPLDNNSFYHPSDGFYLTQSDIILRQTIIDLSGSFSSSASQLAYHRAGPRKQIFFDPSSTRAAIVTCGGLCPGMNTVIRELVVGLWELYGVRQIYGVVSGYRGFYSREPIELNPKLVHHWHKRGGTALQTSRGGFDLNKIVDAIQNRGFNQVYIIGGDGTMRGAVKIFDEIRRRKLNIGVAGIPKTVDNDVGIIDRSFGFQTAVEMAQQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPETEFYLEGKGGLFEYLEKRLKENGHAVLVVAEGAGQDIIPRTEAQKQERDESGNPVFLDVGLWLKSELKNWWSRDHPNDLFTVKYIDPTYMIRAIPANATDSLYCTLLAHSAIHGVMAGYTGFVCGPINGNYAYIPLKEVAEAKNEVNVKDHKWAWVRSVTNQPDFIRK >Manes.12G033300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2915154:2919229:1 gene:Manes.12G033300.v8.1 transcript:Manes.12G033300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDLSSPNFSPKIDPNTLLNLFKSQQNHLNFFFQNLDLSQTLSFTQTLLNSRGTIFFSGVGKSGFVANKISQTLISLGIRAGFLSPVDALHGDIGILTSRDILVLFSKSGNTEELLRLVPCAKAKGAYLVSVTSVEGNALASVCDMNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARKLSKDEYAANHPAGRIGKSLIFKVKDVMKKQDELPVCREGDMIMDQLVELTSKGCGCLLVIDEEYHLIGTFTDGDLRRTLKASGEGIFKLTVGEMCNRNPRTIGQDAMAVDAMKKMESPPSPVQFLPVINHQNIVIGIVTLHGLVSAGL >Manes.07G071748.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13460701:13461704:1 gene:Manes.07G071748.v8.1 transcript:Manes.07G071748.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNTMAYQTIFLCFVLILTTLHSLQAVEYTVTNRAETTPGGIRFNKELGADYTKQTMASATDFIWRLFQQNTEADKKKVAKVSLFVDDKDGIAYASNNEIHVGAIYINGIKGDIKWDFNGVLYHEMTHIWQWDGSAGTKAPGGLIEGIADFVRLKANYAPPNWVKPGDGNRWDEGYSVTAWFLDYCNGLRNGFVAELNKKMRDTYSDSFFVELLGKSVDQLWKDYKAKYGKN >Manes.02G101550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7940410:7942072:-1 gene:Manes.02G101550.v8.1 transcript:Manes.02G101550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPISLHLAFLLWNKISHKAQENLYIDAKVGKMMKF >Manes.18G104182.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10063697:10064230:-1 gene:Manes.18G104182.v8.1 transcript:Manes.18G104182.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSKPHMLVTFIFLFSSLVSSARVYRSGPSPGLSPDPPFPLDIADGKKPFSGPNPGESPAIIYTEKFQVDEKKPPLGPNPGKSPDEPPAKTEKSRLSTIYRSLREIKRLVPSGPNQQQPPETPYRF >Manes.08G050900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5225123:5253038:-1 gene:Manes.08G050900.v8.1 transcript:Manes.08G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKEEVQIVKPRTDKRDYRRIVLKNSLQVLLISDPETDKCAASMNVSVGSFSDPVGLEGLAHFLEHMLFYASEKYPLEDSYSKYITEHGGSTNAYTSSEHTNYHFDVNTDCFEEALDRFAQFFIKPLMSADATTREIKAVDSENQKNLLSDAWRMNQLQKHLSDEGHPYHKFSTGNWDTLEVRPKAKGLDTREELIKFYEENYSANLMHLVIYSKESLDKIESLVEDKFQEIRSKGRSHLSFPGQPCTPEHLQILVRAIPIKKGHKLKIMWPITPSILHYKEGPSRYLSHLIGHEGEGSLFYVLKTLGWATGLAAGEGDWTTQFSFFKVVIDLTDAGHEHMQDIIGLLFKYIDLLQQSGVSKWIFDELVAVCETKFHYQDKIPPIDYVVKIASSMEIYPPNDWLVGSSLPSNFSPSTIQMVLDQLSPNNVRIFWESKKFEGQCDKVEPWYGTAYTVEKITSSMIQEWMQSAPNEKLHLPELNVFIPTDLSLKDAQEKVKFPVLLRKSSYSSLWYKPDTKFCMPKAYVKIDFICPHAGSSPEAEVLTDIFTRLLMDYLNEFAYYAQVAGLWYAINNTDTGFQVTVFGYNHKLRVLLETVIEKIAKFEVVPDRFSVIKEMMLKEYENFKFQQPYQQAMYYCSLILQNQAWPWMDELEVLPHLEAGDLAKFAPMMLSRAFLECYIAGNIECSEAESIIEHIEDVFYKGPIPICRPLFQSQYLTNRVINLERGKNYIYSVEGLNPSDENSALMHYIQVHQDDFLPNVKLQLFALIAKQPAFHQLRSVEQLGYITVLMPRSDSGVRGVQFIIQSTAKGPGRVDSRVEAFLKMFETKLYEMTNEEFKNNVDALVDMKLEKHKNLMEESGYYWREIYDGTLKFDRKDSEVAALRQLEQQEFIEFFNEHIKVGAPQKRTLSVRVYGGPHSSEYAADKSELVASNSIVPIDDIFSFRRSQPLYGSFKGGLGQLKL >Manes.15G019800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1647599:1649123:1 gene:Manes.15G019800.v8.1 transcript:Manes.15G019800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEILRPQDCLIERIRVSPCRRRNFYGNSYANPKYYDSNPRFNRKPAVRSERTDQRKRQSEPTSISKRSNSVDDLKIAKNHYHGQKANNNSLLMEKVTILRRGESLDSKIRSSETAAVSKKDLGGDMVVTGTDRLGPEPEMVPKQIRIVDLSSPVTGKCDVYAGSAFAVSPAPSSLPLPSFSKKKQVRFDDSATRDLRRLLRLDV >Manes.16G130401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33124639:33126104:-1 gene:Manes.16G130401.v8.1 transcript:Manes.16G130401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGTTITGEADQCSKNDIAIAQGQVGMQPDGTQTFSVEITNTCSTGCSIANMHLICDFSSGIFINTKIFKKLARNDRVVNNGEPLAAGSALSFRYAAASQFPLSVSSTLC >Manes.02G028900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2509096:2511563:-1 gene:Manes.02G028900.v8.1 transcript:Manes.02G028900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKMCNLLPEMVSLFLLSFAFKISSSLATKGEGKLQTYIVFLEKPERAVLMRPKELDRWYQTFLPASALKSNQPHILYSYRNVIVGFAAKITAQEVKFMERKKGFVSARPEKMFSLHTTHTPSFLGLQPNIGFWNYSKYGKGVIIGLLDTGITPDHSSFSDKGMPSPPVKWKGKCEFSETTCNRKLIGARNFISAGVPPYDEIGHGTHTASTAAGSPVQGANFYGQANGTAVGIAPLAHLAMYKVCSEAGCGEAEILAAMDSAVDDGVDVLSLSLGGSPLPFYNDGIAIGAFRAIQKGTFVSCSAGNSGPDESSLSNEAPWIATVGASTVDREIRATVLLGNNSTFDGQSLFQPEDFPSFFFPLVYAGANGDELSAFCAPGSLRNVDIKGKIVLCERGGEIDRIGKGEEVLDGGGVAMILMNDELSGYVTSADAHVLPASHVSYMDGLAIKSYLDSIASPIATIKFEGTVTGLLDAPKVASFSSRGPSLASPGILKPDIIGPGVSILAAWPISADDGPNTKSTFNMISGTSMSCPHISGVAALLKSAHPDWSPAAIKSAIITTARLSNMEGKPISDQQLVPANVFDIGAGHVNPSEANNPGLVYDIQPEDYIPYLCGLGYSDKEIKIIVQRTVKCSNGSSIPEAQLNYPSFSIKLASDPRKYTRTVTNVGLSGSSFTHEIIAPRGVNVEVTPTTLVFNAVNQKATYSVTFSKNEDATGSFAQGYLTWKSDLYSVRSPIAVIFE >Manes.06G108100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24019954:24022427:-1 gene:Manes.06G108100.v8.1 transcript:Manes.06G108100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETVRYLAGIAGPSGYGSKSTAEQVTEDCACLFPLHLTAIITGATSGIGVETARVLAKRGVRVVIPARDLKKAAEVKKVIQKETPKAEIIIFETDLSCFASVKKFSSDFLALGLPLNILINNAGVFSQNLEFSEDKIEMTFATNCLGHFLLTELVLEKMIETAAEIGIQGRIINLSSVIHSWVKRDSFCFNQMINPNPKNYNGTRAYAQSKLANILHAKEMARQLKARNARVTINAVHPGIVKTGIIRAHKSFITDSLYFIASKLLKSTSQRVPMVQGASTTCYVALSPQTEGVSGKYFADCNESSCSALACDESEAHELLRQTTPLIYRSLYQQPLA >Manes.06G108100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24019601:24022471:-1 gene:Manes.06G108100.v8.1 transcript:Manes.06G108100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETVRYLAGIAGPSGYGSKSTAEQVTEDCACLFPLHLTAIITGATSGIGVETARVLAKRGVRVVIPARDLKKAAEVKKVIQKETPKAEIIIFETDLSCFASVKKFSSDFLALGLPLNILINNAGVFSQNLEFSEDKIEMTFATNCLGHFLLTELVLEKMIETAAEIGIQGRIINLSSVIHSWVKRDSFCFNQMINPNPKNYNGTRAYAQSKLANILHAKEMARQLKARNARVTINAVHPGIVKTGIIRAHKSFITDSLYFIASKLLKSTSQGASTTCYVALSPQTEGVSGKYFADCNESSCSALACDESEAHELLRQTTPLIYRSLYQQPLA >Manes.05G180000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29705106:29708249:1 gene:Manes.05G180000.v8.1 transcript:Manes.05G180000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALKEEVIRGLSPGRSRANSPSASASPVSSLLWRRKGRRNLQKQPEPLIIARSGSLRSMEALSPLREGPDQDDGEDSRMEGSWGHWMKGQLSRTPSVSSSNMFKRSDLRLLLGVLGAPLAPVHVSCAETSPHLSIKDNPIEATSAQYILQQYTAASGGQAVQNSILNAYAMGKVRMMASEFETANKVKKNQNSLKTAESGGFVLWKMNPDMWYVELALGGSKIHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPKTTASMFRNARCIGEKEINGDDCFILKICADSATLKARSEGPAEIIRHVLFGYFSQKTGLLVHIEDSHLTRIQNNEGDAVYWETTINSFLDDYRPVDGVMIAHSGRSVVTLFRFGDTAMSHTRTRMEEEWEIEEVAFNVPGLSMDCFIPPAELRFASISETC >Manes.14G074900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6269750:6270106:-1 gene:Manes.14G074900.v8.1 transcript:Manes.14G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETEESHKHEDKVEKKEGKHEKKEKHEDGDKKKGEGEEKSKDKKKKKDKDNKDKEDKEDDGKEKKKKNPEDKKDPTKLKAKLEKLETKIRDLNIKKEEIVKLLHEAETNAANAKPSE >Manes.07G126400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32916821:32919246:-1 gene:Manes.07G126400.v8.1 transcript:Manes.07G126400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGAVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAREHVVGWYSTGPKLRENDLDIHGLLNDYVSNPVLVIIDVQPVELGIPTKTYCAVEEERGVEHLLRDVKDASISTLATEVSGKLTVLKGLDARLREIRSYLDLVMDGKLPLNHEILYYLLV >Manes.09G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7830269:7831465:-1 gene:Manes.09G044000.v8.1 transcript:Manes.09G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSFPATSFAKRLEGKVALITGGASGIGESTARLFARHGAKVVIADVQSELGHSVAEKIQSESGQPVSYVQCDVSKDSDVENAVNTAVSMHGKLDIMFNNAGITGNYDPSISSVEYEDFKKVIDINLYGGLIGAKHAARVMIPERKGCILFTSSFASVCYGGIPHAYTASKHAIVGITKNLAVELGKHGIRVNCISPGGIPTPLAAKTMGDVDLKTVQEAFMGISNLKGVPVDANDVAEAALYLGSEESKFVSGLNMIVDGGFCLTNSGLTQIDH >Manes.17G082700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28865711:28868155:-1 gene:Manes.17G082700.v8.1 transcript:Manes.17G082700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVYSEISYKLWRNERKTILRTREGNNYQNKKMRKSTILSLSFFCFLFLSSSLLSSNSMDSTYSIISDKQEKGKFKPDENNLMQKHAFFFDRNQDGSVHPWETYQGLRALGAGVLLSTACGIFINGAFSQLTRPGKFPSLLFPIELRNIVLAKIKSGTDTYDEKGRFIEEKFENIFRDYARTHSDALTRSELSEMRRAHKKPKDYFGWISTWTQWSLTYHLFKDEHGLLKKDTLRGLYDGSTFEKREKELKEKRNALAKKKASI >Manes.01G139000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33091589:33094443:1 gene:Manes.01G139000.v8.1 transcript:Manes.01G139000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKEMPSPSSLFSAYASIATSFMLFRSMANELIPYPIRSHLFSAFRFFFKPRSSLLTLVIDESAGIARNQVFDASETYLCTKVSPNTERLKISKTPREKNLTIKLEKGEKIVDFYDGIELKWRFVCTESQKNDPNTHNPFMSRAEKRSFELIFHKKHKEKVLSSYVPYILEKSRAIKDEQRVLKIHTLNASQSYGGIKWESINLDHPATFETLAMDPEVRKAVIEDLERFVRRKDFYKRVGRAWKRGYLLYGPPGTGKSSLVAAMANHLKFDIYDLQLVNIMRDSDLRKLLLATGNRSILVIEDIDCSVDLPDRRLPDVRNNKQHDVQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDMHIHMSFCSFHAFKILASNYLAINSYHQLFPHIETLLNNVDITPAQVAEELMKNDDADIALEGLVKLLKRKKFQEDDLNIQDSKRPKIDGRRRNPVRTPSRRKAKRRYL >Manes.03G022751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1865111:1870095:-1 gene:Manes.03G022751.v8.1 transcript:Manes.03G022751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKELGNNCYLFQFFHELDVEKVIDGGPWNYQQIPIILHELQPNENPRRVELTKLLVWVQIHNVPSGYQSEQVVKDVGNFLGEYVASDPRNYMRIWRDFMRVRVFLDVRVPIKRDIIIQRPNCPDVCFNFEYERLNTFCYFCGIIGHGDRNCKLLYDMPKIPKERYPYGPWLKADSKKVKHSGAKWLRSERDILQSSRSQATPVDTAGYFFQPGQTSAGQGNSDKDNHIPIPSNQGIFSTNNANQMGKEGDNGGIAAHLNIQTGVKFTWNNGRTDLTRERLDRAVASNSWKSKFPMAKLHVLQTSESDHLPLFLFLGITIQKYVARRFRYENAWSLEMDCKKVVEDGWRVEQHADIEHRIFNCTQTIQQWAKHHQQEFRTQLNDAKRRMDWLQRQSALNSSELKKAQERYSNLLLQKEMYWKQRSKQYWLAHGDANTKYFHATASNRRRKNMFQKLKNDDGNWVEWESGLPNLILDFYTKLFTSEGGDTEPVLRYVQKRVTVRQNEKLMTDFSAEEVREAIFSMHPDKAPGPDGLNPGEDVTKACLKCLNEGNSLPGKNKTPEVVADLRPISLSNVVDRIVCKALANRLKSILADVVSDSQSAFIPNRLITDSIIRKTQGLMGFLSLKTDMAKAYDRIEWGYLKQIMLGLGFCELWVEKIMRIEYGPLFPHRGLRQGDPLSPYLFILCADGKIHGAQVCRNAPPISHIFFADDALFFTKATEGEAVHLKESLLAYEIASVMFTPCTPQPKRDVCCHIFGVQAVDNHGSYLGMPSIIGKDKKQIFQFVVEKLTKRIQGWKAKILSKAGKDVLLRTVAQAMPNYIMSLFLLPIDVCKELEMVMNSFFWNNGKSSSIHWLRWKRMVVPQANGGLGYRDLRLFNLALLAKQGWRILRHPDSLMAKILKQRYFPDTDFFSAEVGGNPSQIWRSICASRSILMAGCRRRIGDGLSTRVREDPWLLDDNPYIQSVFLDDEIQLVADLIQGGRWNSQFILSNFQARDVELIQQIPLSMRQQSDMWYWNQNRLGVYKVKEGYRLAIDEADHQFYLNDDMWERIWKVKVPAKVNFFIWRVLRGCLPCNFNLRKRKVEVQDACIVCGLFQETELHLLHDCTHAQAVWVSSIIGWEPIHDLKIWFSKLIMTGTHTQKEELFMLSWSLWHSRNQLLWQQKHEDSLVVLHRARSTLQQWQNAQLKPKENVVALARNRQWIAPEIGKFKCNIDIAILTNSRFGLGFILRNHQGLCEAGKIEAIYGNADPTIGEALCFREALSWLKTLPYHHVTVETDCQVVTKALISQDNEVLSYFQSIIVDCKTLLNELPNVSFRFVYRSANHVAHCCTRAAGSMSGLSWGVCPPNFLYEALMMDFNNMR >Manes.10G056800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:7354911:7355896:-1 gene:Manes.10G056800.v8.1 transcript:Manes.10G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYTTDHSSNPPIASDSVGAFPMTNFQAPKLLYKQRSWSPDTEKEELWLRRKSIHRMGRRNESVTDEDLEELKACIELGFGFGPDSPELDPKLSDALPALRFYCAVNKQYSNGFSRSSSSTSILSDCDSDTSSCSSIFDPGDDPETVKTRLKQWARMVACSVRQNSGKSQ >Manes.03G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5829135:5832347:-1 gene:Manes.03G058000.v8.1 transcript:Manes.03G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGIPTKNYQNVNVFVHSGKLYTTAENYPALETDIHTLESLDNWDFNGAWKGPFNSHPKVAPGSGELVIMGVDGQKPYYVIGVVSSKTSLKLLLHKVDLEFSRSVLSHEIGVTQNYNVIIDHPLLLDLTSIIKGGQLLKYDSKGKSRIGVMPRYGDKNSVKWFEVEPNCTFHLVNCFEDGDEVLVVRGCRASTSIIPGPDWGEDKFEWFSKGFNFSKSTENDADNSEENGCLFHRVHEWRLNMVTGTVDEKSLTGTDFSMDFPFINGEFTGLKHKYGYTQVIDSLASSTSGPRFLNPEGKIKVEYHKLEENVFCSGATFVSKSGGGEEDDGFIVSYVHDEVSSISQVLIIDAKKFKSQPIATITLPNRVPYGFHGTFISMRHDQA >Manes.07G037600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3978840:3985720:1 gene:Manes.07G037600.v8.1 transcript:Manes.07G037600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYPINTSNNSSCQPCASLSLSLSAPMAETLSSRVTSVKKIAETPGLTTIPSTYTFTFNSHDQVVSDTEEPVPVIDYSLLISNNPHQRSKIVHHLGKACQEWGFFMVINHGVPERLMRSMTDSCREFFDLPEVEKQEYEGKHVLDPIRCGTSFNTSVEKIFSWRDFLKIFVHPVFHSPSKPAGFRENLLEYSKRVREVARELVKGISESLGLEANYIDKALNLEQGLQISIANYYPPCTQPELAMGLPSHSDHDLLTILIQNGVTGLQVQHKGKWVNLNCYPNSFLVNIGDQLEILSNGKYKSVVHRAVVNKEAARISIAMFHGPSLDSVVSPAPELLDREGSAPAYIGIKYKQYLEFQQSNKLDGKSCLDQVRI >Manes.06G126602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25644114:25646530:-1 gene:Manes.06G126602.v8.1 transcript:Manes.06G126602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNGMESSQPLRERRGRREKSRNMLIALEERLARLEATMSEQREKCEDIDLCISELKSKGDVDELRDEMQGALNVAVDLVFKRGDKLEKTLRRLREKVDHVDVELNLCKTAVASSSGASTSGVAANISRGASTSGVAANIRYDAPKPKPYSGERSAREIDNFLWAVERYFEAMGILDDDAKIRNMPLFLNDIAMVWWRRRCEDVKRGTCTISTWDDFVKELKRQFYPENAESEAGAKLRRLQHRERHIREYVKEFSELLLEIPDMGEKVALFYFLDSLTEWAKLELQRRLVQDLASAMAATESLMRDSPRHHKDSTREESTKGNEGKEKVGDSPRLPIKCFICDGPHRAFNCPKRNALAALIKEMEEEKEQGGVASMGLLPTIESKKKDLPKEQIYVEAELLGKKIKAMMGIGAKKVYMDKGLVEELELSYSKHKGYVKGFDQYKMSIARVAKGVDLHIGQFRGKIDIIIVPLEEKLMYLGIDIFKEKGVFLMLYANTMGFVVKGQPQYVPIHREDWVGRRISVADFSSYIGAMVLIDEQHGSKEQE >Manes.09G141200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33989044:33991985:1 gene:Manes.09G141200.v8.1 transcript:Manes.09G141200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQLLVGPIPTAFDGSGALSSSSSASSFIAGFNSLIGPAWGWAHRHNRLSRLRKWGSVVVASSSWAAFNSEQDHYAVLGLARTASSADIKRAYRLLARKYHPDVSKHSRASELFKSIRHAYEVLSHEVSRTRYDRMLKFQENAGSSYGRKQSYSHEFEDGLRIYKWAELRRKMQRERYWERYNTSEDNSSSDSDTEEVAEEGNLDQERGPFSELLRSVFISLFLLQLFGSQISIAFSSLMALFDRKLDPGYKIGYLIAWILGGRGGILLIICLQFASWACGKTSSSMVALIVVAMWVGSNLARYAPLPQGALLTLLYMSMKLQADLN >Manes.11G060000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7983386:7985537:1 gene:Manes.11G060000.v8.1 transcript:Manes.11G060000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSMTVLDMKNNNFSAMVGADLLNSRSLSSLNFFDISNNKVSGPIPKLLCNLTDLVFLDLSKNRLYGSMPSCFNSSYLHFLFLQKNNLSGPIPHELLRSPNLVALDLRDNNFSGNIPSWIGQFSELQVLSLGGNALHGRIPNQLCELRNANIMDLSRNLLFGSVPACFSNISFCDNISFEMIEVVDIPNFMIIYLNNPDQIALNLHLPWVDWDYSELVEVEFATKYRYNSYKGDIINSMAGIDLSCNELSGSIPQEIGDLHEIRSLNLSHNHITGSIPVSFSNLRSLESLDLGNNNLSGEIPSELVALTFLGTFNVSYNNLSGRVPDGAQFGTFDENNYRGNPGLCGQRIHKSCKSDEAPQTPTPSADVEEEDEGGIDMVWFYWSFSGAYVTILLVLAAILRINRHWRMLWFYYVDVCIYSISIWVCRN >Manes.17G014001.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:6680622:6682040:1 gene:Manes.17G014001.v8.1 transcript:Manes.17G014001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSCRFSSLIFNSLIAVIYAPCYEKEQQCLWESITHGLSNLAEHVLIMGDFNQVLDRWERSSGNASSSSIRNFKKMVDDLALVDLDLCGRKFTWHGVILFLRVQLIELLDATFS >Manes.S051116.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1739793:1740167:-1 gene:Manes.S051116.v8.1 transcript:Manes.S051116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.18G145323.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:28207187:28219088:-1 gene:Manes.18G145323.v8.1 transcript:Manes.18G145323.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMHRVTMTLGIVPGPYFKELIVCQYKNLVRPTHGILLGQDPFDYHFPVLMAQMSLIFLTARFIYFLLRPFRQSIITAQILAGIFLGPSFLWYYIPAIMKLFPPGGRMILSVTAYLGVILHIFILGLRMDANLIRQSGACEVLIGLCAFAVPAIIGYLAFKTIVDIKDADEPVIKSIPSIVLINSMSAFPVITSVLSDLKILNSDLGRLAAKACMINDMSCFFMGQILFTRRFALGEGSYEAALQYVICFSSFVLTIVCILRPFIVRLTKYTRPGEAMEEFHFFCIMLVVFLCAFGSELVGQACFFGPFLFGLALPDGKPLSAGLEQRLQTISGGLLVPLFCAFGGLRMNLFAKGNGNSHDVVKLILAVTYTSKFIGVICPAVLCGVTYLDAFCLSLIMCCKGIIEIAIYVEWMDSKVVDVHSFNTLLTSLLIVTGFARPLVSCLYDPTKRYRTNSRRTIQGANYNMQMRVLVCIHNEDNVPMTIHLLKSCNPSRVSPVTVFVLHLMKLTASSAAILVPHYQGDTLKSDGNCSMHIFNAFERLEQQYKGTIAVQQFTAVAPYKSMHSDICTVAADKRTTIVIIPFHKQWSIDGKVGFVNRSIRIVNKNVMDWAPCSVGLLINRCQMDSNQSILSCDLSYSIALLFFGGDDDYEALAICGRMAGNPNVSLTVVLFKHDLCNYEVKKLECLIHHYAARDCRDRIHFKEFMVQNGEEITKVIRSLGDTFDLVIVGRHFDPRSPIASGLTEWSEVPELGLIGDMLSSSDFKFAVLVIVQQPLMTGLTELRTMNSSRSISSKVSSIFSSDEDRHTLVEK >Manes.14G159400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:21662816:21666679:1 gene:Manes.14G159400.v8.1 transcript:Manes.14G159400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLANYASSDDEEENHQQSSPSAPKLTVSSSLFSSLSQPKSSSFFLSLPQPKQRSLTPIIHRNDGNSNKNSIKEDEDDPKPSLKSFSSLFSSLPKPKTQTPQESISNLSPLGPTPKKVVQFKPPINPINVEPTDFDDEEEEEKNKKRRNESLSQSLSQSLSVKSFLSSIPAPKNSSVLGVLPSAAGSGRRSIIETNAPTSSSGGFGMENDQSIGNYEGGASGSHQLSYDQHRENYGNFGSFESGVSKDSIHSSVTGPDGSGYGSYESYDTYGNYGDYGQYGNNWGDGLATAVSGRTGPSESTLRLPGKRGRNDIPTEIIEVKQDELTKNRPREDQVKSTGIAFGPSYQPASTKGKPSKLHKRKHQIGSLYFDMKQKEMELAERRSKGFLTKAQTQAKYGW >Manes.07G121100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32544079:32549947:1 gene:Manes.07G121100.v8.1 transcript:Manes.07G121100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFVSSAIERIVDVLVREASLLYGVRDEVQQLQTELNRIRCFLQDADSKQDQDERVRNWVAEIRDIAYEADDVIDSFLLHRAQTGSRRRVCGLIKRTTSIFSEVPDLHEIGTQIKSIQAKIVSVSASMQNYGIKLDAEGEGLRSKSEMQRRLRRSYPHDQEDDVISLGVTIRNVKSQLLMEEEQRRVVSLVGMGGLGKTTLAKTIYNDIHVRQHFDCFSWSFLSQQFSTRDVLVGILMEVASKQDKFELVKLEEEKLLQSRLERIEHDVDSMKVQDLSKEQKKRIKEEQLSESIFKSMLKQEKKIEEEQLFRSMMQQLKVEPMFNSMLERMKEEKLVETLFKVLKSKRYLVVLDDIWKNEAWDSLKHAFPSMGKKGSKVLLTTRNKEVASYADPWSTPVEPSLLTNDEAWQLLSKKAFPRAILIQHGCPPEHEKLGREMVKKCRGLPLAVVVLGGLLATKKTLKEWEAVLRNINAQFVKWEQNHQYGGVYGILALSYHDMPFYLKPFFLYFSQFPEDWEIHKRVLIRMWIAEGFVPQAWAREGEETMEDVGEQCLEELVGRCMIQVSQRDHTGIGIKICRVHDLMRDMCILKAREENFLGVFEHYRKNIVARRIAIHPKISPEFAGQCSVPLQQGSYWGLRSVSYFPEEQMYWLTNDQRNHLFRESRMLRVLNLKGVSVGNLPNEIGDLIHLRYLGLRKTKILSLPMSIGKLRRLCTLDVRDNHLVRLPEVLWKLEDLRHLLIDLYNNILGHCHMDTLRNLETLRWADCQNLVLKNAMQNLTNLQNLAIKFGSRKVIDKVMKSPIFSTGRLRSLKIQGKQSSFPNLEPLSRSQRLTKLELHGIIPEDPESLGYLPRSITKLVWSNSQLMQDPMSFLEKLPHLRFLYLGEDSYKGTKMLCSAHGFPQLEILELEGLAALQEWEIKEGALPCLKILRLEKLGKLKMIPEGLKYVTN >Manes.07G121100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32544091:32549944:1 gene:Manes.07G121100.v8.1 transcript:Manes.07G121100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFVSSAIERIVDVLVREASLLYGVRDEVQQLQTELNRIRCFLQDADSKQDQDERVRNWVAEIRDIAYEADDVIDSFLLHRAQTGSRRRVCGLIKRTTSIFSEVPDLHEIGTQIKSIQAKIVSVSASMQNYGIKLDAEGEGLRSKSEMQRRLRRSYPHDQEDDVISLGVTIRNVKSQLLMEEEQRRVVSLVGMGGLGKTTLAKTIYNDIHVRQHFDCFSWSFLSQQFSTRDVLVGILMEVASKQDKFELVKLEEEKLLQSRLERIEHDVDSMKVQDLSKEQKKRIKEEQLSESIFKSMLKQEKKIEEEQLFRSMMQQLKVEPMFNSMLERMKEEKLVETLFKVLKSKRYLVVLDDIWKNEAWDSLKHAFPSMGKKGSKVLLTTRNKEVASYADPWSTPVEPSLLTNDEAWQLLSKKAFPRAILIQHGCPPEHEKLGREMVKKCRGLPLAVVVLGGLLATKKTLKEWEAVLRNINAQFVKWEQNHQYGGVYGILALSYHDMPFYLKPFFLYFSQFPEDWEIHKRVLIRMWIAEGFVPQAWAREGEETMEDVGEQCLEELVGRCMIQVSQRDHTGIGIKICRVHDLMRDMCILKAREENFLGVFEHYRKNIVARRIAIHPKISPEFAGQCSVPLQQGSYWGLRSVSYFPEEQMYWLTNDQRNHLFRESRMLRVLNLKGVSVGNLPNEIGDLIHLRYLGLRKTKILSLPMSIGKLRRLCTLDVRDNHLVRLPEVLWKLEDLRHLLIDLYNNILGHCHMDTLRNLETLRWADCQNLVLKNAMQNLTNLQNLAIKFGSRKVIDKVMKSPIFSTGRLRSLKIQGKQSSFPNLEPLSRSQRLTKLELHGIIPEDPESLGYLPRSITKLVWSNSQLMQDPMSFLEKLPHLRFLYLGEDSYKGTKMLCSAHGFPQLEILELEGLAALQEWEIKEEKEEIGKWEKKCRKITKG >Manes.07G121100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32544126:32549944:1 gene:Manes.07G121100.v8.1 transcript:Manes.07G121100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFVSSAIERIVDVLVREASLLYGVRDEVQQLQTELNRIRCFLQDADSKQDQDERVRNWVAEIRDIAYEADDVIDSFLLHRAQTGSRRRVCGLIKRTTSIFSEVPDLHEIGTQIKSIQAKIVSVSASMQNYGIKLDAEGEGLRSKSEMQRRLRRSYPHDQEDDVISLGVTIRNVKSQLLMEEEQRRVVSLVGMGGLGKTTLAKTIYNDIHVRQHFDCFSWSFLSQQFSTRDVLVGILMEVASKQDKFELVKLEEEKLLQSRLERIEHDVDSMKVQDLSKEQKKRIKEEQLSESIFKSMLKQEKKIEEEQLFRSMMQQLKVEPMFNSMLERMKEEKLVETLFKVLKSKRYLVVLDDIWKNEAWDSLKHAFPSMGKKGSKVLLTTRNKEVASYADPWSTPVEPSLLTNDEAWQLLSKKAFPRAILIQHGCPPEHEKLGREMVKKCRGLPLAVVVLGGLLATKKTLKEWEAVLRNINAQFVKWEQNHQYGGVYGILALSYHDMPFYLKPFFLYFSQFPEDWEIHKRVLIRMWIAEGFVPQAWAREGEETMEDVGEQCLEELVGRCMIQVSQRDHTGIGIKICRVHDLMRDMCILKAREENFLGVFEHYRKNIVARRIAIHPKISPEFAGQCSVPLQQGSYWGLRSVSYFPEEQMYWLTNDQRNHLFRESRMLRVLNLKGVSVGNLPNEIGDLIHLRYLGLRKTKILSLPMSIGKLRRLCTLDVRDNHLVRLPEVLWKLEDLRHLLIDLYNNILGHCHMDTLRNLETLRWADCQNLVLKNAMQNLTNLQNLAIKFGSRKVIDKVMKSPIFSTGRLRSLKIQGKQSSFPNLEPLSRSQRLTKLELHGIIPEDPESLGYLPRSITKLVWSNSQLMQDPMSFLEKLPHLRFLYLGEDSYKGTKMLCSAHGFPQLEILELEGLAALQEWEIKEEKEEIGKWEKKCRKITKG >Manes.07G121100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32544078:32550272:1 gene:Manes.07G121100.v8.1 transcript:Manes.07G121100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFVSSAIERIVDVLVREASLLYGVRDEVQQLQTELNRIRCFLQDADSKQDQDERVRNWVAEIRDIAYEADDVIDSFLLHRAQTGSRRRVCGLIKRTTSIFSEVPDLHEIGTQIKSIQAKIVSVSASMQNYGIKLDAEGEGLRSKSEMQRRLRRSYPHDQEDDVISLGVTIRNVKSQLLMEEEQRRVVSLVGMGGLGKTTLAKTIYNDIHVRQHFDCFSWSFLSQQFSTRDVLVGILMEVASKQDKFELVKLEEEKLLQSRLERIEHDVDSMKVQDLSKEQKKRIKEEQLSESIFKSMLKQEKKIEEEQLFRSMMQQLKVEPMFNSMLERMKEEKLVETLFKVLKSKRYLVVLDDIWKNEAWDSLKHAFPSMGKKGSKVLLTTRNKEVASYADPWSTPVEPSLLTNDEAWQLLSKKAFPRAILIQHGCPPEHEKLGREMVKKCRGLPLAVVVLGGLLATKKTLKEWEAVLRNINAQFVKWEQNHQYGGVYGILALSYHDMPFYLKPFFLYFSQFPEDWEIHKRVLIRMWIAEGFVPQAWAREGEETMEDVGEQCLEELVGRCMIQVSQRDHTGIGIKICRVHDLMRDMCILKAREENFLGVFEHYRKNIVARRIAIHPKISPEFAGQCSVPLQQGSYWGLRSVSYFPEEQMYWLTNDQRNHLFRESRMLRVLNLKGVSVGNLPNEIGDLIHLRYLGLRKTKILSLPMSIGKLRRLCTLDVRDNHLVRLPEVLWKLEDLRHLLIDLYNNILGHCHMDTLRNLETLRWADCQNLVLKNAMQNLTNLQNLAIKFGSRKVIDKVMKSPIFSTGRLRSLKIQGKQSSFPNLEPLSRSQRLTKLELHGIIPEDPESLGYLPRSITKLVWSNSQLMQDPMSFLEKLPHLRFLYLGEDSYKGTKMLCSAHGFPQLEILELEGLAALQEWEIKEEKEEIGKWEKKCRKITKG >Manes.07G121100.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32544078:32549947:1 gene:Manes.07G121100.v8.1 transcript:Manes.07G121100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFVSSAIERIVDVLVREASLLYGVRDEVQQLQTELNRIRCFLQDADSKQDQDERVRNWVAEIRDIAYEADDVIDSFLLHRAQTGSRRRVCGLIKRTTSIFSEVPDLHEIGTQIKSIQAKIVSVSASMQNYGIKLDAEGEGLRSKSEMQRRLRRSYPHDQEDDVISLGVTIRNVKSQLLMEEEQRRVVSLVGMGGLGKTTLAKTIYNDIHVRQHFDCFSWSFLSQQFSTRDVLVGILMEVASKQDKFELVKLEEEKLLQSRLERIEHDVDSMKVQDLSKEQKKRIKEEQLSESIFKSMLKQEKKIEEEQLFRSMMQQLKVEPMFNSMLERMKEEKLVETLFKVLKSKRYLVVLDDIWKNEAWDSLKHAFPSMGKKGSKVLLTTRNKEVASYADPWSTPVEPSLLTNDEAWQLLSKKAFPRAILIQHGCPPEHEKLGREMVKKCRGLPLAVVVLGGLLATKKTLKEWEAVLRNINAQFVKWEQNHQYGGVYGILALSYHDMPFYLKPFFLYFSQFPEDWEIHKRVLIRMWIAEGFVPQAWAREGEETMEDVGEQCLEELVGRCMIQVSQRDHTGIGIKICRVHDLMRDMCILKAREENFLGVFEHYRKNIVARRIAIHPKISPEFAGQCSVPLQQGSYWGLRSVSYFPEEQMYWLTNDQRNHLFRESRMLRVLNLKGVSVGNLPNEIGDLIHLRYLGLRKTKILSLPMSIGKLRRLCTLDVRDNHLVRLPEVLWKLEDLRHLLIDLYNNILGHCHMDTLRNLETLRWADCQNLVLKNAMQNLTNLQNLAIKFGSRKVIDKVMKSPIFSTGRLRSLKIQGKQSSFPNLEPLSRSQRLTKLELHGIIPEDPESLGYLPRSITKLVWSNSQLMQDPMSFLEKLPHLRFLYLGEDSYKGTKMLCSAHGFPQLEILELEGLAALQEWEIKEGALPCLKILRLEKLGKLKMIPEGLKYVTN >Manes.15G100600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863587:7872380:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863590:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863577:7872362:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863590:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863590:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863577:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7865024:7872528:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863590:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863532:7872528:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863589:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863577:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863577:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863587:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863590:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863590:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863401:7872528:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863590:7872362:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863527:7872527:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.15G100600.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7863577:7872357:1 gene:Manes.15G100600.v8.1 transcript:Manes.15G100600.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMEISIMHHVGIVLFLLWLLSFFNQCHPIAYFISLIYLFAVHERYVVRLRRKLQFHERKQANQKRVLSDSETVRWLNHAMEKIWPICMEQIASQKILLPIIPWFLNKYKPWTAKKAVVQHMYLGRNPPLLTEMRVLRECSSDDHLALELGMNFCTADDMSAILAVKLRKRLGFGMWAKLHMTGMHVEGKVLIGVKFLRHWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDVEKFASAEPESWFSVDEKEPIAYAKVEVVEASDMKPSDLNGLADPYVKGQLGPYKFRTKIQRKTLAPKWHEEFKIPICTWDLPNVLAIEVRDKDRFVDDSLGDCTINISDLRDGERHDMWLPLQKIKMGRLHLAITVLEENIKGGANFFDGEPLRKEEMGDSFTSETANSASFSSRTTDKSPDNFEPINIEGQEGTGIWVHQPGSEVSQTWEPRKGKSKRLDNQVDGVPGDSFGGTHSGPLNNESSSSDENTEGKRTMNKVRHGLHKLSSVFRRGPKNEENSGRNEETVQSPYANIKAVNHKEIGVKFIVEDTLPASAVVKNPKEVNLSPEGSGSESPGKGNVKGMAKSILKRAEKSARNIKHVLSRKGSRKSPSGLSDVTEQEISPESDSSDDESLTSPQVQRIPVVSSSTSSSYGNDLDDKIKERVVQAGSSESAEDQMKNVDVEGLERIDENEVASSSSNGGNGLEELSEPQQTGKKLDGNGLQELSSRQLPGKKLDDNRPEEFSNTEVPEDKSEGEMRSGAENVSL >Manes.03G034300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2858309:2859557:1 gene:Manes.03G034300.v8.1 transcript:Manes.03G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDGQYGHMHSLINIRLPLCRETPVLFHSTLYALSKHSSTQTMTDSASTPPMWGFTAGWFTSASLFLLLNLVIGTIVLTSRFSSNRRHQEQEQLRPLARAPSLLERVKSFDLSFYNYLPLHPEATADSVPDAEPEYKPGVQLERTPSFLERMKSIKLSSFYRSEPETEFAAEPESESHDTSQGHATGVEVEHQVKRSKSEPRVGAERRETEKMKKSASEREVAVEEDREDVERRRPATTRLEKTESFGDEAVDAKADDFINRFKQQLKLQRLDSLLRYRDMLKGK >Manes.13G075841.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11354890:11356601:1 gene:Manes.13G075841.v8.1 transcript:Manes.13G075841.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSAKRRKVLFAENCEAPNNNNGIDRISDLPDDILHHILFLLPIKSIAQTSVLAKRWRSLWSSFPDLDFTTINTTSTSLITNISTTRRSPHSLSMDFIPQVLALRDKHSDLRTLRFRARLSFSGLNGLIRCAIRHNVQELDVEVATEDYFNFPRGAITSESLRVFKLKSRYPGFRLLPSSLMTGGFRSLHTLSLSLVILYEQSSLLDLFTESSFPRLKKLNLDACFGMKHLKVSCRALEDLTLENCFQLYGLDICCVKLEKLRVTSCFDAYYDDSLVQINAPRLRIILWEYNAITGTSCLQNLTSLCEASVGFFLLHEDISMEKLQSVCDLLSGLSHAHSLTLESQCIEILSSLKNSSHFLHPFNNIKILELHTDFNKNIVPGLASIFRSSPILHTLILRIVNDYKIERRQWNRDLWDMSTSKEEQYWESQIQTLKPFLSHLKVVKIHGFLECENEVSLAKFLLKHGKNLQEMTLCTAHCNYRDSLRRQKVRSQMMGFSWASSNAKIAFQ >Manes.01G253800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41162383:41163783:1 gene:Manes.01G253800.v8.1 transcript:Manes.01G253800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHMHVAVLPWSAFGHIMPFFQLSLALAKAGVHVSFLSTPRNIQRLPKLSPTLTHLIHLVELPLPTLDGDSRLPQGAEATVDITSDKVQYLKVAYDLLQHPFKQFVAEKLPNWILVDFCPYWAGDIAKQFGVPLLYLSVFSGAMRAFMGSPEYLCGDGLKRIRPTPESLTSPPPWITFPSSVAFRSYEARNFYPGFYIVNASGVRDSERVAKTMAACQAVVVRSCTEFEGKYLKVYEEVLGKPVIPIGLLPSEKPEKREITDETWRKTFEWLDNQQHKSVVFVGFGSECKLTKDQVYEIAYGLELSELPFLWALRKPSWAIEDIDVLPPGFSDRTSKKGIVCIGWAPQQEILAHPSIGGSLFHSGWGSVIETLQYGHCLIVLPFIFDQGVNARLLVEKGLAVEVDRKDDGSFTRHGIDKSLRLAMISEEGKQMRDQAKKAAAIFGDRDLHQVYITRFVEYLKKGA >Manes.10G029300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2968716:2974268:-1 gene:Manes.10G029300.v8.1 transcript:Manes.10G029300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRQDYGFNGFHVPFIPRAPRSARRGLHKKKVDDSQICAFELLASLAGKLLQQSETSSSSSASDPNDQPAVGDDVIKQEQEEDKVLKTEFVDQGSCEESAFIPEFAPSIIDRKCILKESQHSESDSILDQSSIITNADSSKKISTDVKSMICKSKNSCHDFPCKIERAFPYDGEYRDDFVENGFSRRREADALETGGLTTDNTCDLKDSSKKYPPPINSENDVKVPLRRDPVPNASILRLMNDSKLGIRDDDENFSRCNNPGTMPRAYRHPSRIGDRRIRKLLTSKYWKVAPTLKDCELSKVAFLDGGMRPLHRKRKICYSRERYQQDTLYKKRKFSDHSLVVTSDGGFSSESVCNSPEKGINVDKNVPATMFHGGNEVSMSSSTIGHQASLHSEDSHVKFSIKSFRIPELFIEVPETATVGSLKRTVMEAVTAILGGGLRVGVLCHGKKVRDDNRTLLQAGISSKENLDTLGFTLEPTPVQAQPIVCTEAPPIPLPCDNSQIVPRSPAAPVLDLGISDGSPDPPPLTSSGNNIDSNHESGSSHTDKSTDKVLSDSRALVPVPPVSVEALAVVPVNQKARRSELVQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRSFENADHRTYVDLKDKWKTLVHTAKIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKTQAAVLKITESHGGRNGVEGEIHML >Manes.10G029300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2968716:2974271:-1 gene:Manes.10G029300.v8.1 transcript:Manes.10G029300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRQDYGFNGFHVPFIPRAPRSARRGLHKKKVDDSQICAFELLASLAGKLLQQSETSSSSSASDPNDQPAVGDDVIKQEQEEDKVLKTEFVDQGSCEESAFIPEFAPSIIDRKCILKESQHSESDSILDQSSIITNADSSKKISTDVKSMICKSKNSCHDFPCKIERAFPYDGEYRDDFVENGFSRRREADALETGGLTTDNTCDLKDSSKKYPPPINSENDVKVPLRRDPVPNASILRLMNDSKLGIRDDDENFSRCNNPGTMPRAYRHPSRIGDRRIRKLLTSKYWKVAPTLKDCELSKVAFLDGGMRPLHRKRKICYSRERYQQDTLYKKRKFSDHSLVVTSDGGFSSESVCNSPEKGINVDKNVPATMFHGGNEVSMSSSTIGHQASLHSEDSHVKFSIKSFRIPELFIEVPETATVGSLKRTVMEAVTAILGGGLRVGVLCHGKKVRDDNRTLLQAGISSKENLDTLGFTLEPTPVQAQPIVCTEAPPIPLPCDNSQIVPRSPAAPVLDLGISDGSPDPPPLTSSGNNIDSNHESGSSHTDKSTDKVLSDSRALVPVPPVSVEALAVVPVNQKARRSELVQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRSFENADHRTYVDLKDKWKTLVHTAKIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKTQAAVLKITESHGGRNGVEGEIHML >Manes.10G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2968716:2974268:-1 gene:Manes.10G029300.v8.1 transcript:Manes.10G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRQDYGFNGFHVPFIPRAPRSARQRGLHKKKVDDSQICAFELLASLAGKLLQQSETSSSSSASDPNDQPAVGDDVIKQEQEEDKVLKTEFVDQGSCEESAFIPEFAPSIIDRKCILKESQHSESDSILDQSSIITNADSSKKISTDVKSMICKSKNSCHDFPCKIERAFPYDGEYRDDFVENGFSRRREADALETGGLTTDNTCDLKDSSKKYPPPINSENDVKVPLRRDPVPNASILRLMNDSKLGIRDDDENFSRCNNPGTMPRAYRHPSRIGDRRIRKLLTSKYWKVAPTLKDCELSKVAFLDGGMRPLHRKRKICYSRERYQQDTLYKKRKFSDHSLVVTSDGGFSSESVCNSPEKGINVDKNVPATMFHGGNEVSMSSSTIGHQASLHSEDSHVKFSIKSFRIPELFIEVPETATVGSLKRTVMEAVTAILGGGLRVGVLCHGKKVRDDNRTLLQAGISSKENLDTLGFTLEPTPVQAQPIVCTEAPPIPLPCDNSQIVPRSPAAPVLDLGISDGSPDPPPLTSSGNNIDSNHESGSSHTDKSTDKVLSDSRALVPVPPVSVEALAVVPVNQKARRSELVQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRSFENADHRTYVDLKDKWKTLVHTAKIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKTQAAVLKITESHGGRNGVEGEIHML >Manes.10G029300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2968716:2974267:-1 gene:Manes.10G029300.v8.1 transcript:Manes.10G029300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKRQDYGFNGFHVPFIPRAPRSARQRGLHKKKVDDSQICAFELLASLAGKLLQQSETSSSSSASDPNDQPAVGDDVIKQEQEEDKVLKTEFVDQGSCEESAFIPEFAPSIIDRKCILKESQHSESDSILDQSSIITNADSSKKISTDVKSMICKSKNSCHDFPCKIERAFPYDGEYRDDFVENGFSRRREADALETGGLTTDNTCDLKDSSKKYPPPINSENDVKVPLRRDPVPNASILRLMNDSKLGIRDDDENFSRCNNPGTMPRAYRHPSRIGDRRIRKLLTSKYWKVAPTLKDCELSKVAFLDGGMRPLHRKRKICYSRERYQQDTLYKKRKFSDHSLVVTSDGGFSSESVCNSPEKGINVDKNVPATMFHGGNEVSMSSSTIGHQASLHSEDSHVKFSIKSFRIPELFIEVPETATVGSLKRTVMEAVTAILGGGLRVGVLCHGKKVRDDNRTLLQAGISSKENLDTLGFTLEPTPVQAQPIVCTEAPPIPLPCDNSQIVPRSPAAPVLDLGISDGSPDPPPLTSSGNNIDSNHESGSSHTDKSTDKVLSDSRALVPVPPVSVEALAVVPVNQKARRSELVQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRSFENADHRTYVDLKDKWKTLVHTAKIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKTQAAVLKITESHGGRNGVEGEIHML >Manes.16G090100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29659852:29664493:-1 gene:Manes.16G090100.v8.1 transcript:Manes.16G090100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEGKVKFTSLSKQEKEKNLYSHVDDYSNSVWPASNGAASHQLGSKSAKEDISFQFPFDSEDFIDGGYDSSEDPCNAMEISRPPEVNLKNVLSGIVAILTGRNKGPSVSLHQQLPSSNVSFLGSEKNGDTYLHSSVYIPSAPPLLEPSGINYSANKEVLEAEPPEWLPDSSTTVCMQCTAPFTALTRGRHHCRFCGGVFCRACTKGRCLLPVKFRERDPQRVCDACYDRLDPLQGILINTISNAVQAAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASNTLRSYCQIGGELLDFIIVLHDTKAVKTFCSRMHLSLGAGCSAAAGPIGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNVVATRMDTNLRFYGDPYLTTNDILLGTVDRPKAAQPLYAAVEDLYSSLRS >Manes.16G090100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29659852:29664493:-1 gene:Manes.16G090100.v8.1 transcript:Manes.16G090100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEGKVKFTSLSKQEKEKNLYSHVDDYSNSVWPASNGAASHQLGSKSAKEDISFQFPFDSEDFIDGGYDSSEDPCNAMEISRPPEVNLKNVLSGIVAILTGRNKGPSVSLHQQLPSSNVSFLGSEKNGDTYLHSSVYIPSAPPLLEPSGINYSANKEVLEAEPPEWLPDSSTTVCMQCTAPFTALTRGRHHCRFCGGVFCRACTKGRCLLPVKFRERDPQRVCDACYDRLDPLQGILINTISNAVQAAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASNTLRSYCQVARLNPERSIPLAVLKGAKGLAILTVVKAGVLVAYKLGTGLVIARRSNGSWSAPSAICSVGLGWGAQIGGELLDFIIVLHDTKAVKTFCSRMHLSLGAGCSAAAGPIGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNVVATRMDTNLRFYGDPYLTTNDILLGTVDRPKAAQPLYAAVEDLYSSLRS >Manes.16G090100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29659851:29664493:-1 gene:Manes.16G090100.v8.1 transcript:Manes.16G090100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEGKVKFTSLSKQEKEKNLYSHVDDYSNSVWPASNGAASHQLGSKSAKEDISFQFPFDSEDFIDGGYDSSEDPCNAMEISRPPEVNLKNVLSGIVAILTGRNKGPSVSLHQQLPSSNVSFLGSEKNGDTYLHSSVYIPSAPPLLEPSGINYSANKEVLEAEPPEWLPDSSTTVCMQCTAPFTALTRGRHHCRFCGGVFCRACTKGRCLLPVKFRERDPQRVCDACYDRLDPLQGILINTISNAVQAAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASNTLRSYCQVARLNPERCALT >Manes.16G090100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29659852:29664493:-1 gene:Manes.16G090100.v8.1 transcript:Manes.16G090100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEGKVKFTSLSKQEKEKNLYSHVDDYSNSVWPASNGAASHQLGSKSAKEDISFQFPFDSEDFIDGGYDSSEDPCNAMEISRPPEVNLKNVLSGIVAILTGRNKGPSVSLHQQLPSSNVSFLGSEKNGDTYLHSSVYIPSAPPLLEPSGINYSANKEVLEAEPPEWLPDSSTTVCMQCTAPFTALTRGRHHCRFCGGVFCRACTKGRCLLPVKFRERDPQRVCDACYDRLDPLQGILINTISNAVQAAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASNTLRSYCQVARLNPERSIPLAVLKGAKGLAILTVVKAGVLVAYKLGTGLVIARRSNGSWSAPSAICSVGLGWGAQIGGELLDFIIVLHDTKAVKTFCSRMHLSLGAGCSAAAGPIGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNVVATRMDTNLRFYGDPYLTTNDILLGTVDRPKAAQPLYAAVEDLYSSLRS >Manes.16G090100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29659851:29664493:-1 gene:Manes.16G090100.v8.1 transcript:Manes.16G090100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFEGKVKFTSLSKQEKEKNLYSHVDDYSNSVWPASNGAASHQLGSKSAKEDISFQFPFDSEDFIDGGYDSSEDPCNAMEISRPPEVNLKNVLSGIVAILTGRNKGPSVSLHQQLPSSNVSFLGSEKNGDTYLHSSVYIPSAPPLLEPSGINYSANKEVLEAEPPEWLPDSSTTVCMQCTAPFTALTRGRHHCRFCGGVFCRACTKGRCLLPVKFRERDPQRVCDACYDRLDPLQGILINTISNAVQAAKHDVMDWTCTRGWLNLPVGLSMEHEIYKASNTLRSYCQVARLNPERCALT >Manes.03G157750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28582071:28591808:-1 gene:Manes.03G157750.v8.1 transcript:Manes.03G157750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFRHIQTQPLLLYPSAFFRRKNFAFYCTVKNTTQSQTASRENQRSPQIKVSPQKQSKNLDKLTKDYEAIIGIETHVQLSTLTKAFCGCPYNYGAPPNSSICPICMGLPGALPVLNSKVIEFAVRLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDVPIATGGYIDLDLPVEFGGGHRKFGITRVHMEEDAGKLLHSGNGSYSQVDLNRAGVPLLEIVSEPDMRNGIEAAEYAAELQRVVRYLGVSNGNMQEGSLRCDVNVSIRPNGQPEFGTKVEIKNLNSFSSINRAIDFEISRQVHLHSQGQGDSIVQETRLWEEGSQKTVSMRKKEGLSDYRYFPEPDLPEVIITKDYVDSIQNSLPELPEMKRRRYESMGLSMQDVLFLANDISVADFFDATIAKGADVKLATNWIMGDIAAYMKNEKVSINEIKLTPQELAELIASIKGGTISGKIGKEILFELIAKGGTVKGLIKEKDLVQIVDPAEIEKLVDVVLSENPKQLEQYRAGKTKLQGYFAGQVMKVSKGKANPALLNKILVEKLNAKS >Manes.12G077300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:9280472:9282708:-1 gene:Manes.12G077300.v8.1 transcript:Manes.12G077300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFGGVVICLLIVAIDVAAGILGIQAEIAQNQVKHLRLWIFECRDPSQDAFKLGLAAAAMLGVAHVIANLLGGCMCICSQEELQRASPNKQLSVACFFFSWIILAVGLSMLVIGTLSNNKSRASCGFSHHHFFSIGGILCFVHGLFCVAYYVSATAVLSEEEKYGGA >Manes.05G168700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28246076:28249740:1 gene:Manes.05G168700.v8.1 transcript:Manes.05G168700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSIKAALVYLGTALVKLVCLATFLRVSENDSFDPYQELLKALISFVDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGPEFTWDFILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLMMAFISWQLFSTCQRPSS >Manes.06G024800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5216324:5218672:-1 gene:Manes.06G024800.v8.1 transcript:Manes.06G024800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKAYIEEYGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSNINRLSSETSDSNRVEESSSSMALSQSALERLQLHIQLQSLQNPFSFYNNPALWPKLHPFQEKMLLQSMNESSNTLMQQFLNSPHGNGQKLDIYEQLCGSDTLDQDYLKFDNPKVDCLENSLKGLASTDSSIPFVNGNNVADSSMGVRVDAVEQSDAANQPVSTFQPELENFISNKTSGFVSQQDQFAEFDCFKEMNASKDSLIWWSNEFETKSASSTSWESTSALQTEGMFQDYELSYNM >Manes.03G027700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2242873:2248062:1 gene:Manes.03G027700.v8.1 transcript:Manes.03G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTATAILLYITTSFCLLFSSPPKSYYHSLYISNSLSDNASVSHHLYMLTRRPHVAGTEANAEVADYVLSTLASYNIKSHIISYGVSLTYPVSRSLILTNPPPEKPIMFDLHQQIYDGDPYADVAKEVLPTFHAYAKSGTVSGPVVYVNYGRVEDYKTLKEMGVNVSGAIVLARYGEIYRGDIVHNAYEEGAVGAVIYTDRKDYGGGAGGAGGEGWFPDAKWMPPSGVQVGSVYDGSGDPTTPGWASIQGCERLSDDEVEKGGFVPLIPSLPISAADGEIIMRSMGGQVAKEDWQGSKDGPTYRVGPGPGVLNLSYTGKQTIATIQNVIGVIEGAEEPDRFVLLGNHRDAWTFGAVDPNSGTAALLEVAHRFKKLQKRGWKPRRTIILCNWDAEEYGLTGSTEWVEDNREMLASRAVAYLNVDSAVAGAGFYAFATPQLDELLIQATKQVQDPDNSSQTIYEWWTSSSNTPVIRRLGGAGSDYAAFVQHIGVASADISYGLAYPVYHSMYDDFIWMKKFGDPMFHRHIAVASVWGLVALRLADEEFLPFNYLSYAHELQKSAKELENEISDKGIFLDPLYKSIEDLTKAATKINNERKAIEESGGWASILKKDHLRVRELNDRLMMAERAFTDREGLSGRPWNKHLIYAPSKHNDYGSTSFPGIDDAIEKAKKPNTADSWNDVQHEVWRVSRAIRHVSLVLRGELT >Manes.03G027700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2242873:2248062:1 gene:Manes.03G027700.v8.1 transcript:Manes.03G027700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTATAILLYITTSFCLLFSSPPKSYYHSLYISNSLSDNASVSHHLYMLTRRPHVAGTEANAEVADYVLSTLASYNIKSHIISYGVSLTYPVSRSLILTNPPPEKPIMFDLHQQIYDGDPYADVAKEVLPTFHAYAKSGTVSGPVVYVNYGRVEDYKTLKEMGVNVSGAIVLARYGEIYRGDIVHNAYEEGAVGAVIYTDRKDYGGGAGGAGGEGWFPDAKWMPPSGVQVGSVYDGSGDPTTPGWASIQGCERLSDDEVEKGGFVPLIPSLPISAADGEIIMRSMGGQVAKEDWQGSKDGPTYRVGPGPGVLNLSYTGKQTIATIQNVIGVIEGAEEPDRDAWTFGAVDPNSGTAALLEVAHRFKKLQKRGWKPRRTIILCNWDAEEYGLTGSTEWVEDNREMLASRAVAYLNVDSAVAGAGFYAFATPQLDELLIQATKQVQDPDNSSQTIYEWWTSSSNTPVIRRLGGAGSDYAAFVQHIGVASADISYGLAYPVYHSMYDDFIWMKKFGDPMFHRHIAVASVWGLVALRLADEEFLPFNYLSYAHELQKSAKELENEISDKGIFLDPLYKSIEDLTKAATKINNERKAIEESGGWASILKKDHLRVRELNDRLMMAERAFTDREGLSGRPWNKHLIYAPSKHNDYGSTSFPGIDDAIEKAKKPNTADSWNDVQHEVWRVSRAIRHVSLVLRGELT >Manes.09G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6696883:6697208:1 gene:Manes.09G034000.v8.1 transcript:Manes.09G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKTLLSISCLMLLVMSCLAQGKVTLCPKEEKLPGPCEDIGGRYLCYIHFLGKYGLESKPQNCKCSPSGSDQKLCYCEIIC >Manes.09G171400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36239782:36241821:1 gene:Manes.09G171400.v8.1 transcript:Manes.09G171400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPPPDNGLGKLRKHSTFNFRSSSGKETEGRNARSYGEDAPEEVTRVTRSIMIVKPPGYQNGSPPVSPAGSTPPVSPFSGKEAESPFGFEEDQHRMHTKRQARLDPGVLLLLTTCEI >Manes.09G171400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36239782:36240750:1 gene:Manes.09G171400.v8.1 transcript:Manes.09G171400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPPPDNGLGKLRKHSTFNFRSSSGKETEGRNARSYGEDAPEEVTRVTRSIMIVKPPGYQNGSPPVSPAGSTPPVSPFSGKVCFRSNRMIIMALVFLQNA >Manes.09G171400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36239778:36241821:1 gene:Manes.09G171400.v8.1 transcript:Manes.09G171400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPPPDNGLGKLRKHSTFNFRSSSGKETEGRNARSYGEDAPEEVTRVTRSIMIVKPPGYQNGSPPVSPAGSTPPEAESPFGFEEDQHRMHTKRQARLDPGVLLLLTTCEI >Manes.09G171400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36239777:36242078:1 gene:Manes.09G171400.v8.1 transcript:Manes.09G171400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPPPDNGLGKLRKHSTFNFRSSSGKETEGRNARSYGEDAPEEVTRVTRSIMIVKPPGYQNGSPPVSPAGSTPPVSPFSGGRESFRFRRRSTSDAYEKASEAGPRGPSPPYDV >Manes.06G173330.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29740778:29742615:-1 gene:Manes.06G173330.v8.1 transcript:Manes.06G173330.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSFSDSDSLFNFVVRDGNGVKGMVDSGITKVPQQYVQPKEERINKSDATLNDNPPIDLSKLDGPDHDQVVEEIVRAAENLGFFQVVNHGVPVELLESLKETAHNFFGQPPEKKAIYHKGSSACPSSSPSRNPLVKYGTSFAPEKEKALEWKDYVSMTYTNDTEALEFWPKECKEVSLEYLKTSIKMVRKLVEVLMGKLGVTLDDAKMNALTGLKTVNMNYYPACPNPELTVGVGRHSDLGTITVLLQDGIGGLYVRLEEDINGKKKGEWMEIPPIPGALVINIGDTLEILSNGRYKSAEHRVRTTSTQSRVSVPVFTIPKRTEKIGPLPQVVERDGVARYREVLFEDYLNNFFGNAHEGKKSLDFARIF >Manes.02G114900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8849638:8850105:-1 gene:Manes.02G114900.v8.1 transcript:Manes.02G114900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMAVTITAFKDNTFEFTVKSPSVTWYLKKAAGIESGSSRPGHVVASTVTLKHVYEIAKVKQSDPYCQYMSLESISKSIIGTANSMGIKVVKDLD >Manes.13G031300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4028454:4033574:-1 gene:Manes.13G031300.v8.1 transcript:Manes.13G031300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNDADLIEEDDVDAGNMEEDVDGDMADIETLNYDDLDCVSKLQKTQRYNDIMQKVEGALEKGSDISNQGLVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLQKTIDACDRALALDAAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQTTPPALRMRACRLLAAKSTLAARVDSTRGDPSGNTGRALREEICKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYALTDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQVCFHLLSPGS >Manes.13G031300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4028403:4033573:-1 gene:Manes.13G031300.v8.1 transcript:Manes.13G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNDADLIEEDDVDAGNMEEDVDGDMADIETLNYDDLDCVSKLQKTQRYNDIMQKVEGALEKGSDISNQGLVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLQKTIDACDRALALDAAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQTTPPALRMRACRLLAAKSTLAARVDSTRGDPSGNTGRALREEICKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYALTDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >Manes.13G031300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4027575:4033571:-1 gene:Manes.13G031300.v8.1 transcript:Manes.13G031300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNDADLIEEDDVDAGNMEEDVDGDMADIETLNYDDLDCVSKLQKTQRYNDIMQKVEGALEKGSDISNQGLVLEDDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEEVLQKTIDACDRALALDAAKKKVLDFVESRMGYIAPNLSAIVGSAVAAKLMGTAGGLSALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQTTPPALRMRACRLLAAKSTLAARVDSTRGDPSGNTGRALREEICKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYALTDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >Manes.04G078866.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28094382:28095956:-1 gene:Manes.04G078866.v8.1 transcript:Manes.04G078866.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGYRFHPTDEELVNHYLRLKMLGYDDQVQEIPEVNLLDFEPWDLPHIEHPEAAIANNSNDQVWYFFCPRNYKYSNSNRAKRTTNAGYWKVTSKDRRINENGIKKTLVFYQGRPKGVKTNWVMHEYNPTFGFRTQRDLVLCKLKRRPDDADDVDDVPTQEEGGSSTMVASASGNNAADEDSSQLQAYMDSLGGINETDYNLNSAMQWPTNYYN >Manes.04G078866.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28094163:28096095:-1 gene:Manes.04G078866.v8.1 transcript:Manes.04G078866.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGYRFHPTDEELVNHYLRLKMLGYDDQVQEIPEVNLLDFEPWDLPHIEHPEAAIANNSNDQVWYFFCPRNYKYSNSNRAKRTTNAGYWKVTSKDRRINENGIKKTLVFYQGRPKGVKTNWVMHEYNPTFGFRTQRDLVLCKLKRRPDDADDVDDVPTQEEGGSSTMVASASGNNAADEDSSQLQAYMDSLGGINETDYNLNSAMQWPTNYYN >Manes.04G078866.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28094382:28095956:-1 gene:Manes.04G078866.v8.1 transcript:Manes.04G078866.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGYRFHPTDEELVNHYLRLKMLGYDDQVQEIPEVNLLDFEPWDLPHIEHPEAAIANNSNDQVWYFFCPRNYKYSNSNRAKRTTNAGYWKVTSKDRRINENGIKKTLVFYQGRPKGVKTNWVMHEYNPTFGFRTQRDLVLCKLKRRPDDADDVDDVPTQEEGGSSTMVASASGNNAADEDSSQLQAYMDSLGGINETDYNLNSAMQWPTNYYN >Manes.04G078866.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28094374:28096095:-1 gene:Manes.04G078866.v8.1 transcript:Manes.04G078866.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIGYRFHPTDEELVNHYLRLKMLGYDDQVQEIPEVNLLDFEPWDLPHIEHPEAAIANNSNDQVWYFFCPRNYKYSNSNRAKRTTNAGYWKVTSKDRRINENGIKKTLVFYQGRPKGVKTNWVMHEYNPTFGFRTQRDLVLCKLKRRPDDADDVDDVPTQEEGGSSTMVASASGNNAADEDSSQLQAYMDSLGGINETDYNLNSAMQWPTNYYN >Manes.12G048800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4539116:4544959:-1 gene:Manes.12G048800.v8.1 transcript:Manes.12G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ3 MASKLLMRVGSIRVPKIAPNPNPLLNATRRLFAHQPISAPPHSPPSSPPDNGRSTHIKSQPTSSLKELELAKFSAIADSWWDSEGPFKPLHVMNPTRLAFLRSTLCRHFRKDPHMARPFEGLKIVDVGCGGGILSEPLARMGATVTGIDALEKNINIAHLHADLDPVTPTIDYCCTTAESLVKEQRMFDAVIALEVIEHVADPAEFCKSLSALTHPGGATVVSTINRSMRSYATAIVAAEYLLHWLPKGTHQWSSFLTPEELVLILQRASINVKEMAGFVYNPLTGRWSLSDDISVNFIAFGTKSGE >Manes.S048516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1542965:1543390:-1 gene:Manes.S048516.v8.1 transcript:Manes.S048516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.17G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:4466311:4471678:1 gene:Manes.17G009700.v8.1 transcript:Manes.17G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRPLAASRRDLINGKKDSTQAVVSGIFQITTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHHAGVSQSKKIADAKLAKDFQAVLREFQKAQRLAAERETAYTPFVPQAVLPSSYTASEMDMKSDTSPEQRALLVESRRQEVLLLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGAMIDDIGSHIENAQAATAQGKTQLVKAAKTQRSNSSLACLLLVIFGIVLLIVIVVLAA >Manes.09G138400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33810502:33815618:1 gene:Manes.09G138400.v8.1 transcript:Manes.09G138400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKLQELCHQKAWLLPDYATVKGGPDHDPRFQATVTVNGLSFHSQSPAKSSKQAQNDAAKFAIDHFFSSRSSPPPPPPPPPAAAAAAATPVPSSATADFSSNLSSGGALQFKEQETSQIPEGNGPATIAKNEERFTDMQHLYKNQLQSYAQKRNLTLPVYSCDRVGPPHASRFKCKVTIGGQAYESQEFFPTLSKAEHAAARAALMSLAPNGVEDDESAYKNLLQELAQKECYPLPSYTTMVSGEAHRPTFVSTVDVEGELFTGQEARTKKQAELIAAKVAYTALKQRTADIVSCDPPVVSPGLESSSIVNTISSSPDPSKTSLPESGLSSSLSLPHSSINSAATIEHAVGMNISDHNKVIVHPRGTKMTYPRGSIVLSISNGEWVAVQAPQSSK >Manes.09G138400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33810502:33815617:1 gene:Manes.09G138400.v8.1 transcript:Manes.09G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKLQELCHQKAWLLPDYATVKGGPDHDPRFQATVTVNGLSFHSQSPAKSSKQAQNDAAKFAIDHFFSSRSSPPPPPPPPPAAAAAAATPVPSSATADFSSNLSSGGALQFKEQETSQIPEGNGPATIAKNEERFTDMQHLYKNQLQSYAQKRNLTLPVYSCDRVGPPHASRFKCKVTIGGQAYESQEFFPTLSKAEHAAARAALMSLAPNGVEDDESAYKNLLQELAQKECYPLPSYTTMVSGEAHRPTFVSTVDVEGELFTGQEARTKKQAELIAAKVAYTALKQRNSRHSPMVCSSGNSSHGPTISCSVNSSQSPVPSCSANSSHSAPCLTLPQQGPPCQILPQQGQKVVQFTSGLRSDLTAYLQQNVQPRLPGHDKKAEEDRGTADIVSCDPPVVSPGLESSSIVNTISSSPDPSKTSLPESGLSSSLSLPHSSINSAATIEHAVGMNISDHNKVIVHPRGTKMTYPRGSIVLSISNGEWVAVQAPQSSK >Manes.01G043100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8508691:8512685:1 gene:Manes.01G043100.v8.1 transcript:Manes.01G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSFPTGKSGSKWLDRLRSNKGFPAADDVDLDHFLTNHQNSFSDSPLPNPSNTSNSNSESSQSHSKRVNSDRSHAAETSSESGDKEWLGAMTNVLCDLFNMGELTDKNSRFSGKKSARKQANPKFCDVSTPTSANDIDSIGKDESVQAATVSLHSDNNSNIGANANWDDHGEEEKEKTSGGGGGGSDRELKGYSRSEVTVIDTSFEVWKFDKLVFRRKNIWKVRDKKGKSWTVGTKKRKGNHLESGNGDVGSKKKVKTSKTEFGLSKDSNGGDFVSPSNDDGKLQGEEKEVCKDSPDDQFQVPKRRSPKKLKKNGSSVVLIKAIPTRKKCGRSLHKSHLNDFQTQYKA >Manes.02G166700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13064968:13071062:-1 gene:Manes.02G166700.v8.1 transcript:Manes.02G166700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELQSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETSNCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVRSGQHGGAYPCPPYKIIILDEADSMTEDAQNALRRTMESYSKITRFFFLCNYISRIIEPLASRCAKFRFKPLSGEIVSNRILHICNEEGLSLDAEALSTLSSISQGDLRRAITYLQGAARLFGSTILSKDLLSVSGVIPQEFVDALYAACKSGDFDLANKEVNNVIAEGYPVSQMLVQLFDVVVEADDVSDEQKARICKSLAAADKCLVDGADEYLQLLDVASNTMQALCNMPQEFSYES >Manes.08G044500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4413550:4415482:-1 gene:Manes.08G044500.v8.1 transcript:Manes.08G044500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAAKSCTTTTTVQRVNKKSSDELLRKFAEVGEDHTASEAKKRRKIRSKTWKEGGAAAAAAAAAANEYSYESPAHYTTTTLVERRSLLPQLTRKSVLLRQLGIGRSQLRAKDIKNKSILVAIEKTWRKTVEGASRVLLEKHYNRHRRLISDVV >Manes.03G076000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13140648:13158391:1 gene:Manes.03G076000.v8.1 transcript:Manes.03G076000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLYGYSSSYGVAAPSSSAAAAYTPRSVTEQYLSDAALSRYLGAYSDADPSKYSVTSMYLTQSEALRYSADRGITSAAASAASHLAPWTPPPGVDVSASVEPLLAGIKRSTEVLYHPTFFGAHNTFGQSEAWYSTNSLAKRSRFESASNLPIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPNWKEVPLVATLESLPERPGEPDCPYFLKTQRCKYGAKCKFNHPKDALDGLENTDVSALPERPSEPTCTFYMKTGKCKFGATCKFHHPKDIQILSGQENGNTLKNESAVKIDDEISGHVNVANASISSTPALFHNSKGLPIRLGEVDCPFYLKTGSCKYGATCRYNHPDRNGHSVVATPAANLNIGVVPAASLYQTVDPRLGHSTLGVGMTVYPQRPGQTECDYYMKTGECKFGERCKFHHPIDRSASAAKQAQEQTVKLTLAGLPRREGAVHCPYYMKTGTCKYGATCKFDHPPPGEVMAIAASQGTSTSTGVDANGG >Manes.03G076000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:13140648:13158391:1 gene:Manes.03G076000.v8.1 transcript:Manes.03G076000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLYGYSSSYGVAAPSSSAAAAYTPRSVTEQYLSDAALSRYLGAYSDADPSKYSVTSMYLTQSEALRYSADRGITSAAASAASHLAPWTPPPGVDVSASVEPLLAGIKRSTEVLYHPTFFGAHNTFGQSEAWYSTNSLAKRSRFESASNLPIYPQRPGEKDCAHYMLTRTCKFGDSCKFDHPIWVPEGGIPNWKEVPLVATLESLPERPGEPDCPYFLKTQRCKYGAKCKFNHPKDALFQDGLENTDVSALPERPSEPTCTFYMKTGKCKFGATCKFHHPKDIQILSGQENGNTLKNESAVKIDDEISGHVNVANASISSTPALFHNSKGLPIRLGEVDCPFYLKTGSCKYGATCRYNHPDRNGHSVVATPAANLNIGVVPAASLYQTVDPRLGHSTLGVGMTVYPQRPGQTECDYYMKTGECKFGERCKFHHPIDRSASAAKQAQEQTVKLTLAGLPRREGAVHCPYYMKTGTCKYGATCKFDHPPPGEVMAIAASQGTSTSTGVDANGG >Manes.18G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3069153:3072295:1 gene:Manes.18G033100.v8.1 transcript:Manes.18G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Manes.03G184500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30550733:30555091:-1 gene:Manes.03G184500.v8.1 transcript:Manes.03G184500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFSSHRRRNHTYYHHHPPPYYYSTEPLPLHPPPPPPPPPQNFFTTTPTGATQPPTPPPPAPPIQSYYSSHPYHACSYANRFNYQPYYYAHQTNWWPAIRPNVDIGQPIELAPYIEHQNAKKVRSDVNVHKDALKVEIDEHNPDNYLVSFVFDALLDGSITIFYFAKEEDNSRFVPLFPEAHLPVRIPFQKGLGQKFRQPSGTGIDLGFFELDDLSKPSPGEDVFPLVIVAETWLPVDSTDEHDSVQNTTGQMQITQGVLEKRNSGTFQVRVVKQMLWIDGIRYELRELYGIGRSAAEGFNDCDPGKECVICMTEPKDTAALPCRHMCMCSECAKALRLQSNKCPICRQPIEELIEIKINNGDQ >Manes.03G184500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30549807:30555092:-1 gene:Manes.03G184500.v8.1 transcript:Manes.03G184500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFSSHRRRNHTYYHHHPPPYYYSTEPLPLHPPPPPPPPPQNFFTTTPTGATQPPTPPPPAPPIQSYYSSHPYHACSYANRFNYQPYYYAHQTNWWPAIRPNVDIGQPIELAPYIEHQNAKKVRSDVNVHKDALKVEIDEHNPDNYLVSFVFDALLDGSITIFYFAKEEDNSRFVPLFPEAHLPVRIPFQKGLGQKFRQPSGTGIDLGFFELDDLSKPSPGEDVFPLVIVAETWLPVDSTDEHDSVQNTTGQMQITQGVLEKRNSGTFQVRVVKQMLWIDGIRYELRELYGIGRSAAEGFNDCDPGKECVICMTEPKDTAALPCRHMCMCSECAKALRLQSNKCPICRQPIEELIEIKINNGDQ >Manes.11G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22118313:22120573:1 gene:Manes.11G100100.v8.1 transcript:Manes.11G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGNVSLPPGFRFYPTEEELVVHFLQRKAALLPCHPDVIPDLDLYPYDPWQLDGKALAEGKQWYFYSRRTQNRITENGYWNPTGIEESVVTSANRTVGMKKCLGFYIGEAPAGMKTSWIMEEYRLLSSDSSSSSRRKSSKTRANPKTDHSKWVICKVYERSCDEDDDDGAELSCLDEVFLSLDDLDEISLPN >Manes.11G100100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22118313:22120573:1 gene:Manes.11G100100.v8.1 transcript:Manes.11G100100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSGQLHQKQKTSRIYILYLSLLIFPSGISYLICKALAEGKQWYFYSRRTQNRITENGYWNPTGIEESVVTSANRTVGMKKCLGFYIGEAPAGMKTSWIMEEYRLLSSDSSSSSRRKSSKTRANPKTDHSKWVICKVYERSCDEDDDDGAELSCLDEVFLSLDDLDEISLPN >Manes.16G106800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31243683:31249435:1 gene:Manes.16G106800.v8.1 transcript:Manes.16G106800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLSSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWTDRSATTGEGSSDPNGRRDSGSGSCSSKEIIPHRDEITGAGVAAALSTYSAMDIQQLKSDHFGFPPFRKSFDEVAIGEASTARETPVSGTCDEIREHHQRPHHMAHDHHQQQPQHHHIHHQIATTAFHITRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQQQQQQHHHQQQQQQQHHKLGGRSATGLEELIMSCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.16G106800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31243683:31249406:1 gene:Manes.16G106800.v8.1 transcript:Manes.16G106800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLSSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWTDRSATTGEGSSDPNGRRDSGSGSCSSKEIIPHRDEITGAGVAAALSTYSAMDIQQLKSDHFGFPPFRKSFDEVAIGEASTARETPVSGTCDEIREHHQRPHHMAHDHHQQQPQHHHIHHQIATTAFHITRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQQQHHHQQQQQQQHHKLGGRSATGLEELIMSCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.16G106800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31243683:31249427:1 gene:Manes.16G106800.v8.1 transcript:Manes.16G106800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLSSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWTDRSATTGEGSSDPNGRRDSGSGSCSSKEIIPHRDEITGAGVAAALSTYSAMDIQQLKSDHFGFPPFRKSFDEVAIGEASTARETPVSGTCDEIREHHQRPHHMAHDHHQQQPQHHHIHHQIATTAFHITRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQQQQHHHQQQQQQQHHKLGGRSATGLEELIMSCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.16G106800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31243683:31249406:1 gene:Manes.16G106800.v8.1 transcript:Manes.16G106800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLSSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWTDRSATTGEGSSDPNGRRDSGSGSCSSKEIIPHRDEITGAGVAAALSTYSAMDIQQLKSDHFGFPPFRKSFDEVAIGEASTARETPVSGTCDEIREHHQRPHHMAHDHHQQQPQHHHIHHQIATTAFHITRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQQQQQQHHHQQQQQQQHHKLGGRSATGLEELIMSCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.16G106800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31243683:31249406:1 gene:Manes.16G106800.v8.1 transcript:Manes.16G106800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLSSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWTDRSATTGEGSSDPNGRRDSGSGSCSSKEIIPHRDEITGAGVAAALSTYSAMDIQQLKSDHFGFPPFRKSFDEVAIGEASTARETPVSGTCDEIREHHQRPHHMAHDHHQQQPQHHHIHHQIATTAFHITRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQQQQHHHQQQQQQQHHKLGGRSATGLEELIMSCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.16G106800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31243683:31249406:1 gene:Manes.16G106800.v8.1 transcript:Manes.16G106800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLSSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWTDRSATTGEGSSDPNGRRDSGSGSCSSKEIIPHRDEITGAGVAAALSTYSAMDIQQLKSDHFGFPPFRKSFDEVAIGEASTARETPVSGTCDEIREHHQRPHHMAHDHHQQQPQHHHIHHQIATTAFHITRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQQQQQHHHQQQQQQQHHKLGGRSATGLEELIMSCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.16G106800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31244252:31249406:1 gene:Manes.16G106800.v8.1 transcript:Manes.16G106800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNLSSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQNEEEKEGELVVSKIFYQTQPRQCNWTDRSATTGEGSSDPNGRRDSGSGSCSSKEIIPHRDEITGAGVAAALSTYSAMDIQQLKSDHFGFPPFRKSFDEVAIGEASTARETPVSGTCDEIREHHQRPHHMAHDHHQQQPQHHHIHHQIATTAFHITRPSHPISAIISPPPLHHTSIILEEDPYHVSRLMLQNEIQQHHHQQQQQQQHHKLGGRSATGLEELIMSCTSTDIKEESSIANPQEAEWLKYSSFWPDPDNQDHHG >Manes.07G050100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5936766:5940684:1 gene:Manes.07G050100.v8.1 transcript:Manes.07G050100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPTRRLSDGGSLPSGGSVQSKSRSSPLLSIGLVVVGAILLIVYCYSGSGRRISRKEAFSKLEGTGSCTVEVQRAIPILKKTYGNGMHKVLHVGPDTCSVVLKLLKQEETEAWGVEPYDLDDVDAKCKSFVRRGFVRAADIKFPLPYRPNSFSLVIISDALDYLTPRYLNKTLPELARVSSGGVVIFSGYPGQNKAKVAELSKFGRSAKFRSSTWWIRYFLQNSLEVNEAASKKFEQALVKRSYKLLCQVFHLKS >Manes.07G050100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5936221:5940684:1 gene:Manes.07G050100.v8.1 transcript:Manes.07G050100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPTRRLSDGGSLPSGGSVQSKSRSSPLLSIGLVVVGAILLIVYCYSGSGRRISRKEAFSKLEGTGSCTVEVQRAIPILKKTYGNGMHKVLHVGPDTCSVVLKLLKQEETEAWGVEPYDLDDVDAKCKSFVRRGFVRAADIKFPLPYRPNSFSLVIISDALDYLTPRYLNKTLPELARVSSGGVVIFSGYPGQNKAKVAELSKFGRSVSSHYLSYL >Manes.07G050100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5936221:5940684:1 gene:Manes.07G050100.v8.1 transcript:Manes.07G050100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPTRRLSDGGSLPSGGSVQSKSRSSPLLSIGLVVVGAILLIVYCYSGSGRRISRKEAFSKLEGTGSCTVEVQRAIPILKKTYGNGMHKVLHVGPDTCSVVLKLLKQEETEAWGVEPYDLDDVDAKCKSFVRRGFVRAADIKFPLPYRPNSFSLVIISDALDYLTPRYLNKTLPELARVSSGGVVIFSGKISELDMVDKVFSSE >Manes.07G050100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5936221:5940684:1 gene:Manes.07G050100.v8.1 transcript:Manes.07G050100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPTRRLSDGGSLPSGGSVQSKSRSSPLLSIGLVVVGAILLIVYCYSGSGRRISRKEAFSKLEGTGSCTVEVQRAIPILKKTYGNGMHKVLHVGPDTCSVVLKLLKQEETEAWGVEPYDLDDVDAKCKSFVRRGFVRAADIKFPLPYRPNSFSLVIISDALDYLTPRYLNKTLPELARVSSGGVVIFSGYPGQNKAKVAELSKFGRSAKFRSSTWWIRYFLQNSLEVNEAASKKFEQALVKRSYKLLCQVFHLKS >Manes.07G050100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5936221:5940684:1 gene:Manes.07G050100.v8.1 transcript:Manes.07G050100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPTRRLSDGGSLPSGGSVQSKSRSSPLLSIGLVVVGAILLIVYCYSGSGRRISRKEAFSKLEGTGSCTVEVQRAIPILKKTYGNGMHKVLHVGPDTCSVVLKLLKQEETEAWGVEPYDLDDVDAKCKSFVRRGFVRAADIKFPLPYRPNSFSLVIISDALDYLTPRYLNKTLPELARVSSGGVVIFSGYPGQNKAKVAELSKFGRSVSSHYLSYL >Manes.07G050100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5936114:5940684:1 gene:Manes.07G050100.v8.1 transcript:Manes.07G050100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPTRRLSDGGSLPSGGSVQSKSRSSPLLSIGLVVVGAILLIVYCYSGSGRRISRKEAFSKLEGTGSCTVEVQRAIPILKKTYGNGMHKVLHVGPDTCSVVLKLLKQEETEAWGVEPYDLDDVDAKCKSFVRRGFVRAADIKFPLPYRPNSFSLVIISDALDYLTPRYLNKTLPELARVSSGGVVIFSGYPGQNKAKVAELSKFGRSAKFRSSTWWIRYFLQNSLEVNEAASKKFEQALVKRSYKLLCQVFHLKS >Manes.10G102300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25034664:25040175:-1 gene:Manes.10G102300.v8.1 transcript:Manes.10G102300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRKRKGLEVLPLCSKSFASSAIIWSHLSLGDYSRQKKKCKEDEGKEVGSPRTVVKGIVTAPHCRSSCFNPPCRGLKRKIGCIDVATQLGRKKKIEQQYDLGATIGKGKFGSVVLCTRKVTGEDFACKILRKGEDLVHREVEIMQHLSGHPGIVTLKAVYEDSESFYLVMELCSGGRLLDQMAREGQYSEHHAANVLRELISVIKYCHDVGVVHRDIKPENILLTTSGQMKLADFGLAMRISNGQSLTGLVGSPAYVAPEVLLGDYSEKVDIWSAGVLLHALLIGILPFQGDSVDAVFEAIKKVNLDFESGLWESVSKPARDLIAGMLNRDISSRPSADEILRHPWILFYTEPTLKVLTVKPKFGNHVRLTFEKLTIKDELESERFDATTSSILSDETSLILSSGGSKRLSEQDCGLVDVLAVAISRVRISEPKRSRLCGPTSPIQRECSSNIKVNNLCTAF >Manes.10G102300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25035220:25040121:-1 gene:Manes.10G102300.v8.1 transcript:Manes.10G102300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRKRKGLEVLPLCSKSFASSAIIWSHLSLGDYSRQKKKCKEDEGKEVGSPRTVVKGIVTAPHCRSSCFNPPCRGLKRKIGCIDVATQLGRKKKIEQQYDLGATIGKGKFGSVVLCTRKVTGEDFACKILRKGEDLVHREVEIMQHLSGHPGIVTLKAVYEDSESFYLVMELCSGGRLLDQMAREGQYSEHHAANVLRELISVIKYCHDVGVVHRDIKPENILLTTSGQMKLADFGLAMRISNGQSLTGLVGSPAYVAPEVLLGDYSEKVDIWSAGVLLHALLIGILPFQGDSVDAVFEAIKKVNLDFESGLWESVSKPARDLIAGMLNRDISSRPSADEILRHPWILFYTEPTLKVLTVKPKFGNHVRLTFEKLTIKDELESERFDATTSSILSDETSLILSSGGSKRLSEQDCGLVDVLAVAISRVRISEPKRSRLCGPTSPIQRECSSNIKVNNLCTAF >Manes.16G007000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:710692:712368:-1 gene:Manes.16G007000.v8.1 transcript:Manes.16G007000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSNLTMWMSSKPSLSDTSSLSFCSSLNPFQLPTHTSSSSNTPRSSSVTPIHCGLRELRSRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSETLVEVLYNINEQLQTEDIDAPLTKIRPVKKVALVVCTGDRGLCGGFNNNIIKKAEARIAELKGLGLDYTVISVGKKGNSYFVRRPYIPVDRFLEGTTLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGNCVDAAEDEFFRLTTREGKLTVERDVVRTQTADFSAILQFEQDPVQILDALLPLYLNSQILKALQESLASELAARMSAMSNATDNAVELKKNLSIVYNRQRQAKITGEILEIVAGADALV >Manes.04G083000.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28432520:28440604:1 gene:Manes.04G083000.v8.1 transcript:Manes.04G083000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALACCVDAAVAPPGYAFNAGGSSLPSPVSFSGVPSAPTNPTACIDSSHWSSSLSAALYKIDAWGAPYFSVNSSGNIAVRPYGTDTLPHQEIDLLKIVKKVSDHKSMGGLGLQLPLIVRLPDVLRNRLESLQSAFNFAIQSQGYEAHYQGVYPVKCNQDRFVVEDIVRFGSPFRFGLEAGSKPELLLAMSCLCKGSPEALLVCNGFKDSEYISLALFARKLALNTVIVLEQEEELDLVLGLSKKMSVRPVIGVRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLEEAGMLDCLQLLHFHIGSQIPSTALLADGVGEAAQIYCELVRLGAHMRVLDIGGGLGIDYDGSKSGNSDLSVAYGLEEYSLAVVQAVKFVCDRKNIKHPVLCSESGRAIVSHHSILVFEAVSASVSSASSMTSAGFQYFMEGLTEDALSDYRNLSAAVVRGEFDTCLLYAEQLKQRCVDQFKEGSIGMEQLAAVDGFCEVVGKAIGLSEPIRTYHVNLSVFTSIPDFWGIGQLFPIVPIHKLDQRPAVRGILSDLTCDSDGKIDKFVGGESSLPLHEIEGGGRYYLGMFLGGAYEEALGGVHNLFGGPSVVRVSQSDGPHSFAVTAAVPGPSCGDVLRVMQHEPELMFETLKHRAEEFCHPDIESDDGCESDHSMGYDALANSLARIFHNMPYLVASCSLTALTNGGLYYCGEDAADSAAGDEEQCCA >Manes.15G136300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11026833:11029850:-1 gene:Manes.15G136300.v8.1 transcript:Manes.15G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPSKGVLQSEALKKYIYETTSYPREHKELKNLREATVNKYGNKSEMSVPVDEGQFLSMLVKIMNAKRTLEIGVFTGYSLLSTALALPHDGQVTGIDIDREAYEVGLPFIQQAGVEHKINFIQSNAISVLTEMVNNQDRDRVEYDMAFVDADKFNYKQYHELLLKLVKVGGTIAYDNTLWFGFVAQEEEAVPEHLRETRKAILEINEYLACDPRIDISQVSIGDGVTLCRRLY >Manes.15G136300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11026833:11029850:-1 gene:Manes.15G136300.v8.1 transcript:Manes.15G136300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPSKGVLQSEALKKYIYETTSYPREHKELKNLREATVNKYGNKSEMSVPVDEGQFLSMLVKIMNAKRTLEIGVFTGYSLLSTALALPHDGQVTGIDIDREAYEVGLPFIQQAGVEHKINFIQSNAISVLTEMVNNDRDRVEYDMAFVDADKFNYKQYHELLLKLVKVGGTIAYDNTLWFGFVAQEEEAVPEHLRETRKAILEINEYLACDPRIDISQVSIGDGVTLCRRLY >Manes.03G153000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:28083082:28084119:-1 gene:Manes.03G153000.v8.1 transcript:Manes.03G153000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKSKNSLQSLPLSSNHDYKSYAHFSQSPCESNDEDEELQPPSGDRQFSVASSSSIPSLKSTSISQPNFQPSNSYVNVAPLPVFHGLPNECPFAHLSRFVKVCRANNASSTDTMVRIFPVTLENEAALWYDLNIEPYPSLSWDEIKLSFLEAYYRIETVDQLRSELLMLNQESEESVRSYFLRMQWILKRWPEHGLSDNMLKGIFIDGLMVDFRDWIIPQKPSSLNEALSLAFSFERVKIITSGTRQKFAKCCESRERMRESFRKRKEKQMMVSSEGGNESDVAAKEIGREDDYEEEEEDDNGKLQEMFSGKKTEKCQCQCSKHQCWKKKLQRNNSAVTRKSSA >Manes.01G264000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41864329:41872682:1 gene:Manes.01G264000.v8.1 transcript:Manes.01G264000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRGLSGAGIHKNRAGGSGFRSPIALVIFFTVLAPLIFFVGRGLFTNTSIDQSNIPAVSSKQDLDWRERLALRHVKSLLSKEVIDVITSSTADLGPLSLDSFRKNNLSASWKVIGAETSVKQNTTSESQKAATVAKQEAARGKGDGVSDDHSEFIDTPAKLARRQLREKRRAKRAADLVRQDDEAILKLENSAIERSKSVDTAVLGKYSIWRKEIENENSDSTVRLMRDQMIMARVYISIAKMKNRLDLQQELQIRLKESQRAVGEAMADSDLHHSAPEKMKAMGQVLSKAREQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIEYYLLPPEKRKFPGSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDSSKHVFHLVTDKLNFGAMNMWFLLNPPRKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVNLNGKVNGAVETCGESFHRFDKYLNFTNPHIARNFNPNACGWAYGMNIFDLKEWKKRDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSVDRSEIDNAAVIHYNGNMKPWLEIAMTKYRSYWTKYIKYNHPYLRSCNLSE >Manes.09G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34246248:34248093:-1 gene:Manes.09G144200.v8.1 transcript:Manes.09G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTAWFLTNGGLAPTRLISSHQVLTHIAISTVETTVMGPFDSKFSVAFSSFSSSDLEIPTAPKISVRREECSSENDFSDSNEDDDDDNITQLNLGDASFVEDVKTIVNILNQLGDNRVEMKNKIEQCGVKVSQELVQEVLSRVRNDWEAAFTFFLWAGRQPGYVHSVREYNSMISILAKMRKFDTAWSLIDEMRGVKTGVSLVVPQTLLIMIRRYCAVHDVGRAINTFYAYKRFKFDVGIEEFQSLLSALCRYKNVQDAEHLMFSKKDVFPFNTKSFNIVLNGWSNVIGSPRQSDRIWREMCKRGIQYDAVSYASIISCYSKAGNLYKVLKLYNQMNEMRIEPDRKVYNAVIHALAKARHVKEAINLMKAMEDKGIAPNTVTYNSLIKPLCKARKIDEARGVFDEMLQRGHSPTIRTYHAFFRILRTGEEVFALLEKMRKMGCQPITDTYIMLIRKFCRWRQFDDVFKLWNEMSENGIGPDRSSYIVLIHGLFLNGKLEAAYKYYTDMKQTQLLPDPKIDEMLSTWLSNKQMAECQMTKSKGDQSNFSQ >Manes.01G138500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33014487:33016672:-1 gene:Manes.01G138500.v8.1 transcript:Manes.01G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKVLRMNEGLGETSYAQNSLLQRKVISMTRPITEEAMTNLYCSTFPKTLVIADLGCSSGPNTLFAVSELIKVVDKLCVKLGHQSPEYQVMLNDLPGNDFNTIFRSLPEFEEQMKKRLKAGKGPFYFTGVPGSFYNRLFLSNSLHFVHSSYSLHWLSQVPEGLEDNKGNIYIGSSSPLSVLKAYYRQFQRDFSLFLNCRADELVAGGRMVLTFLGRRSPDPSSKECCHYIWELLAMVLNNMVLEGIIEEEKLDSFNIPNYTPSPFEVRSEIEKVGSFSIDRLEVSEVNWDVYHNECNLSDAYEDGGYNVAMCIRAVAEPLLVGHFGFSESIVEDIFYRFKKVVTDHMAKEKTEFVHVTVAMTKTG >Manes.01G092100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29350169:29353600:1 gene:Manes.01G092100.v8.1 transcript:Manes.01G092100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKDPAIKLFGKTIPLPDNSHGGTTTTAMTCSGAAESVVVDVDSQDQDQDQDQDSYLEDSNTKGDGEERESDKDEVGEKTTESKQELGAQNVTSDESSNPDANSGISGENHKTASVEKEDAALKTSKTEEQQSDTSNSQEKTKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSATHYRHITVSEALQNVQTDIPNGIHHPALKTNGTVLNFGSDTPLHESMASVLNLADKTMQNCTRNGFHKPEALRIPVSYGSVVNGEGLSNGSSVMASSSKDEASKNGSCQGCPPQIPCFPGTPWPYPWNPAQWNTPVPPPAFCPPGFPMPFYPAAAYWGCTVPGNWNMPWIPHPSSPNQAALSSGPNSPTLGKHSRDENTQRTNNSGEEPVKECNAERCLWIPKTLRIDDPEEAAKSSIWTTLGIKNDKADSIGGRGLFKAFESKSNEKNHKTETSPVLQANPAALSRSLKFQESS >Manes.01G092100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29350169:29354042:1 gene:Manes.01G092100.v8.1 transcript:Manes.01G092100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKDPAIKLFGKTIPLPDNSHGGTTTTAMTCSGAAESVVVDVDSQDQDQDQDQDSYLEDSNTKGDGEERESDKDEVGEKTTESKQELGAQNVTSDESSNPDANSGISGENHKTASVEKEDAALKTSKTEEQQSDTSNSQEKTKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSATHYRHITVSEALQNVQTDIPNGIHHPALKTNGTVLNFGSDTPLHESMASVLNLADKTMQNCTRNGFHKPEALRIPVSYGSVVNGEGLSNGSSVMASSSKDEASKNGSCQGCPPQIPCFPGTPWPYPWNPAQWNTPVPPPAFCPPGFPMPFYPAAAYWGCTVPGNWNMPWIPHPSSPNQAALSSGPNSPTLGKHSRDENTQRTNNSGEEPVKECNAERCLWIPKTLRIDDPEEAAKSSIWTTLGIKNDKADSIGGRGLFKAFESKSNEKNHKTETSPVLQANPAALSRSLKFQESS >Manes.01G092100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29350169:29353600:1 gene:Manes.01G092100.v8.1 transcript:Manes.01G092100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETKDPAIKLFGKTIPLPDNSHGGTTTTAMTCSGAAESVVVDVDSQDQDQDQDQDSYLEDSNTKGDGEERESDKDEVGEKTTESKQELGAQNVTSDESSNPDANSGISGENHKTASVEKEDAALKTSKTEEQQSDTSNSQEKTKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSATHYRHITVSEALQNVQTDIPNGIHHPALKTNGTVLNFGSDTPLHESMASVLNLADKTMQNCTRNGFHKPEALRIPVSYGSVVNGEGLSNGSSVMASSSKDEASKNGSCQGCPPQIPCFPGTPWPYPWNPAQWNTPVPPPAFCPPGFPMPFYPAAAYWGCTVPGNWNMPWIPHPSSPNQAALSSGPNSPTLGKHSRDENTQRTNNSGEEPVKECNAERCLWIPKTLRIDDPEEAAKSSIWTTLGIKNDKADSIGGRGLFKAFESKSNEKNHKTETSPVLQANPAALSRSLKFQESS >Manes.15G134200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10816717:10820926:1 gene:Manes.15G134200.v8.1 transcript:Manes.15G134200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGALKSSSIISWKSSGRLQQTIRRCVEVSGKGLHSGKESTVKLWPEMAQQGRYFDFHSKCSIPASIDFAQQNSPLCTTLSADRFKVRTVEHLLSALEATRIDNCKIQVFNNDIDSKEDYCDFEVPILDGSAQQWVEAIEKAGLVVAVDESGNSCETMAPYLNEPVYVCKNDSFVAAIPSPNVRLTYGIDFSKACAIGCQWFSLAPLDDSSYAKQIASSRTFCIYEEVEQMHSMGLIKGGTLENAIVCSASKGWLNPPLRFPDEPCRHKILDLIGDLSLFARLGNQGLPVAHVIAYK >Manes.15G134200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10815069:10820926:1 gene:Manes.15G134200.v8.1 transcript:Manes.15G134200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGALKSSSIISWKSSGRLQQTIRRCVEVSGKGLHSGKESTVKLWPEMAQQGRYFDFHSKCSIPASIDFAQQNSPLCTTLSADRFKVRTVEHLLSALEATRIDNCKIQVFNNDIDSKEDYCDFEVPILDGSAQQWVEAIEKAGLVVAVDESGNSCETMAPYLNEPVYVCKNDSFVAAIPSPNVRLTYGIDFSKACAIGCQWFSLAPLDDSSYAKQIASSRTFCIYEEVEQMHSMGLIKGGTLENAIVCSASKGWLNPPLRFPDEPCRHKILDLIGDLSLFARLGNQGLPVAHVIAYKGGHALHADFVRRLNDSLKN >Manes.15G134200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10815069:10820926:1 gene:Manes.15G134200.v8.1 transcript:Manes.15G134200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGALKSSSIISWKSSGRLQQTIRRCVEVSGKGLHSGKESTVKLWPEMAQQGRYFDFHSKCSIPASIDFAQQNSPLCTTLSADRFKVRTVEHLLSALEATRIDNCKIQVFNNDIDSKEDYCDFEVPILDGSAQQWVEAIEKAGLVVAVDESGNSCETMAPYLNEPVYVCKNDSFVAAIPSPNVRLTYGIDFSKACAIGCQWFSLAPLDDSSYAKQIASSRTFCIYEEVEQMHSMGLIKGGTLENAIVCSASKGWLNPPLRFPDEPCRHKILDLIGDLSLFARLGNQGLPVAHVIAYK >Manes.15G134200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10816829:10820926:1 gene:Manes.15G134200.v8.1 transcript:Manes.15G134200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGALKSSSIISWKSSGRLQQTIRRCVEVSGKGLHSGKESTVKLWPEMAQQGRYFDFHSKCSIPASIDFAQQNSPLCTTLSADRFKVRTVEHLLSALEATRIDNCKIQVFNNDIDSKEDYCDFEVPILDGSAQQWVEAIEKAGLVVAVDESGNSCETMAPYLNEPVYVCKNDSFVAAIPSPNVRLTYGIDFSKACAIGCQWFSLAPLDDSSYAKQIASSRTFCIYEEVEQMHSMGLIKGGTLENAIVCSASKGWLNPPLRFPDEPCRHKILDLIGDLSLFARLGNQGLPVAHVIAYKVFSIPFYFSSLSCSDSQVFMDIAVMNCYPLIHLLC >Manes.15G134200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10815069:10820926:1 gene:Manes.15G134200.v8.1 transcript:Manes.15G134200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGALKSSSIISWKSSGRLQQTIRRCVEVSGKGLHSGKESTVKLWPEMAQQGRYFDFHSKCSIPASIDFAQQNSPLCTTLSADRFKVRTVEHLLSALEATRIDNCKIQVFNNDIDSKEDYCDFEVPILDGSAQQWVEAIEKAGLVVAVDESGNSCETMAPYLNEPVYVCKNDSFVAAIPSPNVRLTYGIDFSKACAIGCQWFSLAPLDDSSYAKQIASSRTFCIYEEVEQMHSMGLIKGGTLENAIVCSASKGWLNPPLRFPDEPCRHKILDLIGDLSLFARLGNQGLPVAHVIAYKGGHALHADFVRRLNDSLKN >Manes.18G031933.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2700678:2702493:1 gene:Manes.18G031933.v8.1 transcript:Manes.18G031933.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVIPIDDSSSTDVSGLPLRDIPGDYGLPFIGPIKDRLDYFYHQGVDEFFRSRAQKYESTVYRVNMPPGPFISSNPRVIVSLDGKSFPVLFDVSKVEKRDLFTGTYMPSTKLTGGYRVLSYLDPSETRHSQLKKLLFYLLMSRSSHMIPEFSSTYTKLFESLEKDLASKGKVTYNSPGEQAAFSFLGRCYFGVDPVDTQLGTNGPNIIAIWVLFHLAPIITLGLPAFLEEPILHTFPLPPFLIKKKYKRLYDYISSSAGSVLDEAEKMGLSREDACHNILFATCFNTFGGIRIFFPSILTWIGGAGVKLHNQLAQEIRTVIESNGGQVTMSALEKMPLMKSAVYEVFRIDPPVPFQYGKAKSDLIIESHDAAYEVKKGEMIFGYQPFATKDPKIFDDPEEYVADRFVGEGEKLLKHVLWSNGPETESPTLENKQCAGKDFVILISRLFVVELFRRYDSFEVQVKTTSSGHTVVITSLKKASF >Manes.05G081900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6725082:6739509:-1 gene:Manes.05G081900.v8.1 transcript:Manes.05G081900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALSRSSTHYDPHHNVLCIVAGCKQVVLWPSSASPSLYPMPIYGEASNHSSVALENPDFSLYPRAECSIKYSQKVILHAGDALFIPEGWFHQVDSSDLTIAVNFWWRSNIMSGMLEHMDGYYLRRILRRLTDKEMNHILQKASSSGMEKQKMHTHKLLSNGEADHNHRDLVQACEQNDLQEKGQQQRVSLHVLEPYALQVLHELVSLVHDRVNLIDQSQLVESTSANDSAVNMKGQYDKTATTNLFVLEDDPVAKILWTLDPYTIQNVLLAMAHNFPRTLEALILHLLSPVGAEVLTRKFDEMDQQITEENRNKFYEVFYGAFDDQFAAMDAILNGKESFALKAFHNVLDKYLGVTFDVRKLSTG >Manes.05G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6725082:6739509:-1 gene:Manes.05G081900.v8.1 transcript:Manes.05G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEQLQIESFQQLPSPSIFASQIESKNVPAVFRGSIKDWEAFTRWNPANGGLDYLQERAGSSTVEAMLSRSAPVFYGDLRSHERVPLPFSTFIGLCKQRMANAEGHEPAPSDAQEQDPLLSGDAPKQIYLAQVPIMNTEDQERVQLEILREDIQMPAFLETKELASINLWMNSALSRSSTHYDPHHNVLCIVAGCKQVVLWPSSASPSLYPMPIYGEASNHSSVALENPDFSLYPRAECSIKYSQKVILHAGDALFIPEGWFHQVDSSDLTIAVNFWWRSNIMSGMLEHMDGYYLRRILRRLTDKEMNHILQKASSSGMEKQKMHTHKLLSNGEADHNHRDLVQACEQNDLQEKGQQQRVSLHVLEPYALQVLHELVSLVHDRVNLIDQSQLVESTSANDSAVNMKGQYDKTATTNLFVLEDDPVAKILWTLDPYTIQNVLLAMAHNFPRTLEALILHLLSPVGAEVLTRKFDEMDQQITEENRNKFYEVFYGAFDDQFAAMDAILNGKESFALKAFHNVLDKYLGVTFDVRKLSTG >Manes.05G081900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6725082:6739509:-1 gene:Manes.05G081900.v8.1 transcript:Manes.05G081900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFRYHSPPLLVFASSVWQMQKGMSLRRLMHKSRTLCFLVPIMNTEDQERVQLEILREDIQMPAFLETKELASINLWMNSALSRSSTHYDPHHNVLCIVAGCKQVVLWPSSASPSLYPMPIYGEASNHSSVALENPDFSLYPRAECSIKYSQKVILHAGDALFIPEGWFHQVDSSDLTIAVNFWWRSNIMSGMLEHMDGYYLRRILRRLTDKEMNHILQKASSSGMEKQKMHTHKLLSNGEADHNHRDLVQACEQNDLQEKGQQQRVSLHVLEPYALQVLHELVSLVHDRVNLIDQSQLVESTSANDSAVNMKGQYDKTATTNLFVLEDDPVAKILWTLDPYTIQNVLLAMAHNFPRTLEALILHLLSPVGAEVLTRKFDEMDQQITEENRNKFYEVFYGAFDDQFAAMDAILNGKESFALKAFHNVLDKYLGVTFDVRKLSTG >Manes.05G081900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6725082:6739509:-1 gene:Manes.05G081900.v8.1 transcript:Manes.05G081900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEQLQIESFQQLPSPSIFASQIESKNVPAVFRGSIKDWEAFTRWNPANGGLDYLQERAGSSTVEAMLSRSAPVFYGDLRSHERVPLPFSTFIGLCKQRMANAEGHEPAPSDAQEQDPLLSGDAPKQIYLAQVPIMNTEDQERVQLEILREDIQMPAFLETKELASINLWMNSALSRSSTHYDPHHNVLCIVAGCKQVVLWPSSASPSLYPMPIYGEASNHSSVALENPDFSLYPRAECSIKYSQKVILHAGDALFIPEGWFHQVDSSDLTIAVNFWWRSNIMSGMLEHMDGYYLRRILRRLTDKEMNHILQKASSSGMEKQKMHTHKLLSNGEADHNHRDLVQACEQNDLQEKGQQQRVSLHVLEPYALQVLHELVSLVHDRVNLIDQSQLVESTSANDSAVNMKAQFPKNFGGFDFALAFTGGSRSTHTEI >Manes.10G000300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:614189:620928:1 gene:Manes.10G000300.v8.1 transcript:Manes.10G000300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLVARMTLISTFMATTGLGLGQLRGFQTFSLPDLPYDYAALEPVISAEIMRLHHQKHHQTYITNYNKALEQLHDAMEKGDSATVVKLHSAIKFNGGGHINHSIFWKNLAPTREGGGEPPHGSLARAIDTDFGSLEKLTQTMNAKGAAVQGSGWVWLAVDKKFKKLVVETTANQDPLVTKGPTLVPLLGIDVWEHAYYLQYKNARSDYLTNIWKVMNWNYASEVYAKEFSSA >Manes.13G122800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068303:33078511:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEVHNALSLVRRRGSVWYEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQEDYVHGEDLGKLDCDHEFHFNCIKQWLVQKNNCPICKKTGLEV >Manes.13G122800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068302:33080069:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEVHNALSLVRRRGSVWYEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQVRSVHYYFLFVASQKQK >Manes.13G122800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068302:33078511:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQEDYVHGEDLGKLDCDHEFHFNCIKQWLVQKNNCPICKKTGLEV >Manes.13G122800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068309:33076600:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTGLCQNPFEPSGSYVDQNPFANYPSNSSPLVVSSGIAGHVVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEVHNALSLVRRRGSVWYEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQEDYVHGEDLGKLDCDHEFHFNCIKQWLVQKNNCPICKKTGLEV >Manes.13G122800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068302:33080069:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEVHNALSLVRRRGSVWYEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQVRSVHYYFLFVASQKQK >Manes.13G122800.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068302:33078511:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQVRSVHYYFLFVASQKQK >Manes.13G122800.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068302:33078511:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEVHNALSLVRRRGSVWYEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQVRSVHYYFLFVASQKQK >Manes.13G122800.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068302:33080068:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEVHNALSLVRRRGSVWYEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQVRSVHYYFLFVASQKQK >Manes.13G122800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068305:33076600:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTGLCQNPFEPSGSYVDQNPFANYPSNSSPLVVSSGIAGHVVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEVHNALSLVRRRGSVWYEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQEDYVHGEDLGKLDCDHEFHFNCIKQWLVQKNNCPICKKTGLEV >Manes.13G122800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33068309:33076600:1 gene:Manes.13G122800.v8.1 transcript:Manes.13G122800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGQDSTSNAFPGNFYLDYGSNGIHLRWNQNNVVPTDASVRDGHTVTGWMGQSSMNSQTPTRFQDLLAEDGLQSNGIDQSISVVNAQTPVRFQDLLAEDGLLSSPFASSGTGQSLEEKHSGPLIAPFQHSINMSLGNGQLTNTSFPLQQASSSVFPLNIDLNATFDSHSIDANLNTVTVEENNGGEGHSTEGRRLPCKRRAPEDAFGRLSMGESSKSTQLAISQENTSRRLNLPTPMNNQPNGKHSEQLGAGLMVALGAAPIMSNPSSVGGNGRGAGAGESSNIHQAIRVAGEADHSQRNIRLRRTVNHQDSVPTNLATLTTRNSHPQLPAQPAVLFSFDGVPNTSSVTGIVQPTPPMRQPVRVPYSSENMQPFQLDAVTGSRIGLPLTSNYTVNGGDVSFLGDNSRNNQGNRALPEFQRGSSEDMLRNLNFVPGMNSPGNIAHHSRNSSTLHVRRSSAPIRFGEDNMAQQYAQRISNIFNYNESQGEHSYSAQYAGIPTAARERELSVRGGNSRLSQIPLRSGWTMRTERLSGARPEVAMHNLTAAQRRSRLLSEVHNALSLVRRRGSVWYEDVMVIDRAVFYGIPEEPDLHEDMRLDVDNMSYEELLALGERIGNVSTGLSEDVFQAHIKRKMYEAAVVESPLEEEPCCICQEDYVHGEDLGKLDCDHEFHFNCIKQWLVQKNNCPICKKTGLEV >Manes.14G038300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3306240:3313911:-1 gene:Manes.14G038300.v8.1 transcript:Manes.14G038300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRWSRAASQMSKLGALSNMVELRKYLFIPRLTYAKASAAAVPPSPNDSTHKDFPSKSPVNLDKMFWSKPCSLALAPDSPLRIEEPKYEGIQHFILKLLMFYSKQSKSIRGANVVYRRIIAQVDKPAIYEVFNLEKTFKMTFSLLVLHMWLCLRRLKQEGKEGVEFGQYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAAMIPEAKSDELVKVVWRNVFSDDGKSELDATAVQAVQTKKPCFLGISCSPPWKTLHLMQRGVEMGEG >Manes.14G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3306240:3313911:-1 gene:Manes.14G038300.v8.1 transcript:Manes.14G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRWSRAASQMSKLGALSNMVELRKYLFIPRLTYAKASAAAVPPSPNDSTHKDFPSKSPVNLDKMFWSKPCSLALAPDSPLRIEEPKYEGIQHFILKLLMFYSKQSKSIRGANVVYRRIIAQVDKPAIYEVFNLEKTFKMTFSLLVLHMWLCLRRLKQEGKEGVEFGQYLYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAAMIPEAKSDELVKVVWRNVFSDDGKSELDATAVQAVQAMARYVCQEVHCLSLTDKEAMFSGNFMFTSLENTSSHAARS >Manes.15G094900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7393649:7400210:-1 gene:Manes.15G094900.v8.1 transcript:Manes.15G094900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIFGLCVPIRNSTILPLLISFSTKTSIKRTAIAPFHFPKQQKYHFCFCFQDSAMPPSSKAKIVAEYAKSNRSSCKKCSQVISAKALRLGLVTRDSRGFGMTKWHHLGCFSETIESTEAIGGFASLQMHDQEALKKLVSELKNSVTEVSNASKDAIKGSTTSNLKRTFENVQEVVLEERNLKTKLANEEGELEIAFSLSDIKETYKDATLLPKWKAFQTLIFLERDDGLQDSNKIAAFDFDGCLAKTSVKRVGADAWSLMYPSIPDKLQSLYNDGYKLNKRQTAVDSKLGRVNNFIKCVKVPMQDLQIGKRIGNGRLHDDLYTVEGNLSLNSPRTLFGRN >Manes.15G094900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7393649:7400210:-1 gene:Manes.15G094900.v8.1 transcript:Manes.15G094900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIFGLCVPIRNSTILPLLISFSTKTSIKRTAIAPFHFPKQQKYHFCFCFQDSAMPPSSKAKIVAEYAKSNRSSCKKCSQVISAKALRLGLVTRDSRGFGMTKWHHLGCFSETIESTEAIGGFASLQMHDQEALKKLVSELKNSVTEVSNASKDAIKGSTTSNLKRTFENVQEVVLEERNLKTKLANEEGELEIAFSLSDIKETYKDATLLPKWKAFQTLIFLERDDGLQDSNKIAAFDFDGCLAKTSVKRVGADAWSLMYPSIPDKLQSLYNDGYKLVIFTNESNIDRWKNKRQTAVDSKLGRVNNFIKCVKVPMQDLQIGKRIGNGRLHDDLYTVEGNLSLNSPRTLFGRN >Manes.15G094900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7388646:7400210:-1 gene:Manes.15G094900.v8.1 transcript:Manes.15G094900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIFGLCVPIRNSTILPLLISFSTKTSIKRTAIAPFHFPKQQKYHFCFCFQDSAMPPSSKAKIVAEYAKSNRSSCKKCSQVISAKALRLGLVTRDSRGFGMTKWHHLGCFSETIESTEAIGGFASLQMHDQEALKKLVSELKNSVTEVSNASKDAIKGSTTSNLKRTFENVQEVVLEERNLKTKLANEEGELEIAFSLSDIKETYKDATLLPKWKAFQTLIFLERDDGLQDSNKIAAFDFDGCLAKTSVKRVGADAWSLMYPSIPDKLQSLYNDGYKLNKRQTAVDSKLGRVNNFIKCVKVPMQVFVACGYSYDRVEDPFRKPKPGMWWIMEKHFNSGISIDMDKSFYVGDAAGRIDDHSDADIKFAQAIGLKFFVPEDYFGA >Manes.15G094900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7393715:7400210:-1 gene:Manes.15G094900.v8.1 transcript:Manes.15G094900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIFGLCVPIRNSTILPLLISFSTKTSIKRTAIAPFHFPKQQKYHFCFCFQDSAMPPSSKAKIVAEYAKSNRSSCKKCSQVISAKALRLGLVTRDSRGFGMTKWHHLGCFSETIESTEAIGGFASLQMHDQEALKKLVSELKNSVTEVSNASKDAIKGSTTSNLKRTFENVQEVVLEERNLKTKLANEEGELEIAFSLSDIKETYKDATLLPKWKAFQTLIFLERDDGLQDSNKIAAFDFDGCLAKTSVKRVGADAWSLMYPSIPDKLQSLYNDGYKLNKRQTAVDSKLGRVNNFIKCVKVPMQESFFISLPPPAKSPDHRRPAVQLQRRKVMRVRLMCPCKILRLSHAPIHVTFPSSFFLWRSFLANSASSRGSDLCGTVT >Manes.15G094900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7388516:7400210:-1 gene:Manes.15G094900.v8.1 transcript:Manes.15G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIFGLCVPIRNSTILPLLISFSTKTSIKRTAIAPFHFPKQQKYHFCFCFQDSAMPPSSKAKIVAEYAKSNRSSCKKCSQVISAKALRLGLVTRDSRGFGMTKWHHLGCFSETIESTEAIGGFASLQMHDQEALKKLVSELKNSVTEVSNASKDAIKGSTTSNLKRTFENVQEVVLEERNLKTKLANEEGELEIAFSLSDIKETYKDATLLPKWKAFQTLIFLERDDGLQDSNKIAAFDFDGCLAKTSVKRVGADAWSLMYPSIPDKLQSLYNDGYKLVIFTNESNIDRWKNKRQTAVDSKLGRVNNFIKCVKVPMQVFVACGYSYDRVEDPFRKPKPGMWWIMEKHFNSGISIDMDKSFYVGDAAGRIDDHSDADIKFAQAIGLKFFVPEDYFGA >Manes.15G094900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7394292:7400210:-1 gene:Manes.15G094900.v8.1 transcript:Manes.15G094900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNIFGLCVPIRNSTILPLLISFSTKTSIKRTAIAPFHFPKQQKYHFCFCFQDSAMPPSSKAKIVAEYAKSNRSSCKKCSQVISAKALRLGLVTRDSRGFGMTKWHHLGCFSETIESTEAIGGFASLQMHDQEALKKLVSELKNSVTEVSNASKDAIKGSTTSNLKRTFENVQEVVLEERNLKTKLANEEGELEIAFSLSDIKETYKDATLLPKWKAFQTLIFLERDDGLQDSNKIAAFDFDGCLAKTSVKRVGADAWSLMYPSIPDKLQSLYNDGYKLVIFTNESNIDRWKNKRQTAVDSKLGRVNNFIKCVKVPMQESFFISLPPPAKSPDHRRPAVQLQRRKVMRVRLMCPCKILRLSHAPIHVTFPSSFFLWRSFLANSASSRGSDLCGTVT >Manes.14G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2628623:2631521:1 gene:Manes.14G029000.v8.1 transcript:Manes.14G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMKTKPTGLSHMNGGSRAGGGGGGESGAEWELRPGGMVVQKRNPDSDRSSIPPPTIRVRVKYGSTYHEISINSQATFGELKKMLTGPTGLHHQDQKLFFKDKERDSNAFLDMSGVKDKSKIVLVEDPISQEKRFLEMRRNAKMEKASKSISEISLEVDRLAGQVSALESVISKGGKVAEKTVLNLIELLMNQLLKLDGIMADGDVKLQRKMQVRRVQKYVETLDILKMKNSMANGNGNGNQIPMQHQQKHNSNGQRLAPIQEQPLIPIEEEQPRQLPANHHHERQSSTSGAVVVTTQWEIFDSAPGLLPVTSASTSTSTSATNNNNNSVHQPKFPWDFFN >Manes.01G258700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41499178:41501658:1 gene:Manes.01G258700.v8.1 transcript:Manes.01G258700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLARRKRQHEFELQKEKEQKEKKEKKLQGKKNKMKVDGGNKTKKSSSGFSLRKKKVKTKLTALTKAKAAQAMEVDK >Manes.01G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5769963:5773611:-1 gene:Manes.01G028300.v8.1 transcript:Manes.01G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVYLVLLLALAGHSNGATYCLCKDGLSDAALQKSLDYACGAGADCTQILQSGACFQPNTVKDHCSYAVNSYYQKKGQAVGSCDFSGTATTSANPPSSVASGCVYPTSTTGTGTTTTPTTGTGTGTGTGTGTGTGTGTSGLTPTFGLGPTGSGTGIDNSGVAPIEVTSSMLLGLWFPVLLLVF >Manes.13G061600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRNIKLRRLASNTSLPPSSSFTHLPRMRRCLSPVSSCLAFSTGCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETGIETDFGEVLAFRQTHQSFFRKSDLFFICMLRPRSFDIQKQDSEIQAAQEYVDQAYNKEHQAFKYVAEICKNKSEGAYVGFSAMPISTSSSAKNTYLYFNNLNFNKL >Manes.13G061600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRNIKLRRLASNTSLPPSSSFTHLPRMRRCLSPVSSCLAFSTGCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETGVSINS >Manes.13G061600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRNIKLRRLASNTSLPPSSSFTHLPRMRRCLSPVSSCLAFSTGTLLLASLLLSMMRSCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETGIETDFGEVLAFRQTHQSFFRKSDLFFICMLRPRSFDIQKQDSEIQAAQEYVDQAYNKEHQAFKYVAEICKNKSEGAYVGFSAMPISTSSSAKNTYLYFNNLNFNKL >Manes.13G061600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRNIKLRRLASNTSLPPSSSFTHLPRMRRCLSPVSSCLAFSTGTLLLASLLLSMMRSCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETD >Manes.13G061600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETGIETDFGEVLAFRQTHQSFFRKSDLFFICMLRPRSFDIQKQDSEIQAAQWMPIQEYVDQAYNKEHQAFKYVAEICKNKSEGAYVGFSAMPISTSSSAKNTYLYFNNLNFNKL >Manes.13G061600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRNIKLRRLASNTSLPPSSSFTHLPRMRRCLSPVSSCLAFSTGCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETD >Manes.13G061600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETGIETDFGEVLAFRQTHQSFFRKSDLFFICMLRPRSFDIQKQDSEIQAAQEYVDQAYNKEHQAFKYVAEICKNKSEGAYVGFSAMPISTSSSAKNTYLYFNNLNFNKL >Manes.13G061600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7163822:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETGIETDFGEVLAFRQTHQSFFRKSDLFFICMLRPRSFDIQKQDSEIQAAQWMPIQEYVDQAYNKEHQAFKYVAEICKNKSEGAYVGFSAMPISTSSSAKNTYLYFNNLNFNKL >Manes.13G061600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRNIKLRRLASNTSLPPSSSFTHLPRMRRCLSPVSSCLAFSTGCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETGIETDFGEVLAFRQTHQSFFRKSDLFFICMLRPRSFDIQKQDSEIQAAQWMPIQEYVDQAYNKEHQAFKYVAEICKNKSEGAYVGFSAMPISTSSSAKNTYLYFNNLNFNKL >Manes.13G061600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7161413:7166319:1 gene:Manes.13G061600.v8.1 transcript:Manes.13G061600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLRNIKLRRLASNTSLPPSSSFTHLPRMRRCLSPVSSCLAFSTGTLLLASLLLSMMRSCSDSGSSLIKNFSASTASLTVNRAKEIGLLNATEDLYDGVTVEMKEPMESEVFVSLLRASMSKWRQQGKKGVWIKLPIQLSSLVEPVVQEGFRYHHAESDYLMLVHWIPNTPDTLPENASHRVGIGAFVINNNREVLVVKEKNGGFRGTGVWKLPTGVVHEGEDICAAAVREVKEETGIETDFGEVLAFRQTHQSFFRKSDLFFICMLRPRSFDIQKQDSEIQAAQWMPIQEYVDQAYNKEHQAFKYVAEICKNKSEGAYVGFSAMPISTSSSAKNTYLYFNNLNFNKL >Manes.03G162900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28940678:28943022:1 gene:Manes.03G162900.v8.1 transcript:Manes.03G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIDINRVPKKKNLIEAMEKADVKNKWENSSWGRKLIVQKRRGALNDFDRFKLMLAKIKRGGLIRQELAKLKKENPA >Manes.09G048800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8346343:8347496:-1 gene:Manes.09G048800.v8.1 transcript:Manes.09G048800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMKLPFLSKISKTDSSSSSSSWPWPAYCHQPKTLSFRITQNTPAESASFCNESDDEPSLETVIKGLRSERLFFEPGETSSILEEAAKAGGGGEFPFKKSVVLSMESQDPYVDFKKSMEEMVEAHGLKDWGDLEELLSCYLKLNAKSNHGFIIGAFVDLLVVNGFAFASSSCSISSSASDSCCCCSRSPSSPLSTFYTSSFSDDSSVTPCVSSLEADEEEIHGSLCLSSLEAQNQIINKKLN >Manes.02G070600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5384460:5392954:-1 gene:Manes.02G070600.v8.1 transcript:Manes.02G070600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPFPFSPSLLFSVSLLFFSLSIPWCLSNSEFYASCSTAQFVCGNITADFPFWGNERPDFCGNPGLELKCENDNPTIQINGVVYRVLEIDENGQSLRIARQDYTDGICKPQFMNTTLDPQLLEYAPGYKNLTIIYGCTIPALLGVPALFTCLVTGTGTGSQNGYAVTDAVGPGLCYRSIVVPVSEMVLTSAVINLSILEESLKQGFQVKWKVDDTACSNCIRSQGVCGYLLSNETTCYCPDQLIATKTCPSSPDGVLATPGGKSSKVGLGVGLGIAGAAAVGIGLGCWFLLIIQRRKRIATQTQSGDLPTAPASKGHPASTINFSQTTPSYPSSKSDLEKGSTYFGTQVFSYEELVEATDNFDPSKELGDGGFGTVYYGILKDGRVVAVKRLFENNMKRAEQFMNEIEILTRLRHKSLVALYGCTSKRSQELILVYEYVPNGTVADHLHGKQSKSGLLSWPVRLSIAIETADALAYLHASDVIHRDVKTNNILLDNNFRVKVADFGLSRLFPNDVSHISTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSLPAVDTNRQKFDINLANMAVNKIQNHAINELVDPCLGFKKDYAVRKMATSVAELAFRCLQQERDMRPTMREVLEALKKIEKETYESEKSEVLDIKEDDVGLLNHVPPFSPDSIEMTDKWGKMMRTL >Manes.02G070600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5391976:5392954:-1 gene:Manes.02G070600.v8.1 transcript:Manes.02G070600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPFPFSPSLLFSVSLLFFSLSIPWCLSNSEFYASCSTAQFVCGNITADFPFWGNERPDFCGNPGLELKCENDNPTIQINGVVYRVLEIDENGQSLRIARQDYTDGICKPQFMNTTLDPQLLEYAPGYKNLTIIYGCTIPALLGVPALFTCLVTGTGTGSQNGYAVTDAVGPGLCYRSIVVPVSEMVLTSAVINLSILEESLKQGFQVKWKVDDTACSNCIRSQGVCGYLLSNETTCYCPDQLIATKTCPSSPDGVLATPGRSNGHPSIAK >Manes.02G070600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5383916:5390566:-1 gene:Manes.02G070600.v8.1 transcript:Manes.02G070600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFSLVTLPLSLQMKPHFFLTLSLIFIITIVFLCPPPSVLASDAQYQNCSTTFRCGNITNIGYPFWGSNRPDYCGNPNFLLNCTEQAAEITIKNLTYQVLEIDSQAKYLKVARKDYIGDICPNLLINTTLDFVHFSYASDDIRNITLYYGCSTSFTPTTIPWISTQFTCDLNGSSSKGFYSTRNIDLSNSTIINLLGSCNNSVIVPATQSAVETLENNITEENLVIALEKGFGLQWEANNPVCETCTLSGGTCGYNTTTNSFACYCTDQPADQFSCRESTTNNPSESSGGKSSKVGLGVGLGIAGAAAVGIGLGCWFLLIIQRRKRIATQTQSGDLPTAPASKGHPASTINFSQTTPSYPSSKSDLEKGSTYFGTQVFSYEELVEATDNFDPSKELGDGGFGTVYYGILKDGRVVAVKRLFENNMKRAEQFMNEIEILTRLRHKSLVALYGCTSKRSQELILVYEYVPNGTVADHLHGKQSKSGLLSWPVRLSIAIETADALAYLHASDVIHRDVKTNNILLDNNFRVKVADFGLSRLFPNDVSHISTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISSLPAVDTNRQKFDINLANMAVNKIQNHAINELVDPCLGFKKDYAVRKMATSVAELAFRCLQQERDMRPTMREVLEALKKIEKETYESEKSEVLDIKEDDVGLLNHVPPFSPDSIEMTDKWGKMMRTL >Manes.05G198200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32265465:32268855:1 gene:Manes.05G198200.v8.1 transcript:Manes.05G198200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKHFLSKGANNSAAAEVPIWNSCSFGMEMQSNKLNCFSDQLPNCFFNPNWDSSMDQSDPFESALSSIVSSPVTTSNAIPSSCGVGDPVMIREVIGRLGNICNSGEISYINNNPSTNTSCYGTPLSSPPKLNNPTMDSKIAGNLPILGKGIPEHPSLASFPADPGFVERAARFSCFGNGNLVGLNDKFRLNESELSHRLMPRLEPGRVSSNLSVKALGPQANVNVDGNSNSDKKFSRLSRSSTPENAEFGDSREESSVSEQIPGGELSMKGQKDCYSRKRKAIPRGKAKETLSSSLSTSDSKVVGDKDETNAKRSKSEETNGKEKDVIKAKEEQNSNQKQNKDNEKPLEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDINMESLLSKEIFQSRGSLSHNLYPMENSAGLSFPYVYQSQQGIALPNGMFSNGETQFSVNPLNVVLKQNQCRQLPALNELGDAAWQVSTFWEDDLQSVVQMGFGQNQQQQQDFHGPNMPGGQMKVEL >Manes.05G198200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32265465:32268855:1 gene:Manes.05G198200.v8.1 transcript:Manes.05G198200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKHFLSKGANNSAAAEVPIWNSCSFGMEMQSNKLNCFSDQLPNCFFNPNWDSSMDQSDPFESALSSIVSSPVTTSNAIPSSCGVGDPVMIREVIGRLGNICNSGEISYINNNPSTNTSCYGTPLSSPPKLNNPTMDSKIAGNLPILGKGIPEHPSLASFPADPGFVERAARFSCFGNGNLVGLNDKFRLNESELSHRLMPRLEPGRVSSNLSVKALGPQANVNVDGNSNSDKKFSRLSRSSTPENAEFGDSREESSVSEQIPGGELSMKGQKDCYSRKRKAIPRGKAKETLSSSLSTSDSKVVGDKDETNAKRSKSEETNGKEKDVIKAKEEQNSNQKQNKDNEKPLEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDINMESLLSKEIFQSRGSLSHNLYPMENSAGLSFPYVYQSQQGIALPNGMFSNGETQFSVNPLNVVLKQNQCRQLPALNELGDAAWQVSTFWEDDLQSVVQMGFGQNQQQQQDFHAGPNMPGGQMKVEL >Manes.02G152400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11667477:11672882:-1 gene:Manes.02G152400.v8.1 transcript:Manes.02G152400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWAPEEEGHYYPGRVSRWWLKQSLAHLGSSLRSLGTTLVTKRSTDSVSALLEVVKSTGATQLFFNHLYDPLSLVRDHRAKELLTAQGVAVRSFNADLLYEPWEVNDAQGSPFTTFGEFWERCLSMPYDPEAPLLPPKRIISGDISRCPSNLLIFEDESEKGSNALLARAWSPGWSNADKALMTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRMKQVLWANDGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRLVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPVVWIDAAKVRLQEALSEMWQQEAASRAAIENGTEEGLGDSSESALIAFPQDILMEERHEPVRNNLPSTIRRYEDQMVPSITTSLLRVEEEETSSDLRNVTEDTRAEVPRNVNINQEQRRDNLNLGAAQTIRENNLAHFSVVRSLGIEDSTEESSSSSRRERDGGIVPVWSPPSASYSEQFAGDENGIGTSPYLQRHPQSHQILNWRRLSQTG >Manes.02G152400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11667477:11672882:-1 gene:Manes.02G152400.v8.1 transcript:Manes.02G152400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWAPEEEGHYYPGRVSRWWLKQSLAHLGSSLRSLGTTLVTKRSTDSVSALLEVVKSTGATQLFFNHLYDPLSLVRDHRAKELLTAQGVAVRSFNADLLYEPWEVNDAQGSPFTTFGEFWERCLSMPYDPEAPLLPPKRIISGDISRCPSNLLIFEDESEKGSNALLARAWSPGWSNADKALMTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRMKQVLWANDGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRLVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPVVWIDAAKVRLQEALSEMWQQEAASRAAIENGTEEGLGDSSESALIAFPQDILMEERHEPVRNNLPSTIRRYEDQMVPSITTSLLRVEEEETSSDLRNVTEDTRAEVPRNVNINQEQRRDNLNLGAAQTIRENNLAHFSVVRSLGIEDSTEESSSSSRRERDGGIVPVWSPPSASYSEQFAGDENGIGTSPYLQRHPQSHQILNWRRLSQTG >Manes.02G152400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11667477:11672882:-1 gene:Manes.02G152400.v8.1 transcript:Manes.02G152400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFIWAPEEEGHYYPGRVSRWWLKQSLAHLGSSLRSLGTTLVTKRSTDSVSALLEVVKSTGATQLFFNHLYDPLSLVRDHRAKELLTAQGVAVRSFNADLLYEPWEVNDAQGSPFTTFGEFWERCLSMPYDPEAPLLPPKRIISGDISRCPSNLLIFEDESEKGSNALLARAWSPGWSNADKALMTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRMKQVLWANDGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRLVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPVVWIDAAKVRLQEALSEMWQQEAASRAAIENGTEEGLGDSSESALIAFPQDILMEERHEPVRNNLPSTIRRYEDQMVPSITTSLLRVEEEETSSDLRNVTEDTRAEVPRNVNINQEQRRDNLNLGAAQTIRENNLAHFSVVRSLGIEDSTEESSSSSRRERDGGIVPVWSPPSASYSEQFAGDENGIGTSPYLQRHPQSHQILNWRRLSQTG >Manes.16G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31163822:31165177:1 gene:Manes.16G106000.v8.1 transcript:Manes.16G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSAEEDATLKNYLQKHGTGGNWISLPRKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDDLICSLYSSMGSRWSVIASRLPGRTDNDVKNYWNTKLKKKMLEGKLDAKKSSKIRNKIDISINNCNDPVVQFSAFSVPESETYNPGNSSPCFSAYSTTLPPLMEMGSFQQCCDPQFQGLILNQTQFPISSFMEVPSFGTSGCDSYSVSSSHQEASSLVPSDSNRYSISSGNGSLVKDNGFEFLYDELLNDLGFQGKFSPEVAPFLGNN >Manes.04G102700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30743166:30743992:-1 gene:Manes.04G102700.v8.1 transcript:Manes.04G102700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDRCYTQDYNVVPYPSPYNQPMYEEKPPIYYDRSQSFWGPPPPPPPPPQGVWFANNPPPPPPPAPRQYHMAVNPFRVNKYKDAPVPFTEEQVRQVFMKFDLNGDNVLSREEIRQAFNYLGAMFPAYRARQGIKFSDANGDGVIDMSEIDDLVKYAYNLGFNVR >Manes.11G028200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2724840:2735889:1 gene:Manes.11G028200.v8.1 transcript:Manes.11G028200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVAAAPAGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDSSKAVGNGCIASSSNSNSAKPYLANGGCPEKSSSFPSNDFNFPPGGIPFLHLPVVVTSSETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPNQCNMLAYSSSRGSIRLIDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDINMDSGPVAAFQVQEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSSEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAESPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Manes.11G028200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2724840:2735889:1 gene:Manes.11G028200.v8.1 transcript:Manes.11G028200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEVAAAPAGPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISEMNVDSSKAVGNGCIASSSNSNSAKPYLANGGCPEKSSSFPSNDFNFPPGGIPFLHLPVVTSSETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPNQCNMLAYSSSRGSIRLIDLRQSALCDSHAKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRHILSRDYMTLKLWDINMDSGPVAAFQVQEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSSEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAESPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Manes.07G031300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3431995:3432570:-1 gene:Manes.07G031300.v8.1 transcript:Manes.07G031300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRFKNMSSLIKILIFLVLIAPQVNALMPYTRSLWDMMLPSEDPFRILEQSPLTIPKGVESLALARADWKETPTSHVISMDIPGIKKDGVKIEVEENRVLRVSGERKGEEEEVEGEKWHRAERINGKFWRQFRLPNNADLEHIKAHLEDGVLRITVPKFAEQQKKQAKVIDIAEQGSSGQDIKATKAEM >Manes.06G047300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14839060:14845501:-1 gene:Manes.06G047300.v8.1 transcript:Manes.06G047300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPFKQDIDELINEFVEGESTTLADMKKVWLSRKFTYIFDAIPSTNTAFYMQSLYAHTISYMVGTGSLSKRLGALYCLYCLYETQPFKPPFKIYLSLGELKKIKALVVDAKEKSIKVVPTLVNRMLEKNVFLFGSVDLNAGSFSQTVNQLTELQNARVQLAYKKLFANTRIEQFLDMDMGAELDYNTLKKMSTEYAEAKKQAIQEASKVVDIQNIQHISNDKELIGDAVENITGNWNVQKEAFYQQTGLNQHTTLVERQQLENQGKQEDDEFGHHLELQLVEDESHQQKQEDDEFSSQLELQLVEDGPQQKQEDDEFDQLEMELFGDE >Manes.06G047300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14839259:14845500:-1 gene:Manes.06G047300.v8.1 transcript:Manes.06G047300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPFKQDIDELINEFVEGESTTLADMKKVWLSRKFTYIFDAIPSTNTAFYMQSLYAHTISYMVGTGSLSKRLGALYCLYCLYETQPFKPPFKIYLSLGELKKIKALVVDAKEKSIKVVPTLVNRMLEKNVFLFGSVDLNAGSFSQTVNQLTELQNARVQLAYKKLFANTRIEQFLDMDMGAELDYNTLKKMSTEYAEAKKQAIQEASKVVDIQNIQHISNDKELIGDAVENITGNWNVQKEAFYQQTGLNQHTTLVERQQLENQGKQEDDEFGHHLELQLVEDESHQQKQEDDEFSSQLELQLVEDGPQQKQEDDEFDQLEMELFGDE >Manes.06G047300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14838590:14845502:-1 gene:Manes.06G047300.v8.1 transcript:Manes.06G047300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPFKQDIDELINEFVEGESTTLADMKKVWLSRKFTYIFDAIPSTNTAFYMQSLYAHTISYMVGTGSLSKRLGALYCLYCLYETQPFKPPFKIYLSLGELKKIKALVVDAKEKSIKVVPTLVNRMLEKNVFLFGSVDLNAGSFSQTVNQLTELQNARVQLAYKKLFANTRIEQFLDMDMGAELDYNTLKKMSTEYAEAKKQAIQEASKVVDIQNIQHISNDKELIGDAVENITGNWNVQKEAFYQQTGLNQHTTLVERQQLENQGKQEDDEFGHHLELQLVEDESHQQKQEDDEFSSQLELQLVEDGPQQKQEDDEFDQLEMELFGDE >Manes.06G047300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14838590:14845502:-1 gene:Manes.06G047300.v8.1 transcript:Manes.06G047300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPFKQDIDELINEFVEGESTTLADMKKVWLSRKFTYIFDAIPSTNTAFYMQSLYAHTISYMVGTGSLSKRLGALYCLYCLYETQPFKPPFKIYLSLGELKKIKALVVDAKEKSIKVVPTLVNRMLEKNVFLFGSVDLNAGSFSQTVNQLTELQNARVQLAYKKLFANTRIEQFLDMDMGAELDYNTLKKMSTEYAEAKKQAIQEASKVVDIQNIQHISNDKELIGDAVENITGNWNVQKEAFYQQTGLNQHTTLVERQQLENQGKQEDDEFGHHLELQLVEDESHQQKQEDDEFSSQLELQLVEDGPQQKQEDDEFDQLEMELFGDE >Manes.05G062100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5208283:5212080:-1 gene:Manes.05G062100.v8.1 transcript:Manes.05G062100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELIFRGHDDTQHETDSYSPKPPKRWLSFTRPIRYMLREQRLVFVLVGIVIATLFFTVLSSSSKAPYVHHHKYEPISDALAHFSSESASSRYKLYEPRMAGFGSMNSGGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVMHHFKNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNARIEFRPNTEDDPHKRKPDITKAKDMLGWEPKISLRKGLPLMVSDFRQRIFGDHNEASTTSNISTA >Manes.14G124500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9857792:9859008:-1 gene:Manes.14G124500.v8.1 transcript:Manes.14G124500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDKILLLVLLAFTCSLAMADHTPLQDFCVADPSNSVVVNGFACKDPKNVGADDFFLSGLHLAGNTTNPVGSKVTPVTVAQLPGLNTLGISLARVDFAPWGINPPHSHPRATEILTVLEGCLEVGFVTSNPENRLITKVVNKGDVFVFPVGLIHFQRNVGYGKAVAIAGLSSQNPGVITIANAVFGSKPDIPSDVLAKAFQVDKSVIYQIQAKF >Manes.06G073800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20982064:20984380:1 gene:Manes.06G073800.v8.1 transcript:Manes.06G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETMSLLLQPSDPDKGDISSLLEALQRNEDWFEDLIIKNSAVLLRGFDVNKAEGFNDIVETCGWDDIRYVGPAPRTHIYKREFPQEVEGIEAKGLKYTFTALSNSDTSSMKGRGWEDDFGTSDPAEAERSAEQVHTGTPENSSTLIVPKSAHSAEQCMTPEMDVEWLPNGGVKTILGLRPLTRVFEGRKGRRMWFNTVVGMHGKELSSALMADGTEIPEEFVKRCGEIIEESIQFKWEAGDVLFLDNYALLHGRRPSLPPRKVLVATCK >Manes.17G006800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2706288:2708451:1 gene:Manes.17G006800.v8.1 transcript:Manes.17G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEEFEEYAEKAKTLPENTTNENKLILYGLYKQATIGPVNASRPGMFNMRDRAKWDAWKAVEGKSKEEAMNDYITKVKQLQEEAAAAST >Manes.16G097600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30316295:30319567:-1 gene:Manes.16G097600.v8.1 transcript:Manes.16G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIAEMKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNERMEMVTEVMGDAIDDALEGDEEEEETEELVSQVLDEIGININQELVNAPSSAVAAPAAKGKVAQVETTGNDDSGIDSELQARLDNLRRM >Manes.09G179800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36897050:36900100:1 gene:Manes.09G179800.v8.1 transcript:Manes.09G179800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPPAVTIHVTGFKKFHGVSENPTETIVSNLNEYMKKKGLPKGVILGSCSVLETAGHGAIVPLYQTFQNALKTNNSESSNSGRVIWLHFGVNSGATKFAIENQAVNEATFRCPDEMGWKPQKIPIILSDGGISRVRETTLPAEEITKALAKKGYDVTTSDDAGRFVCNYVYYHSLRYAEQNGIQSLFVHVPLFSTIDEETQMQFAASLLEVLASLN >Manes.09G060860.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9913295:9915226:-1 gene:Manes.09G060860.v8.1 transcript:Manes.09G060860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIYICSSLILSLVLILWLNGRKWRNGNLLPPGPPGWPVFGNMFDLGKMPYRALYDLKFKYGGVLWLRLGYMDTMVVQSAKAAAELFKNHDASFCDRKTLDVFTSHDYDKAALAFSHYGPYWRMLRRLYSMELLVSKRVNETAPIRRKCIHQMLRNIEDDATAAKARGESGELNLAHYLFLMSFNLVGNLMLSRDFLDSQCIVGLEFFEAMDKFMKWGGKPNIVDFLPFLKWFDPQGLKRNMMRDTGKLIGIVQRFVDERIEEHKFVKENKKAKDFLDVLLEYEGDGKEWQGKIPYEKIIIIIVEMFFGGSETTSTTIEWAMAELLRHPKAMEKVKEELNVVIGENRDVEEIDIDNLPYLQAVIRETSRLHPVVPLLIPRNTIQDTNFMGYHIPKNTQVYVNVWAIGRDPEIWKDPMEFKPERFLGSDIDYKGQSFELLPFGSGRRICVGIALAHRVVHLGLASLIHNFDWELLDKDSTLDMDERIGITVRKLVPLSVVPKKRPKNMNV >Manes.16G002100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:409519:411196:1 gene:Manes.16G002100.v8.1 transcript:Manes.16G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNNVIGAINFVAMLLSIPVIGAGIWLAMQPDNSCVKILQWPVIILGILILVVALAGFIGGFWRIPWLLIFYLVAMLILIILLACLVVFIYMVTIRGSGRLEPSRAYLEYHLDDFSGWLRRRVQSSYKWDRIRSCLSSIDMCSELNQSYRMAQDFFNARITPLQSGCCKPPTLCGYTFVNPTYWISPINNAADMDCLNWNNDQNQLCYSCDSCKAGLLANLKKEWRRADIILVITLVGLICVYVMGCCAFRNAKTEDLFRRYKQGYT >Manes.05G052800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4369865:4374646:-1 gene:Manes.05G052800.v8.1 transcript:Manes.05G052800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSVPETQTSAHTDAAEFTAMASSTLKSHQPLHNFPLQDLKWSMNHTNNYRFRKLAVDSSHKSPHRDTANPDGNPVSDGVKTGNSVASPDQRTEKSERKSEVSDTAVDNSDKISKIFIRIRTKSSKCADDSADAGDQTSVADDAEETITKTWNLRPRRAVTKAPNGNGGVPKIAGAGAVEPETKAKEPIRPELTRSRNANDAKVSEKKEKEKDKKLRFSIPLTKEEIEEDVYALTGSKPARRPRKRSKHVQKQLDCLFPGLWLASITPDAYKVSDTPLKG >Manes.05G052800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4372945:4374248:-1 gene:Manes.05G052800.v8.1 transcript:Manes.05G052800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSVPETQTSAHTDAAEFTAMASSTLKSHQPLHNFPLQDLKWSMNHTNNYRFRKLAVDSSHKSPHRDTANPDGNPVSDGVKTGNSVASPDQRTEKSERKSEVSDTAVDNSDKISKIFIRIRTKSSKCADDSADAGDQTSVADDAEETITKTWNLRPRRAVTKAPNGNGGVPKIAGAGAVEPETKAKEPIRPELTRSRNANDAKVSEKKEKEKDKKLRFSIPLTKEEIEEDVYALTGSKPARRPRKRSKHVQKQLDCLFPGLWLASITPDAYKVSDTPLKG >Manes.17G069800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26991644:26994478:-1 gene:Manes.17G069800.v8.1 transcript:Manes.17G069800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSSDYWIALEEIKNLCRNSSVSSQGLRYMEGNRDSFGGNFSTQKRMSYFNHLNDSVEIPCGFFKKFPVSDSDRTAMESCNGVVVVSAIFNDHDKIRQPKTLGSKTQDNVCFFMFVDDKTIEGLSQHQLISRKSLEYSLGVWRIIKVSSTDLYENPAMNGVIPKYLVHRLFPNSKFSIWIDAKLQLMVDPLLLIHTFVVPKEVDMAISQHPYFIHTMEEALATARWRKWWDIDALRIQMETYCENGLQPWTPNKLPYPSDVPDSALILRRHGANSNLFSCLMFNELEAFNPRDQLAFAYIRDHMNPKLSLNMLEVEVFEQVVMEYRHNLKKDNETWKPRKLKRVSKDLFVNSSCCSKCHEYLFEMWGESQN >Manes.17G069800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26991644:26994478:-1 gene:Manes.17G069800.v8.1 transcript:Manes.17G069800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKLSTPLIFQSKLLCLSLLYLFSTLLLALYSSLHPTKCLFRYSPFDPVQVPLFSYPPTYGEHKYAISTHRNHCSSPVFISDYWIALEEIKNLCRNSSVSSQGLRYMEGNRDSFGGNFSTQKRMSYFNHLNDSVEIPCGFFKKFPVSDSDRTAMESCNGVVVVSAIFNDHDKIRQPKTLGSKTQDNVCFFMFVDDKTIEGLSQHQLISRKSLEYSLGVWRIIKVSSTDLYENPAMNGVIPKYLVHRLFPNSKFSIWIDAKLQLMVDPLLLIHTFVVPKEVDMAISQHPYFIHTMEEALATARWRKWWDIDALRIQMETYCENGLQPWTPNKLPYPSDVPDSALILRRHGANSNLFSCLMFNELEAFNPRDQLAFAYIRDHMNPKLSLNMLEVEVFEQVVMEYRHNLKKDNETWKPRKLKRVSKDLFVNSSCCSKCHEYLFEMWGESQN >Manes.17G069800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26991644:26994478:-1 gene:Manes.17G069800.v8.1 transcript:Manes.17G069800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKLSTPLIFQSKLLCLSLLYLFSTLLLALYSSLHPTKCLFRYSPFDPVQVPLFSYPPTYGEHKYAISTHRNHCSSPVFISDYWIALEEIKNLCRNSSVSSQGLRYMEGNRDSFGGNFSTQKRMSYFNHLNDSVEIPCGFFKKFPVSDSDRTAMESCNGVVVVSAIFNDHDKIRQPKTLGSKTQDNVCFFMFVDDKTIEGLSQHQLISRKSLEYSLGVWRIIKVSSTDLYENPAMNGVIPKYLVHRLFPNSKFSIWIDAKLQLMVDPLLLIHTFVVPKEVDMAISQHPYFIHTMEEALATARWRKWWDIDALRIQMETYCENGLQPWTPNKLPYPSVGRCARQCFDLEKAWSQQQSILLPYVQ >Manes.12G097501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:21598080:21604577:-1 gene:Manes.12G097501.v8.1 transcript:Manes.12G097501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNHSLTSCPSCSLAKVPSRTRTFILPRIGNFSNPLRCMIYPKLAKNTTARRSANYQSPIWDFNFVQSLKSEYGEEVYINRINKQKEEVRLMLKQTVDPLNQLQLIDTLQRLGLAYHYEDEIKRVLMSFTHNIHNIGMREDLYATALKFRLLRQHGYDVPQVNAETFDIFRDEAGNFKKCLCEDWEGMLSLYEASFLLEEGETILQNARDFTTTRLNKFVEQTKDQNISKLINHALELPLHWRMPRLETRWFIDVYERKQGMNPLLLELAKLDFNNVQITHQNDLKHMSWWWRNIGLEEKLSFARSRLIESFFWTIGLISEPQFSYCRRILTKAIALVTIIDDVYDVYGTLDELELFTDAVERWDVNAMEQLPDYMKICYLSFHNSINEIAFDVLKEQGIYIIPYLKKAWADLCKSFLLEARWFYNGHIPSLQEYIDNAWISISGHVILIHAYFLVNSPITNDALKCLKEYSNIIRYSSTIFRLANDLGTSSDELKRGDVPKSIQCYMHETGVSEAEASYHIRFLISEIWKKMNNEKTTNSPFSETFIKIAFNLFRMAQCTYHQGDGFGIVNCETKDQVLSLLIEPIPCRISAM >Manes.07G082205.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:25645447:25648986:-1 gene:Manes.07G082205.v8.1 transcript:Manes.07G082205.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATPYYQKVSRATARKDCFSAYEIEKKKVKALLKDTDKVSVTTDLWKSGQHISYMVVTAHFVDSEWKLQKCTLNFCDVPPPHTGVVICDVLQKCLVEWGIEDKVWTISVDNASYNDVAVRMLKDNLAYKNSLALHGKLFHVRCCAHILNLLVQDGLSEIADIIKNVRESVKHLVASESRRLIFSEIAKQLKLPSKKLLLDCGTRWNATYFMLSAALEFKDVFPRYQQRDSSYTYLPSEDDWQKVKEVCSFLEEFNEVTNVISGTEYPTSNLFLHELHSIKKLLDEAHENGNIYMKVMVGKMKCKFDKYWGD >Manes.08G054502.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5885625:5890771:1 gene:Manes.08G054502.v8.1 transcript:Manes.08G054502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQFEMSDLGLLSYYLGIEVRQNSDSITLCQAGYAKKILEKLGLGECNSCSIPMEPKVKMSKHGNGEPEVDKTLYRSVIGSLRYLVNTRPDIAYSVGVVSRYMEAPTTSHLAAVKQILSCQAGTCQGVWLSRILSDISQQQVKPKLNVDNKLAIALAKNSVYHDRSKHIDTRFHFIRQCVQSEVIELEYVNTNEQLADILTKPLARQRFLELREKIGLKKIETV >Manes.06G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23678187:23680705:1 gene:Manes.06G104300.v8.1 transcript:Manes.06G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVVMVPTINSNMKLKPPPSSASSFSCPPFTAQHKVYNLSIKFREIKIMETSSKPKIQIPNNMKQSSSDSQSHVDSPHSPLRLNSPLPSDQGDPHESPPFVSPMNSPGKSPPVDNSMAIVAVDKFTQCTPQPSPRPHENTAYPQASAMMFNRAMREEGPPVVGKVRPNGRSAVVDSWKSRREDTMKVAELGFRISEVVLCLISFSVMAADKTQGWSGDSYDRYREYRYCLSVNVIAFVYSGFQAYDLGYHLATGKHVIRHHLRYHFNFFMDQILAYLLISAASSAATRVDDWQSNWGKDEFTKMASASVAMAFLAFIAFAVSSLISGYNLYNNSSS >Manes.18G079100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7255234:7258858:-1 gene:Manes.18G079100.v8.1 transcript:Manes.18G079100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPPQILGLQTGSSISEQKNNCLGVKDFCKLDLSAIKKWCSFKKSPSTSSHSPGRKAELEAKSQAATQKTAECLGEEKEEEETMNAVHSFRYNADSVRSENPASPGDCYKHKSMDSCFPNVCSSLSRNGSRRSPSPTPSFLRRSKSKGSTEPNHRNSLPISRDASKRSSTHIMFSNSTGMVKPPAVHKNLECTLEELCYGCMKKIKVTRDVLTNTGQIVQEEEILIIDVKPGWKKGTKITFEGMGNERPGTCPADIIFVIAEKRHHLFQREGDNLEIVIEISLLKALTGCEISIPLLGGENMSLMIDDIIYPGYEKIIRGQGMSIAKEHGKRGNLKIVFLVEFPTELTDEQRSDILSILEDSC >Manes.03G075600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12873845:12875411:1 gene:Manes.03G075600.v8.1 transcript:Manes.03G075600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPSLLFLACLLFLACLLFLPLSASAECTCDQNTAENDRTKTLKYKLVAISSILIASALGVTLPIFSKKIPSFHPENNFFFLIKAFAAGVILATGFVHILPDAFDSLTSACLEEKPWGDFPFAGFVAMVSAIITMMIDSFANSYYRRLHFSKALPVSGNEEMEGKHEGHVHVHTHASHGHAHGSAFVADDSEISGHFRHRVVSQVLELGIVVHSVIIGISLGASESSNTIKPLVAALTFHQFFEGMGLGGCISQAKFKSQAVAVMVLFFSMTTPTGIAIGIGISQSYDKNTPTALIVEGVLNSAAAGILIYMALVDLLAEDFMNSKMQSNFRLQLGASISLVFGIGFMSLLAKWA >Manes.14G112200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12898738:12903378:1 gene:Manes.14G112200.v8.1 transcript:Manes.14G112200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVFAEILDEDSVYKYYSDGEWKKCSSGKAVAIINPTTRKTQYKVQACSQAEVNKVMESAKSAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVQCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMLPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAIKVVLVMESVADALVEKVKARVAKLRVGPPEDDCDITPVVTESSANFIEGLVMDAKEKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAVLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMG >Manes.14G112200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12898738:12903378:1 gene:Manes.14G112200.v8.1 transcript:Manes.14G112200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKSAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVQCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMLPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAIKVVLVMESVADALVEKVKARVAKLRVGPPEDDCDITPVVTESSANFIEGLVMDAKEKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAVLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMG >Manes.14G112200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12898738:12903386:1 gene:Manes.14G112200.v8.1 transcript:Manes.14G112200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGVFAEILDEDSVYKYYSDGEWKKCSSGKAVAIINPTTRKTQYKVQACSQAEVNKVMESAKSAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVQCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMLPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAIKVVLVMESVADALVEKVKARVAKLRVGPPEDDCDITPVVTESSANFIEGLVMDAKEKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAVLISDAMETGTVQINSAPARGPDHFPFQVNN >Manes.17G034998.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:16777074:16777688:-1 gene:Manes.17G034998.v8.1 transcript:Manes.17G034998.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASKNKLKFIDGTLTTPTKTDLMYSMWERCNTTVVSWITKSFSLPIEQSILWLDKAVDEEIFAFSNMSFHELNNFRPIPSCSYNIPCSCGALDTIKIYMKDDYVIRFLKGLNDQFATVKSQIMLMEPLPSINKVFSLAVQQERQIYGNNVDSKVFLNKTVINKGNTYGRGRFIPNSYEGRG >Manes.10G083900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:20982281:20982921:-1 gene:Manes.10G083900.v8.1 transcript:Manes.10G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIVVVEGLCICVSTLWDLQEMRFAAIIDDVSSIAMAIIFAAVSCFISLRRR >Manes.18G110650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10994992:10995774:-1 gene:Manes.18G110650.v8.1 transcript:Manes.18G110650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQLFAANQSDKKRNHRYIIDVQENNAVSTELTLHCGFPSMIKKPRPTPISSSSFLHSSIVVSTRPAKEEEPDGVSKESSELKTLARDTANERIYSPEEERKMRLKHPVWTKLVHYDPWKIKKRLTGSDLGNHCRLLVASALVKNHIFPFMGSEIVEKIRGEGAEFCFWDCDTNTGLNLVLKYWHTSKSYIFNKGWQNNFVKRRHLVEGDLIGIYWDFTKKIFNFAVLERACEVYP >Manes.15G101300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7936855:7944289:1 gene:Manes.15G101300.v8.1 transcript:Manes.15G101300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMVVLVGSMPSLASLISLGSFSVTAAITSSSESSPSPSYSSFVRRVSLAKRSFRSAKRWHCVCRYSVTTPDFIAEQGNAVSLDSNNSFRASNNGDVDNEIVLKPAPKPVLKSASLGSKGESLLGVSSIELDPSSDSDDERERNEVIESLGEALQKAEKLENSKPSLSTTRKDNGNVNKPTPSNMGVNSRVAKSGNLAATGKTKTSKSVWRKGDTVVSVQKVVKEAPKTNNKLVKEKENITREGTKLESQPSVPLRPVQPPLRPQPKLQAKPSVAPPPMMKKPVILKDVGAAPKPPVGDKADLGATKSTGRQPILVDKFARKKPVVDPLIAQAVLAPTKPGKAPASGKFKDRKKSVSPGGPRRRIVDDDDVEIPDEETSELNVPIPGAATARKGRKWSKASRKAARLQAAKEAAPVKVEILEVGEKGMLIEELAYNLAISEGEILGYLYSKGIRPDGVQTLDKDMVKMVCKEYDVEVIEADPVRFEEMARKREILDEDDLDKLEERPPVLTIMGHVDHGKTTLLDYLRKSRVASSEAGGITQGIGAYKVLIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPEDWGGDVPMVQISALKGDNIDDLLETVMLVAELQELKANPHRNAKGTVIEAGLHKSKGPVATFIVQNGTLKRGDIVVCGEAFGKVRALFDDSGNRVDEAEPSIPVQVIGLNNVPIAGDEFEVVASLDIAREKAEARAELLRDERISAKAGDGKVTLSSLASAVSSGKLSGLDLHQLNIIMKVDVQGSIEAVRQALQVLPQDNVTLKFLLQATGDVSTSDVDLAIASEAIILGFNVKAPGSVKSYAENKGVEIRLYRVIYDLIDDVRNAMEGLLEPVEEEKTIGSTEVRAVFSSGSGRVAGCMVTDGKVVKGCGIKVIRKKKIVHVGVLDSLRRVKEIVKEVNAGLECGIGMEDFDDWEEGDTIEAFNTVEKKRTLEEASASMVAAMEEAGINL >Manes.15G101300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7936615:7944289:1 gene:Manes.15G101300.v8.1 transcript:Manes.15G101300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLASLISLGSFSVTAAITSSSESSPSPSYSSFVRRVSLAKRSFRSAKRWHCVCRYSVTTPDFIAEQGNAVSLDSNNSFRASNNGDVDNEIVLKPAPKPVLKSASLGSKGESLLGVSSIELDPSSDSDDERERNEVIESLGEALQKAEKLENSKPSLSTTRKDNGNVNKPTPSNMGVNSRVAKSGNLAATGKTKTSKSVWRKGDTVVSVQKVVKEAPKTNNKLVKEKENITREGTKLESQPSVPLRPVQPPLRPQPKLQAKPSVAPPPMMKKPVILKDVGAAPKPPVGDKADLGATKSTGRQPILVDKFARKKPVVDPLIAQAVLAPTKPGKAPASGKFKDRKKSVSPGGPRRRIVDDDDVEIPDEETSELNVPIPGAATARKGRKWSKASRKAARLQAAKEAAPVKVEILEVGEKGMLIEELAYNLAISEGEILGYLYSKGIRPDGVQTLDKDMVKMVCKEYDVEVIEADPVRFEEMARKREILDEDDLDKLEERPPVLTIMGHVDHGKTTLLDYLRKSRVASSEAGGITQGIGAYKVLIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQDLSSIGLMPEDWGGDVPMVQISALKGDNIDDLLETVMLVAELQELKANPHRNAKGTVIEAGLHKSKGPVATFIVQNGTLKRGDIVVCGEAFGKVRALFDDSGNRVDEAEPSIPVQVIGLNNVPIAGDEFEVVASLDIAREKAEARAELLRDERISAKAGDGKVTLSSLASAVSSGKLSGLDLHQLNIIMKVDVQGSIEAVRQALQVLPQDNVTLKFLLQATGDVSTSDVDLAIASEAIILGFNVKAPGSVKSYAENKGVEIRLYRVIYDLIDDVRNAMEGLLEPVEEEKTIGSTEVRAVFSSGSGRVAGCMVTDGKVVKGCGIKVIRKKKIVHVGVLDSLRRVKEIVKEVNAGLECGIGMEDFDDWEEGDTIEAFNTVEKKRTLEEASASMVAAMEEAGINL >Manes.08G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36396992:36402276:1 gene:Manes.08G123500.v8.1 transcript:Manes.08G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQAGIVSKPLVDFHGIDEELVQKMVHDALVWSSLHGLVVGDKNVQRSGKVPGVGMVHAPFALLPVAFPENHFKLACEVAPIFNQLIDRVSLDGKFLQDSLSRTKKVDVFTSRLLDIHSKMLEMNKIEEIRLGLHRSDYMLDEQTKLLLQIELNTISCSFPGLSCLVSELHRSLLKHYGEYLGLDSKRIPSNNTVNRFAEALAKAWTEYNNPRAVVMVVVQPEEHNMYDQHLLCAALKEKYNVKAIRKTLAEIDAEGELLSDGTLSVAGQAIAVIYFRSGYAPTDYPSEAEWRARLLMEQSLAVKCPSISYHLAGTKKIQQELAQPNVLERFLDDKEDIAKLRKCFAGLWSLDDTDIINRAIERPDLFVMKPQREGGGNNIYGDDVKQNLKRLQRDGTEEDAAFILMQRIFPTVSSTFLVRNGICHKDRAVSELGVYGAYLRNKDKVIINDQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLT >Manes.15G144200.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:11846809:11846979:1 gene:Manes.15G144200.v8.1 transcript:Manes.15G144200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQRLEMCIQLIRLALEFVFIVAEAIGIVIEQDSSHRYIPTQTFAVPVPFVGFLP >Manes.13G124100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33153669:33161176:1 gene:Manes.13G124100.v8.1 transcript:Manes.13G124100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALFCNSINPSSSVFPRTHFPFPVFNSSSVELSSFAHCNFFLKSRKSDQNKKLAQVRAAVTELPTVTESNGKPSDKRKLRVLVAGGGIGGLVFALAAKRKGFEVLVFEKDMSAIRGEGQYRGPIQVQSNALAALEAIDLEVAEEVMKAGCITGDRINGLVDGVSGSWYVKFDTFTPAAERGLPVTRVISRMTLQQILARAVGEDVILNESNVVSFEDHGNKVTVMLENGKHYEGDLLVGADGIRSKVRKNLFGPKEATYSGYTCYTGIADFVPVDIESVGYRVFLGHKQYFVSSDVGGGKMQWYAFHNEPSGGMDRPNGKKERLLQIFKGWCDDVIDLILTTEEDAILRRDIYDREPIFTWGRGRVTLLGDSVHAMQPNMGQGGCMAIEDGYQLALELDKAWEQSIVSGTPVDVVSSLRSYESARRIRVAVIHGMARMAAMMASTYKAYLGVGLGPLSFLTKLRIPHPGRVGGRFFIDLAMPLMLSWVLGGNSSKLEGRSQCCRLSDKASDQLRTWFENDDALERALDGEWFLLPCGHEIVASEPICLSRDENTPCMVGNVSHEDFPGRTIVLPSSQVSNMHARISYKNGAFYLIDLGSKHGTFITHKGERRCRVPPNFPTRFHPSDVIEFGSDEKAKFRVKVMRSSPKIKEKGESELLRSV >Manes.02G009500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1042232:1044976:-1 gene:Manes.02G009500.v8.1 transcript:Manes.02G009500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPYMHTTQLSLSLTLISYCSRSSAFIPLSLSPHLRFILSSRNMSSQIFRSASRAARSLLSASKTSRFCSEGRTVAAAAAVAFSGKAPFLASAFGRTGSANASRQWISGALAIPAAVYMLQEQEAHAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKVVVPSKEFAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEISLWFKPDELVSYSSNAEKWLYGFN >Manes.02G009500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1041000:1044976:-1 gene:Manes.02G009500.v8.1 transcript:Manes.02G009500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPYMHTTQLSLSLTLISYCSRSSAFIPLSLSPHLRFILSSRNMSSQIFRSASRAARSLLSASKTSRFCSEGRTVAAAAAVAFSGKAPFLASAFGRTGSANASRQWISGALAIPAAVYMLQEQEAHAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKVVVPSKEFAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEISLWFKPDELVSYSSNAEKWLYGFN >Manes.02G009500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1041303:1044971:-1 gene:Manes.02G009500.v8.1 transcript:Manes.02G009500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPYMHTTQLSLSLTLISYCSRSSAFIPLSLSPHLRFILSSRNMSSQIFRSASRAARSLLSASKTSRFCSEGRTVAAAAAVAFSGKAPFLASAFGRTGSANASRQWISGALAIPAAVYMLQEQEAHAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKVVVPSKEFAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEISLWFKPDELVSYSSNAEKWLYGFN >Manes.02G009500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1041285:1044971:-1 gene:Manes.02G009500.v8.1 transcript:Manes.02G009500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPYMHTTQLSLSLTLISYCSRSSAFIPLSLSPHLRFILSSRNMSSQIFRSASRAARSLLSASKTSRFCSEGRTVAAAAAVAFSGKAPFLASAFGRTGSANASRQWISGALAIPAAVYMLQEQEAHAAEMERTFIAIKPDGVQRGLIAEIISRFERKGFKLVAIKVVVPSKEFAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEISLWFKPDELVSYSSNAEKWLYGFN >Manes.11G017300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1804748:1811355:1 gene:Manes.11G017300.v8.1 transcript:Manes.11G017300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTVDNPEMLWTIYSLYGFSFCGADNLLSLLHLQGNLHVGSVFGGKDSIQLTGSEITSELRYHLSLLTLCWHFSKKPFPLFLEETGYTEEDVLLQEPKAGILKPAFTILVDHKTKHFLLLIRGTHSIKDTLTAATGAVVPFHHSVVHEGGVSNLVLGYAHCGMAAAARWIAKLATPVLKKALGQYPDYKLKIVGHSLGGGTAALLTYVLREQKDLSMTSCVTFAPAACMTWELAESGNDFITSVINGADLVPTFSAAAVDDLRAEVTASAWLNDLRNQIERTRILSTVYRSASALGSRLPSISSARAKVAGAGAILRPVSNSTRVVMKRAQSMAQAAWTTRPSLHLSSWSCIGPRHRNTTACSDSADGSSPTSSSRLETSEPLLSPKNSTRETIELPVSSEEAEWTSEIGYFSDEMPKHIDVEAELGGEEFRSNSREDRMNEVELWQQLEHELYDRADGEDADVAKEIREEEAAAMAEIGEREAENTAPETKEAHRFFPPGKIMHIVTFQHDSSESEGDSPSSSDSENTQPQGEDKVGIFVTPRSLYSKVRLSQTMISDHFMPVYRRQIEKLIKELEQEATDANHYCSENSL >Manes.11G017300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1804748:1811355:1 gene:Manes.11G017300.v8.1 transcript:Manes.11G017300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAAAAALLYYTLNRKLQSSTSRDDDENDNLNGPSNVPLGIERVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGNLHVGSVFGGKDSIQLTGSEITSELRYHLSLLTLCWHFSKKPFPLFLEETGYTEEDVLLQEPKAGILKPAFTILVDHKTKHFLLLIRGTHSIKDTLTAATGAVVPFHHSVVHEGGVSNLVLGYAHCGMAAAARWIAKLATPVLKKALGQYPDYKLKIVGHSLGGGTAALLTYVLREQKDLSMTSCVTFAPAACMTWELAESGNDFITSVINGADLVPTFSAAAVDDLRAEVTASAWLNDLRNQIERTRILSTVYRSASALGSRLPSISSARAKVAGAGAILRPVSNSTRVVMKRAQSMAQAAWTTRPSLHLSSWSCIGPRHRNTTACSDSADGSSPTSSSRLETSEPLLSPKNSTRETIELPVSSEEAEWTSEIGYFSDEMPKHIDVEAELGGEEFRSNSREDRMNEVELWQQLEHELYDRADGEDADVAKEIREEEAAAMAEIGEREAENTAPETKEAHRFFPPGKIMHIVTFQHDSSESEGDSPSSSDSENTQPQGEDKVGIFVTPRSLYSKVRLSQTMISDHFMPVYRRQIEKLIKELEQEATDANHYCSENSL >Manes.17G119355.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:33301055:33301816:1 gene:Manes.17G119355.v8.1 transcript:Manes.17G119355.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCKLQKGNSININLENETENNVNQNFQETQELHQNQASNFQGNTSQKTMRYHYKGHFIFSPNRIYENGRFMEKPNFDVDSSHFLIYWMT >Manes.04G162300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35618835:35624921:1 gene:Manes.04G162300.v8.1 transcript:Manes.04G162300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVPPGTAMLGEASAAASAKKINEVGKKVDYMNLPCPIPYEELHREALMSLKPELFEGMRFDFTKGLNQKFSLSHSVFMGPMELPSQSAETIKIPTAHYEFGANFIDPKLMLFGRVLTDGRLNARVKCDLTDDLTLKANAQLTNEPHMSHAMFNFDYKGKDYRTQLQLGNGALFGASYIQSVTPHLSLGGEVFWAGQHRKSGLGYAARYETDKMVATGQVASTGMVALSYVQKVSDKVSLATDFMYNYMSKDVTASVGYDYILRQARLRGKIDSNGCAAAFLEERLNMGLNFILSAELDHKKKDYKFGFGLTVG >Manes.06G136300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26389192:26390814:-1 gene:Manes.06G136300.v8.1 transcript:Manes.06G136300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSILVLLLVSLLYSSTIAKSATPASSSTSASNFIKASCRTTTYPALCVQSLSAYATSIQQSPFQLTVTALSVSLDRAQSTKSFIYKLTKLKGVKAREMEAIKDCLEEIDDTVDRLSKSVKELKNLGESKGKDFQRHISNVETWVSAALTDENTCVDGFAGKALNGKLNSSIKARIVNVAQVTSNALALINKFASKN >Manes.11G126000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:28762718:28786484:-1 gene:Manes.11G126000.v8.1 transcript:Manes.11G126000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKECIEELVEFTLNSHINETLEFDIGLSKDFCSNLLRDDPDDSVSLPDTVTAGSFEGVPRYPLFKRLASGLYQCIISGTFCKTYGEIQFIQEDVSLKQKQDEWNKLILEKGSELINMFEATFYELHAQEPFFSLLKDGLKTIEGRCAGAKYSRIGPGALVLLNKTVVLEVKDVHRYASFLKMLETEDLSQVLPGIKTVQEGVKIYRKFYTEEKEMSNGVLAICVSKSSPQPYLHLASILLGLSYGGVQSLLGLAHTAGTVSDALPPPSSTLLSSFTLPYRPDVNGSALTHGARALAKHAERSSNKYWGIISGSDSTKNSLAMNVINFLITSCCWSNVHIVPPHGAVFEVRVADGYGARWSIDGTKFIGFLEPYMEDGFSKGWKH >Manes.12G017000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:1582784:1584421:1 gene:Manes.12G017000.v8.1 transcript:Manes.12G017000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGLKINISCSKQNGQTTMEIVTIETIKPSSPTPFHLRNFQLSLLDQLAPVCYGPLLLFYSINPQINHLKLTLSERSHLLKTSLSETLTRFYPLAGRIKDDTSIECNDEGVVFVETRVNCFLSTFLRKPDAQMIRKMIPVEIDSPEALSGSLLQIQINCFACGGLAIGVCISQKISDAITATIFIKDWAATAAGASSLLPLFNAAAIFPPRNFSFTKPASKLQKEAYITKRFVFEASKIAALKAKAASESVENPTRVEAVTGLIWKCAMNASRSNTEHFRLSILSQSVNIRRRMTPPLPEHTVWEPSRTLRFTGYRRRCRIGKLSLPAEKRDERFWRKLREETSRR >Manes.13G097300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:28821696:28822733:-1 gene:Manes.13G097300.v8.1 transcript:Manes.13G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNFQILKSRGAVDENDMLMGALLSQKSGIDLLQNCDLPPPVKVFSGWDTTVISPVNRVFGLMSREEDNTGFDINGGENEKLELLKALRLSQTRAREAEKKAANLVKERDFISNALLRESMQLFAYRQWLRLLEAQVFKLQSEWQPQEKFDEGKDCVGGGGGSGGGMAWVVVGMAVCLGIAGVGLAFGCRYLI >Manes.01G264200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:41877484:41879215:1 gene:Manes.01G264200.v8.1 transcript:Manes.01G264200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPNPFGVSVGFFMFLSILLKSNYTIFFLFIIILKDKILIIKKETLKNQIKDYFFKKNLFCCKSKKIKRSASDMGMATCSSSSSMIVPSKAALKPPCSHPIFSTFQIPTSPVPKANPYEHLNIAPNLDGTITRLLDAPIVEANPEATHGDAVVCKDLTLIAEKKTWVRVYRPTRLPSNDNTVARLPIIIYFHGGGFVNWRASMKMPHQRCSDLASEIPAIVASLDYRLAPEHRLPAQYEDAIDAILWVKQQFEDPNGEQWLKEYGDCSRCYLNGRGSGGNMVFNSALRVLDLDLNPLKIAGLILNQPMFGGNERKNSELMFVDDPALPLAALDLLWKLSLPEGTDRDHPFCNPVVEGAEKRKMSSLGRCLVTGFFGDVMFDRMQDLVEMLVMRGVKVEVRFHDPDFHNVDLVDQHCARAITNSIKEFVI >Manes.S054516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:1881167:1888278:1 gene:Manes.S054516.v8.1 transcript:Manes.S054516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQRLPGPVGQGYRLVEYIRRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPWRPWVQKEGRSPASDSRNK >Manes.18G108800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10742806:10753087:1 gene:Manes.18G108800.v8.1 transcript:Manes.18G108800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFVTGKIQLSVILCLASENDNQSSNMPQNVQELINSYQLSPFIIKVCKWAATSREEWEEQCKLWPTSYHPPTYNINGITGFGEEDSQSVFNFMKVAVDLAKSGGGLMVNAAVIVDPSVQQIIASGHDQIYSWHSRTGIENSNFKQPASFASNPKPSGANHLTVFPNNLHNGPARLYAGVSCLNPWHWCGQQLDMGSSCYLHPLQHAAIVAIESSAERDRRLFPGLLHTVKSSEVKHMQSSCAGSPAKRQKINLAYVEDGKELDADSEVTSVRPYLCTGYDIYLVWEPCTMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVVLPEEVLNVAEVVARADDDNDQIATAVS >Manes.18G108800.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10749120:10752197:1 gene:Manes.18G108800.v8.1 transcript:Manes.18G108800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVDLAKSGGGLMVNAAVIVDPSVQQIIASGHDQIYSWHSRTGIENSNFKQPASFASNPKPSGANHLTVFPNNLHNGPARLYAGVSCLNPWHWCGQQLDMGSSCYLHPLQHAAIVAIESSAERDRRLFPGLLHTVKSSEVKHMQSSCAGSPAKRQKINLAYVEDGKELDADSEVTSVRPYLCTGYDIYLVWEPCTMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVVLPEEVLNVAEVVARADDDNDQIATAVS >Manes.18G108800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10743650:10753087:1 gene:Manes.18G108800.v8.1 transcript:Manes.18G108800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVKRIQKRHDESGKIQLSVILCLASENDNQSSNMPQNVQELINSYQLSPFIIKVCKWAATSREEWEEQCKLWPTSYHPPTYNINGITGFGEEDSQSVFNFMKVAVDLAKSGGGLMVNAAVIVDPSVQQIIASGHDQIYSWHSRTGIENSNFKQPASFASNPKPSGANHLTVFPNNLHNGPARLYAGVSCLNPWHWCGQQLDMGSSCYLHPLQHAAIVAIESSAERDRRLFPGLLHTVKSSEVKHMQSSCAGSPAKRQKINLAYVEDGKELDADSEVTSVRPYLCTGYDIYLVWEPCTMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVVLPEEVLNVAEVVARADDDNDQIATAVS >Manes.18G108800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10742807:10753087:1 gene:Manes.18G108800.v8.1 transcript:Manes.18G108800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVKRIQKRHDESGKIQLSVILCLASENDNQSSNMPQNVQELINSYQLSPFIIKVCKWAATSREEWEEQCKLWPTSYHPPTYNINGITGFGEEDSQSVFNFMKVAVDLAKSGGGLMVNAAVIVDPSVQQIIASGHDQIYSWHSRTGIENSNFKQPASFASNPKPSGANHLTVFPNNLHNGPARLYAGVSCLNPWHWCGQQLDMGSSCYLHPLQHAAIVAIESSAERDRRLFPGLLHTVKSSEVKHMQSSCAGSPAKRQKINLAYVEDGKELDADSEVTSVRPYLCTGYDIYLVWEPCTMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVVLPEEVLNVAEVVARADDDNDQIATAVS >Manes.18G108800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10742807:10753087:1 gene:Manes.18G108800.v8.1 transcript:Manes.18G108800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEKTEVWKIIHIPDKRPISPKEQPTVNAFASVIEPKLANALIRLLNQIAPLEDMRHVKRIQKRHDESGKIQLSVILCLASENDNQSSNMPQNVQELINSYQLSPFIIKVCKWAATSREEWEEQCKLWPTSYHPPTYNINGITGFGEEDSQSVFNFMKVAVDLAKSGGGLMVNAAVIVDPSVQQIIASGHDQIYSWHSRTGIENSNFKQPASFASNPKPSGANHLTVFPNNLHNGPARLYAGVSCLNPWHWCGQQLDMGSSCYLHPLQHAAIVAIESSAERDRRLFPGLLHTVKSSEVKHMQSSCAGSPAKRQKINLAYVEDGKELDADSEVTSVRPYLCTGYDIYLVWEPCTM >Manes.18G108800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10742807:10753087:1 gene:Manes.18G108800.v8.1 transcript:Manes.18G108800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEKTEVWKIIHIPDKRPISPKEQPTVNAFASVIEPKLANALIRLLNQIAPLEDMRHVKRIQKRHDESGKIQLSVILCLASENDNQSSNMPQNVQELINSYQLSPFIIKVCKWAATSREEWEEQCKLWPTSYHPPTYNINGITGFGEEDSQSVFNFMKVAVDLAKSGGGLMVNAAVIVDPSVQQIIASGHDQIYSWHSRTGIENSNFKQPASFASNPKPSGANHLTVFPNNLHNGPARLYAGVSCLNPWHWCGQQLDMGSSCYLHPLQHAAIVAIESSAERDRRLFPGLLHTVKSSEVKHMQSSCAGSPAKRQKINLAYVEDGKELDADSEVTSVRPYLCTGYDIYLVWEPCTMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVVLPEEVLNVAEVVARADDDNDQIATAVS >Manes.18G108800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10742807:10753087:1 gene:Manes.18G108800.v8.1 transcript:Manes.18G108800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVKRIQKRHDESGKIQLSVILCLASENDNQSSNMPQNVQELINSYQLSPFIIKVCKWAATSREEWEEQCKLWPTSYHPPTYNINGITGFGEEDSQSVFNFMKVAVDLAKSGGGLMVNAAVIVDPSVQQIIASGHDQIYSWHSRTGIENSNFKQPASFASNPKPSGANHLTVFPNNLHNGPARLYAGVSCLNPWHWCGQQLDMGSSCYLHPLQHAAIVAIESSAERDRRLFPGLLHTVKSSEVKHMQSSCAGSPAKRQKINLAYVEDGKELDADSEVTSVRPYLCTGYDIYLVWEPCTMCAMALVHQRIRRIFYAFPNPNAGALGSVHRLQGEKSLNHHYAVFRVVLPEEVLNVAEVVARADDDNDQIATAVS >Manes.01G198500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37255690:37271570:-1 gene:Manes.01G198500.v8.1 transcript:Manes.01G198500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPHVRKKAALCSIRIIKKVPDLAENFINPAASLLKEKHHGVLITGVQLCTDLCKVSPEALEYFRKKCTGGLVRTLKDVVNSPYAPEYDIAGITDPFLHIRLLKLLRVLGQGDADASDAMNDILAQVATKTESNKNAGNAILYECVETIMSIEDNGGLRLLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIRKRALGLVYLLVNESNVKPLTKDLIEYLEVSDQEFKGDLTAKICSIVEKFSPDKIWYIDQMLKVLTEAGNFVKDEVWHAVIVVISNTSDLHGYTVRALYRAFQTSAEQETLVRVAIWCIGEYGDLLVNNVGVLDIEDPIKTVTESDAVDVVENAMKRHSSDLTTKAMALISLLKLSSRFPSCSGRIKDIIMQCKGSLVLELQQRSLEFNSIIEKHQNIRSALVERMPVLDEATFSGRRAGSLPATVSTSGGPSLNLPNGVAKHSAAPLVDLLDLSEDTPAPSSSGGDFLHDLLGVDLAPASTQPGINQAPKAGTDVLLDLLSIGTSTPVQSNSSTPDMSSPSQDNRKPIATLDVLSSLPSAQANSSVGASPMMDLLDGFALSPLKLEDNGSVYPSIVAFESSNMRITFNFSKPPGNPETTIIQATFENLSSNAFTDFVFQAAVPKFLQLHLDPASSNTLPANGNGLVTQSLRVTNSQHGKKALVMRIRIAYKLNNKDMLEVGQINNFPQDL >Manes.14G152500.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19121895:19128732:-1 gene:Manes.14G152500.v8.1 transcript:Manes.14G152500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILSASNSPVLTLLAKCKSMVELKQMQTHVITNGLASFTFITSKILAFCALSHNGDINYAQTIFNQILIPNAFNFNTMILGLSQNSQREKGLSLFARMRSIGIRPNSHTFRSLIKCCICLSWLDQVHGQILKFGQMSDVYVISSLINMYSKCEAMELARQVFDESPDLNVVCWTSLVTGYCCNGLINEARKMFDIMPERNEISCSAMISGYVSNGHFNEAVELFQEWKNCSSFRFSESLLVSVLNACAAIGAFEEGKCIHSHVDGKGMDYELEMGTALIDFYAKCGHIKDAVEIFSKMPYKDVTTWSSMILGLAVNGENERGIELFVEMEKKGPTPNAVTFIAVLTACNHKILSHEAWRFFGRMSKVYGIAPLIEHYGCMVDLLARAGLIKEAGILINIMPMKPDGAIWNSLLKGCMMHGHVEMGEKVGRLLIQLEPQHSGRYVLLANLYATKGSWEEVIRLRKMMKERGAATVSAWSFIAIDGIVHKFVADDDKVHSHFGGFTKFLNQLNKQLDYYYSAADDAG >Manes.14G152500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19125189:19128877:-1 gene:Manes.14G152500.v8.1 transcript:Manes.14G152500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILSASNSPVLTLLAKCKSMVELKQMQTHVITNGLASFTFITSKILAFCALSHNGDINYAQTIFNQILIPNAFNFNTMILGLSQNSQREKGLSLFARMRSIGIRPNSHTFRSLIKCCICLSWLDQVHGQILKFGQMSDVYVISSLINMYSKCEAMELARQVFDESPDLNVVCWTSLVTGYCCNGLINEARKMFDIMPERNEISCSAMISGYVSNGHFNEAVELFQEWKNCSSFRFSESLLVSVLNACAAIGAFEEGKCIHSHVDGKGMDYELEMGTALIDFYAKCGHIKDAVEIFSKMPYKDVTTWSSMILGLAVNGENERGIELFVEMEKKGPTPNAVTFIAVLTACNHKILSHEAWRFFGRMSKVYGIAPLIEHYGCMVDLLARAGLIKEAGILINIMPMKPDGAIWNSLLKGCMMHGHVEMGEKVGRLLIQLEPQHSGRYVLLANLYATKGSWEEVIRLRKMMKERGAATVSAWSFIAIDGIVHKFVADDDKVHSHFGGFTKFLNQLNKQLDYYYSAADDAG >Manes.14G152500.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19125332:19128709:-1 gene:Manes.14G152500.v8.1 transcript:Manes.14G152500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILSASNSPVLTLLAKCKSMVELKQMQTHVITNGLASFTFITSKILAFCALSHNGDINYAQTIFNQILIPNAFNFNTMILGLSQNSQREKGLSLFARMRSIGIRPNSHTFRSLIKCCICLSWLDQVHGQILKFGQMSDVYVISSLINMYSKCEAMELARQVFDESPDLNVVCWTSLVTGYCCNGLINEARKMFDIMPERNEISCSAMISGYVSNGHFNEAVELFQEWKNCSSFRFSESLLVSVLNACAAIGAFEEGKCIHSHVDGKGMDYELEMGTALIDFYAKCGHIKDAVEIFSKMPYKDVTTWSSMILGLAVNGENERGIELFVEMEKKGPTPNAVTFIAVLTACNHKILSHEAWRFFGRMSKVYGIAPLIEHYGCMVDLLARAGLIKEAGILINIMPMKPDGAIWNSLLKGCMMHGHVEMGEKVGRLLIQLEPQHSGRYVLLANLYATKGSWEEVIRLRKMMKERGAATVSAWSFIAIDGIVHKFVADDDKVHSHFGGFTKFLNQLNKQLDYYYSAADDAG >Manes.14G152500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19125188:19128732:-1 gene:Manes.14G152500.v8.1 transcript:Manes.14G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILSASNSPVLTLLAKCKSMVELKQMQTHVITNGLASFTFITSKILAFCALSHNGDINYAQTIFNQILIPNAFNFNTMILGLSQNSQREKGLSLFARMRSIGIRPNSHTFRSLIKCCICLSWLDQVHGQILKFGQMSDVYVISSLINMYSKCEAMELARQVFDESPDLNVVCWTSLVTGYCCNGLINEARKMFDIMPERNEISCSAMISGYVSNGHFNEAVELFQEWKNCSSFRFSESLLVSVLNACAAIGAFEEGKCIHSHVDGKGMDYELEMGTALIDFYAKCGHIKDAVEIFSKMPYKDVTTWSSMILGLAVNGENERGIELFVEMEKKGPTPNAVTFIAVLTACNHKILSHEAWRFFGRMSKVYGIAPLIEHYGCMVDLLARAGLIKEAGILINIMPMKPDGAIWNSLLKGCMMHGHVEMGEKVGRLLIQLEPQHSGRYVLLANLYATKGSWEEVIRLRKMMKERGAATVSAWSFIAIDGIVHKFVADDDKVHSHFGGFTKFLNQLNKQLDYYYSAADDAG >Manes.14G152500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19125263:19128877:-1 gene:Manes.14G152500.v8.1 transcript:Manes.14G152500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILSASNSPVLTLLAKCKSMVELKQMQTHVITNGLASFTFITSKILAFCALSHNGDINYAQTIFNQILIPNAFNFNTMILGLSQNSQREKGLSLFARMRSIGIRPNSHTFRSLIKCCICLSWLDQVHGQILKFGQMSDVYVISSLINMYSKCEAMELARQVFDESPDLNVVCWTSLVTGYCCNGLINEARKMFDIMPERNEISCSAMISGYVSNGHFNEAVELFQEWKNCSSFRFSESLLVSVLNACAAIGAFEEGKCIHSHVDGKGMDYELEMGTALIDFYAKCGHIKDAVEIFSKMPYKDVTTWSSMILGLAVNGENERGIELFVEMEKKGPTPNAVTFIAVLTACNHKILSHEAWRFFGRMSKVYGIAPLIEHYGCMVDLLARAGLIKEAGILINIMPMKPDGAIWNSLLKGCMMHGHVEMGEKVGRLLIQLEPQHSGRYVLLANLYATKGSWEEVIRLRKMMKERGAATVSAWSFIAIDGIVHKFVADDDKVHSHFGGFTKFLNQLNKQLDYYYSAADDAG >Manes.14G152500.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:19121895:19128732:-1 gene:Manes.14G152500.v8.1 transcript:Manes.14G152500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILSASNSPVLTLLAKCKSMVELKQMQTHVITNGLASFTFITSKILAFCALSHNGDINYAQTIFNQILIPNAFNFNTMILGLSQNSQREKGLSLFARMRSIGIRPNSHTFRSLIKCCICLSWLDQVHGQILKFGQMSDVYVISSLINMYSKCEAMELARQVFDESPDLNVVCWTSLVTGYCCNGLINEARKMFDIMPERNEISCSAMISGYVSNGHFNEAVELFQEWKNCSSFRFSESLLVSVLNACAAIGAFEEGKCIHSHVDGKGMDYELEMGTALIDFYAKCGHIKDAVEIFSKMPYKDVTTWSSMILGLAVNGENERGIELFVEMEKKGPTPNAVTFIAVLTACNHKILSHEAWRFFGRMSKVYGIAPLIEHYGCMVDLLARAGLIKEAGILINIMPMKPDGAIWNSLLKGCMMHGHVEMGEKVGRLLIQLEPQHSGRYVLLANLYATKGSWEEVIRLRKMMKERGAATVSAWSFIAIDGIVHKFVADDDKVHSHFGGFTKFLNQLNKQLDYYYSAADDAG >Manes.14G122700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9689765:9691649:-1 gene:Manes.14G122700.v8.1 transcript:Manes.14G122700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSFLYHAKNIYKQTSTMPTYKNPKERTKMVGGSSTRSESEKEKTKLRERQRRAITTKIFHGLRRHGGYHLSPRSDINEVLRELAKEAGWVVEPDGTTYRYKLLNRCPTCGTIPFPHNTTTSTTATPTTSSTVFVGGGGGAGAGGECSTTASPRRVDHAMILTGSSSNNNNVGEPTTTATSTSSTHICSDGFGGTDVDIPLAFYMYRGLTSSGLNHPSTMVAGGGMKVAGQSQLQQQQGTYMQEARASNQNTPVGSPLHRNN >Manes.03G173900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29811160:29814249:1 gene:Manes.03G173900.v8.1 transcript:Manes.03G173900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGKWLRSFLTGKKDKEKEKEKCRTNQNSATGIENAATPVSIPPTPPKEKRWSFRRSSATAAAPRDMNFTEAIAPPQPAAQATLDSENEQKKHAMAMAAATAAAADAAVAAAQAVAAVIRLTATAPGRTSAIEEAAAIKIQSVYRSYLARKALRALKGLVKLQAVVRGYLVRKQATATLRCMQALVTVQARARAQRIRVTEETKPASQRQSVHRKSIQENRFMHTNYDVDRGMEENIKIVEMDLGQSKGSTKSRTSCLQSPQAERVEPRSVTYYATTNRAYPKPENCQVSPAPSAPTDMSPRGYSGHFEDYCNTAQSSPQYDSAVSKPDPSKIPFAFPRPDYAEPLSYDYPLFPNYMANTESSKAKVRSQSAPKQRPDSFERQPSRRRASVEGRNIPRAVRMQRSSSHVGATAQNYQYPWSIKLDRSTVSLNSECGSSSTVLTNATYCRTLVGFDVHGNRY >Manes.04G025500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3002996:3007895:1 gene:Manes.04G025500.v8.1 transcript:Manes.04G025500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSLEEYQIAQMYMVMKMQQQNTSSSEGVEVLENRPLEDDVFGQCQYTSKVYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFSLTVETIHRADNGQSENVHGLTKEQLAARQIEFIDIASAESDYWSYVIGSNSVDFCKFKSSRTGRGPLMDGWQDTCKPVMTAYKLVTVDAPYWGFGYRLEQAILAGERALFLESHRNCFAWIDEWFGMSMQQIRELELQSDFSLNKKIGKPTVMTTSEDFDQKLPLENEDAQGKRFQPKPEKHELVVDT >Manes.04G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3002996:3007900:1 gene:Manes.04G025500.v8.1 transcript:Manes.04G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKEFRVVMPMSLEEYQIAQMYMVMKMQQQNTSSSEGVEVLENRPLEDDVFGQCQYTSKVYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFSLTVETIHRADNGQSENVHGLTKEQLAARQIEFIDIASAESDYWSYVIGSNSVDFCKFKSSRTGRGPLMDGWQDTCKPVMTAYKLVTVDAPYWGFGYRLEQAILAGERALFLESHRNCFAWIDEWFGMSMQQIRELELQSDFSLNKKIGKPTVMTTSEDFDQKLPLENEDAQGKRFQPKPEKHELVVDT >Manes.04G025500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3002996:3007894:1 gene:Manes.04G025500.v8.1 transcript:Manes.04G025500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKEFRVVMPMSLEEYQIAQMYMVMKMQQQNTSSSEGVEVLENRPLEDDVFGQCQYTSKVYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFSLTVETIHRADNGQSENVHGLTKEQLAARQIEFIDIASAESDYWSYVIGSNSVDFCKFKSSRTGRGPLMDGWQDTCKPVMTAYKLVTVDAPYWGFGYRLEQAILAGERALFLESHRNCFAWIDEWFGMSMQQIRELELQSDFSLNKKIGKPTVMTTSEDFDQKLPLENEDAQGKRFQPKPEKHELVVDT >Manes.04G025500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3003385:3007901:1 gene:Manes.04G025500.v8.1 transcript:Manes.04G025500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSLEEYQIAQMYMVMKMQQQNTSSSEGVEVLENRPLEDDVFGQCQYTSKVYRLQSKAPTWLTTFAPADALIMQEEAWNAYPRCKTVIKCPYFTKFSLTVETIHRADNGQSENVHGLTKEQLAARQIEFIDIASAESDYWSYVIGSNSVDFCKFKSSRTGRGPLMDGWQDTCKPVMTAYKLVTVDAPYWGFGYRLEQAILAGERALFLESHRNCFAWIDEWFGMSMQQIRELELQSDFSLNKKIGKPTVMTTSEDFDQKLPLENEDAQGKRFQPKPEKHELVVDT >Manes.11G092701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:14618892:14619816:1 gene:Manes.11G092701.v8.1 transcript:Manes.11G092701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGLQFVETTNKAFLLKVAWALVMDLQALWAKVVRAKYKMSSEDMETLPKCRNSSNLWIGLNEVRDVFQHSIIWLIGNGKRAQFWEDVCLPSGRRLIKATICNLDVSLVNCRVEQYISRDGNWDWQQLTGCLLALVLLEIASVPVPSMKKGKDMLL >Manes.17G105600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31256277:31260890:1 gene:Manes.17G105600.v8.1 transcript:Manes.17G105600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRICTIYSDSVGADVNQKLFRGFATTAAVREGRNEILKILLKAGASQPACEEALLEASCHGQARLVELLMCSDLIRPHVAVHALATACCRGFADVVDVLTKCGVDVNATDRLLLLSSKPSLHTNFDCTALVAAVISRQIAVVHMLLKDGAKKDVKVSLGAWSWDTTTGEEFRVGAGLAEPYAITWCAVEYFETTGAILHMLLEHISPNTTHLGRTLLHHAILCGNAGAVNVLLSCGANVEYPVKTRKTEFRPIHMAARLGLPTVLKCLIDSGCDINSRTDTGDTALMISAKYRQEECLRVLAMAGADFGLVNFAGQSVCSLARKNKWSLRFQQTVLDVIRAGQIPASSNISVFSPLIFVAQAGDIVALKVLIDWGEINLDYQDDDGFAAVMFAALNGHVEAFQLLVYAGADVKLCNKAGENAITLSRMSQNHDLFEKVMLEFAMERGNRNAGGFYALHCAARRGDLDAVKLLASRGYDVNLPDGDDYTPLMLAAREGHGSTCQLLISCGAHCELKNFRGDTALSLARKYAGVKNDVETVILDELACKLVLGGSHVQKHTKRGKGAPHGKDLIMIGGSGVLRWGKSNRKNVICREAEVGPSSVFRKNRRNKGDADAPGIFRVLTTKNKEFHFVCHGGLEMAEMWVRGIKLVTRRAICS >Manes.17G105600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31254966:31260890:1 gene:Manes.17G105600.v8.1 transcript:Manes.17G105600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSGKQVVPVDYEAEVSQRLLEASLAGDLRSALECIADSFVDVNFVGAVSLKCRKSEVVLRDESPSEVRFDYEEFKTDVTALFIAVHVGNVALVKKLLSVGADVNQKLFRGFATTAAVREGRNEILKILLKAGASQPACEEALLEASCHGQARLVELLMCSDLIRPHVAVHALATACCRGFADVVDVLTKCGVDVNATDRLLLLSSKPSLHTNFDCTALVAAVISRQIAVVHMLLKDGAKKDVKVSLGAWSWDTTTGEEFRVGAGLAEPYAITWCAVEYFETTGAILHMLLEHISPNTTHLGRTLLHHAILCGNAGAVNVLLSCGANVEYPVKTRKTEFRPIHMAARLGLPTVLKCLIDSGCDINSRTDTGDTALMISAKYRQEECLRVLAMAGADFGLVNFAGQSVCSLARKNKWSLRFQQTVLDVIRAGQIPASSNISVFSPLIFVAQAGDIVALKVLIDWGEINLDYQDDDGFAAVMFAALNGHVEAFQLLVYAGADVKLCNKAGENAITLSRMSQNHDLFEKVMLEFAMERGNRNAGGFYALHCAARRGDLDAVKLLASRGYDVNLPDGDDYTPLMLAAREGHGSTCQLLISCGAHCELKNFRGDTALSLARKYAGVKNDVETVILDELACKLVLGGSHVQKHTKRGKGAPHGKDLIMIGGSGVLRWGKSNRKNVICREAEVGPSSVFRKNRRNKGDADAPGIFRVLTTKNKEFHFVCHGGLEMAEMWVRGIKLVTRRAICS >Manes.17G105600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31254966:31260891:1 gene:Manes.17G105600.v8.1 transcript:Manes.17G105600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSGKQVVPVDYEAEVSQRLLEASLAGDLRSALECIADSFVDVNFVGAVSLKCRKSEVVLRDESPSEVRFDYEEFKTDVTALFIAVHVGNVALVKKLLDGAKKDVKVSLGAWSWDTTTGEEFRVGAGLAEPYAITWCAVEYFETTGAILHMLLEHISPNTTHLGRTLLHHAILCGNAGAVNVLLSCGANVEYPVKTRKTEFRPIHMAARLGLPTVLKCLIDSGCDINSRTDTGDTALMISAKYRQEECLRVLAMAGADFGLVNFAGQSVCSLARKNKWSLRFQQTVLDVIRAGQIPASSNISVFSPLIFVAQAGDIVALKVLIDWGEINLDYQDDDGFAAVMFAALNGHVEAFQLLVYAGADVKLCNKAGENAITLSRMSQNHDLFEKVMLEFAMERGNRNAGGFYALHCAARRGDLDAVKLLASRGYDVNLPDGDDYTPLMLAAREGHGSTCQLLISCGAHCELKNFRGDTALSLARKYAGVKNDVETVILDELACKLVLGGSHVQKHTKRGKGAPHGKDLIMIGGSGVLRWGKSNRKNVICREAEVGPSSVFRKNRRNKGDADAPGIFRVLTTKNKEFHFVCHGGLEMAEMWVRGIKLVTRRAICS >Manes.17G105600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31258063:31260891:1 gene:Manes.17G105600.v8.1 transcript:Manes.17G105600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKDGAKKDVKVSLGAWSWDTTTGEEFRVGAGLAEPYAITWCAVEYFETTGAILHMLLEHISPNTTHLGRTLLHHAILCGNAGAVNVLLSCGANVEYPVKTRKTEFRPIHMAARLGLPTVLKCLIDSGCDINSRTDTGDTALMISAKYRQEECLRVLAMAGADFGLVNFAGQSVCSLARKNKWSLRFQQTVLDVIRAGQIPASSNISVFSPLIFVAQAGDIVALKVLIDWGEINLDYQDDDGFAAVMFAALNGHVEAFQLLVYAGADVKLCNKAGENAITLSRMSQNHDLFEKVMLEFAMERGNRNAGGFYALHCAARRGDLDAVKLLASRGYDVNLPDGDDYTPLMLAAREGHGSTCQLLISCGAHCELKNFRGDTALSLARKYAGVKNDVETVILDELACKLVLGGSHVQKHTKRGKGAPHGKDLIMIGGSGVLRWGKSNRKNVICREAEVGPSSVFRKNRRNKGDADAPGIFRVLTTKNKEFHFVCHGGLEMAEMWVRGIKLVTRRAICS >Manes.17G105600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31254966:31260891:1 gene:Manes.17G105600.v8.1 transcript:Manes.17G105600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSGKQVVPVDYEAEVSQRLLEASLAGDLRSALECIADSFVDVNFVGAVSLKCRKSEVVLRDESPSEVRFDYEEFKTDVTALFIAVHVGNVALVKKLLCGVDVNATDRLLLLSSKPSLHTNFDCTALVAAVISRQIAVVHMLLKDGAKKDVKVSLGAWSWDTTTGEEFRVGAGLAEPYAITWCAVEYFETTGAILHMLLEHISPNTTHLGRTLLHHAILCGNAGAVNVLLSCGANVEYPVKTRKTEFRPIHMAARLGLPTVLKCLIDSGCDINSRTDTGDTALMISAKYRQEECLRVLAMAGADFGLVNFAGQSVCSLARKNKWSLRFQQTVLDVIRAGQIPASSNISVFSPLIFVAQAGDIVALKVLIDWGEINLDYQDDDGFAAVMFAALNGHVEAFQLLVYAGADVKLCNKAGENAITLSRMSQNHDLFEKVMLEFAMERGNRNAGGFYALHCAARRGDLDAVKLLASRGYDVNLPDGDDYTPLMLAAREGHGSTCQLLISCGAHCELKNFRGDTALSLARKYAGVKNDVETVILDELACKLVLGGSHVQKHTKRGKGAPHGKDLIMIGGSGVLRWGKSNRKNVICREAEVGPSSVFRKNRRNKGDADAPGIFRVLTTKNKEFHFVCHGGLEMAEMWVRGIKLVTRRAICS >Manes.17G105600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31257233:31260890:1 gene:Manes.17G105600.v8.1 transcript:Manes.17G105600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDLIRPHVAVHALATACCRGFADVVDVLTKCGVDVNATDRLLLLSSKPSLHTNFDCTALVAAVISRQIAVVHMLLKDGAKKDVKVSLGAWSWDTTTGEEFRVGAGLAEPYAITWCAVEYFETTGAILHMLLEHISPNTTHLGRTLLHHAILCGNAGAVNVLLSCGANVEYPVKTRKTEFRPIHMAARLGLPTVLKCLIDSGCDINSRTDTGDTALMISAKYRQEECLRVLAMAGADFGLVNFAGQSVCSLARKNKWSLRFQQTVLDVIRAGQIPASSNISVFSPLIFVAQAGDIVALKVLIDWGEINLDYQDDDGFAAVMFAALNGHVEAFQLLVYAGADVKLCNKAGENAITLSRMSQNHDLFEKVMLEFAMERGNRNAGGFYALHCAARRGDLDAVKLLASRGYDVNLPDGDDYTPLMLAAREGHGSTCQLLISCGAHCELKNFRGDTALSLARKYAGVKNDVETVILDELACKLVLGGSHVQKHTKRGKGAPHGKDLIMIGGSGVLRWGKSNRKNVICREAEVGPSSVFRKNRRNKGDADAPGIFRVLTTKNKEFHFVCHGGLEMAEMWVRGIKLVTRRAICS >Manes.13G069522.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:8845761:8846461:1 gene:Manes.13G069522.v8.1 transcript:Manes.13G069522.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGTLGCRRLMTRPPIKRPSDRKWASFLPILELSFEAKVEVLGAWSFWSLDSPHLRVRDRTNPRSSRGKCRSLFP >Manes.13G063982.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:8055004:8055800:1 gene:Manes.13G063982.v8.1 transcript:Manes.13G063982.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVLDGVTIVNFVEDEKAFTESVRDRFDHLDTDQDGLLSYAEMLKELQSLRVFETHFGIDVKTDPEELARVYSSLFEQFDHDLSGRVDLEEFKEETKQMMLAMANGLGFLPVQMVLEKDSLLKKAVERESASAAA >Manes.16G045700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8181989:8186667:1 gene:Manes.16G045700.v8.1 transcript:Manes.16G045700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTFLALNLYTEPSYLKFVINATEFPSEDYYDYIVVGGGTAGCPLATSLSESHRVLLLERGGVAHGNSNLMTQEGFLTALTEVDTFDSPAQAFTSEDGVPNARGRILGGSSAINAGFYSRANPDFFRKSGLNWDLRLVNQSYDWVEKAVVFRPDLRNWQSAIRDGLLEAGINPYNGYSLDHLLGTKIGGSTFDVTGKRHSAADLLNYANPANIKVVAYASVERVLLASTSYSKSKITAIGVVFRDHKGQYHHAMLHEKGEVILSAGAIGSPQLLLLSGIGPRPYLSSWGIPVAYHLPNVGRYLYDNPRNGISFVPSIPLEHSLIQVVGITDLGTYLEAASTVIPFTSPARSVFIRQTSAPLYLTVATLMEKIVGPLSIGSLRLASTDVRVNPIVRFNYFSHPLDVERCVNGTRKIGDVLRSRSMEDFMFREWFGARRFRFVGPALPADQNDFVEMADFCRRTVSTIWHYHGGCVVGKVVDGDYHVYGVGALRVVDGSVFSVSPGTNPQATVMMMGRYVGLKMLRDRMTYK >Manes.16G045700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8181506:8186667:1 gene:Manes.16G045700.v8.1 transcript:Manes.16G045700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPIFFYHQCIAFLLIVCATFSHGRLHSQPEPSYLKFVINATEFPSEDYYDYIVVGGGTAGCPLATSLSESHRVLLLERGGVAHGNSNLMTQEGFLTALTEVDTFDSPAQAFTSEDGVPNARGRILGGSSAINAGFYSRANPDFFRKSGLNWDLRLVNQSYDWVEKAVVFRPDLRNWQSAIRDGLLEAGINPYNGYSLDHLLGTKIGGSTFDVTGKRHSAADLLNYANPANIKVVAYASVERVLLASTSYSKSKITAIGVVFRDHKGQYHHAMLHEKGEVILSAGAIGSPQLLLLSGIGPRPYLSSWGIPVAYHLPNVGRYLYDNPRNGISFVPSIPLEHSLIQVVGITDLGTYLEAASTVIPFTSPARSVFIRQTSAPLYLTVATLMEKIVGPLSIGSLRLASTDVRVNPIVRFNYFSHPLDVERCVNGTRKIGDVLRSRSMEDFMFREWFGARRFRFVGPALPADQNDFVEMADFCRRTVSTIWHYHGGCVVGKVVDGDYHVYGVGALRVVDGSVFSVSPGTNPQATVMMMGRYYSA >Manes.16G045700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8181506:8186667:1 gene:Manes.16G045700.v8.1 transcript:Manes.16G045700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPIFFYHQCIAFLLIVCATFSHGRLHSQPEPSYLKFVINATEFPSEDYYDYIVVGGGTAGCPLATSLSESHRVLLLERGGVAHGNSNLMTQEGFLTALTEVDTFDSPAQAFTSEDGVPNARGRILGGSSAINAGFYSRANPDFFRKSGLNWDLRLVNQSYDWVEKAVVFRPDLRNWQSAIRDGLLEAGINPYNGYSLDHLLGTKIGGSTFDVTGKRHSAADLLNYANPANIKVVAYASVERVLLASTSYSKSKITAIGVVFRDHKGQYHHAMLHEKGEVILSAGAIGSPQLLLLSGIGPRPYLSSWGIPVAYHLPNVGRYLYDNPRNGISFVPSIPLEHSLIQVVGITDLGTYLEAASTVIPFTSPARSVFIRQTSAPLYLTVATLMEKIVGPLSIGSLRLASTDVRVNPIVRFNYFSHPLDVERCVNGTRKIGDVLRSRSMEDFMFREWFGARRFRFVGPALPADQNDFVEMADFCRRTVSTIWHYHGGCVVGKVVDGDYHVYGVGALRVVDGSVFSVSPGTNPQATVMMMGRYVGLKMLRDRMTYK >Manes.03G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9399775:9401670:1 gene:Manes.03G068400.v8.1 transcript:Manes.03G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKPHVVCVPYPLQGHIIPMLRLAKLLHYKGFHVTFVNTEFNHDRILESRGNSALDGLPDFNFATIPLQTPPSNSHTSLAVNCLALLETCRKNFLPLFRDLFTKLNDTSSSSSNPPISCILSDAFLSYSLELSQELHIPNVLVWNMGASAVLSFKHVHEQIKKCLAFLIDPSNEAATNMDLDSVMEWIPGRKEAQLRDLSKFIKTKDQVDSSGVHLERASKASAVIFHTFDALDSEVLNSLSPMFQGVYSIGPLQLLLSQISDDCYDSIECNLWNEDFECIKWLDSKEPNSVIYVNFGSTTVMTMEQLVELAWGLANTNHNFVWITRPDLIIGDSAVLPPEFLLAIEERGFIVSWCPQVHVLNHPSTGGFITHCGWNSIEESISAGIPMICWPFFGEHFVNCRKSCNEWGIGVELSSNFQRDEVEKLVEELLSGQKGKMMKEKAMEWKKLSEEATSPNGSSFLSLNNLVNEVLLSTNNNNFS >Manes.16G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32672409:32677430:-1 gene:Manes.16G125100.v8.1 transcript:Manes.16G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPIGKKKNPDSPRTGDANSKQSKLMTDRTSKAFDEDTAIFINMAQDLKEEGNKLFQKRDHEGAMLKYEKAVKLLPKNHIDVAYLRSNMAACYMQMGLGEYPRAINECNLALDVSPKYSKALLKRAKCYEALNRLDLALRDVNNVLSMEPNNLTALEIMESIKKAMTEKGVNFDEKLIGLANVEQTGAARLRKVVEKVKKKKKKNDKLVKGKADDEDKIVVEDKKVNAVIKDKEVVTKTIEEDKVLAKDVKEEKVLAKDVQEEKVLTKDVKEEKVRMKDVKDEKVITKTVKLVFGEDIRWAQLPVNCSVGLLRDIVRDRYPGLKGVLMKYRDAEGDLITITTTEELRLAESSSDSQGSLRFYVVEVDRDLEPVYEGMRIEQEVHKIDNKMSDAVENGNVGKGVDVEKRPITIDDWIVQFARLFKNHVGFDSDSYLDLHELGMKLYSEAMEDTVTSEEAQEIFDIAADKFQEMAALALFNWGNVHMSKARKRVFFSEDGSRESMLAQVKNGYEWAKKEYDKAGRRYQEALNIKPDFYEGFLALGQQQFDEAKLCWYHAIGSKLELEKGPSEEVLVLYNKAEDCMEKGMQMWEEMQEQHLNGLSKFDKYKDQLQKFGLDGLLKDVSAEEAAEQAANMASQIYLLWGTMLYERSVVEYKLELPTWEECLEVAVEKFELAGASPTDIAVMIKNHCSNETALEGLGFKIYEIVQAWNEMYDVKRWETGIPSFRLEPLFRRRVPKLHYMLENV >Manes.08G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37343344:37350307:1 gene:Manes.08G136700.v8.1 transcript:Manes.08G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDQTIVEHDVDQATVPGLDVAVDHGVDHSDHGLDHGMNHPVDQNLEHAVDQGLDLETDGLAEHNVDHGIDQNVDHAIEHDIDHAEDQVTETFQVQEKQGHDEDTVAGSGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKEEPDSSLPPAMDGLLRVHKRIVDGLDGDSSHVPSGTGNKVSTRLLVPASQAGSLIGKQGGTVKSIQESSSCVVRVLGAEDLPVFALQDDRVVEVLGDPAGVHKAVELIASHLRKFLVDRSIIPLFEMHMQMSNPQMERMPPHQSWGPPQGLPPPNAGGGPGFGHNPQYMPPPRQIENYYPPADLPPPMEKQPHQGISAYGREAPMGVHASSNSQAAPSMITQITQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMAEAAAPTQPQTGGSAEQGYNPYAAHGSVYASPPSNQGHAGHTGGYGSVYGTNYGY >Manes.07G021600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2403952:2404563:-1 gene:Manes.07G021600.v8.1 transcript:Manes.07G021600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKILFRKKLTNTDVKFRMVFPIKSYREVLQIQNGDLSQGIDVIDGEDNSVKKFICTKRHKGHHKPVFSKGWISFVKEKHLVAGDKVIFYKEEDKVGRIRFKIHAKKVPCLLFGFDLRNAIRKATYPGQQN >Manes.10G089600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:22809264:22812394:-1 gene:Manes.10G089600.v8.1 transcript:Manes.10G089600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREQTKRIPLFPLVSLLCFASIFFVLSLSRRPSLSRQTSHFKPNFVSDTTSPSGSCDYTQGSWIYDPNLRSERYDSTCKEIFKGWNCIANNKSNAREILKWRWQPKGCDLPPFDPVRFLESNRDTNIGFVGDSLNRNMFVSLFCTLKRVSSEVKKWRPAGADRGFTFLRYNLTIAYHRTNLLARYGSWSANVNGGELESLGYKEGYRVDMDIPEGTWANAPSFHDILIFNTGHWWWAPSKFDPVKSPMLFFERGQPVIPPIPPDVGLDKVLKNMILFVEKNMRPGGLKFFRTQSPRHFEGGDWDQGGSCPRLHPLLPEQVEELFSIKNNGTNVESRLVNQHLVKALEGSNFHIVDITHMSEFRADAHPSTAGGKRHDDCMHWCLPGITDTWNDLFIMHLNGIKVRT >Manes.14G020150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1998782:1999805:1 gene:Manes.14G020150.v8.1 transcript:Manes.14G020150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAISQIHSEKIGFQVSDKEEEEDEEEEDWFSSLQKVTDVVFSLEHKAYFAGELSPMLMCTLQFQMLFVLVGG >Manes.14G020150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1998782:1999805:1 gene:Manes.14G020150.v8.1 transcript:Manes.14G020150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAISQIHSEKIGFQVSDKEEEEDEEEEDWFSSLQKVTDVVFSLEHKAYFAGELSPMLMCTLQFQMLFVLVGG >Manes.14G020150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1999048:1999805:1 gene:Manes.14G020150.v8.1 transcript:Manes.14G020150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAISQIHSEKIGFQVSDKEEEEDEEEEDWFSSLQKVTDVVFSLEHKAYFAGELSPMLMCTLQFQMLFVLVGG >Manes.02G164400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12846613:12851915:-1 gene:Manes.02G164400.v8.1 transcript:Manes.02G164400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFTFQVPAELETALQLKTVQYLVTQRPWLDLYGVNIRPVAPYGSASRRQNNDPALIHRCLPDELLLEVFSRMNPYDLGRAACVCRKWRYTLRNPAFWRTACLKAWQLSGVVENYKILQSKYESSWRRMWLLRPRVRTDGLYVSRNTYIRAGVREWNVTNPVHLVCYYRYIRFFPSGRFLYKTTSHTVKDVVKCMNLRASKADDVFSGRYTLTDDKVEASFLYPGLRPTLWKVCLRLRGTTAGANNRMDILSLVTSKVDSNGVSGPEEDIIGEVEDWQEDEISRTSHKRGLAPFAFVPFEEVETSVLNLPVEKMDYYVPG >Manes.06G022000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:4091667:4092098:1 gene:Manes.06G022000.v8.1 transcript:Manes.06G022000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMLLVLQGEFCIWNKNVVKVICKAKFQYWILLYCCFSSLNFNTFIAMVYAPCAEANKKCLWKSIAYELVHLVDPILLIGDFNQVLRPSERSSGHLSSTRVRNFKLLIDSLSLLGLILNGRKYTWRNSSFYSRIDGALVSNH >Manes.05G158900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27162472:27168824:1 gene:Manes.05G158900.v8.1 transcript:Manes.05G158900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDDTEDARGDLRKPFLHTGSWYRMGSRQSSLMGSSQVIRDSSISVVACVMIVALGPVQFGFTCGYSSPTQTAITKDLALSVSEYSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDASFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLFVQWRILAVLGILPCVVLIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDTDISLEVNEIKRAVASTSRRTTIRFAELKRRRFWLPLMIGIGLLFLQQLSGINGVLFYSSTIFASAGVSNSNVATFGLGAIQVIATGVTTWLADKAGRRILLIASSSGMTVSLLLVAVSFFVQDIVSQESTLYNILGILSIIGVVGMVVAFSLGMGPIPWIIMSEVSLFQLLFSLCAIAISQFAI >Manes.05G158900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27162472:27168823:1 gene:Manes.05G158900.v8.1 transcript:Manes.05G158900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDDTEDARGDLRKPFLHTGSWYRMGSRQSSLMGSSQVIRDSSISVVACVMIVALGPVQFGFTCGYSSPTQTAITKDLALSVSEYSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDASFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLFVQWRILAVLGILPCVVLIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDTDISLEVNEIKRAVASTSRRTTIRFAELKRRRFWLPLMIGIGLLFLQQLSGINGVLFYSSTIFASAGVSNSNVATFGLGAIQVIATGVTTWLADKAGRRILLIASSSGMTVSLLLVAVSFFVQDIVSQESTLYNILGILSIIGVVGMVVAFSLGMGPIPWIIMSEILPVNIKSLAGSVATLANWLVAFVVTMTANLLLNWSSGGTFTIYMIVCAFSIAFTTIWVPETKGRTLEEIQSSFR >Manes.05G158900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27162472:27168823:1 gene:Manes.05G158900.v8.1 transcript:Manes.05G158900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDASFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLFVQWRILAVLGILPCVVLIPGLFFIPESPRWLAKMGMTEDFEASLQVLRGFDTDISLEVNEIKRAVASTSRRTTIRFAELKRRRFWLPLMIGIGLLFLQQLSGINGVLFYSSTIFASAGVSNSNVATFGLGAIQVIATGVTTWLADKAGRRILLIASSSGMTVSLLLVAVSFFVQDIVSQESTLYNILGILSIIGVVGMVVAFSLGMGPIPWIIMSEILPVNIKSLAGSVATLANWLVAFVVTMTANLLLNWSSGGTFTIYMIVCAFSIAFTTIWVPETKGRTLEEIQSSFR >Manes.15G003700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:77526:92160:-1 gene:Manes.15G003700.v8.1 transcript:Manes.15G003700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSQIPQLLNDTLSSDVNVVHTATEALNRISLLPQFPLSLLFVASGGENDGQKVAAATYLKNFTRQNIKGNGPNSKVSKEFKDCLMRILLQVEPAIMKVLIEVFRIIVVAEFVEQNSWPELVPELRSAIWSSNLINNGTNYEWNTTNALTVFQALIRPFQYFLTPKVAREPVPPQLELIAKEILVPVLAIFHHLLERVLSTHGRTDLEVEKILLIVCKCIYFTVRSHMPSALVPSLPMLCQSLIGLLDSLSFDHGVTSEGGQLLRLKAGKRILLIFCALVTRHRKYSDKLMPDILNCALKIVRYTENISKLDFLSERIISLAFDLISHILETGPGWRLVSPHFSLLLDSAIFPALILNEKDISEWDEDAEEYIRKNLPFEFEEISGWREDLFTARKSAISLLGVISMSKGPHMVTSCNGSAASYKRKKGEKNRRNNRHSMGDLLVLPFLSKFPIPWDANACKASIINDYYGVLMAFGGLQEFLTEQKTGYITVLLRARVLPLYTMSVIPPYLVAAANWVLGELASCLPEDMCADIYSSLLKALEMPDNEDTSYHPVRVSAAGAIMELLENEYQPPEWLPLLQIVISRINIEDEETLILFQLLNSAVEAGDENIADHIPFMVSSLVGALLKFVRPSLDSWPQVVESGFAALAVMAKSWENFLPEEIEQIESGEKWASGQAAIGRALSALLQQTWLAPMHLVDQQGQVSPSPTCIDDLSMLLRSIMLSVTGSDVILQLRLSDLLLVWAGLIADWHAWEESEDLSVFECIKEVINVHKKYGLENFIVGQMPSPPAPPVPQQSIIEGISAFVREAVMQYPSATRRACLCVHLLLHVPCYSTETKSVKQSLAIAFSQAAFSHFKQIQSKPCSLWKPLLLVISSCYLCYPDTVEEILEKDVKGGFTIWGSALAFACTGSFEHGLEAKSEIKLGVITLAKVIERLLEQGNSGGGLLRDCFSSLMEASIQLKEAQEEMEDEESNGETEDIDEDDDNEDDDVDSEDEEREETEEEFLERYAKAAIALDDDTIVEEGDMEDQEHDIEMGALEDLDEQRIIFSLMERFYHFLIQGQALSSAVVSNFLSAYPECNHFFQH >Manes.08G000601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:308098:308704:1 gene:Manes.08G000601.v8.1 transcript:Manes.08G000601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIRFLFNILISSLFILSVSSTFPLLPFHHHLPDELHPAVQVKRAIMAHQFLIAHNCVRAAYGLSPLRWNRTLMHSPDSPYGENMFWSKKSHWGPTEVVKCWADEKVYYNQKTNECINGEKCDHFTQLVWKDTERVGCGRVRCNNDKGFLYVCSYDPPGNYYFEGPFGGTFTKSIAYPPPGSV >Manes.01G030200.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLFVKLGRLIIYCFYDQQSTKLGMDEKIATNTWSETPAEPACNICSPSFFSSEGHNFCSAIIC >Manes.01G030200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLQPNLGWMRRLQQILGRKHQRNLHAIYVLHPSFHLRATIFALQLFVDGVTWKKVVYADKLLQLFRHVPREQLSIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.01G030200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLQPNLGWMRRLQQILGRKHQRNLHAIYVLHPSFHLRATIFALQLFVDGVTWKKVVYADKLLQLFRHVPREQLSIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.01G030200.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLFVKLGRLIIYCFYDQQSTKLGMDEKIATNTWSETPAEPACNICSPSFFSSEGHNFCSAIIC >Manes.01G030200.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLFVKLGRLIIYCFYDQQSTKLGMDEKIATNTWSETPAEPACNICSPSFFSSEGHNFCSAIIC >Manes.01G030200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLQPNLGWMRRLQQILGRKHQRNLHAIYVLHPSFHLRATIFALQLFVDGVTWKKVVYADKLLQLFRHVPREQLSIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.01G030200.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNEGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLFVKLGRLIIYCFYDQQSTKLGMDEKIATNTWSETPAEPACNICSPSFFSSEGHNFCSAIIC >Manes.01G030200.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLFVKLGRLIIYCFYDQQSTKLGMDEKIATNTWSETPAEPACNICSPSFFSSEGHNFCSAIIC >Manes.01G030200.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLFVKLGRLIIYCFYDQQSTKLGMDEKIATNTWSETPAEPACNICSPSFFSSEGHNFCSAIIC >Manes.01G030200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLQPNLGWMRRLQQILGRKHQRNLHAIYVLHPSFHLRATIFALQLFVDGVTWKKVVYADKLLQLFRHVPREQLSIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.01G030200.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNEGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLFVKLGRLIIYCFYDQQSTKLGMDEKIATNTWSETPAEPACNICSPSFFSSEGHNFCSAIIC >Manes.01G030200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLQPNLGWMRRLQQILGRKHQRNLHAIYVLHPSFHLRATIFALQLFVDGVTWKKVVYADKLLQLFRHVPREQLSIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.01G030200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNEGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLQPNLGWMRRLQQILGRKHQRNLHAIYVLHPSFHLRATIFALQLFVDGVTWKKVVYADKLLQLFRHVPREQLSIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.01G030200.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLFVKLGRLIIYCFYDQQSTKLGMDEKIATNTWSETPAEPACNICSPSFFSSEGHNFCSAIIC >Manes.01G030200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNENMDEAQSSPGLHAAAGTGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLQPNLGWMRRLQQILGRKHQRNLHAIYVLHPSFHLRATIFALQLFVDGVTWKKVVYADKLLQLFRHVPREQLSIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.01G030200.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6051820:6065185:1 gene:Manes.01G030200.v8.1 transcript:Manes.01G030200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSGATTSTTGGGSPTDTGNFVVTLDQVPRWTDAENRLSLGYDNDDPSFSNSYFCDPLTSINEEENSGNGVVSRFPVDHEINSTIYLWRGNPWNLEVDAVVNSTNEGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKGYPRESAAHVAIRTVRRLLEKHKDKISAVVFCTTTTIDTEIYKRLLPLYFPRDKHEEEVAILKLPADVGDENGETIIDERKIRIKPLPKKIVPKPPQVEVDPPVSDVGLVQRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKTAQAQSGWNFAKMLGFGDLGGPPLSIAEEYSLHSRSLAKANSLNLSELAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLDRFVLHVVKEFEPIIQKPYTIVYFHSAASLQLQPNLGWMRRLQQILGRKHQRNLHAIYVLHPSFHLRATIFALQLFVDGVTWKKVVYADKLLQLFRHVPREQLSIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Manes.10G034500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3424624:3431200:1 gene:Manes.10G034500.v8.1 transcript:Manes.10G034500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGYNVARIAIKRTLCHSGSYMTRVQGVPSQYRSFHRTIFQSKAQATATPNSVPLSKLPDNFLDATSSVYIEELQKAWESDPNNVDESWDNFFRNFAGQSVTSAGISGQTIQESMRLFLLVIAYQVNGHMKARLDPLDLEEREIPDDLDPAFHGFTEADLDKEFFLGVWKMSGFLSDNRPVMTLRSILTRLEQAYSGHVGYEYMHIDDSAKCNWLRDKIETPTAMTYSKERRVVFLDRLTWSTYFENFLATKMKAAKRFGLEGGESLIPGMKEMFDRASDLGVENIVVGMPHRGRLNVLGNVFRKPLAQIFSEFDKNAKSLDEDGLYAGTGDVKYHLGTSYDRPTRSGNRIHLSLVANPSHLEAVDPVVLGKTRAKQYYSNDVDRIKNMAVLIHGDGSFSGQGVVYETLHLSALPSYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDAAKALNAPIFHVNGDDMEAVAHVCELAAEWRQTFHSDVIVDIVCYRRFGHNEVDEPFFTQPKMYKVIQKHPSSLEIYQNKLLESGEVTQGDIDRIHKKVNRILNEEYLNSKDYFPKRRDWLASHWSGFKSPEQLSRIRNTGVNPEVLKKVGRAITVLPDDFKPHKQVKKIYDDRAQMIETGEGIDWALAEALAFATLLSEGNHVRLSGQDVERGTFSHRHAMVHDQESGKKYCTLDHVVMDQDEEMFTVSNSSLSEFGVLGFELGYSMENPNALVMWEAQFGDFSNGAQVMFDQFLSGGESKWLRQSGLVLLLPHGYDGQGPEHSSARLERFLQMSDSDPFVVPEMDSSLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLVVMSPKNLLRHKSCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLILCSGKVYYELDEERSRINEKNVAICRVEQLCPFPYDLFQRELNRYPNAEIVWCQEEAMNMGAYNYIKPRLYTAMRAMGRGSFEDIKYVGRPPSASTATGFYVVHKNEQLDLVQLAMQPDPIKCPY >Manes.10G034500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3424624:3431245:1 gene:Manes.10G034500.v8.1 transcript:Manes.10G034500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGYNVARIAIKRTLCHSGSYMTRVQGVPSQYRSFHRTIFQSKAQATATPNSVPLSKLPDNFLDATSSVYIEELQKAWESDPNNVDESWDNFFRNFAGQSVTSAGISGQTIQESMRLFLLVIAYQVNGHMKARLDPLDLEEREIPDDLDPAFHGFTEADLDKEFFLGVWKMSGFLSDNRPVMTLRSILTRLEQAYSGHVGYEYMHIDDSAKCNWLRDKIETPTAMTYSKERRVVFLDRLTWSTYFENFLATKMKAAKRFGLEGGESLIPGMKEMFDRASDLGVENIVVGMPHRGRLNVLGNVFRKPLAQIFSEFDKNAKSLDEDGLYAGTGDVKYHLGTSYDRPTRSGNRIHLSLVANPSHLEAVDPVVLGKTRAKQYYSNDVDRIKNMAVLIHGDGSFSGQGVVYETLHLSALPSYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDAAKALNAPIFHVNGDDMEAVAHVCELAAEWRQTFHSDVIVDIVCYRRFGHNEVDEPFFTQPKMYKVIQKHPSSLEIYQNKLLESGEVTQGDIDRIHKKVNRILNEEYLNSKDYFPKRRDWLASHWSGFKSPEQLSRIRNTGVNPEVLKKVGRAITVLPDDFKPHKQVKKIYDDRAQMIETGEGIDWALAEALAFATLLSEGNHVRLSGQDVERGTFSHRHAMVHDQESGKKYCTLDHVVMDQDEEMFTVSNSSLSEFGVLGFELGYSMENPNALVMWEAQFGDFSNGAQVMFDQFLSGGESKWLRQSGLVLLLPHGYDGQGPEHSSARLERFLQMSDSDPFVVPEMDSSLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLVVMSPKNLLRHKSCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLILCSGKVYYELDEERSRINEKNVAICRVEQLCPFPYDLFQRELNRYPNAEIVWCQEEAMNMGAYNYIKPRLYTAMRAMGRGSFEDIKYVGRPPSASTATGFYVVHKNEQLDLVQLAMQPDPIKCPY >Manes.10G034500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3425157:3431247:1 gene:Manes.10G034500.v8.1 transcript:Manes.10G034500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGYNVARIAIKRTLCHSGSYMTRVQGVPSQYRSFHRTIFQSKAQATATPNSVPLSKLPDNFLDATSSVYIEELQKAWESDPNNVDESWDNFFRNFAGQSVTSAGISGQTIQESMRLFLLVIAYQVNGHMKARLDPLDLEEREIPDDLDPAFHGFTEADLDKEFFLGVWKMSGFLSDNRPVMTLRSILTRLEQAYSGHVGYEYMHIDDSAKCNWLRDKIETPTAMTYSKERRVVFLDRLTWSTYFENFLATKMKAAKRFGLEGGESLIPGMKEMFDRASDLGVENIVVGMPHRGRLNVLGNVFRKPLAQIFSEFDKNAKSLDEDGLYAGTGDVKYHLGTSYDRPTRSGNRIHLSLVANPSHLEAVDPVVLGKTRAKQYYSNDVDRIKNMAVLIHGDGSFSGQGVVYETLHLSALPSYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDAAKALNAPIFHVNGDDMEAVAHVCELAAEWRQTFHSDVIVDIVCYRRFGHNEVDEPFFTQPKMYKVIQKHPSSLEIYQNKLLESGEVTQGDIDRIHKKVNRILNEEYLNSKDYFPKRRDWLASHWSGFKSPEQLSRIRNTGVNPEVLKKVGRAITVLPDDFKPHKQVKKIYDDRAQMIETGEGIDWALAEALAFATLLSEGNHVRLSGQDVERGTFSHRHAMVHDQESGKKYCTLDHVVMDQDEEMFTVSNSSLSEFGVLGFELGYSMENPNALVMWEAQFGDFSNGAQVMFDQFLSGGESKWLRQSGLVLLLPHGYDGQGPEHSSARLERFLQMSDSDPFVVPEMDSSLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLVVMSPKNLLRHKSCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLILCSGKVYYELDEERSRINEKNVAICRVEQLCPFPYDLFQRELNRYPNAEIVWCQEEAMNMGAYNYIKPRLYTAMRAMGRGSFEDIKYVGRPPSASTATGFYVVHKNEQLDLVQLAMQPDPIKCPY >Manes.14G011400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:764539:764811:-1 gene:Manes.14G011400.v8.1 transcript:Manes.14G011400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLTLVAYYIYFNSLKVMYGVCVLVLGLFYMYILSLAIADTQKLHPSLFNHHHFITNFLFLQLQDTAPPLPPSSNHSYYSLSLLSASSG >Manes.04G087800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29298749:29310211:1 gene:Manes.04G087800.v8.1 transcript:Manes.04G087800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEMGSSFNPQILVEKLTKLNHSQASIETLSHWCIFHMNKAKQVVETWDRQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIENGDEAGRNAALRLIGIWEDRKVFGSRGQILKEELVGKHSDGSNRNGKSVGFKLKQPTGSTLDKIISGYQVVDASQVDEDVIINKCRSAISSLEKAEKEIGVNINSGQFHATSFVEELQGQHTILRDSIEQLTAIESSRASLVSHLRDALQEQEYKLEQIRSQLQAGQSQSEHASNVCQKLLRSGNMQLVGEQGSKEVGTSIASQNFRTGDREQSVPTMYTRQAPFPEKTGQIEEDPRKSAAAAVAAKLTASTSSAQMLSFVLSSLASEGGIGNPIKESSGDYASGKRAKLENDQPYIPTQNPPQQPLPPFQHPESFQVATSGQQLTSNDPPPPPSSPPPPPPLPPMQPYSIPQYMQTAGSINNVPYNYSMSQQQPPSLPGYPGVGTQMTGIAPYTMPPSNSYPSYQGSDGTLYSQQSSMPISRQ >Manes.04G037000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4929300:4933803:-1 gene:Manes.04G037000.v8.1 transcript:Manes.04G037000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITYFPLSFGGLLLSWISLICLCYIVWWKHESYRAKLIREQGIKGPPPSLLLGNIPEMEKMVSQNSETPEIDGPLTVLPYLNHWTNNYGKLFKFALGGIQLLYVNNLNIVREINMFTSFELGKPAYLRNDRGVLLGKGLNASDGESWYHQRKTVAPQLYMHKVKDMVNLMVESSFMLVKSWEKAIDIEGEAGIVDIVVDEHVRNFTCYIASKLIFGSYHHQGIKILSKCHELLKAMGETTTLGIPLLRFLPIERNKKTWRLAKEIHGLIMDIAKERSGSTSHHDLLQALIEGSKNSKLLTLREDEFIVDNCKSICFGAHESPALAASWGLMLLASHPEWQDRARAEVLEVCEGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAVQDVKLGDLKVPKGMGIWIWLLALHRDPEYWGADADMFNPQRFINGAKEACSNPRNRGPQQ >Manes.04G037000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4929300:4933803:-1 gene:Manes.04G037000.v8.1 transcript:Manes.04G037000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITYFPLSFGGLLLSWISLICLCYIVWWKHESYRAKLIREQGIKGPPPSLLLGNIPEMEKMVSQNSETPEIDGPLTVLPYLNHWTNNYGKLFKFALGGIQLLYVNNLNIVREINMFTSFELGKPAYLRNDRGVLLGKGLNASDGESWYHQRKTVAPQLYMHKDMVNLMVESSFMLVKSWEKAIDIEGEAGIVDIVVDEHVRNFTCYIASKLIFGSYHHQGIKILSKCHELLKAMGETTTLGIPLLRFLPIERNKKTWRLAKEIHGLIMDIAKERSGSTSHHDLLQALIEGSKNSKLLTLREDEFIVDNCKSICFGAHESPALAASWGLMLLASHPEWQDRARAEVLEVCEGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAVQDVKLGDLKVPKGMGIWIWLLALHRDPEYWGADADMFNPQRFINGAKEACSNPRNRGPQQ >Manes.04G037000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4931402:4933803:-1 gene:Manes.04G037000.v8.1 transcript:Manes.04G037000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITYFPLSFGGLLLSWISLICLCYIVWWKHESYRAKLIREQGIKGPPPSLLLGNIPEMEKMVSQNSETPEIDGPLTVLPYLNHWTNNYGKLFKFALGGIQLLYVNNLNIVREINMFTSFELGKPAYLRNDRGVLLGKGLNASDGESWYHQRKTVAPQLYMHKVKDMVNLMVESSFMLVKSWEKAIDIEGEAGIVDIVVDEHVRNFTCYIASKLIFGSYHHQGIKILSKCHELLKAMGETTTLGIPLLRFLPIERNKKTWRLAKEIHGLIMDIAKERSGSTSHHDLLQALIEGSKNSKLLTLREDEFIVDNCKSICFGAHESPALAASWGLMLLASHPEWQDRARAEVLEVCEGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAVQDVKLGDLKVPKGMGIWIWLLALHRDPEYWGADADMFNPQRFINGAKEACKSSQAYLPFGLGARVCPGENLALTELKVLFAIILSNFKFTISPKYQHSPSYGLLLEPEYGVNLLIQKI >Manes.04G037000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4931402:4933803:-1 gene:Manes.04G037000.v8.1 transcript:Manes.04G037000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITYFPLSFGGLLLSWISLICLCYIVWWKHESYRAKLIREQGIKGPPPSLLLGNIPEMEKMVSQNSETPEIDGPLTVLPYLNHWTNNYGKLFKFALGGIQLLYVNNLNIVREINMFTSFELGKPAYLRNDRGVLLGKGLNASDGESWYHQRKTVAPQLYMHKDMVNLMVESSFMLVKSWEKAIDIEGEAGIVDIVVDEHVRNFTCYIASKLIFGSYHHQGIKILSKCHELLKAMGETTTLGIPLLRFLPIERNKKTWRLAKEIHGLIMDIAKERSGSTSHHDLLQALIEGSKNSKLLTLREDEFIVDNCKSICFGAHESPALAASWGLMLLASHPEWQDRARAEVLEVCEGQQLLDYNMLSKMKVLKMVIQEVLRLYPGVTLVSREAVQDVKLGDLKVPKGMGIWIWLLALHRDPEYWGADADMFNPQRFINGAKEACKSSQAYLPFGLGARVCPGENLALTELKVLFAIILSNFKFTISPKYQHSPSYGLLLEPEYGVNLLIQKI >Manes.17G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:5445465:5458987:1 gene:Manes.17G013000.v8.1 transcript:Manes.17G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEETLGELNKESFVSLLGKIIGESKYVQNNPPDLIPEEDRVVKHVLDSLLPLSTTTGGGPLIVNHVSYFPGRGNLIVEYPGTEPGKILSFVGMHMDVVTADPNDWEFDPFTLGINGDKLCGRGTTDCLGHVALVTELMKRLAETKPKLKSTVVAVFIASEENSSIAGVGVDALVKDGLLNKLKGGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKAINSLELAMEALKEIQSRFYKDFPPHPKEQNYGFATASTMKPTQWNYPGGGINQIPAECTISGDVRLTPFYNVTDVKKKLQEYVDDINENIEKLDTRGPVSKYVLPDENLRGSLTLTFDEAMSGVACDLDSRGFHVLCKATEKIVGHVKPYSITGSLPLIRELQDEGFDVQTTGYGLMATYHAKNEYCLLSDMCQGYKVFVSIISQLED >Manes.14G060550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:5052599:5053882:1 gene:Manes.14G060550.v8.1 transcript:Manes.14G060550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSFNMDGRQHPKWQNEDGHRKMVSALPQFPPNFHFPFPTEPINPDVPTNPKQPKTRTKCLPTSSKLAAILDPTITENLIESAAVLLPSYHHGLRTVHFDINDDPNSLAYNDIIRAFTIKQFPPKP >Manes.05G089000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8123592:8125231:-1 gene:Manes.05G089000.v8.1 transcript:Manes.05G089000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRILVWEDGFCNFSASAAEINSSDCPSSSVYGNCEFQPYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIHKEPNDQEINFLSSWHNSADSHPRTWEAQFQSGVKTIALIAVREGVIQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAFPYKVDGYGIPETWHYQSTIAPPTEFYDHFNQVPFKITPSMSSLEALLSKLPSVVPPAQPGPGYCTESQPQSDSHYLSIQRHGEYMSMEKVAKEEIDEDYRGEYDIGESSSSMSAYHRQQFQHQHHQDLNVTSSRPNKSYFE >Manes.05G089000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8123592:8125836:-1 gene:Manes.05G089000.v8.1 transcript:Manes.05G089000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLSPLAVTHLLQHTLRSLCIHENSQWLYAVFWRILPRNYPPPKWDGQGAYDRSRGNRRNWILVWEDGFCNFSASAAEINSSDCPSSSVYGNCEFQPYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIHKEPNDQEINFLSSWHNSADSHPRTWEAQFQSGVKTIALIAVREGVIQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAFPYKVDGYGIPETWHYQSTIAPPTEFYDHFNQVPFKITPSMSSLEALLSKLPSVVPPAQPGPGYCTESQPQSDSHYLSIQRHGEYMSMEKVAKEEIDEDYRGEYDIGESSSSMSAYHRQQFQHQHHQDLNVTSSRPNKSYFE >Manes.10G023600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2261532:2263739:-1 gene:Manes.10G023600.v8.1 transcript:Manes.10G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINYFSFFLCFTFFTSSFHVHSKTITGSSSLYLSPTILFPNYQKMLEAFKIYMYTPPKPFSFTSPVESLFFSSLSNSPFVTQDPEEAHLFFVPLSANLSTRTIARVIRELRMEFPYWNRTLGADHFYVSCQGLGYESDRNLVELKKNSVQISCFPAPEGKFVPHKDITLPPLAFAHSTQVPANRTVKYRGFVKHNGVKESALVNELRSASDFFMENQPSDEKTFVDRLANSEFCLFEYGADVSGIADALRFGCVPVVISGRPIQDLPLMDVMRWQEIAVFVGYKSNGGLDLEELKGVLDRTCKGNTCEGKRRLGVAASKHLLWNETPEPLDPFHMIMYQLWLRRHTIRYAERKWV >Manes.07G070000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:14678554:14678865:-1 gene:Manes.07G070000.v8.1 transcript:Manes.07G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGQPPSSLFSALLLLGCLLFCSSMLHGTEARIRLLSEASRLNEDIVREIESVVQELSLAAVKRSGPSPGIGHRYKDLKTLGVVMESGPSPGEGHKYVAGNYP >Manes.01G093625.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29521173:29521694:1 gene:Manes.01G093625.v8.1 transcript:Manes.01G093625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWKIDLPFRKHQVLSSLLYCPCLCFLSKASPFLLALRRFLAFSCLGIRLLFNYLVGSLGLLAIVLKSSTSVLRWLTTMVRVSDRSEGRSSLAIRAEFMSQVGLSF >Manes.13G082700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14647116:14653117:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIAWSLSAQYLAVSSQDGYCTLVEFETNELGLPIPLAEHKKDLVHENKSPIIDKREEIVIETPADAGSIAENSKKTDVEKNEGKQASPSSISIPISNKPAKRRITPMAIDPK >Manes.13G082700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14646968:14653506:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIAWSLSAQYLAVSSQDGYCTLVEFETNELGLPIPLAEHKKDLVHENKSPIIDKREEIVIETPADAGSIAENSKKTDVEKNEGKQASPSSISIPISNKPAKRRITPMAIDPK >Manes.13G082700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14646966:14653117:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIAWSLSAQYLAVSSQDGYCTLVEFETNELGLPIPLAVSAAEHKKDLVHENKSPIIDKREEIVIETPADAGSIAENSKKTDVEKNEGKQASPSSISIPISNKPAKRRITPMAIDPK >Manes.13G082700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14647172:14653117:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIAWSLSAQYLAVSSQDGYCTLVEFETNELGLPIPLAEHKKDLVHENKSPIIDKREEIVIETPADAGSIAENSKKTDVEKNEGKQASPSSISIPISNKPAKRRITPMAIDPK >Manes.13G082700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14646990:14653252:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIAWSLSAQYLAVSSQDGYCTLVEFETNELGLPIPLAVSAAEHKKDLVHENKSPIIDKREEIVIETPADAGSIAENSKKTDVEKNEGKQASPSSISIPISNKPAKRRITPMAIDPK >Manes.13G082700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14647115:14653173:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIACICCRAQERPGS >Manes.13G082700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14647172:14653117:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIAWSLSAQYLAVSSQDGYCTLVEFETNELGLPIPLAVSAAEHKKDLVHENKSPIIDKREEIVIETPADAGSIAENSKKTDVEKNEGKQASPSSISIPISNKPAKRRITPMAIDPK >Manes.13G082700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14647115:14653117:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIACICCRAQERPGS >Manes.13G082700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:14646992:14653189:1 gene:Manes.13G082700.v8.1 transcript:Manes.13G082700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHGTKPVLTLDFHPISGLLATGGADFDIKLWLINSGEAQKKIPTSTYQNSLSHHCSAVNTLRFSKSGDLLASGADGGELIVWKLHSTETGQTWKVLKNLSFHRKDILDLQWSPDGAFLISGSVDNSCIIWDVNKGSVHQLLDSHFHYVQGVAWDPLTKYVASLSSDRTCRVYMNKPQLKTKAVEKMNYVCQHVIAKTEHQSADDSKSSKNHLFHDETLPSFFRRLAWSPDGSFLLVPAGSHKIPPASDAVNTAYAFSRKDFSRPALMLPGASKPVVAVRFCPMAFSLRESDSAGFFKLPYRLIFAVATLNSLYIYDTESAPPIAILAGLHYAAITDIAWSLSAQYLAVSSQDGYCTLVEFETNELGLPIPLAVSAAEHKKDLVHENKSPIIDKREEIVIETPADAGSIAENSKKTDVEKNEGKQASPSSISIPISNKPAKRRITPMAIDPK >Manes.01G178400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35805481:35809916:-1 gene:Manes.01G178400.v8.1 transcript:Manes.01G178400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSLSISYANYCFPFRCDRRLFSWSPSLSLHSDVEVQLRVLCEAPSSQFTEAVSFFHRAIDSSDLPSQSACNYLLESLVKSKNYELAFSVYSKMTHAGILPSFVSLSRIIDCFVHTHEIKFAFGVLGLILKRGFVVSSYVMNLMLKGLCRNGEVCKALDLFSEMKRSHVLPDIVSYSTIMKGLCREKRLENALELLVEMEGTNFEPDVVTYSTLMDGLCKDGRVGQAVDLLEKMKRKGLEANVIVYGVLVDGYCKIGQWKEATAMLNTMMESGIRPDVCIYTSLISGLCKDGKTTKAMDLFKLMLEKGEEPSVVTYNVLISGLCKEGLVGDALKIFETMLEKGKKPDVVSYNTLLMGLCNTGKVDEAMKLFSAVLKDGKYIEPDVITFNCLIQGLCKEDQLDEAAEIYRIMIERGTSGNLITYNILIGEYIKAGQIDEAMQLWKHILELGFVPNSITYSTLISGFCKMGMLNVAKGLLTKMRASGLRPTLSDYNMLMACLCKESSLEQARMLFQEMRNSNYELDIIAFNTLIDGTMKSGDIQSAKELLMEMLQMGLTPDAFTYSIIINRFSKLGQLEQAKVYFDRMISSGFKPSIHVYDSLLKGFGALGEMKEVINLLRQMADEKVVIDSTTTHTILNCLCYSSQGADIVKLLPNFAPEIPEGTSISCDELLMKIQECSPEFQVCPT >Manes.01G178400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35805568:35809851:-1 gene:Manes.01G178400.v8.1 transcript:Manes.01G178400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSLSISYANYCFPFRCDRRLFSWSPSLSLHSDVEVQLRVLCEAPSSQFTEAVSFFHRAIDSSDLPSQSACNYLLESLVKSKNYELAFSVYSKMTHAGILPSFVSLSRIIDCFVHTHEIKFAFGVLGLILKRGFVVSSYVMNLMLKGLCRNGEVCKALDLFSEMKRSHVLPDIVSYSTIMKGLCREKRLENALELLVEMEGTNFEPDVVTYSTLMDGLCKDGRVGQAVDLLEKMKRKGLEANVIVYGVLVDGYCKIGQWKEATAMLNTMMESGIRPDVCIYTSLISGLCKDGKTTKAMDLFKLMLEKGEEPSVVTYNVLISGLCKEGLVGDALKIFETMLEKGKKPDVVSYNTLLMGLCNTGKVDEAMKLFSAVLKDGKYIEPDVITFNCLIQGLCKEDQLDEAAEIYRIMIERGTSGNLITYNILIGEYIKAGQIDEAMQLWKHILELGFVPNSITYSTLISGFCKMGMLNVAKGLLTKMRASGLRPTLSDYNMLMACLCKESSLEQARMLFQEMRNSNYELDIIAFNTLIDGTMKSGDIQSAKELLMEMLQMGLTPDAFTYSIIINRFSKLGQLEQAKVYFDRMISSGFKPSIHVYDSLLKGFGALGEMKEVINLLRQMADEKVVIDSTTTHTILNCLCYSSQGADIVKLLPNFAPEIPEGTSISCDELLMKIQECSPEFQVCPT >Manes.01G178400.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35805568:35809851:-1 gene:Manes.01G178400.v8.1 transcript:Manes.01G178400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSLSISYANYCFPFRCDRRLFSWSPSLSLHSDVEVQLRVLCEAPSSQFTEAVSFFHRAIDSSDLPSQSACNYLLESLVKSKNYELAFSVYSKMTHAGILPSFVSLSRIIDCFVHTHEIKFAFGVLGLILKRGFVVSSYVMNLMLKGLCRNGEVCKALDLFSEMKRSHVLPDIVSYSTIMKGLCREKRLENALELLVEMEGTNFEPDVVTYSTLMDGLCKDGRVGQAVDLLEKMKRKGLEANVIVYGVLVDGYCKIGQWKEATAMLNTMMESGIRPDVCIYTSLISGLCKDGKTTKAMDLFKLMLEKGEEPSVVTYNVLISGLCKEGLVGDALKIFETMLEKGKKPDVVSYNTLLMGLCNTGKVDEAMKLFSAVLKDGKYIEPDVITFNCLIQGLCKEDQLDEAAEIYRIMIERGTSGNLITYNILIGEYIKAGQIDEAMQLWKHILELGFVPNSITYSTLISGFCKMGMLNVAKGLLTKMRASGLRPTLSDYNMLMACLCKESSLEQARMLFQEMRNSNYELDIIAFNTLIDGTMKSGDIQSAKELLMEMLQMGLTPDAFTYSIIINRFSKLGQLEQAKVYFDRMISSGFKPSIHVYDSLLKGFGALGEMKEVINLLRQMADEKVVIDSTTTHTILNCLCYSSQGADIVKLLPNFAPEIPEGTSISCDELLMKIQECSPEFQVCPT >Manes.01G178400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35805480:35809981:-1 gene:Manes.01G178400.v8.1 transcript:Manes.01G178400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSLSISYANYCFPFRCDRRLFSWSPSLSLHSDVEVQLRVLCEAPSSQFTEAVSFFHRAIDSSDLPSQSACNYLLESLVKSKNYELAFSVYSKMTHAGILPSFVSLSRIIDCFVHTHEIKFAFGVLGLILKRGFVVSSYVMNLMLKGLCRNGEVCKALDLFSEMKRSHVLPDIVSYSTIMKGLCREKRLENALELLVEMEGTNFEPDVVTYSTLMDGLCKDGRVGQAVDLLEKMKRKGLEANVIVYGVLVDGYCKIGQWKEATAMLNTMMESGIRPDVCIYTSLISGLCKDGKTTKAMDLFKLMLEKGEEPSVVTYNVLISGLCKEGLVGDALKIFETMLEKGKKPDVVSYNTLLMGLCNTGKVDEAMKLFSAVLKDGKYIEPDVITFNCLIQGLCKEDQLDEAAEIYRIMIERGTSGNLITYNILIGEYIKAGQIDEAMQLWKHILELGFVPNSITYSTLISGFCKMGMLNVAKGLLTKMRASGLRPTLSDYNMLMACLCKESSLEQARMLFQEMRNSNYELDIIAFNTLIDGTMKSGDIQSAKELLMEMLQMGLTPDAFTYSIIINRFSKLGQLEQAKVYFDRMISSGFKPSIHVYDSLLKGFGALGEMKEVINLLRQMADEKVVIDSTTTHTILNCLCYSSQGADIVKLLPNFAPEIPEGTSISCDELLMKIQECSPEFQVCPT >Manes.15G176000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:18236731:18237694:1 gene:Manes.15G176000.v8.1 transcript:Manes.15G176000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRPFSSFKTAVAAIDSNDLSSSSPNPPDKQQANKYYFVVANAKFMLDEEEHFKELLFERYRNYGERNKEQDFWLVIEPKFLDKAPAKSAKIHAAVSIWLW >Manes.07G038800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4560471:4568491:-1 gene:Manes.07G038800.v8.1 transcript:Manes.07G038800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSPTSSVSKQPLSSPPLIQASNSIISSLSPQTIFGSTGKLGGVEQTHLKLCANLNNSGLKLKASIEVPGLTRESKSGKLESPAITKNDSDLFDEMKQRFLSFKKHKYMENQEYYENLAKGQAPKFMVIACADSRVCPSSILGFQPGEAFVVRNIANMVPSYENGPSETNAALEFAVNSLKVENILIIGHSCCGGIRALMSMHDDVETSSFIGSWVGNGMNARLRTKAAASNLSFDRQCRHCEKESINLSFGNLLTYPWIEEKVKNGELSIHGGYYDFVDCIFEKWTLDYNASNWKEESGQVAIKNRTFWC >Manes.04G157000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35220527:35224100:1 gene:Manes.04G157000.v8.1 transcript:Manes.04G157000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRKPKKLQHRNTDTCRREASRELYSLLLMASGGNAAAGTGNVEWHVRPPNPKNPIVFFDITIGTIPAGRIKMELFADIAPKTAENFRQFCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCVSIYGHKFEDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACVIAECGEM >Manes.03G186600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30687358:30692825:1 gene:Manes.03G186600.v8.1 transcript:Manes.03G186600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAPSSQWQMRIPKNSLPTSLVTTNMWGSLSLKQNKKGTARNTARFRVFAVHSENSTINRLENLLNLDITPYTDKIIAEYIWIGGSGIDMRSKSRTIEKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPAGEPIPTNKRHRAAEIFSKKKVVDEVPWFGIEQEYTLLQQNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIDAGDHIWCSRYILERITEQAGVVLTLDPKPIEGDWNGAGCHTNYSTKSMREDGGYELIKKAILNLSLRHNEHISAYGEGNERRLTGLHETASINTFSWGVANRGCSIRVGRDTEKKGKGYLEDRRPASNMDPYVVTSLLAETTILWEPTLEAEALAAQKLALKV >Manes.12G061000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6331132:6336886:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6322897:6336356:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6322898:6336630:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6331615:6336660:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6331573:6336630:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLLACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6331126:6336646:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6331566:6336630:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLLACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6322898:6336630:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6331126:6336630:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6331134:6336630:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.12G061000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6331633:6336142:-1 gene:Manes.12G061000.v8.1 transcript:Manes.12G061000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWAPSCLSIVFVKVAKRNRQSRVVSLKLEP >Manes.01G053300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20826193:20830076:1 gene:Manes.01G053300.v8.1 transcript:Manes.01G053300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSWRARGLVVLAIVFFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIVANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIRDGETKVFSPEEISAMILTKMKETAESFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKNQIEEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTIVSIQVFEGERSLTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNSLETYVYNMKNQINDKDKLADKLEADEKDKIEAAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGGSADDEDSHDEL >Manes.12G006600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:704946:707505:-1 gene:Manes.12G006600.v8.1 transcript:Manes.12G006600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRTLQIVQKSPSSRVFLLYLNRPSHRNALSREFFTEFPLALSSLDQNPEASVIILCGAGDHFCSGIDLKTLNLITDHSSSSDRGRANERLRREIKFLQDAITAIERCRKPVIASIHGACIGGGVDIVTACDIRFCTQDSFFSVKEVDLGITADLGTLQRLPSIVGYGNAMELALTGRRFSGQQAKELGLVSRVFGSKEDLDESVKLIADGIAAKSPLAVIGTKAVLLRSRDLNVEQGLDYVATWNSAMLLSDDLTEAIKAHIQKRNPVFAKL >Manes.16G099200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30515015:30518004:-1 gene:Manes.16G099200.v8.1 transcript:Manes.16G099200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISPTVAVPFSIDKMICKKSPVTAHKEISGLKRMADKATLIPNTARKPNTPFESVSYKNDSETSQIEIADDVSSRETINERSKGFDHQVPETLCSQSVDLDADSNRDSMDVDKGIGNFEMIAKSHVREPYVELSEPKLSAVPPMVPKEQKITVTGSLSAFELDRVPLWGFTSICGRRPEMEDAFAAVPRFLHIPIHILTDDIVLNGMNQKLDYCTAHFFGVYDGHGGSQVANYCAKRIHLALAKEIEIAKAGLCNGSTRSNWQEQWKKAFLNCFLKVDAETAGSCKGETGSNSDESEDQSEPIAPETVGSTAVVAIVCPTHIIVANCGDSRAVLCRGKVAMPLSVDHKPDREDEYARIEAAGGKIIQWNGSRVFGVLAMSRSIGDRYLKPWIIPDPEVMFVPRAKEDECLILASDGLWDVMTNQEACDIARRRILLWHKKNGDKNTLSAERGDGADPAAQAAAEYLSKLALQKGSKDNITVIVVDLKAQRKLKKKT >Manes.10G145700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31312807:31314633:1 gene:Manes.10G145700.v8.1 transcript:Manes.10G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKTEKEETEFKVPETLTLCVNNCGFTGNPATNNMCQKCFNATTSTSNSTTTAAAAPSATTTTTTTAASTALGVSSGSEISSKSPRSSISRSPVRDLLPETSQKVETSIDREKIDVALAKREVNRCSCSGCRRKVGLTGFRCRCGDMFCWEHRYSDRHDCNYDYKAAGREAIARENPVVKAAKIVRV >Manes.18G123201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13623013:13625485:-1 gene:Manes.18G123201.v8.1 transcript:Manes.18G123201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQFHKMILFSFLIIGFVGVVVRLYNCLVVKPKRLRSMLKKQGINGPPPAFLLGNMREIMKSLSSNEKTNDPPLTHNCAARVLPFSERWLKDYGQVLVLSLGHIQVLNLHQPELVKEFATCVSWDLGRPSMINDIGPLLGKGILTSNGAFWSHQRKIIAPGLYMEKIKGMVNQITESAITLVNSWKSMVERDGGIADIKIDEAVSRFSGDVISRACFGSNYSKGEQIFLKLSHLQEILSKKGLALGIPGMRYLPTKTNREAWALEKEIRNLILKVVKERQETADEKDLLQMILEGAKDSNLSREETERFIVDNCNNIYLAGWETSAVAAVWCLMLLAANQEWQDRVRAEVLEICRGNMPNSDMIRKMKLLNMVIYETLRLYSPVPLIAREALKDMKLGNINIPKGVNVWTTILLLHTDPEIWGSDSYKFNPERFANGIAGACKYPFLYMPFGVGPRVCIGQHLAMVELKILMALILSNFSLTISPKYIHSPTLALGVKPKYGVILLVKKM >Manes.09G050800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8569572:8572607:-1 gene:Manes.09G050800.v8.1 transcript:Manes.09G050800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSPSNPSIRSLIDSCKTVGHLYQFHAHVIHKGFEQDHFIVSHLLTRSASVSYALSIFDCVFTPSTFLYNILIKIYSHKSKFHDTLSLFIRMKRSEHAWPDKYTYPSLIRSCSNECRLKEGEIVQGSAIRCGVSDDIYVGSSLVDFYGKCKEMLSARKVFDEMTERNVVSWTAMVVGYANVGDLDNARRMFVQMPVRNLTTWNAMVDGLVKAGDLLSARKLFDEMPERDVVSFTVMIDGYAKVGDMASARALFEKSPERDIFAWSALISGYAQNGQPNEAVKIFLEMESLNVKPDEYIMASLMSACSQVGSLDLAKWVDCYLCQSSIDIGQTRIIAALIDMNAKCGNMERAKRLFEEMPKRDIISYCSMIQGLSIHGRAEEAVELFNRMLNEGLVPDEAAFTVILTACSQGGLVEEGRHFFETMRNEYSMVPSLDHYACMVDLLSRSGELKAAFELLNSMPVEPHASAWGALLGACKLYGEVELGEVVAKRLFELEPKNSGSYVLLSSIYAAADQWQDFAIVRDKMQERGCRKIPGRSWISHYR >Manes.09G050800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8569553:8572607:-1 gene:Manes.09G050800.v8.1 transcript:Manes.09G050800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSPSNPSIRSLIDSCKTVGHLYQFHAHVIHKGFEQDHFIVSHLLTRSASVSYALSIFDCVFTPSTFLYNILIKIYSHKSKFHDTLSLFIRMKRSEHAWPDKYTYPSLIRSCSNECRLKEGEIVQGSAIRCGVSDDIYVGSSLVDFYGKCKEMLSARKVFDEMTERNVVSWTAMVVGYANVGDLDNARRMFVQMPVRNLTTWNAMVDGLVKAGDLLSARKLFDEMPERDVVSFTVMIDGYAKVGDMASARALFEKSPERDIFAWSALISGYAQNGQPNEAVKIFLEMESLNVKPDEYIMASLMSACSQVGSLDLAKWVDCYLCQSSIDIGQTRIIAALIDMNAKCGNMERAKRLFEEMPKRDIISYCSMIQGLSIHGRAEEAVELFNRMLNEGLVPDEAAFTVILTACSQGGLVEEGRHFFETMRNEYSMVPSLDHYACMVDLLSRSGELKAAFELLNSMPVEPHASAWGALLGACKLYGEVELGEVVAKRLFELEPKNSGSYVLLSSIYAAADQWQDFAIVRDKMQERGCRKIPGRSWISHYR >Manes.17G048300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24521088:24524710:-1 gene:Manes.17G048300.v8.1 transcript:Manes.17G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGSFVKFTIARVSFGWACDCSLQELYELMGRIFLVDLEGRCYRCRFCDTPLGLADDVLSRTFNCRKGRAYLFSNVVNITVGAVEEREMLSGMHTVEDIFCCCCGQLLGWKYVTAHDKGQKYKEGKFVLERWRIAEEVTEEFNLEARTARPGSSDADSH >Manes.10G010900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1179932:1182673:-1 gene:Manes.10G010900.v8.1 transcript:Manes.10G010900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGNGSQRSICLPILALSSLFLFGYFLFNEHINLFSSLPFPKLKDLSQEPYANSKNISVQEHAKKIQPWNLEEEEEEEEDVVVPEEDVVVPAEDCNIFNGEWVLDTLTHPLYKEEECEFLSESVTCLRNGRMDSLYQNWRWQPRDCHLPKFKAKYFLKKLKGKRLMFVGDSLLHQQWQSLICLVQSAIPSDKKSLLSYSTSLTVFKIQEYNATIEFYWAPFLVESNSDDMSNRNGQSKRIIFAESISKNGDNWKNVDYLIFDTYIWWMTSLLTKVSKGRGLLDEGTTEYDEIELPIAYERALRTWAKWVEQNVNPNKTSVFFNSMSPTHMKSLDWNNPDGIKCANETTPILNMTKPLEVGTNHQLYSIAVNVTQSMKLPVYFLNITTLSEYRKDAHTSIYTAVDGKLLSLEQKSDPAKYADCLHWCLPGLPDTWNELLYTRIISGS >Manes.11G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2276382:2278043:-1 gene:Manes.11G021700.v8.1 transcript:Manes.11G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMIDKSIREIEREKTRSSSSRKEADHGAKKNAEQGQMGAVGVTAKTWYERDIRLKSSRSLNHNSRCAFAMIFSFHYLCVHEGNVSYLCFLLIVKL >Manes.11G021700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2275759:2278080:-1 gene:Manes.11G021700.v8.1 transcript:Manes.11G021700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSFLFGKRKTSAGFPLVLFTFCDLMSEMIDKSIREIEREKTRSSSSRKEADHGAKKNAEQGQMGAVGVTAKTWYERDIRLKSSRSLNHNSRH >Manes.02G220660.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35463227:35482833:-1 gene:Manes.02G220660.v8.1 transcript:Manes.02G220660.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAKLAVDPIVSKVVELLVNPVVRQIKYVFNYSANINNLEEEVEKLSDAKQRVKHTVEVAGRNPLEQIEPDVQHWLAKVDSVAEDADKILLQHKDGGKRRCFMGLCPNLIRRHQISRKASKEIPIIVGAREGGNFPRVSYRAPPQGIGAVKECEAFESRTSVVDAILNALKDADVNLIGVYGMGGVGKTTLVKQIATLVRELGIFKLVVIATVTHSVVVTSVQQEIAEWLDFKLGAESIAVRAARLSERIKKEEKILIILDDIWEAIKLDEIGIPYGTDHNGSKILMTSRNQSVLSEMGVQRDFRLEVLEHQEAWSLFEKKVGDLNDSNLRPIAVEIAKRCAGLPILIVAVATALKNKQAFEWNDALEKLKIFDGRGHEKRVYSALELSYNFLRDEEKSLFRLLGQLKANEGIRDLFKYVVGFGLFDQHITLKATRNRLLTVISDLKQSCLLLEDGGHKRVKMHDVVHSFAASFVSKHDQVLTAAYKAELEEWPNEDFFKQCTSISLPYCKIPKLPEVFECPKLKSFFLFNQDSSLKITENLFSRMKELKVLGLTRIYLSPLPSSIQSLENLQTLCLDFCDLEDVAAIGELKQLQVLSLIGSTIVRLPNEVRKLTCLRLLDLSICQRLKVIPPNVLSTLAQLEELYLGGSLVQWEGEGHDEGNNNANLSELKLLSKLSTLEIHIIDANIMPKDIFSEKLERFRVFIGDGWANNEYETSRSLKLKLNRSALLERVKVLLMKTESLYLDDLKGVRSVLYELDDQGFPELKHLHVQNSLDIQYIIDWMKMNYFTAFPKLESLFLHNLNNLEKIYRGPYTVGSFSDLRKLKVENCNALRSLFSFSMFNVLKKLEKVNVNNCEIIQVIVAKEGEDDEECELMQLRSLTLENLPQFTSFGSQVKVHSTSQRAQNQEIATMASNEIVCEADAFLVALFNDKIRFPNLADMKFVGINVEMIWPCQHKALSLSIEKLTTLIVDGCGNLNFLFTSSIVGSLPQLKVLEICDCKSMEEVILAAGEGETMSKILLPKLDSLKLKGLPKLVRFCIAKLIECPSLKVLKMENCPRLQAFVSTQVNTALFDEKVRFPNLEELHVEDMHMLKMIWCDEVLADSFGRLKVLKVLNGKQLLEIFPSKLLEKFLVNLESLTVRQCDSVKEVFDLQTIIKEREVPVVRHSQLRDLFIWNLPNLIQIWNRDPHGILSFFNLREVRVWDCPNLKKLFPFSVAQCLPHLELLSIGDCGMEEIVTKEERAEALAIIPKFAFRGLKAMLLWGLDELKYFYSGKHTLECPQLKHLSVNLCGKLQTFNFESQEIQEMIMDKQEDELKLQIPQPLFSCREIIGNLEGLTINDQDAAMIQQSQFPMHLFVKLKFLHLQSFGYSFLNLPLNLLQKFPNLEILVLKDCYFKELLQHGHGHDPVPSQIRCLELNWLPNIRHVWNQDSPFFQNLETLQIWDCHGLTNLAPSSATFQNLMTLIVRRCNGMSSLVSSSTAESMHNLATMIIEESDTIEEIVSSDKNNFQSQNEIILSKL >Manes.05G187600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30849509:30853759:-1 gene:Manes.05G187600.v8.1 transcript:Manes.05G187600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIVHTHRTHEGFCLFQHFHGENSRSSSGFAGVRVRAKVDSSMKVSLGVRKFKRSRVFAVSGVEAFRSNGKVQSFDKPPNGQLGNGHVPSNPMDSLPNLGEVESNNHLRKLVRNGELEEGFRFLESMVYRGDIPDIVPCTSLIRGFCKIGKTRKAARVMEIVEDSGAVPDVITYNVLTSGYCKAGEIDKALRVLDRMGVAPDVVTYNTILRALCDSGKLKQAMEVLNRQLEKECYPDVITYTILIEATCREGGVGQAMKLLDEMRSRGCKPDVVTYNVLVNGICKEGRLDEAIKFLNNMPSYGCQPNVITHNIILRSMCSTGRWMDAERLLAEMVRKGCSPSVVTFNILINFLCRKGLLGRAIDILEKMPRHGCTPNSLSYNPLLHGFCKEKKMERAIEYLEKMVSRGCYPDIVTYNTLLTALCKDGKVDAAVEILNQLSCKGCSPVLITYNTVIDGLSKVGKTDEAVELLKEMRAKDLKPDIITYSSLIGGLSREGKVDEAIKSFHDLEGLGIKPNAITYNAVMLGLCKARQTDRAIDFLAYMVSRGCKPTEVSYTILIEGIAYEGLAKEALELLNELCLRGVVKKSSAEKVAVRM >Manes.11G056100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6861621:6863275:1 gene:Manes.11G056100.v8.1 transcript:Manes.11G056100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPIFNSWLPHSKDSFSPEPESQILQRTKSISFHSQPSTDDLTKTITTQSFQQEANLQTPKKGSPIRAPHIYKQQCKKNSDEEEKEAAKPSSRISSSGLGGTVMNDDDDGVKKKGYGMQTLVVGGGIGSGGGGGICGGGNGGRRSDGGDGDGGSEFYGNEKTDAYYQKMISADPSNALLLGNYAKFLKEVRGDFAKAEEFCGRAIYANPSDGNILSMYAELIWQNDKDAQRAKSYFDQAAKAAPEDCYVLASYARFLWDAEEEIENEDEDDQEVDESQASFLLCSPHDPPLAAAS >Manes.13G008700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1222442:1223304:-1 gene:Manes.13G008700.v8.1 transcript:Manes.13G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGCRRKVFNCLRGFDGIEDIVVDNANQKVIVKGKSIDPMKIVGRLQKKYSRNVELISPKPKPNDEYKEEEVQEKQQPKVKTIVLKMNMHCEGCAHDIKRNIGRMEGIMFVEPDMENSLVVIRGLFDPPKLIEKITKRLGKHVEIVEEESFEEKKKEKKYKEEIMVYPPHYNFHYVDPSLFFSDENVFSCSIM >Manes.04G162500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35649593:35650542:1 gene:Manes.04G162500.v8.1 transcript:Manes.04G162500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPSQNQVIGIPINSESYTHGRPAKGFLADPATQYHLSSSSNCSPISKQSKVDSVFKRMNKLGKKADNFAQGVREHVRLGSNISETVKGKFSLGAKILQVGGVDKIFKQLFRVEEEETLLKVSQCYLSTTAGPIAGLLFISTYKVAFCSERSIKFTSANGKSFRIHYKVVIPLTKIRRVRKSENMKKPSQKYMEIVTVDEFDFWFMGFLNYQKTFKCLEQAVSQNMDD >Manes.04G159700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35419547:35440247:1 gene:Manes.04G159700.v8.1 transcript:Manes.04G159700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIAQMVSPLESGLRVWEDPSFIKWRKRDPHVTLHCHESVGGSLKYWYERNNVDVLVSKSAVWNDDAVQGALDSAAFWVKSLPFVKSLSGFWKFFLAPSPTKIPKNFYDPAFQDSEWETLPVPSNWQTHGFDRPIYTNVIYPFPLDPPHVPEDNPTGCYRKCFQIPKEWQGLRILLHFEAVDSAFCAWINGVPVGYSQDSRLPAEFEITDYCYPCDSGKNNVLAVQVLRWCDGSYLEDQDHWWLSGIHRDVLLLAKPQVFIADYFFKSNLAENFTSADIQVEVKIDSTREIPKDKIFANFTVEAGLYDTGSWYNSDGCADLLSSKVADLLVNSSFDAILGFLGYVLVGKLEKPNLWSAEQPNLYILVLTLKDASGHVVDCESCLVGIKQVSKAPKQLLVNGCPVMIRGVNRHEHHPRVGKTNIESCMVKDLVLMKQNNINAVRNSHYPQHPRWYELCDVFGMYMIDEANIETHGFHLCGHLKHPTSEQSWATAMIDRVIGMVERDKNHACIISWSLGNESSYGPNHSAAAGWVRGRDTSRLLHYEGGGSRTTSTDIICPMYMRVQDIVKIAKDPTEPRPLILCEYSHSMGNSNGNIDEYWEAIDSTLGLQGGFIWDWVDQGLLKESSDGSKHWAYGGDFGDTPNDLNFCLNGLTWPDRTPHPALHEVKYLYQPIKVSLQQSTIKITNTHFFETTQGLEFSWAVHGDGHELGSGILSLPAIKPQSNYDIKWESAPWHSLWASSSAGEFFLTITAKLLHSTRWVEAGHVISSTQVQLPPRKEIIPYVIKAKAADATLATEIIDDTFRVSQQKFWEITLNIQTGTIESWKVEGVTVMNKGIFPCFWRAPTDNDKGGDENSYYCRWKAAHIDNLKFETRSCTIQNTSDHLVKIEVVYAGIEHGSSAHNALFKVDMTYTIFSSGDLIIECNVIPNSDLPPLPRVGVEFNLEKSMAKIRWFGRGPFECYPDRKAAAHVGIYEKNVGDMHVPYIVPGECSGRADVRWVSFQDKDGIGIFASTYGSSPPMQMSASYYSTAELHQARHNKDLVQGNNIEVHLDHKHMGVGGDDSWTPSVHDKYLLPAVPYSFSVRFCPITAATSGLQIYESQLQN >Manes.10G039800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4089619:4092887:-1 gene:Manes.10G039800.v8.1 transcript:Manes.10G039800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKEEKNKYSIIIPTYNERLNIALIVYLIFKHLRDVEFEIIVVDDGSPDGTQEVVKQLQQVYGEDHILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVQGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIISSVVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLVYLLVTT >Manes.10G039800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4089322:4093351:-1 gene:Manes.10G039800.v8.1 transcript:Manes.10G039800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKEEKNKYSIIIPTYNERLNIALIVYLIFKHLRDVEFEIIVVDDGSPDGTQEVVKQLQQVYGEDHILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVQGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIISSVVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLVYLLVTT >Manes.10G039800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4089322:4093347:-1 gene:Manes.10G039800.v8.1 transcript:Manes.10G039800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKEEKNKYSIIIPTYNERLNIALIVYLIFKHLRDVEFEIIVVDDGSPDGTQEVVKQLQQVYGEDHILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVQGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIISSVVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLVYLLVTT >Manes.10G039800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4089631:4093340:-1 gene:Manes.10G039800.v8.1 transcript:Manes.10G039800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKEEKNKYSIIIPTYNERLNIALIVYLIFKHLRDVEFEIIVVDDGSPDGTQEVVKQLQQVYGEDHILLRPRPKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVQGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIISSVVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLVYLLVTT >Manes.08G157000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39343032:39373598:1 gene:Manes.08G157000.v8.1 transcript:Manes.08G157000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELEPRVKPLSYKVKGMSRESLSQKASHVLDTDLRSHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPETFVKVRPRCEAPRRDMIYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVSVAGLEPEFQPVVNHLMPHIMSHKQDADDMHLQLLQDMTNRLLVFLPQIEADLTTFSDSAEQNLRFLAMLVGPLYPILHIVNERETARSAGNISDSEVPKNSQPSSSLTVSSNFEPRRSRCMSPLISSTSSSMVFRPDAIFVLLRKAYKESDLGAVCRMASRILYKLIEPITMQEVSTIASEVTSASDETSKSELSNPVPLVDYSSLFGEEFLIPDDQWDSSILNVLDIGAVEEGILHVLYACASQPLLCRKLAESTSEFWSALPLVQALLPALRPSVSSIGEHIDDTFSQWKQSFVQQALSQIVAMSCSAVYRPLLHACAGYLSSYSPSHAKAACVLIDLCSSVLAPWMSQVIAKVDLTVELLEDLLGTIQGARHFPSRARAALKYIVLALSGHMDDILGKYKEVKHKILFLLEMLEPFLDPAIYALRSTIAFGDVSFTFMEKQEQTCVTALNVIRTAVQKPAVLSSLESEWRRGSVAPSVLLSILEPHMQLPPEIDLCKSPASKNFENEVSTAPSLSPVLRHSVSSTKSNNQDDADGKVDFPDNGVKVDTFEDVSLLFAPTELRNIVLANVSSSCNEHILDSSHANSELKHVIEKKIAHLFPNGLVLDSGFTADYFNLQADYFQLINYRDCELRASEFRRLALDLHSQNEIAVEGHDASIDALLLAAECYVNPFFMVSFRANPKLASPMNVSETGNTKICETAKLGIASGKNNVDLETIALLEKERDKIVLQLLLEAAELDRKFLRSMSDGEYVPYLPEEIDGQVIKLSSLDVQSADAITLARQNQGLLCSFLIRRLKKEQHSMHEILVDCLVFLLHSATQLYCAPEEVIDVILGSAEYLNATLTSFYYQLKEGNLQLDPEKIHGVQRRWTLLQRLVIASSGSEGSDFALNVNNQFRCGSLIPSSAWLERISMFSNSSYPLVRFLGWMAVSRNAKQYVKDRLFLASDLSQLTCLLSIFADELAAVDNVVNQKQEDGKIEQIGVKQVFPIHKGFEFSDQQYGDQSFHAIYPDLNKFFPNLKRQFEAFGEHILEAVGLQLRSLSSSVVPDILCWFSDLCSWQFLLNNQITNQNGSDYLKGYVAKNAKAIILYILEAIVIEHMDAMVPEMPRVVQVLVSLCKASYCDVPFLSSIMHLLKPLISYTLCKVSDEEKTLFDDSCPNFESLCFEELFVDIRQKKENGDIPEKDYNRALIIFVLASVFADLSFQRKREILDSLTLWVDFTTFEPTNTFHDYLCAFQTALDGCKVLLLQTLRVFGVLPLQLAKFSGNDAGSHPDNGSEMYSWFLSDVFKNSSPPKNHEKLENNDFDGVSSKQNNYYLSTEEIEDFSKGLENILTKLNPTIELCWNLHHRLAKKLTITSAQCFIYSRCLSSVAAQVQNAEEDSSENSFPLKSIEVFPIHWRTSLEGLAEIIVKLQENHCWEVASLMLDCLLGVPCCFRLEYVAETICCGIKNFSCSAPKLAWRLRSDKWLSMLLGRGIRGLHESDGPLTDLFVTLLGHSEPEQRFIALKHLGRLVGQDLNGEAVLQYSTFCNNLPYLVHSVPEPFLPFLVSSTWDRVVLVASSDTLLPLRIHAMALLISFIPYAARHQLQSFLSAADSVLHILGKSIHSTCEGPLLRLSLALVAGACLYSHAEDISLIPQEVWRNIEAIGLSRTGHVGDLEKSACEVLCRLRNEGNEAKEALIEVLSSNSSKQIDSDFGSTREEILQVLANLTSVDSYLEVFSKRIDEEAMELEEAEIELDILQKENAVEETSSRDTKEGRQIPRVTAYGKHESRLQEIKDCIHSFDKAKLQDDVIARRQKKLLMRRARQKYLEEAAFREEELLRELDRERTAEAEKEIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFSSATHSRTRERYRERENGRSSNEGSTRTNSGSLQAEISTTSSSMGMPAVVLSGSRPFSGQPPTILQSRDRADECGSSYEENFDGSKDSGDTGSVGDPDLISAFDGQAGGFGSAQRHGSRGSKSRQVMERRERDGRREGKWERKH >Manes.08G157000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39343032:39373771:1 gene:Manes.08G157000.v8.1 transcript:Manes.08G157000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELEPRVKPLSYKVKGMSRESLSQKASHVLDTDLRSHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPETFVKVRPRCEAPRRDMIYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVSVAGLEPEFQPVVNHLMPHIMSHKQDADDMHLQLLQDMTNRLLVFLPQIEADLTTFSDSAEQNLRFLAMLVGPLYPILHIVNERETARSAGNISDSEVPKNSQPSSSLTVSSNFEPRRSRCMSPLISSTSSSMVFRPDAIFVLLRKAYKESDLGAVCRMASRILYKLIEPITMQEVSTIASEVTSASDETSKSELSNPVPLVDYSSLFGEEFLIPDDQWDSSILNVLDIGAVEEGILHVLYACASQPLLCRKLAESTSEFWSALPLVQALLPALRPSVSSIGEHIDDTFSQWKQSFVQQALSQIVAMSCSAVYRPLLHACAGYLSSYSPSHAKAACVLIDLCSSVLAPWMSQVIAKVDLTVELLEDLLGTIQGARHFPSRARAALKYIVLALSGHMDDILGKYKEVKHKILFLLEMLEPFLDPAIYALRSTIAFGDVSFTFMEKQEQTCVTALNVIRTAVQKPAVLSSLESEWRRGSVAPSVLLSILEPHMQLPPEIDLCKSPASKNFENEVSTAPSLSPVLRHSVSSTKSNNQDDADGKVDFPDNGVKVDTFEDVSLLFAPTELRNIVLANVSSSCNEHILDSSHANSELKHVIEKKIAHLFPNGLVLDSGFTADYFNLQADYFQLINYRDCELRASEFRRLALDLHSQNEIAVEGHDASIDALLLAAECYVNPFFMVSFRANPKLASPMNVSETGNTKICETAKLGIASGKNNVDLETIALLEKERDKIVLQLLLEAAELDRKFLRSMSDGEYVPYLPEEIDGQVIKLSSLDVQSADAITLARQNQGLLCSFLIRRLKKEQHSMHEILVDCLVFLLHSATQLYCAPEEVIDVILGSAEYLNATLTSFYYQLKEGNLQLDPEKIHGVQRRWTLLQRLVIASSGSEGSDFALNVNNQFRCGSLIPSSAWLERISMFSNSSYPLVRFLGWMAVSRNAKQYVKDRLFLASDLSQLTCLLSIFADELAAVDNVVNQKQEDGKIEQIGVKQVFPIHKGFEFSDQQYGDQSFHAIYPDLNKFFPNLKRQFEAFGEHILEAVGLQLRSLSSSVVPDILCWFSDLCSWQFLLNNQITNQNGSDYLKGYVAKNAKAIILYILEAIVIEHMDAMVPEMPRVVQVLVSLCKASYCDVPFLSSIMHLLKPLISYTLCKVSDEEKTLFDDSCPNFESLCFEELFVDIRQKKENGDIPEKDYNRALIIFVLASVFADLSFQRKREILDSLTLWVDFTTFEPTNTFHDYLCAFQTALDGCKVLLLQTLRVFGVLPLQLAKFSGNDAGSHPDNGSEMYSWFLSDVFKNSSPPKNHEKLENNDFDGVSSKQNNYYLSTEEIEDFSKGLENILTKLNPTIELCWNLHHRLAKKLTITSAQCFIYSRCLSSVAAQVQNAEEDSSENSFPLKSIEVFPIHWRTSLEGLAEIIVKLQENHCWEVASLMLDCLLGVPCCFRLEYVAETICCGIKNFSCSAPKLAWRLRSDKWLSMLLGRGIRGLHESDGPLTDLFVTLLGHSEPEQRFIALKHLGRLVGQDLNGEAVLQYSTFCNNLPYLVHSVPEPFLPFLVSSTWDRVVLVASSDTLLPLRIHAMALLISFIPYAARHQLQSFLSAADSVLHILGKSIHSTCEGPLLRLSLALVAGACLYSHAEDISLIPQEVWRNIEAIGLSRTGGHVGDLEKSACEVLCRLRNEGNEAKEVLANLTSVDSYLEVFSKRIDEEAMELEEAEIELDILQKENAVEETSSRDTKEGRQIPRVTAYGKHESRLQEIKDCIHSFDKAKLQDDVIARRQKKLLMRRARQKYLEEAAFREEELLRELDRERTAEAEKEIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFSSATHSRTRERYRERENGRSSNEGSTRTNSGSLQAEISTTSSSMGMPAVVLSGSRPFSGQPPTILQSRDRADECGSSYEENFDGSKDSGDTGSVGDPDLISAFDGQAGGFGSAQRHGSRGSKSRQVMERRERDGRREGKWERKH >Manes.08G157000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39343032:39373598:1 gene:Manes.08G157000.v8.1 transcript:Manes.08G157000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELEPRVKPLSYKVKGMSRESLSQKASHVLDTDLRSHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPETFVKVRPRCEAPRRDMIYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVSVAGLEPEFQPVVNHLMPHIMSHKQDADDMHLQLLQDMTNRLLVFLPQIEADLTTFSDSAEQNLRFLAMLVGPLYPILHIVNERETARSAGNISDSEVPKNSQPSSSLTVSSNFEPRRSRCMSPLISSTSSSMVFRPDAIFVLLRKAYKESDLGAVCRMASRILYKLIEPITMQEVSTIASEVTSASDETSKSELSNPVPLVDYSSLFGEEFLIPDDQWDSSILNVLDIGAVEEGILHVLYACASQPLLCRKLAESTSEFWSALPLVQALLPALRPSVSSIGEHIDDTFSQWKQSFVQQALSQIVAMSCSAVYRPLLHACAGYLSSYSPSHAKAACVLIDLCSSVLAPWMSQVIAKVDLTVELLEDLLGTIQGARHFPSRARAALKYIVLALSGHMDDILGKYKEVKHKILFLLEMLEPFLDPAIYALRSTIAFGDVSFTFMEKQEQTCVTALNVIRTAVQKPAVLSSLESEWRRGSVAPSVLLSILEPHMQLPPEIDLCKSPASKNFENEVSTAPSLSPVLRHSVSSTKSNNQDDADGKVDFPDNGVKVDTFEDVSLLFAPTELRNIVLANVSSSCNEHILDSSHANSELKHVIEKKIAHLFPNGLVLDSGFTADYFNLQADYFQLINYRDCELRASEFRRLALDLHSQNEIAVEGHDASIDALLLAAECYVNPFFMVSFRANPKLASPMNVSETGNTKICETAKLGIASGKNNVDLETIALLEKERDKIVLQLLLEAAELDRKFLRSMSDGEYVPYLPEEIDGQVIKLSSLDVQSADAITLARQNQGLLCSFLIRRLKKEQHSMHEILVDCLVFLLHSATQLYCAPEEVIDVILGSAEYLNATLTSFYYQLKEGNLQLDPEKIHGVQRRWTLLQRLVIASSGSEGSDFALNVNNQFRCGSLIPSSAWLERISMFSNSSYPLVRFLGWMAVSRNAKQYVKDRLFLASDLSQLTCLLSIFADELAAVDNVVNQKQEDGKIEQIGVKQVFPIHKGFEFSDQQYGDQSFHAIYPDLNKFFPNLKRQFEAFGEHILEAVGLQLRSLSSSVVPDILCWFSDLCSWQFLLNNQITNQNGSDYLKGYVAKNAKAIILYILEAIVIEHMDAMVPEMPRVVQVLVSLCKASYCDVPFLSSIMHLLKPLISYTLCKVSDEEKTLFDDSCPNFESLCFEELFVDIRQKKENGDIPEKDYNRALIIFVLASVFADLSFQRKREILDSLTLWVDFTTFEPTNTFHDYLCAFQTALDGCKVLLLQTLRVFGVLPLQLAKFSGNDAGSHPDNGSEMYSWFLSDVFKNSSPPKNHEKLENNDFDGVSSKQNNYYLSTEEIEDFSKGLENILTKLNPTIELCWNLHHRLAKKLTITSAQCFIYSRCLSSVAAQVQNAEEDSSENSFPLKSIEVFPIHWRTSLEGLAEIIVKLQENHCWEVASLMLDCLLGVPCCFRLEYVAETICCGIKNFSCSAPKLAWRLRSDKWLSMLLGRGIRGLHESDGPLTDLFVTLLGHSEPEQRFIALKHLGRLVGQDLNGEAVLQYSTFCNNLPYLVHSVPEPFLPFLVSSTWDRVVLVASSDTLLPLRIHAMALLISFIPYAARHQLQSFLSAADSVLHILGKSIHSTCEGPLLRLSLALVAGACLYSHAEDISLIPQEVWRNIEAIGLSRTGGHVGDLEKSACEVLCRLRNEGNEAKEALIEVLSSNSSKQIDSDFGSTREEILQVLANLTSVDSYLEVFSKRIDEEAMELEEAEIELDILQKENAVEETSSRDTKEGRQIPRVTAYGKHESRLQEIKDCIHSFDKAKLQDDVIARRQKKLLMRRARQKYLEEAAFREEELLRELDRERTAEAEKEIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFSSATHSRTRERYRERENGRSSNEGSTRTNSGSLQAEISTTSSSMGMPAVVLSGSRPFSGQPPTILQSRDRADECGSSYEENFDGSKDSGDTGSVGDPDLISAFDGQAGGFGSAQRHGSRGSKSRQVMERRERDGRREGKWERKH >Manes.08G157000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39343032:39369831:1 gene:Manes.08G157000.v8.1 transcript:Manes.08G157000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELEPRVKPLSYKVKGMSRESLSQKASHVLDTDLRSHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISVGLRYKPETFVKVRPRCEAPRRDMIYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVSVAGLEPEFQPVVNHLMPHIMSHKQDADDMHLQLLQDMTNRLLVFLPQIEADLTTFSDSAEQNLRFLAMLVGPLYPILHIVNERETARSAGNISDSEVPKNSQPSSSLTVSSNFEPRRSRCMSPLISSTSSSMVFRPDAIFVLLRKAYKESDLGAVCRMASRILYKLIEPITMQEVSTIASEVTSASDETSKSELSNPVPLVDYSSLFGEEFLIPDDQWDSSILNVLDIGAVEEGILHVLYACASQPLLCRKLAESTSEFWSALPLVQALLPALRPSVSSIGEHIDDTFSQWKQSFVQQALSQIVAMSCSAVYRPLLHACAGYLSSYSPSHAKAACVLIDLCSSVLAPWMSQVIAKVDLTVELLEDLLGTIQGARHFPSRARAALKYIVLALSGHMDDILGKYKEVKHKILFLLEMLEPFLDPAIYALRSTIAFGDVSFTFMEKQEQTCVTALNVIRTAVQKPAVLSSLESEWRRGSVAPSVLLSILEPHMQLPPEIDLCKSPASKNFENEVSTAPSLSPVLRHSVSSTKSNNQDDADGKVDFPDNGVKVDTFEDVSLLFAPTELRNIVLANVSSSCNEHILDSSHANSELKHVIEKKIAHLFPNGLVLDSGFTADYFNLQADYFQLINYRDCELRASEFRRLALDLHSQNEIAVEGHDASIDALLLAAECYVNPFFMVSFRANPKLASPMNVSETGNTKICETAKLGIASGKNNVDLETIALLEKERDKIVLQLLLEAAELDRKFLRSMSDGEYVPYLPEEIDGQVIKLSSLDVQSADAITLARQNQGLLCSFLIRRLKKEQHSMHEILVDCLVFLLHSATQLYCAPEEVIDVILGSAEYLNATLTSFYYQLKEGNLQLDPEKIHGVQRRWTLLQRLVIASSGSEGSDFALNVNNQFRCGSLIPSSAWLERISMFSNSSYPLVRFLGWMAVSRNAKQYVKDRLFLASDLSQLTCLLSIFADELAAVDNVVNQKQEDGKIEQIGVKQVFPIHKGFEFSDQQYGDQSFHAIYPDLNKFFPNLKRQFEAFGEHILEAVGLQLRSLSSSVVPDILCWFSDLCSWQFLLNNQITNQNGSDYLKGYVAKNAKAIILYILEAIVIEHMDAMVPEMPRVVQVLVSLCKASYCDVPFLSSIMHLLKPLISYTLCKVSDEEKTLFDDSCPNFESLCFEELFVDIRQKKENGDIPEKDYNRALIIFVLASVFADLSFQRKREILDSLTLWVDFTTFEPTNTFHDYLCAFQTALDGCKVLLLQTLRVFGVLPLQLAKFSGNDAGSHPDNGSEMYSWFLSDVFKNSSPPKNHEKLENNDFDGVSSKQNNYYLSTEEIEDFSKGLENILTKLNPTIELCWNLHHRLAKKLTITSAQCFIYSRCLSSVAAQVQNAEEDSSENSFPLKSIEVFPIHWRTSLEGLAEIIVKLQENHCWEVASLMLDCLLGVPCCFRLEYVAETICCGIKNFSCSAPKLAWRLRSDKWLSMLLGRGIRGLHESDGPLTDLFVTLLGHSEPEQRFIALKHLGRLVGQDLNGEAVLQYSTFCNNLPYLVHSVPEPFLPFLVSSTWDRVVLVASSDTLLPLRIHAMALLISFIPYAARHQLQSFLSAADSVLHILGKSIHSTCEGPLLRLSLALVAGACLYSHAEDISLIPQEVWRNIEAIGLSRTGGHVGDLEKSACEVLCRLRNEGNEAKEVCTRIRGILQVSSYSITF >Manes.05G193000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31604401:31607243:1 gene:Manes.05G193000.v8.1 transcript:Manes.05G193000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKIHKFDEVAKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSSTGKDATNDFEDVGHSDSARDMMAKYYIGEIDASTVPAIRTQIPLQKTSYNQDKGSEFFIKLLQFLVPLLILGLAYAVRNFTKKE >Manes.05G193000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31604401:31607306:1 gene:Manes.05G193000.v8.1 transcript:Manes.05G193000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKIHKFDEVAKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSSTGKDATNDFEDVGHSDSARDMMAKYYIGEIDASTVPAIRTQIPLQKTSYNQDKGSEFFIKLLQFLVPLLILGLAYAVRNFTKKE >Manes.05G193000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31604286:31608019:1 gene:Manes.05G193000.v8.1 transcript:Manes.05G193000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKIHKFDEVAKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSSTGKDATNDFEDVGHSDSARDMMAKYYIGEIDASTVPAIRTQIPLQKTSYNQDKGSEFFIKLLQFLVPLLILGLAYAVRNFTKKE >Manes.09G038300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7176484:7185897:-1 gene:Manes.09G038300.v8.1 transcript:Manes.09G038300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKASSSQHTVSFSGSGGLSHVYVQYPPLQCRIPGSRGLYYDDGSKLLLAPTSNQVFSWKTVPFDPHVAPVSDTISEGPILSIRYSLDAKFIAIQRSSQELQIWHRESGGTFSHKCRSESESILGFFWTDCPLCDFVLVKTSGLDLLTCDYESKLLDLVETRRLNVSWYVYTHESRLVLLASGMQCKTFTGFQLSSAGVVRLPRFEMAMAKPEANNKPVLAAEDIYIVTVYGRIYCMQIDRVAMLLHSYRFYRDAVVQQGSLPIYSSKIAVSVVDNVLLVHQVDAKVVIIYDIFADSRAPISAPLPLLFRGFPRSNSSSSRFSGDESAEANIGDHEAIIYGDNWTFLVPDLVCDIANNLLWKIHLDLEAISASSSEVPSVLEFLQRRKLEANKAKQLCLAILRTVILERRPVSMVARAIDVLVSNYSHSIKTGSYLKGIKVEKTSVSSGEHVSSPRSTANISASGIDTLGKSYEHESGTRVEKESFNRSSNISTSDSEDGTHFESLKTTTRSLQKVDKVNILITESVSSEVQPSSSQNQRPGLGNNPLNASISERQELLLTSPAISPDEMYSFVFAPVEEEMVGEPSYLVSVIVEFLRSTNLEKIKVHPNLYVLTIQLLARNERYTELTLFIVNKVLEPSKEVAMQLLESGRQNFQIRKLGLDMVRHLSFHHDYVVLLVQDGYYLEALRYARKHKVNTVRPSMFLEAALASNDSQHLAAVLRFFSDFIPGFRDTSDHHTYHRILSEMNSAIAT >Manes.02G150600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11549198:11553739:-1 gene:Manes.02G150600.v8.1 transcript:Manes.02G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSTSIFPTKSFIPSNKPHQPSFLTNPSRSLLHISAVHAAEPSKNPIVSDKPGKQHSTITSKAAAATSAPPVNVVPGKWRVDSWKSKKALQLPEYPDKKELESVLKTLDAFPPIVFAGEARSLEERLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMSAVLMFGGQMPVIKVGRMAGQFAKPRSEPFEEKNGVKLPSYRGDNVNGDAFDEKSRVPDPHRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMSAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHFLWAGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPTELVKLIEILNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRPVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIQSQPTIAPTGL >Manes.09G170700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36205080:36209565:1 gene:Manes.09G170700.v8.1 transcript:Manes.09G170700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVEDSSFEDDQLASMTTEDILRASRLLDNEIRILKEELQRTNLELDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANVDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >Manes.01G218200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38703114:38707347:-1 gene:Manes.01G218200.v8.1 transcript:Manes.01G218200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLYCLLFLLLNQALAVLSVDTYSRKDFPPDFIFGAATSAYQVEGAAHEDGRSSSIWDTFSHGGGEVAVDEYHKYKEDVHLMAETGLEAYRFSISWSRLIPNGRGPVNPKGLQYYNNLIDELISHGIQAHVLLYNYDHPQSLEDEYGGWLSRNIVRDFTAYADVCFREFGDRVSSWSTINEPNIFAVGGYDQGVVPPGRCSYPFGFGNCSIGNSSTEPYLAAHNMLLAHASAVRLYKNRYQSKQNGVIGITLYAFWLLPLTNSTEDETAAQRARDFFLGWFLNPLVFGDYPEIMKKNAGSRLPVLTNQDSKLVKGAFDFIGMIHYTTVYIKDNSKTLKLENRDVQADMALTIWFTQDEDDPFGIFPVEYPARPWGLQGLLEYFKEAYGNPPVYIHENGQVSRRNSSLEDTSRVEYLHAYIGSLLDSIRNGSNTRGYFVWSFLDVFELLDGSGSSYGLYFVDLKDPTLKRLPKKSAHWYSHFLKGGRVSPDGTIELEKTFDDSSSGTLVSIG >Manes.01G218200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38703114:38706862:-1 gene:Manes.01G218200.v8.1 transcript:Manes.01G218200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGLEAYRFSISWSRLIPNGRGPVNPKGLQYYNNLIDELISHGIQAHVLLYNYDHPQSLEDEYGGWLSRNIVRDFTAYADVCFREFGDRVSSWSTINEPNIFAVGGYDQGVVPPGRCSYPFGFGNCSIGNSSTEPYLAAHNMLLAHASAVRLYKNRYQSKQNGVIGITLYAFWLLPLTNSTEDETAAQRARDFFLGWFLNPLVFGDYPEIMKKNAGSRLPVLTNQDSKLVKGAFDFIGMIHYTTVYIKDNSKTLKLENRDVQADMALTIWFTQDEDDPFGIFPVEYPARPWGLQGLLEYFKEAYGNPPVYIHENGQVSRRNSSLEDTSRVEYLHAYIGSLLDSIRNGSNTRGYFVWSFLDVFELLDGSGSSYGLYFVDLKDPTLKRLPKKSAHWYSHFLKGGRVSPDGTIELEKTFDDSSSGTLVSIG >Manes.18G113701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11501305:11502012:1 gene:Manes.18G113701.v8.1 transcript:Manes.18G113701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKVMAEEEGSFNNNHGVAWWRGSLLGKGGFGSVYLAYLKKPKSRNAFYRPVMAVKSAEVSSSSSLQKEKEVFNNLHDCPYILECYGEETTVSENGQMVYNLLLEYASGGTLADLIRRSGGCGLPELDVKRYTRYILKGIDDIHSHDYVHRDLKPENVLLVPSGSGDFLPKIGDFGLAKKVQKTKRRMFDSSIAGTILYMAPETLVDNIQESASDIWALGCIVFEMFTGNHFGV >Manes.12G148400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:35499305:35500466:1 gene:Manes.12G148400.v8.1 transcript:Manes.12G148400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYDTHLQHHQGGGGHHHHHQAIPKETALQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFLLFFIFLSLVFMGEAQSNRLQCRHCWAPITLLSLAHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLRELKMRMTNGNSECGEVVGDEGELEIHYQEPPESYFGKFKRNWGLHFGFLIVIYGFMVSSSVVLLCY >Manes.03G086203.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:17012241:17018142:-1 gene:Manes.03G086203.v8.1 transcript:Manes.03G086203.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGVKIKINAKVIEVEGPRGKLTRNFKHLNLDFHLIKDEDTEKRKLKMEAWFGSRKTSAAIRTSLSRVENLITGVTKGYRYKIAICLRSFPINASITNSNTAIEIRNFLGEKRVSFFYSFLAITISICLEMFVSLG >Manes.15G162050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13448545:13449489:1 gene:Manes.15G162050.v8.1 transcript:Manes.15G162050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYGTAPSPTKPPAELLFGRSQDCRADGGNSCINFFDPGSYFAKETAKNAVSSVISKRGVSEEDDLGGLSCDSEKGVDVSEVPSETVRPRSSSKRSKAAEVHNLSEKRRRSRINEKIKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQAQNGLSLHPMCLPGVLPPMNLPMTGLNFDEGSGLLDTNAATDNCIM >Manes.01G270200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42395737:42400481:1 gene:Manes.01G270200.v8.1 transcript:Manes.01G270200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVADSSSQEGKPSLTPPNEFHSTIGSETLEKVSPWIDYAVQQALIYKKTIEEAADDTNNVLRSRLAEIRSTSSAHLHQTIDYLQDFKSELLGYEDMFFQRIKEGVKIAASHSLVTGGVAAGLGLVLLKRPRRFLYYNTLRFFVSEESLLSRADAKVKELRQSISLLKAESEKLEKRASLAEQELIRGRTKLRQAGKQIQGVIRSACKIERQVAGLKDILGELPSREASRFWSQVSSLASEAKREKNALTKEVTKISNYGISV >Manes.18G034250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2986574:2986883:1 gene:Manes.18G034250.v8.1 transcript:Manes.18G034250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMEVEQVFAIFWTSLPPFSLPNKTFGPTKHTWLGISHVHAHGRKDRW >Manes.14G131900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10498799:10502204:1 gene:Manes.14G131900.v8.1 transcript:Manes.14G131900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAVNLVTYLTGTLHLASATSANIVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFAAIQALGTGMLALVTKLSQLRPPPCLTNHSCVQANGFQMGILYIALYIIALGTGGLKSSVSGFGTDQFDEKDEREKAQMAYFFHRFFFFISLGTLLAVTVLVYLQDEVGRSWAYGICSVAMFVAILIFFCGTKRYRYKKSVGSPIVHIFQVIVAAIKKRNMNLPYNISSLYENTPEASRIQHTDQFHFLDKAAIIAEGDFENTGAAPNPWKLCSVTRVEEAKMMVRILPIWATTIIFWTTYAQMITFSVEQASTMERSIGSFQIPAGSLTVFFVAAILITLAIYDRLIMPLWKKWKGKPGFSNIQRIGIGLVLSTFGMAAAALAERKRLSVAKAVGGNTSTLPLTVFLLIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVMVVKRVTGTDGGQGWLADNINYGRLDCFYGLLAILGVVNFVVFLVCALWYKPSNGNTKSGLQMENIGNGSLAEEKC >Manes.14G131900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10497924:10502204:1 gene:Manes.14G131900.v8.1 transcript:Manes.14G131900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKISWTVADAVDYNGLPADRSKTGGWVPAALVLGIEVCERLSTMGIAVNLVTYLTGTLHLASATSANIVTDFMGTSFLLCLLGGFLADSFLGRYKTIAIFAAIQALGTGMLALVTKLSQLRPPPCLTNHSCVQANGFQMGILYIALYIIALGTGGLKSSVSGFGTDQFDEKDEREKAQMAYFFHRFFFFISLGTLLAVTVLVYLQDEVGRSWAYGICSVAMFVAILIFFCGTKRYRYKKSVGSPIVHIFQVIVAAIKKRNMNLPYNISSLYENTPEASRIQHTDQFHFLDKAAIIAEGDFENTGAAPNPWKLCSVTRVEEAKMMVRILPIWATTIIFWTTYAQMITFSVEQASTMERSIGSFQIPAGSLTVFFVAAILITLAIYDRLIMPLWKKWKGKPGFSNIQRIGIGLVLSTFGMAAAALAERKRLSVAKAVGGNTSTLPLTVFLLIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVMVVKRVTGTDGGQGWLADNINYGRLDCFYGLLAILGVVNFVVFLVCALWYKPSNGNTKSGLQMENIGNGSLAEEKC >Manes.08G074500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14893108:14899414:-1 gene:Manes.08G074500.v8.1 transcript:Manes.08G074500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIRVMEARNLPATDSNGLSDTYVKVQLGKQKFKTKVVKKNLNPNWGEEFSLRVEDMNEEVLISVLDEDKYFNDDFVGQLKVPVSLIFDADNKSLGTAWYALQPKNKKSKIKDCGEILLGICFSQNNLFVDLNNNGDQASQLMKNADVITGVPSRPCGGLSSSSSSGRFEELAPPKEEKSCSQKKFAVRIVQMFNKNSDTASFASNRGLDNTGLPETAEPEACDDESDDPSSPGDFVEVMREMESKDTGNEIPSNLPGGVLVDQFYIIAPQDLNSLLFSPDSSFPRSLADLQGNTEQEFGPWRFESDGETLKRVVTYIKAATKLIKAVKATEEQTYVKADGKVFAVLLSVSTPDVMYGSTFKTELLYCITPGPEMPLGEQTSHLVISWRMNFLQSTMMKGMIESGARQGLKDSFEQFASTLSQNVKPVDVKDIGSTKEQVLASLEAEPQSDWKLAVQYFANFTVVSTFFIGLYALVHIWRCPLSPIQGLEFVGLDLPDSIGELIVCGVLVLQCERVLQLLSRFMQARVQKGSDHGVKAQGEGWLLTVALLEGSNLAAVSSSGFCDPYVVFTCNGQTRTSSIRFQKSDPLWNEIFEFDAMDEPPSVLDVEVYDFDGPYDDITSLGHTEINFVKSNVSDLSDVWIPLRGKLAQACQSKLHLRIFLNNTRGNNVVQEYLNKMEKEVGKKITLRSPQTNSAFQKLFGLPSEEFLINDFTCQLKRKMLLQGRLFLSARIIGFHANLFRQKTKFFFLWEDIEDIQVYSPTLSSIGSPTIVITLRLGRGMDARHGAKTQDEDGRLKFHFQSFVSFNVAHRTIMALWKARALTPEQTAEIVEEESEAKILQTEESLFGLEDVNLSEVYSSGVSVPTNFLMELFNGGELERKAMEKAGCLNYSYTPWELEKDDVYERQIYYRFDQQISRYRGEATSTQQKYPLSDSKGWLVEEVMTLHGVPLGDNFNLQLRYQVEDVPSKPRGCHVHVFIGIAWQKRTKHQKRITKNIISNLEDRLKMVFSVVEREFLNR >Manes.08G074500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14893108:14899414:-1 gene:Manes.08G074500.v8.1 transcript:Manes.08G074500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIRVMEARNLPATDSNGLSDTYVKVQLGKQKFKTKVVKKNLNPNWGEEFSLRVEDMNEEVLISVLDEDKYFNDDFVGQLKVPVSLIFDADNKSLGTAWYALQPKNKKSKIKDCGEILLGICFSQNNLFVDLNNNGDQASQLMKNADVITGVPSRPCGGLSSSSSSGRFEELAPPKEEKSCSQKKFAVRIVQMFNKNSDTASFASNRGLDNTGLPETAEPEACDDESDDPSSPGDFVEVMREMESKDTGNEIPSNLPGGVLVDQFYIIAPQDLNSLLFSPDSSFPRSLADLQGNTEQEFGPWRFESDGETLKRVVTYIKAATKLIKAVKATEEQTYVKADGKVFAVLLSVSTPDVMYGSTFKTELLYCITPGPEMPLGEQTSHLVISWRMNFLQSTMMKGMIESGARQGLKDSFEQFASTLSQNVKPVDVKDIGSTKEQVLASLEAEPQSDWKLAVQYFANFTVVSTFFIGLYALVHIWRCPLSPIQGLEFVGLDLPDSIGELIVCGVLVLQCERVLQLLSRFMQARVQKGSDHGVKAQGEGWLLTVALLEGSNLAAVSSSGFCDPYVVFTCNGQTRTSSIRFQKSDPLWNEIFEFDAMDEPPSVLDVEVYDFDGPYDDITSLGHTEINFVKSNVSDLSDVWIPLRGKLAQACQSKLHLRIFLNNTRGNNVVQEYLNKMEKEVGKKITLRSPQTNSAFQKLFGLPSEEFLINDFTCQLKRKMLLQGRLFLSARIIGFHANLFRQKTKFFFLWEDIEDIQVYSPTLSSIGSPTIVITLRLGRGMDARHGAKTQDEDGRLKFHFQSFVSFNVAHRTIMALWKARALTPEQTAEIVEEESEAKILQTEESLFGLEDVNLSEVYSSGVSVPIYLFLFDSNYCALDQFLNGAVQWG >Manes.08G074500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14895137:14899414:-1 gene:Manes.08G074500.v8.1 transcript:Manes.08G074500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIRVMEARNLPATDSNGLSDTYVKVQLGKQKFKTKVVKKNLNPNWGEEFSLRVEDMNEEVLISVLDEDKYFNDDFVGQLKVPVSLIFDADNKSLGTAWYALQPKNKKSKIKDCGEILLGICFSQNNLFVDLNNNGDQASQLMKNADVITGVPSRPCGGLSSSSSSGRFEELAPPKEEKSCSQKKFAVRIVQMFNKNSDTASFASNRGLDNTGLPETAEPEACDDESDDPSSPGDFVEVMREMESKDTGNEIPSNLPGGVLVDQFYIIAPQDLNSLLFSPDSSFPRSLADLQGNTEQEFGPWRFESDGETLKRVVTYIKAATKLIKAVKATEEQTYVKADGKVFAVLLSVSTPDVMYGSTFKTELLYCITPGPEMPLGEQTSHLVISWRMNFLQSTMMKGMIESGARQGLKDSFEQFASTLSQNVKPVDVKDIGSTKEQVLASLEAEPQSDWKLAVQYFANFTVVSTFFIGLYALVHIWRCPLSPIQGLEFVGLDLPDSIGELIVCGVLVLQCERVLQLLSRFMQARVQKGSDHGVKAQGEGWLLTVALLEGSNLAAVSSSGFCDPYVVFTCNGQTRTSSIRFQKSDPLWNEIFEFDAMDEPPSVLDVEVYDFDGPYDDITSLGHTEINFVKSNVSDLSDVWIPLRGKLAQACQSKLHLRIFLNNTRGNNVVQEYLNKMEKEVGKKITLRSPQTNSAFQKLFGLPSEEFLINDFTCQLKRKMLLQGRLFLSARIIGFHANLFRQKTKFFFLWEDIEDIQVYSPTLSSIGSPTIVITLRLGRGMDARHGAKTQDEDGRLKFHFQSFVSFNVAHRCFGLSHLILCILTSRV >Manes.08G074500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14893108:14899415:-1 gene:Manes.08G074500.v8.1 transcript:Manes.08G074500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIRVMEARNLPATDSNGLSDTYVKVQLGKQKFKTKVVKKNLNPNWGEEFSLRVEDMNEEVLISVLDEDKYFNDDFVGQLKVPVSLIFDADNKSLGTAWYALQPKNKKSKIKDCGEILLGICFSQNNLFVDLNNNGDQASQLMKNADVITGVPSRPCGGLSSSSSSGRFEELAPPKEEKSCSQKKFAVRIVQMFNKNSDTASFASNRGLDNTGLPETAEPEACDDESDDPSSPGDFVEVMREMESKDTGNEIPSNLPGGVLVDQFYIIAPQDLNSLLFSPDSSFPRSLADLQGNTEQEFGPWRFESDGETLKRVVTYIKAATKLIKAVKATEEQTYVKADGKVFAVLLSVSTPDVMYGSTFKTELLYCITPGPEMPLGEQTSHLVISWRMNFLQSTMMKGMIESGARQGLKDSFEQFASTLSQNVKPVDVKDIGSTKEQVLASLEAEPQSDWKLAVQYFANFTVVSTFFIGLYALVHIWRCPLSPIQGLEFVGLDLPDSIGELIVCGVLVLQCERVLQLLSRFMQARVQKGSDHGVKAQGEGWLLTVALLEGSNLAAVSSSGFCDPYVVFTCNGQTRTSSIRFQKSDPLWNEIFEFDAMDEPPSVLDVEVYDFDGPYDDITSLGHTEINFVKSNVSDLSDVWIPLRGKLAQACQSKLHLRIFLNNTRGNNVVQEYLNKMEKEVGKKITLRSPQTNSAFQKLFGLPSEEFLINDFTCQLKRKMLLQGRLFLSARIIGFHANLFRQKTKFFFLWEDIEDIQVYSPTLSSIGSPTIVITLRLGRGMDARHGAKTQDEDGRLKFHFQSFVSFNVAHRTIMALWKARALTPEQTAEIVEEESEAKILQTEESLFGLEDVNLSEVYSSGVSVPIYLFLFDSNYCALDQFLNGAVQWG >Manes.08G074500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14893108:14899414:-1 gene:Manes.08G074500.v8.1 transcript:Manes.08G074500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIRVMEARNLPATDSNGLSDTYVKVQLGKQKFKTKVVKKNLNPNWGEEFSLRVEDMNEEVLISVLDEDKYFNDDFVGQLKVPVSLIFDADNKSLGTAWYALQPKNKKSKIKDCGEILLGICFSQNNLFVDLNNNGDQASQLMKNADVITGVPSRPCGGLSSSSSSGRFEELAPPKEEKSCSQKKFAVRIVQMFNKNSDTASFASNRGLDNTGLPETAEPEACDDESDDPSSPGDFVEVMREMESKDTGNEIPSNLPGGVLVDQFYIIAPQDLNSLLFSPDSSFPRSLADLQGNTEQEFGPWRFESDGETLKRVVTYIKAATKLIKAVKATEEQTYVKADGKVFAVLLSVSTPDVMYGSTFKTELLYCITPGPEMPLGEQTSHLVISWRMNFLQSTMMKGMIESGARQGLKDSFEQFASTLSQNVKPVDVKDIGSTKEQVLASLEAEPQSDWKLAVQYFANFTVVSTFFIGLYALVHIWRCPLSPIQGLEFVGLDLPDSIGELIVCGVLVLQCERVLQLLSRFMQARVQKGSDHGVKAQGEGWLLTVALLEGSNLAAVSSSGFCDPYVVFTCNGQTRTSSIRFQKSDPLWNEIFEFDAMDEPPSVLDVEVYDFDGPYDDITSLGHTEINFVKSNVSDLSDVWIPLRGKLAQACQSKLHLRIFLNNTRGNNVVQEYLNKMEKEVGKKITLRSPQTNSAFQKLFGLPSEEFLINDFTCQLKRKMLLQGRLFLSARIIGFHANLFRQKTKFFFLWEDIEDIQVYSPTLSSIGSPTIVITLRLGRGMDARHGAKTQDEDGRLKFHFQSFVSFNVAHRTIMALWKARALTPEQTAEIVEEESEAKILQTEESLFGLEDVNLSEVYSSGVSVPTNFLMELFNGGELERKAMEKAGCLNYSYTPWELEKDDVYERQIYYRFDQQISRYRGEATSTQQKYPLSDSKGWLVEEVMTLHGVPLGDNFNQLQLRYQVEDVPSKPRGCHVHVFIGIAWQKRTKHQKRITKNIISNLEDRLKMVFSVVEREFLNR >Manes.02G195100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15984046:15987495:-1 gene:Manes.02G195100.v8.1 transcript:Manes.02G195100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVISSSSFSAAASACSLVPADSLPTHSTRAGKPASLSWASSFPSITIFTSNNSLSILSNPVLNKNSFIQAAWTRRSRSEAAKKPNKKSWKQRTDMYMRPFLLNVFFSKRFIHAKVMHRATSKVISVATTNAKDLRNSLPSLTDHNACRVIGQLIAERSKEADVYAMAYEPRKNERIEGKLGIVLDTIKENGIIFV >Manes.05G108750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:10733841:10737299:-1 gene:Manes.05G108750.v8.1 transcript:Manes.05G108750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPEIEVAVVDISEARINAWNSEQLPIYEPGLDGVVKQCRGKNLFFSTDVERHVSEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIDFQILSNPEFLAEGTAIQDLLHPDRVLIGGRETPKGQKAIQTLRDVYAHWVTVDQIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDNAHLSIYDPQVSEDQIQRDLSMKKFDWDHPIHLQPSSPSSLKQVMCVWDAYEATKDAHGICILTEWDEFKTLDYQKIYDNMKKPAFVFDGRNVVNADKLRNIGFIVYSIGKPLDPWLKDMPSIA >Manes.01G059751.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:25303768:25304130:1 gene:Manes.01G059751.v8.1 transcript:Manes.01G059751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCEILLGHPWQFDVDALHKGKENSYIFTWNQKKITILPSGSAKHSKVKGKNVVVVFTGVQRLSSAVEKSGSILALLVRATSAAEDAPSLPPPIKELLKEFPRIVEESSKLPPLRDIQH >Manes.05G094000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8613474:8618342:-1 gene:Manes.05G094000.v8.1 transcript:Manes.05G094000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHGDLDRQIEHLMECKPLPEAEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Manes.03G137404.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349138:26353320:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.03G137404.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349271:26353320:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.03G137404.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349039:26352893:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.03G137404.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349808:26352339:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.03G137404.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349823:26353320:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.03G137404.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349823:26352339:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.03G137404.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349379:26352339:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.03G137404.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349088:26353320:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.03G137404.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26349370:26352339:1 gene:Manes.03G137404.v8.1 transcript:Manes.03G137404.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSIVVEPESDYGVRTSGGLSKGTGFQRKEATVGNMEDFIGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPENTVSTKIINGGGRNPVFNDNLRLNVKTIDCSLKCEIFMMSRVKNYLEDQLLGFALVPLSDVLIKNGKLEKEFSLSSTDLFHSPAGFVQLSLSYSGASPDVMAIPAMRTASDVEIQESLPCEFEKIEFPDPKIVNENQMMVSEYFGISCTSVDSEKSESLDTCDVENHVSSDMGVHAMESSSAATVDSIKVPKPDSPPSSVSTNGVSSPSVAASSDTSDAPAPKPANQEKESAQKEKKGGDAGDGETDSSGGEQSEKIAKPVITVNIEPEQNVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSTSDQKMQSSKNSGSRVFYGSRAFF >Manes.07G047501.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5513568:5515943:-1 gene:Manes.07G047501.v8.1 transcript:Manes.07G047501.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVEGDKNYKAYLSPQYFDARLTQLGWQQFHPGDCRLLLQLFKNKNLFFINRCVSVSGFLIFCVFVSKYEYEDEALRHKHCNDSSCGRAYCFDGNFSRCSKGRRWWRRRRWWKGWRWRRW >Manes.04G093100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29818366:29856804:-1 gene:Manes.04G093100.v8.1 transcript:Manes.04G093100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQPLHCVDNGELGSVVETEKEKSPKGKVEPVVSSQRRTSARIQATRQRAEKEELVRKRVELLDESEEEGSNKRTSVYSRRRVNVENVSDVVEERKESEDFVPSEVVKPAGEVVKANFGGQNGVVCGGGGASEKSVFARVKETLRLFNKHYLHFVQEEEKRCRKKRVDKKSSRSSKSKKGNAIKADAKKKAKRPDLKAITQMIDSNAVMYPEKRIGDLPGINVGHQFYSRAEMVAVGFHSHWLNGIDYMGMSYRKEYGNYTLPLAVAIVLSGMYEDDLDNADDVIYTGQGGHDLTGNKRQVRDQVMERGNLALKNCVEQCVPVRVVRGHESASSYCGKVYTYDGLYKVVQYWAEKGISGFTVFKYRLRRLEGQPTLTTNQVQFVYGRVPQSISEIRGLVCEDISAGQEDVPIPATNLVDDPPVPPTGFTYCKALQIAKNVKIPTNASGCNCKEACVDPQTCACAKLNGFDFPYVRMSRQVGRLIEAKAIVFECGPNCGCGSNCVNRTSQGGLKFRFEVFRSPKKGWAVRSWDFIPSGAPICEYVGVLKRTEDLDSISENNYIFEIDCLQTMRGIDGRERRLGEVSVPTINNTEGSEDQKLESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSAHHDLKLARVMLFAADNIPPLQELTYDYGYALDSVTGPDGKIQQMECYCGAADCRRRLF >Manes.04G093100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29818366:29856804:-1 gene:Manes.04G093100.v8.1 transcript:Manes.04G093100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQPLHCVDNGELGSVVETEKEKSPKGKVEPVVSSQRRTSARIQATRQRAEKEELVRKRVELLDESEEEGSNKRTSVYSRRRVNVENVSDVVEERKESEDFVPSEVVKPAGEVVKANFGGQNGVVCGGGGASEKSVFARVKETLRLFNKHYLHFVQEEEKRCRKKRVDKKSSRSSKSKKGNAIKADAKKKAKRPDLKAITQMIDSNAVMYPEKRIGDLPGINVGHQFYSRAEMVAVGFHSHWLNGIDYMGMSYRKEYGNYTLPLAVAIVLSGMYEDDLDNADDVIYTGQGGHDLTGNKRQVRDQVMERGNLALKVQFVYGRVPQSISEIRGLVCEDISAGQEDVPIPATNLVDDPPVPPTGFTYCKALQIAKNVKIPTNASGCNCKEACVDPQTCACAKLNGFDFPYVRMSRQVGRLIEAKAIVFECGPNCGCGSNCVNRTSQGGLKFRFEVFRSPKKGWAVRSWDFIPSGAPICEYVGVLKRTEDLDSISENNYIFEIDCLQTMRGIDGRERRLGEVSVPTINNTEGSEDQKLESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSAHHDLKLARVMLFAADNIPPLQELTYDYGYALDSVTGPDGKIQQMECYCGAADCRRRLF >Manes.02G038900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3190235:3196562:-1 gene:Manes.02G038900.v8.1 transcript:Manes.02G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGELLSIEPQELKFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNAGIVLPRSTCDVIVTMQAQKESPSDMQCKDKFLLQSVITHDGATAKDINAEMFNKEAGHLVEECKLRVLYVSPPQPPSPVPEGSEEGSSPRGSASDNGNANSAEFSNASRAFAERLESQDKSSEAKALISKLTEEKNSAIQQNTKLRQELELLKREGNKNRAGVSFMFVIFVGLLGIILGYLMKKS >Manes.02G038900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3188504:3196562:-1 gene:Manes.02G038900.v8.1 transcript:Manes.02G038900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGELLSIEPQELKFPFELKKQISCSLQLSNKTDSYVAFKVKTTNPKKYCVRPNAGIVLPRSTCDVIVTMQAQKESPSDMQCKDKFLLQSVITHDGATAKDINAEMFNKEAGHLVEECKLRVLYVSPPQPPSPVPEGSEEGSSPRGSASDNGNANSAEFSNASRAFAERLESQDKSSEAKALISKLTEEKNSAIQQNTKLRQELELLKREGNKNRAGVSFMFVIFVGLLGIILGYLMKKS >Manes.06G077500.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21359871:21368432:-1 gene:Manes.06G077500.v8.1 transcript:Manes.06G077500.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNSSAELQKKRHGLLKDQVRLSKRKDCGRYEIVPIQQTYTFEKGFFLFIRACQLLAQNNDGIILVGLAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDSSRIIDGNFDDPRLTDYDTLLKNIHDLKAGKSAETPIYDFKSSSRVGYRMVEVPTSRIVIIEGIYALSTKLRPMLDLQVSVTGGVHFDLVKRVLRDIQRAGQAPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYVLKSAKKVMVDQIKAVLSEEHTEMTEQTYDIFLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVTDAPFVISPRISFEVSVRLLGGLMALGYTIATILKRSSHVFLNDNVCVKIDWLEQLNRQYVQVQGKDRLVVRYVAEQLGLEGSYVPRTYIEQIQLEKLVDDVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVAMRNKNLKSGMSHSYSNQRESNFSKLAVLAASNGGYTERNTVSMAVLANQGILTQLSEQISSLNDRMDEFAARIEDLNSKLNITSSSSSQQNLVLQADACNGSAPTSHFLSGLSNGSLTGCKLRNSSSFSQLAKESPLMEEVSGIARGQRQVMHQLDTLSNILRESLGQRSQQVRTNRRRSMIADLEITKLALILSVGVIGFSTLRRIF >Manes.06G077500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21359871:21368432:-1 gene:Manes.06G077500.v8.1 transcript:Manes.06G077500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNSSAELQKKRHGLLKDQVRLSKRKDCGRYEIVPIQQTYTFEKGFFLFIRACQLLAQNNDGIILVGLAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDSSRIIDGNFDDPRLTDYDTLLKNIHDLKAGKSAETPIYDFKSSSRVGYRMVEVPTSRIVIIEGIYALSTKLRPMLDLQVSVTGGVHFDLVKRVLRDIQRAGQAPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYVLKSAKKVMVDQIKAVLSEEHTEMTEQTYDIFLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVTDAPFVISPRISFEVSVRLLGGLMALGYTIATILKRSSHVFLNDNVCVKIDWLEQLNRQYVQVQGKDRLVVRYVAEQLGLEGSYVPRTYIEQIQLEKLVDDVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVAMRNKNLKSGMSHSYSNQRESNFSKLAVLAASNGGYTERNTVSMAVLANQGILTQLSEQISSLNDRMDEFAARIEDLNSKLNITSSSSSQQNLVLQADACNGSAPTSHFLSGLSNGSLTGCKLRNSSSFSQLAKESPLMEEVSGIARGQRQVMHQLDTLSNILRESLGQRSQQVRTNRRRSMIADLEITKLALILSVGVIGFSTLRRIF >Manes.06G077500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21359871:21368432:-1 gene:Manes.06G077500.v8.1 transcript:Manes.06G077500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNSSAELQKKRHGLLKDQVRLSKRKDCGRYEIVPIQQTYTFEKGFFLFIRACQLLAQNNDGIILVGLAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDSSRIIDGNFDDPRLTDYDTLLKNIHDLKAGKSAETPIYDFKSSSRVGYRMVEVPTSRIVIIEGIYALSTKLRPMLDLQVSVTGGVHFDLVKRVLRDIQRAGQAPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYVLKSAKKVMVDQIKAVLSEEHTEMTEQTYDIFLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVTDAPFVISPRISFEVSVRLLGGLMALGYTIATILKRSSHVFLNDNVCVKIDWLEQLNRQYVQVQGKDRLVVRYVAEQLGLEGSYVPRTYIEQIQLEKLVDDVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVAMRNKNLKSGMSHSYSNQRESNFSKLAVLAASNGGYTERNTVSMAVLANQGILTQLSEQISSLNDRMDEFAARIEDLNSKLNITSSSSSQQNLVLQADACNGSAPTSHFLSGLSNGSLTGCKLRNSSSFSQLAKESPLMEEVSGIARGQRQVMHQLDTLSNILRESLGQRSQQVRTNRRRSMIADLEITKLALILSVGVIGFSTLRRIF >Manes.06G077500.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21359871:21368433:-1 gene:Manes.06G077500.v8.1 transcript:Manes.06G077500.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLVLVLDTAFMLNLTKACTCDRMVEVPTSRIVIIEGIYALSTKLRPMLDLQVSVTGGVHFDLVKRVLRDIQRAGQAPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYVLKSAKKVMVDQIKAVLSEEHTEMTEQTYDIFLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVTDAPFVISPRISFEVSVRLLGGLMALGYTIATILKRSSHVFLNDNVCVKIDWLEQLNRQYVQVQGKDRLVVRYVAEQLGLEGSYVPRTYIEQIQLEKLVDDVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVAMRNKNLKSGMSHSYSNQRESNFSKLAVLAASNGGYTERNTVSMAVLANQGILTQLSEQISSLNDRMDEFAARIEDLNSKLNITSSSSSQQNLVLQADACNGSAPTSHFLSGLSNGSLTGCKLRNSSSFSQLAKESPLMEEVSGIARGQRQVMHQLDTLSNILRESLGQRSQQVRTNRRRSMIADLEITKLALILSVGVIGFSTLRRIF >Manes.06G077500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21359871:21368432:-1 gene:Manes.06G077500.v8.1 transcript:Manes.06G077500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDNSSAELQKKRHGLLKDQVRLSKRKDCGRYEIVPIQQTYTFEKGFFLFIRACQLLAQNNDGIILVGLAGPSGAGKTVFTEKVLNFMPSVAVISMDNYNDSSRIIDGNFDDPRLTDYDTLLKNIHDLKAGKSAETPIYDFKSSSRVGYRMVEVPTSRIVIIEGIYALSTKLRPMLDLQVSVTGGVHFDLVKRVLRDIQRAGQAPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYVLKSAKKVMVDQIKAVLSEEHTEMTEQTYDIFLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVTDAPFVISPRISFEVSVRLLGGLMALGYTIATILKRSSHVFLNDNVCVKIDWLEQLNRQYVQVQGKDRLVVRYVAEQLGLEGSYVPRTYIEQIQLEKLVDDVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVAMRNKNLKSGMSHSYSNQRESNFSKLAVLAASNGGYTERNTVSMAVLANQGILTQLSEQISSLNDRMDEFAARIEDLNSKLNITSSSSSQQNLVLQADACNGSAPTSHFLSGLSNGSLTGCKLRNSSSFSQLAKESPLMEEVSGIARGQRQVMHQLDTLSNILRESLGQRSQQVRTNRRRSMIADLEITKLALILSVGVIGFSTLRRIF >Manes.06G077500.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21359871:21368433:-1 gene:Manes.06G077500.v8.1 transcript:Manes.06G077500.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLVLVLDTAFMLNLTKACTCDRMVEVPTSRIVIIEGIYALSTKLRPMLDLQVSVTGGVHFDLVKRVLRDIQRAGQAPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYVLKSAKKVMVDQIKAVLSEEHTEMTEQTYDIFLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVTDAPFVISPRISFEVSVRLLGGLMALGYTIATILKRSSHVFLNDNVCVKIDWLEQLNRQYVQVQGKDRLVVRYVAEQLGLEGSYVPRTYIEQIQLEKLVDDVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVAMRNKNLKSGMSHSYSNQRESNFSKLAVLAASNGGYTERNTVSMAVLANQGILTQLSEQISSLNDRMDEFAARIEDLNSKLNITSSSSSQQNLVLQADACNGSAPTSHFLSGLSNGSLTGCKLRNSSSFSQLAKESPLMEEVSGIARGQRQVMHQLDTLSNILRESLGQRSQQVRTNRRRSMIADLEITKLALILSVGVIGFSTLRRIF >Manes.03G156600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28493720:28495271:1 gene:Manes.03G156600.v8.1 transcript:Manes.03G156600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGQKLEIPPTTNNNGKSIPENLSEESIDFALLRLDSFNSSPNTTTTSAAATNFHTPCIACGCSGSSVPSTNVFSSANKRRSPESTTPFLNPQDQIPKKPKKLFLEPHENTKATSTGPSLSDFSKITLPCISSVFNFGPAKPTHANSLPVLRRCHSDPYNPPVAGTDTLSGAGTGSAASLLPQSPPESAKTVGAIAVSTPGSKATASLPPRPPILRRTVSDPSSNKSFSPSSSSDDITVEDSPQYKWLRKMRDCMKEMNQWCGELMQDGVMGEEEEEDKGTRGNTANAIKAGSVAEYEEAVCVERTGECLVVHFKCPCGKGYQILLSGRECYYKLI >Manes.02G202900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17305385:17308355:-1 gene:Manes.02G202900.v8.1 transcript:Manes.02G202900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQNDSSGGKRRLVVVGGGIAGSLLAESLQFDADVTLIDPKEYFEITWASLRAMVEPSFGKRSVINHKDYLRNGRIIASKAINITDTDVLTADGGIFPFDYLVIATGHVESVPKTRAERLAEYEAENEKIKSAHSMLIVGGGPTGVELAGEIAVDFPEKKVTLVHSGSRLMEFIGPKAANKALKWLRSKNVDVKLEQRVDLNSVPELDDNGTRIYHSSGGETIKADCHFLCIGKPLGSAWLKGTVLENNLDNQGCLVVDEHLRVKGQKNIFAIGDITNIPEMKQGYLAQSHAEVAAKNLKLLMAEGKASKMAIYKPNSSRIAIVSLGRRDAVAQFPLITISGLIPGMIKSRDLFVGKTRKQRGLDPDDA >Manes.01G116700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31374516:31378860:1 gene:Manes.01G116700.v8.1 transcript:Manes.01G116700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGPTNLIGSMDEIQRIFNKFDKNGDGKISSSEVIDSLGELGTTISTEEVRCMMQEFDKDGDGYIDLDEFVDFIQRGGTDCDADGKTQSGDGNKELKDAFDLYDIDKNGLISANELHKVMRMIGLKCTLGDCTKMIRQVDQDGDGNVNFEEFKKMMTNGLL >Manes.05G175200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29158507:29160376:-1 gene:Manes.05G175200.v8.1 transcript:Manes.05G175200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTATSLHSTPVLSPMGSPPHSHSSIGRHSRESSSSRFSGSLKPGSRKISPNDGSRGAQRKGQKQWKECAVIEEEGLLENEEREKGIPRRCYFLAFVLGFFVLFTMFSLILWGASKPQKPKITMKSITFEHFTIQAGSDSTGVATDMITVNSTVKMIYRNTGTFFGVHVTSTPVDLTFSEITIASGSLKKFYQSRKSQRSVAISVMSNKIPLYGSGASLSSSTGTTTLPVPLKLNFVVRSRAYVLGKLVKPKFNKRIECDVTFDLKKLNVPISLKKSCTYN >Manes.05G011000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:911631:912101:-1 gene:Manes.05G011000.v8.1 transcript:Manes.05G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLSILQFYKVDDSGKVQRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYQKAGDD >Manes.06G002200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:599305:603606:-1 gene:Manes.06G002200.v8.1 transcript:Manes.06G002200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGGGDMKSLNVVVCSGEDDNKQPIPSSSSSSASSVEKRLIGRIVAVFLVGVTVSCLVLYKQSFIFRVPLIQGNYGYDYDSDLERVLKAASMGNKTVIITTLNEAWAEEGSIIDLFLESFKIGNNTQKLVKNLVIISLDQKAYTRCLAIHPHCFALKTKGLNFSSEAYFMTPNYLEMMWRRIRVLLTVLQMGYSFVFTDADIMWLRDPFPHFYEEADFQIACDNYYGNPSDRNNRPNGGFNYVKSNERTIKFYKFWYSSREKFPGLHDQDVLNKIKFDPLIDRIGMQMRFLDTAYFGGFCEPSKDFNLVCTMHANCCFGLENKVHDLKLVLQDWRSFMLKPNLSSFSWRAPQKCRISS >Manes.06G002200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:591095:603607:-1 gene:Manes.06G002200.v8.1 transcript:Manes.06G002200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLGGGDMKSLNVVVCSGEDDNKQPIPSSSSSSASSVEKRLIGRIVAVFLVGVTVSCLVLYKQSFIFRVPLIQGNYGYDYDSDLERVLKAASMGNKTVIITTLNEAWAEEGSIIDLFLESFKIGNNTQKLVKNLVIISLDQKAYTRCLAIHPHCFALKTKGLNFSSEAYFMTPNYLEMMWRRIRVLLTVLQMGYSFVFTDADIMWLRDPFPHFYEEADFQIACDNYYGNPSDRNNRPNGGFNYVKSNERTIKFYKFWYSSREKFPGLHDQDVLNKIKFDPLIDRIGMQMRFLDTAYFGGFCEPSKDFNLVCTMHANCCFGLENKVHDLKLVLQDWRSFMLKPNLSSFSWRAPQKCRM >Manes.03G082900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20371795:20374444:-1 gene:Manes.03G082900.v8.1 transcript:Manes.03G082900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMASVILKPAAPFSFEKSSVKGLPTLSRRSFRVEASGGKIKTDKPYGINGGMNLRDGLDASGRKAKGKGVYQFVDKYGANVDGYSPIYDTRDWSPSGDVYVGGRTGLAIWAVTLAGLLAGGALLVYNTSALAQ >Manes.03G082900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20371795:20374444:-1 gene:Manes.03G082900.v8.1 transcript:Manes.03G082900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMASVILKPAAPFSFEKSSVKGLPTLSRRSFRVEASGGINGGMNLRDGLDASGRKAKGKGVYQFVDKYGANVDGYSPIYDTRDWSPSGDVYVGGRTGLAIWAVTLAGLLAGGALLVYNTSALAQ >Manes.12G007300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:835436:836564:1 gene:Manes.12G007300.v8.1 transcript:Manes.12G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIKGKGRNRNLIIQTWERCKSLGRNSKKTSKLLRSLTSKSKSWPRLHHHPSIEDDDHGEKISKKKKQVAPEGCFSVYVGPQKQRFVIKTEYANHPLFKILLEEAESEYGYNPEGPLALPCNVDLFYKVLVAMDDNNDETNRWGCGFSMNHASSYRLLSPSRTITMNQF >Manes.16G076700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:28112932:28115891:1 gene:Manes.16G076700.v8.1 transcript:Manes.16G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGESPHSSPTVQIPALDPFFDEPSSPVPSPFANCGNSSSPFLDSLTALHRYLPSNEPDTLSDDLDLPVDAFSCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRRYHYSGTACPDFRKGGCKKGDACEFAHGVFECWLHPARYRTQPCKDGPACRRRVCFFAHTPDQLRVLPQQSPRGNGSGSGDIEVGSSLRHHFDPYLTKCGSFHSSPTSILASPPMSPQSDSPPISPSSPQVVGGSFNSMSELVASMRGLQLGKMNVGPASWGMQNGSGFESPRGSSLRPGFCSLPSTPTRTMGRSGLGQSDFWDPSLGEEPAMERVESGRDLRAKMYAKLSKENSLDRANSAGSAPDVGWVSELVK >Manes.02G018400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1681729:1682100:1 gene:Manes.02G018400.v8.1 transcript:Manes.02G018400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIAALSLPAKYKFKQVYPVAGSWGTVATSTPKKQEINDSGGGRRVLNEKTDPIVAFSRPPPLPPVLGPLMALSLFEMWSSHDSGDD >Manes.11G021500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264704:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEYIEEMLQKVKEEERLRIACGSRDYSSACVIVDSQCSDQLDKLPAIDENLQSEIPLQETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLKFNPSSMGVTAELVKKALGAHSFLPDNYENERAQSAPVQFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGINSRKNAATMPIPEPILLRVRELAETQSQVPPNLSSSKVPGTGSSGNSVHEKQSGYL >Manes.11G021500.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264648:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEYIEEMLQKVKEEERLRIACGSRDYSSACVIVDSQCSDQLDKLPAIDENLQSEIPLQETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLKFNPSSMGVTAELVKKALGAHSFLPDNYENERAQSAPVQVQHRFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGINSRKNAATMPIPEPILLRVRELAETQSQVPPNLSSSKVPGTGSSGNSVHEKQSGYL >Manes.11G021500.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264648:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEYIEEMLQKVKEEERLRIACGSRDYSSACVIVDSQCSDQLDKLPAIDENLQSEIPLQETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLKFNPSSMGVTAELVKKALGAHSFLPDNYENERAQSAPVQVQHRFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGVSSLIYNYLHHMQVIA >Manes.11G021500.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264376:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGVSSLIYNYLHHMQVIA >Manes.11G021500.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264376:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGINSRKNAATMPIPEPILLRVRELAETQSQVPPNLSSSKVPGTGSSGNSVHEKQSGYL >Manes.11G021500.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2258667:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEYIEEMLQKVKEEERLRIACGSRDYSSACVIVDSQCSDQLDKLPAIDENLQSEIPLQETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLKFNPSSMGVTAELVKKALGAHSFLPDNYENERAQSAPVQVQHRFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGINSRKNAATMPIPEPILLRVRELAETQSQVPPNLSSSKVPGTGSSGNSVHEKQSGYL >Manes.11G021500.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264572:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEYIEEMLQKVKEEERLRIACGSRDYSSACVIVDSQCSDQLDKLPAIDENLQSEIPLQETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLKFNPSSMGVTAELVKKALGAHSFLPDNYENERAQSAPVQFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGVSSLIYNYLHHMQVIA >Manes.11G021500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264704:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEYIEEMLQKVKEEERLRIACGSRDYSSACVIVDSQCSDQLDKLPAIDENLQSEIPLQETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLKFNPSSMGVTAELVKKALGAHSFLPDNYENERAQSAPVQFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGVSSLIYNYLHHMQVIA >Manes.11G021500.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264648:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLKFNPSSMGVTAELVKKALGAHSFLPDNYENERAQSAPVQVQHRFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGINSRKNAATMPIPEPILLRVRELAETQSQVPPNLSSSKVPGTGSSGNSVHEKQSGYL >Manes.11G021500.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2264648:2272741:1 gene:Manes.11G021500.v8.1 transcript:Manes.11G021500.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVEVEGNDEGKFEEPGFGKIYSSLSSAKQLTDPVVYKLVRVEGDGRLVPATDDEVMEVKDLLVDEKSEVCTVADVGQPIGCISNDRSSPGMLPQLESSEGLSPSENTEVDPDKLNARLEETGPSFAPSLIQSHMHQSGGVGECSNAPVLTEGRALTSTTVTTSKPDFSKLNGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRIAMGLTNSCDVSATTFIIKDNKLVNKGKEDGSDNGDGALANDPATGTTMSGGQQGLPISHGSQLEDQVVSDKRNRNIGDNSGSEDHHTEQRAAKRVRKPTKRYIEELSEVESKEASGKLRPIVKNSLLGQMSSNFHTRSARNVSLEGRTVVTRLDSLGGSGIQIPCVSRVRRSRPRKNFMALLKFNPSSMGVTAELVKKALGAHSFLPDNYENERAQSAPVQVQHRFLGVADEDRQFLSTGAVGGHHKVLKQVDSSGDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQIPSDKGVSSLIYNYLHHMQVIA >Manes.09G127700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33041403:33043312:-1 gene:Manes.09G127700.v8.1 transcript:Manes.09G127700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLQSVETPVGVPADVVWDAYRGLELGRLVDQLLPDVIGKVEVVQGDGGVGTIVKLTFPAGTPGVGYLKEIFTKIDDDDRVKETEIIEGGYKDLGFDLFRCRLEIIEKDAESSIIRSTLEYEIDDTKPELASLVSTKQFEIMAETVGKYLADKKSIS >Manes.S023053.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251224.1:700277:702497:-1 gene:Manes.S023053.v8.1 transcript:Manes.S023053.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTRRSARLTGVPPEDEGTSARPPTLPRAMSCRTNRERVSRDPRRSFDTSRRGTERGGSSSDVREVMEEDQRRDGNLDIGMSEEGTGESQGGTQASGYGFPPYYPPYAQSPGYPMGSTSDYSSFNPYPTHMPYPPFYPPYPQYPAYPPSSFHPHPANPTSGNAASPPPPPTEPVAPVTQPPRPSSASGSKVKMTAYLKLDAPKYKSGDDPFEYLRAVKTITDELGADDRRAIEMAGFTLKCKKAKEWFKCYVDPRLDSITWEEFANEFAGWAFPDSSRELKMIEFEQLRQTEDMSIEEYTDKFLELLPFSGQALDTDVKKARRYVMKLQSRYSSLIQSAERESFHTVVDMARRMEASAIVEGSVKQSVTQSSGVKTPGRGGPGPSTQSSGSKRWSSTKKPKKNKFWNKLKSGLGFGGGSSSGSDGAECQRCGRPHKGACRWGTNACFRCGQEGHIARDCPRAPFMGQPQQTASGSVAQPAAPATTQGSGRGRGRGAASSSGSRGEGPSAPARIFTMTQQEANTSNTVVSVFVEGRCLSADLVVLDLTDFDVILGMDWLSTHGATLDCRDKVVRLRDQNGSEVVFRGDKRGTPRGLISALQARWHQQN >Manes.17G076900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27688679:27689843:1 gene:Manes.17G076900.v8.1 transcript:Manes.17G076900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDITLILSTVFFTSILLHLPSMTMSDEPCPYPCYSPPTGTGPVTVIGMTPPPPPYETGSYSPPGNYPTPTGNFPYNPSPNSGNNYYGPPPPDPILPYFPYYYRKPPHKTDASSAAGNVPKSRIMIATVTTFVFGFTLIF >Manes.01G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30067167:30071794:-1 gene:Manes.01G099500.v8.1 transcript:Manes.01G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQSLPPSPPYDLVILGASGFTGKYVVKEALKFLKVPSSPLKSIALAGRNPTKLAHALNWASLPNTSPPIPILKADITDPSSIRHLCTQTKLILNCVGPFRLYGEPVVAACAQTGCDYLDICGEPEFMERMEMKFHENAMETGSLVVSACGFDSVPAELGWMFHSRQWVSPAVPNQIEAYLSLESEKRIVGNFGTYESAILGVSNVGKLQELRRSRPKRAKPVIPGPFPPKGPIIEHQKEIGLWAVKLPSADSIVVRRTLATLTENPLGLPGVNESAEWISKREAFWSMMKPAHFGVKLASKTLLGVIRFITVGVFMGLLGRNAIGRWLLFKFPSFFSLGWFRKKGPSEEEVRSATFKMWFVGRGFSDGNQVSQANMKPDMEIVTRLMGPEIGYLTTPIILLQCALILLSERENLPKGGVLPPGIVFGPTDLQERLQHNGISFDVIAKRALPA >Manes.02G220300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:35310199:35318806:-1 gene:Manes.02G220300.v8.1 transcript:Manes.02G220300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGSSSAGGEIADNHYKNFIQHQVSKFDTLAGVAIKYGVEVADIKRLNGLATDLQMFALKTLLIPLPGRHPPSPIFSSDSSSSEGNDIDKTSQPCYSNGLESFDSLRLKSTQEKASPAMSTLWKYYGLKSSKQTGTAEGIGMTKVYRRGSSDSLTEGLLPRATPIYESPYHNLKSRNFANGLSPEDGTTVDYVPLADAGDAEGDKSNEKSVRRRQKSEADFRNGTPERLLKEEKSGGSSDFSPVTGKGLAMRPKSASRTALYSESEAGWSNSIPIGLGDSIISDVPTGVRKSSSTPSLYNQDTNNSSSVWPTSRWSLKPDLQVLSSAAMNIPMFDGLPKPISGRRSKAALD >Manes.09G091838.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:27357274:27408294:-1 gene:Manes.09G091838.v8.1 transcript:Manes.09G091838.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMDIDSPAEPKTLKRRDRVIRRLAQLGVPEEYLERRYPGIVAFVMDNPTWIPDIVSAILPPDEDVADNLQETKAGLKKVLNPTMKQQFRECMCWLQWIMFLGEPAVALKNLSKMSTSRGVCGAVWGNNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKREGFCSKHKGAEQIQPLPEEFADSVGPVLDALFICWKNKLVSAEIICQENPKTSDCIFMPKKVANELTYVVVEMLLEFCKQSESLLSFVSRRVILVVGLLEILVRSERFLSEGVARKLNELLLKLLGEPVFKYEFGKVFLSYYPLVVCEVITEGTDDALRKYPLLSTFSVQIFTVHTLTPRLVKEMNLLAMLLRCLGDIFIHCAGEDGRLQVSKWGALYETTIRVVEDIRFVMSHGIVPKFVTNAQRDILRTWMGLLSFLQGMSPLKRENGQPPEEENDNVNLLFVLDHSFANIHSLLVDGAFSSNEETDNIFSVMSKQNVDEEDSMRLAKVGKLSEESSACGVPGRNLSVVSAMQVVEDKSDSFASSFIPSSATRLIYDCLRAIDNWLGANHTYGAVSSSSTDSSSNSNFLLLKKTLSKISKGKHIFSGFACSIEDNSRKRFMGAENIKNIGQDSNAVVSGDNDTASFDESSMEANTSTELDSLHILSSSDWPNIVYDVSSQDVSVHIPLHRLLSLLLQKALRRCYGESEVLSASSASIFTPSSSMYDGDFFGNVLGGCHANGFSAFVMEHPLRNRVFCAQVHSGMWRRNGDAAILSSEWYRSVRWSEQGLELDLFLLQCCAALAPADLFVNRIIECFGLSDYHSLSPERSTEYEPVLVQEMLTLIMQIVQERRFSGLTPAENLKRELVQKLAIGDATHSQLVKSLPRDLSKYDQLQEILDSVAVYSNPSGFNQGMYSLRWTYWKDLDLYHPRWNSRDLQVAEERYMRYCNVSAMTTQLPRWTRIHPPLKGVAGIATCKVVLKIIRAVIFYAVFSDKLNATRAPDDVLIMALHLLSLGLDICLQWREPSGMSCSNGDSITMLAFACEEIHDGLNYGAGKQSLLSLLVSLMRMQKRENADNFLEADSSNFSSLIESLLKRFAEVDSGCMAKLQQLAPEVVIHLSKALPSNDVHTAGSVSDSEKRKAKARERQAAILAKMRAEQSKFLLSINSASDHDSKSGLDESNKDREDLEESAQDICSLCHDPNSKSPVSFLILLQKSKLLSLIDKGPPSWDQDWPSDKEQAVLNLATNKVGDQAGSNSSSSGTGVISSNQLARLVQNAINEFADYAQPGEISNFLEFVKAQFPSFRNAATPSSLKNGVDRTACTLETLEQDMYKAIRKEMSDNILLSGSGVKNAEFSADEGYIRGSKGSDSVLLGKYIAAFSRERTEHPSSSEDTLDDIARVDSTSQVSTSYGLGPADCDGVYLSSCGHAVHQGCLDRYLSSLKERYARRIIFEGGHIVDPDQGEFLCPVCRRLANSVLPSLPGDFQRVWTEPKISTVTSTNAVGHLATSIEGSNLLWLQQALALLRSAANYVEKRDIWKIFPLQRNERMKQSLNSISHVLCKMYFPSRQDKFSRSKKANHYVIMWDTLTYSLKSMEIAARCGRTCMTPSYSLNGLYKELEATDGFIFSLLLKIVNSLRSKSSLHVLQRFRGIQIFAESICSGVEMDHADSAYGCGGDMSSILKHVEKEVPYPDMQFWKRASDPILSHDAFSSLMWVLFCLPHPFFSCEESLLSLVHIFYIVSITQGILTCCGQHQYTREPGLNDCLISDITRVLEESGWIQQYFVSKYMDTYSNTIDAIRRLSFPYLRRCALLWKLLGTSFSEPFHNGDHVLHALDDDTVDYMDDGIVELNEVQKLEKIFKIPPLDVVFKDHGIRSLVMKWLRHFQKEYEFFGFQSVLHSTPVVPFKLMQLPHVYQDLLERYIKQRCADCKTIIDEPALCLLCGRLCSPNWKMCCRESGCQPHAMACGAGICVFLLIKRTTVLLQRCARQAPWPSPYLDAFGEEDIEMHRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGSIFSV >Manes.10G020400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2011284:2014486:1 gene:Manes.10G020400.v8.1 transcript:Manes.10G020400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSSNPPPSPSPAAAPHVLIFPCPAQGHVNSMLKLAELLSLAGLKVSFLNTEHIHELLIQFTDVESRFAKYPGFQFMTISDHLPVDFPRTGNLLLEVMESMEVKSKPTFKRLLIESRPPVNFIIGDGIFGFPLDVAIELGIPVFRFRTISACCFWGYFCIPDLLEACELPIKGEEDMDRLVTKVPGMEKFLRCRDLPSFCRVNDMTDPILLMVLNETRQTPRAQALILNTFEDLEAPILSEIRKHCPKTYTIGPLHELLKTKLRAIKKQESSYQSSNSLWEVDRSCITWLDTQPSESVLYISFGSITVMTRDQLMEFWHGIVNSKKRFLWVIRPDSVTNNDGEVEKIPEELQEGPKERGYVVKWAPQEEVLAHEAIGGFLTHSGWNSTLESIVAGVPMICWPYFADQQLNSRFVSEVWKLGLDMKDLCDRGAVEMMVNDLMVDRRDEFVRSAARMAELARKSVSEGGTSSCNLNRLIEDIRLMSSVQAHDN >Manes.01G068001.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26911017:26921205:1 gene:Manes.01G068001.v8.1 transcript:Manes.01G068001.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLFDVHRAEPSQQQSAATPPLDVHRAESSQQHVRALNTQFASWVQAQLKNHPDEIWDNGVRDYLAHASNIMEKFSDVVKWLKTNAVKGGTAADSFGAEKKILPEVNGKKSKLIQGKTEFILPSTNTSFTASWSSGVFSSNQSSGGLFSNSQTSATFSSNQNSDVFSKSQSSGLFSKSQSSGLFSNTQNSALSSANQSTGLFSTSPSFGGSFTNQSSGLFSNSQRSGLSSGNQISGFFSSNQSAGLFSSTSTPTLAGGQNLASTNNNTSDDVNDENEPKQPSSPSVKKCEEKGIVVVHEVKCKLYVKSSDPADRDTWKDKGTGQLSIKCKEDVGKGTKESKPTIVVQNDGGRVLLNALLYPGIKTNTQKNSLVAIFHTAVTLLC >Manes.01G068001.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26911865:26914479:1 gene:Manes.01G068001.v8.1 transcript:Manes.01G068001.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLFDVHRAEPSQQQSAATPPLDVHRAESSQQHVRALNTQFASWVQAQLKNHPDEIWDNGVRDYLAHASNIMEKFSDVVKWLKTNAVKGGTAADSFGAEKKILPEVNGKKSKLIQGKTEFILPSTNTSFTASWSSGVFSSNQSSGGLFSNSQTSATFSSNQNSDVFSKSQSSGLFSKSQSSGLFSNTQNSALSSANQSTGLFSTSPSFGGSFTNQSSGLFSNSQRSGLSSGNQISGFFSSNQSAGLFSSTSTPTLAGGQNLASTNNNTSDDVNDENEPKQPSSPSVKKCEEKGIVVVHEVKCKLYVKVSFYALLGKFQDCRRIIFVLFHNRDYNLFIHERRYLNRKEIKPMIIQSLRLSN >Manes.01G068001.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26911547:26921049:1 gene:Manes.01G068001.v8.1 transcript:Manes.01G068001.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLFDVHRAEPSQQQSAATPPLDVHRAESSQQHVRALNTQFASWVQAQLKNHPDEIWDNGVRDYLAHASNIMEKFSDVVKWLKTNAVKGGTAADSFGAEKKILPEVNGKKSKLIQGKTEFILPSTNTSFTASWSSGVFSSNQSSGGLFSNSQTSATFSSNQNSDVFSKSQSSGLFSKSQSSGLFSNTQNSALSSANQSTGLFSTSPSFGGSFTNQSSGLFSNSQRSGLSSGNQISGFFSSNQSAGLFSSTSTPTLAGGQNLASTNNNTSDDVNDENEPKQPSSPSVKKCEEKGIVVVHEVKCKLYVKSSDPADRDTWKDKGTGQLSIKCKEDVGKGTKESKPTIVVQNDGGRVLLNALLYPGIKTNTQKNSLVAIFHTAGDDGGNSDNVVARTFLIRTKTEEDRNKLATAILEYAPAS >Manes.01G068001.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26910786:26921687:1 gene:Manes.01G068001.v8.1 transcript:Manes.01G068001.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLFDVHRAEPSQQQSAATPPLDVHRAESSQQHVRALNTQFASWVQAQLKNHPDEIWDNGVRDYLAHASNIMEKFSDVVKWLKTNAVKGGTAADSFGAEKKILPEVNGKKSKLIQGKTEFILPSTNTSFTASWSSGVFSSNQSSGGLFSNSQTSATFSSNQNSDVFSKSQSSGLFSKSQSSGLFSNTQNSALSSANQSTGLFSTSPSFGGSFTNQSSGLFSNSQRSGLSSGNQISGFFSSNQSAGLFSSTSTPTLAGGQNLASTNNNTSDDVNDENEPKQPSSPSVKKCEEKGIVVVHEVKCKLYVKSSDPADRDTWKDKGTGQLSIKCKEDVGKGTKESKPTIVVQNDGGRVLLNALLYPGIKTNTQKNSLVAIFHTAGDDGGNSDNVVARTFLIRTKTEEDRNKLATAILEYAPAS >Manes.01G068001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26910787:26921144:1 gene:Manes.01G068001.v8.1 transcript:Manes.01G068001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEQNHLNSSQLQHHHWMCTGLSLLNSIWVQAQLKNHPDEIWDNGVRDYLAHASNIMEKFSDVVKWLKTNAVKGGTAADSFGAEKKILPEVNGKKSKLIQGKTEFILPSTNTSFTASWSSGVFSSNQSSGGLFSNSQTSATFSSNQNSDVFSKSQSSGLFSKSQSSGLFSNTQNSALSSANQSTGLFSTSPSFGGSFTNQSSGLFSNSQRSGLSSGNQISGFFSSNQSAGLFSSTSTPTLAGGQNLASTNNNTSDDVNDENEPKQPSSPSVKKCEEKGIVVVHEVKCKLYVKSSDPADRDTWKDKGTGQLSIKCKEDVGKGTKESKPTIVVQNDGGRVLLNALLYPGIKTNTQKNSLVAIFHTAGDDGGNSDNVVARTFLIRTKTEEDRNKLATAILEYAPAS >Manes.08G098800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:33102156:33105502:1 gene:Manes.08G098800.v8.1 transcript:Manes.08G098800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDISCKRIRMAVYEPVKVHDDEHFNKNYVSLSNNVDDEDVELALRFAKVNDAAYKAMEEKKSLILSANPHQHQQQQQQQQRLVSLDVFRGLTVALMILVDYAGGILPSINHAPWNGLTLADLVMPFFLFIVGVSLALTYKKMSSKVVASRKAVLRALKLLMLGLFLQGGFLHGINYLTYGVNVEQMRWMGILQRIAIAYLVGALCEIWLKGDDHASSDSNVLRKYRFQWVVASVLISIYISLLYGLYVPDWEYQIPVDGSFSAPKTFSVKCGVRGDTGPACNAVGLIDRTVLGIQHLYRKPIYARTVLCSINSPDYGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKSHRDRILHWMIPSTCLIAFGLGLDFLGMHVNKALYTFSYMCVTAGAAGFLFAGTYMLVDVYEYRRMTSVFKWIGMHALIIYILAACNILPVVLQGFYWKHPQNNLLRLIGIGR >Manes.02G049400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4004788:4006565:1 gene:Manes.02G049400.v8.1 transcript:Manes.02G049400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGKIIRRSIYTLLKDFDYYTTNPIILLLPFSASVLLFQSCSQTKSIFLVARISLLDLNLCQVILSYVFNLPFALTSLMMAKASIILYLDHHNHHKRSVSSLYKPLVLTYLCNTVQTIIISTATFLLLVFASNFVENLLGLSSRNPLFVLASRVVFCMVLSDSMIIGNLAVAVAGVAECTGYKAIYRACLIKKATHSMALLLAFSINLGLAAIASLFQYRVARAYHLSGRPNVSMVVEGWLICNMFSLLIALDTIASCLIIKSYESDHFGREQEGVRSPIEIFKEDSRSFASSQRLKALP >Manes.08G143200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38185225:38186560:-1 gene:Manes.08G143200.v8.1 transcript:Manes.08G143200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRFLAASAVLVLALAFSMASAYDPSPLQDFCVAMNDPKNAVFVNGKFCKNPNLTVADDFSFSGLNIPGNTENRVRSNVTLLNVDRIPGLNTLGISLARLDFAPNGGLNPPHTHPRATEILVVIEGTLYVGFVTSNPNRLITKVLYPGDVFVFPIGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSNPSINPDVLAKAFQLDKNVVTSLQKLFWDSN >Manes.13G044100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5198886:5214525:-1 gene:Manes.13G044100.v8.1 transcript:Manes.13G044100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPEKRRSSSSSSNSDEGSVTIGQGIGAGTEGKESESRAAAGGSLNNGDSDSSGIAGRSTAKLNTSQVEMPGANKLEPSSSELKLEKSKTERQRHNNVLAEDAAQQTLKMLTRMATVKDDGTVEFEIPGDVEQHALGIGCDAVNNTLADDELADVKDERYIPPMQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFFPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQIKEIIYSLLPACKDSDTDTGVPFKADAIIANPPAYGHTHVAEALKIPLHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGSKVDVVGFCFLDLASNYEPPEALVKWLKAGPEPIYIGFGSLPVQEPERMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDSIYLLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHDRGVGPPPIPVDEFSLPKLVDAINFMLDPKVKERAVELARAMENEDGVTGAVKAFFKHLPLKKPEPDTLPEPSSFFSLRRCFGCS >Manes.06G021950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:4089302:4089983:1 gene:Manes.06G021950.v8.1 transcript:Manes.06G021950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHPSLLLNPCSPSHQLADHRANPVYPAESQPTCRHPHPSLSNQCTRHQSTRLEKMIDPSLHSSPTVYPENFSPSCNTRFLWRVASTFVKDVDVFVLKKLSKLGRRYAFIGVQNRSVMLNLIKILNFL >Manes.05G193700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31697840:31712820:1 gene:Manes.05G193700.v8.1 transcript:Manes.05G193700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYGSVSALNLNWHCGTLAGRKLQSSLRCDYPSSSKQTNALAFRGSESMGHALRIPYGIATKTRTASRSLQVVCVDYPRPDIDNTVNFLEAAYLSASFRNSPRPAKPLKVVIAGAGLAGLSTAKYLADAGHKPVLLEAREVLGGKVAAWKDDDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFAEVLPAPINGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQAYVEAQDGLTVQDWMRKQGVPDRVTKEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIQSLGGEVRLNSRIKKIELNNDGTVKRFLLNSGETIEGDVYVFATPVDILKLLLPDDWKEIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWISCSDSEIIDATMRELSKLFPDEISADQSKAKIVKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCTQSIVQDYELLVAREQRKLAEATIS >Manes.13G123000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33099621:33102986:1 gene:Manes.13G123000.v8.1 transcript:Manes.13G123000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQKTGLMSDHLSKSTSIFGLRLWVVLGVCVGAAFVLVLFLITLWLASKRSKIKTVNKPKIPVISKEIQEIRVEHIKPNQAQIQTHPFPDPEILAGNERQALLLIPSEEESPIGYHGVQIDTGKGHLISYPRSSGEAQRAGGGNGCGVDQIAMVGPDVSHLGWGHWYTLRELEASTNGFADENVIGEGGYGIVYHGVLEDNTHIAVKNLLNDRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGSHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWEIRTNIILGTAKGLTYLHEGLEPKIVHRDVKSSNILLDKLWNPKVSDFGLAKLLYSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPEEVNLVDWLKKKVTERNPEAALDPKLPEKPSSRALKKVLLVALRCVDSNAQKRPKIGHIVHMLEADEFPSRDDRRGRRDPTSAQSENANGSMEKPVTESGI >Manes.13G123000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33099621:33103228:1 gene:Manes.13G123000.v8.1 transcript:Manes.13G123000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQKTGLMSDHLSKSTSIFGLRLWVVLGVCVGAAFVLVLFLITLWLASKRSKIKTVNKPKIPVISKEIQEIRVEHIKPNQAQIQTHPFPDPEILAGNERQALLLIPSEEESPIGYHGVQIDTGKGHLISYPRSSGEAQRAGGGNGCGVDQIAMVGPDVSHLGWGHWYTLRELEASTNGFADENVIGEGGYGIVYHGVLEDNTHIAVKNLLNDRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGSHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWEIRTNIILGTAKGLTYLHEGLEPKIVHRDVKSSNILLDKLWNPKVSDFGLAKLLYSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPEEVNLVDWLKKKVTERNPEAALDPKLPEKPSSRALKKVLLVALRCVDSNAQKRPKIGHIVHMLEADEFPSRDV >Manes.13G123000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33099614:33103227:1 gene:Manes.13G123000.v8.1 transcript:Manes.13G123000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQKTGLMSDHLSKSTSIFGLRLWVVLGVCVGAAFVLVLFLITLWLASKRSKIKTVNKPKIPVISKEIQEIRVEHIKPNQAQIQTHPFPDPEILAGNERQALLLIPSEEESPIGYHGVQIDTGKGHLISYPRSSGEAQRAGGGNGCGVDQIAMVGPDVSHLGWGHWYTLRELEASTNGFADENVIGEGGYGIVYHGVLEDNTHIAVKNLLNDRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGSHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWEIRTNIILGTAKGLTYLHEGLEPKIVHRDVKSSNILLDKLWNPKVSDFGLAKLLYSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPEEVNLVDWLKKKVTERNPEAALDPKLPEKPSSRALKKVLLVALRCVDSNAQKRPKIGHIVHMLEADEFPSRDDRRGRRDPTSAQSENANGSMEKPVTESGI >Manes.17G048550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24608422:24608791:-1 gene:Manes.17G048550.v8.1 transcript:Manes.17G048550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELFVLGCTGVVMFLHGANFFFHALSQHLAVRSLSFLGFAGW >Manes.18G057700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4759802:4765804:1 gene:Manes.18G057700.v8.1 transcript:Manes.18G057700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEIEDDTHAAAAKIPKPPISIQENDYDDVSPIEEVRLTVSNHDDPTLPVWTFRMWFLGIISCVLLSFLNTFFAYRTEPLTISMISVQVATLPIGRFMARTLPAAKFRIPGFGNKELSLNPGPFNIKEHVLISIFANVGSNAGGGAAYAISIIDIIMAFYHRKISFLAGWILVITTQVLGYGWAGIMRKFVVDPAQMWWPISLVQVSLFRALHEKDDNRMSRGKFFLIALICSFSWYLFPGYLFPTLSTISWVCWVFPKSVTAQQIGSGMRGLGLGAFALDWSVVASYLGSPLITPFFAIVNVIVGYIATIYMIMPIAYWGINTYDAKKFPLVSSHLFNQKGELYNVSAIVNNRFEIDLPTYQKEGHLYLSTFFALTYGIGFAAIVSTLVHVALFNGKEIFKQFRASYEGKEDIHTRLVKKYKGIPNWWFYLTLLFSLVLSLFLCIFMKDQIQMPWWGLIFAVGIALTFTLPISIITATTNQSPGLNIITEYLMGVILPGRPIANVCFKTYGYISMSQAVSFLADFKLGHYMKIPPRSMFLVQCIGTIISGTVNTGVAWWLLTTVENICQDNLLPPNSPWTCPGDRVFYDASVVWGLVGPKRIFGRLGNYSMLNLFFLGGAIGPVIVWLLHKAFPKQKWIALINLPVLLGATAVMPPATTVNFNCWIVVGIIFNYFIFKNNKKWWQRYNYVLSAALDAGLAFMGVLLYFTLTMEDISISWWGSEGENCPLASCPTAKGIVVDGCPLY >Manes.10G032400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3270963:3273792:-1 gene:Manes.10G032400.v8.1 transcript:Manes.10G032400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSRSSLKFFSDQQLCYADILTPSEVRARIEVAVLNFLRILTSPDPAISDLPLIKRTSSNSRVSHGLLTDLSWVFLSHSFCTRSLMRANAAKAFVRVWKVMEVCFQVLVQEKRVTQRELFYKLLCVSPDYFPSQLQVNRTIQDLVALLRCSRYSLAIMASSRGVVAGRLLLQEPNQEVVDCAECGSSGYAISGDLNMLDKLLMTTDARYIIVVEKHAIFQRLAEDRVFSQIPSILITAKGYPDIATRFLLHRMSRSFPELPILALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRGADLELIPEESLVQLKPRDLQIANSLMASEILPESHREELAIMIQSGKRAEIEALYFHGYEYLGKYIAKKIVQANYI >Manes.13G144908.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35846965:35852858:-1 gene:Manes.13G144908.v8.1 transcript:Manes.13G144908.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTFKAFKETLKSCKNISSSTSSSSASLFAESLSQEPEPGIVFPRKPPKSTLSKQLQRLGDSFSSLQQSQSQSFETQQPGRLPSLRNQSQVEKNEDEVEEEEKEERKFENFAKPELGQVQFDHTGPFEPLILSLPGEVPIVQVPASINCRLLEHQREGVKFLYKLHRNNHGGVLGDDMGLGKTIQTIAYLAAVFGKDGDSADSIILRDDQVCKKGPVLIICPTSVIHNWETEFSRWSTFKVALYHGANRDLILERLEAGGAEILITSFDTYRIHGSILSELKWEIVIVDEAHRLKNEKSKLYGACLEVKTRKRIGLTGTIMQNKIMELFNLFDWVAPGLLGTKEHFREFYDEPLKHGQRATAPERFIRIADERKEHLVAVLRKYMLRRTKEETIGHLMLGKEDNVVFCAMSELQKRVYRRMLQIPEIQCLINKDLPCGCGSPLKQVECCKRIVPDGIIWSYLHRDNPEGCDSCPFCLVLPCLVKLQQISNHLELIKPNPRDEPDKQRKDAEFASSVFGTDIDLVGGNAQTQSFMGLSDVKHCGKMRALEKLMSSWTSRGDKLLLFSYSVRMLDILEKFLIRKGYSFSRLDGSTPTNLRQSMVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAYDLQAQDRSFRFGQKRHVVVFRLLAAGSFEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKQFQGELFGVCNLFRDLSDKLFTSEIIELHEKQGKDDGNCSTMTNELPEIMSCFLPQKQVGATIVSKSENNRSIDDESATTNKPVLEELGILYAHRNEDIINCGPGMRKSKVESIPEVVSLAAPAKRRRKSDDAGEKENALSSKDQKRIQYSLLAQFMGMGEVEFSKWVLSATHAERENVLQQFKKRKKVANG >Manes.10G071662.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12392067:12393066:1 gene:Manes.10G071662.v8.1 transcript:Manes.10G071662.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTHFNFRVFNKQFLGLVAISNTFEIVKNSNDSTRVRIRASNGFFLQVKTEELLTADNAGGSN >Manes.15G132101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10582102:10585082:1 gene:Manes.15G132101.v8.1 transcript:Manes.15G132101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGDDYPVLQNEHDLFHKPECFHAFIILCTLHLAFRASTAFVVMHLQVSLVKWQHVSQHAELKLCDFKTPPLYWLALYGHVWWC >Manes.03G020900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:1771050:1772296:-1 gene:Manes.03G020900.v8.1 transcript:Manes.03G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRFKSRISRMFRGSFASCRTRNSSDVVEKAVFVPRSHKDYFHFHVMQPLPLPLPPKPLPYPSICRPKCPETTAKAITNSIFPRQKISGRYPLLSANYNTKGNVRPPASSSSPFHKDFSFKEKKRSSRSVKNRKKKNKTTDFKSNRRDMSLFSSSSQDSAYFEGSYWLSSHGDEDKGEGESDTLFSSRSLSSDSSGSHCHFSRRKKLSSRRRRFASKRSQMGIFPLHGKVKESFAVVKSSSNPYNDFRASMVEMIVEKQMFAAKDLEQLLQCFLSLNSYNHHRIIMEVFTEICEALFSN >Manes.18G101900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9503375:9506569:-1 gene:Manes.18G101900.v8.1 transcript:Manes.18G101900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEQETFRPYAYLPPATIWGCSFSSFSSQDSELIQSYTKEVEALKETVYDILLRKSTGGLIDNIEFINLLHCLGVSYHFENEIENQLHHIFIALQEQLDDDKYDLYAVALVFRILRQHGYKISCDVFKKFQDSDGEFSKINISDVKGLLSLYEASFLSVHGEYILDKALAFTRKHLETLADQSSPHLAKHIRNCLLWPFHQTMERLKALQYISFYEEDESRNETLLKFAKLDYNRLQLLYREELSLLSRWWNDMNLVEKLPYMRDRIVECYIWALGSIFEPQFAASRLLISKYVQMTTAVDDTYDAYGTLDELQRFTAAFERCNIDASDELPEYMKNLYKALLKLFEETDDCGNEYKTSYSKEMLKELMRSYLVEAQWVSDGCVPAFDEYMQNGLIVSTCDFLTSGFLLGMKDFGMKEIVWIRSNPKIVNAAKLIFNLRNDIVGHEDEQKRGDCASSVECYMNKYGVSKEEAIKEIKKIIASAWKDINEELLKPSHVSRIILKYFHNFASMSEFMFNSVDAYTNPFSMKDNVSKLLLEQVPM >Manes.02G178400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14091044:14092278:1 gene:Manes.02G178400.v8.1 transcript:Manes.02G178400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINADNYKFPLAINDVEQQETALELEEPNNKDDFDYSKRAQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKAMILTGFAGMVAGACSMAIGEFVSVYSQLDIELAQMKRDQQREASNNERKQENGEEEEKESLPNPLQAAAASALAFSVGAMVPLLSASFIRNYKVRLGAVVGAVSLALLVFGWLGAVLGKAPAVRSSARVLVGGLMAMAITFGLTKLIGSSAL >Manes.06G129200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25828005:25831612:-1 gene:Manes.06G129200.v8.1 transcript:Manes.06G129200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLVDCSNCRTPLQLPPGAKSIRCAICRAVTLIADPRSIPPPPPYSSSPHHNYPSPPPPSAVAPSPYNHAPSGPPPSSHGNKRAVICGVSYKNTRNELMGCINDAKCMKYLLINRFKFPESSIVMLSEEETDRYRRPTKHNMRMALYWLVQGCKPGDSLVFHFSGHGSQQRNYSGDEVDGYDETLCPTDFETQGMILDDEINATIVRPLPRGAKLHAIIDACHSGTVLDLPFLCRMDRNGKYIWEDHRPRSGVWKGTNGGEAISFSGCDDNQTSADTSALSKVTSTGAMTYSFIQAIERGHGTTYGNMLTAMRSTIRNTDGGLDGGIVTSLLTMLLTGGSLSGSRQEPQLTANETFDVYSKLFSL >Manes.06G129200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25828005:25831612:-1 gene:Manes.06G129200.v8.1 transcript:Manes.06G129200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLVDCSNCRTPLQLPPGAKSIRCAICRAVTLIADPRSIPPPPPYSSSPHHNYPSPPPPSAVAPSPYNHAPSGPPPSSHGNKRAVICGVSYKNTRNELMGCINDAKCMKYLLINRFKFPESSIVMLSEEETDRYRRPTKHNMRMALYWLVQGCKPGDSLVFHFSGHGSQQRNYSGDEVDGYDETLCPTDFETQGMILDDEINATIVRPLPRGAKLHAIIDACHSGTVLDLPFLCRMDRNGKYIWEDHRPRSGVWKGTNGGEAISFSGCDDNQTSADTSALSKVTSTGAMTYSFIQAIERGHGTTYGNMLTAMRSTIRNTDGGLDGGIVTSLLTMLLTGGSLSGSRQVCL >Manes.01G251100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40983050:40986617:-1 gene:Manes.01G251100.v8.1 transcript:Manes.01G251100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLHQRPLYSALSAPYPISPRYSFNSQRQISIFSRTGLIVLFSLMLILGVFMPWTGMPQGIFSVSKPSLTKWRQYTLAQAASFVAKNGTVIVCAVSQPYLPFLNNWLISIARQNHQDKVLVIAEDYATLYKINERWPGHAVLVPPAPDSQTAHKFGSQGFFNFTSRRPRHLLQILELGYNVMYNDVDMVWLGDPFPYLQRKHDVYFTDDMAAVKPLNHSHDLPPPGKKGRTYICSCMIFLRPTGGAKLVMKKWIEELKAQPWSKAKKSNDQPAFNWALNKTAGQVDLYLLPQAAFPTGGLYFKNQTWVQETKGKHVIIHNNYITGFEKKIKRFHDFGLWLADDHYQESPLGKL >Manes.02G185600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14886508:14890541:-1 gene:Manes.02G185600.v8.1 transcript:Manes.02G185600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSEIREIQETNPNPKESSQNAPNPGPKPKTVRTKVPEVEIHLYRRGKGPIDVFKASLGGWDQDQLEVRDILEKYGFKSVYAFNPASGRAAPIRFNRRNGRSMIGYRDGSVICIDGEPKDSMIKPVTKILFGVAVITLFITMAVKDPPEWIKKSNFFGGSFSPWILACAVIVFTRMRKRTSDFLKKQGW >Manes.01G067700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26877492:26880776:1 gene:Manes.01G067700.v8.1 transcript:Manes.01G067700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIPSSSHEKPFLIEKRPLMLKDYLTDDLSSCSSNGFKSFPRRQCCTTVRFLLEIDLNTNPKNQRRQFFKRTTSSKAASTTISALQKASEAVINAVKLLPFPSSSTKSSFPFLHSRTRKGGLLPRSLSRKLFKKSSRKKEDHREREGSEIRRFRSFRDFLEERDEPSDHNTVQNYASPVANVCRLSTSSGSNSQSWTDSEFTVDSGNSESYSSQNAVAGGQIDLPSEKKVSEAVGATSGEDSMAFSVEKNAKEWPNEEEKEQFSPVSVLDCPFQDEEDTNCSPFQRSLVRMEGAKQKFMAKIRRFERLAELDPLNLEMQMDLAVEEDEPLKYPSSLSLQNDVEEERGPHQLLKLAKATIQSNHRLTSNADTILLDFFREKIMENRANGNLLEGPKSDLKKEIEEAQDWINGYPEEMFIGSEVKDTRAVCVRDMERKGKWKNFDEEKEELVLALEIEVFNALVKEAMLDLPS >Manes.14G043200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3783176:3791248:1 gene:Manes.14G043200.v8.1 transcript:Manes.14G043200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKGGATGWYSAKVKAVPSGDSLVLTAKSSNKPGPPPERTITLSSLIAPRLARRGGVDEPFAWESREFLRKLCIGKDVIFKIDYAVPSIGREFGSVFINDQNVGALIVSEGWAKVREQGQQKGEASPFLAEYQVLEEQAKQNGRGRWSKAPGAAEASIRNLPPSAIGDPSNLDAMGLLAANKGRPMQGIVEQVRDGSSIRVYLLPEFQFVQVFVAGIQAPSMGRRAASETPLEKGLNSDEQNGDTSEPRAPLTTAQRLAVATASTEVAPDPFGIDAKYFTEQRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALDLVENGLAKYVEWSANMMEDDAKRRLKNAELQAKKSRLRIWTNYVPPPTNSKAIHDQNFTGKVVEVVSGDCMIVADDSVPYGSPLAERRVNLSSIKCPKMGNPRRDEKPENYAREAKDFLRRRLIGQQVNVQMEYSRKVTMGDGPTAATGSGDLRVMDFGSVFELSSNGGDTDEVPPASSAAGSQQAGVNIGELMVSRGYGTVIRHRDFEERSNYYDALLAAESRASAMKRGVHSNKEPPVTHITDLTTASAKKARDFLPFLHRNRKISAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRRIMQREAEIEVETVDRTGTFLGSLWESRTNMAVVLLEAGLAKLQTSFGIDRIPDAHLLEQAEQSAKNKKLKIWENYVEGEEVSNGPAVENKQKEVIKVVVTEVLGGGKFYVQTVGDKNVASIQQQLASLNLQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNAPRGAVESMKDKFEVFYIDYGNQEAVTYSQLRPLDPSVSLAPGLAQLCSLAYIKVPDLEEDCGPEAAEFLSANTLSSSKEFRAKVEERDTSGGKVKGQGTGPILIVTLVAVDSEISINAALVQEGLARIEKRKKWDSKDRQVALDNLEKFQDEARADRRGIWTYGDIQSDDEEMAPPVRKAGGRR >Manes.14G043200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3783166:3791257:1 gene:Manes.14G043200.v8.1 transcript:Manes.14G043200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKGGATGWYSAKVKAVPSGDSLVLTAKSSNKPGPPPERTITLSSLIAPRLARRGGVDEPFAWESREFLRKLCIGKDVIFKIDYAVPSIGREFGSVFINDQNVGALIVSEGWAKVREQGQQKGEASPFLAEYQVLEEQAKQNGRGRWSKAPGAAEASIRNLPPSAIGDPSNLDAMGLLAANKGRPMQGIVEQVRDGSSIRVYLLPEFQFVQVFVAGIQAPSMGRRAASETPLEKGLNSDEQNGDTSEPRAPLTTAQRLAVATASTEVAPDPFGIDAKYFTEQRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALDLVENGLAKYVEWSANMMEDDAKRRLKNAELQAKKSRLRIWTNYVPPPTNSKAIHDQNFTGKVVEVVSGDCMIVADDSVPYGSPLAERRVNLSSIKCPKMGNPRRDEKPENYAREAKDFLRRRLIGQQVNVQMEYSRKVTMGDGPTAATGSGDLRVMDFGSVFELSSNGGDTDEVPPASSAAGSQQAGVNIGELMVSRGYGTVIRHRDFEERSNYYDALLAAESRASAMKRGVHSNKEPPVTHITDLTTASAKKARDFLPFLHRNRKISAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRRIMQREAEIEVETVDRTGTFLGSLWESRTNMAVVLLEAGLAKLQTSFGIDRIPDAHLLEQAEQSAKNKKLKIWENYVEGEEVSNGPAVENKQKEVIKVVVTEVLGGGKFYVQTVGDKNVASIQQQLASLNLQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNAPRGAVESMKDKFEVFYIDYGNQEAVTYSQLRPLDPSVSLAPGLAQLCSLAYIKVPDLEEDCGPEAAEFLSANTLSSSKEFRAKVEERDTSGGKVKGQGTGPILIVTLVAVDSEISINAALVQEGLARIEKRKKWDSKDRQVALDNLEKFQDEARADRRGIWTYGDIQSDDEEMAPPVRKAGGRR >Manes.14G043200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:3783166:3791331:1 gene:Manes.14G043200.v8.1 transcript:Manes.14G043200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKGGATGWYSAKVKAVPSGDSLVLTAKSSNKPGPPPERTITLSSLIAPRLARRGGVDEPFAWESREFLRKLCIGKDVIFKIDYAVPSIGREFGSVFINDQNVGALIVSEGWAKVREQGQQKGEASPFLAEYQVLEEQAKQNGRGRWSKAPGAAEASIRNLPPSAIGDPSNLDAMGLLAANKGRPMQGIVEQVRDGSSIRVYLLPEFQFVQVFVAGIQAPSMGRRAASETPLEKGLNSDEQNGDTSEPRAPLTTAQRLAVATASTEVAPDPFGIDAKYFTEQRVLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALDLVENGLAKYVEWSANMMEDDAKRRLKNAELQAKKSRLRIWTNYVPPPTNSKAIHDQNFTGKVVEVVSGDCMIVADDSVPYGSPLAERRVNLSSIKCPKMGNPRRDEKPENYAREAKDFLRRRLIGQQVNVQMEYSRKVTMGDGPTAATGSGDLRVMDFGSVFELSSNGGDTDEVPPASSAAGSQQAGVNIGELMVSRGYGTVIRHRDFEERSNYYDALLAAESRASAMKRGVHSNKEPPVTHITDLTTASAKKARDFLPFLHRNRKISAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSDEAIALMRRRIMQREAEIEVETVDRTGTFLGSLWESRTNMAVVLLEAGLAKLQTSFGIDRIPDAHLLEQAEQSAKNKKLKIWENYVEGEEVSNGPAVENKQKEVIKVVVTEVLGGGKFYVQTVGDKNVASIQQQLASLNLQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNAPRGAVESMKDKFEVFYIDYGNQEAVTYSQLRPLDPSVSLAPGLAQLCSLAYIKVPDLEEDCGPEAAEFLSANTLSSSKEFRAKVEERDTSGGKVKGQGTGPILIVTLVAVDSEISINAALVQEGLARIEKRKKWDSKDRQVALDNLEKFQDEARADRRGIWTYGDIQSDDEEMAPPVRKAGGRR >Manes.13G013700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1969347:1971732:1 gene:Manes.13G013700.v8.1 transcript:Manes.13G013700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVIAKLYSVFFKYQQKSLLQSLSQLPFSDKTDSFGLTSRPHESIASSNPLFTDGVATKDIHVDPFSSLSLRIFLPETALASPLATRRDYDVPTYGGYSPPTSKFNRKLPVMLQFHGGGFVSGSNDSVANDTFCRRIAKLCDVIVVAVGYRLAPESRYPAAFEDGLTVLNWLAKQANLDSCRRLGLQNRIFDSFGASMVEPWLAAHGDPSRCVLLGVSSGANIADYVARKSVEAGKLLDPVKVVAQVLMYPFFIGNTPTRSEVKLANSYFYDKSMCKLAWKLFLPEEEFDLDHPAANPLLRGRQPPLKYMPPSLIVVAENDFMRDRAIAYSEELRKVNVDAPLLDYKDAVHEFATLDVLLHTPQAKACAEDISIWVKKYVSLRGNEFSY >Manes.09G041801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7549294:7558736:1 gene:Manes.09G041801.v8.1 transcript:Manes.09G041801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCVGPNSNGFLQSVTSVWRGRRPKDGLPPPSKEEENSNKDDESKKSEGSKKGSETDNSSEIQSTPPETVKIPKETSPKVVDHEKSIRPEMRDVSINKVAEGAKSKKPSQVKRNSSAGLQAGSVLGRKTGNLKEIFSLGRKLGQGQFGTTFLCIEKATGKEFACKSIAKRKLTAQEDVEDVRREIQIMHHLEGHPNVIKILDAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAADLARLIVGVVEACHSLGVMHRDLKPENFLFVNQDEESALKTIDFGLSVFFRPGEIFTDVVGSPYYVAPEVLRKNYGPECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFLSEPWPSISESAKDLVRRMLVRDPKKRMTAHEVLCHPWVQVDGVAPDKPLDSAVLTRLKQFSAMNKFKRIAIRVIAESLSEEEIAGLKEMFRMIDTDNSGHITLEELKTGLEKVGANLKDAEITGLMQAADIDNSGTIDYGEFIAAMLHLNKITKEDHLFAAFSYFDKDGSGYITQDELQQACDRFGLGQIHLADLIREVDQDNDGRIDYSEFVDMVTK >Manes.10G104100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25191827:25194755:-1 gene:Manes.10G104100.v8.1 transcript:Manes.10G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSVYFCSILLALCFHFQTRMACNSDDRLALNGLHNCLTSTTGSWNSSTADCCNWDGVTCNNSIVSSKRVIGLELGNKRLTGTICESLAALDHLRILNLSHNFLHGTLPAKLLRLQNLEILDLSSNNFVGSIPMVGDMRSIRFVDLSKNKFSGSVSAALCETSPHIQVLNLASNYFAGEISNTFGRCTSLQNLSLNSNSLSGSFPQVLFHLQNLRALQLKENQFSGTLDARLGNLSNLVELDISSNVFSGYLPDSFGKLSKLEKFSAYTNAFTGHMPESLVNSPSLQILDLHNNTLNGPIKINCSAMIHLFSLNLGSNNFHGPIPETFSSCHSLSILNLGRNKLGGEVPYNFKNLQALTFLSLSNTSLSNISRALEILQHCKNLTTLILGINFQYEELPGGVNLQFRNIKALVIPYCQLRGSIPLWLKNCKSLQLLDLSWNLLGGSIPVWLGNFKSLFYLDLSNNSFTGNIPKSLTELQTLIINKGILKEIAPGIPLFKSREGGNLQYTKIWSLPPTMELSCNKLTGPILPSFGRLKGLHVLGLNNNSLSGPIPADLSGMSNLEVLDLSHNKLSGEIPTSLVKLNFLSKFSVAFNQLSGEIPTGGQFMTFPYSSFEGNKNLCGGDFDSCEPMQAPVQSPTRSKQMKIVGLPFQLGVATGFVLSVTICFMSGWAFSVAERTTDTSRFVCWRLR >Manes.16G035800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:4418931:4420183:1 gene:Manes.16G035800.v8.1 transcript:Manes.16G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLRISVCIFLVLLAISYSESRLLDPSLVRRNLIRSIQEMGEKEVYNVRQGNESMNKFHFHSKRVSPGGPDPHHHFKNQ >Manes.10G119800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:28486444:28487409:1 gene:Manes.10G119800.v8.1 transcript:Manes.10G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPYSSSVDSSRPFRSVKEAVAIFGERILVAEIYSPKPYHPPPPPSHHDLPWMFPSSPVSPVNSNKEDEHETLKKLETELEQTKVELKQLKERESETELVVASLNAELQKNMSKLAEAEAAAAKKAARRVSFATEKKEDVIRDEEKKRELMIRMENSSTLTQILSLVEEKGYFGSKEDQRKKMKKKPIVPLVKDLFFRKKGLSTKTLNNPLFASARGVV >Manes.06G011750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1853675:1856178:-1 gene:Manes.06G011750.v8.1 transcript:Manes.06G011750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALGKFESSMVVYKRAIGVLESKHGKSSIFLVSPLLGMAKILGSIGRVKKAIEVYHRSIAILESSKGVESEDLVVPLFGLGNLLMKEGRTTDSEIHFNRILSIYRKLYGENDGRVGMALCSLAHAKCSTGNVEEAIDLYRKGLQLIKDTNYIALDDSIMEKMRIDLAELLHVVGRGKEGRELLEECLLISERSKGKDHPSSVSHLINLATSYSQSKNFVEAERLLRRIIISGP >Manes.06G011750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1852966:1855919:-1 gene:Manes.06G011750.v8.1 transcript:Manes.06G011750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALGKFESSMVVYKRAIGVLESKHGKSSIFLVSPLLGMAKILGSIGRVKKAIEVYHRSIAILESSKGVESEDLVVPLFGLGNLLMKEGRTTDSEIHFNRILSIYRKLYGENDGRVGMALCSLAHAKCSTGNVEEAIDLYRKGLQLIKDTNYIALDDSIMEKMRIDLAELLHVVGRGKEGRELLEECLLISERSKGKDHPSSKRIQRPG >Manes.09G035700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6886530:6894351:1 gene:Manes.09G035700.v8.1 transcript:Manes.09G035700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGTKAAIFIPQRNCFQLRASLFHSTPFLERKRRNFWDCRSNGYSRRSRKLQGKQTLLHNVSAYADYLFKSWRDDFDEDETPSSRSSSWFRKQYSKGSRRNWTRNQGTQRAGRSFQFCEDDIDVETIFRSAFGGNPYFYWSFINEENLQGRSSSGYSNYYGRNWRYRMEYDYDSSPELDGLESELASDRLALGLSASGPLKLEDVKSAYRECALKWHPDRHQGSSKAIAEEKFKHCSAAYQSLRDKLAAAA >Manes.09G035700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6886493:6894546:1 gene:Manes.09G035700.v8.1 transcript:Manes.09G035700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGTKAAIFIPQRNCFQLRASLFHSTPFLERKRRNFWDCRSNGYSRRSRKLQGKQTLLHNVSAYADYLFKSWRDDFDEDETPSSRSSSWFRKQYSKGSRRNWTRNQGTQRAGRKGFQFCEDDIDVETIFRSAFGGNPYFYWSFINEENLQGRSSSGYSNYYGRNWRYRMEYDYDSSPELDGLESELASDRLALGLSASGPLKLEDVKSAYRECALKWHPDRHQGSSKAIAEEKFKHCSAAYQSLRDKLAAAA >Manes.09G035700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6886545:6894351:1 gene:Manes.09G035700.v8.1 transcript:Manes.09G035700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGTKAAIFIPQRNCFQLRASLFHSTPFLERKRRNFWDCRSNGYSRRSRKLQGKQTLLHNVSAYADYLFKSWRDDFDEDETPSSRSSSWFRKQYSKGSRRNWTRNQGTQRAGRIFNFYSEGFQFCEDDIDVETIFRSAFGGNPYFYWSFINEENLQGRSSSGYSNYYGRNWRYRMEYDYDSSPELDGLESELASDRLALGLSASGPLKLEDVKSAYRECALKWHPDRHQGSSKAIAEEKFKHCSAAYQSLRDKLAAAA >Manes.02G218100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30040142:30042490:-1 gene:Manes.02G218100.v8.1 transcript:Manes.02G218100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLRSNSRLSIAMERTGQWVFSQEIPTDVVVEVGEASFALHKFMLVAKSNYIRKLIMESTEPDLTRINLSEIPGGPEMFEKAAKFCYGVNFEITVHNVAALRCASEYLQMTDLYCDNNLSGRTEDFLAQVALSSLSGAIVVLKSCEDLLPMAEDLKILQRCADVISVKACNEANFPSRSPPNWWTEELSILDVEFVGKIISAMKKRGAKALTLASALITYTERNLRDLVRDHSGQAKSMDPDDSDIRSCQRELLQSIVSLLPSEKAAFPINFLCCLLRSAIFLKASNSCKNELEKRISAILEHVTVDDLLVLSFTYDGERLFDLESVRRIISGFVEKEKTMAVFSGGDFKDTCSTGMQRVAKTVDAYLGEIATYGELGISKFNGIAILVPKGARKVDDDLYRAIDIYLKAHPNLDEIEREKVCSVMDTLKLSHEARLHASQNKRLPVQIVLHALYYDQLKLRSGMDERSTPEAGVGNTRNQLQATDVSLIRENEALRSELMKMKLVISDMQKNNSNQGTSGKGGPRKPTFFSSMSKTLGKLNPFKHGSKDTSNIDDNVGVDISKPRRRRFSVS >Manes.11G111400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25840241:25856499:1 gene:Manes.11G111400.v8.1 transcript:Manes.11G111400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVINVTVDSLDRRSREGKEKSSADDPQSSSPPPPPPPSNPQNTSRRRDRDSRDRDLDRPPNRRGGDYYDRNRSPLPREREREYKRRSSLSPPPVPYRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYAGGYEREIGGRPGYPEDRQHGRYMGRPDWDSGRGGYVDASNTVGTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRVFFESHKDEEWLRDKYHPTNLVAVIERRNELARKVAKDFLLDLQSGTLDIGPGINVSSANKSEQTSNPNSDDEVDAGGKRRRQGRTPAKETDLLAAAPKAHPVSSESRRIQVDIEQSQALVRKLDSEKGIEENILGGSDNDKTNREKSHSSYTGPVIIIRGLTSVKGLEGAELLDTLVTYLWRVHGLDYYGMIETTEAKGLRHVRAEGKSADVTNNGNEWEKKLDTHWQERLRSQDSLEVMTAKEKIDAAAVESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVREELYFQNYMNDPVAPGGTPVMQQPLQRDKPQRRKLGPENRLKDERGGRRDRDSRASGSERYDRSENPPSGDVQTNNGGPDGGNHDDPMYDNFGGQAMHVPPFPSDIPPPVLMPVPGAGPLGPFVPAPPEVAMRMFREQGGPPPFEGGGRNGRPGPQLNGPAPILLSPAFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >Manes.11G111400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25846834:25856499:1 gene:Manes.11G111400.v8.1 transcript:Manes.11G111400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRVFFESHKDEEWLRDKYHPTNLVAVIERRNELARKVAKDFLLDLQSGTLDIGPGINVSSANKSEQTSNPNSDDEVDAGGKRRRQGRTPAKETDLLAAAPKAHPVSSESRRIQVDIEQSQALVRKLDSEKGIEENILGGSDNDKTNREKSHSSYTGPVIIIRGLTSVKGLEGAELLDTLVTYLWRVHGLDYYGMIETTEAKGLRHVRAEGKSADVTNNGNEWEKKLDTHWQERLRSQDSLEVMTAKEKIDAAAVESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVREELYFQNYMNDPVAPGGTPVMQQPLQRDKPQRRKLGPENRLKDERGGRRDRDSRASGSERYDRSENPPSGDVQTNNGGPDGGNHDDPMYDNFGGQAMHVPPFPSDIPPPVLMPVPGAGPLGPFVPAPPEVAMRMFREQGGPPPFEGGGRNGRPGPQLNGPAPILLSPAFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >Manes.11G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25840241:25856499:1 gene:Manes.11G111400.v8.1 transcript:Manes.11G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVINVTVDSLDRRSREGKEKSSADDPQSSSPPPPPPPSNPQNTSRRRDRDSRDRDLDRPPNRRGGDYYDRNRSPLPREREREYKRRSSLSPPPVPYRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGDRRFGYDYAGGYEREIGGRPGYPEDRQHGRYMGRPGGYQSSPSDWDSGRGGYVDASNTVGTQREGLMSYKQFIQELEDDILPAEAERRYQEYKSEYISTQKRVFFESHKDEEWLRDKYHPTNLVAVIERRNELARKVAKDFLLDLQSGTLDIGPGINVSSANKSEQTSNPNSDDEVDAGGKRRRQGRTPAKETDLLAAAPKAHPVSSESRRIQVDIEQSQALVRKLDSEKGIEENILGGSDNDKTNREKSHSSYTGPVIIIRGLTSVKGLEGAELLDTLVTYLWRVHGLDYYGMIETTEAKGLRHVRAEGKSADVTNNGNEWEKKLDTHWQERLRSQDSLEVMTAKEKIDAAAVESLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHPELVLELTSKVREELYFQNYMNDPVAPGGTPVMQQPLQRDKPQRRKLGPENRLKDERGGRRDRDSRASGSERYDRSENPPSGDVQTNNGGPDGGNHDDPMYDNFGGQAMHVPPFPSDIPPPVLMPVPGAGPLGPFVPAPPEVAMRMFREQGGPPPFEGGGRNGRPGPQLNGPAPILLSPAFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >Manes.12G011600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1136798:1144580:-1 gene:Manes.12G011600.v8.1 transcript:Manes.12G011600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQRVKETEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFSVCEGMEGPMIEEYAFSFGYSNSDSQDVSMNINRTGNKKQGGTFKCNSTTEVTPNQMRSSACKMIRTLVQLMRTLDRMPEERTILMKLLYYDDVTPADYEPPFFRSCTEEEANNPWTKSPLKMEVGNVNSKHFVLALKVRSVLDPCDDENDDMEEDEVSLGADSLQKDNSSESDSEVDQSQENQYVVAPVEKGRPEEDNDTVHEDDTQDPDEDEQQLARVKDWIASHHLDTIGLNDVLSNFPDISVKLWTSL >Manes.12G116300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:31811829:31812398:1 gene:Manes.12G116300.v8.1 transcript:Manes.12G116300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSSSSSSSSSSTLYFSQYPQEGKGLKVSQMIHSVRKPQLKPWKKPIAPLAPTPPRVYKVDPINFRDLVQKLTGAVPESSLPQQRLQRVAPPPLNLQKEKPALFSKEFAAAPTLQLLPSPVETPFSDLYQELMSETPADPKPRKVSESVIASNSLELNLLSPSTHVWCSFPLLSPGTLSSLEQSTVL >Manes.05G145000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:24691673:24693467:-1 gene:Manes.05G145000.v8.1 transcript:Manes.05G145000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQLYSESLCLPLSGLQDSMVNNHVSGFDADLCFAFQESQQPNLFLQPQSSQTVGFYCNNRDAGPSSSSSHSTCDSFISMALSQSLEARLLEIQRQEVDSILQLQNERLRSALQEQRRKQLGILLKSVESKAMSLMKQKEEDLAQATKKTMELEACLKKAQIERETWQRVAGENEAMIMDLSNTLEQVRERLFLDSTRGQDTDESSCCGSCEKEQEDNSTKKMACCKGCNSRASCVLFLPCRHLCSCKFCEAFLAYCPVCDSVKEGSMEVFWV >Manes.02G217972.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:30281812:30283305:1 gene:Manes.02G217972.v8.1 transcript:Manes.02G217972.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGHCVDATHCVLIAILVVATTVSSDDTTPIPADDSKVSNWFQTNVKPWKSRKGTLDSALEAAEAKSKIITVSKDGKGKFKTVTDAIKSIPSQNKERAIIKIGPGVYTEKIEIEKTKPFITFLGDPKAMPTLAFGGTARKYGTHYSGTVTVDSDYFMGVNIIFQNTAPKPQSNKPGGQAVALRINGDKAAFYNCKFLGFQDTLCDDRGHHFYRNCYIEGTVDFIFGRGRSLYLESQLNVVDNKDLTFITAQGKEEKSENFGYSFVQCKITGRGSGSFLGRAWRKMPQVIFSYTEMGAVVNPLGWSDNRQPDRDSTVFFAEYKNSGPGSNPKGRVKFTKQLKDAQAKNFLSLGYIQGSKWLLPPPK >Manes.17G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31144566:31147993:-1 gene:Manes.17G104100.v8.1 transcript:Manes.17G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSSHKGTPDSAIKLGVSFGSNTDNLVIPETPIKDKHVNGDHPIKTFEDYGSKDETFFDSQPWLESDCEDDFFSVNGEFTPSRGSTPVHHSFIMGTPKINKASLEDRLPGATPEPSPGKKKRLSELFEESLREEMEEKQNTDTANGKPTIVDVSASATPYLSGTSSICSSERTANGDALIEREKSTKSGQCCLPSLISCRSFSEKKKMSPAPAIAVNDEA >Manes.06G124800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25473188:25475707:-1 gene:Manes.06G124800.v8.1 transcript:Manes.06G124800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSENDPIKVSKQLLQSLLDEIVQVHIFKGKWALIRTKLADLHTQLTDFADFPASTSNPLCLDLLHSISHSLNDAILMAKKCQTPNLTEGKLRTQSDVDSVLAKLDRHVKDSEILIKSGVLQDNVIPGSFSSKREAVRAESRNLITRLQIGTSESKNSAMDSLLRLVHEDDKNVMIAVAQGVVPVLVRLLDSCSQEMKEKIVAAISRVSTVDSSKHVLIAEGLLLLNHLLRVLESGSGYAKEKACVSLQSLTFSKENARAIGSRGGISSLLEICQGGTPGSQGLAAGVLRNLAVFEEIRENFIEENAVFVLIGLAVSGTALAQENAIGCLCNLAKDDENLKLLIVKEGGIDCLRNFWDSAPPVRSLEVAINLLRHLASSQVIAEVLVSEGFILRLVAMLNCGVMGVRIAAARAVYELGFNTKTKMEMGECGIIVPLIKMLDGKPVEEKEAAAKALSHLVLYAGNRRIFRKDERGIVSAVLLLDPLMHNLDKKYPVSILASLVHSKKCRKQMIAAGACVHLKKLVEMDVEGAKKLSDSLGRGKIWGVFARP >Manes.05G018100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1667056:1672405:1 gene:Manes.05G018100.v8.1 transcript:Manes.05G018100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPQMIPVMPSYPPANITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQAPTMPPQVSPHPAMQQGAYYMQHPQAAAMAQQSGIFPPKMPLQFNNPHQMQDPQQLHQAAIQGQMGMRPMGANNGMHPMHTEAAHGTSGPSATAGSNDVRGGNKQDATDAGASGADGQGNSAAGHTGADGEDAK >Manes.03G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2989977:2992386:1 gene:Manes.03G035400.v8.1 transcript:Manes.03G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVKNNFFPPSLISNLQQVLINRNDDDGGGGLEQTSTKDNDPIEAVVASSSPRSNVHSNGCEDSEKEIVLVTNGEGIDSPGLTSLLEALVRDSRFNVHVCAPQSDRSVSGHSVTVRETVAACSVEISGVTAAYEVSGTPADCVSLALSGTLFSWSKPVVVISGINRGSSCGHNMFYSGAVAAAREALICGVPALSLSLNCVCLPLLYAAIKDIKKEKFPKSCLLNVEIPTCPIANKGFKVTRQSLWRSSLSWQAVSANKHPSVGHFMSNQQSLGMKLAQLSRDASAAGAARRLNSHRKHVEIESVGVAGKVNSPKTVKKYFRLEFSEKEEENVEEDLDFTAVENGFVSVTPFSLTVQPEIQALVTNWITAALASEQ >Manes.01G193300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36786205:36792340:-1 gene:Manes.01G193300.v8.1 transcript:Manes.01G193300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKFKVQLQAITSELRDLRVRIFLANHILCLPSVTLVCGLLQERERSATKQCHILIQKQKQSEEEYGRKLQDLQAELASSNELRHKLDRQMSYLQDDNALLENRLKEMQGTIQSLLQSRESFVHAYEESTCEMKRSIEARDRKLGVLSEKLNSHLSLFDSIEKEAFSIKQVLDNVQLLVLEKEEVVAGLRSKMDKVSAFEEVFVEKVHDLKNKLKNNEDELQTKGKIISELEGQLEAANIRNNCQNQIEELQKNLVAKDAVIQSLISEKEALQCEVGNLAVILQKVKETVKNMDDEDKKALSSALKCQDDCDTVRRNEDNRIEADVLMSRESSTIKAPMMGTAENLALPTCQVQHSVGNILQENNHLNSCVSEAASSELQSAINGPSIAEKNEKVIAAVHHLDSECSTTQADTSDQKCHKEKEYDENNAI >Manes.01G193300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36786142:36792340:-1 gene:Manes.01G193300.v8.1 transcript:Manes.01G193300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKFKVQLQAITSELRDLRERERSATKQCHILIQKQKQSEEEYGRKLQDLQAELASSNELRHKLDRQMSYLQDDNALLENRLKEMQGTIQSLLQSRESFVHAYEESTCEMKRSIEARDRKLGVLSEKLNSHLSLFDSIEKEAFSIKQVLDNVQLLVLEKEEVVAGLRSKMDKVSAFEEVFVEKVHDLKNKLKNNEDELQTKGKIISELEGQLEAANIRNNCQNQIEELQKNLVAKDAVIQSLISEKEALQCEVGNLAVILQKVKETVKNMDDEDKKALSSALKCQDDCDTVRRNEDNRIEADVLMSRESSTIKAPMMGTAENLALPTCQVQHSVGNILQENNHLNSCVSEAASSELQSAINGPSIAEKNEKVIAAVHHLDSECSTTQADTSDQKCHKEKEYDENNAI >Manes.01G193300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36786205:36792340:-1 gene:Manes.01G193300.v8.1 transcript:Manes.01G193300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKFKVQLQAITSELRDLRVRIFLANHILCLPSVTLVCGLLQERERSATKQCHILIQKQKQSEEEYGRKLQDLQAELASSNELRHKLDRQMSYLQDDNALLENRLKEMQGTIQSLLQSRESFVHAYEESTCEMKRSIEARDRKLGVLSEKLNSHLSLFDSIEKEAFSIKQVLDNVQLLVLEKEEVAGLRSKMDKVSAFEEVFVEKVHDLKNKLKNNEDELQTKGKIISELEGQLEAANIRNNCQNQIEELQKNLVAKDAVIQSLISEKEALQCEVGNLAVILQKVKETVKNMDDEDKKALSSALKCQDDCDTVRRNEDNRIEADVLMSRESSTIKAPMMGTAENLALPTCQVQHSVGNILQENNHLNSCVSEAASSELQSAINGPSIAEKNEKVIAAVHHLDSECSTTQADTSDQKCHKEKEYDENNAI >Manes.01G193300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36786205:36790626:-1 gene:Manes.01G193300.v8.1 transcript:Manes.01G193300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRIQGKMPIIDMSLTDLIIDVYEQESTCEMKRSIEARDRKLGVLSEKLNSHLSLFDSIEKEAFSIKQVLDNVQLLVLEKEEVVAGLRSKMDKVSAFEEVFVEKVHDLKNKLKNNEDELQTKGKIISELEGQLEAANIRNNCQNQIEELQKNLVAKDAVIQSLISEKEALQCEVGNLAVILQKVKETVKNMDDEDKKALSSALKCQDDCDTVRRNEDNRIEADVLMSRESSTIKAPMMGTAENLALPTCQVQHSVGNILQENNHLNSCVSEAASSELQSAINGPSIAEKNEKVIAAVHHLDSECSTTQADTSDQKCHKEKEYDENNAI >Manes.01G193300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36786205:36790626:-1 gene:Manes.01G193300.v8.1 transcript:Manes.01G193300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRIQGKMPIIDMSLTDLIIDVYEQESTCEMKRSIEARDRKLGVLSEKLNSHLSLFDSIEKEAFSIKQVLDNVQLLVLEKEEVAGLRSKMDKVSAFEEVFVEKVHDLKNKLKNNEDELQTKGKIISELEGQLEAANIRNNCQNQIEELQKNLVAKDAVIQSLISEKEALQCEVGNLAVILQKVKETVKNMDDEDKKALSSALKCQDDCDTVRRNEDNRIEADVLMSRESSTIKAPMMGTAENLALPTCQVQHSVGNILQENNHLNSCVSEAASSELQSAINGPSIAEKNEKVIAAVHHLDSECSTTQADTSDQKCHKEKEYDENNAI >Manes.01G193300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36786142:36792340:-1 gene:Manes.01G193300.v8.1 transcript:Manes.01G193300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKFKVQLQAITSELRDLRERERSATKQCHILIQKQKQSEEEYGRKLQDLQAELASSNELRHKLDRQMSYLQDDNALLENRLKEMQGTIQSLLQSRESFVHAYEESTCEMKRSIEARDRKLGVLSEKLNSHLSLFDSIEKEAFSIKQVLDNVQLLVLEKEEVAGLRSKMDKVSAFEEVFVEKVHDLKNKLKNNEDELQTKGKIISELEGQLEAANIRNNCQNQIEELQKNLVAKDAVIQSLISEKEALQCEVGNLAVILQKVKETVKNMDDEDKKALSSALKCQDDCDTVRRNEDNRIEADVLMSRESSTIKAPMMGTAENLALPTCQVQHSVGNILQENNHLNSCVSEAASSELQSAINGPSIAEKNEKVIAAVHHLDSECSTTQADTSDQKCHKEKEYDENNAI >Manes.08G025700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:2518601:2519077:-1 gene:Manes.08G025700.v8.1 transcript:Manes.08G025700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVLHDKVIKIMKRDGKVLEYKAPIKVQQVLSDFSGHAISDSLQGFQHHPPDTKLLGGKLHYLVPLPLPSPQAKKKVRFSIPEDEDKKENIVRIKLVISKKELQEMLQKEGVSVDSMVSQILDQQRVEKIDDTTDNDDNHKGYWKPVLESIPEID >Manes.10G015950.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1650893:1684990:-1 gene:Manes.10G015950.v8.1 transcript:Manes.10G015950.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEDVVIIGAGIAGLATAVAFKRVEVRSLILEKSQTTGAALSLFPNAWLALMLLKIQLAVSTTSIYPPFSKGTVTDVATGAVQEINFYTGKGGKAHGPRSVHRKILLEALAEELTADSIRFSSKFTAIEQQELGGTSIVVHYLEDGTTIKSKVLVGCEGVNSVVAKWLGLSALVHSGRAAVRGLAVFPQGHGFKQEVTQFADVGKRAAFVPLIHKELYWFLTCLEGENMARDPQLIRKQVIEKYAENFPQEYFDVVRHADLSNLTWAPLMFRHPWNVIFGNLSKGNITVAGDAMHPMTSDLAQGGCSALEDAVVLGRHIGDSFIKNGGVLVPEDMARAIDGYVKERKWRAAMLITGSYLSGNIFYAFVMPRLSNVAGYDCGALPSVFAASDLQHSSDKSD >Manes.17G042000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23360925:23361678:-1 gene:Manes.17G042000.v8.1 transcript:Manes.17G042000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPSTAKDLSRKILHHHHHLLLHKPSIRPLPCDVFINHRGIDTKRTIAGLLFDHLSRLGLNPFLDSKNMKPGDRLFDKIDSAIGECKIGVAVLSPRYCDSYFCLHELALIMETKKRVIPIFCDVKPSQLRVKDNGTCLPQELQRFTNALEEAKYTVGLTFDSHKGDWSEFLSTATDAIVKNLIEVAGEEARTNGIKMRSLSFSRAINKHKDLHE >Manes.16G058404.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:17993316:17993949:1 gene:Manes.16G058404.v8.1 transcript:Manes.16G058404.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIENILSRIKIAKIENNLDCSYCGKTGHLKQRCYDIIDYREWWGFTKKPRKKVAGTPMIAATIEVQQNMEDKSQPIANITHPRQLHDASATPKWTKGCN >Manes.07G137000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33900117:33903108:-1 gene:Manes.07G137000.v8.1 transcript:Manes.07G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVDNGNQKGETDDSNAAKSQTQKPANVSASRGTLLSNANPRGKLQDKLAALPNRINFLKFGSATAKFKRLARDRDEMSRSVATSSSCHGFVERINGVFGQKIDWNSLMKMSREWIWDPMNLALLAWIICVAISGAILFLVMTGMLNAVLPKKSQRDAWFEVNNQILNALFTLMCLYQHPKRVYHLVLLCRWKPEDIAKLRNIYCKNGTYKPHEWAHMMVVVLLLHVNCFAQYALCGLNLGYKRSDRPAIGVAICVSFAIAAPAVAGVYTIISPLGRDYSEMDEEAQIQIAAGESKMPEQLRTKSLEKKFSFAKTDEQGNVETRPQWSGGILDIWDEISLAYLSLFCSFCVSGWNMERLGFGNMYVHIATFLLFCMAPFWIFNLAAINIDNETIQEALGLTGIILCAFGLLYGGFWRIQMRKRFNLPTYTFCFGEPTVSDCTLWLCCCWCSLAQEVRTGNSYSIVVGNFYSKDMDNSNHLQPSPSEDASSPSSPLGNNCNPSKTLTANSPSPGGVSNVYCNPDRQLSKVKQESSTGGKDEITTPPSPSLMEREAS >Manes.18G085635.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7905688:7907754:-1 gene:Manes.18G085635.v8.1 transcript:Manes.18G085635.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTGTSTQYKVVAKWLNVSCKTNKSDLPSIGKPTPLSRFLMLLYIFFSTSLMVYFDDQEFKDKGCQHRMYCWHFSIMDEPGASSSYDDAKNSLTCPRGHWRPAEDEKLRQLVEQYGAQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINRRPFTEEEEERLLAAHRFHGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSKLSGKRSYHEGLRESNSSTPDDFHPSKSRSEDLFSSRIGFENSTRVLEFRNPTADSWTFAPSNCSYSVADHLSPRKEGIDFFNNSSFYTTKNSKISDHQSIYRCYPNSSMCRSSTVVGLPNYKRVVLRPFGCLNFEEDRENHGMIKKDLASFCYSSSAFKKNNQAEQGDQGSVRHKEVQFIDFLGVGISS >Manes.03G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23623847:23632669:-1 gene:Manes.03G112000.v8.1 transcript:Manes.03G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAVIGRYPSSDGSTQIDGIIRHNRKCRDVAFLVIFMAFWVAMIVNSSFGFNQGNPLRLTYGLDYKGNVCGDKHANPDLRELQLTYWLNPNQVYLSGLKNSQFKLANARSICLMDCPIPSEDALNWVCDYPDGDIRISSKDWINRNYDYFEFLTPEMRNTSLQLQGPCYPVIFPSVNVFWRCQFIAHASNVSLRHWQQMGGVNINEDIIMDKSIHKSINARSSVLKRYMADIGKAWPVLIVCGGLLPLFLSVIWLIMIRHFVAAMPWITVALFDILIITVTMFYYLKAGWIGDDAISPIIGEHDPYYHVSGRELHHLRAFAVLMTFIMVVAILTSIAIVRRILMATSVLKVAAKVVGEVQALIIFPFIPCAVLAIFYMFWFSAAFHLFSSGQIVQNDCNSNCCAYDLGSKKVNCERCCGYSIHYTPHIAVAIFFHLFGCYWATQFFIACSATVIAGSVASYYWTRGETSPEIPFLPVFSSMKRLMRYSLGSVALGSLIVSFVESIRFMLESIRRKLKVAGTEPDGCMGKTVHHTSQFCLRCVEWTIKSVNRNAYIMIAITGKSFCRASAIATDLIMNNILRIGRVNVIGDVILFLGKLCVSLSSAVFAFLMLDTHKYRSAHNKISSPLFPVLVCWALGYVVATLFFAVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLIETLNDQNEMQRLTQ >Manes.13G044500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5264631:5267419:1 gene:Manes.13G044500.v8.1 transcript:Manes.13G044500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKRNANGSKKDKDLFHVIHKVPCGDGPYVKAKHAQLVEKDPETAILWFWKAINAGDRVDSALKDMAVVMKQVDRTQEAIEAIRSFRGRCSRQAQESLDNVLIDLYKKCGMVEEQIDLLKRKLRLIYQGAAFNGKPTKTARSHGKKFQVSVKQEISRLLGNLGWAYMQKSNFMAAEVVYQKAQMIDPDSNKACNLSLCLIKQARFDEARWLLQSVLEGKLPGSEDSRSRKRAEELLMEVESRQPLPELTDILGFDLDDDDDDFVKGLKQIMNEWAPSRSKRLPIFEEISSFRDQMAC >Manes.06G169700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29450388:29455918:-1 gene:Manes.06G169700.v8.1 transcript:Manes.06G169700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEHQSTVQPWGTLEELLLACAVNRHGTQCWDSIAVEVQNRTTNLSSLTSQNCIDKFNDLKRRFMPPNDTSSSLVPMVDELRRIRMEELRREVQRHDMSIVSLQLKVKRLEDERESSLREGVDLARETGTSPEMIVGKSAAGNESGDDRSFNESNSTGQQKAERTTVMDRNDTVNGKPDIKPEPAITNEKDPVRAGSDPEAERNWSHNGTLREVDDDENDKIPTNKKEPEIKMSQTTGGLGESNELGESVGESKREDKEEKKQNSDVQSSASLSLKTKKRRLKGIAGGRNGVGVGSSSGEEPEGGDEVSPATKRVPAVKSEPLLKFLGIIWSHRLSSVFERRLQSQESEGYKKLIRQHIDLKMIQCRLDKGAYSACVQKFFRDLLLLFNNAITFFGKNSPESVAASELISIVRKEMTKKLQKPKPEPVTVKPEPRQQPVSFPRSNKSSSTLVVCGKGNSVKATSENATKKVDKKDRGGEDKPKVNEKKIEGSFIKIEEKGIRKKRTKERSVSSHRNSNTTKNDGEIKHQYGGNELSSHDALEMKVERKGSSTRKRQGAASFLKRMKQNSPSKVTDNDEEDDSSEDERKDGNGKGKGKGKEENVRRGRNRDGISERVTRSSRGRGAREESGKAKRIGRPPKKQAESTVESDGPRKRGRDNGGPDVEVGSGRARKRSRR >Manes.02G211100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29544019:29550630:-1 gene:Manes.02G211100.v8.1 transcript:Manes.02G211100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDETSIDVRDEVADLAPFDPTKKKKKKKVVIQDSADDSVDKLAEKTVSLSVSDGLESTFTGLKKKKKKPVETSILNEESVDAREDLDDHVGEDEEGEGIELQQQLYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >Manes.02G211100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:29543785:29550685:-1 gene:Manes.02G211100.v8.1 transcript:Manes.02G211100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDETSIDVRDEVADLAPFDPTKKKKKKKVVIQDSADDSVDKLAEKTVSLSVSDGLESTFTGLKKKKKKPVETSILNEESVDAREDLDDHVGEDEEGEGIELQQQLYPWEGSDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >Manes.06G021100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3799032:3800771:-1 gene:Manes.06G021100.v8.1 transcript:Manes.06G021100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLPAFKEGNAQLELITELNRGQHPFLKAFYKNKNERVVCVKNLTPDDVLLQATRLRNSTGRKVVKLKTRHVTQHPSVQGTWTTAVRF >Manes.06G021100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3798646:3804440:-1 gene:Manes.06G021100.v8.1 transcript:Manes.06G021100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGVWQLQKLIVSYCDWGGSSRGIRAFMESHLPAFKEGNAQLELITELNRGQHPFLKAFYKNKNERVVCVKNLTPDDVLLQATRLRNSTGRKVVKLKTRHVTQHPSVQGTWTTAVRF >Manes.08G134500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37136700:37142339:1 gene:Manes.08G134500.v8.1 transcript:Manes.08G134500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVGEISDQFWSLPPPPPPPAQTDDSSKMNRSESEWAFQRFLQEASVVPVSASASDSSPQSSSAPAGDKSDVVEMKDNDKTTASSSFTNGRCTTPFNVAAALGAPPNTAVGSEEYQAFLKSKLNLACAAVALSRAPFLKPQDSPARADSGSQASNASQLGSHAAPKEAGSDLPWSQDMDANGPVGIASLPSTQKKFGATLKPTTSGSSREQSEDDENEGETEITKDMDPTDAKRARRMLSNRESARRSRRRKQAHLTDLETQVKMAEETVKRITGLNPLIHALPEISTISMSSFDGSPSDTSTDAAVPVNEDPNHHFYQPPNNPMSVHESRVNNALADISSVENLQPHSGAAGLAGNMKGRTASLQRVASLEHLQKQIRRGITPGPQSNGEQI >Manes.08G134500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37136700:37142339:1 gene:Manes.08G134500.v8.1 transcript:Manes.08G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVGEISDQFWSLPPPPPPPAQTDDSSKMNRSESEWAFQRFLQEASVVPVSASASDSSPQSSSAPAGDKSDVVEMKDNDKTTASSSFTNGRCTTPFNVAAALGAPPNTAVGSEEYQAFLKSKLNLACAAVALSRAPFLKPQDSPARADSGSQASNASQLGSHAAPKEAGSDLPWSQDMDANGPVGIASLPSTQKKFGATLKPTTSGSSREQSEDDENEGETEITKDMDPTDAKRARRMLSNRESARRSRRRKQAHLTDLETQVSQLRVENASLLKRLSNISNKYNGAAVDNRVLKADVETLRAKVKMAEETVKRITGLNPLIHALPEISTISMSSFDGSPSDTSTDAAVPVNEDPNHHFYQPPNNPMSVHESRVNNALADISSVENLQPHSGAAGLAGNMKGRTASLQRVASLEHLQKQIRRGITPGPQSNGEQI >Manes.11G076800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11315070:11317304:-1 gene:Manes.11G076800.v8.1 transcript:Manes.11G076800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFRVRVDKAFGSLAASTAATTAPASSNLSSLWCLTDEEIERTEWNRDKDNSEPETLTDSSPFNKEFFLGNREKSDLDFRLELEKDLDDLDDDLDDEESRGSSSQSARRKPDDYNDEEWEIKNSIGLDCTLDYEEEEDQYDKVAVGREKAGDRLYMKDITDYGIEIDSNNELPDSLRDAPRDPRANHIAAKIRLKEDAEAAIKMDSLRVSERDSPDLADNQVKVLEDCNPKSILKRRDDDSDSKSKNNQPDSKSQKRVRFDPECKDDCDKESDGIRGTHMETDSVDESLVYPLPPDYPSGIPDYMRNPSKYTRYTFDSSSDVDEQSNRQAFSDFLRMLKKSKTTESQLDGAPFDLPKSVTFMPKRKSNDGEMISSRSESKQNQDNSGMESILRRGLAMGIAARDTEDGETCAMEEDEPETATDRRNSLQKSGRKYRAKASLEMEETI >Manes.16G003400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505304:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEIKKMIIYAIDEAVKGLKELI >Manes.16G003400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505303:512524:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEISSLLLHLNGLSSRG >Manes.16G003400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEISSLLLHLNGLSSRG >Manes.16G003400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEVTPFCNDILLVMPFDKYVRVEPNKIIIE >Manes.16G003400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEIKKMIIYAIDEAVKGLKELI >Manes.16G003400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:506042:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEIKKMIIYAIDEAVKGLKELI >Manes.16G003400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505303:513168:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEISSLLLHLNGLSSRG >Manes.16G003400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513168:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEISSLLLHLNGLSSRG >Manes.16G003400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEISSLLLHLNGLSSRG >Manes.16G003400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEISSLLLHLNGLSSRG >Manes.16G003400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505504:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQELNLGHLNKE >Manes.16G003400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505304:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEIKKMIIYAIDEAVKGLKELI >Manes.16G003400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEISSLLLHLNGLSSRG >Manes.16G003400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEIKKMIIYAIDEAVKGLKELI >Manes.16G003400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513168:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQELNLGHLNKE >Manes.16G003400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505504:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQELNLGHLNKE >Manes.16G003400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505317:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEIKKMIIYAIDEAVKGLKELI >Manes.16G003400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:505505:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQVVHPIHDQCFYLTSEHKKKLKEEFGIEPWTFEQRVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENVEECLRLTEEFRQLPKNHKAREDKLEISSLLLHLNGLSSRG >Manes.16G003400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:506042:513167:1 gene:Manes.16G003400.v8.1 transcript:Manes.16G003400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGKQERFNRDNDQNIRRMEKARNISWVSPKRRVHKTHKQRSVEKIWKQEHEHHDVEWTPPTRAKNSSKKVKLTPEVENFKGNGIPKKRRSALNRRSSLVIVDSESDSEDEVLEELCIIKMKERKRARNSSSEIIKRNTKDITAENVGFANASLHTSSSSLTSSSTLSDSAMKSDGSSNSKCAKTNLKAKTEARPKCHQCMKIERKIVVPCQKCKSKMYCVQCIKQWYPKLTEEEVAEQCPCCRRNCNCNFCLHSSGLIKTSKRDITVRQKVQHLHYLIRALLPFLEQLCEEQTREIQIEASILGSSPEIAENFCNNDERVFCNHCATSIVDLHRSCPKCAYELCLNCCQEIRAESLSSRAEIKYEYLNRGSEYMHGGDPLPCVFENPEDQGDPPLVMWNANDDGSIYCAPKAMGGCGDCILELKRILPMGWISKLKKKAGDLVGLGTESTSLICNHSGVGRNMLRRAASREGSKDNFLYCPAMSDVQEDEELFRFQKHWVKGEPVIVRGALEATTHLSWEPMVMWRALCENVDPETSAKLSEVKAIDCLAVCEVEINTRSFFKGYTEGRRYKNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPKAGILNLAVKFPPSLLKPDMGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSEEQHTAIQQLQRKHLAQDEKERLVQERHPTEQLGDSSGSRKAVDLSNIAELERHPSNVSEELDIFHDQLSGATLPCLLTEGETDETSGALWDIFRREDVFKLEEYLRKHSMEFRHTFCSPVQQELNLGHLNKE >Manes.06G039600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11948626:11948943:1 gene:Manes.06G039600.v8.1 transcript:Manes.06G039600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFIIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Manes.03G110700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:23317113:23328473:1 gene:Manes.03G110700.v8.1 transcript:Manes.03G110700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSPPQTPIPLYETTTALSLPPTTPNRSPLSDSLEPYSVFRNEISLSSANCAAVDSAAPDFFSLDLGGASEQESEPKTPSRAPEFTPKTKLQSPLEPSLEGSWFRGNSRFRSPMLQLHKEIVDFCDFLSPTPEEQAARDSAVKCVFSVIKYIWPNCKVEVFGSYKTGLYLPTSDIDVVILGSGIKYPQIGLQALSRALSQKGIAKKIQVIAKARVPIVKFIERQSGVSFDISFDVDNGPKAAKFIKDAVSKWPALRPLCLILKLFLQQRELNEVYSGGVGSYALLAMLMAMLKNLRKCQSSLEDNLGVLLLHFFDFYGRKLNTKDVGVSCNGAGTFFSKHRKGFMNKGRPFLIAIEDPQAPDNDIGKNSFNYFQIRSAFSMAFSTLSNPKTILSLGPNRSILGTIIRPDPVLLQRKGGCNGEVTFTALLPGAGEPVQSHDDDHQEILGNWLLDDEEELPRGGGETGDGDAQSSGKKRKSSSKGKSFKKKENGDAGNLRREESGSRRERKKQRWRHNRDDANGFSNNIGAPWRH >Manes.11G027700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:2694037:2695367:1 gene:Manes.11G027700.v8.1 transcript:Manes.11G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYGTIPTSSMLGAATNLEYISRAKGRIKEGLSTRRPWKIMLNLHSFRLPPNLAEALVRVKTNVAYFRMNYALIILIILFLSLLWHPISLIVFIVMMAVWLFLYFLRDEPLVIFGRTIDDRVVLIVLGVLTIVFLLLTDVTLNVLVSLLIGVVVVVLHGIIRKTDDLFLGEEATGLMSTAGAGDGGGGASSSA >Manes.08G003500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:630854:633523:-1 gene:Manes.08G003500.v8.1 transcript:Manes.08G003500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAARKLKSHRRRQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Manes.12G150600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35886926:35889872:-1 gene:Manes.12G150600.v8.1 transcript:Manes.12G150600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Manes.07G073600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21775841:21777805:1 gene:Manes.07G073600.v8.1 transcript:Manes.07G073600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITEPHNSISLILSSQQFSINMSSSELLHFFVFFLSTAQALLRYLLACLFQLNRASVLFFVVDAVFSVYFWFCGLTSLTVDLDDRTTMHFWTSTHRRFDKPNLVMVHGYGGDARWQFLYQVGFLSRKFNLYVPDLLFFGKSYSNRPDRTEEFQAGCLVEGLKRLGVDRFSAYSISYGGYVAYRMAEICPKEMMEKLVIVSSGVGWSDEVKIEQIKKIGRDPKELLLPTNPDDLRLLVKLSVYKGKPLKWLPDFFLQEFINVMGNNHRKEKLELLEHLLSKKADADLPILTQSDPYMH >Manes.07G073600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21775841:21777805:1 gene:Manes.07G073600.v8.1 transcript:Manes.07G073600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITEPHNSISLILSSQQFSINMSSSELLHFFVFFLSTAQALLRYLLACLFQLNRASVLFFVVDAVFSVYFWFCGLTSLTVDLDDRTTMHFWTSTHRRFDKPNLVMVHGYGGDARWQFLYQVGFLSRKFNLYVPDLLFFGKSYSNRPDRTEEFQAGCLVEGLKRLGVDRFSAYSISYGGYVAYRMAEICPKEMMEKLVIVSSGVGWSDEVKIEQIKKIGRDPKELLLPTNPDDLRLLVKLSVYKGKPLKWLPDFFLQEFINVMGNNHRKEKLELLEHLLSKKADADLPILTQETLLIWGDKDNVFPVYLAHQLQRHLGPKSRVEIIKDTGHAANIESPDAVNALITSFVLGHS >Manes.07G073600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:21775841:21777805:1 gene:Manes.07G073600.v8.1 transcript:Manes.07G073600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITEPHNSISLILSSQQFSINMSSSELLHFFVFFLSTAQALLRYLLACLFQLNRASVLFFVVDAVFSVYFWFCGLTSLTVDLDDRTTMHFWTSTHRRFDKPNLVMVHGYGGDARWQFLYQVGFLSRKFNLYVPDLLFFGKSYSNRPDRTEEFQAGCLVEGLKRLGVDRFSAYSISYGGYVAYRMAEICPKEMMEKLVIVSSGVGWSDEVKIEQIKKIGRDPKELLLPTNPDDLRLLVKLSVYKGKPLKWLPDFFLQEFINVMGNNHRKEKLELLEHLLSKKADADLPILTQETLLIWGDKDNVFPVYLAHQLQRYVMVFHFGKF >Manes.01G069750.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27100432:27101381:-1 gene:Manes.01G069750.v8.1 transcript:Manes.01G069750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSYKRGFKFDHVWNMMKDAEKFKDCSSKKKIVQNQSSSYVSSESDNPTPDSPMVPSPNLSSFSIHLNEDIAGDYTSSNRPLGVKKAKLKKKFDESFSSALKCLHANNEKLVESLANATAEREKGRLMKSRALDLKEFKEENKILLLDLNSISDPIAHETFRQEKIRISEKRAQRQQPPPPSASNVYGQYLNDIAGSGSDLPEY >Manes.S015696.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:123468:123842:1 gene:Manes.S015696.v8.1 transcript:Manes.S015696.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.14G065900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5501125:5505983:-1 gene:Manes.14G065900.v8.1 transcript:Manes.14G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMLSPAEIKAISFLDSTKSNHLHKFPGGFSSKRKDFGAAFARKVQCSAQPPPPAWPGRAFPEPGRKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPQLVAVRNESVVDELKEALADVEEKPEIIPGEEGVVEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDICLANKETLIAGGPFVLPLAHKYNVKILPADSEHSAIFQCIQGLPDGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGADYDNIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPDRIYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFINEKISYLEIFKIVELTCDKHREEMVASPSLEEIIHYDLWARDYAASLQPSSGLSPVLA >Manes.09G055400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8979794:8985537:-1 gene:Manes.09G055400.v8.1 transcript:Manes.09G055400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLWESDPLFSAAEVVQDSADRMDSLFRLLLHDQNLVQGDHPDPGLLMSIEYHKRDLATILETAKWQLEDFERAVNSSAMQDKSQSKEDVISRHWQFIRAIREQINHVKTSVDGSSMGDSMKKSEWINLNEQDRDGLALFLIGENTTEHSNQHDMEESSILRRFLDPTSSSSLKDDEIVEHGNIELKNLKMNGDVHAGHHSVKDKCLRKIDVPYSTSLNSDMQDISSNVQGDTGILDLEANDATSKSVFHEKKFRGFQSRMNFRFLDNLWPMSGSRVTNSYIKRLKDGEEQRQSPRYTDVSHSAQGQCMGSRLGSRSRMLEGLLSRFRAHLMVLLSSLGARYQIFPFHLPIDRHTIQMIFTIIFALIFLGILVSRIA >Manes.09G055400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8981998:8985537:-1 gene:Manes.09G055400.v8.1 transcript:Manes.09G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLHLWESDPLFSAAEVVQDSADRMDSLFRLLLHDQNLVQGDHPDPGLLMSIEYHKRDLATILETAKWQLEDFERAVNSSAMQDKSQSKEDVISRHWQFIRAIREQINHVKTSVDGSSMGDSMKKSEWINLNEQDRDGLALFLIGENTTEHSNQHDMEESSILRRFLDPTSSSSLKDDEIVEHGNIELKNLKMNGDVHAGHHSVKDKCLRKIDVPYSTSLNSDMQDISSNVQGDTGILDLEANDATSKSVFHEKKFRGFQSRMNFRFLDNLWPMSGSRVTNSYIKRLKDGEEQRQSPRYTDVSHSAQGQCMGSRLGSRSRMLEGLLSRFRAHLMVLLSSLGARYQIFPFHLPIDRHTIQMIFTIIFALIFLGK >Manes.07G113500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31897568:31898161:-1 gene:Manes.07G113500.v8.1 transcript:Manes.07G113500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAQPSTSLNGDHRPLRPPQSSNTHHHHPYYPTSSSSKSASLKGCCCCCLFLLFSFLALLVLTIFLIIILTVKPKKPEFDLQQVGVQYMGIPASNLNSLDPTIGTTTMTTGATTASLSLTIHMLFTAVNPNKVGIKYSESKFTVMYHGIPLGKASVPGFYQEAHSERQVEATISVGRCSLIQANAVDLIRDASLI >Manes.18G142251.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:23919888:23921131:-1 gene:Manes.18G142251.v8.1 transcript:Manes.18G142251.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDDKYESQDFGACGYKWKLVLYPYGNFKRGVSDHISLYLAMEEAKEIHPGSQVEVILKFFVYDHIRDKFLTIQYDRTCRYHSLKTENGFDKLISLELFEDCSNGYLVDDCCLFGIEVNVINNEGKGEKISIIKEPKNGTFTWKIENFSAIQESCYRSEEFTVANLKWRLLLYPKGDSRASGKSLSLFLELLDNSAHPQLRVFTKYNLMVKRQLLHNHRELTGSDWFTSKSSLTWGFSDFMPLSDIHNLSKTFLVKDSLIVEAKITLLADVEGLFQNCKRIKIDC >Manes.02G224500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37445021:37462419:1 gene:Manes.02G224500.v8.1 transcript:Manes.02G224500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPIFLQRSRTMSDHIRTRILARLMGGGPRTFPGGLNKWQWKRLHEKRAREKEKRLLEQEKQLYQARIRSQLRHKLAGKTDLDSNPDTNISYSPMTPKDHVKALADRFMKEGAEDLWNENDGSLKSPLPKSIERTIPVGPNGRPGSINSPIDLRKLISEAPNISHNCEIFSEGYNYVKARDYSVQRGTHNKGFSSPKLRRFRRSGSSSSDDEDDDYGFDSYNEGERRGRTAEEIGSRAALRKYDVKVTKRVPLKELDKESDFAKEVELIRHELGKKKLAENQGENNETAEEESILSQKRFDECGLSPLTVKALTAAGYVQMTRVQEATLSVCLEGKDALVKAKTGTGKSAAFLLPAIETVLKAKSSNSNPRVPSIYVLIICPTRELASQIAAEANAMLKYHDGMGVQTLIGGIRFKDDQKRLESDPCQIVVATPGRLLDHIEEKGGLSVHLMGLKMLILDEADHLLDLGFRKDVEKIVDCLPRRRQSLLFSATIPKEVRRISQLVLKREHAFIDMVGLGSLETPAKIKQSFLVVPHELHFQLVHYLLKEHILRTPDYKVIVFCTTGMVTSLLYMLLREMKMNVKEMHSRKPQLYRTRVSDEFKESKRSILITSDVSTRGMNYPNVTLVIQLGIPADREQYIHRLGRTGREGKDGEGILLLAPWEEYFLNELEDLPLDKLPSPDMDPESKLKVEDSMSKIDTSVKEAAYHAWLGYYNSIREIGRDKTTLVELANRFSESIGLQRPPPLFRKTALKMGLKDIPGIRIRA >Manes.18G002100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:684785:688290:-1 gene:Manes.18G002100.v8.1 transcript:Manes.18G002100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEDNTFVVTGGLGFVGSALCLELVRRGARQVRAFDLRLTSSWSHKLTTHGVHCIQGDLTRKKDVEKALRGADCVFNLASFGMSGKEMLQFGRVDEVNINGTCHILEACIEFGIRRLVYVSTYNVVFGGKEIVNGNETLPYFPIDDHVDPYGRSKSIAEQLVLKYNGRPLKKNNGKCLYTCAIRPAAIYGPGEERHFPRIISFAKLGLLPFRIGDANVKTDWVYVDNLVLALVLASMGLLDDIPMNGGHPVAAGQPYFISDGSPINSFEFLQPLLRSLDYDLPKASLGVPHALLLGKIFQGIYTLLYPWLNRWWLPHPFILPAEVYKVGVTHYFSFLKAKEELGYAPIVTPREGMAATISYWQDRKRNSLDGPTIYAWLFCIIGMATLFCAACLPDIGPVPLFKAISLFVFRSMRMTRTVFLLATAAHIGEAMYAWRLAKRVDPVNARGWFWQTFALGIFSLRFLLKRAKK >Manes.18G002100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:684785:687725:-1 gene:Manes.18G002100.v8.1 transcript:Manes.18G002100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNDVGDLTRKKDVEKALRGADCVFNLASFGMSGKEMLQFGRVDEVNINGTCHILEACIEFGIRRLVYVSTYNVVFGGKEIVNGNETLPYFPIDDHVDPYGRSKSIAEQLVLKYNGRPLKKNNGKCLYTCAIRPAAIYGPGEERHFPRIISFAKLGLLPFRIGDANVKTDWVYVDNLVLALVLASMGLLDDIPMNGGHPVAAGQPYFISDGSPINSFEFLQPLLRSLDYDLPKASLGVPHALLLGKIFQGIYTLLYPWLNRWWLPHPFILPAEVYKVGVTHYFSFLKAKEELGYAPIVTPREGMAATISYWQDRKRNSLDGPTIYAWLFCIIGMATLFCAACLPDIGPVPLFKAISLFVFRSMRMTRTVFLLATAAHIGEAMYAWRLAKRVDPVNARGWFWQTFALGIFSLRFLLKRAKK >Manes.05G122500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:20920832:20922621:-1 gene:Manes.05G122500.v8.1 transcript:Manes.05G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHETSHLFVAIFIILFASSLANAARYNVLNYGAKPDGRTDSTKAFLAAWTQACGSVKPATVYVPAGRFFLRNVVFQGPCKNNAILFHIGGTLLAPSDYRVIGNAGNWLSFQYVNGVMVSGGVLDGQGPALWACKASGKDCPSGATSLGFSNSNNIAISGLTSLNSQMFHIVINGCNNVKVQGVTVSASGHSPNTDGIHVQLSSGVTILNSKIRTGDDCISIGAGTTNLWIEKVACGPGHGISIGSLGKDLKESGVQNVTVKSVTFTDTQNGLRIKSWGRPSSGFVRNVLFQHATMTNVQNPIVIDQNYCPDNKNCPGQESGIKISDVTYQDIHGTSATEMAVKFDCSKRNPCTRIKLEDVKLTYKNQPADASCKNADGTASGFVQPTSCL >Manes.01G203450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37599914:37600235:1 gene:Manes.01G203450.v8.1 transcript:Manes.01G203450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFTIQNFQLCRSFKLIRKSHSTRTNISYKNKELGFSSRILYNLFVQYAEKCR >Manes.12G062800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6101466:6106664:1 gene:Manes.12G062800.v8.1 transcript:Manes.12G062800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSLFLPKPTSLSNLKPPLPLCFPLKRFVSISKLQTQAQSRNFCALYGKRNGFSFSTAVATESATVSQNDTAQETQKEEKEKIVLPTNESSEKLLRIRHTCAHVMAMAVQKLFPEAKVTIGPWIEYGFYYDFDMEPLADKDLKRIKKEMDRIIARNLPLVREEVSRDEAQRRIMAVKEPYKMEILESIKEDPITIYHIGEEWWDLCAGPHVESTGLINKKAVELESVAGAYWRGDENRQMLQRIYGTAWENEEQLKAYLYFKEEAKRRDHRRLGQDLDLFSIQDEAGGGLVFWHPKGAIVRHIIEDSWKKIHVEHGYDLLYTPHVAKVDLWKISGHLDFYRENMYDQMKIEDENYQLRPMNCPYHILVYKRKLNSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILLQFGFSKYEVNLSTRPDKFVGDDDIWEKATSALKDALHDKGWIYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFNITYVDSNSEKRRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPIQVRVLPVTDTQLEYCKDLSNQLRRNGIRTEVCHGERLPKLIRNAEKQKIPLMAVVGPKEVETQSVTIRSRFSGELGTLKVDEFLDKIKFAIEKRTSL >Manes.10G034000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3394481:3397682:1 gene:Manes.10G034000.v8.1 transcript:Manes.10G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDIGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Manes.04G066760.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26395061:26395581:1 gene:Manes.04G066760.v8.1 transcript:Manes.04G066760.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFTFVVFSILFTFLLLSTSEQCGSQAGGALCPAVCLGRCRAGILWRGGGNLDGIYLEKALDKMLSQRPFAYGFRRSRYNVISFHFICKRIN >Manes.04G074500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:27690128:27692875:-1 gene:Manes.04G074500.v8.1 transcript:Manes.04G074500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFFFLFFSNFTLLLALSSDQTLKTFIFRVDFESKPTIFPTHYHWYTSEFADPIHILHVYDTVFHGFSASVTPDHASYLSKHPSVLYVFEDRRRQLHTTRSPQFLGLRNQHGLWSESDYGSDVIIGVFDTGIWPEHRSFSDVNLGPVPARWKGICQAGVKFSPRNCNKKLIGARFFMKGHEAVASAGPISGINETIEFKSPRDADGHGTHTASTAAGRHSFGASMSGYAPGIAKGVAPKARLAAYKVCWKNSGCFDSDILAAFDAAVNDGVDVISISIGGGDGISSPYYLDPIAIGSYGAVSRGVVVSSSAGNDGPNLMSVTNLAPWVVTVGAGTIDRNFPANVILGNGRRLSGVSLYSGVPLNGKMFPLVYPGKSGVLSTSLCMENSLDPNMVRGKIVICDRGSSPRVAKGLVVKKAGGLAMILANGISNGEGLVGDAHLLPACAVGSDEGDAVKAYISSTPNPTATIDFKGTVIGIKPAPVVASFSGRGPNGLNPEILKPDLIAPGVNILAGWTNAVGPSGLDSDTRRTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSAMMTTANTFNNLNRPMTDEATGKASTPYDFGAGHLNLDRAMDPGLVYDITNNDYVNFLCGIGYSAQAIQVITRSPVTCPAKRPLPGNLNYPSIAALFPSSFVGTSSKAFIRTVTNVGQPNAVYRPRIEAPKGTSVAVKPARLVFNQGVKKQSYIVTITADTRNLVLDDSGAAFGSISWSDGTHVVRSPIMVTQIEPL >Manes.05G031600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2686348:2690482:1 gene:Manes.05G031600.v8.1 transcript:Manes.05G031600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO2 MDSESASNTESNHMVRTGIKTTLWVVLGGYAVFWVMMPTNTYQQTWRSYLRVHIDSTYFGTQGPNLLVHFATILFIALLGCVYLHVVKRSNHSNFERKQLLATWKKPMLVKGPLGIVSGIELAFLIMFVALLVWSLSTYLHDSFSTITPQSAAGSGETVWEAKMENAALRLGLVGNICLTLLFFPVTRGSSILPLFGLTSEGSIKYHIWLGHTVMAFFTAHGVCYIIYWAATDQISEMLKWAKVGISNVAGEIALLAGLGLWATTFTRIRRKMFELFFYTHHLYILFMIFYVLHVPISFACITIPGFYLFLVDRFLRFLQSRQRVRAVSSRILPCETLEINFSKNPGLSFNPTSILFINVPSISKLQWHPFTITSNSNLEPEMLSVMIKSEGSWSRKLHQMLSSPSSIDRLEVSVEGPYGPASTHFLRHDTLVMVSGGSGITPFISIIRELIFASTTYKSKIPQVLLICSFKNSSDLTMLDLLLPISGTPSEISSLQLKIEAYVTREKEPSTSNGKLLRTLWFKPHPTDKPVSAILGPKSWLWLGAIISSSFIIFLIIIGLITRYYIYPIDHNTSSIFSYSFRSFLNMLVICICIAITASAAFLWNKKINGKQPNQIQNIEGSTPNTSPGSWVYDGGRELESLPHQSLVQATNVHYGERPDLKRTLLDCKGSSVGVLVCGPKQMRHEIAMICSSGLADNLHFESISFSW >Manes.05G031600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2686348:2690482:1 gene:Manes.05G031600.v8.1 transcript:Manes.05G031600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO2 MDSESASNTESNHMVRTGIKTTLWVVLGGYAVFWVMMPTNTYQQTWRSYLRVHIDSTYFGTQANMFPCISSLAFVSMTGFILRLWGLSAYACKLVSGPNLLVHFATILFIALLGCVYLHVVKRSNHSNFERYNGRKQLLATWKKPMLVKGPLGIVSGIELAFLIMFVALLVWSLSTYLHDSFSTITPQSAAGSGETVWEAKMENAALRLGLVGNICLTLLFFPVTRGSSILPLFGLTSEGSIKYHIWLGHTVMAFFTAHGVCYIIYWAATDQISEMLKWAKVGISNVAGEIALLAGLGLWATTFTRIRRKMFELFFYTHHLYILFMIFYVLHVPISFACITIPGFYLFLVDRFLRFLQSRQRVRAVSSRILPCETLEINFSKNPGLSFNPTSILFINVPSISKLQWHPFTITSNSNLEPEMLSVMIKSEGSWSRKLHQMLSSPSSIDRLEVSVEGPYGPASTHFLRHDTLVMVSGGSGITPFISIIRELIFASTTYKSKIPQVLLICSFKNSSDLTMLDLLLPISGTPSEISSLQLKIEAYVTREKEPSTSNGKLLRTLWFKPHPTDKPVSAILGPKSWLWLGAIISSSFIIFLIIIGLITRYYIYPIDHNTSSIFSYSFRSFLNMLVICICIAITASAAFLWNKKINGKQPNQIQNIEGSTPNTSPGSWVYDGGRELESLPHQSLVQATNVHYGERPDLKRTLLDCKGSSVGVLVCGPKQMRHEIAMICSSGLADNLHFESISFSW >Manes.05G031600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2686348:2690482:1 gene:Manes.05G031600.v8.1 transcript:Manes.05G031600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRO2 MDSESASNTESNHMVRTGIKTTLWVVLGGYAVFWVMMPTNTYQQTWRSYLRVHIDSTYFGTQGPNLLVHFATILFIALLGCVYLHVVKRSNHSNFESNGRKQLLATWKKPMLVKGPLGIVSGIELAFLIMFVALLVWSLSTYLHDSFSTITPQSAAGSGETVWEAKMENAALRLGLVGNICLTLLFFPVTRGSSILPLFGLTSEGSIKYHIWLGHTVMAFFTAHGVCYIIYWAATDQISEMLKWAKVGISNVAGEIALLAGLGLWATTFTRIRRKMFELFFYTHHLYILFMIFYVLHVPISFACITIPGFYLFLVDRFLRFLQSRQRVRAVSSRILPCETLEINFSKNPGLSFNPTSILFINVPSISKLQWHPFTITSNSNLEPEMLSVMIKSEGSWSRKLHQMLSSPSSIDRLEVSVEGPYGPASTHFLRHDTLVMVSGGSGITPFISIIRELIFASTTYKSKIPQVLLICSFKNSSDLTMLDLLLPISGTPSEISSLQLKIEAYVTREKEPSTSNGKLLRTLWFKPHPTDKPVSAILGPKSWLWLGAIISSSFIIFLIIIGLITRYYIYPIDHNTSSIFSYSFRSFLNMLVICICIAITASAAFLWNKKINGKQPNQIQNIEGSTPNTSPGSWVYDGGRELESLPHQSLVQATNVHYGERPDLKRTLLDCKGSSVGVLVCGPKQMRHEIAMICSSGLADNLHFESISFSW >Manes.04G009301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1193350:1198526:1 gene:Manes.04G009301.v8.1 transcript:Manes.04G009301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNLLEGTVPLGLGNLPFLEMYNIGSNEIVSSGDNGLGFITSLTNSTRLKFLGFGGNLLQGIIPESIGNLSKVLERLYMGGNHFYGTIPASIGYLSNLTLLNLSNNAITGEIPQEIGKLENLKVLGLAGNRISGRIPDSLGNLQELNNIDLSGNELVGGIPTAFGNLHSVLSLDLSNNKLNGTIPKEVLNLPSLTIIFNLSNNFLSGNLPQEIGSLGNIVTIDLSNNCLSGNIPSMIKNCKSLEELYIARNIFSGHIPGTLGEVKGLETLDLSYNHLAGFIPLDLQKLHALQSLNLACNNLEGAVPCECVFANLSRVQLEGNPKLYLNFGCENAQDHGRKPAKVCIFVIIGIMATLVGFFCIVSLLYMKRRRNTDASNLMEHHHHMGSYHNLREATENFKEENLIGNGSFGSVYKGCVNDGTVVAIKVLKIRKTGAWKSFLAECEALRNVRHQNLVKLITSCSSVDLRNKNFLALVYEFLGNGSLKDWVKGERKKENGEGLNLVERLNVAIDVASAMDYLHHDCEVPVVHCDLKHNNILLDEDLTAKVGDFGLARLLIEKVNDHQTSISSIHALKGSIGYIPPEYGLGVKPSTAGDAYSFGVILLELFTGKSPTDESLMVEENLVGWVQSAFPSKALQVLDPELLLLMFILFHDDFAINPEVQQNVQSQFLGLDYVALEALLTAESA >Manes.04G106000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31087516:31095613:1 gene:Manes.04G106000.v8.1 transcript:Manes.04G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADLYRASSSLRRGSFSTWRNNPSDVFTRSSREEDDEEALKWAALEKLPTYDRLRKGIFLSASKGAVNEIDVDNLGFQERKTLLERLVKVAEEDNEKFLLKLKNRIDRVGIEIPTIEVRFEHLNIEADAYVGSSALPSFINFSVHMLEGFLNYLHVLPSRKRPLTILKDVSGVIKPSRMTLLLGPPSSGKTTLLLALAGKLDPNLKFSGTVTYNGHGMDEFIPQRTAAYISQHDVHIGEMTVRETLAFSARCQGVGTQHELLAELSRREKDANIKPDPDIDVFMKAAATEGQETSVVTDYILKILGLEICADTLVGNEMIRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLKQSIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREQVLGFFEYMGFKCPERKGVADFLQEVTSRKDQKQYWARRDQPYSFVTVQEFAEAFQSYDVGRRIGDELSTPFDKTKSHPAALSTKKFGVGKMELLKACMSREYLLMKRNSFVYIFKLTQLTFMAIIMMTLFLRTEMHRDNIMDGGVYLGALFFTVIMVMFNGMAELSMTIAKLPVFYKQRELLFYPAWAYSIPTWILKIPVTFVEVAVWVFLTYYVVGFDPNVTRFFKHYFVLLLVNQMASALFRCIAATGRNVIVANTFGSFSLLTLFALGGFVLSRDEIKKWWIWGYWMSPLMYGQNAIVANEFLGKSWSHIPPNSTESLGVQVMKGRGFFPDAYWYWLGAGASAGFIIVFNICFALALTFLDPFEKPQAVITEDSQSNDPDDEDGGDILLTNNGSSHKSSTGAGEEIRQVNHNKKKGMVLPFEPHSVAFDNVVYSVDMPQEMKSQGVLEDKLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGFPKKQETFARISGYCEQNDIHSPHVTVYESLVYSAWLRLPPEVDSKTRKMFVDEVMELVELNPLRQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGRHSCHLIKYFEGIEGVSKITDGYNPATWMLDITSYAQELALNVDFAAIYKNSELYRRNKAMIMELSTPAPGSKDLYFPTQYSQSFLTQCIACIWKQRLSYWRNPPYTAVRFLFTTFIALMFGTMFWKIGSKLKKQQDLFNAAGAMYAAVLFLGVQNASSVQPVVAIERTVFYRERAAGMYSAMPYAYAQVLVELPYVFAQAIFYGTITYAMMGFEWTIAKFFWYLFFMYFTLLYFTLYGMMTVAVTPNHHIAAIVSSAFYGMWNIFAGFILPRTRMPVWWRWYFWICPVAWTLYGLIASQFGDVKDVLANGQTVEDFIREYYGYKHDFVGVTACVIVGIVVLFAFIFGISIRSFNFQRR >Manes.15G076600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5772618:5784557:-1 gene:Manes.15G076600.v8.1 transcript:Manes.15G076600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIIARALEYTLKYWLKSFSRDQLKLQGRVVQLSNLDINGDALHASMGLPPALNVTKAKVGKFEIILPYVSNVQVEPIVIQIDRLDLVLEENRDVDTCSVSNSTQSSTGSNKASGYGFADKIADGMTIQVSTVNLLLETRGGARHEGAAAWASPLASITIRNLLLYSTNENWQVVNLKEARDFSNNKGFIYVFKKIEWESLSFDLLPHPDMFADASLARSQGGSTHREDDGAKRVFFGGERFLEGISGEAHITVQRTEQNNPLGLEVQLHITEAVCPALSEPGLRALLRFMTGLYVCLNRGDVDLKAQQRSTEAAGCSLVSILVDHIFFCIKDAEFQLELLMQSLLFSRATVSDGEVANNLTKVMIGGLFLRDTFSHPPCTLVQPSMEAVTENDVQIPAFAKNFCPPIYPLGDQQWQLSIGTPLICLHSLQVKPSPVPPSFASETVIACQPLMIHLQEESCLRISSFLADGIVVSRGDVLPDFSVNSLMLILKELDVTVPLDISKLDNPTANKNSIAQNSFTGARLQIKNLFFSESPSLKLRLLKLEKDPACFCLWPGQPIDASQKKWTVGASHLGLSLETSLRSTEKLNSHGLPSGLWTCVELKDASIEVAMVTADGSPLTNVPPPGGVVRVGVACQHYLSNTSVEQLFFVLDLYAYLGIVGEKIASVGKNRRPKSSGNESSSGRLIDKVPCDTAVSLAVNLLQLRFLESSTINVEGMPLVQFIGDDLFIKVAHRTLGGAIAVSSTLHWQTVQVDCIETEGSLVRENGTILTSIANGLSTNGCPQLRAVFWVHNQKHQQNGIAQAIPFLDINIVHVIPFSERDKECHSLSVSACISGIRLGGGMNYAETLLHRFGMLGPDGGPGEGLSKGLKNLSTGPLSKLFKPSPLAVDLGEDKSSGNGKDGGFLHLEMPDDVDVCIELKDWLFALEGAQEIAERWWFSNHGDVGREERCWHTTFQSLLVKAKNIPSYELNGKGKSHGRPKYPVELVTVGVEGLQILKPLGQKGISVSENGMKQVETGGGINLEAQMVMSGGDIDDEMSTWVVENLKFSVKQPIEAVVTKDELQHLALLCKSEVDAMGRIAAGIIKLLKLERSIGQATIYQLSNLGSESFDQIFSPKRVSCTTPPSPQTISDISVTALESTVCSLEEAVLESQAKCSTLINDISASESSVQYLAEIKQLSQKLERMQNLMKQLKTQI >Manes.15G076600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5772618:5784557:-1 gene:Manes.15G076600.v8.1 transcript:Manes.15G076600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSLFFAVLAFKCSLISVVVCLFFSQLPYVSNVQVEPIVIQIDRLDLVLEENRDVDTCSVSNSTQSSTGSNKASGYGFADKIADGMTIQVSTVNLLLETRGGARHEGAAAWASPLASITIRNLLLYSTNENWQVVNLKEARDFSNNKGFIYVFKKIEWESLSFDLLPHPDMFADASLARSQGGSTHREDDGAKRVFFGGERFLEGISGEAHITVQRTEQNNPLGLEVQLHITEAVCPALSEPGLRALLRFMTGLYVCLNRGDVDLKAQQRSTEAAGCSLVSILVDHIFFCIKDAEFQLELLMQSLLFSRATVSDGEVANNLTKVMIGGLFLRDTFSHPPCTLVQPSMEAVTENDVQIPAFAKNFCPPIYPLGDQQWQLSIGTPLICLHSLQVKPSPVPPSFASETVIACQPLMIHLQEESCLRISSFLADGIVVSRGDVLPDFSVNSLMLILKELDVTVPLDISKLDNPTANKNSIAQNSFTGARLQIKNLFFSESPSLKLRLLKLEKDPACFCLWPGQPIDASQKKWTVGASHLGLSLETSLRSTEKLNSHGLPSGLWTCVELKDASIEVAMVTADGSPLTNVPPPGGVVRVGVACQHYLSNTSVEQLFFVLDLYAYLGIVGEKIASVGKNRRPKSSGNESSSGRLIDKVPCDTAVSLAVNLLQLRFLESSTINVEGMPLVQFIGDDLFIKVAHRTLGGAIAVSSTLHWQTVQVDCIETEGSLVRENGTILTSIANGLSTNGCPQLRAVFWVHNQKHQQNGIAQAIPFLDINIVHVIPFSERDKECHSLSVSACISGIRLGGGMNYAETLLHRFGMLGPDGGPGEGLSKGLKNLSTGPLSKLFKPSPLAVDLGEDKSSGNGKDGGFLHLEMPDDVDVCIELKDWLFALEGAQEIAERWWFSNHGDVGREERCWHTTFQSLLVKAKNIPSYELNGKGKSHGRPKYPVELVTVGVEGLQILKPLGQKGISVSENGMKQVETGGGINLEAQMVMSGGDIDDEMSTWVVENLKFSVKQPIEAVVTKDELQHLALLCKSEVDAMGRIAAGIIKLLKLERSIGQATIYQLSNLGSESFDQIFSPKRVSCTTPPSPQTISDISVTALESTVCSLEEAVLESQAKCSTLINDISASESSVQYLAEIKQLSQKLERMQNLMKQLKTQI >Manes.07G141701.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34238067:34245269:1 gene:Manes.07G141701.v8.1 transcript:Manes.07G141701.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNREASDQFQFGEGLSQVTSLPVTIDLAAAQAERRGRTSLSVEAGSRPLDLNTEACVADNSASNASPGNTETCKKVSLLKQHDREHDSKFVTSGGSGLDLNAEDVTSPMNAELFRNPKIHDHLKSRRDASECGSTTSPVKGKDSLRVWKEMKQNGFLSSSHGGISIQRGVTSFSHGGIPMPKQRGRKSKNDILKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNKKQVHSIIEALVKSEKLENGCVETKETRNVGDSGVHLLSFSRGNGGSTILSGNKQIGGCHILTGESDSSMVGTICGRNSVIEDDTLALKLSTSSKLSEESRTFSNEESTNVNSISSLSVRAASVASQWLELLHQDIKGRLSALRRSKKRVRAVITTELPFLISKEFPSNQENDPFIMKTSSDGQSSNAMSSLHQARWSTLFDQMDKALIEEEKQLESWLNQVKEMQLHCDQGLQNFQWNSISVSQLQETSENYNR >Manes.07G141701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34238348:34245269:1 gene:Manes.07G141701.v8.1 transcript:Manes.07G141701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGDLRLPGTDHTAIGEKRSSGGLGEKQESLRERIKVRKLDSVLRTEETSTHPMKNREASDQFQFGEGLSQVTSLPVTIDLAAAQAERRGRTSLSVEAGSRPLDLNTEACVADNSASNASPGNTETCKKVSLLKQHDREHDSKFVTSGGSGLDLNAEDVTSPMNAELFRNPKIHDHLKSRRDASECGSTTSPVKGKDSLRVWKEMKQNGFLSSSHGGISIQRGVTSFSHGGIPMPKQRGRKSKNDILKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNKKQVHSIIEALVKSEKLENGCVETKETRNVGDSGVHLLSFSRGNGGSTILSGNKQIGGCHILTGESDSSMVGTICGRNSVIEDDTLALKLSTSSKLSEESRTFSNEESTNVNSISSLSVRAASVASQWLELLHQDIKGRLSALRRSKKRVRAVITTELPFLISKEFPSNQENDPFIMKTSSDGQSSNAMSSLHQARWSTLFDQMDKALIEEEKQLESWLNQVKEMQLHCDQGLQNFQWNSISVSQLQETSENYNRKVETFEREIAVRAAAASIYSTCSFLMSKENVPSSGRITESDC >Manes.07G141701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34238348:34245269:1 gene:Manes.07G141701.v8.1 transcript:Manes.07G141701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGDLRLPGTDHTAIGEKRSSGGLGEKQESLRERIKVRKLDSVLRTEETSTHPMKNREASDQFQFGEGLSQVTSLPVTIDLAAAQAERRGRTSLSVEAGSRPLDLNTEACVADNSASNASPGNTETCKKVSLLKQHDREHDSKFVTSGGSGLDLNAEDVTSPMNAELFRNPKIHDHLKSRRDASECGSTTSPVKGKDSLRVWKEMKQNGFLSSSHGGISIQRGVTSFSHGGIPMPKQRGRKSKNDILKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNKKQVHSIIEALVKSEKLENGCVETKETRNVGDSGVHLLSFSRGNGGSTILSGNKQIGGCHILTGESDSSMVGTICGRNSVIEDDTLALKLSTSSKLSEESRTFSNEESTNVNSISSLSVRAASVASQWLELLHQDIKGRLSALRRSKKRVRAVITTELPFLISKEFPSNQENDPFIMKTSSDGQSSNAMSSLHQARWSTLFDQMDKALIEEEKQLESWLNQVKEMQLHCDQGLQNFQWNSISVSQLQETSENYNRKVETFEREIAVRAAAASIYSTCSFLMSKENVSCF >Manes.07G141701.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34238067:34245269:1 gene:Manes.07G141701.v8.1 transcript:Manes.07G141701.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQGDLRLPGTDHTAIGEKRSSGGLGEKQESLRERIKVRKLDSVLRTEETSTHPMKNREASDQFQFGEGLSQVTSLPVTIDLAAAQAERRGRTSLSVEAGSRPLDLNTEACVADNSASNASPGNTETCKKVSLLKQHDREHDSKFVTSGGSGLDLNAEDVTSPMNAELFRNPKIHDHLKSRRDASECGSTTSPVKGKDSLRVWKEMKQNGFLSSSHGGISIQRGVTSFSHGGIPMPKQRGRKSKNDILKKKMELAKKEQVDRFTKIAAPSGLLNGLNPGIINHVRNKKQVHSIIEALVKSEKLENGCVETKETRNVGDSGVHLLSFSRGNGGSTILSGNKQIGGCHILTGESDSSMVGTICGRNSVIEDDTLALKLSTSSKLSEESRTFSNEESTNVNSISSLSVRAASVASQWLELLHQDIKGRLSALRRSKKRVRAVITTELPFLISKEFPSNQENDPFIMKTSSDGQSSNAMSSLHQARWSTLFDQMDKALIEEEKQLESWLNQVKEMQLHCDQGLQNFQWNSISVSQLQETSENYNR >Manes.02G160700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12566905:12569131:-1 gene:Manes.02G160700.v8.1 transcript:Manes.02G160700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERVQDIACLSKDTIPEVFIRSENEQPIITTVHGVNLEVPVIDMSELDEQKLTHLIVDASQKWGMFQIINHGIPDEIMNQFKSVGKEFFELPQEEKEVYAKTPGTNSVEGYGTSLQKEIQGKKGWVDHLFHKIWPPSVINYKFWPKNPPSYRKANEEYAKSLHGVVDKLFKSLSVGLGLEETELKEAAGGNELVYLLKINYYPPCPRPDLALGVVAHTDMSCITILVPNDVQGLQASRDGHWYDVKYIPNALVIHIGDQIEILSNGKYKAVLHRTTVNKDKTRMSWPVFLEPPTEFTVGPHPKLVDEENPAKYKTKKYGDYCYCKLNKVPQ >Manes.06G093220.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22822111:22824067:1 gene:Manes.06G093220.v8.1 transcript:Manes.06G093220.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRKKNPRDSSLEPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLSSRS >Manes.06G093220.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22821842:22824407:1 gene:Manes.06G093220.v8.1 transcript:Manes.06G093220.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRKKNPRDSSLEPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLSSRS >Manes.06G093220.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22821842:22824407:1 gene:Manes.06G093220.v8.1 transcript:Manes.06G093220.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRKKNPRDSSLEPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLSSRS >Manes.01G169300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35173724:35181323:-1 gene:Manes.01G169300.v8.1 transcript:Manes.01G169300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELEHLVDMRKVKMDVIRPWIANRVTELLGFEDEVLINFIYGLLDAKEVNGKEVQISLTGFMEKNTGKFMKELWTLLLSAQKTDSGVPQQFLDAKEEETRKKQAEVDRITNEIQKKKEKEGRELEWERSKKMDGGPETKAIVAAVEQVSKHMAKGSSADAEDVKETDNRNGVRGRNGSSRSPHSVDRSSSSPRGSPSRNSSDRHKTRSLSRSPETQRRSVSSDRVYHSPGKRSITPRRRFSSRGSLSPSRRRYSRPRSRSSSRHMSPSPVRRRLHSPFRRRSPSPIRRRSPSLSRRRSPSPIRRRRSPSPIRRRRSPSPIQRRRSPSPIRRRRSPSPLRRRRSPLPLRRRSPSPVWRRSPSPMQRRSPSLIRRRSPPSRRKSPSPFRRRYQRSPSTPHRRSPSPRRRSPLPSRRRSPLPSRQRSPSPYGSSSPSPVRYKSPSPVRKSSKEHRRSLVQSPGERVGVREKPSPVLRRSFNSLRSPQTDPKDGKDSRVKLASLSPSPERSPVRLESPPLSRTRNSSEDRRLTSPYESPVRQRKGTRNRSTSPYESPVRRRKGIRNRSSSPYESPVRQRKGIKNRSSSPSASPARQRRGHITHDDSLSPPRMPRQQKTHQESPRCRNEDENTDHARDFKSRSSQKQSTHSSIISKQKESPVKVHSKEHSPQRVAGHRVTESRSRLDIVESRKKEQEINSEKGSQKGAYAEIPEQQKSPTSFEEPLLGERQLPYAGEGRKSDERNHSHSNKVKDSEKNRAHSNKVKDSDRHHKSETMPMLVEKADHSNGGGGAFDSGSEESNKRTTDDKEKRRHKRSHREEVASDDDYSYDSEYEERKEAKRRRKEEKKLRKEEKRRRREERRRRREERRAEKLKLKDLKDNNSSDDEFGGRMDPSDSEDAHSEQKKLEIELRKKALESLKAKKGISH >Manes.05G052500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4358124:4363761:1 gene:Manes.05G052500.v8.1 transcript:Manes.05G052500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSRCNGLLKCQNGLSSLLERKPIERVSDVGLAAFSSSMATQKTSAGNSIKRLPVAFKSVCGRQPTADANYRSMEMLHAYGNNFLCGGHLDKDCMVGLGSQFQLMTICGASTVKACHARSFASKAQQTDKKTSETRKEVSTVEDPFDAPTYNIPEKPVTFAEGASYSIIILAGLGVAAAAGYAVFKELIFEPKEYKIFNKALKRIQDDAQVRVRIGSPITGYGQESRNRAARQRIPNKIYTDEDGVEHVLVNFYIRGPHGAGKVSAEMFKDKVDKQWKYTYLIVQIMQPSQSQLILESYMPAPAPVPS >Manes.05G052500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4357560:4363853:1 gene:Manes.05G052500.v8.1 transcript:Manes.05G052500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFRRSTMSLRSRCNGLLKCQNGLSSLLERKPIERVSDVGLAAFSSSMATQKTSAGNSIKRLPVAFKSVCGRQPTADANYRSMEMLHAYGNNFLCGGHLDKDCMVGLGSQFQLMTICGASTVKACHARSFASKAQQTDKKTSETRKEVSTVEDPFDAPTYNIPEKPVTFAEGASYSIIILAGLGVAAAAGYAVFKELIFEPKEYKIFNKALKRIQDDAQVRVRIGSPITGYGQESRNRAARQRIPNKIYTDEDGVEHVLVNFYIRGPHGAGKVSAEMFKDKVDKQWKYTYLIVQIMQPSQSQLILESYMPAPAPVPS >Manes.05G086408.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7740849:7742165:1 gene:Manes.05G086408.v8.1 transcript:Manes.05G086408.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVICPRGKWTWPELVGKDGNVAAAIIEKENENVNAIVIKQSESVHPVRPIFYCGRVRVFVDENGKVISPPTIG >Manes.03G032600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2746944:2749323:1 gene:Manes.03G032600.v8.1 transcript:Manes.03G032600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRFLNHIALAFPTSTFLALFTKANHHISLLSELNLTLTANLSSSSAMGVANNITAVLNFVAFLCSIPIIASGIWLASKPDNECIHYFRWPVVLLGILVLLVSLAGFVGAYWYKETLLAFYLCCMAILIGLLLILLVFAFVVTRQDGGYVVPGRGYKEYRLEGFSSWLRDHVLDSKNWYKIRNCLADSDVCSKLTQNYITADQFFMSHISPLQSGCCKPPTVCGYSYVNPTLWLNPANPTSDPDCYLWNNDQSQLCYNCNSCKAGLLGNLRKEWRKANVILIVAVVVLIWVYVIACSAFKNAQTEDLFRRYKQGWA >Manes.12G120200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32475942:32477403:1 gene:Manes.12G120200.v8.1 transcript:Manes.12G120200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASQNQIPVIDLSTISPHCARGIQGWHHLCLRVREACETFGCFEVVYDQISMHLRTQTFSMISQVFQLPSEIKKKNFNPKPYHGYAGQLPVVPLYESLGIEDASNYDSLKGFTQHLWPHGHQQFCQTIDSMMKPVEELHQIIQVMILDSYGLGGNSNSIMECEILLRMMRYKAPSTEEYMNGLSAHTDKLMCTLLVEEEVSGLEIETRDGQWIKLSLSPSSLVFIVGDPLMAWSNGRLHAVNHRVMMKGDKDRYSFGAFAVPKLGTTIKPPEELVDERNPQIFKEFDFWEFLMFSFSKEGLAMESAKQIFAYAGN >Manes.06G173000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29665639:29677090:-1 gene:Manes.06G173000.v8.1 transcript:Manes.06G173000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDKEEFLKEFGHDYGYPNGPKSIDEIRATEFKRLDQSGLVYLDHAGATLYSELQLEAIFKDLNSRVYGNPHSQSEASSATLEIVREARQQVLDYCNASPKDYKCIFTSGATAALKLIGEAFPWNWGSNFMYTMENHNSVLGIREYALSQGAAAFAVDVESPVNHGGVKVSLHPVQRRNEAEFLEGEPMGNSYNLFAFPSECNFSGFRFSLELVKLIKDNSERIMEGSQFHKGRWLVLIDAAKGCATQPPDLSKYPADFVVISFYKLFGYPTGLGALLVRNDAAKILKQNYFSGGTVAASFADMDFVKRRESVEELFEDGTISFLSIASICHGFKILNSLTAPGICRHTASLTMHVEKMLLDLRHENGAKVCTIYKSRASKVLCHESGSILSFNLKRPDGSWFGYREVEKLASLTGIQLRTGCFCNPGACAKYLGLSHSDLLSNLEAGHVCWDDNDIIKGKPTGAVRVSFGYMSTYEDAKKFIDFITRSFVSTPYKSGNGNLLRPRSIPFSSEGRGKGYSSVSYLKSITIYPIKSCGGFSVESWPLTSTGLRHDREWLIKSLTGEILTQKKVPEMCFISTSIDLNRGLMFVESPRCRVKLQINLRIDSYTDTKEEIELHAKRFEVQHCANDVNLWFSNAVGRPCTLLRYSSSKDTCKNRAMNMCRDVQSRLSFANEAQFLLISEESVSDLNNRLSLNVRKGTHETPLQVNPMRFRPNLVISGGEPYAEDGWRSLKIGSKSFTSLGGCNRCQMINIVHGSGQVQRSNEPLATLATYRRVKGKILFGILLRCENTDKPGLQTDSWLQVGQEVNPYSE >Manes.06G173000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29666583:29677063:-1 gene:Manes.06G173000.v8.1 transcript:Manes.06G173000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDKEEFLKEFGHDYGYPNGPKSIDEIRATEFKRLDQSGLVYLDHAGATLYSELQLEAIFKDLNSRVYGNPHSQSEASSATLEIVREARQQVLDYCNASPKDYKCIFTSGATAALKLIGEAFPWNWGSNFMYTMENHNSVLGIREYALSQGAAAFAVDVESPVNHGGVKVSLHPVQRRNEAEFLEGEPMGNSYNLFAFPSECNFSGFRFSLELVKLIKDNSERIMEGSQFHKGRWLVLIDAAKGCATQPPDLSKYPADFVVISFYKLFGYPTGLGALLVRNDAAKILKQNYFSGGTVAASFADMDFVKRRESVEELFEDGTISFLSIASICHGFKILNSLTAPGICRHTASLTMHVEKMLLDLRHENGAKVCTIYKSRASKVLCHESGSILSFNLKRPDGSWFGYREVEKLASLTGIQLRTGCFCNPGACAKYLGLSHSDLLSNLEAGHVCWDDNDIIKGKPTGAVRVSFGYMSTYEDAKKFIDFITRSFVSTPYKSGNGNLLRPRSIPFSSEGRGKGYSSVSYLKSITIYPIKSCGGFSVESWPLTSTGLRHDREWLIKSLTGEILTQKKVPEMCFISTSIDLNRGLMFVESPRCRVKLQINLRIDSYTDTKEEIELHAKSRFEVQHCANDVNLWFSNAVGRPCTLLRYSSSKDTCKNRAMNMCRDVQSRLSFANEAQFLLISEESVSDLNNRLSLNVRKGTHETPLQVNPMRFRPNLVISGGEPYAEDGWRSLKIGSKSFTSLGGCNRCQMINIVHGSGQVQRSNEPLATLATYRRVKGKILFGILLRCENTDKPGLQTDSWLQVGQEVNPYSE >Manes.06G173000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29666446:29677086:-1 gene:Manes.06G173000.v8.1 transcript:Manes.06G173000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDKEEFLKEFGHDYGYPNGPKSIDEIRATEFKRLDQSGLVYLDHAGATLYSELQLEAIFKDLNSRVYGNPHSQSEASSATLEIVREARQQVLDYCNASPKDYKCIFTSGATAALKLIGEAFPWNWGSNFMYTMENHNSVLGIREYALSQGAAAFAVDVESPVNHGGVKVSLHPVQRRNEAEFLEGEPMGNSYNLFAFPSECNFSGFRFSLELVKLIKDNSERIMEGSQFHKGRWLVLIDAAKGCATQPPDLSKYPADFVVISFYKLFGYPTGLGALLVRNDAAKILKQNYFSGGTVAASFADMDFVKRRESVEELFEDGTISFLSIASICHGFKILNSLTAPGICRHTASLTMHVEKMLLDLRHENGAKVCTIYKSRASKVLCHESGSILSFNLKRPDGSWFGYREVEKLASLTGIQLRTGCFCNPGACAKYLGLSHSDLLSNLEAGHVCWDDNDIIKGKPTGAVRVSFGYMSTYEDAKKFIDFITRSFVSTPYKSGNGNLLRPRSIPFSSEGRGKGYSSVSYLKSITIYPIKSCGGFSVESWPLTSTGLRHDREWLIKSLTGEILTQKKVPEMCFISTSIDLNRGLMFVESPRCRVKLQINLRIDSYTDTKEEIELHAKRFEVQHCANDVNLWFSNAVGRPCTLLRYSSSKDTCKNRAMNMCRDVQSRLSFANEAQFLLISEESVSDLNNRLSLNVRKGTHETPLQVNPMRFRPNLVISGGEPYAEDGWRSLKIGSKSFTSLGGCNRCQMINIVHGSGQVQRSNEPLATLATYRRVKGKILFGILLRCENTDKPGLQTDSWLQVGQEVNPYSE >Manes.08G043500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4302320:4305080:1 gene:Manes.08G043500.v8.1 transcript:Manes.08G043500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVSFTQSSLSPALPLSDPHFSRSPVVQLQLNTNPLQILPQQDHTPSFLDLQSFDQPIGGGTNTHVCSDGSEAQEFKKEVKDFQKDERGGEGERSNDSRWGSFLSAETEVMVHQLPNSSHQVGRWCEGEKAFPLKKRRGNFERSKEETTVAKVKKMKTKMNKKCLQQTGNQEVEEEGKETKEGNADTTPNNIGKKKVRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSKKAISLGSSSLSSEDKESKNLFPEGDDDEKKPPLMVSKKKMKLGIVKARSISSLLGQANNAIAVAENNK >Manes.08G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4302320:4305080:1 gene:Manes.08G043500.v8.1 transcript:Manes.08G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVSFTQSSLSPALPLSDPHFSRSPVVQLQLNTNPLQILPQQDHTPSFLDLQSFDQPIGGGTNTHVCSDGSEAQEFKKEVKDFQKDERGGEGERSNDSRWGSFLSAETEVMVHQLPNSSHQVVGRWCEGEKAFPLKKRRGNFERSKEETTVAKVKKMKTKMNKKCLQQTGNQEVEEEGKETKEGNADTTPNNIGKKKVRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSKKAISLGSSSLSSEDKESKNLFPEGDDDEKKPPLMVSKKKMKLGIVKARSISSLLGQANNAIAVAENNK >Manes.13G132500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34161572:34164455:1 gene:Manes.13G132500.v8.1 transcript:Manes.13G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEYLKMSTDQVKADLIRSDLNELKVAAKRLINDAALLGGLGFGTSFLKWVASFAAIYLLILDRTNWRTNILTSLLVPYIFFSLPAAIFNLLRGEVGKWIAFIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPNFFADTLKDSWVGVLICLLIGCYLLQEHIRASGGFRNSFTQSHGISNTLGIILLLVYPVWALVLHFI >Manes.09G138300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33797054:33798090:-1 gene:Manes.09G138300.v8.1 transcript:Manes.09G138300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNFSITAFCILLNLKALTRRGEHCCLNHGFTCNREHHFLFYVCPGLEFLGLSINWDFIF >Manes.09G138300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33797054:33798090:-1 gene:Manes.09G138300.v8.1 transcript:Manes.09G138300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNFSITAFCILLNLKALTRRGEHCCLNHGFTCNREHHFLFYVCPGLEFLGLSINWDFIF >Manes.09G138300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33797054:33798090:-1 gene:Manes.09G138300.v8.1 transcript:Manes.09G138300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNFSITAFCILLNLKALTRGEHCCLNHGFTCNREHHFLFYVCPGLEFLGLSINWDFIF >Manes.09G138300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33797054:33798090:-1 gene:Manes.09G138300.v8.1 transcript:Manes.09G138300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNFSITAFCILLNLKALTRGEHCCLNHGFTCNREHHFLFYVCPGLEFLGLSINWDFIF >Manes.15G090100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7031160:7049187:1 gene:Manes.15G090100.v8.1 transcript:Manes.15G090100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSASDSSTKRRDFSPRGTRLRKKHKRLDAICENVYNQNHSESNEQRGGSAQDANSELRRSSRVRRAPVLLDASPPPVKKRRKIAKNVNLGANRNGGSISLGSVNKDESMRLEDLDTPGSWKSRLRSRARNVKTRDRGETSGRRKLFDETETVENELGENKRYLNGEKLMVVRSKRPGRVKAVNGLGNVMRQHGTCGFEDVTGKDEDKEYLRSDESEKDEIQAADNEAKEGMPVLGSSTDSENDEQVGKSDADEGMEVSVAAVGDGNEVEVVDGGSTLLGSGDQMQKEILHDLELKTTLDGNDNVECLEQSDKVEELENGTDLENGRDTVEVDGALVNEVEDPDKEGHAEVNEIPLEVEKDVKADKLKHDFHTLDRPRIKQGRRCGLCGCGNDGMPPKRLVQDAGESENERYSGSSASEEPNYDAWDGFGDEPGWLGRILGPINDRFGIAGIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRHLFQPHGTQYLMRIKKLKARKMRLEMRKLSNDAWRKDVDAEEKWLENCGEDEEFLKRESKRLHRDLLRIAPVYIGGSESESGKLFEGWESVAGLKDVIQCMKEVVILPLLYPEFFSNLGITPPRGVLLHGHPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRTRQQDQTHSSVVSTLLALLDGLKSRGSVVVIGATNRPEAVDPALRRPGRFDREIYFPLPSVEDRAAILSLHTQRWPKPVTGSLLQWVARRTVGFAGADLQALCTQAAIIALKRSFPLQEILSAAGEKAPGAKRDPLPAFAVEERDWLEALACAPPPCSRREAGIAANDLASSPLPIHLISCLLQPLSQLLVSLYLDERLWLPPPLSEAALIVKSVIISSLQVKNLPTNQWWSHVEYLLEEAEVAMELQKRLSSAGILSGEATSSGIDALVDEKDDDRVMLEPSVVHNKGMSIGLSRSLSFASVKKSGYRVLFAGSPRSGQRQLASCILHCFLGNAEVQKVDLATISQEGHGDVVQGITRILMKCASLKSLVIFMPRIDLWAVEACQQVTEGSDPSSTDQLSEKTESHSASIQVVGKENEPITQQCSESEMSQPQDATLSTSHAWSLFVEQVESIRVSTSVIILATSEIPYLVLPHEIREFFRSDISNRSQLTPLEHTVPRFLVHIGGNFNRDVVISLSMAKLLRDMIQLFVLLVHQGVHIHSSSSREHKFCDSIHGSRDTKYHNSFHGSAGENECREEFLCDDLKIPQPPSNRSLKGKSSLLLAISTFGHQILRYPHFAELCWVTSKLKEGPCADVSGPWKDWPFNSCIIRPGNIDSVAVASGNVKSKERSCLVRGLVAIGLSAYRGVYKSLREVAFEVRKVLELLVGQVNEKIQAGKDKYQYVRLLSQVAYLEDMVNNWAHSLQSLELDTQITMANAQQSTLEFPGNHTREDNLVQIEECREILPNKSSHESECFGGNHKEFVNKGDNGFCHSSSEVMDVLSEDVFAQRNVFRDHANSDKQIQSSTSDNQSVGNVIDEQNETALGQCNAENTEALLVLTASDNGSSKHPNGLLVTKAVLPSENGLFNSDEACDDKLSGPLVSSDQFNGLAVVEGQIRSGDAQPDFREHIGNVDSSPGKNTCHSADSGVVCSYRCCSGCLLTLHGLIQKILVREWELNNNYWVVEDVHDNISSLSVDLLSAVRKVYVAENIKNSSDENLRYTNSGRLSECPELRNCHCKSSGSSLALARDCSCHPLGGCLTAKGNNSPNLQSEIELEFIFRDGILVPVDSNKDVSFHCKYETLCLCSLIESVVLLKQPFD >Manes.06G085200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22028406:22035260:-1 gene:Manes.06G085200.v8.1 transcript:Manes.06G085200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQLEKPCDICGDLGYSELIITCTNCGIARQHVYCMRVCRHEVPEVWICEDCIPEEGTFGRNENFKDSCITVRHDVAIGGGSAKVYGDSGWHRARHCKRLKPVETGKVKFLSTEEVIKLSSGAAKTAFSSKANLGYRPNSSSAVSPVKLKPNPRIISSGLMKPPGFGRIQVDSSTSQQAPIISKEKKPIANPVKEHDCKAASSSLKEKFCLEQKMTSIRPDEEVKTSKANLLAKVVKNCYSNGAVKEVNTCNANAQEDANKQLGTCSTSTKYPPIMGSDSCPVAEFKTTDELRSPSTKILLPNLRSYFPSLCATWKGGFKFFDTTAPGKYYGGFQAQPPCIVNRKAYDFSQTMPIVLQVELLPQHRVWEDLFQNDYPDFRDIALYFLPSAIIQRSKDNCASLFKLMEIRNSVMRSCINDVELIIFTSNLLHGDLQSVIERSSAEHFLLGVFRHVKSDTIPLQHHFASKECSETVDMEIDMEGGQALGRVDVVVAKEPHHLQSSAVKLSDAADKAGDNSPGFRRHVEP >Manes.02G180500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14322892:14326861:1 gene:Manes.02G180500.v8.1 transcript:Manes.02G180500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLSASTVPPLKTPPSQLSANLTSLSSLQFPVQLHRLQFGNRGISFLSRSRILPLVAAKKQTFSSFDELLENADKPVLVDFYATWCGPCQLMAPILDQVSATLKDTIQVVKIDTEKYPSIADKYRIEALPTFIIFKDGKPLERFEGAFSKDRFIERIENSLQVKQ >Manes.16G079200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28388358:28392723:1 gene:Manes.16G079200.v8.1 transcript:Manes.16G079200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPLRERGEAQRERKRKMVGSSDFVSVDMETLSLGGKEFPIKTSLGCISVSVYGDQDKPALITYPDLALNHISCFQGLFFCPEACSLLLHNFCIYHISPPGHEMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQLLDERQSLNVSRFLEALNGRPDISEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPRAMLIPMEYFLMGYGMYKPPKLSVSPRSPLSPLCISAELLSPESMGLKLKPIKTRIHVDV >Manes.16G079200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28388359:28392723:1 gene:Manes.16G079200.v8.1 transcript:Manes.16G079200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQEFPIKTSLGCISVSVYGDQDKPALITYPDLALNHISCFQGLFFCPEACSLLLHNFCIYHISPPGHELGAATSSPDDPVLTVDDLADQIAEILNYFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQLLDERQSLNVSRFLEALNGRPDISEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPRAMLIPMEYFLMGYGMYKPPKLSVSPRSPLSPLCISAELLSPESMGLKLKPIKTRIHVDV >Manes.16G079200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28388359:28392723:1 gene:Manes.16G079200.v8.1 transcript:Manes.16G079200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPLRERGEAQRERKRKMVGSSDFVSVDMETLSLGGKEFPIKTSLGCISVSVYGDQDKPALITYPDLALNHISCFQGLFFCPEACSLLLHNFCIYHISPPGHELGAATSSPDDPVLTVDDLADQIAEILNYFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQLLDERQSLNVSRFLEALNGRPDISEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPRAMLIPMEYFLMGYGMYKPPKLSVSPRSPLSPLCISAELLSPESMGLKLKPIKTRIHVDV >Manes.16G079200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:28388359:28392723:1 gene:Manes.16G079200.v8.1 transcript:Manes.16G079200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQEFPIKTSLGCISVSVYGDQDKPALITYPDLALNHISCFQGLFFCPEACSLLLHNFCIYHISPPGHELGAATSSPDDPVLTVDDLADQIAEILNYFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCQAPSWTEWLCNKVICNLLYYYGMCGVVKEFLLKRYFSKEARGSAQVPESDIVQLLDERQSLNVSRFLEALNGRPDISEGLRKLHCRSLIFVGENSPFHSEALHMTSKLDRRYSALVEVCNLISKICLPEVLKQWTKFCLLEGTGTYRFRHVDPW >Manes.03G202700.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31854105:31856154:1 gene:Manes.03G202700.v8.1 transcript:Manes.03G202700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKSQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKQVILGERKDLKRTMPAVGGTAQCQKCFQTGHWTFECKNERVYMTRPSRTQQLKNPKLRMKLSNSHDLDHPDAKEEKGKSSKKSKRKHRSDSDAGSDSEASVFESDSGSSSVTETESSSEEESSDYSSSSDSEEERRRRRKKKQKKRERRRRYSSTSESSDSDSDSGSDSDNRSSRRKRRHSRKR >Manes.03G202700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31854421:31855695:1 gene:Manes.03G202700.v8.1 transcript:Manes.03G202700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKSQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKQVILGERKDLKRTMPAVGGTAQCQKCFQTGHWTFECKNERVYMTRPSRTQQLKNPKLRMKLSNSHDLDHPDAKEEKGKSSKKSKRKHRSDSDAGSDSEASVFESDSGSSSVTETESSSEEESSDYSSSSDSEEERRRRRKKKQKKRERRRRYSSTSESSDSDSDSGSDSDNRSSRRKRRHSRKR >Manes.03G202700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31854105:31856154:1 gene:Manes.03G202700.v8.1 transcript:Manes.03G202700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKEEKSQAAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKQVILGERKDLKRTMPAVGGTAQCQKCFQTGHWTFECKNERVYMTRPSRTQQLKNPKLRMKLSNSHDLDHPDAKEEKGKSSKKSKRKHRSDSDAGSDSEASVFESDSGSSSVTETESSSEEESSDYSSSSDSEEERRRRRKKKQKKRERRRRYSSTSESSDSDSDSGSDSDNRSSRRKRRHSRKR >Manes.01G071000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27199477:27200338:-1 gene:Manes.01G071000.v8.1 transcript:Manes.01G071000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKICIVIAIAAVFAPSILATEFMIGDETGWTTNFDYQAWAYGKEFHVGDKLVFRYPPGVHNVVRVNGTGFEKCEAPAGTEALTTGEDTLTLTSPGKKWYICSMGNHCKSGNMRLTITVLAELGSPTASPSPSPVSAAVATGRTGLSGCGGWIAVAIAGIPGIVMV >Manes.17G066100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26605448:26610699:1 gene:Manes.17G066100.v8.1 transcript:Manes.17G066100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLLLNHSPRRRTSHLSSLLSTLHEHDEVSLPVPLTPSFLKERLIFGPCAAPSADPSPIVDALTLSINTPKQSSSNPEFNSISQDLPHLQKQKSRLIDPNYTWTKTSLHRSKTAPAMAVINDTHHPPIPKPEFATQSIVRQAFVLLLLYLSLGVVIYWLNRDNFVGNETHPVVDALYFCIVTMCTIGYGDITPNSTSTKLFSILFVLVGFGFIDILLSGMVSYVLDLQENHLLRNVKRGADVEAAGSVIIDVKKGRMRIRMKVAFALCVVVLCIGIGVSVMHFVENLGWLDSFYLSVMSVTTVGYGDRAFRSFTGRIFASIWLLVSTLAVARAFLYLAEARVDKRNRKMAKWVLGQNMTVSEFLAADIDQNGFVSKSEYVIYKLKEMGKVSEKDILQICLKFDRLDTGNCGKITLADLMQSHP >Manes.06G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21136589:21142365:1 gene:Manes.06G075400.v8.1 transcript:Manes.06G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKEAFVDYWQLKKDIKKFHLLNSNTTSSHHHHQRRASLSNFFSSIIPFPRRRRDHGAIQVHKKLASSGSKGDMYETELLEQFENIEAAKEFFACLDLQLNKVNQFYKTKEKEFLERGESLKKQIDILTELKAAFKQQRGKGASAQDSKEDASISCTITCEEDSVKDRTEEDQVQESSTDDVEKNEGLDSPRLDEMEKSMRMKREENKLGTLSGRVFNFQGKNLKINIPLTTPSRTFSAISYLVWEDLVNQSSKKCNPEGKRLHINKAKLNHAEKMIKGAFVELYKGLSYLKTYRNLNLLAFIKILKKFDKVTGKEVLPIYLKVVESSYFNSSDKVVNLADEVEELFVRHFAQEDRRKAMKYLKPQQHKESHSVTFFIGLFTGCFISLLAGYVIMAHTTGTYRRQPDTVYMETVYPVLSMFSLLFLHFFLYGCNIFMWRKTRINYSFIFELAPTKELKYRDVFLICTVAMTAVVGVMFIHLSLLTKGYSYSQVQAIPGLMLLMFLSLLLCPFNICYRSSRYRFLCVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYITGSFKNQDYGYCMRTKHYRDFAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKERSVGWLCLLVIMSSAATVYQLYWDFVKDWGLLQINSKNPWLRNDLMLRRKFIYYFSMGLNLILRLAWLQTVLHSNFGHVDYRVTGLFLAALEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDGED >Manes.13G036000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4402546:4414750:1 gene:Manes.13G036000.v8.1 transcript:Manes.13G036000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKIEHIVGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGIEADYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKVSTCIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQISSSSRRHSGGKPGHAGPSAERPAEKVSVGREIRDRLSGAVEAFSTRKVSGSSPHDNLRNRSSENFMQQLEPEKGRSSSRYGSNTRKAVISNSRPSSSGEPSEGRSGRLLSNSGRLTSSQRLQPGFEPKSSTRAVAPSKGPREDTFRSFELLAIRK >Manes.13G036000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4402546:4414750:1 gene:Manes.13G036000.v8.1 transcript:Manes.13G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKIEHIVGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGIEADYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKVSTCIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQISSSSRRHSGGKPGHAGPSAERPAEKVSVGREIRDRLSGAVEAFSTRKVSGSSPHDNLRNRSSENQLEPEKGRSSSRYGSNTRKAVISNSRPSSSGEPSEGRSGRLLSNSGRLTSSQRLQPGFEPKSSTRAVAPSKGPREDTFRSFELLAIRK >Manes.01G184300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36235674:36240840:1 gene:Manes.01G184300.v8.1 transcript:Manes.01G184300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGVSGSYLIVSEGKSDSFYPIYFGVSCAFFALKVLSRPEKEEERWSELCDKMLQGSAQLLGLLVWRIQREGANSEQYKLLHKLETAEKEIKELKQMRREDAKANEKVVGIFASQEQRWLIERKNLRQHGGALMNELRVLQKRKEEAISEINDKLKEMEILVQAKDKALEEEEHKRKELEKKLRKAEDVLEELRETAKREAQEYSTDLWKHKTAFLELVSNQRQLEAELGRALRQLEAKRQEIALVLEQKEESVLLTQKLSMEVVKMRRDLEQKDKILSAMLRKSKMDTAEKQMLLKEVKLSKTKRKQAELETERWRVASESKHERHSLRSMFARQANSRLDDPSIARGTSQIGKVRTQPAEYVLEYENPEFGKDAEVFANLPESYSEVNDELAIMADIKRLEGWVHSEAEKYASTIENRHHLEIDAFAEQMRLKDEKLEAFRWQMLSMEIESKRLQSHVEGLSQDLSQLRHENMKLEELLLERQEELKALKEQFMVQTKLHISQKTDFESSLSDPTSAHDAFSVNIVKTESTERDQETKADLIVTSQEKEAEKGEITPNNQSKNVIFIVQSPEKEFEEEKDVSNEAPVEDKSASPVVVDTTKSPLPSQPLIKLNNSPGRMDLQALGVSYKIKRLKQQLVMLERLTGKQQIGEDTKSKDGTQNGIKGFPLLMSLLNKQISRYQSLQGRTDELCKRMHDNDVDKSGDSSLLRTKGETKTLEHFLEETFQLQRYMVATGQKLIEVQSKIASGFACVSEELDKSITFDMKRFTESIRTLFQEVQRGLEVRIARIIGDLEGTLACEGMIRMQS >Manes.01G184300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36235674:36240840:1 gene:Manes.01G184300.v8.1 transcript:Manes.01G184300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGVSGSYLIVSEGKSDSFYPIYFGVSCAFFALKVLSRPEKEEERWSELCDKMLQGSAQLLGLLVWRIQREGANSEQYKLLHKLETAEKEIKELKQMRREDAKANEKVVGIFASQEQRWLIERKNLRQHGGALMNELRVLQKRKEEAISEINDKLKEMEILVQAKDKALEEEEHKRKELEKKLRKAEDVLEELRETAKREAQEYSTDLWKHKTAFLELVSNQRQLEAELGRALRQLEAKRQEIALVLEQKEESVLLTQKLSMEVVKMRRDLEQKDKILSAMLRKSKMDTAEKQMLLKEVKLSKTKRKQAELETERWRVASESKHERHSLRSMFARQANSRLDDPSIARGTSQIGKVRTQPAEYVLEYENPEFGKDAEVFANLPESYSEVNDELAIMADIKRLEGWVHSEAEKYASTIENRHHLEIDAFAEQMRLKDEKLEAFRWQMLSMEIESKRLQSHVEGLSQDLSQLRHENMKLEELLLERQEELKALKEQFMVQTKLHISQKTDFESSLSDPTSAHDAFSVNIVKTESTERDQETKADLIVTSQEKEAEKGEITPNNQSKNVIFIVQSPEKEFEEEKDVSNEAPVEDKSASPVVVDTTKSPLPSQPLIKLNNSPGRMDLQALGVSYKIKRLKQQLVMLERLTGKQQIGEDTKSKDGTQNGIKGFPLLMSLLNKQISRYQSLQGRTDELCKRMHDNDVDKSGDSSLLRTKGETKTLEHFLEETFQLQRYMVATGQKLIEVQSKIASGFACVSEELDKSITFDMKRFTESIRTLFQEVQRGLEVRIARIIGDLEGTLACEGMIRMQS >Manes.03G183100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30446840:30450371:1 gene:Manes.03G183100.v8.1 transcript:Manes.03G183100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKHGMNQLSSSRNFTLSSSAVLRRQHFLIRSLAGGGHSNYCTLNRMQETNPLEADAENILRAITPSLDPTRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPILEESYSIGEGDRKYISGKVVAEVDKWLERFDCLVVGPGLGRDPFLLDCVSEIIKQARRLNVPIVVDGDGLFLVTNSIELVSGNSLAVLTPNVNEYKRLVQKVLNCEVNDQDAHGQLLSLSKRIGDVTILRKGKADLIADGEIVKSVSVYGSLRRCGGQGDILSGSVAVFLSWARQYIMAAEGNVAIRPTNPTVLGCIAGAALLRKAASLAFENRKRSTLTGDIIEYLGKSLEDICPAC >Manes.04G123600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32472679:32474483:1 gene:Manes.04G123600.v8.1 transcript:Manes.04G123600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRQERRRKIVERGGDRLALITGQVQTLSRSPSSPSPATQRQRHAHTGSSPSIVFATVDNAQINAGPEEKDDDSDSMFTKVSTMNKYPGARNFHKGNEAKPRSVKFLTNPDPLTKLQEQDSEITSSVQKASTHSNFFSSKQINTCIIASERTRVTCSLIIASLVIISYIDYQLFGFDIVSSESFIARPFYIILLTDVTIVLSQLFLENARDCDEVEKEINAAQEDEDNLAGAVNLLERGSGYTGT >Manes.04G123600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32472679:32474243:1 gene:Manes.04G123600.v8.1 transcript:Manes.04G123600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRQERRRKIVERGGDRLALITGQVQTLSRSPSSPSPATQRQRHAHTGSSPSIVFATVDNAQINAGPEEKDDDSDSMFTKVSTMNKYPGARNFHKGNEAKPRSVKFLTNPDPLTKLQEQDSEITSSVQKASTHSNFFSSKQINTCIIASERTRVTCSLIIASLVIISYIDYQLFGFDIVSSESFIARPFYIILLTDVTIVLSQLFLENARDCDEVEKEINAAQEDEDNLAGAVNLLERGLVLYQAIRGFFIDCSIYVVVVICGLSLV >Manes.09G135400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33559638:33567256:-1 gene:Manes.09G135400.v8.1 transcript:Manes.09G135400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLKTPPLKAPLTPRLLSNGVSVSSSVPFCLPLRHRSIITKLSASSFLPFALKSSPRFVKFVPFSSQGETETAETQETIQEPEVQDSSDDAVGVEDGADQVEDSASSEEVDAKEVAPSIIMASLQSYKEALASNNESQIAEIEAFLKSFEDEKIELERKVASLTEELSIEKDRVLRISADFDNFRKRTERERLSLVSNAQGELVESLLPVLDNFERAKSQIKLETEGEEKISNSYQSIYKQFVEILGSLGVVPVETIGHPFDPLLHEAIMREDSTEYEEGIILEEFRKGFKLGDRLLRPSMVKVSAGPGPAKPEQEASSGGEDDTENETSEGDNKEPESS >Manes.09G135400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33558181:33567251:-1 gene:Manes.09G135400.v8.1 transcript:Manes.09G135400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLKTPPLKAPLTPRLLSNGVSVSSSVPFCLPLRHRSIITKLSASSFLPFALKSSPRFVKFVPFSSQGETETAETQETIQEPEVQDSSDDAVGVEDGADQVEDSASSEEVDAKEVAPSIIMASLQSYKEALASNNESQIAEIEAFLKSFEDEKIELERKVASLTEELSIEKDRVLRISADFDNFRKRTERERLSLVSNAQGELVESLLPVLDNFERAKSQIKLETEGEEKISNSYQSIYKQFVEILGSLGVVPVETIGHPFDPLLHEAIMREDSTEYEEGIILEEFRKGFKLGDRLLRPSMVKVSAGPGPAKPEQEASSGGEDDTENETSEGDNKEPESS >Manes.07G072000.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:15383077:15383833:-1 gene:Manes.07G072000.v8.1 transcript:Manes.07G072000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNTPPRAPPHWFLHLLKPVNSIPSTPLQIVTAYFPCPLNLISKTQSLHSYPSPQPDFLISIVFSSDLYLPSCETHSIFSTGTQASFLTIALPQLLTPINMS >Manes.01G055500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23365345:23372439:-1 gene:Manes.01G055500.v8.1 transcript:Manes.01G055500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENAGVDLGMTVGSSSSLDSSMSSSASDQDQLNPNQNHHSLLPIDNGVSIQPLYMKVHVQPSPNGKPQHPPPPNLQVAHHYPSHHQRSNGGGGDLQMDGDVEKSYERDIRELQELFSKLNPMAEEFVPPSLANSNGNYHGLNGLHMGSYTNDNNDCLVSGTRNEQVNGNAGRRKKNYNQVKRRMNSRTSMAQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGARTALNLAGTMLGYYPMRVLPSKTAIAPVNSTFLPRNDDEREMCTRTIYCTNIDKKVTQADVKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRPPRLPMH >Manes.01G055500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:23364268:23372439:-1 gene:Manes.01G055500.v8.1 transcript:Manes.01G055500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENAGVDLGMTVGSSSSLDSSMSSSASDQDQLNPNQNHHSLLPIDNGVSIQPLYMKVHVQPSPNGKPQHPPPPNLQVAHHYPSHHQRSNGGGGDLQMDGDVEKSYERDIRELQELFSKLNPMAEEFVPPSLANSNGNYHGLNGLHMGSYTNDNNDCLVSGTRNEQVNGNAGRRKKNYNQVKRRMNSRTSMAQREEIIRRTVYVSDIDQQVTEEQLAALFVGCGQVVDCRICGDPNSVLRFAFIEFTDEEGARTALNLAGTMLGYYPMRVLPSKTAIAPVNSTFLPRNDDEREMCTRTIYCTNIDKKVTQADVKLFFESVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRPPRLPMH >Manes.06G150300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27838831:27847356:-1 gene:Manes.06G150300.v8.1 transcript:Manes.06G150300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWGKSSSKEVKKKASKESFIDTLHRKFKSPTENKLNGRSGGSRRHCSDTVSEKGFQSRAESRSPSPSKHVSRSQSFAERPQYAQPLPLPGAHPATVGRTDSGIGISTKSKLEKGSKSSLFLPLPKPGCIRSRANPADLDGDLATPSVSSECSIDSDDPADSRLRSPQAIDYDHGNRTTASSGSSAMLKDHSTVTQINAREAKKPANISLGNHTSPTSPKRRPLSNHVPNLQVPHHGAFCSAPDSSMSSPSRSPMRAFGGEQVINSAFWAGKPYTDVNLLGSGHCSSPGSGYNSGHNSMGGDMSGQLFWQQSRGSAECSPIPSPRMTSPGPSSRVQSGAVTPIHPRAGGTNIESQTSWPDDGKQQSHRLPLPPVSVSSSSPFSHSNSAAASPSVPRSPGRAENPISPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESAKQLMQEIALLSRLRHPNIVQYYGSETVGDRLYIYLEYVSGGSIYKILQEYGQLGELAIRSYTQQILSGLAYLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQFEGVAAMFKIGNSKDLPAIPDHLSDVGKDFVRKCLQRNPQLRPTAAQLLEHPFVKSAAPLERPVPGTEPTDQPPTVTNGIKALGISQARNFTPLDTERLAVHSSRVLKTSSHASEIQIQRNISCPVSPIGSPLPHTRSPQRMSPSPISSPRTTSGPSTPLTGGSGAIPFNHLKQSVYLQEGFGSMPKPSNGIYMNGPSYHDSNPDLFRGTQPGSHMFSELVPCENDVLGKQLGRPAHGELYDGQSVLADRVSRQLLRDHVKMNPSLDLSPHSPLPSRTSGI >Manes.15G010301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:886764:888526:-1 gene:Manes.15G010301.v8.1 transcript:Manes.15G010301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHASYAVDRIPEPDLDPGPSADSPAPAPAPVESPESSLGPQQGVFDVNQYGATVDGETESSKAFLATWDAACSYAGNSTFCVPEGEFFVGPISFAGPCYNNQSLEVKIEGTLIAPCSLNDFPDSNWIEFKQLNGMVLYGSNGVTNFDAQGAVEAWRQISCRNSMGCKELIASLKFSNISDGTIRNVTLINSKAFHVSLQGSNNIIMKNITITAPWDSPNTDGIHISHSTNIRVISSVIGVGDDCVSMGPGSTNIFISDVMCGPGHGISIGRLGKYPNEEDVTGITVQNCTINGTHNGGRVKTWPASPASTASNITFQNIVMINVSNPNASGSYNSDSAVKLLCSSSVPCETISLTEINLNYTIPDNPRQGLNVKGVVNSLEVNNSSF >Manes.03G187700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30740271:30742168:1 gene:Manes.03G187700.v8.1 transcript:Manes.03G187700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELHFFGDDPVVDNMGLRLLDFESLVKVLNAVQCSVVSAVGNQYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPLLRYACNLGLTLCSCRYTRGSFIFPKSQPFPHTSFREEAIYIEENLPSDLCYRKASVMPSKMASHSWHVFTATCQNHIISQNDELYTIEVCMTELDRVLARKFFQPPGDGSNGDVAGKEMTKLTGIENINPGAIICDFAFDPCGYSMNGIDNDRYSTIHVTPEDGYSYASFECVGSIFDNNNHIAEILKKVVQVFRPATMSVSTTCTSPEVWTRVAHAVEPLGMKCRSCAVDEFPASGSVVFQTFTVRRK >Manes.12G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11210985:11214650:-1 gene:Manes.12G082500.v8.1 transcript:Manes.12G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGEKQESVRKVWTLCKMPFWQTNNATASSSSSSSSSSSSSSSSSSSSSSTCTSSSSMSSNNSITGSNACQQSQTHQPGGAERSTVTAVSLVAKSLLPTRRRLRLDPPNKLYFPYEPGKQVRSAIGIKNISKSHAAFKFQTTAPKSCYMRPPGGILAPGESLIATVFKFVEPPENNERPLDQKSRVKFKIMSLKAKGEMEYVPEMFDEQKDQATVEQILRVIFLDPECPNPALEKLRRQLAEAEAELEARKKPPEDTGPRVVGEGLVIDEWKERRERYLARQQIEVDSV >Manes.05G108500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10642006:10648008:1 gene:Manes.05G108500.v8.1 transcript:Manes.05G108500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPFPAAQSKSKFPSRFTHFNYRATLSKLFFTTPFLNHSRRRNLSVKNIASDQRQDLQDPVTRGDSLDTSVPDSGSIAFRIKYHAEFTPSFSPEHFELPKAFMATAESVRDLLIINWNTTFEYYVKMNVKQAYYLSMEFLQGRALLNAIGNLELSGAYAEDLRKLGHNLEDVARQEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAESWLEMGNPWEIVRNDISYPVKFYGKVILKPDGSKAWIGGENITAVAYDVPIPGYKTKTTINLRLWSTKVAPEEFDLRAFNTGDHAKAFAAVNNAEKICYILYPGDESIEGKTLRLKQQYTLCSASLQDIIAHFERRSGESVNWEIFPDKVAVQMNDTHPTLCIPELIRILMDVKGLSWKEAWNITRRTVAYTNHTVLPEALEKWSLELLQDLLPRHVEIIKMIDEELIHTIMAEYGTEDLDLLRQKFKEMRILDNVELPDTVIPLLVKSRDSSSVDAIEYVDEVEDPDEETESAKEEEPTEEEEEKEENDVKFEPDPALPRLVRMANLCVVGGYAVNGVAEIHSEIVKNEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWTGNEDWVLSTENLQILRKFADNENLQAEWREAKRMNKMKVAAFLKEKTGYTVNPDSMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSPEERKACYVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDEEIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFAMNGCVLIGTLDGANVEIRQEVGEDNFFLFGARADEIAGLRKERAEGKFVADLRFEEVKAFVRSGVFGPYDYEELMGSLEGNEGYGRADYFLVGKDFPSYLECQEKVDEAYRDQKRWTRMSILNTAGSYKFSSDRTIHEYARDIWRIDPVVLP >Manes.05G108500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10642006:10648008:1 gene:Manes.05G108500.v8.1 transcript:Manes.05G108500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPFPAAQSKSKFPSRFTHFNYRATLSKLFFTTPFLNHSRRRNLSVKNIASDQRQDLQDPVTRGDSLDTSVPDSGSIAFRIKYHAEFTPSFSPEHFELPKAFMATAESVRDLLIINWNTTFEYYVKMNVKQAYYLSMEFLQGRALLNAIGNLELSGAYAEDLRKLGHNLEDVARQEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAESWLEMGNPWEIVRNDISYPVKFYGKVILKPDGSKAWIGGENITAVAYDVPIPGYKTKTTINLRLWSTKVAPEEFDLRAFNTGDHAKAFAAVNNAEKICYILYPGDESIEGKTLRLKQQYTLCSASLQDIIAHFERRSGESVNWEIFPDKVAVQMNDTHPTLCIPELIRILMDVKGLSWKEAWNITRRTVAYTNHTVLPEALEKWSLELLQDLLPRHVEIIKMIDEELIHTIMAEYGTEDLDLLRQKFKEMRILDNVELPDTVIPLLVKSRDSSSVDAIEYVDEVEDPDEETESAKEEEPTEEEEEKEENDVKFEPDPALPRLVRMANLCVVGGYAVNGVAEIHSEIVKNEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWTGNEDWVLSTENLQILRKFADNENLQAEWREAKRMNKMKVAAFLKEKTGYTVNPDSMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSPEERKACYVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDEEIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFAMNGCVLIGTLDGANVEIRQEVGEDNFFLFGARADEIAGLRKERAEVCG >Manes.06G125800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25551210:25558693:-1 gene:Manes.06G125800.v8.1 transcript:Manes.06G125800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVHSTNIPAIASGIKHGQSQESDTSRRTTTKMMCSSRSPTLILRGFSGLRSSNCLDTLLSSRHDFHSKVAITMSRRQQKAKRFSPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENIPATVGPGGGSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIERKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRSQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPVVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKGKEIELQVTERFRERVVEEGYNPSYGARPLRRAIMRLLEDSMAEKMLAGEIKEGDSVIVDVDSDGNVIVLNGSSGSPESLQDALSIV >Manes.18G127000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15558764:15568376:-1 gene:Manes.18G127000.v8.1 transcript:Manes.18G127000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNSSATTTTTTTTSVTLDNVNDHITKLSNSASSNFHSKISSATSTWNSLPTLSNASRRTSAAPRTVAVPEVFFPQWNPSERVLRLNSQQIEDVRMRLNVEVTVALDSPPAPAPIESFEDMLLHPSIMKDIAYHGYSRPTSIQAQAMTVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQSPIRRGDGPLALVLAPTRELAQQIEKEVKCFSRSLESFRTAIVVGGTNIADQRSELRAGVDVIVATPGRFIDHLQQGNSSLSRISFIVLDEADRMLDMGFEPQIREVLRNLPKRHQTLLFSATMPVEIETLSQEYLTTPVQIKVGKVSSPTANVSQVLKKVSESEKIDCLLALLVEDASQSERSGHPFPLTVVFVERKTRCDEVAEALVAQGLQAVAFHGGRSQSEREAALQDFRSGSNNILVATDVASRGLDVTGVAHVINLDLPKTMEDYVHRVGRTGRAGSAGQATSFYTDRDLFLVAQIKKAIADAQSGNKVAFATGKVARRKEREAAALQKEARNSVSKLVGPTSLNIEDKYRFMIASSSIKGEGAADSAWDD >Manes.18G127000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15558764:15568371:-1 gene:Manes.18G127000.v8.1 transcript:Manes.18G127000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNSSATTTTTTTTSVTLDNVNDHITKLSNSASSNFHSKISSATSTWNSLPTLSNASRRTSAAPRTVAVPEVFFPQWNPSERVLRLNSQQIEDVRMRLNVEVTVALDSPPAPAPIESFEDMLLHPSIMKDIAYHGYSRPTSIQAQAMTVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQSPIRRGDGPLALVLAPTRELAQQIEKEVKCFSRSLESFRTAIVVGGTNIADQRSELRAGVDVIVATPGRFIDHLQQGNSSLSRISFIVLDEADRMLDMGFEPQIREVLRNLPKRHQTLLFSATMPVEIETLSQEYLTTPVQIKVGKVSSPTANVSQVLKKVSESEKIDCLLALLVEDASQSERSGHPFPLTVVFVERKTRCDEVAEALVAQGLQAVAFHGGRSQSEREAALQDFRSGSNNILVATDVASRGLDVTGVAHVINLDLPKTMEDYVHRVGRTGRAGSAGQATSFYTDRDLFLVAQIKKAIADAQSGNKVAFATGKVARRKEREAAALQKEARNSVSKLVGPTSLNIEDKYRFMIASSSIKGEGAADSAWDD >Manes.18G127000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15560809:15568371:-1 gene:Manes.18G127000.v8.1 transcript:Manes.18G127000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNSSATTTTTTTTSVTLDNVNDHITKLSNSASSNFHSKISSATSTWNSLPTLSNASRRTSAAPRTVAVPEVFFPQWNPSERVLRLNSQQIEDVRMRLNVEVTVALDSPPAPAPIESFEDMLLHPSIMKDIAYHGYSRPTSIQAQAMTVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQSPIRRGDGPLALVLAPTRELAQQIEKEVKCFSRSLESFRTAIVVGGTNIADQRSELRAGVDVIVATPGRFIDHLQQGNSSLSRISFIVLDEADRMLDMGFEPQIREVLRNLPKRHQTLLFSATMPVEIETLSQEYLTTPVQIKVGKVSSPTANVSQVLKKVSESEKIDCLLALLVEDASQSERSGHPFPLTVVFVERKTRCDEVAEALVAQGLQAVAFHGGRSQSEREAALQDFRSGSNNILVATDVASRGLDVTGVAHVINLDLPKTMEDYVHRVGRTGRAGSAGQATSFYTDRDLFLVAQIKKAIADAQSGNKVAFATGKVARRKEREAAALQKEARNSVSKLVGPTSLNIEDKYRFMIASSSIKGEGAADSAWDD >Manes.03G190600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30976663:30982401:-1 gene:Manes.03G190600.v8.1 transcript:Manes.03G190600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGPSISITSFSTSLKLPTQTSSNGISSLRLVSLPISGRSYPSLRLQKSSSRFRVSCAQAKPETLNKVCEIVRNQLALAPDTVVNGESKFVALGADSLDTVEIVMGLEEEFGISVEEETAQSIVSVQDAADLIEELLEKK >Manes.03G190600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30978466:30982401:-1 gene:Manes.03G190600.v8.1 transcript:Manes.03G190600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGPSISITSFSTSLKLPTQTSSNGISSLRLVSLPISGRSYPSLRLQKSSSRFRVSCAQAKPETLNKVCEIVRNQLALAPDTVVNGESKFVALGADSLDTVEIVMGLEEEFGISVEEETAQSIVSVQDAADLIEELLEKK >Manes.03G190600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30979115:30982401:-1 gene:Manes.03G190600.v8.1 transcript:Manes.03G190600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGPSISITSFSTSLKLPTQTSSNGISSLRLVSLPISGRSYPSLRLQKSSSRFRVSCAAKPETLNKVCEIVRNQLALAPDTVVNGESKFVALGADSLDTVEIVMGLEEEFGISVEEETAQSIVSVQDAADLIEELLEKK >Manes.03G190600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30979115:30982401:-1 gene:Manes.03G190600.v8.1 transcript:Manes.03G190600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITGPSISITSFSTSLKLPTQTSSNGISSLRLVSLPISGRSYPSLRLQKSSSRFRVSCAQAKPETLNKVCEIVRNQLALAPDTVVNGESKFVALGADSLDTVEIVMGLEEEFGISVEEETAQSIVSVQDAADLIEELLEKK >Manes.07G082600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:26111745:26112302:-1 gene:Manes.07G082600.v8.1 transcript:Manes.07G082600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVSFLEFQYKLSRNKLLRRPSRLFSSRDRQFSGALPPFQPNLNEMRQVFNKFDSNKDGKISQQEYKATLRALGQENMIGEVPKFFQVVDLDGDGFIDFREFVEAQKKGGGIMMKDIQSAFQAFDMNGDGKITAEEVMEVLRRLGERCSLEDCRRMVRAVDADGDGMVNMDEFTTMMTQTLVNL >Manes.06G158100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28375170:28378862:-1 gene:Manes.06G158100.v8.1 transcript:Manes.06G158100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRSNGTAIGNGFGSVESEYIRRHHRHDPADHQCSSALVKHIKAPLHLVWSLVRRFDQPQKYKPFISRCVAQGNLQIGSVREINVKSGLPATTSTERLEFLDDNEHILSIRIVGGDHRLRNYSSIISLHPEIIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLTDVSERLAVQDRTEPINCI >Manes.06G158100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28375170:28378847:-1 gene:Manes.06G158100.v8.1 transcript:Manes.06G158100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRSNGTAIGNGFGSVESEYIRRHHRHDPADHQCSSALVKHIKAPLHLVWSLVRRFDQPQKYKPFISRCVAQGNLQIGSVREINVKSGLPATTSTERLEFLDDNEHILSIRIVGGDHRLRNYSSIISLHPEIIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLTDVSERLAVQDRTEPINCI >Manes.01G175700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35662303:35663631:1 gene:Manes.01G175700.v8.1 transcript:Manes.01G175700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIQHSAFAGQTALKQSNELVRKIGSFGGGRFSMRRTVKSAPPSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPEVLSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >Manes.17G060800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25920959:25925881:1 gene:Manes.17G060800.v8.1 transcript:Manes.17G060800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWKERENNKRRERRRRAIAAKIYAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVERMDIMGGSASASPCSSYQPSPCASYNPSPGSSSFPSPVSTRYTANNNGNTDANSLIPWLKNLSSSSSSKHPHQLFIHSGSISAPVTPPLSSPTARTPRTKNDWDDPMAGSSWAGQNYPFLPSSMPSSTPPSPGRQVLPDSGWLAGIEIPLSGPSSPTFSLVSRNPFGFRDEPLSGAGSRMWTPGQSGTCSPAVPAGVDQTADVPMADSMAAEFAFGSHTTGLVKPWEGERIHEECVSDDLELTLGNSSTR >Manes.17G060800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25921184:25925504:1 gene:Manes.17G060800.v8.1 transcript:Manes.17G060800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWKERENNKRRERRRRAIAAKIYAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVERMDIMGGSASASPCSSYQPSPCASYNPSPGSSSFPSPVSTRYTANNNGNTDANSLIPWLKNLSSSSSSKHPHQLFIHSGSISAPVTPPLSSPTARTPRTKNDWDDPMAGSSWAGQNYPFLPSSMPSSTPPSPGRQVLPDSGWLAGIEIPLSGPSSPTFSLVSRNPFGFRDEPLSGAGSRMWTPGQSGTCSPAVPAGVDQTADVPMADSMAAEFAFGSHTTGLVKPWEGERIHEECVSDDLELTLGNSSTR >Manes.17G060800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25923036:25925511:1 gene:Manes.17G060800.v8.1 transcript:Manes.17G060800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGCKPVERMDIMGGSASASPCSSYQPSPCASYNPSPGSSSFPSPVSTRYTANNNGNTDANSLIPWLKNLSSSSSSKHPHQLFIHSGSISAPVTPPLSSPTARTPRTKNDWDDPMAGSSWAGQNYPFLPSSMPSSTPPSPGRQVLPDSGWLAGIEIPLSGPSSPTFSLVSRNPFGFRDEPLSGAGSRMWTPGQSGTCSPAVPAGVDQTADVPMADSMAAEFAFGSHTTGLVKPWEGERIHEECVSDDLELTLGNSSTR >Manes.17G060800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25921184:25925504:1 gene:Manes.17G060800.v8.1 transcript:Manes.17G060800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWKERENNKRRERRRRAIAAKIYAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVERMDIMGGSASASPCSSYQPSPCASYNPSPGSSSFPSPVSTRYTANNNGNTDANSLIPWLKNLSSSSSSKHPHQLFIHSGSISAPVTPPLSSPTARTPRTKNDWDDPMAGSSWAGQNYPFLPSSMPSSTPPSPGRQVLPDSGWLAGIEIPLSGPSSPTFSLVSRNPFGFRDEPLSGAGSRMWTPGQSGTCSPAVPAGVDQTADVPMADSMAAEFAFGSHTTGLVKPWEGERIHEECVSDDLELTLGNSSTR >Manes.10G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29320062:29325387:-1 gene:Manes.10G125700.v8.1 transcript:Manes.10G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDLLLLLLLSLSAIISPTHEAAITYLPSAQNNFSSQCPVDLNYVLRIPWNKTVCQNYQPSKNDSSNSQIDMTNKDPCCMALLSLFGVGLAQHLKQTSLFQLPSLPTSVSCLQYYQAKLSSLSLADDIVSHCFDPMQFVLTPNLCAHVQTSQDWVAKLGKSTVLDSACKPDLVDLTSCSACVAAGFKVQSELILKDGDNTHATDCFYFTVLYAAGIVNEFGPESDGAVTCIFGLDLESNMGSSSKAHSALVFGLTGAGVAILVTSSLLGFYFWYDKKRRKKNISSFPFDLEDQGSRPILRPNTGSIWLKIHDLEKATENFSQKNFIGRGGFGFVYKGVLSDGTTVAVKRIIESDFQGDAEFCNEVEIISNLKHRNLVPLRGCCVADQDENYAEKGSQRYLVYDYMPNGNLDDHLFPSFDDKTRKNLLTWPQRKSIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDGDMRARVADFGLAKQSKEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDFSSTESPRAFLITDWVWSLVKAGKVEDVLDTSLLRDGDFSSSNPKAIMERFVLVGILCAHVMVALRPTILDALKMLEGDIEVPTIPDRPIPFSHPSSFGDSDSFCISPALGSPQVNIGYMLRYGWEEE >Manes.03G029000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2343631:2350721:-1 gene:Manes.03G029000.v8.1 transcript:Manes.03G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAASRLRALKGHRISRLPTRFASSSVAASESSSSGGLFSWLIGDKSKSLPPLELPLPGVELPPTLPDYVEPGKTKITTLPNGLKIASETSPNPAASIGLYVNCGSVYESPASMGVTHLLERMAFKSTRNRSHLRIVREVEAIGGNVLASASREQMGYTYDALKTYVPEMVELLIDCVRNPVFLDWEVNEQLQKVKAEISEASNNPQGLLVEAIHSAGFSGPLANPLMAPESAISRLNSTILEEFVAENFTASRIVLAASGVEHEELVSTAEPLLSDLPGASNTILPKSVYTGGDFRCQSDSGDQRTHFALAFEFPHGWHDDHDAVTLTVLQRLMGGGGSFSTGGPGKGIYSRLYVRVLNEYPQIQSFTAFSNIYDRTGIFGIQATTDSHFASKAIDVAVNELIAVASPGAVDPVQLDRAKQSTKSAILMNLESRMIASEDIGRQILTDGERKPLEHFLKIVDSVTLQDITKISQKLISSPLTMASYGDVINVPTYDSVSSKFKLK >Manes.01G125500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32080922:32086896:1 gene:Manes.01G125500.v8.1 transcript:Manes.01G125500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKLRKKGQCRTKETASLTLHSMVSAIESPEGPIPANMKKLYAMLVHLSLTKPDLGWSNSEFAAIHTDENLDNCVSKLNFKAFHDLSDILFKELDRRFEEFFSALRGVSGAGGSGRHVLLADIWAKREELMLLLRCCMVLLILMAFNQSLLIEKGRLILSVLSRLISIELTGGENEKSSITFKRSISLECAYLNVDCTANVTEEFFASISSLEPSDSCYTLLCGVLEVFTDELLVHKSLREYFMLIDFASSRNEMLFNFHFGHGNLGCVLEVVCAHFILSVSDEPAFVNFINRLFWCHKDYFRVPEISLPAALSLLLNPVMLSAPKMFQAHLILLVSEVIGICAASEDVTLNVRLMDWYLTALERSVVLYTRLMSTLHVDSNNLDANGSFEKSCLFGSSQPTFESFLLRTTVDKIYHLIAKSKNLWASYLSDMSCGTDSDLVAVSIAYVKENLCISDESYKDEILSVLNSIILGCSSDDINGPLFQKLGEASPQDLFLLASILKLMSSSMLQAIWYLKRAKFSGSLKSHGDVSSCKEYEYIVGVLGCFQHFSIHLPIQNFLYESMQSHPVRHKESKWMLLHLSGLLSLTYVSGIDFLVKGCLFTMMTLLNWFLVEECDLSALFDRGSNSCSSKSPDNVEGVMMIRKTSRIISSKFQKIHDMYLRTGSFLCSDKRKQGEQATSALNDLDSAVADNSKETTTGEIFLKCVIGQNSRVSDFDDLADFIECKPEKDYSSWLRDRQRYRQWKYKKMVSLRLKKKKMAWNSMKVR >Manes.01G125500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32080822:32087445:1 gene:Manes.01G125500.v8.1 transcript:Manes.01G125500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFASSRNEMLFNFHFGHGNLGCVLEVVCAHFILSVSDEPAFVNFINRLFWCHKDYFRVPEISLPAALSLLLNPVMLSAPKMFQAHLILLVSEVIGICAASEDVTLNVRLMDWYLTALERSVVLYTRLMSTLHVDSNNLDANGSFEKSCLFGSSQPTFESFLLRTTVDKIYHLIAKSKNLWASYLSDMSCGTDSDLVAVSIAYVKENLCISDESYKDEILSVLNSIILGCSSDDINGPLFQKLGEASPQDLFLLASILKLMSSSMLQAIWYLKRAKFSGSLKSHGDVSSCKEYEYIVGVLGCFQHFSIHLPIQNFLYESMQSHPVRHKESKWMLLHLSGLLSLTYVSGIDFLVKGCLFTMMTLLNWFLVEECDLSALFDRGSNSCSSKSPDNVEGVMMIRKTSRIISSKFQKIHDMYLRTGSFLCSDKRKQGEQATSALNDLDSAVADNSKETTTGEIFLKCVIGQNSRVSDFDDLADFIECKPEKDYSSWLRDRQRYRQWKYKKMVSLRLKKKKMAWNSMKVR >Manes.01G125500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32080822:32087445:1 gene:Manes.01G125500.v8.1 transcript:Manes.01G125500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKLRKKGQCRTKETASLTLHSMVSAIESPEGPIPANMKKLYAMLVHLSLTKPDLGWSNSEFAAIHTDENLDNCVSKLNFKAFHDLSDILFKELDRRFEEFFSALRGVSGAGGSGRHVLLADIWAKREELMLLLRCCMVLLILMAFNQSLLIEKGRLILSVLSRLISIELTGGENEKSSITFKRSISLECAYLNVDCTANVTEEFFASISSLEPSDSCYTLLCGVLEVFTDELLVHKSLREYFMLIDFASSRNEMLFNFHFGHGNLGCVLEVVCAHFILSVSDEPAFVNFINRLFWCHKDYFRVPEISLPAALSLLLNPVMLSAPKMFQAHLILLVSEVIGICAASEDVTLNVRLMDWYLTALERSVVLYTRLMSTLHVDSNNLDANGSFEKSCLFGSSQPTFESFLLRTTVDKIYHLIAKSKNLWASYLSDMSCGTDSDLVAVSIAYVKENLCISDESYKDEILSVLNSIILGCSSDDINGPLFQKLGEASPQDLFLLASILKLMSSSMLQAIWYLKRAKFSGSLKSHGDVSSCKEYEYIVGVLGCFQHFSIHLPIQNFLYESMQSHPVRHKESKWMLLHLSGLLSLTYVSGIDFLVKGCLFTMMTLLNWFLVEECDLSALFDRGSNSCSSKSPDNVEGVMMIRKTSRIISSKFQKIHDMYLRTGSFLCSDKRKQGEQATSALNDLDSAVADNSKETTTGEIFLKCVIGQNSRVSDFDDLADFIECKPEKDYSSWLRDRQRYRQWKYKKMVSLRLKKKKMAWNSMKVR >Manes.01G125500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32080899:32087107:1 gene:Manes.01G125500.v8.1 transcript:Manes.01G125500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDFASSRNEMLFNFHFGHGNLGCVLEVVCAHFILSVSDEPAFVNFINRLFWCHKDYFRVPEISLPAALSLLLNPVMLSAPKMFQAHLILLVSEVIGICAASEDVTLNVRLMDWYLTALERSVVLYTRLMSTLHVDSNNLDANGSFEKSCLFGSSQPTFESFLLRTTVDKIYHLIAKSKNLWASYLSDMSCGTDSDLVAVSIAYVKENLCISDESYKDEILSVLNSIILGCSSDDINGPLFQKLGEASPQDLFLLASILKLMSSSMLQAIWYLKRAKFSGSLKSHGDVSSCKEYEYIVGVLGCFQHFSIHLPIQNFLYESMQSHPVRHKESKWMLLHLSGLLSLTYVSGIDFLVKGCLFTMMTLLNWFLVEECDLSALFDRGSNSCSSKSPDNVEGVMMIRKTSRIISSKFQKIHDMYLRTGSFLCSDKRKQGEQATSALNDLDSAVADNSKETTTGEIFLKCVIGQNSRVSDFDDLADFIECKPEKDYSSWLRDRQRYRQWKYKKMVSLRLKKKKMAWNSMKVR >Manes.01G125500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32080899:32087107:1 gene:Manes.01G125500.v8.1 transcript:Manes.01G125500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKLRKKGQCRTKETASLTLHSMVSAIESPEGPIPANMKKLYAMLVHLSLTKPDLGWSNSEFAAIHTDENLDNCVSKLNFKAFHDLSDILFKELDRRFEEFFSALRGVSGAGGSGRHVLLADIWAKREELMLLLRCCMVLLILMAFNQSLLIEKGRLILSVLSRLISIELTGGENEKSSITFKRSISLECAYLNVDCTANVTEEFFASISSLEPSDSCYTLLCGVLEVFTDELLVHKSLREYFMLIDFASSRNEMLFNFHFGHGNLGCVLEVVCAHFILSVSDEPAFVNFINRLFWCHKDYFRVPEISLPAALSLLLNPVMLSAPKMFQAHLILLVSEVIGICAASEDVTLNVRLMDWYLTALERSVVLYTRLMSTLHVDSNNLDANGSFEKSCLFGSSQPTFESFLLRTTVDKIYHLIAKSKNLWASYLSDMSCGTDSDLVAVSIAYVKENLCISDESYKDEILSVLNSIILGCSSDDINGPLFQKLGEASPQDLFLLASILKLMSSSMLQAIWYLKRAKFSGSLKSHGDVSSCKEYEYIVGVLGCFQHFSIHLPIQNFLYESMQSHPVRHKESKWMLLHLSGLLSLTYVSGIDFLVKGCLFTMMTLLNWFLVEECDLSALFDRGSNSCSSKSPDNVEGDRFVPMF >Manes.16G084500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29047325:29047927:1 gene:Manes.16G084500.v8.1 transcript:Manes.16G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSMAISQALATQRGFNQLSDSKFFLQLLPVRPSKVGVSPNPKSRGRLQVHASLKEKAAAGLAAAVLTASVMTPEVAEAAGGSDISPSLKNFLLSIVAGGVVIGILFGAVIAVANFDPVKRT >Manes.12G032700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2820238:2821936:1 gene:Manes.12G032700.v8.1 transcript:Manes.12G032700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHCFFILSLSLLLLFHGSFSLRQPRDECQVDRINALEPDNRVECEAGVIDSWNPNEDQFQCAGVAVVRWTIEPRGLLLPSYSNAPQLVYIVRGRGVTGISFAGCPETFQESQQPGVSTRVQDKHQKIRRFRQGDIIAIPAGVPHWCYNDGNEPVVAVSVLDVHNGANQLDMNPRHFYVAGNPEDMFRQRFDETRDPRGPRETRRPFMEPTQRECNNLFCGMDTRFLAEAFNVNEQLARKLRNENDVRGNIVRVEGNLQLVRPPRTQQERQEQLQRERGFGRRPNGLEETYCSARIIENIGDPTRADVFVPEAGHVRTVNSHNLPILERMQLSASHVVLRDNSVRLPHLHMNAHSLLYAVRGQAQVQVVDENGNAVFDGNVREGQVLTVPQNFAVVKRTDRDVFEYVAFKTNDNAMTNDLAGRASTMSALPVEVIATAYRLSLEDARRLKFATHETTLKSVRPRPGRWADA >Manes.15G070000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5333424:5336955:-1 gene:Manes.15G070000.v8.1 transcript:Manes.15G070000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTAEAKYAAFEEKVKRTIFLYNLSPQVTKAVLRKALDQFGTVVSVSFIPNYLESRNFTCALVEMEDSVKANKVISSISQCPFMVAGMPRPVRARQAEVEMFDDRPVKPGRRVSCNWLNPRDPDFEVAKKLKRLTRKHAAEASFLLKHQVGREEKLHKQQAETLRANFKKYETIAGVLSDRTAQKLAGHYGMRVSDDS >Manes.09G136200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33639317:33641908:-1 gene:Manes.09G136200.v8.1 transcript:Manes.09G136200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCLCSCAFFFVLFLFYIQPRFWLKNFSFLFFSFSTVMEHNQGQDANSRGNHGTERIEPMRPRWNPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVVDANVFYWFQNRRSRSRRRHRQMQASLAEEKRNKRAQASGGAIHYEGGSGAGGSSSSTSLMGFANSPSLTVSPSYLLGSSSSVGVGGHEDGAVESLFLPNEMGFQELDQGSDISSILCPSGSSNLQYQTGFITVFINGVETEVPGGPIDMKALFGQDAVLIHSSGVAVPCNEFGFLMESLQHGESYFLVSSQT >Manes.16G102000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30744042:30748222:1 gene:Manes.16G102000.v8.1 transcript:Manes.16G102000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTSSPPPRHSFHIITISSITFKMRIEQFCQVACRVTLDAESARSFKEKIDDQYRVNMILDNLPVAVLSQSQFYEHGFQVGFKGREDEYFINNHLSFKVKYHEDPVTDSARIVGFEVIPISINHKYENWDGNNTQLTTCNSKTQNNAAQERISAGEEIVFTYDVSFESSQIKWASRWDTYLLMNDNQIHWFSIINSLIIVLFLSGMVAMIMMRTLYKDIASYNQLDTQDEAFEETGWKLVHGDVFRPPSNYSLLCVYAGTGIQVLGMTLATMIFALLGFLSPANRGGLMTAMVLLWVFTGLFAGYSSARLYKMFKGTQWKRVTLRTAFTFPAILFTIFFVLNALIWCEKSSGAVPFGTMFTLMFLWFGISVPLVFIGSYLGFRKPAIEDPIKTNKIPRQIPLRAWYTKPIFCILIGGILPFGAVFIELFFMLTSIWLNQFYYMFGFLFIVFVILIITCAEITIVLCYFQLCSEDYNWWWRSYLTAGSSALYLFLYSGFYFFTKLEITKLVSGILYFGYMLIGSYTFFVLTGSTGFYGCLWFVRKIYSSVKID >Manes.16G102000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30744043:30748220:1 gene:Manes.16G102000.v8.1 transcript:Manes.16G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLLLAVSLLLLPLAHSFYLPGVVPSDFQTGDPLHVKVNKLSSTKTQLPYHYYFLNYCKPPKIINSAENLGEVLRGDRIENSVYSFKMRIEQFCQVACRVTLDAESARSFKEKIDDQYRVNMILDNLPVAVLSQSQFYEHGFQVGFKGREDEYFINNHLSFKVKYHEDPVTDSARIVGFEVIPISINHKYENWDGNNTQLTTCNSKTQNNAAQERISAGEEIVFTYDVSFESSQIKWASRWDTYLLMNDNQIHWFSIINSLIIVLFLSGMVAMIMMRTLYKDIASYNQLDTQDEAFEETGWKLVHGDVFRPPSNYSLLCVYAGTGIQVLGMTLATMIFALLGFLSPANRGGLMTAMVLLWVFTGLFAGYSSARLYKMFKGTQWKRVTLRTAFTFPAILFTIFFVLNALIWCEKSSGAVPFGTMFTLMFLWFGISVPLVFIGSYLGFRKPAIEDPIKTNKIPRQIPLRAWYTKPIFCILIGGILPFGAVFIELFFMLTSIWLNQFYYMFGFLFIVFVILIITCAEITIVLCYFQLCSEDYNWWWRSYLTAGSSALYLFLYSGFYFFTKLEITKLVSGILYFGYMLIGSYTFFVLTGSTGFYGCLWFVRKIYSSVKID >Manes.09G022950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:4687168:4694695:-1 gene:Manes.09G022950.v8.1 transcript:Manes.09G022950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELPKLVDFPEWLLQGSSNALRFLKLENCEYIKELPVCIQNTASLQQLEIKDCDELSKRCERGKGEDWSKIAHIPKIVINGSDIDSSDD >Manes.16G129000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33039890:33042400:1 gene:Manes.16G129000.v8.1 transcript:Manes.16G129000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHFSCEVKAEALQEKLERSRKENEALRVMLEVMSSKLSVLQSRVQEKKFKVHEMGRNSPFSGSGASYNEVYDPIKKQRIEIPMAKPSQFFFRTDPKDKSPIVKDGYQWRKYGQKVTKDNPAPRAYFRCSMAPGCPVKKKVQRCAEDKSFLVATYEGEHNHDPNGSPGHILYSSPESSRSSISCPVISNPFEPGVALDLTLSSAVDDKEKPSHNSNSKVEEYVASLTKDPSFTVALAAAVARSLSTPGVL >Manes.01G184700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36247888:36248966:-1 gene:Manes.01G184700.v8.1 transcript:Manes.01G184700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGLTILKKRSRELKIMRWPHRKIRSLKSLINNIKEMGLTNEIMMLEEHQRLLEKKPDMEFSETTKKLRQAIFKANYKKKRCLVAHHI >Manes.11G037800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3654260:3658706:1 gene:Manes.11G037800.v8.1 transcript:Manes.11G037800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEMSTTPTSRTKDFFASPTLSLSLAGIFRHANAAGAAEVLAMEVEEGEEGSGGGGRREGTVEISSENSGPMRSRSEDEFEGEGEHDDDDDAAGDGNNVKKKKKRKKYHRHTIEQIREMEAFFKESPHPDEKQRQQLSKQLALSPRQVKFWFQNRRTQIKAIQERHENSLLKTEMEKLREENKAMRETINKACCPSCGMATTSSETDTSLTTEEHHLRIENAKLKAEVEKLRAALGKYPPGGPSSCSAGSRQENRSSLDFYTGIFGLEKSRIMEVVNQAMEELIKMATAGEPLWIRSVETGREILNYDEYMKEFGVQNPSSGRPKRSIEASRETGVVFMDLPRLVQSFNDVNQWKEMFPCLISKAATVDVISNGEGFNKNGAVQLMFAEVQMLTPVVPTREIYFVRYCKQLSAEKWAIVDVSIDNVEDNIDASLVKCRKRPSGCIVEDKSNGHCKVTWVEHLECQKSTVHTLYRTIVNSGLAFGARHWTATLQLQCERIVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCRAIGASSYHSWNKVTSKTGDDIRVSSRKNLNDPSEPIGVILCAVSSIWLPVSPSVLFDFLRDETHKTEWDIMSNGGLLQTIANLAKGQDRGNAVTIQTMNSKDNPTWVIQDCCTNAYESTVVYAPVDVNGMQSVITGCDSSNVAVLPSGFSILPDGLESRPLVISSRQEEKGTEGGSLLTMAVQILTNTSPAAKLSMEYVESVNTLMSCTLRNIKTSLQCEDS >Manes.11G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3654260:3658706:1 gene:Manes.11G037800.v8.1 transcript:Manes.11G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEMSTTPTSRTKDFFASPTLSLSLAGIFRHANAAGAAEVLAMEVEEGEEGSGGGGRREGTVEISSENSGPMRSRSEDEFEGEGEHDDDDDAAGDGNNVKKKKKRKKYHRHTIEQIREMEAFFKESPHPDEKQRQQLSKQLALSPRQVKFWFQNRRTQIKAIQERHENSLLKTEMEKLREENKAMRETINKACCPSCGMATTSSETDTSLTTEEHHLRIENAKLKAEVEKLRAALGKYPPGGPSSCSAGSRQENRSSLDFYTGIFGLEKSRIMEVVNQAMEELIKMATAGEPLWIRSVETGREILNYDEYMKEFGVQNPSSGRPKRSIEASRETGVVFMDLPRLVQSFNDVNQWKEMFPCLISKAATVDVISNGEGFNKNGAVQLMFAEVQMLTPVVPTREIYFVRYCKQLSAEKWAIVDVSIDNVEDNIDASLVKCRKRPSGCIVEDKSNGHCKKLHFHSVQASIYSSWQSSQVTWVEHLECQKSTVHTLYRTIVNSGLAFGARHWTATLQLQCERIVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCRAIGASSYHSWNKVTSKTGDDIRVSSRKNLNDPSEPIGVILCAVSSIWLPVSPSVLFDFLRDETHKTEWDIMSNGGLLQTIANLAKGQDRGNAVTIQTMNSKDNPTWVIQDCCTNAYESTVVYAPVDVNGMQSVITGCDSSNVAVLPSGFSILPDGLESRPLVISSRQEEKGTEGGSLLTMAVQILTNTSPAAKLSMEYVESVNTLMSCTLRNIKTSLQCEDS >Manes.14G069600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5805230:5809524:1 gene:Manes.14G069600.v8.1 transcript:Manes.14G069600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAAVACISARKFNHPSSILSIPRYLSLRFHHSSSPPLQGRFTSYPTLKTGFRDSIRAIHEATVDPITTKKQDEKEESQQNWKIKMLYDGDCPLCMREVNMLRERNKSYGTIKFVDISAEDYSAEDNQGLDYKTVMGRIHAVLSDGTVVTDVEAFRRLYEEVGLGWVYAITKYEPVASIADAVYGVWAKYRLQITGRPPLEEVLEARKKKGEICNDDSACKM >Manes.14G069600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5806739:5809524:1 gene:Manes.14G069600.v8.1 transcript:Manes.14G069600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAAVACISARKFNHPSSILSIPRYLSLRFHHSSSPPLQGRFTSYPTLKTGFRDSIRAIHEATVDPITTKKQDEKEESQQNWKIKMLYDGDCPLCMREVNMLRERNKSYGTIKFVDISAEDYSAEDNQGLDYKTAFRRLYEEVGLGWVYAITKYEPVASIADAVYGVWAKYRLQITGRPPLEEVLEARKKKGEICNDDSACKM >Manes.14G069600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5805230:5809524:1 gene:Manes.14G069600.v8.1 transcript:Manes.14G069600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAAVACISARKFNHPSSILSIPRYLSLRFHHSSSPPLQGRFTSYPTLKTGFRDSIRAIHEATVDPITTKKQDEKEESQQNWKIKMLYDGDCPLCMREVNMLRERNKSYGTIKFVDISAEDYSAEDNQGLDYKTVMGRIHAVLSDGTVVTDVEAFRRLYEEVGLGWVYAITKYEPVASIADAVYGVWAKYRLQITGRPPLEEVLEARKKKGEICNDDSACKM >Manes.10G153900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:32059560:32064660:1 gene:Manes.10G153900.v8.1 transcript:Manes.10G153900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFQYIYVRFAAQKQGFFSGIRPLIGLDGCHLKTSIGGQLLCAVDKNVNENMFPLAMAAVDIESKESWIISKMLKSLMPKSEHRFCVKHMYYNYKVVFKAWATTKKTWEVHMTKLKEMDQTAYDWVMKHDPYTWSRSHFSEHTKSNAFQNNISESFNSYIKYARNLPILSMFEWIRRRLTKRFYETFVFNAQEKLAARKIESRNCFCTLVGNNKYEVDFHGTQNTIDLANNTCSSRVWDLMGLPCKHALSCIFYNKEIPEMYMYKYFSKNTYVAVYNHVINPVPKLLTVAGPRGRSRAVSGNRAGRTGPGCYRGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRG >Manes.13G000048.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:174800:188194:1 gene:Manes.13G000048.v8.1 transcript:Manes.13G000048.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGNYVDHHVSTREQITLQDTMDGVVYSSSQFGLDERFGDGDASQVGLDLEEDLFLDKVAATGSGEVSENDASMPVEPIPHPKIDTSHEGMTESSEDIPLNGTREKMEGFSANLGGVDYAQAPSTPGLMEEPNVFSVKEGLVCEDHLEPEDQNLSECVRIGASENVATSDIHHRDDAMDFSLGGHFNSNSSVCMHAEDSSHPSGELEVNQTRMEGDSLSTKVIAEYDPVDGNVGVLDGFNKVEDANKVVLCNNEEPVPSIDGSGECDKSLGVRLRETDDVEITRNMEELHSNGKPFVSNPIHSRESPSAPNSVNIEGQGCQGLEEVMNDNVNNEPIATACTSVLRTCSSRLSEPDIASHDVGNSMVASDLQSVDTVPLSTELLEREEGFHASGTSTKVQVQECHKTDVVRSEENRISEATLSGEILADGGTQEDQLDKAISNDNQCEDLNSSLTSDLPAPEKLLFAPQRPLDRPHDLLVETPDKQVQEEGDESGAGTKISVRKRSLTESSLTVQSVNSVESFSMTKSTRTLDSIPDDDDLLSSILVGRRSSALKMKPTPPVPEVPPMKRARSSSRPSTLKRKVLMDDSMVLHGDTIRQQLTNTEDIRRMRKKAPCTCTEILMIQRQFLEEGIFSEPVLTGMLAELSDLHSEAFDLSRITVSENDDNNNASFEALDDEDSAKQNVNQHSGIEGSTEPGSCRNDLDGQFSETPIQNDNQQVEDHFGSYDIGNQEHVNFITDAADYRTSEHEHLGKISEMEIDKLDAEVADATNHSAQGFETPQREPLSGDIFEMTTGTLDQSDVMDKMIGSDDFMQMDASNMPSDKIDTQLVEEVASLRDMSKGRELDGIEFVDHSVGQIIANGTELRTEGIPLEENKAGVPTEVRDFHPEGCAPIDDNFSSVNVDQAMDEIGNDKHGVLCEIGGLVVSSGLIDDKDQVSNPDQISNPLCNEESKMDSVHLVGLGGDFKSTSMNGDTACEQAERQSIMDTENTQLDCVTTGDCGDFQDDAFANNTEFLNVDDDEIGEDYEEGMPNAEDTRVLDNSGWSSRTRAVAKYLQTLFDKEAGHGRKVLPMDSLLAGKTRKEASRMFFETLVLKTRDYVHVEQAKPFDNINIKPRAKLMKSDF >Manes.13G127600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33512684:33514213:1 gene:Manes.13G127600.v8.1 transcript:Manes.13G127600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAKYPPQGFPAMNIHTTHPPYISSTNATATRWSTGLCHCCDDPANCLVTFICPCVTFGQIAEIVNKGSISCAASGAVYGMLLGLTGLSCLYSCFYRSRMRGQYDLEEAPCVDCLVHFFCEPCALCQEYRELKNRGFDMGIGWQANMDRQSRGITAAPTVGGGMSR >Manes.13G127600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33512684:33514085:1 gene:Manes.13G127600.v8.1 transcript:Manes.13G127600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAKYPPQGFPAMNIHTTHPPYISSTNATATRWSTGLCHCCDDPANCLVTFICPCVTFGQIAEIVNKGSISCAASGAVYGMLLGLTGLSCLYSCFYRSRMRGQYDLEEAPCVDCLVHFFCEPCALCQEYRELKNRGFDMGIGWQANMDRQSRGITAAPTVGGGMSR >Manes.13G127600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33512684:33514927:1 gene:Manes.13G127600.v8.1 transcript:Manes.13G127600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAKYPPQGFPAMNIHTTHPPYISSTNATATRWSTGLCHCCDDPANCLVTFICPCVTFGQIAEIVNKGSISCAASGAVYGMLLGLTGLSCLYSCFYRSRMRGQYDLEEAPCVDCLVHFFCEPCALCQEYRELKNRGFDMGIGWQANMDRQSRGITAAPTVGGGFSDEGFLERP >Manes.08G036300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3494695:3499319:1 gene:Manes.08G036300.v8.1 transcript:Manes.08G036300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGSRGWWMRPTNGQITHPTGEGIEGDPVNKEPQDVLQAPQEPPEQMKITKEEIVEIKSEPLPPEPKEETKEEEIVEIKSAPLPPEPKEETKEEEIVEIKSAPLPPEPKEETKESPLQIKSAPLPPEPKEETKESPLQIKSAPLPPEPKEETKESPLQPEATPKQSPFQPEATPKQSPFQPEATPKQSPFQPEATPKQSPLQPEHEEETGPAKEETHQVPSPPKPKEETESSEVSYHREDSTPAQPITHKEEEKPAVSPGPRKPHNVKRLSSAGLQAESVLRVKTGNLKEYYSLGRKLGHGQFGTTFLCVEKGTGKQYACKSIAKRKLTTPDDVEDVRREIQIMHHLSGHPNVVSIKEAYEDAVAVHVVMELCAGGELFDRIITRGHYTEKKAAQLTKIIVGVIEACHSLGVMHRDLKPENFLFVNEEEDSPLKAIDFGLSVFFKPGETFTDVVGSPYYVAPEVLKKWYGHEADVWSAGVMVYILLSGVPPFWAETEQGIFDEVLNGELDFVSDPWPNISESAKDLVTRMLVRDPKQRITAHEVLCHPWVRDDGVAPDKPLDPAVLSRLKQFSAMNKLKKMALRIIAENLSEEEIAGLTEMFKMIDTDGSGQITFEELKVGLKRFGANLNDSEIYDLMQAADIDNSGTIDYGEFIAATLHLNKVEKEDHLFAAFSYFDKDGSGYITLDELQQACSEFGMEDVQLEEMIREVDQDNDGRIDYNEFVDMMQMGKNGRQHRSFSVGFREALQVC >Manes.12G127500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:33363909:33365659:1 gene:Manes.12G127500.v8.1 transcript:Manes.12G127500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGETHAPNPAAAQSLRPALAFHTTTPGTTLHVPQQPFPTPVPRVGPITVGVRIVQQEGVAALFSGVSATVLRQTLYSTTRMGLYDILKQKWTDPNTKTMPLTSKIVAGLIAGGIGAAVGNPADVAMVRMQADGRLPPAQRRNYKSVVDAITRMAKQEGITSLWRGSSLTVNRAMLVTASQLASYDQFKETILEKRLMSDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEAGEAPPYAGALDCAIKTVKAEGPMALYKGFIPTISRQGPFTIVLFVTLEQVRKLLKDF >Manes.09G126600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32966738:32972629:1 gene:Manes.09G126600.v8.1 transcript:Manes.09G126600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKIGGAEVGRKMTAGMSSMSFKMKELFQGPNQADKLVEEATAETLEDPDWALNLELCDMINHEKVSSVELIRGIKKRIMLKNARIQYLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMMIEAWGESTSELRYLPVYEETYKSLRSRGIRFPGRDNESLAPIFTPPRSVSAPEVDATLAHQIQPDIPVVTFTAEQTKEAFDVARNSIELLSTVLSSSPQQDVLKDDLTTTLIQQCRRSQSTVQRIIETAGDNEALLFEALNVNDEIQKVLSKYEDLRKPSAVSSEPEPAMIPVAVEPDDSPVYAREDALIRKPAGSRGGIHGGSNDDMMDDLDEMIFGKKSGGTSEGAQDPKKQQPSKDDLISF >Manes.09G126600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32966738:32972629:1 gene:Manes.09G126600.v8.1 transcript:Manes.09G126600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKIGGAEVGRKMTAGMSSMSFKMKELFQGPNQADKLVEEATAETLEDPDWALNLELCDMINHEKVSSVELIRGIKKRIMLKNARIQYLALVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMMIEAWGESTSELRYLPVYEETYKSLRSRGIRFPGRDNESLAPIFTPPRSVSAPEVDATLAHQIQPDIPVVTFTAEQTKEAFDVARNSIELLSTVLSSSPQQDVLKDDLTTTLIQQCRRSQSTVQRIIETAGDNEALLFEALNVNDEIQKVLSKYEDLRKPSAVSSEPEPAMIPVAVEPDDSPVYAREDALIRKPAGSRGGIHGGSNDDMMDDLDEMIFGKKSGGTSEGAQDPKKQQPSKDDLISF >Manes.07G125400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32847147:32853362:-1 gene:Manes.07G125400.v8.1 transcript:Manes.07G125400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSGKQEFGDDRSERSNGEDFEDSSSVSGGFQTSRVGSGTLSQGRSETGLTELIVEDGDRLFQRSDCGDRVLQWLQALDMQFMGACRADERLKPWLKLNASGGMAEDRLLAHLNQHFEPSEVGILARCFCIPLVSIRVGKINKRGTLLCPTASRGILNVSMLPTSDLRLSFIGDDVTTERLFTVSSKAESPAVTVHTILADSSGRSFHINIADARDYFFWSSEKSKLLGIELIAKMKDILKRRPSIAELTGISESRLDCFAIHLRSYVLGSNTQESVASIPASSTGTSLDHPDGTSISSKPLRSRHIGSQATKANSAYQGSLSPRSSSFKEGLPRSLWSLKTAAAREKLKRRGDSHLSAVDKLMISLPCEDASSSIQSGSEELPVSKSCPMAPSSFLESLGKLSISPTLNSASYVTSSGPPLVSPYYCWCPQGVSTMQYPPASPQLTTSSIESPLLPPLSSLLSAARSSSKLTPTPSLSLADVPSLDFPALLPDPLVRLPMLGSQQIPTFTPLMCDPIVHIPVIDFCSSGQGYLVSAGPAISSTIPPLHPKLVNPLIPEPESIVEKGARETLRLLISSSTQGGPQLMDVLPVVLTNSGGEHVTGSRGLYSGSSDVNTIANSMATIGLVSVSESIVSGGEIEVRSCWDGSCSEFDSDSDDDNTRHLTTKEGKS >Manes.07G125400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32847146:32853362:-1 gene:Manes.07G125400.v8.1 transcript:Manes.07G125400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDILKRRPSIAELTGISESRLDCFAIHLRSYVLGSNTQESVASIPASSTGTSLDHPDGTSISSKPLRSRHIGSQATKANSAYQGSLSPRSSSFKEGLPRSLWSLKTAAAREKLKRRGDSHLSAVDKLMISLPCEDASSSIQSGSEELPVSKSCPMAPSSFLESLGKLSISPTLNSASYVTSSGPPLVSPYYCWCPQGVSTMQYPPASPQLTTSSIESPLLPPLSSLLSAARSSSKLTPTPSLSLADVPSLDFPALLPDPLVRLPMLGSQQIPTFTPLMCDPIVHIPVIDFCSSGQGYLVSAGPAISSTIPPLHPKLVNPLIPEPESIVEKGARETLRLLISSSTQGGPQLMDVLPVVLTNSGGEHVTGSRGLYSGSSDVNTIANSMATIGLVSVSESIVSGGEIEVRSCWDGSCSEFDSDSDDDNTRHLTTKEGKS >Manes.07G125400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32847147:32853362:-1 gene:Manes.07G125400.v8.1 transcript:Manes.07G125400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSDLRLSFIGDDVTTERLFTVSSKAESPAVTVHTILADSSGRSFHINIADARDYFFWSSEKSKLLGIELIAKMKDILKRRPSIAELTGISESRLDCFAIHLRSYVLGSNTQESVASIPASSTGTSLDHPDGTSISSKPLRSRHIGSQATKANSAYQGSLSPRSSSFKEGLPRSLWSLKTAAAREKLKRRGDSHLSAVDKLMISLPCEDASSSIQSGSEELPVSKSCPMAPSSFLESLGKLSISPTLNSASYVTSSGPPLVSPYYCWCPQGVSTMQYPPASPQLTTSSIESPLLPPLSSLLSAARSSSKLTPTPSLSLADVPSLDFPALLPDPLVRLPMLGSQQIPTFTPLMCDPIVHIPVIDFCSSGQGYLVSAGPAISSTIPPLHPKLVNPLIPEPESIVEKGARETLRLLISSSTQGGPQLMDVLPVVLTNSGGEHVTGSRGLYSGSSDVNTIANSMATIGLVSVSESIVSGGEIEVRSCWDGSCSEFDSDSDDDNTRHLTTKEGKS >Manes.09G167000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35965716:35970906:-1 gene:Manes.09G167000.v8.1 transcript:Manes.09G167000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTVGFIRENCNQAVPNCDSNPILSSFSVQTVEDANCDSKEETANSMSEDIASSRNQETAPVGPTLPILQKIINLSYQIQNLKKEHASLSHQVKTVKTDSFPGTEVLDTLQLLNDSYERLKKKYQEESFERRRLYNEVIELKGNIRVFCRCRPLNQVEIDNGSNCVVEFDPSQDNELYIISSDSSKKQFRFNHVFRPEDNQEAVFAQTKPVVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRISHERSGVMRYELFVSMLEVYNEKIRDLLLENSNQPPKKLEIKQAAEGTQEVPGLIEARVYGIEEAWGLLKSGSRARSVGSTSANELSSRSHCLLRVTVKGESLIDGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTGHVPYRNSKLTHMLQSSLGGDCKTLMFVQISPSAADLGESLCSLNFATRVRGIESGPARKQADVTELFKYKQMADKLQHDEKETKKLQENLQSMQLKLAAREHKCRSLQEKVRELENQLGEERKTRLKQETRALAAASQSSLPSLKQVAEKTKVEKKPPLGPSKMRLPLRRITNFIPQTPNHSMKNKTTGSVVQSSMLDKENFARTTMVERDTKSYLQPRRISVTARPPATTTSAQVRQPKRRVSIATFHPEPNSTTSPVHTSTAKLKKSGAVGRRSYVKDPRKARYSRLFTPLPEFGSVSETTPTATKSSSKFMGSPPPAQAGSWKPRHPTVVALQRKSLVWSPLKFRGMQNYRKSLMPSRPPAELQ >Manes.09G167000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35965716:35970906:-1 gene:Manes.09G167000.v8.1 transcript:Manes.09G167000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGFIRENCNQAVPNCDSNPILSSFSVQTVEDANCDSKEETANSMSEDIASSRNQETAPVGPTLPILQKIINLSYQIQNLKKEHASLSHQVKTVKTDSFPGTEVLDTLQLLNDSYERLKKKYQEESFERRRLYNEVIELKGNIRVFCRCRPLNQVEIDNGSNCVVEFDPSQDNELYIISSDSSKKQFRFNHVFRPEDNQEAVFAQTKPVVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRISHERSGVMRYELFVSMLEVYNEKIRDLLLENSNQPPKKLEIKQAAEGTQEVPGLIEARVYGIEEAWGLLKSGSRARSVGSTSANELSSRSHCLLRVTVKGESLIDGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTGHVPYRNSKLTHMLQSSLGGDCKTLMFVQISPSAADLGESLCSLNFATRVRGIESGPARKQADVTELFKYKQMADKLQHDEKETKKLQENLQSMQLKLAAREHKCRSLQEKVRELENQLGEERKTRLKQETRALAAASQSSLPSLKQVAEKTKVEKKPPLGPSKMRLPLRRITNFIPQTPNHSMKNKTTGSVVQSSMLDKENFARTTMVERDTKSYLQPRRISVTARPPATTTSAQVRQPKRRVSIATFHPEPNSTTSPVHTSTAKLKKSGAVGRRSYVKDPRKARYSRLFTPLPEFGSVSETTPTATKSSSKFMGSPPPAQAGSWKPRHPTVVALQRKSLVWSPLKFRGMQNYRKSLMPSRPPAELQ >Manes.09G167000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35965716:35970906:-1 gene:Manes.09G167000.v8.1 transcript:Manes.09G167000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGFIRENCNQAVPNCDSNPILSSFSVQTVEDANCDSKIEEETANSMSEDIASSRNQETAPVGPTLPILQKIINLSYQIQNLKKEHASLSHQVKTVKTDSFPGTEVLDTLQLLNDSYERLKKKYQEESFERRRLYNEVIELKGNIRVFCRCRPLNQVEIDNGSNCVVEFDPSQDNELYIISSDSSKKQFRFNHVFRPEDNQEAVFAQTKPVVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRISHERSGVMRYELFVSMLEVYNEKIRDLLLENSNQPPKKLEIKQAAEGTQEVPGLIEARVYGIEEAWGLLKSGSRARSVGSTSANELSSRSHCLLRVTVKGESLIDGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTGHVPYRNSKLTHMLQSSLGGDCKTLMFVQISPSAADLGESLCSLNFATRVRGIESGPARKQADVTELFKYKQMADKLQHDEKETKKLQENLQSMQLKLAAREHKCRSLQEKVRELENQLGEERKTRLKQETRALAAASQSSLPSLKQVAEKTKVEKKPPLGPSKMRLPLRRITNFIPQTPNHSMKNKTTGSVVQSSMLDKENFARTTMVERDTKSYLQPRRISVTARPPATTTSAQVRQPKRRVSIATFHPEPNSTTSPVHTSTAKLKKSGAVGRRSYVKDPRKARYSRLFTPLPEFGSVSETTPTATKSSSKFMGSPPPAQAGSWKPRHPTVVALQRKSLVWSPLKFRGMQNYRKSLMPSRPPAELQ >Manes.09G167000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35965716:35970906:-1 gene:Manes.09G167000.v8.1 transcript:Manes.09G167000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLTVGFIRENCNQAVPNCDSNPILSSFSVQTVEDANCDSKIEEETANSMSEDIASSRNQETAPVGPTLPILQKIINLSYQIQNLKKEHASLSHQVKTVKTDSFPGTEVLDTLQLLNDSYERLKKKYQEESFERRRLYNEVIELKGNIRVFCRCRPLNQVEIDNGSNCVVEFDPSQDNELYIISSDSSKKQFRFNHVFRPEDNQEAVFAQTKPVVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRISHERSGVMRYELFVSMLEVYNEKIRDLLLENSNQPPKKLEIKQAAEGTQEVPGLIEARVYGIEEAWGLLKSGSRARSVGSTSANELSSRSHCLLRVTVKGESLIDGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTGHVPYRNSKLTHMLQSSLGGDCKTLMFVQISPSAADLGESLCSLNFATRVRGIESGPARKQADVTELFKYKQMADKLQHDEKETKKLQENLQSMQLKLAAREHKCRSLQEKVRELENQLGEERKTRLKQETRALAAASQSSLPSLKQVAEKTKVEKKPPLGPSKMRLPLRRITNFIPQTPNHSMKNKTTGSVVQSSMLDKENFARTTMVERDTKSYLQPRRISVTARPPATTTSAQVRQPKRRVSIATFHPEPNSTTSPVHTSTAKLKKSGAVGRRSYVKDPRKARYSRLFTPLPEFGSVSETTPTATKSSSKFMGSPPPAQAGSWKPRHPTVVALQRKSLVWSPLKFRGMQNYRKSLMPSRPPAELQ >Manes.06G161600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:28902817:28903599:-1 gene:Manes.06G161600.v8.1 transcript:Manes.06G161600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNVRLPYDENYGCFFGPSQPAIARRVAQERKKLLQYLEQNGSISQDNHSPPVKGSKKFKVTIDRKAKMQRLKESRDYSFLLSDEREAPVPKKEIASYGNCLIRLKPFQVGNGTSKKPAERNLEQKRPLREPCKHKIMQEQPVASSKPQMKKPAVKQNPSQASTQDPNKKRKQISSYEDDHYEGEMALRIIRKMFNTKRFVGRDDADINMETTYEQINKEEKRSERLARKEDQAQLRLIEEEEQRERMRKLKIKKRKLHQQ >Manes.04G109300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31310230:31311675:1 gene:Manes.04G109300.v8.1 transcript:Manes.04G109300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAAPKLPVIDLSKETMKPGSSCWVEACGYVRQALEEYGCFVVEYKKLSPELRSGVFDVLKELFDLPTQVKMQNKCQRPLISYLGNDPRIPLHESLGIEDVETLEAAQKFTTLMWPNGNDRFCEYLHAYGKLVTELDQMVTRMIFESYGVEKHHEPYVEATCYVLRLLKTRSPKENESNSGLGIHTDISFTTILHQNQVNGLEVDTMDGQRINVEFSASSFVVMAGDALMVWSNERIKSPKHQVIMNGKVDRFSMGLFTFNNGILKVPEELVDEEHPLKYKPLDHLGFLHFFHKTRRPIKDYCGI >Manes.05G102401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9587224:9587825:-1 gene:Manes.05G102401.v8.1 transcript:Manes.05G102401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVWNLPRYDFLSSLNCNASFFWEILNAIEEERSIINHFIVY >Manes.14G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17651933:17653420:-1 gene:Manes.14G152200.v8.1 transcript:Manes.14G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHSQPNPLLLLLLASLVLAFAGNFYQDVDITWGDGRGKIFNNGNLITLSLDKASGSGFQSKNQYLFGKFDMQLKLVPGNSAGTVTTFYFHSQGSSWDEIDFEFLGNLSGDPYLLHTNIYTQGKGNREQQFYLWFDPTADFHTYSILWNPGLIVFYVDGRPIREFKNMESIGVPYPKSQPMRMYASLWNADDWATRGGLVKTDWTQAPFTATFRNFKANACIWSNGASSCSNSTNNQWFSQQLDSTSQKQLQWVQKNYMVYNYCTDTRRFPQGLPLECTVVNKN >Manes.06G052600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16416931:16421893:-1 gene:Manes.06G052600.v8.1 transcript:Manes.06G052600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFYTNCGQIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVATPALAPPEVQIDLAAQQQHEAELAAAASQPLPDDDDDAFE >Manes.17G029705.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22391672:22392576:-1 gene:Manes.17G029705.v8.1 transcript:Manes.17G029705.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSPEPGLSYPTQPSTPTQNVIKSIPFAETLILLQETLHPVLAFSNILLQETLHPFWRSPTFSSNLPIPPLNPYSPKSRFVPLASPHSHSRIRIPNKSIIKSH >Manes.16G006200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:658573:665164:-1 gene:Manes.16G006200.v8.1 transcript:Manes.16G006200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAGTIWVNQIRPLSNVGGSDSMCRRCEFGFDLRSNCDFDRFPERECRRLAVLAQRAITPVKDDEKPFTPEVEPSGAIDKVQHTESRVFHKDLNLLPKPLSAMDLSASRDDNKKVRVAYQGIAGAYSEAAALKAYPNCETVPCEHFEAAFKAVELWLVDKAVLPIENSIGGSIHRNYDLLLRHRLHIVGEVQLAVNHCLLGLPGVQKVELKRVLSHPQALSHCEMALSKLGVVKVSTEDTAGAAQMVATCGIRETGAIASARAAEIYGLDILAEKIQDDEDIVTRFLILAREPIIPGTDRPYKTSIVFTLEEGPGVLFKALAVFASRGINLSKIESRPQRNRPLRVVDDSNKGSAKYFDYLFYIDFEASMAEPRAQNALGHLQEFAKFIRVLGCYPVDMIL >Manes.05G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11142005:11157617:1 gene:Manes.05G112600.v8.1 transcript:Manes.05G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPPTGRRPRKTPKKSDIYSTVVVHDSESEPEPEPKTQAYDSGIYATMVYKGGAAHNDNDEEDEDSLPSLFKRLPKDFGGGASLDEDVDDDDSGDFGTMIVKTSRGRPPTQSSSLSSSMASTLRKTRPSPFADYSKRIDGGDDSDGGDDGEGFGTFVVKSTLSGTVLRRTGRVEAGSTMGRAVASMQAVGDIGSGKQRKGSGSSLQGEEGRQQLSKMSSSSIPDSVTREDPTTKYELLNELGKGSYGAVYKARDLRTSELVAIKVISLTEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEPLEEYQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTDQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSTVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKCLTKEPRLRPTASEMLKHKLIEKCKCGASAMLPKIEKARQIRASMALQAQNLVPVVSEPPGGSKLNEDYGDTVPSKRPQMADEIPSSTNDGELAGEVDFGTVVVHDGEEANKTAMQTELHNNREPLLDLGHSESPSVSGTGGKSADVWLDDARAAANHILARESHKMQNKRASSLSGSPALNLQNSIPQSQVGGGGIMGTHTLKNETVSKKAFALQDKLWSIYAAGNTVPIPFLKATDISPIALLSDNVLGGAQWNNGDAQAVETLQELFTGDGPSKKGRRVQNEMPLPPSVYERLTTSSTLLNLAQALAYHKTCYEEMPLQELQATQEQQTIQNLCDTLRTILRL >Manes.05G112600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11142005:11157617:1 gene:Manes.05G112600.v8.1 transcript:Manes.05G112600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPPTGRRPRKTPKKSDIYSTVVVHDSESEPEPEPKTQAYDSGIYATMVYKGGAAHNDNDEEDEDSLPSLFKRLPKDFGGGASLDEDVDDDDSGDFGTMIVKTSRGRPPTQSSSLSSSMASTLRKTRPSPFADYSKRIDGGDDSDGGDDGEGFGTFVVKSTLSGTVLRRTGRVEAGSTMGRAVASMQAVGDIGSGKQRKGSGSSLQGEEGRQQLSKMSSSSIPDSVTREDPTTKYELLNELGKGSYGAVYKARDLRTSELVAIKVISLTEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEPLEEYQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTDQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEVLFMISIEPAPMLEDKEKWSLVFHDFVAKCLTKEPRLRPTASEMLKHKLIEKCKCGASAMLPKIEKARQIRASMALQAQNLVPVVSEPPGGSKLNEDYGDTVPSKRPQMADEIPSSTNDGELAGEVDFGTVVVHDGEEANKTAMQTELHNNREPLLDLGHSESPSVSGTGGKSADVWLDDARAAANHILARESHKMQNKRASSLSGSPALNLQNSIPQSQVGGGGIMGTHTLKNETVSKKAFALQDKLWSIYAAGNTVPIPFLKATDISPIALLSDNVLGGAQWNNGDAQAVETLQELFTGDGPSKKGRRVQNEMPLPPSVYERLTTSSTLLNLAQALAYHKTCYEEMPLQELQATQEQQTIQNLCDTLRTILRL >Manes.10G068500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10668814:10674401:1 gene:Manes.10G068500.v8.1 transcript:Manes.10G068500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLRYLKVVRLPVNSLTGLIPPNIFNSSTIEEITLAVNNFYGNLPASLDLWLPNLKRLILGGNQLDGIIPSSIANSTELIQLELSENLFTGSVPMTFGNLLFLQRLTLIGNFLVNDPSTPELSFLTSLTNCKNLIVLGFSNNPIRGIFPPFVNFSNTLEVLDGSNCEIMGMIPRGLGNLSSLISLSLNNNDLTGPIPDTFQNLAKIQRLYLGDNMLQDSIPNSLCHLSNLGELRLSRNRLAGSIPSCFGNLTSLRDLQLDSNNLTSSIPFSLLSLKDLLILNVSSNSLDGNLPSEIGNLKIMYQLDLSKNQFFGGIPSELGGLQQLTQLSLAHNKFQGPIPGSIGSIVSLEYLDLSNNMLSGVIPKSLEALPYLKYFNVSNNSLEGEIPDGGPFANFTAQSFMNNVGLCGAPQMGFKPCNASSSSKKSTPFLKYVLPATALAILVLGFVCVLSRQRRQKKKRTDPASTTQPDLLNIATYRRFSYQELMQATNGFDESNLLGKGSWSFVYKGTLADGMVVAVKVFNLQLEGALESFDAECEVLRNIRHRNLVKIISSCANVDFKALVMEFMPNGSLEALLHSNCYCLDMVQRLNILADVAVAVEYLHHNYSRPVVHCDIKPSNVLLDENMVAHLGDFGISKLLGESISMTQTKTLATIGYMAPEYGSQGIVSTRGDIYSFGIMMMEILTSKKPTDKMFEGEMCLKDWVLESLESGRISEIMDFNLLNTNESDLAAKEQCVSSLMSLALASCEESPEMRINIRDVLQRLRKIKDQFEMSMQSTCKLP >Manes.06G032851.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:8765793:8767455:1 gene:Manes.06G032851.v8.1 transcript:Manes.06G032851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATPYYQKVSRATARKDCFSAYEIEKKKVKALLKDTDKVSVTTDLWKSGQHISYMVVTAHFVDSEWKLQKRTLNFCDVPPPHTGVVICDVLQKCLVEWGIEDKVWTISVDNASYNDVAVRMLKDNLAYKNSLALHGKLFHVRCCAHILNLLVQDGLSEIADIIKNVRESVKHLVASESRRLIFSEIAKQLKLPSKKLLLDCGTRWNATYFMLSAALEFKDVFLRYQQRDSSYTYLPSEDDWQKVKEVCSFLEEFNEVTNVISDEAHENGNIYMKVMVGKMKCKFDKYWGDYVYLEEGVHICQDDSNFDALEWWKMNNMKFRILSKMARDILSIPITTVASESAFSAGGRIIDPHRASLGAETVQDKDDIQEVILP >Manes.06G056200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15290455:15295176:1 gene:Manes.06G056200.v8.1 transcript:Manes.06G056200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTKPHHPHFHSTPYLSPFSDMSNVIVLDNGGGSIKAGHGGERDPSTVIPNCLYRPLSSKKFLHPSPTTADSTEDLTSAAVRRPIDRGYLINPDLQRDIWNHLFTSLLHIAPSNHSLLLTEPLFTLPSIQRATDELVFEDFGFKSLFITDSPNLVHLYEASRRPDGLVSKAQCSLVVDCGFSFTHAAPVFQNFTLNYGAKRIDLGGKALTNYLKELVSYRSVNVMDESFLIDDMKEKLCFVSLDIARDLQVARKRGKDNLLRCTYVLPDGITHTKGFVKDPDEAKRYLTMTGGVSPSLETKKGIDQPEVSDKLEERKRTDLSKNEFELTNERFLVPEMIFHPADLGMNQAGLAECIVRAVNSCHSLLHPVLYESIILTGGSTLFPRFSQRLEMELCPLVPDEYQVKITTQEDPILGVWRGGSLLASSPDFEAMCVTKSEYEELGSARCRKKFFH >Manes.14G082100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:6831401:6833025:1 gene:Manes.14G082100.v8.1 transcript:Manes.14G082100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPRIPMETAAKIIRRSIYTFLQNYQFFTSVAALLAFPFSVSILLSQALVPSSTYLLPTVYNRLQNLFLAAGFPLSSEFFTILNLKLSQTISSSIFTLPFTLTFFLFAKASVIQALNRHKQSSPPSCISIFNPLLFTYVCNSILIISANATAFCLLFISFNFLEGFGFSSRNNLLLLSAAGIVLYSVLLANALIISNFSLVLSGTERSSGCLAILKACVMIRGRTATALALALPVNLALAGIEALFQYRIVRASHRGQTPSSLMALEGMLIAYMYSIILVLDTIATCIFFKTCKQSSCVDQEGRYPYKIEIAGEDINAGHVSLKVSHELP >Manes.15G117600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9387931:9391795:-1 gene:Manes.15G117600.v8.1 transcript:Manes.15G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVVQYLIIFLFYLFLISGSSVAETPPLEAIQENRIADHRENQILFSSPVFTTQLDTMGTVPIVNPTTPGTTSPIVNPIDSPPAPTAVTTTPPTTPDTTTPTTPATTTPTSSGGAWCIASPTASETALQVALDYACGYGGADCSAIQPGGSCYNQNTVRDHASYAFNDYYQKNPIPTSCVFGGTAQLSSTDPSNGNCHYASAHSTPSSSPPPPTTPTTPTTTTPTPTTMTPPITTTPGGPTIYGVAEPTGLPSSATSVSFSLLLLCSTTAIVGSLLAANNF >Manes.04G048600.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7906651:7912079:-1 gene:Manes.04G048600.v8.1 transcript:Manes.04G048600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFIYSLQNLWPLSIFKFDDLKASNELVSKLSIPESTKRFVYAIRDPDSQSVIYILSVQNLSERSAIDAECLIREIRPEAVVVQVSPSALSEIQSEEGELGNNIDDPLPTSSFGVIKRCFIDKISKDKYENLAGNLVLKEIFGIGFYGHIMAAKKLSREVGSSFLLLETPLVQTAVVDNPSSEVDTGTMFRGLVNSLIPHKLGLAVNVSSSSRKFCVTDDTQFQMVKLLSSYMEVSLQKLGPSSSVSQAVSKDIHPGSSYQVPPFAQSIYPLLSDLHNIFIDLPSIGRALASSQKMLYDVSRGEIVDAQIISEVHTFRIAVEGLRIALDRAGRLPIKSLGNRNKNKVEFSELSVEDKSHALLAEALHSQTRKVKSIVALVDASSLAGLRKHWDTPVPSEVKELVGQVVTNCELDEDLSNQADKKSLFSSKSVVAVGAGATTVLGVSSLSKMVPTSTLFKVVTFKLPTSLNFVLTQTQKTMAIALSKTLGPKVVAPGLANSGANATSVFKAVASAEKIRTVAHSIIASVEKTSFSAMRTAFYEIMRKRQVQPIGFMPWATFGCSIATCSALLMYGDGIECAAESVPAAPSIACLGRGIQNLHQVSQEVRQKDGTRIQKAIESLMYSFRKVKIQ >Manes.04G048600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7906595:7912079:-1 gene:Manes.04G048600.v8.1 transcript:Manes.04G048600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFIYSLQNLWPLSIFKFDDLKASNELVSKLSIPESTKRFVYAIRDPDSQSVIYILSVQNLSERSAIDAECLIREIRPEAVVVQVSPSALSEIQSEEGELGNNIDDPLPTSSFGVIKRCFIDKISKDKYENLAGNLVLKEIFGIGFYGHIMAAKKLSREVGSSFLLLETPLVQTAVVDNPSSEVDTGTMFRGLVNSLIPHKLGLAVNVSSSSRKFCVTDDTQFQMVKLLSSYMEVSLQKLGPSSSVSQAVSKDIHPGSSYQVPPFAQSIYPLLSDLHNIFIDLPSIGRALASSQKMLYDVSRGEIVDAQIISEVHTFRIAVEGLRIALDRAGRLPIKSLGNRNKNKVEFSELSVEDKSHALLAEALHSQTRKVKSIVALVDASSLAGLRKHWDTPVPSEVKELVGQVVTNCELDEDLSNQADKKSLFSSKSVVAVGAGATTVLGVSSLSKMVPTSTLFKVVTFKLPTSLNFVLTQTQKTMAIALSKTLGPKVVAPGLANSGANATSVFKAVASAEKIRTVAHSIIASVEKTSFSAMRTAFYEIMRKRQVQPIGFMPWATFGCSIATCSALLMYGDGIECAAESVPAAPSIACLGRGIQNLHQVSQEVRQKDGTRIQKAIESLMYSFRKVKIQ >Manes.04G048600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:7906381:7912084:-1 gene:Manes.04G048600.v8.1 transcript:Manes.04G048600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFIYSLQNLWPLSIFKFDDLKASNELVSKLSIPESTKRFVYAIRDPDSQSVIYILSVQNLSERSAIDAECLIREIRPEAVVVQVSPSALSEIQSEEGELGNNIDDPLPTSSFGVIKRCFIDKISKDKYENLAGNLVLKEIFGIGFYGHIMAAKKLSREVGSSFLLLETPLVQTAVVDNPSSEVDTGTMFRGLVNSLIPHKLGLAVNVSSSSRKFCVTDDTQFQMVKLLSSYMEVSLQKLGPSSSVSQAVSKDIHPGSSYQVPPFAQSIYPLLSDLHNIFIDLPSIGRALASSQKMLYDVSRGEIVDAQIISEVHTFRIAVEGLRIALDRAGRLPIKSLGNRNKNKVEFSELSVEDKSHALLAEALHSQTRKVKSIVALVDASSLAGLRKHWDTPVPSEVKELVGQVVTNCELDEDLSNQADKKSLFSSKSVVAVGAGATTVLGVSSLSKMVPTSTLFKVVTFKLPTSLNFVLTQTQKTMAIALSKTLGPKVVAPGLANSGANATSVFKAVASAEKIRTVAHSIIASVEKTSFSAMRTAFYEIMRKRQVQPIGFMPWATFGCSIATCSALLMYGDGIECAAESVPAAPSIACLGRGIQNLHQVSQEVRQKDGTRIQKAIESLMYSFRKVKIQ >Manes.12G136500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34340040:34341193:-1 gene:Manes.12G136500.v8.1 transcript:Manes.12G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTRLASFLLLLTVSTAVVADKHDCVYTIYVKTALLINAGTDSIISVTLYNAKGKYVEISNLESWGGLRGPDHDYFKRGNLDIFSGKGPCLHSPVCALNLTSDASGTNPDWYCEYVEVTTTDVHEICSKQMFTIDEWLSRKEEPKELTVIRNNCASKANDLKGCDEVKVKSAIM >Manes.13G102600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30112333:30117629:-1 gene:Manes.13G102600.v8.1 transcript:Manes.13G102600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYSPCLYLGIFGCIWLPNIMQVSFCSRLTSQRRSILINNSSSPGVQLREADSKHVVIDNGIVEVTLSKPKGFVTGVKYKGINNVLDIQDSEDNRGYWDVVWYKPGRVDIFDRMEGTNYTVIMADENQVEVSFTRRWDVSIDSNIVPLNIDKRFIMRRGSSGFYAYAILERLEGWPDVNMDQIRIVFKLQTNKFNYMAISDKKQRIMPTLEDRARGQPLAYPEAVLLTHPSNPQLTGEVDDKYEYSMEHKESKLYGWISDDKSVGFWMIRPSDEFCNGGPIKQDLTSHAGPIVLSMFTSTHYGGKDINTQYRKEEQWKKVFGPVFIYLNSNRANGAYKTLWKDAKRQMSIEVESWPYDFPQSKDFPHSNNRATVEGQLIIHDEGVSKLASSAHVGLAAPGDAGSWQFESKGYQFWTRANKKGFFSIKNVRAGIYNLYAWVPGFIGNYMYKWRISIKQGSKIILGVLKYIPPRNGPTLWEIGIPNRSATEFFIPDPKPTLENKLFINKPHDKFRQYGLWDRYSNLFPSNDLIYHVGKGNYTQDWFFAHVPSKCNRYSALVLRHFLPSDDHLIPSGATWTRSQASWSCCPTELTDIRGQGPTCRFASNPACRDKS >Manes.01G036700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7166698:7170580:1 gene:Manes.01G036700.v8.1 transcript:Manes.01G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQLLASVSAPSPPSSPPMNDPFIPHKLSYIPSQTPTQAPQLTNHSRVSVLYTKPVNSSIYASILESCKSPKLGTQVHAQSIKTGFYENEFVQTKLLQMYARCGCLEFADLLFEIMPIRSLYSWLAILTAYSNQGLFEEAFSLFQELLFEDIGLEFFVFPVVFNICSGLGTVELGRQLHGLVMKYQFFSNIYVANALIDMYGKCESLEDAKKVLATMPQTDSVSWNSVITACAANGMVYEALEFLEKMKSSDNSMPNLVSWSAVIGGFAQNGYDEEAIELLFRMQAEGIEPNARTLASVLPACARLRNLSLGKKFHGYITRHGFMSNPFVVNGLVDVYRRCGDMDSAFKIFSKFSLKNGVSCNAMIVGYCESGEVSKAKDLFDQMELTGVKRDLISWNSMISGYVDNFLFDEALNMFRDLLKVEGIEPDSFTLGSVLTVCADMANLKRGKEMHSYAIVKGLQSNTFVGGALVEMYSKCQDLQAAQMAFDEVIERDIPTWNVLISGYARCNQSGKIENLLANMREDGYEPNVYTWNGILAAYLDNGHLDLVIELFSEMQTPNTRPDIYTIGIILAACSRLATLERGKQVHAHSIRCGYDSDVHIGAALVDMYAKGGSLRDSVLVYNRISNPNLVSHNAMLTAYAMHGHGEEGIALFRRVLATGFRPDNVTFLSVLSSCVHAGSVETGHKFFNLMGFYNVKPELKHYTSMVDLLSRSGQLHEAYEIIQKMPMEPDSVLWSALLGGCVIHGNVELGQIAAERLIDLEPNTTGNYVLLANLYAYARRWSDLARIRQMMKDRGLNKSPGCSWIEDKDEVHAFVACDRSHKRTEEIYTTLNNLTFHMKTG >Manes.09G100000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29838060:29841541:1 gene:Manes.09G100000.v8.1 transcript:Manes.09G100000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTASEAETKKKNKFKIKTLTGWLLKQEQAGVIDAELTIVLSSISMACKQIAALVQRASISNLTGVQGAVNVQGEDQKKLDVVSNEVFSSCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDNTLENADQRCIVNVCQPGSNLLASGYCMYSSSVIFVITIGTGVFAFTLDPMYGEFVLTQENIQIPKAGKIYAFKEGNYRLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIQPVGIHQRVPLYIGSQEEVEKLEKYLA >Manes.12G033500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2932788:2936227:-1 gene:Manes.12G033500.v8.1 transcript:Manes.12G033500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFFLLLITLLCDPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRAVLDPTTREIVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKGENSSKFDEQFMFETTVPSNNLSASPLTDHNHQGLPCGYHQQITSLSSSATPSHHHHHHQVNHDQNSLINLLQLSQETNTNIFMNTTVDMSSKKDDEYGFLWEDMNLEENSLGNGGASSMENMRFEMGNSMVFL >Manes.12G033500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2932788:2936224:-1 gene:Manes.12G033500.v8.1 transcript:Manes.12G033500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGATLPPGFRFYPSDEELVCHYLYKKITNEEVLKGTLVEIDLHTCEPWQLPEVAKLNANEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRAVLDPTTREIVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKGENSSKFDEQFMFETTVPSNNLSASPLTDHNHQGLPCGYHQQITSLSSSATPSHHHHHHQVNHDQNSLINLLQLSQETNTNIFMNTTVDMSSKKDDEYGFLWEDMNLEENSLGNGGASSMENMRFEMGNSMVFL >Manes.09G009600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2038036:2038218:1 gene:Manes.09G009600.v8.1 transcript:Manes.09G009600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYYFFPTDFYYPLPLSSTTTSSSSSKSAVVHMQTERQDGKKQHSNVQEKENSRSLP >Manes.09G186500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37357872:37365185:1 gene:Manes.09G186500.v8.1 transcript:Manes.09G186500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDENLKAEDVEEGEISDTASVEEISEEDFKKQDVNVAQESKPKDGRIWTMRDLYKYQMGGRYVSGLYNLAWAQAVQNKPLNELFVEVEPDEKSKRSPPPSFVPSINSICNSSKEDEKNKVDKVVIDDSGDEMDDKIVDVEKEEGELEEGEIDLDSEPGEKAAGEGKETMSNSDEMSVDGLQIESKEMNLEEKIKSIQEALERVTVIEAQKSFSTTCSKLRNTLESLRNVVPEFNIPTKDYLMQLALTAVRAVNSVFCSMNHKLREQNKDHFSSWLSLVNSYVPPLFSPEQVKEIEVMVCSLDSPGVLMSSISGEKEKETLIHSEVNKDNDVSAKSSVHNLITANKFPLFAESYVNNKPNISLEAPKTGVSAFKSRTVLAPLLDLHKDHDADSLPSPTREAPPPLPVQRLLTPKVVNDTEDSRMHPYETDALKAVSSYQQKFSHSSFAVNDRLPSPTPSEESGAADGDVDGEVSSSLTVGRFRLANPPIFSQTIPSAGLPRTESSSMQGVIPPKSAGSAGSGPALTVKAPAKSRDPRLRFVNSDANFSDQNQHALSMMNHALKMEPALGTRNLKKQKSVDDIIQDGPSLKKQRNTLENSGVIRDVKAMVGSGGWLEETDIVGPQTVNSNQLAENVESDSRRIDNGVACPSTVSGISSVNVSRNEQLQVTGTGVVAGAETAAVMGSSATSLPDLLKNIAVNPTMLINILKMGQQQRLAIEGQQKPVDPAKSTTHPTNTNSILGALPMVNIDPPQSTGILPRPAGTIQDEMVKIRMKPRDPRRVLHNNTLQRNGSLGSEQFKTNMTSTSTNQGTKDCQNVQKQEVQVEMKPPIQSLVPPDISLPFTKSLKNIADIVSVSNASTTQSPASQNVASQPVRSIMLNSDKPTGIGSAPGVAPVGPYSQNGWGDVEHLFEGYNDQQKAAIQRERARRIDEQKKMFAANKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPQRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPTGLLFNGRVISRGDDGDPFDGDERVPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSLAVIERIHQNFFTHLSLDEADVRNILASLQRKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRYVVYPGWVEASALLYRRANEQDFAIKP >Manes.09G186500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37358015:37364665:1 gene:Manes.09G186500.v8.1 transcript:Manes.09G186500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDENLKAEDVEEGEISDTASVEEISEEDFKKQDVNVAQESKPKDGRIWTMRDLYKYQMGGRYVSGLYNLAWAQAVQNKPLNELFVEVEPDEKSKRSPPPSFVPSINSICNSSKEDEKNKVDKVVIDDSGDEMDDKIVDVEKEEGELEEGEIDLDSEPGEKAAGEGKETMSNSDEMSVDGLQIESKEMNLEEKIKSIQEALERVTVIEAQKSFSTTCSKLRNTLESLRNVVPEFNIPTKDYLMQLALTAVRAVNSVFCSMNHKLREQNKDHFSSWLSLVNSYVPPLFSPEQVKEIEVMVCSLDSPGVLMSSISGEKEKETLIHSEVNKDNDVSAKSSVHNLITANKFPLFAESYVNNKPNISLEAPKTGVSAFKSRTVLAPLLDLHKDHDADSLPSPTREAPPPLPVQRLLTPKVVNDTEDSRMHPYETDALKAVSSYQQKFSHSSFAVNDRLPSPTPSEESGAADGDVDGEVSSSLTVGRFRLANPPIFSQTIPSAGLPRTESSSMQGVIPPKSAGSAGSGPALTVKAPAKSRDPRLRFVNSDANFSDQNQHALSMMNHALKMEPALGTRNLKKQKSVDDIIQDGPSLKKQRNTLENSGVIRDVKAMVGSGGWLEETDIVGPQTVNSNQLAENVESDSRRIDNGVACPSTVSGISSVNVSRNEQLQVTGTGVVAGAETAAVMGSSATSLPDLLKNIAVNPTMLINILKMGQQQRLAIEGQQKPVDPAKSTTHPTNTNSILGALPMVNIDPPQSTGILPRPAGTIQDEMVKIRMKPRDPRRVLHNNTLQRNGSLGSEQFKTNMTSTSTNQGTKDCQNVQKQEVQVEMKPPIQSLVPPDISLPFTKSLKNIADIVSVSNASTTQSPASQNVASQPVRSIMLNSDKPTGIGSAPGVAPVGPYSQNGWGDVEHLFEGYNDQQKAAIQRERARRIDEQKKMFAANKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPQRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPTGLLFNGRVISRGDDGDPFDGDERVPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSLAVIERIHQNFFTHLSLDEADVRNILASLQRKILAGCRIVFSRVFPVGEANPHLHPLWQTAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRYVVYPGCECRVEASALLYRRANEQDFAIKP >Manes.04G067100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25417391:25424652:1 gene:Manes.04G067100.v8.1 transcript:Manes.04G067100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICWGSPATIPTPTTTGHLSSAGISQTTTNTTSTRDSNTTSRSTNTASSLGSNVSGNSGYSGRSSDEAFPNGQILPTPNLRIFSFAELRAVTKNFRPDTVLGEGGFGKVFKGWLDEKATGKSGSGNIVAVKKLNSESLQGLEEWQSEIHFLGRLSHPNLVRLIGYCWEDKELLLVYEFMQKGSLENHLFGRGSTVQPLSWDIRLKIAIGAARGLAFLHTSEKQVIYRDFKASNILLDGSYTAKISDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTALRALDTNRPSGKHTLVDWIKPYLHDKRKLKSIMDSRLEGKYPSKAAFRIAQLALNCIETEPKNRPSMKEVVETLERIEASDERPRERKVRSNYQNSHQPLQYRSPLHPRQDGTRTHQQPPRVR >Manes.06G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16066680:16069696:1 gene:Manes.06G049300.v8.1 transcript:Manes.06G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERLASSLICEEILGRLDLKTLCTVACVSRSLRFSVDYEVLPFLSSLHLPPSFIPDSSSLFIILSRCTRAGTLTLTTLTLNCQRLRDSCLTRFLGEQLQQLNLSFCSFLSYGFLVSIGENCPFLRVLMLEFAEQGSPDLFRRTLADMLFKCHLLECVCLKIKGTEVDARAFHFIDLFLPSTLKILKLKPTLEVNAIRLARQLGTGQKLSAMEDFSIPNSPTSYRFALQNLSLVLDVISDRLLVSITSSLPLLVELDLEDRPNKEPSPQFDLTNSGLQLLGSCYHLTGLSLIRSRKNYQGSFKQINDMGMFLLSESCKSLQSVKLCGFSKVTDAGFASLLHSCQQLKKFEVRNAILLSDLAFHNLTGVSSSLVEVRLLSCNLITSETVKKLGSSRSLEVLDLCGCKSIANSCLSSISCLRRLTSLNLTGADITDDGLSILGQGSPPISHLCLRGCKRVTDKGISLLLCGAIAETLAALDLGYMPGISDSSIFTIVAVGREITELCIRCCFYVTDSSLQALATKRSFQYGNKQLRQLDLFNCIGLSADAVRLLRKPLFSGLHWVGIGQTRLVIKGGAILTEICNERPWLTVCSDGCEMGCHDGWQFHRSESH >Manes.06G049300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16066680:16075999:1 gene:Manes.06G049300.v8.1 transcript:Manes.06G049300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERLASSLICEEILGRLDLKTLCTVACVSRSLRFSVDYEVLPFLSSLHLPPSFIPDSSSLFIILSRCTRAGTLTLTTLTLNCQRLRDSCLTRFLGEQLQQLNLSFCSFLSYGFLVSIGENCPFLRVLMLEFAEQGSPDLFRRTLADMLFKCHLLECVCLKIKGTEVDARAFHFIDLFLPSTLKILKLKPTLEVNAIRLARQLGTGQKLSAMEDFSIPNSPTSYRFALQNLSLVLDVISDRLLVSITSSLPLLVELDLEDRPNKEPSPQFDLTNSGLQLLGSCYHLTGLSLIRSRKNYQGSFKQINDMGMFLLSESCKSLQSVKLCGFSKVTDAGFASLLHSCQQLKKFEVRNAILLSDLAFHNLTGVSSSLVEVRLLSCNLITSETVKKLGSSRSLEVLDLCGCKSIANSCLSSISCLRRLTSLNLTGADITDDGLSILGQGSPPISHLCLRGCKRVTDKGISLLLCGAIAETLAALDLGYMPGISDSSIFTIVAVGREITELCIRCCFYVTDSSLQALATKRSFQYGNKQLRQLDLFNCIGLSADAVRLLRKPLFSGLHWVGIGQTRLVIKGGAILTEICNERPWLTVCSDGCEMGCHDGWQFHRSESH >Manes.06G037700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11344286:11350628:-1 gene:Manes.06G037700.v8.1 transcript:Manes.06G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKVKQYSNVLDKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNISELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLRREARLG >Manes.14G097600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8103809:8103949:-1 gene:Manes.14G097600.v8.1 transcript:Manes.14G097600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSTSMGGSKRRISSRGLGAALREQRARLYIIRRCVVMLLCWHD >Manes.06G106400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23887484:23888596:-1 gene:Manes.06G106400.v8.1 transcript:Manes.06G106400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSSANTKEEIEMALTKAKEISTSAPVVVFSKTYCGYCERVKQLFTQLKASFEVIELDKESDGTAIQSALAQWTGQRTVPNVFIGGKHIGGCDSTMEKYQKGELLSLLKEASAIANNSANL >Manes.04G016000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2073620:2077119:-1 gene:Manes.04G016000.v8.1 transcript:Manes.04G016000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYGEDHEDTTVMGFEVPKSPDSSYNNPYPGNEDEARDPPLVPSHLQHTLLSHPASVDAPETIPAPQSVILNHLYIENREAPRSMVALGFTHRFRSKYVTVVLYKPVQRRGSNST >Manes.04G016000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2072808:2077130:-1 gene:Manes.04G016000.v8.1 transcript:Manes.04G016000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYGEDHEDTTVMGFEVPKSPDSSYNNPYPGNEDEARDPPLVPSHLQHTLLSHPASVDAPETIPAPQSVILNHLYIENREAPRSMVALGFTHRFRSKYVTVVLYKPVQRRGSNST >Manes.04G016000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2073953:2074375:-1 gene:Manes.04G016000.v8.1 transcript:Manes.04G016000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYGEDHEDTTVMGFEVPKSPDSSYNNPYPGNEDEARDPPLVPSHLQHTLLSHPASVDAPETIPAPQSVILNHLYIENREAPRSMVALGFTHRFRSKYVTVVLYKPVQRRGSNST >Manes.09G060536.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9754697:9759777:1 gene:Manes.09G060536.v8.1 transcript:Manes.09G060536.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGTEVKSGEPLVVEIGGDFILHLSQACLGEVKKDKGNESVCLYVKVNDKKYVLGILSPEKFPQISFDLVFEKDVELSHNWKNGSVYFSGYKIAQAESDDYSEPEFEIEEDLPVANNNGKPQLESKKAKSPAEKTKPSSSEPKQKVKIIEPQKEDKPKEETDESSDDSSDDDDSTDDQDMVANGEDEEDAESDDEDDESDDEDDESDDEDEEEPTRLKAEQGKKRSAEPAKKVPVADKKAKLVTPQKTEGKKVVGHVATPHPSKQTVKTPANNDQKKDQTQKSFACVSCNRSFGSENALQSHTKAKHSAAQ >Manes.16G096600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30246790:30249763:-1 gene:Manes.16G096600.v8.1 transcript:Manes.16G096600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVLYFLGLCLPLLALYGVVRCSYSIWWKPRWIERKLRQQGIRGSPYKLLVGDMKEQTRLITEAWSKSINLNHQILQRVDPMTTVTVRKYGKMSIFWFGTTPRLIICDPELIKEVLANRLGHFEKPLVNPLLRLLARGVTDLQGEKWAERRKVINPAFQLEKLKGMIPVFAFSCNRMIEQWKEMVHDQETCEVDVWPELQKVTKDIISRVAFGSSYEEGKKIFELQQESIMLAVEATKSLYVPGFRFVPTKKNRRRYELAREITSMLRDLVERKQNAMRTGKSKLDDLLSLLLHSNEQNSSSNASGRKVNGLTIEEVIEECKVFYLAGQETTSSLLTWTLIVLAMHPDWQEKAREEVLQVCGKSEPNFEALNHLKIVTMILNEVLRLYPPVITQFRHAYKESKIGDMTIPAGIDLVLHTMLLHRDPELWGDDAEEFRPERFSEGVLKASKNHLSFIPFGVGQRTCIGQNFAMLEAKTVLARILQHFSFELSPTYSHAPSNFLMLQPQHGAQIILHQI >Manes.13G024500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3346973:3350125:-1 gene:Manes.13G024500.v8.1 transcript:Manes.13G024500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQKWLGNHCDDRMLMAWNSKNVPLHNGVLPPFLYGKGIHNHWVINEAVLSDFRFVFDASSTISSFYLNDEEHKSEKTSSFSDAKNRSWENVGNSHLGATYGLLSFHEINYSSIVKLVKCDGHYLFVDLTEDITYPFMDQGLNLWNRRILHSRRKKKTVACVNHIKSQERVLNCSLRDQLKELAPLEFPFSLESLLSGTADENKTVVLAVAGYSYKDMLMSWVCRLRHLQVTNFLICALDHETYEFSVLQGLPVFHDPSAPSNISFDDCHFGTKCFQRVTKMKSRMVLKILKLGYNVLLSDVDVYWFTNPLPVLRSFGPAVLVAQSDEYNKAGPVNLPRRLNSGFYFAHSDGSTIAALEKVVKHATISGLSEQPSFYDTLCGEGGSNRIGDDRCVEPETNLTVHFLDRNLFPNGAYLDLWQKKNVKNACAKKGCLILHNNWISGRLKKLERQVLSGLWEYDSSKRLCCRGCMVLS >Manes.13G008800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1224089:1225824:1 gene:Manes.13G008800.v8.1 transcript:Manes.13G008800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLATATRSEPNEVTTKATKPQSSPLDKQEQTMVGGIMGSLRVIELQLVAFIMVFSASGLVPLLDLIFPAFASAYILILARLAFPSTGGVSSGSQEIFQGSKFFRLYAIVGTIVGLFLPLAYVLGGFARGDDHAVRSATPHLFLLSFQILTENIISGLSLFSPPVRALVPLLYTGRRMLVILDWIQDVWLNKTLPANAQFKDVGWFWFGRILAAMNLLYFSVNLFGFLIPRFLPRAFEKYFQQRDETHWKMAEDKRSAAANKSQSTDKKAD >Manes.13G008800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1224347:1225858:1 gene:Manes.13G008800.v8.1 transcript:Manes.13G008800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLATATRSEPNEVTTKATKPQSSPLDKQEQTMVGGIMGSLRVIELQLVAFIMVFSASGLVPLLDLIFPAFASAYILILARLAFPSTGGVSSGSQEIFQGSKFFRLYAIVGTIVGLFLPLAYVLGGFARGDDHAVRSATPHLFLLSFQILTENIISGLSLFSPPVRALVPLLYTGRRMLVILDWIQDVWLNKTLPANAQFKDVGWFWFGRILAAMNLLYFSVNLFGFLIPRFLPRAFEKYFQQRDETHWKMAEDKRSAAANKSQSTDKKAD >Manes.08G152900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38979151:38982534:-1 gene:Manes.08G152900.v8.1 transcript:Manes.08G152900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTGDIGFNTVLGGASFVTCVVVGFMSILLKQKQITVNKAAFLRDVCFLLLVLASLSFILIHGEINIWGAIGFLSMYIFYVVFVYVSDIHWSSGYCSDLSIPILSSMGKLEVNVIEEGSLEDVAEVEMKNCCFCITLPAPCLMLINILEMPLYLPRRLTIPVVCEKKWSKPIAVASVTLAPVLLSVLWNPQGDDAGFLNSLVVYGIGLLFGMIFGVIAYVRTENASPPKKCLFPWLAGGFLMSVIWSYIIAQELVALLVSLGYIFEVSPSILGLTVLAWGNSVGDLITNLTMAFNGSPEGAQVAISGCYAGPIFNILFGLGLSLVGSSWHQYPSSVVIPRDLYLLETLCFLVAGLLWALVILPCRKMRLDRVLGAGLLAIYIISMSMRLIQTLGSFQFQVIDS >Manes.08G152900.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38979151:38982534:-1 gene:Manes.08G152900.v8.1 transcript:Manes.08G152900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTGDIGFNTVLGGASFVTCVVVGFMSILLKQKQITVNKAAFLRDVCFLLLVLASLSFILIHGEINIWGAIGFLSMYIFYVVFVYVSDIHWSSGYCSDLSIPILSSMGKLEVNVIEEGSLEDVAEVEMKNCCFCITLPAPCLMLINILEMPLYLPRRLTIPVVCEKKWSKPIAVASVTLAPVLLSVLWNPQGDDAGFLNSLVVYGIGLLFGMIFGVIAYVRTENASPPKKCLFPWLAGGFLMSVIWSYIIAQELVALLVSLGYIFEVSPSILGLTVLAWGNSVGDLITNLTMAFNGSPEGAQVAISGCYAGPIFNILFGLGLSLVGSSWHQYPSSVVIPRDLYLLETLCFLVAGLLWALVILPCRKMRLDRVLGAGLLAIYIISMSMRLIQTLGSFQFQVIDS >Manes.08G152900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:38979151:38982534:-1 gene:Manes.08G152900.v8.1 transcript:Manes.08G152900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVSLSENRRCIIFLNISFLLVACVFLIIQFNSARFLVLTSSQPSNDDSQQDCKGFESLEDNLAKCHYLTSRINPCVSEGYIDYLHLFYCNFGRIPLLGHCLLFLWLLLLFYLLGNTASEYFCSSLENLSSLLKLSPTIAGVTLLSLGNGAPDVFSSLVSFMGSGTGDIGFNTVLGGASFVTCVVVGFMSILLKQKQITVNKAAFLRDVCFLLLVLASLSFILIHGEINIWGAIGFLSMYIFYVVFVYVSDIHWSSGYCSDLSIPILSSMGKLEVNVIEEGSLEDVAEVEMKNCCFCITLPAPCLMLINILEMPLYLPRRLTIPVVCEKKWSKPIAVASVTLAPVLLSVLWNPQGDDAGFLNSLVVYGIGLLFGMIFGVIAYVRTENASPPKKCLFPWLAGGFLMSVIWSYIIAQELVALLVSLGYIFEVSPSILGLTVLAWGNSVGDLITNLTMAFNGSPEGAQVAISGCYAGPIFNILFGLGLSLVGSSWHQYPSSVVIPRDLYLLETLCFLVAGLLWALVILPCRKMRLDRVLGAGLLAIYIISMSMRLIQTLGSFQFQVIDS >Manes.17G017000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9236923:9275341:1 gene:Manes.17G017000.v8.1 transcript:Manes.17G017000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEELWDDSALINAFEDAMSKYKKMHGNKIKDNLIDGGILGSATADKRHGAVSDADENKNVIPDAAEELGETKNLAPVEENQCLASVEPEPCLDSSNGQHKQAVHEFSYSQNWEAYQLLCHQYYDLEEKRQRILHQLQQFGCYGHQCPTESYDSSQQWDTCCTYEDHSVPTTKPSFSTVACSCCPYVCHGSAAPCTSFPTCSLCGTCDEKMHANSSAAIGPAKHSPHNNDDIVKTAMEAAERAMSSMKAISSINSGAEGTSSDLPPVPSSPTSVMPSVAIATPLALRKEKGKGKDGEEMYQSTSSETDISVVLNAWYSAGFYTGKYLTEQSIMKKKH >Manes.17G017000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:9237006:9238888:1 gene:Manes.17G017000.v8.1 transcript:Manes.17G017000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEELWDDSALINAFEDAMSKYKKMHGNKIKDNLIDGGILGSATADKRHGAVSDADENKNVIPDAAEELGETKNLAPVEENQCLASVEPEPCLDSSNGQHKQAVHEFSYSQNWEAYQLLCHQYYDLEEKRQRILHQLQQFGCYGHQCPTESYDSSQQWDTCCTYEDHSVPTTKPSFSTVACSCCPYVCHGSAAPCTSFPTCSLCGTCDEKMHANSSAAIGPAKHSPHNNDDIVKTAMEAAERAMSSMKAISSINSGAEGKHDFSRIIICTRIF >Manes.05G086390.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7336858:7337806:1 gene:Manes.05G086390.v8.1 transcript:Manes.05G086390.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVICPRGKWTWPELVGKDGNVAAAIIEKENENVTAIVIKQSESVHPVRPIFYCGRVRVFVDENGKVISPPTIG >Manes.18G113600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:11497944:11498879:1 gene:Manes.18G113600.v8.1 transcript:Manes.18G113600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKFVAVEEDSLDNHGVAWWRGHLLGKGGSGSVFLAYLKKPKSRNEFYRRVMAVKSAEVSSSSSLQKEKEVFNHLHDCPYILECYGEETTVSQNGQMFYNLLLEYASGGTLADLIRRSGGCGLPELDVKRYTRSILKGIDYIHRHDYVHRDLKPENVLLVPSGSGDFVPKIADFGLAKKVQKTKRRVFDSSIAGTILYMAPETLVDNIQESPSDIWALGCIVYEMFTGKPLWGLNPNESTEELCKRIVDRFKLPEIPSGISKDGKDFLKRCLVKNHKFRFTIEMLLNHPFVSGILDDRGNEPCDWSCCEE >Manes.09G156600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35216622:35220097:1 gene:Manes.09G156600.v8.1 transcript:Manes.09G156600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLASLYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDSVDNAKKYEPKYRLIRNGLDTKVEKSRKQLKERKNRAKKIRGIKKTKAGDAAKGGKKK >Manes.15G192972.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:32496074:32497884:1 gene:Manes.15G192972.v8.1 transcript:Manes.15G192972.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNKINIKFIEHIYYLIDLYWRSPLFNRYYYKRNRFDSLYQREFCFQICAGIFSRSHFFPQKVSLGKKANLIFLFFLLWKLILPFYSFTLFCITLPLSCFSTA >Manes.14G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10522179:10525908:-1 gene:Manes.14G132400.v8.1 transcript:Manes.14G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNPISQYLFNKTHLQLSPSPSLSTVNFQSSFVPKRAYFQFQVPLSRKIVNMPLKAAVVVSATTAEKPKKRYPGEAKGFVEEMRFVAMKLHTREQAKEGEKVVEKPEERAVPKWEPSVEGYLKFLVDSKLVYDTLESIVEKAAYPFYAEFKNTGLERSEKLSKDLEWFKEQGYAIPEPSSPGVSYAQYLKELSEKDPQAFICHFYNIYFAHSAGGRMIGKKVAEKILDKKELEFYKWDGDLSQLLQNVRDKLNKVAESWTREEKNHCLEETEKSFKYSGEILRLILS >Manes.02G142200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10928108:10934441:-1 gene:Manes.02G142200.v8.1 transcript:Manes.02G142200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSLSSCLSLKSHSLTSLITSTNDNSRSKKIKPHVLQVSAFSYQKFIHFALSEAKSRTVLVASPLQEKFNSMTAMDGRTELKMLSFQASKIRLLRSLSIENEAMQVLDFAAFARPEFDVPIFCANFFTAASMNIIVLDLNPLHNLIDKSDYKEKYYKSLFPVGLKYAELFPWGGKLTSESLQFFSPVVIWTKFTTSQYRHDDLYSAFVDYYKAWLELVDLAVEEKDASQIICNREAQHKYLTWRAEKDPGHGVLKRLIGESLAKELPLQWN >Manes.02G142200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10927270:10934441:-1 gene:Manes.02G142200.v8.1 transcript:Manes.02G142200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSLSSCLSLKSHSLTSLITSTNDNSRSKKIKPHVLQVSAFSYQKFIHFALSEAKSRTVLVASPLQEKFNSMTAMDGRTELKMLSFQASKIRLLRSLSIENEAMQVLDFAAFARPEFDVPIFCANFFTAASMNIIVLDLNPLHNLIDKSDYKEKYYKSLFPVGLKYAELFPWGGKLTSESLQFFSPVVIWTKFTTSQYRHDDLYSAFVDYYKAWLELVDLAVEEKDASQIICNREAQHKYLTWRAEKDPGHGVLKRLIGESLAKDVIRNFLFSGIDELGSKGFLDYFPEYRCNDGNINEKRSIIGKSFECRPWDAKGEFIGNNMKI >Manes.02G142200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10927270:10934441:-1 gene:Manes.02G142200.v8.1 transcript:Manes.02G142200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSLSSCLSLKSHSLTSLITSTNDNSRSKKIKPHVLQVSAFSYQKFIHFALSEAKSRTVLVASPLQEKFNSMTAMDGRTELKMLSFQASKIRLLRSLSIENEAMQVLDFAAFARPEFDVPIFCANFFTAASMNIIVLDLNPLHNLIDKSDYKEKYYKSLFPVGLKYAELFPWGGKLTSESLQFFSPVVIWTKFTTSQYRHDDLYSAFVDYYKAWLELVDLAVEEKDASQIICNREAQHKYLTWRAEKDPGHGVLKRLIGESLAKDVIRNFLFSGIDELGSKGFLDYFPEYRCNDGNINEKRSIIGKSFECRPWDAKGEFIVFEQLHSLNRQIHCKKFHFLWEHYCSNFEYC >Manes.02G142200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10927270:10934441:-1 gene:Manes.02G142200.v8.1 transcript:Manes.02G142200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSLSSCLSLKSHSLTSLITSTNDNSRSKKIKPHVLQVSAFSYQKFIHFALSEAKSRTVLVASPLQEKFNSMTAMDGRTELKMLSFQASKIRLLRSLSIENEAMQVLDFAAFARPEFDVPIFCANFFTAASMNIIVLDLNPLHNLIDKSDYKEKYYKSLFPVGLKYAELFPWGGKLTSESLQFFSPVVIWTKFTTSQYRHDDLYSAFVDYYKAWLELVDLAVEEKDASQIICNREAQHKYLTWRAEKDPGHGVLKRLIGESLAKDVIRNFLFSGIDELGSKGFLDYFPEYRCNDGNINEKRSIIGKSFECRPWDAKGEFIGNNMKI >Manes.02G142200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10928107:10934441:-1 gene:Manes.02G142200.v8.1 transcript:Manes.02G142200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSLSSCLSLKSHSLTSLITSTNDNSRSKKIKPHVLQVSAFSYQKFIHFALSEAKSRTVLVASPLQEKFNSMTAMDGRTELKMLSFQASKIRLLRSLSIENEAMQVLDFAAFARPEFDVPIFCANFFTAASMNIIVLDLNPLHNLIDKSDYKEKYYKSLFPVGLKYAELFPWGGKLTSESLQFFSPVVIWTKFTTSQYRHDDLYSAFVDYYKAWLELVDLAVEEKDASQIICNREAQHKYLTWRAEKVIYLSFLNLSCSASYPPTYSDHPSDFTCVHACN >Manes.02G142200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10928107:10934441:-1 gene:Manes.02G142200.v8.1 transcript:Manes.02G142200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSLSSCLSLKSHSLTSLITSTNDNSRSKKIKPHVLQVSAFSYQKFIHFALSEAKSRTVLVASPLQEKFNSMTAMDGRTELKMLSFQASKIRLLRSLSIENEAMQVLDFAAFARPEFDVPIFCANFFTAASMNIIVLDLNPLHNLIDKSDYKEKYYKSLFPVGLKYAELFPWGGKLTSESLQFFSPVVIWTKFTTSQYRHDDLYSAFVDYYKAWLELVDLAVEEKDASQIICNREAQHKYLTWRAEKVIYLSFLNLSCSASYPPTYSDHPSDFTCVHACN >Manes.02G142200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10928091:10934441:-1 gene:Manes.02G142200.v8.1 transcript:Manes.02G142200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMDGRTELKMLSFQASKIRLLRSLSIENEAMQVLDFAAFARPEFDVPIFCANFFTAASMNIIVLDLNPLHNLIDKSDYKEKYYKSLFPVGLKYAELFPWGGKLTSESLQFFSPVVIWTKFTTSQYRHDDLYSAFVDYYKAWLELVDLAVEEKDASQIICNREAQHKYLTWRAEKDPGHGVLKRLIGESLAKDVIRNFLFSGIDELGSKGFLDYFPEYRCNDGNINEKRSIIGKSFECRPWDAKGEFIGNNMKI >Manes.10G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25099446:25102247:-1 gene:Manes.10G103200.v8.1 transcript:Manes.10G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAPTLPQKITTIKTIAESPGLTSIPSIYSFTPNPHDHVVSDTQVSLPAIDYSLLTSGTPQQRSKAIDDLGKACKDWGFFMVINHGVPERLVRSMIDVCRGFFDLPEEEKEEYRGKHVLDPIRCGTSFNASVDEVFFWKDFLKIISWPVFHSPNKPAAFSETSLEYSTRTREIARELLRGISESLGLGPNYIQKAMNLEQGLQVIAANFYPPCPQPEIAMGMPPHSDHGLLTLLIHNGISGLQVQHEGKWVNVNGIPNSILVNIGDHLEILSNGKYRSVLHRAAVNNKVTRISIAIAHGPSLDTVVSPAPELLESEKKAAAYTGIKYKDYLQLQQSSNLDGKWTMLIRICNERELW >Manes.03G158300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28631247:28634431:-1 gene:Manes.03G158300.v8.1 transcript:Manes.03G158300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLGLLGVSGGAGGGSSGSSTNESTVSKVEVVDAEASSYPVEAELELGLGLSIGGGGGGKGKASAWGECGRILTAKDFPSLVTQPHRGHNNNNNATVSARVAVSGTKRAAEPVSQEGGSPTSVSQVVGWPPIRAYRINSLVNQAKASRSEEDKSVNEKDKSNDSFKKKICNSNKTNATGNEKGNLGFVKVNMDGVPIGRKVDLNAHASYETLAQTLEEMFFRSTPAISSMGGGEKQQAMNPSKLLDGTSEFVLTYEDKEGDWMLVGDVPWRMFLGSVKRLRIMRTSEANGLAPRFQERNERQRSKPI >Manes.03G158300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28631247:28634431:-1 gene:Manes.03G158300.v8.1 transcript:Manes.03G158300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLGLLGVSGGAGGGSSGSSTNESTVSKVEVVDAEASSYPVEAELELGLGLSIGGGGGGKGKASAWGECGRILTAKDFPSLVTQPHRGHNNNNNATVSARVAVSGTKRAAEPVSQEGGSPTSVSQVVGWPPIRAYRINSLVNQAKASRSEEDKSVNEKDKSNDSFKKKICNSNKTNATGNEKGNLGFVKVNMDGVPIGRKVDLNAHASYETLAQTLEEMFFRSTPAISSMGGGEKQQAMNPSKLLDGTSEFVLTYEDKEGDWMLVGDVPWRMFLGSVKRLRIMRTSEANGLGM >Manes.18G108200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10690379:10697488:-1 gene:Manes.18G108200.v8.1 transcript:Manes.18G108200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKNRYMVMEVFLDPNRDLVGDDPIIITQFNVSKAIKDSILVNYGECGLASSLGSLQVKYVNPITKLCIIRASREDYQKVWSAITMVRSIGNCPVLFNLLDLSGSIKACRSAALKCDEAKFAQYKLVVGDRLSEDVTKHMQNCLEKIKILEH >Manes.18G108200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10692642:10697522:-1 gene:Manes.18G108200.v8.1 transcript:Manes.18G108200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKNRYMVMEVFLDPNRDLVGDDPIIITQFNVSKAIKDSILVNYGECGLASSLGSLQVKYVNPITKLCIIRASREDYQKVWSAITMVRSIGNCPVLFNLLDLSGSIKACRSAALKCDEAKFAQYKLVVGDRLSEDVTKHMQNCLEKIKILEH >Manes.18G108200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10693090:10695231:-1 gene:Manes.18G108200.v8.1 transcript:Manes.18G108200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKNRYMVMEVFLDPNRDLVGDDPIIITQFNVSKAIKDSILVNYGECGLASSLGSLQVKYVNPITKLCIIRASREDYQKVWSAITMVRSIGNCPVLFNLLDLSGSIKACRSAALKCDEAKFAQYKLVVGDRLSEDVTKHMQNCLEKIKILEH >Manes.18G108200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10692641:10697488:-1 gene:Manes.18G108200.v8.1 transcript:Manes.18G108200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFKNRYMVMEVFLDPNRDLVGDDPIIITQFNVSKAIKDSILVNYGECGLASSLGSLQVKYVNPITKLCIIRASREDYQKVWSAITMVRSIGNCPVLFNLLDLSGSIKACRSAALKCDEAKFAQYKLVVGDRLSEDVTKHMQNCLEKIKILEH >Manes.06G139500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26763776:26768002:1 gene:Manes.06G139500.v8.1 transcript:Manes.06G139500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCRKAKLAIGAFRSLASKVISRRSVQESTSRIRPNGSSLSSPTPSKFSGFYPNSSVSQRLGLGFQMGAKRLHLNPFFGDGKRFYHVDRHQVYHFKPRGPRRWFQNPRSILIIFLVGSGVFITVYFGNLESVPYTKRKHFVILSKSMERKIGENQFEQMKAAFKGKMLPAIHPDSVRVRLIAKDIIEALQRGLRREQVWSDLGYASSDSYITHEGSAHETLRALTETEGKVETRWHKEDEILDDNWVEQSRKKERGARAQTSHLDGLNWEVLVVNEPVVNAFCLPGGKIVVFTGLLDHFKTDAEIATIIGHEVGHAVARHAAEGITKNLWFAILQLILYQFVMPDVVNTMSTLFLRLPFSRRMEIEADYIGLLLMASAGYDPRVAPRVYEKLGKVTGDSALRDYLSTHPSGRKRSQLLAQAQVMEEALTIYRESMSGRGIEGFFL >Manes.11G055000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6727994:6728667:-1 gene:Manes.11G055000.v8.1 transcript:Manes.11G055000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFRGVRQRRWGSWVSEIRHPLLKRRVWLGTFESAEAAARAYDEAAVLMCGRNAKTNFPVVTDKQGYDDKSSFSSSPAPSTATATTRLSSLLSAKLRKPCKSLSPSLTCLRLDTESCHIGVWQKRAGPRSDSNWVTIVELGKKGQRAQEPKFPGSLETPQVMVGQEVGGGGGGLDEEKRIALEMIEELLNSN >Manes.09G045700.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7996558:8000932:-1 gene:Manes.09G045700.v8.1 transcript:Manes.09G045700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPSRIGDRSTSDVVVRLRTREGRDDWFYCHSHILVEKSKYFADRLSENWPTCQIIDSRNCVEVYCEESDFDHFVNFIRLLYVAIDGSSDDFWHGVKNALGILQVAVELGCPQIVTGCVNYLEAVPWEEADEEEILRVVPGMGSQAEPILARLQPVNPSTIRRVFFSAVQFATSSPPSSMNNLKTSAQEQLEYMLTEDDDAPLLTADDEVKSVVKNCVKGLFSRFNNLLDTFLFQPVESVSESGKMQSFQHHLSDISWACQILSKLEIMSEFVNSWVDASDKILQVVKHASSTGEVIETKLKVIEVTSKILEAIGYGTVILPTAKRLHMVKTWLPFVRVSKPLIDSVTTNDDEAMELKIDGELWQSLESAFVSIVLALPSGDQAEILTEWLGNEHVHYPDFSEAFEVWCYRTKIAKRRLADIKENHGMENTL >Manes.09G045700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7996558:8000932:-1 gene:Manes.09G045700.v8.1 transcript:Manes.09G045700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPSRIGDRSTSDVVVRLRTREGRDDWFYCHSHILVEKSKYFADRLSENWPTCQIIDSRNCVEVYCEESDFDHFVNFIRLLYVAIDGSSDDFWHGVKNALGILQVAVELGCPQIVTGCVNYLEAVPWEEADEEEILRVVPGMGSQAEPILARLQPVNPSTIRRVFFSAVQFATSSPPSSMNNLKTSAQEQLEYMLTEDDDAPLLTADDEVKSVVKNCVKGLFSRFNNLLDTFLFQPVESVSESGKMQSFQHHLSDISWACQILSKLEIMSEFVNSWVDASDKILQVVKHASSTGEVIETKLKVIEVTSKILEAIGYGTVILPTAKRLHMVKTWLPFVRVSKPLIDSVTTNDDEAMELKIDGELWQSLESAFVSIVLALPSGDQAEILTEWLGNEHVHYPDFSEAFEVWCYRTKIAKRRLADIKENHGMENTL >Manes.09G045700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7996558:8000932:-1 gene:Manes.09G045700.v8.1 transcript:Manes.09G045700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPSRIGDRSTSDVVVRLRTREGRDDWFYCHSHILVEKSKYFADRLSENWPTCQIIDSRNCVEVYCEESDFDHFVNFIRLLYVAIDGSSDDFWHGVKNALGILQVAVELGCPQIVTGCVNYLEAVPWEEADEEEILRVVPGMGSQAEPILARLQPVNPSTIRRVFFSAVQFATSSPPSSMNNLKTSAQEQLEYMLTEDDDAPLLTADDEVKSVVKNCVKGLFSRFNNLLDTFLFQPVESVSESGKMQSFQHHLSDISWACQILSKLEIMSEFVNSWVDASDKILQVVKHASSTGEVIETKLKVIEVTSKILEAIGYGTVILPTAKRLHMVKTWLPFVRVSKPLIDSVTTNDDEAMELKIDGELWQSLESAFVSIVLALPSGDQAEILTEWLGNEHVHYPDFSEAFEVWCYRTKIAKRRLADIKENHGMENTL >Manes.09G045700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7996956:8000740:-1 gene:Manes.09G045700.v8.1 transcript:Manes.09G045700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAPSRIGDRSTSDVVVRLRTREGRDDWFYCHSHILVEKSKYFADRLSENWPTCQIIDSRNCVEVYCEESDFDHFVNFIRLLYVAIDGSSDDFWHGVKNALGILQVAVELGCPQIVTGCVNYLEAVPWEEADEEEILRVVPGMGSQAEPILARLQPVNPSTIRRVFFSAVQFATSSPPSSMNNLKTSAQEQLEYMLTEDDDAPLLTADDEVKSVVKNCVKGLFSRFNNLLDTFLFQPVESVSESGKMQSFQHHLSDISWACQILSKLEIMSEFVNSWVDASDKILQVVKHASSTGEVIETKLKVIEVTSKILEAIGYGTVILPTAKRLHMVKTWLPFVRVSKPLIDSVTTNDDEAMELKIDGELWQSLESAFVSIVLALPSGDQAEILTEWLGNEHVHYPDFSEAFEVWCYRTKIAKRRLADIKENHGMENTL >Manes.12G109100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30254336:30256189:1 gene:Manes.12G109100.v8.1 transcript:Manes.12G109100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQREEEEEATYKSSVNSQDAESKVTITDQTGAEDDNMKQWLSLGVNRHQQPAAPGDNDAASSSKPANNKVFSCNFCMRKFYSSQALGGHQNAHKRERGAAKRFQTHRMMMASTTAVGFPFNPVTVRSLGVQAHSLVHKPSREGTSLVARFGDANAGFGLGWTPFMIEEATDLVWPGSFRVDKEQPSDLHKIDLNLRL >Manes.01G068400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26975625:26982635:1 gene:Manes.01G068400.v8.1 transcript:Manes.01G068400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTLDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALEQQPDIAQITQLREAYREAGQDLLKLLFFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSLLQQVFKHVGLGAVIGAISRNLHELQEHQGSYLSIYDQPALPFQDPVVDSLKAAVDRLSHSTNFLNFLAQHALIMQEELPTTTEERADDQKYHFMSLTLNLVNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSVVLLVGNVMYAMALDCRSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKFTFNQVTLPGWVMAVGWLIYLILLWITFREPSHETEDNNVSQDNAGPGENDVLERGLKQPLLLSSEGKQEDENGDGECDESEEAPEESRRPATSIVSAYRLLTPSVKVSCFMMDFRSVQGTSNLCPKLLLLSWHVQLLIYFMLKYAMEILLSESSVITTYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVCLGVLLSFKFVNAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGQSRLLNATLIPSLFISVASIIATCFTYNSLY >Manes.01G068400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26975619:26982992:1 gene:Manes.01G068400.v8.1 transcript:Manes.01G068400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTLDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALEQQPDIAQITQLREAYREAGQDLLKLLFFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSLLQQVFKHVGLGAVIGAISRNLHELQEHQGSYLSIYDQPALPFQDPVVDSLKAAVDRLSHSTNFLNFLAQHALIMQEELPTTTEERADDQKYHFMSLTLNLVNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSVVLLVGNVMYAMALDCRSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKFTFNQVTLPGWVMAVGWLIYLILLWITFREPSHETEDNNVSQDNAGPGENDVLERGLKQPLLLSSEGKQEDENGDGECDESEEAPEESRRPATSIVSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVCLGVLLSFKFVNAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGQSRLLNATLIPSLFISVASIIATCFTYNSLY >Manes.01G068400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26975621:26982635:1 gene:Manes.01G068400.v8.1 transcript:Manes.01G068400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTLDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALEQQPDIAQITQLREAYREAGQDLLKLLFFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSLLQQVFKHVGLGAVIGAISRNLHELQEHQGSYLSIYDQPALPFQDPVVDSLKAAVDRLSHSTNFLNFLAQHALIMQEELPTTTEERADDQKYHFMSLTLNLVNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSVVLLVGNVMYAMALDCRSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKFTFNQVTLPGWVMAVGWLIYLILLWITFREPSHETEDNNVSQDNAGPGENDVLERGLKQPLLLSSEGKQEDENGDGECDESEEAPEESRRPATSIVSAYRLLTPSVKVSCFMMDFRSVQGTSNLCPKLLLLSWHVSLRLTCVVRFLYGEVLIIK >Manes.01G068400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26975623:26983605:1 gene:Manes.01G068400.v8.1 transcript:Manes.01G068400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTLDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALEQQPDIAQITQLREAYREAGQDLLKLLFFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSLLQQVFKHVGLGAVIGAISRNLHELQEHQGSYLSIYDQPALPFQDPVVDSLKAAVDRLSHSTNFLNFLAQHALIMQEELPTTTEERADDQKYHFMSLTLNLVNTFLYMVNTYIIVPTADDYSTMLGAPATVCGVVIGAMAVAQVFSSVYFSAWSNKSYFRPLVFSSVVLLVGNVMYAMALDCRSITLLLVGRLFCGFGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKFTFNQVTLPGWVMAVGWLIYLILLWITFREPSHETEDNNVSQDNAGPGENDVLERGLKQPLLLSSEGKQEDENGDGECDESEEAPEESRRPATSIVSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFGWSTSTVAIFLACLGLTVLPVNIIVGSYISNMFEDRQILLASEIMVCLGVLLSFKFVNAYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGQSRLLNATLIPSLFISVASIIATCFTYNSLY >Manes.06G114700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24563837:24566728:-1 gene:Manes.06G114700.v8.1 transcript:Manes.06G114700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLCLLTKNPLRFSRLISTTLMCSSIPRGKSGTCVQMKLVYNHLAPICLLLLQLMNCSCTCLLSSYLNLFHIVLYQVCSDGKPKVSSCRRKATIREFYAVILPSLQYLHGDPMELAMTQAEDCMEVVVKKRLEGRRKFLDMDLEREDECGICLEPCTKMVVPNCCHSMCINCYHDWNTRSESCPFCRGSLKRVNSGDLWVLTCNKDVIDTETVLREDILRFYLYINSLPKDIPDALFLVYYEYLI >Manes.06G114700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24563837:24566730:-1 gene:Manes.06G114700.v8.1 transcript:Manes.06G114700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYYELAAMSSYQEPLKVLEADIHHANVLAASIPRGKSGTCVQMKLVYNHLAPICLLLLQLMNCSCTCLLSSYLNLFHIVLYQVCSDGKPKVSSCRRKATIREFYAVILPSLQYLHGDPMELAMTQAEDCMEVVVKKRLEGRRKFLDMDLEREDECGICLEPCTKMVVPNCCHSMCINCYHDWNTRSESCPFCRGSLKRVNSGDLWVLTCNKDVIDTETVLREDILRFYLYINSLPKDIPDALFLVYYEYLI >Manes.06G114700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24563837:24566730:-1 gene:Manes.06G114700.v8.1 transcript:Manes.06G114700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLCLLTKNPLRFSRLISTTLMCSSIPRGKSGTCVQMKLVYNHLAPICLLLLQLMNCSCTCLLSSYLNLFHIVLYQVCSDGKPKVSSCRRKATIREFYAVILPSLQYLHGDPMELAMTQAEDCMEVVVKKRLEGRRKFLDMDLEREDECGICLEPCTKMVVPNCCHSMCINCYHDWNTRSESCPFCRGSLKRVNSGDLWVLTCNKDVIDTETVLREDILRFYLYINSLPKDIPDALFLVYYEYLI >Manes.06G114700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24563837:24566728:-1 gene:Manes.06G114700.v8.1 transcript:Manes.06G114700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYYELAAMSSYQEPLKVLEADIHHANVLAASIPRGKSGTCVQMKLVYNHLAPICLLLLQLMNCSCTCLLSSYLNLFHIVLYQVCSDGKPKVSSCRRKATIREFYAVILPSLQYLHGDPMELAMTQAEDCMEVVVKKRLEGRRKFLDMDLEREDECGICLEPCTKMVVPNCCHSMCINCYHDWNTRSESCPFCRGSLKRVNSGDLWVLTCNKDVIDTETVLREDILRFYLYINSLPKDIPDALFLVYYEYLI >Manes.14G127700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10190264:10196904:1 gene:Manes.14G127700.v8.1 transcript:Manes.14G127700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMQIKDSQENHVVSSLQTLDIAKESIPRRRNGRRRGGKGLKMDSKNMLLSEAKGTPPASCKSLFMFHRRPGYGQLGTKCMVKANHFLAEIPDTDLSHYSVEITPEVTSRKLSKAIMTQLVKLHRGTDLGMRLPVYDGGRNLYTARSLPFISKDFTVTLVHEDEATGNFKKREFKVTIKFEALASMLQLRELFSGKPVDTPQEAITIIDIILREFAAQRYVSIGRSFYSPDIKKPQKLDGGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLLVIDFVAQILCKDVYKRPLSDADRVKVKKALRGVKVEVTHRGNVRRKYRISGLTTQPTRELIFPLDGHMNMKSVVEYFQEMYGYTIQYPHLPCLQVGNQRKVNYLPMEACKIVQGQRYTKGLNEKQITSLLKVSCQRPRDQESDILQTIQQNGYNQDPYAKEFGISIDSKLASIEARVLPAPWLKYNDTGKVKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQETTARGFCQQLGQMCQISGMDFNCEPVLPIYSARPDQVKKALKYVYHAAAKKLEGKELELLIAILPDSNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDTSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLFKTWQDPQQGTVSGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFTSNHNDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPEDAPENPKMRCTRAANGSCVRPLPALKDKVKSVMFYC >Manes.14G127700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10190264:10196839:1 gene:Manes.14G127700.v8.1 transcript:Manes.14G127700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMQIKDSQENHVVSSLQTLDIAKESIPRRRNGRRRGGKGLKMDSKNMLLSEAKGTPPASCKSLFMFHRRPGYGQLGTKCMVKANHFLAEIPDTDLSHYSVEITPEVTSRKLSKAIMTQLVKLHRGTDLGMRLPVYDGGRNLYTARSLPFISKDFTVTLVHEDEATGNFKKREFKVTIKFEALASMLQLRELFSGKPVDTPQEAITIIDIILREFAAQRYVSIGRSFYSPDIKKPQKLDGGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLLVIDFVAQILCKDVYKRPLSDADRVKVKKALRGVKVEVTHRGNVRRKYRISGLTTQPTRELIFPLDGHMNMKSVVEYFQEMYGYTIQYPHLPCLQVGNQRKVNYLPMEACKIVQGQRYTKGLNEKQITSLLKVSCQRPRDQESDILQTIQQNGYNQDPYAKEFGISIDSKLASIEARVLPAPWLKYNDTGKVKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQETTARGFCQQLGQMCQISGMDFNCEPVLPIYSARPDQVKKALKYVYHAAAKKLEGKELELLIAILPDSNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDTSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLFKTWQDPQQGTVSGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFTSNHNDRNSTDKSGNILPVMQESRAPAGLPIIMCCGMRTTSLQMRFNL >Manes.14G127700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10190264:10196914:1 gene:Manes.14G127700.v8.1 transcript:Manes.14G127700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHMQIKDSQENHVVSSLQTLDIAKESIPRRRNGRRRGGKGLKMDSKNMLLSEAKGTPPASCKSLFMFHRRPGYGQLGTKCMVKANHFLAEIPDTDLSHYSVEITPEVTSRKLSKAIMTQLVKLHRGTDLGMRLPVYDGGRNLYTARSLPFISKDFTVTLVHEDEATGNFKKREFKVTIKFEALASMLQLRELFSGKPVDTPQEAITIIDIILREFAAQRYVSIGRSFYSPDIKKPQKLDGGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLLVIDFVAQILCKDVYKRPLSDADRVKVKKALRGVKVEVTHRGNVRRKYRISGLTTQPTRELIFPLDGHMNMKSVVEYFQEMYGYTIQYPHLPCLQVGNQRKVNYLPMEACKIVQGQRYTKGLNEKQITSLLKVSCQRPRDQESDILQTIQQNGYNQDPYAKEFGISIDSKLASIEARVLPAPWLKYNDTGKVKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQETTARGFCQQLGQMCQISGMDFNCEPVLPIYSARPDQVKKALKYVYHAAAKKLEGKELELLIAILPDSNGSLYGDLKRICETDLGLISQCCLTKHVFKINRQYLANVSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDTSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLFKTWQDPQQGTVSGGMIRELLLSFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPSYQPPVTFVVVQKRHHTRLFTSNHNDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYMEPEDAPENPKMRCTRAANGSCVRPLPALKDKVKSVMFYC >Manes.S027552.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:MU251223.1:2302349:2303791:1 gene:Manes.S027552.v8.1 transcript:Manes.S027552.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQGNEVHWGSCQELAKLLDVLIGHWSSGWPLEARPGPTGPWQAAGKKRARAQHCRKEVHGCSGGAACDGPVRVAAPAAQRPNEDWRRSAQHAGRRAAQQADEVHGRAAQSPDEVRGRAGQSPNEVHGGTVARRRRPATGPQQAGAQQTGLARPQACQTRQTSPEEVQTGQKIPERPRFAGKRPGQQNRAKMETKEASPRSSLGSTNSGDF >Manes.16G067400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25786548:25789118:1 gene:Manes.16G067400.v8.1 transcript:Manes.16G067400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFKQQENMLKQVWVHGPIIVGAGPSGLAAAACLSQQGVPSLILEKTNCIASLWQQRTYDRLKLHLPKHFCELPLLGFPDNFPKYPTKHQFISYLESYALHFSIQPKFKQAVQEAEFDNVTGFWRVQTQDQEYFSRWLIVATGENAEPLIPDIVGMEKFNGPIIHTSVYKSGSEFKNQRVLVVGCGNSGMEVSLDLCRYNAVPHLVVRNNVHILPRETFGMSTFGIAMALLKWFPLKLVDKFLLSVANFTLGNTDKLGLRRPDTGPILLKNVTGKTPVLDVGALSLIRSGKIKVMEGVKEITRNGVKFVDGQDKEFDSIILATGYKSNVPTWLKGCDFFTVDGMPKTPFPNGWKGDNGLYSVGFTRRGLLGTASDAVKVAQHIAEQWRTIKDCCKSCNFHVIQLGKS >Manes.06G027900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6335604:6360480:-1 gene:Manes.06G027900.v8.1 transcript:Manes.06G027900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRSLLASRRKSLLLAAGILVVGGTTAYVKSRNSSKKFDSFGHYNGLREDNDDSDERVTKEVKKTIKKKGSLKSLHVLASVLLSEMGKRGTSDLFAMIAIAVMRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSTIHSTSKYVTGTLSLCFRRILTKRIHSHYFENMAYYKISHVDGRITNPEQRIASDVPRFCSELSELVQEDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGERREESHIQQKFKDLVRHMRIVLHDHWWFGMIQDFLVKYLGATVAVVLIIEPFFAGNLRPDASTLGRATMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELIVISRELNCEDKTSLQRSGSRNYFSEADYVEFSKVKVVTPTRNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTVDQEVEPLTQSGMLELLKNVDLEYLLDRYPPEQEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWQVSYKRRDSAALTEPGTNDTSACETERKSDAMLVQRAFSTSNKDSAFSNSKAQSYISEVIVAFHGADPGLQLPIVPQLQRAPRALALRVAAMSKILVPTVLDKQGAHLLAVAFLVVSRTWVSDRIASLNGTTVKFVLEQDKTSFIRLIGVSILQSAASSIIAPSLRHLTARLALGWRIRLTQHLLRSYLRNNAFYKVFHLSSKNIDADQRITDDLEKLTRDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRTVTPDFGDLASREQQLEGTFRFMHERLRTHAESVAFFGGGAREKAMIESRFRELLDHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRAQISTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLDAAQSGDWLTEKLSPFKESDSDGQDAISFVDVDIITPAQKLLARQLRCDVVQGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLSKPAHSNEDSEYGCGIFYVPQRPYTCLGTLRDQIIYPLSRDEAVRMTLKLHGRGKISGDTTKLLDTRLKAILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRLATDMNITFVTSSQRPALIPFHSVELRLIDGEGNWELRTIKQSLDSDHLLS >Manes.06G027900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6332904:6360676:-1 gene:Manes.06G027900.v8.1 transcript:Manes.06G027900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRSLLASRRKSLLLAAGILVVGGTTAYVKSRNSSKKFDSFGHYNGLREDNDDSDERVTKEVKKTIKKKGSLKSLHVLASVLLSEMGKRGTSDLFAMIAIAVMRTALSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSTIHSTSKYVTGTLSLCFRRILTKRIHSHYFENMAYYKISHVDGRITNPEQRIASDVPRFCSELSELVQEDLTAVTDGLLYTWRLCSYASPKYIFWILAYVLGAGTMIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGERREESHIQQKFKDLVRHMRIVLHDHWWFGMIQDFLVKYLGATVAVVLIIEPFFAGNLRPDASTLGRATMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELIVISRELNCEDKTSLQRSGSRNYFSEADYVEFSKVKVVTPTRNVLVEDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTVDQEVEPLTQSGMLELLKNVDLEYLLDRYPPEQEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWQVSYKRRDSAALTEPGTNDTSACETERKSDAMLVQRAFSTSNKDSAFSNSKAQSYISEVIVAFHGADPGLQLPIVPQLQRAPRALALRVAAMSKILVPTVLDKQGAHLLAVAFLVVSRTWVSDRIASLNGTTVKFVLEQDKTSFIRLIGVSILQSAASSIIAPSLRHLTARLALGWRIRLTQHLLRSYLRNNAFYKVFHLSSKNIDADQRITDDLEKLTRDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRTVTPDFGDLASREQQLEGTFRFMHERLRTHAESVAFFGGGAREKAMIESRFRELLDHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRAQISTQGELAHALRFLASVVSQSFLAFGDILELHKKFLELSGSINRIFELEELLDAAQSGDWLTEKLSPFKESDSDGQDAISFVDVDIITPAQKLLARQLRCDVVQGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGRLSKPAHSNEDSEYGCGIFYVPQRPYTCLGTLRDQIIYPLSRDEAVRMTLKLHGRGKISGDTTKLLDTRLKAILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRLATDMNITFVTSSQRPALIPFHSVELRLIDGEGNWELRTIKQSLDSDHLLS >Manes.03G047800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4349815:4351607:-1 gene:Manes.03G047800.v8.1 transcript:Manes.03G047800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVCDLNPPTSSSFPALELKEDQQHLQLFLSPHQAAASLSSSAPAFLNTAHDQYHREAQQHVKEDDKYISDSRWSDDLHKAQSFQAAVENESSSRETHELSPCKREDYEGSRGDEGSAAKWTPARMRLMQKMMNSNCSVTNNPMKFTPKFQDHQQCDSNNNTIRVCTDCNTTTTPLWRSGPLGPKSLCNACGIRQRKARRAMEAAAAGANGTAVSTEMSSTKNKLHKEKKWRSGQIAQGRKLCKPPDSPQGQKKICSFKNLALSLSKNSALQRVLPQDVEEAAILLMELSCGFIHS >Manes.01G193500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36805710:36821402:1 gene:Manes.01G193500.v8.1 transcript:Manes.01G193500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEITYRSKFSSPSLLVTHYSFIYLILLYEYTSTRVNNQRCEDLHMQMDNQGNSISTTKYTFFTFVPKGLFEQFRRVANCYFLLISILSMTPISPVNPVTNVVPLSLVLLVSLIKEAFEDWKRLQNDMVINNGHIEVLQDQKWETVPWKKLQVGDIVRVKQDGFFPADVLFLASTNPDGVCYIETANLDGETNLKIRKALERTWDYLTPEKAAEFKGEVQCEQPNNSLYTFTGNLIIEKQTLPLSPNQLLLRGCSLRNTEFIVGAVIFTGHETKVMMNSMNVPSKRSTLERKLDKLILALFGSLFLMCLIGAIASGFFINSKYYYLGLDEGVPTEFNPRNRFGVAALALFTLITLYSTIIPISLYVSIEMIKFIQCTQFINKDLHMYHAETNTAALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGHGLTEIERGGAERNGITVQEVHKSGSAVHEKGFNFDDSRLMRGGWRNEPDPDSCKEFFRCLAICHTVLPEGDESPEKITYQAASPDEAALVTAAKNFGFFFYRRTPTMIYVRESHVEKMGKIQDVAYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLAAGNDDLKKITRGHLEQFGSAGLRTLCLAYRDLSPEMYESWNEKFIQAKSSLRDREKKLDEVAELIETELIFIGSTAIEDKLQEGVPGCIETLSRAGIKIWVLTGDKIETAINIAYACNLVNNEMKQFIISSETDAIREVEDRGDQVEIARFIKEEVKKELTGCLEEACHCLNMVSGPKLALVIDGKCLMYALDPSLRAMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGAHKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRYLADLLLVHGRWSYLRICKVITYFFYKNLTFTLTQFWFTFHTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYKEGIRNVFFKWRVVGTWACFSVYQSLIFYHFVTISSAGGQNSSGRIFGLWDISTMAFTCVVITVNLRLLMICNSITRWHYISVGGSILAWFIFIFVYSIFRENVLFVIYVLMGTFYFYITLLLVPIVALLGDFIYQGVQRWFFPYNYQIVQEIHRHEPDDNSRAGLLEIENKLTPQEERSYAMAQLPRELSKHTGFAFDSPGYESFFAAQLGIYAPQKAWDVARRASVRSPRTLKKN >Manes.01G193500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36804283:36821402:1 gene:Manes.01G193500.v8.1 transcript:Manes.01G193500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGWETVRSSRSILTRDKSLTGRSTTSRTVRLGRVQPQAPGHRNIYCNDREANFPVGFKGNSISTTKYTFFTFVPKGLFEQFRRVANCYFLLISILSMTPISPVNPVTNVVPLSLVLLVSLIKEAFEDWKRLQNDMVINNGHIEVLQDQKWETVPWKKLQVGDIVRVKQDGFFPADVLFLASTNPDGVCYIETANLDGETNLKIRKALERTWDYLTPEKAAEFKGEVQCEQPNNSLYTFTGNLIIEKQTLPLSPNQLLLRGCSLRNTEFIVGAVIFTGHETKVMMNSMNVPSKRSTLERKLDKLILALFGSLFLMCLIGAIASGFFINSKYYYLGLDEGVPTEFNPRNRFGVAALALFTLITLYSTIIPISLYVSIEMIKFIQCTQFINKDLHMYHAETNTAALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGHGLTEIERGGAERNGITVQEVHKSGSAVHEKGFNFDDSRLMRGGWRNEPDPDSCKEFFRCLAICHTVLPEGDESPEKITYQAASPDEAALVTAAKNFGFFFYRRTPTMIYVRESHVEKMGKIQDVAYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIYERLAAGNDDLKKITRGHLEQFGSAGLRTLCLAYRDLSPEMYESWNEKFIQAKSSLRDREKKLDEVAELIETELIFIGSTAIEDKLQEGVPGCIETLSRAGIKIWVLTGDKIETAINIAYACNLVNNEMKQFIISSETDAIREVEDRGDQVEIARFIKEEVKKELTGCLEEACHCLNMVSGPKLALVIDGKCLMYALDPSLRAMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGAHKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRYLADLLLVHGRWSYLRICKVITYFFYKNLTFTLTQFWFTFHTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYKEGIRNVFFKWRVVGTWACFSVYQSLIFYHFVTISSAGGQNSSGRIFGLWDISTMAFTCVVITVNLRLLMICNSITRWHYISVGGSILAWFIFIFVYSIFRENVLFVIYVLMGTFYFYITLLLVPIVALLGDFIYQGVQRWFFPYNYQIVQEIHRHEPDDNSRAGLLEIENKLTPQEERSYAMAQLPRELSKHTGFAFDSPGYESFFAAQLGIYAPQKAWDVARRASVRSPRTLKKN >Manes.14G138500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12163220:12175064:1 gene:Manes.14G138500.v8.1 transcript:Manes.14G138500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQNHIPKDLRPLTVARTISEEPRIAAASIAAAPSIATTSATSRSPEIFANPDGSIPVFYPMTVSDAGFVGLGYGNPAPWAPRLPVPIGSGNSASVGFGYSPNLGNRVVGNAVDHAAHDVVGGSGSSPHLNNMVNSNGGNELTSLGFGYNPNLGNRGSGSGTGVDHVSEEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVTFNELVQKMVDAYGQPVIIKYQLPDEDLDALVSVSCPDDLDNMMDEYEKLVERSSDGSGKLRVFLFSATELEAAGTVQFGDLHDSGQRYVDAVNGIADGVGCAFAWKESIASATSTQNSDFSGTEAVDSSGTGQVDVSGAPTTSLLSPRGDLASPHDSSPKFVPVEPNPPVYADASAVSLGIPTVKSGPPQTLSSQPEVEIERSIPVAVPQQQLGYDFQKMGMGIPPPASHLQAYVEPHQEITNHADYMYLPAQMRFPNAQLLGTAGSVFTQQQIRDSNASVASHQYIPAAHMTMSPTSSHVAMRPTIVQPLVQPQQARVERFSDENMHGTRIVQFPDPGYNAYQLQHPPAIVGGGYCWHPVAQTEHVVFSDGSVPHQQVIIPEKIQRLEDCFMCQKQLPHAHSDPLAQDQREGGISNLSHSNSLNHSLHLGDTMKGQPLSWSMVGGGLGDGTIEQGVGARSSALSHVDHQVGLQQSEAIVFSHNENERTTMRKADDSDQSQISVPHGMMAVPGFQGIVLQSRPEGSVQQHQVSGQYQVKEETLQIKPSNGNFSNFPGVIQASERSGHECPVEYSGSMHRDVSKEDIIDSCVSYDQRRSVEEMLETLHINPEINNDQNKSHVDNLWKEDILDHRTQQLGGRDAFLDDSYKKHQVVPDSNHVKPTDLLPASVVTQQPVYGNQVSYPQSNIGIHLLDSGEVSYGNPTFQVVQPAYAIDRILQPKISPTDVGPGAQNANVPSSLASSGRVSDFQDSPNSLFSSQDPWNLRHDAHLPPPRPNKILTKKEAFDTKDPFSENLANNPVEIITDGLMGDGVPQPLSNSKKDLNLEQAQSSKGSAEELIKQELQAVAEGVVASVFQSGNHSPDSMVYERNESAYEASQDMKISSEDIEVQHKAKFEDMKNKLPEKLNFRFPVSEGNYRLQIIKNIDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERSLDKRKRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGAIIGGIVSNTLRPPVPESCDPEWKSLMERCWASEPSDRPNFTEIANILRAMAAKVPPKGYNPTQQHPST >Manes.01G254400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41179061:41191206:-1 gene:Manes.01G254400.v8.1 transcript:Manes.01G254400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQIIPLDSGLCPSSQKIVYLKVINRLLLVVSPSHFELWSSSQHKVRLGKYKRDVESVEREGENLQAVWSPDAKLIAIITSSFFLHIFKVQFVEKRIQIGGKHPSGLFLANISLLLSEQVPFAEKNLTVSNIVSDCKHMLLGLSNGSLYSISWKGEFNGAFELNPCRHESSEVSMFPQSLDNGLGSGGAPGVLVTNHYEMKKHAIMQLEFCLPMRLLFVLYSEGQLVLCSMSKKGLKQAESIRAEKKLGSGDAVCASVAPDQQILAVGTRSGVVELYDLAESASLIRTVSLYDWGYSVEDTGSVSCIVWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQVGLSSVSSPKVKPNQDCKYEPLVGGTSLMQWDEYGYKLYAIEEGSLERILAFSFGKCCLSRGVSGMTYVRQVIYGEDRLLVVQSEDTDELKILHLNLPVSYLSQNWPVQHVAASKDGMYLAVAGLHGLILYDMRLKKWRVFGDITQEQKIQCEGLLWLGKIVVVCNYIDSSNTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQDHILVTYRPFDVHIFHVKLFGELTPHSTPDLQLSTVRELSIMTAKSHPAAMRFIPDQIPRESALKNHISPSSDLLMREPARCLILRTNGELSLLDLDDGRERELTDSVELFWVTCGQSDEKTNLIEEVSWLDYGHRGMQVWYPSPGVDPFKQEDFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRLSFSTCTEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLAQLSAQKPHFSHCLEWLLFTVFDAEISRQNANKNQKSVPKSAGNFSLLDKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGKEYEQTSTDSDRLSPRFLGYFLFRSSHRKTSLDKSTSFKEQSAHVASVKNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFQHDMRLWKAYSITLQSHPAFVEYQDLLETLEERLTSDLNLEK >Manes.15G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:226707:230195:-1 gene:Manes.15G002900.v8.1 transcript:Manes.15G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMVVASPTPIGSEKIIEGAIELPVVDLSLGERSEMSNLIVRACEKYGFFKVINHGVCEEIIAKMEEESLRFFAKPITEKQLAGPANPFGYGCKNIGFNGDTGEVEYLLLNTHPLSISQRSKTISNDPSKFSSAVSGYIEAVRELACELLDLMAEGLGVPDTSLFSRLITDADSDSILRLNHYPPMPILCKDKDTSPSYNTNTNRVGFGEHSDPQILTLLRSNDVGGLQISLNNGVWFPVSPDPTAFCVNVGDVLQAMTNGRFLSVRHRALTNSCESRMSMAFFAAPPLNTRITALPQMLSGVGTSLYRPFTWGDYKKAAYSLRLGDTRLDLFRKQGEELA >Manes.02G080800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6296349:6306341:1 gene:Manes.02G080800.v8.1 transcript:Manes.02G080800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKYVWGGAIPLQIHLHESEVTTLPPPPPALIIAPRIGYLPLLIPLIKPHFAAALPPGVDTVWFDYKGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFRGYPNSLLIPCEGEESVKWSFINSLKEADYIISGNCKNVMHMSQIDQVVLWHSVMNGNLEEYIRTSSKLKIGIIEDDYTLKTDLCSQKSRKTTGEAAESGQTKAGKIPVRLYICTVSEDFEDMPTFDSWDQISYINRPIEFRKEEGRCFTLRDALKRLMPEFLVDNEEPFRIEGEDEQIHVVSSEDLSSNRMATEERVEPPCTSGAAGIKLVRIQGIQPKLEIPFSWVVNNLKNPEYFLHICVYLKFPRAISFDR >Manes.05G051400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4286268:4287725:1 gene:Manes.05G051400.v8.1 transcript:Manes.05G051400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAMHIDLQMIHSNTSLSSFPSLSLPPPPSTTLNFPVPVNNFAPVSQSSSSYYPSGTSTRNGSVVGKEEKTASEYSNRDIFGLVPSHSEVEGAMTALHNFMKGISSSDLELVHLLQTLGCGDIRKSLSHGYARLLNAFGLLQREPIIMRVVMSLSSDKAVWDAVMNNELVRQLRESCITPAVTRRIQSCNEGEDVVTCMLRWIMDITKAQVTRLIQKFRLLMNEIFQPLEREKHTEESTGETDDRIRSSLLLSIVILLIVVVTRIQRA >Manes.03G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15173055:15180398:1 gene:Manes.03G081600.v8.1 transcript:Manes.03G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTLTMFVMLGNMIKRDHFDSVEEKFPGGAVDTEFDSGRVMEQGLVTFTKMGKGPWMEDGQQLKPCWTESSFDQVEESKGFVTFSLTNGPEYHVSQVADAVVVARYVGATLVIPDIRGNKPGDERKFEEVYDVDKFVKSLDGVIKVVKDLPGDISIRDFAVVKVPNRVTEDHIAELVEPIFRTRGNIRLATYFPSVNMRKNTQKSNTNSVACLAMFGTLELQPEVNEVVDSMIERLKTLSRKSDGRFIAVDLRVEILEKESCHGSGASGTKTCYDAKEIALFLRKVGFDKDTTIYLTQSRWHDSLDILKDIFPKTYTKESIMPEDKKMKFLESEGSEFEKVIDFYISSQSDVFVPAISGLFYANVAGKRIALGKTQILVPADIPGSSASITNHFSPYISKKNHLAYSCFC >Manes.13G022400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3219401:3222078:1 gene:Manes.13G022400.v8.1 transcript:Manes.13G022400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSTRTSIISRRWKAPWGLKHTLQAVAIALSLALAFSVFMVRKRGNILDRSNLCHFPAIFNFGDSNSDTGGKSAAFHRRPYPNGYSFFNKPSGRYCDGRDIIDFIAEKLELPYLGAYLDSIGTNFQHGANFATGGSTIQPMDTRMFEGGFSPISLDIQLLQFAQFKERTLELYNEGRSSYIMSRLPRPEDFSKALYTLDIGQNDLHAGIKSMTEKQMLESIPSIIIHFAHAVENLYQLGARIFWIHNTGPIGCLPYSVIYYPPKPANKDQNGCVKSHNEIAQEFNRQLKDKVSQLRKQLPDAVLTYTDIYTAKYSLISEAKKQGFPDPLGYCCGHYGDYSVPCGGKTMVNGTEISGDPCSKPELYISWDAIHYSQAANQIVANRILDGFLSDPPTPIVEACHKPVPLPN >Manes.04G042909.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6608531:6622053:1 gene:Manes.04G042909.v8.1 transcript:Manes.04G042909.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEGQRFYSSLSRKELQSLCKKCGLPARKSSSEMAESLFSFFQKNDLRLAFLGKTGGGIQEVSLPLSSVMAWQTEDPFNLTGNVMKVTDSFQLRSPRGESYRGNRNAKHNELESCIGLRAYDKEGFGGSIEYFQGPSQSQFVSQGAGGGVIHKGTPSSFSGCVEHTPQFRCGHINVGVCPIENASPEIRTYKKVPASFEFYVSSEEGIKLCVDLNSSPSDWTKKYKNQVSLTMNVASTKSRSLHQELGSIGQSDDKQIKSPFPQSVDPNEIKDGCIQGKPSPSLVVENNMGIDHPDGGNKSSVASPSRPCSAEQSDCLREDKGHISPKPCPDVQNQIISNIESFNKNGDSAILDSDIIDTTTEKTACNFVVNSISDGSMDLVAIEHQNSKHDDEGCENSTRQNSSDLENNCITLASCSAKMPLSEAGNYHNDTSCSPNKNGEFLDLYDSKHNMLNEQTALATSSENDHLGNHLPTCSEEREWNNIVSVMESSVCSEVDNSVEKTGVKSDNLESNEDFCRKRPSKDSDCQDSSSKRDPKILRSVKHSAVEALPRRSMRLVSK >Manes.03G196200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31408041:31411957:-1 gene:Manes.03G196200.v8.1 transcript:Manes.03G196200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKQVQWPENGRLAAILISQGKRIMLSFFTLSRGNKLSQITCKRHPLFLLVACKSFSLTDVERITKVINDNRFPEEPLHPTLLKHLPPGSISTVFVENALGRLFAAHSNGLKAMEFFKFCLQQSHFIPSSFSFEMTLHILTRMRYFEKAWELMMEIGRTHPSLLTLKAMSIMLSKIAKFQSYEDTLEAFDSMEKKVFVGKTFGTEEFNVLLRAFCTQREVKEARSVFQKMHARFNPNTKTMNILLLGFKGSGDVTAMELFYHEVIRRGFKPTALTYNIRIDAYCKKGYFGDGLRIFEEMERTNCLPTLETITTLIHGAGIARNLVKARQLFDEICKRNLQPDTGAYNALISALIKCGELNSAMSLMDEMEEKDIGHDGVTYHTIFLGLLKKNDTDGICQLYHRMIDRKFVPKTHTVVMLMKFFCVNSRLDLGLHFWQYLLEKGYCPHGHALDLLVTGLCSRGRLQEAFECSKQYLERGMRLSEVVYRILERFLQQSDNKDKLRELNEMIKKLQSVLAPSKGHAFAHSSSIAIE >Manes.03G196200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31408041:31411958:-1 gene:Manes.03G196200.v8.1 transcript:Manes.03G196200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFTLSRGNKLSQITCKRHPLFLLVACKSFSLTDVERITKVINDNRFPEEPLHPTLLKHLPPGSISTVFVENALGRLFAAHSNGLKAMEFFKFCLQQSHFIPSSFSFEMTLHILTRMRYFEKAWELMMEIGRTHPSLLTLKAMSIMLSKIAKFQSYEDTLEAFDSMEKKVFVGKTFGTEEFNVLLRAFCTQREVKEARSVFQKMHARFNPNTKTMNILLLGFKGSGDVTAMELFYHEVIRRGFKPTALTYNIRIDAYCKKGYFGDGLRIFEEMERTNCLPTLETITTLIHGAGIARNLVKARQLFDEICKRNLQPDTGAYNALISALIKCGELNSAMSLMDEMEEKDIGHDGVTYHTIFLGLLKKNDTDGICQLYHRMIDRKFVPKTHTVVMLMKFFCVNSRLDLGLHFWQYLLEKGYCPHGHALDLLVTGLCSRGRLQEAFECSKQYLERGMRLSEVVYRILERFLQQSDNKDKLRELNEMIKKLQSVLAPSKGHAFAHSSSIAIE >Manes.03G196200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31408041:31411957:-1 gene:Manes.03G196200.v8.1 transcript:Manes.03G196200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFTLSRGNKLSQITCKRHPLFLLVACKSFSLTDVERITKVINDNRFPEEPLHPTLLKHLPPGSISTVFVENALGRLFAAHSNGLKAMEFFKFCLQQSHFIPSSFSFEMTLHILTRMRYFEKAWELMMEIGRTHPSLLTLKAMSIMLSKIAKFQSYEDTLEAFDSMEKKVFVGKTFGTEEFNVLLRAFCTQREVKEARSVFQKMHARFNPNTKTMNILLLGFKGSGDVTAMELFYHEVIRRGFKPTALTYNIRIDAYCKKGYFGDGLRIFEEMERTNCLPTLETITTLIHGAGIARNLVKARQLFDEICKRNLQPDTGAYNALISALIKCGELNSAMSLMDEMEEKDIGHDGVTYHTIFLGLLKKNDTDGICQLYHRMIDRKFVPKTHTVVMLMKFFCVNSRLDLGLHFWQYLLEKGYCPHGHALDLLVTGLCSRGRLQEAFECSKQYLERGMRLSEVVYRILERFLQQSDNKDKLRELNEMIKKLQSVLAPSKGHAFAHSSSIAIE >Manes.06G017000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2819564:2830048:1 gene:Manes.06G017000.v8.1 transcript:Manes.06G017000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEESNSFEYTPTWVVAVVCFVIVLMSLFAERGLHKLGKWLKKKNQDALFEALQKLKEELMLLGFISLLLTVTQGAISRFCIPPHLAIIMLPCKRKTKGSSEEIHHVINNRRRLLSASNSAAHCVQKGKVQLFSVEALHQLHIFIFVLAVVHVIFCATTMVLGGARIRQWKAWEDSIRPASESGGQTKTAHEHHHHLQKFLKKHTKGHWRKAFVISWLISFFKHFTGSITKSDYIALRQGFIKAHFDHMAQFNFHEYILRNLEIDFKQIVGISWYLWLFVVIFLLLNVEGWHTYFWLAFLPLILLLLVGAKLEHIIALMAQEANEQTHKEVKPSDDHFWFGKPVIILHLIHFILFQNSFEIAFFFWMWCTYGFESCIMEKVGYIVPRLIMGLIVQVLCSYSTLPLYALVSQMGSKYTIRIFRGSTQSVIGRWANLPTSTHDETPNHDNHQLITATSHSAAQEMIVIESTAASITQHSTNHPNL >Manes.04G022000.25.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625241:2628750:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.21.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625708:2628786:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.27.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2626295:2628750:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.20.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2626251:2628787:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.19.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625241:2628893:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.26.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2626251:2628750:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625241:2628894:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.18.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625241:2628893:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625238:2628784:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.23.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2624680:2628784:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625241:2628894:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.22.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2624680:2628784:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625238:2628784:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.04G022000.24.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2625241:2628750:-1 gene:Manes.04G022000.v8.1 transcript:Manes.04G022000.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEVMSHSIINTRGGVNDGRLRLGSSDSLLPGLIDDVALNCLAWACRSDYASLSCINKRFHKLIDSGYLYGLRKQLGIVEHWVYLVCDPRGWEAFDPVRKKWMALPKIPCDECFNYADKESLTVGSELLVFGRELFDFAIWKYNLIRRGWVKCEGMNRPRCLFGSGSFGSVAVVAGGSDKNGNVLNSAELYNSSTGKWEMLPNMLSPRRLCSGFFMDGKFYVIGGMSSPTVSLTCGEEYDFETSKWRIIEGMYPNVNRAAQAPPLVAVVDNQLYAVEYLTNMVKKYDKVKNTWDVLGRLPVRADSSNGWGLAFKACGEELLVVGGQRGPEGEAVVLNSWCPKSGVNNGTLDWKILGVKEHVGVFVYNCAVMGC >Manes.06G112800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24378722:24381967:1 gene:Manes.06G112800.v8.1 transcript:Manes.06G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEELAREAIKLALKALRKRHLLEEGAHAPACIALSRPIISQGSEWKEKAENLEIELQQCYKAQSRLSEQLVVEVAESRASKAALEEKEAACTDLQKELAQTRDVCSQLRAYLEEKIKALELVVGENQSLQNQLEQMAVKVKNSEAENKMLVDRWMLQKVQDAERLNEANSLYEEMIDPLKTSDLEKLAQQQVDGMVRQSEEGAGYFVESTIPTICKHKITAHEGGCASVMFEYNSSKLISGGQDQSIKMWDANTGSLSRTLYGCLGSVLDLSVTSDNRSVIAASRSNNLYVWDANSGRVRHTLTGHIDEVCAVDVGKSSSRHVVSAAYDRRIKVWDLQKGFCTSTIVFHSNCNAVCFSMDGLTICSGHVDGNLRLWDVHSGKLLSEVAAHSLAITSISLSQNGNVILTSGRDNLHNLFDMRSLEVWGTLRAAGNRAAYNWSRSCISPDDNYVAAGSADGSVYIWSISKADIVSIQKEHTSSVLCCSWSGLGKPLATADKKGIIHTWT >Manes.01G191500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36684195:36686685:1 gene:Manes.01G191500.v8.1 transcript:Manes.01G191500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKVCNMTALLKNDTLPCDSLLIPTSATLHGAKSMVNFENGGGGDTLDAPFFQAIVKEENGDEDFDVCLNRPGKKRRLTGSQVQFLERNFEVENKLEPERKIQLAKELGLQPRQVAIWFQNRRARFKNKQLEKDYDALKTSYDRLKDDYDNLLTEKENLKMELVSLKAKLLAREEGIENLEHIEAINSPNEEHGNPVSKTVPGVISNATLLATPKREEASSAGSDVFDSDSPHSFLEPGDSSHVFEPDQSDFSQEEEDDLSRSFMPTPYFPKLYHDTPSNSCSFEFPVEDQPFWTWTY >Manes.12G082900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11028451:11044627:1 gene:Manes.12G082900.v8.1 transcript:Manes.12G082900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRQVFTVDLLERYAAKGKGVITCMAAGNDVIVIGTSKGWVIRHDFGVGDSFDIDLSVGRPGEQSIHRVFVDPGGSHCIATVVGSGGAETYYTHAKWTKPRVLSKLKGLVVNAVAWNRQQITEASTKEVILGAENGQLHEIAVDEKDKREKYIKFLFQLTELPEAFMGLQMETANLTNGTRYYVMAVTPTRLYSFTGIGTLETVFASYLDRAVHFMELPGEIPNSELHFFIKQRRAVHFAWLSGTGIYHGGLNFGAQHSYPNGDENFVENKALLDYSKLSEGAEAIKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQTSESVSRDIIGLCIDATAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDMKEYTAALANCRDPLQRDQVYLLQADAAFASRDFLRAASFYAKVNYILSFEEITLKFISASEQDALRTFLLRKLDNLTKDDKCQITMISTWATELYLDKINRLLLEEESASENHSSEYQSVIQEFRAFLSDSKDVLDEATTMRLLESYGRVEELVYFASLKEQYEIVIHHYIQQGEAKRALEVLQKPAVPIDLQYKFAPDLIALDAYETVESWMATKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHQLHNEDPGIHNLLLSLYAKQEDDGALLRFLQCKFGKGRENGPDFFYDPKYALRLCLKEKRMRACVHIYSMMAMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKQEMNDATHGADNIRNDISALAQRYAVIDRDEECGVCKRKILTVAADYRFSRGYTSAGPMAPFYVFPCGHSFHAQCLIAHVTRCTNETQAEYILDLHKQLTLLGDGSRKDLNGSIAEDSISSTTPADKLRSQLDDAIASECPFCGELMINEISLPFILPEESQEVSSWEIKPHNLGNQRTLSLQV >Manes.12G082900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11028451:11044627:1 gene:Manes.12G082900.v8.1 transcript:Manes.12G082900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRQVFTVDLLERYAAKGKGVITCMAAGNDVIVIGTSKGWVIRHDFGVGDSFDIDLSVGRPGEQSIHRVFVDPGGSHCIATVVGSGGAETYYTHAKWTKPRVLSKLKGLVVNAVAWNRQQITEASTKEVILGAENGQLHEIAVDEKDKREKYIKFLFQLTELPEAFMGLQMETANLTNGTRYYVMAVTPTRLYSFTGIGTLETVFASYLDRAVHFMELPGEIPNSELHFFIKQRRAVHFAWLSGTGIYHGGLNFGAQHSYPNGDENFVENKALLDYSKLSEGAEAIKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQTSESVSRDIIGLCIDATAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDMKEYTAALANCRDPLQRDQVYLLQADAAFASRDFLRAASFYAKVNYILSFEEITLKFISASEQDALRTFLLRKLDNLTKDDKCQITMISTWATELYLDKINRLLLEEESASENHSSEYQSVIQEFRAFLSDSKDVLDEATTMRLLESYGRVEELVYFASLKEQYEIVIHHYIQQGEAKRALEVLQKPAVPIDLQYKFAPDLIALDAYETVESWMATKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHQLHNEDPGIHNLLLSLYAKQEDDGALLRFLQCKFGKGRENGPDFFYDPKYALRLCLKEKRMRACVHIYSMMAMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKQEMNDATHGADNIRNDISALAQRYAVIDRDEECGTTAFLGAIHQQDQWLPSMYFHVGIPSMHNA >Manes.17G098401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30467095:30470733:-1 gene:Manes.17G098401.v8.1 transcript:Manes.17G098401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGVQIVLARAIELRLKISNCIHKASTSTNNNNVNSISTKENKQEKEGVEVGVIVKGEDDKSPNSQCLDGGSLCEADEEDNETEMLLSIRDALESLEHQLSNLQDLQQQQRYEREVALGEIEQSRKMLLEKLKEYKGEDLEVIQEASAFAGETVEHNNDLLLPPYPSRRPQSLVDNHYLSHFPHTHKSVRNGVIAGEAKKNLNESESTKAQDMSENPSRGLGRFIGAAAKIMVTLGMISMLNLSGFGPSLGKISIPFKIVDLFQQPKTKEQRHNECPPGRILVLEGGEARCVVKERVEIPFESLAAKPDVNYGSG >Manes.06G092001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22716236:22718658:-1 gene:Manes.06G092001.v8.1 transcript:Manes.06G092001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLYILLCDSSSSLSPLMPLQHLPTQAALLVPENHKFSPLFFLSLRGKMASRTGTFGYEMAEQETLLNQLPSIDFISGRVFSMADWHNEVAMHENFLDACPSMESFSPDPLYASLDIEQSSIQVWSELGSLLEPQKQVLLLCDGTKDSSSMRGSSEEKKVKKHREPKINNSTSKSLSRQTISKYFYMPITQAAKELNMGLTLLKKRCRELGIRRWPHRKLMSLQTLIKNVQEMKKVEGKESEEKLREAIEILESERKMLEEIPDLQLEHKTKRLRQACFKSNYKKRKLMAMMSRPSSSSGAIDYYGQINEDEDEEIKSLLADPVCHPTMMF >Manes.01G275100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42963525:42970892:-1 gene:Manes.01G275100.v8.1 transcript:Manes.01G275100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTVLGDYGGGDNAFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEEGSQEYAEFLHLPKRRFTDFALVRKEIQDETDRMTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIETMVRSYVEKPNCVILAISPANQDIATSDAIKLSREVDPSGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFATSPDYGHLANKMGSEYLAKLLSKHLESVIRARIPSITSLINKSIEELESEMDHLGRPVAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQAEIAAASNEALERFREDSKKTVIRLVDMESSYLTVEFFRRLPQEVEKGGNPAGNPASVTVDRYTEGHFRRIGSNVSSYINMVSETLKNTIPKAVVYCQVREAKQSLLNHFYTQIGRKEAKQLSQLLDEDPALMERRQQCAKRLELYKSARDEIDSVSWAR >Manes.05G088800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8099883:8114314:1 gene:Manes.05G088800.v8.1 transcript:Manes.05G088800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCALLFGIRRYSSHFLLSKSPRSRSGLFFSTFASTMAVSHPKDESYLKAVIEKRIKFFESIKSQQLSHLQSLDHDPIKITLADGKVKEGKRWLSSPLDIAREISKSLAANALIAQVDGTLWDMNRPLESDCELKIFTFDSDEGRDTFWHSSAHILGQSLEMEYGCKLCIGPCTTRGEGFYYDAFYGELGLNDDHFKQIEAGALKAVAEKQPFERIEVSREQALEIFSENNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSAYWRGNKDRESLQRVYGISYPDQKRLKEYIQLLEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGTRIYNKLVEFIRTQYRDRGYEEVKSPNIYNMKLWETSGHAANYKENMFLLEIEKQEFGLKPMNCPGHCLIFQHRVRSYRELPLRLADFGALHRNEASGALTGLTRVRRFQQDDAHIFCMEKQIKDEVMSVLEFIDYAYKIFGFTYELKLSTRPEKYLGNLATWEKAEEALKAALDEFGKPWQIDEGDGAFYGPKIDISVSDALKRKFQCATLQLDFQLPDRFELDYSAEDEAKRERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQPYAEEVRVLIHNAGYFVDVDTTDRKIQKKVREAQLAQYNYILVVGEEEAKTGQVSVRVRDKADHSVMSIESLLKHFKDEVAAFH >Manes.03G039700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3495933:3501178:1 gene:Manes.03G039700.v8.1 transcript:Manes.03G039700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGPDGTAITLFSPYKMGKFSLSHRVVLAPMTRCRALNGMPNAALVNYYTQRSTPGGFLITEGTLVSPTAPGFPHVPGIYTEEQVEAWKRVVDAVHAKGSIIFCQLWHVGRASHQVYQPGGVAPISSTSKAISNRWRILMPDGSYGRYPTPRPLETSEILEVVENYRQAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSINNRCRFLMQVIQAVVAAIGADRVGFRMSPAIDHLDAMDSDPLNLGLAVIERLNKLQLNLGSKLTYLHVTQPRYTAYGQTESGRHGTEEEEARLMRTWRRAYQGTFICSGGFTRELGMEAVAQDDADLVSYGRLFISNPDLVLRFKLNAPLNKYVRKTFYTQDPVVGYTDYPFLRDGNLQEPLSRL >Manes.03G039700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3495933:3501178:1 gene:Manes.03G039700.v8.1 transcript:Manes.03G039700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGPDGTAITLFSPYKMGKFSLSHRVVLAPMTRCRALNGMPNAALVNYYTQRSTPGGFLITEGTLVSPTAPGFPHVPGIYTEEQVEAWKRVVDAVHAKGSIIFCQLWHVGRASHQVYQPGGVAPISSTSKAISNRWRILMPDGSYGRYPTPRPLETSEILEVVENYRQAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSINNRCRFLMQVIQAVVAAIGADRVGFRMSPAIDHLDAMDSDPLNLGLAVIERLNKLQLNLGSKLTYLHVTQPRYTAYGQTESGRHGTEEEEARLMRTWRRAYQGTFICSGGFTRELGMEAVAQDDADLVSYGRLFISNPDLVLRFKLNAPLNKYVRKTFYTQDPVVGYTDYPFLRDGNLQEPLSRL >Manes.01G133400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32632527:32636749:1 gene:Manes.01G133400.v8.1 transcript:Manes.01G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSQLPSQESINDSFTNRFRDSLTCSNSIKKLDFKELDLGSPLSPLIIPSSLNNSTASTPSTSIASSSCSVSTAKITTTSTQFPKKHENVTHNQSGELPGLSETSPARHSTLRSSNSHLGHRRSISAGAPLIYSGSSFGSTSNGSSCGNTNGASSVSTSSSSNLLHSGNICPSGKILKTALTCRVSNKTDTLGTGTANYGHGSIMRGGSGGGGSATKFGTGGHTGGCGDPEELKKTGNEMYRRGNFVEALGLYDKAILLAPENAAYRSNRAAALTAIGKLGEAVRECEEAVKLDPGYTRAHQRLASLYLRLGQAENARCHLLFHGQQPCPTELQKLHSLEKHIHRCADARKIGDWKAALRETDAALTIGADSSPQLIACKAEAFLKLHQLEDADTTISNMSKVEYYPPQTKFFGMVAEAYVLYVQAQIAMALGKFENAVSMAEKAGLIDYSNIDIAMVLTNFKMAARARKHGNDLFSSGKYAEASSAYGEGLRYDSSNPVLHCNRAVCWSKLGLWEKSIEDCTQALRIQPNYTKALFRRAASNEKLGRWAEAVKDYEVLRKELAGDNEFLESLQRAQTRLKKSLGEVHNTNFGGEVEELSSLDKFKAAISSPGVKIVHFKAASDEQSADVSPFLNMLCVRYPSIHFFKVDVEESLAVAKAEGIRTVPTFKVYKNGDKVKEMIRPSLQFLEDSVKSYST >Manes.02G025198.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2163999:2165082:-1 gene:Manes.02G025198.v8.1 transcript:Manes.02G025198.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLIILISLTLFSTTIHAATFEVVNRCSYTVWAAAIPGGGKRLDSGQIWTINVPSGTTTGRIWGRTNCSFDGNGLGKCETGDCNKTLQCQGFGSAPNTLAEYALNQIDDLDLLDISLVDGFNIPMDFSPSTYGCRGIRCAADINGQCPDEWRSPGGCNNACTIYKTSKYCNANYFTKFFKELCPDAYSYPQDDESSLFTAPGGTNYRVTFCP >Manes.11G023600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2407222:2413323:-1 gene:Manes.11G023600.v8.1 transcript:Manes.11G023600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASRIINHSKKLRNAPNLLRHEQACLIRWVSNDTVITSCIEKDDIGKVHHRHFVAAEKERFSSTAANSTVLLSGGSRKNVSRTAMQVGIPLGGPLVMKDFSGSQAESRRGYSSDSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGEKISPGEVLCEVETDKATVEMECMEEGFLAKIIKGDGSKEIKVGEVIAITVEDEEDIPMFKDYSPSASDGAAAAKEPPASKSPPPPPPKKEVEETVSSQEPKISRPSTTPSESRIFASPLARRLAEDHSVPLSSIKGTGPDGHIVKVDIEDYLASRGKEVSATAPKAKDTTAAALDYVDIPHSQIRKVTASRLLFSKQTIPHYYLTVDTCVDKLEGLRSKLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDNYIRQYNNVNINVAVQTDNGLYVPVVREADKKGLSTIADEVKHLAQKARENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSGILAVGSAEKRVVPGSGSDEFKFASFLSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Manes.11G023600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2407222:2413324:-1 gene:Manes.11G023600.v8.1 transcript:Manes.11G023600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGIPLGGPLVMKDFSGSQAESRRGYSSDSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGEKISPGEVLCEVETDKATVEMECMEEGFLAKIIKGDGSKEIKVGEVIAITVEDEEDIPMFKDYSPSASDGAAAAKEPPASKSPPPPPPKKEVEETVSSQEPKISRPSTTPSESRIFASPLARRLAEDHSVPLSSIKGTGPDGHIVKVDIEDYLASRGKEVSATAPKAKDTTAAALDYVDIPHSQIRKVTASRLLFSKQTIPHYYLTVDTCVDKLEGLRSKLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDNYIRQYNNVNINVAVQTDNGLYVPVVREADKKGLSTIADEVKHLAQKARENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSGILAVGSAEKRVVPGSGSDEFKFASFLSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Manes.01G177000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35721459:35724531:1 gene:Manes.01G177000.v8.1 transcript:Manes.01G177000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPNSPNSSSDVLDFVVHKANGLKGLVDTGLQSLPHQYILPPEERLPTSQVISQESIPIIDVSNWDDPRVAKSIFEAASKWGFFQIINHGIPQEILDHTLDAAHGFFGLTNEERKKFWKGQSPTDTVFLATSFSPLTEKVLEWKDYLSFHYISGDDKSQALWPSVCKDEVLEYMKRAELLIKKLLELLLRKLNVKEIDKNKGNALMGSVRINMNYFPHCPNPELVAGVGPHSDISSITVLLQDDTGGLYVRGIEEGGSWIHIQPVNGALVINIGDVLQIMSNDQYKSIEHRVIANGSRNRVSVPIFVNPGPDALIGPLPEVLETGAAPRYKSVLFSDYLSYFFS >Manes.02G124300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9456904:9462672:-1 gene:Manes.02G124300.v8.1 transcript:Manes.02G124300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVDRGAPKLWRKICAETSIEVSLVVENWKPLLAGLIFQYIHGLAARGIHYLHRPGPTLQDIGFFLLPELGEDKAYISETLFTFIFCSFVLWTFHPFILQYKKIYTALVWCRVLAYLVACQILRIVTFYSTHLPGPNYHCHQGSKLARLPPPESAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTYQKCIKQFAWLLAVIQSLLILASHKHYTVDVVVAWYTVNLVAFYIDKKMPELPDRSTGLTSLPPLPVSREKDSRGMEEHHKLLSGVSVEISN >Manes.02G124300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9456904:9462832:-1 gene:Manes.02G124300.v8.1 transcript:Manes.02G124300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVDRGAPKLWRKICAETSIEVSLVVENWKPLLAGLIFQYIHGLAARGIHYLHRPGPTLQDIGFFLLPELGEDKAYISETLFTFIFCSFVLWTFHPFILQYKKIYTALVWCRVLAYLVACQILRIVTFYSTHLPGPNYHCHQGSKLARLPPPESAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTYQKYGTRRCIKQFAWLLAVIQSLLILASHKHYTVDVVVAWYTVNLVAFYIDKKMPELPDRSTGLTSLPPLPVSREKDSRGMEEHHKLLSGVSVEISN >Manes.02G124300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9456904:9462672:-1 gene:Manes.02G124300.v8.1 transcript:Manes.02G124300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVDRGAPKLWRKICAETSIEVSLVVENWKPLLAGLIFQYIHGLAARGIHYLHRPGPTLQDIGFFLLPELGEDKAYISETLFTFIFCSFVLWTFHPFILQYKKIYTALVWCRVLAYLVACQILRIVTFYSTHLPGPNYHCHQGSKLARLPPPESAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTYQKYGTRRCIKQFAWLLAVIQSLLILASHKHYTVDVVVAWYTVNLVAFYIDKKMPELPDRSTGLTSLPPLPVSREKDSRGMEEHHKLLSGVSVEISN >Manes.02G124300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9456904:9462692:-1 gene:Manes.02G124300.v8.1 transcript:Manes.02G124300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVDRGAPKLWRKICAETSIEVSLVVENWKPLLAGLIFQYIHGLAARGIHYLHRPGPTLQDIGFFLLPELGEDKAYISETLFTFIFCSFVLWTFHPFILQYKKIYTALVWCRVLAYLVACQILRIVTFYSTHLPGPNYHCHQGSKLARLPPPESAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTYQKCIKQFAWLLAVIQSLLILASHKHYTVDVVVAWYTVNLVAFYIDKKMPELPDRSTGLTSLPPLPVSREKDSRGMEEHHKLLSGVSVEISN >Manes.02G124300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9456904:9462832:-1 gene:Manes.02G124300.v8.1 transcript:Manes.02G124300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVDRGAPKLWRKICAETSIEVSLVVENWKPLLAGLIFQYIHGLAARGIHYLHRPGPTLQDIGFFLLPELGEDKAYISETLFTFIFCSFVLWTFHPFILQYKKIYTALVWCRVLAYLVACQILRIVTFYSTHLPGPNYHCHQGSKLARLPPPESAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTYQKCIKQFAWLLAVIQSLLILASHKHYTVDVVVAWYTVNLVAFYIDKKMPELPDRSTGLTSLPPLPVSREKDSRGMEEHHKLLSGVSVEISN >Manes.02G124300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9456904:9462814:-1 gene:Manes.02G124300.v8.1 transcript:Manes.02G124300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVDRGAPKLWRKICAETSIEVSLVVENWKPLLAGLIFQYIHGLAARGIHYLHRPGPTLQDIGFFLLPELGEDKAYISETLFTFIFCSFVLWTFHPFILQYKKIYTALVWCRVLAYLVACQILRIVTFYSTHLPGPNYHCHQGSKLARLPPPESAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTYQKYGTRRCIKQFAWLLAVIQSLLILASHKHYTVDVVVAWYTVNLVAFYIDKKMPELPDRSTGLTSLPPLPVSREKDSRGMEEHHKLLSGVSVEISN >Manes.02G124300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9456904:9462769:-1 gene:Manes.02G124300.v8.1 transcript:Manes.02G124300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYVDRGAPKLWRKICAETSIEVSLVVENWKPLLAGLIFQYIHGLAARGIHYLHRPGPTLQDIGFFLLPELGEDKAYISETLFTFIFCSFVLWTFHPFILQYKKIYTALVWCRVLAYLVACQILRIVTFYSTHLPGPNYHCHQGSKLARLPPPESAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTYQKYGTRRCIKQFAWLLAVIQSLLILASHKHYTVDVVVAWYTVNLVAFYIDKKMPELPDRSTGLTSLPPLPVSREKDSRGMEEHHKLLSGVSVEISN >Manes.15G085500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6571266:6573673:-1 gene:Manes.15G085500.v8.1 transcript:Manes.15G085500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHKAFANPPDELNSPASRNGNKKPKLPEETLREFLSHYPDNTFSMSFGEAAVLAYVRPDNSFSQHQRLFCGFEEIYCLFLGSLNNLCVLNRQYGLTKGTNEAMFVIEAYRTLRDRGPYPADQVVKDLDGSFAFVIYDSKAGTVFVALGSDGGVKMYWGIAADGSVVISDDIEIIKAGCAKSFAPFPAGFMFHSEGGLMSFEHPMNKVRAMPRVDSEGALCGANFKVDVYTRINSIPRRGSEANWTQWDSHN >Manes.01G228100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39336497:39338176:1 gene:Manes.01G228100.v8.1 transcript:Manes.01G228100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLCSTKSLFFLFFISAIPLAFIISLELAKPTTHVFHYHSSGFFRECAKWDDLNRRFIVSFLDGGVGEIRVPDDYSSDTVLQEVTVVKDVDVAGNSSLGIVVDQPRNRVLVVTANVLGNKYSGLASYNLSTWDRLFLTTLSGPNDEASFADDVAVDAEGNAYVTDAKSSKIWKVGKDGELLSIIKNPLFISKEWYKNVVALNGIVYHPDGFLIAIHTFSGNLYKIDVAKDNEVKLIKVSGGSLSFGDGLELVSPTTLVVAGNPSGRLVESSDGWETASVVAKFKGPAHRLATAATVKEGKVYLSHMIGMGYPKKKHAIVEAIFST >Manes.06G112100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24353309:24354718:-1 gene:Manes.06G112100.v8.1 transcript:Manes.06G112100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHLQHYGVISSKKVAEVMETIDRALFVPDGSPAYVDSPMAIGYNATISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAVMVGPQGRAVGVEHIPELVTSSIKNIEKSAAAPLLKEGSLSVHVGDGRQGWAEFAPYDAIHVGAAAAEIPPALVEQLKPGGRMVIPVGNIFQDLKVIDKNEDGSISVRSETSVRYVPLTSREAQLRGY >Manes.06G112100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24351395:24356298:-1 gene:Manes.06G112100.v8.1 transcript:Manes.06G112100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVLLAIVTGVDCFVSDTQTHTSTRTFDLQTQPLAACALERFFAGSGINKNQAMVAHLQHYGVISSKKVAEVMETIDRALFVPDGSPAYVDSPMAIGYNATISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAVMVGPQGRAVGVEHIPELVTSSIKNIEKSAAAPLLKEGSLSVHVGDGRQGWAEFAPYDAIHVGAAAAEIPPALVEQLKPGGRMVIPVGNIFQDLKVIDKNEDGSISVRSETSVRYVPLTSREAQLRGY >Manes.06G112100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24351395:24356290:-1 gene:Manes.06G112100.v8.1 transcript:Manes.06G112100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSMPLSPVIAFGCRNCVAPLKRLLTYSSHSHYHHRRRLLHFLRTGSLNFPSHFSPLSSFHHLPENLNRFFTGNIHSLFFPMEGNLCQVGWMYYVLLAIVTGVDCFVSDTQTHTSTRTFDLQTQPLAACALERFFAGSGINKNQAMVAHLQHYGVISSKKVAEVMETIDRALFVPDGSPAYVDSPMAIGYNATISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAVMVGPQGRAVGVEHIPELVTSSIKNIEKSAAAPLLKEGSLSVHVGDGRQGWAEFAPYDAIHVGAAAAEIPPALVEQLKPGGRMVIPVGNIFQDLKVIDKNEDGSISVRSETSVRYVPLTSREAQLRGY >Manes.06G112100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24351395:24356298:-1 gene:Manes.06G112100.v8.1 transcript:Manes.06G112100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSMPLSPVIAFGCRNCVAPLKRLLTYSSHSHYHHRRRLLHFLRTGSLNFPSHFSPLSSFHHLPENLNRFFTGNIHSLFFPMERFFAGSGINKNQAMVAHLQHYGVISSKKVAEVMETIDRALFVPDGSPAYVDSPMAIGYNATISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAVMVGPQGRAVGVEHIPELVTSSIKNIEKSAAAPLLKEGSLSVHVGDGRQGWAEFAPYDAIHVGAAAAEIPPALVEQLKPGGRMVIPVGNIFQDLKVIDKNEDGSISVRSETSVRYVPLTSREAQLRGY >Manes.06G112100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24351395:24356299:-1 gene:Manes.06G112100.v8.1 transcript:Manes.06G112100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSMPLSPVIAFGCRNCVAPLKRLLTYSSHSHYHHRRRLLHFLRTGSLNFPSHFSPLSSFHHLPENLNRFFTGNIHSLFFPMEVVLCVYLQGNLCQVGWMYYVLLAIVTGVDCFVSDTQTHTSTRTFDLQTQPLAACALERFFAGSGINKNQAMVAHLQHYGVISSKKVAEVMETIDRALFVPDGSPAYVDSPMAIGYNATISAPHMHATCLQLLEEHLKPGMHALDVGSGTGYLTACFAVMVGPQGRAVGVEHIPELVTSSIKNIEKSAAAPLLKEGSLSVHVGDGRQGWAEFAPYDAIHVGAAAAEIPPALVEQLKPGGRMVIPVGNIFQDLKVIDKNEDGSISVRSETSVRYVPLTSREAQLRGY >Manes.12G038400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3310148:3314086:-1 gene:Manes.12G038400.v8.1 transcript:Manes.12G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISRRLGHQSLYPATSTASLKSIYPLYYVADHPRYGSTLAPKGVGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYDPRDENSIKAVMAKANVVINLIGREYETRNYSFEEANHGMADQLATIAKEHGGIMRYIQVSCLGASPSSASRMLRAKAAAEEAVLKELPEATVVRPAVMIGTEDRILNRWAHFVKKYSFLPLIGDGSTKIQPVYVVDVAAAIVAALKDDGSSMGKVYELGGPEIFTMHELAELMFDTIREWPRYVKVPFPIAKLLATPREVLLNKVPFPLPTPNIFNLDEINALTVDTLVSETALTFHDLGILPHKLKGYPVEFLISYRKGGPQFGSTVSERISPDSFP >Manes.06G075232.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21099231:21100409:1 gene:Manes.06G075232.v8.1 transcript:Manes.06G075232.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVYGNMLHAVPTTVVTPQSSKNIKKMNPTLISQWNPSPRVPKIVQSRQPRGIFNALPLVHEAKEGGEANAPLTPQGKYKEIMSRFPRRDDWVLQPLYQYQGFWYFRDYLIGLLAAQENFKPQPSDIVLCTYPKTGTTWLKALAYAIVTRSRFSDSENPLLVKAPHDCVPFFEIDAARNTSNRDPQVPLVATHIPYTSLPTSISESGCKLVYLCRDPKDVLISMWHFLRGKLPEGIDKDTYINLNNSFEIFCEGIASNGPYWDHVLGYWKVSVESPEKVLFLVYEDLKKDTVSIVRKLAEFMGYPFTPEEETRGVVQEIVELCSFDSLKNLKANTSGVYSPDSPYAVRNTEFFRKGTTGDWKNYFNEEMAARLDQIIEEKLNGSGFSFLSR >Manes.01G123500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31909766:31916439:1 gene:Manes.01G123500.v8.1 transcript:Manes.01G123500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEESLHAVPVDRTVEQAILALKKGAHLLKCGRRGKPKFCPFRLSTDEKYLIWYSGQEEKQLRLSSIMKIITGQSTVNFRRQLQPDKEHQSFSLIYANGERSLDLICKDKVQADSWLIGLRAVISRCHRSRPFPGLRSHGGALSCINSPAGFIRRKRNLGILEDATELSQVRSLCGSPTQSVSDRCFSDGFSLSSDSFCISESSLQQMQNVADTSFPNSPYVEPNINKCGSIYAFSELQKEMSHRFVTPTYGSPQTGKNDILKDVLIWGEGVEGGNIGGMVQKLGNQNGMQVDALVPKLLESTVMLDVQSISLGGKHAALITKRREVFCWGEGSGGKLGHKVNMDFSYPKVVDSLGGVRIKSVACGEYQTCALTDSGELYIWGDNKSGANLVEVRTRNQWLPYKLSGPFDSITISKVACGEWHTAILSSSGQLFTYGDGTFGVLGHGNLQSVSYPKEIESLRGLWVKSVACGSWHTAAIVDIVADRLKFNAVGGKLFTWGDGDKGRLGHIDMGKKLVPTCVAQLVECDFVQVSCGRMLTVSLTNTGRVYTMGTSLYGLLGNPQAKDKSITIVEGKLKDEFVKEISSGSYHVAALTSEGRVYTWGKGTNGQLGLGITEDRNSPTFVGALRNRLVESITCGSNLTAVICLHKSISITDQSACNDCRMPFGLTRKKHNCYNCGLLFCHACSARKVINASLAPNKSKPSRVCDSCFMLLQKATDSGRIVKLENQGPKQKLSSNKMLSDEKEGRGEATPVLLKSQLYDLDSQAGKKITLKKQGEKEQHLETVSSFSAGISRWGQVSCPAVFESYYSKHSAPPVDSRSPVSSVVNTDKVMFEANETLSEEVQRLRAEARSLEMQCEIGTQKIQECQETIEKTWSLAREEAAKRKAANEIIKALALRLHAMSEKLSSGKGAKVRADLTTNSQKAQANTNSPCVSPRPPLALFHLPPEVKLSKDGQRDSLSSSPITFSNTLKSLDARGLFKEIGKSDDDSHTPRTNSRRNGTKGSRLEWVEKCEPGVYITFTTLPCGRTGLKRVRFSRKRFAEKEAERWWEENQVAVYQKYGIEGYVDSNQNQIKSYI >Manes.01G123500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31909766:31916439:1 gene:Manes.01G123500.v8.1 transcript:Manes.01G123500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIITGQSTVNFRRQLQPDKEHQSFSLIYANGERSLDLICKDKVQADSWLIGLRAVISRCHRSRPFPGLRSHGGALSCINSPAGFIRRKRNLGILEDATELSQVRSLCGSPTQSVSDRCFSDGFSLSSDSFCISESSLQQMQNVADTSFPNSPYVEPNINKCGSIYAFSELQKEMSHRFVTPTYGSPQTGKNDILKDVLIWGEGVEGGNIGGMVQKLGNQNGMQVDALVPKLLESTVMLDVQSISLGGKHAALITKRREVFCWGEGSGGKLGHKVNMDFSYPKVVDSLGGVRIKSVACGEYQTCALTDSGELYIWGDNKSGANLVEVRTRNQWLPYKLSGPFDSITISKVACGEWHTAILSSSGQLFTYGDGTFGVLGHGNLQSVSYPKEIESLRGLWVKSVACGSWHTAAIVDIVADRLKFNAVGGKLFTWGDGDKGRLGHIDMGKKLVPTCVAQLVECDFVQVSCGRMLTVSLTNTGRVYTMGTSLYGLLGNPQAKDKSITIVEGKLKDEFVKEISSGSYHVAALTSEGRVYTWGKGTNGQLGLGITEDRNSPTFVGALRNRLVESITCGSNLTAVICLHKSISITDQSACNDCRMPFGLTRKKHNCYNCGLLFCHACSARKVINASLAPNKSKPSRVCDSCFMLLQKATDSGRIVKLENQGPKQKLSSNKMLSDEKEGRGEATPVLLKSQLYDLDSQAGKKITLKKQGEKEQHLETVSSFSAGISRWGQVSCPAVFESYYSKHSAPPVDSRSPVSSVVNTDKVMFEANETLSEEVQRLRAEARSLEMQCEIGTQKIQECQETIEKTWSLAREEAAKRKAANEIIKALALRLHAMSEKLSSGKGAKVRADLTTNSQKAQANTNSPCVSPRPPLALFHLPPEVKLSKDGQRDSLSSSPITFSNTLKSLDARGLFKEIGKSDDDSHTPRTNSRRNGTKGSRLEWVEKCEPGVYITFTTLPCGRTGLKRVRFSRKRFAEKEAERWWEENQVAVYQKYGIEGYVDSNQNQIKSYI >Manes.01G123500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31909766:31916439:1 gene:Manes.01G123500.v8.1 transcript:Manes.01G123500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEESLHAVPVDRTVEQAILALKKGAHLLKCGRRGKPKFCPFRLSTEEKQLRLSSIMKIITGQSTVNFRRQLQPDKEHQSFSLIYANGERSLDLICKDKVQADSWLIGLRAVISRCHRSRPFPGLRSHGGALSCINSPAGFIRRKRNLGILEDATELSQVRSLCGSPTQSVSDRCFSDGFSLSSDSFCISESSLQQMQNVADTSFPNSPYVEPNINKCGSIYAFSELQKEMSHRFVTPTYGSPQTGKNDILKDVLIWGEGVEGGNIGGMVQKLGNQNGMQVDALVPKLLESTVMLDVQSISLGGKHAALITKRREVFCWGEGSGGKLGHKVNMDFSYPKVVDSLGGVRIKSVACGEYQTCALTDSGELYIWGDNKSGANLVEVRTRNQWLPYKLSGPFDSITISKVACGEWHTAILSSSGQLFTYGDGTFGVLGHGNLQSVSYPKEIESLRGLWVKSVACGSWHTAAIVDIVADRLKFNAVGGKLFTWGDGDKGRLGHIDMGKKLVPTCVAQLVECDFVQVSCGRMLTVSLTNTGRVYTMGTSLYGLLGNPQAKDKSITIVEGKLKDEFVKEISSGSYHVAALTSEGRVYTWGKGTNGQLGLGITEDRNSPTFVGALRNRLVESITCGSNLTAVICLHKSISITDQSACNDCRMPFGLTRKKHNCYNCGLLFCHACSARKVINASLAPNKSKPSRVCDSCFMLLQKATDSGRIVKLENQGPKQKLSSNKMLSDEKEGRGEATPVLLKSQLYDLDSQAGKKITLKKQGEKEQHLETVSSFSAGISRWGQVSCPAVFESYYSKHSAPPVDSRSPVSSVVNTDKVMFEANETLSEEVQRLRAEARSLEMQCEIGTQKIQECQETIEKTWSLAREEAAKRKAANEIIKALALRLHAMSEKLSSGKGAKVRADLTTNSQKAQANTNSPCVSPRPPLALFHLPPEVKLSKDGQRDSLSSSPITFSNTLKSLDARGLFKEIGKSDDDSHTPRTNSRRNGTKGSRLEWVEKCEPGVYITFTTLPCGRTGLKRVRFSRKRFAEKEAERWWEENQVAVYQKYGIEGYVDSNQNQIKSYI >Manes.01G123500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31911098:31916439:1 gene:Manes.01G123500.v8.1 transcript:Manes.01G123500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIITGQSTVNFRRQLQPDKEHQSFSLIYANGERSLDLICKDKVQADSWLIGLRAVISRCHRSRPFPGLRSHGGALSCINSPAGFIRRKRNLGILEDATELSQVRSLCGSPTQSVSDRCFSDGFSLSSDSFCISESSLQQMQNVADTSFPNSPYVEPNINKCGSIYAFSELQKEMSHRFVTPTYGSPQTGKNDILKDVLIWGEGVEGGNIGGMVQKLGNQNGMQVDALVPKLLESTVMLDVQSISLGGKHAALITKRREVFCWGEGSGGKLGHKVNMDFSYPKVVDSLGGVRIKSVACGEYQTCALTDSGELYIWGDNKSGANLVEVRTRNQWLPYKLSGPFDSITISKVACGEWHTAILSSSGQLFTYGDGTFGVLGHGNLQSVSYPKEIESLRGLWVKSVACGSWHTAAIVDIVADRLKFNAVGGKLFTWGDGDKGRLGHIDMGKKLVPTCVAQLVECDFVQVSCGRMLTVSLTNTGRVYTMGTSLYGLLGNPQAKDKSITIVEGKLKDEFVKEISSGSYHVAALTSEGRVYTWGKGTNGQLGLGITEDRNSPTFVGALRNRLVESITCGSNLTAVICLHKSISITDQSACNDCRMPFGLTRKKHNCYNCGLLFCHACSARKVINASLAPNKSKPSRVCDSCFMLLQKATDSGRIVKLENQGPKQKLSSNKMLSDEKEGRGEATPVLLKSQLYDLDSQAGKKITLKKQGEKEQHLETVSSFSAGISRWGQVSCPAVFESYYSKHSAPPVDSRSPVSSVVNTDKVMFEANETLSEEVQRLRAEARSLEMQCEIGTQKIQECQETIEKTWSLAREEAAKRKAANEIIKALALRLHAMSEKLSSGKGAKVRADLTTNSQKAQANTNSPCVSPRPPLALFHLPPEVKLSKDGQRDSLSSSPITFSNTLKSLDARGLFKEIGKSDDDSHTPRTNSRRNGTKGSRLEWVEKCEPGVYITFTTLPCGRTGLKRVRFSRKRFAEKEAERWWEENQVAVYQKYGIEGYVDSNQNQIKSYI >Manes.06G177000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30039365:30041819:1 gene:Manes.06G177000.v8.1 transcript:Manes.06G177000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSSKTKAYLTSSPARSIPRFLALRAQSNTPSHPNHIDFSDDSSSTDPSLRKLEDAIHRIIVRRAAPDWLPFLPGSSYWVPPPRSTAGSLGIAHLVEKLANPLTDEESLSMTTVRGWPSSDYFVKGSLPHSMELTITSNKAAETEATSNNTSKPEDEEG >Manes.06G177000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30039365:30042436:1 gene:Manes.06G177000.v8.1 transcript:Manes.06G177000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSSKTKAYLTSSPARSIPRFLALRAQSNTPSHPNHIDFSDDSSSTDPSLRKLEDAIHRIIVRRAAPDWLPFLPGSSYWVPPPRSTAGSLGIAHLVEKLANPLTDEESLSMTTVRGWPSSDYFVKGSLPHSMELTITSNKAAETEATSNNTSKPEDEEG >Manes.02G165800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12976678:13007114:-1 gene:Manes.02G165800.v8.1 transcript:Manes.02G165800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSSRSHRSSAISPFRSRKSPAQPPPPAAPKSTGRPSTPSSTTTSRPPSRLSGIPVSAGPSPTAQALDRPETSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEYNASIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQEVINDLLDPTGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKSTHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKLELQQLKRGIMENPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERPGHRRRHSFGEDELAYLPDRKREYMTEEDVGSHASELSAERGDDITNLDELVNDYKRNRRRGMLGWFKLRKPENLLRSSPSADSESSASGSPASCSKSSQNRAMFSDSKDGRRRSISRRGDDSVLIDSFLERTQAGDLFSATVGGQLPPTGTTITDQMDLLREQVKMLAGDVALCTSSLKRLSEQATSNPEDSQLKEQVQKLKYEISEKKRQMHVLEQRMIGSVEMTPHYSSSTGLSPALSKLTSQLNEKTFELEIKSADNRVLQEQLQMKIAENNEMQETILLLRQQLNSLLGNSQPQITESDMHKTCSKELLRKQGGNTEIWPCGENPIDENTPKSVISLNRIFSQEDSREFNGNSPLNSQVLKQAAEIENLKQENVKLSEEKDGLEIRSQKLAEEAAYAKDLAATAAVELRNLAEEVTKLSYENAKLTGDMAAVKEVHWGSNCSQKSVSYDCKQKSSARSDSDGHARRQEDCLLIEDLQKEFDARYQRESALEAAIAEKDKMECELRERLGEAKRHEEDLENELANMWVLVAKMRMSSFNAEDRSPEGALAPHTSQSGLKNGCVPYNSHSNRIPKFEKSENMDEISTLDELKFSFQKERRRCKELESIISRLKGEDIDGLDVKALEQLQNFHIEAITKISHAKCANHIL >Manes.02G165800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12976677:13007114:-1 gene:Manes.02G165800.v8.1 transcript:Manes.02G165800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSSRSHRSSAISPFRSRKSPAQPPPPAAPKSTGRPSTPSSTTTSRPPSRLSGIPVSAGPSPTAQALDRPETSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEYNASIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKSTHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKLELQQLKRGIMENPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERPGHRRRHSFGEDELAYLPDRKREYMTEEDVGSHASELSAERGDDITNLDELVNDYKRNRRRGMLGWFKLRKPENLLRSSPSADSESSASGSPASCSKSSQNRAMFSDSKDGRRRSISRRGDDSVLIDSFLERTQAGDLFSATVGGQLPPTGTTITDQMDLLREQVKMLAGDVALCTSSLKRLSEQATSNPEDSQLKEQVQKLKYEISEKKRQMHVLEQRMIGSVEMTPHYSSSTGLSPALSKLTSQLNEKTFELEIKSADNRVLQEQLQMKIAENNEMQETILLLRQQLNSLLGNSQPQITESDMHKTCSKELLRKQGGNTEIWPCGENPIDENTPKSVISLNRIFSQEDSREFNGNSPLNSQVLKQAAEIENLKQENVKLSEEKDGLEIRSQKLAEEAAYAKDLAATAAVELRNLAEEVTKLSYENAKLTGDMAAVKEVHWGSNCSQKSVSYDCKQKSSARSDSDGHARRQEDCLLIEDLQKEFDARYQRESALEAAIAEKDKMECELRERLGEAKRHEEDLENELANMWVLVAKMRMSSFNAEDRSPEGALAPHTSQSGLKNGCVPYNSHSNRIPKFEKSENMDEISTLDELKFSFQKERRRCKELESIISRLKGEDIDGLDVKALEQLQNFHIEAITKISHAKCANHIL >Manes.02G165800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12976678:13007114:-1 gene:Manes.02G165800.v8.1 transcript:Manes.02G165800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSSRSHRSSAISPFRSRKSPAQPPPPAAPKSTGRPSTPSSTTTSRPPSRLSGIPVSAGPSPTAQALDRPETSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEYNASIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKSTHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKLELQQLKRGIMENPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERPGHRRRHSFGEDELAYLPDRKREYMTEEDVGSHASELSAERGDDITNLDELVNDYKRNRRRGMLGWFKLRKPENLLRSSPSADSESSASGSPASCSKSSQNRAMFSDSKDGRRRSISRRGDDSVLIDSFLERTQAGDLFSATVGGQLPPTGTTITDQMDLLREQVKMLAGDVALCTSSLKRLSEQATSNPEDSQLKEQVQKLKYEISEKKRQMHVLEQRMIGSVEMTPHYSSSTGLSPALSKLTSQLNEKTFELEIKSADNRVLQEQLQMKIAENNEMQETILLLRQQLNSLLGNSQPQITESDMHKTCSKELLRKQGGNTEIWPCGENPIDENTPKSVISLNRIFSQEDSREFNGNSPLNSQVLKQAAEIENLKQENVKLSEEKDGLEIRSQKLAEEAAYAKDLAATAAVELRNLAEEVTKLSYENAKLTGDMAAVKEVHWGSNCSQKSVSYDCKQKSSARSDSDGHARRQEDCLLIEDLQKEFDARYQRESALEAAIAEKDKMECELRERLGEAKRHEEDLENELANMWVLVAKMRMSSFNAEDRSPEGALAPHTSQSGLKNGCVPYNSHSNRIPKFEKSENMDEISTLDELKFSFQKERRRCKELESIISRLKGEDIDGLDVKALEQLQNFHIEAITKISHAKCANHIL >Manes.02G165800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12982533:13007114:-1 gene:Manes.02G165800.v8.1 transcript:Manes.02G165800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSSRSHRSSAISPFRSRKSPAQPPPPAAPKSTGRPSTPSSTTTSRPPSRLSGIPVSAGPSPTAQALDRPETSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEYNASIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKSTHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKLELQQLKRGIMENPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERPGHRRRHSFGEDELAYLPDRKREYMTEEDVGSHASELSAERGDDITNLDELVNDYKRNRRRGMLGWFKLRKPENLLRSSPSADSESSASGSPASCSKSSQNRAMFSDSKDGRRRSISRRGDDSVLIDSFLERTQAGDLFSATVGGQLPPTGTTITDQMDLLREQVKMLAGDVALCTSSLKRLSEQATSNPEDSQLKEQVQKLKYEISEKKRQMHVLEQRMIGSVEMTPHYSSSTGLSPALSKLTSQLNEKTFELEIKSADNRVLQEQLQMKIAENNEMQETILLLRQQLNSLLGNSQPQITESDMHKTCSKELLRKQGGNTEIWPCGENPIDENTPKSVISLNRIFSQEDSREFNGNSPLNSQVLKQAAEIENLKQENVKLSEEKDGLEIRSQKLAEEAAYAKDLAATAAVELRNLAEEVTKLSYENAKLTGDMAAVKEVHWGSNCSQKSVSYDCKQKSSARSDSDGHARRQEDCLLIEDLQKEFDARYQRESALEAAIAEKDKMECELRERLGEAKRHEEDLENELANMWVLVAKMRMSSFNAEDRSPEGALAPHTSQSGLKNGCVPYNSHSNRIPKFEKSENMDEISTLDELKFSFQKERRRCKELESIISRLKSLNLNL >Manes.02G165800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12976678:13007114:-1 gene:Manes.02G165800.v8.1 transcript:Manes.02G165800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSSRSHRSSAISPFRSRKSPAQPPPPAAPKSTGRPSTPSSTTTSRPPSRLSGIPVSAGPSPTAQALDRPETSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEYNASIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKSTHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKLELQQLKRGIMENPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERPGHRRRHSFGEDELAYLPDRKREYMTEEDVGSHASELSAERGDDITNLDELVNDYKRNRRRGMLGWFKLRKPENLLRSSPSADSESSASGSPASCSKSSQNRAMFSDSKDGRRRSISRRGDDSVLIDSFLERTQAGDLFSATVGGQLPPTGTTITDQMDLLREQVKMLAGDVALCTSSLKRLSEQATSNPEDSQLKEQVQKLKYEISEKKRQMHVLEQRMIGSVEMTPHYSSSTGLSPALSKLTSQLNEKTFELEIKSADNRVLQEQLQMKIAENNEMQETILLLRQQLNSLLGNSQPQITESDMHKTCSKELLRKQGGNTEIWPCGENPIDENTPKSVISLNRIFSQEDSREFNGNSPLNSQVLKQAAEIENLKQENVKLSEEKDGLEIRSQKLAEEAAYAKDLAATAAVELRNLAEEVTKLSYENAKLTGDMAAVKEVHWGSNCSQKSVSYDCKQKSSARSDSDGHARRQEDCLLIEDLQKEFDARYQRESALEAAIAEKDKMECELRERLGEAKRHEEDLENELANMWVLVAKMRMSSFNAEDRSPEGALAPHTSQSGLKNGCVPYNSHSNRIPKFEKSENMDEISTLDELKFSFQKERRRCKELESIISRLKGEDIDGLDVKALEQLQNFHIEAITKISHAKV >Manes.02G165800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12976677:13007114:-1 gene:Manes.02G165800.v8.1 transcript:Manes.02G165800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSSRSHRSSAISPFRSRKSPAQPPPPAAPKSTGRPSTPSSTTTSRPPSRLSGIPVSAGPSPTAQALDRPETSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEYNASIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKSTHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKLELQQLKRGIMENPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERPGHRRRHSFGEDELAYLPDRKREYMTEEDVGSHASELSAERGDDITNLDELVNDYKRNRRRGMLGWFKLRKPENLLRSSPSADSESSASGSPASCSKSSQNRAMFSDSKDGRRRSISRRGDDSVLIDSFLERTQAGDLFSATVGGQLPPTGTTITDQMDLLREQVKMLAGDVALCTSSLKRLSEQATSNPEDSQLKEQVQKLKYEISEKKRQMHVLEQRMIGSVEMTPHYSSSTGLSPALSKLTSQLNEKTFELEIKSADNRVLQEQLQMKIAENNEMQETILLLRQQLNSLLGNSQPQITESDMHKTCSKELLRKQGGNTEIWPCGENPIDENTPKSVISLNRIFSQEDSREFNGNSPLNSQVLKQAAEIENLKQENVKLSEEKDGLEIRSQKLAEEAAYAKDLAATAAVELRNLAEEVTKLSYENAKLTGDMAAVKEVHWGSNCSQKSVSYDCKQKSSARSDSDGHARRQEDCLLIEDLQKEFDARYQRESALEAAIAEKDKMECELRERLGEAKRHEEDLENELANMWVLVAKMRMSSFNAEDRSPEGALAPHTSQSGLKNGCVPYNSHSNRIPKFEKSENMDEISTLDELKFSFQKERRRCKELESIISRLKGEDIDGLDVKALEQLQNFHIEAITKISHAKCANHIL >Manes.02G165800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12976678:13007114:-1 gene:Manes.02G165800.v8.1 transcript:Manes.02G165800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSSRSHRSSAISPFRSRKSPAQPPPPAAPKSTGRPSTPSSTTTSRPPSRLSGIPVSAGPSPTAQALDRPETSKSKENVTVTVRFRPLSAREINKGDEIAWYADGDYTVRNEYNASIAYGFDRVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQEVINDLLDPTGQNLRIREDTQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKSTHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKLELQQLKRGIMENPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERPGHRRRHSFGEDELAYLPDRKREYMTEEDVGSHASELSAERGDDITNLDELVNDYKRNRRRGMLGWFKLRKPENLLRSSPSADSESSASGSPASCSKSSQNRAMFSDSKDGRRRSISRRGDDSVLIDSFLERTQAGDLFSATVGGQLPPTGTTITDQMDLLREQVKMLAGDVALCTSSLKRLSEQATSNPEDSQLKEQVQKLKYEISEKKRQMHVLEQRMIGSVEMTPHYSSSTGLSPALSKLTSQLNEKTFELEIKSADNRVLQEQLQMKIAENNEMQETILLLRQQLNSLLGNSQPQITESDMHKTCSKELLRKQGGNTEIWPCGENPIDENTPKSVISLNRIFSQEDSREFNGNSPLNSQVLKQAAEIENLKQENVKLSEEKDGLEIRSQKLAEEAAYAKDLAATAAVELRNLAEEVTKLSYENAKLTGDMAAVKEVHWGSNCSQKSVSYDCKQKSSARSDSDGHARRQEDCLLIEDLQKEFDARYQRESALEAAIAEKDKMECELRERLGEAKRHEEDLENELANMWVLVAKMRMSSFNAEDRSPEGALAPHTSQSGLKNGCVPYNSHSNRIPKFEKSENMDEISTLDELKFSFQKERRRCKELESIISRLKGEDIDGLDVKALEQLQNFHIEAITKISHAKCANHIL >Manes.04G148400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34528301:34532408:-1 gene:Manes.04G148400.v8.1 transcript:Manes.04G148400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDVKDIEMYKQQVKALFKNLATRQNEPSRMSIETGPYVFHYIIEGHVCYLTMCDRSYPKKLAFQYLEDLKNEFERINGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVKTKIW >Manes.05G162100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27503442:27506870:1 gene:Manes.05G162100.v8.1 transcript:Manes.05G162100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVENSSQMTFSIASGEANNVSNFGNQAPPLSAPLPKKKRNLPGMPDPDAEVVALSPKTLLASNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSREPRKQVYVCPEPACVHHNPARALGDLTGIKKHFCRKHGEKKWKCERCSKNYAVQSDWKAHMKICGSREYKCDCGSLFSRRDSFITHRAFCDVLAEESARAKTLTIMDKERNTNLKNVIASPPSPPLTPSTTVVSSGVLVQSSELAENPVGHSVSTPATACLNATIPSSRSSSSISNVFASVFASSAMAAVISQASSTSLSNFLCALPHSDCPTTIQTHRAAEPPSLSLSSSFYLSNNASFFPDQDHRHYTLSPQPAMSATALLQKAAQMGATSSNSSFLRGLGLPVSSSCSQDINGNQRDMKQDANAVAAGLGIGLPSGDVMMGSSPLFGNKPTTLDLLGLGIGAGSALLNSYGGSFNVGAAAVSAVATPYGGGSSKETWDAAAEKKPSGSALY >Manes.04G101500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30587048:30593112:-1 gene:Manes.04G101500.v8.1 transcript:Manes.04G101500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASSINLRDASYRASASGRQPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQTAGVGDVWQSEGADLNRRRSRNFDNVFGNPSPDDLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGDQSLMGFRSNDGGLSASAPVSPVSSPPESRRLEKSLSIARSRHESMRSLESSTESIEQLEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLVPIPLPLPPVSFLCLCQVISVMWKHYSNSKTTNSSKLLRRLVRMIQRRNCK >Manes.04G101500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30585939:30592890:-1 gene:Manes.04G101500.v8.1 transcript:Manes.04G101500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASSINLRDASYRASASGRQPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQTAGVGDVWQSEGADLNRRRSRNFDNVFGNPSPDDLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGDQSLMGFRSNDGGLSASAPVSPVSSPPESRRLEKSLSIARSRHESMRSLESSTESIEQLEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDAGAFKWVLVVTGVAGISIFCAFVWFFKYRRLMPL >Manes.04G101500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30585939:30592890:-1 gene:Manes.04G101500.v8.1 transcript:Manes.04G101500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASSINLRDASYRASASGRQPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQTAGVGDVWQSEGADLNRRRSRNFDNVFGNPSPDDLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGDQSLMGFRSNDGGLSASAPVSPVSSPPESRRLEKSLSIARSRHESMRSLESSTESIEQLEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDAGAFKWVLVVTGVAGISIFCAFVWFFKYRRLMPL >Manes.04G101500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30587048:30593236:-1 gene:Manes.04G101500.v8.1 transcript:Manes.04G101500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASSINLRDASYRASASGRQPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQTAGVGDVWQSEGADLNRRRSRNFDNVFGNPSPDDLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGDQSLMGFRSNDGGLSASAPVSPVSSPPESRRLEKSLSIARSRHESMRSLESSTESIEQLEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLVPIPLPLPPVSFLCLCQVISVMWKHYSNSKTTNSSKLLRRLVRMIQRRNCK >Manes.04G101500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30587048:30593236:-1 gene:Manes.04G101500.v8.1 transcript:Manes.04G101500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASSINLRDASYRASASGRQPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQTAGVGDVWQSEGADLNRRRSRNFDNVFGNPSPDDLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGDQSLMGFRSNDGGLSASAPVSPVSSPPESRRLEKSLSIARSRHESMRSLESSTESIEQLEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLVPIPLPLPPVSFLCLCQVISVMWKHYSNSKTTNSSKLLRRLVRMIQRRNCK >Manes.04G101500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30585939:30592890:-1 gene:Manes.04G101500.v8.1 transcript:Manes.04G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKERLLPPKPASSINLRDASYRASASGRQPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQTAGVGDVWQSEGADLNRRRSRNFDNVFGNPSPDDLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGDQSLMGFRSNDGGLSASAPVSPVSSPPESRRLEKSLSIARSRHESMRSLESSTESIEQLEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDAGAFKWVLVVTGVAGISIFCAFVWFFKYRRLMPL >Manes.01G047215.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17102716:17107837:1 gene:Manes.01G047215.v8.1 transcript:Manes.01G047215.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITHSRLLFSLPSSALPKSLNQSLNLINSSFLFNINPLFSSPSLYRICFSLSRPTCRRPSALPIGCHLASRTVLTTPYCHLVSIFYCSNLPIGFLCCVAESLRFWLFEPRCDMHDIGGWYIETFGRDKKGRTVLSQRYWDGFDESEQYDKRLHPAMYLLALAYRTLDLEDTKRRKQAFKNFIESQLFRVFHWCKKLV >Manes.01G047215.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17102716:17107970:1 gene:Manes.01G047215.v8.1 transcript:Manes.01G047215.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITHSRLLFSLPSSALPKSLNQSLNLINSSFLFNINPLFSSPSLYRICFSLSRPTCRRPSALPIGCHLASRTVLTTPYCHLVSIFYCSNLPIGFLCCVAESLRFWLFEPRCDMHDIGGWYIETFGRDKKGRTVLSQRYWDGFDESEQYDKRLHPAMYLLALAYRTLDLEDTKRRKQAFKNFIESQLFRVFHWCKKLV >Manes.01G047215.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:17102716:17106338:1 gene:Manes.01G047215.v8.1 transcript:Manes.01G047215.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITHSRLLFSLPSSALPKSLNQSLNLINSSFLFNINPLFSSPSLYRICFSLSRPTCRRPSALPIGCHLASRTVLTTPYCHLVSIFYCSNLPIGFLCCVAESLRFWLFEPRCDMHDIGGWYIETFGRDKKGRTVLSQRYWDGFDESEQYDKRLHPAMYLLALAYRTLDLEDTKRRKQAFKNFIESQLFRVFHWCKKLV >Manes.02G141900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10919978:10920858:1 gene:Manes.02G141900.v8.1 transcript:Manes.02G141900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAVAAAAYAGKYSIQAFQAFKARPPKASLRRFYDGGFHPVMTRREASLILGIRESTPVDKVKEAHRRVMVANHPDAGGSHYLASKINEAKDLLLGKSKVGGSAF >Manes.02G141900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10918891:10920858:1 gene:Manes.02G141900.v8.1 transcript:Manes.02G141900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPFMAGIAVAAAAYAGKYSIQAFQAFKARPPKASLRRFYDGGFHPVMTRREASLILGIRESTPVDKVKEAHRRVMVANHPDAGGSHYLASKINEAKDLLLGKSKVGGSAF >Manes.05G085350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:7034061:7039889:-1 gene:Manes.05G085350.v8.1 transcript:Manes.05G085350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGDKKLCLFLEAAFSGDLKLMKTLSKLLDVSDGFLAKRVESIKDHDGRTALHLAAEQGKTNVCEFLIGEVKMDVNLRDRKGNTPLHCAILEDHSHIAASLLENGANPNAATGQKFTPLHYAAKRGCRKVLQLLISKGAEIDAQADSGTPLQEAASLRMDEAVKILLDNNANPNLTFRHLFSPLLLSLCAGSIECVKQLLKAGADPNMRTVGPTPLEYAASLGDTESIKYLLDEGALPNMASNLGLTPVEVAARQGHHSAVEILFPVTSSIASVADWSCAGIMKHFQSIEVKKKMEQRKNEQFVQFKSKGQDAFNRKDYHDGIYWYTEAECLEPMDARVKSNRSLCWACLNEGYRALADGQKSLLLDPKWQKAYYREGVGWKLLKDFEKAADSFYIGWRLDVGNKELLQAYQEAKQMQIQQAAAREPCFDSCCCCANRQNL >Manes.15G041200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3182727:3187093:1 gene:Manes.15G041200.v8.1 transcript:Manes.15G041200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLLSLTLCFLLFFHVCFAQTEQGPGFWPRPYGPKRFQRDECQFERLNVLEPSRRIQSEAGVTDVWDENDDQFQCAGVVVVRHTIEERGLLLPQYVNGPKLVYVVQGRGLHGAVLPGCPETFQSSQSQPRSQFSQTRRESQRDEHQKVRQIREGDVVALPTGVVQWVYNNGRTPLVLVVAVDTSNRNNQLDPNARLFLVAGNPQEELQSQRGEFGRGEAGMDPSRRPGRRERTGNVFSGLDEQLLAESFNINTDLARRLRGEEDFRGIIVRVQRELQVVTPQQSAEEERQIREEQSRESQTGTGGSGGYINGVEETFCALRLQHNLNDHTETDVFNPRAGRITNVNSNNLPVLSRLQLSVQKGILYRNAMLAPYWNVNAHAVHYIVRGNGQVQVVDDNGNTVFDGQVRAGQVITTPQNFAVVKKASAAGLEWVSFKTNDNARINQLAGRVSAMRSMPVDVVANSYQVSREEAMRLKESREEMTVLSPWSRSRFNVTEGSE >Manes.10G135300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:30286438:30289121:1 gene:Manes.10G135300.v8.1 transcript:Manes.10G135300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPPVRFSVKSPLILIKKTKIPILSLSPSSSSSSSSIKRNKDVSLTMQAISPSSPLKSLLLTRRPLARVTPKKLSVHCIYRSDPIHFPNGIGSSRADWQTSCAILASRVVSQEQPTDKSNGDYGIDGSADHVAAVNGHKTSVDLNLVPLNKGSSEDNNKAMKPLTITDLSPAPMHGSHLRVAYQGVPGAYSEAAAGKAYPNCESIPCDQFEVAFQVVELWVADRVVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKENITQVISHPQALAQCELSLSKLGLNAAREAVDDTAGAAQHIAANNLRDTAAIASARAAELYGLQILADGIQDDSSNVTRFVKDREEEEEGEEGDWKGEEEEEEEEGGENGYFSLFK >Manes.06G111700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24336022:24337749:-1 gene:Manes.06G111700.v8.1 transcript:Manes.06G111700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSYLQQIVRELHRGVAELNPFLVSLLVILFLLFWRKLTGGGKHKKLPPSPPKLPIIGNLHQLGKLPHRSLHTLSKKYGPLMLLQFGHNKNLVVSSAEAAREMMKVHDIVFSNRPRITAANIFLYGCADIAFSPYGEYWRQVRKLGVIELLSLRRVQSFQFVREEEVAILLDEIRGACAAGASVDLSEMLLAISNNIASRCVIGRRADDEKFGKGKFAELSKRIMEQFAAFISIGDMFPLLRWMDNLTGLIPQMKSSFREMDAFLDKVIEEHRIMESDDQPHSAEKDFAHILLSLQKSCRLDFQLTPDHLKAILMDMFVGGTDTTSTTMEWVMAELLKDPKLMRKAQEEVRRIVGKKLNVDVADVDQMNYLKCIIKEALRLHPPAPLLVPRETSAKVELGGYEIPPKTTVHVNVLAIQTDPRIWERAEEFLPERFENNPVDFKGQDFQFIPFGGGRRGCPGIAFGMASAEFVIANLLYWFDWKLPDSGAAPENLDMTEVFGITVHKKIPLRVQPTLYHP >Manes.04G028001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:3332630:3333650:-1 gene:Manes.04G028001.v8.1 transcript:Manes.04G028001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAASSRWSPTTEQLMILEELYKRGIIAPNASQIQTITAHLSLYGNIERKNVFYWFQNHKARDRQKFKRKLIKQLQLQLQQHLHPPPLPRTLSPFHPASVPQGGLEGTATQMMMNHPWKVEIPESIQMDGVGPLSACSSSIRSLETLELFPITATNHKEECNNNNNKICHQLISNDHFLSL >Manes.02G220810.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22152614:22153574:1 gene:Manes.02G220810.v8.1 transcript:Manes.02G220810.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVCCSLLFCICFVASRLLFCGGFTWFLAALYECMDFVVLILADLLGSPVVRPADIDTTALGAAYAAGLAVGIWKEEEIFASGEKAKTDTLEDLNKPAKIK >Manes.05G132350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:15023567:15024358:-1 gene:Manes.05G132350.v8.1 transcript:Manes.05G132350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHLFLLPHVHSFFILFFLLIFVPTSYCEPDEDFSECLAPFSCGNLSDELYYPFWSDSRSQICGLEGFKLQCQEGRYPIISINNEDYYVKWVDLLQRNMTIARVDLSEDVCPRDDIASTTMTGTPFSYVPQLENITLFYDCQNQITMVPVTYKITCGRNREQPNAFYTTEELLRVWNIKQLPLCLRVEVPVPRVDAEEVSGGLEALRTVLNQGFNVTYNSDSSCGTSFNTNFFRCICNEQPDLIGCPKGTSNILFVLLLAME >Manes.10G109900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:27045081:27046123:-1 gene:Manes.10G109900.v8.1 transcript:Manes.10G109900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAPIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >Manes.17G078700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27854414:27861871:1 gene:Manes.17G078700.v8.1 transcript:Manes.17G078700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPCKWSLNTTPSYSLYTVNKSPPSSFRLCSVKRRRVNILSLYDSSSSSSASSSSSSSSSSSNSDSELTKSINLRNSTSRKRVGFGNAANNEVAFVNSVSSKRNPNGSQKELRTFIFKALFGRKSSWRRIVFASTKVRSIILLNVISVVYASNIPVVKEVESIMDPATFTVVRFAVSAIPFIPFVLQARGNVKTRNAGIELGFWVSLGYLMQALGLMTSDAGRASFISMFTVIVVPLLDGMLGAVVPARTWFGALMSIIGVAMLESSGSPPRVGDLLNFLSAVFFGVHMLRTEHIARSTNKKDFVSLLGYEVCVVALCSTIWYFVGGCSDGIQACDPSSWTWTMVWHWMVTFPWIPALYTGVFSTGLCLWIEMAAMRDVSATETAIIYGLEPVWGAGFAWFLLGERWGAAGWIGAALVLVGSLTVQIFGSSSPGGSNEDEERNKKVDRLMVSNKQNGFSTSPVPISSSKDLINTLKK >Manes.05G004400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:360437:364664:-1 gene:Manes.05G004400.v8.1 transcript:Manes.05G004400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFVSSSASSPRFSSLGFSPNPNTTLDSQKSSLPVGSLHSRTLRKLSSRKNFQLSPAKAVYSGDLWAPGKSSRQGIWSIRDDLEIPLSPYFPAYANGQGQAQGPPPMVHERFQSVVSQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQSDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDYFMSAKEATDYGLIDGVITNPLKALQPLSAAPHQQ >Manes.05G004400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:360763:364660:-1 gene:Manes.05G004400.v8.1 transcript:Manes.05G004400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFVSSSASSPRFSSLGFSPNPNTTLDSQKSSLPVGSLHSRTLRKLSSRKNFQLSPAKAVYSGDLWAPGKSSRQGIWSIRDDLEIPLSPYFPAYANGQGQAQGPPPMVHERFQSVVSQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAARQGGKCSLEYSSCCLVHFISTLTNVCASNSMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQSDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDYFMSAKEATDYGLIDGVITNPLKALQPLSAAPHQQ >Manes.01G086200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28828870:28836554:1 gene:Manes.01G086200.v8.1 transcript:Manes.01G086200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNPFDLLGDDDNEDPTQLIAAAQLKVDKPKKPSGTAQPQAQAQPAKAAKLPTKPPPPAQAVREAKNEGGRGGGRGGGGRGYGRGRGGGSGFNRDSNNSDTTYGSNNGFSGGYRPSEEGDVSKPSEKRGYVGPRGGYRGGRRGGFSNGEAGEGERPRRSYERRSGTGRGNEIKRDGAGRGNWGTPTDEIAPETEEPVVENEKNIDTGKQPGEEDVVDANKDSPANEPEEKEPEDKEMTLEEYEKLREERRRALLSTKPEERKVDLDKEFGSMLQLSNKKGNDEIFIKLGSEKDKRKEADKEDKIKKSVSINEFLKPADGERYYTPGGRGRGRGRGSRGGYVGNTSDAAAPSIEDRGQFPTLGGK >Manes.14G106600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8970969:8971250:-1 gene:Manes.14G106600.v8.1 transcript:Manes.14G106600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYVACLVVVAVLLGEIVEVSQAATCNPLELSACASAITSSSPPSAICCSKLKKQRPCLCQYVKNPNLQKLVNSPNARKVATTCGSPFPNC >Manes.15G149450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12390831:12396664:1 gene:Manes.15G149450.v8.1 transcript:Manes.15G149450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIEKTSGTKAEIKAGDHDYSIDDLQYLHSLDHPGLVRVSSPLKGDNYLSWSRSMLIALRAKDKLGFIDGKCGNPESESKKLWKDGNSNGPLLFQIKRDISSLTQDNLRVSVYFTKLKKMWDELTCLRPFTSCTCGTCTCGATKAIVAIESEDRLIQFLIGLNESYEHIKSQILIMDPLPNRTLPNVTVESSEQTAVMFARAPGYRRENTRTRVLKSGLKKKVYGTGDDRLCTCCNVPGYTKEVCFKLHGYLGLRCLVLDELCILICRYQNFLIGRSIQFVFRNFSHHRNHISYGGGFNFSHKDNQPKTIATKETQNQKTVPGMNSTGVNSTDEHGNVNISVLAKEVMKLIKGKNVQVEPGSTHTAEFQDMQNDDVIAVGEAKAGLYYPNSTSQVYTTVCNNSVESSAMLWHSRFRHVSSSKLSHIDVLKVHSNNIPICSVCPISKQQRNSFLLAKFIPVMFLIFSI >Manes.14G167100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26831770:26833127:1 gene:Manes.14G167100.v8.1 transcript:Manes.14G167100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGALLNGLGSSFLSGGKRTQALLGLGGNKMGCGSKKFVVMAAAAAKPKKSWIPGVRGGGNFIDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVVGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANATGEQGYPGGKFFDPLGFAGTIKDGVYIPDVEKLERLKVAEIKHARLAMVAMLIFYFEAGQGKTPLGALGL >Manes.02G097800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:7621951:7622076:1 gene:Manes.02G097800.v8.1 transcript:Manes.02G097800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVLCEILLSGFMINSTLRRRTHLVQSFSVVFLYWFYVFS >Manes.01G166400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34999228:35002730:1 gene:Manes.01G166400.v8.1 transcript:Manes.01G166400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHTSHFNTDHHLSRCSSDLSFSGEFGAHRDSSASDCLSEVDLESGVLEGKLHLDGKTRRDCRICHLGLESNGPENGAAIDLGCSCKGDLGGAHKKCAETWFKIKGNMICEICGVTALNVTGEQANEAHRDTAPVSLAPTAPQILVETRTFWHSRRVMNFLLACMVFAFVISWLFHFKVLS >Manes.12G115200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31678548:31680246:1 gene:Manes.12G115200.v8.1 transcript:Manes.12G115200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFEALYGVLPPLHIPYMPKDSSVEAVDIYMRDKESAINLLKQHLTKAAGRMKQITDKRRSERVFEIGDMVYLKLKPFGPWDTRGAPSQEGDPYANVGDAGLSFDSEEIAKAFAERHGWEYVDSAGRWTMRGAIIMLSLC >Manes.17G021150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11520176:11526216:-1 gene:Manes.17G021150.v8.1 transcript:Manes.17G021150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPDSAKVKNLEDKSKSKKKKDEGSRKMEEEEIGCWAKFRLMGGCMPSRSKVDSSLSGITTQYVESKSTDEKSKDQPVVPVMSSTTSNGESASSTPKFSEELKLASHLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGNLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERSVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPESGKTHVSTRVMGTYGYAAPEYVMTGHLSSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHFGDRRRFYRLLDPRLEGHFSIKGAQKAIQLAAQCLSRDPKARPRMSEVVETLKPLPNLKDMASSSYYFQTMQADRNKSNMQNKNGTRTPAGFVTRNGQTLRSLSSLSDSRASPYNQPYQSPKPTVKKS >Manes.17G021150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:11520176:11526216:-1 gene:Manes.17G021150.v8.1 transcript:Manes.17G021150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPDSAKVKNLEDKSKSKKKKDEGSRKMEEEEIGCWAKFRLMGGCMPSRSKVDSSLSGITTQYVESKSTDEKSKDQPVVPVMSSTTSNGESASSTPKFSEELKLASHLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGNLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRKGSLPLPWSIRMKIALGAAKGLAFLHEEAERSVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPESGKTHVSTRVMGTYGYAAPEYVMTGHLSSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHFGDRRRFYRLLDPRLEGHFSIKGAQKAIQLAAQCLSRDPKARPRMSEVVETLKPLPNLKDMASSSYYFQTMQADRNKSNMQNKNGTRTPAGFVTRNGQTLRSLSSLSDSRASPYNQPYQSPKPTVKKS >Manes.17G043800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23763429:23767943:1 gene:Manes.17G043800.v8.1 transcript:Manes.17G043800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIASMRKSFKDSLKLLEADIQHANTLASDFPRDYDGACVQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKQQKAACMERFRRRDDEECRQHTDADIEREEECGICLEMNSKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVLTDRRDIVDMATVMRENHRRLFMYIDKLPLIVPDSLYNYDSHLR >Manes.17G023000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14424694:14429946:1 gene:Manes.17G023000.v8.1 transcript:Manes.17G023000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETSVANKKEKEFCELEKAKNPILDPYPNPKANTNSKGKKGRRRHLKKAAVVSPVQKLYDTCKEVFKDRRPGIVPHPDSIDKLRAVLDDIKPEDVGLSPEMPYFRSPAAGRTPAIRYLHLHECDKFSIGIFCFPPSGVIPLHNHPGMTVFSKLLFGTLHIKSYDWVVDGPCNVSAVANPSDVKQSEIQQPPVQLAKVKINSNFTAPCNPTILYPAEGGNMHRFTAVTACAVLDVLGPPYSDAEGRHCTYYYDFPFGNFSVDGVSVPEEERESYAWLQDKGKQPEEFAVVGELYGGPKIVEH >Manes.17G023000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14424694:14432431:1 gene:Manes.17G023000.v8.1 transcript:Manes.17G023000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETSVANKKEKEFCELEKAKNPILDPYPNPKANTNSKGKKGRRRHLKKAAVVSPVQKLYDTCKEVFKDRRPGIVPHPDSIDKLRAVLDDIKPEDVGLSPEMPYFRSPAAGRTPAIRYLHLHECDKFSIGIFCFPPSGVIPLHNHPGMTVFSKLLFGTLHIKSYDWVVDGPCNVSAVANPSDVKQSEIQQPPVQLAKVKINSNFTAPCNPTILYPAEGGNMHRFTAVTACAVLDVLGPPYSDAEGRHCTYYYDFPFGNFSVDGVSVPEEERESYAWLQDKGKQPEEFAVVGELYGGPKIVEH >Manes.17G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14424694:14429992:1 gene:Manes.17G023000.v8.1 transcript:Manes.17G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETSVANKKEKEFCELEKAKNPILDPYPNPKANTNSKGKKGRRRHLKKAAVVSPVQKLYDTCKEVFKDRRPGIVPHPDSIDKLRAVLDDIKPEDVGLSPEMPYFRSPAAGRTPAIRYLHLHECDKFSIGIFCFPPSGVIPLHNHPGMTVFSKLLFGTLHIKSYDWVVDGPCNVSAVANPSDVKQSEIQQPPVQLAKVKINSNFTAPCNPTILYPAEGGNMHRFTAVTACAVLDVLGPPYSDAEGRHCTYYYDFPFGNFSVDGVSVPEEERESYAWLQDKGKQPEEFAVVGELYGGPKIVEH >Manes.17G023000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:14424707:14429946:1 gene:Manes.17G023000.v8.1 transcript:Manes.17G023000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETSVANKKEKEFCELEKAKNPILDPYPNPKANTNSKGKKGRRRHLKKAAVVSPVQKLYDTCKEVFKDRRPGIVPHPDSIDKLRAVLDDIKPEDVGLSPEMPYFRSPAAGRTPAIRYLHLHECDKFSIGIFCFPPSGVIPLHNHPGMTVFSKLLFGTLHIKSYDWVVDGPCNVSAVANPSDVKQSEIQQPPVQLAKVKINSNFTAPCNPTILYPAEGGNMHRFTAVTACAVLDVLGPPYSDAEGRHCTYYYDFPFGNFSVDGVSVPEEERESYAWLQDKGKQPEEFAVVGELYGGTWCFFTLQLLYIKG >Manes.15G096400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7525176:7531711:1 gene:Manes.15G096400.v8.1 transcript:Manes.15G096400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVNQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSNNFLVNLLGKWKESEYNGQSVPVGGLAYYITAPSSMCTLLKNMD >Manes.15G096400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7525176:7531711:1 gene:Manes.15G096400.v8.1 transcript:Manes.15G096400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVNQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSNNFLVNLLGKWKESEYNGQSVPVGGLAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLHKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >Manes.05G028500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2440790:2442593:-1 gene:Manes.05G028500.v8.1 transcript:Manes.05G028500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASAIPTVSSPVTTTAPPSSTYLTNLGLGYSIAIALGFLVLLSTVLLASYICCRASRNRSHNTNDNDNNRNDNPNSLSDGIILPRIIFVAEDDDDLEHDQESAGIGLDQAVINSYPKFQFTKDGSLDGNCTTCPICLCEYKDLEMLRMMPDCRHYFHLLCIDAWLKLNGSCPVCRNSPLPTPLSTPLSEVVPLSQYAADRRRRR >Manes.01G134500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32759884:32764636:1 gene:Manes.01G134500.v8.1 transcript:Manes.01G134500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKLQRASAMAALVLFFVLAMTVNFTSAERLLKEQKQQVLVEKKTSKPGFLVKMSNFLWQSDKTSYEPVWPEMEFGWKIVVGSIVGFFGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLDLPLIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFIGTSTKALFKGIDTWKKETIMKKEAARQLESESKPSDGEGQDYKPLPSSPAAVPHEDEVPLLQNICWKELALLVYVWVGFLVVQIVKSYVKTCSITYWVLNALQVPIAASVTLFEAMGLRKGTRVIASKGKEVTNWRFLQILLYCSCGIVAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYFLNRFPIPYASYFVLVATIAALAGQHVVRKIVALLGRASIIIFILALTIFVSAISLGGVGIADMVEKLENQEYMGFQNLCYQ >Manes.01G134500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32761095:32764750:1 gene:Manes.01G134500.v8.1 transcript:Manes.01G134500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKLQRASAMAALVLFFVLAMTVNFTSAERLLKEQKQQVLVEKKTSKPGFLVKMSNFLWQSDKTSYEPVWPEMEFGWKIVVGSIVGFFGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNLRLRHPTLDLPLIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFIGTSTKALFKGIDTWKKETIMKKEAARQLESESKPSDGEGQDYKPLPSSPAAVPHEDEVPLLQNICWKELALLVYVWVGFLVVQIVKSYVKTCSITYWVLNALQVPIAASVTLFEAMGLRKGTRVIASKGKEVTNWRFLQILLYCSCGIVAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMVFSSSMSVVQYYFLNRFPIPYASYFVLVATIAALAGQHVVRKIVALLGRASIIIFILALTIFVSAISLGGVGIADMVEKLENQEYMGFQNLCYQ >Manes.02G166000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13029595:13031974:-1 gene:Manes.02G166000.v8.1 transcript:Manes.02G166000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLVLPPGFRFHPTDEELVMHYLCRKCASQSIAVPIIAEIDLYKYDPWDLPGMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGQPKPLGIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSSRLDDWVLCRIYNKKGTIDKHGQPINRKLSSPEIIEDKKPEIMAPPPAPSSATGTVNDYAYFDTSDSVPKLHTDSSCSDHVVSPEFTCEVQSEPKWKEWGNVNALDFSYNYTDATMENPFASQFQGNNQMSPLQDMFMYLQKPF >Manes.02G166000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13029595:13031974:-1 gene:Manes.02G166000.v8.1 transcript:Manes.02G166000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGQPKPLGIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSSRLDDWVLCRIYNKKGTIDKHGQPINRKLSSPEIIEDKKPEIMAPPPAPSSATGTVNDYAYFDTSDSVPKLHTDSSCSDHVVSPEFTCEVQSEPKWKEWGNVNALDFSYNYTDATMENPFASQFQGNNQMSPLQDMFMYLQKPF >Manes.01G131701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32466891:32469388:1 gene:Manes.01G131701.v8.1 transcript:Manes.01G131701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYINGDIWDFERDIVVPGDRPVILGLDGGATSTICVCMPFLPFSSPLPDPLPVLSRAVTGCSNRNSVGEIAARETLEHVIADALSKSGSSRSAVQAVCLAVSGVNHPTDEQRILNWLRDIFPSHVKLYVQNDAVAALATGKWNNGKASWLCFDCWYRDYCLWIHRIWWRSSGCRCRTHLR >Manes.06G054150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:17889182:17890804:1 gene:Manes.06G054150.v8.1 transcript:Manes.06G054150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQEARLAAIEASLAELREMIGQLTLQQGIPQPAAAAHPHVAANPVVINPMPANPQQNYQEGYRIKVDLQNFSGSLDVESVLDWLAEVERFFEIMNVEEERKVPIVAYKGLNHEIRCMMGVAAIFTLADAIEMAKRAEERVDWQPRPQQYNRNFNYRNSGSTGTQQYRGNYSGQPSKVVNSSNPPNTMEERRDSKGKAVTITTDKGGRTNPYQKPTGDICYRCRQSGHRSNNCPERKGVNIDRQQVNIVEQVAETDEEENDDDGSIAGSEDGEVTYVMKKILCSTKQEDETQRRKIFQVKCRVGEAICRLIIDSCSCENLIAKQLVEKLQLPTQPHPSPYKVGWIKEGPTIEVNRICSVPISIGKSYTEPVNCDVVDMDCCGILLGCLWQFDVDALHKGKENSYMFT >Manes.10G037500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3676867:3684213:1 gene:Manes.10G037500.v8.1 transcript:Manes.10G037500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGIFLLQFSWPPFVMGSSILAVLLFIKHLGKSRKQFGFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPRFSIPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELLGLGLANIFGSFFSAYPATGSFSRSAVSNESGAKTGLSGIITGIIMGCALLFLTPLFEYIPLCSLAAIVISAVMGLVDYEEAFFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGASIAFVISESANPHTAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDRLREYELGVDKSTRHGPEVERIYFVILEMSPVTYIDSSAVQALKDLHQEYKSRDIQIAISNPNREVLLTLTKAGLVQLIGKEWYFVRMHDAVQVCLQHVRSINQETKHPDPLPVDEPDIFRRLLNQIEEDLSVAKLESGDITDSQLEPLLSRSQKS >Manes.10G037500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3673166:3684213:1 gene:Manes.10G037500.v8.1 transcript:Manes.10G037500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTDARTVKIIPLHHPSGTSSSSVSCSRPSALFSRWLTKMKRMSLAQCIETFLPCFRWIRTYKWREHFQVDLMAGSTIGIMLVPQAMSYAKLAGLQPIYGLYSGFVPVFVYAIFGSSRQLATGPVALVSLLVSNVLGGIVDSSDELYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTSSAIVIALSQAKHFLGYDVVRSSKIVPLAKSIISGVDKFSWPPFVMGSSILAVLLFIKHLGKSRKQFGFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPRFSIPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELLGLGLANIFGSFFSAYPATGSFSRSAVSNESGAKTGLSGIITGIIMGCALLFLTPLFEYIPLCSLAAIVISAVMGLVDYEEAFFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGASIAFVISESANPHTAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDRLREYELGVDKSTRHGPEVERIYFVILEMSPVTYIDSSAVQALKDLHQEYKSRDIQIAISNPNREVLLTLTKAGLVQLIGKEWYFVRMHDAVQVCLQHVRSINQETKHPDPLPVDEPDIFRRLLNQIEEDLSVAKLESGDITDSQLEPLLSRSQKS >Manes.10G037500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3677598:3684213:1 gene:Manes.10G037500.v8.1 transcript:Manes.10G037500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFHVKGKSRKQFGFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPRFSIPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELLGLGLANIFGSFFSAYPATGSFSRSAVSNESGAKTGLSGIITGIIMGCALLFLTPLFEYIPLCSLAAIVISAVMGLVDYEEAFFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGASIAFVISESANPHTAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDRLREYELGVDKSTRHGPEVERIYFVILEMSPVTYIDSSAVQALKDLHQEYKSRDIQIAISNPNREVLLTLTKAGLVQLIGKEWYFVRMHDAVQVCLQHVRSINQETKHPDPLPVDEPDIFRRLLNQIEEDLSVAKLESGDITDSQLEPLLSRSQKS >Manes.10G037500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3673166:3684213:1 gene:Manes.10G037500.v8.1 transcript:Manes.10G037500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWLGFNQFMDYADSGFVPVFVYAIFGSSRQLATGPVALVSLLVSNVLGGIVDSSDELYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTSSAIVIALSQAKHFLGYDVVRSSKIVPLAKSIISGVDKFSWPPFVMGSSILAVLLFIKHLGKSRKQFGFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPRFSIPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELLGLGLANIFGSFFSAYPATGSFSRSAVSNESGAKTGLSGIITGIIMGCALLFLTPLFEYIPLCSLAAIVISAVMGLVDYEEAFFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGASIAFVISESANPHTAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDRLREYELGVDKSTRHGPEVERIYFVILEMSPVTYIDSSAVQALKDLHQEYKSRDIQIAISNPNREVLLTLTKAGLVQLIGKEWYFVRMHDAVQVCLQHVRSINQETKHPDPLPVDEPDIFRRLLNQIEEDLSVAKLESGDITDSQLEPLLSRSQKS >Manes.10G037500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3673166:3684213:1 gene:Manes.10G037500.v8.1 transcript:Manes.10G037500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTIGIMLVPQAMSYAKLAGLQPIYGLYSGFVPVFVYAIFGSSRQLATGPVALVSLLVSNVLGGIVDSSDELYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTSSAIVIALSQAKHFLGYDVVRSSKIVPLAKSIISGVDKFSWPPFVMGSSILAVLLFIKHLGKSRKQFGFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPRFSIPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELLGLGLANIFGSFFSAYPATGSFSRSAVSNESGAKTGLSGIITGIIMGCALLFLTPLFEYIPLCSLAAIVISAVMGLVDYEEAFFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGASIAFVISESANPHTAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDRLREYELGVDKSTRHGPEVERIYFVILEMSPVTYIDSSAVQALKDLHQEYKSRDIQIAISNPNREVLLTLTKAGLVQLIGKEWYFVRMHDAVQVCLQHVRSINQETKHPDPLPVDEPDIFRRLLNQIEEDLSVAKLESGDITDSQLEPLLSRSQKS >Manes.10G037500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3673166:3684213:1 gene:Manes.10G037500.v8.1 transcript:Manes.10G037500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAFCSFLSVANQDETLISWLVAPSVLCSCRRQCLMPSWLGFNQFMDYADSGFVPVFVYAIFGSSRQLATGPVALVSLLVSNVLGGIVDSSDELYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTSSAIVIALSQAKHFLGYDVVRSSKIVPLAKSIISGVDKFSWPPFVMGSSILAVLLFIKHLGKSRKQFGFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPRFSIPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELLGLGLANIFGSFFSAYPATGSFSRSAVSNESGAKTGLSGIITGIIMGCALLFLTPLFEYIPLCSLAAIVISAVMGLVDYEEAFFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGASIAFVISESANPHTAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDRLREYELGVDKSTRHGPEVERIYFVILEMSPVTYIDSSAVQALKDLHQEYKSRDIQIAISNPNREVLLTLTKAGLVQLIGKEWYFVRMHDAVQVCLQHVRSINQETKHPDPLPVDEPDIFRRLLNQIEEDLSVAKLESGDITDSQLEPLLSRSQKS >Manes.10G037500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3675219:3684213:1 gene:Manes.10G037500.v8.1 transcript:Manes.10G037500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAKLAGLQPIYGLYSGFVPVFVYAIFGSSRQLATGPVALVSLLVSNVLGGIVDSSDELYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTSSAIVIALSQAKHFLGYDVVRSSKIVPLAKSIISGVDKFSWPPFVMGSSILAVLLFIKHLGKSRKQFGFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPRFSIPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELLGLGLANIFGSFFSAYPATGSFSRSAVSNESGAKTGLSGIITGIIMGCALLFLTPLFEYIPLCSLAAIVISAVMGLVDYEEAFFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGASIAFVISESANPHTAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDRLREYELGVDKSTRHGPEVERIYFVILEMSPVTYIDSSAVQALKDLHQEYKSRDIQIAISNPNREVLLTLTKAGLVQLIGKEWYFVRMHDAVQVCLQHVRSINQETKHPDPLPVDEPDIFRRLLNQIEEDLSVAKLESGDITDSQLEPLLSRSQKS >Manes.10G037500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3673166:3684213:1 gene:Manes.10G037500.v8.1 transcript:Manes.10G037500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTDARTVKIIPLHHPSGTSSSSVSCSRPSALFSRWLTKMKRMSLAQCIETFLPCFRWIRTYKWREHFQVDLMAGSTIGIMLVPQAMSYAKLAGLQPIYGLYSGFVPVFVYAIFGSSRQLATGPVALVSLLVSNVLGGIVDSSDELYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTSSAIVIALSQAKHFLGYDVVRSSKIVPLAKSIISGVDKFSWPPFVMGSSILAVLLFIKHLGKSRKQFGFLRAAGPLTAVILGTTFVKIFHPSSISLVGEIPQGLPRFSIPKGFGYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELLGLGLANIFGSFFSAYPATGSFSRSAVSNESGAKTGLSGIITGIIMGCALLFLTPLFEYIPLCSLAAIVISAVMGLVDYEEAFFLWRVDKKDFLLWTITSATTLFLGIEIGVLVGVGASIAFVISESANPHTAVLGRLPGTTVYRNIQQYPEAYTYNGIVMVRIDAPIYFANISYIKDSCYLHRLQCCSSVERLASGV >Manes.17G064200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26434423:26440902:1 gene:Manes.17G064200.v8.1 transcript:Manes.17G064200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEETATTSQSGGATESKGEDQKVPLYKLFSFADKIDVVLMIVGTVAATANGLSQPLMALIFGQLINSFGSADQSSVVHHISKISLRMVFLAIGSGVASLLQVSSWMVTGERQSARIRSLYLKTILRQDIAFFDTETTTGEVIGRMSGDTVLIQDAMGEKVGKFIQLASTFLGGFVIAFARGWLLALVLLSCIPLLVLVGGFMAILMSKMSSRGQIAYAKAGNVVEQTIGAIRTVASFTGEKHAIKNYNEKIEIAYKATVQQAVASGLGIGTMLLVIFCTYALAVWYGSKLIMSKNYNGGQVITVIMSIMTGGMSLGQTSPCLNAFAAGQAAAYKMFETINRVPTIDSYDTSGTVLENINGEIELRDVYFRYPARPDIQIFNGFSLHIPSGKTAALVGHSGSGKSTVISLVERFYDPDSGEVLIDGVDLKRLRLSWIREQIGLVSQEPILFATSIKENIAYGKENATDQEIKTAIELANASKFINQMPEGLKTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQNALDNVMTNRTTVVVAHRLTTVRNADIIAVVHLGKLVEKGTHEELIQNQEGAYSQLVHLQEGAKESEHSQQVDAEASEIEKSMSRSGSQKMRRSLSRSLSRASSGSRHSFTINTLGLGLLTDVNIHETEEQEENIAEEKQQQTIQVPMKRLAYLNKPELPILLVGTIAAAVHGTIFPVFGIVLSTAIKVFYEPPVKLKRDSKFWAVIYICIGFVALISLSVQNYFFGIAGAKLIQRIRSMTFERVVHQEISWFDDPANSSGAVGARLSTDASTVRSIVGDALALVVQNVTTILAALIIAFTANWILALIILAISPLLLIQGFIQAKFMKGFSADANLMYEEASQVANDAVGSIRTVASFCAEKKVMELYQKKCDGPVKKGVRLGLVSGAGFGFSFFILYCTNAFCFYIGSILVQNGKATFVEVFKVFFSLTIAAVGVSQSSAMSPDSSKAKDSAASIFSIIDRKSKIDSSSDAGTTLAHIRGDIELEHISFKYPLRPHVEIFRDLSLSIPSGKTVALVGESGSGKSTVIGLLERFYDPDSGRVFIDNVEIKQFKLSWLRQQMGLVGQEPILFNETIRANIAYGKQGDTTEEEIIAATKAANAHNFISSLPQGYETSVGERGTQLSGGQKQRIAIARAIVKNPKILLLDEATSALDAESERVVQDALDKVMVSRTTVVVAHRLTTIKGADIIAVVKNGVIAEKGKHEALMHIANGAYASLVALHMSST >Manes.02G148600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:11419154:11420344:-1 gene:Manes.02G148600.v8.1 transcript:Manes.02G148600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMHRKLFPALSAINQTGDCPDFCDPACPYNCYPYADYYYLSPPPPPPPPPSLLPAEHRLSPYVIVTVSLLASFFLVVSYYVIIAKSCPSWCCSRNNRQPRDEADDADEEFLDENQVDHPIWFITTAGLQQSIINSITVCRYKRGEGLIEGTECSVCLSEFQQDETLRLLPKCNHAFHISCIDTWLRSHTNCPLCRAHIVNDPVNTSLVSANQNPGTQNAVIRTQMDNSEVDGESGNPQDLERNEQRENRAGAEEGGEIVLVGNERIMKDDANSTETDDIEKINESGDDFEVAKSEIQPIRRSVSLDSLKAATMYLNLTNTSAVELEGSLINQKENSEQPNPSIVSKQDAFKQLRSSCITQHLHKSPVSMKRSFSCGGRFFSSRQNRNLNSILPL >Manes.04G070133.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26902434:26904359:1 gene:Manes.04G070133.v8.1 transcript:Manes.04G070133.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTVGWLHLLISSIDCHLVSMRSLNFAAFFFPHSECRRVKQKLERDGKVLCYLFLMLLLQIGFEGLRNMYIWWMLLFTGFVVIEKLLLCSFGLEQFFLWVLNSSLEASSVSSGLMGQTLSLLSTDDLIGRLHLAKKQLIEVGRLRSFALCNEAVEVCCSLTLLLREVWSSRKKARKRKELVCLTVIFSLVQVTHSNHG >Manes.02G127300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9645176:9649325:1 gene:Manes.02G127300.v8.1 transcript:Manes.02G127300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRYFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDCEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPATA >Manes.02G127300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9645176:9649325:1 gene:Manes.02G127300.v8.1 transcript:Manes.02G127300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRYFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDCEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPATA >Manes.02G208680.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24077641:24086748:1 gene:Manes.02G208680.v8.1 transcript:Manes.02G208680.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFGSMDPWALHVKNSTHSPFCVRNSSMIPEKSNSWSFGKVGVFEIRVLGRKLSSSYSSSCYGYHSLVIRAMAKKNNNGNGDRSNPEGDGSKGGSSSDGKRSNDNAFQKSHRINWDWREFRAKMVIQEQAEIAETDAGGQGGATHDSKPLGLKWAHPISAPETGCVLVATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGVVINRPLNKKIKHMKPTNNELATTFADCSLHFGGPLEASMFLLKTGEKAKLPSFEEVIPGLCFGARNSLDEAAALVKKGVLKPQDFRFFVGYAGWQLDQLREEIESDYWYVASCSSNLICEASSDSSSENLWEEILQLMGGHYSELSRKPKQDM >Manes.10G073100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13606389:13610482:1 gene:Manes.10G073100.v8.1 transcript:Manes.10G073100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVRQMSLIVGTLGVLSFIFGVIAENKKPIAGTPITGKGVVVCKYPSDPSVALGYLSFAFLMASTVAGFLSLFYPYKGKSVPQSALFRSTSFLVFVNIALFSAGLAAALLLWPTITEQLHLSRNVHHNLETDCPTAKTGLLGGGAFVSLDSALFWLVSLMLVDNAREDYFDEVEKDSKGEHGEILTDDYGAPAYLKGTA >Manes.10G073100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13606389:13609676:1 gene:Manes.10G073100.v8.1 transcript:Manes.10G073100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVRQMSLIVGTLGVLSFIFGVIAENKKPIAGTPITGKGVVVCKYPSDPSVALGYLSFAFLMASTVAGFLSLFYPYKGKSVPQSALFRSTSFLVFVNIALFSAGLAAALLLWPTITEQLHLSRNVHHNLETDCPTAKTGLLGGGAFVSLDSALFWLVSLMLVDNAREDYFDEVEKDSKGEHGEILTDDYGAPAYLKGTA >Manes.10G073100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13606349:13609688:1 gene:Manes.10G073100.v8.1 transcript:Manes.10G073100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVRQMSLIVGTLGVLSFIFGVIAENKKPIAGTPITGKGVVVCKYPSDPSVALGYLSFAFLMASTVAGFLSLFYPYKGKSVPQSALFRSTSFLVFVNIALFSAGLAAALLLWPTITEQLHLSRNVHHNLETDCPTAKTGLLGGGAFVSLDSALFWLVSLMLVDNAREDYFDEVEKDSKGEHGEILTDDYGAPAYLKGTA >Manes.10G073100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:13606361:13610502:1 gene:Manes.10G073100.v8.1 transcript:Manes.10G073100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVRQMSLIVGTLGVLSFIFGVIAENKKPIAGTPITGKGVVVCKYPSDPSVALGYLSFAFLMASTVAGFLSLFYPYKGKSVPQSALFRSTSFLVFVNIALFSAGLAAALLLWPTITEQLHLSRNVHHNLETDCPTAKTGLLGGGAFVSLDSALFWLVSLMLVDNAREDYFDEVEKDSKGEHGEILTDDYGAPAYLKGTA >Manes.18G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12370174:12376551:1 gene:Manes.18G118600.v8.1 transcript:Manes.18G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKNLTSLPEESQEGENHEQGEGKSTQTPSVSAFASVPRRPPTTESTLQKYSPLDWREFFDREEDVSIPNSNDVFHVYMAGNEGPVVFCLHGGGYSGLSFALSASKIKEKVRVVAMDLRGHGKTSTENDLDLSIETMCNDVLAVLKEMYGDSPPAIVLVGHSMGGSVAVHVAAKKALPSLAGLVVVDVVEGTAMASLVHMQKILSNRMKHFSSIEKAVEWSVKGGSLRNIDSACISVPTTLKYDESKKCYVYRARLEETEQYWRGWYEGLSEKFLSSPVPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFAALVVNFISRNRIGPHGVEIPGLRRPSQPQR >Manes.02G149600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11478665:11483896:-1 gene:Manes.02G149600.v8.1 transcript:Manes.02G149600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASTETPSLSEQYLLKKKDENSDVGSKPLEAKEEVKPETAISEEKAEEAPIAASEEKVEDTTAAVAEESTEINPAAENNSDDASAVETESSETVEQQNSDEQEAADEAPQIKLETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGEGAPECDKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >Manes.02G149600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11478665:11483896:-1 gene:Manes.02G149600.v8.1 transcript:Manes.02G149600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASTETPSLSEQYLLKKKDENSDVGSKPLEAKEEVKPETAISEEKAEEAPIAASEEKVEDTTAAVAEESTEINPAAENNSDDASAVETESSETVEQQNSDEQEAADEAPQIKLETAPADFRFPTTNQTRHCFTRYIEYHRCIAAKGEGAPECDKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >Manes.07G076000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23884657:23886916:-1 gene:Manes.07G076000.v8.1 transcript:Manes.07G076000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENAEVGRRRRLPTWMVGATASATAAVDDQDRKKTTTGDPEEGNPNLPRNSIPPRTRANTSKRRKAKVATFRNREEVPTHDDDDDDAGLTVEDLVTIAQEYVKVNQYSESKRPLNQKCEPQGQHATITSSSNDLEGPFLAPFNEQQLPSSETTASCSSSMNLTSEQSLIKVSHTGDPAQDMLDLFLGPLLKKPPLEDEKRSGLFSKDTDLVFELRKRSQNDVGEEIVPVTKKKSSLKDKVSMLLD >Manes.07G076000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:23884657:23886916:-1 gene:Manes.07G076000.v8.1 transcript:Manes.07G076000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENAEVGRRRRLPTWMVGATASATAAVDDQDRKKTTTGDPEEGNPNLPRNSIPPRTRANTSKRRKAKVATFRNREEVPTHDDDDDDAGLTVEDLVTIAQEYVKVNQYSESKRPLNQKCEPQGQHATITSSSNDLEGPFLAPFNEQQLPSSETTASCSSSMNLTSEQSLIKVSHTGDPAQDMLDLFLGPLLKKPPLEDEKRSGLFSKDTDLVFELRKRSQNDVGEEIVPVTKKKSSLKDKVLP >Manes.15G065300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4995616:4997841:1 gene:Manes.15G065300.v8.1 transcript:Manes.15G065300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLITTLIFVVIGIIASFCTRICCNRGPSTNLLHLTLVITATVCCWMMWAIVYIAQMKPLIVPILSEGE >Manes.02G193700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15637528:15637770:1 gene:Manes.02G193700.v8.1 transcript:Manes.02G193700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEEVAGGRRRAPKGHFAVYVGSEMKRFEVPISYLKNPKFQQLLHNAADEYGYTYQNGIILPCDESIFNELISFLRNH >Manes.11G040632.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4407927:4409440:1 gene:Manes.11G040632.v8.1 transcript:Manes.11G040632.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYVKLDVYFFGVILLEIITGKLPVSDISSYDSFNLIEWAVPAIQNDWPKGNYKFVDEKLGSNFDEDEMDRMIECALACVERYPQNRPEMSKVVEVLAGNIPRKNLKN >Manes.11G040632.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4408007:4409440:1 gene:Manes.11G040632.v8.1 transcript:Manes.11G040632.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYVKLDVYFFGVILLEIITGKLPVSDISSYDSFNLIEWAVPAIQNDWPKGNYKFVDEKLGSNFDEDEMDRMIECALACVERYPQNRPEMSKVVEVLAGNIPRKNLKN >Manes.01G207200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37937635:37941595:1 gene:Manes.01G207200.v8.1 transcript:Manes.01G207200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFCCNDLLRFASVNFDHLTETFNMSFYMTYLARWPDYFHVAEGPGNKIMGYIMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLMNLLEDISDQIDKAYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSIIPLKRPVTPDELEYD >Manes.01G081900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28467833:28470956:-1 gene:Manes.01G081900.v8.1 transcript:Manes.01G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRVSSSIPQVLGFASRSFKSLNLTGVPLDSSSSPCLTHGIHLFQCPDSVGIVAKLSEGIASRGGNILGADVFVPENKNVFYSRSEFVFDRVRWPRAQMDEDFLRLAKMFHAKTSIVRVPDVDPKFKIAILVSKMEHCLTDLLHRWQDGRFPIEITCVISNHERGPNTHVIRFLDRNCIPYHYLHTTKENKREKEILDLVKDTDFLVLARYMQVLSGDFLRGYGKDIINIHHGLLPSFKGGRPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNLQSFVQKSENLEKQCLAKAIKSYCELRVLRFEGNKTLVF >Manes.04G005400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:753341:756512:1 gene:Manes.04G005400.v8.1 transcript:Manes.04G005400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKMEFGSVLQFLENKNILVTGATGFLAKIFVEKILREQPNVKKLYLLLRAADAKSASQRLHNEVIGKDLFRLVKEKWGANMNSFISEKIVLVPGDISYGDELGVKDSHLKEEMWRELDVIVNLAATTNFDERYDLALGVNTLGAKHVLCFAKKCIKLKVLVHVSTAYVSGEKAGLILETPYKMGKTLNGVSGLDIDEEKKLIDEKLNELQAQGATDEAIKEAMKDMGIQRAKVYGWPNTYVFTKAMGEMVVGHLKGNLSVVILRPTIVTSTYKEPFPGWVEGVRTIDGLAVGYGKGRLTCFLGDINGIVDVIPADMVVNAIIVSMVAHVNELSDESIIYQVGSSVRHPVRYTNLQDYGLTYFTNKPWIGKDGKPVKVGRVKVLDSMNSFRRYMALRYLLLLKGLELANVAFCHYFDGMYSDLNRKIKFVMRLVELYKPYLFFQGVFDDMNTEKLRMAARENNIETDMFYFDPKSIEWDDYFINIHIPGVVKYVFK >Manes.04G005400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:753341:756512:1 gene:Manes.04G005400.v8.1 transcript:Manes.04G005400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKMEFGSVLQFLENKNILVTGATGFLAKIFVEKILREQPNVKKLYLLLRAADAKSASQRLHNEVIGKDLFRLVKEKWGANMNSFISEKIVLVPGDISYGDELGVKDSHLKEEMWRELDVIVNLAATTNFDERYDLALGVNTLGAKHVLCFAKKCIKLKVLVHVSTAYVSGEKAGLILETPYKMGKTLNGVSGLDIDEEKKLIDEKLNELQAQGATDEAIKEAMKDMGIQRAKVYGWPNTYVFTKAMGEMVVGHLKGNLSVVILRPTIVTSTYKEPFPGWVEGVRTIDGLAVGYGKGRLTCFLGDINGIVDVIPADMVVNAIIVSMVAHVNELSDESIIYQDGKPVKVGRVKVLDSMNSFRRYMALRYLLLLKGLELANVAFCHYFDGMYSDLNRKIKFVMRLVELYKPYLFFQGVFDDMNTEKLRMAARENNIETDMFYFDPKSIEWDDYFINIHIPGVVKYVFK >Manes.01G168900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35158461:35160979:-1 gene:Manes.01G168900.v8.1 transcript:Manes.01G168900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSISFVSPKRPHSSPSSSSKLYTISHLTFFFKPHTSRRLCINLSNTSLRKSTMTSLVTVNASRSSATTTATDNRWNLHSKTALVTGGTRGIGRAIVEELVGLGARVHTCCRNEIELNKCLEEWDGMGFGITGSLCDVSVAAQREELMETVSSVFDGKLNILVNNVGTNIRKPMLEFTPTEFSTLMATNFESAFHLSQLAHPLLKSSGEGSVVFTSSVSGLVSLKSMSVHGATKGAINQLTKNLACEWAKDNIRSNAVAPWYIKTSMVEQILSNEVYLEEVYSRTPLRRLGDATEVSSVVAFLCLPASSYITGQTICVDGGMSVNGFFPSNG >Manes.09G047400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8267330:8272281:1 gene:Manes.09G047400.v8.1 transcript:Manes.09G047400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKSRFQRICVFCGSSSGKKASYQEAAVELGKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRTLMPREIIGQTVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGFYNSLLSFIDKAVDEGFISTTARRIIVSAPTAKQLVRQLEEYEPEYDEITSKLVWEEVDRLNYVPGSGVATS >Manes.18G054150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4588739:4590092:-1 gene:Manes.18G054150.v8.1 transcript:Manes.18G054150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSGNFETFFNTWMNHQESLFQQLLVAIAPENAQNTELHKSLIAQIQSHYKLYYMEKMNATRDDAFVFLNPPWFSSFERTLLWLGEFKPSMIFKLIDRYLVDLTPDQRQRIEQVKYEARRQERALTETMAKIQESLASPPILNLARRHGRLIDGEVSEMEAAVEMLKMDMIAVMESADALRGWTVANVVEVLSPIEAVRFFAAAAELQLRMRRLGQRMEAERN >Manes.04G060201.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:15090665:15091033:-1 gene:Manes.04G060201.v8.1 transcript:Manes.04G060201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLMEFEGHKFFWSIVYGCNIGQERRRLWEAILQIVEGMENPWIVQGDFNAILSNQERSGDAEVDSSSEDFKECIMSAALSEMRTRGCYFTWTNNQLGPARIWQKLDRCLVNMAWLDIYSS >Manes.04G055144.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:15846829:15847260:-1 gene:Manes.04G055144.v8.1 transcript:Manes.04G055144.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRNTIPAAMDSPSTLKRRVLHACQPALHGRWVARPSGLCIFLVTVDGWHCRDKQACRRREKGVTNRWIAIPFTNPVGNRVFDCRVSLVGNTLSPCDGQRRRLLESWQRWLIFRRAAGWRLGVPPEEEDALITILPLELKLK >Manes.04G128900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33176392:33183367:-1 gene:Manes.04G128900.v8.1 transcript:Manes.04G128900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRKPISRFVLFTFTLLLLLTSKISVTAAEDSEIDTENDEELEELIAIDEQGEDEDHRQQGDQQKEAEVLSKAQRIVLELNSDNAKRVINENEFVMILGYAPWCPRSAELMPQFAEAANRLKEFGSPLLMSKLDAERYPKAASTLDIKGFPTLLLFVNGSSQVYTGGFSAEDILIWARKKTGAPVIRIRSVIEAEEFLKNYYMFIFGFFQKFEGHDYEEFVKAATSDNEIQFVEVSDPGVAKVLYPDRKSTNFIGIVKSEPEKYTAYEGTFEMDKILQFLDHNKFPLVTKLTELNSGRVYSSPIKLQVMVFAEADDFKNLIQPLQEVARKFKTQIMFIYIDIADENLAKPFLTLYGLEDSENIAVTAFDNKIHSKYLLEADPTPSNIEEFCSAFLRGSLSPYFKSQPIPDNKEADVQVIVGKTFDGLVLNSPKNVLLEVYTPWCINCETTSKKIEKLAKHFKGLENLVFGKIDASANEHAKLQVDDYPTLLFYPVGDKANPIMLSSKSSSKELAAVINKYVRAKEQSTKDEL >Manes.01G057601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24455236:24456260:-1 gene:Manes.01G057601.v8.1 transcript:Manes.01G057601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPVVVNPMPANPQQNYQEGYRIMVDLQNFFGSLDIESVLDWLAEVERFFEIMNVKEERKVPIVAYKGLNHEIRCMMGVAAIFTLADAIEMAKRAEERVDWQPRQQQYNRNFNYRNSGSTGTQQYRGNYSGQPSKVVNSGNPPNTMEERRDSKGKAVTTTTDKGGRTNPYQKPTGDICYRCRQSGHRSNNCPECRGVNTDRWQVNIVEQVAETDEEEDDDDGSIAGSKDGEVTYVVKKILCSTK >Manes.13G011000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1461643:1468884:1 gene:Manes.13G011000.v8.1 transcript:Manes.13G011000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLMELNTKTPIQWDWENLIMFNTTEHPKKLRWTEWEIDGDRGIDSGSLYSSGSGSGCGGSGSDLGLASLSKSSKSASINSSSVGEVKTSKFTLEVSEAIPEDSNNKKALTKAKPTGTFTLEASVGSGELLGLKLGKRTYFEDVCAGNNAKTSASSVIPGSSLSPAKRSKSNGQASPAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKAPKVIVAGLERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQPEPIQLNPARLSPSLYDERQQMSFVWNSVPLVHSRPNANLTWEGTSSSKFTITKEYVMKPPKVGCIDRQLHLPGNKLTSSIPMHSHDSKCLLPSRSKVTTAEVISQGLEESIISPNVDATQDLHRALSLLSTNSWGSCEQKSVSHEQPAHASHTGMPQFMPHVMPQGMPLASSDYWRTEQTTDSRVHNLATNNDNSSYFQEFQMLRAPYDSEFYSSQLN >Manes.13G011000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1461643:1467650:1 gene:Manes.13G011000.v8.1 transcript:Manes.13G011000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLMELNTKTPIQWDWENLIMFNTTEHPKKLRWTEWEIDGDRGIDSGSLYSSGSGSGCGGSGSDLGLASLSKSSKSASINSSSVGEVKTSKFTLEVSEAIPEDSNNKKALTKAKPTGTFTLEASVGSGELLGLKLGKRTYFEDVCAGNNAKTSASSVIPGSSLSPAKRSKSNGQASPAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKAPKVIVAGLERRFCQQCSRFHGLSEFDEKKRSCRRRLSDHNARRRKPQPEPIQLNPARLSPSLYDERQQMSFVWNSVPLVHSRPNANLTWEGTSSSKFTITKEYVMKPPKVGCIDRQLHLPGNKLTSSIPMHSHDSKCLLPSRSKVTTAEVISQGLEESIISPNVDATQDLHRALSLLSTNSWGSCEQKSVSHEQPAHASHTGMPQFMPHVMPQGMPLASSDYWRTEQTTDSRVHNLATNNDNSSYFQEFQMLRAPYDSEFYSSQLN >Manes.16G052000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:13131847:13169349:-1 gene:Manes.16G052000.v8.1 transcript:Manes.16G052000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTAAATFFFPALIWVKPNSQRNSRSNPQSLNLRLRASSLSNSRFPLASRIIVKNLGYSVSESTLQKEFSSFGEVAGVKLIKDEISKRPKAYAFIQYTCQDDAIIALENMDQKIFDGRLIHVEIAKPGKESFGGYPKASGPPKKQHLQEQDEVADCWY >Manes.03G057400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5729495:5756439:1 gene:Manes.03G057400.v8.1 transcript:Manes.03G057400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTETLLLDFPYQPLWTNVYVRNNARATVPLLWSRVQVQGQISVLCGGVLSFGLAHYASSEFELLAEELLMSDSVMKVYGALRMTVKILLMWNSKMIIDGGEDVTVETSWLEASNLIVLKESSVIHSNANLGVHGQGLLNLSGPGDSIEAQRLVLSLFYNIHVGPGSVLRGPLKNATSDAVTPRLHCELEDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRTRTVSVLSHGTISASGMGCTGGVGRGQVLENGIGSGGGHGGKGGLGCYNGSCVEGGISYGNAEFPCELGSGSGDDNSSSKTAGGGIIVMGSLEHPLSSLSVEGSVGADGESFEEIVKQREFIVRNGTSGGPGGGSGGTMLLFLHTLDLGESAVLSSVGGYGSPNGGGGGGGGRIHFHWSDIPTGDVYQPIASVKGSILTGGGLGRDEGHAGENGTVTGKACPRGLYGVFCKECPAGTYKNVTGSDRALCHPCPLNELPHRAIYVAVRGGIAETPCPYKCISDRFHMPHCYTTLEELIYTFGGPWLFCLLLMGLLILLALVLSVARMKFVGVDELPGPAPTHHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEGAYGTFVDEINSITAYQWWEGAMYSILSVLAYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLAYLDFFLGGDEKRTDLPPPLGQRFPMSIIFGGDGSYLAPFSIQSDNILTSLISQMVPPTTWYRMVAGLNAQLRLVRRGQLRLTFRSVVRWLETHGNPALRVHGIRVDLAWFQATACGYCQYGLLVYAVEEETVESIDGTKQTEQESCMKGAYRGNSSGHLGVETLSSQAPIIRGSYTRQKKGYGGSVDANTLQMLEEKTDIFCLLSFIIHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISFVDVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARIYALWNLTSLINVAVAFICGYVHYHNQSSSSKKFPFQPWNISMDESEWWIFPSGLVLCKILQSQLINWHVANLEIQDRSLYSNDFELFWQS >Manes.03G057400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5729495:5756439:1 gene:Manes.03G057400.v8.1 transcript:Manes.03G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFHSFSLFHFAILANTLIANHNLVLCWSRGDYLSIIDLDSNLFHQDYSPPSPPPPPPHAPSVSCTEDLGGIGSLDTTCQIVSDVNLTRDVYIAGKGNFYVHPGVSFNCPSAGCSITVNITGNFTLSINASIVTGSFELVAYDAGFLNGTVVNTTGLAGKPPPQTSGTPQGTDGAGGGHGGRGACCVVDDKKLPEDVWGGDAYSWSSLQTPSSYGSRGGTTSKEVNYGGGGGGKVTLTIIEHVVVDGYILADGGDGGTKGGGGSGGSICIKAHRMTGSGRISACGGSGFAGGGGGRVSVDIFSRHDEPQIFVHGGSSRGCPENAGAAGTLYDSVPRSLIVNNYNMSTDTETLLLDFPYQPLWTNVYVRNNARATVPLLWSRVQVQGQISVLCGGVLSFGLAHYASSEFELLAEELLMSDSVMKVYGALRMTVKILLMWNSKMIIDGGEDVTVETSWLEASNLIVLKESSVIHSNANLGVHGQGLLNLSGPGDSIEAQRLVLSLFYNIHVGPGSVLRGPLKNATSDAVTPRLHCELEDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRTRTVSVLSHGTISASGMGCTGGVGRGQVLENGIGSGGGHGGKGGLGCYNGSCVEGGISYGNAEFPCELGSGSGDDNSSSKTAGGGIIVMGSLEHPLSSLSVEGSVGADGESFEEIVKQREFIVRNGTSGGPGGGSGGTMLLFLHTLDLGESAVLSSVGGYGSPNGGGGGGGGRIHFHWSDIPTGDVYQPIASVKGSILTGGGLGRDEGHAGENGTVTGKACPRGLYGVFCKECPAGTYKNVTGSDRALCHPCPLNELPHRAIYVAVRGGIAETPCPYKCISDRFHMPHCYTTLEELIYTFGGPWLFCLLLMGLLILLALVLSVARMKFVGVDELPGPAPTHHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEGAYGTFVDEINSITAYQWWEGAMYSILSVLAYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLAYLDFFLGGDEKRTDLPPPLGQRFPMSIIFGGDGSYLAPFSIQSDNILTSLISQMVPPTTWYRMVAGLNAQLRLVRRGQLRLTFRSVVRWLETHGNPALRVHGIRVDLAWFQATACGYCQYGLLVYAVEEETVESIDGTKQTEQESCMKGAYRGNSSGHLGVETLSSQAPIIRGSYTRQKKGYGGSVDANTLQMLEEKTDIFCLLSFIIHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISFVDVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARIYALWNLTSLINVAVAFICGYVHYHNQSSSSKKFPFQPWNISMDESEWWIFPSGLVLCKILQSQLINWHVANLEIQDRSLYSNDFELFWQS >Manes.03G057400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:5731424:5756439:1 gene:Manes.03G057400.v8.1 transcript:Manes.03G057400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTETLLLDFPYQPLWTNVYVRNNARATVPLLWSRVQVQGQISVLCGGVLSFGLAHYASSEFELLAEELLMSDSVMKVYGALRMTVKILLMWNSKMIIDGGEDVTVETSWLEASNLIVLKESSVIHSNANLGVHGQGLLNLSGPGDSIEAQRLVLSLFYNIHVGPGSVLRGPLKNATSDAVTPRLHCELEDCPIELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIKGSVVHFHRTRTVSVLSHGTISASGMGCTGGVGRGQVLENGIGSGGGHGGKGGLGCYNGSCVEGGISYGNAEFPCELGSGSGDDNSSSKTAGGGIIVMGSLEHPLSSLSVEGSVGADGESFEEIVKQREFIVRNGTSGGPGGGSGGTMLLFLHTLDLGESAVLSSVGGYGSPNGGGGGGGGRIHFHWSDIPTGDVYQPIASVKGSILTGGGLGRDEGHAGENGTVTGKACPRGLYGVFCKECPAGTYKNVTGSDRALCHPCPLNELPHRAIYVAVRGGIAETPCPYKCISDRFHMPHCYTTLEELIYTFGGPWLFCLLLMGLLILLALVLSVARMKFVGVDELPGPAPTHHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGPNTFSEPWHLPHTPPEQITEIVYEGAYGTFVDEINSITAYQWWEGAMYSILSVLAYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLAYLDFFLGGDEKRTDLPPPLGQRFPMSIIFGGDGSYLAPFSIQSDNILTSLISQMVPPTTWYRMVAGLNAQLRLVRRGQLRLTFRSVVRWLETHGNPALRVHGIRVDLAWFQATACGYCQYGLLVYAVEEETVESIDGTKQTEQESCMKGAYRGNSSGHLGVETLSSQAPIIRGSYTRQKKGYGGSVDANTLQMLEEKTDIFCLLSFIIHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISFVDVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARIYALWNLTSLINVAVAFICGYVHYHNQSSSSKKFPFQPWNISMDESEWWIFPSGLVLCKILQSQLINWHVANLEIQDRSLYSNDFELFWQS >Manes.10G090050.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:23306792:23309124:-1 gene:Manes.10G090050.v8.1 transcript:Manes.10G090050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYLMGGIMASLLGFVLLYRITAQKKATTSRGVARYEKLESSENGIDQAEKDKKPDVIIVGAGVAGSALAYTLGKDGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGLQDCVEDIDAQQVFGYALYKGGRSTKLSYPLQSFDSNVSGRSFHNGRFIQRMREKAASLTNVRLEQGTVTSLLEANGTIKGVQYKTKTGQELAASAPLTIVCDGCFSNLRRSLCNAKVEIPSCFVALILENCELPYQNHGHVILADPSPILFYRISSSEIRCLVDIPVSQKLPSISNGEMANYLKSIVAPQIPHELFDAFISAINKGNIRTMPNRSMPAAPHPTPGALLLGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLHDLSDASGLCEYLKSFYTLRKPVASTINTLAGALYKVFSASHDPAQDEMRRACFDYLSLGGVFSSGPIALLSGLNPQPLSLVMHFFAVAVYGVGRLVFTLPSAKRIWMGARMISVASRIIFPIIRVEGAQHMFFPKVMAKYCRPLAL >Manes.02G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6570940:6574049:1 gene:Manes.02G083900.v8.1 transcript:Manes.02G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVGDSMSWAFFCLAAAILSAILLTHKRVHRQNKWKLPPGEMGLPWIGETMEFFKAQRKNRMFEEFVQPRMAKYGKIFKTRLMGSPTIIVNGAEANRFFLSNEFKLVKSSWPAASVQLMGSNSIMEKQGDQHRCLRGLISNTLSPAGLEVLVPKICNSIELHIEKHWNNSLDRVSLYHSTKVLTFSIVFECLLGIKVEAGTLSTFERILDGVFAAPLAFPGSRFFKAKKARKEVEKMLREIVRDKKKKMEEGREGEDELGSLLSQLLGGMIRGEIREEEVIDNVILLVFAAHDTTSFAIAMTFKMIAQHADCHNLLLREHIEIMKQKTEGESLTMEDVKKIKYTWQVARESMRLFPPIFGSFRKAIVDIEFQGFTIPRGWKVLWTTHGTHYNDEYFEDPLKFDPSRFEETIPPYVYIPFGGGPRLCAGYQLAKLNILIFVHYVVTRYDWSLIYPDEQITMDPLPFPSHGMPIKLSPKSRV >Manes.05G058300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4867445:4870968:-1 gene:Manes.05G058300.v8.1 transcript:Manes.05G058300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKKLCCFVWLVLYHLLLPAFVSSKDHGNPANDLVEIINENRTALKLPKLNDSPGLGCMALQYVELCKDNCTGNRAVNCKPPEDDFTEVFAPNCGVELPTFGTITGHIVGCESKYLQPSEAFSHVIIKDNKALSLLRNKSHKEVGVGLVGFHKGPFFWCILFSDGQTNSTFVLEDRGKGIKQKTGCYSGSTFPCSYCAWSFHSIL >Manes.05G058300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4867445:4870968:-1 gene:Manes.05G058300.v8.1 transcript:Manes.05G058300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKKLCCFVWLVLYHLLLPAFVSSKDHGNPANDLVEIINENRTALKLPKLNDSPGLGCMALQYVELCKDNCTGNRAVNCKPPEDDFTEVFAPNCGVELPTFGTITGHIVGCESKYLQPSEAFSHVIIKDNKALSLLRNKSHKEVGVGLVGFHKGPFFWCILFSDGQTNSTFVLEDRGKGIKQKTGCYSGSTFPCSCGKKISVLLNNIMTLVFLYILLFQLLHQNQFLMI >Manes.05G058300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4867445:4870968:-1 gene:Manes.05G058300.v8.1 transcript:Manes.05G058300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKKLCCFVWLVLYHLLLPAFVSSKDHGNPANDLVEIINENRTALKLPKLNDSPGLGCMALQYVELCKDNCTGNRAVNCKPPEDDFTEVFAPNCGVELPTFGTITGHIVGCESKYLQPSEAFSHVIIKDNKALSLLRNKSHKEVGVGLVGFHKGPFFWCILFSDGQTNSTFVLEDRGKGIKQKTGCYSGSTFPCSCGKKINCAWSFHSIL >Manes.05G058300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4867445:4870968:-1 gene:Manes.05G058300.v8.1 transcript:Manes.05G058300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKKLCCFVWLVLYHLLLPAFVSSKDHGNPANDLVEIINENRTALKLPKLNDSPGLGCMALQYVELCKDNCTGNRAVNCKPPEDDFTEVFAPNCGVELPTFGTITGHIVGCESKYLQPSEAFSHVIIKDNKALSLLRNKSHKEVGVGLVGFHKGPFFWCILFSDGQTNSTFVLEDRGKGIKQKTGCYSGSTFPCSCGKKISVLLNNIMTLVFLYILLFQLLHQNQFLMI >Manes.05G058300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4867445:4870968:-1 gene:Manes.05G058300.v8.1 transcript:Manes.05G058300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKKLCCFVWLVLYHLLLPAFVSSKDHGNPANDLVEIINENRTALKLPKLNDSPGLGCMALQYVELCKDNCTGNRAVNCKPPEDDFTEVFAPNCGVELPTFGTITGHIVGCESKYLQPSEAFSHVIIKDNKALSLLRNKSHKEVGVGLVGFHKGPFFWCILFSDGQTNSTFVLEDRGKGIKQKTGCYSGSTFPCSYCAWSFHSIL >Manes.05G058300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4867445:4870968:-1 gene:Manes.05G058300.v8.1 transcript:Manes.05G058300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKKLCCFVWLVLYHLLLPAFVSSKDHGNPANDLVEIINENRTALKLPKLNDSPGLGCMALQYVELCKDNCTGNRAVNCKPPEDDFTEVFAPNCGVELPTFGTITGHIVGCESKYLQPSEAFSHVIIKDNKALSLLRNKSHKEVGVGLVGFHKGPFFWCILFSDGQTNSTFVLEDRGKGIKQKTGCYSGSTFPCSCGKKINCAWSFHSIL >Manes.17G041800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23327613:23332025:-1 gene:Manes.17G041800.v8.1 transcript:Manes.17G041800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQASINASVQKVKEEVFLAMDDDPYSLIASSAYNTAWLAMVPADNGDPLQPMFKNCLDWVLNNQKEDGFWGECDSHGMPTVECLPATIACMVALQRWNAGQLLIDRGIAFIEANAEKLITREIHEWCPRWLAIILPAMLELAHANGLEISFPDNLQGAVMDILYRRQEILDREKLVDKCSYPPLLTYLEALPLHNIDQEHVAKHLSNNGSLFDSPSATACAFMATGNKDCLRYLQTLVEKCPHGGVPPTYPMDEELIKLCMVNQLQRLGLAEHFKQEIEEVLEHVYRNYMNRESNAKSNNLVVLASKLYRDSLAFWLLRMHGYNVSPWMFCWFLLDEEIQAHIQNNQEFFSSVMLNVHRATDLMFPGEYELEEAKSFSRNLLEKCITKDQKDFPFPNFQTMIKQELRFPWLARLEHLDHRMWIEEKNINALWMEKASFIRFSSLYNDKLIQLAIKNYELRQSIYKQELEELKRWSKSWGLTDMGFAREKTTYCYFGVAASTSLPLDSDLRMIIAKSGIIITVADDFYDAEGSIDELEKLTDAVRRWDAKGLKGHSKIIFDVLDDLVREMAAKYLQQVGNDDITASLQYIWYETFDAWFMEAKWSRSGFIPSADDYLETGMTSIATHIVVLSASYFLNTSLPTHKLKPPQYEAITKLLMIIPRLLNDLQSYEKEQKEGKINFVLLNLEKNPGLELEDSIAITKKMLEEKRREFIQEALMDGFNELPKQIRQLHLSCLKVFEMFFYSTNRYDSNTEMIQDIQKAIYLPLVVTEPKPLNLPETPIIRPLLPPAPLLSRPTRKRYQTLVNYHFDQRFKHYRSSISRQHIDWPLPKYQSMNRPMPLNFKFCFI >Manes.17G041800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23327613:23332025:-1 gene:Manes.17G041800.v8.1 transcript:Manes.17G041800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQASINASVQKVKEEVFLAMDDDPYSLIASSAYNTAWLAMVPADNGDPLQPMFKNCLDWVLNNQKEDGFWGECDSHGMPTVECLPATIACMVALQRWNAGQLLIDRGIAFIEANAEKLITREIHEWCPRWLAIILPAMLELAHANGLEISFPDNLQGAVMDILYRRQEILDREKLVDKCSYPPLLTYLEALPLHNIDQEHVAKHLSNNGSLFDSPSATACAFMATGNKDCLRYLQTLVEKCPHGVPPTYPMDEELIKLCMVNQLQRLGLAEHFKQEIEEVLEHVYRNYMNRESNAKSNNLVVLASKLYRDSLAFWLLRMHGYNVSPWMFCWFLLDEEIQAHIQNNQEFFSSVMLNVHRATDLMFPGEYELEEAKSFSRNLLEKCITKDQKDFPFPNFQTMIKQELRFPWLARLEHLDHRMWIEEKNINALWMEKASFIRFSSLYNDKLIQLAIKNYELRQSIYKQELEELKRWSKSWGLTDMGFAREKTTYCYFGVAASTSLPLDSDLRMIIAKSGIIITVADDFYDAEGSIDELEKLTDAVRRWDAKGLKGHSKIIFDVLDDLVREMAAKYLQQVGNDDITASLQYIWYETFDAWFMEAKWSRSGFIPSADDYLETGMTSIATHIVVLSASYFLNTSLPTHKLKPPQYEAITKLLMIIPRLLNDLQSYEKEQKEGKINFVLLNLEKNPGLELEDSIAITKKMLEEKRREFIQEALMDGFNELPKQIRQLHLSCLKVFEMFFYSTNRYDSNTEMIQDIQKAIYLPLVVTEPKPLNLPETPIIRPLLPPAPLLSRPTRKRYQTLVNYHFDQRFKHYRSSISRQHIDWPLPKYQSMNRPMPLNFKFCFI >Manes.17G086300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29383957:29385914:1 gene:Manes.17G086300.v8.1 transcript:Manes.17G086300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTWMRRRATRRAAEKQRLERENGIDMELAIPKDFRCPISLDLMKDPVTLSTGITYDRESIEKWIEAGNETCPITNQVLRSLEPIPNHTIRKMIQNWCVENRSYGIERIPTPRIPVSSVDVLEIQANITAAACKGGDAVGCKNSVAKIKTLIKESERNKRCFVSNGTGSVLSAAFEEFSRVSFRENAAVLEEILSSLTLMFPLDGEAKSYLSSATSMDCLVWFLKGGDLSGRRNAVLVIKELVSSDKKKIDFLSATEGAIEGLFKLIKEPICPTATKASLLAIYHMVTSTPTNVKVITKFIDMGLISLLIEMIVDAERSICEKGLGVLDGICSCDQGREKMNDHFLTIPVLVKKIHRVSDLATEFSVSILWKLCKAEKRQEGGVLLEALQVGAFQKLLLLLQVGCGERTKEKATELLKMLNPHRVKLECIDSSDLKELKRPF >Manes.06G113200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24396728:24414728:-1 gene:Manes.06G113200.v8.1 transcript:Manes.06G113200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLNSQAFPSFVNFHLSHYASSPMGLLLFLFSVLAISSLVSSSPVQDPELVVNQVHRAINGSRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKKAIGGRDGKIYVVTDSSDDDAVNPKPGTLRHAVIQDDPLWIIFARDMTIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQFVTNIIIHGLHIHDCKPGGNAYVRDSPRHYGWRTVSDGDGVSIFGGSHIWVDHNSLSNCNDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFVAPDIRFSKEVNIFSQQN >Manes.06G113200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24396728:24414728:-1 gene:Manes.06G113200.v8.1 transcript:Manes.06G113200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLNSQAFPSFVNFHLSHYASSPMGLLLFLFSVLAISSLVSSSPVQDPELVVNQVHRAINGSRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKKAIGGRDGKIYVVTDSSDDDAVNPKPGTLRHAVIQDDPLWIIFARDMTIKLKEELIMNSFKTIDGRGASVHIAGGPCITIQFVTNIIIHGLHIHDCKPGGNAYVRDSPRHYGWRTVSDGDGVSIFGGSHIWVDHNSLSNCNDGLVDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFVAPDIRFSKEVTKHEDAPESEWKNWNWRSEGDLLVNGAFFTASGAGASSSYAKASSLSARPSSLVGSITVGSGALNCKKGVRC >Manes.03G010500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:909986:915222:-1 gene:Manes.03G010500.v8.1 transcript:Manes.03G010500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQGIGEPRDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYNVTTTSQAIAALKMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSAYSDPKLVMKGITHGACDYLLKPVRMEELKNIWQHVIRRRKFDNKDWNNFDNQDKLHHGSDETTADHKLNKKRKDQNEDEDEDRDDNGHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISAVANQQATMAALGSPDASYLQMGPVNGLGFPNLAGTGQFHNATFRSFPPSGMLGRLNSPAALGMRGLPSAGVIQIGHLPTTGQLTSSQSQFQSIIHPRNGGNVLQGMPMSLEFDQVHSNKVVTYIRDTDVNDTTTPSVSSGFLDAKIMAGSSNGPLLGVSNKSLMLEGNALEAQDGQKFGKQSSLAVASLSLDSGFSSNFLDNGSCNDSWSSSVHSTGIQSNSFALNECFQQATMHPSNIRDCVSTMASQSGNNASHVSSISSMPIHLQDSKADVHCQIASITSNARQMINNAPQRWDDRRQDASCRSNAVCCSINSGVPIHGTGNPMGQSLDPNDVILDRTKNFNSTRQPNIVDPSPMKHDEVENLTMESLMRSNEGYAVGQQNQQGSHVSNNFGSLEDLACVMVKQALVAKHTEDEHLLT >Manes.03G010500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:909985:915223:-1 gene:Manes.03G010500.v8.1 transcript:Manes.03G010500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQGIGEPRDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYNVLSAYSDPKLVMKGITHGACDYLLKPVRMEELKNIWQHVIRRRKFDNKDWNNFDNQDKLHHGSDETTADHKLNKKRKDQNEDEDEDRDDNGHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISAVANQQATMAALGSPDASYLQMGPVNGLGFPNLAGTGQFHNATFRSFPPSGMLGRLNSPAALGMRGLPSAGVIQIGHLPTTGQLTSSQSQFQSIIHPRNGGNVLQGMPMSLEFDQVHSNKVVTYIRDTDVNDTTTPSVSSGFLDAKIMAGSSNGPLLGVSNKSLMLEGNALEAQDGQKFGKQSSLAVASLSLDSGFSSNFLDNGSCNDSWSSSVHSTGIQSNSFALNECFQQATMHPSNIRDCVSTMASQSGNNASHVSSISSMPIHLQDSKADVHCQIASITSNARQMINNAPQRWDDRRQDASCRSNAVCCSINSGVPIHGTGNPMGQSLDPNDVILDRTKNFNSTRQPNIVDPSPMKHDEVENLTMESLMRSNEGYAVGQQNQQGSHVSNNFGSLEDLACVMVKQENKVTATVGEFAYGAYSLRMSM >Manes.03G010500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:909986:915222:-1 gene:Manes.03G010500.v8.1 transcript:Manes.03G010500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGITHGACDYLLKPVRMEELKNIWQHVIRRRKFDNKDWNNFDNQDKLHHGSDETTADHKLNKKRKDQNEDEDEDRDDNGHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISAVANQQATMAALGSPDASYLQMGPVNGLGFPNLAGTGQFHNATFRSFPPSGMLGRLNSPAALGMRGLPSAGVIQIGHLPTTGQLTSSQSQFQSIIHPRNGGNVLQGMPMSLEFDQVHSNKVVTYIRDTDVNDTTTPSVSSGFLDAKIMAGSSNGPLLGVSNKSLMLEGNALEAQDGQKFGKQSSLAVASLSLDSGFSSNFLDNGSCNDSWSSSVHSTGIQSNSFALNECFQQATMHPSNIRDCVSTMASQSGNNASHVSSISSMPIHLQDSKADVHCQIASITSNARQMINNAPQRWDDRRQDASCRSNAVCCSINSGVPIHGTGNPMGQSLDPNDVILDRTKNFNSTRQPNIVDPSPMKHDEVENLTMESLMRSNEGYAVGQQNQQGSHVSNNFGSLEDLACVMVKQENKVTATVGEFAYGAYSLRMSM >Manes.03G010500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:909986:915222:-1 gene:Manes.03G010500.v8.1 transcript:Manes.03G010500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQGIGEPRDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYNVTTTSQAIAALKMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSAYSDPKLVMKGITHGACDYLLKPVRMEELKNIWQHVIRRRKFDNKDWNNFDNQDKLHHGSDETTADHKLNKKRKDQNEDEDEDRDDNGHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISAVANQQATMAALGSPDASYLQMGPVNGLGFPNLAGTGQFHNATFRSFPPSGMLGRLNSPAALGMRGLPSAGVIQIGHLPTTGQLTSSQSQFQSIIHPRNGGNVLQGMPMSLEFDQVHSNKVVTYIRDTDVNDTTTPSVSSGFLDAKIMAGSSNGPLLGVSNKSLMLEGNALEAQDGQKFGKQSSLAVASLSLDSGFSSNFLDNGSCNDSWSSSVHSTGIQSNSFALNECFQQATMHPSNIRDCVSTMASQSGNNASHVSSISSMPIHLQDSKADVHCQIASITSNARQMINNAPQRWDDRRQDASCRSNAVCCSINSGVPIHGTGNPMGQSLDPNDVILDRTKNFNSTRQPNIVDPSPMKHDEVENLTMESLMRSNEGYAVGQQNQQGSHVSNNFGSLEDLACVMVKQENKVTATVGEFAYGAYSLRMSM >Manes.03G010500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:909985:915223:-1 gene:Manes.03G010500.v8.1 transcript:Manes.03G010500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEQGIGEPRDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYNVLSAYSDPKLVMKGITHGACDYLLKPVRMEELKNIWQHVIRRRKFDNKDWNNFDNQDKLHHGSDETTADHKLNKKRKDQNEDEDEDRDDNGHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGLDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISAVANQQATMAALGSPDASYLQMGPVNGLGFPNLAGTGQFHNATFRSFPPSGMLGRLNSPAALGMRGLPSAGVIQIGHLPTTGQLTSSQSQFQSIIHPRNGGNVLQGMPMSLEFDQVHSNKVVTYIRDTDVNDTTTPSVSSGFLDAKIMAGSSNGPLLGVSNKSLMLEGNALEAQDGQKFGKQSSLAVASLSLDSGFSSNFLDNGSCNDSWSSSVHSTGIQSNSFALNECFQQATMHPSNIRDCVSTMASQSGNNASHVSSISSMPIHLQDSKADVHCQIASITSNARQMINNAPQRWDDRRQDASCRSNAVCCSINSGVPIHGTGNPMGQSLDPNDVILDRTKNFNSTRQPNIVDPSPMKHDEVENLTMESLMRSNEGYAVGQQNQQGSHVSNNFGSLEDLACVMVKQALVAKHTEDEHLLT >Manes.12G130700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33845839:33849098:1 gene:Manes.12G130700.v8.1 transcript:Manes.12G130700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKAEAAEYQKLLATRLKEQRERRSESLAKKRSRLSAASKPSVVA >Manes.02G102800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8037946:8040328:-1 gene:Manes.02G102800.v8.1 transcript:Manes.02G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNDNTRSGSATPTVNGDAEALQRPKIALITGITGQDGSYLTEFLIKKGYEVHGLIRRSSNFNTQRINHIYIDPHNTHKARMKLHYADLTDASSLRRWLDTILPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAATGRSHIRYYQAGSSEMFGSTPPPQSETSPFHPRSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKADDYVVATEESHTVEEFLEVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDSSKARKVLGWKPKVGFEQLVKMMVDEDVELAKREKVLVDAGYMDAQQQP >Manes.08G017300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1782816:1784979:-1 gene:Manes.08G017300.v8.1 transcript:Manes.08G017300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWQEIPNYENSPTLFHLSPALYLPHQLFLFLPLLVHCSSLCSSKMIAKPLLFLSYVCYLLFFSHYCYPQKTCPSCGSIEVPYPLSTHPNCGDPNYHLRCDPNSQKLYFDAMNGSSYLVLRIMASFQRMIVQPSPWVSGSCVTQDMLVSEGLRLNQTLPFNVTSSNTIFLFNCSPRLFVSPLNCTPSSLCHSYLESSGHVEKNRSLQCASSVNPCCTFIAGGIPSAYKIRLHSSGCKAFRSILNLDPERPASQWEEGLEIQWASPAEPICKTQLDCSGASRCLSSGTNSLFRCLCNRGYHWDHDHGSCQKMRSSRKASLSLKVSIGVVSFFSVAAVMGVIFLRKSPRFAQAKLAKEREDMLKSSNGGQTARMFQLKDIKKATNSFSKDRILGSGGFGEVYKGELQDGTVVAIKLAKVGNVKSTQQVLNEVGILSQVNHKNLVRLLGCCVEGEQPLMVYEYISNGALHDLLHGNSSTFLNWRTRLRIALQTAEGLAYLHSGVCTPIYHRDVKSTNILLDDEFNAKVSDFGLSRLARPGLSHVSTCAQGTLGYLDPEYYRNYQLNDKSDVYSYGVVLLELLTSQKAIDFSRDQDNVNLAIYVSQQAKNGAIMEVTDHRLLGEHPSENILTSIKLFSELAFACLCERKADRPCMKEVVQQLECIVEIVNQEKGLEDAL >Manes.15G142950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11716513:11719916:1 gene:Manes.15G142950.v8.1 transcript:Manes.15G142950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSARKSNVVVSGGETSTNDNSSVVIDEGEGAAREAEIEINPRDGDRIGGPPVDDCCPICFGSFTVPCKANCGHWFCGSCILQYWNYSAASKPCKCPMCATGITKLTPEASLHSLQEQETTKVLGNVHRYNRLFVGGARGLVQKVRESPLFIKRIFQQMMDPDRPYSYLHEMRLFAMILSVFYAATPFNFIPTGGLGIVRLFDYAAIILVFSLRLVGLYRRRRLTQRVRHLAATQPSGE >Manes.15G170400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14877747:14881965:1 gene:Manes.15G170400.v8.1 transcript:Manes.15G170400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLNLPDDDNNHHNPILKAELPISIFNQPFNSIFTTATNSFSDIHFSVSTNFPTGPSLKLSYSPPTTTTPSSPISVSLKSGLGLFGSPHNSPLVFSAHFSLSSASPVAIIPTFSLHFKPQLGHFSLHKRTASSSDPNPDPDSGTHLVAGSHLDSVSSSNLEFGNVYAPDSVGPMGWQEVKLEPVTGKEKEGFANPNPNDIDGAYAPNGGIFGFLPERHLMPEDRKKGGFSGVAVKARTVVPLSKRVKMNLRWGVNLPGDMGIKMPYLTVNKIVVERIEEMKETKEKSSEYTKGDLELLKGMCFWMRRDLEIIEKENRDMKQILEYMRSRVSTRNLRGENSVGKKVPASSDRLGEFWQSKRKKNDEAYGQTELKKPTNPVTDLESELQKAIKAAAS >Manes.15G170400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14877747:14881965:1 gene:Manes.15G170400.v8.1 transcript:Manes.15G170400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLNLPDDDNNHHNPILKAELPISIFNQPFNSIFTTATNSFSDIHFSVSTNFPTGPSLKLSYSPPTTTTPSSPISVSLKSGLGLFGSPHNSPLVFSAHFSLSSASPVAIIPTFSLHFKPQLGHFSLHKRTASSSDPNPDPDSGTHLVAGSHLDSVSSSNLEFGNVYAPDSVGPMGWQEVKLEPVTGKEKEGFANPNPNDIDGAYAPNGGIFGFLPERHLMPEDRKKGGFSGVAVKARTVVPLSKRVKMNLRWGVNLPGDMGIKMPYLTVNKIVVERIEEMKETKEKSSEYTKGDLELLKGMCFWMRRDLEIIEKENRDMKQILEYMRSRVSTRNLRGENSVGKKVPASSDRLGEFWQSKRKKNDEAYGQTELKKPTNPVTDLESELQKAIKAAAS >Manes.15G170400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14877747:14880406:1 gene:Manes.15G170400.v8.1 transcript:Manes.15G170400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLNLPDDDNNHHNPILKAELPISIFNQPFNSIFTTATNSFSDIHFSVSTNFPTGPSLKLSYSPPTTTTPSSPISVSLKSGLGLFGSPHNSPLVFSAHFSLSSASPVAIIPTFSLHFKPQLGHFSLHKRTASSSDPNPDPDSGTHLVAGSHLDSVSSSNLEFGNVYAPDSVGPMGWQEVKLEPVTGKEKEGFANPNPNDIDGAYAPNGGIFGFLPERHLMPEDRKKGGFSGVAVKARTVVPLSKRVKMNLRWGVNLPGDMGIKMPYLTVNKIVVERIEEMKETKEKSSEYTKGDLELLKGMCFWMRRDLEIIEKENRDMKQILEYMRSRVSTRNLRGENSVGKKVPASSDRLGEFWQSKRKKNDEAYGQTELKKPTNPVTDLESELQKAIKAAAS >Manes.15G170400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14877747:14881965:1 gene:Manes.15G170400.v8.1 transcript:Manes.15G170400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLNLPDDDNNHHNPILKAELPISIFNQPFNSIFTTATNSFSDIHFSVSTNFPTGPSLKLSYSPPTTTTPSSPISVSLKSGLGLFGSPHNSPLVFSAHFSLSSASPVAIIPTFSLHFKPQLGHFSLHKRTASSSDPNPDPDSGTHLVAGSHLDSVSSSNLEFGNVYAPDSVGPMGWQEVKLEPVTGKEKEGFANPNPNDIDGAYAPNGGIFGFLPERHLMPEDRKKGGFSGVAVKARTVVPLSKRVKMNLRWGVNLPGDMGIKMPYLTVNKIVVERIEEMKETKEKSSEYTKGDLELLKGMCFWMRRDLEIIEKENRDMKQILEYMRSRVSTRNLRGENSVGKKVPASSDRLGEFWQSKRKKNDEAYGQTELKKPTNPVTDLESELQKAIKAAAS >Manes.15G170400.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:14877747:14881233:1 gene:Manes.15G170400.v8.1 transcript:Manes.15G170400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLNLPDDDNNHHNPILKAELPISIFNQPFNSIFTTATNSFSDIHFSVSTNFPTGPSLKLSYSPPTTTTPSSPISVSLKSGLGLFGSPHNSPLVFSAHFSLSSASPVAIIPTFSLHFKPQLGHFSLHKRTASSSDPNPDPDSGTHLVAGSHLDSVSSSNLEFGNVYAPDSVGPMGWQEVKLEPVTGKEKEGFANPNPNDIDGAYAPNGGIFGFLPERHLMPEDRKKGGFSGVAVKARTVVPLSKRVKMNLRWGVNLPGDMGIKMPYLTVNKIVVERIEEMKETKEKSSEYTKGDLELLKGMCFWMRRDLEIIEKENRDMKQILEYMRSRVSTRNLRGENSVGKKVPASSDRLGEFWQSKRKKNDEAYGQTELKKPTNPVTDLESELQKAIKAAAS >Manes.10G148000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31412140:31415286:1 gene:Manes.10G148000.v8.1 transcript:Manes.10G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVEVIDAHDLMPKDGEGSASTYVEVDFQNQLSKTKTIPKNLNPVWNQKLVFDLDETKSRYQQSIEVSVYNQKRSIPGRNFLGRVRIPCLNIVKKGEEVYQTFPLERKWFFSSVKGDIGLKIYITPESETKSPASPPSPPQPTDTSALNYLPPVNTCIAENTNLDCKTLAALPKAEAASVKTINAIATKSEKEISTLAVIENSTPVAVLESGGITSVKEPNEDRIYKHQVLQQPSMSRERRPQGVLHTMHSTSQPAHPSEEDDYALKDTNPQLGERWPAGGAYGGRGWMNAERYASTYDLVEQMSYLYVRVVKAKDLPPSAITGSCDPYVEVKLGNYKGRTKHFEKKMNPEWNQVFAFSKDRLQSSVLEVFVKDKEMVGRDDYLGRVVFDLNEIPTRVPPDSPLAPQWYRLEDRRGEGKVRGEIMLAVWMGTQADEAFPEAWHADASSVYGEGVLSIRSKVYVSPKLWYLRVNVIEAQDIVANDRSRIPEVFVKAQVGNQILKTKVNPSRTTNPLWNEDLVFVAAEPFEEQLLLTVEDRIHPTRDDVLGKISLPLNIFEKRLDHRPVHSRWFNLEKFGFGVLEADRRRELKFASRIHIRVCLEGGYHVLDESTMYISDQRPTARQLWKQPVGILEVGILSAQGLLPMKMKDGRGSTDAYCVAKYGQKWVRTRTILDTFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGSEKPNAPSAARDARIGKVRIRLSTLEAFRIYTHSYPLLVLHPNGVKKMGELQLAFRFTTLSLANMIYVYGHPLLPKMHYLHPFTVNQVDNLRYQAMSIVATRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLLSGMISMSRWFVDVCQWKNPITSVLVHILFLILIWYPELILPTLFLYMFLIGIWNYRFRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSRPQDIVRMRYDRLRSVAGRIQTVVGDIATQGERLGSLLSWRDPRATSLFIMFCLCAAVVLYVTPFRVVALVAGLYYLRHPRFRSKLPSVPGNFFKRLPARTDSLL >Manes.10G006600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:804935:810087:1 gene:Manes.10G006600.v8.1 transcript:Manes.10G006600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQIARGEEFEKKAEKKLSGWGLFGSKFEDASDLFDKAANCFKLAKSWDKAGSTYVKLANCHLKLESKHEAAQAFVDAAHCYKKTSINEAISCLGQAVDLFCDIGRISMAARYYKEIGELYESEANIEKAIDFYEKAADFFQGEEVTTSANQCKQKVAQFAAQLEKYQKAVEIYEEIARQSLSSNLLKYGVKGHLLNAGICHLCKGDVVAISNALERYQDLDPTFSGTREYKLLADIAAAIDEEDVAKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >Manes.10G006600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:804935:809516:1 gene:Manes.10G006600.v8.1 transcript:Manes.10G006600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQIARGEEFEKKAEKKLSGWGLFGSKFEDASDLFDKAANCFKLAKSWDKAGSTYVKLANCHLKLESKHEAAQAFVDAAHCYKKTSINEAISCLGQAVDLFCDIGRISMAARYYKEIGELYESEANIEKAIDFYEKAADFFQGEEVTTSANQCKQKVAQFAAQLEKYQKAVEIYEEIARQSLSSNLLKYGVKGHLLNAGICHLCKGDVVAISNALERYQDLDPTFSGTREYKLLADIAAAIDEEDVAKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >Manes.07G079565.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24644970:24646480:1 gene:Manes.07G079565.v8.1 transcript:Manes.07G079565.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGIASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.03G166101.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29205085:29207781:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203833:29207825:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203937:29207782:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29205080:29207896:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203937:29207784:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203909:29207825:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203833:29207784:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203832:29207816:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203832:29207816:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203833:29207784:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203832:29207792:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203832:29207792:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203937:29207781:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203833:29207825:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203908:29207825:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29205102:29207785:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203937:29207781:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29204541:29207786:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203908:29207790:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29204541:29207894:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.03G166101.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29203909:29207790:-1 gene:Manes.03G166101.v8.1 transcript:Manes.03G166101.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGNFQKLECDYDRQAQLKAFDETRAGVKGLIDAGITKIPRIFIHDQSKINDNPCAGPHKYSIPIIDFEGIGRDPSLRRNVIDEVRDASEKWGFFQVINHGIPVSLLDDMIDGMRRFHEQDNEVKKHFFTRDETRNVIYNTNFDFYQAEAATWRDSLYCLMVPHAPNPEELPPVCRDVMVKYSNKAMQLGLTILELLSEAVGLNPNHLKNMGCAEGLYFTGNYYPACPEPSLTLGVRKHTDLAFLSILLQDQLGGLQVLHKDEWVDVTPISGALVINLGDMSQLITNGMFKSVYHRVLAKKAGPRISVGCFFRTHIEKGASSTVFGPIKELLSEENPPIYRETTIKDFLTKIYSKGFDWNSRLDHLKL >Manes.02G186000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14906213:14915255:1 gene:Manes.02G186000.v8.1 transcript:Manes.02G186000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEAVINLQSQVASLRNRVKELEAENAKLSARLSKCRCNETEEKLHRRESGEVNPGGRETAKTKTRVYDTGSMNHLQKRFVALKLMYFGQRFYGFSSEGQMDPTIESEIFKALERTRLLIGDKKDIKYSRCGRTDKGVSAVGQVIALFLRSKLKDVDANNKISGEIVSEEHNGEIDYVGVLNRVLPNDIRIVGWSPVPNDFSARFSCLSREYKYFFWVDKLNLLAMESAGKKFIGEHDFRNFCKMDALNVHNYRRHITSFEISPCEMRSEGNQLGAIKIKGSAFLWHQVRCMVAVLFLIGQGLESPDVIDVLLDIDRTTRKPQYPMAPEIPLVLHSCEFGGLKFTCSADAGRALRTHFKNECQLYQLQAAIFHDALLSCLPLSKSAEDNSDKSPWNETDKKRASHVLLMSRPTEPSYKERQAKLENMKPRAR >Manes.14G062200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5184405:5191055:1 gene:Manes.14G062200.v8.1 transcript:Manes.14G062200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLIWRDYRGDVSALQAERFFAKLMEKEGDPQSQDPVVYDNGVTYMFIQHNNVYLMTASRQNCNAASLLLFLHRVVDVFTHYFEVLEEESLRDNFVVVYELLDEIMDFGFPQYTEARILSEFIKTDAFRMEVAQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIIRSEVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQAKPLVWVEAQIERHSRSRVEITVKARSQFKERSTATNVEIELPVPSDATNPNIRTSMGSASYAPENDALVWKIKSFPGNKEYLLRAEFRLPSIKAEEAAPERKAPISVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITIAGEYELRIM >Manes.02G069900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5329173:5336683:1 gene:Manes.02G069900.v8.1 transcript:Manes.02G069900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVCAVKGTATSSISVGCRHRNRRSLLAASGLAGGFNKRSSLSLSALSLNNNGCMNCGISFCGQRAADSFSMGTELVRTAFSRSLPIKALSSDDDIEESAPIHPQRKSTGTVLPFVGVASLGAILFGYHLAVVNGALEYLAKDLAIAENTVLQGWIVSTLLASATIGSFTGGALADKFGRIRTFQLDAIPLIIGAFLCTTAQTVQTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSVNQLSICIGILLALVAGLPLAGNPLWWRTMFGISAVPAILLALGMAFSPESPRWLFQQGKISEAEQSIRILYGKERVPEVMQDLAVGGQGSAEPEAGWFDLFSSRYWKVVSVGVALFFFQQLAGINAVVYYSTAVFRSVGIASDVAASALVGAANVFGTTVASSLMDRQGRKSLLIISFLGMAASMLLLSLSFTWKVLAPYSGTLAVLGTVCYVLSFSLGAGPVPALLLPEIFASRIRAKAVSLSLGMHWISNFFIGLYFLSVVNKFGISTVYLGFAAVCVLAALYIAGNVVETKGRSLEEIERALNPAI >Manes.02G220750.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22051077:22067659:-1 gene:Manes.02G220750.v8.1 transcript:Manes.02G220750.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTVAGEIAKNLVDLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMEKNKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGVRSNGCKIVLTSRNESVCSSLGSQKNFQIKVLNDEEALVLFEETASDSIGHGLLDMVKEIVNECEGLPIAIVTLSKTLKTKDKHIWNDVLLQLKNSKLEDISGMKAKVFSAIKLSYNYLNDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSHLLLEGDDIWNESVKMHDHVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFSPSVAQQFAQLKELNISACCMMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLIIWSCEKMEDIVAKDKGRSKIVLFPSLTYLDLSHLPNLMGFCKDNNVSLEWSLLERLRFYKIVSFKIVSVPKSSTLSTSAEVDHLDSTFCATLIPRKRKKQDNIFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLKNLKHLMIHDSDSVEVIFSFEGLINGVLNSVEKIQLVSLPNLKHVWFKIPPEITAFQNLRELIVADCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEIEEISMQKIEFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFQNCKRMETFSYGSLSMPKLEKVVINGRLGSDPNLNAKMSELLKMNQ >Manes.02G220750.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22051077:22067659:-1 gene:Manes.02G220750.v8.1 transcript:Manes.02G220750.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTVAGEIAKNLVDLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMEKNKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGVRSNGCKIVLTSRNESVCSSLGSQKNFQIKVLNDEEALVLFEETASDSIGHGLLDMVKEIVNECEGLPIAIVTLSKTLKTKDKHIWNDVLLQLKNSKLEDISGMKAKVFSAIKLSYNYLNDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSHLLLEGDDIWNESVKMHDHVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFSPSVAQQFAQLKELNISACCMMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLIIWSCEKMEDIVAKDKGRSKIVLFPSLTYLDLSHLPNLMGFCKDNNVSLEWSLLERLRFYKIVSFKIVSVPKSSTLSTSAEVDHLDSTFCATLIPRKRKKQDNIFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLKNLKHLMIHDSDSVEVIFSFEGLINGVLNSVEKIQLVSLPNLKHVWFKIPPEITAFQNLRELIVADCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEIEEISMQKIEFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFQNCKRMETFSYGSLSMPKLEKVVINGRLGSDPNLNAKMSELLKMNQ >Manes.02G220750.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22051077:22067659:-1 gene:Manes.02G220750.v8.1 transcript:Manes.02G220750.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADHSHQNHRSKGLYMLQILISVRILLFANLLAIHPWILNITREMEVLLAIGGTVAGEIAKNLVDLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMEKNKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGVRSNGCKIVLTSRNESVCSSLGSQKNFQIKVLNDEEALVLFEETASDSIGHGLLDMVKEIVNECEGLPIAIVTLSKTLKTKDKHIWNDVLLQLKNSKLEDISGMKAKVFSAIKLSYNYLNDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSHLLLEGDDIWNESVKMHDHVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFSPSVAQQFAQLKELNISACCMMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLIIWSCEKMEDIVAKDKGRSKIVLFPSLTYLDLSHLPNLMGFCKDNNVSLEWSLLERLRFYKIVSFKIVSVPKSSTLSTSAEVDHLDSTFCATLIPRKRKKQDNIFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLKNLKHLMIHDSDSVEVIFSFEGLINGVLNSVEKIQLVSLPNLKHVWFKIPPEITAFQNLRELIVADCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEIEEISMQKIEFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFQNCKRMETFSYGSLSMPKLEKVVINGRLGSDPNLNAKMSELLKMNQ >Manes.02G220750.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22051077:22067659:-1 gene:Manes.02G220750.v8.1 transcript:Manes.02G220750.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTVAGEIAKNLVDLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMEKNKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGVRSNGCKIVLTSRNESVCSSLGSQKNFQIKVLNDEEALVLFEETASDSIGHGLLDMVKEIVNECEGLPIAIVTLSKTLKTKDKHIWNDVLLQLKNSKLEDISGMKAKVFSAIKLSYNYLNDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSHLLLEGDDIWNESVKMHDHVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFSPSVAQQFAQLKELNISACCMMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLIIWSCEKMEDIVAKDKGRSKIVLFPSLTYLDLSHLPNLMGFCKDNNVSLEWSLLERLRFYKIVSFKIVSVPKSSTLSTSAEVDHLDSTFCATLIPRKRKKQDNIFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLKNLKHLMIHDSDSVEVIFSFEGLINGVLNSVEKIQLVSLPNLKHVWFKIPPEITAFQNLRELIVADCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEIEEISMQKIEFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFQNCKRMETFSYGSLSMPKLEKVVINGRLGSDPNLNAKMSELLKMNQ >Manes.02G220750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22051077:22067659:-1 gene:Manes.02G220750.v8.1 transcript:Manes.02G220750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTVAGEIAKNLVDLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMEKNKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGVRSNGCKIVLTSRNESVCSSLGSQKNFQIKVLNDEEALVLFEETASDSIGHGLLDMVKEIVNECEGLPIAIVTLSKTLKTKDKHIWNDVLLQLKNSKLEDISGMKAKVFSAIKLSYNYLNDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSHLLLEGDDIWNESVKMHDHVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFSPSVAQQFAQLKELNISACCMMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLIIWSCEKMEDIVAKDKGRSKIVLFPSLTYLDLSHLPNLMGFCKDNNVSLEWSLLERLRFYKIVSFKIVSVPKSSTLSTSAEVDHLDSTFCATLIPRKRKKQDNIFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLKNLKHLMIHDSDSVEVIFSFEGLINGVLNSVEKIQLVSLPNLKHVWFKIPPEITAFQNLRELIVADCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEIEEISMQKIEFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFQNCKRMETFSYGSLSMPKLEKVVINGRLGSDPNLNAKMSELLKMNQ >Manes.02G220750.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22051077:22067659:-1 gene:Manes.02G220750.v8.1 transcript:Manes.02G220750.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTVAGEIAKNLVDLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMEKNKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGVRSNGCKIVLTSRNESVCSSLGSQKNFQIKVLNDEEALVLFEETASDSIGHGLLDMVKEIVNECEGLPIAIVTLSKTLKTKDKHIWNDVLLQLKNSKLEDISGMKAKVFSAIKLSYNYLNDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSHLLLEGDDIWNESVKMHDHVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFSPSVAQQFAQLKELNISACCMMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLIIWSCEKMEDIVAKDKGRSKIVLFPSLTYLDLSHLPNLMGFCKDNNVSLEWSLLERLRFYKIVSFKIVSVPKSSTLSTSAEVDHLDSTFCATLIPRKRKKQDNIFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLKNLKHLMIHDSDSVEVIFSFEGLINGVLNSVEKIQLVSLPNLKHVWFKIPPEITAFQNLRELIVADCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEIEEISMQKIEFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFQNCKRMETFSYGSLSMPKLEKVVINGRLGSDPNLNAKMSELLKMNQ >Manes.02G220750.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22051077:22067659:-1 gene:Manes.02G220750.v8.1 transcript:Manes.02G220750.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLAIGGTVAGEIAKNLVDLIYYKHNIENLKEELQKLDDKRTEVGLRVNNAKSNLQVVVGSVIRWQEKADGIDRRSKEFLQNEMNVNKCLNRSSLSRKAKKMTENMLALLEEARNFGEIAYPDPCQKIELWFSDERIKNFKSREPILNEILMALKNDDLRVIGICGMSGIGKTTMVKQLMKNMEKNKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRASKLRQRLTNCDKRILLILDDVWKEDGLGEIGVPLGVRSNGCKIVLTSRNESVCSSLGSQKNFQIKVLNDEEALVLFEETASDSIGHGLLDMVKEIVNECEGLPIAIVTLSKTLKTKDKHIWNDVLLQLKNSKLEDISGMKAKVFSAIKLSYNYLNDEEAKSCFLLCSLFPEDFNIPVEDLLEFGMGLRLFKDVEYVHEGRDRIYKLIDMLKGSHLLLEGDDIWNESVKMHDHVRDVAISLASRNKQWHTLQSQARINEWQGKDWYKNCTAISLLCEDIKKLKDHLKCPNLELLQLWHDCQNDCQLQSLPINVLEGMKGLKVLSIASRIPSLPQSIDVLKNLQTLCLWNDRLNEMHTIGDLVKLEILEVRSYRLEELPAEIGNLKNLRLLNLRRVENLRYIPPDVLVGLSKLEELYLPLRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKASVFRNLIRFHIFVGDSKVHIVHKDSENVLHLKGDASDIKGSGICVLLRKVEVLCLEEVKNLKKIVNEIEDNSFADLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYECDELKYFIPLSMARELRQLHSMTVVSCEKMEGIFYRNKVNDDEIESPLTTLCLDDLPNFIGFIYKDIEESSASEMNNRMEIVQSKTEPVEKISILFSSLWLRLSKLQKLILYNCGLVKALFSPSVAQQFAQLKELNISACCMMEYIVAEAKEEEKNKGVSKIAFPNLTKLDLRNLPELVAFFADNDISFELYSLVYLKIFSCPKLKTHYCETPDSSTLNKSYDQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTLFFPPSSPLLNLRELHIFQCHFQEAAFPLSVAQQLVQLKDLIIWSCEKMEDIVAKDKGRSKIVLFPSLTYLDLSHLPNLMGFCKDNNVSLEWSLLERLRFYKIVSFKIVSVPKSSTLSTSAEVDHLDSTFCATLIPRKRKKQDNIFSKEVSLIKNQRDPSVSNIDESCAFPSKLIQQLKNLKHLMIHDSDSVEVIFSFEGLINGVLNSVEKIQLVSLPNLKHVWFKIPPEITAFQNLRELIVADCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEIEEISMQKIEFPQLRSLTLEDLPNLNSFCNTIYALEFPFLETLEFQNCKRMETFSYGSLSMPKLEKVVINGRLGSDPNLNAKMSELLKMNQ >Manes.13G089400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:20637659:20641841:-1 gene:Manes.13G089400.v8.1 transcript:Manes.13G089400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRQILKKTLQEAKLKLLHHHRIPLCSSFSMLPSYTAPAADASPPQLPLFDYQPKPYNGPLADEILQKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDERGRRYLDAFAGIVTVSCGHCHPEVLNAIVEQSKLLQHATTIYLHHAIADFAEALAAKMPGNLKVVYFVNSGSEANELAMLMARLYSGNLEMISLRNAYHGGSSSTIGLTALNTWKYPIPQGEIHHVINPDPYRGIFGSDATGYAKDVQDHIEYGTSGKVAGFISETIQGVGGAVELAPGYLKMVYDIVREAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPKIANVMAQKIQFNTFGGNPVCSAGGLAVLRVIDKEKRQEHCANVGSHLIGRLRALQKRHEIIGDVRGRGLMVGIELVTDKKEKTPAKAETAVLFEKLKDLGILVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYSMSKL >Manes.11G034700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3392425:3392976:-1 gene:Manes.11G034700.v8.1 transcript:Manes.11G034700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGEAIASTYTKTNSFSKPPRLSIDNNLHRTISDISYELSKEAIDIKQLPTISEVEDAKCECCGMSEECTPEYIDRVRNKFLGKWICGLCAEAVKEEKEKNGGKIEEALNAHMNACARFNKLGRAYPVLFQAEAMREMLKKSTRRGQSISPKGAQKNGGIARSSSCIAAITRDMDNLTIAN >Manes.S022953.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251224.1:594264:594923:-1 gene:Manes.S022953.v8.1 transcript:Manes.S022953.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGARSSMESDPSEWESEPFPLARSGGEDRARDPERSVEVCREVMVQRRDVSLQVNMDEESMEKSKDSKSSSSGEVDPSMLSTATKRGRKWGRARAPKQWGKTRKGRLWKRFRLDAEDGSSFGRGPTRCLRCGRLHRGPCRVGTTACFRCGQEGHFARDCPTAPRRVWSQQRAAGDVAQASVPGRGADTSNAVMPGTLTCSCSDVCVCALVCFPFLLLL >Manes.04G156000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35096657:35105312:1 gene:Manes.04G156000.v8.1 transcript:Manes.04G156000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFRPPQFSEDLAWQPAWLQNLQVESSATNHINEHQTIITSQLETLSREEGRYNSCHLFLSGEDTSQNSVPSTGNALHLCLRLSSYEESTHNQSQELCASQALRGSSKVLLVPQVETCGGSQENANQSKVDGGVKVSQMTSIPKALEKVDPQSPTNNEELGRHLEEKVHHSAGAVKINSISPTMVNAGFLTHTDHVDGGQHEDKFNVRHIKDADTSEAVELSIAASEALVIHELMMTGSALEFLPTETILAATLRVKLARLEASEDGICCSSEDMVEIENLSDLDDSVMENAFLDVGLPRSNLDDRHAWSSDVSRVKDTPVLENVASLVDPFLYQPAEKISHVSASERRLDDVSSSPALISENAEEGREYSLVADRFRSRWLGGWTVKEVDASAKLKQNKTKRIPNLFNGETSSLSESADIAADKNSFVRKLESGSKIASVSSTPLELDKADVGILVSQEVGSSNQSLVDPLCSVVPCSISSENASYPLAWDQDDREIDAENCFSSKSGHKMENFQRMSDLNVEAVHVDREPMPRTTGECFGAPVRRQLDSLRTYSTLLPKTDAILRHQNQLLSSEHVELPLSNRFVHCHRTDDHKSSKWFLPPRIEFEGTNGRDHEQNQDTLVLRCPVPDCDEPAKDGVELQPQPSVSRTSPLILNQRTRCRLQPSEFLDKKLSGERSPEQIVAQGNVWKDIQRNSCEKIKSKLQHPSNAPNMVRKRVCFSEVEVELQQGEDVQKPKNSHANCFASRANKRSKYSKSWSDTWTRDVKSCLTSHINDVKISMFHGLEFLLTGFSSQKEREIVRLIQEYGGMVLLDVPSPPSNSRAKRRARSNFQKLPIVVCSKKLQTTKFLYGCAVNAPILKVKWLADSVAAGSVVPPEKYMVLPSQAGLQYKKIGKSDYLDDSNRIFYRVGIMLHGKHSFCTKLAIIIKHGGGQVFRTLQRLFQNLDTEKVSVGAIVAEDESRASRHLRQCALERKIPVMPASWIAKSLHFGKLLPFKEGDDTPTIKVAKSATSLDWSEEI >Manes.04G156000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35096436:35105564:1 gene:Manes.04G156000.v8.1 transcript:Manes.04G156000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFRPPQFSEDLAWQPAWLQNLQVESSATNHINEHQTIITSQLETLSREEGRYNSCHLFLSGEDTSQNSVPSTGNALHLCLRLSSYEESTHNQSQELCASQALRGSSKVLLVPQVETCGGSQENANQSKVDGGVKVSQMTSIPKALEKVDPQSPTNNEELGRHLEEKVHHSAGAVKINSISPTMVNAGFLTHTDHVDGGQHEDKFNVRHIKDADTSEAVELSIAASEALVIHELMMTGSALEFLPTETILAATLRVKLARLEASEDGICCSSEDMVEIENLSDLDDSVMENAFLDVGLPRSNLDDRHAWSSDVSRVKDTPVLENVASLVDPFLYQPAEKISHVSASERRLDDVSSSPALISENAEEGREYSLVADRFRSRWLGGWTVKEVDASAKLKQNKTKRIPNLFNGETSSLSESADIAADKNSFVRKLESGSKIASVSSTPLELDKADVGILVSQEVGSSNQSLVDPLCSVVPCSISSENASYPLAWDQDDREIDAENCFSSKSGHKMENFQRMSDLNVEAVHVDREPMPRTTGECFGAPVRRQLDSLRTYSTLLPKTDAILRHQNQLLSSEHVELPLSNRFVHCHRTDDHKSSKWFLPPRIEFEGTNGRDHEQNQDTLVLRCPVPDCDEPAKDGVELQPQPSVSRTSPLILNQRTRCRLQPSEFLDKKLSGERSPEQIVAQGNVWKDIQRNSCEKIKSKLQHPSNAPNMVRKRVCFSEVEVELQQGEDVQKPKNSHANCFASRANKRSKYSKSWSDTWTRDVKSCLTSHINDVKISMFHGLEFLLTGFSSQKEREIVRLIQEYGGMVLLDVPSPPSNSRAKRRARSNFQKLPIVVCSKKLQTTKFLYGCAVNAPILKVKWLADSVAAGSVVPPEKYMVLPSQAGLQYKKIGKSDYLDDSNRIFYRVGIMLHGKHSFCTKLAIIIKHGGGQVFRTLQRLFQNLDTEKVSVGAIVAEDESRASRHLRQCALERKIPVMPASWIAKSLHFGKLLPFKEGDDTPTIKVAKSATSLDWSEEI >Manes.04G156000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35096418:35105563:1 gene:Manes.04G156000.v8.1 transcript:Manes.04G156000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFRPPQFSEDLAWQPAWLQNLQVESSATNHINEHQTIITSQLETLSREEGRYNSCHLFLSGEDTSQNSVPSTGNALHLCLRLSSYEESTHNQSQELCASQALRGSSKVLLVPQVETCGGSQENANQSKVDGGVKVSQMTSIPKALEKVDPQSPTNNEELGRHLEEKVHHSAGAVKINSISPTMVNAGFLTHTDHVDGGQHEDKFNVRHIKDADTSEAVELSIAASEALVIHELMMTGSALEFLPTETILAATLRVKLARLEASEDGICCSSEDMVEIENLSDLDDSVMENAFLDVGLPRSNLDDRHAWSSDVSRVKDTPVLENVASLVDPFLYQPAEKISHVSASERRLDDVSSSPALISENAEEGREYSLVADRFRSRWLGGWTVKEVDASAKLKQNKTKRIPNLFNGETSSLSESADIAADKNSFVRKLESGSKIASVSSTPLELDKADVGILVSQEVGSSNQSLVDPLCSVVPCSISSENASYPLAWDQDDREIDAENCFSSKSGHKMENFQRMSDLNVEAVHVDREPMPRTTGECFGAPVRRQLDSLRTYSTLLPKTDAILRHQNQLLSSEHVELPLSNRFVHCHRTDDHKSSKWFLPPRIEFEGTNGRDHEQNQDTLVLRCPVPDCDEPAKDGVELQPQPSVSRTSPLILNQRTRCRLQPSEFLDKKLSGERSPEQIVAQGNVWKDIQRNSCEKIKSKLQHPSNAPNMVRKRVCFSEVEVELQQGEDVQKPKNSHANCFASRANKRSKYSKSWSDTWTRDVKSCLTSHINDVKISMFHGLEFLLTGFSSQKEREIVRLIQEYGGMVLLDVPSPPSNSRAKRRARSNFQKLPIVVCSKKLQTTKFLYGCAVNAPILKVKWLADSVAAGSVVPPEKYMVLPSQAGLQYKKIGKSDYLDDSNRIFYRVGIMLHGKHSFCTKLAIIIKHGGGQVFRTLQRLFQNLDTEKVSVGAIVAEDESRASRHLRQCALERKIPVMPASWIAKSLHFGKLLPFKEGDDTPTIKVAKSATSLDWSEEI >Manes.08G161900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39738201:39739493:-1 gene:Manes.08G161900.v8.1 transcript:Manes.08G161900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSIRDYQITYDITFFTDQIHTLVTNTPSLVDQWITETQQLLHQNPTIVGLDVEWRPNDRYTKNRIATLQLCIDHRCLIFQLIHSPFIPQSLVEFLLSKNFVFVGVEIGNDVEKLVEDYGLSVRNTVDLRNLAAEKLGIRKNAGLKNLAKEVLGKEINKPEIVTRSEWDNQWLTSDQVQYACLDAFVSSEIGRRLNSSSSSSSSASASASSSASASASSSASALLRLLLRRLRLLLRLLLLLLLLLLLLLLLLED >Manes.15G181200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23843526:23847994:-1 gene:Manes.15G181200.v8.1 transcript:Manes.15G181200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYNVEPINDGINEFNVEFHGPKESLYEGGVWKIHVELPDTYPYKSPSIGFLNKIFHPNVDEMSGSICLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMMKDKQQYEEKVKEYCERYAKKENITITLSEESNEDISDEDVSDGERTSSDDNVAGDADP >Manes.15G181200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23843526:23847994:-1 gene:Manes.15G181200.v8.1 transcript:Manes.15G181200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYNVEPINDGINEFNVEFHGPKESLYEGGVWKIHVELPDTYPSGSICLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMMKDKQQYEEKVKEYCERYAKKENITITLSEESNEDISDEDVSDGERTSSDDNVAGDADP >Manes.15G181200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23843526:23848027:-1 gene:Manes.15G181200.v8.1 transcript:Manes.15G181200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYNVEPINDGINEFNVEFHGPKESLYEGGVWKIHVELPDTYPYKSPSIGFLNKIFHPNVDEMSGSICLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMMKDKQQYEEKVKEYCERYAKKENITITLSEESNEDISDEDVSDGERTSSDDNVAGDADP >Manes.15G181200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23843508:23848075:-1 gene:Manes.15G181200.v8.1 transcript:Manes.15G181200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRRDMDVMKLMMSDYNVEPINDGINEFNVEFHGPKESLYEGGVWKIHVELPDTYPYKSPSIGFLNKIFHPNVDEMSGSICLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMMKDKQQYEEKVKEYCERYAKKENITITLSEESNEDISDEDVSDGERTSSDDNVAGDADP >Manes.02G177900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14038582:14041735:1 gene:Manes.02G177900.v8.1 transcript:Manes.02G177900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATVPVPVHVNGGKAGILAEDHFGLMAVIDVEPLPTVVSADDLVVGDEGKKQNGREIVLGRNVRTESLEVTEPDANDEFTGDKEAYMAGVLARYRKTLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNEYWGYITNCGTEGNLHGILVGREVLPDGILYASRESHYSVFKAARMYRMECVKIDCLITGEIDCADFKVKLLANKDKPAIINVNIGTTVKGAVDDLDSVIQTLEESGFTHDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHVNVLSRNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLCDAGISAMLNELSSTVVFERPRDEKFVRRWQLACQGNIAHVVVMPSITIEKLDDFLNEFIEKRSTWYQDGQVQPPCIAADVGNENCACALHK >Manes.13G085400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13842348:13849222:1 gene:Manes.13G085400.v8.1 transcript:Manes.13G085400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSNGGPAFRFMANEVMEMEGILQDHHNTMPAREVLVALAEKFSESPERKGKIVVQMKQVWNWFQNRRYAIRAKSSKAPVKLNVTPMAREESAPVRSVPQPVAASIPTPVSTTTVAPSVSTAGRVITEISYTEFEAKSARDGAWYDVGTFLSHRHLDTGDPEVLVRFAGFGPDEDEWVNIRKHVRQRSLPCEASECVAVLPGDLILCFQEGKDQALYFDAHVLDAQRRRHDIRGCRCRFLVRYDHDLSEEIVPLRKVCRRPETDYRLQQLHAANDMATSDHQKTSTDPSTTSIQRITAESVQRQQNAHVTAGPVSHAKISLPAQKMTPEPKTAEISNTVNVGNPTLLPSSTLN >Manes.13G085400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13842348:13849222:1 gene:Manes.13G085400.v8.1 transcript:Manes.13G085400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSNGGPAFRFMANEVMEMEGILQDHHNTMPAREVLVALAEKFSESPERKGKIVVQMKQVWNWFQNRRYAIRAKSSKAPVKLNVTPMAREESAPVRSVPQPVAASIPTPVSTTTVAPSVSTAGRVITEISYTEFEAKSARDGAWYDVGTFLSHRHLDTGDPEVLVRFAGFGPDEDEWVNIRKHVRQRSLPCEASECVAVLPGDLILCFQEGKDQALYFDAHVLDAQRRRHDIRGCRCRFLVRYDHDLSELSNLLILRKLCHSERSVVGLKQTTGCSNFMLQMTWQPVITRKLVRILLRPASKGLLPKVCRGNKMLMSQQAPFLMLKFLYLPKK >Manes.18G018400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1869283:1871698:-1 gene:Manes.18G018400.v8.1 transcript:Manes.18G018400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFCLFSLLICILLSSTFAATVSPDEVEDPLIRQVVPDAEDHLLNAEHHFTTFKASFGKNYATQEEHDYRFKVFKANLRRARKHQMMDPTAVHGVTKFSDLTPREFRRQYLGLKRLRLPADAHEAPILPTNDLPTDFDWRDKGAVTNVKDQGSCGSCWSFSATGALEGAHFLSTGKLVSLSEQQLVDCDHECDPEEYGACDSGCNGGLMTSAFEYTLKAGGLEREEDYPYTGTDRGSCKFDRSKIAASVSNFSVISIDEDQIAANLVNHGPLAVGINAMFMQTYIGGVSCPYICSKRQDHGVLLVGYGAAGYAPIRFKEKPFWIIKNSWGENWGENGYYKICRGRNICGVDAMVSSVAAIHATSQ >Manes.14G147650.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15615762:15616677:-1 gene:Manes.14G147650.v8.1 transcript:Manes.14G147650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSLQFYSHFSHFISFLSFSLLFYYFLFLPHYFYPLVFFLFSFFFLLFSLYFFTSILFFLFFFHLSYNFIFLLFFLIFFSFLIFFIFLHNFLFSSFFSSSIFFLIIYLLFPNFIFLILFYHLHFSFLITTKRIL >Manes.11G044500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4819131:4826331:1 gene:Manes.11G044500.v8.1 transcript:Manes.11G044500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKEMENEENEPKFPGFPYKPYLIQMDFMKALYRSLDKGGVSMLESPTGTGKTLSIICSSLQWVYDQRQKQKDRAKAESDRNQSDHGEIGSDDEPDWMRNFVANTEVRKQEKKVKKKFGLGKSCERKNQDPEVIPRVLEEEDCHLKKDGVDLNDEEFLLDEYESDDEVSIGSGKSKRKVGGCLASSSSDEEGENDASDEEEEKDLKIYFCSRTHSQLSQFIKELRKTRFTNEITVVCLGSRKNFCINEDVLKLGNVTRINERCLELQKSRKNVVSKIKNIGANGRTRRTKASSGCPMLRKHKLQRQYRDEVSQLGALDIEDLVQLGRGMGTCPYYGSRSLVPVADLVVLPYQSLLSKSSREFLGLNLKKSIVIIDEAHNLADSLISMHDAKITLSELDHVHSLIEKYLARFYNLLGPGNRRYIQTLMVVTRALRQTLCSEMDLSRGNTFQVEEKAAEVKPVLDISMAINDFLFSLNIDNINLVKLLQYIKESNFIHKVSNYGEKVTSLQKDPVLNGSGACGEERSSLSSFRALVDMLVSLTNTDGDGRIIISKSRSACSGRQGGFLKYVMLTGEKIFSEIVNQAHAIILAGGTLQPVEETRERLFPWLPQSQLHFFSCSHIVPPESILPIAVSRGPCGQLFDFSYSSRSSLKMIEELGLLLCNLVAVVPEGIVVFFSSFEYEGKVYDAWKTSGILERIMKRKRIFREPRRNPDVERVLKEYKETIDGLSTHAKDVAPHNGAILLAVVGGKISEGINFSDGMGRCIVMVGVPYPSPSDVELIERVKYIESLGEPNPVETRKTSVSDEYFNGDVQAAFSILRSCKRRGQEYYENLCMKAVNQSIGRAIRHIKDYAAILLVDTRYASDSSRRSFSHQTNKLPQWIKDRLVPSTNNYGEVHRLLHQFFKFNKKRELQ >Manes.11G044500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4819131:4826331:1 gene:Manes.11G044500.v8.1 transcript:Manes.11G044500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFVANTEVRKQEKKVKKKFGLGKSCERKNQDPEVIPRVLEEEDCHLKKDGVDLNDEEFLLDEYESDDEVSIGSGKSKRKVGGCLASSSSDEEGENDASDEEEEKDLKIYFCSRTHSQLSQFIKELRKTRFTNEITVVCLGSRKNFCINEDVLKLGNVTRINERCLELQKSRKNVVSKIKNIGANGRTRRTKASSGCPMLRKHKLQRQYRDEVSQLGALDIEDLVQLGRGMGTCPYYGSRSLVPVADLVVLPYQSLLSKSSREFLGLNLKKSIVIIDEAHNLADSLISMHDAKITLSELDHVHSLIEKYLARFYNLLGPGNRRYIQTLMVVTRALRQTLCSEMDLSRGNTFQVEEKAAEVKPVLDISMAINDFLFSLNIDNINLVKLLQYIKESNFIHKVSNYGEKVTSLQKDPVLNGSGACGEERSSLSSFRALVDMLVSLTNTDGDGRIIISKSRSACSGRQGGFLKYVMLTGEKIFSEIVNQAHAIILAGGTLQPVEETRERLFPWLPQSQLHFFSCSHIVPPESILPIAVSRGPCGQLFDFSYSSRSSLKMIEELGLLLCNLVAVVPEGIVVFFSSFEYEGKVYDAWKTSGILERIMKRKRIFREPRRNPDVERVLKEYKETIDGLSTHAKDVAPHNGAILLAVVGGKISEGINFSDGMGRCIVMVGVPYPSPSDVELIERVKYIESLGEPNPVETRKTSVSDEYFNGDVQAAFSILRSCKRRGQEYYENLCMKAVNQSIGRAIRHIKDYAAILLVDTRYASDSSRRSFSHQTNKLPQWIKDRLVPSTNNYGEVHRLLHQFFKFNKKRELQ >Manes.11G044500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4819131:4826331:1 gene:Manes.11G044500.v8.1 transcript:Manes.11G044500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKEMENEENEPKFPGFPYKPYLIQMDFMKALYRSLDKGGVSMLESPTGTGKTLSIICSSLQWVYDQRQKQKDRAKAESDRNQSDHGEIGSDDEPDWMRNFVANTEVRKQEKKVKKKFGLGKSCERKNQDPEVIPRVLEEEDCHLKKDGVDLNDEEFLLDEYESDDEVSIGSGKSKRKVGGCLASSSSDEEGENDASDEEEEKDLKIYFCSRTHSQLSQFIKELRKTRFTNEITVVCLGSRKNFCINEDVLKLGNVTRINERCLELQKSRKNVVSKIKNIGANGRTRRTKASSGCPMLRKHKLQRQYRDEVSQLGALDIEDLVQLGRGMGTCPYYGSRSLVPVADLVVLPYQSLLSKSSREFLGLNLKKSIVIIDEAHNLADSLISMHDAKITLSELDHVHSLIEKYLARFYNLLGPGNRRYIQTLMVVTRALRQTLCSEMDLSRGNTFQVEEKAAEVKPVLDISMAINDFLFSLNIDNINLVKLLQYIKESNFIHKVSNYGEKVTSLQKDPVLNGSGACGEERSSLSSFRALVDMLVSLTNTDGDGRIIISKSRSACSGRQGGFLKYVMLTGEKIFSEIVNQAHAIILAGGTLQPVEETRERLFPWLPQSQLHFFSCSHIVPPESILPIAVSRGPCGQLFDFSYSSRSSLKMIEELGLLLCNLVAVVPEGIVVFFSSFEYEGKVYDAWKTSGILERIMKRKRIFREPRRNPDVERVLKEYKETIDGLSTHAKDVAPHNGAILLAVVGGKISEGINFSDGMGRCIVMVGVPYPSPSDVELIERVKYIESLGEPNPVETRKTSVSDEYFNGDVQAAFSILRSCKRRGQEYYENLCMKAVNQSIG >Manes.08G011200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1318611:1322639:1 gene:Manes.08G011200.v8.1 transcript:Manes.08G011200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSALCFSHLPSFPSISRSRDSNSFLSTSPLSFLCTRSNFSNSLPRPSRSFHFTSKASDSDNFIGDDSLGSFPWSDGVNAIEWIQEEKVTLFTADGLVQIGGSVVPRLVSSSDKKQGKSKTSQRFQRFQESDYMDPKQGLCLGALFDIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKAIKGGLHEKLTMTVAVPYLWGVPPASETLRLAVRSGGGIVEKVYWQWDFL >Manes.12G118500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31965995:31968312:1 gene:Manes.12G118500.v8.1 transcript:Manes.12G118500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCTTIYISHLLSTSPLHHHIHSLLFSSLLLLRSPTKLIHPIISQILSIILCSSFFPLSLTHCLICTSLFVEETIPKMRLLSRKATCNTHGQDSSYFLGWEEYEKNPYDEIKNPTGIIQMGLAENQLSFDILESWLANNPDAAGFKKDGQSIFRELALFQDYHGLPAFKKALVDFMAEIRGNRVSFDQDKIVLTAGATSANETLIFCLAEPGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNGFQITAPALEEAYLEAQKRNLRVKGILVTNPSNPLGTTMSRSELNLLVNFITSKGIHLISDEIYSGTAFSSPGFVSIMEVLKDKKCENTEVWKRVHVVYSLSKDLGLPGFRVGAIYSNDELVVSAATKMSSFGLVSSQTQYLLSALLSDTKFTKNYLSENQRRLKERQKLLVKGLEKAGISCLKSNAGLFCWVNMKHLLRTNSFEAEMELWKKIVYDVKLNISPGSSCHCTEPGWFRVCFANMSEETLNLAMKRLKSFVDSTTMTSSHHQMLKNSRKRSLTKWVLRLSFHDDREPEER >Manes.02G193866.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15730051:15733089:1 gene:Manes.02G193866.v8.1 transcript:Manes.02G193866.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTQNQQDVFRPSGNYPPTIWGCSFASLSSLDSEFESYTKEVEILKEKIKDVLEQPTKELIGKIEFIKLLCRLGVSYHFRNEIKDQLNQIFIHLSELLEDNDCDLNIIATAFQILREHGYKMYSDVFNKFKDSDGGFKKTITNDVKGLLSLYEATFFRGHGEDILDEALAFTRQHLEILAEQSSPHLANYIKKALVLPFHRNLERLEAKKYIAFYEEEESKDETLLRFAKLDYNRLQLLYRQELASLSRWWKDSDVAEKLDYSRDRIVEAYMWAIGPHFEPQYSVSRILVAKFIEILTLIDDTYDGYATIDEVQHFTAAIERWNIGAIDDLPEYMKILYKFTLNFLMKWKKMATILVMRRRHSKKW >Manes.07G045250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5152632:5159550:1 gene:Manes.07G045250.v8.1 transcript:Manes.07G045250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILAPDVVVDETWFSDPAVCAERKLWYQLGKTLAQQAAWEFAKNNRMNLVTIHPVFVLGPLLQPTINNSIEILSNLINGAQEYPDAYYRSIDVRDVAYAHIQALEIPSASGRYCLIERDVHFSKVLKIVQQHYPTLHLPKKCGSGLNYLTKYGVSKEK >Manes.08G080700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23235257:23245936:1 gene:Manes.08G080700.v8.1 transcript:Manes.08G080700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKPQQVTYIVPGVENFDYTEISKFIQKAQDNLDPSLLEFAWVELLEKNKSVTPEELAEMIFGSVEPLESYCAHLLLSKDEIYFTVLETRGSCALYGPRPATQVEELINRKLAREAAEKDLHEFLQLLKSAKAMPSHAKPSKSSWMIEEKIQEKIESLEAYAIDACKSDGQKKTAGMILKAMGMAKAASSAVNLLIDIGYFPVHVNLDLLKLNIATDHSDEVISAAENLVLASVDPDKTNRKDLTDLKVYAIDVDEADELDDALSATRLQDGRIKVWIHVADPAQYVQPGSTMDRTAMRRGTSVFLPTATYPMFPEKLAMEGMSLKQGEVCNAVSVSVVLHPDGCIAEYSVDNSIIKPTYMLTYESASELLHLNLEEEAELRLLSEAAARRLQWRRQQGAVDTTTLETRIKVANPEDPEPSINLYVENQADPAMRLVSEMMILCGEVIATYGSCHNIPLPYRGQPQSNIDVSAFAHLPEGPVRSAAIVRIMRAAEFDFRKPIRHGILGIPGYVQFTSPIRRYMDLLAHYQVKAFLRGESPPFSAGQLEGMASIVNMQARVARRLCNSSLRYWIIEFLKRQPKERRYHALILRFIKDRVAALLLVEVGFQASAWVSVGRHIGDEIQVLVEEAHARDDILSLKEVIQGN >Manes.08G080700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:23235257:23245936:1 gene:Manes.08G080700.v8.1 transcript:Manes.08G080700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALRAVNTCSLFRTASPPPLFALQYHFCHFKTLQYGRYIKFGFQSNIIRCDSFYCHGGSIRSCSVHSLVNSVMEELAAIRKRKRVCSAIKLTSSGELLNDKLVNRVLEKGLLLEFKKDSDRVLLAVAQRPDGKKNWMVYDQNGVMSSIKPQQVTYIVPGVENFDYTEISKFIQKAQDNLDPSLLEFAWVELLEKNKSVTPEELAEMIFGSVEPLESYCAHLLLSKDEIYFTVLETRGSCALYGPRPATQVEELINRKLAREAAEKDLHEFLQLLKSAKAMPSHAKPSKSSWMIEEKIQEKIESLEAYAIDACKSDGQKKTAGMILKAMGMAKAASSAVNLLIDIGYFPVHVNLDLLKLNIATDHSDEVISAAENLVLASVDPDKTNRKDLTDLKVYAIDVDEADELDDALSATRLQDGRIKVWIHVADPAQYVQPGSTMDRTAMRRGTSVFLPTATYPMFPEKLAMEGMSLKQGEVCNAVSVSVVLHPDGCIAEYSVDNSIIKPTYMLTYESASELLHLNLEEEAELRLLSEAAARRLQWRRQQGAVDTTTLETRIKVANPEDPEPSINLYVENQADPAMRLVSEMMILCGEVIATYGSCHNIPLPYRGQPQSNIDVSAFAHLPEGPVRSAAIVRIMRAAEFDFRKPIRHGILGIPGYVQFTSPIRRYMDLLAHYQVKAFLRGESPPFSAGQLEGMASIVNMQARVARRLCNSSLRYWIIEFLKRQPKERRYHALILRFIKDRVAALLLVEVGFQASAWVSVGRHIGDEIQVLVEEAHARDDILSLKEVIQGN >Manes.01G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:16762663:16765209:1 gene:Manes.01G047000.v8.1 transcript:Manes.01G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPPKRRRKEHGEDTNDDSPIPPPVVVFAHGAGAPSSSDWMIRWKDMLKNALHAIEVVTFDYPYISGGKKRAPPKAEKLVDFHKDIVKKAVAKYPEHPLILAGKSMGSRVSCMVAAEGDIAASAVICLGYPLKGVNGAVRDETLLQLDIPIMFVQGSKDGLCPLDKLEAVCKKMKSLNELYVIDGGDHSLKIAKKHLQMMGLAQDKAEDLAIQAVASFVSRCIGGK >Manes.18G009400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1180940:1184936:1 gene:Manes.18G009400.v8.1 transcript:Manes.18G009400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKHSRIQARKWSTFSLVLTMLFMLTVVLLMLLALGIFSLPISSDDAPPNDLITSYRRMAAKRDGDGLGKRGEQWTQILSWEPRAFLYHNFLSKEECEYLITLAKPHMVKSTVVDSKTGQSKDSRVRTSSGMFLRRGQDKTIKSIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHYDYFLDEFNTKNGGQRTATVLMYLSDIEEGGETVFPAAKGNITSVPWWNELSECGKQGLSVKPKMGNALLFWSTRPDASLDPSSLHGGCPVIRGNKWSATKWMHLGEYNV >Manes.18G009400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1180940:1184936:1 gene:Manes.18G009400.v8.1 transcript:Manes.18G009400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKHSRIQARKWSTFSLVLTMLFMLTVVLLMLLALGIFSLPISSDDAPPNDLITSYRRMAAKRDGDGLGKRGEQWTQILSWEPRAFLYHNFLSKEECEYLITLAKPHMVKSTVVDSKTGQSKDSRVRTSSGMFLRRGQDKTIKSIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHYDYFLDEFNTKNGGQRTATVLMYLSDIEEGGETVFPAAKGNITSVPWWNELSECGKQGLSVKPKMGNALLFWSTRPDASLDPSSLHGGCPVIRGNKWSATKWMHLGEYNV >Manes.18G009400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1180854:1185995:1 gene:Manes.18G009400.v8.1 transcript:Manes.18G009400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKHSRIQARKWSTFSLVLTMLFMLTVVLLMLLALGIFSLPISSDDAPPNDLITSYRRMAAKRDGDGLGKRGEQWTQILSWEPRAFLYHNFLSKEECEYLITLAKPHMVKSTVVDSKTGQSKDSRVRTSSGMFLRRGQDKTIKSIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHYDYFLDEFNTKNGGQRTATVLMYLSDIEEGGETVFPAAKGNITSVPWWNELSECGKQGLSVKPKMGNALLFWSTRPDASLDPSSLHGGCPVIRGNKWSATKWMHLGEYNV >Manes.18G009400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1180858:1185995:1 gene:Manes.18G009400.v8.1 transcript:Manes.18G009400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKHSRIQARKWSTFSLVLTMLFMLTVVLLMLLALGIFSLPISSDDAPPNDLITSYRRMAAKRDGDGLGKRGEQWTQILSWEPRAFLYHNFLSKEECEYLITLAKPHMVKSTVVDSKTGQSKDSRVRTSSGMFLRRGQDKTIKSIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHYDYFLDEFNTKNGGQRTATVLMYLSDIEEGGETVFPAAKGNITSVPWWNELSECGKQGLSVKPKMGNALLFWSTRPDASLDPSSLHGGCPVIRGNKWSATKWMHLGEYNV >Manes.12G009700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:994043:996029:-1 gene:Manes.12G009700.v8.1 transcript:Manes.12G009700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDSVAKAFVEHYYTTFDANRAGLANLYQEGSMLTFEGQKIQGSQNIVSKLISLPFQQCQHSITTVDCQPSGPAGGMLVFVSGNLQLTGEQHALKFSQMFHLIPTPQGSFYVLNDIFRLNYA >Manes.06G129350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25910429:25912637:1 gene:Manes.06G129350.v8.1 transcript:Manes.06G129350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHCIIAAHCVLIVILVVATTVSSDDTTPIPADDSKVSDWFKTMVKPLVSRKGTLDPALEAAEAKSRTITLSKDGRGEFKTLTDAIKSIPSDNKQRVIIKISPGVYTEKLQIERNKPFITLLGDPKAMPILAFGGTAHQYGTLYSATIAVESEYFMAVNIIFKNTAPGPITKNPGAQAVALRVSGDKAAFYNCKMLGFQDTLCDDNGRHFFKNCYIEGTVDFIFGKGRSLYLESQINVIDNKGVTFITAHSKEKKSDVVGYSFVQCKITGSASGAYLGRAWRAMPEVVFSYTEMGRVVNPLGWSNNNKPERERTVFFAEYENSGPGSNPKRRVKFDKQLTDKEAKNFLTLGYIQGSKWLLPPPM >Manes.11G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:3176286:3180557:-1 gene:Manes.11G033100.v8.1 transcript:Manes.11G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCLKNGEACPQLLDLIPREREWLVKRADERSSEEKKLELRLGPPGEEWCLGETAKTADNRERDESLLSVGYSSNGNQQNHKFSSPENLQSGSVWFNQQVSQQAKATPFLQFASKTVPPPPPPPPPQSLPVMAKESSQPCCIKVAVDLQQSAEKKAFSPPAPANTAVPNSSQKRTAPGPVVGWPPIRSFRKNLGSSSSSKPASDSQNEGPSEKVVGEKPVETCKKGMFVKINMDGVPIGRKVDLQAYDSYEKLSTAVDELFRGLLTAQRDSSAGGIMSEQKEEKANIGILNRSGEYTLVYEDNEGDRMLVGDVPWQMFVSTVKRLRVLKSSEVSALSLGSNKQGKESMK >Manes.08G072951.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14034891:14108081:-1 gene:Manes.08G072951.v8.1 transcript:Manes.08G072951.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVYRAVEAGGKTKLTHKVRSYADTVVYHAGNSVVEGAKIITHRIGAGNSKDFRLTVKRLEEVSVSCRGMERIELLRRWLVALKEAERSSAAYSENYYRHLNEPIISDEAKEPPNIPTLDYYVDPDLGKMSFHNVFLYSRALEGMTLSVILEAPNEEEVSLLLEIFGLCLAGGKEVHKEVMNNIQDLASTFSSYQDEVLVKRDELLQYAQCAISGLKINADVIRIDAEVCSLMGKLDKMKTFHQLSNGAGEQSFEETEFNKAVEETLEQIELCFTLETLLLKKKSLSNGDSPELHAGKELANEIEDLEKQKDELEAALKKVNSSLIAARARLHNVREEQEQFVHASSEIVVHLEAREDELSRSMSLYRLEADVVNAWINFLQDTWAARTTHVEQKEKQVNAELEKYGDYFVNLVIHLLMSHKEQLGPSITRVKGYLEDFHSFQRPEIAPSVKDNDTNIENQRKIIEKEYLDLEGKLLTTSRTMENVKKQFYNGSKGIYRKDDERVKELFSAIEKMKEEFDSIERPVFEVETPLQRSLSVSPRGKHTSKTVVDKHLRKVKSSLLLRRKSLILVELEELESELGKDDADYLPDEIGEWEFDGHHNELEVTKRPSSYGGAI >Manes.08G072951.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14034891:14108081:-1 gene:Manes.08G072951.v8.1 transcript:Manes.08G072951.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVYRAVEAGGKTKLTHKVRSYADTVVYHAGNSVVEGAKIITHRIGAGNSKDFRLTVKRLEEVSVSCRGMERIELLRRWLVALKEAERSSAAYSENYYRHLNEPIISDEAKEPPNIPTLDYYVDPDLGKMSFHNVFLYSRALEGMTLSVILEAPNEEEVSLLLEIFGLCLAGGKEVHKEVMNNIQDLASTFSSYQDEVLVKRDELLQYAQCAISGLKINADVIRIDAEVCSLMGKLDKMKTFHQLSNGAGEQSFEETEFNKAVEETLEQIELCFTLETLLLKKKSLSNGDSPELHAGKVDKLKVLSESLLNSMSKAERRIMENRFQKDEALSFRVAKASEVRQLEMELANEIEDLEKQKDELEAALKKEDELSRSMSLYRLEADVVNAWINFLQDTWAARTTHVEQKEKQVNAELEKYGDYFVNLVIHLLMSHKEQLGPSITRVKGYLEDFHSFQRPEIAPSVKDNDTNIENQRKIIEKEYLDLEGKLLTTSRTMENVKKQFYNGSKGIYRKDDERVKELFSAIEKMKEEFDSIERPVFEVETPLQRSLSVSPRGKHTSKTVVDKHLRKVKSSLLLRRKSLILVELEELESELGKDDADYLPDEIGEWEFDGHHNELEVTKRPSSYGGAI >Manes.08G072951.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14034891:14108081:-1 gene:Manes.08G072951.v8.1 transcript:Manes.08G072951.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVYRAVEAGGKTKLTHKVRSYADTVVYHAGNSVVEGAKIITHRIGAGNSKDFRLTVKRLEEVSVSCRGMERIELLRRWLVALKEAERSSAAYSENYYRHLNEPIISDEAKEPPNIPTLDYYVDPDLGKMSFHNVFLYSRALEGMTLSVILEAPNEEEVSLLLEIFGLCLAGGKEVHKEVMNNIQDLASTFSSYQDEVLVRRDELLQYAQCAISGLKINADVIRIDAEVCSLMGKLDKMKTFHQLSNGAGEQSFEETEFNKAVEETLEQIELCFTLETLLLKKKSLSNGDSPELHAGKVDKLKVLSESLLNSMSKAERRIMENRFQKDEALSFRVAKASEVRQLEMVNSSLIAARARLHNVREEQEQFVHASSEIVVHLEAREDELSRSMSLYRLEADVVNAWINFLQDTWAARTTHVEQKEKQVNAELEKYGDYFVNLVIHLLMSHKEQLGPSITRVKGYLEDFHSFQRPEIAPSVKDNDTNIENQRKIIEKEYLDLEGKLLTTSRTMENVKKQFYNGSKGIYRKDDERVKELFSAIEKMKEEFDSIERPVFEVETPLQRSLSVSPRGKHTSKTVVDKHLRKVKSSLLLRRKSLILVELEELESELGKDDADYLPDEIGEWEFDGHHNELEVTKRPSSYGGAI >Manes.08G072951.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14034891:14108082:-1 gene:Manes.08G072951.v8.1 transcript:Manes.08G072951.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVYRAVEAGGKTKLTHKVRSYADTVVYHAGNSVVEGAKIITHRIGAGNSKDFRLTVKRLEEVSVSCRGMERIELLRRWLVALKEAERSSAAYSENYYRHLNEPIISDEAKEPPNIPTLDYYVDPDLGKMSFHNVFLYSRALEGMTLSVILEAPNEEEVSLLLEIFGLCLAGGKEVHKEVMNNIQDLASTFSSYQDEVLVKRDELLQYAQCAISGLKINADVIRIDAEVCSLMGKLDKMKTFHQLSNGAGEQSFEETEFNKAVEETLEQIELCFTLETLLLKKKSLSNGDSPELHAGKVDKLKVLSESLLNSMSKAERRIMENRFQKDEALSFRVAKASEVRQLEMVNSSLIAARARLHNVREEQEQFVHASSEIVVHLEAREDELSRSMSLYRLEADVVNAWINFLQDTWAARTTHVEQKEKQVNAELEKYGDYFVNLVIHLLMSHKEQLGPSITRVKGYLEDFHSFQRPEIAPSVKDNDTNIENQRKIIEKEYLDLEGKLLTTSRTMENVKKQFYNGSKGIYRKDDERVKELFSAIEKMKEEFDSIERPVFEVETPLQRSLSVSPRGKHTSKTVVDKHLRKVKSSLLLRRKSLILVELEELESELGKDDADYLPDEIGEWEFDGHHNELEVTKRPSSYGGAI >Manes.08G072951.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14034891:14108081:-1 gene:Manes.08G072951.v8.1 transcript:Manes.08G072951.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVYRAVEAGGKTKLTHKVRSYADTVVYHAGNSVVEGAKIITHRIGAGNSKDFRLTVKRLEEVSVSCRGMERIELLRRWLVALKEAERSSAAYSENYYRHLNEPIISDEAKEPPNIPTLDYYVDPDLGKMSFHNVFLYSRALEGMTLSVILEAPNEEEVSLLLEIFGLCLAGGKEVHKEVMNNIQDLASTFSSYQDEVLVKRDELLQYAQCAISGLKINADVIRIDAEVCSLMGKLDKMKTFHQLSNGAGEQSFEETEFNKAVEETLEQIELCFTLETLLLKKKSLSNGDSPELHAGKVDKLKVLSESLLNSMSKAERRIMENRFQKDEALSFRVAKASEVRQLEMELANEIEDLEKQKDELEAALKKVNSSLIAARARLHNVREEQEQFVHASSEIVVHLEAREDELSRSMSLYRLEADVVNAWINFLQDTWAARTTHVEQKEKQVNAELEKYGDYFVNLVIHLLMSHKEQLGPSITRVKGYLEDFHSFQRPEIAPSVKDNDTNIENQRKIIEKEYLDLEGKLLTTSRTMENVKKQFYNGSKGIYRKDDERVKELFSAIEKMKEEFDSIERPVFEVETPLQRSLSVSPRGKHTSKTVVDKHLRKVKSSLLLRRKSLILVELEELESELGKDDADYLPDEIGEWEFDGHHNELEVTKRPSSYGGAI >Manes.08G072951.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14034891:14108081:-1 gene:Manes.08G072951.v8.1 transcript:Manes.08G072951.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFRSAVYRAVEAGGKTKLTHKVRSYADTVVYHAGNSVVEGAKIITHRIGAGNSKDFRLTVKRLEEVSVSCRGMERIELLRRWLVALKEAERSSAAYSENYYRHLNEPIISDEAKEPPNIPTLDYYVDPDLGKMSFHNVFLYSRALEGMTLSVILEAPNEEEVSLLLEIFGLCLAGGKEVHKEVMNNIQDLASTFSSYQDEVLVRRDELLQYAQCAISGLKINADVIRIDAEVCSLMGKLDKMKTFHQLSNGAGEQSFEETEFNKAVEETLEQIELCFTLETLLLKKKSLSNGDSPELHAGKVDKLKVLSESLLNSMSKAERRIMENRFQKDEALSFRVAKASEVRQLEMELANEIEDLEKQKDELEAALKKVNSSLIAARARLHNVREEQEQFVHASSEIVVHLEAREDELSRSMSLYRLEADVVNAWINFLQDTWAARTTHVEQKEKQVNAELEKYGDYFVNLVIHLLMSHKEQLGPSITRVKGYLEDFHSFQRPEIAPSVKDNDTNIENQRKIIEKEYLDLEGKLLTTSRTMENVKKQFYNGSKGIYRKDDERVKELFSAIEKMKEEFDSIERPVFEVETPLQRSLSVSPRGKHTSKTVVDKHLRKVKSSLLLRRKSLILVELEELESELGKDDADYLPDEIGEWEFDGHHNELEVTKRPSSYGGAI >Manes.02G208700.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25338576:25340402:1 gene:Manes.02G208700.v8.1 transcript:Manes.02G208700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTQNVFMQQPAQVPSTQAKNQIPTAQSVFMQQPTQVPSTQVSNQSPVTQSVFLQQPAQVPPTQAKNQIPTTRSVFFQQPAQVPSTQASNQIPATQSVFLQQTAQVPSIQQANNQIPTTENVLMQQPARVPSTQAKNQIPTTQSVFLQQTAPVPSTQAGNQIPTTQSVFLQQTAPVPSTQAGDYQIPKTQSVFFQQTAPVPSTHASNQIPKAQGVYLKQPGQVPLTQQANNLIPATQNVFMHVPPTQEKQVFNATNFAGGANPQGHQAESSTQSFWNALRASLMDNKNVPDLDLQL >Manes.02G208700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:25338576:25340446:1 gene:Manes.02G208700.v8.1 transcript:Manes.02G208700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTQNVFMQQPAQVPSTQAKNQIPTAQSVFMQQPTQVPSTQVSNQSPVTQSVFLQQPAQVPPTQAKNQIPTTRSVFFQQPAQVPSTQASNQIPATQSVFLQQTAQVPSIQQANNQIPTTENVLMQQPARVPSTQAKNQIPTTQSVFLQQTAPVPSTQAGNQIPTTQSVFLQQTAPVPSTQAGDYQIPKTQSVFFQQTAPVPSTHASNQIPKAQGVYLKQPGQVPLTQQANNLIPATQNVFMHVPPTQEKQVFNATNFAGGANPQGHQAESSTQSFWNALRASLMDNKNVPDLDLQL >Manes.03G041300.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3645652:3649020:-1 gene:Manes.03G041300.v8.1 transcript:Manes.03G041300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGAETQSNPAAKPGPQVEVESVKCYSCGFTEDCTPAYISRVRERYHGRWICGLCVEAVKDEVLRSDRLISTEEALNRHISFCNEFRSSSPPNQTEHPISVMGRILRRSLDCPRAIRSNSSEVLPDVDVEKIKGSALVRSGSCFSALSR >Manes.03G041300.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3645652:3649020:-1 gene:Manes.03G041300.v8.1 transcript:Manes.03G041300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGAETQSNPAAKPGPQVEVESVKCYSCGFTEDCTPAYISRVRERYHGRWICGLCVEAVKDEVLRSDRLISTEEALNRHISFCNEFRSSSPPNQTEHPISVMGRILRRSLDCPRAIRSNSSEVLPDVDVEKIKGSALVRSGSCFSALSR >Manes.03G041300.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3646505:3649019:-1 gene:Manes.03G041300.v8.1 transcript:Manes.03G041300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGAETQSNPAAKPGPQVEVESVKCYSCGFTEDCTPAYISRVRERYHGRWICGLCVEAVKDEVLRSDRLISTEEALNRHISFCNEFRSSSPPNQTEHPISVMGRILRRSLDCPRAIRSNSSEVLPDVDVEKIKGSALVRSGSCFSALSR >Manes.03G041300.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3648439:3648894:-1 gene:Manes.03G041300.v8.1 transcript:Manes.03G041300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGAETQSNPAAKPGPQVEVESVKCYSCGFTEDCTPAYISRVRERYHGRWICGLCVEAVKDEVLRSDRLISTEEALNRHISFCNEFRSSSPPNQTEHPISVMGRILRRSLDCPRAIRSNSSEVLPDVDVEKIKGSALVRSGSCFSALSR >Manes.03G041300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3646414:3649030:-1 gene:Manes.03G041300.v8.1 transcript:Manes.03G041300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGAETQSNPAAKPGPQVEVESVKCYSCGFTEDCTPAYISRVRERYHGRWICGLCVEAVKDEVLRSDRLISTEEALNRHISFCNEFRSSSPPNQTEHPISVMGRILRRSLDCPRAIRSNSSEVLPDVDVEKIKGSALVRSGSCFSALSR >Manes.03G041300.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3646505:3649019:-1 gene:Manes.03G041300.v8.1 transcript:Manes.03G041300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGAETQSNPAAKPGPQVEVESVKCYSCGFTEDCTPAYISRVRERYHGRWICGLCVEAVKDEVLRSDRLISTEEALNRHISFCNEFRSSSPPNQTEHPISVMGRILRRSLDCPRAIRSNSSEVLPDVDVEKIKGSALVRSGSCFSALSR >Manes.17G104800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31183904:31186253:1 gene:Manes.17G104800.v8.1 transcript:Manes.17G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSQLGLATGSPALRRLGMLASTRAYGSAAAVQYDYDFYYDDDHSEAERSRKLNRDSRMPEPRLDSAAASDWGRGVQWVLIGDPGAQKHVYAEKLSKLLQVPHISMGNLLRQELNPNSSLYKQIASAVNEGKLVPEEVIFGLLSKRLEEGYCRGETGFILDGIPRTRIQAEILDEIADIDLVVNFKRTEDNLVKRDFLTVGNSMSSVNDGGSALKEKFRIYAEQGKALEDYYRKQKKLVDFQVAGAPGETWQGLLAALHLKHLGAVNSSQKLAA >Manes.10G025000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2530417:2534150:-1 gene:Manes.10G025000.v8.1 transcript:Manes.10G025000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKEIGVTEVVLAINYQPEVMLNFLKEFEAKLGIKITCSQETEPLGTAGPLALARDKLIDDCGEPFFVLNSDVISEYPLKEMIQFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAADKQLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSSSRLASGPHVVGNVLVDETAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Manes.10G025000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2530417:2532507:-1 gene:Manes.10G025000.v8.1 transcript:Manes.10G025000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKEIGVTEVVLAINYQPEVMLNFLKEFEAKLGIKITCSQETEPLGTAGPLALARDKLIDDCGEPFFVLNSDVISEYPLKEMIQFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAADKQLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSSSRLASGPHVVGNVLVDETAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Manes.16G064400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25080182:25085643:-1 gene:Manes.16G064400.v8.1 transcript:Manes.16G064400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAATSSFFPVPSSSAGAKSTKTGSGPASLSGIKSKSASSGGLLVKANAQAPPRINGSTVGFTTSVQNVKNEDDMPSPPPRTVINQLPDWSMLLAAITTVFLAAEKQWMMLDWKPRRPDTLIDPFGIGRIVQDGLIFRQNFSIRSYEIGADRTASIETMMNHLQETALNHVKTAGLLGDGFGSTPEMTKKNLIWVVTRMHILVDRYPTWGDVVRVDTWVSASGKNGMRRDWLVRDSKTGETLTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFLNSNPVVDEDSRKLPKLDDNTADYVHKGLTPRWSDLDVNQHVNNVKYIGWILESAPLPLLESHELSAITLEYRRECGRDSVLQSLTAVSDGGGIGNLANSGEIECRHLLRLEDRAEIVRGRTEWRPKYATNFDIMGQLPAESA >Manes.18G069700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6320686:6322431:-1 gene:Manes.18G069700.v8.1 transcript:Manes.18G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLLLLALVVLPALVSAARPARNPFELEGRVYCDTCRAGYETAKTTYIAGAKVRVECKDRKTMDLVYSKEGTTDSTGTYKIYIDEDHQDQLCDAMLIRSPIKDCNSPSSGRDRARVILTGYNGIASNKRFANAMGFMKDEAISGCTELLRQYQEYEE >Manes.09G039400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7263998:7264752:-1 gene:Manes.09G039400.v8.1 transcript:Manes.09G039400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFSLLSGLLFFAAVGSAALLGGWKPIKDLNDPHIVEIGKFAVDEYNQRSKADLKLVKLEKGEQQVVSGMNYRLILEAKDGQASKKYQAVVWEKPGGKSRNLTSFVPVQG >Manes.06G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22990513:22998927:1 gene:Manes.06G095200.v8.1 transcript:Manes.06G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRGIPLLYQQFKALLKKNLLLSWRNKSAAFLQLFSSFFFIFLLFCIDRATEASNSDTTEYKSVLNPQPLVSPPIPPCEDKFYIKKPCFDFVWSGNDSVRLNRIVNSIMANNPGRLIPSNKVKSFRTTEDVDTWLLNNPMRCPGALHFNDINATVISYGIQTNSTPVRNRGYFENPTFKFQIPLQIAAEREIARSIIGDPNFSWVVGLKEFAHPAKDDFSILATIGPTFFLAFAMFGFVLQIGSLVAEKELKLRQAMSMTGLYESAYWLSWITWDGILALVSSLLLSLFGMIFQFDFFKKNNFAVVFLVFYLFQLNMVGFAFLLSNFISKSSSATTAGFSVFIVGFFTQLVTIFGFPYGIKVSNILRIIWSLFPPNLLAIAVNLLAEATATPEDVGISWSRRTECVRDASAAEECVISINGVYLWLTATFFVWFVLAIYFDNIIPNAYGVRKPIFYFLKPGYWTGKGGNRVEEGSICSCMGSDPQQEHITPEDDDVLEEENLVKQEAKDGLVNPDVAVQVRGLAKVYAGTTNIGCFKCKKTSPYHALKGLWMNLAKDQLFCLLGPNGAGKTTAINCLTGITPLTSGDALIYGYSIRSSVGMSNIRRIIGVCPQFDILWDALSGAEHLHLFASIKGLPPDSIDLVAEESLAKVRLTEAAKVRTRSYSGGMRRRLSVAIALIGNPKLAILDEPTTGMDPISRRHVWDIIQNAKKGCSIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFITNVSFVESTAGQSPSNTTVDLANHHEDVKQFFKYHLDVMPTEETKSYLTFVIPHDREKLLTRFFSELQDRQREFAISDIQIGLATLEEVFLNIAKQAELESAAIEGRLVTLTLTSGASVQIPVGARFVGIPGTESPENPRGIMVEVYWEQDDSGALCISGHSAEMPMPPNAQPFSSAAPRSNRNFLSRRRQPAYGIVIDPNQNSSSNP >Manes.09G080018.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12086704:12092244:-1 gene:Manes.09G080018.v8.1 transcript:Manes.09G080018.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTNSSSSSAKPPPHPPPPPPPPPPPPAHPPQSMATSSCTATSTSTTQPTSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVPLTASMAYPSSINALATGYAPWDDASMLINTTMSKLMPSQDEFSSLHGAEADIGSKGIARISNNNVSGLGTSGRTLPSSDIPKQGKQAPVLHGLPDFAEVYSFIGSVFDPDTKGHVKKLKEMDPINFETVLLLMRNLTVNLSSPDFEPIRNVLSSYDINTKTVGVTAKNQTSDISC >Manes.16G034000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4137554:4140476:-1 gene:Manes.16G034000.v8.1 transcript:Manes.16G034000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPCNTHQHQWQNDVNSSSLVSTGCHRHPYASVPGSEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRDLQKQQAHHHAPSVTTSITTLTTPSSSSSSSEISPTKGSKKTLSLSSPSVVDVSNSPTCSVNQTYFQTHSEFVPEPFFFPAQPTAAAGSGGTGSFTQGFCFSDLSNVVQVQDNTVGPCTSLLLSEIMCCDASRKDVHHEDKNMRMQPHFSYHVTSPIPPSISLAPSLTLPTTNPNSNTAQSIISQIQGAGESASEGSSGPVRLTVFINDLAFEVGVGPFNLREAFGDDFVLIHSSGQPVLTNEWGVTLHSLQHGASYYLVPLSMSENM >Manes.05G107800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:10389228:10395491:-1 gene:Manes.05G107800.v8.1 transcript:Manes.05G107800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPPKQAQLQIKDEDLFKAAEAGDFSIFKALSSEHLSKALSLQNDDGRSLLHVAVSSGHLEVVRILANVDESRSVVNNKDEEGWAPLHSAASIGNLEIVEILLSRGADVNLKNNGGRTALHYAASKGWSKVAELLISHGANINLKDKVGCTPLHRAASTGKSELCELLIEEGAEVDAVDKAGQTPLMNAVICYNKEVALLLIRHGADVDVEDKEGYTVLGRASDDFRPMLIDAARTMLEG >Manes.07G106000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31229697:31231080:1 gene:Manes.07G106000.v8.1 transcript:Manes.07G106000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSFVLLVISILTTTIPSHVATENLPISANLQESQKNCSYSIEIETTCAASADTKGHVSVRFSDSSGNLIIVKPLKNPKLLYAPKGFRKHGTGSYGGFGRCSVDMFEASGPCMQGVCSLYFKKVGSDDWRPGWVKVLHKVGDGHLVPVSYVFYFRIFVPGNVWYGFDYCHSKERFMPHVASFGSD >Manes.07G063328.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11183181:11187274:1 gene:Manes.07G063328.v8.1 transcript:Manes.07G063328.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQSYTRINTLELKTLIIKKIGHKRADKYFDQLTSLFSFKITKCEFDKFCIKIIGRENIPLHNHLIRSIIKNACLAKVPPLKGIKRVGSRLNLKTTNGYQRNCLQSLYGDAFPPSPRKSRSPVNRDRKFRDRPSPLGPLGKPQMISEELNSTGQEQQSATELLSLGSRPPVEVASVEEGEEVEQVAGSPGVQSRSPVTAPLGVSMNLGEARKILSNVCGSHLRVTCLHTSELPDTRSLRSRLEQKLEVEGLSVSMDSVNLLNNGLDIYLKRLIEPCLGLANSQCRNDHLKEVNGQFTPSLNVLQPGRCIQRLTESVCASMLDFHVAMETNPQILGGDWATLLEKISFRTSEE >Manes.07G063328.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11183185:11186972:1 gene:Manes.07G063328.v8.1 transcript:Manes.07G063328.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQSYTRINTLELKTLIIKKIGHKRADKYFDQLTSLFSFKITKCEFDKFCIKIIGRENIPLHNHLIRSIIKNACLAKVPPLKGIKRVGSRLNLKTTNGYQRNCLQSLYGDAFPPSPRKSRSPVNRDRKFRDRPSPLGPLGKPQMISEELNSTGQEQQSATELLSLGSRPPVEVASVEEGEEVEQVAGSPGVQSRSPVTAPLGVSMNLGEARKILSNVCGSHLRVTCLHTSELPDTRSLRSRLEQKLEVEGLSVSMDSVNLLNNGLDIYLKRLIEPCLGLANSQCRNDHLKEVNGQFTPSLNVLQPGRCIQRLTESVCASMLDFHVAMETNPQILGGDWATLLEKISFRTSEE >Manes.07G063328.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11183185:11186972:1 gene:Manes.07G063328.v8.1 transcript:Manes.07G063328.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQSYTRINTLELKTLIIKKIGHKRADKYFDQLTSLFSFKITKCEFDKFCIKIIGRENIPLHNHLIRSIIKNACLAKVPPLKGIKRVGSRLNLKTTNGYQRNCLQSLYGDAFPPSPRKSRSPVNRDRKFRDRPSPLGPLGKPQMISEELNSTGQEQQSATELLSLGSRPPVEVASVEEGEEVEQVAGSPGVQSRSPVTAPLGVSMNLGEARKILSNVCGSHLRVTCLHTSELPDTRSLRSRLEQKLEVEGLSVSMDSVNLLNNGLDIYLKRLIEPCLGLANSQCRNDHLKEVNGQFTPSLNVLQPGRCIQRLTESVCASMLDFHVAMETNPQILGGDWATLLEKISFRTSEE >Manes.07G063328.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11183185:11186972:1 gene:Manes.07G063328.v8.1 transcript:Manes.07G063328.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQSYTRINTLELKTLIIKKIGHKRADKYFDQLTSLFSFKITKCEFDKFCIKIIGRENIPLHNHLIRSIIKNACLAKVPPLKGIKRVGSRLNLKTTNGYQRNCLQSLYGDAFPPSPRKSRSPVNRDRKFRDRPSPLGPLGKPQMISEELNSTGQEQQSATELLSLGSRPPVEVASVEEGEEVEQVAGSPGVQSRSPVTAPLGVSMNLGEARKILSNVCGSHLRVTCLHTSELPDTRSLRSRLEQKLEVEGLSVSMDSVNLLNNGLDIYLKRLIEPCLGLANSQCRNDHLKEVNGQFTPSLNVLQPGRCIQRLTESVCASMLDFHVAMETNPQILGGDWATLLEKISFRTSEE >Manes.07G063328.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11183165:11187256:1 gene:Manes.07G063328.v8.1 transcript:Manes.07G063328.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQSYTRINTLELKTLIIKKIGHKRADKYFDQLTSLFSFKITKCEFDKFCIKIIGRENIPLHNHLIRSIIKNACLAKVPPLKGIKRVGSRLNLKTTNGYQRNCLQSLYGDAFPPSPRKSRSPVNRDRKFRDRPSPLGPLGKPQMISEELNSTGQEQQSATELLSLGSRPPVEVASVEEGEEVEQVAGSPGVQSRSPVTAPLGVSMNLGEARKILSNVCGSHLRVTCLHTSELPDTRSLRSRLEQKLEVEGLSVSMDSVNLLNNGLDIYLKRLIEPCLGLANSQCRNDHLKEVNGQFTPSLNVLQPGRCIQRLTESVCASMLDFHVAMETNPQILGGDWATLLEKISFRTSEE >Manes.07G063328.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11183185:11186972:1 gene:Manes.07G063328.v8.1 transcript:Manes.07G063328.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQSYTRINTLELKTLIIKKIGHKRADKYFDQLTSLFSFKITKCEFDKFCIKIIGRENIPLHNHLIRSIIKNACLAKVPPLKGIKRVGSRLNLKTTNGYQRNCLQSLYGDAFPPSPRKSRSPVNRDRKFRDRPSPLGPLGKPQMISEELNSTGQEQQSATELLSLGSRPPVEVASVEEGEEVEQVAGSPGVQSRSPVTAPLGVSMNLGEARKILSNVCGSHLRVTCLHTSELPDTRSLRSRLEQKLEVEGLSVSMDSVNLLNNGLDIYLKRLIEPCLGLANSQCRNDHLKEVNGQFTPSLNVLQPGRCIQRLTESVCASMLDFHVAMETNPQILGGDWATLLEKISFRTSEE >Manes.07G063328.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:11183165:11186972:1 gene:Manes.07G063328.v8.1 transcript:Manes.07G063328.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQSYTRINTLELKTLIIKKIGHKRADKYFDQLTSLFSFKITKCEFDKFCIKIIGRENIPLHNHLIRSIIKNACLAKVPPLKGIKRVGSRLNLKTTNGYQRNCLQSLYGDAFPPSPRKSRSPVNRDRKFRDRPSPLGPLGKPQMISEELNSTGQEQQSATELLSLGSRPPVEVASVEEGEEVEQVAGSPGVQSRSPVTAPLGVSMNLGEARKILSNVCGSHLRVTCLHTSELPDTRSLRSRLEQKLEVEGLSVSMDSVNLLNNGLDIYLKRLIEPCLGLANSQCRNDHLKEVNGQFTPSLNVLQPGRCIQRLTESVCASMLDFHVAMETNPQILGGDWATLLEKISFRTSEE >Manes.13G039000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4757270:4767520:-1 gene:Manes.13G039000.v8.1 transcript:Manes.13G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITFLLNYTDTQSTHSLLKAIQGLSTLELASICINLTLFLVFLFIISARQIFVCVGRIRLLKDDTAVATSSPIRRSTADGEIRVVTISAGFKLVLLCSFYVLFLQFLVLGFDGIALIREAVNGEVVDWSVIALPAAQGLAWFVLSFSSLHCKFKASEKFPPLLRVWWIFSFSISLCTLYVDGRSFLIEGEKHLSSHVVVNFAASPAIAFLCFVAIRGITGIQVCRNSDLQEPLLLEEESWCLKVTPYSNAGLFSLATLSWLNPLLSIGAKRPLELKDIPLLAPQDRAKTNYKVLNFNWEKLKAENPSKQPSLAWSILKSFWKEAACNAIFALVNTLVSYVGPYMISYFVDYLGGKETFPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASIATLVSTIISIIVTVPLAKIQEDYQDKLMAAKDDRMRKTSECLKNMRILKLQAWEDRYRVKLEEMRNVEFRWLRKALYSQAFITFIFWSSPIFVAAVTFGTSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQCDATLVLPRNMTNMAIEINDGEFCWDPSASRPTLSGIHMKVQRGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGTIEENILFGSPMDKAKYKNVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALANKTVIFVTHQVEFLPAADLILVLRDGRIIQAGKYDDLLQAGTDFKTLVSAHHEAIEAMDIPTHSSDDSDESLTLDGPAIFNKKSGPTGSNVDILSKEVQENASASDQKAIKEKKKAKRARKKQLVQEEERVRGRVNMKVYLSYMAAAYKGLLIPLIILAQALFQFLQIASNWWMAWANPQTEGGQPRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLMSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMSEVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIINLFDESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPLVIEGFRPPSSWPENGTIDVIDLKVRYAENLPMVLHGVSCTFPGGRKIGIVGRTGSGKSTLIQALFRLIEPAVGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQQIWQALDKSQLGEIVRGKEQKLDSPVLENGDNWSVGQRQLVALGRALLKQARILVLDEATASVDTATDNLIQKILRTEFENCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFNTPIRLLEDKSSMFLKLVTEYSSRSSGIPDL >Manes.13G039000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4757337:4767366:-1 gene:Manes.13G039000.v8.1 transcript:Manes.13G039000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITFLLNYTDTQSTHSLLKAIQGLSTLELASICINLTLFLVFLFIISARQIFVCVGRIRLLKDDTAVATSSPIRRSTADGEIRVVTISAGFKLVLLCSFYVLFLQFLVLGFDGIALIREAVNGEVVDWSVIALPAAQGLAWFVLSFSSLHCKFKASEKFPPLLRVWWIFSFSISLCTLYVDGRSFLIEGEKHLSSHVVVNFAASPAIAFLCFVAIRGITGIQVCRNSDLQEPLLLEEESWCLKVTPYSNAGLFSLATLSWLNPLLSIGAKRPLELKDIPLLAPQDRAKTNYKVLNFNWEKLKAENPSKQPSLAWSILKSFWKEAACNAIFALVNTLVSYVGPYMISYFVDYLGGKETFPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASIATLVSTIISIIVTVPLAKIQEDYQDKLMAAKDDRMRKTSECLKNMRILKLQAWEDRYRVKLEEMRNVEFRWLRKALYSQAFITFIFWSSPIFVAAVTFGTSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQCDATLVLPRNMTNMAIEINDGEFCWDPSASRPTLSGIHMKVQRGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGTIEENILFGSPMDKAKYKNVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALANKTVIFVTHQVEFLPAADLILVLRDGRIIQAGKYDDLLQAGTDFKTLVSAHHEAIEAMDIPTHSSDDSDESLTLDGPAIFNKKSGPTGSNVDILSKEVQENASASDQKAIKEKKKAKRARKKQLVQEEERVRGRVNMKVYLSYMAAAYKGLLIPLIILAQALFQFLQIASNWWMAWANPQTEGGQPRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLMSVFRAPMSFFDSTPAGRILNRLCMTLQVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMSEVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIINLFDESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPLVIEGFRPPSSWPENGTIDVIDLKVRYAENLPMVLHGVSCTFPGGRKIGIVGRTGSGKSTLIQALFRLIEPAVGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQQIWQALDKSQLGEIVRGKEQKLDSPVLENGDNWSVGQRQLVALGRALLKQARILVLDEATASVDTATDNLIQKILRTEFENCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFNTPIRLLEDKSSMFLKLVTEYSSRSSGIPDL >Manes.13G039000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4757337:4767366:-1 gene:Manes.13G039000.v8.1 transcript:Manes.13G039000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITFLLNYTDTQSTHSLLKAIQGLSTLELASICINLTLFLVFLFIISARQIFVCVGRIRLLKDDTAVATSSPIRRSTADGEIRVVTISAGFKLVLLCSFYVLFLQFLVLGFDGIALIREAVNGEVVDWSVIALPAAQGLAWFVLSFSSLHCKFKASEKFPPLLRVWWIFSFSISLCTLYVDGRSFLIEGEKHLSSHVVVNFAASPAIAFLCFVAIRGITGIQVCRNSDLQEPLLLEEESWCLKVTPYSNAGLFSLATLSWLNPLLSIGAKRPLELKDIPLLAPQDRAKTNYKVLNFNWEKLKAENPSKQPSLAWSILKSFWKEAACNAIFALVNTLVSYVGPYMISYFVDYLGGKETFPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASIATLVSTIISIIVTVPLAKIQEDYQDKLMAAKDDRMRKTSECLKNMRILKLQAWEDRYRVKLEEMRNVEFRWLRKALYSQAFITFIFWSSPIFVAAVTFGTSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQCDATLVLPRNMTNMAIEINDGEFCWDPSASRPTLSGIHMKVQRGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGTIEENILFGSPMDKAKYKNVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALANKTVIFVTHQVEFLPAADLILVLRDGRIIQAGKYDDLLQAGTDFKTLVSAHHEAIEAMDIPTHSSDDSDESLTLDGPAIFNKKSGPTGSNVDILSKEVQENASASDQKAIKEKKKAKRARKKQLVQEEERVRGRVNMKVYLSYMAAAYKGLLIPLIILAQALFQFLQIASNWWMAWANPQTEGGQPRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLMSVFRAPMSFFDSTPAGRILNRLCMTLQVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMSEVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIINLFDESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPLVIEGFRPPSSWPENGTIDVIDLKVRYAENLPMVLHGVSCTFPGGRKIGIVGRTGSGKSTLIQALFRLIEPAVGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQQIWQALDKSQLGEIVRGKEQKLDSPENGDNWSVGQRQLVALGRALLKQARILVLDEATASVDTATDNLIQKILRTEFENCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFNTPIRLLEDKSSMFLKLVTEYSSRSSGIPDL >Manes.13G039000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4757337:4767461:-1 gene:Manes.13G039000.v8.1 transcript:Manes.13G039000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITFLLNYTDTQSTHSLLKAIQGLSTLELASICINLTLFLVFLFIISARQIFVCVGRIRLLKDDTAVATSSPIRRSTADGEIRVVTISAGFKLVLLCSFYVLFLQFLVLGFDGIALIREAVNGEVVDWSVIALPAAQGLAWFVLSFSSLHCKFKASEKFPPLLRVWWIFSFSISLCTLYVDGRSFLIEGEKHLSSHVVVNFAASPAIAFLCFVAIRGITGIQVCRNSDLQEPLLLEEESWCLKVTPYSNAGLFSLATLSWLNPLLSIGAKRPLELKDIPLLAPQDRAKTNYKVLNFNWEKLKAENPSKQPSLAWSILKSFWKEAACNAIFALVNTLVSYVGPYMISYFVDYLGGKETFPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASIATLVSTIISIIVTVPLAKIQEDYQDKLMAAKDDRMRKTSECLKNMRILKLQAWEDRYRVKLEEMRNVEFRWLRKALYSQAFITFIFWSSPIFVAAVTFGTSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQCDATLVLPRNMTNMAIEINDGEFCWDPSASRPTLSGIHMKVQRGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGTIEENILFGSPMDKAKYKNVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALANKTVIFVTHQVEFLPAADLILVLRDGRIIQAGKYDDLLQAGTDFKTLVSAHHEAIEAMDIPTHSSDDSDESLTLDGPAIFNKKSGPTGSNVDILSKEVQENASASDQKAIKEKKKAKRARKKQLVQEEERVRGRVNMKVYLSYMAAAYKGLLIPLIILAQALFQFLQIASNWWMAWANPQTEGGQPRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLMSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMSEVTWQVLLLVVPMAVACLWMQYARVQKYYMASSRELVRIVSIQKSPIINLFDESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPLVIEGFRPPSSWPENGTIDVIDLKVRYAENLPMVLHGVSCTFPGGRKIGIVGRTGSGKSTLIQALFRLIEPAVGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQQIWQALDKSQLGEIVRGKEQKLDSPVLENGDNWSVGQRQLVALGRALLKQARILVLDEATASVDTATDNLIQKILRTEFENCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFNTPIRLLEDKSSMFLKLVTEYSSRSSGIPDL >Manes.13G039000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4757270:4767544:-1 gene:Manes.13G039000.v8.1 transcript:Manes.13G039000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITFLLNYTDTQSTHSLLKAIQGLSTLELASICINLTLFLVFLFIISARQIFVCVGRIRLLKDDTAVATSSPIRRSTADGEIRVVTISAGFKLVLLCSFYVLFLQFLVLGFDGIALIREAVNGEVVDWSVIALPAAQGLAWFVLSFSSLHCKFKASEKFPPLLRVWWIFSFSISLCTLYVDGRSFLIEGEKHLSSHVVVNFAASPAIAFLCFVAIRGITGIQVCRNSDLQEPLLLEEESWCLKVTPYSNAGLFSLATLSWLNPLLSIGAKRPLELKDIPLLAPQDRAKTNYKVLNFNWEKLKAENPSKQPSLAWSILKSFWKEAACNAIFALVNTLVSYVGPYMISYFVDYLGGKETFPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASIATLVSTIISIIVTVPLAKIQEDYQDKLMAAKDDRMRKTSECLKNMRILKLQAWEDRYRVKLEEMRNVEFRWLRKALYSQAFITFIFWSSPIFVAAVTFGTSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQCDATLVLPRNMTNMAIEINDGEFCWDPSASRPTLSGIHMKVQRGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGTIEENILFGSPMDKAKYKNVIHACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALANKTVIFVTHQVEFLPAADLILVLRDGRIIQAGKYDDLLQAGTDFKTLVSAHHEAIEAMDIPTHSSDDSDESLTLDGPAIFNKKSGPTGSNVDILSKEVQENASASDQKAIKEKKKAKRARKKQLVQEEERVRGRVNMKVYLSYMAAAYKGLLIPLIILAQALFQFLQIASNWWMAWANPQTEGGQPRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLMSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMSEVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIINLFDESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPLVIEGFRPPSSWPENGTIDVIDLKVRYAENLPMVLHGVSCTFPGGRKIGIVGRTGSGKSTLIQALFRLIEPAVGRIIIDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQQIWQALDKSQLGEIVRGKEQKLDSPVLENGDNWSVGQRQLVALGRALLKQARILVLDEATASVDTATDNLIQKILRTEFENCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFNTPIRLLEDKSSMFLKLVTEYSSRSSGIPDL >Manes.02G091800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7170965:7173210:1 gene:Manes.02G091800.v8.1 transcript:Manes.02G091800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGCGGENQPKFNKYAVACAIVASMISIIFGYDTGVMSGAMIFIKDDLKIHDTQVEILAGILNICALVGSLLAGRTSDYIGRRYTIAVACAIFMIGSILMGYGPNYAVLMAGRCTAGVGVGFALMIAPVYSAEVSSPSSRGFLTSLPELGISIGILLGYISNVTFGKLTLKIGWRLMLGLAAVPSVILGIGIARMPESPRWLVMQGRLGEAKKILLLVSNSKEEAEARFRDIKVAAGIDVNCKDDHIKLPKETHGEGVWKELLLRPTPAVRWILIAAIGIHFFEHAVGIEAVVLYSPRIFKKAGITGKEKLLLATVGVGLTKFTFIFISTFLIDRVGRRRLLLTSTTGIIASLTVLGSCLTIVEYHNGGKLLWALSMSIISTYVFVAFFNIGLAPVTWVYSSEIFPLKLRAQGYSIGVAVNRLMNAIISMSFISLYKAITIGGAFFLFGGVAVIGWLFFYFLFPETKGRSLEEMESLFSKGVWAKNESVEIQPRNNSV >Manes.02G091800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7170523:7173144:1 gene:Manes.02G091800.v8.1 transcript:Manes.02G091800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGCGGENQPKFNKYAVACAIVASMISIIFGYDTGVMSGAMIFIKDDLKIHDTQVEILAGILNICALVGSLLAGRTSDYIGRRYTIAVACAIFMIGSILMGYGPNYAVLMAGRCTAGVGVGFALMIAPVYSAEVSSPSSRGFLTSLPELGISIGILLGYISNVTFGKLTLKIGWRLMLGLAAVPSVILGIGIARMPESPRWLVMQGRLGEAKKILLLVSNSKEEAEARFRDIKVAAGIDVNCKDDHIKLPKETHGEGVWKELLLRPTPAVRWILIAAIGIHFFEHAVGIEAVVLYSPRIFKKAGITGKEKLLLATVGVGLTKFTFIFISTFLIDRVGRRRLLLTSTTGIIASLTVLGSCLTIVEYHNGGKLLWALSMSIISTYVFVAFFNIGLAPVTWVYSSEIFPLKLRAQGYSIGVAVNRLMNAIISMSFISLYKAITIGGAFFLFGGVAVIGWLFFYFLFPETKGRSLEEMESLFSKGVWAKNESVEIQPRNNSV >Manes.01G121900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31782440:31784546:1 gene:Manes.01G121900.v8.1 transcript:Manes.01G121900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAHTKSALKLVKANSQAVSWSKNSRGMAKDEAKERLYDKSKATPKNQAKENTKPQEFKLRTEQRAYKRAMFNYSVATKLYLIELQKKQIEKAQKIIEEEEIRMLRKEMIPRAQLMPFFDRPFFPQRSNRPLTIPREPSFRMLNSKCWSCNSGNELYHFEHAPAWNY >Manes.01G121900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31782738:31784546:1 gene:Manes.01G121900.v8.1 transcript:Manes.01G121900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFFMYILFILIFFNPFAKLVKANSQAVSWSKNSRGMAKDEAKERLYDKSKATPKNQAKENTKPQEFKLRTEQRAYKRAMFNYSVATKLYLIELQKKQIEKAQKIIEEEEIRMLRKEMIPRAQLMPFFDRPFFPQRSNRPLTIPREPSFRMLNSKCWSCNSGNELYHFEHAPAWNY >Manes.02G182100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:14492920:14493483:-1 gene:Manes.02G182100.v8.1 transcript:Manes.02G182100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHFLFISAAIFLSLTLNFPSKSDATAELSKHPNKLLIQVCNQSAEYGFCMHLMRTKPRILSAKSIRTVANFALAITRKRSIITRNLFNRLSTVAKNPGTREAFKECGDYLNGTVAMLNLNGLEGGTASLDVHYALDNVEYCVNVLRDAKVVSPKISGAIDDWKKYYHVAYATVLILEDETPAGA >Manes.07G093300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29003980:29009309:1 gene:Manes.07G093300.v8.1 transcript:Manes.07G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGCGRFGIMHATKGGWLSRQTYALAKSNESGGRKSRIRRSKEERKEMVESFIKKHQSLNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRVLGPAKDLPEEEDADSLLVKYPLGTISTEPEASLPISPNGSAFASDQNQNTSKEPNLISDGLSAETERQWFEKEKTINGSYINVPNKECDEIKVAKTQESEAVQEKEVMEEVAASRTKVIQMADIIVETFPLRPVAQPFDNVDAKSSELTGLNGTTVEKDVEVPLGTVYGASKIDEMNFSSKSCLVDDKEVENIASKLFEGDSCLVDEKAMKTVENVPLNSFATKDGITDDTQVDIDVEVISSHNSKVITEAKVVNASNSNHTETTRNGTHASTSNIELITQKDVIGNKADVQPGCSSQKGKNPTLDRINIKSWEGASKNPAESETNPVLAIFKSFLAAFKKIWS >Manes.01G007000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:2581980:2582606:-1 gene:Manes.01G007000.v8.1 transcript:Manes.01G007000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPATTATPAVATTYTTILISPADVISRSLQNLNATVSRLRPWPELIASGTFNRPDSFASGLSRLRINLRYFHINYAIVVSICGALALIGSPFALILFSFIFALWLLLYFFREDPLVLWGYQLSDRLVFIGLLLISLLAIWLSGGFWSLVLGFAIGVLICVVHAVLKNSDGLFPDEQEAPSRSLIGSDFGTRYGTLPASDRGEFTV >Manes.02G105600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8228205:8235570:-1 gene:Manes.02G105600.v8.1 transcript:Manes.02G105600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHKTEGIANAKAASLRWRILRQALLRRSPAPISDHQSVNAIKRISRKASHGFNLIPSQVIDEDPNSREACICYTLPINGSPKLFLTQRMDGRADLSDFELSNRYNVDNTGLVCNWPSEEVLAYFCLSHADMFRLKRVIEVGSGYGLAGLVIAATSEAVEVVISDGNPQVIDYIQRSIDSNSGAFGGTKVRSMTLHWDQAEASNMSNNFDIIVASDCTFFKEFHKGLACSVKFLLRNAGTSEAIFFSPQRGNSLDKFLEEIEENGLHFCVTENYDTEVWMRHQRFMNGDNSWPSYEKDHCYPLLVRVTL >Manes.01G040700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6877550:6880156:-1 gene:Manes.01G040700.v8.1 transcript:Manes.01G040700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSTPSSPSCATIYSPHPLSLLPKCTSLQLLKQIQAFTIKTHLQYDPNVITKLINSCTVDPTSSSMDHAHQLFETIPQPDILLFNIMARGYSRSNNSLKAISLFIKALNDGCFPDDYTFPSLLKACANAEAFQEGKQLHGLAIKLGLNENIYVLPTLINMYTQCNDVDGARRVFDKITEPCVVSYNAIIMGYARSSRPNEALSLFRELQARKLEPTDVTMLSVLSSCALLGALDLGKWIHEYVKKNGLDKYVKVNTALIDMYAKCGSLDDAISVFKSMSARDTQAWSAIIVAYATHGQARKAIFMFEEMRKARVKPDEITFLGLLYACSHTGLVEEGCQYFYSMTNAYGIIPGIKHYGCMVDLLGRAGRLDEAYKFIDELPIEPTPILWRTLLSACSSHGNVELGKQVTERIFELDNSHGGDYVILSNLYARVRKWEDVDSLRKLMIDRGVVKIPGCSSIEVNNVVHEFFSGEGVHYVSSDLHRALDELVKELKMVGYVPDTSLVFHADMNDEEKEIALRYHSEKLAIAFGLLNTPPGTTIRVVKNLRVCGDCHSAAKLISSIFDRQIILRDVQRFHHFKDGKCSCGDYW >Manes.11G005100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:580565:582319:1 gene:Manes.11G005100.v8.1 transcript:Manes.11G005100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEVGDPDEMVRGEATLWQCIFGYVDGMALKCVVELGIPDIINSHGCPLSLFYIAKSINHPSLDTDRLSRVMTLLVHRGIFTSSSEGKHNATTLYGLTNSSKFLLRDSKRSLAPLLMLENHTWMIQPWHQLSDIIKEGGNGFSRSHGLELWDFASVNPEFNILFNGAMSGASNIMVEAMKTSYKDGLNGVGSLVDVGGGSGAMVGEIVKAHPHIKGINFDLPHVVAMAPQYEGVTHIAGDMFESIPAADVILMKWILHVWNDENCIKILKKCREALPKKIGKLIIMEAVLNPEEQDLFEHTKLMFDMLMMVEVQGKERSEAEWKRLLEEGGFGSYKIIKVPTLLSIIEAYP >Manes.17G078950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:27881915:27882465:1 gene:Manes.17G078950.v8.1 transcript:Manes.17G078950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIKMRLFFLLYRGVCLLRLLPRQTLLMLITQVFCRLNVKPHRSNSLPQLCLLRWCCSPHLHPQFCSKQQLISLLFSPQFCHMLLFQCQRMFRRLVRQF >Manes.14G157200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28533367:28538524:-1 gene:Manes.14G157200.v8.1 transcript:Manes.14G157200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKNRIDYENLRNARILENQARLSSLGLQKTLSELRSLTSSAKAEKGRTQKRKHAKKVYEIADLRRSDRLKHIATDSLSALKSLPLRRSYRLRANSDEPTSPNKVIVVKGNTDYESEEESSDREKRPANAPFLNIKGVKLPSLSPEASARRCHSKGRGSIYDPFFGICCHFCRQKKLCGEEDCKRCGNLDPDEQCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVRENKAWMCPHCIEEKGIKPFWICNSSLCLKKRKMGPTGLAIYRGGLECFQKNKLASLHVNFISNLLVSDGKERLV >Manes.14G157200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28533377:28538517:-1 gene:Manes.14G157200.v8.1 transcript:Manes.14G157200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKNRIDYENLRNARILENQARLSSLGLQKTLSELRSLTSSAKAEKGRTQKRKHAKKVYEIADLRRSDRLKHIATDSLSALKSLPLRRSYRLRANSDEPTSPNKVVKGNTDYESEEESSDREKRPANAPFLNIKGVKLPSLSPEASARRCHSKGRGSIYDPFFGICCHFCRQKKLCGEEDCKRCGNLDPDEQCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVRENKAWMCPHCIEEKGIKPFWICNSSLCLKKRKMGPTGLAIYRAREMGYESVAHLLMDELKRRNNLKSLQG >Manes.14G157200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28536594:28538553:-1 gene:Manes.14G157200.v8.1 transcript:Manes.14G157200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKNRIDYENLRNARILENQARLSSLGLQKTLSELRSLTSSAKAEKGRTQKRKHAKKVYEIADLRRSDRLKHIATDSLSALKSLPLRRSYRLRANSDEPTSPNKVIVVKGNTDYESEEESSDREKRPANAPFLNIKGVKLPSLSPEASARRCHSKGRGSIYDPFFGICCHFCRQKKLCGEEDCKRCGNLDPDEQCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVRENKAWMCPHCIEEKGIKPFWICNSSLCLKKRKMGPTGLAIYRAREMGYESVAHLLMDELKRRNNLKSLQG >Manes.14G157200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28533377:28538517:-1 gene:Manes.14G157200.v8.1 transcript:Manes.14G157200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKNRIDYENLRNARILENQARLSSLGLQKTLSELRSLTSSAKAEKGRTQKRKHAKKVYEIADLRRSDRLKHIATDSLSALKSLPLRRSYRLRANSDEPTSPNKDREKRPANAPFLNIKGVKLPSLSPEASARRCHSKGRGSIYDPFFGICCHFCRQKKLCGEEDCKRCGNLDPDEQCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVRENKAWMCPHCIEEKGIKPFWICNSSLCLKKRKMGPTGLAIYRAREMGYESVAHLLMDELKRRNNLKSLQG >Manes.14G157200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28536595:28538532:-1 gene:Manes.14G157200.v8.1 transcript:Manes.14G157200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKNRIDYENLRNARILENQARLSSLGLQKTLSELRSLTSSAKAEKGRTQKRKHAKKVYEIADLRRSDRLKHIATDSLSALKSLPLRRSYRLRANSDEPTSPNKVVKGNTDYESEEESSDREKRPANAPFLNIKGVKLPSLSPEASARRCHSKGRGSIYDPFFGICCHFCRQKKLCGEEDCKRCGNLDPDEQCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVRENKAWMCPHCIEEKGIKPFWICNSSLCLKKRKMGPTGLAIYRAREMGYESVAHLLMDELKRRNNLKSLQG >Manes.14G157200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28533377:28538517:-1 gene:Manes.14G157200.v8.1 transcript:Manes.14G157200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKNRIDYENLRNARILENQARLSSLGLQKTLSELRSLTSSAKAEKGRTQKRKHAKKVYEIADLRRSDRLKHIATDSLSALKSLPLRRSYRLRANSDEPTSPNKVIVVKGNTDYESEEESSDREKRPANAPFLNIKGVKLPSLSPEASARRCHSKGRGSIYDPFFGICCHFCRQKKLCGEEDCKRCGNLDPDEQCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVRENKAWMCPHCIEEKGIKPFWICNSSLCLKKRKMGPTGLAIYRAREMGYESVAHLLMDELKRRNNLKSLQG >Manes.14G157200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28536609:28538553:-1 gene:Manes.14G157200.v8.1 transcript:Manes.14G157200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKNRIDYENLRNARILENQARLSSLGLQKTLSELRSLTSSAKAEKGRTQKRKHAKKVYEIADLRRSDRLKHIATDSLSALKSLPLRRSYRLRANSDEPTSPNKVKGNTDYESEEESSDREKRPANAPFLNIKGVKLPSLSPEASARRCHSKGRGSIYDPFFGICCHFCRQKKLCGEEDCKRCGNLDPDEQCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVRENKAWMCPHCIEEKGIKPFWICNSSLCLKKRKMGPTGLAIYRAREMGYESVAHLLMDELKRRNNLKSLQG >Manes.14G157200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28533367:28538524:-1 gene:Manes.14G157200.v8.1 transcript:Manes.14G157200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKNRIDYENLRNARILENQARLSSLGLQKTLSELRSLTSSAKAEKGRTQKRKHAKKVYEIADLRRSDRLKHIATDSLSALKSLPLRRSYRLRANSDEPTSPNKVVKGNTDYESEEESSDREKRPANAPFLNIKGVKLPSLSPEASARRCHSKGRGSIYDPFFGICCHFCRQKKLCGEEDCKRCGNLDPDEQCIGKTDCSVCHSTNGVFCRACLKVRYGEEMEEVRENKAWMCPHCIEEKGIKPFWICNSSLCLKKRKMGPTGLAIYRGGLECFQKNKLASLHVNFISNLLVSDGKERLV >Manes.02G065400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4968814:4971202:1 gene:Manes.02G065400.v8.1 transcript:Manes.02G065400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVLSKPVILPAFQAEEIHCIGRAFSSSLSAREKKLVCVTSGNSYLGSHIVKKLLANGYLVRVTIQNPVDFEDVKQLMKDEEIDQLESVVVAKLQDLESLCEAFRGCHAIFHTSSFIDPHGISGYSENKAFLETEVARNVIEACSRAAYVKRCIFTSSLLASVWNDDNLNRAIDESSWSNEEFCRENKLWLALGKTNAEKAAWRKSMELKVKLVAICPGLLMAPSFPYAHKDTSIPYLKGGSVMLRRGMLATGDVNKVAEAHVVVYEAMDDGAFGRYLCFDKVVERLDEGIQLENELKRHGLPSGSLVLAEEIEEIHSKLCNSKLAKLLLEGSQGKSCRQ >Manes.06G007700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:1238084:1239963:1 gene:Manes.06G007700.v8.1 transcript:Manes.06G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGDSYDEECDYLFKAVLIGDSAVGKSNLLSRFAKDEFRLDSKPTIGVEFAYRNIRVADKLIKAQVWDTAGQERFRAITSSYYRGALGALLVYDITRRATFENVGKWLKELREFGNSDIVVILVGNKSDLVNSRQVGEEEGKKLAEKQGLCFMETSALENKNVEEAFLEMINKIHGITSQKILQAKKIENIININLPIGKEIVNIDEVTATKKSSCCI >Manes.06G019400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:3402471:3402821:-1 gene:Manes.06G019400.v8.1 transcript:Manes.06G019400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKLLKKSSNVTIDEKKRKRMISNRESARRSRMRRQKNIEDLINEKAELEEKINDDYHKCREKWQILLVLESENEVLRDKKMGLISYLKFLNQILVDYKETEDSNHVIFKFLKTF >Manes.S017005.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:168880:169692:-1 gene:Manes.S017005.v8.1 transcript:Manes.S017005.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.02G163300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12801090:12802582:-1 gene:Manes.02G163300.v8.1 transcript:Manes.02G163300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPETKNKPIITLSFFLCMLSLTHASKICHFPAIFNFGDSNSDTGSLAAAFTPPNSPYGETFFRMPAGRFSDGRLIIDFIAKSFNRSYLSAYLDSLGTNFKQGANFASSASTIQVPTSIIPNGGYSPFYLNVQYEQFLQFMSRSQIIREQGGIFAELMPEKDYFGKALYTFDIGQNDLGAGFSGNLSVEQVNASVSNIVNSFSENVKKIYNLGARSFWIHNTGPIGCLAYILENAPSAEKDSAGCAKAYNEVAQNFNLNLNASVAQLRKDLPLAAFTYVDIYSVKYSLFSEPEKHGFELPLIVCCGYGGKYNFDNNVRCGDTVTANDTQVVVGACDRPSVRVNWDGVHYTEAANRFIFEQISTGAFSDPPTALNMSCHNTTY >Manes.03G026100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2109103:2109769:-1 gene:Manes.03G026100.v8.1 transcript:Manes.03G026100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPNAFSSCLIDLSFDMDEALSFHSDFARQISKSVSLVADMPTVDVSDDVCSVCMEGFGGDVGGKRVPCGHVYHAGCISSWLSNCNSCPLCRCNISDDN >Manes.01G095400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29679816:29682451:1 gene:Manes.01G095400.v8.1 transcript:Manes.01G095400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDNPVRVVEICPVTPPSDFPESATEFSLPLTFFDTFWFKFHPIERLFFYELTDVTPAFFNSVILPKLKQSLSLALLHFLPIAGKLTWPPHAAKPFIHYAPNDGVSFTIAESDGDFHHLSGRILEAAESYPYVPELPVYDDTAEIISFQITSFPSQGFCIGISSHHAILDGKSVTMFIRAWAHICKQWEKETHPSLLTDLTPIFDRTIIQDPEGLDEVYLNNWFNFFKSIGLDANPRSLKLLPFYGSPPDNLMRGTFEFSREDLNKLRQKILGQLEKVPKEEPDQINPIHLSTFVVSFSYAAVNIVKAKGLERNRKVILGFTADCRARLCPPVPANYFGNCVGISADDDLEAEVIMEENGLAFVAQRLSKLIKKLEKGALEGAKGKLEWALSMPPGSVAVGVAGSPRFKVYEMDFGWGRPKKMEITSIDRRGSISMAESKDGSGGVEIGVALKKHEMDIFDLLFTNGLRDL >Manes.07G138000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34065836:34068058:-1 gene:Manes.07G138000.v8.1 transcript:Manes.07G138000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYIQYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDTLRKTHPDLPLILYASGSGSLLERLALTGVDVVSLDWTVDMAEGRRRLGPDMAVQGNVDPGVLFGSNEFIANRINDVVRRAGRGKHILDLGHGIVVGTPEENVAHFFEVQLLLENVEKNPHQLGRKKKKGM >Manes.07G138000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34065836:34068238:-1 gene:Manes.07G138000.v8.1 transcript:Manes.07G138000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQVLHALLKKFATSMAKYIQYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDTLRKTHPDLPLILYASGSGSLLERLALTGVDVVSLDWTVDMAEGRRRLGPDMAVQGNVDPGVLFGSNEFIANRINDVVRRAGRGKHILDLGHGIVVGTPEENVAHFFEVQLLLENVEKNPHQLGRKKKKGM >Manes.14G115900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10969121:10969716:-1 gene:Manes.14G115900.v8.1 transcript:Manes.14G115900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNRSFFQELTMISPPVSKQIRCSSSSPVHSSLPRSCDAVFVSSASSNQSSSLLIGKLIAFFPCMDRQFLRKYLKDAVMI >Manes.10G070600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12179416:12181369:-1 gene:Manes.10G070600.v8.1 transcript:Manes.10G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTRTGGSNTIQYEDFKPKSEMKEEAAAHVLLVHLPAAFQREQVKITYERSTRLIRVVGERPIGGNKWSRINEAFPVPQNCDVQKIQAKFENRVFTITMPKLAITQPQKPAFTSTGEEPKQKKATSQMPQEAKTDEKMQKGMEGTETQKQTVGKQVETAVSPGEAAKDIPKSKTQDENTETHKQTVGKQVESAVIPGETVKDIPKSKTEDGDTETHKQTVGKQVESAVSPGEAAKDIPKSKTQDENTETHKQTVGKQVESAVIPGETVKDIPKSETEDGDTETHKQTVGKQVESAVSPGEAVKDIPKSKTRDEATSKEEKHTENREGYEKTMETKQEETDKKRKGNLLADESVERSKKRKEAAVRSSEKEKGGKLEFIAEKVKEVKNVAAAAKKSVMELSEERQSLVKIGVAVLAIAALGAYISYTYRHRSSGNSKD >Manes.06G075600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21144602:21146659:-1 gene:Manes.06G075600.v8.1 transcript:Manes.06G075600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMFLVSILACICFPLVISNAQAPTASLVALPTAPPATTITPPPTTTMPLPTTTPTVPVKSPVSAARPPAAITPIASPTPKVAPAISPTFLPHIPPPEPPQIPPIVAPAHPPVLPPPPAMLPPALPPPHVSPAPARAPPAPAPAKEVPVPSPAMPPPRPTPTPTPQPAPAPTPIPAPAPAPTPTPTPAPAPTPTPTPAPAPTPTPTPAPAPTPTPAPAPAPTPTPAPAPAPPPLAPTPELVPPHAPPPPKHHKKKRRHKHKKHHHSPAPSPIPPSPPAPPAPPTPVTDSEDTAPAPSPITNGGNAMYPLGGRPGMWARTIAICFLLLVTGYNF >Manes.05G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3349657:3353318:-1 gene:Manes.05G041500.v8.1 transcript:Manes.05G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETFVRSLTLILILTFTGLVAATGDRKEFYIVYLGEDPLDNISAVQTHMDILLSVKKSHRDAKESIVYSYTKSFNAFAAKLSKAEATKLSQLDQVLSVFPNQYHKLHTTKSWDFIGLPNTARRNLKMEGNIIVGLLDTGITPESESFKDDGFGPPPKKWKGTCGHFANFSGCNRKLIGARYFKLDGDDPFAGDILSPIDVDGHGTHTSSTVAGNQVSDASLFGLARGDARGAVPSARVAMYKVCWAGSGCADMDILAAFEAAIGDGVDIISVSIGGMTVDYVTDTLAIGAFHAMRKGIITVVSAGNDGPSFGTVANHAPWLLTVAASGIDRQFRSKIELGNGKNISGLGITTFEPKQKLYPIVSGADVAKNSQSKDSSRFCTDGSMDPNKVKGKFVYCELQEWGSDSVVKGLGGLGVIVESEQYLDAAQIFMAPGTMVNATVGDAINDYIHSTRSASAVIHRSKEVKVSAPFIASFSSRGPHPQSAHLLKPDVAAPGIDILASYTPLRSLTGLKGDTQHSKFTLMSGTSMACPHVAGVAAYVKSFNPSWTPAAIKSAILTTAKPMSARINSEAEFAYGAGQANPTRARSPGLIYDMDEMSYIQFLCHEGYSGSKLAVLIGSKINCTSLLPGLGYDALNYPTMQLSVKNDRLTTTGVFRRTVTNVGPSPSIYNATIKAPKGVEITVYPKSLSFSRALQKRSFKVIVKAKPMASGQIASGSLVWKSHSYIVRSPIVVFKPMS >Manes.05G041500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3349657:3353318:-1 gene:Manes.05G041500.v8.1 transcript:Manes.05G041500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETFVRSLTLILILTFTGLVAATGDRKEFYIVYLGEDPLDNISAVQTHMDILLSVKKSSHRDAKESIVYSYTKSFNAFAAKLSKAEATKLSQLDQVLSVFPNQYHKLHTTKSWDFIGLPNTARRNLKMEGNIIVGLLDTGITPESESFKDDGFGPPPKKWKGTCGHFANFSGCNRKLIGARYFKLDGDDPFAGDILSPIDVDGHGTHTSSTVAGNQVSDASLFGLARGDARGAVPSARVAMYKVCWAGSGCADMDILAAFEAAIGDGVDIISVSIGGMTVDYVTDTLAIGAFHAMRKGIITVVSAGNDGPSFGTVANHAPWLLTVAASGIDRQFRSKIELGNGKNISGLGITTFEPKQKLYPIVSGADVAKNSQSKDSSRFCTDGSMDPNKVKGKFVYCELQEWGSDSVVKGLGGLGVIVESEQYLDAAQIFMAPGTMVNATVGDAINDYIHSTRSASAVIHRSKEVKVSAPFIASFSSRGPHPQSAHLLKPDVAAPGIDILASYTPLRSLTGLKGDTQHSKFTLMSGTSMACPHVAGVAAYVKSFNPSWTPAAIKSAILTTAKPMSARINSEAEFAYGAGQANPTRARSPGLIYDMDEMSYIQFLCHEGYSGSKLAVLIGSKINCTSLLPGLGYDALNYPTMQLSVKNDRLTTTGVFRRTVTNVGPSPSIYNATIKAPKGVEITVYPKSLSFSRALQKRSFKVIVKAKPMASGQIASGSLVWKSHSYIVRSPIVVFKPMS >Manes.05G041500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3349657:3353318:-1 gene:Manes.05G041500.v8.1 transcript:Manes.05G041500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRETFVRSLTLILILTFTGLVAATGDRKEFYIVYLGEDPLDNISAVQTHMDILLSVKKSHRDAKESIVYSYTKSFNAFAAKLSKAEATKLSRITPESESFKDDGFGPPPKKWKGTCGHFANFSGCNRKLIGARYFKLDGDDPFAGDILSPIDVDGHGTHTSSTVAGNQVSDASLFGLARGDARGAVPSARVAMYKVCWAGSGCADMDILAAFEAAIGDGVDIISVSIGGMTVDYVTDTLAIGAFHAMRKGIITVVSAGNDGPSFGTVANHAPWLLTVAASGIDRQFRSKIELGNGKNISGLGITTFEPKQKLYPIVSGADVAKNSQSKDSSRFCTDGSMDPNKVKGKFVYCELQEWGSDSVVKGLGGLGVIVESEQYLDAAQIFMAPGTMVNATVGDAINDYIHSTRSASAVIHRSKEVKVSAPFIASFSSRGPHPQSAHLLKPDVAAPGIDILASYTPLRSLTGLKGDTQHSKFTLMSGTSMACPHVAGVAAYVKSFNPSWTPAAIKSAILTTAKPMSARINSEAEFAYGAGQANPTRARSPGLIYDMDEMSYIQFLCHEGYSGSKLAVLIGSKINCTSLLPGLGYDALNYPTMQLSVKNDRLTTTGVFRRTVTNVGPSPSIYNATIKAPKGVEITVYPKSLSFSRALQKRSFKVIVKAKPMASGQIASGSLVWKSHSYIVRSPIVVFKPMS >Manes.10G096300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:24415346:24417001:-1 gene:Manes.10G096300.v8.1 transcript:Manes.10G096300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVECFSSLAWPLIALGYPLCASIQAIETDSTDTQKLITYWVCFSLILLFEHAFLKLLLWLPWWTYIKLAIVGCLVTPHFDGSFYVYKHIVHPCFSMDLHSVMNRLIKLKWFFKQDMLVIEVKRDAKETETEALDNLIDFKPEFEESKVALAEPDPVLAIIRTSDPQDFKEGIPTAKDLPNVLPYNNIQMEWTCSICQVTTTCEANLISHLHGRRHKDARENLKAHVRQKKIDISKDSDEFGRLPDVIEMEKCRDNLAKKPHSKSTHEPWTCVLCQVTTTTKTDLVSHFRGRRHKDSLEKLEAKVQISKINNSPPSAETGLNLHDRPRRHEDACENYKAYKQTTKSVWCSICNISCSSEGNMEAHLSGNMHLTRIQLNNVGSRLEI >Manes.03G074600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:12344330:12345142:-1 gene:Manes.03G074600.v8.1 transcript:Manes.03G074600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQSCVLFSLLIVFLHCTIILSQSPAAAPAQGPVAASPPPPVISQTPAASPAQPASVPAPTNVTKILEKDGHFTVFIRLLKSTQEENHLLTVLNNSNNGLTIFAPTDGAFSNLKSGTLNSLTDEQKSELVKFHVIPTFLSTSQFQTVTNPVGTEAGSGGRVALNFTTYPNSVNITTGLTNTSISGTVYTDNQLAIYRVDKLLLPMDIFTSKPPSPAPGPAPEKLKPKKEAPVAENPVVSTTVNTSRAVSPVQYHVVLLGVGIAAAIFAL >Manes.09G037500.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7093560:7104330:1 gene:Manes.09G037500.v8.1 transcript:Manes.09G037500.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSRCNGLLLEGFLQIVMYGKSLQQEGVGGHLSSNRPGASENQNDGESNIMNGCQDEIQDPSVHPWGGLTTTRDGSLTLLTCYINLKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGIRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDKIQADWHQTFADTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVNGLDLGGLTACFITLRAWKLDGRCTELSVKAHALRGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKERDKEKKCSESNNSLEVSKDETSASIDEEANNDVSCRDSVSETGDICLSRPESPDVQEKCSNGYASSEMQNNSCGSPDGGVTNVKDGTGSFMIEQSKFSYRRLKFRKEVPIEPSLKRSDRRRFAIVSENGATVNRSESRHYGDNFETPPRGVTGLNRQSRINRQKSNGRNCGLKFNEKFHCLNNRMDDRYDFHSCSCHQNNEYRVKVEPHVSAVRIGRDSKFGKSASTMEASKKFYCGNKYGQINYMREGCVRAKSKSFTANNSSSRDLLHPKKVWEPTESHKKYAWSNSDSDVTLRSATLKVEELESDNSLFKTPDDECSGEVTGNFGEIDEEEHNMGESGRSNLRINKGCLNGHSVEVKAPCYSTKTSYEEISSCRPQNSGSSGTSDPSIGSISNSDNCSSCLSEVDSNTASSNQGNLESSSTSDSEDTCQQSEVRESSLCQNGFPNSHKVAMEKKQIMNGGGEFGSRELFGLPADGLRMNALGNLPTKTVQLQNTDTRIGLQHQGMFPPMQNHNLQFPVFQSPSMNYYHQNPVAWPAAPPNGLMPFPHANHYLYAGPLSYGLNRNSQLHMQYSPVQHLATPIFHPGPVPVYQPVGKTNGLNSEEQTKMYAGQETLTEARTDKKESARSCSTEVLPSGAVGKVDNSSKLHVSNTSFSLFHFDGPVALSTGCKPDHEPSKDEIVGDLSSKFSTDQVDNDPGCNKKDTTMEEYNLFAASNGIRFSFF >Manes.09G037500.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7093448:7104330:1 gene:Manes.09G037500.v8.1 transcript:Manes.09G037500.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAQRNEQFSNASSGVYSLSANGFWSKHSGDVSYNQLQKFWSELSPQARQKLLQIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGVGGHLSSNRPGASENQNDGESNIMNGCQDEIQDPSVHPWGGLTTTRDGSLTLLTCYINLKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGIRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDKIQADWHQTFADTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVNGLDLGGLTACFITLRAWKLDGRCTELSVKAHALRGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKERDKEKKCSESNNSLEVSKDETSASIDEEANNDVSCRDSVSETGDICLSRPESPDVQEKCSNGYASSEMQNNSCGSPDGGVTNVKDGTGSFMIEQSKFSYRRLKFRKEVPIEPSLKRSDRRRFAIVSENGATVNRSESRHYGDNFETPPRGVTGLNRQSRINRQKSNGRNCGLKFNEKFHCLNNRMDDRYDFHSCSCHQNNEYRVKVEPHVSAVRIGRDSKFGKSASTMEASKKFYCGNKYGQINYMREGCVRAKSKSFTANNSSSRDLLHPKKVWEPTESHKKYAWSNSDSDVTLRSATLKVEELESDNSLFKTPDDECSGEVTGNFGEIDEEEHNMGESGRSNLRINKGCLNGHSVEVKAPCYSTKTSYEEISSCRPQNSGSSGTSDPSIGSISNSDNCSSCLSEVDSNTASSNQGNLESSSTSDSEDTCQQSEVRESSLCQNGFPNSHKVAMEKKQIMNGGGEFGSRELFGLPADGLRMNALGNLPTKTVQLQNTDTRIGLQHQGMFPPMQNHNLQFPVFQSPSMNYYHQNPVAWPAAPPNGLMPFPHANHYLYAGPLSYGLNRNSQLHMQYSPVQHLATPIFHPGPVPVYQPVGKTNGLNSEEQTKMYAGQETLTEARTDKKESARSCSTEVLPSGAVGKVDNSSKLHVSNTSFSLFHFDGPVALSTGCKPDHEPSKDEIVGDLSSKFSTDQVDNDPGCNKKDTTMEEYNLFAASNGIRFSFF >Manes.09G037500.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7093534:7104330:1 gene:Manes.09G037500.v8.1 transcript:Manes.09G037500.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREPRCTSWFCVADTAFQYEVSDDKIQADWHQTFADTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVNGLDLGGLTACFITLRAWKLDGRCTELSVKAHALRGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKERDKEKKCSESNNSLEVSKDETSASIDEEANNDVSCRDSVSETGDICLSRPESPDVQEKCSNGYASSEMQNNSCGSPDGGVTNVKDGTGSFMIEQSKFSYRRLKFRKEVPIEPSLKRSDRRRFAIVSENGATVNRSESRHYGDNFETPPRGVTGLNRQSRINRQKSNGRNCGLKFNEKFHCLNNRMDDRYDFHSCSCHQNNEYRVKVEPHVSAVRIGRDSKFGKSASTMEASKKFYCGNKYGQINYMREGCVRAKSKSFTANNSSSRDLLHPKKVWEPTESHKKYAWSNSDSDVTLRSATLKVEELESDNSLFKTPDDECSGEVTGNFGEIDEEEHNMGESGRSNLRINKGCLNGHSVEVKAPCYSTKTSYEEISSCRPQNSGSSGTSDPSIGSISNSDNCSSCLSEVDSNTASSNQGNLESSSTSDSEDTCQQSEVRESSLCQNGFPNSHKVAMEKKQIMNGGGEFGSRELFGLPADGLRMNALGNLPTKTVQLQNTDTRIGLQHQGMFPPMQNHNLQFPVFQSPSMNYYHQNPVAWPAAPPNGLMPFPHANHYLYAGPLSYGLNRNSQLHMQYSPVQHLATPIFHPGPVPVYQPVGKTNGLNSEEQTKMYAGQETLTEARTDKKESARSCSTEVLPSGAVGKVDNSSKLHVSNTSFSLFHFDGPVALSTGCKPDHEPSKDEIVGDLSSKFSTDQVDNDPGCNKKDTTMEEYNLFAASNGIRFSFF >Manes.09G037500.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7093450:7104330:1 gene:Manes.09G037500.v8.1 transcript:Manes.09G037500.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAQRNEQFSNASSGVYSLSANGFWSKHSGDVSYNQLQKFWSELSPQARQKLLQIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGVGGHLSSNRPGASENQNDGESNIMNGCQDEIQDPSVHPWGGLTTTRDGSLTLLTCYINLKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGIRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDKIQADWHQTFADTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVNGLDLGGLTACFITLRAWKLDGRCTELSVKAHALRGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKERDKEKKCSESNNSLEVSKDETSASIDEEANNDVSCRDSVSETGDICLSRPESPDVQEKCSNGYASSEMQNNSCGSPDGGVTNVKDGTGSFMIEQSKFSYRRLKFRKEVPIEPSLKRSDRRRFAIVSENGATVNRSESRHYGDNFETPPRGVTGLNRQSRINRQKSNGRNCGLKFNEKFHCLNNRMDDRYDFHSCSCHQNNEYRVKVEPHVSAVRIGRDSKFGKSASTMEASKKFYCGNKYGQINYMREGCVRAKSKSFTANNSSSRDLLHPKKVWEPTESHKKYAWSNSDSDVTLRSATLKVEELESDNSLFKTPDDECSGEVTGNFGEIDEEEHNMGESGRSNLRINKGCLNGHSVEVKAPCYSTKTSYEEISSCRPQNSGSSGTSDPSIGSISNSDNCSSCLSEVDSNTASSNQGNLESSSTSDSEDTCQQSEVRESSLCQNGFPNSHKVAMEKKQIMNGGGEFGSRELFGLPADGLRMNALGNLPTKTVQLQNTDTRIGLQHQGMFPPMQNHNLQFPVFQSPSMNYYHQNPVAWPAAPPNGLMPFPHANHYLYAGPLSYGLNRNSQLHMQYSPVQHLATPIFHPGPVPVYQPVGKTNGLNSEEQTKMYAGQETLTEARTDKKESARSCSTEVLPSGAVGKVDNSSKLHVSNTSFSLFHFDGPVALSTGCKPDHEPSKDEIVGDLSSKFSTDQVDNDPGCNKKDTTMEEYNLFAASNGIRFSFF >Manes.09G037500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7093576:7103165:1 gene:Manes.09G037500.v8.1 transcript:Manes.09G037500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIAQRNEQFSNASSGVYSLSANGFWSKHSGDVSYNQLQKFWSELSPQARQKLLQIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQEGVGGHLSSNRPGASENQNDGESNIMNGCQDEIQDPSVHPWGGLTTTRDGSLTLLTCYINLKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGIRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDKIQADWHQTFADTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVNGLDLGGLTACFITLRAWKLDGRCTELSVKAHALRGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEEAEEEEVVQDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRRKERLKGKERDKEKKCSESNNSLEVSKDETSASIDEEANNDVSCRDSVSETGDICLSRPESPDVQEKCSNGYASSEMQNNSCGSPDGGVTNVKDGTGSFMIEQSKFSYRRLKFRKEVPIEPSLKRSDRRRFAIVSENGATVNRSESRHYGDNFETPPRGVTGLNRQSRINRQKSNGRNCGLKFNEKFHCLNNRMDDRYDFHSCSCHQNNEYRVKVEPHVSAVRIGRDSKFGKSASTMEASKKFYCGNKYGQINYMREGCVRAKSKSFTANNSSSRDLLHPKKVWEPTESHKKYAWSNSDSDVTLRSATLKVEELESDNSLFKTPDDECSGEVTGNFGEIDEEEHNMGESGRSNLRINKGCLNGHSVEVKAPCYSTKTSYEEISSCRPQNSGSSGTSDPSIGSISNSDNCSSCLSEVDSNTASSNQGNLESSSTSDSEDTCQQSEVRESSLCQNGFPNSHKVAMEKKQIMNGGGEFGSRELFGLPADGLRMNALGNLPTKTVQLQNTDTRIGLQHQGMFPPMQNHNLQFPVFQSPSMNYYHQNPVAWPAAPPNGLMPFPHANHYLYAGPLSYGLNRNSQLHMQYSPVQHLATPIFHPGPVPVYQPVGKTNGLNSEEQTKMYAGQETLTEARTDKKESARSCSTEVLPSGAVGKVDNSSKLHVSNTSFSLFHFDGPVALSTGCKPDHEPSKDEIVGDLSSKFSTDQVDNDPGCNKKDTTMEEYNLFAASNGIRFSFF >Manes.01G004466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:2157692:2167019:-1 gene:Manes.01G004466.v8.1 transcript:Manes.01G004466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQETNCGSIFTSPCKNLRGLKTSVSNNEASFSEEIINDYELAQRKAEEAASRRSQAAEWLRQMDQGASATLPKNPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVENPILAVQSTEGAAQSAIQYFENMRNFLVAVKDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGLGVWRYGGLVKIVSLPKESPSSLVGSESADESVDGSECSQYEQLLDFLHLSNEVSMEESKIANALAFLFDHFGLGLLQAYLRESNGIEELPLNAMVIDALLSKVVKDFSALLVSQGTQLGLFLKKILKGDIGFLSKSEFIEAISQYLRQRTKMVSSDFSKFCVCGGKQEAVCHTVSHPFVQTEQTDLHQKQLEELRMYYKLTRREVKHMQADWKEELSRLEHHIKGLEVASTCYHQVLEENRQLYNQVQDLKGTIRVYCRVRPFLSAQSNVQSTVDYIGENGNIMIVNPLKHGKDARRIFSFNKVFGTSVTQEQIYIDTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTSEETWGVNYRALRDLFQITKARADFMKYEVGVQMIEIYNEQVRDLLVSDGSSRRLDIRNNSQLNGLNVPDASWIPVSSTQDVLDVMVIGQRNRAVGATALNERSSRSHSVLTVHVHGKELVSGSILRGCLHLVDLAGSERVDKSEAVGERLREAQHINRSLSALGDVISALAQKSAHVPYRNSKLTQVLQDSLGGQAKTLMFVHINPEVNAIGETISTLKFAERVASIELGAARSNKESGEIRELKEEISNLKEMLERKEAELEQVKVGNTRTTAESQRARPVSPFYMPRYGANANLKTETCRRENDEVRSSEPRSCSSGKQRRSRFPSALTDKETAGPKIASAVEESLSSSAKLRTSPSPPVRRSISTDRGSLIRGRTKAEIFDNQPVAKVPFPARVPVSKSIVAMPVITSTDNSSKVPYISPQEASKQNNISDTLFNLQKISSRKVFPEHEEEQFRQALNIRQGGIRKNKNESKVKAKHQLPAKIQKSDMLSDMDAIEKNEEPRKSDFSEPENDHLLPESPVLGALKLKKLQKSFSRNSQNLEPRGVVHAVEPLLAGKLENKLPNNAIRNAKEVGNTSTPEFRRSRSTPRGKFLILP >Manes.10G035200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:3529781:3530302:-1 gene:Manes.10G035200.v8.1 transcript:Manes.10G035200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLMFLFFFYFSTSTSVEGADIIEETCKKTEYYDLCVKTLRSDPRSSNADLKGLTQITLEASLVFGRGAFIKIKKMYNETKEKGLKSCLHVCVENYELAVVKNLPAAIKLLGQNKFNDVNSYLSAADDAPETCLDSFSEEPKTDVPPELAAWNDHFEQLCTIALDMLSNLDN >Manes.15G043966.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3400353:3404468:1 gene:Manes.15G043966.v8.1 transcript:Manes.15G043966.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVIGGGISGLVSAYALAKAGVDVVFYEKDDSLGGHSKTVTFHGLQLDMGFTSFNRVTYPNLVEFFESLGVEMELTDLSFSVSLDQGKGYEWGTRNHLSGLFAQKTNLVDPRFLRMLEELAKFKDDVLSYLEMLENNPDIDRNETLGSFIESGDYSDVFKKAYLIPLCSSIWSCCPEKVMSFSAYSVLSFCSNHHLLQFTGGPQWLTVRGRSHTYVNKVRERLESWGCQIRTGCKVVSVSTDDKGCRVICSDGSEEMFNGCIIAAHAPDALKMLGEQATIDEKRILGAFQYESSDIFLHRDKKFIPQNPAAWSAWNFLGSNDNKVCLTYWLNVLQNLGDTGLPFFVTLNPDYTPEHTLVKWTTSHIIPSVAADFLNIISLLAL >Manes.01G045560.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:12634223:12634784:-1 gene:Manes.01G045560.v8.1 transcript:Manes.01G045560.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTACSNNAYAMQDMRPNGYYHHHQGSKIKDKCSYFQMPLHYPRFKKRDYETMPEWKLECLLTEYGLPVVGDVDQKRKFAMGAFLWPSEKE >Manes.13G019000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2862868:2866458:1 gene:Manes.13G019000.v8.1 transcript:Manes.13G019000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCFVDKLKDQPSWLLVLFTIGSLSLLKFFFAFLKWVYVNFLRPAKNLKKYGSWALVTGPTDGIGKGFAFQLARKGMNLVLVGRNPDKLKDVSDSIQSKYGKVQIKSVVVDFSGDIDEGIRKIQETIEGLDVGVLINNVGVSYPYARFFHEVDEELLKNLIKVNVEGTTKVTKAVLPGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFFVPSTDGYARAGLRWIGYEPRCTPYWPHSLLWGLLYALPESIIDAWRLHFCLGIRKRGQQKDSRKKE >Manes.12G072300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7862925:7865645:-1 gene:Manes.12G072300.v8.1 transcript:Manes.12G072300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQAAVVQVALGFMGLCLAGYILGPPLYWHFKEGLAAVSHSSSACSPCVCDCSSQPLLTIPQGLSNASFADCAKRDPEVSEDTEKNFAELLTEELKLREAEALENQRRADMALLEAKKMTSQYQKEADKCNSGMETCEEAREKAEASLAAQKKLTAMWESRARQRGWKEGNARSRPQSQ >Manes.14G031100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2786690:2797359:1 gene:Manes.14G031100.v8.1 transcript:Manes.14G031100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSCFRVENVLPRAISQFKHSNVNGNGSIFSPPISSLALLSSSPLVSPKFHLVKTSASHSLSIKASSSSSSTAIAEPEGIKINTVPTKPIEGQKTGTSGLRKKVKVFMQENYLANWIQALFNSLSPEDYKNGLLVLGGDGRYFNKEASQIIIKIAAGNGVGKVLVGKEGIMSTPAVSAVIRKRKANGGFIMSASHNPGGPDYDWGIKFNYNSGQPAPESITDKIYGNTLSISQIKIADIPDIDLSRLGVTKYGNFIVEVVDPVSDYLELMEHVFDFELIRSLLSRPDFRFIFDAMHAVTGAYAKPIFVDKLGASLDSIANGVPLEDFGHGHPDPNLTYAKDLVNIMYSKNGPDFGAASDGDGDRNMILGRGFFVTPSDSVAIIAANSQGAIPYFKNGPKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDDGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAHRNKDKKPGEKLVSVADVVKEHWATFGRNFFSRYDYEECESEGANKMIEYLRDSISKSKQGDKHGSYVLQYADDFKYTDPVDGSVVTKQGVRFVFTDGSRIIFRLSGTGSAGATVRMYIEQFEPDASKHEMDAQTALKPLIDLAFSLSKLKDFTGREQPTVIT >Manes.14G121400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11736341:11737990:-1 gene:Manes.14G121400.v8.1 transcript:Manes.14G121400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASTSSKEAFNRVAWTPPEDKRLMDYISMHGPGKWERLAKELGLNRCGKSCRLRWLNYLRPGIKRGKFSEDEEDLIIRLHKLLGNRWSLIAGRIPGRTDNEIKNHWNTCLAKKAKDLNFTLPRLQKEKQSPSSSTSDN >Manes.14G121400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:11736341:11738078:-1 gene:Manes.14G121400.v8.1 transcript:Manes.14G121400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASTSSKEAFNRVAWTPPEDKRLMDYISMHGPGLNRCGKSCRLRWLNYLRPGIKRGKFSEDEEDLIIRLHKLLGNRWSLIAGRIPGRTDNEIKNHWNTCLAKKAKDLNFTLPRLQKEKQSPSSSTSDN >Manes.06G017500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2880890:2883522:-1 gene:Manes.06G017500.v8.1 transcript:Manes.06G017500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMYEQQQLQNDHMMMKMDLKNKKVDGNESIEEEDKLEVFVDLSNMCLDSLPNPSINLATICKLDLSNNNLQNIPESLTARLLNVVVLDLHSNQLKSLPNSIGCLKKLKVLNAAGNLLSFLPKTIENCRCLEELNANFNKLSMIPDTIGYELVNLKKLSVNSNKLLYLPHSISRLTSLKSLDARLNNLRSLPENLENLINLQVLNVSQNFQFLETLPYSIGLLISLVELDLSYNRITSLPDSMGCLRKLQKLSVEGNPLVSPPMEVVEQGLHAVKEYLSEKMTTGHHGPKKKSWVGKLVRYKTFNESTRNHRNTEEREGLISEYRSIEALASPRNLGMFSPRRFFLHKNNLH >Manes.06G017500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2880890:2882548:-1 gene:Manes.06G017500.v8.1 transcript:Manes.06G017500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGQNIPESLTARLLNVVVLDLHSNQLKSLPNSIGCLKKLKVLNAAGNLLSFLPKTIENCRCLEELNANFNKLSMIPDTIGYELVNLKKLSVNSNKLLYLPHSISRLTSLKSLDARLNNLRSLPENLENLINLQVLNVSQNFQFLETLPYSIGLLISLVELDLSYNRITSLPDSMGCLRKLQKLSVEGNPLVSPPMEVVEQGLHAVKEYLSEKMTTGHHGPKKKSWVGKLVRYKTFNESTRNHRNTEEREGLISEYRSIEALASPRNLGMFSPRRFFLHKNNLH >Manes.09G180300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36928681:36933546:-1 gene:Manes.09G180300.v8.1 transcript:Manes.09G180300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNAELSKKTAIFGLKVWELIGISVALFIIIILSVLSFCLTSRKKSRRDGNKLPISQIPTVSKEIKEVRVEQVSANEFVPHDGILLTVHDKTSDKDSDKVMVHLGMGKTKNGDNSSQSGSFHHLEKDCGSQSGEEGSSGTVTVYKPPSSSYPITAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGHLINGTPVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGIHRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARVKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPAHEVNLVDWLKMMVGSRRSEEVVDPNIEVRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPIPREDRRRRRTQGGGMEIESQKENSDTDRSDYPGSRSGSRRT >Manes.09G180300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36928681:36933546:-1 gene:Manes.09G180300.v8.1 transcript:Manes.09G180300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNAELSKKTAIFGLKVWELIGISVALFIIIILSVLSFCLTSRKKSRRDGNKLPISQIPTVSKEIKEVRVEQVSANEFVPHDGILLTVHDKTSDKDSDKVMVHLGMGKTKNGDNSSQSGSFHHLEKDCGSQSGEEGSSGTVTVYKPPSSSYPITAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYRGHLINGTPVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGIHRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARVKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYGRPAHEVNLVDWLKMMVGSRRSEEVVDPNIEVRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPIPREDRRRRRTQGGGMEIESQKENSDTDRSDYPGSRSGSRRT >Manes.02G204625.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:20089385:20090198:1 gene:Manes.02G204625.v8.1 transcript:Manes.02G204625.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQYSLEMPASHNESSSPEAKHVHKLSFLGKHMYNSSQFTTCILVDSKSRTHYPYHYPIHSRCNTPTETPSHHQKHPIINHKKTIDTIITSMYRKQQKLMRLSV >Manes.08G018200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1858205:1864476:-1 gene:Manes.08G018200.v8.1 transcript:Manes.08G018200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGHAKISLKVQKSAQHYTEAAMDEITILQQIAEADPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYHGMPIHKVKEICFHILVGLDYLHRQLSIIHTDLKPENILLLSMIDPSKDPRKSGAPLILPNSKDKTVVESVIAKLNGDLSRNQKKKIQRKGKRAAEGCVEKEVSVAADADPETSALEESSANAKINLGSAEDWPTTSDNTNKVLDADGAKSVGLEDQGNKRGSHSTRWKLLASVDLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYEFNEKDAHEMTDFLVPILDFVPEKRPTAAQCLLHPWISSGPRLLEPSMPSRQNEALEGPQSEKKEKDEREAMEVGIGNIAINADSKAVKDSPSGSKFSRTATTSSSR >Manes.08G018200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1858205:1864476:-1 gene:Manes.08G018200.v8.1 transcript:Manes.08G018200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKQKLVEEEDRESESADYTSEDEGTQDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTQKSHYVALKVQKSAQHYTEAAMDEITILQQIAEADPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYHGMPIHKVKEICFHILVGLDYLHRQLSIIHTDLKPENILLLSMIDPSKDPRKSGAPLILPNSKDKTVVESVIAKLNGDLSRNQKKKIQRKGKRAAEGCVEKEVSVAADADPETSALEESSANAKINLGSAEDWPTTSDNTNKVLDADGAKSVGLEDQGNKRGSHSTRWKLLASVDLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEFDDMKAVEPYHIR >Manes.08G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1858205:1864476:-1 gene:Manes.08G018200.v8.1 transcript:Manes.08G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKQKLVEEEDRESESADYTSEDEGTQDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDTQKSHYVALKVQKSAQHYTEAAMDEITILQQIAEADPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYHGMPIHKVKEICFHILVGLDYLHRQLSIIHTDLKPENILLLSMIDPSKDPRKSGAPLILPNSKDKTVVESVIAKLNGDLSRNQKKKIQRKGKRAAEGCVEKEVSVAADADPETSALEESSANAKINLGSAEDWPTTSDNTNKVLDADGAKSVGLEDQGNKRGSHSTRWKLLASVDLNCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYEFNEKDAHEMTDFLVPILDFVPEKRPTAAQCLLHPWISSGPRLLEPSMPSRQNEALEGPQSEKKEKDEREAMEVGIGNIAINADSKAVKDSPSGSKFSRTATTSSSR >Manes.15G036700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2869960:2872141:-1 gene:Manes.15G036700.v8.1 transcript:Manes.15G036700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVETEVAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFHARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Manes.16G042211.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5991520:5992209:-1 gene:Manes.16G042211.v8.1 transcript:Manes.16G042211.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEFIDLFNFCWFDMEILKKQSRLLKSSDVDANPVHQNQEEASKPELYRVPTIISRSMSDQFGSGLSLSPDSVLPTPKLQTILSDKVIEEGGIQTQRPFVQESHTRKTRSRRREKRTLSKSLSELEFEELKGFMDLGFMFSEEDKDSSLVSIVPGLQRLGKKDGEEESGGVDEAKVSRPYLSEAWHGLERRKKEDALMNWRLPALSNEIDMKDNLKSWAHTVASTVR >Manes.13G015623.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1891334:1893288:-1 gene:Manes.13G015623.v8.1 transcript:Manes.13G015623.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLHMLIFIRTNIINNSHGYDYDGSFSKIVPIGKIISFNQLVRKITRAIGLSEKNEYRETINFIKPTIVDGSLKFKCMEIWGEDNVSSMFNYLYQIGGMSGIEIYVKILRCVDAANEDADAANEDADIEQNSVDDYNLSDRVEDENDYNSWMSTEDDDNGEEDCGSKCRYYNTQFPNPIVPVVHPPPYSEIDFDLLRMNPYYKSESRSFWDPSKEFSVRIRFFSRDPVVAAAKEYHLRHHHQFCYRETREKTYFIKYSGLRTCINLQLMKNHNQLDENFICPFIFPLIEQQSNIKIAALQAEQSIKGFKHCRPVISIDWTFLYEKYIGCILCATALDGNNQLFPLAFAIVDKEDGDNWSWFMDCIKIYRHARILKAMHKYWWQSPPPPPPPPPPPPPPPPPPLCEHDILIS >Manes.08G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39038849:39040289:1 gene:Manes.08G152200.v8.1 transcript:Manes.08G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLPISDFLSSINFLFSSLLIMVHKCFLSLNLLLLFLGFSFVFSSLAVPSTRGLKSFEEIPSSVEDSLTQDAVGLNLGEEQVIDFGEGYINGRMDLESTDYPGTGANNHHDPKTPGTA >Manes.17G063000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26239294:26244615:1 gene:Manes.17G063000.v8.1 transcript:Manes.17G063000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAETMVAKKLKIKFPTQRMEAVPGIRVDSGCNVDKNYHSRACDMPKTKLEPAEPRANKRRPLQMLEGRSQKKRKMDHSVSRQCFAILKSLINNPSGWVFKEPVDPVALNIPDYFSIISHPMDLGTIKSKLEKNQYFGTEEFAADVRLTFSNAMLYNPPTNYVHKMAESLNKIFETKWKSLVEKWNCEVSESSGGKNLSRKPKEISTARQNSSQIPLLPKGSKLSEDKATRSSANAKAATVKHSKPVENCMRKASQLNSFEGTSGGKHACCYVSVKPSLSPVVGKCGRSVGSARQCCLPCDSTHASSDISSERSLSRDDTACGSDASKLDCQQKSMSTAQRSKSDLDSDGAVSALDDENACPSSQLMPCATDVTSGEGGIPHSFDVQLSPTKALRAAMLKYRFADTILKAQQKTLLDHCEKADLVKMQREKERLERKQREEKALIEAQIRAAEANSRRSAELELKKQREKEREAARVALQKMEKTVYFEQDLEILKELEMLSGGSLSYHFRIDSKCSQVSNGEMEGSYLRSPLERLGLFMKNDIWDEDDKLQNGDEEEGEIFP >Manes.04G160600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35509210:35515579:-1 gene:Manes.04G160600.v8.1 transcript:Manes.04G160600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEDSEPPFKRVKVPVGESKNFVEDSSVREPVACSLGDLMIRPLTSQGDGETVGSRGVIKRLEFVKIITTALYSLGYDESGTLLEEESGIPLHSPVVSLFMKQVMDEQWDESVATLEKIGILDETTVKLASFLLWEQKFLNLLKMDNVVAALDTLRNEIVPLHINLNRVHELAAYVISPSQCWVFGQDTEGANSRSKILEKLQKLLPPTVMIPEKRLEHLVEKALDVQRNSCVFHNTLDSDLSLYSDHQCGRNNIPSQTMQILEAHNDEVWFLQFSHNGKYLASSSKDCSAIIWEVKEDGQVTLKHILKGHQKPVSTVSWSPDDNQLLTCGQEEVIRRWDVSSGKCIHVYEKAGVGCGWFCDGMGIFSGLIDKSICLWDLDGKELECWKGQRIVTISDTAITDDGKSIISICRDTAILLLDREMKFERLIEEEEIITSFSLSKDNKFLLVNLINQEIHLWSIEGNPKIVSKYKGHTRARFVIRSCFGGFEQAFIASGSEDSQVYIWHRDSAELLLTLPGHSGAVNCVSWNPANLHMLASGSDDRTIRIWGLNHYNLKQRDQSNGIVQRRS >Manes.04G160600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35509642:35515299:-1 gene:Manes.04G160600.v8.1 transcript:Manes.04G160600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEDSEPPFKRVKVPVGESKNFVEDSSVREPVACSLGDLMIRPLTSQGDGETVGSRGVIKRLEFVKIITTALYSLGYDESGTLLEEESGIPLHSPVVSLFMKQVMDEQWDESVATLEKIGILDETTVKLASFLLWEQKFLNLLKMDNVVAALDTLRNEIVPLHINLNRVHELAAYVISPSQCWVFGQDTEGANSRSKILEKLQKLLPPTVMIPEKRLEHLVEKALDVQRNSCVFHNTLDSDLSLYSDHQCGRNNIPSQTMQILEAHNDEVWFLQFSHNGKYLASSSKDCSAIIWEVKEDGQVTLKHILKGHQKPVSTVSWSPDDNQLLTCGQEEVIRRWDVSSGKCIHVYEKAGVGCGWFCDGMGIFSGLIDKSICLWDLDGKELECWKGQRIVTISDTAITDDGKSIISICRDTAILLLDREMKFERLIEEEEIITSFSLSKDNKFLLVNLINQEIHLWSIEGNPKIVSKYKGHTRARFVIRSCFGGFEQAFIASGSEDSQVYIWHRDSAELLLTLPGHSGAVNCVSWNPANLHMLASGSDDRTIRIWGLNHYNLKQRDQSNGIVQRRS >Manes.17G059650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:25843794:25844273:-1 gene:Manes.17G059650.v8.1 transcript:Manes.17G059650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRMENRMEQVEGSVELLQEAVAATKLGQDQSRAELEQRFSRLESMIASLVKGKGTAEVGVSSGQTPEQQFQLNTSANKAISRPLLLEDAFSMAKKMEMPDFDGTDPVGCVARSEQFFEIQNIPEETKVPLALVSMEGAPLHWLRWLRQRNPLLTWE >Manes.15G107600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8623646:8627815:1 gene:Manes.15G107600.v8.1 transcript:Manes.15G107600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRNCSIPLFHIPTSLNTTQFTKTKFLNFQFNKLPTSSFSRIQKNVSNFKLFTNISSPSSVSTESFEPLEPEFEAATNEEKFDWYSQWYPVMPVCDLDKRVPHAKKVMGLDVVVWWDRNESAWKVFDDKCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPPDGPPVHKFKKACVAVYPSTVHHDIVWFWPNTDPQYKDVITKKKPPSIPPLEDPSFTKLMGSRDFPYGYDVLIENLMDPAHVAYAHYGILSTRKPQVMVDREGGRPIDISVKQLDKDGYIGKMDWGCSKFIAPCTFYVYAGPVADQVYGTVSSSQSKKNFFDQQLSTHQTMALIFICIPVCPGNSRLIWAFPRNFAVWADKIIPRWMYHVKQNLFLDSDLYLVHVQERKIMDVGPANWQKACFVPTKSDALVVGFRRWLNKYAGGQVDWRGKYSGALPPTPPREQLMDRYWSHVVNCRSCNSAHKGLSAFEVILHVISLVSIGIVAAAKQGAISAAARSTVVALAVVCFAASRWLSHYIYKTFHYHDYNHALH >Manes.03G017900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1515810:1523634:-1 gene:Manes.03G017900.v8.1 transcript:Manes.03G017900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAPQYPYGITAHFDGNFGYKLDTSSSKFPCKVGFLRLNLYQSSASITNSKGFVSRICSVPDLDDIFWEKVSTPILDVVENPIHLKNLTPKELKQLADEIRAELSSIMSRTHKSIKASLAVVELTVAVHHVFNAPVDKILWDFGEQTYAHKILTGRRSLMHTLRLKNGLSGFTSRSESEYDPFGAAHGCNSISAGLGMAVARDVKGKRERVVTVISNGTTMAGQVYEAMSNAGYLDSNVIVILNDRRHSLHPKIEEGPKTSINALSSTLSKLQSNKSFRKFREVAKGVTKRIGMGMHELAAKVDEYARGMIGPLGSTLFEELGLYYIGPVDGHSIEDLVCVLQEVASLDSMGPVLIHVITEENREPEDKQKSKELQEGSFLFDSSLYSSHTRTYSDCFAEALVMEAEKDKDIVTVHAGMEMEKAFQLVLERFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVIHDVDVQKIPVRFVITSAGLVGSDGPTQGGPFDITFMSCLPNMIVMAPSDEDELVDMVATAVHIDDRPVCFRYPRGAIIGTDHFVRSGIPIESRLEREKFL >Manes.03G017900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1515810:1523634:-1 gene:Manes.03G017900.v8.1 transcript:Manes.03G017900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAPQYPYGITAHFDGNFGYKLDTSSSKFPCKVGFLRLNLYQSSASITNSKGFVSRICSVPDLDDIFWEKVSTPILDVVENPIHLKNLTPKELKQLADEIRAELSSIMSRTHKSIKASLAVVELTVAVHHVFNAPVDKILWDFGEQTYAHKILTGRRSLMHTLRLKNGLSGFTSRSESEYDPFGAAHGCNSISAGLGMAVARDVKGKRERVVTVISNGTTMAGQVYEAMSNAGYLDSNVIVILNDRRHSLHPKIEEGPKTSINALSSTLSKLQSNKSFRKFREVAKGVTKRIGMGMHELAAKVDEYARGMIGPLGSTLFEELGLYYIGPVDGHSIEDLVCVLQEVASLDSMGPVLIHVITEENREPEDKQKSKELQEGSFLFDSSLYSSHTRTYSDCFAEALVMEAEKDKDIVTVHAGMEMEKAFQLVLERFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVIHDVDVQKIPVRFVITSAGLVGSDGPTQGGPFDITFMSCLPNMIVMAPSDEDELVDMVATAVHIDDRPVCFRYPRGAIIGTDHFVRSGIPIEVGKGKVLIEGKDVALLGYGAMVQNCLKARHLLSKLGIEVTVADARFCKPLDIKLLRQLCENHPFLVTVEEGSVGGFGSHVAQFMALDGKLDGRVKWRPIVLPDSYIEHALPKEQLNLAGLTGHHIAATVLKLLGRTREALLLMS >Manes.03G017900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1516371:1523702:-1 gene:Manes.03G017900.v8.1 transcript:Manes.03G017900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAPQYPYGITAHFDGNFGYKLDTSSSKFPCKVGFLRLNLYQSSASITNSKGFVSRICSVPDLDDIFWEKVSTPILDVVENPIHLKNLTPKELKQLADEIRAELSSIMSRTHKSIKASLAVVELTVAVHHVFNAPVDKILWDFGEQTYAHKILTGRRSLMHTLRLKNGLSGFTSRSESEYDPFGAAHGCNSISAGLGMAVARDVKGKRERVVTVISNGTTMAGQVYEAMSNAGYLDSNVIVILNDRRHSLHPKIEEGPKTSINALSSTLSKLQSNKSFRKFREVAKGVTKRIGMGMHELAAKVDEYARGMIGPLGSTLFEELGLYYIGPVDGHSIEDLVCVLQEVASLDSMGPVLIHVITEENREPEDKQKSKELQEGSFLFDSSLYSSHTRTYSDCFAEALVMEAEKDKDIVTVHAGMEMEKAFQLVLERFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVIHDVDVQKIPVRFVITSAGLVGSDGPTQGGPFDITFMSCLPNMIVMAPSDEDELVDMVATAVHIDDRPVCFRYPRGAIIGTDHFVRSGIPIESRLEREKFL >Manes.03G017900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1516292:1523714:-1 gene:Manes.03G017900.v8.1 transcript:Manes.03G017900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAPQYPYGITAHFDGNFGYKLDTSSSKFPCKVGFLRLNLYQSSASITNSKGFVSRICSVPDLDDIFWEKVSTPILDVVENPIHLKNLTPKELKQLADEIRAELSSIMSRTHKSIKASLAVVELTVAVHHVFNAPVDKILWDFGEQTYAHKILTGRRSLMHTLRLKNGLSGFTSRSESEYDPFGAAHGCNSISAGLGMAVARDVKGKRERVVTVISNGTTMAGQVYEAMSNAGYLDSNVIVILNDRRHSLHPKIEEGPKTSINALSSTLSKLQSNKSFRKFREVAKGVTKRIGMGMHELAAKVDEYARGMIGPLGSTLFEELGLYYIGPVDGHSIEDLVCVLQEVASLDSMGPVLIHVITEENREPEDKQKSKELQEGSFLFDSSLYSSHTRTYSDCFAEALVMEAEKDKDIVTVHAGMEMEKAFQLVLERFPDRFFDVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVIHDVDVQKIPVRFVITSAGLVGSDGPTQGGPFDITFMSCLPNMIVMAPSDEDELVDMVATAVHIDDRPVCFRYPRGAIIGTDHFVRSGIPIEVGKGKVLIEGKDVALLGYGAMVQNCLKARHLLSKLGIEVTVADARFCKPLDIKLLRQLCENHPFLVTVEEGSVGGFGSHVAQFMALDGKLDGRVKWRPIVLPDSYIEHALPKEQLNLAGLTGHHIAATVLKLLGRTREALLLMS >Manes.09G048028.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10175663:10176460:-1 gene:Manes.09G048028.v8.1 transcript:Manes.09G048028.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLEGWRAKTLSMAGRTTLVKSVLSSLPIYPMQCNLLSVSLCKEMEQVCRDFIWQGSNQSLKVHLVAWDILKRPRDHGGLGIRDFSTMNKALLGKLAWRAMENDDCLWTKCFIKKYLQGRSKWTPNAAASSSHIWKAFCKGYGSIKDGLMVDVRNGSSTNFWFDSWLSIGPLAQFALIPIDDSMATVSVRHFWSPLTGWNWDVLKDLLPDNILQFIQPICLSNVAANTDSLSWKWSSKVILPFAQLIILSMESIVVMEILYGR >Manes.10G026500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2616718:2619835:-1 gene:Manes.10G026500.v8.1 transcript:Manes.10G026500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAESSFKEPRWSLHGMTALVTGGTRGIGNATVEELAGLGARVHTCSRNEAELNKCLKEWEAKGFVVTGSVCDATSRAQREKLIEQVGSVFNGSLNILVNNVGTNIRKPTMEYSAEEFSKLMATNFESAYHMCQLAHPLLKASGAGSIVFNSSVAGLVHIGNGSIYGPSKGAINQLTKNLACEWAKDNIRTNSVAPWYIRTSHVENLLANKDFSDKIVARTPLQRVGEPKEVSSLVAFLCLPAASYITGQIISVDGGFTVNAFDPGMRLD >Manes.13G051764.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6048290:6051806:1 gene:Manes.13G051764.v8.1 transcript:Manes.13G051764.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFDLPVGDDMMNEDMDIPDDNPILKVGEEEIGKQGLKKKLLKEGEGWDTPENGDEENSVCFSHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDQGIKTMKKGENAIFTIPPDLAYGASGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILVEGEKWENPKGLDEVSVKYEARLEDGTIVKSDEVEFTVKEGHFCPALSRAVKTMKKGEKVLLTVKPQYGFGEKGKPASANEGGVLPNAILQITLELVSWKTVSEVTDDKKVVKKILKESEGYDRPNDGSVVKLKLIGKLQDGTMFLKKGHDIEDELFEFKTDEEQVIDGLDRAVTTMKKGEVALVTITPEYAFGSSGSQQELAAVPLNSTVYYEVELVSFVKEKESWDMNSQENIEGAGKRKEESNVLFKAGKYARASKRYEKAVKYIEYDSSFSEEEKKQAKALKVACNLNNALLALEMESRNVKAHYRRAQAYIQLADLDLAEFDIKKALEIDPDNKDVKLEYKTLKEKMREYNKKEAKFYGNMFAKMNKLGPLDSNVKI >Manes.16G060450.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18673275:18675784:1 gene:Manes.16G060450.v8.1 transcript:Manes.16G060450.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVHGLGVFIRTDRFKPALPTNLVKPKYLIGLDLSVGSQGKPFTPDLTSLTLLFFFSSLLFHSLPSTSISSHFKLRFPCRR >Manes.16G060450.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18673275:18674336:1 gene:Manes.16G060450.v8.1 transcript:Manes.16G060450.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVHGLGVFIRTDRFKPALPTNLVKPKYLIGLDLSVGSQGKPFTPDLTSLTLLFFFSSLLFHSLPSTSISSHFKLRFPCRR >Manes.16G060450.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18673275:18675784:1 gene:Manes.16G060450.v8.1 transcript:Manes.16G060450.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVHGLGVFIRTDRFKPALPTNLVKPKYLIGLDLSVGSQGKPFTPDLTSLTLLFFFSSLLFHSLPSTSISSHFKLRFPCRR >Manes.03G073400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:12024179:12025129:-1 gene:Manes.03G073400.v8.1 transcript:Manes.03G073400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLWLPKCTSTTTKTSEGVTSTASITTMVDKSRQECCCGSLQKMLKKLKKQVKMLRATSASKQSSFQCRYDPLSYSLNFDTSGYGSLEKDQDYYQFCAFSSRFVGNPRASLQRLTAAAASH >Manes.06G151800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27927645:27930303:-1 gene:Manes.06G151800.v8.1 transcript:Manes.06G151800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSFIDEKAESRLYIGNLDLRITEAALIKMFSPYGNIVSEEFLWHTRGPKRGEPRGFAFIQFSTKEEAKLAKEKMHGRLACGRPLVVRLASEKQLEEAAQNSSRAVGEMSKTGIIGGTSGQMSRIAKIAAIKNKLRTLEEEGCSMKKQKQAGTISCNKSVEQSSGRK >Manes.04G039700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:6126184:6130598:1 gene:Manes.04G039700.v8.1 transcript:Manes.04G039700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFSKRQSPRIGSMVEVEEEREIALVTTWKLSNLMLHDSVSLLGLEDQLECIDSKLREIVHLGHLNWSKSLKEIAYDIEEVVDVLVIKASQKIGAGILMRCVLAFTDLIEKYKLCRKLEHIKVDLFELRKLYFSRFAWWRLSKKDLDVGETVISPVIRRVTSIISDGDVTPAVKKQARWLRDEFISLHGFLKAIEVNGLTEGGEAWMEEVYDALRLAEDAIGLFLYEREKLRRTWTGPLKNLALALRKFLSERKLGKEMHKIKAKIQDISVRKLSAIQRRPMRVPIDIVRPMRVPIDIVRPTPYNSFNVDEQPDIPIFDDDIDDIMEMLLRDDPNCLTISIVGMRGIGKTSLAKLIFENHAIINHFPYRVWVPSASMDSLLEQIAREEYEMMAVKYKKRTSTSMDRNDFLYRSRQILNASLKSKKYLIVIDDECRESLWNELGVAVGDLSNGTRILFTARKVGLTPQLSDRNFTYRLQLRSDDESWALFTHSLNKDIPLELLKLRREILRRCGGLPLMIKELAGLLSNKAATTEEWSRVLEQLNQNEGPWYEILYGINRHLPLYLRRCLFYFVLFPEDFEVPARRLIGLWVAEGLGRQKGDQEPPECVSEKCLIELVNQNMIQVTKKKMNGKISRCRLPDALRVHWLPKAREANFLQDNMGINLSMNNTSLIRRLADHLDHKDASFDHIHGNRISSSVYSSYRNVVSFLSFDTQEGSRAGEDVENFLERCISSGSFYFLWVLDLENVYKPKLPKAVGQLTRLRYLGLRSTYMETLPVFIDKLLNLQSLDLKRTCINTVPNSIWKMQNLRQLFLDESFCIMFVPQQEDSSLVDLQTLWGALVDETSPVRNGLGRLSKLTKLGLKCKSSVSSQNEAMSSQLVAVANWVTKMKHLQYLRLKSFDESGLPWDLYLESLLDHKDLCSLYLVGRLKNQHLVSEFPSNLIELTLSASEIAKDPMQTLDKLPNLRILKLLSRSFTGKKMLSRSDGFAKLEILKFWELEALEEWNVEEGALCSLKDLEIRSCRNLKMLPDGLKLIRTLRELKLTRQPELSARIRDNQGEDWNKISHARHIYIED >Manes.02G151400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11587911:11589944:1 gene:Manes.02G151400.v8.1 transcript:Manes.02G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTFAEKPHAVCIPYPAQGHINPMLKLAKLLHHKGFHITFVNTEYNHNRLLKSRGPDSLNGLPSFQFRTIPDGLPPTDTDATQDIPSLCQSTRKTCLSPFRDLLSKLNHTSSSNVPPVTCIVSDGVMSFTLDAAQELGIPEVLFWTTSACGFMAYLHYRHLIEKGFTPLKDESYLTNGYLDTVIDWIPGMKGIRLRDIPSFIRTTDPEDGMLDFVLSETKRAQKASAIILNTFDALEHDVLSALSSLLPPVYSIGSLQLILNNVNDEDLKLIGSNLWKEESGCLEWLDSKEPNSVVYVNFGSITVMTTEQLVEFAWGLANSSQTFLWVIRPDLVSGDTAVLPAEFLTVTKERGLLASWCPQEQVLNHPSIGAFLTHNGWNSTLESICGGVPMICWPFFAEQQTNCRYCCKEWGIGMEINSNVKRDEIESLVIELMEADKGKEMKKKAMEWRKMAEEAAASLEGSSFRNLDNLINQVLLSSKNYSDRGM >Manes.08G094400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:31932650:31935532:1 gene:Manes.08G094400.v8.1 transcript:Manes.08G094400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIGSIDTCKPTNGDMCSPANGTVSTIQTSVPPAVINSHESTLGRHLARRLVQIGISDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCFQAVVNNLEDAHEQIDTAISTALKESKPVYLSISCNLPAIPHPTFSRDPVPFSISPRSSNQMGLEAAVEAAAEFLNKAVKPVLVGGPKLRVAKACNSFVELADATGYALAVMPSAKGLVPEFHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVQMKDFLHALAKRLKPNTTAHENYRRIFVPEGHPLKSEPKEPLRVNILFPHIQNLLSGETAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLIDAIHNGEGKCWTAKVHCEEELIEAIDAATGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Manes.06G177100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30041171:30049316:-1 gene:Manes.06G177100.v8.1 transcript:Manes.06G177100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSNDSHKEDISESEPILCQSTILHHSQESSSSCEIISVVQDCDGDDLESVHIDETCHLVNSDQPQCRICLDNGGEDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFVLRANVPPDRWWLRLKFQFLVARDHALIFVAVQLIVALLGMLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREASKTVPELDGSHVTELRMLGLY >Manes.05G164700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27706538:27712261:1 gene:Manes.05G164700.v8.1 transcript:Manes.05G164700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFLPAAGLLVFEASRIGKRPSLDVELEHGEKKTTDLKINQTVSESKKKIQENLDRLDPPRCLKLLPPTELQHLEIPMHDESSPVKNVVYISEKDTQHGGNTTLPQLRTVGTRFNLFTGDQTLEKREKSFKVSETPQIHCGFYGENGGFKISDEDKIYMQTCKVVVSTCAFGGGDDLYQPIGMVDTSLQKVCYVAFWDEITSAAQELKGHRIGEDHFIGKWRIVVVRDLPFIDQRLNGKIPKMLGHRLFPHAKFSIWVDSKSQFRRDPLGVLEALLWRTNSVLAISQHGARSNVYEEATAVVKKHKASPEEVEVQLTQYRQDGLPEDKRFNGRKALNEASVIVRKHTPLTNLFMCLWFNEVVRFTSRDQLSFPYVLWRLKLLKDINVFPVCVRKDLVNSMGHISKAKPLIN >Manes.05G164700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27706538:27712261:1 gene:Manes.05G164700.v8.1 transcript:Manes.05G164700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIFNNSSISISVSDEESDELSRIRERVRRKRKKQQVHRVKHEFSQRVLRFLLKYWMVLIFLPAAGLLVFEASRIGKRPSLDVELEHGEKKTTDLKINQTVSESKKKIQENLDRLDPPRCLKLLPPTELQHLEIPMHDESSPVKNVVYISEKDTQHGGNTTLPQLRTVGTRFNLFTGDQTLEKREKSFKVSETPQIHCGFYGENGGFKISDEDKIYMQTCKVVVSTCAFGGGDDLYQPIGMVDTSLQKVCYVAFWDEITSAAQELKGHRIGEDHFIGKWRIVVVRDLPFIDQRLNGKIPKMLGHRLFPHAKFSIWVDSKSQFRRDPLGVLEALLWRTNSVLAISQHGARSNVYEEATAVVKKHKASPEEVEVQLTQYRQDGLPEDKRFNGRKALNEASVIVRKHTPLTNLFMCLWFNEVVRFTSRDQLSFPYVLWRLKLLKDINVFPVCVRKDLVNSMGHISKAKPLIN >Manes.05G164700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27706538:27712261:1 gene:Manes.05G164700.v8.1 transcript:Manes.05G164700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCKVVVSTCAFGGGDDLYQPIGMVDTSLQKVCYVAFWDEITSAAQELKGHRIGEDHFIGKWRIVVVRDLPFIDQRLNGKIPKMLGHRLFPHAKFSIWVDSKSQFRRDPLGVLEALLWRTNSVLAISQHGARSNVYEEATAVVKKHKASPEEVEVQLTQYRQDGLPEDKRFNGRKALNEASVIVRKHTPLTNLFMCLWFNEVVRFTSRDQLSFPYVLWRLKLLKDINVFPVCVRKDLVNSMGHISKAKPLIN >Manes.05G164700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27706538:27710977:1 gene:Manes.05G164700.v8.1 transcript:Manes.05G164700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIFNNSSISISVSDEESDELSRIRERVRRKRKKQQVHRVKHEFSQRVLRFLLKYWMVLIFLPAAGLLVFEASRIGKRPSLDVELEHGEKKTTDLKINQTVSESKKKIQENLDRLDPPRCLKLLPPTELQHLEIPMHDESSPVKNVVYISEKDTQHGGNTTLPQLRTVGTRFNLFTGDQTLEKREKSFKVSETPQIHCGFYGENGGFKISDEDKIYMQTCKVVVSTCAFGGGDDLYQPIGMVDTSLQKVCYVAFWDEITSAAQELKGHRIGEDHFIGKWRIVVVRDLPFIDQRLNGKIPKMLGHRLFPHAKFSIWVDSKSQFRRDPLGVLEALLWRTNSVLAISQHGARSNVYEEATAVVKKHKASPEEVEVQLTQYRQDGLPEDKRFNGRKALNEASVIVRKHTPLTNLFMCLWFNEVVRFTSRDQLSFPYVLWRLKLLKDINVFPVCVRKDLVNSMGHISKAKPLIN >Manes.05G164700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:27706538:27712261:1 gene:Manes.05G164700.v8.1 transcript:Manes.05G164700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCKVVVSTCAFGGGDDLYQPIGMVDTSLQKVCYVAFWDEITSAAQELKGHRIGEDHFIGKWRIVVVRDLPFIDQRLNGKIPKMLGHRLFPHAKFSIWVDSKSQFRRDPLGVLEALLWRTNSVLAISQHGARSNVYEEATAVVKKHKASPEEVEVQLTQYRQDGLPEDKRFNGRKALNEASVIVRKHTPLTNLFMCLWFNEVVRFTSRDQLSFPYVLWRLKLLKDINVFPVCVRKDLVNSMGHISKAKPLIN >Manes.S027516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:464044:464418:1 gene:Manes.S027516.v8.1 transcript:Manes.S027516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.06G135000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:26300590:26300763:-1 gene:Manes.06G135000.v8.1 transcript:Manes.06G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSCFSFIVGTVTGVYIAQNYDVPNIKKLATSGLFMAKLIEEKYRKPKNKNDDD >Manes.04G090200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29540442:29541946:1 gene:Manes.04G090200.v8.1 transcript:Manes.04G090200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAFMGCGVSKIDLKEAGQIYPIRSLRRRSSLNDSDSSSRKNRKSVSSVNSEGGGFMKRVIGSNEKERGVERDLEAGNDLAISRSKQPQGETMELKESPKEKTKSQNKDHDHDHDPNDDDDDHHPEDMRPSNADERSASILYSPGSPSFRVYCVTDMMIASYEDNDDDEEREESKTNKENNKGPEIPPAKRGRKGRGFRSAMNMGGPARGLRGVLQRGGSAGKNILSANSCRIQTSSPSNNESTAKLIAKAG >Manes.14G145700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:15190536:15191922:1 gene:Manes.14G145700.v8.1 transcript:Manes.14G145700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLFMSVLVSSLMVSSASNFYNDFDITWGDGRAKILNNGQLLTLSLDQASGSGFQSRNEYLFAKIDMQLKLVPGNSAGTVTAYYLKSNGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYTILWNPQRIILSVDGTPIREFKNLESMGVPYPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYRNFKANACAWSNGVSSCGTSNSMTNSWLSEELDSTSHERLQWVRNNYMIYNYCTDANRFPQGFPPECNLS >Manes.13G089267.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12743789:12746843:-1 gene:Manes.13G089267.v8.1 transcript:Manes.13G089267.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVGLLKVTVVQGKKLVIRDFKSSDPYVVVKLGNQTLKTKVINSCLNPVWNEELSFTLTEPVGALSLEVFDKDRFKADDKMGHAQLNLQPIVSAARLKQILQVCSGETILRKAVPDSDNCLARESSISCINGEVVQSVWLRLCAVESGEIELKIKLIDRPVASSR >Manes.13G089267.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12743789:12746848:-1 gene:Manes.13G089267.v8.1 transcript:Manes.13G089267.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVGLLKVTVVQGKKLVIRDFKSSDPYVVVKLGNQTLKTKVINSCLNPVWNEELSFTLTEPVGALSLEVFDKDRFKADDKMGHAQLNLQPIVSAARLKQILQVCSGETILRKAVPDSDNCLARESSISCINGEVVQSVWLRLCAVESGEIELKIKLIDRPVASSR >Manes.13G089267.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12743789:12746848:-1 gene:Manes.13G089267.v8.1 transcript:Manes.13G089267.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVGLLKVTVVQGKKLVIRDFKSSDPYVVVKLGNQTLKTKVINSCLNPVWNEELSFTLTEPVGALSLEVFDKDRFKADDKMGHAQLNLQPIVSAARLKQILQVCSGETILRKAVPDSDNCLARESSISCINGEVVQSVWLRLCAVESGEIELKIKLIDRPVASSR >Manes.13G089267.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12744082:12746848:-1 gene:Manes.13G089267.v8.1 transcript:Manes.13G089267.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVGLLKVTVVQGKKLVIRDFKSSDPYVVVKLGNQTLKTKVINSCLNPVWNEELSFTLTEPVGALSLEVFDKDRFKADDKMGHAQLNLQPIVSAARLKQILQVCSGETILRKAVPDSDNCLARESSISCINGEVVQSVWLRLCAVESGEIELKIKLIDRPVASSR >Manes.13G089267.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:12744082:12746764:-1 gene:Manes.13G089267.v8.1 transcript:Manes.13G089267.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVGLLKVTVVQGKKLVIRDFKSSDPYVVVKLGNQTLKTKVINSCLNPVWNEELSFTLTEPVGALSLEVFDKDRFKADDKMGHAQLNLQPIVSAARLKQILQVCSGETILRKAVPDSDNCLARESSISCINGEVVQSVWLRLCAVESGEIELKIKLIDRPVASSR >Manes.11G090200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16919034:16920996:1 gene:Manes.11G090200.v8.1 transcript:Manes.11G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILPLFRGALLCFYVSLLFYATFCFGEDDKSSAVEVVGIGECADCAASNFKTSQALSGLRVTIDCKPENGKFKTRGTGELDEEGKFKVSLPNDIVEEGKLKEECYAQLHSASATPCPTHSGLESSKIIFKSKSNGKQTFGLAGKLKVSPVTCTSAFLWPHFKHPPLPKLPPMPKWKLPPLKSFGHGHPFLFPPKVFPPLPPKIFHPLPPKVFPPKPLPPPVPVYKPPPKPPVFEPPPVPIYKPKPPVFKPPPVPIYKPKPPIFKPPPVPIYKPKPPIFKHPPIPIYKPKPKPPPVPIYKPKPPVYKPPPVPVYKPEPKPPVYKPPPVPVYKPEPKPPVYEPPPVPVYKPEPKPPIYNPPPVPIYKPTPPIFYKPLPPIPKIPPFYKKPCPPLPKLPPFPKIPPKPFHHPMFGKWPPLPPSSPIH >Manes.06G163800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29068299:29071925:1 gene:Manes.06G163800.v8.1 transcript:Manes.06G163800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGGAEKKRVRRSSGAVQNGTRDPNSDTPPRRQSPKKDVFQLFAEKVRDHKDLVSRWAVLQETRVEYFRGKDFVNFLKNNPELKDILESNKNLDVEEIANTLLRKNLLVRCDRVVKTVRPGKKKLSTWPAHLELFTDQVFSENDAFFAWTFVKRRPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCAGVLLLILSLLLIRATLFGVLYIVIGKRVWFFPNILAEEATLRELFRFWPKKDEEERPKWTARLFYAVVAVLAILLLRHHAPDEAARARYQKRMSNIIDDVLEWSPRLALSGMMEKQQTVVNATEPNNISADGGKTNTENSDQSGDEIYGKIDDMDQPQHQDHM >Manes.01G024400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5209453:5215289:1 gene:Manes.01G024400.v8.1 transcript:Manes.01G024400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSARSLHRLFLMAPKPKPHRLHQGTSLQSPVKRVRTMTTESSFKDAFAKYAEYLNDLNDKRERVVKASRDVTMNSKKVIFQVHRMSKYNKEEILEKAEKDLAAVADQYMSRLVKELQGTDFWKLRRAYSPGVQEYVEAATFCKFCRTGTLLHLDEINASLLPLSDPTLEPLQLNILDYLLGLADLTGELMRLAIGRISDGEPEFAKRICEFVREIYRELSLIVPHMDDSSDMKTKMDTMLQSVLKIENACFSVHVRGSEYMPLLGSSEPSSFLLGVSDIEL >Manes.01G024400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5209453:5215289:1 gene:Manes.01G024400.v8.1 transcript:Manes.01G024400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSARSLHRLFLMAPKPKPHRLHQVAGTSLQSPVKRVRTMTTESSFKDAFAKYAEYLNDLNDKRERVVKASRDVTMNSKKVIFQVHRMSKYNKEEILEKAEKDLAAVADQYMSRLVKELQGTDFWKLRRAYSPGVQEYVEAATFCKFCRTGTLLHLDEINASLLPLSDPTLEPLQLNILDYLLGLADLTGELMRLAIGRISDGEPEFAKRICEFVREIYRELSLIVPHMDDSSDMKTKMDTMLQSVLKIENACFSVHVRGSEYMPLLGSSEPSSFLLGVSDIEL >Manes.13G145809.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35918933:35964256:1 gene:Manes.13G145809.v8.1 transcript:Manes.13G145809.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCENIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRQKGTT >Manes.13G145809.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35960874:35964256:1 gene:Manes.13G145809.v8.1 transcript:Manes.13G145809.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYAFIGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRYLRDDPNYDALIAALYPDIDKYEEEELAFHEEERIRNKQIQASIAQIFQRQSEALVRRRTMGKETTGPFMERSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLRSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCENIAQKKSLEAEEAEIFLVKGQHNLIDNLSSVHPPISVDELQILKGQETLACLRANCTSNRDYMILAYRQKGTT >Manes.13G145809.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35918888:35922560:1 gene:Manes.13G145809.v8.1 transcript:Manes.13G145809.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAFIGNIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVRRHTMGKETTGPFMEKSQRNHRTVPSRRRRNSRGTEFQGSEDIDYENDDNGGKDSSSTDERSTEVRQRRRKRRPGIRPSQPSSSASNPEGGCIENDLEATRENRGISPGLVWNTEMLAWGRGGTRSHTRHGNASGCNNKTARSTRISKLVEYLGSLEEKNDELDVHLMLTSMDKESFTNLKQPYLCCQPSLSVKHLCEYIAQKKSLEAEEVEIFLVKGQHNLIDNLSSVHPPISVDELNFERAGNFGMS >Manes.15G152800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12682466:12685935:-1 gene:Manes.15G152800.v8.1 transcript:Manes.15G152800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMATIDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMTIMLIGNKCDLAHRRAVSKEEGEQFAKENGLLFMEASARTAQNVEEAFIRTAGKILQNIQEGVFDVSNESSGIKVGYGRPQGLSGGNGAVTQRGGCCG >Manes.16G053863.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18489993:18538273:1 gene:Manes.16G053863.v8.1 transcript:Manes.16G053863.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNKNINAKLDRSTGRMIGTGSESQGLYHLSTSSVAFVSTTSAELIHNRLGHPSLLKLQKIVHSLSSLSSLSCESCQLGKQTRASFPKRVNNRGTSMFDIVHSDIWGPSRVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDMSNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDAKKVAAEEAQAYAQENGLFFMETSAKTATNVNEIFYEIAKRLPRVQPAQNPSGMVLMDRPAERTASASCCS >Manes.15G039100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3069522:3072643:1 gene:Manes.15G039100.v8.1 transcript:Manes.15G039100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFAGTPGTLTALVLRISQCIFAAGSIAAMATTSTFFNFTAFCYLVASMGLQVIWSFGLALLDAYSLVQRKVLNNSILVSLFVVGDWVTATLSLAAASASAGITVLLFQDVEHCSYLKECEKYQISVALAFLSWGAIAISSLIMLWLLAAG >Manes.01G132200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32506755:32513587:1 gene:Manes.01G132200.v8.1 transcript:Manes.01G132200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDFEYRRKERVALVAIVVIASLAVACLLVAFSYYCYIVNKLSKRRDTHKKDNYEEKGSFGNLKVATEKGLQVFTFKQLYSATGGFSKSNVVGHGGFGSVYRGVLNDGKKVAVKLMDQAGKQGEEEFKVEVELLSRLCSPYLLTLLGYCSDNNHKLLVYEFMANGGLQEHLYPLNGHNTVHMRLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKNFHAKVSDFGLAKLGPDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEAVLVPWV >Manes.01G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32506755:32513587:1 gene:Manes.01G132200.v8.1 transcript:Manes.01G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDFEYRRKERVALVAIVVIASLAVACLLVAFSYYCYIVNKLSKRRDTHKKDNYEEKGSFGNLKVATEKGLQVFTFKQLYSATGGFSKSNVVGHGGFGSVYRGVLNDGKKVAVKLMDQAGKQGEEEFKVEVELLSRLCSPYLLTLLGYCSDNNHKLLVYEFMANGGLQEHLYPLNGHNTVHMRLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDKNFHAKVSDFGLAKLGPDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEAVLVPWVLPWLTDREKVVQIMDPALNSQYSMKEVIQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKTHRPTSKVGSYSGFNALKSPSSQDTGRASV >Manes.05G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:768790:770933:1 gene:Manes.05G012900.v8.1 transcript:Manes.05G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYASFFKILFFFFFRFLFRQFRKPKVLRRSFSNLHSTQSKYQKYHSLVHRSDLSNKTLIFNVEGTLLKSTSLFPYFMLVAFEAGSILRAFLLFTLYPFICLVGDDMGLKIMVMVSFFGIKKESFRVGRAVLPKFFLEDVGLEAFQVLKRGGRKVAVSDFPQVMIESFLTDYLEIDCVIGRELKSLNGYFVGLMEEKKKDIQAIEKTLGVGEDKTTNQDVVGIGCFNRSLAHHLFSHCKEIYLVRKADKRSWQYLKRDEYPNPLIFHDGRLAFRPTPLATLAFFIWVPFGFLLAVFRAFVALTLPYGISIPILLLCGVRCTVSKPRNSRYSPTTPNDDKPKKGLLYVCNHRTLLDPLYLSFSLKKDFTAVTYSLSRMSEILSPIRTVRLTRNRDQDGKMMEKLLSQGDLVVCPEGTTCREPFLLRFSPLFAEMSDKIIPVALNTHVSMFYGTTASGLKCLDPLFFLMNPLPSYTVQLLEGVSGLSKCDEGDQRSRFDVANYVQSEIGKTLGFQCTKLTRKDKYVILAGNDGSTSSSSSSSSAVKR >Manes.12G014400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1398755:1403631:1 gene:Manes.12G014400.v8.1 transcript:Manes.12G014400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVQQLSKSVTSLRRSAAVAGAGKNEVRPTKSRVPVSPVNCSRSIRAVISSDDKAVDSAKSNKEVSGRSVLSSSDKTRGEIDVKAVITIRKKMKEKINEKIEDQWEYFVNGIGQGILIQLISEEIDPETDSGKSVQSSVRGWLPKPSNHVHIVEYAAEFTVPCDFGNPGAVLVTNLHGKEFYLVEIVIHGISGGPFFFSANTWIHSQKDNPESRIIFRNQAYLPSQTPSGIKDLRREDLLSIRGNGKGERKPYDRIYDYAPYNDLGNPDKDEDLARPVIGGSEDLPYPRRCRTGRPPTKTDPRSESRIEKPHPVYVPRDETFEEIKQSTFSAGRLKALLHNLIPSIAAALSSSDIPFTCFSDIDKLYNDGLLLRTEEHKLVHPALGKAMKQVLSVGERLLKYEIPAIIKRDRFAWLRDNEFARQTLAGVNPMNIELLKEFPIISKLDPAVYGPPESALTKELIEHELNGMSIEKAIEEKRLFILDYHDMLLPFMNKMNSLPGRKAYASRTVFFYNRAGMLRPVAIELSLPPTRSSPCNKNVYTHGHDATTHWIWKLAKAHVCSNDAGVHQLVNHWLRTHACMEPYIIATHRQLSAMHPIYMLLHPHMRYTLEINALARQGLINGGGIIEASFSPGKYAMEVSSAAYKSMWRFDMEALPADLIRRGMAVEDPSMPCGVRLVIEDYPYASDGLLIWSAIKEWVESYVNHFYSEPNSITSDIELQAWWNEIKNKGHHDKRNEPWWPKLDTKEDLYGILTTMIWIASGQHAAINFGQYPFGGYVPNRPTLMRKLIPQDNEPDYEKFILNPQHYFLSSLPTQLQATKVMAVQDTLSTHSPDEEYLGQVNQLHSHWINDHEILEMFNRFSARLEEIEQTINMRNKDIRLKNRNGAGIPPYELLLPTSGPGVTGRGIPNSISI >Manes.05G125100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22908938:22919314:1 gene:Manes.05G125100.v8.1 transcript:Manes.05G125100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLIFFLFMMLILSPLIRDISVNAISETFVPDESSQISKLYKSFLPPKPKQDVALVAALDGTVYLVDTNARKIRWSFSSGQPIYSSYQATVETDEDRHNASELSNDLYYVDCGDDWELYVHSKRFGKLKKLSLSAEEYIKMTPHISEDGEITLGLKKTTAFLVDAKTGTVIRTYGLDNSPSTLKAQTAEENALLLVKDAQMIESVAADLGTVQHLVYITRTDYVLQHYSPNSTEVLWNVAFADIEAEFRCQRFKSSLGAASPIVDEEIDDMQFPCQMRTPVLRIRDYSLLEFDKLAIAHIGGGAHFLPAPVHMPPLGPVNGLPLALPTSEDGPMLALPSPESKNPVNFGLLSVDAGIINSKSSEMIGKSHTWPFIAAIFSIMSSIMYSYLTFKKQSKLNKSVEELKSQAGNMMPKKKKSKRSGSNKNGPNNERREKYLPLENKAGDAPGFSHIEENERKLLLTFADFVDCSIDGRRIGRLLVSNKEIAKGSNGTVVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWFGVEYDQDFVYLALERCTCSLNDYIYVYSETFQSRMLSEDSDFNCLPECTIRLHSMLEHTRNIELWKANGLPSTHLLKLMRDVVSGLAHLHELGIIHRDLKPQNVLIINDKTFCAKLSDMGISKRLVGDMSSLTQHATGYGSSGWQAPEQLLHGRQTRAIDLFSLGCVLFFCVTGGKHPFGDNIERDVNIVNDRKDLFLVENIPEAVDLFSRLLDPNPDKRPKAQEVLNHPLFWTSEKRLLFLQDVSDRVEMEDRENESELLTALESVGTMALNGKWDEKMEASFINNIGRYRRYKYDSVRDLLRVIRNKSHHYRELPQEIKEILGSHPEGFENYFSCRFPKLLIEVFKVMYRYCKEEEFFRKYIDCSVI >Manes.10G143700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31167478:31171011:-1 gene:Manes.10G143700.v8.1 transcript:Manes.10G143700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKSVESVFVEDKLYENINAPKWVDFLTREDDDSMDDEAWFCKPDCEHPKTAEDFFRITPTSKLSLSADKSKGSFGSGNLRDAKLKRTGQSQHSFACSDRSKFIEDGENHDPNLSTPPNHQAKFIKAMTKSSSEKQKPADDILQTNEATRLTSTLSAKNLFAGKEILCHISEFCNELKKMAMRARERECDEKESQVREKKDLVMVNGSSREVLGKVDVKENEPKPLLDSGKEKSEGIEKGSVKEMQRRKMKLNENAENIPLPLNLENVKHKGEERLLQIRTNPPSPQCFSATRAPTKTTPSKACKSRLMERGILQEVKQSKEVAKEETDDKNRNFSIVDGKETKALDVFWFLKPCTMSS >Manes.02G136700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10381810:10383513:1 gene:Manes.02G136700.v8.1 transcript:Manes.02G136700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVERCDEEMVFCVESQKAVPAPFLTKTYQLVDDPLTDHIVSWGDDQTSFIVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFKKVVADRWEFANEYFRKGAKNLLSEIHRRKTPLNQQPPVILPHQILQQEETFGWIDPPIFPLSPKPTTDLLTALSEDNQRLRRKNYMLLSELSHMKSLYNDIIYFIQSHVKPPQALPHEQKGYSSSTFPKIVELGPSCQDQLSPRDFGLGKSSVSLSEEANGSVKLFGVALSGKKRLHTEVIDQQALY >Manes.18G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12717617:12720548:-1 gene:Manes.18G120300.v8.1 transcript:Manes.18G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSPIIPLLTPYKMGKFDLSHRIVLAPLTRQRSYNNVPQPHAILYYSQRTTKGGLLIAEATGVSDTARGYPDTPGIWTKEQVEAWKPIVDAVHAKGGIFFSQIWHVGRVSNAGSQPNGQAPVSSTDKPLTPQPRANGIDVAGFTPPRRLRTDEIPQVVNDFRIAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDQYGGSLENRCRFALEIVEAVANEIGADKVGIRLSPFANYMESGDSNPHALGLYMADSLNKYGILYCHMVEPRMKTVGEKFECPESLLPMRKAFKGTFLVAGGYDREDGNQAIAENRADLVVYGRIFLANPDLPRRFELNAPLNKYNRETFYTSDPVVGYTDYPFLEN >Manes.12G080416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12016575:12029214:1 gene:Manes.12G080416.v8.1 transcript:Manes.12G080416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWTTVFFSCVLLLSLCVPPSLAQTCRGHTFSSNQVFTACSNLPVLSSFLYWNYYPSNLTADIAFRKAGASTNTWVAWALNPSGQQMVGSQAILAFHNSSGVPTAYTTPITSFSPSMQPGNLSFRVSNLKAEYSNGDMILFATLHLTSSLISTNQVWQEGSMSGTSFNSHAMDSENSASVGTINFETGATVAGSVGTSTKKNVHGALNAVSWGVLMPMGIMMARYLKVFKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYTKHRNIGITLFCFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIILSIINIYEGFDILDPEKKWKKIYTGIIIFLGALAALLEVITWIIVLRRTKAVTSNKHVNGSA >Manes.12G080416.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12027352:12029257:1 gene:Manes.12G080416.v8.1 transcript:Manes.12G080416.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWRIVFFSCFLLLSLCVPPSLAQTCRGHTFSSNQVFTACSDLPVLSSFLYWNYYPSNLTADIAFRKAGASTNTWVAWALNPTGQQMVGSQAILAFHNSSGVPTAYTTPITSFSPSMQPGNLSFQVSNLKAEYSNGDMILFATLHLTSSLISTNQVWQEGSMSGTSFNSHAMDSENSASVGTINFETGATVAGSVGTSTKKNVHGALNAVSWGVLMPMGIMMARYLKVFKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKHTKHRNIGITLFCFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIILSIINIYEGFDILDPEKKWKKIYTGIIIFLGALAALLEVITWIIVLRRTKAVTSNKHVNGSA >Manes.18G073743.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6755365:6760416:1 gene:Manes.18G073743.v8.1 transcript:Manes.18G073743.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQSALRDRGLAVSYVPEKGRCLVTTNDFYPGEVIISQEPYVCVPNNSATESRCDGCFASGNLKKCSACQVVWYCGSTCQKSEWKLHRLECDALSKLDKDRQKSVTPSIRLMVKLYLRRKLQSEKTISATATDNYNLVEELVSHMKDIDEKQLVLYAQMANLVSLILQWPDINIKEIAENFSKLACNAHTICDSELRPLGTGLYPVVSIINHSCLPNAVLVFEGRLAVVRALQHMPKGAEVLISYIETAGSTMTRQKSLRQQYFFTCACPRCIKMGQQDDIRESAILEGYRCKDNICNGFLLRDSNDKGFVCQECGLIRSKEEVKKIAAEIRETSDKASKSLSSGNLKECISMHKMIEKLQQKLFHPFSISLMQTREKLLKMLMELNDWRAALSYCKLTIPVYQRVYQEFHPLLGLQYYTSGKLEWLGCLGTRRMLSNH >Manes.18G073743.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6755363:6760416:1 gene:Manes.18G073743.v8.1 transcript:Manes.18G073743.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQSALRDRGLAVSYVPEKGRCLVTTNDFYPGEVIISQEPYVCVPNNSATESRCDGCFASGNLKKCSACQVVWYCGSTCQKSEWKLHRLECDALSKLDKDRQKSVTPSIRLMVKLYLRRKLQSEKTISATATDNYNLVEELVSHMKDIDEKQLVLYAQMANLVSLILQWPDINIKEIAENFSKLACNAHTICDSELRPLGTGLYPVVSIINHSCLPNAVLVFEGRLAVVRALQHMPKGAEVLISYIETAGSTMTRQKSLRQQYFFTCACPRCIKMGQQDDIRESAILEGYRCKDNICNGFLLRDSNDKGFVCQECGLIRSKEEVKKIAAEIRETSDKASKSLSSGNLKECISMHKMIEKLQQKLFHPFSISLMQTREKLLKMLMELNDWRAALSYCKLTIPVYQRVYQEFHPLLGLQYYTSGKLEWLLGNTEDAIKSLSKAADILRITHGTNTPFVKELMMKLEEARAEASYKLSSKDDQ >Manes.18G073743.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6755365:6760416:1 gene:Manes.18G073743.v8.1 transcript:Manes.18G073743.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQSALRDRGLAVSYVPEKGRCLVTTNDFYPGEVIISQEPYVCVPNNSATESRCDGCFASGNLKKCSACQVVWYCGSTCQKSEWKLHRLECDALSKLDKDRQKSVTPSIRLMVKLYLRRKLQSEKTISATATDNYNLVEELVSHMKDIDEKQLVLYAQMANLVSLILQWPDINIKEIAENFSKLACNAHTICDSELRPLGTGLYPVVSIINHSCLPNAVLVFEGRLAVVRALQHMPKGAEVLISYIETAGSTMTRQKSLRQQYFFTCACPRCIKMGQQDDIRESAILEGYRCKDNICNGFLLRDSNDKGFVCQECGLIRSKEEVKKIAAEIRETSDKASKSLSSGNLKECISMHKMIEKLQQKLFHPFSISLMQTREKLLKMLMELNDWRAALSYCKLTIPVYQRVYQEFHPLLGLQYYTSGKLEWLLGNTEDAIKSLSKAADILRITHGTNTPFVKELMMKLEEARAEASYKLSSKDDQ >Manes.08G039800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3898359:3902095:-1 gene:Manes.08G039800.v8.1 transcript:Manes.08G039800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTTNVEVVPEKETIEDGKEGCPAFHCDLYDIEIVYKITLVFLPGLAAACVDNTTGGIFRSPASVAVDLRKEMIEYLTQRSESFVAETVILEDGTSTEVSDPPYDIISDFVDDFSSSKRNFFSRVSGWVLSETREDKIDDFVQEMEENGFWLTDRREVIAQTLLKNVDFKNMFHCDKKFNTAEELAEHVANCEYRSMNCNNEGCTVAFCAKHMENHDSTCPFKMLPCEQKCSDSIMRREMDRHCITVCPMKLVNCPFYAVGCQSTVPRSMIRKHCLDDLHLHLVYALKNIHKGATEEDLKERVDQIVQTSSGQLEAARDARSLTLRVKDLDAKLGPLKVSTVKKVSEDPTEAANKSIEESPEDGNGGVEKSINTINNVSEESTEAANHIKDRSFEAATDMADKSSDATNKSIEESPEDGNGGVEKSINTIDNVGEESTGAANHIKDKSIEAATDMADKSSDAINKAIEASSEAGDDGAEKSTNTINKVGEESIETGNSTAHASEEAKN >Manes.08G039800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3898359:3902096:-1 gene:Manes.08G039800.v8.1 transcript:Manes.08G039800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTTNVEVVPEKETIEDGKEGCPAFHCDLYDIEIVYKITLVFLPGLAAACVDNTTGGIFRSPASVAVDLRKEMIEYLTQRSESFVAETVILEDGTSTEVSDPPYDIISDFVDDFSSSKRNFFSRVSGWVLSETREDKIDDFVQEMEENGFWLTDRREVIAQTLLKNVDFKNMFHCDKKFNTAEELAEHVANCEYRSMNCNNEGCTVAFCAKHMENHDSTCPFKMLPCEQKCSDSIMRREMDRHCITVCPMKLVNCPFYAVGCQSTVPRSMIRKHCLDDLHLHLVYALKNIHKGATEEDLKERVDQIVQTSSGQLEAARDARSLTLRVKDLDAKLGPLKVSTVKKVSEDPTEAANKSIEESPEDGNGGVEKSINTINNVSEESTEAANHIKDRSFEAATDMADKSSDATNKSIEESPEDGNGGVEKSINTIDNVGEESTGAANHIKDKSIEAATDMADKSSDAINKAIEASSEAGDDGAEKSTNTINKVGEESIETGNSTAHASEEAKN >Manes.08G039800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3898359:3902095:-1 gene:Manes.08G039800.v8.1 transcript:Manes.08G039800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTTNVEVVPEKETIEDGKEGCPAFHCDLYDIEIVYKITLVFLPGLAAACVDNTTGGIFRSPASVAVDLRKEMIEYLTQRSESFVAETVILEDGTSTEVSDPPYDIISDFVDDFSSSKRNFFSRVSGWVLSETREDKIDDFVQEMEENGFWLTDRREVIAQTLLKNVDFKNMFHCDKKFNTAEELAEHVANCEYRSMNCNNEGCTVAFCAKHMENHDSTCPFKMLPCEQKCSDSIMRREMDRHCITVCPMKLVNCPFYAVGCQSTVPRSMIRKHCLDDLHLHLVYALKNIHKGATEEDLKERVDQIVQTSSGQLEAARDARSLTLRVKDLDAKLGPLKVSTVKKVSEDPTEAANKSIEESPEDGNGGVEKSINTINNVSEESTEAANHIKDRSFEAATDMADKSSDATNKSIEESPEDGNGGVEKSINTIDNVGEESTGAANHIKDKSIEAATDMADKSSDAINKAIEASSEAGDDGAEKSTNTINKVGEESIETGNSTAHASEEAKN >Manes.10G041500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4293795:4300359:1 gene:Manes.10G041500.v8.1 transcript:Manes.10G041500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPTSPAGGSHESGGEQSPHSGVREQDRYLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYREMEGDTKGSARGGDGSAKRDAIGGLPVQNPQFAIQGSMNYISSQAQGQHMIVPSMQGNE >Manes.09G060716.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9853887:9854929:1 gene:Manes.09G060716.v8.1 transcript:Manes.09G060716.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSGSMKQKAVCYSGSDSEEDRGELSGILLEKLSLGPRKKLLVFAPGVLCHRIRFFNKEKPKNCTPDATFGSILVYKRPHCEDFMKFCFERFEGGVWSSAIEKNLNNALDCVMGRLKSKLLFVWGQEYCTDSGFKTLENSNKPVFLKEVKKLWEEIGNSSRNKYSLLNTLLIDDEPYKALLNHPHSAIFLNEYKAGEKDVDDVLGPNGELRQFLYGLAETDDVPSYVKENNLGIGQPAITPSHPDWRFYSKIIKFWSGKD >Manes.18G067700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6079003:6096154:1 gene:Manes.18G067700.v8.1 transcript:Manes.18G067700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGFPSMKSLDHFKSLSGPLSGSAKNLSSYTRPSSDSISSGSFANLKLTAEKLIKEQASVKTDLEIANSKLKKSMEHIGALEEKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQHLAGQVQDAEKDKEFFENKLSASSNAIENLNQQMNELSLKLDSAGKAIRTQEQELEELKIEKEERNKIYVEEQSKTATLIEEKDAMLKKFEATVAANKLATESLNSKLEEMHLELRLKEDEIRRLMAAQENLENEKSILQLSSTDFANKLAVSIQEMKNLEGFIHMLAAQLAELDKQNLNFTDKFDQLNSLYNACFKLVQLEKDLAAKCAQKQYDQLHDKFLNMTSEKDALLLVNQELNNKITELQKAQETVMAQLSEECRAAGERIQRLESEAEMLLSKKNETETLVSKLEETIDVLSESSRSSENKVQDLLLKISTLEMENKDIFQKLETEVRKKAEDIATWKKESEKQQQHVDSLEKQIAQLHSMLEAKEQLLLQYKDGEKKFEEQITESRALLTAAESKLAEAKRQYDMMLESKQLELSRHLKEISQRNDQAINDIRKKYEVEKLEIVNMEKENADKVVQEIQRKCDQTLAESKEQSRQQLIHIQEEHASLVLRIQQEHDRNEMSLKADHFEQIKRAQLQAENELREKTMQLRNEHEVQMKALRCEHEDECRRLQEELDLQKSKEDRQRALLQLQWKVMSDNPQGDQEVTSKKDYSISSIKMRDPSVGKRSQHAPDVPFLGGTQTPVSKLLKKVENANTGSVMSIPKHHKKVTRHEYEVETANGRTITKRRKTKSTVMFEDPRKHKKMNTPKIITPRSVVKGIKGAGRTHPSNMGDLFSEGSLNPYADDPYAFD >Manes.05G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22048092:22054658:-1 gene:Manes.05G130100.v8.1 transcript:Manes.05G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGAQVAPPIFIHQALSSRFCDAPSMAKKRDLSYQTTNFQLQQQHRFVQNPRDNWNPKSWNWDSVRFVAKTSDGDANILQLGSASAELKKKTEASGGHLPLKKAAVDEDDGLRLNLAGGLNSVEEPVSRPNKRVRSGSPGTATYPMCQVDNCKEDLSNAKDYHRRHKVCGVHSKSAKALVGEQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPDDVTSRLLLPGNRDSTGNANLDIVNLLTALARTQGKNEGKIINNAQVPDRDQLIQILSKINSLPLPMDLAAKLSNIKNLNSKNPDQPSADLQNRLLGNTSSQSTMDLLAVLSATLTASGPDALAFLSQRSSQSSDSEKSKLTSPDQATGPNLQKRPIIEFPSVGGERSSSCYRSPVEDSDYQLQESCPNLPLQLFSSSPEDNSPPKLASSRKYFSSDSSNPSEGRSSSSSPPVVQRLFPLQSMAETVKSEKMSMSREVNANTEGSRTHGCALPLELFRDSNGGADQSSFQTFPYQAGYTSSSGSDHSPSSQNSDAQDRSGRIIFKLFDKDPSHLPGKLRTQIYNWLSNSPSEMESYIRPGCVVLTVYLSMSSAAWEQLERNLLQQVYSLIQDSESDLWRTGRFLLHTSRQLASHKDGNVRLCKSWRTWSSPELISVSPLAVVGGQETSLMLRGRNLTNPGTKIHCTYMGGYTSKEVIGSTSPGAMYDEINVNGFKFDGASPSVLGRCFIEVENGFKGNSFPLIIADATICKELRLLESEFDDETKDTDIIAEEQAQCLDQPRSREKVLHFLNELGWLFQRRKVSSMFELPEYSLSRFKFLLIFSVERDYCALVKTILDMLVERNLHASAVSKESLEMLSEIQLVNRAVKRRCRKMVDLLIHYSINGSDISSKKYIFPPSLAGPGGITSLHLAACTSGSDELVDALTNDPQEIGLSCWSSLLDANNQSPYAYALMTNNHSYNTLVARKLADRRNGQVTVVVGNEMGQPSSSRTTSNFQQGRSRSCAKCASVAAKYNRRVMGSQGLLQRPYVHSMLAIAAVCVCVCLFLRGAPDIGLVAPFKWETLDYGTI >Manes.06G051100.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:17529985:17533406:-1 gene:Manes.06G051100.v8.1 transcript:Manes.06G051100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKPAAPLVSPSSSRKLPDFKTSVKLKYVKLGYHYLISHGMYLFLSPLVVLIAVQLSTFSLQDFCTLWEHLQYNLISVILCSTLLVFLLTLYFMTRPRPVYLVNFSCYKPEESRKCTKKIFMDQSRMTGTFTEENLSFQQRILERSGLGDSTYLPEAVLNIPPNPSMQEARKEAQAVMFGCVDELLAKTYVKPKDIGILIVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLISIALAKDLLQVYPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKSHDRRRSKYQLVHTVRTHKGADDKCFTCVTQEEDSNGKVGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRNINPAKEKSPWIDEIDQFPVDVPKVSAI >Manes.06G051100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:17529985:17533406:-1 gene:Manes.06G051100.v8.1 transcript:Manes.06G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKPAAPLVSPSSSRKLPDFKTSVKLKYVKLGYHYLISHGMYLFLSPLVVLIAVQLSTFSLQDFCTLWEHLQYNLISVILCSTLLVFLLTLYFMTRPRPVYLVNFSCYKPEESRKCTKKIFMDQSRMTGTFTEENLSFQQRILERSGLGDSTYLPEAVLNIPPNPSMQEARKEAQAVMFGCVDELLAKTYVKPKDIGILIVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLISIALAKDLLQVYPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKSHDRRRSKYQLVHTVRTHKGADDKCFTCVTQEEDSNGKVGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRNINPAKEKSPWIDEIDQFPVDVPKVSAI >Manes.15G006800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:711112:711582:-1 gene:Manes.15G006800.v8.1 transcript:Manes.15G006800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRRRNTHLEENPCSALKLFGFSLKVTGSKESSVTVTGPSNGYRRRFECQYCHREFPNSQALGGHQNAHKRERLREKQARLANHRQQPITAVPVITSTSMGSGPFICAKAPPFSEPNPGGPPHGVSTGGSGPFVAEVNGGDDNVDLHLRLALYP >Manes.18G124300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15308329:15316795:1 gene:Manes.18G124300.v8.1 transcript:Manes.18G124300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNKPRNFYALVYLLVFLFFSLNFGCLRANASSGKEIDQLALHKFKQGIISDPYGVFNSWNNSLHFCNWTGITCGRRHQRVTSLVLEGHNLVGSISPHIGNLSFLRFINLQNNSFYGEIPQQVGKLFRLQEFLLANNSLQGEIPYNLTRCSQLKMIYLQLNNLTGKIPAEVGYLTKLEMIQLSRNNLVGEIPPSVGNLSSLMIFAVMFNNLEGSIPIELGRLKSLNIFAVGVNRLSGLIPPSLFNITSLTYLAVTNNQLTGNLPDNICFTLPNLQNVVIGGNYFSGPIPNSLSNASQLLRVDFSSNNFVGRVPSNLGNLQSLLWLNFELNNLGNNSSNDLFFFTSLTNCSNLETLSIYDNNFGGVLPSSVANFSTSLIRLYLGVNEITGVIPAAIENLANLMALHMNENLFTGFIPSQFGKLQNLQSLFLSYNQLSGQIPSTIGNLTQLSVLVLSGNKLEGSIPSSIRNCQHLYSLYVAENRLSGELPKEVLGLSSLSKSLNLSLNSFSGNLPTEVGKLKNVNMLDISENNLSGEIPKAIGDCVSLEYLYMRGNFFQGTIPSSLASLKSLQRLDLSRNNLSGQIPKDLQEIPYLHLLNLSFHDLEGELPKRGVFANVSALSLIGNNKLCGGVPELGLPDCPTGIMKKVKFHAIKLLVIIACVVLFVLLILILFLILWMRKLRTKPSFAPLETNHLLKVSYKDLYQATEGFSSTNLIGSGSFSSVYKGFLPQVERQVAIKVLNLEQTGAIKSFMAECNALGNVRHRNLVKLITCCSSLDYKSNTFKALILEYMGNGSLEKWLHPCESGENQPRSLTLLQRLDIAIDVASALHYLHDLCDKPTIHCDIKPSNVLLDDDMVAHVSDFGLAKLFTTNDDSSLSQTSTIGIKGTIGYVAPEYGIDGLASKEGDVYSFGILVLEMLSERRPTDEIFKRGLNLHDYAKAALPKRVLQIVDPTLLPIERSSEEYEDDEIVEAEGTNHHGNLSQCLVSMLEIGVACSKESPMQRMNMADVIKKLHLIKKTFLDTRIFKSRAKEKVIER >Manes.04G103400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801288:30808303:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.04G103400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801281:30808332:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.04G103400.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801156:30808702:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.04G103400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801157:30808701:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.04G103400.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801283:30808303:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.04G103400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801157:30808701:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.04G103400.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801157:30808701:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.04G103400.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801282:30807928:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.04G103400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30801156:30808702:-1 gene:Manes.04G103400.v8.1 transcript:Manes.04G103400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELIPIGTILAVLTSQVLKTAQAAKDVIFEKESFKVLSKHLFDIEPVLKELQLKKLNDSQAARLALETLESDVKKANNLVEKYKNRGRFYLLLRCRHIVNEVQEVTRDIGRSLAALSLANTEVLAEISDQVNRLQNEMQRVEFEASHSQLQIVDKLNQGLRDQKLDQGFANDMLEEIARAVGVPVEPSEISKELASFRKEKEEAANRKERAEVLFLEQVIELLSRADAARDYEEVKKQYFQRVQVIERYDEREEYIVPLTPFLCCINGTVMNDPVSLCTGTTCERAAIEAWFDCGQRTDPETGEILEDITLRSNLPLRQSIEEWRELNYCLRIRTCKAKLLSNVDSLVEDALSQMQDMMRENSINKDWVSIGGLTDIVISILGSSHNKDVKRKILVTSKDIAEGHAKNKEKLINHEGWDHIIPCLARDSSISKAAVELLFELLQERSGWNVSVCRKLSQQCSAILFLVTLLNSPVTESAVYAEKILNKLFEVDEENIPRAAKSGWYKPLVDRIVQGPESSRILMVRAIVNMEFVDSNLKVLGEEGIIPPLLEMVGSGNIGSKELSLSALLKLSDCNLNKELIAAAGGLSVVLKLMFSPRIRTIIIIKCAEILEKISSSDDGIKYFIDENGTQLDLEPIIMNLLGLQQVPSSSHSVRRPALRALLGICKFDAGLVKTAVLTANGVSLILPLLDDTDSEIREIAITLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKGDVQKAAAGLLANLPKSEVTLTMKLVELDGLIALINIIRTGDMESKENALSALFRFTDPTNLESQRIVVELGAYPLLVNLLRTGSVTAKARAAALIGDLSMSSPKLVIVSNPTGCWCFRPTRPNLCPAHGGICGVTTTFCLLKANALPSLVELLHGETPATAHEAIHTLSTLVQEGSPNRGANVLHEADAIQPVIEILSRGTDSLKEEALTLLEKVFLSRDMVEYYKSTTRFLLVSLTGRNVHEDSGIGRKATRVLSLLERYSRSSTSLVPGLFS >Manes.09G018250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3884255:3884650:1 gene:Manes.09G018250.v8.1 transcript:Manes.09G018250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQPCLASSTLLKSSKSRKPIPLFDFGPHRFDQEFQCTFLSSSFCLHQKLAIMELITLQRETGRQKHDIVKTGRAEAADLFKVSLPPFDKKWFFHPVVALAILSLMKEKIWILVLGISKSKPRNLFGALKL >Manes.12G074100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:8165421:8168461:1 gene:Manes.12G074100.v8.1 transcript:Manes.12G074100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINFSSSPLSPSSSFLRFSTSRSPIFYSSSSSSSLRPKSQFSEFLPSSHLRLHCKNHLFSKFAVGGRKLDFRSWAVSGFDFGSLESVLEAAGVLTAIIIVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNANNVEYSVRAFPLGGFVGFPDNDPESDIPADDKNLLKNRPILDRAIVISAGVIANIIFAYAIIFVQVLSVGLPVQEAFPGVLVPEVRVYSAASRDGLLPGDVILAIDGIELPRTGPNAISQVVDTIKRNPNRKVLFKVGREMQNFEIGVTPDENFDGTGKIGVQLSPNVKITKVIPKNVLEAFNFAGEEFWGLSSNVLDSLKQTFLNFSQTASKVSGPVAIIAVGAEVARSNIDGLYQFAAVLNINLAVINLLPLPALDGGSLALILIEAARGGRKLPLEIEQRIMSSGIMLVILLGLFLIVRDTLNLDFIKDML >Manes.10G077334.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:17159469:17171307:1 gene:Manes.10G077334.v8.1 transcript:Manes.10G077334.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSYQRFPRVKIRELKDDYAKFELRDTDASIANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEYCSVEFHLRAKCITDQTLDVTSKDLYSSDHTVVPVDFSDPAGYDSPDQKGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDIHINEDLMETLTLEEKQSWVESSPTKVFDIDPVTQQVVVVDPEAYTYDDEVIKKAEAMGKLGLVDISAKEDSFIFTVESTGAIKASQLVLNAIEVLKQKLDAVRLSEDTVEADDQFGELGAHMRGG >Manes.13G140468.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34951762:34952637:-1 gene:Manes.13G140468.v8.1 transcript:Manes.13G140468.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPLMQPSNRSMHIRDDDSTETQLSFDMLMMVLVTGRERNEKEWTKLFYDAGFGYHKINPVLGLRSIIEVYP >Manes.12G028300.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467168:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467167:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467168:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467158:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.12G028300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2462786:2467167:-1 gene:Manes.12G028300.v8.1 transcript:Manes.12G028300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFKAKNCGMAEERDQRLNFTNGDEAELASLYSLDSSLCLYTLEFPCQNLFQTDGKFQHISVHTVTQSSPSPILSCVEKPLKHAHVLPIPVANSDTSSYNQPAASNVLQDIHISARLMDNFLELARENTEKDLETCGVLGAFLEKGTYYVTTLIIPKQKSTSNSCEALKEEEFFTIQNEQSLVPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVMAPTDSSRSYGIFRLSDPSGMNVLKECQETGFHPHSEPPNGSPIYEHCSNVYTNSNLRFEIFDLR >Manes.15G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6504394:6509239:1 gene:Manes.15G084600.v8.1 transcript:Manes.15G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHSISPHSLLPLSKSKKPTSKILPNSHFLGSKFHQSPIFSRSRTKTGSWLLNSVVQEELDVIPVQSGDSTDQQEGMVVSQMESEGTDLATQVSGFGSNEGQFSFEGFSSASSSVIGDDGGSQSELEVDRLIDRTINAMIVLAAGSYAITKLLTIDQDYWHGWTLFEILRYAPQHNWTAYEEALKTNPVLAKMMISGVVYSIGDWIAQCFEGKPLFEFDRARMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPAKVAFDQTAWAAVWNSIYFTVLGFLRLESPANIFSELKATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISETPAEVGSSSEE >Manes.02G201200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21300565:21308638:-1 gene:Manes.02G201200.v8.1 transcript:Manes.02G201200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRKSKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVFSSLGSQRNFLMKVLNDEEALVLFKESAGDSIGYDLLDTVKEIVNECEGLPIVIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDSQLESLPNNVLEGMKGLKVLSIGSRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIRDLVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVVNLRYIPPGVLLRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYANLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIRFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTLRNCGLVKALFHPFVTQQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELLAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVKLKHLTILSCEKMEYVVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCISIPKSSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLVKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIRKATINRD >Manes.02G201200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21300565:21308638:-1 gene:Manes.02G201200.v8.1 transcript:Manes.02G201200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRKSKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVFSSLGSQRNFLMKVLNDEEALVLFKESAGDSIGYDLLDTVKEIVNECEGLPIVIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDSQLESLPNNVLEGMKGLKVLSIGSRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIRDLVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVVNLRYIPPGVLLRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYANLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIRFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTLRNCGLVKALFHPFVTQQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELLAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKNVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVKLKHLTILSCEKMEYVVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCISIPKSSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLVKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQNIVFPQLRSLTLEHLPNLNSFCNTIYALEFPFLETLEFRNCKRMETFSYGSLSMPKLEKVMINEGRHRLMRSDPNLNAKMSELLRDRRVAEFEW >Manes.02G201200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21300565:21317910:-1 gene:Manes.02G201200.v8.1 transcript:Manes.02G201200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRKSKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVFSSLGSQRNFLMKVLNDEEALVLFKESAGDSIGYDLLDTVKEIVNECEGLPIVIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDSQLESLPNNVLEGMKGLKVLSIGSRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIRDLVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVVNLRYIPPGVLLRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYANLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIRFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTLRNCGLVKALFHPFVTQQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELLAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVKLKHLTILSCEKMEYVVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCISIPKSSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLVKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQNIVFPQLRSLTLEHLPNLNSFCNTIYALEFPFLETLEFRNCKRMETFSYGSLSMPKLEKVMINEGRHRLMRSDPNLNAKMSELLRDRRVAEFEW >Manes.02G201200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21300565:21317910:-1 gene:Manes.02G201200.v8.1 transcript:Manes.02G201200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRKSKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVFSSLGSQRNFLMKVLNDEEALVLFKESAGDSIGYDLLDTVKEIVNECEGLPIVIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDSQLESLPNNVLEGMKGLKVLSIGSRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIRDLVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVVNLRYIPPGVLLRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYANLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIRFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTLRNCGLVKALFHPFVTQQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELLAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVKLKHLTILSCEKMEYVVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCISIPKSSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLVKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQNIVFPQLRSLTLEHLPNLNSFCNTIYALEFPFLETLEFRNCKRMETFSYGSLSMPKLEKVMINEGRHRLMRSDPNLNAKMSELLRDRRVAEFEW >Manes.02G201200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21300565:21317910:-1 gene:Manes.02G201200.v8.1 transcript:Manes.02G201200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRKSKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVFSSLGSQRNFLMKVLNDEEALVLFKESAGDSIGYDLLDTVKEIVNECEGLPIVIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDSQLESLPNNVLEGMKGLKVLSIGSRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIRDLVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVVNLRYIPPGVLLRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYANLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIRFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTLRNCGLVKALFHPFVTQQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELLAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVKLKHLTILSCEKMEYVVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCISIPKSSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLVKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQNIVFPQLRSLTLEHLPNLNSFCNTIYALEFPFLETLEFRNCKRMETFSYGSLSMPKLEKVMINEGRHRLMRSDPNLNAKMSELLRDRRVAEFEW >Manes.02G201200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21300565:21308638:-1 gene:Manes.02G201200.v8.1 transcript:Manes.02G201200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRKSKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVFSSLGSQRNFLMKVLNDEEALVLFKESAGDSIGYDLLDTVKEIVNECEGLPIVIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDSQLESLPNNVLEGMKGLKVLSIGSRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIRDLVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVVNLRYIPPGVLLRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYANLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIRFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTLRNCGLVKALFHPFVTQQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELLAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVKLKHLTILSCEKMEYVVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCISIPKSSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLVKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQNIVFPQLRSLTLEHLPNLNSFCNTIYALEFPFLETLEFRNCKRMETFSYGSLSMPKLEKVMINEGRHRLMRSDPNLNAKMSELLRDRRVAEFEW >Manes.02G201200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21300565:21308638:-1 gene:Manes.02G201200.v8.1 transcript:Manes.02G201200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNDDLRVIGICGMSGIGKTTMVKQLMKNMETKKLFDEFAMVAVSDTPDFRKIQDEIASCLRLELKNDESEVVRKSKLHQRLTNCDKRILLILDDVWKEDGLGEIGVPLGCRRNGCKIVLTSRNEFVFSSLGSQRNFLMKVLNDEEALVLFKESAGDSIGYDLLDTVKEIVNECEGLPIVIVTLSKALKNKNKHIWNDVLRHLKNSKLEYISGMKAKVFSAIELSYNYLEDEEAKSCFLLCSLFPEDFNILVEDLLEYGMGLRLFKGVEYVHEGRDRIYKLIDMLKGSNLLLEVDDKWNESVKMHDLVRDVAISLASRNKQWHTLQSQARINEWQYDDGYKNCTAISLLCEDIKKLKDHLKCPKLELLQLWHDSQLESLPNNVLEGMKGLKVLSIGSRIPSLPQSIDVLKNLQTLCLWNDWLNEMHTIRDLVKLEILEVRSYCLEELPAEIGSLKNLRLLNLRRVVNLRYIPPGVLLRLSKLEELYLPRRYMMKWEWKEDEEKTNASLSELETHHITALHITVVNAYISPKDSVFRNLIRFHIFVGKSRLFTVHKDSENVLHLKGDASDIKGSGICVLLKEVEVLYLEEVKNLKKIVNKIEDNSYANLKRDECVDALVRIPESPKSPLSYLSNLRKVEIYGCDELKYFIPLSMARELRQLYSMTVSLCKKMEGIFYRNKVNDEIESPLTTLCLDDLPNFIRFIYKDIEESSASEINNRMEIVQSKTEPVEKISIFFSSLWLRLSKLQKLTLRNCGLVKALFHPFVTQQFVQLKELNISACCKMEYIVAKAKEEEKNKGISKIAFPNLTKLNLDDLPELLAFFADNDISFELYSLVYLKIWSCPKLKTHYCETPDSSTLNKSYNQSELKVMFPTSSIAQRLLRRGKPKDVSKKKDMEMEQPSTSQMKSGPMEMISTFFFPPSSPLLNLRELHICHCDFQEAAFPLSVAQQLVKLKHLTILSCEKMEYVVAKDKGRSKIVLFPSLTDLHLSDLPNLMGFCKDNNVSLEWSLLEKFWFCECRKMKTFCISIPKSSTLSTSAEVDHLDTTFCATLIPRGRKKQDNNFSKEVSLVKNQRDPSVSNIDESCAFPSKLIQQLQNVKHLMINGSDSVEVIFSFEGLINGVLNSVEEIRLVSLPNLKHVWFKIPPEITAFQNLGKLIVKDCDNLINLFSICSAKLVGKLQSIEIRRCKRMEEIIGKEDEEISMQNIVFPQLRSLTLEHLPNLNSFCNTIYALEFPFLETLEFRNCKRMETFSYGSLSMPKLEKVMINEGRHRLMRSDPNLNAKMSELLRDRRVAEFEW >Manes.17G033400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:22980647:22980868:1 gene:Manes.17G033400.v8.1 transcript:Manes.17G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYINRICKAASVVSIQGHSDHDSKRNSTPQRSNASNKDYFSSGNSGGDEERKKKQAEESFQRVMYFNCWAQS >Manes.S030052.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2429689:2430501:1 gene:Manes.S030052.v8.1 transcript:Manes.S030052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGLGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.08G029200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2795321:2798348:-1 gene:Manes.08G029200.v8.1 transcript:Manes.08G029200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINFSSNVPKSLWWPQKDHRQSTMEPNPNSPSSQPHEESPISNPTSTSWPSHLFPHTMLPPHNATLNFSLNHVDDEQQYPEQDSSNRQRYSRLDKEVMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGNTVDKVLLLSFEDELGKWWRFRYSYWSSSQSYVLTKGWSRYVKEKRLDAGDIVLFERHRLDSERLFIGWRRRGNTSSGGLWNRSLYSSSSSSGYQPYQQGHGANVTAGVPYQPECLHAEHKETEAIWSELGMST >Manes.08G029200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2795321:2798346:-1 gene:Manes.08G029200.v8.1 transcript:Manes.08G029200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINFSSNVPKSLWWPQKDHRQSTMEPNPNSPSSQPHEESPISNPTSTSWPSHLFPHTMLPPHNATLNFSLNHVDDEQQYPEQDSSNRQRYSRLDKEVMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGNTVDKVLLLSFEDELGKWWRFRYSYWSSSQSYVLTKGWSRYVKEKRLDAGDIVLFERHRLDSERLFIGWRRRGNTSSGGLWNRSLYSSSSSSGYQPYQQGHGANVTAGVPYQPECLHAGSGVQNTKRLRLFGVNLECQLDEPEPSTPDGSSLSSQGPTQQQPYGFHSAHSSSSNSSHSHMDITFSRDINQMRNHRG >Manes.08G029200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2792648:2798404:-1 gene:Manes.08G029200.v8.1 transcript:Manes.08G029200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINFSSNVPKSLWWPQKDHRQSTMEPNPNSPSSQPHEESPISNPTSTSWPSHLFPHTMLPPHNATLNFSLNHVDDEQQYPEQDSSNRQRYSRLDKEVMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGNTVDKVLLLSFEDELGKWWRFRYSYWSSSQSYVLTKGWSRYVKEKRLDAGDIVLFERHRLDSERLFIGWRRRGNTSSGGLWNRSLYSSSSSSGYQPYQQGHGANVTAGVPYQPECLHAGSGVQNTKRLRLFGVNLECQLDEPEPSTPDGSSLSSQGPTQQQPYGFHSAHSSSSNSSHSHMDITFSRDINQMRNHRG >Manes.08G029200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2793471:2798404:-1 gene:Manes.08G029200.v8.1 transcript:Manes.08G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINFSSNVPKSLWWPQKDHRQSTMEPNPNSPSSQPHEESPISNPTSTSWPSHLFPHTMLPPHNATLNFSLNHVDDEQQYPEQDSSNRQRYSRLDKEVMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGNTVDKVLLLSFEDELGKWWRFRYSYWSSSQSYVLTKGWSRYVKEKRLDAGDIVLFERHRLDSERLFIGWRRRGNTSSGGLWNRSLYSSSSSSGYQPYQQGHGANVTAGVPYQPECLHAGSGVQNTKRLRLFGVNLECQLDEPEPSTPDGSSLSSQGPTQQQPYGFHSAHSSSSNSSHSHMDITFSRDINQMRNHRG >Manes.02G082700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6506858:6510185:1 gene:Manes.02G082700.v8.1 transcript:Manes.02G082700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSNKIGRDELKPGDHIYSWRHAYIYSHHGIYVGDGMVIHFTRGAGQEIGTGTVLDRIIFSSSPSHPSDNPCPKCGDQSRLDGVIKSCIDCFLSDGELYLFEYGVSPVVFLAKARGGTCTLAASDAQEDVLHRASFLLENGFGGYHIFKNNCEDFAIYCKTGLLVFTSVSVGRSGQAASLLAAVSAIVSSPLRFLTTSFGGLTAVGCGMYCLSRFVSDIGVRRDVIKVPVERLVSQSSSIQELEAAADASKEK >Manes.09G076567.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:13657931:13658736:1 gene:Manes.09G076567.v8.1 transcript:Manes.09G076567.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNMNMRADPLTGYPGRTYRFHTRETVYSSKSSKHSPSAVNHFRLTVFYKSF >Manes.10G134203.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30214647:30218722:-1 gene:Manes.10G134203.v8.1 transcript:Manes.10G134203.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLNAETSDAQVSVISTVGMGGLGKTTLAQLVYNDPMLEFDLKAWVSVGEDFDVSRVTKIFLLQLGDGGDDKDLNLLQVKLKQKLSGKKFLVVLDDVWTQNYEEWTLFWGPFEAGAPQSRVIVTTRSQDVSLMIGTTLAYALKKLSQNECMSLFAQHALGANNFDDHLELKEMGEEIVKRCGGLPLAAKALGGILRGKPNPDLWKEVLRSEIWELPDNKSNILPALRLSYLHLPPHLKRCFSYCAILPKDREFDRNELVLLWMAEGFLYDRKKMKDSEGLSHKYFDDLLSRSFFQQSVDDKSKYLMHDLIIDLACFVSREICLHMVDKLENTKSYAKIRHSSFIPHFKDTAQRFQSFYGMKNLWTFLSMRKDWVGCYITSKVVHELVPKLKCLRSLSLARYEIELPDSIGDLKHLRYLDLPHTPIRRLPESVDKLLNLQTLKLCNCYKLIELPKGICNLLNLQHLDIIGTWKLKEMPPHIGNLTSLCVLTKFIVGKSNGRVTELKKLCNLRGQLHITSLENVEVSDIRDAGFVSLKDKPGITELHLEWAEADEHFDDLRNSSHEEQVLDSIQPH >Manes.11G017850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2023170:2024281:1 gene:Manes.11G017850.v8.1 transcript:Manes.11G017850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSRKKKGLTDLDGLPKQCEEGVADKTTGEEDEDADSTIGEEAEDSSDGEESEEGSPFDPYKLGSEVIVFDPSEGNRDGYGSDDTDYEGEQRDVYLKYRRQYRESEGFDFDDYPKPIKGELFFGVARHVNLEDEDGFYTKGCREALAYAVQEQNKKGANLRHLEIIKANVESIGLYHITFKAEDTKLGETKVYQTKVFYSLVPDRHQDQVFIFRLKEDDKAN >Manes.13G154100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36795271:36798165:-1 gene:Manes.13G154100.v8.1 transcript:Manes.13G154100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKERNNSSPPKEDMSITRNGGCTAENGRIITSAASRLPFNGPTGAPSSLHLEDQRPCKKVVRYKECLKNYAAAIGGNATDGCGEFMPSGEQGTLEALKCSACSCHRNFHRKEIDGECVYDFYHSSIVGNSGSRIILGHHNGVNGSPQVHHINPLLSSKAPPPHQVIVSYRSGSVPSESDEKDDDDDGHGVVAIRSVGKPRKRFRTKFTEEQKQKMLSFAEKAGWKMQKLEESAVQRFCQDIGIKRRVLKVWMHNNKHNFAKKNPSTSYLEAEQSH >Manes.05G074100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:6099819:6102070:1 gene:Manes.05G074100.v8.1 transcript:Manes.05G074100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKPHPIYSHLHNSLVNPKINIQIKSISSLKVVWRKDQKLDFAIEKDKRYKVCARVVKEVLNEPGQVIPLRYLEKRRERLRLNVRVKTFIDQNPGLFDTYYDKIKPKSEPVLFLRVSDRLRNFLEEEKRIQLENEGFIVSKLCKLLMMAKDKVLSVDKLVHVKREFGFPNDFLVNLVPKYPHYFRLVGFPGEGKSFLELVEWNPDLAKSVIVQRAEEESRFTGIRVRPNFNYKLPPGFFLRKEMREWVRDWLELDYISPYVDASHLDQASPEMEKRTVGVFHELLSLSLFKRIPVPILGKFNEEYRFSNNFSSAFTRHSGIFYMSLKGGIKTAMLREAYKDSELIDRDPLLEIKDRFVGLLEKGWEDRAEQLKSKRKVMVKNMEMLELEEWDLDGQESNEQADRKDLQE >Manes.10G148600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:31447565:31447768:1 gene:Manes.10G148600.v8.1 transcript:Manes.10G148600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSAVFSCFVPPSSSSSSSRVADDAVQASSMKAANAENKPKSKSKSSRAPIVVSYFPVNSYMSRL >Manes.10G132900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29816050:29821116:1 gene:Manes.10G132900.v8.1 transcript:Manes.10G132900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATAIDKTEEELQREIDELFRQQRQITERLRDPRGLRRGGLSGAGPRNFAANGVRSRGFVRPADRNDAEDQQPAKRRLLSAVVKVEDGEIVEDPAVSKDLKKGQSVEEENAGVAIEDQNDGKPYSLQQSGWSRRDGNQRAGRREAELPVAEPVPRVLPKNEDPSLVSRNKRMLGQLLGTLEKFRKEDMELSGTEAFKQRSNALLRAEQRAREESEKLRQQEREQIAEKRRRDLILRARVAAKTEEKKLELLFLRWSEHHKKLCNFIRTNAEPPIYYLPNKPLEEDATLLEQQKEQTLSEWKATRREELSEYQKQIAEQYLGNVEKDLERWQNARKARRPNNDANLQETMDKELDTHRLEHGPKTRKIPGGSNNEDEEDVEDINVGEDDMMDDVLVVDDNVRRDDEAAKPETGSTSGSAPPDNLDQ >Manes.01G020200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4761466:4761837:1 gene:Manes.01G020200.v8.1 transcript:Manes.01G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSLKWGYIRIITGTILGGMLGFYVMHRVEVAYKEKMNERLKQYENELKKKKKLNQLEDSI >Manes.14G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8695074:8699057:-1 gene:Manes.14G104000.v8.1 transcript:Manes.14G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHALVSPVTLPVSRAKITWTHLHRASSPASLLIIRPPLPPLVRTLPTVRSCTGLPFLDVKGGKGMSGFVEVELKVRDYELDQYGVVNNAVYANYCQHGRHELLERVGVSADTVARTGDALALSELSLKFLAPLRSGDRFVVKVRICGSSAARLYFEHFIFKLPNEEPILEAKGTAVWLDKNYRPVRIPSELRSKLVQFLRRESG >Manes.01G041000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8240845:8243883:-1 gene:Manes.01G041000.v8.1 transcript:Manes.01G041000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLHNNSNSLPSWVGDDCCRWHGVTCDDITGHVVKLVLSRASIMGNISLHLGNLSNLQYLDLSWNQDLSLNRSLAIHSLHFPSSLKYLNLSYVLLDKCDNWLQSINMLPSLLELELRNCELSIIGDVSHVNFTSLEVLDLGLNNFHSTIPSWLYNITKLQNLDLYSSAFRGSLSTDISNLNSLASLNAGFNSLEGNIPNTLNRLCNLIELYLGYNKFSGEISGTFGNSSGCIKNSLENLYLLNNSFSGSIPDNLGQFKRLKDLSLSKNSFWGSIPVSIGQLYNLERLGLSKNSLHGKVSELHLLNLRSLIELSMDGNSLVFDIDPEWIPPFQLYWIGLSSCDVGPSFPQWLKTQKSIRFLEMSNASISDNIPDWFENISSNIVGLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFSTCSQFDATILDISNNLLHGQIPQNISDMMPSLRLVSLSNNYLNGTVPATLCRIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSIHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKGHWDYYTNALPYVGFVRASYGENVQVYVKGMELEYSRTLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPSSISDLNFLSHLNLSFNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTTRKDDSEMVWFYGGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVCNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.01G039108.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:7623955:7631892:-1 gene:Manes.01G039108.v8.1 transcript:Manes.01G039108.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLNNSNSLPSWVGDDCCRWDGVTCDNITGHVVKLVLSWAPIMGNISLHLGNLSNLQYLDLSLNPSLVIHSLHFPSSLKYLYLPFVVLDKCDNWLQSINMLPSLLELELWNCELSIIGDVSHVNFTSLEVLNLGWNNFHSTIPRWLYNITKLQNLVLHSGPFRGSLSTDISNLKSLASLDADSNSLEGNIPNTLNRLCNLIELYLGYNKFSGEISGTFGNSSGCIKNSLENLILLNNSFSGSIPDNLGQFKRLKDLSLSENSFWGSIPVSIGQLYNLERLEFSQNSLHGEVSELHLLNLRSLIKLSMGGNSLVFDIDPEWIPPFQLDSIDLSSCEVGPSFPQWLKTQKSIRFLEMSNASISDNIPDWFENISSNIVVLDLSYN >Manes.05G093300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8551581:8558964:1 gene:Manes.05G093300.v8.1 transcript:Manes.05G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSSILRPPPISHPFTFKPPSFPQLHPLSKTLGFCCPKTILFLPLYSTPFRSSFKSQNSQFPVESQLSDVDEEEEEEEDDDDDDEEEAADEYDDISGEISDGIEQSEDETEMSMDAREEVLAWRKETKSQRVEKIRNEVKEFGNDIIDVDELASIYDFRIDRFQRLAIKAFLNGSSVVVSAPTSSGKTLIAEAAAVATVARGRRIFYTTPLKALSNQKFRDFRETFGEDNVGLLTGDSAVNKDAQVLIMTTEILRNMLYQSIGMVSSGSGLFQVDVIVLDEVHFLSDISRGTVWEEIVIYCPKEVQLICLSATVKNPDELAGWINEVHGKTELVTSSKRPVPLTWHFSTKTSLFPLLDEKGKNMNRKLSLNYLQLSASGVKSFRDDGHRRRKSRKHGNDREINDIDSTSGEPLSKNNIGRIRRSLVPQVIDTLTQLKVKDMLPAIWFIFNRRGCDAAVQYIEGCKLLDECEMSEVELALKRFSIQNPDAVRETSVKGLLNGVASHHAGCLPVWKSFTEELFQRGLIKVVFATETLAAGINMPARTAVISSISKRSGNGRIQLSPNELLQMAGRAGRRGIDKRGHVVLVQTPNEGAEECCKLLFAGLEPLVSQFTASYGMVLNLLAGTKVTHISNESDNMKVLQAGRTLEEAKLLVEKSFGTYIGSNVMLASKEELAKIHKEIEKLTSEITDDAVDRKSRQTLSDAAYKEIADLQEQLREEKRLRTELRRIMERKRLSTLKLLLEELGNDHLPFLCLQYKDSEGVEHLVPAVYLGKVDILDGLKLKNMVSVSDSFEINAVIAETSSGDAKSLADIEPSYYVALGSDNSWYLFTEKWVKTIYRTGFPNTALAQGDALPREVMWTLLDKEEKQWEKLADSELGGLWYMEGSLETWSWSLNVPVLNSLSENDEVLHMSQAYHDAVEHYKSQRTKVARLKKRIARTEGFREYKKILDWKSFTEDKIKRLKVRSNRLINRIEEIEPSGWKEFLKISNVVREIRALDINTQVIFPLGETAAAIRGENELWLAMVLRNRILVDLKPAQLAAVCASVVSEGIKVRPWENNSYIYEPSSAVINVISVLDEQRSSLLQLQEKHDVDVSCCLDSQFCGMVEAWASGLTWREMMMDCAMDDGDLARLIRRTIDLLAQIPKLPDIDPVLQGNARLHLISWTGHR >Manes.08G077225.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:17912195:17913327:1 gene:Manes.08G077225.v8.1 transcript:Manes.08G077225.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCGILLGRPWQFDVDALHKGKENSYMFMWNQKKITILPSGSAKHSKVEGKHTVAVSTGVQKLSGAVEKSEGTLALLMRAKGTMEDAPSLPPPVKELLKEFPKIVEESSKRPPLRDIQHQIDLIPGSKLPNLPHYKMSPKESGNL >Manes.17G038980.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:20407870:20408460:-1 gene:Manes.17G038980.v8.1 transcript:Manes.17G038980.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWHGLTGKGSTAGGYIKRSMKDEDFEEEDVWSVMKDREDSSPEMMVSKDYFLSSPSSSSSSAWRLPSAPRMIPRANIANQLTAAATTHEVKIVEHSSAPVNIPDWSKIYGKNTRIHSSADDGEHPDNECYRDDVNDNDDGEGDEMVPPHEWIARKLARSQISSSSVYEGIGRTLKGRDLSKVRNAILAKTGFLE >Manes.11G055431.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:7551237:7552649:1 gene:Manes.11G055431.v8.1 transcript:Manes.11G055431.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCGLESIPEFLFLQFKLELLYLSHNSLKGRFPYWLLQNNVGLEILNLMNNSFNGQLEIGAKILPSMTYLNLARNHFEGDLPFSTGDDCKLIALDLSRNNFSGEVQERLLSNCISLSYVRLSHNNFHGQIALFNLTQITDLELNDNQFEGTLSSLLTNFSHQSYGPEVIHLSNNRLHGEIPHWMGNFTGLNYLNLRDNLFQGQISYQLLSTRIEYLDLSYNSFSGFLPSCFNGNSLRQINLQGNRFSGSIPKALLNISTLNALDLSDNELSGTILNKSVENLSGLRVLLLRGNYFNGFIPNWLCQLNNVNLLDLSRNSFSGSILHCLYNLSFGREGGPLYGFAWLIL >Manes.12G076302.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:8887354:8888456:1 gene:Manes.12G076302.v8.1 transcript:Manes.12G076302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENRCASLLRAARDIDEKAASQAEKRVHEFKASEYKRKIYKEAFHFYSSSFNHRLKAARDASKTPLADFRTLEFNSNGDEVQFWEDDNPIPKVHPVTTHA >Manes.16G119800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32308241:32309164:1 gene:Manes.16G119800.v8.1 transcript:Manes.16G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAPLRETILECLSDARSHSLEVQQKALHTLASMTKVSPQNRSLLAQTDGAISYFIMLTNSSSPIIETLALAILFNLSLNPDLKQSLADMNTIFRLNSIILSPKSPHSNRLACSLICSLAMLDKNKAKFGVAGTIQVLVSGISGPRTPASHHLLSSLAELVQFHGNCTVAVRSGAVEVLIGIVESSDGEDLSGTSLAVLGLLARFEEGLNAIIRREQVVSCMVEVLKRRCMLSKEGAAEILVRIFDESEGCVKDALRLPEFSTVLADLSVRGSLKAREKAAQLMKKMTGASLDTSIDGNSMFLQWY >Manes.18G019000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:1896059:1899120:1 gene:Manes.18G019000.v8.1 transcript:Manes.18G019000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRWNSFLVLVLVLVVSFAEISNGGRTSTFVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDYEANSVIVSWVTPDEPGSRTVIYWAENSELKNRADGLVVKYKYFNYTSGYIHHCTIKDLEFDTKYYYEVGTGKASRRFWFITPPKSGPDVPYTFGLIGDLGQTHDSNQTLTHYEFNPIKGQTILFVGDLSYADDYPFHDNVRWDTWGRFIERNAAYQPWIWTAGNHELDFAPQFGEPMPFKPYLHRYHVPYTASGSTSPLWYSIKRASTYIIVMSSYSAFGKYTPQYKWLEQELPKVNRSETPWLIVLMHCPMYNSYAHHYMEGETMRVMYEAWFVEHKVDVVFAGHVHAYERSERVSNIAYDIVNGLCTPVSDQSAPVYITIGDGGNLEGLVTEMTEPQPSYSAFREASFGHGTLDIKNRTHAYFSWHRNQDGYAVEADSVLLINRYWRSSLEESSIATL >Manes.07G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12811770:12835604:-1 gene:Manes.07G068400.v8.1 transcript:Manes.07G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDWVLVENLMNSIPMVDSETSKPLKVTFNGPAKSWTDAIPIGNGRLGAMVWGGVSSEIIQLNEDTLWTGTPSDYTNPDSPEALSQVRNLVDNGKYTEATEAAVNLFGNTTEVFQLLGDIKLQFDDSHLAYSEETYHRELDLDTATARLRYSVGDVEYTREHFASNPDQVIVIKISGSKLGSVSFTVSLDSKLDHHCYINGGSQIIMEGSCPGKRIPPKVKEGDNPKGIQFSAVLDLQISDGIGIIRVLDNMTLEVEGSDWALLLLLASSSFESPFTKPSASKKDPTSESLRALKSTANLSYSDLYARHLDDYQNLFQRVSFQLQKSSNKVRENEPLVINNLMPFANAVNLKGNKDDVVPTVQRIKSFQFDEDPSLVELLFQFGRYLLISCSRPGTQVANLQGIWNNELDPKWDCAPTLNINLEMNYWPALPCNLSECQEPLVDFIKSLSVNGSKTAQVNYQASGWVAHHRSDIWAKSSASEGNAKWALWPMGGAWLCTHLWEHYNYTMDRDFLENEAYPLLEGCTSFVLDWLIEGPRGYLETNPSTSPEHSFIAPDGKIASVSYSSTMDMAIIREVFYAIISASEVLSKSEDTLIQKARAAEPRLRPTQLAQDGSIMEWDLQIVKRIGYGSLYYDLYILEGNLGLNSSWAPFRRNQDVN >Manes.07G068400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12820196:12835604:-1 gene:Manes.07G068400.v8.1 transcript:Manes.07G068400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDWVLVENLMNSIPMVDSETSKPLKVTFNGPAKSWTDAIPIGNGRLGAMVWGGVSSEIIQLNEDTLWTGTPSDYTNPDSPEALSQVRNLVDNGKYTEATEAAVNLFGNTTEVFQLLGDIKLQFDDSHLAYSEETYHRELDLDTATARLRYSVGDVEYTREHFASNPDQVIVIKISGSKLGSVSFTVSLDSKLDHHCYINGGSQIIMEGSCPGKRIPPKVKEGDNPKGIQFSAVLDLQISDGIGIIRVLDNMTLEVEGSDWALLLLLASSSFESPFTKPSASKKDPTSESLRALKSTANLSYSDLYARHLDDYQNLFQRVSFQLQKSSNKVRENEPLVINNLMPFANAVNLKGNKDDVVPTVQRIKSFQFDEDPSLVELLFQFGRYLLISCSRPGTQVANLQGIWNNELDPKWDCAPTLNINLEMNYWPALPCNLSECQEPLVDFIKSLSVNGSKTAQVNYQASGWVAHHRSDIWAKSSASEGNAKWALWPMGGAWLCTHLWEHYNYTMDRDFLENEAYPLLEGCTSFVLDWLIEGPRGYLETNPSTSPEHSFIAPDGKIASVSYSSTMDMAIIREVFYAIISASEVLSKSEDTLIQKARAAEPRLRPTQLAQDGSIMEWGLFHISQPPPKRSSDCRRLDRPATTLESHVREAQVPGRKGA >Manes.07G068400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12801468:12835604:-1 gene:Manes.07G068400.v8.1 transcript:Manes.07G068400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDWVLVENLMNSIPMVDSETSKPLKVTFNGPAKSWTDAIPIGNGRLGAMVWGGVSSEIIQLNEDTLWTGTPSDYTNPDSPEALSQVRNLVDNGKYTEATEAAVNLFGNTTEVFQLLGDIKLQFDDSHLAYSEETYHRELDLDTATARLRYSVGDVEYTREHFASNPDQVIVIKISGSKLGSVSFTVSLDSKLDHHCYINGGSQIIMEGSCPGKRIPPKVKEGDNPKGIQFSAVLDLQISDGIGIIRVLDNMTLEVEGSDWALLLLLASSSFESPFTKPSASKKDPTSESLRALKSTANLSYSDLYARHLDDYQNLFQRVSFQLQKSSNKVRENEPLVINNLMPFANAVNLKGNKDDVVPTVQRIKSFQFDEDPSLVELLFQFGRYLLISCSRPGTQVANLQGIWNNELDPKWDCAPTLNINLEMNYWPALPCNLSECQEPLVDFIKSLSVNGSKTAQVNYQASGWVAHHRSDIWAKSSASEGNAKWALWPMGGAWLCTHLWEHYNYTMDRDFLENEAYPLLEGCTSFVLDWLIEGPRGYLETNPSTSPEHSFIAPDGKIASVSYSSTMDMAIIREVFYAIISASEVLSKSEDTLIQKARAAEPRLRPTQLAQDGSIMEWVKDFEDPEVHHRHLSHLFGLFPGHTITIEKNPDLCKAAENTLYKRGEDGPGWSTTWKIALWAHLHNSEHAYRMVKHLIKLVDEDHEIGFEGGLYSNLFAAHPPFQIDANFGSLS >Manes.07G068400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12801468:12835604:-1 gene:Manes.07G068400.v8.1 transcript:Manes.07G068400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDWVLVENLMNSIPMVDSETSKPLKVTFNGPAKSWTDAIPIGNGRLGAMVWGGVSSEIIQLNEDTLWTGTPSDYTNPDSPEALSQVRNLVDNGKYTEATEAAVNLFGNTTEVFQLLGDIKLQFDDSHLAYSEETYHRELDLDTATARLRYSVGDVEYTREHFASNPDQVIVIKISGSKLGSVSFTVSLDSKLDHHCYINGGSQIIMEGSCPGKRIPPKVKEGDNPKGIQFSAVLDLQISDGIGIIRVLDNMTLEVEGSDWALLLLLASSSFESPFTKPSASKKDPTSESLRALKSTANLSYSDLYARHLDDYQNLFQRVSFQLQKSSNKVRENEPLVINNLMPFANAVNLKGNKDDVVPTVQRIKSFQFDEDPSLVELLFQFGRYLLISCSRPGTQVANLQGIWNNELDPKWDCAPTLNINLEMNYWPALPCNLSECQEPLVDFIKSLSVNGSKTAQVNYQASGWVAHHRSDIWAKSSASEGNAKWALWPMGGAWLCTHLWEHYNYTMDRDFLENEAYPLLEGCTSFVLDWLIEGPRGYLETNPSTSPEHSFIAPDGKIASVSYSSTMDMAIIREVFYAIISASEVLSKSEDTLIQKARAAEPRLRPTQLAQDGSIMEWVKDFEDPEVHHRHLSHLFGLFPGHTITIEKNPDLCKAAENTLYKRGEDGPGWSTTWKIALWAHLHNSEHAYRMVKHLIKLVDEDHEIGFEGGLYSNLFAAHPPFQIDANFGFTAAVAEMLIQSTLNDLYLLPALPHDKWINGSVKGLRARGGLTVNVWWKEGDLNEVCVWKKNGSSLHTLHYRGTSVTATISSGIIYSFNSQLKCVKAWSIPKEGSL >Manes.07G095100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:29377581:29379727:-1 gene:Manes.07G095100.v8.1 transcript:Manes.07G095100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEEATATAVVKGKRTKRQRLQSPVPFANLTAHSSSSEGDGDGDGDVQFTNGKISTNVSPTTSQEFQDSTEEEEDMANCLILLAQGHSNNNNKQDNNEVAGVYSPTITAAAATTTSKFNSRRFLETGCPGSGKVGYYVYECKTCNRAFPSFQALGGHRASHKKPKNDEKKQFAIFSDEEDGHLRDISSLSLQLSNNNSNNNRGMYSSSNQNKNKIHECSICGAEFTSGQALGGHMRRHRTPIGANSSTTLLLPHVGVESEEPKKARNQLSLDLDLNLPAPEDEIFSIASKQQKQPKTQQQQQQQQQKPSLVFSRPALVDCHY >Manes.03G090332.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:20019131:20022107:-1 gene:Manes.03G090332.v8.1 transcript:Manes.03G090332.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKYMPLFETKRAKGRVLYRLFAGTLFTGICFIWAYRVGNIPKQGEDGRWVWIGLLGAELWFGFYWVLTQALRWNRVYRCIFKDRLSQRYGEEDLPGIDIFVCTADSTVEPPIMVMNTVLSVMAYDYPAKKLSVYLSDDGGSKLTFYALLEASKFARHWIPHCKKFKVEPRSPAAYFASSSNSNHHGDTTQLAATKKLYEEMEDRIETATKLGRIPEEAYLKHRGFSQWDSYSSRHDHDTIIQILIDGKDPNATDVDGCALPTLVYLAREKRPQHPHHFKAGAMNALLRVSSNISNGQLILTLDCDMYSNNSLCIWDALCFFMDTEKSHDIAFVQFPLAFENTTKNDIYSGSFPVPYNVELHGMDGLGGPLYLGTCCFHRRDSIQELEEETKLLASCTYEQNTEWGKEMGLKYGCLVEDVVTGLSIQCKGWKSVYFNPEKKAFLGVAPITLAQALVQDKRWSEGNLQILLSKHSLERFVQAKISLGLQLGYFCYNLWSVNCLATLYYSIVPSLCLLKGISLYPQIHLLAPLLFFLVSDPWFTSFAYVISAKYIYSLIEFLYAGGTTVGWWNGQRIWLYKRTTSYLFAFLDTILKTLAFINLTFLITPKVADEDASQRYEKEIMDFGATSPMFTILATLAMLNLFCFAGVVKKVVMDDHIFRLFKEMPLQILVCGALVLINLPLYQGLFLRKDKGKVPSSIMVKSFVLTLLACTSLTFLC >Manes.S027352.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2271507:2273940:1 gene:Manes.S027352.v8.1 transcript:Manes.S027352.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSVPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDGASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPACLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.03G194000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31189358:31192304:1 gene:Manes.03G194000.v8.1 transcript:Manes.03G194000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLNSTKHSSCRIRGMGQAFRRASGRIRATDPSPTPKSAGERRSPVATTDNADISRRTTQYNNQESLDSDGAPRVNADNVLEQRDPQFDAMLSQMVGRIRLKPGGKLEIGEAAVVERYNRPMPKLRDTKPDSGRYEERPAPQGTLNVAQLRHIMLLHQGKADDHNGPMDIHQIAQKFRLEVALVQRILQFVSLPPEDSNKRKSYQ >Manes.16G098400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30429633:30433878:1 gene:Manes.16G098400.v8.1 transcript:Manes.16G098400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEDLVEDMVSNGDSFEKVKQRLKDRSKKVAQTKEMLSKQANQTKEILSKQAVKIAKQAEEHQSFLSKVTHLLGVLGFGGFCFLLGARPQDIPYVYCLFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPKNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSVDKLVSVLIHLLPGLVFFTIRWWNPATFEAMHPEGSSRRASFPYVVEDKSFLLTWLFLVPLVAYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNVWWRLSGLLGDQNRMFMYILLQAIFTVATTALTVPIFLSYELHAVFQILKVSAAVWNGGSFLLDVMPRQVILKEKKKSEMQPAPIQQDQSPNVMENTVHTIDSSGVGCAAAES >Manes.02G189400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:15131039:15135817:1 gene:Manes.02G189400.v8.1 transcript:Manes.02G189400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQILFFPLFFSFLFSISSAQISPGSSLSASNLNQTWRSPNSTFFLGFLQVGSGYVAAINYFGGVPIWTAGNPSVVVDVGGAFHFRASGTFQLVNSSGSVVWDSNTGHLGVTSASLDDSGNLVLRNSSGVTVWSSFQNPTDTIVPNQNFTVNQVIKSGLYSFQLLNSGNLTLRWNDSIIYWNQGLNSSIDSNLSSPVLGLQPIGILSITDVTSTSAYIMAYSNDYAEGSDILRFLKLDSDGNLRIYSSALGSGTTTMRWAALTDQCQVFGYCGNLGICSYNDSSSNPICGCPSENFEPVDVNDSRKGCKRKVEIENCPGSATMLEMDHAKFLTYQPELSSQVFFVGISACRLNCLVSGSCVASTSLSDGTGLCYLKTPDFVSGYQNPSLPSTSYVKVCGPVQPNPSANLQIGGNRKSRLHVWLVLVVVVVTLVGLVAIESGLWWWCCRNSPKFASLSAQYALLEYASGAPVQFSYNDLNRATKGFKEKLGAGGFGAVYKGILANKTVVAVKRLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFTTNEHSEKLLNWEHRFNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLINPKDHRYRTLRSVRGTRGYLAPEWLANLPVTSKSDVYSYGMVLLELVSGRRNFEVLDETNRKRFSLWAYEEFEKGNVNAILDKRLFDQDVDIEQATRAIQVSFWCIQEQPSQRPMMGRVVQMLEGITTIEKPPAPKAITESSAGGTSINMSSNGSAFSTFAASASAPAPSSFSSYQTTGVSPLLSGRNIENKVPSSQLGSDRN >Manes.08G135000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37180864:37184033:1 gene:Manes.08G135000.v8.1 transcript:Manes.08G135000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDHDKYATINFEETELRLGLPGPANEVEIAKISNGKRGFSETLVDLKLNLSTKESTLKDVGEEKMKEKATALSSSADPAKPPSKAQVVGWPPIRSFRKNVMSVQKNSSDEGDKSAAVGSTSSSSAAFVKVSMDGAPYLRKVDLKLYKSYQELSDALGKMFSSFTIGNCGSQGMKDFMNESKLIDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRS >Manes.07G121500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32585061:32589220:-1 gene:Manes.07G121500.v8.1 transcript:Manes.07G121500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQASMDPAVLDDIIKRLTEVRSARPGKQVQLSEAEIKQLCLASRDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVSEFLMKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKYMIPNKM >Manes.07G121500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32585429:32588900:-1 gene:Manes.07G121500.v8.1 transcript:Manes.07G121500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQASMDPAVLDDIIKRLTEVRSARPGKQVQLSEAEIKQLCLASRDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVSEFLMKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKYMIPNKM >Manes.07G121500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32585127:32589218:-1 gene:Manes.07G121500.v8.1 transcript:Manes.07G121500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQASMDPAVLDDIIKRLTEVRSARPGKQVQLSEAEIKQLCLASRDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVSEFLMKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKYMIPNKM >Manes.07G121500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32585069:32589220:-1 gene:Manes.07G121500.v8.1 transcript:Manes.07G121500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQASMDPAVLDDIIKRLTEVRSARPGKQVQLSEAEIKQLCLASRDIFMQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVSEFLMKHDLDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKYMIPNKM >Manes.05G062500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5251990:5255817:1 gene:Manes.05G062500.v8.1 transcript:Manes.05G062500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTSTTVSVFSILSDDVVLNIFFKLEDDPRNWARLACVCTKFLSLISNFCWKAKCNQTIPSVVTDLLGAPDASIPGGWSALHKLAVCCPGLLHAGVLLEHSDFGLERELGPDDNYNKLASFQFKATLPPPPSSSSISDPTSGNSDCSWSLFDDLYYDTVYDASESQDGASAVSGEVNDDKAVVKAGGHFSVSKRRKLCKPLRSHLASGVWNLSREQGNKLLASRFRGDCLYICDWPGCIHNEEKRNYMLFRGIFKNFKRSRVWRTINDGIRSKVDLNCAFCGCKETWDLHSAFCLRRFFGYHDDGEPVVRAYVCENGHVSGAWTDLPLYN >Manes.09G117400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32027168:32028996:1 gene:Manes.09G117400.v8.1 transcript:Manes.09G117400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMHRSLLEREPSVAPANGKTRQDSYISDTNFDTNTVIILAALLCSVIVALGLNSIVRCALRCCRRFAFETPEQAAARLAATGLKKRDLSQIPVAVYGKGVNNFPGTECPICLGEFADGEKVRVLPKCNHGFHVRCIDTWLLSHSSCPNCRLSLLEHTAAGSGAGPQQQPPAARVSENDNRRQGNVVIEGAS >Manes.07G092900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:28895266:28896365:1 gene:Manes.07G092900.v8.1 transcript:Manes.07G092900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKNFQLFGAILLLFLFQLPNRIQSKPSGNPFGFIQHLEGCHKGESISGLKDLKRYLEKFGYLNYAKSNSNNHANDDEFDDLLEAAIKDYQHYYHLQATGVLDNNTVSQMTKPRCGVPDVVKNGTNKHYHNPKSIHSVAHFNFFPGPRRWPAERSHLRYRFRSSVQVPGTENFRSICAQAFQRWAQVTHFTFEEVDANSEAEIEIGFHRRFHGDGNPFDGISGTLAHAFAPTNGRCHFDGDEIWSANPGPNEVDLESVAVHEIGHLLGLDHSEDRNAIMYSTFDYGITKRELGTDDIQGIRTLYGLQ >Manes.03G000375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:106182:107771:1 gene:Manes.03G000375.v8.1 transcript:Manes.03G000375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGNIYQQRNIITHFIGDLDLYTERNSEILQNLRCRKLENFRWYKDNFLKRVYALADPNAYHWKEKFLTGLPRLFSTKVKETIEQKYGHISYDDLTYGDLITCVNLTGIRLCRDIKLQNKLKMENRQSRKELGNWCEQFGFGTMKKHKHKKYKPFKTEKIYKKYNYRKRQYNNKQIYKKPLKHKKPNKKDNITCYLCNQRGHYARECPARKKIHELGLELKIDNMDQLLEKIDQINLSSSDLSEDYDSDNSSQTIKDSDSNHDCKGEICNCNNKINMLTDYTKILEQLEQVEDPSIKRKFFKKLNKIINEEIKIGTTIPTTFEDIEQMFTKKPIKTVSSMDLQFEIRQLKAEVKQLKTEVRQLQHKYEQITKNKEKIEDIEETETETEEEQQLKFNDITRIKFQKWYVKINLTIKDFKLKSIAMLDSGADMNCIDIGIIPSKYFHKTK >Manes.12G156100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36451142:36455674:-1 gene:Manes.12G156100.v8.1 transcript:Manes.12G156100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGKQNHGSAIMGKVTRLSWSPLLLLITLILILSFQITTRTIYPIRLMSGLSEPEVSEVVGSCVGFLREFPRREVIMSIKDFGGVGDGKTSNTDTFRRAILYMQRFGDKGGSQLNVPKGRWLTGSFNLTSNFTLFLEEGAVILGSQDPKEWPIIEPLPSYGRGRERLGGRHISLIHGNGLSNVVITGDNGTIDGQGKMWWELWWNRTLVHTRGHLVELMNSHNILISNLTFRNSPFWTIHPVYCSNVVVKDMTILAPLNAPNTDGIDPGVLLVFLCHILTRTKRLERFLIGVCIFPVADSSTNVCIEDCYIESGDDLVAVKSGWDQYGIKMAHPSSNILVKRVAGTTPTCSGVGIGSEMSGGIFNVTIEDLHVWDSAAGVRIKTDKGRGGYISNITISNITMERVKIPIRFSRGSNDHPDEGWDRNAIPVVKGVSISNIVSLNSTKAPVLQGIKDAPFGGICMKNVTLIGLASASWHCEFISGFAKDVFPMPCPQLQNNASTSWCSYS >Manes.12G156100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36451530:36455674:-1 gene:Manes.12G156100.v8.1 transcript:Manes.12G156100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGKQNHGSAIMGKVTRLSWSPLLLLITLILILSFQITTRTIYPIRLMSGLSEPEVSEVVGSCVGFLREFPRREVIMSIKDFGGVGDGKTSNTDTFRRAILYMQRFGDKGGSQLNVPKGRWLTGSFNLTSNFTLFLEEGAVILGSQDPKEWPIIEPLPSYGRGRERLGGRHISLIHGNGLSNVVITGDNGTIDGQGKMWWELWWNRTLVHTRGHLVELMNSHNILISNLTFRNSPFWTIHPVYCSNVVVKDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGIKMAHPSSNILVKRVAGTTPTCSGVGIGSEMSGGIFNVTIEDLHVWDSAAGVRIKTDKGRGGYISNITISNITMERVKIPIRFSRGSNDHPDEGWDRNAIPVVKGVSISNIVSLNSTKAPVLQGIKDAPFGGICMKNVTLIGLASASWHCEFISGFAKDVFPMPCPQLQNNASTSWCSYS >Manes.02G032574.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:2679403:2680225:1 gene:Manes.02G032574.v8.1 transcript:Manes.02G032574.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIVTPALVFLILSFAATLVLNFSSTLFLFSMLNTVILAVVVGSYRPSVEEGDGGWYAFQSWYEEVEDAYDKDEDYDSDDDDDYEKENDFSDGYDRDDEGDRDGEIGWEDDDEEEDDNLQRRIEEFIAKVNRGWRDEWLRENHQTQSKLG >Manes.11G082501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:18369676:18371152:1 gene:Manes.11G082501.v8.1 transcript:Manes.11G082501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQEVLPRVQRVCPVAQIGAQRLQLTVNLLSALLSLAVFFDINYYCNYASYYSFFNLSKGKLCIEVLQHLR >Manes.15G188300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31299199:31308656:1 gene:Manes.15G188300.v8.1 transcript:Manes.15G188300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSSDSRHGLSVAPLHQISKDMQGSDNTIPLSPQWLLPKPSESKSGVGVGESHFNAIPPFGNRLENMKLSGNGEEMPDAQKKKDVFRPSSLDMEIGRRDRWREEERDHNSSLVRKDRWRDGEKEVGDTRRMDRWMDNSSARHYEPRRAPSERWTDSVNRETNYDQRRESKWNTRWGPDDKETSARDKWMDSGRDGELPLEKGLPHPPSHGKDEREGDHYRPWRSNSSQSRGRGEPPHHQTLMSNKQVPTFSHGRGRGENTPSFHSQSWGATVLHKGESGPLKYSRTKLLDVYRMTDMKLFSKLLDGFVQVPSLTQEEALEPLALCAPNSEEMAVLKGIDKGDVVSSGAPQISKDNSLGRNLVDLQSRRAKLGSREDVSFPIDNAKDESVDNSKVGYGNYTEVSSQERQTQHHGSNTELEAMLSHKVIQDNKLKAEVIKEDTAAHRRVDEAPTSKESALQGNSTEHPGTPWRAQSLGEQLHMAMHDWREIPSDGRSRTPEMGWNQPQKDLANQWESNLVSSPYLKDEAKWQASEDPMIKRQPSVVLDREKEAKKLSQPSPENLVLYYKDPQGEIQGPFSGSDIIGWFEAGYFGIDLQVRLASASKDSPFSLLGDVMPHLRAKARPPPGFSAPKQNEFTDTASRSHFSSFGNVHSGLSELDLVRNEPRQKPVSTTEAENRFLESLMSGNMSNASQGLQGYIGNSSAGISPSGVDGGNDLYLLAKRMALERQRSLPNPYPYWPARDAATVASKSEVLSDSPMQHAKLLSSLTDNSHQPPHSQNADLMSILQGSASGINNDVTGWSNFPVQGTLDSHQDTDLHHTQNFPPQATFGQQQRLQPQRPPSLTNILSQAIDSSSGLLTPETLLPPSLSQDPQLLNMLQQQYLLQLHSQTPLPTQQMSVLDKFLLLKQQQKQEEQQQLLRQQQLLSQVLSEHHSHQRFGEPPYGQFHSSAIATGNTSVDSSRLQPLKEMLQIGSQIPVSNYQEEHPPGLMNLPPQVTQDLSYNVDSGVSSFQLPHQMFGNVNSKKNWGTILTEQNDEMHQKEPLPVSSPVGISSSLELTDKYTQEHSVVHERLDASLSVEQTSDDTGRTEETSQVAVPEVAANAVQPESPEISVSKPLSGTFEDEITKIDGTLIEQQIEKERSSDEPLVPAGAEVKKVEVREARKAAEKKSRKQKSAKSKSTDQIKGTSKNSSVQQIKQPESEMLNVGDNKFESHNGTGEIFHGTTPEKMTDNKDGISSVEIKDSQRVKSLLSSHFIVDAEMTKNGESKPAGSVPIHNAQVNSGQRAWKPAPGFKPKSLLEIQLEEQRRAQTEVAVSEITTSVNSMNLSTPWAGVVASSDPKISRETLKDASNNELNVGKPEIAPNSKSKKSQLHDLLAEEVLAKSNDKEMEVPENLSSLPSQQSTMTNMESLDDDNFIEAKETKKSRKKSAKAKGTGTKAVVPTNTDVPVSSSPIEKGKSSRLVQQEKEVLPAIPSGPSLGDFVFWKGESTTNSPSPAWSTDTKKLPKPTSLRDILMEQEKKVSSVQPQNPMTTPQKPQSTQGTLGSGPSWSLSAASPSKVASPIQINSNAAIQSKYKGDDDLFWGPLDQSKQESKQSDFPHLANQGSWGTKNTPVKGSTSGSLSRQKSMGSRHAEHSLSSSPASAQSSLKGKKDTINKHSEAMDFRDWCESECVRLIGIKDTSFLEFCSKQSRSEAEMLLIENLGSFDPNHEFIDKFLDYKELLPADVLEMAFQSRNDRTATAYSGGDVNFDNAGVGESERDITVGYDGSSKGGGKKKGKKGKKVSPSVLGFNVVSNRIMMGEIQTAED >Manes.15G188300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:31299199:31308656:1 gene:Manes.15G188300.v8.1 transcript:Manes.15G188300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGNGEEMPDAQKKKDVFRPSSLDMEIGRRDRWREEERDHNSSLVRKDRWRDGEKEVGDTRRMDRWMDNSSARHYEPRRAPSERWTDSVNRETNYDQRRESKWNTRWGPDDKETSARDKWMDSGRDGELPLEKGLPHPPSHGKDEREGDHYRPWRSNSSQSRGRGEPPHHQTLMSNKQVPTFSHGRGRGENTPSFHSQSWGATVLHKGESGPLKYSRTKLLDVYRMTDMKLFSKLLDGFVQVPSLTQEEALEPLALCAPNSEEMAVLKGIDKGDVVSSGAPQISKDNSLGRNLVDLQSRRAKLGSREDVSFPIDNAKDESVDNSKVGYGNYTEVSSQERQTQHHGSNTELEAMLSHKVIQDNKLKAEVIKEDTAAHRRVDEAPTSKESALQGNSTEHPGTPWRAQSLGEQLHMAMHDWREIPSDGRSRTPEMGWNQPQKDLANQWESNLVSSPYLKDEAKWQASEDPMIKRQPSVVLDREKEAKKLSQPSPENLVLYYKDPQGEIQGPFSGSDIIGWFEAGYFGIDLQVRLASASKDSPFSLLGDVMPHLRAKARPPPGFSAPKQNEFTDTASRSHFSSFGNVHSGLSELDLVRNEPRQKPVSTTEAENRFLESLMSGNMSNASQGLQGYIGNSSAGISPSGVDGGNDLYLLAKRMALERQRSLPNPYPYWPARDAATVASKSEVLSDSPMQHAKLLSSLTDNSHQPPHSQNADLMSILQGSASGINNDVTGWSNFPVQGTLDSHQDTDLHHTQNFPPQATFGQQQRLQPQRPPSLTNILSQAIDSSSGLLTPETLLPPSLSQDPQLLNMLQQQYLLQLHSQTPLPTQQMSVLDKFLLLKQQQKQEEQQQLLRQQQLLSQVLSEHHSHQRFGEPPYGQFHSSAIATGNTSVDSSRLQPLKEMLQIGSQIPVSNYQEEHPPGLMNLPPQVTQDLSYNVDSGVSSFQLPHQMFGNVNSKKNWGTILTEQNDEMHQKEPLPVSSPVGISSSLELTDKYTQEHSVVHERLDASLSVEQTSDDTGRTEETSQVAVPEVAANAVQPESPEISVSKPLSGTFEDEITKIDGTLIEQQIEKERSSDEPLVPAGAEVKKVEVREARKAAEKKSRKQKSAKSKSTDQIKGTSKNSSVQQIKQPESEMLNVGDNKFESHNGTGEIFHGTTPEKMTDNKDGISSVEIKDSQRVKSLLSSHFIVDAEMTKNGESKPAGSVPIHNAQVNSGQRAWKPAPGFKPKSLLEIQLEEQRRAQTEVAVSEITTSVNSMNLSTPWAGVVASSDPKISRETLKDASNNELNVGKPEIAPNSKSKKSQLHDLLAEEVLAKSNDKEMEVPENLSSLPSQQSTMTNMESLDDDNFIEAKETKKSRKKSAKAKGTGTKAVVPTNTDVPVSSSPIEKGKSSRLVQQEKEVLPAIPSGPSLGDFVFWKGESTTNSPSPAWSTDTKKLPKPTSLRDILMEQEKKVSSVQPQNPMTTPQKPQSTQGTLGSGPSWSLSAASPSKVASPIQINSNAAIQSKYKGDDDLFWGPLDQSKQESKQSDFPHLANQGSWGTKNTPVKGSTSGSLSRQKSMGSRHAEHSLSSSPASAQSSLKGKKDTINKHSEAMDFRDWCESECVRLIGIKDTSFLEFCSKQSRSEAEMLLIENLGSFDPNHEFIDKFLDYKELLPADVLEMAFQSRNDRTATAYSGGDVNFDNAGVGESERDITVGYDGSSKGGGKKKGKKGKKVSPSVLGFNVVSNRIMMGEIQTAED >Manes.08G066600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9908459:9909622:1 gene:Manes.08G066600.v8.1 transcript:Manes.08G066600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTIISTPPLLPSLCVSLPASTKAIYTSPVSRLHLKSVSLLSKSFGGISFLAVRRGTMAIKALTDAKGMGPDAGESVSESDDEEKLQSEKIPLDAKLQQKLEQKLKMKLAKKIRLRRKRLVRKRRMRKKGRWPPSKANKLKNV >Manes.08G066600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9908494:9910039:1 gene:Manes.08G066600.v8.1 transcript:Manes.08G066600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLTIISTPPLLPSLCVSLPASTKAIYTSPVSRLHLKSVSLLSKSFGGISFLAVRRGTMAIKALTDAKGMGPDAGESVSESDDEEKLQSEKIPLDAKLQQKLEQKLKMKLAKKIRLRRKRLVRKRRMRKKGRWPPSKANKLKNV >Manes.11G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29307524:29310097:1 gene:Manes.11G129800.v8.1 transcript:Manes.11G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVELPVTSNRHNSIWRKCNVKSVEISAKDLVSFKYYGPCAYIIVNDAPRLVEASIGDNCAFYFLKYMTVFSDSLSRLQTFKMDLRSIKIIRSYPKFPMFSDLKHLDLVIDAYDAYDLLCCTSFLMMCPFLHGLKMKIVVLTRWLTGLGIGRKMKVQKAKPHHYLKMIELGGFLGSAINWKLAEHLLKRAVSLEKLIIDPRVASMKYEVECKNLEKMLAARARAKHLETSLPPKAELVIL >Manes.04G159300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:35344360:35350993:-1 gene:Manes.04G159300.v8.1 transcript:Manes.04G159300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIIVSASIVLLLSIFLLPLPSFDLLKQWLHFGLRTSSTSISRELMADLIVRNGIIFTSDASLPFADSMAVLNGRILSVGNFSSLQNLADDSTRVLDLEGKVVVPGFIDSHVHLIFGGLQMMRVELRGLNGKDEFVRRVREAVTNLKEGSWVLGDGWNNELWGGELPVASWIDDVTPKNPVWLTRMDGHMALANSVALKLAGISSLSEDPDGGSIMRSANGEPTGLLIDAAMKLIFPWIPEVSVDERREAMLIASNLALIRGVTTVVDFGRYLPGSSVEHSWEDLSDVYQWADYLGKMRIRVCLFFPMETWARLFDLVTKAGRTLSGWIYLGGVKAFADGSLGSNSALFYEPYIDEPHNYGLQVTDDERLFNMTVASDKAGHQVAIHAIGDRANDMVLDMYQSVVSTNGKRDRRFRIEHAQHLTRGAIPRFGEQGIIASVQPDHLLDDADSARKKLGMDRADGGSYKFQSLLASNAQLALGSDWPVVHINPLRSIKTARKRIPPGWENAWIPSECLSLKDAIIAHTISAAYACFLENDVGSLSPGKLADFVVLSTSSWDEFETEGSASVEATYVAGAQAYP >Manes.03G212800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32995700:33035730:-1 gene:Manes.03G212800.v8.1 transcript:Manes.03G212800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDDDWGLSAEQLDSLEKDAYRKIAQQQQQLYSSSSSSDITQQLHSNNTKPIFSSPSNKVESSPIATKVLSTSIILNSKSDESSKGVPKLSVKFLLHASGNIAAKFSYDPVLVAAIRKIPRASWNAKERLWIFPVSSLLSAAKVFSEISGFNVEVENLDPLVQRAISAASASPDLRDWYDKIPSYIESKLLPFQRDGVRFVLQHGGRALLADEMGLGKTLQAIAVAACLRDSWPVLILAPSSLRLHWASMIQQWLNIPSSDILVVLSQWSGSNRGGFTIVSSNTKDNTRIDGLFNIISYDVVPKLQNVLMASEFKVVIADESHFMKNAQAKRTTASLPVIKKAQYAILLSGTPALSRPIELFKQLEALYPGVYKNVHEYGNRYCKGGIFGVYQGASNHEELHNLMKATVMIRRLKKDVLSELPVKRRQQVFIDLAEKDMKKINALFRELEVVKGKIKACSSAEEVESLKFSEKNLINKIYTDSAEAKIPGVIDYLGTVIEAGCKFLIFAHHLPMIDSIHDFLLKKKVGSIRIDGSTPPASRQALVTDFQEKDAIKAAVLSIRAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQVLDGHEDSLEVSVSQQRSSPGKQKTLDSFLKRCNGEEHQSKVKCPRQ >Manes.13G118100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32469939:32471414:1 gene:Manes.13G118100.v8.1 transcript:Manes.13G118100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKKHFLLVTVTLQGHLNPMLRLAQRLVSKGINVTIATNNHVSSHRMLKSTRAFTNNLHAAAAAQNTAPKPPGISLVFFSDGLSLEFDRNKDVDSFIKSLRTTGAKTLSTLVADFIAQDNKFSCIICNPFMPWVADIAAEYGIPCAVLWIQACTVLSVYYLYFKNFNLFPAIDENPDKSLELPAVSVLQVKDLPSFILPSSPPIFRETLSHFFQNLDKIKWVLVNSFAEMEKEAVSFMGVLHPIYPIGPLVSPFLLGEEEKTIGSVDIWDAENSCIEWLDKKPPSSVIYISFGSISVLSQKQMDDLAMGLKNSNKPFLWVIRTAEKNSEKKGGELPAKFKEETKEKGLVVTWCPQEKVLLHQAVACFITHCGWNSALETVVAGVPVVAYPGWTDQPTVAKFLVDVLKIGIRVKAEDEVASAGEVERCIFEVTDGAEAKEMKKRALELKEAAKKVVADGGSSDQNITQFISEIFLRRVKGVEEKENDENA >Manes.09G038200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7146105:7166904:-1 gene:Manes.09G038200.v8.1 transcript:Manes.09G038200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGSKSKRLGAIKSKAANSHSSSTTSSSKQFLETSIDGQSSPASSSALSKPQYFYSENVPLNGESSKENVTVTVRFRPLSPREIRQGEEIAWYADGETIVRNEHNPSVAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRASHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKKGIVTVPQLKDVVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRFPHRRGPRRRHSFGEEELAYLPYKRRDLMLDDENIELYVSLEGNSETVDDTLKEEKKSRKHGLLNWLKLRKRESGLGTSSDKSSGVKSNSTPSTPQAENNNFHTESRFSNPLLTGSSPSAELLSEVRPDREVPEDNFLGQETPSTSIKTSDQIDLLREQQKILSGEVALHSSALKRLSEEASRNPQKEQIHVEMKRLNDEIKVKNEQISLLENQIANSIMAAHDRIDNLEVSQTIAELTAQLNEKSFELEVKAADNRIIQEQLNQKICECEGLQETIVSLKQQLSDALELRNLDPLPSYSQRLSELKSFHAQYQVDNEIAVTKDRNEALLLQAQATEIEELKQKVGELTESKEQLETRNQKLADESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELAAHKNSPTQRRTSGTVRNGRRENHMKRQDQVGPASELKKELAISREREAQYETALIEKDQREAELQKKVEESKQREAYLENELANMWVLVAKLKKSHGADTDNSDSTRETPSVNGFGV >Manes.09G038200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7146111:7166883:-1 gene:Manes.09G038200.v8.1 transcript:Manes.09G038200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGSKSKRLGAIKSKAANSHSSSTTSSSKQFLETSIDGQSSPASSSALSKPQYFYSENVPLNGESSKENVTVTVRFRPLSPREIRQGEEIAWYADGETIVRNEHNPSVAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKKGIVTVPQLKDVVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRFPHRRGPRRRHSFGEEELAYLPYKRRDLMLDDENIELYVSLEGNSETVDDTLKEEKKSRKHGLLNWLKLRKRESGLGTSSDKSSGVKSNSTPSTPQAENNNFHTESRFSNPLLTGSSPSAELLSEVRPDREVPEDNFLGQETPSTSIKTSDQIDLLREQQKILSGEVALHSSALKRLSEEASRNPQKEQIHVEMKRLNDEIKVKNEQISLLENQIANSIMAAHDRIDNLEVSQTIAELTAQLNEKSFELEVKAADNRIIQEQLNQKICECEGLQETIVSLKQQLSDALELRNLDPLPSYSQRLSELKSFHAQYQVDNEIAVTKDRNEALLLQAQATEIEELKQKVGELTESKEQLETRNQKLADESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELAAHKNSPTQRRTSGTVRNGRRENHMKRQDQVGPASELKKELAISREREAQYETALIEKDQREAELQKKVEESKQREAYLENELANMWVLVAKLKKSHGADTDNSDSTRETPSVNGFGV >Manes.09G038200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7146209:7166883:-1 gene:Manes.09G038200.v8.1 transcript:Manes.09G038200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGSKSKRLGAIKSKAANSHSSSTTSSSKQFLETSIDGQSSPASSSALSKPQYFYSENVPLNGESSKENVTVTVRFRPLSPREIRQGEEIAWYADGETIVRNEHNPSVAYAYDRVFGPTTTTRHVYDVAAQHVVSGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRASHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKKGIVTVPQLKDVVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRFPHRRGPRRRHSFGEEELAYLPYKRRDLMLDDENIELYVSLEGNSETVDDTLKEEKKSRKHGLLNWLKLRKRESGLGTSSDKSSGVKSNSTPSTPQAENNNFHTESRFSNPLLTGSSPSAELLSEVRPDREVPEDNFLGQETPSTSIKTSDQIDLLREQQKILSGEVALHSSALKRLSEEASRNPQKEQIHVEMKRLNDEIKVKNEQISLLENQIANSIMAAHDRIDNLEVSQTIAELTAQLNEKSFELEVKAADNRIIQEQLNQKICECEGLQETIVSLKQQLSDALELRNLDPLPSYSQRLSELKSFHAQYQVDNEIAVTKDRNEALLLQAQATEIEELKQKVGELTESKEQLETRNQKLADESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELAAHKNSPTQRRTSGTVRNGRRENHMKRQDQVGPASELKKELAISREREAQYETALIEKDQREAELQKKVEESKQREAYLENELANMWVLVAKLKKSHGADTDNSDSTRETPSVNGFGV >Manes.05G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9489338:9494448:-1 gene:Manes.05G102900.v8.1 transcript:Manes.05G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSHVRTWVDSKPNRKMDPIKLHIRPPPRSSNLFFKAKSFKSSFLSIFLASFFTLIAVLSCYFFLKNGDSLDYRTRYSIIIDGGSTGTRIHVFACRNKGGTPVFDFGDGAMKVNPGLSAHAEDPGGAGESLEELLEFGKRRVPRKLWGETEIRLMATAGMRLLDSEIQDMILESCREVLRNSGFKFRDDWASVITGSDEGLYAWIIANYASGTLGGDPRETTGIFELGGASAQVTFFSNEPVPAEFSRTVKFGNTTYSIYSHSFLHFGQNAALEALRESLVSVDNQPASESHEKRRFVDPCTPRGYSHDMESRGHSPGSMEKSKFLSRLHSSGNFSECRSAASMLIQKGKEKCSYQQCNIGSTFTPKLQGKFLATENFFYTSKFFGLGPRAYLSDLILAGEHFCGEDWSTLKKKHHSYDSDDLMRYCFSSAYVVALLHDGLGIALEDERIGYANQIGNIPLDWALGAFILQSTAAFEAQNPDWITAIISDDPPTLISLIAIAILLMFSAWFISKWKKPELKTVYDLEKGRYIVTHVGRS >Manes.06G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:771955:774710:1 gene:Manes.06G003600.v8.1 transcript:Manes.06G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSFFVRNFANFLSFLLPFIDKLPSKLLPPQLEKIVALVSRTGRHLQRYDKGCRQVVGCVPYRYKKTDQPSSMDETSHEDIEVLVISSQSGHGMLFPKGGWEEDESMEQAALRETLEEAGVIGKVECELGNWQYMSKRGAKMHEVYMFPMLVHKQLDLWPEKNIRKRRWVTVKEAREVCHNWWMREALDELVRRRLQKEEANERICK >Manes.03G198000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31516608:31518573:-1 gene:Manes.03G198000.v8.1 transcript:Manes.03G198000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENSQNYPTMPEVVDELKKMADIGFPIAAMSLVGYLKNMILVACMGRLGSLELAGGALAIGFTNITGYSVLSGLAMGMEPLCSQAFGSRNLSVASMTLQRTILMLLVVSLPIGLLWLNLEPLMLALHQDPEITRLASLYCRFCIPDLVANSLLHPLRIYLRSKGTTWPLMCCTSVSIVLHLPITIFLAFTLRLGVPGIAISTFISNFNTLLYLLCYMYFTRVPEEPLYTPLSPLPQLPPSLGVREWGILIRLAVPSCVAVCLEWWWYEFMTIAAGYLSKPRVALATSAIVIQTTSLLYTLPTALSSSVSTRVGNELGAGRPVKARLATAVAIGLALLSSLFGLVLTTLGRETWGRVFTEDEQVLELCMIVLPIIGVCELANCPQTTSCGILRGSARPVIGAGINFYSFYLVGAPVAIYLGFVWELGFVGLCYGLLAAQIACVVSILTVVYKTDWEKESLKAKHLVGRSTDAVMPHEHHIIKCEEDGKGNEEEEEEEEGVGFLRLKIEGAVD >Manes.03G011300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:964248:966770:1 gene:Manes.03G011300.v8.1 transcript:Manes.03G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVLNPKDSHRNLLFSWQDLTSPPPRMRLPRNPNGSKSMQPNRPRNNRRKRSPNTSHSPRAAVDAPQFPDKNLVMGHVKILKPGEQFAKTVPDEVSVSAEVEKTEDLLLGSTHCLGLDPELLPTHIELADSKVNGFYAGSALIAPPSPTSLPLPASLMKKCDVGVKNNDATSYLRQILGLTLF >Manes.03G082162.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15253940:15294211:-1 gene:Manes.03G082162.v8.1 transcript:Manes.03G082162.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSMRHLDLEVGNATKDDDVLNHEDHLEQHSLDNESGPFDIAHTKNAPLETLRRWRQAALVLNASRRFRYTLDLKKEEEKEQRRRMIRAHAQVIRAALLFKLAGERQIVRCPIVADPNPSGDYEIGLEQLASMTRDHNFSALQQYGGAKGLSNMLKTNLESGIHGDEDDLIKRRNAFGANTYPRKKGRSFLRFLWEAWQDLTLIILIVAAVASLVLGIKTEGLEEGWYDGASIAFAVILVIVVTAVSDYRQSLQFQNLNEEKQNIQLEVMRGGRTLKISIFDIVVGDVVPLRIGDQVPADGIFITGHSLAIDESSMTGESKIVHKDHKAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAISVLAVLLGRYFTGNTKNSNGETQFVKGHTKFSEAVDGVIKIITIAVTIVVVAVPEGLPLAVTLTLAYSMRQMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGRKKMNPPDDSSQLHSEVNSLLCEGVAQNSTGNVFVPKDGGDVEVSGSPTEKAILSWAVKLGMEFDVIRSQSKVLQVFPFNSEKKRGGVAVQRTDSKVHIHWKGAAEILLASCTRYIDSNGVLQPIDEDKDFFKAAINDMAASSLRCVAIAYRSYELDKVPANEENLDKWVLPEDELVLLAIVGIKDPCRSGVEDAVRVCTQAGVKVRMVTGDNLHTAKAIALECGILGSHADATEPNIIEGRVFREYSEKERESVAQKITVMGRSSPSDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQALYQVGVLLVLNFRGKSILNLNDESREHATDVKNTMIFNTFVLCQIFNEFNARKPDEINVFSGITKNRLFMGIIGFTFILQIILIEFAGKFMSTVRLNWELWLASVVIALVSWPLAAIGKLAPVPETPLTVYLGKPFRRWRTGQHA >Manes.03G082162.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15253940:15294211:-1 gene:Manes.03G082162.v8.1 transcript:Manes.03G082162.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSMRHLDLEVGNATKDDDVLNHEDHLEQHSLDNESGPFDIAHTKNAPLETLRRWRQAALVLNASRRFRYTLDLKKEEEKEQRRRMIRAHAQVIRAALLFKLAGERQIVRCPIVADPNPSGDYEIGLEQLASMTRDHNFSALQQYGGAKGLSNMLKTNLESGIHGDEDDLIKRRNAFGANTYPRKKGRSFLRFLWEAWQDLTLIILIVAAVASLVLGIKTEGLEEGWYDGASIAFAVILVIVVTAVSDYRQSLQFQNLNEEKQNIQLEVMRGGRTLKISIFDIVVGDVVPLRIGDQVPADGIFITGHSLAIDESSMTGESKIVHKDHKAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAISVLAVLLGRYFTGNTKNSNGETQFVKGHTKFSEAVDGVIKIITIAVTIVVVAVPEGLPLAVTLTLAYSMRQMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGRKKMNPPDDSSQLHSEVNSLLCEGVAQNSTGNVFVPKDGGDVEVSGSPTEKAILSWAVKLGMEFDVIRSQSKVLQVFPFNSEKKRGGVAVQRTDSKVHIHWKGAAEILLASCTRYIDSNGVLQPIDEDKDFFKAAINDMAASSLRCVAIAYRSYELDKVPANEENLDKWVLPEDELVLLAIVGIKDPCRSGVEDAVRVCTQAGVKVRMVTGDNLHTAKAIALECGILGSHADATEPNIIEGRVFREYSEKERESVAQKITVMGRSSPSDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQALYQVGVLLVLNFRGKSILNLNDESREHATDVKNTMIFNTFVLCQIFNEFNARKPDEINVFSGITKNRLFMGIIGFTFILQIILIEFAGKFMSTVRLNWELWLASVVIALVSWPLAAIGKLAPVPETPLTVYLGKPFRRWRTGQHA >Manes.03G082162.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15254026:15294211:-1 gene:Manes.03G082162.v8.1 transcript:Manes.03G082162.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSMRHLDLEVGNATKDDDVLNHEDHLEQHSLDNESGPFDIAHTKNAPLETLRRWRQAALVLNASRRFRYTLDLKKEEEKEQRRRMIRAHAQVIRAALLFKLAGERQIVRCPIVADPNPSGDYEIGLEQLASMTRDHNFSALQQYGGAKGLSNMLKTNLESGIHGDEDDLIKRRNAFGANTYPRKKGRSFLRFLWEAWQDLTLIILIVAAVASLVLGIKTEGLEEGWYDGASIAFAVILVIVVTAVSDYRQSLQFQNLNEEKQNIQLEVMRGGRTLKISIFDIVVGDVVPLRIGDQVPADGIFITGHSLAIDESSMTGESKIVHKDHKAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAISVLAVLLGRYFTGNTKNSNGETQFVKGHTKFSEAVDGVIKIITIAVTIVVVAVPEGLPLAVTLTLAYSMRQMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGRKKMNPPDDSSQLHSEVNSLLCEGVAQNSTGNVFVPKDGGDVEVSGSPTEKAILSWAVKLGMEFDVIRSQSKVLQVFPFNSEKKRGGVAVQRTDSKVHIHWKGAAEILLASCTRYIDSNGVLQPIDEDKDFFKAAINDMAASSLRCVAIAYRSYELDKVPANEENLDKWVLPEDELVLLAIVGIKDPCRSGVEDAVRVCTQAGVKVRMVTGDNLHTAKAIALECGILGSHADATEPNIIEGRVFREYSEKERESVAQKITVMGRSSPSDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQALYQVGVLLVLNFRGKSILNLNDESREHATDVKNTMIFNTFVLCQIFNEFNARKPDEINVFSGITKNRLFMGIIGFTFILQIILIEFAGKFMSTVRLNWELWLASVVIALVSWPLAAIGKLAPVPETPLTVYLGKPFRRWRTGQHA >Manes.03G082162.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15264041:15294158:-1 gene:Manes.03G082162.v8.1 transcript:Manes.03G082162.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSMRHLDLEVGNATKDDDVLNHEDHLEQHSLDNESGPFDIAHTKNAPLETLRRWRQAALVLNASRRFRYTLDLKKEEEKEQRRRMIRAHAQVIRAALLFKLAGERQIVRCPIVADPNPSGDYEIGLEQLASMTRDHNFSALQQYGGAKGLSNMLKTNLESGIHGDEDDLIKRRNAFGANTYPRKKGRSFLRFLWEAWQDLTLIILIVAAVASLVLGIKTEGLEEGWYDGASIAFAVILVIVVTAVSDYRQSLQFQNLNEEKQNIQLEVMRGGRTLKISIFDIVVGDVVPLRIGDQVPADGIFITGHSLAIDESSMTGESKIVHKDHKAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAISVLAVLLGRYFTGNTKNSNGETQFVKGHTKFSEAVDGVIKIITIAVTIVVVAVPEGLPLAVTLTLAYSMRQMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGRKKMNPPDDSSQLHSEVNSLLCEGVAQNSTGNVFVPKDGGDVEVSGSPTEKAILSWAVKLGMEFDVIRSQSKVLQVFPFNSEKKRGGVAVQRTDSKVHIHWKGAAEILLASCTRYIDSNGVLQPIDEDKDFFKAAINDMAASSLRCVAIAYRSYELDKVPANEENLDKWVLPEDELVLLAIVGIKDPCRSGVEDAVRVCTQAGVKVRMVTGDNLHTAKAIALECGILGSHADATEPNIIEGRVFREYSEKERESVAQKITVMGRSSPSDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQVSLSSSCQEYCAYFADTCSSMS >Manes.03G082162.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:15255104:15293653:-1 gene:Manes.03G082162.v8.1 transcript:Manes.03G082162.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFSSNGLLQPSSSMRHLDLEVGNATKDDDVLNHEDHLEQHSLDNESGPFDIAHTKNAPLETLRRWRQAALVLNASRRFRYTLDLKKEEEKEQRRRMIRAHAQVIRAALLFKLAGERQIVRCPIVADPNPSGDYEIGLEQLASMTRDHNFSALQQYGGAKGLSNMLKTNLESGIHGDEDDLIKRRNAFGANTYPRKKGRSFLRFLWEAWQDLTLIILIVAAVASLVLGIKTEGLEEGWYDGASIAFAVILVIVVTAVSDYRQSLQFQNLNEEKQNIQLEVMRGGRTLKISIFDIVVGDVVPLRIGDQVPADGIFITGHSLAIDESSMTGESKIVHKDHKAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLSVAISVLAVLLGRYFTGNTKNSNGETQFVKGHTKFSEAVDGVIKIITIAVTIVVVAVPEGLPLAVTLTLAYSMRQMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGRKKMNPPDDSSQLHSEVNSLLCEGVAQNSTGNVFVPKDGGDVEVSGSPTEKAILSWAVKLGMEFDVIRSQSKVLQVFPFNSEKKRGGVAVQRTDSKVHIHWKGAAEILLASCTRYIDSNGVLQPIDEDKDFFKAAINDMAASSLRCVAIAYRSYELDKVPANEENLDKWVLPEDELVLLAIVGIKDPCRSGVEDAVRVCTQAGVKVRMVTGDNLHTAKAIALECGILGSHADATEPNIIEGRVFREYSEKERESVAQKITVMGRSSPSDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRSPVGRREPLITNIMWRNLLIQALYQVGVLLVLNFRGKSILNLNDESREHATDVKNTMIFNTFVLCQIFNEFNARKPDEINVFSGITKNRLFMGIIGFTFILQIILIEFAGKFMSTVRLNWELWLASVVIALVSWPLAAIGKLAPVPETPLTVYLGKPFRRWRTGQHA >Manes.01G160600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29819169:29834972:-1 gene:Manes.01G160600.v8.1 transcript:Manes.01G160600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSHVLLLPFPAQGHVNPLMHFARRIANLGSKVTFVNTDFNLRRVLSAMGGRSDPTGSCVNLVSIPDGMDPEDDRTDIGKLCEAMLITMPKKLQDLIEDINKNHPITCIVVDGCMGWAREVADKLGIRVAMFWPASAAIFRQLVSIPNLIRDGYIDSDGFATKKHKIQMSPSGPNFYTDNLIWKIGNSYTQRALFKYIEGGMEDSQLIEWQLCNSFHELEAEIFSSVPKLLPIGPLLAGYDTGNSGAQFWPEDSSCLKWLDRQPSQSVIYVAFGSLTIFDQSQLQELALGLKLTSKPFLWVVRPGTSTQELNLNEFEGRHGKIICWAPQQKVLSHPAIACFVSHCGWNSTIEGVSNGIPFLCWPYFGDQFVNKSYICDVWKIGLGLEKDEKGIITKEEFKQKVELLLGDKIIRKKALELKQIAENNIGEGGQSSTNFSNFIKWVDA >Manes.01G160600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29819223:29820960:-1 gene:Manes.01G160600.v8.1 transcript:Manes.01G160600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHVLVLPFPAQGHVNPLMHFAWRIANLGSKVTFVNTDFNHKRVLSAMAGRSDPTGSCVNLVSIPDGMDPEDDRTDLSKLCEAMLITMCKKLQDLIEDINKNHPITCIVVDGSMGWVREVADKLGIRVAMFWPASAALFCQLANIPSLIRDGYIDSDGFATKKHKIQMSPSGPNFYTDNLIWKIGNSYTQRALFKYIEGGMEDSQLIEWQLCNSFHELEAEIFSSVPKLLPIGPLLAGYDTGNSGAQFWPEDSSCLKWLDRQPSQSVIYVAFGSLTIFDQSQLQELALGLKLTSKPFLWVVRPGTSTQELNLNEFEGRHGKIICWAPQQKVLSHPAIACFVSHCGWNSTIEGVSNGIPFLCWPYFGDQFVNKSYICDVWKIGLGLEKDEKGIITKEEFKQKVELLLGDKIIRKKALELKQIAENNIGEGGQSSTNFSNFIKWVDA >Manes.11G051025.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6484360:6487430:-1 gene:Manes.11G051025.v8.1 transcript:Manes.11G051025.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGVVKHVLLAKFKEGISSDEIEKLIKGYANLVNLIEPMKAFHWGTDVSIENLHQGFTHVFESTFESTEGVAEYISHPAHVDFANLFLPALEKVVVIDYKPTTVRL >Manes.13G122500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33044998:33053475:1 gene:Manes.13G122500.v8.1 transcript:Manes.13G122500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSPAASASRSDPPKDSQELVAKPQETSLSMKEVLSVIDSLKKQVAATRCVSIKKRMEENKQKLMGVTNHLYMLSKERRSNWINITDSSVDLLTKRQRDALGMHSGIDANNGDKDSHSSQEDGHASTAVLLGSSIPVKNAVRPIKLPEVKRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEIIEDEEEKRDFVDSEDFILRMTAKEVGLSDIVLESLAQCLSRSPSEIKARYEVLTKDETAVWDPKNGDGDAQTVNSFLDKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQHQLNHPDEENVSCGPHCYKSVLKLERIDAVNSPECGDLGENSVHPSNGLGTQISSRKKSYAQSARRRVKISQSESASSNAKNVSESSDSEIGPQQDATSPSKSKLAGKVGSCHRNSKRVAERVLSCMRKRQKKTVASDSDSIASGGLLAGDMKLRSTSRKENEDASSSSHKNVRSPIVGRSRRKECIIQDSNNSVQGEGHDGPASEMITDPPASSSDDTLRKEEGIDENVCKKELIDNRSWKPFEKSLFEKGMEIFGRNSCLISRNLLNGLKTCWDVFQYMTGSENRLACQDGDAANSLGEGYSKFDFNGALGNNEVRRRSRFLRRRGRVRRLKYSWKSTAYHSIRKRITERKDQPCRQYNPCSCRTACGKQCTCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVLSKYKCCSWDI >Manes.13G122500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33044998:33055762:1 gene:Manes.13G122500.v8.1 transcript:Manes.13G122500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSPAASASRSDPPKDSQELVAKPQETSLSMKEVLSVIDSLKKQVAATRCVSIKKRMEENKQKLMGVTNHLYMLSKERRSNWINITDSSVDLLTKRQRDALGMHSGIDANNGDKDSHSSQEDGHASTAVLLGSSIPVKNAVRPIKLPEVKRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEIIEDEEEKRDFVDSEDFILRMTAKEVGLSDIVLESLAQCLSRSPSEIKARYEVLTKDETAVWDPKNGDGDAQTVNSFLDKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQHQLNHPDEENVSCGPHCYKSVLKLERIDAVNSPECGDLGENSVHPSNGLGTQISSRKKSYAQSARRRVKISQSESASSNAKNVSESSDSEIGPQQDATSPSKSKLAGKVGSCHRNSKRVAERVLSCMRKRQKKTVASDSDSIASGGLLAGDMKLRSTSRKENEDASSSSHKNVRSPIVGRSRRKECIIQDSNNSVQGEGHDGPASEMITDPPASSSDDTLRKEEGIDENVCKKELIDNRSWKPFEKSLFEKGMEIFGRNSCLISRNLLNGLKTCWDVFQYMTGSENRLACQDGDAANSLGEGYSKFDFNGALGNNEVRRRSRFLRRRGRVRRLKYSWKSTAYHSIRKRITERKDQPCRQYNPCSCRTACGKQCTCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGAHTSGRAKKVA >Manes.13G122500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33044998:33052517:1 gene:Manes.13G122500.v8.1 transcript:Manes.13G122500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSPAASASRSDPPKDSQELVAKPQETSLSMKEVLSVIDSLKKQVAATRCVSIKKRMEENKQKLMGVTNHLYMLSKERRSNWINITDSSVDLLTKRQRDALGMHSGIDANNGDKDSHSSQEDGHASTAVLLGSSIPVKNAVRPIKLPEVKRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEIIEDEEEKRDFVDSEDFILRMTAKEVGLSDIVLESLAQCLSRSPSEIKARYEVLTKDETAVWDPKNGDGDAQTVNSFLDKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQHQLNHPDEENVSCGPHCYKSVLKLERIDAVNSPECGDLGENSVHPSNGLGTQISSRKKSYAQSARRRVKISQSESASSNAKNVSESSDSEIGPQQDATSPSKSKLAGKVGSCHRNSKRVAERVLSCMRKRQKKTVASDSDSIASGGLLAGDMKLRSTSRKENEDASSSSHKNVRSPIVGRSRRKECIIQDSNNSVQGEGHDGPASEMITDPPASSSDDTLRKEEGIDENVCKKELIDNRSWKPFEKSLFEKGMEIFGRNSCLISRNLLNGLKTCWDVFQYMTGSENRLACQDGDAANSLGEGYSKFDFNGALGNNEVRRRSRFLRRRGRVRRLKYSWKSTAYHSIRKRITERKDQPCRQYNPCSCRTACGKQCTCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVNTFFFFVSNSFHCQYAEFHGAEFPHLKIFFNCLVNTLVG >Manes.13G122500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33044998:33055762:1 gene:Manes.13G122500.v8.1 transcript:Manes.13G122500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKQKLMGVTNHLYMLSKERRSNWINITDSSVDLLTKRQRDALGMHSGIDANNGDKDSHSSQEDGHASTAVLLGSSIPVKNAVRPIKLPEVKRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEIIEDEEEKRDFVDSEDFILRMTAKEVGLSDIVLESLAQCLSRSPSEIKARYEVLTKDETAVWDPKNGDGDAQTVNSFLDKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLVFPAEKQHQLNHPDEENVSCGPHCYKSVLKLERIDAVNSPECGDLGENSVHPSNGLGTQISSRKKSYAQSARRRVKISQSESASSNAKNVSESSDSEIGPQQDATSPSKSKLAGKVGSCHRNSKRVAERVLSCMRKRQKKTVASDSDSIASGGLLAGDMKLRSTSRKENEDASSSSHKNVRSPIVGRSRRKECIIQDSNNSVQGEGHDGPASEMITDPPASSSDDTLRKEEGIDENVCKKELIDNRSWKPFEKSLFEKGMEIFGRNSCLISRNLLNGLKTCWDVFQYMTGSENRLACQDGDAANSLGEGYSKFDFNGALGNNEVRRRSRFLRRRGRVRRLKYSWKSTAYHSIRKRITERKDQPCRQYNPCSCRTACGKQCTCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGAHTSGRAKKVA >Manes.18G094800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8756037:8761537:-1 gene:Manes.18G094800.v8.1 transcript:Manes.18G094800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKADKKAAVDAAAWMFNVVTSVGIILVNKALMATYDFSYATTLTGLHFATTTLLALVLRGLGYIQASHLPFPELLKFVFFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVLFDKIRYSRDTKLSIAVVLLGVGVCTITDVSVNAKGFVAAFIAVWSTSLQQYYVHYLQRKYSLSSFNLLGHTAPAQAATLLLIGPFLDYWLTNRRVYTYNYNIVSVTFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGREGLNLQVVLGMVIAVVGMIWYGNASSKPGGKERWNISLPTSRQQKHTSLSEASEHDAKA >Manes.18G094800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8755718:8760889:-1 gene:Manes.18G094800.v8.1 transcript:Manes.18G094800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKADKKAAVDAAAWMFNVVTSVGIILVNKALMATYDFSYATTLTGLHFATTTLLALVLRGLGYIQASHLPFPELLKFVFFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVLFDKIRYSRDTKLSIAVVLLGVGVCTITDVSVNAKGFVAAFIAVWSTSLQQYYVHYLQRKYSLSSFNLLGHTAPAQAATLLLIGPFLDYWLTNRRVYTYNYNIVSVTFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGREGLNLQVVLGMVIAVVGMIWYGNASSKPGGKERWNISLPTSRQQKHTSLSEASEHDAKA >Manes.18G028710.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2820684:2832074:-1 gene:Manes.18G028710.v8.1 transcript:Manes.18G028710.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSPFLNTTIVAWIFVSLFLPLYLLSLKKWESRASKAILAPQPTGAWPLTGHFSLFSGSEPHVTLGTLADKYGPIFTVRVGAHPVLVVSSSEVAKEIFTGINDMIVTFRPALVAAKFMGYNYAFFPVNPGGPYWSETRKLSIFELLSNRRLELLKHIRIQEDKKVVDMKQWFSDLNLNVLLRMIIGKKYFGGGAVGEEKERRNKFQHGITKLFYFLGTLVLRDAVPFLGWMDVGGHEKAMKKIAKEIDDVLEKWLQEHKRNRCLGEESKEDQDFMEVMLSILDDKNLEGYDADTINKATSLSMIAGYETVTVAMTWALALLLNNRFALRRAQEELDKVVGKERLVNEKDISKLVYLQAIVKETLRLYPPGFIPGPRQFTRDCTIGGYYVPKNTWLMVNVWKIQRDPRVWPDPMEFKPERFLTTRKNVDVRSQNFELLPFGGGRRACPAASYALHIVHLTLATLLQAFEISTPEDAAVDMTPGIGLTNMKTTPLKVVVSPRLQPCCFE >Manes.14G087700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7260548:7265999:-1 gene:Manes.14G087700.v8.1 transcript:Manes.14G087700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSLEQTLQDGKLHRQLNSLIVAHLRDNNLTQAASAVASATMTPLDVEAPPNKLLELVAKGLAAEKDDVLRGVPSSAIYDLGTLIPAAYGSIPAPRAASVDFSAMQDAKGSSKSFPKHETRHLSEHKNIARCARFSPDGRFVVTGSADTSIKLFEISKIKQMLLSDARDGPVRPVIRTFYDHIQPINDLDFHPQNTILISGAKDHTIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHQIPHLYDVNTFQCYLSANAPEIGISGAINQVRYSSTGGMYVTASKDGAIRLWDGVTANCVRSIVNAHGTAEATSVTFTKDQRFVLSCGKDSSVKLWEVSSGRLVKQYLGATHAQLRCQAVFNDTEEFVLSIDEQSNEIVIWDALTAEKVAKWPSNHNGAPRWIEHSPTEAAFISCGTDRSVRFWKEAL >Manes.14G087700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7260821:7265995:-1 gene:Manes.14G087700.v8.1 transcript:Manes.14G087700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSLEQTLQDGKLHRQLNSLIVAHLRDNNLTQAASAVASATMTPLDVEAPPNKLLELVAKGLAAEKDDVLRGVPSSAIYDLGTLIPAAYGSIPAPRAASVDFSAMQDAKGSSKSFPKHETRHLSEHKNIARCARFSPDGRFVVTGSADTSIKLFEISKIKQMLLSDARDGPVRPVIRTFYDHIQPINDLDFHPQNTILISGAKDHTIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLLAGTDHQIPHLYDVNTFQCYLSANAPEIGISGAINQVRYSSTGGMYVTASKDGAIRLWDGVTANCVRSIVNAHGTAEATSVTFTKDQRFVLSCGKDSSVKLWEVSSGRLVKQYLGATHAQLRCQAVFNDTEEFVLSIDEQSNEIVIWDALTAEKVAKWPSNHNGAPRWIEHSPTEAAFISCGTDRSVRFWKEAL >Manes.08G107150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34676482:34678335:1 gene:Manes.08G107150.v8.1 transcript:Manes.08G107150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDNTATCIDLILAIILPPLGVFFKYGCQVEFWICLVLTFFGYIPGIIYAVYAITK >Manes.11G100600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21906325:21909123:1 gene:Manes.11G100600.v8.1 transcript:Manes.11G100600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARARKSATEAERKERDAREKEEQFWREAEGPKSRAAKKREEESEKRAEAVARRAEARRLAEQEEKEIDKSMKKPDKKANRVSIPVPKVTEAELRRQREEEQAELAKKADEMKRRQSRTAAVEDYEKMVLVTNTNRDDSIIEARTVEDAIAQMAVTDNLPVDKHPERRLKASFKAFEEVELPKLKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQINE >Manes.11G100600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:21906325:21909123:1 gene:Manes.11G100600.v8.1 transcript:Manes.11G100600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARARKSATEAERKERDAREKEEQFWREAEGPKSRAAKKREEESEKRAEAVARRAEARRLAEQEEKEIDKSMKKPDKKANRVSIPVPKVTEAELRRQREEEQAELAKKADEMKRRQSRTAAVEDYEKMVLVTNTNRDDSIIEARTVEDAIAQMAVTDNLPVDKHPERRLKASFKAFEEVELPKLKEEKPGLTHNQYKDMIWKLWKKSPDNPLNQVQINE >Manes.S025016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:338578:338952:1 gene:Manes.S025016.v8.1 transcript:Manes.S025016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.13G013800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:1966026:1967306:-1 gene:Manes.13G013800.v8.1 transcript:Manes.13G013800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEIEIISEEIIKPSSPTPDHLHHYNLSFLDQISPPVYNPFILLYPTDGELKSNNLEIYEELKQSLSQVLTYYYPLAGRIKDNHFVDCNDEGALLLQAQVSSKLSDVVDNPEPTEFNKLLPFELDQAQELPLGIQFNIFKCGGICLGLCLSHKIADAFSALTFIKTWAAIARGEADIVRPEFVSDTLFPPKNISGFNPSIGITKQNIVTKRFVFSSSSIESLRARYATSLENERPPSRIEALSAFIWSRFMAATTVESGRRKIYLMLHAVNLRKRMNPPLSEHSFGNYYRVAITIPSVHPSGEDDLVNQMRDSISKIDEEYVKKLQKGDEHLDFIKERAESFMRGEIATLNFTSLCRFPLYEADFGWSKPIWVGAPSLTFKDLVVFMDTASGDGIEALIHLKEEDMAKLKEDQELLRFTCSHLSQ >Manes.07G066700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12619245:12624123:-1 gene:Manes.07G066700.v8.1 transcript:Manes.07G066700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGGSISRTLISAARAPSRVSSLPLPRIRLPPTASPRVQSRRLSFAASRNMGELGCLQSFLPLHSMVFTNHLTSHLNANLRAFCELSHGTFHRTCQDR >Manes.07G066700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12619245:12624123:-1 gene:Manes.07G066700.v8.1 transcript:Manes.07G066700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSGGSISRTLISAARAPSRVSSLPLPRIRLPPTASPRVQSRRLSFAASRNMGELGCLQSFLPLHSMVFTNHLTSHLNANLRAFCELSHGT >Manes.03G000151.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21697:75052:-1 gene:Manes.03G000151.v8.1 transcript:Manes.03G000151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLILLLTSYRPTVPSLTLTRLSSLSPSSLSLSLSLLLFFSGCIERVCFQKMCETRKAPEIYKKTWRCSKNRVLWWA >Manes.04G070067.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:26900235:26902433:1 gene:Manes.04G070067.v8.1 transcript:Manes.04G070067.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLAIGFVITCKRELQTTSQLFAGLKTRHFASTPRSKSSFQHLDLYSLNKKISHFTRMGRINDARALFDKMEQRNTVSWNSMISGYVKRGEITKARKLFDEMPKRDVVSWNLMISGYVSCRGKRFLEEGRYLFDKMQERDYVSWNTMISGYAKNGRMDEALQLFHTMPKRNAVSWNAMVAGFLQNGDVGRAIEFFEMIPERDGASLSVLVSGLIQNGELDEAARILLECSSNDGRKEELVHAYNTLIAGYGQCGKVNEARNLFDQIPIQDGQGNGSKKRFVRNVVSWNTMMMCYVKAQDIVSARELFDQMKERDTISWNTMISGYVHASDMEEASNLFCEMPNSDTLSWNSMISGYAQTGSLELAHDLFQRMPQKNLISWNTMIAGYEKNDDYKGAIEIFIQMQIEGEKPDRHTLSSLLIVSSGIVDLHLGMQIHQLVEKTVTADVPINNALITMYSKCGAIIEAQTIFDEIKMQKEVISWNAMIGGYASHGYATEALELFKWMKSFKVQPTYITFISVLNACAYAGLVEEGRGIFKSMVSEYGIEPRLEHFASLVDIVGRHGQLKEALDVINSMPFEPDKVVWGALLGASRVHNNVEMARVAAEALMRLEPDSSVPYVLLYNMYADAGQWDNATEVRMMMERNNIKKEAAFSWVDSS >Manes.15G035400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2797805:2805711:-1 gene:Manes.15G035400.v8.1 transcript:Manes.15G035400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKDASPKDLPLGLDASTEEEYAFQSKLLQELVSISSIDKAWTFKSNSGMGSQAMFLISQANLLANKRRNYILSASISKESGSCGNFQWAPFPIEMAGVSTIVPSPSGSKLLVIRNPENESPSRFEIWSQGHVEKEFHMAQSVHGSVYTDGWFEGISWNCDETLIAYIAEEPLPFKPMFSGLGYKKGSASTDKDSGSWKGQGEWEEDWGETYAGKRQPALFVMSTSSGEVQPVKGVAKSLSVGQVVWAPASEGSNQYLVFVGWSSDTRKLGIKYCSNRPCALDGPNEGSPVLDLTQSINSAFFPLFSPDRRFLVFLSAKSSVDSGAHSATNSLHKIDWPVNGQLSLPAKVVDVIPVVQCSEDGCFPGLYCSGFLRNPWLSDGCTMMLSSTWGSSQVLLSVNVLSGDVKRITPANSKVSWNLLAVDGDNIIAVTSSPIDVPEIRFGFLVEKATKNATWRWLDVSSPIVSCSEMVRIISYPVLCYNRLSYIDDPLSLFLVQVRSLLSSRQFDILKIPVKDVSSCLTKGAAKPFEAIFVSDTKETGACNPLIVLLHGGPHDVSVSSFSASSAFLSSIGYSLLIVNYRGSLGFGEEALQSLLGRAGSQDVNDVLTAIDHVVDLGLAKPSSIAVLGLSHGGFLTTHLIGQAPDKFAAAAARNPVCNIASMVCTTDIPDWCFVQAYGIEGKNKFTEAASAEDLALFHSRSPISHVSKVKAPTIFLLSAEDLRVPMSNGFQYARALKEKGVEIKILMFPNDVHAIKRPQYDFESFLNIGVWFKKYCK >Manes.01G044900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:9075955:9077210:1 gene:Manes.01G044900.v8.1 transcript:Manes.01G044900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGFSASTRNLTQIHNIFSWNFTSINKVSLLMPSVETCGSSQSHSSNMEPLSSFLIFIVVMVLALVAFSSLFYGRCKKDWAKAMVFPNKKQRLRPPNKPHRFTFPEVSTATWSFHELEILGSISRGIYYRGKLSNGFQVVVKHFSAQFLNSQPGLDRRRFLKEISAISRVHHPNMVPIIGWCQDNREIMVLYKLYPNGSLDKWLFGVGVLPWTRWCKVVNDIADALSFLHFKHLAHKNMKARNIFLDVSFKATLGDFDFVLSYKEVVDRRIGSMVNLEQAIRVLEIGLLCTLNESKGRLSMEEVVGFLSLEMATPKLPLSRPVTLFTYSSTNGLCTRYSCSPFK >Manes.05G207700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33436232:33448513:-1 gene:Manes.05G207700.v8.1 transcript:Manes.05G207700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METREDLKSLLPFLPLVVRSSSLFWPSRVVEALKALSEGPDINNVNSGESLFAAISDIRNSLSLCAEPLAPSAPEGYSLFFDELMSRAESTKWFGEVLPALANLLLRMPSLLQSHYENADKFFNGVTNGVRTSLRLLGPQVAGIVFLSQELIAAFLACAFFCLFPVTDRGAKHLPTIDLDHLFEDLYENYSEKQENKIMCILHYFERICSCTPGGFVSFERKVLPWEQFPTGISYPGADFWNKSVAPLCSFEVHNLGFIEDQSNGALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPRMEDNEAIEIVGAERFSNYRGYASSFRFSGDHVDRRNVDSFGRRNTRIVAIDALNNPGMRQYKLNYLLREINKAFCGFSDLPKCNHYKSFFRNSGPQGAQSEEGDEDANVIPMNKYLVRGSDIIEDSDETCSWCQDHKHKNGIATGNWGCGAFGGDTEIKAIIQWLAASQALRPAIFYYTFGVEVLRNLDKVSQWIVSHEWTVGDLWNMLVEYSSQRLNGETNVGFFKWLLPSLC >Manes.05G207700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33436232:33448513:-1 gene:Manes.05G207700.v8.1 transcript:Manes.05G207700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METREDLKSLLPFLPLVVRSSSLFWPSRVVEALKALSEGPDINNVNSGESLFAAISDIRNSLSLCAEPLAPSAPEGYSLFFDELMSRAESTKWFGEVLPALANLLLRMPSLLQSHYENADKFFNGVTNGVRTSLRLLGPQVAGIVFLSQELIAAFLACAFFCLFPVTDRGAKHLPTIDLDHLFEDLYENYSEKQENKIMCILHYFERICSCTPGGFVSFERKVLPWEQFPTGISYPGADFWNKSVAPLCSFEVHNLGFIEDQSNGALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPRMEDNEAIEIVGAERFSNYRGYASSFRFSGDHVDRRNVDSFGRRNTRIVAIDALNNPGMRQYKLNYLLREINKAFCGFSDLPKCNHYKSFFRNSGPQGAQSEEGDEDANVIPMNKYLVRGSDIIEDSDETCSWCQDHKHKNGIATGNWGCGAFGGDTEIKAIIQWLAASQFDRMLSMNLNAFLSGIKTCHFLLHIWR >Manes.05G207700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33436232:33448513:-1 gene:Manes.05G207700.v8.1 transcript:Manes.05G207700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METREDLKSLLPFLPLVVRSSSLFWPSRVVEALKALSEGPDINNVNSGESLFAAISDIRNSLSLCAEPLAPSAPEGYSLFFDELMSRAESTKWFGEVLPALANLLLRMPSLLQSHYENADKFFNGVTNGVRTSLRLLGPQELIAAFLACAFFCLFPVTDRGAKHLPTIDLDHLFEDLYENYSEKQENKIMCILHYFERICSCTPGGFVSFERKVLPWEQFPTGISYPGADFWNKSVAPLCSFEVHNLGFIEDQSNGALEVDFANKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPRMEDNEAIEIVGAERFSNYRGYASSFRFSGDHVDRRNVDSFGRRNTRIVAIDALNNPGMRQYKLNYLLREINKAFCGFSDLPKCNHYKSFFRNSGPQGAQSEEGDEDANVIPMNKYLVRGSDIIEDSDETCSWCQDHKHKNGIATGNWGCGAFGGDTEIKAIIQWLAASQALRPAIFYYTFGVEVLRNLDKVSQWIVSHEWTVGDLWNMLVEYSSQRLNGETNVGFFKWLLPSLC >Manes.01G263500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41836641:41841676:1 gene:Manes.01G263500.v8.1 transcript:Manes.01G263500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSSGGKLYEFANCSMQETIARYHKHVKATQINKKTFDENMQQQLKSEATNMVKKIELLEISKRKLMGEGLGSCTVEELQQLEQQLEKSVSTIRARKNQVFREQIERLKEKEKLLAAENAKLSEKCGGQPCQGLKLVGETRHCEESSQVSDVETELFIGPPETRNKRNPPRN >Manes.01G263500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41836143:41841676:1 gene:Manes.01G263500.v8.1 transcript:Manes.01G263500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSSGGKLYEFANCSMQETIARYHKHVKATQINKKTFDENMQQQLKSEATNMVKKIELLEISKRKLMGEGLGSCTVEELQQLEQQLEKSVSTIRARKNQVFREQIERLKEKEKLLAAENAKLSEKCGGQPCQGLKLVGETRHCEESSQVSDVETELFIGPPETRNKRNPPRN >Manes.01G263500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41835917:41841676:1 gene:Manes.01G263500.v8.1 transcript:Manes.01G263500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSSGGKLYEFANCSMQETIARYHKHVKATQINKKTFDENMQQQLKSEATNMVKKIELLEISKRKLMGEGLGSCTVEELQQLEQQLEKSVSTIRARKNQVFREQIERLKEKEKLLAAENAKLSEKCGGQPCQGLKLVGETRHCEESSQVSDVETELFIGPPETRNKRNPPRN >Manes.01G263500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41836117:41841676:1 gene:Manes.01G263500.v8.1 transcript:Manes.01G263500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSSGGKLYEFANCSMQETIARYHKHVKATQINKKTFDENMQQQLKSEATNMVKKIELLEISKRKLMGEGLGSCTVEELQQLEQQLEKSVSTIRARKNQVFREQIERLKEKEKLLAAENAKLSEKCGGQPCQGLKLVGETRHCEESSQVSDVETELFIGPPETRNKRNPPRN >Manes.12G070700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:7558239:7561165:1 gene:Manes.12G070700.v8.1 transcript:Manes.12G070700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTNHGDKDGSHQDIAMDFQGNPVDKSKTGGWLAAGLILGTELSERICVMGISMNLVTYLVGDLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYLTVAIFASTTAVGVTLLTLATTFSGMRPPPCDNYRRQRHQCIEANGRQLALLYAALYTIALGGGGIKSNVSGFGSDQFDATDPKEEKAMVFFFNRFYFCISIGSLFAVIVLVYIQDNVGRVWGYGISAGTMVIAVAVLLCGNPCYRFKKPQGSPLTVIWRVLLLSWKKRNLRYPSLPTLLNDYHNSKVPHTEKFKCLDKASILDVQASAGGNKNDPWIASTVMEVEEVKMVLKLIPIWSTCILFWTVYSQMTTFTIEQATFMNRKVGSLVIPSGSYSTFLFITILLFTSLNERVIVLLAQEFTHNAKGLTSLQRIGIGLIFSIIAMVAAAIIEKERRESAVQHNSQISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLCLSTLSMGFFVSSFLVSLVDKVTKKTWLRSNLNKGRLNNFYWLLAMLGTLNFFAFLMFSKRHQYKVLLTPGDSGDKELKPSRDLIAIEIEEVVTEGKE >Manes.01G012425.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3571023:3571416:1 gene:Manes.01G012425.v8.1 transcript:Manes.01G012425.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGFDLFTGAHKKLWIFLHVLCITLCFFFTLCSPSCSLQTSKKHQFLVISIILRSKRNCFWVDCSEDCLGKYLSFSILGFRGFRVLGFS >Manes.06G160267.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28648213:28651243:-1 gene:Manes.06G160267.v8.1 transcript:Manes.06G160267.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYQMDLVTSYPQLQLDHTSNQLQNPNATATTGFNTIKRKIRGPTRCLKITQLENEQKLPVKFDEDDQAIGDNTTAFVWFLGQTIRNVSCCPLQVKQWNKITDDKLDHMWSTILVRKFILNYIHYKMIIIFLVLLSIIIFVSYFYAKRKSSLLSILMQEREQFSVI >Manes.01G082850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28520919:28522033:1 gene:Manes.01G082850.v8.1 transcript:Manes.01G082850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVIMEGPDKGNRKNKHESRDFEVRFDFVDKVVGFFQNDPLVIKILLNRYEVRQVLMDIGNSVNLLILNVFNKLDLDKNNITRVFYPLVGLRDKIVAVLSTINLPLVLGDERYRREIYAEFAVVDIPFAYNVILGHPILNCHGVIINMGAMYLKLLAPRDIAVV >Manes.09G004432.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1141757:1144732:1 gene:Manes.09G004432.v8.1 transcript:Manes.09G004432.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIYHLLLIKFLYLLLLSSSAYTSATNYSINCGSESTVSLLDGRTFVGDKNSDSFSAGNGVSISSASVLLPADKFRIYFKPDTETSFAFVNALEVLILPQDIIKDEYAVAVPPLGTVNGTFLLSNAFQTLYRIDVGQDARISNDPFWRDWVGDDPYLIPGSSAKNCTFYYGKLNQAPGAVEDIAPDLVYRTCKEVSMDIGGASNFSNITWSFNVRKKAKLLVRLHFCDTFSVSPAPIFRDCVLESDDSGYVNFSIGPNVDSEKKDAFLHGLEIMEFLMTNTSMDSDHLLFGHPSNRLNLVIGLSVGGVGLISILIILFLFGLRRRRAKPVKALFLKDVVPPGRGRPHSWITSATVNSSPVPNLNLKLKMPFSDIIAATRDFDNELLIGEGGFGKVYKGTLPNGIKVAVKRSDSSHGQGLPEFQTEVTVLSKICHRHLVSLIGYCYEGSEMTLVYEFMEKGTLRDHLYTWKNNSKASSVLPKLTWKQRLEICIGAAKGLHYLHTGSDGGIIHRDVKSTNILLDEHYVAKVADFGLSQAGPPDPDHFRTGLIGSFGYLDPEYVRTLELTDKSDVYSFGVVLLEVLCARPPIVNSSRREEINLAEWAMLWQKKGQLEKIMDPSLAGEINPNSLRKFGEIAEKCLEVEGAKRPTMLDVCWDLEYALQLQQSAVPREPYEDSTTDVSSAFVMSYNSFSNEEGCLQIGDDDESDTKAMFS >Manes.09G004432.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:1141789:1144732:1 gene:Manes.09G004432.v8.1 transcript:Manes.09G004432.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIYHLLLIKFLYLLLLSSSAYTSATNYSINCGSESTVSLLDGRTFVGDKNSDSFSAGNGVSISSASVLLPAGTSPLYQTARIYRQPSYYELTIADNGTYLLRFHFLAFSSEGTNLSDALFSVSASKFLLLSNFSVKNTTHLPVIKEFFLTINADKFRIYFKPDTETSFAFVNALEVLILPQDIIKDEYAVAVPPLGTVNGTFLLSNAFQTLYRIDVGQDARISNDPFWRDWVGDDPYLIPGSSAKNCTFYYGKLNQAPGAVEDIAPDLVYRTCKEVSMDIGGASNFSNITWSFNVRKKAKLLVRLHFCDTFSVSPAPIFRDCVLESDDSGYVNFSIGPNVDSEKKDAFLHGLEIMEFLMTNTSMDSDHLLFGHPSNRLNLVIGLSVGGVGLISILIILFLFGLRRRRAKPVKALFLKDVVPPGRGRPHSWITSATVNSSPVPNLNLKLKMPFSDIIAATRDFDNELLIGEGGFGKVYKGTLPNGIKVAVKRSDSSHGQGLPEFQTEVTVLSKICHRHLVSLIGYCYEGSEMTLVYEFMEKGTLRDHLYTWKNNSKASSVLPKLTWKQRLEICIGAAKGLHYLHTGSDGGIIHRDVKSTNILLDEHYVAKVADFGLSQAGPPDPDHFRTGLIGSFGYLDPEYVRTLELTDKSDVYSFGVVLLEVLCARPPIVNSSRREEINLAEWAMLWQKKGQLEKIMDPSLAGEINPNSLRKFGEIAEKCLEVEGAKRPTMLDVCWDLEYALQLQQSAVPREPYEDSTTDVSSAFVMSYNSFSNEEGCLQIGDDDESDTKAMFS >Manes.16G099000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30501133:30505494:-1 gene:Manes.16G099000.v8.1 transcript:Manes.16G099000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLAIEEEGIRNGLEMVKSASDKHIDILRPSARYYSASRGQASDAADREKGKYTLLRDPEDFQAGIYDKPLPCFGCGVGWFSFLSGFVFPLMWYYATILYFGNYYRKDPRERAGLAAAAIAAMACSAVLLVIVAFYILF >Manes.16G099000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30501133:30505494:-1 gene:Manes.16G099000.v8.1 transcript:Manes.16G099000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLAIEEEGIRNGLEMVKSASDKHIDILRPSARYYSASRVFIQTAEDTVMVEGQASDAADREKGKYTLLRDPEDFQAGIYDKPLPCFGCGVGWFSFLSGFVFPLMWYYATILYFGNYYRKDPRERAGLAAAAIAAMACSAVLLVIVAFYILF >Manes.16G099000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30501133:30505494:-1 gene:Manes.16G099000.v8.1 transcript:Manes.16G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSLAIEEEGIRNGLEMVKSASDKHIDILRPSARYYSASRAEDTVMVEGQASDAADREKGKYTLLRDPEDFQAGIYDKPLPCFGCGVGWFSFLSGFVFPLMWYYATILYFGNYYRKDPRERAGLAAAAIAAMACSAVLLVIVAFYILF >Manes.11G076500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11254653:11256199:1 gene:Manes.11G076500.v8.1 transcript:Manes.11G076500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKRTLPSDEGNDDQILHTHHQPSLYDQGQSFLAHAMAGNHRLDEHVQENLESANTDQGMRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAAAAYDAAALKFKGTKAKLNFPELVQQRSANNLCTSFSPAPNYQNPHLLPNNNNILVSTSSSAGNINPPPHPFQPPLTHEAFPNLLQYAQLLSSDDNSYLQYAASSGLYYNYNPEPFVSGSFSMASSSSSASLSGVNQQQGEDDFSRFFPQMVSGFPSATHQHHFLDEERKFDDNTPRSN >Manes.10G103600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:25159577:25160171:-1 gene:Manes.10G103600.v8.1 transcript:Manes.10G103600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAVALMIMAIIMTSALAQPPASSPTPSPVKSPPSSTSTPEPAAAPHSQPPISAAPASTPEKSSTPPKSSSPESPPPPPPTPTSTPESSPPPVTSSPAPSTISAPPSEAPSPAENSAFSHRFAISGTVAAGLFVAVLVM >Manes.18G090300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8287300:8301933:-1 gene:Manes.18G090300.v8.1 transcript:Manes.18G090300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTEGVGESSSPPRSFGSFGNYDVRNEVYDRFVESGHKEAVSNPELFREMLDAHFNRLPSSYVLDVNMDRVEDVLLHQRLLTLAKDPEKRPVYHIRFLENLCTITDDGDDLQSVNIISPERPDFNADNGVVPSNKKIRDHAIDFEPCSKLEHLNLDVRKASKGVEETYHRENASRRQDFVHVPTHEVVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPIEDTDGLHKAMEKAIARSEGSWSGSSHSHSAVDKASAAQAKCGDWEIDRRLLKIGERIASGSCGDLYHGVYLGQDVAVKILRSEHLNDTQEEEFAQEVAILRQVQHRNVVRFIGACTKSPHLCIITEYMPGGSLYDYLHKNHNVLKLPQLLKFAIDVSRGMEYLHQNNIIHRDLKTANLLMDIHKVVKVADFGVARFQNQEGVMTAETGTYRWMAPEVINHEPYDQKADIFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPELPQDAHPKLLDLMQRCWETTPAHRPSFSEITVELETLLQEVEETKDAVNGS >Manes.18G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8287300:8301933:-1 gene:Manes.18G090300.v8.1 transcript:Manes.18G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTEGVGESSSPPRSFGSFGNYDVRNEVYDRFVESGHKEAVSNPELFREMLDAHFNRLPSSYVLDVNMDRVEDVLLHQRLLTLAKDPEKRPVYHIRFLENLCTITDDGDDLQSVNIISPERPDFNADNGVVPSNKKIRDHAIDFEPCSKLEHLNLDVRKASKGVEETYHRENASRRQDFVHVPTHEVVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPIEDTDGLHKAMEKAIARSEGSWSGSSHSHSAVDKASAAQAKCGDWEIDRRLLKIGERIASGSCGDLYHGVYLGQDVAVKILRSEHLNDTQEEEFAQEVAILRQVQHRNVVRFIGACTKSPHLCIITEYMPGGSLYDYLHKNHNVLKLPQLLKFAIDVSRGMEYLHQNNIIHRDLKTANLLMDIHKVVKVADFGVARFQNQEGVMTAETGTYRWMAPEVINHEPYDQKADIFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPELPQDAHPKLLDLMQRCWETTPAHRPSFSEITVELETLLQEVEVFTRQETKDAVNGS >Manes.08G071100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:13564244:13566748:1 gene:Manes.08G071100.v8.1 transcript:Manes.08G071100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLVPQSPINSSSKPNNSTSSMKTKRVDKELAGGDSSVGADDPSAKRPNFSSEATVSGDKTTGDEDSTESTGLRLLGLLLQCAECVAMDNLDDATDLLPEISELSSPFGSSPERVGAYFAHALHARVVSSCLGTYSPLSSKSLTLTQSQKIFNAFQSYNSISPLIKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRTKKIRSMRITGFGSSSELLESTGRRLADFASSLGLPFEFHPVEGKIGNLTDVSQLGVRPREAVVVHWMHHCLYDITGSDLGTLRLLTLLRPKLITTVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLGGDSVERHTVEQQLFGYEIRNIVAVGGPKRTGEVKVERWGDELRRVGFQPVSLGGNPAAQASLLLGMFPWKGYTLVEEENGCLKLGWKDLCLLTASAWRPLD >Manes.15G103200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8113817:8120679:1 gene:Manes.15G103200.v8.1 transcript:Manes.15G103200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLGGNPSLPPASRNAGVPPSFPDGSSPPALKTRLCNKYNTAEGCKFGDKCHFAHGEWELGKPTGPSYEDPRSIGPISGRMGRQMEPPHQGFGAASSFGASATAKISINASLAGAIIGKNGVNSKQICRLTGAKLSIRDHETDPNLRNIELEGTFDQIDQASAMVRELIGNVSQGSGPPIKNAAIHSSGPANNFKTKLCENFAKGSCTFGDRCHFAHGTEELRKPGL >Manes.15G103200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8119249:8120082:1 gene:Manes.15G103200.v8.1 transcript:Manes.15G103200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMVLKPFLIMPLFFWVTLCLCSTSGCPFGEGCHFLHYVPGGFKAVSQMLNLGGNPSLPPASRNAGVPPSFPDGSSPPALKTRLCNKYNTAEGCKFGDKCHFAHGEWELGKPTGPSYEDPRSIGPISGRMGRQMEPPHQGFGAASSFGASATAKISINASLAGAIIGKNGVNSKQICRLTGAKLSIRDHETDPNLRNIELEGTFDQIDQASAMVRELIGNVSQGSGPPIKNAAIHSSGPANNFKTKLCENFAKGSCTFGDRCHFAHGTEELRKPGL >Manes.15G103200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8113817:8120679:1 gene:Manes.15G103200.v8.1 transcript:Manes.15G103200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGGRKRGRPEAALNGNGGPKKSKQEMESFPTGIGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGFKAVSQMLNLGGNPSLPPASRNAGVPPSFPDGSSPPALKTRLCNKYNTAEGCKFGDKCHFAHGEWELGKPTGPSYEDPRSIGPISGRMGRQMEPPHQGFGAASSFGASATAKISINASLAGAIIGKNGVNSKQICRLTGAKLSIRDHETDPNLRNIELEGTFDQIDQASAMVRELIGNVSQGSGPPIKNAAIHSSGPANNFKTKLCENFAKGSCTFGDRCHFAHGTEELRKPGL >Manes.05G025350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2218602:2219153:1 gene:Manes.05G025350.v8.1 transcript:Manes.05G025350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNVNASTGSSMSFVGLGAVVSDSYREFVAAKVWRYPSFFSAKNCIIEILSWIKSKGWQRVLIESDALSVVQIINSSEFTLRSSFELIVSNCKFLLSEIVDTKCCFNCRSRM >Manes.06G070600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:20662012:20663146:-1 gene:Manes.06G070600.v8.1 transcript:Manes.06G070600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSTSSAAATDSMKAAANIPRPTTAADNFQSPGPWSTGLCDCCQDVSSCCLTCWCPCITFGRIAEMVDRGSTACGVSGALYMLMLCLTGCSCLYSCFYRSKLRGQYFLEESPCTDCCVHCCCEECALCQEYRELKNLGFDMTIGWHGNMERQKRMAANSMAPVVDGMTR >Manes.11G064002.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:8901789:8905954:1 gene:Manes.11G064002.v8.1 transcript:Manes.11G064002.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLIYSQNQFQTHINLKNLPPLDPRTTSDSQVRKIKSTVARYVPKYAKPRPEGEGTKAGKLFDHEANTEADLLADRNLVHKLNGSRDDHDASNCCVKHLIDSNNIISKAQAQTQTQTQSQVDSKDCTDDRAFNNNSGEPKKTSAKSQLHEICVANTWKPPLFECCKEEGPSHQRFFTFKVSMEIEGAGTASTLQCYGAARPKKKTAAENAAEGALWYLKHLGYFPIKNVGQKGKKINK >Manes.06G051900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:18196307:18198606:-1 gene:Manes.06G051900.v8.1 transcript:Manes.06G051900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLITTLKFSIPNCMRLENLWEKKKKKKIISMASISASSIFLLIAVLSLSDSATLVEDLANLKPPPDFNTTIKTNCLHNPSLRYCNYSSPADLFEIFKSTIVASHLCNESKNPNCVESFPKIDLRNRPKIVPLYLSYNFFWKFCPLTILSIDLSNNSLKGSFPVEVLSCTQIQALDLSLNALTGDFPVESFTPVSDLTFLNLSYNYFSECKISDSQFFKRFNSSSFLHSGLLPSHRNYKIKAILLLLGFPIFVILIIVCFGWLCLKRPDYLPRFLQRKHRFTPAILKAATNQFSRKNLVAKSEGVSMYRGTLREGTEVRIEIYWDSISQEDRRRFIWECRVLVQLCHKNVVQVFGWCTDRKLRAIVTEWTEGENIEMWLSAVESVPSWKQRLKVLMGVVEGMCYLQEQWPEVGYDLRTKSVILSENLEPLISRFKVGVQNSNSRNIYRFGVFLLEMITNRRPQEEFEMGEADFIEYIRMSYPGNLCNVIDSRMKLSENMFDQAKHGIALGLMCTDQSTSKYPSLNQIFNMITRAYESCQVTATQSHRRNHGDGDKRHKRAVSE >Manes.02G178250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14081473:14082642:-1 gene:Manes.02G178250.v8.1 transcript:Manes.02G178250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRKKTLNSNTQTPTIPLAHRPITRSTTRGHNSLVSERAVMGDSVQDQLAKLFELLLAEQQANKDRNEKELESTKKGLQSSTTGSQAKSRRDTGISGASVSESSGGISIVPKFTKLDFPRYDGLEDPLGWLARCQYFFRHQQTPEEEKVSLASYHLEGIAQLLDDILDPNWDEFTHQCNLHFGPPIRSNKLGELAKLKQIGSVAKYQNHFEALVSRTGTLTQDQKVQLYLS >Manes.06G029601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7689819:7708541:-1 gene:Manes.06G029601.v8.1 transcript:Manes.06G029601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKGKLVRFYSDGKQYRETSWGKNDLVHPEVSSADNVPSSLLKADNGMVGGRNRLTETNKVGKSKIFPVDHPSWHQRMLDPGSDIVLRWNRIFLVSCLLALFVDPLYFYLPTVGGDKESSCVNSDSNLRIVVTFFRTVADLFYLLHMIIKFRTAYVAPNTRVFGRGELVMDPKKIARRYIRSDFFIDLIATLPLPQIVVWFIIPAARSRKADHKNNALALIVLLQYIPRLYLIFPLSSEIIKAAGVVTRTAWAGAAYNLLLYMLASHVLGAAWYLLSVDRYKSCWKSNCKDENDPIKCFLNYLDCDTFNHGDRKTWAKSTNVFKNCDPNNNISFDYGIFKDAVSKTVVSSKFIEKYFYCLWWGLQQLSSYGQNLSTSTYIGETSFAILISILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPEDLRKRVRRFVQYKWLATRGVNEESILSGLPADLRRDIQRHLCLDLVLRVPFFSQMDDQLLDAICERLVSSLSTADTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLKPGDFCGEELLAWALHPKSSLNLPSSTRTVKALNEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRYHSHHWRTWAACFIQAAWLRHKRRMTENNLIISESFALDGKVANETGREEGCHCSESPRSQAKMNLGVTILASRFAANTRRGAQKIKDAEMPMLRKPDEPDFFAEDE >Manes.06G029601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7689819:7708541:-1 gene:Manes.06G029601.v8.1 transcript:Manes.06G029601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKGKLVRFYSDGKQYRETSWGKNDLVHPEVSSADNVPSSLLKADNGMVGGRNRLTETNKVGKSKIFPVDHPSWHQRMLDPGSDIVLRWNRIFLVSCLLALFVDPLYFYLPTVGGDKESSCVNSDSNLRIVVTFFRTVADLFYLLHMIIKFRTAYVAPNTRVFGRGELVMDPKKIARRYIRSDFFIDLIATLPLPQIVVWFIIPAARSRKADHKNNALALIVLLQYIPRLYLIFPLSSEIIKAAGVVTRTAWAGAAYNLLLYMLASHVLGAAWYLLSVDRYKSCWKSNCKDENDPIKCFLNYLDCDTFNHGDRKTWAKSTNVFKNCDPNNNISFDYGIFKDAVSKTVVSSKFIEKYFYCLWWGLQQLSSYGQNLSTSTYIGETSFAILISILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMRHRQLPEDLRKRVRRFVQYKWLATRGVNEESILSGLPADLRRDIQRHLCLDLVLRVPFFSQMDDQLLDAICERLVSSLSTADTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNSITLKPGDFCGEELLAWALHPKSSLNLPSSTRTVKALNEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRYHSHHWRTWAACFIQAAWLRHKRRMTENNLIISESFALDGKVANETGREEGCHCSESPRSQAKMNLGVTILASRFAANTRRGAQKIKDAEMPMLRKPDEPDFFAEDE >Manes.10G045900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4958481:4962402:-1 gene:Manes.10G045900.v8.1 transcript:Manes.10G045900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKIAYLKKLEWNLHRMKSEKQRSQQSGGNQDKLLDDGGVSEETSFSKNFFLEKAALVCRETLMVLTCCYCCFCCGACVDQDEG >Manes.06G065450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20114832:20115436:1 gene:Manes.06G065450.v8.1 transcript:Manes.06G065450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFEMVPCNFMHNAQSVKNTKMYEKHPSLIKCDPTTVSTPIKESEPIVFTYEVTFEESDIKGALILEHEFSNGYHLPCWYCPCHFLENCEAGSDPL >Manes.14G016500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:946882:947286:-1 gene:Manes.14G016500.v8.1 transcript:Manes.14G016500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVKFLKPNKAVILLQGRFAGRKAMIVRSFDDGTRDRPYGHCLVAGISKYPSKVIKKDSSKKTAKKSRVKAFMKVVNYSHLMPARYTFDVGLKDMVSPDALVTKDKKVTADKVIKKRFEERFKTGKNRWFFSKLRF >Manes.06G119600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25070781:25077190:1 gene:Manes.06G119600.v8.1 transcript:Manes.06G119600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFGSSLLPLLSGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAAVQTLADINPDVVLESYTMNITTVQGFETFMSSLKSKTFHPTKEGSGVDLVLSCVDNYEARMAVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKLLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYILAKPARDAAAKTKMEAEALLDIELPLHADNEWSISVVDDSELERSGASGSGPADTLPEGLTRELPSADEFQKLPAADTTTTAIDDLEELRKQLDALNT >Manes.06G119600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25070781:25077190:1 gene:Manes.06G119600.v8.1 transcript:Manes.06G119600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELKQVLNDLQSLKHSLGDPSHLASINKLESRVEHLTTLAKSEPVRRSKVKDMSAEVVDSNPYSRLMALQRMGIVQNYERIREFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAAVQTLADINPDVVLESYTMNITTVQGFETFMSSLKSKTFHPTKEGSGVDLVLSCVDNYEARMAVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKLLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYILAKPARDAAAKTKMEAEALLDIELPLHADNEWSISVVDDSELERSGASGSGPADTLPEGLTRELPSADEFQKLPAADTTTTAIDDLEELRKQLDALNT >Manes.06G149200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27765591:27772942:1 gene:Manes.06G149200.v8.1 transcript:Manes.06G149200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASTCSPTSLQLRLAAVKRSCKGSPAVLIQTRLRKLDPHVRMLCTAQNGYGVERRSDRCPWIASSSATDNLAGWSDSDDDDQSTESKSQGMKWLQGIVVAGVAGVILVAGLTFAALSLSKRSTSRPKQQMETLTTQQEVSLGSNVEDDTIEKNKSEESSVKQDDNNLEQVTGHPLTAHEGELPGSELKENPEDSSNSPVHESSESVDVSISITATMDAVIEPGIVSKDDKETVASLPTAENLDTSITTQASTERSSSSLEVNYLNESESSGTVSSSPSAYPFEKEQDTVSNGKTIGSRTSFVPPPFSGSFAGIPAPSAVSEALQVSPGKVLVPAIVDQAQGQALAALQVLKVIEADVLPSDLCTRREYARWLVAASGALSRNTLSKVYPAMYIENATDLAFDDITPDDPDFSSIQGLAEAGLISSRLSSHDLLSSSDEEQGPFYFFPESPLSRQDLVSWKMALEKRQLPEADGKILYHLSGFRDIDKINPDAWPALIADLSAGDQGIISLAFGCTRLFQPDKPVTKAQAAVALATGEASEMVNEELARIEAESMAENVVSAHNALVAQVEQDINASYEKELSMEREKINAVEKMAEDARLELEKIRAEREAENIALMKERAAIEAEMEVLSRIRSEVEEQLQSLLSSKAEISYEKERISKLQKEAENEKQDISRLQYELEVERKALSMARAWAEDEAKRAREHAKAIEEARDRWERHGIKVVVDSDLREESSADVTWVAAAKQFSVEGTVSRAEKLTDKLKLMADNVKGKSREVIHKIIQKILTLVSLLKEWASKASTQAKELKDAAMLKAKGSIQELQQNTSEFNLAIKERARGSMQGLQQTTAEFSVAVKEGARRFAEDCRENVEKLTHKFKS >Manes.06G149200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27766064:27772942:1 gene:Manes.06G149200.v8.1 transcript:Manes.06G149200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASTCSPTSLQLRLAAVKRSCKGSPAVLIQTRLRKLDPHVRMLCTAQNGYGVERRSDRCPWIASSSATDNLAGWSDSDDDDQSTESKSQGMKWLQGIVVAGVAGVILVAGLTFAALSLSKRSTSRPKQQMETLTTQQEVSLGSNVEDDTIEKNKSEESSVKQDDNNLEQVTGIDMDLSSSSELSEAPIEYKLNDRSQTPLVDSDNYLIISHDTSDNAPIQEDLQSELFFYDKSDASAVVSSSMNLPDSEIADYNPAASIPESISEIEQNLVIGEPANLLNTGHPLTAHEGELPGSELKENPEDSSNSPVHESSESVDVSISITATMDAVIEPGIVSKDDKETVASLPTAENLDTSITTQASTERSSSSLEVNYLNESESSGTVSSSPSAYPFEKEQDTVSNGKTIGSRTSFVPPPFSGSFAGIPAPSAVSEALQVSPGKVLVPAIVDQAQGQALAALQVLKVIEADVLPSDLCTRREYARWLVAASGALSRNTLSKVYPAMYIENATDLAFDDITPDDPDFSSIQGLAEAGLISSRLSSHDLLSSSDEEQGPFYFFPESPLSRQDLVSWKMALEKRQLPEADGKILYHLSGFRDIDKINPDAWPALIADLSAGDQGIISLAFGCTRLFQPDKPVTKAQAAVALATGEASEMVNEELARIEAESMAENVVSAHNALVAQVEQDINASYEKELSMEREKINAVEKMAEDARLELEKIRAEREAENIALMKERAAIEAEMEVLSRIRSEVEEQLQSLLSSKAEISYEKERISKLQKEAENEKQDISRLQYELEVERKALSMARAWAEDEAKRAREHAKAIEEARDRWERHGIKVVVDSDLREESSADVTWVAAAKQFSVEGTVSRAEKLTDKLKLMADNVKGKSREVIHKIIQKILTLVSLLKEWASKASTQAKELKDAAMLKAKGSIQELQQNTSEFNLAIKERARGSMQGLQQTTAEFSVAVKEGARRFAEDCRENVEKLTHKFKS >Manes.06G149200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27765598:27772942:1 gene:Manes.06G149200.v8.1 transcript:Manes.06G149200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASTCSPTSLQLRLAAVKRSCKGSPAVLIQTRLRKLDPHVRMLCTAQNGYGVERRSDRCPWIASSSATDNLAGWSDSDDDDQSTESKSQGMKWLQGIVVAGVAGVILVAGLTFAALSLSKRSTSRPKQQMETLTTQQEVSLGSNVEDDTIEKNKSEESSVKQDDNNLEQVTDASAVVSSSMNLPDSEIADYNPAASIPESISEIEQNLVIGEPANLLNTGHPLTAHEGELPGSELKENPEDSSNSPVHESSESVDVSISITATMDAVIEPGIVSKDDKETVASLPTAENLDTSITTQASTERSSSSLEVNYLNESESSGTVSSSPSAYPFEKEQDTVSNGKTIGSRTSFVPPPFSGSFAGIPAPSAVSEALQVSPGKVLVPAIVDQAQGQALAALQVLKVIEADVLPSDLCTRREYARWLVAASGALSRNTLSKVYPAMYIENATDLAFDDITPDDPDFSSIQGLAEAGLISSRLSSHDLLSSSDEEQGPFYFFPESPLSRQDLVSWKMALEKRQLPEADGKILYHLSGFRDIDKINPDAWPALIADLSAGDQGIISLAFGCTRLFQPDKPVTKAQAAVALATGEASEMVNEELARIEAESMAENVVSAHNALVAQVEQDINASYEKELSMEREKINAVEKMAEDARLELEKIRAEREAENIALMKERAAIEAEMEVLSRIRSEVEEQLQSLLSSKAEISYEKERISKLQKEAENEKQDISRLQYELEVERKALSMARAWAEDEAKRAREHAKAIEEARDRWERHGIKVVVDSDLREESSADVTWVAAAKQFSVEGTVSRAEKLTDKLKLMADNVKGKSREVIHKIIQKILTLVSLLKEWASKASTQAKELKDAAMLKAKGSIQELQQNTSEFNLAIKERARGSMQGLQQTTAEFSVAVKEGARRFAEDCRENVEKLTHKFKS >Manes.06G149200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27766064:27772942:1 gene:Manes.06G149200.v8.1 transcript:Manes.06G149200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMASTCSPTSLQLRLAAVKRSCKGSPAVLIQTRLRKLDPHVRMLCTAQNGYGVERRSDRCPWIASSSATDNLAGWSDSDDDDQSTESKSQGMKWLQGIVVAGVAGVILVAGLTFAALSLSKRSTSRPKQQMETLTTQQEVSLGSNVEDDTIEKNKSEESSVKQDDNNLEQVTGIDMDLSSSSELSEAPIEYKLNDRSQTPLVDSDNYLIISHDTSDNAPIQEDLQSELFFYDKSDASAVVSSSMNLPDSEIADYNPAARHPLTAHEGELPGSELKENPEDSSNSPVHESSESVDVSISITATMDAVIEPGIVSKDDKETVASLPTAENLDTSITTQASTERSSSSLEVNYLNESESSGTVSSSPSAYPFEKEQDTVSNGKTIGSRTSFVPPPFSGSFAGIPAPSAVSEALQVSPGKVLVPAIVDQAQGQALAALQVLKVIEADVLPSDLCTRREYARWLVAASGALSRNTLSKVYPAMYIENATDLAFDDITPDDPDFSSIQGLAEAGLISSRLSSHDLLSSSDEEQGPFYFFPESPLSRQDLVSWKMALEKRQLPEADGKILYHLSGFRDIDKINPDAWPALIADLSAGDQGIISLAFGCTRLFQPDKPVTKAQAAVALATGEASEMVNEELARIEAESMAENVVSAHNALVAQVEQDINASYEKELSMEREKINAVEKMAEDARLELEKIRAEREAENIALMKERAAIEAEMEVLSRIRSEVEEQLQSLLSSKAEISYEKERISKLQKEAENEKQDISRLQYELEVERKALSMARAWAEDEAKRAREHAKAIEEARDRWERHGIKVVVDSDLREESSADVTWVAAAKQFSVEGTVSRAEKLTDKLKLMADNVKGKSREVIHKIIQKILTLVSLLKEWASKASTQAKELKDAAMLKAKGSIQELQQNTSEFNLAIKERARGSMQGLQQTTAEFSVAVKEGARRFAEDCRENVEKLTHKFKS >Manes.15G064300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4889769:4893526:1 gene:Manes.15G064300.v8.1 transcript:Manes.15G064300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDVGRKKKTAAVKKEGEEGEATTDEVKKSNHVMRKLEKRQQTRKLDPHIEEQFGGGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAGAA >Manes.04G019900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2435271:2445023:-1 gene:Manes.04G019900.v8.1 transcript:Manes.04G019900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLPVLSASSPVETILDKENFTLEELLDEEEIIQECKALNSRLINFIRDRAQVELLLRYIIEEPPEDAESKRTFKLPFISCEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRPHSAMLAGYFSKVVVCLMVRKTVPLMKYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLADSNLLEMIVDKLSPSSPPEVHANAAETLCAISRNAPSALATKLSSPSFVSRIFSHALEDSHSKSGLVHSLSVCISLLDPKRSAISSLLLHSFRSQHIYESPIPVNPETIDAMLPKLGDLLMLLNVLSDDKILPTTYGELKPPLGKHRLKIVEFIAVLLRMGNEATEEELVSSGTIKRVLDLFFEYPYNNALHHHVESIILSCLETKSDAMVDHLLRDCDFIGKILQTDKNPIISGDINQPTVPAAGKQAPRAGNLGHITRISNKLVQLGNTNSRIQTYLQENSEWNEWKASILQERNAVENVYRWACGRPTALQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYKMYGNEDNEQDNGGLDRDDEDVYFDDESAEVVISSLRLGDDQGSVFTNSNWFAFQEDRVGNAPVSTSPTEMMDGISLNGIANGGNSNSDDQVVVGEDDELAESKDTINSTSTSKTNFANGFSGSIPIPQTEKATIPQDIGFFRFDTPDNGDLFGERPFPEWVGWGESSDLQVGGSSLNPFEDHDISDVNLSDQAEVATPDVNSPSSGESTLPNGSSPSKDSSDGSVGSDTSCKSATVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNLIPKVLEKENSDNGGAGIKEFNDANYWRVDQEVAVLE >Manes.04G019900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2435271:2445023:-1 gene:Manes.04G019900.v8.1 transcript:Manes.04G019900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLPVLSASSPVETILDKENFTLEELLDEEEIIQECKALNSRLINFIRDRAQVELLLRYIIEEPPEDAESKRTFKLPFISCEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRPHSAMLAGYFSKVVVCLMVRKTVPLMKYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLADSNLLEMIVDKLSPSSPPEVHANAAETLCAISRNAPSALATKLSSPSFVSRIFSHALEDSHSKSGLVHSLSVCISLLDPKRSAISSLLLHSFRSQHIYESPIPVNPETIDAMLPKLGDLLMLLNVLSDDKILPTTYGELKPPLGKHRLKIVEFIAVLLRMGNEATEEELVSSGTIKRVLDLFFEYPYNNALHHHVESIILSCLETKSDAMVDHLLRDCDFIGKILQTDKNPIISGDINQPTVPAAGKQAPRAGNLGHITRISNKLVQLGNTNSRIQTYLQENSEWNEWKASILQERNAVENVYRWACGRPTALQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYKMYGNEDNEQDNGGLDRDDEDVYFDDESAEVVISSLRLGDDQGSVFTNSNWFAFQEDRVGNAPVSTSPTEMMDGISLNGIANGGNSNSDDQVVVGEDDELAESKDTINSTSTSKTNFANGFSGSIPIPQTEKATIPQDIGFFRFDTPDNGDLFGERPFPEWVGWGESSDLQVGGSSLNPFEDHDISDVNLSDQAEVATPDVNSPSSGESTLPNGSSPSKDSSDGSVGSDTSCKSATVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNLIPKVLEKENSDNGGAGIKEFNDANYWRVDQEVAVLE >Manes.04G019900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2435271:2445023:-1 gene:Manes.04G019900.v8.1 transcript:Manes.04G019900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLPVLSASSPVETILDKENFTLEELLDEEEIIQECKALNSRLINFIRDRAQVELLLRYIIEEPPEDAESKRTFKLPFISCEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRPHSAMLAGYFSKVVVCLMVRKTVPLMKYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFIDVMQWLADSNLLEMIVDKLSPSSPPEVHANAAETLCAISRNAPSALATKLSSPSFVSRIFSHALEDSHSKSGLVHSLSVCISLLDPKRSAISSLLLHSFRSQHIYESPIPVNPETIDAMLPKLGDLLMLLNVLSDDKILPTTYGELKPPLGKHRLKIVEFIAVLLRMGNEATEEELVSSGTIKRVLDLFFEYPYNNALHHHVESIILSCLETKSDAMVDHLLRDCDFIGKILQTDKNPIISGDINQPTVPAAGKQAPRAGNLGHITRISNKLVQLGNTNSRIQTYLQENSEWNEWKASILQERNAVENVYRWACGRPTALQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYKMYGNEDNEQDNGGLDRDDEDVYFDDESAEVVISSLRLGDDQGSSVFTNSNWFAFQEDRVGNAPVSTSPTEMMDGISLNGIANGGNSNSDDQVVVGEDDELAESKDTINSTSTSKTNFANGFSGSIPIPQTEKATIPQDIGFFRFDTPDNGDLFGERPFPEWVGWGESSDLQVGGSSLNPFEDHDISDVNLSDQAEVATPDVNSPSSGESTLPNGSSPSKDSSDGSVGSDTSCKSATVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNLIPKVLEKENSDNGGAGIKEFNDANYWRVDQEVAVLE >Manes.03G148400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27561023:27563984:-1 gene:Manes.03G148400.v8.1 transcript:Manes.03G148400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDARHHMLGRLASIIAKELLNGQKVVVVRCEEICISGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGEAALARLKAYEGVPPPYDKMKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYETIKELERKRKEKAQVAYERKKQLTKLRVKAEKVAEEKLGSQLDIISPIKY >Manes.09G066500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10972606:10972959:1 gene:Manes.09G066500.v8.1 transcript:Manes.09G066500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLFIRKIQWVLMLSASKGTSLKANKLKEEIEAEKMVPEDVKQGHFAVIAVKGGEPKRFIMELDHLTNPAFMKLLEQAEEEYGFQQKGVLAVPCQPEELQMILGEGRKRRMSFER >Manes.15G093966.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7317134:7317888:-1 gene:Manes.15G093966.v8.1 transcript:Manes.15G093966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLRIHTYEDNADSDAWEVTYTLFSRDSIETECQLPERCGSFGLCQDNQCVACPSPKGLLGWSKNCGPAKISSCGVKDFKYYKLGGVDHFMSKYTRGNGPMKQDACSSKCTKDCKCLGYFYHTQSSRCWIAYDLKTLTKANNSTHLAFIKVPNK >Manes.11G164800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32921610:32922901:1 gene:Manes.11G164800.v8.1 transcript:Manes.11G164800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLSATLKRLQDKVALITGASSGIGECTARLFSKHGAKVVIADIQDELGNSLCRELAADSPSSFVHCDVTKEEDAQNAVNTAVSKYGKLDIMFNNAGIIGVAKPNILDNEKSEFEKIISVNLVGAFLGTKHAARVMIPNRRGSIITTASVCSVIGGVASHAYTSSKHGVLGLMRNTAVELGQYGIRVNSVSPYVVPTTLAKDFFKLDDDGVYRIYSNLKEAVLKPEDVAQAALYLAGDESKYVSGHNLVVDGGFTVVNPGFCMFPQSV >Manes.18G040500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3594403:3597047:1 gene:Manes.18G040500.v8.1 transcript:Manes.18G040500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEWERLLLVVLSVIAIAIILIVLIRRCSSCRQGKGVVETTITRTESLQDGITKLHQGILHHQLELDCKRKGNYYVFQRGVSTRPLFNWIDHPSLITDAVENGWYRFGFTGHMSSPSTRSSLLGFCAVGDNGRIGEPEISWEVCQGSADFMQKIRLNSGLKKVNASNSSMSASSVIRTDLPLPGPPLGNSAFPQEAYFEITILYSQGEDHDESINKDKEGEKIKLIQENSNQKLNSESLVHVSSSHQFNKIEELKLAGKDDKKEEAIMLSVGLSTGGSLPLKLPGSYPGSIGFNSNGSVYLEGTKLVFESDRGEWTRRDKVIGCGFDPRQKKVFFTLDAELVHVIHCKSEEFGTPLYPTIAANNEILVLVNFGQSAFSYAAANAQRTPNPCFIGPLVNSPALGYEDSKELFSMGRIDSQWLNRCTARATHSSNGSNNRVVDFDEESDADLFEIVLDGSGIGRSPNR >Manes.04G060000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:20768355:20771166:1 gene:Manes.04G060000.v8.1 transcript:Manes.04G060000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSATASRWAWFKAFPGRLKNKVTDFCRSWKKLGKDDPRRVTHSLKVGLAIALVSIFYYYQPLYENFGVSAMWAVMTVVVVFEFSVGATLGKGLNRGMATLVAGALGVGAHHLAKLSGSTGEPILLGFFVFLQAAITTFMRFFPKIKARYDYGFLIFILTFSLVSVSSFREDEILELAHRRLSTIIIGASACVIISTVVFPVWAGEDLHNLIALNLEKLGNFLQGFGDEYFKESKEDETSSNKDHKPFLQDYKIVLNSKNTEETLANFARWEPGHGKFQFRHPWKQYLKVGTLTRQCAYRIDALYGYLNADVKASLEVRSKIQETCTKISTESGKALQELSIAVKEMRQPSSADTHIENAKAAAKNLNSLLKSGPWEDINLLQVIPVVTVASLLIDLVNCTEKLADSIFELASKAHFNKPDHTVSPEKLSATVKSSPNVDCRHVVINIDQTPTPEILEEENSSAANTCIVKSVRA >Manes.17G033300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22993086:22996193:-1 gene:Manes.17G033300.v8.1 transcript:Manes.17G033300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGGIAPGNGKKEYPGNLTPYVTVTCIVAAMGGLIFGYDIGISGGVTSMDSFLKKFFPSVFRKKKEDATTNQYCQYDSQTLTMFTSSLYLAALLSSLVASWITRKFGRKLSMLFGGVLFCAGAIINGAAKAVWMLILGRILLGFGIGFANQSVPLYLSEMAPYRFRGALNIGFQLSITIGILVANVLNYFFSKIHGGWGWRLSLGGAMVPALIITIGSLVLPDTPNSMIERGQHEEARAKLRRVRGVDDVDEEFNDLVVASEESKKVEHPWRNLLQRKYRPQLTMAIAIPFFQQLTGINVIMFYAPVLFDTIGFGSDAALMSAVITGLVNVFATLVSIYGVDKWGRRFLFLEGGVQMLICQAVVAACIGAKFGVDGNPGELPKWYAIVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSVNVSVNMLFTFIVAQIFLTMLCHIKFGLFLFFAFFVVVMSIFVYYFVPETKGIPIEEMGQVWKSHWYWSRYVADEDFPNGTLEMGKGGQGPKNV >Manes.14G019643.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1969894:1977504:1 gene:Manes.14G019643.v8.1 transcript:Manes.14G019643.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWKMFCGKSGSSESGGKPDGSFFELLSQPSCANHILIICLDFLLLLMLLFNLIQKSTSKTCQIPPRFRGFSCLQISSAIFNGCLGLVYLCLGIWILEEKLRETHTALPLNRWFLVLFQGITWLSVGLTISLRGKHLPRTPSRLLSVLAFLFAGIICVLSLYAAVLGKSISVKGGLDVLSFPGAILLLFSLYKGRNEEEIDESEAGLYAPLNGHEANDVSKTDFVVPVTPFAKAGFFSGMSFWWLNSLMKKGREKTLVDDDMPKLRQADRAESCYLLFLEQLNKQKQAESSSQPSLLWTIISCHWKEILISGFFALLKIITLSAGPLLLNAFILVAEGKGSFKYEGYILALTLFISKNLESLSQRQWYFRSRLIGLKVRSLLTAAVYKKQLRLSNAGRLIHTGGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQLCFSLVILFNAVGLATIAALVVIIITVLCNTPLAKLQHEFQSKLMVAQDERLRACTESLVNMKVLKLYAWETHFKNVIENLRKEEYKWLSAVQLRKAYNGFLFWSSPVLVSAATFGACYFLKIPLHANNVFTFVATLRLVQDPIRAIPDVIGVVIQANVAFSRIVKFLEAPELQSGNVRQRQKQKRNMENHAISIKGANFSWEENSAKPTLRNVNLEIRPGEKVAVCGEVGSGKSTLLAAILGEVPNTQGTIQVSGRIAYVSQTAWIQTGTIQENILFGSALDSQRYQDTLERCSLVKDLELLPYGDHTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMGALARKAVLLVTHQVDFLPAFDSVLLMSDGEIVQAAPYHQLLASSHEFQDLVNAHKETAGSERLTEIATPQKRGSSAMEIKKTCEENQLKVSKGDQLIKQEEREVGDTGLKPYIQYLNQNKGYLYFSLAALSHLTFVIGQISQNSWMAANVDKPNVSPLWLIAVYLIIGIVSTLFLLSRSLSTVILGLESSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDLDVPFGLIFAVGATTNAYANLGVLAVVTWQVLFVSIPMLYLAIRLQRYYFSSAKELMRINGTTKSLVANHLAESVAGAMTIRAFEEEERFFAKNLQLIDTNASPFFHSFAANEWLIQRLETLSATVLASAALCMVLLPPGTFSSGFIGMAISYGLSLNMSLVFSIQNQCTIANYIISVERLNQYMHVPSEAPEVIEDNRPPPNWPAIGRVDICDLQIRYRPDTPLVLRGISCTFVGGHKIGIVGRTGSGKTTLIGALFRLVEPARGKIIVDGIDISKIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHSDHEIWEVLGKCQLQEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFEDCTVITVAHRIPTVMDCTMVLSISDGKLVEYDEPMKLMKRESSLFGQLVKEYWSHYHSAESH >Manes.14G019643.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1970286:1977504:1 gene:Manes.14G019643.v8.1 transcript:Manes.14G019643.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWKMFCGKSGSSESGGKPDGSFFELLSQPSCANHILIICLDFLLLLMLLFNLIQKSTSKTCQIPPRFRGFSCLQISSAIFNGCLGLVYLCLGIWILEEKLRETHTALPLNRWFLVLFQGITWLSVGLTISLRGKHLPRTPSRLLSVLAFLFAGIICVLSLYAAVLGKSISVKGGLDVLSFPGAILLLFSLYKGRNEEEIDESEAGLYAPLNGHEANDVSKTDFVVPVTPFAKAGFFSGMSFWWLNSLMKKGREKTLVDDDMPKLRQADRAESCYLLFLEQLNKQKQAESSSQPSLLWTIISCHWKEILISGFFALLKIITLSAGPLLLNAFILVAEGKGSFKYEGYILALTLFISKNLESLSQRQWYFRSRLIGLKVRSLLTAAVYKKQLRLSNAGRLIHTGGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQLCFSLVILFNAVGLATIAALVVIIITVLCNTPLAKLQHEFQSKLMVAQDERLRACTESLVNMKVLKLYAWETHFKNVIENLRKEEYKWLSAVQLRKAYNGFLFWSSPVLVSAATFGACYFLKIPLHANNVFTFVATLRLVQDPIRAIPDVIGVVIQANVAFSRIVKFLEAPELQSGNVRQRQKQKRNMENHAISIKGANFSWEENSAKPTLRNVNLEIRPGEKVAVCGEVGSGKSTLLAAILGEVPNTQGTIQVSGRIAYVSQTAWIQTGTIQENILFGSALDSQRYQDTLERCSLVKDLELLPYGDHTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFNEYVMGALARKAVLLVTHQVDFLPAFDSVLLMSDGEIVQAAPYHQLLASSHEFQDLVNAHKETAGSERLTEIATPQKRGSSAMEIKKTCEENQLKVSKGDQLIKQEEREVGDTGLKPYIQYLNQNKGYLYFSLAALSHLTFVIGQISQNSWMAANVDKPNVSPLWLIAVYLIIGIVSTLFLLSRSLSTVILGLESSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDLDVPFGLIFAVGATTNAYANLGVLAVVTWQVLFVSIPMLYLAIRLQRYYFSSAKELMRINGTTKSLVANHLAESVAGAMTIRAFEEEERFFAKNLQLIDTNASPFFHSFAANEWLIQRLETLSATVLASAALCMVLLPPGTFSSGFIGMAISYGLSLNMSLVFSIQNQCTIANYIISVERLNQYMHVPSEAPEVIEDNRPPPNWPAIGRVDICDLQIRYRPDTPLVLRGISCTFVGGHKIGIVGRTGSGKTTLIGALFRLVEPARGKIIVDGIDISKIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHSDHEIWEVLGKCQLQEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFEDCTVITVAHRIPTVMDCTMVLSISDGKLVEYDEPMKLMKRESSLFGQLVKEYWSHYHSAESH >Manes.06G028100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:6515452:6518904:1 gene:Manes.06G028100.v8.1 transcript:Manes.06G028100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVLRSSFLLPCAYIQDTHSFSTQLLPHKPTNTKRKLRGTVVAALDNNARDDNGAMVIRENETNQRRSLNFSGTKPSTPILDTVNFPIHMKNLSVQELEVLSDELREEIVYTISKTGGHLSSSLGVAELTVSLHHVFNTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESEHDAFGAGHSSTSISAGLGMAIGRDLVGKKNHVIAVIGDGAMTAGQAYEAMNNAGYLDSNLIIILNDNKQVSLPTATVDGPAPPVGALSKALTQLQSSRKLRQLREAAKGITKQIGGQTHEVAAKVDSIVRGMAAGSGASLFEELGLYYIGPVDGHSVEDLVTILKKVKSLPAPGPVLIHVITEKGKGYAPAEIAADKMHGVVKFDPTSGKQLKSKSSTRSYTQYFAESLIAEAERDDKVVAIHAAMGGGTGLNLFQKHFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDETELMHMVATAAAIDDRPSCFRYPRGNGIGKTLPPNNKGTPLEVGKGRILKQGSRVAILGYGTIVQNCLAAAELLNKFGISATVADARFCKPLDGELIRQLAKEHEILITAEEGSIGGFGSHVAHFLCLNGLLDGNLKLRPMVLPDRYIDHGSQADQMEEAGLGSKHIAATAMSLIGEKKDSLHLLNL >Manes.18G136200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22854684:22861681:-1 gene:Manes.18G136200.v8.1 transcript:Manes.18G136200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGRWRLSRVKLWMVLLLLHQNVIFCWSLNAEGLALLKFRENVKRDPFGALSNWNKEDGDIDPCLWFGVECSDEKVVSLHLKDLCLEGTLAPELGKLAYLKSIILRNNSFFGNIPKEIGELEDLKLLDLGYNNFSGPFPSELANDLSLTTFLLDNNKFIGSISHEVDELEKLSEIQADKNQLSASCSNRGLTWNLAHCKEIARKLLLQVIDATNPSIAKNHKVHHSSSESSPFLSILPPSFPPSGSPPSSFLPSNPPSFSSLSFPPTHPLESPSFAPSESPSSLPSQPSPSPTESPSYIESPTKVSPTPAHSSFVMFAPSEYHAFPVAPSSSLSQGVDDDFNSKHEIVLIASVVGGSLLLLVSAAGAIFFRSNKVVTVKPWATGLSGQLQKAFVTGVPKLKRLELEAACEDFSNIIGYFLNGTVYKGTLSSGVEIAVTSFTVKSIKEWSKYLEAQFKKKIDTLSKMNHKNFVNLIGYCEENEPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWGTRVRIAMGMAYCLEYMHQITPPIVHENLQSSSIYLTEDYAAKISNFSYWNDVTTKKIESTFADLIELPSVDPESNVYSFGIILYEMITGRMLNAMDKGFVADWTTKLLKEQQSMEGMIDPTLKYFRKDEIEKLLLVIKSCIHPDAKQRPTMCEVVAKLKEIISMGPDEATPKLSPLWWAELEILSTEEGS >Manes.18G136200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22854684:22859639:-1 gene:Manes.18G136200.v8.1 transcript:Manes.18G136200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGRWRLSRVKLWMVLLLLHQNVIFCWSLNAEGLALLKFRENVKRDPFGALSNWNKEDGDIDPCLWFGVECSDEKVVSLHLKDLCLEGTLAPELGKLAYLKSIILRNNSFFGNIPKEIGELEDLKLLDLGYNNFSGPFPSELANDLSLTTFISHEVDELEKLSEIQADKNQLSASCSNRGLTWNLAHCKEIARKLLLQVIDATNPSIAKNHKVHHSSSESSPFLSILPPSFPPSGSPPSSFLPSNPPSFSSLSFPPTHPLESPSFAPSESPSSLPSQPSPSPTESPSYIESPTKVSPTPAHSSFVMFAPSEYHAFPVAPSSSLSQGVDDDFNSKHEIVLIASVVGGSLLLLVSAAGAIFFRSNKVVTVKPWATGLSGQLQKAFVTGVPKLKRLELEAACEDFSNIIGYFLNGTVYKGTLSSGVEIAVTSFTVKSIKEWSKYLEAQFKKKIDTLSKMNHKNFVNLIGYCEENEPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWGTRVRIAMGMAYCLEYMHQITPPIVHENLQSSSIYLTEDYAAKISNFSYWNDVTTKKIESTFADLIELPSVDPESNVYSFGIILYEMITGRMLNAMDKGFVADWTTKLLKEQQSMEGMIDPTLKYFRKDEIEKLLLVIKSCIHPDAKQRPTMCEVVAKLKEIISMGPDEATPKLSPLWWAELEILSTEEGS >Manes.18G136200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22853976:22859626:-1 gene:Manes.18G136200.v8.1 transcript:Manes.18G136200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGRWRLSRVKLWMVLLLLHQNVIFCWSLNAEGLALLKFRENVKRDPFGALSNWNKEDGDIDPCLWFGVECSDEKVVSFILRNNSFFGNIPKEIGELEDLKLLDLGYNNFSGPFPSELANDLSLTTFLLDNNKFIGSISHEVDELEKLSEIQADKNQLSASCSNRGLTWNLAHCKEIARKLLLQVIDATNPSIAKNHKVHHSSSESSPFLSILPPSFPPSGSPPSSFLPSNPPSFSSLSFPPTHPLESPSFAPSESPSSLPSQPSPSPTESPSYIESPTKVSPTPAHSSFVMFAPSEYHAFPVAPSSSLSQGVDDDFNSKHEIVLIASVVGGSLLLLVSAAGAIFFRSNKVVTVKPWATGLSGQLQKAFVTGVPKLKRLELEAACEDFSNIIGYFLNGTVYKGTLSSGVEIAVTSFTVKSIKEWSKYLEAQFKKKIDTLSKMNHKNFVNLIGYCEENEPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWGTRVRIAMGMAYCLEYMHQITPPIVHENLQSSSIYLTEDYAAKISNFSYWNDVTTKKIESTFADLIELPSVDPESNVYSFGIILYEMITGRMLNAMDKGFVADWTTKLLKEQQSMEGMIDPTLKYFRKDEIEKLLLVIKSCIHPDAKQRPTMCEVVAKLKEIISMGPDEATPKLSPLWWAELEILSTEEGS >Manes.18G136200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:22853977:22859728:-1 gene:Manes.18G136200.v8.1 transcript:Manes.18G136200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDGRWRLSRVKLWMVLLLLHQNVIFCWSLNAEGLALLKFRENVKRDPFGALSNWNKEDGDIDPCLWFGVECSDEKVVSLHLKDLCLEGTLAPELGKLAYLKSIILRNNSFFGNIPKEIGELEDLKLLDLGYNNFSGPFPSELANDLSLTTFLLDNNKFIGSISHEVDELEKLSEIQADKNQLSASCSNRGLTWNLAHCKEIARKLLLQVIDATNPSIAKNHKVHHSSSESSPFLSILPPSFPPSGSPPSSFLPSNPPSFSSLSFPPTHPLESPSFAPSESPSSLPSQPSPSPTESPSYIESPTKVSPTPAHSSFVMFAPSEYHAFPVAPSSSLSQGVDDDFNSKHEIVLIASVVGGSLLLLVSAAGAIFFRSNKVVTVKPWATGLSGQLQKAFVTGVPKLKRLELEAACEDFSNIIGYFLNGTVYKGTLSSGVEIAVTSFTVKSIKEWSKYLEAQFKKKIDTLSKMNHKNFVNLIGYCEENEPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWGTRVRIAMGMAYCLEYMHQITPPIVHENLQSSSIYLTEDYAAKISNFSYWNDVTTKKIESTFADLIELPSVDPESNVYSFGIILYEMITGRMLNAMDKGFVADWTTKLLKEQQSMEGMIDPTLKYFRKDEIEKLLLVIKSCIHPDAKQRPTMCEVVAKLKEIISMGPDEATPKLSPLWWAELEILSTEEGS >Manes.06G175700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29903762:29910001:1 gene:Manes.06G175700.v8.1 transcript:Manes.06G175700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTNLPHMLPSEEAFAAAVSALGIENKDGVVIYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPRWRASGYDVESSASGDSILKASAASEAIEKVYQGQAVGPITFQTKFQPQLVWTLEQVKQNIEDKSHQHIDARSKARFDGAVPEPRKGIRSGHVPGSKCIPFPHLLDASQTLLPADELKKRFDQEGISLDRPVVTSCGTGVTACILALGLHRLGKQDVAVYDGSWTEWGAHPETPVNTS >Manes.06G175700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29899567:29911959:1 gene:Manes.06G175700.v8.1 transcript:Manes.06G175700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFTRALLGHRLFRSSHSSHKTQIFTPLFNKRSFHLLADPVYTSYKTSGWVPRVMASSVVGSKANFSTHSLSTNEPVVSVDWLHENLRKPDMKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTNLPHMLPSEEAFAAAVSALGIENKDGVVIYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPRWRASGYDVESSASGDSILKASAASEAIEKVYQGQAVGPITFQTKFQPQLVWTLEQVKQNIEDKSHQHIDARSKARFDGAVPEPRKGIRSGHVPGSKCIPFPHLLDASQTLLPADELKKRFDQEGISLDRPVVTSCGTGVTACILALGLHRLGKQDVAVYDGSWTEWGAHPETPVNTS >Manes.06G175700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29899567:29911959:1 gene:Manes.06G175700.v8.1 transcript:Manes.06G175700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFTRALLGHRLFRSSHSSHKTQIFTPLFNKRSFHLLADPVYTSYKTSGWVPRVMASSVVGSKANFSTHSLSTNEPVVSVDWLHENLRKPDMKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGISDRTTNLPHMLPSEEAFAAAVSALGIENKDGVVIYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPRWRASGYDVESSASGDSILKASAASEAIEKVYQGQAVGPITFQTKFQPQLVWTLEQVKQNIEDKSHQHIDARSKARFDGAVPEPRKGIRSGHVPGSKCIPFPHLLDASQTLLPADELKKRFDQEGISLDRPVVTSCGTGVTACILALGLHRLGKQDVAVYDGSWTEWGAHPETPVNTS >Manes.05G024400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2170344:2173172:-1 gene:Manes.05G024400.v8.1 transcript:Manes.05G024400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSPFHRHHNPPLSQNPVTHKPKPTTLSPATLSLAPTSSFSETTSLSASPPPHSYKHFPNFRFLDNLSDVKTLDSVKATHAQMMKTCNVCISDSMAKTLITSYLEHGDFRSAAMVFFVGFARNYVMWTSFLEEFESYGGDPIQVLQVFQELHFKGVLFDSRIFTVILKICIRAMDSWMGLEVHASLIKRGFELDTYVKSALLNFYERCWGVESANQVFYEMPERDDLLWNEAILVNLKNERFVNALKLFTGMQFSFAKANASTLLKMLQACGKHGALTEGKQIHGYVIKHKLESNLSICNSLINMYSRNGKLKLARRVFDSMKDRNLSTWNSIMSSYSALGYVKDAWNLFHKMESSGVKPDIITWNCLLSGHAVHGSYIEILPILKEMQAAGFRPNSGSITSVLQAVTELRLLNVGKENHAYVIRNGLNYDIYVETSLLDMYVKNDRLTISRAIFNTMRNKNIVAWNSLITGYAFKGHFYDARRLLNDMEEEGITPDLVTWNGLVSGFSLWGHNEEALAVIQDIKSSGLAPNVVSWTALISACSQKGNYRESLEYFVQMQQEGIKPNSSTLSSLLRTCGGLSLLKKGKEIHCFSTRNGFMEDVYIATALIDMYSKSGHLKSAHEVFRRTKNKTLACWNCMIMGYSIYGLGKEAISLFREMQEADILPDSITFTALLSACKNSGLVDEGWDYFDSMSKDYGIKPTIEHYSCMVDLLGRAGYLDEAWDFIQTMPLKPDATIWGAFLGSCRIHTNLEFAEIAAKELFKLEPYNSANYVLMMNLYAMSNRWEDVELIRGLMGEKGVKIREVWSWIQINNTVHVFSAGGKPHDAEGEIYFELYQLVSEMKKLGYVPDIDCVYQNTDEEEKEKALLSHTEKLAIAYGLIKTKNSAPIRVIKNTRICSDCHTAAKYISLARGVEIFLRDGVRFHHLKAGRCSCNDFW >Manes.02G109000.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8459044:8461127:-1 gene:Manes.02G109000.v8.1 transcript:Manes.02G109000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTAGEATAQTKTLNRVSSSSSTSSSPSPFSPSDIHHKGKTMEEVWKDINLASLHDHPSGDQDLSFTPRLHNPHHNPNFILQDFFARPFSKDTPTRIVSAHVESGLCGSSVPPPATILSLNSGPVFDFLDNSDPLRPASHLPSRPVSNFSSFNSSLEALDSSSGMPSFGKKRVQESDNSSGDRRHKRMIKNRESAARSRARKQEIICLIICTFN >Manes.02G109000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8459044:8461125:-1 gene:Manes.02G109000.v8.1 transcript:Manes.02G109000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTAGEATAQTKTLNRVSSSSSTSSSPSPFSPSDIHHKGKTMEEVWKDINLASLHDHPSGDQDLSFTPRLHNPHHNPNFILQDFFARPFSKDTPTRIVSAHVESGLCGSSVPPPATILSLNSGPVFDFLDNSDPLRPASHLPSRPVSNFSSFNSSLEALDSSSGMPSFGKKRVQESDNSSGDRRHKRMIKNRESAARSRARKQAYTTELELEVRHLMEENARLKRQQGELYLAAAAQLPKKHTLHRTSTAPF >Manes.02G109000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8459044:8461125:-1 gene:Manes.02G109000.v8.1 transcript:Manes.02G109000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTAGEATAQTKTLNRVSSSSSTSSSPSPFSPSDIHHKGKTMEEVWKDINLASLHDHPSGDQDLSFTPRLHNPHHNPNFILQDFFARPFSKDTPTRIVSAHVESGLCGSSVPPPATILSLNSGPVFDFLDNSDPLRPASHLPSRPVSNFSSFNSSLEALDSSSGMPSFGKKRVQESDNSSGDRRHKRMIKNRESAARSRAYTTELELEVRHLMEENARLKRQQGELYLAAAAQLPKKHTLHRTSTAPF >Manes.12G112000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:30943344:30947520:-1 gene:Manes.12G112000.v8.1 transcript:Manes.12G112000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSTKQKWNFNPKLRFTYVFLSCCFFFLAGHFASTLISQDFSGAKQKARQLQSLKEEIIDLLPPGKTGDDSITVIPFQVLSWKPRALYFPNFATGEQCQSIINMAKPSLKPSTLALRKGETEENTQGIRTSSGMFISASEDETGVLDAIEEKIARATMLPRAHGEAFNVLRYEIGQKYNSHYDAFNPAEYGPQKSQRVASFLLYLSDVEEGGETMFPFENGMEIDENYDFEKCIGLQVKPRQGDALLFYSLFPNNTIDPTSLHGSCPVIKGEKWVATKWIRDQELDE >Manes.10G071401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:11399865:11400215:-1 gene:Manes.10G071401.v8.1 transcript:Manes.10G071401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKLLSLMHTSKLLPTTSLYCALLVSFLLLSNFFLILVHITSSIFLMAAKFLCILSINKDLISSSISIKGKDLELTIKALNTLHILLSTMPVHVMVPIKASQNLCGSLAMKQHV >Manes.11G122808.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28381127:28381471:1 gene:Manes.11G122808.v8.1 transcript:Manes.11G122808.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLAIIPFSFLLQFLDYYMMIFQSFIPGNLVFLCMKIINSVVVVGLYYGFLTTFSMGPSYLFFLRARVIEEGEEGTEKKVSATTGFITGQLMMFISIYYAPLHLALGRPHTITV >Manes.03G061100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6950470:6958282:1 gene:Manes.03G061100.v8.1 transcript:Manes.03G061100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNTNGFSAFEDVPRAADIPVYAVTAAFSKDPSPVKLNLGVGVYRAEDGKPHVLNVVRRAERLLIDDTSRTKEYLPITGLEEFIKLSAQLVFGADSDAITEHRVTTVQCVSGCLSLRIGAEFLAKHYYQHTVYIPEPTYGNHPNFFSAAGLTLRTYRYYDPITNGLDIQGLLEDLGSAPSGAIVLLQACGHNPTGVDPTVEQWEQIRQLIRLKGLLPFFDCAYQGFVSGNLDMDAQSIRIFVADGGECLVAQSYSKIMGIYAERVGALSIVCKTADVASRVNSQLKLVIRPMYSNPPIHGAAIVATILNDRDMISEWTVELKAMVDRLVNLRGQLYDALRDRGNLLDLQCLCWIIGIESY >Manes.03G061100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:6950470:6960222:1 gene:Manes.03G061100.v8.1 transcript:Manes.03G061100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNTNGFSAFEDVPRAADIPVYAVTAAFSKDPSPVKLNLGVGVYRAEDGKPHVLNVVRRAERLLIDDTSRTKEYLPITGLEEFIKLSAQLVFGADSDAITEHRVTTVQCVSGCLSLRIGAEFLAKHYYQHTVYIPEPTYGNHPNFFSAAGLTLRTYRYYDPITNGLDIQGLLEDLGSAPSGAIVLLQACGHNPTGVDPTVEQWEQIRQLIRLKGLLPFFDCAYQGFVSGNLDMDAQSIRIFVADGGECLVAQSYSKIMGIYAERVGALSIVCKTADVASRVNSQLKLVIRPMYSNPPIHGAAIVATILNDRDMISEWTVELKAMVDRLVNLRGQLYDALRDRGTPGDWTHITSQVGMYTFSGLNEEQVAFLMKEYHIYMSPDGRINMAGLSKKTVPYLADAMHAAVTCIR >Manes.06G045500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:14391915:14394236:-1 gene:Manes.06G045500.v8.1 transcript:Manes.06G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSSKEEISRVLKVLEALRQASHDLQAHPTPKSADPDSPAIKALLELETESDTILSKDPHLSTLSQHLTSLRTLVDTLQKSRGYSLKNFLTRRVSTHSISRVAGSIESEIQAWIDRESIDNLTTLLKETVQNEDELVGLLTQFEKRLSQGFNRELQDLVLKSKILLLLEKIICDPNCSKRIREQCSFVVAALFQFNKDVFVGQVLMGPLIHALVSMASWKSMKVLCTLIKLIKSPLVDEIESNGQIPKIINFLDYKDLQLLVQTMDCILEIGYSGRKEAIEAMLREGLIKKLVDLQRSELGGDLIDMGRFDEKETNKEDSNQRKKEKRESREKRFLESHPFASCVAKFAVQLEVGEGLRQREKRAFKQEILKRVREASVSDAEAATIVAEVLWGSSP >Manes.06G045500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:14389434:14394236:-1 gene:Manes.06G045500.v8.1 transcript:Manes.06G045500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSSKEEISRVLKVLEALRQASHDLQAHPTPKSADPDSPAIKALLELETESDTILSKDPHLSTLSQHLTSLRTLVDTLQKSRGYSLKNFLTRRVSTHSISRVAGSIESEIQAWIDRESIDNLTTLLKETVQNEDELVGLLTQFEKRLSQGFNRELQDLVLKSKILLLLEKIICDPNCSKRIREQCSFVVAALFQFNKDVFVGQVLMGPLIHALVSMASWKSMKVLCTLIKLIKSPLVDEIESNGQIPKIINFLDYKDLQLLVQTMDCILEIGYSGRKEAIEAMLREGLIKKLVDLQRSELGGDLIDMGRFDEKETNKEDSNQRKKEKRESREKRFLESHPFASCVAKFAVQLEVGEGLRQREKRAFKQEILKRVREASVSDAEAATIVAEVLWGSSP >Manes.06G154300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28121869:28124894:-1 gene:Manes.06G154300.v8.1 transcript:Manes.06G154300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTERYQKLAFKEALSRIHHYPVACKDLSFILREAYQKLPKNLQSVVYQDTLTAIRLLPEIQTCSAVSAAHLLLRSAEAVLPKQRKILAVTEFKHSKVAHKRRSKAHQEEETPAATELPQDVLLHIFSFLDIQSLVSVSLVSWSWNFAAHNNQLWHSLYAIFFGSKCDTMLQNGRQGENKEFTLLQEHADARTSIDWREAFKRKYMGMLLRHLVAHVFDNLLPYDCE >Manes.06G154300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28121869:28124894:-1 gene:Manes.06G154300.v8.1 transcript:Manes.06G154300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTERYQKLAFKEALSRIHHYPVACKDLSFILREAYQKLPKNLQSVVYQDTLTAIRLLPEIQTCSAVSAAHLLLRSAEAVLPKQRKILAVTEFKHSKVAHKRRSKAHQEEETPAATELPQDVLLHIFSFLDIQSLVSVSLVSWSWNFAAHNNQLWHSLYAIFFGSKCDTMLQNGRQGENKEFTLLQEHADARTSIDWREAFKRKYMGNSSKRLASNRGYCVNCETIVWVDNMKCCNGECGFWQILPVSAHQVVEYLLYGSSSIPSSSDSDSESDEGSIHRLWAYPRYMGRYQKVQNK >Manes.06G154300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28121869:28124894:-1 gene:Manes.06G154300.v8.1 transcript:Manes.06G154300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTERYQKLAFKEALSRIHHYPVACKDLSFILREAYQKLPKNLQSVVYQDTLTAIRLLPEIQTCSAVSAAHLLLRSAEAVLPKQRKILAVTEFKHSKVAHKRRSKAHQEEETPAATELPQDVLLHIFSFLDIQSLVSVSLVSWSWNFAAHNNQLWHSLYAIFFGSKCDTMLQNGRQGENKEFTLLQEHADARTSIDWREAFKRKYMVHVTSK >Manes.14G158202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20771690:20772271:1 gene:Manes.14G158202.v8.1 transcript:Manes.14G158202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLYTANGFHEHHVIPQRPASSSQSSTVESFSGPRPPAQQTTTATKKSKLPLAATAPKKNHHRTPPMVPEDCHSDCDSSSSVVDDRIFIKLFC >Manes.01G026000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5434464:5441702:1 gene:Manes.01G026000.v8.1 transcript:Manes.01G026000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRYTAEGKGLLFSDEIDFSVDSFGRGRKIFTGWDGESVEGLEFVDLGFSEMPRKPFHGSNPGVGMLGGSEAGINSSKIELASSGYMIASNSLLESGSKHSTTLLESNSQDSSLIDLKLGRLADGKETQNSKFLKERSVVSSTNPTSQAKKARTMSSRSQTPYCQVYGCHKDLSSLKDYHKRHKVCEIHSKTPKVIVNGVEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPQFGPLSGGPHKFLLPYQGNKFVGTSLPKRASFLFPNILPGGILYPERYEQTNCYKSVKVDEKSIWGTNMQSISKPFLPHHANRIQNTAEISPSSTEEFTVCNTASTVHELAGVYNSSRALSLLSAESQDLGHLAGIIITTPFNSQANRSHQIVGISEKPFGSESSEKNMPIGGHSSELNSIKANHMGSFMVSCAGYAADLQIEPDGFFQESDLLNAKYCVSAENESTMDLLQLSSHLHRVEEQRNSVQVKHETEDLSTFLTTYGA >Manes.01G026000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5434409:5441702:1 gene:Manes.01G026000.v8.1 transcript:Manes.01G026000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRYTAEGKGLLFSDEIDFSVDSFGRGRKIFTGWDGESVEGLEFVDLGFSEMPRKPFHGSNPGVGMLGGSEAGINSSKIELASSGYMIASNSLLESGSKHSTTLLESNSQDSSLIDLKLGRLADGKETQNSKFLKERSVVSSTNPTSQAKKARTMSSRSQTPYCQVYGCHKDLSSLKDYHKRHKVCEIHSKTPKVIVNGVEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPQFGPLSGGPHKFLLPYQGNKFVGTSLPKRASFLFPNILPGGILYPERYEQTNCYKSVKVDEKSIWGTNMQSISKPFLPHHANRIQNTAEISPSSTEEFTVCNTASTVHELAGVYNSSRALSLLSAESQDLGHLAGIIITTPFNSQANRSHQIVGISEKPFGSESSEKNMPIGGHSSELNSIKANHMGSFMVSCAGYAADLQIEPDGFFQESDLLNAKYCVSAENESTMDLLQLSSHLHRVEEQRNSVQVKHETEDLSTFLTTYGA >Manes.07G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5767866:5776289:1 gene:Manes.07G049300.v8.1 transcript:Manes.07G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGFNFSPSSLTPSLISSLPTLPLLPSRLPFSAHPFTRRRSSVLSVRNLGYKSELRVGELMEPGKETFELNRILHAPIEPYNTGFLKVSDLHTVYYEQSGNPSGHPVVFLHGGPGGGTTPSNRRFFDPDFYRIILFDQRGAGKSTPHACLVENTTWDLIADIEKLREHLEIPEWQVFGGSWGSTLALAYSEAHPDKVTGMVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPENERGCFVDAYSKRLNSEDMETQYAAARAWTKWEMMTAHLLPNEENIKRGDDDDFSLAFARIENHYFVNKGFFPSDSFLLDNVDKIRHIKTTIVQGRYDVCCPMMSAWDLHKAWPEADFKVVQDAGHSANEPGIAAGLVAANEKLKNIIKKGS >Manes.07G049300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5767866:5776289:1 gene:Manes.07G049300.v8.1 transcript:Manes.07G049300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKETFELNRILHAPIEPYNTGFLKVSDLHTVYYEQSGNPSGHPVVFLHGGPGGGTTPSNRRFFDPDFYRIILFDQRGAGKSTPHACLVENTTWDLIADIEKLREHLEIPEWQVFGGSWGSTLALAYSEAHPDKVTGMVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPENERGCFVDAYSKRLNSEDMETQYAAARAWTKWEMMTAHLLPNEENIKRGDDDDFSLAFARIENHYFVNKGFFPSDSFLLDNVDKIRHIKTTIVQGRYDVCCPMMSAWDLHKAWPEADFKVVQDAGHSANEPGIAAGLVAANEKLKNIIKKGS >Manes.07G049300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5767866:5776289:1 gene:Manes.07G049300.v8.1 transcript:Manes.07G049300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKETFELNRILHAPIEPYNTGFLKVSDLHTVYYEQSGNPSGHPVVFLHGGPGGGTTPSNRRFFDPDFYRIILFDQRGAGKSTPHACLVENTTWDLIADIEKLREHLEIPEWQVFGGSWGSTLALAYSEAHPDKVTGMVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPENERGCFVDAYSKRLNSEDMETQYAAARAWTKWEMMTAHLLPNEENIKRGDDDDFSLAFARIENHYFVNKGFFPSDSFLLDNVDKIRHIKTTIVQGRYDVCCPMMSAWDLHKAWPEADFKVVQDAGHSANEPGIAAGLVAANEKLKNIIKKGS >Manes.05G195161.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31915812:31917969:1 gene:Manes.05G195161.v8.1 transcript:Manes.05G195161.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCIRGEEGESDTTTRRISTANNTLAEVFVPQGSPNSVNMVKHVVIVMDALKGFSREPLQWALDHVIRTRCSLTLLGVMPWLPLPLSCKTMLDVWTSDIEDLSALKSRSDWKNEYKYQKFRGIIELCEQKGVFPCIKVAMGHPLRLVVLEQTTNLHATFVVLDRHLRKNKAFFAERLPSSVVIMKSDGEVDMLRVQSNINHSDLTPQESPITVIPTPEVILSEALSSKMKIPKPG >Manes.13G137100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:34501952:34504437:-1 gene:Manes.13G137100.v8.1 transcript:Manes.13G137100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPVSSPQNNHHNHHQSPNSRPVSCNNNINSKYQLPYQQLYPEPTSVLDLCRSPSPVPAKQVSATDPSLEWDEHVLQAFDWDAIMKELDFHDDSAPTLKSFSQVNSYESVIHNQNLQEFAVPAQTDPSQFLHSDFGDACFNIPTQNLSSLDLSHNIGNWNIGFDIIQELIRAADCIDSNEIQLARVILDRLNHRLQSPVGKPLQRAAFFFKEALQFLLTGSPRPPTRLASWSEVVQTIRVYKAFSGISPIPMFTHFTANQALLETLDGSPPFLHVIDFDIGLGCQYASFMRELVEKADSCKLSSPVLRITAIVTEDYAIETQLIKQCLSQYAFELKIRFQIEFVLLRTFEVLSFKSINFMEREKTTVLLSPAFFRRLSSSISITAFATDLRRVSAGVVVVVDSEGWSESGSSSFRRNFVNGLEFFSMVFESLDAAAAGGDLARKIEMLLLKPRIFSFVEGCERRVATPWKEEFCGAGMRPMAFSQFADFQAECLLGKVQVRGFYVAKRQAELVLCWHERPLIATSAWKC >Manes.08G030600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2959887:2965266:1 gene:Manes.08G030600.v8.1 transcript:Manes.08G030600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPWVLVFLLLLIVFTSQFEWKQQFGNEIEATPTISRKDQINSKREEAVKEKIILSQEKNIQKLNELVQSLREQLLQCRSENIVANGTAIPLTEHLNELEQQAILD >Manes.08G030600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2959887:2965266:1 gene:Manes.08G030600.v8.1 transcript:Manes.08G030600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPWVLVFLLLLIVFTSQFEWKQQFGNEIEATPTISRKDQINSKREEAVKEKIILSQEKNIQKLNELVQSLREQLLQCRSENIVANGTAIPLTEHLNELEQQAILD >Manes.07G009860.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1125998:1128551:1 gene:Manes.07G009860.v8.1 transcript:Manes.07G009860.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLDALERYNKMKGNGPERLVSGSDDFTMFLWEPAVSTHPKTRLTGHQQLVNHVYFSPDGQWVASASFDRSVKLWNGVTGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSMLKVWDIRTQKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRMYYGWDRPCRGVHGRNV >Manes.17G048700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24631673:24634703:1 gene:Manes.17G048700.v8.1 transcript:Manes.17G048700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTPNQEHQQQGNINKTEKRKPVFIKVDQLKPGTNGHTLIAKVLTSNTVLQKGRATAASTRLRNTRIAECLVGDETGTILFTARNDQVDLMKPGTTVILRNAKIDMFKGSMRLAVDKWGRIEVTEPATFTVKEDNNLSLVEYELVTVAAEE >Manes.03G149800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27704509:27705235:1 gene:Manes.03G149800.v8.1 transcript:Manes.03G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITNNDESQGEEKGNRGSNSRSYGSTGPHIEHLPLKSNLKKTTTVEEINQSRTEKRKVSWPDAHGNDIAHVHEFEPSLSEDGEIEGVRNSCICTIQ >Manes.17G024283.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19148732:19158723:-1 gene:Manes.17G024283.v8.1 transcript:Manes.17G024283.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAKGAGGDNNAKKKNKKNNKSGPNVVAMKLKNPKDNPFETIWSRRKFDILGKKKGKGEERRIGLARSLAIEKRKKTLLKEYEQSGKSSVFVDKRIGEKNDDLGEFDKAIMRSQRERQLKLSKKSKYNLSDGEEEDFEIQGFGPFSDQDDFEDGMLSDDDKVDAEADETRRKLAKLKQINDNDTPQQVEQGMEGEENKHKTKKEVMEEVILKSKFFKAQKAKDKEENEKLMEELDKSFTSLVQSQALLSLTEPSKMNALKALVNSSLPNEHVKKDDLVSQKPEVLRQQEKPDAYDKLVKAMSLDIRAYPSDRTKTPEEIAQEERERLERLEEERKKRMLAINDSSDEENDDNEKPLTQRPRSISGDDLGDSFSLHDEPKPGKGWVDEILERRDVDDSENEDDNSSEDSENAEDDGDSEGSDESESDEHKDVSDKTLSLKDWEQSDDDNLGTDLEDNEEEHNDKLDDENEEMERRGCKKPKKNDNINSREGDGESLVAKHVNQLSTEPDIPFLIEAPKSFEELCALLDNCSNANVIVVVNRIRASNAIKLAAENRKKMQVFYGVLLQYFAVLANRKPLNFELLNLLVKPLIEMSMEIPYFSAICARQRILHTRAQLCESLKNSENGAWPSVKTLCLLQLWSMIFPCSDFRHVVMTPAILLMCEYLTRCPITSGRDVAVGSFLCSMVFSVIKQSKKFCPEAIMFLQSLLMAAIERKQTSYEEPQFYHLVELKALGPLLFIRHCVNEISPLNFFMIMDMPENSAFFSSDNFRASMIVTVVETLRGYVEVYEGLNSFPEIFLPISTLLLEVAKQEHLPAALQDKCKDVAELIKRKANEKYELRRPLQMRKQKPVPIVQLNPKFEENFVKGRDYDPDRERAEARKLRKLVKREAKGAARELRKDNYFLFEVKEGQKAQMQEERAEMYGRARAFLQEQEHAFKSGQLGKGGKRRR >Manes.17G024283.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:19148732:19158723:-1 gene:Manes.17G024283.v8.1 transcript:Manes.17G024283.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAKGAGGDNNAKKKNKKNNKSGPNVVAMKLKNPKDNPFETIWSRRKFDILGKKKGKGEERRIGLARSLAIEKRKKTLLKEYEQSGKSSVFVDKRIGEKNDDLGEFDKAIMRSQRERQLKLSKKSKYNLSDGEEEDFEIQGFGPFSDQDDFEDGMLSDDDKVDAEADETRRKLAKLKQINDNDTPQQVEQGMEGEENKHKTKKEVMEEVILKSKFFKAQKAKDKEENEKLMEELDKSFTSLVQSQALLSLTEPSKMNALKALVNSSLPNEHVKKDDLVSQKPEVLRQEKPDAYDKLVKAMSLDIRAYPSDRTKTPEEIAQEERERLERLEEERKKRMLAINDSSDEENDDNEKPLTQRPRSISGDDLGDSFSLHDEPKPGKGWVDEILERRDVDDSENEDDNSSEDSENAEDDGDSEGSDESESDEHKDVSDKTLSLKDWEQSDDDNLGTDLEDNEEEHNDKLDDENEEMERRGCKKPKKNDNINSREGDGESLVAKHVNQLSTEPDIPFLIEAPKSFEELCALLDNCSNANVIVVVNRIRASNAIKLAAENRKKMQVFYGVLLQYFAVLANRKPLNFELLNLLVKPLIEMSMEIPYFSAICARQRILHTRAQLCESLKNSENGAWPSVKTLCLLQLWSMIFPCSDFRHVVMTPAILLMCEYLTRCPITSGRDVAVGSFLCSMVFSVIKQSKKFCPEAIMFLQSLLMAAIERKQTSYEEPQFYHLVELKALGPLLFIRHCVNEISPLNFFMIMDMPENSAFFSSDNFRASMIVTVVETLRGYVEVYEGLNSFPEIFLPISTLLLEVAKQEHLPAALQDKCKDVAELIKRKANEKYELRRPLQMRKQKPVPIVQLNPKFEENFVKGRDYDPDRERAEARKLRKLVKREAKGAARELRKDNYFLFEVKEGQKAQMQEERAEMYGRARAFLQEQEHAFKSGQLGKGGKRRR >Manes.10G052000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:5941637:5943347:1 gene:Manes.10G052000.v8.1 transcript:Manes.10G052000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEDITNNNIVRGKRTKRQRVQSPIPFASLTNNSSTGDGDGDGDGDGDGDVCTNGKIFTNMSPISSQEFHGSTQEEEDMANCLILLAQGQISNNFKHSSTKQGDIDVAGVPTVAAVITTTATNNKFNSRKFLETGSPGSGKAGYYVYECKTCNRTFPSFQALGGHRASHKKPRNDEKKQFGISSDEEDGHLRDIISPLSLQLINNNNKNNRFIHSSGGQTKAKIHECSICGAEFNSGQALGGHMRRHRAPIGANNSTTLALTPLAVESEEPKKARNLLSLDLDLNLPAPEDDILSFASKKQKQQQQQQHQQEQQQKSSLVFSGPALVDCHY >Manes.01G160200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34531499:34534431:1 gene:Manes.01G160200.v8.1 transcript:Manes.01G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKDDGKCVICDSYVRPCTLVRICDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Manes.03G048600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:4416968:4419292:-1 gene:Manes.03G048600.v8.1 transcript:Manes.03G048600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRKYISQEELQSHNTPGDLWISINGKIYNVTDWSKVHPGGELPLLNLAGQDATDAFFAYHPGTAWKHLDKFFTGYFLEDYSVSEVSKDYRKLAAEFSKMGLFEKKGHITLISLCLTAVLFALTVYGILCSNSTWVHLLCGGSIGFLWIQSGWIGHDSGHYNVMISPEMNRLVQILTGNCLAGISIGWWKRNHNAHHIACNSLDFDPDLQHLPFFAVSSKIFCSFTSYFYERKINFDSFARFLVSYQHWTFYPVMCFARINLFAQSFLLLLSKKRVPNRVQEILGILVFWIWYPLLVSCLPNWGERVMFVLASFAVTGIQHIQFCLNHFSSSVYVGPPNGNDWFEKQTKGTLNIDCSSWMDWFHGGLQFQAEHHLFPRLPRCQLRKISPFVKELCKKHNLPYDSASFWNANVRTIQTLRAAALQARDLSNPVPRNLVWEAVNTHG >Manes.16G070000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25677284:25678500:-1 gene:Manes.16G070000.v8.1 transcript:Manes.16G070000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTASSHHSIFCISNRRSHVRPNMWMDIKTVNASQLSFSVGAPIFKILSPKRQTKLFVSPNTNDGRPSPSLLDEETNTKHAPSSETETLLSKWSPPGYLWKGLSVLVLTGQVIIRTLKGNIHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFVRLGLQRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVTPRVIACCLALPFLTLMCFTVSMASSALLADGVFGVSINMIFYSARKVLGSWDLISAMIKSQVFGAIISIVSCAWGVTTSGGAKGVGESTTSAVVISLVGIFIADFVLSYCFFQGVGDSLKNSM >Manes.16G070000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25676382:25682260:-1 gene:Manes.16G070000.v8.1 transcript:Manes.16G070000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTASSHHSIFCISNRRSHVRPNMWMDIKTVNASQLSFSVGAPIFKILSPKRQTKLFVSPNTNDGRPSPSLLDEETNTKHAPSSETETLLSKWSPPGYLWKGLSVLVLTGQVIIRTLKGNIHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFVRLGLQRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVTPRVIACCLALPFLTLMCFTVSMASSALLADGVFGVSINMIFYSARKVLGSWDLISAMIKSQVFGAIISIVSCAWGVTTSGGAKGVGESTTSAVVISLVGIFIADFVLSYCFFQGVGDSLKNSM >Manes.08G169600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40401297:40407556:-1 gene:Manes.08G169600.v8.1 transcript:Manes.08G169600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKKKRNKKKKNKQTKTTEDDIAVGGNQNGASNGKNDHDPVTDVAEVQNGAMRNGDAAADTPVHNGTEISILAEAEQRWAQREAILKETVKQLQNENDTHTQKEAFLEEKIKQLKKENDLQIENQVTLEETIKQLRNVHNLALQKEATLEGTIQQLKNENDSHMHKEAGLEKKIIQLQSEKESSIQKEAGLEKRIVELQSEKELSLQKEAHLEEQLQHLLDEKTALGLKGASLEEKIKQVESDKRSWTLTENTTKETIARMNVDITRLRMQVVELEESRNILLKENQQLMDNISGLRLQLQNVEGNISSSNTSAELKKRDECEVLNSQIEAACTLVDKLITENAELVEKVMNCPILPVLWLCSGDQFLDVNALYISF >Manes.08G169600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40399876:40407556:-1 gene:Manes.08G169600.v8.1 transcript:Manes.08G169600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKKKRNKKKKNKQTKTTEDDIAVGGNQNGASNGKNDHDPVTDVAEVQNGAMRNGDAAADTPVHNGTEISILAEAEQRWAQREAILKETVKQLQNENDTHTQKEAFLEEKIKQLKKENDLQIENQVTLEETIKQLRNVHNLALQKEATLEGTIQQLKNENDSHMHKEAGLEKKIIQLQSEKESSIQKEAGLEKRIVELQSEKELSLQKEAHLEEQLQHLLDEKTALGLKGASLEEKIKQVESDKRSWTLTENTTKETIARMNVDITRLRMQVVELEESRNILLKENQQLMDNISGLRLQLQNVEGNISSSNTSAELKKVNELYIKIDQQSRAAGLSSATGSDLVVESKVADSILESSDNMSALGHKVESLEIEPAAGDGNDAEVDSGEIVQIPLDDNELRDLELQAVEGDKMEEAVPLTDAPLVGAPFRLISFVAKYVSGADLVDESTLNSSS >Manes.08G169600.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40399876:40407556:-1 gene:Manes.08G169600.v8.1 transcript:Manes.08G169600.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKKKRNKKKKNKQTKTTEDDIAVGGNQNGASNGKNDHDPVTDVAEVQNGAMRNGDAAADTPVHNGTEISILAEAEQRWAQREAILKETVKQLQNENDTHTQKEAFLEEKIKQLKKENDLQIENQVTLEETIKQLRNVHNLALQKEATLEGTIQQLKNENDSHMHKEAGLEKKIIQLQSEKESSIQKEAGLEKRIVELQSEKELSLQKENTTKETIARMNVDITRLRMQVVELEESRNILLKENQQLMDNISGLRLQLQNVEGNISSSNTSAELKKRDECEVLNSQIEAACTLVDKLITENAELVEKVNELYIKIDQQSRAAGLSSATGSDLVVESKVADSILESSDNMSALGHKVESLEIEPAAGDGNDAEVDSGEIVQIPLDDNELRDLELQAVEGDKMEEAVPLTDAPLVGAPFRLISFVAKYVSGADLVDESTLNSSS >Manes.08G169600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40399876:40407556:-1 gene:Manes.08G169600.v8.1 transcript:Manes.08G169600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKKKRNKKKKNKQTKTTEDDIAVGGNQNGASNGKNDHDPVTDVAEVQNGAMRNGDAAADTPVHNGTEISILAEAEQRWAQREAILKETVKQLQNENDTHTQKEAFLEEKIKQLKKENDLQIENQVTLEETIKQLRNVHNLALQKEATLEGTIQQLKNENDSHMHKEAGLEKKIIQLQSEKESSIQKEAGLEKRIVELQSEKELSLQKEAHLEEQLQHLLDEKTALGLKGASLEEKIKQVESDKRSWTLTENTTKETIARMNVDITRLRMQVVELEESRNILLKENQQLMDNISGLRLQLQNVEGNISSSNTSAELKKVNELYIKIDQQSRAAGLSSATGSDLVVESKVADSILESSDNMSALGHKVESLEIEPAAGDGNDAEVDSGEIVQIPLDDNELRDLELQAVEGDKMEEAVPLTDAPLVGAPFRLISFVAKYVSGADLVDESTLNSSS >Manes.08G169600.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40399876:40407556:-1 gene:Manes.08G169600.v8.1 transcript:Manes.08G169600.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKKKRNKKKKNKQTKTTEDDIAVGGNQNGASNGKNDHDPVTDVAEVQNGAMRNGDAAADTPVHNGTEISILAEAEQRWAQREAILKETVKQLQNENDTHTQKEAFLEEKIKQLKKENDLQIENQVTLEETIKQLRNVHNLALQKEATLEGTIQQLKNENDSHMHKEAGLEKKIIQLQSEKESSIQKEAGLEKRIVELQSEKELSLQKENTTKETIARMNVDITRLRMQVVELEESRNILLKENQQLMDNISGLRLQLQNVEGNISSSNTSAELKKRDECEVLNSQIEAACTLVDKLITENAELVEKVNELYIKIDQQSRAAGLSSATGSDLVVESKVADSILESSDNMSALGHKVESLEIEPAAGDGNDAEVDSGEIVQIPLDDNELRDLELQAVEGDKMEEAVPLTDAPLVGAPFRLISFVAKYVSGADLVDESTLNSSS >Manes.08G169600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40399876:40407556:-1 gene:Manes.08G169600.v8.1 transcript:Manes.08G169600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKKKRNKKKKNKQTKTTEDDIAVGGNQNGASNGKNDHDPVTDVAEVQNGAMRNGDAAADTPVHNGTEISILAEAEQRWAQREAILKETVKQLQNENDTHTQKEAFLEEKIKQLKKENDLQIENQVTLEETIKQLRNVHNLALQKEATLEGTIQQLKNENDSHMHKEAGLEKKIIQLQSEKESSIQKEAGLEKRIVELQSEKELSLQKEAHLEEQLQHLLDEKTALGLKGASLEEKIKQVESDKRSWTLTENTTKETIARMNVDITRLRMQVVELEESRNILLKENQQLMDNISGLRLQLQNVEGNISSSNTSAELKKRDECEVLNSQIEAACTLVDKLITENAELVEKVNELYIKIDQQSRAAGLSSATGSDLVVESKVADSILESSDNMSALGHKVESLEIEPAAGDGNDAEVDSGEIVQIPLDDNELRDLELQAVEGDKMEEAVPLTDAPLVGAPFRLISFVAKYVSGADLVDESTLNSSS >Manes.08G169600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:40399876:40407657:-1 gene:Manes.08G169600.v8.1 transcript:Manes.08G169600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKKKRNKKKKNKQTKTTEDDIAVGGNQNGASNGKNDHDPVTDVAEVQNGAMRNGDAAADTPVHNGTEISILAEAEQRWAQREAILKETVKQLQNENDTHTQKEAFLEEKIKQLKKENDLQIENQVTLEETIKQLRNVHNLALQKEATLEGTIQQLKNENDSHMHKEAGLEKKIIQLQSEKESSIQKEAGLEKRIVELQSEKELSLQKEAHLEEQLQHLLDEKTALGLKGASLEEKIKQVESDKRSWTLTENTTKETIARMNVDITRLRMQVVELEESRNILLKENQQLMDNISGLRLQLQNVEGNISSSNTSAELKKRDECEVLNSQIEAACTLVDKLITENAELVEKVNELYIKIDQQSRAAGLSSATGSDLVVESKVADSILESSDNMSALGHKVESLEIEPAAGDGNDAEVDSGEIVQIPLDDNELRDLELQAVEGDKMEEAVPLTDAPLVGAPFRLISFVAKYVSGADLVDESTLNSSS >Manes.16G021700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2413820:2416352:-1 gene:Manes.16G021700.v8.1 transcript:Manes.16G021700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSLQTVLISTGVLFMALFLKASVPLMVDFSVYQAPLLWSSFASWLKPPYLYVIVNCIIITIVAFSRFHHTHGADNEQQEQIRSVSKISVREPDQFSAAEFRSDYGSTVVVAEDVYEKGEEKAGAGACAALFFEDKSTVLVNGSVEVEDKEDEDDYVISKSTWIPPKRMDSSENLMELLLPAEKPLVSARFGNRKPVRASPEGGKALRVAKPKRQETLENTWKMITEGRAMPLTRHLKKCETFENHGRQVNVCEVNPSALPLKSETFKDRTNYQLPPVGNSPVSGKLRKDPSLSQDELNRRVEAFIKKFNEEMRLQRQESLNQYKEMIARGSQ >Manes.03G079516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21565363:21566955:1 gene:Manes.03G079516.v8.1 transcript:Manes.03G079516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKKKEKVFLKDTDKVSVTIDLWKSGQHISYMVVTAHFVDSEWKLQKRTLNFYDVPPPHTGVVICDVLKKCLVEWGIEDKVQTISVDNASYNDVVNSLALHGKLFNVRCCAHILNLLVQDGLSDIADIIKNMRESVKHLVASESRRLIFSEIAKQLKLPSKKLLLDCGTKWNATYFMLSAALEFKDVFPRYQQRDSSYTYLPSEDYWQKVKELCSFLEEFNEVTNFISGTEYPTSNLFLPELYSIQKLLDEAHENGNIYMKVMVDKMKCKFDKYWGDYARKIKIIGSEISSCEIQKEGYSYTISGKGKIRGRAQFYSYIRNVDCVIEQAKSELDWKMNNMKFRILSKMARDVLSIPIIIVASESAFSAGGRIIDQHRALLEAEIVQDKKDIQEVILP >Manes.10G022450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2196987:2201097:1 gene:Manes.10G022450.v8.1 transcript:Manes.10G022450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVALVVQRIADLLTQELTFLRDVKEDVESLQGVLRRIQSFLKDADCKQYQDERVRNWVAEIRGVAYDAEDVIESYILKIATRRVEGARGITKKVSSIFIKVPRLRVIKSRIESIRNKIEAISASMQTFDIKLDAERAVPGSASEMQQRLRRSYPHDEDDVISFHAIRRDINAQLMMAAERRQVVSIVGMGGLGKTTLAKNIYNDINVKQHFDCCAWVFISQQYTPRDLLVRIWIDVASTTDKSKLEAITEEKLFASTNIESMKEEQSLKSMLERMEEEDLVKKLYKVLEQKRYLVVLDDIWNTEAWDCLKRAFPNGKKGSKILFTTRNKSLASYADPSITPMEPPFLTNNEAWELLRRKAFPVEQSCPRDLEILGREMVKKCGGLPLAVGVLGGILATKKSLNEWKTVQKNVNAHFAMLERKNQYGGVYGILAMSYHDLPYHLKPCFLYLSQFPEDWEFHKRTLIRMWIAEGFIAQSQDSANQTMEDVAEIYLEELVSRCMVQETERDHTGIHVKICRIHSLMRDTCISKAGDEHFAGGIEHRDEFATNTKSRFLKSATSQPRRIAVHPRIDGDNAGKRFYVPLVKCGDPHLRSLHYFVDQDKYRMTRGQEIYILSHFRLLRILNLENIYLSKYHVPGDIGNLIHLRYLGLRNTGLWVTTKYLFVVSTSLPPSIGNLKSLYTLDVRKNSLETLPDVLWKLENLRHLLVEPEVDGQLRLDTLTHLETLKWVRAKNLIANNALCKLTNVRNLGIYFKETQEVDVVLKSRIFEQGRLSSLKMSISEEGSFPNLESLSRCDHLTKLELQGKILEDPEESLRHNLKFLPESLTKLTLSHSLLKQDPMCILGNKLHNLRFLFLHTLSYEGSELVCSANGFPRLEILTIEELELEEWQIEEGAMPCLRTLKIKALDKLRMIPEGFKFLVSLQELKIIDMAAFAKRVQVIDGVEGEDFDKVQHIGIHVI >Manes.07G040400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4301078:4312125:-1 gene:Manes.07G040400.v8.1 transcript:Manes.07G040400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRSPSLIVLLSLVALSISAEARQLLETTLPEVPELPKPELPDLPLLPKVELPPLPEVPTFPKPELPTLPKLPELPELSKPEIPTLPKPELPEFPKPELPAFPHLPELPKPELPTFPHLPELPKSTFPSKDTKPESATNP >Manes.05G150400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25929600:25931328:-1 gene:Manes.05G150400.v8.1 transcript:Manes.05G150400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVLLLLALPFLLSFLLRKIKTKRNLHLPPGPKGLPVIGNLHQFDSLNRHSYLWQLSQKHGPVMSLRLGFVPILVVSSAKMAEAVMKTHDLIFCSRPALVGQQKLSYNGLDLGCSPYNAYWREMRKFCMVYLFNSNRVQSFRPIREFEVSHMLEKISKSAVASEPVNLSEAMMSLTSTIICRVAFGKRYEEDGVGTSRFQELLKEARALFMCFFVADYFPFLGFIDKFTGLLHRLEKNFREFDVFYEQIIQEHLDPSRSKPAEEDILDILLQLWKDRSFKVDLTFDHIKAVLMNVFLAGTDTSAATVVWAMTLLMKNPMAMKKAQEEVRKLVGRKDFVEEAYCQQLPYLKAVIKETMRLQPTAPLLAPRESTEDCVLDEYDIAAKTVVYVNVWAIGRDPEIWKNPEEFNPERFINSSIDLKGQHFELTPFGAGRRICPGIFMGLATVEVSLANLLHKFDWEMPVGMKKEDLDMEVQPGITMHKKNALCLMARKYA >Manes.04G097700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30252368:30253952:1 gene:Manes.04G097700.v8.1 transcript:Manes.04G097700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENVSDLDFVDDFFISALFVLDNEEHQEDVFSLYAQELQLQETLMGSVIISQMEMSNPPSALMIEPPPAENLQEAGQSSSLEMCFCEICTETKESNQMFTTERCAHSYCSDCIGKHVAAKVEDSITKVTCPALNCEAVLELETCRVKLSKEVIDFWEEALCKELISASQMFYCPFRDCSAMLAAGNEGEAITESECPFCYRLFCARCCVPWHSGIECEVFQELNEDERGREDLMVMEIAKEKKWSRCPNCKFYVERTEGCPHITCRCSFQFCYGCESKWTENHHGCSRD >Manes.11G087200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13854829:13857692:1 gene:Manes.11G087200.v8.1 transcript:Manes.11G087200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFSFFIFSLLFVSAVVEETVTSTGDEDPLIRQVVDGQDESSSSPHMLSEEHHFSLFKRNFKKAYASQQEHDYRFKVFKSNLRRAARHQKLDPTATHGVTQFSDLTPAEFRRQFLGLRRLRMPKDATHAPILPTNDLPEDFDWREKGAVSPVKNQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNNAFEYTLKAGGLMREEDYPYTGTDRGACKFDKTKVAARVANFSVVSLDDDQIAANLVKNGPLAVAINAFFMQTYIGGVSCPYICLNRLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGETWGENGYYKICKGRNICGVDSMVSTVAAAV >Manes.15G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:211110:214970:1 gene:Manes.15G003100.v8.1 transcript:Manes.15G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAQQFTGLRCTPLSTSRLANRASLLSSPKLRKSSFVVSAVAISNAQTKERQKLKQLFEEAYERCRTAPMEGVSFTLEDFHTALDKYDFNSEIGTTVKGTVFMTDANGALVDITAKSSAYLPIQEACIHKIKHVEEAGIVPGLTEEFVIIGENEADDSLVLSLRSIQYDLAWERCRQLQAEDAIVKGKVVGANKGGVVALVEGLRGFVPFSQISSKSTAEELLDKELPLKFVDVDEEQSRLVLSNRKAMADSQAQLGIGSVVTGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQMFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLTSDMPAEGLDLSYVPTAEEED >Manes.02G225700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37987196:37997434:-1 gene:Manes.02G225700.v8.1 transcript:Manes.02G225700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDQSTSAPSTPARLRNRRRSNEVVPEVSKENGGHLLVNDRNKYKSMWIRAYSTIWMIGGFALVVYMGHLCITAMVVVIQIFMAKELFNLLRKAHEDRHLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTSDKFLYQLVNSLIKYHMVICYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPATLIVINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASITTMISAFVLANIMGRFQWLTCPRKDLSSGWLQCDPGPLFKPEYFTLPGWVPQWFPWKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQSISVEIILDQILTNLTFEEQHALYVKLGEIIRERLVGQS >Manes.02G006900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:855858:860513:-1 gene:Manes.02G006900.v8.1 transcript:Manes.02G006900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLPEVSQLFERFKAACVREDVDTCSNLLSKLKVLLTGFRSLPPLFEDTPNAIHELTLARDVYEHAVLLSVQIGDQDAFERDFFQLKPYYTDASSHLPPSSQEYMILGLNLLRLLVQNRIAEFHTELELLSPTALENPCIKHAVELEQSFMEGAYNRVLSAKQTVPYKTYDYFMDLLAKTVRDEIAGCSEKAYDYFSIGDARQMLLFSSDDELLQYIKEEHPEWEIKNGLVIFQKAKECAPCKEIPSLQLINQTLSYARELERIV >Manes.14G128700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10251153:10252282:1 gene:Manes.14G128700.v8.1 transcript:Manes.14G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLLPLGHVAIRASAATGPRRSGPNHRKNSSPSSSNWWTPLFGWSSEPDYIGSESKAEDLQDKRESVLESKSMRSRFAPGCFTEEKAKQLRMLTNDTSSFHDAMYHSAIASRLASDFKNKSDP >Manes.13G028000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3713582:3718675:-1 gene:Manes.13G028000.v8.1 transcript:Manes.13G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSLPGHNLFSSLPSNPPRNDPAASISPPQPPIPIPKYPPPLKKSKNPQTSPPSPAFNLPHRRTKYYKPVKDGVVSSEGDRSILVGENGVSYLLPGAPFEFQYSYSETPKVKPLAIREPAFLPFAPPTMPRPWTGKAPLKKSKKKIPLFGSFDVPPPDKEGVKYVEMPVAFPLGKYPEEGKSRKEILGEPLKKWEVKMLIKPLLSDNSQVNLGRDGLTHNMLELIHTHWKRKPVCKVRCKGIPTVDMDNVCCRLEEKTGGKVIHRVGGVVFLFRGRNYNYRARPQYPVMLWKPAAPVYPKLIQEAPEGLTKTEADEFRKKGKNLLPICKLAKNGVYISLVSDVRAAFEGSPLVKIDCRGMKLSDYKKLGAKLKELVPCVLLSFDDEQILMWRGQNWKPMYPEVPSVSIPAEVDITNGSDDSGKPDDNIMSSSPKMISLWKRAIELGKAILLDEIDLGPDDLLRKVEKFENIPQATEHSYPAVILSSEEGANNRMSAFEDGSLGESFGEDGTGTDDEYEEDDYYDSDSFEEIDASASLDSLPVDLIADELDQEE >Manes.04G080100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28192369:28192662:1 gene:Manes.04G080100.v8.1 transcript:Manes.04G080100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLAILRAKQILQRSSSANQSASMDVPKGYLAVYVGEKQRKRFVIPVSYLNKPSFQDLLIQAEEEFGYDHPMGGLTIPCCENMFIDIISCLNCS >Manes.10G140900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30850635:30855525:1 gene:Manes.10G140900.v8.1 transcript:Manes.10G140900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTGYSLITSFTPFHSIILKKHHRLPLNLCNKNSTKSRSLSTCAQKRHLHAKAGMGAGQYLPPLFSVAPMMDWTDNHYRTLARLISKHSWLYTEMLAAETIVYQQGNLDRFLAYSPEQHPIVLQIGGNNLDNLAKATELATAYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTEHFIIHSRKALLNGISPADNRRIPPLKYEYYFALLRDFPNLKFTINGGINCIDEVNLALSEGAHGVMVGRAAYNNPWSVLGHVDSEIYGAPRSGLTRRQVLEQYQIYGDGILGTYGNNRPNVRDVIKPLLGFFYSEPGNGLWKRKADAAFQYCTTIKSFFDETLVAVPDTVLDSPIAGAPAGRQDLFANVKGLLPPPYETEEKEVAYA >Manes.10G140900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30850635:30855525:1 gene:Manes.10G140900.v8.1 transcript:Manes.10G140900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGQYLPPLFSVAPMMDWTDNHYRTLARLISKHSWLYTEMLAAETIVYQQGNLDRFLAYSPEQHPIVLQIGGNNLDNLAKATELATAYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTEHFIIHSRKALLNGISPADNRRIPPLKYEYYFALLRDFPNLKFTINGGINCIDEVNLALSEGAHGVMVGRAAYNNPWSVLGHVDSEIYGAPRSGLTRRQVLEQYQIYGDGILGTYGNNRPNVRDVIKPLLGFFYSEPGNGLWKRKADAAFQYCTTIKSFFDETLVAVPDTVLDSPIAGAPAGRQDLFANVKGLLPPPYETEEKEVAYA >Manes.17G029100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21820374:21822018:1 gene:Manes.17G029100.v8.1 transcript:Manes.17G029100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSFSLLLLQFIFVLFVFNPVNAQLKVGFYSKTCPQAEAIVKEVIDQVMSVAPSLAGPLLRMHFHDCFVRGCDGSVLLNSSTQQAEKNAPPNLSLRGFQIIDRVKSALEKACPGVVSCADIVAIVARDVTVATKGPRWEVETGRRDGRVSKLIEASNNLIAPTANITTVIAGFRAKGLSIKDAAVLLGGHTIGTSHCSSFNSRLYNFTGKGINNDSDPTLDSEYVERLKKKCKPRDQKTLVEMDPGSFRTFDADYYTLVSKRRGLFQSDAALLDNSVTKAYVKLQAATKGFTFFKDFGESMVKMGRVGVVTGTSGEIRKVCSKVN >Manes.18G038100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3358757:3365066:1 gene:Manes.18G038100.v8.1 transcript:Manes.18G038100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGPAIRAMGSLFKLTEVYIWDDGSKETREVSLFPESPSKSPQDYDDNSSNIPASTVEFTEAPEDVELTKQMDALGLPLSFQSNKESRNKIYTGKRKGTRLKLSHGHKEAKDEALETTKVSEVEIVSPTVLHDNTTDSLCCMSILGQSESYCYDVAVNTCEFQCPAGEDPSSSTKIISDAVFDGNSHDLTNNGQECEFVALSNVMSNDENRRVLSSGSSDSAHLPPCCLTDASFEHDKEELSTSLTECECLEDSFAAQHHEQSGKFCSDHGTEGLEVSETVMLSQNLEVFGHDGVDGHGYSDDFGDWRVYWDSMYLRNYFHNIKTGTSTWDPPSGMEHLAFDGVADGRDEVITEATENDDSFSMSCAFLNYSKSLEEPPNDARLEDQPSNEVSTGKGVIAGSSFPGTTVLTANSSSELEDELHGISTTCDDLSSDMQEHVGSLNSKIRKIATGDDNMRIEIVDPATDHQLDIQHDSGKKKQKKKARKRGARRQLSNGSEELERKVIFEDLSANIGKYWCQRYILFSKYDSGIKMDEEGWFSVTPELIAGHHALRCDGDIIIDCFTGVGGNAIQFAQRCKHVTAIDIDPKKIDYAYHNAAIYEVGDHIDFIKGDFFVLAPKLKADTVFLSPPWGGPDYSKVKTYDIMKMLKPHDGYFLFNTAKKIARKIVMFLPKNVDLNQLAELSLSADSSWSLEELFLLLGLWSTQC >Manes.18G038100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3358757:3365750:1 gene:Manes.18G038100.v8.1 transcript:Manes.18G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGPAIRAMGSLFKLTEVYIWDDGSKETREVSLFPESPSKSPQDYDDNSSNIPASTVEFTEAPEDVELTKQMDALGLPLSFQSNKESRNKIYTGKRKGTRLKLSHGHKEAKDEALETTKVSEVEIVSPTVLHDNTTDSLCCMSILGQSESYCYDVAVNTCEFQCPAGEDPSSSTKIISDAVFDGNSHDLTNNGQECEFVALSNVMSNDENRRVLSSGSSDSAHLPPCCLTDASFEHDKEELSTSLTECECLEDSFAAQHHEQSGKFCSDHGTEGLEVSETVMLSQNLEVFGHDGVDGHGYSDDFGDWRVYWDSMYLRNYFHNIKTGTSTWDPPSGMEHLAFDGVADGRDEVITEATENDDSFSMSCAFLNYSKSLEEPPNDARLEDQPSNEVSTGKGVIAGSSFPGTTVLTANSSSELEDELHGISTTCDDLSSDMQEHVGSLNSKIRKIATGDDNMRIEIVDPATDHQLDIQHDSGKKKQKKKARKRGARRQLSNGSEELERKVIFEDLSANIGKYWCQRYILFSKYDSGIKMDEEGWFSVTPELIAGHHALRCDGDIIIDCFTGVGGNAIQFAQRCKHVTAIDIDPKKIDYAYHNAAIYEVGDHIDFIKGDFFVLAPKLKADTVFLSPPWGGPDYSKVKTYDIMKMLKPHDGYFLFNTAKKIARKIVMFLPKNVDLNQLAELSLSADSSWSLEVEKNFLNGKLKAVTAYFCDTTVEDNDAT >Manes.01G058100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:24522103:24526321:-1 gene:Manes.01G058100.v8.1 transcript:Manes.01G058100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVVIGVLLFLCTSGVDGKISPKLEFFEYPAISCRAHEASLTDFGGVGDGETSNTKVFQAAIDHLSQFSSDGGSQLYVPAGRWLTGSFNLTSHFTLYLDKDAVLIASQDESEWPVIEPLPSYGRGRDTEGGRYSSLIFGTNLTDVIITGANATIDGQGDVWWKKFRNGELNYTRPYLIEILYSSNIQISNLTLINSPSWNVHPVYSSNVVAQGLTILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIAFGMPTKQLVIRRLTCISPFSAAIALGSEMSGGIQDVRAEDITAIDTESGVRIKTSVGRGGFVKDIYVRKMTMKTMKWVFWMTGNYGSHPDNNYDPNAIPEIKNINYRDMVAENVTMAARLEGIAGDPFTGICISNVTIGLTQKPKKLQWNCTEIAGLSSGVTPKPCDLLTDQGPGETASCNFPEDGLPIQNIEVKMCSSSRKNL >Manes.09G101400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29944906:29947665:-1 gene:Manes.09G101400.v8.1 transcript:Manes.09G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNHLFNRQRTVHEIFGGGFVADVILWRQKNVTVGILLVALASLVVFERWGYTLLSLVSSVLLLLLTILFLWAKSAAILNRPSPPLPELHLSEETVNEVAAFVRTRLNAFLSVSQDIALGKDTNSFFKVAGYLLLISILGGLADFLTLVYTSLLIILTIPALYERYEDFIDKYVKAMCKKSQQLYAKFDVECIGTTQKWVLEKQKLG >Manes.17G042100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:23364050:23369383:1 gene:Manes.17G042100.v8.1 transcript:Manes.17G042100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNLLDYALFQLTPTRTRFDLVLFYGGKTEKLASGLFEPFISHLKFAKDQISRGGYSIKLCPPTANAPWFTQATFERFVRFVSTPAVLERFVSLEKEILQIESSAQANELSNADVNGKLEEGSGLAANSNRRKSIDPSKVKGEHERHNETSPEENSKIQLQRLLETRKTLLRKEQAMAYARGLVAGFEVHNIDDLISFADAFGASRLREACINFKELCKKKREDGLWMEELAAMEACPPSELSFLGSSGIILNNDAGSLNPNIVLTFPKGDVMQNGSTDASRSDSTASHASFDSKKDDNLATSDQMTSTTNKVHVPTPWSNQIPQYIYNFQNPQQLPPYQGYPFPMQPIPPHYAMAMQWPPSLKESALVKKEKFLNKNGLEHSGEDGETESSDSEVQSDSDSYMQQNKRNSSTDSSHRKKHRKKSSKTVVIRNINYITPKRRSGEKASVSDESSYDEDDFTDEDSLQQKVHDAIGSLDKLHKSKSSNHGKKGSVKSNHTSNGSHDAGLQDFGDGVISNPSEGGKKNENWDAFQNLLMRDEEENVSEVGKLHPMDVPDEHFIVKSLGNGITLANNRAMDLELEKVPKQRMAAGDSFVVTQRDGVSENKDRMEDIESAENLRSVMKRRDSTDADLVIPQRVEESGGRLGVILSATESSTIKPRNREDWFVISHSGKPENENSIDDIIFNGDQKSSSDGDYLNFENGRKNVAVDDSFMIHVRPAVDDLCDSPWKTDISMAADINLSSQSENGTVKENHEVLGGYEPNDLCVVLERDSGLESARESWTSDHGIDISFMETETRSSSVETTNHAAKKLPSNCENPTVKKKESSGRKVPGKEVRPRVSSGSPGNNKTGIASKSKKPSLPSRPIVQKSKLEKEEEIRKKMEESLIQRQKRIAERTAASGVTPASTKKNPIRK >Manes.06G044000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13849756:13854009:1 gene:Manes.06G044000.v8.1 transcript:Manes.06G044000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQLWLSVLIVLLFHGKWLCDGCWDDERIALLKLKAYFNASNSVALDSWGKIPNCCYWEYVECSPVTGRVTSLHLRMDWSWTSADWYLNASLFVPFKELKRLSLVDFNIAGCVENEGFERLWNLDNLESLDLSMNKFINTILPSLSGFSSLKSLNLDDNRLKGIIDIQVLNNLTSLKELSLRANGIKGFISSHGLRNLELLDLSYNGLDNNKLSYLKGFASLKSLYVRQSSLTGTLNLKEFEGLNNLEELDLSENQIIRFEALKDARGLSKLSKLLLDSIIGYGGNSSLLHSLGAFPYLKILSLQNNYLKSLESAQGLPNFKKLENLYMNYSATNNNILQIISNITSLKTLSLYDCGLSGTLSQGICNLEDLQVLDIGYNNLIGTLPLCLANLTSLQQLTLSYNSFTGKIFPLGSWTSIQELELSHNHFQIPISLGPLFNHTRLKQFHAEGSEVYADTKVHNLIPKFQLETLVLSGLGYGGAFPKFLYYQQELKVFDISYIQMKGSFPFWLLENNTNLVMLYLANTSLSGPLQLPIHTHMGLSNLDISNNGLQGHVPAGIGVSFPMLKVLKMSRNGFSGNIPSSLSNVSSLTTLQLDGNQFTGSIPDNLYKCSNLEMLDVSDNSLSCRIPGSMGNMSSLEILDLSKNNIFGSLPPNFNPSELAYVYLSENRLQGSIRNAFSDCSKLVTLDLGDNSLIGTIPEWIGRLPYLSYISLSYNNLEGEIPNHLCNLSSLNFLDLSHNNLSGHIIPCLRFADYFRVKDPRIESKQMKFTTYSYLEITLYSFSIMDLSYNKLSGEIPPELGNVQVLNLSHNSLTGPIPSTFSTVIQSLDLSYNQLDGKIPGELTHLRLLVFSVAYNNLYGSIPMEDANTWFFTGSSYEGNPFLCGLPLPKSCNATTEDNSGFIDMEVFYVSFGVAYVVALLTIGAVLYINPYWRRAWFTFIEVKIAHFPYFLNLLRGKGLCA >Manes.16G049300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:14512596:14513530:1 gene:Manes.16G049300.v8.1 transcript:Manes.16G049300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLVPRCGHTLTAVAPTNAHGPRLILFGGATAIEGGGSSRVPGIRLAGVTNSVHSYDVLTRKWFR >Manes.18G060700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5384912:5387961:1 gene:Manes.18G060700.v8.1 transcript:Manes.18G060700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDWGDCHQDQNQDQDQDSHFNFDFLSLVSKPKDYYKILEVDYDASDDAIRSNYIRLALKWHPDKHKNQDSATSIFQDINEAYQVGKTIGQKGSSEKKGSYLQF >Manes.18G060700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5384912:5388202:1 gene:Manes.18G060700.v8.1 transcript:Manes.18G060700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDWGDCHQDQNQDQDQDSHFNFDFLSLVSKPKDYYKILEVDYDASDDAIRSNYIRLALKWHPDKHKNQDSATSIFQDINEAYQVLSDPVRRREYDKKGMLYVHDYNVIDYLNRHKGLILTCNGLGIRNSIW >Manes.18G060700.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5384912:5387961:1 gene:Manes.18G060700.v8.1 transcript:Manes.18G060700.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDWGDCHQDQNQDQDQDSHFNFDFLSLVSKPKDYYKILEVDYDASDDAIRSNYIRLALKWHPDKHKNQDSATSIFQDINEAYQGKTIGQKGSSEKKGSYLQF >Manes.18G060700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5384411:5389317:1 gene:Manes.18G060700.v8.1 transcript:Manes.18G060700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDWGDCHQDQNQDQDQDSHFNFDFLSLVSKPKDYYKILEVDYDASDDAIRSNYIRLALKWHPDKHKNQDSATSIFQDINEAYQVLSDPVRRREYDKKGMLYVHDYNVIDYLNRHKGLILTCNGLGIRNSIW >Manes.13G028800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3777639:3781960:1 gene:Manes.13G028800.v8.1 transcript:Manes.13G028800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDAALDIVRRRVASVSNHLIPVPFSSYASIGLSNTSMDDSYHRIHGDVSTEQVVWRNAHDGFKEEFSDIIYEKAVGEGIAKITINRPERRNAFRPLTIKELIRAFDDARDDTSVGVIILTGKGTKAFCSGGDQSLRTADGYADPNDIGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTASEAEKMGLVNTVVPLENLEQETVKWCRQILRNSPTAVRVLKSALNAVDDGHSGLQELGGNATLLFYGTEEGNEGKTAYMQHRRPDFSRFPRRP >Manes.13G028800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3777639:3781960:1 gene:Manes.13G028800.v8.1 transcript:Manes.13G028800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSDAALDIVRRRVASVSNHLIPVPFSSYASIGLSNTSMDDSYHRIHGDVSTEQVVWRNAHDGFKEEFSDIIYEKAVGEGIAKITINRPERRNAFRPLTIKELIRAFDDARDDTSVGVIILTGKGTKAFCSGGDQSLRTADGYADPNDIGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLARFYTASEAEKMGLVNTVVPLENLEQETVKWCRQILRNSPTAVRVLKSALNAVDDGHSGLQVPENFAGLRSPSYLNPASVFSCPHSAIFIVHQNKLYNVVLFLFHMHS >Manes.06G130601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25998028:25998409:1 gene:Manes.06G130601.v8.1 transcript:Manes.06G130601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKKEKRNKFAENAVHAIPLVLILFAFILWFFSYPHNSFSSSQDVEVGIKTDSVAERIEGLEIEGDVHTDSDGTQTGFLPIDTVDLDVSRTRRTTDHKIFRKLAKRN >Manes.02G167200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13111756:13119891:-1 gene:Manes.02G167200.v8.1 transcript:Manes.02G167200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLTESFGLKSQGKSSPMAASRRTNNTSSAQTRNLASNSASNQNSSSYASTSAHNSNSLNGSFFNDNETLFSSKKPQNFGGLDDGFDIFGGFQSNSRQTTNNGAGSSFDYDSIFSNSNNLNAKSSFDGDILSGLNSSGSISTDDIFGTYDSKPKQTATIDDFFGTVGGKTKSPSRNESVDFDDLIPGFDSSFSLKKGETTGMNRSTFTALDDPFVVLETTSTTMKSTSIDPLEEFSKFNHSGSTKPPGSTNASPLRPPPRPGQVLKSNKVKGSNVASIDELEDFAMGRVQNNAGRSIHHAREVSERQPAKATKYKEAEVAAWKNHEKSANDLESFFSMGFRSNSVPRSRTATVDPVFDAKINNKGAAVAQRKSSGASSGIRKASSTTSIVDDFSSLFGDASFFGEFEEVEGESEERRRARLSRHQRTQDRVAKAVADMNQRDLQTQHEQEERRRIADKMDAEIKRWAAGKEGNMRALLSSLQHVLSPDYGWEPVSLTDLITSSSVKKVYRKATLCVHPDKVQQKGATLEQKYTAEKVFDILKEAWNKFNKEELS >Manes.06G135900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26364716:26367398:1 gene:Manes.06G135900.v8.1 transcript:Manes.06G135900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSGRLSAFIGMMMMVMVMRVASHDYGDALSKSILFFEGQRSGKLSPTQRITWRKDSALSDGFQIGVDLVGGYYDAGDNVKFNFPMAFSTTMLSWSVIEFGKFMGPDQQHALEAIQWATDYFLKATSIPGFVFAQVGDPYADHNCWERPEDMDTPRTPYAVSKQFPGSEVSGEIAAALAAASIAFRPKNLAYSARLLKRARMIFDFADTYRGSYNVSLGQWVCPFYCDFSGYEDELVWAAAWLYRATKSPNYWNYVVDNVKHLRKVLVRNIDGVIYSGGSFAEFGWDTKHAGINILVSKMLLRSNTSNLDLFIPNADKFVCSVLPESPTLSVSYSPGGLLFKPGGSNLQHATALSFLLLAYSRYLNQANREIHCGNVVANSARLVELARSQVDYILGSNPLNMSYMVGYGQKYPQRIHHRASSLPSVDQRLGRVDCQGGSTYFRSDKPNPNLLIGAVVGGPDLKDSYADSRADFVNSEPTTYINAPLVGLLAYFSSHPSS >Manes.06G135900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26364926:26367389:1 gene:Manes.06G135900.v8.1 transcript:Manes.06G135900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSGRLSAFIGMMMMVMVMRVASHDYGDALSKSILFFEGQRSGKLSPTQRITWRKDSALSDGFQIGVDLVGGYYDAGDNVKFNFPMAFSTTMLSWSVIEFGKFMGPDQQHALEAIQWATDYFLKATSIPGFVFAQVGDPYADHNCWERPEDMDTPRTPYAVSKQFPGSEVSGEIAAALAAASIAFRPKNLAYSARLLKRARMIFDFADTYRGSYNVSLGQWVCPFYCDFSGYEDELVWAAAWLYRATKSPNYWNYVVDNVKHLRKVLVRNIDGVIYSGGSFAEFGWDTKHAGINILVSKMLLRSNTSNLDLFIPNADKFVCSVLPESPTLSVSYSPVVTGGLLFKPGGSNLQHATALSFLLLAYSRYLNQANREIHCGNVVANSARLVELARSQVDYILGSNPLNMSYMVGYGQKYPQRIHHRASSLPSVDQRLGRVDCQGGSTYFRSDKPNPNLLIGAVVGGPDLKDSYADSRADFVNSEPTTYINAPLVGLLAYFSSHPSS >Manes.11G108801.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:25230770:25231078:1 gene:Manes.11G108801.v8.1 transcript:Manes.11G108801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQDIQSFTSNIEFPKLHGQDPNEWIVHAEQYFEKFIIHYEMKIYKVLSTLEGPFFDWFRGLRKQNPFPSWERFKYELLQHFGEANDNHSTVDFIADELKG >Manes.16G089100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29559453:29562551:1 gene:Manes.16G089100.v8.1 transcript:Manes.16G089100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSTPLPFISLFAALILVSSTLSAAASSGSRSDSLQNESLKVPISEFVGSLETTIGIIRDVTSTISNFGNFFGDRRLSNAITDCLDLLDFSADELSWTMSASQSPKGKNNSTGDLNSDLRTWLSAALVNQDTCIDGFEGTSSILGSLVAGGLNQITSLVQELLNNVDSKSNSKTNGVENDKFPSWVKHEDRKLLVINGVTPDAIVAADGTGNFTKIKDAVSAAPDYSMRRHIIYIKKGFYNEYVEIKKKKWNLMMVGDGMGVTVISGNRNFIDGWTTFRSATFAVSGRGFIARDLTFENTAGAAKHQAVALRSDSDLSVFFRCEFKGYQDTLYTHTMRQFYRDCRISGTVDFIFGDATAVFQNCQILAKKGLPNQKNTITAHGRKDPNQPTGYSIQFCNISADIDLLPYANSTYTYLGRPWKNFSRTVFMQSYMSNAIRPEGWLEWNGDQYLETLYYGEYSNHGPGAGLGNRVRWPGYHIFNTSYQASNFTVAQFIEGNLWLPSTGVKYTAGLDV >Manes.11G075200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10856529:10858421:1 gene:Manes.11G075200.v8.1 transcript:Manes.11G075200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEEIRKAQRAHGPATILAIGTATPSNCVNQADYPDYYFRVTKSEHMTELKEKFKRICDKSMIKKRYMHLTEEILKENPNICTYWEPSLDARQDIMVVEVPKLGNKAATKAIEEWGQPKSKITHLIFCTTSGVDMPGYDYQLIKLLGLRPSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITVVTFRGPADTHLDSLVGQALFGDGAAALIVGADPDTSIEHPLFQLVSTAETILPDSDGAIEGHLREVGLSFHLQDRVPSLISKNIEKSLVEVFSPIGINNWNSIFWIAHPGGPAILDQVEAKLSLKPEKMRATRHILSEYGNLSSACVIFILDEMRKKSLEERKVTTGEGLEWGVLFGFGPGLTVETVVLHSVLTETATK >Manes.05G006214.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:453689:457904:-1 gene:Manes.05G006214.v8.1 transcript:Manes.05G006214.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKALHDGKDLFFLLQVDGDYAYAKGNNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWHTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGNPWHGSGHFSINCDWIPLDISPGSSMLSPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.15G023000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:1850824:1853655:1 gene:Manes.15G023000.v8.1 transcript:Manes.15G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKVVSLAPSTNPSWRFLLNPLVQWRFHVLTALVFVGMVGVWSIDGCSIKNFIESWRFRQEFLSRRFNAQPAKATNLTQEIQNFTDANATDYPLPHLNNTPTTTNSSSPANYSILNNDANGVSSQNYSDGFLDKLTEKESEAAKVNWVSTDLKPNFKPNKNESEANVQWISTKLDPHLTSNLLSRWLAPGGEPCRDSRTVDIVIPRLDGHDSVELTAGNSHEFIFQAVDEFKNPRCLGGDYFEADLSGEAWKSRPVIKDFGNGSYSISLQVHPDFAGEYKLTVILLFRHFEGLKFSPARFVYDKELRKVQIRFVKAYYQLPQLQFCEKSDFSRDLWVGRWTRHGRNDDCEISNDGRYRCLQPYFPCQSPWCDGSLGMLESNGWVYSSHCSFRLFSAESAWNCLKGRWIFFWGDSNHVDTIRNMLNFLLGLPEIKSVPRRFDMNFSNPKDASQSVRITSIFNGHWNETQNYQGLNSLKDEGFRNLLKKYFLEDTVPDTIIMNSGLHDGIYWSNIRQFSAGADYAVSFWKEVIDSVKQRGLLIPQIIYRTTIATGGYARALAFNPNKMEAFNWIMLEKFRRSQLLSGVIDNFDITFPWHFDNRCNDGVHYGRAPAKMKWRDGEIGHQYFVDLMLAHVLLNALCAR >Manes.03G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10675085:10692977:1 gene:Manes.03G069300.v8.1 transcript:Manes.03G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLEDILQSLELWLKLMKKDPQPYVDPNLDPVLLVPGIAGSILKAVDTDNCNKDERVWVRIVRADYKFRTKLWSRFDPSTGKTVTLDPKTSILVPEDRYGLHAIDVLDPDLVIGRDCVYYFHDMIVEMIKWGFQEGKTLFGFGYDFRQSNRLPETMECFAKKLESVYNASGGKKINIISHSMGGLLVKCFMSLHSDIFEKYVKTWIAIAAPFRGAPGYITSTFLNGMSFVEGWEQNFFISKWSMHQLLIECPSIYELMACPHFNWQHIPLLEIWREKKDSDHNPQLILESYPPEGSIKVFKDALSSNSVNYDGMDIPLPFNLDILKWANETQKILSSAKVPSQVKFYNIYGTNLETPHSVCYGSEEAPVTDIQELRFFQPTYVCVDGDGTVPAESAKADGLNAEARIGVPGEHRGILSEHHVFRILKHWLRAGDPDPYYNPVNDYVILPAAFDVGTHKDKGLQITSLKEEWEIISASGDADDLADKKAVVSCHADAGQQGTYATVIVHPKDEGKQHIQVNAVNVGVC >Manes.01G152300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34024240:34032026:-1 gene:Manes.01G152300.v8.1 transcript:Manes.01G152300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLQNICGNEKKIIGKEALVKLLRWHFGFSDFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSHVKNKIHEDLDSGKPSTRLLYVTPELIATPGFTSKLTRIHARGSLKLIAIDEAHCISTWGHDFRPSYRKLSSMRSCLPDVPILALTATAVPKVQKDVIDSLCLQDPLVLKSSFNRPNIYYEVRYKDLLDDAYADLSFVLKSSGDVCAIIYCLERTTCDVLSAHLSKNGISCAAYHAGLNNKLRSSVLDDWMSSKIQVVVATVAFGMGIDRKDVRIVCHFNVPKSMEAFYQESGRAGRDQLPCRSLLYYGVDDRKKMEFILRSAASKQSESSSSQDRLSKKSISDFNQMVEYCEGSGCRRKKILESFGEQMSASLCKKSCDACKHPNLVAKYLEELLTVCTSHQRNGSSRIFISSSTDMTHGEQFSEFWNHDDEGGTSEEDISDSDDGTEIVRSLAGSKLSRKSGVKDKIEFLQHAEENYYRNKNSDKQVNKLDKNAISETMRESSRQRFLNALKEAQQRLGTLRYTGVSICTLH >Manes.01G152300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34024240:34032026:-1 gene:Manes.01G152300.v8.1 transcript:Manes.01G152300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLQNICGNEKKIIGKEALVKLLRWHFGFSDFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSHVKNKIHEDLDSGKPSTRLLYVTPELIATPGFTSKLTRIHARGSLKLIAIDEAHCISTWGHDFRPSYRKLSSMRSCLPDVPILALTATAVPKVQKDVIDSLCLQDPLVLKSSFNRPNIYYEVRYKDLLDDAYADLSFVLKSSGDVCAIIYCLERTTCDVLSAHLSKNGISCAAYHAGLNNKLRSSVLDDWMSSKIQVVVATVAFGMGIDRKDVRIVCHFNVPKSMEAFYQESGRAGRDQLPCRSLLYYGVDDRKKMEFILRSAASKQSESSSSQDRLSKKSISDFNQMVEYCEGSGCRRKKILESFGEQMSASLCKKSCDACKHPNLVAKYLEELLTVCTSHQRNGSSRIFISSSTDMTHGEQFSEFWNHDDEGGTSEEDISDSDDGTEIVRSLAGSKLSRKSGVKDKIEFLQHAEENYYRNKNSDKQVNKLDKNAISETMRESSRQRFLNALKEAQQRLGTLRYTGVSICTLH >Manes.01G152300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34024240:34032026:-1 gene:Manes.01G152300.v8.1 transcript:Manes.01G152300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLQNICGNEKKIIGKEALVKLLRWHFGFSDFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSHVKNKIHEDLDSGKPSTRLLYVTPELIATPGFTSKLTRIHARGSLKLIAIDEAHCISTWGHDFRPSYRKLSSMRSCLPDVPILALTATAVPKVQKDVIDSLCLQDPLVLKSSFNRPNIYYEVRYKDLLDDAYADLSFVLKSSGDVCAIIYCLERTTCDVLSAHLSKNGISCAGLNNKLRSSVLDDWMSSKIQVVVATVAFGMGIDRKDVRIVCHFNVPKSMEAFYQESGRAGRDQLPCRSLLYYGVDDRKKMEFILRSAASKQSESSSSQDRLSKKSISDFNQMVEYCEGSGCRRKKILESFGEQMSASLCKKSCDACKHPNLVAKYLEELLTVCTSHQRNGSSRIFISSSTDMTHGEQFSEFWNHDDEGGTSEEDISDSDDGTEIVRSLAGSKLSRKSGVKDKIEFLQHAEENYYRNKNSDKQVNKLDKNAISETMRESSRQRFLNALKEAQQRLGTLRYTGVSICTLH >Manes.01G152300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34024240:34032026:-1 gene:Manes.01G152300.v8.1 transcript:Manes.01G152300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLQNICGNEKKIIGKEALVKLLRWHFGFSDFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSHVKNKIHEDLDSGKPSTRLLYVTPELIATPGFTSKLTRIHARGSLKLIAIDEAHCISTWGHDFRPSYRKLSSMRSCLPDVPILALTATAVPKVQKDVIDSLCLQDPLVLKSSFNRPNIYYEVRYKDLLDDAYADLSFVLKSSGDVCAIIYCLERTTCDVLSAHLSKNGISCAGLNNKLRSSVLDDWMSSKIQVVVATVAFGMGIDRKDVRIVCHFNVPKSMEAFYQESGRAGRDQLPCRSLLYYGVDDRKKMEFILRSAASKQSESSSSQDRLSKKSISDFNQMVEYCEGSGCRRKKILESFGEQMSASLCKKSCDACKHPNLVAKYLEELLTVCTSHQRNGSSRIFISSSTDMTHGEQFSEFWNHDDEGGTSEEDISDSDDGTEIVRSLAGSKLSRKSGVKDKIEFLQHAEENYYRNKNSDKQVNKLDKNAISETMRESSRQRFLNALKEAQQRLGTLSIEFEASAVFFENQCYKKYGKSGKSFYYSQVASTLRWIKMANSCELTDRLLSVIPAPLENTLPKEEPPKEPSLLLQNHPMKATDVELHSNVGTETTMCSPLQTQKASLSARLPAIPSFSEFVNRKKTKNNNPGMSENQSPHSSKKSSEKRMRLQ >Manes.01G152300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34024240:34032026:-1 gene:Manes.01G152300.v8.1 transcript:Manes.01G152300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLQNICGNEKKIIGKEALVKLLRWHFGFSDFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSHVKNKIHEDLDSGKPSTRLLYVTPELIATPGFTSKLTRIHARGSLKLIAIDEAHCISTWGHDFRPSYRKLSSMRSCLPDVPILALTATAVPKVQKDVIDSLCLQDPLVLKSSFNRPNIYYEVRYKDLLDDAYADLSFVLKSSGDVCAIIYCLERTTCDVLSAHLSKNGISCAAYHAGLNNKLRSSVLDDWMSSKIQVVVATVAFGMGIDRKDVRIVCHFNVPKSMEAFYQESGRAGRDQLPCRSLLYYGVDDRKKMEFILRSAASKQSESSSSQDRLSKKSISDFNQMVEYCEGSGCRRKKILESFGEQMSASLCKKSCDACKHPNLVAKYLEELLTVCTSHQRNGSSRIFISSSTDMTHGEQFSEFWNHDDEGGTSEEDISDSDDGTEIVRSLAGSKLSRKSGVKDKIEFLQHAEENYYRNKNSDKQVNKLDKNAISETMRESSRQRFLNALKEAQQRLGTLSIEFEASAVFFENQCYKKYGKSGKSFYYSQVASTLRWIKMANSCELTDRLLSVIPAPLENTLPKEEPPKEPSLLLQNHPMKATDVELHSNVGTETTMCSPLQTQKASLSARLPAIPSFSEFVNRKKTKNNNPGMSENQSPHSSKKSSEKRMRLQ >Manes.01G152300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34024240:34032026:-1 gene:Manes.01G152300.v8.1 transcript:Manes.01G152300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLQNICGNEKKIIGKEALVKLLRWHFGFSDFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSHVKNKIHEDLDSGKPSTRLLYVTPELIATPGFTSKLTRIHARGSLKLIAIDEAHCISTWGHDFRPSYRKLSSMRSCLPDVPILALTATAVPKVQKDVIDSLCLQDPLVLKSSFNRPNIYYEVRYKDLLDDAYADLSFVLKSSGDVCAIIYCLERTTCDVLSAHLSKNGISCAGLNNKLRSSVLDDWMSSKIQVVVATVAFGMGIDRKDVRIVCHFNVPKSMEAFYQESGRAGRDQLPCRSLLYYGVDDRKKMEFILRSAASKQSESSSSQDRLSKKSISDFNQMVEYCEGSGCRRKKILESFGEQMSASLCKKSCDACKHPNLVAKYLEELLTVCTSHQRNGSSRIFISSSTDMTHGEQFSEFWNHDDEGGTSEEDISDSDDGTEIVRSLAGSKLSRKSGVKDKIEFLQHAEENYYRNKNSDKQVNKLDKNAISETMRESSRQRFLNALKEAQQRLGTLSIEFEASAVFFENQCYKKYGKSGKSFYYSQVASTLRWIKMANSCELTDRLLSVIPAPLENTLPKEEPPKEPSLLLQNHPMKATDVELHSNVGTETTMCSPLQTQKASLSARLPAIPSFSEFVNRKKTKNNNPGMSENQSPHSSKKSSEKRMRLQ >Manes.01G152300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34024240:34032026:-1 gene:Manes.01G152300.v8.1 transcript:Manes.01G152300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLQNICGNEKKIIGKEALVKLLRWHFGFSDFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSHVKNKIHEDLDSGKPSTRLLYVTPELIATPGFTSKLTRIHARGSLKLIAIDEAHCISTWGHDFRPSYRKLSSMRSCLPDVPILALTATAVPKVQKDVIDSLCLQDPLVLKSSFNRPNIYYEVRYKDLLDDAYADLSFVLKSSGDVCAIIYCLERTTCDVLSAHLSKNGISCAGLNNKLRSSVLDDWMSSKIQVVVATVAFGMGIDRKDVRIVCHFNVPKSMEAFYQESGRAGRDQLPCRSLLYYGVDDRKKMEFILRSAASKQSESSSSQDRLSKKSISDFNQMVEYCEGSGCRRKKILESFGEQMSASLCKKSCDACKHPNLVAKYLEELLTVCTSHQRNGSSRIFISSSTDMTHGEQFSEFWNHDDEGGTSEEDISDSDDGTEIVRSLAGSKLSRKSGVKDKIEFLQHAEENYYRNKNSDKQVNKLDKNAISETMRESSRQRFLNALKEAQQRLGTLRYTGVSICTLH >Manes.01G152300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34024240:34032026:-1 gene:Manes.01G152300.v8.1 transcript:Manes.01G152300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSPLPLQNICGNEKKIIGKEALVKLLRWHFGFSDFRGKQLEAIQAVLSGRDCFCLMPTGGGKSMCYQIPALAKPGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSHVKNKIHEDLDSGKPSTRLLYVTPELIATPGFTSKLTRIHARGSLKLIAIDEAHCISTWGHDFRPSYRKLSSMRSCLPDVPILALTATAVPKVQKDVIDSLCLQDPLVLKSSFNRPNIYYEVRYKDLLDDAYADLSFVLKSSGDVCAIIYCLERTTCDVLSAHLSKNGISCAAYHAGLNNKLRSSVLDDWMSSKIQVVVATVAFGMGIDRKDVRIVCHFNVPKSMEAFYQESGRAGRDQLPCRSLLYYGVDDRKKMEFILRSAASKQSESSSSQDRLSKKSISDFNQMVEYCEGSGCRRKKILESFGEQMSASLCKKSCDACKHPNLVAKYLEELLTVCTSHQRNGSSRIFISSSTDMTHGEQFSEFWNHDDEGGTSEEDISDSDDGTEIVRSLAGSKLSRKSGVKDKIEFLQHAEENYYRNKNSDKQVNKLDKNAISETMRESSRQRFLNALKEAQQRLGTLSIEFEASAVFFENQCYKKYGKSGKSFYYSQVASTLRWIKMANSCELTDRLLSVIPAPLENTLPKEEPPKEPSLLLQNHPMKATDVELHSNVGTETTMCSPLQTQKASLSARLPAIPSFSEFVNRKKTKNNNPGMSENQSPHSSKKSSEKRMRLQ >Manes.15G151200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12545904:12555358:1 gene:Manes.15G151200.v8.1 transcript:Manes.15G151200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAHRPRSLLPPALHFPSHSWSPNRILSFCSLPLQKPRTLALKRRRPERTIAAFAMADTEDISKAISAEEDAKFGFSRPEMYKSNLAGTVDQYDRHVFVCFRGPESWLPRVEETDLLPKLFSSVVKSRKDDIAIKTKITICEEREDTEFECGDVLIFPDMIKYKCLKDSDVDGFVDDVLVNGKQWTSAVQKLNGAYVFVCAHGSRDKRCGVCGPVLIEKLKEEIESRGLRDQVFVAACSHVGGHKYAGNLIVYSPDSEGKIMGHWYGYVTPDDVPEIFDQHIGQGIVIERIWRGQMGAPTEEGKMAAEQMLPNGKAAKERKKREESNSEITQENVGGCCQGSNGFSCCRDGSLGVNEERKLEKNINAHGKKGLGKASSWIASLEQSDVLAAVAVIGAVATVAVAYSLYKKSG >Manes.02G207601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:23226656:23226938:1 gene:Manes.02G207601.v8.1 transcript:Manes.02G207601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYKGPTQSISSPLRLSFSSLSTLDMFGWRSSKDCMCFFLGLFFFLLRFRLLQPLRCCKNSSD >Manes.04G076355.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29085997:29086431:1 gene:Manes.04G076355.v8.1 transcript:Manes.04G076355.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVCNNCPSHALLIFRGGLAIVRKIVHA >Manes.S056216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1984461:1985059:1 gene:Manes.S056216.v8.1 transcript:Manes.S056216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGTHTMPIASHFRQHVDPSTALECHTEALHKHPIDTPSMGIGPNSTEFVVGVEVLTAELDGNISTTKSRSPVHHLETRTSARAQHTNAMPTPCTSVHLTRPSIGINHRIISLNCHQWPWNGPHRARDGPHRVHHAREFFRC >Manes.06G128400.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25781769:25784657:1 gene:Manes.06G128400.v8.1 transcript:Manes.06G128400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKACDGLLGPLIDDSGRFYKPLQDDERGSREVAFYTSFSSNTRVPDHIRKFFPIFYGIQLLEASDGSGLRPHLVLQDVVSGHLHPSIMDIKIGSRTWYPEAPEDYIQRCLKKDRETSSLSLGFRVSGLQVHGNKESGFWKPERKAVQRLTADEVRLVLSKFVSSNPSDDPKAVRDSLFASSVYGGSTGILAQLLELKAWFEDQTIYHFNSCSVLIVYEKESILKGGSSGAEIKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECSTQTCLQDSDKSSVCNGDSKRE >Manes.06G128400.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25780519:25784659:1 gene:Manes.06G128400.v8.1 transcript:Manes.06G128400.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKACDGLLGPLIDDSGRFYKPLQDDERGSREVAFYTSFSSNTRVPDHIRKFFPIFYGIQLLEASDGSGLRPHLVLQDVVSGHLHPSIMDIKIGSRTWYPEAPEDYIQRCLKKDRETSSLSLGFRVSGLQVHGNKESGFWKPERKAVQRLTADEVRLVLSKFVSSNPSDDPKAVRDSLFASSVYGGSTGILAQLLELKAWFEDQTIYHFNSCSVLIVYEKESILKGGSSGAEIKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECSTQTCLQDSDKSSVCNGDSKRE >Manes.06G128400.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25781032:25784718:1 gene:Manes.06G128400.v8.1 transcript:Manes.06G128400.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKACDGLLGPLIDDSGRFYKPLQDDERGSREVAFYTSFSSNTRVPDHIRKFFPIFYGIQLLEASDGSGLRPHLVLQDVVSGHLHPSIMDIKIGSRTWYPEAPEDYIQRCLKKDRETSSLSLGFRVSGLQVHGNKESGFWKPERKAVQRLTADEVRLVLSKFVSSNPSDDPKAVRDSLFASSVYGGSTGILAQLLELKAWFEDQTIYHFNSCSVLIVYEKESILKGGSSGAEIKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECSTQTCLQDSDKSSVCNGDSKRE >Manes.06G128400.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25780448:25784657:1 gene:Manes.06G128400.v8.1 transcript:Manes.06G128400.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKACDGLLGPLIDDSGRFYKPLQDDERGSREVAFYTSFSSNTRVPDHIRKFFPIFYGIQLLEASDGSGLRPHLVLQDVVSGHLHPSIMDIKIGSRTWYPEAPEDYIQRCLKKDRETSSLSLGFRVSGLQVHGNKESGFWKPERKAVQRLTADEVRLVLSKFVSSNPSDDPKAVRDSLFASSVYGGSTGILAQLLELKAWFEDQTIYHFNSCSVLIVYEKESILKGGSSGAEIKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECSTQTCLQDSDKSSVCNGDSKRE >Manes.06G128400.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25780448:25784657:1 gene:Manes.06G128400.v8.1 transcript:Manes.06G128400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKACDGLLGPLIDDSGRFYKPLQDDERGSREVAFYTSFSSNTRVPDHIRKFFPIFYGIQLLEASDGSGLRPHLVLQDVVSGHLHPSIMDIKIGSRTWYPEAPEDYIQRCLKKDRETSSLSLGFRVSGLQVHGNKESGFWKPERKAVQRLTADEVRLVLSKFVSSNPSDDPKAVRDSLFASSVYGGSTGILAQLLELKAWFEDQTIYHFNSCSVLIVYEKESILKGGSSGAEIKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECSTQTCLQDSDKSSVCNGDSKRE >Manes.06G128400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25781590:25784718:1 gene:Manes.06G128400.v8.1 transcript:Manes.06G128400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKACDGLLGPLIDDSGRFYKPLQDDERGSREVAFYTSFSSNTRVPDHIRKFFPIFYGIQLLEASDGSGLRPHLVLQDVVSGHLHPSIMDIKIGSRTWYPEAPEDYIQRCLKKDRETSSLSLGFRVSGLQVHGNKESGFWKPERKAVQRLTADEVRLVLSKFVSSNPSDDPKAVRDSLFASSVYGGSTGILAQLLELKAWFEDQTIYHFNSCSVLIVYEKESILKGGSSGAEIKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECSTQTCLQDSDKSSVCNGDSKRE >Manes.06G128400.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25781032:25784657:1 gene:Manes.06G128400.v8.1 transcript:Manes.06G128400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKACDGLLGPLIDDSGRFYKPLQDDERGSREVAFYTSFSSNTRVPDHIRKFFPIFYGIQLLEASDGSGLRPHLVLQDVVSGHLHPSIMDIKIGSRTWYPEAPEDYIQRCLKKDRETSSLSLGFRVSGLQVHGNKESGFWKPERKAVQRLTADEVRLVLSKFVSSNPSDDPKAVRDSLFASSVYGGSTGILAQLLELKAWFEDQTIYHFNSCSVLIVYEKESILKGGSSGAEIKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECSTQTCLQDSDKSSVCNGDSKRE >Manes.11G064700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:8962806:8964871:-1 gene:Manes.11G064700.v8.1 transcript:Manes.11G064700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRSEVCLSETSSIISVSNAPSNPSLKSSMDCKKEVKQLAEGEEELEEEDDDEQDQDLVLDLSLTSKDSKPELNLIDSFDMESSQKSSLDTPQGNETEPRIFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRISTGGPFGLGHRHNSHLNRYSSMASLPLHGSLSRSLGIQVHSMIHKPTLVPSSTFGSSNIYGQNGWSRKPIDQQPAVGRLAPESFYMETNMGSSNGVARFESARKFSPAREGIEGYWWDAGVNHLKSKRDDLQKLDLSLKL >Manes.04G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30509796:30513302:1 gene:Manes.04G100500.v8.1 transcript:Manes.04G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSVSEVSFSLALLLSIQLATAMAPIAKPNCSDHCGDIEIPYPFGMGKKDCYLNEWFEIECNRSVNPPRAFLSRINMELLDINLGASATVRSPIMSSNCSGWEGDEPICLTGSPFYISNTNSFFAVGCNTKALMMDDQLHRAGCDSFCHGQRDIDSRELLPQLVTTDPIGKDCNGSRCCKITVPSTTQIFNPIFKTIDENQSKDGCKMAFLAVEGAILLASVLPTGYPDVQFPMVLDWTINSTVREAVIERETAECPILHANASEFFCYCNTGYEGNPYLGCTDIDECKIPDYDPCPSLTKCVNTQGSNKCVPDLKWIIIIAAGGVIGVLGVLAILGSWRLNKLRNMQLKKKFFKRNGGLLLQQQLTSGDGSVQKTKIFSAKELEKATDCFSEDTILGEGGQGTVYKGMLTDGRIVAIKKSKVVDEKNVQEFINELIILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGSLFQYLHNQNEEASLPWDMRLRIAAQVAGALAYLHSATSVPIYHRDIKSRNILLDEKNRAKVSDFGISRSITIDQTHLTTRVQGTFGYLDPEHFQTGQFTDKSDVYSFGVVLVELLSCQEPIYKSDSQEILSLSTHFIMLMEENRLFDLVDARILEHSPENEIVEVANLARRCLHVNGKKRPTMKEVAMELERIQSSRSKLNIQPNNGEIEDALSDNVSTTESCETEETLSMDVESLTVNRTW >Manes.02G070900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:5429210:5429494:-1 gene:Manes.02G070900.v8.1 transcript:Manes.02G070900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMSYFYLCMVVIQMVSMEAQVGSAVTCSPSEVTVCLPAVAMSKPPSAACCRKSREQRPCLCGYLKNPNLKQFISSLGDRRVARVCGVPYPTC >Manes.02G070200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5365431:5370236:-1 gene:Manes.02G070200.v8.1 transcript:Manes.02G070200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFFLTLSLIFIITIVFLCPPPSVLASDAQYQNCSTTFRCGNITNIGYPFWGSNRPDYCGNPNFWLTCTDQAAEITIKNLTYQVLEIDSQAKYLKVARKDYIGDICPNLLINATLDFVHFSYASDDIQNITLYYGCSTSFTPTTISWISNQFTCNLNGSNGKGFYATRNIDFSNATITNLLESCNNSVIVPATQSAVETLENSLTEENLVIALEKGFGLQWEANNPVCETCTLSGGTCGYNTTTNSFACYCTDQPADQFSCRESKTNNPSESSGGKSSKVWLGIGLAIAAASVITFSLGCYCCFKRQGICSGKTMTLQWKNAKREEKMETFFMNYHSQKPKQYSYSDIEKMTNSFTQKLGEGGFGGVYKGELPDGHSVAVKVLNKSTGDGEEFINEVASISRTSHVNIVSLLGFCYERTKRALIYEYMPNGSLDKFICGQSSELGWKILYDIAIGIASGLEYLHRGCNTRIVHFDIKPQNVLLDEDFCPKISDFGLAKLCNKKESILSMLGARGTPGYIAPEVFMTSFGRVSYKSDVYSYGMMILEMFGGKNNIDFGASQDSEMYFPDCLYKRLELGQNFVLDENIQVEEEQTVIRRMIIVGLWCIQTSPSDRPSMTRVLEMLEGRLQPLQIPPKPLLFSTATPMEHSRATHLWSQSNQEVVSSLAEVELAPNSKQ >Manes.07G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8938356:8945587:1 gene:Manes.07G061800.v8.1 transcript:Manes.07G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLSQLSTLTPELASPSTGLPKSSFLSSTKLVFLTNPRKQGLFQQRCYKPPSASGKSFDHIPKQFRQENLKDGLMENYKNVPRHLYGLTPSQLDMFMTEDNPVRRQSESVTEESISSRNNYLEHGGMYSASGMMDRGPSKYSMSVSMYRGGGRGYGRPRSAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMYLDYDDSSKPVYLYINSSGTQNEKMETVGSETEAYAIADAMAYCKSTVYTVNCGMAYGQAAMLLSLGAKGYRALQPNSSTKLYLPKVNRSSGAVIDMWIKAKELEANTEYYIELLAKGTGKTKEEINRDIQRPKYLNAQGAIDYGLADKIVDSSDDAFEKRDYDALLAQSKAMRAAAAGPRAAPSGLR >Manes.03G168900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29442079:29447109:1 gene:Manes.03G168900.v8.1 transcript:Manes.03G168900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGYGHGLIPKLDRTESGHVENLESFSHYVARQLGFPDPNECPQLCKLAHDYLRNPKNCEHNFYEFFANKAEAESLYVKLIEEFERCILSYFAFHWSQASRLITQVIDDDESEKKPKLKHLILAATRKQRFDRVTKDLKLTRVFSTLVEEMKAISMDDSSHTSDLMVPVALGERSPVLLLMGGGMGGGKSTVVTNILKELFWSGVEPVVVEADAFKESDVIYRAISAMGYEDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHKYRYRMGVGYKVAKDGTITEKYWEREEDDEIQERKPYKIEMVGVVCDAYLAVVRGIRRAIITRRAVRVSSQLKSHQRFAAAFPRYCQLVDNVRLYCTNAAGGPPLLIARKDGGGKLLIDPEEIKCLTNASSLNSEADSIYELYSDPSPMYESGTVWKETILAPYRAALQLELKMTIGND >Manes.16G035100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4268683:4291931:-1 gene:Manes.16G035100.v8.1 transcript:Manes.16G035100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTFMYGLVLGVLCISSIAKSAVPKDPFIGISPQDENYYKVSSESIKCKDGFKKFTKAQLNDDFCDCPDGTDEPGTSACPEGKFYCQNSGHIPVLLFSSRVNDGICDCCDGSDEYDGQVKCENTCWEAGKVARDKLRKKIATYKEGVALRKQEVEKAKVAITKDEAELSKLRNEENILEALVQQLKEHKERIEKAEENERLQKEKEEKEKIEAEEKAEREKRKVEEEAQQEKGEAMGKNNGGENPIESVAHDKIGALDDSSLDQDEPGEHADHVAEAEIDNDRSPVNELNQHAAHKEDTSISSESKGDTAVVSETGRDAGTEESHDQALKVVNDVSEDTEGLSKEELGRLVASRWTGSSESKTEGVDVAKDDHGHHEDHEEMPDMHDEEEEYDGYASETDDDSGKYDDVDTEDDTDEAYEEDTHDDTGSSYKPDPEDDLDLSDTTSPSNSSWLEKIQQTVRNILQAVNIFGTPVDKSEADKVRKEYEESSSKLSKIQSRISSLTQKLKHDFGTQKEFYSFYDDCFESKQSKYVYKVCPFKKASQVEGRTTTTMGRWDKFEDSYQSMIFSNGDRCWNGPNRSLKVKLRCGLKNELTDVDEPSRCEYVAFLSTPVLCLEEKLKEMEDKLDLMNKEKPQGHDEL >Manes.16G035100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4268687:4291931:-1 gene:Manes.16G035100.v8.1 transcript:Manes.16G035100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTFMYGLVLGVLCISSIAKSAVPKDPFIGISPQDENYYKVSSESIKCKDGFKKFTKAQLNDDFCDCPDGTDEPGTSACPEGKFYCQNSGHIPVLLFSSRVNDGICDCCDGSDEYDGQVKCENTCWEAGKVARDKLRKKIATYKEGVALRKQEVEKAKVAITKDEAELSKLRNEENILEALVQQLKEHKERIEKAEENERLQKEKEEKEKIEAEEKAEREKRKVEEEAQQEKGEAMGKNNGGENPIESVAHDKIGALDDSSLDQVNDVSEDTEGLSKEELGRLVASRWTGSSESKTEGVDVAKDDHGHHEDHEEMPDMHDEEEEYDGYASETDDDSGKYDDVDTEDDTDEAYEEDTHDDTGSSYKPDPEDDLDLSDTTSPSNSSWLEKIQQTVRNILQAVNIFGTPVDKSEADKVRKEYEESSSKLSKIQSRISSLTQKLKHDFGTQKEFYSFYDDCFESKQSKYVYKVCPFKKASQVEGRTTTTMGRWDKFEDSYQSMIFSNGDRCWNGPNRSLKVKLRCGLKNELTDVDEPSRCEYVAFLSTPVLCLEEKLKEMEDKLDLMNKEKPQGHDEL >Manes.16G035100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4268688:4291931:-1 gene:Manes.16G035100.v8.1 transcript:Manes.16G035100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTFMYGLVLGVLCISSIAKSAVPKDPFIGISPQDENYYKVSSESIKCKDGFKKFTKAQLNDDFCDCPDGTDEPGTSACPEGKFYCQNSGHIPVLLFSSRVNDGICDCCDGSDEYDGQVKCENTCWEAGKVARDKLRKKIATYKEGVALRKQEVEKAKVAITKDEAELSKLRNEENILEALVQQLKEHKERIEKAEENERLQKEKEEKEKIEAEEKAEREKRKVEEEAQQEKGEAMGKNNGGENPIESVAHDKIGALDDSSLDQDEPGEHADHVAEAEIDNDRSPVNELNQHAAHKEDTSISSESKGDTAVVSETGRDAGTEESHDQALKVVNDVSEDTEGLSKEELGRLVASRWTGSSESKTEGVDVAKDDHGHHEDHEEMPDMHDEEEEYDGYASETDDDSGKYDDVDTEDDTDEAYEEDTHDDTGSSYKPDPEDDLDLSDTTSPSNSSWLEKIQQTVRNILQAVNIFGTPVDKSEADKVRKEYEESSSKLSKIQSRISSLTQKLKHDFGTQKEFYSFYDDCFESKQSKYVYKVCPFKKASQVEGRTTTTMGRWDKFEDSYQSMIFSNGDRCWNGPNRSLKVKLRCGLKNELTDVDEPSRCEYVAFLSTPVLCLEEKLKEMEDKLDLMNKEKPQGHDEL >Manes.16G035100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:4268687:4291931:-1 gene:Manes.16G035100.v8.1 transcript:Manes.16G035100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTFMYGLVLGVLCISSIAKSAVPKDPFIGISPQDENYYKVSSESIKCKDGFKKFTKAQLNDDFCDCPDGTDEPGTSACPEGKFYCQNSGHIPVLLFSSRVNDGICDCCDGSDEYDGQVKCENTCWEAGKVARDKLRKKIATYKEGVALRKQEVEKAKVAITKDEAELSKLRNEENILEALVQQLKEHKERIEKAEENERLQKEKEEKEKIEAEEKAEREKRKVEEEAQQEKGEAMGKNNGGENPIESVAHDKIGALDDSSLDQVNDVSEDTEGLSKEELGRLVASRWTGSSESKTEGVDVAKDDHGHHEDHEEMPDMHDEEEEYDGYASETDDDSGKYDDVDTEDDTDEAYEEDTHDDTGSSYKPDPEDDLDLSDTTSPSNSSWLEKIQQTVRNILQAVNIFGTPVDKSEADKVRKEYEESSSKLSKIQSRISSLTQKLKHDFGTQKEFYSFYDDCFESKQSKYVYKVCPFKKASQVEGRTTTTMGRWDKFEDSYQSMIFSNGDRCWNGPNRSLKVKLRCGLKNELTDVDEPSRCEYVAFLSTPVLCLEEKLKEMEDKLDLMNKEKPQGHDEL >Manes.02G138300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10538445:10583029:-1 gene:Manes.02G138300.v8.1 transcript:Manes.02G138300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSAVKSDAETEELLDRMLTRLALCDDSKLQALLAKLLPLTLSSLSSQSTAVRNKVLEILSHVNKRVKHQSEIGLPLLELWKLYTEANATPMVKNFCIVYIEMAFERADVKDKENMAPMLLSNICKLPHQHQEIILRIATKVIGECHAGQIDEEAVKQYRLAIGSRDRELFIEFCLHLMLFQQPPQGGGCPPGLSTAQSDRVTGKHSLKSDVLLARKLGILNVVEAMELDPEVVYPIYLAASADCQEPVVKKGEELLKKKASTANLDDPKLMNKLFLLFNGTTGAENVAPESRVTPGSIALKAKLMSIFCRSITAANSFPATLQCIFGCIYGSNTTSRMRQLGMEFTVWVFKHAQINQLKLMGPVILNGILKLLDNFSNSESDVIARDTKTFSFQAIGLLAQRLPNLFRDKIDMAVRLFDALKVEAQSLRFIIQEATSSLAVAYKGAPATVLMDLEALLLNNSQVEQNEVRFCAVRWATSLFDLQHCPSRFICMLAAADSRLDIREMALEGLFPVKDEGRLTTQNLDLNYPKLGNMLACIFKQQPKLLDSNELREQKLIFPSKVYVAMIKFLLKCFESELEQNNSLERSSEFLSSVETMCLLLEHAMVYEGTVELHSTASKALITIASYLPEMIASHYGSRISWLKQLLSHVDIDTRESSARLLGIACSALPSTTSSDLICEILSSISGTNNLRFEAKHGALCAIGYITADCMSRTPAIQDTLFQNILKCLTDVANSETAFLASVAMEALGHIGLRVPLPPLCDSLDSAEILSLLREKLSKLLSGDDIKAIQKIVISLGHICVKETSSSHLNTALDLIFSLCRSKVEDILFAAGEALSFLWGSVPVTPDIILKTNYTSLSMTSNFLLGDVNLSLSKYSFNGKSEANEDFHATIRDAITRKLFDVLLYSSRKEERCAGTVWLLSLTMFCGHHPTIQQMLPDIQDAFSHLLGEQNELTQELASQGMSIVYELGDESMKKSLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGAIGESHSGGKLSTYKELCNLANEMGQPDLIYKFMDLANHQASLNSKRGAAFGFSKIAKQAGDALQPHLQLLIPRLVRYQYDPDKNVQDAMAHIWKSLVADPKKTIDQHLDIIIDDLTIQCGSRLWRSREASCLALADIIQGRKFEQIGKHLKKIWSAAFRAMDDIKETVRNAGDRLCRAVSSLTIRLCDVSLTEISNARKAMEISLPLLLMEGILSKVDSIRKASIGVVMKLAKGAGVALRPHLPDLVCCMLESLSSLEDQGLNYVELHAANAGIQTEKLENLRLSIAKGSPMWETLDLCINVVNTESLDLLVPRLAQMVRSGVGLNTRVGVANFINLLVQRVGTDIKPFANMLLRLLFPVVKEEKSTVAKRAFASACAMVLKHASPSHAEKLIEETTALHTGEGNAQVSCAILLKNYSSVASDVVGGYHAAILPIIFISRFDHDKHVSGLFEELWEDNTSGERVTIQLYLGEIVSLISEGLVSSSWARKRKSAQAICKLSEVLGESLSSYYHVLLEALMKEIPGRLWEGKDALLDAIGALSTSCHKAIASENPATPKAILEMVSSACTKKVKKYREAGFSSLDQVIKAFADPDFFNSIFPQLFGMCSSTVANISGPTPMASDAAKTGESDNVEDSSVPLEKILGCVLSCIHVARVNDILEKKKNLMDMLLASLSPGFQWTVKMSAFSLIKEICSRLSIPNDSEEISLRDSITSLVQELFQALTPKIVECIITVKIAQVHITASECLLGITKLCRQLASVHWTDVGFKEELLHLCEVEKNEEAKSYLKKCIDIFENLE >Manes.13G121150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:32835792:32845548:1 gene:Manes.13G121150.v8.1 transcript:Manes.13G121150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLVHQALVQNGAIFADRPPALRIEKINTSNQHTINSASYGPTWRFLRRSLTSGILYSLRVKSYSHSRKWVLQLLKDRLESEFRGSGRICVMNHLQYAMFCLLAVMCLGDKVSEDEIKKIEVAHRRFLSNFMGFIIFSFCPSVTKVVFRNFWKKYLQIRRDQEKN >Manes.05G186751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18715870:18717527:-1 gene:Manes.05G186751.v8.1 transcript:Manes.05G186751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLFIVLYVILNIFFSFTSLYCQAKFITRRSCIYFFSFLKGSFFFLESKSTLQAYSYPTRLINL >Manes.05G186751.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18715870:18717527:-1 gene:Manes.05G186751.v8.1 transcript:Manes.05G186751.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLFIVLYVILNIFFSFTSLYCQAKFITRRSCIYFFSFLKGSFFFLESKSTLQAYSYPTRLINL >Manes.05G186751.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:18715870:18717527:-1 gene:Manes.05G186751.v8.1 transcript:Manes.05G186751.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLFIVLYVILNIFFSFTSLYCQAKFITRRSCIYFFSFLKGSFFFLESKSTLQAYSYPTRLINL >Manes.09G013901.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:3128650:3131480:1 gene:Manes.09G013901.v8.1 transcript:Manes.09G013901.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMAWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEEALFLTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDVYSLSILINCFCPLHLVDFGFSVFGKMLKFGLEPDVVTFNTLINGLSIESKMDKALEFFDDMVARGYQPNVRTYSVIINVLCKFGKTNVAIGLLKEMADRGCEPDVVTYNAIIDTLCKDELVGEALELFSQMRNKGISPDVITYTGLIHGVCKLGQKNQALALMNEMVEQNISPDVYTFSVLIDALCKDGMVSEAQNTFNIMIQRGVEPNVVTYNSLIDGLCISDHFKEALALLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAQNTIKIMIQRGVEPDVVTYNSLMDGYCLRKQIDKARKVFALMMTNEIADILSYSILINGYCKCKMIDDAKQIFDEMSHKGLVPTAVTYHTLIKGMFQAGRPQNAKELFKDMCSYGKQPNIVTFSIMIDGLCRQGNLDEALTLLKAMEKSQLKPNVVIYSSLITGMCKVGKINDAKELFSSLFEIGLQPDVCVYNAIMKGLCQQGLMDEAYKLFKDMEKVGCLPNSCCYNIIIQGFLKHEDLPKASELINEMVDKGFSADDATTELVVHLSRNNNLILRLLKARNEGSAN >Manes.17G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22809113:22811334:1 gene:Manes.17G033000.v8.1 transcript:Manes.17G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKGKWRPEEDHKLICYIRRYGIWNWNEMPRAAGLSRSGKSCRLRWMNYLRPFIRHGNFSKEEEDTIFKLHEKLGNRWSAIAAKLPGRTDNDIKNYWNSNLRKRLRNTASATKLDGLQTSGGQLKKHLSEGTVPKEIPKSSSSNMANKTDINQDVAHQNITAGLSKVSLDFKSLQGQSFSMEGLHIREDNGKILHPFASDDDLLGFFPPMQNIDFSGELQYLTWHEEPVYSYNYQDNLFEYPTLWYDEYRVIEENNNNELSELSGEVFQSLWEQQSCPIMEDLYTAKDESEREGAGHPFEDFSACYDSNQLSSGEIQFLWDYPFFL >Manes.10G046800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5104249:5108464:-1 gene:Manes.10G046800.v8.1 transcript:Manes.10G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPANFMDEIDCGSFFDHIDDLLDFPTDDIEACLSGPDCTTTTKNNNANANSFPSIWSTQSDSIPCSDSVFSNNSASDLSAELSVPYEDIVQLEWLSNFVEDSFSGGSLTMNKEESSSANKNSSHHQFQTSSPVSVLESSSSCSGEKIAPRSPEIIASCRRGRARSKRPRPATFTPRPTMQLISPSSSVTESQQPFATLKVPSDSENYAESRLLIKLPRQVPLEHKKKKKIKITVPLGPAEMNENSTPQAAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVLEMRGKGIDKLPIAGNAAMMSNSPELIPNNSSNLAMDYM >Manes.09G105300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30638822:30646321:1 gene:Manes.09G105300.v8.1 transcript:Manes.09G105300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMNPTSRDRLAILVSSSIDIPSKLENLRRLNQNLLEEDDVSSLSEFLPRLFKLQSDQHSPVRKCVAQIIGEIGLRHLEFVPEIVPVLISVLEDRAPAVARQAITCGVNLFRSTLQKLALQGLYTSELDDLLKSSWSSMLEFKEKMYSIAFQPGSGGVRLLALKFVEAVILLYTPDPNGSPEPPRHEGEPVEFNVSWLRSGHPVLNVGDLSIEASKRLGLLLDQLRLPTVKSLNNLVIVVLINSLATIAKKRPPYYGRILPVLLGLGPSSSGIEVMNASGANHALKSAFLTCLKCTHPGASPWRDRLVGALREMKAGGVADEALHHILKINGSSEEREEVSTIVMNEVQTSDGAQGKLGSKRSGAEDSSELAEANDVSGKRARPMPSVSEEPNKELNRNVSGSQDDVPSDGPTVNGEDDTGPVQQLVAMFGALVAQGEKAVGSLEILISSISADLLAEVVMANMRYLPTSHPQAEEDDESLVNMTIIGSNTQAKYPSSFLNNVLSLSTSFPPIASQLNAQHSASNDIERMSRVEELHAVPVADSTVVYSGISHGAENEMFPASLPLPASLPSPTNVMSSRTGMDIPSDSLGLGDLESEIPGLDSSAHNDGCSETVGTLSLASTDLEDASQEQVTSLDGSSTLDLHPAVSTDRSDELSPKAAVAESNCLISSTATTVGLPCTFVLPKMSAPVVDLAEEQKDELQNLAFMHIVEAYKQIAVAGGSQVRFSLLAYLGVEFPSELDPWKLLQKHVLSDYTGHEGHELTLRVLYRLFGEVEEERDFFSSTTAASAYEMFLLNVAETLRDSFPPSDKSLSRLLGEAPSLPKSVLNLLEALCSPGNDKAEKEFPSGDRVTQGLSTVWSLILQRPPMREVCLRIALQVFSSLSLSLSQISLH >Manes.09G105300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30639113:30650804:1 gene:Manes.09G105300.v8.1 transcript:Manes.09G105300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMNPTSRDRLAILVSSSIDIPSKLENLRRLNQNLLEEDDVSSLSEFLPRLFKLQSDQHSPVRKCVAQIIGEIGLRHLEFVPEIVPVLISVLEDRAPAVARQAITCGVNLFRSTLQKLALQGLYTSELDDLLKSSWSSMLEFKEKMYSIAFQPGSGGVRLLALKFVEAVILLYTPDPNGSPEPPRHEGEPVEFNVSWLRSGHPVLNVGDLSIEASKRLGLLLDQLRLPTVKSLNNLVIVVLINSLATIAKKRPPYYGRILPVLLGLGPSSSGIEVMNASGANHALKSAFLTCLKCTHPGASPWRDRLVGALREMKAGGVADEALHHILKINGSSEEREEVSTIVMNEVQTSDGAQGKLGSKRSGAEDSSELAEANDVSGKRARPMPSVSEEPNKELNRNVSGSQDDVPSDGPTVNGEDDTGPVQQLVAMFGALVAQGEKAVGSLEILISSISADLLAEVVMANMRYLPTSHPQAEEDDESLVNMTIIGSNTQAKYPSSFLNNVLSLSTSFPPIASQLNAQHSASNDIERMSRVEELHAVPVADSTVVYSGISHGAENEMFPASLPLPASLPSPTNVMSSRTGMDIPSDSLGLGDLESEIPGLDSSAHNDGCSETVGTLSLASTDLEDASQEQVTSLDGSSTLDLHPAVSTDRSDELSPKAAVAESNCLISSTATTVGLPCTFVLPKMSAPVVDLAEEQKDELQNLAFMHIVEAYKQIAVAGGSQVRFSLLAYLGVEFPSELDPWKLLQKHVLSDYTGHEGHELTLRVLYRLFGEVEEERDFFSSTTAASAYEMFLLNVAETLRDSFPPSDKSLSRLLGEAPSLPKSVLNLLEALCSPGNDKAEKEFPSGDRVTQGLSTVWSLILQRPPMREVCLRIALQSAVHHLEEVRMKAIRLVANKLYPISSIAQKIEDFAKEKLLCIISRDVTEGTDAEGLSSEPRKDFNLEKTSNDHQSVSATGKDISSDQSFTSESESSRSIPEAQQCMSLYFALCTKRHSLFREIFLIYKNTSKAVKQAVHRHIPILVRTMGSSSELLEILSDPPVGSENLLTQVLQTLTDGIVPPPELLFTIKKLYDSKLKDIEILIPVLPFLPRDEVSSNSYSECKCIFSYLMHMMLKNFGENFEYSCISLLIFTIGVHDYLYTENHI >Manes.09G105300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30638822:30668078:1 gene:Manes.09G105300.v8.1 transcript:Manes.09G105300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMNPTSRDRLAILVSSSIDIPSKLENLRRLNQNLLEEDDVSSLSEFLPRLFKLQSDQHSPVRKCVAQIIGEIGLRHLEFVPEIVPVLISVLEDRAPAVARQAITCGVNLFRSTLQKLALQGLYTSELDDLLKSSWSSMLEFKEKMYSIAFQPGSGGVRLLALKFVEAVILLYTPDPNGSPEPPRHEGEPVEFNVSWLRSGHPVLNVGDLSIEASKRLGLLLDQLRLPTVKSLNNLVIVVLINSLATIAKKRPPYYGRILPVLLGLGPSSSGIEVMNASGANHALKSAFLTCLKCTHPGASPWRDRLVGALREMKAGGVADEALHHILKINGSSEEREEVSTIVMNEVQTSDGAQGKLGSKRSGAEDSSELAEANDVSGKRARPMPSVSEEPNKELNRNVSGSQDDVPSDGPTVNGEDDTGPVQQLVAMFGALVAQGEKAVGSLEILISSISADLLAEVVMANMRYLPTSHPQAEEDDESLVNMTIIGSNTQAKYPSSFLNNVLSLSTSFPPIASQLNAQHSASNDIERMSRVEELHAVPVADSTVVYSGISHGAENEMFPASLPLPASLPSPTNVMSSRTGMDIPSDSLGLGDLESEIPGLDSSAHNDGCSETVGTLSLASTDLEDASQEQVTSLDGSSTLDLHPAVSTDRSDELSPKAAVAESNCLISSTATTVGLPCTFVLPKMSAPVVDLAEEQKDELQNLAFMHIVEAYKQIAVAGGSQVRFSLLAYLGVEFPSELDPWKLLQKHVLSDYTGHEGHELTLRVLYRLFGEVEEERDFFSSTTAASAYEMFLLNVAETLRDSFPPSDKSLSRLLGEAPSLPKSVLNLLEALCSPGNDKAEKEFPSGDRVTQGLSTVWSLILQRPPMREVCLRIALQSAVHHLEEVRMKAIRLVANKLYPISSIAQKIEDFAKEKLLCIISRDVTEGTDAEGLSSEPRKDFNLEKTSNDHQSVSATGKDISSDQSFTSESESSRSIPEAQQCMSLYFALCTKRHSLFREIFLIYKNTSKAVKQAVHRHIPILVRTMGSSSELLEILSDPPVGSENLLTQVLQTLTDGIVPPPELLFTIKKLYDSKLKDIEILIPVLPFLPRDEVLMTFPHLVNLPLDKFQPALARILQVSHKNKINPQFLSL >Manes.09G105300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30638822:30668078:1 gene:Manes.09G105300.v8.1 transcript:Manes.09G105300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMNPTSRDRLAILVSSSIDIPSKLENLRRLNQNLLEEDDVSSLSEFLPRLFKLQSDQHSPVRKCVAQIIGEIGLRHLEFVPEIVPVLISVLEDRAPAVARQAITCGVNLFRSTLQKLALQGLYTSELDDLLKSSWSSMLEFKEKMYSIAFQPGSGGVRLLALKFVEAVILLYTPDPNGSPEPPRHEGEPVEFNVSWLRSGHPVLNVGDLSIEASKRLGLLLDQLRLPTVKSLNNLVIVVLINSLATIAKKRPPYYGRILPVLLGLGPSSSGIEVMNASGANHALKSAFLTCLKCTHPGASPWRDRLVGALREMKAGGVADEALHHILKINGSSEEREEVSTIVMNEVQTSDGAQGKLGSKRSGAEDSSELAEANDVSGKRARPMPSVSEEPNKELNRNVSGSQDDVPSDGPTVNGEDDTGPVQQLVAMFGALVAQGEKAVGSLEILISSISADLLAEVVMANMRYLPTSHPQAEEDDESLVNMTIIGSNTQAKYPSSFLNNVLSLSTSFPPIASQLNAQHSASNDIERMSRVEELHAVPVADSTVVYSGISHGAENEMFPASLPLPASLPSPTNVMSSRTGMDIPSDSLGLGDLESEIPGLDSSAHNDGCSETVGTLSLASTDLEDASQEQVTSLDGSSTLDLHPAVSTDRSDELSPKAAVAESNCLISSTATTVGLPCTFVLPKMSAPVVDLAEEQKDELQNLAFMHIVEAYKQIAVAGGSQVRFSLLAYLGVEFPSELDPWKLLQKHVLSDYTGHEGHELTLRVLYRLFGEVEEERDFFSSTTAASAYEMFLLNVAETLRDSFPPSDKSLSRLLGEAPSLPKSVLNLLEALCSPGNDKAEKEFPSGDRVTQGLSTVWSLILQRPPMREVCLRIALQSAVHHLEEVRMKAIRLVANKLYPISSIAQKIEDFAKEKLLCIISRDVTEGTDAEGLSSEPRKDFNLEKTSNDHQSVSATGKDISSDQSFTSESESSRSIPEAQQCMSLYFALCTKRHSLFREIFLIYKNTSKAVKQAVHRHIPILVRTMGSSSELLEILSDPPVGSENLLTQVLQTLTDGIVPPPELLFTIKKLYDSKLKDIEILIPVLPFLPRDEVLMTFPHLVNLPLDKFQPALARILQGSPHSGPVLTPAEVLIAIHGIDPDKDGIPLKKVTDACNACFEQRQIFTQQVIAKVLNQLVEQIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWKYPKLWVGFLKCALLTKPQSFSVLLQLPPQQLENALNRTAALKAPLVAHASQPNIKSSLPRSILVVLGIAPDPQASSQAQTSQTQTGDASNSDKDVPAEKSKESSSAS >Manes.17G115100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32259628:32261733:1 gene:Manes.17G115100.v8.1 transcript:Manes.17G115100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIAPAYPFSVNKASHVHGGFNQNNRWRQRCPVIRCRVRTDRNYYELLGVSVDSDAREIKEAYRKLQKKYHPDIAGQKGHEYTLLLNEAYKILMREDLRRDYDVSIGQLRMRHGRNNNKNVMGFSSWKGPFRPQALFVDENACIGCRECVHNASKTFVMDEAIGCARVKVQFGDSDQNIQVSVDSCPVNCIHWVDKEELAVLEFLTQPKLKEGYGVFGQGWERPSNIFAAAKSFNKQLKRQPENFRSNVQRATVEEETPAQAEARANATMKIKMEKFSTIWNLVKRFLWFKNQE >Manes.09G169600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36156883:36161165:-1 gene:Manes.09G169600.v8.1 transcript:Manes.09G169600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSSQIRHMMLVLTVSIFIFLSLQRTHILIADASAFDAAECFKLQSPQELEFMEESGEDGNEKQIEKVSGEDENEEYASLIVQKFRALLGLTGSKTGNPPSEFQSPASSPSTSIEAEAPAPAPATASVLPIQAHSHSPFHHSNSILPAPKILKTHREKSRFRRILAGVLVSAGAAFFVSVLGLIWVSGKFRKKKRISARIMSVYKKKVGRTRGKSKYTFTQKPASKESLNPVLDLLYLKSSERDLELDDTCLKQTTVDTFHERKESNQELMIKSEIDNANSSSTREIMSVHEDVESIKFESDGGNSSPAEKVIPIEGHSSDDESFHSFVDSRSSYFRFSSASAGSLTEISEISPSKVTKISSSSLIATSSTKLDIPQATSECISTPALNLQPKFPQSPGIRKADNLTVSSPSDSDVNFAPPPPPPSQAPSALAPTMPFHISSSSPLPNLSSPRKSDSSSGSNQTVQNELVSSAQTSPKTLQAFSSIPSSSSPCPQGNSSSAKGPPPPPCPPPVLQGNSSSTKGPPPQPCSPPSTKGNSSSTKGPPPPPSQLPQYSQGKARVPLPKLKPLHWDKVRAAPDRSMVWDKIRSSSFELDEEMIESLFGYNLQVTMKNDEEKSKTPCPNKHVLEPKRLQNLTILSKALNATPEQVCEALIRGDGLSLQQLEALTKMVPTKEEEAKLADYTGDINELGPAEKFVKLALTIPFAFLRVEAMLYRETFEDEVVHLRNSFSMLEDACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLADVKGTDGKTSLLHFVVQEIIRTEGVRVSDSIMGRISQKNRSKTNEQREEDYRRMGLELVSGLSTELYNVKKTATIDLDVLASSVSNLSEGMSKLQHLIIKDLSEDEKSGSFVHSMKTFINYAERNLKELQEDEHRVLLHVREITEYFHGDVSKEEANPLRIFVIVRDFLGMLDQVCRELSRLKVPRSPNPLAPFR >Manes.04G063917.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23563871:23577289:-1 gene:Manes.04G063917.v8.1 transcript:Manes.04G063917.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPFKFTWRINNFSTLTPKELYSEVFYAGGCQWCLLVFPKGNKVDYLSIYLAVADSTSLPQEWSRDAKFSFAVINQINKSLTVRKDTQHVFKAIEKDWGFTSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVKHYSKPEPKKQEAKDETKPSEPVAAPPTSQVPSSEKVVDTKAKVDTKPLNQTKEGIQATATPTSDKEVIKSSPPPSVTVETKILPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQKQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESVPMVITTAETAQARRTSLSEKTADLDAKLAQRHEELSSKEAEFLRLSTEEEKLEAQIQLLIKQKEDVVAHKKSVLVELEKSNKEVSKDLEEWKKLESEIKQANVNWLGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.18G049200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4236048:4238621:1 gene:Manes.18G049200.v8.1 transcript:Manes.18G049200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDKQLRELLQEEQEPFILELYLVERGYLRKSFNGGSSFPLCHGDSRKSVKRSFSSGLKRSKMGVPQFPKALRSVYDQVISINERLRIKTCNHGDGNVDVTKNKDRQNQEAVEFERFSSASSSTVFNSCCGSDAEETSTSQLKDHISFTANTSQSLKLCNLLEKEAAGDGKFQWQCIEESRQLSPVSVLEEVASHRDKTYFRSLKEKNTSKTCGLSPKKVTEDSVLSACLWKLLFHSAAEKPTLAGVSEIQELVQSNLSSQHLKSKSSLQQTKQLLFDCVKEIVENHGRKKEKRQQQRKYLASEELGKLIGEKIKFLGKQSGEESTLRELLELEFLDSAQEWNSYKKQRRDIGFQIGDAILEEISSEVVKEMIDLLSPITRY >Manes.18G049200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4236048:4238621:1 gene:Manes.18G049200.v8.1 transcript:Manes.18G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDKQLRELLQEEQEPFILELYLVERGYLRKSFNGGSSFPLCHGDSRKSVKRSFSSGLKRSKMGVPQFPKALRSVYDQVISINERLRIKTCNHGDGNVDVTKNKDRQNQEAVEFERFSSASSSTVFNSCCGSDAEETSTSQLKDHISFTANTSQSLKLCNLLEKEAAGDGKFQWQCIEESRQLSPVSVLEEVASHRGYPLDNNKTYFRSLKEKNTSKTCGLSPKKVTEDSVLSACLWKLLFHSAAEKPTLAGVSEIQELVQSNLSSQHLKSKSSLQQTKQLLFDCVKEIVENHGRKKEKRQQQRKYLASEELGKLIGEKIKFLGKQSGEESTLRELLELEFLDSAQEWNSYKKQRRDIGFQIGDAILEEISSEVVKEMIDLLSPITRY >Manes.09G099400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29775822:29780478:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLKYR >Manes.09G099400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29776036:29780426:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLVQAFFICVTVISCQKSSDLAEQINMSKEKFLQECRNTDDGMKKIRTTVLGEAPVKYTPCPPVSPVGRV >Manes.09G099400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29777209:29780478:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLKYR >Manes.09G099400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29775767:29780536:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLVQAFFICVTVISCQKSSDLAEQINMSKEKFLQECRNTDDGMKKIRTTVLGEAPVKYTPCPPVSPVGRV >Manes.09G099400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29775823:29780536:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLECRNTDDGMKKIRTTVLGEAPVKYTPCPPVSPVGRV >Manes.09G099400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29775823:29780536:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLECRNTDDGMKKIRTTVLGEAPVKYTPCPPVSPVGRV >Manes.09G099400.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29775822:29780478:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLKYR >Manes.09G099400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29777209:29780478:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLECRNTDDGMKKIRTTVLGEAPVKYTPCPPVSPVGRV >Manes.09G099400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29775767:29780536:1 gene:Manes.09G099400.v8.1 transcript:Manes.09G099400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSIGFQKSSKDQQNSKIVKDAVLSTHATQSIKHQEKLKATSCISKPYDDLPHELREHTNAGIMVQQKAAVNCQQLSVMVRATKDDELVKHMSNLPGYLQRVEKTENIQDKALNVGVLDWSRLENWKYSKKGTPMRGGNNASLPSSNLSTRTTARTSTFHGQAHDGTLAYQTKQRPSPPCRNNSSQNDGISRDGKSSVQNATLFKDVADASKSHFDGQKKALWSHKSFDGNSSDMTFEKGRRRELQDKMTSKVQNLSFNSRHNGMPIGPKESVNACGGEGKQRVEEMQEMYSKRKALEQKITSGMGASSSKLKIYDVSPPAKGKRNVDSSGMKKRMEQQQSTTYLSSQYQADEKKKIVLLFPKKSSHNSLQEQPTSSFDGDVAEANQNTLSDGFSDKLYSSELNYEIPHSCPLPSRAEINIERREMAPDAINTRDAELSSNTINSIKSSNGNLVETSKILDQDAPEVAAKKGRHPSPNRRFSFSLGQMTRSFSFKESSTVPQLSSTYVSVKSGPVISEASAGLDNTNREKASCHRARSSPLRRLLDPLLRSKGLTPNYSAEADQPFKGSLNSCSFKPIKATDSLQNEKCEASNIQAHVLLTMKNGLPFFRFVSNNNSIIVAAPVKTLMSPEKNDQGCNYALYTIEEIKKKSSSLISKVSKERSCGFVYNVVGQMKVNSSSLLDLSEQNSSTQYMVKESVLYGDEQRQTAQVSPKLMPNTELAAIVVKMPSGSIGFDIDKQETEKEKNIMEKGFSNLQENEHNNNCTVILPGGVHSLPATGVPSPLIHRWRSGGSCDCGGWDVGCELRILSTEIKHKKLPRTSNTSLTLNPFELFLQGEAQDNPIFSMLPIEKGIYSIEFSPSISLVQAFFICVTVISCQKSSDLAEQINMSKEKFLQECRNTDDGMKKIRTTVLGEAPVKYTPCPPVSPVGRV >Manes.10G068200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:10299743:10303374:-1 gene:Manes.10G068200.v8.1 transcript:Manes.10G068200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESMVEVGASMQDQAVNPHLPPPPPPHTHRRPRVREVSSRFMSPVAPSSSSSSSPLPNNKQRSSSVQKQRQTEPLSCSDENRPTMTDFTRSPPQRKHQHRAVMKLFKENGASSRPDQPTSRSHFRPDTPTVATTATSSSKLRLMQQRSTPSTISAAAKLLQSSGMSSSHLGSSNLIESSPSPSPSPLTSPSSSPSRSTCSGCDGHENCENHNIEGNHLSQSSSTQSLPDLRSSMPETGMLPKVSSRFLADRNLNRPNGTAADSSKFFASPCSRSLDFPQSSTFEYSLIHSMKGKQYTNSVKTGSGLPLPPVPHAKHVTDFSRKGRKIVSHQEAVHSLRLLHNHYLQWRFVNAKAEASIKSQKRETERTIYSLGVKISELYDSVKRKRIELGLLQRVKTLYTILEAQMPYLEEWSALEPDYSVSLSEAIQALLNASLRLPISGNVKTDIREVGEALNSSMKLMELIASHIQSFMPKAEQMEILISELARVSGGERALIEECGDLLAMTYKSQVEECSLRGQIIQLNRIHGQLQG >Manes.15G045100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3444489:3447678:-1 gene:Manes.15G045100.v8.1 transcript:Manes.15G045100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Manes.14G021400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2108390:2112980:1 gene:Manes.14G021400.v8.1 transcript:Manes.14G021400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDTATAIRFLAGAKFCSSTAISHYRHAWNLDEVNAAHVSACPLIRSGSFAVPWGRRRRLNGGSFLRSNLLKNRIRASSGHLGSASGPSKPTDGRLQYHPFEEIVESTSENTGDAMLSPQETARTIVEQAIVGFDAMEMISEMELLGSSEVDFGIEEIEDEDGDVEDDDDEDEDDEDEDYDEDLVAVIEDEGEEYDSDEALGDWAKLETMRTSHPMYFAKKLAQAALDDPIDWMEQPPAGLAIQGLIRPAFIEEHSDIQRHMSGNQSHHADKNEIGKNLDSRLEDLGGINGHKHESGSSEDISTCAEELKKDQTPRNGTSFYKMEMIKIQLISAHGHHTTVEAEDFREAKPDAIAHSAGKILSRLKAGGEKISQALKSLCWRCKGIQVEEAGVIGVDSLGFDLRVCSETQIQTLRFGFNSRATSEYSAERQLNDLLFPRIPHRVPKKKQTRQREV >Manes.14G021400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2108390:2112980:1 gene:Manes.14G021400.v8.1 transcript:Manes.14G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIDTATAIRFLAGAKFCSSTAISHYRHAWNLDEVNAAHVSACPLIRSGSFAVPWGRRRRLNGGSFLRSNLLKNRIRASSGHLGSASGPSKPTDGRLQYHPFEEIVESTSENTGDAMLSPQETARTIVEVNSKAILMLTGLINGDIHENIIWPDLPYVTDEQGNIYFQVKNDEDILQTLTSENNFVQAIVGFDAMEMISEMELLGSSEVDFGIEEIEDEDGDVEDDDDEDEDDEDEDYDEDLVAVIEDEGEEYDSDEALGDWAKLETMRTSHPMYFAKKLAQAALDDPIDWMEQPPAGLAIQGLIRPAFIEEHSDIQRHMSGNQSHHADKNEIGKNLDSRLEDLGGINGHKHESGSSEDISTCAEELKKDQTPRNGTSFYKMEMIKIQLISAHGHHTTVEAEDFREAKPDAIAHSAGKILSRLKAGGEKISQALKSLCWRCKGIQVEEAGVIGVDSLGFDLRVCSETQIQTLRFGFNSRATSEYSAERQLNDLLFPRIPHRVPKKKQTRQREV >Manes.02G105900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8255900:8258243:-1 gene:Manes.02G105900.v8.1 transcript:Manes.02G105900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMWNAPPGFRPTKSAPTSPAKPLGVSRTRSESFHAIHKVPVGDTPYVRAKNVQLVDKDPEKAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYVEAEDAYRRALSIATDNNKMCNLGICLMKQGRIAEAKETLRLVKPAVADGPRGVDSHLKAYERAQQMLKDLESEMMNKGGDRVEQSRLFDAFLGSSSIWQPQPCKDHSNLSTTNASKLHDDFADENIDSNIVAYQTVLSQQKSIKQFATFGNSLNVDAPPFYSWKMAKEPTGNQLHETLKRTRSGNSANSSRGNEMGVLNKPPVEPDNSENKSRRLSDETEGLSDETEGRLSELLPGNEDFEEAILAAVLGPTNEAGKPVETGITSIFQATTEKRLEVFRDITLSLSPRA >Manes.02G105900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8255900:8258243:-1 gene:Manes.02G105900.v8.1 transcript:Manes.02G105900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLYLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYVEAEDAYRRALSIATDNNKMCNLGICLMKQGRIAEAKETLRLVKPAVADGPRGVDSHLKAYERAQQMLKDLESEMMNKGGDRVEQSRLFDAFLGSSSIWQPQPCKDHSNLSTTNASKLHDDFADENIDSNIVAYQTVLSQQKSIKQFATFGNSLNVDAPPFYSWKMAKEPTGNQLHETLKRTRSGNSANSSRGNEMGVLNKPPVEPDNSENKSRRLSDETEGLSDETEGRLSELLPGNEDFEEAILAAVLGPTNEAGKPVETGITSIFQATTEKRLEVFRDITLSLSPRA >Manes.17G102900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31064348:31065244:1 gene:Manes.17G102900.v8.1 transcript:Manes.17G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPQNSYTEVKSHRPYIAEKPWFIAVLIAASFTAALLIAGSFTGSSSRSFLCSLTGSYQTSTAEDYTTTPTQLETILHYATSRVVPQQSIDEITLSFDVLKTLAPCNFLVFGLGHDSQMWNSLNPRGTTIFLEEDPKWVHAVVQGAPYLRAYVVKYPTQLKEADKLLSFYKKEKECMPPDVRLKGNTRCKLALSMLPEEVLNKEWDVIMIDAPRGYFAEAPGRMGAIFSAAVMARGRTRPGVTHVYLHDVDRKVEKTYAEEFLCKKYLVKGVQRLWHFEIPPLSNRSSSDAAASSFC >Manes.15G149700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12414412:12420502:1 gene:Manes.15G149700.v8.1 transcript:Manes.15G149700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVSAGYAALEFTRRGVSRGELCIISEEPVPPYERPALSKGCLLPETPARLPSFHTCVGANEERLTPKWYKEHGIELVLGTRVKSADVKRKTLLTAAGETISYKILIIATGAQALKLEEFGVSGSNAENVCYLRDLADAERLVNVMQSCAGGNAVVIGGGYIGMECAASLVINKINVTMVFPEAHCMARLFTPKIASYYEDYYKSKGVKFIKGTVLSSFDMDSNGKVTAVNLRDGSRLPANMVVVGIGIRPNTSLFEGQLTLEKGGIKVNGRMQTSNSSVYAVGDVAAFPVKLFGETRRLEHVDSARKSARHAVSAIMEPKKTDDFDYLPFFYSRVFALSWQFYGDAAGEAVHFGDYSGSTIGAYWISKGHLVGSFLEGGSKEDYEAIANATRLKPAIKDLTELERQGLGFALTISENPLRSPPGNVGGSSLILEKPLYAWHATAGVIVAASVAAFAYWYGRRRRRW >Manes.01G074700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27742647:27745372:1 gene:Manes.01G074700.v8.1 transcript:Manes.01G074700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLGIATSTIVTVRTRPITRTTPRPRISCIGFDPEGLFGPPQTGHIARREFKRRLERDAELREALEQQAHEEKERRRALRRSRVMPDTPAELVEYFLDTEAQEIEFEISRMRPRLNQEFFSHLQFELGQLRFAVSKTEDMEDRLIELEALQKALLEGTEAYDKMQGELVKARNNLTRILTSKDVKATLLEMVEKNEINRSLLTLLDENISSANQSNQKEAAAYMEKLRAAMLKYITV >Manes.10G056400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:12525468:12529873:1 gene:Manes.10G056400.v8.1 transcript:Manes.10G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGASLSFLILTLSFWTNMSSSQILFQGFNWESWKKEGGWYNFLRNSIPELASSGITHVWLPPSSHSVSAEGYLPGRLYDLNASQYGNQDDLKALIRAFHNVGIQCIADIVINHRCAEKQDDRGIWSIFEGGTPDDRLDWGPSFICSDDTLYSDGKGNPDTGADFNAAPDIDHINLRVQRELSDWMNWLKNEIGFDGWRFDFARGYSPSFTKIYVANTKPSFAVGEIWKDLAYGNDGKPEYNQDAHRLDIVEWIRVAGEDVTAFDFTTKGILQAAIEGELWRLNDSNGGPPGVIGLLPGSSVTFIDNHDTGSTQNHWPFPSDKIMQGYAYILTHPGIPSIFYDHFFDWGLKEEISKLIAIRTRNHIRPKSVLRILVSDADLYVAVVDEKIIAQIGPRSDIGNLVPPTFQLTASGQDYTVWEKKNIRR >Manes.02G102600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8026412:8032204:-1 gene:Manes.02G102600.v8.1 transcript:Manes.02G102600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAASHLRLVSSASSRLHHQHGFPPHRPAKFFFSSSSTSMPGFGTGVALERKKKLSSFVEAAAVRHFVGSLTRAEGLRFAVVVARFNEIVTKPLLEGALETFKRYSVKEEDIDVVWVPGSFEIGIVAEKLGKSGNYHAILCIGAVIRGDTTHYDAVANSAASGVLSAGINSGVPCVFGVLTCDDMEQALNRAGGKSGNKGSEGALTAIEMASLFQHHLN >Manes.01G102500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30231376:30234566:1 gene:Manes.01G102500.v8.1 transcript:Manes.01G102500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGDHPGLYLVLLLHLLPSVTCIKNSQPYTPPDLILLDCGASSSSTSLDGHNWVGDANSKFHASNSETASSAFVASQQDPSVTQVPYMTARVFHSQFTYTFPVSPGPKFVRLYFYPATYSTLDISKSFFSVSANNYTLLNNFSTSLAVSAVNPSVASLIKEYIITVWDNQKLDLTFSPSPSSFSFINGIEIVSMPKDLYVSGNGNPLPYVGAENNPFYLDNTTALETFYRLNVGRKDISSKDDTGMYRTWLQDSNYIFGGGLGVTVIPLDVKVQYTAKTPPYTAPVMVYKTMRSMGGDPNVSLRYNLTWYFSVDAGFNYLLRLHFCEFRSEVTDINQVVFFIYINDQTGEERADVILWSGGNSVPVYKDYVVWIPKGSHSKHDLWLALHPHYDGKYTYADAFLNGLEIFKLNNSDGSLASFGQEVLAAPPPLEGLPKFRGKANKKGSLVVIVGCSVFSGVLVLSLVICFFVVKQRRAVVGVVFAFTIVLLLSVYRRKPRAKDKSFLLPIPQASPPPIDICRQFTIFEIKEATSDFNDGNLIGSGGFGKVYRGFIAYGSIPVAIKRLDSSSNQGAREFKTEIEMLSQLYHVNLVSLVGYCIDEGEMILVYDYMINGTLREHLYETNNNPLPWKQRLEICIGAARGLHYLHTGLSHTIIHRDVKTSNILLDENWVARISDFGLSRIGLNDSAVSTVVKGTWGYLDPEYARFQQLTEKSDVYSFGVVLFEVVCGRKPLDHKLEHEQMNLANWARECIRNGTIYIIIDPYLKAKIAPECFRKYVEIAESCVRNQGSERPSMEDVMGELEFALELQETADAVEERSNPGSEYVYPEVSFYASRYTNPGGRELDQVSTSAYTTWDTDNSTTPCYSH >Manes.11G018300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2066770:2069390:1 gene:Manes.11G018300.v8.1 transcript:Manes.11G018300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLEGLPMLCEGGVADNTTVEEVKFADSEGVKVADKSIGGDVEEGSDGGDVEEGSDGEDVEEGSDGEEDSSDSENTTKRILVRNKDEPYGYDDPDYEGNQRDVYINYRRQYKESDGFDFDDYPKSGDGEFFLGVAFHVDLEDDDDEFTIGCKEAIAYAIEEQNKKGANLRLIDIIKANAESVALYHITFRAEDISLGEVKVYQTRVFHSLVPGHKETEVEIFRLKEPTNKA >Manes.12G094900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:20867896:20868183:1 gene:Manes.12G094900.v8.1 transcript:Manes.12G094900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVISQSQQSLTGDDTENQTQIGPVSTQLHLKSSSQPCSKALEKEVILRRLRHHKSLNKVRNAFQALASSCEREAMVSANQQMWLDPEDAFSCP >Manes.05G172201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28688493:28689700:1 gene:Manes.05G172201.v8.1 transcript:Manes.05G172201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILENSYGFCGNKFTMIGAMFCWRTRRSLLGNPSLSILAERERECVEDIDEWLEAKAEKGKFPH >Manes.18G104800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10170511:10174538:-1 gene:Manes.18G104800.v8.1 transcript:Manes.18G104800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDLSTTSTTTSIATPLMEDTVDGTVDYNGRPAHRSSSGGWRSASFIIAVEVAERFAYYGISSNLISYLTSSLGQSTAIAAENVNTWSGTATLLPLLGGFVADSFLGRHRTILFASLIYILGLSLLTLSATLTSVDVSECENTKNGTSCSPPTFQAILFFFSLYLVAVGQGGHKPCVQAFGADQFNGLDPKESVAKRSFFNWWYFSYSAGINLSVIVVVYIQEKLNWVLGFGIPCILMFAALVVFLLGSRTYRYSIKGNERNPFIRIGRVIVRAARNWRSAPFGVAADEETYMDDQNFEQFKFLNKALLARDGSMEDQEKCSVSDVKETKALLSLIPIWATCLAYAIAFAQSATFFTKQGVTMDRTIFAGFQIPAASLQTFIGFAIILSIPIYDRIFVPLASSLTGNLSGIAMLQRIGTGMIISTLSMVIAALVEKKRLKIAEEHGMVDLPNATIPMTIWWLVPQYVLCGVADVFTIVGLQEFFYDQVPEGLRSVGLSLYLSVLGVGQFLSSFLVSVIDKATSKDGQDSWFSNNLNRAHLDYFYWLLAALSVIGFLAYLIFARSYIYRKGNES >Manes.09G076491.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:13468332:13471758:1 gene:Manes.09G076491.v8.1 transcript:Manes.09G076491.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYFLLIIFLRLYFIFVHFSFCPFLFISLSYFSPFLYFLSLIFPYYFFYIPLFFSILLTSILVIYLLLNLLLNHSLIDFKIYCNIFLFFLFLFFFYFFSFSLIISIFSHSFLFSLPLIFYRFLLFFLFLFLFSHFKLFFFHFFPFFHIFSYFHPFSFISFL >Manes.S044516.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1330228:1330386:1 gene:Manes.S044516.v8.1 transcript:Manes.S044516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.11G106501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24690919:24705805:1 gene:Manes.11G106501.v8.1 transcript:Manes.11G106501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCMKDLGKSLRGPGTMLCMKDLGKSLHRPGTMLCMKDLGKSLHGPGTMQREFWWSCPIRDVNCLCCDTFHESM >Manes.18G123700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:13895668:13897984:-1 gene:Manes.18G123700.v8.1 transcript:Manes.18G123700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQFVIKILFTIVVIALLGVLLRLYNVLVMKPKRLRSVLKKQGINGPPPAFLLGNMRQIQKTLSSVVKANAPPLIHNYAALLFPFIELWQKEYGQVLVFSLGNIQVLNLNQPDIVKEYTTCVSWDLGRSSININDLGPLLGQGILTSNGAFWSHQRKIIAPEFYMEKIKGMVNLITESAISLVNSWKSIIERDGGMADMKVDEGLISFSADVISRACFGSNYSEGEKIFMKLMDLQKAVSNKGIAAFGIPGMRYLPTKSNRKAWALEKEIRDLIMKVVKERQEAASKKDLLQTILDGAESSNLNGEAMERFIVDNCKTIYLAGFDTTAVSSSWCLMLLALNQQWQDRVRAEILEICGGGMPNYDMIRKMKLLNMVIQETMRLYPPVSIVAREALNDVKLGNINVPKGVNIWSIVSLLHTDPGTWGPDSYKFNPERFANGITSACKYPFLYMPFGIGPRMCLGQNLAMVELKILMALILSNFSFTISPKYVHSPTFNLVIKPEHGVNLLIKKL >Manes.13G149100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:36476287:36480476:-1 gene:Manes.13G149100.v8.1 transcript:Manes.13G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEKGSTQSNLDCFLHCTTPVVPSQFLPKTEIRNLNRLWHPWERDTVEYFTLGDLWNCFDEWSAYGAGVPIVLNNGETLVQYYVPYLSAIQIFTSNSSVKGFREETESGDGETRDSFSDSCSEESESDKLWRWDGCSSEEGGLEQDNLWRLNDRLGYLYFQYFERSTPYGRVPLMDKINGFAQRYPGLMSLRSVELSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDLDDDIESPERKRKEGESISLPPFGLATYKMQGNVWVSGNCGRDQERLVSLLSVADSWLKQLRVQHHDFNYFTGIRRG >Manes.18G130533.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:14684677:14689559:1 gene:Manes.18G130533.v8.1 transcript:Manes.18G130533.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAAYYNKLKRCWDELSVLCPLPPCACDAAKELTAFEERERLIQFLMGLNHQYEHVSNQILLLDPLPSASKAYGMVQNVEKQKEIQVTFPESSDITTVMAAQRFNNSRRQFSGDSKFNSKNKADRYCDFCQTSGHLKEKCFKLYGYPDWFSDFKKQKYGAKSNNTVAFNVIAESPLDTETTNASHTVTDNMTDSISRIVQFEISKALKGKSIQSSVEEVATAHHASSFAGIASINYSSNFECMDKGTWIVDSGATDHMSGDLALFDSISKLKTPRHVRLPDGRTKLVTHTGTIQLSPRITLFNTLYITDFHCNLLSVNYLAFTCKIFVT >Manes.12G130200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33785801:33787117:-1 gene:Manes.12G130200.v8.1 transcript:Manes.12G130200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVLYFLNGHTHLAGVDQLVSVLLGMGINGCVAETGHSDTDLQVLVGRFIHQYFSCMQVSVQRQRLCYFCRLMEFYIGNFF >Manes.12G130200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33785801:33787118:-1 gene:Manes.12G130200.v8.1 transcript:Manes.12G130200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVLYFLNGHTHLAGVDQLVSVLLGMGINGCVAETGHSDTDLQVLVGRFIHQYFSCMQVSVQRQRLCYFC >Manes.04G082800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28395317:28398948:-1 gene:Manes.04G082800.v8.1 transcript:Manes.04G082800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLNNGFKMPIIGLGVWRMEGKEIRDLIINAIKIGYRHFDCAADYQNEPEVGEALAEAFQTGLVKREDLFITTKLWNSDHGHVIEACKNSLKKLQLDYLDLFLVHFPIATKHTGVGTTNSALDEDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRDSLVKFCQKHGICITAHTPLGGALANTEWFGSVSCLDDPVLKGLAEGYKKTAAQIVLRWGIQRNTVVIPKSSKIERLKENFEVFDFELSKEDMELIKSIDKSYRTNQPAKFWGINLYA >Manes.15G080300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6135320:6137919:-1 gene:Manes.15G080300.v8.1 transcript:Manes.15G080300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKNTLKSKEYNFGEQVKKTLQFIEDATSNADEVQKKVLEEILSRNAHVEYLQRHGLNGNTDRDTFKKLIPVITYDDIQPDINRIANGDTSPILCSKPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVLNQFVPGLEKGKGMYFLFIKSEAKTPGGLLARPVLTSFYKSSHFKNRPFDPYTNYTSPDETILCPDSYQSMYSQMLCGLCQRNEVLRVGAVFASGFIRAIRFLEKHWQLLCNDIRTGTVNPQITDPSVREAVMKILKPDPKLADFIETECSRDSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNDLPLVCTMYASSECYFGVNLNPLCKPSEVSYTLIPSMAYFEFLPVHKNNGVTNSISMPKPLNEKEQKELVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQNAVKNAVNHLVPFDAALAEYTSYADTTSIPGHYVLFWELSLNGSTPIPPSIFEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVEAGTFDKLMDYAISLGASINQYKTPRCVKFAPIVKLLNSRVMSSYFSPKCPKWIPGHKQWGNKN >Manes.11G045700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:4911430:4911738:1 gene:Manes.11G045700.v8.1 transcript:Manes.11G045700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFSLSLFLSVCVLLHGCGSYVHFQKNVCFDGFQLQALMMRSLVKLLMILMSQPAASLTTLLYYSNHLPRNLTLERLVRRELLHEENYLFNFLISILSCFW >Manes.06G167900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29333339:29337461:1 gene:Manes.06G167900.v8.1 transcript:Manes.06G167900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDRTSPPETPPRDPKPLMVLSIECLKGSSKADEWTSDMLQTGDIVEEIRIGYGSSSGHRSSQSFKAPFKSGKTGIQKILHNSFKNKETSILVRVRRGQDEFAELQACIVPESGSKKQYILRSIADPNYAVGFSDRSEADCFEMQGSRSSRIATALSRATLQDGYVAYTWMKKMQEVLPVPNSSCFLSILFLPKASDRVASRYNDLEDTLARANTWLYASQASGVPIVFMNIQTESLLTKISGETASSTVNAGSLSDLSNLAHVSLYGFEDYHGVDIGVVRAVRLWYAPLCGEFAIEMKIKEGDSKLGFAISRTEEGFIYISSVIDGNENVPSTRSGLNDLYKEAMSTSRLLVVSRVSNQKVLPWMVSSTGAIRCFDTVSLSQKLSLHRHANTPILIHVFLWDRALATPCTGSARLRSVSPPIMSLPSEIQLARHPSENQILPLPPEVVDESVDIRDVPEVRLERDTAGEVSFRFHDFSIANNWV >Manes.08G077230.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:18459953:18460264:-1 gene:Manes.08G077230.v8.1 transcript:Manes.08G077230.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELFLPSTLLLHPSNASASSQHLIREIADSTFLPSVLSHFHSVTLVSLLYESFHSSFLILTFSKYDPNILLLILKSLSLNPATKSLVWQYIRVYLIFFLELS >Manes.07G048600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:5646061:5648556:1 gene:Manes.07G048600.v8.1 transcript:Manes.07G048600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPMEISSISGLSELEMEDPIFIEQWPMNSIDDLSLQSLVAAFGENMQHSLSHHPNFNLKTSMETSATAIVRPSKQLKPNGFNSIKTTEYNLPNPQADFYPNILSFANSTNLNQMGIVKPKEEAVCSTRINAFPSDMVISPGNQNHVFKACDGAKRISNNSSRYSQTQDHIIAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLQERVKTLEEQTKKKTMESVVIVKKSQLLLSEDESFSSDESFSKGPIDEPLPEIEARICDKQVLIRIHCEKRKGVVEKTVAEIEKLHLTVVNSSILTFGSSALDVTIIAQMDMEFVMSVKDLVKNLHSAFKLFI >Manes.13G109700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:31512393:31518821:-1 gene:Manes.13G109700.v8.1 transcript:Manes.13G109700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIANSLKLDDDDDDGHYPNPNVTDSKSPPIPQPDPHVNSSSPPSPSSTASANTPRGVKEDLSELTKTLSRQFWGVASFLAPPPDPSSPSSPPPRQISDKPGDSPQAHELSDPEESNEDLIAGIRSDFAEIGGKFKSGISKLSSNATVSEITKIASNFLQIGPERDFTDKDLVGSAVGITEEVIAFVRDIAMHPETWLDFPISDEEDFDDFDMSDVQQEHALEVERLAPRLAALRIELCPGYMSEGCFWKIYFVLLHPRLSKHDAALLSTSQIMEARAMLSQELQSKAKGTRVDSSEIGNSRSKGTADLPYEESLSVPSRAEYESVPLKTSVIELAPSTTVAETSGNEAIPSGIAYERETEKHPVLSTEMQIIDKAVVEEESVDQAKQRHLSSSIPKILDEKFEDDADDWLKEESSGMVGTSGSTMYMENDEDVSFSDLEEDDGDVPTSYKKVALGSDASRKDSRDWVQLSKGSSDSVKDINPVSVKHAGSEQVSARNSESKESNDWLDVDDIDVM >Manes.06G018000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:3008469:3008672:-1 gene:Manes.06G018000.v8.1 transcript:Manes.06G018000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWVLFCDEEDKELGRQQAPGSCPYCGGKVLAMDVERKWSFCFLPISYKIKRKYLCSLCSRRLELYH >Manes.01G066200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:26658090:26664816:1 gene:Manes.01G066200.v8.1 transcript:Manes.01G066200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNQEAIDTFISITGVAEAVAVQKLEEHHGDLNAAVNAHFSEGDRSIVHETPVVASQDDLMDIDDPVEVPPRRHPLSVLPEASAMNPFSLLDPQFRRSLFDGGSDFMNHAPFVSHPRERREIPIEVKDGNDVPSHSGHAPIIEDVTGTEYATGPDIRGTIIVDEDDNDIPADRTGWAAQRAEKRGDSSGGIAHESHSRPSAPEFDKLPDYSNDIEEEMIRAAIEASKQEAQAAEQEKALCEQRGKIGAAEMGASKPDEVELGAVVTSNGRFRTGRLSIQEEAEDVDEHPLVRNRSRHTSSGSTESTREVGVIEASPPSSPRQNNISSHPQHNGNVFHSDEWGGISSEEHDEAVMLEAAMFGGIPEVTGYRFPYAPHQFMQSESPYSQRMPRPPSPSLQAQRLIREQQDDEYLASLAADREKEMKAMEEAEALRLQEEAARKASLEAERQKEEESRKKLEEEQEFERQLAAKETSLPQEPVSNDENSVTLLVRMPDGTRRGRRFLKSDNLQSLFDFIDIGRVVKPGTYRLVRPYPRRAFSDGESALTLNELGLTSKQEALFLELI >Manes.14G052300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4444365:4457499:1 gene:Manes.14G052300.v8.1 transcript:Manes.14G052300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLSGNNNIKRSSSSEDKPPSPKRQKGENGGTAEKPMPAAENSKDLCPPAAADPAECGPGDVPIAGDAAGEGVSSGKGEVAPAVALVTPIAEGSTPLVVDKPRSSFSSWSLYQKQNAAFEASTPWCKLLSQSAQNPNVVICTSSFTIGSNKNCNFPLKDQSGILCKIKHTQREGSAVAVLESTGSKGSVQVNGEVVKKNTSRTLHSGDEVVFGLMGNHAYIFQQVITDVAVKGAEVQSSLGKLLQLERRSGDPSAVAGASILASLSSLRQDISRFKSPGQNTGKLHQGTEVPAQSVVHDGTEVEVDGLEINSTPNAGSDKAADVGAVGKNLPHDRNQDSGTEVLEERNEWARDSQLASTSGMSLRCAVFKEDIRAGILDGKKIEVSFDDFPYYLSENTKNVLIAASFIHLRHKEHVKYTAELTTINPRILLSGPAGSEIYQEMLAKALANYFGAKLLIFDSHSFLGGLSSKEAEFLKDGFNAEKSCICTKQSPMIADSLKSVNPSGLEADTPSSSNAPSTFGQGSQPKMDIDAVPSSSGTSRNLLFKIGDRVRYISGGLYPSASSSRGPPNGIRGKVVLVFEDNPLSKIGVRFDKPVTDGVDLGGLCEGGHGYFCNVTDLRLDNVEDLDKLLINTLFEAVHNESRNYPFILFMKDAEKSIAGNPDTCSTFKSKLEKLPDNVVAIASHTQTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKATKVLTKLFPNKVVIHMPQDEVLLASWKHQLDRDVETLKMKGNLNHLRAVLSRSGMECEGLETLCIKDQTLTNESAEKVIGWALSHHLMQNPEAEADARLVLPSESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDVDFDAIASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAAVAEGKPAPALSGSADIRPLNMDDFKYAHERVCASVSSESVNMSELLQWNELYGEGGSRRKKALSYFM >Manes.14G052300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4444365:4457499:1 gene:Manes.14G052300.v8.1 transcript:Manes.14G052300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLSGNNNIKRSSSSEDKPPSPKRQKGENGGTAEKPMPAAENSKDLCPPAAADPAECGPGDVPIAGDAAGEGVSSGKGEVAPAVALVTPIAEGSTPLVVDKPRSSFSSWSLYQKQNAAFEASTPWCKLLSQSAQNPNVVICTSSFTIGSNKNCNFPLKDQSGILCKIKHTQREGSAVAVLESTGSKGSVQVNGEVVKKNTSRTLHSGDEVVFGLMGNHAYIFQQVITDVAVKGAEVQSSLGKLLQLERRSGDPSAVAGASILASLSSLRQDISRFKSPGQNTGKLHQGTEVPAQSVVHDGTEVEVDGLEINSTPNAGSDKAADVGAVGKNLPHDRNQDSGTEAGNVKLSGVLEERNEWARDSQLASTSGMSLRCAVFKEDIRAGILDGKKIEVSFDDFPYYLSENTKNVLIAASFIHLRHKEHVKYTAELTTINPRILLSGPAGSEIYQEMLAKALANYFGAKLLIFDSHSFLGGLSSKEAEFLKDGFNAEKSCICTKQSPMIADSLKSVNPSGLEADTPSSSNAPSTFGQGSQPKMDIDAVPSSSGTSRNLLFKIGDRVRYISGGLYPSASSSRGPPNGIRGKVVLVFEDNPLSKIGVRFDKPVTDGVDLGGLCEGGHGYFCNVTDLRLDNVEDLDKLLINTLFEAVHNESRNYPFILFMKDAEKSIAGNPDTCSTFKSKLEKLPDNVVAIASHTQTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKATKVLTKLFPNKVVIHMPQDEVLLASWKHQLDRDVETLKMKGNLNHLRAVLSRSGMECEGLETLCIKDQTLTNESAEKVIGWALSHHLMQNPEAEADARLVLPSESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDVDFDAIASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAAVAEGKPAPALSGSADIRPLNMDDFKYAHERVCASVSSESVNMSELLQWNELYGEGGSRRKKALSYFM >Manes.14G052300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4444365:4457499:1 gene:Manes.14G052300.v8.1 transcript:Manes.14G052300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRRSGSLSGNNNIKRSSSSEDKPPSPKRQKGENGGTAEKPMPAAENSKDLCPPAAADPAECGPGDVPIAGDAAGEGVSSGKGEVAPAVALVTPIAEGSTPLVVDKPRSSFSSWSLYQKQNAAFEASTPWCKLLSQSAQNPNVVICTSSFTIGSNKNCNFPLKDQSGILCKIKHTQREGSAVAVLESTGSKGSVQVNGEVVKKNTSRTLHSGDEVVFGLMGNHAYIFQQVITDVAVKGAEVQSSLGKLLQLERRSGDPSAVAGASILASLSSLRQDISRFKSPGQNTGKLHQGTEVPAQSVVHDGTEVEVDGLEINSTPNAGSDKAADVGAVGKNLPHDRNQDSGTEAGNVLEERNEWARDSQLASTSGMSLRCAVFKEDIRAGILDGKKIEVSFDDFPYYLSENTKNVLIAASFIHLRHKEHVKYTAELTTINPRILLSGPAGSEIYQEMLAKALANYFGAKLLIFDSHSFLGGLSSKEAEFLKDGFNAEKSCICTKQSPMIADSLKSVNPSGLEADTPSSSNAPSTFGQGSQPKMDIDAVPSSSGTSRNLLFKIGDRVRYISGGLYPSASSSRGPPNGIRGKVVLVFEDNPLSKIGVRFDKPVTDGVDLGGLCEGGHGYFCNVTDLRLDNVEDLDKLLINTLFEAVHNESRNYPFILFMKDAEKSIAGNPDTCSTFKSKLEKLPDNVVAIASHTQTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRGKEVPKATKVLTKLFPNKVVIHMPQDEVLLASWKHQLDRDVETLKMKGNLNHLRAVLSRSGMECEGLETLCIKDQTLTNESAEKVIGWALSHHLMQNPEAEADARLVLPSESIQYGIGILQAIQNESKSLKKSLKDVVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDVDFDAIASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAAVAEGKPAPALSGSADIRPLNMDDFKYAHERVCASVSSESVNMSELLQWNELYGEGGSRRKKALSYFM >Manes.05G183500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:30179494:30179961:-1 gene:Manes.05G183500.v8.1 transcript:Manes.05G183500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIKAHPKGNVPFSWEKKPGVSKAISQMFHSEADFVKLPPPPCLIENQSPARVSTHDIQIPLPPCTFQPPSRSSSRKGGLKKQEDPFLAAYKECTKSTSDSNKKTGGKLCRNVGLRKGMFSLSCKNSCSVRDDNLVRVSHQVPHEIDRQRSRER >Manes.06G047900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15101019:15104566:-1 gene:Manes.06G047900.v8.1 transcript:Manes.06G047900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSFFEELTVTSPPVSKRIRCSSSSPVRFSPPRSYNAVYISSPPSNISSSPLIEKLLALFPDMDKQILERALEECGDDLDSAIRSLNELRLGSADNNLGSNLVRSDAILENNVQQEGVSTNNTEASPTDDPSAPVQLAMDGPEWVELFVREMMSASNMDDARARAARALEVLEKSICARAGAEAAKSFQQENMMLKEQVQALIQENAILKRAVSIQHERQKEFEDKNQELQHLKQLVSQYQEQLRALEVNNYALTMHLKQAQQSSSIPGRFHPDVF >Manes.06G047900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15101019:15104631:-1 gene:Manes.06G047900.v8.1 transcript:Manes.06G047900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSFFEELTVTSPPVSKRIRCSSSSPVRFSPPRSYNAVYISSPPSNISSSPLIEKLLALFPDMDKQILERALEECGDDLDSAIRSLNELRLGSADNNLGSNLVRSDAILENNVQQEGVSTNNTEASPTDDPSAPVQLAMDGPEWVELFVREMMSASNMDDARARAARALEVLEKSICARAGAEAAKSFQQENMMLKEQVQALIQENAILKRAVSIQHERQKEFEDKNQELQHLKQLVSQYQEQLRALEVNNYALTMHLKQAQQSSSIPGRFHPDVF >Manes.06G047900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15101389:15104352:-1 gene:Manes.06G047900.v8.1 transcript:Manes.06G047900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSFFEELTVTSPPVSKRIRCSSSSPVRFSPPRSYNAVYISSPPSNISSSPLIEKLLALFPDMDKQILERALEECGDDLDSAIRSLNELRLGSADNNLGSNLVRSDAILENNVQQEGVSTNNTEASPTDDPSAPVQLAMDGPEWVELFVREMMSASNMDDARARAARALEVLEKSICARAGAEAAKSFQQENMMLKEQVQALIQENAILKRAVSIQHERQKEFEDKNQELQHLKQLVSQYQEQLRALEVNNYALTMHLKQAQQSSSIPGRFHPDVF >Manes.02G152250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11662963:11663399:-1 gene:Manes.02G152250.v8.1 transcript:Manes.02G152250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVQIHRQEVGDNSQRQALEGANSFVLVVSKNLLQMSFPYSGDGGYYSHVLLLVRVFKKTTNIFSLFNYHIDFMNQNLNI >Manes.15G180834.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:23145027:23149400:1 gene:Manes.15G180834.v8.1 transcript:Manes.15G180834.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLCKQVEENMRLRTELQKKIQELEKYVLKLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDMMIP >Manes.16G009900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1036727:1040537:1 gene:Manes.16G009900.v8.1 transcript:Manes.16G009900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYLRCWRSLKKWVVEISKNKGGRRFRRMNCFACCRLEHKHSFNQTIAYTSNTFNNNGHTFSFFLKNISRRTVSKKQKLIDEEVMKFGNAKVSAQVFSYKELAAATENFHPDFVLGEGGFGRVYRGYIERIHQVVAIKQLDRNGRQGSREFFSEILMLSLVHNANLVKLIGYCVDGDHRILVYELMPNGSLENHLLDLEPGKKPLDWYSRMRIAVGAARGLQFLHESNPPVIFRDFKASNILLDEDFNPKLSDFGLAKLGPIGEKDHVSTRVMGTYGYCAPEYQRTGKLTKASDVYSFGVVFMEIITGRRVIDSERPTEEQNLLDWAEPLFKDKHKCTSIVDPLLGGNFPTKGLHQALAIAGLCLQQESDVRPVMADVVTALEFLANPKLEEKIDDGASKKAIPYIDSVKEANFNANMEA >Manes.14G090500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7481431:7485128:1 gene:Manes.14G090500.v8.1 transcript:Manes.14G090500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWKRAKLFAEEATKKSQTLTSSNKIADLVAETAKKSKELALEASKKADQLKVAALKQADQIQIKSISDIIPPQLSSLSVLNSSSSSSSASAASDPVELQKFGITEDLRDFVKGLTSSTFHNFPIQDEAEASDVPTTASNVRKDLNEWQERHATLVLSNVKQISKLRYELCPRVMKERRFWRIYFTLVSTHVAQYEKQYMEEIKSKAEKQKKDEKSKPSAVSQETSNPEVTEKNQKSRTSTASSAEQDLDTFLLGDLEDSDGGADDGDGSFDDDFDKIDNSDIEDEEHSKKATDATV >Manes.11G086900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12597507:12602475:1 gene:Manes.11G086900.v8.1 transcript:Manes.11G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGWMHNKLRHTSIEPFKDFTIGNYCACLSAKSPLNDKVSYIRPRFASRHEACDDTLSEVEAKSAEGNYEDETSDAMPELFHGFLAIGTLGSKQVNSEPATPTFPMSLEDIGDEKVEVIVNDLKLINDELEKFLDAEAEEGCNGSLARSSYVSTITLSGMQMEGANADNYGSTAICPLQGYLFGSSIEVPETPVELKKEKTSLGEMFRRTKIADESSTGTEGKGEMHAKQAHKSAKHLIRKILGKFHTSRNPAPSTNEAANSVSTKKKLNKVLRMLHRKVHPENPLTEKEFTKFHEENINKTLHKNAELVHLDEDNRKILPGRKSMEGKQCDKNNLKLFQYGLSGSKSSGNGEYWIKTDADYVVLEL >Manes.11G086900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12597507:12602475:1 gene:Manes.11G086900.v8.1 transcript:Manes.11G086900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGWMHNKLRHTSIEPFKDFTIAKSPLNDKVSYIRPRFASRHEACDDTLSEVEAKSAEGNYEDETSDAMPELFHGFLAIGTLGSKQVNSEPATPTFPMSLEDIGDEKVEVIVNDLKLINDELEKFLDAEAEEGCNGSLARSSYVSTITLSGMQMEGANADNYGSTAICPLQGYLFGSSIEVPETPVELKKEKTSLGEMFRRTKIADESSTGTEGKGEMHAKQAHKSAKHLIRKILGKFHTSRNPAPSTNEAANSVSTKKKLNKVLRMLHRKVHPENPLTEKEFTKFHEENINKTLHKNAELVHLDEDNRKILPGRKSMEGKQCDKNNLKLFQYGLSGSKSSGNGEYWIKTDADYVVLEL >Manes.07G079488.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24581627:24583137:1 gene:Manes.07G079488.v8.1 transcript:Manes.07G079488.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGTASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.16G115800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32052013:32053323:1 gene:Manes.16G115800.v8.1 transcript:Manes.16G115800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRFKMRISRMFRGSFGSCRTRNISDVVEKAVFSPQSHKDYFHFHVMESLPPRPRPYPSLCRPKSPETTAKVITNSIFPRQKISGRYPPFVSANNANENTCPPASPASPLNPFHKEFGFKEKKKSSRSVKNRKKKKNNTHLKSSRRDVNLFSSSSHDSEYFEGSYWFSSDEDEDEREGESDTLFSSRSLSSVSSGSHCHRSRRKKHHSSRRKRVASKTFQMGVFPLHGKVKDSFAVVKSSSDPYDDFRTSMLEMIVEKQIFAAKDLEQLLQCFLSLNSSHHHRIIIEVFTEIWEALFSNWS >Manes.14G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8309022:8310330:-1 gene:Manes.14G100500.v8.1 transcript:Manes.14G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHDFTPAKTCCMVVRINLDCNACCRKARKIILNMKEIETHMIAKQERRIVLCGRFTPADVAIKLRKKMNRRVEILEIQEMGGSDRIEEPRPIISAS >Manes.13G086800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16334415:16347500:-1 gene:Manes.13G086800.v8.1 transcript:Manes.13G086800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKADRKAAVDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTIILRWLGYIQPSHLPLSELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAALVAVWSTSLQQYYVHYLQRRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTDKRVDAYAYNFTSVLFIILSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVIGMIIAVAGMMWYGNASSKPGGKERRSLSMNGNKAQKLDGLSKSTEVDDKV >Manes.13G086800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:16334415:16347500:-1 gene:Manes.13G086800.v8.1 transcript:Manes.13G086800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKADRKAAVDAASWMFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTIILRWLGYIQPSHLPLSELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDKVRYSRDTKLSIAVVLLGVAVCTVTDVSVNAKGFIAALVAVWSTSLQQYYVHYLQRRYSLGSFNLLGHTAPAQAVSLLLVGPFLDYWLTDKRVDAYAYNFTSVLFIILSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLQVVIGMIIAVAGMMWYGNASSKPGGKERRSLSMNGNKAQKLDGLSKSTEVDDKV >Manes.02G068300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:5222239:5235506:-1 gene:Manes.02G068300.v8.1 transcript:Manes.02G068300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMQGDNSTMVCRNIKINQFKGKGVHRRGKWNNFDHEESLGNSFSVDTVYHILCPSRKIGGVIGKAGSIVEALREETQDKTKVADSVPGSDERVITIYSSPEKVLRNQNNDEDSTTGNEQETVERLCAAQDALLKVHERIVEEGLSGRMAPDYDNENIVVTARLLVPNNMVGCLLGKRGDCKSRRRDDHFPNCADISTKLDVAKRALYEISTLLHLNPRKDKPPSLPMSYNGQNFHPPGGPIPNTCPPGNPLWPHRNYSSQNLSLMPWMGGCGIQPTRFGPGGSNGAPPGHGGEPSDEFSMKILSSAWKIGGVIGKGGSNVKLVQQATGTSIHVEDASEESDERVIWVSAFEALRKPRSQTIDAVLQLQNKTREYFEKSTITTRRGQVINEMRRRPQAHIFFCSKDDKLKWVAEDEELVQISGNFTVAKDALVEIASRLRVRTLPDANAGAEPGPAQSLSGRGPPPSGTMGASNSSGYEPIRDVGHKYGPQNYPVPPAAIGALDANISNNEVNSFLGVGTISNFGEVAGISIKLEDPLAGGPENIVEFHGSSEHLNATHNYLLTLVSSSSSGQNRNTQQGSYQNNMTAQKSSYQNVNAQLSTYQNKNAQNSPYQNINVQESSYSPTQQVVYTNNNSPESTYQNINAQQGIYQY >Manes.18G095500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8827121:8837023:-1 gene:Manes.18G095500.v8.1 transcript:Manes.18G095500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPETMKSPSKQQPESKSWAEICYWLSCSCLQLLWSRVIMRKWLNISAIESDYSADTYSDNGDDDSASDTQDALPRLRRQKSEIFRAQYTDTKELRICVGTWNVGGKLPPDDLDIDDWIDTDEPADIYVFGLQEIVPLNAGNIFGAEDNSPVPKWENIIRDALNRVPPTKTKVKCVSNPPSPSKFKPSDDVPGIEEEILLESDSDVGEEIHPLDEVEDSPNTGDMDLNSGVTANNSGKLGVPVEQDLQRQFSSPKKLGNPNCLQTEDSAGDVEVPAGQNNVNLTKMLSGTERFNLSWPELPLDLLSQHVLPRPFSSTAVKSFKARKSLGAYSSFKSITNEMQSGLSLLAEHDLDALMKIKRRSSYVRVVSKQMVGIFLTIWVRRRLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFMCTHLTSGEKDGDELKRNADVHEIHRRTLFHTNCGIGLPKAIHDHERIILLGDLNYRINLSYEEACELISRKEWSKLVERDQLVQELKKGCAFDGWTEGTLNFPPTYKYEINSDKYCGEDPKAGRRVPAWCDRILSYGKGMRLLNYRRAELKLSDHRPVTATYMVEVEVFCARKLQRALRYTGA >Manes.18G095500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8827121:8837023:-1 gene:Manes.18G095500.v8.1 transcript:Manes.18G095500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPETMKSPSKQQPELLWSRVIMRKWLNISAIESDYSADTYSDNGDDDSASDTQDALPRLRRQKSEIFRAQYTDTKELRICVGTWNVGGKLPPDDLDIDDWIDTDEPADIYVFGLQEIVPLNAGNIFGAEDNSPVPKWENIIRDALNRVPPTKTKVKCVSNPPSPSKFKPSDDVPGIEEEILLESDSDVGEEIHPLDEVEDSPNTGDMDLNSGVTANNSGKLGVPVEQDLQRQFSSPKKLGNPNCLQTEDSAGDVEVPAGQNNVNLTKMLSGTERFNLSWPELPLDLLSQHVLPRPFSSTAVKSFKARKSLGAYSSFKSITNEMQSGLSLLAEHDLDALMKIKRRSSYVRVVSKQMVGIFLTIWVRRRLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFMCTHLTSGEKDGDELKRNADVHEIHRRTLFHTNCGIGLPKAIHDHERIILLGDLNYRINLSYEEACELISRKEWSKLVERDQLVQELKKGCAFDGWTEGTLNFPPTYKYEINSDKYCGEDPKAGRRVPAWCDRILSYGKGMRLLNYRRAELKLSDHRPVTATYMVEVEVFCARKLQRALRYTGA >Manes.18G095500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8827121:8837023:-1 gene:Manes.18G095500.v8.1 transcript:Manes.18G095500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPETMKSPSKQQPELLWSRVIMRKWLNISAIESDYSADTYSDNGDDDSASDTQDALPRLRRQKSEIFRAQYTDTKELRICVGTWNVGGKLPPDDLDIDDWIDTDEPADIYVFGLQEIVPLNAGNIFGAEDNSPVPKWENIIRDALNRVPPTKTKVKCVSNPPSPSKFKPSDDVPGIEEEILLESDSDVGEEIHPLDEVEDSPNTGDMDLNSGVTANNSGKLGVPVEQDLQRQFSSPKKLGNPNCLQTEDSAGDVEVPAGQNNVNLTKMLSGTERFNLSWPELPLDLLSQHVLPRPFSSTAVKSFKARKSLGAYSSFKSITNEMQSGLSLLAEHDLDALMKIKRRSSYVRVVSKQMVGIFLTIWVRRRLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFMCTHLTSGEKDGDELKRNADVHEIHRRTLFHTNCGIGLPKAIHDHERIILLGDLNYRINLSYEEACELISRKEWSKLVERDQELKKGCAFDGWTEGTLNFPPTYKYEINSDKYCGEDPKAGRRVPAWCDRILSYGKGMRLLNYRRAELKLSDHRPVTATYMVEVEVFCARKLQRALRYTGA >Manes.18G095500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8827121:8837023:-1 gene:Manes.18G095500.v8.1 transcript:Manes.18G095500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPETMKSPSKQQPESKSWAEICYWLSCSCLQLLWSRVIMRKWLNISAIESDYSADTYSDNGDDDSASDTQDALPRLRRQKSEIFRAQYTDTKELRICVGTWNVGGKLPPDDLDIDDWIDTDEPADIYVFGLQEIVPLNAGNIFGAEDNSPVPKWENIIRDALNRVPPTKTKVKCVSNPPSPSKFKPSDDVPGIEEEILLESDSDVGEEIHPLDEVEDSPNTGDMDLNSGVTANNSGKLGVPVEQDLQRQFSSPKKLGNPNCLQTEDSAGDVEVPAGQNNVNLTKMLSGTERFNLSWPELPLDLLSQHVLPRPFSSTAVKSFKARKSLGAYSSFKSITNEMQSGLSLLAEHDLDALMKIKRRSSYVRVVSKQMVGIFLTIWVRRRLRKYIQNLKVSTVGVGVMGYIGNKGSISVSMSIYQTLFCFMCTHLTSGEKDGDELKRNADVHEIHRRTLFHTNCGIGLPKAIHDHERIILLGDLNYRINLSYEEACELISRKEWSKLVERDQELKKGCAFDGWTEGTLNFPPTYKYEINSDKYCGEDPKAGRRVPAWCDRILSYGKGMRLLNYRRAELKLSDHRPVTATYMVEVEVFCARKLQRALRYTGA >Manes.11G066000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9228077:9230149:-1 gene:Manes.11G066000.v8.1 transcript:Manes.11G066000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSCTLNMAIPKIEKQEPVVFDASIIQHQTNVPSEFIWPEHEKSCLESPELVIPPIDLGSFLSGDPSAVSKAFQLINEACRKHGFFLIVNHGVDSGLIAKAHEYMDKFFGLSPAEKQRAQRKLGEHCGYTSSFTGRFSSKLPWKETLSFRYSDDDQLSNIVQEYFFNVMGEEFEDFGKVYQEYCEAMNTLALKIMELLGFSLGAGREYFKEFFKGNDSIMRLNNYPPCQQPHLTLGTGPHCDPTSLTILHQDEVGGLQVLVDEKWHFVRPDPQAFVVNIADTFMVRSSLDSLRINFLWLKMG >Manes.06G175600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29895455:29898104:1 gene:Manes.06G175600.v8.1 transcript:Manes.06G175600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYYDIDVFKKFKGSNGEFKKSIISDVRGILSLYEASFMSVHGEDILDEALEFTRSHLNSLAMQSSPHCAERIKNALILPYHKGIPRVEARQYISFYEKDESRNDTLLEFAKVDFNRVQLLHREELKEIARWWKELNLAEKLPYARDRIVELYFWACSNHFEPHLALSRMMTAKYLKMVSLADDTYDAYATLNEVHAFTEAFDRCSIDAADELPDYMKILYKELLNLIEETENITSKEGRSFCAYYVKEEFKKLLRSYRVEAQWFNDGYVPSLDVYLPNGYESSSYGVAAAAGFVGMEKIVGIKEYEWLRSNPKILKAAKVIGRLLNDVVSHQEEQKRGDTASAVECYMKEHDVSEKKAVEEILKMCANAWKDINEECMRPTDLPRPILQFFVDLARVSEVVYRFDDSYTNPSGLKDKITALFLEPLPLQDQDNI >Manes.06G175600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29895455:29898104:1 gene:Manes.06G175600.v8.1 transcript:Manes.06G175600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRPSTDQNIIGSVFSPSPWRYYNFSFPSSDSMPKPLTQEYESCTRRVEMLKEKVKEMLMVDASDPINSIELINLLCRLGLSYHFESEIEQQLTHMFNHLPQLFDDNDYDLYTTALFFQVLRQHGFKMTCDVFKKFKGSNGEFKKSIISDVRGILSLYEASFMSVHGEDILDEALEFTRSHLNSLAMQSSPHCAERIKNALILPYHKGIPRVEARQYISFYEKDESRNDTLLEFAKVDFNRVQLLHREELKEIARWWKELNLAEKLPYARDRIVELYFWACSNHFEPHLALSRMMTAKYLKMVSLADDTYDAYATLNEVHAFTEAFDRCSIDAADELPDYMKILYKELLNLIEETENITSKEGRSFCAYYVKEEFKKLLRSYRVEAQWFNDGYVPSLDVYLPNGYESSSYGVAAAAGFVGMEKIVGIKEYEWLRSNPKILKAAKVIGRLLNDVVSHQEEQKRGDTASAVECYMKEHDVSEKKAVEEILKMCANAWKDINEECMRPTDLPRPILQFFVDLARVSEVVYRFDDSYTNPSGLKDKITALFLEPLPLQDQDNI >Manes.06G175600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29895455:29898104:1 gene:Manes.06G175600.v8.1 transcript:Manes.06G175600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRPSTDQNIIGSVFSPSPWRYYNFSFPSSDSEYESCTRRVEMLKEKVKEMLMVDASDPINSIELINLLCRLGLSYHFESEIEQQLTHMFNHLPQLFDDNDYDLYTTALFFQVLRQHGFKMTCDVFKKFKGSNGEFKKSIISDVRGILSLYEASFMSVHGEDILDEALEFTRSHLNSLAMQSSPHCAERIKNALILPYHKGIPRVEARQYISFYEKDESRNDTLLEFAKVDFNRVQLLHREELKEIARWWKELNLAEKLPYARDRIVELYFWACSNHFEPHLALSRMMTAKYLKMVSLADDTYDAYATLNEVHAFTEAFDRCSIDAADELPDYMKILYKELLNLIEETENITSKEGRSFCAYYVKEEFKKLLRSYRVEAQWFNDGYVPSLDVYLPNGYESSSYGVAAAAGFVGMEKIVGIKEYEWLRSNPKILKAAKVIGRLLNDVVSHQEEQKRGDTASAVECYMKEHDVSEKKAVEEILKMCANAWKDINEECMRPTDLPRPILQFFVDLARVSEVVYRFDDSYTNPSGLKDKITALFLEPLPLQDQDNI >Manes.06G064600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19975708:19978581:-1 gene:Manes.06G064600.v8.1 transcript:Manes.06G064600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILLVFLLFNSHGHVSSLNNEGFVLMSFKQSIYDDPEGSLSNWKSSDETPCSWNGVTCKEQKVVSVGIPKKKLYGFLPSSLGSLSDLRHLNLRNNRFFGSLPAELFQAQGLQSLVLYGNTLSGSLPNEFGKLKYLQSLDLSQNFFNGSIPTSIVQCKRLRNFDLSQNNFTGSLPEGFGSGLVSLEKLDLSFNKFNGSIPSDIGNLTSLQGTVDLSHNLFTGLIPASLGSLPEKVYIDLTYNNLSGPIPQNGALMNRGPTAFIGNPGLCGPPLKNPCSSNTDGASSPSSFPFLPNNNPPQNLNNNGRGPEKGGGLSKGAVIAIIVSDAIGICLVGLLFSHCYSRVCACSKDKDETGYVFGKEGKGRRECLCFRKDEPDTLSENVEQYDLVPLDTQVAFNLDELLKASAFVLGKSGIGIVYKVALEDGLTLAVRRLGEGGSQRFKEFKTEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDYIPNGSLATGLHGKPGMVSFTPLSWSIRLKIIKGIANGLVYLHEFSPKKYVHGDVKPRNILLGHNMVPYISDFGLGRLANIAGASPTLQSNGAASEKPQERQQKNAPSSDVATFSSMNLGSYYQAPEALKVVKPSQKWDVYSYGVILLEMISGRSPVIHMGTSEMDLVNWIQLCIEEQKPLADVLDPYLSPDLDKEEEIIAILKIAMACVHSSPERRPTMRHVCDALSRLAVSSD >Manes.03G101800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22584309:22591867:1 gene:Manes.03G101800.v8.1 transcript:Manes.03G101800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEINLRRLLAATTKQQNQAKLIHYVSFMREQLEQLAEERNPDGLPRVSKAKVNDYSQRIEAVASTIAVASYLVSEESFAGSSAKESPKVDGETHFPASLGLRKRFVASSNIDNGTHDNNQVHTSAPVKLDTSAKAHIENHRKLQEDLTDEMVQLAQQLKESSLLMSQSVENTEKILDSTEKAVEQSLASTNHANVRAFDIYSKTSKTTCFTWLLIFLMTCIFIMVVLLIRVT >Manes.03G101800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22584308:22591868:1 gene:Manes.03G101800.v8.1 transcript:Manes.03G101800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSHCSSLYVDYITNETLQYVSFMREQLEQLAEERNPDGLPRVSKAKVNDYSQRIEAVASTIAVASYLVSEESFAGSSAKESPKVDGETHFPASLGLRKRFVASSNIDNGTHDNNQVHTSAPVKLDTSAKAHIENHRKLQEDLTDEMVQLAQQLKESSLLMSQSVENTEKILDSTEKAVEQSLASTNHANVRAFDIYSKTSKTTCFTWLLIFLMTCIFIMVVLLIRVT >Manes.03G101800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22584308:22591868:1 gene:Manes.03G101800.v8.1 transcript:Manes.03G101800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQLEQLAEERNPDGLPRVSKAKVNDYSQRIEAVASTIAVASYLVSEESFAGSSAKESPKVDGETHFPASLGLRKRFVASSNIDNGTHDNNQVHTSAPVKLDTSAKAHIENHRKLQEDLTDEMVQLAQQLKESSLLMSQSVENTEKILDSTEKAVEQSLASTNHANVRAFDIYSKTSKTTCFTWLLIFLMTCIFIMVVLLIRVT >Manes.09G054900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8945395:8947922:-1 gene:Manes.09G054900.v8.1 transcript:Manes.09G054900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRISFFILALTLFLAVASSDIATPAKGPPAPFAYYGPRGAPRWGRLSPQYSACSKGKIQSPININRNETVHNKNLKPLIREYKPANATLVTSVCNIGVQYEGDCGVLTMDSKNYTLKKMHWHSPSEHRINGVRYPLELHLVHMGENTAYSVVAILYQYGSPDPFIAKLKDSLDKLTNEVKAGEQDAHIPLGILDTKLVGKRTRKYYRYMGSFTTPPCTENVVWHILGKERTVTKEQVEALKAPLGIAYKVNERPLQPLNGRKVELYKEFRVPKP >Manes.05G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3176075:3177511:1 gene:Manes.05G038500.v8.1 transcript:Manes.05G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAKYSSTATAAGISLREKASSSSMAATVSKALPSLYVREVESFFIRNGCWDHLAENTTSLDFYSHLFRHLLKVKHVYSGRVSCFFSVLPAFTNIFKGLHGGAIGAIAERVAIACARTVWAEDKELFLGELSMSYLSAAPLNEVCVVEGSIVRSGRNLIVVAMEFKIKKTGKLAYIARATLYQIPTAKL >Manes.18G054750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4663278:4666826:1 gene:Manes.18G054750.v8.1 transcript:Manes.18G054750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAFATTLAGVKMETLLLNSTFSSSSSSGLSPSLRAHWSSSPQMQVVCRLARNNCTRILVQRGGVRCEVAASTDSLVETDSNIDPAKASSLSALEQLKTSAADIYTKERASIVVIGLSVHNAPVEHLHRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICVYNKDATQHLFEVSAGLGSLVLGEGQILAQVKQVVKVSQGVVGFGRNISGLFKHAISVGKRVRTETIAAGSVSVSSAAVELALMKLPEFSHATARMLVIGAGKMGKLVIKHLVAKGCEKLVVVNRSEERVAALSEELKDVEIIYKPLDKMLTCTAEADVIFTSTASETPLFLKEHVQDLPSVGSDTGSLRLFIDISVSKKQWKHNAIITEESKQFEAWRDSLETVPTVKKLRAYAETIRAAELHKCLSKWVRISQRKQGEPDDLSRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEVAVLEQKLQAKTGQK >Manes.06G119300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25024992:25031014:-1 gene:Manes.06G119300.v8.1 transcript:Manes.06G119300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PAP27 MVGVFQCLKLLKIVSFICLALLSSVHGLGEQPLSKIDIYKTTLALRDSASAKASPILLGLKNEDTQWVTVDIVHPEPSPADWVGVFSPAKFNSSTCPPINDRKQQNPYICSAPIKYKYANHSNSEYTKTGKNTVKFQLINQRADFSFGLFSGGLSNPKLVAVSNSISFANPKAPLYPRLAQGKSWDEMTITWTSGYNIDEAVPFVEWGLNGGMPERSPAVTLTFHQNSMCGSPARTVGWRDPGFIHTSFLKNLWPNNWYSYRMGHISSNGSYVWSKMYSFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYSDYQPGSLNTTDRLIEDLNNIDIVFHIGDITYSNGYISQWDQFTAQVEPIASSVPYMIASGNHERDWPNTGSFYDTTDSGGECGVPAETMFYVPAENRAKFWYSTDYGMFHFCIADTEHDWREGSEQYRFIEQCLASADRQKQPWLIFAAHRVLGYSSDYWYGLEGSFQEPMGRESLQRLWQKYRVDIAFFGHVHNYERTCPIYQNQCVNTEKNHYSGTVNGTIHVVAGGGGSHLSKFSDVTPKWSLYKDYDFGFVKLTAFNHSSLLFEYKKSRDGKVYDSFTISRDYRDVLACVHDSCAETTLAS >Manes.04G130100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33225324:33231103:-1 gene:Manes.04G130100.v8.1 transcript:Manes.04G130100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDMSNNPPTSHTKDFFASPALSLSLAGIFRSANAGGAAEAQSVEVEEGEEGSGGGRREGNVEISSENSGPVRSRSDDEFEGGEHDDDDGDDDDDNGGDDKNKKKKRKKYHRHTTEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKTEMEKLREENKAMRETINKACCPNCGLSTTSRDTSLTTQEQQLRIENAKLKAEVEKLRAAIGKYPPGAAPSCSAGNEQENRSSLDFYSGIFGLEKSRIMEIVNQAMEELIKMATSGEPLWIKSVETGREILNYDEYMKEFGVENPSNSGRLMRSIEASRETGVVFVDLPRLVQSFSDVNQWKEMFPCLIAKAATVDVISNGEGPIRNGGVQLMFAEVQMLTPMVPTREIYFVRYSKQISAEKWAIVDVSVDKVEDNIDASLVKCRKRPSGCLIQDTSNGHCKVTWVEHVECQKNTVHTMYRTIVNSGLAFGARHWVATLQLQCERIVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCRAIGASSFHTWNKVTSKTGDDIRVSSRKNLNDPSEPTGVILCAVSSVWLPVSPHVLFDFLRDDAHRNEWDIMSNGDPVQSIANLAKGKDRGNAVTIQTMKPKENPLWVLQDCCTNAYESMVVYAPVDINGMQSVITGCDSSNIAVLPSGFSILPDGLESRPLVITSRQEEKGTEGGSLLTIAFQILTNTSPTAKLSMESVDSVNTLISCTLRNISQACNVKIVDYS >Manes.11G123000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28487199:28491904:-1 gene:Manes.11G123000.v8.1 transcript:Manes.11G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVNEEKLRFCIDRGGTFTDVYAEIPGNPDGRVLKLLSVDPSNYDDAPVEGIRRILEEYTGEKIPRTSKIPTDKIDWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGNQARPNIFDLTVSKPSNLYEEIIEVDERVQLVLDEELVDQNSCSPPVVKGVSGELVRVVKPLDEKALKPLLEGLLEKGISCLAVVLLHSYTFPQHEIAVEKLAVSLGFRHVSLSSALSPMIRAVPRGLTASVDAYLTPVIKEYLSGFISKFDEGLGKVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYAGSYEQVIETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLILGFVIPDHFPSIFGPNEDQPLDIKATREEFEKLTEQINSYRKSQDPSAKDMTVEDVALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMNEVLIHRFCGILSAYGMGLADVVEEAQEPYSAVYGPESVLEASHREDMLLKQVKQKLQAQGFREENITTETYLNLRYEGTDTSIMVRGHVNEDGSVCDYAVEFVKLFQQEYGFKLQNRNILICDVRVRGIGVTNILKPQVLEPISGSPKVEGHNNIYFGKGWHHAPVFKLENLGPGHIIPGPAIIMNGNSTVIVEPNCKAIITKYGNIKIEIESNISTVKIAEKAADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLNYWGDNLNEGDVLVTNHPCAGGSHLPDITVITPVFDKGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGVFQEEGIIKLLKFPCSDDSAHKIPGSRRLQDNLSDLHAQVAANKRGISLIKELIEQYGLDTVQAYMNYVQLNAEEAVRQMLKSVAARVSSQSARFGENALVTIEEDDYMDDGSVIHLKLTIDSDKGEAIFDFGGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIHIPSGSFLSPSEKAAVVGGNVLTSQRVTDVVLTAVQACACSQGCMNNLTFGDNTFGYYETIGGGSGAGPSWDGTSGVQCHMTNTRMTDPEIFEQRYPVVLHKFGIRENSGGSGLHKGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGKDGARGANYLITKDKRRVYLGGKNTVEVQAGEILQILTPGGGGWGS >Manes.06G119132.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:25006773:25020920:-1 gene:Manes.06G119132.v8.1 transcript:Manes.06G119132.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLRFKEMTWDMAKQDIAEVTEPMAVINLKGVASSSIYPRKQTQFSLPRCPYGQYGNLGLLLPQEEALPDIQQPAPLRQHGLPSTVRSTTRSRNSCAIPIFHSQLSSKTTPAARDSKESRGAETYLGFEAPAAHRTFLGRSSHQPERLETAQHLRSPETSRLLGSSCDRCRGNANPRGAQPTGRPTHGARH >Manes.14G096800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8029697:8034496:1 gene:Manes.14G096800.v8.1 transcript:Manes.14G096800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWTPEQGSLNKFHGQHALRERAKKINQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIISGAQRKTEDFDVEDAETFALPADEERGKLADPFYRLEHQEEDLQKKKEAEPVLVRLQRVSDARHSDDYALNKALRSRLRSQKKRVAEEEVASRKMGLGIRLLPTTKEDSAAAANVKFSSKFDKNRKDKRALIHATSIFPESYGASFSNKKRLELEAKRRKISAAAVSNMLTGGFKPSSWSQCKKQHK >Manes.01G055100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:23053204:23053443:-1 gene:Manes.01G055100.v8.1 transcript:Manes.01G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSSFDALCGELLGQAIRFRSSDFASATTHDLIKKPQQSSSLPSTEDSKREQPPSKAPRFAPELDGLNCFETIVNY >Manes.11G109000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:25299515:25300682:1 gene:Manes.11G109000.v8.1 transcript:Manes.11G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGSKFNPGGKTIPARLRPLLPRRFEEMRRWRHGGSLEDNDSAIFSKKELLIDGFFDTDNSSHPHDSDRKSISSLEDHSPKVAPAPESDETAPKNKIDPNKTAETTPLQPVSVSKDVVVEAPLPKVSTNESNKSRKENDIQQDRNDEDKEKVAEMETTETAEDENWAEVDGRLRRITKKYDYPGSPSFRFYCIESLSNKDDGSSKCLYKDVDDDASDKKSEYEDCLATSESTNNSDAGSETKTKKKGRKVIRLRRVIPKGRSGAVMNLLNVKSCYTPTCVRRRDNAHILAEKAVA >Manes.14G057400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:4850676:4853844:-1 gene:Manes.14G057400.v8.1 transcript:Manes.14G057400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKPSLSLLTFLSLLLLTCARVDIDHSDLKAFSIIHKDLGINDQRCPSINPCNIPGVFCERRLSNNATYVLKITRLIFKSQRLSGFLSPAIGRLSELKELSLPNNQLVDQVPPQIVDCKKLEILDLGNNLLSGEVPSELSKLLRLRILDLSNNKFSGNLSFLKQFPNLERLSLANNLFTGIVPKSIRSFRNLQFFDFSGNSFLEGPVPLMSKAGSMASQYPKRFMLAENGKTKGDKNFSSAAGPSSATTGSAQAPAPSSTGGEHHKKKKSKKLSGWLLGFLAGAVAGSISGFVFSVMFKLGLAAIRGGGRDSGPAIFSPHVIKKAEYLAFLEKDDGLANLEIIGRGGCGEVYKAELPGSNGKLIAIKKIVQPPRDAAELTDEDSKLLNKKMRQIRSEINTVGQIRHRNLLPLLAHISRPDCHYLVYEFMKNGSLQDVLNQVSEGNRELDWLARHRIALGVASGLEYLHINHSERIIHRDLKPANILLDDDMEARIADFGLAKAMPDAKTHVTTSNVAGTVGYIAPEYHQTLKFTDKCDIYSFGVLLGVLVIGKLPSDEFFQTTREMSLVKWMRNIMTSENPLQAVDQTLIGKGYDEQMLLVLKIACFCTLDDPKQRPNSKDIRCMLSQIKH >Manes.05G169500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28332469:28336357:1 gene:Manes.05G169500.v8.1 transcript:Manes.05G169500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRESIGNQNAVALGLSKHVLLTEAKTSNSVLSPLSIQVVLGLIAAGSKGPTLDQLLAFLKSKSNDQFSSFSSELVSVVFADGSVSGGPRLSFANGVWVDRSLSLKPSFKQVVDNVYKAASNQADFQTKAVEVTNEVNAWAEKETSGLIKEVLPSRSVDATTRLIFANALYFKGAWNEKFDASTTKDHDFYLLNGSSLHVPFMTSKKKQFISAFNGFKVLGLPYKQGEDKRSFSMYFFLPDAKDGLPALAEKVGSESGFLDHHLPQQRVEVGDFRIPKFKISFGFEASKTLKGLGLVLPFSGEGDLTEMVDSSVGQNLYVSSIFHKTFIEVNEEGTEAAAASAGVVKLRGLLNTEKLDFVADHPFLFLIREDMTGIVLFIGHVLDPSQAN >Manes.02G136000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10323194:10332313:-1 gene:Manes.02G136000.v8.1 transcript:Manes.02G136000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFGGTMQKDAVPAVSADVVFASSRFPNYRLGANSQILEAKDDPKVLSMKEVVARETAMLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELTQEKAEVKKLANFLKQASEDAKKLVDEERAFARAEIESARAAVQRVEEALQEHERMSRASGKQDLEELMREVQEARRIKMLHQPSKVKDMERELQALRIQLAEKSKRSILLQKELARSKRAEQNISQSYELDGSEALGSYLWINPCSDNAPELSKCSIQWYRVSSEGGKKELISGATKSVYAPEPFDVGRVLQVEIIADGQQVTLTTTGAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNGVDHESESIHVLHVGKMRMKLCRGKTTVAKEYYSTLMQLCGVRGGGNAATQALYWQVNKGLSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRSPLGT >Manes.02G136000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10323067:10332442:-1 gene:Manes.02G136000.v8.1 transcript:Manes.02G136000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFGGTMQKDAVPAVSADVVFASSRFPNYRLGANSQILEAKDDPKVLSMKEVVARETAMLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELTQEKAEVKKLANFLKQASEDAKKLVDEERAFARAEIESARAAVQRVEEALQEHERMSRASGKQDLEELMREVQEARRIKMLHQPSKVKDMERELQALRIQLAEKSKRSILLQKELARSKRAEQNISQSYELDGSEALGSYLWINPCSDNAPELSKCSIQWYRVSSEGGKKELISGATKSVYAPEPFDVGRVLQVEIIADGQQVTLTTTGAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNGVDHESESIHVLHVGKMRMKLCRGKTTVAKEYYSTLMQLCGVRGGGNAATQALYWQVNKGLSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRSPLGT >Manes.02G136000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10323098:10332541:-1 gene:Manes.02G136000.v8.1 transcript:Manes.02G136000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSRDFGGTMQKDAVPAVSADVVFASSRFPNYRLGANSQILEAKDDPKVLSMKEVVARETAMLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELTQEKAEVKKLANFLKQASEDAKKLVDEERAFARAEIESARAAVQRVEEALQEHERMSRASGKQDLEELMREVQEARRIKMLHQPSKVKDMERELQALRIQLAEKSKRSILLQKELARSKRAEQNISQSYELDGSEALGSYLWINPCSDNAPELSKCSIQWYRVSSEGGKKELISGATKSVYAPEPFDVGRVLQVEIIADGQQVTLTTTGAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNGVDHESESIHVLHVGKMRMKLCRGKTTVAKEYYSTLMQLCGVRGGGNAATQALYWQVNKGLSFVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRSPLGT >Manes.11G101400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22699112:22723933:1 gene:Manes.11G101400.v8.1 transcript:Manes.11G101400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVEAIEAQPNLNWSESVEDLVSAGDTDGAISLLETVVSKLETLSPSETLDLQLASALTELSKLHSTKHFSLKSDELLSRASLLKQRVLQSRPSGSENVEDSKEDVSSTSNATLSSNHSVIDGRCIKGNLEESGRLPDDASPCNGSSDDDWEAIVDRAPSELLSPQGLPSISNLSLNENKVQGPKRRGRGTFSYKQDKLYSDRQSCTSFSSDTEDDDLSKGKQQNPESIYSKYGTHHVLVLSDFPPSTRTIELEKLFQDFRSEFVIRWVNDTMALAVFQTPSLDLEPPRRRPQTSTRTAQRLIAQGMGMKLPSTNFGSRELKDQEEARKNRIVTRQKMIEDAWGDGEK >Manes.11G101400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22699112:22723933:1 gene:Manes.11G101400.v8.1 transcript:Manes.11G101400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVEAIEAQPNLNWSESVEDLVSAGDTDGAISLLETVVSKLETLSPSETLDLQLASALTELSKLHSTKHFSLKSDELLSRASLLKQRVLQSRPSGSENVEDSKEDVSSTSNATLSSNHSVIDGRCIKGNLEESGRLPDDASPCNGSSDDDWEAIVDRAPSELLSPQGLPSISNLSLNENKVQGPKRRGRGTFSYKQDKLYSDRQSCTSFSSDTEDDDLSKGKQQNPESIYSKYGTHHVLVLSDFPPSTRTIELEKLFQDFRSEFVIRWVNDTMALAVFQTPSLALEAQKHIQYPFTVRILDEDDILMGSIRERDLEPPRRRPQTSTRTAQRLIAQGMGMKLPSTNFGSRELKDQEEARKNRIVTRQKMIEDAWGDGEK >Manes.S024916.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:337853:337975:1 gene:Manes.S024916.v8.1 transcript:Manes.S024916.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.16G070600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:25572187:25578747:-1 gene:Manes.16G070600.v8.1 transcript:Manes.16G070600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDKFLAKVSGLQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGPKKELLRTCFDKDKKHTASSKSRTTEVMKIGNKTVKKQESKKASSSPSNQPSFKKQQRKGENPMQLVTPPEQSSDFGCSKSWICKNSACRAVISIDDTFCKRCSCCICHLFDDNKDPSLWLVCTSETGQGDSCGLSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGCWKKQLIIAKDARRVDVLCYRIYLSYRLLDGTLRFKELHEMVKDAKAKLETEVGPVNGVSAKMARGIVSRLSVAGDMQKLCSLAIDKADKWLATISSGKSSCREDSFPAACRFLFEEVTSSSVIIILIELPTMSSGDIKGYKLWYCKSREETHTKEPVCVFPRTQRRILISNLQPCTEYTFRIVSYTEAGDCGHSEAKCFTKSIEIIHKNPSSSAATNGKKSNTHLGGGTSGSKRDSKNTMSVNSSGFKVRELGKVLHLAWAQEQGCFESFCSADIDICCGASKVMKSETLEDQLPSVSRGLDLNVVSVPDLNEELTPPFESSRDEDNGCTLEQAVEVDDDAASHDIKENGLARSHGSGDSQTWTGGPSLEVPAVDSVAELRRKRAAHSNEEMHDCDSTLIDGSPFRVSNGAGCLDENFESCVKIIRWLECEGHINQEFRLKFLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISSKRPRNGFCSKLWH >Manes.14G102100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:8472930:8475021:1 gene:Manes.14G102100.v8.1 transcript:Manes.14G102100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQILPDFSNSVKLKYVKLGYQYLVNHILYLLLIPVIVGVSIEVLRLGPDEIFNLWKSLHFDLVQILCSSFFIIFAATVYFMSKPRTIYLVDYACYKPPVTCRVPFSTFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMEAARGEAELVIFSAVDSLFKKTGLKPKDIDILIVNCSLFSPTPSLSAMIINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYQGKERAMLLPNCLFRMGGAAILLSNRRSQRWRAKYRLVHVVRTHKGADDKAYRCVYEEEDKEGKVGINLSKDLMAIAGEALKSNITTIGPLVLPASEQILFLFTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIESKGRMKRGDRVWQIAFGSGFKCNSAVWKCNKTIKTPKDGPWADCIDRYPVHIPEVVKL >Manes.10G140410.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30479953:30513183:-1 gene:Manes.10G140410.v8.1 transcript:Manes.10G140410.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFPFLWIICLLCGELLCGGVAQSVNCNPADREALLDLKRGLNDSWNRLSSWHGSNCCGWSGIACHNTTGAVLAVDLPNSSGLQPLGGEIRPSLAKLKSLKHLDLSGNNFHGKIPHFLSDLHNLHYLNVSIAGFSGEIPPNLGNLSSLQFLDVSCDGLTVENLEWLSGLLSLKHLAMSGVELSNLGAAWIEPLNKLPLLSELHLQFCGLSGFIYSLPSVNFTSLKVLSLTGGRFKAKLPSWFVNISSLVSVDISYSMLTGRIPLGFGELPNLQSLKLDYNLELSASCFQLFTRSWKKIRVLDLSINEIHGRLPAHLGNMTSLTDFDLHFNNIEGGFPSSIGKLSNLQYIDFSLNKLTGSLPNSIGQLENLVELRLNSNLLQGSIPYSIGNLQHLTILKLSSNNINGTLPDSIGLLSELSTLDVSLNKLTGIISEAHFHRLENLEQIILSDNSVILNVSSHWVPPFQVIFLEMSSCHVGPSFPYWLRSQKKIEVLDFSRAGVSGSIPNWFWNMTSILSFLNFSFNSLEGHIPNKFKLVPYAFVDLSFNQFKGPVPLPNALLLDLSHNQFYGSMPENISQGMPSLKVLSLSSNQLTGGIPASIGELSLDVLDLSKNNLAGSIPPNIGNCSFLTVLDLQNNNLSGRIPNSIGRLNGLQTLHLSNNKFSGEIPSSLQNLSKLETLDLGSNMLTGKLPFWVGEAFPLLRILNLRANKLSGELPLTLSNSSSLQILDLAENQLNGSIPANLGNLKAMAQQQKVNHYLLYGKDENHNYQENVYVTINGLGLTYTRTISLLTSIDLSGNNLSGRFPEAITRLVGLEVLNLSRNHINGQIPDRISALRQLLSLDLSSNRLSDVNF >Manes.10G055200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6982512:6996320:1 gene:Manes.10G055200.v8.1 transcript:Manes.10G055200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRINLGEGFSTQGREFEFMGSWVPATPKKPIQTRSNSMPIDRHGYHQLGTANWQEVAGFPNGYVQEVSTIEQMGRNGSLAERKRMINHIAGSYTQSLSQEIGSWNCSSFVDLLTKDYAAPFACPDTSLSRSMSMGRPLIPDFHFQVTHLRESSSREMLFPNQPHCSSSNLSSGYNSLLHASQYGFSMPCDPNFDINSQTSKGVNAASIATDSFQFAPKTPPLTKRLKNQLSAKYYEQEKGSNEGIDKCHDLVTSVDYTPKQHTSDELLYNILDSSFAVISTPSEEPKDFGKQIDQVIDLNQTPQQKTQKRKKHRPKVVREGKQNRTPKASTTKIADLNMKTTEKRKYVRKKGQQESPAQCPDSIGETTDSKETLNGRKNNVDHISERMNPSAGMAGPSCRRALNFDNLENIRDERESNSVSQQEIMRKKEAFNSSTGFQAAESVDKTNMMHRTISDLQLRQHNELLLENQESAAISNLTSSPSRLLCSNTSISHRTEAGAISVSDRREAAGLPASKKDAQMDNLNANARETDTRMQQHLHADPERTRQLMPQDTQSVGEIPHHLIHGRGNKRGFGNVEQTSHCSASPPDYDSNSCIFSAVCSETHKKMKIENGILTNTNGVLHSIAAVNYSIASTAQRNREIWNSYLNSKNIKRKENNGSTRYPVDYYTNLVASGQDLSMQQIFSGPNLYMERIAEAKATHVETLASLTTVEKYNLLPPTPKTGCQPEGQLQAKTSNIDVSMKQAMGNNQSKYASFREGKMLQEHADILKDQQSPAKRRGRPSKQRFSTTIEEITYRMECLNLNAVGNEKTGNEQNALVPYKGDGTLIPYGGFDFIKKRKPRPKVDLDPETERVWKLLMWKEGSEDVDGTDENKKQWWEEERRIFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPFKPARNRTSKRDGKIILVEEPDACVLNPNDTVKWNEKFLHHSFYNQNSMANCESTEYQRETETSWTGRTSIVEVHSHSPQEEVLSSQDSFDSSLIQSNGVRSYSGSNSEAEDLANGCIHSKHLDTHLTNLPQVESTTLFEEFYGSVSGRSLFDIQSRHEQKQPEDMENRQQQWPRLERLDGSIKGPFTFYQQINYSTPKMQVPDVSSSKCQLCTTVLSEILDIDSVDFNREESISSWPSSASRFNKEKYASCTSARVVQGAESIALSTAQQYGPWSYQETRTVDPHPFLSKQMTDQQSNTEPYLGSQPHKINKTFQLERKSTGETANLADAQVNGQSSYEQHLSNLPSLAGKVFDVEERIVLVDDKQTCTENGIIESNLEEQVHFTNKKNLKTSYLKARKVKAGDEKKDAIDWDSLRKQVLANAREKDRSQDTMDSMDYEAMRCVSVDEISNTIKERGMNNLLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQLITFGKVFCTKNKPNCNACPMRAECRHFASAFASARLALPGPEEKIMVTSTVPLRTERSPGVVIDPMPLPPSEENSVRKGGSDIVTCVPIIEEPATPEQEHNEVTESDIEDINNEDTDEIPTIKLNMEELTMNLQNYMQANMELQEGDMSKALVALNQEAASIPTPKLKNVSRLRTEHQVYELPDSHPLLKEMDKREPDDPSPYLLAIWIPGETANSIQPPEQHCQSQEPDKLCNEKTCFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHETSLNPINVPRAWIWNLPRRTVYFGTSVSTIFKGLSTGGIQYCFWKGFVCVRGFDQRTRAPRPLSARLHFPASKLAKTNKRTQ >Manes.10G055200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6982790:6996320:1 gene:Manes.10G055200.v8.1 transcript:Manes.10G055200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRINLGEGFSTQGREFEFMGSWVPATPKKPIQTRSNSMPIDRHGYHQLGTANWQEVAGFPNGYVQEVSTIEQMGRNGSLAERKRMINHIAGSYTQSLSQEIGSWNCSSFVDLLTKDYAAPFACPDTSLSRSMSMGRPLIPDFHFQVTHLRESSSREMLFPNQPHCSSSNLSSGYNSLLHASQYGFSMPCDPNFDINSQTSKGVNAASIATDSFQFAPKTPPLTKRLKNQLSAKYYEQEKGSNEGIDKCHDLVTSVDYTPKQHTSDELLYNILDSSFAVISTPSEEPKDFGKQIDQVIDLNQTPQQKTQKRKKHRPKVVREGKQNRTPKASTTKIADLNMKTTEKRKYVRKKGQQESPAQCPDSIGETTDSKETLNGRKNNVDHISERMNPSAGMAGPSCRRALNFDNLENIRDERESNSVSQQEIMRKKEAFNSSTGFQAAESVDKTNMMHRTISDLQLRQHNELLLENQESAAISNLTSSPSRLLCSNTSISHRTEAGAISVSDRREAAGLPASKKDAQMDNLNANARETDTRMQQHLHADPERTRQLMPQDTQSVGEIPHHLIHGRGNKRGFGNVEQTSHCSASPPDYDSNSCIFSAVCSETHKKMKIENGILTNTNGVLHSIAAVNYSIASTAQRNREIWNSYLNSKNIKRKENNGSTRYPVDYYTNLVASGQDLSMQQIFSGPNLYMERIAEAKATHVETLASLTTVEKYNLLPPTPKTGCQPEGQLQAKTSNIDVSMKQAMGNNQSKYASFREGKMLQEHADILKDQQSPAKRRGRPSKQRFSTTIEEITYRMECLNLNAVGNEKTGNEQNALVPYKGDGTLIPYGGFDFIKKRKPRPKVDLDPETERVWKLLMWKEGSEDVDGTDENKKQWWEEERRIFRGRADSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPFKPARNRTSKRDGKIILVEEPDACVLNPNDTVKWNEKFLHHSFYNQNSMANCESTEYQRETETSWTGRTSIVEVHSHSPQEEVLSSQDSFDSSLIQSNGVRSYSGSNSEAEDLANGCIHSKHLDTHLTNLPQVESTTLFEEFYGSVSGRSLFDIQSRHEQKQPEDMENRQQQWPRLERLDGSIKGPFTFYQQINYSTPKMQVPDVSSSKCQLCTTVLSEILDIDSVDFNREESISSWPSSASRFNKEKYASCTSARVVQGAESIALSTAQQYGPWSYQETRTVDPHPFLSKQMTDQQSNTEPYLGSQPHKINKTFQLERKSTGETANLADAQVNGQSSYEQHLSNLPSLAGKVFDVEERIVLVDDKQTCTENGIIESNLEEQVHFTNKKNLKTSYLKARKVKAGDEKKDAIDWDSLRKQVLANAREKDRSQDTMDSMDYEAMRCVSVDEISNTIKERGMNNLLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQLITFGKVFCTKNKPNCNACPMRAECRHFASAFASARLALPGPEEKIMVTSTVPLRTERSPGVVIDPMPLPPSEENSVRKGGSDIVTCVPIIEEPATPEQEHNEVTESDIEDINNEDTDEIPTIKLNMEELTMNLQNYMQANMELQEGDMSKALVALNQEAASIPTPKLKNVSRLRTEHQVYELPDSHPLLKEMDKREPDDPSPYLLAIWIPGETANSIQPPEQHCQSQEPDKLCNEKTCFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHETSLNPINVPRAWIWNLPRRTVYFGTSVSTIFKGLSTGGIQYCFWKGFVCVRGFDQRTRAPRPLSARLHFPASKLAKTNKRTQ >Manes.11G084300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13146048:13148904:1 gene:Manes.11G084300.v8.1 transcript:Manes.11G084300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKTTDMSSTKAIISAAASAAATAMLLLSIAKEFIPNELRQYVYFKFTTLLDSFSSELTLVIEEYDKLNHNHLFTASELYLEHIIPHNAQRLKICLPKKENNISVSLQENEEIIDTFNGIKLKWKFISRKTPVKFIPSPDQYSKMPVTEEMFFELSFHKKHKNMVLDAYIKHVIKKSKEMKEKKRTLKLFTLSPDRMTGRRGETWQSLNLDHPATFDTLAMEMEEKRMIMEDLERFVKRKEFYKKVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDLNTNSELRRLLISTGNKSILVVEDIDCSIDLQNRNAEAGALRPQPSRGYTQERNKVQVTLSGFLNFVDGLWSSCGDERIIIFTTNHKEKLDPALLRPGRMDVHIHMSCCSPCGFKTLASNYLRITDHPFFVEIEELMKTTTITPAEVGEQLMKSEEPENALRGLIGFLERKKIEDEERKKRENDESKATNEEKKKRENDESKAASEESGITEAEVSQKQKMENGDI >Manes.11G084300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13145905:13147972:1 gene:Manes.11G084300.v8.1 transcript:Manes.11G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKTTDMSSTKAIISAAASAAATAMLLLSIAKEFIPNELRQYVYFKFTTLLDSFSSELTLVIEEYDKLNHNHLFTASELYLEHIIPHNAQRLKICLPKKENNISVSLQENEEIIDTFNGIKLKWKFISRKTPVKFIPSPDQYSKMPVTEEMFFELSFHKKHKNMVLDAYIKHVIKKSKEMKEKKRTLKLFTLSPDRMTGRRGETWQSLNLDHPATFDTLAMEMEEKRMIMEDLERFVKRKEFYKKVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDLNTNSELRRLLISTGNKSILVVEDIDCSIDLQNRNAEAGALRPQPSRGYTQERNKVQVTLSGFLNFVDGLWSSCGDERIIIFTTNHKEKLDPALLRPGRMDVHIHMSCCSPCGFKTLASNYLRITDHPFFVEIEELMKTTTITPAEVGEQLMKSEEPENALRGLIGFLERKKIEDEERKKRENDESKATSEESGKQKMENGDI >Manes.15G189800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:30164027:30178695:1 gene:Manes.15G189800.v8.1 transcript:Manes.15G189800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATGLAPGLSRKLKKVLECRTDTPDLVSSLHTLSTFYTDNSPQARRNLRSTIEKRSLSINLQFLQASNAAQLALDRVEEEVNALAECCDKIAKALNSCSASTGDIISTTERLKQELEITTQRQEIVSCFLRDYQLSNEEINALRDEDLNENFFKALSHVQEIHSNCKILLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQVECRKLGDADNPEVSELLKTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVRDMLGWLHQALASERELVLVLLDPDAAVDAGSSANRLSKNVENYNGKTEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIIAYKLINTLEFYSYTMSELLGRDTALSNTLWMLKDAAQKTFFDILKSRGEKLLRYPPLVAVDLSPPEAVRDGVSVLLEIIETHDSMMVPASEKKPEFDPVISAILDPIIQMCEQAAEAHKSKGAGHLTRRRMNSDPGQINKSSVDALLSNNNPAPSLQNSEAPSKIFLINCLCAIQQPLSGYEVAAEYVKKLGAMIENHMQALVAKEVDASLRRCNLSHKMSHFHNSLANEAVGIPLAEIEETSPASLSESLRAFFGLILGSESSLPEFEQIQVPKLRSEASIQVARSLAEAYELIYKVIMDPTNGYSEPKSLARHPPDQIRTILGI >Manes.07G054000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7524734:7526958:-1 gene:Manes.07G054000.v8.1 transcript:Manes.07G054000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISQSVLMALAVTVNKFASSNVHAVQRKESKTSPATSPAAFPRRGFLLSTLVAAYPLTTDSKTQLLNKYLKKSEENKAKNDKEV >Manes.07G054000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7524734:7526958:-1 gene:Manes.07G054000.v8.1 transcript:Manes.07G054000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISQSVLMALAVTVNKFASSNVHAVQRKESKTSPATSPAAFPRRGFLLSTLVAAYPLTTDSKTQLLNKYLKKSEENKAKNDKEKDL >Manes.07G054000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7524734:7526958:-1 gene:Manes.07G054000.v8.1 transcript:Manes.07G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISQSVLMALAVTVNKFASSNVHAVQRKESKTSPATSPAAFPRRGFLLSTLVAAYPLTTDSKTQLLNKYLKKSEENKAKNDKERLDSYYKRNYKDYFDFVEGSLKGKKEQDLTESEKGILDWLKTHK >Manes.17G108700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31524692:31529549:-1 gene:Manes.17G108700.v8.1 transcript:Manes.17G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQGFLASLWSLICFLPFFVGLLLLGTIKGIIFCPLVCLIITVGNSAIVLGLWPFHIFWTYYSIIRAKQFGPGLKIVLCLFLPVPLILWLVFSIIGSITGGALYGFLSPVFATFDAVGEGKTNELYHCFVDGTWDSVKKSCIIVRDFGDVCYHSYSSYMHDLQGQQPPDRKYYEIRLLYLPGAIIAGFLGFMLDFPLISLIALCKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVSSIVLGAYAGVVVYQESSFWFGLCYIVASWAIYDEYSNDILDMPEGSCFPRPRYRKAEGKSTSRAPSFSKRSSFQRADSLTPLLDLKPFMVLDGLFKECRRHGENFLSEGLITAEDLEDARSKKSGTVVSIGLPAYCLLQALLRSVKSNSEGILLSDNTTELTTSNRPKDTFFDWFFNPFIIMKDQIKALNLSEAEEDYLCKLVLLSGDPMKLKTANIGPAPESERRRAELDALARRLQGITRSISRYPTSRRHFQSFVKTLLEDLAKKNGERKSTDGTPALSRSKSAFAVVFQKSFKNKLSFKGSDEKPLPVERDVEIE >Manes.07G116500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32212182:32215004:-1 gene:Manes.07G116500.v8.1 transcript:Manes.07G116500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTLTTALLLLSTLLRFSTTTLAIGVNYGTLGNNLPPPSQVANFLKTQTIIDSIKIFDTNPDVLRAFANTNISVTVTVGNGDIPALSDERAARRWVADNIKPYYPRTKINRIAVGNEILMSAVQDWIAHLVPCMKALHHALVVAGINDVKVSTPHTLGILHNSVPPSAARIRPGYQKSIFAPMLAFLRETKSPLMVNPYPYFSYAPKVAKYILFQPNRGIHDRFTGITYSNMFDAMMDAVYSALKAMGYPDVDILVAETGWPSAGDPDQPACTVENAITYNGNLIKHVTSGKGTPLMPNRRFETYIFALFNENLKPGTSAERNWGLFRPDFSPVYDVGILRNQKNNPANPKPSPKPAPGKKWCVPKPEANDQQLQGNIDYVCSQGVDCKPIQAGGVCFDPNNVRSHASFVMNSFYQSQGQNAFNCDFSQTGVLTTANPSHGACKYL >Manes.11G143500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30828421:30829233:-1 gene:Manes.11G143500.v8.1 transcript:Manes.11G143500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQQSALSFSLLVLFLCCTKTFSLSPAAAPVQAPTVAPVQPPPPPAVQVPVLPGPINVVNILERAGHYSLFVRLLKATQSDTELTVELNHTHNGITIFAPTDGAFSGLKVGTLNSLSDGDKVKLVKFHISPIYISPSQFQTVSNPLKTQAGKGGRMSLNVTTTGSIVNITTGVINTTISSTVYNDNQLAIYQIDQVLLPMEVFSPNLPPPAPAPAPLLAVAPPPEKPKKAPIVESPAVPIDDVSGVVSCDVHKIEVILAMGIAAAMLCL >Manes.18G144864.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21584775:21586266:1 gene:Manes.18G144864.v8.1 transcript:Manes.18G144864.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.05G005000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:396976:398049:-1 gene:Manes.05G005000.v8.1 transcript:Manes.05G005000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICTVNSVDLPDGTSCESGVFVERCKYLEESKCVGICVNTCKLPTQTFFKDYMGVPLLMEPNFTDYSCQFKFGVLPPQPEDDITLKEPCLEVCPIASRRRQVAQTQSTDVGQCPKA >Manes.05G005000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:396976:398212:-1 gene:Manes.05G005000.v8.1 transcript:Manes.05G005000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARVTALTCQWLMGICTVNSVDLPDGTSCESGVFVERCKYLEESKCVGICVNTCKLPTQTFFKDYMGVPLLMEPNFTDYSCQFKFGVLPPQPEDDITLKEPCLEVCPIASRRRQVAQTQSTDVGQCPKA >Manes.16G085275.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:29179923:29181368:1 gene:Manes.16G085275.v8.1 transcript:Manes.16G085275.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDRYMKYHVREFEKTFAYKLPVCSVAAKKHIDQKREEEEEGDRRRRRRRRRRRRRRRRRRRRRRRSEKRRRRRRGGRGRRRRRSGRRRRRRRGGGGRGRRENGYFSLFK >Manes.03G106700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:23022110:23023410:-1 gene:Manes.03G106700.v8.1 transcript:Manes.03G106700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHQKTSSREIFSFPSTPSQYQDSDFEFGCLTPDFPPTDDPYMSSPADHLFSNGRLLPHSFPVVLQQQKQSPATSMVLIESISRASSRNSSLSSRGSLMSSRSNSVNSSRSSVSSSSARTSWSSDNSERRLLYHSTKLSRKTPMASKVIMEQLYGSSQRWQHVMPMPALKRDDSRRKNNAAVIKKKEGDHQHGKKDKSSGICRRFFRSFLVACRECHAMEPSTKDDILQGIVKL >Manes.05G202200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32683504:32684921:1 gene:Manes.05G202200.v8.1 transcript:Manes.05G202200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISTSLRLFTTRVPISSYSLKPLISTVKPINLSFYIFSRPISFDSIATKKPSPVLVSASSAASLQPIEELPPKLQEIVKLFQSVAKPKAKYEQLLFYGKNLKPLDTQFKTRDNKVEGCVSQVWVRAYLDTDRNVVFEADSDSVLTKGLAALLVQGLSGRPVDEVLRVSPDFVVLLGLQQSLTPSRNNGFLNMLKLMQKKALELYLEAEKGSGLGSNSGNVDRENLNDGLSKKKENIEVKGSNSGAGVENSSIAMNLSENFVSSSESKVGGGVGKDSDNLGSRGKRIKEILERELSPTELEVEDISYQHAGHAGVRGSDGETHFNLKVVSKEFEGKSLVKRHRLVYGLLQDELQSGLHALSIVAKAPAEVDAR >Manes.05G202200.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:32683768:32684895:1 gene:Manes.05G202200.v8.1 transcript:Manes.05G202200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISTSLRLFTTRVPISSYSLKPLISTVKPINLSFYIFSRPISFDSIATKKPSPVLVSASSAASLQPIEELPPKLQEIVKLFQSVAKPKAKYEQLLFYGKNLKPLDTQFKTRDNKVEGCVSQVWVRAYLDTDRNVVFEADSDSVLTKGLAALLVQGLSGRPVDEVLRVSPDFVVLLGLQQSLTPSRNNGFLNMLKLMQKKALELYLEAEKGSGLGSNSGNVDRENLNDGLSKKKENIEVKGSNSGAGVENSSIAMNLSENFVSSSESKVGGGVGKDSDNLGSRGKRIKEILERELSPTELEVEDISYQHAGHAGVRGSDGETHFNLKVVSKEFEGKSLVKRHRLVYGLLQDELQSGLHALSIVAKAPAEVDAR >Manes.05G061400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:5159150:5160087:-1 gene:Manes.05G061400.v8.1 transcript:Manes.05G061400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFSDAKFLSAFITKAINGRGLSASAPAAPQGGVRSLPKGGASAVKKIAEEKIASAQKVAWIPDPNTGCYRPENVTEEIDAAESRAMLLKKH >Manes.07G124900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32800456:32801312:1 gene:Manes.07G124900.v8.1 transcript:Manes.07G124900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGAEAKWHGSVGGIVDAPIDKVWTIVSETKRLSEWMPMVERCTDLEGDEGVPGYIRLVSGFMFPQQDGERSWIKERLVSMDFTSHSYVYKMEASNVGLDGSVNTLRLVDYGDDSTLVNWSFEISPLEGSSEENIIDYLGFLYKSCINRIEGAIEAASKRFE >Manes.08G139800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37622856:37628932:1 gene:Manes.08G139800.v8.1 transcript:Manes.08G139800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAWDCHCSEGEYKDPQGKLMHQKLNYRVTTGDEVEDMFILLNEVKRQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSVLLQEMITNGIVAITVKVAAMGLDPAKHLGKELTFLKSHLHKLKELYGINVCGEGGEYETLTLDCPLFVNARIVLDEFRIVLHSSDSIAPVGVIHPLAFHLENKEKDTLSSGNEKVNDLIHEKVGSVIEVEGDCLKRNETTSQSTTEITDLVEVKHGGLHISRTKNDNTFSISCWLQESCKTCTALHEDLEVVLKHIESQLARCSFGWEHVLYIHLYIADMNEFAVANEMYVRFITQDKCPFGVPSRSTIELPLLQAGLGRAYIEVLVANDQSKNVLHVQSISSWAPSCIGPYSQATLHKEMLHMAGQLGLDPPTMTICSGGPAAELEQALENSEAVAKCFDCSICTSAILFTIYCSKHIPLSERHKVQDKQESFLKQMRVLELDKASKCKVLDPIFLYVLVPDLPKRAFVEVKPLLFVSSNTDMANVTDHNLSSKMLPNCWGFQKAHWHDSCIQKCVVRGKIFAVILSITNDVIAKICSESLGANEDHQNSIKKGHMERVARFCIYLLDEVVMENGFSWEDAMTLRFYFTSHDMTLETLSPMFTSAFKELTEMGRRVQIGSEPMFNIIPVLGAGRSASMDDVITCELLAQKH >Manes.08G139800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37622856:37628932:1 gene:Manes.08G139800.v8.1 transcript:Manes.08G139800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALISGGKDSSYAMMKCIQYGHQIVALANLLPADDSVDELDSYMYQTVGHQIIVSYAECMGLPLFRRRIQGSTRHQKLNYRVTTGDEVEDMFILLNEVKRQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSVLLQEMITNGIVAITVKVAAMGLDPAKHLGKELTFLKSHLHKLKELYGINVCGEGGEYETLTLDCPLFVNARIVLDEFRIVLHSSDSIAPVGVIHPLAFHLENKEKDTLSSGNEKVNDLIHEKVGSVIEVEGDCLKRNETTSQSTTEITDLVEVKHGGLHISRTKNDNTFSISCWLQESCKTCTALHEDLEVVLKHIESQLARCSFGWEHVLYIHLYIADMNEFAVANEMYVRFITQDKCPFGVPSRSTIELPLLQAGLGRAYIEVLVANDQSKNVLHVQSISSWAPSCIGPYSQATLHKEMLHMAGQLGLDPPTMTICSGGPAAELEQALENSEAVAKCFDCSICTSAILFTIYCSKHIPLSERHKVQDKQESFLKQMRVLELDKASKCKVLDPIFLYVLVPDLPKRAFVEVKPLLFVSSNTDMANVTDHNLSSKMLPNCWGFQKAHWHDSCIQKCVVRGKIFAVILSITNDVIAKICSESLGANEDHQNSIKKGHMERVARFCIYLLDEVVMENGFSWEDAMTLRFYFTSHDMTLETLSPMFTSAFKELTEMGRRVQIGSEPMFNIIPVLGAGRSASMDDVITCELLAQKH >Manes.02G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7957527:7960321:-1 gene:Manes.02G101800.v8.1 transcript:Manes.02G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGLSSYEALPLLSLNHVSLLCRSVWASVRFYEDVLGFVLIKRPSSFNFNGAWLYNYGIGIHLIENPAINEFEPIVEPRPINPKDNHISFQCTDVGLVKRRLQELGMRYVTAVVEDDGNRIDQVFFHDPDGYMVEICNCENIPIIPLSSCSFRPRNMGSFKRAAPNNCGFMENVMMENLSMDMMDISF >Manes.16G018380.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1921621:1923521:-1 gene:Manes.16G018380.v8.1 transcript:Manes.16G018380.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGMRLGLDKRTGLNRFLTSWKSTDDPGIGDYSLKLNLVGSPQFFLYDGSKPFWRGTPWPWRTPDIYNYSYVNSEEEITFSYSHDDSSVLFQLMVHESGMLMWVSRRESDANWKEFWSGSKYRCDSYGRCGANSICDPRHLNEFECSCLPGYEPKFPRNWFRMRDGSGGCVRKRLKSSSVCGQGEGFVKVPQVKVPDTSTAVWVNMSMSRVDCEQECYKDCSCSAYASIKIPGKDVACLAWYGDLMDIVDLMDHSGYDVYVRVDAIELAEIERSNGFLEMKGMLAFLIVSVSSAWFVIIIFAYLWLRKRKKRVKNKSNRRLFDSINGPHYHKDNFEADDIQTSRSHTDLAFFNLSTISAATDNFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLMAKLQHRNLVKLLGCCVQEEERILIYEYLSNGSLDLFLFDTTRSSFLNWSKRYKS >Manes.08G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:967794:976063:1 gene:Manes.08G006000.v8.1 transcript:Manes.08G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTTNGMISTSSASGNTQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYAHGKTVTQVTLANLKDKPAPSTPTASSSSFSASSGVRSAAARLLGASNGSRALSFVGGNGGSKSISGSSRIGSLGSSSSSNSMINTNFDGKGSYLVFNVGDAIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQDARDGHDLIIGLSSGDVYSVSLRQQLQDVGKKLVGAHHYNKDGSVNNSRCTSIAWVPGGDGAFAVAHADGNVYVYEKSKDGAGDSSFPIIKDLSQFSVAHARYSKSNPISRWHICQGSINSITFSTDGAYLATVGRDGYLRVFDYSREHLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPTADGTGETIMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTFSTGSQSSHWDSAVPVGTLQPAPSMRDVPKLSPLVAHRVHTEPLSGLVFTQESVLTVCREGHIKIWMRPGVAESQSSNSETILISSLKDKPSLSSKVGSSTYKQ >Manes.02G079400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6182707:6185930:1 gene:Manes.02G079400.v8.1 transcript:Manes.02G079400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLLLLLFLLILMSFSARCHSWGWFSSSTETPASADNPSQRKDFSSGSVAEFSMDGFRDEKGLKLVENARNKLAGSNSCWQNAYQQLLAGCSQILAVEEKRSRFAWHLSDCFQRDSGRSPFPHCDTKSAMVNCLKKLNENEHKVFLAFSLETNSICYQLQSHSFKHKMEILVNDLKSSAEYTTDQLEIIQERTYSLSKSSNQIHETLSSIDFRVQNVAQTMNGVKDHMDVLSQHSESVYKQSREIADSQSELRQEQARMNDKLKEGMATIHDSYTDLGQQVNSLRNEAAEIEKQIDIVGKTMSSRMQNLQNTADDIEDKAGKSLDKQEQLLDGQSTALKGLQLLTEFQSEALEESRSTLERLAEYGRKQQEELLQRQAQLQQVHDNLIDNSKSILEAQEAFESKQATMFIALDRLFALHNAMLLESRIIKSFFIYTMSIFIIYMFTSTKQTYNVRARLYMGLCATFLIEVGILRLTANNIEQQAWLINLVRSFYVLLSTLQFLHAIYTYRDYEVMNHQMILALIDKVNDMQRNKGWSWEADSDVNWLSWVETELPDEVDNLEDPDYIIPEEVGENSISITSIRRKYDLRSRRR >Manes.02G178900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14138152:14142035:-1 gene:Manes.02G178900.v8.1 transcript:Manes.02G178900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEESAVKEPLDLIRLSLDERIYVKLRSERELRGKLHAYDQHLNMILGDVEEIVTSVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >Manes.03G171400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29644546:29647466:-1 gene:Manes.03G171400.v8.1 transcript:Manes.03G171400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDRSDSSGPITQRLNSLPSATDTRGKHRIQAELKRLEQEVRFLEEELEQLDKMENATAACKEMLLNVETRPDPLLPITNGPLNPLWDRWFEGPQESQGCRCWIL >Manes.03G155200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28294303:28295318:1 gene:Manes.03G155200.v8.1 transcript:Manes.03G155200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKLLGKWLSPYSYRVLWALKLKGIPYEYVEEDLSNKSPLLLQYNPVHKKIPVLVHGGNPVCESMIILEYLDETWPENPLLPSDPYERAVARFWVKFIEDKGPSAWRVYRTSGEEQEKAVKESLEMLKTIEEHALGEKKYFGGDKIGMVDIACGGIAYWLGLIEEVAGIKLLEPHKFPKLHAWTKNFIEVPIIKDNLPNRDDMLVFFKQAREKLLSSV >Manes.08G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:27898872:27902087:-1 gene:Manes.08G078800.v8.1 transcript:Manes.08G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQKLAETRRVDEEKWVYDSSVDHKGRVPLRAQTGIWKASLFIISIEFSERLSYFGLATSLIIYLTKVIHQDLKTAAKTVNYWSGVTTLMPLFGGFVADACLGRFSTVLVSSIIYLLGLILLTMSQFVPSLKACEDSMCHEARKAHEMVFFLAIYLISIGTGGHKPSLESFGADQFDDDHCEERKKKMSFFNWWNFGLCSGLLLGVTLIVYAQDHMSWGAADVILTVIMALSLAIFIIGRPFYRYRAPSGSPFTPMLQVLVAAIRKRNLPSPSNPAELYQVSMMDHGRPLCHTKKLKFLDKAAILEGTENSTEKQSPWRLATVTKVEEMKLILNVIPIWLATLPFGICVAQAPTFFIKQGTTLNRKIGAGFEIPPASIYSLAAIGMIISVTIYEKILVPTLRKITGNERGIKILQRIGIGMVLSIATMIVAAMIERKRLSVADKDPVNGSLSMSVLWLAPQFLIVGIGDGFTIVGLQEYFYDQVPDSMRSLGIAFYLSVLGAANFLSSLLITVVDNVTEKYGKTWFGKDLNSSRLDNFYWLLAFMAAANFFVYVFLARRYSYKPVQRNVVVAACPNHNGKNNNNDQGDSMA >Manes.05G146600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24923696:24924506:-1 gene:Manes.05G146600.v8.1 transcript:Manes.05G146600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKVFFDMTVGGAPAGRIVIELFADTTPRTAENFRALCTGEKGMGRSGKLLHYKGSSFHRVIPGFMCQGGDFTAGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGQHVVFGRVVEGMDVVKSIEKVGSSSGRTSKPVVISNCGQLA >Manes.14G127900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:10202117:10204844:-1 gene:Manes.14G127900.v8.1 transcript:Manes.14G127900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKVDSTKQLATSSLVLGYAVCSSFLAVINKFAITTFNYPGLLTALQYLTSALAVWFFGKLGFLRHDPFTYETAKKFLPAAIVFYLAIFTNTNLLRHANVETFIVFRSLTPLLVAIADSVFRKQPIPSKLTSLSLFVILGGAVGYVATDSAFTLTAYSWAFAYLVTITLEMVYIKHIVTNVGLNTWDLVLYNNSLSLMMAPLFWILTGEYSEVFASLRSKAGNWIQLDAICAVSLSCVFGLAISFFGFAARKAISATAFTVTGVVNKFLTVVINVLIWDKHASPFGLLCLLFTLAGGVLYQQSVSRPAAAPVPKPTNSENDGDEERQLVKQNSGDEEK >Manes.11G046500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4961630:4963759:-1 gene:Manes.11G046500.v8.1 transcript:Manes.11G046500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVIFEVANMKKLSFLFFFICILISLKNQNAETKKGSSTFCTATNRFPSSWDLCPSLFLSMEDEEDGHSRGSLSYDFYRNSCPQAENIVRELVRDINRVKSSVAAALLRLAFHDCFIEGCDASILLDPAGGLKSEKESSPNLNLKGFDIINKIKSQIEEVCPGVVSCADIVVLAAREGVVQSGGPFYPVFTGRRDSTHSFPDEATLKLPSPQAQLPETLASFASKGFDQRETVSLLGGHSIGMIHCKFFINRLYNYNGTNKPDPSLDTQFLNILRFICNNSSASLADSLPSTLVAPFGCSKLLSKASSPDCMGSQSSTSEKPRSSTQLSSLEEPGINMAYEGPGVDFGRLYYRTLLQGRGILVSDQQLMSGEETAKWVNAYASDVSLFRRDFARAMVKLSNLQVLTGSDGQVRVNCSKMA >Manes.04G086900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28839231:28842443:-1 gene:Manes.04G086900.v8.1 transcript:Manes.04G086900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKKRYLFNLFLSSSLSSSSMAILLALIYVFFVNVASAEVASFTPADQILISCGAKSLSAIPDGRVFKTDHEAQSFLKTDEDILVTTTSADVPSPIYLSARIFVQEATYSFTMKSPGWHWVRLHFFPFTNTRFHLQTATFSVTTDKYVLLHDFHVNNETRPFLREYLINVTDPLVSLHFIPMKNSAAFINAIEVVSAPDVLLSDEGTGLSPVSSFSGLTSYGYQVVYRINVGGPLITSTNDTLWRTWENDNKYLKDRALAKSVSIPTSSIKYGTGISPLIAPATVYSSAQQMADSQTSVANFNVTWQFKVDTLFNYLVRLHFCDIVSKSLNDLYFNVYVNGKMAISGLDLSSITGQLASPYFKDIVVNSTLMTNGLNVQVGPMGEDTGTINAILNGVEIMKMSSSVDSLDGEFGVDGQMAIGSRSTVAAVGFAMMFGAFVGLGAMVIKWHKRPQDWQKRNSFSSWLLPIHASDSSFMASKTSMGSHKSTLGLGRYFSLSELQDATKNFDQSAIIGVGGFGNVYLGVIDDGIKVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENDEMILVYEYMSNGPFRDHLYGKNLPPLSWKQRLEISIGSARGLHYLHTGTAQGIIHRDVKTTNILLDDAFVAKVADFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEVLCARPAINPQLPREQVNLAEWAMQWKRKGLLEKIIDPVLVGTINPESMKKFAEAAEKCLAEHGVDRPTMGDVLWNLEYALQLQEAFSQGKSDDETKQSTGVAASPLVAAPSPAAPTIDDRPVSVPDQNTGPAEVRAIDEHSGTAMFAQFSGLNGR >Manes.08G109000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34821536:34823126:1 gene:Manes.08G109000.v8.1 transcript:Manes.08G109000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTRKRKIDNVEEQTKRKEERELEEDDEEKMEKFFSLIKSSIDLMRKGSSDSKEKQEKKTEAAAAWNPSFQPEDFEQDIVKDNQAAAGPSKVGEDEEEKQKKEGEKGDNGLDLNLSL >Manes.08G109000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34821536:34823126:1 gene:Manes.08G109000.v8.1 transcript:Manes.08G109000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDSLVGMESDTRKRKIDNVEEQTKRKEERELEEDDEEKMEKFFSLIKSSIDLMRKGSSDSKEKQEKKTEAAAAWNPSFQPEDFEQDIVKDNQAAAGPSKVGEDEEEKQKKEGEKGDNGLDLNLSL >Manes.06G148000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27386594:27388643:-1 gene:Manes.06G148000.v8.1 transcript:Manes.06G148000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFKTLAVLAFALVLCAQVTRGEIRCEHLDQDTCSYAISSTGKRCVLEKHVKRSGEESYTCGTSEIEADRLRNWIETDQCIKACGLDRKSLGISSDSLLESRFTQQLCSPQCYDSCPNIIDLYFNLAAGEGVFLPKLCEAQEGNARRGLMADIRSSGLVAPGPIQPVKYTIAPVVAPALAPF >Manes.10G035800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3512690:3515545:1 gene:Manes.10G035800.v8.1 transcript:Manes.10G035800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTSSLFSNSHIRGWKPLVHKKHNFNVSERFKCPTRGNPSEGYQVSRYSVCCSAINVEQLPTYATISDRLFSAVEDARNVNLDVIETSKATAKFIQSLTLADLDPATAKLAIGILGPSLSAFGFLFILRIVMSWYPKLPVEKFPYVIAYAPTEPILIPTRKVIPPVGGVDVTPVVWFGLISFLNEILVGPQGLLVLLSQQVN >Manes.10G035800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3513997:3515545:1 gene:Manes.10G035800.v8.1 transcript:Manes.10G035800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKPHMSNERFKCPTRGNPSEGYQVSRYSVCCSAINVEQLPTYATISDRLFSAVEDARNVNLDVIETSKATAKFIQSLTLADLDPATAKLAIGILGPSLSAFGFLFILRIVMSWYPKLPVEKFPYVIAYAPTEPILIPTRKVIPPVGGVDVTPVVWFGLISFLNEILVGPQGLLVLLSQQVN >Manes.10G035800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3512690:3515545:1 gene:Manes.10G035800.v8.1 transcript:Manes.10G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFKCPTRGNPSEGYQVSRYSVCCSAINVEQLPTYATISDRLFSAVEDARNVNLDVIETSKATAKFIQSLTLADLDPATAKLAIGILGPSLSAFGFLFILRIVMSWYPKLPVEKFPYVIAYAPTEPILIPTRKVIPPVGGVDVTPVVWFGLISFLNEILVGPQGLLVLLSQQVN >Manes.09G054000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8861903:8866331:1 gene:Manes.09G054000.v8.1 transcript:Manes.09G054000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLQSSPSHFPSPSSSSSTPCVNNDISHQQLQRSPTRAQGIDPEQVQEEEQEQELDESSIERKIRERAGDQLSLLELLVAAFRRSVVGCSVTVAGGKELCNMEIGLPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNSVPTILLMMQRQLYAEGGLQAEGIFRINAENSQEEYVREQLNRGVVPEGIDVHCLAGLIKAWFRELPTGVLDSLSQEQVMQSQSEEECVQLARLLPPTEAALLDWAINLMADVAQLEHLNKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKTLIVRTLRDREECVIESAPGSRLEPSDENGHQSSSQPSFTEANEEASEGNEREKVFVSEEPVIGSPTQNSEDDSTTERECQIFLTFIENIPGVHRTLVDTCPCEVVSQLNALTNKSLDSGFTSKSGEVQIRTSKNRNSQSSNSNLKKGSKRVSEQAVIRAPGSVEKSKGVSLVGCINPRTELVEAWR >Manes.14G068900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5728326:5736609:-1 gene:Manes.14G068900.v8.1 transcript:Manes.14G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRAGEERERGRHMWKGPTRSNSVVAGDVSSSSSSFFPANSFCKEGRRISVGHCALFKPSKESPPFIGIIRWVTTGKDNELKLGVNWLYRPAELKLGKGILLEAAPNKIFYSFHKDDIPAASPLHPCKVTFLPKGAELPSGICSFVCRRVYDITNKCLWWLTNKDYINVSSGLIHSFCSGQLSICGCYYSFFEQERQEVDQLLCITRIEMHASVSQGGRSPKPMNGPTSTSQLKPSSDSVQNSASSFPSQVNGKKRERVDQGSEPVKRERFSKLDDSDSGHCRPESIWKTEIAKFTEKGGLVDSESVEKLVQLMVPERNEKKIDLVGRSILAGVIAATDKFDCLNRFVQFKGLPVFDEWLQEVHKGKIGDGNSHKDSDKSVEEFLFILLRALDKLPVNLHALQMCNIGKSVNHLRTHKSLEIQKKARSLVDTWKKRVEAEMDAKSVSNQAVSWAGRPRPPDVSHGENRHSGASFEVAIKSSATQHSASKIAPVKLVQGETTIKSASGSPGPMKSVPSSASAGNSLKGGQTRNTIELPIVTAREEKSSSSSQSHNTSQSCSSDHAKTGGVSGKEGARSSATISMTASKVIGASSRHLKSINGVQGPTLSGIQRETGSSRNSSLHRSQGAEKLSHSSLTCEKVVDVSLTDGNNHKLIVEIPDRGRSPAQSASGGSLGDPSVMNSRVSSPLLSEKHDQSDCNLKKKNDAYQANLMSDVNNESWQSNDFKEVLTGSDEGDGSPATVPEEEKCRSVEDIRKLADVAKAASSSSGNEHKSGKLLEASFNSINALIESCEKYSEVNAWMSARDDVGMNLLASVAAGEISKSDMASPNSSPQRNITAVEYSCTSIDSRLKSSSDDDRRSIVDGNGTDDEPEKRVTHTSLTRSPEHKIVSLSKEKPAEVHDGHSNSSNMDAQKITEAFLQNNVKSEETLATSVTLFSASKVDKPSANADRATWEEKTDEISDTKDKFHSFTQSEDRVGVSRLEGRNETVEGSLPCPLMERDGDNRKNMNKDFNLTVKAEQKHTAVMRSQFAEGTLGDVLHPTGSGKDNFSETAIGEVKAEKTDDMDGRSLHAERRNNTKENNFGSAVTDYKVEKVESLEDNQVKEQHSSGQALSKVSSAFVQEPEQEAGCRGLRLTATDADEAEESTSNSADAASLCGVVGADIEAKVEFDLNDDFNNDDGGYGEPNNLRPLECSIGIQLISPSPLPVSSGSSGLPASITVASAAKKPFVPAEDLLKNRGELSWKGSAATSAFRPAEPRKALEMPVGTVNIFFPDAAVAKASRPPLDFDLNIPDERILEDLASGGSARGSVALFDISNNHYSLHDEIMGSAAVRGCGGLDLDLNRVEDPSDMGNHLTSNTHRMDVPVQAVKSLSFAVLNGESSVCRDFDLNDGPLADEGIAEPSPFGQTTRTNASSQPSVSGLMLNNSEMGNFSSWFPQGSPYPAVAIQSMLPYRGEQSFSMVTPGGPQRMLAPSTCSTPFSPDVYRGPVASSAPAVPFPSPPFQYPVFPFGTNFPLPSATFSVGSTTYVDSSSGGRLCFPMVHSQVLAPAGAVPSHYPRPFVVSVQDNSNISCSKSSRKWGRQGLDLNSGPLGPDLEGRDEPAAVASKKLSVASSQAITEEQSRMFQVAGSGILKRKEPEGRWEGCKQSSWQ >Manes.08G073500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:14441909:14442199:-1 gene:Manes.08G073500.v8.1 transcript:Manes.08G073500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKATLVIALTWLLVVASIVMCANATAGPRLQTFEHMVHPQGCRCCFFVGRVPNLRCGKVCCGDNCC >Manes.02G037900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3131809:3135504:-1 gene:Manes.02G037900.v8.1 transcript:Manes.02G037900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLEFERQPAEIISGKGVIGYREDAEDLRKKPRNRVMAGRLSNVASRIMGGNGVVGRSVAASLRLRSGMGLPVGKHIVPEKPLPVNDELVWDNGTPFPEPCIDRIADTVGKYEALAWMCGGLGFFATLGLLAAWNDKASKIPYTPKVYPYDNLRVELGGEP >Manes.18G115166.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11714940:11715632:1 gene:Manes.18G115166.v8.1 transcript:Manes.18G115166.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIHVRAIIHDQYPCARTHIYVPHRKLYNLRLNPYFDDQGTEKVEGIFLDTSDMGEMYLSSKAFVGMYSLRLLKIYDSRVGRNCKVYLPCGLDFLSDELRYLYWNRYPFSFLPSNFQAENLVELNLACSYVEQLWTGVQNIVSLKEINLNNSKHLATFPDMSQAKNLERANLEYCTSFVKVSSSIRFLEKLTD >Manes.07G024200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2611356:2616328:-1 gene:Manes.07G024200.v8.1 transcript:Manes.07G024200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLTTCSASLVNDFNVLVSLKQGFSFPEPSLSTWNSSNPRSVCSWVGVRCSRGRVVSLELTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIVRLSNLQFINISNNQFSGGLDWNYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYLDLGGNYFHGKIPESYGKMMSLEYLSLAGNNLHGKIPGELGNLTNLREIYLGYYNVFEGEIPAEFGNLVNLVHMNLPECELHGPIPRELGNLKLLDTLYLHANHLSGSIPKELGNLTNMVNLDLSNNALTGEIPFEFINLKKLKLFNLFLNKLHGSIPDYVAELPDLETLGLWMNNFTGEIPGKLGQNGKLQILDLSSNKLTGTIPQDLCSSNQLRILILLKNFLFGPIPESLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLAELQNNVLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLQILLLSGNQFLGPIPPSIGNLHQVLMLDLSRNSFSGSIPPEIGNCFHLTFLDMSQNNLSGSIPTEISNIHILNYLNLSRNHLDQAIPKSIGSMKSLTVADFSFNDFSGKLPESGQFSLFNASSFAGNPQLCGPSLNNPCNFTTIINSPGKSPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFTVTDILECVKDGNVIGRGGAGIVYHGKMPSGIEVAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGESLHGKKGGFLSWNLRFELRSTRCRFRIS >Manes.07G024200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2611356:2616328:-1 gene:Manes.07G024200.v8.1 transcript:Manes.07G024200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLTTCSASLVNDFNVLVSLKQGFSFPEPSLSTWNSSNPRSVCSWVGVRCSRGRVVSLELTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIVRLSNLQFINISNNQFSGGLDWNYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYLDLGGNYFHGKIPESYGKMMSLEYLSLAGNNLHGKIPGELGNLTNLREIYLGYYNVFEGEIPAEFGNLVNLVHMNLPECELHGPIPRELGNLKLLDTLYLHANHLSGSIPKELGNLTNMVNLDLSNNALTGEIPFEFINLKKLKLFNLFLNKLHGSIPDYVAELPDLETLGLWMNNFTGEIPGKLGQNGKLQILDLSSNKLTGTIPQDLCSSNQLRILILLKNFLFGPIPESLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLAELQNNVLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLQILLLSGNQFLGPIPPSIGNLHQVLMLDLSRNSFSGSIPPEIGNCFHLTFLDMSQNNLSGSIPTEISNIHILNYLNLSRNHLDQAIPKSIGSMKSLTVADFSFNDFSGKLPESGQFSLFNASSFAGNPQLCGPSLNNPCNFTTIINSPGKSPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFTVTDILECVKDGNVIGRGGAGIVYHGKMPSGIEVAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGESLHGKKGGFLSWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGASQCMSAIAGSYGYIAPACRKTTRDLCYRERGRERIFWVFATQQSNRQKNLNTLLGPQTQS >Manes.07G024200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2611356:2616328:-1 gene:Manes.07G024200.v8.1 transcript:Manes.07G024200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLTTCSASLVNDFNVLVSLKQGFSFPEPSLSTWNSSNPRSVCSWVGVRCSRGRVVSLELTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIVRLSNLQFINISNNQFSGGLDWNYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYLDLGGNYFHGKIPESYGKMMSLEYLSLAGNNLHGKIPGELGNLTNLREIYLGYYNVFEGEIPAEFGNLVNLVHMNLPECELHGPIPRELGNLKLLDTLYLHANHLSGSIPKELGNLTNMVNLDLSNNALTGEIPFEFINLKKLKLFNLFLNKLHGSIPDYVAELPDLETLGLWMNNFTGEIPGKLGQNGKLQILDLSSNKLTGTIPQDLCSSNQLRILILLKNFLFGPIPESLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLAELQNNVLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLQILLLSGNQFLGPIPPSIGNLHQVLMLDLSRNSFSGSIPPEIGNCFHLTFLDMSQNNLSGSIPTEISNIHILNYLNLSRNHLDQAIPKSIGSMKSLTVADFSFNDFSGKLPESGQFSLFNASSFAGNPQLCGPSLNNPCNFTTIINSPGKSPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFTVTDILECVKDGNVIGRGGAGIVYHGKMPSGIEVAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGESLHGKKGGFLSWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGASQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGRRPVGDFGEGVDIVQWSKRTTNNRREDVMHIIDRRLTIIPKDEAMHFFFIASLCCQENSIERPTMREVVQMLSEFPRNSLDYSQKLRDNENEKTCAKSKQDLKI >Manes.07G024200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2611356:2616328:-1 gene:Manes.07G024200.v8.1 transcript:Manes.07G024200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLTTCSASLVNDFNVLVSLKQGFSFPEPSLSTWNSSNPRSVCSWVGVRCSRGRVVSLELTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIVRLSNLQFINISNNQFSGGLDWNYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYLDLGGNYFHGKIPESYGKMMSLEYLSLAGNNLHGKIPGELGNLTNLREIYLGYYNVFEGEIPAEFGNLVNLVHMNLPECELHGPIPRELGNLKLLDTLYLHANHLSGSIPKELGNLTNMVNLDLSNNALTGEIPFEFINLKKLKLFNLFLNKLHGSIPDYVAELPDLETLGLWMNNFTGEIPGKLGQNGKLQILDLSSNKLTGTIPQDLCSSNQLRILILLKNFLFGPIPESLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLAELQNNVLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLQILLLSGNQFLGPIPPSIGNLHQVLMLDLSRNSFSGSIPPEIGNCFHLTFLDMSQNNLSGSIPTEISNIHILNYLNLSRNHLDQAIPKSIGSMKSLTVADFSFNDFSGKLPESGQFSLFNASSFAGNPQLCGPSLNNPCNFTTIINSPGKSPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFTVTDILECVKDGNVIGRGGAGIVYHGKMPSGIEVAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGESLHGKKGGFLSWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGASQCMSAIAGSYGYIAPVTACRKTTRDLCYRERGRERIFWVFATQQSNRQKNLNTLLGPQTQS >Manes.07G024200.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2611356:2616328:-1 gene:Manes.07G024200.v8.1 transcript:Manes.07G024200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLTTCSASLVNDFNVLVSLKQGFSFPEPSLSTWNSSNPRSVCSWVGVRCSRGRVVSLELTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIVRLSNLQFINISNNQFSGGLDWNYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYLDLGGNYFHGKIPESYGKMMSLEYLSLAGNNLHGKIPGELGNLTNLREIYLGYYNVFEGEIPAEFGNLVNLVHMNLPECELHGPIPRELGNLKLLDTLYLHANHLSGSIPKELGNLTNMVNLDLSNNALTGEIPFEFINLKKLKLFNLFLNKLHGSIPDYVAELPDLETLGLWMNNFTGEIPGKLGQNGKLQILDLSSNKLTGTIPQDLCSSNQLRILILLKNFLFGPIPESLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLAELQNNVLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLQILLLSGNQFLGPIPPSIGNLHQVLMLDLSRNSFSGSIPPEIGNCFHLTFLDMSQNNLSGSIPTEISNIHILNYLNLSRNHLDQAIPKSIGSMKSLTVADFSFNDFSGKLPESGQFSLFNASSFAGNPQLCGPSLNNPCNFTTIINSPGKSPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFTVTDILECVKDGNVIGRGGAGIVYHGKMPSGIEVAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGESLHGKKGGFLSWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSSFEAHVADFGLAKFLIDGGASQCMSAIAGSYGYIAPGKYILLEIFLLPIK >Manes.07G024200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2611356:2616328:-1 gene:Manes.07G024200.v8.1 transcript:Manes.07G024200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLTTCSASLVNDFNVLVSLKQGFSFPEPSLSTWNSSNPRSVCSWVGVRCSRGRVVSLELTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIVRLSNLQFINISNNQFSGGLDWNYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYLDLGGNYFHGKIPESYGKMMSLEYLSLAGNNLHGKIPGELGNLTNLREIYLGYYNVFEGEIPAEFGNLVNLVHMNLPECELHGPIPRELGNLKLLDTLYLHANHLSGSIPKELGNLTNMVNLDLSNNALTGEIPFEFINLKKLKLFNLFLNKLHGSIPDYVAELPDLETLGLWMNNFTGEIPGKLGQNGKLQILDLSSNKLTGTIPQDLCSSNQLRILILLKNFLFGPIPESLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLAELQNNVLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLQILLLSGNQFLGPIPPSIGNLHQVLMLDLSRNSFSGSIPPEIGNCFHLTFLDMSQNNLSGSIPTEISNIHILNYLNLSRNHLDQAIPKSIGSMKSLTVADFSFNDFSGKLPESGQFSLFNASSFAGNPQLCGPSLNNPCNFTTIINSPGKSPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFTVTDILECVKDGNVIGRGGAGIVYHGKMPSGIEVAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGESLHGKKGGFLSWNLRFELRSTRCRFRIS >Manes.07G024200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2611356:2616328:-1 gene:Manes.07G024200.v8.1 transcript:Manes.07G024200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLTTCSASLVNDFNVLVSLKQGFSFPEPSLSTWNSSNPRSVCSWVGVRCSRGRVVSLELTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIVRLSNLQFINISNNQFSGGLDWNYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYLDLGGNYFHGKIPESYGKMMSLEYLSLAGNNLHGKIPGELGNLTNLREIYLGYYNVFEGEIPAEFGNLVNLVHMNLPECELHGPIPRELGNLKLLDTLYLHANHLSGSIPKELGNLTNMVNLDLSNNALTGEIPFEFINLKKLKLFNLFLNKLHGSIPDYVAELPDLETLGLWMNNFTGEIPGKLGQNGKLQILDLSSNKLTGTIPQDLCSSNQLRILILLKNFLFGPIPESLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLAELQNNVLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLQILLLSGNQFLGPIPPSIGNLHQVLMLDLSRNSFSGSIPPEIGNCFHLTFLDMSQNNLSGSIPTEISNIHILNYLNLSRNHLDQAIPKSIGSMKSLTVADFSFNDFSGKLPESGQFSLFNASSFAGNPQLCGPSLNNPCNFTTIINSPGKSPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFTVTDILECVKDGNVIGRGGAGIVYHGKMPSGIEVAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGESLHGKKGGFLSWNLRFELRSTRCRFRIS >Manes.07G024200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2611356:2616328:-1 gene:Manes.07G024200.v8.1 transcript:Manes.07G024200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIALTLLSLLTTCSASLVNDFNVLVSLKQGFSFPEPSLSTWNSSNPRSVCSWVGVRCSRGRVVSLELTDLNLCGSVSPQISRLDKLTKLSLAGNNFTGSIEIVRLSNLQFINISNNQFSGGLDWNYSEIESLEVFDAYNNNFTDFLPLGILSLKKLKYLDLGGNYFHGKIPESYGKMMSLEYLSLAGNNLHGKIPGELGNLTNLREIYLGYYNVFEGEIPAEFGNLVNLVHMNLPECELHGPIPRELGNLKLLDTLYLHANHLSGSIPKELGNLTNMVNLDLSNNALTGEIPFEFINLKKLKLFNLFLNKLHGSIPDYVAELPDLETLGLWMNNFTGEIPGKLGQNGKLQILDLSSNKLTGTIPQDLCSSNQLRILILLKNFLFGPIPESLGKCYSLTRVRLGQNYLNGSIPNGFIYLPQLNLAELQNNVLSGTLSENGNSSSKPVKLGQLNLSNNLLSGPLPLSLSNFSSLQILLLSGNQFLGPIPPSIGNLHQVLMLDLSRNSFSGSIPPEIGNCFHLTFLDMSQNNLSGSIPTEISNIHILNYLNLSRNHLDQAIPKSIGSMKSLTVADFSFNDFSGKLPESGQFSLFNASSFAGNPQLCGPSLNNPCNFTTIINSPGKSPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNSSDSWKLTAFQKLEFTVTDILECVKDGNVIGRGGAGIVYHGKMPSGIEVAVKKLLGFGTNSHDHGFRAEIQTLGNIRHRNIVRLLAFCTNKETNLLVYEYMRNGSLGESLHGKKGGFLSWNLRFELRSTRCRFRIS >Manes.08G031200.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3027779:3028926:-1 gene:Manes.08G031200.v8.1 transcript:Manes.08G031200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPKFLPQESSLSLSLSLSLLLALSATSSTMSYNKKRPILSSVSVSLGCGSCKKPNLSGVFQPKPRSRNPTTSTTSYQKYYNHDIYYSSSSSSKTNASPPDYDTTTSFSADTPPQSSWDTNASNKYSRAVRGMGRVGGESLAVEKESDDPYLDFRQSMLQMILEQEIYSKDDLRELLNCFLQLNSPYHHGIIVRAFTEIWDGVYSVRSTARGGGGGLSSESQKKLPCNDYYYGHGC >Manes.02G182900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14604125:14608346:-1 gene:Manes.02G182900.v8.1 transcript:Manes.02G182900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSASASGYSKGLTLLLLGQKHEDKPMRVSPWNQYQLVDNEPDPNLQLASLKNRFSRGCASLVCFGRASAGLDSPSHLKVGPAQQQDVLPESLVTDKGKNHPNEVQGDNITRRVNLKSSLKKPSNSIPVPVEDANQHDALGDKSSDVSGLTERRKVQWTDVCGSELAEIREFEPSETAGSEDEFENGNERTCSCVIM >Manes.02G182900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14604101:14608347:-1 gene:Manes.02G182900.v8.1 transcript:Manes.02G182900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSASASGYSKGLTLLLLGQKHEDKPMRVSPWNQYQLVDNEPDPNLQLASLKNRFSRGCASLVCFGRASAGLDSPSHLKVGPAQQQDVLPESLVTDKGKNHPNEVQGDNITRRVNLKSSLKKPSNSIPVPVEDANQHDALGDKSSDVSGLTERRKVQWTDVCGSELAEIREFEPSETAGSEDEFENGNERTCSCVIM >Manes.02G182900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14604125:14608346:-1 gene:Manes.02G182900.v8.1 transcript:Manes.02G182900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSASASGYSKGLTLLLLGQKHEDKPMRVSPWNQYQLVDNEPDPNLQLASLKNRFSRGCASLVCFGRASAGLDSPSHLKVGPAQQQDVLPESLVTDKGKNHPNEVQGDNITRRVNLKSSLKKPSNSIPVPVEDANQHDALGDKSSDVSGLTERRKVQWTDVCGSELAEIREFEPSETAGSEDEFENGNERTCSCVIM >Manes.02G182900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14604125:14608346:-1 gene:Manes.02G182900.v8.1 transcript:Manes.02G182900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSASASGYSKGLTLLLLGQKHEDKPMRVSPWNQYQLVDNEPDPNLQLASLKNRFSRGCASLVCFGRASAGLDSPSHLKVGPAQQQDVLPESLVTDKGKNHPNEVQGDNITRRVNLKSSLKKPSNSIPVPVEDANQHDALGDKSSDVSGLTERRKVQWTDVCGSELAEIREFEPSETAGSEDEFENGNERTCSCVIM >Manes.01G095700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29749640:29755686:1 gene:Manes.01G095700.v8.1 transcript:Manes.01G095700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLNWGSPSTVMGVVLQLKMNSNRLKVLAAGSNGEFPPFLPKQVEKIKDPFARSLAKRIQRLPVQMDFPDKCIMSSCIKPVIQSKNSPLVLLHCFDSSCLEWRCSYPLLEEAGLEAWAVDILGWGFSDLVENRPPCDVASKRYHLYQFWKSYIKRPMILVGPSLGASVAIDFSVNYPEAVEKLVLINPNAYAEGTRHLAKLPKTIAYAGVSLLKSIPLRLYANAMAFNGISFFTILDWTNVGRLHCMLPWWEDSTVSFMSSGGYNVISQIKQVKHRTLIICGENDQIVSYKLVVQGNLNGRPGPGYSQLPPPAAPGEEAVLARAR >Manes.01G095700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29749640:29753610:1 gene:Manes.01G095700.v8.1 transcript:Manes.01G095700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLNWGSPSTVMGVVLQLKMNSNRLKVLAAGSNGEFPPFLPKQVEKIKDPFARSLAKRIQRLPVQMDFPDKCIMSSCIKPVIQSKNSPLVLLHCFDSSCLEWRCSYPLLEEAGLEAWAVDILGWGFSDLENRPPCDVASKRYHLYQFWKSYIKRPMILVGPSLGASVAIDFSVNYPEAVEKLVLINPNAYAEGTRHLAKLPKTIAYAGVSLLKSIPLRLYANAMAFNGISFFTILDWTNVGRLHCMLPWWEDSTVSFMSSGGYNVISQIKQVKHRTLIICGENDQIVSYKLVVKLHSELANAIMRQVADSGHLPHVDKPTCVAKLIASFALCDEPFRSQLLITK >Manes.01G095700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29749640:29755686:1 gene:Manes.01G095700.v8.1 transcript:Manes.01G095700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLNWGSPSTVMGVVLQLKMNSNRLKVLAAGSNGEFPPFLPKQVEKIKDPFARSLAKRIQRLPVQMDFPDKCIMSSCIKPVIQSKNSPLVLLHCFDSSCLEWRCSYPLLEEAGLEAWAVDILGWGFSDLENRPPCDVASKRYHLYQFWKSYIKRPMILVGPSLGASVAIDFSVNYPEAVEKLVLINPNAYAEGTRHLAKLPKTIAYAGVSLLKSIPLRLYANAMAFNGISFFTILDWTNVGRLHCMLPWWEDSTVSFMSSGGYNVISQIKQVKHRTLIICGENDQIVSYKLVVQGNLNGRPGPGYSQLPPPAAPGEEAVLARAR >Manes.01G095700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:29749640:29753610:1 gene:Manes.01G095700.v8.1 transcript:Manes.01G095700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLNWGSPSTVMGVVLQLKMNSNRLKVLAAGSNGEFPPFLPKQVEKIKDPFARSLAKRIQRLPVQMDFPDKCIMSSCIKPVIQSKNSPLVLLHCFDSSCLEWRCSYPLLEEAGLEAWAVDILGWGFSDLVENRPPCDVASKRYHLYQFWKSYIKRPMILVGPSLGASVAIDFSVNYPEAVEKLVLINPNAYAEGTRHLAKLPKTIAYAGVSLLKSIPLRLYANAMAFNGISFFTILDWTNVGRLHCMLPWWEDSTVSFMSSGGYNVISQIKQVKHRTLIICGENDQIVSYKLVVKLHSELANAIMRQVADSGHLPHVDKPTCVAKLIASFALCDEPFRSQLLITK >Manes.05G111100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:11440461:11442379:1 gene:Manes.05G111100.v8.1 transcript:Manes.05G111100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILVLSCVSVCFIIIVLKFFYELWWKPIYVQSALRSQGIKGPQYRFFHGNSKELIRMSNESLTTPMELSHQMLQRLQPHCYFWKKLYGSNCVYWLGPRPRLIITEPNLAKEIMNKDDLYPKPEFESYLKNLFGDGLVTANGEKWFRLRKLANHIFHGESLKGMTPAMIAATEMMLERWRQHEAKEIDVYEEFKLLTSEIISRTAFGSSYLEGQHIFDMLSRLILILYRNNYLVKIPLLKKFLKTKDDMEADRIEDGIRDSIMKMIKKREEEAKLRQVDSYGSDFLGVLIKANRDMDKTKQISIEDLIDECKTFYIAGQETTSSALAWNILLLAIHMDWQEKAREEILELFGQRNPTLDEISRLKIMNMIINETLRLYSPSITMMREVHKGTRLGKLVAPARMDVLVSILALHHDPEIWGEDVHLFKPERFAEGVAKATRNNIAAFCPFGLGPRNCVGMSFSMAETKIVLSMILQRYRFTLSPNYVHSPIVLIAVSPQKGLQVTLQPL >Manes.06G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:15839883:15843392:-1 gene:Manes.06G058000.v8.1 transcript:Manes.06G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLCSQGVVLATAMAVSGTVILLALRLQKSLPASLLPVDQIAESSQQVLRSCISSEGKKKKKRVHFAEDVVDPRGNGEEFRRQRGTNIVLGKNSSSSPKFNKISGGGSGGGAKGRGMPANRAALYNGILRDRVIHRLAYSY >Manes.17G026976.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:15764673:15765271:1 gene:Manes.17G026976.v8.1 transcript:Manes.17G026976.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTAPMVTGDHPRPPPASAGDRRACSATTSGWRGWDKQANRRMSPLPTLAQTSGGCAIVAQFTGRRAGNQAVPHPESRASRRILRPGGPRVARPRGHTAGGSRVPVDREPGDGWWLADGSARVVQSASRAGGGDRTGRGLAWRASRAGVSRPGGPRTGGSRAGGGAARGRRLS >Manes.16G094600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30112831:30114360:-1 gene:Manes.16G094600.v8.1 transcript:Manes.16G094600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSFNNGDAMPIISKLYCASSQVVFLVRERPHAVNGGGFVVTDCTQKVVFRVDGCGVVGAKGELILRDSDGDPLLLIRRKGGMVQALSIHRKWKGYSFDYEGSRKLVFSLKEPSSCLVRNNAIRISIEPRRSRRDGDWDFEIKGYFPGRDCSIVDNSGNIVAQIGARKEAKEVMTSNDLYHVVVKPGIDQAFVFGVIAVLDYIYGESTRC >Manes.02G154600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12022138:12024043:-1 gene:Manes.02G154600.v8.1 transcript:Manes.02G154600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIVPTLERPGLLSQTLSRVQISTMAVKENFLVAGGFQGELICKHLNQPGVAFCTKITTDENAITNAVDVYHNQSGSIRITTANNDALVRVYDAERFACLNRFTFDWSVNNTSVSPDGKLLAVLGDSTECLLTDAHSGKLVGNLKGHLDYSFASAWHPDGRILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALSFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISFSPDAVALFVGVADRTYGSLLEFNRKNYNCYLDSVF >Manes.02G154600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12022138:12023419:-1 gene:Manes.02G154600.v8.1 transcript:Manes.02G154600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYSVMHWSSLLRRGKEVLNVAKPIVPTLERPGLLSQTLSRVQISTMAVKENFLVAGGFQGELICKHLNQPGVAFCTKITTDENAITNAVDVYHNQSGSIRITTANNDALVRVYDAERFACLNRFTFDWSVNNTSVSPDGKLLAVLGDSTECLLTDAHSGKLVGNLKGHLDYSFASAWHPDGRILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALSFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISFSPDAVALFVGVADRTYGSLLEFNRKNYNCYLDSVF >Manes.02G154600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:12021063:12026912:-1 gene:Manes.02G154600.v8.1 transcript:Manes.02G154600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFHNDDLEYVGDDYYDVVDFEDNPFLEPEPQREADLDYIDSDFEDDFESSKPKTDTSALEARNGKDIQGIPWERLNFSRDNYRETRLKQYKNYENLSRSREELDKECLQVEKGKTFYDFHFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRRGKEVLNVAKPIVPTLERPGLLSQTLSRVQISTMAVKENFLVAGGFQGELICKHLNQPGVAFCTKITTDENAITNAVDVYHNQSGSIRITTANNDALVRVYDAERFACLNRFTFDWSVNNTSVSPDGKLLAVLGDSTECLLTDAHSGKLVGNLKGHLDYSFASAWHPDGRILATGNQDTTCRLWDIRNLSKSLAVLKGNMGAIRALSFTSDGRFLAMAEPADFVHVFDTESGYVKCQEIDIFGEIAGISFSPDAVALFVGVADRTYGSLLEFNRKNYNCYLDSVF >Manes.06G082600.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21793507:21796584:-1 gene:Manes.06G082600.v8.1 transcript:Manes.06G082600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSITSLQLRCFLRHYCLSPAPYLTHSASSSSFTPTLLTKLTFLPLSLSRPFSSQTINPSNDPDPIAQSISTELIKIPDTESLSISQRLNLNFSHITSSITPSLVLQTLNLSPDAGRAVLGFHQWLVTAAGFKQTDETISFFIDYFGRRNDFQSTHELLRDGKGLVGSKSLESMVDRLVRAGRPTKVVGFFDRMERDYGFKRDRESLTLIVNKLCDNGYASYAERMVKNLANEIFPDERICDLLIKGWCVDGKLEEAKRLAGEMYRGGFEIGAMAYNAILDCVCKLCRAKDPFRLHSEAEKVLIEMDTRGVPRNVETFNVLINNLCKMRKTQDAMNLFYRMGEWGCNPDETTFLVLIKSLYQAARVGEGDEMIDRMKSAGYGEKLDKKAYYSFLKILCGIERLEHAMTVFEMMKADGCKPGIKTYDLLFGNWCAHNRLDKANSLFNEALSNGVPVTPKEYRVDAKFLKPKAVKKEKKRETLPEKMARKRQRLKKIRLSFVKKPRKMMRPAF >Manes.06G082600.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:21793436:21796584:-1 gene:Manes.06G082600.v8.1 transcript:Manes.06G082600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSITSLQLRCFLRHYCLSPAPYLTHSASSSSFTPTLLTKLTFLPLSLSRPFSSQTINPSNDPDPIAQSISTELIKIPDTESLSISQRLNLNFSHITSSITPSLVLQTLNLSPDAGRAVLGFHQWLVTAAGFKQTDETISFFIDYFGRRNDFQSTHELLRDGKGLVGSKSLESMVDRLVRAGRPTKVVGFFDRMERDYGFKRDRESLTLIVNKLCDNGYASYAERMVKNLANEIFPDERICDLLIKGWCVDGKLEEAKRLAGEMYRGGFEIGAMAYNAILDCVCKLCRAKDPFRLHSEAEKVLIEMDTRGVPRNVETFNVLINNLCKMRKTQDAMNLFYRMGEWGCNPDETTFLVLIKSLYQAARVGEGDEMIDRMKSAGYGEKLDKKAYYSFLKILCGIERLEHAMTVFEMMKADGCKPGIKTYDLLFGNWCAHNRLDKANSLFNEALSNGVPVTPKEYRVDAKFLKPKAVKKEKKRETLPEKMARKRQRLKKIRLSFVKKPRKMMRPAF >Manes.10G004900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:700070:706691:1 gene:Manes.10G004900.v8.1 transcript:Manes.10G004900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSIMSRSRLDSILSTFVSVHPHEVSSLFHSSSCFFFILSAYFVVLPLRDEGAISLGLSNLPGLFVGSLALTLVAAPLSTFIFSLPNLSKAKALLLIHRFFSVSLVVFFFLWNSSSGGISPFKTKGKVSISTESKEYLKDDVNEIGSPAYSSSWSDLGWFYISVRIALFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMAWMGPFLLLFAALLMELAAQSSKGIKKDMSQLPEELSPIRKADPDQQSKDDQMTSASFKVLCPKSPTSTVKPRFLAILDGFWLILSSTYLLYVSLFLWLSAVVSSFFYFQGRILTVAGVTTAICSAPVVAFLNLVAVAVWPTWIAVAICETLRKVVTYVVTRPGRELLFTVVSEEEKYKAKVCIDVIVQRLGDATAAGMYKLLFSTLHEKASTVSLYALPVCLMWIVTAFHLGRRQARLAKLQNV >Manes.10G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:700070:706690:1 gene:Manes.10G004900.v8.1 transcript:Manes.10G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSIMSRSRLDSILSTFVSVHPHEVSSLFHSSSCFFFILSAYFVVLPLRDEGAISLGLSNLPGLFVGSLALTLVAAPLSTFIFSLPNLSKAKALLLIHRFFSVSLVVFFFLWNSSSGGISPFKTKGKVSISTESKEYLKDDVNEIGSPAYSSSWSDLGWFYISVRIALFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATGMAWMGPFLLLFAALLMELAAQSSKGIKKDMSQLPEELSPIRKADPDQQSKDDQMTSASFKVLCPKSPTSTVKPRFLAILDGFWLILSSTYLLYVSLFLWLSAVVSSFFYFQKVTVIAITVTSSLGRRRLFAQINSFIAVFILAGQLTLTGRILTVAGVTTAICSAPVVAFLNLVAVAVWPTWIAVAICETLRKVVTYVVTRPGRELLFTVVSEEEKYKAKVCIDVIVQRLGDATAAGMYKLLFSTLHEKASTVSLYALPVCLMWIVTAFHLGRRQARLAKLQNV >Manes.08G060400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:7232947:7241998:-1 gene:Manes.08G060400.v8.1 transcript:Manes.08G060400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISKPIRLKPKPRSPFYTLLICISAITLLFLFSTDGFSFSSKKNSLRYRKPPRLHSLHDKYLYWGSKIDCPGKHCESCEGLGHQESSLRCALEEAMFLNRTFVMPSTMCINPIHNKKGILHHSNNASSEEIWAASFCYMDSLYDIDLISETIPVILDNSKVWHHVLSTSMKLGDRGVAHVEGVSRVDLKEKSHYSNILLINRTASPLSWFMECKDRNNRSAILLSYSFLPSMASEKLRDAAEKIKAHLGDYDAIHVRRGDKIKTRKDRFGVARSLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNEKKPEFFSLLSARYKLAYSSNYSRILDPLIKNNYQLFMVERLILMGATTFIKTFKEDDMDLSLTDDPKKNTKNWQIPVYTMDEWRR >Manes.14G077700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6494352:6496425:1 gene:Manes.14G077700.v8.1 transcript:Manes.14G077700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQDGQNHGGFSASQPKGQWERRLQTDIRLAKQALCEALSLDKSSSLTDSKPSTTNVTRPHHVFGPTYASSAENIARLLENWKKNSPKQAQTNSDTAANSSCSETTPDHGFEHSLFSFNSSNSSDASQSVDENVKLTPETSLFHEESKPNIENQVPFSLLEKWLLDEGCSQSHEDLINMSIDDSSVGLF >Manes.07G064500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:11479661:11483713:1 gene:Manes.07G064500.v8.1 transcript:Manes.07G064500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLEWLGSPSRAHTSPRQPPEPPSPRYFSCSSFKDINAILEEQNGSKSQPQTPRRPFIFHRASPLHSHHRNRSKTFIISPPPNQDDYKIILYFTSLGVVRKTFEDCRTVRSILRGFHVPIDERDLSMDVGYLDEIQMITASKKVRLPAVFLGGNYVGGVEEIKEMNESGELSKLIGGLPFVGNNIKIKFNSVCDVCGGLRYVLCAQCNGSHKILILVVPCQIYSEKHGFRTCTSCNVNGLIKCGLCYPVQCKRSD >Manes.11G003150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:416652:418776:1 gene:Manes.11G003150.v8.1 transcript:Manes.11G003150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVISVESIKPSSPVHHLKPFKLCLLDQLTPTSYSPLILFYPTIHAHLKRTQFLTQLKSALSKTLTPFYPLSGRVKDNYFIHNYEEGVPFIEARVKGHLSDFLQHPPMELLNQFLPCQPFCQQPNPTVAQVAVQVNMFDCGGIALGMCFSHKINDGITGSSFIKSWAANARGSAYEAINPNLSEASSLFPPQQSLPSYYTSLMESLWFSRRSFRTRRFVFGANAVATLTAKGRSKFVERPTRVEGLCCFIWRCCMNACRSISGSPRASVLSQAVNIRRIAKPRLSRYSIGNLVWSAITSYNPDETEMGMQELVALVREGVGKINSEYIKKLSGEGCVAIFEHLDRLADIGSGKPDVFSFFSWHTFDFSEIDFGWGKPVWVGIFGEASRSSPCDSNFIILKDVGRNNGIEAWMTLDDNVMAMLEHDPEFLAFASLN >Manes.10G131000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29673350:29673977:-1 gene:Manes.10G131000.v8.1 transcript:Manes.10G131000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAREGGILAILMLLLPCFETTQSTTYKVGGDEGWSPTISMEAWSLQYKFYAGDKLVFDYDEQLYSVNLVDKKGYETCTVTKNAKTFESGHEEISLAFGPNYIIDGNPENCVNSMKLSINATARPPQRL >Manes.04G060300.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237874:21247821:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237938:21247750:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237620:21247750:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237620:21247750:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237533:21247821:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237620:21247750:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237533:21247821:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237620:21247750:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237874:21247821:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.04G060300.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21237952:21247750:-1 gene:Manes.04G060300.v8.1 transcript:Manes.04G060300.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQEREEWTLVARKPYFGLPTACPICLPVYMYLKLARFPFRMDFNSTYPDSDQIPYIESGGYVAYNNESGGVIQHLKEDDILNLDTEFCSIPEWISMEAMISSWLVDAITYELWLGSDGSSAFKIYYSDLPWLIGKVLFAKQEYTVKQRLGITKENAEPREKEIYKRAKIAYGALSTRLGEQEFLFEDRPSSLDALFLGHVIFTIQALPEASVLRSSLLEHGNLIRYAEKHKNNFLDAGSSSSSVPRFPSDSSSSTPRRGPSNFSSKPKRKPKREKTEEEKTFKRRAKYFLATQVIAILLFLSVMGGYDFSEVDVGDDDEGYGYD >Manes.12G083216.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11100866:11101332:1 gene:Manes.12G083216.v8.1 transcript:Manes.12G083216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIFKQLFRVEEEEKLLNVSQLSLSSIASLIAGLLFISTYEVAFCCERPIKFSSTNILNTWPYASIPFTKVRRVRRVRKSESMKNTSQKYMEIVTVDEFDFWFMSFLNYKKTFKYLESGLPKH >Manes.09G146500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34435051:34438379:-1 gene:Manes.09G146500.v8.1 transcript:Manes.09G146500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVKQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Manes.09G146500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34435051:34438379:-1 gene:Manes.09G146500.v8.1 transcript:Manes.09G146500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVKQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Manes.09G146500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34435051:34438379:-1 gene:Manes.09G146500.v8.1 transcript:Manes.09G146500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVKQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Manes.12G130500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33813596:33815941:-1 gene:Manes.12G130500.v8.1 transcript:Manes.12G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQPHPYVPRDLKLPDYVPVVLSQSTIVGVYAISSFVVVSLVWILSGRSHKIAKIDRWLMCWWAFTGLTHMILEGYFVFSPEFYKEKTPFYLAEVWKEYSKGDSRYAARDAGIVAVEGLTAVLEGPASILAVYAIAMGKSYSYILQLAISLGQLYGTAVYFITSFLDGDNYSSSPYYYYAYYVGANASWVVIPLLICIRCWKKICSAFQVQGQKKTKSR >Manes.07G027700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3050129:3053172:1 gene:Manes.07G027700.v8.1 transcript:Manes.07G027700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAMATKHYNFLQLLGMLVLFITLLALTRPAMGTDDDDIPDDFSRKYFPDDFIFGTATSAYQIEGEATARGRSPSVWDIFSKETPDRILDGSNGDVAVDFYNRYIEDIKNVKKMGFNAFRMSISWSRVIPSGRRREGVNEEGIQFYNDVINEIISNGLEPFVTIFHWDTPQALQDKYDGFLSRDIVEDYRQYADLLFERFGDRVKRWMTFNEPSAYVGFAHDDGVFAPGRCSSWVNRQCLAGDSATEPYIVAHNLLLSHAAAVHQYRKYYQGTQKGKIGITLFTFWYEPLSDSEVDVQAAKTALDFMFGLWMDPMTYGRYPRTMVDLAGDRLIGFTDEESQLLRGSYDFVGLQYYTAYYAKPNITVDPNFRRYKTDSGVIETPYDNNGNLIGPRAYSSWFYIFPKGIRHFLNYTKDTYNDPVIYVTENGVDNYNNESQPIEEALQDDFRISYYKKHMWNALGSLKNYSVNLKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRYPKESALWFTKFLNISVNANNIYEFPSKDSRKVGKFYAM >Manes.06G023250.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:4787582:4788280:1 gene:Manes.06G023250.v8.1 transcript:Manes.06G023250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISYSFIFIIGFRKALLYLLENIHVLLCQVACCKTVVITCGKCDKINCMRWDFPVHNSKW >Manes.03G149500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27686662:27690878:1 gene:Manes.03G149500.v8.1 transcript:Manes.03G149500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFTGNFTSIASQCLQKLPASNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQIPIAFLERVKEDFNKRYSGGKAATAQANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWLQNMKIKLIVLGIIIALILIIVLSICHGFKC >Manes.03G149500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27686409:27690878:1 gene:Manes.03G149500.v8.1 transcript:Manes.03G149500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFTGNFTSIASQCLQKLPASNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQIPIAFLERVKEDFNKRYSGGKAATAQANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWLQNMKIKLIVLGIIIALILIIVLSICHGFKC >Manes.03G063100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7093864:7097847:-1 gene:Manes.03G063100.v8.1 transcript:Manes.03G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEVGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLRKGQTIDDITEGLLEDCAQLVKANSIQGNKVNNIEVVYTPWSNLKKTASMDVGQVSFHNPKMVRTVRVEKRINEVVNRLNKTKVERNTDLKAEKEAVNAAERAERKLQLRDKKRQEEMERLEKERQAEIRSYKGLMVSEKMTSNKQIAADNKSLQELEDDFM >Manes.03G063100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:7093884:7097804:-1 gene:Manes.03G063100.v8.1 transcript:Manes.03G063100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPEVGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYLRLRKGQTIDDITEGLLEDCAQLVKANSIQGKISKFFYHFYGNKVNNIEVVYTPWSNLKKTASMDVGQVSFHNPKMVRTVRVEKRINEVVNRLNKTKVERNTDLKAEKEAVNAAERAERKLQLRDKKRQEEMERLEKERQAEIRSYKGLMVSEKMTSNKQIAADNKSLQELEDDFM >Manes.10G054800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866228:6870559:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866232:6870556:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866229:6869638:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866232:6870556:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866232:6870556:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866228:6869638:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866228:6870559:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866232:6870556:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866228:6869638:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6866232:6869638:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6866232:6869638:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.10G054800.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:6866229:6869638:1 gene:Manes.10G054800.v8.1 transcript:Manes.10G054800.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLTSRARVLCSLFHSLHSPCSLLRPCSFSLLTPLNANQPLPDLVNEISRILSDHRNPHHDLELSLTTYSSKISADLVEQVLKRCKNLGFPAHRFFLWAKRIPGFEHSAESYHILVDILGASKQFAILWDFLIEMRESRDCNISPQMFWLVFRAYSRANLPGDAIRSFDRMVEFGLKPTVNDLDQLLYVLCKRKHVKPAQQLFDRVKREFDPKAKTYSILVRGWGDIGELESAWKVFDEMREKQSTVDVLAYNCLLEALCEGRRVSEAYRMFKEMSSNGIEPDACSYSIFIRAYCEANDIRSAFRLLDEMRRCDLMPNVFTFNCIIKKLCKNDKVEEAYQLLNEVIERGGNPDTWSYNAILAYHCEHSEVNRATRLISRMVKDNCLPDRHSYNMLLKLLIRVGRFDRATEVWESMRERGFYPSVSTYSVMVHGLSKKKNKLEEACKYFEMMIDEGIPPYSSTVEMLRNRLMGLGLLDNIEILAGKMERSTSCSIQELANAMRGSKVHWRSRNEETESESD >Manes.06G158300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28384252:28394495:-1 gene:Manes.06G158300.v8.1 transcript:Manes.06G158300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRNIAKFNQAMGFQRSSTNKPKTQPGSGRSIRMGASNLCHHIAGGPISIEDASYISWVVEHPSALRSFDQMMKAAKGKKIALFLDYDGTLAPIVDNPDLAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKEFVQLSNVYYAGSHGMDIMAPPRPVKTCDGKYPTVALDKKGNEVLFQPAKKFLPAIQKIQTALKEKVVEIQGAMVEDNSFCVSVHFRQVREKVIQRRGQGYPIIVSSSPKDTKASFSLHDPSEVLTFLSRLARWRKSSSSSRSLAQIWGVGD >Manes.06G158300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28384252:28394495:-1 gene:Manes.06G158300.v8.1 transcript:Manes.06G158300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRNIAKFNQAMGFQRSSTNKPKTQPGSGRSIRMGASNLCHHIAGGPISIEDASYISWVVEHPSALRSFDQMMKAAKGKKIALFLDYDGTLAPIVDNPDLAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKEFVQLSNVYYAGSHGMDIMAPPRPVKTCDGKYPTVALDKKGNEVLFQPAKKFLPAIQKIQTALKEKVVEIQGAMVEDNSFCVSVHFRQVREKDYGILEKQVKSVLEHYPEFHLSWGKKVMEIRPSIEWDKGHALEYLLDTLGLSNSTDVLPLYIGDDRTDEDAFKVIQRRGQGYPIIVSSSPKDTKASFSLHDPSEVLTFLSRLARWRKSSSSSRSLAQIWGVGD >Manes.06G158300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28386596:28394495:-1 gene:Manes.06G158300.v8.1 transcript:Manes.06G158300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRNIAKFNQAMGFQRSSTNKPKTQPGSGRSIRMGASNLCHHIAGGPISIEDASYISWVVEHPSALRSFDQMMKAAKGKKIALFLDYDGTLAPIVDNPDLAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKEFVQLSNVYYAGSHGMDIMAPPRPVKTCDGKYPTVALDKKGNEVLFQPAKKFLPAIQKIQTALKEKVVEIQGAMVEDNSFCVSVHFRQVREKVIQRRGQGYPIIVSSSPKDTKASFSLHDPSEVLTFLSRLARWRKSSSSSRSLAQIWGVGD >Manes.06G158300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28386669:28389510:-1 gene:Manes.06G158300.v8.1 transcript:Manes.06G158300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRNIAKFNQAMGFQRSSTNKPKTQPGSGRSIRMGASNLCHHIAGGPISIEDASYISWVVEHPSALRSFDQMMKAAKGKKIALFLDYDGTLAPIVDNPDLAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKEFVQLSNVYYAGSHGMDIMAPPRPVKTCDGKYPTVALDKKGNEVLFQPAKKFLPAIQKIQTALKEKVVEIQGAMVEDNSFCVSVHFRQVREKDYGILEKQVKSVLEHYPEFHLSWGKKVMEIRPSIEWDKGHALEYLLDTLGLSNSTDVLPLYIGDDRTDEDAFKVIQRRGQGYPIIVSSSPKDTKASFSLHDPSEVLTFLSRLARWRKSSSSSRSLAQIWGVGD >Manes.06G158300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28386596:28394495:-1 gene:Manes.06G158300.v8.1 transcript:Manes.06G158300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRNIAKFNQAMGFQRSSTNKPKTQPGSGRSIRMGASNLCHHIAGGPISIEDASYISWVVEHPSALRSFDQMMKAAKGKKIALFLDYDGTLAPIVDNPDLAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKEFVQLSNVYYAGSHGMDIMAPPRPVKTCDGKYPTVALDKKGNEVLFQPAKKFLPAIQKIQTALKEKVVEIQGAMVEDNSFCVSVHFRQVREKDYGILEKQVKSVLEHYPEFHLSWGKKVMEIRPSIEWDKGHALEYLLDTLGLSNSTDVLPLYIGDDRTDEDAFKVIQRRGQGYPIIVSSSPKDTKASFSLHDPSEVLTFLSRLARWRKSSSSSRSLAQIWGVGD >Manes.07G071764.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:13473404:13474285:1 gene:Manes.07G071764.v8.1 transcript:Manes.07G071764.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQALLLSTLVILATIQGNQAVEYTVTNTAGSTAGGARFAAEIGEDYSKQTLSAATDFIWRVFQQPNAEDRKAVDKVSLFIDDMDGVAYAINNEIHFSANYIGNYTGDLKREYTGVLYHEMTHIWQWNGNGQTPGGLIEGVADFVRLKANYAPSHWVQPGQGDRWDQGYDVTARFLDYCNDLRNGFVAELNKKMRDGYGDQYFVDLLGKTVDQLWSDYKAKYAQ >Manes.03G158600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28646661:28652161:-1 gene:Manes.03G158600.v8.1 transcript:Manes.03G158600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRCSCDGSGSVQMKDFTRRRKFVETFFLAPQEKGYFVLSDVFHFIDEEQIHHHLAVLLARNNLESKLNAPNAIPELVPNYLLGGEIQARELVTSAEAKENGPVDSYGFSEQQLQQVPDTENVREESSADTNGSLQNTVNTAQHQLAVEETTGESQKHTYASILQVAKGQSVPSVASQTSFSKNVTPAPEWDHAPQPIAEQATVASDSFERSGSETVLEISAVEDEDEIRSVYVRNLPTTVSEAEIEEEFEKFGKISPDGVVIRSRKDVGVCYAFVEFEDMTGVHTAVKAGTAHVAGRQVYIEERRPNSNIPSRAGRGRGRGRGSYPTDAPGGRFGGQSFGRGGSYDGGDRDYNRSRGNGYYRPSPRQGRGFIGNQLLRNGQNQSE >Manes.03G158600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28647000:28652032:-1 gene:Manes.03G158600.v8.1 transcript:Manes.03G158600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRCSCDGSGSVQMKDFTRRRKFVETFFLAPQEKGYFVLSDVFHFIDEEQIHHHLAVLLARNNLESKLNAPNAIPELVPNYLLGGEIQARELVTSAEAKENGPVDSYGFSEQQLQQVPDTENVREESSADTNGSLQNTVNTAQHQLAVEETTGESQKHTYASILQVAKGQSVPSVASQTSFSKNVTPAPEWDHAPQPIAEQATVASDSFERSGSETVLEISAVEDEDEIRSVYVRNLPTTVSEAEIEEEFEKFGKISPDGVVIRSRKDVGVCYAFVEFEDMTGVHTAVKAGTAHVAGRQVYIEERRPNSNIPSRAGRGRGRGRGSYPTDAPGGRFGGQSFGRGGSYDGGDRDYNRSRGNGYYRPSPRQGRGFIGNQLLRNGQNQSE >Manes.03G158600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28647127:28650856:-1 gene:Manes.03G158600.v8.1 transcript:Manes.03G158600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRCSCDGSGSVQMKDFTRRRKFVETFFLAPQEKGYFVLSDVFHFIDEEQIHHHLAVLLARNNLESKLNAPNAIPELVPNYLLGGEIQARELVTSAEAKENGPVDSYGFSEQQLQQVPDTENVREESSADTNGSLQNTVNTAQHQLAVEETTGESQKHTYASILQVAKGQSVPSVASQTSFSKNVTPAPEWDHAPQPIAEQATVASDSFERSGSETVLEISAVEDEDEIRSVYVRNLPTTVSEAEIEEEFEKFGKISPDGVVIRSRKDVGVCYAFVEFEDMTGVHTAVKAGTAHVAGRQVYIEERRPNSNIPSRAGRGRGRGRGSYPTDAPGGRFGGQSFGRGGSYDGGDRDYNRSRGNGYYRPSPRQGRGFIGNQLLRNGQNQSE >Manes.03G158600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28647000:28652032:-1 gene:Manes.03G158600.v8.1 transcript:Manes.03G158600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRCSCDGSGSVQMKDFTRRRKFVETFFLAPQEKGYFVLSDVFHFIDEEQIHHHLAVLLARNNLESKLNAPNAIPELVPNYLLGGEIQARELVTSAEAKENGPVDSYGFSEQQLQQVPDTENVREESSADTNGSLQNTVNTAQHQLAVEETTGESQKHTYASILQVAKGQSVPSVASQTSFSKNVTPAPEWDHAPQPIAEQATVASDSFERSGSETVLEISAVEDEDEIRSVYVRNLPTTVSEAEIEEEFEKFGKISPDGVVIRSRKDVGVCYAFVEFEDMTGVHTAVKAGTAHVAGRQVYIEERRPNSNIPSRAGRGRGRGRGSYPTDAPGGRFGGQSFGRGGSYDGGDRDYNRSRGNGYYRPSPRQGRGFIGNQLLRNGQNQSE >Manes.03G158600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28647127:28652032:-1 gene:Manes.03G158600.v8.1 transcript:Manes.03G158600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRCSCDGSGSVQMKDFTRRRKFVETFFLAPQEKGYFVLSDVFHFIDEEQIHHHLAVLLARNNLESKLNAPNAIPELVPNYLLGGEIQARELVTSAEAKENGPVDSYGFSEQQLQQVPDTENVREESSADTNGSLQNTVNTAQHQLAVEETTGESQKHTYASILQVAKGQSVPSVASQTSFSKNVTPAPEWDHAPQPIAEQATVASDSFERSGSETVLEISAVEDEDEIRSVYVRNLPTTVSEAEIEEEFEKFGKISPDGVVIRSRKDVGVCYAFVEFEDMTGVHTAVKAGTAHVAGRQVYIEERRPNSNIPSRAGRGRGRGRGSYPTDAPGGRFGGQSFGRGGSYDGGDRDYNRSRGNGYYRPSPRQGRGFIGNQLLRNGQNQSE >Manes.03G158600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28646661:28652069:-1 gene:Manes.03G158600.v8.1 transcript:Manes.03G158600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRCSCDGSGSVQMKDFTRRRKFVETFFLAPQEKGYFVLSDVFHFIDEEQIHHHLAVLLARNNLESKLNAPNAIPELVPNYLLGGEIQARELVTSAEAKENGPVDSYGFSEQQLQQVPDTENVREESSADTNGSLQNTVNTAQHQLAVEETTGESQKHTYASILQVAKGQSVPSVASQTSFSKNVTPAPEWDHAPQPIAEQATVASDSFERSGSETVLEISAVEDEDEIRSVYVRNLPTTVSEAEIEEEFEKFGKISPDGVVIRSRKDVGVCYAFVEFEDMTGVHTAVKAGTAHVAGRQVYIEERRPNSNIPSRAGRGRGRGRGSYPTDAPGGRFGGQSFGRGGSYDGGDRDYNRSRGNGYYRPSPRQGRGFIGNQLLRNGQNQSE >Manes.07G056400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6428591:6431813:1 gene:Manes.07G056400.v8.1 transcript:Manes.07G056400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYLFKSILSPISLSHPNFPGKLDSRKAIFRLTSFSTGSSRKYFQRPKFSVRCSVQEEDNQSNGEEPPESLFMKELKRRGMTPTSLLEDTNRGSYGVEDKIKLEEVGRGFSKRNVVSTEFDQSLSNQRERSMALNSEGLEGLIPRAKLLLTIGGTFFLGFWPLILITVAFFCALYVYFGPNFVHDASKVNVSPPPYVDPYELLEDERISRIAPSLK >Manes.12G046000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4111144:4115712:-1 gene:Manes.12G046000.v8.1 transcript:Manes.12G046000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFQVCFVFAAFLIRALFLLTSTAISVHGKIPSTLDGPFQPVTVPFDVSLRGNAADLPADDPRVVRRVKGFGPEQISVSLSASYDSVWISWITGEYQIGYMIKPLDPKSVASVVRYGTLRFPMNHEAKGYSLVYNQLYPFEGLQNYTSGIIHHVRLTGLKPDTIYYYRCGDPSIEALSGIYSFKTMPVSSASNYPSRIAVMGDLGLTYNTTTTISHVIRNKPELVLLVGDVTYANLYLTNGTGSDCYSCSFSETPIHETYQPRWDYWGRFMQTLVSKVPIMVVEGNHEIEPQARNQTFVAYSSRFAFPSVESGSSSTLYYSFDAGGIHFIMLGAYIGYNKSDDQYKWLEKDLAKVDRSQTPWLVAAWHPPWYSSYGAHYREAECMRVAMEELLYSYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVYITVGDGGNREKMAIEHVDEPGNCPEPSTTPDPYMGGFCALNFTTGPAAGKFCWDQQPDYSAFRESSFGHGILEVKNATWALWTWHRNQDSDSKIGDQIYVVRQPDKCPVRRSLTKRRYADA >Manes.08G076550.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15098071:15104283:-1 gene:Manes.08G076550.v8.1 transcript:Manes.08G076550.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FPS2 MSDLKSTFLNVYSVLKQELLEDPAFEWTPDSRQWVERMLDYNVPGGKLNRGLSVIDSYKLLKEGQELTKEEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRDQPCWFRVPKVGLIAVNDGILLHNHIPRILKKHFRGKAYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHIDVKNILVQMGIYFQVQDDYLDCFGDPKTIDRNRY >Manes.08G076550.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15098071:15104283:-1 gene:Manes.08G076550.v8.1 transcript:Manes.08G076550.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FPS2 MDTRFPSMGRADVGLQCARSYKLLKEGQELTKEEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRDQPCWFRVPKVGLIAVNDGILLHNHIPRILKKHFRGKAYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHIDVKNILVQMGIYFQVQDDYLDCFGDPKTIGKIGTDIEDFKCSWLVVKALEHCNEEQKKLLYEHYGKADPASVAKVKVLYNELNLQGIFLEYENESYEKLVTSIEAHPSKSVQAVLKAFLAKIYKRQK >Manes.08G076550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15098071:15104283:-1 gene:Manes.08G076550.v8.1 transcript:Manes.08G076550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FPS2 MSDLKSTFLNVYSVLKQELLEDPAFEWTPDSRQWVERMLDYNVPGGKLNRGLSVIDSYKLLKEGQELTKEEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRDQPCWFRVPKVGLIAVNDGILLHNHIPRILKKHFRGKAYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHIDVKNILVQMGIYFQVQDDYLDCFGDPKTIGKIGTDIEDFKCSWLVVKALEHCNEEQKKLLYEHYGKADPASVAKVKVLYNELNLQGIFLEYENESYEKLVTSIEAHPSKSVQAVLKAFLAKIYKRQK >Manes.08G076550.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:15098071:15104283:-1 gene:Manes.08G076550.v8.1 transcript:Manes.08G076550.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FPS2 MVMKCNSFPGKLNRGLSVIDSYKLLKEGQELTKEEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRDQPCWFRVPKVGLIAVNDGILLHNHIPRILKKHFRGKAYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHIDVKNILVQMGIYFQVQDDYLDCFGDPKTIGKIGTDIEDFKCSWLVVKALEHCNEEQKKLLYEHYGKADPASVAKVKVLYNELNLQGIFLEYENESYEKLVTSIEAHPSKSVQAVLKAFLAKIYKRQK >Manes.01G099100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30016917:30022207:-1 gene:Manes.01G099100.v8.1 transcript:Manes.01G099100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGITISSPKSTILQNPISFKESNSSFLGGSLKGLSLPLKPRNKSRDNVGLVVAAARSTPSATSTDSTSLGRFYFNFTGFPFPLGPFLNRRTIRTEVVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKTGGLWVHAPIAPTKECIQLVKELGAPVEYIILPTFAYEHKIFVGPFSRKFPRAQIWVAPRQWSWPLNLPLEFFGIFRAKTLKDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIFVPRKPPQCISKESLLASAKNGLAVKLLSKGKEVPQEPVVDNPMNRQKGWERMVLQILFLGPSNLLEPNATFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDRIARDWRFKRIIPAHFDGPINAGGSEFLAAFAFLDDLLGEREFTWPSLSLIFTSLMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKRAA >Manes.01G099100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30016916:30022208:-1 gene:Manes.01G099100.v8.1 transcript:Manes.01G099100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKLKTGGLWVHAPIAPTKECIQLVKELGAPVEYIILPTFAYEHKIFVGPFSRKFPRAQIWVAPRQWSWPLNLPLEFFGIFRAKTLKDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIFVPRKPPQCISKESLLASAKNGLAVKLLSKGKEVPQEPVVDNPMNRQKGWERMVLQILFLGPSNLLEPNATFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDRIARDWRFKRIIPAHFDGPINAGGSEFLAAFAFLDDLLGEREFTWPSLSLIFTSLMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKRAA >Manes.01G099100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30016916:30022207:-1 gene:Manes.01G099100.v8.1 transcript:Manes.01G099100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIKLKTGGLWVHAPIAPTKECIQLVKELGAPVEYIILPTFAYEHKIFVGPFSRKFPRAQIWVAPRQWSWPLNLPLEFFGIFRAKTLKDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIFVPRKPPQCISKESLLASAKNGLAVKLLSKGKEVPQEPVVDNPMNRQKGWERMVLQILFLGPSNLLEPNATFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDRIARDWRFKRIIPAHFDGPINAGGSEFLAAFAFLDDLLGEREFTWPSLSLIFTSLMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKRAA >Manes.01G099100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30016916:30022212:-1 gene:Manes.01G099100.v8.1 transcript:Manes.01G099100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGITISSPKSTILQNPISFKESNSSFLGGSLKGLSLPLKPRNKSRDNVGLVVAAARSTPSATSTDSTSLGRFYFNFTGFPFPLGPFLNRRTIRTEVVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKTGGLWVHAPIAPTKECIQLVKELGAPVEYIILPTFAYEHKIFVGPFSRKFPRAQIWVAPRQWSWPLNLPLEFFGIFRAKTLKDEDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIFVPRKPPQCISKESLLASAKNGLAVKLLSKGKEVPQEPVVDNPMNRQKGWERMVLQILFLGPSNLLEPNATFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDRIARDWRFKRIIPAHFDGPINAGGSEFLAAFAFLDDLLGEREFTWPSLSLIFTSLMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKRAA >Manes.15G111800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8923194:8925022:-1 gene:Manes.15G111800.v8.1 transcript:Manes.15G111800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVMLILLLFLAILEVKGEDPYLFFTWNVTYGTLSPLGKPQQVILINDQFPGPVINSTSNNNVVVNLFNNLDEPFLLTWSGIQQRKNSWQEGVLGTNCPIPPGTNHTYHFQVKDQIGSFLYYPSTSIHKANGGFGGLHINSRLLIPVPYDAPEDDFTVIVNDWYLKSHKDIRKSLDAGKTLGQPDGVLINGKNAKGDGKGEPLFTMKPDKTYRYRICNAGLKTSINFRIQGHSMQLVEIEGSHVMQNDYDSLDVHVGQCYAVLVTADQPPKDYYLVASTRFLEKVLTGKGIVRYANGQGPPSPKLPEAPEGWEWSLNQFRSFRWNLTASAARPNPQGSYHYGSINITRTIRLVNSPGEVEGKLRYAINGVSHTNPETPLKLAEYFGIADKVFKYDTIPDDPPAKIDWSLEHGHLKRGRTTIFSMQLAGQQCKYFQNHGQQSS >Manes.14G132100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:10512307:10515358:-1 gene:Manes.14G132100.v8.1 transcript:Manes.14G132100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACALLSTACFLPSQNKEVTGLAVNLKSFFHGKVIHNKSFLRKETSRINGGHVAIVSLLGRRVQKRETVIPDPDYRIPVVLLGLAGGLTYTNNLAPAVPVGLLGLLLLFQTTRVRFVFDDEALEVKVGDQLEESGENVFVGGKNRWKFSTFVNWELWWPNFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSKNSGPKQS >Manes.S026852.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2259564:2259686:1 gene:Manes.S026852.v8.1 transcript:Manes.S026852.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.08G075865.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:16961283:16961924:-1 gene:Manes.08G075865.v8.1 transcript:Manes.08G075865.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKIEIRTYFSFWFCVKKEPLIFSLFFSFFPAALSALPTVCMRPSSIPSGQGTAFFLPYPEVFLGFMLKSTFGAWLEREPSFYRKVPSLNRCSSFVCSLQMCSYPCRLWNSQSFALMLSFL >Manes.18G103000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9847864:9853386:1 gene:Manes.18G103000.v8.1 transcript:Manes.18G103000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKEIGLRNVSSTCSISEMDDFDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRGGLDIYESTYSPGGRSGFDTPASSTRNSFEPHPMVADAWEALRRSIVYFRGQPVGTIAAIDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLHLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDTEGKECIERIVKRLHALSYHMRGYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSMAIMDLIESRWEELVGEMPMKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIDLAETRLLKDGWPEYYDGKVGRFIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSTSWTC >Manes.18G103000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9847821:9853686:1 gene:Manes.18G103000.v8.1 transcript:Manes.18G103000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKEIGLRNVSSTCSISEMDDFDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRGGLDIYESTYSPGGRSGFDTPASSTRNSFEPHPMVADAWEALRRSIVYFRGQPVGTIAAIDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLHLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKTDTLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRCALSMLKHDTEGKECIERIVKRLHALSYHMRGYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCVAILSSLATPEQSMAIMDLIESRWEELVGEMPMKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIDLAETRLLKDGWPEYYDGKVGRFIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPVIKRSTSWTC >Manes.11G123800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:28557939:28560680:-1 gene:Manes.11G123800.v8.1 transcript:Manes.11G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFFHSRLALSKSVYLFVSNAQLGVLPFHPAFIIRSFSSTISSNLNEHSFIISYLINSCGLTLKSAQSVSKNRSLRFQTPERPDSVLRLLREHGFTISQISKIVKIRPEVIVLHPEKTLLPKFEFLLSIGVSKSGLSTLVSRNPDLLARSLERFLIPTYEILKSLLDSDKKVVVTLNRMKNRSLSFFQKRFSNNLSTLRGRGISQSSISYLVTQSPLTMSYEMGKFAEGIEKVMKLGFDPSKAVFLDAFRVLLGVSHKTWEHKIEVYRKLGFSENEISTSFRKFPKCMVLSDKKLIGIMDFLVCKMGWQPADVARVPTVLGYSLEKRIMPRCSIVRVLVLKGLIKEDIRLSTVLIPSEKLFLKRFIIKYQEHVPQLLDIFQNQMEVAELGSRISGFENV >Manes.01G070400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:27167070:27169551:-1 gene:Manes.01G070400.v8.1 transcript:Manes.01G070400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSNFSLQMAAIHFLFLIFLSNFYFEIAHAAEYTNEFAERNNLQTYIIHVSKPEGRTFSQTDDLERWHKSFLPFNMASSEKQQQRFLYSYQNIISGFAARLTQEEVKAMEEIDGFVSARPERKIRLQTTHTPSFLGLNQQMGFWKESNFGKGVIIGVLDGGIFPSHVSFSDEGIPPPPAKWKGRCDFNASECNNKLIGARTFNLAATAMKGAADEPPIDVDGHGTHTASTAAGRFVYNADTLGNAKGTAAGMAAYAHLAIYKVCFGDPNDDCPESDVLAGMDTAVQDGVDVLSLSLGDVSMPFFQDNIAIGSFAAIQKGIFVSCAAGNAGPSNGTLSNEAPWILTVGASTIDRRIVATAKLGDGEELDGESVFQPSNFSKTLLPIVYAGMNGKPESAFCGEGALEGEDVKGKIVLCERGGGIGRIAKGEEVKNAGGAAMILMNDEASGFNTIADPHVLPATHVSFSSGLKIKAYINSTKMPMSTILFKGTMIGDPLSPAVASFSSRGPSLASPGILKPDIIGPGVSILAAWPFPLDNTNTGTKSTFNIMSGTSMACPHLSGIAALLKSSHPYWSPAAIKSAIMTTADILNLEGKPIVDETHEAADIFATGAGHVNPPRANDPGLIYDIQPDDYIPYLCGLGYKDEQISIIAHRPIKCSDKPSIPEAELNYPSFSVTLGPSQTFTRTVTNVGDANSVYVPTIVPPPGVGVSVKPSKLYFSGMNQKATYSVTFTRNGNGGKTSEFSQGYMSWVSAKHFVRSPISVRFE >Manes.09G101000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29906361:29910482:-1 gene:Manes.09G101000.v8.1 transcript:Manes.09G101000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQNATVGTGGNGTLPTHMNDTGAATTADDPKQNLNQVINSIQKTLGLLHQLYLTVSSFNTASQLPLLQRLNGLVVELDNMVKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVINSCIAKNQVTKGKTDAFKGLRKHLLEELELAFPDEVESYREMRAISAAESKRLAQAQSSLPNGDVKVKAEL >Manes.09G101000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29906146:29910511:-1 gene:Manes.09G101000.v8.1 transcript:Manes.09G101000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQNATVGTGGNGTLPTHMNDTGAATTADDPKQNLNQVINSIQKTLGLLHQLYLTVSSFNTASQLPLLQRLNGLVVELDNMVKLSEKCNIQVPMEVLNLIDDGKNPDEFTRDVINSCIAKNQVTKGKTDAFKGLRKHLLEELELAFPDEVESYREMRAISAAESKRLAQAQSSLPNGDVKVKAEL >Manes.04G049600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8188377:8194680:-1 gene:Manes.04G049600.v8.1 transcript:Manes.04G049600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELRKKLVPLFDAEKGFSAGSTLDPSDSYMLSDGGTVNLLSRSYGVYNINELGLQKCTSSPVDETDHSEKTYRCASQEMRIFGAIGSGASSVVQRALHIPTHRILALKKINIFEKEKRQQLLTEIRTLCEAPCYEGLVEFHGAFYTPDSGQISIALEYMDGGSLADMLRVRKKIPEPVLSHMFQKLLHGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNDNYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILDDPSPSPTKHKFSPEFCSFIDICLQKDPNARPTAEQLLSHPFITKYAHTSVDLAAFVQSVFDPTQKMKDLADMLTIHYYLLFDGPDELWQHAKTLYNEGSTFSFSGKQSVGPRDIFAALSNIRSTLAGDWPPERLVHVVEKLQCRAHGQDGVAIRVSGSFIIGNQFLICGDGLQVEGLPNVKDLSIDIPSKRMGTFQEQFIMEPGNAIGRYSIAKQDLYIMQ >Manes.04G049600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:8188377:8194680:-1 gene:Manes.04G049600.v8.1 transcript:Manes.04G049600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELRKKLVPLFDAEKGFSAGSTLDPSDSYMLSDGGTVNLLSRSYGVYNINELGLQKCTSSPVDETDHSEKTYRCASQEMRIFGAIGSGASSVVQRALHIPTHRILALKKINIFEKEKRQQLLTEIRTLCEAPCYEGLVEFHGAFYTPDSGQISIALEYMDGGSLADMLRVRKKIPEPVLSHMFQKLLHGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSMAMCATFVGTVTYMSPERIRNDNYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILDDPSPSPTKHKFSPEFCSFIDICLQKDPNARPTAEQLLSHPFITKYAHTSVDLAAFVQSVFDPTQKMKDLADMLTIHYYLLFDGPDELWQHAKTLYNEGSTFSFSGKQSVGPRDIFAALSNIRSTLAGDWPPERLVHVVEKLQCRAHGQDGVAIRVSGSFIIGNQFLICGDGLQVEGLPNVKDLSIDIPSKRMGTFQEQFIMEPGNAIGRYSIAKQDLYIMQ >Manes.05G103601.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664060:9671412:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRVS >Manes.05G103601.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664059:9671413:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRQPN >Manes.05G103601.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664670:9671412:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRKDHLYGSNNEEFFRIS >Manes.05G103601.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664060:9671412:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRKDHLYGSNNEEFFRIS >Manes.05G103601.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664059:9671413:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRQPN >Manes.05G103601.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664657:9671413:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRQPN >Manes.05G103601.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664060:9671412:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRKDHLYGSNNEEFFRIS >Manes.05G103601.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664060:9671412:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRVS >Manes.05G103601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9664657:9671412:1 gene:Manes.05G103601.v8.1 transcript:Manes.05G103601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENINGRQATYGKRKHGIMKKAKELSILCDIDIILLMFSPTGKPSICKGKRSIEEVIAKFAQLTPQERAKRKLEGLEALKKTFKKLDHDVNIPEFLGSSSQTIEDLSNQSRLLQNQLSEVHKRLSYWSNPNKINNIEHLGQLENSLRESLNQLRAHKDYLGKQQFMSLECNNQFQNGMHVPFRIGTDQQLPPMPWIPNNDSQQIVLPEDPNLLPHRDVECSASSSFGSYSGYFGTGKSSEISNSSQENGVNGLFNELNGTASLRLQLAGQYPYLPNNLNLLNDAKFQPASEMNIQESPVDFHVNGSFEAPKPGYDTNPGSWASSSGPCAVTMFDERFYSRKDHLYGSNNEEFFRIS >Manes.02G223600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37171136:37175794:-1 gene:Manes.02G223600.v8.1 transcript:Manes.02G223600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQAVVLNPTYCTAQQISDSPSSSRPSKSSSFFGTQVSLKRGRNQLRRIPCRSVRFRSPILTRRLVVKAVATSDSALELPLTTENVERVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNEENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKIQITGPAAGVMTVRVAVTQKLREKIPAIAAVQLLS >Manes.17G055100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25294662:25298699:1 gene:Manes.17G055100.v8.1 transcript:Manes.17G055100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSSAIKSALSTPSLSPPSSSLNPNASRSSLGFTSSSSSTLKPLVSRVYTRQNAPSNGRSSLSVNMVSVPSITPTTSLDFDTSVFKKEKVTLAGHDEYIVRGGRDLFPLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSQSFAEARAAGFTEESGTLGDIWETIGGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGVVECLFRRYTENGMHDDLAYKNTVECITGIISKTISTKGMLAVYNSLSEEGKKDFETAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRETRPKGDLGPLYPFTAGVFVALMMAQIEVLRKKGHSYSEIINESLIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILAQQAFVAVDAGAPLNQDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSGN >Manes.17G055100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:25294662:25298699:1 gene:Manes.17G055100.v8.1 transcript:Manes.17G055100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAFMVGDWYQLPKPIIKTCHFVVAFLPSAALTTPQFSTMAAAAATSSAIKSALSTPSLSPPSSSLNPNASRSSLGFTSSSSSTLKPLVSRVYTRQNAPSNGRSSLSVNMVSVPSITPTTSLDFDTSVFKKEKVTLAGHDEYIVRGGRDLFPLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSQSFAEARAAGFTEESGTLGDIWETIGGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGVVECLFRRYTENGMHDDLAYKNTVECITGIISKTISTKGMLAVYNSLSEEGKKDFETAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRETRPKGDLGPLYPFTAGVFVALMMAQIEVLRKKGHSYSEIINESLIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILAQQAFVAVDAGAPLNQDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSGN >Manes.14G083800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6935305:6939800:-1 gene:Manes.14G083800.v8.1 transcript:Manes.14G083800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLKKSPLKVGKHSKVDPGYTDPPRSNPFDSDDELDNKKTLKPPRKTSSEPNLTAPNVSTNPFHDDEERGTSSSPLYSVTSAARNKYKNDFRDSGGVENQSVQELENYAVYKAEETTKAVNGCLKIAEEIREDATKTLITLHQQGEQITKTHNVAVEMDHDLSRGEKLLGSLGGMFSRTWKPKKTRPITGPVITRDDYPKTRGGHMEQREKLGLNPAPKGWSNSQTPLSEPTDAFQKVEVEKAKQDDALSDLSNLLGELKDMAVDMGTEIEKQTKALDHFQDDVDELNFRVKGANQRGRRLLGK >Manes.14G083800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6935305:6940286:-1 gene:Manes.14G083800.v8.1 transcript:Manes.14G083800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLKKSPLKVGKHSKVDPGYTDPPRSNPFDSDDELDNKKTLKPPRKTSSEPNLTAPNVSTNPFHDDEERGTSSSPLYSVTSAARNKYKNDFRDSGGVENQSVQELENYAVYKAEETTKAVNGCLKIAEEIREDATKTLITLHQQGEQITKTHNVAVEMDHDLSRGEKLLGSLGGMFSRTWKPKKTRPITGPVITRDDYPKTRGGHMEQREKLGLNPAPKGWSNSQTPLSEPTDAFQKVEVEKAKQDDALSDLSNLLGELKDMAVDMGTEIEKQTKALDHFQDDVDELNFRVKGANQRGRRLLGK >Manes.04G043300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6486333:6489736:1 gene:Manes.04G043300.v8.1 transcript:Manes.04G043300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSHQFHSNGNYRRWLDETFDGPETTEDELSDNLDGNDNIVRQSVQNFHIALEPFIGMEFDSAEDAREFYEMYGRRMGFTIRNNRTRRSLKDNSIIGREFVCSKEGFRAGKSTKRENGVFSSRPATREGCNAMLRIAAKDGGKWIIYGFVKEHSHELNPIKIPPRRSHRIAFNEDGKDLKIRELSTELHREKKKSAAYQEQLQIVLKCIEEHTQRLSLKIEAVSNKVRELECEEPDSSDSN >Manes.16G029800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:3428918:3432303:-1 gene:Manes.16G029800.v8.1 transcript:Manes.16G029800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCEKMVVISPTTNEWPQTQIDEKNSMASTSKLMEKQGQELSQQHHQLQPQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRAASAVEGGASASSANPNPPSQTQIDISSNSNHINPLFYGLPTNPSGMNLPFPGRFNSKVSSSVDTVSGYDLQPQLNALALGFSSGIMSNEANGFNPTKQIQDVVTSSSLLSNYSIFSASSSTITTSTTMATLLASNFHQQKFSIKDSRAPNHFQTFEDLQMSGNSESGISMKEVKMEQEQSRLNWNMPCQNQIEQIGFSSSDPSIYWNTATSNTIGAWHDPTNIGSSVTSLI >Manes.02G183600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14669133:14675723:-1 gene:Manes.02G183600.v8.1 transcript:Manes.02G183600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAYRNSSIQWKPSPVVALATSADDSQVAAAREDGSLEIWLVSPGSVGWHCQLTIHGDPNSRVSSLVWCRDGSKGLPCGRLFSSSIDGSVSEWDLFHLKQKTVLESIAVSIWQMAVEPSSNPPSQKDLKPKNVENGYLNNKQNDTDDYPFSESEDDSDSDEPHEQSVVEDPRVAIACDDGCVRIYTIPDSDELIYNKTLPRVSGRVLSVAWSADASKIYSGSSDGFIRCWDAKVGHEMYRITVGLGGVGSGPELCVWSLLALRCGTLVSADSAGSVQFWDSQHGTLLQAHSSHKGGVNALAAAPSHNRVFSAGSDGQVILYKLSSETVGSSEDVSAKVMKKWVYVGYVRAHTHDVRALTVAVPISREDPLPDEKVKRPRSRKRPIDFSYRKWAHLGVPMLISAGDDTKLFAYSAKEFTKFSPHDICPAPQRVPIQLVLNTVFNRNSLLLVQGSYWLDILCVRVKSGIMPDAGPGPSSGYANTDLLARIKTKASRKIICSTISNAGTLFAYSDHVKPNLFELKKHSGKTAWAVNKKQLPQKLPYAHSMIFSSDSSRLMIAGHDRRIYVVDVGSLELVHTFTPQHEECDEELPPTEPPITKMFTSGDGQWLAAVNCFGDVYIFNLETQRQHWFISRLDGASVTAGGFPPQNNNVLVVTTSSNQVYAFDVEAKQLGEWSMRHTFVLPRRYQEFPGEVIGLSFLPLSSPPSVIIYSARAMCLINFGMPVDREEDNDLINSQHSPFKKLQNTLLNGKLKRRLKDCQTEVKHPKNFEFLAFRDPVLFIGNLSENSILIMDKPWMDVVKSLDAAPVHRHIYGT >Manes.14G009100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:519342:523322:1 gene:Manes.14G009100.v8.1 transcript:Manes.14G009100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSRSSHLKIFPHFRSSAQLVSTHVSFVFRKKPRDKNLKLIARALSEWHEYEDAVKRKDLARALRFLRSLEADKNKDNSAEKINGFLSSGPTQSGVSDLGLFDGSQRDWEVLDTCLNADDMRLVGSAYGFLKNRGFLPSFGKFSNIVLEGPRDMSPTVFKSSTGLEVSKFAPKKWGLSGSSRFALVAFLGGVSFLLSQGIDIRTNLAATLGLALMDSIFLGGTCLAQISSLWPPYKRRILIHEAGHLLVAYLMGCPIRGVILDPIIAMQMGIQGQAGTQFWDEKMSNELAEGRLSGTTFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLMKPPLSVAQMSNQARWSVMQSYNLLKWQRHAHRAAVKALESGCSLSVVIRRIEEAMSSGT >Manes.14G009100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:519342:523322:1 gene:Manes.14G009100.v8.1 transcript:Manes.14G009100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSRSSHLKIFPHFRSSAQLVSTHVSFVFRKKPRDKNLKLIARALSEWHEYEDAVKRKDLARALRFLRSLEADKNKDNSAEKINGFLSSGPTQSGVSDLGLFDGSQRDWEVLDTCLNADDMRLVGSAYGFLKNRGFLPSFGKFSNIVLEGPRDMSPTVFKSSTGLEVSKFAPKKWGLSGSSRFALVAFLGGVSFLLSQGIDIRTNLAATLGLALMDSIFLGGTCLAQISSLWPPYKRRILIHEAGHLLVAYLMGCPIRGVILDPIIAMQMGIQGQAGTQFWDEKMSNELAEGRLSGTTFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLMKPPLSVAQMSNQARWSVMQSYNLLKWQRHAHRAAVKALESGCSLSVVIRRIEEAMSSGQAGIGC >Manes.15G133000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10717887:10721235:-1 gene:Manes.15G133000.v8.1 transcript:Manes.15G133000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNLKEPLPLVKEEVNSDGYKEIGFNKGSGIHWPFSNKVSALPHLNSFKASQEDKAKRLVSDSSLSPGFLSISTADAFDSKQKQFMAETQKSFNHDRQSGSHFTLTAYPVQHGVHSMHHPHDMKMFPFSNYASSISMSNPFFKNYQATSGQNIAGATLNPQLLGGIPVVSPQTNLPTVGFVTGMTESCVKASRSPPQMTIFYAGTVNVYDDVSPEKVQAIMFLAGNGSSISSNMAQSNIQVQTPSSKPIATDVSPVNLSVTIPPCSRLSSPVSFSSQTGAQSGSGSTSTEEIMAAKNTGVATTPVSKLETPKISSAMGTVTATSMMPSAVPQARKASLARFLEKRKERMMISAPYNLGKKSAESAIQNPVMVSSATSAVGTCYLPASKEGNDNKQVRGNHCN >Manes.15G133000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10717887:10721235:-1 gene:Manes.15G133000.v8.1 transcript:Manes.15G133000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNLKEPLPLVKEEVNSDGYKEIGFNKGSGIHWPFSNKVSALPHLNSFKASQEDKAKRLVSDSSLSPGFLSISTADAFDSKQKQFMAETQKSFNHDRQSGSHFTLTAYPVQHGVHSMHHPHDMKMFPFSNYASSISMSNPFFKNYQATSGQNIAGATLNPQLLGGIPVVSPQTNLPTVGFVTGMTESCVKASRSPPQMTIFYAGTVNVYDDVSPEKVQAIMFLAGNGSSISSNMAQSNIQVQTPSSKPIATDVSPVNLSVTIPPCSRLSSPVSFSSQTGAQSGSGSTSTEEIMAAKNTGVATTPVSKLETPKISSAMGTVTATSMMPSVPQARKASLARFLEKRKERMMISAPYNLGKKSAESAIQNPVMVSSATSAVGTCYLPASKEGNDNKQVRGNHCN >Manes.15G133000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10717101:10721235:-1 gene:Manes.15G133000.v8.1 transcript:Manes.15G133000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNLKEPLPLVKEEVNSDGYKEIGFNKGSGIHWPFSNKVSALPHLNSFKASQEDKAKRLVSDSSLSPGFLSISTADAFDSKQKQFMAETQKSFNHDRQSGSHFTLTAYPVQHGVHSMHHPHDMKMFPFSNYASSISMSNPFFKNYQATSGQNIAGATLNPQLLGGIPVVSPQTNLPTVGFVTGMTESCVKASRSPPQMTIFYAGTVNVYDDVSPEKVQAIMFLAGNGSSISSNMAQSNIQVQTPSSKPIATDVSPVNLSVTIPPCSRLSSPVSFSSQTGAQSGSGSTSTEEIMAAKNTGVATTPVSKLETPKISSAMGTVTATSMMPSAVPQARKASLARFLEKRKERMMISAPYNLGKKSAESAIQNPVMVSSATSAVGTCYLPASKEGNDNKQVRGNHCN >Manes.15G133000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10717101:10721235:-1 gene:Manes.15G133000.v8.1 transcript:Manes.15G133000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNLKEPLPLVKEEVNSDGYKEIGFNKGSGIHWPFSNKVSALPHLNSFKASQEDKAKRLVSDSSLSPGFLSISTADAFDSKQKQFMAETQKSFNHDRQSGSHFTLTAYPVQHGVHSMHHPHDMKMFPFSNYASSISMSNPFFKNYQATSGQNIAGATLNPQLLGGIPVVSPQTNLPTVGFVTGMTESCVKASRSPPQMTIFYAGTVNVYDDVSPEKVQAIMFLAGNGSSISSNMAQSNIQVQTPSSKPIATDVSPVNLSVTIPPCSRLSSPVSFSSQTGAQSGSGSTSTEEIMAAKNTGVATTPVSKLETPKISSAMGTVTATSMMPSVPQARKASLARFLEKRKERMMISAPYNLGKKSAESAIQNPVMVSSATSAVGTCYLPASKEGNDNKQVRGNHCN >Manes.15G133000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10717915:10720362:-1 gene:Manes.15G133000.v8.1 transcript:Manes.15G133000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGFNKGSGIHWPFSNKVSALPHLNSFKASQEDKAKRLVSDSSLSPGFLSISTADAFDSKQKQFMAETQKSFNHDRQSGSHFTLTAYPVQHGVHSMHHPHDMKMFPFSNYASSISMSNPFFKNYQATSGQNIAGATLNPQLLGGIPVVSPQTNLPTVGFVTGMTESCVKASRSPPQMTIFYAGTVNVYDDVSPEKVQAIMFLAGNGSSISSNMAQSNIQVQTPSSKPIATDVSPVNLSVTIPPCSRLSSPVSFSSQTGAQSGSGSTSTEEIMAAKNTGVATTPVSKLETPKISSAMGTVTATSMMPSAVPQARKASLARFLEKRKERMMISAPYNLGKKSAESAIQNPVMVSSATSAVGTCYLPASKEGNDNKQVRGNHCN >Manes.17G076700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:27673422:27675822:1 gene:Manes.17G076700.v8.1 transcript:Manes.17G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMMGTEHGQKELLSYYSVLGVSADSSIEDIKRAYRRLAMQWHPDRWTRSPSLLSQAKRKFQQIQEAYSVLSDEKKRALYDIGLYDPDEEDDEGFSDFMQEMLSLMAQNKREDKSYSMEELQTMFTEMAQGFESSLSYYTTSSVVDDPAQSKGACGDSKPIMDTSGSHFGVSSCWGMYGTSSYCS >Manes.01G235200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39798634:39803684:1 gene:Manes.01G235200.v8.1 transcript:Manes.01G235200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSFTPVGVLLVLALFSHVPRLIKGSSPCKRANSAAIRPHSVSITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGQWLTGSFDLISHLTLWLDKDAVILGSTNSDDWPVVDPLPSYGRGRELPGKRHRSLIYGRNLTDVVITGDNGTIDGQGSNWWNWFHTKTLNYTRPHLVELMNSSGIVISNLTFINSPFWTIHPVYCSQVIVQNVTIRAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGISYARPCRNIIIRRLVGQTRTSAGIAIGSEMSGGVSEVHAENIQFYNSNTGIRIKTSPGRGGYVRDIYISNITLTDVKIAIRFTGHYGEHPDEHYDPEALPTVERITIEDIAGDNIQYAGILEGIEGDSFLNICLSNITLNITSKSPWNCSYIQGYSDSVSPETCEPLRESISPYHYSDCYNLSSHLLNSSNQNRGTWFLSW >Manes.03G178100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:30070719:30071735:1 gene:Manes.03G178100.v8.1 transcript:Manes.03G178100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFWKNLLKCLPTTTPSPNPLTLQQEHAHLLQSPTDPAAATSTTTNSSIVIKNFSSLYDLSPASTSKSLSTPSTYSFSSSDSDSDSDSPPDFAAIFASQRFFFSSPGRSNSIIEPPETLPETQSQLSGVVAVKKYSPDPYTDFKYSMLEMIDARKLKDVRADWDCLHELLSCYLTLNPKHTHKFIISAFADIIISLLPSSSSESDNLQKPEGRRRQNMSLWKV >Manes.01G221400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38887796:38889583:-1 gene:Manes.01G221400.v8.1 transcript:Manes.01G221400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDHITVATNGINMHVAIIGTGPTILFLHGFPELWYSWRYQLLSLSSLGYRCIAPDLRGFGDTDAPSSMNDYTGLHVVGDLVGLLDALEIEQVFLVGHDWGTLIAWYFCLFRPDRIRALVSTSVAFSPRNQQLKPMEGLRAMFGDDYYICRFQEPIETYEEFAGADTATLLRTIFTARDPNPPCLPKGIEYKALRDLPCRLPSWLSEEDINYYATKFNQKGFTGGLNYYRSINLTWELMAPWTGVGIRVPVKFIVGDLDTAYHLPGVKEYIANGGFKKDVPLLQEVVIMEGVGHFINQEKAGEVSRHIHEFIKKVLTSDQKQEPASPCSACVACN >Manes.18G144949.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21756680:21758171:1 gene:Manes.18G144949.v8.1 transcript:Manes.18G144949.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.04G013400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1788987:1792777:-1 gene:Manes.04G013400.v8.1 transcript:Manes.04G013400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMKKYRQVSPERAKVWTERSPKYHQNRKVPVVYYLCRNRQLEHPHFIEVPLSSPDGLYLRDVIERLNVLRGRGMASMYSWSCKRSYKSGFVWHDLCEDDLILPAHGNEYVLKGSELFEETNSDRFAPVGTIKIQNLKQMPEPASSRSQDDSASSSSMNGKEPKHSQDDELSPTLQHPGSSGVSPESRDGKNSSWNGSLSLTEYKVYKSDGLADASTQTEENVSRRKTRETCTRGVSTDDNSSGHECNNYHTQVPFVKENSDISENSVSPPPSSSSASSSGGKTETLESLIRADVNKINSFRILEEEEIRMPANAKIKATNMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFGSPLFSTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGPSTLKRSSSYSADRTCKQMDSAENDVLISGHLKCIPRSIKASLSKQQRSESMRSPISDKPRSSSDETNCSEAIHGCTSNGSSKRIAEHVMGKKLPKKLDSFREEKEKVIKIEERLASGARVIIQSKAPCDAAVCSS >Manes.04G013400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1788987:1793177:-1 gene:Manes.04G013400.v8.1 transcript:Manes.04G013400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARMKKYRQVSPERAKVWTERSPKYHQNRKVPVVYYLCRNRQLEHPHFIEVPLSSPDGLYLRDVIERLNVLRGRGMASMYSWSCKRSYKSGFVWHDLCEDDLILPAHGNEYVLKGSELFEETNSDRFAPVGTIKIQNLKQMPEPASSRSQDDSASSSSMNGKEPKHSQDDELSPTLQHPGSSGVSPESRDGKNSSWNGSLSLTEYKVYKSDGLADASTQTEENVSRRKTRETCTRGVSTDDNSSGHECNNYHTQVPFVKENSDISENSVSPPPSSSSASSSGGKTETLESLIRADVNKINSFRILEEEEIRMPANAKIKATNMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFGSPLFSTSIMLGELDCLSENPRLMGLRLEDKEYFSGSLIETKMLKEEGDGPSTLKRSSSYSADRTCKQMDSAENDVLISGHLKCIPRSIKASLSKQQRSESMRSPISDKPRSSSDETNCSEAIHGCTSNGSSKRIAEHVMGKKLPKKLDSFREEKEKVIKIEENLLQELGL >Manes.15G147800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12196822:12198859:-1 gene:Manes.15G147800.v8.1 transcript:Manes.15G147800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEIAAPAMITAVTHFSIGFVTCAFVGHLGELELAAVSIVENVIETFADGIMLGMGSALETLCGQAVGAGQLNMLGIYMQRSWIITGVTALVLTPFYVFASPLLQFLHQDKDISKLAGKYSIWVIPQLFAYAINYPIQKFLQAQSRVWIMTIISIVALAFHVLLNWVLVTKLNHGLLGAAIAGNISWWLVVLGQMVYLVCGCFPEARTGFSWLALKSLASFLKLSIASAVMLCLEEWYFTTVILMVGWLDNPEIAVDAISICMNLQLWTLTIALGFNVAISVRVSKELGAGHPKAAKFSMVVAVLTSIIIGVIFTALVLVTKDDYPKVFTGKPVVMKEASNLCYFLAATIFLNSIQPVLHGVAVGAGWQFLVALINIVCNILKPIARAVTSLGMG >Manes.01G001151.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:1730487:1730953:1 gene:Manes.01G001151.v8.1 transcript:Manes.01G001151.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDFIYLKLLVKLYRFLVRRTGSKFNAVILKLLFMSKINKPPLSLSRLTRFMKGKEEDDLRICCICVQYPKSNCDPQSTFVQEKEGQ >Manes.17G115600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32294634:32307620:1 gene:Manes.17G115600.v8.1 transcript:Manes.17G115600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVSSLKSGGISPLDCASDPEEKEVSDEDDDDRNHKHRRRETRSQSLERDSLEPVFTRSYRKHHKPFGNGHPFRENESQGSETWKSYNTAPLDKDFTSKFEKRHPGMASFPRVGMEFNQRIRPNQTFSGEYGPGKGRGRDSGSWKQRDSRFSSADIASQIVQQGSITPGLFAGRGLPSVPHAQSASWNAFGLIPGIPNGGLDALHSIGLQGTLRPAVNSSLNIGIARQQCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPNAPLGGTPAGPGALSSLGAPSTTLMNKGLHSRNSKPGMVDDGMGLNGGYSGSTSVSGADLYDPDQPLWNYNGPETSNALLALHSSKNDETESFMSVDPSDHHNVTDNECAIRITGNPVGSKSTGSSVWGRVGLVKNRLDVKEKTDLRVIKSDHGENEMKEDHNTANVQGTFGQGKQMIAEDAGPKTLDSATRLQSDVVRNTRKSSQKALRTLFVNGVPQKNNRRDALLSHFQKFGEVIDIYIPVNSERAFVQFSKREEAEAALRAPDAVMGNRFIKLWWANRDSIPDDGISSSTGVSVTPRGMPAGSVPPQPSVVKGKDNLHSDVPKGTMVPTTNVSLPPTDHPKPISNGPKIPPPVQKKLELEQLREELRMKQQMLDQKRNNFRLQLDKLEKQATGVKGEVVAEPTAKRHRVGIATDVAKTTTPGSSDPVAGVPSQRPEMIADKNKSVENVMSSSPRTTASLMQHGSTGSRQTIRSVAPTGAPFLMNRYKLDNRPTSFRIIPPLPPGLTNVDTLKEFFSSHGDLSAVELEDVDACNNDIDGSEMPKNCSARVTFTTRRAAERAFLNGKCWQGNNLKFTWVTSSTSSSDISVRENIPSASRCTVDSDVQPAERSVCTGSQASASENREPETSEGNGGAEHMELHEVSESCPTSMPGEDSTKCENTTTSTCSQEEPPKQELSSTTSGENESSDAC >Manes.17G115600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32294634:32307620:1 gene:Manes.17G115600.v8.1 transcript:Manes.17G115600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVSSLKSGGISPLDCASDPEEKEVSDEDDDDRNHKHRRRETRSQSLERDSLEPVFTRSYRKHHKPFGNGHPFRENESQGSETWKSYNTAPLDKDFTSKFEKRHPGMASFPRVGMEFNQRIRPNQTFSGEYGPGKGRGRDSGSWKQRDSRFSSADIASQIVQQGSITPGLFAGRGLPSVPHAQSASWNAFGLIPGIPNGGLDALHSIGLQGTLRPAVNSSLNIGIARQQCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPNAPLGGTPAGPGALSSLGAPSTTLMNKGLHSRNSKPGMVDDGMGLNGGYSGSTSVSGADLYDPDQPLWNYNGPETSNALLALHSSKNDETESFMSVDPSDHHNVTDNECAIRITGNPVGSKSTGSSVWGRVGLVKNRLDVKEKTDLRVIKSDHGENEMKEDHNTANVQGTFGQGKQMIAEDAGPKTLDSATRLQSDVVRNTRKSSQKALRTLFVNGVPQKNNRRDALLSHFQKFGEVIDIYIPVNSERAFVQFSKREEAEAALRAPDAVMGNRFIKLWWANRDSIPDDGISSSTGVSVTPRGMPAGSVPPQPSVVKGKDNLHSDVPKGTMVPTTNVSLPPTDHPKPISNGPKIPPPVQKKLELEQLREELRMKQQMLDQKRNNFRLQLDKLEKQATGVKGEVVAEPTAKRHRVGIATDVAKTTTPGSSDPVAGVPSQRPEMIADKNKSVENVMSSSPRTTASLMQHGSTGSRQTIRSVAPTGAPFLMNRYKLDNRPTSFRIIPPLPPGLTNVDTLKEFFSSHGDLSAVELEDVDACNNDIDGSEMPKNCSARVTFTTRRAAERAFLNGKCWQGNNLKFTWVTSSTSSSDISVRENIPSASRCTVDSDVQPAERSVCTGSQASASENREPETSEGNGGAEHMELHEVSESCPTSMPGEDSTKCENTTTSTCSQEEPPKQELSSTTSGENESSDAC >Manes.05G140000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25787666:25789539:-1 gene:Manes.05G140000.v8.1 transcript:Manes.05G140000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLALPFLLSFLLRKLKTKRNLHLPPGPKGLPFIGNLHQFDSLNPHSYLWQLSQKHGPVMSLRLGFVPILVVSSAKMAEAVMKTHDLIFCSRPALVGPQKLSYNGLDLAFSPYNAYWREIRKICMVYLFNSNRVQSFRPIREFELSHMLEKISKSAVASKPVNLSEAMMSLTSTIICRVAFGKRYEEDGVGRSRFQELLKEAQALFMCFFVADYFPFLGFIDKFTGLLHRLEKNFREFDVFYEQIIQEHLDPSRSKPAEEDILDILLQLWKDRSFKVDLSFDHIKAVLMNVFVGGTDTGAATVVWAMTLLMKNPIAMKKAQEEIRKLVGKKGFVEEDDVQQLPYLKAVIKETMRLQPTVPLLVPRESTEDCVLDGYDIAAKTVVYVNAWAIGRDPEIWENPEEFNPGRFINSSIDLKGQDFELTPFGAGRRICPGIFMGLATVEVSLANLLHKFDWEMPVGMKKEDLDMDVQPGITMHKKNALCLMARKYA >Manes.10G089700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:22776517:22777023:-1 gene:Manes.10G089700.v8.1 transcript:Manes.10G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQMKTLFSPLAIYLIVTLAIAHISKAQNSPKDYLQTHNAARAEVGVSPLIWNATLADFSKNLSIQRIKDCNLVDASPPSGYGENIAEASYPIAGVEAVKLWANEKVYYDYDKNECVGRNCWHYIQAVWNRTIGVGCERSRCNNGIWFVSCNYYPPGNLFDRPYAKPKN >Manes.04G007400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:950559:951855:-1 gene:Manes.04G007400.v8.1 transcript:Manes.04G007400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANKNLWVVAATWFCWTVAFGLARVCPITSDDKHKLGFPTVSFTTADATPDTYSQFVQALRKELISGAESYSIPLLRQESKVSNAQRFVLVKLSNAKASTTLAIDVVNVYLVAYQVEASSYFFNDTSAAAFSDLFEGTTKIRFKFSGGYPDLKNLGADRENVDLGLFSLDSAIFSLNKYSSSDHNKIAAPLLVVIQMVSEASRISHIERKIMTYFYQRFRPLGDVISLENQWSALSSAIQKSNGGVFQEPVQLQKSDYTFFDVTNVKQIRPYLALLLFDSKKSVSSLRQDIDVA >Manes.04G007400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:950559:951851:-1 gene:Manes.04G007400.v8.1 transcript:Manes.04G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANKNLWVVAATWFCWTVAFGLARVCPITSDDKHKLGFPTVSFTTADATPDTYSQFVQALRKELISGAESYSIPLLRQESKVSNAQRFVLVKLSNAKASTTLAIDVVNVYLVAYQVEASSYFFNDTSAAAFSDLFEGTTKIRFKFSGGYPDLKNLGADRENVDLGLFSLDSAIFSLNKYSSSDHNKIAAPLLVVIQMVSEASRISHIERKIMTYFYQRFRPLGDVISLENQWSALSSAIQKSNGGVFQEPVQLQKSDYTFFDVTNVKQIRPYLALLLFDSKKSVSSLRQDIDVA >Manes.11G102800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23238383:23241876:-1 gene:Manes.11G102800.v8.1 transcript:Manes.11G102800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNHLAIIDYPYKSKPSTLLNSLFMSTVNTAGKTLVSVASNLKMEQSEKWRPSDHLRFMMMLMTWVTVWFFRVLMDYFPSVMSFSPNYRLLLGRLSSVGSSTLALPAPSSSSTALSTSAASLDLVLKDDFDGPSVQGLSRALTHILGLLNQIPATSRKYQFAMAMADQIMEGNARDGRVELLEVNQQALSSAFACTLSLLHRSLKHPHGSADSGDWLSRVLRAMPMGSYVSPYVKGLNSCITSVTQTLRRGALSVLLDKWREFIGEGSGRVVVYEGADDVVAEKLAQELFWITNKIRAYGAVDEAMVQWSYASALASLAFSANPRVQGYIVKISAILIGDLSCNNVEVSEQVKFRLLVLWIPLFCYANNGLSYPFLSSFEKVEVERALNEVISTLPAMDQEVILVNWLQDFTLCASDWPNLQVSYDRWCRCTRELAQ >Manes.16G095200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30150983:30157054:1 gene:Manes.16G095200.v8.1 transcript:Manes.16G095200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHRHGNSPSASPEENTMFLDILHEAPLCGHRKRRSVVGAVVYCFILAGYAVLGAIAPFVFHHRLVPSLLCSCDVALLIVTGICQQYFVSQVQKIRLQGYYSFSQKLKHIVRLPFAITAYGTAAMLLVTVWKPQISFLSIAALLRIIVLTEAICAVSFMSVYIGYLHQYNSLDSEPDILKSLYSPLQQSSSLEGLRYHDGGRLSDQQMALLQYQRENLHFLSEEILRLQECLSKYERTNDGSTPQVDLAHMLAAREQELRTLSAEMNQLQTELRLALSLIAERDSEIQRVRTTNNQYVEENERLRAILGEWSSRAAKLERALEVERLSNLELQRKLSTRNQSNGSPE >Manes.08G143050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37908526:37909659:-1 gene:Manes.08G143050.v8.1 transcript:Manes.08G143050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFDFLVLLALALALASSFASADDPSPLQDFCVAIPEPENAVFVNGKFCKNPNLTVAGDFSFSGLNIPAFTGNRVGSNVTLVNVDKIPGLNTLGISLARLDFAPYGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPNRLFTKVLYPGDVFVFPIGLIHFQFNIAKTNAVAFAGLSSQNPGVITIANAIFGPNPPINPDVLAKAFQLENAMVEKLQKLFESA >Manes.01G269400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42305392:42307424:-1 gene:Manes.01G269400.v8.1 transcript:Manes.01G269400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLDQQSNFKHFCKICKKGFGCGRALGGHMRAHGIGYENGHMDEDPANDDWEDKFGENVPPSNKRMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHGKCSSEDAESLVSSPGSDGEDGTPRRGCGWSKRKRSLRAKVGNYNSNCPSSEEEDLANCLMMLSNATFDPLLAEPEESCASASKEEERRNTMNFIAPVAYRAPMEKAKGVSKGMFECKACKKVFNSHQALGGHRASHKKVKGCFAARFDQGLDDNLADEDVITHEEFFPTKSRSTFQFDHGSNAPLASTSKRKSKVHECSICHRVFSSGQALGGHKRCHWITSNSPDASSLAKFHQFQGHIEQIKQRPKFINNPETLDLTLDLNLPAPADEKNGSARRETPANPPSFEVSTEIYLQTWAGVKAKEKDENHHRRHHHHQNEDDNNKNNTTTTNNNTNRNGPMQNVDDEADSKVKLAKLSELKDMNMNGSSSPWLQVGIGSTTDVSANT >Manes.03G134300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26312987:26314069:1 gene:Manes.03G134300.v8.1 transcript:Manes.03G134300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCYPSTPKKLAMTVGCFVAGTALFAIGSHLSYVYIAPQQARIKARNDFVKERLRKKRSNG >Manes.03G134300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:26313615:26313803:1 gene:Manes.03G134300.v8.1 transcript:Manes.03G134300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCYPSTPKKLAMTVGCFVAGTALFAIGSHLSYVYIAPQQARIKARNDFVKERLRKKRSNG >Manes.08G096500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32627153:32627431:1 gene:Manes.08G096500.v8.1 transcript:Manes.08G096500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVKLRRDSAMDVFVIDHVSRFLGFDPSVALSILSSIGSSCSASPACLLVSAIKGSFKSSPS >Manes.08G096500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32626684:32628114:1 gene:Manes.08G096500.v8.1 transcript:Manes.08G096500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVKLRRDSAMDVFVIDHVSRFLGFDPSVALSILSSIGSSCSASPACLLVSAIKGSFKSSPS >Manes.08G096500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32627153:32627998:1 gene:Manes.08G096500.v8.1 transcript:Manes.08G096500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESVKLRRDSAMDVFVIDHVSRFLGFDPSVALSILSSIGSSCSASPACLLVSAIKGSFKR >Manes.S022952.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2032144:2032266:-1 gene:Manes.S022952.v8.1 transcript:Manes.S022952.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.15G110600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8836343:8842603:1 gene:Manes.15G110600.v8.1 transcript:Manes.15G110600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLRRYGRRLSVSICEKRELSTACEGQIILQQHQQLQQTAKSMNLCSAINQALHLALETDPRSYVFGEDVSFGGVFRCTTGLTERFGKNRVFNTPLCEQGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNQYDCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPLQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIREGSDITLVGWGAQLSVMEQACTDAEKDGISCELIDLKTLIPWDRETVEASVRKTGRLLISHEAPVTGGFGAEISASIVERCFLRLEAPIARVCGLDTPFPLVFEPFYMPTKNKILDAIKSTVNY >Manes.15G110600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8836343:8842603:1 gene:Manes.15G110600.v8.1 transcript:Manes.15G110600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLRRYGRRLSVSICEKRELSTACEGQIILQQHQQLQQTAKSMNLCSAINQALHLALETDPRSYVFGEDVSFGGVFRCTTGLTERFGKNRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIYPAFDQIVNEAAKFRYRSGNQYDCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPLQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIREGSDITLVGWGAQLSVMEQACTDAEKDGISCELIDLKTLIPWDRETVEASVRKTGRLLISHEAPVTGGFGAEISASIVERCFLRLEAPIARVCGLDTPFPLVFEPFYMPTKNKILDAIKSTVNY >Manes.15G030500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2394472:2397512:-1 gene:Manes.15G030500.v8.1 transcript:Manes.15G030500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREMALNFALILMSMLWAGAMAQSSCTNVLISMSPCLNYITGNSSTPSSQCCTQLSSVVRSSPQCLCEVLSGGGSSLGININQTQALALPGACNVQTPPISRCNASPPAASPAGTPEVPSTPSGTGSKTVPSTEVDGPGTSNGSLIKMSITLLSFLLFAASYVSAFMTY >Manes.07G062388.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:10078693:10086880:-1 gene:Manes.07G062388.v8.1 transcript:Manes.07G062388.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDIFISIFTEVIKEPIKEFVVVPIKRHISYPFTHKIKVEKLHHEAGRLKNRTVKLKQAVEEATRKGEEIYESVNKWLIDADKAIEEAEECIQGGEQAKKRCFVGLCPDLKTRYQLSKKAEKKALAIHELANEGDLDSISFRPPLQQIVAPSVYAREGLNSRELFLEKVMDALLDPDLNMIGVYGLGGVGKTTLAKQVHRKAIEENLFDVVAMVAVNQTPELRRIQSEIADILGLKFDVEEIPGRANRLYERLKKELEKEKKEKKELEKEKKVLIILDDIWKTLDLNAVGIPFGDGFKGCKILLTSRGQDVLSREMGTQKEFKLDVLQDEEARSLFEITVAGAKDSELPPIAAEIAKKCAGLPLLLLTVATDLRNRELYAWNDKLNQLSEFDNEEIYSKVHTIMESSYNNLCSNEVKSFFLLCGLLGQSNIEIQSLLKYVIGLSLFKNISTVQGARNKVYSLIDTLKAQSLLQDGDVYGFVKIHDVVRDTALLIASREQHAFIVTSGRELMKFPNKDCTRISLPYCDIENLPEGWECPKAEALLLFTEIFSLGIPHQFFKGIRNLQVVDFTGIHFVSLPSSLAFLSNLHTLCLHRCQLDDLAIIGDLKQLRVLSFANSYVVELPRQIEQLTRLKVLDVSNCSKLKMIPANALSKLSELEELYMSNSFVEWEADGNNASLAELEKLSQLTTSEMQILDDKILPKHLFSNGRLQSFRILIGDNWDWDGNYKTSRTLKLKLKACIHSGYGIKVLLRETEDLCLDEVREAENLLYDIDGDGFPKLKYFRVQNNHVIQHIIDSTKWTECDAFPILVSLNLENLMKLEKICHGRLTLNSFNKLEILQVRNCERLTHFFSLSTAKCLLQLQEMEVRDCPKMEAIVIDENENSNEVLEFNCLRSLNLQNLPNLRSFHTKMKASSKIEEFLSERETDTYLSLFSRTVSFPNLEHLQLDSVSCEKIWHDQLSATSSKLESLSVISCNELKHLFTASIVKRLFQLKTLYISFCSSMEEIILTEEFIEEEDERLNQILFPKLDELTLWNLPELIRFCTGYQIEFQSLRYLNISRCDALMGLVPSVPHTGMMETQDDTEMNQNQSAEIQSLFNGMVGFPNLERLSLFGINELKRIWHSPLAANSFFKLKSLNVSFCQKLMVVFPSNILERFRKMEELHVSSCASLQEIYQLEGFNVNEAFELRSLNIYGLRSLKHIWKKDPQGVFSFQNLKSVKVSDCDVLNYLFPGTHIFECQKLTSLDVRNCHKIIKFSSQEIQKEGRQPLLFLEKMSPNLEELTLEHKDLIAIQQGQFFSKLKMLTLTNLQNKSRPFIIGFLERLYSVETILVEGPNTSEELFSYEGLAGEEEEHARTLARVKNLKLEAVYSLKHIWDPDSGLKPLLQYLETLSVFNCGSLINVAPSSSSFQNLATLEVRYCAGLANLITAATAKSMVQLTKMTVRDCGMMTEIVTSDGDDHTEDEIINFNKLKCLELDGLPGLISFCSGNNAFNFPALENVTVKGCSRMKIFAFGDLNTPKLRGILLGDQQRWGGNLNATLAEMDGVMWNVHYWSR >Manes.12G039900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3510024:3511716:-1 gene:Manes.12G039900.v8.1 transcript:Manes.12G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMILPTKAPRHKYKIEVGKGERRRTNICFHKMEAVKLLGAWPSPFSYRVIWALKLKGVSYEYIDENIFNKSEMLLRYNPVHKKIPVLVHGGKPVAESIVILEYIEDTWPQNPLLPEDPHERAMARFWTKFEEDKTPTFFAFFRTVGEEQEKAVKEAKELLEIVEENALGDKKFFGGDKIGLADIAFGWIAGWLEVMQKAVGVELLEADRFPRLQAWMKNFREVPVIKQNLPDYDGMLAYFRCRREMFIASARSHTM >Manes.08G081800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21976194:21977616:1 gene:Manes.08G081800.v8.1 transcript:Manes.08G081800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQETGWPLGLRPLNARIGLVRNQELSGSNSFSTLLTGSPSFTADSSSDLDTESTGSFFHDKSITLGSLIRVSSILELSRRSTRRIRTAETLRDKNNCKSKPWFFSLCSKLSSDDVNENDTPSLGHFLEEERRAANIHRRESPMLNGPDDFSPVLPNRDLNSLFVGGQVASSSLGADGGRRSSTELLEQGSGYGFTLIFSCLCGQLIE >Manes.08G081800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21976194:21977664:1 gene:Manes.08G081800.v8.1 transcript:Manes.08G081800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQETGWPLGLRPLNARIGLVRNQELSGSNSFSTLLTGSPSFTADSSSDLDTESTGSFFHDKSITLGSLIRVSSILELSRRSTRRIRTAETLRDKNNCKSKPWFFSLCSKLSSDDVNENDTPSLGHFLEEERRAANIHRRESPMLNGPDDFSPVLPNRDLNSLFVGGQVASSSLGADGGRRSSTELLEQGSGYGFTLIFSCLCGQLIE >Manes.05G012800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:772044:779315:-1 gene:Manes.05G012800.v8.1 transcript:Manes.05G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKMLPLKFSRHICTLSTANLTDGHLSHNNNLASESKSSGQNPCFQNVMPLNKILSTCVQAHQIQYAEELFDKMPLKDVVSWNTMLSAFNKTKNPARVCKYFKEMQRVGIVPNEYTISIVLRAVVDKTFNILVPQIHARVVSLGLNLSLFVGSALIRAYAAVGDQMALSRVFDEILQKDVTSWNALVSGYMELGRISQAQRVFDAMPEKNTVSWTSLINGYIGNGRINKARSFFNKMGNRNVVSWTVMITGYVQIERFVDSLKLFCLMMQSDTRPNHFTFSSVLDACAGCSSLVMGQQVHSIILKAGVPNDVVLLTSLVDMYGKCGDIVAAFCIFDSMEMKNLMSWNAIIGGYARHGLATRALEEFERMIKSGVRPDHITFLNILSACGHGGLVEEGEKHFGSMGTEYGIKAGVEHYTCMVDLYGRAGQLDKAEKLIKGMPFEPDVIVWGALLGACGIHSSMELGEFAARGIQKLKENHAVAYSILSKIHSERGEWNSIAGLRKMMKQRHVQNQKAGSWIESPSKPNL >Manes.01G093800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29560667:29562375:1 gene:Manes.01G093800.v8.1 transcript:Manes.01G093800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDSVRVLEVCQVAAASGSPESATALSLPITFLDARYFKFPPAERIYFFKLHGSTPTFFHSVLVPTLKHSLSQTLRHFLPLAGHLTWPPHSPKPIILYSPNDAVSLTIAESDADLDRLVGDEIREASESCLCVPELPISDTKASVIALQITLFLNKGYSISIAMHHAVVDGKTASMFLKAWAHLCKNTSKGQTFTLSPELTPSFDRSTIKDPDELESFYLNHWVATTKLDSKSNPRSLKLLPNLLGVPPKLVRATFQLSRENIEKLREAVVSYHQHGAAGLQPTREVRLSTFVLTCAFLSVCLVKARGGDANRMVYFLVAADCRSRLDPPISQNYFGNGVFVHDTVIEARTFMEENGVAIIAEKISGIIKGLEKGLFRGAKESHERLRSTGADVQKIGIAGSPRFLYYEEDFGWGKPNKVEIASIDRIHGVSLMESRDGNGGFCFPVCSRPELTGCWFLNHLSSVVFSTVRIIYNQACSFFGYRVLI >Manes.14G038200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3298594:3299352:1 gene:Manes.14G038200.v8.1 transcript:Manes.14G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQCSLWADGSAETRKWTIIYRISIGIAKVLDHLHTGLQKPAIHWNLKLKNILLDPNHQPYISDYGLYLLLNPTAGQEMLESSAADGSKAPELVKMKDASEQTDIYSLGITLLQLLSGKEPMNENPNSGDDFHLPTFMRNAVLDRRITDLYHPDVLVSNNSDSESPVTEERVLKFFQLAMSWCSPSPSLRPNIRQVLWKLEKIGR >Manes.12G111400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:30913694:30915441:1 gene:Manes.12G111400.v8.1 transcript:Manes.12G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSLFIFFLFVGVVPSIAGKYHVIHFLSPNLYPEGLAYDPSAQHFIVGSLRNRSIHAVSDAGVVETLISDLSLPPNASFLGLAVDSVNNRLLATIHSFAPLPPYNALAAYDLRTRQRLFLSLLPDGDVTATDRAVANAVTVDFKGNAYVTNSLGNSEGNFIWKVNAQGEASIFSRSPIFNHHPVDRNAPYSYCGLNGIAYVSKGYLLVVQSNTGKMFKVDVEDGTARTVLLNEDLDVADGIAIRRDGVVLVVTPVKAKLWFLKSDDSWGEGVIYDKTDLDAERFPTSVTVGREDRAYVIYGRAIEGIMGNEEREWFDIEEVKSERESKEDNVWIFVLLGLGLAYFLFWRFQMKQLVKNIDKKTN >Manes.01G041300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8258947:8266230:1 gene:Manes.01G041300.v8.1 transcript:Manes.01G041300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVVASRGGSLPMSSSRKEWRAVSDHHSVGTAGDEVELDRPNLGQSDERTIYEVQRAREPADVDFCSINVNGSLDNDIMQQRIHSITRQREELQHVEIELKAQLISRSEILEMQHSFDAQIKEHKDAAAKLKEQLHEREQAIHELERGMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQIRDLQELIQEKERQILELQEQHRVDQETIFLKDEQLKVWIARVQEMDALQSTLQVELRERTEQYNQLWMGCQRQLAEMERLHLHTVQQLHLELADARERSGPYTEESRISQTNSKDMSKFGQSNGNQLDVNANGATSANDGPLPNGNADNASNQTNHVAGVPIAPSSLLGMPTYLPPGQVTALHPFILHQQGIPHSMPSHVPQSHVGHFHSIPAMSSLPQWQNQQVASEGAQLSTQNPPTSSQPDHNLMGSDAKYEYEMSVNGQGFRPGYVDVHNSQVTEPDPVISSSTGEAQVLESRDRSYMVASQPEQRLQQISSQFNDSLRLNTLDKNSEAKEQNVFSDQDLDGQVSTEEQPSPASASLSETSMHSVNVGEATINNGTVVGLSESLISTGQANVVTVGKTSETSLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLAPLHWHDYKKKYGKLDEFVAGHPELFFIEGDYIQLREGAQEMIAATAAVAKVAAAAAASHPYSSFLPSVAVTPMAQSHRLKKVPSIDSKQLNGVSFGNAGGVSNVKILSKPKESQEMNGPDFDRSSISSNQSKGSLHGRSNLNFAGKQQGRTTGAALTSGR >Manes.01G041300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8258817:8267377:1 gene:Manes.01G041300.v8.1 transcript:Manes.01G041300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVVASRGGSLPMSSSRKEWRAVSDHHSVGTAGDEELDRPNLGQSDERTIYEVQRAREPADVDFCSINVNGSLDNDIMQQRIHSITRQREELQHVEIELKAQLISRSEILEMQHSFDAQIKEHKDAAAKLKEQLHEREQAIHELERGMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQIRDLQELIQEKERQILELQEQHRVDQETIFLKDEQLKVWIARVQEMDALQSTLQVELRERTEQYNQLWMGCQRQLAEMERLHLHTVQQLHLELADARERSGPYTEESRISQTNSKDMSKFGQSNGNQLDVNANGATSANDGPLPNGNADNASNQTNHVAGVPIAPSSLLGMPTYLPPGQVTALHPFILHQQGIPHSMPSHVPQSHVGHFHSIPAMSSLPQWQNQQVASEGAQLSTQNPPTSSQPDHNLMGSDAKYEYEMSVNGQGFRPGYVDVHNSQVTEPDPVISSSTGEAQVLESRDRSYMVASQPEQRLQQISSQFNDSLRLNTLDKNSEAKEQNVFSDQDLDGQVSTEEQPSPASASLSETSMHSVNVGEATINNGTVVGLSESLISTGQANVVTVGKTSETSLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLAPLHWHDYKKKYGKLDEFVAGHPELFFIEGDYIQLREGAQEMIAATAAVAKVAAAAAASHPYSSFLPSVAVTPMAQSHRLKKVPSIDSKQLNGVSFGNAGGVSNVKILSKPKESQEMNGPDFDRSSISSNQSKGSLHGRSNLNFAGKQQGRTTGAALTSGR >Manes.01G041300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8258817:8267377:1 gene:Manes.01G041300.v8.1 transcript:Manes.01G041300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVVASRGGSLPMSSSRKEWRAVSDHHSVGTAGDEELDRPNLGQSDERTIYERAREPADVDFCSINVNGSLDNDIMQQRIHSITRQREELQHVEIELKAQLISRSEILEMQHSFDAQIKEHKDAAAKLKEQLHEREQAIHELERGMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQIRDLQELIQEKERQILELQEQHRVDQETIFLKDEQLKVWIARVQEMDALQSTLQVELRERTEQYNQLWMGCQRQLAEMERLHLHTVQQLHLELADARERSGPYTEESRISQTNSKDMSKFGQSNGNQLDVNANGATSANDGPLPNGNADNASNQTNHVAGVPIAPSSLLGMPTYLPPGQVTALHPFILHQQGIPHSMPSHVPQSHVGHFHSIPAMSSLPQWQNQQVASEGAQLSTQNPPTSSQPDHNLMGSDAKYEYEMSVNGQGFRPGYVDVHNSQVTEPDPVISSSTGEAQVLESRDRSYMVASQPEQRLQQISSQFNDSLRLNTLDKNSEAKEQNVFSDQDLDGQVSTEEQPSPASASLSETSMHSVNVGEATINNGTVVGLSESLISTGQANVVTVGKTSETSLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLAPLHWHDYKKKYGKLDEFVAGHPELFFIEGDYIQLREGAQEMIAATAAVAKVAAAAAASHPYSSFLPSVAVTPMAQSHRLKKVPSIDSKQLNGVSFGNAGGVSNVKILSKPKESQEMNGPDFDRSSISSNQSKGSLHGRSNLNFAGKQQGRTTGAALTSGR >Manes.01G041300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8258947:8266230:1 gene:Manes.01G041300.v8.1 transcript:Manes.01G041300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGVVASRGGSLPMSSSRKEWRAVSDHHSVGTAGDEVELDRPNLGQSDERTIYERAREPADVDFCSINVNGSLDNDIMQQRIHSITRQREELQHVEIELKAQLISRSEILEMQHSFDAQIKEHKDAAAKLKEQLHEREQAIHELERGMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQIRDLQELIQEKERQILELQEQHRVDQETIFLKDEQLKVWIARVQEMDALQSTLQVELRERTEQYNQLWMGCQRQLAEMERLHLHTVQQLHLELADARERSGPYTEESRISQTNSKDMSKFGQSNGNQLDVNANGATSANDGPLPNGNADNASNQTNHVAGVPIAPSSLLGMPTYLPPGQVTALHPFILHQQGIPHSMPSHVPQSHVGHFHSIPAMSSLPQWQNQQVASEGAQLSTQNPPTSSQPDHNLMGSDAKYEYEMSVNGQGFRPGYVDVHNSQVTEPDPVISSSTGEAQVLESRDRSYMVASQPEQRLQQISSQFNDSLRLNTLDKNSEAKEQNVFSDQDLDGQVSTEEQPSPASASLSETSMHSVNVGEATINNGTVVGLSESLISTGQANVVTVGKTSETSLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLAPLHWHDYKKKYGKLDEFVAGHPELFFIEGDYIQLREGAQEMIAATAAVAKVAAAAAASHPYSSFLPSVAVTPMAQSHRLKKVPSIDSKQLNGVSFGNAGGVSNVKILSKPKESQEMNGPDFDRSSISSNQSKGSLHGRSNLNFAGKQQGRTTGAALTSGR >Manes.07G036800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:4063506:4066382:-1 gene:Manes.07G036800.v8.1 transcript:Manes.07G036800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKQLIRPGTGPKPAAGQSVTVHCTGFGKNGDLSQKFWSTKDPGQKPFTFKIGQGNVIKGWDEGVLGMQIGEVARLRCSPDYAYGASGFPAWGIQPNSVLDFEIEVLSAE >Manes.17G101501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:30852927:30854084:1 gene:Manes.17G101501.v8.1 transcript:Manes.17G101501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRLLSPTPETCCIDIGNMMAKHVFGWQNIQTRTSQKVNNLRRRIELPNFVLQTYSEIDFYHFLNCFFQFHSINRFWVGLHLSIYAAYDRILGLMNTIHFSLTEVFFLLSYLLI >Manes.10G101601.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24966757:24968021:1 gene:Manes.10G101601.v8.1 transcript:Manes.10G101601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGIASIVAGASTHPLDLIKVRMQLQGESHLPNPASLQALRPAIAFNTVAAGAGNISLPVEIAPPPARVGPISIGVRIIQSEGAAALFSGVSATILRQTLYSTTRMGLYDVFKHKWTDQDTGSMPLPLKILAGLISGGIGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVFDALTQMSKQEGIASLWRGSSLTVNRAMIVTASQLASYDQIKEMILEKGVMSDGIGTHVTASFAAGFVASVASNPIDVIKTRVMNMKVETGAEPPYKGALDCAMKTVRAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Manes.02G064500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4921650:4925540:-1 gene:Manes.02G064500.v8.1 transcript:Manes.02G064500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLSPSSAISFPISSRSNTSIRGEKQWLGCFLMKTKPTCLRLSNGFRARAKAASNANWKAIDIPPQWYNLISDLPVKPPPQLHPKTFEPVKPEDLAALFPDELIKQEATNEKFIDIPEEVLDIYSLWRPTPLIRAKRLEKLLNTPAKIYYKYEGVSPAGSHKPNTAVPQVFYNARQGVKNVVTETGAGQWGSSLAFACSLFGLDCEVWQVRASYDQKPYRRLMMETWGAKVHPSPSSITHAGREILQKDPSSPGSLGIAISEAVEVAARNTDTKYCLGSVLNHVLLHQTIIGEECLKQMEAVGETPDVIIGCTGGGSNFAGLSFPFIREKLSGKINPVIRAVEPTACPSLTKGVYAYDYGDTAGMTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYELGFMEAIAIPQTECFQAALQFARSEGLIPAPEPTHAIAATIREALHCRETGEAKVILMAMCGHGHFDLTSYEKYLRGNMVDLSFEEEKIQASLARVPQVKA >Manes.09G011727.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2595312:2598668:1 gene:Manes.09G011727.v8.1 transcript:Manes.09G011727.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTHLDDAIASFNHVIHKHPLPSRVPFNRFLSALVKMKQYHTVLSMSKTIELLGISHDLYSLSILINCFCHLHLVDFGFSVFGKMFKFGLEPDVVTFNTLINGLCMESKIDKAVEILDDMVARGYQPDVRTFNVIVNGMCKFGKTNVAIGLLKGMADRGCEPNVVTYNAIIDALCKDELVGEALDLFSQMRNKGISPNVITYNSLIHGVCKLGQKNQALALMNEMVEHNILPDVYTFNVLIDALCKDGMVSEAQNTFNVMNQRGVEPDVVTYNSLIDGLCISDQFKEALTLLKEMVGRNISPDVFTFNILIDTLCKKGLVSNAENIFKIMIQRGVEPTVITYSSLMDGYCLGNQIDKARKVFDLMVTNEIADIFSYNILINGYCKCKMIDDAKDIFGEMSHKGLVPDAVTYCTLIKGMFQAGRPQNAKELFKDMYSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLEAMEKSQLKPNLVIYSSLINGLCKVGKINDAKELFSSLFEIGLQPDVYVYSAIMKGLCQQGLMDEAYKVFRDMEKGGCLPNNFSYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTT >Manes.12G081400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:11569051:11571236:-1 gene:Manes.12G081400.v8.1 transcript:Manes.12G081400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAEDFSFPKITNPLPQFSVSPSLWHVSSVVYPDDEREAAGFADYKSSSCFESGELDEEEKMDMLWEDFNEELQRVSSLSNKNQLSDQRSLSSAGGHQDLQLCCVQALNMSKPGSIYQPKKQSLVLVVKVLKKIFSLQNQLRRRTK >Manes.11G097500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20757144:20761123:-1 gene:Manes.11G097500.v8.1 transcript:Manes.11G097500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLSIRPNRFSPGSPFSRPPVHLPTSTATTTQIKSSKPWRTIIVPSFRRPLRAGGARAGSRADDSAPFEMSVENALKLLGVSESASFDDILRAKKAVIATCKDNKEAIAQVEAAYDMLLMRSLTQRRAGKVANSGIRYADVKSVSGPGLGSLPQWLQTTMKNTPISSETPSAGDFGIQAGAYGALMVLTYVNGTTTSSVASYAGADVPGLILATSFGASLYFMTRKNVKLGKATVITLGGLVAGAVVGSAVENWLQVDIVPFFGIHSPAAVVSEFIIFSQFLVSLFLR >Manes.04G103100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30771729:30772578:-1 gene:Manes.04G103100.v8.1 transcript:Manes.04G103100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSRHYSEDYNFSAYHSPSHQPMYEERPPMFYDKVNSFHPPSHHPMYEEKPSMCIDRPAAGAFWGSSPAVSQDVWFPSNPPPPPPPRSYHIPSNPHRVNNYKSSPVPLTEDQVRQIFMKFDLNGDNVLSREEIRQAFNYLGAMFPAQKARQGIKLADGNGDGVVDMSEMEDLVKYAYNLGYVVR >Manes.01G272600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:42564171:42565970:-1 gene:Manes.01G272600.v8.1 transcript:Manes.01G272600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERRRQQQQLLRSPIERKCFYILQRTKTRKTLLQIHALMLRNAIETNVSLLTKFITTSASLALVACIPEPLAIVRNARLMFDSWAHKDDTLLCNSMIRAHVGMRQFAESFILYKDLRRGTGFLPDNFTFTVLAKSCANMAIWEGLEIHGHVVKNGFCLDLYVSTALIDMYAKLGELGMARKLFDEMKERNLVSWTALIGGYVRSGCMGSARVLFDKMPVKDSAAYNVMLDGYAKVGDMDSARSLFENMPDRNVISWTSMIYGYCNIADVLSARSLFDAMPEKNLLSWNAMISGYSQNKEPHEALRLFHEMQTSTSFEPDKVTIVSILPAIADLGALEVGGWVHQFARMKKIDRAPNVSTALVHMYAKCGEILKARRVFDNMSNKGQASWNALINGFAINGCGDEALKAFLEMQSEGVKPNDITMIGVLSACNHSGLIEDGKRWFRAMNELGLTPKIDHYGCMVDLLGRAGLLEEADKLIKSMPYEANEIILSSFLFACANSKDVNRAQKVLNEALIMERWNDGNYVMLRNLYAMQQRWRDVEVIKQLMRRNGAKKETGSSSIEVDGRVSEFIAGGTQHPQWNSIQSLLGRLLIHMKD >Manes.02G115400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:8878443:8880056:1 gene:Manes.02G115400.v8.1 transcript:Manes.02G115400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFPRILTGFDTSSVADPPEAVNVESDFVVILAALLCALICVVGLIAVARCAWLRRSGSASGSPSRGAANKGLKKKIIQSLPKFTYGAVMAADSCKFASTECAICLGEFGEKDEIRILPQCGHGFHVGCIDTWLGSHSSCPSCRQILGLARCQKCGQFPPAIAISSSSGGGAAEADSKSRVDNCNDNNHNQRHNLGDGFLP >Manes.15G120300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9555317:9558676:-1 gene:Manes.15G120300.v8.1 transcript:Manes.15G120300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQEGKKRRVVVESLGWLTESSILPKKHRTIEGVGASSILELKAQLYKSQEEAKVSKQTSTVSDANYHRAKKKISRSDPFSAKNSGVESRALKDKLELKAIDDGSASYAALEKKAELYDKLVRGELSDEEGEEKYCVDFFRKGIEQEESQQPQSHDASTTVAHPENEDGGKDDSLSFSTKFVGPGRTSEAVDRDKHKRFVREVHEEANQAREKVSEIKLRRQEQAAAHREKLRQAYLRKKLEKLKAAPNAEQE >Manes.12G101400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26895590:26907625:-1 gene:Manes.12G101400.v8.1 transcript:Manes.12G101400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGVGNKFVSVNLNKSYGQQQYHQHHHNNQHHSSSYGSNRNRSGGVGGGMVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGPAGGGIGSGPRPGSSGTGWTKPATIAIQDKEVFGIGGDHSADGTSSNNGIDQGMPVNGFSGGSVNSVYTLPSARSVVPSASVPCRGSLVTEKVTVLRGEDFPSLRATLPATSGPEKKLKDGLNQKQKQVAGEELANERNSSQLSTLVDMRPQLQSRSNINYRLQENGAEIHGWGGSVMPEKDQMREDYFSGPLPLVRLNPRSDWADDERDTGLGLADRGRDNGFSKSEAYWDMDFDFPRPSILPQKPGNNLFDRRGQRDNETGKISSSEVTKVDSYGHDVRMSSLEGREGTSRRASSPISKDGVGVQEAGNEKNGVGGKPSTLNRETKENKYIPSPFRDNAHDDAGRRDSGYGQGGRQPWNKMDSFGNRWPESNARERHGSEQYNRYRGDTYQSNSISKSSFSSGGKKLPINDPILNFGRDKRSSSRNDKPYLEDPFIKEFGTAGFDGRDPFSGGLVGLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQEEERQRIMEEHERAVDLARREEEERMRVAREQEERQRRLEEERQEAMRRAEEERLEAMRRAEEQRIAREEEKQRVLLEEERRKQAAKQKLLELEERIAKRHADEAKCVSTNSYGVTDDKLSGMVAEKDVSKVVDMGDWEDSERMVDRITTSASSDSSGMNRPFEVVSRPHFPRDGASLYLDRGKAGTSWKRDLLENGNSSTFHSQDQGNGHRIPRRDTSIGGRTFLRKEFYGGPGCIPSRVYHKGGVSDTHMDDFSQIKGQRWNMPGEGDHYGRNAEIESEFHDNLSERFDDAGWGHGRSRGSLYPPYHERVYQNPEADGLYSFARSRYPIRQPSVLPPPTNSMLRNSYRAENDCPGPSSFSEGEMQYTHGARNESSVQTGYDSGHQENVRRTVRINTQQEHADNEVRKLDRNSARCDSQSSLSVSSPPDSPVHLSHDDLDESRDSPTLSGSEGKNISLLEQGNECTTLPFEAEKDNMMSGSSVVSTGDEEEWTIQNDQQLQKQEEYDEDEDGYDEEDEVHDGEAEDIDLAQDFDGLHVEEKDSTEMMDNLVLGFNEGVEVGMPNDDFEKSLRKEETNFVIQQISAEEQGFFDGMHTDGPSHQPVDGSAQVSVDNTSSIFQETENAMQDLEIEPKNAQKTSSELMDHVDGSISFGLSPQPQVPSSSGQTVLSSGPSVLGQPEVAVKLPFGLFSGPSLIPSPVPAIQIGSIQMPLHLHASVGPSITQVRPSQPPLFQFGQLRYTSPIAQGILPLAPQSMSFVQPSVPTNFPLNQNVRGHLPSQPGQETSAHNLMGSDILFVSMDNQPGLPRSLDVSHGLSSKEGSSYSTRESSDSTVKLLQGQGELSHINDSNSRSESGFQVEDVSVKNAKALSAEELESQPQTVATSLRSVPKEKAIVTSRVRGVSSGGRGKRYVFAVKNHGSKTSVQDSKNSHQESSGFHRPRRQRTEFRVRGSADRRQSSGLVSSIPYGVDDKSNNSGRGSGEKSVSGRVVVPNRPTKQKFESEGLSLQSASSQEVDSGRKPEKGAGKETLRMNQSISHHGEDVDAPLQSGIVRVFEQPGIVAPSDDDDFIEVRSKRQMLNDRREQREKESKAKSRVSKMPRKLHSTSQSTVASVTSNKVSVSVGGEANSIHIDYVGTDGHGLANGEVSAGNSAPIVSQPLLPIGFPAVKTDVQADLRSQKIKSFQTSSIPVGSGNVKNLASGLMFENKNKVLDNAQTSLGSWGNSRINQQVMALTQNQLDEAMKPAQFDTHSSVGDPSKSVGESSLPSSSILAKDKSFASAVSPINSLLAGEKIQFGAVTSPTILPPSSRVVSHVMGPPGPCRSDIQISLNLSAPENDCSLFFEKEKCADDCARLVDCEAEAAASAIAVAAINSDEIVGNRLGAGLVSASDSKGFGGADIDGITAVLAGLSGDQHLANQSRGEESLSDALPADLSVETPPMSLWPPLPSPQNSSSQMISHVPGGPASHFPFYEMNPMLGGPIFAFGPQDESASSQSQPQKSNVSVSGPVGTWQHHSGVDSFYGPPSGFTGPFISPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHNPASPMGVSEGDMTTLDMVSAQRNATNLPTPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWSHVPASPLQSVSVSTSSQQQAEGQLPSQFSHGKAVDQQLINRFPESQITMPTDNTQNYPTATDASVTQLPDELGLVDSSRSINAGTSTQSTVAKSSSAGNISDASKTDAVHNGSGSNSSSSQSTSSAFKMQPSHQRSTSAQNYSNSSGYNYQRGGGISQKNSSGGEWSHRRVGYQGRNQSLGSEKSFPSKMKQIYVAKPTASGTPMAS >Manes.12G101400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26895590:26907625:-1 gene:Manes.12G101400.v8.1 transcript:Manes.12G101400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGVGNKFVSVNLNKSYGQQQYHQHHHNNQHHSSSYGSNRNRSGGVGGGMVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGPAGGGIGSGPRPGSSGTGWTKPATIAIQDKEVFGIGGDHSADGTSSNNGIDQGMPVNGFSGGSVNSVYTLPSARSVVPSASVPCRGSLVTEKVTVLRGEDFPSLRATLPATSGPEKKLKDGLNQKQKQVAGEELANERNSSQLSTLVDMRPQLQSRSNINYRLQENGAEIHGWGGSVMPEKDQMREDYFSGPLPLVRLNPRSDWADDERDTGLGLADRGRDNGFSKSEAYWDMDFDFPRPSILPQKPGNNLFDRRGQRDNETGKISSSEVTKVDSYGHDVRMSSLEGREGTSRRASSPISKDGVGVQEAGNEKNGVGGKPSTLNRETKENKYIPSPFRDNAHDDAGRRDSGYGQGGRQPWNKMDSFGNRWPESNARERHGSEQYNRYRGDTYQSNSISKSSFSSGGKKLPINDPILNFGRDKRSSSRNDKPYLEDPFIKEFGTAGFDGRDPFSGGLVGLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQEEERQRIMEEHERAVDLARREEEERMRVAREQEERQRRLEEERQEAMRRAEEERLEAMRRAEEQRIAREEEKQRVLLEEERRKQAAKQKLLELEERIAKRHADEAKCVSTNSYGVTDDKLSGMVAEKDVSKVVDMGDWEDSERMVDRITTSASSDSSGMNRPFEVVSRPHFPRDGASLYLDRGKAGTSWKRDLLENGNSSTFHSQDQGNGHRIPRRDTSIGGRTFLRKEFYGGPGCIPSRVYHKGGVSDTHMDDFSQIKGQRWNMPGEGDHYGRNAEIESEFHDNLSERFDDAGWGHGRSRGSLYPPYHERVYQNPEADGLYSFARSRYPIRQPSVLPPPTNSMLRNSYRAENDCPGPSSFSEGEMQYTHGARNESSVQTGYDSGHQENVRRTVRINTQQEHADNEVRKLDRNSARCDSQSSLSVSSPPDSPVHLSHDDLDESRDSPTLSGSEGKNISLLEQGNECTTLPFEAEKDNMMSGSSVVSTGDEEEWTIQNDQQLQKQEEYDEDEDGYDEEDEVHDGEAEDIDLAQDFDGLHVEEKDSTEMMDNLVLGFNEGVEVGMPNDDFEKSLRKEETNFVIQQISAEEQGFFDGMHTDGPSHQPVDGSAQVSVDNTSSIFQETENAMQDLEIEPKNAQKTSSELMDHVDGSISFGLSPQPQVPSSSGQTVLSSGPSVLGQPEVAVKLPFGLFSGPSLIPSPVPAIQIGSIQMPLHLHASVGPSITQVRPSQPPLFQFGQLRYTSPIAQGILPLAPQSMSFVQPSVPTNFPLNQNVRGHLPSQPGQETSAHNLMGSDILFVSMDNQPGLPRSLDVSHGLSSKEGSSYSTRESSDSTVKLLQGQGELSHINDSNSRSESGFQVEDVSVKNAKALSAEELESQPQTVATSLRSVPKEKAIVTSRVRGVSSGGRGKRYVFAVKNHGSKTSVQDSKNSHQESSGFHRPRRQRTEFRVRGSADRRQSSGLVSSIPYGVDDKSNNSGRGSGEKSVSGRVVVPNRPTKQKFESEGLSLQSASSQEVDSGRKPEKGAGKETLRMNQSISHHGEDVDAPLQSGIVRVFEQPGIVAPSDDDDFIEVRSKRQMLNDRREQREKESKAKSRVSKMPRKLHSTSQSTVASVTSNKVSVSVGGEANSIHIDYVGTDGHGLANGEVSAGNSAPIVSQPLLPIGFPAVKTDVQADLRSQKIKSFQTSSIPVGSGNVKNLASGLMFENKNKVLDNAQTSLGSWGNSRINQQVMALTQNQLDEAMKPAQFDTHSSVGDPSKSVGESSLPSSSILAKDKSFASAVSPINSLLAGEKIQFGAVTSPTILPPSSRVVSHVMGPPGPCRSDIQISLNLSAPENDCSLFFEKEKCADDCARLVDCEAEAAASAIAVAAINSDEIVGNRLGAGLVSASDSKGFGGADIDGITAGLSGDQHLANQSRGEESLSDALPADLSVETPPMSLWPPLPSPQNSSSQMISHVPGGPASHFPFYEMNPMLGGPIFAFGPQDESASSQSQPQKSNVSVSGPVGTWQHHSGVDSFYGPPSGFTGPFISPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHNPASPMGVSEGDMTTLDMVSAQRNATNLPTPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWSHVPASPLQSVSVSTSSQQQAEGQLPSQFSHGKAVDQQLINRFPESQITMPTDNTQNYPTATDASVTQLPDELGLVDSSRSINAGTSTQSTVAKSSSAGNISDASKTDAVHNGSGSNSSSSQSTSSAFKMQPSHQRSTSAQNYSNSSGYNYQRGGGISQKNSSGGEWSHRRVGYQGRNQSLGSEKSFPSKMKQIYVAKPTASGTPMAS >Manes.12G101400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26895590:26907625:-1 gene:Manes.12G101400.v8.1 transcript:Manes.12G101400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGVGNKFVSVNLNKSYGQQQYHQHHHNNQHHSSSYGSNRNRSGGVGGGMVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGPAGGGIGSGPRPGSSGTGWTKPATIAIQDKEVFGIGGDHSADGTSSNNGIDQGMPVNGFSGGSVNSVYTLPSARSVVPSASVPCRGSLVTEKVTVLRGEDFPSLRATLPATSGPEKKLKDGLNQKQKQVAGEELANERNSSQLSTLVDMRPQLQSRSNINYRLQENGAEIHGWGGSVMPEKDQMREDYFSGPLPLVRLNPRSDWADDERDTGLGLADRGRDNGFSKSEAYWDMDFDFPRPSILPQKPGNNLFDRRGQRDNETGKISSSEVTKVDSYGHDVRMSSLEGREGTSRRASSPISKDGVGVQEAGNEKNGVGGKPSTLNRETKENKYIPSPFRDNAHDDAGRRDSGYGQGGRQPWNKMDSFGNRWPESNARERHGSEQYNRYRGDTYQSNSISKSSFSSGGKKLPINDPILNFGRDKRSSSRNDKPYLEDPFIKEFGTAGFDGRDPFSGGLVGLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQEEERQRIMEEHERAVDLARREEEERMRVAREQEERQRRLEEERQEAMRRAEEERLEAMRRAEEQRIAREEEKQRVLLEEERRKQAAKQKLLELEERIAKRHADEAKCVSTNSYGVTDDKLSGMVAEKDVSKVVDMGDWEDSERMVDRITTSASSDSSGMNRPFEVVSRPHFPRDGASLYLDRGKAGTSWKRDLLENGNSSTFHSQDQGNGHRIPRRDTSIGGRTFLRKEFYGGPGCIPSRVYHKGGVSDTHMDDFSQIKGQRWNMPGEGDHYGRNAEIESEFHDNLSERFDDAGWGHGRSRGSLYPPYHERVYQNPEADGLYSFARSRYPIRQPSVLPPPTNSMLRNSYRAENDCPGPSSFSEGEMQYTHGARNESSVQTGYDSGHQENVRRTVRINTQQEHADNEVRKLDRNSARCDSQSSLSVSSPPDSPVHLSHDDLDESRDSPTLSGSEGKNISLLEQGNECTTLPFEAEKDNMMSGSSVVSTGDEEEWTIQNDQQLQKQEEYDEDEDGYDEEDEVHDGEAEDIDLAQDFDGLHVEEKDSTEMMDNLVLGFNEGVEVGMPNDDFEKSLRKEETNFVIQQISAEEQGFFDGMHTDGPSHQPVDGSAQVSVDNTSSIFQETENAMQDLEIEPKNAQKTSSELMDHVDGSISFGLSPQPQVPSSSGQTVLSSGPSVLGQPEVAVKLPFGLFSGPSLIPSPVPAIQIGSIQMPLHLHASVGPSITQVRPSQPPLFQFGQLRYTSPIAQGILPLAPQSMSFVQPSVPTNFPLNQNVRGHLPSQPGQETSAHNLMGSDILFVSMDNQPGLPRSLDVSHGLSSKEGSSYSTRESSDSTVKLLQGQGELSHINDSNSRSESGFQVEDVSVKNAKALSAEELESQPQTVATSLRSVPKEKAIVTSRVRGVSSGGRGKRYVFAVKNHGSKTSVQDSKNSHQESSGFHRPRRQRTEFRVRGSADRRQSSGLVSSIPYGVDDKSNNSGRGSGEKSVSGRVVVPNRPTKQKFESEGLSLQSASSQEVDSGRKPEKGAGKETLRMNQSISHHGEDVDAPLQSGIVRVFEQPGIVAPSDDDDFIEVRSKRQMLNDRREQREKESKAKSRVSKMPRKLHSTSQSTVASVTSNKVSVSVGGEANSIHIDYVGTDGHGLANGEVSAGNSAPIVSQPLLPIGFPAVKTDVQADLRSQKIKSFQTSSIPVGSGNVKNLASGLMFENKNKVLDNAQTSLGSWGNSRINQQVMALTQNQLDEAMKPAQFDTHSSVGDPSKSVGESSLPSSSILAKDKSFASAVSPINSLLAGEKIQFGAVTSPTILPPSSRVVSHVMGPPGPCRSDIQISLNLSAPENDCSLFFEKEKCADDCARLVDCEAEAAASAIAVAAINSDEIVGNRLGAGLVSASDSKGFGGADIDGITAGLSGDQHLANQSRGEESLSDALPADLSVETPPMSLWPPLPSPQNSSSQMISHVPGGPASHFPFYEMNPMLGGPIFAFGPQDESASSQSQPQKSNVSVSGPVGTWQHHSGVDSFYGPPSGFTGPFISPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHNPASPMGVSEGDMTTLDMVSAQRNATNLPTPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWSHVPASPLQSVSVSTSSQQQAEGQLPSQFSHGKAVDQQLINRFPESQITMPTDNTQNYPTATDASVTQLPDELGLVDSSRSINAGTSTQSTVAKSSSAGNISDASKTDAVHNGSGSNSSSSQSTSSAFKMQPSHQRSTSAQNYSNSSGYNYQRGGGISQKNSSGGEWSHRRVGYQGRNQSLGSEKSFPSKMKQIYVAKPTASGTPMAS >Manes.12G101400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:26895590:26907625:-1 gene:Manes.12G101400.v8.1 transcript:Manes.12G101400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPGVGNKFVSVNLNKSYGQQQYHQHHHNNQHHSSSYGSNRNRSGGVGGGMVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGPAGGGIGSGPRPGSSGTGWTKPATIAIQDKEVFGIGGDHSADGTSSNNGIDQGMPVNGFSGGSVNSVYTLPSARSVVPSASVPCRGSLVTEKVTVLRGEDFPSLRATLPATSGPEKKLKDGLNQKQKQVAGEELANERNSSQLSTLVDMRPQLQSRSNINYRLQENGAEIHGWGGSVMPEKDQMREDYFSGPLPLVRLNPRSDWADDERDTGLGLADRGRDNGFSKSEAYWDMDFDFPRPSILPQKPGNNLFDRRGQRDNETGKISSSEVTKVDSYGHDVRMSSLEGREGTSRRASSPISKDGVGVQEAGNEKNGVGGKPSTLNRETKENKYIPSPFRDNAHDDAGRRDSGYGQGGRQPWNKMDSFGNRWPESNARERHGSEQYNRYRGDTYQSNSISKSSFSSGGKKLPINDPILNFGRDKRSSSRNDKPYLEDPFIKEFGTAGFDGRDPFSGGLVGLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQEEERQRIMEEHERAVDLARREEEERMRVAREQEERQRRLEEERQEAMRRAEEERLEAMRRAEEQRIAREEEKQRVLLEEERRKQAAKQKLLELEERIAKRHADEAKCVSTNSYGVTDDKLSGMVAEKDVSKVVDMGDWEDSERMVDRITTSASSDSSGMNRPFEVVSRPHFPRDGASLYLDRGKAGTSWKRDLLENGNSSTFHSQDQGNGHRIPRRDTSIGGRTFLRKEFYGGPGCIPSRVYHKGGVSDTHMDDFSQIKGQRWNMPGEGDHYGRNAEIESEFHDNLSERFDDAGWGHGRSRGSLYPPYHERVYQNPEADGLYSFARSRYPIRQPSVLPPPTNSMLRNSYRAENDCPGPSSFSEGEMQYTHGARNESSVQTGYDSGHQENVRRTVRINTQQEHADNEVRKLDRNSARCDSQSSLSVSSPPDSPVHLSHDDLDESRDSPTLSGSEGKNISLLEQGNECTTLPFEAEKDNMMSGSSVVSTGDEEEWTIQNDQQLQKQEEYDEDEDGYDEEDEVHDGEAEDIDLAQDFDGLHVEEKDSTEMMDNLVLGFNEGVEVGMPNDDFEKSLRKEETNFVIQQISAEEQGFFDGMHTDGPSHQPVDGSAQVSVDNTSSIFQETENAMQDLEIEPKNAQKTSSELMDHVDGSISFGLSPQPQVPSSSGQTVLSSGPSVLGQPEVAVKLPFGLFSGPSLIPSPVPAIQIGSIQMPLHLHASVGPSITQVRPSQPPLFQFGQLRYTSPIAQGILPLAPQSMSFVQPSVPTNFPLNQNVRGHLPSQPGQETSAHNLMGSDILFVSMDNQPGLPRSLDVSHGLSSKEGSSYSTRESSDSTVKLLQGQGELSHINDSNSRSESGFQVEDVSVKNAKALSAEELESQPQTVATSLRSVPKEKAIVTSRVRGVSSGGRGKRYVFAVKNHGSKTSVQDSKNSHQESSGFHRPRRQRTEFRVRGSADRRQSSGLVSSIPYGVDDKSNNSGRGSGEKSVSGRVVVPNRPTKQKFESEGLSLQSASSQEVDSGRKPEKGAGKETLRMNQSISHHGEDVDAPLQSGIVRVFEQPGIVAPSDDDDFIEVRSKRQMLNDRREQREKESKAKSRVSKMPRKLHSTSQSTVASVTSNKVSVSVGGEANSIHIDYVGTDGHGLANGEVSAGNSAPIVSQPLLPIGFPAVKTDVQADLRSQKIKSFQTSSIPVGSGNVKNLASGLMFENKNKVLDNAQTSLGSWGNSRINQQVMALTQNQLDEAMKPAQFDTHSSVGDPSKSVGESSLPSSSILAKDKSFASAVSPINSLLAGEKIQFGAVTSPTILPPSSRVVSHVMGPPGPCRSDIQISLNLSAPENDCSLFFEKEKCADDCARLVDCEAEAAASAIAVAAINSDEIVGNRLGAGLVSASDSKGFGGADIDGITAVLAGLSGDQHLANQSRGEESLSDALPADLSVETPPMSLWPPLPSPQNSSSQMISHVPGGPASHFPFYEMNPMLGGPIFAFGPQDESASSQSQPQKSNVSVSGPVGTWQHHSGVDSFYGPPSGFTGPFISPPGGIPGVQGPPHMVVYNHFAPVGQFGQVGLSFMGTTYIPSGKQPDWKHNPASPMGVSEGDMTTLDMVSAQRNATNLPTPIQHLAPGSPLLPMASPLAMFDVSPFQSSPDMSVQARWSHVPASPLQSVSVSTSSQQQAEGQLPSQFSHGKAVDQQLINRFPESQITMPTDNTQNYPTATDASVTQLPDELGLVDSSRSINAGTSTQSTVAKSSSAGNISDASKTDAVHNGSGSNSSSSQSTSSAFKMQPSHQRSTSAQNYSNSSGYNYQRGGGISQKNSSGGEWSHRRVGYQGRNQSLGSEKSFPSKMKQIYVAKPTASGTPMAS >Manes.14G020300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2023907:2027555:-1 gene:Manes.14G020300.v8.1 transcript:Manes.14G020300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQDFATHEESIPTLIKYISTKEVAGFDGIAINTSLNSQKQPSEPYPFSSSPMGTGTIDLENGADIFQPINDQRSRSISISMPTSPRENSSEINEKILYEDNGAVSVSSSESKVAISHTNQIKFHSQPMPKGSAVELGVVNITNSQTHQKLKDKSYDSFKTWSGKLERQISYLRGKPREPSPENPVEHRAENDALPVDRYYDALEGPELENLRASEEIVLPDDKTWPFLLRYPISSFGICLGISSQAIMWKTLANSASTKFLHISPYVNLVLWCISVALVATVASIYLLKMILYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPSSVANNLHSVLWYILMTPILCLELKIYGQWMSGGQRRLSRVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAIGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFDYGSRIAYFIALFLYFSLVSLYHMIN >Manes.14G020300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2023908:2027555:-1 gene:Manes.14G020300.v8.1 transcript:Manes.14G020300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQDFATHEESIPTLIKYISTKEVAGFDGIAINTSLNSQKQPSEPYPFSSSPMGTGTIDLENGADIFQPINDQRSRSISISMPTSPRENSSEINEKILYEDNGAVSVSSSESKVAISHTNQIKFHSQPMPKGSAVELGVVNITNSQTHQKLKDKSYDSFKTWSGKLERQISYLRGKPREPSPENPVEHRAENDALPVDRYYDALEGPELENLRASEEIVLPDDKTWPFLLRYPISSFGICLGISSQAIMWKTLANSASTKFLHISPYVNLVLWCISVALVATVASIYLLKMILYFEAVRREYYHPIRVNFFFAPWIALLFLALGVPSSVANNLHSVLWYILMTPILCLELKIYGQWMSGGQRRLSRVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAIGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWAKIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSNEVTNTVTQTLAVTLSITSTLILTALLVTTMVHAFVLRDLFPNDIAIAISERRPKHHRRRHHHHRHKKWFYRKLGSSENKEIENYLKYATSDCKDIEACSTNPPSSLDGSK >Manes.03G008400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:674238:674540:1 gene:Manes.03G008400.v8.1 transcript:Manes.03G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEEWRKTADTHKMSPEEVKAAGLEGSKRPPGYNPGEILHQRRKLPFSQTVMAITGLLITASVGYMVLYATKKPEATAVDVAKVATNTADPGDTHPRK >Manes.18G108400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10711650:10716710:1 gene:Manes.18G108400.v8.1 transcript:Manes.18G108400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSTFLSGSLCSSTYDVFLSFKNEDNHKNFVGQLCTRLSFAGVYAIRSDEKLEVLEPIEESRSFVVLLSENYVSSTQCLDELVKIIDCWETMGKMAVPIFYNVDPIDVRDQKGKVAEAFVKHEEDFREYMEKVQRWKDALTKVASICGWDSHQWEDKILIEQIARDISDKLIYASSGNAGDFVGMGSHIIEMERILCLESKAVHMVGIWGMGGIGKTTIARVIYDRICSKFEVHCFLANVKESFKEHGAASLLQKLLLEKRKINTWTFNANFNVIKRRLCHKKVLLVLDDVDDMKQLEALARESDWFGQGSRIIVTARDKHLLVTHGVKDIYEVQCLKTDQALQLFSQHAFKQNNPKIDYAELSKHFTSFATGLPLALKVLGSFLNDKTMVKWQSVQKELAMIPDLKIHDVLRVSFDGLDDTQRDVFLDIACFFSGENKEFVRDILEGCGFFPDIAFAVLEDKALITVAENNLLMHDLLQEMGHEIVKEESKDPGKRSRLWIPDDVFQVLTKNLGTKVVEGIVLNMSKIREMHLNSEAFAKMHSLRLLKFYSTSAKSISTVHLPDQGLHSLSNKLRLLHWECFPSKSLPSSFHAEKLVELSLVASNVEQLWTGMQDLVNLKRIDLSYSRYLTTIPDLSKAQNLERMELWTCQNLVELSSSVRCLNKLVYLNMSDCKNLRSLWGGINLKSLKALILTSCSNLTEFPEISGDVQFICFSGTAIEELPQSIGSLPTLANLSLKNCTRLKKLPSSIANLTSLSQLFLSGCLNITKIPDIPKNVECLDLSGTAIEEVPSTIFTLPRLSALNLSNCKRLKSYPDSMCGLGCLKTLSLAGCSELEGFHNLKSLPCLQSLDLSSCDLSDFPSNLSDLSSLEDLDLSKNNFQSLPASIKNLSKLKLLNLSHCNRLMCMLEIPPCIKILKACHCTSLEIVPRIKSLWEPNIDCWDFANCSTLNQTETNNLVEDAQAVILHMATASKQVHENKIQFRFPGGEIPKWICNKDTGSSLTLMLSSNGRRLMGISFCVVISCEIRSAVRHVSCKCHLKAAKGGLDDHIFTSHYWLGNKEDMILDSDNIFLWFNSWETNSAKGDGQFNGYYQASFEFFASDHSKKIINMKNCGVHLLYSEDTNETLVSSANKNSTALSQLMMEDTNKKRGHLDDDCFTGSKSCESGRSSKRIRRCSA >Manes.18G108400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10711650:10716710:1 gene:Manes.18G108400.v8.1 transcript:Manes.18G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSTFLSGSLCSSTYDVFLSFKNEDNHKNFVGQLCTRLSFAGVYAIRSDEKLEVLEPIEESRSFVVLLSENYVSSTQCLDELVKIIDCWETMGKMAVPIFYNVDPIDVRDQKGKVAEAFVKHEEDFREYMEKVQRWKDALTKVASICGWDSHQWEDKILIEQIARDISDKLIYASSGNAGDFVGMGSHIIEMERILCLESKAVHMVGIWGMGGIGKTTIARVIYDRICSKFEVHCFLANVKESFKEHGAASLLQKLLLEKRKINTWTFNANFNVIKRRLCHKKVLLVLDDVDDMKQLEALARESDWFGQGSRIIVTARDKHLLVTHGVKDIYEVQCLKTDQALQLFSQHAFKQNNPKIDYAELSKHFTSFATGLPLALKVLGSFLNDKTMVKWQSVQKELAMIPDLKIHDVLRVSFDGLDDTQRDVFLDIACFFSGENKEFVRDILEGCGFFPDIAFAVLEDKALITVAENNLLMHDLLQEMGHEIVKEESKDPGKRSRLWIPDDVFQVLTKNLGTKVVEGIVLNMSKIREMHLNSEAFAKMHSLRLLKFYSTSAKSISTVHLPDQGLHSLSNKLRLLHWECFPSKSLPSSFHAEKLVELSLVASNVEQLWTGMQDLVNLKRIDLSYSRYLTTIPDLSKAQNLERMELWTCQNLVELSSSVRCLNKLVYLNMSDCKNLRSLWGGINLKSLKALILTSCSNLTEFPEISGDVQFICFSGTAIEELPQSIGSLPTLANLSLKNCTRLKKLPSSIANLTSLSQLFLSGCLNITKIPDIPKNVECLDLSGTAIEEVPSTIFTLPRLSALNLSNCKRLKSYPDSMCGLGCLKTLSLAGCSELEGFHNLKSLPCLQSLDLSSCDLSDFPSNLSDLSSLEDLDLSKNNFQSLPASIKNLSKLKLLNLSHCNRLMCMLEIPPCIKILKACHCTSLEIVPRIKSLWEPNIDCWDFANCSTLNQTETNNLVEDAQAVILHMATASKQVHENKGHQIQFRFPGGEIPKWICNKDTGSSLTLMLSSNGRRLMGISFCVVISCEIRSAVRHVSCKCHLKAAKGGLDDHIFTSHYWLGNKEDMILDSDNIFLWFNSWETNSAKGDGQFNGYYQASFEFFASDHSKKIINMKNCGVHLLYSEDTNETLVSSANKNSTALSQLMMEDTNKKRGHLDDDCFTGSKSCESGRSSKRIRRCSA >Manes.18G089349.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8212357:8213688:-1 gene:Manes.18G089349.v8.1 transcript:Manes.18G089349.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIGDLFGLVLLIFYRLASFGMKGVSRSCFLGLLWLWVVDRWSIDLLECRGSYPLSSSGGLYSFILCWPDRRVYGENFCQLWWYNNVF >Manes.18G000675.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:327208:329010:-1 gene:Manes.18G000675.v8.1 transcript:Manes.18G000675.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSCSVFSESQLLPPTAKVVSVNGNLQEYNVPVFVSQVINCEAASSSSSSSSSPSLFLCNSDFLSYDDYIPVLDSNAQLKANQLYFVLPRSKLQNRLNASDMAALAVKASIAIQNASKKDAYRRKKARISPVLLGNQSLASSYDAHVIKSFEKPQAPQQSPVGFSRSGSVGRLRKYTSRRAKLAVRSFKLRLSTIYEGTVL >Manes.10G142400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31101940:31105240:1 gene:Manes.10G142400.v8.1 transcript:Manes.10G142400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLFNIAGDIIKKLGSRTILEIGKWWNLEDELEKLKDTVSTIQAVLLDAEKQCSENQQVKVWLQRLKQAIYDADDLLDDFSAEALWQQSMSEDKKSANQVRFSFPSLNRLSYGYKMARKIKNIREKLDYIKGDRGFHLNECREERSIMFRDREQTHSSLPEIVVGRDDDKNTIIENLLLSTDKKENLTVISIVGIGGLGKTTLAQFLYSDERVKTHFELRGWVCVSNDFNVKLITEKILESMTSGRCDNLGMDATKNNFHEKINGKRYIIVLDDVWNEDVEKWFRLRDVLAGGAKESKIIITTRSKKVAKITRSTLVHELKGLSEADSWSLFEKIAFDQRQVPSSSQEAIGKEIVAKCVGVPLAIRTIGGLLYCKNTVSEWLFFRNNELPKVQEDNILSTLKLSYDNLPSYLKHCFAYCSIFPKDYEISVQKLIYLWMAQGYIELSDPSQSLEETGLIYFRDLLFMSFFQEVTKDKWGNMKTCKMHDLIHDLAKLVAGEESFTLSVSNVASVGKTTRHVFQYAYNYEYEKQLPSNFFNAKKVRTLLLHNHHKLWCDDTVDYDPGNYLLLVSKSKYLRALDLGEQSKVPDSIFGLKHLRYLDLSYNNRLRKLPSSISRLQNLQVLILDHCSSLEGLPKDIGKLVDLRKLSFYNCYPIRDMPLGIEKLTRLERLTAFLLGRDGSASKPISGLDALRNMNNLRGELTIKYLRYVLKNDALDEFCAANLKEKRYLQSLSLEWIPLHCFYNDDENDKDKIDAKDLNNEEMALEELRPHQNLKGLHLSGYRGVKYPSWLPFLTNLLELHISCCRNIQFLPTFDHFPFLENLRISKLSNLEYIDCEVDTNNGGFPSLKELWLSDCSILKGWKRLSSIAMLPKVFNCLSKLSIFSCPNLTSMPLFPSLQTLELVDATIEQLEQTLKMMNSAGDYSSASPSSSSSSPFSATHSQLEDLELMPAELLHSLTSLEVLSISDCPRIVPVSTDARDIGGEWQALKSLRSLELNSIPELVCLPEGLQHITTLQSLAIGRCNNLLSLPEWIANLTKLQSLRVFACHSLSEKCRQNTGEYLPKFAHIPNLDFRPYDHRPHPR >Manes.07G075381.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22406202:22426191:1 gene:Manes.07G075381.v8.1 transcript:Manes.07G075381.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSYQRFPRVKIRELKDDYAKFELRDTDASIANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEYCSVEFHLRAKCITDQTLDVSSKDLYSSDHSVVPVDFSDSAGYDSSEQKGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMETLTLEEKISWVESSPTKVFDFDPNTQQVIVVDPEAYTYDDEVIKKAEAMGKPGLVDIRAKEDSFIFTVESTGAIKASQLVLNAIEVLKTKLDAVRLSEDTVEADDQFGELGAHMRGG >Manes.07G075381.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22406211:22426191:1 gene:Manes.07G075381.v8.1 transcript:Manes.07G075381.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSYQRFPRVKIRELKDDYAKFELRDTDASIANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEYCSVEFHLRAKCITDQTLDVSSKDLYSSDHSVVPVDFSDSAGYDSSEQKGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMETLTLEEKISWVESSPTKVFDFDPNTQQVIVVDPEAYTYDDEVIKKAEAMGKPGLVDIRAKEDSFIFTVESTGAIKASQLVLNAIEVLKTKLDAVRLSEDTVEADDQFGELGAHMRGG >Manes.17G045800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24251983:24263092:1 gene:Manes.17G045800.v8.1 transcript:Manes.17G045800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWFGSAPETMIVTNTPAADLAFTNLAYCSGSDLHNFAVPGTKLFMALIADSFVLSLSPHENIRPGHIALNLIQRRHARVSTGDSISVSRFIPPDNFNLALLTLELEFVKKGTKNEKVDAVLLANQLRKRYINQVMTTGQRVSFEYHGNNYIFTVNQAVVEGREKSNDVERGMVSGDTYFIFEAANSSGIKIVNQREAASSNIFRHKEFNLLSLGIGGLSAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGREPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTHGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQLSMDDLTKPVDEENIKVTMDDFLHALQEIVPAFGASTDDLERCRLNGMVECGNRHDHIYQRARLLVEQVKVSKGSPLVTCLLEGPSGSGKTALAATVGIDSDFPYVKIVSAESMIGLHESTKCAKIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRLPPKGKKLMVIGTTSVVGFLDSIGICDALSVTYNVPTLKAEDAKKVLRQLNVFAEEDVDAACEALDDMPIKKLYMLIEMAAQGEQGGAAEAIYSGKQKINIAHFYDCLQDVVRY >Manes.07G027551.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3020839:3023886:1 gene:Manes.07G027551.v8.1 transcript:Manes.07G027551.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKHYNFLQLSGMLVLFISLLALTRPAMGTDDDDDNIPDDFSRKYFPDDFIFGTATSAYQIEGEATAKGRAPSVWDIFSKETPDRILDGSNGDVAVDFYNRYIQDIKNVKKMGFNAFRMSISWSRVIPSGRRREGVNEEGIQFYNDVINEIISNGLEPFVTIFHWDTPQALQDKYGGFLSRDIVYDYLQYADLLFERFGDRVKRWMTFNEPSAYVGFAHDDGVFAPGRCSSWVNRQCLAGDSATEPYIVAHNLLLSHAAAVHQYRKYYQGTQKGKIGITLFTFWYEPLSDSKVDVQAAKTALDFMFGLWMDPMTYGRYPRTMVDLAGDKLIGFTDEESQLLRGSYDFVGLQYYTAYYAEPIPPVDPKFRRYKTDSGVNATPYDLNGNLIGPQAYSSWFYIFPKGIRHFLNYTKDTYNDPVIYVTENGVDNYNNESQPIEEALQDDFRISYYKKHMWNALGSLKNYGVKLKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRYPKKSAHWFTKFLNISVNANNIYELTSKDSRKVGKFYVM >Manes.09G143300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34137068:34140204:-1 gene:Manes.09G143300.v8.1 transcript:Manes.09G143300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLGIYLITLLAISKRIDGYAGGGWSNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLTCGACFEIRCVNDARWCSSGSIVVTATNFCPPNNALPSNAGGWCNPPLPHFDLSQPVFQHIAHYKAGIVPVQYRRAACRKIGGIRFTVNGHSYFNLVLITNVGGAGDVVSVSIKGSRTGWQPMSRNWGQNWQSNSYLNGQALSFKVTTSDGHSISNDVAPPNWAFGQTFTGRQF >Manes.14G166180.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:26566595:26569299:-1 gene:Manes.14G166180.v8.1 transcript:Manes.14G166180.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIYQYELFKMKSNETISQMYDRFIEIIGGMKSLGKTFTNEELVKKILRCLPKEWLPKVTSLNDAKDLSKVQLDELLGNLIDYEMTLKREQVEEPSKMKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLFQNKKFIPRKNFRKEKGESNKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTKEEDVGDEIANMCFMTLEESSDEVTILDDSTLNDDVVEFSYDELVGALKLMNDELEKSHKKNKILKCELASLKRESENSPKEHLPSNNPSQKSLDELSLENKNLKNEILELKNSLSKFLRVSKGC >Manes.13G091800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24956491:24961551:-1 gene:Manes.13G091800.v8.1 transcript:Manes.13G091800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRLLVLSKHLQRQQPNLLQRFGPCKRIQSRSYTKSTGHRRAKREGCSVPVETQASPSWRTLIFPVAVFAGLAGLMYCNDQRRAIQKGEGIKCDCANVNGPIIGGPFTLVDTEKQVVTEQDFKGKWVLMYFGYTSSPDIGPEQVQVMAKAIDTLESKENCKVLAVFVTIDPQRDSPSHLRAYLKEFDSRIIGLTGPVGAIRQMAQEYRVYFRKVEEEGDDYLVESSHNIYLINPNLEVSRCFGVEYSAEELSEAILEELKRASS >Manes.13G091800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24956491:24961551:-1 gene:Manes.13G091800.v8.1 transcript:Manes.13G091800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRLLVLSKHLQRQQPNLLQRFGPCKRIQSRSYTKSTGHRRAKREGCSVPVETQASPSWRTLIFPVAVFAGLAGLMYCNDQRRAIQKGEGIKCDCANVNGPIIGGPFTLVDTEKQVVTEQDFKGKWVLMYFGYTSSPDIGPEQVQVMAKAIDTLENCKVLAVFVTIDPQRDSPSHLRAYLKEFDSRIIGLTGPVGAIRQMAQEYRVYFRKVEEEGDDYLVESSHNIYLINPNLEVSRCFGVEYSAEELSEAILEELKRASS >Manes.06G029160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:5723215:5728718:1 gene:Manes.06G029160.v8.1 transcript:Manes.06G029160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSEHRDTLPFDPEIERTLRRLRKQAAEASSEATEFYQQAAPMAEHNPQDAAPNGLAVQNQIVQENLAVRPQAQRERTMRELATPIGDYAPLCITYPPLTVPFELKSGLIHHLPKFRGLQNENPHKHLKEFKIICSSMRPQGISEDQVKLRAFPFSLDDHAKDWLFYLPPGSITSWDDMVQAFLDKYFPSSKSIGIIREITSIRQKPTEDLYDYWERFERLCTGCPQHDMSDKVLIQFFYGGLIPSERKLINVACGGSILDKTPREMKELISNLAASSKQYEEEGQTQRGIYEVRTSSVESQISKLTSLVEKIALGQVQQIQAPQPPRPCEICLHVGHPTDQCPTLQEDHHQVNAIGRYNNQPRYDPYSNTYNPGWKDHPNFSYGKSNSDQNYQSYQRNQAQPAPSTPNQNLEKIMQTMMETMVSTMQGVRQDLGQMTTSMQGVRQDLSQMATSIGQLQSQGKLPSQTETNPRQNVSAITLRSGKKLRDTNHEPKPPEASPSQSAAPPAQKTDLKVSFHIPPPFPKRFERTQKEKEEKEILDTFRKVQINIPLLDAVKQIPRYAKFLKELCTNRRKLAEREKVSVGEVVTAVIKRELPTKCKDKGMFAISCKIGNVGIRKAMCDLGASINVMPLSIYKSLNACALKETRVVIQLADRSVVYPIGVLEDVLVQVNELVFPADFYVIDTKEDSYNTSSDILLGRPFLSTARTKIDVHDGTLTMEFEGEVIKYNVYDSMKYPHDISPVYGLDIVDCLSQEIFNENQDDILNSDFCRDTDQVQIKKEPKPKETVCSIQQIVRSQAQIGENSVAPLQNGVQTLLAQKEAPEDLLENGVKGQFGEKPPKTALFRAKK >Manes.17G091700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:29986808:29990695:1 gene:Manes.17G091700.v8.1 transcript:Manes.17G091700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSKPMIATQAEMVEARVPISYRDQCAHLLIPLNKCRQAEFYLPWKCENERHVYEKCEYELVMERMLKMQKIREEEAKLKQAQKQGNPIPLVPKTANA >Manes.16G025050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2657501:2659447:-1 gene:Manes.16G025050.v8.1 transcript:Manes.16G025050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLQNWTAAAAASFLATAAAPNSDHLRSWQRLSEGWMKVNVDASISPSLDFMGLGVVVRDSYGEFVAAKAWLYPGFFSAKNAEAISIVEVLSWIKSEAINSLCFAVRSSFGLIVSDCKSPLSEIVDTKCCFSYRSTNVIAHLLATGALSESGLGVWYVNSPPFIVTSLMQL >Manes.13G017400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1748094:1750166:-1 gene:Manes.13G017400.v8.1 transcript:Manes.13G017400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRPPLHTCGAAFMEIADKAYTNALHLNGPLGSVTKNLARLASPACPLVYALEYQILIMFSFFDDRIFALESQVEAIFPPSRYAFDKIDEFVRAAEILPGKFDAAVNNFPTVIHQVSFLDWALLHAISCLNFFISRLTEWENTIEKEIMMDMNSSERSNEPEVLQESASQKTETQNLDHVETKEVAGRREGFTYKDALEKEVKVTKATYKDALEKGTKKEENGEGRSKTRAEKNSSEGRTMTKEKIEKKKKVRTEEESEDMEGDEILETESSWLMKLGRYGKQSSFSCSASFKW >Manes.13G017400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1748210:1749908:-1 gene:Manes.13G017400.v8.1 transcript:Manes.13G017400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRPPLHTCGAAFMEIADKAYTNALHLNGPLGSVTKNLARLASPACPLVYALEYQILIMFSFFDDRIFALESQVEAIFPPSRYAFDKIDEFVRAAEILPGKFDAAVNNFPTVIHQVSFLDWALLHAISCLNFFISRLTEWENTIEKEIMMDMNSSERSNEPEVLQESASQKTETQNLDHVETKEETLFFAVAGRREGFTYKDALEKEVKVTKATYKDALEKGTKKEENGEGRSKTRAEKNSSEGRTMTKEKIEKKKKVRTEEESEDMEGDEILETESSWLMKLGRYGKQSSFSCSASFKW >Manes.13G017400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1748145:1749486:-1 gene:Manes.13G017400.v8.1 transcript:Manes.13G017400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRPPLHTCGAAFMEIADKAYTNALHLNGPLGSVTKNLARLASPACPLVYALEYQILIMFSFFDDRIFALESQVEAIFPPSRYAFDKIDEFVRAAEILPGKFDAAVNNFPTVIHQVSFLDWALLHAISCLNFFISRLTEWENTIEKEIMMDMNSSERSNEPEVLQESASQKTETQNLDHVETKEVAGRREGFTYKDALEKEVKVTKATYKDALEKGTKKEENGEGRSKTRAEKNSSEGRTMTKEKIEKKKKVRTEEESEDMEGDEILETESSWLMKLGRYGKQSSFSCSASFKW >Manes.13G017400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1748130:1750208:-1 gene:Manes.13G017400.v8.1 transcript:Manes.13G017400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRPPLHTCGAAFMEIADKAYTNALHLNGPLGSVTKNLARLASPACPLVYALEYQILIMFSFFDDRIFALESQVEAIFPPSRYAFDKIDEFVRAAEILPGKFDAAVNNFPTVIHQVSFLDWALLHAISCLNFFISRLTEWENTIEKEIMMDMNSSERSNEPEVLQESASQKTETQNLDHVETKEVAGRREGFTYKDALEKEVKVTKATYKDALEKGTKKEENGEGRSKTRAEKNSSEGRTMTKEKIEKKKKVRTEEESEDMEGDEILETESSWLMKLGRYGKQSSFSCSASFKW >Manes.13G017400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1748093:1750491:-1 gene:Manes.13G017400.v8.1 transcript:Manes.13G017400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRRPPLHTCGAAFMEIADKAYTNALHLNGPLGSVTKNLARLASPACPLVYALEYQILIMFSFFDDRIFALESQVEAIFPPSRYAFDKIDEFVRAAEILPGKFDAAVNNFPTVIHQVSFLDWALLHAISCLNFFISRLTEWENTIEKEIMMDMNSSERSNEPEVLQESASQKTETQNLDHVETKEVAGRREGFTYKDALEKEVKVTKATYKDALEKGTKKEENGEGRSKTRAEKNSSEGRTMTKEKIEKKKKVRTEEESEDMEGDEILETESSWLMKLGRYGKQSSFSCSASFKW >Manes.08G110700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34995642:35001447:1 gene:Manes.08G110700.v8.1 transcript:Manes.08G110700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSVSHPVSFNSNVFSIRQEPLLASHASRIRYRLATRRWLNFSSASPPCRFNNLNSRCSITNSDSPCSHILTDDEIPQDVSGIEPDCSAPIIHIKSDILEVEPLSLLAEATFVDSLLTALPVLSEEEQNALAATPAHPVGLYAFYASCLAGNLVEQLWNFAWPSAIALIHPSLLPVAVMGFFTKLAIIVGGPLVGKLMDHSPRIPSFIGLNVIQVAAQLLSATMIIRAHTVSPTSASSILLRPWFLVLVSVGAIERLCGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGASLFGILLSKYDPVTCLKFATGLMIWSLPIMIGLALLTNKLSTGVLDHTRFSQTCCRESNGTAVDDNSLVDRGLETIKLGWKEYMHQPVLPASLAYVLLCFNVVLAPGSLMTAFLTQRGVNPSIIGGFSGLCASMGVVATFLSATLVKRLGILKAGAAGLVFQASLLILAVAVYWSGSLSRQSPLLFFLGLIVLSRLGHMSYDVVGAQILQSGIPSSKANLIGATEISVASLAESLMLGVAIIANDISHFGFLAMLSLLSVVGAAWMFCRWLSNPTDEQRSLFSISLGYNF >Manes.08G110700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34995642:35000864:1 gene:Manes.08G110700.v8.1 transcript:Manes.08G110700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSVSHPVSFNSNVFSIRQEPLLASHASRIRYRLATRRWLNFSSASPPCRFNNLNSRCSITNSDSPCSHILTDDEIPQDVSGIEPDCSAPIIHIKSDILEVEPLSLLAEATFVDSLLTALPVLSEEEQNALAATPAHPVGLYAFYASCLAGNLVEQLWNFAWPSAIALIHPSLLPVAVMGFFTKLAIIVGGPLVGKLMDHSPRIPSFIGLNVIQVAAQLLSATMIIRAHTVSPTSASSILLRPWFLVLVSVGAIERLCGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGASLFGILLSKYDPVTCLKFATGLMIWSLPIMIGLALLTNKLSTGVLDHTRFSQTCCRESNGTAVDDNSLVDRGLETIKLGWKEYMHQPVLPASLAYVLLCFNVVLAPGSLMTAFLTQRGVNPSIIGGFSGLCASMGVVATFLSATLVKRLGILKAGAAGLVFQASLLILAVAVYWSGSLSRQSPLLFFLGLIVLSRLGHMSYDVVGAQILQSGIPSSKANLIGATEISVASLAESLMLGVAIIANDISHFGFLAMLSLLSVVGAAWMFCRWLSNPTDEQRSLFSISLGYNF >Manes.08G110700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:34995642:35001447:1 gene:Manes.08G110700.v8.1 transcript:Manes.08G110700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSVSHPVSFNSNVFSIRQEPLLASHASRIRYRLATRRWLNFSSASPPCRFNNLNSRCSITNSDSPCSHILTDDEIPQDVSGIEPDCSAPIIHIKSDILEVEPLSLLAEATFVDSLLTALPVLSEEEQNALAATPAHPVGLYAFYASCLAGNLVEQLWNFAWPSAIALIHPSLLPVAVMGFFTKLAIIVGGPLVGKLMDHSPRIPSFIGLNVIQVAAQLLSATMIIRAHTVSPTSASSILLRPWFLVLVSVGAIERLCGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGASLFGILLSKYDPVTCLKFATGLMIWSLPIMIGLALLTNKLSTGVLDHTRFSQTCCRESNGTAVDDNSLVDRGLETIKLGWKEYMHQPVLPASLAYVLLCFNVVLAPGSLMTAFLTQRGVNPSIIGGFSGLCASMGVVATFLSATLVKRLGILKAGAAGLVFQASLLILAVAVYWSGSLSRQSPLLFFLGLIVLSRLGHMSYDVVGAQILQSGIPSSKANLIGATEISVASLAESLMLGVAIIANDISHFGFLAMLSLLSVVGAAWMFCRWLSNPTDEQRSLFSISLGYNF >Manes.14G007652.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1837579:1844755:1 gene:Manes.14G007652.v8.1 transcript:Manes.14G007652.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNTCIRSVVARVGILSKTRSQLINIRNLNAGLHLSYTLKPEFSMNLNMMVDSGSTAKRGPVVDVLPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDCYDIKNTKIEGQTVCMFGIFDGHGGSRAAEYLKKHLFDNLMKHPKFMENTKLAISETYKQTDVDFLDSEKDTYRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQKIDEELELLVLASDGLWDVVPNEDAVSIARSEEEPEAAARKLTEAAFTRGSADNITCIAVKFHHMKADPANNQSH >Manes.14G007652.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1837607:1844755:1 gene:Manes.14G007652.v8.1 transcript:Manes.14G007652.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNTCIRSVVARVGILSKTRSQLINIRNLNAGLHLSYTLKPEFSMNLNMMVDSGSTAKRGPVVDVLPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDCYDIKNTKIEGQTVCMFGIFDGHGGSRAAEYLKKHLFDNLMKHPKFMENTKLAISETYKQTDVDFLDSEKDTYRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQLVEGTL >Manes.14G007652.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1837607:1844755:1 gene:Manes.14G007652.v8.1 transcript:Manes.14G007652.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNTCIRSVVARVGILSKTRSQLINIRNLNAGLHLSYTLKPEFSMNLNMMVDSGSTAKRGPVVDVLPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDCYDIKNTKIEGQTVCMFGIFDGHGGSRAAEYLKKHLFDNLMKHPKFMENTKLAISETYKQTDVDFLDSEKDTYRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQKIDEELELLVLASDGLWDVVPNEDAVSIARSEEEPEAAARKLTEAAFTRGSADNITCIAVKFHHMKADPANNQSH >Manes.14G007652.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1837607:1844755:1 gene:Manes.14G007652.v8.1 transcript:Manes.14G007652.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNTCIRSVVARVGILSKTRSQLINIRNLNAGLHLSYTLKPEFSMNLNMMVDSGSTAKRGPVVDVLPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDCYDIKNTKIEGQTVCMFGIFDGHGGSRAAEYLKKHLFDNLMKHPKFMENTKLAISETYKQTDVDFLDSEKDTYRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQQLVEGTL >Manes.14G007652.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1837607:1844755:1 gene:Manes.14G007652.v8.1 transcript:Manes.14G007652.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNTCIRSVVARVGILSKTRSQLINIRNLNAGLHLSYTLKPEFSMNLNMMVDSGSTAKRGPVVDVLPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDCYDIKNTKIEGQTVCMFGIFDGHGGSRAAEYLKKHLFDNLMKHPKFMENTKLAISETYKQTDVDFLDSEKDTYRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQLVEGTL >Manes.14G007652.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1837607:1844755:1 gene:Manes.14G007652.v8.1 transcript:Manes.14G007652.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNTCIRSVVARVGILSKTRSQLINIRNLNAGLHLSYTLKPEFSMNLNMMVDSGSTAKRGPVVDVLPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDCYDIKNTKIEGQTVCMFGIFDGHGGSRAAEYLKKHLFDNLMKHPKFMENTKLAISETYKQTDVDFLDSEKDTYRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQLVEGTL >Manes.14G007652.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1837607:1844755:1 gene:Manes.14G007652.v8.1 transcript:Manes.14G007652.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNTCIRSVVARVGILSKTRSQLINIRNLNAGLHLSYTLKPEFSMNLNMMVDSGSTAKRGPVVDVLPEKDDDGGYVSGGWKSEDGKLSCGYSSFRGKRATMEDCYDIKNTKIEGQTVCMFGIFDGHGGSRAAEYLKKHLFDNLMKHPKFMENTKLAISETYKQTDVDFLDSEKDTYRDDGSTASTAVLVGNHLYVANVGDSRTVISKAGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQQLVEGTL >Manes.08G121111.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36116176:36127511:1 gene:Manes.08G121111.v8.1 transcript:Manes.08G121111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSTRATKSPKRYSPSLYYLLLIDGGEPKSFDEAL >Manes.18G112240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11253783:11258099:-1 gene:Manes.18G112240.v8.1 transcript:Manes.18G112240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSALAFSSISYDVFLSFRGIDTRPNFTSHLHAALCRKHITTFIDDNLERGVSISPTLLKAIEESKISVVIFSENYASSRWCLDELVKIINCKKTMGRTVLPIFYHVDPSDVRKQTGKFGEAFEKVKEKVDHSLDIVGNWSTALIDAANLSGWDSCNYRSESKLIDKVVNQITKKLYPVSFSACDDLVGIDAHINLIESLLCIEKADVRFIGIWGMPGIGKTTIAEALFSRISDQFDACYFQSSIRENAEKHELLHLRKNIFSKLVGDEDLSIQMLHVLPTIVLDTLRRKKVFIILDDVSDSEHLEALAGDHGWFGSGSRVLVTSRDKKVLLGGVDQIYKVEGLNYWDALQLLSVKAFKQEHPPKELIQLAKMVVNYAKGVPLALKVLGSHLYKRSPEEWESVVKNLKKFPHSKIQKILQISYETLEQTEKAIFLDVACFFKGYDKDWVEDLLDGCDLSPSLGIIRLVEKCLIIIVNNKLEMHDLIQEMGQHIARHTGSRLWNFTEICDILTTKKVNKAVEGIFLDMSKLGKTRLNPANFSRMPNLRLLKCFRSSNKVSSFMLKKNHLQHLPNKLSLLHWEEYPCRSMPPYFFMENLVLLNLEDSKVERLWNGDKCPKKLKSLCLSGCKKLTKLPNLSSATNLEQMDLKGCMSLLEIPSSIQFLYKLACLNLCGCKKLRSLPSLLQLKNLKSLDLSYCINLKIISEIPSCIEELTLIECGMEEWSASIQSLDNLKNLPLGMCKNLRTLPSSFHLNCKIRELDCFRCSNLNKFPNVTGNLKKIVLEETAIEDLPSTVGGLSSLIELQLGNCNRLQSLPDSICELKCLERLLLWGCSKLGRLPPLYGLWSLTDLYLDDSAVSEIPGDIVSLSSLRLLSLNNCTRLRFLPELPERARVLQAFNCTSLKTAKLPLSFALVQNPNE >Manes.12G093900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18550853:18553512:-1 gene:Manes.12G093900.v8.1 transcript:Manes.12G093900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLDLGSVYVPKTITEYLREVSKVKDSSQKLSKLDDYVKKLEDEMRKIDAFKRELPLCMLLLNDAIVRLKEEAMQCKKLEDRAEIEVDVSVKEDYSGADGGNDMRDKKNWMSSVQLWNTGDVNSDSKQHDSKSETKQRSEEEDDRSTCENPVQLCNYRSKGGAFMAFKALPVFDGTGRKEEKEVVSQVTGLSLMTPVSEFGSGNLMSKSNGNIQMKIQNKPLQQQQQQPSYKKQRRCWSPELHRRFVDALQQLGGSKVATPKQIRELMQVDGLTNDEVKSHLQKYRLHIRKLPASSAAQANGLWMVQDQCKDPSKPTISQSNSPQGPFHGCGSLKDVSSTGGDSIEAEDDDVSESHS >Manes.12G093900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:18550119:18553512:-1 gene:Manes.12G093900.v8.1 transcript:Manes.12G093900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLDLGSVYVPKTITEYLREVSKVKDSSQKLSKLDDYVKKLEDEMRKIDAFKRELPLCMLLLNDAIVRLKEEAMQCKKLEDRAEIEVDVSVKEDYSGADGGNDMRDKKNWMSSVQLWNTGDVNSDSKQHDSKSETKQRSEEEDDRSTCENPVQLCNYRSKGGAFMAFKALPVFDGTGRKEEKEVVSQVTGLSLMTPVSEFGSGNLMSKSNGNIQMKIQNKPLQQQQQQPSYKKQRRCWSPELHRRFVDALQQLGGSKVATPKQIRELMQVDGLTNDEVKSHLQKYRLHIRKLPASSAAQANGLWMVQDQCKDPSKPTISQSNSPQGPFHGCGSLKDVSSTGGDSIEAEDDDVSESHS >Manes.07G012900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1613294:1617830:-1 gene:Manes.07G012900.v8.1 transcript:Manes.07G012900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKARRRPTESFNPQPTPDRRQFPFSTSVANTSRDSDASFASSRPSTIGVGRSSELYTDRAHQNSAIRSINTYLSSHSSLLSLKTHPISSAKEITEVLQFLLHQLDYPSTKLEDDLFIILKSLNCPFKVIKSTLRAPNTPHNWPSFLAVIHWLVQIAMYKEHLAANSRSHVENNGMLVYAFNSYLSYIRGDDDSVEALDREFMEKLERERDSVLESVKVLESNFNELSAKAEAMRKGPTEIERLEKERSVLEEDVKKFHAMISEFNQRIEGMEKLLEEKRKELDAKVEERKRIIEENEDLKKRVDEQSFNLRDAERMKRELQAVERDIGEAEAARNSWEEKMWDLDAAIGHKFKELEALSMECNQAARRLKLGNGFQYVLNAEGSTPAQVMGIDYKSTVKPGLESFADDIKKNSMAKLEELISLQQESSELTAKIEGKKNRIAALQSNIDEGRICNVILEEKQLD >Manes.07G012900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1613294:1617684:-1 gene:Manes.07G012900.v8.1 transcript:Manes.07G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKARRRPTESFNPQPTPDRRQFPFSTSVANTSRDSDASFASSRPSTIGVGRSSELYTDRAHQNSAIRSINTYLSSHSSLLSLKTHPISSAKEITEVLQFLLHQLDYPSTKLEDDLFIILKSLNCPFKVIKSTLRAPNTPHNWPSFLAVIHWLVQIAMYKEHLAANSRSHVENNGMLVYAFNSYLSYIRGDDDSVEALDREFMEKLERERDSVLESVKVLESNFNELSAKAEAMRKGPTEIERLEKERSVLEEDVKKFHAMISEFNQRIEGMEKLLEEKRKELDAKVEERKRIIEENEDLKKRVDEQSFNLRDAERMKRELQAVERDIGEAEAARNSWEEKMWDLDAAIGHKFKELEALSMECNQAARRLKLGNGFQYVLNAEGSTPAQVMGIDYKSTVKPGLESFADDIKKNSMAKLEELISLQQESSELTAKIEGKKNRIAALQSNIDEVEAQLNSSRKETEEYANRCAVEATKLVQDVQMEAHNLDVLEREAAEFLKDSEKKLQEAIKRSEEEIQMHARELFTVVDAVSKYKEHMEAKISEMKNKLSETAVSVSGAYKRSLPAQFGISLDAIHTT >Manes.13G142600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35665967:35669550:-1 gene:Manes.13G142600.v8.1 transcript:Manes.13G142600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPAKLSAYRDRRFPGNQEEFEHALQTSTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLYYSREDAEDAVKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQRELEQRQLVDYGAGSLGSFPPVIAPHSHYSRHGGNHGHGGYHRHGRDYPRKRHRDDEHRAHDSSRRTSDHESRRNSDHDSRPEKNPRFRESGDSDDEEEDDRKRRS >Manes.13G142600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35666212:35669550:-1 gene:Manes.13G142600.v8.1 transcript:Manes.13G142600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPAKLSAYRDRRFPGNQEEFEHALQTSTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLYYSREDAEDAVKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQRELEQRQLVDYGAGSLGSFPPVIAPHSHYSRHGGNHGHGGYHRHGRDYPRKRHRDDEHRAHDSSRRTSDHESRRNSDHDSRPEKNPRFRESGDSDDEEEDDRKRRS >Manes.08G034600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3335764:3338984:-1 gene:Manes.08G034600.v8.1 transcript:Manes.08G034600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSMATSTFSSSPLHTKLSFTPSPLSLPSRYLSKPFKPLKLFKFNTHFQDPLFPLSPSHFHPAFAAFESSEVAHESNEEGEEAELDVEEYKREDDDDELKPTESNEEGEEAEQKVVEYKKEDDDELKPTASNEEGKIYVGNLPYSMTSSQLTEVFQEAGSVMNVEIVYDRVTDRSRGFGFVTMASGEEAKEAIRMFNGSQIGGRTVRVNFPEVPKGGEKEAMAPRIRSTYKGFIDSPHKIYAGNLGWGLTSQGLRDAFANQPGLLSAKVIYERNTGRSRGFGFVSFESAENAEAALTAMNGVEVEGRPLRLNLASERARSSPTLEANQEENLESSEQLSSIGS >Manes.07G135300.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33643816:33646048:-1 gene:Manes.07G135300.v8.1 transcript:Manes.07G135300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFDQASNATFRPLDSVRKRKRREGTNSVAETLQKWKEYNKYLDTCTPNGDGKPVRKAPAKGSRKGCMKGKGGPENSRCNYRGVRQRTWGKWVAEIREPNRGPRLWLGTFPTAYEAALAYDEAARAMHGALARVNFPESSNSTISSKDSHSAASYSSVATPAGSDSTTTSNHSEVCADEDSKEHIVKFGDDKGESKIKPVTEPASPCSTLKQEVKKELEDVSGSDCGGIPENEVPDKTVLQQYNTCVQKQKESCDLQHHKDEPLDVKDYGWDNGCEGQDYWKNFTIDEMFSVDELLGAIDSNPLGLDFDGGELFADDGHVQQEPPLDLSFQLQSPDDRFIGGRERVEQVPSVGDYNFDFLKPGRQEDENVPLDDQGCFSLELSNSGF >Manes.07G135300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:33643610:33646676:-1 gene:Manes.07G135300.v8.1 transcript:Manes.07G135300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFDQASNATFRPLDSVRKRKRREGTNSVAETLQKWKEYNKYLDTCTPNGDGKPVRKAPAKGSRKGCMKGKGGPENSRCNYRGVRQRTWGKWVAEIREPNRGPRLWLGTFPTAYEAALAYDEAARAMHGALARVNFPESSNSTISSKDSHSAASYSSVATPAGSDSTTTSNHSEVCADEDSKEHIVKFGDDKGESKIKPVTEPASPCSTLKQEVKKELEDVSGSDCGGIPENEVPDKTVLQQYNTCVQKQKESCDLQHHKDEPLDVKDYGWDNGCEGQDYWKNFTIDEMFSVDELLGAIDSNPLGLDFDGGELFADDGHVQQEPPLDLSFQLQSPDDRFIGGRERVEQVPSVGDYNFDFLKPGRQEDENVPLDDQGCFSLELSNSGF >Manes.03G072000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:11631493:11631816:-1 gene:Manes.03G072000.v8.1 transcript:Manes.03G072000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVISPYLLTCFTFFFFFLLISNAHSSMANTDQSLPPAATTTNFTQNGMPFLATRPSLANENNNTVSESQQRGLRFSRSPLPWQEKIFNASAHEVPSGPNPISNR >Manes.08G022900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295422:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHDASNLCEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNGPGIPSSFILDAASKMPMLRQLALDLCDACEGDFDIPNDGNRYLLSIVKIARCKFQRSTNIYFQETHRRPVHKDTLVLVWNAQNLNSTVVKERI >Manes.08G022900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295422:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHANDFIPELCASYLARNFMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDLDLQYITGLCVSLKYINLKGCVSVTDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNGPGIPSSFILDAASKMPMLRQLALDLCDACEGDFDIPNDGNRYLLSIVKIARCKFQRSTNIYFQETHRRPVHKDTLVLVWNAQNLNSTVVKERI >Manes.08G022900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295422:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHVASTKLMKCGLANLQMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDLDLQYITGLCVSLKYINLKGCVSVTDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNGPGIPSSFILDAASKMPMLRQLALDLCDACEGDFDIPNDGNRYLLSIVKIARCKFQRSTNIYFQETHRRPVHKDTLVLVWNAQNLNSTVVKERI >Manes.08G022900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295422:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHANDFIPELCASYLARNFMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDLDLQYITGLCVSLKYINLKGCVSVTDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNQKGSPSSWLLSQFNFQPLAKAHIKLHLT >Manes.08G022900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295421:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGLANLQMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNGPGIPSSFILDAASKMPMLRQLALDLCDACEGDFDIPNDGNRYLLSIVKIARCKFQRSTNIYFQETHRRPVHKDTLVLVWNAQNLNSTVVKERI >Manes.08G022900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295422:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHANDFIPELCASYLARNFMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDLDLQYITGLCVSLKYINLKGCVSVTDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNGPGIPSSFILDAASKMPMLRQLALDLCDACEGDFDIPNFTGQTKKKKKNKKKKK >Manes.08G022900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295422:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHVASTKLMKCGLANLQMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNGPGIPSSFILDAASKMPMLRQLALDLCDACEGDFDIPNDGNRYLLSIVKIARCKFQRSTNIYFQETHRRPVHKDTLVLVWNAQNLNSTVVKERI >Manes.08G022900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295421:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGLANLQMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDLDLQYITGLCVSLKYINLKGCVSVTDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNGPGIPSSFILDAASKMPMLRQLALDLCDACEGDFDIPNDGNRYLLSIVKIARCKFQRSTNIYFQETHRRPVHKDTLVLVWNAQNLNSTVVKERI >Manes.08G022900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2298384:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHANDFIPELCASYLARNFMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDLDLQYITGLCVSLKYINLKGCVSVTDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNFSSNWNVNSIH >Manes.08G022900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2298384:2308544:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHANDFIPELCASYLARNFMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNFSSNWNVNSIH >Manes.08G022900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:2295422:2308546:-1 gene:Manes.08G022900.v8.1 transcript:Manes.08G022900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESDDEFVTLLCTNPNAAEDTETFISTTDIHNWELSAILRCQTVKIQAHRVRLVEQSSYFHGLLSGSFCESNLSCVSIQWNMEVFINVLKCVYGCKVDITSKTFLSLFEAALYFGVESLLLTCKTWFSEASSTKGPGLLEIQVDDLIHIWNFGLEHANDFIPELCASYLARNFMQAISGKFFGDVPYNLLFHCIKHPDLTVYSEMHLADALLVWLTANTERLEPLSKAEDDCTGILNQLRISLLPLWFAAGKRRSCYFTELAEKSMETIFRLLKIPPADSIGIFDDGDLDHLRIRLTEYSKKVNLSGCPQITSVILLLSLLPNSYCVDFMLRKSIRQSLINLERLSANRDQCGSGILHGLPPILSFEGVEEVDISKCPRLHLESTIEIFLKSFPSLRKLRATHLLNFKTTTLHKLMLKCPRISEVDLTIDITPLIPAQVSVISSSPVIVPPLTNKSCFVGNNFLGMASYHSQPSVSNITRLILEGRSDISDTGISNLICRCSKLHSILVCDTSFGINSIQALCSGITSFGPAASDLEKRCSDTLAFKLQTLHIGGCMGVDERSLFDLMSQTQALVSLCLRGTHVVDDALYHFPGSSLEILDISNTMVSGDALAYIVNGNPALKCLNARGCKNLIHQGSNTSGTELSSSYSCRDLYTVLGKKCMLEEIAFGWGFSWLSLLALRPAIMSLRAISVGLGGSLGEDALRLLPTTCPMLESLILCFQVISDAIIINFMRSLRHLQALSLCYCLGDVSTSSFKNSIANLRKLRLERVTPQMTNNDLVVLTQNCASLVEFSLVGCRLLNSDSLRLISQGWPGLISVHLEDCGEVTATGVSYLFNCRALEDILLRHNGPGIPSSFILDAASKMPMLRQLALDLCDACEGDFDIPNDGNRYLLSIVKIARCKFQRSTNIYFQETHRRPVHKDTLVLVWNAQNLNSTVVKERI >Manes.18G072800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6525455:6537049:-1 gene:Manes.18G072800.v8.1 transcript:Manes.18G072800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWRCFKWCCWLLSEMTGGRCPRRKKMMGRGPDGGCGTGERPCRLISRVPATQPVISPTTKDKPTSFDVDFFSQARKALSERSPFDVPEEGSGSTISVGSSSVCTLPIGLASLLKQSDSRKRHKKSHSSAEKKSSRACERSKGGNIWVETEEYFRDLALPDIDALFEISSSIRSLAASKCFLIPIIGNEKHEPGVDTENCDTNVIMNCGNGNAHANEVVDNNVTVNYGNGNAHVNEVKHEDEQFMQNDSAGIQSDRAECLSQEEGKAWSISDISGGLAWLLGCRSRIMLTSERPSKKRKLLGTDAGLEKVLIGSPCEGNSSSCDFCCKGKVDNKSNRLIVCSSCKVAIHLNCYGVQGDVDESWLCSWCKHKTDGEDSVKQPCVLCPRQSGALKPVGVVSSGSVVEFAHLFCSLWIPEVYVEDLMKMEPVMNVQEIKETRRKLVCNVCKVKCGACVRCSHGTCRTAFHPICAREARQRMEVWGKYGSDNVELRAFCLKHSEFLNEKQNKLKIGQNGDKVAVHVEASDSISDKSGDSESQEIELSDSRLNDVLISECADGDQIYNVGVSERSDNEDVNLSGSPNLALILKKLIDRGKVSTKDVALEIGISPDSLLSTLAEDNLVPDLQCRIVKWLRNHAHMGTLHKNLKVKLKSAILSKAEIEAADHSDGVTVLESDITNPVAVKSVPPRRRMKSNIRILRDNKIICSSKEFSSDCDMLIDEVKVDQLANEEPETSSEVSIPVVKKSINLDGFQDSVAADLSKPVGCTPLAKVEPEHDAIPQQGDSSNSDHANPVYSDMSPVLPDPIKMEESSNPYVHPYIHKLLQMENGQLLEDNVPVLEGLRVGETSSLEASSNASVCCDHQNTHSMCKSVEVNEPQLVKAEKLGVLELSPADEVEGELIYFQHRLHCNAVARKHFTDNLICNVAKSLPLEIDKARAQRWDEVLVNKYLIDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRISSFRKDAYDDSNHQEKFNISNGRAGLSSQLMPRPKETLSRVAVPRNSSEKYSDFVQSIPDFSKEHPRSCDICRRSETILNTILVCSSCKVAVHLDCYRSVKESTGPWYCELCEELLSSKCSAAASLNFWEKPYFVAECGLCGGTTGAFRKSTDGQWVHAFCAEWVFESTFRRGQVNHIEGMETIAKGIDICCICRRKHGVCIKCSYGHCQTTFHPSCARSTGFYMNIKSLGGKLHHKAYCERHGLEQRAKAESQKHGVEELKSMKQIRVELERLRLLCERIIKREKIKRDLVLCSHSILACKRDNVARTVLVHSPFFPPDVSSESATTSLKGTDGYKSSSDAIQRSDDVTVDSTISLKHQIRVSMDADQRTDDSSTSQNHFTRKSIERISFAGKQIPCRASLASRNPSEEGEWSSKSRKRFETFEKELVMTSDQASMKNQQLPKGYFYIPVDCLPKEKQINQDACSGEQLEQHR >Manes.18G072800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6525455:6537049:-1 gene:Manes.18G072800.v8.1 transcript:Manes.18G072800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWRCFKWCCWLLSEMTGGRCPRRKKMMGRGPDGGCGTGERPCRLISRVPATQPVISPTTKDKPTSFDVDFFSQARKALSERSPFDVPEEGSGSTISVGSSSVCTLPIGLASLLKQSDSRKRHKKSHSSAEKKSSRACERSKGGNIWVETEEYFRDLALPDIDALFEISSSIRSLAASKCFLIPIIGNEKHEPGVDTENCDTNVIMNCGNGNAHANEVVDNNVTVNYGNGNAHVNEVKHEDEQFMQNDSAGIQSDRAECLSQEEGKAWSISDISGGLAWLLGCRSRIMLTSERPSKKRKLLGTDAGLEKVLIGSPCEGNSSSCDFCCKGKVDNKSNRLIVCSSCKVAIHLNCYGVQGDVDESWLCSWCKHKTDGEDSVKQPCVLCPRQSGALKPVGVVSSGSVVEFAHLFCSLWIPEVYVEDLMKMEPVMNVQEIKETRRKLVCNVCKVKCGACVRCSHGTCRTAFHPICAREARQRMEVWGKYGSDNVELRAFCLKHSEFLNGREKQNKLKIGQNGDKVAVHVEASDSISDKSGDSESQEIELSDSRLNDVLISECADGDQIYNVGVSERSDNEDVNLSGSPNLALILKKLIDRGKVSTKDVALEIGISPDSLLSTLAEDNLVPDLQCRIVKWLRNHAHMVLESDITNPVAVKSVPPRRRMKSNIRILRDNKIICSSKEFSSDCDMLIDEVKVDQLANEEPETSSEVSIPVVKKSINLDGFQDSVAADLSKPVGCTPLAKVEPEHDAIPQQGDSSNSDHANPVYSDMSPVLPDPIKMEESSNPYVHPYIHKLLQMENGQLLEDNVPVLEGLRVGETSSLEASSNASVCCDHQNTHSMCKSVEVNEPQLVKAEKLGVLELSPADEVEGELIYFQHRLHCNAVARKHFTDNLICNVAKSLPLEIDKARAQRWDEVLVNKYLIDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRISSFRKDAYDDSNHQEKFNISNGRAGLSSQLMPRPKETLSRVAVPRNSSEKYSDFVQSIPDFSKEHPRSCDICRRSETILNTILVCSSCKVAVHLDCYRSVKESTGPWYCELCEELLSSKCSAAASLNFWEKPYFVAECGLCGGTTGAFRKSTDGQWVHAFCAEWVFESTFRRGQVNHIEGMETIAKGIDICCICRRKHGVCIKCSYGHCQTTFHPSCARSTGFYMNIKSLGGKLHHKAYCERHGLEQRAKAESQKHGVEELKSMKQIRVELERLRLLCERIIKREKIKRDLVLCSHSILACKRDNVARTVLVHSPFFPPDVSSESATTSLKGTDGYKSSSDAIQRSDDVTVDSTISLKHQIRVSMDADQRTDDSSTSQNHFTRKSIERISFAGKQIPCRASLASRNPSEEGEWSSKSRKRFETFEKELVMTSDQASMKNQQLPKGYFYIPVDCLPKEKQINQDACSGEQLEQHR >Manes.18G072800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6525455:6537047:-1 gene:Manes.18G072800.v8.1 transcript:Manes.18G072800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWRCFKWCCWLLSEMTGGRCPRRKKMMGRGPDGGCGTGERPCRLISRVPATQPVISPTTKDKPTSFDVDFFSQARKALSERSPFDVPEEGSGSTISVGSSSVCTLPIGLASLLKQSDSRKRHKKSHSSAEKKSSRACERSKGGNIWVETEEYFRDLALPDIDALFEISSSIRSLAASKCFLIPIIGNEKHEPGVDTENCDTNVIMNCGNGNAHANEVVDNNVTVNYGNGNAHVNEVKHEDEQFMQNDSAGIQSDRAECLSQEEGKAWSISDISGGLAWLLGCRSRIMLTSERPSKKRKLLGTDAGLEKVLIGSPCEGNSSSCDFCCKGKVDNKSNRLIVCSSCKVAIHLNCYGVQGDVDESWLCSWCKHKTDGEDSVKQPCVLCPRQSGALKPVGVVSSGSVVEFAHLFCSLWIPEVYVEDLMKMEPVMNVQEIKETRRKLVCNVCKVKCGACVRCSHGTCRTAFHPICAREARQRMEVWGKYGSDNVELRAFCLKHSEFLNGREKQNKLKIGQNGDKVAVHVEASDSISDKSGDSESQEIELSDSRLNDVLISECADGDQIYNVGVSERSDNEDVNLSGSPNLALILKKLIDRGKVSTKDVALEIGISPDSLLSTLAEDNLVPDLQCRIVKWLRNHAHMGTLHKNLKVKLKSAILSKAEIEAADHSDGVTVLESDITNPVAVKSVPPRRRMKSNIRILRDNKIICSSKEFSSDCDMLIDEVKVDQLANEEPETSSEVSIPVVKKSINLDGFQDSVAADLSKPVGCTPLAKVEPEHDAIPQQGDSSNSDHANPVYSDMSPVLPDPIKMEESSNPYVHPYIHKLLQMENGQLLEDNVPVLEGLRVGETSSLEASSNASVCCDHQNTHSMCKSVEVNEPQLVKAEKLGVLELSPADEVEGELIYFQHRLHCNAVARKHFTDNLICNVAKSLPLEIDKARAQRWDEVLVNKYLIDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRISSFRKDAYDDSNHQEKFNISNGRAGLSSQLMPRPKETLSRVAVPRNSSEKYSDFVQSIPDFSKEHPRSCDICRRSETILNTILVCSSCKVAVHLDCYRSVKESTGPWYCELCEELLSSKCSAAASLNFWEKPYFVAECGLCGGTTGAFRKSTDGQWVHAFCAEWVFESTFRRGQVNHIEGMVCVHILGVHTFNVVFGGTWFDTCIS >Manes.18G072800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6525455:6537050:-1 gene:Manes.18G072800.v8.1 transcript:Manes.18G072800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWRCFKWCCWLLSEMTGGRCPRRKKMMGRGPDGGCGTGERPCRLISRVPATQPVISPTTKDKPTSFDVDFFSQARKALSERSPFDVPEEGSGSTISVGSSSVCTLPIGLASLLKQSDSRKRHKKSHSSAEKKSSRACERSKGGNIWVETEEYFRDLALPDIDALFEISSSIRSLAASKCFLIPIIGNEKHEPGVDTENCDTNVIMNCGNGNAHANEVVDNNVTVNYGNGNAHVNEVKHEDEQFMQNDSAGIQSDRAECLSQEEGKAWSISDISGGLAWLLGCRSRIMLTSERPSKKRKLLGTDAGLEKVLIGSPCEGNSSSCDFCCKGKVDNKSNRLIVCSSCKVAIHLNCYGVQGDVDESWLCSWCKHKTDGEDSVKQPCVLCPRQSGALKPVGVVSSGSVVEFAHLFCSLWIPEVYVEDLMKMEPVMNVQEIKETRRKLVCNVCKVKCGACVRCSHGTCRTAFHPICAREARQRMEVWGKYGSDNVELRAFCLKHSEFLNEKQNKLKIGQNGDKVAVHVEASDSISDKSGDSESQEIELSDSRLNDVLISECADGDQIYNVGVSERSDNEDVNLSGSPNLALILKKLIDRGKVSTKDVALEIGISPDSLLSTLAEDNLVPDLQCRIVKWLRNHAHMVLESDITNPVAVKSVPPRRRMKSNIRILRDNKIICSSKEFSSDCDMLIDEVKVDQLANEEPETSSEVSIPVVKKSINLDGFQDSVAADLSKPVGCTPLAKVEPEHDAIPQQGDSSNSDHANPVYSDMSPVLPDPIKMEESSNPYVHPYIHKLLQMENGQLLEDNVPVLEGLRVGETSSLEASSNASVCCDHQNTHSMCKSVEVNEPQLVKAEKLGVLELSPADEVEGELIYFQHRLHCNAVARKHFTDNLICNVAKSLPLEIDKARAQRWDEVLVNKYLIDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRISSFRKDAYDDSNHQEKFNISNGRAGLSSQLMPRPKETLSRVAVPRNSSEKYSDFVQSIPDFSKEHPRSCDICRRSETILNTILVCSSCKVAVHLDCYRSVKESTGPWYCELCEELLSSKCSAAASLNFWEKPYFVAECGLCGGTTGAFRKSTDGQWVHAFCAEWVFESTFRRGQVNHIEGMETIAKGIDICCICRRKHGVCIKCSYGHCQTTFHPSCARSTGFYMNIKSLGGKLHHKAYCERHGLEQRAKAESQKHGVEELKSMKQIRVELERLRLLCERIIKREKIKRDLVLCSHSILACKRDNVARTVLVHSPFFPPDVSSESATTSLKGTDGYKSSSDAIQRSDDVTVDSTISLKHQIRVSMDADQRTDDSSTSQNHFTRKSIERISFAGKQIPCRASLASRNPSEEGEWSSKSRKRFETFEKELVMTSDQASMKNQQLPKGYFYIPVDCLPKEKQINQDACSGEQLEQHR >Manes.18G072800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6525455:6537049:-1 gene:Manes.18G072800.v8.1 transcript:Manes.18G072800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWRCFKWCCWLLSEMTGGRCPRRKKMMGRGPDGGCGTGERPCRLISRVPATQPVISPTTKDKPTSFDVDFFSQARKALSERSPFDVPEEGSGSTISVGSSSVCTLPIGLASLLKQSDSRKRHKKSHSSAEKKSSRACERSKGGNIWVETEEYFRDLALPDIDALFEISSSIRSLAASKCFLIPIIGNEKHEPGVDTENCDTNVIMNCGNGNAHANEVVDNNVTVNYGNGNAHVNEVKHEDEQFMQNDSAGIQSDRAECLSQEEGKAWSISDISGGLAWLLGCRSRIMLTSERPSKKRKLLGTDAGLEKVLIGSPCEGNSSSCDFCCKGKVDNKSNRLIVCSSCKVAIHLNCYGVQGDVDESWLCSWCKHKTDGEDSVKQPCVLCPRQSGALKPVGVVSSGSVVEFAHLFCSLWIPEVYVEDLMKMEPVMNVQEIKETRRKLVCNVCKVKCGACVRCSHGTCRTAFHPICAREARQRMEVWGKYGSDNVELRAFCLKHSEFLNGREKQNKLKIGQNGDKVAVHVEASDSISDKSGDSESQEIELSDSRLNDVLISECADGDQIYNVGVSERSDNEDVNLSGSPNLALILKKLIDRGKVSTKDVALEIGISPDSLLSTLAEDNLVPDLQCRIVKWLRNHAHMVLESDITNPVAVKSVPPRRRMKSNIRILRDNKIICSSKEFSSDCDMLIDEVKVDQLANEEPETSSEVSIPVVKKSINLDGFQDSVAADLSKPVGCTPLAKVEPEHDAIPQQGDSSNSDHANPVYSDMSPVLPDPIKMEESSNPYVHPYIHKLLQMENGQLLEDNVPVLEGLRVGETSSLEASSNASVCCDHQNTHSMCKSVEVNEPQLVKAEKLGVLELSPADEVEGELIYFQHRLHCNAVARKHFTDNLICNVAKSLPLEIDKARAQRWDEVLVNKYLIDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRISSFRKDAYDDSNHQEKFNISNGRAGLSSQLMPRPKETLSRVAVPRNSSEKYSDFVQSIPDFSKEHPRSCDICRRSETILNTILVCSSCKVAVHLDCYRSVKESTGPWYCELCEELLSSKCSAAASLNFWEKPYFVAECGLCGGTTGAFRKSTDGQWVHAFCAEWVFESTFRRGQVNHIEGMETIAKGIDICCICRRKHGVCIKCSYGHCQTTFHPSCARSTGFYMNIKSLGGKLHHKAYCERHGLEQRAKVELERLRLLCERIIKREKIKRDLVLCSHSILACKRDNVARTVLVHSPFFPPDVSSESATTSLKGTDGYKSSSDAIQRSDDVTVDSTISLKHQIRVSMDADQRTDDSSTSQNHFTRKSIERISFAGKQIPCRASLASRNPSEEGEWSSKSRKRFETFEKELVMTSDQASMKNQQLPKGYFYIPVDCLPKEKQINQDACSGEQLEQHR >Manes.18G072800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6525455:6537047:-1 gene:Manes.18G072800.v8.1 transcript:Manes.18G072800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWRCFKWCCWLLSEMTGGRCPRRKKMMGRGPDGGCGTGERPCRLISRVPATQPVISPTTKDKPTSFDVDFFSQARKALSERSPFDVPEEGSGSTISVGSSSVCTLPIGLASLLKQSDSRKRHKKSHSSAEKKSSRACERSKGGNIWVETEEYFRDLALPDIDALFEISSSIRSLAASKCFLIPIIGNEKHEPGVDTENCDTNVIMNCGNGNAHANEVVDNNVTVNYGNGNAHVNEVKHEDEQFMQNDSAGIQSDRAECLSQEEGKAWSISDISGGLAWLLGCRSRIMLTSERPSKKRKLLGTDAGLEKVLIGSPCEGNSSSCDFCCKGKVDNKSNRLIVCSSCKVAIHLNCYGVQGDVDESWLCSWCKHKTDGEDSVKQPCVLCPRQSGALKPVGVVSSGSVVEFAHLFCSLWIPEVYVEDLMKMEPVMNVQEIKETRRKLVCNVCKVKCGACVRCSHGTCRTAFHPICAREARQRMEVWGKYGSDNVELRAFCLKHSEFLNGREKQNKLKIGQNGDKVAVHVEASDSISDKSGDSESQEIELSDSRLNDVLISECADGDQIYNVGVSERSDNEDVNLSGSPNLALILKKLIDRGKVSTKDVALEIGISPDSLLSTLAEDNLVPDLQCRIVKWLRNHAHMGTLHKNLKVKLKSAILSKAEIEAADHSDGVTVLESDITNPVAVKSVPPRRRMKSNIRILRDNKIICSSKEFSSDCDMLIDEVKVDQLANEEPETSSEVSIPVVKKSINLDGFQDSVAADLSKPVGCTPLAKVEPEHDAIPQQGDSSNSDHANPVYSDMSPVLPDPIKMEESSNPYVHPYIHKLLQMENGQLLEDNVPVLEGLRVGETSSLEASSNASVCCDHQNTHSMCKSVEVNEPQLVKAEKLGVLELSPADEVEGELIYFQHRLHCNAVARKHFTDNLICNVAKSLPLEIDKARAQRWDEVLVNKYLIDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRISSFRKDAYDDSNHQEKFNISNGRAGLSSQLMPRPKETLSRVAVPRNSSEKYSDFVQSIPDFSKEHPRSCDICRRSETILNTILVCSSCKVAVHLDCYRSVKESTGPWYCELCEELLSSKCSAAASLNFWEKPYFVAECGLCGGTTGAFRKSTDGQWVHAFCAEWVFESTFRRGQVNHIEGMETIAKGIDICCICRRKHGVCIKCSYGHCQTTFHPSCARSTGFYMNIKSLGGKLHHKAYCERHGLEQRAKAESQKHGVEELKSMKQIRVELERLRLLCERIIKREKIKRDLVLCSHSILACKRDNVARTVLVHSPFFPPDVSSESATTSLKGTDGYKSSSDAIQRSDDVTVDSTISLKHQIRVSMDADQRTDDSSTSQNHFTRKSIERISFAGKQIPCRASLASRNPSEEGEWSSKSRKRFETFEKELVMTSDQASMKNQQLPKGYFYIPVDCLPKEKQINQDACSGEQLEQHR >Manes.18G072800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6525455:6537047:-1 gene:Manes.18G072800.v8.1 transcript:Manes.18G072800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWWRCFKWCCWLLSEMTGGRCPRRKKMMGRGPDGGCGTGERPCRLISRVPATQPVISPTTKDKPTSFDVDFFSQARKALSERSPFDVPEEGSGSTISVGSSSVCTLPIGLASLLKQSDSRKRHKKSHSSAEKKSSRACERSKGGNIWVETEEYFRDLALPDIDALFEISSSIRSLAASKCFLIPIIGNEKHEPGVDTENCDTNVIMNCGNGNAHANEVVDNNVTVNYGNGNAHVNEVKHEDEQFMQNDSAGIQSDRAECLSQEEGKAWSISDISGGLAWLLGCRSRIMLTSERPSKKRKLLGTDAGLEKVLIGSPCEGNSSSCDFCCKGKVDNKSNRLIVCSSCKVAIHLNCYGVQGDVDESWLCSWCKHKTDGEDSVKQPCVLCPRQSGALKPVGVVSSGSVVEFAHLFCSLWIPEVYVEDLMKMEPVMNVQEIKETRRKLVCNVCKVKCGACVRCSHGTCRTAFHPICAREARQRMEVWGKYGSDNVELRAFCLKHSEFLNGREKQNKLKIGQNGDKVAVHVEASDSISDKSGDSESQEIELSDSRLNDVLISECADGDQIYNVGVSERSDNEDVNLSGSPNLALILKKLIDRGKVSTKDVALEIGISPDSLLSTLAEDNLVPDLQCRIVKWLRNHAHMGTLHKNLKVKLKSAILSKAEIEAADHSDGVTVLESDITNPVAVKSVPPRRRMKSNIRILRDNKIICSSKEFSSDCDMLIDEVKVDQLANEEPETSSEVSIPVVKKSINLDGFQDSVAADLSKPVGCTPLAKVEPEHDAIPQQGDSSNSDHANPVYSDMSPVLPDPIKMEESSNPYVHPYIHKLLQMENGQLLEDNVPVLEGLRVGETSSLEASSNASVCCDHQNTHSMCKSVEVNEPQLVKAEKLGVLELSPADEVEGELIYFQHRLHCNAVARKHFTDNLICNVAKSLPLEIDKARAQRWDEVLVNKYLIDLREAKKQGRKERKHKEAQAVLAAATAAAAASSRISSFRKDAYDDSNHQEKFNISNGRAGLSSQLMPRPKETLSRVAVPRNSSEKYSDFVQSIPDFSKEHPRSCDICRRSETILNTILVCSSCKVAVHLDCYRSVKESTGPWYCELCEELLSSKCSAAASLNFWEKPYFVAECGLCGGTTGAFRKSTDGQWVHAFCAEWVFESTFRRGQVNHIEGMETIAKGIDICCICRRKHGVCIKCSYGHCQTTFHPSCARSTGFYMNIKSLGGKLHHKAYCERHGLEQRAKAESQKHGVEELKSMKQIRVELERLRLLCERIIKREKIKRDLVLCSHSILACKRDNVARTVLVHSPFFPPDVSSESATTSLKDQRTDDSSTSQNHFTRKSIERISFAGKQIPCRASLASRNPSEEGEWSSKSRKRFETFEKELVMTSDQASMKNQQLPKGYFYIPVDCLPKEKQINQDACSGEQLEQHR >Manes.04G069700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:26827345:26830506:-1 gene:Manes.04G069700.v8.1 transcript:Manes.04G069700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSLRKSFKGQTGNSSKYSKSNEELPNLYPHQSNDSRESPQPSTAVGRANHPEVIVKVDGSSSSSEDKPGREPSFGYMQREKNDIKDPASKRIGKFSDKKNVEDDEISLDMDIEMDKLRRERHHNSSPFQESTLSKNPSREIRVSFEPSVSNNSSLESVRRRYKDLQDDKEESSDGINSQQPEQEEKFGDEVLRCSSNSTFQTQSSGLSRAKTKSRLLDPSPNELDRIPFKSGQQKSELLGKSTDEDEDDPLECDDLPDKYKKVQVSTMTVLECMSLITIVALLGCTLFITSWKEKSFLELKLWKWEVLFLVLICGRLVSGWGIRIIVFFIERNFLLRKRVLYFVYGLRNGVQNCWWLGLVLLAWHFLFDKKVKRETNGAILKLITRILVCFLVANFVWLIKTLMVKVLASSFHVSTYFDRIQESIYNQYIIETLSGPPLIEIQKNEEEIEKTTAEIRKLQNAGINIPPDLKATVSPRSKSGRIGTKYSMEFSTRRGEKKVNTEITIDHLHKLNHKNISAWNMKRLMKIVRYGSLSTLEEQILDANIDDETAVEIRSEYEAKIAARKIFHNVAGRGSKYIYLQDLMRFMRDDEALKTMSFFEGASETCRISKSSLKNWVVNAFRERRALALTLNDTKTAVNKLHQVVNVIVGIIILVIWLLILGIATSKFVVFMGSQIVVASFIFGNTAKTLFESIIFLFLVHPFDVGDRCEVDGRQLVVEEMNILTTIFLRDDNLKVIYHNNILATKAIGNFYRSPDMGDAIEFFVHVSTPAEKIAMIRQRITSYIDNKKEHWYPNPMVILMDHMDLHKVKMAVWFRHRINHQDQGLKYERRSLLLEEMGKIFKELDIQYRLFPIDINVRNMSLPPLPPYSPS >Manes.12G098140.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:22342261:22343384:-1 gene:Manes.12G098140.v8.1 transcript:Manes.12G098140.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFYACLSDVLRGFWVVVYEWLECVWHLIRVHLCRTGPEGSRRPSVLAVAESVQRLPEFCRSVVGQEDVKSKGLAHVIVLD >Manes.14G048300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4202192:4214912:-1 gene:Manes.14G048300.v8.1 transcript:Manes.14G048300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVKKEIIDTLRSPKQDPGNDTVSKRPPVLIELDSSSSSSSSSDSDSDSESDNGNNPNAAVSTGPRVSSTGHGVSRKKRKLDELGVVLPVGFLAPLSPAPLALPQSAVDVHLSSTPTAPSGNGNVSSTGQSSKQFWKAGDYEGAPCGDWDSSSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNIDMLESRKDGSRMLLIEDNGGGMDPDKMRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSCCRGKDGKSPTQSIGLLSYTFLRSTGKEDIVVPMLDYERKGREWNKMTRVSSGDWIRNAETIVQWSPFSSEADLLRQDIQLRGVNRDEKNIQMAREFPNSRHFLTYRHSLRSYASILYLRIPPGFRIILRGKDVEHHNIVNDMMLSQEITYKPQHGADGVPIDSNMAAIVTVGFVKDAKYHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKTYWSTYCHKIGYAPRRNKKLINEGAVEGSSPDNSFVSSQSKKHTAISGKTPSHSDKFFSHVNQKGGKGSESYARNGDVGYGNGHVSSKGSGKTNTPTKYGRKSRSSERSSPSLEDVSDDDACIARPTKENGMRTTQESSCPEDSSQRVVTQSKTKERDVDNCRSGLSESDLRTIDQLNRENQNLKERLEKNKAKFQGELRHGLQCDKCKSLEMQLKEAQQKIEELNKEQESLIDIFSEERDRRDKEEEKLRKKLKDASNTIQELLDKVRLLEKMKAPNRRGEL >Manes.14G048300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4202192:4214912:-1 gene:Manes.14G048300.v8.1 transcript:Manes.14G048300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVKKEIIDTLRSPKQDPGNDTVSKRPPVLIELDSSSSSSSSSDSDSDSESDNGNNPNAAVSTGPRVSSTGHGVSRKKRKLDELGVVLPVGFLAPLSPAPLALPQSAVDVHLSSTPTAPSGNGNVSSTGQSSKQFWKAGDYEGAPCGDWDSSSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNIDMLESRKDGSRMLLIEDNGGGMDPDKMRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSCCRGKDGKSPTQSIGLLSYTFLRSTGKEDIVVPMLDYERKGREWNKMTRVSSGDWIRNAETIVQWSPFSSEADLLRQFNLMSDHGTRIIIYNLWEDDQGLLELDFDSDPHDIQLRGVNRDEKNIQMAREFPNSRHFLTYRHSLRSYASILYLRIPPGFRIILRGKDVEHHNIVNDMMLSQEITYKPQHGADGVPIDSNMAAIVTVGFVKDAKYHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKTYWSTYCHKIGYAPRRNKKLINEGAVEGSSPDNSFVSSQSKKHTAISGKTPSHSDKFFSHVNQKGGKGSESYARNGDVGYGNGHVSSKGSGKTNTPTKYGRKSRSSERSSPSLEDVSDDDACIARPTKENGMRTTQESSCPEDSSQRVVTQSKTKERDVDNCRSGLSESDLRTIDQLNRENQNLKERLEKNKAKFQGELRHGLQCDKCKSLEMQLKEAQQKIEELNKEQESLIDIFSEERDRRDKEEEKLRKKLKDASNTIQELLDKVRLLEKMKAPNRRGEL >Manes.15G061800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4702432:4704503:-1 gene:Manes.15G061800.v8.1 transcript:Manes.15G061800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIVDRGGKTMERSVLSAFVVVFMSFLGCFQVGLSQSEVYVKDFITWDDMKVDDSGRARLSSRDNYNGSRVIVVDKNGGGDSLTVQGAIDMVPEHNTGRVKIYILPGIYREKVVVPSIKPYISFIGKEGRIYDTIITWNSKASDTDSNGAELGTYRSASITIESDYFCATGITFENTVVAVPGGYGMQAVALRVSGDKAFFFKVRILGTQDTLLDETGSHYFYQCHIQGSVDFIFGRGRSLFQDCVLQSTATKTGAIAAHHRDSPYDDTGFSFVGCVINGTGKILLGRAWGNYSRAIYSYSYFDNIIIPSGWSDWNNPYRQKTVVFGEYECSGRGADTRGRVPWLKTFTYVEVKPFLGMQFINGGQWLRL >Manes.02G124200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9447596:9455287:-1 gene:Manes.02G124200.v8.1 transcript:Manes.02G124200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSNLSHCWWPSNHLKSHLTDFSDLENGDLLPGFREFSLQQLRAATSGFSTDNIVSEHGEKAPNVVYRGRLKDDDLWIAVKRFNKSAWPDSRQFLEEARAVGQLRNERLANLIGCCCEGEERLLVAEFMPNETLSRHLFHWENQPMKWEMRLRVAFYLAQALEYCSSKGRALYHDLNAYRILFDQDGNPRLSCFGLTKNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYEPRERPNAKTLVTALTPLQKETEVPSYVLMGMPHGALSPKQTMTLTCLGEACSRLDLTAIHEILEKVGYKDDEGIANELSFQMWTNQIQETLNCKKRGDAAFRMKDFATAIDCYTQFIDGGTMVSPTVLARRCLCYLISDMPQQALGDAMQAQAVSPEWATAFYLQAAALFSLGMDSDAQETLKDGTSLEAQKHRK >Manes.13G062100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7247192:7250804:1 gene:Manes.13G062100.v8.1 transcript:Manes.13G062100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSNNIWIRRQQCPCGDWKCYIKYEGDEQTSVSSHLVKNETSSISSSAEVVFTPYVGQIFISDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGVIQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLTGKRENDTFELLEACKAMVERDADFTYDYTTDENEKVENIAWSYGDSVRAYTVYGDVVTFDTTYRSITYGLLLGMWFGMDNHGKAILFGCVLLQDESSDSFAWALQSFVRFMRGRHPQTIITDIDSGLRDAIARELENTKHIICKWHILSKLVSWFSLALGSQFEDFKAQFDLLCQLESVEDFEHQWNLLVARYGLSSDKHIGLLFSYRGYWSVSYIKGYFLARTMTAEFSQCLDVFLKRVLRGQTCLQVFFEQVVLAANFGNQSKDGMQYMHIRTCMPIEEHARSVLTPYAFNVFQREIILSLQYGTQEMADGSYLLRHYKKMDGECLVIWIPEEEQIHCSCKEFDQSGILCRHSLRVLALKNYFQLPEKYLPLRWRREHSVLPMDDQNAQSNSDECAQAFHSLAETLLTESLVSKERFTYVHRELTGLLDHVRTMPTTEDFSLNMANNNISES >Manes.13G062100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7246207:7250806:1 gene:Manes.13G062100.v8.1 transcript:Manes.13G062100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSNNIWIRRQQCPCGDWKCYIKYEGDEQTSVSSHLVKNETSSISSSAEVVFTPYVGQIFISDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGVIQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLTGKRENDTFELLEACKAMVERDADFTYDYTTDENEKVENIAWSYGDSVRAYTVYGDVVTFDTTYRSITYGLLLGMWFGMDNHGKAILFGCVLLQDESSDSFAWALQSFVRFMRGRHPQTIITDIDSGLRDAIARELENTKHIICKWHILSKLVSWFSLALGSQFEDFKAQFDLLCQLESVEDFEHQWNLLVARYGLSSDKHIGLLFSYRGYWSVSYIKGYFLARTMTAEFSQCLDVFLKRVLRGQTCLQVFFEQVVLAANFGNQSKDGMQYMHIRTCMPIEEHARSVLTPYAFNVFQREIILSLQYGTQEMADGSYLLRHYKKMDGECLVIWIPEEEQIHCSCKEFDQSGILCRHSLRVLALKNYFQLPEKYLPLRWRREHSVLPMDDQNAQSNSDECAQAFHSLAETLLTESLVSKERFTYVHRELTGLLDHVRTMPTTEDFSLNMANNNISES >Manes.13G062100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7246207:7250810:1 gene:Manes.13G062100.v8.1 transcript:Manes.13G062100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSNNIWIRRQQCPCGDWKCYIKYEGDEQTSVSSHLVKNETSSISSSAEVVFTPYVGQIFISDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGVIQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLTGKRENDTFELLEACKAMVERDADFTYDYTTDENEKVENIAWSYGDSVRAYTVYGDVVTFDTTYRSITYGLLLGMWFGMDNHGKAILFGCVLLQDESSDSFAWALQSFVRFMRGRHPQTIITDIDSGLRDAIARELENTKHIICKWHILSKLVSWFSLALGSQFEDFKAQFDLLCQLESVEDFEHQWNLLVARYGLSSDKHIGLLFSYRGYWSVSYIKGYFLARTMTAEFSQCLDVFLKRVLRGQTCLQVFFEQVVLAANFGNQSKDGMQYMHIRTCMPIEEHARSVLTPYAFNVFQREIILSLQYGTQEMADGSYLLRHYKKMDGECLVIWIPEEEQIHCSCKEFDQSGILCRHSLRVLALKNYFQLPEKYLPLRWRREHSVLPMDDQNAQSNSDECAQAFHSLAETLLTESLVSKERFTYVHRELTGLLDHVRTMPTTEDFSLNMANNNISES >Manes.13G062100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7246207:7251107:1 gene:Manes.13G062100.v8.1 transcript:Manes.13G062100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSNNIWIRRQQCPCGDWKCYIKYEGDEQTSVSSHLVKNETSSISSSAEVVFTPYVGQIFISDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGVIQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLTGKRENDTFELLEACKAMVERDADFTYDYTTDENEKVENIAWSYGDSVRAYTVYGDVVTFDTTYRSITYGLLLGMWFGMDNHGKAILFGCVLLQDESSDSFAWALQSFVRFMRGRHPQTIITDIDSGLRDAIARELENTKHIICKWHILSKLVSWFSLALGSQFEDFKAQFDLLCQLESVEDFEHQWNLLVARYGLSSDKHIGLLFSYRGYWSVSYIKGYFLARTMTAEFSQCLDVFLKRVLRGQTCLQVFFEQVVLAANFGNQSKDGMQYMHIRTCMPIEEHARSVLTPYAFNVFQREIILSLQYGTQEMADGSYLLRHYKKMDGECLVIWIPEEEQIHCSCKEFDQSGILCRHSLRVLALKNYFQLPEKYLPLRWRREHSVLPMDDQNAQSNSDECAQAFHSLAETLLTESLVSKERFTYVHRELTGLLDHVRTMPTTEDFSLNMANNNISES >Manes.13G062100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7246207:7250793:1 gene:Manes.13G062100.v8.1 transcript:Manes.13G062100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPSNNIWIRRQQCPCGDWKCYIKYEGDEQTSVSSHLVKNETSSISSSAEVVFTPYVGQIFISDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGVIQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLTGKRENDTFELLEACKAMVERDADFTYDYTTDENEKVENIAWSYGDSVRAYTVYGDVVTFDTTYRSITYGLLLGMWFGMDNHGKAILFGCVLLQDESSDSFAWALQSFVRFMRGRHPQTIITDIDSGLRDAIARELENTKHIICKWHILSKLVSWFSLALGSQFEDFKAQFDLLCQLESVEDFEHQWNLLVARYGLSSDKHIGLLFSYRGYWSVSYIKGYFLARTMTAEFSQCLDVFLKRVLRGQTCLQVFFEQVVLAANFGNQSKDGMQYMHIRTCMPIEEHARSVLTPYAFNVFQREIILSLQYGTQEMADGSYLLRHYKKMDGECLVIWIPEEEQIHCSCKEFDQSGILCRHSLRVLALKNYFQLPEKYLPLRWRREHSVLPMDDQNAQSNSDECAQAFHSLAETLLTESLVSKERFTYVHRELTGLLDHVRTMPTTEDFSLNMANNNISES >Manes.09G139600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33879799:33887214:1 gene:Manes.09G139600.v8.1 transcript:Manes.09G139600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSESFEIPRGQSSRAGDVEMGMSSGDLGLESFFKKVQEIENQNEKLDKLLKKLQDAHEESKAVTKAPAMKAIKKRMEKDVDEVGKIARSVKSKVEELDKENLANRQKPGCGKGTGVDRSRTSTTMALKKKLKDKMAEFQSLRETIHQEYREVVERRVFTVTGTRADEETIDRLIETGDSEQIFQKAIHEQGRGQVMDTLAEIQERHDAVRDLEKKLLDLQQIFLDMAVLVDAQGEMLDNIESQVSSAVDHVQSGNTALQKAKKLQRNSRKWMCIAIIILLLIVVIIVVAVIKPWSNNKGA >Manes.12G027300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2406683:2411642:1 gene:Manes.12G027300.v8.1 transcript:Manes.12G027300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWRKTNDETFCGMRILDAG >Manes.12G027300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2407416:2409660:1 gene:Manes.12G027300.v8.1 transcript:Manes.12G027300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHW >Manes.12G027300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2406683:2411641:1 gene:Manes.12G027300.v8.1 transcript:Manes.12G027300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Manes.11G103350.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:23463413:23464533:1 gene:Manes.11G103350.v8.1 transcript:Manes.11G103350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGRGHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANASSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYAWDESEEGMVRIAWEKVGKERLRDILNRVRSELLHKHKKTDVAYLYNLGQDWMEAEIWNELVAYWSTPEWRKKSEAGKANRNVEKDGTITKHSGGSIKLKVHENRLV >Manes.16G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31035501:31037554:1 gene:Manes.16G104600.v8.1 transcript:Manes.16G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLQQLQSKACQASKFVSKHGTEYYKQLLEQNKQYIQEPPTVETCSLLSKQLFYTRLASIPNRCESFWKELDYMKNLWKNRQELKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYP >Manes.08G011000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1293989:1297673:1 gene:Manes.08G011000.v8.1 transcript:Manes.08G011000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPSRKAKKRNYSHKTACSFRFLIKDCDAVCEELQKPEMEKTLSLNEDLPGMGQYYCLHCDRYFAMSVTVRDEHLKIKRHKKPVKQMMGPAPHAT >Manes.09G134200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33433653:33440495:1 gene:Manes.09G134200.v8.1 transcript:Manes.09G134200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVQVPVQTSKSSMSSNPASPALKFSKMSKKLQGIGAEKSLSPEEQRAKINDIRNMLGPIADKLPALCSDASLSRYLRARNWNSKKAAKMLKETLKWRLEFKPEKIRWEDVALEAQTGKVYKANYSDKKGRTVLVMRPGFQNTSALGGQIKHLVYCMENAIMTMNPDQEQMVWLIDFQQWTMSSISVKAARETANILQNHYPERLGLAILYNPPKVFESFWMMVKPFIEPKTYKKVKFVYTNDLESLKIMEELFDMDKLDSAFGGRSSAGFDYEAYAQRMREEDKKISDLNISGPSSPVLSAAMKTQQLGVLATADRASDEDDSSSDDEATSNLEGLDEGTQDQPSNCENVKIDETAEMV >Manes.12G075400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:8577649:8581116:-1 gene:Manes.12G075400.v8.1 transcript:Manes.12G075400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFGLRKKLRRRVSILRRCILRIWNQILMCSLGKPIHYRMLPPQPLPISSSSETASSRFASGIITPSSTPPPSTTMTAPTMCDNHISNNNCNSHSNNKDSDLVALKISLLGDCQIGKTSFLAKYVGNETEERGMLKDGIHMMDKTLLVKGARISYSLWEVAGDEGSSQQIPLACKDSVAILIMFDLTSRLTLNGVIKWYQEARKWNQTAIPIIIGTKFDDFIQLPMDLQWAIASQARAYAKAVNATLFFSSATYNINVNKIFKFVTAKLFDLPWTPERNLTIGEPIIDF >Manes.12G027600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2420064:2423137:-1 gene:Manes.12G027600.v8.1 transcript:Manes.12G027600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCETDVKGTRMEAPNTNSMKHGTHKAFDPSFFVQLPNKLQNCLKSQLRKLAKDKEEVPVVRKEEGSSAALRIDLERQLQTWRQNPSWVDQPPEIKVTVPKGSLCNINVNVNVGLPPDAVYDIVTDPDNRRVFKNIKEVISRKVLLDEGPRQLVEVEQAAIWRFLWWSGTISVHVLVDQNREDLMMKFKQVKTGFMKKFEGCWRVESLFIDESLCHPFKPKTWAEYYSCTGHKGRIGSKVSLEQIIQPTLVPPPPISWYLRGITTKTTEMIVNDLIAEAARIRGDFSTAGPKGSETSQNFDDEHQNDKSCDIKERWAQHRRNAKKHHRRLLTAE >Manes.12G027600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2419338:2424234:-1 gene:Manes.12G027600.v8.1 transcript:Manes.12G027600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCETDVKGTRMEAPNTNSMKHGTHKAFDPSFFVQLPNKLQNCLKSQLRKLAKDKEEVPVVRKEEGSSAALRIDLERQLQTWRQNPSWVDQPPEIKVTVPKGSLCNINVNVNVGLPPDAVYDIVTDPDNRRVFKNIKEVISRKVLLDEGPRQLVEVEQAAIWRFLWWSGTISVHVLVDQNREDLMMKFKQVKTGFMKKFEGCWRVESLFIDESLCHPFKPKTWAEYYSCTGHKGRIGSKVSLEQIIQPTLVPPPPISWYLRGITTKTTEMIVNDLIAEAARIRGDFSTAGPKGSETSQNFDDEHQNDKSCDIKERWAQHRRNAKKHHRRLLTAE >Manes.09G133400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33395297:33398953:-1 gene:Manes.09G133400.v8.1 transcript:Manes.09G133400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTTLFNPVNGFSTVLPLYHHAVAANPLPMAAKQAFSSLLLLKSPFSSSISRPAKSHYHRHRCYSNTVNVCVDDKELRSPDLVALEYAELNLTDKISGELGHVRSRQHVNPLSSSFSVPARVPDWREVFRDPTLPLMVDIGSGSGRFLIWLAKRSPDSANYLGLEIRQKLVKRAQLWVEELALGNIHFMFANATVSFRQLVLEYPGPLMFVSILCPDPHFKRRHHKRRVVQKQLVDCIINNLLPGGKVFVQSDVLEVALDMRNQFDAESEMLKHIDETDPSMLCDNDGWLLKNPMGIRTEREIHAEFEGAKIYKRLYQKRT >Manes.04G114000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31748951:31749909:1 gene:Manes.04G114000.v8.1 transcript:Manes.04G114000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFQTAEEAARAYDEAAVLMCGRNAKTNFPVSTDQQGLPSLLSAKLRKSCKSPSLTCLRLDNENCQIGVWQKTAGPQSSSNWVRIVELGEKYAQATQSNLPVSETRTPQEKMPAKEGHGDDRGDSDSDEEKRVALQMIEELLNWN >Manes.15G129400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10350433:10350807:1 gene:Manes.15G129400.v8.1 transcript:Manes.15G129400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLWSHYYYLVAAEDTCMSQSGAMALPQGGVESRGGRRNAAAIFFFLWVLLIFAQLGLFYAVHEETGKLVKSLPRKVRFLETQSFHAPQSSQVQSSVGVEGDPESVYGDDKRIIHTGPNPLHN >Manes.13G117600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32431853:32434434:-1 gene:Manes.13G117600.v8.1 transcript:Manes.13G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHWTVTKPSRSDEVLDTDQQLQIANQVRAQFDSIAPKRPAKPNRSESESDTTPPKPVPSDADQIPELDRLRSLQSQSSGIFSAEGALVEQDEFVETHYYKELDSIDKQHHTTGSGFIRVVGEENTNGYNIELPRGHGVDSLVSGCRSNPATNDWIPNLVVDDQAFVSSKPNRSEGS >Manes.16G038700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:6245981:6247338:1 gene:Manes.16G038700.v8.1 transcript:Manes.16G038700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRLQKRIALRRKLHILRKLTLSNSMKRRSIIADAVLYIYKLKLKLEEIQRELSILEAIKREYLSMLKQIKHLPKVKVEKAGKGFLVKVICDKGRDNLVPILEVFEEMGLLVLHAKVSCNVYFHMETIVVAEEEDDLDVKNVTQAVLKAIVKHVERADRSINFNLMD >Manes.05G150108.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25563226:25565016:-1 gene:Manes.05G150108.v8.1 transcript:Manes.05G150108.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLIFLPLLPIFLLFLLKIHIRKPRLPPGPKGLPLAGNLFQLDNSNIQKHLWQLSKQYGPLMSLRLGFKQTLIVSSAKMAKEVLKTQDLEFCSRPSLLGLQRLSYNGLDLAFAPYDAYWREMRKICVVYLFNSNRVQGFRPIREDEVSRMLENILKVADASKPVNLTEAMMALTSAAICRVAFGKRFEEGGNEAKRFHELLNETQAMFVGFFFSDYFPYIGRIVDKFSGLLSRLEKNFHDFDAFYQELIDEHLDPKRHKPQHEDILDVLLQLWRDRSFKVQLTFEHIKAILMNVFVAGTDTSAAAVIWAMSFLMKNPKTMKKVQDEIRSLIGKRGFVDEDDIQQLPYLKAVVKEMMRLQPTVPLLVPRETVHKCTLGEYEIAEKTLVYVNAWAIGRDPEAWEKPLEFRPERFLDTCIDMKGQDYELIPFGAGRRICPGIFMGIANVELSLANLLYKFDWEMPDGMKREDIDTDNVLPGIAVHKREHLCLMAKKYI >Manes.02G007900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:935434:938425:-1 gene:Manes.02G007900.v8.1 transcript:Manes.02G007900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKRVEATLDVYRPPPSSFAVFDINSIQEPWLSLDNSARENQEKSTHLPAPILEKLNKLESDAPHSWEEVSKALEELNNKNSVVAPVRPSTLNPPAKVTAASANPTPEKTPPPRKSSSFHTLEELDAKLSSKPQKELRKTESLRTELKKPEATKIESRVMVEPATESGNVIKPVKENIFILRDRQEREKEGKMANYDKIKWDPLSDFPEKCPPGGGDSVVIYTTSLRGVRRTYEDCNRLRSLLEVHRVVFDERDVSLHGEFLNEIRELLGEEGSVPRVFVKGRYIGGVEKVVELNESGRLGRILNWARVERGVGRQACEGCGGARFMPCLDCGGSCKVIVDGVKERCGKCNENGLVLCPACL >Manes.05G086500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:7795497:7796147:1 gene:Manes.05G086500.v8.1 transcript:Manes.05G086500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNTNSLLLQALKVAIILILTLTAANSLTAEARTLHHAKESFAVKKVEVAIPEKNGDPKTSSPNPCTNLPVTDPGHCPKN >Manes.04G115100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31789329:31794607:1 gene:Manes.04G115100.v8.1 transcript:Manes.04G115100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGDTVDSVKSIQIRQLLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILISALGLLVITSKD >Manes.05G156200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:26846599:26848263:1 gene:Manes.05G156200.v8.1 transcript:Manes.05G156200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPQQLLIQGYLIWHKYIAVLTPTLGESTEESDIIFLVQKTIVSVELRCSKCRQKVMKLIATIEGITSIVLDPSKKTVTVIGEADPVKIIKKVRKFRKCATILSVGPPKEEKKEDKDSLMKQMIVPCAPKPCQRCDVWYVVDDFYSYCSIM >Manes.02G167000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13093116:13096355:1 gene:Manes.02G167000.v8.1 transcript:Manes.02G167000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTAAKTHSHQVFDVSIDIHAQTGSMCLDDDGRLKRTGNMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMFLFSLVTYYTSTLLSACYRSGDPLTGKRNYTYMDAVRSNLGGAKVKICGFVQYLNLFGVAIGYTIASSISMMAVKRSNCFHKSGGKNPCRMNANPYMIAFGITEIIFSQIPDFDQLWWLSIAAAVMSFTYSTIGLGLGIAKVVENGKFMGSLTGISIDTVSQTQKIWRSFQALGDIAFAYSYSIILIEIQDTVKSPPSESKTMKKATLISVAVTTLFYMLCGCFGYAAFGDMSPGNLLTGFGFYNPYWLLDIANIAIVIHLIGAYQVYCQPLFAFIEKAAARRFPDSDFITKEIKIPIPGSSSYKLNLFRLVWRTAFVILTTLISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISQKKIPKWSTRWICLQILSGACLIITIAAAAGSIAGVVGDLKSVKPFQTSY >Manes.02G167000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13094215:13096355:1 gene:Manes.02G167000.v8.1 transcript:Manes.02G167000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENTAAKTHSHQVFDVSIDIHAQTGSMCLDDDGRLKRTGNMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMFLFSLVTYYTSTLLSACYRSGDPLTGKRNYTYMDAVRSNLGGAKVKICGFVQYLNLFGVAIGYTIASSISMMAVKRSNCFHKSGGKNPCRMNANPYMIAFGITEIIFSQIPDFDQLWWLSIAAAVMSFTYSTIGLGLGIAKVVENGKFMGSLTGISIDTVSQTQKIWRSFQALGDIAFAYSYSIILIEIQDTVKSPPSESKTMKKATLISVAVTTLFYMLCGCFGYAAFGDMSPGNLLTGFGFYNPYWLLDIANIAIVIHLIGAYQVYCQPLFAFIEKAAARRFPDSDFITKEIKIPIPGSSSYKLNLFRLVWRTAFVILTTLISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISQKKIPKWSTRWICLQILSGACLIITIAAAAGSIAGVVGDLKSVKPFQTSY >Manes.07G115000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32034211:32037196:-1 gene:Manes.07G115000.v8.1 transcript:Manes.07G115000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPRRDMFAAERPHFFKIILEETIRDKKLGVPKRFARKYGGFLSNPVVLKVPGGRIWQVEVTKFDGEVWFQNGWQGFLEYYSLVHGSFLVFEYDKSSCHFNVTIFDKSASEIEYPVSVTNGDDKEINDLQEEIQEPKIIEETENDSYVETLDDSVLGRKRKEKALLSSLQPQKMMKVENPTGNTSLHFPGKQVEVDFVGKKQTSDCIAVRKKPLTTQEKTKAVHRASVNFKSDNPFFLIVMQPSYVHPGEKMSIPASFAMKYFPLKHTSDVNLNGLDGRTWSVKFYFNKASNGQPMAKITRGWRVFAEDNCLEVGDVCAFELIMIQGAKATFKVTIFRNKKGDKMISKEEEESNSPGAIAADKGFTSVHPFFKAVISSSYLDTMHVPQNFISNIKQSTER >Manes.10G020900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2063099:2065982:-1 gene:Manes.10G020900.v8.1 transcript:Manes.10G020900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSWLPHFLCFLLFHIHFQASSAAMLCQHDQSLALLQLKISLSMITVSSTSISLYPKTDSWKEGTDCCRWDGVVCDNKTGNVIGLDLSYNDFNNSQSQIPSQLDLSFNNFSDQASSSIENLHELSSLYLSNNNFNGEVPSLLGNLKKLTMLDLSHNNFSGQLSSSFENLQELSSLYLSTNNFNGQVPSLLGNLKKLTVLDLSHNNFNGQIPFSFENLQELSSLYLSTNNFSGQVPSLLGNLNKLTVLDLSSNNFNGQIPSSFENLQRLSSLYLSTNNFSGQISSSLENLTELSILDLSHNNFNALNPSSFENLKKVTSLYLSYNNFSGQIPSSLGSLTQLHMLDLSYNNFTGQIPSFLGNLTNLTALYLNYNNFIGQIPLSLGSLWQLSLFDISFNNLNGQIPSSLENLTQLYYLRMGNNNLSGQIPSSFFEDNQLNYIDLRNNKFQGPIPNSIFKSVNLTALLFSSNKLIGEVPSSICKLKALQIIDLSNNSLNGSIPQCLGNFTTSLSVLHLGMNNFHGTIPEAFSVGSSLRYLNFNGNQLQGTIPSSICNCKGLQFLDLGNNVLNDTFPDFLGTLPGLQVLVLQSNKLHGLVNDSSANYSFSMLRIFDLSKNMFTGPLPSEYFNDFKAMMNFDVKMKYMGEPNNAYDYSVTLTLKGLVIELVKIQTLLTTIDLSSNKFSGMIPQSLGELKSLKLLNLSHNKLTGNIQTSLGELSNLESLDLSWNLLVGRIPMELADLTFLQVFRVSYNRLQGSIPGGKQFNTFDGSSYEGNLGLCGFPLENCDNGERQQPTASPQEDDSESMFGFGWKQVLIGYGCGVIFGIAMGYIVFKTRKPAWFVKMVEGYQKPRRFKK >Manes.10G043400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:4482393:4483266:-1 gene:Manes.10G043400.v8.1 transcript:Manes.10G043400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFSDDQDTISLSDLPLSHQQNESITELHDPQGSDDSHEDFLFEFSEIPSSLETDSFAPDNVIFCGKILICKTEQPPPPSMSSAGLLKENNKVSSNLLTSKSSPPEATKSLIRSSSSGNSRKQRKFLIGVGKIPTKMELNDIRERQKRQAPPPMFRAVVSDEAPVVHRGSGKSRRMGLRTFSCRTHLCSGLQKVSFPCFPLSKTAL >Manes.05G119100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12555853:12588449:1 gene:Manes.05G119100.v8.1 transcript:Manes.05G119100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDKLEDKPFLKKGVLRKDDHSYKTYVYRLDIPVTAQWISLVVAPFEILPDPHVGFISHMCLPSNLAKLQNTVEFFHNAFNHYEEYLDAKFPFGSYTQVFLAPEMAVSSSSLGASVSIFSSQVLYDERVIDQTIDTSIKLAFALAKQWFGVFIVPEEPSDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALTSSASCKDLCGTHCIGIYGKIRSWKSVAILQMLEKQMGPESFRKILQKVVFRARDTIPVRSLSTKEFRHFATKVGNLERPFVKEFFPRWVGSCGCPMLRMGFSYNKRKNMVELAVLRECTAVPDASTSVLNPDSDNRDGDIGWPGMMSIRVYELDGMYDHPVLPLAGEMWQLLEIQCHSKLAARRFQKPKKGSKPDGSDENGDAIPATDIRSSLESPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAIAALEALPQLSFSVVNALNNFLSDSKAFWRVRIEAAFALANSASEENDWSGLLHLVKFYKSRRFDATIGLPKPNDFHDFPEYFVLEAIPQAVATVRAADKKSPREAIEFVLQLLKYNDNTGNPYSDVFWLAALVQSVGQLEFGQQNVIFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLVQIALKLSGSVHLDHVFELIKPFQDIKTIWQIRIEASRALMDLEFHYRGIDAALSLFIRYLKEEYTLRGQAKLAAHAMRLCQIQNGSDPQDDIKSTTLVALLGLLEGHVSFNNIYLRHNLFCILHILAGRAPTLYGVPRDKSLCLGDAETSAEPKNIFAALIPETKPLERPGEIPKLDQDNVANQEAPKEADTISSNLRHEMGHEMDLIIIEDFKEPDTISNNPEQKMDSTIPESLKDADTVSNNQERKMPVVKIRVKKSAASSRAEEADNQTVERSQGRHHETDRGATSSVSVDAPQRNSAEAVSASNQNIEEVNSCLDHGSRMTASIGSAKFASDGDNFGKELQCTADSSKVFVHPQPEDPSSPSVMQDNHVDSGAQKYASLQTLSVGKFEHDGGSSIAAVSPFRGREKEKKKDKEKKRKREDHKGHRDDPEYLERKRLKKEKKLKEKEMAKLLSDEAKASSVDSHIKKQEPNSIKLATVQLKPRESSGSKMVNSNVETKPEPSEGNSAPRFRIKIKNRTLNKS >Manes.05G119100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12553513:12588449:1 gene:Manes.05G119100.v8.1 transcript:Manes.05G119100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVKLVRINYWVEKAETGIHFNDNVLHTDNQIRRARCWFPCIDADSQRCCYDLEFTVARHLVAVSTGNLLYQVLRKDDHSYKTYVYRLDIPVTAQWISLVVAPFEILPDPHVGFISHMCLPSNLAKLQNTVEFFHNAFNHYEEYLDAKFPFGSYTQVFLAPEMAVSSSSLGASVSIFSSQVLYDERVIDQTIDTSIKLAFALAKQWFGVFIVPEEPSDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALTSSASCKDLCGTHCIGIYGKIRSWKSVAILQMLEKQMGPESFRKILQKVVFRARDTIPVRSLSTKEFRHFATKVGNLERPFVKEFFPRWVGSCGCPMLRMGFSYNKRKNMVELAVLRECTAVPDASTSVLNPDSDNRDGDIGWPGMMSIRVYELDGMYDHPVLPLAGEMWQLLEIQCHSKLAARRFQKPKKGSKPDGSDENGDAIPATDIRSSLESPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAIAALEALPQLSFSVVNALNNFLSDSKAFWRVRIEAAFALANSASEENDWSGLLHLVKFYKSRRFDATIGLPKPNDFHDFPEYFVLEAIPQAVATVRAADKKSPREAIEFVLQLLKYNDNTGNPYSDVFWLAALVQSVGQLEFGQQNVIFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLVQIALKLSGSVHLDHVFELIKPFQDIKTIWQIRIEASRALMDLEFHYRGIDAALSLFIRYLKEEYTLRGQAKLAAHAMRLCQIQNGSDPQDDIKSTTLVALLGLLEGHVSFNNIYLRHNLFCILHILAGRAPTLYGVPRDKSLCLGDAETSAEPKNIFAALIPETKPLERPGEIPKLDQDNVANQEAPKEADTISSNLRHEMGHEMDLIIIEDFKEPDTISNNPEQKMDSTIPESLKDADTVSNNQERKMPVVKIRVKKSAASSRAEEADNQTVERSQGRHHETDRGATSSVSVDAPQRNSAEAVSASNQNIEEVNSCLDHGSRMTASIGSAKFASDGDNFGKELQCTADSSKVFVHPQPEDPSSPSVMQDNHVDSGAQKYASLQTLSVGKFEHDGGSSIAAVSPFRGREKEKKKDKEKKRKREDHKGHRDDPEYLERKRLKKEKKLKEKEMAKLLSDEAKASSVDSHIKKQEPNSIKLATVQLKPRESSGSKMVNSNVETKPEPSEGNSAPRFRIKIKNRTLNKS >Manes.05G119100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12553513:12588449:1 gene:Manes.05G119100.v8.1 transcript:Manes.05G119100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKPKIDDSKPDNSGAVVRHQKLCLSIEMDKRQIYGYTELELAVPDIGFVGLHAENLGIENVFVDGEPTEFEYYPRQQNAVESEKRWSCVSTPSSAADTAASAYISALEKELVPNLLINCCKTFRPSNEQQDQVNLDNGEAKQNVKLVRINYWVEKAETGIHFNDNVLHTDNQIRRARCWFPCIDADSQRCCYDLEFTVARHLVAVSTGNLLYQVLRKDDHSYKTYVYRLDIPVTAQWISLVVAPFEILPDPHVGFISHMCLPSNLAKLQNTVEFFHNAFNHYEEYLDAKFPFGSYTQVFLAPEMAVSSSSLGASVSIFSSQVLYDERVIDQTIDTSIKLAFALAKQWFGVFIVPEEPSDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALTSSASCKDLCGTHCIGIYGKIRSWKSVAILQMLEKQMGPESFRKILQKVVFRARDTIPVRSLSTKEFRHFATKVGNLERPFVKEFFPRWVGSCGCPMLRMGFSYNKRKNMVELAVLRECTAVPDASTSVLNPDSDNRDGDIGWPGMMSIRVYELDGMYDHPVLPLAGEMWQLLEIQCHSKLAARRFQKPKKGSKPDGSDENGDAIPATDIRSSLESPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAIAALEALPQLSFSVVNALNNFLSDSKAFWRVRIEAAFALANSASEENDWSGLLHLVKFYKSRRFDATIGLPKPNDFHDFPEYFVLEAIPQAVATVRAADKKSPREAIEFVLQLLKYNDNTGNPYSDVFWLAALVQSVGQLEFGQQNVIFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLVQIALKLSGSVHLDHVFELIKPFQDIKTIWQIRIEASRALMDLEFHYRGIDAALSLFIRYLKEEYTLRGQAKLAAHAMRLCQIQNGSDPQDDIKSTTLVALLGLLEGHVSFNNIYLRHNLFCILHILAGRAPTLYGVPRDKSLCLGDAETSAEPKNIFAALIPETKPLERPGEIPKLDQDNVANQEAPKEADTISSNLRHEMGHEMDLIIIEDFKEPDTISNNPEQKMDSTIPESLKDADTVSNNQERKMPVVKIRVKKSAASSRAEEADNQTVERSQGRHHETDRGATSSVSVDAPQRNSAEAVSASNQNIEEVNSCLDHGSRMTASIGSAKFASDGDNFGKELQCTADSSKVFVHPQPEDPSSPSVMQDNHVDSGAQKYASLQTLSVGKFEHDGGSSIAAVSPFRGREKEKKKDKEKKRKREDHKGHRDDPEYLERKRLKKEKKLKEKEMAKLLSDEAKASSVDSHIKKQEPNSIKLATVQLKPRESSGSKMVNSNVETKPEPSEGNSAPRFRIKIKNRTLNKS >Manes.05G119100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12554785:12588449:1 gene:Manes.05G119100.v8.1 transcript:Manes.05G119100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSINMNLGYFLIVECSYNSHYEEYLDAKFPFGSYTQVFLAPEMAVSSSSLGASVSIFSSQVLYDERVIDQTIDTSIKLAFALAKQWFGVFIVPEEPSDEWLLDGLAGFLTDLFIKKFLGNNEARYRRYKANCAVCKADDSGATALTSSASCKDLCGTHCIGIYGKIRSWKSVAILQMLEKQMGPESFRKILQKVVFRARDTIPVRSLSTKEFRHFATKVGNLERPFVKEFFPRWVGSCGCPMLRMGFSYNKRKNMVELAVLRECTAVPDASTSVLNPDSDNRDGDIGWPGMMSIRVYELDGMYDHPVLPLAGEMWQLLEIQCHSKLAARRFQKPKKGSKPDGSDENGDAIPATDIRSSLESPLLWIRADPEMEYLAEIHFNQPVQMWINQLEKDEDVVAQAQAIAALEALPQLSFSVVNALNNFLSDSKAFWRVRIEAAFALANSASEENDWSGLLHLVKFYKSRRFDATIGLPKPNDFHDFPEYFVLEAIPQAVATVRAADKKSPREAIEFVLQLLKYNDNTGNPYSDVFWLAALVQSVGQLEFGQQNVIFLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLVQIALKLSGSVHLDHVFELIKPFQDIKTIWQIRIEASRALMDLEFHYRGIDAALSLFIRYLKEEYTLRGQAKLAAHAMRLCQIQNGSDPQDDIKSTTLVALLGLLEGHVSFNNIYLRHNLFCILHILAGRAPTLYGVPRDKSLCLGDAETSAEPKNIFAALIPETKPLERPGEIPKLDQDNVANQEAPKEADTISSNLRHEMGHEMDLIIIEDFKEPDTISNNPEQKMDSTIPESLKDADTVSNNQERKMPVVKIRVKKSAASSRAEEADNQTVERSQGRHHETDRGATSSVSVDAPQRNSAEAVSASNQNIEEVNSCLDHGSRMTASIGSAKFASDGDNFGKELQCTADSSKVFVHPQPEDPSSPSVMQDNHVDSGAQKYASLQTLSVGKFEHDGGSSIAAVSPFRGREKEKKKDKEKKRKREDHKGHRDDPEYLERKRLKKEKKLKEKEMAKLLSDEAKASSVDSHIKKQEPNSIKLATVQLKPRESSGSKMVNSNVETKPEPSEGNSAPRFRIKIKNRTLNKS >Manes.07G090900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28378621:28380285:-1 gene:Manes.07G090900.v8.1 transcript:Manes.07G090900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRFAGSLSTPKVDVAIDMGNHFLNLTVDGFLKIGTVAATRVLAEDAYYVVKRGNISTRNFDQTLKKMCKEGALWGTVAGVYVGMEYGMERVRGTRDWKNAMFGGALTGALISAACNKNKDKIFTDALTGGAIATAATFLNYLT >Manes.18G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4388277:4390102:-1 gene:Manes.18G051300.v8.1 transcript:Manes.18G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKAYIEKHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKDHQARRASGIKQEMKRGNANPMVSADNKNNQNPYWPELPLLAPIPFSNQEPRFNDHASIRKLLIKLGGRFSEDDQLIRNATSTQFPNGVSYAQQLYDQPINNVSSSASMDTSNDTAVQFAQAHYNIEGARLQMVQGESNFPAVGIEEMAYNNPQRLDGLEFLLSDDMLNDRIGTTSGESVVGSMVEMSSLVYPPMASNCEGIQQGLLQECSLEELRYPGIL >Manes.05G021300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1898590:1903284:-1 gene:Manes.05G021300.v8.1 transcript:Manes.05G021300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTLRDLLQLEEVNNQVAPLPALLTLQTAGSLASGGLTNKEAPKEKQSLSPVKDVKPEHSRVTSTDASTNEEAYASEILLHLKQSDISNSQIDSQVPEKYLEKLDSKSSETFSDFIVLEGSNINEKPIVSEETEGSNSIVEPLYSSDFENLKTTCKSFSSGKEPSKSGEISADFAKKSTLEMTEKPLGSLEQEGSKFFEKNPDSSGKGEASKSEECSAACALEMMGGRQQEKVKKTVRMQSIDMVSDNVHVAKLTTLKPCPSVGTNLEVFDLNMSPGIRSNSVGHQVSAESGAMVEEAWERLNKSYVYFKGKPVGTLAAVDPSAEALNYNQVFVRDFVPSGLACLMKHPPEPEIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASYKVLFDTHRQKDILVADFGGSAIGRVAPVDSGFWWIILLRSYTKSTRDYALAELPEVQRGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFHFALRCARQMLKPERDGKELLERIDKRVTALSYHIQKYYWLDFTQLNNIYRYKTEEYSRTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLVTPAQATAIMDLVEERWEDLIGEMPLKVTYPALEGHEWRIVTGCDPKNTRWSYHNGGSWPGYIEIILDIWRTWLHAVLLWLLAAACIKVGRPQIAKRAVELVEQRLSKDGWPEYYDGKTGRYVGKQARKYQTWSIAGYLVAKMMIENPSNLLIISLEEDKKIAKPRIARSASF >Manes.05G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1898543:1905488:-1 gene:Manes.05G021300.v8.1 transcript:Manes.05G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKPLGSLEQEGSKFFEKNPDSSGKGEASKSEECSAACALEMMGGRQQEKVKKTVRMQSIDMVSDNVHVAKLTTLKPCPSVGTNLEVFDLNMSPGIRSNSVGHQVSAESGAMVEEAWERLNKSYVYFKGKPVGTLAAVDPSAEALNYNQVFVRDFVPSGLACLMKHPPEPEIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASYKVLFDTHRQKDILVADFGGSAIGRVAPVDSGFWWIILLRSYTKSTRDYALAELPEVQRGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFHFALRCARQMLKPERDGKELLERIDKRVTALSYHIQKYYWLDFTQLNNIYRYKTEEYSRTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLVTPAQATAIMDLVEERWEDLIGEMPLKVTYPALEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLAAACIKVGRPQIAKRAVELVEQRLSKDGWPEYYDGKTGRYVGKQARKYQTWSIAGYLVAKMMIENPSNLLIISLEEDKKIAKPRIARSASF >Manes.05G021300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1898590:1903293:-1 gene:Manes.05G021300.v8.1 transcript:Manes.05G021300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTLRDLLQLEEVNNQVAPLPALLTLQTAGSLASGGLTNKEAPKEKQSLSPVKDVKPEHSRVTSTDASTNEEAYASEILLHLKQSDISNSQIDSQVPEKYLEKLDSKSSETFSDFIVLEGSNINEKPIVSEETEGSNSIVEPLYSSDFENLKTTCKSFSSGKEPSKSGEISADFAKKSTLEMTEKPLGSLEQEGSKFFEKNPDSSGKGEASKSEECSAACALEMMGGRQQEKVKKTVRMQSIDMVSDNVHVAKLTTLKPCPSVGTNLEVFDLNMSPGIRSNSVGHQVSAESGAMVEEAWERLNKSYVYFKGKPVGTLAAVDPSAEALNYNQVFVRDFVPSGLACLMKHPPEPEIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASYKVLFDTHRQKDILVADFGGSAIGRVAPVDSGFWWIILLRSYTKSTRDYALAELPEVQRGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFHFALRCARQMLKPERDGKELLERIDKRVTALSYHIQKYYWLDFTQLNNIYRYKTEEYSRTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLVTPAQATAIMDLVEERWEDLIGEMPLKVTYPALEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLAAACIKVGRPQIAKRAVELVEQRLSKDGWPEYYDGKTGRYVGKQARKYQTWSIAGYLVAKMMIENPSNLLIISLEEDKKIAKPRIARSASF >Manes.05G021300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1898590:1903284:-1 gene:Manes.05G021300.v8.1 transcript:Manes.05G021300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTLRDLLQLEEVNNQVAPLPALLTLQTAGSLASGGLTNKEAPKEKQSLSPVKDVKPEHSRVTSTDASTNEEAYASEILLHLKQSDISNSQIDSQVPEKYLEKLDSKSSETFSDFIVLEGSNINEKPIVSEETEGSNSIVEPLYSSDFENLKTTCKSFSSGKEPSKSGEISADFAKKSTLEMTEKPLGSLEQEGSKFFEKNPDSSGKGEASKSEECSAACALEMMGGRQQEKVKKTVRMQSIDMVSDNVHVAKLTTLKPCPSVGTNLEVFDLNMSPGIRSNSVGHQVSAESGAMVEEAWERLNKSYVYFKGKPVGTLAAVDPSAEALNYNQVFVRDFVPSGLACLMKHPPEPEIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASYKVLFDTHRQKDILVADFGGSAIGRVAPVDSGFWWIILLRSYTKSTRDYALAELPEVQRGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMALFHFALRCARQMLKPERDGKELLERIDKRVTALSYHIQKYYWLDFTQLNNIYRYKTEEYSRTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLVTPAQATAIMDLVEERWEDLIGEMPLKVTYPALEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLAAACIKVGRPQIAKRAVELVEQRLSKDGWPEYYDGKTGRYVGKQPRIARSASF >Manes.05G021300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1898543:1905488:-1 gene:Manes.05G021300.v8.1 transcript:Manes.05G021300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTLRDLLQLEEVNNQVAPLPALLTLQTAGSLASGGLTNKEAPKEKQSLSPVKDVKPEHSRVTSTDASTNEEAYASEILLHLKQSDISNSQIDSQVPEKYLEKLDSKSSETFSDFIVLEGSNINEKPIVSEETEGSNSIVEPLYSSDFENLKTTCKSFSSGKEPSKSGEISADFAKKSTLEMTEKPLGSLEQEGSKFFEKNPDSSGKGEASKSEECSAACALEMMGGRQQEKVKKTVRMQSIDMVSDNVHVAKLTTLKPCPSVGTNLEVFDLNMSPGIRSNSVGHQVSAESGAMVEEAWERLNKSYVYFKGKPVGTLAAVDPSAEALNYNQVFVRDFVPSGLACLMKHPPEPEIVKNFLLKTLHLQGWEKRIDNFTLGEGVMPASYKVLFDTHRQKDILVADFGGSAIGRVAPVDSGFWWIILLRSYTKSTRDYALAELPEVQRGMKLILNLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFHFALRCARQMLKPERDGKELLERIDKRVTALSYHIQKYYWLDFTQLNNIYRYKTEEYSRTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCIAILSSLVTPAQATAIMDLVEERWEDLIGEMPLKVTYPALEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLAAACIKVGRPQIAKRAVELVEQRLSKDGWPEYYDGKTGRYVGKQARKYQTWSIAGYLVAKMMIENPSNLLIISLEEDKKIAKPRIARSASF >Manes.S028152.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2338800:2340922:-1 gene:Manes.S028152.v8.1 transcript:Manes.S028152.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRPPQSTLPWCRWRTSLRFYLPWRITIHSMIPHTSLHKPFDIHHHVMPKELTSKHCHHLPYTWTATNHEVMTPPHDLFPQLAPSRHKDAATPCFNEPLINHELPCYTVASQLHQLFGLPITHRCLLDAPQQGRLWTHRVDSHPYPLFLVIMPFTFPRWQ >Manes.03G158000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28612920:28614052:-1 gene:Manes.03G158000.v8.1 transcript:Manes.03G158000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQQASIGNVNKWWRKRAKVLPLNQFQPPHLRQRVIEMEEISETAKAYYANLSEKQKRLATKLFKDLDTDGDGKINFDEYMQNIKQKGFKTMASSDFFKKLDKDGNGSLDFDEFITVHYICTSERVFFCDECRVYLAGVYFTCVQCFDGPGNTYDLCCNCYRDKNVNHHKDALFLDNYTLLQARRNQRNKGQGKKGEAAEMIGVAGASIETTSNLVNLCSQM >Manes.05G050350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:4191645:4191846:-1 gene:Manes.05G050350.v8.1 transcript:Manes.05G050350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSRALYKLCVLLLFILFSCKLVGPMAHCSSSPTSPPQNSLAYFLHVRQRKTKVVAQ >Manes.02G223900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37236288:37274153:1 gene:Manes.02G223900.v8.1 transcript:Manes.02G223900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSGTTLMDLITADPSASTSGSTGLPPSSASASANSASQQPTTGSVTTTSSSSSSGKTILGEKKSKRATLMQIQSDTISAAKAALNPMKTNIMPQKQKKKPVSYSQLARSIHELAATADQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLNQQCEDRTILRYVYYYLARILSDTGAQGLGSGGGIPTPNWDALADIDAVGGVTRADVVPRIVEQLSAEASNADIEFHSRRLQALKALTYASASNTDILSRLYEIVFGILDKVADAPQKRKKGVFGTKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGVSFSDPVAVRHALEIISELATKDPYAVAMSLGKLVLPGGALQDVLHLHDVLARVSLARLCHTISRARALDERPDIKSQFNAVLYQLLLDPSERVCFEAILCVLGKCDNNERTEERAAGWYRLTREILKLPEAPSLSSKGSSAESNDSSKASKDKTQKTRRPQPLIKLVMRRLESAFRSFSRPVLHAAARVVQEMGKSRAAAFAVGLQDIDEGVNVNAYSEAVDDSDFNENPYANGVRRTASVSSGTGGKDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQVPHESFDELGSIIASELSDPAWPATLLNDILLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSRLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALTTMAIRSGEPFRLQIYEFLNALAHGGVQSQLSDIHLSNGEDQGASGTGLGVLISPMIKVLDEMYRAQDELIKDIRNHDNTNKEWTDEELKKLYETHERLLDLVSLFCYVPRAKYLPLGPISAKLIDIYRTKHNISASTGLSDPAVATGISDLIYESKPPPVESDALDDDLVNAWAANLGDDGLLGNSAPAMNRVNEFLAGIGTDAPDVEEENIISRPSVSYDDMWAKTLLEPSELEEDDGRSSGSSSPDSTGSVGTSISSHFGGMSYPSLFSSRPTNFGASQTSERSGGRKYSGGSSIYETGSPIREEPPSYTSSVMQRYESFENPLAGRGSQSFESEDEDRLSSGNPQSGKALYDFTAGGDDELNLTAGEEVEIEYEVDGWYHVRKKRPGRDGKMAGLVPVLYVNKS >Manes.S030816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:723715:724644:1 gene:Manes.S030816.v8.1 transcript:Manes.S030816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVTQDWVICAPAAFLVSRTEGDTPTISPKLCGRRPMGVAKRPKELNCPRNEGTGQSVEKNSRRAAGLANSANGAILPAVGVRGSGLAHRQLARRGRPTGANWACGVCRSCGACRSCRTHRGCGALWQRARGTPTGAGRCGARNGGATRRTRRHSCGARGAGAQGRRVLGAQAGAREDRAIAGWPTEQIGPQRWLACGARQSEVRICQRREGSGTCWKAREGSRSIGGAREGARRVWLANENSRGVWLAYENSRRHQNGPVAPRTGWNSPEGSRNLQGQQISVQQQGVHELVGQLNPEASQGCEITI >Manes.17G015158.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:7917657:7919118:1 gene:Manes.17G015158.v8.1 transcript:Manes.17G015158.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVHVCAAYLVLLFAFPSGAQPNTFDVTKYGAKEGSDITKALLSAWKGACGAAGSGKVVIPKGKYSLGVVDLLGPCKGAMHLQVEGTLVAPAKASQHRKNSWVTLRYLDRLTVSGGGAFDGQGEIAWQRESCGGGCKKALPVNLRFDFVTNSIVEDVTSIDSKQFHVNLLGSKNLTFQRFSVKAPGHSPNTDGIHIGRSEEINIIDSNIMTGDDCISIGRGSRQVRITNVRCGHGHGISIGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALHGGSVSNIQFEDIVMQNVSNPIIIDQMYCPHNECNRKMPSKFKISDVIFKNIRGSSRTPTAVQLTCSSSVPCKNVELSNVNLQYTGSKGPAKSICTNVKPKIIGKLIPRGC >Manes.09G109000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31092825:31095703:-1 gene:Manes.09G109000.v8.1 transcript:Manes.09G109000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISKAYSEVTPAVPSWLNKGDNAWQMTASTLVAIQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDQLLPFWGKGAPALGQKYLAARANVPESTHENENGESETVEPYYAMATLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYQWGAIDYSGGYVIHLSSGIAGLTAAYWVGPRIKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDASIAILNTNISAATSLLVWTSLDVVFFGKPSVIGAVQGMMTGLACITPGAGLVQSWAAIIMGMLSGSIPWVSMMVLHKKSTLLQKVDDTLGVFHTHAVAGLLGGLLTGLLAEPDLCELVLPKKTRGAFYGGNGGMQFLKQMAAASFVIAWNIVSTTIILLAIRLFIPLRMPEEQLEIGDDAVHGEEAYALWGDGEKYDPTRHGWNTSMHGVEVTPSPYGKGARGVTINL >Manes.04G124700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:32514947:32518149:1 gene:Manes.04G124700.v8.1 transcript:Manes.04G124700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWPEFLASSWGREFVAGGFGGIAGIVSGYPLDTLRIRQQQNSNSGSASSILRRIIAAEGPGALYRGMAAPLASVTFQNAMVFQIYAILSRAFGSSISVTDPPSYKGVALGGLCTGALQSLMLSPVELVKIRIQLQDKSHAELHQPDCHRGPVSVAKSIIRTEGLRGIYRGFSITVLRDAPSHGFYFWTYEYIREQLHPGCRKKGQESLQTMLVAGGLAGVASWVCCYPLDVIKTRLQAQTSSSPQKYDGILDCFSRSVKEEGYRVLLRGLGTAVTRAYVVNGAIFSAYEITLRCLFNNGSIQTENAI >Manes.17G081600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28655155:28658314:1 gene:Manes.17G081600.v8.1 transcript:Manes.17G081600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKFLFLGLRPVVPLQSNFYWKSRIPLTLIAHSNRKAHYRKKLPKNLRSPRRYKLPPDFGVNLFLKKPTTGGDPSQTDPVLDDNGYLSQEEEEEIAGHNDDIVWEADEIEAISSLFQGRIPQKPGKLNRERPLPLSLPYKLRPLGLPTPKRHIKNVSPWVVSSRDTICKRVYKNPTFLVSLAKEIKRLNPDDDVSVVLNDCARFLRKGSLSLTIRELGHMSLPERALQTFCWAQKQPHLFPDDRILASTVEVLARNQDLKFPFDFQKFTSFASRGVIEAMIRGFIRGRSLKLAWNLLSVATQDKRMLDPNIYAKLILEFGKNPDKHMLVEQLLYELGERENLNLSHQDCTAIMKVLVRLKKFELANSLFHWFKLSGREPSVVMYTTLIHSYYSENKFRQALTVVWEMEGSNCLFDLPAYRVVIKLFVALNDLSRAVRYFSKLKEAGFSPTYDIYRDLITVYMVYGRLAKCKEIWNEAEMAGFKLDEKMKSELLQLERETMLNPLS >Manes.13G138000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:34617351:34624864:1 gene:Manes.13G138000.v8.1 transcript:Manes.13G138000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDMKGISWVGNVYQKFEAMCLEVEENIYQDTVKYVENQVQTVGSTVKKFYSDVMQDLLPPSSVDATKDGVYDLPLELYADVGIYMKPKVAMKEKHTKVDNIEKLTEDPKMIIHNKFSGHSPTFRRLNHVDSSFPLSQGDSPEGDPGQYGKGSLSNKPHMGTKKIRKRVSLNEKSGNISPLDKDLTRASSFYEPSNENLGDCAEEASNRYGEGRLSNKSNLGGANKNSKRENMLPSERSGIITPLDKDLTGGSSVCKFSNENHKASSDQNDKIATLGSVEVTGHESIEESKIKTENASEQMPDIQTDASDIVNLKESGMSEETDMRTSSCCSSLQEANAADVCMNDGLVSLEVSYANGRVQRSKIACEEDFASDSEIEIVQPVHKAKLEESCVMVNRDELRFVPQNGVKSKSYRKKIQDVFLPRKRSVRKKEQLPIWPGNDSNPNQEESIKNSMPSLIINDARRSPTADCCESEWELL >Manes.09G100900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29903578:29905936:1 gene:Manes.09G100900.v8.1 transcript:Manes.09G100900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRQGENVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYCGKRMAYIYKAKVKKNGTHYRCIWGKVSRPHGNSGVVRAKFKSNLPPRSMVSCICFFAL >Manes.09G100900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29903578:29905936:1 gene:Manes.09G100900.v8.1 transcript:Manes.09G100900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRQGENVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYCGKRMAYIYKAKVKKNGTHYRCIWGKVSRPHGNSGVVRAKFKSNLPPRSMGDRVRVFMYPSSI >Manes.08G159600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39580912:39583645:1 gene:Manes.08G159600.v8.1 transcript:Manes.08G159600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSAPTTNRIRGILGVGFWVQGFRCFPWMAVYFFLKDGLHVDPSTLQLLQNSANLPMVGKPLYGLVSDAVYISGQHRIPYIVFGAFLQAVSWLAIAILPPSALSIFCISVFLLLSNLGASIAEVANDAIVAEVGKQPTTSSKKSQSSSSGELQSFVWIASSAGGVLGNLLGGIAITRCSPQVTFLFFGVVLTLQLFITIAVRESSLNLPKSTSNAGIMKQLSQLSVALKKPEVAYSIAWFAASYAVIPALTGTMFFYQTRYLKIDSSLLGISKVFGQAAMVLWSIIYNHHLKTVPARKLIAAIQATMAVFMASDVLFVRGFYRNMGVPDALYVIIFSGLLEVMFFFKILPFNILIAQLCPPGCEGSLMALVASAIALALIVSGYFGVALASYIGVTGDDFSGFPRALLIQAVCTLLPIYWSSCVPDDKESTARKKNL >Manes.04G101000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30544843:30548556:-1 gene:Manes.04G101000.v8.1 transcript:Manes.04G101000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQLMSAKKSSLELQAEDFMEDQLSPLHKRSKLDSSLQMMPAASLCNALDLPCPLGLRLEKSSSLLDLIQMKLSEGHNTKKDHKASGRVSADKLKASIFSASLLRIGGWEYKSRYEGDLVAKCYFAKRKLVWEVLDGGLKNKIEIQWSHIVAIKADFPDDGPETLDVVLARQPLFFRETEPQPKKHTLWQETSDFTGGQASIHRGHFLQCKRGFLGKHFEKIIQFDPHLNFLSQQSEILLESPYFEQSIPPFSELNESSKAFDLKVKKRPALFALGQAASPYRFHSSSMNDENQVFNVSTSESTSQENPSPITVMNTDATEATEATISLKTERLPSYWDQIKVPGFQPFMSTSGPENHLEQCISEQMTPCNPRISHDNAKNSDILEEITEFLLSDSQLVSASDEQHLMPRVDSLCCLLERDLASAGNLQTKSFIDLEVDDDGSIGENKSISRAACLDKFVESFPVPKDLSDSISSCEQAPTTSSKDSFGELLLDLPRIDSLPKLFYNT >Manes.02G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3255563:3259210:1 gene:Manes.02G039500.v8.1 transcript:Manes.02G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNSFMFLHFYFVLTLFLLHAPFLVTSSIYRYRFNSVNATVSNNSTKQHHKWVGPVGHRVITVDVNGSGEFRSVQTAVDSVKENNTQNVLILISAGYYIEKVVVPVTKPYITFQGAGRDVTFIEWHDRASDRGPNGQQLRTYRTASVTVFASYFSARNISFKNTAPAPMPGMQGWQAAAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIATRFGSIAAQNRNSPDEKTGFAFVRCRVTGTGPLYVGRAMGQYSRIVYSYTYFDDLVAHGGWDDWDHVSNKNKTAFFGVYKCWGPGAAKVRGVSWARELDYASAHKFLAKSFVNGRHWIAPSDA >Manes.01G039240.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8071014:8074145:-1 gene:Manes.01G039240.v8.1 transcript:Manes.01G039240.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLLNNSNSLPSWVGDDCCRWDGVTCDDINGHVVELVLSWASIMGNISLHLGNLSNLQYLDLSMKPSLAIHSLHFPSSLKYLNLSYVLLDKCDNWLQSINMLPSLLELDLWNCELSIIADVSHVNFTSLEVLNLGWNNFHSTIPRWLYNITKLQNLVLHSGPFRGSLSTDISNLKSLASLDADFNSLEGNIPNTLNRLCNLIELYLGYNKFSGEISGTFGNSSGCIKNSLENLYLLNNSFSGSIPDNLGQFKRLKFLSLSKNSFWGSIPVSIGQLYNLETLNFSKNSLHGKVSELHLLNLRSLIELSMGGNSLVFDIDPEWIPPFQLSSIDLSSCEVGPSFPQWLRTQKSIRFLEMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNITYANEYRIILLKSNQFDGFLTCSHFDATILDISNNLLHGQIPQNLSEMMPSLQLLSLSNNYLNGTVPATLCRSGSLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDFSMNAFDGFIPSWIASYGENVQVYVKGIELEYTRTLRFLYSIDLSGNNFVGEIPQELMNLSGLQILNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSLNHLSGRIPKGNQLQTLDDKSIYIGNDGLCGPPLNNCSDDADELPKGHEKGGTRRKDDSEIVWFYGGMGMGFAAGFVGVCSILYFNDSRRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.04G102000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30688258:30688821:1 gene:Manes.04G102000.v8.1 transcript:Manes.04G102000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHHHRPQDHNSTAQERPSTGTCRVPCPSASRSFWFPSDSPPPSPPPSSRPCHIPHNPFAVHNYRVGPVPLTEEQVRQVFMKFDLNGDNVLSGEEIRQAFKHLGAVYPAQMARKGIEHADINGDGVIDMSEMEDFVRYAYNLGFVVR >Manes.03G096700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16180203:16198619:1 gene:Manes.03G096700.v8.1 transcript:Manes.03G096700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQTLGGSSRCGRVLGPSLDKIVKNAAWRKHSHLVSACKSALDKLDYIADSNSHSSLFGVSPSDAEFLLQPLLLALDSAYAKVVEPALECAFKLFSLGLLCGEIDPNVSVNTVLFKLFENVCKVCGIGEETIELSVLRVLLAAVRSPCILIRGECLLNLVRTCYNVYLGGVNGTNQICAKSVLAQIMLIIFTRVEEDSLDVSVKTVSVSELLEFADKSLNEGSSIYFCQNFVNEVMGASEGLPDAKLLLHSTSIVLQNGSGDGPGPGPAGDAKVTDGAELGDREANDGAEFVGTGAGGSKIREDGFLLFRNLCKLSMKFSSQENPDDQILLRGKILSLELLKVVMDNGGLIWRNNERFLNAVKQYLCLSLLKNSALSVMVIFQLQCSIFMILLSKFRSGLKAEIGIFFPMLILRVLENVNQPSFLQKMIVLNFLEKIAQDSQIIVDIFVNYDCDVDAPNVYERIVNGLLKTALGPPPGSTTTLSSAQDITFRHESVKCLVGIIKSMGAWMDQQLIIEESYVPKSSESDVSTENHSNPSGEDASSPDYDLHAEANSEISDTASFEQRRAYKIELQKGISLFNRKPSKGIEFLINTKKIGASPEEVAAFLKNATGLNETMIGDYLGEREEFCLRVMHAYVDSFNFKMMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVILLNTDAHNSMVKNKMTKADFIRNNRGIDDGKDLPEEYLSTLYDKIIKNEIKMNADSSAPQNKQANSLNKLLGLDGILNLVTWKQTEEKPLGANGLLIRHIQEQFKAKSGKSESVYHIVTDAAILRFMVEACWGPMLAAFSMTLDQTDDKLATSLCLQGFRYAVHVTAVMGMQTQRDAFVTSMAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNYLQEAWEHILTCLSRIEHLQLLGEGAPPDASFLTSSNVEADDKVLKSMGYPSLKKKGTLQNPAVMAVVRGGSYDSTTVGVNFPGPVTAEQISHFISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSMAELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIIMQKSSSAEIRELIVRCISQMVLSRVNNVKSGWKSVFMVLTAAAADERKNIVLLAFETMEKIVREYFPYITETEATTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCAVKLADGGLVWNEKSRVNDASTPLVNEVASDIQAFRDKDDHASFWIPLLIGLSRLTSDPRSAIRRSALEVLFNILNDHGHLFSQSFWIDVFNSVILPIFSSVCDKKENFIQDGQHSPTSVSQHQEGNAWDPETSAVAAQYLVDLFVSFFNTVSSQLPSVVSVLTGFIRSPIQGPASSGVAALLRLSGQLGCRLSEDQWGEIFLALKESAASTLPGFVKVLRSMDNLEMPDTSESYVDVDVSSDHGFTNEDLEDDNLQTAAYVVSRVKSHIAVQLLIIQVVTDMCKGHMQYVSAANVRILLDMFSSVASHSHQLNSDIILLKKLEKACSILELSDPPMVHFENESYQNYLNFLHDLLVDNPSVSKEMNIELQLVKVCEEILQIYLNCTGSQSTHQKPVNKQAVHWILPLGSAKKEELAARTTLLVSALHVLSDLERDSFRRCVPQFFPLLVDLVKSEHSSGEVQHILSNIFKSCIGPIIMG >Manes.03G096700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16180203:16198619:1 gene:Manes.03G096700.v8.1 transcript:Manes.03G096700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMWMLQTYMKDICRIVNGLLKTALGPPPGSTTTLSSAQDITFRHESVKCLVGIIKSMGAWMDQQLIIEESYVPKSSESDVSTENHSNPSGEDASSPDYDLHAEANSEISDTASFEQRRAYKIELQKGISLFNRKPSKGIEFLINTKKIGASPEEVAAFLKNATGLNETMIGDYLGEREEFCLRVMHAYVDSFNFKMMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVILLNTDAHNSMVKNKMTKADFIRNNRGIDDGKDLPEEYLSTLYDKIIKNEIKMNADSSAPQNKQANSLNKLLGLDGILNLVTWKQTEEKPLGANGLLIRHIQEQFKAKSGKSESVYHIVTDAAILRFMVEACWGPMLAAFSMTLDQTDDKLATSLCLQGFRYAVHVTAVMGMQTQRDAFVTSMAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNYLQEAWEHILTCLSRIEHLQLLGEGAPPDASFLTSSNVEADDKVLKSMGYPSLKKKGTLQNPAVMAVVRGGSYDSTTVGVNFPGPVTAEQISHFISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSMAELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIIMQKSSSAEIRELIVRCISQMVLSRVNNVKSGWKSVFMVLTAAAADERKNIVLLAFETMEKIVREYFPYITETEATTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCAVKLADGGLVWNEKSRVNDASTPLVNEVASDIQAFRDKDDHASFWIPLLIGLSRLTSDPRSAIRRSALEVLFNILNDHGHLFSQSFWIDVFNSVILPIFSSVCDKKENFIQDGQHSPTSVSQHQEGNAWDPETSAVAAQYLVDLFVSFFNTVSSQLPSVVSVLTGFIRSPIQGPASSGVAALLRLSGQLGCRLSEDQWGEIFLALKESAASTLPGFVKVLRSMDNLEMPDTSESYVDVDVSSDHGFTNEDLEDDNLQTAAYVVSRVKSHIAVQLLIIQVVTDMCKGHMQYVSAANVRILLDMFSSVASHSHQLNSDIILLKKLEKACSILELSDPPMVHFENESYQNYLNFLHDLLVDNPSVSKEMNIELQLVKVCEEILQIYLNCTGSQSTHQKPVNKQAVHWILPLGSAKKEELAARTTLLVSALHVLSDLERDSFRRCVPQFFPLLVDLVKSEHSSGEVQHILSNIFKSCIGPIIMG >Manes.03G096700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16182761:16198619:1 gene:Manes.03G096700.v8.1 transcript:Manes.03G096700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFQLQCSIFMILLSKFRSGLKAEIGIFFPMLILRVLENVNQPSFLQKMIVLNFLEKIAQDSQIIVDIFVNYDCDVDAPNVYERIVNGLLKTALGPPPGSTTTLSSAQDITFRHESVKCLVGIIKSMGAWMDQQLIIEESYVPKSSESDVSTENHSNPSGEDASSPDYDLHAEANSEISDTASFEQRRAYKIELQKGISLFNRKPSKGIEFLINTKKIGASPEEVAAFLKNATGLNETMIGDYLGEREEFCLRVMHAYVDSFNFKMMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVILLNTDAHNSMVKNKMTKADFIRNNRGIDDGKDLPEEYLSTLYDKIIKNEIKMNADSSAPQNKQANSLNKLLGLDGILNLVTWKQTEEKPLGANGLLIRHIQEQFKAKSGKSESVYHIVTDAAILRFMVEACWGPMLAAFSMTLDQTDDKLATSLCLQGFRYAVHVTAVMGMQTQRDAFVTSMAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNYLQEAWEHILTCLSRIEHLQLLGEGAPPDASFLTSSNVEADDKVLKSMGYPSLKKKGTLQNPAVMAVVRGGSYDSTTVGVNFPGPVTAEQISHFISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSMAELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIIMQKSSSAEIRELIVRCISQMVLSRVNNVKSGWKSVFMVLTAAAADERKNIVLLAFETMEKIVREYFPYITETEATTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCAVKLADGGLVWNEKSRVNDASTPLVNEVASDIQAFRDKDDHASFWIPLLIGLSRLTSDPRSAIRRSALEVLFNILNDHGHLFSQSFWIDVFNSVILPIFSSVCDKKENFIQDGQHSPTSVSQHQEGNAWDPETSAVAAQYLVDLFVSFFNTVSSQLPSVVSVLTGFIRSPIQGPASSGVAALLRLSGQLGCRLSEDQWGEIFLALKESAASTLPGFVKVLRSMDNLEMPDTSESYVDVDVSSDHGFTNEDLEDDNLQTAAYVVSRVKSHIAVQLLIIQVVTDMCKGHMQYVSAANVRILLDMFSSVASHSHQLNSDIILLKKLEKACSILELSDPPMVHFENESYQNYLNFLHDLLVDNPSVSKEMNIELQLVKVCEEILQIYLNCTGSQSTHQKPVNKQAVHWILPLGSAKKEELAARTTLLVSALHVLSDLERDSFRRCVPQFFPLLVDLVKSEHSSGEVQHILSNIFKSCIGPIIMG >Manes.03G096700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:16182761:16198619:1 gene:Manes.03G096700.v8.1 transcript:Manes.03G096700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMWMLQTYMKDICRIVNGLLKTALGPPPGSTTTLSSAQDITFRHESVKCLVGIIKSMGAWMDQQLIIEESYVPKSSESDVSTENHSNPSGEDASSPDYDLHAEANSEISDTASFEQRRAYKIELQKGISLFNRKPSKGIEFLINTKKIGASPEEVAAFLKNATGLNETMIGDYLGEREEFCLRVMHAYVDSFNFKMMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVILLNTDAHNSMVKNKMTKADFIRNNRGIDDGKDLPEEYLSTLYDKIIKNEIKMNADSSAPQNKQANSLNKLLGLDGILNLVTWKQTEEKPLGANGLLIRHIQEQFKAKSGKSESVYHIVTDAAILRFMVEACWGPMLAAFSMTLDQTDDKLATSLCLQGFRYAVHVTAVMGMQTQRDAFVTSMAKFTYLHNAADMKQKNVDAVKAIISIAIEDGNYLQEAWEHILTCLSRIEHLQLLGEGAPPDASFLTSSNVEADDKVLKSMGYPSLKKKGTLQNPAVMAVVRGGSYDSTTVGVNFPGPVTAEQISHFISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSMAELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIIMQKSSSAEIRELIVRCISQMVLSRVNNVKSGWKSVFMVLTAAAADERKNIVLLAFETMEKIVREYFPYITETEATTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCAVKLADGGLVWNEKSRVNDASTPLVNEVASDIQAFRDKDDHASFWIPLLIGLSRLTSDPRSAIRRSALEVLFNILNDHGHLFSQSFWIDVFNSVILPIFSSVCDKKENFIQDGQHSPTSVSQHQEGNAWDPETSAVAAQYLVDLFVSFFNTVSSQLPSVVSVLTGFIRSPIQGPASSGVAALLRLSGQLGCRLSEDQWGEIFLALKESAASTLPGFVKVLRSMDNLEMPDTSESYVDVDVSSDHGFTNEDLEDDNLQTAAYVVSRVKSHIAVQLLIIQVVTDMCKGHMQYVSAANVRILLDMFSSVASHSHQLNSDIILLKKLEKACSILELSDPPMVHFENESYQNYLNFLHDLLVDNPSVSKEMNIELQLVKVCEEILQIYLNCTGSQSTHQKPVNKQAVHWILPLGSAKKEELAARTTLLVSALHVLSDLERDSFRRCVPQFFPLLVDLVKSEHSSGEVQHILSNIFKSCIGPIIMG >Manes.15G098500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:7695476:7696235:1 gene:Manes.15G098500.v8.1 transcript:Manes.15G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCDEDERVIGRQVAPGACPFCGGMIQAMDVESKWRFCFLPLYFKTKRRFYCSVCSRRLVLQN >Manes.17G016001.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6242111:6254064:-1 gene:Manes.17G016001.v8.1 transcript:Manes.17G016001.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKGYTRSLAHSLSAKRLRRIMNGEGSEDWDADFLDQLLQVEKIALSSSSCSSASKLNPEPLVSSQPTAVSSHIPPFQHQQISCITHSPPRELSQRPIDSDNRFSNGFSSVFPPISLPQDDAKDREIDSLKRELGHVTKKLLDLEQECLELRNERNKKEEQIKFVYSRAAEKDMGIHCLKNTNLECGVPSLNNHGFPQQFSNAKSLGNKFGYQVCLAASSSKDIGVQTDKAGESSNVDLNDASPSRTELSDKLLGIWGSTSDQNLGRNLTSKLFMACPADFHFLFGRMTISALTKSADAVLDEWSSNAALQSHMHSFHASEAAKVSCLYSVLTKINNGLLSLEALLQPLIDLCYLENVYILCSSLSILHVFLKHMFTLRGKWEKRDSFKVKGVKSVNNFKDAGMCSGSEEASCASYRLFGTKPSGPEMLCKNGVWNIDSGLPCSSVDWVSLFYLLLQIAVQKTEERVRLETVSIMNVILLRTNAYAEREIFGKAPVFKSIAQFLKKESSSHVQKEALHLLYLLLNCFKE >Manes.17G016001.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6242111:6254064:-1 gene:Manes.17G016001.v8.1 transcript:Manes.17G016001.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKGYTRSLAHSLSAKRLRRIMNGEGSEDWDADFLDQLLQVEKIALSSSSCSSASKLNPEPLVSSQPTAVSSHIPPFQHQQISCITHSPPRELSQRPIDSDNRFSNGFSSVFPPISLPQDDAKDREIDSLKRELGHVTKKLLDLEQECLELRNERNKKEEQIKFVYSRAAEKDMGIHCLKNTNLECGVPSLNNHGFPQQFSNAKSLGNKFGYQVCLASSSKDIGVQTDKAGESSNVDLNDASPSRTELSDKLLGIWGSTSDQNLGRNLTSKLFMACPADFHFLFGRMTISALTKSADAVLDEWSSNAALQSHMHSFHASEAAKVSCLYSVLTKINNGLLSLEALLQPLIDLCYLENVYILCSSLSILHVFLKHMFTLRGKWEKRDSFKVKGVKSVNNFKDAGMCSGSEEASCASYRLFGTKPSGPEMLCKNGVWNIDSGLPCSSVDWVSLFYLLLQIAVQKTEERVRLETVSIMNVILLRTNAYAEREIFGKAPVFKSIAQFLKKESSSHVQKEALHLLYLLLNCFKE >Manes.17G016001.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6242111:6254064:-1 gene:Manes.17G016001.v8.1 transcript:Manes.17G016001.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKGYTRSLAHSLSAKRLRRIMNGEGSEDWDADFLDQLLQVEKIALSSSSCSSASKLNPEPLVSSQPTAVSSHIPPFQHQQISCITHSPPRELSQRPIDSDNRFSNGFSSVFPPISLPQDDAKDREIDSLKRELGHVTKKLLDLEQECLELRNERNKKEEQIKFVYSRAAEKDMGIHCLKNTNLECGVPSLNNHGFPQQFSNAKSLGNKFGYQVCLASSSKDIGVQTDKAGESSNVDLNDASPSRTELSDKLLGIWGSTSDQNLGRNLTSKLFMACPADFHFLFGRMTISALTKSADAVLDEWSSNAALQSHMHSFHASEAAKVSCLYSVLTKVYILCSSLSILHVFLKHMFTLRGKWEKRDSFKVKGVKSVNNFKDAGMCSGSEEASCASYRLFGTKPSGPEMLCKNGVWNIDSGLPCSSVDWVSLFYLLLQIAVQKTEERVRLETVSIMNVILLRTNAYAEREIFGKAPVFKSIAQFLKKESSSHVQKEALHLLYLLLNCPKLLSALCPSCKEGDNTANEDNASPCEDFNAILEGLVDCVTCNGNSIQDIELRKRAIIMMAFLASSVKSGFEILVNHKLHGEKNFLMLILQVLISEMDVELSLSSEPAENIRARTLLMREGLILLNRLVSNLSSSAIVLRALTASRDTASLIIDIVSRLSKKGQILRSADSITRQMREIEVVNLARVLKKRVYTYLG >Manes.17G016001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6242111:6254064:-1 gene:Manes.17G016001.v8.1 transcript:Manes.17G016001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKGYTRSLAHSLSAKRLRRIMNGEGSEDWDADFLDQLLQVEKIALSSSSCSSASKLNPEPLVSSQPTAVSSHIPPFQHQQISCITHSPPRELSQRPIDSDNRFSNGFSSVFPPISLPQDDAKDREIDSLKRELGHVTKKLLDLEQECLELRNERNKKEEQIKFVYSRAAEKDMGIHCLKNTNLECGVPSLNNHGFPQQFSNAKSLGNKFGYQVCLASSSKDIGVQTDKAGESSNVDLNDASPSRTELSDKLLGIWGSTSDQNLGRNLTSKLFMACPADFHFLFGRMTISALTKSADAVLDEWSSNAALQSHMHSFHASEAAKVSCLYSVLTKINNGLLSLEALLQPLIDLCYLENVYILCSSLSILHVFLKHMFTLRGKWEKRDSFKVKGVKSVNNFKDAGMCSGSEEASCASYRLFGTKPSGPEMLCKNGVWNIDSGLPCSSVDWVSLFYLLLQIAVQKTEERVRLETVSIMNVILLRTNAYAEREIFGKAPVFKSIAQFLKKESSSHVQKEALHLLYLLLNCPKLLSALCPSCKEGDNTANEDNASPCEDFNAILEGLVDCVTCNGNSIQDIELRKRAIIMMAFLASSVKSGFEILVNHKLHGEKNFLMLILQVLISEMDVELSLSSEPAENIRARTLLMREGLILLNRLVSNLSSSAIVLRALTASRDTASLIIDIVSRLSKKGQILRSADSITRQMREIEVVNLARVLKKRVYTYLG >Manes.17G016001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:6242111:6254064:-1 gene:Manes.17G016001.v8.1 transcript:Manes.17G016001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKGYTRSLAHSLSAKRLRRIMNGEGSEDWDADFLDQLLQVEKIALSSSSCSSASKLNPEPLVSSQPTAVSSHIPPFQHQQISCITHSPPRELSQRPIDSDNRFSNGFSSVFPPISLPQDDAKDREIDSLKRELGHVTKKLLDLEQECLELRNERNKKEEQIKFVYSRAAEKDMGIHCLKNTNLECGVPSLNNHGFPQQFSNAKSLGNKFGYQVCLAASSSKDIGVQTDKAGESSNVDLNDASPSRTELSDKLLGIWGSTSDQNLGRNLTSKLFMACPADFHFLFGRMTISALTKSADAVLDEWSSNAALQSHMHSFHASEAAKVSCLYSVLTKINNGLLSLEALLQPLIDLCYLENVYILCSSLSILHVFLKHMFTLRGKWEKRDSFKVKGVKSVNNFKDAGMCSGSEEASCASYRLFGTKPSGPEMLCKNGVWNIDSGLPCSSVDWVSLFYLLLQIAVQKTEERVRLETVSIMNVILLRTNAYAEREIFGKAPVFKSIAQFLKKESSSHVQKEALHLLYLLLNCPKLLSALCPSCKEGDNTANEDNASPCEDFNAILEGLVDCVTCNGNSIQDIELRKRAIIMMAFLASSVKSGFEILVNHKLHGEKNFLMLILQVLISEMDVELSLSSEPAENIRARTLLMREGLILLNRLVSNLSSSAIVLRALTASRDTASLIIDIVSRLSKKGQILRSADSITRQMREIEVVNLARVLKKRVYTYLG >Manes.04G059100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:9894750:9900881:-1 gene:Manes.04G059100.v8.1 transcript:Manes.04G059100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTWSWTLSASLIVTLTLTLVSVAYGNSEGDALYTLRKSLSDPDNVLQSWDPNLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVHDLGKLEHLQYLELYKNNIQGSIPNELGNLRSLVSLDLYNNNISGTIPPSLGKLKSLVFLRLNNNRLTGPIPRELVGILSLKVLDVSNNDLCGTIPTSGPFEHIPLNSFENNPRLEGPELLGLASYDTNCS >Manes.18G055500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4730256:4736053:-1 gene:Manes.18G055500.v8.1 transcript:Manes.18G055500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRLSSSIKKPIKPCSNGGSLYHMSSLPNPAVPDKENARATWIKQLNAPLQEIDPEIADIIELEKARQWKGLELIPSENFTSASVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFQLDPEKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYEQMEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGVKEINKQGQEVKYDYEDKINQAVFPGLQGGPHNHTISGLAVALKQVMTPEYKAYQAQVLSNCSKFAQSLLEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVEVAKFFDAAVKLSLKIKADTKGTKLKDFVATMKSDTSIQSEIAQLRHDVEEYAKQFPTIGFEKETMKYKD >Manes.01G012800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3472943:3484769:1 gene:Manes.01G012800.v8.1 transcript:Manes.01G012800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMDIDDSANNEKTSSVDSKNNIKDSEKGKGKHKLYVGSQAVGFRRDHMEVLSPIKDGVVVDWDIVDSIWDHALRECLLIDPKEHPMLLAEPSSNTQQQRERTAELMFEKYNVPALFLAKNAVLTSFASGRPTSLVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGITIKPRYSFKRKEIRPSEFQIVDLDFPNTTESYRLYSQRVIASDIKECVCRAPDTPYDETAYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPSLAQTIPGMENFAEIAPNVRGLPQMVIESINRCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNSTERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYVQRKCP >Manes.01G012800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3473554:3484769:1 gene:Manes.01G012800.v8.1 transcript:Manes.01G012800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLLMDPEISCLVMYVEKVVGSIDQMDIDDSANNEKTSSVDSKNNIKDSEKGKGKHKLYVGSQAVGFRRDHMEVLSPIKDGVVVDWDIVDSIWDHALRECLLIDPKEHPMLLAEPSSNTQQQRERTAELMFEKYNVPALFLAKNAVLTSFASGRPTSLVVDSGGGSTTVAPVHDGYVLQKAVSSSPIGGEFLTDCLMKSLESKGITIKPRYSFKRKEIRPSEFQIVDLDFPNTTESYRLYSQRVIASDIKECVCRAPDTPYDETAYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPSLAQTIPGMENFAEIAPNVRGLPQMVIESINRCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNSTERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYVQRKCP >Manes.S009483.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:71181:71993:-1 gene:Manes.S009483.v8.1 transcript:Manes.S009483.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSGCSRLTWGRGRSIPLGTLGGLGVPFGRRSPTAVSEGLPTTDSRGDRRRGLCFWASRVRAAHGRPTSAPAPPATGRRGWRRRLRDTQADVPSAGWLRAQLAFKNSMVRGILQFTPSIAFCCVLHRCESRDIRCRESFWFSKEDDASRTGAPSLRFMFLGASRAGVGCCGRGEHAGRGQASAPSCLPDLGGGGGPKPPAAPDVCEHVRGSCCRAGFDNDPSAGSPTETLLRLLLPLNDKVQWTSRDVAGGEPPTSPRSEHFTGPFNR >Manes.07G071780.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:13485459:13494856:-1 gene:Manes.07G071780.v8.1 transcript:Manes.07G071780.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGESQQPEPVCNFFRKPLKNKNIRKRTVEEDEDEDSKSGSSLLQIQKKVPKLDNKLFFSTGPSKSSMSTESTVESNRSVFQFESSKEIQVQHDSRATSTLETETEFSKDARAIRERALKQAEEALKGKNPSSGNEKVYKGIHRYTDHKAGFRREQTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDACKFMHDRGDYKPGWQLEKEWEEAEKIRKRNLALGEDGGGSIEQSDEDEDDDDSLPFACFICRQPFVDPVVTKCKHYFCEHCALKHHAKNKKCFVCNQPTLGIFNAAHEIRKKMAAEGK >Manes.11G136000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:30093979:30096544:1 gene:Manes.11G136000.v8.1 transcript:Manes.11G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLILVYAFLILYPLFLLWWWADSKRDQECYLLDYECYKPTDDRKLDTEFCGELITRSGLLGLNEYKFLLKAIVSSGVGEETYAPRMVFARREENPAYEDGILEMEEFFKDSIGKVLARSGVSPQEIDVLVVNVSMLSVVPSLAARIMNHYKMREDIKVYNLTGMGCSASLISINIAQNIFKTRKNSYALVVTSECLSLNWYSGNDKSMILANCLFRSGGCAILLTNKRALKKRAMFKLKCLVRTHHGARDESYECCIQKEDDHGRLGFYLGKNLPKAATRALVDNLREISPRILPIRELLRFIVVSFIKKWKQGSSKVGGGTNTKAMINFKTGVDHFCIHTGGKAVIDGIGSNLDLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDRILMVSFGAGFKCNSCLWEVMRDLGDGNVWRDCVDKYPPKTLANPFMEKYGWINDEDPNTFVVPR >Manes.07G025500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2759000:2768017:-1 gene:Manes.07G025500.v8.1 transcript:Manes.07G025500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGNGGVGSSRSVNGFKSSSSSSVDWLGREMLEMRLRDKTDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGPRQTRSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLEHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRIHQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRNPETRLPNGRPLPPLFNFKPQELSGIPPDIVNRLIPEHARHRRN >Manes.07G025500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2759004:2768001:-1 gene:Manes.07G025500.v8.1 transcript:Manes.07G025500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGNGGVGSSRSVNGFKSSSSSSVDWLGREMLEMRLRDKTDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGPRQTRSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLEHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRIHQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRNPETRLPNGRPLPPLFNFKPQELSGIPPDIVNRLIPEHARKQNLFMALHT >Manes.07G025500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2758713:2768001:-1 gene:Manes.07G025500.v8.1 transcript:Manes.07G025500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGNGGVGSSRSVNGFKSSSSSSVDWLGREMLEMRLRDKTDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGPRQTRSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLEHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRIHQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRNPETRLPNGRPLPPLFNFKPQELSGIPPDIVNRLIPEHARHRRN >Manes.07G025500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2759002:2768017:-1 gene:Manes.07G025500.v8.1 transcript:Manes.07G025500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLGNGGVGSSRSVNGFKSSSSSSVDWLGREMLEMRLRDKTDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGPRQTRSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLEHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRIHQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRNPETRLPNGRPLPPLFNFKPQELSGIPPDIVNRLIPEHARKQNLFMALHT >Manes.05G038200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3165555:3169273:1 gene:Manes.05G038200.v8.1 transcript:Manes.05G038200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQLIMPSQIQSLLKGPTRRSTKGGWTEEEDKILVAAVEKFNCRNWKKIAECVPDRTDVQCLHRWQKVLNPDLVKGPWKREEDDLIRDLVGKQGIKKWSEIAKHLPGRIGKQCRERWHNHLNPEIKRTAWTKEEELTLIDAHKIYGNKWAEIAKFLNGRTENAIKNHWNCSVKKKIESCSARKFDIHSYNKGAEIRKSEMDNQSFDERMNPERSMHACPLDLALGNSKTREFQLSASDKGNCKYAVKELYFGTLDAKPSPAFTLTSVEWKGSDNNANKIEHTNHLSNWSSKLCNTSSDDVARLQLPCERTLELSKTVNSLHSGALSAPLTIASSTVPGYDIKTAELDDKKKGAGDPESVELNCGLLSSESLQLDKVLLQTGSAPSTGSYPRTTTLSPVSSCAPLSHNGRISHDRSSPESILSILRSAARSFKNTPSIIRKRSSTSRAETFGDTKSVEKRLEYAFNIGCDSDGKRFGDS >Manes.05G038200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3165555:3169273:1 gene:Manes.05G038200.v8.1 transcript:Manes.05G038200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEAALSDSEKGGLVSARPSVHDTSANNAVTDPISVKGRTTGPTRRSTKGGWTEEEDKILVAAVEKFNCRNWKKIAECVPDRTDVQCLHRWQKVLNPDLVKGPWKREEDDLIRDLVGKQGIKKWSEIAKHLPGRIGKQCRERWHNHLNPEIKRTAWTKEEELTLIDAHKIYGNKWAEIAKFLNGRTENAIKNHWNCSVKKKIESCSARKFDIHSYNKGAEIRKSEMDNQSFDERMNPERSMHACPLDLALGNSKTREFQLSASDKGNCKYAVKELYFGTLDAKPSPAFTLTSVEWKGSDNNANKIEHTNHLSNWSSKLCNTSSDDVARLQLPCERTLELSKTVNSLHSGALSAPLTIASSTVPGYDIKTAELDDKKKGAGDPESVELNCGLLSSESLQLDKVLLQTGSAPSTGSYPRTTTLSPVSSCAPLSHNGRISHDRSSPESILSILRSAARSFKNTPSIIRKRSSTSRAETFGDTKSVEKRLEYAFNIGCDSDGKRFGDS >Manes.16G108800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:31402985:31403665:1 gene:Manes.16G108800.v8.1 transcript:Manes.16G108800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFIKGVYNSPSGARLIGGTQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYVRQKEDPWNSIFAGAATGGFLSMRQGLGASARSAVFGGILLALIEGAGIMLNKVMSAPQNMPIMIDESVPAMAGGPGFPMGLPGQSQPQPQPVQETASASGSESGSWFGGWFGGGKKEPAASSGSKTEILESFDAPPVPNFEYK >Manes.10G109200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26674964:26677748:1 gene:Manes.10G109200.v8.1 transcript:Manes.10G109200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQTLQWDSSSMARAVLLMMYPLLRTVNFWLSGLLFYCCILVVLTPSLHLLLRTTSCGLGICLRSLFRLLLLVMFSFEIFARTSKDKFRASMLRKPDPGINFPKFAEAAASKKPEELPEVKTENPEELPDVKTENQREATDFCLHIRKLEDLDVVQEAHKYFKIFKGLIVDIIYSFKERDKSRYFFNSISAEDAFKVIAAELNFLYDVLYTKVVVVHSMLGLDGVSILMAIFSNWTIVAVLESLPSPEDRSHSVLRLFSKFLIHWVLRLFSKFLILKRPKMYVCEPDKHTTLRTCIPFRSWSESVPGYNLIRYCLKQRPRKIHNVTHSIQRVICYLGIGKIASQCINISSRKVIQFLCIDKVIQFLCIDKVIQCLNSLKRGLIDLVGLKDFLDELKYASSKPLTPELWAFIFGELQMKSRLIEDPETARKICSARGEWVLQSHGLDKNGSDLMDYVVDVRYDESVLMWHIATELLCNDKKGIDNCSNEREFSKILSDYMLYLLIMQPTMMAAVAGISKLRFEDTCADAKRFFKKRGIRSNNLKKACRLILEVNTEFKPAEVKRDGSLSVLFTASMLAKELRKLRKQKWKILSQVWVEMLSYAASHCNATSHAVQLSKGGELVTFVWLLMSQFGVGNQFQSNYSLLLQG >Manes.18G036000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3187111:3187386:-1 gene:Manes.18G036000.v8.1 transcript:Manes.18G036000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGLPRAPIRTMSDFKKWLKTFDADKDGRISRKEFEEAIRVAEGIWFTKLQAWWEVRHADTNGNGYIDDDELDNLMQFAQKYFDVQVAE >Manes.05G005800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1216266:1218306:1 gene:Manes.05G005800.v8.1 transcript:Manes.05G005800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGRKIQSLSLSHVLVAMLAFSHLAVALAAQKHLRFGPNGKFKILQVADMHFGDGKVTPCLNVLPTQMHTCSDVNTTVFIDRMIRAEKPDLIVFTGDNIFGFDATDAAKSLSAAFAPAISSNIPWAAILGNHDQESTLSREGVMKHIVGLKNTLSQVNPADAHVIDGFGNYNLEISGVKGSSYQNKSVLNLYFLDSGDYSTVPSIPGYGWVKPSQQFWFQRTSANLRRAYVSQPVPHKEPAPGLVYFHIPLPEFASFDSSNFTGVKQEGISSASVNSGFFTTMVEAGDVKAVFTGHDHLNDFCGQLTDIQLCYGGGFGYHAYGKAGWSRRARVVVASLEKTEKGDWGAVKSIKTWKRLDNHLLTAIDGQALWSKSPAGGRRKKQVGGA >Manes.07G097005.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30135038:30135658:-1 gene:Manes.07G097005.v8.1 transcript:Manes.07G097005.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRLKGNFCVCKGHEKRITKVLSKNKGLWIKNLDLQNGLIHIEGDVEIEKLVNELQKKFKSMQVEVVGDTDSDEETDSDKCELVTQPVLTLENGIGHSIPRLEWPDVVGQSGMGLRPHGGLTQSGYDGYGGFGTTSTYSYGGQNYQISNYPYFNIRDENPNACSTM >Manes.07G131600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33359933:33363401:1 gene:Manes.07G131600.v8.1 transcript:Manes.07G131600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSSVGFNSTFSAIYQHVSRSPVRASSPKATRLISSSGIISSIFHIKRSGRSMCISVADSDNLATDTSDKGPDSTESSISYDQLSSVDSPGGTSQLQTRVDNESGPQTSGVSNGSTVSSDVKQERGPNSQSTAKRSPLTARERLRAARVLSRYTETKASKSEMGSKILDALKESDKGKKRSGLPEAPTNLFDDSDRGMPKEGWTFEFPGGSDLFVIAFSFVFISTVMFATTYIVWKVGAIHFNEY >Manes.15G123000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9800280:9804269:1 gene:Manes.15G123000.v8.1 transcript:Manes.15G123000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGSILGTVNLLCAPLSKKFSALKSFHQLVEVIHIRMEELSGRENDVKMEIERGMLHPSKKLKSEAHLWLKRVEEVRHELSSIENYISEKGKCMKGCFPNCYTRYKVSKLLAEKIEEVNELQRKGAFPNGLFVDFLPETKKKMPTTLMVGKTTPLKVCQEILGCLMDENISKMGIYGMGGVGKTTIMMQVNNLISGDQRFDSVIWVTAPKIFSLEKLQTGIAKAVDLDLSDDDITRRSTILFDHLLARKKFVLILDDLWYGFSLEEVGIPQPTNANGCKLVVITRLLEVCRGMETHREIKVDVLSKEEAWDLFIDKAGRDAILSPEVETVAKLITEECGYLPLAIITVGRAMRKIDNARIWKNALEELKTSRAEIEGMEENVFARLKFSYNHLRSDRVRACFLYCALFPDNYKIDVEELVEYWMAEGLIDEVGDRENEINKAHAVLQELKDACMLESIGTKWVKMHDLLRDLAIKITGRSPRFMVKAGMRLRAFPRIWMEDVERVSLMENDIRILPDHSNSVNLYTLLLQQNPLSYIPENFFVKMYKLRVLNFSGCPIKSMPDTLSSLQNLRALLLCFCDLQILPSLAELKELRVLDLSYTLIEELPHGIEGLVNLQRVDLSYTEELHVFPTEVLSKLSRLENLSMFKSRYRWSLSSRRLDNGANLEEVISLSQLTSLGLSFEDPYSFNSYVSCGHWQFLKSYHIGIGHLSSFLPVAKDTCSVEIQGCNVISSESYILLPDNTQQLALQGCHDFDILSKLSSISKLTDLKECYVSSCSGLEYITVADDNTFPSLKRLVLCRLQNLKALCNQNAVGCNVLLSLKTLHIHNCNRLRCLFSVGLLQCLQNIEEIEVWNCRSLEVIIDEEIPSLSLPRLLRLYLSALPKLESISRRLCVCNSLDSIDVWDCGKLKKLPFSVDPLPLSLKHIRGSKQWWDGLAWDDPDAQSYFLAFFKEDR >Manes.15G123000.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:9800546:9805199:1 gene:Manes.15G123000.v8.1 transcript:Manes.15G123000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGSILGTVNLLCAPLSKKFSALKSFHQLVEVIHIRMEELSGRENDVKMEIERGMLHPSKKLKSEAHLWLKRVEEVRHELSSIENYISEKGKCMKGCFPNCYTRYKVSKLLAEKIEEVNELQRKGAFPNGLFVDFLPETKKKMPTTLMVGKTTPLKVCQEILGCLMDENISKMGIYGMGGVGKTTIMMQVNNLISGDQRFDSVIWVTAPKIFSLEKLQTGIAKAVDLDLSDDDITRRSTILFDHLLARKKFVLILDDLWYGFSLEEVGIPQPTNANGCKLVVITRLLEVCRGMETHREIKVDVLSKEEAWDLFIDKAGRDAILSPEVETVAKLITEECGYLPLAIITVGRAMRKIDNARIWKNALEELKTSRAEIEGMEENVFARLKFSYNHLRSDRVRACFLYCALFPDNYKIDVEELVEYWMAEGLIDEVGDRENEINKAHAVLQELKDACMLESIGTKWVKMHDLLRDLAIKITGRSPRFMVKAGMRLRAFPRIWMEDVERVSLMENDIRILPDHSNSVNLYTLLLQQNPLSYIPENFFVKMYKLRVLNFSGCPIKSMPDTLSSLQNLRALLLCFCDLQILPSLAELKELRVLDLSYTLIEELPHGIEGLVNLQRVDLSYTEELHVFPTEVLSKLSRLENLSMFKSRYRWSLSSRRLDNGANLEEVISLSQLTSLGLSFEDPYSFNSYVSCGHWQFLKSYHIGIGHLSSFLPVAKDTCSVEIQGCNVISSESYILLPDNTQQLALQGCHDFDILSKLSSISKLTDLKECYVSSCSGLEYITVADDNTFPSLKRLVLCRLQNLKALCNQNAVGCNVLLSLKTLHIHNCNRLRCLFSVGLLQCLQNIEEIEVWNCRSLEVIIDEEIPSLSLPRLLRLYLSALPKLESISRRLCVCNSLDSIDVWDCGKLKKLPFSVDPLPLSLKHIRGSKQWWDGLAWDDPDAQSYFLAFFKEDR >Manes.15G006100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:664952:668505:1 gene:Manes.15G006100.v8.1 transcript:Manes.15G006100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDIDDLPKLEANHMALTPLWFLERAATVHPTRTAVVHGSVHYTWQQTYQRCRRLASALSKRNIGAGSTVATIAPNVPAMYEAHFGIPMAGAVLNTVNIRLNASTIAFLLGHSKSAIVMVDQEFFPLAENALKILAEKDSHYNPPLLIVIADESCDPKSLKDALGKGAIEYDKFLESGDPDFAWKPPEDEWQSIALGYTSGTTASPKGVVLSHRGAYLMALSCAMIWGLNEGAIYLWTLPMFHCNGWCYPWSLAALCGTNICLRQVTAKAVYSAIANQGVTHFCAAPVVLNTIVNAPNEETILPLPRVVHVNTAGAAPPPSVLFAMSEKGFRVTHTYGLSETYGPSTVCAWKPEWDSLPPIKQARLNARQGVRYIGLEGLEVVDTKTMKPVPADGKTMGEIVMRGNLVMKGYLKNPEANKEAFANGWFHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSLEVENVLYTHPAVYEVSVVAREDERWGESPCAFVTLKPGMEKSSEGSLAEDIIKFCRSKMPAYWVPKSVVFGPLPKTATGKIQKHVLRAKAKEMGPVKKSRL >Manes.18G139017.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:18963832:18965323:-1 gene:Manes.18G139017.v8.1 transcript:Manes.18G139017.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGAWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.05G076700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6240906:6243293:1 gene:Manes.05G076700.v8.1 transcript:Manes.05G076700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTHIDSDVYLSLGLKVKELGKGVLGTPRVLTLLSSMLERSVQKNETLLETTRVKDAITEFDGLRAPTVSIRQYLDRIFKYSGCSPSCFVVAHIYVDRFIQSTDVCLTSLNVHRLLITSVMVAAKFIDDAFFNNAYYAKVGGVSTAELNRLERKFLFSVDFRLHVNVNTFGRYCSQLEKETAEGLQIERPIQACRIKESWTNKDDSTACAPTIAR >Manes.05G076700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6241903:6243293:1 gene:Manes.05G076700.v8.1 transcript:Manes.05G076700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTHIDSDVYLSLGLKVKELGKGVLGTPRVLTLLSSMLERSVQKNETLLETTRVKDAITEFDGLRAPTVSIRQYLDRIFKYSGCSPSCFVVAHIYVDRFIQSTDVCLTSLNVHRLLITSVMVAAKFIDDAFFNNAYYAKVGGVSTAELNRLERKFLFSVDFRLHVNVNTFGRYCSQLEKETAEGLQIERPIQACRIKESWTNKDDSTACAPTIAR >Manes.05G103300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9638366:9640848:-1 gene:Manes.05G103300.v8.1 transcript:Manes.05G103300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCVIYSPSENNPICYSSSPCSSSSHCSSAGMVLADIGSLSLSPNYGVIPPASSSHELEIERSSWVFPFTGSHQSGDVVLEGKGSDCSDAFGENNDTANRNANSIDENPNNENMNSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQSIYRRSEETSSFVCTDPGSKAEPPPYCLNIPNAGGLTSLSPYPIGTFNAGVDYGLNGSPHMTSGGEAASSIQVQVQVPLTGFCAQQTPFEFFPGSKSNDVMGMFSHSRSWDRPSDEPHISGFYPQLHDSYIMAMQQSNYQNPYYFSADSKASTPPQVSATEPSPSPSPSPSVAENTRTGHFETIPPPFIDFLGVGAR >Manes.05G103300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9638366:9640850:-1 gene:Manes.05G103300.v8.1 transcript:Manes.05G103300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCVIYSPSENNPICYSSSPCSSSSHCSSAGMVLADIGSLSLSPNYGVIPPASSSHELEIERSSWVFPFTGSHQSGDVVLEGKGSDCSDAFGENNDTANRNANSIDENPNNENMNSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQSIYRRSEETSSFVCTDPGSKAEPPPYCLNIPNAGGLTSLSPYPIGTFNAGVDYGLNGSPHMTSGGEAASSIQVQVQVPLTGFCAQQTPFEFFPGSKSNDVMGMFSHSRSWDRPSDEPHISGFYPQLHDSYIMAMQQSNYQNPYYFSADSKASTPPQVSATEPSPSPSPSPSVAENTRTGHFETIPPPFIDFLGVGAR >Manes.05G103300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9638366:9640850:-1 gene:Manes.05G103300.v8.1 transcript:Manes.05G103300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCVIYSPSENNPICYSSSPCSSSSHCSSAGMVLADIGSLSLSPNYGVIPPASSSHELEIERSSWVFPFTGSHQSGDVVLEGKGSDCSDAFGENNDTANRNANSIDENPNNENMNSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQSIYRRSEETSSFVCTDPGSKAEPPPYCLNIPNAGGLTSLSPYPIGTFNAGVDYGLNGSPHMTSGGEAASSIQVQVQVPLTGFCAQQTPFEFFPGSKSNDVMGMFSHSRSWDRPSDEPHISGFYPQLHDSYIMAMQQSNYQNPYYFSADSKASTPPQVSATEPSPSPSPSPSVAENTRTGHFETIPPPFIDFLGVGAR >Manes.05G103300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9638366:9640714:-1 gene:Manes.05G103300.v8.1 transcript:Manes.05G103300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCVIYSPSENNPICYSSSPCSSSSHCSSAGMVLADIGSLSLSPNYGVIPPASSSHELEIERSSWVFPFTGSHQSGDVVLEGKGSDCSDAFGENNDTANRNANSIDENPNNENMNSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQSIYRRSEETSSFVCTDPGSKAEPPPYCLNIPNAGGLTSLSPYPIGTFNAGVDYGLNGSPHMTSGGEAASSIQVQVQVPLTGFCAQQTPFEFFPGSKSNDVMGMFSHSRSWDRPSDEPHISGFYPQLHDSYIMAMQQSNYQNPYYFSADSKASTPPQVSATEPSPSPSPSPSVAENTRTGHFETIPPPFIDFLGVGAR >Manes.05G103300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9638432:9642162:-1 gene:Manes.05G103300.v8.1 transcript:Manes.05G103300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCVIYSPSENNPICYSSSPCSSSSHCSSAGMVLADIGSLSLSPNYGVIPPASSSHELEIERSSWVFPFTGSHQSGDVVLEGKGSDCSDAFGENNDTANRNANSIDENPNNENMNSGKETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKLSQSIYRRSEETSSFVCTDPGSKAEPPPYCLNIPNAGGLTSLSPYPIGTFNAGVDYGLNGSPHMTSGGEAASSIQVQVQVPLTGFCAQQTPFEFFPGSKSNDVMGMFSHSRSWDRPSDEPHISGFYPQLHDSYIMAMQQSNYQNPYYFSADSKASTPPQVSATEPSPSPSPSPSVAENTRTGHFETIPPPFIDFLGVGAR >Manes.01G111000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30969940:30970662:1 gene:Manes.01G111000.v8.1 transcript:Manes.01G111000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELCSDNSAGFSPRISFSHDLCLSDTVPVEQRPLRSNSVGSIDFDFCTRKSFDQESSPADELFSNGKILPTEIKKKTAPSKLTHGLREDTSHKNVKETMKDMKSTSDELTEEKRISKSFWLFKRSSSLNCVSGYGRSLCPLPLLSRSNSTGSAPTNLKRVPLSRDSHTSYSHKQHKHAVMKHQSSSSSSNGYQKPPLKKNYGSYGNGVRVNPVLNVPSGNLFGLGSKFFNGKDKNKKK >Manes.15G051800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3990740:4000854:1 gene:Manes.15G051800.v8.1 transcript:Manes.15G051800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLIDGELNQSNEQESHYDFDLFVIGAGSGGVRASRFSANHGAKVGICELPFHPISSDVDGGVGGTCVLRGCVPKKILVYGASFGGDIEDARNFGWEINEKVDFNWKRLLQKKTDEITRLNGIYKRLLSNAGVKLFEGEGKVVGPHEVEVTQLDGTKLSYSAKHILIATGSRAQRPGIPGQELAITSDEALSLEDMPKHAVVLGGGYIAVEFASIWRGMGATVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPRTNLTELVKTEDGVKVFTNHGEEFSADVVLFATGRTPNTRRLNLEAVGVKLDRTGAIKVDEYSRTNIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGGQPCKPDYTDVPYAVFSIPPLSVVGLSEEQAIEQANNDILIFTSTFNPMKNTVSGRQEKTVMKLVVDAETDKVLGASMCGPDAPEVIQGLAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVTRRVTAVSKANPNL >Manes.13G045600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5360196:5364879:-1 gene:Manes.13G045600.v8.1 transcript:Manes.13G045600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSWFRRTAKNAKSPNQQQQQNTHEDEEELLGVTSQLINHVKSFTLDTFKNFPLQAEEDGTDGTVTFSDEILAATETTSANIRKDLSQWQERHATLVLSKVKELSQLRFRLCPRHLKERDFWRIYFALVKSYVAEYELQAIRVAKIKRIAMEKEISSDSGAFEVEMAETKQAASLEPQPHEIAPS >Manes.10G000700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:595321:598409:1 gene:Manes.10G000700.v8.1 transcript:Manes.10G000700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDISAGVFRPTFRVPWTSDEHNHVFPLSSSSLLKVIKGDITTWFVDGHSDAIVNPTNELMLPWVASVDLAIHRAAGPQLEFAIADIPEVQSGVRCPTGEAIITPGFKLPASRVIHTVGPIYWYEKNAAAILRYAYRRSLMVAKANKIQYIAFPAISCGNNGYPLKEAATVAISTVKEFADDFKEVHFVLLLDNAYKDWLHVTRELLWN >Manes.10G000700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:595321:598409:1 gene:Manes.10G000700.v8.1 transcript:Manes.10G000700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDISAGVFRPTFRVPWTSDEHNHVFPLSSSSLLKVIKGDITTWFVDGHSDAIVNPTNELMLPWVASVDLAIHRAAGPQLEFAIADIPEVQSGVRCPTGEAIITPGFKLPASRVIHTVGPIYWYEKNAAAILRYAYRYPLKEAATVAISTVKEFADDFKEVHFVLLLDNAYKDWLHVTRELLWN >Manes.07G099000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30325819:30330150:-1 gene:Manes.07G099000.v8.1 transcript:Manes.07G099000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLHKFPLLSRSKVLEDLIGECCGEDERKCFLQLHDIPGGAKTFLLVAKFCYGVKIELSALNIVSLRCATEYLQMSEGYGEGNLITQTEIFLNEIFGNWRDSLKALETCEEVLPYAEELHIVSRCINSLAMKACADPSLFSWPMSGSNNLKNPEGTVFWNGICTSAKPQPVGEDWWYEDVSFLSLPLFKRLLLAVGSNGMKPEKIVGALMYYARRHLPLLGRQSSVESGNHATPGSTISTAADPDQRNLLEELVELLPDQKGVTPSKFLLRLLRTAMIFQASPSCRENLEKRIGAQLDQAALEDIMIPNMGYSMETLYDIDCVQRILDHFLLVDRDDPSSNYIAEEGQLMGVSHSLTPTTMVANLIDNYLAEVAPDVNLKLIKFQSLAAVIPDYARPLDDGIYRAIDIYLKAHTWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSIAGWFFVSDNLESSQNPSRNLVLTRNDVHGQAVATQDRAIAVDEMKERVSELEKECLNMKEEIEKIVKTKGSWSIFCRRFGFNKSKPSEAKPSKPKNGRGSPTSSAPILNGKENHSGELEE >Manes.07G099000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30325819:30330150:-1 gene:Manes.07G099000.v8.1 transcript:Manes.07G099000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGFAQQGFQVMLLWKLGICHLISIRSKVLEDLIGECCGEDERKCFLQLHDIPGGAKTFLLVAKFCYGVKIELSALNIVSLRCATEYLQMSEGYGEGNLITQTEIFLNEIFGNWRDSLKALETCEEVLPYAEELHIVSRCINSLAMKACADPSLFSWPMSGSNNLKNPEGTVFWNGICTSAKPQPVGEDWWYEDVSFLSLPLFKRLLLAVGSNGMKPEKIVGALMYYARRHLPLLGRQSSVESGNHATPGSTISTAADPDQRNLLEELVELLPDQKGVTPSKFLLRLLRTAMIFQASPSCRENLEKRIGAQLDQAALEDIMIPNMGYSMETLYDIDCVQRILDHFLLVDRDDPSSNYIAEEGQLMGVSHSLTPTTMVANLIDNYLAEVAPDVNLKLIKFQSLAAVIPDYARPLDDGIYRAIDIYLKAHTWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSIAGWFFVSDNLESSQNPSRNLVLTRNDVHGQAVATQDRAIAVDEMKERVSELEKECLNMKEEIEKIVKTKGSWSIFCRRFGFNKSKPSEAKPSKPKNGRGSPTSSAPILNGKENHSGELEE >Manes.07G099000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30325819:30330150:-1 gene:Manes.07G099000.v8.1 transcript:Manes.07G099000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSEVFHLDGNTWLCSTGLPSDVIVEVGDMSFNLHKFPLLSRSKVLEDLIGECCGEDERKCFLQLHDIPGGAKTFLLVAKFCYGVKIELSALNIVSLRCATEYLQMSEGYGEGNLITQTEIFLNEIFGNWRDSLKALETCEEVLPYAEELHIVSRCINSLAMKACADPSLFSWPMSGSNNLKNPEGTVFWNGICTSAKPQPVGEDWWYEDVSFLSLPLFKRLLLAVGSNGMKPEKIVGALMYYARRHLPLLGRQSSVESGNHATPGSTISTAADPDQRNLLEELVELLPDQKGVTPSKFLLRLLRTAMIFQASPSCRENLEKRIGAQLDQAALEDIMIPNMGYSMETLYDIDCVQRILDHFLLVDRDDPSSNYIAEEGQLMGVSHSLTPTTMVANLIDNYLAEVAPDVNLKLIKFQSLAAVIPDYARPLDDGIYRAIDIYLKAHTWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSIAGWFFVSDNLESSQNPSRNLVLTRNDVHGQAVATQDRAIAVDEMKERVSELEKECLNMKEEIEKIVKTKGSWSIFCRRFGFNKSKPSEAKPSKPKNGRGSPTSSAPILNGKENHSGELEE >Manes.07G099000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30325819:30330150:-1 gene:Manes.07G099000.v8.1 transcript:Manes.07G099000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPGLSFSPPLFCIDTDFSFGFRMWVFDEVGNQLMGFAQQGFQVMLLWKLGICHLISIRSKVLEDLIGECCGEDERKCFLQLHDIPGGAKTFLLVAKFCYGVKIELSALNIVSLRCATEYLQMSEGYGEGNLITQTEIFLNEIFGNWRDSLKALETCEEVLPYAEELHIVSRCINSLAMKACADPSLFSWPMSGSNNLKNPEGTVFWNGICTSAKPQPVGEDWWYEDVSFLSLPLFKRLLLAVGSNGMKPEKIVGALMYYARRHLPLLGRQSSVESGNHATPGSTISTAADPDQRNLLEELVELLPDQKGVTPSKFLLRLLRTAMIFQASPSCRENLEKRIGAQLDQAALEDIMIPNMGYSMETLYDIDCVQRILDHFLLVDRDDPSSNYIAEEGQLMGVSHSLTPTTMVANLIDNYLAEVAPDVNLKLIKFQSLAAVIPDYARPLDDGIYRAIDIYLKAHTWLTDSEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSIAGWFFVSDNLESSQNPSRNLVLTRNDVHGQAVATQDRAIAVDEMKERVSELEKECLNMKEEIEKIVKTKGSWSIFCRRFGFNKSKPSEAKPSKPKNGRGSPTSSAPILNGKENHSGELEE >Manes.17G104200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31160393:31162163:1 gene:Manes.17G104200.v8.1 transcript:Manes.17G104200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNPLDYVEPLGKAGASGFTFHVEASRENWRELIQRIKSKGMKPGVALKPGTPIEEVYPLIECENPVEMVLVMTVEPGFGGQKFMLDMMDKVKTLRKKYPSLDIEVDGGLGPSTIEMAASAGANCIVAGSSVFGAPEPAHVISLFKKSVEEAQKNN >Manes.07G003600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:639077:640896:1 gene:Manes.07G003600.v8.1 transcript:Manes.07G003600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFFLIALTIFIILVSLPIVVLLYRPSFTNLPPGNFGLPYIGESLEFLSTGRKGHPEKFISDRMAKYSHQIFSTSILGEETAVVCGAHGNKFLFSNENKLVTAWWPKSILKLFPSSAHSSIQAEGMRMRKMLPHFLKPEALQRYISVMDSMAQRHFEDFWEGKEQVSVYPLAKFYTFTVACKVFVSMEDRKRVTKFAAPFNDMASGIMSIPIDLPGTSFHRGIKASRIIRNEMLAIIKQRRKDLDENKASPTQDILSHMLVATDEDGQRLGDIGIADKIISLLIGGHDTASASITFVVKYLSELPEIYNNVLKEQLEILKSKAPGELLNWEDIQKMKYSWNVVCEVMRLAPPLQGSFREALHDFNYGGFSIPKGWKLYWSTHSTHKNPEYFPLPEKFDPSRFEGSGPAPYTFVPFGGGPRMCPGKEYARLEILVFLHNIVKRFKWKKMIPDEKIVVDPMPIPAKGLPVYLYPQKHD >Manes.15G003900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:58918:62489:1 gene:Manes.15G003900.v8.1 transcript:Manes.15G003900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGTSTQAYGEPWYWDNRYANESGPFDWYQKYASLAPLVNLYTPRHNHPRILVVGCGNSAFSEGMVDDGYEDVVNVDISSVVIEAMRKKYFNRPQLKYIQMDVRDMSAFQTGSFGAVIDKGTLDSILCGNNSRQNAAKMLDEVWRVLINKGVYILVTYGAPVYRLHLLRESCLWRIKLHVIEKLLSEGASEHPMWELTNPVPLDDYGNSVEATLGKNPDVHYIYVCTKDESLRPDQKHNVAVE >Manes.15G003900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:58918:62231:1 gene:Manes.15G003900.v8.1 transcript:Manes.15G003900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGTSTQAYGEPWYWDNRYANESGPFDWYQKYASLAPLVNLYTPRHNHPRILVVGCGNSAFSEGMVDDGYEDVVNVDISSVVIEAMRKKYFNRPQLKYIQMDVRDMSAFQTGSFGAVIDKGTLDSILCGNNSRQNAAKMLDEVWRVLINKGVYILVTYGAPVYRLHLLRESCLWRIKLHVIEKLLSEGASEHPMWELTNPVPLDDYGNSVEATLGKNPDVHYIYVCTKVGEVNFLFCFTLSSP >Manes.15G003900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:58322:62826:1 gene:Manes.15G003900.v8.1 transcript:Manes.15G003900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGTSTQAYGEPWYWDNRYANESGPFDWYQKYASLAPLVNLYTPRHNHPRILVVGCGNSAFSEGMVDDGYEDVVNVDISSVVIEAMRKKYFNRPQLKYIQMDVRDMSAFQTGSFGAVIDKGTLDSILCGNNSRQNAAKMLDEVWRVLINKGVYILVTYGAPVYRLHLLRESCLWRIKLHVIEKLLSEGASEHPMWELTNPVPLDDYGNSVEATLGKNPDVHYIYVCTKDESLRPDQKHNVAVE >Manes.18G086900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8009694:8012060:-1 gene:Manes.18G086900.v8.1 transcript:Manes.18G086900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCNPIIHCKAAVSWEAGKPLVIEEVEVAPPQAMEVRIKILFTSLCRSDVHFWEAKGQSTLFPRIFGHEAGGIVESVGEGVTELEPGDHVLPIFTGECKECAQCKSEQSNMCEILKVNKDRGVMIEDGKSRFSIKGKPIYHFLGTSTFSEYTVVHSGCVAKINPSAPLDTVFLLSCGYCTGFGAAVNVAKTPKGSTVAVFGLGGVGLAAVEGARISGASRIIGIDVNPNKFEQGRKFGVTEFVYPKDYDKPVQEVLVEMTNGGVDRSIECTGDVQAMISAFESVREGWGVAVIVGVANKDDLFKTNPFNFLLEKTLKGTLFGNFKPRTDTPLVVEKYMNKEIELEKFITHSVPFSEINTAFDLMLKGEGIRCLIRMEE >Manes.18G086900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:8009689:8012074:-1 gene:Manes.18G086900.v8.1 transcript:Manes.18G086900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCNPIIHCKAAVSWEAGKPLVIEEVEVAPPQAMEVRIKILFTSLCRSDVHFWEAKGQSTLFPRIFGHEAGGIVESVGEGVTELEPGDHVLPIFTGECKECAQCKSEQSNMCEILKVNKDRGVMIEDGKSRFSIKGKPIYHFLGTSTFSEYTVVHSGCVAKINPSAPLDTVFLLSCGYCTGFGAAVNVAKTPKGSTVAVFGLGGVGLAAVEGARISGASRIIGIDVNPNKFEQAKKFGVTEFVYPKDYDKPVQEVLVEMTNGGVDRSIECTGDVQAMISAFESVREGWGVAVIVGVANKDDLFKTNPFNFLLEKTLKGTLFGNFKPRTDTPLVVEKYMNKEIELEKFITHSVPFSEINTAFDLMLKGEGIRCLIRMEE >Manes.10G152100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31918060:31922547:1 gene:Manes.10G152100.v8.1 transcript:Manes.10G152100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCVLKIVNWHLGFKTSSFSLVDCSTSGYGKCHGLTGDHLHGLIPRTYATSCAKLSEDPLHTNSVSDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPSPKLLTQNEIVRLASLFVSSGVDKIRLTGGEPTVRKDIEEICLQLSNLKGLNTLAMTTNGIALKRKLPKLKECGLTSLNISLDTLVPAKFEFMTRRKGHERVMESINAAIDCGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVSQRSLQN >Manes.10G152100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31917635:31921908:1 gene:Manes.10G152100.v8.1 transcript:Manes.10G152100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPSPKLLTQNEIVRLASLFVSSGVDKIRLTGGEPTVRKDIEEICLQLSNLKGLNTLAMTTNGIALKRKLPKLKECGLTSLNISLDTLVPAKFEFMTRRKGHERVMESINAAIDCGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVSKKFTELKRLQDHPTDTAKNFKIDGHLGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRSGADEPELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Manes.10G152100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31918060:31922547:1 gene:Manes.10G152100.v8.1 transcript:Manes.10G152100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCVLKIVNWHLGFKTSSFSLVDCSTSGYGKCHGLTGDHLHGLIPRTYATSCAKLSEDPLHTNSVSDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPSPKLLTQNEIVRLASLFVSSGVDKIRLTGGEPTVRKDIEEICLQLSNLKGLNTLAMTTNGIALKRKLPKLKECGLTSLNISLDTLVPAKFEFMTRRKGHERVMESINAAIDCGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVSKKFTELKRLQDHPTDTAKNFKIDGHLGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRSGADEPELREIIGAAVCSLYFVVL >Manes.10G152100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31917668:31921817:1 gene:Manes.10G152100.v8.1 transcript:Manes.10G152100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCVLKIVNWHLGFKTSSFSLVDCSTSGYGKCHGLTGDHLHGLIPRTYATSCAKLSEDPLHTNSVSDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPSPKLLTQNEIVRLASLFVSSGVDKIRLTGGEPTVRKDIEEICLQLSNLKGLNTLAMTTNGIALKRKLPKLKECGLTSLNISLDTLVPAKFEFMTRRKGHERVMESINAAIDCGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVSQRSLQN >Manes.10G152100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31917643:31922546:1 gene:Manes.10G152100.v8.1 transcript:Manes.10G152100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCVLKIVNWHLGFKTSSFSLVDCSTSGYGKCHGLTGDHLHGLIPRTYATSCAKLSEDPLHTNSVSDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPSPKLLTQNEIVRLASLFVSSGVDKIRLTGGEPTVRKDIEEICLQLSNLKGLNTLAMTTNGIALKRKLPKLKECGLTSLNISLDTLVPAKFEFMTRRKGHERVMESINAAIDCGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVSQRSLQN >Manes.10G152100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31917635:31921908:1 gene:Manes.10G152100.v8.1 transcript:Manes.10G152100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPSPKLLTQNEIVRLASLFVSSGVDKIRLTGGEPTVRKDIEEICLQLSNLKGLNTLAMTTNGIALKRKLPKLKECGLTSLNISLDTLVPAKFEFMTRRKGHERVMESINAAIDCGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVVSQRSLQN >Manes.10G152100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31917643:31922546:1 gene:Manes.10G152100.v8.1 transcript:Manes.10G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCVLKIVNWHLGFKTSSFSLVDCSTSGYGKCHGLTGDHLHGLIPRTYATSCAKLSEDPLHTNSVSDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPSPKLLTQNEIVRLASLFVSSGVDKIRLTGGEPTVRKDIEEICLQLSNLKGLNTLAMTTNGIALKRKLPKLKECGLTSLNISLDTLVPAKFEFMTRRKGHERVMESINAAIDCGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVSKKFTELKRLQDHPTDTAKNFKIDGHLGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRSGADEPELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Manes.10G152100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31917668:31921817:1 gene:Manes.10G152100.v8.1 transcript:Manes.10G152100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRCVLKIVNWHLGFKTSSFSLVDCSTSGYGKCHGLTGDHLHGLIPRTYATSCAKLSEDPLHTNSVSDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTPSPKLLTQNEIVRLASLFVSSGVDKIRLTGGEPTVRKDIEEICLQLSNLKGLNTLAMTTNGIALKRKLPKLKECGLTSLNISLDTLVPAKFEFMTRRKGHERVMESINAAIDCGYNPVKVNCVVMRGFNDDEICDFIELTRDKPINIRFIEFMPFDGNVWNVKKLVPYSEMLDRVSKKFTELKRLQDHPTDTAKNFKIDGHLGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLRSGADEPELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Manes.01G053100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20678144:20683789:-1 gene:Manes.01G053100.v8.1 transcript:Manes.01G053100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDTARNVVGILGNVISLFLFLSPVPTFVQIWKKRAVEQYSATPYLATLVNCMVWVLYGLPMVHPKSLLVVTINGSGTAIEFIYIILFIIYADKKKRVKVILIVLIEIIFIAAVAVLVLTLAHTTKKRSMIVGLVCICFNIMMYASPLSVMKLVITTKSVEYMPFFLSLASLGNGLAWTTYALIHFDPFIVIPNGLGSLFAVGQLVLYAVFYKSTKRQIAEREGKAEVGLSQIVVNGDSKKKPSTASLNASASEINRA >Manes.01G053100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20678146:20683455:-1 gene:Manes.01G053100.v8.1 transcript:Manes.01G053100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDTARNVVGILGNVISLFLFLSPVPTFVQIWKKRAVEQYSATPYLATLVNCMVWVLYGLPMVHPKSLLVVTINGSGTAIEFIYIILFIIYADKKKRVKVILIVLIEIIFIAAVAVLVLTLAHTTKKRSMIVGLVCICFNIMMYASPLSVMIPNGLGSLFAVGQLVLYAVFYKSTKRQIAEREGKAEVGLSQIVVNGDSKKKPSTASLNASASEINRA >Manes.01G053100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20678146:20683455:-1 gene:Manes.01G053100.v8.1 transcript:Manes.01G053100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDTARNVVGILGNVISLFLFLSPVPTFVQIWKKRAVEQYSATPYLATLVNCMVWVLYGLPMVHPKSLLVVTINGSGTAIEFIYIILFIIYADKKKRVKVILIVLIEIIFIAAVAVLVLTLAHTTKKRSMIVGLVCICFNIMMYASPLSVMKLVITTKSVEYMPFFLSLASLGNGLAWTTYALIHFDPFIVIPNGLGSLFAVGQLVLYAVFYKSTKRQIAEREGKAEVGLSQIVVNGDSKKKPSTASLNASASEINRA >Manes.01G053100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:20678146:20681242:-1 gene:Manes.01G053100.v8.1 transcript:Manes.01G053100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDTARNVVGILGNVISLFLFLSPVPTFVQIWKKRAVEQYSATPYLATLVNCMVWVLYGLPMVHPKSLLVVTINGSGTAIEFIYIILFIIYADKKKRVKVILIVLIEIIFIAAVAVLVLTLAHTTKKRSMIVGLVCICFNIMMYASPLSVMKLVITTKSVEYMPFFLSLASLGNGLAWTTYALIHFDPFIVIPNGLGSLFAVGQLVLYAVFYKSTKRQIAEREGKAEVGLSQIVVNGDSKKKPSTASLNASASEINRA >Manes.05G028000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2409850:2415855:-1 gene:Manes.05G028000.v8.1 transcript:Manes.05G028000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQTLPDNPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYVVQALVNAVDHLGTVAYKLTDLLEKHTLDVSMMELNISCLNQQLLTCQAYSDEEGLRRQQLLAFIPRHHKHYILPNSVNKKVHFSPRVQTAARQNPLHARSHLQPSGSPTSTTLSWHLASETKSTLKGTSQTVMSAEDTKDSGKSSAVFQLLGKESTWRRPSGVLAQEPLESSKPLTAFRSFDSPRREVVHAPTRSKSVLSAFFVKQKTPKRRAGPVS >Manes.05G028000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2409850:2415855:-1 gene:Manes.05G028000.v8.1 transcript:Manes.05G028000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQTLPDNPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYVVQALVNAVDHLGTVAYKLTDLLEKHTLDVSMMELNISCLNQQLLTCQAYSDEEGLRRQQLLAFIPRHHKHYILPNSVNKKVHFSPRVQTAARQNPLHARSHLQPSGSPTSTTLSWHLASETKSTLKGTSQTVMSAEDTKDSGKSSAVFQLLGKESTWRRPSGVLAQVSTASLASGVIMQTFGVTCREPLESSKPLTAFRSFDSPRREVVHAPTRSKSVLSAFFVKQKTPKRRAGPVS >Manes.05G028000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2410240:2415855:-1 gene:Manes.05G028000.v8.1 transcript:Manes.05G028000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQTLPDNPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYVVQALVNAVDHLGTVAYKLTDLLEKHTLDVSMMELNISCLNQQLLTCQAYSDEEGLRRQQLLAFIPRHHKHYILPNSVNKKVHFSPRVQTAARQNPLHARSHLQPSGSPTSTTLSWHLASETKSTLKGTSQTVMSAEDTKDSGKSSAVFQLLGKESTWRRPSGVLAQVSTASLASGVIMQTFGVTCREPLESSKPLTAFRSFDSPRREVVHAPTRSKSVLSAFFVKQKTPKRRAGPVS >Manes.05G028000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2410240:2415855:-1 gene:Manes.05G028000.v8.1 transcript:Manes.05G028000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQTLPDNPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYVVQALVNAVDHLGTVAYKLTDLLEKHTLDVSMMELNISCLNQQLLTCQAYSDEEGLRRQQLLAFIPRHHKHYILPNSVNKKVHFSPRVQTAARQNPLHARSHLQPSGSPTSTTLSWHLASETKSTLKGTSQTVMSAEDTKDSGKSSAVFQLLGKESTWRRPSGVLAQEPLESSKPLTAFRSFDSPRREVVHAPTRSKSVLSAFFVKQKTPKRRAGPVS >Manes.18G061500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:5423530:5426323:1 gene:Manes.18G061500.v8.1 transcript:Manes.18G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCNAESAIATCDPYYWECKRRKNKTNRNKPNKPIKIRDFTYPELVKATNGFSAESFLGKGSHGTVYKASLDDGRLIAAVKAASRNPSISIHSNCTSCTTPAENEIEILSRVQHPRLVNLIGFCVDCKDRKLLVVEYMPNGSLYSLLHCSSRPPGWTRRVRFALQIAKAVQALHSANPPVIHRDIKSPNVLIDENWNARLGDFGLALRGHVEDVRAKCTPPAGTLGYLDPGYLAPGDLSTKSDVFSFGILLLEVISGRNAIDVNYSPPSIVDWAVPLIKRGDFSAICDHRIGSPVDPGVIRNLAILAAKCVRSTAEKRPAMTEVVEALKMVRKRIQAPHIWNSLRRRVGLVEESRSNEEAIDSNKEIVNANKTTRVGNRRNRKVSNVEYGNETIGFAGERVSRSKSVGSFSDTKLRRSDSRRKAGVAVKIPVVKLSKSRSMGVLQRSLDIEMEGVINSREIEASMSKLLIELDEKSEQEMQEKPLVISI >Manes.07G018200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2101896:2103658:-1 gene:Manes.07G018200.v8.1 transcript:Manes.07G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQLVFVPAPAMGHLVSAVETAKLLLSRCHSLSISVLILNHSIVPSKVHSYVESQIASSSNRLRFIYLRKDETGISSFSSLIEKQKPHVKETVMKITESGSSVESPRLVGFIVDMFFTAMIDVANEFGVPSYIFYTSGAAFLNFMLHVQKIHDEENFNPIEFNASDGELQVPGLVNAFPAKAMPTEILSKEWFPPLLENTRRYGEAKGVIVNTFFELESHAIESLKNPPIYPVGPILDVRSDGRNTNQEIMQWLDDQPPSSVVFLCFGSSGSFSKDQVKEIACALENSGHRFLWSLRRPPAPGFLESPSDYEDLQEDLPEGFLERTSGIGKVIGWAPQVAVLAHPATGGFVSHSGWNSILESIWFGVPVATWPMYAEQQFNAFQMVIELGLAVEIKMDYRNDSGEIVKCDQIERGIRCLMKHDSDRRKKVKEMSEKSRRALMEGGSSYCWLDNLIKDMMK >Manes.10G153200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31968428:31974174:1 gene:Manes.10G153200.v8.1 transcript:Manes.10G153200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPGLLSKLLENAGNKDVRVTGEHRSVLLQVVEILPSLAGPDDPWQSRGFFLKVSDSLHSAYVSIQDEDMDLIYSDKIQLGQFVYVSRLDSASPVPVLRGLKPVPKRRPCVGNPKDLVSSDLLPIRASVTFSKEKKGSKTAGLTKKRAADSKARRRDSLGNATKVEGLELRRLSLDSARRIWNQTPSPKSSSTPSMTLKSSKNFQSEKKTAPKNNLSLKGPTLSISPLKSKNEASLPEATTRPWKKELKSITDHAIPSCLVKLPLSTKALSEQRISWDAVPSVIHNLGKETVHHRNVAFFAAVSALEEASAAENVILCMQAFAELCKSSQNMSSGPLVEKYLDLHQNMQKAAKVINSLTNDSLSEAMSSFYDGQQCLLPSTRKTKMNKNAVSWVHAALETNLSKFILLKEPEKSEMVNSDKCHYVILGSIPEELQSENQLPQIRGRPGNYGKLSEASPMRVPSSPSLLSAEKKMDPKKDDCPKRSVLKETASLAEKLLLHSREWFFKYMEDSLNNGFQFCRGGDSEIAYLLRQLKRVNQWLDDWGSWGVKVDRRIEDLRKKLYGFLLEHVDIAVASDK >Manes.11G038900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3715094:3718644:1 gene:Manes.11G038900.v8.1 transcript:Manes.11G038900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSLIITGAGFFLIGTWESLTSSTDLHLFSNPNPTLSSSIDQISKSTTQKNTNSKDQSSSLICIVITVFSCLFIIDSLISLSNAINSQDGIGFALQLQGLAVAALFSLYSILGLVSNLSGSVRFSSSLLDLILLFAFAEEFLLYYLQRKDTSGIENRYFDLMLVPITICVVSTILELKSSSKSNNYARMARGVGLILQGMWFVQMGISFYTNMIVHGCSLHEKSRGDYTIKCKGHSEYHRARGIATLQFNCHLALLVVLVTSVYSIVAKKNGDHINFVQYKPLGAEMQQLENNGHFTLDSDEDEIREEENVAKEKLATVEMGVNGYSSHE >Manes.15G002975.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:235627:236323:-1 gene:Manes.15G002975.v8.1 transcript:Manes.15G002975.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPRRAAYVSFQSVYSFWASVLSLSAHCPLPFYKPFAFSSLFLIPFTSLFLLLLLLLLLISLSLSLSLSMKERGKHSEVKLRHKFLQGIHEVKIMNNATICNASSGGFLAGFSFGAKSPFGMVVGSC >Manes.15G174300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14998171:14999592:1 gene:Manes.15G174300.v8.1 transcript:Manes.15G174300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKDMCNWAGDASHFLNGLVGGDCKDIEGFFHYRCAQKRKTVPLLANVSSFPSQNAIFLISPFYLL >Manes.15G174300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14997549:14999817:1 gene:Manes.15G174300.v8.1 transcript:Manes.15G174300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIFFASSKWDLLNFCLLIFVYGVEEGYVQLGRLVGGDCKDIEGFFHYRCAQKRKTVPLLANVSSFPSQNAIFLISPFYLL >Manes.18G076700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6975753:7008515:1 gene:Manes.18G076700.v8.1 transcript:Manes.18G076700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLARATKAGASIIKLPSFLEIFYFFSSSYNTQFVVLEFESWSVAKNQENPSSLAPSSIAHLGFFNFHLSTESMDESPSQLVSEIGAQLARLPRPNKDFLVKSLRQAATALSQIEQPSSSLASKKMEVMKKLENAIQPLSKSIIKRGLLRHSDKDVKLLVAICVSEIFRILAPEPPFEDRYLRDVFKLILSMFAELADITSPYFSRRVKILETVARCKCCVIMLDIDCNDLVIDMFNIFFSVVRENHQRSLINDIMSIMTHILNEEASKPLSDVILRNLIKEGMAESPAASKLAISVIESCAEKLEPFVCGFLTSCSLDRDAVENELKEFYHEILFKVFQCAPQMLLAVIPNLAQELLTDQVDVRIKAVNLIGKLFALPEHHVAQKYRNLFVEFKNRFSDKSVEVRLSALQCAKACYMSNPSAKESSELLSAVGGRFLDFDDRVRTLAVVVVCDIARLNLKIFPPELISKAAERLRDKKVSVRKKALQKLTEVYQDYCNKCSEGYLNIGNHFEQIPCKILMLCYDKDCKDFRSQNMEHILAEDLFPIHLSVEDRTRHWIHFFSLFTPLHVKALNSILTQKRRLQTEMQSYLVLRKKQKDNSSEEMQKRTKNSFMKMSASFPDASKAEDCFHKLNQMKDNNIFSALEQLLVESTIINAKTMRDKFLKMIGDKHPCFEFLQLLSSKCSFNVFSSEHVRCILDQLSGNISGYGNLEASSTKLLLVIINIFPSLLRGSEEQFRMLLEKHPIKDVLIEVLAKAAPYISVKFSDFYPFLERVCVEGTRAQSKHAVSAIASLITSSEQFIFSRLCKELVASLHRGWNTPTILQSLGCIAQHSVSSFEAQYGEIRSYIFEKIFQEEQSDGLTAVDETSECSYSCKLKIYGLKTLVKSFLPHRGSHINRQIDELLHILLSLLQKGDAFDGIILSASDKPHIRLAAAKSVLRLSRRWDLHVSPKTFCFTILMAKDSSSLVRRKFLDKTHKLLKEHAIPSRYASAFALAAFDCSKDLQDASSKYMEEFIKEYSIEARNHQPSAVQGESFTDYPAYVVVFLIHILAHDSGFPTEDCQDEQVYADFVSPLFWVVRALVNSNVVNGNKDLVNDAVVYLLSIFRAIKRAEDAIDASRTPKLQILAEIGMSSVNALTYSGVSSSRAPGLIFLPSSLYRICLDKKSNEFPVDDNFIKRVVQSLKSQFSMVVSCLPRRGRKYQEDGIRSGDAKYNTLNLASHKQAKLLGIEATEMHGSLRQDIVLGHRQRCAVSESVGLHIEHCKENAPMSSKSIQEKQISSSCDSATMEPSPSLSQSHESGALIGKRIKLLSPIDKCFYSGTVVGFNPCNNTHKISYDSGDVELLCLDSESWETICDDSSKEKETVLADESNIFHSSDCDLVGCSCLGGMGETTCKLGDGASIQSQNLANKENEKFCTRMTSFPDKGKKRQKILSETSASEVINVTGDAPVRRSRRRKV >Manes.18G138301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15899960:15901530:1 gene:Manes.18G138301.v8.1 transcript:Manes.18G138301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETLSFSLILLCFSLSLREILADYGGWESAHATFYGGGDASGTMGGACGYGNLYGQGYGTNTAALSTALFNNGMSCGACYEMRCDDDPRWCLPGTLTVTATNFCPPNPSLPNNNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVAFRRVPCVKKGGTRFTINGHSYFNLVLITNVAGAGDVHAVSIKGSRTGWQPMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTVTSYNVVPAGWQFGQTFEGGQF >Manes.03G142600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27076556:27082123:1 gene:Manes.03G142600.v8.1 transcript:Manes.03G142600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKERQSASNSPKVEVGEIDTSAPFQSVKDAVTHFDEGTFSGEKPTIRKSKPHSAERVLVNETQLHLAQKELNKLKDQLKNAEITKAQALVELEKAKRTVEDLTQKLINVTESKDSAITETETAKNQAKEIEETKSGDTSGSSGSRKQDLESAREQYMTVFTELDVAKQELRKIRQGCDASLEAKLAALNQAAEAENAVKANVEKVGELSREISVLQESIGQVKLASLQAQQEQAKTFAEKDVLRQSYKATLETSANKLIALKNEFDPELARNLEKQLAETMNEIDALQKQMEKAKASDLDSVRAVTSELDDAKESLQKVAEEESSLQNLLDSLRLELENVKKQHSELKEKEAETETIAGNLHVKLCKIKAELEAALAEESKTRGTSEEMISTFHQLSSEAENARQEAEQMKHKAEELKKEAEAARIELEEAEKKLRVALEEAEEAKAAETRALDQIKILSEKTNAARASTSESGAKITISREEYKALSRKVEESDTLAEMKVAAAMAQVEAVKASENEAFKRIEATQKEIEDMEVATQEALKRAEMAEAAKRAVEGELRRWREREQKKAAETASRILAETEMSLESSPHHYKIQKQKPTAQKVIEVRKLEKAKTSISKKVLLPKLSGIFQRKKYQIEGGSPSYLPGEKPV >Manes.03G197600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31500869:31504412:-1 gene:Manes.03G197600.v8.1 transcript:Manes.03G197600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEESKRSITGGLVAKPISDDRKVSPASAPTAKKIIIKNADMKDDMQKEAVDIAIAAFEKLNVEKDVAEHIKKEFDRRHGPTWHCIVGRNFGSYVTHETNHFIYFYLDQKAVLLFKSG >Manes.03G197600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31501311:31503656:-1 gene:Manes.03G197600.v8.1 transcript:Manes.03G197600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEESKRSITGGLVAKPISDDRKVSPASAPTAKKIIIKNADMKDDMQKEAVDIAIAAFEKLNVEKDVAEHIKKEFDRRHGPTWHCIVGRNFGSYVTHETNHFIYFYLDQKAVLLFKSG >Manes.16G014300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1438047:1440365:-1 gene:Manes.16G014300.v8.1 transcript:Manes.16G014300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLSARHINILPLLLHFLMIIHLTDALECYKTGNFTANSTYAKNRGLILSYLASNVTENGGFYTATVGQGPDKVYGMVLCRADTPSEECSKCVNTAIAELVEQCPNQKEALSWGGDPPCIIRYANRSIVGLLELSPTDAGSNVNNITSNMEEFDQIWGSLMDRVVTKASMGSSKVKFATEEANLTPFQKIYALMLCTPDISKGNCSYCLRESVEYYQSCCHGKQGGYVQKPNCIFRWDLYRFYNSIADAPTPTTPPPPLSISPPPANNTTSKGNGTTSGRTVVIITVPTISFAAVVVLTCSLFYYRNRKKRTKSKRNRKKRTKKVDESSSTECMKFNFETIRLATKDFSDNNKLGQGGFGAVYKGVLPDGQVVAIKRIARISKQGEADFKNEVMLVARLQHRNLVRLLGFCFERNERLLVYEFVKNSSLDHFIYDREKRLLMDWSTSRFSASDYSP >Manes.10G044200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4636488:4646652:1 gene:Manes.10G044200.v8.1 transcript:Manes.10G044200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDANQLPEVDSLPDGFVESTNEPMAPPTPTLEQEKPLTDCNEDSISEIDHSNERIPSLEANGSQIKQESNEKTEKLRPLPISLSEIDGFDAPEQLEEVPDKASGDQAEAIKVGSGMQATDAKEISSSESIELQKGRKLETTEAKRKNAKRSFKSEKEFLEFTLKYQQVLAERDAAIAVRNKLESLCRELQRQNKMLMAECKRVSMEGQSLRLDLSTKFQDAIKDVSNRLEEQKEECFSQLKDNEMLRSKLKQLADQYALSEQQNAQKLKQKILELQLADLKIKQQEEKLVQEQSQMKVYAEQVAQLLATEKNLRLQLTADGEKFQQFQEALLKSNEVFETFKQEIEKMAKSIKELKKENVFLKSKSEKSDGRHIELVEEHEKLKKQLEKTKNQKEKLESLCRSLQAERKQNSNVGNTPES >Manes.04G043500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6456649:6462509:1 gene:Manes.04G043500.v8.1 transcript:Manes.04G043500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKKMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALEAAQVTPDEIDCLCYTKGPGMGAPLQVSAIVVRVLSQLWKKPVVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLQLSNDPAPGYNIEQLAKKGEKFLDLPYVVKGMDVSFSGILSFIEATAEEKLKNNECTPADLCYSLQETVFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQEMMKTMCSERGGTLYATDDRYCIDNGAMIAYTGLLAFANGITTPLEESTFTQRFRTDEVHAIWREKELSRMNAVGE >Manes.01G000300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1516727:1524233:1 gene:Manes.01G000300.v8.1 transcript:Manes.01G000300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNSTVGESVDKVEDEVARVVEQAKDLQDSASSLISRSSNDEQSLRQRALSLESSIRRCRSLLDSALSHKLLDPKLADKLEEDLHRARCIMLDGDAAAFLPAKAQGRFLRMFLGPINVRASRKDIQLKVKEEYNGYRDRTALLFLLFPSVLLILRSWVWNGCLPAFPVQLYQAWLLFLYTGLALRENILRANGSDIRPWWIYHHYCAMIMALVSLTWEIKGQPNCVQKQRGVQLFLQWAMMQGVSMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQG >Manes.01G000300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1516727:1524233:1 gene:Manes.01G000300.v8.1 transcript:Manes.01G000300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNSTVGESVDKVEDEVARVVEQAKDLQDSASSLISRSSNDEQSLRQRALSLESSIRRCRSLLDSALSHKLLDPKLADKLEEDLHRARCIMLDGDAAAFLPAKAQGRFLRMFLGPINVRASRKDIQLKVKEEYNGYRDRTALLFLLFPSVLLILRSWVWNGCLPAFPVQLYQAWLLFLYTGLALRENILRANGSDIRPWWIYHHYCAMIMALVSLTWEIKGQPNCVQKQRGVQLFLQWAMMQGVSMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGLQLLKTAFVRVVSEWQVIFCGILLVFMAAGNFLNTVQTLMAKSKFKAKMKRSKSKQELD >Manes.01G000300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1516727:1524233:1 gene:Manes.01G000300.v8.1 transcript:Manes.01G000300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPLLFFQPKLRVSIILILELFFILQILREFHNLSCTSPHAGRFLRMFLGPINVRASRKDIQLKVKEEYNGYRDRTALLFLLFPSVLLILRSWVWNGCLPAFPVQLYQAWLLFLYTGLALRENILRANGSDIRPWWIYHHYCAMIMALVSLTWEIKGQPNCVQKQRGVQLFLQWAMMQGVSMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGLQLLKTAFVRVVSEWQVIFCGILLVFMAAGNFLNTVQTLMAKSKFKAKMKRSKSKQELD >Manes.08G039000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3833713:3836158:-1 gene:Manes.08G039000.v8.1 transcript:Manes.08G039000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAAAVAATSLAAEPTQPYNEVKLFNRWTFEEVQVNDISLSDYIGVQPAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Manes.04G065032.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25885436:25892377:-1 gene:Manes.04G065032.v8.1 transcript:Manes.04G065032.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSAHLLLSLILLLFAALVTTSLSQPFKCATSASCRAVIDYVPSNVTTLSAIETLFSIKNLRTLLGANNFPLSTLPNQTLAAKQTIKIPFSCLCSNGAGISNKKPIYSVQPDDGLDHIAREVYSGLVTYQEIAAVNNISNVNLIFKGQKLWIPLPCSCDEVDGEKVVHYGHVVESGSTLELIAQEYGTTKNTLMRLNSIANDSSLIAGQVLDVPLRACNSSVSNSSLDYPLLVSNGTYVFTANGCMRCSCDSAKDWTLQCQPSGLRPANNTWQTCPSMLCEGLDILSVGNTTAVGCNQTTCDYSGFSNQSILTTLATVNTCPASNPGPDENKSSMIGQRWKFLFISLHLIMLCLHLLW >Manes.04G065032.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:25888882:25899168:-1 gene:Manes.04G065032.v8.1 transcript:Manes.04G065032.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSHHIIISLLFLFAFATKPSTVQAGFKCTQSDRTTCTSLIGYKSPNQTTIFHLSNFFGVHDINSLLGANDLPLSTPSNYTVNAQQVINIPIPCRCANGTGISNNIPRYRVQKDDTLFYIASDVFGGLVKFPEIQQVNQIPNANLIVTGERLWIPLPCSCDDVEEQRVVHYGHVVEAMSTMEGIAKQFGTTSDVLFKLNDISDDSKLIAGNAIDIPLTACNSSVSNSSLDYPLLVSNGTYVFTANGCMRCSCDSAKDWTLQCQPSGLRPANNTWQTCPSMLCEGLDILSVGNTTAVGCNQTTCDYSGFSNQSILTTLATVNTCPASNPGPDENKSSMIGQRWKFLFISLHLIMLCLHLLW >Manes.04G065032.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:25898503:25899168:-1 gene:Manes.04G065032.v8.1 transcript:Manes.04G065032.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSHHIIISLLFLFAFATKPSTVQAGFKCTQSDRTTCTSLIGYKSPNQTTIFHLSNFFGVHDINSLLGANDLPLSTPSNYTVNAQQVINIPIPCRCANGTGISNNIPRYRVQKDDTLFYIASDVFGGLVKFPEIQQVNQIPNANLIVTGERLWIPLPCSCDDVEEQRVVHYGHVVEAMSTMEGIAKQFGTTSDVLFKLNDISDDSKLIAGNAIDIPLTGQ >Manes.13G118500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:32539516:32542584:1 gene:Manes.13G118500.v8.1 transcript:Manes.13G118500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSNGLHIWWTILAVVVLFLVVQSFGLNTDGILLLSFKFSILTDPLRVLQSWNYNDETPCSWNGVTCGALSRVTGLSLPNSQLLGSIPADLGMIQHLQNLDISNNSLNGSLPFSLFNASQLRFLDLSNNMISGELPETTGSLQNLEFLNLSDNALSGTLPSSLPSLHNLTVVSLKNNYFFGGLPSGFGAFQVLDLSSNLINGSLPQDFGGSNLQYLNISYNKLSGQIPVEFASQNPGNATIDLSFNNLSGLIPNSSIFLNQKAASFIGNPDICGEPSRNPCPILSHSPSFLPNVSSPTSPPAIAAIPKTISSSPATTRPGRAATETQGLRRGTIIGVIVGDIAGAAILGMIFFYVYHLKKRKNVETTIKKEANNAKDTRSSSSSESRGFTRWSCLRKRDNEEESDSPSSDNDDENPGNLENQRPQEQEQNKGGKLVTIDGEKQLELETLLKASAYILGATGSSIMYKAVLEDGTALAVRRIGESHVERFRDFETQFRVISKLVHPNLVRICGFYWGADEKLIIYDFVPNGSLANARYRKVGSSPYHLPWEARLKISKGVARGLSFLHDKKHVHGNLKPSNILLGYDMEPKVGDFGLERLVTGDLSCKSSGSTRNFGSRRSTASRDSFQDFSLGPSPSPSPSPSLIGGLSPYHAPESLRNLKPNPKWDVYSFGVILLELLTGKVIVVDELGQGSNGLVVDDLNRAVRMADVAIRADVEGKEESLLACFKLGYSCASPIPQKRPTMKEVLQVLEKIPSSS >Manes.05G055700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4649750:4652260:1 gene:Manes.05G055700.v8.1 transcript:Manes.05G055700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEDDEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIISESEIMKEDDANWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >Manes.12G046300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4172287:4174266:1 gene:Manes.12G046300.v8.1 transcript:Manes.12G046300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTIMKLIFLGSSFSIVWYMRNHKIVNRSYDKSQDTFRHYFLILPCLLLALIVNEKFTFKEVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHYIHWISMNSPYFSPCVCICLICLLLSKQTQAYACLCA >Manes.12G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4172013:4176986:1 gene:Manes.12G046300.v8.1 transcript:Manes.12G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTIMKLIFLGSSFSIVWYMRNHKIVNRSYDKSQDTFRHYFLILPCLLLALIVNEKFTFKEVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWIYRYFTEPHYIHWITWISGLVQTLLYADFFYYYFESWKNNKKLQLPA >Manes.04G081900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28322830:28323773:1 gene:Manes.04G081900.v8.1 transcript:Manes.04G081900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLAAVLAKQIRKASPTSFDVPKGFISVYVGQAQKKRFLIPVSYLNQPSFQHLLSLAEEEFGFHHPMGGLTIPCRVDTFNAVISNLSRS >Manes.12G033400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2917455:2925590:-1 gene:Manes.12G033400.v8.1 transcript:Manes.12G033400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKRTRSCPEYESAGKLSAPPGFASLTSFKLRKVESEEPTSMAFASASNGNPIEMDSASNAIDVASLKRSFCYRPWILDNQKNHNHNQEKSDTEQVVMGISLRTSLPKGVARGCPDCSNCVKVTARWLPKDARNNVLEEAPVFHPTEEEFEDTLKYIASIHPRMEAFGICRIVPPPSWHHPCLMEEKEIWRNSKFVAQIQRIDGLQNQYGEGQMDRTCENGSCESSSLRMDGLDGVGCYDVEGFESESGPEFTLETFHKYADNFKSQYFCARSEVVGSDVDSTLDQERWEPSLENIEGEYRRIIENPTEEIEVLYGGGLDSEVFLGGFPSRSSFSKILDYYEYLNSGWNLNNTPGLSGSLLSFESFRTCGSQVPKVRVGMCFSSFCWKVEEHHLYALCYMHLGAPKIWYGIPGRHNVKFKALLKKHLPDLLVVEPKLRDRLANKLYPSAVKSEGIPVYRCIQYPGEFVLILPGAYYSGFDCGFNCVEAVNVAPIEWLPYGQNVVELYCEQGKKTSISHDKLLLGAVRKAVKAQWEISLLKKNSEDNLRWKDACGKDGILAKALKSRIKLEGNRRDYLCTSLHSQRMDKKFDATSKRECSICFYDLHLSAVGCQCSADRYTCLNHSKQLCSCAWSQKIFLFRYEISELNTLLEAVEGKLSAVYKCAREILKLSVYCDSSEDNSQAPRRGIGGLSSHINESEEKEHEARRNSATSSSNFSSLREELKARLLQTRSLNMQNPKQNMTDSTFVTSAAPDGSFLASKSGSTSVSSSSESGTS >Manes.12G033400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:2918174:2925535:-1 gene:Manes.12G033400.v8.1 transcript:Manes.12G033400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKRTRSCPEYESAGKLSAPPGFASLTSFKLRKVESEEPTSMAFASASNGNPIEMDSASNAIDVASLKRSFCYRPWILDNQKNHNHNQEKSDTEQVVMGISLRTSLPKGVARGCPDCSNCVKVTARWLPKDARNNVLEEAPVFHPTEEEFEDTLKYIASIHPRMEAFGICRIVPPPSWHHPCLMEEKEIWRNSKFVAQIQRIDGLQNQYGEGQMDRTCENGSCESSSLRMDGLDGVGCYDVEGFESESGPEFTLETFHKYADNFKSQYFCARSEVVGSDVDSTLDQERWEPSLENIEGEYRRIIENPTEEIEVLYGGGLDSEVFLGGFPSRSSFSKILDYYEYLNSGWNLNNTPGLSGSLLSFESFRTCGSQVPKVRVGMCFSSFCWKVEEHHLYALCYMHLGAPKIWYGIPGRHNVKFKALLKKHLPDLLVVEPKLRDRLANKLYPSAVKSEGIPVYRCIQYPGEFVLILPGAYYSGFDCGFNCVEAVNVAPIEWLPYGQNVVELYCEQGKKTSISHDKLLLGAVRKAVKAQWEISLLKKNSEDNLRWKDACGKDGILAKALKSRIKLEGNRRDYLCTSLHSQRMDKKFDATSKRECSICFYDLHLSAVGCQCSADRYTCLNHSKQLCSCAWSQKIFLFRYEISELNTLLEAVEGKLSAVYKCAREILKLSVYCDSSEDNSQAPRRGIGGLSSHINESEEKEHEARRNSATSSSNFSSLREELKARLLQTRSLNMQNPKQNMTDSTFVTSAAPDGSFLASKSGSTSVSSSSESGTS >Manes.10G084901.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21804835:21808951:-1 gene:Manes.10G084901.v8.1 transcript:Manes.10G084901.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCTYRAYDAVDEITAAFSIAFNPAGTKIFDIHRPGREFEQYSTLQGNKEGQAGIISTIAFCPTHSGMLATGSYNQTAAIFREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGARKVLRMK >Manes.13G051000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5802289:5803497:-1 gene:Manes.13G051000.v8.1 transcript:Manes.13G051000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSRFIRPRPPSPHHHHHHHHFFCHRHRHRHRHYQQCHHYFCIPHKQHHHFCPLHNPYHHHHYDCHAVVRGPELIGSYPLQNDFNLLADVREETSASITQVLQEPGHNALEEEEEEEEEDPIFVLTDEWREFFAKSEAKRKLG >Manes.13G051000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:5800572:5803497:-1 gene:Manes.13G051000.v8.1 transcript:Manes.13G051000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSRFIRPRPPSPHHHHHHHHFFCHRHRHRHRHYQQCHHYFCIPHKQHHHFCPLHNPYHHHHYDCHAVVRGPELIGSYPLQNDFNLLADVREETSASITQVLQEPGHNALEEEEEEEEEDPIFVLTDEWREFFAKSEAKRKLEKQQAKKKRQTLMGKAGHAQA >Manes.13G128600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33661389:33666638:-1 gene:Manes.13G128600.v8.1 transcript:Manes.13G128600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFASAAAGAGLELVFGSFLRAILEVRRSNIMFASTLKLLEETMESIRPSIMRIETFNRDLDRPDEIKRLQDLMRRGEELVLKCAKIHRYNYVKKPLYTKKLVKLDESIRRYIETILPLHQTSDVKETLFEMRILSSQIRNLSMGGASSAGVSSAGVSNKSGFVGVCSPPGLKVVPVGLEIPLKELKEKLLKDDKASQVTVVSAPGGCGKTTLVTALCQDADVKEKYKGNIFFVTVSKSLNALVIVERLFQHMNSSTGFLSEEDAVNQLENLLRSIGPEPILLVLDDVWSGAEYLVEKLKFPIIDYKILVTSRFEFPRFGPSYKLKALNNADSLTLFCNLAFLQDGNSYRPDQEVVNKIVEVCKGFPLAISVVGKSLCGKSAAEWSKRIKECSKASSILANFEFELLHCLQTSIDALNKNTAAKECYLDLGSFPEDQKIPASTLIDMWTEWHKLEEDDAIANLYELSQRNLIDFVVTRKYASEDYGSINVLQHDLLRELAIIQSSSGSIEHRKRLFLDISGNKIPEWWAEQRQQFIRANLLSISTDTVFSSNWCSIEAPEAEVLVLNFQTKNYTLPWFMGGMNKLKVLVVANYGFFPAELSNFQLVGSLSNLKRIRLEKVSLPSFFSASVQLEKLEKISLVMCSIGQAFSHSTIFMPNIVEINIDYCNDLKELPDGFCELVRLKKLSITNCHELSALPEDLENLENLEVLRLNSCIELLELPDTIWRLRNLRILDISACLSITKLPDQIGELSSLRKLYMIDCSSCELPWSVVNLVHLNEVVADEETANAWEEFKPFLPNLAIKVHKDINLNWLRL >Manes.02G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1178779:1183502:-1 gene:Manes.02G011800.v8.1 transcript:Manes.02G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVATLDKGTTCSAWNYCGQRLAAGSVDGSLCIFDACDPASSSFSRTFKIKVHEASIVKVVWVPPEHGDAVACICADGSLSLWEEVVEDSQPLEWKLCKSFESKATKVLDVQFGALQTSLKTVVAYSDGHVKVYELLDPLELKNWQLQAEFQNAIDSVSTFGRANCFSASISWNPRMGENQESSFVLGFNSDTPQLNSPKIWEFDLAHQRWLPVAELALPVDKSDQVFAVAWASNIGRPYEMVAVATHKGIAIWHLGLNPDLDGRLSVENVAMLSGFEGEVWQMEWDMSGMTLATTGTDGMVRLWQSNLNGVWHEEAAFDTSS >Manes.02G098901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7692943:7696050:1 gene:Manes.02G098901.v8.1 transcript:Manes.02G098901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWGLSVIAYLENCCMLKGSAMEGLLCMKRWGSGVECNFNMMGGGRVIKRFPTIIFFSYRLCQCRHIAKPRKSIFWTSPPFEVTRTSSNLIDLFWSSQRNLPYTHQIYLEN >Manes.08G017900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1829777:1833456:1 gene:Manes.08G017900.v8.1 transcript:Manes.08G017900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAWRSSSSSSSSPIKLLLFMAPLVLVSGFVAILGPKFSSLEFLSSHSWLLGFGAADSLNISVPASSHAKENNESRLLDLHPRVAMVGMEVEDHSAEEKKALSDQYSAFNRSSASPPPSSPPPPPVRYFPAVDIQEPNASEEGKQISRNKTSIVSLDLSIKNKHEKEHSSLERLEAALQIARSAIKEAKFGDQWQDPEYVPMGPMYWNSKVFHRSYLEMEKQFKVFVYEEGEPPVFHNGPCKSIYSMEGNFIHRMEMDDHFRTKDPNKAHVYFLPFSVVMMVRFVYVRNSHDFGPIKRTVRDYVGLIAGKYPFWNRSLGADHFMLACHDWGPETSFSVPHLAKNSIRALCNANTSERFNPMKDVSFPEINLQTGTTKGLIGGPSPSRRSILAFFAGGLHGPIRPIILEHWENKDDDMSVHRYLPKGVSYYEMMRKSKFCLCPSGYEVASPRVVEAFYTGCVPVLISDHYVPPFSDVLNWKAFSVEVPVSDIPNLKRILMSISTRQYIRMQRRGQQIRRHFEVNSPPKRYDVYHMILHSIWLRRLNARIYDSPRAITS >Manes.01G102900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30258966:30261562:1 gene:Manes.01G102900.v8.1 transcript:Manes.01G102900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPATARDTQLATKVVPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTITVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKPINALEQHIKNILSPSTPFFFNTLYDPYREGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVLTIPKGTLFPMCGMNLLFDRQLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQAATLPKDCTTVQKCYIELSKQVKEKLSKVDPYFDKLADAMVTWIAAWDELNPSGAPAKVANGKA >Manes.18G009200.13.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1169199:1171959:1 gene:Manes.18G009200.v8.1 transcript:Manes.18G009200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIALFCTGALLAGGIYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRANVVGITINDYQVNRARLHNKKARLDSLCEVVCGNFLEMPFPENSFYGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNANNPEHVEIIQGIERGDALPGLRSYTDIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHLLITILAALGIAPKGTVDVHEMLFRTADYLTKGGDTGIFTPMHMILCRKPETPSNS >Manes.18G009200.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1169166:1171876:1 gene:Manes.18G009200.v8.1 transcript:Manes.18G009200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIALFCTGALLAGGIYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRANVVGITINDYQVNRARLHNKKARLDSLCEVVCGNFLEMPFPENSFYGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNANNPEHVEIIQGIERGDALPGLRSYTDIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHLLITILAALGIAPKGTVDVHEMLFRTADYLTKGGDTGIFTPMHMILCRKPETPSNS >Manes.18G009200.15.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1169166:1171876:1 gene:Manes.18G009200.v8.1 transcript:Manes.18G009200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIALFCTGALLAGGIYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRANVVGITINDYQVNRARLHNKKARLDSLCEVVCGNFLEMPFPENSFYGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNANNPEHVEIIQGIERGDALPGLRSYTDIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHLLITILAALGIAPKGTVDVHEMLFRTADYLTKGGDTGIFTPMHMILCRKPETPSNS >Manes.18G009200.16.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1169199:1171876:1 gene:Manes.18G009200.v8.1 transcript:Manes.18G009200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIALFCTGALLAGGIYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRANVVGITINDYQVNRARLHNKKARLDSLCEVVCGNFLEMPFPENSFYGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNANNPEHVEIIQGIERGDALPGLRSYTDIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHLLITILAALGIAPKGTVDVHEMLFRTADYLTKGGDTGIFTPMHMILCRKPETPSNS >Manes.18G009200.14.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1169166:1171876:1 gene:Manes.18G009200.v8.1 transcript:Manes.18G009200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIALFCTGALLAGGIYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRANVVGITINDYQVNRARLHNKKARLDSLCEVVCGNFLEMPFPENSFYGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNANNPEHVEIIQGIERGDALPGLRSYTDIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHLLITILAALGIAPKGTVDVHEMLFRTADYLTKGGDTGIFTPMHMILCRKPETPSNS >Manes.18G009200.17.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1169199:1171876:1 gene:Manes.18G009200.v8.1 transcript:Manes.18G009200.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIALFCTGALLAGGIYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRANVVGITINDYQVNRARLHNKKARLDSLCEVVCGNFLEMPFPENSFYGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNANNPEHVEIIQGIERGDALPGLRSYTDIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHLLITILAALGIAPKGTVDVHEMLFRTADYLTKGGDTGIFTPMHMILCRKPETPSNS >Manes.18G009200.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1169100:1171959:1 gene:Manes.18G009200.v8.1 transcript:Manes.18G009200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIALFCTGALLAGGIYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSVPGKSHRDATRLHEEMAVDLIDVKPGDRILDVGCGVGGPMRAIAAHSRANVVGITINDYQVNRARLHNKKARLDSLCEVVCGNFLEMPFPENSFYGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYNANNPEHVEIIQGIERGDALPGLRSYTDIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHLLITILAALGIAPKGTVDVHEMLFRTADYLTKGGDTGIFTPMHMILCRKPETPSNS >Manes.01G160601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34560550:34564006:1 gene:Manes.01G160601.v8.1 transcript:Manes.01G160601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHHNFNAVDAGCDFQPSNPPPFKISEIRAAIPKHCWVKNPWRSLSYVFRDVVVIFALAGAAFFFDSWAVWPLYWTAQGTMFWAIFVLGHDCGHGSFSDSSLLNNVVGHLLHSAILVPYHGWRISHRTHHQNHGNVEKDESWVPLPEKIYKNLDFSTRIMRYTIPLPMFAYPIYLWTRSPGKEGSHFNPYSDLFAPNERGDVLTSSMCWTAMVLLLCYSCSVFGSAIVLKLYGVPYLIFIMWLDFVTYLHHHGYEQKLPWYRSKEWSYLRGGLTTVDRDYGWFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVLGKYYREPKKSGPFPFHLFTSLVKSINEDHYVSDIGDIVYYQTDTQLYKLSKAKLN >Manes.01G215200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38473650:38474594:-1 gene:Manes.01G215200.v8.1 transcript:Manes.01G215200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLSGFGNALPFSRIFRQLEQEMETVVKILQPGPLGIVEHKFSAEEICKANATVRGAVENWRRNANLEYRSDILKDFVHVKA >Manes.07G055582.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7166919:7173902:-1 gene:Manes.07G055582.v8.1 transcript:Manes.07G055582.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCREKAKMAGIDTCILECPYCQQTPPPLYYLSFSSPPRCPNCQNHWFISNYGFPATSSSAYPYESQPPQSPPPPPPPPPPPPPPPPSLPPSPPPPPPQQQSLKPPPPRRSHATRRPRQPSRTKREIDEALTEKYRRRQAQVKPRLTEVEIPIGENPKWSDPSLYFMGLQFSIVIVWTSMIIPSMLATVMGGDHVDKAEAIQSSLFTAAINTLLQILFGSQLPVVMQISQAFIYPAISIAISTTNKFGATLTPRQMFKKSMRRIQGASIIGSFLQIIVGISGLAQIFASKLSLLSSIPLVTLTGLEMYDRGFPQMAKCIEIGLPAVFLVIFSTQFLPYLWKPKNPIVIRSVMITVSIGIAWTLAEILTAVGAFDNASQQRQTTCRTDRSALIPAAPWIKIPIPFQWGYPTFEARDAFLMMAACVVATVESIGTFSTSSRLGGEYRANPPKLNRPIATKPIKQYGSALPISPDLLRHAIGFQGIGTLFDAVFGMGLGSTASAEHSGLVGLTRVGSLRINFVSAIFMLLFSSLGKLTAILASVPLPILASLHIVFFPYVVSTGLEDLYYCELDKFRSKFILGISLFMGLSMNKYFSNYDLFSGEGLPHSRASWFKDIMQVIFSSASTTATIFAFLFDLVTPKPPIKVLKKEESKEEEKKEEPKKEEEKEKKKKKKEEEEAQGLRPLKEDWKIYTESSRSSGSTLGSRPRRP >Manes.10G112069.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26530376:26534815:1 gene:Manes.10G112069.v8.1 transcript:Manes.10G112069.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADKFLGDEKAPLVLEFCNLLREVSNEDPLYQSCRTTLRKLLEIGNYEIHLNNGLRTMLQILDFFKESLVALLHGLGETTYPTAHIQSLQIQFRDFRTFSRALCQAIDGQAEADEDGEVDNEDDETDEDDEVNNEDDEHKVDNENDKQRNGEKFIVLYSITTIEVGFGRVMKKMEVHLSRLPLILQVLKYTDDSVCIDAMRSISLLYLAILNELNSMSQLVEGAKDEFRQVLEDHKNSLQLMIKTITRKDDYDWLLEHSAVLDSESMIHLLMMKMIPEEKLRDEELYNPLIRWSKNLDVKLYKKFKKKDLTDSQVLQDWLCKLCQILFKPQNLLFLACPNDPTKFYPNPELEPQPLHWDCFENCGKAIALALMHEVHVGVALHRVFLLQLAGKDISVEDVRDADPSFYNNKANKEPFHDDDQIQNEFIKSISEQIRFFKNGFDSVFGKSIFQQLSDNGIEPDDLNLVLKGSIELEFNSDENLDDKQNDPLMPQDNESDPLTYRYFKVNLQNLNIPEWQQGKRLGEGKFGKVFEGYAPGGFFFAIKEIKIEPEANIEQIYDEIRLLCQLRHPNIVKYYSMEKDEGNLNIFLELVTKGSLKDVYGTFELEDSQVSHYTKQILEGLKYLHERNVVHRDIKCANILVNEKGRIKIADFGLAKVMELNTLMKSSYYGTPGWMAPEVAKSGDYGPKADIWSLGCTVLEMLTRKTPHVMEGGKLLDLPDLPSQHSRDFIKECLQDNKVDRPSAAELLQHPFVKGFGL >Manes.10G112069.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26530376:26534815:1 gene:Manes.10G112069.v8.1 transcript:Manes.10G112069.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADKFLGDEKAPLVLEFCNLLREVSNEDPLYQSCRTTLRKLLEIGNYEIHLNNGLRTMLQILDFFKESLVALLHGLGETTYPTAHIQSLQIQFRDFRTFSRALCQAIDGQAEADEDGEVDNEDDETDEDGEVDNEDDETDEDDEVNNEDDEHKVDNENDKQRNGEKFIVLYSITTIEVGFGRVMKKMEVHLSRLPLILQVLKYTDDSVCIDAMRSISLLYLAILNELNSMSQLVEGAKDEFRQVLEDHKNSLQLMIKTITRKDDYDWLLEHSAVLDSESMIHLLMMKMIPEEKLRDEELYNPLIRWSKNLDVKLYKKFKKKDLTDSQVLQDWLCKLCQILFKPQNLLFLACPNDPTKFYPNPELEPQPLHWDCFENCGKAIALALMHEVHVGVALHRVFLLQLAGKDISVEDVRDADPSFYNNKANKEPFHDDDQIQNEFIKSISEQIRFFKNGFDSVFGKSIFQQLSDNGIEPDDLNLVLKGSIELEFNSDENLDDKQNDPLMPQDNESDPLTYRYFKVNLQNLNIPEWQQGKRLGEGKFGKVFEGYAPGGFFFAIKEIKIEPEANIEQIYDEIRLLCQLRHPNIVKYYSMEKDEGNLNIFLELVTKGSLKDVYGTFELEDSQVSHYTKQILEGLKYLHERNVVHRDIKCANILVNEKGRIKIADFGLAKVMELNTLMKSSYYGTPGWMAPEVAKSGDYGPKADIWSLGCTVLEMLTRKTPHVMEGGKLLDLPDLPSQHSRDFIKECLQDNKVDRPSAAELLQHPFVKGFGL >Manes.02G111400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8615958:8618317:1 gene:Manes.02G111400.v8.1 transcript:Manes.02G111400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSWAKPSPQEQKDCLNKSGAFNYDPKYRGATAKPVSSLKEDHQLSKDGFLSNHARVLVGSGLDTFEKGKSALQTWRHFGLNWAFVDPKTPIQTGVKFCVCLKEFLPWVMMPLEVVYVKESKKSRKTMPSFSFGSGTLQGHLLAGEERFSIEMDENNQVWYEILSFSKPAHFLSFVGYPYVQFRQKIFAHQSANAVKEHVNAS >Manes.02G111400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8615939:8618938:1 gene:Manes.02G111400.v8.1 transcript:Manes.02G111400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSWAKPSPQEQKDCLNKSGAFNYDPKYRGATAKPVSSLKEDHQLSKDGFLSNHARVLVGSGLDTFEKGKSALQTWRHFGLNWAFVDPKTPIQTGVKFCVCLKEFLPWVMMPLEVVYVKESKKSRKTMPSFSFGSGTLQGHLLAGEERFSIEMDENNQVWYEILSFSKPAHFLSFVGYPYVQFRQKIFAHQSANAVKEHVNAS >Manes.02G111400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8615660:8618709:1 gene:Manes.02G111400.v8.1 transcript:Manes.02G111400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSWAKPSPQEQKDCLNKSGAFNYDPKYRGATAKPVSSLKEDHQLSKDGFLSNHARVLVGSGLDTFEKGKSALQTWRHFGLNWAFVDPKTPIQTGVKFCVCLKEFLPWVMMPLEVVYVKESKKSRKTMPSFSFGSGTLQGHLLAGEERFSIEMDENNQVWYEILSFSKPAHFLSFVGYPYVQFRQKIFAHQSANAVKEHVNAS >Manes.10G147600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31674740:31679173:-1 gene:Manes.10G147600.v8.1 transcript:Manes.10G147600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPYLLLFLILSFTCLLGYDEGFQPYLHSSIALSRSSFPKDFIFGAATSAYQIEGAANSDGRKPSIWDTFTKEDSEKILDHSSGDVAEDFYHHYKEDIAVIKEIGLNSFRFSISWSRVLPYGRVSAGVNQEGVNFYNSIINELLSNGIEPLITLFHWDLPQALQDEYGGFLSPKIVDDYHDYVDFCFEEFGDRVKYWITINEPNYFSCFGYATGDTAPGRCSNYIGNCTVGNSATEPYIVVHHMILCHATALKLYKQKYQASQKGTIGIIITAFWKVPKFETTASRKAASRGLDFTIGWVLHPLTYGDYPESMRSLVGERLPKFTEEQSKMIQGCIEFVGINYYTARYVDASPSSTKVNLSYTTDSQTIESTEKNGIPIGQQAGSSWIYIYPEGIREIVFYIKRNFNNPPIYITENGVEDNSSMAINESLNDSLRIKYHYLHLSYLLQAIKEGADVRGYYVWSYLDDFEWEFGYTVRFGLTYIDYTNGLKRIPKSSALWFKNFLNGENVTTHSYSFLYSAKSSI >Manes.10G147600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31674853:31679173:-1 gene:Manes.10G147600.v8.1 transcript:Manes.10G147600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPYLLLFLILSFTCLLGYDEGFQPYLHSSIALSRSSFPKDFIFGAATSAYQIEGAANSDGRKPSIWDTFTKEDSEKILDHSSGDVAEDFYHHYKEDIAVIKEIGLNSFRFSISWSRVLPYGRVSAGVNQEGVNFYNSIINELLSNGIEPLITLFHWDLPQALQDEYGGFLSPKIVDDYHDYVDFCFEEFGDRVKYWITINEPNYFSCFGYATGDTAPGRCSNYIGNCTVGNSATEPYIVVHHMILCHATALKLYKQKYQASQKGTIGIIITAFWKVPKFETTASRKAASRGLDFTIGWVLHPLTYGDYPESMRSLVGERLPKFTEEQSKMIQGCIEFVGINYYTARYVDASPSSTKVNLSYTTDSQTIESTEKNGIPIGQQAGSSWIYIYPEGIREIVFYIKRNFNNPPIYITENGVEDNSSMAINESLNDSLRIKYHYLHLSYLLQAIKS >Manes.10G147600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31674841:31679173:-1 gene:Manes.10G147600.v8.1 transcript:Manes.10G147600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPYLLLFLILSFTCLLGYDEGFQPYLHSSIALSRSSFPKDFIFGAATSAYQIEGAANSDGRKPSIWDTFTKEDSEKILDHSSGDVAEDFYHHYKEDIAVIKEIGLNSFRFSISWSRVLPYGRVSAGVNQEGVNFYNSIINELLSNGIEPLITLFHWDLPQALQDEYGGFLSPKIVDDYHDYVDFCFEEFGDRVKYWITINEPNYFSCFGYATGDTAPGRCSNYIGNCTVGNSATEPYIVVHHMILCHATALKLYKQKYQASQKGTIGIIITAFWKVPKFETTASRKAASRGLDFTIGWVLHPLTYGDYPESMRSLVGERLPKFTEEQSKMIQGCIEFVGINYYTARYVDASPSSTKVNLSYTTDSQTIESTEKNGIPIGQQLCRLDLAGYTYTQKESEK >Manes.10G147600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31674852:31679173:-1 gene:Manes.10G147600.v8.1 transcript:Manes.10G147600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPYLLLFLILSFTCLLGYDEGFQPYLHSSIALSRSSFPKDFIFGAATSAYQIEGAANSDGRKPSIWDTFTKEDSEKILDHSSGDVAEDFYHHYKEDIAVIKEIGLNSFRFSISWSRVLPYGRVSAGVNQEGVNFYNSIINELLSNGIEPLITLFHWDLPQALQDEYGGFLSPKIVDDYHDYVDFCFEEFGDRVKYWITINEPNYFSCFGYATGDTAPGRCSNYIGNCTVGNSATEPYIVVHHMILCHATALKLYKQKYQASQKGTIGIIITAFWKVPKFETTASRKAASRGLDFTIGWVLHPLTYGDYPESMRSLVGERLPKFTEEQSKMIQGCIEFVGINYYTARYVDASPSSTKVNLSYTTDSQTIESTEKNGIPIGQQAGSSWIYIYPEGIREIVFYIKRNFNNPPIYITENGVEDNSSMAINESLNDSLRIKYHYLHLSYLLQAIKEGADVRGYYVWSYLDDFEWEFGYTVRFGLTYIDYTNGLKRIPKSSALWFKNFLNGENVTTHSYSFLYSAKSSI >Manes.10G147600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31673483:31679173:-1 gene:Manes.10G147600.v8.1 transcript:Manes.10G147600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPYLLLFLILSFTCLLGYDEGFQPYLHSSIALSRSSFPKDFIFGAATSAYQIEGAANSDGRKPSIWDTFTKEDSEKILDHSSGDVAEDFYHHYKEDIAVIKEIGLNSFRFSISWSRVLPYGRVSAGVNQEGVNFYNSIINELLSNGIEPLITLFHWDLPQALQDEYGGFLSPKIVDDYHDYVDFCFEEFGDRVKYWITINEPNYFSCFGYATGDTAPGRCSNYIGNCTVGNSATEPYIVVHHMILCHATALKLYKQKYQASQKGTIGIIITAFWKVPKFETTASRKAASRGLDFTIGWVLHPLTYGDYPESMRSLVGERLPKFTEEQSKMIQGCIEFVGINYYTARYVDASPSSTKVNLSYTTDSQTIESTEKNGIPIGQQAGSSWIYIYPEGIREIVFYIKRNFNNPPIYITENGVEDNSSMAINESLNDSLRIKYHYLHLSYLLQAIKEGADVRGYYVWSYLDDFEWEFGYTVRFGLTYIDYTNGLKRIPKSSALWFKNFLNGENVTTHSYSFLYSAKSSI >Manes.10G147600.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31674841:31679173:-1 gene:Manes.10G147600.v8.1 transcript:Manes.10G147600.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPYLLLFLILSFTCLLGYDEGFQPYLHSSIALSRSSFPKDFIFGAATSAYQIEGAANSDGRKPSIWDTFTKEDSEKILDHSSGDVAEDFYHHYKEDIAVIKEIGLNSFRFSISWSRVLPYGRVSAGVNQEGVNFYNSIINELLSNGIEPLITLFHWDLPQALQDEYGGFLSPKIVDDYHDYVDFCFEEFGDRVKYWITINEPNYFSCFGYATGDTAPGRCSNYIGNCTVGNSATEPYIVVHHMILCHATALKLYKQKYQASQKGTIGIIITAFWKVPKFETTASRKAASRGLDFTIGWVLHPLTYGDYPESMRSLVGERLPKFTEEQSKMIQGCIEFVGINYYTARYVDASPSSTKVNLSYTTDSQTIESTEKNGIPIGQQLCRLDLAGYTYTQKESEK >Manes.10G147600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:31674853:31679173:-1 gene:Manes.10G147600.v8.1 transcript:Manes.10G147600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPYLLLFLILSFTCLLGYDEGFQPYLHSSIALSRSSFPKDFIFGAATSAYQIEGAANSDGRKPSIWDTFTKEDSEKILDHSSGDVAEDFYHHYKEDIAVIKEIGLNSFRFSISWSRVLPYGRVSAGVNQEGVNFYNSIINELLSNGIEPLITLFHWDLPQALQDEYGGFLSPKIVDDYHDYVDFCFEEFGDRVKYWITINEPNYFSCFGYATGDTAPGRCSNYIGNCTVGNSATEPYIVVHHMILCHATALKLYKQKYQASQKGTIGIIITAFWKVPKFETTASRKAASRGLDFTIGWVLHPLTYGDYPESMRSLVGERLPKFTEEQSKMIQGCIEFVGINYYTARYVDASPSSTKVNLSYTTDSQTIESTEKNGIPIGQQAGSSWIYIYPEGIREIVFYIKRNFNNPPIYITENGVEDNSSMAINESLNDSLRIKYHYLHLSYLLQAIKEGADVRGYYVWSYLDDFEWEFGYTVRFGLTYIDYTNGLKRIPKSSALWFKNFLNGENVTTHSYSFLYSAKSSI >Manes.08G096600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32629100:32653558:-1 gene:Manes.08G096600.v8.1 transcript:Manes.08G096600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQSLKLLSTHSFHTNPFPKSQSFTANPNFSVRSLAAAPKSSPQKSTDITSLENSPPKPKPKSSLVDSPEPSAPSSPSLLNSFSGLAGRLRNGFKIDELGFEILSIALPAALALAADPITSLVDTAFVGHIGPVELAAVGVSVSAFNLVSKLFNVPLLNVTTSFVAEEQALISKEKDCVNEPQGKTYLPAVSTSLALAAAVGIAEAVALFLGSGFLMNIMGITADSPMRVPAENFLSWRAFGAPPIVIALAAQGTFRGFKDTKTPLYAIGAGNLLNAVLDPILIFVFGFGIGGAAISTVISEYFIAFILLWKLNSEVTLISPNIDGSRVVRYLNSGGLLIGRTIAVLLTMTLATSMAAREGPIPMAGHQICMQVWLAVSLLNDALALAGQALLAGGYSQGNYEQARQVTYRVLEIGIITGIGLGAILFIGFGAFSSLFSTDSEVLEIAWSGVLFVAGSQPMNALAFVLDGLYYGVSDFGFAAYSMLLVGLISSLFILAAAPVFGLAGVWTGLFLFMTLRVAAGMWRLGTKTGPWKLVWAKGEQERDLSE >Manes.10G043100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4441036:4451646:-1 gene:Manes.10G043100.v8.1 transcript:Manes.10G043100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANDPMESFLNSIQVVKDALSPLELGIRKAAKDIENCWGVSKNNRVNNLEFRSTDNRNFNTKVQICAVKKRSSSNNNGSNGNSVAGEERKKGFSIKVPIRTFLGLLSLNPENGMGKKGLKEKEIGSEDGSCTNCLQFAVTWSLLVNSFVQAFPSPFKTSKKRFQKLGDDDRGNLHLCKHGLRSEVSSELNQKELQGHYVKAVQDDSENHTEGKHLSLECIIGFIFDQMAHNLHKFDQSLQQADVNRCDYDRSTLPSESSQFDHLRALVSIWEGRKVDVNGFLGNLKFARVGGVPSGMVGVSSSVSEGSDDGISGGNGEETGGNSPQKLASGLLSIPLSNVERLRSTLSAVSLTELIELVPHLGRSSKDYPDKKKLFSVQDFFRYTESEGRRFFEELDRDGDGQVTLEDLEIAMKRRKLPPRYAREFMQRARSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLNKSGTLKKSEILASLKNAGLPANEDNAVAMMRFLNADIEESISYGHFRNFMLLLPSDRLQDDPRSIWFEAATVVAVAPPVEIPAGSVLRSALAGGLSCALSCSLLHPVDTIKTRVQASTLSFPEIISKLPEIGVQGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLPELQVQSLSSFCSTFLGTAVRIPCEVLKQRLQAGLFDNVGQAIIGTWQQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKFAQQLLRRELEPWETILVGAISGGLAAVVTTPFDVMKTRMMTARGRSLPMTMVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKNET >Manes.16G006000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:648308:651924:-1 gene:Manes.16G006000.v8.1 transcript:Manes.16G006000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVAPSSSSATAISATSYSRSSELKAPQIGSFRLLDRPATFNLSQRRFSVKSKRNDSIVPLAATVVEPEVAEKIDVEDYEQLAKKLVNSSPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFDAVEKHYGIHIEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGVGSLIKWNPMANVDGINVWNFLRTMDVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNLKQDEAAQLNGNRNGAGHSNGSANVADIFNSQNLVNLNRTGIENLLRLENRKEPWIVVLYAPWCQFCQGMEQSYVELADNLAGSGVKVGKFRADGDQKEFAKQELQLGSFPTILFFPKHSSRPIKYPSEKRDVDFLMTFVNALR >Manes.07G037700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:3976361:3977494:1 gene:Manes.07G037700.v8.1 transcript:Manes.07G037700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFRKLLSDSYNQTLLCSKFCGSSDDAEVYCPTVYSEYCKFNCSCKLPLNPSIHHTRPSKFLITGLVLSSAFFLVFCYAIYFKFYSGSRRRGSEAQRNDTHEEFLDEDHGPVLDHPIWYINTVGLQTSVINSIAVCKYKRGDGLVEGTDCSVCLNEFQEDETLRLLPKCSHAFHIPCIDTWLRSHTNCPMCRAPIIATQPRATSSAGIGEGTSAGEETQIAVSVFDGESERGIDSGDTELGIGTEEEEEEDFQDENRRKRVEELNGEEEGIIQPMRRSVSLDSLSAFKISHALANGHTTESDRVSSRELVKENESNMGILPKSVGSNQSLLRLMASSSIGRSLQIGPSSIKRSLSCGGKLFLARYSRNINSVLPL >Manes.07G050600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:6007781:6013263:-1 gene:Manes.07G050600.v8.1 transcript:Manes.07G050600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRAPIPTSNSALIAMIADEDSIVGFLLAGVGNVDLRRKTNYLLVDSKTTVKQIEDAFKDFTTREDIAIVLISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAQDSVLSRVKHLFATESVASGRR >Manes.04G137000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33684067:33685839:1 gene:Manes.04G137000.v8.1 transcript:Manes.04G137000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLLNQIMDLKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMASIVKSLESSLATGNLQKMSATMDQFEKQFVNMEVQAEFMENAMAGSTSLSTPEGEVNSLMQQVADNYGLEVSVGLPQPAAHAVSTKSEEKVDEGDLSRRLAELKAKG >Manes.09G058900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9320000:9321815:1 gene:Manes.09G058900.v8.1 transcript:Manes.09G058900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAVLGGNTSPSAEHIKDILSIVGAEVDDDRIEFLLSEVKGKDITELIAAGREQLASVPSGGGVAVAAVAPGGGGAAAAAASAAAEAKKEEKVEEKEESDEDMGFSLFD >Manes.09G058900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9320108:9321815:1 gene:Manes.09G058900.v8.1 transcript:Manes.09G058900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAVLGGNTSPSAEHIKDILSIVGAEVDDDRIEFLLSEVKGKDITELIAAGREQLASVPSGGGVAVAAVAPGGGGAAAAAASAAAEAKKEEKVEEKEESDEDMGFSLFD >Manes.09G058900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9319945:9322673:1 gene:Manes.09G058900.v8.1 transcript:Manes.09G058900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAVLGGNTSPSAEHIKDILSIVGAEVDDDRIEFLLSEVKGKDITELIAAGREQLASVPSGGGVAVAAVAPGGGGAAAAAASAAAEAKKEEKVEEKEESDEDMGFSLFD >Manes.01G153800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:34105092:34107079:-1 gene:Manes.01G153800.v8.1 transcript:Manes.01G153800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLASSPNSLLLQNPIRQNLPLKPDSSAANFANFATQNKPISIRCSATSTAPVSSIPATQDPEGRVFNFAAGPAILPANVLKKAQAELYNWRGSGMSVMEMSHRGKEFLSIIQKAEADLRALLNIPEDFAVLFLQGGATTQFAMIPLNLCKPDDQVDYVVTGSWGDKAFKEAQKFCKPKVIWSGKSENYTKIPSFDGLEQSPYAKYLHICANETIHGVEFKDYPTPKNGILVADMSSNFCSKPVDVSKFGLIYAGAQKNVGPSGVTIVIIKKDLIGNAQEITPVMLDYKIHADNNSLYNTPPCYGIYTCGLVFEDLLAQGGLSEVEKKNTKKAELLYHAIDESNGFYSCPVEKSVRSLMNVPFTLEKSDLEAEFIKEAAKEQMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQARHT >Manes.18G143401.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:33469390:33469764:-1 gene:Manes.18G143401.v8.1 transcript:Manes.18G143401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.18G073000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6553192:6558242:-1 gene:Manes.18G073000.v8.1 transcript:Manes.18G073000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMELWAIFGPGVAGAVFGAGWWFWIDAVVCSSVKVSFVHYLPGIFASIAALMFNCVRKEDIDYSPYEEGEWRLKLWLFFAYVVSFVSLAASVGLLIQDSIVKTGPSVWTGTAGVLQCVFVLISGLMYWTSHSE >Manes.18G073000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6553192:6558241:-1 gene:Manes.18G073000.v8.1 transcript:Manes.18G073000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMELWAIFGPGVAGAVFGAGWWFWIDAVVCSSVKVSFVHYLPGIFASIAALMFNCVRKEDIDYSPYEEGEWRLKLWLFFAYVVSFVSLAASVGLLIQDSIVKTGPSVWTGTAGVLQCVFVLISGLMYWTSHSE >Manes.06G044400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13920065:13924285:1 gene:Manes.06G044400.v8.1 transcript:Manes.06G044400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGVSSVERTLWACSVMEAVAVETVHAAWKSLAYLFFVAGSLLNDINGSPKLTAIDERFPLHELFKMKLPDAENKDASDTEDDDEEDEDNVEDQDDDDGDADFSGEEGEEEGDPEDDPEANGEGESDDDDDDDDDDDGDEDEDEEDGEDEEEEEEEEDVPQPPSKKRK >Manes.06G044400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:13920065:13924285:1 gene:Manes.06G044400.v8.1 transcript:Manes.06G044400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGVSSVERTLWACSVMEAVAVETVHAAWKSLAYLFFVAGSLLNDINGSPKLTAIDERFPLHELFKMKLPDAENKDASDTEDDDEEDEDNVEDQDDDDGDADFSGEEGEEEGDPEDDPEANGEGESDDDDDDDDDDDGDEDEDEEDGEDEEEEEEEEDVPQPPSKKRK >Manes.15G154800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12837385:12837817:-1 gene:Manes.15G154800.v8.1 transcript:Manes.15G154800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQDKGPPLPKFGEWDVNNPASAEGFTVIFSKARDEKKSNGTGGPGAASQRSNNLHKSNENFQEPPSKKWFCCF >Manes.11G040392.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:4104499:4116880:1 gene:Manes.11G040392.v8.1 transcript:Manes.11G040392.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLGMNRADRRIETTDQNESGSSPEKTIGPKSYSYGELARVTGHFSLNNLIGRGGFGHVFKASLDGEIRAIKRLDFPDVQSEGGLEREIMVVKSVSHKNLVELVGYCIDGANRLLILKYFPNGSLRSKLHGSGDVLDWKKRMKIATGSAKGLEYLHEHCKPKIIHLDIKPDNILLDEDFEPKITDFGLTQFFTDGATHIQIISYGNPCIRFVLEGKLPPEKLCDYELQRSTRDPKGSRSSPELNRSIILGPRQYSYQQLAKATNYFSSNDLIGEGGFGQVYRGLLDGESLAIKKLKNHPDLQSQENLKNEIMVVSSIRHRNLVELLGYCIEGANRLLVFNYFPNKSLSSQLHESDLALDWETRINIAKGSAKGLEYLHEHCELPILHLDIKSNNILLDDDFKPKVADFGLARFFSEAATHISESAIMRNKAYVDSYALKIGQYSIKSDVYSFGVMLLELITGRRPEEDGFDVVKWAKYKIKSALRDENFEEFVDPILQMFNDEEMYRMLFCINVCINNPPKFRPSMKKILLALEGILPLDELCNEKGDNKLPRYPTLYKDPSPPSPMKANNGTKMWRSMDEASSRERFQVRNQSTSNKMWISAEATNRLAEGVKRLDFFDLFDEDEEEEEDSDEEEAIPEYLVFRRGKAVDKKSY >Manes.03G033100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2765939:2769160:-1 gene:Manes.03G033100.v8.1 transcript:Manes.03G033100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFHDAVSLGKKEHQTWDKGDFSFPLTTLRENLIVTLQDAQGKEISHTVVETRLVIEKGTWDDIFPFEGGGHVHMKLQFVLSEEERHRIRIMRESALRKKHSELLNKEGTHSVHNQTNPNDTDRLEDPSSAFSSSAKFDVDQQKGSTSTPIRNIHSEEASGFGSSGSVIAAKNQAPAKLKVYEANDTQKQSTTEKTPSKIRNMISAFENSLNQSSKSIRREDQTGGIRALAGSTSSLEAGRLKKLRAGHIQNEGTTVDLKGKVEAMYKVDIQEVKTSSEKLARTSIGERASVSGRMLIEKGTQVLSNLFAGRRNSGGNSLKIEQNKGKEIQPKGSQDAKIQANLGDEPHSSEGYGAWIFPDGGKRMCITTGGKQIMDLMGSFHAESKSQQGKMNYPIVENEIEEKEGDKTSPSKKHTESVTEGSTDAETSRGSVGQVMRVVIMVGFATLVFFTRQRKPDY >Manes.03G033100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2765950:2769150:-1 gene:Manes.03G033100.v8.1 transcript:Manes.03G033100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIHVSVSLGKKEHQTWDKGDFSFPLTTLRENLIVTLQDAQGKEISHTVVETRLVIEKGTWDDIFPFEGGGHVHMKLQFVLSEEERHRIRIMNDTDRLEDPSSAFSSSAKFDVDQQKGSTSTPIRNIHSEEASGFGSSGSVIAAKNQAPAKLKVYEANDTQKQSTTEKTPSKIRNMISAFENSLNQSSKSIRREDQTGGIRALAGSTSSLEAGRLKKLRAGHIQNEGTTVDLKGKVEAMYKVDIQEVKTSSEKLARTSIGERASVSGRMLIEKGTQVLSNLFAGRRNSGGNSLKIEQNKGKEIQPKGSQDAKIQANLGDEPHSSEGYGAWIFPDGGKRMCITTGGKQIMDLMGSFHAESKSQQGKMNYPIVENEIEEKEGDKTSPSKKHTESVTEGSTDAETSRGSVGQVMRVVIMVGFATLVFFTRQRKPDY >Manes.03G033100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2765490:2769280:-1 gene:Manes.03G033100.v8.1 transcript:Manes.03G033100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIHVSVLEFMAFQSSSPVRQMSIKISLGKKEHQTWDKGDFSFPLTTLRENLIVTLQDAQGKEISHTVVETRLVIEKGTWDDIFPFEGGGHVHMKLQFVLSEEERHRIRIMRESALRKKHSELLNKEGTHSVHNQTNPNDTDRLEDPSSAFSSSAKFDVDQQKGSTSTPIRNIHSEEASGFGSSGSVIAAKNQAPAKLKVYEANDTQKQSTTEKTPSKIRNMISAFENSLNQSSKSIRREDQTGGIRALAGSTSSLEAGRLKKLRAGHIQNEGTTVDLKGKVEAMYKVDIQEVKTSSEKLARTSIGERASVSGRMLIEKGTQVLSNLFAGRRNSGGNSLKIEQNKGKEIQPKGSQDAKIQANLGDEPHSSEGYGAWIFPDGGKRMCITTGGKQIMDLMGSFHAESKSQQGKMNYPIVENEIEEKEGDKTSPSKKHTESVTEGSTDAETSRGSVGQVMRVVIMVGFATLVFFTRQRKPDY >Manes.03G033100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2766088:2769150:-1 gene:Manes.03G033100.v8.1 transcript:Manes.03G033100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIHVSVLEFMAFQSSSPVRQMSIKISLGKKEHQTWDKGDFSFPLTTLRENLIVTLQDAQGKEISHTVVETRLVIEKGTWDDIFPFEGGGHVHMKLQFVLSEEERHRIRIMRESALRKKHSELLNKEGTHSVHNQTNPNDTDRLEDPSSAFSSSAKFDVDQQKGSTSTPIRNIHSEEASGFGSSGSVIAAKNQAPAKLKVYEANDTQKQSTTEKTPSKIRNMISAFENSLNQQDMKSNVRPTSVISQSSKSIRREDQTGGIRALAGSTSSLEAGRLKKLRAGHIQNEGTTVDLKGKVEAMYKVDIQEVKTSSEKLARTSIGERASVSGRMLIEKGTQVLSNLFAGRRNSGGNSLKIEQNKGKEIQPKGSQDAKIQANLGDEPHSSEGYGAWIFPDGGKRMCITTGGKQIMDLMGSFHAESKSQQGKMNYPIVENEIEEKEGDKTSPSKKHTESVTEGSTDAETSRGSVGQVMRVVIMVGFATLVFFTRQRKPDY >Manes.03G033100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2765939:2769164:-1 gene:Manes.03G033100.v8.1 transcript:Manes.03G033100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIHVSVSLGKKEHQTWDKGDFSFPLTTLRENLIVTLQDAQGKEISHTVVETRLVIEKGTWDDIFPFEGGGHVHMKLQFVLSEEERHRIRIMRESALRKKHSELLNKEGTHSVHNQTNPNDTDRLEDPSSAFSSSAKFDVDQQKGSTSTPIRNIHSEEASGFGSSGSVIAAKNQAPAKLKVYEANDTQKQSTTEKTPSKIRNMISAFENSLNQSSKSIRREDQTGGIRALAGSTSSLEAGRLKKLRAGHIQNEGTTVDLKGKVEAMYKVDIQEVKTSSEKLARTSIGERASVSGRMLIEKGTQVLSNLFAGRRNSGGNSLKIEQNKGKEIQPKGSQDAKIQANLGDEPHSSEGYGAWIFPDGGKRMCITTGGKQIMDLMGSFHAESKSQQGKMNYPIVENEIEEKEGDKTSPSKKHTESVTEGSTDAETSRGSVGQVMRVVIMVGFATLVFFTRQRKPDY >Manes.03G033100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2765950:2769150:-1 gene:Manes.03G033100.v8.1 transcript:Manes.03G033100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIHVSVLEFMAFQSSSPVRQMSIKISLGKKEHQTWDKGDFSFPLTTLRENLIVTLQDAQGKEISHTVVETRLVIEKGTWDDIFPFEGGGHVHMKLQFVLSEEERHRIRIMNDTDRLEDPSSAFSSSAKFDVDQQKGSTSTPIRNIHSEEASGFGSSGSVIAAKNQAPAKLKVYEANDTQKQSTTEKTPSKIRNMISAFENSLNQSSKSIRREDQTGGIRALAGSTSSLEAGRLKKLRAGHIQNEGTTVDLKGKVEAMYKVDIQEVKTSSEKLARTSIGERASVSGRMLIEKGTQVLSNLFAGRRNSGGNSLKIEQNKGKEIQPKGSQDAKIQANLGDEPHSSEGYGAWIFPDGGKRMCITTGGKQIMDLMGSFHAESKSQQGKMNYPIVENEIEEKEGDKTSPSKKHTESVTEGSTDAETSRGSVGQVMRVVIMVGFATLVFFTRQRKPDY >Manes.13G101700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29843699:29851931:-1 gene:Manes.13G101700.v8.1 transcript:Manes.13G101700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGKLQQASQHMCSLKGSLLTLAILTLISFTYLSIDFLHSSSSSSSPVVSNSVVKLPQRGTGVQTETETEKNEVGKSFHDELSDLYHQPQIFKLNYESMERDFKVYIYPDGDPDTFYQTPRKLTGKYASEGYFFQNIRESRFRTEDADQAHLFFIPISCHKMRGKGTSYENMTIIVQNYVESLMVKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGHLVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCVPVILSNYYDLPFNDILDWRKFSVVLKEQDVYRLKQVLKNISDDEFVALHENLVEVQKHFQWNSPPTKYDAFHMVMYDLWLRRHVIKY >Manes.04G017200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:2162969:2164805:-1 gene:Manes.04G017200.v8.1 transcript:Manes.04G017200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHMITRSKKQMVTRSKKITKNSTPSKKSISKATPRKGRKKSKIPSRNQPLLPTEIISEILSWLPVRCLTKCQTVCKEWFELMQERNFIVKNMVRNREYNIHNREPYRENGETFQRIYTFDGLIMEGNRDFNKFRIRNLALHRVFDLPTPPHKSEILIPLIPSFVHHGYYKVISAYSCKNETNQHGGFEILTLGRVEKPSWRALDTNFFKNFNRENDILWSMGIQGIAYFVRTSKDGSENYEVVSFEMENESFTSCDLARSCFPDNSKACVLRWEDQLALAEISNNELQVLVLKNYKKGKWAEKKTVIPLKFLKKEPCMVMEDLEPYRAIDGVVWFRGSNYLAYNIADEEIVCYVSKRLARIFRQSLVTFKGMRPG >Manes.12G069500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:7401642:7402331:-1 gene:Manes.12G069500.v8.1 transcript:Manes.12G069500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRVDVKLNSTAMLLNPLQPPIFFLEVRFHFNYRKLLRNLEGDLIEIEAYPVAPTSSFFFKIHSHDDLFSQQPCKRHLDYLFSSFNLDDALRDFLAYRIACFLVFIANKQPFLGCHVVADTDITDEHLIVGDPIDLTMIIDEVPQEVVPGGASSSTLNKLKKRRFFAKKGRDGDGLSDNCAICLEGLSSGRDALIKMTCDHVFHEKCIFRWLKVQNLCPTCRRPVQD >Manes.11G026400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2591491:2597047:-1 gene:Manes.11G026400.v8.1 transcript:Manes.11G026400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGTLKLSLLCLLFFVSTLSSSQGKKNLYPFIKKASSFISSSSFSSSGAKESAYDYIIVGGGTAGCPLAATLSQNFKVLLLERGGVPFTNPNVSFLSNFHITLADTSPTSASQCFISTDGVLNARARVLGGGTSINAGFYSRASTRFIKKVGWDERLVNESYPWIEKQIVHSPSVAPWQVALRDSLLDVGVSPFNGFTYDHIYGTKIGGTIFDRFGRRHTAAELLASGNPQMLTVLVHATVQKVLFETSDTGKTPKAKGVIFKDENGKQHQAFLANNPRSEIILSCGAIGTPQMLLLSGIGPRAELEKMRIPLVLDNEFVGKEMVDNPMNTIFVPSKRPVHQSLIQTVGITQLGVYIESSSGFGQSNDSIHCHHGIMSAEIGQLSTIPPKQRTPDAIQAYVKRKKDLPREAFQGGFILEKLANPLSTGNLSLISTNVDDNPSVTFNYFKHPKDLQQCVYGIRMAAKVVQSEYFTNFTQCDKQTLEKILNMSVIANVNLIPKHLNDTKSLEQFCKDTVITIWHYHGGCHVGKVVNPDYRVIGVERLRIVDGSTLDESPGTNPQATVLMMGRYMGLKILRDRLGKAAGVSGPKINQRQWDFK >Manes.14G029701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2702262:2711781:-1 gene:Manes.14G029701.v8.1 transcript:Manes.14G029701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRFTSMEQCYKTGCVGQKSFQHCQFLSNAKPIDGPWYLQEPLYLQWKQWDCHSGCPYNCLVAGRRQELGDKPIMYRGNGHLNVFMEFSILFGDHKEARNFFVHGQVEVSAKWGNTIKHAPKYESMWGYGFNSAAYLGKCYSSCIPVQVVDGGCGWRSRYALGYHTTSHHITDLLMLTVFGCGALSGMMRSLVLQVS >Manes.14G029701.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2702033:2711810:-1 gene:Manes.14G029701.v8.1 transcript:Manes.14G029701.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRFTSMEQCYKTGCVGQKSFQHCQFLSNAKPIDGPWYLQEPLYLQWKQWDCHSGCPYNCLVAGRRQELGDKPIMYRGNGHLNVFMEFRPKYESMWGYGFNSAAYLGKCYSSCIPVQVVDGGCGWRSRYALGYHTTSHHITDLLMLTVFGCGALSGMMRSLVLQVS >Manes.03G187200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30705689:30708018:1 gene:Manes.03G187200.v8.1 transcript:Manes.03G187200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALRRSSVKFTTTTLHHLRSSSLPHSTSTIRSISSFTQLRFNSTPTYSSQPMPFFDTSVSLLPDHRLPHNFPVVRFLSQKAAQGRSINLDLPQPSEAQGVQHLKEEEKETELVDVKACLENQLRWGFIQKVYGIVTTQVFATSAISSLLVFNPPAVNFLAQIPYIVPGLFVAGLGSLYTMQRYERKYPQNLISLGVFTLIQGVTIGFCCSATDGRIVLEALGLTAAAFSSLTGYTFWAARRGKDFSFLGPILFPSLVTLAAASVLQIFFPLPSGPASLILSGAGAMLFLGYIVYDTDRLIKYFDYDQHLTASLHLYLDVINLFLYIMRILKELTPVPNED >Manes.16G054500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:18007765:18014277:-1 gene:Manes.16G054500.v8.1 transcript:Manes.16G054500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLEVCVFVLFSRGKSFHHFQKPEALNSMHKSSRKKGKIRWSKLYSFSCFQPRTTEPIPAKDLIGQIGFCRTVFCNEPQLHKTKPYNYGNNSISTTKYNAVTFMPKALFEQFRRVANLYFLLAAALSFTSSAPVKRETLILPLVLVVGISMIKEAVEDWYRFLQDLNVNNTSVKAHAGNGIFVDKLWKELSVGDVVKVSKNEYFPSDLLLLSSSYEDGVCYVETMNLDGETNLKIKRSLEATLGLNADENFINFEATVRCEDPNPSLYTFVGNLEFQDQMHPLCPSQVLLRDSKLRNTDYVYGVVIFSGNDTKVVRNSTKSPSKRSKIERKMDKVIYLLFSALLVISLITSTGSILLMKSDMVQWWYLRLQDHDDPLFNPLNPVISGFQQFIRAFILYGYLIPISLYVSIEVVKVLQAMFINKDIEMYDQVTCKSVEARTSNLNEELGQVEIILSDKTGTLTCNQMEFRKCSIAGISYGGDLNEVDLAASKRMNSEVHANRFSIENSNEMFYSLDYISIQKAVLDGHEGLDYLNPGNSRTSNVGKETVVKGFNFRDDRLMNDQWIYRSDLFDVTMFFRVMALCHTGIPVEADETDKLKYEAESPEEVAFLIASQEFGFQFFQRTQAIIVLKELDPSSGKKVKREYKLLHLLEFSSSRKRMSVVVSDEDGKIFLLCKGADSIIFDRLADNGKAYQQATTSHLSSYAEDGLRTLAFAYRRLDQADYEQWNSLFTKAKTTIGAEREELLEKASEMIEKDLILLGVAAVEDKLQEGVPECIDKLAQAGLKIWLLTGDKKETAINIGFACSLLQQDMKQFHICLSKEADSNNKMKAMKEEILQQIESSYHVMCTNNDKSSPFALIVDGRALEIALQSDIKYKFLQLAANCASVICCRVSPKQKALITRSVKEYTRCTILAIGDGANDVGMIQEADIGVGISGQEGMQAVMASDISMPQFRFLERLLIVHGHWCYKRISKMVLYFVYKNIVLGLTLFYNELYTCFSGEVLYDSWYLVMFNVLLTSLPVISLGVFEQDVPSDICLQFPALYRQGQENIYFSWSRIVGWISNATVASMVIFLATMYILSPCAFMEKGYVADITHFGAITYTCIIWTVNCQIALILTHFTWIQHLFIWGSIVLWYIFLLVYGALPPAYSGSGFLVITESIGSAPIYWMITLLVVVVSLLPYFIHIVIQRWFHPMDDHVIQEMKHCKKDVTENQMWRREQRNSHKITQIGFSARVEAKLFSFEQELNKKKTSI >Manes.15G063700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4825828:4833745:-1 gene:Manes.15G063700.v8.1 transcript:Manes.15G063700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNAMEIANLKTIEQAFKLIDTKKESLKRAYDDLQAHSSLLSSFSLSWADLDSHFNSLQTNLKQRFNHIQSLHSSSFSHNNPPHDAAAASDQPPTIVGGDPSSSSNPPDHGAVTLSVVEVPVPVQDPTLSNRTSQPQTQPQGVPVQDPSSSLKPLNPNQATHPELFTLCEKMDGQGLRNYINDHAKERESIRTELLGLMGAAPDPGAMVLDAMQGFYSSNSRSKGDKDMDLYRLRKSCLDVLEVLTEIKPNLSNEVKERAKKLAFEWKGKVNLNGDSPLEALGFLNLIVAYGLENEFDAGELLDYFVVVARFKQATVLCRSIGLGDKTTDLVQKLIDNGKQLLAVKFIFEFGLTDKFQPVPLLKDHLLECKKITKDICKDGKNSIKAQNEVRAREVNALKSVLKVINEHKLDSDYPKLDLEKRIEMLEKQKADKKVGQRSPDSKPQQQAKKQHQQQAKQQAKKQQLNGNKRPRLIASTGPAAIPVSIAGSSSTLPPFPQSHLPPAGLLPAAGPGPYGLVGSTPPIASYAGASAGPYGLAGAAISFPGNPSSAGALPYSSDPYMLSGYYDRPSAYGGYGLPPQYHSNYYPQ >Manes.10G021450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2107324:2110593:-1 gene:Manes.10G021450.v8.1 transcript:Manes.10G021450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSFSLVWLLLNLIGLSSTLLVCCNATPHEARKVYIVYMGDLPKGEFSASAFHLTMLQVVGSGAPDYLLHSYHRSFNGFVAKLTQEEVQKLAGMKGIVSVFPSQTKKLLTTRSWDFMGFPMNVTRSTTESDIIIGMLDTGVWPESESFNDEGFGPPPAKWKGTCQEPSNFTCNNKVIGARYYHTQRKFGPEEIPSPRDSEGHGSHTASTAAGDIVSKASLLGLGSGTARGGVPSARIAVYKICWSDGCSDADILAAFDDAIADGVDIISLSVGGWPTDYFEDSIAIGAFHSMKNGILTSNSAGNEGPDPESVSNCSPWSLSVAASTIDRKFVSQVKLGNGAIYEGISINTFDPGNVMYPIINGGDTSEGFCSLDTLNKTSARGKVVVCNGFDEEGTIVAGVAGVVMPDDFYQDVAFSFALPVSIITSSNQTDILNYLNSTSEATAAILKSVGFKDTFAPYVVSFSSRGPNPITSDILKPDLTAPGVDILAAWSGATTVTGAPWDNRVVPYNIISGTSMSCPHASGAAAYVKSFHPTWSPAAIKSALMTTAYPMSAASNADAEFAYGSGHINPVKAIDPGLVYDASEIDYVKFLCGQGYNETQIQLVTGDNSACSEETNGTVWDLNYPSFALSAVPGKSVTRVFHRTVTNVGSSSSTYKAILKAMSGLSIEVEPGVLYFKSVGEKQSFVVTVEATFGGSASSYSGGLIWDDGVHQVRSPIMAFVSDPEE >Manes.16G100300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30603994:30606699:-1 gene:Manes.16G100300.v8.1 transcript:Manes.16G100300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMCCFLMATLQIITCYSVASWHFASHDRVPQQGQIPQFLQDAFGPDQGIKSKEGRAYGSEQIYRGLSRLGSRPPNCEHKCGGCTPCNAIQIPATNDHKRLEYANYEPEGWKCKCGTAFFNP >Manes.16G100300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30604167:30604882:-1 gene:Manes.16G100300.v8.1 transcript:Manes.16G100300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMCCFLMATLQIITCYSVASWHFASHDRVPQQGQIPQFLQDAFGPDQGIKSKEGRAYGSEQIYRGLSRLGSRPPNCEHKCGGCTPCNAIQIPATNDHKRLEYANYEPEGWKCKCGTAFFNP >Manes.16G100300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30604167:30604882:-1 gene:Manes.16G100300.v8.1 transcript:Manes.16G100300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMCCFLMATLQIITCYSVASWHFASHDRVPQQGQIPQFLQDAFGPDQSKEGRAYGSEQIYRGLSRLGSRPPNCEHKCGGCTPCNAIQIPATNDHKRLEYANYEPEGWKCKCGTAFFNP >Manes.01G144300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:33475878:33476690:-1 gene:Manes.01G144300.v8.1 transcript:Manes.01G144300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVRGKCLGKGSYGSVFLAHPTTPDWPLLAVKSAYFRKSSSLMKERRILLGLFGSEGIVKCYGSCLSLEFFTTVYNLLMEFAPGGCLLDLINKTYGGKIPEDDVRQYARMILKGLSSIHKNGYVHCDLKPANILVFPSEQGLSQLKIADFGLAKELGERDLGKPCSFRGTPLYMSPESVGISVIRPALDIWSLGCVVIEMITGNPPCKGLGMQGLLNRLVLESRSPDIPENMSREGKDFLSKCFTRPYYQRWTADMLLNHPFIVGEKLT >Manes.04G087233.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28892992:28893786:-1 gene:Manes.04G087233.v8.1 transcript:Manes.04G087233.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRDEHGNPIPLTDEHGNPVQLTDEFGNPIHVTGVATSGTTPLAGERAHKEQTGELPSSGAGDEEKRRKEEQQQGENIERSSSSSSGSSEDDGQGGRRKKKGLKEKIKEKLTGGKHKEETVTSTPTTETTSGGGEHHEHEKKGVMEKIKEKLPGHGHGHQSH >Manes.15G040800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3168577:3174049:-1 gene:Manes.15G040800.v8.1 transcript:Manes.15G040800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPDQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSVPEKNITCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVKDDEWLNAEFITTVQQRGAAIIKARKFSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCQNGEWKIVQGLHIDEFSRKKLDLTADELSEEKALAYSCLS >Manes.15G040800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3168577:3174049:-1 gene:Manes.15G040800.v8.1 transcript:Manes.15G040800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSVPEKNITCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVKDDEWLNAEFITTVQQRGAAIIKARKFSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCQNGEWKIVQGLHIDEFSRKKLDLTADELSEEKALAYSCLS >Manes.18G145692.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:29322092:29322794:1 gene:Manes.18G145692.v8.1 transcript:Manes.18G145692.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVFIVSSLLFTSFWLPTSAEQCGTQAGGVVCPGGLCCSKWGWCGTTSDYCCEGCQSQCNCPSGRRAGILRGGGGDMDEINSEKAFDKILGQKPYGL >Manes.16G056325.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:20868871:20871815:-1 gene:Manes.16G056325.v8.1 transcript:Manes.16G056325.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKYFTKLPKNSEPLNSKPKEKVAFVEKESLASDDDIIGDPGLRKPIDSYPFEIRDSLRRRYLAKGPCQPVRHEFPFTLIREKNRRFQVAWFKDYEWLEYSVSKDKSYCLYCYLFANNNRNGRRAFVNHEGSPGSSHSGCRMKVEQYRNQRGNVNQLLARQTVAMEDDYRTRLSTEGLPFRGHDESAESLHRGNFLEHISWVCKREENVNKVMGKNAPGNNQLTSPTIQRDIIECCAMETRKIILNELREKKFALLVDEARDCLVKEQMSLVLRFVNDKGMVLERFLGLVHVNETSAKVLKNAIDTFFAKHDLSLAKLRGQGYDGAANMSSEFNGLKTLILKENKNAHYIHCFAHQLYVGNFFETLSMIVNTIGASCKRKDSLREIHNEEVLNQVEMGEISTGRGQNQEISLARSGDTRWGSHYTTIVRLFDMWNSVERVLLAINKLGESLKIRQSAGGVFDKMDCFQFVFIGKFIMKILGITNTLSKILQARDQNIGYALNMINVVKNKLQELREDGWDNLLKEVTEFCEGHSIDVPNMENFIHGRSRKRLKGGEPMTYLHHFRIDIFIKVIDVIAMEMDKHFTEANTELLRCVMCLDPSNSFANFDHVRLLQLAKLYSDDFSSTDIIELDHQLQNYICDMRSNKIFSNIFNLGDLVKKMVEINYHTYFPLVYRLIELALILPVGTASVERTFSTMNVVKTDLHNRLGDDVLSDCLVCYFEKEIFRSIDDEVIMQSLQNLAFRRNQLRPLKIRRPNPC >Manes.13G007700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1173581:1175576:1 gene:Manes.13G007700.v8.1 transcript:Manes.13G007700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFFSRSHFSYPSPPRYYYPAVRQIPVSRQTTPVQKVVQIPVRYVDSAPSRMDSVVKIQKVFRGFLVRKSVKKIAGIKREVDEIEKRISMKNTVELIRRDSKERLKVNETLMNLLLRLDSVPGVDSGVRDCRRAVIKRAIRLQEMIDAIVAGDQELEVDDLAKNGEEDTDQNSETHDRAPDVEANVGESEKMPEKLQNPERQESKTGRESMGDVELAEVEVGEDGNAEHDVSGAGSEYAESVDLSREESQVDSSANPEDDSFESHENTLADQKETEHEKDQSCGDKKEVSTKSKELLERMMEDNKKMMGLMSELFERNEMQTRLLSSLSHRVEQLERALMCERSKRKKKRRNTGGVVDCLESTEDAKKCGKR >Manes.01G189800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36564910:36578873:-1 gene:Manes.01G189800.v8.1 transcript:Manes.01G189800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISIEPLLRYSRRETPLCRCRTKMLMPFSLNFSPKLLFNGATTSFCNFKPQHFLTIQASSTDSALLETFKSTDVFFKEIFPLTRTQTVEGKIFIRLDKGENQQRWQLSVGCSLPGKWILHWGVSYVDDVGSEWDQPPKNMRPPGSVPIKDYAIETPLKKSSEEEIFHEVKINFDPKSSIAAINFVLKDEETGAWYQHRGRDFKVPLVDYLLNDSNVVGAKRGFNIWPGAFLRKMLLKAKELPSKDQESSSGSKDVKQESRQVEGFYEEQPITKQVVIQNLITVSVTKCPKTAKNLLYLETDISGEVVLHWGVCRNDAKNWEIPAGPYPSETTVFKDKALRTLLQPTDGGNGCSGLFTIDQEFVGFLFVLKLNEKTWLKCKENDFHIPLSSSSSMHAQPGQGQYEGQLVSEKTLEENQAVPRTPHSKGIINEMRNLVSDISSSRKTKTKEAQEGILHEIEKLAAEAYSMFRSSIPTLTLTEKAVSESEPPETPKICSGTGTGFEILFQGFNWESHKSGRWYMELKEKVLEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGSIDELKDLVKSLHEVGLKVLGDAVLNHRCAHFQNQNGVWNIFGGRLNWDDQAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWLRDEIGYDGWRLDFVKGFWGGYVKDYMDTTEPYFAVGEYWDSLSYTYGETDHSQDAHRQRIIDWINATNGTAGAFDVTTKGILHAALGKCEYWRLSDHKGKPPGVMGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPTVFYDHIFSHYHFEIASLIALRNRKKIHCRSTVKITEAERDVYAAIIDEKVAMKIGPGHYEPQSGSRRWSLAIEGNDYKVWEAS >Manes.01G189800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36564910:36578873:-1 gene:Manes.01G189800.v8.1 transcript:Manes.01G189800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPASATSSLSISSLSKLAPLTPLFLKLLNPPMSSSRRYFLSRELKREWDQPPKNMRPPGSVPIKDYAIETPLKKSSEEEIFHEVKINFDPKSSIAAINFVLKDEETGAWYQHRGRDFKVPLVDYLLNDSNVVGAKRGFNIWPGAFLRKMLLKAKELPSKDQESSSGSKDVKQESRQVEGFYEEQPITKQVVIQNLITVSVTKCPKTAKNLLYLETDISGEVVLHWGVCRNDAKNWEIPAGPYPSETTVFKDKALRTLLQPTDGGNGCSGLFTIDQEFVGFLFVLKLNEKTWLKCKENDFHIPLSSSSSMHAQPGQGQYEGQLVSEKTLEENQAVPRTPHSKGIINEMRNLVSDISSSRKTKTKEAQEGILHEIEKLAAEAYSMFRSSIPTLTLTEKAVSESEPPETPKICSGTGTGFEILFQGFNWESHKSGRWYMELKEKVLEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGSIDELKDLVKSLHEVGLKVLGDAVLNHRCAHFQNQNGVWNIFGGRLNWDDQAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWLRDEIGYDGWRLDFVKGFWGGYVKDYMDTTEPYFAVGEYWDSLSYTYGETDHSQDAHRQRIIDWINATNGTAGAFDVTTKGILHAALGKCEYWRLSDHKGKPPGVMGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPTVFYDHIFSHYHFEIASLIALRNRKKIHCRSTVKITEAERDVYAAIIDEKVAMKIGPGHYEPQSGSRRWSLAIEGNDYKVWEAS >Manes.01G189800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36564909:36577958:-1 gene:Manes.01G189800.v8.1 transcript:Manes.01G189800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKHLLTLSASSYSSLCNLCFVILKFGCLQFCLVLCIRCYFVMHSLISCSEWDQPPKNMRPPGSVPIKDYAIETPLKKSSEEEIFHEVKINFDPKSSIAAINFVLKDEETGAWYQHRGRDFKVPLVDYLLNDSNVVGAKRGFNIWPGAFLRKMLLKAKELPSKDQESSSGSKDVKQESRQVEGFYEEQPITKQVVIQNLITVSVTKCPKTAKNLLYLETDISGEVVLHWGVCRNDAKNWEIPAGPYPSETTVFKDKALRTLLQPTDGGNGCSGLFTIDQEFVGFLFVLKLNEKTWLKCKENDFHIPLSSSSSMHAQPGQGQYEGQLVSEKTLEENQAVPRTPHSKGIINEMRNLVSDISSSRKTKTKEAQEGILHEIEKLAAEAYSMFRSSIPTLTLTEKAVSESEPPETPKICSGTGTGFEILFQGFNWESHKSGRWYMELKEKVLEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGSIDELKDLVKSLHEVGLKVLGDAVLNHRCAHFQNQNGVWNIFGGRLNWDDQAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWLRDEIGYDGWRLDFVKGFWGGYVKDYMDTTEPYFAVGEYWDSLSYTYGETDHSQDAHRQRIIDWINATNGTAGAFDVTTKGILHAALGKCEYWRLSDHKGKPPGVMGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPTVFYDHIFSHYHFEIASLIALRNRKKIHCRSTVKITEAERDVYAAIIDEKVAMKIGPGHYEPQSGSRRWSLAIEGNDYKVWEAS >Manes.01G189800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36564910:36578873:-1 gene:Manes.01G189800.v8.1 transcript:Manes.01G189800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGSVPIKDYAIETPLKKSSEEEIFHEVKINFDPKSSIAAINFVLKDEETGAWYQHRGRDFKVPLVDYLLNDSNVVGAKRGFNIWPGAFLRKMLLKAKELPSKDQESSSGSKDVKQESRQVEGFYEEQPITKQVVIQNLITVSVTKCPKTAKNLLYLETDISGEVVLHWGVCRNDAKNWEIPAGPYPSETTVFKDKALRTLLQPTDGGNGCSGLFTIDQEFVGFLFVLKLNEKTWLKCKENDFHIPLSSSSSMHAQPGQGQYEGQLVSEKTLEENQAVPRTPHSKGIINEMRNLVSDISSSRKTKTKEAQEGILHEIEKLAAEAYSMFRSSIPTLTLTEKAVSESEPPETPKICSGTGTGFEILFQGFNWESHKSGRWYMELKEKVLEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGSIDELKDLVKSLHEVGLKVLGDAVLNHRCAHFQNQNGVWNIFGGRLNWDDQAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWLRDEIGYDGWRLDFVKGFWGGYVKDYMDTTEPYFAVGEYWDSLSYTYGETDHSQDAHRQRIIDWINATNGTAGAFDVTTKGILHAALGKCEYWRLSDHKGKPPGVMGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPTVFYDHIFSHYHFEIASLIALRNRKKIHCRSTVKITEAERDVYAAIIDEKVAMKIGPGHYEPQSGSRRWSLAIEGNDYKVWEAS >Manes.01G189800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36564910:36578873:-1 gene:Manes.01G189800.v8.1 transcript:Manes.01G189800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGSVPIKDYAIETPLKKSSEEEIFHEVKINFDPKSSIAAINFVLKDEETGAWYQHRGRDFKVPLVDYLLNDSNVVGAKRGFNIWPGAFLRKMLLKAKELPSKDQESSSGSKDVKQESRQVEGFYEEQPITKQVVIQNLITVSVTKCPKTAKNLLYLETDISGEVVLHWGVCRNDAKNWEIPAGPYPSETTVFKDKALRTLLQPTDGGNGCSGLFTIDQEFVGFLFVLKLNEKTWLKCKENDFHIPLSSSSSMHAQPGQGQYEGQLVSEKTLEENQAVPRTPHSKGIINEMRNLVSDISSSRKTKTKEAQEGILHEIEKLAAEAYSMFRSSIPTLTLTEKAVSESEPPETPKICSGTGTGFEILFQGFNWESHKSGRWYMELKEKVLEISSLGFTVIWLPPPTESVSPEGYMPKDLYNLNSRYGSIDELKDLVKSLHEVGLKVLGDAVLNHRCAHFQNQNGVWNIFGGRLNWDDQAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDLKEWLCWLRDEIGYDGWRLDFVKGFWGGYVKDYMDTTEPYFAVGEYWDSLSYTYGETDHSQDAHRQRIIDWINATNGTAGAFDVTTKGILHAALGKCEYWRLSDHKGKPPGVMGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPTVFYDHIFSHYHFEIASLIALRNRKKIHCRSTVKITEAERDVYAAIIDEKVAMKIGPGHYEPQSGSRRWSLAIEGNDYKVWEAS >Manes.03G206800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:32302513:32307428:1 gene:Manes.03G206800.v8.1 transcript:Manes.03G206800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHEELPIPIYSSLEHVYGDGSPLEEAQLRYDTLKSKFLQVFGHPPDVYARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDAGEAQKFIRIANVNDKYTLCTYPADPNQEIDLKNHRWGHYFICGYKGFYEYAKSKGVDVGVPVGLDVLVDGTVPTGSGLSSSAAFVCSSTIAVMAAFNVNFPKKEIAQLTCECERHIGTQSGGMDQAISVMAQTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESLKAVTAATNYNNRVVECQLAAIVLAIKLGMKPQDARSKVKTLSDVEGLCVSFASSHGSSDPVAAVKEFLKEEPYTAEEIEKITEENLPSLFSNSPTSLDVLKAAKHYKLYQRAAHVYSEAKRVHVFKDTVASNLSDEDKLKKLGDLMNDSHYSCSVLYECSCPELEELVKVCREHGALGARLTGAGWGGCAVALVKEPIVPQFILNLKERFFQARIDKGVINKTDLGLYVFASKPSSGAAIIKF >Manes.16G107751.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31303338:31304773:1 gene:Manes.16G107751.v8.1 transcript:Manes.16G107751.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSLVARVLKARYFPTTSFFKASLGHNPSFLWHSIWATRSLVKAGAYWRIENGMSVSIWSHPWLKEAPNSLVSTPPPPNCTISVVAYFMIGHRWNESLIAQLFNDRDISCILNIPLSLSSHPDAWCWKFASKGHYYVNSAYRFLVAGFRHREGSEIWSRFWKTKVPPKVLNFCWRALVNVVPCLSLLQSKRVPVDSMCPLCHEAPETVLHILIQCPFARSCWLSSPLGWPAFSAASLREWFSLAFLTVSAENASFILMICWALWHNRNNVVWKAQGRTASGFPPPQGWIKVNIDASLNLQRSSLGFGCVVRYANGRFMAAKCAETMAFREALSWIKECGWDRVFFESDAQILVY >Manes.18G112160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:11252269:11253695:-1 gene:Manes.18G112160.v8.1 transcript:Manes.18G112160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFDHLLLTCGSHLKNTDGNEMFLPFVAPEISHESMRMETTIESDHVFLWHNHYCSETRLIQKFSNVNELLMEFEFKAEHNMYSAGGARQLEVVKLKVKRCGVHLMYVSKDEEYQCSQSTIHSQHCLQYAATDSEFMQQVIETAHTNKKRSSDQANIITDGRLDKRLCSKDWRRTITSASNFMQQVIRTTGREAPVRPTSSQMADLLKRLKEL >Manes.01G260600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:41650578:41653905:-1 gene:Manes.01G260600.v8.1 transcript:Manes.01G260600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAHLSLFSKPAPSHYVLAFPGTGSISHKTARFKCLASQSGFFTRLGRLIKERAKSDVEKIFSGFSKTRDNLAVIDELFLYWNLADRDRVLDELEEALLVPDFGPRITIKIVESLREDILAGKLKSGSEIKDALKRSVLVLLTKKGSKADLQLGFRNPAVIMIVGVNGGGKTTSLGKLAYRLKNEGTKILMAAGDTFRAAASDQLKIWAERTGCEIVVAEEDKAKASSVLSWAVEKGKEQGFDVVLCDTSGRLHTNYSLMEELIACKKAVGKVVPGTPNEILLVLDGNTGLNMLPQTRDFNEVVGITGLILTKLDGSARRGCVMSLASQ >Manes.05G197300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32164341:32165052:-1 gene:Manes.05G197300.v8.1 transcript:Manes.05G197300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTKLISSPGRTENYPPPLMRFLRSNVSSRSRGRSRSSPMFVRKKNGANETQEPSSPKVTCIGQVIVKRSKQAKTQPSKIKCFYKWVRNTLFFLHSNRATLRPNCTLLSWRKRVMFFKVGVRRESKIREDSSKVEPKFGNISEDAGQESEVEDEENKMYVSYSISPPKNALLLTRSRKRTKLSADQENTERIHPMSKESHFAEKSDQESRLDQETEEK >Manes.14G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4377845:4380550:1 gene:Manes.14G051300.v8.1 transcript:Manes.14G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKRQKISPLITQKSDLFEEQPDDIVVCILCKLSSSASCPSDFINILVTCKRLNRLALHPQVLSKAGPKTFAIRAKNWSDSAHRFLKQCVNAGNTEASYTLGMIQFYCLQNRASGASLMAKAAIKSHAPALYSLAVMQFNGSGGSKNNKDLRAGVSLCARAAVLGHIDALRELGHCLQDGYGVAQNTADGRRLLIQANARELASSLRSMLTWQPQHQNEHQWQQLPYASCVTIGSMGCPLLSDFGCNVPAREVHPANRFLREWFESGIGVMGPNLRLCSHSGCGRPETRPHEFRRCSVCGKVNYCSRGCQAIDWKLRHKVECVPMELWHGVDGDDNEIGGMVEIEEG >Manes.05G054100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4486106:4487015:-1 gene:Manes.05G054100.v8.1 transcript:Manes.05G054100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVISMLVVVAMVQYMATPGEAIDCGQVSSSLAPCIPFLTGGDASPSSGCCSGVKNLNSLAQTTADRRAACDCIKTAAARYPNIKDDAASSLPQKCGIDFNIPISKSTDCQSIN >Manes.13G056700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6588784:6590786:-1 gene:Manes.13G056700.v8.1 transcript:Manes.13G056700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEMGYKAHALILPYPLQGHINPMLQFSKRLVAKGVKATLAATIFTNKSMHSDPTGSVDIETISDGFDDGGLAQAESTELYLSTLESVGAQTLADLINKLRDLGRPVNVLIYDGFLPWALDVAKRFGLLGVVFFTQPCAVNHIYYHVQRGLLPLPLAEPTVSLPGLPLLQASETPSFVSDSGSYPGFHHLVMNQFRNIDEADWVLYNNFYKLEDQVVEWMAKRWRLRTVGPTLPSMFLDRRLEEDKDYGISLFKPETQICMKWLQDKPKDSVVYVAFGSMAELGAEQMKEIAWGLKASKYYFLWVVRETEKAKLPENFIEETSDKSLVISWCPQLEVLAHEATGCFITHCGFNSVLEALSLGVPLVAMPQWTDQPTNAKFVEDVWKIGIRTWRDEKGIVRRETVELCVRQIMEGQKGKEIREKAKNWMILAKEAISEGGSSDKNIDEFVAQLGSS >Manes.13G016900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1795345:1799747:1 gene:Manes.13G016900.v8.1 transcript:Manes.13G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFGNSSSGKQVFPIDYQAVVSQLLVDASHRNDFKLACECLADPFVDVNFIGTVSLKTKRTEVLLRDELPHEVRVEYEEFKTDVTALFLAAHAGNLTLVRKLLSVGANVNQKLFRGYATSAAVREEHLNILEVLVKAGASQEACEGALLEASYLGLARPTVLLMSSDLIRPQVAVRALVSACCRGFVNVVDTLIKCGVDANAIDRVLLRSSKPSLHANVDCNALAAAIVSRQISVVRLLLQAGVRLDTKVRLGAWSWDMDTGEEFRVGAGLADAYWVTWCAVEYFEASGAILQMLLRHLSVNTLHFGRTLIHHAILCDNARAVKVLINCGANKELPVKTTSKNEWAPVHLAARLGSTKVLEQLTAGGCNLNSRTNSGETALMICARYNQKECLKILASAGADFGLVNSAGESASSIARSTKWALGFQQAVIDVIQAGKSVVSSNVSAFSPLMFVVQANDIETLKVLIERTDINLDEQDDDGFSAAMIAAAGGHIEAFRLLVYAGADVKLQNKYGETAITLSELSHHGEVIEKVMLDYALEEGHNYSAGVHALHRAAHRGDIDLIHMLTRRGLDVNAFDCEGYTPLMLAAMGGHSRVCELLISCGASCDLENTRKETALSLARKNGYRTETENVILDELARQLVLDGTEVKKHTKCGKGAPHYKALRMVGAVGVLRWGKSSKRNVVCRGAEVGPSAKFRWNRRKKLDVEDPGMFHVITTKNKEVHFACEGGVEMAELWVRGIKLVTREAIFGKNQSNL >Manes.15G075800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:5720669:5720944:-1 gene:Manes.15G075800.v8.1 transcript:Manes.15G075800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSRQTKKSVSFSVFNIFKARRPTRRVNDSYEDASNTRRVFPSDEDGKGPWKIADPRIDTKTSEFIAHFHATRISESNCQIYQPAQASS >Manes.08G083400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:28921256:28922635:-1 gene:Manes.08G083400.v8.1 transcript:Manes.08G083400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKFPLVLFISSLLLHAAFCETVCEDLPTDVCAFSIASSGKRCLLETYATRDGKVEYQCRTSEVMVEGMTDYIETDECVNACGVDRNSVGISSDALLEPQFTSKLCSPACFQKCPNIVDLYFNLAAGEGAFLPDLCDAARTNPHRSMIQLMSSGAAPGPVASEASTSFIGAPASAPM >Manes.01G069300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27051301:27053571:-1 gene:Manes.01G069300.v8.1 transcript:Manes.01G069300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSNGTASHNNKPLKFLIYGRTGWIGGLLGKLCESQGIDYAYGSGRLENRVSLEADIANVKPTHVFNAAGVTGRPNVDWCEYHKVETIRTNVVGTLTLADVCREKGLILINYATGCIFEYDANHPLGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKNFENVCTLRVRMPISSDLSNPRNFITKITRYEKVVNIPNSMTILDELLLISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYVDPNFSWKNFTLEEQSKVIVAPRSNNELDASKLSKEFPEMLPIKESLIKFVFKPNQKTAAP >Manes.06G085100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22020955:22027249:-1 gene:Manes.06G085100.v8.1 transcript:Manes.06G085100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLESGETMTIQRRVGLLYDERMCKHHTPDDDYHPENPYRIKAIWNKLLANNIPQRCVVLNAKEAEDKYLLAVHSKNHVNLIRNISSNQFDSRRNRIALKFNSIYFNDGSSEAAYIAAGSVVEVAERVAKGELHSSAAIVRPPGHHAEHDEAMGFCLFNNVAVAASYLLDERPELGIKKILIVDWDVHHGNGTQKMFWKDPRVLFFSVHRHEFGNFYPANDDGFYTMIGEGPGAGYNINVPWENGRCGDADYLAVWDHILIPVAKEFDPDMILVSAGFDAAIGDPLGGCRVTPYGYSVMLKKLMDFAHGKIVLALEGGYNLDSIANSFLACMEVLLESKPIAGSSEAYPFESTWRVIQAVRKKLSAYWPSLADEIPLKLTSQKAPPAHLLISSSDSEDEDEKSPNIVSDNMVKVVQEIVEPLSKLKVEDIHGKDQVARESVPWRSELSKMEIWYATYGSNMWKRRFLCYIQGGQVDGMRKPCPGSMDKNPPKEILWKTFSRRLFFGRESTRTWGPGGVAFLNPETSVDEKTYICMYRITLEQFNDVLFQENIALQENVSSCEMGSPAFHLADLESVEKHGSMSLEVFKKGWYHNVVYLGKEQDIPILTMTCSLSDVERFKSGKVPLCAPCKEYANTLIQGLIEGGQFSEEEAITYIEEASSKPI >Manes.15G063800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4837978:4840383:1 gene:Manes.15G063800.v8.1 transcript:Manes.15G063800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSASPDPSDKDGEAFVEIDPTGRYGRYNELLGSGAVKRVYRAFDQEEGIEVAWNQVKLRSFSNDPIMIDRLYSEVRLLRSLTNKNIISLYNVWRDEDHNNLNFITEVCTSGNLREYRKKHRQVSMKALKKWSKQILKGLNYLHTHEPCIIHRDLNCSNLFVNGNIGQVKIGDLGLAAIVGKSHSAHSILGTPEFMAPELYEENYTEMVDIYSFGMCVLEMVTLEIPYSECDNVARIYKKVCSGVRPQALSKVWDSEVRAFIEKCLAQPRARPSAADLLKDPFFDGIDDDDDENDDTSSS >Manes.01G191600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36692730:36695389:1 gene:Manes.01G191600.v8.1 transcript:Manes.01G191600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQEGEEVTGTRTGQSEEQKLLQRVWSWGAGTDGQLSTGKLEDENLPQLLLHFPNLSSAGTVSMLACGGAHVIALTSAGKVLTWGRGTSGQLGHGEMLSSLDPQPVNFLDCYVITHVSAGWSHSGFVSDTGSLFTCGDGSFGQLGHGDYESHCVPVQVSYFINGHVEQIACGMRHSLVLLKDCAGKQIYGFGSGKRGQLGISRDKVKSICVPQVVHGVEDIEIISICANGDHSAALSVDGKLHLWGRGFAGTSDVLTLQHFVSSLKFCKVALGWNHALALTGTGIFTLADIS >Manes.01G191600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36692730:36696062:1 gene:Manes.01G191600.v8.1 transcript:Manes.01G191600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQEGEEVTGTRTGQSEEQKLLQRVWSWGAGTDGQLSTGKLEDENLPQLLLHFPNLSSAGTVSMLACGGAHVIALTSAGKVLTWGRGTSGQLGHGEMLSSLDPQPVNFLDCYVITHVSAGWSHSGFVSDTGSLFTCGDGSFGQLGHGDYESHCVPVQVSYFINGHVEQIACGMRHSLVLLKDCAGKQIYGFGSGKRGQLGISRDKVKSICVPQVVHGVEDIEIISICANGDHSAALSVDGKLHLWGRGFAGTSDVLTLQHFVSSLKFCKVALGWNHALALTADGGEVYILGGDHHGVLGDLEKINLQKHSDSTCAALNRVSGLDETEVVDIAAGAEHSALLTVDGAIKTWGWGEHGQLGLGSTSDQTSPQTVILGHQTQNQEDVLKVYCGSGFTFVTRTPFPCQT >Manes.01G191600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36692730:36696062:1 gene:Manes.01G191600.v8.1 transcript:Manes.01G191600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQEGEEVTGTRTGQSEEQKLLQRVWSWGAGTDGQLSTGKLEDENLPQLLLHFPNLSSAGTVSMLACGGAHVIALTSAGKVLTWGRGTSGQLGHGEMLSSLDPQPVNFLDCYVITHVSAGWSHSGFVSDTGSLFTCGDGSFGQLGHGDYESHCVPVQVSYFINGHVEQIACGMRHSLVLLKDCAGKQIYGFGSGKRGQLGISRDKVKSICVPQVVHGVEDIEIISICANGDHSAALSVDGKLHLWGRGFAGTSDVLTLQHFVSSLKFCKVALGWNHALALTDGGEVYILGGDHHGVLGDLEKINLQKHSDSTCAALNRVSGLDETEVVDIAAGAEHSALLTVDGAIKTWGWGEHGQLGLGSTSDQTSPQTVILGHQTQNQEDVLKVYCGSGFTFVTRTPFPCQT >Manes.04G088200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29332803:29343050:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLTLPDDLLSSNVNVDCWGGGTGEDKNLMSLLVESKDQMPTDSSIPLSPQWLHTKPIDAKVPTAAASVEMHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGVTSEGSLSSLVSTREIVESLRRVFVSDSCDSNGLEISHTSITEEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29332803:29343050:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29332795:29343556:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLTLPDDLLSSNVNDQMPTDSSIPLSPQWLHTKPIDAKEMHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGVTSEGSLSSLVSTREIVESLRRVFVSDSCDSNGLEISHTSITEEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29332795:29343556:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLTLPDDLLSSNVNDQMPTDSSIPLSPQWLHTKPIDAKEMHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29332795:29343556:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLTLPDDLLSSNVNVDCWGGGTGEDKNLMSLLVESKDQMPTDSSIPLSPQWLHTKPIDAKEMHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29334787:29343050:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGVTSEGSLSSLVSTREIVESLRRVFVSDSCDSNGLEISHTSITEEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29332803:29343050:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLTLPDDLLSSNVNVDCWGGGTGEDKNLMSLLVESKDQMPTDSSIPLSPQWLHTKPIDAKVPTAAASVEMHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29334787:29343050:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29332795:29343556:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKLTLPDDLLSSNVNVDCWGGGTGEDKNLMSLLVESKDQMPTDSSIPLSPQWLHTKPIDAKEMHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGVTSEGSLSSLVSTREIVESLRRVFVSDSCDSNGLEISHTSITEEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.04G088200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:29332803:29343050:1 gene:Manes.04G088200.v8.1 transcript:Manes.04G088200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPNSLSHGNSPDNLKNSWQLDGSQDKKDWRKISSDLEIKRSWREEERDTSLLGRRDRRKDDRGADAVSTRDISETRLLSSSDRWCDSNSRNSGHESWRDSKWSSRWGPEDKEKNPRTEKRTYVEKEDSCTHKQTVVTGSRTASERENDSREKWRPRHRMEVHAGGTAAYRSAPGFGSEKGRMEASAIRFAAGRGRSNSNGSISIAAHSSASASAIGSIPLDKNQSYCYRRGKLLDIYRRQKDLPSFDTMPDGMENVASVTLEVAIKPLSLVAPDAEEEAFLGDIWVGKIKSSGGLHNSLGDRNGGSENDYGVTSEGSLSSLVSTREIVESLRRVFVSDSCDSNGLEISHTSITEEVCASKEGEQEHRMAAGGDGLMHAVMKKEDSSISQEIDPSHDFVELKALDNQQVAELANMNHRQSEDFESVTSFEIGSQLPDDPSSLFDFPSGQKISNDQLKSNNEARSLRSIMVPEELSLYYLDPQGEIQGPYLGIDIITWLEQGYFGTDLPVRMSDAPDGSPFHELGDIMPHLKAKQGSVCSTPKLSDTVGGALEENVPSASDKNFHSGIQYTDEQSFQCFVAQDEEVLLGVPGSNSGNPLMKHAADLRNLVSDASSHASLANEFSETHQGQNLHPFGLLMSELRGNSQLRHVQSSNISSSIGDQGQTMDPFSERDVAFRNHSSFGAVVDQPHAETCSDDYSQETLTNPGIHIDSNDVHHLSHREQDISDFDLQHLILRNLQKEQLEQQNNFSPHSLSQASRLGIEEIPSNILKLHFQQQQLELQQQQRLELQQQQWHLELQQQRRLELQQQQWLELQQQRQLELQQRRQLELQHQRKLELQHQRKLELQQQRQLEIQQQQQRQQRQLELQKQKQLLQQQLHHHQMQLLQQQQQEQQQLLFERMLQYQMSDLGYRQSKVDPMMDNLLDQVQFRMQLAEMQQSSNPSRHLDPSLEQIIQAKVGLNASQEPQADFLDVLQSKHRNILPSNQFHFEQERLQAKQLSLALKQQLGMEVERHFSGPWSVGKACQLSQNSDDRRHSVSSGFDTSDFYQQQRLSSREEHLKWKGALHERRQHGLYEPSYMEFERSMSLPGVTPGIKLENVNDHRQGPDSTEHLYKQPADQLGPFSSSNPFQCQQFSDDFSGSHPEATGHLPGKNGQSEKSWSEGGMQQLHLETQQLSKFPEAVNASIWAPTGPDEESSKRLLMEHQKLGIQSMKSSENDYQHLTLSSEPQDSLWPMKDLRLSNLPFNHILGQEAAMNHSFMKGAQNLNSTSLLQENLVNAALSAQNGERLHSRSNSGVLIEEETFLSGARDLSLTNYADGRFIGKSTMDKELPEMGRKGSIYGSRNMISMSMPVSQIEDNLVEQAGTAMNFEGIPSRHSSLSSTGGTQSLYNYEMGNNLSSGEEVVNDSVHSTLTKGLDNTSHKRLPVSRALSSQDGLSDLASASNVKQKSSTRFPTSNEKRHESEGNIVATSAGETQASGKKEMRFRRTSSYSEATISETSFIDVLKRPVLYDADVANSAAALESSDGGLQAARSGKKKGKKGRQIDPALLGFKVSSNRILMGEIQRLED >Manes.12G134700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34169991:34175427:1 gene:Manes.12G134700.v8.1 transcript:Manes.12G134700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLPVTRPQSSESDSDMDQLYDRSIIVPTKVIALAESFEKKEHSWYVTSQIPTDLSIQVQDITFTVHKYPLVSKCGYIGHLELQPSISNFGYELKLENFPGGPETFEVILKFCYGLPLDLNPHNIAAIRCASEFLEMSEELEDGNLISKTEAFLTFVVLSSWKDTITVLKSCEALSPWAENLQIVRRCCDSIAWKASRDNSTIADVVNEEGWWLDDVAGLRIDHFMRIITAIRAKGTKPEIIGKCIMCYATRWLPGMDVEVEGLRGYGYGKNELQFSILSGKNEDECVGHNKEQKTIIESLVSLLPPQPEAVPCKFLLKMLKMAIVYSASSALIAELEKRVGLTLENASVNDLLIPNYKTEDQVKMNKQQEQRTMHDIDVVQRIVEYFLMHEQEQQHQLQPISGKSNVSKLMDSYLAEIARDPNLSITKFQVLAEALPENARTCDDGLYRAIDTYLKTHPSLSEHDRKKICKVMNCEKLSLDACMHAAQNDRLPLRTVIQVLFSEQVKMRAAMRGKEPAVSGNNSEHEVTQPSTKTEIMTLKSELENVKEKMAELQKDYSELQHEYAKLNSKHRNLSGWILSWKKIRKSALFNRKVEGDGTSEEQQRSNPLTHKDNPRRRQSVS >Manes.02G092500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7250431:7254172:1 gene:Manes.02G092500.v8.1 transcript:Manes.02G092500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSDTAAAASPDSASPPSTSNSSSSSPPAPPPKSSKSDSPSPPPPPSDAPALSPKSSSSHRSPPPPSHNRTHSKASPSPPPHKSLSPSHKSSSSSSSSSSSSSDDSSISQNQLKIVVGVSVGIGLLIALLIICAATLCRRKKRKDEPMHYYGNYKGGSAKYYQSPVHQNWQSDPPRSEHIMKLSQSPGAGWHGPPPPPPHQMMMTEDMMSSNYSGPHHPPLPPPSPDIALGFNKSTFTYDELAAATGGFSQANLLGQGGFGYVHKGVLPSGKEIAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGGQRMLVYEFVPNNNLEHHLYGKGLPVMGWSRRLRIALGSAKGLAYLHEDCHPRIIHRDIKASNILLDCNFEAMVADFGLAKLSSDTHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGNRPVDPTNAMEDSLVDWARPLLTRFLEDGNCRELVDLRLENNFNPEEMQRMVACAAASIRHSGRKRPKMSQIVRCLEGDVSLDDLSEGAKPGQSTVFSSSPGSEYGAESYNADMKKFRQVALSSQEFESTELATSSTDSREMSPAGRMNNL >Manes.02G223100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37013786:37021724:1 gene:Manes.02G223100.v8.1 transcript:Manes.02G223100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAQTKAKVPSRFNVQQSTFELRSKVVLALSKLADRDTCQIGVDDLEKIAQSLTPDGISPFLSCILDTDKEQKSAVRKECIRLMGVLVNFHRSLLGPHVAKMVATIVKRLRDPDSVVRDACVETMGVLASKLSDHGDAKGGVFVSLVRPLFEAMGEQNKQMQSGSALSLARVIDNTHDPPVSILQRMLTRTVKLLKNPHFMAKPAVIELNRIIIQAGGAPSQNVLSAAMTSIQEALRNSDWTTRKAASVALAEIASSGQSWLGSFKRSCISSLESCRFDKVKPVRDTVLHALQYWKSLPGPDTPESSETGSSIKDNFYRGEYSDITSTNDSMRKDVTPNRVVTDSAKRRIPLSVKKSCQNYQESQHPKADEWQIEIAVPKSLNVSLADICTEESEGSSVTKTLERINSYSTSIPDNGCEYAPVDDKQDCSSVSNLVSDNFETKFVTVSQDSIEAGGLLRSTGRNRRFTAEVINNEEQTHSAKVRDRGSLDSTLTENSFQPSHGCCSQVATEMACIQKQLLEIENKHSSLMEMLQVFSTGIMDGLSMLKSKVSVLEHEVDRISHALLHGARHSDSAISKLMKQNQSFSSPRLSTSSPRPSVDIHNKQPSLFSAKNSDIWEENASGRSRSVNLKKATEMRINPTVKTSRNAMKDMQDISGHGASNRNCTRKVDAVLASVSSANAREIGPENSNCLWQRVKGFLCKGDLDSAYVEALYSVDELVLVELLDRTGPVLESLSHKTVSDILSTLASYFLEQRFMNSIIPWLQQVVDLSTIHGPDYFVLSAKARREFLSAIQEAFNMEFSNPAERRSITQLAMRLCHLWGSSGQ >Manes.02G223100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37013779:37021781:1 gene:Manes.02G223100.v8.1 transcript:Manes.02G223100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAQTKAKVPSRFNVQQSTFELRSKVVLALSKLADRDTCQIGVDDLEKIAQSLTPDGISPFLSCILDTDKEQKSAVRKECIRLMGVLVNFHRSLLGPHVAKMVATIVKRLRDPDSVVRDACVETMGVLASKLSDHGDAKGGVFVSLVRPLFEAMGEQNKQMQSGSALSLARVIDNTHDPPVSILQRMLTRTVKLLKNPHFMAKPAVIELNRIIIQAGGAPSQNVLSAAMTSIQEALRNSDWTTRKAASVALAEIASSGQSWLGSFKRSCISSLESCRFDKVKPVRDTVLHALQYWKSLPGPDTPESSETGSSIKDNFYRGEYSDITSTNDSMRKDVTPNRVVTDSAKRRIPLSVKKSCQNYQESQHPKADEWQIEIAVPKSLNVSLADICTEESEGSSVTKTLERINSYSTSIPDNGCEYAPVDDKQDCSSVSNLVSDNFETKFVTVSQDSIEAGGLLRSTGRNRRFTAEVINNEEQTHSAKVRDRGSLDSTLTENSFQPSHGCCSQVATEMACIQKQLLEIENKHSSLMEMLQVFSTGIMDGLSMLKSKVSVLEHEVDRISHALLHGARHSDSAISKLMKQNQSFSSPRLSTSSPRPSVDIHNKQPSLFSAKNSDIWEENASGRSRSVNLKKATEMRINPTVKTSRNAMKDMQDISGHGASNRNCTRKVDAVLASVSSANAREIGPENSNCLWQRVKGFLCKGDLDSAYVEALYSVDELVLVELLDRTGPVLESLSHKTVSDILSTLASYFLEQRFMNSIIPWLQQVVDLSTIHGPDYFVLSAKARREFLSAIQEAFNMEFSNPAERRSITQLAMRLCHLWGSSGQ >Manes.02G223100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37013779:37021781:1 gene:Manes.02G223100.v8.1 transcript:Manes.02G223100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAQTKAKVPSRFNVQQSTFELRSKVVLALSKLADRDTCQIGVDDLEKIAQSLTPDGISPFLSCILDTDKEQKSAVRKECIRLMGVLVNFHRSLLGPHVAKMVATIVKRLRDPDSVVRDACVETMGVLASKLSDHGDAKGGVFVSLVRPLFEAMGEQNKQMQSGSALSLARVIDNTHDPPVSILQRMLTRTVKLLKNPHFMAKPAVIELNRIIIQAGGAPSQNVLSAAMTSIQEALRNSDWTTRKAASVALAEIASSGQSWLGSFKRSCISSLESCRFDKVKPVRDTVLHALQYWKSLPGPDTPESSETGSSIKDNFYRGEYSDITSTNDSMRKDVTPNRVVTDSAKRRIPLSVKKSCQNYQESQHPKADEWQIEIAVPKSLNVSLADICTEESEGSSVTKTLERINSYSTSIPDNGCEYAPVDDKQDCSSVSNLVSDNFETKFVTVSQDSIEAGGLLRSTGRNRRFTAEVINNEEQTHSAKVRDRGSLDSTLTENSFQPSHGCCSQVATEMACIQKQLLEIENKHSSLMEMLQVFSTGIMDGLSMLKSKVSVLEHEVDRISHALLHGARHSDSAISKLMKQNQSFSSPRLSTSSPRPSVDIHNKQPSLFSAKNSDIWEENASGRSRSVNLKKATEMRINPTVKTSRNAMKDMQDISGHGASNRNCTRKVDAVLASVSSANAREIGPENSNCLWQRVKGFLCKGDLDSAYVEALYSVDELVLVELLDRTGPVLESLSHKTVSDILSTLASYFLEQRFMNSIIPWLQQVVDLSTIHGPDYFVLSAKARREFLSAIQEAFNMEFSNPAERRSITQLAMRLCHLWGSSGQ >Manes.02G223100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:37013786:37021724:1 gene:Manes.02G223100.v8.1 transcript:Manes.02G223100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAQTKAKVPSRFNVQQSTFELRSKVVLALSKLADRDTCQIGVDDLEKIAQSLTPDGISPFLSCILDTDKEQKSAVRKECIRLMGVLVNFHRSLLGPHVAKMVATIVKRLRDPDSVVRDACVETMGVLASKLSDHGDAKGGVFVSLVRPLFEAMGEQNKQMQSGSALSLARVIDNTHDPPVSILQRMLTRTVKLLKNPHFMAKPAVIELNRIIIQAGGAPSQNVLSAAMTSIQEALRNSDWTTRKAASVALAEIASSGQSWLGSFKRSCISSLESCRFDKVKPVRDTVLHALQYWKSLPGPDTPESSETGSSIKDNFYRGEYSDITSTNDSMRKDVTPNRVVTDSAKRRIPLSVKKSCQNYQESQHPKADEWQIEIAVPKSLNVSLADICTEESEGSSVTKTLERINSYSTSIPDNGCEYAPVDDKQDCSSVSNLVSDNFETKFVTVSQDSIEAGGLLRSTGRNRRFTAEVINNEEQTHSAKVRDRGSLDSTLTENSFQPSHGCCSQVATEMACIQKQLLEIENKHSSLMEMLQVFSTGIMDGLSMLKSKVSVLEHEVDRISHALLHGARHSDSAISKLMKQNQSFSSPRLSTSSPRPSVDIHNKQPSLFSAKNSDIWEENASGRSRSVNLKKATEMRINPTVKTSRNAMKDMQDISGHGASNRNCTRKVDAVLASVSSANAREIGPENSNCLWQRVKGFLCKGDLDSAYVEALYSVDELVLVELLDRTGPVLESLSHKTVSDILSTLASYFLEQRFMNSIIPWLQQVVDLSTIHGPDYFVLSAKARREFLSAIQEAFNMEFSNPAERRSITQLAMRLCHLWGSSGQ >Manes.16G123200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32521440:32522584:-1 gene:Manes.16G123200.v8.1 transcript:Manes.16G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKLSACILILSLLSFSAFSNANCDPCKHKPTPSPAPATCPRDTLKLGVCVDLLGLVHVVVGSPPSGDKCCAVLEGLADLEAALCLCTAIKASVLGINLNVPVALSLLLSACGKSIPPGFQCA >Manes.15G163100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13568536:13570235:-1 gene:Manes.15G163100.v8.1 transcript:Manes.15G163100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSSRGSGSWTAQQNKAFEKALAVYDKDTPERWANVAKAVGGKTAEEVKRHYEILVEDVKYIESGQVPFPNYRTTGGSTRGNLDDQQKRMKNMKLH >Manes.07G113200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31852789:31859828:-1 gene:Manes.07G113200.v8.1 transcript:Manes.07G113200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKLYSEKTLNLDLQSKQEVLLFSALDIDRNRLFFASSANFIYSTQLSSFHNGNAWIKTSLPAEIHPIDLEDGDFITSFDYLMEKEALILGTYNGVLLLYNVDDNAMEVVGKVEGGVQCIAPSPDGDLLGIVTGLGQVLVMTHDWDLLYENALEEDQPDGGVDVREPTFSSTNKSKCSLSWRGDGKYFATLSQLSNSSSLHKRLRVWERESGALHATSDSKAFMGAVLEWMPSGAKIAAVYDRRAKNESLEIVFYERNGLFRSSFSINASVDATIELLKWNCSSDLLASVVRCDRYDSVKVWFFSNNHWYLKQEIRYPKQDGVRFMWDPIKPLELICWTLEGQITVYTFSWITAVTDNSIALVVDESKILVTPLSLSLMPPPLHLFSLKFSSVVRDMALYFKNSKNIVAAFLSDGSFCVVELPESDAWEELEGKEFHVEACIFETVFGTLAHLTWLDSHVLLAVSHYGFTHSNCISQGSLAEDGRQGFYLQEIEIACSEDHVPGLVTSSGWQAKVSHIKFLEQQIIGTAPNPAKKCSAFVQFDGGKIGEYTSALGLATIGGTTEREIMSFSSSCPWMSVVLLGNSGPLKHLLFGLDDIGRLHFGGKILCNNCSSFSFYSNLADQVITHLILATKQDFLMIVNIDDILHGEVESKYENFVHTGDRRKEENMNFIHIWERGAKIVGVLHGDDAAVIIQTTRGNLECIYPRKLVLASIVNALIQVRFRDALLMVRRHRIDFNIIVDYCGWQAFLQLATEFVRQVNNLSYITEFVCSIKNENVMEKLYKIYINLPSQKQAEVVQAQDFRGFDANNKVSSVLLAIRKAIEEQVPETPARELCILTTLARSDPPALEEALERIKGIRELELLGSNDPRTMSYPSAEEALKHLLWLSDSEAVFEAALGLYDLHLAAIVALNSQRDPKEFLPYLQDLESMPSLIMHYNIDLKLHRFEKALKHIISAGDAYFSDCMNLLQKNPQLFPLGLQLITDHAKRMQVLEAWGDHFSDKKCFEDAAATYLCCSSLDKALKAYRACGHWSGVLTVAGLLKLENDDVMQLAHELREELQALGKPGEAAKIALEYCGDVNGGISLLISARDWEEALRVAFKYMQEDLISDVKNASLEGANTLIGEYEEGLEKVGKYLTRYLAVRQRRLLLAAKLQLEDRTANDLEDDTASEASSNFSGMSAYTTGTRKGSSASVSSSVTSKARDSRRQRNRGKIRPGSPGEEMALVEHLKGMCLTDGAKRELRSLLICLLMLGEEDIARKLQRVAESFQLSQIAAVKLAEDTISTDVINDQAHTLEHYIQKSRADPQNSEAFSWRPKVFLSA >Manes.07G113200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31852789:31859828:-1 gene:Manes.07G113200.v8.1 transcript:Manes.07G113200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLEWMPSGAKIAAVYDRRAKNESLEIVFYERNGLFRSSFSINASVDATIELLKWNCSSDLLASVVRCDRYDSVKVWFFSNNHWYLKQEIRYPKQDGVRFMWDPIKPLELICWTLEGQITVYTFSWITAVTDNSIALVVDESKILVTPLSLSLMPPPLHLFSLKFSSVVRDMALYFKNSKNIVAAFLSDGSFCVVELPESDAWEELEGKEFHVEACIFETVFGTLAHLTWLDSHVLLAVSHYGFTHSNCISQGSLAEDGRQGFYLQEIEIACSEDHVPGLVTSSGWQAKVSHIKFLEQQIIGTAPNPAKKCSAFVQFDGGKIGEYTSALGLATIGGTTEREIMSFSSSCPWMSVVLLGNSGPLKHLLFGLDDIGRLHFGGKILCNNCSSFSFYSNLADQVITHLILATKQDFLMIVNIDDILHGEVESKYENFVHTGDRRKEENMNFIHIWERGAKIVGVLHGDDAAVIIQTTRGNLECIYPRKLVLASIVNALIQVRFRDALLMVRRHRIDFNIIVDYCGWQAFLQLATEFVRQVNNLSYITEFVCSIKNENVMEKLYKIYINLPSQKQAEVVQAQDFRGFDANNKVSSVLLAIRKAIEEQVPETPARELCILTTLARSDPPALEEALERIKGIRELELLGSNDPRTMSYPSAEEALKHLLWLSDSEAVFEAALGLYDLHLAAIVALNSQRDPKEFLPYLQDLESMPSLIMHYNIDLKLHRFEKALKHIISAGDAYFSDCMNLLQKNPQLFPLGLQLITDHAKRMQVLEAWGDHFSDKKCFEDAAATYLCCSSLDKALKAYRACGHWSGVLTVAGLLKLENDDVMQLAHELREELQALGKPGEAAKIALEYCGDVNGGISLLISARDWEEALRVAFKYMQEDLISDVKNASLEGANTLIGEYEEGLEKVGKYLTRYLAVRQRRLLLAAKLQLEDRTANDLEDDTASEASSNFSGMSAYTTGTRKGSSASVSSSVTSKARDSRRQRNRGKIRPGSPGEEMALVEHLKGMCLTDGAKRELRSLLICLLMLGEEDIARKLQRVAESFQLSQIAAVKLAEDTISTDVINDQAHTLEHYIQKSRADPQNSEAFSWRPKVFLSA >Manes.07G113200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31852789:31859828:-1 gene:Manes.07G113200.v8.1 transcript:Manes.07G113200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKLYSEKTLNLDLQSKQEVLLFSALDIDRNRLFFASSANFIYSTQLSSFHNGNAWIKTSLPAEIHPIDLEDGDFITSFDYLMEKEALILGTYNGVLLLYNVDDNAMEVVGKVEGGVQCIAPSPDGDLLGIVTGLGQVLVMTHDWDLLYENALEEDQPDGGVDVREPTFSSTNKSKCSLSWRGDGKYFATLSQLSNSSSLHKRLRVWERESGALHATSDSKAFMGAVLEWMPSGAKIAAVYDRRAKNESLEIVFYERNGLFRSSFSINASVDATIELLKWNCSSDLLASVVRCDRYDSVKVWFFSNNHWYLKQEIRYPKQDGVRFMWDPIKPLELICWTLEGQITVYTFSWITAVTDNSIALVVDESKILVTPLSLSLMPPPLHLFSLKFSSVVRDMALYFKNSKNIVAAFLSDGSFCVVELPESDAWEELEGKEFHVEACIFETVFGTLAHLTWLDSHVLLAVSHYGFTHSNCISQGSLAEDGRQGFYLQEIEIACSEDHVPGLVTSSGWQAKVSHIKFLEQQIIGTAPNPAKKCSAFVQFDGGKIGEYTSALGLATIGGTTEREIMSFSSSCPWMSVVLLGNSGPLKHLLFGLDDIGRLHFGGKILCNNCSSFSFYSNLADQVITHLILATKQDFLMIVNIDDILHGEVESKYENFVHTGDRRKEENMNFIHIWERGAKIVGVLHGDDAAVIIQTTRGNLECIYPRKLVLASIVNALIQVRFRDALLMVRRHRIDFNIIVDYCGWQAFLQLATEFVRQVNNLSYITEFVCSIKNENVMEKLYKIYINLPSQKQAEVVQAQDFRGFDANNKVSSVLLAIRKAIEEQVPETPARELCILTTLARSDPPALEEALERIKGIRELELLGSNDPRTMSYPSAEEALKHLLWLSDSEAVFEAALGLYDLHLAAIVALNSQRDPKEFLPYLQDLESMPSLIMHYNIDLKLHRFEKALKHIISAGDAYFSDCMNLLQKNPQLFPLGLQLITDHAKRMQVLEAWGDHFSDKKCFEDAAATYLCCSSLDKALKAYRACGHWSGVLTVAGLLKLENDDVMQLAHELREELQALGKPGEAAKIALEYCGDVNGGISLLISARDWEEALRVAFKYMQEDLISDVKNASLEGANTLIGEYEEGLEKVGKYLTRYLAVRQRRLLLAAKLQLEDRTANDLEDDTASEASSNFSGMSAYTTGTRKGSSASVSSSVTSKARDSRRQRNRGKIRPGSPGEEMALVEHLKGMCLTDGAKRELRSLLICLLMLGEEDIARKLQRVAESFQLSQIAAVKLAEDTISTDVINDQAHTLEHYIQKSRADPQNSEAFSWRPKVFLSA >Manes.07G113200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:31852789:31859828:-1 gene:Manes.07G113200.v8.1 transcript:Manes.07G113200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLEWMPSGAKIAAVYDRRAKNESLEIVFYERNGLFRSSFSINASVDATIELLKWNCSSDLLASVVRCDRYDSVKVWFFSNNHWYLKQEIRYPKQDGVRFMWDPIKPLELICWTLEGQITVYTFSWITAVTDNSIALVVDESKILVTPLSLSLMPPPLHLFSLKFSSVVRDMALYFKNSKNIVAAFLSDGSFCVVELPESDAWEELEGKEFHVEACIFETVFGTLAHLTWLDSHVLLAVSHYGFTHSNCISQGSLAEDGRQGFYLQEIEIACSEDHVPGLVTSSGWQAKVSHIKFLEQQIIGTAPNPAKKCSAFVQFDGGKIGEYTSALGLATIGGTTEREIMSFSSSCPWMSVVLLGNSGPLKHLLFGLDDIGRLHFGGKILCNNCSSFSFYSNLADQVITHLILATKQDFLMIVNIDDILHGEVESKYENFVHTGDRRKEENMNFIHIWERGAKIVGVLHGDDAAVIIQTTRGNLECIYPRKLVLASIVNALIQVRFRDALLMVRRHRIDFNIIVDYCGWQAFLQLATEFVRQVNNLSYITEFVCSIKNENVMEKLYKIYINLPSQKQAEVVQAQDFRGFDANNKVSSVLLAIRKAIEEQVPETPARELCILTTLARSDPPALEEALERIKGIRELELLGSNDPRTMSYPSAEEALKHLLWLSDSEAVFEAALGLYDLHLAAIVALNSQRDPKEFLPYLQDLESMPSLIMHYNIDLKLHRFEKALKHIISAGDAYFSDCMNLLQKNPQLFPLGLQLITDHAKRMQVLEAWGDHFSDKKCFEDAAATYLCCSSLDKALKAYRACGHWSGVLTVAGLLKLENDDVMQLAHELREELQALGKPGEAAKIALEYCGDVNGGISLLISARDWEEALRVAFKYMQEDLISDVKNASLEGANTLIGEYEEGLEKVGKYLTRYLAVRQRRLLLAAKLQLEDRTANDLEDDTASEASSNFSGMSAYTTGTRKGSSASVSSSVTSKARDSRRQRNRGKIRPGSPGEEMALVEHLKGMCLTDGAKRELRSLLICLLMLGEEDIARKLQRVAESFQLSQIAAVKLAEDTISTDVINDQAHTLEHYIQKSRADPQNSEAFSWRPKVFLSA >Manes.10G038000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3595940:3597440:-1 gene:Manes.10G038000.v8.1 transcript:Manes.10G038000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRSRKATSKNTVSLKLLIDKKANRVLFAEAGKDFVDFLFTLLSLPLGTVIRLLQKPAAMIGCIGSLYESLENLNEAYMQPNKSKDLLLKPSVPLTYKANLPFLLPDTHHVQAEAEGKVFGCLNYSHFCVSYQRGAPCSYCLCSMNNELKIVDGKKINTTASSTETCGGGGGGGEGGFVKGLVTYMVTDDLSVSPMSMISVVSLLNKFNVRGFSSVEEKIVGFGIDEGLELLKASLHSKAALTCAFLAKDEMKDAAAPN >Manes.18G105200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10247325:10250731:1 gene:Manes.18G105200.v8.1 transcript:Manes.18G105200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASASTSTSTSDSPDVQAPILYDTVDYKGLPVNKSYSGGWKSASLIIGVEVAESFAYYGISSNLIAFLTGPLGQSTATAAENVNAWSGTASLLPLLGAFVADSFLGRYRTIVVASVIYLLGLALLTLSAMFPSHSDSDCPISSTITSCSPHPFQVVLFFSALYLVALGHGGHKPCVQAFGADQFDGQDPEECKAKSSFFNWWYFCMSLGILVTILTLIYIQDNIGWALGFGIPCVAMVIELFIFLLGTKTYRYSVKVEKNSAVRRIGRVFLTTIRNWRIYPSAIAYEEEACEMLRHHHPQQLKFLNKALLAPNGLKGDGKVCSLSEVEEAKAVLKLTPIWVTCLPYAVVFAQPSTFFTKQGATMYRTISKGLEIPPAMLQCFSSLAIISSIAIYDRVFVPIARALTGKPSGISMLQRIGTGMFLSAFLTAIAALVEMKRLEVAREHGLVDLPGVTLPMSVWWLVPQYVLCGVSNVFTMVGLQEFFYDQVPKELRSVGLSLYLSIFGIGSFLSSFLISAIEKCTGGQGHDSWFANNLNRAHLDYFYWLLAGLSMVQFVAYLYFAKNYVYNR >Manes.10G131800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29730699:29734592:1 gene:Manes.10G131800.v8.1 transcript:Manes.10G131800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTISLIHVKEIIRSTNNDFLNFLYKKKKEKSETNSGRSRPCWRVKSHFRSSHRQRFLLLLHSLLLLPSDPPPPPPASQNLFPSIPPLTSPSSSLSLPLSVTMDFAAQRSRSYPVLIITCSFFFLSSTVNLSNASIHIYAKEPFNEVGNAYLLSGGSEGIVASDSSAPNGRSYIRFRDITFWRTKAAADEHSEMEHSTGLVQVVIFETADRNNIGGSAYGGQRSICCTPDLAKLEGCNQGEIIRIPSATDTKWPIVLNVQFSGNYLSTNIRDEYVNITRTGMYNLFFITCDPKLKELIMSGKTVWKNPGGYLPGRMAPLMKFYVLMSLAYLLLSVIWFTQYMRFWKDILQLQHCVTAVIALGLFEMILWYSEYANFNSTGTRPVAITTWVVTVGAVRKTFSRLLILSISMGYGVVRPTLGGLTSKVLLLGSTYFLASELLDITEYVGSINDISGRARLFLVLPNAFLDAFLILWIFTSLSRTLEQLQAKRSSVKLDIYRKFSNALAVAVIASVAWITYEVYFKATDPFNERWQTAWIITAFWDILAFALLCVICYLWAPSQSSQRYAYSEEVGEESDDEEAHYLTRQKTDGDINLVEKKQKNVGTTDGFDQENGTEEDKRE >Manes.01G116600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31364743:31369776:-1 gene:Manes.01G116600.v8.1 transcript:Manes.01G116600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSTAFCFSGVGFSSRHSVTFRRGNVRASGFSLPPLVSIQRSNLQCKAFSGDGLNAAKDSSLVVCFGEMLIDFVPTTNGLSLSEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLANILKENNVNNEGMRFDPGARTALAFVTLRNDGEREFMFYRNPSADMLLQEDELDFDLIRKAKIFHYGSISLITEPCKSAHMAAAKAAKDAGVLLSYDPNLRLPLWASAESAREGILSIWELADIIKISEEEISFLTKGEDPYDDAVVRKLFHPNLKMLLVTEGADGCRYYTKEFSGRVKGLKADAVDTTGAGDAFVAGILSQLAEDLSLLENEKQLREALKFANACGALTVMERGAIPALPTREVVENAILKSVA >Manes.10G060100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8320117:8329766:1 gene:Manes.10G060100.v8.1 transcript:Manes.10G060100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVFGVKKDKEPPPSIQDASDRINKRGDSVDDKIKKLDAELSRYREQIKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTMTALKSANKELKGMMKTVKIQDIDSLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEDELMGELDALEADMGMETESDGVPSYLQPDKEPDLDSELNLPSAPIGQAAAAAGRNNAQTEDELGLPAVPRASIRG >Manes.11G160200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32570011:32573954:-1 gene:Manes.11G160200.v8.1 transcript:Manes.11G160200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENIVGFLENKTILVTGATGYLAKIFVEKILRVQPKVKKLYLLLRASDANSALDRLNKEVIGKELFKVVREKYGERLNSFLSEKVSAVAGDISFEDLGVKDSHLRDEMWREVDVVLNFAATTNFDDRYDISLGINTLGALHVLNFAKKCLQIKMLVHISTAYVCGEDSGLILEKPFSMGKAKKGTSKIDIEKEKKLIQEKLSELRSENTSETDITQFMKDLGIQRARMYGWPNTYVFTKAMGEMLLMHSKEDLPLLIIRPTMITSTYKEPFPGWIEGIRTIDSVIVGYGKGKVSCFLSNPQLTLDVIPADMVVNGILVAMVAHGKQSSETIYHIGSSLRNPVKLSNIHDFSFRYFSANPWINKDGMPVKVLKGTIFRSMASFHMYMAIRFQLPLKALEVANTMAFKKYQSTYATLDRKIKLVMRLVDLYKPYVFFEGIFDDTNSEKLRIAAREKVPEEADGFNFDPIGIDWEDYMMGVHIPGLVKYVMK >Manes.03G158900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28660631:28665129:1 gene:Manes.03G158900.v8.1 transcript:Manes.03G158900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRAGLNCRWNIRRFCTRRIQDEGHWLYSSEWWGTNSDGHTVLRSTSEKGNGVVSVLAYSSSRPNEAYWPETERWLQKRYAELHPGKEHNVRFRILGYQWRTLRFNDDTRQSTAKVMAAYQQSEPNSIFLMQQAHCLAVPYVKSMVSVGLTTLASCNYDLMDAVRGKKTMRILCIGHGGGSLPLFLASKIQGAVIDVVEIDPLVISASIQAMGFPAFSVVSSPGQRALRKPDTINEVMWRGIHERLYLYESDAEFFVLNNNSNIYDIIFIDAYDGDDIFPRKLWDADSPFLQALNSRLHPDYGTVVVNLHADDDVLSSNPSVSYFYQQVLPMSMHVPKVCRAYKDVLVGNGNSSGGKEGSGFVFTVSVPWVCNTSMVVCRGLGMSNYSRDSIANAVISKSLEVENILDLPFSCVEYIKRDFTLVD >Manes.12G144200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35066751:35068625:-1 gene:Manes.12G144200.v8.1 transcript:Manes.12G144200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLVFLALVVLIFLLFLLRNRKTRTDPHFPPGPRALPFIGNLHQLHNSASYISLWKLSQKYGPLMSLRMCFEPVLVVSSAKMAKEIMKTHDHIFSARPSKLSQQKLSYNGLDLAFAAYGSYWKEMRKICKVHLFNSNRARSFRPIRESEVSHMLGEICGLVSASQPVDITEAIMSLANTIISKITFGQRYKEENSRIQALLREAETLFTSFYVSDYFPLLGFVDKLTGLIHRLEKNFQEFDIFYEKIIQEHLDYGRSELDDHSEDILDGLLKLRNDPSLKFQVTFSHIKAVLMNIVTGATDNNAAAVIWAMTFLMKNPTKMKKAQEEVRGLVGNKGFVNEDEIQELSYMKAVVKETMRLQPALPIIPRETTEDCNLDGFKIPAKTTVYVNVYAIGRDPQVWENAQEFCPERFINSSVDLKGQNFELIPFGGGRRMCPGVSIALATVELALANLLYKFDWEMPFGMSNEDLDMEVVPGLTMHKKNALCLMAKQYI >Manes.14G010300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:635188:643827:-1 gene:Manes.14G010300.v8.1 transcript:Manes.14G010300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFTRSLLGHRLIQSTFSSSPKTQIFTSLFNKKPFRLQADPVYTSCKTSGWAPRVMASSVVGLKAHFSTQSFPTNEPVVSVDWLHANLREPDVKVLDASWYMPDEERNPIQEFQVAHIPGALFFDVDGISDRSTKLPHMLPSEEAFAAAVSALGIENKDGLVVYDGKGIFSAARVWWMFRVFGHNKVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKVYQGQAVGAVTFQTKFQPHLVWTLEQVKENIENQSHQHIDARSKARFDGAAPEPRKGIRSGHVPGSKCIPFAHMLDASQTLLPADKLRKRFDEEGISMEGPVVTSCGTGVTACILALGLHRLGKQDVAVYDGSWTEWGAHPDTPVNT >Manes.07G055260.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7141741:7149139:-1 gene:Manes.07G055260.v8.1 transcript:Manes.07G055260.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDTCILECPSCQQRPPPLYYLSFSSPPHCPHWFTSNYGFPATSSSAYPYESPPPQSPPPPPPPPSLPPSPPPPPPPPRPSPPPSLPPSPPPPPTPPRRHETRRPRRPSRTKSQIDEALAEKYRRRQAQVKPRLTEVEIPIGENPNWSNPYLYLLGLQFSIVIVWTSTIIPSMLATVMGGGHVDKAEAIQSSLFTAAINTLLQILFGSQLPVSMQISQAFIYPAISIAISTTNKFGATLTPRQMFKKSMRRIQGASIIGSVFQIIIGFSDLGQILPSKLSLLSSIPLVTLTGLEMYDRGFPQFLPYHWKPKNPMVIPSVMITVPIGIAWTLAEILTAAGAFDNASQQTQTTCRTDRSALIPAAPWIKIPSPFQWGTPTFEARDAFLMMAACLVATIESIGTFCTSSRLGGEYRAKPPKLNRPSATKPIKQDGSSIRNKKYRSALPISPDLRYAIGFQGIGTLIDAVFGMGLGSTVSVEHSGLVGLTRVGSLRINLVSAIFMLLFSSLGKLTAILASVPLPILASLHIVFFPYVVSTGLEDLFYCELDKFRSKFIIGISLFMGLSMNKYFSNYDLLSGEDLPHSRASWFKDIMQVIFSSASTIATIFAFLFDLLTPKEESKKEEPKEEEEEKEEPKKEEEKEEKKKKKKKEEEVVQQLRPLKEDWKIYTKSSRSSSSTLGIRPWRP >Manes.07G055260.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:7141741:7149139:-1 gene:Manes.07G055260.v8.1 transcript:Manes.07G055260.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDTCILECPSCQQRPPPLYYLSFSSPPHCPHWFTSNYGFPATSSSAYPYESPPPQSPPPPPPPPSLPPSPPPPPPPPRPSPPPSLPPSPPPPPTPPRRHETRRPRRPSRTKSQIDEALAEKYRRRQAQVKPRLTEVEIPIGENPNWSNPYLYLLGLQFSIVIVWTSTIIPSMLATVMGGGHVDKAEAIQSSLFTAAINTLLQILFGSQLPVSMQISQAFIYPAISIAISTTNKFGATLTPRQMFKKSMRRIQGASIIGSVFQIIIGFSDLGQILPSKLSLLSSIPLVTLTGLEMYDRGFPQMVKCIEIGLPAVFLVILSTQFLPYHWKPKNPMVIPSVMITVPIGIAWTLAEILTAAGAFDNASQQTQTTCRTDRSALIPAAPWIKIPSPFQWGTPTFEARDAFLMMAACLVATIESIGTFCTSSRLGGEYRAKPPKLNRPSATKPIKQDGSSIRNKKYRSALPISPDLRYAIGFQGIGTLIDAVFGMGLGSTVSVEHSGLVGLTRVGSLRINLVSAIFMLLFSSLGKLTAILASVPLPILASLHIVFFPYVVSTGLEDLFYCELDKFRSKFIIGISLFMGLSMNKYFSNYDLLSGEDLPHSRASWFKDIMQVIFSSASTIATIFAFLFDLLTPKEESKKEEPKEEEEEKEEPKKEEEKEEKKKKKKKEEEVVQQLRPLKEDWKIYTKSSRSSSSTLGIRPWRP >Manes.02G187100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14982556:14983449:1 gene:Manes.02G187100.v8.1 transcript:Manes.02G187100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSSVICVLAVVAMVQTFMVVPGESLKLSCGQVNSLMSGCIPFLTGSTTTPSDVCCSGARNLEGLTQSVSDKRAACECLKTAANSMPNLKDQAAASLPTQCGIVMNIPISRNINCNSISRAQGNGN >Manes.09G144800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34276535:34281174:-1 gene:Manes.09G144800.v8.1 transcript:Manes.09G144800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIPPHKRQDKGRPSPTPEFFVPFSNRNRSLRQQVSKIDKSGKIIYADRAISRWFPVGLSENGQFPSYIHLEPVSLESFVSKSGEKPHTLVKNIVTEEDNELKENYVRSPWVIIAENVQQDLLSSFEVLKNEMNSEGLEKVKPILVARLGKILFHGTPSLMLGSVEKNQVDETILRQLKRTIHTNIPSTYMENITNEVVPKVGVDFEEEKEIYHVKLSDNRRPNSTVSCKCYVKADKKLLLYKVELNQVRQLVVDVLCLDKNIDLRLMLCSKRIITAPTDDELKSISDLISSAVLDSDVKGGLRWLLGNKSSGNRYSVIGVWHTITKAYKSPSLRLIVRHADRFDFKSETGEVSREIFLKLKGISSELQEKGAESESVCMMLKDSLRLIWDHFLSCDRFLT >Manes.09G144800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34276555:34281174:-1 gene:Manes.09G144800.v8.1 transcript:Manes.09G144800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIPPHKRQDKGRPSPTPEFFVPFSNRNRSLRQQVSKIDKSGKIIYADRAISRWFPVGLSENGQFPSYIHLEPVSLESFVSKSGEKPHTLVKNIVTEEDNELKENYVRSPWVIIAENVQQDLLSSFEVLKNEMNSEGLEKVKPILVARLGKILFHGTPSLMLGSVEKNQVDETILRQLKRTIHTNIPSTYMENITNEVVPKVGVDFEEEKEIYHVKLSDNRRPNSTVSCKCYVKADKKLLLYKVELNQVRQLVVDVLCLDKNIDLRLMLCSKRIITAPTDDELKSISDLISSAVLDSDVKGGLRWLLGNKSSGNRYSVIGVWHTITKAYKSPSLRLIVRHADRFDFKSETGEVSREIFLKLKGISSELQEKGAESESVCMMLKDSLRLIWDHFLSCDRFLT >Manes.09G144800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:34276555:34281174:-1 gene:Manes.09G144800.v8.1 transcript:Manes.09G144800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIPPHKRQDKGRPSPTPEFFVPFSNRNRSLRQQVSKIDKSGKIIYADRAISRWFPVGLSENGQFPSYIHLEPVSLESFVSKSGEKPHTLVKNIVTEEDNELKENYVRSPWVIIAENVQQDLLSSFEVLKNEMNSEGLEKVKPILVARLGKILFHGTPSLMLGSVEKNQVDETILRQLKRTIHTNIPSTYMENITNEVVPKVGVDFEEEKEIYHVKLSDNRRPNSTVSCKCYVKADKKLLLYKVELNQVRQLVVDVLCLDKNIDLRLMLCSKRIITAPTDDELKSISDLISSAVLDSDVKGGLRWLLGNKSSGNRYSVIGVWHTITKAYKSPSLRLIVRHADRFDFKSETGEVSREIFLKLKGISSELQEKGAESESVCMMLKDSLRLIWDHFLSCDRFLT >Manes.18G046900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4093723:4100002:-1 gene:Manes.18G046900.v8.1 transcript:Manes.18G046900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNFIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLSLSTMLHLELPHINVLSKIDLIGSYGKLAFNLDFYTDVQNLSYLQHHLDQDPRASKYRKLTKEICEVIEDYSLVDFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVRPVDWDYYRVAAVQEKYLKDDENFDYDNN >Manes.18G046900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4093723:4100002:-1 gene:Manes.18G046900.v8.1 transcript:Manes.18G046900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMMEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNFIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLSLSTMLHLELPHINVLSKIDLIGSYGKLAFNLDFYTDVQNLSYLQHHLDQDPRASKYRKLTKEICEVIEDYSLVDFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVRPVDWDYYRVAAVQEKYLKDDENFDYDNN >Manes.18G046900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4093723:4100002:-1 gene:Manes.18G046900.v8.1 transcript:Manes.18G046900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMMEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNFIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLSLSTMLHLELPHINVLSKIDLIGSYGKLAFNLDFYTDVQNLSYLQHHLDQDPRASKYRKLTKEICEVIEDYSLVDFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVRPVDWDYYRVAAVQEKYLKDDENFDYDNN >Manes.18G046900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4093722:4100002:-1 gene:Manes.18G046900.v8.1 transcript:Manes.18G046900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMMEHSLGPNGDHYLLFDFPGQVELFFLHSNAKNFIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLSLSTMLHLELPHINVLSKIDLIGSYGKLAFNLDFYTDVQNLSYLQHHLDQDPRASKYRKLTKEICEVIEDYSLVDFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVRPVDWDYYRVAAVQEKYLKDDENFDYDNN >Manes.18G046900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4093722:4100003:-1 gene:Manes.18G046900.v8.1 transcript:Manes.18G046900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMMEHSLGPNGDHYLLFDFPGQVELFFLHSNAKNFIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLSLSTMLHLELPHINVLSKIDLIGSYGKLAFNLDFYTDVQNLSYLQHHLDQDPRASKYRKLTKEICEVIEDYSLVDFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVRPVDWDYYRVAAVQEKYLKDDENFDYDNN >Manes.18G046900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4093723:4100002:-1 gene:Manes.18G046900.v8.1 transcript:Manes.18G046900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMMEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNFIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLSLSTMLHLELPHINVLSKIDLIGSYGKLAFNLDFYTDVQNLSYLQHHLDQDPRASKYRKLTKEICEVIEDYSLVDFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVRPVDWDYYRVAAVQEKYLKDDENFDYDNN >Manes.18G046900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4093723:4100002:-1 gene:Manes.18G046900.v8.1 transcript:Manes.18G046900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMMEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNFIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLSLSTMLHLELPHINVLSKIDLIGSYGKLAFNLDFYTDVQNLSYLQHHLDQDPRASKYRKLTKEICEVIEDYSLVDFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVRPVDWDYYRVAAVQEKYLKDDENFDYDNN >Manes.18G046900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:4093722:4100003:-1 gene:Manes.18G046900.v8.1 transcript:Manes.18G046900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMMEHSLGPNGDHYLLFDFPGQVELFFLHSNAKNFIMKLIKKLNLRLTAVHLVDAHLCSDPGKYISALLLSLSTMLHLELPHINVLSKIDLIGSYGKLAFNLDFYTDVQNLSYLQHHLDQDPRASKYRKLTKEICEVIEDYSLVDFATLDIQDKESVGNLVKLIDKSNGYIFAGIEASAVEFSKIAVRPVDWDYYRVAAVQEKYLKDDENFDYDNN >Manes.16G004000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:532211:534498:-1 gene:Manes.16G004000.v8.1 transcript:Manes.16G004000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLIFFKCISENKPFPCSCFYHFLCFIHVMLSLLLFSSITAQASHCRASCGTILINYPFGIDDGCGSPYYRHVLVCSDSGNLELRTPSGRYQVHSISYSDPHIIVVDPFMWNCQDGSQFRATRPFSLDTSTHFTLSSQNDYLFFNCSEENVIVEPKPMFCERFPDKCDSTCDSASYLCRNLPGCATAFGGSSCCSYFPKATESLRLMLEYCASYTSIYWKNGGNSPYDKVPEYGIRIDFDIPVTTHCLECQDMMKGGGTCGFDTQSQNFLCLCNDRNVTTYCKDHGTSRQHSRAGVIAVTGVSAAWALGVGAGLWYWKKVKAKAPVTSGVQSNENRLF >Manes.16G004000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:532211:534498:-1 gene:Manes.16G004000.v8.1 transcript:Manes.16G004000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLIFFKCISENKPFPCSCFYHFLCFIHVMLSLLLFSSITAQASHCRASCGTILINYPFGIDDGCGSPYYRHVLVCSDSGNLELRTPSGRYQVHSISYSDPHIIVVDPFMWNCQDGSQFRATRPFSLDTSTHFTLSSQNDYLFFNCSEENVIVEPKPMFCERFPDKCDSTCDSASYLCRNLPGCATAFGGSSCCSYFPKATESLRLMLEYCASYTSIYWKNGGNSPYDKVPEYGIRIDFDIPVTTHCLECQDMMKGGGTCGFDTQSQNFLCLCNDRNVTTYCKDHGTSRQHSRAGVIAGTVTGVSAAWALGVGAGLWYWKKVKAKAPVTSGVQSNENRLF >Manes.06G037800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11357855:11358243:1 gene:Manes.06G037800.v8.1 transcript:Manes.06G037800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMAKEIVESNGFSEVVTVLKGKIEEIELPVAKVDIIISEWMRYFLLYENMLNTVLYARDKWLVIIL >Manes.08G120700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36045701:36048842:1 gene:Manes.08G120700.v8.1 transcript:Manes.08G120700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGNGQNVLSKQLSAESLPIFQEGICLILSRWSALQLAVENEWGGRGSRQLADQLGSDIFSWFTQSKEPLYIDDLENILDEGMLSLNTMIEDGSVEEVAEKLMIMHEECLEGNYNSIEKLRQAGPSTGAHQHVRQGAEDDDDDDEEDEDEDGMDDRSNMVVDAPKFQTKAKQVSMQVDGNGQEAQGEDGWTVVSSRKNRNKMN >Manes.08G120700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36045701:36048996:1 gene:Manes.08G120700.v8.1 transcript:Manes.08G120700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGNGQNVLSKQLSAESLPIFQEGICLILSRWSALQLAVENEWGGRGSRQLADQLGSDIFSWFTQSKEPLYIDDLENILDEGMLSLNTMIEDGSVEEVAEKLMIMHEECLEGNYNSIEKLRQAGPSTGAHQHVRQGAEDDDDDDEEDEDEDGMDDRSNMVVDAPKFQTKAKQVSMQVDGNGQEAQGEDGWTVVSSRKNRNKMN >Manes.14G112300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12902543:12905848:-1 gene:Manes.14G112300.v8.1 transcript:Manes.14G112300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQVRYSPLPDDEKDDYDGVGETHDPRFEYRPGAFDQIPWKSVALALFLLFLGSLLLFLSFFILTGHMGGEKSQGYGLLALGILAFLPGFYETRIAYYAWRGAKGYRFASIPSY >Manes.06G083900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21923999:21925696:-1 gene:Manes.06G083900.v8.1 transcript:Manes.06G083900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVVSLEKLKAFWNSQIYDEEKWALNMKLLRAGGLFAGSIFLMRNYGDLMAI >Manes.14G141650.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12470228:12470632:1 gene:Manes.14G141650.v8.1 transcript:Manes.14G141650.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCLNFFLPWVYQWYFDFQYVTELNIPVIVKKHKIKWWGSFRNPTTEETVKQWIIKKAQFPETSYAGKLTMQGEPTFGAQKAQCQAMLAAAKTPEEYKLICQKMFNQLSSGASVKPEDKQSSSRESSVKSSSK >Manes.02G210100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:24740139:24742795:-1 gene:Manes.02G210100.v8.1 transcript:Manes.02G210100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLACLLFSLFLLPYSLLAQSTDNVVVGRSLTAGDNGAQPWISPSGDFAFGFHQLEKKDLYLPAIWYNKIPTKTIVWYATTEYEPAVAPPRSKLELTADRGLVLTSPQGAEIWKSGINLGEAANGFMNDTGNFILSNSGSEILWESFDYPTDTLLPGQTLERGGQILSSRLTETNFSRGRFQFRLIPDGNAVLNTNNLPTGYTYEAYFWSNTVDSNISNAGLRVEFNDSGYLYVLRASGKRVLLTQGRVVSAAENYHRVTLNFDGVFVQYSHPKDSTGNGGDWSVIRAMPDNICTGINGKEGTGPCGFNGVCQLGTDQRPICSCPERFSLIDPNDAHGGCRPDFYSQFCEEDVSNFPEDFDYLELENTDWPTSDYERYTPYNVEECRKACSEDCFCNVIVFREGNCWKKKLPLSNGRRDGDVNGKAFIKIRKGNYTIRGGPSLSPNEKKNKDSLVLMFSVLLGSSVLINIVLSFYHLFLVHLKKSKRIPQVAETAAESNLRCFTYKELFMATNGFKEVVGRGAFGVVYKGIVEMGTKVPVAIKKLDKIVEEGEKEFKTEVKVIGQTHHKNLVQLVGFCDEGQHRLLVYEFMINGTLANFLFTKAKLNWNQRTHIACGIARGLVYLHEECSTQIIHCDIKPQNILLDDYYNAKISDFGLAKLLLLEQSQTFTAIRGTKGYVAPEWFRNMAVTVKADVYSFGVLLLEIICGRKCVDTEVSVERELLMDWAYDCYQEGTITDLVEDDEEAMTDMKKLERFVMVAIWCIQEDPALRPTMKMAVLMLEGIVQVTPPPCPCPFSTVVS >Manes.13G129800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:33810814:33811906:1 gene:Manes.13G129800.v8.1 transcript:Manes.13G129800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLNRQKHFVLIHGTCHGAWSWYKLKPLLEAAGHQVTALDLAASGIHMKPIQQVQTLSDYSQPLLEFLASLPQNERVILVGHSFGGLNLALAMDKFPQKIAVAVYLAAFMPDTLHQPSYVLDQYNKRTQDEAWMDTQRLPYSSSLQHMTTTYFGPKFLSSKLYQLSPTEDLELAKTLVRPSSLFLHDLSQAKKLSTEGYGSVRRVYVVCPEDKAIPLEFQQWMIANNAVQQVFQIEASDHMPMFSKPRELCLCLCEIATKYA >Manes.11G132800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29723672:29726517:1 gene:Manes.11G132800.v8.1 transcript:Manes.11G132800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMLVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIQTQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKSKFYGRVKA >Manes.11G132800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29724171:29726517:1 gene:Manes.11G132800.v8.1 transcript:Manes.11G132800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMLVVGVVGYMKTPRGLRSLNTVWAQHLSEDVRRRFYKNWCKSKKKAFTKYSKKFESEDGKKDIQTQLEKLKKHCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKIYKLGKSGQESHTAITEYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKSKFYGRVKA >Manes.11G024400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2456053:2458605:-1 gene:Manes.11G024400.v8.1 transcript:Manes.11G024400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKPDSTKSPSEKDGKSPNIFERAKEEIESIIHIPRHHKETHGTSDEIDENTSTDEIKGPGVFERVKEELEAIVEAIHPKKDEKHKSSS >Manes.13G058000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6671365:6679697:1 gene:Manes.13G058000.v8.1 transcript:Manes.13G058000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFHGLLAGDFGVKPQGKSAPMAPSRNTNTNSNNFSSVNYGLGSGASSHSRSSYSSNKSAPVFDDYDHDHSPSDGLLFNDVFGGSPMYTESRGGGTGGGNKSSSSSAFDYDSIFKDQNAKYGSIPVFDKPVYDDDIFDGLPGLKSSSVGSQPAKFDDMFASIGTASSPNRRAQNNSSPFDDLLGNLGKKGTESRRETAKADKDSVAFDDLLPGFGRSSTPSIPRSTSESSRSQKQSADSTRTASSMMEDPFVVLESASTPAASSSGLYVDPLEEISKFSTSGSTKFDNSSVNTGMFDDMDSFDNLGRRGKDGSPLRTGPSMGGTYSAASKEPVDKYPVDEAESQSKKKMPNDDFQESHETLFDMPPVGQDVSSPSYVNTSSNEANSSRRSEDISESLDDVWLTVSEVPLFTQPTSAPPPSRPPRPRPPRISKSERGSFSSAYSRKKVNENSTTYPRAAGSSVASQIDELEDFAMGRTQSNFSEHADALYGEDVDANASSAVKEAMDKAEAKIRQMREREYLKAARSRETGQLDKDVQEAQQRELKERQERLERERQQREREEEEREQRRLEKEREREEKEREQRRLERESERAREIEREREKARQAVERATREARERAAAEARLKAERAAVEKAAAEARGRAERAAVQRAHAEARERAAAEARERAEKAAAEARERASAEARERAAVARAEADARQRAERAAVERAAAEARERAAAEARERAAAATRANQQRDDNDLESFFSSRASSAPRPRASSTDPFLDSQNKGGSEAARRTSVGATSSMKKASSTANLVDDLSSIFGATGTSGDFQEVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQAQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >Manes.05G046800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:3897648:3898955:-1 gene:Manes.05G046800.v8.1 transcript:Manes.05G046800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLDHTPSTPGKFKMDKSPYSYSRARCPSSLAKLIFWSLLFIGLIFLFFYRPPSSSTNPLRSDRSRRSLQISWGGSAWEKRVRASASSRSRNGFSVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQGLLERAGVYIVEGDINDVSLLKKLFEVVKFTHVMHLAAQAGVRYAMENPNSYVHSNIAGLVTLLEVCKDANPQPAIVWASSSSVYGLNTKVPFSEQDRTDQPASLYAASKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKTISIFEAASHGTVARDFTYIDDIVKGCLASLDTAQKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVGILERLLKVKAKKNMMKLPRNGDVQFTHANISLAQRELGYKPTTDLQTGLRKFVQWYLSHYHAGGKKADA >Manes.14G154000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18153984:18160057:-1 gene:Manes.14G154000.v8.1 transcript:Manes.14G154000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSSLKVSPLDLMSAIIKRNMDPSNASSESAAEVATLFIENRDFVMILTTSIAVLIGCVVVLVWRRSSSPKSKSSAFEPPKPLIVKEPEPEHDDGHKKVTILFGTQTGTAEGFAKALAEEGKARYEKAKFKVVDLDDYAADDDEYEEKFKKESLVLFFVATYGDGEPTDNAARFYKWFTEGKERGEWLQNLQFGVFGLGNKQYEHFNKIAKVLDEMFEQQGAKRLVPVGLGDDDQCIEDDFAGWRESLWPELDQLLRDEDDATTVSTPYTAAVLEYRVVFYDPENAPLEDKNWSNANGQAVHDAQHPCRSNVAVRKELHTSASDRSCTHLEFDIAGTGLTYETGDHVGVYCENLDEVVDEALQLLALSPDTCFSLHSDKEDGTSLGGSSLPPPFPPCSLRTALTRYADLLSSPKKSALLALAAHATHPAEADRLRHLASPAGKDEYAQWIVANQRSLLEVMVEFPTARPPLGVFFAAVAPRLQPRYYSISSSPRMAPSRIHVTCALVIEKTPAGRVHKGVCSTWMKNSVPLEKSHECSWAPIFVRQSNFKLPEDTKVPIIMIGPGTGLAPFRGFLQERLALKEAGAELGSSILFFGCRNSKMDYIYEDELNNFVESGALSELIVAFSREGPTKQYVQHKMLEKVWYLFHLPP >Manes.14G154000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:18153985:18160057:-1 gene:Manes.14G154000.v8.1 transcript:Manes.14G154000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSSSLKVSPLDLMSAIIKRNMDPSNASSESAAEVATLFIENRDFVMILTTSIAVLIGCVVVLVWRRSSSPKSKSSAFEPPKPLIVKEPEPEHDDGHKKVTILFGTQTGTAEGFAKALAEEGKARYEKAKFKVVDLDDYAADDDEYEEKFKKESLVLFFVATYGDGEPTDNAARFYKWFTEGKERGEWLQNLQFGVFGLGNKQYEHFNKIAKVLDEMFEQQGAKRLVPVGLGDDDQCIEDDFAGWRESLWPELDQLLRDEDDATTVSTPYTAAVLEYRVVFYDPENAPLEDKNWSNANGQAVHDAQHPCRSNVAVRKELHTSASDRSCTHLEFDIAGTGLTYETGDHVGVYCENLDEVVDEALQLLALSPDTCFSLHSDKEDGTSLGGSSLPPPFPPCSLRTALTRYADLLSSPKKSALLALAAHATHPAEADRLRHLASPAGKDEYAQWIVANQRSLLEVMVEFPTARPPLGVFFAAVAPRLQPRYYSISSSPRMAPSRIHVTCALVIEKTPAGRVHKGVCSTWMKNSVPLEKSHECSWAPIFVRQSNFKLPEDTKVPIIMIGPGTGLAPFRGFLQERLALKEAGAELGSSILFFGCRNSKMDYIYEDELNNFVESGALSELIVAFSREGPTKQYVQHKMLEKALDIWNIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLNSSKAENMVKNLQMSGRYLRDVW >Manes.09G002900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:874077:888486:-1 gene:Manes.09G002900.v8.1 transcript:Manes.09G002900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEEQDPVALFMSLDDWQDSSSQSSTETYMVGFVIANIVGIRYYSGTITGRELVGLVREPLNVYDQNAIKVLNTRSLQVGHIERSVSAVLSPLIDSHKITVEGVVANSRSSGNKFRIPCQIHIFARFEDFDSVKSAISRGGLVLISETDASFALSEAMVVKEKCKKSEFKSVDEIFKLVDDNVNKKGKIGTLEPPKEVIKSELFVHQKEGLWWLMNRENCGELPPFWEDNDGEYINVLTNYHTDKRPEPLRGGILADDMGLGKTLTLLSLIAFDRACNNTILDREYVGEQIHEVDEGSTVFSNKKGKRGRVSAKETRGRKKHKTEDNLVDMNVKGTSVGVTDKSSLGGKTTLIVCPPAVFSSWVTQLEEHTQRGSFKVYMYYGERTKEAEELKKQDIVLTTYSTLASEDSWEDSPVKMIDWWRVILDEAHVIKNANAQQSRAVTNLKAKRRWVVTGTPIQNGSFDLFSLMAFLRFEPFSIKNYWQSLVQRPLAQGDKKGLSRLQVLMATISLRRTKDKALVGLPSKTVETYYIELSGEERELYDQMEGEAKGVIQGYINAGSLTTNYSTVLCIILRLRQICNSLALCPSDLRSLLPSNSIEDVSNNPELLEKVVAVLQDGEDFDCPICISPPTDTVITRCAHIFCRPCILKTLQRVKPCCPLCRRFLAMSDLFSAPPESSQTEISSSRSTESSKVSALLKILIEARVKDPTAKSVIFSQFQKMLVLLEEPLKAAGFKILRLDGSMNAKKRAQVIKEFGVPGPDGPNVLLASLKASGAGINLAAASKVYLLEPWWNPAVEEQAMDRVHRIGQKQDVTIVRLIARNSIEERILEMQERKKKLAKEAFGRRGAKAHEVRIDDLRALMSL >Manes.03G066516.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9154433:9159337:-1 gene:Manes.03G066516.v8.1 transcript:Manes.03G066516.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILSKIPRKSSKSDSFDSAGIGTTKWDNAAPCTKGGNSFSSRLNVVKHVSSAVFPSSIMTGVEAVEPHVSFKDVSNQQKQNLFISKLNFCCKVFNFNDPDKIVAQEDIKRQTLLELVDFVSSGSAKFTEPAITAMCKMCAINLFRVFPPKYHSNNTVWEKEDEEPMFDPDWSHLQCVYDLLLRFVSYVDPKVAKKYVDHAFVMRLLDLFDSEDPRERDCLKTIMHRIYGNFMVHRPFIRKAVGNIIYHFIFETESHNGIAELLEIFGSVVSGFALPLKEEHKMFLWRALIPLHKPKSVGVYHQQLTYCIVQFIDKDPKLAGIVIRGLLKYWPLTNSQKELMFVSEIEEILEMTSMDEFQKIMVPLFRRIGCCLNSSHYQVAERAHFLLNNERILNLIAQNRHVIVPLLFSALERNTQNHWNQAVLNLTQNIRKMLCEMDEELMVTCQRKLEEEDSLSSLKAEKRRLTWERLENAAGFQSSADKILAPVKPAVCSVAC >Manes.03G066516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9154433:9159337:-1 gene:Manes.03G066516.v8.1 transcript:Manes.03G066516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHLCRSLVYTCRKLLHCNMLKQILSKIPRKSSKSDSFDSAGIGTTKWDNAAPCTKGGNSFSSRLNVVKHVSSAVFPSSIMTGVEAVEPHVSFKDVSNQQKQNLFISKLNFCCKVFNFNDPDKIVAQEDIKRQTLLELVDFVSSGSAKFTEPAITAMCKMCAINLFRVFPPKYHSNNTVWEKEDEEPMFDPDWSHLQCVYDLLLRFVSYVDPKVAKKYVDHAFVMRLLDLFDSEDPRERDCLKTIMHRIYGNFMVHRPFIRKAVGNIIYHFIFETESHNGIAELLEIFGSVVSGFALPLKEEHKMFLWRALIPLHKPKSVGVYHQQLTYCIVQFIDKDPKLAGIVIRGLLKYWPLTNSQKELMFVSEIEEILEMTSMDEFQKIMVPLFRRIGCCLNSSHYQVAERAHFLLNNERILNLIAQNRHVIVPLLFSALERNTQNHWNQAVLNLTQNIRKMLCEMDEELMVTCQRKLEEEDSLSSLKAEKRRLTWERLENAAGFQSSADKILAPVKPAVCSVAC >Manes.03G066516.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9154653:9159337:-1 gene:Manes.03G066516.v8.1 transcript:Manes.03G066516.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILSKIPRKSSKSDSFDSAGIGTTKWDNAAPCTKGGNSFSSRLNVVKHVSSAVFPSSIMTGVEAVEPHEDIKRQTLLELVDFVSSGSAKFTEPAITAMCKMCAINLFRVFPPKYHSNNTVWEKEDEEPMFDPDWSHLQCVYDLLLRFVSYVDPKVAKKYVDHAFVMRLLDLFDSEDPRERDCLKTIMHRIYGNFMVHRPFIRKAVGNIIYHFIFETESHNGIAELLEIFGSVVSGFALPLKEEHKMFLWRALIPLHKPKSVGVYHQQLTYCIVQFIDKDPKLAGIVIRGLLKYWPLTNSQKELMFVSEIEEILEMTSMDEFQKIMVPLFRRIGCCLNSSHYQVAERAHFLLNNERILNLIAQNRHVIVPLLFSALERNTQNHWNQAVLNLTQNIRKMLCEMDEELMVTCQRKLEEEDSLSSLKAEKRRLTWERLENAAGFQSSADKILAPVKPAVCSVAC >Manes.03G066516.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9154653:9159337:-1 gene:Manes.03G066516.v8.1 transcript:Manes.03G066516.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQILSKIPRKSSKSDSFDSAGIGTTKWDNAAPCTKGGNSFSSRLNVVKHVSSAVFPSSIMTGVEAVEPHVSFKDVSNQQKQNLFISKLNFCCKVFNFNDPDKIVAQEDIKRQTLLELVDFVSSGSAKFTEPAITAMCKMCAINLFRVFPPKYHSNNTVWEKEDEEPMFDPDWSHLQCVYDLLLRFVSYVDPKVAKKYVDHAFVMRLLDLFDSEDPRERDCLKTIMHRIYGNFMVHRPFIRKAVGNIIYHFIFETESHNGIAELLEIFGSVVSGFALPLKEEHKMFLWRALIPLHKPKSVGVYHQQLTYCIVQFIDKDPKLAGIVIRGLLKYWPLTNSQKELMFVSEIEEILEMTSMDEFQKIMVPLFRRIGCCLNSSHYQVAERAHFLLNNERILNLIAQNRHVIVPLLFSALERNTQNHWNQAVLNLTQNIRKMLCEMDEELMVTCQRKLEEEDSLSSLKAEKRRLTWERLENAAGFQSSADKILAPVKPAVCSVAC >Manes.03G066516.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:9154653:9157961:-1 gene:Manes.03G066516.v8.1 transcript:Manes.03G066516.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYTCRKLLHCNMLKQILSKIPRKSSKSDSFDSAGIGTTKWDNAAPCTKGGNSFSSRLNVVKHVSSAVFPSSIMTGVEAVEPHVSFKDVSNQQKQNLFISKLNFCCKVFNFNDPDKIVAQEDIKRQTLLELVDFVSSGSAKFTEPAITAMCKMCAINLFRVFPPKYHSNNTVWEKEDEEPMFDPDWSHLQCVYDLLLRFVSYVDPKVAKKYVDHAFVMRLLDLFDSEDPRERDCLKTIMHRIYGNFMVHRPFIRKAVGNIIYHFIFETESHNGIAELLEIFGSVVSGFALPLKEEHKMFLWRALIPLHKPKSVGVYHQQLTYCIVQFIDKDPKLAGIVIRGLLKYWPLTNSQKELMFVSEIEEILEMTSMDEFQKIMVPLFRRIGCCLNSSHYQVAERAHFLLNNERILNLIAQNRHVIVPLLFSALERNTQNHWNQAVLNLTQNIRKMLCEMDEELMVTCQRKLEEEDSLSSLKAEKRRLTWERLENAAGFQSSADKILAPVKPAVCSVAC >Manes.16G015266.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1750500:1776818:-1 gene:Manes.16G015266.v8.1 transcript:Manes.16G015266.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLKGYLIVSLLFLVFHFCISIDTIAPLQFIKDGDVLVSKGERFALGFFSPATSTRRYVGIWYNKVSQQTVVWVANRDNPINDTSGVLTINRQGNLVLYEKNQTIPLWSANFSTATNNSMAQLQDSGNLVLLQRDTQRILWQSFDYPTDTLLPYMKLGLNKRTGKSWVLASWKSKDDPGTGDIVYKIDPTGVPQLFLYKGPLRWWRGGPWTGERWSGVPEMTRSYIFNVSFVNNEDEVSIVYGVTNPSIVSRMMVNESGNVQRATWNERDRRWIGFWSAPKEQCDNYGECGANSNCDPYEPDNFICKCLPGYEPKSQRDWYLRDGSGGCIRKAGVSTCGAGEGFVKMERVRVPDTTQARADMSMSLKACELECLKNCSCTAYTSADERGLGCLRWFGNLVDTRTYSSEGQDIYVRVDAAELAKYVKSKGPLAKKGMLAMLILSIIAATLFLLLFPACCFIQRKRKAKDRRSKSMFNFEDSQSAKGSNEVGISDLPCFDLSTIAAATDNFSDANKLGEGGFGSVYKGLLDGAHEIAVKRLSKYSGQGNEEFKNEVTLIAKLQHRNLVRVLGYCIQEREKMLIYEFLPNKSLDSFIFDENKRSSLDWSTRHNIICGIARGILYLHQDSRLRIIHRDLKASNVLLDASMNPKISDFGMARIFGINQIEANTNRVVGTYGYMSPEYAMQGLFSVKSDVYSFGVLLLEILTGRKNSSYYDETTSSNLVGYVWDLWREGRSLEIVDLTLGDSYPEHEVLKCIQIGLLCVQESAADRPTMSAVVFMLSNDPILPSPKQPAFIMKRTYNSGDPSTGANSVNEVTVTLLEPR >Manes.15G017400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEDMHVFGLKPMTDSLDLVCCNACKKPVKTSQYAAHAELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKDNR >Manes.15G017400.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEDMHVFGLKPMTDSLDLVCCNACKKPVKTSQYAAHAELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKGIVLVLSVVFSITFM >Manes.15G017400.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEDMHVFGLKPMTDSLDLVCCNACKKPVKTSQYAAHAELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKRDPSACKHDQILTQSSELCLDKSGRCTPATGFFNQLPVDNILRPQASPVGLLRSKYLQKPYSFGGNSGSLLILSHCHRI >Manes.15G017400.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKDNR >Manes.15G017400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1386096:1390260:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEDMHVFGLKPMTDSLDLVCCNACKKPVKTSQYAAHAELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKLWHIFLSVLAVYQVSIYWYQMIQKQHLA >Manes.15G017400.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEDMHVFGLKPMTDSLDLVCCNACKKPVKTSQYAAHAELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKRDPSACKHDQILTQSSELCLDKSGRCTPATGFFNQLPVDNILRPQASPVGLLRSKYLQKPYSFGGNSGQSVGNMQQASGSVPIL >Manes.15G017400.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEDMHVFGLKPMTDSLDLVCCNACKKPVKTSQYAAHAELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKRDPSACKHDQILTQSSELCLDKSGRCTPATGFFNQLPVDNILRPQASPVGLLRSKYLQKPYSFGGNSGQSVGNMQQASGSVPIL >Manes.15G017400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKRDPSACKHDQILTQSSELCLDKSGRCTPATGFFNQLPVDNILRPQASPVGLLRSKYLQKPYSFGGNSGSLLILSHCHRI >Manes.15G017400.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEDMHVFGLKPMTDSLDLVCCNACKKPVKTSQYAAHAELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKDNR >Manes.15G017400.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390935:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKDNR >Manes.15G017400.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1382851:1390937:-1 gene:Manes.15G017400.v8.1 transcript:Manes.15G017400.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLGTGRMAVMARLLAAGSLSQTTGEEIGEEKLATQCIYRELHEADEANFLDEEDMHVFGLKPMTDSLDLVCCNACKKPVKTSQYAAHAELCRSLNFAEETTLELADSVGHRKPPKKERKKLSTSYINQATLAAEQRRSVYIDANDITVSESQLDAQPGRSSSFSMDAKRNPSCVAFMMDGQGESPEHTDHSVCIMPPPTKRSKFVSSQHLLVSNDPEAASGLTKIRSAQDSFSFGNFQIQSTLSSDMPNDCGIVSKFPGQAYGNCLLTKDIPIPLSTKIYYSQRNNRLRLAVAHMYHASSVKGLTSNVASPEVSQETIIQLPASSCKGCTHEQVGGLNKKRDPSACKHDQILTQSSELCLDKSGRCTPATGFFNQLPVDNILRPQASPVGLLRSKYLQKPYSFGGNSGSLLILSHCHRI >Manes.16G008600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:866151:867360:1 gene:Manes.16G008600.v8.1 transcript:Manes.16G008600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPIITRSYLTAAIVTTIGCSLDIISPHNLYLHPTLVAKHYQFWRLITNFLYFRKMGMC >Manes.01G039252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8110362:8112368:-1 gene:Manes.01G039252.v8.1 transcript:Manes.01G039252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGYNKFSGEISGTFGNSSGCIKNSLENLYLLNNSFSGSIPDNLGKFKRLKVISLSKNSFWGSIPVSIGQLYNLETLDFSKNSLHGKVSELHLLNLRSLINLNTGGNSLAFDIDPEWIPPFQLDWIDLSSCEVGPSFPQWLKTQKGIRFLEMSNAGISDNIPDWFENISSNIVGLVLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLSCSHFDATILDISNNLLHGQIPQNLSEMMPRLRLLSLSNNYLNGTVPATLCRIESLQILDLSNNHLSGRIPSCWGNLPSLTVIDFSSNMLSGEVPMSLGSQESLVSLHLQNNTLQGNIPMSLRNLESLETLDLSMNAFDGFIPSWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKEDWDYYTNAEPYAGLVRASYGENVQVYVKGIELEYTSTLRFLYSIDLSGNNFVGEIPQELINLSGLQNLNLSTNKLFGHIPWNIGKLSSLESLDLSENELAGSIPFSISDLNFLSHLNLSFNHLSGRIPTGNQLQTLDDKSIYIGNDGLCGPPLNNCSGDADELPKGHEKGGTTRKDDSEMVWFYSGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVCNKLWVTIAIKANQVKRKFLKNKLEGNA >Manes.12G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1869257:1873177:1 gene:Manes.12G021300.v8.1 transcript:Manes.12G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFKIPTFLPFSKLSVHNNPNPERRRIFYLCLSLNHTINSLTLNFNCKRHSASVSCSAAIHAPVATTARAEVTDDRIQRLVSEFESLKEPIDRVKRLLDYAARLPPFDESARLPGNRVMGCTTQVWLEARMDEHGRMRFRADSDSEITKGFISCLIWLLDGAEPGEVVAVKSEDLGALNVGLHGKAQSRVNTWHNVLISMQNRTKALDAKRKELHLEQSLVVESFAQAQLGINCA >Manes.12G021300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:1869257:1873177:1 gene:Manes.12G021300.v8.1 transcript:Manes.12G021300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFKIPTFLPFSKLSVHNNPNPERRRIFYLCLSLNHTINSLTLNFNCKRHSASVSCSAAIHAPVATTARAEVTDDRIQRLVSEFESLKEPIDRVKRLLDYAARLPPFDESARLPGNRVMGCTTQVWLEARMDEHGRMRFRADSDSEITKGFISCLIWLLDGAEPGEVVAVKSEDLGALNVGLHGKAQSRVNTWHNVLISMQNRTKALDAKRKELHLEQSLVVESFAQAQFYPCSWVSTVHNIADMPCQPF >Manes.15G008100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:775547:776567:-1 gene:Manes.15G008100.v8.1 transcript:Manes.15G008100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTFEKELATAIPPAKMFKVFVLEGDTAIPTILPEVVKSVEIIEGNGGPGTIKKTTFAEGTQHKYIKTKLEAVDKDSFKHSYSVIEGEPWMDAIDKISYETQLVASPDGGSIIKSITKYFPKGNSEINEDEVKAGAEKGLGLFKTVEAYLLANPHAYN >Manes.03G041016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:3615035:3615756:1 gene:Manes.03G041016.v8.1 transcript:Manes.03G041016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRVLHSLSEYVASHPILPHRVSLSLLLFFKHVASHPTSPHHVSLSLLLFSFIYGISPNHRSPSPFSPLSQHAMPCPSLPHRVSLFSLLLFFSLLVFLQPTTVFLLFLFLSSVAAVMVLGDKFHYCCVIFSFFQIIASGNSKSMATRIYDPKQGSWVKSLMSCKSTPESKKANQRCVSSNSKL >Manes.15G169200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14551409:14554328:-1 gene:Manes.15G169200.v8.1 transcript:Manes.15G169200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTAPAPYSQPANGAQSQLVCSGCRNLLLYPVGATSVCCAICNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASTSTAEQKFNS >Manes.15G169200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14551707:14554349:-1 gene:Manes.15G169200.v8.1 transcript:Manes.15G169200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTAPAPYSQPANGAQSQLVCSGCRNLLLYPVGATSVCCAICNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASTSTAEQKFNS >Manes.15G169200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14551646:14554663:-1 gene:Manes.15G169200.v8.1 transcript:Manes.15G169200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTAPAPYSQPANGAQSQLVCSGCRNLLLYPVGATSVCCAICNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASTSTAEQKFNS >Manes.15G169200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14551409:14554666:-1 gene:Manes.15G169200.v8.1 transcript:Manes.15G169200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTAPAPYSQPANGAQSQLVCSGCRNLLLYPVGATSVCCAICNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTINLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASTSTAEQKFNS >Manes.05G117900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12215369:12219074:1 gene:Manes.05G117900.v8.1 transcript:Manes.05G117900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMDVRKIVVVVEDVEAARTALKWALHNLLRSGDFLTLLHVFSSSSNSSSMEKLRLLRLNGFQLALSFKDICNNSFFNTNVEIIVTKGDQEGGTIAALVREMGAFALVVGLHHQSFLYKLAMAHSNITSKFNCKVLAIKEPAARPARRTRAMPLPVDSSTSMDFSQIEIATLEVPDTPPPKVPYTICPNPYAVIWKWRKSRRKRTS >Manes.05G117900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:12215369:12219074:1 gene:Manes.05G117900.v8.1 transcript:Manes.05G117900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMDVRKIVVVVEDVEAARTALKWALHNLLRSGDFLTLLHVFSSSSNSSSMEKLRLLRLNGFQLALSFKDICNNSFFNTNVEIIVTKGDQEGGTIAALVREMGAFALVVGLHHQSFLYKLAMAHSNITSKFNCKVLAIKEPAARPARRTRAMPLPVDSSTSMDFSQIEIATLDLRVLQGPRYAST >Manes.09G168600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36099068:36104704:1 gene:Manes.09G168600.v8.1 transcript:Manes.09G168600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQTSTHRAKWIFTPQQLVEKHKVTNQRAKQMLEKCGTTRIEVDVDGSLSYPETQANAADNADKHSRPKPLSVDEEQFMRVYYEYKLREVCSAFYFPHKIQATALIYFKRFYLQWSVMEHDPKHVMLTCIYAACKIEENHVSAEELGKGISQDHQMILNYEMIVLQSLEFDLIVYAPYRSVEGFINDMEEFCHATDDQIQMLKDMQATAITEVDKILFTDAPLLFPPGQLALAALRIANEMHQVLDFERYLRNILSRQNSVHTISNLTESLDAIDSWVKKYKFPTEKDMKHINRKLKSCWGHSSHDDKKREKKSKHKSHKSSNEMQNGPTLA >Manes.09G168600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36099068:36104704:1 gene:Manes.09G168600.v8.1 transcript:Manes.09G168600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCHTLKLKPTQQTMLISILVQNHSALMKNNLCECTMNINYEKFAAPFTFLIKFRQLHLYTSKGFIFNGRSWNMIQNMLTCIYAACKIEENHVSAEELGKGISQDHQMILNYEMIVLQSLEFDLIVYAPYRSVEGFINDMEEFCHATDDQIQMLKDMQATAITEVDKILFTDAPLLFPPGQLALAALRIANEMHQVLDFERYLRNILSRQNSVHTISNLTESLDAIDSWVKKYKFPTEKDMKHINRKLKSCWGHSSHDDKKREKKSKHKSHKSSNEMQNGPTLA >Manes.07G081050.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:24842455:24843010:1 gene:Manes.07G081050.v8.1 transcript:Manes.07G081050.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGSNSKNPHELPSFLTQPPQTLQQHQNQSQQQPQKASMGENKPAEIKDFQIVITDKEDQKKQLASKRSSNKDRHTKVEGRGRRVRMPALCVARIFQLTRELGHKSDGETI >Manes.02G176600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13921989:13923556:1 gene:Manes.02G176600.v8.1 transcript:Manes.02G176600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEFPVGRSPRKELQGPRPPALKVRKDSHKIKKPPVVPQPSQQQQPPTQPRPPVIIYTVSPKVIHTNPSDFMNLVQRLTGSSSSSSSSSTSTATYSTFNPFNDDGGAISPAARYATIEKAKSPKENSKPGNGDMGFLDEIEMSRVMERSGNLNPGILSPGPASLPPIPSNFFSPQSDPNMVSFFHDLSPVFHGNRNPIEGSFMPSPSTFVSPRLTSPTPSIDLFNNFNFNNIFDF >Manes.05G035200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2960360:2966527:1 gene:Manes.05G035200.v8.1 transcript:Manes.05G035200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTESVMEFLGAVPLLQRLPSSSLKKIAQIVTVKSYEKGQYVVREGEIGEGIYFIWEGEAEVSGSIHAEENTRPEFQLQRYDYFGDGIIASIQQADVIALTKLTCLLLSHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNMFLGVTLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLVGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNIVFTLIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLTDARLPRSYRNKVASKDFVPWPIEIRFCEPNTNTNQTKSAPSLRYWFRARGKLSDDQALHRCVAAYASDLIFLQVSMNPHRTKGLKTASVSLDHSMWFHRSFRADDWILFVITSPAASHARGFVSGQMFNRKGEHIVSLSQEGLLRKASTPNPATASKL >Manes.05G035200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2960371:2966527:1 gene:Manes.05G035200.v8.1 transcript:Manes.05G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTESVMEFLGAVPLLQRLPSSSLKKIAQIVTVKSYEKGQYVVREGEIGEGIYFIWEGEAEVSGSIHAEENTRPEFQLQRYDYFGDGIIASIQQADVIALTKLTCLLLSHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNMFLGVTLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLVGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNIVFTLIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLTDARLPRSYRNKVASKDFVPWPIEIRFCEPNTNTNQTKSAPSLRYWFRARGKLSDDQALHRCVAAYASDLIFLQVSMNPHRTKGLKTASVSLDHSMWFHRSFRADDWILFVITSPAASHARGFVSGQMFNRKGEHIVSLSQEGLLRKASTPNPATASKL >Manes.05G035200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2960399:2966527:1 gene:Manes.05G035200.v8.1 transcript:Manes.05G035200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTESVMEFLGAVPLLQRLPSSSLKKIAQIVTVKSYEKGQYVVREGEIGEGIYFIWEGEAEVSGSIHAEENTRPEFQLQRYDYFGDGIIASIQQADVIALTKLTCLLLSHEHCTLLRPKSMWSADKTPDSCSLVESILHLEPIEVNMFLGVTLPDAPKFGKVFGGQFVGQALAAASKTVDCLKIVHSLHAYFLLVGDFNMPILYEVDRVRDGKSFATRRVNAIQKGNIVFTLIASFQKEQLGFDHQEVTMPNVPEPEMLLSMEELRERRLTDARLPRSYRNKVASKDFVPWPIEIRFCEPNTNTNQTKSAPSLRYWFRARGKLSDDQALHRCVAAYASDLIFLQVSMNPHRTKGLKTASVSLDHSMWFHRSFRADDWILFVITSPAASHARGFVSGQMFNRKGEHIVSLSQEGLLRKASTPNPATASKL >Manes.02G012300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1220968:1226758:-1 gene:Manes.02G012300.v8.1 transcript:Manes.02G012300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSKVRFVRCPKCENILSELPNFAVYKCGGCGALLRAKKKAATNGGILEKRENESNEESREGFAKLESSSEKEDGFDLGIASEAERESNGVSLDGKNERVFGGRTADLVTTSSLKTENRGTSIDSSNGTVKEQIVGCERSAENEVGSRDKYRLPLKHPIGKWVGQDDNEINMNKSEFVNSSREKGIGETSAQVKGSAASLRSRVIAGKWSLDEDGPVRPCANRRMADDRSRFPTSASSGEGPSSHCFRSSSYGYGKLVKNFDGLDGYNRSAHFKQDRAELLRKLGELKEHIRINGSASETQRSYHDRATYGVPVKPLAPDKHVQRAPYFEQYGQPIDMQKFYFPPNHVANEFPVCEDPVKLKLPRINSHQPPGQYWQRSLHDYSLEEYKNFNQEQRIASYPCGTSYQDPACACFHCYNNNRHVSSRVPATAFGNKNFLNEPIDSHFNYHVNPIIYGSKKCKPQAAPTFHAQDPQSHVSWPSDLDSDVNVFPRSHPRRVVDLVVAGGNVRLCHPVAGGAPFMTCSSCFMLLKLPRKLKVREKNQQKLQCGACLTEFFLGIKNKQLTISLPTENKEISAGSDKGYSEVSKEVLSSPSGGFIAQGMDCSVNVDNPGHDFHSSDLERNIQSELQRLELTEFEERQHFSSLSFGSSKEEESLDSVIVQRGASYIPELPRKDNASPIFPSSPLSENLDDISSNKEENRYGEGNKSNRTEQEEFIIDKNTSQQDSVKYASETEVEISCNEYLNTSVSQDSIEISEEKGQSRINKGSESFLVGLLKKSFRDFSRSKQHMESETEKSNVSVNGQPIPDSMVRRAEELAGPIHPGDYWYDFKAGFWGVMGQPCLGIIPPFIKEFNYPMLENCAAGNTSIFINGRELHQKDLDLLASRGLPTTKDKIYTVRISGRVLDKDTGKELNSLGNLAPTVQKLKQGFGMKVPRRKLV >Manes.03G135300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26181456:26189581:-1 gene:Manes.03G135300.v8.1 transcript:Manes.03G135300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAPAYVKQGTYDFNDDDDDDGPLVFKRGSNSTSKQNQLNLETRKPLLSSQNSNGQSSNVQKGKNAVPSSKASPVKSPIGSPKASTSSVMASSVKSLVPNSKASSSLDEHMKQASKHNTSNVVKEDINSVKRESNDAEDDSEDDLPLSSRIKGTNRSAPNVVKDEDSDDDNTPLSKKYIMKSSTGTLDSKPNNSSLKKPLASKILENGSIMRDKQQKTSQLPTKRPIDKPNSSDQSSSKKPKLSDVSTTTKIKQVTVKPEQKADDDACDNVVLSQRKKMGSSDNKLPSSKQNATKVASSSVQKKNIKNKKQIKNAKYSKSTKMQPSSTDGQKKWTTLVHNGVIFPPPYQPHSIKILYKGQPVNLTPEQEEVATMFAVMKDTDYMQKPKFLENFWTDWRKLLGKNHVIQKLEDCDFTPIYEWHQQEKEKKKQMSTEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKDAPIPDCPIPGESWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIWNIRAAYQKDFKSKDLTKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPGSSNLKFDFLGKDSIRYENTVEVKHEVYEAIGTFQKGKKQTDDLFDKLDTTKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKVVIYQRANKEVAIICNHQRTISKSHGAQMSRLTEKIEELKATLKELKIDLDRAKKGKPPLKDSAGKRKRNLSPEAIEKKIASTNQRIEKMELAMKTKEDLKTVALGTSKVNYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPQFRF >Manes.03G135300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:26181456:26189190:-1 gene:Manes.03G135300.v8.1 transcript:Manes.03G135300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAPAYVKQGTYDFNDDDDDDGPLVFKRGSNSTSKQNQLNLETRKPLLSSQNSNGQSSNVQKGKNAVPSSKASPVKSPIGSPKASTSSVMASSVKSLVPNSKASSSLDEHMKQASKHNTSNVVKEDINSVKRESNDAEDDSEDDLPLSSRIKGTNRSAPNVVKDEDSDDDNTPLSKKYIMKSSTGTLDSKPNNSSLKKPLASKILENGSIMRDKQQKTSQLPTKRPIDKPNSSDQSSSKKPKLSDVSTTTKIKQVTVKPEQKADDDACDNVVLSQRKKMGSSDNKLPSSKQNATKVASSSVQKKNIKNKKQIKNAKYSKSTKMQPSSTDGQKKWTTLVHNGVIFPPPYQPHSIKILYKGQPVNLTPEQEEVATMFAVMKDTDYMQKPKFLENFWTDWRKLLGKNHVIQKLEDCDFTPIYEWHQQEKEKKKQMSTEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKDAPIPDCPIPGESWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIWNIRAAYQKDFKSKDLTKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPGSSNLKFDFLGKDSIRYENTVEVKHEVYEAIGTFQKGKKQTDDLFDKLDTTKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKVVIYQRANKEVAIICNHQRTISKSHGAQMSRLTEKIEELKATLKELKIDLDRAKKGKPPLKDSAGKRKRNLSPEAIEKKIASTNQRIEKMELAMKTKEDLKTVALGTSKVNYLDPRITVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPQFRF >Manes.09G087400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26486695:26492513:1 gene:Manes.09G087400.v8.1 transcript:Manes.09G087400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRDLQSQPGNRICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNEKLNAFLLQYGIPKEMDIVAKYNTNAASIYRDRIQALAEGRPWRDPPVARETVGSKKKPPLAQSGGSRDNNYASNGGWDSWDNDDSFRSSNELRRNQSVGDFRGSSAAGGGMGGVPVRSKSTEDMYKRAELENSAANKDSFFARKMAENEGRPEGLPPSQGGKYVGFGSSPAPSNRNNNSQPDVISVVSQGFGRLSLVAASAAQSAANVVQAGTKELTSKVKEGGYDYKVNETVNVVTAKTTEIGHRTWGIVKGVMAMATQKVEEYTKENNWKSDNWQRNESDSNGYYQEFNKQENKGWNSSSTGGQSSSSGNYNSYSSSSWDDWDQKDTRKEENNTRKEDNTKGSGSHNNDGWAGWDDAKDDGYDNFYQSAPDKKTVGHNEKSDATWTGGGFL >Manes.09G087400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26486695:26492513:1 gene:Manes.09G087400.v8.1 transcript:Manes.09G087400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRDLQSQPGNRICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNEKLNAFLLQYGIPKEMDIVAKYNTNAASIYRDRIQALAEGRPWRDPPVARETVGSKKKPPLAQSGGSRDNNYASNGGWDSWDNDDSFRSSNELRRNQSVGDFRGSSAAGGGMGGVPVRSKSTEDMYKRAELENSAANKDSFFARKMAENEGRPEGLPPSQGGKYVGFGSSPAPSNRNNNSQPDVISVVSQGFGRLSLVAASAAQSAANVVQAGTKELTSKVKEGGYDYKVNETVNVVTAKTTEIGHRTWGIVKGVMAMATQKVEEYTKENNWKSDNWQRNESDSNGYYQEFNKQENKGWNSSSTGGQSSSSGNYNSYSSSSWDDWDQKDTRKEENNTRKEDNTKGSGSHNNDGWAGWDDAKDDGYDNFYQSAPDKKTVGHNEKSDATWTGGGFL >Manes.07G131400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33342002:33356730:1 gene:Manes.07G131400.v8.1 transcript:Manes.07G131400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNAPITMKEVLTLPSIGINPQFITFTNVTMESDKYICVRETAPQNSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSHQMPEQVVFWKWISPKMLGLVTQTSVYHWSIEGDSDPVKMFDRTANLVNNQIINYKCDPSEKWLVLIGIAPGSPERQQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFATKTFNAGQITSKLHVIELGAQPGKPAFTKKQADLFFPPDFADDFPVAMQISHKYSLIFVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSVGGFYAINRRGQVLLATVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQAAKEYCEQLGMDACIKLFEQFKSYEGLYFFLGSFLSSSEDPDIHFKYIESAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVGDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRIVGKYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDADLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFSIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVARAQLREGLVSDAIESFIRADDATQFLEVIRAAQDANVYHDLVRYLLMVRQKSKEPKVDSELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLFDEALYEAAKIIFAFISNWGKLASTLVKLHQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGHFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPELINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMVAVQSNNVSAVNEALNQIYVEEEDYERLRESIDMHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKEQEEKEVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAAPPPMAGMGMPPMPPFGMPPMGSY >Manes.16G104900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31055501:31059200:-1 gene:Manes.16G104900.v8.1 transcript:Manes.16G104900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQAMRISCHSSMSLLLLFFLFSSLQISPTQAAKKSYVVYLGRNSHGSEPSSALDINGKTESYYELLGSCMKSKDKAKEAIFYSYSSYINGFAATLEDGELDEISKRPEVVSVFPNEANQLHTTRSWEFLGLERNGQIPPESIWVKARFGEDVIIGNLDTGVWPESESFSDEGMGPIPSKWKGYCDSNDGVRCNRKLIGARYFNKGFQAAIGQPLNSSYNTARDTDGHGTHTLATAGGRFVSGANFLGSANGTVKGGSPNARVASYKVCWPTCYDADILAAFDAAIQDGVDILSVSLGSRPRHYFGHGISVGSFHAVKNGILVVCSAGNSGPSPGTASNVAPWILTVAASTIDRDFPSNVVLGNNKLFKGLSFNTNSLPARKYYPLVYSVDAKAANVSARKAQVCAPNSLEPEKVKGKIVYCLRDFSALDVERSWVVAQAGGIGLILGNQFPEMTISPRAHFLPTSAVSAADGHSILAYLYSTRSPVAYISGATEVGGVAAPMMASFSSTGPNAINPEILKPDITAPGVHILAAYTEASGPSSLTVDQRHLPFNIISGTSMSCPHVSGIAGLLKSVHPDWSPAAIKSAIMTTARTRSNTRQPIVTAFLDEASPFDYGSGHIWPSRAMDPGLVYDLTTKDYLNFLCSIGYNATQMSVFAEGPYNCPSKNTSLLDLNYPSITVPNLSGKVTVTRTLKNVGTPGLYTARINAPQGITVKVEPMRLKFNKTTEEKSFKVTLKAEKKGNNFGYSFGWLLWSDGLHNVRSPIVVKKKDASSA >Manes.06G093100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:22805818:22808375:1 gene:Manes.06G093100.v8.1 transcript:Manes.06G093100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRANGPKCPVTGKRIQGIPHLRPVEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLSSRS >Manes.14G066400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5534602:5541072:-1 gene:Manes.14G066400.v8.1 transcript:Manes.14G066400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFYWFKQISNNGRSGRRLSLGEYKRAASWSKYLVSSGAEIKGEGEIEWSADMSQLFIGNKFASGRHSRIYRGIYKQRDVAIKIISQPEEDEDLAAMLEKQFTSEVALLFRLTHPNIVTFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNVVLKLALDIARGMQYLHSQGVLHRDLKSENLILGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKNARPPLPPTCPVAFSHLINRCWSSNPDKRPHFDEIVSILERYSESVEQDPQFFSNYKPSSEQIILRCFPKCMAGHGSASVKA >Manes.14G066400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5534602:5541072:-1 gene:Manes.14G066400.v8.1 transcript:Manes.14G066400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFYWFKQISNNGRSGRRLSLGEYKRAASWSKYLVSSGAEIKGEGEIEWSADMSQLFIGNKFASGRHSRIYRGIYKQRDVAIKIISQPEEDEDLAAMLEKQFTSEVALLFRLTHPNIVTFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNVVLKLALDIARGMQYLHSQGVLHRDLKSENLILGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKNARPPLPPTCPVAFSHLINRCWSSNPDKRPHFDEIVSILERYSESVEQDPQFFSNYKPSSEQIILRCFPKCLNVQL >Manes.04G071600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27128998:27140636:1 gene:Manes.04G071600.v8.1 transcript:Manes.04G071600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLDPPLFLCCNFGQTVIMVILISLCCRRTKDRKEEVAEPEADPERDQRTVFAYQICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTTVNAGSGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPLDETGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSTVTDQTGMQDGGTNAGDFDDDEGGGLALNARSRALLMQKLDRSGTASSIAGSLGTPVVSTGLPVPVAPILGAAPVVPPVVAPLMAGSVPGLTGLAGAGVQLPASAIPSVDTIGIPSECLLLKNMFDPNVETEPDFDLDIKEDVQDECSKFGSLRHIYVDKNSSGFVYLRFENTQSAINAQRALHGRWFAGKMITATFMVPQMYEAKFPESR >Manes.04G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:27128998:27140636:1 gene:Manes.04G071600.v8.1 transcript:Manes.04G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYDYLEKTVENPEPHMAKDIANGDDVMKSGEKDSSRGSKHKSNEKDDGDDERHRSKRSKSGDESRDRHKDRVSSRHRSRSRDGERDRQRSSREHRDKDRGRDRERDRDREERNGKERDRDRDRERDHDKDRRERDRDSEKDREKERERSRRSRSRSERRQSDLDERETEKSRDRDYKERERERESRERDRESRRTKDRKEEVAEPEADPERDQRTVFAYQICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTTVNAGSGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPLDETGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSTVTDQTGMQDGGTNAGDFDDDEGGGLALNARSRALLMQKLDRSGTASSIAGSLGTPVVSTGLPVPVAPILGAAPVVPPVVAPLMAGSVPGLTGLAGAGVQLPASAIPSVDTIGIPSECLLLKNMFDPNVETEPDFDLDIKEDVQDECSKFGSLRHIYVDKNSSGFVYLRFENTQSAINAQRALHGRWFAGKMITATFMVPQMYEAKFPESR >Manes.01G216200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38539119:38541361:1 gene:Manes.01G216200.v8.1 transcript:Manes.01G216200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLHGPAISTNTSRVIICLHEKEVDFELVPLDLFSGEHKHPHFLAKNPFGQVPVLEDGDLTLFESRAISAYIAEKYKETGYDLLRHQNLQEAALVKVWMEVESQQFHPAIAPIVYQFFVDPLNGVSPDQAIIDANLEKLGKVLDIYEARLSSSKYLAGDFYSLADLHHLPYTYYLMKTAAASLINERPHVKAWWEDISSRPASKKAAEGMTFGEK >Manes.01G216200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38539333:38541313:1 gene:Manes.01G216200.v8.1 transcript:Manes.01G216200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLHGPAISTNTSRVIICLHEKEVDFELVPLDLFSGEHKHPHFLAKNPFGQVPVLEDGDLTLFESRAISAYIAEKYKETGYDLLRHQNLQEAALVKVWMEVESQQFHPAIAPIVYQFFVDPLNGVSPDQAIIDANLEKLGKVLDIYEARLSSSKYLAGDFYSLADLHHLPYTYYLMKTAAASLINERPHVKAWWEDISSRPASKKAAEGMTFGEK >Manes.01G216200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38539975:38541371:1 gene:Manes.01G216200.v8.1 transcript:Manes.01G216200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLHGPAISTNTSRVIICLHEKEVDFELVPLDLFSGEHKHPHFLAKNPFGQVPVLEDGDLTLFESRAISAYIAEKYKETGYDLLRHQNLQEAALVKVWMEVESQQFHPAIAPIVYQFFVDPLNGVSPDQAIIDANLEKLGKVLDIYEARLSSSKYLAGDFYSLADLHHLPYTYYLMKTAAASLINERPHVKAWWEDISSRPASKKAAEGMTFGEK >Manes.01G020800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4857665:4869863:1 gene:Manes.01G020800.v8.1 transcript:Manes.01G020800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPFNRLVKLAARAFYDDITTKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHSKQLRRTLRFFEEEKLITRDHRKETAKGAKIYSAAVAATAEGQRNAKEGEEKIKLHTHSYCCLDYAQIYDVVRYRLHRLRKKLKDELENKNTVQEYICPNCGRRYNALDALRLVSPDDEYFHCENCNGELVAESDKLAAQEGGDGDDNARRRRREKLKDMLQKMEVQLKPLMDQLNRVKDLAVPEFGSLQAWEARASVAGRAVNGDSSSNDPSKSSQGLGYGRTPMTFIGETKVEVAFSGIEGKEEDVKSQTGSSGLKVLPPWMIKQGMNLTKEQRGEVKQESKMDGISAAEFSHEKKSTSDDVDNKSLQDEYVKAYYAALLKKQQELEEAAKNQELLQVSNSNAVPESVSNRQVGMKSKREEDEGEDDVEWEEAPTGGNSGESYKVNDLNVEAEASGEEEDDIDWEEG >Manes.01G020800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4857665:4869863:1 gene:Manes.01G020800.v8.1 transcript:Manes.01G020800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPFNRLVKLAARAFYDDITTKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKDLKLHSKQLRRTLRFFEEEKLITRDHRKETAKGAKIYSAAVAATAEGQRNAKEGEEKIKLHTHSYCCLDYAQIYDVVRYRLHRLRKKLKDELENKNTVQEYICPNCGRRYNALDALRLVSPDDEYFHCENCNGELVAESDKLAAQEGGDGDDNARRRRREKLKDMLQKMEVQLKPLMDQLNRVKDLAVPEFGSLQAWEARASVAGRAVNGDSSSNDPSKSSQGLGYGRTPMTFIGETKVKFVLLIYDSASTSDCTVEVAFSGIEGKEEDVKSQTGSSGLKVLPPWMIKQGMNLTKEQRGEVKQESKMDGISAAEFSHEKKSTSDDVDNKSLQDEYVKAYYAALLKKQQELEEAAKNQELLQVSNSNAVPESVSNRQVGMKSKREEDEGEDDVEWEEAPTGGNSGESYKVNDLNVEAEASGEEEDDIDWEEG >Manes.02G048900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3969373:3973300:-1 gene:Manes.02G048900.v8.1 transcript:Manes.02G048900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFEGYERQYCELSANLSRLCTAAGALDGEQKKQKLSEVKTGLEDAESLIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNPAARDQLLESGMADALMASADQRSRLMMTTDRLNQSGDRIKESRRTMLETEELGVSILQDLHQQRESLLHAHNTLHGVDDNIGKSKKVLSAMTRRMNRNKWIIGAIIAVLLVAIVLILYFKLK >Manes.02G048900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3969561:3973177:-1 gene:Manes.02G048900.v8.1 transcript:Manes.02G048900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFEGYERQYCELSANLSRLCTAAGALDGEQKKQKLSEVKTGLEDAESLIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNPAARDQLLESGMADALMASADQRSRLMMTTDRLNQSGDRIKESRRTMLETEELGVSILQDLHQQRESLLHAHNTLHGVDDNIGKSKKVLSAMTRRMNRNKWIIGAIIAVLLVAIVLILYFKLK >Manes.02G048900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3970069:3971501:-1 gene:Manes.02G048900.v8.1 transcript:Manes.02G048900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNPAARDQLLESGMADALMASADQRSRLMMTTDRLNQSGDRIKESRRTMLETEELGVSILQDLHQQRESLLHAHNTLHGVDDNIGKSKKVLSAMTRRMNRNKWIIGAIIAVLLVAIVLILYFKLK >Manes.12G037800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3283682:3289475:1 gene:Manes.12G037800.v8.1 transcript:Manes.12G037800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLPGGDGEATSASMSTVSGDDHAVMSSEDSSSPDEAELELALGLSLGGFRTRQAACKTQYARILTAKDFPSAVASSSSSSSTSSSSSTLSRANATAGIKRTADSVSAPNGASSQVVGWPPIRAYRMNSMVNQAKSVATEEYNSIIGKNKSKTSVLDKTNNGSNMNNNHSKVRNSLFVKVNMDGIAIGRKIDLNASGCYETLAQTLEDMFLRPTLTNNAMRPEHNLMIDATRCSKLLDGSSEYVLTYEDKEGDWMLVGDVPWGMFLFSVKRLRIMRRSEATGLGKQT >Manes.12G037800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:3283682:3289475:1 gene:Manes.12G037800.v8.1 transcript:Manes.12G037800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLPGGDGEATSASMSTVSGDDHAVMSSEDSSSPDEAELELALGLSLGGFRTRQAACKTQYARILTAKDFPSAVASSSSSSSTSSSSSTLSRANATAGIKRTADSVSAPNGASSQVVGWPPIRAYRMNSMVNQAKSVATEEYNSIIGKNKSKTSVLDKTNNGSNMNNNHSKVRNSLFVKVNMDGIAIGRKIDLNASGCYETLAQTLEDMFLRPTLTNNAMRPEHNLMIDATRCSKLLDGSSEYVLTYEDKEGDWMLVGDVPWGMFLFSVKRLRIMRRSEATGLAPRFQERNGRQRSKPI >Manes.04G036900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4893484:4901857:1 gene:Manes.04G036900.v8.1 transcript:Manes.04G036900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKEVYEIDHTAAFIHNNGFTSVALQFPDELLKDSVRVVSNLREKLQLLRESVTEQNGDSKEVRLFVMADTTYGSCCVDEVGASHIDADCVIHYGHTCLSPTSTVPAFFVFGKASISISSCAKSLSDYALTNSKPIMVLYGLEYAYAIPHVREELVSSMSMQAAPKHPDIHFADVICSVINPSDNKKNPDGLAGPFGEYSSIDDVGAAAGTGHRIGGLMWELPNGHRMDDYLLVWIGADNSAFANVVMTFNDCEIVRYDAKENRLVTDLSQQRRILKRRYYLVEKAKDANIVGILVGTLGVAGYLDMIHQMKDLITSAGKKAYTLVMGRPNPAKLANFPECDVFIYVSCAQTSLLDSKEFLAPVITPFEAMVAFSRGSQWTGAYVMEFRDLINLSPVEGKNKSEEARFSFMQGRYVEGFDLQENIEEEDGALALANATEKALQLHDANSTSLIKRTIQSGAQYFANRSYQGLDMHIDNATPEPYLIGRTGKASGYEHEKNQA >Manes.14G007516.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1830736:1835676:-1 gene:Manes.14G007516.v8.1 transcript:Manes.14G007516.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYQQEKIVTFQDQKSEKSTGLQYSSSNGMHSGKIRMTISSVSDEFHGGLESGSERIKRIREALKSYSFGRSNAKGLNSRNKILDPQGSFLQKWNKIFVLSCVIAVSLDPLFFYVPVINDNKKCLDLDNKMETTASVLRSFTDMFYILHIIFQFRSGFIAPSSRVFGRGVLVEDSWAIAKRYLSSYFLIDILAVLPLPEVVILVVIPKMRGSRSLNTKNLLKFVVCFQYLPRFMRLYPLYKEVTRTSGILTETAWAGAAFNLFLYMVASHVLGAFWYLFSIERETTCWRKECHGSGCIRDYLYCGPNKGDNTGFLNVSCSIQAPNTTFFDFGIFLDALQSGVVASNDFPKKFFYCFWWGLRNLRFFSWSKPTRTFVWEICFALVISISGLVLFSFLIGNMQTYLQSTTRRLEEMRVKKRDAEQWIGVDEENLVHNLPKDLRRDIKRHLCLALLMRVPIFEKMDEQLLDAMCDRLKPTLYIEESYIVGEGDPVDEMLFIMRGKLITMTTNGGRTGFFNSEYLTAGDFCGEELLTWALDPHSSSNLPISTRTVRTLTDVEAFALSADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKRKLEESLQQEENRLQDALGNTSGNSPSLGATIYASRFAANALRASRYTGPQKARLLKRVPPMLLQKPAEPDFTAEER >Manes.08G040300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3943259:3948512:1 gene:Manes.08G040300.v8.1 transcript:Manes.08G040300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLRYSRWLSRFKSRQSQKNQLLAFSSEAATEKPRPPPIRVGLTESAGRGVFATRRIGAGDVIHSAKPIVSHPSLSSISTVCYFCLKKLNFVEFKSQSVAFCSSECELNAKVFYDVETKGDWLAFDDYCRTQGLKYPLVVKRLACLVISGAASAESLDILQPASLSREMILEMEEGFGLLKSGFVNSNIADEQIAFLTKQWYINVLARIRINAFRIELAVGLHEDLLSSAAACIEAEAAVGSAVYMLPSFYNHDCDEELRICYIDASMDHDARQKILLQGFGFKCNCLRCMSGE >Manes.08G040300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3943259:3948512:1 gene:Manes.08G040300.v8.1 transcript:Manes.08G040300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLRYSRWLSRFKSRQSQKNQLLAFSSEAATEKPRPPPIRVGLTESAGRGVFATRRIGAGDVIHSAKPIVSHPSLSSISTVCYFCLKKLNFVEFKSQSVAFCSSECELNAKVFYDVETKGDWLAFDDYCRTQGLKYPLVVKRLACLVISGAASAESLDILQPASLSREMILEMEEGFGLLKSGFVNSNIADEQIAFLTKQWYINVLARIRINAFRIELAVGLHEDLLSSAAACIEAEAAVGSAVYMLPSFYNHDCDPNTHIIWIENADARLKALRDIEADEELRICYIDASMDHDARQKILLQGFGFKCNCLRCMSGE >Manes.08G040300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3943259:3948512:1 gene:Manes.08G040300.v8.1 transcript:Manes.08G040300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLRYSRWLSRFKSRQSQKNQLLAFSSEAATEKPRPPPIRVGLTESAGRGVFATRRIGAGDVIHSAKPIVSHPSLSSISTVCYFCLKKLNFVEFKSQSVAFCSSECELNAKVFYDVETKGDWLAFDDYCRTQGLKYPLVVKRLACLVISGAASAESLDILQPASLSREMILEMEEGFGLLKSGFVNSNIADEQIACILRMIYLLYMFLIN >Manes.04G052244.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:10541988:10543038:1 gene:Manes.04G052244.v8.1 transcript:Manes.04G052244.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSNNTFRGTLPSSLSSLNLSWNALTGTIPPQISALNKLSILDLSHNELEGDLMALAGLENLISLNISHNNFTGYLPDNKLFQQLSETEMAGNQGLCSKGRDSCFLRKATSMSMSNNSKLKRSHRIKLVIALLITLTIAMAIFGAIAVFRARKLMRDDCESEMGGDSWPWHFTPFQKLNFSVEQVLKCLVEANVIGKGCS >Manes.02G198100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:16435834:16437460:-1 gene:Manes.02G198100.v8.1 transcript:Manes.02G198100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMYKNPRSHANASSSNPKPTPSPPLVPPNPHIIGHESRFNPTTTSPPPSSMFSPFFRIMLTATSWLRTRWSRCLFLILCSPILLPLLCASFPLLCAVELCIRTCRRGRRKKDGDDEERLRRCEEGFCDCDRSVDEEKEVGLLQRYLEDQLRLVGSVYECGDEFDSQEEEVTVLNQDFNTPLLG >Manes.06G101500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23501593:23503129:1 gene:Manes.06G101500.v8.1 transcript:Manes.06G101500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRCHVIVIPYPAQGHINPLLQFAKRLASKGVKATLATTPYTINSIHAPTVGVEPISDGFDEGGFKQSSGVEAYLESFKAVGSRTLSELILKFNASDSPVRCIVYDSLLTWPVDVARQFGIYAAVFLTNSASVCSMYWQIDQGLLNLPVEQETVPVSLPGLPSLGFQELPSFLASPTTQSAYLAAILEKFHSLDKNDWVFCNSFEELEIQLVGAMRGLWPVVMVGPMVPSAYLDQQIDGDTAYGASLWEPTTDQCLRWLDMKPPDSVIYVSFGSMADISAKQVEEMAWGLEASKRPFLWVIKDSENKLPDDFISSIGETGIVVAWCNQLEVLAHQAVGCFVTHCGWNSTLEGLSLGVPMVCVTQWSDQPTNAKFVEEVWKVGVRAEKDEEGIVRRKELEKCIREVMVGERSGEMKNNASKWRDLAKVAVRLGGSSDTNVDQFVVKLLDEKKT >Manes.05G012700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:779531:786716:-1 gene:Manes.05G012700.v8.1 transcript:Manes.05G012700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFAAQVEDGKEGKDGIPSVGPVYRSLLSKNDFPPPDSDMNSAWDLFSLSVNKYPGNKMLGWRKFVDGKAGPYVWKTYKEVYEEVLQIGSALRASGAEPGCRVGIYGSNCPQWIVAMEACSAHSLVCVPLYDTLGPGAVNFIIEHAEIDFIFIQDKKVKELLNPDCRTASKLKAIVCFTTFTEEQKDKAVQMQIKPYSWEEFQNLGKENPSEILPPQPYNICTIMYTSGTSGDPKGVVLTHETVTLFVRGVDLFMEQFEDKMTTEDVYLSFLPLAHILDRVIEEYFFRKGASVGYYHGDLNALRDDLMELKPTLLAGVPRVFEKIHEGIKKAIQELNPVRRKVFQALYKHKLAWMNQGYKQKYASMLADFLAFRKVKAKLGGRLRLIISGGAPLSVEVEEFLRVTTCAFVLQGYGLTETCGPVTIGFPDEMCMMGTVGSPVTYSELRLEEVPEMGYNPLGSPPRGEICVRGKTLFAGYYKNPELTRESMKDGWFHTGDIGEILPNGVLKIIDRRKNLIKLSQGEYVAVEYLENVYGITPVVEDIWVYGDSFKSMLIAVVVIHEENTEQWADLKGHKGSLPELCSLVQLQEYVLAELKSTAERNKLRGFEYIKGVILDSRPFDMERDLVTATLKKKRNKLFHHYKVEIDELYRKLAVRRA >Manes.09G011862.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2739396:2742662:1 gene:Manes.09G011862.v8.1 transcript:Manes.09G011862.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFFTNNFKSASFTHIDDAIASFNHVIHKHPLPSRVPFNRFLSALAKMKQYHTVLSMSKTIELLGISHDVYSLNILINCFCRLHLVYFGFSVFGKMLKLGLEPDVVTFTTLINGLCIESKIDKAVEFFDDMVARGYQPDVRTYSVIINGLCKFGKTNVAIGLLKGMADRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPNVITYTSLIHGVCKLGQKNQALALMNEMVEQNILPDVYTFSVLIDALCRDGMVSEAQNTFNVMIQRGVEPDVVTYSSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSNAQIIIKIMIQSGVEPNAVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIADIFSYSILINGYCKCKMIDDAKDIFVEMSHKGLVPDAVTYHTLIKGMFQAGRPQNAKELFRNMCSHGQQPNIVTFSIMINGLCRQGNLDEALTLLKEMEESQLKPDLVTYCILINGMCKVGKINDAKELFSSLFEIGLQPDVYVYNAIMKGLCQQGLMDEAYKIFRDMEKGGCLPNNFSYNIIIQGFLRHENLPKASELINEMVDKGFSSDDATTELVVHLSQNNDLILSKLRNRSEASKALQ >Manes.16G046700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:8397462:8408863:1 gene:Manes.16G046700.v8.1 transcript:Manes.16G046700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSMAETTSTSGSSSSSSPIINLAPDHLFTILLLLPVDSILSFSMTCKRFRSLTSSDSLWESICKRDWGSTSVDALKSSANNQHLPWMKLYKQVSQLDSISCHKLSDPDPESVLPSPRASHSLNFVSDCLVLFGGGCEGGRHLDDTWVAYVGNGFQKMFKWQKVGSGVPSGRFGHTCVAIVHHLVLFGGINDCGIRQNDTWIGQLVFSDNLCISLSWRPLNVQSLAPPPRGAHAACCFDQRKMVIQGGIGLHGVRLGDTWVLELSENLCFGTWHEIVAHPSPPPRSGHSLTSIGQTGMVLFGGRGLGYEVLNDVWLLQTFDSQLKWVQLRFELQNIPEGVSLPRVGHSATPILGGRLLIYGGEDSYRHRKDDFWVLDISSIVSTQVQPTALHAKMWKRLKAKGYKPNRRSFHRACADHSGHHLYMFGGMVDGLPQPTEASGLRFDGELFLVELCFKQE >Manes.01G223800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39017642:39020868:1 gene:Manes.01G223800.v8.1 transcript:Manes.01G223800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTIQYKKHRDAVKSVRAPLSSSVSGLRGPVIEMVNTSILRSNHASYTPLSTEEPGPSSSDAFTIGLPPAWVDDTEEIAANIQIVRTKMAELVKAHSKALMPSFGDGREDQRTIEVLTREITDLLRKSEKRLQKLPASESPEDSNIRKNVQHSLATDLQNLSMDLRRRQSTYLKRLQQQKEGHDGVGFEMSLNEKKPRYKDDEFGDMGFNQLQTIQLKKSEQLTEEREREIEQVVASVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVASSVDEGFKQLQKAERSQKKGGMVMCATVLVIMCFIMLVLLILKHLFL >Manes.01G223800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39017642:39020868:1 gene:Manes.01G223800.v8.1 transcript:Manes.01G223800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTIQYKKHRDAVKSVRAPLSSSVSGLRGPVIEMVNTSILRSNHASYTPLSTEEPGPSSDAFTIGLPPAWVDDTEEIAANIQIVRTKMAELVKAHSKALMPSFGDGREDQRTIEVLTREITDLLRKSEKRLQKLPASESPEDSNIRKNVQHSLATDLQNLSMDLRRRQSTYLKRLQQQKEGHDGVGFEMSLNEKKPRYKDDEFGDMGFNQLQTIQLKKSEQLTEEREREIEQVVASVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVASSVDEGFKQLQKAERSQKKGGMVMCATVLVIMCFIMLVLLILKHLFL >Manes.01G223800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39017642:39020868:1 gene:Manes.01G223800.v8.1 transcript:Manes.01G223800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTIQYKKHRDAVKSVRAPLSSSVSGLRGPVIEMVNTSILRSNHASYTPLSTEEPGPSSSDAFTIGLPPAWVDDTEEIAANIQIVRTKMAELVKAHSKALMPSFGDGREDQRTIEVLTREITDLLRKSEKRLQKLPASESPEDSNIRKNVQHSLATDLQNLSMDLRRRQSTYLKRLQQQKEGHDGVGFEMSLNEKKPRYKDDEFGDMGFNQLQTIQLKKSEQLTEEREREIEQVVASVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVASSVDEGFKQLQKVVSCSCT >Manes.01G223800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:39018388:39020868:1 gene:Manes.01G223800.v8.1 transcript:Manes.01G223800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVKAHSKALMPSFGDGREDQRTIEVLTREITDLLRKSEKRLQKLPASESPEDSNIRKNVQHSLATDLQNLSMDLRRRQSTYLKRLQQQKEGHDGVGFEMSLNEKKPRYKDDEFGDMGFNQLQTIQLKKSEQLTEEREREIEQVVASVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVASSVDEGFKQLQKAERSQKKGGMVMCATVLVIMCFIMLVLLILKHLFL >Manes.06G152900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28023614:28026552:-1 gene:Manes.06G152900.v8.1 transcript:Manes.06G152900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPNIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSARPTGRPMGGPPGDRPRGPPRFDGDRPRFGDRDGYRGGPRGGDFGGEKGGVPADFQPSFRGSGGRPGFGRGGGGYGAAQSSSSGFA >Manes.15G167600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:14264225:14266354:-1 gene:Manes.15G167600.v8.1 transcript:Manes.15G167600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENQDKKRLWTEEEDKILVDYVKIHGKGRWNHISKKTGLRRCGKSCRLRWLNYLSPNVKRGNFTEEEEDVIIRLHNLLGNRWSLIAKRVPGRTDNQVKNYWNTHLTKKLAAKDQNGRLSIKQQSSKVAVTSSTISKVATNSGFSEGTATDQITVDIEIQRDTQVSDLHGLTFMDSYANPFWHYYDPLELTTLGNEFLDGYSFDHA >Manes.11G083600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12482273:12488316:1 gene:Manes.11G083600.v8.1 transcript:Manes.11G083600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGSDIGPFRYSPASTVAMLKERIVTEWPKDKKIAPKAANDIKLINAGKILENNKTVGQCRVPFGELPKGVITMHVVVQPSLAKAKTEKKVDEPPRKNLCSCSIM >Manes.10G122812.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29009350:29015378:-1 gene:Manes.10G122812.v8.1 transcript:Manes.10G122812.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHEKLSSKARPKPRSPSRSSSQEEPWPTLDIPAKAQGRLARLDELREAAKTGAELQFARERERLGTKVELQVQQAEANRMLILKANRQRRATQKERMSQSLLRRMAREGKYKERVHAAIIQKRAAAERKRLGFLEAENKRACARVLQVRQVSNSVCHQREIERGRMRDQLEAAKVLYNQADLLSRKLARCWSQFLRSRRTTSDLAKNYDALKIHEKSHLSIFRVADDNHSTSLDNIDQLLKRVATPKKRTTPRSSMRDRETKKVSAVREAARSPAKLSRYPVRVVLCAYMILGHPDAVFSGQGDHEFPLAKSAKEFILQFEFLMRNRTPYSPKHCTFRSQLAAFDKAWCSYLNCFVLWKVKDAQTLEEDMVRAACQLELSMIQKCKMTPGGDTDALSHDMKAIQKQVTEDQKLLREKIQHLSEKENGSPVGSLISHFLSPSTLISLDGPSSVDKPNHVVHSLFKDDASSPKGFRSSATLSSHFDGHLGSTIKKLIKENELMVNEFIHERHHSFLDGFNSDIENSMKAKTGALDIDYLGKILEFALGTLRRLSSPAPQDETMCSRCIAMIKGLRFVLEQIEALKQEISKACIKILLKGPPGLDYLRKAFVNHYGSYPVACTSLPLTMQWFASLKNCKDQEWDEHTNLLSGLMNHGSSSQEFLPPTALRTGGSFVLKTKGSGTASTSSTSKCYRARMNGDRIDLLVRLGLLKLVSGVSALTKEVLPETVRLNLPRLRAAQAQIQKIIVISTSLLICRQTLMMEQVVSSVAAVESMLLRCTKQLLELLDRVDDASIEEIVEIISGLSRDEDRDSDPEKLKSRMLAKSLQAGDPVFEKVSRAVYLATRGIVLGGSGSQGRKLSEMALRQVGAVMLPERVVETAELLVVAATVTVAVHRPWHVNLANNM >Manes.12G098100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24725752:24728802:-1 gene:Manes.12G098100.v8.1 transcript:Manes.12G098100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFSINLFVCLRRILFHVLTSSSVFWQYFTLHRTRKIFGISLSLILINLAAIMERADENLLPSVYKEVSEAFDAGPSDLGYLTFIRNFMQGLASPLAGVLVISYDRPAVLAMGTLCWSLSTAAVGASQHFLQVAFWRAVNGFGLAIVIPALQSFIADSYMDGTRGTGFGLVNLIGNFGGIGGGVIATIMAGQQYWGIQGWRCAFIMMATLSSIIGLLVFLFVVDPKKTISIPRDTRESFDSCFGCRNDLLERSNCSVSSIWTESWTAMQVVVRVKTFQVIVLQGIVGTLPWTAMVFFTMWFELIGFDHRSTAFLLSLFAIGCSLGALLGGFITDRMSHIYPHSGRIMCAQFSAIMGIPFSWFLLKEIPLSVNSYFTFAVTLFLMGLTISWLGPAVNAPMFAEVVPVKHRTMIYAFDRAFEGSLSAFAAPLVGILSENIFGYDSKSVDPLKGSIKQASALSKGLLSMMTVPFGLCCLFYAPLYKYFRRDRETARMASLKEMEMTREAL >Manes.12G098100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24725752:24730780:-1 gene:Manes.12G098100.v8.1 transcript:Manes.12G098100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNQTHLRFVSLLRSKTLFHINLQAMKTRKIFGISLSLILINLAAIMERADENLLPSVYKEVSEAFDAGPSDLGYLTFIRNFMQGLASPLAGVLVISYDRPAVLAMGTLCWSLSTAAVGASQHFLQVAFWRAVNGFGLAIVIPALQSFIADSYMDGTRGTGFGLVNLIGNFGGIGGGVIATIMAGQQYWGIQGWRCAFIMMATLSSIIGLLVFLFVVDPKKTISIPRDTRESFDSCFGCRNDLLERSNCSVSSIWTESWTAMQVVVRVKTFQVIVLQGIVGTLPWTAMVFFTMWFELIGFDHRSTAFLLSLFAIGCSLGALLGGFITDRMSHIYPHSGRIMCAQFSAIMGIPFSWFLLKEIPLSVNSYFTFAVTLFLMGLTISWLGPAVNAPMFAEVVPVKHRTMIYAFDRAFEGSLSAFAAPLVGILSENIFGYDSKSVDPLKGSIKQASALSKGLLSMMTVPFGLCCLFYAPLYKYFRRDRETARMASLKEMEMTREAL >Manes.12G098100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24725751:24730780:-1 gene:Manes.12G098100.v8.1 transcript:Manes.12G098100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFSINLFVCLRRILFHVLTSSSVFWQYFTLHRTRKIFGISLSLILINLAAIMERADENLLPSVYKEVSEAFDAGPSDLGYLTFIRNFMQGLASPLAGVLVISYDRPAVLAMGTLCWSLSTAAVGASQHFLQVAFWRAVNGFGLAIVIPALQSFIADSYMDGTRGTGFGLVNLIGNFGGIGGGVIATIMAGQQYWGIQGWRCAFIMMATLSSIIGLLVFLFVVDPKKTISIPRDTRESFDRNDLLERSNCSVSSIWTESWTAMQVVVRVKTFQVIVLQGIVGTLPWTAMVFFTMWFELIGFDHRSTAFLLSLFAIGCSLGALLGGFITDRMSHIYPHSGRIMCAQFSAIMGIPFSWFLLKEIPLSVNSYFTFAVTLFLMGLTISWLGPAVNAPMFAEVVPVKHRTMIYAFDRAFEGSLSAFAAPLVGILSENIFGYDSKSVDPLKGSIKQASALSKGLLSMMTVPFGLCCLFYAPLYKYFRRDRETARMASLKEMEMTREAL >Manes.12G098100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24725752:24730780:-1 gene:Manes.12G098100.v8.1 transcript:Manes.12G098100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNQTHLRFVSLLRSKTLFHINLQAMKTRKIFGISLSLILINLAAIMERADENLLPSVYKEVSEAFDAGPSDLGYLTFIRNFMQGLASPLAGVLVISYDRPAVLAMGTLCWSLSTAAVGASQHFLQVAFWRAVNGFGLAIVIPALQSFIADSYMDGTRGTGFGLVNLIGNFGGIGGGVIATIMAGQQYWGIQGWRCAFIMMATLSSIIGLLVFLFVVDPKKTISIPRDTRESFDRNDLLERSNCSVSSIWTESWTAMQVVVRVKTFQVIVLQGIVGTLPWTAMVFFTMWFELIGFDHRSTAFLLSLFAIGCSLGALLGGFITDRMSHIYPHSGRIMCAQFSAIMGIPFSWFLLKEIPLSVNSYFTFAVTLFLMGLTISWLGPAVNAPMFAEVVPVKHRTMIYAFDRAFEGSLSAFAAPLVGILSENIFGYDSKSVDPLKGSIKQASALSKGLLSMMTVPFGLCCLFYAPLYKYFRRDRETARMASLKEMEMTREAL >Manes.12G098100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:24725751:24730780:-1 gene:Manes.12G098100.v8.1 transcript:Manes.12G098100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFSINLFVCLRRILFHVLTSSSVFWQYFTLHRTRKIFGISLSLILINLAAIMERADENLLPSVYKEVSEAFDAGPSDLGYLTFIRNFMQGLASPLAGVLVISYDRPAVLAMGTLCWSLSTAAVGASQHFLQVAFWRAVNGFGLAIVIPALQSFIADSYMDGTRGTGFGLVNLIGNFGGIGGGVIATIMAGQQYWGIQGWRCAFIMMATLSSIIGLLVFLFVVDPKKTISIPRDTRESFDRNDLLERSNCSVSSIWTESWTAMQVVVRVKTFQVIVLQGIVGTLPWTAMVFFTMWFELIGFDHRSTAFLLSLFAIGCSLGALLGGFITDRMSHIYPHSGRIMCAQFSAIMGIPFSWFLLKEIPLSVNSYFTFAVTLFLMGLTISWLGPAVNAPMFAEVVPVKHRTMIYAFDRAFEGSLSAFAAPLVGILSENIFGYDSKSVDPLKGSIKQASALSKGLLSMMTVPFGLCCLFYAPLYKYFRRDRETARMASLKEMEMTREAL >Manes.16G012700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1266172:1270196:1 gene:Manes.16G012700.v8.1 transcript:Manes.16G012700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSTLRPPSPSLRPAPLPSSLARPQPNLKSLPLPTTQACSASDDKPQPRKLKGSSQLNRWSRARAIRSGRKLDRSGQRTQVAELNSPPQQSRESELKESSTVTAGNRDDEGVDVTGGKSIYMVSDGTGWTVEHSVGAALGQFEHCLVDRGCSVNTHLFSGIDDIDSLMEIIKQAAKEGAMVVYTLADPSMAESARQACKLWGISSSDILGPITEAIASHLGVSPSGLPRGAPGRSLPLSDEYFRRIEAIEFTIKQDDGALPKNLYKADIILAGVSRTGKTPLSIYLAQKGYKVANVPIVMGVELPKSLFEVEPGKVFGLTINHVILQTIRKARARSLGFSQEVRSNYSEMDYVREELEYARKIFAQNPVWPVIEVTGKAIEETAAVILRFYHDRKHKCSMPRISKRY >Manes.08G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1035142:1037881:-1 gene:Manes.08G007400.v8.1 transcript:Manes.08G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVIRPTVHPVEAPPLTDGPLNGPRMRMKDVQGMPGTHGGLTLRLLQFVFGLISVCVMTSTSDFRSVTAFCYLVIAVSLQISWSLSLVIVDAYALLVRRSLRKRAIVRLFAIGDGITSTLIFAAASASAGITVLVGNDLNKCSVEHCARFETATAMAFISWFAMTPSFLMNFWALASN >Manes.14G015200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:1084634:1091055:1 gene:Manes.14G015200.v8.1 transcript:Manes.14G015200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRTLQVWKLGTVNYLEALKLQEKLASDRKAHKIPDTLLSLQHPPTYTLGKRRTDHNLLIPLSDLQKLGAELQYTQRGGDITYHGPRQAILYPIISLREIGLGARSYVEKLESTMIELASLYGVKACAGQKGETGVWVADRKIGAIGIRISSGITSHGLAFNIDPDLNYFKHIVPCGIVDKEVTSLRRETGTLLPSDEVIHEQLISCFARLFGFSSLIWKESNSVLSGDRKVKDM >Manes.07G021750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2415254:2416139:-1 gene:Manes.07G021750.v8.1 transcript:Manes.07G021750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFDVLGRLSVPETCMKEFPPAQKGHEITLHVKDDSGTVWTFRCRIPAIGFSKPVVYGDWFQFVRRNI >Manes.03G184700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30557574:30562480:-1 gene:Manes.03G184700.v8.1 transcript:Manes.03G184700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTWGSAFRITLLLLLIAAVIFACFTLPVEKILKDFLLWVEQDLGLWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATIGAGSAFLLGRTIGRSFVVSKLKDYPQFRSVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPLGEYMLASWIGMMPITLALVYVGTTLKDLSDVTHGWSEFSTTRWVCIILGFVISVVLMFCVTKVAKSALDKALAENEDIDSVLASPQLPIAADLPVDLSQPLIIKIDASEDDHEK >Manes.09G104300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30464223:30479664:-1 gene:Manes.09G104300.v8.1 transcript:Manes.09G104300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKSVVYHGELCLGELDAIPATDKNFQFPNNEIRIHRISQSGRCPPLSILQTVSSYSVRCKLESSSPHEQPHLINLHAACFHESKTAVVLVGDEEIHLVAMPSKLKKFPCFWCFPVPLGLYDSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRTWIARETDPVRLSGMSAELKRYVDDRMLLKQYTESDYVMDNGKMLKVQLEEVPLPSETHERLVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIAAKQLLYRVVCVKSGSRKSLSNVFQDGMCHPKMAMVIDDRSKVWEDKDQSRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDDNLIRRISEVFYEDEVINLPHAPDVSNYMISEDAGFVPNGFSNAPINEGMSGVEVERRLNHLDEKNVINPASHFMTNSPELRSEISQSPVGIIPNVTGPMSPTTLIPSQKPSLLGAPRRDLRNQNSGQPPLLSRVPVPLSSSSVPMPIPSSSIQPQCGWLVEEDINTAHVNTRPSGFALENDLPKPDKLRSLQNPFSHSPPVSASSGLVSHASQVKSEEAFAGHDMQKQSLPSGGHPSDVGVSSQNPVSSNFQPESVKLNLLPSHLSIGVLQEIGRRCNSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAHQQAAENALHSLAEKYVAYIAPHSGAVDHDFDKLSLGNENGFIWDIVNPGSSEVVPEDGTPKESTPEVMLG >Manes.09G104300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30464223:30479664:-1 gene:Manes.09G104300.v8.1 transcript:Manes.09G104300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKSVVYHGELCLGELDAIPATDKNFQFPNNEIRIHRISQSGRCPPLSILQTVSSYSVRCKLESSSPHEQPHLINLHAACFHESKTAVVLVGDEEIHLVAMPSKLKKFPCFWCFPVPLGLYDSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRTWIARETDPVRLSGMSAELKRYVDDRMLLKQYTESDYVMDNGKMLKVQLEEVPLPSETHERLVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIAAKQLLYRVVCVKSGSRKSLSNVFQDGMCHPKMAMVIDDRSKVWEDKDQSRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDDNLIRRISEVFYEDEVINLPHAPDVSNYMISEDAGFVPNGFSNAPINEGMSGVEVERRLNHLDEKNVINPASHFMTNSPELRSEISQSPVGIIPNVTGPMSPTTLIPSQKPSLLGAPRRDLRNQNSGQPPLLSRVPVPLSSSSVPMPIPSSSIQPQCGWLVEEDINTAHVNTRPSGFALENDLPKPDKLRSLQNPFSHSPPVSASSGLVSHASQVKSEEAFAGHDMQKQSLPSGGHPSDVGVSSQNPVSSNFQPESVKLNLLPSHLSIGVLQEIGRRCNSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAHQQAAENALHSLAEKYVAYIAPHSGAVDHDFDKLSLGNENGFIWDIVNPGSSEVVPEDGTPKESTPEDNEVEPSITSSNFVNQQQQKRANSPRLLQSVPSKRTKEELVRGSQSLQFSRHQKNGNHVS >Manes.09G104300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30473863:30479415:-1 gene:Manes.09G104300.v8.1 transcript:Manes.09G104300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKSVVYHGELCLGELDAIPATDKNFQFPNNEIRIHRISQSGRCPPLSILQTVSSYSVRCKLESSSPHEQPHLINLHAACFHESKTAVVLVGDEEIHLVAMPSKLKKFPCFWCFPVPLGLYDSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRTWIARETDPVRLSGMSAELKRYVDDRMLLKQYTESDYVMDNGKMLKVQLEEVPLPSETHERLVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIAAKQLLYRVVCVKSGSRKSLSNVFQDGMCHPKMAMVIDDRSKVWEDKDQSRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDDNLIRRISEVFYEDEVINLPHAPDVSNYMISEDAGFVPNGFSNAPINEGMSGVEVERRLNHLDEKNVINPASHFMTNSPELRSEISQSPVGIIPNVTGPMSPTTLIPSQKPSLLGAPRRDLRNQNSGQPPLLSRVPVPLSSSSVPMPIPSSSIQPQCGWLVEEDINTAHVNTRPSGFALENDLPKPDKLRSLQNPFSHSPPVSASSGLVSHASQVKSEEAFAGHDMQKQSLPSGGHPSDVGVSSQNPVSSNFQPESVKLNLLPSHLSIGVLQEIGRRCNSKVHMFQDLMMVSVFILSEAYHIVLCAG >Manes.09G104300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30464223:30479664:-1 gene:Manes.09G104300.v8.1 transcript:Manes.09G104300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKSVVYHGELCLGELDAIPATDKNFQFPNNEIRIHRISQSGRCPPLSILQTVSSYSVRCKLESSSPHEQPHLINLHAACFHESKTAVVLVGDEEIHLVAMPSKLKKFPCFWCFPVPLGLYDSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRTWIARETDPVRLSGMSAELKRYVDDRMLLKQYTESDYVMDNGKMLKVQLEEVPLPSETHERLVRPVIRLQDRNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIAAKQLLYRVVCVKSGSRKSLSNVFQDGMCHPKMAMVIDDRSKVWEDKDQSRVHVVPAFTPYYAPQAETANAVPVLCVARNVACNVRGCFFKEFDDNLIRRISEVFYEDEVINLPHAPDVSNYMISEDAGFVPNGFSNAPINEGMSGVEVERRLNHLDEKNVINPASHFMTNSPELRSEISQSPVGIIPNVTGPMSPTTLIPSQKPSLLGAPRRDLRNQNSGQPPLLSRVPVPLSSSSVPMPIPSSSIQPQCGWLVEEDINTAHVNTRPSGFALENDLPKPDKLRSLQNPFSHSPPVSASSGLVSHASQVKSEEAFAGHDMQKQSLPSGGHPSDVGVSSQNPVSSNFQPESVKLNLLPSHLSIGVLQEIGRRCNSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAHQQAAENALHSLAEKYVAYIAPHSGAVDHDFDKLSLGNENGFIWDIVNPGSSEVVPEDGTPKESTPEVGIFDDALSQ >Manes.14G166220.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:26569198:26569611:-1 gene:Manes.14G166220.v8.1 transcript:Manes.14G166220.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFLKSEGVNLWDIVENGPFFPTRVIDGNQEQKPKSEWSELEKRRVALNDKAIHILFCALSKSEYNKVCMKSTAKEI >Manes.05G095300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8716057:8719712:1 gene:Manes.05G095300.v8.1 transcript:Manes.05G095300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGVDYYNLLKVNRDATDDDLKRAYKRLAMKWHPDKNPVNKKEAEAKFKQISEAYDVLSDPQKRQIYDIYGEEGLKSFEFGGPGDEGKASNNTSFRFNQRDAEDIFKEFFGGSGGGGMGGGSGKSGFYRNGETVSQGNRKMAAIESKLLCSLEELYKGARRKMRISRSVPDDFGKPKTVDEILKIDIKPGWKKGTKITFPEKGNQEPGVIPADLIFVVDEKPHPIFKRDGNDLVVNQKISLLDALTGKTVDLTTLDGRYLAIPATDIIKPGHEMVILNEGMPISKEPHKKGNLRIKFDVTFPSRLTAEQKSDLKRVLGGADSLGY >Manes.03G172200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29673475:29676489:1 gene:Manes.03G172200.v8.1 transcript:Manes.03G172200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLCHNWVQSPTSNNKHRKKWRLAFDAIFFSRTLLHIAKNAVNQQLNVKLLRTFSYTAIDIKTQTDRLDKVSLSKLVEEESLDQLRSFGGVDGVVAALKSDAKNGIKDDNLDVYDRRMTYGSNKYVRPPARSFFYFVLKAFKDPMIILVVVCSALSLGFGIRKHGLARGWSDGGSIFLAVFLVIIASSVSNFWPNKQFNKLSQISYSMKVEVVRSGWRQHIPVDQIVVGDVVCLRTGDKVPADGLFLQGHLSIDTSTLKMNSDIMAVNHHLKPFLCSGSMVTDGYALMLVTSVGINTKWGKMLSTKWEDPHETTPIQARLQIITSLTSKVGLLGASLVFLVWLVHYFKGDMRNGYVAGKTEFHEVICEMIGILAAVIAIAAGAVAEGLPLAVLITLAYSMISMTSNQAIVRRHSALRKFWLGQGFIEQGASSQIAPTIIKLLHQAVGLHTTQTPSKDSSFIWSPTEKAIICWATQEMGMNTREIKETCSILHGEAFNSAKRRSGFLVKRNNDNTIHVHWKGTPETILAMCSQYYDTTGIAKAIDCETRKKLNHVAQVMATDGLQCIAFAHRRTSTDLYESGISHQVIEDDCLSFIGLVGVKALCQPGLPKAVGDCQYAGVNIKMVTRDGASIARDIATECGILKPEQNIESEVVEGAEFQNYTHEERMAKVDKIRVMASASSSDMLLMVKYLRQKGKIVAVIGTDTEDAAALREADIGISIGLQATDTAKESSDIVILDDDFVSVVNVLKWGRTMLNQIQIFVQFQLSLSITSLAIDLVTAVSASEPPTIKITAAISAGEIPFAALQVLWVKLIMGTLAALALAIGQPSKELMKCPVDGKPLITNIMWRNILAQAIYHIAILLTIQFKGKAIFGVNDGVKDTLMFNTFVLCQVFNKFNAREPKKKNIFKRIHKNKLFVGIIGAIIVLQVVMVEFLKRFAGTERLNWGQWGASIGIAAMSWPVAWYVKWIPVPSKPFFNYLKWKH >Manes.05G200700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32514422:32529860:-1 gene:Manes.05G200700.v8.1 transcript:Manes.05G200700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSVKPDKKKKKKRKLTNLEEKPRTPKKHRTDVPEKEKEVDVGGSSKQKEFYNRIEAEHPWSNLQLILSLQSKGIDLQKKVELAFSYVNSRGKGAIEAEEDYETVKVSRLVVFLSDWVQSLLISMDKKIQVESGDVEACLDYRCWLIFKFCLEESLRLQVSLSLSRNLLRAFCCISRNVFLILNEMSLHSKDLVAIDKEFELYKVVLDCVSLVFLSHGGLSNENLDLWISAVSAVLELLHKIYDENLDGGSAGVFALRFSCLMLEPFAKFLRVHPTRKIGFREFVDELLGPLLHSLDVLHLHFDGSNTGLTKNLLTTVEEVLSQGLFHSVHIDGFLSLSSAEKYSASIDGKMKDSKTVIKSYHRHLFDKLERIMASKKDSELSALGELFHLLVCQVKNQKAASTLSEDKMAEKIEGFRHLSGPSSTILHQSSSATPENSNGSCNLTAEKGKMLFDFFVQIMEPLFLEINGYLQTDLEVGPLLLNVHCILKSINHLLATLFHEQIYTKTEDISDGACLNFLKKAYNTIFSFSTKLLCLPICDMDSQMQERLTLLAKQLFVAVQYFLDIEYEVIENDLTSLWFMVLSYLALVCSCKNVPYQWPLTSQIIGFGNQLVKLYGDLRQVEGAIFALCKAMRLIIEQENNSGGEQKFGCLGSFPTFLPYEAYAKSVGMLLGAKEFKVGIQNGIKSIPEGQASECIRQLSIDLSESMKWMERCSLVTDKKKLQHSNTGSRMLLFDLQVELYGRGLSEVYAIVLDSLNVTVGNSNLVGRSIRDLITAIRPCMSILVGLEPNSIHEFLSYITGKTFHKIPDENKHTVLNFGVSTYLVFVFFLRLYISCQSLYRQTVTLMPPDESRKMSAVVWDSLTVYSGRDLMERTDWPNEGYFSWIVRPSASLLVIIHSVSDLCLRSSNSECCPLIYVFHAMALQRLVDLNRQIKSLQYILQRTDGIIQVKLLDDASLSLHRKRSRKWGSVLSALKEEAEGLTEYITSYLPVLDNVRISVCANDNAACLATHVQGLDENDKWDLGVCSVNKESLPAAIWWILCQNFDVWSIHASSRKLKAFLSNVIRTCLPSITRSFTDGENSRADEAGYLTVHQISSELLTSSILYEHKIVCKHLASTLCRLLKKSVLSLFRDFSIRDVDLNTSPNWPEILNTVGSLQMDVPGSKPVAHDKNSDGKPSSHLSLKVPADIYTDLQGMNFTTCQRLLRLLCWMPKGCMQSKSFSLYVTYLLNLEWHIIRSLLECQALALRTQHELLRLLVSSRRALKYIFMAIYEEKAITIQSSVISALEGSFSVSWFFKSVFLVVGLQETLSKDQADEIRHAIFSLMDHTSNVFLTLSKCHSICAIDSTIAKKPQVEKFSFNISEEHIALSESDPCLDSSGNSKAWKSILLVAESLKEQTQGLLISLKDGRINEKAGNNVNLNKLSSIVSCVSGFLRGLSSVFNHTSATTIDKVKFLGWNSEDLSKFDLCVNVFADFFSFILHILFVEDDHQSRCSSDDKNVGKPSDRSCLSGSEEFSDKASLKAKTLGGECEQYGELIAAENCSASLSTDNKFWKASMHRSDLQLEEATSTVASILSKLDTYEVILHDKCLLKSLIKDDHPEAANLLRQLLIGASALLRLNLPTNYASLLSSLVPCCFGISKVLLLTLAEVSKVPKPFSFAWLDGVLSYLQELGSYFPKWDLAIHAKLVELHLNALGKCITLQGKEATLASHETVSSSKIIQINKGSSETSISRMSLFLNEFKAKLKMSFKELISKSSGLHVLSAIQAIERALVGVHEDCKIIYNINLGSADDGKISTTVAAGIDCLDLVLEYVSGKKCFSIVKRHMKSLVAALFNIVLHLQSPSVFYGTPAGGNVHKGPDPGAVILMCVQLLIRVSGKKNWFQMDSWHVAQSLHIPAALFQDFGQLKLSKVPLLSDVCSFVDNTDSVSIADTHSFAVDQLFSIELFAACCRLLYTTLKNHTSKSEQCIALLQQSSCVLLHCLETVGNDLTVRKGHFSWGVEEGVKCACSFRRIYEEIRQKKDVLGQHCFKFLSNYIWVYSGYGPLKTGIRREIDEALRPGVYALIDACSADDLQYLHSVFGEGPCRNTLAVLQHDYKLNFQYEGKV >Manes.05G200700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32514422:32529860:-1 gene:Manes.05G200700.v8.1 transcript:Manes.05G200700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKIQVESGDVEACLDYRCWLIFKFCLEESLRLQVSLSLSRNLLRAFCCISRNVFLILNEMSLHSKDLVAIDKEFELYKVVLDCVSLVFLSHGGLSNENLDLWISAVSAVLELLHKIYDENLDGGSAGVFALRFSCLMLEPFAKFLRVHPTRKIGFREFVDELLGPLLHSLDVLHLHFDGSNTGLTKNLLTTVEEVLSQGLFHSVHIDGFLSLSSAEKYSASIDGKMKDSKTVIKSYHRHLFDKLERIMASKKDSELSALGELFHLLVCQVKNQKAASTLSEDKMAEKIEGFRHLSGPSSTILHQSSSATPENSNGSCNLTAEKGKMLFDFFVQIMEPLFLEINGYLQTDLEVGPLLLNVHCILKSINHLLATLFHEQIYTKTEDISDGACLNFLKKAYNTIFSFSTKLLCLPICDMDSQMQERLTLLAKQLFVAVQYFLDIEYEVIENDLTSLWFMVLSYLALVCSCKNVPYQWPLTSQIIGFGNQLVKLYGDLRQVEGAIFALCKAMRLIIEQENNSGGEQKFGCLGSFPTFLPYEAYAKSVGMLLGAKEFKVGIQNGIKSIPEGQASECIRQLSIDLSESMKWMERCSLVTDKKKLQHSNTGSRMLLFDLQVELYGRGLSEVYAIVLDSLNVTVGNSNLVGRSIRDLITAIRPCMSILVGLEPNSIHEFLSYITGKTFHKIPDENKHTVLNFGVSTYLVFVFFLRLYISCQSLYRQTVTLMPPDESRKMSAVVWDSLTVYSGRDLMERTDWPNEGYFSWIVRPSASLLVIIHSVSDLCLRSSNSECCPLIYVFHAMALQRLVDLNRQIKSLQYILQRTDGIIQVKLLDDASLSLHRKRSRKWGSVLSALKEEAEGLTEYITSYLPVLDNVRISVCANDNAACLATHVQGLDENDKWDLGVCSVNKESLPAAIWWILCQNFDVWSIHASSRKLKAFLSNVIRTCLPSITRSFTDGENSRADEAGYLTVHQISSELLTSSILYEHKIVCKHLASTLCRLLKKSVLSLFRDFSIRDVDLNTSPNWPEILNTVGSLQMDVPGSKPVAHDKNSDGKPSSHLSLKVPADIYTDLQGMNFTTCQRLLRLLCWMPKGCMQSKSFSLYVTYLLNLEWHIIRSLLECQALALRTQHELLRLLVSSRRALKYIFMAIYEEKAITIQSSVISALEGSFSVSWFFKSVFLVVGLQETLSKDQADEIRHAIFSLMDHTSNVFLTLSKCHSICAIDSTIAKKPQVEKFSFNISEEHIALSESDPCLDSSGNSKAWKSILLVAESLKEQTQGLLISLKDGRINEKAGNNVNLNKLSSIVSCVSGFLRGLSSVFNHTSATTIDKVKFLGWNSEDLSKFDLCVNVFADFFSFILHILFVEDDHQSRCSSDDKNVGKPSDRSCLSGSEEFSDKASLKAKTLGGECEQYGELIAAENCSASLSTDNKFWKASMHRSDLQLEEATSTVASILSKLDTYEVILHDKCLLKSLIKDDHPEAANLLRQLLIGASALLRLNLPTNYASLLSSLVPCCFGISKVLLLTLAEVSKVPKPFSFAWLDGVLSYLQELGSYFPKWDLAIHAKLVELHLNALGKCITLQGKEATLASHETVSSSKIIQINKGSSETSISRMSLFLNEFKAKLKMSFKELISKSSGLHVLSAIQAIERALVGVHEDCKIIYNINLGSADDGKISTTVAAGIDCLDLVLEYVSGKKCFSIVKRHMKSLVAALFNIVLHLQSPSVFYGTPAGGNVHKGPDPGAVILMCVQLLIRVSGKKNWFQMDSWHVAQSLHIPAALFQDFGQLKLSKVPLLSDVCSFVDNTDSVSIADTHSFAVDQLFSIELFAACCRLLYTTLKNHTSKSEQCIALLQQSSCVLLHCLETVGNDLTVRKGHFSWGVEEGVKCACSFRRIYEEIRQKKDVLGQHCFKFLSNYIWVYSGYGPLKTGIRREIDEALRPGVYALIDACSADDLQYLHSVFGEGPCRNTLAVLQHDYKLNFQYEGKV >Manes.06G064200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:19942063:19944333:1 gene:Manes.06G064200.v8.1 transcript:Manes.06G064200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERREIEAASDWIPSFAIGLSILVVDHDASSLITISSLLQQSYYNVTTSQAASSALSMVEDQKDRFKLVMANADMPDMDYLPFLHKLLEKNIPVILMSSERSVSAAIKAIVEGASFFFNKPISLDDLKYLWQHVYRKKRSCSFISTRKNAPADDTKTKDKQIELVMKWPNENKKSRKKGKRLETMEEEEENKQISLKKKPRILWTPELHLKFTAAISILGDEKARPKPILEIMNVPKLTQRQVASHLQKYKSQVQRICQTGTSNKPAASRSTNFYGRRSFDTLVKKTTPPIFYQRRPYSDWKKMGDMIQMLPSGSCPPDISSSYTSQNKNQVLWTSDGKTQELDSLAGLFSSGNSEQNSVHFPTNFTDGSQINMEFMQVSETTKFLAEFNDSDEEIDVM >Manes.09G016677.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3456253:3468443:-1 gene:Manes.09G016677.v8.1 transcript:Manes.09G016677.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFLFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHKHPLPSRVHFNRFLSALVKMKQYHTVLSMSKTIELVGISHDVYSINILINCFCRLHLVDFGFSVFGKMFKFGLEPTTVTFNTLINGLCMESKIDKAVEFFDHMVARGYQPDVRTFNMIVNGICKFGKTNVAIGLLKGMTDRGCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYNSLIHGVCKLGQKNQALALMNEMVEHNILPNVYTFNVLIDALCKDGMVSEARNTFNVMIQRGVEPDVVTYNSLIDGLCISDQFKEALALLKEMVGRNISPNVFTFNILIDTLCKKGLVSIAQNIIKIMIQRGVEPDVVTYNSLMDGYCLCKQIDKARKVFDLMVTNEIADIFSYNILINGYCKCKMIDDAKELFDEMSHKGLVPNAVTYHSLIKGMFQAGRPQNAKELFKDMCSHGQQPDIVTFSIMIDGLCSQGNLDEALTLLKKMEESQLKPNLVIYCILINGMCKAGKINDAKELFSSLFENGLRPNVYVYSAIMKRLCRKGLIDEAYKVFRDMEKGGCLPNNCYYNIIIQGFLKHEDLPKASELINEMVDKGFSADATTTELVVHLSRNNDLILRLLKL >Manes.12G067451.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6979422:6979754:-1 gene:Manes.12G067451.v8.1 transcript:Manes.12G067451.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKAISVMNQEFVKLDQFDRINFIIWKDKMLFLFTALKISYVQDPNLPTPTPQDTDQVKAKYRLYNLFTSKSSPKEIWKALEYKYNIEK >Manes.03G069500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:10197272:10224638:1 gene:Manes.03G069500.v8.1 transcript:Manes.03G069500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVDGKMADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLQEFLGIYNITQEFITATEKIGGRLGYSIRGTLQSQAKAFVDFQHEMRMTKIKAVLDQETWVEVDVPDEFQAIVASFFSYEALISGDIDDAQGIMTKRYGEVVTNNDGSILADTEAQNAPHPLMRMDSGEISLQSTTQVKYAPVAEATESNRADVTSAQSNNNNAKERGKGASQTLVCGGVGYHMVNCGLILLKMLSEYIDMNNFLPALSSEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRRVLFLKVPEIRKPLLLSEMDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGLPQIVESWNRPEDADSQPSQFARSLIKEVGYLQRVLSRTLHEADVQAIFRQVVIIFHSQISETFSRLEISTPQAKDRLHRDVKHILGCIRSLPTDNFSNSGTPNWGQLDEFLIQKFGAETG >Manes.04G152700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:34794298:34797585:-1 gene:Manes.04G152700.v8.1 transcript:Manes.04G152700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVIDVKATNFVILSCFTYPVIKPKARGSSSSSSLVMVLSAFVLVVLLFLNSSLAAAAAACNQDDHDSLFPFFSNVSSASPLDWSSSKDCCQWEGVECGGINGRVIGLALPFRGLSGILSPSLANLTHLSYLNLSHNRLSGPFPAGLFPLSDLQILDLSYNRLYGELPSNDNNNTNMALQIVDLSSNHLNGAIPSNSFLQVAGNLSRFNISNNSFTGQIPANICLVSFRSMTILDFSYNDFSGDIPSGIGECSNLQIFSAGFNNFSGMIPDDIYKAVLLEQLSLPLNRLSGPISDALVNLNKLRILDLYSNQLIGPIPRNIGKLSNLEQLQLHINNLTGSIPPSLTNCNKLMTLNLRVNFLEGELSAFDFSNFTQLSILDLGNNNFTGNLPTTLFLCKSLKAVRLAYNQLGGQILPEIQNLESLSFLSVSFNNLTNLTGAIQIMMGCKNLTTLILSVNFFNEKLPDDEYIRNSNGFQNLQVLGLGASQFSGQVPTWLAKLKNLEVLDLSVNQITGSIPSWLGSLPNLFYIDMSSNLLSGELPKELAGLPTLASQGANELVDRSYLPLPVFAQPNNATYQQYNQLSNLPPAIYLGNNSLSGEIPAELGQLKFLHVLDLRNNNFSGNIPDLISNLTNLEKLDLSDNHLSGEIPASLKGLNFLSSFSVANNNLQGPIPSGGQFDTFPSSSFTGNPGLCGPILQRSCSNPSGPVNPPALHKSTNIKLVVGLVLGVFFGTGLLFATLALWILSKRRIIPGGDSDNMELDTISSNSHSGFPPGTVKDTSLVILFPNNSNEIKNLNISELLKATDNFNQENIVGCGGFGLVYKATLPDGTKVAVKKLSGEMGLMEKEFKAEVEALSTAQHENLVSLQGYCVYEGFRLLIYSYMENGSLDYWLHEKADGASQLDWPTRLKIARGASCGLAYMHQICEPHIIHRDIKSSNILLDEQFKAHVADFGLSRLILPYHTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGKRPVEVFKPKMSRELVGWVQQMRREGKQDEVFDPLLKGKGFDDEMLQVLDVACMCVNQNPIKRPTIQEVVDWLKNVGSDRNQNKS >Manes.11G107201.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24860898:24881339:-1 gene:Manes.11G107201.v8.1 transcript:Manes.11G107201.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQDIISSLLGYSTPLWATLVSVAFVVLTLVFSFYLLFEHLSAYKNPEEQKFLIGVTLMVPCYAIESFASLVNPSISVDIEILRDCYESFAMYCFGRYLVACMGGEERTIEFMERQGRLSSKTPLLEHGHEKGIIKHPFPMNYILKPWKLGQWFYQVVKFGIVQYMLIKSLTAILAVVLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTATKDELAHIKPLYKFLTFKSIVFLTWWQGVAIALLSSLGLFKSPIAQGLQFKSSVQDFIICIEMGIASIVHLYVFPSKPYEMMGDRIPGSVSVLGDYASVDCPLDPDEVRDSERPTKLRLPQPDVDAKSGMTIKESVRDVVVGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDKRRTKDDCCITPPTRKVIRGIDDPLLNGSFSDSGLARGKKHHRKSGYASGESGGESSSDQNYGGYEIRGRRWVTKD >Manes.11G107201.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24860898:24881339:-1 gene:Manes.11G107201.v8.1 transcript:Manes.11G107201.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQDIISSLLGYSTPLWATLVSVAFVVLTLVFSFYLLFEHLSAYKNPEEQKFLIGVTLMVPCYAIESFASLVNPSISVDIEILRDCYESFAMYCFGRYLVACMGGEERTIEFMERQGRLSSKTPLLEHGHEKGIIKHPFPMNYILKPWKLGQWFYQVVKFGIVQYMLIKSLTAILAVVLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTATKDELAHIKPLYKFLTFKSIVFLTWWQGVAIALLSSLGLFKSPIAQGLQFKSSVQDFIICIEHRWALLLLFICMCSLQSLMR >Manes.11G107201.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24860898:24881339:-1 gene:Manes.11G107201.v8.1 transcript:Manes.11G107201.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQDIISSLLGYSTPLWATLVSVAFVVLTLVFSFYLLFEHLSAYKNPEEQKFLIGVTLMVPCYAIESFASLVNPSISVDIEILRDCYESFAMYCFGRYLVACMGGEERTIEFMERQGRLSSKTPLLEHGHEKGIIKHPFPMNYILKPWKLGQWFYQVVKFGIVQYMLIKSLTAILAVVLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTATKDELAHIKPLYKFLTFKSIVFLTWWQGVAIALLSSLGLFKSPIAQGLQFKSSVQDFIICIEHRWALLLLFICMCSLQSLMR >Manes.11G107201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24860898:24881339:-1 gene:Manes.11G107201.v8.1 transcript:Manes.11G107201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQDIISSLLGYSTPLWATLVSVAFVVLTLVFSFYLLFEHLSAYKNPEEQKFLIGVTLMVPCYAIESFASLVNPSISVDIEILRDCYESFAMYCFGRYLVACMGGEERTIEFMERQGRLSSKTPLLEHGHEKGIIKHPFPMNYILKPWKLGQWFYQVVKFGIVQYMLIKSLTAILAVVLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTATKDELAHIKPLYKFLTFKSIVFLTWWQGVAIALLSSLGLFKSPIAQGLQFKSSVQDFIICIEMGIASIVHLYVFPSKPYEMMGDRIPGSVSVLGDYASVDCPLDPDEVRDSERPTKLRLPQPDVDAKSGMTIKESVRDVVVGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDKRRTKDDCCITPPTRKVIRGIDDPLLNGSFSDSGLARGKKHHRKSGYASGESGGESSSDQNYGGYEIRGRRWVTKD >Manes.11G107201.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:24860898:24881339:-1 gene:Manes.11G107201.v8.1 transcript:Manes.11G107201.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCYAIESFASLVNPSISVDIEILRDCYESFAMYCFGRYLVACMGGEERTIEFMERQGRLSSKTPLLEHGHEKGIIKHPFPMNYILKPWKLGQWFYQVVKFGIVQYMLIKSLTAILAVVLEAFGVYCEGEFKWGCGYPYMAVVLNFSQSWALYCLVQFYTATKDELAHIKPLYKFLTFKSIVFLTWWQGVAIALLSSLGLFKSPIAQGLQFKSSVQDFIICIEMGIASIVHLYVFPSKPYEMMGDRIPGSVSVLGDYASVDCPLDPDEVRDSERPTKLRLPQPDVDAKSGMTIKESVRDVVVGGGGYIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKRHDKDKRRTKDDCCITPPTRKVIRGIDDPLLNGSFSDSGLARGKKHHRKSGYASGESGGESSSDQNYGGYEIRGRRWVTKD >Manes.S014715.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:101925:102047:1 gene:Manes.S014715.v8.1 transcript:Manes.S014715.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.06G178400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:30303025:30308372:-1 gene:Manes.06G178400.v8.1 transcript:Manes.06G178400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGTSYTVDEALLATGFGKFQYLVLLYAGMGWISEAMEMMILSFVGPAVQHEWGLTSKQESLLTSVVFVGMLFGAYIWGLVSDKYGRRKGFLVTAIVTSAAGFLSSFAPNYVALILSRCLVGVGLGGVPVILSWFLEFIPTPNRGTWMIIFQGFWTIGTILEAALAWAIIPRLGWRWLLGLSALPSLLLLIFYIITPESPRYLCLKCRKDDALRILEKIGKLNGKVLPPGNLVTDHEVELQERRVSPEDGHEDSSSSPPRWKDSDLGVFRSLLMLLSPKLIRSTLLLWVVFFGNAFSYYGLVLLTTELNSGNNKCNSNGTQSHNSGSVNYKDVFITSFAELPGLIFSAFVIDKFGRKLSMAVMFFVCCIFLLPLVVHQSRAVTVVLLFGARICITGTFAVVFIYAPEIYPTSVRSTGVGVASSMGRIGGMVCPFVAVSLVQSCHQTAALLLFVGVVFVSGICACFFPFETKGRELTDSISSKKHEKLTTTTQEEP >Manes.13G092000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:24885179:24886284:1 gene:Manes.13G092000.v8.1 transcript:Manes.13G092000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTSILSFLLVVLAISTVAVHADDDCVYTVYIRTGSIIKGGTDSIISVRFFDENGYGLEIMNLESWGGLMGAGYNYFERGNLDIFSGRGPCLSSPICALNLTSDGSGPHHGWYCNYVEVTTTGVHMPCSQQQFTVEQWLARDASPYELTAIRNNCPLDLGDVKGRDPLKLKSAAM >Manes.02G201600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:19159337:19187427:1 gene:Manes.02G201600.v8.1 transcript:Manes.02G201600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASICNTLHILPSNALPRATKHKKKISPSPFSALSLLSHSPRFRTGFVSHWGRKEPAFGNVSSSVKAVLLDESKEKVRLPKGDVWSIHKFGGTCVGTSERIKNVAEIIINDASEAKLVVVSAMSKVTDMMYDLIYKARSRDDSYVAAIDAVFEKHRLTAKDLLDGDDLASFLSRLYHDVNNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYVVGKSELDCKWMDTREVLIVNPSSSNQVDPDFLQSEKRLEEWFSRNPCKIIVATGFIASTPQNIPTTLKRDGSDFSATIMGALLRAQQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEAWEMSYFGANVLHPRTIIPVMQYDIPIKIRNIFNLSSPGTIICRGTVTENEDGQKLDSPVKGFATIDNVALVNVEGTGLAGVPGTASAIFSAVKDAGANAIMISQASSEHSVCFAVPEKEVEAVAEALQSRFHQALDAGRLSQIAIIPNCSILATVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNVTVVVKREDCTRALRAVHSRFYLSQTTIAMGIIGPGLIGGTLIDQLRDQAGVLKKDFNIDLRVMGIIGSRRMLLNEVGIDLSRWRELMEENGEVADMEKFTHHVHGNHFIPNTVLVDCTADSNVASCYHDWLRRGIHVITPNKKANSGPLDQYLKLRALQRESYTHYFYEATVGAGLPIISTLRGLLETGDKILQIEGIFSGTLSYIFNNFISAQSFSEVVAQAKQAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQSLVPEPLRASASAEEFMEQLPQFDQDMAKERQEAEDSGDVLRYVGVVDVVRHEGRVELRRYKKDHPFAQLSGSDNIIAFTTTRYKEQPLIVRGPGAGAQVTAGGIFSDILQLASYLGAPS >Manes.14G028300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2567860:2568198:-1 gene:Manes.14G028300.v8.1 transcript:Manes.14G028300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKCLTSMSSQAAMLLLFIAVAAQTHLSMSQSCPSQLNTLNVCAPFVVPGAHGSPSADCCNALQSMQNDCLCNTLAIAARLPSQCHLPPLNCAVNWYTLFFQNLDLSRSF >Manes.S000327.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:826:984:1 gene:Manes.S000327.v8.1 transcript:Manes.S000327.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.11G054800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6702439:6704885:-1 gene:Manes.11G054800.v8.1 transcript:Manes.11G054800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVVSSKNYNVLSYGAVGNGNNDDTQAFIKAWTDTCKDSDRPVMLIPKGKTFLVHPVTFAGPCKSSQVDVQLSGNVIAPNDPNAWKGLDFGKWLTFQYVDGLTVSGDGLLDGRGKGWWDISCKYNPGKGCNKLAPSILRFENCNNVKTTRIRTVQSGGVHLVYFGCQGVEVTSVNINAPDESPNTDGIRLSHSTSVSITDSIIGTGDDCIAMLDRSYNISITRVNCGPGHGISIGSLGGNGDEVDLENITISHINFYNTTNGARIKTWPAGKGQVRNVEFSNIKFKEVENPIIIDQHYGESKNSPRQKTEF >Manes.13G073100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10758800:10765127:-1 gene:Manes.13G073100.v8.1 transcript:Manes.13G073100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTICPIDRKCASQISVLLHPPPPPQVQEYFEELISSRQCRGIKVKQNGEFGKGVYADLDFKEGELILKDPILVGIQHPSNKIDCLVCSFCFQFIGSIELQIGRKLYLQSLGVSANEACDNEGSSKDFCDTNSADEEDDCYVKECKKLGNCASSSSSNGKVTLPEALVQSLMNGELVLPHSKTFCLPSAIACPGGCGEAYYCSKKCAEADWKSSHSLLCTGKRSEALSREALAKFIQHANDTNDIFLLAAKAISFTILRYRKLKAAHLKGQNKHTDPVELDCLELSLLLEAWKPLSIGYKRKWWECISLPDDVDCSDETAFRMEIRDLAFTSLQLLKAAIFDQECEPSSPVEDYFLYIDALPYSEKRTAEEITQSLLDALGDGYSVCCQGTAFYPLQSCMNHSCCPNARAFKREQDKDGQATIVALRPISEGEEITISYIEEDLPFEERQALLADYGFRCRCAKCIEEETT >Manes.13G073100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:10758641:10765992:-1 gene:Manes.13G073100.v8.1 transcript:Manes.13G073100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTICPIDRKCASQISVLLHPPPPPQVQEYFEELISSRQCRGIKVKQNGEFGKGVYADLDFKEGELILKDPILVGIQHPSNKIDCLVCSFCFQFIGSIELQIGRKLYLQSLGVSANEACDNEGSSKDFCDTNSADEEDDCYVKECKKLGNCASSSSSNGKVTLPEALVQSLMNGELVLPHSKTFCLPSAIACPGGCGEAYYCSKKCAEADWKSSHSLLCTGKRSEALSREALAKFIQHANDTNDIFLLAAKAISFTILRYRKLKAAHLKGQNKHTDPVELDCLELSLLLEAWKPLSIGYKRKWWECISLPDDVDCSDETAFRMEIRDLAFTSLQLLKAAIFDQECEPLFSLEIYGNIIGMFELNNLDLVVASPVEDYFLYIDALPYSEKRTAEEITQSLLDALGDGYSVCCQGTAFYPLQSCMNHSCCPNARAFKREQDKDGQATIVALRPISEGEEITISYIEEDLPFEERQALLADYGFRCRCAKCIEEETT >Manes.01G247600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40758216:40758732:1 gene:Manes.01G247600.v8.1 transcript:Manes.01G247600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRKQHKRCSEEVCSIEWEFINMSDQEEDLIYRMYKLVGDRWALIAGRIPGRKAEEIERFWIMRHGEVFASRRKEIKLSNS >Manes.06G106100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23873159:23877078:1 gene:Manes.06G106100.v8.1 transcript:Manes.06G106100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFITGSSQNTWHPAMTANTATARYWLNWRFFLCTIWVLISISIASILIWKNENFHKAKRENGENKQETGADLQDEETWRPCLKGIHPAWLLVFRVFAFFVLLVLLIVVVLVDGGSIFYYYTQWTFTLVTIYFGLGSFLSMRGCYLYHKRAGGDKVDNVEVDSEQGNCATPAPGESSNASIEKRSPNSSEQVDECQPAGKWAFFFQIVFQMNAGAVMLTDCVFWFVIVPFLAIKDYHLTALVVSMHSMNAIFLLGDTALNCMRFPWFRIAYFYIWTITYLLFQWIVHACVRLWWPYPFLDLSSPYAPLWYFSVGVMHVPCYGIFAFIIKLKHTLFSRWFPDSYQCPR >Manes.06G106100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23872555:23877078:1 gene:Manes.06G106100.v8.1 transcript:Manes.06G106100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANTATARYWLNWRFFLCTIWVLISISIASILIWKNENFHKAKRENGENKQETGADLQDEETWRPCLKGIHPAWLLVFRVFAFFVLLVLLIVVVLVDGGSIFYYYTQWTFTLVTIYFGLGSFLSMRGCYLYHKRAGGDKVDNVEVDSEQGNCATPAPGESSNASIEKRSPNSSEQVDECQPAGKWAFFFQIVFQMNAGAVMLTDCVFWFVIVPFLAIKDYHLTALVVSMHSMNAIFLLGDTALNCMRFPWFRIAYFYIWTITYLLFQWIVHACVRLWWPYPFLDLSSPYAPLWYFSVGVMHVPCYGIFAFIIKLKHTLFSRWFPDSYQCPR >Manes.04G060202.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:21007428:21009988:-1 gene:Manes.04G060202.v8.1 transcript:Manes.04G060202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCDILMLLSFSLSSVCHFVGYLLLLCRHLLLSATYECYNVSYISACVKKSSLSSDRSIGDGDAAVLLVSFISSSNFSYSCSEVQAAICAIICCFLAKKVSCLVIAAGLGVLQYCGLFTKNWSTAIWSQPWRLVLVHHLVLYFLTNMGIWKLSIIWSLIWYSVMKIQGI >Manes.18G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2245878:2250992:-1 gene:Manes.18G023400.v8.1 transcript:Manes.18G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEGAHHLELPYYCTIKVHGLICLRLKDFIDRILQIYPAIESARPRCRTGLQALCSLHNAMDKARLLILHCSESSKLYLAFQADRILQRCENLRKALDLSLGQIQNMVPTLLAAKISGIVEDLRSAKFQLESSEVEAGKAVKDLLRQDMPASDSINKAELGALEFAAVRLKITSPLAVLIEKRSIKKLHEKICDMDPKKKILEYFLYLLRKYGGQIGQCETNGGFSQHEECKTQTTEKPSPPDPPHEFKCPISMRLMYDPVIINSGKTYERVWIEKWFSEGNDTCPITHIKLDNLCSTPNVVIKGLISKWCSNHGINISAPCAQTIPASSFSKRLLSFTSVASIGSSMNDLCLQVSNVSLRSSDTNCGSDLVDDDNIANFSVGLPRTSSGICVSQSSITAHSIIRVASFSKLDSFPWESQCRAVQDIKEQLNKNEQECDLLFNKNYMKPLVKFLKDAYDSCDEKAQDDSVEVLLAILSKSSTGLPTFDKDAIYILASVLDSDITSKALKVMEVLSCQPSYKPGILASGVLPSILKVLDAQVREFHEFAMKILCNLSCSSDIAYHIVYLDCIPMLLPFLCDQSLAGYCLNIMNALCDIEEGRKAVAQTKSCIASIANLVETGSKQEQETAMEVLLALCYEHIQHCQQELGESTIKTLFNISVNGNQRGRIIASELLQILGHTTEGDVSDCSTFSAVCSMVISSDSGSGDHTKGKKSPSRGFGDLARKIARFFHRNRQL >Manes.02G142800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10958959:10962260:1 gene:Manes.02G142800.v8.1 transcript:Manes.02G142800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLNYGRLGPSEPGGSSRSLDQSQFTNSHPSPSRKRKVILLSLLSAALIIASAVSATLLIGLRTRASDHSTPVVARKPTKAISKTCSKTRFPTLCVNSLLDFPGSTTASEKDLVHISFNMTLQHFTKALYLSSGISYLQMDTRVRSAYDDCLELLDDSVDALSRSLSIVAPSMDGNPAGQVQSIGSTEDVVTWLSAALTNHDTCTEGFTDLNGAVKDQMSDKLKDLSELVSNCLAIFSGTDSDDFSGVPIQNRRRLMDAEISKENADDLPSWLGRNERRLLNVPVSAIQADIIVSNDGNGTYKTIAEAIKKAPEYSNRRTIIYVRAGRYEENNLKVGRKKRNLMFIGDGKGKTVISGSKSIFDNVTTFHTASFAATGAGFIARDMTFENWAGPAKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSNRQFFRECDIYGTVDFIFGNAAVVFQNCSIHARKPMAFQKNTITAQNRKDPNQNTGISIHACRLYATPELEAAKASFPTYLGRPWKLYSRTVYMLTYMGDHIHPRGWLEWNATFALDTLYYGEYMNYGPGGAVGQRVKWPGYRVITSTVEASKFTVAQFIYGSSWLPSTGVAFLAGLSV >Manes.15G079900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6122699:6125413:-1 gene:Manes.15G079900.v8.1 transcript:Manes.15G079900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASGISCSGSNNKSTDNSKQRAIVNPSEGIDYISNLPEAILLCILSLLPLKEWIKVSLLSKRWKYLWTQISNLNLDEVEMITNITKKDISCPLCGKFPSPGSSCPCLDNSICAAQRKFADFVDRMLLLHSGDTINNLRLSFLYDHQDGYTKRIDTWVRYALRSNIKELELNFSDREYFKFLGKKRLAVRWANPHQPYELPHGFFKPKILETFVLTFCKFRASSFNALSSLLRLHLKQLEVLDGSIEQITSRCPVLEDLILEYCLIPDGSFVSEVDIMIKRLSMIHCASKEMLRVDISTPNLLMLTIVGKYLRSASIRKATKLIDAQISISAISAHKADGHALNSLLNGLNHCQSLTLSTHCIRVIPTESTLLQRLHIPLQKLTRLRLIMGRSKRELPRISCLLMSCPILESLTLVLYGPTAIFRSDLPNRTIYNIDEENWESQIRQFPCLKTSLKEITVTELMGRRNEVQLIIFFLKYAEVLETVTFSICGPNKYLPYSPNELRSFKENLNQMFDFIRASTRAEIKIDLEFYPNDL >Manes.06G013600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:2252037:2254442:-1 gene:Manes.06G013600.v8.1 transcript:Manes.06G013600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEISRSEKKKHKKKNTQGMEKPDAKPKGSDDAAGDFMIKPQSFTPAMDTSQWPILLKNYDRLNVRTGHYTPIPSGYSPLKRPLAEYIRYGVMNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHDKVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDPDRHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGILGERDNMVTMHDVMDAQWVYDNYRDETYLRRVIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIADGKLDKHGKPNENTPQEWMRNLVLPTGGDSLVAGLAAANETSKEIHAVKEDKEEVEEKEEKKKKKEKKSKDVEDGEGRKRKLDESTDSPTAHVPAKRVRVEEVEKEETVEAATIDDGRTNGEADRSEKKEKKKKKKKDRDVEE >Manes.03G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22223239:22223679:-1 gene:Manes.03G099500.v8.1 transcript:Manes.03G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTHFNFPSSILFILLLLSLSHLTPLSCLPLMRTKLQDAELLKVSRSSSDAQIMSFRKELHEVHSGPNPIGNSIPQQKWAKRSPKSP >Manes.14G088700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:7338425:7342717:1 gene:Manes.14G088700.v8.1 transcript:Manes.14G088700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRAADNRRPVRRRLSQWIWALLGMFLIAGLVLFMFQHHHHEDQVTQPIQENHARPEPVNHKGLNFTKEISSATSFVRQLAEQMTLAKAYVIIAKEHNNLHLAWELSNMIRSCQLLLSKSAMRGESITQEEAEPIISSLSYLIFKAQDARYDVATTIITMKSHIQALEERANAAIVQSTVFGQLAAEALPKNLHCLKVKLTTDWLEKLPLQDLAEEKRNSPRLVDNNLYHFCIFSDNVLATFVVVNSTISNADHPKQLVFHIVTNGISYGAMQAWFLSNDFKGATIKVQNVEEFSWLNASYAPVVKQLRDEESRYNQDVKGEPKLWNHKDLSLLNHLRFYIPEIYPLLEKIVFLDDDVAVQKDLTQLFSLDLHGNVNGAVETCLVAFHRYYKYLNFSNPIISSKFDPQACGWAFGMNVFDLVAWRKANVTAQYHYWQKQNGDGTLWKLGTLPPALLAFYGLTEPLDRRWHVLGLGYDANIDSRLIESAAVIHFNGNMKPWLKLAIGRYKPLWERYMNQTHPYYQDCATS >Manes.14G088700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:7338425:7342717:1 gene:Manes.14G088700.v8.1 transcript:Manes.14G088700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTLPIFSQENHARPEPVNHKGLNFTKEISSATSFVRQLAEQMTLAKAYVIIAKEHNNLHLAWELSNMIRSCQLLLSKSAMRGESITQEEAEPIISSLSYLIFKAQDARYDVATTIITMKSHIQALEERANAAIVQSTVFGQLAAEALPKNLHCLKVKLTTDWLEKLPLQDLAEEKRNSPRLVDNNLYHFCIFSDNVLATFVVVNSTISNADHPKQLVFHIVTNGISYGAMQAWFLSNDFKGATIKVQNVEEFSWLNASYAPVVKQLRDEESRYNQDVKGEPKLWNHKDLSLLNHLRFYIPEIYPLLEKIVFLDDDVAVQKDLTQLFSLDLHGNVNGAVETCLVAFHRYYKYLNFSNPIISSKFDPQACGWAFGMNVFDLVAWRKANVTAQYHYWQKQNGDGTLWKLGTLPPALLAFYGLTEPLDRRWHVLGLGYDANIDSRLIESAAVIHFNGNMKPWLKLAIGRYKPLWERYMNQTHPYYQDCATS >Manes.18G118500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12348112:12353055:-1 gene:Manes.18G118500.v8.1 transcript:Manes.18G118500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAENRAASASALSCSSPTSISSSSSSSAYSSSSSSSSSEWRYDVFLSFRGLDTRDNFSDHLYSALKERGVKVFRDDKQLGKGKIITQAIFRAIEESKLSIVVFSRNYASSEWCLDEIVKIVECVNENSHVAFPVFYHVSPDDVMNQTGHYEDAFLRHQKLFKKERLQRWRIALIEIAGISGWDVQNYRSESKLLKSIVKKISRNLRCTSPRTGKQLVPLSSQIKKINLYLYEGLDKTDIVRICEMDGMSSTTIGRVVYDVVINQKWGLFRFQELVSEMPRECRRNIWDADRVTNVIRNKLQHKKVLVLIDDMDKLELVASLVRKHGWFIPGSRIVISARILNKTSLKVLDISFGQPKDRGNVLNVIFNEAEKANNGMFLDVACFLTEMDDDHVTRTVQSLFSDHLQAKSGMKVLINKSLIDISVNEHILPLQDLSDKCFTQKNLEEPRSHKKFLDMVGIYRMEGVDGESSQSNLKTENESMKGRLNNDKSWSQNQNLVGNAVSGKDTSSLHILAREPVKVREYPACVAPLELKSSGISEASCAVVGKYNAAKLQSKLRFQEVINQHQLQFFMPQSSNGKKIVRIPRAVVEEGIKQWDACLVGQLLGKSADISVMLSLANGLWGKEGRIEVTKTENDLYIFKFPNERTRDFVLESGPWYVANNPLVMRRWQPRMKLLELNKSRIPVWIKLTGIPMEYMTMQGLSYIASALGKPLNVDRATASVSAIAKVCVEVSIEDKMMENITVMDDDGEELVVRVEYLWMPEKCISCREFGHSIRTCLRFKNLIGKTD >Manes.01G266732.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:40381212:40383364:1 gene:Manes.01G266732.v8.1 transcript:Manes.01G266732.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILCNPFFLLFVIVGLLFLLRVLLISTGLIYTTKKWWKSIEDRFHVYQLFKVPEFNESMQENQLYCKVSVYLNTLASMEDSDFTNLFTGKKSNEIILLLDSGQVIDDDFLGASVSWINEVKTDASRSRAFVLKIRKADKRRILRPYLQHIHTVFYEFEQKKQRELKLYMNTDRDQNQNPRWRFVPFNHPSTFETIAMQSDLKNKLKSDLESFLKAKQYYHRLGRVWKRSYLLYGSSGTGKSSFIAAMANFLGYDVYDIDLSRVLDDSDLKLLLLQTIRKSVIVVENLDRFLLDKSTAVSFSGVLNFMDGILNSCCADERIMVFTVNSKDHIDPAILRPGRIDVHIHFPLCDFSAFKTLSNSYLGVKDHKLFPQVEEIFQSGASLSPAEISELMIANRNSPSRALKSVITALQTEGERRGSVNVGRRLLDTGSRNSTDDSSEQLDIFSKEKFNAVKDITKLYKLLRVRSKGKAQSHD >Manes.15G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9486607:9487659:1 gene:Manes.15G118900.v8.1 transcript:Manes.15G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAVTIKRYRAVKEVGKIKMIVGITAYYQVMQVCQAEYFRQLLKPVT >Manes.09G096366.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:29107404:29108868:-1 gene:Manes.09G096366.v8.1 transcript:Manes.09G096366.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIIFLLFINLSLIQAQPAAFDITKFGATSDGKADASKAIMDAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPDLGGDAWFSFSHINQFTLSGKGTLDGQGEVAWKGESCDKDPKCKKNPMNIRFNYLNQSLVRDITSLNSKRFHVTVLGCDNFTFEGFTVTAPEDSDNTNGIHIGRSKGVTISNTKIGTGGDCISIGDGAQNLKVTKVTCGPGHGISIGSLGKYENEEPVSGINVADCTLKSTTNGVRIKTWPALFPNSASDIHFQDINMENVSNPIIIDQVYCPWDKCNKKEPSKVKISDVSFKNIKGTSATALAVQLICSSGIPCEKVELTDIDLTYSGPQGPAKSECIDVKPIITGKMIPEGCK >Manes.12G118000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31929459:31934016:1 gene:Manes.12G118000.v8.1 transcript:Manes.12G118000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSLHLPPTPQLNPSLSFLYKTTSPAQSPPLSFPLATSPPLFASVLTSRKRRQSSILLEATKVTQKPVFFSAASGTRSFHHINMAFVIDKFILGTFFASISVFFLLCIFRRNHQNLKNEQKFKEKAAFKTQNDNVQRPEDGSDPDVIIVGAGVAGTALACTLGKDGRRVQVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGKNTRLSYPLENFHSDIAGRSFHNGRFIQRMREKAATIPNVKLVQGTVTSLLEENGTIKGVQYKTKDGQEHRAYAPLTVVCDGCFSNLRRSLCNPKVAVPSCFVGLILENCQLPFANHGHVILADPSPILFYPISSTEVRCLVDVPGQKLPSIANGEMAKYLKDVVAPQIPPQLHDAFISAIDKGNIRTMPNRSMPADPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLHPLCDLNDADSLAKYLESFYTLRKPVASTINTLAGALYKVFSASPDEARKEMREACFDYLSLGGIFSSGPVALLSGLNPRPLSLVLHFFAVAIYGVGRLLLPFPSPKAIWIGARIISSASGIIFPIIRAEGVRQMFFPATVPAIYRHPPVDDKSDDVQKSR >Manes.16G007800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:768362:773614:1 gene:Manes.16G007800.v8.1 transcript:Manes.16G007800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGLSLKFSASMVLLQVFLLLLSLNGYQYVRGSTLMDRRLDDFLPEISPNAGPQPFLPILAPSPLQPFTNSSIPKLSGLCMLNFTAAESLMSMTSIDCWAFFAPLLANVICCPQLQAMLAILVGQSSKETNVLALNGTISKYCLSDIEQILVGQGAANNVKRICSIHPSNLTEGSCPVKDVNEFESTVDSSKLLAACEKVDPVKECCDQVCQNAISEAATRIALKTSEILSMEGTHGLPQHSTRVNDCKHIVLRWLASKLDPSHAKEVLRILSNCNVNKVCPLVFPEMSHVAKGCGNGISNTTGCCSAMDSYVSHLQKQSFITNLQALDCATTLGRKLQKSNITRDVYSLCHISLKDFSLQVAKEESGCLLPSLPSDATLDKSSGISFICDLNDNIPAPWPSSSQSSASSCNKTVKIPALPAAASAQSGLYSEDLVFYVTFAVTIVIMMLL >Manes.12G128950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33615061:33616096:-1 gene:Manes.12G128950.v8.1 transcript:Manes.12G128950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIISISGCGTCCKRFHMVCLFRKQLEGILENLKEKPDVGTLLLALQRTLEFEDELAEKFGGRSTSREIGNEIEEIGRDSNSQTVSDIRKKYEKKLAANQGEPEVCILVFLTE >Manes.07G009200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1103322:1106852:-1 gene:Manes.07G009200.v8.1 transcript:Manes.07G009200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKVVCVTGGSGYIASWLIKFLLQRAYTVKATVRNPNDLKKTAHLLALEGAKERLHLLKADLLEEGSFDAAVDGCEAVFHTASPVSLQANADPQAELVDPAVKGTLNVLRSCAKVPSIKRVIITSSFASLPYNGKTLAPDVVVDETWFSDPAVCAEQKLWYQPGKTLAEQAAWEFAKNKKMDFVTIHPVFVLGPLLQPTINSSIEILFNLINGGAQEYPDAYYRSIDVRDVAYAHIQALEIPSASGRYCLIESDFHFSEVMKIVQQHYPTLHLPKNCRCDSGLNYLTKYGVSKEKAKTLGIDFIPLEVSLKDTIESLKEKGFLSI >Manes.17G046300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:24307533:24308942:1 gene:Manes.17G046300.v8.1 transcript:Manes.17G046300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINTFRVFRQKQIMPISRIRIPFSPQNFAGDGRELPFHDRPSATFSDMVFGFLEDSEEPLQASPSNEECRQTEALDEEDEDRSENGSAEEEKHFWEKQNQLLQATLCRTSSLESRIRNVTKEAIKEIQMAGTICGCGRLMVGGCRNCLMTEISGRLQNAGYNSAICKSKWRSSSDIPSGEHTFLDVMDNSNSRKGEVRVIIETTFRAEFEMAKASEEYNRLVRRLPEVFVGKSERLNTVIKILCSAAKKCMKEKKMHLGPWRKQRYMQAKWLATCERTTVMPAFSTGRRSDRQPKPTASMLTVDLLEMLPNLHCTAVAVV >Manes.02G220870.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:22217574:22218441:-1 gene:Manes.02G220870.v8.1 transcript:Manes.02G220870.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPFSFAISRMAIPWRETIVLLPSKAVDFFSLLSRWILEFLEDYFLHAPEKSG >Manes.01G001800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1566687:1573017:-1 gene:Manes.01G001800.v8.1 transcript:Manes.01G001800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMHIIDCSTPSSPADKNKNSCRSPHALARNRSRRWRHSRTGTAAVVVAALLVSTTAWLSLVFSGTTVRCWHRLRKWEGSPRTLSGKRLPSITDSFDHRLPIVTPTAQVFSRPHLFQSGRNRSLSEEKHRGNDLSLKHIMFGIAGSSQLWNRRKEFVRLWWRPNDMRGYVWLEEPVAKQDWDDSLPSIMISEDTSRFRYTNPTGHPSGLRISRIISESFRLVSPDVRWFVLGDDDTIFNADNLVAVLSKYDSSEMIYIGAPSESHSANTYFSHSMAYGGGGIAISYPLAEALSNILDDCLERYHILYGSDDRLHACISELGIPLSREQGFHQWDIRGNAHGLLSSHPIAPFVSIHHVEAVDPFYPGMSSLDSLKLFTRAMHADPLSFLQRSICYDHEYHLTFSVSLGYVIQVFPNTVLPRILERSESTYSAWNGISLRNEFDLDTRDPHRSICKRPVLFFLKDIAREGNNTLGSYTRARMKDDLKRKVFCFPRSPPLPYVENIQVLGYPTAKNWHLVPRRLCCKLNRSSDELLTISVGQCGMESLGSFIDSI >Manes.01G001800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1569631:1572834:-1 gene:Manes.01G001800.v8.1 transcript:Manes.01G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMHIIDCSTPSSPADKNKNSCRSPHALARNRSRRWRHSRTGTAAVVVAALLVSTTAWLSLVFSGTTVRCWHRLRKWEGSPRTLSGKRLPSITDSFDHRLPIVTPTAQVFSRPHLFQSGRNRSLSEEKHRGNDLSLKHIMFGIAGSSQLWNRRKEFVRLWWRPNDMRGYVWLEEPVAKQDWDDSLPSIMISEDTSRFRYTNPTGHPSGLRISRIISESFRLVSPDVRWFVLGDDDTIFNADNLVAVLSKYDSSEMIYIGAPSESHSANTYFSHSMAYGGGGIAISYPLAEALSNILDDCLERYHILYGSDDRLHACISELGIPLSREQGFHQWDIRGNAHGLLSSHPIAPFVSIHHVEAVDPFYPGMSSLDSLKLFTRAMHADPLSFLQRSICYDHEYHLTFSVSLGYVIQVFPNTVLPRILERSESTYSAWNGISLRNEFDLDTRDPHRSICKRPVLFFLKDIAREGNNTLGSYTRARMKDDLKRKVFCFPRSPPLPYVENIQVLGYPTAKNWHLVPRRLCCKLNRSSDELLTISVGQCGMESLGSFIDSI >Manes.02G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:431570:439366:-1 gene:Manes.02G003200.v8.1 transcript:Manes.02G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVPYQGMSMEEAEENKEIENEKLEEPHDEAEPWTKQITVRGVLVSAVIGAMYSVIAMKLNLTTGLVPNLNVSAALLAFVFIRTWIKMLHKAGFGAKPFTRQENTMIQTCAVACYSIAVGGGLATYLLGLSRKTYELSGENTEGNSPRAIKEPGFGWMTSFLFLVCFVGLFVLIPLRKIMIIDLKLTYPSGLATAVLINGFHTRGDKMAKKQVRGFMKYFSISFLWAFFKWFFAGKGACGFGQFPTFGLQAWKHTFFFDFSATFVGTGMIVSHLVNLSLILGALLSYGIMWPLINKLKGDWFPASSGEADMNGLDGYKVFISIALILGDGLYNFVKIISFTIINIHGRLKSSSNLSTEALDEQRKSNDDLKQKELFVREKIPMWIGVAGYVFFSIISIIAVPIVFPQLKWYYVVVAYFLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAALSGKENGIVAALAGCGLIKSVVSVACTLMQDFKTAQLTCTSPRAMFMSQVIGTAVGCVMAPLSFFVFYKAFDVGNPKEEFKAPYALIYRNMAIVGVQGFSALPHHCLHLCYGFFGFAVAANLVRDLSPQKLGPWIPLPMVMALPFLIGAYFAIDMCVGSLIVFAWHKINHPKAELMIPSVASGLICGEGLWTLPSAILALAKINPPICMKFVPS >Manes.16G074900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:27768432:27770571:1 gene:Manes.16G074900.v8.1 transcript:Manes.16G074900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEFYTQSGICSQFANEKHHSLDSKPSGGGGGGDHFIVEDLLDFSNEDAVITDGSAFDTVTGNSTDSSTVTVVDSCNSSSFSGCEPCFKGDIGSRNFADVQFSSDLCVPYDDLAELEWLSNFVEESFSSEDLQKLQLISGMKARPDESSETRNFQPADCNGVNTNNSNNAAAPNNNNPIFHPEVSVPAKARSKRSRAAPCNWASRLLVLSPTTSSSDPEIVASPANHPNSGKKTVKAPGTKRREGADGGTGNGDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKELLRAQQQQQQQFLHHHQNMVFDVSNGDDYLIHQHVGPDFRQLI >Manes.01G200900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37426350:37429645:-1 gene:Manes.01G200900.v8.1 transcript:Manes.01G200900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYITSWDEFVERSVQLFRADPDSTRYVMKYRHCDGKLVLKVTDNKECLKFKTDQAQDAKKMEKLNNIFFTLMSRGPDADVSEVTGKEQTEAQPGKKGRGRKQ >Manes.01G200900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37426560:37429645:-1 gene:Manes.01G200900.v8.1 transcript:Manes.01G200900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYITSWDEFVERSVQLFRADPDSTRYVMKYRHCDGKLVLKVTDNKECLKFKTDQAQDAKKMEKLNNIFFTLMSRGPDGMVKF >Manes.02G119600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9139889:9142915:1 gene:Manes.02G119600.v8.1 transcript:Manes.02G119600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWKQGGKILGRSCFNHIRKRHLGSFKFQPQVSFCLCKLLGGIFLGYIYIRRLREAVVAAMDYSHSQEVSDLENGDFLLKPCSKEILNGRTLQNVSSSIMRKKSDPVLVSSVRFQMLRHFLANLQEVILGTKLAVLFPAIPLAIAADFYKFGRPWLFAFSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATEMIIALFALYQNKIHVLKYSLLGSILSNLLLVLGTSLLCGGLANLKKEQRYDRKQADVNSLLLLLGLLCHILPLMFRYAIGESPVTFHYTLELSRASSIVMLLAYVAYIIFQLKTHRQLFESQEGREEEEEEGDAVIGFSSACSWLIGMTMIIALLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSATQISMFVVPLCVVVGWTMHVHMDLDFSLLETGSLAFTIIITAFTLQDGTSHYMKGVVLFLCYIVIAACFWVHKIPQNQITVGKAFNGVFSA >Manes.01G167200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35040633:35041842:1 gene:Manes.01G167200.v8.1 transcript:Manes.01G167200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGNHDMRGMGPTMNNTNTTGGMMMHTHHRMMMHMTFFWGNNAEILFDGWPGTRPGMYVLALIFVFCLAFIGEWLSHCQLMKPGSTHIASGLIQTILHAVRIGLAYLVMLAVMSFNGGVFLVAVAGHTLGFLFFGSRVFKKSPPSDKTSDAPLMSC >Manes.06G108400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24040600:24044811:1 gene:Manes.06G108400.v8.1 transcript:Manes.06G108400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSDQHSTKFSGEKAGSFSNAELISRIDQKLKEHADVLLHSVECLSARLSQMESRTRQVENNFDDLKESIEFNHGRTDRKLKELENILIEVHGGIKDLRDKQEIAETQLQLAKLRVSKDDAQLEKQNNDVQSSLGTEALSSVSQQSNQQLPVPVACPQQVLSLSSNIKNLPAEHLPLTKPAATAPKYLTPSASQLPTITATAPQLPSQLLTNFVPSVPQQESHYTLPVCSPGTIHQKYVLPTQQSQPPYIHQPCLPPSHLPPNSHFPQLPHVQSPFSAVNPQVQSHHPEVSFVPSQGIHKSSQPHDWPLPPQLLNVGSTDLPSNLRYSERTSANTPPGGYTNIVDFYHYGAPTHSGSAMKPVQPSPSPPASTGETNFSKLPTARILPRAIPTASSVDSESSSGEGGNRATVDNVVDKVVAMGFRRDLVRATVKKLTENGQSVDLNTVLDKLMNSG >Manes.06G108400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24040600:24044811:1 gene:Manes.06G108400.v8.1 transcript:Manes.06G108400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKYMDKQITDLSKTPIRTFLDDEDDDEEEEDHLDQGFDFYPIRSVHSHAKIPDGIHLSGWSSDQHSTKFSGEKAGSFSNAELISRIDQKLKEHADVLLHSVECLSARLSQMESRTRQVENNFDDLKESIEFNHGRTDRKLKELENILIEVHGGIKDLRDKQEIAETQLQLAKLRVSKDDAQLEKQNNDVQSSLGTEALSSVSQQSNQQLPVPVACPQQVLSLSSNIKNLPAEHLPLTKPAATAPKYLTPSASQLPTITATAPQLPSQLLTNFVPSVPQQESHYTLPVCSPGTIHQKYVLPTQQSQPPYIHQPCLPPSHLPPNSHFPQLPHVQSPFSAVNPQVQSHHPEVSFVPSQGIHKSSQPHDWPLPPQLLNVGSTDLPSNLRYSERTSANTPPGGYTNIVDFYHYGAPTHSGSAMKPVQPSPSPPASTGETNFSKLPTARILPRAIPTASSVDSESSSGEGGNRATVDNVVDKVVAMGFRRDLVRATVKKLTENGQSVDLNTVLDKLMNSG >Manes.01G029200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5880746:5883693:1 gene:Manes.01G029200.v8.1 transcript:Manes.01G029200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNQKKKLFICKFCNKRYPCGKSLGGHIRIHLNRNGNSTDVEEDDVKLKLNTSKSIAANGSNSKRDSELEAGAQSGYVLRENPKKTRRFMVDSSNKRFLQEKVCKECGKGFQSLKALCGHMACHSKNSLEDHSETTEKLKDQLIDSQSDTDTSTPSKQRRCIKMRYNTTGVYSSSMASGSLSSASDIEQEQEEVAMCLMMLSKDSGFKGCFSSIADSSDNNSVILETKSSPPKMKIIVKNGNGIMEMKKAKQQEMMPVENDHSENSDYGYFRNGLKRFESDISVHGNNEIKKHKMDYGSRFEEGFDPELGKRLSRFRRIKMESSKALVDEDRYDEADRVSMKYDSRNKSKNDSYNPELLRSNASKTASNKMINTCSKKNPIEKNLSGNSEKKLGFRKGKVHECPFCFKVFRSGQALGGHKRSHFIGGAEDRTVVINQEVPEISMAGLIDLNLPAPIEEAANGYYIPTWFKDVLQR >Manes.08G013000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1432376:1434885:1 gene:Manes.08G013000.v8.1 transcript:Manes.08G013000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRDGLIDEEDNEEENALFEEDGFDVLDSDTPPHLRELALAAQVGDVDALRRALDNLNGNIDELVEDGDTALHLVCLYGYLPCVQLLLERGANLEAKDEDGAIPLHDACAGGFTEIVQLLLNSANSAECVRRMLETVDAEGDTPLHHAARGEHADVIRLLLASGASVTKANAYGKKPSELPDPDTEARRILEFAASAVSSQ >Manes.02G212060.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27252687:27255808:-1 gene:Manes.02G212060.v8.1 transcript:Manes.02G212060.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLNIFAYCFRNFYLLAFYLHGRAILAWQASPDYKGVCHIALAQEGHCRPGEVLLGTDSHTCTAGAFGQFTTGIGITDAGFVLGTGKLLLKVPPTLRFAMDGEMADYLLAKDLILQIKSKMCAIKLRTLWLLLKFF >Manes.10G003500.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:381876:385039:1 gene:Manes.10G003500.v8.1 transcript:Manes.10G003500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGANLVLKMTEQDVASLVKKAEMCKQTRPDLIDEIEEFYCLYRSLAARYDHLNVELYKSIPSEIQMQGAGNGPDTPMLTPDEKLGSLKSGRVASVSSGGSDPSSSSSDSESESFNSSGNAYYSLPVSTDHKGLHQKIELGTAIPKKLKMDIEENGDGMLNAEENESYEELCSKVIKHEEELRVLKLKLQLSEEEFTELKNELAKSEHFMILAETLQAQLESADKDVKMREANLEAERRRIMALQKQTADDQHELQGLLKLAQEEKMMLKAKLDSESNQVLDLQERIVQCTNDLSYRDNEIKALKLAMLNAEENLLVEKSHLQSKISSVSEKADLLEVRLRELELQGKSMEDKLRQCQTEKMELQLLQDTQRVGFQAEISQLKEELSDRSGHVEILNKNLDNLKFKCDMLTAEKDGMNAKVNTLTAELSSRDIQIEQMKEQIWRMHVENLELIAGSESLQKLVHELRSRVAELEKEVDKQRGELRAGAEEKREAIRQLCISLDHYRSGYKELREAFLQKKRHAVMAS >Manes.10G003500.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:381926:384983:1 gene:Manes.10G003500.v8.1 transcript:Manes.10G003500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGANLVLKMTEQDVASLVKKAEMCKQTRPDLIDEIEEFYCLYRSLAARYDHLNVELYKSIPSEIQMQGAGNGPDTPMLTPDEKLGSLKSGRVASVSSGGSDPSSSSSDSESESFNSSGNAYYSLPVSTDHKGLHQKIELGTAIPKKLKMDIEENGDGMLNAEENESYEELCSKVIKHEEELRVLKLKLQLSEEEFTELKNELAKSEHFMILAETLQAQLESADKDVKMREANLEAERRRIMALQKQTADDQHELQGLLKLAQEEKMMLKAKLDSESNQVLDLQERIVQCTNDLSYRDNEIKALKLAMLNAEENLLVEKSHLQSKISSVSEKADLLEVRLRELELQGKSMEDKLRQCQTEKMELQLLQDTQRVGFQAEISQLKEELSDRSGHVEILNKNLDNLKFKCDMLTAEKDGMNAKVNTLTAELSSRDIQIEQMKEQIWRMHVENLELIAGSESLQKLVHELRSRVAELEKEVDKQRGELRAGAEEKREAIRQLCISLDHYRSGYKELREAFLQKKRHAVMAS >Manes.10G003500.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:381956:384983:1 gene:Manes.10G003500.v8.1 transcript:Manes.10G003500.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGANLVLKMTEQDVASLVKKAEMCKQTRPDLIDEIEEFYCLYRSLAARYDHLNVELYKSIPSEIQMQGAGNGPDTPMLTPDEKLGSLKSGRVASVSSGGSDPSSSSSDSESESFNSSGNAYYSLPVSTDHKGLHQKIELGTAIPKKLKMDIEENGDGMLNAEENESYEELCSKVIKHEEELRVLKLKLQLSEEEFTELKNELAKSEHFMILAETLQAQLESADKDVKMREANLEAERRRIMALQKQTADDQHELQGLLKLAQEEKMMLKAKLDSESNQVLDLQERIVQCTNDLSYRDNEIKALKLAMLNAEENLLVEKSHLQSKISSVSEKADLLEDTQRVGFQAEISQLKEELSDRSGHVEILNKNLDNLKFKCDMLTAEKDGMNAKVNTLTAELSSRDIQIEQMKEQIWRMHVENLELIAGSESLQKLVHELRSRVAELEKEVDKQRGELRAGAEEKREAIRQLCISLDHYRSGYKELREAFLQKKRHAVMAS >Manes.10G003500.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:381908:385060:1 gene:Manes.10G003500.v8.1 transcript:Manes.10G003500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGANLVLKMTEQDVASLVKKAEMCKQTRPDLIDEIEEFYCLYRSLAARYDHLNVELYKSIPSEIQMQGAGNGPDTPMLTPDEKLGSLKSGRVASVSSGGSDPSSSSSDSESESFNSSGNAYYSLPVSTDHKGLHQKIELGTAIPKKLKMDIEENGDGMLNAEENESYEELCSKVIKHEEELRVLKLKLQLSEEEFTELKNELAKSEHFMILAETLQAQLESADKDVKMREANLEAERRRIMALQKQTADDQHELQGLLKLAQEEKMMLKAKLDSESNQVLDLQERIVQCTNDLSYRDNEIKALKLAMLNAEENLLVEKSHLQSKISSVSEKADLLEVRLRELELQGKSMEDKLRQCQTEKMELQLLQDTQRVGFQAEISQLKEELSDRSGHVEILNKNLDNLKFKCDMLTAEKDGMNAKVNTLTAELSSRDIQIEQMKEQIWRMHVENLELIAGSESLQKLVHELRSRVAELEKEVDKQRGELRAGAEEKREAIRQLCISLDHYRSGYKELREAFLQKKRHAVMAS >Manes.10G003500.11.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:381873:384988:1 gene:Manes.10G003500.v8.1 transcript:Manes.10G003500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGANLVLKMTEQDVASLVKKAEMCKQTRPDLIDEIEEFYCLYRSLAARYDHLNVELYKSIPSEIQMQGAGNGPDTPMLTPDEKLGSLKSGRVASVSSGGSDPSSSSSDSESESFNSSGNAYYSLPVSTDHKGLHQKIELGTAIPKKLKMDIEENGDGMLNAEENESYEELCSKVIKHEEELRVLKLKLQLSEEEFTELKNELAKSEHFMILAETLQAQLESADKDVKMREANLEAERRRIMALQKQTADDQHELQGLLKLAQEEKMMLKAKLDSESNQVLDLQERIVQCTNDLSYRDNEIKALKLAMLNAEENLLVEKSHLQSKISSVSEKADLLEVRLRELELQGKSMEDKLRQCQTEKMELQLLQDTQRVGFQAEISQLKEELSDRSGHVEILNKNLDNLKFKCDMLTAEKDGMNAKVNTLTAELSSRDIQIEQMKEQIWRMHVENLELIAGSESLQKLVHELRSRVAELEKEVDKQRGELRAGAEEKREAIRQLCISLDHYRSGYKELREAFLQKKRHAVMAS >Manes.10G003500.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:381848:385187:1 gene:Manes.10G003500.v8.1 transcript:Manes.10G003500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGANLVLKMTEQDVASLVKKAEMCKQTRPDLIDEIEEFYCLYRSLAARYDHLNVELYKSIPSEIQMQGAGNGPDTPMLTPDEKLGSLKSGRVASVSSGGSDPSSSSSDSESESFNSSGNAYYSLPVSTDHKGLHQKIELGTAIPKKLKMDIEENGDGMLNAEENESYEELCSKVIKHEEELRVLKLKLQLSEEEFTELKNELAKSEHFMILAETLQAQLESADKDVKMREANLEAERRRIMALQKQTADDQHELQGLLKLAQEEKMMLKAKLDSESNQVLDLQERIVQCTNDLSYRDNEIKALKLAMLNAEENLLVEKSHLQSKISSVSEKADLLEVRLRELELQGKSMEDKLRQCQTEKMELQLLQDTQRVGFQAEISQLKEELSDRSGHVEILNKNLDNLKFKCDMLTAEKDGMNAKVNTLTAELSSRDIQIEQMKEQIWRMHVENLELIAGSESLQKLVHELRSRVAELEKEVDKQRGELRAGAEEKREAIRQLCISLDHYRSGYKELREAFLQKKRHAVMAS >Manes.10G003500.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:381908:384983:1 gene:Manes.10G003500.v8.1 transcript:Manes.10G003500.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGANLVLKMTEQDVASLVKKAEMCKQTRPDLIDEIEEFYCLYRSLAARYDHLNVELYKSIPSEIQMQGAGNGPDTPMLTPDEKLGSLKSGRVASVSSGGSDPSSSSSDSESESFNSSGNAYYSLPVSTDHKGLHQKIELGTAIPKKLKMDIEENGDGMLNAEENESYEELCSKVIKHEEELRVLKLKLQLSEEEFTELKNELAKSEHFMILAETLQAQLESADKDVKMREANLEAERRRIMALQKQTADDQHELQGLLKLAQEEKMMLKAKLDSESNQVLDLQERIVQCTNDLSYRDNEIKALKLAMLNAEENLLVEKSHLQSKISSVSEKADLLEDTQRVGFQAEISQLKEELSDRSGHVEILNKNLDNLKFKCDMLTAEKDGMNAKVNTLTAELSSRDIQIEQMKEQIWRMHVENLELIAGSESLQKLVHELRSRVAELEKEVDKQRGELRAGAEEKREAIRQLCISLDHYRSGYKELREAFLQKKRHAVMAS >Manes.10G003500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:381910:384983:1 gene:Manes.10G003500.v8.1 transcript:Manes.10G003500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGANLVLKMTEQDVASLVKKAEMCKQTRPDLIDEIEEFYCLYRSLAARYDHLNVELYKSIPSEIQMQGAGNGPDTPMLTPDEKLGSLKSGRVASVSSGGSDPSSSSSDSESESFNSSGNAYYSLPVSTDHKGLHQKIELGTAIPKKLKMDIEENGDGMLNAEENESYEELCSKVIKHEEELRVLKLKLQLSEEEFTELKNELAKSEHFMILAETLQAQLESADKDVKMREANLEAERRRIMALQKQTADDQHELQGLLKLAQEEKMMLKAKLDSESNQVLDLQERIVQCTNDLSYRDNEIKALKLAMLNAEENLLVEKSHLQSKISSVSEKADLLEDTQRVGFQAEISQLKEELSDRSGHVEILNKNLDNLKFKCDMLTAEKDGMNAKVNTLTAELSSRDIQIEQMKEQIWRMHVENLELIAGSESLQKLVHELRSRVAELEKEVDKQRGELRAGAEEKREAIRQLCISLDHYRSGYKELREAFLQKKRHAVMAS >Manes.16G027100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2922219:2930736:-1 gene:Manes.16G027100.v8.1 transcript:Manes.16G027100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDQEYDQPMNEEEEDDEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKICFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGIHRNPDMLVKTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKKDIHALQQRESSEEGGWHDLVAKGFIEYIDTEEEETTMISMTIHDLVQARLNPEEAYADTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEDAQGQSARYTRRDHSISLRHSETGIVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISRALHKCGYQMRGFETMYNGHTGRRLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTKEEKPSKDKKKKGA >Manes.11G071600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10381905:10384171:-1 gene:Manes.11G071600.v8.1 transcript:Manes.11G071600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANENVSDLDFVDEFYFSALLDNEEQHEVFPVSDAKYAEELQFQEALMGCVIISQMEKNNPSSVLMIEAAPEPNNLEAGQSSCSFCDICVERKERNQMFTTDSCVHSYCSDCISKHVATKIQDSITIVTCPGVDCKAVLELETCRVKLNKGVIDLWEEALCEELFSGSQRFYCPFKDCSAMLMVDNGGEAIREAECPFCHRLFCARCYVPWHSGVVCEEYQRLNEHERGREDLMVMELARDNKWTRCPRCKFFVERTAGCPHMTCRCSFQFCYGCGVEWTPSHGGCQRN >Manes.11G071600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:10381905:10383763:-1 gene:Manes.11G071600.v8.1 transcript:Manes.11G071600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNNPSSVLMIEAAPEPNNLEAGQSSCSFCDICVERKERNQMFTTDSCVHSYCSDCISKHVATKIQDSITIVTCPGVDCKAVLELETCRVKLNKGVIDLWEEALCEELFSGSQRFYCPFKDCSAMLMVDNGGEAIREAECPFCHRLFCARCYVPWHSGVVCEEYQRLNEHERGREDLMVMELARDNKWTRCPRCKFFVERTAGCPHMTCRCSFQFCYGCGVEWTPSHGGCQRN >Manes.10G025601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:2563449:2565042:1 gene:Manes.10G025601.v8.1 transcript:Manes.10G025601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEYATTTHPPTQSPVPQPPTHLRKPARVSSLHPSRLQSRSHGHPLTQWDIPFRTADFQKMNKRFTLSLIVVLSLVLLFGEAKTIDPYKINSIDSILLFF >Manes.15G069600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5298819:5304288:1 gene:Manes.15G069600.v8.1 transcript:Manes.15G069600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATAGTSPEKQRKTIGNQTAEGKYRKPSSSQVTKTSKSEPVTPRKPPQNAQVASKQVSVATTEEHKSLVFHKSDNVNSLADKLSSGLSFVDPKQGTISVGPEVSNARDSPGSTADQESKSLRNETDPSSAKVSDGSLAKTSGSAKVSERADFVESGKSSVNRGSTSSDVSDESTCSSLSSSVNKPHKANDIRWEAIQAVRAKDGVLGVNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSERAVKFYVAEVLLSLEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSAPEGEHLRKNPAYCVQPACIEPSCIQPSCVVPTTCFSPRLFLSKSRKERKPKNEMGNQVTPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPPEIEQIPAPPSTSGKGAAAAVPPEQHNYLEFDFF >Manes.15G069600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5298821:5303980:1 gene:Manes.15G069600.v8.1 transcript:Manes.15G069600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATAGTSPEKQRKTIGNQTAEGKYRKPSSSQVTKTSKSEPVTPRKPPQNAQVASKQVSVATTEEHKSLVFHKSDNVNSLADKLSSGLSFVDPKQGTISVGPEVSNARDSPGSTADQESKSLRNETDPSSAKVSDGSLAKTSGSAKVSERADFVESGKSSVNRGSTSSDVSDESTCSSLSSSVNKPHKANDIRWEAIQAVRAKDGVLGVNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSERAVKFYVAEVLLSLEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSAPEGEHLRKNPAYCVQPACIEPSCIQPSCVVPTTCFSPRLFLSKSRKERKPKNEMGNQVTPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPPEIEQIPAPPSTSGKGAAAAVPPEQHNYLEFDFF >Manes.01G138400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:33009714:33011893:-1 gene:Manes.01G138400.v8.1 transcript:Manes.01G138400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKLFHMTGGIGDHSYAKNSSLQKKASDMVKHITIEAIQEVYLKLAPKSFGIADLGCSSGPNTLSIIKDIVEAIEAVSCKMMSPPATEFRVYLNDLPTNDFNSVFRSLPDFYKELKKQRNGGSPSLFIAGYPGSFYGRIFPNNCLHFVYSSFSLHWLSQIPAGLYDEQGRSINKGKVYISECSPAVVSQAYFKQFQEDFSMFLGSRSRELTAGGCMVLILLGRIGPDHVDRGNSFFWELLSRSLAILVSQGQIEKEKLDSYDANFYAPSKEEIEGEIRREGSFELVRFEMFETDKVTRNYGADVAMTVRAVQESMISHHFGHQILDPLFEIYASLVDEEIVQQDINPIQFALVLRKL >Manes.11G035800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3480824:3483002:1 gene:Manes.11G035800.v8.1 transcript:Manes.11G035800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCFRPKKKDKKVVKETWLMINGRMLLEASIAFNDGRCSNPIRCFSVKELNSATDNFHPSQIFWDDGGYILYNGVLHDRPIILKNYGGQRARELSIREIIFASEFSSHKNVLKVLGYCLESEIPFAVFEPAKNGTLHDHIYDPHASNFRPLTWKTRLKIALGAANAIAYLHTAFPKPIIHRDIKPSNILLDEDYVPKLVDFTVSESIPEGHFYVEHALRRENIPASVLGFLAPEHFMKRLINEKVDIYSFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETVVDPAVLEEEPWPGKEQQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.11G035800.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3480824:3482943:1 gene:Manes.11G035800.v8.1 transcript:Manes.11G035800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRMLLEASIAFNDGRCSNPIRCFSVKELNSATDNFHPSQIFWDDGGYILYNGVLHDRPIILKNYGGQRARELSIREIIFASEFSSHKNVLKVLGYCLESEIPFAVFEPAKNGTLHDHIYDPHASNFRPLTWKTRLKIALGAANAIAYLHTAFPKPIIHRDIKPSNILLDEDYVPKLVDFTVSESIPEGHFYVEHALRRENIPASVLGFLAPEHFMKRLINEKVDIYSFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETVVDPAVLEEEPWPGKEQQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.11G035800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3480824:3482943:1 gene:Manes.11G035800.v8.1 transcript:Manes.11G035800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCFRPKKKDKKVVKETWLMINGRMLLEASIAFNDGRCSNPIRCFSVKELNSATDNFHPSQIFWDDGGYILYNGVLHDRPIILKNYGGQRARELSIREIIFASEFSSHKNVLKVLGYCLESEIPFAVFEPAKNGTLHDHIYDPHASNFRPLTWKTRLKIALGAANAIAYLHTAFPKPIIHRDIKPSNILLDEDYVPKLVDFTVSESIPEGHFYVEHALRRENIPASVLGFLAPEHFMKRLINEKVDIYSFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETVVDPAVLEEEPWPGKEQQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.11G035800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:3480824:3482943:1 gene:Manes.11G035800.v8.1 transcript:Manes.11G035800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRMLLEASIAFNDGRCSNPIRCFSVKELNSATDNFHPSQIFWDDGGYILYNGVLHDRPIILKNYGGQRARELSIREIIFASEFSSHKNVLKVLGYCLESEIPFAVFEPAKNGTLHDHIYDPHASNFRPLTWKTRLKIALGAANAIAYLHTAFPKPIIHRDIKPSNILLDEDYVPKLVDFTVSESIPEGHFYVEHALRRENIPASVLGFLAPEHFMKRLINEKVDIYSFGVVLLSLLTGKRPQNPFPSASPGNCSLVQIVKKYVDNQMFNETVVDPAVLEEEPWPGKEQQLQNFALLALQCACDLEDNRPEIIDVAKQLRQMYQSVISNC >Manes.12G083700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12241452:12257152:-1 gene:Manes.12G083700.v8.1 transcript:Manes.12G083700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLEGVKVILVPYMAAHVPKYHHWMQDPALLQATGSEPLSLEEEYQMQQSWTQDPLKRTFIVLDKDLIEGDFVHGDSHEEAMVGDVNIYMNDLDDPHLAEIEIMIAEPKSRSKGLGKESVLMMMAYAIQNLGIRVFRAKIGESNGASLNLFNKLGFEKASYSEIFKEVTLELPLTRTKLLFPTESKLDNTMSGNWTLSDQPPGK >Manes.01G029950.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:5953847:5954662:1 gene:Manes.01G029950.v8.1 transcript:Manes.01G029950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKTDHETNKSSLAVRKTKQQSQENKQKILKEETKTPQHTVVDLDLRTLFLLPYLFLFPQSFSLILLLFPPLPFFFCSKFLVVVSAFLKSNFLIRN >Manes.04G063890.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:23548106:23551748:-1 gene:Manes.04G063890.v8.1 transcript:Manes.04G063890.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATPFKFTWRINNFSTLTQKELYSEVFYAGGCQWRLIVYPKGSKADYLSIYLEVADSTSLPQGWSRDAKYSIAVINQINNSLTVRKDTEHVFKAFDKDWGFPSFIPLSKIKNSAEGYLVGDTLILEVEILVRSVKHYSKPEPKKEEAKDETKPSEPVAAPPTSQVPSSEKEVVDTKAKVDTKPLNQTKEGIQAAATPTTDKEVIKSSPPPSVTVETKIPPKDPPSEPVKSSQDVHATSKGLLTELASRTRTMSSETSMSNQASKPDVQQQKEALKGFLNMPLEAIQLANAYGNIEGIILTLIQHSKDLNEKTILQGLLSCLAEFKESAPMVITTAETAQARRTSLSGKTAELDAKLAQTHEELSSRDAEFLRLSTEEEKLEDQIQLLIKQKEDVVAHKKSVLVELEKSNKEASKDLEEWKKLESEIKQANVNWVGAQEKLALANVRWKLYKEDLGLGKLNIS >Manes.18G049400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4252043:4252246:1 gene:Manes.18G049400.v8.1 transcript:Manes.18G049400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVFCTGFFFFYHIEWLCVTDIKQMKARLKAVVFSLELSKSMTPCFLQWEGAGRGLSSPPELRLIL >Manes.01G168100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:35085728:35088070:1 gene:Manes.01G168100.v8.1 transcript:Manes.01G168100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFHSPQITQSPSPHATHLPRPSPKSPICFVNLNPAAAVTAATSTSNNNELIQSLCKQGNLKQALKLLSHEPQPTQHTYELLILSCTHQNSLLDAQLVHRHLLANGFDQDTFLATKLINMYSFFGSLRDARKVFDKTQKRTIYVYNALFRALTLGGHGEEVLSLYRRMNSLGIPSDRFTFTYVLKACVASDCLISLLKKGKEIHAQILRRGYEAHVHITTTLVDMYARFGCVSYASLVFNEMPVKNVVSWSAMIACYAKNGRPFEALELFGEMMLENQDLCPNSVTMVSVLQACAALAALEQGRLIHGYILRRGLDNILPVISALVTMYARCGKLELGQRVFDQMDKRDVVSWNSLISSYGVHGFGKKAIQIFDEMIRQGVLPSPISFVSVLGACSHAGLVEEGKILFQSMHKKYGIYPSVEHYACMVDLLGRANRLEEAAKIIQDMRLEPGPKVWGSLLGSCRIHCNVELAEWASARLFELEPTNAGNYVLLADIYAEAGMWDGVKRVKKLLGARGLQKVPGRSWIEAKRKIFSFVSVDEFNPQIEQLHALLVKLSTEMKEKGYVPQTKVVLYDLDAEEKERIILGHSEKLAVAFGLINTSHGEPIRITKNLRLCEDCHSFTKFISKFTNKEILVRDVNRFHHFRDGVCSCGDYW >Manes.18G006500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:1002426:1003268:1 gene:Manes.18G006500.v8.1 transcript:Manes.18G006500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACHVRSSSLPSKSHPLASSVEEQLTTLRASSASVIHKLGGLKDLHDRVGDLFKLPHTQQALSSEHQDKCLEEMFDGSLRLLDACATTRDVFSQMKECVQELESSFRRKRGAESNVENEVQAYMNSRKRMSKVISKYCGNLKRMEKKCTELHVDKDSVVVDGMLKEVEEISLMVFQSLLSSVSLSKARSRPAGWSLVSKLLPSRQITSQVQAYANGVEKMDVELLNLIGKTSTSLVEMKSVLEGLEALECSIEQTEEELECIYRRLLKSRVSLLNMHA >Manes.05G035600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:2977319:2977879:-1 gene:Manes.05G035600.v8.1 transcript:Manes.05G035600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQVCYSILLLGLLFLVISIAESQTCKPSGKIRGRKPPPGQCNQENDSDCCGDGKLYTTYKCSPPVSSHTKAKLTVNSFEPGGDGGAPSECDNKYHSDKELVVALSTGWFNNKSRCLNYITIYGNGKSVKAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWHALGVSDSDDVGEMNIYWTDA >Manes.09G101800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30004799:30019407:1 gene:Manes.09G101800.v8.1 transcript:Manes.09G101800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMDLNVSPVPEDEEDSFEKHVEEFSAPEDRFESAVETARREREERRKRLRNERSDDRPAHMSRQPAHDQYYPNKHYKTYDKSKLPPGWLDCPASGQEIFGIIPSKVPLGEAYNDCIPPGRRYSFKQVFHQQRVLGRKLGLVIDLTNTTRYYPTTDLKKEGIKYVKIQCKGRDSVPDNASVNNFVYEVTQFFARQKSKKHILVHCTHGHNRTGYMIVHYIMRSSSMSVAQAIKLFADARPPGIYKPDYIKSLYKFYHETKQEMVVCPPTPEWKRSSDLDLNGEALPDDDDDGGSAAPLHDNHETDAIMTNDDILGDDIPIDQLNALRLFCYQTLNIPLRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCRSTNEGLADKTHHYTLLDGEMIIDTLPDSQKQERRYLIYDVMAINHMSVIERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQCQGWDDPYVPRTHEGLLKWKYPEMNSVDFLFEVDADDRQLLYLHERGKKKLMEGNAVVFRDGSDPSSYSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIRDNITEEVLLNEIYEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.09G101800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30004799:30019407:1 gene:Manes.09G101800.v8.1 transcript:Manes.09G101800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMDLNVSPVPEDEEDSFEKHVEEFSAPEDRFESAVETARREREERRKRLRNERSDDRPAHMSRQPAHDQYYPNKHYKTYDKSKLPPGWLDCPASGQEIFGIIPSKVPLGEAYNDCIPPGRRYSFKQVFHQQRVLGRKLGLVIDLTNTTRYYPTTDLKKEGIKYVKIQCKGRDSVPDNASVNNFVYEVTQFFARQKSKKHILVHCTHGHNRTGYMIVHYIMRSSSMSVAQAIKLFADARPPGIYKPDYIKSLYKFYHETKQEMVVCPPTPEWKRSSDLDLNGEALPDDDDDGGSAAPLHDNHETDAIMTNDDILGDDIPIDQLNALRLFCYQTLNIPLRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCRSTNEGLADKTHHYTLLDGEMIIDTLPDSQKQERRYLIYDVMAINHMSVIERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFLFEVDADDRQLLYLHERGKKKLMEGNAVVFRDGSDPSSYSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIRDNITEEVLLNEIYEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.09G101800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30004799:30019407:1 gene:Manes.09G101800.v8.1 transcript:Manes.09G101800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMDLNVSPVPEDEEDSFEKHVEEFSAPEDRFESAVETARREREERRKRLRNERSDDRPAHMSRQPAHDQYYPNKHYKTYDKSKLPPGWLDCPASGQEIFGIIPSKVPLGEAYNDCIPPGRRYSFKQVFHQQRVLGRKLGLVIDLTNTTRYYPTTDLKKEGIKYVKIQCKGRDSVPDNASVNNFVYEVTQFFARQKSKKHILVHCTHGHNRTGYMIVHYIMRSSSMSVAQAIKLFADARPPGIYKPDYIKSLYKFYHETKQEMVVCPPTPEWKRSSDLDLNGEALPDDDDDGGSAAPLHDNHETDAIMTNDDILGDDIPIDQLNALRLFCYQTLNIPLRGNPQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLIMMDGCYLIDRSFNFRRVQMRFPCRSTNEGLADKTHHYTLLDGEMIIDTLPDSQKQERRYLIYDVMAINHMSVIERPFYERWKMLEKEVIEPRNYERHHIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQCQGWDDPYVPRTHEGLLKWKYPEMNSVDFLFEVDADDRQLLYLHERGKKKLMEGNAVVFRDGSDPSSYSGKIIECSWDSDEQVWVCMRVRTDKSTPNEFNTYRKVMRSIRDNITEEVLLNEIYEIIRLPMYADRIRNDSKAHQHTASARRR >Manes.03G088416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:19204922:19205266:-1 gene:Manes.03G088416.v8.1 transcript:Manes.03G088416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSKRKLNNLLGVNMVEDLDKYLGVPLIHFRVSKQTFQAPVDRMKNKLNSWISSKLPLAGRITVMQSLLENISSYIMQSMMLPNTVHNDIDKICRHFIWDSSENDRKISLVP >Manes.11G008500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:912198:915176:1 gene:Manes.11G008500.v8.1 transcript:Manes.11G008500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLGCCLKFLETSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAFIFERRGQPRITFPIFLQLFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKLDLKKVRCQAKMVGTAVTVAGAMLMTLYKGPILEMFWSKHVHPRKSYVTDTTGTTDKDWFKGSILLIIATLAWASLFVLQTKALETYKKHQLSLTSMICFIGTLQAIAVTFVMEHKSSVWRIGWDMNLLAAAYAGIVTSSISYYVQGLVIKKKGPVFATAFSPLMMIIVAIMGSFILAEKIYLGGVVGSVLIVIGLYSVLWGKHKEKMEDSDEEIPDPVKGVQGNGNSIAIIADIEANEVELQKAEANNTVSAMVISMPMPMPELPIKASQEPKA >Manes.11G008500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:912198:915176:1 gene:Manes.11G008500.v8.1 transcript:Manes.11G008500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLGCCLKFLETSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAFIFERPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKLDLKKVRCQAKMVGTAVTVAGAMLMTLYKGPILEMFWSKHVHPRKSYVTDTTGTTDKDWFKGSILLIIATLAWASLFVLQTKALETYKKHQLSLTSMICFIGTLQAIAVTFVMEHKSSVWRIGWDMNLLAAAYAGIVTSSISYYVQGLVIKKKGPVFATAFSPLMMIIVAIMGSFILAEKIYLGGVVGSVLIVIGLYSVLWGKHKEKMEDSDEEIPDPVKGVQGNGNSIAIIADIEANEVELQKAEANNTVSAMVISMPMPMPELPIKASQEPKA >Manes.03G167000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:29271515:29272231:-1 gene:Manes.03G167000.v8.1 transcript:Manes.03G167000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLENRGNIFILTLTGHGEHRLSPDLIDSVLSALREVKSKATRGSVLVTTSQGKFFSNGFDLAWAKATGSSTKAVERLRHMTVSFKPVVAEMISLPMPTVAAIQGHAAAAGFLLALCHDYILMRSDKGVLYMSEVDLGLPLADYFAALFRAKLHSVTARRDVLLRGGKVKGMEAVRMGIVDAACNSEGSLAEAAMHLGEDLASRKWNGDAYKEIRMSLFPDLCGVLGLGEGNIAAKL >Manes.10G134903.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29405497:29408669:1 gene:Manes.10G134903.v8.1 transcript:Manes.10G134903.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSILFPFSLKCIVLLLFMQLSLESTPSNIDAVTTGGGNKNDHLALLEFKAKIVHDPQNVMRSWNGSAHFCNWEGVVCGRKHRRVTILNLEDKGLVGSLSPYIGNMSFLRQIRLRNNSLQGKIPAEVGRLFRLQAFDLYYNHVEGKIPWNLSLCSNLRLLFLENNKLEGQIPTELANLRKLWVLDLDVNYLTGGLPPSIANMSLLEMLAVTNNFLIGSIPDVLGQLSHLSTIGLELNNFSGIIPPCMYNISSIKIFSVAFNSLHGSVPSDIGILLPHLQRLQLDNNYFSGSIPLSISNASELQVLTLSGNKFNGEVLVQFGLLKQLRILFLGDNNFNGGLQFIASMANCSSLIYLDLSHSQFTGALPNSVANLSSNLRFLAIADNRISGSLPLGLFDLVNLPRIILQRNQITGAIPTEIGKLQKLQELFLDRNRLSGKIPSSIGNLSFLINLQLDTNMLQGNIPSSLGNCRNLLRIGLSRNNLTGFIPKQLFPIASMLISISLFQNHLVGPLPLEIGNLFNLNELNISQNMLSGEIPSNLGQCRSLEFLFMDNNNFHGVIPMSLESLRGLRRFDLSNNNMSGPIPKFLGKLALEYLNFSFNNFEGEVPTEGVFANMSSTSLEGNKMVCGGIQELRLPRCSFDVSKKRKLLQVIKVAVITIPCILGVLILSASLYCWFKRQKRMQSPSSLELKSFPKLSYQKILKATDGFSTANLLGAGSFGSVYKGTLEEDGVIIAVKVLNPQRRGAAKSFKAECKVLQNIRHRNLVRTITSCSSIDFQGNDFKALVYEYMPNGNLDKWLHPSSEIYVEPTEQWSLSFLQRINIAIDVGNALDYLHHGCQKPVIHCDLKPSNILLDNEMVAHIGDFGLAKFLSQLSGPIHSSSVGVRGTIGYAAPEYGLGSDPSTSGDVYSYGILLLEMMTSKKPTDNIFVEGLNLHDFARMALPDHAVEIVDPILLQEDEEEEVRLNRNEGPTQVRYGEKIECLIRMMKVGVGCSMESPQDRMAISDAVNELQSIRKYYM >Manes.03G197900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31511406:31511972:-1 gene:Manes.03G197900.v8.1 transcript:Manes.03G197900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSIVLEAQKGGVDDKTTQVISKATAMINKTSCLLSSSSVYPHSSNFLEHCFLCGQKLLPGKDIYMYKGDIAFCSVECRCRQIFMDEEETMRKENCSLAAIKPTSASSSSSSSSSPHASRNNRSTRNRAGGFAY >Manes.03G197900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31511406:31511972:-1 gene:Manes.03G197900.v8.1 transcript:Manes.03G197900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSIVLEAQKGGVDDKTTQVISKATAMINKTSCLLSSSSVYPHSSNFLEHCFLCGQKLLPGKDIYMYNRGDIAFCSVECRCRQIFMDEEETMRKENCSLAAIKPTSASSSSSSSSSPHASRNNRSTRNRAGGFAY >Manes.18G029300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2475628:2481970:-1 gene:Manes.18G029300.v8.1 transcript:Manes.18G029300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPKGMNPEPSKLHQPGPQPEPAHDDASTKDDRPLLKSDSNRISSSESIEELEKKFAAYVRYDVYGTMGRGELPLAEKVLLGIALVTLLPIRVVLAMNILLLYYAICRICTLFSAPNRDEEEEQEDFAHMGGWRRTVIVRCGRFLSRAMLFVFGFYWIKETYRIVEQPQDKPFTQNEGKDQSGEPERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVAGVVTERVKEAHQNRSAPMMMLFPEGTTTNGDFLLPFKTGAFLAGAPVLPVILRYPYQRFSPAWDSISGVRHVILLLCQFINYIEVKRLPVYYPSQEEKDDPKLYANNVRRLMAREGDLVMSDIGLAEKRVYHAALNGNNSLPSVLHQKDD >Manes.18G029300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:2474885:2482015:-1 gene:Manes.18G029300.v8.1 transcript:Manes.18G029300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPKGMNPEPSKLHQPGPQPEPAHDDASTKDDRPLLKSDSNRISSSESIEELEKKFAAYVRYDVYGTMGRGELPLAEKVLLGIALVTLLPIRVVLAMNILLLYYAICRICTLFSAPNRDEEEEQEDFAHMGGWRRTVIVRCGRFLSRAMLFVFGFYWIKETYRIVEQPQDKPFTQNEGKDQSGEPERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVAGVVTERVKEAHQNRSAPMMMLFPEGTTTNGDFLLPFKTGAFLAGAPVLPVILRYPYQRFSPAWDSISGVRHVILLLCQFINYIEVKRLPVYYPSQEEKDDPKLYANNVRRLMAREGDLVMSDIGLAEKRVYHAALNGLF >Manes.13G087578.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:15098914:15128742:-1 gene:Manes.13G087578.v8.1 transcript:Manes.13G087578.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSNTHTEAAKMEQIIAEFFAKSLHIILESRSPAMSSRNYSADQAASSPSSSSSSSTSVRPRDKWFNLALRECPATLENPDIWRRMNLEPMVVDVILVQRPPDRDPANSSLKKDLFRNPYLKERYPFSWNSDQEELGYDAKTEKIIERWIVQCESRRLRDNGSGSRRSIGTLHTFYKKLILLLRSLYATVRLLPAHKIFRDLNSSGQIRTFTLTHRVSSFVEPFTRKEETEMQRFGFNPVDTSCGRLCLSVVYRSSLSDIRSESSTQMSPQFIPDYVGSPLADPLKRIPSLPLSHGSPSSLPFSRRHSWSYDLYKASPPSVSFSPSPTHSESHASISNPSSRRFPTMNLPPHPPEISSVHKKNMSYDEYCPSPNFTPSPSPSPPIYIPGRHLAKALLRSESAPVSIPTGKLSSSPVLSNKQNLPPSPPLKGTRSGNPRTDTSTGFVQTGASVEKLFSLGKDDTRKYSGMRIPSNSSPQISFSRSPSRSFPDDFDDPDFPCPFDVEDDDMTDPSSRPESFDQRGVLCDPLEPGGLFPVRNSQDAAVGALVRMLRKAPPLRQDISTSIDLSQVSRSKISSSSFQEHVQISETPAIQPASSSIASSGLVASKTTADALEELRGYKELMRDLLLSQADRSDTSANPTSFQKPATG >Manes.17G093100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30136187:30137927:-1 gene:Manes.17G093100.v8.1 transcript:Manes.17G093100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLNISTSPAKAALFVFVVLLFNTACQAQLTSTFYDSSCPNALRTIRTSIRNSIAAERRMAASLIRLHFHDCFVQGCDASILLEETPTIESEQTALPNKDSARGYRVIEKAKSEVEKICPGVVSCADILAVAARDASAYVGGPSYTVMLGRRDSTTASRNLANSELPSFKDGLDRLISSFQNKGLSPRDLVALSGAHTLGHAQCFTFRDRIYSNVSIDAGFASTRKRTCPAVDGDANLAPFDLVTPNSFDNNYFKNLIQKKGLLESDQVLFSGGSTDSIVREYSRSPAAFNSDFAAATIKMGNINILTGTAGEIRKICSAVN >Manes.03G176500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29942974:29953843:1 gene:Manes.03G176500.v8.1 transcript:Manes.03G176500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCISPVTNCCSCCWTLAITSSQDTEAARGHRGNAKIRVEAFFTLRILVSKVGTADALAFFLPGVVSQFAKVLHVSKTLISGAAGSVEATEQAIRGLAEYLMIVLQDDANVSSLDIPLNVMSGFSSNKNEPFHSLLDELRHLPSSTQGPRKTVAEESVGVAVDLDSHGSDIKINRDNKFGKEIGSLHVDRTRDWIEKTSVHLDKLLSATFPHICVHSAKKVRRGLLAAIQGLLSKCSYTLKDSRLMLLECLCVLVVDDSEEVSAPAQEFIGYLFSSSAKHHVQHDIAEIFSRLIEKLPKVVMGNEDSLALSHAQKLLVLIYYSGPHFVLEQLLSPVTAARFLDVLFLCLSQNSLFAGDLHKLTSARPSSVGFLPSVAELKANSHFLTDYQTIIDSAPSDIKLRDSQARRTQYQLEIVDDNYKLPRMPPWFAAVGSQKLYQALSGILRLVGLSLMADFKSEGYMSVVIDIPLDYLRKLISEVRIKGYNKESWQSWYSRTGSGQLLRQASTAVCILNEMIFGLSDQSVDSLMKMLRKSIVKRHEIQEFDASVADGQPCTDECSELTQSIWKFSLAKACRSHLIDCIGRILHEFLSSEVWDLPVDSKPSHIQLDGEVEEIPSHFFHDTAMLQQVIIDGIGTFAVCLGKDFSSSGFLHTSLYLLLENLICSNFHVRSASDAVLHVLASTSGHPTVGQLILANADYVIDSVCQQLRHLDLNPHVPSVLASMLSYVGVAHKILPLLEEPMRSASQELEILARHQHPELTIPFLKAVAEIARALKREASSLPTRAESYLMHVKSNITKEVREEASQISPSNFDNHTDMSQMESDGVFCSSFDEDITHGEQWESISLKLNDSKRYRRIIGSIAGSCLTAATPLLTSVKQVTCLIAMDIIEDGIITLAKVEEAYQFGKETKETIEEVIRSKSLYQLHDTLDAAEEGTDENRLLPAMNKIWPFLVACIKNKKPVAVRRCTSVVSKVVQICGGDFFSRRFHTDGSHFWKLLSSSPFQRKPFSKEEIIPLQLPYRSIPNSSEDTAAEVSNLKVQVAVLNMVADLSRNKRSASSLEAVLKKVSGLVVGIACSGVSGLQDASVNALHGLASIDPDLIWLLLADVYYSLKKKDLPSPPASSFPPISQLLPPPSSPKGYLYVQYGGQSYGFDIDFSAVETVFKKLHALVFSTQNV >Manes.03G176500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29942974:29953844:1 gene:Manes.03G176500.v8.1 transcript:Manes.03G176500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFDYLTVEDDDQLEEGARHRSSVFLLLKPYCLELLALLQNPKKDSSAIHSFLQFLRTSPSDALQPFFDYTLFPLLLLLDTAVGSRTSKKDAEDKADSKNLPHKVSDKVAEGILQCLEELLKKCHLGSVDQMVVLMKKLTHAAMLSPLEASEEFREGVIKCFRSLILGLPPCIDEACSCRQSLGLPSLLESVDTQALACGTPKYRSDRGECLLAFLQSQTAAAAVGHWLSLLLKAADTEAARGHRGNAKIRVEAFFTLRILVSKVGTADALAFFLPGVVSQFAKVLHVSKTLISGAAGSVEATEQAIRGLAEYLMIVLQDDANVSSLDIPLNVMSGFSSNKNEPFHSLLDELRHLPSSTQGPRKTVAEESVGVAVDLDSHGSDIKINRDNKFGKEIGSLHVDRTRDWIEKTSVHLDKLLSATFPHICVHSAKKVRRGLLAAIQGLLSKCSYTLKDSRLMLLVTAARFLDVLFLCLSQNSLFAGDLHKLTSARPSSVGFLPSVAELKANSHFLTDYQTIIDSAPSDIKLRDSQARRTQYQLEIVDDNYKLPRMPPWFAAVGSQKLYQALSGILRLVGLSLMADFKSEGYMSVVIDIPLDYLRKLISEVRIKGYNKESWQSWYSRTGSGQLLRQASTAVCILNEMIFGLSDQSVDSLMKMLRKSIVKRHEIQEFDASVADGQPCTDECSELTQSIWKFSLAKACRSHLIDCIGRILHEFLSSEVWDLPVDSKPSHIQLDGEVEEIPSHFFHDTAMLQQVIIDGIGTFAVCLGKDFSSSGFLHTSLYLLLENLICSNFHVRSASDAVLHVLASTSGHPTVGQLILANADYVIDSVCQQLRHLDLNPHVPSVLASMLSYVGVAHKILPLLEEPMRSASQELEILARHQHPELTIPFLKAVAEIARALKREASSLPTRAESYLMHVKSNITKEVREEASQISPSNFDNHTDMSQMESDGVFCSSFDEDITHGEQWESISLKLNDSKRYRRIIGSIAGSCLTAATPLLTSVKQVTCLIAMDIIEDGIITLAKVEEAYQFGKETKETIEEVIRSKSLYQLHDTLDAAEEGTDENRLLPAMNKIWPFLVACIKNKKPVAVRRCTSVVSKVVQICGGDFFSRRFHTDGSHFWKLLSSSPFQRKPFSKEEIIPLQLPYRSIPNSSEDTAAEVSNLKVQVAVLNMVADLSRNKRSASSLEAVLKKVSGLVVGIACSGVSGLQDASVNALHGLASIDPDLIWLLLADVYYSLKKKDLPSPPASSFPPISQLLPPPSSPKGYLYVQYGGQSYGFDIDFSAVETVFKKLHALVFSTQNV >Manes.03G176500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29943539:29953843:1 gene:Manes.03G176500.v8.1 transcript:Manes.03G176500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMKKLTHAAMLSPLEASEEFREGVIKCFRSLILGLPPCIDEACSCRQSLGLPSLLESVDTQALACGTPKYRSDRGECLLAFLQSQTAAAAVGHWLSLLLKAADTEAARGHRGNAKIRVEAFFTLRILVSKVGTADALAFFLPGVVSQFAKVLHVSKTLISGAAGSVEATEQAIRGLAEYLMIVLQDDANVSSLDIPLNVMSGFSSNKNEPFHSLLDELRHLPSSTQGPRKTVAEESVGVAVDLDSHGSDIKINRDNKFGKEIGSLHVDRTRDWIEKTSVHLDKLLSATFPHICVHSAKKVRRGLLAAIQGLLSKCSYTLKDSRLMLLECLCVLVVDDSEEVSAPAQEFIGYLFSSSAKHHVQHDIAEIFSRLIEKLPKVVMGNEDSLALSHAQKLLVLIYYSGPHFVLEQLLSPVTAARFLDVLFLCLSQNSLFAGDLHKLTSARPSSVGFLPSVAELKANSHFLTDYQTIIDSAPSDIKLRDSQARRTQYQLEIVDDNYKLPRMPPWFAAVGSQKLYQALSGILRLVGLSLMADFKSEGYMSVVIDIPLDYLRKLISEVRIKGYNKESWQSWYSRTGSGQLLRQASTAVCILNEMIFGLSDQSVDSLMKMLRKSIVKRHEIQEFDASVADGQPCTDECSELTQSIWKFSLAKACRSHLIDCIGRILHEFLSSEVWDLPVDSKPSHIQLDGEVEEIPSHFFHDTAMLQQVIIDGIGTFAVCLGKDFSSSGFLHTSLYLLLENLICSNFHVRSASDAVLHVLASTSGHPTVGQLILANADYVIDSVCQQLRHLDLNPHVPSVLASMLSYVGVAHKILPLLEEPMRSASQELEILARHQHPELTIPFLKAVAEIARALKREASSLPTRAESYLMHVKSNITKEVREEASQISPSNFDNHTDMSQMESDGVFCSSFDEDITHGEQWESISLKLNDSKRYRRIIGSIAGSCLTAATPLLTSVKQVTCLIAMDIIEDGIITLAKVEEAYQFGKETKETIEEVIRSKSLYQLHDTLDAAEEGTDENRLLPAMNKIWPFLVACIKNKKPVAVRRCTSVVSKVVQICGGDFFSRRFHTDGSHFWKLLSSSPFQRKPFSKEEIIPLQLPYRSIPNSSEDTAAEVSNLKVQVAVLNMVADLSRNKRSASSLEAVLKKVSGLVVGIACSGVSGLQDASVNALHGLASIDPDLIWLLLADVYYSLKKKDLPSPPASSFPPISQLLPPPSSPKGYLYVQYGGQSYGFDIDFSAVETVFKKLHALVFSTQNV >Manes.03G176500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29943536:29953843:1 gene:Manes.03G176500.v8.1 transcript:Manes.03G176500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCISPVTNCCSCCWTLAITSSQDTEAARGHRGNAKIRVEAFFTLRILVSKVGTADALAFFLPGVVSQFAKVLHVSKTLISGAAGSVEATEQAIRGLAEYLMIVLQDDANVSSLDIPLNVMSGFSSNKNEPFHSLLDELRHLPSSTQGPRKTVAEESVGVAVDLDSHGSDIKINRDNKFGKEIGSLHVDRTRDWIEKTSVHLDKLLSATFPHICVHSAKKVRRGLLAAIQGLLSKCSYTLKDSRLMLLECLCVLVVDDSEEVSAPAQEFIGYLFSSSAKHHVQHDIAEIFSRLIEKLPKVVMGNEDSLALSHAQKLLVLIYYSGPHFVLEQLLSPVTAARFLDVLFLCLSQNSLFAGDLHKLTSARPSSVGFLPSVAELKANSHFLTDYQTIIDSAPSDIKLRDSQARRTQYQLEIVDDNYKLPRMPPWFAAVGSQKLYQALSGILRLVGLSLMADFKSEGYMSVVIDIPLDYLRKLISEVRIKGYNKESWQSWYSRTGSGQLLRQASTAVCILNEMIFGLSDQSVDSLMKMLRKSIVKRHEIQEFDASVADGQPCTDECSELTQSIWKFSLAKACRSHLIDCIGRILHEFLSSEVWDLPVDSKPSHIQLDGEVEEIPSHFFHDTAMLQQVIIDGIGTFAVCLGKDFSSSGFLHTSLYLLLENLICSNFHVRSASDAVLHVLASTSGHPTVGQLILANADYVIDSVCQQLRHLDLNPHVPSVLASMLSYVGVAHKILPLLEEPMRSASQELEILARHQHPELTIPFLKAVAEIARALKREASSLPTRAESYLMHVKSNITKEVREEASQISPSNFDNHTDMSQMESDGVFCSSFDEDITHGEQWESISLKLNDSKRYRRIIGSIAGSCLTAATPLLTSVKQVTCLIAMDIIEDGIITLAKVEEAYQFGKETKETIEEVIRSKSLYQLHDTLDAAEEGTDENRLLPAMNKIWPFLVACIKNKKPVAVRRCTSVVSKVVQICGGDFFSRRFHTDGSHFWKLLSSSPFQRKPFSKEEIIPLQLPYRSIPNSSEDTAAEVSNLKVQVAVLNMVADLSRNKRSASSLEAVLKKVSGLVVGIACSGVSGLQDASVNALHGLASIDPDLIWLLLADVYYSLKKKDLPSPPASSFPPISQLLPPPSSPKGYLYVQYGGQSYGFDIDFSAVETVFKKLHALVFSTQNV >Manes.03G176500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29943539:29953843:1 gene:Manes.03G176500.v8.1 transcript:Manes.03G176500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMKKLTHAAMLSPLEASEEFREGVIKCFRSLILGLPPCIDEACSCRQSLGLPSLLESVDTQALACGTPKYRSDRGECLLAFLQSQTAAAAVGHWLSLLLKAADTEAARGHRGNAKIRVEAFFTLRILVSKVGTADALAFFLPGVVSQFAKVLHVSKTLISGAAGSVEATEQAIRGLAEYLMIVLQDDANVSSLDIPLNVMSGFSSNKNEPFHSLLDELRHLPSSTQGPRKTVAEESVGVAVDLDSHGSDIKINRDNKFGKEIGSLHVDRTRDWIEKTSVHLDKLLSATFPHICVHSAKKVRRGLLAAIQGLLSKCSYTLKDSRLMLLECLCVLVVDDSEEVSAPAQEFIGYLFSSSAKHHVQHDIAEIFSRLIEKLPKVVMGNEDSLALSHAQKLLVLIYYSGPHFVLEQLLSPVTAARFLDVLFLCLSQNSLFAGDLHKLTSARPSSVGFLPSVAELKANSHFLTDYQTIIDSAPSDIKLRDSQARRTQYQLEIVDDNYKLPRMPPWFAAVGSQKLYQALSGILRLVGLSLMADFKSEGYMSVVIDIPLDYLRKLISEVRIKGYNKESWQSWYSRTGSGQLLRQASTAVCILNEMIFGLSDQSVDSLMKMLRKSIVKRHEIQEFDASVADGQPCTDECSELTQSIWKFSLAKACRSHLIDCIGRILHEFLSSEVWDLPVDSKPSHIQLDGEVEEIPSHFFHDTAMLQQVIIDGIGTFAVCLGKDFSSSGFLHTSLYLLLENLICSNFHVRSASDAVLHVLASTSGHPTVGQLILANADYVIDSVCQQLRHLDLNPHVPSVLASMLSYVGVAHKILPLLEEPMRSASQELEILARHQHPELTIPFLKAVAEIARALKREASSLPTRAESYLMHVKSNITKEVREEASQISPSNFDNHTDMSQMESDGVFCSSFDEDITHGEQWESISLKLNDSKRYRRIIGSIAGSCLTAATPLLTSVKQVTCLIAMDIIEDGIITLAKVEEAYQFGKETKETIEEVIRSKSLYQLHDTLDAAEEGTDENRLLPAMNKIWPFLVACIKNKKPVAVRRCTSVVSKVVQICGGDFFSRRFHTDGSHFWKLLSSSPFQRKPFSKEEIIPLQLPYRSIPNSSEDTAAEVSNLKVQVAVLNMVADLSRNKRSASSLEAVLKKVSGLVVGIACSGVSGLQDASVNALHGLASIDPDLIWLLLADVYYSLKKKDLPSPPASSFPPISQLLPPPSSPKGYLYVQYGGQSYGFDIDFSAVETVFKKLHALVFSTQNV >Manes.03G176500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29943536:29953843:1 gene:Manes.03G176500.v8.1 transcript:Manes.03G176500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCISPVTNCCSCCWTLAITSSQDTEAARGHRGNAKIRVEAFFTLRILVSKVGTADALAFFLPGVVSQFAKVLHVSKTLISGAAGSVEATEQAIRGLAEYLMIVLQDDANVSSLDIPLNVMSGFSSNKNEPFHSLLDELRHLPSSTQGPRKTVAEESVGVAVDLDSHGSDIKINRDNKFGKEIGSLHVDRTRDWIEKTSVHLDKLLSATFPHICVHSAKKVRRGLLAAIQGLLSKCSYTLKDSRLMLLECLCVLVVDDSEEVSAPAQEFIGYLFSSSAKHHVQHDIAEIFSRLIEKLPKVVMGNEDSLALSHAQKLLVLIYYSGPHFVLEQLLSPVTAARFLDVLFLCLSQNSLFAGDLHKLTSARPSSVGFLPSVAELKANSHFLTDYQTIIDSAPSDIKLRDSQARRTQYQLEIVDDNYKLPRMPPWFAAVGSQKLYQALSGILRLVGLSLMADFKSEGYMSVVIDIPLDYLRKLISEVRIKGYNKESWQSWYSRTGSGQLLRQASTAVCILNEMIFGLSDQSVDSLMKMLRKSIVKRHEIQEFDASVADGQPCTDECSELTQSIWKFSLAKACRSHLIDCIGRILHEFLSSEVWDLPVDSKPSHIQLDGEVEEIPSHFFHDTAMLQQVIIDGIGTFAVCLGKDFSSSGFLHTSLYLLLENLICSNFHVRSASDAVLHVLASTSGHPTVGQLILANADYVIDSVCQQLRHLDLNPHVPSVLASMLSYVGVAHKILPLLEEPMRSASQELEILARHQHPELTIPFLKAVAEIARALKREASSLPTRAESYLMHVKSNITKEVREEASQISPSNFDNHTDMSQMESDGVFCSSFDEDITHGEQWESISLKLNDSKRYRRIIGSIAGSCLTAATPLLTSVKQVTCLIAMDIIEDGIITLAKVEEAYQFGKETKETIEEVIRSKSLYQLHDTLDAAEEGTDENRLLPAMNKIWPFLVACIKNKKPVAVRRCTSVVSKVVQICGGDFFSRRFHTDGSHFWKLLSSSPFQRKPFSKEEIIPLQLPYRSIPNSSEDTAAEVSNLKVQVAVLNMVADLSRNKRSASSLEAVLKKVSGLVVGIACSGVSGLQDASVNALHGLASIDPDLIWLLLADVYYSLKKKDLPSPPASSFPPISQLLPPPSSPKGYLYVQYGGQSYGFDIDFSAVETVFKKLHALVFSTQNV >Manes.03G176500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29942974:29953843:1 gene:Manes.03G176500.v8.1 transcript:Manes.03G176500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFDYLTVEDDDQLEEGARHRSSVFLLLKPYCLELLALLQNPKKDSSAIHSFLQFLRTSPSDALQPFFDYTLFPLLLLLDTAVGSRTSKKDAEDKADSKNLPHKVSDKVAEGILQCLEELLKKCHLGSVDQMVVLMKKLTHAAMLSPLEASEEFREGVIKCFRSLILGLPPCIDEACSCRQSLGLPSLLESVDTQALACGTPKYRSDRGECLLAFLQSQTAAAAVGHWLSLLLKAADTEAARGHRGNAKIRVEAFFTLRILVSKVGTADALAFFLPGVVSQFAKVLHVSKTLISGAAGSVEATEQAIRGLAEYLMIVLQDDANVSSLDIPLNVMSGFSSNKNEPFHSLLDELRHLPSSTQGPRKTVAEESVGVAVDLDSHGSDIKINRDNKFGKEIGSLHVDRTRDWIEKTSVHLDKLLSATFPHICVHSAKKVRRGLLAAIQGLLSKCSYTLKDSRLMLLECLCVLVVDDSEEVSAPAQEFIGYLFSSSAKHHVQHDIAEIFSRLIEKLPKVVMGNEDSLALSHAQKLLVLIYYSGPHFVLEQLLSPVTAARFLDVLFLCLSQNSLFAGDLHKLTSARPSSVGFLPSVAELKANSHFLTDYQTIIDSAPSDIKLRDSQARRTQYQLEIVDDNYKLPRMPPWFAAVGSQKLYQALSGILRLVGLSLMADFKSEGYMSVVIDIPLDYLRKLISEVRIKGYNKESWQSWYSRTGSGQLLRQASTAVCILNEMIFGLSDQSVDSLMKMLRKSIVKRHEIQEFDASVADGQPCTDECSELTQSIWKFSLAKACRSHLIDCIGRILHEFLSSEVWDLPVDSKPSHIQLDGEVEEIPSHFFHDTAMLQQVIIDGIGTFAVCLGKDFSSSGFLHTSLYLLLENLICSNFHVRSASDAVLHVLASTSGHPTVGQLILANADYVIDSVCQQLRHLDLNPHVPSVLASMLSYVGVAHKILPLLEEPMRSASQELEILARHQHPELTIPFLKAVAEIARALKREASSLPTRAESYLMHVKSNITKEVREEASQISPSNFDNHTDMSQMESDGVFCSSFDEDITHGEQWESISLKLNDSKRYRRIIGSIAGSCLTAATPLLTSVKQVTCLIAMDIIEDGIITLAKVEEAYQFGKETKETIEEVIRSKSLYQLHDTLDAAEEGTDENRLLPAMNKIWPFLVACIKNKKPVAVRRCTSVVSKVVQICGGDFFSRRFHTDGSHFWKLLSSSPFQRKPFSKEEIIPLQLPYRSIPNSSEDTAAEVSNLKVQVAVLNMVADLSRNKRSASSLEAVLKKVSGLVVGIACSGVSGLQDASVNALHGLASIDPDLIWLLLADVYYSLKKKDLPSPPASSFPPISQLLPPPSSPKGYLYVQYGGQSYGFDIDFSAVETVFKKLHALVFSTQNV >Manes.03G176500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29943536:29953843:1 gene:Manes.03G176500.v8.1 transcript:Manes.03G176500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLMKKLTHAAMLSPLEASEEFREGVIKCFRSLILGLPPCIDEACSCRQSLGLPSLLESVDTQALACGTPKYRSDRGECLLAFLQSQTAAAAVGHWLSLLLKAADTEAARGHRGNAKIRVEAFFTLRILVSKVGTADALAFFLPGVVSQFAKVLHVSKTLISGAAGSVEATEQAIRGLAEYLMIVLQDDANVSSLDIPLNVMSGFSSNKNEPFHSLLDELRHLPSSTQGPRKTVAEESVGVAVDLDSHGSDIKINRDNKFGKEIGSLHVDRTRDWIEKTSVHLDKLLSATFPHICVHSAKKVRRGLLAAIQGLLSKCSYTLKDSRLMLLECLCVLVVDDSEEVSAPAQEFIGYLFSSSAKHHVQHDIAEIFSRLIEKLPKVVMGNEDSLALSHAQKLLVLIYYSGPHFVLEQLLSPVTAARFLDVLFLCLSQNSLFAGDLHKLTSARPSSVGFLPSVAELKANSHFLTDYQTIIDSAPSDIKLRDSQARRTQYQLEIVDDNYKLPRMPPWFAAVGSQKLYQALSGILRLVGLSLMADFKSEGYMSVVIDIPLDYLRKLISEVRIKGYNKESWQSWYSRTGSGQLLRQASTAVCILNEMIFGLSDQSVDSLMKMLRKSIVKRHEIQEFDASVADGQPCTDECSELTQSIWKFSLAKACRSHLIDCIGRILHEFLSSEVWDLPVDSKPSHIQLDGEVEEIPSHFFHDTAMLQQVIIDGIGTFAVCLGKDFSSSGFLHTSLYLLLENLICSNFHVRSASDAVLHVLASTSGHPTVGQLILANADYVIDSVCQQLRHLDLNPHVPSVLASMLSYVGVAHKILPLLEEPMRSASQELEILARHQHPELTIPFLKAVAEIARALKREASSLPTRAESYLMHVKSNITKEVREEASQISPSNFDNHTDMSQMESDGVFCSSFDEDITHGEQWESISLKLNDSKRYRRIIGSIAGSCLTAATPLLTSVKQVTCLIAMDIIEDGIITLAKVEEAYQFGKETKETIEEVIRSKSLYQLHDTLDAAEEGTDENRLLPAMNKIWPFLVACIKNKKPVAVRRCTSVVSKVVQICGGDFFSRRFHTDGSHFWKLLSSSPFQRKPFSKEEIIPLQLPYRSIPNSSEDTAAEVSNLKVQVAVLNMVADLSRNKRSASSLEAVLKKVSGLVVGIACSGVSGLQDASVNALHGLASIDPDLIWLLLADVYYSLKKKDLPSPPASSFPPISQLLPPPSSPKGYLYVQYGGQSYGFDIDFSAVETVFKKLHALVFSTQNV >Manes.04G063970.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24334978:24337726:1 gene:Manes.04G063970.v8.1 transcript:Manes.04G063970.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFVLFINSIFIFIQSYSCDAKDLKACKFDAIYQLGDSISDTGNSIVEMPQLYNARFPYGQTIHKATGRSSDGYLIIDYIAQSAGLPLLEPYENPNSTFSHGVNFAVAGATASSIKTIINWHIPLPYTNSSLYVQNKWLKKHLSAICNDKKECKRKLKHALYMIGTIGCNDYIIAFQYGKSIEEVKVMVPRVIQSIKTAIRKVIDYGAYRVVVPGAFQLGCAPSFLTAFSSNKSSYDSYGCLKDYNDFFMYHNNHLQVALQKIRKKNPHIHIIYGDLYGALEWILDNFSNLGFKSLRKGCCGIGGRFNYNPSIKKMCGAHGVPICSNPKEYVFWDGSHFTHQANKYMSKWLIKDFLPQLHCNI >Manes.18G144820.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:21478138:21479629:1 gene:Manes.18G144820.v8.1 transcript:Manes.18G144820.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLETYFSLTSLLLLFVFAGRVQSAVFDVKNYGGKADGKSDISKALLGVWKEACSAKGSNIVVVPKGTYSIGLTDLNGPCKGAMELQVQGTLLAPINPSSYAKDSWITFAYIDQFKLSGGGTFDGQGQVAWKQNNCGRNPKCKRLPVSLRFDFITNSVVQDVTSLDSKNFHVNLLGGKNLTFDRFTITAPGDSVNTDGIHIGHSNGINIINSNIATGDDCISIGGASEQIRITNVRCGHGHGISVGSLGKTTDEFVSGIFVRNCTFYDTDNGVRIKTWPALHGGMASDMHFEDIMMKNVRNPIIIDQMYCPWNQCNPKLPSKVKISNVTFKNIRGSSATAVAVRLNCSSSFPCQKVELADINLTYGGKEGPVKSLCANVKPTLKGKLTPTIC >Manes.18G058800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:5196505:5206616:-1 gene:Manes.18G058800.v8.1 transcript:Manes.18G058800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAECVRVAVNIRPLITSELLIGCTDCITVVPGEPQVQIGSHAFTYDYVYGNTGSSSSSNSIYDDCVAPLVDALFRGYNATVLAYGQTGSGKTYTMGTNYNGEENNGGIIPKVMESIFRRVETTKGSTEFLIRVSFIEIFKEEVFDLLDPNSAVLSRGEVSNVTKTVVPTRVPIQIRETVNGGITLAGVTEAEVRSKEEMASYLSRGSLCRATGSTNMNSQSSRSHAIFTITMEQKKIAHSNEANNDEFGDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTLMIACVSPADTNAEETLNTLKYANRARNIQNKAIVNRDPLAAQIQRMRSQIEQLQAELVFYRGDASAPFDELQILKHKVSLLEASNAELQRELQNRRITCDHLTQHAVNAQAEKDKLLMQIESARNGKPWDEIESNSDQDFDLIKTYVSKIQELEGELLRLKNLSNSKHSQFVDCVDSDDDGFQCKNASIPSLSGLSSNSDSKTMDISEEVEDEEKELEHSSLQEKLDRELQELDKRLEQKEAEMKRFTNVDTSVLKQHYERKVQELEQEKRSLQKEIEDLRSNLANISSASGDGAQKLKEDYLQKLTLLEAQVSELKKKQDAQAQLLRQKQKSDEAAKRLHEEIQRIKTHKVQLQQKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLESRKASSRETSSAGNGNGLGIQAMMQSIEHELEVTVRVHEVRSEYERQMEERARMAKEVAKLKEEEQLLKQTNSCDSPSAMSPGARNSRIFALENMLATSSSTLVSMASQLSEAEERERGFTGRGRWNQVRSLADAKSAMNYLFNLASSSRCQLRDKEVECREKDTIIRDLKEKIVKLGGFARHLEMQKAELIHQVKSQNLALKKYSMRGQVDSEGIDLSTGGHKYELRKQAHRSSVILLEDMDTSQSEDSDVDDEWVQSDVDMADDESARPNKRRFKNRVSRSGDNQNAGDVKDPEDEGSAAAMEKTASGVVCCTCSKFSSCKTMKCQCRVAKGICGASCGCVASKCSNRDGNMLKHDDLAREMSECAETGSGSEDIEKNRDLACHGAMLLQNALVEKPTEKNDDGVVRRKPLSDIGNTVAKSNAPKPPQRKKWRKSVIQLVPTAPPSTQPGKAEAPQKVDNSAGETDMPLKLPRATLSAASNNAKPDNNSAREADVPLKLPRAMLSAASQSNALLKERNADQSNEPVNKEASVHPARSPARPPRTSEEKENYGR >Manes.03G004700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:414757:415851:-1 gene:Manes.03G004700.v8.1 transcript:Manes.03G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLFKKPTSLTSLVNSHFILMVSSLCTKASAIVDKTVATPTTASVDNRRKPSANYSSNIHLSPLFSHGRSCLERYDIELVDDDAWQISSGLAHAYKGLDGEMEAESQSATEVSNEQDHYAESLKGDSDFDEIDNMRIRGNLFYKLDRDSKEFEEYNFEFHRKKSSKRNDGLKGKEKKESSKKYDINKEIKKKEHPSCGSAFEVKNLPQIVKNERVYSVLIDNMDGSGSVGKNKVRTPTFNQLTAPYHEPFCLDIFISKASVRACIIHRVTSKVVAVAHSISKDIKFDLPSTRNAAACDAVGTILAQRALADDIHNVFYTPRKGEKLEGKLQIVLQSIIDNGINVKVKLKQRKPQKARLPPGA >Manes.02G010800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:1121263:1124191:1 gene:Manes.02G010800.v8.1 transcript:Manes.02G010800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDYMIVNGNAFSKMEDDYIRRHHKHEVKDHQCSSSLVKHIKAPVHLVWSLVRRFDQPQRYKPFVSRCIVQGDLQIGSVREVNVKSGLPATTSTERLELLDDEEHIFRMRIVGGDHRLKNYSSIITVHPEVIDGRPGTIVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLANVSERLAVQDRTEPIGRI >Manes.03G079400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:21479904:21480788:1 gene:Manes.03G079400.v8.1 transcript:Manes.03G079400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCDEDERVVGRQIAPGACPYCGGMIQAMDVESQWRFCFLPLYFKTKRRYHCSVCSRRLVLQY >Manes.03G201000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31704311:31707060:1 gene:Manes.03G201000.v8.1 transcript:Manes.03G201000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSGKGSLPTSSSSGAWKAEDLVDDKFPVGLRVLAVDDNQTCLLTLEKMLMSCLYEVTKCSDAETALSLLRQNRNGYDIVLSDVHMPDMDGFELLEQIVLEMDDLPVVMMSYDEEKNMVMKGITQGACDYLIKPVGIETLKNIWQHVVRKKRNAWKHLEQSGSVEDGDRQHKQSQDTDYSYSANGGNWRNSKKKKGEEEEAEERDNTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGASQHQSNLNNGFICPQEATYGPMSSFNGIDLQTLAATGQLPAQSLATLQAAGLGQSRIPMPMVDQRNHFSFENPELRLGEGQQQQLSSSKLNLHGIPTTMEPKQLANLHHSAQPLGSMNVEVNAHGGQNNSLLMQMSQSQSRGQTLNETTGSHLPILPSSMAQPVLSNPVVSEVIARNGLAENGRGSVYNLVSQSSSMLNFPLNSAAELSGNSLPLVSSLTSNPEMKGSVGFMPSYDIFSDLNQHKSHDWELGMNFNASQQNNSLQSNLNVGPSVLPHQGFSSSQRIGLNISAVGKPMFTAEDASAHVNAQHFGQVNTFFSDNSASVKPEIVSDASCQTTLFPEQFGQEDLMSTLLKQQQGGVPAENEFDIDGSPLDDIPQ >Manes.18G068400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6161857:6165922:-1 gene:Manes.18G068400.v8.1 transcript:Manes.18G068400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETVTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVAVSRALNGIGLAIVAPAIQSLVADSTNDSNRGTAFGWLQLTANIGSVVGGLCSVLIAPITFMGIPGWRLSFHLVGIISVAVGVLVYLFAHDPHFLEDNMKNRNQDESKSFWSEVKDLLQEAKSVIKIPSFQIIVAQGITGSFPWSALTFSAMWLELIGFSHEKTAFLIALFVIASSFGGLFGGKMGDILSTRFPNSGRIILAQISSASAIPLAALLLLSLPDDPSTAIAHGIVMVIMGLCITWNAPATNNPIFAEIVPERSRTSVYALDRSFESILSSFAPPIVGILAQHVYGYKPIPIGSSESEEIATDRRNAASLAKALYTAIGVPMALCCFIYSFLYSTYPRDKKRAQMQALIETEMEQLILDDSPRSGESAQVQLFEAEELSVKDRSVIEMNYEEENGLDLEDDDEKLMLYRQLTFSNLGE >Manes.18G068400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6161857:6165922:-1 gene:Manes.18G068400.v8.1 transcript:Manes.18G068400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETVTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVAVSRALNGIGLAIVAPAIQSLVADSTNDSNRGTAFGWLQLTANIGSVVGGLCSVLIAPITFMGIPGWRLSFHLVGIISVAVGVLVYLFAHDPHFLEDNMKNRNQDESKSFWSEVKDLLQEAKSVIKIPSFQIIVAQGITGSFPWSALTFSAMWLELIGFSHEKTAFLIALFVIASSFGGLFGGKMGDILSTRFPNSGRIILAQISSASAIPLAALLLLSLPDDPSTAIAHGIVMVIMGLCITWNAPATNKNENNQFIAKTYDPQADCVKQNIQVQFLQK >Manes.18G068400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6161857:6165922:-1 gene:Manes.18G068400.v8.1 transcript:Manes.18G068400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQETVTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVAVSRALNGIGLAIVAPAIQSLVADSTNDSNRGTAFGWLQLTANIGSVVGGLCSVLIAPITFMGIPGWRLSFHLVGIISVAVGVLVYLFAHDPHFLEDNMKNRNQDESKSFWSEVKDLLQEAKSVIKIPSFQIIVAQGITGSFPWSALTFSAMWLELIGFSHEKTAFLIALFVIASSFGGLFGGKMGDILSTRFPNSGRIILAQISSASAIPLAALLLLSLPDDPSTAIAHGIVMVIMGLCITWNAPATNNKLIEHLDVS >Manes.05G129900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22065507:22072504:-1 gene:Manes.05G129900.v8.1 transcript:Manes.05G129900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLNVTVNVSNSETEDKCGLDMSSYEELPTPAADCNGVKDGNESGMGDREDDPDASYVFVVGNNTVPVEPADSADLNGKSEPVHNVGSCDNDIENEVAESEVDHGIEAVKVAAIEGDSSSPFNNGVISKDGKVENGAIEVSTGTSPIVGLEALDDQSEGEEGHVELDSTGGLKGTGDLSEAVEPEPEPELMQVKFGDVKAGEENSSIVSPESQFTTYTDVAESETNHSGNVDFAMSADVAESELKNASVLEVKSSELQPDESGNFEVTSSVDVAELERNQFHSVEVSCSIDVSESEPNQYSNDEVKIEGVREMNLGVDVKGNQDSQSAITEGFIDGDLDNQGQEPVGFSNNPPLEGPQRESEVVLEQNTEKIPCLAVADIKLEETEVIDTSACNAYENGLSAGHIEDTVAERIVVNGFVSASQNTSEQNNSSREGENLISCKAKIGTNETPSSIGTETGKSSPSASDNDTIGHPTDEINKPVVQLTCEDIHGHITHEKGELLPTDHQESVSQTIANGFAHANPTTSEVVRMVVHQNVAIESFGSIPVALASDTVLEPVVEAGDSCHVAVDVTDINDDTRTETLVEMLDVNSGENVGSHSVGDREIVIEPDHSQIVTETMPSWPENDAEPEIKADSTAIESGEVSTPPNDEVDKESEFSTGAAKCSGSNAVSVGEPDREACVHVSVENPVSVQAGPEVEHSPMASKEVISNHERCASECEGQNGSVITGERTINCIQDDENEGDQLVTIDGEEKAPQEMEVTDKVTREELSSSSPEGSSVDASEGQNAAVEVGKKPFYYMIRIPRYEDDENLREQIKHAQFQVDETTKSRDVVRAEMQRKRIRNMEHMIQHETLPLKEEKSYIREIKQLKQTREQLSSSLGSQEDIQEAIDQKDQVEERLKLLRKEADQLRENVLKAEAAIRNAKKTCQEENAKLNEVVARFRAADDVRQEAYAHLQSLRKRLYDKNKHFWQYRDDSKLAYDLASQGNKEELQRHCVNQVERVMDLWNNNDEFQKEYIRCNMKSTVRRLQTLDGRSLGPDEVPPAIPVVVSERIAKDNIKPPLTALESKKTIAPTGTEKTDDKSTANVGNKNNLTAKPKKITQHAPLGNGFATISGRNEIEEAWQKEEKQTKEDKQTKEEEELARKAEELRKQEEAAILKEHQRLEEKAKAKEAMERKKRNAAKAQARAALRAQKEAEEKEKEREKRARKKEKKKAVAEDTNTVNEGESSPGIEPPIETKESETREKPMTVTKRAHKPLHFTKQTKSKSMPPPLRNRGKRRMETWMWFLLAALAVFGLFLMGNGTISLKGLGF >Manes.05G129900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:22065507:22072504:-1 gene:Manes.05G129900.v8.1 transcript:Manes.05G129900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLNVTVNVSNSETEDKCGLDMSSYEELPTPAADCNGVKDGNESGMGDREDDPDASYVFVVGNNTVPVEPADSADLNGKSEPVHNVGSCDNDIENEVAESEVDHGIEAVKVAAIEGDSSSPFNNGVISKDGKVENGAIEVSTGTSPIVGLEALDDQSEGEEGHVELDSTGGLKGTGDLSEAVEPEPEPELMQVKFGDVKAGEENSSIVSPESQFTTYTDVAESETNHSGNVDFAMSADVAESELKNASVLEVKSSELQPDESGNFEVTSSVDVAELERNQFHSVEVSCSIDVSESEPNQYSNDEVKIEGVREMNLGVDVKGNQDSQSAITEGFIDGDLDNQGQEPVGFSNNPPLEGPQRESEVVLEQNTEKIPCLAVADIKLEETEVIDTSACNAYENGLSAGHIEDTVAERIVVNGFVSASQNTSEQNNSSREGENLISCKAKIGTNETPSSIGTETGKSSPSASDNDTIGHPTDEINKPVVQLTCEDIHGHITHEKGELLPTDHQESVSQTIANGFAHANPTTSEVVRMVVHQNVAIESFGSIPVALASDTVLEPVVEAGDSCHVAVDVTDINDDTRTETLVEMLDVNSGENVGSHSVGDREIVIEPDHSQIVTETMPSWPENDAEPEIKADSTAIESGEVSTPPNDEVDKESEFSTGAAKCSGSNAVSVGEPDREACVHVSVENPVSVQAGPEVEHSPMASKEVISNHERCASECEGQNGSVITGERTINCIQDDENEGDQLVTIDGEEKAPQEMEVTDKVTREELSSSSPEGSSVDASEGQNAAVEVGKKPFYYMIRIPRYEDDENLREQIKHAQFQVDETTKSRDVVRAEMQRKRAICNEYGARVDAAISEEVAVRNLLKSKRKEIDSALSLINKVKSAFSVGDIDSKIRNMEHMIQHETLPLKEEKSYIREIKQLKQTREQLSSSLGSQEDIQEAIDQKDQVEERLKLLRKEADQLRENVLKAEAAIRNAKKTCQEENAKLNEVVARFRAADDVRQEAYAHLQSLRKRLYDKNKHFWQYRDDSKLAYDLASQGNKEELQRHCVNQVERVMDLWNNNDEFQKEYIRCNMKSTVRRLQTLDGRSLGPDEVPPAIPVVVSERIAKDNIKPPLTALESKKTIAPTGTEKTDDKSTANVGNKNNLTAKPKKITQHAPLGNGFATISGRNEIEEAWQKEEKQTKEDKQTKEEEELARKAEELRKQEEAAILKEHQRLEEKAKAKEAMERKKRNAAKAQARAALRAQKEAEEKEKEREKRARKKEKKKAVAEDTNTVNEGESSPGIEPPIETKESETREKPMTVTKRAHKPLHFTKQTKSKSMPPPLRNRGKRRMETWMWFLLAALAVFGLFLMGNGTISLKGLGF >Manes.10G025400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:2550461:2555584:1 gene:Manes.10G025400.v8.1 transcript:Manes.10G025400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIVSTAVERISNLLIQEAAFLSNVRDEVERLQAELKRMQCFLQDADCKKDQDERIRNWVSEIRDLAYDADDAIDTFLLTIPRTQVGSFGRIKNSTCMIAKAPQLHDIGQQINSIRNKLGDIATSMQTYGIKFVEVDGSSSATELRRRLRRTTPYDEDEHVIRLESTTMELLDQLMLEDDRLRVVSIVGMGGLGKTTLAKHVYNRVDIKKHFDCLSWAFISQQFSRGDVLLGILREVGIKWENMGSLKEEEELIRTLRNLLKEKRYLVVLDDIWKEEAWDSLKFAFPKGKKGSKVLFTTRIKEVALYADPWSSPVEPPFFTNEEGWELLSRKAFLEDIASERGYAPEFERIGKEMVRKCGGLPLAIAVLGGLLANKSLKEWKMVQKDINTQFIKLQLHNHCAGVDWILALSYQELPFWLKPCFLYLSQFPEDSEIDKKKLIRMWIAEGVVRQPLRGEGDETMEDVGEQFLEELANRCMVQVSRRDHTGVGIKSCRMHDLMRDMCVSKAREESFLGVIKHQQDLAAAAMTKSRRIAIHKKFFLPTEDFDPHLRSLIYLVPEKVVGYSMRKDQVIFIFKNFKLLRVLNLENMSLKESYMPREIGNLIHLRYLGMRNTTLQCTYLSNLVTRLTPLPSPIGYLKSLHTLDLREVHWDVHYVSAWKLHDVVWKLKCLRHLLLDSDADDLCKYLLDTLRNLETLKWIQAKSLIRRDALFNLTNLRNLGVKFQRIEEAELVLRSPIFGLGRLCCLKMHMLKGSSFPSLETLSDCHQLTKLELNGIIPEDPYSSLHNLECLPRSLAKLRLSNSRLKKDPMGVLEKLPNLRFLDLGEESYEGSVMVCTVHGFPQLESLNVSGIDALEEWRIEEGALPCLRDLSLSYLRKLRMIPEGLKFISTVRKLVLRCMTQEFTTRVKVINGVGEDFDKVRHIPSIILYV >Manes.01G198000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37226063:37228117:1 gene:Manes.01G198000.v8.1 transcript:Manes.01G198000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLCPLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWDCQGAYDRSRGNRRNWILVWEDGFCNFFASTAEIKSSDYPSTSVYDNCEFQPYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNHQEINFLTSWHNSADSHPRTWEAQFQSGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAFPYKVDEIYGTPESWHYHNTNIVQSTELHGHVNQVPLKITPSMSSLEALLSKLPSVVPPPQSGSGYFAELQPQSETPYLPMEYMDMEKAAKEEIDEDHRGEDVMGESSSSMSAYRRRKFQN >Manes.11G154400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32137747:32141446:1 gene:Manes.11G154400.v8.1 transcript:Manes.11G154400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLLFQWFLFFFILLTSATAARVNIPRLSPTGPRIIENPSEILSELASDDLETFFYNQTLDHFNYQPESYTTFEQRYVINSKNWGGANANAPILVYFGAEESLDNDLAAVGFLSDNAVRFNALLLYIEHRYYGKSIPFGSREEALKNGSTRGYFNSAQAIADYAEIILHVKKSFRAENSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPVLYFDDITPQDGYYSIVTKDFREASETCLQTIQKSWGEIDEIASKPNGLSILSKKFKTCKPLADSDELKNYLDSMYSSAAQYNKPPTYPVNIICRGIDGSSDTENDTLSKIVAGLFAYKGDRSCYINAATNVSETGEGWRWQTCSEMVIPIGRGNDTMFPPDPFDLESYIQDCKNLYGVPPRPHWVTTYYGEHKAYSSEVWQQHHFLQWIERSI >Manes.11G154400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:32137747:32141446:1 gene:Manes.11G154400.v8.1 transcript:Manes.11G154400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLLFQWFLFFFILLTSATAARVNIPRLSPTGPRIIENPSEILSELASDDLETFFYNQTLDHFNYQPESYTTFEQRYVINSKNWGGANANAPILVYFGAEESLDNDLAAVGFLSDNAVRFNALLLYIEHRYYGKSIPFGSREEALKNGSTRGYFNSAQAIADYAEIILHVKKSFRAENSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPVLYFDDITPQDGYYSIVTKDFREASETCLQTIQKSWGEIDEIASKPNGLSILSKKFKTCKPLADSDELKNYLDSMYSSAAQYNKPPTYPVNIICRGIDGSSDTENDTLSKIVAGLFAYKGDRSCYINAATNVSETGEGWRWQTCSEMVIPIGRGNDTMFPPDPFDLESYIQDCKNLYGVPPRPHWVTTYYGGHSIKLILQRFGSNIIFSNGLKDPYSSGGVLENLSDTITAVHTVNGSHCLDILYADKTADPEWLVSQREVEIKIIESWINNYYDDLSKQQ >Manes.03G123618.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25090702:25093469:1 gene:Manes.03G123618.v8.1 transcript:Manes.03G123618.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELETQIPTTFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >Manes.11G020500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2197150:2200475:-1 gene:Manes.11G020500.v8.1 transcript:Manes.11G020500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAESPSSVRKVIVQLRATADAPILKQNKFKIPGTDKFAKVIDFLRRQLHRETVFVYINSAFSPNPDELVIDLFNNFGVDGKLLVNYACSMAWG >Manes.18G083535.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7709098:7716226:1 gene:Manes.18G083535.v8.1 transcript:Manes.18G083535.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGTSNGDCTGEGVQCLTSEAVNNGFKFRIGNDSRDWGSEISVGFQTYKRRKHTRSSSASKDQDDGKGSAEAGSKLADETAKELPDCFPEKQASLDGVNDVLHKQSRDFVLERICHSLNDDKGGIQGCIRDVLLMTVKESDSCDKDRHKHSSHARWVPNGTLAAEEHVDISKESLDESHRPLTEMCQHAFLDIILSEKFTLLCKLLVENFQEMTAGNLLGLSLINMRMKDGVYERSPSLFLSDIQLVWKKLQGIGNDLISLAKSLSDVSLTCCNEQFSTRGFNFQCKPEKMDNCGVYSVCTCRRCGDKADGKDCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCASCTAVGMGSPHDNCVVCERLNAPRSLCDQAGDEKGSLTIEKVFGEFEETSNCSRDDFCQPPAGSRNICVCKFCGNEVEHGEKLRTCEHVLCPYKYYHVRCLTTNLLKLHGPLWYCPSCLCRVCLTDKDDSKIVLCDGCDNAYHMYCMSPPRTSVPRGKWFCRQCDAKIKEIRRARRAYEKQGYRMKKKIEAGKRASEDIEKKLDEKCEQESVKDRERMDMLLTAALYEEKLAGVRNT >Manes.18G083535.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:7709098:7716226:1 gene:Manes.18G083535.v8.1 transcript:Manes.18G083535.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGTSNGDCTGEGVQCLTSEAVNNGFKFRIGNDSRDWGSEISVGFQTYKRRKHTRSSSASKDQDDGKGSAEAGSKLADETAKELPDCFPEKQASLDGVNDVLHKQSRDFVLERICHSLNDDKGGIQGCIRDVLLMTVKESDSCDKDRHKHSSHARWVPNGTLAAEEHVDISKESLDESHRPLTEMCQHAFLDIILSEKFTLLCKLLVENFQEMTAGNLLGLSLINMRMKDGVYERSPSLFLSDIQLVWKKLQGIGNDLISLAKSLSDVSLTCCNEQFSTRGFNFQCKPEKMDNCGVYSVCTCRRCGDKADGKDCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCASCTAVGMGSPHDNCVVCERLNAPRSLCDQAGDEKGSLTIEKVFGEFEETSNCSRDDFCQPPAGSRNICVCKFCGNEVEHGEKLRTCEHVLCPYKYYHVRCLTTNLLKLHGPLWYCPSCLCRVCLTDKDDSKIVLCDGCDNAYHMYCMSPPRTSVPRGKWFCRQCDAKIKEIRRARRAYEKQGYRMKKKIEAGKRASEDIEKKLDEKCEQESVKDRERMDMLLTAALYEEKLAGVRNT >Manes.03G120848.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:24741604:24743714:1 gene:Manes.03G120848.v8.1 transcript:Manes.03G120848.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGSSRNPSLSLLPQLSPHLPQQVSHGLNRPIRKCLRRLDSRYYIDAYTWDKSYNSRLQYAKLDVNMVQQLHQKKLNGITGQCNVPTMEEYVQVTTSFLGMGKVASFEWVSNDPNMVMALSLLCRLMNDIVSHKLEQEREHVPSSVECYMKQRGVSEEDTVKLFREEIRNAWKVINEERLRPTPDPMPLLDRIMNLARAMDVTCWKDGDGFTNSFILKDYVASLFKDPLP >Manes.02G121696.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9259571:9264542:-1 gene:Manes.02G121696.v8.1 transcript:Manes.02G121696.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQATSFASSVCKNGTQKFPSSSFLPGFDVVAGRGFIKKETLPRSLISSIPRATLTFDPPTTNSDKIKQRKHTLDPSSPDFLPLPSFEQCFPRSTKEYREVVHEQTGHVLKVPFRRVHLSGDEPCFDNYDTSGPQNISPRTGLPKLRKDWVDRREKLGTPRYTQMYYAKQGIITEEMLYCAVREKLDPEFVRSEVARGRAIIPSNKKHLELQPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKISAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQHGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPESYIRSSERSI >Manes.02G121696.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:9259571:9264542:-1 gene:Manes.02G121696.v8.1 transcript:Manes.02G121696.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQATSFASSVCKNGTQKFPSSSFLPGFDVVAGRGFIKKETLPRSLISSIPRATLTFDPPTTNSDKIKQRKHTLDPSSPDFLPLPSFEQCFPRSTKEYREVVHEQTGHVLKVPFRRVHLSGDEPCFDNYDTSGPQNISPRTGLPKLRKDWVDRREKLGTPRYTQMYYAKQGIITEEMLYCAVREKLDPEFVRSEVARGRAIIPSNKKHLELQPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKISAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQHGMDAMSAEFLAAKKTVSGEQHGEVGGEIYLPESYIRSSERSI >Manes.09G160701.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:35567318:35572357:1 gene:Manes.09G160701.v8.1 transcript:Manes.09G160701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLMEETMSSELEFSELRKQSIRQTLDQLHDRASSILMLTLRLKEIEEHFEPTHNAVEDRANELLVIQVSVEQNLEEVKKREKELEVVRESVNARLAEIVAREKEFESSQRKEIEEKRIMIEWVDKSRGELEVISDSIERKLRELKDVEKKFELFDITIQEKAYEIRVFDREVNERLAGIEVKEKECENFFSALKVKEKQVEERSKELALKDEHFSRQCIELQLKEGNLGKQDTELKLKVEKTEERFRVLELKEKQIQTRCEEMELENKKLVERCEELALKEKQLESRRRELQLEDMEFAKRVKQFELKERELEESVKKFYLKEKQLVNGHMTHVKIEPPQSHGVNIHLSAPMDGKSLQMFLNDHCEDHDLMRNTVLNALRLSSDPAKLVLDAMEGFYPPNLKKGDLDFEEAVVRRSCIVLLEQLKSISPEIRPDITKEATRLARDWMKKMKVDAKHSLEVFRFLQLLATYGLASVFDTDELLTCLGTVAQHTQAPELFRILGLTEKISEFLQNLISKNKLIEAVRFIYAFEKINEFPPAPLLEKYLEDSKSSDRSIQAQIEATNKRIINIRAALRCTEDDKIESRKSPEKLKQQIRCLEDANSLREALLAAKPPYDENKCFGPQIVAAASCSLAPQSESIRSPAPITPTKGSTTGPSSSPPRQQSGTKRHRTAVSVKYDPSALDGAASAVTTPSSSPIANSTTTAANTAETITSSPATAIVAAPITTSSPPADAPTAASAFSSATTVSTVAPITAASISLTVGSRIIAIPETGTDDQISKKRPRISGKPQTTCVDTSRIVHPRQSRLQQSASQFMVNGAPCATAIHYDSSGYPPLYMQFSYPYYNSGYYWRP >Manes.08G089011.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:24000869:24002280:1 gene:Manes.08G089011.v8.1 transcript:Manes.08G089011.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCENPRGQILPGTREGEWMLRAVHDASGKPEVARGQARDSPGPRTIAQLEYASAIGSLMYAMHCTRPNITFAVCKLSRYTSNPISYCIRKLCNASWMTNIDENKSTSRWVFTLEDGIISWAPKKQICITHSTIESEFIALDAASKEAKWLRNLLLDIKSWPQLMPAISLHCDSQTTISRAYSKVYNDKSRHISLRHEYIRQLIADETITIIYVKSCNNLIDPFTKGLSRDLIKSTPLGLGLRLIS >Manes.12G082116.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:11547495:11548095:-1 gene:Manes.12G082116.v8.1 transcript:Manes.12G082116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSGNCQGMGNSLTFHYLKELCYTHYLDILRKCCFFKSFFVNPQGLAKGLALSLKEECNVFVIHLEVYFLHAYIYNSSINSNIDVLFVYISNVEEVKTIQFTSLINYRSSIGNYFVIIGDFNSILSAVEKEGGTQFTHSNSLLFRNFIKELGLIDLGYKGSGMTWSNKGEGVHHIKERLD >Manes.02G010700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1118663:1121004:1 gene:Manes.02G010700.v8.1 transcript:Manes.02G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETNVPFGFTNAPDIGSSLSQLILAGGSNTLDSIFSHCLPESSISGPVFEPLGSSVYLRQRDLLQKFCEENRTNNNSSFSRNLLTNPIQSSVRTSNYLAPSKKKLYRGVRQRHWGKWVAEIRLPQNRTRVWLGTYDTAEAAAYAYDRAAYKLRGEYARLNFPNNKDPSKVGFTDCNRLNALKNSVDAKIQAICQKVKRERAKKNAAKKNNVDANDSERDKMVKINSNSNSSSPSSSSLSPIVFGDSWDGELVSPTVSEDGFWKYENSSPSVSTDCQIMKPEGMDFDGCSLARMPSFDPELIWEVLAN >Manes.06G037525.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:11255244:11255738:1 gene:Manes.06G037525.v8.1 transcript:Manes.06G037525.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTDKKRFERVFQVGDMRTLKLLAKFYGPFKVIERIGPVAYKLDLPPSSSINPIFPSSRLKKKFGDNVVPMVELPGMLEEEVIVAPQEVLQTRVISRKGWQILEVLIKWQNLSPDDATWEDSSFIVAQFPDFVHSLGQECAQGGYCYI >Manes.09G105700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30692507:30699713:-1 gene:Manes.09G105700.v8.1 transcript:Manes.09G105700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALIIVAIVVCIIVFIFNVYLLVNYQHPDDKNQAYFPKFVVVLGLSVALISILMLPADVANRQACRRAIYNGACNLTLPMKDLWIAVYIIDALLVFFVIPFAMFYYEGDQDKTIGQRMKSSLLWVITTAIVCGLLLGILYGLVGKVDFTVMHLSSTTTNFPSSWDFSSNQPCIGSGVHQCSAYLASPSSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVNLLEEMYPQGEKAETAWALTVLGYLAKLVLGILGLIVSVAWVAHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVALILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAGLTFVYYAAFGWRRKKPSGRFQLSS >Manes.09G105700.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30692507:30699713:-1 gene:Manes.09G105700.v8.1 transcript:Manes.09G105700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALIIVAIVVCIIVFIFNVYLLVNYQHPDDKNQAYFPKFVVVLGLSVALISILMLPADVANRQACRRAIYNGACNLTLPMKDLWIAVYIIDALLVFFVIPFAMFYYEGDQDKTIGQRMKSSLLWVITTAIVCGLLLGILYGLVGKVDFTVMHLSSTTTNFPSSWDFSSNQPCIGSGVHQCSAYLASPSSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVNLLEEMYPQGEKAETAWALTVLGYLAKLVLGILGLIVSVAWVAHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVALILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAGLTFVYYAAFGWRRKKPSGRFQLSS >Manes.09G105700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:30692123:30699774:-1 gene:Manes.09G105700.v8.1 transcript:Manes.09G105700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALIIVAIVVCIIVFIFNVYLLVNYQHPDDKNQAYFPKFVVVLGLSVALISILMLPADVANRQACRRAIYNGACNLTLPMKDLWIAVYIIDALLVFFVIPFAMFYYEGDQDKTIGQRMKSSLLWVITTAIVCGLLLGILYGLVGKVDFTVMHLSSTTTNFPSSWDFSSNQPCIGSGVHQCSAYLASPSSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKAKELKKAADALHQEERSGSKGRKWRKNVKAVEKELLQLEEDVNLLEEMYPQGEKAETAWALTVLGYLAKLVLGILGLIVSVAWVAHIVIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVALILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFIVLAGLTFVYYAAFGWRRKKPSGRFQLSS >Manes.14G139301.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:12211958:12212618:-1 gene:Manes.14G139301.v8.1 transcript:Manes.14G139301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAEMMVRCVFDGSISMHDIEIERRPYHRNCGCALHSLKAVCSNGCSPQRSYISFPKKQALFRCSLSLDTSSSKFSSQSSNVLNFVNDDETD >Manes.04G082700.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390735:28393984:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKVANSAWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390722:28393989:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390735:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390764:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKVANSAWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390734:28393985:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKVANSAWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390735:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390764:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390764:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390764:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKVANSAWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390764:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKVANSAWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390722:28393984:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390722:28393984:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390764:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKVANSAWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390764:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKVANSAWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390763:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKVANSAWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.04G082700.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28390764:28393980:-1 gene:Manes.04G082700.v8.1 transcript:Manes.04G082700.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQHQSGRYKIDYYKWSLMPPPHLKEQNNALVMNKKIMAILAERDAAIQERNMALAEKKEALDARDEALQQRDKALAERDKALMERDNALAAIQYRENDVNFAMNNESQRGLKRIPHPVYKSNAVVEALNSGETHITDTFPIANVSAESLKLRQTKRSKENKPASSKASKAPRKGNKVAEDLNREGASDGKKFKVEWDSQDAGLNLVNFDETTIPVPVCSCTGAPHQCYKWGNGGWQSSCCTTTMSSYPLPQMPNKRHARIGGRKMSGSVFRKLLGRLAAEGHDLSTPLDLKEYWARHGTNRYITIK >Manes.01G116200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:31350151:31353166:1 gene:Manes.01G116200.v8.1 transcript:Manes.01G116200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMGSNKRRQFHDHRQDANKKHQSSPSSSTTTSNLQSSSNPQTKRPTFPSYLDTPNLPPKVKLLCEIIANTPSSSVEAVFDDAGVRVSQSDVEQVLKLSYSFPGPAVKFFRWAGFQLQDNHSPYSWNLVVDLLGKNSLFDAMWDAIKSMRREGLVSLATFASVFGSYVIAGRVQEAIMTFEVMDQYGCARDVVALNSLLSAICRDGKIVAAVEFLHVARNVIRPDADTYAILLEGWEKEMNVVSARQTFGEMVREIGWDPVNVPAYDTFLCTLLMGCDGLNEAIEFLQIMKERRCSPGMRFFRVALEECLKLSDVKRAGLIWEAMVARNRFMPDTELYNMMITLHCYASNTDMAQRFLDDMVYNGAFPDSLTYNLMFQFLIKSRKLKEASVMFNEMIKNECIPNQANCSAAVRVYIHSKEPYMAIKVWKYMIENYEKELEETGNILVVGLCDFQMDPEAVKYAEGMIEKGIKVTSSSLAKLKQCLVQARKDFVYEALLKKCKAHSVC >Manes.12G009200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:955639:962175:1 gene:Manes.12G009200.v8.1 transcript:Manes.12G009200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPETNVSAREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLSSKELESLERQLDMSLKQIRSTRTQYMLDQLTDLQRKEQMLNEANKTLKQRLVEGYQINTMQLNPSAEEVEAFGRQAPQHHGDVFFHPLECEPTLQIGYQPDPITVVTAGPSVNNYMPGWLP >Manes.16G019130.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2040964:2042178:-1 gene:Manes.16G019130.v8.1 transcript:Manes.16G019130.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISKLQHRNLVRLLGCCIEREEKILVYEYMPNKSLDTYLFDTTRKAELDWTKRFNIIMGVARGLLYLHRDSCLRVIHRDLKVSNILLDEKMNPKISDFGLARIFEGTQDLGSTHKVVGTIGYMAPEYLFGGIFSEKSDVFGFGILILEIVSGRKTSSFQYDEQNMSLLSYVSQHYNHIDVVLFP >Manes.02G038700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3180821:3187018:1 gene:Manes.02G038700.v8.1 transcript:Manes.02G038700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTNFYKNPSISYKKDFSLSSVLQNLQAYNVATGSAPVTQEQQYLDKENDRRGCKKAGQKRRSSENPRFDRRGGVEEHDGSMTHQDYIDKRRKEVSSSHPYEALTADVLGTSSSVFNLVNYGSDESTSESDEEEPQHSGHTNGVDQVKTRSEQRFPAPEEPVCVICGKYGEYICNETDDDICSLECKDELLRSLKLAKDKLSNQKLDIPYSGPKCVSSMPELGEDTWDYNRNCWSKKSSNLCTYKCWKCQRPGHLAEDCLVKTCNQVAEEQNKNSVPKDLLGLYRSAGHLDEHIRTHPCHQQYYSHKLKRLVKCCKSTCEVTNIRDLLACHYCFDKAFDKFYDMHTATWKGAGLSMIWGSVCCEDHFEWHRMNCLNADVEDRAYIISKNAEKNKRILQLNDFIF >Manes.02G038700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:3180821:3187018:1 gene:Manes.02G038700.v8.1 transcript:Manes.02G038700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTNFYKNPSISYKKDFSLSSVLQNLQAYNVATGSAPVTQEQQYLDKENDRRGCKKAGQKRRSSENPRFDRRGGVEEHDGSMTHQDYIDKRRKEVSSSHPYEALTADVLGTSSSVFNLVNYGSDESTSESDEEEPQHSGHTNGVDQVKTRSEQRFPAPEEPVCVICGKYGEYICNETDDDICSLECKDELLRSLKLAKDKLSNQKLDIPYSGPKCVSSMPELGEDTWDYNRNCWSKKSSNLCTYKCWKCQRPGHLAEDCLVKTCNQVAEEQNKNSVPKDLLGLYRRCHQISKTLSAANCNVCRSSLSLATCLRCSAVLCDNAGHLDEHIRTHPCHQQYYSHKLKRLVKCCKSTCEVTNIRDLLACHYCFDKAFDKFYDMHTATWKGAGLSMIWGSVCCEDHFEWHRMNCLNADVEDRAYIISKNAEKNKRILQLNDFIF >Manes.09G075938.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:18677835:18679304:-1 gene:Manes.09G075938.v8.1 transcript:Manes.09G075938.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIIFSIVSLLFINFSLVQAQPAVFDITKFGAAPDGKADASQAIADAWKEACAAAGSSKILIPAGKFLAGIVNVTGPCKGAIEVEVQGTVQAPPELAGGDGWFNFNHIDQFTLSGKGTLDGQGQVAWKGVSCDKDPKNCKKHPMNIRFNFITKGLVRDITSLNSKYFHVNVLGCDDFTFEGFKVSTPEGSLNTDGIHIGRSKGVTISNAKIGTGDDCISIGDGTENLKITKVACGPGHGISIGSLGKYENEDPVSGITVSDCTLTGTTNGVRIKTWPAMFPNTATNIHFQDITMENVSNPIIVDQMYCPWNKCNKKEPSKVKISDVSFKNIKGTSATALTVQLICSSGVPCEKVELANIDLTYSGPEGPAKSECIDVKPTIVGKIPEGCK >Manes.18G124400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:15270313:15272706:1 gene:Manes.18G124400.v8.1 transcript:Manes.18G124400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRARQWLSLVGIIWLQSVNGTNTNFPAYSSQLKQLLSMSQLQLNNLAFASDAGKIFGFFSGIAAFYLPLWLVLLIGSTLGLIGYGVQYLFLTSYISSLSYTHIFLLTVVAGNSICWINTVCYVVAIRNFPFDRQLAVGLSTSYQGLSAKIYTVLVDALFFSFPDKRAKAYLLLNSILPFLVSVLAAPVVRDVVDIENSKNMKSGFMVMFIITIVTGIFAVITSLKSMASGLSPLVNAIGVVGFLLAPLVIPLAVKIREVLAAKWMLNREAKVHTFTAEENDDAERMESGVKEGDDTREAIEVGVKEEIGVKLMLTRLNFWLYFFVYLCGATLGLVYLNNLGQIAESRGCSGTSSLVSLSSSFGFFGRLIPSLVDYFFPRRKHLISRPTSIAALMTPMAGAFFFLLNKSNISLYISTAVIGICTGAITCISVSTTTELFGTKNFSINHNLVVANIPIGSFFLAIFLLLFITRKVMKMENAWGCNAIEPLSLSGVHFVFLALPWL >Manes.05G002000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:234438:239137:-1 gene:Manes.05G002000.v8.1 transcript:Manes.05G002000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQQIWLVLGIFILFAVSALADDVVVLTEDNFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGTSFKKAKSVLIGKVDCDEHKSLCSKYGVSGYPTIQWFPKGSLEPKKYEGPRTTELLAEFVNNEGGTNVKIATMASNVVVLTAENFNEVVLDETKDVLVEFYAPWCGHCKNLAPTYEKVATVFKSEEHVVIANLDADRYRGLADKFGISGFPTLKFFPKGNKAGEEYEGDRDLEDFVNFINEKCGTSRDGKGQLTSKAGIVESLDALVKEFVAASNDEKKAVVSRIEEEVEKLEGSTARHGKIYLKAAKNSMAKGPDYAKNEIERLQRLLDKSISPAKADEFTLKKNILSTFA >Manes.06G030800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:7901544:7905097:1 gene:Manes.06G030800.v8.1 transcript:Manes.06G030800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRKELKMGYNIDQHDYDVRLRAARKFLKDGNKVKVIVNLKGRENDFRNIAIELIRCFQNDIGELATEESKKFRDRNIFITLVPNKVLQKTQEPPRKKDKSAINEVSAGV >Manes.04G097000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30228987:30230180:-1 gene:Manes.04G097000.v8.1 transcript:Manes.04G097000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSLELKVMYCKDLKSFNFFQKLLVYALVKLESDDSDKKMKQNQQHRTPTDAEGDGNPEWNYEMQFDLSEFSFVDCDHIFIHFDLRHEGLYFGDKTIGEVRVPLKDLIQESSGIVRFVNYQVRSPDGKPNGLLNFSYKVHAKGEAMGIHFPTSEISGYSVVHHHHQSPEIQYSTSEVESLSPVVHYPSLELEDSPQETYCAAQESYPSHRTQYYPPGPAPAPAPYAYGPPSMPPPPPPLLHQPPPHPHGACYLSPYPPYPRPWAPGGVYDHNAHENWSSPGRQSHSFGYGETWRNDFGAY >Manes.07G033000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:3609814:3613265:-1 gene:Manes.07G033000.v8.1 transcript:Manes.07G033000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAIARDERPHAVCVPYPAQGHVNPMLKLAKILHSNGFHITFVNSEYNHRRLLRSRGPDSLDGLPDFHFDSIPDGLPPSDANATQDIPSLCDSTSKHCLLPFRHLLSRLNSSNTVPPVTCVISDACMSFTLDAAHEFGIPDVLFWTPSSCGVLAYAHYRHLIERGLTPLKDESYLTNGYLDTTIDWIPGMKNIRLRDLPSFIRTTDRNDIMLNFFVREIERTSRASAVILNTFEAFEKHVLDVLFTMLPPIYTIGPLQLLLDQIPDSKLRNIGSNLWKEQPECIDWLDSKEPNSVVYVNFGSITVVTPQQMVEFAWGLANSKKPFLWIIRPDLVVGEAAMLPPEFVSETKDRGTLASWSPQEQILKHPAIGGFLSHMGWNSTLESICGGVPVVCWPFFAEQQTNCWFACNEWGVGMEIDNDVKREEVEKLVRELMDGKKGKEMKRQAMEWKIKAEEATTPGGSSHRNLVQLLGFLQRK >Manes.13G142900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:35679740:35682157:-1 gene:Manes.13G142900.v8.1 transcript:Manes.13G142900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPPSHRSNPNSQSKSASRLARITSSIDNEEPPHLSLDLMPSPAKKTPSTSLALSLRSSTNSLPFRDLLLLSPSPRKSRSRLADRIEVTEEAAMEPTGSRRRCKSRAAQLGALGCASPRHSRRSRRRSEMESREEKDLIGLGEEIGKVRKRRHSGRSKKEKLSLVPSLPSSNPSPEVEDGDGGNLDKIGMIIYDLIMWKDVAKSSLWFGLGCLCFLSSCFAKGISFSIFSAISQLGLLFLGASFISNSICPRNNMEKRCNFKLKEEDILKLGRLILPPANLAISKTRELFSGEPSMTLKVIPFLLLGAEYGHLVTLWRLCAIGFFIGFTMPKLYSRYSNQIDQKVDFTKCRVMEAWEACSHKKIVAASAVTAFWNMSSVKTRIFAAFIFLVILRCCRQNLVPNQEGEEEGEGQEQEPEQAKALVVAEEVASQK >Manes.02G053300.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:4269547:4273749:-1 gene:Manes.02G053300.v8.1 transcript:Manes.02G053300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLEYPFRKPVSIGPEHQAFVPEWEGPITSSNNLQPDKSNPEVLFARSSSPSIRVDDGYEERLMGICVIPMPDSEASVTHCCWGTKTDCSCLDQGSIGCVKQHVVEARQRLRENLGEEVFEGLGFNDMGEDVAKKWTEEEEQVFHDLILSNPASLGRNFWVHLAAAFPSRTKKELCNYYFNVFMLRRRTEQNRFDPLNIDSDNDEWQRSEDGMEEGDEDSAVESLGGHAYYQEDHAEDCNTHIEEDDDEMVASKESANDDDIQRGATDEEYEGDIDDISEAHVGIATDDCGGDTGFKLLGISSNMGNDFDIEDGSCTSYECQRDNIDSCGPVDTASVYDGRHSSQE >Manes.02G053300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4269547:4273749:-1 gene:Manes.02G053300.v8.1 transcript:Manes.02G053300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKRPFVGDDSYEVAFKYPRNLEHVDKLAPIVSFNDYHQKTTISDGDVNLHNLQDAGSSANESVAVDSNGTNKLESAPSGCFPHFLWINNGILEADNLSLFPEYFDHGHQLRALLQQPDEMSSSLEYPFRKPVSIGPEHQAFVPEWEGPITSSNNLQPDKSNPEVLFARSSSPSIRVDDGYEERLMGICVIPMPDSEASVTHCCWGTKTDCSCLDQGSIGCVKQHVVEARQRLRENLGEEVFEGLGFNDMGEDVAKKWTEEEEQVFHDLILSNPASLGRNFWVHLAAAFPSRTKKELCNYYFNVFMLRRRTEQNRFDPLNIDSDNDEWQRSEDGMEEGDEDSAVESLGGHAYYQEDHAEDCNTHIEEDDDEMVASKESANDDDIQRGATDEEYEGDIDDISEAHVGIATDDCGGDTGFKLLGISSNMGNDFDIEDGSCTSYECQRDNIDSCGPVDTASVYDGRHSSQE >Manes.06G087400.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:22385514:22385810:-1 gene:Manes.06G087400.v8.1 transcript:Manes.06G087400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTISRAEILCLYRSLLRTARQFCDYNIREYTKRRTVDAFRENLNLSDPSSIAAAFSDGKAQLAVAKRQATVYSLYAPKIKSVMETNSFSSLSN >Manes.17G105000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31191930:31195138:1 gene:Manes.17G105000.v8.1 transcript:Manes.17G105000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLSKLLINHPIIKSKVCYPRYIQPSSSLNSALSSNDRHHLTLNYLTNSCGISPQTATSICKRVRIESTDKADLVLQLLRAKGFTQSQIVTLMSSHPQLIITNPDKILKPKFEYFESMGLLGPWLPTAICADGNVLACSLKNRILPNVDFLQGLLETEENVTLALKRCFSVVRYRTEFMMSTICTLCACGVPVPTIRKLALWHPKLLIFGLYSYEVKIQELKGMGLEPMSKVFLYAFFSMCAMSRRKWESKKKSLMSFGWSERDILMAFRAQALFMTTSEQKMKKVMEFYLTKAGLQISDLVRCPHLLRISLEGTAIPRCSVLDVLMSKGLIKKLNVV >Manes.S045816.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1375695:1376216:1 gene:Manes.S045816.v8.1 transcript:Manes.S045816.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVLMLLFPFHILFLFLLLLFFLFTFLFFDILCFIIVFLLFLFLSLPFVSSFSFFFSVSSTDASPSFFPSSLTFFSFSFFSFLSFFFSSYFSFPSPRCSLIPSSLFLKLLSLTSLVSLSLFSFSFSFLSFSFTSSTCSSFFFFFSSFLVLLILFLFLFISFSGFIIYLRLLI >Manes.06G142300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27016190:27019611:-1 gene:Manes.06G142300.v8.1 transcript:Manes.06G142300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGDIMAGKEREEEENMAAWLLGIKNLKIQPYHLPSLGPYDVKVRIKALGICGSDVHHFKTMRCANFIVKKPMVLGHECAGVIEEVGSEVNTLAVGDHVALEPGISCRRCNLCKDGRYNLCPEMKFFGSPPTNGALANKVVHPANLCFKLPENVTLEEGAMCEPLSVGVHACRRAKIGPDTNVLIMGAGPIGLITLLTARAFGAPRVIIVDVDDQRLSIAKNLGADEILQVSTNIEDVGEEAMKIQSAMGSGIDVSFDCVGYAKTMSTALNATRSGGKVCLIGLALSEMTVPLTPAAAREVDIVGIFRYRNTWPLCIELLRTGKIDVKPLITHRFSFSQVEVEKAFETSAGGGNAIKVMFNL >Manes.10G032302.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3269216:3270338:-1 gene:Manes.10G032302.v8.1 transcript:Manes.10G032302.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLRVCPSRFKLQKLALGTTHPFLNLSGSATKTSPSIGRRRFTLKVIEICVYSLFWLTPPRISQKMFDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >Manes.06G027000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:6146890:6148086:1 gene:Manes.06G027000.v8.1 transcript:Manes.06G027000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCYISLPILLLFLLSWTHQAAAHLSAASQFLAPQNAARATLRMPPLVWDSKLARYAQWYANQRRYDCDLRHSNGPYGENIFWGSGSGWSPAQAVTAWVSERKWYDYWSNSCAGDQECGHYTQVVWRKTRRVGCAKVNCSGGKGVFMTCNYDPPGNFIGERPY >Manes.01G241800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40297074:40305390:1 gene:Manes.01G241800.v8.1 transcript:Manes.01G241800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKTNPTNDNDATTTIVATGDRNQTPSRPATPRNGAVGVQSVKNTPARSSNPSPWPSPYPHGVSASPLPGGVSPSPARASTPRRFFRRPFPPPSPAKHIAASLAKRLGGAKPKEGPIPEHGGTEPEQQQQQSLDKSFGYAKNFGAKYELGKEVGRGHFGHTCSARVKKGELKDQTVAVKIISKAKMTTAISIEDVRREVTILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYNEEDAKVIVVQILSVVSFCHLQGVVHRDLKPENFLFTSGGDDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGIISYILLCGSRPFWARTESGIFRSVLRSDPNFDDIPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALNHPWLRDDNRPIPLDILIYKLVKAYLHATPFKRAALKALSKALTEDELVYLRAQFKLLEPNRDGSVSLDNFRMALARHATDAMRESRVPELLTAMESLAYRKMYFDEFCAATISTYQLEALEGWEQMASTAFEHFEREGNRVISLEELARELNVGPSAYSFIKDWIRNSDGKLSLLGYTKFLHGVTLRSSNTRHH >Manes.01G241800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40297074:40305390:1 gene:Manes.01G241800.v8.1 transcript:Manes.01G241800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKTNPTNDNDATTTIVATGDRNQTPSRPATPRNGAVGVQSVKNTPARSSNPSPWPSPYPHGVSASPLPGGVSPSPARASTPRRFFRRPFPPPSPAKHIAASLAKRLGGAKPKEGPIPEHGGTEPEQQQQQSLDKSFGYAKNFGAKYELGKEVGRGHFGHTCSARVKKGELKDQTVAVKIISKAKMTTAISIEDVRREVTILKALSGHKHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYNEEDAKVIVVQILSVVSFCHLQGVVHRDLKPENFLFTSGGDDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGIISYILLCGSRPFWARTESGIFRSVLRSDPNFDDIPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALNHPWLRDDNRPIPLDILIYKLVKAYLHATPFKRAALKALSKALTEDELVYLRAQFKLLEPNRDGSVSLDNFRMALARHATDAMRESRVPELLTAMESLAYRKMYFDEFCAATISTYQLEALEGWEQMASTAFEHFEREGNRVISLEELARVCTIMAVRIIICLFISL >Manes.15G008300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:780027:782172:1 gene:Manes.15G008300.v8.1 transcript:Manes.15G008300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNINCCGLNKPSKNRSRRSHALSASTQSGTTANPEPVPYNLYLGVYPLPSLLSQSERKRSNPLRLPGGSNSYGSKKLLQEPCIEHQKVSTLRNFVNLRKKTLRLELDEEHPGMFLVSFTFDASAAGTITIIFFATEGKDGTLSAMKENLLPPLTVTFQPGMSQKFRQPSGTGINHAMFEETESLKVTRMYGYPLAVKAEAFPKNQNGSYGRISDDFQVTMAVFDKNEGEYRARIVKRALWKNGLKLDLHEIYQIENSVDEDVDTHNKGHSCVICLSEPQDTIVLACRHMCLCSKCAQVLRFQTNRCPICGQPAEMLLEMMKD >Manes.15G033900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2676143:2679727:-1 gene:Manes.15G033900.v8.1 transcript:Manes.15G033900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPAELNNRGLIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYAHRYISAGSIEYWPDPQRGIKEAYRVLKIGGKACIIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFRKAGFTDIELKRIGPKWYRGVRRHGLIMGCSVTGVKPYSGDSPLKLGPKAEDVKEPVNPFTFLLRFILGTIAATYFVLVPIYMWIKDQIVPKGMPI >Manes.15G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2676143:2679594:-1 gene:Manes.15G033900.v8.1 transcript:Manes.15G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVASLLNGAENLKLISGITPSGLRYVGSNFHISCFPKKNLVSYSWNTQGSRILAARCSMSSSRPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPAELNNRGLIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTDYAHRYISAGSIEYWPDPQRGIKEAYRVLKIGGKACIIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFRKAGFTDIELKRIGPKWYRGVRRHGLIMGCSVTGVKPYSGDSPLKLGPKAEDVKEPVNPFTFLLRFILGTIAATYFVLVPIYMWIKDQIVPKGMPI >Manes.05G142500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:24353929:24354678:-1 gene:Manes.05G142500.v8.1 transcript:Manes.05G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPKSKNKILKFLPKAASAVYFQNPPFSPSRDKRSENHFHRHRVHAGKGFSSPVVSIIPDEIRRKPKNGSFDSQEPNSPKVSCMGQIKCKKKIVNKAKHSSSPHEAKTVPSSREIKKHESTIMKFSGSARPIDERRRSDSSIYDKQPLSDRAPPSLSQMRHFASSRDAFANFDWTAQIAPVDSEHGDSYADEEGRVHMDIEEEEVIVPFSAPTTVGPSVALQPRRDVNLWKRRIMSPPRRLQLNLIV >Manes.08G079600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:26647379:26663416:1 gene:Manes.08G079600.v8.1 transcript:Manes.08G079600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIRYFELKTGAKIPSIGLGTWKAAPDAIVEAITAAVKVGYRHIDCAQMYGNEKETGFALKKVVEDGLVKREELFITSKLWNTDHAPEDVPEALSRTLHDLQLDYVDLYLIHWPVSMKKGGLWPENLTQPDITSTWRAVEAIYDSGKARAIGVSNFSSKKLENLLAVARVPPAVNQVECHPVWQQEKLHAFCKSKGVHLSGYIPLGSPGSGKEVEVLKHPVLKMVAEKLGKTPAQVALRWGLQMGHSVLPKSTNDARIRENFDVFDWSIPEDLFSKFSEIGQERLVKGFNLVHETYGAYKTIDELWDGEL >Manes.18G107900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663631:10668336:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSGTQAVEGIALDLSQTRELHLTSKTFKKMYNLRLLKFHASDFEDFCKVHFPDEGLTFHSNKLRYLHWYMYPSKSLPSNFCPENLVELSLPRSNVEQLWEGVQDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVNRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.18G107900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663631:10668335:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSGTQAVEGIALDLSQTRELHLTSKTFKKMYNLRLLKFHASDFEDFCKVHFPDEGLTFHSNKLRYLHWYMYPSKSLPSNFCPENLVELSLPRSNVEQLWEGVQDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVSTQLHSRCSQLRGIALCVVLEFEDCYADRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.18G107900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663631:10668335:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVSTQLHSRCSQLRGIALCVVLEFEDCYADRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.18G107900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663631:10668337:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSGTQAVEGIALDLSQTRELHLTSKTFKKMYNLRLLKFHASDFEDFCKVHFPDEGLTFHSNKLRYLHWYMYPSKSLPSNFCPENLVELSLPRSNVEQLWEGVQDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVSTQLHSRCSQLRGIALCVVLEFEDCYADRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.18G107900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663631:10668336:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSGTQAVEGIALDLSQTRELHLTSKTFKKMYNLRLLKFHASDFEDFCKVHFPDEGLTFHSNKLRYLHWYMYPSKSLPSNFCPENLVELSLPRSNVEQLWEGVQDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVNRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.18G107900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663631:10668335:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSGTQAVEGIALDLSQTRELHLTSKTFKKMYNLRLLKFHASDFEDFCKVHFPDEGLTFHSNKLRYLHWYMYPSKSLPSNFCPENLVELSLPRSNVEQLWEGVQDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVSTQLHSRCSQLRGIALCVVLEFEDCYADRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.18G107900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663604:10668336:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSGTQAVEGIALDLSQTRELHLTSKTFKKMYNLRLLKFHASDFEDFCKVHFPDEGLTFHSNKLRYLHWYMYPSKSLPSNFCPENLVELSLPRSNVEQLWEGVQDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVSTQLHSRCSQLRGIALCVVLEFEDCYADRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.18G107900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663631:10668335:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVSTQLHSRCSQLRGIALCVVLEFEDCYADRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.18G107900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10663604:10668335:1 gene:Manes.18G107900.v8.1 transcript:Manes.18G107900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSYMDFVGIDSRIERVESLLCIGSLDVRIVGIWGMGGIGKTTIAKAVFKRNLAQFESYHFFANVREESEKHGSLHLQSELLSKICGKGNFNRRTPNFGFTYATSRLSRKKALIVLDDVNSSMQLQELLVDSRHLFGQGSKIIVTSRDRQVLKNGVDEIYEVERLDHDEALQLFSVNAFNQNHPFQEFMQLSKSVIYYAKGNPLALKVLGCFLCEKRKQEWEIALNELRRTSNVGIKNILRLSYDGLETEDKEIFLDIACFFKGEDVYFVEKILDGCGFYVDLGINVLIDKSLITISNNKLWMHEMLQEMGWEIVQEESIEEPGNRSRLWHHEDVYHVLTKNSGTQAVEGIALDLSQTRELHLTSKTFKKMYNLRLLKFHASDFEDFCKVHFPDEGLTFHSNKLRYLHWYMYPSKSLPSNFCPENLVELSLPRSNVEQLWEGVQDLVKLKRIDLSYSEYLIRIPDLSNAKELESLNLKWCSNLVEGPSSIQKLNKLEYLNLESCKNLSCLPSTIASTLVKTFNLVGCSNLKKFPEIAGNVEELFLNNTGLEVVPSSIGCLTKLVSLYLTSCKKLRSLPSDICKLKCLRMLNLCGCSNLDSFPEIWEPMEGLKYLYLANCRKLSCLPNSIGNLKNLTELDLKGTMIKELPSSIEHLTGLDQLDLQKCKNVGSLPDGICNLKSLRNLNLYGSPNLDKLPENLCNLESLEELDISGSAIKQLPSSIIQLKNLAKLLFRVQDSAGLLQIPTALDRLSSLNMLVLSGNNFETLPASIELLPHLCTLDVAYCRRLRSLPELPGSLEFLYAQECTSLETVLNSKHFSEIDYMHESRNFKRFAFTNCIKMDQKTCRSILIDTEKRIQVVAAASDQLYNEMGSVKIHLPGSEIPLWFHNQNMGSSVSTQLHSRCSQLRGIALCVVLEFEDCYADRGLIVRCKCHFKPNNGGCSDLNFNLDNWLEWYYKSTPFKSDHLFVWDDPCFEANIVDEDWFGKYSEASFEFFPLDFEENLLQDCKVKKCGVHLLLHERIASRNYNSDEEEEPFPKRLKCLQD >Manes.05G093400.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562334:8573050:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKSNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562333:8573050:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKSNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562684:8573052:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.43.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.43.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQDALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.45.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562684:8573050:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.45.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQDALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562684:8573050:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.44.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562684:8573052:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.44.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQDALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.42.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.42.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQDALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562333:8573050:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKSNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562684:8573074:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQDALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562618:8573062:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKSNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562684:8573050:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562334:8573050:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKSNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.41.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.41.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQDALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562312:8573184:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.05G093400.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8562684:8573052:-1 gene:Manes.05G093400.v8.1 transcript:Manes.05G093400.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDDVYISSQLKRPMVSSRGETSVQPQMMGGGAASGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLDDEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSITEVYQEVAMLFQDHNDLLKEFTHFLPDSSATASAYYPPSVRNSILRDRSSAMPTMRQMHVDKKDRTTVSRADRDFSVDRPDPDHDRSLIRSDKEQRRRGETEKERKEDRDRRDQEDRDYEHDGSHEFMQRFPPKRKSARKVEDSAAEHQGGDADENFEMRPVSSTYDDKNAVKNALTQELAFCEKVKEKLHNPDDYQGFLRCLHLYTREIITRPELQSLVGDLLGKYPDLMDGFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRPVKPEDRDRDRYREREDMIKERERETRDRDRLDKNVAFNNNKDTGSHKMSLFSTKDKFLKPINELDLSNCERCTPSYRLLPKNYPIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKTESPIHIEEHFTALTLRCIERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISEKKRKEDDVLLAFAAGNRRPIVPNLEFEYPDPDIHEDLYQLIKYSCGEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNHSSKSGDSEGSPSGGGGAAVINKHSNPSRNGGESIPPEQSSSCRAWLRNGDNGIKENGSPDADHIARKSDTSCSTVQHDRMQINAASADEQSAVGKQATNEQLVNSNTSLATGAEPSNGRTNVESGPSVPPSRPSYDTLNGGLGLGSSNEILPSPEGGDFSRPAAVSTNGVRTEGVRNHRCNDESAAHFKIEREEGELSPNGDFEEDIFAPYEEGGLETVQKAKDGAVSRQYQTRHGEEETCGEAGVENDADADDEGDESAQRSSEDSENASENGDVSGSESGDCSREEHEEDGERDEHDNKAESEGEAEGMADAHDVEGDGMMLPFSERFLLNVKPLAKHVPPALHDKEKCSRVFYGNDSFYVLLRLHQTLYERILSAKINSSSAERKWRASNDTSPTDLYARFMGALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKPGRFVDVVYHENARVLLHDENIYRIECSSTPTRLSIQLMDFGHDKPEMAAVSMDPNFVAYLHNEFLSIVPDKKEKPGIFLKRNKNRCASRDECKATEGFQVFNGLECKIACNSSKVSYVLDTEDFLFRTKRRRTLQQSSSCHDQANISRRVQQFHGWLSSS >Manes.17G061500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26113110:26119217:1 gene:Manes.17G061500.v8.1 transcript:Manes.17G061500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSDALTTTLSNSIQALGRGFDVTSDIRLLYCKGAPGSRLVHIDEEHTSDLDFSDGVSLPNVSVDIDCSRGHRSIKRIPVCSFHEMAGRFNEASGISGHIPLGSFNGMFNFTGNWQVDAAATKSLAMVGHFIPLYKVQLAKVNLVLCEDIKRAVPYSWDPASLASFIESYGTHIVTSATIGGRDVVYIRQHQSSSLLASDIESYINDIADQRFQDSKSQASAGPLKYKDKDVTVIFRRRGGDDLEQSHAKWAETVQLAPDVINMTFTPIISLLEGMPGLKHLARAIDLYLEYKPPIEDLQYFLDFQIPRVWAPEHNNLQRKEPVCQSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGIKQNRLSIHLQHLVSLPKILQPHWDAHIAIGAPKWKGPEEQDSRWFEPIKWKNFSHVSTAPIEYTDTSIGDLSGVHIVTGAQLGVWDFGAKNVLHLKLLFSKVPGCTIRRSVWDHSPSNPSAQQPNGASSSISNEKTSSDKKEDSSSHLGKLAKIVDVSEMSKGPQDIPGHWLVTGAKLGVDKGRIVLRVKYSLLNY >Manes.12G139200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34569158:34574749:1 gene:Manes.12G139200.v8.1 transcript:Manes.12G139200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSEQILADKLAKLNSTQQCIETLSHWCIFHQSKAEQVVATWEKQFHSSQMTQKVPLLYLANDILQNSKRKGNEFVSEFWKVLPAALKEVVEKGDDRGKNVVSRLVNIWEERRVFGSRARSLKDVMLGEDLPPPLEFSKKRSRSVKIMKRDSRSIRTKLTIGGAAEKIVSAYHLVVSEFPTEEAEMSNCKSIVNRVRKMEKDVDIACNNGKDPKRKSLAKDLEEEENLLKQCVEKLKSVEASRVALVSQLKEALHEQESDLENIRTQMQVAQAQAEEASNMQKRLNDEYYVPSATQPVDINAKAGQTPKRTAAAIAAEVADKLAASSSSQMIMHSVLSTFAAEEAKNAGITKASTPSNSITTTPTNSVVDSLAKPDKSLSLPISDPSVFMPTVSLSAPSNHYQSVMLSPPTMQNQAHSSQPQFHILPNSSQQYLQPSGGIMTGYGYGSISPLPPGPPPPPPYMVSPMVPLTQQPSQITQQQPMPMALQQAPITQQQPMPPTQQPPGPSFRPLQPPVMMYYNHPPHS >Manes.09G115600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31788417:31794177:1 gene:Manes.09G115600.v8.1 transcript:Manes.09G115600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMSQSGSTMGQPSGDLGPGSVRNDGVLRAVIDTSAPFESVKEAVSRFGGVGYWKPSQHKLSETEHEVEEADISKLEEQTALLEKDLIVKERQTLDVLKELESTKSMVEELKLKLQKQASEANVSLESTADDRNLTPALEEEKEDLNRENLGDHHQNLMGGLSCCPSSAPGLILMELKQAKLNLSRTTNDLADIRGSVEFLNKKLEKEKISLEKTRERLTLNSSKISSLEEELNQTNLKLKAVKDAEIKGGSENSLDISRELQRLNSETDQFIKMGEAAQSEVLKAISEIEQTKSKIKTAEIRLIAARKMKQAARAAEAVALAEIKAMSTCENSSGDSSKADVVTLTFEEYSALTCQAQKAEELSKAKVIDAMHQVDDANVSRMEVLKKVEEATEEVKTSKRALEEALNRVEAANKGKLAVEEALRKWRSDHGLKRRSVQNSTKFKNSYSSHNRRDSLLLDVNGLNLVSDAPTPVLKPTLSIGQILSRKLLLPEEFETGMPAEKGTMKRKVSLGQMLGKPNDDVRSTCKAEKENCPKQFSGKRKKFGFVKFSLLLTKQSKKRRGQLQT >Manes.01G104201.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30402264:30403053:1 gene:Manes.01G104201.v8.1 transcript:Manes.01G104201.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWKGSLLSRAEKEIMLKTVVQSIPNYLMSVFLLPKGISDSIEKSMNSYWWRNSMDSDSGIYWLSWGTMAKPKAVGGLGFRSIRDFNLALLAKQGWKFLTQPQALVTRIYKAHEVCQIKAILLCSFASNDSWFWWWDLKGGYSVKSGYKALVQDLEGGDTDGLWTWAWGLHVPQKINNFGG >Manes.09G133800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:33416507:33418174:1 gene:Manes.09G133800.v8.1 transcript:Manes.09G133800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGGPSPLVPFLMLGVLGLVLYGQMFIVLLPLLLLLLANLVSPSCTSFGRSAQDPEGYGLGALLLLVLYLVGIGYASSGHCRAQDAEGYWLKALLLLVLYVVGVGCFTNQQHSSGSRADAGGYGVGTLLVVAVFYVLVLGNIW >Manes.15G135300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10941798:10954593:1 gene:Manes.15G135300.v8.1 transcript:Manes.15G135300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQEIAVTQMRKSVEKLGSSTESYGCTTLMRFLIARSMDPEKAAKMFVQWQKWRAAFVPNGFISDSEVQDELEARKIYLQSSTKEGYPLLIIKASKHFPAKDHLQFKKFVVHLLDKTIASSFRGREIGNEKLIGILDLQQITYRNVDARGLITGFQFLQIVIVTNEEERKNFVKEIGEESLPEVYGGEAKLVALQDVILPQLEG >Manes.15G135300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10941797:10946936:1 gene:Manes.15G135300.v8.1 transcript:Manes.15G135300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQEIAVTQMRKSVEKLGSSTESYGCTTLMRFLIARSMDPEKAAKMFVQWQKWRAAFVPNGFISDSEVQDELEARKIYLQSSTKEGYPLLIIKASKHFPAKDHLQFKKFVVHLLDKTIASSFRGREIGNEKLIGILDLQQITYRNVDARGLITGFQFLQVTALFSTSLTPAHSVGLNKYKLRNIIFIYILLFRLSCKFLKIFDE >Manes.15G135300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10941798:10946936:1 gene:Manes.15G135300.v8.1 transcript:Manes.15G135300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQEIAVTQMRKSVEKLGSSTESYGCTTLMRFLIARSMDPEKAAKMFVQWQKWRAAFVPNGFISDSEVQDELEARKIYLQSSTKEGYPLLIIKASKHFPAKDHLQFKKFVVHLLDKTIASSFRGREIGNEKLIGILDLQQITYRNVDARGLITGFQFLQVTALFSTSLTPAHSVGLNKYKLRNIIFIYILLFRLSCKFLKIFDE >Manes.15G135300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10941798:10954593:1 gene:Manes.15G135300.v8.1 transcript:Manes.15G135300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIARSMDPEKAAKMFVQWQKWRAAFVPNGFISDSEVQDELEARKIYLQSSTKEGYPLLIIKASKHFPAKDHLQFKKFVVHLLDKTIASSFRGREIGNEKLIGILDLQQITYRNVDARGLITGFQFLQAYYPERLAKLFILDMPWFFVSVWKVVSRFLEKATLQKIVIVTNEEERKNFVKEIGEESLPEVYGGEAKLVALQDVILPQLEG >Manes.15G135300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10941798:10954593:1 gene:Manes.15G135300.v8.1 transcript:Manes.15G135300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQEIAVTQMRKSVEKLGSSTESYGCTTLMRFLIARSMDPEKAAKMFVQWQKWRAAFVPNGFISDSEVQDELEARKIYLQSSTKEGYPLLIIKASKHFPAKDHLQFKKFVVHLLDKTIASSFRGREIGNEKLIGILDLQQITYRNVDARGLITGFQFLQAYYPERLAKLFILDMPWFFVSVWKVVSRFLEKATLQKIVIVTNEEERKNFVKEIGEESLPEVYGGEAKLVALQDVILPQLEG >Manes.09G079918.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12020785:12024505:-1 gene:Manes.09G079918.v8.1 transcript:Manes.09G079918.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVSSLHNHHHDLLELSSTHIDASTSFNSSSNTAADHYSCSNAQPFSYAFSILNHDHVKSLHDDDDHVEDPTIQLFPPLDGHSSASITPQLLDLDCREMVPQVQQRPHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGIDADINFNVSDYEEDIKQMSNFTKEEFVHILRRQSTGFSRGTSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGEVIVDANNGDGNQSLDLNLGIAPPDISDGQKLNRDTDGFHFRSSWCDRSIDGRPMILNSASAKWKDEQPHDPAMASHPPPIWGGLDSNFFPLYKERAMEKWVEVDSVPNWMWQNQLANPPSLFSAAASSGFVSSTITAPTATAGAERANISTVQPTYPQPNNLFLR >Manes.09G079918.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:12020785:12024505:-1 gene:Manes.09G079918.v8.1 transcript:Manes.09G079918.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLSVSSLHNHHHDLLELSSTHIDASTSFNSSSNTAADHYSCSNAQPFSYAFSILNHDHVKSLHDDDDHVEDPTIQLFPPLDGHSSASITPQLLDLDCREMVPQVQQRPHVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGIDADINFNVSDYEEDIKQMSNFTKEEFVHILRRQSTGFSRGTSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSTYEGEVIVDANNGDGNQSLDLNLGIAPPDISDGQKLNRDTDGFHFRSSWCDRSIDGRPMILNSASAKWKDEQPHDPAMASHPPPIWGGLDSNFFPLYKERAMEKWVEVDSVPNWMWQNQLANPPSLFSAAASSGFVSSTITAPTATAGQLHFPNSTFLYHQISPSVTNPSNISHFYNCRS >Manes.15G152200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:12654513:12656882:-1 gene:Manes.15G152200.v8.1 transcript:Manes.15G152200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISAKVTMGYWGVLVCFMASWVLSVVGDTLPPCDFPAIYNFGDSNSDTGGISAAFEPIRAPYGEAFFHKPAGRDSDGRLVIDFIAERLKLPYLSAYLNSIGTNYRHGANFATGGSTIRRQNETIFEYGISPFSLDMQIVQFNQFKSRTGDLFYEVKNTPEAQKLPRPEDFAKALYTFDIGQNDLSVGFRKMSFDQLRAALPDITNQLASAVQNIYEQGGRAFWIHNTGPIGCLPVNLFYVSNPPPGYLDEHGCVKAQNEMAVEFNSKLLDRIVKLRTELPQAAITYVDVYSAKYGLISNAKNLGLADPLKVCCGYHVNFDHIWCGNKGKVNNTEVYGASCKDPSIFISWDGVHYSQAANQWVANHTQNGSLANPPIPITHACHRQ >Manes.02G152600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11682991:11684037:1 gene:Manes.02G152600.v8.1 transcript:Manes.02G152600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDAALRISLIWLAAIMVVVGIWTQSLKKVMVTYVVGMLGIAGLFLPDWDFFDRDYSRWCYPITEEEKTALAQRSGFRSRISPLRWIVYAAVYGYALCKWWIYVSN >Manes.01G017700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4292135:4297178:-1 gene:Manes.01G017700.v8.1 transcript:Manes.01G017700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRKSLPHRLLLRSNPSISSLRPLSPHSTTNELAESSLFNSFKQQHPTSSLAFLYPNSSRVIETKSFSMCNGARDPLCANSWKNLKPIFFSSFSNSQNGRLLNSSTVSTTKARSLNESIMSTHLSKLSTESKLPFKISFRDRIPCFFMQTRYFSSENSAPKNGMPISSPLESSVPIDAGSSIRKPITLWPGMYHSPVTNALWEARSSIFEKAADSLDGTSQGELATKTPSKSRTSILYNFSSDFVLREQYRNPWNEVRMGKLVEDLDALAGTISYKHCSNEDGMTRPLLLVTASVDRMVLKKPIRVDADLKIVGAVTWVGRSSMEIQLEVIQSTEGSSNPSDALALTANFTFVARDSNTGKSAPVNQISPETEQEKLLWEEAEERNKARKKKRIESKKDTENKDMERLNALLAEGRVFCDLPALADRDSILLQDTRHQNSLICQPQQRNIHGRIFGGFLMRKAFELAFSNAYAFAGAAPRFVEVDHVDFFIPVDVGNFLRYKSCILYTELEDPAKPMINVEVVAHVTRPELRSSEVSNKFYFTFTVRPEDIRAGLKIRNVVPATEEEARRVLERMDAENSQITKS >Manes.12G118804.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32132970:32134972:-1 gene:Manes.12G118804.v8.1 transcript:Manes.12G118804.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPNATFFLFFFSFLAFSLPLSARPATFLQDFKVTWSESHIKQIDGGRAIQLILDQNSGCGFTSKMRYLFGRVSMRIKLIPGDSAGTVTAFYMNSDTDNVRDELDFEFLGNRTGQPYTVQTNIYAHGKGDREQRVNLWFDPSADFHLYSILWNHQHIVFYVDDVPIRVYKNNEAKGIPYPKFQPMGVYSTLWEADNWATRGGLEKINWSKAPFFAYYKDFDIEGCPVPGPANCASNPRNWWESATYQSLNALEARKYRWVRMNHMIYDYCTDKSRYPVAPAECRAGI >Manes.01G195300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36947703:36952304:1 gene:Manes.01G195300.v8.1 transcript:Manes.01G195300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAGKGRGTRCVGPPTRRCGRCGAVSYCSVSHQISHWIDHKEECQRLEQQMKRADDLNDFPFTFTPEATLLESRCSFLSKRGIHRLGMWVCECRCGASLGSFDSSRSGDECWNLSSDLCPCRGPPSPVSKHLRSWLDYYEWRCIPLHSPVALVLHWPLTIYHATQVASVRSLAVENCEELCIHYLGPEKEILQLDAFWELQAFFPNTKVHIQFIGPAIPEHRDGQKIDLSSYAHCLDKDCICRSLNDNLNKMAVTDKSSNVTLQLHRGYYHDRYRDFTEDSFPDLVIAPNAGIAAYPSWLPTIELINEMGVPAVFSDYCEEACHLAECCITRVTGRPLAIPIQINPFRQPLVVEDSALLLPCYSNCFLFGI >Manes.01G195300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36947891:36952280:1 gene:Manes.01G195300.v8.1 transcript:Manes.01G195300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAGKGRGTRCVGPPTRRCGRCGAVSYCSVSHQISHWIDHKEECQRLEQQMKRADDLNDFPFTFTPEATLLQESRCSFLSKRGIHRLGMWVCECRCGASLGSFDSSRSGDECWNLSSDLCPCRGPPSPVSKHLRSWLDYYEWRCIPLHSPVALVLHWPLTIYHATQVASVRSLAVENCEELCIHYLGPEKEILQLDAFWELQAFFPNTKVHIQFIGPAIPEHRDGQKIDLSSYAHCLDKDCICRSLNDNLNKMAVTDKSSNVTLQLHRGYYHDRYRDFTEDSFPDLVIAPNAGIAAYPSWLPTIELINEMGVPAVFSDYCEEACHLAECCITRVTGRPLAIPAATGCRRQCPSASLLFKLLSIWDLSGQFRLGKDGFNSRRELLTMSNNRIMQCFKDFSLAS >Manes.01G195300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36947703:36952304:1 gene:Manes.01G195300.v8.1 transcript:Manes.01G195300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAGKGRGTRCVGPPTRRCGRCGAVSYCSVSHQISHWIDHKEECQRLEQQMKRADDLNDFPFTFTPEATLLQESRCSFLSKRGIHRLGMWVCECRCGASLGSFDSSRSGDECWNLSSDLCPCRGPPSPVSKHLRSWLDYYEWRCIPLHSPVALVLHWPLTIYHATQVASVRSLAVENCEELCIHYLGPEKEILQLDAFWELQAFFPNTKVHIQFIGPAIPEHRDGQKIDLSSYAHCLDKDCICRSLNDNLNKMAVTDKSSNVTLQLHRGYYHDRYRDFTEDSFPDLVIAPNAGIAAYPSWLPTIELINEMGVPAVFSDYCEEACHLAECCITRVTGRPLAIPIQINPFRQPLVVEDSALLLPCYSNCFLFGI >Manes.01G195300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36947891:36952280:1 gene:Manes.01G195300.v8.1 transcript:Manes.01G195300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAGKGRGTRCVGPPTRRCGRCGAVSYCSVSHQISHWIDHKEECQRLEQQMKRADDLNDFPFTFTPEATLLESRCSFLSKRGIHRLGMWVCECRCGASLGSFDSSRSGDECWNLSSDLCPCRGPPSPVSKHLRSWLDYYEWRCIPLHSPVALVLHWPLTIYHATQVASVRSLAVENCEELCIHYLGPEKEILQLDAFWELQAFFPNTKVHIQFIGPAIPEHRDGQKIDLSSYAHCLDKDCICRSLNDNLNKMAVTDKSSNVTLQLHRGYYHDRYRDFTEDSFPDLVIAPNAGIAAYPSWLPTIELINEMGVPAVFSDYCEEACHLAECCITRVTGRPLAIPAATGCRRQCPSASLLFKLLSIWDLSGQFRLGKDGFNSRRELLTMSNNRIMQCFKDFSLAS >Manes.16G101601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30708315:30710318:1 gene:Manes.16G101601.v8.1 transcript:Manes.16G101601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKILTGLLNMLGRADWRTCELSKEEEIKMVEELKKLLKILIRLNELGHKSCA >Manes.08G010202.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1238924:1239345:-1 gene:Manes.08G010202.v8.1 transcript:Manes.08G010202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKKNMMFLRLFIGKLQKGLSFFSNKVTEHHHKDEGQFAVLAIKGDEIERFVLELSVLDNPAFVKLLKLAEEEFGFHHKGVLAVPCRPEELQKILRAGGRGEKIEYCAQEWTTCILPSIDSMLGK >Manes.07G013200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1638879:1652405:-1 gene:Manes.07G013200.v8.1 transcript:Manes.07G013200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESNLISKLESSTSTPVFPLFCDFLIPFNDIRNPKKNKSQVQTLTRSLAKQFLPFLNRCLSILPKRLSDSSKLRTEESNESPKLVTELFDVYELCLDCLELVSSQLSCKPYMVSIQRVRLLYCLEACGFYKEAENEGFGVLEKLRGLDLGEKKKRKEKLGEFVPNVVENGDAEFAKLVVEVVVAIVKCVALRQGTAGGDYKKVIRLVDEVAPWFRVLDANAYEKLHRVLVTYLGKCTLFLLGELQNFDRDIVRAFSLTSLNEYAKSSLKDQIYKFSRRICSTLLLLQDYDRPSVIVDMLICIFNFLARMCKVEEQNWRIEFVELVSYCANKCLTASTIFCSTFAEHLNKLAGDLCPAMTPTDMILRLYVVGLTYNDSVVKSQLANFTSFESAVNEPAVCIFLSDVVRSHSLASVLGSLRSYFYDRYKENCVSYGVDHKESDCLLCSQKPSHYGITLACTQKDRRDYLLGYLNALEFLCQPLAELINSEKKQIVAKNEVASVSTFLFSIQEAFDQFFDVFLFIHSTASKKEGEEIDEKKTILSVAVAAFTLSIRTKLKFQRNVHLIKHIIASKWIQPRELKYIFASLYNLGVHLYRNKEVNEASKALKLSCRASWTCVVLLCQMFMNKTNGLTDDLSEDLILDFVMEACTRTSFLLDVLSQGGIPKVKKMMVYSLESWSVAEDLFTRLPGPMPLVKQWVKIECKWNKKLDVDDSPTLYDLLSSSPKLSKRTIGKILQQELLAYEGMGTIYPELCQQKQIKIIDILLQDIYFTQDCYLERSRILLQKGRALRASGFEGLTGCIQCVSEAISIINEKCCNTSPTCLQLAVAYCLRALCTQEAVPNSKQVLQDVKAALNVWLSIPVPDDGSILSDSAMPLLYNIFDLLSIKGSIEFHDDMYKLMIRLFEQKHVPLEKFLSMLWESRRLSHALCVSPVCDELLMSLSRDYGEQFKSIDFWMHCLKESPPLLIGFQLNFSYLFTSISWDSCNHRTSFQSDITVDDAKQAAYELISRVPVTCYSVFFAGCLFYDLCEKLLASGQLSEALYYAKEAHRLRTRLFQEKFTYSVEQETEKHIEAGDHTQKLAYGLSNLQVKKSAASELWYSDTISLDFEDSYISPWKILQCYLESTLQVGIVHEIIGNGGEAETFLLWGKNISCQQSLSLYIVAFSSVLGKLYRKKRSWDLSEKELQSAKQTLALNCSAFSCQKCRLVLEITIDQQLADLSRNRIFYAKDVSMEWLHAAERLYKSSLDKLNFSVWKNSISCPEEVEDGMRNKFPCPSTDNPDMTDSVSTTSKPNAKVKTRRCRQTKNNASSLPKEQSSMSGCNTRLTRSRYRSSQNQNSSSSTEVLVLSKHINGNNECDLSDAVNQGKSLSQIRNSTVNFTGEVTCICNKLKCWFCIAVEVQQSGLFMNYIYMKWELIRRQLSLRVLGGRGKCLEIHGQIHEAHENILQSISVLFSRNPFNQTYAPASFSFLLDLVGREFSGDVFAIERAALLYNICWFSLKGYCSKDNRNICCDLSHVQLPKIVSCLMLAFVLCREVPILFQKVSRLLSGIYILSSSSERFSLPPCKVLSEGHWASYFHQASLGTHLTCQFFSSITGKHKTANLVDDQGSQVTGLTCNLPRLAPKSVKDLEQFVTDFFSNLPCTTVICISLIEGPYATLLQDLLMYPSCACAWMLLSRLNFKSQPIVMLLPVGSISEEASDDDAAISGCGEFSENNDMGKHWHCPWGFTVIDKVAPAFKLILEENYLSSSTFPLEDTKENRNSWWMRRKKLDWQLGKLLRNLEDLWLGPWRFVLLGEFSNCKHLDSVQKKLIRNLKSKCKMDVNESFLKVILGGRYALDGGDFFADLVYLEKSCFIGKTLYSDEETCQTLYNEPEGIEKLSDLAIQLLHDALNELEEDSLNREPIILVLDCDIQMLPWENLPVLRNQEVYRMPSVGSICLTLDRSCNHQEQVQQKISAFPSIDPLDAFYLLNPSGDLNSTQVEFENWFKVQNLEGKAGSAPTAEELSSALKSHDLFLYFGHGSGAQYISQQEIQKLENCAATLLMGCSSGSLSLHGCYTPQGTPLSYLLAGSPIIVANLWEVTDKDIDRFGKAMLDGWLKERSNASTDCAQCNLLLKEFEALNLKDPKVTTKRKVQKKKEIETCDSDSLKNCCNHRPRIGSFMSQAREACTLPFLIGASPVCYGVPTGIRKKKDL >Manes.07G013200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1638879:1652405:-1 gene:Manes.07G013200.v8.1 transcript:Manes.07G013200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSESNLISKLESSTSTPVFPLFCDFLIPFNDIRNPKKNKSQVQTLTRSLAKQFLPFLNRCLSILPKRLSDSSKLRTEESNESPKLVTELFDVYELCLDCLELVSSQLSCKPYMVSIQRVRLLYCLEACGFYKEAENEGFGVLEKLRGLDLGEKKKRKEKLGEFVPNVVENGDAEFAKLVVEVVVAIVKCVALRQGTAGGDYKKVIRLVDEVAPWFRVLDANAYEKLHRVLVTYLGKCTLFLLGELQNFDRDIVRAFSLTSLNEYAKSSLKDQIYKFSRRICSTLLLLQDYDRPSVIVDMLICIFNFLARMCKVEEQNWRIEFVELVSYCANKCLTASTIFCSTFAEHLNKLAGDLCPAMTPTDMILRLYVVGLTYNDSVVKSQLANFTSFESAVNEPAVCIFLSDVVRSHSLASVLGSLRSYFYDRYKENCVSYGVDHKESDCLLCSQKPSHYGITLACTQKDRRDYLLGYLNALEFLCQPLAELINSEKKQIVAKNEVASVSTFLFSIQEAFDQFFDVFLFIHSTASKKEGEEIDEKKTILSVAVAAFTLSIRTKLKFQRNVHLIKHIIASKWIQPRELKYIFASLYNLGVHLYRNKEVNEASKALKLSCRASWTCVVLLCQMFMNKTNGLTDDLSEDLILDFVMEACTRTSFLLDVLSQGGIPKVKKMMVYSLESWSVAEDLFTRLPGPMPLVKQWVKIECKWNKKLDVDDSPTLYDLLSSSPKLSKRTIGKILQQELLAYEGMGTIYPELCQQKQIKIIDILLQDIYFTQDCYLERSRILLQKGRALRASGFEGLTGCIQCVSEAISIINEKCCNTSPTCLQLAVAYCLRALCTQEAVPNSKQVLQDVKAALNVWLSIPVPDDGSILSDSAMPLLYNIFDLLSIKGSIEFHDDMYKLMIRLFEQKHVPLEKFLSMLWESRRLSHALCVSPVCDELLMSLSRDYGEQFKSIDFWMHCLKESPPLLIGFQLNFSYLFTSISWDSCNHRTSFQSDITVDDAKQAAYELISRVPVTCYSVFFAGCLFYDLCEKLLASGQLSEALYYAKEAHRLRTRLFQEKFTYSVEQETEKHIEAGDHTQKLAYGLSNLQVKKSAASELWYSDTISLDFEDSYISPWKILQCYLESTLQVGIVHEIIGNGGEAETFLLWGKNISCQQSLSLYIVAFSSVLGKLYRKKRSWDLSEKELQSAKQTLALNCSAFSCQKCRLVLEITIDQQLADLSRNRIFYAKDVSMEWLHAAERLYKSSLDKLNFSVWKNSISCPEEVEDGMRNKFPCPSTDNPDMTDSVSTTSKPNAKVKTRRCRQTKNNASSLPKEQSSMSGCNTRLTRSRYRSSQNQNSSSSTEVLVLSKHINGNNECDLSDAVNQGKSLSQIRNSTVNFTGEVTCICNKLKCWFCIAVEVQQSGLFMNYIYMKWELIRRQLSLRVLGGRGKCLEIHGQIHEAHENILQSISVLFSRNPFNQTYAPASFSFLLDLVGREFSGDVFAIERAALLYNICWFSLKGYCSKDNRNICCDLSHVQLPKIVSCLMLAFVLCREVPILFQKVSRLLSGIYILSSSSERFSLPPCKVLSEGHWASYFHQASLGTHLTCQFFSSITGKHKTANLVDDQGSQVTGLTCNLPRLAPKSVKDLEQFVTDFFSNLPCTTVICISLIEGPYATLLQDLLMYPSCACAWMLLSRLNFKSQPIVMLLPVGSISEDDDAAISGCGEFSENNDMGKHWHCPWGFTVIDKVAPAFKLILEENYLSSSTFPLEDTKENRNSWWMRRKKLDWQLGKLLRNLEDLWLGPWRFVLLGEFSNCKHLDSVQKKLIRNLKSKCKMDVNESFLKVILGGRYALDGGDFFADLVYLEKSCFIGKTLYSDEETCQTLYNEPEGIEKLSDLAIQLLHDALNELEEDSLNREPIILVLDCDIQMLPWENLPVLRNQEVYRMPSVGSICLTLDRSCNHQEQVQQKISAFPSIDPLDAFYLLNPSGDLNSTQVEFENWFKVQNLEGKAGSAPTAEELSSALKSHDLFLYFGHGSGAQYISQQEIQKLENCAATLLMGCSSGSLSLHGCYTPQGTPLSYLLAGSPIIVANLWEVTDKDIDRFGKAMLDGWLKERSNASTDCAQCNLLLKEFEALNLKDPKVTTKRKVQKKKEIETCDSDSLKNCCNHRPRIGSFMSQAREACTLPFLIGASPVCYGVPTGIRKKKDL >Manes.07G013200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1638879:1652405:-1 gene:Manes.07G013200.v8.1 transcript:Manes.07G013200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICIFNFLARMCKVEEQNWRIEFVELVSYCANKCLTASTIFCSTFAEHLNKLAGDLCPAMTPTDMILRLYVVGLTYNDSVVKSQLANFTSFESAVNEPAVCIFLSDVVRSHSLASVLGSLRSYFYDRYKENCVSYGVDHKESDCLLCSQKPSHYGITLACTQKDRRDYLLGYLNALEFLCQPLAELINSEKKQIVAKNEVASVSTFLFSIQEAFDQFFDVFLFIHSTASKKEGEEIDEKKTILSVAVAAFTLSIRTKLKFQRNVHLIKHIIASKWIQPRELKYIFASLYNLGVHLYRNKEVNEASKALKLSCRASWTCVVLLCQMFMNKTNGLTDDLSEDLILDFVMEACTRTSFLLDVLSQGGIPKVKKMMVYSLESWSVAEDLFTRLPGPMPLVKQWVKIECKWNKKLDVDDSPTLYDLLSSSPKLSKRTIGKILQQELLAYEGMGTIYPELCQQKQIKIIDILLQDIYFTQDCYLERSRILLQKGRALRASGFEGLTGCIQCVSEAISIINEKCCNTSPTCLQLAVAYCLRALCTQEAVPNSKQVLQDVKAALNVWLSIPVPDDGSILSDSAMPLLYNIFDLLSIKGSIEFHDDMYKLMIRLFEQKHVPLEKFLSMLWESRRLSHALCVSPVCDELLMSLSRDYGEQFKSIDFWMHCLKESPPLLIGFQLNFSYLFTSISWDSCNHRTSFQSDITVDDAKQAAYELISRVPVTCYSVFFAGCLFYDLCEKLLASGQLSEALYYAKEAHRLRTRLFQEKFTYSVEQETEKHIEAGDHTQKLAYGLSNLQVKKSAASELWYSDTISLDFEDSYISPWKILQCYLESTLQVGIVHEIIGNGGEAETFLLWGKNISCQQSLSLYIVAFSSVLGKLYRKKRSWDLSEKELQSAKQTLALNCSAFSCQKCRLVLEITIDQQLADLSRNRIFYAKDVSMEWLHAAERLYKSSLDKLNFSVWKNSISCPEEVEDGMRNKFPCPSTDNPDMTDSVSTTSKPNAKVKTRRCRQTKNNASSLPKEQSSMSGCNTRLTRSRYRSSQNQNSSSSTEVLVLSKHINGNNECDLSDAVNQGKSLSQIRNSTVNFTGEVTCICNKLKCWFCIAVEVQQSGLFMNYIYMKWELIRRQLSLRVLGGRGKCLEIHGQIHEAHENILQSISVLFSRNPFNQTYAPASFSFLLDLVGREFSGDVFAIERAALLYNICWFSLKGYCSKDNRNICCDLSHVQLPKIVSCLMLAFVLCREVPILFQKVSRLLSGIYILSSSSERFSLPPCKVLSEGHWASYFHQASLGTHLTCQFFSSITGKHKTANLVDDQGSQVTGLTCNLPRLAPKSVKDLEQFVTDFFSNLPCTTVICISLIEGPYATLLQDLLMYPSCACAWMLLSRLNFKSQPIVMLLPVGSISEEASDDDAAISGCGEFSENNDMGKHWHCPWGFTVIDKVAPAFKLILEENYLSSSTFPLEDTKENRNSWWMRRKKLDWQLGKLLRNLEDLWLGPWRFVLLGEFSNCKHLDSVQKKLIRNLKSKCKMDVNESFLKVILGGRYALDGGDFFADLVYLEKSCFIGKTLYSDEETCQTLYNEPEGIEKLSDLAIQLLHDALNELEEDSLNREPIILVLDCDIQMLPWENLPVLRNQEVYRMPSVGSICLTLDRSCNHQEQVQQKISAFPSIDPLDAFYLLNPSGDLNSTQVEFENWFKVQNLEGKAGSAPTAEELSSALKSHDLFLYFGHGSGAQYISQQEIQKLENCAATLLMGCSSGSLSLHGCYTPQGTPLSYLLAGSPIIVANLWEVTDKDIDRFGKAMLDGWLKERSNASTDCAQCNLLLKEFEALNLKDPKVTTKRKVQKKKEIETCDSDSLKNCCNHRPRIGSFMSQAREACTLPFLIGASPVCYGVPTGIRKKKDL >Manes.15G056800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4380898:4384412:-1 gene:Manes.15G056800.v8.1 transcript:Manes.15G056800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNAWGNSPLNTVDPEIHDLIEKEKRRQCTGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRSRALQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVDSQTGYIDYAKLEEKALDFRPRLIICGGSAYPRDWDYAKFRSVADKCGALLLCDMAHISGLVAAQEAANPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPAKKGQPEGAVYDFEDKINFSVFPSLQGGPHNHQIGALAVALKQVMTPGFKAYAKQVKANAVALGNYLMSKGYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVETDFEQIGEFLHRAVTITLSVQKEHGKLLKDFNKGLVNNKDIEALKADVEKFASSFDMPGFLMSEMKYKN >Manes.01G106800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30592450:30597813:-1 gene:Manes.01G106800.v8.1 transcript:Manes.01G106800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRIDNYEPGPLPSPKQLDRFGFVKQELSNSHEGLTKGRSAYEFEREERRVRKWRKMIGVGGSDWKHYIRRKPHVVKRRIRKGIPDCLRGLVWQLITGSRDLLLMNPGVYEQLVIYETSAAELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMQGLYQAGLPLVQQYLFQFDHLVKEHMPKLGEHFIQEMINPSMYASQWFITIFSYSFPFNLALRIWDVFLYEGVKIVFRVGLALLKHCHDDLVKLPFEKLIHALRNFPEDAMDPDALLPMAYSFKVSKRLEELKQAYDKKNGKLSK >Manes.01G106800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30592450:30597813:-1 gene:Manes.01G106800.v8.1 transcript:Manes.01G106800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRIDNYEPGPLPSPKQLDRFGFVKQELSNSHEGLTKGRSAYEFEREERRVRKWRKMIGVGGSDWKHYIRRKPHVVKRRIRKGIPDCLRGLVWQLITGSRDLLLMNPGVYEQLVIYETSAAELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMQGLYQAGLPLVQQYLFQFDHLVKEHMPKLGEHFIQEMINPSMYASQWFITIFSYSFPFNLALRIWDVFLYEGVKIVFRVGLALLKHCHDDLVKLPFEKLIHALRNFPEDAMDPDALLPMAYSFKVSKRLEELKQAYDKKNGKLSK >Manes.01G207300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37941299:37946439:-1 gene:Manes.01G207300.v8.1 transcript:Manes.01G207300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQSFHFLSTMSATTPRSSSFFSSPILPYPLLFSGVWLHGTKDKRGSVDIRPRSSAISKPRTQEYPDVFQKKEAPVIKWHEIVEDDIEDQVPKVSISNEKIKLIQTIKSMLDSMEDGEISISAYDTAWVALVEDVNGSGNPQFPSSLQWIANNQLPDGSWGDADIFTAHDRILNTLACVIALKTWKIHSDKADKGLKYFKENLCKLGDENAEHMPIGFEVAFPSLLELARKLDIEIPEDSSVLQEIYASRNLKLKKIPKDIMHKVPTTLLHSLEGMSGLDWEKLLKLKCQDGSFLFSPSSTAFALMQTKDQNCLAYLNKIVQRFKGGVPNVYPVDLFEHIWAVDRLQRLGISRYFQQELKECVNYVARYWREDGICWARNSEVHDIDDTAMAFRMLRLYGHEVSSDVFKHFKKGDSFFCFAGQSTQAVTGMFNLYRAAQVLFPGEKILEEAKEFSSSFLKEKQTANEVLDKWIITKDLPGEVEYSLGVPWYANLPRVEARFYLEQYGGKDDVWIGKTLYRMPYVNNNEYLQLARLDYNSCQALHRVEWDNFQKWYEGCKLGDFGISKKELLLAYFIAAASIFEPERSKDRLAWAKTTILLKTIDSYFHDNNNIEQRRAFVHEFKTGIPAPPAVNGRVMETKTTHELVRIVLGTLNDVSLDAMVFHGREISHTLRHAWEKWLLKWEKEGDRSQVEAELIVKTINLAAGRWISEDLLSYHPQYENFFKLTNRICHQLGHYKKNKAHENKRSTTPEIEGDMQELVKLVLRNSSDGMDSEIKGTFFTVAKSFYYDAICDPGTMDYHISKVLFEKVLY >Manes.01G054800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:22877262:22882326:1 gene:Manes.01G054800.v8.1 transcript:Manes.01G054800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNRPHKPSNGVSTRWVSIFCIASFFLGVLVVNRLWAIDPVKMDEEASSVKEHQLKMSHPVVNCEKMDTPVQAGDILSQVAQTHDVIMTLDKTISSLEMQLAAARAVKADSEEGSPMVSKSGTVHLKQRPKVFFVMGIITAFSTRKRRESIRETWMPKGEELKKLETEKGIILRFVIGHSASPGGVLDRAIDAEEEQHKDFLRLNHIEGYHELSSKTQIYFSTAVARWDADFYIKVDDDIHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLSQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYLSVNQRILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKAQAGNPCAASFDWTCSGICKSVERMEEVHQRCGEGDGAIWHTSF >Manes.05G205300.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33197684:33202416:1 gene:Manes.05G205300.v8.1 transcript:Manes.05G205300.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVVKDGSQKQMWIPETKLEAKMVEAMQRREAEGCTIKSFNSLILKFPKIDENLRKCKDIFEEFDEDSNDTIDQEELRKCFQKLGISFTEEDINDLFEACDINDDMVINFNEFIVLLCIVYLLKDDPASLHAKSRMGLPNLEATFETLVDAFVFLDKNKDGYVSKNETVQAINESGERSSGRIAMKRFEEMDWDKNGTVNFKEFLFAFTRWVGIDDDNEGIDDDKEDDEEEDED >Manes.05G205300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33197729:33202299:1 gene:Manes.05G205300.v8.1 transcript:Manes.05G205300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVTMGTVVVKDGSQKQMWIPETKLEAKMVEAMQRREAEGCTIKSFNSLILKFPKIDENLRKCKDIFEEFDEDSNDTIDQEELRKCFQKLGISFTEEDINDLFEACDINDDMVINFNEFIVLLCIVYLLKDDPASLHAKSRMGLPNLEATFETLVDAFVFLDKNKDGYVSKNETVQAINESGERSSGRIAMKRFEEMDWDKNGTVNFKEFLFAFTRWVGIDDDNEGIDDDKEDDEEEDED >Manes.05G205300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33197729:33202299:1 gene:Manes.05G205300.v8.1 transcript:Manes.05G205300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVVKDGSQKQMWIPETKLEAKMVEAMQRREAEGCTIKSFNSLILKFPKIDENLRKCKDIFEEFDEDSNDTIDQEELRKCFQKLGISFTEEDINDLFEACDINDDMVINFNEFIVLLCIVYLLKDDPASLHAKSRMGLPNLEATFETLVDAFVFLDKNKDGYVSKNETVQAINESGERSSGRIAMKRFEEMDWDKNGTVNFKEFLFAFTRWVGIDDDNEGIDDDKEDDEEEDED >Manes.05G205300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33197654:33202401:1 gene:Manes.05G205300.v8.1 transcript:Manes.05G205300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVVKDGSQKQMWIPETKLEAKMVEAMQRREAEGCTIKSFNSLILKFPKIDENLRKCKDIFEEFDEDSNDTIDQEELRKCFQKLGISFTEEDINDLFEACDINDDMVINFNEFIVLLCIVYLLKDDPASLHAKSRMGLPNLEATFETLVDAFVFLDKNKDGYVSKNETVQAINESGERSSGRIAMKRFEEMDWDKNGTVNFKEFLFAFTRWVGIDDDNEGIDDDKEDDEEEDED >Manes.05G205300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33197694:33202299:1 gene:Manes.05G205300.v8.1 transcript:Manes.05G205300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVVKDGSQKQMWIPETKLEAKMVEAMQRREAEGCTIKSFNSLILKFPKIDENLRKCKDIFEEFDEDSNDTIDQEELRKCFQKLGISFTEEDINDLFEACDINDDMVINFNEFIVLLCIVYLLKDDPASLHAKSRMGLPNLEATFETLVDAFVFLDKNKDGYVSKNETVQAINESGERSSGRIAMKRFEEMDWDKNGTVNFKEFLFAFTRWVGIDDDNEGIDDDKEDDEEEDED >Manes.05G205300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33197729:33202299:1 gene:Manes.05G205300.v8.1 transcript:Manes.05G205300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPETKLEAKMVEAMQRREAEGCTIKSFNSLILKFPKIDENLRKCKDIFEEFDEDSNDTIDQEELRKCFQKLGISFTEEDINDLFEACDINDDMVINFNEFIVLLCIVYLLKDDPASLHAKSRMGLPNLEATFETLVDAFVFLDKNKDGYVSKNETVQAINESGERSSGRIAMKRFEEMDWDKNGTVNFKEFLFAFTRWVGIDDDNEGIDDDKEDDEEEDED >Manes.05G205300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33197685:33202299:1 gene:Manes.05G205300.v8.1 transcript:Manes.05G205300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVVKDGSQKQMWIPETKLEAKMVEAMQRREAEGCTIKSFNSLILKFPKIDENLRKCKDIFEEFDEDSNDTIDQEELRKCFQKLGISFTEEDINDLFEACDINDDMVINFNEFIVLLCIVYLLKDDPASLHAKSRMGLPNLEATFETLVDAFVFLDKNKDGYVSKNETVQAINESGERSSGRIAMKRFEEMDWDKNGTVNFKEFLFAFTRWVGIDDDNEGIDDDKEDDEEEDED >Manes.18G034700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:2959581:2961585:-1 gene:Manes.18G034700.v8.1 transcript:Manes.18G034700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHSSPYFQMENPAILSLLRKTTGEKRSKSSSGGLLKIFKLFPMLTSGCKMVALLGRPRKPLLKDNATTGTIFGYRKGRVTLTIQEDPHSVPMFVIELPMHTSAFHKEMASDIVRIALESETKTHKKKVLEEFVWAVYCNGRKMGYSIRRKQMSDDELHVMQLLRGVSMGAGVLPSPNEKESTAYGELTYIRARFERVVGSKDSEALHMINPDGAPGAELSIFFVRSH >Manes.13G100700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29441069:29447301:1 gene:Manes.13G100700.v8.1 transcript:Manes.13G100700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGPSSDSVSKSKPHREKATSTSTSMLAKIEPFVSKTDHHNPRELRSWAKRTGFISTFSSETTTSTSENFDSSTGFDLERGLNHHKNGGSSPKIEIDPILGRTRPARGSEIEPATGSASRSGNEFRNGNNRRLGLRDENKRKRIGDESAFGVKDGERKVGLNGNGISNLNVNGSGTRNGSVNGTVNEIPATTPATEPKKEEENAGTDIGIEMYPIGDDPHTEGWHRQSGMRLGLTDNPGFVPLLYYGLQHYLSLAGSLIFIPLIIVPAMGGTDRDTATVISTMLLLSGITTILHSYFGTRLPLVQGSSFVYLAPALVIINAREYRNLSEHKFRHIMRELQGAIIVGSIFQSILGFTGLMSLLLRLINPVVVAPTVAAVGLAFVGYGFPQAGSCVEVSIPLILLVLVFSLYLRGLSIFGHRLFQIYSVPLSVMIIWTYAFFLTAGGAYDYKGCSPDIPSSNILLDSCRRHAYTMQHCRTDVSNAWRTSAWVRIPYPLQWGVPIFHLRTSLIMIIVSLVASVDSVGTYHSTSILVNSKPPTPGIVSRGIALEGFCSILAGLWGSGTGSTTLTENVHTINITKVANQRALVLGAVFLILFSFVGKVGAILASIPLALAASILCFMWGLIVALGLSTLQYSQTSSFRNVAIVGVSLFLGLSIPAYFQQYQPESSLILPSYFVPYAAASNGPVHTSSKQFDFAINGLMSMSMVVTLLIALILDNTVPGTRQERGVYIWSHPEDLATDPSVHSDYSLPRKVSRFFCCLR >Manes.13G100700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:29441168:29447301:1 gene:Manes.13G100700.v8.1 transcript:Manes.13G100700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGPSSDSVSKSKPHREKATSTSTSMLAKIEPFVSKTDHHNPRELRSWAKRTGFISTFSSETTTSTSENFDSSTGFDLERGLNHHKNGGSSPKIEIDPILGRTRPARGSEIEPATGSASRSGNEFRNGNNRRLGLRDENKRKRIGDESAFGVKDGERKVGLNGNGISNLNVNGSGTRNGSVNGTVNEIPATTPATEPKKEEENAGTDIGIEMYPIGDDPHTEGWHRQSGMRLGLTDNPGFVPLLYYGLQHYLSLAGSLIFIPLIIVPAMGGTDRDTATVISTMLLLSGITTILHSYFGTRLPLVQGSSFVYLAPALVIINAREYRNLSEHKFRHIMRELQGAIIVGSIFQSILGFTGLMSLLLRLINPVVVAPTVAAVGLAFVGYGFPQAGSCVEVSIPLILLVLVFSLYLRGLSIFGHRLFQIYSVPLSVMIIWTYAFFLTAGGAYDYKGCSPDIPSSNILLDSCRRHAYTMQHCRTDVSNAWRTSAWVRIPYPLQWGVPIFHLRTSLIMIIVSLVASVDSVGTYHSTSILVNSKPPTPGIVSRGIALEGFCSILAGLWGSGTGSTTLTENVHTINITKVANQRALVLGAVFLILFSFVGKVGAILASIPLALAASILCFMWGLIVALGLSTLQYSQTSSFRNVAIVGVSLFLGLSIPAYFQQYQPESSLILPSYFVPYAAASNGPVHTSSKQFDFAINGLMSMSMVVTLLIALILDNTVPGTRQERGVYIWSHPEDLATDPSVHSDYSLPRKVSRFFCCLR >Manes.02G142500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:10941040:10943343:1 gene:Manes.02G142500.v8.1 transcript:Manes.02G142500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDDESSVTSSPLQFFSMMSLSPGIGSQYPWLRELKSEERGLYLIHLLLTCANHVASGNLENAEIALGQISQLASADGDTMQRIAAYFTEALAQRIIKALPGVHRALNATRITLVSEEILVRKLFFEMLPFLKVAFLLANQAIIEAMEGEKMVHIIDLNAAEPAQWLALLQALSARPEGPPHLRITGIHQQKWVLDQMAHKLIEEAERLDIPFQFNPIAGDLENLDIEKLRVKTGEALAISSILQLHPFLASDDELRRRSPVPLKNSNGIHLLRALQMHQGTLGELLEKDMVNGYNPSPESTSSSPQSPSTSVKMDYFLNMLWSLSPKLMVVMEQDSNHNGSTLMERLLESLYSYAALFDCLESTVSRTSMERLKMEKMLYGEEIKNIVACEGAERRERHEKLEKWMQRLDLAGFGNVHLSYYGMLQARRLLQGYGCDGYRIKEENGCVVICWQDRPLFSVSAWRCRK >Manes.03G187500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30721755:30727494:1 gene:Manes.03G187500.v8.1 transcript:Manes.03G187500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLICGRRRGGDHSAPTLKLHSEKGLSEDLQLGSNVLSDAGLSALGDCFPRLEKLSLIWCSTVSSLGLVSLAYKCSFLISLDLQGCYVGDQGLAAVGKCCKRLEELNLRFCEGLTDTGLVELAQGCGKSLKSLGVAACAKITDLSLEAVSSYCKSLENLSLDSESIHNGGVLSVAQGCPSLKVLRLQCINVTDEALIAVGTHCLSLELLALNSFQRFTDKGLRAIGDGCKRLKNLTLSDCYFLSDKGLEAIATGCRELSHLDVNGCHNIGTIGLEAIGRSCPHLTELALQYCQRIGNHALLEIGKGCKFLQALHLVDCSSIGDDAICSIARGCRNLKKLHIRRCYEIGNKGIMAIGENCKSLVDLSLRFCDRVGDEALIAIGQGCSLQHLNVSGCHLIGDAGIIAIARGCPELSYIDVSVLQNLGDMAMAELGEGCPLLKEIVLSHCRQITDVGLTHLVKNCRMLESCHVVYCPGITAAGVATVVSSCPNIKKVLVEKWKVSERTKRRAGTVISYLCVDL >Manes.03G187500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30721128:30727494:1 gene:Manes.03G187500.v8.1 transcript:Manes.03G187500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQDWINNCLPDGLIFEIFRHLDSKSTRDACSLVCRRWLELERLSRTTLRIGATGNPDLSVKLLARRFHNVKTIHIDERLSIPVPIQFGRRRGGDHSAPTLKLHSEKGLSEDLQLGSNVLSDAGLSALGDCFPRLEKLSLIWCSTVSSLGLVSLAYKCSFLISLDLQGCYVGDQGLAAVGKCCKRLEELNLRFCEGLTDTGLVELAQGCGKSLKSLGVAACAKITDLSLEAVSSYCKSLENLSLDSESIHNGGVLSVAQGCPSLKVLRLQCINVTDEALIAVGTHCLSLELLALNSFQRFTDKGLRAIGDGCKRLKNLTLSDCYFLSDKGLEAIATGCRELSHLDVNGCHNIGTIGLEAIGRSCPHLTELALQYCQRIGNHALLEIGKGCKFLQALHLVDCSSIGDDAICSIARGCRNLKKLHIRRCYEIGNKGIMAIGENCKSLVDLSLRFCDRVGDEALIAIGQGCSLQHLNVSGCHLIGDAGIIAIARGCPELSYIDVSVLQNLGDMAMAELGEGCPLLKEIVLSHCRQITDVGLTHLVKNCRMLESCHVVYCPGITAAGVATVVSSCPNIKKVLVEKWKVSERTKRRAGTVISYLCVDL >Manes.06G021801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4076788:4079845:-1 gene:Manes.06G021801.v8.1 transcript:Manes.06G021801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGNCLKLKCSCYGQLFHYHPKKLNSALCKVVRVQLTSKFEITAYIPSIGHNLQEHSVVLVRGGRVKNLLGVKYHIIRGTLDTVEVKDR >Manes.04G029500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3561741:3564343:-1 gene:Manes.04G029500.v8.1 transcript:Manes.04G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGMRTVFFRPSSPMPSPPHRHTFSNTLVDENIDNARVLISKWDSDSTVSSLFTHNRLEAEQYLNSVKQLHSAMQYYIIENSASEMLVRAQNLMQIAMKRLEKEFYQILKFNRDYLDPESVSSHSSRASRSSVSDFEDESEDESSRLSDSVSEVERVSMVAMADLKAIADCMIGSGYGKECVKIYKIVRKSIVDETLYHLGVERLNFSQIQKMDWEVLELKIKNWLNAVKVAVKTLFYGERILCDHVFSASASIKESCVAEITREGALTLFGFPENVAKCKKTSEKMFRTLDLYEAIADLWPEIEYIFNYESTSAVRSQAVNSLIRLGETTRIMLTDFEMAISKDNSKSPVPGGGVHPLTRYVMNYIAFLADYSGILSDIVADWPLTVSSPLPESYFSSLEHEDGTSAAISVRLAWLILVLLCKLDGKAELYKEVSLSYLFLANNLRYVVNKVRKSSLKFLIGEDWIMKHDEKVKQYAQNYERMGWSEVFSSLPENLEIRMTIHETAERFKRFNSAFEKAYKKQTSWVVQNSKLSDEIKVSLAKKLVPIYREFYEKYGEVVRREIGSPRIVRFAPDDLGNYVSDLFFGTAGGSGSISSASSTTSFSPWSGHSQGGKSR >Manes.15G172200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:15312256:15324100:1 gene:Manes.15G172200.v8.1 transcript:Manes.15G172200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVEARERIDFCFFKTIIKPLDAFMRKAFLSQHSSSLPPLALSASPWYSRAPPAYCSSALHAVNVVAASCARCCVRSSWLLLRSPPPLPLVLVAVSTGPVLLPSGAAPRRWSSSSITPPLVLVLNHSASVPRRVLSHCRKWGKLLILTMAAQASGSKSLKEYLKRYETNTEEEQKKKRKKKKVKPDGPGVLVLDEDPVWQKPVKLEEEEENDSADEKPQVDEDIEVKRMRRLEQLRSRRPYNTIAEDGSGWVSLTSKANATEQNSDMSPPRRKRYNTPSPEPLDRPSDAGRAGADFSPPRRQTHHHSPLPEPHTRSQHFTDLNSDLSPSRKHRARNDTPSPDPSLKPHLRESDLSPVRRRKHHYSPSPGPDTKLKYSGIAHADLSPPRRQRETNYTSSLPAGRKEDDDFSPPRQQRRRHYTPSPEPLQNSDMSPPRRSHARTSERRKSEVPESRVSHPRRADHRSSHGDARTSLGSDLSPPRKRRMSVEKSGSPDFSYQHLSRHSSTPVNGGSHAPLDQDVSLRRKNQESSDPVSSKGRAKTGLITGHDIMEEISKTKKDDLLRFEQMDPSLSGRGAEPVFRDKKGQRISKEEYMKSKQKVEEKPKEKKLEWGKGLAQKREAETRLLELEQEKDKPFARTRDDPELDKMMKERVRWGDPMAHLVKKKHSGPVLADLGDSEKMKESGFIVPQEIPSHSWIKRGLDAAPNRYGIKPGRHWDGVDRSNGFEKKMFQRLNEKRATEREAYLWSVSDM >Manes.14G173400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28710668:28713007:1 gene:Manes.14G173400.v8.1 transcript:Manes.14G173400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVAVVRSPIGTYKPSSSVGGSLFLAANAPDFVRFPTKYHLSINKHCSSVSYGKSGHRRLGFGRRRYAVVRASSSSSASDSSGSLAPIAPLQLESPIGQFLSQILMSHPHLVPAAVEQQLEQLQTDRDADKEKEEPSVTGTDLVLYRRIAEVKANERRRALEEILYALVVQKFMDANVSLIPTMAPSSADPSDQVDKWPSQDEKLEQLHSPEAYEMIQNHLALILGNKIADSTTVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNIVDEGDINVQQAARQDMNSSGEKDAFQSVSHPEAWSGGIGPGGFGHGMKSCKLRSYVMSFDGETLQRYATIRSKEAVSIIEKHTEALFGRPEIVITPQGTVDSSKDELIKISFGGLKRLVLEAVTFGSFLWDVESYVDSRYHFVMN >Manes.01G076100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27909820:27917162:-1 gene:Manes.01G076100.v8.1 transcript:Manes.01G076100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTSVSPLSVKFLTISTPSSRSPYHHASHSRPCHIVCGLHTQREDQFHDCYTTRYKVDVSKSKNWRTIVSTALAAAVVSFSSGLPAVADLNKYEAETRGEFGIGSAAQFGSADLRKAVHVNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRTVLTRSDLGGAIIEGADFSDAVLDLPQKQALCKYANGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLISAPPQKLLDRDGFCDERTGLCDAK >Manes.01G076100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:27909820:27917162:-1 gene:Manes.01G076100.v8.1 transcript:Manes.01G076100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCYTTRYKVDVSKSKNWRTIVSTALAAAVVSFSSGLPAVADLNKYEAETRGEFGIGSAAQFGSADLRKAVHVNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRTVLTRSDLGGAIIEGADFSDAVLDLPQKQALCKYANGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLISAPPQKLLDRDGFCDERTGLCDAK >Manes.04G041450.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6736159:6769926:-1 gene:Manes.04G041450.v8.1 transcript:Manes.04G041450.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVMVSADPCPTEDALSTLLEYFIDPKLPSKSSARDTPSQLDQELVAKQIHAVVLLYNYYYRKQHMHLEFLGFENFCKLAVILRPTLLVHMKLMQLSDDAELDDMEKQLSLTEKKIMDACDIATSLDASKISPSIEGWPISKVAVFLIDLRKENCLLQFGSITEGVWSIIEQDLELSNDNSKGSIVSNEVDKKRRFIRKPLRNELGANDAGHKQRAFSAIKVATGINERDLVVLEKHVVYSTSKEKAAACFYIMQCTQPNNHITQIPIKDAISSLQGPLFVRSSGQWIHTSVVEYFHLLPYAEILSDWISREGLPDNSQLRGVRSKTINTNSSQMGEKPCEPEFPEIEHLGSKTVAGSTKQNEDDGSDVIELFDNFDGLHSVEVDNSFEIHTQPKEKGSDVASKVPPVNYQKMTTSADGCSHGLTDRATVDSLKRQRITERRGGTVVDGNKQCKDISLVQDGMPTNAGDIDKMHTIIASRDQELSQAALRVILSKRAKLCFQLRDIQDQIAQCDKSMQTILNGDEGDLAVKLESLIECCNDVSLRSLGQESTYQHGKDKSPPKFIDRKSLPADISNTPNPSQELDDLCYQNNWILPTYRVTAIDGGFQANVILKGIDFEHSSDGELHPHPHEARDSAAALMLVKLRNEPNIVRQ >Manes.02G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6640955:6646211:-1 gene:Manes.02G084500.v8.1 transcript:Manes.02G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKVENQTQLHKKQVHLFYCAECEDLARKVAAHSDLITLQSINWRNFDDGFPNLYINNAQEMRGQHVAFLAAFSSPGVIFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLPDSDKIVVAFPDDGAWKRFHKLLDHFPMVVCTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLVANGATKVSAYVTHGVFPKRSWERFTHKSDGSGSAFAYFWITDSCPLTVKAIANKRPFEVLSLAGSIADALKI >Manes.02G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6628658:6646167:-1 gene:Manes.02G084500.v8.1 transcript:Manes.02G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKVENQTQLHKKQVHLFYCAECEDLARKVAAHSDLITLQSINWRNFDDGFPNLYINNAQEMRGQHVAFLAAFSSPGVIFEQLSVIYALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDHVLPLFETGIPLLKQRLHQLPDSDKIVVAFPDDGAWKRFHKLLDHFPMVVCTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLVANGATKVSAYVTHGVFPKRSWERFTHKSDGSGSAFAYFWITDSCPLTVKAIANKRPFEVLSLAGSIADALKI >Manes.04G139300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33870939:33876690:1 gene:Manes.04G139300.v8.1 transcript:Manes.04G139300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFAVTCSYISKIVSKFRFFSLCPLNKHLAMFSHLFLLVFAFSVSFSIFLSFVYRSFNKPKREQQSHNGEATVINRSLSKIIDETRKTRENDTTPLTHSLLLDILPPDSSKWATLFGNCPDETRSGQGDDRDGSAGDSQRVKKKKKRAKKKRLDSKNEENGGEDKGLEGQREGSYSGSGQVKPELVCLYPFTSTSSATQRKIKQQYDQLVKCNESKGLTLAQVGEFANCLIEARNELQHKSEVIKRKFTITKALLFKADRSSMDRLSQQIYKLELEQKRLEEDAFVYNWLQQQLKLSPAYKKMLEISACMELKAKSGELIENKDTEVADISFEELLAQEKKDSFWLVC >Manes.04G139300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33870940:33876690:1 gene:Manes.04G139300.v8.1 transcript:Manes.04G139300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFAVTCSYISKIVSKFRFFSLCPLNKHLAMFSHLFLLVFAFSVSFSIFLSFVYRSFNKPKREQQSHNGEATVINRSLSKIIDETRKTRENDTTPLTHSLLLDILPPDSSKWATLFGNCPDETRSGQGDDRDGSAGDSQRVKKKKKRAKKKRLDSKNEENGGEDKGLEGQREGSYSGSGQVKPELVCLYPFTSTSSATQRKIKQQYDQLVKCNESKGLTLAQVGEFANCLIEARNELQHKSEVIKRKFTITKALLFKADRSSMDRLSQQIYKLELEQKRLEEDAFVYNWLQQQLKLSPAYKKMLEISACMELKAKSGELIENKDTEVADISFEELLAQEKKDSFWQKNGKSRLYSSQ >Manes.07G059100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:8736954:8740077:-1 gene:Manes.07G059100.v8.1 transcript:Manes.07G059100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLASPQKPQYSGGIIQNPDLNEGLKGWSTFGDAKIQHKELGNNAFIVANSRVHPYDSVSQKLNLQKDNLYTFSAWIQVSKGKVPVSALFKTQKGSTIAGTVIAESKCWSMLKGGFTVDASAAVQLYFESNDTSVDIWVDSVSLQPFTEKEWMSHQDQGIEKNRKSKVRIQAVDGKGKPLSNATISVELRRASFPFGCAINKNILSNKAYQNWFTSRFSVTTFEDEMKWYSTESSQGKVDYSVPDAMLEFSKKNNIQVRGHNVLWEDPNYQSEWVKSLSPTDLSKAATNRINSIMSRYKGQLICWDVVNENLHFDFFESKLGNTASAVFYNLAQKIDGASTLFLNDFNTLEEERDDKSTPARYLQKLRDIKAFPGNQNLKLGIGLESHFSSSAPNLPYMRAAIDTLGATNLPIWLTEVDVQSSPNQAKYLEQILREAQSHPKVAGIVIWSAWKPQGCYRMCLTDNNFKNLPTGDVVDKLLAAKSVVGRTDVDGFFEASLIHGDYRVKIQHPTAATSSFKKLNVVPSTGAATQTLRMQFAGDESKEYTTAEL >Manes.01G192300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:36730452:36732269:1 gene:Manes.01G192300.v8.1 transcript:Manes.01G192300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAITPSPLPQILCKPNNSMNQHTHLLCCLNQCTDMLQLKQIHAQALRSTLPNHPDTLFLYSRILHFSALNDLNYAYRLFDGIHNPNSFTWNTLIRACARSSDSKEQAFMLYKRMLEQATVLPDKHTFPFVLKACAYLFALHEGKQAHAQMLKLGFQSDVYVNNSLIHFYASCGCLESAQNVFEKMSERSVVSWNAMIDALVQFGEFESALKHFVQLQNFSEPDGYTMQSVLNACAGLCALSLGMWVHAYILRKFDDNVAKDVLVNNCLVDMYCKCGSLDIALQVFERMDRRDVTSWNSMILGFAMHGKAALAFEYFDLMVRTWKLIPNSITFVGVLSACNHRCMVNEGQKYFEMMVSEYNIEPQLEHYGCLVDILARAGLIDEALNLVSSMPMKPDAVIWRSLLDSCCKKNASVELSEEMARQVLESKGGDCSGVYVLLSRVYASASRWNDVGLLRKLMTDRGVTKEPGCSLIEIDGVTHEFFAGDTSHARTKDIYQFLEVIEERLDSVGHTPDYSQAPMVDELNDGKQQNLRVHSERLAIALGLLSSKPGMPIRIFKNLQVCSDCHKVAKLISKIFHVEIIMRDRVRFHHFKDGFCSCMDYW >Manes.16G117502.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:32173964:32175895:-1 gene:Manes.16G117502.v8.1 transcript:Manes.16G117502.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATKSISTAHPQRLPHQYHHLLRQSLLPHLHHQYLLRLRIRHHLHRPHLHRLPCQCRLQILRLHRLQILRQLLFQYLPQSHHLHRLHRPFLHQLPCQLHRPHLHRLPCQCRLQILRLHRLQILRHLLFQYLPQSHHLHRLHRPFLHQLPCQYLLQILHLHRHLLHLQLLSQYLPRSRHHHLFLLQHHHQHLLHSLHQHHLQIHRHLLCQCLLLHQYHHRLQILHRHDYAFSSSHGACHHLQSLHQFHHPHHRHQHLLHLFQHNQA >Manes.04G010300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:1339532:1357886:1 gene:Manes.04G010300.v8.1 transcript:Manes.04G010300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTEVKKRGRGRPRKRRPEEDEIDEKVGFAIKKQALEMRWKPLVGRYLLKEFDGNGTFLGKIVSYDTGLYRVDYEDGDSEDLESGDLRQLILGDDDFDDVLNERKKKLDQLVLEKSLKNKKNLEKEVAKLKNEVDKVETSAITDLSGQAAVENDEEQGEGDADSSSDSCEYALEGGWEPEAKIPTVPPPQLPPSSGTVGVPEECVSLIFSVYGFLRSFNVCLFLSPFTLDDLVGAVNCNVQNTLLDAIHVALMHALRRHLETISSDGSDIASKCLRCVDWSLLDSLTWPVYLVRYFTVMGYAKGPEWKGFYDDLLNKEYYSLPVSRKLTILQILCDDVLDCAEIRAEIDMREESEVGIDPDAFVTNLPENGPRRVHPRYSKTSACKDREGMEIIAESHGTMSSCSSKNLGFKGSKLEGDGPGVDGDSNNDECRLCGMDGTLLCCDGCPSAYHSRCIGVVKMYIPEGPWYCPECTINKLGPTVVMGTSLRGAEIFGVDLYEQLFLGTCNHLLVIRSSIGAEPCLRYYSQKDIPKVLQVLSSSVQHKSLYLEISKAIAEYWKIPETAFFPFETMERNLIIPSINEDEKYSTLSVPFAFKEKHKVAHAGEAEDVISLNASNVDSVVVSCLDPSINTTIQAQPHGILSNGDAKNCHLLSMRLMEQIKVESTSSVNQTIDPSDVARHSFVDRSSMITSCTSANSDGNHIGHGNATSLPAISESKESNHEVFGGVDRNLMDNVVYVGTFFKPYSYINHYVHGYFAASAAANLAVLSSEGSRVSDTHKSANARKIISDMSLQIKAFSTAASRFFWPSLEKKLVEVPRERCGWCHSCKLPSNNRRGCMLNSAALTATKGTLKILNGLRPIMSGEGSLPSISTYILYMGEVLCGITGGPFMSTSFRKQWRKQIEDASTFSAIKGPLLELEENIRTIALFGDWAKAMDDWLVDSPVIQCSTSTIGTTQKRGPGGKRHRKQSGMSDIRDGGSDDKSFVWWRGGKLLKHVFLKASLPQPVVRRAARQGGSRKISGVYYADDSQLPIRSRQMVWRAAVEKSKNASQLALQVRYLDIHVRWNDLVRPDQSLQDGKGPETEASFFRNAIICDKKTDDSKVKYGVAFGNQKHLPSRIMKNIIEVEQSADGKEKYWFFETHVPLYLIKEYEEKVDKVFLPSANKSLNELSELQRRQLKASRRDIFLYLANKRDKLERCSCASCQQDVLLRNTVKCSDCQGYCHKDCTIGTRGYMNEEVEFMITCKQCYNAKAVSLENSNESPTTPLPFQGQDPHNIPTATKITRIKFRNQPLVSIRTQESSSEMKQTTPSLASKNRNRLCSWGVIWMKKNIDTGIDFRRENILLKGNSESLKPVCNLCKKPYNRDLMYIHCETCNSWFHAEAVGLDESKLSDVVGFKCCRCRRIKSPKCPYDNPEDEKPVSHKPGERVLKKGHIRVGNDSGTVVRSKMCEPTTPMFPMEEVLVQEDDSLLSVSRFEQVTDNNSRAELEWNAAGQGPQKLPVRRHTKPQLNSEDMFENNHLAESCVPVDRNNLMNPKEELPSCAEWDVSNCLEGEVMFDYEGMNYEDMEFEPQTYFSFTELLASDDSGQLDGFDASVNVLGNSENQSCTVSHDGFLEQSAMDISVDQQELVSAPQSTINTKQCKMCLHSEPVPDLSCEICNLVIHSHCSPWVESSSPEGTWSCGNCREWR >Manes.06G149000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26710667:26712793:-1 gene:Manes.06G149000.v8.1 transcript:Manes.06G149000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFFSLYIVGPCMAAAAHTCCQGPIFHDGIREWSCCKKRSYDFTLFLEIPGCKTGKHTTEKPVLAKVTASPKKPIPPPTAATTTNLSSKESCPRCKQGFFCSDHGSQAKQAPLARSNVGTQGSSAPPKKVIDINEPQTCRNQGCGKTFKEKDNHETACSYHPGPAIFHDRLRGWKCCDIHVKEFDEFMSIPPCSKGWHNADPAS >Manes.06G149000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26708386:26713834:-1 gene:Manes.06G149000.v8.1 transcript:Manes.06G149000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVVKLRCHRIGCNATFTEDDNPEGSCQYHDAGPIFHDGIREWSCCKKRSYDFTLFLEIPGCKTGKHTTEKPVLAKVTASPKKPIPPPTAATTTNLSSKESCPRCKQGFFCSDHGSQAKQAPLARSNVGTQGSSAPPKKVIDINEPQTCRNQGCGKTFKEKDNHETACSYHPGPAIFHDRLRGWKCCDIHVKEFDEFMSIPPCSKGWHNADPAS >Manes.06G149000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26708386:26713834:-1 gene:Manes.06G149000.v8.1 transcript:Manes.06G149000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEVVKLRCHRIGCNATFTEDDNPEGSCQYHDAPIFHDGIREWSCCKKRSYDFTLFLEIPGCKTGKHTTEKPVLAKVTASPKKPIPPPTAATTTNLSSKESCPRCKQGFFCSDHGSQAKQAPLARSNVGTQGSSAPPKKVIDINEPQTCRNQGCGKTFKEKDNHETACSYHPGPAIFHDRLRGWKCCDIHVKEFDEFMSIPPCSKGWHNADPAS >Manes.S030016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:661186:661560:1 gene:Manes.S030016.v8.1 transcript:Manes.S030016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.06G018600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3158746:3165766:-1 gene:Manes.06G018600.v8.1 transcript:Manes.06G018600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQRLAVITIKRATSIYGLISTIPRTHLDSTTLCNSLSTKSTKNDNDEWNDAWETAWLPDDLTAKNRAPWEKDVNFPSTDSGVVLSPEVDAETKAFVEEMNENWNERRQATKTCQQQQQDKEEKRGIEDGTSLYSLENMKKDYRLKKQRIHAGLWMKEIEKQQEAKLGDSGLGSADDIDRLLDSCSEIFDTANNDLDISRAPSSSEFKSKPDGWETTAKEQDGNIWEMSQREEDILLQEFDRRIAYSKFQIASFIKTHIFSRRRPIDGWKYMIEELGPNAKKGKGSVSRIPTLSDASTQPFKEERTQVANNFMPLNRK >Manes.03G176101.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29931663:29934223:-1 gene:Manes.03G176101.v8.1 transcript:Manes.03G176101.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIFTSIFASTLLFATASLLPQSPPSCIDELVAFSSCLGYVSVAPNNLTDTATSQCCDAFSKAFNSRDGNCFCYLMRQPLIFGFMLNKSRLVSLPSVCSSVNGGSVMKYRGSPELICSGSPALPSLLSTTASVPPKPYDGPDVAPSSTSMPEENAETSPTPLSFTPEPIVESQATPKPLLKPIPAPDPASLGPTPPPVVCPSPSPKAPVVPEPTPSTLPPESSITLTLTPPSPTVDTGAPTSTTGSGIRHWKCR >Manes.09G030800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6336342:6342001:1 gene:Manes.09G030800.v8.1 transcript:Manes.09G030800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCSPISTCARNVVYLSGHVQHMGGTILNLVSRGQSTSCCFCFYPSHSRESYARLSVLRTMSSPSVNSFHTMHRSCFRSASSKQSGSCQSFTVKGLFNAKGPLKRHFNISLAYQNLNLRLSLSKRGMLSKIKGNVGSISWSQECASAGLISALLVCYSSSEPTHAEAATDKKDEEDDSDVSYVKFSHGKKVYTDYSITGIPGDGRCLFRSVAHGAYLRAGKPAPSEGLQRELADDLRARVADEFIKRRQETEWFIEGDFDTYVAHMRKSHVWGGEPELFMASHVLKMPITVYMYDENAGGLISIAEYGQEYGNDNPIRVLYHGFGHYDALQIPGRKGGRSKL >Manes.09G030800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:6336061:6342925:1 gene:Manes.09G030800.v8.1 transcript:Manes.09G030800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCSPISTCARNVVYLSGHVQHMGGTILNLVSRGQSTSCCFCFYPSHSRESYARLSVLRTMSSPSVNSFHTMHRSCFRSASSKQSGSCQSFTVKGLFNAKGPLKRHFNISLAYQNLNLRLSLSKRGMLSKIKGNVGSISWSQECASAGLISALLVCYSSSEPTHAEAATDKKDEEDDSDVSYVKFSHGKKVYTDYSITGIPGDGRCLFRSVAHGAYLRAGKPAPSEGLQRELADDLRARVADEFIKRRQETEWFIEGDFDTYVAHMRKSHVWGGEPELFMASHVLKMPITVYMYDENAGGLISIAEYGQEYGNDNPIRVLYHGFGHYDALQIPGRKGGRSKL >Manes.02G204900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:17762058:17780277:1 gene:Manes.02G204900.v8.1 transcript:Manes.02G204900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELGQLSESMRQAAALLADEDVDENPSSTSRRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLQNDGSLNSKSIILQIDNKSQQVSASALRHSLQERLSKGSSGKSRDEIYLRLRTSTAPPLKLIDLPGLDQRVMDESMVSEYGEHNDAILLVIIPAAQAPEIASSRALRIAKEYDGEGTRTVGVISKIDQAASDKKTLAAVQALLLNQGPTKTVDIPWVALIGQSVSIASAQSGSESSLETAWRAESESLKSILSGAPQSKLGRIALVDALAHQIRKRMKVRLPNLISGLQGKSQIVQDELVRLGEQMVESSEGTRAIALELCREFEDKFLQHITTGEGAGWKIVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVEIVSASANATSGLGRYPPFKREVIAIATTALEGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKGHDAEQAILNRATSPQTGGQQTGGSLKSMKEKTNQTEKEVQEASGLKTAGPEGEITAGFLSKKSAKNDGWSKRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNIEEVPDEEPPSKSSKDKKANGPDSKTPSLLFKITSKVPYKTVLKAHSAVVLKAETLADKVEWINKITKIAEPSRGQMRNASPEGGSTLRQSRSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSNVRIEELLQEDQNVKRRRERYVKQSSLLSKLTRQLSIHDNRAAAASSWSNGSAESSPKTNGPSGDDWRSAFDAAANGSVDYGSSRSSSNGHSRHYNDSAQNGDLSNSSNSGSRRTPNRLPPAPPQSGSSGYKY >Manes.15G031400.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2447164:2451757:-1 gene:Manes.15G031400.v8.1 transcript:Manes.15G031400.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRADKIDLKSLDVQLEKHLSRVWSMNIETQRPREEWEIDLSKLDIRHVIAHGTYGTVYRGVYDNQDVAVKLLDWGEDGIARTAETIALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLQIPAKDMFDGQTSIPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKIVIQLALDLARGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRSCDVYSFGICLWETYCCDMPYPNLSFAEVSSAVVRQNLRPEIPRCCPSSLATIMRKCWDANAEKRPEMAEVVRMLEKIDTSKGGGMIPDDRAPSCFCFALVRGP >Manes.15G031400.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2447086:2451825:-1 gene:Manes.15G031400.v8.1 transcript:Manes.15G031400.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTSASGDAGVIVAGGKSYNSKAKGTGSISCKDMIFRADKIDLKSLDVQLEKHLSRVWSMNIETQRPREEWEIDLSKLDIRHVIAHGTYGTVYRGVYDNQDVAVKLLDWGEDGIARTAETIALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLQIPAKDMFDGQTSIPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKIVIQLALDLARGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRSCDVYSFGICLWETYCCDMPYPNLSFAEVSSAVVRQNLRPEIPRCCPSSLATIMRKCWDANAEKRPEMAEVVRMLEKIDTSKGGGMIPDDRAPSCFCFALVRGP >Manes.15G031400.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2447085:2451826:-1 gene:Manes.15G031400.v8.1 transcript:Manes.15G031400.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRADKIDLKSLDVQLEKHLSRVWSMNIETQRPREEWEIDLSKLDIRHVIAHGTYGTVYRGVYDNQDVAVKLLDWGEDGIARTAETIALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLQIPAKDMFDGQTSIPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKIVIQLALDLARGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRSCDVYSFGICLWETYCCDMPYPNLSFAEVSSAVVRQNLRPEIPRCCPSSLATIMRKCWDANAEKRPEMAEVVRMLEKIDTSKGGGMIPDDRAPSCFCFALVRGP >Manes.15G031400.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2447085:2451826:-1 gene:Manes.15G031400.v8.1 transcript:Manes.15G031400.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTSASGDAGVIVAGGKSYNSKAKGTGSISCKDMIFRADKIDLKSLDVQLEKHLSRVWSMNIETQRPREEWEIDLSKLDIRHVIAHGTYGTVYRGVYDNQDVAVKLLDWGEDGIARTAETIALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLQIPAKDMFDGQTSIPSRACCVVVEYLPGGTLKQYLIRNRRKKLAFKIVIQLALDLARGLSYLHSKKIVHRDVKTENMLLDAHRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRSCDVYSFGICLWETYCCDMPYPNLSFAEVSSAVVRQNLRPEIPRCCPSSLATIMRKCWDANAEKRPEMAEVVRMLEKIDTSKGGGMIPDDRAPSCFCFALVRGP >Manes.10G123400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28919016:28922171:-1 gene:Manes.10G123400.v8.1 transcript:Manes.10G123400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVRFRRALTSLSTLQRSLSSPIIPTCPLPLPHAFISPSPSKQSPAFFIFQSRPFAGSPMSLSSSGKQYRVYKEGDEITEDMVLFEGCDFNHWLITVDFPKDPKPTPEEMVATYERICAQGLGISIEEAKKKIYACSTTTYQGFQAVMTEEESEKFKDIPGVVFVLPDSYIDPQNKEYGGDKYENGVITPRPPPVQYRKTGRFNDRNRNPAQPRYDQQGGPAQPRYDQQGGPAPNQRGSPQYNQQGYMQGGRASPQFNQGYTQGGGNYGPPQNYPPQQNYGPPGQGDRMPMNNWDKAPGGRDSYQTNRGPYQGSYGQDQRGGQYQGNYSHGQQGNHYPQDQRGFPQGDQRNFRGDGRNFSPAQPGTHGQGSNIGYGQGYPGEGQRFSQMEQRNMHGEQSNYAPVGQTGENQGRY >Manes.10G123400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:28919016:28922171:-1 gene:Manes.10G123400.v8.1 transcript:Manes.10G123400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVRFRRALTSLSTLQRSLSSPIIPTCPLPLPHAFISPSPSKQSPAFFIFQSRPFAGSPMSLSSSGKQYRVYKEGDEITEDMVLFEGCDFNHWLITVDFPKDPKPTPEEMVATYERICAQGLGISIEEAKKKIYACSTTTYQGFQAVMTEEESEKFKDIPGVVFVLPDSYIDPQNKEYGGDKYENGVITPRPPPVQYRKTGRFNDRNRNPAQPRYDQQGGPAPNQRGSPQYNQQGYMQGGRASPQFNQGYTQGGGNYGPPQNYPPQQNYGPPGQGDRMPMNNWDKAPGGRDSYQTNRGPYQGSYGQDQRGGQYQGNYSHGQQGNHYPQDQRGFPQGDQRNFRGDGRNFSPAQPGTHGQGSNIGYGQGYPGEGQRFSQMEQRNMHGEQSNYAPVGQTGENQGRY >Manes.11G088257.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:16364410:16377230:-1 gene:Manes.11G088257.v8.1 transcript:Manes.11G088257.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFSAKSHSLNLISGAQISALSCAKSSIRKEFLGCSHNLRPPGGPIRGSKCRKLRIRRNQSQRFLINASFGSDSVLVVVAVSTISALSFAYLNQQLTNRNTNKRSSKEDLGSPSAGVSQLRRNIVNIVGSPSLDVGDSHRGTLAAESTKLAESNRENSYAIKGKETLVQVLEDNVTSYGSLLVETTESSNADFSVSNDSNHVVPKEPEPQLSSIPESGRIKPLELAEGMSKLTLEKSSNELDVSSAFPEVMTKQSNSTSSSVNFEAGKMVDLASYYGISEESSREDLYTFYEENKSVVNSSLNMNGSSTVSSHALSSPGNSFSSLKVNAIVKEAELSAQHSPQIAESVERKIRVAPYERGSRKNENMGRRGFPRDKEKGHLIQDDHIKLPDIPYPNGIHATDKDDPPKKFQTYNRLLRDGRLAECLDLLEDMERRGLLDMSKIYHAKFFKTCKIQKAVKEAFLFCKLVPNPTLSTFNMLMSVCASSQDSEGAFKVLQLARGAGLKADCRLYTTLISTCAKSGKVDSMFEVFHEMVNAGVEPNVHTYGTLIDGCGRARQMAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMRAEAQPIDPDHVTVGALINACAKAGQVGRAKEVYNMMHEFNIKGTPEVYTIAVNSCSQTGDWEFASRVYEDMKRKGVAPDEMFLSAMIDVAGHAGLVDVAFQILQEARVQGLELGIIPYSSLMGACSNGKNWLKALELYDDMKSIKLNPTVSTMNALITALCDGDQLPKAIEVLSEMKSFGLCPNTVTYSMLSVASERKDDLEVGLMLLSQAKEDSVAPTLVMRKCIVGMCLRRYEKACALGQNVLSFDSGRPQIKNELTSTALMVYRETIAAGEKPTMDVVSQILGCLKVPGDASLKAKLVENVGVTTDSSKFSNLCSLLDGFGEYDPRAFSLLEVNCYHMQEAASLGIIPHLSMKASPIVIDAKTLQIHIAEVYLLNVLKGLKHRLAAGAKLPSITILLPIETTKVKIHESEKMINLAGRLGQEVASLLRRIGLPYQGNESYGKIRINGVSLKRWFQPKLSSPFSRKPGELSSSQLIIGKGIIHQQRNIRTGNLSLE >Manes.13G092860.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:27811447:27813145:-1 gene:Manes.13G092860.v8.1 transcript:Manes.13G092860.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAHFVLIHTICHGAWIWYKLKPALEKAGHKVTALDMAASGIDPRQIEQINSFDEYSEPLLTFLEKLPRGEKVIIVGESCAGLNIAIAADKYPEKIAAGVFHNSLLPDTVHSPSYTVDKLMESFPDWKDTVYFKYANNSGETITALKLGFTLLRENLFTLCPPEDYELAKMLTRKGSLFQNILAKREKFTEKGYGSIKKVYIWTDQDKIFLPEFQRWQIANYKPDKVYQVQGGDHKLQLTKTKEIAQILQEVANAYA >Manes.13G106800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:30947162:30951988:-1 gene:Manes.13G106800.v8.1 transcript:Manes.13G106800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSPHSSQRSKGFKVKHALQIGLLLGVGIWLLCQVGHSYEKKAAGDDNVKTENAIIKLGRKGLPEAEETIVRDVRHREEEEEKTKPDNNVGTGGGDHDIHDRIKECDRRDDSTDGESKENVGGEREREDSSDNHQTEKEEIKDNVSEDNGGEEKKVNEETANGESKEGENEGKENEDNKETESEEKPNQESPEITNEEKKTVEETRGITIKDVVEESQGAESKETEDKEEKIENSGSAEDQVPDGNYEHSREAREEHYKGDDASSAVVHETQDEIPREEHYKGDDASSAVVHETQDVIPREEHYKGDDASSAVVHETQDVIPREEHYKGDDASSAVVHETQDVIPREEHYKGDDASSAVVHETQDVIPREEQGDLEKTSELEHVENKEKNESEFGSKTSNTEIVDVNQNEVSNGEPVDKNNATSPANEESGNENKQESEEDSHDTSTIATEKNDQQLLKSNSNPVTSENHDSSPQNQNETPDITMLKGEEPSMNDVVHVQTEKSDSDASGQHSDSNETPLTATENVDLTNQESTGSSNSESISSNEQTADSNKPSSASQYDDAVQGEKSDSSEEGNPEIVASSNTNENSDDAVQKENVDSSNPQEEETSKNTNNNVDADQKEQVVSSGSQEKDVSSNSKDNGDSAQNQSESYVHSNTDDRTVASQEEHVDSNNSEANQNENNNAVETHVNDKSNTHTSTDASQEQVVSSESSTSQIQKDESSNTDDVSQNDSNNSSQKDAVDSSNSSVSEEKKDARTDFGTLPDTGSQEINGGDAAAE >Manes.13G106800.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:30946669:30951988:-1 gene:Manes.13G106800.v8.1 transcript:Manes.13G106800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSPHSSQRSKGFKVKHALQIGLLLGVGIWLLCQVGHSYEKKAAGDDNVKTENAIIKLGRKGLPEAEETIVRDVRHREEEEEKTKPDNNVGTGGGDHDIHDRIKECDRRDDSTDGESKENVGGEREREDSSDNHQTEKEEIKDNVSEDNGGEEKKVNEETANGESKEGENEGKENEDNKETESEEKPNQESPEITNEEKKTVEETRGITIKDVVEESQGAESKETEDKEEKIENSGSAEDQVPDGNYEHSREAREEHYKGDDASSAVVHETQDEIPREEHYKGDDASSAVVHETQDVIPREEHYKGDDASSAVVHETQDVIPREEHYKGDDASSAVVHETQDVIPREEHYKGDDASSAVVHETQDVIPREEHNKGDDASSAVVHETQDVIPREEQGDLEKTSELEHVENKEKNESEFGSKTSNTEIVDVNQNEVSNGEPVDKNNATSPANEESGNENKQESEEDSHDTSTIATEKNDQQLLKSNSNPVTSENHDSSPQNQNETPDITMLKGEEPSMNDVVHVQTEKSDSDASGQHSDSNETPLTATENVDLTNQESTGSSNSESISSNEQTADSNKPSSASQYDDAVQGEKSDSSEEGNPEIVASSNTNENSDDAVQKENVDSSNPQEEETSKNTNNNVDADQKEQVVSSGSQEKDVSSNSKDNGDSAQNQSESYVHSNTDDRTVASQEEHVDSNNSEANQNENNNAVETHVNDKSNTHTSTDASQEQVVSSESSTSQIQKDESSNTDDVSQNDSNNSSQKDAVDSSNSSVSEEKKDARTDFGTLPDTGSQEINGGDAAAE >Manes.01G205200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:37830936:37833772:1 gene:Manes.01G205200.v8.1 transcript:Manes.01G205200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSDVKDGSLILIKQGAEARVFESNFVGRRSIVKERFSKKYRHPSLDAKLTLKRLNAEARCMTKARRLGVSTPVLYAVDPLLHTLTFEYVEGHSVKDMFLEFGLHGVVEERMDDIATQIGDAIGKLHDGGLIHGDLTTSNMLIRNGTNQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRVIAAYRKSSKQWSSTMNKLAQVRQRGRKRTMVG >Manes.S051416.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1747746:1747904:-1 gene:Manes.S051416.v8.1 transcript:Manes.S051416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRDSRGHSYFIVRGEILGFMKDEQLRKHLPRMFSLIKNESWGLEDDQIPS >Manes.04G153700.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34926720:34932586:1 gene:Manes.04G153700.v8.1 transcript:Manes.04G153700.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNESDDGVLSKDQTESPLAEEGSCGGSANGGVVLKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQALHESQRGLNISGINSGDKGHQDLCRTNNYEIPDVIFDSLKANHGISPYVPELPDITASSMLMKGLGSSQYGSFMLPTIHRQKRLRESTTLIPGYGGSVKTEFPLFDQFQGNPCDKVAQSFGLSFPFDPDPTNKNPQSFGDNQGSHTFANGNFSASKPASGLVKMELPSLQYPDIDLGSWGTSPPPLLETVDTFIQSPPMGTVECSPRNSGLLDALLQEAKTLSSGKHHSSEKSSNSSTVTPGELAESSALNKCKTEWEDYGDPLSPLGHTATSLFSECTPMSTSGSSLDETPVTETLTGCNVKSELTTRAWSPEREQETTTRLNITRPDALLASDWIEQDSSYVKDQVVMTDNIASLLGDDLSSDYKQMSAEASTSNEGWGLSSCAWNNMPAVCQMSEFPSENCYLSNL >Manes.04G153700.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34926720:34932505:1 gene:Manes.04G153700.v8.1 transcript:Manes.04G153700.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNESDDGVLSKDQTESPLAEEGSCGGSANGGVVLKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQALHESQRGLNISGINSGDKGHQDLCRTNNYEIPDVIFDSLKANHGISPYVPELPDITASSMLMKGLGSSQYGSFMLPTIHRQKRLRESTTLIPGYGGSVKTEFPLFDQFQGNPCDKVAQSFGLSFPFDPDPTNKNPQSFGDNQGSHTFANGNFSASKPASGLVKMELPSLQYPDIDLGSWGTSPPPLLETVDTFIQSPPMGTVECSPRNSGLLDALLQEAKTLSSGKHHSSEKSSNSSTVTPGELAESSALNKCKTEWEDYGDPLSPLGHTATSLFSECTPMSTSGSSLDETPVTETLTGCNVKSELTTRAWSPEREQETTTRLNITRPDALLASDWIEQDSSYVKDQVVMTDNIASLLGDDLSSDYKQMSAEASTSNEGWGLSSCAWNNMPAVCQMSEFPSENCYLSNL >Manes.04G153700.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34926709:34933877:1 gene:Manes.04G153700.v8.1 transcript:Manes.04G153700.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNESDDGVLSKDQTESPLAEEGSCGGSANGGVVLKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQALHESQRGLNISGINSGDKGHQDLCRTNNYEIPDVIFDSLKANHGISPYVPELPDITASSMLMKGLGSSQYGSFMLPTIHRQKRLRESTTLIPGYGGSVKTEFPLFDQFQGNPCDKVAQSFGLSFPFDPDPTNKNPQSFGDNQGSHTFANGNFSASKPASGLVKMELPSLQYPDIDLGSWGTSPPPLLETVDTFIQSPPMGTVECSPRNSGLLDALLQEAKTLSSGKHHSSEKSSNSSTVTPGELAESSALNKCKTEWEDYGDPLSPLGHTATSLFSECTPMSTSGSSLDETPVTETLTGCNVKSELTTRAWSPEREQETTTRLNITRPDALLASDWIEQDSSYVKDQVVMTDNIASLLGDDLSSDYKQMSAEASTSNEGWGLSSCAWNNMPAVCQMSEFPSENCYLSNL >Manes.04G153700.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34926709:34933877:1 gene:Manes.04G153700.v8.1 transcript:Manes.04G153700.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNESDDGVLSKDQTESPLAEEGSCGGSANGGVVLKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQALHESQRGLNISGINSGDKGHQDLCRTNNYEIPDVIFDSLKANHGISPYVPELPDITASSMLMKGLGSSQYGSFMLPTIHRQKRLRESTTLIPGYGGSVKTEFPLFDQFQGNPCDKVAQSFGLSFPFDPDPTNKNPQSFGDNQGSHTFANGNFSASKPASGLVKMELPSLQYPDIDLGSWGTSPPPLLETVDTFIQSPPMGTVECSPRNSGLLDALLQEAKTLSSGKHHSSEKSSNSSTVTPGELAESSALNKCKTEWEDYGDPLSPLGHTATSLFSECTPMSTSGSSLDETPVTETLTGCNVKSELTTRAWSPEREQETTTRLNITRPDALLASDWIEQDSSYVKDQVVMTDNIASLLGDDLSSDYKQMSAEASTSNEGWGLSSCAWNNMPAVCQMSEFPSENCYLSNL >Manes.04G153700.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:34926720:34932505:1 gene:Manes.04G153700.v8.1 transcript:Manes.04G153700.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTNESDDGVLSKDQTESPLAEEGSCGGSANGGVVLKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQALHESQRGLNISGINSGDKGHQDLCRTNNYEIPDVIFDSLKANHGISPYVPELPDITASSMLMKGLGSSQYGSFMLPTIHRQKRLRESTTLIPGYGGSVKTEFPLFDQFQGNPCDKVAQSFGLSFPFDPDPTNKNPQSFGDNQGSHTFANGNFSASKPASGLVKMELPSLQYPDIDLGSWGTSPPPLLETVDTFIQSPPMGTVECSPRNSGLLDALLQEAKTLSSGKHHSSEKSSNSSTVTPGELAESSALNKCKTEWEDYGDPLSPLGHTATSLFSECTPMSTSGSSLDETPVTETLTGCNVKSELTTRAWSPEREQETTTRLNITRPDALLASDWIEQDSSYVKDQVVMTDNIASLLGDDLSSDYKQMSAEASTSNEGWGLSSCAWNNMPAVCQMSEFPSENCYLSNL >Manes.06G001800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:527606:534384:1 gene:Manes.06G001800.v8.1 transcript:Manes.06G001800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKGGIFHSQSLNQIPDLSLHISLPNRTPSSICTATNDDADDSTFDVWSKDDSLKPHKDSSIKVGSLPDETIDLTLAANPAATIALQVESPWRRISYAGGGGGSQADQRNNVLLQRSNGGSMSQLDRGISGFKPIKGFPVYSCWNINSGEMDPRFCFNQIPYPSSCTPYSSPGDTCSSFPAYRMAAPSVRPQFQYHQYGGGVVGGAEVYGGGMIRSRFTPKLQNKRNMRAPRMRWTSSLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSDGSADEDFLSVTTPINQISNHKTSSCVSLQHDDGYTTSSTPWINSSSRERWVQSSSRDMEGLRPPQNQLSHQAFEESEIRSFRSVCNQLLETPSLEFSLGRPDWQSKLHD >Manes.06G001800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:527640:534384:1 gene:Manes.06G001800.v8.1 transcript:Manes.06G001800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKGGIFHSQSLNQIPDLSLHISLPNRTPSSICTATNDDADDSTFDVWSKDDSLKPHKDSSIKVGSLPDETIDLTLAANPAATIALQVESPWRRISYAGGGGGSQADQRNNVLLQRSNGGSMSQLDRGISGFKPIKGFPVYSCWNINSGEMDPRFCFNQIPYPSSCTPYSSPGDTCSSFPAYRMAAPSVRPQFQYHQYGGGVVGGAEVYGGGMIRSRFTPKLQNKRNMRAPRMRWTSSLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSDGSADEDFLSVTTPINQISNHKTSSCVSLQHDDGYTTSSTPWINSSRERWVQSSSRDMEGLRPPQNQLSHQAFEIYVQESEIRSFRSVCNQLLETPSLEFSLGRPDWQSKLHD >Manes.06G001800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:527606:534384:1 gene:Manes.06G001800.v8.1 transcript:Manes.06G001800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKGGIFHSQSLNQIPDLSLHISLPNRTPSSICTATNDDADDSTFDVWSKDDSLKPHKDSSIKVGSLPDETIDLTLAANPAATIALQVESPWRRISYAGGGGGSQADQRNNVLLQRSNGGSMSQLDRGISGFKPIKGFPVYSCWNINSGEMDPRFCFNQIPYPSSCTPYSSPGDTCSSFPAYRMAAPSVRPQFQYHQYGGGVVGGAEVYGGGMIRSRFTPKLQNKRNMRAPRMRWTSSLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSDGSADEDFLSVTTPINQISNHKTSSCVSLQHDDGYTTSSTPWINSSRERWVQSSSRDMEGLRPPQNQLSHQAFEESEIRSFRSVCNQLLETPSLEFSLGRPDWQSKLHD >Manes.05G104100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9838474:9840771:-1 gene:Manes.05G104100.v8.1 transcript:Manes.05G104100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNSSLFALYPNTLCISSHQTSTSIIKPLNPPGGLEKTRNHEPKPLRICPCGRRHFLEAAATGLLSAYVPPATASDYKAILNRVHPPRPDWYEEFYASVLNSEAMKSYEAEIAAYKSQLFTNLRGKAKKILEIGIGTGPNLKYYANDADLEVFGVDPNGKMERYAHEAAEAAGLLPANFKFIQAVGEAIPLSDASVDAVVGTLVLCSVTDVDQTLQEVKRVLRPGGLYLFVEHVAAKDGTLLRFLQNILDPLQQTVSDGCHLTRETGKQIFEAGFSGVELSTAFLSNAAFINPQVYGLASK >Manes.16G042221.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5997526:5998230:-1 gene:Manes.16G042221.v8.1 transcript:Manes.16G042221.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKEFIELFDSCWFEMEILKNQSRLLKSSDADANPVHQNQEEASKPELYRVPTIISRSMSDQLWPKASFGSGLSLSPDSVLPTSKLQTILSDMEIEEGIQTQRPYVQESHTRKTRSRRPEKRTLSKSLSELEFEELKGFMDLGFVFSEEDKDSSLVSIIPGLQRLGKKDSEEESGGVDEAAVSRPYLSEAWHGLERRKKEDALMNWRLPALRNEMDMKDNLRWWAHTVASTLR >Manes.14G042900.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3763779:3766690:-1 gene:Manes.14G042900.v8.1 transcript:Manes.14G042900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKASDGLLGPLVDDSGRFYKPLQDDERGSREVTFYTSFSSNTRVPDHIRRFFPNFYGTQLLEASDGSGLRPHLVLQDVVSSHLHPSIMDIKIGSRTWYPQAPEDYIQRCLKKDRETSSLSLGFRISGLQVHGNKELGHWKPERKVVQKLTADEVRLALRKFVSSNSSADPYVVPDSLFASSVYGGSSGILAQLLELKAWFEDQSIYHFNSCSVLIVYEKESVLKGGPSGAQVKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECLTTNCLQDSERAVLTMGTATGSEQSIYNA >Manes.14G042900.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3763731:3774475:-1 gene:Manes.14G042900.v8.1 transcript:Manes.14G042900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKASDGLLGPLVDDSGRFYKPLQDDERGSREVTFYTSFSSNTRVPDHIRRFFPNFYGTQLLEASDGSGLRPHLVLQDVVSSHLHPSIMDIKIGSRTWYPQAPEDYIQRCLKKDRETSSLSLGFRISGLQVHGNKELGHWKPERKVVQKLTADEVRLALRKFVSSNSSADPYVVPDSLFASSVYGGSSGILAQLLELKAWFEDQSIYHFNSCSVLIVYEKESVLKGGPSGAQVKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECLTTNCLQDSERAVLTMGTATGSEQSIYNA >Manes.14G042900.10.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3763822:3766573:-1 gene:Manes.14G042900.v8.1 transcript:Manes.14G042900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKASDGLLGPLVDDSGRFYKPLQDDERGSREVTFYTSFSSNTRVPDHIRRFFPNFYGTQLLEASDGSGLRPHLVLQDVVSSHLHPSIMDIKIGSRTWYPQAPEDYIQRCLKKDRETSSLSLGFRISGLQVHGNKELGHWKPERKVVQKLTADEVRLALRKFVSSNSSADPYVVPDSLFASSVYGGSSGILAQLLELKAWFEDQSIYHFNSCSVLIVYEKESVLKGGPSGAQVKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECLTTNCLQDSERAVLTMGTATGSEQSIYNA >Manes.14G042900.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3763725:3774535:-1 gene:Manes.14G042900.v8.1 transcript:Manes.14G042900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKASDGLLGPLVDDSGRFYKPLQDDERGSREVTFYTSFSSNTRVPDHIRRFFPNFYGTQLLEASDGSGLRPHLVLQDVVSSHLHPSIMDIKIGSRTWYPQAPEDYIQRCLKKDRETSSLSLGFRISGLQVHGNKELGHWKPERKVVQKLTADEVRLALRKFVSSNSSADPYVVPDSLFASSVYGGSSGILAQLLELKAWFEDQSIYHFNSCSVLIVYEKESVLKGGPSGAQVKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECLTTNCLQDSERAVLTMGTATGSEQSIYNA >Manes.14G042900.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:3763731:3766690:-1 gene:Manes.14G042900.v8.1 transcript:Manes.14G042900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIPEHQVAGHKASDGLLGPLVDDSGRFYKPLQDDERGSREVTFYTSFSSNTRVPDHIRRFFPNFYGTQLLEASDGSGLRPHLVLQDVVSSHLHPSIMDIKIGSRTWYPQAPEDYIQRCLKKDRETSSLSLGFRISGLQVHGNKELGHWKPERKVVQKLTADEVRLALRKFVSSNSSADPYVVPDSLFASSVYGGSSGILAQLLELKAWFEDQSIYHFNSCSVLIVYEKESVLKGGPSGAQVKLIDFAHVVEGNGVIDHNFLGGVCSLIKFISEILTTPDECLTTNCLQDSERAVLTMGTATGSEQSIYNA >Manes.13G054800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6354236:6356242:-1 gene:Manes.13G054800.v8.1 transcript:Manes.13G054800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLPLVSFIILYIGILIPGVNPREQDSSVYDVLKDHGLPMGLLPKGIEDFRIDDTGHFVVHLDQACNAKFESELHYDRNVSGTLSYGQIGALSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVSKQFSLSLFETPRECVAVRDGLEEAIESGRRIADVVSKSQLGELRYQLDQENFGRGVL >Manes.13G054800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6352475:6356242:-1 gene:Manes.13G054800.v8.1 transcript:Manes.13G054800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLPLVSFIILYIGILIPGVNPREQDSSVYDVLKDHGLPMGLLPKGIEDFRIDDTGHFVVHLDQACNAKFESELHYDRNVSGTLSYGQIGALSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVSKQFSLSLFETPRECVAVRDGLEEAIESGRRIADVVSKSQLGELRYQLDQENFGRGVL >Manes.13G054800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6352475:6356242:-1 gene:Manes.13G054800.v8.1 transcript:Manes.13G054800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLPLVSFIILYIGILIPGVNPREQDSSVYDVLKDHGLPMGLLPKGIEDFRIDDTGHFVVHLDQACNAKFESELHYDRNVSGTLSYGQIGALSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVSKQFSLSLFETPRECVAVRDGLEEAIESGRRIADVVSKSQLGELRYQLDQENFGRGVL >Manes.13G054800.12.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:6355449:6355991:-1 gene:Manes.13G054800.v8.1 transcript:Manes.13G054800.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLPLVSFIILYIGILIPGVNPREQDSSVYDVLKDHGLPMGLLPKGIEDFRIDDTGHFVVHLDQACNAKFESELHYDRNVSGTLSYGQIGALSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVSKQFSLSLFETPRECVAVRDGLEEAIESGRRIADVVSKVWFLFLIYFCFSLD >Manes.13G054800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:6355072:6355991:-1 gene:Manes.13G054800.v8.1 transcript:Manes.13G054800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFLPLVSFIILYIGILIPGVNPREQDSSVYDVLKDHGLPMGLLPKGIEDFRIDDTGHFVVHLDQACNAKFESELHYDRNVSGTLSYGQIGALSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVSKQFSLSLFETPRECVAVRDGLEEAIESGRRIADVVSKSQLGELRYQLDQENFGRGVL >Manes.05G150550.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:25934178:25935929:-1 gene:Manes.05G150550.v8.1 transcript:Manes.05G150550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFVGGTDTRAATVVWAMTLLMKNPMAMKKAQEEVRKLVGRKDFVEEADCQQLPYLKAVIKETMRLQPTAPLLVPRESTEDCVLDGYDIAAKTVVYVNAWAIGRDPEIWENPEEFNPERFINSSIDLKGQDFELTPFGAGRRICPGIFMGLATVEVSLANLLHKFDWEMPVGMKKEDLDMDVQPGITMHKKNALCLMARKYA >Manes.15G061000.37.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.37.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKVFQRLANDRFCNANRCIVITQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.36.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.36.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652077:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTATAALICQRGKKFFRLKVNAMCVEYVSWAVLLSETGLRKFPHVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.39.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.39.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.34.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652077:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.34.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTATAALICQRGKKFFRLKVNAMCVEYVSWAVLLSETGLRKFPHVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.38.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.38.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKGYFEFLEAVFQRLANDRFCNANRCIVITQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.33.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.33.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRRITKERGDLLGKIRDFTTSLVRNLSEGPSLTVFIDKFRNYCTDPDANCYCSSDLPKGQEILSLKSECHVRRIYVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.40.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.40.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.35.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652078:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.35.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESGYFEFLEAVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G061000.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4652077:4661037:1 gene:Manes.15G061000.v8.1 transcript:Manes.15G061000.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTATAALICQRGKKFFRLKVNAMCVEYVSWAVLLSETGLRKFPHVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDQAINDICILLQCSRHNLNVVSVGNGLVMGWLRFLEAGRKFDCMNCPSNVHLIPVHVDEVKDIVSVAKYILVVEKESVFQRLANDRFCNANRCIVITGRGYPDIPTRRFLRLLLEKLALPVYCLVDCDPYGFDILTTYCFGSMQMAYDAKFLRVPEIRWLGAFPSDFEKYGLPQQCLLPLTPEDKRRTETMLLRCYLQREVPQWRLELESMLLRGVKFEIEALTAHSLSFLSEQYIPSKILDRKRRKFPTCLLNFSSLIYISPNSRPMSCEMFAPKTSSMSRFSGFSPAGSFQSK >Manes.15G183300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29800890:29804811:-1 gene:Manes.15G183300.v8.1 transcript:Manes.15G183300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAHDGAALAYPIQANPESFQLCIYPISLKFQEVVYKVKLEQKGLCCSGTWATQEKSILNGITGMVCPGEILAMLGPSGSGKTTLLTALGGRLNGKLSGKITYNGQLFSGSIKRRTGFVAQEDVLYPHLTVKETLLFTALLRLPRTLTQEEKLQHVERVITELGLTRCQNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRIITTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGSPIYYGPASSALEYFSSIGFSTSMTINPADLLLDLANGIAPDSKHGTEQGENMEQERKLVKEALLSGYEKNISTRLKAELCSLDINSYNYTKDASTRIDKMQEQWCTSWWHQFKVLFQRGLKERRYESFNRLRIFQVISVAVLGGLLWWHTPSSHIQDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLIKERASGMYHLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKPDPITFILSLLVVLYNVLVSQSLGLAIGAILMDIKQATTLASVTTLVFLIAGGYYVQQIPSFIVWLKYLSYSYYCYKLLLGVQYSEDDYYECSKGVVCRVGDFPAVKSMGLNHMWADVCIMALMLVGYRLIAYLALHRVQLR >Manes.07G134800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33603370:33610011:-1 gene:Manes.07G134800.v8.1 transcript:Manes.07G134800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESPNPNRALTETRFSDLKPPLSEPVLEALTLTGFEYCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRASASHKSHQVMGIILSPTRELSSQIYNVAQPFIATLSNVKSILLVGGGDVKADVKKIEEEGANILIGTPGRLYDIMERVDFLDFRNLEVLILDEADRLLDMGFQKQINSIMAHLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLTDSASSHSKTPSGLQLEYLECEEDNKSSQLVDLLIKNKSKKIIVYFMTCACVDYWGVVLPRLTALKGFSLIPLHGKMKQTAREKALASFTSLTNGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGSAIVFLLPKEEAYVEFLHIRRVPLQKKEKSDHAPDVVPQIRSAAKKDRDVMEKGLRAFVSFIRAYKEHHCSYIFRWKELEIGKLGMGFGLLQLPSMPEVKHHSLSTVGFTPVEDIKLEDIKYKDKSREKQRKKNLQAKKEAQQQEAKPQKPKKNPNDAAPTVMRKKTAKQRRAAQTVEDEDELAREYRLLKKLKKGTIDESEYAKLTGTEELL >Manes.05G023700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2099224:2103774:-1 gene:Manes.05G023700.v8.1 transcript:Manes.05G023700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFIVDVLSILPLPQVVVLIIIPSLKGPVSLIAKDLLKYVVLSQYVPRFLRIYPLFKEVTSSSGILTETAWAGAAFNLILYMLASHIIGAYWYLFSIEREHRCWRRFCKAPQCNIKDLYCGEHRLANLSTFLTDSCPYVQPDEIKNSTVFNFGIFIDALQSGIVESWDFPRKIFYCFWWGLRNLSALGQNLKTSPYVDEIIFAVFICVAGLVLFSLLIGNMQKYLQSTTVRIEEMRVKRRDAQLWMTHRMLPDTLRERIRRYEQYKWQETRGVEERTLIRTLPKDLRRDINRHLCFDLIMRVPMFGKMDEQILDAICDRLKPALYTKDSYIVREGDPVDEMLFIMRGDLVSVTTNGGRTGFFNAVYLKGGDFCGEALLTWALDPQPSSHLPISTRTVQALTDVEAFALVAEDLKSVASQFRRLHHKDIQHTFRFFSVQWKTWAACFIQAAWRRYCRRKQAKILRQAEERLQDTLANEAATTPSLGATIYASQFAANVLRNLRQNGGRTSRLPQILALVPQKPAEPDFSAHHR >Manes.05G023700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2099224:2103774:-1 gene:Manes.05G023700.v8.1 transcript:Manes.05G023700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKGNKFVRFVDWNSEKSYSSERQYSTDDGFYARRVRPTVSAVWDSIHRHWEIGSDKFRSLRKPSIFRSGGAQPPKASGTGKKILDPQGPFLQKWNKIFVLACVVAVSIDPLFFYIPVIDGENKCLDLDKKLETTACVLRTFIDVFYILRIIFQFLTGFIPPSTRVFGRGELVEDPVSIARRYMTSNFIVDVLSILPLPQVVVLIIIPSLKGPVSLIAKDLLKYVVLSQYVPRFLRIYPLFKEVTSSSGILTETAWAGAAFNLILYMLASHIIGAYWYLFSIEREHRCWRRFCKAPQCNIKDLYCGEHRLANLSTFLTDSCPYVQPDEIKNSTVFNFGIFIDALQSGIVESWDFPRKIFYCFWWGLRNLSALGQNLKTSPYVDEIIFAVFICVAGLVLFSLLIGNMQKYLQSTTVRIEEMRVKRRDAQLWMTHRMLPDTLRERIRRYEQYKWQETRGVEERTLIRTLPKDLRRDINRHLCFDLIMRVPMFGKMDEQILDAICDRLKPALYTKDSYIVREGDPVDEMLFIMRGDLVSVTTNGGRTGFFNAVYLKGGDFCGEALLTWALDPQPSSHLPISTRTVQALTDVEAFALVAEDLKSVASQFRRLHHKDIQHTFRFFSVQWKTWAACFIQAAWRRYCRRKQAKILRQAEERLQDTLANEAATTPSLGATIYASQFAANVLRNLRQNGGRTSRLPQILALVPQKPAEPDFSAHHR >Manes.05G023700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2099224:2103774:-1 gene:Manes.05G023700.v8.1 transcript:Manes.05G023700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFIVDVLSILPLPQVVVLIIIPSLKGPVSLIAKDLLKYVVLSQYVPRFLRIYPLFKEVTSSSGILTETAWAGAAFNLILYMLASHIIGAYWYLFSIEREHRCWRRFCKAPQCNIKDLYCGEHRLANLSTFLTDSCPYVQPDEIKNSTVFNFGIFIDALQSGIVESWDFPRKIFYCFWWGLRNLSALGQNLKTSPYVDEIIFAVFICVAGLVLFSLLIGNMQKYLQSTTVRIEEMRVKRRDAQLWMTHRMLPDTLRERIRRYEQYKWQETRGVEERTLIRTLPKDLRRDINRHLCFDLIMRVPMFGKMDEQILDAICDRLKPALYTKDSYIVREGDPVDEMLFIMRGDLVSVTTNGGRTGFFNAVYLKGGDFCGEALLTWALDPQPSSHLPISTRTVQALTDVEAFALVAEDLKSVASQFRRLHHKDIQHTFRFFSVQWKTWAACFIQAAWRRYCRRKQAKILRQAEERLQDTLANEAATTPSLGATIYASQFAANVLRNLRQNGGRTSRLPQILALVPQKPAEPDFSAHHR >Manes.14G112656.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13071941:13091334:-1 gene:Manes.14G112656.v8.1 transcript:Manes.14G112656.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKLFYRKPPDGLLEICESVYVFDYCFTTDAWQEENYKSYMRGIVGQLKEHFPDSSFLVFNFRERQRQSQFANILSEYEMTIMDYPREYEGCPLLKMEVIHHFLRSGESWLSVGQQNLLLMHCEQGGWPVMAFMLAALLIYRKQYIAEQKTLDMIYRQAPRELLQLLSPLNPIPSQLRYLQYVSRRNVASEWPPLDRALTLDCVILRFNPNFDEEGGFRPLFRIYGHDISEKAINIMCTTPRKGKTVRVYKQTECELVKIDINCHIKGDVVLECISLEDDMEHEHMIFRAVFNTAFIRSNILILNRDEIDTLWDSKIQFPKNFRAEILFSEVDASDSVVAVDFSCFEEKEGLPEEAFDKVREIFSYVDWPDSKADVARNKLQQISASNVIQENSPQSPRSPRVLDIGPRKRQERKKHAILDIGKESSMFSAQKIEFLHSPNSSPHAHVIEKAKHKILQVGSQLANQSDLRCQQPPQLPLDFHTKPLSHVDASCESADVTHKVICKDTSNQTLSIPDGTGPLEPSIVSISPSTPPLRPSINSSASKTLPTSPPSSHHLSTSKPIDPSFIKETKTHLGGKDQLSSSIPQTTGTPLTSPLPPTPPLGLPTPPPMAPLRDEPSIKDRAPPTPPPPPPTPPMKENGAIRAGPPPPPPPPLHSGQATSLTISTPMPPPPPPPSTLSSNPSHVPSVPPPPLPGNSGGNNLFESPSPVPPTPAPPFISPCSTKGRLSRTISSRSNQTKKLKPLHWLKLSRAVQGSLWAETPKSGEAPKAPEIDFSELESLFSASAPNSDHGRKSGTRSSRGPKVDKVQLIDHRRAYNCEIMLSKVKVPLNELMSSVLALEDSTLSTDQVENLIKFCPTKEEMELLKGYTGEQEKLGKCEQFFLELMKVPRVESKLRVFSFKMQFHSQVSDLRKILNVVNSTAEEIRSSAKLKSIMQTILSLGNALNQGTARGLTHPKS >Manes.14G112656.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:13058294:13091334:-1 gene:Manes.14G112656.v8.1 transcript:Manes.14G112656.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKLFYRKPPDGLLEICESVYVFDYCFTTDAWQEENYKSYMRGIVGQLKEHFPDSSFLVFNFRERQRQSQFANILSEYEMTIMDYPREYEGCPLLKMEVIHHFLRSGESWLSVGQQNLLLMHCEQGGWPVMAFMLAALLIYRKQYIAEQKTLDMIYRQAPRELLQLLSPLNPIPSQLRYLQYVSRRNVASEWPPLDRALTLDCVILRFNPNFDEEGGFRPLFRIYGHDISEKAINIMCTTPRKGKTVRVYKQTECELVKIDINCHIKGDVVLECISLEDDMEHEHMIFRAVFNTAFIRSNILILNRDEIDTLWDSKIQFPKNFRAEILFSEVDASDSVVAVDFSCFEEKEGLPEEAFDKVREIFSYVDWPDSKADVARNKLQQISASNVIQENSPQSPRSPRVLDIGPRKRQERKKHAILDIGKESSMFSAQKIEFLHSPNSSPHAHVIEKAKHKILQVGSQLANQSDLRCQQPPQLPLDFHTKPLSHVDASCESADVTHKVICKDTSNQTLSIPDGTGPLEPSIVSISPSTPPLRPSINSSASKTLPTSPPSSHHLSTSKPIDPSFIKETKTHLGGKDQLSSSIPQTTGTPLTSPLPPTPPLGLPTPPPMAPLRDEPSIKDRAPPTPPPPPPTPPMKENGAIRAGPPPPPPPPLHSGQATSLTISTPMPPPPPPPSTLSSNPSHVPSVPPPPLPGNSGGNNLFESPSPVPPTPAPPFISPCSTKGRLSRTISSRSNQTKKLKPLHWLKLSRAVQGSLWAETPKSGEAPKAPEIDFSELESLFSASAPNSDHGRKSGTRSSRGPKVDKVQLIDHRRAYNCEIMLSKVKVPLNELMSSVLALEDSTLSTDQVENLIKFCPTKEEMELLKGYTGEQEKLGKCEQFFLELMKVPRVESKLRVFSFKMQFHSQVSDLRKILNVVNSTAEEIRSSAKLKSIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARNNKMTLMHYLCKVLADKLPELLDFSKDLASLEPASKIQLKFLAEEMQAISKGLEKVVQELSTSETDGPISDNFRKILKEFLRFAEAEVRALASLYSGVGRNVDALILYFGEDPARCPFEQVVTTLLNFVRLFNKSHGENCKQLEIEMKKSAENEKSRLSVSRGSEGMSPKTVKSGGV >Manes.08G034000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:3246555:3248279:1 gene:Manes.08G034000.v8.1 transcript:Manes.08G034000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDVPQYFICPISLQIMRDPVTAITGITYDRESIEHWLFTNKNTICPVTKQPLPEDSDLTPNHNLRRLIQAWCIENASNGVDRIPTPKPCLDKFHVLKLIKDLCLPQLQMKSIRELELLAAANERNRKHMAETGLPKALLMFILTCFKQGRRLDGLQEALSILWLIRIPCGESKAFLVGNDQIIDALAWLLGCNFHNNFTVKSHAASVLKMLFEEASSSVLERLKPEFFERVVGVIRDKITQQGINSALKVLLIACPWGRNRIMMVESGAVFELIELEWRSPEKKTTEMILGILFHLCSCADGRAQFLSHRGGIAVVAKRILNVSPAADDRAVLILALICKFSGTSVVLNEMLNVKAVSKLCMMLQADCAAYLKQKAGEILRSHSDEWMNSPCMDNASLLTR >Manes.06G167500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:29311129:29313001:-1 gene:Manes.06G167500.v8.1 transcript:Manes.06G167500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTSPQLSKNSLVNYISASTVRERNSHTQRNYTRTMTAFLYVVLTALLATASTVNSCPPSDRAALLAFKAALHEPYLGIFNSWTGTNCCRRWYGVSCDQETHRVADINLRGESEDPIFRKAGRSGYMTGTISPSICKLERLSSLTIADWKGISGEIPRCITSLPFLRILDLIGNRLSGDLPADIGRLQRLTVLNVADNLISGEIPRSLTNLSSLMHLDLRSNRISGPLPQDFGRLRMLSRALLSRNYISGSIPSSISNIYRLADLDLSLNRLSGAIPPSLGRMAVLATLNLDANKLSGVIPASLFNSGIGDLNLSKNAFGGYLPDVFSVSSYFTVLDLSYNNFRGPIPKSLSAASYIGHLDLSHNHLCGKIPVGAPFDHLEASSFAYNDCLCGKPLRAC >Manes.09G090200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:26593812:26604119:-1 gene:Manes.09G090200.v8.1 transcript:Manes.09G090200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKFLSLPHQPNMELNLTTVKTSSDGVWEGDNPLSHAFPLLIVQTILVLFITRLLAFFLKPLRQPRVIAEIIGGILLGPSALGRNKHLFSLVFPSWSTPILESVASIGLLFFLFLVGLELDLSSIRQSGKKAFGIALAGISLPFLFGVAVSFFLRKAVHGEDKVGYGQYLMFMGVALSITAFPVLARILAELKLLTTQLGQTAMAAAAFNDVAAWILLALAVALAGNGSTEDSKSPLISLWVLISGVAFVGFMVVFVRPIMNWVANKCSQQQDFLDEAYICVTLAGVMLSGFISDLIGIHAIFGAFIFGLMIPKGGEFATKLIIRIEDFVSGLLLPLYFASSGLKTDVAKIRGAEAWGLVLLVVLTACAGKILGTFVVAMLCMIPARESLALGVLMNTKGLVELIVLNIGKEKKVLNDEMFAILVLMAIFTTFMTTPTVMAIYKPARRLNQGDNHSPSDENSHGITRILACVHGPQTVPTLVNLIDSTTTAKSTPIKLYVMHLVELTDRSSSIMMVQRTRKNGFPFINRFSPGSSKDQIEAAFEVYGHATSLTIRHSTSVSALSTMHEDICHVAEDKRVDIIILPFHKQWREGVEEEDVGHEWREVNQNVLKNSPCSVAILVNRGFSGADQSVGTATATHRRVCVVFLGGPDDRKALEVGATMAEHTANLITLMRFQVQAGLESRNSSNNSREKEKDEAAVEEFIRKCNESVELVEKDLNNVMEEVLSIGQSRAFDLLITGRAATVEKLADNQVEHPELGLIGDILASPNNGITCSVLVIQQNPQRANECQ >Manes.02G045500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3734294:3737584:-1 gene:Manes.02G045500.v8.1 transcript:Manes.02G045500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTDSIPRRVPPPCWTQEETIALIEAYRDKWYSVNRGNLRAADWDSVAASVADSSTADPPKSSLQCRHKIEKLRKRYRAEKQRSLNHPGRFFSSWDLFPLLDSMAIGSAGPKPCQDIDEENDIGDGFQVKTLGNRYLVTSNKSANIDQDFDADFDSGLDSDLALRARKCGRIGEDSNSNVSRALGNGFSLKPVSDINSVSVAFRPKDYGRVDVKLKSDIDFHCDYEAGVCMQVEKTQCRSFQPQGSRSKGYGKIVGDSSPINRNIGEGADTYIGFPVKTLGDVPSGFKPKNYRNIDRKSTPNFGNDVHYTSDKVNKFGENVINGWVSPPPGFRPKKNCKIDGSLKLDVDSKLLNVFGNVKQGDIEVGGKKVMDPIAEVVSAIKMSTKSFLKVEKRKMEMAMEIEKMRMDMLLKHNQMILESQQQIVDAFAKTFLEKKEKKRRLSPYQNKNGDSQVAATDCESIGRSGILKEECEGAFT >Manes.08G081300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25188903:25189450:-1 gene:Manes.08G081300.v8.1 transcript:Manes.08G081300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAPAQSCFAGNSPKRCAVPSTGICSVPRLAGSCTWSHQKKKRSLTVVAAVGDVSADGTTYLIAGAAAVALLGTAFPIVFSRKDLCPECDGAGFIRKSGAALRANAARKDQAQIVCPRCNGLGKLNQIDK >Manes.11G077200.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11370920:11378473:-1 gene:Manes.11G077200.v8.1 transcript:Manes.11G077200.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALHFCGGKVAYPVFTKGLLHCQALLTQRIDFANNGAPNSGLCASVKFSRKSRVLRVSCEVRRSDVLERNKTEYLSDGEAEAESEKQLTCVMKFGGSSLASAERMKEVADLILSFPDERPIIVLSAMGKTTNKLLLAGEKAVCCGVTNVDAIDELSFIKELHLRTVDELGVELSIIANHLEELEQLLKGIAMMKELTPRTKDYLVSFGECMSTRIFAAYLNKIGAKARQYDAFDIGFITTDDFTNADILEATYPALAKRLHGDWINDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPPAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRTRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKVFNVLRTNGVNVQMISQGASKVNISLIVNDDEAEQCVRSLHKTFFESNISEIN >Manes.11G077200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:11370920:11378473:-1 gene:Manes.11G077200.v8.1 transcript:Manes.11G077200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALHFCGGKVAYPVFTKGLLHCQALLTQRIDFANNGAPNSGLCASVKFSRKSRVLRVSCEVRRSDVLERNKTEYLSDGEAEAESEKQLTCVMKFGGSSLASAERMKEVADLILSFPDERPIIVLSAMGKTTNKLLLAGEKAVCCGVTNVDAIDELSFIKELHLRTVDELGVELSIIANHLEELEQLLKGIAMMKELTPRTKDYLVSFGECMSTRIFAAYLNKIGAKARQYDAFDIGFITTDDFTNADILEATYPALAKRLHGDWINDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPPAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRTRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKVFNVLRTNGVNVQMISQGASKVNISLIVNDDEAEQCVRSLHKTFFESNISEIN >Manes.08G154900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:38848507:38850627:-1 gene:Manes.08G154900.v8.1 transcript:Manes.08G154900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILEKLEKSSIDGEEDGLFAMQLAKISILPMVLKTTMELDLLEIMAEKGEGAQSSASELASRLPTKNPDAPSIVDKMLRLLASYSILTCSTVTDEQGHVQNLYGLAPVCKFLTRDRDESSLAPYTLMSLSRPFIAAWDHLKEAVLEGVSPFEKENGMGLFEFVGRNKTLFNEAMYHNTMMVMTKLLEKYKGFEGLHQLLDVGGGLGANLRLIVSKYPQIQGINFDLPHVVQDAPPCPGVEHVGGDMFVNIPKGEVIFLKWILHDWDDDRCTKILKNCYDALPETGKVIVVEAVIPEFVETDVRSRNVFKLDMTMINATSGGKERTEKELESLARRAGFAAIKLICSAYCFSIFEFYKRP >Manes.16G097300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:30307756:30308756:-1 gene:Manes.16G097300.v8.1 transcript:Manes.16G097300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVSMAMPLTSASQKRVLPGSEAFFKPLHVRPSKATVASKSNGRFQVKASLKEKAVTGLTAAALTASMVIPEVAEAAESGVSPSLKNFLLSIVAGGVVLFAIVGAVIGVSNFDPVKRS >Manes.01G254801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40347834:40350432:-1 gene:Manes.01G254801.v8.1 transcript:Manes.01G254801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWVQRRFHHSALKENWTDGLARNMKKVESITSEADKQALLKQVALVDVLDGWKDGILRIGTLGLDPLKPFNQQHEYFVLEREEEEEEEEEEEEEGYNDSEYNDEEEEQDRYSFHSDDADGNMNEEEEEEENPLICTRFEHNFEELASTFDANTVKSKEIILFSPIETDSNVHENDERERKGERTTLAELFLADSEMKKKQESFEFETDIGKKQAVGAKNGLFFAKKLIPHVGEDSRPIKKFHQLMRRMLKRKIHPELEGKSQKKDSQSKPAIMEAAGISNGNESGESVSLLPTPPRSFRMSFE >Manes.01G254801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40348826:40350432:-1 gene:Manes.01G254801.v8.1 transcript:Manes.01G254801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWVQRRFHHSALKENWTDGLARNMKKVESITSEADKQALLKQVALVDVLDGWKDGILRIGTLGLDPLKPFNQQHEYFVLEREEEEEEEEEEEEEGYNDSEYNDEEEEQDRYSFHSDDADGNMNEEEEEEENPLICTRFEHNFEELASTFDANTVKSKEIILFSPIETDSNVHENDERERKGERTTLAELFLADSEMKKKQESFEFETDIGKKQAVGAKNGLFFAKKLIPHVGEDSRPIKKFHQLMRRMLKRKIHPELEGKSQKKDSQSKPAIMEAAGISNGNESGESVSLLPTPPRYLIFQ >Manes.01G103700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:30346177:30347393:1 gene:Manes.01G103700.v8.1 transcript:Manes.01G103700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKSVFGGLARERCWGDSNGELYQWQGFWYLQPHLEAAIAAKSRFQAHDEDILLASSMKTGTTWLKALIPCIMNYKGPSEDNDPLIKHHPNALIPSIVQIFQEDLNPDLSIIPSPRLFRTHLPFSMLSDSIKNSACKIVYITRDPKDTFVSLWHFMNAKARLEEEEAYPLNEAFESFCKGIHPFGPFHDHVVQYWKESLKKPERILFLKYEELKRDPKGQVQKLASFLGRPLVEEVDVDDVLWRCSFERLKDLEVNKVGVDPRVGFAHSSYYRRGLVGDWKNHLTMEMKERLDKITRTKLEGSGLDL >Manes.08G158300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39440630:39446223:1 gene:Manes.08G158300.v8.1 transcript:Manes.08G158300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLVLKQIPSCLSLPWLPRQLPTSKIFSFSSSFKSHLLFLNPKCLSPSTSQIDHQFIWNSSNEATKPRTTSKKKKFNNKKNIGVPISNTNKSTAASRNPDVGKVVVPEEREKKVKMKVNVRALNQNGDPLGRRDLGKSVVKWISQGMRAMATDFASAETQGEFSELRQRMGLEAGLTFVIQAQPYINAVPIPLGLEALCLKACTHYPTLFDHFQRELRDVLQELQRKGLIQNWQQTESWKLLKELANSVQHRAVARKVSQARPLQGVLGMDLEKAKAIQGRIDEFTKKMSELLRIERDAELEFTQEELNAVPTRDESSDASKPIEFLVSHGQAQQELCDTICNLYADSTSTGLGGMHLVVFRVEGNHRLPPTTLSPGDMVCVRICDSRGAGATSCIQGFVNNLGEDGCSISVALESRHGDPTFSKLFGKSVRIDRIYGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFGDKRDVTWLEENHLADWHEADMDGSLESTMFDDSQQKAIARGLNKKRPLLIIQGPPGTGKSGLLKEIIVRAVHQGERVLVTAPTNAAVDNMVEKLSNIGLDIVRVGNPARISSTVASKSLSEIVNSKLATFRMEFERKKSDLRKDLRHCLKDDSLAAGIRQLLKQLGKTLKKKEKETMKEVLSSAQVVLATNTGAAEPLIRRLDTFDLVVIDEAGQAIEPSCWIPILQGRRCILAGDQCQLAPVILSRKALEGGLGVSLLERAATLHEGVLATKLTTQYRMNDAIASWASKEMYGGLLKSSSKVASHLLVDSAFVKPTWITQCPLLLLDTRMTYGSLSVGCEEHLDPAGTGSFYNEGEAEIVVEHVFSLIYSGVRPTSIAVQSPYVAQVQLLRERLDELPEAAGIEVATIDSFQGREADAVIISMVRSNTLGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYFGRVKHAEPGSFGGSGLGMDPMLPSIS >Manes.13G060500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:7087494:7091629:1 gene:Manes.13G060500.v8.1 transcript:Manes.13G060500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGARETLFLCRRGLHRLSRDGPSETLKRRIAELEKMKMKKKNHKKDDVFVEVPESKSFLDTATMPMILTVVGTALLAKLLMMYDDSKSQEMIERKIKNAPPGQGTVRMLSREEWEEIREVRPRTPFESKLARPNARIRTGEPLRMQDLKDWTIDVLTDAVTRVEESVKSNS >Manes.03G028700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:2321089:2323490:-1 gene:Manes.03G028700.v8.1 transcript:Manes.03G028700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWLLAFLLLFHVMLRGAATTVGVGVGIGVGVGVGNAGGGVWIGGGINDPPPSGTAFSNINSAYIALQAWKSAINDDPSKILDTWVGTDVCSYKGIFCAVPRGDDGLGISPGPVVAGIDLNHANLQGTLVKELSVLADMSLLHLNSNRFSGTVPDSFRDLTSLQELDLSNNQFSGPFPAITLYIPNLIYLDLRFNSFSGPIPEDLFNKRLDAIFLNNNQFSGQIPQNLGNSPASVINLANNQLTGTIPASFGLMSSRLKEILFLNNQLTGCIPEEVGLFTDMEVFDVSYNSLMGHLPDTISCLREIEVLNLAHNKLSGDLPDLVCSLRSLLNLTLAYNFLSGFSQECTKLLIRNVGFDFSLNCIPGRVMQRPQPECSGIPGGGLSCLRIPAAQPLLCGSLGMKIDANLGPSSP >Manes.15G020600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1681619:1687709:1 gene:Manes.15G020600.v8.1 transcript:Manes.15G020600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQEVVKAVKDSLMALDTKTVVEVKALEKAMEEVEKNLVAVRCMLCGDGEVEPNIDQVSQLVLEVCKEDVLSLVIHKLPNLGWEARKDLVHCWSMLLKQQVDSKYCSVEYIENNFELLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHGTVVAEYLTAHYDEFFDHYEKLLTSPNYVTRRQSLKLLSEFLLEAPNSHIMKRYVLEVRYLKIMMTLLKVSKI >Manes.15G020600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1681619:1686810:1 gene:Manes.15G020600.v8.1 transcript:Manes.15G020600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQEVVKAVKDSLMALDTKTVVEVKALEKAMEEVEKNLVAVRCMLCGDGEVEPNIDQVSQLVLEVCKEDVLSLVIHKLPNLGWEARKDLVHCWSMLLKQQVDSKYCSVEYIENNFELLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHGTVVAEYLTAHYDEFFDHYEKLLTSPNYVTRRQSLKLLSEFLLEAPNSHIMKRYVLEVRYLKIMMTLLKDSSKNIQISAFHIFKVLHSYFVIASSVSFSRAQGKIFRY >Manes.15G020600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1681812:1684883:1 gene:Manes.15G020600.v8.1 transcript:Manes.15G020600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQEVVKAVKDSLMALDTKTVVEVKALEKAMEEVEKNLVAVRCMLCGDGEVEPNIDQVSQLVLEVCKEDVLSLVIHKLPNLGWEARKDLVHCWSMLLKQQVDSKYCSVEYIENNFELLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHGTVVAEYLTAHYDEFFDHYEKLLTSPNYVTRRQSLKNSFWRLQTPI >Manes.15G020600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1681619:1687709:1 gene:Manes.15G020600.v8.1 transcript:Manes.15G020600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQEVVKAVKDSLMALDTKTVVEVKALEKAMEEVEKNLVAVRCMLCGDGEVEPNIDQVSQLVLEVCKEDVLSLVIHKLPNLGWEARKDLVHCWSMLLKQQVDSKYCSVEYIENNFELLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHGTVVAEYLTAHYDEFFDHYEKLLTSPNYVTRRQSLKLLSEFLLEAPNSHIMKRYVLEVRYLKIMMTLLKDSSKNIQISAFHIFKVLHSYFVIASSVSFSRAQGKIFRY >Manes.15G020600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1681619:1687709:1 gene:Manes.15G020600.v8.1 transcript:Manes.15G020600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQEVVKAVKDSLMALDTKTVVEVKALEKAMEEVEKNLVAVRCMLCGDGEVEPNIDQVSQLVLEVCKEDVLSLVIHKLPNLGWEARKDLVHCWSMLLKQQVDSKYCSVEYIENNFELLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHGTVVAEYLTAHYDEFFDHYEKLLTSPNYVTRRQSLKLLSEFLLEAPNSHIMKRYVLEVRYLKIMMTLLKDSSKNIQISAFHIFKVFVANPNKPREVKVILAKNNEKLVELLDNLTVGKGAEDEQFEEEKELIIKEIKRLSCLANFG >Manes.15G020600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:1681619:1687709:1 gene:Manes.15G020600.v8.1 transcript:Manes.15G020600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEKNLVAVRCMLCGDGEVEPNIDQVSQLVLEVCKEDVLSLVIHKLPNLGWEARKDLVHCWSMLLKQQVDSKYCSVEYIENNFELLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHGTVVAEYLTAHYDEFFDHYEKLLTSPNYVTRRQSLKLLSEFLLEAPNSHIMKRYVLEVRYLKIMMTLLKDSSKNIQISAFHIFKVFVANPNKPREVKVILAKNNEKLVELLDNLTVGKGAEDEQFEEEKELIIKEIKRLSCLANFG >Manes.18G000900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:550898:559809:1 gene:Manes.18G000900.v8.1 transcript:Manes.18G000900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCAPTGAGKTNVAVLTILQQIALNRNPDGSFNHGNYKIVYVAPMKALVAEVVGNLSNRLQEYGVKVRELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNFEDVALFLRVDIERGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMTVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGRFLREDSASREILQSHTDMVKSNDLKDLLPYGFAVHHAGMTRVDRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNARDACNWLGYTYLYVRMLRNPTLYGLAPDVLTRDITLEVRRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALNLCKMVNKRMWSVQTPLRQFSGIPNEILMKLEKKDLAWERYYDLSSQEIGELIRFPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIVEDNDGECILHYEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFALLRNYQKGPDSVMRAVYIAPLEAIAKERYHDWERKFGRGLGMRIVELTGETATDLKLLEKGQIIISTPEKWDALSRRWKQRKYVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYIASQIENKIRIVALSSSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARIQAMTKPTYTAIVQHAKNEKPAIVFVPTRKHVRLTAVDLMTYSSVDSGEKPAFLLRSSEELEPFVGKIQDEMLRATLLHGVGYLHEGLGSLDQEVVSQLFEAGWIQVCVMSSSMCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVAGVVENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVGHRHLSDHLSELVENTLSDLEAGKCVAIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTPKTRMKGLLEILASASEYALLPIRPGEEEVLRRLINHQRFSFENPRYADPHVKANVLLQAHFSRQSVGGNLALDQREVLLSASRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKELAKKCQENPRKSIETVFDLVEMEDDERRELLQMSDSQLLDIVRFCNRFPNIDMSYEVMDGEHVKAGEDITLLVTLERDLEGRTDVGTVDAPRYPKAKEEGWWLVVGDTKSNQLLAIKRVSLQRKSKVKLEFAAPSEAGRKSYTLYFMCDSYLGCDQEYGFSVDVKEAGGSDEDCARE >Manes.18G000900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:550898:559809:1 gene:Manes.18G000900.v8.1 transcript:Manes.18G000900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCAPTGAGKTNVAVLTILQQIALNRNPDGSFNHGNYKIVYVAPMKALVAEVVGNLSNRLQEYGVKVRELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNFEDVALFLRVDIERGLFHFDNSYRPVPLSQQYIGITVKKPLQRFQLMNDICYEKVMTVAGKHQVLIFVHSRKETAKTARAIRDAALANDTLGRFLREDSASREILQSHTDMVKSNDLKDLLPYGFAVHHAGMTRVDRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNARDACNWLGYTYLYVRMLRNPTLYGLAPDVLTRDITLEIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALNLCKMVNKRMWSVQTPLRQFSGIPNEILMKLEKKDLAWERYYDLSSQEIGELIRFPKMGRTLHKFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVIVEDNDGECILHYEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFALLRNYQKGPDSVMRAVYIAPLEAIAKERYHDWERKFGRGLGMRIVELTGETATDLKLLEKGQIIISTPEKWDALSRRWKQRKYVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYIASQIENKIRIVALSSSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARIQAMTKPTYTAIVQHAKNEKPAIVFVPTRKHVRLTAVDLMTYSSVDSGEKPAFLLRSSEELEPFVGKIQDEMLRATLLHGVGYLHEGLGSLDQEVVSQLFEAGWIQVCVMSSSMCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVAGVVENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVGHRHLSDHLSELVENTLSDLEAGKCVAIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTPKTRMKGLLEILASASEYALLPIRPGEEEVLRRLINHQRFSFENPRYADPHVKANVLLQAHFSRQSVGGNLALDQREVLLSASRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKELAKKCQENPRKSIETVFDLVEMEDDERRELLQMSDSQLLDIVRFCNRFPNIDMSYEVMDGEHVKAGEDITLLVTLERDLEGRTDVGTVDAPRYPKAKEEGWWLVVGDTKSNQLLAIKRVSLQRKSKVKLEFAAPSEAGRKSYTLYFMCDSYLGCDQEYGFSVDVKEAGGSDEDCARE >Manes.13G039300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:4746865:4748625:-1 gene:Manes.13G039300.v8.1 transcript:Manes.13G039300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPAASVPFEQMDKGTGKNQQNRLCLLASLSAFFWILLLYFHFAVLGGNNVDQSVKLEDQPLNTESKTSTLVTDARLTNTPSKTAPFIDASLKNIPSITSSQEEENFPFMRALRTIENKSDPCGGKYIYVHDLPPRFNEDMLKECRSLSLWTNMCKFTSNAGLGPPLENVEGVFSNTGWYATNQFAVDVIFSNRMKQYECLTNDSSIAAAIFVPFYAGFDIARYLWGYNISKRDAASLDLVDWLMKRPEWGIMEGRDHFLVAGRITWDFRRLTDEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDDDVFVWQERMRNLERKWLFSFAGAPRPDNPKSIRGQIIDQCKKSKVGKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIHKRNVSVEEVLSKIPPEQVKIMREKVISLIPGLIYADPRSKLETLKDSFDVAVQAVIDKVTRLRRNIIQGRTEYDNFVEENSWKYELLDAGQREVGAHEWDPFFSKPKDGNSDSGGSSAEAAKKSWKNEQRDQS >Manes.09G137300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33742414:33744589:1 gene:Manes.09G137300.v8.1 transcript:Manes.09G137300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQAKKKSYCEVKDPNKHHPLIQCAELILPWLTPEELACISLTCKTLSQFSKNITLQRSSDASRSLENLPIPFHNPVDHRPYAFFHYTSSQILHSQSPQRQSWGSSRFAASLWPVRESVSVCDCDCDCEGCEQGGASGCGLLGLDKLEMGIMSECGRSCECGLDCRNRLTQRGLSVKLKILRDERKGWGLYADQLIRRGQFVCEYAGELLTTKEARSRQQIYDELTSGGHFSSALLVVREHLPSGKACLRVNIDATRTGNVARFINHSCDGGNLSTMLVRSSGALLPRLCFFASKDIKEGEELTFSYGEIRVRSKGLQCFCGSPCCFGILPSEHT >Manes.11G152098.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31631365:31637092:1 gene:Manes.11G152098.v8.1 transcript:Manes.11G152098.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVGNRSRGRGVRTARLADIGRPPRDPTVAPPPLEGVADHELSESREGHGESVSHGVESGAYPTTPSPSSAPAVAPPVAPAAPPFVPPVAPAHPFQINADLGAFVAQVVTAAVTAKPRDPWEIVDHARRLGAYDFEGSSDADIADKWLKKMLKVFELMKLTDPEKVENVHGLLQGKADAWFDGIRRRHGVRLTWDQFIHEFRQEYLSESYRKGKQDAFFRLFQGSLSIREYVDKFEDLYCFVSDILPSEEAKCDRFRQGLHVNIRSSLTWFRGNNFRELVEAALNVEKVKQEEKEYEQKISRKHLQGSQGFRERPTKRGSSSFQSQAGYSGSGRGSFVNTEQQVARPQSSQSSVAQPAGSSFGAQKRGQGQGYDSGFEQRKRHVPQCATCGKHHAGECRKFDRGCFECGSSGHFKRDCPLLIARDSGSQQGSVAPQNLRYGVTPSQGVPTAQVGPGTSRASGATSSSQPRPMMQPGRPRTQAKVFAMTQQEARASPEVVTGEDPASRIDTRGPPAT >Manes.05G095800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:8756360:8760937:-1 gene:Manes.05G095800.v8.1 transcript:Manes.05G095800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKFLSLSLHPVVPLQSNFSWKSRIALTLIAHSNKKAHYRKKLPKNLRSPRRSKLPPDFGVNLFLKKPTIGGNPWQKDPTLDDNYLSEEEEEEGSEQEEEKEEHNGDIVWEADEIEAISSLFQGRIPQKPGKLNRERPLPLSLPYKLRPPGHPTPKRHIKDVSPWVVSSRDTVCKRVYKNPIFLVSLAKEIKRLNPEYDVSVVLDDCARFLRKGSLSLTIRELGHMSLPERALQTFCWAQKQPHLFPDDRILASTVEVLARNQDLKFPFDFQKFTSLASRGVIEAMIRGFIRGGSLKLALNLLSVATQDKRMLDPNIYAKLILELGKNPDKHMLVEQLLYELGERENLNLSHQDCTAMMKACVRLKKFELANGLFHWFKLSGREPSVVMYTTLIHSYYSEKEFRQALTVVWEMEGSNCLFDLPAYRVVIKLFVSLNDLSRAVRYFSKLKEAGFSPTYDIYRDLITVYMVSGRLAKCKEIWNEAEIAGFKLDEKMKSELPQLERETRSECLEEYKRPVMLKQSNEEFTQEDPGAAIKCNYITELRDL >Manes.07G003200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:584912:599071:-1 gene:Manes.07G003200.v8.1 transcript:Manes.07G003200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSNKGRNRRGSNNSVNSSESVVSSTAPVKDDLTAAESGKADANGAPAVSEPINAMQDGKESETANSVNGTKQGELHLYPVSVKTQSGEKLELQLNPGDSVMDIRQFLLDAPETCFFTCYDLLLRTKDGSTHQLEDYNEISEVADITTGGCSLEMVAAPYDDRSIRAHVHRTRELLSLSTLHASLSTSLALEYENKASSSDMVKAEVPELDGLGFMEDVAGSLGKLLSASSKEIKCVESIVFSSFNPPPSYRRLVGDLLYLDVVTLEGNKFCITGTTKAFYVNSSTGNVLDPRPSKANSEATTLIGLLQKISSKFKKTFREILERKASAHPFENVQSLLPPNSWLGLYPIPDHRRDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAINGAIGVISRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKKRSADINSKADNTTTAQNSSEKVSNDSTHENSGVSNGACDGSTTVEGNGVVESTPLLSSETQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEEFHSKVLEAAKRLHLKEHEVVDGSGNIFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYKGPGSRFCILRPELIAAFCQSESVKRSKSKPKAEDEAHATAESSEVAGADEQEKPEADIPPSSADSQEITHKGRVETVEECASGSCESHDEILLNPNVFTEFKLAGNPEEIAKDEENVRKASSYLADTVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINMRYIGRIAEGTKHLPHLWDLCSNEIVVRSAKHILKDVLRDTEDQDLGSAISHFFNCFFGNCQAVGVKAASNSSQPRSQKKDQAGNQSSGKSSKGQTRWKGASARKNQSSHMNVSSETVWSDIKEFAKLKYQFELPEDARSWVKKVSVIRNLCQKVGVTVAACKYDLNAAAPFQTSDILDLQPVVKHSVPVCSEAKDLVETGKVQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMGGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQMNAQKQKGQALNATSAQKAIDILKAHPDLIQAFQAAAAAGGSGSSSASVNKSLNAAIIGETLPRGRGVDERAARAAAEVRKKAAARGLLIRPHGVPVQALPPLTQLLNIINSGMTPDAVDNEEANGVKKEVNDQPPDGSVDANKDEIPAQEDPAPVGLGKGLASLDTKKQKTKQKPAA >Manes.13G018700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2718547:2724424:1 gene:Manes.13G018700.v8.1 transcript:Manes.13G018700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAVEGGCATDYIAISISIISIILLLSRSIFPFLVHKVPRINGSGFWIPLIQVFGSFNLLLSIVMSVNFLKFKKRHWWQSCYVWAVWFEGPLGFGLLLSCRITQAYQLYYIFVKRRLPLIRSYIFLPLILLPWIAGAAFIHVRKPLNTRCHMGTHWIIPVVCLHIFYITSLVGFTGAIQHIEFRFDELKDLWQGILVSSSSIGAWVVAYILNEIHDDISWLQVISRFFLLTTASILVLVFFSISSSQPLLSQISLRKREPLQFETMGQALGIPDSGLLMQRDPAPVIDPNEPLDKLLLNKRFRQSFMDFADSCLAGESVHFYNEVHERGKIPIDDTIRRIYMTRHIIEKYIVAGAAMEVNISHRTRQEILTTVDLAHPDLFNKAINELLQLMKMNLGKDYWTSMFFIKFKEEANMRSSDHDLEQMAGWNFSPRLSSVHGADDPFHQEHPVKDTGCNNHGSDTRSQEL >Manes.03G032900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2756375:2760459:-1 gene:Manes.03G032900.v8.1 transcript:Manes.03G032900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFRIPSFHSLCFSSSLLTSLNSLQPALPPSQNTKNSDKRIYPLVYSSAGGASRFTHTPRKQLLSFKVHATIAETDQPKWWEKNVGPNMIDIHSTQEFLGALSRAGDRLVIVEFYGTWCASCRALFPKLCRTAEEHPDILFLKVNFDENKPMCKSLNVKVLPYFHFYRGAHGQLESFSCSLAKFQKIKDAIAIHSAAQCSIDPPKGVGELTLESVSTPQDKPAGSA >Manes.07G103100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30897082:30903020:-1 gene:Manes.07G103100.v8.1 transcript:Manes.07G103100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSSSIPDFGNFTMGNSEGNFVEAEGFKHRVDDLFHKVDKLEQRVNEVEQFYLNVSKKQQTGGSKGSSIVKDKDKERHVPSIRKQQQDALKREAAATKRMQELMRQFGTILRQITQHKWAWPFMNPVDVKGLGLHDYYEIIDKPMDFSTIKNQMEAKDGTGYKNVREICADVRLVFKNAMKYNVEKSDVHVMAKTLLAKFEEKWLQLLPKVTEEEKRREMEEAEAQLDMQLAQEAVHAKMARELSNELYEIDMHLDELRDMVVQKCRKMSTEEKRKLGAALTRLSPEDLTKALEIVAQNNPGFQAMAEEVDLDIDAQSESTLWRLKFFVKDALEVQGKNAASTGANNNNNSNTTATNNNNSNNKRKKEIFEAIAKTAKKKSKKAST >Manes.07G103100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30897082:30903251:-1 gene:Manes.07G103100.v8.1 transcript:Manes.07G103100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPLSSSIPDFGNFTMGNSEGNFVEAEGFKHRVDDLFHKVDKLEQRVNEVEQFYLNVSKKQQTGGSKGSSIVKDKDKERHVPSIRKQQQDALKREAAATKRMQELMRQFGTILRQITQHKWAWPFMNPVDVKGLGLHDYYEIIDKPMDFSTIKNQMEAKDGTGYKNVREICADVRLVFKNAMKYNVEKSDVHVMAKTLLAKFEEKWLQLLPKVTEEEKRREMEEAEAQLDMQLAQEAVHAKMARELSNELYEIDMHLDELRDMVVQKCRKMSTEEKRKLGAALTRLSPEDLTKALEIVAQNNPGFQAMAEEVDLDIDAQSESTLWRLKFFVKDALEVQGKNAASTGANNNNNSNTTATNNNNSNNKRKKEIFEAIAKTAKKKSKKAST >Manes.07G103100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30897082:30903244:-1 gene:Manes.07G103100.v8.1 transcript:Manes.07G103100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPLSSSIPDFGNFTMGNSEGNFVEAEGFKHRVDDLFHKVDKLEQRVNEVEQFYLNVSKKQQTGGSKGSSIVKDKDKERHVPSIRKQQQDALKREAAATKRMQELMRQFGTILRQITQHKWAWPFMNPVDVKGLGLHDYYEIIDKPMDFSTIKNQMEAKDGTGYKNVREICADVRLVFKNAMKYNVEKSDVHVMAKTLLAKFEEKWLQLLPKVTEEEKRREMEEAEAQLDMQLAQEAVHAKMARELSNELYEIDMHLDELRDMVVQKCRKMSTEEKRKLGAALTRLSPEDLTKALEIVAQNNPGFQAMAEEVDLDIDAQSESTLWRLKFFVKDALEVQGKNAASTGANNNNNSNTTATNNNNSNNKRKKEIFEAIAKTAKKKSKKAST >Manes.07G103100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30897082:30903251:-1 gene:Manes.07G103100.v8.1 transcript:Manes.07G103100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPLSSSIPDFGNFTMGNSEGNFVEAEGFKHRVDDLFHKVDKLEQRVNEVEQFYLNVSKKQQTGGSKGSSIVKDKDKERHVPSIRKQQQDALKREAAATKRMQELMRQFGTILRQITQHKWAWPFMNPVDVKGLGLHDYYEIIDKPMDFSTIKNQMEAKDGTGYKNVREICADVRLVFKNAMKYNVEKSDVHVMAKTLLAKFEEKWLQLLPKVTEEEKRREMEEAEAQLDMQLAQEAVHAKMARELSNELYEIDMHLDELRDMVVQKCRKMSTEEKRKLGAALTRLSPEDLTKALEIVAQNNPGFQAMAEEVDLDIDAQSESTLWRLKFFVKDALEVQGKNAASTGANNNNNSNTTATNNNNSNNKRKKEIFEAIAKTAKKKSKKAST >Manes.08G035200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3420649:3424450:-1 gene:Manes.08G035200.v8.1 transcript:Manes.08G035200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMTIHMSLLFTILAVISSLLAVESGDTNHIYWPCSDTTVQRSDGFTFAIAFASRTAFFFNNNNSLQLSPCDSRLALSNQGSQISVFRPKVDEISLLTINTSSFSPDNYGGYMVAFAGRKYAARSLPAFVANSTYTVTSFTLVLEFKKGRLQNLYWKRDGCAKCTGNNNFVCLNNQDCAIRTSNCKNHGGSVDCSLGIQLAFSGTDKHFAVLNSWYEVENLRQYSLFGLYANLRDSLTSQYSKIF >Manes.15G192900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:31962841:31963683:1 gene:Manes.15G192900.v8.1 transcript:Manes.15G192900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSFPPLPAEQTNVASPPITIVLTVILLVFFFLGFFSIYFCRCFMESVVNNWHLRRSPSGNNVNPSSSPANNGLDPSLIQIFPTFTYSSVKDFRREKYGLECAVCLAEFEDNDMLRLLTVCYHVFHQECIDLWLESHKTCPVCRGDLDLPRETLEKTPILEQNNDHHQTNNNSLVENSISIDIAEDDDGDEEGRSRGGNGKGIMINDDENKQNQCHEKIERFSRSHSTGHSIVVAREEEDKYTLRLIDNVQVKILRGHNTSESCIALGDFSSPRNG >Manes.11G085800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:12783766:12785657:1 gene:Manes.11G085800.v8.1 transcript:Manes.11G085800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSKILIIGGTGYIGKHIVEASAKSGHPTFALVRESTLSGPAKSTIIDNFKSLGVNFLVGDLYDHESLVKAIKQVDVVISTVGQGQVPDQVKIIAAIKEAGNIKRFFPSEFGNDVDHVHAVEPVKSAFNDKVKIRRAIEAAGIPYTYVASNIFAGYLLPNFNQLGATAPPRDKVVILGDGNPKAIFNKEDDIAAYTIKAVDDPRTLNKILYIRPPANIYSFNDLVSLWEKKISTTIERIYVPEEQLLKTIQEAEFPLNIIYALAHSVFVKGDQTNFEIEESFGVEASELYPEVKYTAVDEYLNAFV >Manes.08G012900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:1428091:1429780:1 gene:Manes.08G012900.v8.1 transcript:Manes.08G012900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQHAMPSEELALFIKHKRNKRQRSPSPLRLPVTSSSSSGGDTGAEPLQRIDNNNMSYSSTTITSIQSSGADEEDMANCLILLAQGSLHSRTRKTPETAPAGNNKVSGSSQLYECKTCNRFFSSFQALGGHRASHKKPHNKSNNEEKKEIQDKDDQLLKNTSTALSLQILPNRSALYGSRNIKSNKVHECSICGAGFSSGQALGGHMRRHRGAFATTSTTKMTARTNMSLVTSSSESQESKKPRNSLQFDLNLPAAPEDDLPESNFHFASEKQVLVFSASSLVDCHY >Manes.08G004602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:894065:896825:-1 gene:Manes.08G004602.v8.1 transcript:Manes.08G004602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFEFADHSPPSFQNMTIGAAGGCLGLLNNDGLGSGFVAVEFDTLMDVEFKDINGNHVAVDLNSMVSSQTGDLGAADIDLKSGDLVNAWIEYDGTNHGLKVSVSYSNLKPKLPILSFTLDLDQYVNDFMYVGFTGSTQGSTEIHSIEWWSFSSSFDSISGPGSSSPPPPTTSLTNPTANSVTSPPPSLAPADSDSISSQQKNSKSSSCHNQLCKQGPGAVAGVVTASAFLAIFAGVLIWIFSKRYKHVKKTESFASEVIKMPKEFSYKELRSATRCFNSNRIIGHGAFGTVYKGILPEIGDIVAVKRCSHNSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRRKILLGVASALAYLHEECENQVIHRDIKTSNIMLDEGFNARLGDFGLARQIEHDKSPDATAAAGTMGYLAPEYLLTGRASEKTDVFSYGAVVLEVASGRRPIEKETSVVGKIGVNSNLVEWVWNLHREGRLLMAADARLEGEFDENDMRRVLLVGLACSHPDPLARPTMRTVVQMLVGEAEIPIVPRAKPTMSFSTSHLLLSLQDSVSDCNGMITISTSSSENGYIGDDIV >Manes.11G058500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:7097496:7098710:1 gene:Manes.11G058500.v8.1 transcript:Manes.11G058500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAIRELQRDLENKANDLSKLQKDIAKNHQVRKKYTVQLGENELVLKELDLLDEDANVYKLIGPVLVKQDLAEANANVRKRIDYISAELKRLDATLQDLEEKQNSKKDAVCFLYFSPVFSCAGMMSLLFFWPTLWILINNDI >Manes.17G029700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:22342812:22345750:1 gene:Manes.17G029700.v8.1 transcript:Manes.17G029700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFNLFMTLVLIFMRFLICATSAAALTYSVHFCSNTTIFTPNSTYQVNLDSVLSALSSNATSSNGFYNSAAGREPPDVVYGLFLCRGDIPSVTCRECVDTAAKEIIRRCPREKESYIWYEECLLRYNNQSIFSIIREVPSFDLPDPENVTEPERFNQLLASTMNSLASKAASQSFKKFATDEENFTSSQTLYSLVQCTPDLSEYLCNRCFQSAIAALPMCCTGKRGGKVLLPSCIIRYELFPFYRINTTIPAPSPSTTKDKGKSRIPTQLIAAIIAPVVISLVLLALGICFLRRRAMKKYNALEEQNVGDEITNINSLQFDLATIRYATNNFSDDKKIGEGGFGAVYKGKLYNGQEIAVKRLSRNSGQGATEFKNEVMLVAKLQHKNLVQLLGFCLDRQEKILVYEYVANGSLDYFLFDTEKQGQLNWPTRYKIIEGIARGLLYLQEDSRLRIIHRDLKASNILLDEDMNAKISDFGMARIFGADQTQANTRRVVGTFGYMAPEYAMHGHYSVKSDVYSFGVLILEIKSGKKNSSFCQADGAEDLLSYAWKHWCEETTLQFMDPVLRNSYKRNEITKCIHIGLLCVQENPGGRPTMKSIIIMHSSSSIVLPLPEQPGLFLHSGTEMKTQSEELDSVQSIQLSANEMSVTEIYPR >Manes.09G009350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:2025314:2026597:1 gene:Manes.09G009350.v8.1 transcript:Manes.09G009350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSGGSDLKRKLKKSRSVKAGRSSIILSDDSDKSPDLLKATSCSESKVPFMKNCYQMLDSSVHKATCSSVLKDSKFPDHLELQSGRSESEGISAMKVCTYSYCSLHGHRPSSSPPLKRFIAMRRRFLKTQRSIRLEIQSFHREKRCSNTKKGMKKASKMEYLTDTVVVEPALESRAITSIRKVVPPEDDLNGEGTHGRDDKETRNSKSVDKNKLLYLKEKQQLSELMRDKSKEHCTDKKEEGTDANYSVFVSELHDESPEATEGEFTPHEESKFGSADDQSVAGTAILDQEHLTKQPDNNAGESNSSKAEQRSILDPKEPCLHSANSATVEGNKPKPPSWSNLKKLILLKRFIKALEKVKKLNPREPQILLLNPEEAEKIHLKHQDIEDRKNADDWMLDYALQQVVAKLTPARKRKVQLLVEAFET >Manes.03G200500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31673869:31674817:1 gene:Manes.03G200500.v8.1 transcript:Manes.03G200500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTFERRITTTIPPAKIFKVLVLEADNAVPTILPQLIESVEILEGDGGPGTIKKTNFAEGSEFKYIRTIVEAMDKDNMTHCYSVIGGDQWLDVLEKITYETKVEASPDGGSIIKTSSKYFPKENYEIIEDQVQAGAEKALVFFKAVEAYLLANPDAFN >Manes.17G021100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:12018679:12022401:1 gene:Manes.17G021100.v8.1 transcript:Manes.17G021100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNDHAVHDVEQTSNGNEDEPVINYRGIKAMPFIIGNETFEKLGTVGSSTNLVVYLNTVFNLKSVTATTLVNIFNGTTNLAPLLGAFLCDTYFGRYKTLGFASIASFLGMSALALTAAIPSLHPPKCVGKDNSQCVGPSIWQLIFLLCGFGMIAVGAGGIRPCNLAFGAEQFNPNTESGKRGMSSFFNWYYFTYTFAVMVSVTGIVYVQSDVSWAIGLAIPAILMFFSCAVFFLGTRIYVIVKPEGSPMTSVAQVLVVAVKKRGLKLPQNPDFSLFNYIPPKSINSNLPHTNQFRFLDKAAIVTEKDEINMDGTAANPWRLCSIQQIEEVKCMIRIIPIWASAIIYHVPLIQQQTYAVLQALQLDRRLGSGNFEVPAATFIIFTMLALTIWIPIYDRILVPFLQRLTGKEGGFTLLQRMGIGILLSVLCMFVSGLVEGHRREIAHTKPTLGISPKGGGVSSMSWMWLVPQLALAGLSEGFNYVSQIEFYYKQFPENMRSIAGSSFFAGLALANFLSGFLVSTVHKVTASTKSGDWLSEDLNKAKLDHFYYVIGVLGILNLAYFMLCAKWYRYKVREDDNSVEMSKKTKDSVKHHV >Manes.05G179600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29666147:29671041:1 gene:Manes.05G179600.v8.1 transcript:Manes.05G179600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQSREEDSREEDKKEESSRLLPTPAQFPTTPSDGEDPDEVAFEARDKILVVDVDGQDAVDADDYVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLIQMLSARVGVATGRHLAELCREEYPNWARLILWFMAEVALIGADIQEVIGSAIAIHILSNGVLPLWAGVVITALDCFMFLFLENYGVRKLEAVFAVLIATMALSFAWMFGDTKPSGKELLIGMLIPRLGSKTIRQAVGVVGCVIMPHNVFLHSALVQSRRIDPQKKGRVQEALNYYLIESSIALLVSFMINLFVTTVFAKGFYGTEKAHSIGLVNAGQYLQEKYGGGIFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLPLKKWLRALITRSFAIVPTMIVALVFNTSEASLDILNEWLNVLQSVQIPFALIPLLTLVAKEQVMGEFRIGPVLERLAWTVAILVILINGYLLLDFFAAEVKGLLFGFLASTATVAYIAFIIYLVSRSGGALSSAWLSLELSKRNAYPGN >Manes.05G179600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29666147:29671041:1 gene:Manes.05G179600.v8.1 transcript:Manes.05G179600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQSREEDSREEDKKEESSRLLPTPAQFPTTPSDGEDPDEVAFEARDKILVVDVDGQDAVDADDYVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLIQMLSARVGVATGRHLAELCREEYPNWARLILWFMAEVALIGADIQEVIGSAIAIHILSNGVLPLWAGVVITALDCFMFLFLENYGVRKLEAVFAVLIATMALSFAWMFGDTKPSGKELLIGMLIPRLGSKTIRQAVGVVGCVIMPHNVFLHSALVQSRRIDPQKKGRVQEALNYYLIESSIALLVSFMINLFVTTVFAKGFYGTEKAHSIGLVNAGQYLQEKYGGGIFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLPLKKWLRALITRSFAIVPTMIVALVFNTSEASLDILNEWLNVLQSVQIPFALIPLLTLVAKEQVMGEFRIGPVLEAGLDCSYPSYTD >Manes.17G036100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:21498776:21501118:-1 gene:Manes.17G036100.v8.1 transcript:Manes.17G036100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVQPLLHSSSKTSHHKSLYLLLCFASIFFTFYVINNNLLFNPPFQNICVHAHDHQSCLAIVSEISSNTTTTTKLNHVHLLQLLLKKSTSQIQNIIQQANHYSHRINDPGNQGALVDCVNLMELSIDRLADSILALQSVTPNSHADAHTWLSSVLSNHVTCLDGLNLQGPFRFSIEPGLKDLIARARASLAILVEVSPEKDGLLWPLKGEFPSWVTSRDRKLLQALPGDVKANVIVAKDGSGNYKTVKEAVASAPNDGKTRYVIYVKKGTYKENVEVGKSKKNVMLVGDGMDSTIITGSLNVVDGSTTFNSATLAAVGDGFIAQDIWFQNTAGPAKHQAVALRVGADQSVINRCRIDAYQDTLYAHSNRQFYRESFITGTVDFIFGNAAVVFQKCKIVARKPMTGQKNMVTAQGRTDPNQNTGTSIQQCDVIASSDLEPVKKSIKAYLGRPWKEYSRTVVMQSIIGDHIDPSGWSPWDGDFALKTLYYGEYMNKGAGAGTSNRVKWPGYHLLNTAEAEKFTVAELIQGGVWLKSTGVAYTEGL >Manes.06G134900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:26292467:26296209:1 gene:Manes.06G134900.v8.1 transcript:Manes.06G134900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLSEPLPERNQNKPYGIQFIECIKKKRLSYKTCQAIVLIVTFFAYASYHAARKTTSIVKSALDPQSTRVGLKFIPWRITYLSEPEERRFSWVLGDGWAPFSGSDGTALLGELDLAFLSIYAIGMYFSGHIGDRMDLRIFLTIGMIGTGVFTSLFGVGYWANVHNFYYFLIMQMIAGLFQSTGWPSVVAVVGDWFGKSKRGLIMGIWNAHTSIGNISGSLIASAMLSYGWGWSFVVPGFLIAFIGLMVFLVLPVSPDSVGIDRREDELHDPRKIGEEVTEPLLGSDSEVKETAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISHTAIDGKYLSDGTAGNLSTLFDVGGVIGGILAGHISDRLDARAITAASFMYCAIPALFFYRSYGHISLGVNIALMFITGLFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFTMLMAAALIAGLLLTRLVVAEVAAKIAESRSQTSAPSRTPAVQLDV >Manes.10G110983.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:27235886:27237492:-1 gene:Manes.10G110983.v8.1 transcript:Manes.10G110983.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVFDLLRFCYEELDEDEKFCFLYGALYTEAYEICIKYLLECWRAENFTQDVQQFTAIQKGQEILHDLMDASLLENGEKVKHVKMNKVLRKMALKILESEDSKCLLGISLIDNKLNTLPEKPDCNNLLTLLQQRNTDLAVIHRQFFESMQSLRVLDLQSTDIVEALYLNSCRKLLKLPAKINALKLLEVLDIQGTGINSLLVEISCLSQLRCFRMYMKTNHTMILQLSLLEELVIDIHPENKWYKILDNYEGKIFWHVKYANGDGNLSVPEVVLAEVNVLELIGCKRFLNLSDFGLNNMTKIRSCLIESCNEMETVVNGNGITRAEGSIPPGSLALLNTLVFFKCSKLKKIFCNGLVQQFSNLQHLEIEACHEIEEVTLEAENNGMNAPALPNLKSIILVGLPSLRSIYIDDSLDWESIDKRISMCPQLDTLPSRRRIQRQS >Manes.17G045701.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:24248192:24248958:1 gene:Manes.17G045701.v8.1 transcript:Manes.17G045701.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELCADHPNAFWNRKQHLVDLPYEENFNEKTISTKARPVQMATELLENCKIEIKELEDKKLISIYIKLPQLKNMYGLSPCIFVNPYACEPMQFLVHAYERECECEKQR >Manes.14G007400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:348068:353687:-1 gene:Manes.14G007400.v8.1 transcript:Manes.14G007400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFKYWDECVEPQDMEEMWWVPEVSTEWFDAGETKGQKVHLSRDPDGEPYLTQTEMKAVTEIIVRRHFDSQILPDMLCAIAELASDRKLLATNYNKKTKETTIGIMQLVPKTAEWLVRDMGYRIYDVQENPDILYRPFVSVYFGAAYLKWLSNFEQKERSEEFVVRAYKGGTKKANHKSTLQHWKRYLSVKESLPSRSFVDEGPSVNNSCASAAAAVSASQNTGGSHEYWDSRASTEDMIEMWNNNDVAREWMKCGEKRGKVRFSHDKDKRPYLSRVEVKAVAETILSKHFSTRKVKPSVLCALAEMVSMRFVTGVGPRIGLMGIDYSTAFWLYIELGYRAYKIDSVDDLTMPFVSMYFGAAYLAYLSEYEGRERTPQFVVQAYLAGPKNVNLQETGPFWLKFEQALANYEDVKKDPGSCTIL >Manes.11G010100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1061821:1067686:1 gene:Manes.11G010100.v8.1 transcript:Manes.11G010100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLQLLFLLSLPWRLVTSTSSNQLPQQYVVYMGSSSNGNRGNAKIAESAHLQILSSIIPSHESERISLVHHYNHAFKGFSAMLTQSEASELSGHDGVVSVFKDPTLQLHTTRSWDFLEGKSGMQFSSLYPHASSDVIIGVIDTGIWPESPSFTDHGIGQIPSRWKGICMEGNDFNKSNCNRKLIGARYYDSILRTNKNNNTHVSQPRGSPRDYIGHGTHTTSIAGGAQVANVSYYGLAGGTARGGSPSTRIAVYKACTLDGCSGSTILKAIDDAIKDGVDIISISIGMSSIFQSDYLNDPIAIGAFHAQQMGVLVICSGGNDGPDPYTIVNYAPWIFTVAASNIDRDFQSTILLGNGKTLQGSAINFSNLTRSRTYPLLYGDNAAAAFTPTSEARNCYPGSLDRQKVAGKIIVCLDNDFSIPRQIKKLVAEDARAKGLILINEDEKGVPFDSGVFPFAEVGNVAGAQLLHYINSTKNPTATIFPAVEVPLCKPAPVVAYFSSRGPGQLTENILKPDVMAPGVAILAAMIPKNESGSFPIGKKLSGYAIRSGTSMACPHVTGAAAFIKSIFPQWSPSMIKSALMTTATFYNNLGKPFTNSSNSNSNPHEMGVGEINPLKALNPGLVFETTTEDYLQFLCYFGYSQNNIKSMANKNFNCPRISFDKLISNINYPSISISKLDRHQAVQTIKRAVTNVGSPNATYIAKVQAPEGLMVKVLPKKLFFKEGSSRMSFEVSFNGKMAPRGYSFGSVTWVDGRHSVRLVFSVNVE >Manes.08G070800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11856536:11861818:-1 gene:Manes.08G070800.v8.1 transcript:Manes.08G070800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGLSWDTNEDRLREYFQAFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHMIYGRNVEAKKAVPKEDQNIVNRNSSNSVHGSPGPARTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGHNYAPNRVGSFLNGYTQTQGYNPSSTGGLGVRMDGRFSPAIVGQNNVSPFGPGFGMGLNFEQGLSPSFSNLNLGYGRLSPSYSGNSSRYHSPIGYNGVVGGNSSALSSTARTLWGNGSINHPSNSNSSTFMGSGTGNSGMGSSGSIGGLWDSSVKPEQGGGAGSAYNGSSLSYNWGDFNVGLGGVGYGRSNGASVAPVSSHAASHDDYDGSYASIFDNGLSYGDSAWRSSPLEQEGSGSFGFGLGNAATDTVTNKSASYFGSYNVANRQANRGIAA >Manes.08G070800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:11856934:11861814:-1 gene:Manes.08G070800.v8.1 transcript:Manes.08G070800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELGKLFIGGLSWDTNEDRLREYFQAFGEVVEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHMIYGRNVEAKKAVPKEDQNIVNRNSSNSVHGSPGPARTKKIFVGGLASTVTETDFKKYFDQFGIITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLHKTFHELNGKMVEVKRAVPKELSPGPTRSQLSGHNYAPNRVGSFLNGYTQTQGYNPSSTGGLGVRMDGRFSPAIVGQNNVSPFGPGFGMGLNFEQGLSPSFSNLNLGYGRLSPSYSGNSSRYHSPIGYNGVVGGNSSALSSTARTLWGNGSINHPSNSNSSTFMGSGTGNSGMGSSGSIGGLWDSSVKPEQGGGAGSAYNGSSLSYNWGDFNVGLGGVGYGRSNGASVAPVSSHAASHDDYDGSYASIFDNGLSYGDSAWRSSPLEQEGSGSFGFGLGNAATDTVTNKSASYFGSYNVANRQANRGIAA >Manes.15G183900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:29311339:29313717:-1 gene:Manes.15G183900.v8.1 transcript:Manes.15G183900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAASTAAASLGVSEMLGNPLNFSGGAAAAPTSLTPTTLKTVALFSRKKAAPPPKPKPSAVLPANEELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQAFELIHARWAMLGAAGFVIPEALNKYGANCGPEAVWFKTGALLLDGGTLNYFGNKIPINLILAVVAEIVLVGGAEYYRIINGLDFEDKLHPGGPFDPLGLANDPDQAAILKVKEIKNGRLAMFAMLGFYFQAYVTGEGPVENLSKHLSDPFGNNLLTVLAGSAERAPTL >Manes.09G114600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31670810:31689781:-1 gene:Manes.09G114600.v8.1 transcript:Manes.09G114600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRFSQTSVPVMSNHCDDYASSHGEDARGSSSQRRDSETASSSYGNAAITTTSMAYLPQTVVLCELRHDAFEASEPTVPSDSGLVSKWRPKDRMKTGYVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKNLSMQYERWQPKARYKVQLDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIVNTFLELHDWNSSSSTGSVKDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCKRSLLHESLDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPVSHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPCFSEQLMAFEVWLDHGSEHKKPPEQLPIVLQALRSQCHRFKALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTPEQRQFLVFIWTKILALDKACQVDLVKDGGHAYFIRFLDSMEAYPEQRGMAAFVLAVIVDGHRRGQEACIEAGLVHVCLKHLQSSMPNDGQTEPLFLQWLCLCLGKLWEDFTEAQIIGLQADAPVICALLISEPQAEVRASAVFALGTLLDVGGDACRDGVAGDDESYEDEKFRAEISIVRSLLSVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAAAYWKPQSNSLLNSIPSLAHIKGTGSGYTNANQYMPHASVLSSQIGPLTRVGNDNQLVVRDGRISNSSPLTASGIMHGSPLSDDSSQHSDPGMLNDAVSNGVVHHSRPKPLDNATYSQCVLAMFTLAKDPSPRIASLGRRVLSIIGIEQVVTKPINSTGGIARPAESSTSTPTPSLVGLARSSSWFDMNAGHLPLTFRTPPVSPPRPSYLTGMRRVCSLEFRPHLMTSPDSGLADPLLGSAGSSGGSERSLLPQSIIYNWSCGHFSKPLLTTADDAEEVLVRREEREKFVLEHIAKCQHSSVSRLNNQIAGWDTKFETGTKASFLHPFSPIVIAADENERIRVWNYEDAALLNGFDNHDFPDKGISKLCLVNELDDSLLLVASCDAGDGNIRIWRDYAVKGKQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLLNSIPSSSDCSISALSASQVHGGQFAAGFVDGSVRLYDVRTPEMLVCTKRPHTRVERVVGIGFQPGLDPGKFVSASQAGDIEFLDIRNPTDIYLKINAHRGSLTALAVHRHAPIVASGSAKQIIKVFSLEGYLLGTIRYYSTFMAQKIGPVSCLTFHPYQVLLAAGAADACVSIYTDDNAQAR >Manes.06G049000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:16047575:16053485:1 gene:Manes.06G049000.v8.1 transcript:Manes.06G049000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTTCATSTARHGTKKEANVSMTRRFESQLYRHVFSLDAVSVYTFQLLRVAEMALIRQALSLGIIVTSALMIWKALMCITCSESPVVVVLTGSMEPGFKRGDILFLHMSKDPIRTGDIVVFNVEGRDIPIVHRVIEVHERRDSRDVDILTKGDSNDVDDRFLYKYGQNWLNPQHITGRAIGFLPYVGWVTIIMTEKPLIKYILISALGLLVITSKD >Manes.07G137200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33909314:33912600:1 gene:Manes.07G137200.v8.1 transcript:Manes.07G137200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANSTSPKTQMKQAKNDTGLLVPLLSEVESSENQITNGASISGAVLNISTTMIGAGIMSLPATIKVLGIIPGFIVLLGVAFFVEITVEFMLRFTQSGQANTYAGLVGESFGTLGSIGVQICVIITNLGCLIIYLIIIGDVLCGNQSGETLHIGVLQEWFGIHWWNSRTYALLFLVVIVMLPLVLLRRVDSLKFTSGISILLAIVFVATSSAMAVYAMWQGKTQKIRLFPDFANQVSTFDLFTTIPVFVTSFGLHVNVHPIRSELGKPSDMNSAVRISLIISTVIYFAIGFFGYLLFGDSVMPDILVNFDQNSDTPMGQLLNDFVRLSYAIHLVFVYPVMSFSLRANIDELLFPKRPILAMDTARFVSLTCVLLAVSYIVAVAIPNIWYFFQFLGSTTVVFLSFIFPGLIILRDIHGISTTRDKIMAVLVIILAGVTSFIAIYTNLQSSKSNK >Manes.07G137200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33909314:33912600:1 gene:Manes.07G137200.v8.1 transcript:Manes.07G137200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANSTSPKTQMKQAKNDTGLLVPLLSEVESSENQITNGASISGAVLNISTTMIGAGIMSLPATIKVLGIIPGFIVLLGVAFFVEITVEFMLRFTQSGQANTYAGLVGESFGTLGSIGVQICVIITNLGCLIIYLIIIGDVLCGNQSGETLHIGVLQEWFGIHWWNSRTYALLFLVVIVMLPLVLLRRVDSLKFTSGISILLAIVFVATSSAMAVYAMWQGKTQKIRLFPDFANQVSTFDLFTTIPVFVTSFGLHVNVHPIRSELGKPSDMNSAVRISLIISTVIYFAIGFFGYLLFGDSVMPDILVNFDQNSDTPMGQLLNDFVRLSYAIHLVFVYPVMSFSLRANIDELLFPKRPILAMDTARFVSLTCVLLAVSYIVAVAIPNIWYFFQFLGSTTVVFLSFIFPGLIILRDIHGISTTRDKIMAVLVIILAGVTSFIAIYTNLQSSKSNK >Manes.07G065600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:12224002:12228856:1 gene:Manes.07G065600.v8.1 transcript:Manes.07G065600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPKVQEIIEKQVLTVAKAVEDKLDEEIAALERLDIDDLEVLRERRILQMKKMAEKRSRWISLGHGEYSEIPSEKDFFSAVKASDRVVCHFYRENWPCKVMDKHLSILAKQHIETRFVKIHAEKSPFLAERLKIVVLPTLALIKNAKVDDYVVGFDELGGTDEFSTEDLEERLAKAQVIFFEGESSLNPSKSSTQTRRSVRQSESHDSSDSD >Manes.16G108900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:31413245:31415542:-1 gene:Manes.16G108900.v8.1 transcript:Manes.16G108900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGDRWKTNGLGMSVGKRIVINMEWGNFRSSHLPLTEFDQALDVESLNPGEQIFEKLISGMYLGEIVRRVLLRMAQEAAFFGDVVPPKLEIPFILRTPHMSAMHHDTSSDLKVVGSKLKDILEISNTSLKMRKAIVELCDIVATRGARLSAAGIMGILKKLGRDAVKDGEKQKSVIALDGGLFEHYTKFRSSMESTLKELLGEEISESIIIEHSNDGSGIGAALLAASHSQYLEVAEA >Manes.11G150700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31494154:31495039:1 gene:Manes.11G150700.v8.1 transcript:Manes.11G150700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLRASSQERNGIMKLKSAAKKLQKRLSLVKNSSSSEYFDEFEEVNVPNDVKEGHFAVIATNSEEPKRFVVPLSYLTHPAFLRLLEQAAEEYGFGHEGALAIPCRPGMLTEKTWKIKEVKQRDEANRVRQMQTVDRKTLDDSVKLGQNMLHA >Manes.15G130400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:10418978:10419977:1 gene:Manes.15G130400.v8.1 transcript:Manes.15G130400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGKLEADIEVNVPADYFHDVYSCRPHHVSTTSTEMIQGVDLHDGEWGKVGTVICWNYTLDGSPKIAKEIIEIIDDVNLSTTCKVIGGDLLNDYNSFKFIVQATPKGNGSLVHWTLEYEKIHDNTPDPNTLMDLLVNCSKDIASHYAQSHEN >Manes.16G062300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24428117:24438184:1 gene:Manes.16G062300.v8.1 transcript:Manes.16G062300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSPKDSLRVSKREMAVATRGIEREIASLQLEEKKLVAEIKQTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNASNAVKSADSSDVEDLEKRLASLRRI >Manes.16G062300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24428346:24432328:1 gene:Manes.16G062300.v8.1 transcript:Manes.16G062300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSPKDSLRVSKREMAVATRGIEREIASLQLEEKKLVAEIKQTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNASNAVKRYQIFYLGLNPIIKKC >Manes.16G062300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24428119:24436942:1 gene:Manes.16G062300.v8.1 transcript:Manes.16G062300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSPKDSLRVSKREMAVATRGIEREIASLQLEEKKLVAEIKQTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNASNAVKSVESPNVEDLEKRLASLRRI >Manes.16G062300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24428121:24438167:1 gene:Manes.16G062300.v8.1 transcript:Manes.16G062300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTSPKDSLRVSKREMAVATRGIEREIASLQLEEKKLVAEIKQTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNASNAVKSVESPNVEDLEKSADSSDVEDLEKRLASLRRI >Manes.07G020100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2243747:2245432:1 gene:Manes.07G020100.v8.1 transcript:Manes.07G020100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATANLSSAMFTTSFTRRQPATSLRALPNVGKALFGLKSSRGGKFKAMAAYKVKAITPEGEFEIECPDDVYILDAFEEAGVDLPYSCRAGSCSSCAGKIVAGAIDQSDGSFLDDEQVEEGWVLTCVAYPQSDIVIETHKEEELTG >Manes.02G221250.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:21619291:21619775:-1 gene:Manes.02G221250.v8.1 transcript:Manes.02G221250.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRFFWPCSSWDYVVLLRLVFFLDGKGLILSRYLLLILTTDKTFDCIMQHNPKDLPTSCKLGFEC >Manes.15G162900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:13558904:13559170:1 gene:Manes.15G162900.v8.1 transcript:Manes.15G162900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYQASRNPSSSSWSPRENKLFEIALALYDKETTDRWQNIAKAVGGRSAEEVKTHYQLLIKDVEHIESGRVPFPNYNSGRSQADA >Manes.15G085932.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:6612293:6613396:-1 gene:Manes.15G085932.v8.1 transcript:Manes.15G085932.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVGGSILSAFLQVLFDKIASPQVVDFFKCRRLDDGLLHKLNSTMISVGGVLDDAEEQQITKPAVKNWLDELRDAVYQADDLLDEIAYEALRLELEAGSQTTIDQVKNFFSSVNPFKKGMEKKLGEMLDRLEYLVRQKDVLGLRESIGENPSSRRMPTTCLVDEFGVYGREDDKEAIMRFLLSDGSQLGVIPIVGMGGVGKTTLAQLVYYDSRVQQCFDSKAWVYVSEEFHVSRITKDIIEEVTRENCYARTLNQLQLELKESLMGKRFFIVLDDVWNDKHADWDTMQRPLKFGAQGSKIVVITRSNSVATVIQTPPIHHLKELTDDNCCFLFRKHAFCYENTSVPPDLEAIGREIVEGVKACL >Manes.13G089918.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:20264205:20265310:1 gene:Manes.13G089918.v8.1 transcript:Manes.13G089918.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSCAQARRFCFENVWIREPSCRDFLIDFCSNSFDLDIVSGLTGYGDILSAWGKDLHHLHKLELDGCQATMVALCGYHVPTDIDAFLVAKNHFHELLSLRELYWKQRAKEF >Manes.02G105300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:8213227:8216919:1 gene:Manes.02G105300.v8.1 transcript:Manes.02G105300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPMASAHLLIPLLFLTFLLLLSGAYSATFTITNKCSYQVWPGILSNAGTPQLPTTGFALQPGESSSVSMPASWSGRLWGRTLCTQDVTTGKFTCLTGDCGSSTIQCSGDGATPPATLAEFTLNGANGLDFYDVSLVDGYNLPMLIAPQGGTGGNCTVTGCGVELNNACPSELKVMDSENGESVACKSACDAFGEPQYCCSGAYANPNTCKPSSYSEFFKNVCPRAYSYAYDDGTSTFTCAGADYAITFCPSPSTSLKSANGQYPEAVQVSGGTHRTSPYLFSGAITIMAAIWRLWQLLY >Manes.17G089700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29802376:29804592:-1 gene:Manes.17G089700.v8.1 transcript:Manes.17G089700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHHHAVEFDVELWPVEHPKEPQDEDRPLKCPIPASSLVNDGSSREERYGYGESLRMRTEVPAMVNKEGIVIVAAEPPIQAVRKRHHTLTHGDHTITSRMPHLPPLPTQNVTIFQMLQQLDEFECSKSR >Manes.17G089700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29802376:29804599:-1 gene:Manes.17G089700.v8.1 transcript:Manes.17G089700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCGEHDFGDRESEVPLADETRRSRQRCSDMDLHHHAVEFDVELWPVEHPKEPQDEDRPLKCPIPASSLVNDGSSREERYGYGESLRMRTEVPAMVNKEGIVIVAAEPPIQAVRKRHHTLTHGDHTITSRMPHLPPLPTQNVTIFQMLQQLDEFECSKSR >Manes.17G089700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29802376:29804592:-1 gene:Manes.17G089700.v8.1 transcript:Manes.17G089700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCGEHDFGDRESEVPLADETRRSRQRCSDMDLHHHAVEFDVELWPVEHPKEPQDEDRPLKCPIPASSLVNDGSSREERYGYGESLRMRTEVPAMVNKEGIVIVAAEPPIQAVRKRHHTLTHGDHTITSRMPHLPPLPTQNVTIFQMLQQLDEFECSKSR >Manes.01G026100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5442636:5444699:-1 gene:Manes.01G026100.v8.1 transcript:Manes.01G026100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLSWGYKSKCRQEFSLLANAERFSFWLPTASPSSHSSTRLAYQTTQTLTHLHISSSSSSSSSSLRMNLEEKVTMDLVPPSEHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLSTRPPLQGQCLDHQLTLQKKQGFFSDVRKCQSSSSSSSTSSEPLSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSTAAKNWARYIPNSAAGSVSGISNSD >Manes.04G112800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:31676329:31678899:1 gene:Manes.04G112800.v8.1 transcript:Manes.04G112800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTSPLTNSKPYPFLPINCAKASYKKASYASSTVLNSDHCNPKSFQVKTISKRERSSLFAASNKKGRNGSVLAMANTKSPAFESGPEQKNGRRILLSDVVVTRGRNVYWGRKWNSLDIGTAGVVLAMHLLSLFAPFYFSWRAFWVAFGLYVITGLLGITLSFHRNLSHRSFKLPKWLEYLFAYCGVQALQGNPIDWVSTHRYHHQFCDSERDPHSPLEGFWYSHMSWLFDTNSIIERCGDPSNVGDLEKQPFYKFIQKTYILHPIALGILLYAVGGFPFLIWGMGVRIIWVFHITWLVNSACHVWGKQAWNTGDLSRNNWWVAVLAFGEGWHNNHHAFEYSARHGLEWWEIDMTWYFVRFLQAIRLATDVKVPSEMQKQRMAFTN >Manes.02G028200.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2357023:2372794:1 gene:Manes.02G028200.v8.1 transcript:Manes.02G028200.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLFEEQQLPSAFLTAINFGVSTDTEKEKLSVLVIDAASQVNDPKLGLPNPSSQCSTCGSKDLKSCEGHFGVIKFPFTILHPYYLSEVVKILNKVCPGCKSIRKETKRTGSKYRQNLPKGCKYCVGSSIGWYPTMRFSVSSEEIFRKNVIIAKFVERPLQKSQRKGPKKRLAADYWDIIPKDEQEEENIVRPNQRVLSHAQVCHLLKDVDANFIRKLVIKTDSIFLNCFSVTPNCHRVTEVTHAFSSGQRLSFDERTRAYKKLVDFRGIAKELSFRVLDCLKTSKINPDTSVNNEDIIAAQRKINDPASRPSGLRWIKDVVLGKRNDNSFRMVVVGDPNIKLSEVGIPCHIAERLQISEHLTAWNWEKLNTCCEVRILEKGDMHVRREGNLVRVRHTKELRLGDVIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVTSVLAINPLCCPPFRGDFDGDCLHGYIPQSVDTRVELSELVALDKQLTNAQSGRNLLSLSQDSLVAAHLIMEDGVFLSLFQMQQLQMFCPHQCSPAIMKAPFLNGCAWTGKQLISMLLPRGFDHDFPSNKVCIRDGELISSEGSFWLRDTDGNLFQSLIKHSQSQFLDFLHTAQEVLCEWLSMRGLSVSLSDLYLCSDSNSWTNMISEVYCGIQDAVHTCNVKQFMVDSCQDFLAGNGEDDQLAVDFDVERLCYEKQRSAALSQASVDAFKHVFRDIQSLAYKYASKDNALMAMFKAGSKGNMLKLVQHSMCVGLQHSLVPLPFRMPHQLSCAALNKQKDDNATEFAKCYIPSAVVEGSFLTGLNPLECFVHSVTSRDSSFSDNADLPGTLTRRLMFFMRDIHTVYDGTVRNAYGNQLVQFSYNNKTDMSAPMYSTGHDFDNCDGIGGQPVGSLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRSNAHKSMSLFLSDKLGRRRHGFEYAALEVQNHLERVLFSDIVSISRIIFSPQSESRTRFSPWVCHFHVHKEIMKERSLNVHSIIDTLYKRCNSKTNLPNVQIICKDCSVADNQREMEDTFCLTITIIEKSKNSSTQLETIQDLMIPFLLQTVLKGLMEIEKVDILWNDRPRMPNLHKHPCGELYLRVSMSGSSDKTRLWSLLVDYCLPIMDVINWTRSHPDNIRDFCLAYGIDAGWRFFLNNLESAISDVGKSVLPEHLLLVANCLSVTGEFVGLNAKGWKRQREHASVLSPFVQACFSNPGNCFIKAAKGEVVDDLQGSLDALSWGKIPSIGTGHFDIVIAGKDYKLSEPVDVYDLLGSQMSTDQQTAEFEVPFARSYKSDKYGAQFVYKTPYGPKGMLESLRRLFTYNDIHRLSQALSKILNKYPVDHQLNETDKCTLMMALYFHPRRDEKIGSGAKDIKVVNHPEYQDSRCFSLVRTDGTNEDFSYRKCVHGALEIIAPQKARRYHERFLQSRNL >Manes.02G028200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2357023:2372947:1 gene:Manes.02G028200.v8.1 transcript:Manes.02G028200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLFEEQQLPSAFLTAINFGVSTDTEKEKLSVLVIDAASQVNDPKLGLPNPSSQCSTCGSKDLKSCEGHFGVIKFPFTILHPYYLSEVVKILNKVCPGCKSIRKETKRTGSKYRQNLPKGCKYCVGSSIGWYPTMRFSVSSEEIFRKNVIIAKFVERPLQKSQRKGPKKRLAADYWDIIPKDEQEEENIVRPNQRVLSHAQVCHLLKDVDANFIRKLVIKTDSIFLNCFSVTPNCHRVTEVTHAFSSGQRLSFDERTRAYKKLVDFRGIAKELSFRVLDCLKTSKINPDTSVNNEDIIAAQRKINDPASRPSGLRWIKDVVLGKRNDNSFRMVVVGDPNIKLSEVGIPCHIAERLQISEHLTAWNWEKLNTCCEVRILEKGDMHVRREGNLVRVRHTKELRLGDVIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVTSVLAINPLCCPPFRGDFDGDCLHGYIPQSVDTRVELSELVALDKQLTNAQSGRNLLSLSQDSLVAAHLIMEDGVFLSLFQMQQLQMFCPHQCSPAIMKAPFLNGCAWTGKQLISMLLPRGFDHDFPSNKVCIRDGELISSEGSFWLRDTDGNLFQSLIKHSQSQFLDFLHTAQEVLCEWLSMRGLSVSLSDLYLCSDSNSWTNMISEVYCGIQDAVHTCNVKQFMVDSCQDFLAGNGEDDQLAVDFDVERLCYEKQRSAALSQASVDAFKHVFRDIQSLAYKYASKDNALMAMFKAGSKGNMLKLVQHSMCVGLQHSLVPLPFRMPHQLSCAALNKQKDDNATEFAKCYIPSAVVEGSFLTGLNPLECFVHSVTSRDSSFSDNADLPGTLTRRLMFFMRDIHTVYDGTVRNAYGNQLVQFSYNNKTDMSAPMYSTGHDFDNCDGIGGQPVGSLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRSNAHKSMSLFLSDKLGRRRHGFEYAALEVQNHLERVLFSDIVSISRIIFSPQSESRTRFSPWVCHFHVHKEIMKERSLNVHSIIDTLYKRCNSKTNLPNVQIICKDCSVADNQREMEDTFCLTITIIEKSKNSSTQLETIQDLMIPFLLQTVLKGLMEIEKVDILWNDRPRMPNLHKHPCGELYLRVSMSGSSDKTRLWSLLVDYCLPIMDVINWTRSHPDNIRDFCLAYGIDAGWRFFLNNLESAISDVGKSVLPEHLLLVANCLSVTGEFVGLNAKGWKRQREHASVLSPFVQACFSNPGNCFIKAAKGEVVDDLQGSLDALSWGKIPSIGTGHFDIVIAGKDYKLSEPVDVYDLLGSQMSTDQQTAEFEVPFARSYKSDKYGAQFVYKTPYGPKGMLESLRRLFTYNDIHRLSQALSKILNKYPVDHQLNETDKCTLMMALYFHPRRDEKIGSGAKDIKVVNHPEYQDSRCFSLVRTDGTNEDFSYRKCVHGALEIIAPQKARRYHERFLQSRNL >Manes.02G028200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2357991:2372794:1 gene:Manes.02G028200.v8.1 transcript:Manes.02G028200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLFEEQQLPSAFLTAINFGVSTDTEKEKLSVLVIDAASQVNDPKLGLPNPSSQCSTCGSKDLKSCEGHFGVIKFPFTILHPYYLSEVVKILNKVCPGCKSIRKETKRTGSKYRQNLPKGCKYCVGSSIGWYPTMRFSVSSEEIFRKNVIIAKFVERPLQKSQRKGPKKRLAADYWDIIPKDEQEEENIVRPNQRVLSHAQVCHLLKDVDANFIRKLVIKTDSIFLNCFSVTPNCHRVTEVTHAFSSGQRLSFDERTRAYKKLVDFRGIAKELSFRVLDCLKTSKINPDTSVNNEDIIAAQRKINDPASRPSGLRWIKDVVLGKRNDNSFRMVVVGDPNIKLSEVGIPCHIAERLQISEHLTAWNWEKLNTCCEVRILEKGDMHVRREGNLVRVRHTKELRLGDVIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVTSVLAINPLCCPPFRGDFDGDCLHGYIPQSVDTRVELSELVALDKQLTNAQSGRNLLSLSQDSLVAAHLIMEDGVFLSLFQMQQLQMFCPHQCSPAIMKAPFLNGCAWTGKQLISMLLPRGFDHDFPSNKVCIRDGELISSEGSFWLRDTDGNLFQSLIKHSQSQFLDFLHTAQEVLCEWLSMRGLSVSLSDLYLCSDSNSWTNMISEVYCGIQDAVHTCNVKQFMVDSCQDFLAGNGEDDQLAVDFDVERLCYEKQRSAALSQASVDAFKHVFRDIQSLAYKYASKDNALMAMFKAGSKGNMLKLVQHSMCVGLQHSLVPLPFRMPHQLSCAALNKQKDDNATEFAKCYIPSAVVEGSFLTGLNPLECFVHSVTSRDSSFSDNADLPGTLTRRLMFFMRDIHTVYDGTVRNAYGNQLVQFSYNNKTDMSAPMYSTGHDFDNCDGIGGQPVGSLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRSNAHKSMSLFLSDKLGRRRHGFEYAALEVQNHLERVLFSDIVSISRIIFSPQSESRTRFSPWVCHFHVHKEIMKERSLNVHSIIDTLYKRCNSKTNLPNVQIICKDCSVADNQREMEDTFCLTITIIEKSKNSSTQLETIQDLMIPFLLQTVLKGLMEIEKVDILWNDRPRMPNLHKHPCGELYLRVSMSGSSDKTRLWSLLVDYCLPIMDVINWTRSHPDNIRDFCLAYGIDAGWRFFLNNLESAISDVGKSVLPEHLLLVANCLSVTGEFVGLNAKGWKRQREHASVLSPFVQACFSNPGNCFIKAAKGEVVDDLQGSLDALSWGKIPSIGTGHFDIVIAGKDYKLSEPVDVYDLLGSQMSTDQQTAEFEVPFARSYKSDKYGAQFVYKTPYGPKGMLESLRRLFTYNDIHRLSQALSKILNKYPVDHQLNETDKCTLMMALYFHPRRDEKIGSGAKDIKVVNHPEYQDSRCFSLVRTDGTNEDFSYRKCVHGALEIIAPQKARRYHERFLQSRNL >Manes.02G028200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2357023:2372947:1 gene:Manes.02G028200.v8.1 transcript:Manes.02G028200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLFEEQQLPSAFLTAINFGVSTDTEKEKLSVLVIDAASQVNDPKLGLPNPSSQCSTCGSKDLKSCEGHFGVIKFPFTILHPYYLSEVVKILNKVCPGCKSIRKETKRTGSKYRQNLPKGCKYCVGSSIGWYPTMRFSVSSEEIFRKNVIIAKFVERPLQKSQRKGPKKRLAADYWDIIPKDEQEEENIVRPNQRVLSHAQVCHLLKDVDANFIRKLVIKTDSIFLNCFSVTPNCHRVTEVTHAFSSGQRLSFDERTRAYKKLVDFRGIAKELSFRVLDCLKTSKINPDTSVNNEDIIAAQRKINDPASRPSGLRWIKDVVLGKRNDNSFRMVVVGDPNIKLSEVGIPCHIAERLQISEHLTAWNWEKLNTCCEVRILEKGDMHVRREGNLVRVRHTKELRLGDVIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVTSVLAINPLCCPPFRGDFDGDCLHGYIPQSVDTRVELSELVALDKQLTNAQSGRNLLSLSQDSLVAAHLIMEDGVFLSLFQMQQLQMFCPHQCSPAIMKAPFLNGCAWTGKQLISMLLPRGFDHDFPSNKVCIRDGELISSEGSFWLRDTDGNLFQSLIKHSQSQFLDFLHTAQEVLCEWLSMRGLSVSLSDLYLCSDSNSWTNMISEVYCGIQDAVHTCNVKQFMVDSCQDFLAGNGEDDQLAVDFDVERLCYEKQRSAALSQASVDAFKHVFRDIQSLAYKYASKDNALMAMFKAGSKGNMLKLVQHSMCVGLQHSLVPLPFRMPHQLSCAALNKQKDDNATEFAKCYIPSAVVEGSFLTGLNPLECFVHSVTSRDSSFSDNADLPGTLTRRLMFFMRDIHTVYDGTVRNAYGNQLVQFSYNNKTDMSAPMYSTGHDFDNCDGIGGQPVGSLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRSNAHKSMSLFLSDKLGRRRHGFEYAALEVQNHLERVLFSDIVSISRIIFSPQSESRTRFSPWVCHFHVHKEIMKERSLNVHSIIDTLYKRCNSKTNLPNVQIICKDCSVADNQREMEDTFCLTITIIEKSKNSSTQLETIQDLMIPFLLQTVLKGLMEIEKVDILWNDRPRMPNLHKHPCGELYLRVSMSGSSDKTRLWSLLVDYCLPIMDVINWTRSHPDNIRDFCLAYGIDAGWRFFLNNLESAISDVGKSVLPEHLLLVANCLSVTGEFVGLNAKGWKRQREHASVLSPFVQACFSNPGNCFIKAAKGEVVDDLQGSLDALSWGKIPSIGTGHFDIVIAGKDYKLSEPVDVYDLLGSQMSTDQQTAEFEVPFARSYKSDKYGAQFVYKTPYGPKGMLESLRRLFTYNDIHRLSQALSKILNKYPVDHQLNETDKCTLMMALYFHPRRDEKIGSGAKDIKVVNHPEYQDSRCFSLVRTDGTNEDFSYRKCVHGALEIIAPQKARRYHERFLQSRNL >Manes.02G028200.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2357023:2372794:1 gene:Manes.02G028200.v8.1 transcript:Manes.02G028200.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLFEEQQLPSAFLTAINFGVSTDTEKEKLSVLVIDAASQVNDPKLGLPNPSSQCSTCGSKDLKSCEGHFGVIKFPFTILHPYYLSEVVKILNKVCPGCKSIRKETKRTGSKYRQNLPKGCKYCVGSSIGWYPTMRFSVSSEEIFRKNVIIAKFVERPLQKSQRKGPKKRLAADYWDIIPKDEQEEENIVRPNQRVLSHAQVCHLLKDVDANFIRKLVIKTDSIFLNCFSVTPNCHRVTEVTHAFSSGQRLSFDERTRAYKKLVDFRGIAKELSFRVLDCLKTSKINPDTSVNNEDIIAAQRKINDPASRPSGLRWIKDVVLGKRNDNSFRMVVVGDPNIKLSEVGIPCHIAERLQISEHLTAWNWEKLNTCCEVRILEKGDMHVRREGNLVRVRHTKELRLGDVIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVTSVLAINPLCCPPFRGDFDGDCLHGYIPQSVDTRVELSELVALDKQLTNAQSGRNLLSLSQDSLVAAHLIMEDGVFLSLFQMQQLQMFCPHQCSPAIMKAPFLNGCAWTGKQLISMLLPRGFDHDFPSNKVCIRDGELISSEGSFWLRDTDGNLFQSLIKHSQSQFLDFLHTAQEVLCEWLSMRGLSVSLSDLYLCSDSNSWTNMISEVYCGIQDAVHTCNVKQFMVDSCQDFLAGNGEDDQLAVDFDVERLCYEKQRSAALSQASVDAFKHVFRDIQSLAYKYASKDNALMAMFKAGSKGNMLKLVQHSMCVGLQHSLVPLPFRMPHQLSCAALNKQKDDNATEFAKCYIPSAVVEGSFLTGLNPLECFVHSVTSRDSSFSDNADLPGTLTRRLMFFMRDIHTVYDGTVRNAYGNQLVQFSYNNKTDMSAPMYSTGHDFDNCDGIGGQPVGSLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRSNAHKSMSLFLSDKLGRRRHGFEYAALEVQNHLERVLFSDIVSISRIIFSPQSESRTRFSPWVCHFHVHKEIMKERSLNVHSIIDTLYKRCNSKTNLPNVQIICKDCSVADNQREMEDTFCLTITIIEKSKNSSTQLETIQDLMIPFLLQTVLKGLMEIEKVDILWNDRPRMPNLHKHPCGELYLRVSMSGSSDKTRLWSLLVDYCLPIMDVINWTRSHPDNIRDFCLAYGIDAGWRFFLNNLESAISDVGKSVLPEHLLLVANCLSVTGEFVGLNAKGWKRQREHASVLSPFVQACFSNPGNCFIKAAKGEVVDDLQGSLDALSWGKIPSIGTGHFDIVIAGKDYKLSEPVDVYDLLGSQMSTDQQTAEFEVPFARSYKSDKYGAQFVYKTPYGPKGMLESLRRLFTYNDIHRLSQALSKILNKYPVDHQLNETDKCTLMMALYFHPRRDEKIGSGAKDIKVVNHPEYQDSRCFSLVRTDGTNEDFSYRKCVHGALEIIAPQKARRYHERFLQSRNL >Manes.02G028200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2357023:2372794:1 gene:Manes.02G028200.v8.1 transcript:Manes.02G028200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLFEEQQLPSAFLTAINFGVSTDTEKEKLSVLVIDAASQVNDPKLGLPNPSSQCSTCGSKDLKSCEGHFGVIKFPFTILHPYYLSEVVKILNKVCPGCKSIRKETKRTGSKYRQNLPKGCKYCVGSSIGWYPTMRFSVSSEEIFRKNVIIAKFVERPLQKSQRKGPKKRLAADYWDIIPKDEQEEENIVRPNQRVLSHAQVCHLLKDVDANFIRKLVIKTDSIFLNCFSVTPNCHRVTEVTHAFSSGQRLSFDERTRAYKKLVDFRGIAKELSFRVLDCLKTSKINPDTSVNNEDIIAAQRKINDPASRPSGLRWIKDVVLGKRNDNSFRMVVVGDPNIKLSEVGIPCHIAERLQISEHLTAWNWEKLNTCCEVRILEKGDMHVRREGNLVRVRHTKELRLGDVIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVTSVLAINPLCCPPFRGDFDGDCLHGYIPQSVDTRVELSELVALDKQLTNAQSGRNLLSLSQDSLVAAHLIMEDGVFLSLFQMQQLQMFCPHQCSPAIMKAPFLNGCAWTGKQLISMLLPRGFDHDFPSNKVCIRDGELISSEGSFWLRDTDGNLFQSLIKHSQSQFLDFLHTAQEVLCEWLSMRGLSVSLSDLYLCSDSNSWTNMISEVYCGIQDAVHTCNVKQFMVDSCQDFLAGNGEDDQLAVDFDVERLCYEKQRSAALSQASVDAFKHVFRDIQSLAYKYASKDNALMAMFKAGSKGNMLKLVQHSMCVGLQHSLVPLPFRMPHQLSCAALNKQKDDNATEFAKCYIPSAVVEGSFLTGLNPLECFVHSVTSRDSSFSDNADLPGTLTRRLMFFMRDIHTVYDGTVRNAYGNQLVQFSYNNKTDMSAPMYSTGHDFDNCDGIGGQPVGSLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRSNAHKSMSLFLSDKLGRRRHGFEYAALEVQNHLERVLFSDIVSISRIIFSPQSESRTRFSPWVCHFHVHKEIMKERSLNVHSIIDTLYKRCNSKTNLPNVQIICKDCSVADNQREMEDTFCLTITIIEKSKNSSTQLETIQDLMIPFLLQTVLKGLMEIEKVDILWNDRPRMPNLHKHPCGELYLRVSMSGSSDKTRLWSLLVDYCLPIMDVINWTRSHPDNIRDFCLAYGIDAGWRFFLNNLESAISDVGKSVLPEHLLLVANCLSVTGEFVGLNAKGWKRQREHASVLSPFVQACFSNPGNCFIKAAKGEVVDDLQGSLDALSWGKIPSIGTGHFDIVIAGKDYKLSEPVDVYDLLGSQMSTDQQTAEFEVPFARSYKSDKYGAQFVYKTPYGPKGMLESLRRLFTYNDIHRLSQALSKILNKYPVDHQLNETDKCTLMMALYFHPRRDEKIGSGAKDIKVVNHPEYQDSRCFSLVRTDGTNEDFSYRKCVHGALEIIAPQKARRYHERFLQSRNL >Manes.08G053700.9.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:5784767:5792289:1 gene:Manes.08G053700.v8.1 transcript:Manes.08G053700.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYTSSPQNSIIELRCFHGLHSCFKKFGPSCSMVKASVFNLKNSMKCFHGNESYLLRIGDLHSGKTLFSLQCKGLQRSVCIDRVDENDQDEWNSETHLSGVGRKSREQISTKNHGSPALSIDGPFVENDEETNNEILQHLCNKGRLMDASRLIDVMARRNQIPHFICCTNLIRGFAKVEQIDKAAKVLKIMVMSGGVPDVITYNMMIGGLCKRGKLRSAIDLLEDMSLSGCAPDVITYNTIIRSMFNKGNFDQAVQFWKEQLRRGCPPYLITYTVLIELVCKHCGAVRAMEVLEDMAMEGCYPDLVTYNSLVNFTCKQGKYEDAALIIYNILSHGMEPNAVTYNTLLHSLCSSGLWDEVDEIVEIMKATYHPPTVVTYNTLINGLCKSGLVDRAIDFFLQMVHEDCSPDIVTYNTLLGALCKEGKVDEALQLLGLLSFSSCSPGLITYNTVIDGLTRWGCMEKAMMLYSQMIENGITPDGITHRSLVWGFCSANQVEDAIELLREMGKRDHRINISAYKLVIDGLCKKKKVDIAIQVLEIMISSRCKPDEEIYSILIKGLADAGMIKEANELRQKLINKKVLNDQIILD >Manes.02G138900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10647660:10671836:1 gene:Manes.02G138900.v8.1 transcript:Manes.02G138900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALPQRDGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPSAALVMGHDGIFRGDTNDPIEGSFHQWRDKRPVKSLVVGRPILLALEDIDGAPSFLEKALCFLEKFGTKAEGILRQSADVEEVEHRIQEYEQGKTEFEADEDAHVVGDCVKHVLRELPSSPVPASCCIALLEAYKIDRKEARIIAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTASAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIIATLLEEYDNIFDDENLHRCSISADSRMENSGSDDSSDDENMDVKENGYHDAENEVDQETDDGGERVLSGKLSESSGYAGSDLYDYKAFGGDNSDGSPRNPHAPAESSNLPVDSVQTRDPNVQLMEQPSKLNKGNDDSLNEMDASNASPIGESYQSMGGILSSTDPIPSLHLSGLDSSAEKPNGKVASSNLNGKRSTFWGRSNARKTPSVESVDSSGEEELALQRLEITKNDLKQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNPGTQQRFLQQDFDATLAFVNHERKHRTEESLLGADWRNIKGTGLATGSSNRQPPRKQFLESTSFSDSKSTEASTNMSMDEMCGIDSAPSTSRAVEMMDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G138900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10647660:10671836:1 gene:Manes.02G138900.v8.1 transcript:Manes.02G138900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALPQRDGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPSAALVMGHDGIFRGRDKRPVKSLVVGRPILLALEDIDGAPSFLEKALCFLEKFGTKAEGILRQSADVEEVEHRIQEYEQGKTEFEADEDAHVVGDCVKHVLRELPSSPVPASCCIALLEAYKIDRKEARIIAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTASAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIIATLLEEYDNIFDDENLHRCSISADSRMENSGSDDSSDDENMDVKENGYHDAENEVDQETDDGGERVLSGKLSESSGYAGSDLYDYKAFGGDNSDGSPRNPHAPAESSNLPVDSVQTRDPNVQLMEQPSKLNKGNDDSLNEMDASNASPIGESYQSMGGILSSTDPIPSLHLSGLDSSAEKPNGKVASSNLNGKRSTFWGRSNARKTPSVESVDSSGEEELALQRLEITKNDLKQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNPGTQQRFLQQDFDATLAFVNHERKHRTEESLLGADWRNIKGTGLATGSSNRQPPRKQFLESTSFSDSKSTEASTNMSMDEMCGIDSAPSTSRAVEMMDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G138900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10647660:10671836:1 gene:Manes.02G138900.v8.1 transcript:Manes.02G138900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALPQRDGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPSAALVMGHDGIFRGRDKRPVKSLVVGRPILLALEDIDGAPSFLEKALCFLEKFGTKAEGILRQSADVEEVEHRIQEYEQGKTEFEADEDAHVVGDCVKHVLRELPSSPVPASCCIALLEAYKIDRKEARIIAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTASAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIIATLLEEYDNIFDDENLHRCSISADSRMENSGSDDSSDDENMDVKENGYHDAENEVDQETDDGGERVLSGKLSESSGYAGSDLYDYKAFGGDNSDGSPRNPHAPAESSNLPVDSVQTRDPNVQLMEQPSKLNKGNDDSLNEMDASNASPIGESYQSMGGILSSTDPIPSLHLSGLDSSAEKPNGKVASSNLNGKRSTFWGRSNARKTPSVESVDSSGEEELALQRLEITKNDLKQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNPGTQQRFLQQDFDATLAFVNHERKHRTEESLLGADWRNIKGTGLATGSSNRQPPRKQFLESTSFSDSKSTEASTNMSMDEMCGIDSAPSTSRAVEMMDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G138900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10647660:10671836:1 gene:Manes.02G138900.v8.1 transcript:Manes.02G138900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALPQRDGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPSAALVMGHDGIFRGDTNDPIEGSFHQWRDKRPVKSLVVGRPILLALEDIDGAPSFLEKALCFLEKFGTKAEGILRQSADVEEVEHRIQEYEQGKTEFEADEDAHVVGDCVKHVLRELPSSPVPASCCIALLEAYKIDRKEARIIAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTASAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIIATLLEEYDNIFDDENLHRCSISADSRMENSGSDDSSDDENMDVKENGYHDAENEVDQETDDGGERVLSGKLSESSGYAGSDLYDYKAFGGDNSDGSPRNPHAPAESSNLPVDSVQTRDPNVQLMEQPSKLNKGNDDSLNEMDASNASPIGESYQSMGGILSSTDPIPSLHLSGLDSSAEKPNGKVASSNLNGKRSTFWGRSNARKTPSVESVDSSGEEELALQRLEITKNDLKQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNPGTQQRFLQQDFDATLAFVNHERKHRTEESLLGADWRNIKGTGLATGSSNRQPPRKQFLESTSFSDSKSTEASTNMSMDEMCGIDSAPSTSRAVEMMDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G138900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10647660:10671836:1 gene:Manes.02G138900.v8.1 transcript:Manes.02G138900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALPQRDGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPSAALVMGHDGIFRGRDKRPVKSLVVGRPILLALEDIDGAPSFLEKALCFLEKFGTKAEGILRQSADVEEVEHRIQEYEQGKTEFEADEDAHVVGDCVKHVLRELPSSPVPASCCIALLEAYKIDRKEARIIAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTASAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIIATLLEEYDNIFDDENLHRCSISADSRMENSGSDDSSDDENMDVKENGYHDAENEVDQETDDGGERVLSGKLSESSGYAGSDLYDYKAFGGDNSDGSPRNPHAPAESSNLPVDSVQTRDPNVQLMEQPSKLNKGNDDSLNEMDASNASPIGESYQSMGGILSSTDPIPSLHLSGLDSSAEKPNGKVASSNLNGKRSTFWGRSNARKTPSVESVDSSGEEELALQRLEITKNDLKQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNPGTQQRFLQQDFDATLAFVNHERKHRTEESLLGADWRNIKGTGLATGSSNRQPPRKQFLESTSFSDSKSTEASTNMSMDEMCGIDSAPSTSRAVEMMDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.02G138900.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:10647660:10671836:1 gene:Manes.02G138900.v8.1 transcript:Manes.02G138900.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRAGASNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSALPQRDGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPSAALVMGHDGIFRGDTNDPIEGSFHQWRDKRPVKSLVVGRPILLALEDIDGAPSFLEKALCFLEKFGTKAEGILRQSADVEEVEHRIQEYEQGKTEFEADEDAHVVGDCVKHVLRELPSSPVPASCCIALLEAYKIDRKEARIIAMRSAILETFPEPNRRLLQRILKMMHTISSHAHENRMTASAVAACMAPLLLRPLLAGECELEDDFDVNGDSSAQLLAAANAANNAQAIIATLLEEYDNIFDDENLHRCSISADSRMENSGSDDSSDDENMDVKENGYHDAENEVDQETDDGGERVLSGKLSESSGYAGSDLYDYKAFGGDNSDGSPRNPHAPAESSNLPVDSVQTRDPNVQLMEQPSKLNKGNDDSLNEMDASNASPIGESYQSMGGILSSTDPIPSLHLSGLDSSAEKPNGKVASSNLNGKRSTFWGRSNARKTPSVESVDSSGEEELALQRLEITKNDLKQRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNPGTQQRFLQQDFDATLAFVNHERKHRTEESLLGADWRNIKGTGLATGSSNRQPPRKQFLESTSFSDSKSTEASTNMSMDEMCGIDSAPSTSRAVEMMDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Manes.18G081800.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7517393:7520647:1 gene:Manes.18G081800.v8.1 transcript:Manes.18G081800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISRHSNKLSWGWCILARRYSSSKPTTEVSDATKKICKIMMSSPAVTLDTALNQNGVRVSPEIVEDVLKKFENAGMVAYRFFEWADKQRHYSHSIRAYHTMIESLAKIRQYQIMWDLVNEMRSKRMLNVETFCIIMRKYAKVQKLEEAVYTFNVMEKYDVLPNLAAFNGLLSALCKSKNVRKAQEIFDSMKEQFVPDSKSYSILIEGWGKAPNLPKAREIFREMLDAGCHPDIVTYGIMVDVLCKAGRVDEALGIVEKMDSTDFKPTSFIYSILVHTYGVENRIEDAIDTFLEMEKNGLKADVAVYNALIGAFCKVNKFKNAYRVLNDMDCKGVKPNSRSFNIILNGLIGCKETDEAFRLFRRMIRLCEPDADTFTMMIKMFCERNELQMALKVWKYMQKKQFVPSMHTFSVLINGLCDRGDVSQACVLLEDMIEKGIRPSVQTFGRLRHLLIKEGREDVLKFLQEKINVLLKEPLWD >Manes.18G081800.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7517555:7520217:1 gene:Manes.18G081800.v8.1 transcript:Manes.18G081800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISRHSNKLSWGWCILARRYSSSKPTTEVSDATKKICKIMMSSPAVTLDTALNQNGVRVSPEIVEDVLKKFENAGMVAYRFFEWADKQRHYSHSIRAYHTMIESLAKIRQYQIMWDLVNEMRSKRMLNVETFCIIMRKYAKVQKLEEAVYTFNVMEKYDVLPNLAAFNGLLSALCKSKNVRKAQEIFDSMKEQFVPDSKSYSILIEGWGKAPNLPKAREIFREMLDAGCHPDIVTYGIMVDVLCKAGRVDEALGIVEKMDSTDFKPTSFIYSILVHTYGVENRIEDAIDTFLEMEKNGLKADVAVYNALIGAFCKVNKFKNAYRVLNDMDCKGVKPNSRSFNIILNGLIGCKETDEAFRLFRRMIRLCEPDADTFTMMIKMFCERNELQMALKVWKYMQKKQFVPSMHTFSVLINGLCDRGDVSQACVLLEDMIEKGIRPSVQTFGRLRHLLIKEGREDVLKFLQEKINVLLKEPLWD >Manes.18G081800.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7517555:7520217:1 gene:Manes.18G081800.v8.1 transcript:Manes.18G081800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISRHSNKLSWGWCILARRYSSSKPTTEVSDATKKICKIMMSSPAVTLDTALNQNGVRVSPEIVEDVLKKFENAGMVAYRFFEWADKQRHYSHSIRAYHTMIESLAKIRQYQIMWDLVNEMRSKRMLNVETFCIIMRKYAKVQKLEEAVYTFNVMEKYDVLPNLAAFNGLLSALCKSKNVRKAQEIFDSMKEQFVPDSKSYSILIEGWGKAPNLPKAREIFREMLDAGCHPDIVTYGIMVDVLCKAGRVDEALGIVEKMDSTDFKPTSFIYSILVHTYGVENRIEDAIDTFLEMEKNGLKADVAVYNALIGAFCKVNKFKNAYRVLNDMDCKGVKPNSRSFNIILNGLIGCKETDEAFRLFRRMIRLCEPDADTFTMMIKMFCERNELQMALKVWKYMQKKQFVPSMHTFSVLINGLCDRGDVSQACVLLEDMIEKGIRPSVQTFGRLRHLLIKEGREDVLKFLQEKINVLLKEPLWD >Manes.18G081800.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:7517293:7520647:1 gene:Manes.18G081800.v8.1 transcript:Manes.18G081800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISRHSNKLSWGWCILARRYSSSKPTTEVSDATKKICKIMMSSPAVTLDTALNQNGVRVSPEIVEDVLKKFENAGMVAYRFFEWADKQRHYSHSIRAYHTMIESLAKIRQYQIMWDLVNEMRSKRMLNVETFCIIMRKYAKVQKLEEAVYTFNVMEKYDVLPNLAAFNGLLSALCKSKNVRKAQEIFDSMKEQFVPDSKSYSILIEGWGKAPNLPKAREIFREMLDAGCHPDIVTYGIMVDVLCKAGRVDEALGIVEKMDSTDFKPTSFIYSILVHTYGVENRIEDAIDTFLEMEKNGLKADVAVYNALIGAFCKVNKFKNAYRVLNDMDCKGVKPNSRSFNIILNGLIGCKETDEAFRLFRRMIRLCEPDADTFTMMIKMFCERNELQMALKVWKYMQKKQFVPSMHTFSVLINGLCDRGDVSQACVLLEDMIEKGIRPSVQTFGRLRHLLIKEGREDVLKFLQEKINVLLKEPLWD >Manes.02G050400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:4055863:4057576:1 gene:Manes.02G050400.v8.1 transcript:Manes.02G050400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRCFLSSNTFAKTVELAPSFKTRVFFNPKRSSTQFHYKKVGVSSSISCCHLPSSSSPAGDDSQPHLEADWRSFRAKLVANEQAFRLNTAHSSTVDLDTAVGVDTDHPLQVTIGHKWAHAIQEPEKGCLLIATEKLDGVHIFERTVILLLSEGNPGPYGIILNRPSLMSIKEMRSTVQDAAGMFSERRLFFGGPLEDGLFLVSPERGYDNHMVEKSGVFEQVMKGLYYGTKENAGCATEMVKRNVVGIGDFRFFDGYCLWAKEQLREEIMAGYWTVAACSPSVIRLQNVGTHGLWEEVLGLMGPKKVW >Manes.17G066851.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26673600:26690060:-1 gene:Manes.17G066851.v8.1 transcript:Manes.17G066851.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMLLYEVINYLEIIKIITSMGAFHRLMSLDISHNHLTDSIPGSMVSKMKSMQVFLNLSGNLLGGSIPVELKMLEATQAIDLSNINLSGIIPETLGGCRNLFSLDLSGNKLSVPIPAKPFSQLTVLTSMNLSRNDLDGQIPESLAELKHLTAPDLSHNQLKLCQSSLIETTQFVF >Manes.07G138100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34069581:34077352:-1 gene:Manes.07G138100.v8.1 transcript:Manes.07G138100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSCTNFRSSSSLLLSHSTPTSRLPHSLFPSKLRLPKLKVRNHLVIKNACGFDENGSVNGFPITPDKLFVQEAIGAEYGEGFETFRLDGPLKVDVDFLNDRLQEGFLQRIRYAMKPDEAYGLIFSWDNVVADTRAMKLNIWKQLASEEGKEIPDDGYAQRLMLYAGADHVFEKVLRWATVESEVDRLKLRLSQLYYDHLLRLREPIEGLKEWLDAVARAGIPCAVVSSLDRINMVGALERMGLKKYFQATVSEEDGMESIAHRFLSAAIKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYDLVQADLAVGSFNELSVINLRRLFANKGSGFMDRQKQIIEKSPSKRKLSIDTIF >Manes.09G083200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:21941627:21943963:-1 gene:Manes.09G083200.v8.1 transcript:Manes.09G083200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIRVIDKPKSSGSPKGEVGEIDTRAPFQSVKAAVSLFGEVAISKDKGKAVSKRRLSSEKVLDKETQFVLVQRELEKYKQQLQVAEKTTARSYSELEKAKTTLNDLTIELKTATESKLSAVQAAETVKKQVKELEVAKSQQHFGDAASELELEQEREQYMIVMHELDLAKQELTQIRQDFDGCLQAKSVSFQQAAEVQMVTNGNIERISELSNEISAMQESTLQIKLATVQCQEQQESIMSEKQACIKAYAATKEDLDKKLMSLKEEYDPELHKKLEQKLSERNEEIEVIREEMKRAHAIEMDNVKLITTELNEATKTLQKVAEEENLLRNIVTALKLELDEVQREKSDLEKREKKKYDGQRSQLEKLSSEAETARTEAEEMKKKAKKLNQETETNMLTAARATEKLHLVQKELEEAKEGEKKAHDEMKSLSEKYNDQNPESNNNIIQISLQDIETSNKKVEESENTAEAKEADAKFEIEEINIRKNATEMRLEENLEAMKEIEIAIDIALKSAEIAEAAQSVVEGELRRWRQKHDNNIFSV >Manes.13G146300.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:36205531:36206289:-1 gene:Manes.13G146300.v8.1 transcript:Manes.13G146300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLGPGKFYGSSLPRPRIYTDVKLNPDRVDPPLSVMDPFLSWAEEAHWSMGGLSFKRLRLQGRIEGNVNKLRKHREKLAKSQVSSSPKVSRGSEQGEVKDKNENNRKRVGSDAPPPAPMVTKRRKFMDLFDEDSEEEELQAMEEVEAAGKKKPVRKLAGDFEKVAKINDVNNTGKIGNVIGLRTRRRGLEEGGSGGMGDAVMKVVKELNVKESAGKKLKGGRKGKSGGESGSNSVSGIRTSPRLANQRSN >Manes.16G049052.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:10673138:10702671:-1 gene:Manes.16G049052.v8.1 transcript:Manes.16G049052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHRDMVANLRTKVNQMASTLNMSNFANRDSLLGPEIKPADAMRRTEGLDNHGIVGLQWQIMKEQDEGLEKLEETVTSTKHIALAVNEELDLHIRLIDDLDQHVDVTDSRLRANSHYFAIIEQIAEGNMWLNDNIGVVPKNSWAIDPFGYSATMAYLSAVWVSRTCLFRGLIMRFGPEEPRTPAVSQLLQSLSELARGE >Manes.06G079300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21537626:21541664:-1 gene:Manes.06G079300.v8.1 transcript:Manes.06G079300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWKRAKLFAEEAAKKSQTLTSSNKIADLVAETAKKSKELALEASKKAEQLKVAALKQADQIQIKSISDIIPPQLSSLSIVSYSSSSSAPAVSDPEELQKFGITDDLSDFVKGLTSSTFHNFPIQVDEAEASDVPTTASHVRKDLNEWQERHATLVLTNVKQISKLRYELCPRVMKERRFWRIYFTLVSTHVAPYEKQYMEEIKRKAEEQIKDDKSNQTAVSGQDSGSEMTEKSMKSRTSTASSAEQDLDTFLLGDLGDSDGGADDGDGSFDDDFDKIDNSDIEDEKHSKKATAATGQI >Manes.06G079300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21537626:21541664:-1 gene:Manes.06G079300.v8.1 transcript:Manes.06G079300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWKRAKLFAEEAAKKSQTLTSSNKIADLVAETAKKSKELALEASKKAEQLKVAALKQADQIQIKSISDIIPPQLSSLSIVSYSSSSSAPAVSDPEELQKFGITDDLSDFVKGLTSSTFHNFPIQDEAEASDVPTTASHVRKDLNEWQERHATLVLTNVKQISKLRYELCPRVMKERRFWRIYFTLVSTHVAPYEKQYMEEIKRKAEEQIKDDKSNQTAVSGQDSGSEMTEKSMKSRTSTASSAEQDLDTFLLGDLGDSDGGADDGDGSFDDDFDKIDNSDIEDEKHSKKATAATGQI >Manes.06G079300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21537626:21541664:-1 gene:Manes.06G079300.v8.1 transcript:Manes.06G079300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWKRAKLFAEEAAKKSQTLTSSNKIADLVAETAKKSKELALEASKKAEQLKVAALKQADQIQIKSISDIIPPQLSSLSIVSYSSSSSAPAVSDPEELQKFGITDDLSDFVKGLTSSTFHNFPIQDEAEASDVPTTASHVRKDLNEWQERHATLVLTNVKQISKLRYELCPRVMKERRFWRIYFTLVSTHVAPYEKQYMEEIKRKAEEQIKDDKSNQTAVSGQDSGSEMTEKSMKSRTSTASSAEQDLDTFLLGDLGDSDGGADDGDGSFDDDFDKIDNSDIEDEKHSKKATAATGQI >Manes.06G079300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:21537626:21541664:-1 gene:Manes.06G079300.v8.1 transcript:Manes.06G079300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWKRAKLFAEEAAKKSQTLTSSNKIADLVAETAKKSKELALEASKKAEQLKVAALKQADQIQIKSISDIIPPQLSSLSIVSYSSSSSAPAVSDPEELQKFGITDDLSDFVKGLTSSTFHNFPIQVDEAEASDVPTTASHVRKDLNEWQERHATLVLTNVKQISKLRYELCPRVMKERRFWRIYFTLVSTHVAPYEKQYMEEIKRKAEEQIKDDKSNQTAVSGQDSGSEMTEKSMKSRTSTASSAEQDLDTFLLGDLGDSDGGADDGDGSFDDDFDKIDNSDIEDEKHSKKATAATGQI >Manes.02G079800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6228817:6229930:-1 gene:Manes.02G079800.v8.1 transcript:Manes.02G079800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVETEGVNGIDHRQKKVARRKETLYDVLHLMLGRIFFQDSDVSAPLVQRIKNSLSENGPLLRDATTNTGRKVLLWTRRGSPLRLLLVISVGTITLLTLTGLLVFMLFFLAATVNAIVVSLLISLAAVGGFLAFFFACVTAIYIGALSVAIFVISTATISAIIAVLVATGRAK >Manes.02G079800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:6227246:6229930:-1 gene:Manes.02G079800.v8.1 transcript:Manes.02G079800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPVETEGVNGIDHRQKKVARRKETLYDVLHLMLGRIFFQDSDVSAPLVQRIKNSLSENGPLLRDATTNTGRKVLLWTRRGSPLRLLLVISVGTITLLTLTGLLVFMLFFLAATVNAIVVSLLISLAAVGGFLAFFFACVTAIYIGALSVAIFVISTATISAIIAVLVATGWIGFFWTVWLVTKKSVGVAKRSLRMTGSAISAYSSGRHVKRFQDPDKVSD >Manes.02G037400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3094340:3095176:1 gene:Manes.02G037400.v8.1 transcript:Manes.02G037400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSTASLALFFALNLLFFSLVSACGGGCPSPKPKPPSPKPKPPSPKPKPTPPSCGGGCPSPEPKPTPPSCGGGCPPKPTPPSPKPTPTPSPPGKCPRDALKLGVCAKVLGSLLNITIGKPPVKPCCSLIQDLVDLEAAVCLCTAIKANVLGLNLNVPLSLSLLLNVCSKKVPSGFQCE >Manes.07G112202.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:31763139:31764710:1 gene:Manes.07G112202.v8.1 transcript:Manes.07G112202.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKREKKKKISIIPFNIFRLLLSLISSNFLIINNFLWLCKSFAEFKHIIRSLHTKFGLVITLHISLCPSIFVAQSYPPSQIQNAAVFVERPSTLAVSKVVNNNQHNINAVFYGPT >Manes.14G004100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1551892:1558117:-1 gene:Manes.14G004100.v8.1 transcript:Manes.14G004100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNVLFNGKKRRLDSGVAYVTQEDVLLGTLTVRETITYSANLRFPTSMTKEEISDAVEGTIMEMGLQDCADRMIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVIQTLRNITRDGRTVISSIHQPSSEVFALFDDLFLLSGGETVYFGESKMAIEFFAEAGFPCPKKRNPSDHFLRCINSDFDAVTATLKGSQRIRDVPATSDPLMNMATAEIKARLVEKYRRSNYPRKTKARIKEISAIEGLEIEIRSGSQANRWKQLSTLTRRSFLNMSRDVGYYWARIAIYIIVSICVGTIFHNVGYGYTAILARIACGAFITGFMTFMSIGGFPSFIEEMKVFYREKLNGYYGVTVFILSNYLSSFPFLVAIALASGTICFYLVKFRSGFSHYAFFCLNIFACISVIESLMMVVASLVPNFLMGLVTGAGIIGIMMMTSGFFRLLPDLPKPFWRYPVSYINYGAWGLQGAYKNDFLGLEFEPLVPGEPKLTGEFIVTNVFGVPLDHSKWWDLSVIFLILLVYRILFFVVLKFKERASPIVKDLYSKRTLQKLEKRPSFRKMPSFASKRHQPLHSLSSQEGLNSPLH >Manes.14G004100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1551892:1558117:-1 gene:Manes.14G004100.v8.1 transcript:Manes.14G004100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVAASSYSTNGGVGGGGGCDTSSNTTSQSNAVSSVGGQERGTYVVWENLTVVLPNFSHGPTKRLLQGLSGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVIMTGNVLFNGKKRRLDSGVAYVTQEDVLLGTLTVRETITYSANLRFPTSMTKEEISDAVEGTIMEMGLQDCADRMIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVIQTLRNITRDGRTVISSIHQPSSEVFALFDDLFLLSGGETVYFGESKMAIEFFAEAGFPCPKKRNPSDHFLRCINSDFDAVTATLKGSQRIRDVPATSDPLMNMATAEIKARLVEKYRRSNYPRKTKARIKEISAIEGLEIEIRSGSQANRWKQLSTLTRRSFLNMSRDVGYYWARIAIYIIVSICVGTIFHNVGYGYTAILARIACGAFITGFMTFMSIGGFPSFIEEMKVFYREKLNGYYGVTVFILSNYLSSFPFLVAIALASGTICFYLVKFRSGFSHYAFFCLNIFACISVIESLMMVVASLVPNFLMGLVTGAGIIGIMMMTSGFFRLLPDLPKPFWRYPVSYINYGAWGLQGAYKNDFLGLEFEPLVPGEPKLTGEFIVTNVFGVPLDHSKWWDLSVIFLILLVYRILFFVVLKFKERASPIVKDLYSKRTLQKLEKRPSFRKMPSFASKRHQPLHSLSSQEGLNSPLH >Manes.14G004100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:1551892:1557057:-1 gene:Manes.14G004100.v8.1 transcript:Manes.14G004100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNVLFNGKKRRLDSGVAYVTQEDVLLGTLTVRETITYSANLRFPTSMTKEEISDAVEGTIMEMGLQDCADRMIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVIQTLRNITRDGRTVISSIHQPSSEVFALFDDLFLLSGGETVYFGESKMAIEFFAEAGFPCPKKRNPSDHFLRCINSDFDAVTATLKGSQRIRDVPATSDPLMNMATAEIKARLVEKYRRSNYPRKTKARIKEISAIEGLEIEIRSGSQANRWKQLSTLTRRSFLNMSRDVGYYWARIAIYIIVSICVGTIFHNVGYGYTAILARIACGAFITGFMTFMSIGGFPSFIEEMKVFYREKLNGYYGVTVFILSNYLSSFPFLVAIALASGTICFYLVKFRSGFSHYAFFCLNIFACISVIESLMMVVASLVPNFLMGLVTGAGIIGIMMMTSGFFRLLPDLPKPFWRYPVSYINYGAWGLQGAYKNDFLGLEFEPLVPGEPKLTGEFIVTNVFGVPLDHSKWWDLSVIFLILLVYRILFFVVLKFKERASPIVKDLYSKRTLQKLEKRPSFRKMPSFASKRHQPLHSLSSQEGLNSPLH >Manes.03G017600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1478386:1483171:-1 gene:Manes.03G017600.v8.1 transcript:Manes.03G017600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLQSFLDPKKNWFAKQHMKALSKRLRKYGLRYDDLYDPYYELDVKEALNRLPREIIDARTQRLKRAMDLSMKHEYLPEDLQAMQTPFRSYLQDMLSLVKKEKAEREALGALPLYQRTIP >Manes.03G017600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:1481923:1483171:-1 gene:Manes.03G017600.v8.1 transcript:Manes.03G017600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLQSFLDPKKNWFAKQHMKALSKRLRKYGLRYDDLYDPYYELDVKEALNRLPREIIDARTQRLKRAMDLSMKHEYLPEDLQAMQTPFRSYLQDMLSLVSSFQDFMYFAY >Manes.17G112001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31821503:31825514:-1 gene:Manes.17G112001.v8.1 transcript:Manes.17G112001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQDQDAHKADEIKSQSHTGDGASPGKIFIGGLARETTSALFVKHFGKYGEITDSVIMKDRKTGQPRGFGFITYADPSVVDQVIQDTHIINGKQVEIKRTIPKGAIGSKDFKTKKIFVGGIPAAVNEDELKEFFAQYGEVIEHQIMRDHSTNRSRGFGFITFDSEQAVDDLLAKGNKLELAGTQVEIKKAEPKKPNLPPPPSKRYNDSRPAFGSGFGDVYDGYGGSGYGSGGGAYRSAGAYGDRASTYGGYNGGEFGGYGAYEGGGGIGAYRGEPSYGYSGRYGGGFSRGYNLGGGYGGPGEGYGGYGSGSGSGGGGGGSGGGYGGSYDAGLGGGYGGSSGGSFYGSRGGYGGAGIGRYHPYGR >Manes.02G038400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:3154922:3161204:1 gene:Manes.02G038400.v8.1 transcript:Manes.02G038400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPFHLQGKGVVDIDIAGFASIYSPQETWKLKLQQHEVNSFASTEPTSVLHMRRSPSPPTSASNLSSSFNCGGGGGGGNSTDNTTTTATEKVVNPVNNERKDEWATELQPIPSGLEIVSTGERCGLGLEDWENMLSEPNQEQSLLRWIAGDVDESFGLRQLLQGGNNPPDFDGSGAVGLGIVDQGPGFEAVSGIAGGVSGIGTNLSAFPASGFTTTNNNNNGNGKVASGLVTPSSSSAGLVSYRGVGLGSNTNSNCNIQNPIFASSASSISLPATLPPGMLYPHNLQHQIEAPEEKPQILNPQMLMNQQQPHNPHPQNLSPFLPFSLPQQENHLLQPQPKRHNSGGIDPMSQMVSKLPFSDPGHELLLRKQQQQQQLLGFPQGVQFLHPHLQQKPLVVKKEELGAHQQQQQHQHALLDQLYKAAELVGTGNFSHAQGILARLNQQLSPIGKPLHRAAFYFKEALQLLLLMNNNPVTALPPRSPTPFDVIFKMGAYKVFSEVSPLIQFVNFTCNQALLEALSDADRIHIIDFDIGFGAQWASFMQELPRNRGTPSLKITAFASPSTHHPVEVLLMRENLTQFANEIGISFELDVVNFDSLEQNCYSLSIFRPNENEAVAVNFPIWSSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRTDLPFPQHILHALQSYIQLLESLDAVNVSSDAVNKIEKFLLQPRIESTVLGRLRAPEKMPSWKTIFASAGFSPLTFSNFTETQAEYVIKRTPVRGFHVEKRQALLVLCWQRRELISASAWRC >Manes.16G132200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33254611:33258434:1 gene:Manes.16G132200.v8.1 transcript:Manes.16G132200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEERAIEDQLELQLQEQKDSLAALNDALASDPSNAELLSVQEELVQAIKDAEDGLLHLKRARLLREVDSALHDSKHNTGYVKVEPLDPNDVEPEQLEEQSYSVGSKCRFRYNDGRWYNGKVIELEGSNTVKISFLTPTSENMMICKFFLQQRCRFGTNCRLSHGFDVSLSSLRKYLPTTWDQSLVGSSIWAVSDSKTGIWRKAELESWDDELGVGKVVFCDDGSSAKVETDAITLSEYALMSDGEESDSSSEQSDSSDYEEESTQGLGFLESSTLQRGIQTETAIFAKWENHTRGIASKMMANMGYRDGMGLGASGQGMLDPISVKVLPPKQSLDHALQSQNGEEMKEDQAKKRSRGGKRKREKKFAAMARAAEDEEEMRPDVFSLINTQLAMHSEVLNGGSAKKHQNKGSGEKKVDRRVLVAYDEEVKELRMRIEKLEEMASRNKKEKVVYEAAMRKLIETRKALAEAEAAHASASSAVLSKEKEKKWLKF >Manes.02G196100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24435570:24438307:1 gene:Manes.02G196100.v8.1 transcript:Manes.02G196100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTRACSLLVFAMVLRAGSGQNVDPIGPDDGGRRRREMVPAMFIFGDSLIDNGNNNNLASLAKANYFPYGIDFNGGPTGRFSNGCTMVDEIAELLGLPLIPPYSEAYGDAVLHGINYASAAAGILDITGRNFVGRIPFNQQIWNFQNTLDEMRDNVGARDVGRAIGKSIFFVGMGSNDYLNNYLMFNYPTRLQYNAQQYADLLVQQYTQQLKTLYNLGARKFILGGLGEMGCIPSILARSPAGLCSEEINKLVLPFNENIKSMMNKFNANLTGARFIYIDIARMFRDILANSQAYGFSIVDRGCCGIGRNRGQVTCLPLETPCLNREQYIFWDAFHPTEAVNILMGRKAFNGNTSIVYPMNIEQLANLELEFD >Manes.02G196100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:24435391:24438534:1 gene:Manes.02G196100.v8.1 transcript:Manes.02G196100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTRACSLLVFAMVLRAGSGQNVDPIGPDDGGRRRREMVPAMFIFGDSLIDNGNNNNLASLAKANYFPYGIDFNGGPTGRFSNGCTMVDEIAELLGLPLIPPYSEAYGDAVLHGINYASAAAGILDITGRNFVGRIPFNQQIWNFQNTLDEMRDNVGARDVGRAIGKSIFFVGMGSNDYLNNYLMFNYPTRLQYNAQQYADLLVQQYTQQLKTLYNLGARKFILGGLGEMGCIPSILARSPAGLCSEEINKLVLPFNENIKSMMNKFNANLTGARFIYIDIARMFRDILANSQAYGFSIVDRGCCGIGRNRGQVTCLPLETPCLNREQYIFWDAFHPTEAVNILMGRKAFNGNTSIVYPMNIEQLANLELEFD >Manes.13G078500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:13028313:13040224:1 gene:Manes.13G078500.v8.1 transcript:Manes.13G078500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVNSATSDKLPELDWTKNIEICESVALDRRQARDVIKAIKKRLGSKNPNVQLYSVMLLEMLMNNIGEPVHKEVIDTGVLPILVKIVKKKTDLPVRERIFLLLDATQTSLGGASGKFPQYYSAYYDLVSAGVQFPQRPHATQTNNPTSQVKKKSTLNGELAAARQEMFAQQAEPEVVPESSIIQKASNALEVLREVLDAVDSQNPEGAKDEFTLDLVEQCSFQKQRVMHLVMTSRDEKVVSRAIELNEQLQRVLARHDALISGRSMPSDRSTVSDRTTTTATHFSLDEAEGEEEEPEQLFRRLRKGKACARPEDEDSDELVSTGMLGSSIPGERERLNRPLIRPLQSDQSNEPNANPPAVAIPPPPAKHMERERFFQEKKVDGSAVSGHMRGLSLHSRNASSSRSGSVDFSD >Manes.01G049601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:15761133:15763663:1 gene:Manes.01G049601.v8.1 transcript:Manes.01G049601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDYMTVNGNAFSKMADDYIRRHHVHDVKDHQCSSSLVKHVKAPVHLVWSLVRRFDQPQRYKPFVSRCIVQGDLQIGSVREVNVKSGLPATTSTERLEVLDDEEHIFRMTIVGGDHRLKNYSSIITVHSEVIDGRPGTMVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTELIDRV >Manes.09G109200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:31109351:31110444:1 gene:Manes.09G109200.v8.1 transcript:Manes.09G109200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNAGAQLSDSLAPNNNPQNDELLFSGDRNFAIHGEIMLLVLVLLFASFLLFIVCFLCKSKSNDSSKLSQSELVSPVNPSAGSTFKVQFKDGTNMMQVPQLPQQQ >Manes.08G034300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3301598:3320118:1 gene:Manes.08G034300.v8.1 transcript:Manes.08G034300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVRTSSDQPYRLQKFKLRLFYESDIRQPNLEVAKERLKQVITQAGDKDNSVSCSDPPTGPESESPPSWFEFFNKELVHTVSFSDHEAFDHPVACLLVVSSKDEQPINRFVDLFNTNKLPSLLNDGAMDPKILKHYLLVHDNQDCSSEKATKILTEMKNTFGTNDCHMLCINSSQDGQIEHRESLWASCKSVVSPDQHLGCFLNIDDISEIKDLMQELSSKHIIPYMEQKVRVLNQQVSATRKGFRNQIKNLWWRKGKEDTPDSPSGLMYTFSSIESQIRVLGDYAFMLRDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLTYFMLDQSRKEAEYCMENSFNTYLKIGSSGQKNATRCGLWWIEMLKTRDQYKEAATVYFRICTEEPLHSAVMLEQASYCYLLSQPRMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRSAISVYKGTTWSYIKDHVYFHIAQWYAFLGMYDVAFTHLLEVLTCSHQSKTTQELFLREFLEVVQKTGKTFEVLKLQLPVINISSLKVVFEDHRTYATPAVASVRESLWCSLEEDMIPSLSTAKTNWLELQSKFLPKKYKESNICVAGEAIKVDIAFENPLKTPISISSVSLICDLSGSDEKSDSSHSTALQNDEEHKMLGDMISNTSLFTLSEVDFTLGGDETKLVQLTVTPKVEGSLRIVGIKWKLCSVVGYYNLESHLRNKKTARGRRKAKHSPGNDLKFVVIKSIPKLGGFIHTLPEKAYAGDLRHLVLELRNQSEFSVKNLKMKISRPRFLSIGNREDLNLEIPDCLEKKMNFERNDVPADSKKVTHGVFLFPEDIQINQEKPLSWPLWLRAAVPGTISLYIVIYYEMGDASSVMRYRTLRMHYNLQVLPSLDVSFTISPCPSKLQEFLVRMDVVNKTSLESFQVNQLSAVGWQWEISLLQAVDTIFPSQSLIAGQALSCFFMLKSIRKSSRTEEKISSLSRSCGSDVRLAPEGSKDTLLDICSSPLADFHDCERLQHEMSNQEDTNTVDFILISRPLKNDVDPGAGTSVAPRLFSHHACHCSTASTSPISWVVDGPRTTHHDFSVSFCEINLRMIIYNSSDAVAYVGVSTLDSPGGGVQSSDENPVASGNQAGWHSLSLTDDIKITSDVHGSDVAKPEPLPSVSPFIWSGTSSTRVQLEPMSSTEIPLLICVFSPGTYDLSNYALNWNLLHVNNEGNVGRTKQTSGTIPGYPYYLTVLQSE >Manes.08G034300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:3301598:3320118:1 gene:Manes.08G034300.v8.1 transcript:Manes.08G034300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATTPLGKMLLEEITPVVMVLRTPLVEEACLKNGLSFIEMLSPFCTFSNIDVPVRTSSDQPYRLQKFKLRLFYESDIRQPNLEVAKERLKQVITQAGDKDNSVSCSDPPTGPESESPPSWFEFFNKELVHTVSFSDHEAFDHPVACLLVVSSKDEQPINRFVDLFNTNKLPSLLNDGAMDPKILKHYLLVHDNQDCSSEKATKILTEMKNTFGTNDCHMLCINSSQDGQIEHRESLWASCKSVVSPDQHLGCFLNIDDISEIKDLMQELSSKHIIPYMEQKVRVLNQQVSATRKGFRNQIKNLWWRKGKEDTPDSPSGLMYTFSSIESQIRVLGDYAFMLRDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLTYFMLDQSRKEAEYCMENSFNTYLKIGSSGQKNATRCGLWWIEMLKTRDQYKEAATVYFRICTEEPLHSAVMLEQASYCYLLSQPRMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRSAISVYKGTTWSYIKDHVYFHIAQWYAFLGMYDVAFTHLLEVLTCSHQSKTTQELFLREFLEVVQKTGKTFEVLKLQLPVINISSLKVVFEDHRTYATPAVASVRESLWCSLEEDMIPSLSTAKTNWLELQSKFLPKKYKESNICVAGEAIKVDIAFENPLKTPISISSVSLICDLSGSDEKSDSSHSTALQNDEEHKMLGDMISNTSLFTLSEVDFTLGGDETKLVQLTVTPKVEGSLRIVGIKWKLCSVVGYYNLESHLRNKKTARGRRKAKHSPGNDLKFVVIKSIPKLGGFIHTLPEKAYAGDLRHLVLELRNQSEFSVKNLKMKISRPRFLSIGNREDLNLEIPDCLEKKMNFERNDVPADSKKVTHGVFLFPEDIQINQEKPLSWPLWLRAAVPGTISLYIVIYYEMGDASSVMRYRTLRMHYNLQVLPSLDVSFTISPCPSKLQEFLVRMDVVNKTSLESFQVNQLSAVGWQWEISLLQAVDTIFPSQSLIAGQALSCFFMLKSIRKSSRTEEKISSLSRSCGSDVRLAPEGSKDTLLDICSSPLADFHDCERLQHEMSNQEDTNTVDFILISRPLKNDVDPGAGTSVAPRLFSHHACHCSTASTSPISWVVDGPRTTHHDFSVSFCEINLRMIIYNSSDAVAYVGVSTLDSPGGGVQSSDENPVASGNQAGWHSLSLTDDIKITSDVHGSDVAKPEPLPSVSPFIWSGTSSTRVQLEPMSSTEIPLLICVFSPGTYDLSNYALNWNLLHVNNEGNVGRTKQTSGTIPGYPYYLTVLQSE >Manes.09G154000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:35057953:35062755:1 gene:Manes.09G154000.v8.1 transcript:Manes.09G154000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLHSPPSTTAASSTASLYPNSCSLLTLRTRSLSLRSSTRTFSIRAQSAPILTQDDLKRLAADKAVDYVKSGMVLGLGTGSTAAFVVAKIGELLKSGQLSGIVGTPTSKRTEEQARSLGIPLSVLDDHPRLDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASEKFVVVADETKLVTGLGGSKLAMPVEVVQFCWKHNLVRLKQLFNEEGCEAKLRLNEAGKPYVTDNSNYIVDLYFENPIKDAYGAGREISAFEGVVEHGLFLDMATAVIIAGKSGVEVKAK >Manes.14G152100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:17624480:17625699:-1 gene:Manes.14G152100.v8.1 transcript:Manes.14G152100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCCRSSSFKLAVFSIFSALVASAANNLDQDFDITWGGGRGTILNNGQILTLSLDRASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTSYYLKSPGTVWDEIDFEFLGNVSGDPYTVHTNVYTQGKGDREQQFRLWFDPTTDFHTYSILWNPQRIIFSADGTPLREFKNLEGLGVAFPKQKPMRIYSSIWNADQWATRGGLVKTDWNLAPFTAAYRNFKAEACTWAAGRPSCSPNNNWQHQQLDPISHQRLLWVQKNHMIYNYCTDTKRFPQGFPPECSH >Manes.07G019300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2176745:2178947:-1 gene:Manes.07G019300.v8.1 transcript:Manes.07G019300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDC >Manes.06G168300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29351848:29355015:1 gene:Manes.06G168300.v8.1 transcript:Manes.06G168300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTATQIFQGLENNNENSNHEVSRQEIQAAIAKAVELRAIHASLVHGSSPANLKFPSSSPVSRPVSQFSAQDYPVFTPSYEDEPLSGLESLPKSRTLSESWDDYGLEGGNGYETLLSDFKKENSSSRKGIPSGLASLESQICPTEDQKSLSGSSANNITVLHTSPRAEFYKSRRRNSLGEFKSVSSCNRCKAAPSTSEAENVTRNSRNSNIVVPWTDSHSPAQPQPRHRGIISWFFPKLKKKQKNENSPNRTESEEVPQIFRDLEMLSIETLKRELKEANENRDAALMEVAEMKSSLGELRQKLEYLESYCEELKRALRQATVAKGSQVIEKLGNFPKRGKPNDGNGENSMPVSEEVMVEGFLQIVSEARLSVKQFCKTLVGQIEETDGTLMDSLNLFLQPYKLSLNSRYSKAVLYHLESIINQTLYQDFENSVFQKNGSPKHLDPEQDRQAQFASFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMGCIITTLNWTRAWPEQLLQAFFVAGKCIWLLHLLAFSFNPPLGIIRIEENRSFDPHYMEDMLMDRQRSHGPSRVKIMVMPGFYVQDRVLRCKVLCRYKSAS >Manes.06G098900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:23294321:23297239:1 gene:Manes.06G098900.v8.1 transcript:Manes.06G098900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSQKKNLHSVLSCNLKKLTITPPNPTSDFDFSEVFGPLTPHPNQSQSPSTSTSSSSSSAFLGDPLVIHNRSHSFVGPSPRYTLSSSLPFQIPEEDLENENEVIVEEDDKKERSEQVGCKIGPGDFEILRVVGQGAFGKVFQVRKKGSDCGDGDGIYAMKVMRKDTIIKKNHVDYMKAERDILTKVVHPFVVQLRYSFQTKAKLYLILDFINGGHLFFHLYRQGIFSEDQARVYTAEIVSAVSHLHKCGIVHRDLKPENILVDCDGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILLSKGHNKDADWWSVGILLYEMLTGQPPFTHKDRKKLQERIIKEKVKLPPYLSSEAHSLLKGLLQKEPSRRLGSGPGGGDEIKSHKWFRSINWKKLEARELQPKFKPDVSGKDCTANFDKCWTTMPPDDSPAATPTAGEHFQGYTYIAPNPWLSSA >Manes.03G051300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4782760:4784024:-1 gene:Manes.03G051300.v8.1 transcript:Manes.03G051300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHWKENYTTDHIGYCDMSHSDDLSSFELSEFLKFDEWIEEDDQLSVFPAANSSQTPVYRAHVIGESGVAASSHEGPSIEEGREMKEVKERVAFKTKSEVEILDDGFKWRKYGKKMVKNSPNPRNYYRCWVEGCPVKKRVERDREEQEYVITTYEGVHNHPTSS >Manes.03G051300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4782760:4784024:-1 gene:Manes.03G051300.v8.1 transcript:Manes.03G051300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLHWKENYTTDHIGYCDMSHSDDLSSFELSEFLKFDEWIEEDDQLSVFPAANSSQTPVYRAHVIGESGVAASSHEGPSIAIEEGREMKEVKERVAFKTKSEVEILDDGFKWRKYGKKMVKNSPNPRNYYRCWVEGCPVKKRVERDREEQEYVITTYEGVHNHPTSS >Manes.03G144700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:27263667:27266077:1 gene:Manes.03G144700.v8.1 transcript:Manes.03G144700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNDQNLVYNFKISSVGPGRITGSDVVYELSGLDLAMKLHYVKGVYFFSSQATRELNIMHIKETTFYWLNEFYMICGRFRRSEETGRPYMKCNDCGVRMVEAQCDLTIDEWLEKKDCSLNKLLVYHQPIGPELCFSPPTYLQVTKFKCGGMSLGLSWAHVLGDPFSASDCVNMWSPFFAGLKSNRPLQITKSPDRLENLEPVKQPLSMKRVNQVGDHWVTANNCKMETLSLYLTTSQVSQLLSKIWGENPIKEAPLFESLCAMMWQCIAKVREEHEPKIVTICKKDPSVPKTGILSNSQIISSVEADFSIKDSDLEKLANLLVDRAIDEKNQIEKMVEKDNGSFDYIIYGGNLTFVNFENINLYGLKWNKHKPKFVHYTIQGVGDEGAVFVLPWPEKDCDERNGKGRVVTVVLPEKEVTKLRYELKGNGLLIDGDLE >Manes.11G029500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2812119:2816908:1 gene:Manes.11G029500.v8.1 transcript:Manes.11G029500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSWVFLVFFFHLLSQTFSLNEMPLHISTKFLDYAKKEEIVNWTVGIRRKIHENPELGYEEFETSKLIRAELDKMGVKYKYPLSVTGVVGFIGTGKPPFVAIRADMDALAMQEMVEWEHKSRVPGKMHACGHDAHVSMLLGAAKILQEHQEEIKGTVVLVFQPAEEGGGGATKMIDAGAIENVSAIFGLHVNPGLPIGYVASRPGPVLAGSGFFEAVISGKGGHAAIPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFSKESFMQLRQRIEEVITGQASVQRCKASIDFLLDEKPFFPPTINDKKLHEYFKNVAGSVLGIDKVKDMAPVMGSEDFAFYQEVIPGYFFFIGMQNETRKQLQSPHSPLFEINEDVLPYGAALHASLAVNYLLEFQREVPLPEEKRHDEL >Manes.02G191400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15347880:15356259:-1 gene:Manes.02G191400.v8.1 transcript:Manes.02G191400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHRQSGHHYSNGNSDHVSVGIHTPYSQQQHKPVRVRRSSRSDKGSVAAFQIGAVILVICVVLSVIVLGYYYLSADDKEITPHHLDDGEIKNDVDFLTNVIRTNNFKVLGFGQGSVGHGRDSRYWDRDDRRRDGEYDEDDAAHDGKDARGASAGKDHDPVEVKGGKERTSHDGLFKGLDQRGVGLYNEDGRNELKRYEAEYEASLNNTSHSRKENDIKNRVLDDEDHGEQNELVDTGNEYDDGIDSHDPLVEAYGNSKNESGDHTAISISRDKDSRESSNLHDEKTEDQSIAKDNQEFSENMLEKSLISRILDNIHTNSQLVHTSGSQSTTKSWSDSKIKSRRRKFSGSCDMKFLNSTSQLVDPFESHKFARFSLQYVEMEERPNVDEEWEPRFAGHQSLREREGSFLVHDQKIHCGFIKGPEGSPSTGFDLAEDDENYISRCHIAVISCIFGNSDRLRSPTRRKVTRFSRKNVCFVMFVDEVTLQTLSSEGQMPDTAGFIGLWKIVVAKNLPYTDMRRVGKIPKLLPHRLFPSARYSIWLDSKLRFQLDPLLVLEYFLWRKGHEYAISNHYNRHCIWEEVAQNKRLNKYNHTVIDQQFTFYQADGLKRFNASDPNKLLPSNVPEGSFIVRAHTSMSNLFNCLWFNEVDRFTPRDQLSFAYTYQKLRRMNPGRPFHLNMFKDCERRAIAKLFRHRSDEKRNVLHQHQQATE >Manes.17G086800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:29424367:29431451:1 gene:Manes.17G086800.v8.1 transcript:Manes.17G086800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEKQHLSNVEAESSQNQAVPKEKISLSHKGIDFLAESKMGFDGFASKPQELTLSYLCENPKFGSTQKGKEVIFSENSNQDEKWVERDFMNLSETKSNSSSKREVQEEEGIERENSSRDKKPKLESTLNLSLALPDVSLSLTASNALQNAEPVIKPKPSRSIQSLGAAPSNNNTQTTCSNDFTAVSLSYSYSHPFSHNPSCSLTRNSTENYDYSVGRDDQIWCGGEGTNGSVHSRFRPIGDGLVALNNNNHGGGGNGGFSMMQGHRVTNKDSCNNSLYRTASSDNLSFFPSELPAKPRLDAYSGDSRRRDSENMRGVEGVDGGGKARKLSRPERILREIVSESIPVMAQIIQELADETLDSTKEYLKNLIVMPEKREELIGLQHRLERRSDLSKEALSKCQKDQLEILVAVKMGLASFMSGKIRLPANELVEIFLYMRCRNATCKSILPVDDCDCKFCSANKGFCSSCMCPVCMNFDCANNTCSWVGCDVCSHWCHAACGIQKNLIRPGPSLKGPKGTTEMQFHCIGCNHASEMFGFVKDVFVCCAKDWGLETLIKELDCVRRIFKGSDDFKGKELHVKAGELLSKLESKIVSPSDACNIIIQFFNCADSISDFPASGMSAKELMPTEASLRKDNASIPAATSLHPKYALYNMSSSSDRRDSLSNDLHRNNIKAALLGDLKINDEFQFGKLSKKDGLESLESIVRIKEAEARMFQSKADEARREAEGYRRMIRAKSEKLEEEYAEKLAKLCLQETEERRRKKLDELKVLENSHSDYYNMKLRMQAEIAGLLERMEATKQKWV >Manes.16G039900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:5210746:5211423:1 gene:Manes.16G039900.v8.1 transcript:Manes.16G039900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTLALPSVGGAASGCSSLNRPIAFISSAFRSVDMSVSTVTLSKKSLVCLSSSSSSSSSSSSSSSSSAIMPTRTDINNGSMMIICSVKGRYCYSTIPAGIMIIGRRKKRFIPCDVALRSNISASLSSSSTTVTQGEEEGEEAAKKIGARVRVKVPLKVYHVPRVPEVDLTGKEGHLKQYVALWKGKRISANLPYKVEFVVDIEGRGPVKFLAHLKEDEFDYLE >Manes.12G003400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:532741:537378:1 gene:Manes.12G003400.v8.1 transcript:Manes.12G003400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAFLKHLRVTVRAVPQNPRPNTGLFALSFNAILRRFSEEVRGSFLDKSDVADRVVSVVKNFQKVDPSKVTPNAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISSISLAVDFIASHPQAK >Manes.12G003400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:532957:535851:1 gene:Manes.12G003400.v8.1 transcript:Manes.12G003400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAFLKHLRVTVRAVPQNPRPNTGLFALSFNAILRRFSEEVRGSFLDKSDVADRVVSVVKNFQKVDPSKVTPNAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKISSISLAVDFIASHPQAK >Manes.15G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5228072:5231352:1 gene:Manes.15G068800.v8.1 transcript:Manes.15G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPLGLSFGTDPTSSLIISQPPGINTAWKHDIIIMDPSNDRPLNHCSGDEYRSISNGKRVITEMDFFAVGSCPKQKACIIKKECIDERVEQQNEDDVNIGLNLLTGNSGSCNKSMVEDGTSQTKEVYDQRKKELELLQAEIDHMNEENQLLKGILNQVNRNYYALQMHVVALKQRQQIHKATTVKNEEVINGNLEEKQRKGSIFARQFLDLGKAERAEMGEGRSQSTSEERNRDCAVSPNIVESMEHNKSQMISNSSISGVFPVLDPLRRSGDGEISDHKTSEEAFHGWVPNKVPKINTSRDVDDEQKEETMSMIRKARVAVRARSEASMISDGCQWRKYGQKVAKGNPCPRAYYRCTMAAGCTVRKQVQRCSEDQAVLITTYEGHHNHPLSPAAMAMASTTSAAASMLLSGSMPSPDGLMNTNLLAKTLACPPGFATISASAPFPTVTLDLTHSPISSSSQSPQGHLLLPSLNFPHNFASALNVSAGALHNQYKVSGLLSPHQGMELPQILPLMADTSVSAATAALTADPNFTAALVAAISSIIGNVQASSNANNSSTIRNSIDNST >Manes.15G068800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5228072:5231352:1 gene:Manes.15G068800.v8.1 transcript:Manes.15G068800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPLGLSFGTDPTSSLIISQPPGINTAWKHDIIIMDPSNDRPLNHCSGDEYRSISNGKRVITEMDFFAVGSCPKQKACIIKKECIDERVEQQNEDDVNIGLNLLTGNSGSCNKSMVEDGTSQTKEVYDQRKKELELLQAEIDHMNEENQLLKGILNQVNRNYYALQMHVVALKQRQQIHKATTVKNEEKQRKGSIFARQFLDLGKAERAEMGEGRSQSTSEERNRDCAVSPNIVESMEHNKSQMISNSSISGVFPVLDPLRRSGDGEISDHKTSEEAFHGWVPNKVPKINTSRDVDDEQKEETMSMIRKARVAVRARSEASMISDGCQWRKYGQKVAKGNPCPRAYYRCTMAAGCTVRKQVQRCSEDQAVLITTYEGHHNHPLSPAAMAMASTTSAAASMLLSGSMPSPDGLMNTNLLAKTLACPPGFATISASAPFPTVTLDLTHSPISSSSQSPQGHLLLPSLNFPHNFASALNVSAGALHNQYKVSGLLSPHQGMELPQILPLMADTSVSAATAALTADPNFTAALVAAISSIIGNVQASSNANNSSTIRNSIDNST >Manes.09G126900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:32994085:32994822:1 gene:Manes.09G126900.v8.1 transcript:Manes.09G126900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGFQKATHMAVLLLLFLALISAAAATDTAPKTHDLDVAIEEMAKANYFTFITLIKMSPLDNKILGNVTFLMPNDRILSKTNILRDSVSEFLLRHSIPSPLLFDHLRHIPSGSTIPSSEPEYILNINNGGRRSFFLNNVKIISPNICTAGSSIRCHGIDGVLSPINKIPPESCSNTSRTPAVVTTPQTPSSRAPIAPPVGDPIQIPVAAPEPVGPKKSGSCMSHEGLLNFLVTLVISIMVFNI >Manes.11G097900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:20909375:20934011:1 gene:Manes.11G097900.v8.1 transcript:Manes.11G097900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTESASWQPQEAGLKEICGLLEHQISPSSSVDKSQIWQQLQHYSQFPDFNNYLVFILTRAEGKSVEIRQAAGLLLKNNLRVAYKSMTMAHQQFIKSELLPCLGAEDRHIRSTVGTIISVLVQIGGISGWPELLQALVNCLDSNNQNHMEGAMDALSKICEDIPQVLDSDVPGLSDRPIKIFLPRFYHFFQSPHSSLRKLALASVNQYIMLMPAALYASMSQYLQGLFTLARDPASEVRKLVCAAFVQLIEVRPVFLEPHIRDVIEYILQVNKDGDDEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMVYADDDESLVDAEEDESLPDRDQDLKPRFHSSRIHGSDGVDDDDDDIVNVWNLRKCSAAALDVLSNVFGDEILPTLMPVVQSKMSATGDEAWKDREAAVLALGAVAEGCINGLYPHLSQIVEFLIPLLDDKFPLIRSISCWTLSRFSKYIVQESGHEKGFQQFDKVLMGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEIILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGAELNQPTYLEILMPPLIGKWQQLSNSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINIIQSQHVAKVDPVSAGVPYDKEFIVCSLDLLSGLAEGLGSGIESLVSQSSLRDLLLQCCTDDASDVRQSAFALLGDLARVCAVHLRPRLPEFLDVAAKQLNTPKLKETVSVANNACWAIGELAVKVHQEISPVVMTVMSCLVPILQHSEELNKSLIENSAITLGRLAWVCPELVSPHMEHFMQSWCIALSTIRDDIEKEDAFRGLCAMVRANPSGALNSLVFMCKAIASWHEIRSEELHNEVCQVLHGYKQMLRNGAWDEYMSALEPPVKEKLSKYQV >Manes.09G124600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32665296:32682684:-1 gene:Manes.09G124600.v8.1 transcript:Manes.09G124600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERAAADRILQNLQSNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRLERLYVNKLNVILVQILKHEWPARWRSFVPDLVAAAKTSETICENCMFILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNVQYVKMYNFFMVQLQTILPPTTNIPEAYSHGSSEEQAFIQNLALFFSSFYKFHIRVLEASPENISALLAGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAVTANVMGLQMPSLHGMIDGIGSQILQRRQLYANPMSKLRMLMVCRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPATVTDLEPHQIHTFYESVGHMIQAEPDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQEVIRAVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIEAFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKSAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALILLSSQQLKLVMDSIIWACRHTERNIAETGLNLQLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATVPYPYPNNAIFVREFTIKLLGTSFPNMTASEVTQFVNGLFESRTDLSVFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Manes.09G124600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32665890:32682521:-1 gene:Manes.09G124600.v8.1 transcript:Manes.09G124600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERAAADRILQNLQSNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRLERLYVNKLNVILVQILKHEWPARWRSFVPDLVAAAKTSETICENCMFILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNVQYVKMYNFFMVQLQTILPPTTNIPEAYSHGSSEEQAFIQNLALFFSSFYKFHIRVLEASPENISALLAGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAVTANVMGLQMPSLHGMIDGIGSQILQRRQLYANPMSKLRMLMVCRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPATVTDLEPHQIHTFYESVGHMIQAEPDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQEVIRAVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIEAFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKSAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALILLSSQQLKLVMDSIIWACRHTERNIAETGLNLQLEMLKNFQVRILQLLSKFMPCSFLIPFVI >Manes.09G124600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32665890:32682521:-1 gene:Manes.09G124600.v8.1 transcript:Manes.09G124600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERAAADRILQNLQSNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRLERLYVNKLNVILVQILKHEWPARWRSFVPDLVAAAKTSETICENCMFILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNVQYVKMYNFFMVQLQTILPPTTNIPEAYSHGSSEEQAFIQNLALFFSSFYKFHIRVLEASPENISALLAGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAVTANVMGLQMPSLHGMIDGIGSQILQRRQLYANPMSKLRMLMVCRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPATVTDLEPHQIHTFYESVGHMIQAEPDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQEVIRAVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIEAFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKSAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALILLSSQQLKLVMDSIIWACRHTERNIAETGLNLQLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATVPYPYPNNAIFVREFTIKLLGTSFPNMTASEVTQFVNGLFESRTDLSVFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Manes.09G124600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32665881:32682521:-1 gene:Manes.09G124600.v8.1 transcript:Manes.09G124600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERAAADRILQNLQSNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRLERLYVNKLNVILVQILKHEWPARWRSFVPDLVAAAKTSETICENCMFILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNVQYVKMYNFFMVQLQTILPPTTNIPEAYSHGSSEEQAFIQNLALFFSSFYKFHIRVLEASPENISALLAGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAVTANVMGLQMPSLHGMIDGIGSQILQRRQLYANPMSKLRMLMVCRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPATVTDLEPHQIHTFYESVGHMIQAEPDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQEVIRAVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIEAFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKSAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALILLSSQQLKLVMDSIIWACRHTERNIAETGLNLQLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATVPYPYPNNAIFVREFTIKLLGTSFPNMTASEVTQFVNGLFESRTDLSVFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Manes.09G124600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32665686:32682527:-1 gene:Manes.09G124600.v8.1 transcript:Manes.09G124600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAMAAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERAAADRILQNLQSNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRLERLYVNKLNVILVQILKHEWPARWRSFVPDLVAAAKTSETICENCMFILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDFYNVQYVKMYNFFMVQLQTILPPTTNIPEAYSHGSSEEQAFIQNLALFFSSFYKFHIRVLEASPENISALLAGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAHHNLDNPAVTANVMGLQMPSLHGMIDGIGSQILQRRQLYANPMSKLRMLMVCRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWSWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPATVTDLEPHQIHTFYESVGHMIQAEPDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQEVIRAVLNILQTNTSVASSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIEAFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKSAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALILLSSQQLKLVMDSIIWACRHTERNIAETGLNLQLEMLKNFQASEFCNQFYRTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATVPYPYPNNAIFVREFTIKLLGTSFPNMTASEDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Manes.09G010401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:2085810:2089953:1 gene:Manes.09G010401.v8.1 transcript:Manes.09G010401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYDLTPRIAPNLDRHLVFPLLEFLQERQLYPEDQIFKSKIELLSKTNMVDYAMDIHKSLYHTEDVPQDMIERRAEVVARLKALEEGAAPLVAFLQNANAVQELRADKQYNLQMLNDRFQIGPKQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQMQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLSTAFIVNKRRRPQFKDFIKVLQQEQQSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEDSNFSTVPMRDEFLENARLFIFETYCRIHQRINMGVLAEKLNLNYEEAERWIANLIRNSKLDAKIDSQSGTVIMEPNQPNVYEQLIDHTKAISGRTYKLVGQLLEHAQAQAAR >Manes.07G135400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:33664572:33667433:-1 gene:Manes.07G135400.v8.1 transcript:Manes.07G135400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINSCFFFVLVLLFASTMSLANAKVHHHEFVIQATKVKRLCKTHNTITVNGMFPGPTLELNNGDSLVVKVTNRARYNVTIHWHGIRQMRTGWADGPEFVTQCPIRPGGSYTYRFTVDGQEGTLWWHAHSSWLRATVYGALIIYPREGDSYPFPKPKRETPILLGEWWDANPIDVVRESTRTGASPNISDAYTINGQPGDLYNCSNKDTVIVPIGSGETNLLRVINAALNQPLFFTIANHKFTVVGADASYLKPVTTSVIMLGPGQTTDVLISGDQPPARYYIAARAYQSAQNAPFDNTTTTAILEYNSAPCPAKCLTSNPIMPQLPAFNDTNTVTAFSQSLKSPRKVEVPTEIDESLFFTIGLGLNRCPKNFRPRRCQGPNGTRFTSSMNNVSFVFPSSFSLLQAYQQNIPGVFTTDFPATPPVKFDYTGNVSRSLWQPATGTKLYKLKYGSRVQIVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFNPKTDTAKFNLVDPPMRNTVAVPSNGWAVIRFVADNPGVWIMHCHLDVHITWGLAMAFLVEDGVGKLQSLEPPPADLPLC >Manes.11G087401.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13918419:13924532:-1 gene:Manes.11G087401.v8.1 transcript:Manes.11G087401.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSPAPFNNGPSSPDDSLSSPIGNTFSSPAVDTSRRNRRRGRSTTPSAFATPPHPPSRFATSESTPTPRRSRSNPRQAAVSTPSSASECAPSSEGGDDMDEATPTFVWGTNISVQDVKSAIQMFLKHFRDNNQNQGTEIFEEGKYMKAIHRVLEIEGEWLDVDAHDVFGYDSDLYTKMVRYPLEVLAIFDIVLMDIVSLINPLFEKHVQVRIYNLKTSTTMRNLNPSDIEKMVSLKGMIIRCSSIIPEIREAIFRCLVCGYFSDPIVVDRGRINEPTICMKQECLARNSMTLVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDTGKPGDRVEVTGIYRAMSVRVGSTQRTVKSLFKTYIDCLHIKKTDKSRMLAEDPMEVDNGSHRVEEDIQFDEAKINQLKELSRQPDIYDRLTKSLAPNIWELDDVKRGLLCQLFGGNALKLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVSKDPETGETVLESGALVLSDRGICCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPIGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRHLAKHIVSLHFENPEIAQQDVLDIATLTAYVSYARKHIHPKLSDEAAEELTRGYVEMRRRGNFPGSTKKVITATPRQIESLIRLSEALARIRLSEWVEKSDVIEAFRLLEVAMQQSATDHSTGTIDMDLITTGVSASERMRRENLVSATRNIIMEKLQLGGPSMRLLELLDELKKQSSGSEVHLQELRNAVATLAGEGFVVFHGDSVKRV >Manes.11G087401.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:13918419:13924532:-1 gene:Manes.11G087401.v8.1 transcript:Manes.11G087401.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSSPAPFNNGPSSPDDSLSSPIGNTFSSPAVDTSRRNRRRGRSTTPSAFATPPHPPSRFATSESTPTPRRSRSNPRQAAVSTPSSASECAPSSEGGDDMDEATPTFVWGTNISVQDVKSAIQMFLKHFRDNNQNQGTEIFEEGKYMKAIHRVLEIEGEWLDVDAHDVFGYDSDLYTKMVRYPLEVLAIFDIVLMDIVSLINPLFEKHVQVRIYNLKTSTTMRNLNPSGRINEPTICMKQECLARNSMTLVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDTGKPGDRVEVTGIYRAMSVRVGSTQRTVKSLFKTYIDCLHIKKTDKSRMLAEDPMEVDNGSHRVEEDIQFDEAKINQLKELSRQPDIYDRLTKSLAPNIWELDDVKRGLLCQLFGGNALKLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVSKDPETGETVLESGALVLSDRGICCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPIGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRHLAKHIVSLHFENPEIAQQDVLDIATLTAYVSYARKHIHPKLSDEAAEELTRGYVEMRRRGNFPGSTKKVITATPRQIESLIRLSEALARIRLSEWVEKSDVIEAFRLLEVAMQQSATDHSTGTIDMDLITTGVSASERMRRENLVSATRNIIMEKLQLGGPSMRLLELLDELKKQSSGSEVHLQELRNAVATLAGEGFVVFHGDSVKRV >Manes.04G096300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:30197490:30199992:1 gene:Manes.04G096300.v8.1 transcript:Manes.04G096300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLSVAKPSLQGNGKGFQEFSGLRNSAAVLPFSKKTSDDFLSIVAFQTSAVGSSNGGYRKTAAEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGIKQASHLLKYDSTLGIFEADVQPAENGISVDGKVIKVVSDRNPVNLPWKDLGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADAYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESAEKELKGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >Manes.14G052000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4415737:4418941:1 gene:Manes.14G052000.v8.1 transcript:Manes.14G052000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNSTKSCKARGSDLRVHFKNTRETAHAIRKLHLAKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLAARKPKSQV >Manes.14G052000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4416897:4418941:1 gene:Manes.14G052000.v8.1 transcript:Manes.14G052000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNEACGPSLIKNTRETAHAIRKLHLAKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLHISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPETQLAARKPKSQV >Manes.13G035500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:4362330:4365503:1 gene:Manes.13G035500.v8.1 transcript:Manes.13G035500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEEDEESMEHTLLVVREVSVYKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGELFAACFVHPGQREASVETVLDSSRYFVLKIEDGRGKHAFIGLGFAERNEAFDFNVALSDHDKYVRREHEKETGETSESDTHIDIHPAVNHRLKEGETIRINVKHKPSTGTGMLSAAGLSGAHSGNGKPKPLGLAPPPNGAGKIRSPLPPPPNDPAAARMTAANNGVGIKAPKENIKRSTDPLSDLSPLERNLPSATSGSTKTSASGWAAF >Manes.01G273200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42645501:42649111:-1 gene:Manes.01G273200.v8.1 transcript:Manes.01G273200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESTGVSSDFNPTPPLLLPSSSDQYTTSSNVAPQFLFQSPTIQFLHNDPVTPSASSSSTPYKRPLLTHHHHRSSSLPKSPTIYHFSTTQQNHPLFSVSVGAKSAAFRFIRRFNNFRRLRVHLRLILLLSLPFFYFLVSHPSHSFLLDFLSAFAFSAALLFSLNLALPRLPSIRLFLSRSFPIKLKSASNISKPPLPVFWSIGSRPKSEKRLNSGCWVQAYSNGDVYEGEFHKGKCSGSGVYYYYLSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGIHTCEDGSRYIGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYCFANGHRYEGAWHEGKRQGLGMYTFRNGETQSGHWQNGMLDVPSTQNTSYPVSTVAVYHSKVLNAVQEARRAAERAYDVAKVDERVNRAVAAANRAANAARVAAVKAVQKQIHHNKTNDNIPIPIV >Manes.11G152600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:31993699:31998764:1 gene:Manes.11G152600.v8.1 transcript:Manes.11G152600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIISEAASSFPDVSQGDFQMYPGGEVVVSTSLEASSISESHLNSLLYSLCLLKDKVHQVQSLVNIILFAPNDHQTQPESTSLATARMGNVIQEIIVTASSMALTCQQMAIASNSAPANNNNTDELHQAAQANKVEENGILQPNFGGNNIGQDREQSFFSSETFESWYGENYNNRSNQIQKVERKGFSQGGTSENTAEGKSFVKNYDIVELDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTNAALSNPMKNSSMGDNNNNELWMKLPRKYSCPQEGCRWNQKHAKFQPLKSMICVKNHYKRSHCPKMYVCKRCNRKQFSVLSDLRTHEKHCGDVKWQCSCGTTFSRKDKLMGHVALFVVGHSPAITSQNHHVD >Manes.14G069200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5784892:5791550:1 gene:Manes.14G069200.v8.1 transcript:Manes.14G069200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKAEEPQPHPPKEQLPNISYCITSPPRWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNLDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRDVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGIGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVHTGERWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.14G069200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5784892:5791608:1 gene:Manes.14G069200.v8.1 transcript:Manes.14G069200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKAEEPQPHPPKEQLPNISYCITSPPRWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNLDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRDVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGIGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVHTGERWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.14G069200.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5784892:5791009:1 gene:Manes.14G069200.v8.1 transcript:Manes.14G069200.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKAEEPQPHPPKEQLPNISYCITSPPRWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNLDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRDVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGIGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVHTGERWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.14G069200.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5784892:5791271:1 gene:Manes.14G069200.v8.1 transcript:Manes.14G069200.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKAEEPQPHPPKEQLPNISYCITSPPRWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNLDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRDVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGIGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVHTGERWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.14G069200.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5784892:5791549:1 gene:Manes.14G069200.v8.1 transcript:Manes.14G069200.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKAEEPQPHPPKEQLPNISYCITSPPRWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNLDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRDVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGIGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVHTGERWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.14G069200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5784892:5791009:1 gene:Manes.14G069200.v8.1 transcript:Manes.14G069200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKAEEPQPHPPKEQLPNISYCITSPPRWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNLDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRDVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGIGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVHTGERWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.14G069200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5785592:5791009:1 gene:Manes.14G069200.v8.1 transcript:Manes.14G069200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGGKAEEPQPHPPKEQLPNISYCITSPPRWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDNLDPVERFKKIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRDVFDRFAVIFSVVIVWIYAHLLTVGGAYNGAAPKTQNTCRTDRSGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGIGWQGVAILLSGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGVGGLSFLQFCNLNSFRTKFILGFSIFLGLSVPQYFNEYTAINGYGPVHTGERWFNDIVNVPFSSEAFVAGCVAYFLDNTLHRKDSAIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >Manes.06G015700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:2631660:2635007:1 gene:Manes.06G015700.v8.1 transcript:Manes.06G015700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESEAYPKKHSQQAQTKYKLKLLVLVILTNLLTIYIFTGSSFNLNLSDYTNHIPFPVRDSTDLLHQLNSTRDQLAASHLLIAELHQKLNSTNLLVEALLIELSSNQHESLADQKMSRLMKFSDKSGIAVSDELMMAVSPHKLPLGYSPRVGSDEVYPPVGAGCLKYQEELSQYMTYEAGGECPIDDVFAQRLMMRGCEPLPRRRCRPKSPANYEEPTSLPQSLWSTPSDNSIVWDPYTCKSYKCLIERKNMPGYFDCKDCFDLQGREKRRWMFDNGGLDYSIDQVLKAKPHGTIRIGLDIGGGTGTFAARMKERNITIITSSMNLDGPFNSFIASRGLIPIHVSVSQRLPFFENTLDIVHSMHILSNWIPDATLEFTLYDIYRVLRPGGLFWLDHFFCLGSQLNQTYIPMFDRVGFKKLRWNNGMKLDRGVHKNEWYFSALLEKPMT >Manes.14G122600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9685270:9689293:1 gene:Manes.14G122600.v8.1 transcript:Manes.14G122600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSAEEDKSTTKSSTMWSGSTLMAPPTLRKPSTALATPKIIVKSQNKTKLQNSASKTITFPAGTTFPSVLSEEPGPPALVGLTSVVIEEYDPARPNDYEEYRREKKRKALEAERMRELERRRHEEEERERERDSNISGEEAWRRRAVMSSAVPRSPSPSSNGDGFTIGKSETGGLGVGAGGQMTAAQRMMAKMGWKEGPGLGKKEQGITTPLMAKNIDRRAGVIVNASERRPEKKVKSVNFNGPPTRVLMLRNMVGPGEVDDELEEVVGSECAKYGTVTRVLIFEITESNFPSEEAVRIFVQFERSEETTKALVDLDGRYFGGNVVRATFYDEEGSARTNWLPCPVNSQVFDICLFQ >Manes.08G083970.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:19041719:19042306:1 gene:Manes.08G083970.v8.1 transcript:Manes.08G083970.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQDKEFLQWPKPLKSEADQRNPDKYCQYHRTHGHDTNNCFQLIAEIERLIKRGHLKNFVKKPEGQRPRPGPAAQMPRRTGAGPVNDGSSGTINMIVGGTGGRMNRRGKKRNREGDTSNGEVMQIVEHSPMTIAFSSEDAQGIQMPHDDALVIEAIIHNFRVKKVLVDDGSKVNLLPYRVFQQMGIPEEQLVRD >Manes.17G000183.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:425787:430949:1 gene:Manes.17G000183.v8.1 transcript:Manes.17G000183.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSEMTVPGLYDSSSLFHPVRGRSFDDSEPFISTNKQTGRAVLPDNNLLKSFAADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.17G000183.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:425658:430949:1 gene:Manes.17G000183.v8.1 transcript:Manes.17G000183.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSETGRAVLPDNNLLKSFAADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.17G000183.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:425068:431021:1 gene:Manes.17G000183.v8.1 transcript:Manes.17G000183.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMGRQGQRSGATAVHHQRQFSDNFLDTSSNGRWLQSAGLQHLQNSSASSIPHLQDYNFYGGVGGGELGQGMRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSETGRAVLPDNNLLKSFAADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.17G000183.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:425068:431013:1 gene:Manes.17G000183.v8.1 transcript:Manes.17G000183.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMGRQGQRSGATAVHHQRQFSDNFLDTSSNGRWLQSAGLQHLQNSSASSIPHLQDYNFYGGVGGGELGQGMRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSEMTVPGLYDSSSLFHPVRGRSFDDSEPFISTNKQTGRAVLPDNNLLKSFAADKEKTSSVAKIKVVVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.17G000183.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:425658:430949:1 gene:Manes.17G000183.v8.1 transcript:Manes.17G000183.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSEMTVPGLYDSSSLFHPVRGRSFDDSEPFISTNKQTGRAVLPDNNLLKSFAADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.17G000183.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:425068:430949:1 gene:Manes.17G000183.v8.1 transcript:Manes.17G000183.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMGRQGQRSGATAVHHQRQFSDNFLDTSSNGRWLQSAGLQHLQNSSASSIPHLQDYNFYGGVGGGELGQGMRMYRNAQRSSNVTNEFYAEPTTPPASSRPSSQRKNGEESPNVFSPGLLDLQSFDTELLSEMTVPGLYDSSSLFHPVRGRSFDDSEPFISTNKQTGRAVLPDNNLLKSFAADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIETYSNALTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFERTKATCFAYGQTGSGKTYTMNPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVESIKDLIEKGNATRSTGTTGANEESSRSHAILQLAIKRSIDGTESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNAPKKDILSSTLNLKESTTMPLSSGLPATSIFEDEITDAWAEEDERDDFDASEDSYEQEKPTRKENVKVESYNLSTSEDKIRKPNGQTKWKDLSKSDLKNSYSDDLNALLQEEEDLVNAHREKVEETMNIVREEMNLLVEADQPGNQLDNYITRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSAY >Manes.01G159800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34510133:34513304:-1 gene:Manes.01G159800.v8.1 transcript:Manes.01G159800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACSSWTLMVAKLFFFIILLVLLDAESTVTAAPSSTMDDSLPQHYGRKVLANGLGLTPPMGWNSWNHFSCNIEDKLIRETADAMVSSGLAALGYHYVNLDDCWAELNRDSQGNLVPKASAFPSGIKALADYVHEKGLKLGIYSDAGYQTCSRTMPGSLGYEEQDAKTFASWGIDYLKYDNCNNDGSSPKVRYPVMSKALLNSGRPIFFSLCEWGEEDPATWASAIGNSWRTTGDISDKWESMISRADENDKWASHAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRSMSNETYEILSNKEVIAVNQDKLGVQGKKVRQDGDLEVWGGPVSDGRVAVVLWNRGSSKATVTAYWSDIGLQPTAVVDARDLWEHKTQASVKGQISADLESHACKMYVLTPQKHAGTQN >Manes.16G020200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:2239845:2242838:-1 gene:Manes.16G020200.v8.1 transcript:Manes.16G020200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDNPREEQSSSASSSTSSLRQAIEVVTSLISFSYGIRAFAVKWQMLRNKLEELHASLIAIENCDSTQNPILSGLVSAIILAANNCHDLARRCMDLSYSGKLLMQSDLDVMSAKFDRLVKNLSEICTAGVLTQGFAIVVSKPVLNACKEDMRFYVRDLLTRMKVGDTEMKRQALGNLHEVVVEDERYFRVIVEVGDIVHVLVNLLDSPEIEIQEEAAKVVSVISGFDSCKAVLIGSGVIGPLVRVLESGSELGKEAAARSLQKLTQNSDNAWSVSAHGGVTALLKICSNGDSRGELIGPACGILRNLVGVEEIKRFMIEEGAVSSFIKLARTRDEAVQISSIEFLQNIAAGDESVRQLVVKDGGIRALVHLLDPKISSTYKSREVALRAIENLCFSSPTCISILTSYGFIDRLLFFLRNGDVSVQELALKVACRLSGTSEEAKKAMGDAGFMSEFVRFLDAKSFEVREMASEALTSMVSVPRNRKRFVQDDGNISILLQLLDHEEANSGNKKFLISILISLTSSNSGRRKIVNSGYLKNIEKLAEAEVADAKRLVRKLSTNRFRSLLSGLWHS >Manes.02G032648.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2683696:2686777:-1 gene:Manes.02G032648.v8.1 transcript:Manes.02G032648.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYVWLISFFFLIALLVIIVFQLMCLADLEFDYINPYDSSSRINKVILPEYAAEGVLCLFYLLTGHWCMSLLCVPYLYYNVRLYTRRQHLVDVTEIFNLLSWEKKQRLFKLFYLIFLLFLTIFWMILTALEDHDLE >Manes.18G038500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3403550:3409776:-1 gene:Manes.18G038500.v8.1 transcript:Manes.18G038500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEEVVIASSSIDGGIGCWDLQTGAEHLRYKSCASTPHGLTCVGNRFLASSQLRDPHASSGSILYWSWSKAQVEVKSFPEEPINPLVANSEGAYIVGGGLSGNIYLWEVATGRLLKKWRAHLRAVTCLVFTEDDSFVISGSEDGSVKVWSLIMIFDDYQTDQASYLFVHSFQEHTLRVTDIVTGYGGGNAIIISASQDRTCKVWSLSKGILLRNVVFPSVIDAIALDPGEHVFYAGSRDGKIYIAALNADSSSSKSHWLHIVGSLSSHSKPVTCLEYSANGNLLLAGSEDGMIRVWDPKSHNIVRTFKHAKGPVNNIQIVRRPLYLNPRVSSNVQSSSRRHGSLLPPALDKYVNTTDKNTGINAVIRPQSTYDSPLDASYLSCQVIDSQIQELKQQGSAAAAEMEVERLKLDCMQYMQMLQKWKKMYDNLHELCVNEILEGDK >Manes.10G105128.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:25349228:25353967:1 gene:Manes.10G105128.v8.1 transcript:Manes.10G105128.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLHLLLTSTRSPNIFLGVKQREGRASPGFSSRQDNLKDNIALNSEGLTTRIKQMLNKVELSVSSYDTAWVAMVPSMDSSKHPCFPKCLNWVLENQQLDGSWGLDPTHPLLIKDSLTSTLACVFALHKWNVGQRLVNKGLDFIVSNIWAATDKHQFSPLGFDIIFPGMIESANNIGLNLSLNQSSMKAMLLKQDLEIKSLRGKTRNLAYFAEGLTQSCNWKEIMKYQRMNGSLFNSPSTTAAAFIHLHDEKCFEYLDSLVKKFENAVPTVYPLDLYARLSVVDELQKLGIDRYFREEIEIILGEVYRQWMLGSEEINSDPRCCAMGFRLLRMNGYEISADGLSNYEEQEKLLYANDTKSILELFKASQLTVSADEPVLDRIFAWTSTYLNEELVNGAISEKNLQAEVEYASKNPHASLERIENRIYIENYNADNISLLKTAYRFCNVDYRDLLEFSVQDFNLCQSIHRKELEDLEGWMKKYNINNLKFTRQTIGFAHFAIASTFFQPNFHLTRMSWTKNSVLAAAVDDFFDFAGSMEELLNLIELTERWNQHSTIEYKSKEVEILFCAIYGTTNELAAKARLQQGRCIKRHLIDIWITFLKSNLKEAEWARNKSVPTMDEYLSNGCISFGLGPMILISLYFLEPLSEEVITSEEYKTLFIYTSLIARLINDRMTVQRESTQGKLNSVSLQIVHNDKAITEEDAQEEVKRLIESHRRELQRMVLTKEGSIVPKACKDLFWRMNKILHLFYMGGDGFSSPSKMVSSVNAIIDEPIIVP >Manes.08G133300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37033507:37037112:-1 gene:Manes.08G133300.v8.1 transcript:Manes.08G133300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQTVVIPRVKLGSQGLEVSKLGFGCMGLSGMYNAPLSEEVGISIIKEALNRGITFFDTADVYGPHTNEILVGKALKQLPRDKIQLATKCGVVLKSLDFRGASISGNPEYVRACCEASLKRLDVEYIDLYYQHRIDTSVPIEETMGELKKLVEEGKIKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWTRDIEPEIVPLCRELGIAVVAYSPLGRGFFGGKAVVESLPAETLLKTHPRFTAENVEKNKVFYTRIGNLAKKHGCSPAQLALAWVLNQGDDVVPIPGTTKIRNLVDNIGALRIKLTKDELKEISDAVPIDEVAGVRSYNFSHTFKFADTPLPKNA >Manes.02G220960.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:22451956:22452681:1 gene:Manes.02G220960.v8.1 transcript:Manes.02G220960.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVCCSLLFCICFVASRLLFCGGFTWFLAAGLAVGIWKEEEIFASREKVKTDAIFRPLIDEELRKKRTDSWFKAVERTFSLADLSI >Manes.04G029000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:3451023:3454516:-1 gene:Manes.04G029000.v8.1 transcript:Manes.04G029000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYSGLKFFLCVLSILSLACLCCGFNPVDSYLIDCGSSSETKVDNRVFLADNSTSNSLSTPKTIFAKSSATVSSSDDSLLYQTARILDGTSKYSFSIQQPGRHWIRLYFYPFVHDSYDMSSAIFDVSTQDHVLMSNFRVKSNVVKEFSVNVTSSSLEITFTPINNTFAFLNALEVVSVPDALITDGASTFNPLGNFQGMFSQALETIFRVNMGGPTILPKNDTLGRTWVPDQDFLINKNSATSVSRINAVKYVNGGATPDIAPNAVYGTATVMNSPEAPGSIFNVTWQFNVDPGYRYLVRFHFCDIVSTGLNDLYFDVYIDSWIVAKDLDPSILTFNTLAGALYLDFVTALTVSNKLHVSVGPSSLPNVQPNAILNGLEIMKMNNSQGSLSGSVPLLSDSSSKKNVGVIVGVVIGGIIAVVLAAIIFMLCRKRKKLARQGISKTWIPLSISGGHSHTVGSKYSNGTTVSIDSNLGYRIPFVAVQEATNNFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILVYEYMENGTLKGHLYGSGCRSLTWKERLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKKGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLEQIIDPTLVGKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQDVVVPGDPEENSTYMIGELSPQINNFSQIDTSTAQFEAASVDDLSGVSMSKVFSQLVKSEGR >Manes.16G061900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:24323409:24325266:-1 gene:Manes.16G061900.v8.1 transcript:Manes.16G061900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSLVKTRNSEILITRDLLGGLSKVGNSAPLDLDLRLPKSCSEIPLHLQTAGMVYPQRCSSPNTPLSLLFEKRNSNKFPESTIQDNTKESPPLKFLEESCLELKLHPSSHCQSVCTLDKVKSALQRAEKETNSKKRSSQTELELRDQNDSVEKEEKEEKEIKGDKGMFAAGCPGCLMYVMILKANPKCPRCNSTVPSPFLLKKPRIDLNA >Manes.16G061900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:24323409:24325266:-1 gene:Manes.16G061900.v8.1 transcript:Manes.16G061900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAGMVYPQRCSSPNTPLSLLFEKRNSNKFPESTIQDNTKESPPLKFLEESCLELKLHPSSHCQSVCTLDKVKSALQRAEKETNSKKRSSQTELELRDQNDSVEKEEKEEKEIKGDKGMFAAGCPGCLMYVMILKANPKCPRCNSTVPSPFLLKKPRIDLNA >Manes.03G100100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22352796:22360163:-1 gene:Manes.03G100100.v8.1 transcript:Manes.03G100100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQTADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSNNFLVNLLGKWKESEYSGGQSVPVGGIAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGF >Manes.16G115300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32022824:32028291:-1 gene:Manes.16G115300.v8.1 transcript:Manes.16G115300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSPTSPPSTNTTAPPPSTNTTAPPPATPAAPPPTTPAAPPPSTPASPPPATPSAPPPATPSPPATSPPPTSSSSPSPPSLSPPAPSPPTSTSPPSSSTPSPPSPPSTTPSPPSRSGTPSTPATRSPPPPSSANAPPTGSSGISTGVVVGIAIGGVAILLVLSLLCLCCRKKRRRSDHEAAYYVPPPPPGPKDGPYGGQQQHWQQNVPPPPDRVFTSMPKPNPPPPVASRPPHPPERVSMTSPPPPPPFMSSSGGSGSNYSGSENPLPPPSPGLALGFSKSTFSYEELARATDGFSNANLLGQGGFGYVHRGVLPNGKEVAVKQLKAGSGQGEREFQAEIEIISRVHHKHLVSLVGYCITGSQRLLVYEFVPNNTLEFHLHGKGRPTMDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLEFKFEAKVADFGLAKFSSDANTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDSTQSFMEDSLVDWARPLLTRALEDGNFDTLADPKLGTSYDHNEMARMVACGAACVRHSARRRPRMSQVVRALEGDVALSDLNEGIRPGHSSVYSYGSSDYDTSQYNEDMKKFRKMALGSQEYGASSEYSGPTSDYGLYPSGSSSEGHNTREMEMGKMKKNSQGFSGSS >Manes.16G115300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32022824:32028291:-1 gene:Manes.16G115300.v8.1 transcript:Manes.16G115300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSPTSPPSTNTTAPPPSTNTTAPPPATPAAPPPTTPAAPPPSTPASPPPATPSAPPPATPSPPATSPPPTSSSSPSPPSLSPPAPSPPTSTSPPSSSTPSPPSPPSTTPSPPSRSGTPSTPATRSPPPPSSANAPPTGSSGISTGVVVGIAIGGVAILLVLSLLCLCCRKKRRRSDHEAAYYVPPPPPGPKDGPYGGQQQHWQQNVPPPPDRVFTSMPKPNPPPPVASRPPHPPERVSMTSPPPPPPFMSSSGGSGSNYSGSENPLPPPSPGLALGFSKSTFSYEELARATDGFSNANLLGQGGFGYVHRGVLPNGKEVAVKQLKAGSGQGEREFQAEIEIISRVHHKHLVSLVGYCITGSQRLLVYEFVPNNTLEFHLHGKGRPTMDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKAANILLEFKFEAKVADFGLAKFSSDANTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDSTQSFMEDSLVDWARPLLTRALEDGNFDTLADPKLGTSYDHNEMARMVACGAACVRHSARRRPRMSQVIILEFKIKYQLSGVN >Manes.10G035100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3534737:3543750:1 gene:Manes.10G035100.v8.1 transcript:Manes.10G035100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQKMGDWISSLPDGILFHIISSLPFESAVQTIFLSKRWKLLWETALVQHGTEKDVAEAICDFLANFNEQDPSKNTRKFQFHFINGCVLLAIIAPNSKLHLDFSSGNHEFDRQFKLKLEFNNPQNLSLSQPSPSLACFSVKSLHLISVNHLTNDAVSSILQNFFSLETLKITGCKCLQSLSIGSDTKLLNLIIFECLQLEFLHIRSFKLRTFRFRGLLPSFWLEYHHNLVDAYLDFRQGPKYNSFSSCNFDLVLLTIKNVKALTLCKWTFQALICQSLTTFLSEFQFYNLKELWWIDNSDQDYDGDALISFLKLCPSLEKLFVTIDPKGYFVEKAAKCSMKAGKNTKLQHLKLVKLDGFTNEADEILLAERLGEIVTSEPLILTSANGICFKKLIQQKGSHERKCGYKSVEVKDKNQLCPKHVHMSL >Manes.10G035100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3535051:3543751:1 gene:Manes.10G035100.v8.1 transcript:Manes.10G035100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQKMGDWISSLPDGILFHIISSLPFESAVQTIFLSKRWKLLWETALVQHGTEKDVAEAICDFLANFNEQDPSKNTRKFQFHFINGCVLLAIIAPNSKLHLDFSSGNHEFDRQFKLKLEFNNPQNLSLSQPSPSLACFSVKSLHLISVNHLTNDAVSSILQNFFSLETLKITGCKCLQSLSIGSDTKLLNLIIFECLQLEFLHIRSFKLRTFRFRGLLPSFWLEYHHNLVDAYLDFRQGPKYNSFSSCNFDLVLLTIKNVKALTLCKWTFQALICQSLTTFLSEFQFYNLKELWWIDNSDQDYDGDALISFLKLCPSLEKLFVTIDPKGYFVEKAAKCSMKAGKNTKLQHLKLVKLDGFTNEADEILLAERLGEIVTSEPLILTSANGICFKKLIQQKGSHERKCGYKSVEVKDKNQLCPKHVHMSL >Manes.10G035100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3541213:3543750:1 gene:Manes.10G035100.v8.1 transcript:Manes.10G035100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQKMGDWISSLPDGILFHIISSLPFESAVQTIFLSKRWKLLWETALVQHGTEKDVAEAICDFLANFNEQDPSKNTRKFQFHFINGCVLLAIIAPNSKLHLDFSSGNHEFDRQFKLKLEFNNPQNLSLSQPSPSLACFSVKSLHLISVNHLTNDAVSSILQNFFSLETLKITGCKCLQSLSIGSDTKLLNLIIFECLQLEFLHIRSFKLRTFRFRGLLPSFWLEYHHNLVDAYLDFRQGPKYNSFSSCNFDLVLLTIKNVKALTLCKWTFQALICQSLTTFLSEFQFYNLKELWWIDNSDQDYDGDALISFLKLCPSLEKLFVTIDPKGYFVEKAAKCSMKAGKNTKLQHLKLVKLDGFTNEADEILLAERLGEIVTSEPLILTSANGICFKKLIQQKGSHERKCGYKSVEVKDKNQLCPKHVHMSL >Manes.10G035100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3536928:3543750:1 gene:Manes.10G035100.v8.1 transcript:Manes.10G035100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQKMGDWISSLPDGILFHIISSLPFESAVQTIFLSKRWKLLWETALVQHGTEKDVAEAICDFLANFNEQDPSKNTRKFQFHFINGCVLLAIIAPNSKLHLDFSSGNHEFDRQFKLKLEFNNPQNLSLSQPSPSLACFSVKSLHLISVNHLTNDAVSSILQNFFSLETLKITGCKCLQSLSIGSDTKLLNLIIFECLQLEFLHIRSFKLRTFRFRGLLPSFWLEYHHNLVDAYLDFRQGPKYNSFSSCNFDLVLLTIKNVKALTLCKWTFQALICQSLTTFLSEFQFYNLKELWWIDNSDQDYDGDALISFLKLCPSLEKLFVTIDPKGYFVEKAAKCSMKAGKNTKLQHLKLVKLDGFTNEADEILLAERLGEIVTSEPLILTSANGICFKKLIQQKGSHERKCGYKSVEVKDKNQLCPKHVHMSL >Manes.10G035100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3536928:3543750:1 gene:Manes.10G035100.v8.1 transcript:Manes.10G035100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQKMGDWISSLPDGILFHIISSLPFESAVQTIFLSKRWKLLWETALVQHGTEKDVAEAICDFLANFNEQDPSKNTRKFQFHFINGCVLLAIIAPNSKLHLDFSSGNHEFDRQFKLKLEFNNPQNLSLSQPSPSLACFSVKSLHLISVNHLTNDAVSSILQNFFSLETLKITGCKCLQSLSIGSDTKLLNLIIFECLQLEFLHIRSFKLRTFRFRGLLPSFWLEYHHNLVDAYLDFRQGPKYNSFSSCNFDLVLLTIKNVKALTLCKWTFQALICQSLTTFLSEFQFYNLKELWWIDNSDQDYDGDALISFLKLCPSLEKLFVTIDPKGYFVEKAAKCSMKAGKNTKLQHLKLVKLDGFTNEADEILLAERLGEIVTSEPLILTSANGICFKKLIQQKGSHERKCGYKSVEVKDKNQLCPKHVHMSL >Manes.10G035100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3535047:3543750:1 gene:Manes.10G035100.v8.1 transcript:Manes.10G035100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQKMGDWISSLPDGILFHIISSLPFESAVQTIFLSKRWKLLWETALVQHGTEKDVAEAICDFLANFNEQDPSKNTRKFQFHFINGCVLLAIIAPNSKLHLDFSSGNHEFDRQFKLKLEFNNPQNLSLSQPSPSLACFSVKSLHLISVNHLTNDAVSSILQNFFSLETLKITGCKCLQSLSIGSDTKLLNLIIFECLQLEFLHIRSFKLRTFRFRGLLPSFWLEYHHNLVDAYLDFRQGPKYNSFSSCNFDLVLLTIKNVKALTLCKWTFQALICQSLTTFLSEFQFYNLKELWWIDNSDQDYDGDALISFLKLCPSLEKLFVTIDPKGYFVEKAAKCSMKAGKNTKLQHLKLVKLDGFTNEADEILLAERLGEIVTSEPLILTSANGICFKKLIQQKGSHERKCGYKSVEVKDKNQLCPKHVHMSL >Manes.10G035100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3536931:3543750:1 gene:Manes.10G035100.v8.1 transcript:Manes.10G035100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQKMGDWISSLPDGILFHIISSLPFESAVQTIFLSKRWKLLWETALVQHGTEKDVAEAICDFLANFNEQDPSKNTRKFQFHFINGCVLLAIIAPNSKLHLDFSSGNHEFDRQFKLKLEFNNPQNLSLSQPSPSLACFSVKSLHLISVNHLTNDAVSSILQNFFSLETLKITGCKCLQSLSIGSDTKLLNLIIFECLQLEFLHIRSFKLRTFRFRGLLPSFWLEYHHNLVDAYLDFRQGPKYNSFSSCNFDLVLLTIKNVKALTLCKWTFQALICQSLTTFLSEFQFYNLKELWWIDNSDQDYDGDALISFLKLCPSLEKLFVTIDPKGYFVEKAAKCSMKAGKNTKLQHLKLVKLDGFTNEADEILLAERLGEIVTSEPLILTSANGICFKKLIQQKGSHERKCGYKSVEVKDKNQLCPKHVHMSL >Manes.10G035100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3534737:3543750:1 gene:Manes.10G035100.v8.1 transcript:Manes.10G035100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQKMGDWISSLPDGILFHIISSLPFESAVQTIFLSKRWKLLWETALVQHGTEKDVAEAICDFLANFNEQDPSKNTRKFQFHFINGCVLLAIIAPNSKLHLDFSSGNHEFDRQFKLKLEFNNPQNLSLSQPSPSLACFSVKSLHLISVNHLTNDAVSSILQNFFSLETLKITGCKCLQSLSIGSDTKLLNLIIFECLQLEFLHIRSFKLRTFRFRGLLPSFWLEYHHNLVDAYLDFRQGPKYNSFSSCNFDLVLLTIKNVKALTLCKWTFQALICQSLTTFLSEFQFYNLKELWWIDNSDQDYDGDALISFLKLCPSLEKLFVTIDPKGYFVEKAAKCSMKAGKNTKLQHLKLVKLDGFTNEADEILLAERLGEIVTSEPLILTSANGICFKKLIQQKGSHERKCGYKSVEVKDKNQLCPKHVHMSL >Manes.09G038700.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7232850:7233380:-1 gene:Manes.09G038700.v8.1 transcript:Manes.09G038700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFWHSLLLRRPCIKHFSHSHPLRPVDVKEEEELVCSGCELDLSGSAYKCSKSNCEFSLHKSCFELPGELEHNTHSQHLLTLLPSPPKHDSKFTCDACGDYGTVFTYHANSISMLDVLSCPTPSNMWIMITHLHSSIPPPWEKAAQPSLVMLVRKMFLKAIGSTIAQIAIMAPI >Manes.12G083500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12213449:12218976:1 gene:Manes.12G083500.v8.1 transcript:Manes.12G083500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKLQKEHGQIVGAARELFSSRVLLLSLCVPPSLAQTCLGHTFSSNQVFTACSDLPVLSSFLYWNYYPSNLTADIAFRKAGASTNTWVAWALNPSGQQMVVGSQAILAFHNSSGVPTAYTTPITSFSPSMQPGNLSFHVSNLKAEYSNGDMILFATLYLTSSLISTNQVWQEGTMRGTSFNSHAMDSENSASVGTINFETGATVAGSVETSTKKNVHGALNAVSWGVLMPMGIMMARYLNLFKVANPAWFYLHAACQSSAYIIGVAGWGTGLKLGSDSPGIKYTKHRNIGTTLFCFATLQVFALLLRPKPDHKYRLYWNIYHHSIGYATIILSIINIYEGFDILDPEKKWKKIYTGIIIFLGALAALLEVITWIIVLRRKKAVTSNKHVNGSA >Manes.18G117901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:12197831:12238653:-1 gene:Manes.18G117901.v8.1 transcript:Manes.18G117901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKANPGAPEETSPDSLKNTPSNIARLEDVIEHCKGRQKYLAQTRSPSDGSDVRWYFCKVPLAENELAASIPRTETVGKGDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPGSRSVSREKLDMQKSVESAQAAQLYEAEEERVGVPVKGGLYEVDLVKRRCFPVYWNGENRRVLRGHWFARKAGLDWLPLREDVAEQLEIAYRRQVWRRRRFQPTGLFAARIDLQGSTPGLHALFTGEDDTWEAWLNVDTSGFSSIISLSRNGIKLRRGYSASHSAKPTQDELRQQQEEEMDDYCSQVPVRHVVFMVHGIGQRLEKSNLVDDVGNFRHITASLAERHLTSHQRSAQRVLFIPCQWRKGLKLSGETAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYCQDIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMDWMFKEHAHARSDQSAIDTSNQCSASDSSTNLEGNNPSINGAMEKANPAHGEMTSEQSTSVCTDGRALDFCAIPNQLVDNFEELPATAVDSKQRSERSDLELGNDSSDVLSQGMDELVEAAGVKSDDHISGFAKMVAEDDSCISNSSSNKDKTIKLLREEIDSLRARIAELESQSSIKDIHGGLHQENTVDATAVKQQMSEKLPCVTDDEPKSFTPYIKYTKLEFKVDTFFAVGSPLGVFLALRNIRIGIGRGQEYWEENINEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGKRLHIGFQEFTEDLAARSQAVMDHLNFVKFKQVKVRTVCQSRSMDGLEERAENVHEKEERTYGSLMMERLTGSEEGRIDHTLQDKTFEHPYLQAIGSHTNYWRDCDTALFILKHLYKDIPEDPNSLQGSSGHNLKDESSSTGWIDRSEIKEEELPLTFSNRMMVKNFSRKAKKFMKMN >Manes.05G063100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5285559:5288252:-1 gene:Manes.05G063100.v8.1 transcript:Manes.05G063100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRKYKASRHKVKVSLPKKNPRVFKPAFNLPPKLRSISNADYSKWDDKASVIQNYKSFGVVSNPNFLGIRSRTSHIIQNESLQVPDPPKSEGAADEFEPFDSGSDLEEDDLKSALGKKRRDGKSAAPQPLTTIQRVHIGRLIEKYGDNYQVMFMDSKLNVMQHSIATLEKLCKRYHSYKDKSPLILS >Manes.06G149100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27760832:27763628:1 gene:Manes.06G149100.v8.1 transcript:Manes.06G149100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLLYLLEPAPITLILTAVTVTFGSAFRALNHGKEMERNRDLSEASITLDKSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLSPFVAYLKSHYGLADPFISRCCSKSFTRIQGLLLLTCSLTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLACLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGAVPGGNGADFMMLGLGDMAIPAMLLALVLCFDYRKSRDPVNLLDMHSSKGHKYICYALPGYAIGLVTALAAGILTRSPQPALLYLVPSTLGPVIMISWVRRELAELWEGSMPNLNDKARQIEV >Manes.06G149100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27759835:27764025:1 gene:Manes.06G149100.v8.1 transcript:Manes.06G149100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLLYLLEPAPITLILTAVTVTFGSAFRALNHGKEMERNRDLSEASITLDKSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLSPFVAYLKSHYGLADPFISRCCSKSFTRIQGLLLLTCSLTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLACLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGAVPGGNGADFMMLGLGDMAIPAMLLALVLCFDYRKSRDPVNLLDMHSSKGHKYICYALPGYAIGLVTALAAGILTRSPQPALLYLVPSTLGPVIMISWVRRELAELWEGSMPNLNDKARQIEV >Manes.06G149100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27759969:27763591:1 gene:Manes.06G149100.v8.1 transcript:Manes.06G149100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLLYLLEPAPITLILTAVTVTFGSAFRALNHGKEMERNRDLSEASITLDKSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLSPFVAYLKSHYGLADPFISRCCSKSFTRIQGLLLLTCSLTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLACLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGAVPGGNGADFMMLGLGDMAIPAMLLALVLCFDYRKSRDPVNLLDMHSSKGHKYICYALPGYAIGLVTALAAGILTRSPQPALLYLVPSTLGPVIMISWVRRELAELWEGSMPNLNDKARQIEV >Manes.06G149100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27759969:27764026:1 gene:Manes.06G149100.v8.1 transcript:Manes.06G149100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLLYLLEPAPITLILTAVTVTFGSAFRALNHGKEMERNRDLSEASITLDKSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLSPFVAYLKSHYGLADPFISRCCSKSFTRIQGLLLLTCSLTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLACLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGGAVPGGNGADFMMLGLGDMV >Manes.14G068800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5708792:5726532:-1 gene:Manes.14G068800.v8.1 transcript:Manes.14G068800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRACEGRNRGRHMWTGPNRCNSVVAGDVSSPSSSLSPANSFCKDGRKITVGDCALFKPSQDSLPFIGIIRWFTAGKENELKLCVNWLYRPSEVKLGKGILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLAKGVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDQLLYRTSIEMHATVQSGGRSPKPMNGPMSTSQLKPGSDSVHNSASSFPSQVKGKKRERGDQGPEPIKRERSSKMDDGDPGYSSSESIWRSEISKFTEKGGLVDFEGVKKLVQLMLPEKNEKRIDLVGRSVLAGVIAATEKFDCLNQFVQLRGLPVLDEWLQEVHKGKIGDGSSPKDSDKSTEVFLLVLLRALDKLPVNLHALQMCNIGKSVNHLRTHKNLEIQKKARTLVDTWKKRVEAEMDAKSGSNQAVSWAARSRLPEVSHGGSRHLGASSEIAMKSSAAQIPASKNAPVKLVLGETTTKSASASPGSVKSSPSPASVGNNLREGQTRNTGGSGGSDPPLTATGDEKSSSSSQSHNNSQSCSSDHAKAGGHSVKEDARSSTAVSVSANKIIGGSSRHRKSMNGFPGPTSSGVQKETGSSRNSSLHKSPGSEKLPQPNLTCEKMVDVPVVEGNNHKLIVKLSNRGRSPARTASGGSFEDPSVMNSRVSSPVLSEKHDQFDRNVKEKSDAHRANTIADVNTESWQSNDFKEVLTGSDEGDGSPSAVPDVDNCRTSNDARKLAEVSKAASSSSGNERKSGKLHEASFSSINAFIESCVKYSETNASLFIGDDVGMNLLASVATGEMSKSDVASPLPSPQRNITVAEHSCTSTDSKIKSYPGDKLMSDQVQVVDDEHEKQSIITSNSLAKNMEVKPSLLSESKLTGDVNGHLNSSSMNLQQIAKPCLEGKVKSEESLIGTSSAVPPASMAEKTSNDDGIDTREEKACGTSSAGGVSDTKEGLCNPFELEDKFNVSGVVGSEAVVGSSPYPSLEVDSENRNNELNISGQEEEKPPAMMHSNTEGSAGDMQHPSDSNKDIVSGNVGEAKAEKADETDTRSLSTGKPKTEEGNVGSAISDQKGGCVESLEGNQSNEQHSGSPLPSHQLSLTVVQEPELQARSRGSKLTGTEVDETEECTSATADAVPSSAAGESDMEAKVEFDLNEGFSGDGGPNNSGAPECSNAVQLVNPLPLPVSSGLPSSITVASAAKGPFIPPDDLLRNKGELGWKGSAATSAFRPAEPRKALEMVSTTSASLPDAPAAKPSRRPLDIDLNIPDERILDDMASRSTAHCTSSIADMASNHDFSHDETWCSASVRNSGGLDLDLNRVDEPADTGNHLISNGHRLDVQLQSIKSSSGGILNGELSVRRDFDLNDGPLVDEMSVEPSFSQQSRNCAPSLSGLRMNNTEMGNLSSWFPQGNPYSAVTIQSILPDRGEQPFPVVTPSGPQRMLAPPTGSAPFSADIYRGSVLSSSPAVAFPSAPFQYPVFPFGTNFPLSSATFSGGSSTYTDSSSGGRLCFPAMHSQVLAPAGAVPSHYPRPFVVSLLDNNNNGSTESSRKWGRHGLDLNAGPLGPDMEGRDETSSLASRQLSVASSQALAEEQSRMFQVAGGSVLKRKEPDGGWESYKQSSWQ >Manes.07G100500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30530451:30532847:1 gene:Manes.07G100500.v8.1 transcript:Manes.07G100500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDVTEQGSFSGKDYHDPPPTPLFDAAELTKWSFYRALIAEFIATLLFLYITILTVIGYKSQTDPAKNADSCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVMYMVAQCLGAIAGAGLVKGFQNSYYKRYGGGANSLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQDKPWDDHWIFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSNPTV >Manes.04G127340.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33000470:33004375:-1 gene:Manes.04G127340.v8.1 transcript:Manes.04G127340.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAETLQLFLCCCQPSSEADRCQTDTDDRSTLAAAPLHQSITSENAQRHQMKKYTFDELRVATEGFNDNYLLGEGGFGLVYRAFLDGKDVAVKKLKRIYPEDKLNKLEESEFLTCVDHPNIVKMTGYCSEGLNRLLVLEFVPNKTLTHHLHHDHNTLDWRTRMKIALQTANGLLHLHESFPVAVVTESGLKWTRSWADL >Manes.07G144001.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34441564:34443060:1 gene:Manes.07G144001.v8.1 transcript:Manes.07G144001.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNGGSCSSMEKVMGNYGDQPHVLAVDDNLIDRKLVEKLLKNSSCKVTTAENGLKALEYLGLGVDGRNKLDTNVSKVNLIITDYCMPGMTGYELLKKIKESSIMKEVPVVIMSYENIPTRITKCLEEGAQMFMLKPLKQSDVKKLRAFVGFKLSTQGYRIQKFCGKPTDCKVGLQWPYVLCRTITFHMRDTLAQHELQPAIANPTKALCR >Manes.02G182400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14500041:14510239:-1 gene:Manes.02G182400.v8.1 transcript:Manes.02G182400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYEVWKGSNVLVLLFLTSARDPGIIPRNSHPPEEEFRYDSVEVGGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFAMSAVYIKILMDDYQSTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNLGCFHNFLEVFCTEVKPSKNNFRAFVQEEAPRPPLLSTRKAEPEDVDGNPRAKVEDDLEIGEDLLKISQRRNIEAIEEDIRSRGSNGPPHNTTELDSVLSLDHPAPTIRSDTRHSSWGRRSGSWEIAPEVLANSNVTESKSCITSNDHANDDR >Manes.02G182400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:14500041:14510239:-1 gene:Manes.02G182400.v8.1 transcript:Manes.02G182400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVYEVWKGSNKFILSGRLIFGPDARSVLFTLLLIIVPVVIFCVFVVRHLLHQFSPHNAGYAILVVAIVFTICVLVLLFLTSARDPGIIPRNSHPPEEEFRYDSVEVGGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFAMSAVYIKILMDDYQSTVWRAMKESPASVILMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYNLGCFHNFLEVFCTEVKPSKNNFRAFVQEEAPRPPLLSTRKAEPEDVDGNPRAKVEDDLEIGEDLLKISQRRNIEAIEEDIRSRGSNGPPHNTTELDSVLSLDHPAPTIRSDTRHSSWGRRSGSWEIAPEVLANSNVTESKSCITSNDHANDDR >Manes.01G083350.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:28581329:28582549:-1 gene:Manes.01G083350.v8.1 transcript:Manes.01G083350.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLDMESEAQNNSSSSSTASPFSMNTSSELEYQKRSKQDPELKNSDESNKMVKITAENSRSHPAYRGVRMRQWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALTIKGRSAHLNFPELAHELPIPASASPKDIQAAAALAAALNYNKVHDQAEMESSLDSTELSHDTQEFLSSTLRDHEDDTFIDLPDLLQDISHQVDKFCYLPSSWPQVVGAESLDMGFWHEEPYLWEY >Manes.16G117200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32156141:32160558:1 gene:Manes.16G117200.v8.1 transcript:Manes.16G117200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGNGSSDESIFKTPTIKFTKLFINGEFVDSVSGKTFETIDPRNGEVIARIAEGDKEDIDVAVKAARHAFDHGPWPRLPGVARARIMHKFADLIEENVEELAALDTVDAGKLFSAGKALDIPHVASLLRYYAGAADKIHGEVLKMSRELQGYTLLEPIGVVGHIIPWNFPSTIFFMKVAPALAAGCTMIVKPAEQTPLSALYYAHLSKLAGIPDGVINVVTGFGPTAGAALSCHMDVDKVSFTGSTEVGHKVMKAAAESNLKQVSLELGGKSPLLIFDDADVDKAAELALLGVLYNKGEICVASSRVFVQEGIYDELVKKLIEKAKNCVIGDPFDSKTQLGPQVDKQQFEKILSYIEHGKREGATLLTGGKPSRNKGYFLQPTIFSDVKEDMLIAKDEIFGPVMSLMKFKTIDEAIKCANNTRYGLAAGIVTKNLDVANTVSRSIRAGIIWINCYFAFDNDCPYGGYKMSGFGRDFGIEALHKFLQVKSVVTPIYNSPWL >Manes.11G099500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:22323484:22326432:-1 gene:Manes.11G099500.v8.1 transcript:Manes.11G099500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEILGVSKNASQDDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDNYGEDALKEGMGGGGGGHNPFDIFESFFGGNPFGGGSSRGRRQRRGDDVVHPLKVSLEDLYLGTTKKLSLSRNVICSKCNGKGSKSGASMKCPGCRGTGMKVSIRQLGPSMIQQMQHPCNECKGTGESISEKDKCTQCKGEKVVPGKKVLEVIVEKGMQHGQKITFPGEADEAPDTITGDIVFVLQQKEHPKFKRKGDDLIVEQSLSLTEALCGFQFVLTHLDGRHLLIKSNTGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFIVEFPDSLTPDQVKALEAILPPRPFSQLMDIDLDECEETTLHDVNMEEEMRRKQQGQAQREAYDEDEEMHGGAQRVQCAQQ >Manes.17G104600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31173393:31176576:-1 gene:Manes.17G104600.v8.1 transcript:Manes.17G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSDNKYRLRVKNLINTHVDSKDIENFMSLDLSASTTGCIFKVPDIPRSQNQNAYVPIAFSIGPWHYSNPNLRANDKLKTLYLRDLISKDRNPKATLMELSNSEMYILELSRKVQECYSSPVDQEYDGCDLLVDGCFIIEFLRKFQDKSRRDPDDPVFSYMLQFLYHDLMLLENQIPWFVLEDIFHRTCGNNEKPLIWYVSAFLKQIFPIAFVPTEGNLPSLQPKHILDLLRLWLVSPIEEEKGKNTVLQIDDIVTNGGWKPIPPASFLRKAGVKIKRKLMADSILQVTFRNGYLEIPPLEFRQGTEDVFQNLICLEQCLTKCPHGITSYVTLLGCLINTPMDFNLLCEAGIFDNWMNPEDVINFFNKAIYRGAYVKDYYYLELSEQVIEYCNRKWPRLRAIYRNEFTTPWAIISQEN >Manes.14G101600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:8411947:8413150:-1 gene:Manes.14G101600.v8.1 transcript:Manes.14G101600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKRKRKGDSHSTEGDRSKEKGVVHGGEAVSEEEVEEFFAILKRIHVAVKYFEKNDGKRWKPSFMKEDFEEISGDVEGERKEEDCLDDNTGSFSLSLIPWSSGVC >Manes.10G138309.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30641008:30645654:1 gene:Manes.10G138309.v8.1 transcript:Manes.10G138309.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGRVVCVTGGAGFIASWLIKLLLLRGYTVKATVRDPKDPKKTEHLRALDGAKERLHLFKANLLEEGSFDGIVDGCDGVFHTASPVILSTNAPEADLLEPAVKGTLNVLKSCSKAPSIKRVVVTSSMAAVMFNEKPLSPDVVVDETWFSDRAFCEQHKNWYAVSKTLAEDVAWKFAKENGIDLVTIHPGWVIGPFLQPTLNLTVEMILNNVNGVQTFPNQNLRLVDVRDVGNAHIEAFERPSASGRYCLVARVTHVSEVLKIVHEHYPTLQLPERCADNKPFVSKYEVSKERAKTLGINYIPVEVTVKGTIESLKDKGYLNI >Manes.10G138309.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:30641014:30645376:1 gene:Manes.10G138309.v8.1 transcript:Manes.10G138309.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGRVVCVTGGAGFIASWLIKLLLLRGYTVKATVRDPKDPKKTEHLRALDGAKERLHLFKANLLEEGSFDGIVDGCDGVFHTASPVILSTNAPEADLLEPAVKGTLNVLKSCSKAPSIKRVVVTSSMAAVMFNEKPLSPDVVVDETWFSDRAFCEQHKNWYAVSKTLAEDVAWKFAKENGIDLVTIHPGWVIGPFLQPTLNLTVEMILNNVNGVQTFPNQNLRLVDVRDVGNAHIEAFERPSASGRYCLVARVTHVSEVLKIVHEHYPTLQLPERCADNKPFVSKYEVSKERAKTLGINYIPVEVTVKGTIESLKDKGYLNI >Manes.03G161800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28870909:28876495:-1 gene:Manes.03G161800.v8.1 transcript:Manes.03G161800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKHRIKKWGVGIRGRLWKMMKCIRSGEQLRVDDMAASSESLATRDYSASGYSSRAGEVDTKIDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDIAAVTSKMKVSLSRRCEQNRRRSQSDAAPTMSMHAISLLLEAIFLKTKSLQGLGRFGEAARSCKVILDTVESALPEGLPENFSTDHKLQEILNKAVDLLPELCILAGAPQEAILSYRRALLYHWNLETETTTKIQKEFATFLLYSGTEASPPNLRLQMEGSFVPRNNIEEAVLLLLILLRKFAYRRIGWDPMIIDHLSFALSVSGELRALAYQIEELLPGTMERRERYCTLALCYHGEGEDTVALNLLRNLFNNRENPDCILELLLASKICAENTICVEEGITYASKALSELHGMCGQMVSGANCLLGLLLSTQSRSVPSDSERTSKQSAALDAFETAEKVMRERDSHIIFHLSLENAEQRKLDIALNYARQLLKLEAGSSVRSFILLARILSAQKRFVDAETVVNAALDQTGKWDQGELLRTKAKLQIAQGQLKNAIQTYTHLLAVVQVRTKTFGGGKKLLKSRGNHDRRLEMETWHDLANVYTSLSQWRDAEVCLTKSKAISPYSASRWHAAGLLYEAKGLHQDALKSFRAALDVDPTHVPSLISTACVLKLLGSQSMPIIRSFLTDALQLDKMNHSAWFNLGLLYKDDASVSALEAAECFEAAAVLEESAPVEPFR >Manes.03G161800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28870908:28876787:-1 gene:Manes.03G161800.v8.1 transcript:Manes.03G161800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKHRIKKWGVGIRGRLWKMMKCIRSGEQLRVDDMAASSESLATRDYSASGYSSRAGEVDTKIDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDIAAVTSKMKVSLSRRCEQNRRRSQSDAAPTMSMHAISLLLEAIFLKTKSLQGLGRFGEAARSCKVILDTVESALPEGLPENFSTDHKLQEILNKAVDLLPELCILAGAPQEAILSYRRALLYHWNLETETTTKIQKEFATFLLYSGTEASPPNLRLQMEGSFVPRNNIEEAVLLLLILLRKFAYRRIGWDPMIIDHLSFALSVSGELRALAYQIEELLPGTMERRERYCTLALCYHGEGEDTVALNLLRNLFNNRENPDCILELLLASKICAENTICVEEGITYASKALSELHGMCGQMVSGANCLLGLLLSTQSRSVPSDSERTSKQSAALDAFETAEKVMRERDSHIIFHLSLENAEQRKLDIALNYARQLLKLEAGSSVRSFILLARILSAQKRFVDAETVVNAALDQTGKWDQGELLRTKAKLQIAQGQLKNAIQTYTHLLAVVQVRTKTFGGGKKLLKSRGNHDRRLEMETWHDLANVYTSLSQWRDAEVCLTKSKAISPYSASRWHAAGLLYEAKGLHQDALKSFRAALDVDPTHVPSLISTACVLKLLGSQSMPIIRSFLTDALQLDKMNHSAWFNLGLLYKDDASVSALEAAECFEAAAVLEESAPVEPFR >Manes.03G161800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28870909:28876495:-1 gene:Manes.03G161800.v8.1 transcript:Manes.03G161800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKHRIKKWGVGIRGRLWKMMKCIRSGEQLRVDDMAASSESLATRDYSASGYSSRAGEVDTKIDNSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDIAAVTSKMKVSLSRRCEQNRRRSQSDAAPTMSMHAISLLLEAIFLKTKSLQGLGRFGEAARSCKVILDTVESALPEGLPENFSTDHKLQEILNKAVDLLPELCILAGAPQEAILSYRRALLYHWNLETETTTKIQKEFATFLLYSGTEASPPNLRLQMEGSFVPRNNIEEAVLLLLILLRKFAYRRIGWDPMIIDHLSFALSVSGELRALAYQIEELLPGTMERRERYCTLALCYHGEGEDTVALNLLRNLFNNRENPDCILELLLASKICAENTICVEEGITYASKALSELHGMCGQMVSGANCLLGLLLSTQSRSVPSDSERTSKQSAALDAFETAEKVMRERDSHIIFHLSLENAEQRKLDIALNYARQLLKLEAGSSVRSFILLARILSAQKRFVDAETVVNAALDQTGKWDQGELLRTKAKLQIAQGQLKNAIQTYTHLLAVVQVRTKTFGGGKKLLKSRGNHDRRLEMETWHDLANVYTSLSQWRDAEVCLTKSKAISPYSASRWHAAGLLYEAKGLHQDALKSFRAALDVDPTHVPSLISTACVLKLLGSQSMPIIRSFLTDALQLDKMNHSAWFNLGLLYKDDASVSALEAAECFEAAAVLEESAPVEPFR >Manes.12G052251.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4493942:4495249:-1 gene:Manes.12G052251.v8.1 transcript:Manes.12G052251.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYATAIVAAEYLLHWLPKGTHQWSSFLTPEELVLILQRASINVKEMAGFVYNPLTGRWSLSDDISVNFIAFGTKSGE >Manes.01G174000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35550468:35552959:1 gene:Manes.01G174000.v8.1 transcript:Manes.01G174000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:OEP16 MPSSRFAGSLSTPKVDVAIDMGNHFLNLTVDGFLKIGTVAATRVLAEDAYHVVKRGNISTRNFDQTFKKMCKEGAYWGTVAGVYVGMEYGMERVRGTRDWKNAMFGGALTGALISAACNKNKDKILTDALTGGAIATAATFLNYLT >Manes.10G084600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21760815:21761924:1 gene:Manes.10G084600.v8.1 transcript:Manes.10G084600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFASECTSGCESGWTLYLEQSFLPPATAAAAHGGSRPVDGGKSSSGFWDKEKICNKEESDEEKEHDDEEDLSMISDASSGPPHHFHEEDNYDNNGYYFPVFKDTTLINNGGKRSKEQVPSFLDDTASSPAFNLSKNNNFSFMPNNQASVERTLDYSQAAAFSATHFEGRSAYQDHFGNYIQPSLSGNQLQNNQLSIVSFN >Manes.10G084600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:21760692:21762296:1 gene:Manes.10G084600.v8.1 transcript:Manes.10G084600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFASECTSGCESGWTLYLEQSFLPPATAAAAHGGSRPVDGGKSSSGFWDKEKICNKEESDEEKEHDDEEDLSMISDASSGPPHHFHEEDNYDNNGYYFPVFKDTTLINNGGKRSKEQVPSFLDDTASSPAFNLSKNNNFSFMPNNQASVERTLDYSQAAAFSATHFEGRSAYQDHFGNYIQPSLSGNQLQNNQWF >Manes.10G084600.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:21760815:21762211:1 gene:Manes.10G084600.v8.1 transcript:Manes.10G084600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFASECTSGCESGWTLYLEQSFLPPATAAAAHGGSRPVDGGKSSSGFWDKEKICNKEESDEEKEHDDEEDLSMISDASSGPPHHFHEEDNYDNNGYYFPVFKDTTLINNGGKRSKEQVPSFLDDTASSPAFNLSKVLLHL >Manes.08G008400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1106385:1109672:1 gene:Manes.08G008400.v8.1 transcript:Manes.08G008400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISQNGHQNGSLDSLCTARDPLNWGLAAESMSGSHLDEVKKMVAEFRKPLVKLGGETLTVAQVAAIARESGVQVELAESARAGVKASSDWVMDSMSKGTDSYGVTTGFGATSHRRTKQGGALQRELIRFLNAGIFGNKTESCHTLSHSATRAAMLVRINTLLQGYSGIRFEILDAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEPLDAQQAFHSAGIDSGFFELQPKEGLALVNGTAVGSGLASMVLFEANVLAVLSEVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKAAKKLHEIDPLQKPKQDRYALRTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTEEAVDILKLMSTTFLVALCQAIDLRHLEENLKHAVKNTVSQVAKRILTTGASGELHPSRFCEKDLLKVVDREQVFSYVDDACSATYPLMQKLRQVLVDHALANGESEKNASTSIFQKIRAFEEELKALLPKEVESAREAYENGNPAIANKIKECRSYPLYKFVREEIGTGLLTGEKVRSPGEEFDKVFTAMCQGKIIDPMLDCLKEWNGAPLPIC >Manes.10G033300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:3351055:3355282:-1 gene:Manes.10G033300.v8.1 transcript:Manes.10G033300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAHFFKIILHSTIQQGKLEIPRKFVRKYGNGLSSPVILHVPSGAKWSVELLNCNGDVLLGKGWQDFSNYYSLDIGNFLLFKYEGNCQFCVLIFDKSALEIEYPCENIFNTKPNSDDLHNTTIKENEDDTSVEALDFPSQCKKMGGKSTFLHQSRKFMQSRRKKNDHGKVKSSHACSWNSQALEDANNFITKYPSFKVVVKPYHWDHNNVSMPAGFFRRYFKCQTQNVILQIADTLWSVKLIRPSRRCAATLSAGWHAFSRENALGVGDVCVFELIKRNLLNVSIFRCVN >Manes.15G113100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8997217:8999167:1 gene:Manes.15G113100.v8.1 transcript:Manes.15G113100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIPNRKLFAFARSLGNMELASTSNAGSILWFFRDRGFDDKSIHEMFRKCKRLEGIQKERASENWSYLKSIGIQERRLPYVISKCPKILTLDLNEKLVPMVECLATLANKRAEVASAITKFPHILSHSVEEKLCPLLAFFQALGVPEKQLGKIIFLNPRLISYSINSKLTEIVDFLAGLGLNKDGMIGKVLVKHPFIMGYSVDKRLRPTLEFMKSVGLTDMNLQTVVMNFPEVLCRDVNKILRPNLTYLRRCGFEDRQIAAIVTGYPPILIKSIKNSLEPRMKFLVEVMGRQIDEAADYPSFFQHSLKKIVESRYKLLKQKNVECSLSEMLDCNQKKFLMKFGSLKGVPEIS >Manes.15G113100.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:8997217:8999200:1 gene:Manes.15G113100.v8.1 transcript:Manes.15G113100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASTSNAGSILWFFRDRGFDDKSIHEMFRKCKRLEGIQKERASENWSYLKSIGIQERRLPYVISKCPKILTLDLNEKLVPMVECLATLANKRAEVASAITKFPHILSHSVEEKLCPLLAFFQALGVPEKQLGKIIFLNPRLISYSINSKLTEIVDFLAGLGLNKDGMIGKVLVKHPFIMGYSVDKRLRPTLEFMKSVGLTDMNLQTVVMNFPEVLCRDVNKILRPNLTYLRRCGFEDRQIAAIVTGYPPILIKSIKNSLEPRMKFLVEVMGRQIDEAADYPSFFQHSLKKIVESRYKLLKQKNVECSLSEMLDCNQKKFLMKFGSLKGVPEIS >Manes.03G191800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31034905:31039203:-1 gene:Manes.03G191800.v8.1 transcript:Manes.03G191800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYKILFSVAELKVKHRPKDINNLPIYNHTLATILVEYASAVYMSDLSELFTWTCSRCDDLTEGFEIIELIVDIQHCLQAFVGVAKNLNAVVIAFRGTQEHSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYNAYHNTTVRPGILNAVKRAQDYYGDLDIIVTGHSMGGAMAAFCGLDLTVNHKAKNVMVMTFGQPRIGNAAFASYYSQLVPNTIRVTNDHDMVPHLPPYYSYFPQKTYHHFPREVWLYNIGLGSLVYRVEKVCDVTGEDPTCSRSVSGTSISDHLNYYGVELMGETWRSCGIVMDPLVKEYGKTDLKGNFALSKEPAMPILKLKTEANDGGSRV >Manes.03G191800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31034905:31039203:-1 gene:Manes.03G191800.v8.1 transcript:Manes.03G191800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRLILAIFVAFLFALSSARELKVKHRPKDINNLPIYNHTLATILVEYASAVYMSDLSELFTWTCSRCDDLTEGFEIIELIVDIQHCLQAFVGVAKNLNAVVIAFRGTQEHSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYNAYHNTTVRPGILNAVKRAQDYYGDLDIIVTGHSMGGAMAAFCGLDLTVNHKAKNVMVMTFGQPRIGNAAFASYYSQLVPNTIRVTNDHDMVPHLPPYYSYFPQKTYHHFPREVWLYNIGLGSLVYRVEKVCDVTGEDPTCSRSVSGTSISDHLNYYGVELMGETWRSCGIVMDPLVKEYGKTDLKGNFALSKEPAMPILKLKTEANDGGSRV >Manes.03G191800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31034361:31039203:-1 gene:Manes.03G191800.v8.1 transcript:Manes.03G191800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRRLILAIFVAFLFALSSARELKVKHRPKDINNLPIYNHTLATILVEYASAVYMSDLSELFTWTCSRCDDLTEGFEIIELIVDIQHCLQAFVGVAKNLNAVVIAFRGTQEHSIQNWVEDLFWKQLDLNYPGMPDAMVHHGFYNAYHNTTVRPGILNAVKRAQDYYGDLDIIVTGHSMGGAMAAFCGLDLTVNHKAKNVMVMTFGQPRIGNAAFASYYSQLVPNTIRVTNDHDMVPHLPPYYSYFPQKTYHHFPREVWLYNIGLGSLVYRVEKVCDVTGEDPTCSRSVSGTSISDHLNYYGVELMGETWRSCGIVMDPLVKEYGKTDLKGNFALSKEPAMPILKLKTEANDGGSRV >Manes.05G100200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9344414:9348507:1 gene:Manes.05G100200.v8.1 transcript:Manes.05G100200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTSHLKEISKSIEAAQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTHKTPVHELGLNLWRDNIIHSSKIQTRLLNTLLALVHRERTGEVIDRGLMRNIIKMLMDLGSLVYQEDFEKPFLEVSAEFYKVESQEFIECCDCGEYLKKAEKRLNEEIERVTHYLDSKSEVKITNVVEKEMIANHMLSLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLLTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIISLAFSNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGVSEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELGDGPTLVVQVLTTGSWPTQPSVTCNLPAEMSVLCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTFQMCVLMLFNNADRLSYKEIEQATEIPASELKRCLQSMACVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIITEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Manes.05G100200.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9344356:9349868:1 gene:Manes.05G100200.v8.1 transcript:Manes.05G100200.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTSHLKEISKSIEAAQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTHKTPVHELGLNLWRDNIIHSSKIQTRLLNTLLALVHRERTGEVIDRGLMRNIIKMLMDLGSLVYQEDFEKPFLEVSAEFYKVESQEFIECCDCGEYLKKAEKRLNEEIERVTHYLDSKSEVKITNVVEKEMIANHMLSLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLLTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIISLAFSNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGVSEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELGDGPTLVVQVLTTGSWPTQPSVTCNLPAEMSVLCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTFQMCVLMLFNNADRLSYKEIEQATEIPASELKRCLQSMACVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIITEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Manes.05G100200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9344395:9349861:1 gene:Manes.05G100200.v8.1 transcript:Manes.05G100200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTSHLKEISKSIEAAQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTHKTPVHELGLNLWRDNIIHSSKIQTRLLNTLLALVHRERTGEVIDRGLMRNIIKMLMDLGSLVYQEDFEKPFLEVSAEFYKVESQEFIECCDCGEYLKKAEKRLNEEIERVTHYLDSKSEVKITNVVEKEMIANHMLSLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLLTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIISLAFSNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGVSEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELGDGPTLVVQVLTTGSWPTQPSVTCNLPAEMSVLCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTFQMCVLMLFNNADRLSYKEIEQATEIPASELKRCLQSMACVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIITEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Manes.05G100200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9344414:9349852:1 gene:Manes.05G100200.v8.1 transcript:Manes.05G100200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTSHLKEISKSIEAAQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTHKTPVHELGLNLWRDNIIHSSKIQTRLLNTLLALVHRERTGEVIDRGLMRNIIKMLMDLGSLVYQEDFEKPFLEVSAEFYKVESQEFIECCDCGEYLKKAEKRLNEEIERVTHYLDSKSEVKITNVVEKEMIANHMLSLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLLTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIISLAFSNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGVSEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELGDGPTLVVQVLTTGSWPTQPSVTCNLPAEMSVLCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTFQMCVLMLFNNADRLSYKEIEQATEIPASELKRCLQSMACVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIITEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Manes.05G100200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9344354:9351283:1 gene:Manes.05G100200.v8.1 transcript:Manes.05G100200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTSHLKEISKSIEAAQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTHKTPVHELGLNLWRDNIIHSSKIQTRLLNTLLALVHRERTGEVIDRGLMRNIIKMLMDLGSLVYQEDFEKPFLEVSAEFYKVESQEFIECCDCGEYLKKAEKRLNEEIERVTHYLDSKSEVKITNVVEKEMIANHMLSLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLLTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIISLAFSNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGVSEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELGDGPTLVVQVLTTGSWPTQPSVTCNLPAEMSVLCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTFQMCVLMLFNNADRLSYKEIEQATEIPASELKRCLQSMACVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIITEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Manes.06G066000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:20209413:20211967:1 gene:Manes.06G066000.v8.1 transcript:Manes.06G066000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLQILWVPECSWVVFPNTFTHFLSPWDVRNHLSLSLYCVQFFLRLFFWRFVYIYPTHATSPLASSSLSLSEIPLFLSVLFVFLKMEELDYQTKPSSTTTRLKLFGFSVSEDEELIADSIKTTSSSTSQDAEAAGGGGGDSRKYECHYCCREFANSQALGGHQNAHKKERQQLKRAQMQATRNAAAAAVSFGRNPMISAFAPPPHLLAPPGQVVVPAAAPSWIYLPRPAAPFHVSHGCVFPSGGGGGGGGGGSGYGGGRVVGGLPYSGGVGDMSKSTGLQPVLNRAHTGRVDELNGSASLSRFSKGDGGPTFDEALGLDLHLSLAPAGP >Manes.02G091000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7120432:7124703:-1 gene:Manes.02G091000.v8.1 transcript:Manes.02G091000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDKFVYVAKLAEQAERYDEMVDAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEVNAKRIKEYRQKVELEITNICNDIMAIIDEHLIPSASAGESTVFFYKMKGDYYRYLAEFKTGNEKKEAADHSMEAYETATTAAESDLPPTNPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDNLNEESYKDSTLIMQLLRDNLTLWTSEIPEDAEDAQKINGTAKVGGAENVE >Manes.02G091000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:7120510:7124703:-1 gene:Manes.02G091000.v8.1 transcript:Manes.02G091000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDKFVYVAKLAEQAERYDEMVDAMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEAKGNEVNAKRIKEYRQKVELEITNICNDIMAIIDEHLIPSASAGESTVFFYKMKGDYYRYLAEFKTGNEKKEAADHSMEAYETATTAAESDLPPTNPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAISELDNLNEESYKDSTLIMQLLRDNLTLWTSEIPEDAEDAQKINGTAKVGGAENVE >Manes.11G021300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2249471:2254872:-1 gene:Manes.11G021300.v8.1 transcript:Manes.11G021300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLPSTLLCQASFYLPTQSPCKALNSSFLFCATEGRALVRCSSKKKIGFFDQILDYIEGGPKLRKWYGAPDLLPKDGSTEEEEVEFSEEEEVRDAVLVTDGDGEIGQMIILSLIVKRARVKALVKDKRTAMEAFGTYVESLSGDASSKLFLKKALRGVRTVICSNEGFLSSVGSLKGVKHAIYLSQLSVYRGSGGIQALMNNNARKLAEQDEATLVGFGIPYTIIRVGMLQNTPGGTQGFNFEKGCAEKGSLSKEDAAFICVEALDMVPQAQFIFEVVNGEEKVSNWKQCLAKLMEKAEQ >Manes.12G152500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36060753:36064981:1 gene:Manes.12G152500.v8.1 transcript:Manes.12G152500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFFAPVNSLNPVAPSISSSFSFIPFKMHHHRLMRAHNSSNGPLAIHCCYETGPSSDPMTVRQAQMPSMAAFGVNETGSSRPSYKWQRVLLKVSGEALAGDNMQNIDPKITMAIAREVASVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDDPRRNPNARLLETLTYHEVTSKDLSVMDMTAITLCHENNIPGIVIFIASTHR >Manes.12G152500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36060753:36064981:1 gene:Manes.12G152500.v8.1 transcript:Manes.12G152500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFFAPVNSLNPVAPSISSSFSFIPFKMHHHRLMRAHNSSNGPLAIHCCYETGPSSDPMTVRQAQMPSMAAFGVNETGSSRPSYKWQRVLLKVSGEALAGDNMQNIDPKITMAIAREVASVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDDPRRNPNARLLETLTYHEVTSKDLSVMDMTAITLCHENNIPVVVFNLSKPGNISKAIRGERVGTLIGGEAKTSVART >Manes.14G008000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:428266:433996:1 gene:Manes.14G008000.v8.1 transcript:Manes.14G008000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNTVHSPMLTYASMLALLSFCPPFVILLWYTMVHADGSVSQTWDYLKQHGLQGFINIWPRPTALAWKIIACYAAFEAALQLLLPGKTVEGPISPQGNRPIYKANGVAAYLVTLLTYLSLWWFGIFNPSIVYDHLGEIFSALIFGSFLFCVFLYIKGHLAPSSTDSGSCGNIIMDFYWGMELYPRIGKNFDIKVFTNCRFGMMGWAVLALTYCIKQYEQNGKVADSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSLYTSPGMYLVNHPVNLGTQLAIYILVAGILCIYINYDCDRQRQVFRRTNGKCLVWGKAPSKIVASYTTSSGETKNSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFNHFLPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVPYRIIPGIY >Manes.14G008000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:428266:433997:1 gene:Manes.14G008000.v8.1 transcript:Manes.14G008000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHADGSVSQTWDYLKQHGLQGFINIWPRPTALAWKIIACYAAFEAALQLLLPGKTVEGPISPQGNRPIYKANGVAAYLVTLLTYLSLWWFGIFNPSIVYDHLGEIFSALIFGSFLFCVFLYIKGHLAPSSTDSGSCGNIIMDFYWGMELYPRIGKNFDIKVFTNCRFGMMGWAVLALTYCIKQYEQNGKVADSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSLYTSPGMYLVNHPVNLGTQLAIYILVAGILCIYINYDCDRQRQVFRRTNGKCLVWGKAPSKIVASYTTSSGETKNSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFNHFLPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVPYRIIPGIY >Manes.14G008000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:428266:433996:1 gene:Manes.14G008000.v8.1 transcript:Manes.14G008000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHADGSVSQTWDYLKQHGLQGFINIWPRPTALAWKIIACYAAFEAALQLLLPGKTVEGPISPQGNRPIYKANGVAAYLVTLLTYLSLWWFGIFNPSIVYDHLGEIFSALIFGSFLFCVFLYIKGHLAPSSTDSGSCGNIIMDFYWGMELYPRIGKNFDIKVFTNCRFGMMGWAVLALTYCIKQYEQNGKVADSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSLYTSPGMYLVNHPVNLGTQLAIYILVAGILCIYINYDCDRQRQVFRRTNGKCLVWGKAPSKIVASYTTSSGETKNSLLLTSGWWGLARHFHYVPEILAAFFWTVPALFNHFLPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVPYRIIPGIY >Manes.05G197400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:32168285:32171224:-1 gene:Manes.05G197400.v8.1 transcript:Manes.05G197400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLLLAAAGSATFVAKHFLAQERPKEEENPFEDSIASAFQSPLFPNHGNGCGYDSNFQQPPDGIFRFSSSASASSGKKTRISRKKSGITGRRLNFGAENYKADKRSGGSEKSARRLAVCLKKKRNAKSVPSKCRSRSSKDSSLFGCGLGIGIMYMMSAEKAEISKLSNAMDETAKTVKELRTELYKRRSAKVAAISKDLSSNNELEFYRVGTEHNNDPKVIKVYGIPMIDDVECPNSGLIEEPEPRLLEMDQLEAELTSELQKLPWSYPEASGHEGVEPNMDKNETFSGGLHKLEGQSNISCQCHGVLPSEPDWKLSHLLIKQQENQIEELESELHSAQSKLHEKEAELQALRDCVKLLTEISPSTVSDDEA >Manes.14G060700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5060134:5064750:-1 gene:Manes.14G060700.v8.1 transcript:Manes.14G060700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLVIKVKYGDTLRRFNAYVNESGQLDLDMSGLRAKILGLFDFPLSSDLTLTYVDEDGDVVTLVDDDDLLDVMKQNLKILRVNVQLNNEKFSKSYAKSSGSSTPMRSPRVQDPLPNINLNAADVLKSFPEPFRESLSKISLGLTDLTSKVAAPSPVISEVLDCLLKMAKASLNPSQQSQVGASSSTQTEATEHPMALEVPTETNATSDEPSRKVRVADVVRGVGVPVKPVPASVDLNLDPCDSNPSGCTNVISASTPRVVDGKATKEKNDGQPSEWPSGIGASSSSTDSARPLGNECPVGWMHAVNHSSSNSFMLPRIISSRRRNGRNGAMVGMFHRGVQCDGCGVHPITGPRYKSKVREDYDLCSICFAEMGNEADYIKMDRPVFNRHPRAVKGLRYPAQKLGPPLLSTVIKHCGIKPGPVQAKLDSRFILDVNVLDGTMMAPSTPFTKIWRLRNSGSVVWPKGTRLVWIGGDRFSHADSAELEIPADGVPVDGELDIAVDFTSPKLPGRYISYWRMVSPSGLKFGQRVWVLIQVDASIKDSVRDGVEGLNLNLPPDCSGSKFHQIIDVNLQPAMDSGFQGPCNSSVSVPMVDVEQPMKDQEMNFPINDILLVGDGVSTPTPNQASSSVSYPIIDFSEAAPAVPSEVFPAMDIPTSSEDVIVKDAVEKSLLKELEEMGFKQIDLNKEILRMNEYNLEQSVDDLCGVSDWDPILEELQEMGFPDKEMNRKLLKKNNGSIKRVVMDLLTGEKA >Manes.04G070500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:26985365:26986258:1 gene:Manes.04G070500.v8.1 transcript:Manes.04G070500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVAEGKASSPKREIRSLRARNHRSLRNNLLESIAQANGGSVVSQVNEDGVVRMKLLVRKQDLRQMLEVMRGHGKNISQQQSFSSLSVEQRLNLLRKKQLLRANAAAKESRRRSWTPQLQSIPEEF >Manes.12G155400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36381055:36389720:-1 gene:Manes.12G155400.v8.1 transcript:Manes.12G155400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFSVDTWTPSSKRKRHHFLTHAHKDHCSGILTHSSYPIYCTHLTKSLVMINYPQIEDSLFVGIEVGQSVVIDDPDGHFSVTAFDANHCPGAVMFLFEGKFGNVLHTGDCRLTPECLQCFPEKFISKKGRDPRCQLDYAFLDCTFGRFHQKFPSKNSASQQVINCIWKHPAAALVYLTCDLLGQEDILAQVSQTFGSKIYVDKAANPECFQALTMTVPQIVTQDPSSRFHMFDGFPKLYERAAAKLAESQANSQPEPLIIRPSAQWYASGEEDSCVESQKKLRFNEAVRDQFGVWHVCYSMHSSREELEWALQLLAPKWVVSTTPSCWAMELDYVKKCSTGTQLTSDDPVWKLLDITVEAPPAADTSTRTMGPSPIVKRPTQIYAESRSQSVKISSSRLLSLSPPSNRPAVTLFGRARLAIKDSNLPSEEQVLSINNQPPLALAVEEDSEVKNENKLENKLEICVTEEQCQESVKEQKTASYSSIGSSRNFSETLKNLYRSMNVPVPRPLPSLVELRGAYKRNKRRIEF >Manes.12G155400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36380527:36390046:-1 gene:Manes.12G155400.v8.1 transcript:Manes.12G155400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEGKFGNVLHTGDCRLTPECLQCFPEKFISKKGRDPRCQLDYAFLDCTFGRFHQKFPSKNSASQQVINCIWKHPAAALVYLTCDLLGQEDILAQVSQTFGSKIYVDKAANPECFQALTMTVPQIVTQDPSSRFHMFDGFPKLYERAAAKLAESQANSQPEPLIIRPSAQWYASGEEDSCVESQKKLRFNEAVRDQFGVWHVCYSMHSSREELEWALQLLAPKWVVSTTPSCWAMELDYVKKCSTGTQLTSDDPVWKLLDITVEAPPAADTSTRTMGPSPIVKRPTQIYAESRSQSVKISSSRLLSLSPPSNRPAVTLFGRARLAIKDSNLPSEEQVLSINNQPPLALAVEEDSEVKNENKLENKLEICVTEEQCQESVKEQKTASYSSIGSSRNFSETLKNLYRSMNVPVPRPLPSLVELRGAYKRNKRRIEF >Manes.12G155400.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:36381055:36389720:-1 gene:Manes.12G155400.v8.1 transcript:Manes.12G155400.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEGKFGNVLHTGDCRLTPECLQCFPEKFISKKGRDPRCQLDYAFLDCTFGRFHQKFPSKNSASQQVINCIWKHPAAALVYLTCDLLGQEDILAQVSQTFGSKIYVDKAANPECFQALTMTVPQIVTQDPSSRFHMFDGFPKLYERAAAKLAESQANSQPEPLIIRPSAQWYASGEEDSCVESQKKLRFNEAVRDQFGVWHVCYSMHSSREELEWALQLLAPKWVVSTTPSCWAMELDYVKKCSTGTQLTSDDPVWKLLDITVEAPPAADTSTRTMGPSPIVKRPTQIYAESRSQSVKISSSRLLSLSPPSNRPAVTLFGRARLAIKDSNLPSEEQVLSINNQPPLALAVEEDSEVKNENKLENKLEICVTEEQCQESVKEQKTASYSSIGSSRNFSETLKNLYRSMNVPVPRPLPSLVELRGAYKRNKRRIEF >Manes.04G033900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4324447:4326996:1 gene:Manes.04G033900.v8.1 transcript:Manes.04G033900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSAPVYLDPPNWQQQPNQQGGATNENLHLPPLPLPPPPHVGDGGIGSVRPGSMADRARLAKIPLPETTLKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKNKSQSRSKSPASSERQMGSCSNSTSAVPSEIIGHFPPQPSQLPLMTSLHNLTQFGIANLGLNFGGIQGNMGANSGAGGQTDMGFQIGSNSGMSSAVLSTGGVQQFPFFEPTPTGLYPFQTEGVEASSSMVVDTQLRSMTSSSRVSQLAPVKMEDNHRLNLSKPFLGISESNQYWSGNTWTDLSGLNSSSSSHLL >Manes.04G033900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4324447:4326999:1 gene:Manes.04G033900.v8.1 transcript:Manes.04G033900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSAPVYLDPPNWQQQPNQQGGATNENLHLPPLPLPPPPHVGDGGIGSVRPGSMADRARLAKIPLPETTLKCPRGGALRNVPVGGGCRRNKKNKSQSRSKSPASSERQMGSCSNSTSAVPSEIIGHFPPQPSQLPLMTSLHNLTQFGIANLGLNFGGIQGNMGANSGAGGQTDMGFQIGSNSGMSSAVLSTGGVQQFPFFEPTPTGLYPFQTEGVEASSSMVVDTQLRSMTSSSRVSQLAPVKMEDNHRLNLSKPFLGISESNQYWSGNTWTDLSGLNSSSSSHLL >Manes.08G078000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21128736:21135391:-1 gene:Manes.08G078000.v8.1 transcript:Manes.08G078000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMKLLLAKLIEILDDISSFSEFHCSVRHQCRHLLRGLRLLAPLFEELQEFQGKVPENTIKAFVSLMDSFIKAKDWLQFCSNGSKIYMILGMDQMMHKFQELAGQFVKALSEISLDEIDISEEIKEQVELVHIQFARSQKQLDIPDLELFKDFSLVFNQNNEVGTDPAVLQKICAKLNLMSAEDLEKDSIALHAMHVASGGNLEDKEKISILLKKFKDFFLAGHAKSNISADLVCSPPGCDGQGCHVHTTDLSSQSQNIPDDFRCPISLVLMKDPVTISTGQTYERACIKKWLDSGHRTCPKTQQVLSNTVLIPNHALSSIISIWCEANGVESPKKFGNLHLCKAFSACFVEGVKLDTLFSQLVSSNIEDQQSAAGEIRRLCKHNSENRVCIADAGAIPLLAELLYANDSLTQEHAVTALLNLSIRNANQRIIVSSGAIPGILHVLKSGNMEARENAAATFFSLSFVDDYKVSIGASGAIPALVALLSEGWRRGKVDAAKALFQLCLYQGNKRRAVRAGAVSLLVTMLTEPGCEMVDEALAVMAVLVSDPYGRRIIGVLDTLPTLVELVMNGSPMSKENAASVILHVCSGDPDHLSEAILLGVKDPLQDLAENGTIRGKQKAAQLLELIDSFGGQHEQSSTAY >Manes.08G078000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21128794:21135391:-1 gene:Manes.08G078000.v8.1 transcript:Manes.08G078000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMKLLLAKLIEILDDISSFSEFHCSVRHQCRHLLRGLRLLAPLFEELQEFQGKVPENTIKAFVSLMDSFIKAKDWLQFCSNGSKIYMILGMDQMMHKFQELAGQFVKALSEISLDEIDISEEIKEQVELVHIQFARSQKQLDIPDLELFKDFSLVFNQNNEVGTDPAVLQKICAKLNLMSAEDLEKDSIALHAMHVASGGNLEDKEKISILLKKFKDFFLAGHAKSNISADLVCSPPGCDGQGCHVHTTDLSSQSQNIPDDFRCPISLVLMKDPVTISTGQTYERACIKKWLDSGHRTCPKTQQVLSNTVLIPNHALSSIISIWCEANGVESPKKFGNLHLCKAFSACFVEGVKLDTLFSQLVSSNIEDQQSAAGEIRRLCKHNSENRVCIADAGAIPLLAELLYANDSLTQEHAVTALLNLSIRNANQRIIVSSGAIPGILHVLKSGNMEARENAAATFFSLSFVDDYKVSIGASGAIPALVALLSEGWRRGKVDAAKALFQLCLYQGNKRRAVRAGAVSLLVTMLTEPGCEMVDEALAVMAVLVSDPYGRRIIGVLDTLPTLVELVMNGSPMSKENAASVILHVCSGDPDHLSEAILLGVKDPLQDLAENGTIRGKQKAAQLLELIDSFGGQHEQSSTAY >Manes.08G078000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21128448:21135391:-1 gene:Manes.08G078000.v8.1 transcript:Manes.08G078000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMKLLLAKLIEILDDISSFSEFHCSVRHQCRHLLRGLRLLAPLFEELQEFQGKVPENTIKAFVSLMDSFIKAKDWLQFCSNGSKIYMILGMDQMMHKFQELAGQFVKALSEISLDEIDISEEIKEQVELVHIQFARSQKQLDIPDLELFKDFSLVFNQNNEVGTDPAVLQKICAKLNLMSAEDLEKDSIALHAMHVASGGNLEDKEKISILLKKFKDFFLAGHAKSNISADLVCSPPGCDGQGCHVHTTDLSSQSQNIPDDFRCPISLVLMKDPVTISTGQTYERACIKKWLDSGHRTCPKTQQVLSNTVLIPNHALSSIISIWCEANGVESPKKFGNLHLCKAFSACFVEGVKLDTLFSQLVSSNIEDQQSAAGEIRRLCKHNSENRVCIADAGAIPLLAELLYANDSLTQEHAVTALLNLSIRNANQRIIVSSGAIPGILHVLKSGNMEARENAAATFFSLSFVDDYKVSIGASGAIPALVALLSEGWRRGKVDAAKALFQLCLYQGNKRRAVRAGAVSLLVTMLTEPGCEMVDEALAVMAVLVSDPYGRRIIGVLDTLPTLVELVMNGSPMSKENAASVILHVCSGDPDHLSEAILLGVKDPLQDLAENGTIRGKQKAAQLLELIDSFGGQHEQSSTAY >Manes.08G078000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21128794:21135391:-1 gene:Manes.08G078000.v8.1 transcript:Manes.08G078000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMKLLLAKLIEILDDISSFSEFHCSVRHQCRHLLRGLRLLAPLFEELQEFQGKVPENTIKAFVSLMDSFIKAKDWLQFCSNGSKIYMILGMDQMMHKFQELAGQFVKALSEISLDEIDISEEIKEQVELVHIQFARSQKQLDIPDLELFKDFSLVFNQNNEVGTDPAVLQKICAKLNLMSAEDLEKDSIALHAMHVASGGNLEDKEKISILLKKFKDFFLAGHAKSNISADLVCSPPGCDGQGCHVHTTDLSSQSQNIPDDFRCPISLVLMKDPVTISTGQTYERACIKKWLDSGHRTCPKTQQVLSNTVLIPNHALSSIISIWCEANGVESPKKFGNLHLCKAFSACFVEGVKLDTLFSQLVSSNIEDQQSAAGEIRRLCKHNSENRVCIADAGAIPLLAELLYANDSLTQEHAVTALLNLSIRNANQRIIVSSGAIPGILHVLKSGNMEARENAAATFFSLSFVDDYKVSIGASGAIPALVALLSEGWRRGKVDAAKALFQLCLYQGNKRRAVRAGAVSLLVTMLTEPGCEMVDEALAVMAVLVSDPYGRRIIGVLDTLPTLVELVMNGSPMSKENAASVILHVCSGDPDHLSEAILLGVKDPLQDLAENGTIRGKQKAAQLLELIDSFGGQHEQSSTAY >Manes.08G078000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21128794:21135391:-1 gene:Manes.08G078000.v8.1 transcript:Manes.08G078000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMKLLLAKLIEILDDISSFSEFHCSVRHQCRHLLRGLRLLAPLFEELQEFQGKVPENTIKAFVSLMDSFIKAKDWLQFCSNGSKIYMILGMDQMMHKFQELAGQFVKALSEISLDEIDISEEIKEQVELVHIQFARSQKQLDIPDLELFKDFSLVFNQNNEVGTDPAVLQKICAKLNLMSAEDLEKDSIALHAMHVASGGNLEDKEKISILLKKFKDFFLAGHAKSNISADLVCSPPGCDGQGCHVHTTDLSSQSQNIPDDFRCPISLVLMKDPVTISTGQTYERACIKKWLDSGHRTCPKTQQVLSNTVLIPNHALSSIISIWCEANGVESPKKFGNLHLCKAFSACFVEGVKLDTLFSQLVSSNIEDQQSAAGEIRRLCKHNSENRVCIADAGAIPLLAELLYANDSLTQEHAVTALLNLSIRNANQRIIVSSGAIPGILHVLKSGNMEARENAAATFFSLSFVDDYKVSIGASGAIPALVALLSEGWRRGKVDAAKALFQLCLYQGNKRRAVRAGAVSLLVTMLTEPGCEMVDEALAVMAVLVSDPYGRRIIGVLDTLPTLVELVMNGSPMSKENAASVILHVCSGDPDHLSEAILLGVKDPLQDLAENGTIRGKQKAAQLLELIDSFGGQHEQSSTAY >Manes.08G078000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:21128794:21135391:-1 gene:Manes.08G078000.v8.1 transcript:Manes.08G078000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEMKLLLAKLIEILDDISSFSEFHCSVRHQCRHLLRGLRLLAPLFEELQEFQGKVPENTIKAFVSLMDSFIKAKDWLQFCSNGSKIYMILGMDQMMHKFQELAGQFVKALSEISLDEIDISEEIKEQVELVHIQFARSQKQLDIPDLELFKDFSLVFNQNNEVGTDPAVLQKICAKLNLMSAEDLEKDSIALHAMHVASGGNLEDKEKISILLKKFKDFFLAGHAKSNISADLVCSPPGCDGQGCHVHTTDLSSQSQNIPDDFRCPISLVLMKDPVTISTGQTYERACIKKWLDSGHRTCPKTQQVLSNTVLIPNHALSSIISIWCEANGVESPKKFGNLHLCKAFSACFVEGVKLDTLFSQLVSSNIEDQQSAAGEIRRLCKHNSENRVCIADAGAIPLLAELLYANDSLTQEHAVTALLNLSIRNANQRIIVSSGAIPGILHVLKSGNMEARENAAATFFSLSFVDDYKVSIGASGAIPALVALLSEGWRRGKVDAAKALFQLCLYQGNKRRAVRAGAVSLLVTMLTEPGCEMVDEALAVMAVLVSDPYGRRIIGVLDTLPTLVELVMNGSPMSKENAASVILHVCSGDPDHLSEAILLGVKDPLQDLAENGTIRGKQKAAQLLELIDSFGGQHEQSSTAY >Manes.01G029800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5946332:5949861:-1 gene:Manes.01G029800.v8.1 transcript:Manes.01G029800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLNWAFLILIFPLLLNLASSKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTQGDYRVVTALEVYAVSIVGPFPTAVTNLLDLTILDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVIPPEIGELKSLTHLYLSFNNFKGEIPKELANLPELRYLYLHENRFSGRIPAELGTLQHLRHLDVGSNHLVGTIRELIRFEGCFPALRNLYLSNNYLTGGVPAQLANLSNLEILHLSYNKMSGIIPAGLAHIPRLTHLYLDHNQFSGRIPDAFYKHSYLKEMYIERNAFKPGVNPIGVHKVLEVSDADFLV >Manes.05G176100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:29256900:29258258:-1 gene:Manes.05G176100.v8.1 transcript:Manes.05G176100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHWPIFFRQYPSLSLLTISSSLCYRQISEWQESMVSLSLCNPIDTSLRKLPLLHFPQSLASPINLKNKFTFPSKLSFINPFHCTRCLHVACAADHVVSSAATSEIDMVRNRQGVYTSKKNRVVVLWDLDNKPPRGPPYPAAMALKQLAQRFGDIIEMSAYANRHAFVHLPNWVLEERRERKQLDVLERKGLVTPSELYICGVCGRKCKTNLDLKKHFRQLHERERQKKLNRMKSLKGKKRQRFKERFISGNHKYNEEARRLLTPKIGYGLASELRRAGVYVKTVEDKPQAADWALKRQIEHSMNRGVDWLFLVSDDSDFCDILKRAREANLGTVVVGDRDRALGRHADLWVPWLGVENGEITENDLVPKSTNRSEDWEENDGVFSVTHFEGNMACLQSDMDNFLNELAGARSDCSGVKISVFSEVDEEEDQDYLLWDSEDEDIEEEDGDFL >Manes.12G053700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:4978582:4981124:-1 gene:Manes.12G053700.v8.1 transcript:Manes.12G053700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYYYSRNHVPAFGSWDWNNDLPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYENDVVTPAMIVVPRRRGKVRHPRVKEEKKQQSWVVGDVKEPPSPTAAAPPPPNPTVTRPRTTPKPVDEDLYKIPPELLYAKPKKKRGLGFFSSCLLPNCVL >Manes.08G164000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39908831:39922698:1 gene:Manes.08G164000.v8.1 transcript:Manes.08G164000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILCKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLIELKKLIEANPLFRDKLTFPGFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCTPTTANGARPPPPTNSPIVGPIPKAGAFPPIGAHGPFQPVVSPSPGAIAGWMSGNNPSLPHPAVAAAPPGLVQPSAAAFLKHPRTPPGMTGIDYQSVDSEHMMKRMRTGQSDEVSFSGVAHTPNIYSQDDLPKTVVRSLNQGSSVMSMDFHPQQQTILLVGTNVGDISLWEVGSRERLAHKPFKVWDLSVASMPLQTALLNDAAISVNRCVWGPDGLMLGVAFSKHLVQIYTYNPTGELRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKMIKVWDAVAGRRQYTFEGHEAPVYSVCPHHKENIQFIFSTAIDGKIKAWLYDSVGSRVDYDAPGLWCTMMAYSADGTRLFSCGTSKEGESHLVEWNESEGTIKRTFSGFRKRSSGVVQFDTTRSRFLAAGDEFLIKFWEMDNINMLAAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANNDGLRLIRMLDSRAADKNCSPSEPINSKPLILNALGPVSSGIAPVLERSDRIPPAMSISNLGTTDSSRLIDVKPRISDELDKMRSWKIPDIVDPSQLKALRLPDPVATGKVVRLIYTNSGLALLALASNAVHKLWKWQRSDRNQSGKATAYVAPQLWQPPSGTLMNNDISDTKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAVGMEDSSVQIYNVRVDEVKTKLKGHQNRITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKRKSRFIQAPPGRQSPLIGETRVQFHNDQTHLLVVHETQVAIYDSKLECLRSWYPKDTLTAPISSAIYSSDGLLVYTGFCDGAVGVFDADGLRVRCRIAPSAYIPPSVAGNNAYPLVIAAHPSEPNQIALGMSDGAVHVVEPSDVELKWGGPSSQDNGSLPSNSSNPPMSGQQSELPSR >Manes.08G164000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:39908283:39922954:1 gene:Manes.08G164000.v8.1 transcript:Manes.08G164000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNMKHFEDQVQAGEWDEVERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILCKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLIELKKLIEANPLFRDKLTFPGFKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCTPTTANGARPPPPTNSPIVGPIPKAGAFPPIGAHGPFQPVVSPSPGAIAGWMSGNNPSLPHPAVAAAPPGLVQPSAAAFLKHPRTPPGMTGIDYQSVDSEHMMKRMRTGQSDEVSFSGVAHTPNIYSQDDLPKTVVRSLNQGSSVMSMDFHPQQQTILLVGTNVGDISLWEVGSRERLAHKPFKVWDLSVASMPLQTALLNDAAISVNRCVWGPDGLMLGVAFSKHLVQIYTYNPTGELRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKMIKVWDAVAGRRQYTFEGHEAPVYSVCPHHKENIQFIFSTAIDGKIKAWLYDSVGSRVDYDAPGLWCTMMAYSADGTRLFSCGTSKEGESHLVEWNESEGTIKRTFSGFRKRSSGVVQFDTTRSRFLAAGDEFLIKFWEMDNINMLAAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILANNDGLRLIRMLDSRAADKNCSPSEPINSKPLILNALGPVSSGIAPVLERSDRIPPAMSISNLGTTDSSRLIDVKPRISDELDKMRSWKIPDIVDPSQLKALRLPDPVATGKVVRLIYTNSGLALLALASNAVHKLWKWQRSDRNQSGKATAYVAPQLWQPPSGTLMNNDISDTKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAVGMEDSSVQIYNVRVDEVKTKLKGHQNRITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKRKSRFIQAPPGRQSPLIGETRVQFHNDQTHLLVVHETQVAIYDSKLECLRSWYPKDTLTAPISSAIYSSDGLLVYTGFCDGAVGVFDADGLRVRCRIAPSAYIPPSVAGNNAYPLVIAAHPSEPNQIALGMSDGAVHVVEPSDVELKWGGPSSQDNGSLPSNSSNPPMSGQQSELPSR >Manes.03G025000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:2029630:2032318:1 gene:Manes.03G025000.v8.1 transcript:Manes.03G025000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFTDTGNLKRAHLYEYRITGYFIFACVIAASGGSLFGYDLGVSGGVTSMDDFLKEFFPTVYEKKHAHLHETDYCKYDNQILTLFTSSLYFAALFTTFGASYVTRTRGRRASILVGSTSFFIGALVNAFAKNIAMLIIGRCFLGAGIGFGNQAVPLYLSEMAPAKIRGAINQLFQLTTCLGILVANFINYGTEKIHPWGWRLSLGLATLPATIMFVGGIFLPETPNSLIEQGKLEEGRKILEKVRGTTQVDAEFDDLVDASNAARDIKHPFKNLLKRKNRPQLVIGALGIPAFQQLTGNNSILFYAPVIFQSLGFSNDAALYSAVITNAALVVGALISMAFVDKFGRRAFFLEAGVEMFIVMVAVGITLALKFGDGKPISKGTGVFLVVVICLFVLAYGRSWGPLGWLVPSEIFPLEARSAGQSIVVCVNMIFTALVAQCFLVSLCHLKYGIFLLFAGLIFIMSAFVFFLLPETKQVPIEEVYLLWQNHWFWKKIVSEQGKLELHV >Manes.04G084200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:28549158:28551046:-1 gene:Manes.04G084200.v8.1 transcript:Manes.04G084200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQSPSMLLYLLPLLVAFMIFLLHSRRKLPYPPGPRGYPIIGNMGIVDQLTHRGLANLAKKYGGLFHLQMGRLHVMAVSTPEVAQEVLQAQDIVFSNRPANVAITYLSYNRADMAFANYGPLWRQMRKICVMKLFSRKRAESWASVREEVDSIIRSVMEKTASQVDIGELLFALTRNITYRAAFGSFSHEGQDEFMKILQEFSKLFGAFNIADFFPWLGWIHAQDFNKRLVRARQSLDRFIDTIIDEHMEKKQRDKHDSKDENGVEIEKDMVDELMAFYRQEDQENGLYDSQSTIKLTRDNIKAIIMDVMFGGTETVASAIEWAMAELMKSPEDLEKVQEELEEVVGLNRVVHESDLENLTFLKCVSKETLRLHPPIPLLLHETAEDSVISGYRIPAKSRVMINAWAIGRDPNAWHEPERFNPSRFLKDGAPDFKGSNFEFIPFGSGRRSCPGMQLGLYAFEMSLAHLLHCFKWELPNGMKASELDMSDVFGLTAPKADRLVAVPTYRLNCAF >Manes.15G135550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:10962581:10963390:-1 gene:Manes.15G135550.v8.1 transcript:Manes.15G135550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEEEEEEEGEEGEEEEEGEEEEEEEEEAEEGEEEEEGEGEEAEGEEEGEGEGEEGEGEEGEEEEEEEEGEEEGEEAEEEARRRRRRRRRSRRRSKKKKKKKKKKEKEKKEKKKKKKKKKKEKKKKKEKEKEKKKEKEKKQKKKKEKEKKEKEKKEKEKEKKKKKKKKKKKKKKKKKEKKEKKEKKEKKKKKKKKKKKKEKKKKKKEKKKKQKEKEKKQEKKQKKKQKKKQKKKQEEEEEEGEGEEEGEEERRRRRRRRRRRRRRRRSVI >Manes.15G159901.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13302448:13305661:1 gene:Manes.15G159901.v8.1 transcript:Manes.15G159901.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIGSPKNKVPAVGTASLNLAEYASTAEQKELELSLPLSLPAGAAEPKPMLCISLILLELRFPEATKPLQREIVPVSSPPQSGETVSTEKDELSAIKAGLRKSHKADEDSNANRSSVSDFGDDNFAIGSWEHKEIISRDGHMKLQTEVFFASIDQRNERAAGESACTTLVAVIADWFHNNHGIMPIKSQFNHFLLFLASPLSDFSIPKAWTREDLTFCMVPCPLIIFGMRLVAVDRMRK >Manes.02G170100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:13439712:13442750:1 gene:Manes.02G170100.v8.1 transcript:Manes.02G170100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRAALPLNLLGQRGSSFVEFSFLHNLSKLPMEFLWPKDELVSADKELMEPEVDLEGFFKGDEEETWKAAEMIKAACLNHGFFQVINHGVDLNLISAAHRQIDLFFSLPTTEKIRARRMPGSLSGYSGAHADRYSSKLPWKETLSFGYHENSSDPVVLDFFKITLGEDFVQTGMVYQKYCEAMKNLSLSIMELLAISLGVDRHHYKKFFQDGCSMMRCNFYPKCQEPDLALGTGPHSDPTSLTILHQDHVEGLQVFANNMWQTVKPRHGALVINIGDTFMALSNGVYKSCLHRAVVNKYEARKSLAFFLCPREDKVVTPPKDLVSREGKRIYPDFTWSDLLHYTQKYHRADNATLQNFIKWLLSSQSGKV >Manes.03G177500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:30042138:30047933:-1 gene:Manes.03G177500.v8.1 transcript:Manes.03G177500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEIDKESNGNTPTTRENGSHLRPVAPEESGEGFPYAPVDWPKPGDIWKWRVGRRVAFNGHFLDRYLYPPRRLGRPENLPGSKRGFASKLSVERYIRTKFPDANVEAFFASFSWKIPSKVAPTNGNLEGHTFLPFEETAENSESDSQSDAARCKAGNKRCDSLMVQAENVSISAMPCDICCNEPRFCRDCCCILCCKIINSKYGGYSYIKCQALVSEGYICGHVAHIDCALRTYMAGTVGGSIGLDVEYYCRRCDTKTDLVSHITRLLQTCESVDSCEELEKILTVGICILRGSQRSTAKGLLNRIESVIAKLKCGTSLDDVWKAEEDVSAISAGVSPHVMAEVTNHQDLLDSVIRSPHTVSLSLDYRAEVLKLEDEIDQALHELRKSQASEYKIAEDRLYAQKGYLHNLYQQLERERSEWTHCKSITKKDVLLDAVLNRVDQIKQEVAKLKDMEQVAKGFGRTSKGILREHFGLEIEE >Manes.04G064000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:24608401:24610460:-1 gene:Manes.04G064000.v8.1 transcript:Manes.04G064000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFLSIIIACIFLISSLRFMLQTRKQHKKLPPSPPSLPIIGHLHLLKPPINKTLHRLTQSYGPIIYLRLGFRPVVVVSSPSAVEECFTKNDVIFANRPDFSMGRYLYYNNTVLAAANYGDHWRNLRRISTVEILSSNRLNQFQSIRRHEVSTLLQRLHRVSSKGFAKVELRSIIFDMTTNIIMRMVAGKRYYGEDVKESVTEEARKFREIMEEIKECTGITHLGDLFPILKWVDYDGFIKKLIRLGKKVDLFMQGLIDEHRIDKDRNTMINHLLAMQKSHPLYYTDEIIKGMILIMLDAATETTAMSLEWAISNLLDNPNVLMKAKAEINAQIGEDRLINESDLPKLNYLQSIISENLRLYPVAPLLAPHLSSDDCTVGGFHVPSNTMLIVNVWAIQRDPNLWEDSTSFKPERFENGKAEAFKFLPFGLGRRACPGEGLAIRTMGLTLGSLIQCFEWETVDGKDIDMNEKTTITLSKAEPLETMCKSRPILDKVLP >Manes.15G107700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:8627968:8632761:1 gene:Manes.15G107700.v8.1 transcript:Manes.15G107700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCKNEMPFSVLTSTRKKMEALKGSFMSSLKIPSTPARLHKTQFLNFQFCSIPTSFLKSIQRDTSRSKLFTTLSSSPVSTEPIDPTDSLPETDTQEEKFDWFSQWYPVMPVCDLDKRVPHAKRVIGFDVVVWWDRNESSWKVFDDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPDGPPVHTFKKACVAAYPSTVQNGILWFWPNTNPEYKDILSKKKPPYFPELDDPSYVKPMANREFPFGYEILIENLVDPSHVPYAHFKLLPNPQSKNRDKLDREGGAPIDISIEKLDKNGFQATRNGGNSKFMAPCTYYSAVSPPTSVSSSGNSKEPSSNVPQRKVLLIFYCIPVSPGKSRLIFTFPRNFGVWIDKIIPRWVFHLGQNLVLDSDLYLLHIEEHKIMEVGPSNWQKACFVPTKSDAQVVAFRKWLKKYSDCQINWGEKFSGGLPPTPPKEQLMDRYWSHVVNCSSCRVAYKGLNALEVVLQVMAIVSIGIVAATKQNVLSAVTRTALVLMAVLCFAASRWLAHFIYKNFHFHDYNHALL >Manes.15G087600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6709615:6715563:1 gene:Manes.15G087600.v8.1 transcript:Manes.15G087600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNAYLTTPTKTPSSTSTSSLSRKQQNPNSTSTTSSSSSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDHTAVEPRCRFQEEDSEEYGSPVVSATTIADIIKSRTEALLKRTKAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPEKTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPSFRRTIIVVSKFDNRLKEFSDRWEVDRYLSASGYLGEGTRPFFVALPKDRSTISNDEFRRQISQVDSEIVRHLREGIKGGFDEEKFRPYIGFSSLRDYLESELQKRYKEAAPATLALLEQRCCEVASELAKMDSKIEATSDVAQLRRSAMLCTASICSNVGALVDGAADPAPEQWGKTTEEEKSESGIGSWPGVTVIIKPPNATLRLYGGAAFERVIHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARAAARSWLAPLLDTACDRLAFVLGNLFDLALERNRFRNSEYAKQSGNIDGYVGFYAALRHAYNQFVRDLAKQCKQLVRHHLDSVTSPYSQVCYENDFQGGFGLSGTSFFKLNQASAGSFYFELSDARESSHDETMRDQENIPPEKKVQQTTPGKGAEAREALKESQMTVPETPSPDQPCDVVYGVAKKEHGNCIEIGPRKRISRMTGNSENCRVQNGGGPLFGNGESGSRSCSAYSEICSSAAQHFARIREVLVERSVMSTLNSGFLTPCRDRLVVALGLDLFAVGDEKFMDMFVAPDAIDVLQNERQSLQKRQKILQSCLSEFKSVARAL >Manes.15G087600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6709716:6715328:1 gene:Manes.15G087600.v8.1 transcript:Manes.15G087600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNAYLTTPTKTPSSTSTSSLSRKQQNPNSTSTTSSSSSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDHTAVEPRCRFQEEDSEEYGSPVVSATTIADIIKSRTEALLKRTKAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKFWQAKKGEPEKTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPSFRRTIIVVSKFDNRLKEFSDRWEVDRYLSASGYLGEGTRPFFVALPKDRSTISNDEFRRQISQVDSEIVRHLREGIKGGFDEEKFRPYIGFSSLRDYLESELQKRYKEAAPATLALLEQRCCEVASELAKMDSKIEATSDVAQLRRSAMLCTASICSNVGALVDGAADPAPEQWGKTTEEEKSESGIGSWPGVTVIIKPPNATLRLYGGAAFERVIHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARAAARSWLAPLLDTACDRLAFVLGNLFDLALERNRFRNSEYAKQSGNIDGYVGFYAALRHAYNQFVRDLAKQCKQLVRHHLDSVTSPYSQVCYENDFQGGFGLSGTSFFKLNQASAGSFYFELSDARESSHDETMRDQENIPPEKKVQQTTPGKGAEAREALKESQMTVPETPSPDQPCDVVYGVAKKEHGNCIEIGPRKRISRMTGNSENCRVQNGGGPLFGNGESGSRSCSAYSEICSSAAQHFARIREVLVERSVMSTLNSGFLTPCRDRLVVALGLDLFAVGDEKFMDMFVAPDAIDVLQNERQSLQKRQKILQSCLSEFKSVARAL >Manes.15G087600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6709716:6715328:1 gene:Manes.15G087600.v8.1 transcript:Manes.15G087600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNAYLTTPTKTPSSTSTSSLSRKQQNPNSTSTTSSSSSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDHTAVEPRCRFQEEDSEEYGSPVVSATTIADIIKSRTEALLKRTKAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKFWQAKKGEPEKTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPSFRRTIIVVSKFDNRLKEFSDRWEVDRYLSASGYLGEGTRPFFVALPKDRSTISNDEFRRQISQVDSEIVRHLREGIKGGFDEEKFRPYIGFSSLRDYLESELQKRYKEAAPATLALLEQRCCEVASELAKMDSKIEATSDVAQLRRSAMLCTASICSNVGALVDGAADPAPEQWGKTTEEEKSESGIGSWPGVTVIIKPPNATLRLYGGAAFERVIHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARAAARSWLAPLLDTACDRLAFVLGNLFDLALERNRFRNSEYAKQSGNIDGYVGFYAALRHAYNQFVRDLAKQYARESSHDETMRDQENIPPEKKVQQTTPGKGAEAREALKESQMTVPETPSPDQPCDVVYGVAKKEHGNCIEIGPRKRISRMTGNSENCRVQNGGGPLFGNGESGSRSCSAYSEICSSAAQHFARIREVLVERSVMSTLNSGFLTPCRDRLVVALGLDLFAVGDEKFMDMFVAPDAIDVLQNERQSLQKRQKILQSCLSEFKSVARAL >Manes.12G087400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:12992232:12996747:-1 gene:Manes.12G087400.v8.1 transcript:Manes.12G087400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNGKEEIEDMLLPLRPTVSVLPTEFGSATNKPLFLYRKPAYCFSIREPSPSFKSSLHCYCIKEEITDNPTEGFSALSSDIPWERGSIWSTMAIYMFNLHIPLGIGGLSIVAYLWHRPVLDPQTEALSLLVIQILELTGALLLLRTTAKPEHKLMSFFKSKKERNWVLASAVGFGSLVLLVFLTSLLADVLVEAKGVNNPILKEILHSSNISKTACVFVYCLITPLLEETIYRGFLLTSLASTMNWQKAVFVSSAAFSAAHFSGENFLQLFIIGWVLGCCYCWSGNLSSSIAIHSLYNAMILIVTFVS >Manes.08G155711.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:39265006:39265341:-1 gene:Manes.08G155711.v8.1 transcript:Manes.08G155711.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPITVMTTIIVTAIHSLGFFSILLSPLLPLVCNIINNRVRNTGSHAQKFLSLILAFQYSDSFPLDLQSTTACLDGLTVLTDKVPIFVHLLRNKFNSVLYECIFMLRK >Manes.03G041600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3660971:3671176:-1 gene:Manes.03G041600.v8.1 transcript:Manes.03G041600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSLIRKLCRAITIDGKRRVLLSGSIHYPRSTAEMWPDLIRKSKEGGLDAIETYVFWNAHEPTRRQYDFSGNLDLVRFIKTIQAEGLYAVLRIGPYVCAEWNYGGFPVWLHNLPDVELRTANKVFMNEMQNFTTLIVDMMKQEKLFASQGGGPIIIAQIENEYGNVMGPYGAAGKAYLDWCANMADSLHIGVPWIMCQQSDAPQPMIDTCNGWYCDSFTPNNPNSPKMWTENWTGWFKSWGDKDPLRTAEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRTAGGPYITTTYDYNAPIDEYGNLNQPKWGHLKQLHDVLHSIEETLTHGNISTIDYNNSVTATIYATEKGSSCFLGNANATSDATVDLHGTKYTVPAWSVSILPDCKSVAYNTAKVKTQTTVMIKKKNQAEDEPSSLKWSWRPENIHNTVRLGKGHFQTHQLLDQKDAANDASDYLWYMTSVHLKKNDPIWSDNMTLRVNGSGYILHAYINGEYLGSEWAKYGIFNYVFEKSVKLKPGRNLISLLSVTVGLPNYGAMYDLIEAGVVGPVELVGYKGDERIAKSLSANKWSYKIDLNGLDNQLYSLDSSHASKWQEGDLPTNRMMTWYKATFKAPLGKDPVVLDLDGMGKGFAWVNGHNIGRYWPSYLAEEDGCSTEACDYRGPYDNNKCVFNCGKPTQRWYHVPRSFIENDVNTLILFEEFGGNPARVNFQTVSVGSVSGSVIEGDTIELSCHGQPISAIEFASFGDPQGTPGSFSKGSCEASKNAVSIIEKACVGKENCKIEVSKNVFGSTNCESSHVNTLIVEALC >Manes.07G019500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2182105:2187486:-1 gene:Manes.07G019500.v8.1 transcript:Manes.07G019500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKEIGSGNFGVARLMRNKETKELVAMKYIERGNKIDENVAREIMNHRSLIHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHTLQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDHEDPKNFRKTINKIMAVQYKIPDYVHISQDCRQLLSRIFVAYPAKRITIKEIKNHPWFLKNLPRELTEAAQAMYYKRGNNTFSLQTDEEIMKIVEDGKIPPPVSRTIGSFGWGGEEDGDGKEDNDTEGEEEEEEEEEEDEYEKRVKEAQASGEFHVS >Manes.01G049200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:13273390:13274133:1 gene:Manes.01G049200.v8.1 transcript:Manes.01G049200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIWAQRKIEEEDMEQERELEGDFEEKDSVKVKIVLTKEELEWLMLQLKVNEGKNLEDVLLEIQREREKIKAWKPCLESILEIPEAL >Manes.09G049246.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:10326732:10328452:-1 gene:Manes.09G049246.v8.1 transcript:Manes.09G049246.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSHQLHFLLFPFMAQGHMIPMMDIARLLAHHGMIVTVITTPLNAKRFTPTLSRAVESGLRIQFIELQFPAEEAGLPKDCENFDMLPSLGSAVEFFLSTYRLLEPVQRLLEELKPRPSCIISDMCLPYTAQVARKIGVPRIAFNGFCCFSMLCMHCIYTSRILESLKSESEYFVVPGLPHHIEVTKDQLPESAVQNIDAFNEQLAEADKLTYGIIINTFEKLEAACVQEYKKVRRDKVWCIGPVSLYNKNSLDMVQRGNKASVEGSECFKWLDSQQPGSVIYVCFGSICNLLTSQLIELGSGLEASNRPFIWVLRGGGKSKEIEDWIVEDGFEERTKGRGLIIRGWAPQVAILSHPAIGGFLTHCGWNSTLEGICAGAPMVTWPLFADQFFNERLVVDVLKIGVKVGTEVTVGWGKEEKVGVLVRREAVTRAIDRLMDEGEEGEERRKRAKELSIMAKNAMEEDGSSYLNMKLLIQDILGQKC >Manes.17G109300.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31578139:31582745:1 gene:Manes.17G109300.v8.1 transcript:Manes.17G109300.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELTHTPGSGGLTVEECQDMIRRSLETQKVKFLKEHLEKAGCGIGDNFINAVNCDKNISGGYVRGEGIMVCSNHMNVQDEVNQVVMHELIHAYDDCRAANLDWANCAHHACSEIRAGHLSGDCHYKRELLRGYMKIRGHEQECVRRRVMKSVIANPYCSEAAAKDAMEAVWDVCYNDTKPFDRAP >Manes.17G109300.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:31578139:31582745:1 gene:Manes.17G109300.v8.1 transcript:Manes.17G109300.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELTHTPGSGGLTVEECQDMIRRSLETQKVKFLKEHLEKAGCGIGDNFINAVNCDKNISGGYVRGEGIMVCSNHMNVQDEVNQVVMHELIHAYDDCRAANLDWANCAHHACSEIRAGHLSGDCHYKRELLRGYMKIRGHEQECVRRRVMKSVIANPYCSEAAAKDAMEAVWDVCYNDTKPFDRAP >Manes.10G061200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:8552918:8555335:1 gene:Manes.10G061200.v8.1 transcript:Manes.10G061200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPDLHHQLILFFLAASLCFSICKPFQLISGPGDGFSWINEEDSEVDMVQTRHDSSSSCDLNSGKWVYDQSYPLYDSTCPYLSTAVTCRKNGRPDSDYEKWRWKPHGCSIPRFDALKFLGKMRRKRIMLVGDSIMRNQWESLVCLVQGVIPTGHKTVSYNGPSMAFHALDFETTIEFSWAPLLVELKKGSGNKRILHLDMIEENARYWRNVDVLVFDSAHWWTHSEQWSSWDYYMEGQSLIKSMNPMIAYQKGLTTWAKWIDLNLDPRRNRVIFRSMSPRHNRENGWKCYNQREPLAFFSHQHVPEQLVVLKEVVRKMSFPVYLQDITTISALRRDGHPSVYRRAISQEERQHPSGFSSDCSHWCLPGVPDIWNEMLNAIL >Manes.15G072900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:5516293:5518467:1 gene:Manes.15G072900.v8.1 transcript:Manes.15G072900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLILVVGGLVGYVKKRSMASLGGGVGTGLLLVLAGYLSLKAFERRKNSYLAFVIETVCAAALTFIMGQRYVQTSKIMPAGIIAGISALMTLFYVYKIATGGNHIPVKAE >Manes.05G172300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28692906:28695158:1 gene:Manes.05G172300.v8.1 transcript:Manes.05G172300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQHRNTRENSENKHEDIKLKQEGNQQVQITTSPASPPSASSSPSHEFSFTISLHSSSTPVPDKAKAPPPSFAIDLSPADDIFFHGHLLPLHLLSHLPVSPRSSTNSMDSFTLPIRELLADQKPNKSNINNCSSTSHGNSSNVKNNINCSSSNGERKARSKPKPFSLFGWRKGCEVRESEDKEKQKKKLRFEVSQILKRYMRMVRPLTFFKGKKENFNIQRKPHSFSGNSSLRNKQELRGRKGGFSAPASMRTSPTNSGLLVATAPAVASPTSDSTMEELQAAIQAAIAHCKNSIAAEEKIKF >Manes.15G184500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28903060:28922738:-1 gene:Manes.15G184500.v8.1 transcript:Manes.15G184500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLESKRKRKTSLEEKLPTSNNHRKKAKHDFFILFLLYSLSKFQVFAACFFVFFFCFIIIFLLLLLSSSFTMEKHQYGLLVIFSIVVSLGLVSFTSCIIAETKKAKKEEVKLDNELCYLPESRAFSYGIAAVVCLVIAQIIANLVICSNFWLRKDGDSSKAKKPIAATAFLVLSWISFVLAFILLSAATSMSRKQLYGKGWLDQKCYIAKDGVFIGSGILVLVSITTTIGAVLFTIRKVQSEQGRRIHTQFA >Manes.15G184500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28917708:28922697:-1 gene:Manes.15G184500.v8.1 transcript:Manes.15G184500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLESKRKRKTSLEEKLPTSNNHRKKAKHDFFILFLLYSLSKFQVFAACFFVFFFCFIIIFLLLLLSSSFTMEKHQYGLLVIFSIVVSLGLVSFTSCIIAETKKAKKEEVKLDNELCYLPESRAFSYGIAAVVCLVIAQIIANLVICSNFWLRKDGDSSKAKKPIAATAFLVLSWLLVCSWKLMFETTLCRAQWTSTLLRRAGMKT >Manes.15G184500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28903060:28922738:-1 gene:Manes.15G184500.v8.1 transcript:Manes.15G184500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLESKRKRKTSLEEKLPTSNNHRKKAKHDFFILFLLYSLSKFQVFAACFFVFFFCFIIIFLLLLLSSSFTMEKHQYGLLVIFSIVVSLGLVSFTSCIIAETKKAKKEEVKLDNELCYLPESRAFSYGIAAVVCLVIAQIIANLVICSNFWLRKDGDSSKAKKPIAATAFLVLSWLLVCSWKLMFETTLCRAQWTSTLLRRAGMKT >Manes.15G184500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:28903060:28922738:-1 gene:Manes.15G184500.v8.1 transcript:Manes.15G184500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLESKRKRKTSLEEKLPTSNNHRKKAKHDFFILFLLYSLSKFQVFAACFFVFFFCFIIIFLLLLLSSSFTMEKHQYGLLKEEVKLDNELCYLPESRAFSYGIAAVVCLVIAQIIANLVICSNFWLRKDGDSSKAKKPIAATAFLVLSWISFVLAFILLSAATSMSRKQLYGKGWLDQKCYIAKDGVFIGSGILVLVSITTTIGAVLFTIRKVQSEQGRRIHTQFA >Manes.08G088500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:25437582:25440061:-1 gene:Manes.08G088500.v8.1 transcript:Manes.08G088500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLRFFSAFVLFFIPVSCHPNELFFAGFKDVGANITLSGVAEIGKNGILRLTNETSRLMGHAFYSSPFQFKNSTNGKAFSFSTSFAFAIVPEYPKLGGHGLAFTISTSKDLKALPSQYLGLLNSTDLGNFTNHLLAVEFDTVQDFEFDDINDNHVGIDINSLKSNASAPAEYYTDNSTKLGLNLKGLLASSHNLLGWSFKMNGQAPALDLSSLPSLPAGPRKKHMGLTIAVAVSSVFLAIIALAVASFYVIRKIKNTEIIEDWELEIGPHRYSYEELKQATNSFSDKELLGQGGFGQVFKGTLPGSKTQVAVKRISNDSKQGLREFVSEIGSVGRLRHRNLAQLLGWCRRRDDFLLVYEYMANGSLDRFLFDEPRIILNWELRFKIIKDVASGLLYLHEGYEQVVIHRDVKASNVLLDSELNGKLGDFGLARLYEHGSNPSTTRVVGTLGYLAPELPRTGKATTSSDVYAFGALLLEAACGRRPVEPKALPEEMVLVDWVWQRFREGRVLDVVDHRLNGEYDEREMTMVLKLGIMCSNNVPIARPTMRQVMRFLDGEAQLPENLRAPNGAEYGDGGGMEIIDGFDDFVDSFASSSFDKMSSSYSFMEHRDGGNSFASVSTSLSLLHVRGETR >Manes.08G088500.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:25437567:25440095:-1 gene:Manes.08G088500.v8.1 transcript:Manes.08G088500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLRFFSAFVLFFIPVSCHPNELFFAGFKDVGANITLSGVAEIGKNGILRLTNETSRLMGHAFYSSPFQFKNSTNGKAFSFSTSFAFAIVPEYPKLGGHGLAFTISTSKDLKALPSQYLGLLNSTDLGNFTNHLLAVEFDTVQDFEFDDINDNHVGIDINSLKSNASAPAEYYTDNSTKLGLNLKGRYPILVWIDYDSVENLLNVTISPTSKKPRLPILTFPIDLSPIFKEYMYVGFSASTGLLASSHNLLGWSFKMNGQAPALDLSSLPSLPAGPRKKHMGLTIAVAVSSVFLAIIALAVASFYVIRKIKNTEIIEDWELEIGPHRYSYEELKQATNSFSDKELLGQGGFGQVFKGTLPGSKTQVAVKRISNDSKQGLREFVSEIGSVGRLRHRNLAQLLGWCRRRDDFLLVYEYMANGSLDRFLFDEPRIILNWELRFKIIKDVASGLLYLHEGYEQVVIHRDVKASNVLLDSELNGKLGDFGLARLYEHGSNPSTTRVVGTLGYLAPELPRTGKATTSSDVYAFGALLLEAACGRRPVEPKALPEEMVLVDWVWQRFREGRVLDVVDHRLNGEYDEREMTMVLKLGIMCSNNVPIARPTMRQVMRFLDGEAQLPENLRAPNGAEYGDGGGMEIIDGFDDFVDSFASSSFDKMSSSYSFMEHRDGGNSFASVSTSLSLLHVRGETR >Manes.03G133100.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:25981543:25982142:-1 gene:Manes.03G133100.v8.1 transcript:Manes.03G133100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFNKSQIVMLSLLAALLFVTPLLSSSLRPKYLYFIINLLIIALGAEAGLLSAVFSKPFDDKKLAVPVSVKSQVVTASEVSSSPEAKAIPPACSEKKARVVEKSASEKIVSSVKVEEKVKKCPSMPSLFFIGGGETEVEDVANEKQVEEDKEEEEAGGLSGQELFTKAETFIGNFYKQLKMQREESWKRIHGFYQKAF >Manes.12G139100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:34565903:34568303:1 gene:Manes.12G139100.v8.1 transcript:Manes.12G139100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKKTKKTHESINNRLALVMKSGKFTLGYKTVLRSLRASKGKLIIISNNCPPLRKSEIEYYAMLAKIGVHHYNGNNVDLGTACGKYFRVSCLSVIDPGDSDIMKGLPGDH >Manes.14G171800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:28203531:28206270:-1 gene:Manes.14G171800.v8.1 transcript:Manes.14G171800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTQNPQIFELNNGTMLVKITNLGCTITSLSVPDKNGNLADVVLGFDSVEPYLKGAAPYFGCIVGRVANRIRNGKFTLNGVEYTLPINKAPNSLHGGHKGFDKVIWEVAEYKKGENPSITFKYQSHDGEEGYPGDLSITATYTLTSSTTMRLDMEAVPKDKATPVSLAQHTYWNLAGHNSGNILDHSIQIWGSHITPVDENTVPTGEIMPVKSTPFDFTSEKKVGASIHEVGLGYDHNYILDCGEEKSGLKRAAKLKDPSSSRVLNLWTNAPGMQFYTGNYVNGVVGKGGAVYGKHSGLCLETQGFPNAINQSNFPSVVVQPGETYKHTMVFEFSVE >Manes.12G058902.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5676655:5677028:-1 gene:Manes.12G058902.v8.1 transcript:Manes.12G058902.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRLLWFTVGFSVSAAAIGHFIWRDLWADRYALSSQTRQTFDALEARILNLQSLSSQNTNPPQVCLCFYILFSLLKHDIQKRKGLKEKLEEWR >Manes.12G058902.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5674982:5677028:-1 gene:Manes.12G058902.v8.1 transcript:Manes.12G058902.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRLLWFTVGFSVSAAAIGHFIWRDLWADRYALSSQTRQTFDALEARILNLQSLSSQNTNPPQVEG >Manes.07G090300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28313595:28319594:1 gene:Manes.07G090300.v8.1 transcript:Manes.07G090300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGINLVMTVIGFAVSTMFIVFVCTRLVCARIQLNTARRSFPISSRSDLSILERGLHGLEPVVVANFPTKKYSDELFLTSEDAQCAVCLAEYHREDILRILPYCGHSFHMTCIDIWLQQHSTCPVCRISLREIPEKKRMMQPLFSSAIRNPYGPESFDTHAFNCLFAGHGLSSITHDNRVIDPIQESHCASEGPEAAAGENLFPTTEGNQNAKDSRNKHVESPSSI >Manes.07G090300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28313596:28319594:1 gene:Manes.07G090300.v8.1 transcript:Manes.07G090300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSGINLVMTVIGFAVSTMFIVFVCTRLVCARIQLNTARRSFPISSRSDLSILERGLHGLEPVVVANFPTKKYSDELFLTSEDAQCAVCLAEYHREDILRILPYCGHSFHMTCIDIWLQQHSTCPVCRISLREIPEKKRMMQPLFSSAIRNPYGPESFDTHAFNCLFAGHGLSSITHDNRVIDPIQESHCASEGPEAAAGENLFPTTEGNQNAKDSRNKHVESPSSI >Manes.02G167850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:13205144:13207920:-1 gene:Manes.02G167850.v8.1 transcript:Manes.02G167850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKEIFLGKLLGEIIESSGTTNSVTGIILDRLSLSGDLKFSTLLNFESLQNLSLSGNHFTGRLVPTLGSISSLQHVDLSDNQFSGPIPGRIAELWNLKCINLSRNGFEDGFPVGLPVPFRNSQQLRVLDLHSNKFRGNVREVLSELINLDYWDLSDNEFHGELGGLSVENVSGLANTVRFIKLQQK >Manes.18G106950.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:10552433:10555052:1 gene:Manes.18G106950.v8.1 transcript:Manes.18G106950.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVRLLDAAQMWGEFHEAIVNYDDTSRRLGILLEQMHATEDASDYLWYTFRFQQEASDAGAVLNVKSLGHVLRAFVNGQASGCVQGSKKNPEFNLQSNVSLNAGVNNVPLLSVMVGLPVISKSHASPNFTFQKLFIQLWFKGFGSTFGESGGRNKDGDDSR >Manes.06G151400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:27906240:27909205:1 gene:Manes.06G151400.v8.1 transcript:Manes.06G151400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGFSSGGSDFYTGIMGRSITNMNSNNPSQPSYRTQLSQVFLDPTSQIAQHQTSTTNFTAQSVIGKRSLADFQAHQQQLQQPNPNLNPALNGLLRSVKPRMYQHTSPISTLSPIELSVNMASELPSLSRRYGMPLLQQLRPQPINLGPGPTIHSTNHAASAVPHMNTLQQQNRGVVIGGHESKKKMMNRLQELEKELLDDNEDEEGDAVSVITNTNSEWSETIQNLITSSTPNPISPSPTSSSSSSSSSTSVTTPHNCSRQTLLDAASAIYEGKNDVACEILTRVSQVLNPRVNSEHRLMEYMLMALKSRVNPFENPPPVAELYSKEHVSSTQLLYELSPCFKLGFMAANLAILEATVDQPNTSSSGFHVIDFDIGQGCQYMNLLHALSERMNGKPSTVKITAVADNIGEEKERLKVVGSTLSQLAEQFGVSLRFNVVSCKFGELSRESLGCDPEDPLAVNFAFNLYRMPDESVSTENPRDELLRRVKRLAPCVVTLVEQELNTNTAPFMARANEVISYYGALFESIESTVQRENSERVKVEEGLGRKLANSVACEGRERVERCEVFGKWRARMGMAGFELKPLSQKVTESMKGRLSSGKRVNPGFTVKEEHGGVSFGWMGKTLTFASAWS >Manes.01G119800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31615810:31622529:-1 gene:Manes.01G119800.v8.1 transcript:Manes.01G119800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSNTTARSFLQVASTEEVAPPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSILEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDAFMELYRSNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEADSPITQCDYDRLQLSTNPFMERNVEFLIECMDELSMEQQKFQFYYRNLSRQQAQQQAWLQKRRSENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLDSFLITNQISNFCNQINGVSGQSFSRLYLMKALQES >Manes.01G119800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31615810:31622529:-1 gene:Manes.01G119800.v8.1 transcript:Manes.01G119800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSNTATARSFLQVASTEEVAPPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSILEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTLLGSFQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDAFMELYRSNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEADSPITQCDYDRLQLSTNPFMERNVEFLIECMDELSMEQQKFQFYYRNLSRQQAQQQAWLQKRRSENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLDSFLITNQISNFCNQINGVSGQSFSRLYLMKALQES >Manes.11G053000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6594769:6598568:1 gene:Manes.11G053000.v8.1 transcript:Manes.11G053000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSIQLSCDSVIGRCWDCAAGQAMYICQLEDNFQALEDAREKLKALKSDVERVIRDAGPQMKMLEQVRDWLSKVQTTLNEVDRLIGDGPQEIEKLCLGGCCSKNCYSSYMFGKRVAKKKKVVIALTNKGNDIKGVVSAEPLYIKRLEDDLKSLQTEREELVGLKLDVMHRVREEEGLQKKPLQQVQVWLSMVEASIVDADALLRDGPEEIKKLKSNGCSNSDFGEKVAKRLENVVEQKRKGDFKDVAARDLVESVLERPTEPTVGLGTMLDKVWSCLMQEQVGILGLYGMGGVGKTTLLTKINNRFLNIPNDFDFVVWVVVSKDLRLVKVQEEIGRRIGISIREWKSKSIDDRATEIFKTLRKKKFVLLLDDVWDRVSLRTAGVPLPTKQNGSKIVLTTRSEVVCSQMDTHRRIKVEPLAWEKAWKLFKEKVGEETLSMDPIIPDLAKDVARECGGLPLALITIGRAMACNKTPEEWRWALNDLRRSTSDLRGLTDEVFPLLKYSYDKLPNNRVRSCFLYCALFPEDFRIFKNDLIDYWICEEFWDDEENEDVARDRGYHIIGTLVYACLLEEEEGNYVKMHDVLRDMALWIACKRERSKHNFLVRSGAQLTEAPKVGNWEGATRISLMENSIQNLLEVPTCPELLTLFLCRNPHLHQITSNFFQFMDALTVLDLSNSSVKELPPGISKLASLAYLNLSRTCIQQLPVDMKMLRKLKYLNLEHNDFLDMIPRQVISNLAALQVLRMVNCSFFYEATEGNILSDSDTLVAELQCLKHLNELSIAIKSASALQSYVSTHGLLSCTQALSLECFSCSKSFDFSWIANMKLLETLHISVIKHLEEMNIDCNWRLVRERFCGSLREVSVEYCPRLKNLSCR >Manes.11G053000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:6594760:6598222:1 gene:Manes.11G053000.v8.1 transcript:Manes.11G053000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSIQLSCDSVIGRCWDCAAGQAMYICQLEDNFQALEDAREKLKALKSDVERVIRDAGPQMKMLEQVRDWLSKVQTTLNEVDRLIGDGPQEIEKLCLGGCCSKNCYSSYMFGKRVAKKKKVVIALTNKGNDIKGVVSAEPLYIKRLEDDLKSLQTEREELVGLKLDVMHRVREEEGLQKKPLQQVQVWLSMVEASIVDADALLRDGPEEIKKLKSNGCSNSDFGEKVAKRLENVVEQKRKGDFKDVAARDLVESVLERPTEPTVGLGTMLDKVWSCLMQEQVGILGLYGMGGVGKTTLLTKINNRFLNIPNDFDFVVWVVVSKDLRLVKVQEEIGRRIGISIREWKSKSIDDRATEIFKTLRKKKFVLLLDDVWDRVSLRTAGVPLPTKQNGSKIVLTTRSEVVCSQMDTHRRIKVEPLAWEKAWKLFKEKVGEETLSMDPIIPDLAKDVARECGGLPLALITIGRAMACNKTPEEWRWALNDLRRSTSDLRGLTDEVFPLLKYSYDKLPNNRVRSCFLYCALFPEDFRIFKNDLIDYWICEEFWDDEENEDVARDRGYHIIGTLVYACLLEEEEGNYVKMHDVLRDMALWIACKRERSKHNFLVRSGAQLTEAPKVGNWEGATRISLMENSIQNLLEVPTCPELLTLFLCRNPHLHQITSNFFQFMDALTVLDLSNSSVKELPPGISKLASLAYLNLSRTCIQQLPVDMKMLRKLKYLNLEHNDFLDMIPRQVISNLAALQVLRMVNCSFFYEATEGNILSDSDTLVAELQCLKHLNELSIAIKSASALQSYVSTHGLLSCTQALSLECFSCSKSFDFSWIANMKLLETLHISVIKHLEEMNIDCNWRLVRERFCGSLREVSVEYCPRLKNLRWVIQAPNLAVLKVVGCEKMEEIINVGKLGGVEVAVAGENVKVEPFAKLQVLELEDLPQLKSICCNPLPFPNLERVRILDCPNIKKLPLNSGSAKERKVVIEAEEHWWRDVEWEDEDTKLAFEPCFRRCFSTIRIYQPPYFFDI >Manes.04G099066.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:30365862:30377729:1 gene:Manes.04G099066.v8.1 transcript:Manes.04G099066.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLILFLLQHVWFLVVSALNSDGLTLLSLMRHWTSVPPSINLGWNASDSIPCSWEGIGCNKKTHNVISLNLSGYAISGQLGSEIGRLVHLQTLNVCNNNFSGVIPSELSNCTLLEKLDLSENHFTREIPHSLKNLQNLKVLSLYSNWLSGEIPDWLFQFPYLETIRLNHNRFTGSIPSNIGNCTNISKLWLNKNLLSGTIPDSIGNCSKLEQLDLSENKLVGFLPQSLNFLENLVRLDVNSNSLEGNILLGLGNCKNLKWLVLSNNSFSGGLPPDLSNCSSLETLAIVDSNLTGHIPSSYGLLDKLLYLYLSENRLSGRIPPELGNCKSLKELILHANQLEGEIPSELGMLSELQNLELFENHLTGEVPISICKIQSLRYVTLYDNNLSGELPLELTHLKHLELLFLFKNNFSGVIPQSLGVNSSLEKLDFMYNQFTDPIPPNLCFGQRLPVLNFGNNQLQGSIPSDVGKCPTLRRMKLEHNNLSGVLPEFLHNPDLEHVNIAWNNISGKIPPSLGNCTNLTFIDLSMSKLGGFIPPELGNLVNLRELFLAHNHLQGCLPPQLSTWKKLDKFNVGFNSLNCTLPSSLGNMTSLSTLILEENQFAGDIPPFVRT >Manes.16G087000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:29361906:29368014:1 gene:Manes.16G087000.v8.1 transcript:Manes.16G087000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKGSQTNNTQLNIPNKATALNPNAAEFIPFSLRSSSSPSGSASNTAAATARFAASGTVGKAVLDRSESSVSNASDDEAHQFWRHQLPDDITPDFKVMGEDESQAFGGISIAGLSLNDSSEVTKFPVSLGSGYILPEQQEPSPRHINGSSFSEKMRFVANSYGEDPTSASYLNLPTKPWDKQIISSDQLLGNVREVPGHPYNGNSRPGFMNDMLGEHAIVDDTDMNPLEFLASQFPGFAAESLAEVYFANGCDLNLTIEMLTQLELQVDGGFSQNMNSKTYSAPNLSALDFPALPAQDGQNGASKYAGDDLQQSSNPYRSSDKENILLFKSSSSTPSRGGGIDFASAVRKLASQDSGMWKYDRNGSADSSVGSSRSSHVLASSYGSGNGRAAYADRMQNRGSARAAPVWLETGEAVGNMYSDLREEARDHARLRNAYFEQARQAYLIGNKALAKELSVKGQLHNMHMKAAHGKAHESIYRMRNPAGPELQVDGRGHERMIDLHGLHVSEAIHVLKHELSVLRSTARAAEHRLQVYICVGTGHHTRGLRTPARLPIAVQQYLLEEEGLDYTEPQPGLLRVVIY >Manes.13G009400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:1292382:1296757:1 gene:Manes.13G009400.v8.1 transcript:Manes.13G009400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNLQTPWRLAELENQNITYIARTTVSSCLEVHKVTGHCSVDLKLGNSGDLEDKLVEKFAGPAEFLMESSSSGSSKRVRTPSNGNQVPSCLVDGCTSDLSKCRDYHRRHKVCELHSKTPKVFIKGQEQRFCQQCSRFHSLVEFDEGKRSCRKRLDGHNRRRRKPQPDSLSVTSSRLFSNHQGTRYLQFGGSQMFSTSPESSAWNGAIKPENDPMLYTSQSSLNFGSRKNFFPGSLSHGYRSGKQFPFLQCTSSALPGESICQTILHAGSTLGSSCSSQKMLSDGPNRVIDSNRALSLLSSPSAENCEIRLSHMVQPESDLNPPAHSLISSLNFSCLGMENGSVDSVLVSDGSSNANLHGQSMFQIGPDGSSSSGSLQTLSFSWE >Manes.11G052400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6562397:6568479:1 gene:Manes.11G052400.v8.1 transcript:Manes.11G052400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGAPYRGGNAIITQNTQLISPVGNRVSITDLVKSQTITLPVQSSSNIRRLAASPDGTFLLTVDENNRCHFINVPRRVVLHRITFKKSVNAIRFSPDGKFIAVATGKLVQIWRSPGFKKEYFAFELVRTLADCEDTVTALDWSLDCKYLLVGSKDLTARLFCVEKLQEGILNKPFLFLGHKDAVVGCFFGFDKKTNNVDKVYTIARDGYIFSWSYSGGNDDKFRENDEQDVEPPSPGTPERDGEGNLVGGSDSNVKKRKDFDGKDDTLGVKECYLHKGEWKLLRKDGFMQSQTKLTACDYHSLLDMVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNEMGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCLAYSPDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTALHFMSNNHCLLSASLDGTVRAWDLFRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAVLASSSWDKTVRLWDVFEGKGAVETFSHMHDVLTVVYRPDGRQLACSTLDGQINFWDPINGLLMYTIEGRRDIAGGRLMTDRRSAAKSSAGKCFTTLCYSADGSYILAGGSSKYICMYDIADQVLLRRFQITHNLSLDGVLDFLNSKKMTDAGPLDLIDDEDADTEEGVDKQVRGKLGYDLPGSMPNRGRPIIRTKCLRIAPTGRNFSAATTEGVLVYSIDDSFIFDPTDLDIDVTPEAVDEALNEDQPNRALILSLRLNEDSLIKKCIFAVSPLDIPAVASSIPCRYLQRLVEALADLLESCPHLEFILRWCQELCKAHGNSIQQNSRNLLPSLKSLQKAITRIHQELADTCSSNEYMLRYLCSTSNKKWTSQGDE >Manes.10G130500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:29635665:29636913:1 gene:Manes.10G130500.v8.1 transcript:Manes.10G130500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLQTNKYIVGHHLSKTRFFPTIQYWLADHPNIQNFSWKQGETPGSSLLFLSLTVISYLSLTYLFSHTTLISCSRRFLKSLTAIHSLILLSLSFVMSLGCTLCIILIAPNVDYLICFPQKTPPTGPLFFWAYIFYISKIYEFMDTLLIILSNSTRRLTFLHVYHHATVVVMCYISLHTSQSMFPGVLVTNAAVHVIMYFYYFLCALGIRPKWKKIVTDCQILQFFSSFGIMGLIFYYHFTGQGCSGISGWWFDAVFITSLLLLFLDFHAKTYSKKKITKEN >Manes.17G093300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:30145199:30146825:-1 gene:Manes.17G093300.v8.1 transcript:Manes.17G093300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVLNISISPAKAAVFLFMVMLFNTACQAQLTSMFYDNSCPNALSTIRTSIRNSIAAERRMAASLVRLHFHDCFVQGCDASILLDETSSIESEKTAIPNKDSIRGFQVIDKAKSEVEKICPGVVSCADIIAVAARDASAYVGGPSWTVMLGRRDSTTASRTLANNELPSFKDGLDRLISRFQSKGLSARDMVALSGAHTIGQAQCFTFRDRIYGNISIDAGFASTRKRTCPAVGGDTNLAPFDLVTPNSFDNNYFKNLIQKKGLLESDQVLFSGGSTDSIVSEYSRSPAAFNSDFASAMIRMGNVNPLTGTAGQIRKICSAVN >Manes.07G050900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:6048671:6049390:1 gene:Manes.07G050900.v8.1 transcript:Manes.07G050900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCKLSVFVACVVGLALVLPSHAQNSVQDYLNAHNTARSAVTGANIPALVWNTTLEAEATAYLNTVLGQCDVNVDLSVSGLNVKVGQNVFTGLDAVNAWVSEQVYYDYATNSCNGGVCTHYTQVIWKSSLTVGCFRAQCVNNVNLWIVGCKYNPAGNILGQHPY >Manes.07G038548.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:4710713:4711299:-1 gene:Manes.07G038548.v8.1 transcript:Manes.07G038548.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSNALSTSLVALRLSKATAYSIRSASHGSVSGPSLCNPNSSSAMFKSSLMISLLRYSKGRRKRFLSVEYTTKWPFSATDAVSVLPTFCEDVILLRLMAAIFCHFLANFRSLLLLIILLIFFKN >Manes.17G002300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1845528:1852204:1 gene:Manes.17G002300.v8.1 transcript:Manes.17G002300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPRFTRPKGVNEQLSPNLYVANCGPSVGLSYEIIESVFSKFGQVKGVYAADESGVRVIVSYFEVDSAQAALTTLDGHPCPDLGGRSLHIRFSVLQPTSEGQANDSIPVSLVASDLNIPGLYLWHDFVNAKEERELLAAVDDRPWNKLSKRRVQHYGYEFCYDTRNVNTKEQLGELPSFVSSILKRISSLSDLGDSESLAVDQLTVNEYPRGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYLDGSWIPKATARNDTKVENLDDGSSLIRRAIYLPPRSMLLLSGEARFAWLHYIPHHKIDMVNDDVVRRGSRRVSFTFRKVRRGPCQCEFPQYCDSRR >Manes.17G002300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:1845528:1852204:1 gene:Manes.17G002300.v8.1 transcript:Manes.17G002300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPRFTRPKGVNEQLSPNLYVANCGPSVGLSYEIIESVFSKFGQVKGVYAADESGVRVIVSYFEVDSAQAALTTLDGHPCPDLGGRSLHIRFSVLQPTSEELLAAVDDRPWNKLSKRRVQHYGYEFCYDTRNVNTKEQLGELPSFVSSILKRISSLSDLGDSESLAVDQLTVNEYPRGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYLDGSWIPKATARNDTKVENLDDGSSLIRRAIYLPPRSMLLLSGEARFAWLHYIPHHKIDMVNDDVVRRGSRRVSFTFRKVRRGPCQCEFPQYCDSRR >Manes.14G029900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:2713857:2715752:-1 gene:Manes.14G029900.v8.1 transcript:Manes.14G029900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRIHTSNPPILCKFSTRKPALRIKTIALSSIPNQQGSISTTTLPKTDTYTVDFKTLEACKLGISRYPDFEYDAQGGTGSGTATRIKKGDLKDEISVSFDLETLYIPPLTSATTRFLGLPLPPGLKIDVVPELFQGRIERDSGKVDLEFMAKFWFSVGNIYKAPPLLVKTVLTSEESNGVIRSGRGERLDREGKCRLVGVATVQPIDDVFMNTFLGLPTECLANLKAEISFPTSR >Manes.11G060450.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:9060098:9062294:-1 gene:Manes.11G060450.v8.1 transcript:Manes.11G060450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFIFRIFKMSTFNSSEDVASCKATTVSQPSSTTQPANSSTHSQLEPSTPINPSHSLPAASNPTVSLPPISNLGKKRKLTSTVWDHFEKVHHSGNDWAICSYCKTSLKANSKNGTKSLHNHIEKCAKKGNQDIVKCLEKQKQISMDIRNDGKVHFGNFTFVQEKSRRELACAIILHEYPLSITEHVGFRKFVASLQPLFKMVSRNSIKKDILNIYDVEFNKLYKSLEKLKSRIAITTDMWTSNQKKGYMSITAHYIDDFWVLQNQILRFVYVPTPHTKEELAKYLMEAFSKWNIETKISTITVDNCSTNDGMVSIVVDKLFGDLLCDGTVLHMRCCAHILNLVVKDGLATIESSLSRIRDSVVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFPRLKIREKSYKDVPTYDDWEMAKRVAEKLETFHSITEIFSGRKYPTSNCFFISICQLRNSIMEWMSSDDDVIKSMSARMFEKFEKYWSVVHIVLAVAVILDPRYKIKVVEYYFPMIYGDNASHEIEQVKVTCYNLLNDYQSRAFKPKSQSSSSVPPISISENQGSLKKDFSNLVAFLNSSSTSVHVKYELDHYLEEPVLPWMQEFDILNWWKTNGIKYPTLQMIARDFLAVLVSSVASEFAFSTGGRVVSIHRSRLHEDTLEALMCSQNWLW >Manes.01G185000.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36255841:36266167:-1 gene:Manes.01G185000.v8.1 transcript:Manes.01G185000.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADPQANTEGASVNATEIFYRTYGRGTTKVLLIIGLAGTHDSWGPQIKGLTGSNRPNHDDKLTVVDQNSGEECDSEMGGGIEVCAFDNRGMGRSSVPTKKSQYTTKIMAKDAIAVMDHLGWQKAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECFPKLDRQTVSIAIRFLKAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRSILYQEYVKGISSTGMQSNYGFEGQINACWTHKITRREIEVIRSAGFLVSVIHGRYDIIAQLYYARRLAEKLQPVARMIDLHGGHLVSHERTEEVNQGLHDLIKASESKISPHDWTNLPQKNSVSSCWCWSMHEGLKGV >Manes.01G185000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36255841:36266167:-1 gene:Manes.01G185000.v8.1 transcript:Manes.01G185000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADPQANTEGASVNATEIFYRTYGRGTTKVLLIIGLAGTHDSWGPQIKGLTGSNRPNHDDKLTVVDQNSGEECDSEMGGGIEVCAFDNRGMGRSSVPTKKSQYTTKIMAKDAIAVMDHLGWQKAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECFPKLDRQTVSIAIRFLKAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRSILYQEYVKGISSTGMQSNYGFEGQINACWTHKITRREIEVIRSAGFLVSVIHGRYDIIAQLYYARRLAEKLQPVARMIDLHGGHLVSHERTEEVNQGLHDLIKASESKISPHDWTNLPQKNSAGMRWISFSMTSTDCSTFSIAKKIYLCLLYICSLFLLVLEHARRAQRRLRPARLEASLT >Manes.01G185000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36255841:36266167:-1 gene:Manes.01G185000.v8.1 transcript:Manes.01G185000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADPQANTEGASVNATEIFYRTYGRGTTKVLLIIGLAGTHDSWGPQIKGLTGSNRPNHDDKLTVVDQNSGEECDSEMGGGIEVCAFDNRGMGRSSVPTKKSQYTTKIMAKDAIAVMDHLGWQKAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECFPKLDRQTVSIAIRFLKAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRSILYQEYVKGISSTGMQSNYGFEGQINACWTHKITRREIEVIRSAGFLVSVIHGRYDIIAQLYYARRLAEKLQPVARMIDLHGGHLVSHERTEEVNQGLHDLIKASESKISPHDWTNLPQKNSAGMRWISFSMTSTDCSTFSIAKKIYLCLLYICSLFLLVLEHARRAQRRLRPARLEASLT >Manes.01G185000.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36255841:36266167:-1 gene:Manes.01G185000.v8.1 transcript:Manes.01G185000.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADPQANTEGASVNATEIFYRTYGRGTTKVLLIIGLAGTHDSWGPQIKGLTGSNRPNHDDKLTVVDQNSGEECDSEMGGGIEVCAFDNRGMGRSSVPTKKSQYTTKIMAKDAIAVMDHLGWQKAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECFPKLDRQTVSIAIRFLKAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRSILYQEYVKGISSTGMQSNYGFEGQINACWTHKITRREIEVIRSAGFLVSVIHGRYDIIAQLYYARRLAEKLQPVARMIDLHGGHLVSHERTEEVNQGLHDLIKASESKISPHDWTNLPQKNSVSSCWCWSMHEGLKGV >Manes.01G185000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36255841:36266167:-1 gene:Manes.01G185000.v8.1 transcript:Manes.01G185000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADPQANTEGASVNATEIFYRTYGRGTTKVLLIIGLAGTHDSWGPQIKGLTGSNRPNHDDKLTVVDQNSGEECDSEMGGGIEVCAFDNRGMGRSSVPTKKSQYTTKIMAKDAIAVMDHLGWQKAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECFPKLDRQTVSIAIRFLKAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRSILYQEYVKGISSTGMQSNYGFEGQINACWTHKITRREIEVIRSAGFLVSVIHGRYDIIAQLYYARRLAEKLQPVARMIDLHGGHLVSHERTEEVNQGLHDLIKASESKISPHDWTNLPQKNSGMRWISFSMTSTDCSTFSIAKKIYLCLLYICSLFLLVLEHARRAQRRLRPARLEASLT >Manes.01G185000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36255841:36266167:-1 gene:Manes.01G185000.v8.1 transcript:Manes.01G185000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADPQANTEGASVNATEIFYRTYGRGTTKVLLIIGLAGTHDSWGPQIKGLTGSNRPNHDDKLTVVDQNSGEECDSEMGGGIEVCAFDNRGMGRSSVPTKKSQYTTKIMAKDAIAVMDHLGWQKAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECFPKLDRQTVSIAIRFLKAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRSILYQEYVKGISSTGMQSNYGFEGQINACWTHKITRREIEVIRSAGFLVSVIHGRYDIIAQLYYARRLAEKLQPVARMIDLHGGHLVSHERTEEVNQGLHDLIKASESKISPHDWTNLPQKNSAGMRWISFSMTSTDCSTFSIAKKIYLCLLYICSLFLLVLEHARRAQRRLRPARLEASLT >Manes.01G185000.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36255841:36266167:-1 gene:Manes.01G185000.v8.1 transcript:Manes.01G185000.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCKVADPQANTEGASVNATEIFYRTYGRGTTKVLLIIGLAGTHDSWGPQIKGLTGSNRPNHDDKLTVVDQNSGEECDSEMGGGIEVCAFDNRGMGRSSVPTKKSQYTTKIMAKDAIAVMDHLGWQKAHVFGHSMGAMIACKLAAMVPDRILSLALLNVTGGGFECFPKLDRQTVSIAIRFLKAKTPEQRAAVDLDTHYTKEYLEEYVGSKTRRSILYQEYVKGISSTGMQSNYGFEGQINACWTHKITRREIEVIRSAGFLVSVIHGRYDIIAQLYYARRLAEKLQPVARMIDLHGGHLVSHERTEEVNQGLHDLIKASESKISPHDWTNLPQKNSGMRWISFSMTSTDCSTFSIAKKIYLCLLYICSLFLLVLEHARRAQRRLRPARLEASLT >Manes.12G059200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:5073962:5079903:1 gene:Manes.12G059200.v8.1 transcript:Manes.12G059200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDVSRVSFFFFLLLWCLVPGSLADDPYVLFDWTVSYLTGYPLGVKQKVIGINGQFPGPILNVTTNWNVIVNVKNELDEPLLLTWNGVQHRKNSWQDGVLGTNCPIPAGWNWTYQFQVKDQIGSFFYFASLNFQRAAGGYGGIIINNREVIPLPFAVPDGDITLLISDWYTKSHKELREHVEKGDNIGGPDGILINGFGPYRYDEALVQEGITYQIINVEPGKTYRLRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYANMDIHVGQSYSFLVTMDQNASSDYYIVASPRYVNSSAWTKAAGVAILHYSNSQGPASGILPDPPNDQDPYFSMNQARSIRWNVSAGAARPNPQGSFRYGDITVTDVYVILNRPPELINGKWRTTLNGISYLPPPTPLKLAQQYNISGVYKLDFPNRPMNRPPKVDTSLINGTFKGFMEIIFQNNDTTVQSYHMDGYAFFVVGMDFGVWTENSRGTYNKWDGVARCTIQVFPGAWTAILVSLDNAGIWNLRAENLDSWYLGQEVYMSVVNPEMDTSEVSLPDNAIYCGLLSSLQKDQAQRFKFSSAPTLQTLSRTVLTLAATLYASLIR >Manes.08G095901.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32351069:32374946:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGMWKIPTGVVDEYLSGLIA >Manes.08G095901.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32351069:32374962:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGMWKIPTGVVDEDMGHLLASPLS >Manes.08G095901.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32351457:32374962:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGMWKIPTGVVDEDMGHLLASPLS >Manes.08G095901.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32351069:32374945:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGMWKIPTGVVDEDMGHLLASPLS >Manes.08G095901.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32351069:32374954:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGMWKIPTGVVDEYLSGLIA >Manes.08G095901.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32352273:32372993:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVFSATSFAVSACSYSALLFILCHLSLFSLKQIC >Manes.08G095901.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32351069:32374962:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGMWKIPTGVVDEDMGHLLASPLS >Manes.08G095901.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32351069:32374954:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGMWKIPTGVVDEDMGHLLASPLS >Manes.08G095901.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:32351069:32374954:1 gene:Manes.08G095901.v8.1 transcript:Manes.08G095901.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNHVKLLPASNDDHEGVIVDMKEPMEPDVFLTLLRASLSLWRQQAKRGVWIKLPIELVNLVETAVKEGFWYHRAEPSYLMLVYWIPETASTIPANASHRVGIGAIVINDKREVLVVQEKSGRFRGTGMWKIPTGVVDEYLSGLIA >Manes.06G166400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29240716:29243731:1 gene:Manes.06G166400.v8.1 transcript:Manes.06G166400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFSNHFNGWYNLNHLHHLSSSSSSSNPSLFASYGCNIFSDTIINNNNSHSFTHYQYSSSPPSPPLREALPLLSLYPTTHEDQQESSCSAMEIDKNKDKEGSQLFDDEAVTVALHLGLPSPTSADLISSLSSTEISSDKEEVTVASGYQTNSLNKGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCGKCGKAFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKSFGHGHAAYGIDSFEEEEEPASEIEQDNESTQ >Manes.11G142100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30732959:30742674:1 gene:Manes.11G142100.v8.1 transcript:Manes.11G142100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVDRILAALSDEQVPKTSVKKSAVGKEEVAKLVDDIYRKMQISGATDLASKGQGVLDSSKAITKGDVDDSFHLDTKVRCPCGSSLETESMIKCEDPRCRVWQHIGCVIIPEKPAEGNPQVPDLFYCEICRLSRADPFWVTVAHPLYPVKLATSNIPTDGSNPVQSVEKTFHLTRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIITPCIKDGINKISLTGCDARIFCLGVRIVKRRTVQQILNLIPRESDGEHFEDALARVCRCVGGGAADNADSDSDLEVVAESFAVNLRCPMSGSRMKVAGRFKPCAHMGCFDLDVFVEMNQRSRKWQCPICLKNYSLENVIIDPYFNRITSKMLHCGEDITEIEVKPDGSWRVKTRTEAERRDVGELAQWHYPDGSPYGGEVKAKVEMDKQIKQEGTSEGYAGTGLKLGIRKNCNGFWEVSKPEDVNTSSSGSRLREKFENHEQKVIPMSSSATGSGQDGEDPSVNQDGGGNFDFTNNGIELDSLSLNVDSAYGFADRNFSAPVGDAEVIVLSDSDDDNNILMTSGAVYKNNQADDDGADFSIPPPGTYPEDPAVGNGLGFLNADDEFGMPMSLWQMPPGSQTGPGFQLFNSDGSDALVDLQHGPINCPMSMNGYTLAPDTVMGPASLVPDSSIGRSDADMNDGLVDNPLAFGGEDPSLQIFLPTRPSNASGQPDLRDQADVSNGVRTEDWISLRLGGGGATGSHGDSVPANGLNSRQPMPPREGAMESLADTASLLLGMNDGRSEKSSRQRSDSPFTFPRQKRSVRPRLYLSIDSDSE >Manes.11G142100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30732959:30742721:1 gene:Manes.11G142100.v8.1 transcript:Manes.11G142100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISGATDLASKGQGVLDSSKAITKGDVDDSFHLDTKVRCPCGSSLETESMIKCEDPRCRVWQHIGCVIIPEKPAEGNPQVPDLFYCEICRLSRADPFWVTVAHPLYPVKLATSNIPTDGSNPVQSVEKTFHLTRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIITPCIKDGINKISLTGCDARIFCLGVRIVKRRTVQQILNLIPRESDGEHFEDALARVCRCVGGGAADNADSDSDLEVVAESFAVNLRCPMSGSRMKVAGRFKPCAHMGCFDLDVFVEMNQRSRKWQCPICLKNYSLENVIIDPYFNRITSKMLHCGEDITEIEVKPDGSWRVKTRTEAERRDVGELAQWHYPDGSPYGGEVKAKVEMDKQIKQEGTSEGYAGTGLKLGIRKNCNGFWEVSKPEDVNTSSSGSRLREKFENHEQKVIPMSSSATGSGQDGEDPSVNQDGGGNFDFTNNGIELDSLSLNVDSAYGFADRNFSAPVGDAEVIVLSDSDDDNNILMTSGAVYKNNQADDDGADFSIPPPGTYPEDPAVGNGLGFLNADDEFGMPMSLWQMPPGSQTGPGFQLFNSDGSDALVDLQHGPINCPMSMNGYTLAPDTVMGPASLVPDSSIGRSDADMNDGLVDNPLAFGGEDPSLQIFLPTRPSNASGQPDLRDQADVSNGVRTEDWISLRLGGGGATGSHGDSVPANGLNSRQPMPPREGAMESLADTASLLLGMNDGRSEKSSRQRSDSPFTFPRQKRSVRPRLYLSIDSDSE >Manes.11G142100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30732959:30742674:1 gene:Manes.11G142100.v8.1 transcript:Manes.11G142100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVTSCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVDRILAALSDEQVPKTSVKKSAVGKEEVAKLVDDIYRKMQISGATDLASKGQGVLDSSKAITKGDVDDSFHLDTKVRCPCGSSLETESMIKCEDPRCRVWQHIGCVIIPEKPAEGNPQVPDLFYCEICRLSRADPFWVTVAHPLYPVKLATSNIPTDGSNPVQSVEKTFHLTRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYADLQVNGVPVRAINRPGSQLLGANGRDDGPIITPCIKDGINKISLTGCDARIFCLGVRIVKRRTVQQILNLIPRESDGEHFEDALARVCRCVGGGAADNADSDSDLEVVAESFAVNLRCPMSGSRMKVAGRFKPCAHMGCFDLDVFVEMNQRSRKWQCPICLKNYSLENVIIDPYFNRITSKMLHCGEDITEIEVKPDGSWRVKTRTEAERRDVGELAQWHYPDGSPYGGEVKAKVEMDKQIKQEGTSEGYAGTGLKLGIRKNCNGFWEVSKPEDVNTSSSGSRLREKFENHEQKVIPMSSSATGSGQDGEDPSVNQDGGGNFDFTNNGIELDSLSLNVDSAYGFADRNFSAPVGDAEVIVLSDSDDDNNILMTSGAVYKNNQADDDGADFSIPPPGTYPEDPAVGNGLGFLNADDEFGMPMSLWQMPPGSQTGPGFQLFNSDGSDALVDLQHGPINCPMSMNGYTLAPDTVMGPASLVPDSSIGRSDADMNDGLVDNPLAFGGEDPSLQIFLPTRPSNASGQPDLRDQADVSNGVRTEDWISLRLGGGGATGSHGDSVPANGLNSRQPMPPREGAMESLADTASLLLGMNDGRSEKSSRQRSDSPFTFPRQKRSVRPRLYLSIDSDSE >Manes.11G049165.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:6269278:6270888:1 gene:Manes.11G049165.v8.1 transcript:Manes.11G049165.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILVFFSYSKTLLILGFIFLLNIEKIHCDIGVGGRRGRVEAADASTTKVFDITTYGAKGDDKTDCTMAFMKAWKDSCKNDGPAKIRVPKGTFMTAPITFQGPCKSTKPIIVEVQGTVKGTNDLSKYTEDTWFLFEKINGVVLTGGGTFDGQGSSVWKNTDCEKKKDCGRLPTSIKFQGVTNAVVSEITSINSKHFHFHITDCTNFKASNLNIVASGESPNTDGMHISDTNGVVVTNSKIGTGDDCISIGQGVTNAAISKIFCGPGHGLSIGSLGKYKNEADVKDVTISDCTLFNTTNGLRIKTWADSPPSAASSITFKDIIMKSVKNPIIIDQKYGSRSSTKPSRVKISNVHYNNIRGTSTSKVAVNFLCSPSVPCEKIELDDVDLTYTGIKKSKSPISASCVNAKVTFIGAHPPGCE >Manes.02G148900.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11431921:11444145:-1 gene:Manes.02G148900.v8.1 transcript:Manes.02G148900.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPVTVEEQLILKSIKEECPWENLPKRLQSTITSREEWHRRIVELCIKKRQQWKTCFARKVCKESDYYDEMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFDVMKSEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPAQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEEVNAYILFDPEVVKGLYRRGLIYFDVPVYSDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSNENATVAELATTLQADLSQLQAAASFACRLGWAVKLLDPASILQDINISGSPKTTLSDEEDTARASVSSQNVFNDGDAAQQGDISGIENYGMLSNHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGTKFEGELQDFANHAFSLRCVLECLLSGGIATDAKVEVGGNKMDKLASSNEEATSLVADVPSTNESGNFGANEAEADVDHSMDAGISQDDCCLAECVTGSTGDKTPTLLSENGNSISMASKSGLNVQNDEKLIPVEGYDIGRGTSRRKRKYRVDILRCESLAALSPATLDRVFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWMKLVLYSTVRSGPLTVVLMKGQCLRLLPAPLAGCEKALVWSWDGSAIGGLGGKSEGNLVKGGVILHCLNSLLKYSAVLVQPLSRYDLDESGRVITMDAPLPLNNSDGSIARIENELVLSEDERVKLNSLLTQLTKKMGLSTIGYIRLLKLFNIKESDHFAPDDQSYEWVPLSVEFGMPLFSPKLCNNICKRVVSSDLLQSDSFTGHHDAMQGLRKKLREVCAEYQATGPAAKLLYQKEQSKDSSPHLINYASGRWNPLTEPSSPITGATSEHQRLKLANRYRCSTEVLSFDGSILRSYALSPVCEVATRPIEETPLVNTTKADFAEIDSKEVILPGVNLIFDGSELHPFDIGACLQARQPISLIAEAAAASASGAIK >Manes.02G148900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11431921:11444730:-1 gene:Manes.02G148900.v8.1 transcript:Manes.02G148900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPVTVEEQLILKSIKEECPWENLPKRLQSTITSREEWHRRIVELCIKKRQQWKTCFARKVCKESDYYDEMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFDVMKSEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPAQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEEVNAYILFDPEVVKGLYRRGLIYFDVPVYSDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSNENATVAELATTLQADLSQLQAAASFACRLGWAVKLLDPASILQDINISGSPKTTLSDEEDTARASVSSQNVFNDGDAAQQGDISGIENYGMLSNHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGTKFEGELQDFANHAFSLRCVLECLLSGGIATDAKVEVGGNKMDKLASSNEEATSLVADVPSTNESGNFGANEAEADVDHSMDAGISQDDCCLAECVTGSTGDKTPTLLSENGNSISMASKSGLNVQNDEKLIPVEGYDIGRGTSRRKRKYRVDILRCESLAALSPATLDRVFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWMKLVLYSTVRSGPLTVVLMKGQCLRLLPAPLAGCEKALVWSWDGSAIGGLGGKSEGNLVKGGVILHCLNSLLKYSAVLVQPLSRYDLDESGRVITMDAPLPLNNSDGSIARIENELVLSEDERVKLNSLLTQLTKKMGLSTIGYIRLLKLFNIKESDHFAPDDQSYEWVPLSVEFGMPLFSPKLCNNICKRVVSSDLLQSDSFTGHHDAMQGLRKKLREVCAEYQATGPAAKLLYQKEQSKDSSPHLINYASGRWNPLTEPSSPITGATSEHQRLKLANRYRCSTEVLSFDGSILRSYALSPVCEVATRPIEETPLVNTTKADFAEIDSKEVILPGVNLIFDGSELHPFDIGACLQARQPISLIAEAAAASASGAIK >Manes.02G148900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11431921:11443365:-1 gene:Manes.02G148900.v8.1 transcript:Manes.02G148900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPFRYYCDMIFDVMKSEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPAQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEEVNAYILFDPEVVKGLYRRGLIYFDVPVYSDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSNENATVAELATTLQADLSQLQAAASFACRLGWAVKLLDPASILQDINISGSPKTTLSDEEDTARASVSSQNVFNDGDAAQQGDISGIENYGMLSNHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGTKFEGELQDFANHAFSLRCVLECLLSGGIATDAKVEVGGNKMDKLASSNEEATSLVADVPSTNESGNFGANEAEADVDHSMDAGISQDDCCLAECVTGSTGDKTPTLLSENGNSISMASKSGLNVQNDEKLIPVEGYDIGRGTSRRKRKYRVDILRCESLAALSPATLDRVFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWMKLVLYSTVRSGPLTVVLMKGQCLRLLPAPLAGCEKALVWSWDGSAIGGLGGKSEGNLVKGGVILHCLNSLLKYSAVLVQPLSRYDLDESGRVITMDAPLPLNNSDGSIARIENELVLSEDERVKLNSLLTQLTKKMGLSTIGYIRLLKLFNIKESDHFAPDDQSYEWVPLSVEFGMPLFSPKLCNNICKRVVSSDLLQSDSFTGHHDAMQGLRKKLREVCAEYQATGPAAKLLYQKEQSKDSSPHLINYASGRWNPLTEPSSPITGATSEHQRLKLANRYRCSTEVLSFDGSILRSYALSPVCEVATRPIEETPLVNTTKADFAEIDSKEVILPGVNLIFDGSELHPFDIGACLQARQPISLIAEAAAASASGAIK >Manes.02G148900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11431921:11444729:-1 gene:Manes.02G148900.v8.1 transcript:Manes.02G148900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEFILDLFLVQGTYHVNCTMQRIPVTVEEQLILKSIKEECPWENLPKRLQSTITSREEWHRRIVELCIKKRQQWKTCFARKVCKESDYYDEMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFDVMKSEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPAQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEEVNAYILFDPEVVKGLYRRGLIYFDVPVYSDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSNENATVAELATTLQADLSQLQAAASFACRLGWAVKLLDPASILQDINISGSPKTTLSDEEDTARASVSSQNVFNDGDAAQQGDISGIENYGMLSNHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGTKFEGELQDFANHAFSLRCVLECLLSGGIATDAKVEVGGNKMDKLASSNEEATSLVADVPSTNESGNFGANEAEADVDHSMDAGISQDDCCLAECVTGSTGDKTPTLLSENGNSISMASKSGLNVQNDEKLIPVEGYDIGRGTSRRKRKYRVDILRCESLAALSPATLDRVFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWMKLVLYSTVRSGPLTVVLMKGQCLRLLPAPLAGCEKALVWSWDGSAIGGLGGKSEGNLVKGGVILHCLNSLLKYSAVLVQPLSRYDLDESGRVITMDAPLPLNNSDGSIARIENELVLSEDERVKLNSLLTQLTKKMGLSTIGYIRLLKLFNIKESDHFAPDDQSYEWVPLSVEFGMPLFSPKLCNNICKRVVSSDLLQSDSFTGHHDAMQGLRKKLREVCAEYQATGPAAKLLYQKEQSKDSSPHLINYASGRWNPLTEPSSPITGATSEHQRLKLANRYRCSTEVLSFDGSILRSYALSPVCEVATRPIEETPLVNTTKADFAEIDSKEVILPGVNLIFDGSELHPFDIGACLQARQPISLIAEAAAASASGAIK >Manes.02G148900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11431921:11444730:-1 gene:Manes.02G148900.v8.1 transcript:Manes.02G148900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPVTVEEQLILKSIKEECPWENLPKRLQSTITSREEWHRRIVELCIKKRQQWKTCFARKVCKESDYYDEMMRYLRKNLALFPYHLAEYVCRVMRVSPFRYYCDMIFDVMKSEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPAQPVDFAIEPWWGVCLVNFTLEEFKKLSEEEMATIDKVCKEEVNAYILFDPEVVKGLYRRGLIYFDVPVYSDDRFKVSRLEGFVSNRDQSYEDPIEELLYAVFVVSNENATVAELATTLQADLSQLQAAASFACRLGWAVKLLDPASILQDINISGSPKTTLSDEEDTARASVSSQNVFNDGDAAQQGDISGIENYGMLSNHARVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGTKFEGELQDFANHAFSLRCVLECLLSGGIATDAKVEVGGNKMDKLASSNEEATSLVADVPSTNESGNFGANEAEADVDHSMDAGISQDDCCLAECVTGSTGDKTPTLLSENGNSISMASKSGLNVQNDEKLIPVEGYDIGRGTSRRKRKYRVDILRCESLAALSPATLDRVFLRDYDIVVSIIPLPPSSVLPGPKGPIHFGPPCHSSLTPWMKLVLYSTVRSGPLTVVLMKGQCLRLLPAPLAGCEKALVWSWDGSAIGGLGGKSEGNLVKGGVILHCLNSLLKYSAVLVQPLSRYDLDESGRVITMDAPLPLNNSDGSIARIENELVLSEDERVKLNSLLTQLTKKMGLSTIGYIRLLKLFNIKESDHFAPDDQSYEWVPLSVEFGMPLFSPKLCNNICKRVVSSDLLQSDSFTGHHDAMQGLRKKLREVCAEYQATGPAAKLLYQKEQSKDSSPHLINYASGRWNPLTEPSSPITGATSEHQRLKLANRYRCSTEVLSFDGSILRSYALSPVCEVATRPIEETPLVNTTKADFAEIDSKEVILPGVNLIFDGSELHPFDIGACLQARQPISLIAEAAAASASGAIK >Manes.14G110100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9270262:9274998:1 gene:Manes.14G110100.v8.1 transcript:Manes.14G110100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLLSSFLRSSTRRSVSKSPFSSSNPKLSSPSPCSARSASPYGYLLTRAAEYATSTAAAAAAPPQTPPLKPEGGKGGGKITDEFTGKGAIGKVCQVIGAVVDVRFDEGLPPILTSLEVMDHSIRLVLEVAQHMGEGMVRTIAMDGTEGLVRGQRVLNTGSPIRVPVGRATLGRIMNVIGEPIDERGEIKTNHFLPIHREAPAFVDQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESITSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAS >Manes.05G170100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004391.2:28434656:28434985:1 gene:Manes.05G170100.v8.1 transcript:Manes.05G170100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLSQQPPPVTIAQEPLHIHSTHGSVGPVIAVLVMIVILAVVAVMIGRLCSGRRILGYGHHDMESWAETKCSSCIDGTIVVATSAPPPPPPPAQTHQETKQEEQSPQ >Manes.08G043150.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4224773:4226871:1 gene:Manes.08G043150.v8.1 transcript:Manes.08G043150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVISSRGRGHSQQLSTNETDEQVQMQEETLEHTPAALEGQANASSSSSVRTRGPNLGHPIPSNPSDRQWIRLKGNVFLDSTVTRSISNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYVWDESEEDMIRTAWEKVGKERLRDILNRVRSELLRKHKKTDIAYLYNLGPDWMEAEIWNALVAYWSTPEWRKKSEAGKANRNVEKDGTITKHSCGSIKLEVHENRLAKKLGRQPTQLELFCALTQKMGVKVFSLMENHNELMELI >Manes.08G043150.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4224773:4226871:1 gene:Manes.08G043150.v8.1 transcript:Manes.08G043150.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVISSRGRGHSQQLSTNETDEQVQMQEETLEHTPAALEGQANASSSSSVRTRGPNLGHPIPSNPSDRQWIRLKGNVFLDSTVTRSISNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYVWDESEEDMIRTAWEKVGKERLRDILNRVRSELLRKHKKTDIAYLYNLGPDWMEAEIWNALVAYWSTPEWRKKSEAGKANRNVEKDGTITKHSCGSIKLEVHENRLAKKLGRQPTQLELFCALTQKMGVKVFSLMENHNELMELI >Manes.08G043150.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:4224773:4226871:1 gene:Manes.08G043150.v8.1 transcript:Manes.08G043150.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVISSRGRGHSQQLSTNETDEQVQMQEETLEHTPAALEGQANASSSSSVRTRGPNLGHPIPSNPSDRQWIRLKGNVFLDSTVTRSISNDIKMRYTAPWKTWSEIPLKTKDELFGLFRSRYVWDESEEDMIRTAWEKVGKERLRDILNRVRSELLRKHKKTDIAYLYNLGPDWMEAEIWNALVAYWSTPEWRKKSEAGKANRNVEKDGTITKHSCGSIKLEVHENRLAKKLGRQPTQLELFCALTQKMGVKVFSLMENHNELM >Manes.03G198850.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:31570490:31571312:-1 gene:Manes.03G198850.v8.1 transcript:Manes.03G198850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFYCFWLDFYFMPLCRRQYRSFVAIPCHGRATMMVSWICFFAFSNYFTGLQYFFFIGCFLRLSCGLSIGGSASALRRYSISAMLTIRDCIPVSGNSHSHSYTID >Manes.05G170800.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28484810:28490994:-1 gene:Manes.05G170800.v8.1 transcript:Manes.05G170800.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSHLSFALLPRSASSAPFRLQLHPRISFPTPSFQIRDSSSPSPSASISGSKPKVVVTRERGKNGKLSKALAKHGINCLELPLIQHTQGPDLDRLPTVLSNASFDWVVITSPEAGLVFLEAWKVAGTPNVKVGVVGAGTASIFNEVMQSSGRSLDIAFAPSKATGKVLASELPKSDKRRCTVLYPASAKASNEIEEGLLNRGFEVVRLNTYSTVPVHHVDQMVLKQALSCPVVSVASPSAVRAWVNLISESEERNISVACIGETTASAAKSSGLKNVYFPTQPGFEGWIGSILEALRAHDNS >Manes.05G170800.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28487649:28490865:-1 gene:Manes.05G170800.v8.1 transcript:Manes.05G170800.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSHLSFALLPRSASSAPFRLQLHPRISFPTPSFQIRDSSSPSPSASISGSKPKVVVTRERGKNGKLSKALAKHGINCLELPLIQHTQGPDLDRLPTVLSNASFDWVVITSPEAGLVFLEAWKVAGTPNVKVGVVGAGTASIFNEVMQSSGRSLDIAFAPSKATGKVLASELPKSDKRRCTVLYPASAKASNEIEEGLLNRGFEVVRLNTYSTVRISILAIHCDLLALLQYLENVCMISW >Manes.05G170800.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28484809:28490994:-1 gene:Manes.05G170800.v8.1 transcript:Manes.05G170800.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSHLSFALLPRSASSAPFRLQLHPRISFPTPSFQIRDSSSPSPSASISGSKPKVVVTRERGKNGKLSKALAKHGINCLELPLIQHTQGPDLDRLPTVLSNASFDWVVITSPEAGLVFLEAWKVAGTPNVKVGVVGAGTASIFNEVMQSSGRSLDIAFAPSKATGKVLASELPKSDKRRCTVLYPASAKASNEIEEGLLNRGFEVVRLNTYSTVPVHHVDQMVLKQALSCPVVSVASPSAVRAWVNLISESEERNISVACIGETTASAAKSSGLKNVYFPTQPGFEGWIGSILEALRAHDNS >Manes.05G170800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28484809:28490994:-1 gene:Manes.05G170800.v8.1 transcript:Manes.05G170800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSHLSFALLPRSASSAPFRLQLHPRISFPTPSFQIRDSSSPSPSASISGSKPKVVVTRERGKNGKLSKALAKHGINCLELPLIQHTQGPDLDRLPTVLSTDASFDWVVITSPEAGLVFLEAWKVAGTPNVKVGVVGAGTASIFNEVMQSSGRSLDIAFAPSKATGKVLASELPKSDKRRCTVLYPASAKASNEIEEGLLNRGFEVVRLNTYSTVPVHHVDQMVLKQALSCPVVSVASPSAVRAWVNLISESEERNISVACIGETTASAAKSSGLKNVYFPTQPGFEGWIGSILEALRAHDNS >Manes.05G170800.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28484810:28490994:-1 gene:Manes.05G170800.v8.1 transcript:Manes.05G170800.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSHLSFALLPRSASSAPFRLQLHPRISFPTPSFQIRDSSSPSPSASISGSKPKVVVTRERGKNGKLSKALAKHGINCLELPLIQHTQGPDLDRLPTVLSTDASFDWVVITSPEAGLVFLEAWKVAGTPNVKVGVVGAGTASIFNEVMQSSGRSLDIAFAPSKATGKVLASELPKSDKRRCTVLYPASAKASNEIEEGLLNRGFEVVRLNTYSTVPVHHVDQMVLKQALSCPVVSVASPSAVRAWVNLISESEERNISVACIGETTASAAKSSGLKNVYFPTQPGFEGWIGSILEALRAHDNS >Manes.05G170800.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28487649:28490865:-1 gene:Manes.05G170800.v8.1 transcript:Manes.05G170800.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSHLSFALLPRSASSAPFRLQLHPRISFPTPSFQIRDSSSPSPSASISGSKPKVVVTRERGKNGKLSKALAKHGINCLELPLIQHTQGPDLDRLPTVLSTDASFDWVVITSPEAGLVFLEAWKVAGTPNVKVGVVGAGTASIFNEVMQSSGRSLDIAFAPSKATGKVLASELPKSDKRRCTVLYPASAKASNEIEEGLLNRGFEVVRLNTYSTVRISILAIHCDLLALLQYLENVCMISW >Manes.02G212160.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:27107295:27108872:1 gene:Manes.02G212160.v8.1 transcript:Manes.02G212160.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAVSSRGREHSQHLSMNEIDEAVQVQEEILEHTPQALGGQANTSSSSSVRTRGPNLGHPIPSNPSDRQLIRLKGTVFLDSTVTRSITNDIKMRYTAPWKTWSEIPLKTKDELFGLFRVNTTYILKFIICILSFLNLLNTDCYL >Manes.09G077227.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17992328:17994179:-1 gene:Manes.09G077227.v8.1 transcript:Manes.09G077227.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMALASGSAPYFIHRGGGIVGAGSGSQIGPIHVPPGFRPLSNPNLAAQSNVRPGSSGPAFSMEPSNANFAHGINIHSRCLEEQYHLEVEDIASNSLRMKQMSQYRCKRKHWSTLQQH >Manes.09G077227.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:17992287:17995149:-1 gene:Manes.09G077227.v8.1 transcript:Manes.09G077227.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMALASGSAPYFIHRGGGIVGAGSGSQIGPIHVPPGFRPLSNPNLAAQSNVRPGSSGPAFSMEPSNANFAHGINIHSRCLEEQYHLEVEDIASNSLRMKQMSQYRCKRKHWSTLQQH >Manes.13G074601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11104658:11111212:1 gene:Manes.13G074601.v8.1 transcript:Manes.13G074601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEFLAGESHEQIVISSVPYLVPKLAIKSRKYSLSTPTSSTSSYPLPHPFFQRKLQQNQPSSHARKLQNHQAADNIEREIFIAVVATAATTFFFVASLFCCWLCFRSSEYRTGPRIGQRDDRPLLHLSGLSASSSQNSPSLRRSSFKEYNLNSEMSNSFKSNFSVMNGNFDPSVVEGGPSSTFSAGEAFPPLKPPPGRRVPQALQGPQAPQVLQASQAPQAPQVSQAPQAPPPPEPPPAPPPKPPPAPPSNHRPPPPPPKVGRAPPAPPPKASIKPSPPPPHPRGGTGSGLKDDDNSSGPSKTKLKPFFWDKVMASPDQSMVWHEISAGSFQFNEEMMESLFGYNAANKNKNDRKRSSSESSIQFIQIIDARKAQNLSILLRALNVTTEEVIDALREGTELPSELLQTLLKMTLTSEEELKLRLYTGDLMLLGPAERFLKILVEIPFAFKRMESLLFMSSLPEEVSTIKESFIALEIASDKLQNSRLFLKLLEAVLKTGNRMNDGTYRGGAMAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGLRAVRIARNQSVSSTISEDLVEESNQSLEHYRNLGLKVVSGLSTELRDVKKAAAVDADGLASTVTKLKQSLTKTKAFLDTEMKPLDEDSKFYSTLSSFADHADSEIAWILEEQKRIMAVVQRTADYFHGNAGKNEGIHLFSIVRDFLVMLDKACKEVKDVRETTPMKTSKKETPTPSTSLENRQQSDSNRQRLFPAIAERRIDYSSSDDES >Manes.13G074601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:11104658:11111212:1 gene:Manes.13G074601.v8.1 transcript:Manes.13G074601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKDGNAFVLMMILLYAFAIGSTWGMRSRKEMLFGNDCSEITEEIAELAWIHYRKALISKNNCFKGFCFYLPKGETTNSKSIYSCESFCIRPSSLKQELVNCLRKKNIVSPLVQWDIPKSCFTMCFEFLAGESHEQIVISSVPYLVPKLAIKSRKYSLSTPTSSTSSYPLPHPFFQRKLQQNQPSSHARKLQNHQAADNIEREIFIAVVATAATTFFFVASLFCCWLCFRSSEYRTGPRIGQRDDRPLLHLSGLSASSSQNSPSLRRSSFKEYNLNSEMSNSFKSNFSVMNGNFDPSVVEGGPSSTFSAGEAFPPLKPPPGRRVPQALQGPQAPQVLQASQAPQAPQVSQAPQAPPPPEPPPAPPPKPPPAPPSNHRPPPPPPKVGRAPPAPPPKASIKPSPPPPHPRGGTGSGLKDDDNSSGPSKTKLKPFFWDKVMASPDQSMVWHEISAGSFQFNEEMMESLFGYNAANKNKNDRKRSSSESSIQFIQIIDARKAQNLSILLRALNVTTEEVIDALREGTELPSELLQTLLKMTLTSEEELKLRLYTGDLMLLGPAERFLKILVEIPFAFKRMESLLFMSSLPEEVSTIKESFIALEIASDKLQNSRLFLKLLEAVLKTGNRMNDGTYRGGAMAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGLRAVRIARNQSVSSTISEDLVEESNQSLEHYRNLGLKVVSGLSTELRDVKKAAAVDADGLASTVTKLKQSLTKTKAFLDTEMKPLDEDSKFYSTLSSFADHADSEIAWILEEQKRIMAVVQRTADYFHGNAGKNEGIHLFSIVRDFLVMLDKACKEVKDVRETTPMKTSKKETPTPSTSLENRQQSDSNRQRLFPAIAERRIDYSSSDDES >Manes.04G035000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:4512606:4518225:-1 gene:Manes.04G035000.v8.1 transcript:Manes.04G035000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKKVFLPHSKDKLTNESDGKHTKEKKKKKGLGKLRHADTNSFIPLFREPSSIEKILDEAEREHKLIFRPPTPPEQPKTPPFVPPRVPSPRVPSQRVTSPRVASPRVSSPRAASPRVASPRAASPKNVHHHKETYYRPEPILRNHHASATKIQAAYRGYIARRSFRALKGLVRLQGVVRGHSVKRQTVHAMKCMQLLVRVQSQIQSRRIQMLENQARRHAQYKNDKEVGSTLGKWSLASEAGSEDWDDSLLTKEEIEARLQRKVDAVVKRERAMAYAYSHQLWKSTPKSAQSALKDIRSNGFPWWWNWLERQIPLTNPPESQAIKNFQLTPPSSHSEMKPTPRPSPSNLKQQHFGFDGMDTPTPRSSKSVAFMSTRQERTPVFRTPQANISSLSKYSRARASGGGESPFDLPLKDNDSLTSCPPFSLPSYMVSTASAKAKARANSNPKERFPGSPSSEKRRLSFPLTQSIGSLKGNRGSLISNKDPNSQRVLDKHESLQSTGNLSVDSTVSMPAAIGRKPFNRFV >Manes.08G054602.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:5903707:5907544:1 gene:Manes.08G054602.v8.1 transcript:Manes.08G054602.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQCNWGKDYEEVTVIVVFYVIFSEMTCLTSYHYLNAEVTDDNLTSIYTWNY >Manes.10G015800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:1631032:1634408:1 gene:Manes.10G015800.v8.1 transcript:Manes.10G015800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLANLGASWSSILHVHLIFLVSITMLSLQPEICLSFKMGNETDRLALLEFKAKIASDPYGTLRSWNNSVNFCKWQGVTCGRKHHRVTSLDLHELSLSGTISPYIGNLSFLRFLNLSDNRFYGEIPQEVGRLFRLRIFSLKTNILRGEIPVNISFCLELRIMTLAVNGLVGKIPAELSSLKKLMGLFLGTNKLTGKIPHSFGNLSSLQRLFLQYNHLEGNIPNELGRITSLTMLGMGINNLVGPIPSTVYNISSITALSVPDNQLNGRLPEKIGLTLPNLQFFHIGGNKFHGSIPASLTNASQLQILDIGSNRLTGPIPKNLGDLTGLQRLNMEKNFLGSNSSQDLAFITSLSNCSNLRKLYLADNNFGGVFPATIVNMSTLGDLGLGINQISGRIPADIGNLVNLYRLGLEQNLFYGSIPNSFGKLQKLQALLLHTNMLSGQIPQSLGNITQLSDLWLGRNKLEGNMTSITNCQNLHILDVGNNNLTGSIPPQIFALPFLSLVLNLSYNSFTGPLPREIGHLKNIGTLDITESKLSGEIPGSIGECLSLTDLYMPGNFLQGPIPSSLASLRGLRYLDLARNNLSGKIPKEIEKLPFLQFLNLSFNNLEGEVPTKGVFSSRSAVSLDGNKNLCGGIPELQLPACPIKQKKHKKPLVAIILAATMSLILLLSAITSLRLLYWRKSKKNLSSNPFTLDKLFQISYNELLQATQGFSSDNLIGEGSFGSVYRGSLDLEGERIVAVKVLNLQQHGASKSFIAECRALRSIRHRNLVKILTCCSSIDFKGNDFKALVLDFMGNGSLETWLHPEEDGTSQSRNLNLLQRLRVAVDLSSALHYLHDLCETPIIHCDLKPSNILLDNDMTAHLGDFGLARLLSKNTSNSSQGQTNSIGMKGTIGYIPPEYGIGSKATTNGDVYSFGIILLEIFTGRRPTDEVFTDGLNLHSFVSSKLPGHVMEALDPKLIATGEFRAEEIVEDNESSDDGQIEIQENNIKIENLKLHASNVKECVASVLKIGLACSAEVPGDRMNMRDVTRKLNIIMDAILRARTHEDPRIVNHK >Manes.08G019050.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:1917288:1917824:-1 gene:Manes.08G019050.v8.1 transcript:Manes.08G019050.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQSAKAAAELFKNHDTTFCDRTSLHVSTSHNFEEASLAVGKFSPYWRMLRRLCSVELMTSKRINETAPIPRKCIDQMLRSIEDDVAAAKLGENQES >Manes.14G141400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:12450028:12451249:-1 gene:Manes.14G141400.v8.1 transcript:Manes.14G141400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKKMEVVKGVDIKRYMGRWYEIASFPSRFQPKNGENTRATYTLNEDGTVHVLNETWSDGKRGYIEGTAYKADPNSDEAKLKVKFYVPPFLPIIPVVGDYWILFLDEDYSYALIGQPSRRYLWILCRRPHLDEKTYNQLVERAKEEGYDVSKLHKTPQAETPPEEDGPKDTKGIWWIKSILGW >Manes.04G081740.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004390.2:28306888:28307659:1 gene:Manes.04G081740.v8.1 transcript:Manes.04G081740.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPAITHVKQILRRSNMLQNQSASNFTDVPKGYLAVYVGEGQKKRYIVPVSLLNKPSFQELLRKSEEEFGFNHPMGGITIPCREDIFINLTSC >Manes.17G005600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2229700:2242726:1 gene:Manes.17G005600.v8.1 transcript:Manes.17G005600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYLRRCQGPWLFHILLFYILLNKSRAINSDGEALLNFRNAIVSSDGILPLWRPEDPDPCNWRGVTCDQKTKRVIYLSLKNHKLSGPMSPDIGKLEHLKILALYNNNFYGTIPSELGNCTELQGIYLQGNYLSGLIPGELGNLSELQYLDISSNSLSGSIPSSIGKLNKLITFNVSNNFLVGPIPSDGVLSNFTGSSFAGNRGLCGTQINMNCKDESGPSTPQSPPSAQDQGGKKKYSGVFISASATIGALLLVALMCFWGCFLYKKFGKSESDGIAMDVSGGASIVMFHGDLPYSSKDIIRKLENLNEEHIIGCGGFGTVYKLAMDDGNEFALKRILKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLAGGSLDEALHERSDQLDWDARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDANLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGWLNFLVTENRQRDIVDPNCEGVQIESLDALLSIATQCVSSSPEERPTMHRVVQLLESEVMTPCPSDFYDSSGDNDTC >Manes.01G024300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5196933:5207534:1 gene:Manes.01G024300.v8.1 transcript:Manes.01G024300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLIHSPHFTPVYRRTITSVLSKSHLCLSSTPLPLHSTSCLLHPLTHPSLFRPFPSLILSRNLSVRAFDSSSDTKTQKQEETSDEKVSQSENGSIKNSQDDYPTGDFEFKEIGAWNRFLVKLRMLIAFPWERVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFIKAAYDPRISGIYLHIEPLNCGWGKVEEIQRHILNFKQSGKFIVCYIPACREKEYYLACACDEIYVPPSAYFSLYGLTVEASFLGGVLEKVGIEPEVQRIGKYKSAGDQLTRKSMSEANCEMLTTLLDNIYGNWLDKISSTKGKKREELENFINEGVYEVERLKEEGLITNIHYDDEVISMLKEKLGIEKDKILPVVDYGKYSRVRNWTLGLTGGADQIAVIRASGSISRVRSPLSLPSSGIIGEQFIEKIRQVRESKRYKAVVIRIDSPGGDALASDLMWREIRLLAEKKPVVASMSDVAASGGYYMAMATGTIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEIISRGKYAELLAAEQRPLRPDEAELFARSAQNAYKQFRDKAAFSRSMAVDKMEEVAQGRVWTGKDAASRGLVDATGGLTRAIAIAKQKANIPQDKQVTLVELSRPSPTLPEILSGIGSSIAGVDRTLKELLQDLSFSDGVQARMDGIMFQSLEGSSYANPILSLIKDILSSL >Manes.04G042700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:6722461:6736717:1 gene:Manes.04G042700.v8.1 transcript:Manes.04G042700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRSGQGDGPVARPVRTSDRLRRRPKVFSRTYLYYTPTIIRSRKGKTKTRTAASRIAKMLCPSNRPTRSPNNNSVATNLRRSTRKRRISVNLEDYTDSSGSEDEDLMKPTYRTLRNRIDNNVSQDELSSPKFKKIVNTRSTPRREGLRPRGSKTVAREQLNLESGDEHETFEKVVEDETENGNELDDNDADDGQNDDEAEDEGDGEGEDEGEEDGDDEEGEEEEQEGRRRYDLRNRADVRRLSMEEGKQRPRSPRRVLHQGLGTRVNRDVRKGGSRVHKRHRMTRAEDSDDSLLVDELDQGPAIPWSRGGSRSGPPWLFGGLDVHGTTAWGLNVAASGWGHQGDSIPTLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKLELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVDSVKVEKYHFIEAMSTITPAAHRGAVVHSRPLSLVIAPCLQRHLQKVMNCISDIFPPLAVSSEFTKLSMLSYGSAIPLVYRPRLLLSGAEGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSVLYIPHLNLWWDNAHEQLRAVLLTLLEELPSDLPILLLGTNTEQPNVPDTQPPPVFAQRSIYQVDLPTTEERSLFFNHLIEAALSVLLVGITEKSKESVSLPDLPKVPKVASGPKASELKAKVEAEQHALRRMRMCLRDVCNRILYDKRFSAFHYPVTDEDAPNYRTIIQNPMDLATLLQRVDCGQYITCSAFLQDIDLIVTNAKMYNGDDYNGARIVSRAYELRDAVHGMLSQMDPALVAYCDKIAAQGGPVHVPDDLGGSIFPSTPVVQLAAVTRASARLRNVQPEVNLDQSYEALKRQKKNVDSALMAEEKSRHQDAVQVETVANETDPERPESPAAEPNRLETSREASVHTEPSGSQDATMLDAEISSKIQYVKQLFVDRTENYGIPQLERLYTRIMKGVFETKDKEKIEDDPKHSILKFLVKFAEDESNF >Manes.16G044501.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004402.2:7323330:7324283:-1 gene:Manes.16G044501.v8.1 transcript:Manes.16G044501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNIALRVASEDTSEEEEEISEEELALVTRRIRKLLLQNKKFIPRKNFRKEKGESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTEEEEVGDEIANMCFMALEESSDEVTILDDSTLCDDVVEFSYDELVGALKLMNDELEKSHKKNKFLKCE >Manes.18G068900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:6218422:6226065:1 gene:Manes.18G068900.v8.1 transcript:Manes.18G068900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLMSKLRSLDAYPKINEDFYSRTLSGGIITIASSIVMLLLFISELRLYLHAVTETKLVVDTSRGETLRINFDITFPALPCSILSLDAMDISGEQHLDVKHDIFKKRLDSNGNVIELRQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEASDEECCNSCEEVREAYRRKGWAMSNPDLIDQCKREGFLQRIKDEAGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKDSFNISHKVNRLAFGDYFPGIVNPLDGVHWTQETPSGLYQYFIKVVPTVYTDVSGHTIQSNQFSVTEHFRSEEVGRLLQSVPGVFFFYDLSPIKVTFTEEHISFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIGKFS >Manes.09G052200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8671071:8673463:1 gene:Manes.09G052200.v8.1 transcript:Manes.09G052200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSEGGAPEVTLETSMGSFTVELYYKHAPKTCRNFLELARRNYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGPKFEDEIRSELKHTGAGIISMANAGPNTNGSQFFITLAPTPSLDGKHTIFGRVCRGMEVIKRLGSVQTDNNDRPIHDVKILRTSVKD >Manes.14G068850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:5727307:5728311:-1 gene:Manes.14G068850.v8.1 transcript:Manes.14G068850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPISFHVLGLMLVNFQRLSSNSCSSRMRGKLFDAVLSMTPRLCIMKESVSGLQAGISLNMSWVAAVHFPLCRYVNCWKGACGICCPDMWNWCLYCCSVHQHLYCWAQSHPPFSNLSKNEGWVHLCDGVEVSVGRVIRTDAMRLVWWFLFLSIRIVFETPMHSLVPQILDYLEA >Manes.11G148750.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31333572:31334699:1 gene:Manes.11G148750.v8.1 transcript:Manes.11G148750.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFRSSLPPQHLYLYGKKRKLFVFSSIFIVCFIVDHFGSFTCNIFLQSCREIRICLVVLILHCRRGSDSNHYLFLLVKIVTVVHRRGDSSTGQMVGGLSCSFSSSTGKNSSFSVNVKELGFLWLDKIKDLKDLSSSVWFLGVNILVIGIDVTRCTTNKRKGFFDIRQKPSITWIYI >Manes.17G007800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2896100:2901306:-1 gene:Manes.17G007800.v8.1 transcript:Manes.17G007800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKLGPQPDRRSRVVPITIMFAVLCGVSFYLGGIFCSERNKIEVKDVTKVVSSPKESVVSPLQLKATAFPECSSDYQDYTPCTDPRRWKKYGYQRLTFMERHCPPAFERKECLVPPPDGYKPPIKWPNSRDECWYRNVPYDWINKQKSNQNWLRKEGDKFHFPGGGTMFPRGVSAYVDLMEDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEINRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQRSDYEKLQELLTAMCFKLYNKKDDIAVWRKSSDSSCYSKLGKPEAYPPKCDDSLEPDSAWYTPLRSCVLAPSPKLKTSVLESIPKWPERLHGAPERISDVPGSSTSAFKHDDSKWKVRAKHYKKLLPAIGTDKIRNVMDMNTVYGGFAASLIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTSESHRCDMKYVLLEMDRILRPNGYAVIRESSYFVDAIATIAKGMRWGCRKEDTEYGVAKEKILICQKKLWYSSNQSS >Manes.17G007800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:2896100:2900670:-1 gene:Manes.17G007800.v8.1 transcript:Manes.17G007800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKLGPQPDRRSRVVPITIMFAVLCGVSFYLGGIFCSERNKIEVKDVTKVVSSPKESVVSPLQLKATAFPECSSDYQDYTPCTDPRRWKKYGYQRLTFMERHCPPAFERKECLVPPPDGYKPPIKWPNSRDECWYRNVPYDWINKQKSNQNWLRKEGDKFHFPGGGTMFPRGVSAYVDLMEDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTLSLAPRDNHEAQVQFALERGIPAILGIIATQRLPFPSSSFDMAHCSRCLIPWTEYGGIYLLEINRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQRSDYEKLQELLTAMCFKLYNKKDDIAVWRKSSDSSCYSKLGKPEAYPPKCDDSLEPDSAWYTPLRSCVLAPSPKLKTSVLESIPKWPERLHGAPERISDVPGSSTSAFKHDDSKWKVRAKHYKKLLPAIGTDKIRNVMDMNTVYGGFAASLIDDPLWVMNVVSSYAVNTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTSESHRCDMKYVLLEMDRILRPNGYAVIRESSYFVDAIATIAKGMRWGCRKEDTEYGVAKEKILICQKKLWYSSNQSS >Manes.05G170000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:28420438:28431816:1 gene:Manes.05G170000.v8.1 transcript:Manes.05G170000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETERLLTISELIRRGRPFTAAFSLNSSPTISVSRPSSKPMSMSDEKPQSQPSSSGLSSKSNPIPKILAPLIGPAILIGTLLLPAETLNCPNKNCFQFSDGSSTICCDILDFSVRAVGKKIHVLAWNFIPLKHSGGGFLEIIRWNFPDSSRSSLSRCSSVDSIPIVSGSPSPPTPIADNSKARCLVHGPIESISPVTLVPCSTGASETNNLRGFIVQIRVCECKLCNSKESITILHNLAQGQHPHCFLQPLFVYFFGPSWRWHPVITKLVGNVVTLSGLKKKLVFIGKEESQLMFVTTENSVLHLPRLSNKWSPFMKNIVGKGECGAYTGVVKGVYMRGMVIELDKEVWLLLSDQLLTAPHSLRVGAIISLKNVHFVNPKFTWTQMLILGTCLKTSITVESFSPLETGCNVVYQSQSQLGKFIDSLNYSARLWVILVVSSFRKKFAGILSEKDILGSKHKEGLAQMFSNSHLPPSVTRARHGIIEELCKHSSCGCDSEAYCANLKLVAPISTFLHHCETMWMRAPLQMERNFHILHDDTQFNLVSFKGRSPSMTLRRMFRSEDIGVSLLGSLKISPSSGRLQLVDATGSIDVIVPDLPSGWKSDGFYEVIDYNLIMEGTPNFVDHPGLPVMESFSCRHIFDYTPLAREMNLTIYINFHLGNTTCSNLPFYPCVDSNYEFMELQGGRFHLICITHKYPVLQKFKGEPVITDYYTAFAEAIILPWDLFLPVKDGAVLPVNISGDWLDEPSEHFIIENYQEHLSYKKRKIDQASSQASKGSNEGECGNLSSLEIPCLATVRTAYSCNLVDSGKLCFTKSKVKVGADCKLSAQRVLLEFNSENFSKYQVLQIGSYYIINHHPEESFCIVKDCCSVNCDKVFISSRTHMWGLSFSSDEVVTHNKMSSLPSQNDYSIRCREVPLSDQVELFLRSIGNFPESSSDVCLHLSANAKVFLEVKLNEAKESHIEPLTTQEETSNDSPNVLTTMSSPNLSFGSLDFPCLFPEGNLTSVCGDVVDIHGFDCNSANVHLSCENLDDDLHMKFFQGSTNISRIHVLVDNQMVSILGSLSKHAYLVGFGPGVNATFHRILKLRVANKFKLTPVSFIVLNSIRVGNGPYSEKSFKICSTLCMSSAASSDNVSSGIISEFIQCSDCKPMRFSCRVVALHVLVLENNIKYYGVLSRAQAMPNFVDIPLVGFVLDDGSSTCCCWANGERAATLLRLHEELPLRAFVSSGCTLQCVGVDKSFTKSTMFHLERILRKNSRITVRNHGSIVDSSYQDLTVSVSSENALSTSDENLLKFIVFNSCFGTFWTVIAGVMDPNAIKQLEKEHLLELETAVLPMRNVWAMEVQYTNALVEARNMIEELISR >Manes.03G213500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:33302915:33304030:1 gene:Manes.03G213500.v8.1 transcript:Manes.03G213500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWKKTITSPFKKACTFFNQQPRDKKSQPGHENRVMDLHGEVMACGYEDVQVMWSILDKSKSSNCNLTSS >Manes.05G136000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:23828681:23832032:-1 gene:Manes.05G136000.v8.1 transcript:Manes.05G136000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHGESYTYKGVTLHQPKRWHTVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDDHGHGH >Manes.03G167300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29291411:29293036:1 gene:Manes.03G167300.v8.1 transcript:Manes.03G167300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWEPQLSLTISTSPFVSSQFSTLANPWWTGQVGLPGLDSSSNSLSLNKANRELSINETSNRSGDDEDRDTGDEPKEGAVEIGTRRPRGRPPGSKNKAKPPIFVTRDSPNALRSHVLEVVGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAIVALHGKFEILSLTGAFLPGPAPPGATGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIAATFANATYERLPLEDEEEAAGSGGQEQIQGGSSNSPPPIGSSGGQAGLPDPSAMPMYNIPPNLMPNGGQLGHDAYSWAHGRPPY >Manes.17G123000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32839869:32844433:-1 gene:Manes.17G123000.v8.1 transcript:Manes.17G123000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLSFLLSLSSPFTSSSSSSFGLCSVWGRGINRFTTSASIEKPINPSVKIEYNQLLIDGKFVDAASGKTYSTLDPRTGNVIAYVAEADTEDINRAVSAARKAFDEGPWPRMTAYERSCILLRFADLIEKNLDKVSALETWDSGKPYDQVANVEVPMLVRKIRYYAGWADKIHGLTIPGDGPHHVQTLHEPIGVAGQIIPWNFPLLMYSWKIGPALACANTVVLKTASQTPLSALYVTSLLYEAGLPPGVVSVVPGCIPAGEALCNHMDVDKLAFTGSTRTGQKILEMAAKSNLKSVTLELGGKSPFIVCEDADVDQAAELAHLALFFNQGQCCCAGSRTFVHERVYDEFVEKAKACASKRVVGDPFQKGVQQGPQIDEQQFQKILSYIRSGIESGATLEIGGDRCGSKGYYLQPTVFSNVKDDMLIAQDEIFGPVQSILKYKDLDEVVRRANASRYGLAAGVFTQNIDTANFLTRALRVGSVWINCYDIFDAAIPFGGYKMSGLGREKGIYSLSNYLQTKAVVFALKNPAWL >Manes.17G123000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32839869:32844283:-1 gene:Manes.17G123000.v8.1 transcript:Manes.17G123000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYERSCILLRFADLIEKNLDKVSALETWDSGKPYDQVANVEVPMLVRKIRYYAGWADKIHGLTIPGDGPHHVQTLHEPIGVAGQIIPWNFPLLMYSWKIGPALACANTVVLKTASQTPLSALYVTSLLYEAGLPPGVVSVVPGCIPAGEALCNHMDVDKLAFTGSTRTGQKILEMAAKSNLKSVTLELGGKSPFIVCEDADVDQAAELAHLALFFNQGQCCCAGSRTFVHERVYDEFVEKAKACASKRVVGDPFQKGVQQGPQIDEQQFQKILSYIRSGIESGATLEIGGDRCGSKGYYLQPTVFSNVKDDMLIAQDEIFGPVQSILKYKDLDEVVRRANASRYGLAAGVFTQNIDTANFLTRALRVGSVWINCYDIFDAAIPFGGYKMSGLGREKGIYSLSNYLQTKAVVFALKNPAWL >Manes.17G123000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32839869:32844124:-1 gene:Manes.17G123000.v8.1 transcript:Manes.17G123000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYERSCILLRFADLIEKNLDKVSALETWDSGKPYDQVANVEVPMLVRKIRYYAGWADKIHGLTIPGDGPHHVQTLHEPIGVAGQIIPWNFPLLMYSWKIGPALACANTVVLKTASQTPLSALYVTSLLYEAGLPPGVVSVVPGCIPAGEALCNHMDVDKLAFTGSTRTGQKILEMAAKSNLKSVTLELGGKSPFIVCEDADVDQAAELAHLALFFNQGQCCCAGSRTFVHERVYDEFVEKAKACASKRVVGDPFQKGVQQGPQIDEQQFQKILSYIRSGIESGATLEIGGDRCGSKGYYLQPTVFSNVKDDMLIAQDEIFGPVQSILKYKDLDEVVRRANASRYGLAAGVFTQNIDTANFLTRALRVGSVWINCYDIFDAAIPFGGYKMSGLGREKGIYSLSNYLQTKAVVFALKNPAWL >Manes.03G036800.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.21.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.21.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.20.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3210313:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.20.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3210510:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMVMCLWFNTSSPCYLSVGLSLFRFN >Manes.03G036800.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3210510:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3210510:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.22.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.22.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3210510:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESSKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3208149:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.03G036800.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:3210313:3224117:1 gene:Manes.03G036800.v8.1 transcript:Manes.03G036800.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSKFDLSSDSPERPSYTSGQRGGSHLTAQLDRSGSFRENMENPILSSLPNMTRSSSVVAQGDVVNFFQCLRFDPKAVVADHKSNRKGDFKRHLNVALGISLDDPPSIPLKGKVPAPEEIKRVKAGLRESSVRARERMKIFNESLSVFNKFFPSIPSKKRSRSEGFSSDRPNALLSSDRSVLGPSLGKMGIHNHAVSGGGFELEQQKSEERTKNVVPNKRTRTSLVDVRSNSLVRPSGTVDREREMLRLASSGAVQGDDRILSIGVDGWEKTKMKKKRSVIKPDVSPSLASTKPNDGYQKPKQGMQQKPATEARSRLNSDSDGFRPGVANGAVSIGKSDGISQSAGLSIRPSIPRADLDNGSLLNDRRERPVGSDKERMNIRAVNKANVRDDFNSASPTSSTKMNAPVRAPRSGSGIAPKLSPVVHRATASNEWELSHCSSKPPTTVGMSNRKRTASARSSSPPVAHWAGQRPQKSSRSARRTNLIPIVSNNDESATLDTVSDVSGELGFGFAKRLTGNSPQQVKLKNEPVSSAALSESEESGAPEIKSKDRGKKSDDIDEKAGLNVQKVSNLGLPSRKNKLVTGEDLGDGVRRQGRTGRGFTTRAHMPMSVEKVGNVRTAKQLRSARLSFDKNESKTGRPPTRKLSDRKAYKRQKHTTVSAAADFLVGSEDGHEELLAAASAVTNPAHACSNSFWRQMEPFFGFISDADIDLLKKQEIIESAAPPPARVSFDVNNCSTVPNGYGLIEREGEVRLSTESRVCEPLVPGASDIPLYLRLLAAIISEEDFTHGNRDLEFDTYETGFELDRELGSNGLSHADNFKFSGHTPFNGYKMALKTEHDEAEIDALGISSTSIYSNFDDSVNGALSDQGLIPGMVCSEFQYDDMQINEKLLLEVQSVGIFPESMPDMQMEDDGISGEILSLEDKYHGQVSKKKGLLDKLLKSVSGAKELQEKEFEERAHDKLVAMAYEKYMACCCPSAGGGKSSSNKMAKQAALAFVKRTLERCHKFEDTGKSCFSEPLFRDMFLPRSSHLSGGRSSGTPVDEESGKLYANTSSRSLEARVSGSLGSQPSPRTSRLSQNGDGYVANSSDLLPPVNRLSEQSAGKEDTWSNKVKKRELLLDDVGGTIGASSVPSGIGGSLSSSTKGKRSERDREGKGHNGGVLSRNGTNKIGRPALSNIKGERKSKTKPKQKTQLSVSVNGLLGKITEQPKPALHSQAKSGDITVCRNGNEKDGFGLDVLDDPESIDLSNLQLPGLDDGQGQDLGSWLNIDDDGLQDHGDFMGLEIPMDDLSDLNMMV >Manes.07G048900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:5733806:5734756:1 gene:Manes.07G048900.v8.1 transcript:Manes.07G048900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFPSNGSKRRSSFLEDSFTSKPDWSQLHSELLELILSKLSLVQIIRFKAVCSTWRSIAESYVSSSCYAPFPQTPWLLVPPNQEDDTDSLCFFSLEDKKVYQIKNMGNQFGCDVWCVGSSHGWLLILDDEANPFLFNPFSQVRIQLPTLASFMFEVNRSYFIQELRKFFITKAVLLSDPSRDKNYGVVVIFGYRSRLAFCAKKGSCWTVLNGASQGYSDIICSNDIVYALTLDNSIEVWDFHACLPRKRREIHPLIPKHMVEATESFRGSHSSQSYLVESSGDLLLVMRYVGNFVNQEGEPVDETYLLSDEDTSH >Manes.03G074800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:12356409:12364289:-1 gene:Manes.03G074800.v8.1 transcript:Manes.03G074800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSIRDLQLTQVAGSRKSRPAIVAEAEGGDLEGIRLLDSYESPDDLHRIMIDGEGESEGEEGMKRIQVRITGMTCAACSNSVESALKSVSGVLRASVALLQNKADVVFDPALVKDDDIKNAIEDAGFEAEILAEPSTTKTKPSGTLLGQFTIGGMTCAACVNSVEGILRNLPGVKRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEGALVQSNQQDKISLGVSGIFTEMDVQLLEGVLSTLKGVRQFRYNRMSSELEVHYDPEVVGSRSLVDGIEGGSGMKFKLHVMNPYARMASKDVEETSTMFRLFISSLFLSIPVFFIRVICPHIPLLYSLILWRCGPFLMGDWLKWALVSVVQFVIGKRFYVAAGRALKNGSTNMDVLVALGTSASYFYSVCALLYGAATGFWSPTYFETSSMLITFVLLGKYLESLAKGKTSDAIKKLVELAPATALLVVKDKGGRCITEREIDALLIQPGDVLKVLPGTKVPADGVVVWGSSYVNESMVTGESAPVLKEANSLVIGGTINLHGALQIQATKVGSDAVLNQIISLVETAQMSKAPIQKFADFVASIFVPIVVAMSLLTFLGWYVGGTIGAYPDVWLPENGNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKIKYVIFDKTGTLTQGKATVTTAKVFNGMNRGEFLRWVASAETSSEHPLAKAIVEYARHFHFFDEPSATEDGKNNSKESVTSGWLLDVSEFTALPGRGVKCFIDGKQVLVGNRRLMTENEITIPTHVENFVVELEDSARTGILVAFDDSLIGVLGVADPLKREAAVVIEGLQKMGVKPVMVTGDNWRTARAVAQEVGIQDVRAEVLPAGKAEVIGLFQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTLSRIRWNYIFAMAYNVVAIPVAAGVFYPSLGIQLPPWVAGACMALSSVSVVCSSLLLRRYRKPRLTTILEITVE >Manes.07G008700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:992602:997622:1 gene:Manes.07G008700.v8.1 transcript:Manes.07G008700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVLSNVVGDIIIKLGSRALHEIGLWWGVKGELEKLQDTVSSIRDVLLDAEEKQKLNRQVKGWLERLEEVVYDADDLVDDFATEALRRRVMTGNRMTKEVSLFFSSSNQLVYAFKMGHKIKAIRERLADIEGDRKFMLEVRTDQERIAWRDQTESSLPEVVIGREGDKKSIIELVLSSNGEECVSVLSIVGMGGLGKTTLAQIIFNDELIKNSFERRIWVCVSDPFDVKMVVRKILESATEKKPEDLELEALKSQLGRIIDGKKYLLVLDDVWNENREKWQNLKRLLVGGSSGSKILITTRSKKVADISSTMAPHVLKGLSPDDSWSLFLRVALEGQEPEHANVREIGEEILKKCCGVPLAIKTIASLLYEKNPETEWLLFSRNELSRISQDDNDIMPTLKLSYDHLPSHLKHCFAYCALYPKDYEFDVKTLIHLWVVQGFIVSPSRSDCVEDIGLEYFMKLWWRSFFQEVKKDRYGNVKSCKMHDLMHDLATTVGGTRIQLVNSDADALNIDEKISHVALNLDVAPQEILNNAKRLLSFFLLGKHDYDELFIHKNLWCLRAYDMGNHSIKKVDNSIKILKHLRYLDVSRNRKLRALPNSITDLLNLQVLNVSGCDQLQELPKDIKKLVNLRHLYCEGCYSLTHMPRGLGQLTSLQTLSVFVVAKGHISSKDVGKINELNKLNNLRGRLVIRNLGCVDNEIVNVNLKEKPLLQSLELLWDHQSWNDSNVDRDEMSFQNLQPHPNLKELYVYGYGGRRFPSWFSSLSNLVILCISGGNGCQHLPSMVQIPSLQYLCISGLDDIEYMEIEGQQTSFFPSLKTLELRHCPKLKGWQKKRDDSTALELLQFPCLSYFSCHNCPNLTSIPQFPSLDLSLYLYKASPQLVHQIFTPSISSSSSIIPPLSKLKHLSIKYIEELESLPRDGLRNLTCLQALTIDTCPALKCLPQELHSLTSLRELDIRDCPQLKERCGNKKGADWEFISHIQNIEVDRQTIQKEGRYLLDDEASAHQARGIIYLFFTHLKF >Manes.03G201400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31724815:31730154:-1 gene:Manes.03G201400.v8.1 transcript:Manes.03G201400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQFPPTVISSTMEKKLESLPSMVAGVWSDDGSLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTRVVIDQGAVPIFVKLLASPSDDVREQAVWALGNIAGDSPKCRDLVLSHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSTDEEVLTDACWAQSYLSDGTNDKIQAVIEAGVCPRLIELLLHPSPSVLVPALRTVGNIVTGDDIQTQCVINNGALPYLLSLLTHNHKKSIKKEACWTISNITAGNNQQIQAVIDAGLIGPLVNLLQNAEFDIKKEAAWAISNATSGGTQDQLKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGSSGDYNMYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKVLETYWLEEDDESLPPGDGSQQGFQFGGNDPSAPSGGFNFS >Manes.03G201400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:31725798:31730154:-1 gene:Manes.03G201400.v8.1 transcript:Manes.03G201400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLQKKRREGLQAQQFPPTVISSTMEKKLESLPSMVAGVWSDDGSLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTRVVIDQGAVPIFVKLLASPSDDVREQAVWALGNIAGDSPKCRDLVLSHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSTDEEVLTDACWAQSYLSDGTNDKIQAVIEAGVCPRLIELLLHPSPSVLVPALRTVGNIVTGDDIQTQCVINNGALPYLLSLLTHNHKKSIKKEACWTISNITAGNNQQIQAVIDAGLIGPLVNLLQNAEFDIKKEAAWAISNATSGGTQDQLKYLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGSSGDYNMYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKVLETYWLEEDDESLPPGDGSQQGFQFGGNDPSAPSGGFNFS >Manes.09G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:32344283:32346586:-1 gene:Manes.09G120600.v8.1 transcript:Manes.09G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSRTSINSGLMDSDTCTDEMGKSQDLSLKSTKGLDEARDEEAKKAKVETSLGPITPDANKENGDFFLDLCSPLTTVTKMPKVLIFDSKTNGNQDQLPYIDSSSSPKTPKDVVFDPFAPGPDDKVLAPLSKKYSDEARISVARRLNFGSSPKGLDHTSPGDGVESISDEEMFKSVYENLLEVIVSEQTESALAELSNMEWDYDACRTPPSARRLNGVAETCPGAPLKPTAKPRLTDLGLCRKLEF >Manes.12G123200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:32856479:32860743:-1 gene:Manes.12G123200.v8.1 transcript:Manes.12G123200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVKRMQPTFADVKEIQRNGHFVGYQSNSFVKDFLIKQLNFSESKLKNYSTPEDYAEALSLGIHNGGVAAIFYEIPYIKLFLAKFCSKFQMVGPTYKTDGFGFAFPLGSPLVSNISRAILIVTQDKGKMDRIERSNFPDENACDDQIAPNSSGSLSVTSFGGLFIITGLASIFSLLIHIMKFIWFHWPISNTTHHPEGISFWLRILELAKHFDQKDPSVHHDSRVHPTTSPAATSVGASPDHNFDDHTHNLSRIPSQGAEVVARYQDNQNETTATIPPNSP >Manes.09G131500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:33285086:33306201:-1 gene:Manes.09G131500.v8.1 transcript:Manes.09G131500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSSSSEEQLHQQEKHCCISHEFLRAATRNPTKIAVIQAAPSGDDQRQVAAELINPGSSVSSASSSSFNPLVYEGDQCFTFRDLLKSVDFLSSRLRDVLDGADVSRLFRAQSSSGKGNPDEQSTPDVSKSSSMDEYKNENRPKILGIYMPPSVEYIISVFSVLRCGEAFLPLDPSWPKDRILSTVSSADCELIITSESSYGKGSLSNLGNLNWLVEFSSCPVLRFSVEEALEECVGPLQIAWPCEKEEKRLFCYLLYTSGSTGKPKGVCGTEQGLLNRFLWMQELYPMHENEVLLFKTSLSFIDHLQEFLGAMLGACTLVIPPFSQLKEDPFSIVNFIQAYCINRLIAVPSLMRAILPALRSHQHKMQIQNSLKLLVLSGEVFPLSLWGELSNLLPGTTILNLYGSTEVSGDCTYFDCKRLPLILETESLTSVPIGVPISNCDVVLVGETDTPNQGEVCVGGLCVCGGYFSDSALSSFDSLKVHKTLICNCLVDDCGSQVYYRTGDFAQRLQCGDLVFLGRADRSIKVNGQRIALEEIEITLRTHPDVVDAAVVSSEGPEELLHLEAFLLLKDKQKSGDSLRSSIKNWMAGKVPLVMIPNRIVFIESLPISSGGKVDYALLSTSAFYTLKVQDKICNADITDHFHIIKKAFCDALMVEDVSGDDDFFKLGGSSITAAQVSYNLGIDMRLLYKFPTPSKLRNALLDKKAPYKDVKTDTSWKSNLKEHSWSMSHSVNSSISKAQKFHQMNDHNVAVSKHFKVNLDNHISPENVSLSGGYPWSSVIPVSCSFSRGNKVMYEEECRLRNIHQLTWSTELPRNRKGSSMLELWKVHMESCVDASPLIVFKGQDVYLFIGSHAHKFTCVNAKSGSIQWEVKLQGRVECSAAIVADFSQVVVGCYKGKIYFLDFFKGSICWTFQTCAEVKCQPVVDIHRQLIWCGSHDQFLYALDYRNRCCIYKLSCGGSVFGSPAIDEMHDTLYVASTSGRVTAVSIKALPFYTLWQQELQVPVFGSLTVCSPCGNVICCLVDGNIVALDLGGSIIWQCKTGGPVFAGACSSSVLSSQVLVCSRNGSVYSFGMEKGDLLWEYNVGDPITASAYVDEHLQLVSDSFLVSERLVCVCTSSGSIHLLLISLDDAGKASHPSKNAVQEIARLELPGDIFSSPVMIGGRIFVGCRDDYVHCVSLKSQSSVEE >Manes.05G008900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1054357:1055763:-1 gene:Manes.05G008900.v8.1 transcript:Manes.05G008900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNRASKNAKDASKSGFVPQEQDCYEGERLVRLLKLINGAIESAKLLDGNSLPEKFWFKQRFAIGVNEVTRVLERMSPTVEMEGFGQKPPPHVISTQHRKPTAVQLQAIVIAHDCNPKWLMKHLPSLASSRKVPVIFVKDGKKGSLRLGELVKLKTAIAIGVKDKGNSINQVVEEILNGGNELNLQTHCLNSSETPTAPCQ >Manes.05G008900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:1054357:1055763:-1 gene:Manes.05G008900.v8.1 transcript:Manes.05G008900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKNRASKNAKDASKSGFVPQEQDCYEGERLVRLLKLINGAIESAKLLDGNSLPEKFWFKQRFAIGVNEVTRVLERMSPTVEMEGFGQKPPPHVISTQHRKPTAVQLQAIVIAHDCNPKWLMKHLPSLASSRKVPVIFVKDGKKGSLRLGELVKLKTAIAIGVKVKDHDFHNVNLHISCI >Manes.17G114100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:32178017:32179162:1 gene:Manes.17G114100.v8.1 transcript:Manes.17G114100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVANFLVALAVVALASSFATAYDPSPLQDFCVATNATKSAVFLNGKFCKDPTRVTADDFFFSGLNVAGDTSNQLGVHINIIDADVIPGLNTNGITLVRIDYAANGGLNPPHNHPRAAEILTVLKGTVYAGFVTSNPDHRLFAKVLKPGDVFLFPFGLIHFQLNIGKTPAVALAALTSQNPGVNTIANAVFGSDPPINPNVLTKAFHLNKDLVSKLQKEQWVDPSESDSYN >Manes.01G020500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4790369:4805069:-1 gene:Manes.01G020500.v8.1 transcript:Manes.01G020500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWRRVLKSVQALAAHSLLFLFTLLLVFKLDHVVSYSWWLIFFPLWLFHGVIARGRFSLPAPSVPHNRHWAPCHAVVATPLLIAFELLLCIYLESIYVHGVAAVNLKIVFIPLLAFEIIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFVAATVFALLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSQTREVSSSSATIRYLDWNSGLVVSPEENQLQDRMCGLQDIGGHVMKIPLIGFQVLLCMRLEGTPAGARNIPLPILFSPLFLLQGAGVLFAASKVVEKLVLLLRSEAGTSTYFRFSASAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHEGVSGYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYTQQEFERLQNEKVLCRVCFEGEISVVLLPCRHRILCSVCCDKCKKCPICRIAIEDRMPVYDV >Manes.01G020500.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4790523:4805050:-1 gene:Manes.01G020500.v8.1 transcript:Manes.01G020500.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWRRVLKSVQALAAHSLLFLFTLLLVFKLDHVVSYSWWLIFFPLWLFHGVIARGRFSLPAPSVPHNRHWAPCHAVVATPLLIAFELLLCIYLESIYVHGVAAVNLKIVFIPLLAFEIIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFVAATVFALLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSQTREVSSSSATIRYLDWNSGLVVSPEENQLQDRMCGLQDIGGHVMKIPLIGFQVLLCMRLEGTPAGARNIPLPILFSPLFLLQGAGVLFAASKVVEKLVLLLRSEAGTSTYFRFSASAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHEGVSGYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYTQQEFERLQNEKVLCRVCFEGEISVVLLPCRHRILCSVCCDKCKKCPICRIAIEDRMPVYDV >Manes.01G020500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:4792250:4799370:-1 gene:Manes.01G020500.v8.1 transcript:Manes.01G020500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERAQVIFTLLCRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFVAATVFALLKLCGDVGALGWWDLFINFGIAECFAFLVCTKWSNPVIHRNSQTREVSSSSATIRYLDWNSGLVVSPEENQLQDRMCGLQDIGGHVMKIPLIGFQVLLCMRLEGTPAGARNIPLPILFSPLFLLQGAGVLFAASKVVEKLVLLLRSEAGTSTYFRFSASAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHEGVSGYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYTQQEFERLQNEKVLCRVCFEGEISVVLLPCRHRILCSVCCDKCKKCPICRIAIEDRMPVYDV >Manes.17G011300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:4549054:4550738:-1 gene:Manes.17G011300.v8.1 transcript:Manes.17G011300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYIDEEEVWKCPKHPSKRRRTGICHVCLRERLSSLCPDCANVRPCSCYSITTTSSSSSSFSFSRFSASDSFASGTGTVGRVSNLIDTEPSFRRSRSLAIPFLRAKPPVDPDCSVRRESPKPTSPFWSLFRSSNSNGNRSKRSDMERNFTIVEHEDAKKKKDEDERKRMMRKSRSMAVDVTSDSGVADVKSSKGKGWYFPSPIKAFKQSISRGIMVQERSPLYRN >Manes.05G065900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5477997:5487650:-1 gene:Manes.05G065900.v8.1 transcript:Manes.05G065900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKENLEEIEIGSSVESFHKFLDSQKELFHSQIDQLQRIVVNQCKLTGVNPLSQEMAAGAMSIKIGKRPRDLLNPKAIKYMQAVFSLKDAISKKESREISAQFGVTVTQVRDFFASQRSRVRKLVRLSREKVARTTVREQPQDEVPASSDPMMPINLAPLNSVHPDPDPVPLNFAGPNGGPVSSVGPSSVEESPSCSTQDDILPGLNDLDKNFVENIFILLRKEETFSGQEKLMEWILQIQNPSVLNWFLTKGGVMILATWLSQAAAEEQTSILLVALKVLCHLPLHKALPEHMSAILHSVNRLRFYRTSDISNRARVLLSRWSKIFARSQAMKKPSGVKSSVDAQEMILKQSIDEIMGNELWQPNVGNPEDGVTTPGTLENTRKVEPSQTLKLLPSSTDDSSKKHVLGVSFTRERRKVQLVEQPGQKTASKSPQATKAVSISQSRPMSTDDIQKAKMRALFMQGKYGKTGSSSIGTNIMKTESSSKPSNTQSSNLFPVSKAPLQPKTVEHEKPLIVLSKISDKREGSLDPKHKMDSKEPMGEVCRRIQIPWKTPSEIKLNSLWRVGTGENSKEVDVQKNRNRREIETVYRTVLDIPSNPKEPWDLEMDYDDTLTPDIPVEQPADADADADADAAETQVSHNENIVENTVVAPALSLTQNEGGSAAEPDLELLAVLLKNPELVFALTNAQGGNLSAEETVKLLDMIKKSGAGLANSLSGFGGEVREKFEVSLPSPTPSSNPGTSGWRPEDAKNPFSQQGSRRNRVAYTDPVVSTHNSSVDKLTGLVQPQNQASSTRMPQQQASLQMLPQQVQGAMPPFSWPQTTSSTSENRQPSLVLPSHQSFPSKSTVLQTQSSEMGLATKNLPFSSHSLNNFSATAGTSMRIETVNNVKPVPSVSFPMNAPDRRQDSFPMPIATPTPTRPHSLVSEPAVVQASTGNLVSVPDSWRARQRLASTSASQVNQTNNDGMFRGSVQPQVRSGPPWERNEYKGDEGFESWSPENSPGRSPEYMQGRNHTGSGRINTGWKYTPDNGSRQQNHSGRWDHYRNGNRRWR >Manes.05G065900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5477997:5495032:-1 gene:Manes.05G065900.v8.1 transcript:Manes.05G065900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSGVKSSVDAQEMILKQSIDEIMGNELWQPNVGNPEDGVTTPGTLENTRKVEPSQTLKLLPSSTDDSSKKHVLGVSFTRERRKVQLVEQPGQKTASKSPQATKAVSISQSRPMSTDDIQKAKMRALFMQGKYGKTGSSSIGTNIMKTESSSKPSNTQSSNLFPVSKAPLQPKTVEHEKPLIVLSKISDKREGSLDPKHKMDSKEPMGEVCRRIQIPWKTPSEIKLNSLWRVGTGENSKEVDVQKNRNRREIETVYRTVLDIPSNPKEPWDLEMDYDDTLTPDIPVEQPADADADADADAAETQVSHNENIVENTVVAPALSLTQNEGGSAAEPDLELLAVLLKNPELVFALTNAQGGNLSAEETVKLLDMIKKSGAGLANSLSGFGGEVREKFEVSLPSPTPSSNPGTSGWRPEDAKNPFSQQGSRRNRVAYTDPVVSTHNSSVDKLTGLVQPQNQASSTRMPQQQASLQMLPQQVQGAMPPFSWPQTTSSTSENRQPSLVLPSHQSFPSKSTVLQTQSSEMGLATKNLPFSSHSLNNFSATAGTSMRIETVNNVKPVPSVSFPMNAPDRRQDSFPMPIATPTPTRPHSLVSEPAVVQASTGNLVSVPDSWRARQRLASTSASQVNQTNNDGMFRGSVQPQVRSGPPWERNEYKGDEGFESWSPENSPGRSPEYMQGRNHTGSGRINTGWKYTPDNGSRQQNHSGRWDHYRNGNRRWR >Manes.05G065900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5477997:5487651:-1 gene:Manes.05G065900.v8.1 transcript:Manes.05G065900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKIGKRPRDLLNPKAIKYMQAVFSLKDAISKKESREISAQFGVTVTQVRDFFASQRSRVRKLVRLSREKVARTTVREQPQDEVPASSDPMMPINLAPLNSVHPDPDPVPLNFAGPNGGPVSSVGPSSVEESPSCSTQDDILPGLNDLDKNFVENIFILLRKEETFSGQEKLMEWILQIQNPSVLNWFLTKGGVMILATWLSQAAAEEQTSILLVALKVLCHLPLHKALPEHMSAILHSVNRLRFYRTSDISNRARVLLSRWSKIFARSQAMKKPSGVKSSVDAQEMILKQSIDEIMGNELWQPNVGNPEDGVTTPGTLENTRKVEPSQTLKLLPSSTDDSSKKHVLGVSFTRERRKVQLVEQPGQKTASKSPQATKAVSISQSRPMSTDDIQKAKMRALFMQGKYGKTGSSSIGTNIMKTESSSKPSNTQSSNLFPVSKAPLQPKTVEHEKPLIVLSKISDKREGSLDPKHKMDSKEPMGEVCRRIQIPWKTPSEIKLNSLWRVGTGENSKEVDVQKNRNRREIETVYRTVLDIPSNPKEPWDLEMDYDDTLTPDIPVEQPADADADADADAAETQVSHNENIVENTVVAPALSLTQNEGGSAAEPDLELLAVLLKNPELVFALTNAQGGNLSAEETVKLLDMIKKSGAGLANSLSGFGGEVREKFEVSLPSPTPSSNPGTSGWRPEDAKNPFSQQGSRRNRVAYTDPVVSTHNSSVDKLTGLVQPQNQASSTRMPQQQASLQMLPQQVQGAMPPFSWPQTTSSTSENRQPSLVLPSHQSFPSKSTVLQTQSSEMGLATKNLPFSSHSLNNFSATAGTSMRIETVNNVKPVPSVSFPMNAPDRRQDSFPMPIATPTPTRPHSLVSEPAVVQASTGNLVSVPDSWRARQRLASTSASQVNQTNNDGMFRGSVQPQVRSGPPWERNEYKGDEGFESWSPENSPGRSPEYMQGRNHTGSGRINTGWKYTPDNGSRQQNHSGRWDHYRNGNRRWR >Manes.06G150000.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27822972:27828265:-1 gene:Manes.06G150000.v8.1 transcript:Manes.06G150000.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICQEILQTGMGSSKNYAISLPQRVNPATLGLILDYCRFHQVPGHSNKERKTFDEKFIRIDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELEEREKLKNVEVEEEHVDERSVDDLLSFINGADGDSKARSSKTKKKNRRRKDQSKESSSNNLNENHKKEMDTCSSASQNGEVKGVFIASPAKISKLQESMSATHSPKPEFDDMDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSRGQERRLVSLSVNGNGSLCKYSGMDQR >Manes.06G150000.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27822972:27828265:-1 gene:Manes.06G150000.v8.1 transcript:Manes.06G150000.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYIWLQTADGSIQQVEEEVAMFCPMICQEILQTGMGSSKNYAISLPQRVNPATLGLILDYCRFHQVPGHSNKERKTFDEKFIRIDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELEEREKLKNVEVEEEHVDERSVDDLLSFINGADGDSKARSSKTKKKNRRRKDQSKESSSNNLNENHKKEMDTCSSASQNGEVKGVFIASPAKISKLQESMSATHSPKPEFDDMDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSRGQERRLVSLSVNGNGSLCKYSGMDQR >Manes.06G150000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27822866:27828266:-1 gene:Manes.06G150000.v8.1 transcript:Manes.06G150000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICQEILQTGMGSSKNYAISLPQRVNPATLGLILDYCRFHQVPGHSNKERKTFDEKFIRIDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELEEREKLKNVEVEEEHVDERSVDDLLSFINGADGDSKARSSKTKKKNRRRKDQSKESSSNNLNENHKKEMDTCSSASQNGEVKGVFIASPAKISKLQESMSATHSPKPEFDDMDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSRGQERRLVSLSVNGNGSLCKYSGMDQR >Manes.06G150000.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27822972:27828265:-1 gene:Manes.06G150000.v8.1 transcript:Manes.06G150000.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICQEILQTGMGSSKNYAISLPQRVNPATLGLILDYCRFHQVPGHSNKERKTFDEKFIRIDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELEEREKLKNVEVEEEHVDERSVDDLLSFINGADGDSKARSSKTKKKNRRRKDQSKESSSNNLNENHKKEMDTCSSASQNGEVKGVFIASPAKISKLQESMSATHSPKPEFDDMDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSRGQERRLVSLSVNGNGSLCKYSGMDQR >Manes.06G150000.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27822866:27828265:-1 gene:Manes.06G150000.v8.1 transcript:Manes.06G150000.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICQEILQTGMGSSKNYAISLPQRVNPATLGLILDYCRFHQVPGHSNKERKTFDEKFIRIDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELEEREKLKNVEVEEEHVDERSVDDLLSFINGADGDSKARSSKTKKKNRRRKDQSKESSSNNLNENHKKEMDTCSSASQNGEVKGVFIASPAKISKLQESMSATHSPKPEFDDMDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSRGQERRLVSLSVNGNGSLCKYSGMDQR >Manes.06G150000.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27822972:27828265:-1 gene:Manes.06G150000.v8.1 transcript:Manes.06G150000.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSMAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICQEILQTGMGSSKNYAISLPQRVNPATLGLILDYCRFHQVPGHSNKERKTFDEKFIRIDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELEEREKLKNVEVEEEHVDERSVDDLLSFINGADGDSKARSSKTKKKNRRRKDQSKESSSNNLNENHKKEMDTCSSASQNGEVKGVFIASPAKISKLQESMSATHSPKPEFDDMDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSRGQERRLVSLSVNGNGSLCKYSGMDQR >Manes.06G150000.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:27822972:27828265:-1 gene:Manes.06G150000.v8.1 transcript:Manes.06G150000.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYIWLQTADGSIQQVEEEVAMFCPMICQEILQTGMGSSKNYAISLPQRVNPATLGLILDYCRFHQVPGHSNKERKTFDEKFIRIDTKKLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELEEREKLKNVEVEEEHVDERSVDDLLSFINGADGDSKARSSKTKKKNRRRKDQSKESSSNNLNENHKKEMDTCSSASQNGEVKGVFIASPAKISKLQESMSATHSPKPEFDDMDIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSRGQERRLVSLSVNGNGSLCKYSGMDQR >Manes.01G097200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:29865355:29866822:1 gene:Manes.01G097200.v8.1 transcript:Manes.01G097200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSKNSKISLRNTPRIRRRRRLPISQRRRRLHARACKAQRSIPNGGRSCPKVSDKLEALKNLIPAHSGEIVKDEQLFQETANYIVLLRTQVLVLQRLIEFYGSSTTEANTNAVL >Manes.S034216.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:869313:870148:1 gene:Manes.S034216.v8.1 transcript:Manes.S034216.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVLVCVLGGVGLLLGGVLRSSGSWMDVGAVVVLQYLGWGDELGANAFVKALRVLGGGRGDIMPCSILCASGVEAHAAAEWQFPLVAVAHCCSCRCVPLWWLLLLRLWG >Manes.13G093500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:25322606:25325638:1 gene:Manes.13G093500.v8.1 transcript:Manes.13G093500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSVQSSSGGDEEYDSRAESISAFLNNSNNPLSHVGLMPNPPPPQDHHHHHHQTHSSSSSMFDPLSNYFDPLSSSRSPPPLTNPNSLLNLDMVWSKNLRSETTCTDLGAFLAPSSPTQQFFTNQPQSRGTFPSLQISQGPESGTRGSGSASASVSNDQANTTISSNNMVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSSFPRSRLDLFGTASTLRSAAHLEHPTPPYLLRPFAQKIQQPPPFLSSSSSSSSMVDAMASTTPTNINSGINTTGTNSSTSINYQLSSDLGFLKQPQNLLNINMQNPVFNFHSLLEPTPKYTLTNSAILGATKAQQGSLEIPSNDSHLKMGSLEDFGLSHGHVSPNLTGLPNIVSSSSNTAVRRSGDDENNNHTSNWGDGVGSNGSDRGMLRSVNGNYSNSQPRVGNGKLNYPASSSNIKGPENVAAARSEGMVESWICSSD >Manes.03G150900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27875835:27877402:1 gene:Manes.03G150900.v8.1 transcript:Manes.03G150900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEDSVTIRINEKLTGESSVFSDPFIFKVPKELRSVNEEAYEPKLIAIGPYHHGKDHLLSMEDHKIRYLQNFLQQTSQKNVSRYVQVIRNLEERARKCYVEPLRFTHDEFVEMMLIDGCFIIEFIRKLRERDMEDLLFRSNHMLHSVMLDLLLLENQLPFFILRELLVTSNVSPDQESTFISMILVFYKSFLPGSLCNSSRAYTPENMIQIKNLLGLLHDHWQPSPARLEVYEKIRQAVERGFTHCATELKDAGIKFKSAVERNNLFDINFVNSTITIPKIQITDITECVLRNLIAYEQLTSFTSPKYFTDYMIFMDSLINSKKDVELLCHQGIIDNWKGDDEAIAILFNKLGEHVFYQSNLYADIVNNVKEHCKKRRNLCKKRCNPWMAKLRQDYFQSPWSFISVLAATMLLLLALTQTVYSVISYYK >Manes.14G158111.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20749565:20760979:1 gene:Manes.14G158111.v8.1 transcript:Manes.14G158111.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHANHARTWLSRSFSNISSHPLHVCVVGSGPAGFYTAEKMLKAHQGAEVDIIDRLPTPFGLVRSGVAPDHPETKIVINQFSRVMQHERCSFLGNVTLGSSISLAELRELYHVVVLAYGAESDRDLGIPGEGLSEIHSAREFVWWYNGHPDYNNLSPDLKSTDTAIILGQGNVALDCARILLRSTIELATTDIASHALAALNQSSIRKVYIVGRRGPVQAACTAKELREVLGIKDLCIHIKEVDLLKSSEDEEVLKNNRIQRRVYELLSKAVTSGRSHPSSGQRELHFVFFRQPTWFFDSGTGHVAGVHFEKTVLRGVGPGKRVAVGTGQFEDLDCGMVLKSIGYKSVPVDGLPLDHQKGVVANVGGRVLTDALGDQSFLEKGLYVCGWLKRGPTGIIATNLYCAEETVASISQDLEQGMLAAVTSLPKPGREGLLQLLDDRNVRVVPFSGWEKIDSEERRLGSLRNKPREKLTTWEDLLKVASE >Manes.14G158111.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20748817:20761079:1 gene:Manes.14G158111.v8.1 transcript:Manes.14G158111.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHANHARTWLSRSFSNISSHPLHVCVVGSGPAGFYTAEKMLKAHQGAEVDIIDRLPTPFGLVRSGVAPDHPETKIVINQFSRVMQHERCSFLGNVTLGSSISLAELRELYHVVVLAYGAESDRDLGIPGEGLSEIHSAREFVWWYNGHPDYNNLSPDLKSTDTAIILGQGNVALDCARILLRSTIELATTDIASHALAALNQSSIRKVYIVGRRGPVQAACTAKELREVLGIKDLCIHIKEVDLLKSSEDEEVLKNNRIQRRVYELLSKAVTSGRSHPSSGQRELHFVFFRQPTWFFDSGTGHVAGVHFEKTVLRGVGPGKRVAVGTGQFEDLDCGMVLKSIGYKSVPVDGLPLDHQKGVVANVGGRVLTDALGDQSFLEKGLYVCGWLKRGPTGIIATNLYCAEETVASISQDLEQGMLAAVTSLPKPGREGLLQLLDDRNVRVVPFSGWEKIDSEERRLGSLRNKPREKLTTWEDLLKVASE >Manes.14G158111.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20748824:20760952:1 gene:Manes.14G158111.v8.1 transcript:Manes.14G158111.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHANHARTWLSRSFSNISSHPLHVCVVGSGPAGFYTAEKMLKAHQGAEVDIIDRLPTPFGLVRSGVAPDHPETKIVINQFSRVMQHERCSFLGNVTLGSSISLAELRELYHVVVLAYGAESDRDLGIPGEGLSEIHSAREFVWWYNGHPDYNNLSPDLKSTDTAIILGQGNVALDCARILLRSTIELATTDIASHALAALNQSSIRKVYIVGRRGPVQAACTAKELREVLGIKDLCIHIKEVDLLKSSEDEEVLKNNRIQRRVYELLSKAVTSGRSHPSSGQRELHFVFFRQPTWFFDSGTGHVAGVHFEKTVLRGVGPGKRVAVGTGQFEDLDCGMVLKSIGYKSVPVDGLPLDHQKGVVANVGGRVLTDALGDQSFLEKGLYVCGWLKRGPTGIIATNLYCAEETVASISQDLEQGMLAAVTSLPKPGREGLLQLLDDRNVRVVPFSGWEKIDSEERRLGSLRNKPREKLTTWEDLLKVASE >Manes.14G158111.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20748824:20760952:1 gene:Manes.14G158111.v8.1 transcript:Manes.14G158111.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHANHARTWLSRSFSNISSHPLHVCVVGSGPAGFYTAEKMLKAHQGAEVDIIDRLPTPFGLVRSGVAPDHPETKVVLAYGAESDRDLGIPGEGLSEIHSAREFVWWYNGHPDYNNLSPDLKSTDTAIILGQGNVALDCARILLRSTIELATTDIASHALAALNQSSIRKVYIVGRRGPVQAACTAKELREVLGIKDLCIHIKEVDLLKSSEDEEVLKNNRIQRRVYELLSKAVTSGRSHPSSGQRELHFVFFRQPTWFFDSGTGHVAGVHFEKTVLRGVGPGKRVAVGTGQFEDLDCGMVLKSIGYKSVPVDGLPLDHQKGVVANVGGRVLTDALGDQSFLEKGLYVCGWLKRGPTGIIATNLYCAEETVASISQDLEQGMLAAVTSLPKPGREGLLQLLDDRNVRVVPFSGWEKIDSEERRLGSLRNKPREKLTTWEDLLKVASE >Manes.14G158111.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:20748587:20760952:1 gene:Manes.14G158111.v8.1 transcript:Manes.14G158111.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHANHARTWLSRSFSNISSHPLHVCVVGSGPAGFYTAEKMLKAHQGAEVDIIDRLPTPFGLVRSGVAPDHPETKIVINQFSRVMQHERCSFLGNVTLGSSISLAELRELYHVVVLAYGAESDRDLGIPGEGLSEIHSAREFVWWYNGHPDYNNLSPDLKSTDTAIILGQGNVALDCARILLRSTIELATTDIASHALAALNQSSIRKVYIVGRRGPVQAACTAKELREVLGIKDLCIHIKEVDLLKSSEDEEVLKNNRIQRRVYELLSKAVTSGRSHPSSGQRELHFVFFRQPTWFFDSGTGHVAGVHFEKTVLRGVGPGKRVAVGTGQFEDLDCG >Manes.09G053500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:8820726:8826340:-1 gene:Manes.09G053500.v8.1 transcript:Manes.09G053500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKFLAVFGLLLVFCNNGVEGSHKIYAQYQNVKAVKVNPVHRTGYHFQPPMNWINDPNGPMYYKGLYHLFYQYNPNGATWGNIVWAHSVSKDLVNWQALKHALYPSKWFDINGCWSGSVTILPGNKPVILYTGIDPNDREIQNYAVPKNLSDPYLRDWIKPDDNPMVDPDSNVNASAFRDPTTAWWVDGHWKIVVGSRRHHRGMAYLYRSRDFKTWIKAKHPLHSVPRTGNWECPDFFPVSLSGQNGLDTSVVGENVKHVFKVSLDITRYDYYTVGTYDRTRDKYIPDNTSVDGWAGLRFDYGNFYASKSFFDPSKSRRVLWGWVNESDTAADDNSKGWAGIQGVPRKIWLDSSKRQVVQWPVEELETLRRKKIELGIQKLKKGEKVEVKRITATQADVEVTFSFPSLEKAEPFDHKWADIDAQGVCAEKGSTDQGGLGPFGLLTLASKELEEFTPVFFRVFKAGNRHKVLLCSDARSSSLREGLYKPSFAGFVDVDLSTKRLSLRSLIDHSVVSSFGEGGKTVITSRVYPTLAVFHKAHLFVFNNGSETITVEKFNAWSMKNPIMNRGFETA >Manes.01G125200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32056399:32067318:-1 gene:Manes.01G125200.v8.1 transcript:Manes.01G125200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGAHFPTLKLQLLLPIFTFFSFAVFAAAAAAKLHPVEVKALKDIGKRLGKKDWDFGVDPCSGKGNWRVLDERKGFESSVTCNCSFNHNSTCHVVSIALKAQNLSGIVPPEFSKFRYLELLDLSRNCLTGSIPSQWATMRLVDLSFMGNRLSGPFPKVLANITTLKNLSIEGNKLSGPIPPEIGKLVKLQKIIISSNAFTGKIPTELSKLAHLTDLRISDNNFSGKIPGFISKLTQIQKLHIQGCSLEGPIPTSISSLTSLADLRISDLIGKGSTFPPLSDMESMKTLILRNCMLSGEIPEYIGNMKKLKNLDLSFNNLAGGIPTTFSQLEKVDFVYLTGNKLTGSVPQWILERNKNVDVSDNNFTWGSSGPIECPQGSVNLVESYSSSANKLSKVHPCLKQDFPCSSSKHHYSLHINCGGKEIVASGNTTFQADLEPRGASMFHSSQSWAFSSTGSFMDNDKDADSYIQTNTSAIFNVSALDAQLYTKARVSPLSLTYYGLCLLNGNYTVKLHFAEIVFTNDTSFNSLGKRLFDVYIQGKLVLKDLNIAEEAGGVGRPIVKKFTVAVTSHTLKIHFYWAGRGTTGIPVRGIYGPLVSAISVDPNFKPPSDNDRQKVMIVAGTVAATLFLVLLFLCIMWRKGCLGAKVSEDKELRGLDLQTGIFTLKQIKAATKNFDAENKVGEGGFGSVYKGLLADGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLMLIYEYMENNCLSRALFGKNSTSRLKLDWPTRKKICLGVARGLAYLHEESRIKIVHRDIKTSNVLLDKDLNAKISDFGLAKLNEDENTHISTRIAGTIGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPELGSAYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDLLSDPGFSAINSKYKAIRNHFWQNPSNNHSLSSNGPCTDSSSSYIDIEEADRILRVSLAESYLNSHVETDETTNLLKVHSVNSN >Manes.01G125200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32056399:32067267:-1 gene:Manes.01G125200.v8.1 transcript:Manes.01G125200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGLKAVSLVIAASITIPLAMYALKAQNLSGIVPPEFSKFRYLELLDLSRNCLTGSIPSQWATMRLVDLSFMGNRLSGPFPKVLANITTLKNLSIEGNKLSGPIPPEIGKLVKLQKIIISSNAFTGKIPTELSKLAHLTDLRISDNNFSGKIPGFISKLTQIQKLHIQGCSLEGPIPTSISSLTSLADLRISDLIGKGSTFPPLSDMESMKTLILRNCMLSGEIPEYIGNMKKLKNLDLSFNNLAGGIPTTFSQLEKVDFVYLTGNKLTGSVPQWILERNKNVDVSDNNFTWGSSGPIECPQGSVNLVESYSSSANKLSKVHPCLKQDFPCSSSKHHYSLHINCGGKEIVASGNTTFQADLEPRGASMFHSSQSWAFSSTGSFMDNDKDADSYIQTNTSAIFNVSALDAQLYTKARVSPLSLTYYGLCLLNGNYTVKLHFAEIVFTNDTSFNSLGKRLFDVYIQGKLVLKDLNIAEEAGGVGRPIVKKFTVAVTSHTLKIHFYWAGRGTTGIPVRGIYGPLVSAISVDPNFKPPSDNDRQKVMIVAGTVAATLFLVLLFLCIMWRKGCLGAKVSEDKELRGLDLQTGIFTLKQIKAATKNFDAENKVGEGGFGSVYKGLLADGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLMLIYEYMENNCLSRALFGKNSTSRLKLDWPTRKKICLGVARGLAYLHEESRIKIVHRDIKTSNVLLDKDLNAKISDFGLAKLNEDENTHISTRIAGTIGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPELGSAYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDLLSDPGFSAINSKYKAIRNHFWQNPSNNHSLSSNGPCTDSSSSYIDIEEADRILRVSLAESYLNSHVETDETTNLLKVHSVNSN >Manes.01G125200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32056399:32067267:-1 gene:Manes.01G125200.v8.1 transcript:Manes.01G125200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGAHFPTLKLQLLLPIFTFFSFAVFAAAAAAKLHPVEVKALKDIGKRLGKKDWDFGVDPCSGKGNWRVLDERKGFESSVTCNCSFNHNSTCHVVSIALKAQNLSGIVPPEFSKFRYLELLDLSRNCLTGSIPSQWATMRLVDLSFMGNRLSGPFPKVLANITTLKNLSIEGNKLSGPIPPEIGKLVKLQKIIISSNAFTGKIPTELSKLAHLTDLRISDNNFSGKIPGFISKLTQIQKLHIQGCSLEGPIPTSISSLTSLADLRISDLIGKGSTFPPLSDMESMKTLDLSFNNLAGGIPTTFSQLEKVDFVYLTGNKLTGSVPQWILERNKNVDVSDNNFTWGSSGPIECPQGSVNLVESYSSSANKLSKVHPCLKQDFPCSSSKHHYSLHINCGGKEIVASGNTTFQADLEPRGASMFHSSQSWAFSSTGSFMDNDKDADSYIQTNTSAIFNVSALDAQLYTKARVSPLSLTYYGLCLLNGNYTVKLHFAEIVFTNDTSFNSLGKRLFDVYIQGKLVLKDLNIAEEAGGVGRPIVKKFTVAVTSHTLKIHFYWAGRGTTGIPVRGIYGPLVSAISVDPNFKPPSDNDRQKVMIVAGTVAATLFLVLLFLCIMWRKGCLGAKVSEDKELRGLDLQTGIFTLKQIKAATKNFDAENKVGEGGFGSVYKGLLADGTIIAVKQLSSKSKQGKNSTSRLKLDWPTRKKICLGVARGLAYLHEESRIKIVHRDIKTSNVLLDKDLNAKISDFGLAKLNEDENTHISTRIAGTIGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPELGSAYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDLLSDPGFSAINSKYKAIRNHFWQNPSNNHSLSSNGPCTDSSSSYIDIEEADRILRVSLAESYLNSHVETDETTNLLKVHSVNSN >Manes.01G125200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32056399:32067267:-1 gene:Manes.01G125200.v8.1 transcript:Manes.01G125200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGAHFPTLKLQLLLPIFTFFSFAVFAAAAAAKLHPVEVKALKDIGKRLGKKDWDFGVDPCSGKGNWRVLDERKGFESSVTCNCSFNHNSTCHVVSIALKAQNLSGIVPPEFSKFRYLELLDLSRNCLTGSIPSQWATMRLVDLSFMGNRLSGPFPKVLANITTLKNLSIEGNKLSGPIPPEIGKLVKLQKIIISSNAFTGKIPTELSKLAHLTDLRISDNNFSGKIPGFISKLTQIQKLHIQGCSLEGPIPTSISSLTSLADLRISDLIGKGSTFPPLSDMESMKTLILRNCMLSGEIPEYIGNMKKLKNLDLSFNNLAGGIPTTFSQLEKVDFVYLTGNKLTGSVPQWILERNKNVDVSDNNFTWGSSGPIECPQGSVNLVESYSSSANKLSKVHPCLKQDFPCSSSKHHYSLHINCGGKEIVASGNTTFQADLEPRGASMFHSSQSWAFSSTGSFMDNDKDADSYIQTNTSAIFNVSALDAQLYTKARVSPLSLTYYGLCLLNGNYTVKLHFAEIVFTNDTSFNSLGKRLFDVYIQGKLVLKDLNIAEEAGGVGRPIVKKFTVAVTSHTLKIHFYWAGRGTTGIPVRGIYGPLVSAISVDPNFKPPSDNDRQKVMIVAGTVAATLFLVLLFLCIMWRKGCLGAKVSEDKELRGLDLQTGIFTLKQIKAATKNFDAENKVGEGGFGSVYKGLLADGTIIAVKQLSSKSKQGKNSTSRLKLDWPTRKKICLGVARGLAYLHEESRIKIVHRDIKTSNVLLDKDLNAKISDFGLAKLNEDENTHISTRIAGTIGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPELGSAYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDLLSDPGFSAINSKYKAIRNHFWQNPSNNHSLSSNGPCTDSSSSYIDIEEADRILRVSLAESYLNSHVETDETTNLLKVHSVNSN >Manes.01G125200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32056399:32067267:-1 gene:Manes.01G125200.v8.1 transcript:Manes.01G125200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGAHFPTLKLQLLLPIFTFFSFAVFAAAAAAKLHPVEVKALKDIGKRLGKKDWDFGVDPCSGKGNWRVLDERKGFESSVTCNCSFNHNSTCHVVSIALKAQNLSGIVPPEFSKFRYLELLDLSRNCLTGSIPSQWATMRLVDLSFMGNRLSGPFPKVLANITTLKNLSIEGNKLSGPIPPEIGKLVKLQKIIISSNAFTGKIPTELSKLAHLTDLRISDNNFSGKIPGFISKLTQIQKLHIQGCSLEGPIPTSISSLTSLADLRISDLIGKGSTFPPLSDMESMKTLDLSFNNLAGGIPTTFSQLEKVDFVYLTGNKLTGSVPQWILERNKNVDVSDNNFTWGSSGPIECPQGSVNLVESYSSSANKLSKVHPCLKQDFPCSSSKHHYSLHINCGGKEIVASGNTTFQADLEPRGASMFHSSQSWAFSSTGSFMDNDKDADSYIQTNTSAIFNVSALDAQLYTKARVSPLSLTYYGLCLLNGNYTVKLHFAEIVFTNDTSFNSLGKRLFDVYIQGKLVLKDLNIAEEAGGVGRPIVKKFTVAVTSHTLKIHFYWAGRGTTGIPVRGIYGPLVSAISVDPNFKPPSDNDRQKVMIVAGTVAATLFLVLLFLCIMWRKGCLGAKVSEDKELRGLDLQTGIFTLKQIKAATKNFDAENKVGEGGFGSVYKGLLADGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLMLIYEYMENNCLSRALFGKNSTSRLKLDWPTRKKICLGVARGLAYLHEESRIKIVHRDIKTSNVLLDKDLNAKISDFGLAKLNEDENTHISTRIAGTIGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPELGSAYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDLLSDPGFSAINSKYKAIRNHFWQNPSNNHSLSSNGPCTDSSSSYIDIEEADRILRVSLAESYLNSHVETDETTNLLKVHSVNSN >Manes.01G125200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:32056399:32067267:-1 gene:Manes.01G125200.v8.1 transcript:Manes.01G125200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGAHFPTLKLQLLLPIFTFFSFAVFAAAAAAKLHPVEGLKDCLFCAVKALKDIGKRLGKKDWDFGVDPCSGKGNWRVLDERKGFESSVTCNCSFNHNSTCHVVSIALKAQNLSGIVPPEFSKFRYLELLDLSRNCLTGSIPSQWATMRLVDLSFMGNRLSGPFPKVLANITTLKNLSIEGNKLSGPIPPEIGKLVKLQKIIISSNAFTGKIPTELSKLAHLTDLRISDNNFSGKIPGFISKLTQIQKLHIQGCSLEGPIPTSISSLTSLADLRISDLIGKGSTFPPLSDMESMKTLILRNCMLSGEIPEYIGNMKKLKNLDLSFNNLAGGIPTTFSQLEKVDFVYLTGNKLTGSVPQWILERNKNVDVSDNNFTWGSSGPIECPQGSVNLVESYSSSANKLSKVHPCLKQDFPCSSSKHHYSLHINCGGKEIVASGNTTFQADLEPRGASMFHSSQSWAFSSTGSFMDNDKDADSYIQTNTSAIFNVSALDAQLYTKARVSPLSLTYYGLCLLNGNYTVKLHFAEIVFTNDTSFNSLGKRLFDVYIQGKLVLKDLNIAEEAGGVGRPIVKKFTVAVTSHTLKIHFYWAGRGTTGIPVRGIYGPLVSAISVDPNFKPPSDNDRQKVMIVAGTVAATLFLVLLFLCIMWRKGCLGAKVSEDKELRGLDLQTGIFTLKQIKAATKNFDAENKVGEGGFGSVYKGLLADGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLMLIYEYMENNCLSRALFGKNSTSRLKLDWPTRKKICLGVARGLAYLHEESRIKIVHRDIKTSNVLLDKDLNAKISDFGLAKLNEDENTHISTRIAGTIGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPELGSAYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTAVQDLLSDPGFSAINSKYKAIRNHFWQNPSNNHSLSSNGPCTDSSSSYIDIEEADRILRVSLAESYLNSHVETDETTNLLKVHSVNSN >Manes.S039016.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:1084882:1085004:1 gene:Manes.S039016.v8.1 transcript:Manes.S039016.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Manes.07G086500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:27724679:27731154:1 gene:Manes.07G086500.v8.1 transcript:Manes.07G086500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSIVPEHLATHNYENWRIWMKNYLLAHDLWDIVEETTETPNPKQAEFKEWKKKNAAALHAIHISCSLDVFLKIKEIDSAGLCWNALADITVECIPKPEPEPQPILQSEKENVYLKFRPLCLAIENGDCEAVKEFLKNRPEAVREKLTNFGDTALHLAAFNGNVKVVEELVELMKEEDLEILNNNNETALNIAAGSGILRLAECMINKNKKLACVTGTTHIPVIVACSKGHRDMTCFLYSVTPLDFLRPEAGAFGSLFLHEAIGNYFFDIALDLVQHCPLLAITRNHLGTTPLIEFSCLTSLFPASCRLSFWQRWIYSCINVHQLASSRDVRIYIPQNGRKEDGNFLKRGLNQLRSLGSKLLELSGIKQVYDLKLIHTQALQLLDCICDGAISTLDDSKVEEYGIYEAYFIAIQNGIIEIVTKIIKAHPPVLIVKELASNKNILLTAVMFRQEKVFSIVYGLDTRKNLLLLGRDNDRNNMLHMAAMLAPPHRLARISGAALQMQRELQWYKEVESILKPSYKEYVNKFGVKPPQMFTNTHKDLVTEGEKWMKETATSCTVVGALIITIMFTAAFTVPGGNIQETGYPMFLHEKAFKVFIIADAISLFSSSTSVLMFLGILTSRYSEDDFLKSLPTKLIIGLSTLFFSIATMMVAFCATLILMLEGELNLIIPLVLLASIPVTLFIFLQFPLLVEIFISTYGPGIFDRKSKYLHK >Manes.14G055400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4748131:4751662:-1 gene:Manes.14G055400.v8.1 transcript:Manes.14G055400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKEWFSKEKSMHMKYIALEKEYLMPFVERENYQISSKCRLHPDNDLFRDQEQHKIHVDINEWKCGYCKKSFRAERYLDQHFDNRHYNLLNVSEGKCLADLCGALHCDFVMNSKSPRTKCNPAAVAKNRHLCESLADRCFPLNQGRSASHLHELFLHQFCDAHTCSGKKKHFPKGGKKQTSVIYLAVSILTLMLLPLFYLIVYLYQREMRQGKQELRRITRVGQKTKPS >Manes.14G055400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:4748131:4751661:-1 gene:Manes.14G055400.v8.1 transcript:Manes.14G055400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTAATLVLLLFTIFLQQALFQAFGEDAAERMVQQGKEHAHEVHCSRERSKAAWKILEEYLMPFVERENYQISSKCRLHPDNDLFRDQEQHKIHVDINEWKCGYCKKSFRAERYLDQHFDNRHYNLLNVSEGKCLADLCGALHCDFVMNSKSPRTKCNPAAVAKNRHLCESLADRCFPLNQGRSASHLHELFLHQFCDAHTCSGKKKHFPKGGKKQTSVIYLAVSILTLMLLPLFYLIVYLYQREMRQGKQELRRITRVGQKTKPS >Manes.15G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:3619456:3620953:1 gene:Manes.15G047000.v8.1 transcript:Manes.15G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGVMAGHLGWGGLIEEGWRKGPWTAEEDRLLIEYVRMHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKVSPENSEKARNRLLKRQQFQQQQQQQQQQQQLQQQQQSQQQQLQLLQLNQLDMKKIMSLLDESENKVPYVPQIRQDMATIYPNPAEEHGLLYNMFNANASVPEASNDEILWDGLWNLDDVHGNFSAACASGKAGMHNLIAPFC >Manes.03G088400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19196839:19200610:-1 gene:Manes.03G088400.v8.1 transcript:Manes.03G088400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLVQSTYTTPFQSTIQANLKNTDTGFLPKSRFPSSDVHHPSKALKKLLYLSRRSYTTASRRLTTNASLLEAPVLWAGRLCIFYALLKAGLAGSKANPLVSGLDGAGAESGDLGFSKWLENIQGKPAEKEAAEKRKLVSKWHPTTKGTLRRNYRVPSKSEGRRLLKAITSLLSDDDHFTDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLVVEITAFPAGPLTEKDYIKAEKLERVLRSGPSV >Manes.03G088400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:19196700:19200618:-1 gene:Manes.03G088400.v8.1 transcript:Manes.03G088400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLVQSTYTTPFQSTIQANLKNTDTGFLPKSRFPSSDVHHPSKALKKLLYLSRRSYTTASRRLTTNASLLEAPVLWAGRLCIFYALLKAGLAGSKANPLVSGLDGAGAESGDLGFSKWLENIQGKPEKEAAEKRKLVSKWHPTTKGTLRRNYRVPSKSEGRRLLKAITSLLSDDDHFTDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLVVEITAFPAGPLTEKDYIKAEKLERVLRSGPSV >Manes.02G032800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2711442:2716067:1 gene:Manes.02G032800.v8.1 transcript:Manes.02G032800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYNSLDEAAVSLGRNLTFAETLWFNYSANKSDYFLYCHNILFMFLIYSTVPLPYVLVELMRSAGFEKYKIQPKVKLSSSETFKCYKDVMRMSFLVVGPLQLLSYPSVKMIGIRTSLPLPSGWEIFLQLLVYFIVEDYTNYWIHRFLHGKWGYEKIHRVHHEYTAPIAFAASYAHWAEILILGLPSFLGPAIVPGHMITFWLWFVLRQIEAIETHSGYDFPWTPTKYIPFYGGAEYHDYHHYVGRQSQSNFASMFTYCDFIYGTDKLKEGLKSDGEQNGGSDLPIQDLKSE >Manes.02G032800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2711442:2714949:1 gene:Manes.02G032800.v8.1 transcript:Manes.02G032800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYNSLDEAAVSLGRNLTFAETLWFNYSANKSDYFLYCHNILFMFLIYSTVPLPYVLVELMRSAGFEKYKIQPKVKLSSSETFKCYKDVMRMSFLVVGPLQLLSYPSVKMIGIRTSLPLPSGWEIFLQLLVYFIVEDYTNYWIHRFLHGKWGYEKIHRVHHEYTAPIAFAASYAHWAEILILGLPSFLGPAIVPGHMITFWLWFVLRQIEAIETHSGTPWLLAQPC >Manes.02G032800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2711442:2716067:1 gene:Manes.02G032800.v8.1 transcript:Manes.02G032800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYNSLDEAAVSLGRNLTFAETLWFNYSANKSDYFLYCHNILFMFLIYSTVPLPYVLVELMRSAGFEKYKIQPKVKLSSSETFKCYKDVMRMSFLVVGPLQLLSYPSVKMIGIRTSLPLPSGWEIFLQLLVYFIVEDYTNYWIHRFLHGKWGYEKIHRVHHEYTAPIAFAASYAHWAEILILGLPSFLGPAIVPGHMITFWLWFVLRQIEAIETHSGYDFPWTPTKYIPFYGGAEYHDYHHYVGRQSQSNFASMFTYCDFIYGTDKGYRYQKKLLGKLKEGLKSDGEQNGGSDLPIQDLKSE >Manes.12G132500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:33983877:33985112:-1 gene:Manes.12G132500.v8.1 transcript:Manes.12G132500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAHFVLIHTICHGAWVWHKLKPVLEAAGHKVTALDLVASGMDPRIIEQVDSFDAYSEPLLNFLETLPQGEKVILVGESCGGLNVAIAADKYPQKIAAAVFHNSLMPDTVHNPSYVLDKFMEVFPDWKDSVFETYTNGVDTITTLKLGHYLMENYIYTDCHPEDLELGKMLTRKGSPFRETLAKRKFFTNKGYGSIKKIYIYGDEDKIMTEEFHEWQIENYKPEKVYVVAGGGHKLMLSRVNDLFAILQEVAYTYASL >Manes.16G125700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:32714457:32716852:-1 gene:Manes.16G125700.v8.1 transcript:Manes.16G125700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVASPSEFLVITGVGISDLKLAKKAWVLPGQSCTVFDVSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDELSLLRYAKLISPHDKLSHHVKELVQGIIEGETRVLAASMTMEEIFRGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEIGSKESEGQTLQNAAKIDAETKIISTQRQGEGKKEEIKVKTQVKIYENQREAEVAEANAELAKKRAVWTMEAKVAEVEATKAVSLREAELQREVEQMNALTITEKLKAEFLSKASVEYETKVQEANWELYKKQKAAEAILYEKEKEADAQKAIADAAFYARQQVADGELYAKQKEAEGMMALAKAQGVYLRTLLDALGGNYAALRDFLMINGGMFQEIAKLNAEAIRGLQPKISVWSNGSGVEINEGAMKEVAGVYRMLPPLFKTVQEQTGMLPPPWMGTLTDSSHPTVD >Manes.14G078900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6565600:6566898:-1 gene:Manes.14G078900.v8.1 transcript:Manes.14G078900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSFFRLLVIFLVISHLVCLNAIPVTRLGRLLQGPQVLPVPETTRMVAMETSWMEHISGGRMAVEINDYPGSGANRRHTPWPQLNRCVDC >Manes.14G078900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:6565600:6566898:-1 gene:Manes.14G078900.v8.1 transcript:Manes.14G078900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSFFRLLVIFLVISHLVCLNAIPVTRLGRLLQGPQVLPVPETTRMKVAMETSWMEHISGGRMAVEINDYPGSGANRRHTPWPQLNRCVDC >Manes.07G090700.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:28374345:28374657:1 gene:Manes.07G090700.v8.1 transcript:Manes.07G090700.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPIVEEFNCQVISLFQIPEKMSCCGGNCGCGSGCKCGSGCNGCGMYPDISENTRTETLIAGVAPPKM >Manes.01G123800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:31944638:31949650:-1 gene:Manes.01G123800.v8.1 transcript:Manes.01G123800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSLKRSDSMADNMPEALKQSRYHMKKCFAKYVQKGRRIMKLQNLLDEMEDVIDDKMERTKVLEGLLGDIWYSTQEALVNPPHVAFAIRPSPGFWEYVKVNSADLHVEGITITEYLKFKEMIFDESWAKDVNALEVDFGAFDFSAPRLTLSSSIGNGLNFVSKFVTSKLSGRLENAQPLVDYLLSLDHLGETLMINENLNTVSKLQMALIVAEVYLSGVSMDTPYQDFELSFKEWGFEKGWGDTAERVKETMRSLSEVLQAPDPVNMEKFFSRVPTLFNVVIFSPHGYFGQSNVLGLPDTGGQVVYILDQVKALEDELLLRIKHQGLKVKPQIIVVTRLIPEARGTKCSQELEAINGTKHSNILRVPFSIEDKVLRQWVSRFDVYPYIEKFTQDVTAKVLDLMDGKPDLIIGNYTDGNLAATLMANKLGITQATIAHALEKTKYEDSDIKWKELDPKYHFSCQFIADTIAMNAADFIIASTYQEIAGSKERPGQYESHAAFTLPGLCRIVSGINVFDPKFNIAAPGADQSVYFPNTEKQKRFTQFHPAIEELLYSKVENEEHIGYLADRRKPIIFSMARLDVVKNLTGLTEWYGKNKRLRNLVNLVIVGAFFDPTKSKDREEMAEIRKMHALIDKYQLKSQFRWIAAQTDRQRNGELYRYVADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFLIDPNNGDESSNKIADFFEKCNADAGYWNKFSADGLKRINECYTWKIYANRVLNMGCMYTFWRQLNKEQKHAKQRYIQMFYNLQFRRLVKNVPIPTEEAQQQAEPKPESKAAPSTRRSQSRLQRLFGA >Manes.07G010700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:1439411:1441739:-1 gene:Manes.07G010700.v8.1 transcript:Manes.07G010700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATIDDLLAMQACNLLCLPENYQMKYYFYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMSAAQTAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQIHHHGHHHHHHGGGCCGGDTKSLEARPDPKSEAKASAKSESKAG >Manes.05G055200.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4604009:4608853:-1 gene:Manes.05G055200.v8.1 transcript:Manes.05G055200.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALCEEAVEKLSIMNHPDGNCPLCLYPLLLEDEQNETLPFMKLMSCFHCFHCECIMNWWNWIQKEKQSDINISSTTTLHSIRDGENQNDIIGLKEESMGSCPVCRKVFHVKDFEHVLSLVGTHDSNLRSHRTEIEDEKLLESDSEKIRRQKFEAILNLQQENSGIIEPKREIVVLPGMYLAQPVTLPAQTLNKETTEEQQRNVSVDSGGSSNRPSSSEHRNLGWRKQRIQNSRKQVKQWVRKDGGPSN >Manes.05G055200.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4604009:4608853:-1 gene:Manes.05G055200.v8.1 transcript:Manes.05G055200.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEEVLIEVEAVQAVYGDDCVVLDSFPPHLHVHIKPRTADISSQQFVEAVIGIRACSQYPKEPPSIDFIESKGLDEERQKELIKSIQDKACELSSCLMLVALCEEAVEKLSIMNHPDGNCPLCLYPLLLEDEQNETLPFMKLMSCFHCFHCECIMNWWNWIQKEKQSDINISSTTTLHSIRDGENQNDIIGLKEESMGSCPVCRKVFHVKDFEHVLSLVGTHDSNLRSHRTEIEDEKLLESDSEKIRRQKFEAILNLQQENSGIIEPKREIVVLPGMYLAQPVTLPAQTLNKETTEEQQRNVSVDSGGSSNRPSSSEHRNLGWRKQRIQNSRKQVKQWVRKDGGPSN >Manes.05G055200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4601615:4608853:-1 gene:Manes.05G055200.v8.1 transcript:Manes.05G055200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEEVLIEVEAVQAVYGDDCVVLDSFPPHLHVHIKPRTADISSQQFVEAVIGIRACSQYPKEPPSIDFIESKGLDEERQKELIKSIQDKACELSSCLMLVALCEEAVEKLSIMNHPDGNCPLCLYPLLLEDEQNETLPFMKLMSCFHCFHCECIMNWWNWIQKEKQSDINISSTTTLHSIRDGENQNDIIGLKEESMGSCPVCRKVFHVKDFEHVLSLVGTHDSNLRSHRTEIEDEKLLESDSEKIRRQKFEAILNLQQENSGIIEPKREIVVLPGMYLAQPVTLPAQTLNKETTEEQQRNVSVDSGGSSNRPSSSEHRNLGWRKQRIQNSRKQVKQWVRKDGGPSN >Manes.05G055200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:4601615:4608853:-1 gene:Manes.05G055200.v8.1 transcript:Manes.05G055200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALCEEAVEKLSIMNHPDGNCPLCLYPLLLEDEQNETLPFMKLMSCFHCFHCECIMNWWNWIQKEKQSDINISSTTTLHSIRDGENQNDIIGLKEESMGSCPVCRKVFHVKDFEHVLSLVGTHDSNLRSHRTEIEDEKLLESDSEKIRRQKFEAILNLQQENSGIIEPKREIVVLPGMYLAQPVTLPAQTLNKETTEEQQRNVSVDSGGSSNRPSSSEHRNLGWRKQRIQNSRKQVKQWVRKDGGPSN >Manes.15G032500.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2531929:2546858:-1 gene:Manes.15G032500.v8.1 transcript:Manes.15G032500.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTAFRSILDKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLETSEDSGAGALRKILVSKPPATSISIDSIKEPSDTNNIAISGSADETAPCRQNDSPKSPPPGPLDCQAEEADNKAIASRSPGATDGLVRQMTIFYCGKVNVYDGVPPDKTPSDKHGFLHPNAAFVHATLTEKSTEYSHQCREKLNTTHDPDVEGQANRKVSLRRYLEKKKDRGKFKGRKSTGPTSSSLEFYVNHHVRTHTSNGQLARSSTSSPPLPGMPHALCSSADDQPKIASFSVDLNEDVQER >Manes.15G032500.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2531929:2546858:-1 gene:Manes.15G032500.v8.1 transcript:Manes.15G032500.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTAFRSILDKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLETSEDSGAGALRKILVSKPPATSISIDSIKEPSDTNNIAISGSADETAPCRQNDSPKSPPPGPLDCQAEEADNKAIASRSPGATDGLVRQMTIFYCGKVNVYDGVPPDKTPSDKHGFLHPNAAFVHATLTEKSTEYSHQCREKLNTTHDPEGQANRKVSLRRYLEKKKDRGKFKGRKSTGPTSSSLEFYVNHHVRTHTSNGQLARSSTSSPPLPGMPHALCSSADDQPKIASFSVDLNEDVQER >Manes.15G032500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2531929:2546858:-1 gene:Manes.15G032500.v8.1 transcript:Manes.15G032500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTAFRSILDKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLETSEDSGAGALRKILVSKPPATSISIDSIKEPSDTNNIAISGSADETAPCRQNDSPKSPPPGPLDCQAEEADNKAIASRSPGATDGLVRQMTIFYCGKVNVYDGVPPDKAQAIMHLAASPIQSHLDDPIHRPAFSFPCHFQTPSDKHGFLHPNAAFVHATLTEKSTEYSHQCREKLNTTHDPDVEGQANRKVSLRRYLEKKKDRGKFKGRKSTGPTSSSLEFYVNHHVRTHTSNGQLARSSTSSPPLPGMPHALCSSADDQPKIASFSVDLNEDVQER >Manes.15G032500.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2531929:2546858:-1 gene:Manes.15G032500.v8.1 transcript:Manes.15G032500.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTAFRSILDKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLETSEDSGAGALRKILVSKPPATSISIDSIKEPSDTNNIAISGSADETAPCRQNDSPKSPPPGPLDCQAEEADNKAIASRSPGATDGLVRQMTIFYCGKVNVYDGVPPDKAQAIMHLAASPIQSHLDDPIHRPAFSFPCHFQTPSDKHGFLHPNAAFVHATLTEKSTEYSHQCREKLNTTHDPGGNLREGKVQDLPLQAWSFMSTIM >Manes.15G032500.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2531929:2546858:-1 gene:Manes.15G032500.v8.1 transcript:Manes.15G032500.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTAFRSILDKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLETSEDSGAGALRKILVSKPPATSISIDSIKEPSDTNNIAISGSADETAPCRQNDSPKSPPPGPLDCQAEEADNKAIASSPGATDGLVRQMTIFYCGKVNVYDGVPPDKAQAIMHLAASPIQSHLDDPIHRPAFSFPCHFQTPSDKHGFLHPNAAFVHATLTEKSTEYSHQCREKLNTTHDPEGQANRKVSLRRYLEKKKDRGKFKGRKSTGPTSSSLEFYVNHHVRTHTSNGQLARSSTSSPPLPGMPHALCSSADDQPKIASFSVDLNEDVQER >Manes.15G032500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2531929:2546858:-1 gene:Manes.15G032500.v8.1 transcript:Manes.15G032500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTAFRSILDKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLETSEDSGAGALRKILVSKPPATSISIDSIKEPSDTNNIAISGSADETAPCRQNDSPKSPPPGPLDCQAEEADNKAIASSPGATDGLVRQMTIFYCGKVNVYDGVPPDKAQAIMHLAASPIQSHLDDPIHRPAFSFPCHFQTPSDKHGFLHPNAAFVHATLTEKSTEYSHQCREKLNTTHDPDVEGQANRKVSLRRYLEKKKDRGKFKGRKSTGPTSSSLEFYVNHHVRTHTSNGQLARSSTSSPPLPGMPHALCSSADDQPKIASFSVDLNEDVQER >Manes.15G032500.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:2531929:2546858:-1 gene:Manes.15G032500.v8.1 transcript:Manes.15G032500.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVTAFRSILDKPLTQLTEEDISQLTREDCRKYLKEKGMRRPSWNKSQAIQQVISLKALLETSEDSGAGALRKILVSKPPATSISIDSIKEPSDTNNIAISGSADETAPCRQNDSPKSPPPGPLDCQAEEADNKAIASRSPGATDGLVRQMTIFYCGKVNVYDGVPPDKAQAIMHLAASPIQSHLDDPIHRPAFSFPCHFQTPSDKHGFLHPNAAFVHATLTEKSTEYSHQCREKLNTTHDPEGQANRKVSLRRYLEKKKDRGKFKGRKSTGPTSSSLEFYVNHHVRTHTSNGQLARSSTSSPPLPGMPHALCSSADDQPKIASFSVDLNEDVQER >Manes.08G002402.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:546395:552236:1 gene:Manes.08G002402.v8.1 transcript:Manes.08G002402.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPISLLNSPLSFISLISSAPPTYFPPKKTADDEFWDEDVKRDSPPFLKSVLHEAEFRPGIVTLDGHADDWNDIDGFEFSLLPALDPDDDKEYKGGKMTVKALHDGKDLFFLLQVDGDYAYAKGNNNKCPSVALMFPIGDHATYHNMGGCKEGTNSCTSKTCKGHEVDMMHFSIGNAIPGRLYGGNLIDNRDGNGGDRFGHLVDLYAWNPHCRYIDGIGPSGNDSSAQNNWKGAWWHTIFTDHSGFVEEDSPYGSDGQKGTYLFEFSRPLRTMDRLQQDAQFTISGSSKMAVAFWYPVDGIPWHGSGHFSINCDWIPLDISPGSSMLSPGGSGDVGGAIALLFSVVSLCISVFVGYRVARPKGIPFTPVGTTMENL >Manes.01G079200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28212458:28219858:1 gene:Manes.01G079200.v8.1 transcript:Manes.01G079200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELAELERVQTLILQRISNLELSSFPQNSLSVSSSVRSSDANCSQIADGDDIEARLSAILRSNGVRDFSFKRVPSDYYDWSLEARRDSLGAASVDHLCKSIVLVNTQAPSDIIDCSDCKNSKYYIVVVQYTARFNAETVKNYLYMLNDCKIAKKKFNLRLAPEEISAKLTGYEHNAVTCIGLKTEIPVILDEAIVRLNPDFFWLGGGEVDLKLGIKTSEFIKFVKPFIVGCSST >Manes.01G079200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28212458:28219858:1 gene:Manes.01G079200.v8.1 transcript:Manes.01G079200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELAELERVQTLILQRISNLELSSFPQNSLSVSSSVRSSDANCSQIADGDDIEARLSAILRSNGVRDFSFKRVPSDYYDWSLEARRDSLGAASVDHLCKSIVLVNTQAPSDIIDCSDCKNSKYYIVVVQYTARFNAETVKNYLYMLNDCKIAKKKFNLRLAPEEISAKLTGYEHNAVTCIGLKTEIPKFAGRILGIGK >Manes.01G079200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28212458:28219858:1 gene:Manes.01G079200.v8.1 transcript:Manes.01G079200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELAELERVQTLILQRISNLELSSFPQNSLSVSSSVRSSDANCSQIADGDDIEARLSAILRSNGVRDFSFKRVPSDYYDWSLEARRDSLGAASVDHLCKSIVLVNTQAPSDIIDCSDCKNSKYYIVVVQYTARFNAETVKNYLYMLNDCKIAKKKFNLRLAPEEISAKLTGYEHNAVTCIGLKTEIPEEFWE >Manes.01G079200.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28212458:28219858:1 gene:Manes.01G079200.v8.1 transcript:Manes.01G079200.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELAELERVQTLILQRISNLELSSFPQNSLSVSSSVRSSDANCSQIADGDDIEARLSAILRSNGVRDFSFKRVPSDYYDWSLEARRDSLGAASVDHLCKSIVLVNTQAPSDIIDCSDCKNSKYYIVVVQYTARFNAETVKNYLYMLNDCKIAKKKFNLRLAPEEISAKLTGYEHNAVTCIGLKTEIPEEFWE >Manes.01G079200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:28212651:28217200:1 gene:Manes.01G079200.v8.1 transcript:Manes.01G079200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELAELERVQTLILQRISNLELSSFPQNSLSVSSSVRSSDANCSQIADGDDIEARLSAILRSNGVRDFSFKRVPSDYYDWSLEARRDSLGAASVDHLCKSIVLVNTQAPSDIIDCSDCKNSKYYIVVVQYTARFNAETVKNYLYMLNDCKIAKKKFNLRLAPEEISAKLTGYEHNAVTCIGLKTEIPVRTLTAII >Manes.10G041700.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4342136:4352686:1 gene:Manes.10G041700.v8.1 transcript:Manes.10G041700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSMSEHVDKGVSGSTVLESSKETVSDGVASGDSAETRVVESVASDAQFQGQGVTKESSAQGVVTEEGGFCNGANIMVGLRGSDIYADGVCIRDSGDGGGGNLSEEATKSHGQSRELASEGDVKVVGGGGGDSNSKPNKDPSVEASNLVTQSEVEKSSVFAESKEGELVEDGATVGEEKLGLEIEVGNSSGVAESKEGGELVENVASFGEVNLRSESETKVGRSSGVAETKEEGELVEGDTRVRGVILATDCEIGKRFGVAESKEEGVLVGCVARVGKITEADGGCVADDITSQEIGVGNPQNVGIKSLVENSSLLKGSSGGETQIVVDKVASGTGKESLEEGLGKERIEKVGMHVVKDMISQEARDSENEARNQGVENVGGSSAGLGSSVVEVQYITAEKSKLVEEATDQAKETHDNEGAALQNSEPEKVGVLDVEAWNPGIRTAGAPSSTIKEGSSLKTQGIEEETVVMDDNGNLDAKVETVLEQTHGTDSVEGAVSSSEKDSVSTEKDAITNPTSKCLDGQTQLAIDGKISSMNNEEIACPNIEDLQSSQQPTQVVVGGEVVATENEVHMNSENEEILVTEECSSQIMPRDFAQVHSTVDSEMGVDEPVTDAEQATMQKDSSKVETTNESTEIHNLKGLDLTSSHHSVPSLVGVEVVEMDDKIDSDPNFKGQVVMHLDQMLTSSGNEQHIKTDVDPMETDTHTASTDKHKVNSTANVSDPAEKDQEVKVKESIDESESCDSDQGNPNIGQLMAVQEQVTHFEQHQKEETEVVEQNSEAEPVCASRETDTMLINGEKTAISDNDVTLNPGTGLEGPPEGDQIQTVEEDLDESAGQDVSEIESSVATETVVEEHDAYLYQVGLQERQEMEAEGQDTDFEQPNTSGSTVIGYQACYQLPPDDEGEFTVSDLVWGKVRSHPWWPGQIFCPSDASEKAMKYHKKDCFLVAYFGDRTFAWNEASLLKPFRSHFSLVEKQSNSEAFQNAVDCALEEVSRRVEFGLACSCIPKETYDEIKFQIVENTGIREEASVRDGADKSLPADLFEPGKLMEYMKALAQCPAGGADRLELVIARSQLLAFYRLKGYSQLPDFQVCGGLLENTGTLEFADEVIEHTYPVYKDDEQISSNQKFSHAQSSYGKRKHNLKDTVYPRKKERSLSELMGDSWDCVDDEFGTDGKANNKLVSPSSGKKRKVFDYFPDDSAKAEGRKTLSLAKVSTTAPPFPKPSFKIGECIRRVASQMTGSTSILKSNNTKQDGSSEGVIGNGSDAMFQHSEDAEMSRTIDPAEYSSLDELLSQLHLAAQDPFKGYSLLTIIISFFSDFRNSVIMEQREKLGGKRRQASHSIGGSSETFEFEDMSDTYWTDRVIQNGSEEQPSRKSRKRDNQLGLVNQDKALNKSNSRKRYSDGNCSLSTEKPVGYIDENAPAELVMHFPVVDCVPSEIRLNKMFRRFGPLKEPETEVDKDTNRARVVFKKSADAEAAYGSASKFNIFGSILVNYQLNYTISVPFKSQPMITFHGEEDATLFLQY >Manes.10G041700.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4342134:4352686:1 gene:Manes.10G041700.v8.1 transcript:Manes.10G041700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHVDKGVSGSTVLESSKETVSDGVASGDSAETRVVESVASDAQFQGQGVTKESSAQGVVTEEGGFCNGANIMVGLRGSDIYADGVCIRDSGDGGGGNLSEEATKSHGQSRELASEGDVKVVGGGGGDSNSKPNKDPSVEASNLVTQSEVEKSSVFAESKEGELVEDGATVGEEKLGLEIEVGNSSGVAESKEGGELVENVASFGEVNLRSESETKVGRSSGVAETKEEGELVEGDTRVRGVILATDCEIGKRFGVAESKEEGVLVGCVARVGKITEADGGCVADDITSQEIGVGNPQNVGIKSLVENSSLLKGSSGGETQIVVDKVASGTGKESLEEGLGKERIEKVGMHVVKDMISQEARDSENEARNQGVENVGGSSAGLGSSVVEVQYITAEKSKLVEEATDQAKETHDNEGAALQNSEPEKVGVLDVEAWNPGIRTAGAPSSTIKEGSSLKTQGIEEETVVMDDNGNLDAKVETVLEQTHGTDSVEGAVSSSEKDSVSTEKDAITNPTSKCLDGQTQLAIDGKISSMNNEEIACPNIEDLQSSQQPTQVVVGGEVVATENEVHMNSENEEILVTEECSSQIMPRDFAQVHSTVDSEMGVDEPVTDAEQATMQKDSSKVETTNESTEIHNLKGLDLTSSHHSVPSLVGVEVVEMDDKIDSDPNFKGQVVMHLDQMLTSSGNEQHIKTDVDPMETDTHTASTDKHKVNSTANVSDPAEKDQEVKVKESIDESESCDSDQGNPNIGQLMAVQEQVTHFEQHQKEETEVVEQNSEAEPVCASRETDTMLINGEKTAISDNDVTLNPGTGLEGPPEGDQIQTVEEDLDESAGQDVSEIESSVATETVVEEHDAYLYQVGLQERQEMEAEGQDTDFEQPNTSGSTVIGYQACYQLPPDDEGEFTVSDLVWGKVRSHPWWPGQIFCPSDASEKAMKYHKKDCFLVAYFGDRTFAWNEASLLKPFRSHFSLVEKQSNSEAFQNAVDCALEEVSRRVEFGLACSCIPKETYDEIKFQIVENTGIREEASVRDGADKSLPADLFEPGKLMEYMKALAQCPAGGADRLELVIARSQLLAFYRLKGYSQLPDFQVCGGLLENTGTLEFADEVIEHTYPVYKDDEQISSNQKFSHAQSSYGKRKHNLKDTVYPRKKERSLSELMGDSWDCVDDEFGTDGKANNKLVSPSSGKKRKVFDYFPDDSAKAEGRKTLSLAKVSTTAPPFPKPSFKIGECIRRVASQMTGSTSILKSNNTKQDGSSEGVIGNGSDAMFQHSEDAEMSRTIDPAEYSSLDELLSQLHLAAQDPFKGYSLLTIIISFFSDFRNSVIMEQREKLGGKRRQASHSIGGSSETFEFEDMSDTYWTDRVIQNGSEEQPSRKSRKRDNQLGLVNQDKALNKSNSRKRYSDGNCSLSTEKPVGYIDENAPAELVMHFPVVDCVPSEIRLNKMFRRFGPLKEPETEVDKDTNRARVVFKKSADAEAAYGSASKFNIFGSILVNYQLNYTISVPFKSQPMITFHGEEDATLFLQY >Manes.10G041700.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:4342136:4352686:1 gene:Manes.10G041700.v8.1 transcript:Manes.10G041700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHVDKGVSGSTVLESSKETVSDGVASGDSAETRVVESVASDAQFQGQGVTKESSAQGVVTEEGGFCNGANIMVGLRGSDIYADGVCIRDSGDGGGGNLSEEATKSHGQSRELASEGDVKVVGGGGGDSNSKPNKDPSVEASNLVTQSEVEKSSVFAESKEGELVEDGATVGEEKLGLEIEVGNSSGVAESKEGGELVENVASFGEVNLRSESETKVGRSSGVAETKEEGELVEGDTRVRGVILATDCEIGKRFGVAESKEEGVLVGCVARVGKITEADGGCVADDITSQEIGVGNPQNVGIKSLVENSSLLKGSSGGETQIVVDKVASGTGKESLEEGLGKERIEKVGMHVVKDMISQEARDSENEARNQGVENVGGSSAGLGSSVVEVQYITAEKSKLVEEATDQAKETHDNEGAALQNSEPEKVGVLDVEAWNPGIRTAGAPSSTIKEGSSLKTQGIEEETVVMDDNGNLDAKVETVLEQTHGTDSVEGAVSSSEKDSVSTEKDAITNPTSKCLDGQTQLAIDGKISSMNNEEIACPNIEDLQSSQQPTQVVVGGEVVATENEVHMNSENEEILVTEECSSQIMPRDFAQVHSTVDSEMGVDEPVTDAEQATMQKDSSKVETTNESTEIHNLKGLDLTSSHHSVPSLVGVEVVEMDDKIDSDPNFKGQVVMHLDQMLTSSGNEQHIKTDVDPMETDTHTASTDKHKVNSTANVSDPAEKDQEVKVKESIDESESCDSDQGNPNIGQLMAVQEQVTHFEQHQKEETEVVEQNSEAEPVCASRETDTMLINGEKTAISDNDVTLNPGTGLEGPPEGDQIQTVEEDLDESAGQDVSEIESSVATETVVEEHDAYLYQVGLQERQEMEAEGQDTDFEQPNTSGSTVIGYQACYQLPPDDEGEFTVSDLVWGKVRSHPWWPGQIFCPSDASEKAMKYHKKDCFLVAYFGDRTFAWNEASLLKPFRSHFSLVEKQSNSEAFQNAVDCALEEVSRRVEFGLACSCIPKETYDEIKFQIVENTGIREEASVRDGADKSLPADLFEPGKLMEYMKALAQCPAGGADRLELVIARSQLLAFYRLKGYSQLPDFQVCGGLLENTGTLEFADEVIEHTYPVYKDDEQISSNQKFSHAQSSYGKRKHNLKDTVYPRKKERSLSELMGDSWDCVDDEFGTDGKANNKLVSPSSGKKRKVFDYFPDDSAKAEGRKTLSLAKVSTTAPPFPKPSFKIGECIRRVASQMTGSTSILKSNNTKQDGSSEGVIGNGSDAMFQHSEDAEMSRTIDPAEYSSLDELLSQLHLAAQDPFKGYSLLTIIISFFSDFRNSVIMEQREKLGGKRRQASHSIGGSSETFEFEDMSDTYWTDRVIQNGSEEQPSRKSRKRDNQLGLVNQDKALNKSNSRKRYSDGNCSLSTEKPVGYIDENAPAELVMHFPVVDCVPSEIRLNKMFRRFGPLKEPETEVDKDTNRARVVFKKSADAEAAYGSASKFNIFGSILVNYQLNYTISVPFKSQPMITFHGEEDATLFLQY >Manes.05G190800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:31377918:31383621:1 gene:Manes.05G190800.v8.1 transcript:Manes.05G190800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKKFFLASMFMWMFPILILYAFNHDLIPGVTHLSPHSLTLLSGFLAVISVNIVIAFYIYMAMKEPSDKHEPDAKFVAEAKASVNQLTGKVEDPSQSLKKDE >Manes.08G062966.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533756:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8535015:8539733:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRLLQENPQSLQAWELVSYSFSLFSFLFLIMSEVFYFQVSYVVCSYYMFR >Manes.08G062966.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533172:8545068:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533184:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8534914:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533756:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8534914:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533172:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533184:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533756:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8535015:8539733:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRRLLQENPQSLQAWELVSYSFSLFSFLFLIMSEVFYFQVSYVVCSYYMFR >Manes.08G062966.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533172:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533172:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533756:8545065:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.08G062966.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:8533172:8545068:1 gene:Manes.08G062966.v8.1 transcript:Manes.08G062966.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLLVILSLIVLWIASLCKIIHTSSSPSRTFFLSNGGAFCKKNVLLVVAHPDDESMFFSPTISYLISRGHNLHILCLSIGNADGMGNARKHELYKACAVLKVPLQKVNVLDRPELQDGFGQIWDHNLLARIIKEEVSRHEIDVIITFDGYGVSGHCNHCDVHYGVRLLQENPQSLQAWELVSTNIFRKYSGPIDIWLSMLLAIKDTDRMHCLLNEQPKKSFHAMSEHSSQWVWFRKLFVSFSSYTYVNTLRKINV >Manes.09G188100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37463085:37466627:1 gene:Manes.09G188100.v8.1 transcript:Manes.09G188100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVSALSQVIATTTGDNPTMVQSNPLAAEHSIVKEEPHHSQPIQDQDNTRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDKAALKFKGTKAKLNFPERVQGKPESSYFMTSTGSSVPCEQNPMPVATPPPPSFPQDAYPDLLHSNNNSRNIRLDSRSGSIAPLVPIIENMGWILVNLASSVSGFHLNLLVSVFHVGFHKQ >Manes.09G188100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:37463085:37466627:1 gene:Manes.09G188100.v8.1 transcript:Manes.09G188100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVSALSQVIATTTGDNPTMVQSNPLAAEHSIVKEEPHHSQPIQDQDNTRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDKAALKFKGTKAKLNFPERVQGKPESSYFMTSTGSSVPCEQNPMPVATPPPPSFPQDAYPDLLQYAQILSSNDANFPYYTSNLFSQQTYFPHYPSTFSSQQQQQQQEYQTRFSQWFDSSSSSDYREHGMDSSKPSE >Manes.S024052.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:2066145:2066519:-1 gene:Manes.S024052.v8.1 transcript:Manes.S024052.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSADCSSCSRGESGSPRAGRGTDWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Manes.08G104600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34495726:34498855:1 gene:Manes.08G104600.v8.1 transcript:Manes.08G104600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWVPAVEHCKALKLYQLPLLILVLLCSYQSHSVVLNPKDKASLVLFGSWVQDPNHYLATWIGSNCTKWTGIGCQNQTGRVISLNLTNMNLSGHIHPNLCKIASLESLVLSENSFTGQIPMCLGWLHNLRSLDLSHNKFVGLVPNTFMRLGQLRELVLNSNHDLGGILPGWVGNFSLNLEKLDMSFNSFQGEIPESLFYLSSLKYLDLGNNNLSGDLHDFYQPLVFLNLGSNLFSGTLPCFSASAEYLSFLNLSNNSIVGGIPTCISSLKKLTHLNLSFNHIDYALSPRLVFSEELLVLDLSFNDLSGPLPAKIAETTDKSGLVLLDLSHNHFSGGIPLKITELKSLQALFLSHNFLSGEIPARIGNLTYLQVIDLSHNYLSGSIPLNIVGCFQLLALVLNNNNLSGEIQPELDALDSLKILDISNNKISGEIPLTLAGCKSLEIVDFSSNDLSGNLNDAITKWSNLRYLSLARNKFSGSLPSWLFTFEAIQMMNFSGNKFSGFIPDGNFNISSNFNNGNIVQRLAMEPFDVMRNVEIKISVLVIGSNELSFSYHLSSVVGIDLSDNLLHGEIPDGLFGLQGLEYLNLSYNFLDGEVPGLEKMLSLRALDLSHNSLSGQVPGNISILKGLTLLNLSYNSFSGIVPKKEGYRRFPGAFAGNPGLCLESSGGACSTASLPAVPGKSSEEMEGPISVWVFCLSAFVSFYLGVMVLFCSTRARTYILHSKD >Manes.08G104600.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:34495747:34498855:1 gene:Manes.08G104600.v8.1 transcript:Manes.08G104600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWVPAVEHCKALKLYQLPLLILVLLCSYQSHSVVLNPKDKASLVLFGSWVQDPNHYLATWIGSNCTKWTGIGCQNQTGRVISLNLTNMNLSGHIHPNLCKIASLESLVLSENSFTGQIPMCLGWLHNLRSLDLSHNKFVGLVPNTFMRLGQLRELVLNSNHDLGGILPGWVGNFSLNLEKLDMSFNSFQGEIPESLFYLSSLKYLDLGNNNLSGDLHDFYQPLVFLNLGSNLFSGTLPCFSASAEYLSFLNLSNNSIVGGIPTCISSLKKLTHLNLSFNHIDYALSPRLVFSEELLVLDLSFNDLSGPLPAKIAETTDKSGLVLLDLSHNHFSGGIPLKITELKSLQALFLSHNFLSGEIPARIGNLTYLQVIDLSHNYLSGSIPLNIVGCFQLLALVLNNNNLSGEIQPELDALDSLKILDISNNKISGEIPLTLAGCKSLEIVDFSSNDLSGNLNDAITKWSNLRYLSLARNKFSGSLPSWLFTFEAIQMMNFSGNKFSGFIPDGNFNISSNFNNGNIVQRLAMEPFDVMRNVEIKISVLVIGSNELSFSYHLSSVVGIDLSDNLLHGEIPDGLFGLQGLEYLNLSYNFLDGEVPGLEKMLSLRALDLSHNSLSGQVPGNISILKGLTLLNLSYNSFSGIVPKKEGYRRFPGAFAGNPGLCLESSGGACSTASLPAVPGKSSEEMEGPISVWVFCLSAFVSFYLGVMVLFCSTRARTYILHSKD >Manes.05G004900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:391677:397124:1 gene:Manes.05G004900.v8.1 transcript:Manes.05G004900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQATYNFDRSQAPQRLYVPPSSRHGHDNYEDTDLDNIDYAENDNSKDTSADDNKDNGAGDDEIDPLDAFMQGIHEEMKAAPPPQPKEKLERYRDDEDDDPMESFLKAKKDIGLTLAADALHAGYNSDEEVYAVAKAVDAGMLEYDSDDNPVVVDKKKIEPIPALDHSSIDYESFSKDFYEEKPSISEMSEEDVAEYRKSLAIHVSGFDVPRPIKSFEDCSFSSQLMNAIAKQAYEKPTAIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIVDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALNMLKATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREVLTDPIRVTVGEVGMANEDITQVVQVLPSDAEKMPWLLEKLPGMIDDGDVLVFGSKKATVDEIESQLAQKGFKVAALHGDKDQASRMEILQKFKSSIYHVLIATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGIAYTLITQKESHFAGELVNSLIAAGQNVSMELMDLAMKVGKRVEGGVEVVEACVEWIMVLALAIIRKPIVLHLMQLQVDLLQLVH >Manes.05G004900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:391677:397123:1 gene:Manes.05G004900.v8.1 transcript:Manes.05G004900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQATYNFDRSQAPQRLYVPPSSRHGHDNYEDTDLDNIDYAENDNSKDTSADDNKDNGAGDDEIDPLDAFMQGIHEEMKAAPPPQPKEKLERYRDDEDDDPMESFLKAKKDIGLTLAADALHAGYNSDEEVYAVAKAVDAGMLEYDSDDNPVVVDKKKIEPIPALDHSSIDYESFSKDFYEEKPSISEMSEEDVAEYRKSLAIHVSGFDVPRPIKSFEDCSFSSQLMNAIAKQAYEKPTAIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIVDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALNMLKATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREVLTDPIRVTVGEVGMANEDITQVVQVLPSDAEKMPWLLEKLPGMIDDGDVLVFGSKKATVDEIESQLAQKGFKVAALHGDKDQASRMEILQKFKSSIYHVLIATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGIAYTLITQKESHFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRDARKGGGKKGRGRSGSGRGVRGVDYGLGIGYNPETNSTPSHAAPSGSAAVSSLRTGMVGQFKSNFVAASSNSQSSSANKGRALPGFISGGSIGGDINRTRTTTSLPGFVSGGSISGDANRTGTTTSLPGFVSGGSITGDVNRTQTIGQNTGGNHSRHMESSKDRGRGRQRPSGWDH >Manes.05G004900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:391676:397123:1 gene:Manes.05G004900.v8.1 transcript:Manes.05G004900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQATYNFDRSQAPQRLYVPPSSRHGHDNYEDTDLDNIDYAENDNSKDTSADDNKDNGAGDDEIDPLDAFMQGIHEEMKAAPPPQPKEKLERYRDDEDDDPMESFLKAKKDIGLTLAADALHAGYNSDEEVYAVAKAVDAGMLEYDSDDNPVVVDKKKIEPIPALDHSSIDYESFSKDFYEEKPSISEMSEEDVAEYRKSLAIHVSGFDVPRPIKSFEDCSFSSQLMNAIAKQAYEKPTAIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIVDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALNMLKATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREVLTDPIRVTVGEVGMANEDITQVVQVLPSDAEKMPWLLEKLPGMIDDGDVLVFGSKKATVDEIESQLAQKGFKVAALHGDKDQASRMEILQKFKSSIYHVLIATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGRTGRAGDKDGIAYTLITQKESHFAGELVNSLIAAGQNVSMELMDLAMKVILHNISLNWPTKF >Manes.05G004900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:391674:397268:1 gene:Manes.05G004900.v8.1 transcript:Manes.05G004900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQATYNFDRSQAPQRLYVPPSSRHGHDNYEDTDLDNIDYAENDNSKDTSADDNKDNGAGDDEIDPLDAFMQGIHEEMKAAPPPQPKEKLERYRDDEDDDPMESFLKAKKDIGLTLAADALHAGYNSDEEVYAVAKAVDAGMLEYDSDDNPVVVDKKKIEPIPALDHSSIDYESFSKDFYEEKPSISEMSEEDVAEYRKSLAIHVSGFDVPRPIKSFEDCSFSSQLMNAIAKQAYEKPTAIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIVDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALNMLKATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREVLTDPIRVTVGEVGMANEDITQVVQVLPSDAEKMPWLLEKLPGMIDDGDVLVFGSKKATVDEIESQLAQKGFKVAALHGDKDQASRMEILQKFKSSIYHVLIATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRDARKGGGKKGRGRSGSGRGVRGVDYGLGIGYNPETNSTPSHAAPSGSAAVSSLRTGMVGQFKSNFVAASSNSQSSSANKGRALPGFISGGSIGGDINRTRTTTSLPGFVSGGSISGDANRTGTTTSLPGFVSGGSITGDVNRTQTIGQNTGGNHSRHMESSKDRGRGRQRPSGWDH >Manes.05G004900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:391674:397268:1 gene:Manes.05G004900.v8.1 transcript:Manes.05G004900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQATYNFDRSQAPQRLYVPPSSRHGHDNYEDTDLDNIDYAENDNSKDTSADDNKDNGAGDDEIDPLDAFMQGIHEEMKAAPPPQPKEKLERYRDDEDDDPMESFLKAKKDIGLTLAADALHAGYNSDEEVYAVAKAVDAGMLEYDSDDNPVVVDKKKIEPIPALDHSSIDYESFSKDFYEEKPSISEMSEEDVAEYRKSLAIHVSGFDVPRPIKSFEDCSFSSQLMNAIAKQAYEKPTAIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIVDQPELQKEEGPIGVICAPTRELAHQIYLEAKKFAKSHGIRVSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDMLKMKALNMLKATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREVLTDPIRVTVGEVGMANEDITQVVQVLPSDAEKMPWLLEKLPGMIDDGDVLVFGSKKATVDEIESQLAQKGFKVAALHGDKDQASRMEILQKFKSSIYHVLIATDVAARGLDIKSIKSVVNFDIARDMDMHVHRIGELVNSLIAAGQNVSMELMDLAMKVGKRVEGGVEVVEACVEWIMVLALAIIRKPIVLHLMQLQVDLLQLVH >Manes.15G124650.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9919934:9927857:1 gene:Manes.15G124650.v8.1 transcript:Manes.15G124650.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTMDPTPAASSAGASSPAVPGNICGMERSSHAHTSKAASVSGVGSQLPRASLSTSAGGSVLGSSRPSCRPWERGDLLRRLATFKPSNWFGKPKMANSLACAQRGWMNVDVDKIVCESCGACLSFVLLASWTPAEVESSGEAFAKQLDDGHKASCPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFLFLPVVAASAVEQMRVSRGPVVDRFLSQSHNFTSGEGDFKSEGMPEFETSRDGASCLYSRAQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPAQAQVHLSHDPGPGKKAHSASAKKDTEKNKLLAESRCDSRSPLLDCSLCGATVRILDFLTVPRPARFAPNNIDIPDASKKMVLTRGVSAASGISGWVAADDTDKEHTEDRDEVATTDKGKLLQNTEVDLNLTMAGALPFTQADRLAITDNVHDVEMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGGSSDDRPNLTQPADSVEGTVIDRDGDEVTDSRQFSAGPSKRTRDSDFFDTHCSPYKRDSCGAGPSHSVGMEMYAEGNRVNLFHQGSDQVVGITSVRDSTRASSVIAMDTVCHSADDDSMESVENYPGDIDVHFPSSSTYGNLDMNETSELNYSNQAQQSICFRHTAEVAPGEMGVSSTNDGEEIFNAETATAHARDGPSFGISGGSVGMCASHEAEIHGADVSVHRTESVVGDVEPRIEDVENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSMERADSGSKVDGSTKAESVESGEKEASQSFKLALDSNAHPSLSCNANMYSGYQTNKKGVSKAGKSSSTNNFPCLESDYTIANGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSSHDSGNNADADALCGWQLTLDALDALRSLGNVPIQTVQSESAASLYKDDHQTPGQKLLRRHSMSRSHGQY >Manes.15G124650.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:9919934:9927857:1 gene:Manes.15G124650.v8.1 transcript:Manes.15G124650.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVISSGGTMDPTPAASSAGASSPAVPGNICGMERSSHAHTSKAASVSGVGSQLPRASLSTSAGGSVLGSSRPSCRPWERGDLLRRLATFKPSNWFGKPKMANSLACAQRGWMNVDVDKIVCESCGACLSFVLLASWTPAEVESSGEAFAKQLDDGHKASCPWRGNSCPESLVQFPPTPQSALIGGYKDRCDGLLQFLFLPVVAASAVEQMRVSRGPVVDRFLSQSHNFTSGEGDFKSEGMPEFETSRDGASCLYSRAQKLISLCGWEPRWLLNVQDCEEHSAQSARNGCSFGPAQAQVHLSHDPGPGKKAHSASAKKDTEKNKLLAESRCDSRSPLLDCSLCGATVRILDFLTVPRPARFAPNNIDIPDASKKMVLTRGVSAASGISGWVAADDTDKEHTEDRDEVATTDKGKLLQNTEVDLNLTMAGALPFTQADRLAITDNVHDVEMGRDLMIGQPSGSEVGDRAASYESRGPSSRKRSLEIGGSSDDRPNLTQPADSVEGTVIDRDGDEVTDSRQFSAGPSKRTRDSDFFDTHCSPYKRDSCGAGPSHSVGMEMYAEGNRVNLFHQGSDQVVGITSVRDSTRASSVIAMDTVCHSADDDSMESVENYPGDIDVHFPSSSTYGNLDMNETSELNYSNQAQQSICFRHTAEVAPGEMGVSSTNDGEEIFNAETATAHARDGPSFGISGGSVGMCASHEAEIHGADVSVHRTESVVGDVEPRIEDVENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSMERADSGSKVDGSTKAESVESGEKEASQSFKLALDSNAHPSLSCNANMYSGYQTNKKGVSKAGKSSSTNNFPCLESDYTIANGIGPPKGESNYEEAIEFDPIIHHNQFCPWVNGNVAAAGCSSHDSGNNADADALCGWQLTLDALDALRSLGNVPIQTVQSESAASLYKDDHQTPGQKLLRRHSMSRSHGQY >Manes.01G269100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:42261095:42262285:-1 gene:Manes.01G269100.v8.1 transcript:Manes.01G269100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKQQIQETLPDWRDKYLSYKELKKLVRLLSSAPLLSGGSIEYAKAEAEFVHMLNNEIDKFNSFFMEQEEDFIIRHKELQQRIQSVIDSWGPNGSHPSEAEYKKEISKIRKDIIDFHGEMVLLENYSNINYTGLGKILKKYDKRTGGLLRLPFIQKVLQQPFFTTDLISKLVKECENTIDAVFPIDEEERAREGREAITIGIGGEGIFRNTVAALLTMQEIRRGSSTYSHFSLPPLNLPDSDLIPSLQFSSPIPII >Manes.03G051100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4745904:4751921:-1 gene:Manes.03G051100.v8.1 transcript:Manes.03G051100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFKGQPRLPKFAVPKRYAIRLKPDLSACTFAGSVSVDLDIVDHTKFIVLNAGDLSVHSSSVSFTSSKVFQPVKVELVEADEILVLEFAETLPVGVGILKIDFDGVLNDKMKGFYKSTYEHQGEKKNMAVTQFEPADARRCFPCWDEPACKAKFMITLDVPSELVALSNMPVLEEKVDGPLKTVSYQETPIMSTYLVAVVVGLFDYVEDYTSDGIKVRVYCQVGKANQGKLALNVAVKTLELYKEYFAVQYALPKLDMIAIPDFAFGAMENYGLVTYRETALLFDDKNSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADSLFPEWKVWTQFLDQITEGLRLDCLEESHPIEVEINHASEINEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHAYSNAKTEDLWTALEEGSGEPVNELMNSWTRQKGYPVVSVKLKDQKLEFEQSQFLASGSHGDGQWIVPITLCCGSYDVHKNFLLQTKSETLDAKDSRLAEIGSAWIKLNVHQTGFYRVKYDEELSARLRYAIEKKYLTETDRFGILDDSFALCMARHQSLTSLLTLMDAYREELEYTVLSNLINLSYKVTSIVADATHELSDYVNQFFINLFQYSAEKLGWDPIQGESHLDAMLRGEILTALAVYGHDPTLNEASRRFHAFVDDRNTPLLPPDIRKAAYVAVMQRVSPSSRLGFDSLLRVYRETDLSQEKTRILSSIASCPDPNIVLEVLNFVLTSEVRSQDAVFGLAVSKEGRETAWAWLKDKWDHIEKTWGTGFLITRFVSAVVSPFASFEKAKEVEEFFAGRTMSSIARTLKQSIERVIINAKWVQSIQNEKHLAEAVKELAYRKY >Manes.03G169100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29453152:29456318:-1 gene:Manes.03G169100.v8.1 transcript:Manes.03G169100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFQELQSEDAFWYDLVPLNQSAFLPYTSTPTREIPATCSFNGSNSTNMNKRMIGFMGRSWPVRIETEESDSARCYRRKISERMRREKEKNGYLALHAMLPLGTRNDKNSIMQMAAKRIDELKRYKEVLERRNYELEEKGGNARSRKIEFKVRNPTSGIDYMVEVLKCLNSLGSKLISIKSRYSDEELVAVMDIETQISDAEMGKAVQTTLQNVQRKHSKETSAAFCLKLTLTT >Manes.03G169100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:29453152:29455925:-1 gene:Manes.03G169100.v8.1 transcript:Manes.03G169100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFFQELQSEDAFWYDLVPLNQSAFLPYTSTPTREIPATCSFNGSNSTNMNKRMIGFMGRSWPVRIETEESDSARCYRRKISERMRREKEKNGYLALHAMLPLGTRNDKNSIMQMAAKRIDELKRYKEVLERRNYELEEKGGNARSRKIEFKVRNPTSGIDYMVEVLKCLNSLGSKLISIKSRYSDEELVAVMDIETQMLRWERQCRRHSRMFKGNTARKLPPPFASSLHSQPKSLKRKTFRHIS >Manes.05G023000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2043202:2059910:-1 gene:Manes.05G023000.v8.1 transcript:Manes.05G023000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNSRGKAKGEKKKKDEKVLPVVTDITVNLPDETSAALKGISTDRIIDVRRLLSVNTETCYITNFSLSHEVRGPRLKDTVDVSALKPCVLTLTEEDYDEELAVAHVRRLLDIVACTTWFGPSASTQDKAKSDSGKNAPGVQDKTAKKTTSQANTAKQSSSSKEVLMEAEGEMNHSCPKLGSFYEFFSLSHLNPPIQFIRKAAKRKVEDIPEEDHLFSLDVKLCNGKLVQVEACRKGFYNVGKQRILCHDLVDLLRQLSRAFDNAYDDLMKAFSERNKFGNLPYGFRANTWLIPPFAAQSPSVFPSLPVEDEVWGGNGGGLGRDGKSDLIPWASEFLFLASMPCKTAEERQVRDRKVFLLHSLFVDVAIFRAIRAVQHVRVNQDLLCSLGSSKILYTERVGDLSITIMKDNSNASFKVDTKIDGIQATGVDKKDLVQRNLLKGITADENTAAHDIVTLGIVNVRYGGYVAVVKVEGREEKNVSPPSQSIELEQPEGGANALNINSLRLLLHRTTSSEHSKPAAHLQASECEELSASQAFVEQMLEESLAKLEQEESKQDHFVRWELGACWIQHLQDQKNTEKGKKPSIRKSKKLSLEKEMKVEGLGMPLRSLKGNKKKTDESKEIQSKNSRSSFGGATGEDEVATSASMEPQPETTSKKNELPLQRLLSDTAFTRLKESDTGLHSKTLQELIDMSQKYYIEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVADHEKMAVSIAAALNLMLGVPESRDSDKSCHVNPLVWRWLEVFLKKRYEWDLSSSSFKDVRKIAILRGLCHKVGIELVPRDYDMASAHPFRKSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPSRDTKGRDFVSVKRKGYITKIKEKTNPNVNLTSSDESPKEILKEASDEEMHTPLATQKTSSAQVQFQQPIVEESAEKKSGISNDIFPVAHAEGDDGWQPVQRPRSAGSYGRRLKQRRGIIGKVYQKKIVDTNMDYPPVKNNHQSNRYYLLKKRALSHGNYVDHHAANPSLGAKFGRRIVKAVTYRVKSIPSGNKTAATENSGCGSKDFALSLESRPNSAPNDVLPVRNSVVSLGKSPSYKEVALAPPGTIAKFQVWFPQSDIPDNQEIGVGKHEEEKIEAIEHASKVVTDLEDLCAEKDKNSAIDLADHLNNITDVERKEESHSSDAKDENSFMEPQSTLGSESGVIGVHEVMENGTLIDDMKNSVDPLSKESTREKDSAEFEPQGNYNSNLSQVEDLKDKSLVINSGETHGFPNKKLSASAAPFNPSPSIARAASLTVNIPLPSGPGAVPAVAPWPVNMTLHPGPATVLPTISPMSSPHYPYPSPPATPNVMQPLPFIYPPYSQGQAVPTSTFPVTSNAFHPNHFSWQCNVNHNVSEFIPSTVWPGCHSVEFSAPPPVAEPIPDRMLEPKLQIENPESTTPPSVLSADTHNVGKAKKEANLLASEGTDDAKELAKVGLEHLKENGHLNLGKVEISGNASGQNKIFKESTSCGDERKIDGEKTFSILIRGRRNRKQTLRMPISLLSRPYGSQSFKVICNRVVRGSEAPKSTGFSSSEDSAANAT >Manes.10G095400.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:24275553:24276815:-1 gene:Manes.10G095400.v8.1 transcript:Manes.10G095400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKENKKTKQSERQLKSTDREPHNAKLWPDLPHHLIDLLARKFSLIHQISSEGVTKSWREEPSKCRPNPRPPWLELSSDYENHHNHKEPHTLNIPFRRGFYRVRRRSWPAAAHPAYQFHVLGCSHGVLVSKGASIHDPIWSSYWQTPLWDAKVPLICAALSSSPPRRWKDNRKDKCTIMVLTGIANPAFAFYRIWESRGEWIKKDSNIVDPHCSSSSLLQFTNGIWYKEKFYALSLQGTLTVIEDIDSDLRITALGKKRAVPSVSSMHFRECLMESEGKILLVFLISRKSIKVVDCVEVYQLDTAKLTWVKKENLGSDRTLFVGGNCCMSVSASEVGCRRNCVYFTQGKVDGWWIYDMETATIDSSNKFQIGMN >Manes.07G122100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:32618980:32622260:-1 gene:Manes.07G122100.v8.1 transcript:Manes.07G122100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENFESFHVPQQNRRNKLRFTSQTSQEVQNPPTLMNPSPSPPFSSLQNPKDHHLMSYHPQGLSLSLSFQLDSQRCNAVSVYGDFLKQNGEITSSFPLGPFTGYATILKSSRFLKPAQQILDDLCGTANYEVLNLSLDFLNESEVIMRESVAFADHIEHRWKNSKLILMLDEVYRRYKLYCQQMQSAVASFETVAGLGHAAPYICYAIKTISKHFTCLKNALLDQIHFTCKTSDDGNIISGERIPRFWAADEQNQNQSLNISFLQHPVWRSQRGLPDHAVAVLKKWLFEHFLHPYPSDSEKQILAQQTGLSRTQVSNWFINARVRLWKPMVEEVYKLASQQAQSPLEAVNHNPSFLLPDFSAENLSQIQTTQPQKPDDIQAKRSRNQELADISMQRRDLRNAFNNSSSHYQAAVNGSNGVSLALGLHQNIGIDLSRSVPMNLAHHVNLEMISMMDSASAASLQAQNQQFGKQ >Manes.05G047000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3916198:3920378:-1 gene:Manes.05G047000.v8.1 transcript:Manes.05G047000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLTLSQALLAPAISHHGSAQSSDHHRLSLSTPSLPSFSGLKSTSSIPLATSSVRRRLAARQQIQIRAAAVETLDATAETSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEIMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEDDLKSFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARYNKPDNEIVDHYTYVILGDGCQMEGIANEVCSLAGHWGLGKLIAFYDDNHISIDGDTAIAFTESVDKRFEGLGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKKHWSRHVPEGAAFEAEWNAKFAEYEKKYKEEAAELKSIITGELPAGWEKALPTYTPDSPAEATRNLSQTNLNALAKVLPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAIRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLAGTSIEGVEKGGYIISDNSSGNKPDVILIGTGSELEIAAKAAEELRKGGKAVRVVSFVSWELFDEQSDAYKESVLPEAVSARVSIEAGSTFGWQKIVGAKGKAIGIDRFGASAPAGKIYKEFGITVEAVIAAAKEAS >Manes.11G149800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:31434756:31437411:1 gene:Manes.11G149800.v8.1 transcript:Manes.11G149800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDGIFEHPKSPEKFLTYALPLLELQLTLIIFTTNVLYFILKRIGITMFICQVLTGIILGPTLFGRLEFMRRVVFPLESQPFLTSVGLLGFSIHIFLNAVKLDLGVVVRSGKIAMISGALSVITPIVCGMGVLIPYKEHLLDKRSFTSASTVVFLNCYSTFSFIVRVLEELKLVNSELSYIALSSSLTSDVVGMGVVFFSTIARQKMSIREVMTDVSGMVGFWIAGIFIFRQLVMREIRKTLPGLPLNSSFIYLILALMAASQVYYVFFINIQCLGAFIFGLLIPAGPPLGSALVDKLESFTIGILLPITVSAGVMRADLTLIFTEFAKAEFYIAIIFLCFGSKLVGCLIPSLCWKIPLIDSVIFAVIMTSRGLIEIIIYTLAIDFMLIEEVVFSTLILCLLMNAIFVAIVVKNLYDPSRKYAGYRARNIMSLKPNSELRIMACIHKPENVTTITRLLDAFHPHKDRPIGIHILHLIELAGRASPLRICHLKQKPVSESCSHNVIFAFNQYEQNNWDSVSIISTYTTISPINLMNEDISILALEKLASLILLPLHKRWNIHGDIEAEDQNLRAVNIKVLEKAPCSVGIFYDRGKLGRLSPPVGSQARIFSICMIFLGGKDDFEALSLSRRIAKHSNTCLTVISFLPEDDRLIHYEERKLNSLVLEDIKENSGFRSNITYKELVVKDGPQTALIIHSIMNNYDLFIIGRRWGIESPQTAGLSDWTELPELGIIGDLLASKDVQTKAAVLVVQQQKHMK >Manes.18G044866.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3968287:3968966:1 gene:Manes.18G044866.v8.1 transcript:Manes.18G044866.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKVRCQNI >Manes.18G044866.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:3968287:3969269:1 gene:Manes.18G044866.v8.1 transcript:Manes.18G044866.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRTCRVCGNPHGLIRKYGLMCCRQCFRSNAKEIGFIKYR >Manes.07G079466.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:24572631:24574930:1 gene:Manes.07G079466.v8.1 transcript:Manes.07G079466.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAHVCTVYLLLLFAFTSEALPNTFDVTKYGAKAGSDITKALLSAWKEGCAAAGSGKVVVPKGKYFLGVVDLIGPCKGAMHLQVEGTLVAPAKASQHSKNSWVTLRYLDRLTVSGGGAFDGQGEIAWERAHCGDRCKTPLPINLRFDFVTNSIVEDVTSIDSKQFHVNVLGSKNLTFQRFSVKAPGHSLNTDGIHIGRSEGINIINSNIVTGDDCISIGQGSKQVRITNVRCGHGHGISVGSLGKYEKEEPVSGIYVKNCTIYDTDNGVRIKTWPALYGGTASNIHFEDIVMQNVSNPIIIDQMYCPWNLCNRKKPSKVQISDVSFKNIQGSSRTPTAVQITCSSSVPCKDIVLSNVNLKYTGSKGSAKSVCTNVKPRIIGKLIPGGC >Manes.03G099100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:22057802:22058679:-1 gene:Manes.03G099100.v8.1 transcript:Manes.03G099100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGSFIPKALLPSATSTRLSYYLRKAMIITYRVDTEDKTTSGSGSHTNSSTSGSTSKTNPSSSGNN >Manes.18G035900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:3185971:3186261:-1 gene:Manes.18G035900.v8.1 transcript:Manes.18G035900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRSEGYDDERPAMTKEEFKNWLKRFDVDKDGRISRAELVGAVRTFGGGWFEGVRAWWSVRCADSNGNGYIDDHEIDNLVEFAQTNFGIRVSPC >Manes.06G165200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:29159201:29162491:1 gene:Manes.06G165200.v8.1 transcript:Manes.06G165200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELERMREYFKTGNTKCASWRLSQLKGLLLFIKEREADIFQALKKDLGKHPVEAFRDEVGLLIKSINFALKGLKTWMSSKKVNVPKIAFLTTAELVPQPLGLVLIISSWNFPLGLSLEPLIGAIAAGNTVVLKPSELAPACASLLVNLLPTYLDTRAIKVVQGGSSVGEQLLQKKWDKIFFTGSARVGRIVMSAAVKNLTPVVLELGGKCPAVVDSLSSSWDKQATANRIAVAKFGSCAGQACLAIDYVLVEKKFASTLVELMKDSIKNMFGDNPRESNTMARIINKHHFSRFKNILSDLAVQKSIVYGGSMDEENLFIKPTILLDPPLQSEIMTDEIFGPLLPIITLDKIEDSIEFINSKPKPLAVYAFTKNKQLKRRLEAETSSGSLVFNDALVQYVLDTVPFGGIGESGIGKYHGKFSFDTFTHHKAVTRRSFLIDAWFRFPPWNGHKFMLFETCYTYDYLGILLVLLGLKRCKRNLDGI >Manes.13G019900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:2913527:2920934:-1 gene:Manes.13G019900.v8.1 transcript:Manes.13G019900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTRPAVVMDNGTGYTKMGFAGNVEPCFILPTVVALNDSFLNQSRTSKANWLAQHSAGVMADLDFFIGEEAFAKYRSSSIYNLRYPIEHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGASHVVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGENVPPEDSFDVARRVKEMHCYTCSDIVKEYNKHDKEPAKYIKQWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLSAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFGKRLQRDLKKIVDARALAFEAKLDAGVKSQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFSACHTKAEYEEYGASICRSNPVFKGMY >Manes.10G112309.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:26626828:26657636:-1 gene:Manes.10G112309.v8.1 transcript:Manes.10G112309.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSMAGNRNMIDVDEKTHHLSLGFHFDPSYFGIPSAKQLRTFLLPCQEVWPSKEGTQKMPKNQTSFSNFRNLRVFDLHNSEIEKVPTCIQKMKYLRYLDLSRNDKIKALPNSISRLQLLQVLKLSNCEELRELPKDITKLVNLRHLDCERCWSLTHMPCGLGKLTSLQMLTWFPVAKDSSVSNHVGGLVELNRLNLRGRIEIRNLKCVKDESEFESANLMEKQLLQSLSLCWNRDDDDDDNDNVDVNYDERCLERLRPHENLKKLKVCDYGGTKFPDWLSSLTNLVNICIQDCGNCDRLPLLDRIPSLQYLRIDGFPKLEFIYHEGDNFPGAGGGGNESTFFPSLKELYILDCPHLKSWWKKGDDLTMKITAELPHFTRLSKLEISECSQLTCMPLFPNLDEKLLLENCRLVHHLQQMIKMAIVQAVPSTSSSSSSSSSMLGLSKLKVLWIVSIEDLEAFPEELLQNLSSLEELHLMDCPRLASLPLEMRRLPLRELDIRGCAQMKERYGTRKCSDWPIISRIPNIRIDGQKVQWDGRYLRDQEDSSIVTASPLSKLKTLIVEDLESLPEDWLPNLTRLQQLCLVRCPKLQSLPRGMLHLTSLQNLDISQCTLHLKERCSNNKGVDWLNISHIPRIKIDGLQIQWQEQKAIEGEAAKMLSSEDILGVNTCPPGHSTRRTGVPAEKHSDPAKITTESNQLEGVPGYPQLDQKSYNDDQLAVLKYIYENNDNETLFEGDNCSLARSRIEHWKPRQWLENNDVDMVVEAVRKEEVRERNGTNWFFPCITYQSYEKVSFFKEYYDDHVQNFAVTSDLEACEKIYIPIHDYDRQHFLLAVLKVPNQTCLWFDPMWSDEGYSLTDEEILPVLLQGLDNCVPGIKTKPFFPTFEEVIQKNAPRQPNGWDCGIYIMNFLRDLPDDNGKQFGKTVVNVTEVTSLTR >Manes.11G011800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:1175756:1176798:1 gene:Manes.11G011800.v8.1 transcript:Manes.11G011800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLVVGWLWRSQNVGDKLKRDDLMRRVITTKASNRASVHGTKRPVTQTIDREEVEKEIHKGKEDKEKKIKEMEKTVDSHFRNQSEDDDHKT >Manes.02G193940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:15748082:15751169:1 gene:Manes.02G193940.v8.1 transcript:Manes.02G193940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTQNQQDVFRPSGNYPPTIWGCSFASLSSLDSEFESYTKEVEILKEKIKDVLEQPTKELIGKIEFIKLLCRLGVSYHFRNEIKDQLNQIFIHLSELLEDNDCDLNIIATAFQILREHGYKMYSDVFNKFKDSDGGFKKTITNDVKGLLSLYEATFFRGHGEDILDEALAFTRQHLEILAEQSSPHLANYIKKALVLPFHRNLERLEAKKYIAFYEEEESKDETLLRFAKLDYNRLQLLYRQELASLSRWWKDSDVAEKLDYSRDRIVEAYMWAIGPHFEPQYSVSRILVAKFIEILTLIDDTYDGYATIDEVQHFTAAIERWNIGAIDDLPEYMKILYKFTLNFFDEMEKDGYNTCYAKETFKEMVKAYYVEAQWFNNKYMPALDEYMQNGLVTGGYRAIPAITFLGMENIVGAKELQWVETNPKIVRAARLISHLRNDIVAREDEKKKKDGPLSVDCYMNEHGVSKEKAIEETKKMCEDAWKDMNEDCFNPSVVPKFLLKYYVNLARTIEYLYTHDDYYTYSSALKDDITSLFLEQLPL >Manes.07G138700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:34110699:34114893:-1 gene:Manes.07G138700.v8.1 transcript:Manes.07G138700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGYTQDGTVDLRGRPVLASKTGKWKACAFLVGYEAFERMAFYGIASNLVNYLTTQLHEDTVASVRNVNNWSGSVWITPILGAYIADTYLGRYWTFTVSSLLYVMGMMLLTMTVSLKFMKPTCINGVCNKASSSQIAFFYSALYAIAIGAGGTKPNISTFGADQFDDFDPQEKKLKVSFFNWWMFSSFLGALFATICLVYIQENLGWGLGYGIPTVGLLLSLFIFYLGTPLYRHKVRKTKSPARDLIQVPIAAFRNRKLPLPNDPSELHEFEQQHYIDSGKRQVHHTLVFRCLDKAAIKNINTSASSPPCTVTQVEGTKLVLGMIMIWLVTLIPSTIWAQINTLFVKQGTTLDRSLGSNFKIPAASLGSFVTLSMLLSVPMYDRWFVPFMRKKTGNPRGITLLQRLGIGFVIQVTAIAIAYAVEVRRMHVIRLHHSMGPKEIVPMSIFWLLPQYVLIGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVDKITGRGGGKSWIGNNLNDCHLDYYYGFLLIVSTLNLGAFVWASSRFIYKKEIIKVNEGEEKTLDTSPLGLQV >Manes.04G141000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33980041:33981636:1 gene:Manes.04G141000.v8.1 transcript:Manes.04G141000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHITGLNSESSQVLLASNSVPQMGSKQDIQGMGEVEGLNEKANSKEWSKIGSSPPSCEHKCFGCTPCEAIQVPTTSKAHNHLGVNYANYEPEGWKCKCGSSFYSP >Manes.04G141000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33981055:33981379:1 gene:Manes.04G141000.v8.1 transcript:Manes.04G141000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQDIQGMGEVEGLNEKANSKEWSKIGSSPPSCEHKCFGCTPCEAIQVPTTSKAHNHLGVNYANYEPEGWKCKCGSSFYSP >Manes.04G141000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:33980041:33981636:1 gene:Manes.04G141000.v8.1 transcript:Manes.04G141000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATICCFLLALQIVSFASGTSRPFAHNDGVGVNPPGLNSESSQVLLASNSVPQMGSKQDIQGMGEVEGLNEKANSKEWSKIGSSPPSCEHKCFGCTPCEAIQVPTTSKAHNHLGVNYANYEPEGWKCKCGSSFYSP >Manes.05G077000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6271183:6279871:1 gene:Manes.05G077000.v8.1 transcript:Manes.05G077000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFSSTTPLRSVFQSKPKPPKILLSLPVSSYGANYNDNNRSHSAAVSLWSSTSHYAGATKLSANSIAVKQLLQKRRGVIQCATIEEIEAEKSSIEKDAKARMEKTIETVRTNFNSVRTGRANPAILDKIEVEYYGSPVSLKSIAQISTPESSSLLVQPYDKSSLKAIEKAIVSSDLGLTPNNDGEVIRLSLPQLTSERRKELSKMVAKQAEEGKVALRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYMKKIDSIFKQKEKELLKV >Manes.05G077000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6271183:6279871:1 gene:Manes.05G077000.v8.1 transcript:Manes.05G077000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFSSTTPLRSVFQSKPKPPKILLSLPVSSYGANYNDNNRSHSAAVSLWSSTSHYAGATKLSANSIAVKQLLQKRRGVIQCATIEEIEAEKSSIEKDAKARMEKTIETVRTNFNSVRTGRANPAILDKIEVEYYGSPVSLKSIAQISTPESSSLLVQPYDKSSLKAIEKAIVSSDLGLTPNNDGEVIRLSLPQLTSERRKELSKMVAKQAEEGKEKKLSEDNVKDLSSDLQKLTDEYMKKIDSIFKQKEKELLKV >Manes.01G108100.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30725641:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSRIQTFWISDGFHSVHMMTASETDPVIENDGEESKEKLMQISVIQAIFAGEKIQDLVPLAANAFLILGQGTVWAYAIP >Manes.01G108100.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30725641:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSRTVWAYAIP >Manes.01G108100.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30725641:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSRTVWAYAIP >Manes.01G108100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30725641:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSSMQFLFP >Manes.01G108100.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30725641:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSSMQFLFP >Manes.01G108100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30726730:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSRIQTFWISDGFHSVHMMTASETDPVIENDGEESKEKLMQISGFCEPLSSFAYSSSLF >Manes.01G108100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30725641:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSRIQTFWISDGFHSVHMMTASETDPVIENDGEESKEKLMQISGFCEPLSSFAYSSSLF >Manes.01G108100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30726730:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSRIQTFWISDGFHSVHMMTASETDPVIENDGEESKEKLMQISVIQAIFAGEKIQDLVPLAANAFLILGQGTVWAYAIP >Manes.01G108100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:30719454:30726730:1 gene:Manes.01G108100.v8.1 transcript:Manes.01G108100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFFNVLTTKSEVLPLMVLVQSSKFSLPSSSPQTTSFLLEPNSLSLALMHSDSSLSLFPSLPFPSPFSLLSKPQTLIPPPSSSFSFLLLHHHHLPHPRVLFLVAAPHKGGSQILFRFYILNSNNGFSKAQVVCNQKGLGFDSKFGVLVDVNHGVSIKIVGSINFFAMYSVSNRKVWVFALKPIGDGDGVGDAVKLMRCAVIDCCLPVWSISISFGYLILGEDNGVRVFNLRHLVKGNVKRVKSSNSNGNLDSNGRLDSKGLRLPNGVIGGDRHCGSTACNGLLDGKIDKHCVSVKNRSVRCRQDSGEGGAIFVAFKSKEVEISKSTAKAVSIQALSPKKFMILDSTGDLHILCLSNPVGGSNIRSNLMHLPQSMKVQKLAVLPDISSRIQTFWISDGFHSVHMMTASETDPVIENDGEESKEKLMQISVIQAIFAGEKIQDLVPLAANAFLILGQGTVWAYAIP >Manes.07G074915.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:22230650:22232623:-1 gene:Manes.07G074915.v8.1 transcript:Manes.07G074915.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHENSDLVVAILIILFASSVANAARYNVLNYGAKPDGRTDSTKAFLAAWKQACGSVKPATVYVPAGRFFLRNVMFQGPCKSNAILFHISGTLVAPSDYRVIGNAGNWLSFQYVNGVTVSGGVLDGQGPALWACKASGKDCPSGATSLGFSNSNNIGISGLTSLNSQMFHIVINGCNNVKVQGVTVSASGHSPNTDGIHVQLSSGVTILNSKIRTGDDCISIGAGTTNLWIEKVACGPGHGISIGSLGKDLKEPGVQNVTVKSVTFTDTQNGLRIKSWGRPSSGFVRNVLFQHATMTNVQNPIVIDQNYCPDNKNCPGQQSGVKISDVTYQDIQGTSATEMAVKFDCSKKKPCTGIKLEDVKLTYKNQPADASCNNADGTASGFVQPSSCL >Manes.12G088252.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:16609454:16609978:1 gene:Manes.12G088252.v8.1 transcript:Manes.12G088252.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFQALYGRLPPTIPLYHEGSSSVHEVDQNLLSRDALLSQLKSNLAAATNRMKQYADSKRRDVQYEVGDWVFLNLHPYRQHSVSVRTYPKLACKFYRPYQIEEKIGPVAYKLQLPLGSRIHPVFHVSLLKKKIGEAALSSNELPPVTDDGELLLEPESILDTRWVKKGSKIVE >Manes.15G159300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13182046:13185827:1 gene:Manes.15G159300.v8.1 transcript:Manes.15G159300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHHKSTQESAIKLGVSFGSKRDNLVIPESPFKDKHVNGDPPIKTLDDYGSKDETFFDSQPWLESDCEDDFFSVNGEFTPSRGSTPIHHNFFMGTPKINKTPLEDRPPGSIPEPSPTGKKKRLSELFKESLQEESVADDLHNSEKRDTPAVKKEVKPTILDVLPKSPSSTPYISGANSVCSSERTANGDGLLEREKSIKSAQCCLPSLISCRSFGERKKKTSPARALAVNDKA >Manes.08G133801.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19960470:19984905:-1 gene:Manes.08G133801.v8.1 transcript:Manes.08G133801.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAQSDCELESKRKEDSSWHPCRVSLSSVGDGLFVDFGQDSGVEFLDKEEVLNQLRFRSVPLKGDDCSRVKEGEHVLAANKSGLGSLFYDAKVEKVFRVRHYRGPCRCMFTIKWLHQNFEDGIKVPSSSIMKLSTKSITVYPTVAVLLKSEKHVKSSGPPPYLIEDRNYDMDLSKMVEKQIKNISNSADLSESEITKPTHVRIGQASARNSILDKKESCKAVAAAKISIPCAKVPCDQKTPVRRTTRRSSNLHVVAEVEDPPMFTSSIEERSEYRSHLSPLAACAALASRVQITPKSVAIGGTSLFNPFIGDKVIMKDKFSTDVLATPFPVVSEPVEMVKSHILTRRSGSPKSQLAGLSSETKNLELTNEDKTSECTTGRRSRSKLNETMNSANQNDSGISNGNMQIKNSADDLKLRTSTGGKRVTRSALRREKENLAKSSQDKSSHTTVDDYSEGNLAVTEISNDNIQIKSSADAQKLSASTNRKRFTRSALQQEKEYLALEAQSRSTQVTESNYSEEKGDISKKSGCTAKRKKTVTSSLDSESDNLGGRSEEQAPIFVQTNQQTAGGGLDKVDIQGQTKKLISSKRQSQQVSLHNHLPRTRSQTKSHLSVNMGTRKN >Manes.08G133801.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19960470:19969824:-1 gene:Manes.08G133801.v8.1 transcript:Manes.08G133801.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRVRHYRGPCRCMFTIKWLHQNFEDGIKVPSSSIMKLSTKSITVYPTVAVLLKSEKHVKSSGPPPYLIEDRNYDMDLSKMVEKQIKNISNSADLSESEITKPTHVRIGQASARNSILDKKESCKAVAAAKISIPCAKVPCDQKTPVRRTTRRSSNLHVVAEVEDPPMFTSSIEERSEYRSHLSPLAACAALASRVQITPKSVAIGGTSLFNPFIGDKVIMKDKFSTDVLATPFPVVSEPVEMVKSHILTRRSGSPKSQLAGLSSETKNLELTNEDKTSECTTGRRSRSKLNETMNSANQNDSGISNGNMQIKNSADDLKLRTSTGGKRVTRSALRREKENLAKSSQDKSSHTTVDDYSEGNLAVTEISNDNIQIKSSADAQKLSASTNRKRFTRSALQQEKEYLALEAQSRSTQVTESNYSEEKGDISKKSGCTAKRKKTVTSSLDSESDNLGGRSEEQAPIFVQTNQQTAGGGLDKVDIQGQTKKLISSKRQSQQVSLHNHLPRTRSQTKSHLSVNMGTRKN >Manes.08G133801.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19960470:19984905:-1 gene:Manes.08G133801.v8.1 transcript:Manes.08G133801.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRVRHYRGPCRCMFTIKWLHQNFEDGIKVPSSSIMKLSTKSITVYPTVAVLLKSEKHVKSSGPPPYLIEDRNYDMDLSKMVEKQIKNISNSADLSESEITKPTHVRIGQASARNSILDKKESCKAVAAAKISIPCAKVPCDQKTPVRRTTRRSSNLHVVAEVEDPPMFTSSIEERSEYRSHLSPLAACAALASRVQITPKSVAIGGTSLFNPFIGDKVIMKDKFSTDVLATPFPVVSEPVEMVKSHILTRRSGSPKSQLAGLSSETKNLELTNEDKTSECTTGRRSRSKLNETMNSANQNDSGISNGNMQIKNSADDLKLRTSTGGKRVTRSALRREKENLAKSSQDKSSHTTVDDYSEGNLAVTEISNDNIQIKSSADAQKLSASTNRKRFTRSALQQEKEYLALEAQSRSTQVTESNYSEEKGDISKKSGCTAKRKKTVTSSLDSESDNLGGRSEEQAPIFVQTNQQTAGGGLDKVDIQGQTKKLISSKRQSQQVSLHNHLPRTRSQTKSHLSVNMGTRKN >Manes.08G133801.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19960470:19984905:-1 gene:Manes.08G133801.v8.1 transcript:Manes.08G133801.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAQSDCELESKRKEDSSWHPCRVSLSSVGDGLFVDFGQDSGVEFLDKEEVLNQLRFRSVPLKGDDCSRVKEGEHVLAANKSGLGSLFYDAKVEKVFRVRHYRGPCRCMFTIKWLHQNFEDGIKVPSSSIMKLSTKSITVYPTVAVLLKSEKHVKSSGPPPYLIEDRNYDMDLSKMVEKQIKNISNSADLSESEITKPTHVRIGQASARNSIYKKESCKAVAAAKISIPCAKVPCDQKTPVRRTTRRSSNLHVVAEVEDPPMFTSSIEERSEYRSHLSPLAACAALASRVQITPKSVAIGGTSLFNPFIGDKVIMKDKFSTDVLATPFPVVSEPVEMVKSHILTRRSGSPKSQLAGLSSETKNLELTNEDKTSECTTGRRSRSKLNETMNSANQNDSGISNGNMQIKNSADDLKLRTSTGGKRVTRSALRREKENLAKSSQDKSSHTTVDDYSEGNLAVTEISNDNIQIKSSADAQKLSASTNRKRFTRSALQQEKEYLALEAQSRSTQVTESNYSEEKGDISKKSGCTAKRKKTVTSSLDSESDNLGGRSEEQAPIFVQTNQQTAGGGLDKVDIQGQTKKLISSKRQSQQVSLHNHLPRTRSQTKSHLSVNMGTRKN >Manes.08G133801.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19960470:19968925:-1 gene:Manes.08G133801.v8.1 transcript:Manes.08G133801.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNVEKVCASFIACRHAKSKSMAHGLYMPLPVPSSHWMDIFMDFVLGLPRTKHGRDSIFVVVDKFSKMTHFIPCHKIDDAINVADLFFNRDAKWFDFMECQGQLRQIEMQSFFRTFGKYCEVFRVRHYRGPCRCMFTIKWLHQNFEDGIKVPSSSIMKLSTKSITVYPTVAVLLKSEKHVKSSGPPPYLIEDRNYDMDLSKMVEKQIKNISNSADLSESEITKPTHVRIGQASARNSILDKKESCKAVAAAKISIPCAKVPCDQKTPVRRTTRRSSNLHVVAEVEDPPMFTSSIEERSEYRSHLSPLAACAALASRVQITPKSVAIGGTSLFNPFIGDKVIMKDKFSTDVLATPFPVVSEPVEMVKSHILTRRSGSPKSQLAGLSSETKNLELTNEDKTSECTTGRRSRSKLNETMNSANQNDSGISNGNMQIKNSADDLKLRTSTGGKRVTRSALRREKENLAKSSQDKSSHTTVDDYSEGNLAVTEISNDNIQIKSSADAQKLSASTNRKRFTRSALQQEKEYLALEAQSRSTQVTESNYSEEKGDISKKSGCTAKRKKTVTSSLDSESDNLGGRSEEQAPIFVQTNQQTAGGGLDKVDIQGQTKKLISSKRQSQQVSLHNHLPRTRSQTKSHLSVNMGTRKN >Manes.08G133801.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:19960470:19984905:-1 gene:Manes.08G133801.v8.1 transcript:Manes.08G133801.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAQSDCELESKRKEDSSWHPCRVSLSSVGDGLFVDFGQDSGVEFLDKEEVLNQLRFRSVPLKGDDCSRVKEGEHVLAANKSGLGSLFYDAKVEKVFRVRHYRGPCRCMFTIKWLHQNFEDGIKVPSSSIMKLSTKSITVYPTVAVLLKSEKHVKSSGPPPYLIEDRNYDMDLSKMVEKQIKNISNSADLSESEITKPTHVRIGQASARNSILDKKESCKAVAAAKISIPCAKVPCDQKTPVRRTTRRSSNLHVVAEVEDPPMFTSSIEERSEYRSHLSPLAACAALASRVQITPKSVAIGGTSLFNPFIGDKVIMKDKFSTDVLATPFPVVSEPVEMVKSHILTRRSGSPKSQLAGLSSETKNLELTNEDKTSECTTGRRSRSKLNETMNSANQNDSGISNGNMQIKNSADDLKLRTSTGGKRVTRSALRREKENLAKSSQDKSSHTTVDDYSEGNLAVTEISNDNIQIKSSADAQKLSASTNRKRFTRSALQQEKEYLALEAQSRSTQVTESNYSEEKGDISKKSGCTAKRKKTVTSSLDSESDNLGGRSEEQAPIFVQTNQQTAVSHQSSAVIYNYRRQLVVNCRLYNPFCCICSILETYSRTKLVWWTF >Manes.11G112600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:26072573:26078600:-1 gene:Manes.11G112600.v8.1 transcript:Manes.11G112600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGSLGSCRPVLVVCIVLILSLIRASEVAGAPKGHFYKWEVEYMFWSPDGVENVVMGINGRFPGPTIRARAGDIIHVELHNKLHTEGVVIHWHGIRQLGTPWADGTAAISQCVINPGETFSYRFTVDRAGTYFYHGHYGMQRSAGLYGTLVVDVAEGEKEPFHYAGEFDLLLSDWWHKSVHQQEVGLSSNPLRWIGEAQSLLINGRGQYNCSLYSKNAQNDCNIKGGEQYAPYILHVLPNTTYRIRIASTTSLASLNFAIGGHKMLVVEADGNYVMPFMTNSLDIYSGESYSVLITTNQKPNNYWISAGVIGREPQTNQALTILNYKPISASKLPKLPPPATPQWNDTDRSKAFSNKVLAHMNTPKPPKNYDRRVILLNTQNKLNGLTKWAINNVSLSLPATPYLGSMRYGLHGAFDQANPPENYPQDYDLMNPPVNPNTTIGNGVYKFGFKTTVDVILQNANTLSNVSEVHPWHLHGHDFWVLAYGEGKFTNKDEKKFNLKNPPYKNTAVVFPHGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGIERLGEIPHQALACGLTGTSFMDTNKH >Manes.14G117500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:11301476:11304127:1 gene:Manes.14G117500.v8.1 transcript:Manes.14G117500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDISRVLKVLEALRQASRDIQVHLTPESANSNSPAIKALLELETESDTILSKDPHLSSLSYHLTSLKNLVDTLHKCRSHSLRYFLIRRLSTHSISRVAASIESEIQAWIDRESVENLTTALKETVRNEEELVNLLTHFEKRLSQGFNRELQDLVLKSKILLLLEKIICDPNYSKRLREKCAFVVAALIRFNKDVFVGQVQMGPLIHALVSMASWKLMKVICTLIRLIKSPLGDEIESNGEIPKLISFLDYKDLQLRVLTMVCILEIGYFARKEVVEAMLKEGLIQKLVELQRSVLGGDLIDMGMFDEKQTNEIETVEGKIERRDSRQKSFLDSHPFASCVARFAVQLEVGEGLRQREKRAFKQEILNIVRDASASDAEAATIIAEVLWGSSP >Manes.09G038100.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:7142314:7142973:1 gene:Manes.09G038100.v8.1 transcript:Manes.09G038100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDSKSQDSTTSTLTSKYRHLHICIHCSKEFSNGHALAGHQNAHRFYKNSSTSWKMNPQAGIVGLFIDHHPVASHYRPTPVAPVGGVAYGLQGHPPPPPPPPLAAPSGFDGCVARRPRGVLKVRPRDHGASSYHPYERGVMISVVASQRENGSDFDSQRTLTNPLIGVSRKDDGDDGGDDDGFEENEELDLELRLGFNCKKGSGAGAGAGGGRGSFPS >Manes.10G001300.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:565976:568006:-1 gene:Manes.10G001300.v8.1 transcript:Manes.10G001300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASFGCQGNQHFTNFLWPESNLPTDLSARIGARFVAMDACSRRKRWKICSVSMKARTGTGMPVLKRKNKINDSGDKAAVVYKKFDEWMKDSVMEIVKNLKEAPLLVQVYDEGETTTLKTEKAMEEETWPVVLEKWGKREAPLPEGVIFVEQLEEEEEWTSTKAWGIVVQGKGADCGPACYLLKTSRVGSGLDMWCTHFCLMRVKNFEESAMSQLKNCWLLQGH >Manes.15G058400.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4477060:4484384:1 gene:Manes.15G058400.v8.1 transcript:Manes.15G058400.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATAGTSPEKQRKTIGNQTAEGKFHKPSSSQVTKTSKSEAVTPRKPPQNAQVESKQVSVATTEERKSVVYKSDNVNSLADKLSSGLSFVDPKQGTNSVGPEVSNARDSPGSTADQESKSLQNEIDPSSAKVSDGSLAKTSGSAKVSERADFVESGKSSVNRGSTSSDVSDESTCSSLSSSVNKPHKANDIRWEAIQAVRAKDGVLGVNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSERAVKFYVAEILLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSAPEGEHLRKNPAYCVQPACIEPSCIQPSCVVPTTCFSPRLFLSKSRKERKPKNEMGNQVTPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPPDIEQIPAPPSTSGKGAAAAAVPPEQNNYLEFDFF >Manes.15G058400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4477060:4484384:1 gene:Manes.15G058400.v8.1 transcript:Manes.15G058400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATAGTSPEKQRKTIGNQTAEGKFHKPSSSQVTKTSKSEAVTPRKPPQNAQVESKQVSVATTEERKSVVYKSDNVNSLADKLSSGLSFVDPKQGTNSVGPEVSNARDSPGSTADQESKSLQNEIDPSSAKVSDGSLAKTSGSAKVSERADFVESGKSSVNRGSTSSDVSDESTCSSLSSSVNKPHKANDIRWEAIQAVRAKDGVLGVNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSERAVKFYVAEILLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSAPEGEHLRKNPAYCVQPACIEPSCIQPSCVVPTTCFSPRLFLSKSRKERKPKNEMGNQVTPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPPDIEQIPAPPSTSGKGAAAAAVPPEQNNYLEFDFF >Manes.15G058400.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:4477060:4484384:1 gene:Manes.15G058400.v8.1 transcript:Manes.15G058400.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKATAGTSPEKQRKTIGNQTAEGKFHKPSSSQVTKTSKSEAVTPRKPPQNAQVESKQVSVATTEERKSVVYKSDNVNSLADKLSSGLSFVDPKQGTNSVGPEVSNARDSPGSTADQESKSLQNEIDPSSAKVSDGSLAKTSGSAKVSERADFVESGKSSVNRGSTSSDVSDESTCSSLSSSVNKPHKANDIRWEAIQAVRAKDGVLGVNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSERAVKFYVAEILLALEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSAPEGEHLRKNPAYCVQPACIEPSCIQPSCVVPTTCFSPRLFLSKSRKERKPKNEMGNQVTPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPPDIEQIPAPPSTSGKGAAAAAVPPEQNNYLEFDFF >Manes.16G132400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33261962:33264348:-1 gene:Manes.16G132400.v8.1 transcript:Manes.16G132400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALKTEAVLKTIANHPKCLVAAAASGSLSFNFYAASCPTVEFIVVNTVRSASSSDPTIPGKLLRLVFHDCFVEGCDASVLLQGNGTEQSDPANKSLGGFAVINSAKRLLEIFCPETVSCADILALAARDAVAITGGPMIQIPTGRRDGRESLVANVRPNIVDTSFTMDDMIKIFSSKGLSLEDLVTLSGAHTIGAAHCSAFSDRFQEDSHGKLKLIDSFLDTSYARELMSMCPAGASPSITVNNDPETSSAFDNQYYRNLLAHKGLFQSDSVLLEDERTREQVKDFANDEGRFFESWRQSFLKLTSIGVKTGEDGEIRRFCSEING >Manes.16G132400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33261807:33269069:-1 gene:Manes.16G132400.v8.1 transcript:Manes.16G132400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMETKTLLFSTLPRLSYVLILVLFSLVAAAASGSLSFNFYAASCPTVEFIVVNTVRSASSSDPTIPGKLLRLVFHDCFVEGCDASVLLQGNGTEQSDPANKSLGGFAVINSAKRLLEIFCPETVSCADILALAARDAVAITGGPMIQIPTGRRDGRESLVANVRPNIVDTSFTMDDMIKIFSSKGLSLEDLVTLSGAHTIGAAHCSAFSDRFQEDSHGKLKLIDSFLDTSYARELMSMCPAGASPSITVNNDPETSSAFDNQYYRNLLAHKGLFQSDSVLLEDERTREQVKDFANDEGRFFESWRQSFLKLTSIGVKTGEDGEIRRFCSEING >Manes.16G132400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33261807:33263188:-1 gene:Manes.16G132400.v8.1 transcript:Manes.16G132400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGTAECNLKCLQGCDASVLLQGNGTEQSDPANKSLGGFAVINSAKRLLEIFCPETVSCADILALAARDAVAITGGPMIQIPTGRRDGRESLVANVRPNIVDTSFTMDDMIKIFSSKGLSLEDLVTLSGAHTIGAAHCSAFSDRFQEDSHGKLKLIDSFLDTSYARELMSMCPAGASPSITVNNDPETSSAFDNQYYRNLLAHKGLFQSDSVLLEDERTREQVKDFANDEGRFFESWRQSFLKLTSIGVKTGEDGEIRRFCSEING >Manes.16G132400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:33261962:33264295:-1 gene:Manes.16G132400.v8.1 transcript:Manes.16G132400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMETKTLLFSTLPRLSYVLILVLFSLVAAAASGSLSFNFYAASCPTVEFIVVNTVRSASSSDPTIPGKLLRLVFHDCFVEGCDASVLLQGNGTEQSDPANKSLGGFAVINSAKRLLEIFCPETVSCADILALAARDAVAITGGPMIQIPTGRRDGRESLVANVRPNIVDTSFTMDDMIKIFSSKGLSLEDLVTLSGAHTIGAAHCSAFSDRFQEDSHGKLKLIDSFLDTSYARELMSMCPAGASPSITVNNDPETSSAFDNQYYRNLLAHKGLFQSDSVLLEDERTREQVKDFANDEGRFFESWRQSFLKLTSIGVKTGEDGEIRRFCSEING >Manes.01G000558.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1294042:1298006:-1 gene:Manes.01G000558.v8.1 transcript:Manes.01G000558.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIWSFQVPCSSSVIASHPFSLRHLHSRKMIKSTLASALLVNLLFLYGQGILMTVAEATSKVHIVYLGEKQHDDPKLITNSHHEMLADVVGSKELASQLMVYSYKHGFSGFAAKLTESQAHKLAELPGVVRVIPNSLHKLQTTRSWDFLGLSSHSPVNALQSSSMGDGVIIGVFDTGVWPESKAFRDEGLGPIPSRWKGVCKSGKMFNAALHCSKKIIGARWYIDGFLAEYGKPLNASGDLEFLSSRDANGHGTHTASTAAGAFIPNVSYKGLGLGTVRGGAPRARLAIYKVCWNVHGGQCSSADMLKAFDEAIHDGVDVLSISIGSSIPLFSDTDERDGIATGSFHAVSKGITVVCGAANDGPSAQTVQNTAPWILTVAASTMDRSFPTPITLGNNKTFLGQATFRGKEIGFRGLVYPEAPGLDPNAAGVCQSLSLNVTLVAGKVVLCFTSMARRSAVASAAEAVQAAGGVGLIVAKNPSDVLYPCSGDFPCVEVDFEIGTRILFYIRSTRSPEVKLSDTKTIVGNPVLPKVAYFSSRGPNSIAPAILKPDITAPGVNILAATSPLDGFEDNGYAMHSGTSMATPHVSGIVALLKSLHPDWSPAAIKSALVTTALSNHPSSYPIFAEGSPQKPANPFDFGGGIANPNGAADPGLLYDMDTADYLHYLCVMGYSHSSISRLTGHPTQCHSVKQSILDINLPSITIPNLRKSITVTRTVTNVGAANSTYRAVIEAPFGTNVSIKPDVLVFRNQTKKMNFTVTVTATHQVNTGYYFGSLSWRDEVHVVKSPLSVRTEMLQPYISDNYIIEN >Manes.01G000558.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1294042:1298000:-1 gene:Manes.01G000558.v8.1 transcript:Manes.01G000558.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIWSFQVPCSSSVIASHPFSLRHLHSRKMIKSTLASALLVNLLFLYGQGILMTVAEATSKVHIVYLGEKQHDDPKLITNSHHEMLADVVGSKELASQLMVYSYKHGFSGFAAKLTESQAHKLAELPGVVRVIPNSLHKLQTTRSWDFLGLSSHSPVNALQSSSMGDGVIIGVFDTGVWPESKAFRDEGLGPIPSRWKGVCKSGKMFNAALHCSKKIIGARWYIDGFLAEYGKPLNASGDLEFLSSRDANGHGTHTASTAAGAFIPNVSYKGLGLGTVRGGAPRARLAIYKVCWNVHGGQCSSADMLKAFDEAIHDGVDVLSISIGSSIPLFSDTDERDGIATGSFHAVSKGITVVCGAANDGPSAQTVQNTAPWILTVAASTMDRSFPTPITLGNNKTFLGQATFRGKEIGFRGLVYPEAPGLDPNAAGVCQSLSLNVTLVAGKVVLCFTSMARRSAVASAAEAVQAAGGVGLIVAKNPSDVLYPCSGDFPCVEVDFEIGTRILFYIRSTRSPEVKLSDTKTIVGNPVLPKVAYFSSRGPNSIAPAILKPDITAPGVNILAATSPLDGFEDNGYAMHSGTSMATPHVSGIVALLKSLHPDWSPAAIKSALVTTALSNHPSSYPIFAEGSPQKPANPFDFGGGIANPNGAADPGLLYDMDTADYLHYLCVMGYSHSSISRLTGHPTQCHSVKQSILDINLPSITIPNLRKSITVTRTVTNVGAANSTYRAVIEAPFGTNVSIKPDVLVFRNQTKKMNFTVTVTATHQVNTGYYFGSLSWRDEVHVVKSPLSVRTEMLQPYISDNYIIEN >Manes.01G000558.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1294048:1298000:-1 gene:Manes.01G000558.v8.1 transcript:Manes.01G000558.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIWSFQVPCSSSVIASHPFSLRHLHSRKMIKSTLASALLVNLLFLYGQGILMTVAEATSKVHIVYLGEKQHDDPKLITNSHHEMLADVVGSKELASQLMVYSYKHGFSGFAAKLTESQAHKLAELPGVVRVIPNSLHKLQTTRSWDFLGLSSHSPVNALQSSSMGDGVIIGVFDTGVWPESKAFRDEGLGPIPSRWKGVCKSGKMFNAALHCSKKIIGARWYIDGFLAEYGKPLNASGDLEFLSSRDANGHGTHTASTAAGAFIPNVSYKGLGLGTVRGGAPRARLAIYKVCWNVHGGQCSSADMLKAFDEAIHDGVDVLSISIGSSIPLFSDTDERDGIATGSFHAVSKGITVVCGAANDGPSAQTVQNTAPWILTVAASTMDRSFPTPITLGNNKTFLGQATFRGKEIGFRGLVYPEAPGLDPNAAGVCQSLSLNVTLVAGKVVLCFTSMARRSAVASAAEAVQAAGGVGLIVAKNPSDVLYPCSGDFPCVEVDFEIGTRILFYIRSTRSPEVKLSDTKTIVGNPVLPKVAYFSSRGPNSIAPAILKPDITAPGVNILAATSPLDGFEDNGYAMHSGTSMATPHVSGIVALLKSLHPDWSPAAIKSALVTTALSNHPSSYPIFAEGSPQKPANPFDFGGGIANPNGAADPGLLYDMDTADYLHYLCVMGYSHSSISRLTGHPTQCHSVKQSILDINLPSITIPNLRKSITVTRTVTNVGAANSTYRAVIEAPFGTNVSIKPDVLVFRNQTKKMNFTVTVTATHQVNTGYYFGSLSWRDEVHVVKSPLSVRTEMLQPYISDNYIIEN >Manes.01G000558.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1294048:1298001:-1 gene:Manes.01G000558.v8.1 transcript:Manes.01G000558.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIWSFQVPCSSSVIASHPFSLRHLHSRKMIKSTLASALLVNLLFLYGQGILMTVAEATSKVHIVYLGEKQHDDPKLITNSHHEMLADVVGSKELASQLMVYSYKHGFSGFAAKLTESQAHKLAELPGVVRVIPNSLHKLQTTRSWDFLGLSSHSPVNALQSSSMGDGVIIGVFDTGVWPESKAFRDEGLGPIPSRWKGVCKSGKMFNAALHCSKKIIGARWYIDGFLAEYGKPLNASGDLEFLSSRDANGHGTHTASTAAGAFIPNVSYKGLGLGTVRGGAPRARLAIYKVCWNVHGGQCSSADMLKAFDEAIHDGVDVLSISIGSSIPLFSDTDERDGIATGSFHAVSKGITVVCGAANDGPSAQTVQNTAPWILTVAASTMDRSFPTPITLGNNKTFLGQATFRGKEIGFRGLVYPEAPGLDPNAAGVCQSLSLNVTLVAGKVVLCFTSMARRSAVASAAEAVQAAGGVGLIVAKNPSDVLYPCSGDFPCVEVDFEIGTRILFYIRSTRSPEVKLSDTKTIVGNPVLPKVAYFSSRGPNSIAPAILKPDITAPGVNILAATSPLDGFEDNGYAMHSGTSMATPHVSGIVALLKSLHPDWSPAAIKSALVTTALSNHPSSYPIFAEGSPQKPANPFDFGGGIANPNGAADPGLLYDMDTADYLHYLCVMGYSHSSISRLTGHPTQCHSVKQSILDINLPSITIPNLRKSITVTRTVTNVGAANSTYRAVIEAPFGTNVSIKPDVLVFRNQTKKMNFTVTVTATHQVNTGYYFGSLSWRDEVHVVKSPLSVRTEMLQPYISDNYIIEN >Manes.01G000558.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:1294048:1298000:-1 gene:Manes.01G000558.v8.1 transcript:Manes.01G000558.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIWSFQVPCSSSVIASHPFSLRHLHSRKMIKSTLASALLVNLLFLYGQGILMTVAEATSKVHIVYLGEKQHDDPKLITNSHHEMLADVVGSKELASQLMVYSYKHGFSGFAAKLTESQAHKLAELPGVVRVIPNSLHKLQTTRSWDFLGLSSHSPVNALQSSSMGDGVIIGVFDTGVWPESKAFRDEGLGPIPSRWKGVCKSGKMFNAALHCSKKIIGARWYIDGFLAEYGKPLNASGDLEFLSSRDANGHGTHTASTAAGAFIPNVSYKGLGLGTVRGGAPRARLAIYKVCWNVHGGQCSSADMLKAFDEAIHDGVDVLSISIGSSIPLFSDTDERDGIATGSFHAVSKGITVVCGAANDGPSAQTVQNTAPWILTVAASTMDRSFPTPITLGNNKTFLGQATFRGKEIGFRGLVYPEAPGLDPNAAGVCQSLSLNVTLVAGKVVLCFTSMARRSAVASAAEAVQAAGGVGLIVAKNPSDVLYPCSGDFPCVEVDFEIGTRILFYIRSTRSPEVKLSDTKTIVGNPVLPKVAYFSSRGPNSIAPAILKPDITAPGVNILAATSPLDGFEDNGYAMHSGTSMATPHVSGIVALLKSLHPDWSPAAIKSALVTTALSNHPSSYPIFAEGSPQKPANPFDFGGGIANPNGAADPGLLYDMDTADYLHYLCVMGYSHSSISRLTGHPTQCHSVKQSILDINLPSITIPNLRKSITVTRTVTNVGAANSTYRAVIEAPFGTNVSIKPDVLVFRNQTKKMNFTVTVTATHQVNTGYYFGSLSWRDEVHVVKSPLSVRTEMLQPYISDNYIIEN >Manes.03G092416.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:21774228:21808560:-1 gene:Manes.03G092416.v8.1 transcript:Manes.03G092416.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFISTPFLAASLAVFCLTASAFGATRLPDDEVEALRDIGNTLGKKDWNFNVDPCSGESGWADSIQVKGSENAVTCTCSFPNSSVCHVTSIVLKSQNLQGTLPEDLGRLPFLQIIDLTRNYLNGTIPPQWGSMQLAHISLLGNRLTGPIPKEIGNISTLQNLTVEFNQLSEELPPELGSLSRVERMHLTSNNFTGQLPATFGKLTSLKEFRIGDNKFTGPIPNFIQNWTTLKQLVIQGSGLSGPIPSGIEQLKNMIDLRISDLSGNETKFPQLSNMKSLDKLILRNCNIVGELPDSLGGITTLKTLDLSFNKLTGEIRTSLNGLAKTDYIYLTGNLFSGKVPGWILEKGENVDLSYNNFTIQSSCQQRTVNLFGSSSNGNVSTSVSCLRSDQCSKYFNSFHINCGGTEAKFNGISYEDDVDQAGPSTFYQGRTNWAFSSTGHFMDNGLPTDSYTGTNTTELPAGTSELYRDARLSPLSFTYYGFCMRNGNYTVRLHFAEIIFTDDNTYGSLGRRLFDIYIQGKLVKNDFNIEDEAGGVGKAIIKNFTASVTSHTLDIRFYWGGKGTTGIPQKGVYGPLISAISVTSDSTPGSRISAGTIVGIAIAVVVIIFLVIGVLWWKGCLRHKDEMDQDLRGLDIQTGSFALRQIKAATNNFDPSNKIGEGGFGPVYKGFLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYMENNSLARALFGHEECQLNLDWPTRHKIAIGIAKGLAFLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLAKLDEEENTHISTRVAGTLGYMAPEYAMRGYLTDKADVYSYGIVALEIVSGRSNSSYRQNPKEECFYLLDWALVLKERESLLELVDPKLGKKYDKEQMMTLINVALLCANVSPAVRPTMSSVVSMLEGKTSVEDLVLDASVSKSHDEMNIEAMRKHFQHSIEDTSSSMTKSKSLDGPWTASSSSAQDLYPLILDSDYWEKRN >Manes.05G208501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33606626:33626139:-1 gene:Manes.05G208501.v8.1 transcript:Manes.05G208501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGTTLEYTPTWVVAVVCTVFVIISLALERFLHYLGKFLKKKNQKPLFEALQKIKEELMILGFISLLLTVFQDKITSFCISEDLANKLLPCKKKPEATGSSHFQTFFSFPGGSVRRLLAEPSDAKSKCDKGKVPILSTTALHHLHIFIFVLACVHVAFCALTILFGSAKIRQWKHWEESVSNKEYDIEEAKSSKVTHVQDHDFIKNRFRGIGKNFYLMAWVRSFFKQFYASVNKSDYITLRWGFIMTHCRGNPKFNFHKYMMRALEADFKKVVGISWYLWIFVVVFLLLNVSGWHTYFWIAFVPFILLLAVGTKLEHIITQLAHEVAEKHVAVEGDLVVQPSDDHFWFHSPKIVLVLIHIILFQNSFELAFFFWIWVQYGFDSCIMGEVGYIIPRLIIGAFIQFVCSYSTLPLYAIVTQMGSSFKKAIFDEHIQEGLVGWAKQAKKNAVLRKAANGSSQVGHKEDSPGAVLLTRTGPERSTTEDRKAGEIVHEH >Manes.05G208501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:33606683:33626206:-1 gene:Manes.05G208501.v8.1 transcript:Manes.05G208501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGTTLEYTPTWVVAVVCTVFVIISLALERFLHYLGKFLKKKNQKPLFEALQKIKEELMILGFISLLLTVFQDKITSFCISEDLANKLLPCKKKPEATGSSHFQTFFSFPGGSVRRLLAEPSDAKSKCDKGKVPILSTTALHHLHIFIFVLACVHVAFCALTILFGSAKIRQWKHWEESVSNKEYDIEEAKSSKVTHVQDHDFIKNRFRGIGKNFYLMAWVRSFFKQFYASVNKSDYITLRWGFIMTHCRGNPKFNFHKYMMRALEADFKKVVGISWYLWIFVVVFLLLNVSGWHTYFWIAFVPFILLLAVGTKLEHIITQLAHEVAEKHVAVEGDLVVQPSDDHFWFHSPKIVLVLIHIILFQNSFELAFFFWIWVQYGFDSCIMGEVGYIIPRLIIGAFIQFVCSYSTLPLYAIVTQMGSSFKKAIFDEHIQEGLVGWAKQAKKNAVLRKAANGSSQVGHKEDSPGAVLLTRTGPERSTTEDRKAGEIVHEH >Manes.11G131700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29632559:29634842:-1 gene:Manes.11G131700.v8.1 transcript:Manes.11G131700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSLVFGCFLLALVAELYYLLCWKKRVIKREVKDDDYGSNYAKEFFHLICWRKPSSLQGNNTIGDKVRDPEAHGQEPDLELGTSKDLLLKAFGEESAESELMRLHNLSGPPRFLFTINEETKEDLESEDGKSRGDRSRRGSRTRSLSDLMLAVDTPFLTPLASPRLKSPPLNPLDSYHRHGFNPLFESSMEAEINRLRSSPPPKFKFLKDAEEKLFRKLMEEAEKKAAKNNSSVQDSVIKPPNSTIITEETEGSFLRFIVSKNNKERDLLHLPQYPSSSSQVLPLVSSPTALRPLDKKPTAH >Manes.11G131700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29631942:29634842:-1 gene:Manes.11G131700.v8.1 transcript:Manes.11G131700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSLVFGCFLLALVAELYYLLCWKKRVIKREVKDDDYGSNYAKEFFHLICWRKPSSLQGNNTIGDKVRDPEAHGQEPDLELGTSKDLLLKAFGEESAESELMRLHNLSGPPRFLFTINEETKEDLESEDGKSRGDRSRRGSRTRSLSDLMLAVDTPFLTPLASPRLKSPPLNPLDSYHRHGFNPLFESSMEAEINRLRSSPPPKFKFLKDAEEKLFRKLMEEAEKKAAKNNSSVQDSVIKPPNSTIITEETEGSFLRFIVSKNNKERDLLHLPQYPSSSSQVLPLVSSPTALRPLDKKPTAH >Manes.11G131700.8.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:29632638:29634842:-1 gene:Manes.11G131700.v8.1 transcript:Manes.11G131700.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSGLGIGLSLVFGCFLLALVAELYYLLCWKKRVIKREVKDDDYGSNYAKEFFHLICWRKPSSLQGNNTIGDKVRDPEAHGQEPDLELGTSKDLLLKAFGEESAESELMRLHNLSGPPRFLFTINEETKEDLESEDGKSRGDRSRRGSRTRSLSDLMLAVDTPFLTPLASPRLKSPPLNPLDSYHRHGFNPLFESSMEAEINRLRSSPPPKFKFLKDAEEKLFRKLMEEAEKKAAKNNSSVQDSVIKPPNSTIITEETEGSFLRFIVSKNNKERDLLHLPQYPSSSSQVLPLVSSPTALRPLDKKPTAH >Manes.05G060600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5096655:5101266:-1 gene:Manes.05G060600.v8.1 transcript:Manes.05G060600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLEDAENDARHYDDDRSDFGGFGAATGKVQIIQQINHDGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACTPDLRLRGHSTEGYGLSWSKFKQGHLLSGSDDAQICLWDINATPKNKALEAMQIFKVHEGVVEDVAWHMRHEYLFGSVGDDQCLLIWDLRTPTVTKPVQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDIRKISTALHTFDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDIPGDESTKGS >Manes.11G047666.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:5107137:5108347:1 gene:Manes.11G047666.v8.1 transcript:Manes.11G047666.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLYQPLDLCYQLEAVVACLYGSGLLPCFFSCLSGLLSSEAFSFPVIPLANRLVTKASSCLIYFSALFISSAREVGGFLLKEPKNSAEVVPFCMASTALPSSSSGICRASVLKRATYSLSDSPPLCLTVSK >Manes.10G128700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:29507452:29512273:-1 gene:Manes.10G128700.v8.1 transcript:Manes.10G128700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEGCVSVILSFTSPLDACRSSLVSSTFRSAMESDAVWERFLPTDYRDILYRVVTPLRFSSKKELFFHLCNPVLIDAGKKSFKLEKSSGKISYMLSARELSIPWNDVPTYWSWVPTIESRFLEVVVLRTTWWLEIQGKIRTQMLSPNTKYGAYLIMKVSDHAYGLDSIPSEISVEVGNHVSSSIAYLHGQHSEKQPTTCLFNTNRTQMVETRLKAKHGTIPREREDSWSEIELGEFFSGDRDEEVKMALMEVKGCQLKGGLVIDGIEVRPK >Manes.12G117600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:31893507:31895605:-1 gene:Manes.12G117600.v8.1 transcript:Manes.12G117600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGFPSFDDFVVSGSTQAASQAIDSNDQKAQQFKLVREERPSGAWRTTSTYMPAGTVDFSVPKTMLLSQAATLWTPADSMVDGDACQPGKMLNYPSLRPEVAPFPSKNYGLAQRTPLNSTGFLHYQSLPSAYPTSGCYGSGSLNVTGPSIVARGPFTLSQRMELEYQALIFKYLSANVPVPPALLLPLKIKPIDTYPYGSPCGFYRPASMGWSSTLDVSCMGSPADTEPGRCRRTDGKKWRCSRDVVGDQKYCERHLNRGRHRSRKHVEGQTVPSTTQQTANSEVVPAATSISALLISHGNATDKHVNGIIKQQSKISEAVTAVNLKSDESPFTVLKPVEEESSLEFGHISSESFISYSKRRRSVKSSDCEPFLSFNEQESEDEHPLRQFIEDWPEDQCSRSTISWPQELKSDWTQLSMSTPTQEKIAFSPLSSSREFDPCTNGFNE >Manes.02G004700.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:566078:568441:-1 gene:Manes.02G004700.v8.1 transcript:Manes.02G004700.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVVSLFYYMRPLYEGVGGNAMWAIMTVVVVFENTVGATISKSLNRVFGTCLAALLAFSVHWVACKAGEKFEPWIVGASVFLLASATTFSRFIPSVKQLFDYGAMIFILTFSLVAFSGYRVDELFELAHQRLATIIIGTSLCILVSMLIWPIWAGQELFALINGNMDKLANSLEGYVAEYFNPDDSNKNLLAYKCILNSKASEESMANFARWEPAHGCFSFKHPWKQYPKIGAAMRSCAYCIEALGSCIDSENQAPEFIKKHLSTACLRVSSTSSSVIRELAEIIKSMKRSSNVDFFIEEMNIAVEELQEALKSLSKLPNPPESKNTETAASVAIETVPLVEVMPAVTFASLMIEISARIKGIVKAVKELAKVAEFKVAVENKCKENQPNNGLVVQGLRKDEEAMKVLQRV >Manes.02G004700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:566039:568441:-1 gene:Manes.02G004700.v8.1 transcript:Manes.02G004700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEKKASGVEWRINVEDGSSKILVQEAGLAARAWLGLKGLVLKVGKFLQKAYDVGANDPRKAVHGLKVGMALTVVSLFYYMRPLYEGVGGNAMWAIMTVVVVFENTVGATISKSLNRVFGTCLAALLAFSVHWVACKAGEKFEPWIVGASVFLLASATTFSRFIPSVKQLFDYGAMIFILTFSLVAFSGYRVDELFELAHQRLATIIIGTSLCILVSMLIWPIWAGQELFALINGNMDKLANSLEGYVAEYFNPDDSNKNLLAYKCILNSKASEESMANFARWEPAHGCFSFKHPWKQYPKIGAAMRSCAYCIEALGSCIDSENQAPEFIKKHLSTACLRVSSTSSSVIRELAEIIKSMKRSSNVDFFIEEMNIAVEELQEALKSLSKLPNPPESKNTETAASVAIETVPLVEVMPAVTFASLMIEISARIKGIVKAVKELAKVAEFKVAVENKCKENQPNNGLVVQGLRKDEEAMKVLQRV >Manes.05G039500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:3217992:3222111:-1 gene:Manes.05G039500.v8.1 transcript:Manes.05G039500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDEIYANGGDGPSRNRPIISGEQLDIEAYAGLYTGRTKITRLLFISDHCDNPQMQLEALRMAYDEIKKGENTQLFREVVQKIDGRLGPNYGMDSAWCETVDRRAEQRKERLENELNAYRTNLIKESIRMGYNDFGDFYYAHGALGDAFKSYVRTRDYCTTSKHIIHMCMSAILVSIEMGQFTHVTSYVSKAEQTPEALDPTTIAKLRCAAGLAHLEAKKYKLAARKFLEVTPELGNSYTEVIAPQDVATYGGLCALASFERTELKNKVIDNLNFRNFLELVPEVRELIHDFYSSHYASCLDYLGNLKANLLLDVHLHDHVETLYDQIRNKALIQYTHPFVSVDLNMMANAFKTSVAGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLQTGSEFDRDVRAMLLRANLIKHEYNLRASRKL >Manes.05G078850.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6446326:6447152:-1 gene:Manes.05G078850.v8.1 transcript:Manes.05G078850.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLYNSESTAIIIILDGEGYFEMVGPRTKSSVQTGPTNKKLSSSLRHGSVIVSPAGHPIAMVASRNNDLIAVCFGTNAKGNIKYPLAGKNNIVNKMKSEAIELTFGVPAKEVEEIFEKETDYLLFPGPSQQEKEGRADA >Manes.06G119000.5.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:24961043:24965657:-1 gene:Manes.06G119000.v8.1 transcript:Manes.06G119000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSLGGGADDRVLATAQQIVKSLNTPKNVREDMLLIFSSFDNRLSNITDLIKEESNSQTRLDVAEKVIFRWDSSRHSAPAWDEAPEEAAEYLTAVDEILDLLDDLSIRSDNEIIDRAETAVQVAMSRLEEEFRHLLIRNTVPLDAERLYGSIRRVSLSFVSNEGEIDEDFESFGEVDNERTCFHERGGSLGDDVCVDLINSEAVEDLKVIAERMIRSGYEKECVQVYSNVRRDALDECLVILGVEKLSIEEVQKIDWKTLDEKMKKWIQAVKIGIRVLLAGEKRLCDYIFNGSDSAKEICFNETAKGCVMQLLNFGEAVSIARKSSEKLFRILDMYDALEVVFPDLQSMVTDELVCSEAKGVLAGLADAAKGTFAEFENAVRSETSKKPMLNGEIHPLTRYVMNYVKLVVDYSGTLNSLLENDEDESDDLQNDDVESTTTPIARRLLGLLSTLESNLEEKSRLYEDGAMQYIFLMNNILYIVQKVKDSELIKLVGDQWVRKRRGQIRQYATAYLRAAWTKALLCLKDEGIGGSSSNASKVALKDRFKNFNACFEEIYRIQTGWKVPDPQLREELRISISEKVIPAYRAFLGRFGSQLESGRHAGKYIKYTAEDLENYLPDLFEGTPLVLHHMRRKSS >Manes.03G048000.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4373574:4377922:1 gene:Manes.03G048000.v8.1 transcript:Manes.03G048000.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTNKQLEMGRVKRTRIWGGAFLCWIFIMFTTPKIPLSNHHHRFADMRNFLGVPNTLNVITTFPFLVVGVVGFILSLQGCFFSISLRGEVWGWMLFYGGTVGVAFGSAYYHLKPDDSRAMWDIVPMMVAYSSLFSSFIVERVGRRVGLSCLFGMLVVVSLSTAYARIFNDLRLCMMFQLIPCIAIPVMTFYYPPRYTHSIYWLWAAGVYLIAKFEAALDRKIYHANRYFISGHSLEHLCSAVIPVLFAIMLMYRNIRIQRYVLFIIY >Manes.03G048000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4373575:4377775:1 gene:Manes.03G048000.v8.1 transcript:Manes.03G048000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTNKQLEMGRVKRTRIWGGAFLCWIFIMFTTPKIPLSNHHHRFADMRNFLGVPNTLNVITTFPFLVVGVVGFILSLQGCFFSISLRGEVWGWMLFYGGTVGVAFGSAYYHLKPDDSRAMWDIVPMMVAYSSLFSSFIVERVGRRVGLSCLFGMLVVVSLSTAYARIFNDLRLCMMFQLIPCIAIPVMTFYYPPRYTHSIYWLWAAAFKLCGAGVYLIAKFEAALDRKIYHANRYFISGHSLEHLCSAVIPVLFAIMLMYRNIRIQRLSDMKERP >Manes.03G048000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4373575:4377921:1 gene:Manes.03G048000.v8.1 transcript:Manes.03G048000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTNKQLEMGRVKRTRIWGGAFLCWIFIMFTTPKIPLSNHHHRFADMRNFLGVPNTLNVITTFPFLVVGVVGFILSLQGCFFSISLRGEVWGWMLFYGGTVGVAFGSAYYHLKPDDSRAMWDIVPMMVAYSSLFSSFIVERVGRRVGLSCLFGMLVVVSLSTAYARIFNDLRLCMMFQLIPCIAIPVMTFYYPPRYTHSIYWLWAAGVYLIAKFEAALDRKIYHANRYFISGHSLEHLCSAVIPVLFAIMLMYRNIRIQRLSDMKERP >Manes.17G111500.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004403.2:31795970:31797568:1 gene:Manes.17G111500.v8.1 transcript:Manes.17G111500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILGYSEMLEAILGFLAILTFALWVWWWNANEILINWPVVGMLPQLSWNSSHLHDFITKVLQQSQGTFLLKGHWFGNKDYLLTSDSMNVHHLTSKNFANYHKGEEFKQIFEPMGDGIFISDSDNWRTQRRILHYILRSKSFVFAARSTMEQKILKGLFPVLENASMLSTEVDLQVILQQFMFDNICLLVLGFDPDSLSTELPQVPSVAKAYDCLAEGAIYRHLIPGCIWKLQRWLQIGNEKKFTEAWNIFDDFAEQCITSKRQQLSQSRKDQKEQEDFDLLTYFLVNDDDCADDQGGEDVTTDTKSNKFLRDTAFNLLAAGRDTIAAGLVWLFWLVVTHPSVESKILEEMRANLSQGTDGKWKIFSIEEVNKLLYLHAVVCETLRLYPPVPFEHRASAGPDILPSGHKIPGNMKIIYSSYSMGRMEDIWGKDFLEFKPERWISERGEIKHVPSYKFTAFHAGPRTCLGKDLAFLQMKIVASAVLWNFSLKVVENQPISPRVSIVLSMKKGFKVKVFERLASLNGGNEGNHM >Manes.02G152100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11652599:11657062:1 gene:Manes.02G152100.v8.1 transcript:Manes.02G152100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLCCFGASYSRLVGGRSSSSAGKGKSHEGSIKYGFSLIKGKANHPMEDYHVAKFVQIQRHELGLFAIYDGHLGDGVPAYLQKHLFSNILKEEEFWVDPNRSISKAYERTDQAILSHGSDLGRGGSTAVTAILINGQRLWVANVGDSRAVLSRGGQAIQMSTDHEPNTERGSIEHRGGFVSNMPGDVPRVNGQLAVSRAFGDRNLKSHLRSDPDIQDTVIDNNVDILILASDGLWKVMSNQEAVDIARRIKDPLKAAKQLTAEALKRDSKDDISCVVVRFRR >Manes.01G219000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38779041:38783299:1 gene:Manes.01G219000.v8.1 transcript:Manes.01G219000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELIFRGHDEAQHITDSYSPKPPKRWLSISRPIRYMLREQRLVFVLVGIVIATLFFTVRPSSSRAPYVPHHKHKPISDSLDHFSRVSVPARYKLYEPHGTGFGSMNTGGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENVMHHFKNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNARIEFRPNTEDDPHKRKPDITKAKDLLGWEPKISLRKGLPLMVSDFRQRIFGDHKEDTTTTRSTVSTT >Manes.06G118900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24953184:24957838:1 gene:Manes.06G118900.v8.1 transcript:Manes.06G118900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHMMRESPVEETLDRSPRSPEAKLGMKVEDLWDVQEPQLSPTEKLNACFESIPVSAFPSANSSQVVEIKSDTSLAEAVKILADNKILSAPVVDVDAPADASWMDRYLGVVEFAGIAVWILHQSEPSSPRSQRSGASLAVAVNGLTNAAGLGTLGPEDASATSGNFFEALTSSEFYKSTKVRDISGSFRWAPFLALQRSNSFLTMLLLLSKYKMKSVPVVDLGDGKIDNIITQSAVIHMLAECAGLQWFESWGTKKLSEIGLPSMSAVHICKVHEEEPVLQAFKLMRKKRIGAIPVVGSDGQKPVGNISLRDVQFLLTAPEIYHDYRSITAKNFLTAVRSYLKKHHETSPMVNGLITCTKDHTIKELILQLDSKKIHRVYVVDDAGNLEGVITLRDIISRLVHEPPGYFGDFFDGVLPLPQNSRV >Manes.06G118900.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:24953160:24957838:1 gene:Manes.06G118900.v8.1 transcript:Manes.06G118900.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHMMRESPVEETLDRSPRSPEAKLGMKVEDLWDVQEPQLSPTEKLNACFESIPVSAFPSANSSQVVEIKSDTSLAEAVKILADNKILSAPVVDVDAPADASWMDRYLGVVEFAGIAVWILHQSEPSSPRSQRSGASLAVAVNGLTNAAGLGTLGPEDASATSGNFFEALTSSEFYKSTKVRDISGSFRWAPFLALQRSNSFLTMLLLLSKYKMKSVPVVDLGDGKIDNIITQSAVIHMLAECAGLQWFESWGTKKLSEIGLPSMSAVHICKVHEEEPVLQAFKLMRKKRIGAIPVVGSDGQKPVGNISLRDVQFLLTAPEIYHDYRSITAKNFLTAVRSYLKKHHETSPMVNGLITCTKDHTIKELILQLDSKKIHRVYVVDDAGNLEGVITLRDIISRLVHEPPGYFGDFFDGVLPLPQNSRV >Manes.06G021432.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3959126:3992275:1 gene:Manes.06G021432.v8.1 transcript:Manes.06G021432.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDLDPAFQGAGQKAGLEIWRIENFCPVPVPKSSYGKFFMGDSYVVLKTTSLKSGALRHDIHYWLGKDTSQDEAGAAAIRTVELDAALGGRAVQYREVQGHETERFLSYFKPCIIPQEGGIASGFKHADAKEHQTRLFVCKGKHVVHVKEVLFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKITIDEDKTADSHPTKLFCLEKGKSEPVDADPLRRELLDTNKCYILDCGLEVFVWMGRNTSLDERKAASGAAEEIVHVADRPNSHIIRVIEGFETVMFRSKFESWPQTANLAVSEDGRSKVAALLRRQGVNVKGLMKAAPAKEEPQPYIDVTGNLQVWRVDGQEKVLLQASDQSKFYSGDCYIFQYSYQGEDKEEYLIGTWFGKKSVEEERASAISMASKMVESLKFLPSQARFYEGNETIQFFTILQSFIVFKGGLSTGYKNYIVEKELPDDTYKEGSIALFRVQGTGPDNMQAIQVEPIASSLNSSYCYILATESTVFTWSGNLTTSDDQELLERQLDLIKPNVQSKPQKEGSESEQFWDLLGGKTEYPSQKIGREAERDPHLFSCTFSKGNLKVAEIYNFTQDDLMTEDIFVLDCHSEIFVWVGQQVDSKSKMDALTIGEKFLENDFLLEKLSREAPIYIVMEGSEPPFFTRFFEWDSAKSAMHGSSFQRKLAVVKSGSAPILDKPKRRTPVSYGGRSSVPDKSQRSRSMSFSPERVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKIYPKSGSPDSAKMASKSTAIAALTATFEKPPPARQVIMPRSVKVPKSTPEKSTPELNNKENSMSSRIESLTIKEDVKEGEAGDEEGLPIYPYERLKTNSTDPVTEIDVTKRETYLSSAEFKEKFGMAKDAFYKMPKWKQNKLKMALQLF >Manes.06G021432.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:3959126:3992275:1 gene:Manes.06G021432.v8.1 transcript:Manes.06G021432.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMRDLDPAFQGAGQKAGLEIWRIENFCPVPVPKSSYGKFFMGDSYVVLKTTSLKSGALRHDIHYWLGKDTSQDEAGAAAIRTVELDAALGGRAVQYREVQGHETERFLSYFKPCIIPQEGGIASGFKHADAKEHQTRLFVCKGKHVVHVKEVLFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGFFGGFAPLPRKITIDEDKTADSHPTKLFCLEKGKSEPVDADPLRRELLDTNKCYILDCGLEVFVWMGRNTSLDERKAASGAAEEIVHVADRPNSHIIRVIEGFETVMFRSKFESWPQTANLAVSEDGRSKVAALLRRQGVNVKGLMKAAPAKEEPQPYIDVTGNLQVWRVDGQEKVLLQASDQSKFYSGDCYIFQYSYQGEDKEEYLIGTWFGKKSVEEERASAISMASKMVESLKFLPSQARFYEGNETIQFFTILQSFIVFKGGLSTGYKNYIVEKELPDDTYKEGSIALFRVQGTGPDNMQAIQVEPIASSLNSSYCYILATESTVFTWSGNLTTSDDQELLERQLDLIKPNVQSKPQKEGSESEQFWDLLGGKTEYPSQKIGREAERDPHLFSCTFSKGNLKVAEIYNFTQDDLMTEDIFVLDCHSEIFVWVGQQVDSKSKMDALTIGEKFLENDFLLEKLSREAPIYIVMEGSEPPFFTRFFEWDSAKSAMHGSSFQRKLAVVKSGSAPILDKPKRRTPVSYGGRSSVPDKSQRSRSMSFSPERVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKIYPKSGSPDSAKMASKSTAIAALTATFEKPPPARQVIMPRSVKVPKSTPEKSTPELNNKENSMSSRIESLTIKEDVKEGEAGDEEGLPIYPYERLKTNSTDPVTEIDVTKRETYLSSAEFKEKFGMAKDAFYKMPKWKQNKLKMALQLF >Manes.10G052301.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6049186:6061926:1 gene:Manes.10G052301.v8.1 transcript:Manes.10G052301.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRETSTPPQAQAQAPAAAIAPEGTMKTTALAPGFRFHPTDEELVSYYLKRKVSNKPVRFNAIAEVDIYKNEPWDLADKSWLKSRDQEWYFFSALDRKYGNGARMNRATSKGYWKATGKDREVRRNSQLIAMKKTLVFHSGRAPGGQRTNWVMHEYRLVDEELEKIGAMQTDSYVLCRVFHKNNIGPPNGNRYAPFIEEEWDDGVTALVPGEDAVDDVPVHDTCTEINRVEQDTHSFNRDPLNINELPKDSENINEFQKDDLLLCKTERVDDYPPCVLNTEASFPLLQYKRRKHNGDTVSNRSNASENSTRTTQDPCSSTTSTAATTTTDTTMTTAAATTTAISALLEFSLMESIEPKENPHVPPPRLDTTSLDSSVPPSCMKFINDLQSEIHKITVERETLKLEIMSAQAMINILQSRIDFLNKENEDLKRSIADK >Manes.10G052301.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6049186:6061926:1 gene:Manes.10G052301.v8.1 transcript:Manes.10G052301.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTALAPGFRFHPTDEELVSYYLKRKVSNKPVRFNAIAEVDIYKNEPWDLADKSWLKSRDQEWYFFSALDRKYGNGARMNRATSKGYWKATGKDREVRRNSQLIAMKKTLVFHSGRAPGGQRTNWVMHEYRLVDEELEKIGAMQTDSYVLCRVFHKNNIGPPNGNRYAPFIEEEWDDGVTALVPGEDAVDDVPVHDTCTEINRVEQDTHSFNRDPLNINELPKDSENINEFQKDDLLLCKTERVDDYPPCVLNTEASFPLLQYKRRKHNGDTVSNRSNASENSTRTTQDPCSSTTSTAATTTTDTTMTTAAATTTAISALLEFSLMESIEPKENPHVPPPRLDTTSLDSSVPPSCMKFINDLQSEIHKITVERETLKLEIMSAQAMINILQSRIDFLNKENEDLKRSIADK >Manes.10G052301.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:6050094:6061926:1 gene:Manes.10G052301.v8.1 transcript:Manes.10G052301.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCKTYKSWLKSRDQEWYFFSALDRKYGNGARMNRATSKGYWKATGKDREVRRNSQLIAMKKTLVFHSGRAPGGQRTNWVMHEYRLVDEELEKIGAMQTDSYVLCRVFHKNNIGPPNGNRYAPFIEEEWDDGVTALVPGEDAVDDVPVHDTCTEINRVEQDTHSFNRDPLNINELPKDSENINEFQKDDLLLCKTERVDDYPPCVLNTEASFPLLQYKRRKHNGDTVSNRSNASENSTRTTQDPCSSTTSTAATTTTDTTMTTAAATTTAISALLEFSLMESIEPKENPHVPPPRLDTTSLDSSVPPSCMKFINDLQSEIHKITVERETLKLEIMSAQAMINILQSRIDFLNKENEDLKRSIADK >Manes.14G162800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:25107985:25110477:-1 gene:Manes.14G162800.v8.1 transcript:Manes.14G162800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSPAHSSLSTTAIVGGGSGSNAAFEDFHFPSDLISIQDRKEEAMLVLKADLMAALNKEVKSLDEDNWKFEGPRSRIHLISRPGGFLNKMEITKNKNLAPK >Manes.10G048900.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5386039:5389136:1 gene:Manes.10G048900.v8.1 transcript:Manes.10G048900.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDQDPDVVRWGLHTLVDVCALPSSGPSNSITQYGVDECQVGYVVEGYNEAGNANNVENDAVIACALQEELSRVAAAEASGLHNPGQESILVQDWLASPGRCNGSEHQNDDHRKNKIDADERSKKEADDFSKYRSEECDTIPNSFSSPNSGDESFHMEDVSHSLEIADESTLDGEVGKRLNQMVPIPHVPKINGELPSEDEEISDHQRLLDRLKVYDLVESKVQGDGNCQFRALSDQLYRSAEHHKVVRERIIFQLKSYPQKYEGYVPMAYSDYLKKMSRTGEWGDHVTLQAAADTV >Manes.10G048900.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5384922:5394254:1 gene:Manes.10G048900.v8.1 transcript:Manes.10G048900.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDQDPDVVRWGLHTLVDVCALPSSGPSNSITQYGVDECQVGYVVEGYNEAGNANNVENDAVIACALQEELSRVAAAEASGLHNPGQESILVQDWLASPGRCNGSEHQNDDHRKNKIDADERSKKEADDFSKYRSEECDTIPNSFSSPNSGDESFHMEDVSHSLEIADESTLDGEVGKRLNQMVPIPHVPKINGELPSEDEEISDHQRLLDRLKVYDLVESKVQGDGNCQFRALSDQLYRSAEHHKVVRERIIFQLKSYPQKYEGYVPMAYSDYLKKMSRTGEWGDHVTLQAAADTYGVKIFVVTSFKDTCYIEILPQIKMSERGEFLKLFAFCFEAVVAQSLMK >Manes.10G048900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5385075:5390251:1 gene:Manes.10G048900.v8.1 transcript:Manes.10G048900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDQDPDVVRWGLHTLVDVCALPSSGPSNSITQYGVDECQVGYVVEGYNEAGNANNVENDAVIACALQEELSRVAAAEASGLHNPGQESILVQDWLASPGRCNGSEHQNDDHRKNKIDADERSKKEADDFSKYRSEECDTIPNSFSSPNSGDESFHMEDVSHSLEIADESTLDGEVGKRLNQMVPIPHVPKINGELPSEDEEISDHQRLLDRLKVYDLVESKVQGDGNCQFRALSDQLYRSAEHHKVVRERIIFQLKSYPQKYEGYVPMAYSDYLKKMSRTGEWGDHVTLQAAADTAV >Manes.10G048900.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5385075:5394114:1 gene:Manes.10G048900.v8.1 transcript:Manes.10G048900.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDQDPDVVRWGLHTLVDVCALPSSGPSNSITQYGVDECQVGYVVEGYNEAGNANNVENDAVIACALQEELSRVAAAEASGLHNPGQESILVQDWLASPGRCNGSEHQNDDHRKNKIDADERSKKEADDFSKYRSEECDTIPNSFSSPNSGDESFHMEDVSHSLEIADESTLDGEVGKRLNQMVPIPHVPKINGELPSEDEEISDHQRLLDRLKVYDLVESKVQGDGNCQFQISQFRALSDQLYRSAEHHKVVRERIIFQLKSYPQKYEGYVPMAYSDYLKKMSRTGEWGDHVTLQAAADTLFS >Manes.10G048900.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5384921:5394534:1 gene:Manes.10G048900.v8.1 transcript:Manes.10G048900.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDQDPDVVRWGLHTLVDVCALPSSGPSNSITQYGVDECQVGYVVEGYNEAGNANNVENDAVIACALQEELSRVAAAEASGLHNPGQESILVQDWLASPGRCNGSEHQNDDHRKNKIDADERSKKEADDFSKYRSEECDTIPNSFSSPNSGDESFHMEDVSHSLEIADESTLDGEVGKRLNQMVPIPHVPKINGELPSEDEEISDHQRLLDRLKVYDLVESKVQGDGNCQFRALSDQLYRSAEHHKVVRERIIFQLKSYPQKYEGYVPMAYSDYLKKMSRTGEWGDHVTLQAAADTYGVKIFVVTSFKDTCYIEILPQIKMSERVIFLSFWAEVHYNSIYPEGELPHYETKKKKKWWIL >Manes.10G048900.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5385075:5394114:1 gene:Manes.10G048900.v8.1 transcript:Manes.10G048900.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDQDPDVVRWGLHTLVDVCALPSSGPSNSITQYGVDECQVGYVVEGYNEAGNANNVENDAVIACALQEELSRVAAAEASGLHNPGQESILVQDWLASPGRCNGSEHQNDDHRKNKIDADERSKKEADDFSKYRSEECDTIPNSFSSPNSGDESFHMEDVSHSLEIADESTLDGEVGKRLNQMVPIPHVPKINGELPSEDEEISDHQRLLDRLKVYDLVESKVQGDGNCQFRALSDQLYRSAEHHKVVRERIIFQLKSYPQKYEGYVPMAYSDYLKKMSRTGEWGDHVTLQAAADTYGVKIFVVTSFKDTCYIEILPQIKMSERGEFLKLFAFCFEAVVAQSLMK >Manes.10G048900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5384922:5394254:1 gene:Manes.10G048900.v8.1 transcript:Manes.10G048900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDQDPDVVRWGLHTLVDVCALPSSGPSNSITQYGVDECQVGYVVEGYNEAGNANNVENDAVIACALQEELSRVAAAEASGLHNPGQESILVQDWLASPGRCNGSEHQNDDHRKNKIDADERSKKEADDFSKYRSEECDTIPNSFSSPNSGDESFHMEDVSHSLEIADESTLDGEVGKRLNQMVPIPHVPKINGELPSEDEEISDHQRLLDRLKVYDLVESKVQGDGNCQFRALSDQLYRSAEHHKVVRERIIFQLKSYPQKYEGYVPMAYSDYLKKMSRTGEWGDHVTLQAAADTLFS >Manes.10G048900.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004396.2:5385075:5394114:1 gene:Manes.10G048900.v8.1 transcript:Manes.10G048900.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYDQDPDVVRWGLHTLVDVCALPSSGPSNSITQYGVDECQVGYVVEGYNEAGNANNVENDAVIACALQEELSRVAAAEASGLHNPGQESILVQDWLASPGRCNGSEHQNDDHRKNKIDADERSKKEADDFSKYRSEECDTIPNSFSSPNSGDESFHMEDVSHSLEIADESTLDGEVGKRLNQMVPIPHVPKINGELPSEDEEISDHQRLLDRLKVYDLVESKVQGDGNCQFQISQFRALSDQLYRSAEHHKVVRERIIFQLKSYPQKYEGYVPMAYSDYLKKMSRTGEWGDHVTLQAAADTYGVKIFVVTSFKDTCYIEILPQIKMSERVIFLSFWAEVHYNSIYPEGELPHYETKKKKKWWIL >Manes.16G138600.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34147911:34158423:-1 gene:Manes.16G138600.v8.1 transcript:Manes.16G138600.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSSQAQGLAAGAPPSVTVDAQSQLQSQQERGVGEGVEEGTTKEVEELLEKAQKLIDKITSSPDNPNPTVLHALSSLLEAQESLYMEKSGHSPFNNNRASHSIGRLGNLVRAAASRLLMSCSLTWIYPHVFEEPVIENIKSWVMDESVKFSGEDRYWKHDLGKKEASDSEMLKTYSTGLLAVCLAGGGQIVEDVLTSGLSGKLMHFLRIRVLGETSTNHKDSTYLMESKNVSSASCVRGREEGRGRVRQVLEATHVDNSKLTDERALDDPISVEPLDRLPDGFGMVDSDGRDKLQGRDPRDGKTKFGDFDESGRDDSLRCRPSRGWPRPRGKGRANESGCENEQVLTSLGSGSRSGQGRSSRDRNLMKNFDLRREQDARKCPGTINPDGLPLEREDSDDCFQECRIGTKDISDLVKKAVRAAEAEARAANAPTEAIKAAGDAAAEVVKSAALEEFRSSNNEEAAVLAASRAASTVIDAANAIARDRNSNINDDSVPVGGTETEALDDAEEYFIPDSESLAQIREKYCIQCLEILGEYVEVLGPVLLEKGVDVCLALLHRSSKHKEASNGAALLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVEQTFFGLSSCLFTIGSLQGIMERVCALPSDVVNQVVELALQLIDCPQDQARKNAALFFGAAFVFRAIVDAFDGQDGLQKLLGLLNDAASVRSGVNSGALNLSNSATLRNDRSPTDVLTSSEKQIAYHTCVALRQYFRAHLLLLLDSIRPNKNNRSAARNIPSVRAAYKPLDISNEAMDTVFLQLQKDRKLGSAFVKTRFPAVDKFLGFNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVNDSRKMIVNSTLSNNRVGIAVILDAANISGNYVDPEIIQPALNVLINLVCPPPSISNKPPVLAQGLQTVSGQLANPTALEPRDRNAERSQGELRERNGESSAGDRGSAAVSAARSISSTSQTPVPTATSGLVGDRRIFLGTGAGCVGLAAQMEQGYRQAREAVRANNGIKVLLHLLQPRIYSPPAALDCIRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGSQTSGTDQGRWQELTQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPISYHSRELLLLIHEHLQASGLAATAATLLKEAQLTPLPSLAAASSLMLQASTQETPAVQLQWPSGRTPYGFLCNKLKASVHEDDPSLRCDSVLYSKKKPIVFSPTFGLQSRNHCVSHDSSQSSSKKALGGLKQFATPGNLLEAPSESLPKNNPDTESLCKTPILLPMKRKLSDLKDIGFASSGKRVSTSEHGLRSPVCLTPNAVRRSSLFGDAIGFSTPSSNLRDYGRSTPSSLVDYGDDNQYSNSTQLGLANDHQPSNSERLTLDCIVVQYLKHQHRQCPAPITTLPPLSILHPHVCPEPRRSLDAPSNVTARLGVREFRSIYGGVHGSRRDRQFVYSRFRLLRTCRDDADALLTCITFLGDSSHIAVGSHNGELKIFDSNSNGVLESCTSHQSPLTLVQSYLSGETQLLLSSSSQDVRLWDASSISGGPMHSLEGCKAARFSNSGSLFATLTTEAARREILLYDVQTCHVESTLSDTISTSTGRGHVYSLIHFSPSDTMLLWNGVLWDRRQSGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTAITFNACGDVIYAILRRNLDDVMSAVHTRRVKHPLFAAFRTVDAINYSEIATIPVDRCVLDFASEATDSFVGLITMDDQDEMYSSARIYEIGRRRPTDDDSDPDDAETEEDEEEDDEDDVDVDPMLGPDLDGDGDSDADDISNEDDDDDSVSELDDEDDGDFIMDDVDFDGGAGILEIVAEGDEDDDDDSQVVESYSSSEENDFVDNGFNY >Manes.16G138600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34147911:34158423:-1 gene:Manes.16G138600.v8.1 transcript:Manes.16G138600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSSQAQGLAAGAPPSVTVDAQSQLQSQQERGVGEGVEEGTTKEVEELLEKAQKLIDKITSSPDNPNPTVLHALSSLLEAQESLYMEKSGHSPFNNNRASHSIGRLGNLVRDNDEFFELISSKFLSETRFSTSIQAAASRLLMSCSLTWIYPHVFEEPVIENIKSWVMDESVKFSGEDRYWKHDLGKKEASDSEMLKTYSTGLLAVCLAGGGQIVEDVLTSGLSGKLMHFLRIRVLGETSTNHKDSTYLMESKNVSSASCVRGREEGRGRVRQVLEATHVDNSKLTDERALDDPISVEPLDRLPDGFGMVDSDGRDKLQGRDPRDGKTKFGDFDESGRDDSLRCRPSRGWPRPRGKGRANESGCENEQVLTSLGSGSRSGQGRSSRDRNLMKNFDLRREQDARKCPGTINPDGLPLEREDSDDCFQECRIGTKDISDLVKKAVRAAEAEARAANAPTEAIKAAGDAAAEVVKSAALEEFRSSNNEEAAVLAASRAASTVIDAANAIARDRNSNINDDSVPVGGTETEALDDAEEYFIPDSESLAQIREKYCIQCLEILGEYVEVLGPVLLEKGVDVCLALLHRSSKHKEASNGAALLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVEQTFFGLSSCLFTIGSLQGIMERVCALPSDVVNQVVELALQLIDCPQDQARKNAALFFGAAFVFRAIVDAFDGQDGLQKLLGLLNDAASVRSGVNSGALNLSNSATLRNDRSPTDVLTSSEKQIAYHTCVALRQYFRAHLLLLLDSIRPNKNNRSAARNIPSVRAAYKPLDISNEAMDTVFLQLQKDRKLGSAFVKTRFPAVDKFLGFNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVNDSRKMIVNSTLSNNRVGIAVILDAANISGNYVDPEIIQPALNVLINLVCPPPSISNKPPVLAQGLQTVSGQLANPTALEPRDRNAERSQGELRERNGESSAGDRGSAAVSAARSISSTSQTPVPTATSGLVGDRRIFLGTGAGCVGLAAQMEQGYRQAREAVRANNGIKVLLHLLQPRIYSPPAALDCIRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGSQTSGTDQGRWQELTQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPISYHSRELLLLIHEHLQASGLAATAATLLKEAQLTPLPSLAAASSLMLQASTQETPAVQLQWPSGRTPYGFLCNKLKASVHEDDPSLRCDSVLYSKKKPIVFSPTFGLQSRNHCVSHDSSQSSSKKALGGLKQFATPGNLLEAPSESLPKNNPDTESLCKTPILLPMKRKLSDLKDIGFASSGKRVSTSEHGLRSPVCLTPNAVRRSSLFGDAIGFSTPSSNLRDYGRSTPSSLVDYGDDNQYSNSTQLGLANDHQPSNSERLTLDCIVVQYLKHQHRQCPAPITTLPPLSILHPHVCPEPRRSLDAPSNVTARLGVREFRSIYGGVHGSRRDRQFVYSRFRLLRTCRDDADALLTCITFLGDSSHIAVGSHNGELKIFDSNSNGVLESCTSHQSPLTLVQSYLSGETQLLLSSSSQDVRLWDASSISGGPMHSLEGCKAARFSNSGSLFATLTTEAARREILLYDVQTCHVESTLSDTISTSTGRGHVYSLIHFSPSDTMLLWNGVLWDRRQSGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTAITFNACGDVIYAILRRNLDDVMSAVHTRRVKHPLFAAFRTVDAINYSEIATIPVDRCVLDFASEATDSFVGLITMDDQDEMYSSARIYEIGRRRPTDDDSDPDDAETEEDEEEDDEDDVDVDPMLGPDLDGDGDSDADDISNEDDDDDSVSELDDEDDGDFIMDDVDFDGGAGILEIVAEGDEDDDDDSQVVESYSSSEENDFVDNGFNY >Manes.16G138600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34147911:34158423:-1 gene:Manes.16G138600.v8.1 transcript:Manes.16G138600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSSQAQGLAAGAPPSVTVDAQSQLQSQQERGVGEGVEEGTTKEVEELLEKAQKLIDKITSSPDNPNPTVLHALSSLLEAQESLYMEKSGHSPFNNNRASHSIGRLGNLVRDNDEFFELISSKFLSETRFSTSIQAAASRLLMSCSLTWIYPHVFEEPVIENIKSWVMDESVKFSGEDRYWKHDLGKKEASDSEMLKTYSTGLLAVCLAGGGQIVEDVLTSGLSGKLMHFLRIRVLGETSTNHKDSTYLMESKNVSSASCVRGREEGRGRVRQVLEATHVDNSKLTDERALDDPISVEPLDRLPDGFGMVDSDGRDKLQGRDPRDGKTKFGDFDESGRDDSLRCRPSRGWPRPRGKGRANESGCENEQVLTSLGSGSRSGQGRSSRDRNLMKNFDLRREQDARKCPGTINPDGLPLEREDSDDCFQECRIGTKDISDLVKKAVRAAEAEARAANAPTEAIKAAGDAAAEVVKSAALEEFRSSNNEEAAVLAASRAASTVIDAANAIARNSNINDDSVPVGGTETEALDDAEEYFIPDSESLAQIREKYCIQCLEILGEYVEVLGPVLLEKGVDVCLALLHRSSKHKEASNGAALLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVEQTFFGLSSCLFTIGSLQGIMERVCALPSDVVNQVVELALQLIDCPQDQARKNAALFFGAAFVFRAIVDAFDGQDGLQKLLGLLNDAASVRSGVNSGALNLSNSATLRNDRSPTDVLTSSEKQIAYHTCVALRQYFRAHLLLLLDSIRPNKNNRSAARNIPSVRAAYKPLDISNEAMDTVFLQLQKDRKLGSAFVKTRFPAVDKFLGFNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVNDSRKMIVNSTLSNNRVGIAVILDAANISGNYVDPEIIQPALNVLINLVCPPPSISNKPPVLAQGLQTVSGQLANPTALEPRDRNAERSQGELRERNGESSAGDRGSAAVSAARSISSTSQTPVPTATSGLVGDRRIFLGTGAGCVGLAAQMEQGYRQAREAVRANNGIKVLLHLLQPRIYSPPAALDCIRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGSQTSGTDQGRWQELTQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPISYHSRELLLLIHEHLQASGLAATAATLLKEAQLTPLPSLAAASSLMLQASTQETPAVQLQWPSGRTPYGFLCNKLKASVHEDDPSLRCDSVLYSKKKPIVFSPTFGLQSRNHCVSHDSSQSSSKKALGGLKQFATPGNLLEAPSESLPKNNPDTESLCKTPILLPMKRKLSDLKDIGFASSGKRVSTSEHGLRSPVCLTPNAVRRSSLFGDAIGFSTPSSNLRDYGRSTPSSLVDYGDDNQYSNSTQLGLANDHQPSNSERLTLDCIVVQYLKHQHRQCPAPITTLPPLSILHPHVCPEPRRSLDAPSNVTARLGVREFRSIYGGVHGSRRDRQFVYSRFRLLRTCRDDADALLTCITFLGDSSHIAVGSHNGELKIFDSNSNGVLESCTSHQSPLTLVQSYLSGETQLLLSSSSQDVRLWDASSISGGPMHSLEGCKAARFSNSGSLFATLTTEAARREILLYDVQTCHVESTLSDTISTSTGRGHVYSLIHFSPSDTMLLWNGVLWDRRQSGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTAITFNACGDVIYAILRRNLDDVMSAVHTRRVKHPLFAAFRTVDAINYSEIATIPVDRCVLDFASEATDSFVGLITMDDQDEMYSSARIYEIGRRRPTDDDSDPDDAETEEDEEEDDEDDVDVDPMLGPDLDGDGDSDADDISNEDDDDDSVSELDDEDDGDFIMDDVDFDGGAGILEIVAEGDEDDDDDSQVVESYSSSEENDFVDNGFNY >Manes.16G138600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34147911:34158423:-1 gene:Manes.16G138600.v8.1 transcript:Manes.16G138600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSSQAQGLAAGAPPSVTVDAQSQLQSQQERGVGEGVEEGTTKEVEELLEKAQKLIDKITSSPDNPNPTVLHALSSLLEAQESLYMEKSGHSPFNNNRASHSIGRLGNLVRAAASRLLMSCSLTWIYPHVFEEPVIENIKSWVMDESVKFSGEDRYWKHDLGKKEASDSEMLKTYSTGLLAVCLAGGGQIVEDVLTSGLSGKLMHFLRIRVLGETSTNHKDSTYLMESKNVSSASCVRGREEGRGRVRQVLEATHVDNSKLTDERALDDPISVEPLDRLPDGFGMVDSDGRDKLQGRDPRDGKTKFGDFDESGRDDSLRCRPSRGWPRPRGKGRANESGCENEQVLTSLGSGSRSGQGRSSRDRNLMKNFDLRREQDARKCPGTINPDGLPLEREDSDDCFQECRIGTKDISDLVKKAVRAAEAEARAANAPTEAIKAAGDAAAEVVKSAALEEFRSSNNEEAAVLAASRAASTVIDAANAIARNSNINDDSVPVGGTETEALDDAEEYFIPDSESLAQIREKYCIQCLEILGEYVEVLGPVLLEKGVDVCLALLHRSSKHKEASNGAALLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVEQTFFGLSSCLFTIGSLQGIMERVCALPSDVVNQVVELALQLIDCPQDQARKNAALFFGAAFVFRAIVDAFDGQDGLQKLLGLLNDAASVRSGVNSGALNLSNSATLRNDRSPTDVLTSSEKQIAYHTCVALRQYFRAHLLLLLDSIRPNKNNRSAARNIPSVRAAYKPLDISNEAMDTVFLQLQKDRKLGSAFVKTRFPAVDKFLGFNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVNDSRKMIVNSTLSNNRVGIAVILDAANISGNYVDPEIIQPALNVLINLVCPPPSISNKPPVLAQGLQTVSGQLANPTALEPRDRNAERSQGELRERNGESSAGDRGSAAVSAARSISSTSQTPVPTATSGLVGDRRIFLGTGAGCVGLAAQMEQGYRQAREAVRANNGIKVLLHLLQPRIYSPPAALDCIRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGSQTSGTDQGRWQELTQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPISYHSRELLLLIHEHLQASGLAATAATLLKEAQLTPLPSLAAASSLMLQASTQETPAVQLQWPSGRTPYGFLCNKLKASVHEDDPSLRCDSVLYSKKKPIVFSPTFGLQSRNHCVSHDSSQSSSKKALGGLKQFATPGNLLEAPSESLPKNNPDTESLCKTPILLPMKRKLSDLKDIGFASSGKRVSTSEHGLRSPVCLTPNAVRRSSLFGDAIGFSTPSSNLRDYGRSTPSSLVDYGDDNQYSNSTQLGLANDHQPSNSERLTLDCIVVQYLKHQHRQCPAPITTLPPLSILHPHVCPEPRRSLDAPSNVTARLGVREFRSIYGGVHGSRRDRQFVYSRFRLLRTCRDDADALLTCITFLGDSSHIAVGSHNGELKIFDSNSNGVLESCTSHQSPLTLVQSYLSGETQLLLSSSSQDVRLWDASSISGGPMHSLEGCKAARFSNSGSLFATLTTEAARREILLYDVQTCHVESTLSDTISTSTGRGHVYSLIHFSPSDTMLLWNGVLWDRRQSGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTAITFNACGDVIYAILRRNLDDVMSAVHTRRVKHPLFAAFRTVDAINYSEIATIPVDRCVLDFASEATDSFVGLITMDDQDEMYSSARIYEIGRRRPTDDDSDPDDAETEEDEEEDDEDDVDVDPMLGPDLDGDGDSDADDISNEDDDDDSVSELDDEDDGDFIMDDVDFDGGAGILEIVAEGDEDDDDDSQVVESYSSSEENDFVDNGFNY >Manes.07G098500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30261816:30262870:1 gene:Manes.07G098500.v8.1 transcript:Manes.07G098500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFALLSLFLLLLQILSSTYARKDVGEYWRGDIKAQSLPEPIQELLHASPTSSASNRKTSCGMSKNFEPRPDVSIYHDHIGLQKKNPMHETYSLQKFKSRPDVTIYHNDIVLEAEKQWNEKSFLKKLEPRYDVSIYHNDDDNDVPDGFKGKKTLHEKSFVNKFEPDVSIYHNDIILEVQKELEPRPDVTIYHNDLPNDFKGKKPLSEKSFVTKFEPRPDVSIYHNDAGFKAEKPSEEKSFLSNFEGKEDVTIYHEKL >Manes.07G098500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:30261700:30262870:1 gene:Manes.07G098500.v8.1 transcript:Manes.07G098500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFALLSLFLLLLILSSTYARKDVGEYWRGDIKAQSLPEPIQELLHASPTSSASNRKTSCGMSKNFEPRPDVSIYHDHIGLQKKNPMHETYSLQKFKSRPDVTIYHNDIVLEAEKQWNEKSFLKKLEPRYDVSIYHNDDDNDVPDGFKGKKTLHEKSFVNKFEPDVSIYHNDIILEVQKELEPRPDVTIYHNDLPNDFKGKKPLSEKSFVTKFEPRPDVSIYHNDAGFKAEKPSEEKSFLSNFEGKEDVTIYHEKL >Manes.12G003100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:515391:520509:1 gene:Manes.12G003100.v8.1 transcript:Manes.12G003100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVCPLFSSTTVLAPLKLPFNNTKPSFLFLQKPFLAHPKSTIIPFSSFLSTSSRLPSSVIYAAPESQLNVGIDAEAGEWAMQDFYSLRRDVEAASERVEEIRASAGIQQLEQQLADLESRAADSSFWDDRARAQETLLALTDVKDKIKLLNDFKIKVEDAETIVMLTEEVDSIDTGLLEEASSIVKELNKLLDRFELTQLLSGPYDKEGAVISITAGAGGTDAQDWADMLLRMYVRWGEKQQYKTRVVEKSPGEEAGIKSAIVEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGVEVMPLLPEESMDVEIPEEDLEISFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTGMHCFAPLH >Manes.12G003100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:515391:520780:1 gene:Manes.12G003100.v8.1 transcript:Manes.12G003100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVCPLFSSTTVLAPLKLPFNNTKPSFLFLQKPFLAHPKSTIIPFSSFLSTSSRLPSSVIYAAPESQLNVGIDAEAGEWAMQDFYSLRRDVEAASERVEEIRASAGIQQLEQQLADLESRAADSSFWDDRARAQETLLALTDVKDKIKLLNDFKIKVEDAETIVMLTEEVDSIDTGLLEEASSIVKELNKLLDRFELTQLLSGPYDKEGAVISITAGAGGTDAQDWADMLLRMYVRWGEKQQYKTRVVEKSPGEEAGIKSAIVEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGVEVMPLLPEESMDVEIPEEDLEISFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGLETSDITSVMDGELEPFIKAYLKYKYNMTLSASGIN >Manes.04G059624.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:14753116:14758716:1 gene:Manes.04G059624.v8.1 transcript:Manes.04G059624.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLWVVRSIGAPSTDIAVSELTHASAEVSEVVFFLLGAMTIVEIVDAHQGFRLVTDNITTRKPKTLL >Manes.01G034400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6637520:6642471:1 gene:Manes.01G034400.v8.1 transcript:Manes.01G034400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQVLLHSSPTIAGPSGHPESGRSTPTFSDSSEDEPTFKSCLSSRCNFSGRHSFLSKPIHPLFFPVQAPKEASETQASRLSEFDAATVQRDAHHWSSASSSADSSDISEPLESEIVGRLCISSNVFKCGLCERFLSQRSPWSSRRIVRSGDMPVAGVLSCCHVFHAECLEQTTPKAHKNDPPCPLCVGLEDENSAVLQVFPGLRDRFPNSKTSSEYGPSGPWGCVTMGDFVGGTLHSPHRNTTLLLNRNRMRKNLSLKGNSSKEFPGKLRKNGSYSSQLLNGNAVDFGTVGCSKMTTNPSMKRLH >Manes.01G034400.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6637520:6642471:1 gene:Manes.01G034400.v8.1 transcript:Manes.01G034400.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQVLLHSSPTIAGPSGHPESGRSTPTFSDSSEDEPTFKSCLSSRCNFSGRHSFLSKPIHPLFFPVQAPKEASETQASRLSEFDAATVQRDAHHWSSASSSADSSDISEPLESEIVGRLCISSNVFKCGLCERFLSQRSPWSSRRIVRSGDMPVAGVLSCCHVFHAECLEQTTPKAHKNDPPCPLCVGLEDENSAVLQVFPGLRDRFPNSKTSSEYGPSGPWGCVTMGDFVGGTLHSPHRNTTLLLNRNRMRKNLSLKGNSSKEFPGKLRKNGSYSSQLLNGNAVDFGTVGCSKMTTNPSMKRLH >Manes.01G034400.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:6637520:6642471:1 gene:Manes.01G034400.v8.1 transcript:Manes.01G034400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFLGFSFLNRIKSLQGPSGHPESGRSTPTFSDSSEDEPTFKSCLSSRCNFSGRHSFLSKPIHPLFFPVQAPKEASETQASRLSEFDAATVQRDAHHWSSASSSADSSDISEPLESEIVGRLCISSNVFKCGLCERFLSQRSPWSSRRIVRSGDMPVAGVLSCCHVFHAECLEQTTPKAHKNDPPCPLCVGLEDENSAVLQVFPGLRDRFPNSKTSSEYGPSGPWGCVTMGDFVGGTLHSPHRNTTLLLNRNRMRKNLSLKGNSSKEFPGKLRKNGSYSSQLLNGNAVDFGTVGCSKMTTNPSMKRLH >Manes.01G034400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:6637520:6642471:1 gene:Manes.01G034400.v8.1 transcript:Manes.01G034400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHEPYWQTNTSFSPPPSRWDFSFPSDELLYDSRDITLLHRSSTSSNSKESRWMRDNHLYNNHCFASGGAGLRLTSSLELSPGPQWTPPVIQEINTDDYETAKMRGQVLLHSSPTIAGPSGHPESGRSTPTFSDSSEDEPTFKSCLSSRCNFSGRHSFLSKPIHPLFFPVQAPKEASETQASRLSEFDAATVQRDAHHWSSASSSADSSDISEPLESEIVGRLCISSNVFKCGLCERFLSQRSPWSSRRIVRSGDMPVAGVLSCCHVFHAECLEQTTPKAHKNDPPCPLCVGLEDENSAVLQVFPGLRDRFPNSKTSSEYGPSGPWGCVTMGDFVGGTLHSPHRNTTLLLNRNRMRKNLSLKGNSSKEFPGKLRKNGSYSSQLLNGNAVDFGTVGCSKMTTNPSMKRLH >Manes.01G024100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:5123514:5126285:1 gene:Manes.01G024100.v8.1 transcript:Manes.01G024100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVCSDGDGYPSNWARKCDTCHAETCTLYCHTHSAYFCNSCDAYIHEANPLASQHERVWICTVCENAPAAFTCQADEANLCINCDNEIHLANPLARRHNRVPVLPISSTVNTSSTTCKEEFREPMLNSTENEVTANKFREELLEEEETDSWLLLDLDDNDNLTNSGFTNGNDGDEYLDLIEYNLCSEYQYQDHNDQQQLSGVHQGDSGSDSVVPVQSFVAKEQQELQLQQQQNILVESWYESSKAAFFNTTATSQNVTVPFTNAGYLLTTSSIPNSYSRFPNGSTDLLPSPSLLMPLQFTQTNREARVQRFREKRKSRKFEKKIRYATRKANAENRPRVKGRFVRKKDMEFEVREDHGYGIVPSYQIG >Manes.14G134900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:9494194:9496654:1 gene:Manes.14G134900.v8.1 transcript:Manes.14G134900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLWFLQCLLLLECFLAGATVQEKADAAIPATTLSPPEGNTTFVGGTTWCVALAGASQIDVQNALDWACGLGMADCSPIQEGGACFEPDTLLSHASYAFNNYYQQNGNSDIACNFGGTAILTKNNPSYGKCNYAASGLGSIQSSAPPLSKCMPNFVWWKLAGILVLLCLIS >Manes.11G079150.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004397.2:11877728:11878861:-1 gene:Manes.11G079150.v8.1 transcript:Manes.11G079150.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLICEVSFDSIHGSISSLLILRRLSVVAYRSICSSDRWPGLWFSPSPRASMSVFTIILDCNSGISFFCRCRHVQIQVSVTFAGRIVFLHRHRYKIGLSFLSL >Manes.15G190662.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004401.2:28194416:28197231:1 gene:Manes.15G190662.v8.1 transcript:Manes.15G190662.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKLNKQIYKANFPLWDVRLDGFLEDQLRVLIAQLDKKIKVADRKLDRFKENQILMDEFATRMLYGSQIMENNMNRSNSVKNFSNFHQLFYDVMPLPVNCFLPGQSSYLIPSNSNLQIFSDYQTTSLSRKLMDRNSNSSNLANLQLQPFSDPKPLGVQLPMHSQQIQTSPGTSTSPSFLEDLTMMVNDQYTGNQCGGRFGGRRSLQIRKSPTFGGRFGGH >Manes.S014388.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:MU251223.1:100421:100846:1 gene:Manes.S014388.v8.1 transcript:Manes.S014388.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAKCDTWCELQNPANHRVFERKLRPKPSGRGHVCLGVTQPSPPTPSPRGGGRGGGRWPPVCSAHAAGPKAESSAAIATAIGGWKTLGHGRGRTSAERDPETPERSQRNAPTATPGQAGTPAEFKHINKRRKRNLPGFP >Manes.03G148900.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:27608931:27609765:-1 gene:Manes.03G148900.v8.1 transcript:Manes.03G148900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASKSAVVSESALMLVFLGLLMVAPLFGRPIDHPKLMTASPATTTELHPLQTQHTLPLPSSSAASTAAAAATTTSEFASDEQFKAAAHEVPSGPNPESN >Manes.03G092800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004389.2:21705408:21706637:1 gene:Manes.03G092800.v8.1 transcript:Manes.03G092800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPEIVITSSPDGPITAYDTISGTILTRFTGSRSPRHGLALAGNAYVAASHISSSTALGSIHLYNWWSPKALHLLPVPEPVAPLAATPDGSYLFAGGLSGIIYALSIPSGNILKAFPAHDKPVSSLIINSDWSLVISGGDDGKIVVIPVFQLVDATGDECLSNLALHRFVAHDGPVTSIIACMGLCHPTIVSCSTDCTCKLWSLLEGTNLQTVTFPCVISSIALDATEAEFYAAGSDGLIYKGFLKVGSGKQVRQSRELVTWAEKHRGAVVSVVVVNEGKNLVSAAEDGSVYVWEIERGQVIMVLGNNMEGISDAVVTKGCGFGLGNEMNEYGGGSLGLSGRELSSKPVKDTVGVEDVVTVAASDRRKAIDMLESAIGVYERLLELILREAKGRVGKKGENEEGDM >Manes.15G079600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6110945:6111937:-1 gene:Manes.15G079600.v8.1 transcript:Manes.15G079600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTTKITLVFCALSLFITFLFPQLSLSFSIHDLLISKGLPAGLLPKEVKSFNLSENGYLEVFLDGPCLTKFENRVFFESVVRANLTYRSLTGVVGLSQEELFLWLPVKDIIVNDPRSGLIMFDIGVAHKQFSLSLFEEPPDCKSQGEMKKNGVRKEKGFEAWR >Manes.15G079600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:6106873:6111976:-1 gene:Manes.15G079600.v8.1 transcript:Manes.15G079600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTTKITLVFCALSLFITFLFPQLSLSFSIHDLLISKGLPAGLLPKEVKSFNLSENGYLEVFLDGPCLTKFENRVFFESVVRANLTYRSLTGVVGLSQEELFLWLPVKDIIVNDPRSGLIMFDIGVAHKQFSLSLFEEPPDCKSQGEMKKNGVRKEKGFEAWR >Manes.09G044500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7849567:7853296:-1 gene:Manes.09G044500.v8.1 transcript:Manes.09G044500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFKGIYNGKQYHVADIAAVLNRAWSAGVDRIILDLWSQVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESTDPEQHFQSLLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIVARNKDKFSAGVAHSFTGSAEDCDKLLAFNNIYIGVNGCSLKMIENLDVIKGIPVERMMIETDSPYCEIKNTHAGIKFVKSLWPSKKKEKYDQDCIVKGRNEPCLVRQVLEVVAGCKGVHDIDQISKTIYLNTCRVFFPHDLDSAADALDGRHDS >Manes.09G044500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7848968:7853326:-1 gene:Manes.09G044500.v8.1 transcript:Manes.09G044500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFKGIYNGKQYHVADIAAVLNRAWSAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESTDPEQHFQSLLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIVARNKDKFSAGVAHSFTGSAEDCDKLLAFNNIYIGVNGCSLKMIENLDVIKGIPVERMMIETDSPYCEIKNTHAGIKFVKSLWPSKKKEKYDQDCIVKGRNEPCLVRQVLEVVAGCKGVHDIDQISKTIYLNTCRVFFPHDLDSAADALDGRHDS >Manes.09G044500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:7849567:7853296:-1 gene:Manes.09G044500.v8.1 transcript:Manes.09G044500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRMIDIAVNFTDGMFKGIYNGKQYHVADIAAVLNRAWSAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESTDPEQHFQSLLSLAQEGIQKGKVVAIGECGLDYDRLHFCPAEIQKKYFEKQFELAYATKLPMFLHMRAAAEDFCEIVARNKDKFSAGVAHSFTGSAEDCDKLLAFNNIYIGVNGCSLKMIENLDVIKGIPVERMMIETDSPYCEIKNTHAGIKFVKSLWPSKKKEKYDQDCIVKGRNEPCLVRVFFPHDLDSAADALDGRHDS >Manes.07G118700.4.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32375897:32378093:-1 gene:Manes.07G118700.v8.1 transcript:Manes.07G118700.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNFAMRDVDAISPAPSLHLRNLEEDGAGTASTATSTRTRNQDKEEQEDSKDYNHQESEDLNAAIGPIEPGNGSSSRRPRGRPPGSKNRPKPPVVITKESSSCLCSHVLEIGSGSDITESIATFAQRRHRGVSILSGSGIVTNVTLGQPAVPSGVINLHGRFEILSLSGSFLPAPSPPGATRLTVYLAGEQGQVVGGTVAGALVAAGPVMVIAATFSNATFERLPLEEQEHEGSQLQQQVNSGTNNNSNTTADGSGESSQPAAGEHDSMAVYNLPPNLMLNGQIPHDVFWSPPPS >Manes.07G118700.6.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32375792:32378093:-1 gene:Manes.07G118700.v8.1 transcript:Manes.07G118700.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNFAMRDVDAISPAPSLHLRNLEEDGAGTASTATSTRTRNQDKEEQEDSKDYNHQESEDLNAAIGPIEPGNGSSSRRPRGRPPGSKNRPKPPVVITKESSSCLCSHVLEIGSGSDITESIATFAQRRHRGVSILSGSGIVTNVTLGQPAVPSGVINLHGRFEILSLSGSFLPAPSPPGATRLTVYLAGEQGQVVGGTVAGALVAAGPVMVIAATFSNATFERLPLEEQEHEGSQLQQQVNSGTNNNSNTTADGSGESSQPAAGEHDSMAVYNLPPNLMLNGQIPHDVFWSPPPS >Manes.07G118700.7.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:32375897:32378065:-1 gene:Manes.07G118700.v8.1 transcript:Manes.07G118700.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRWWTGNFAMRDVDAISPAPSLHLRNLEEDGAGTASTATSTRTRNQDKEEQEDSKDYNHQESEDLNAAIGPIEPGNGSSSRRPRGRPPGSKNRPKPPVVITKESSSCLCSHVLEIGSGSDITESIATFAQRRHRGVSILSGSGIVTNVTLGQPAVPSGVINLHGRFEILSLSGSFLPAPSPPGATRLTVYLAGEQGQVVGGTVAGALVAAGPVMVIAATFSNATFERLPLEEQEHEGSQLQQQVNSGTNNNSNTTADGSGESSQPAAGEHDSMAVYNLPPNLMLNGQIPHDVFWSPPPS >Manes.09G179300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36872436:36875453:-1 gene:Manes.09G179300.v8.1 transcript:Manes.09G179300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGDLLPFLAMVLVQFGFAGMNITSKLAMDSGMKPLVLVSYRQIFATIAILPFAYFFEWKTRPKLTKTLLLQIFICSLTGVTGNQVFYFIGLENSTPTIGCALTNILPAVTFIFAVLLRQESVGIKKISGQAKLLGTIICVGGAMLLSFYHGAIINVGESSIHWKYADEMGSNSSSSQSNFILGPLFIMASAVCWAVWFTVQAKVGDKFPAPYTSTLLMCFMGSIECVVIGLFPNHKPSQWSLHSPGRLIAALYAVCFQNSTPLLTFLANSWKLSLANVHVIPGSCMFCSSIFPHLMEYSKERSTLRVSFQPTVAGHCGCSQLGSAS >Manes.09G179300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:36872436:36875453:-1 gene:Manes.09G179300.v8.1 transcript:Manes.09G179300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGDLLPFLAMVLVQFGFAGMNITSKLAMDSGMKPLVLVSYRQIFATIAILPFAYFFEWKTRPKLTKTLLLQIFICSLTGVTGNQVFYFIGLENSTPTIGCALTNILPAVTFIFAVLLRQESVGIKKISGQAKLLGTIICVGGAMLLSFYHGAIINVGESSIHWKYADEMGSNSSSSQSNFILGPLFIMASAVCWAVWFTVQAKVGDKFPAPYTSTLLMCFMGSIECVVIGLFPNHKPSQWSLHSPGRLIAALYAGVVCSALAFSLTSWSIQKKGALYVSVFSPLLLVIVAVLSWALLREKLYIGTAVGSVMIVGGLYAVLWGKDREMRLKSVEEIEAWKECEKQEKGDLELQLPANS >Manes.17G065000.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26510813:26517058:1 gene:Manes.17G065000.v8.1 transcript:Manes.17G065000.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSNTFSAALAKNSPVNIAKEVGNSALEILSGSGIYHAPSDTSLFSSSLPVLSHEKLNLNDTECSHQSVDDASSGLDKLQQDVDVEGSDLLEDYETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFGSGGGMELESDPQETLSMGMSKVNISDGVIGNGMPHYGLSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFRPPVGSPITNSPPGSWAQFSSPIEHSPMQSISKSPVFRNLSPTTGNHMPGLASILHSQGSNSVKVAPIGKDQGRGGLVEHTFTNVNSAHGATFQQSHSLPESKLNQYHGSMTPFGGPSSSNGSVVETLSGPQFLWGSPNLYTDHTSSSAWTSPSLGHPFSSNGKGHGFSYMGRNGSFLGSSQNHHHVGSAPSGVPLERHLGFFPESPETSYMSPVAFGGMGLGHNNGNFMMNMGGRAPMSAGVAIPRNISENGSSSYRMMSSPRLNPVFLGNGPYPGLAATVMEGFTERGRTRRVENSGNQVDSKKQFQLDLDKIISGEDIRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIQFHQPDGLHSGDSPGSPTMDGYGEKSDKS >Manes.17G065000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26509955:26517089:1 gene:Manes.17G065000.v8.1 transcript:Manes.17G065000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSNTFSAALAKNSPVNIAKEVGNSALEILSGSGIYHAPSDTSLFSSSLPVLSHEKLNLNDTECSHQSVDDASSGLDKLQQDVDVEGSDLLEDYETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFGSGGGMELESDPQETLSMGMSKVNISDGVIGNGMPHYGLSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFRPPVGSPITNSPPGSWAQFSSPIEHSPMQSISKSPVFRNLSPTTGNHMPGLASILHSQGSNSVKVAPIGKDQGRGGLVEHTFTNVNSAHGATFQQSHSLPESKLNQYHGSMTPFGGPSSSNGSVVETLSGPQFLWGSPNLYTDHTSSSAWTSPSLGHPFSSNGKGHGFSYMGRNGSFLGSSQNHHHVGSAPSGVPLERHLGFFPESPETSYMSPVAFGGMGLGHNNGNFMMNMGGRAPMSAGVAIPRNISENGSSSYRMMSSPRLNPVFLGNGPYPGLAATVMEGFTERGRTRRVENSGNQVDSKKQFQLDLDKIISGEDIRTTLMIKNIPNKISAMWVMHLSTCCLLHTSFHFMRLLMVRSGRNLTVRKLLLWHMLEFRERQLL >Manes.17G065000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26510813:26517058:1 gene:Manes.17G065000.v8.1 transcript:Manes.17G065000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSNTFSAALAKNSPVNIAKEVGNSALEILSGSGIYHAPSDTSLFSSSLPVLSHEKLNLNDTECSHQSVDDASSGLDKLQQDVDVEGSDLLEDYETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFGSGGGMELESDPQETLSMGMSKVNISDGVIGNGMPHYGLSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFRPPVGSPITNSPPGSWAQFSSPIEHSPMQSISKSPVFRNLSPTTGNHMPGLASILHSQGSNSVKVAPIGKDQGRGGLVEHTFTNVNSAHGATFQQSHSLPESKLNQYHGSMTPFGGPSSSNGSVVETLSGPQFLWGSPNLYTDHTSSSAWTSPSLGHPFSSNGKGHGFSYMGRNGSFLGSSQNHHHVGSAPSGVPLERHLGFFPESPETSYMSPVAFGGMGLGHNNGNFMMNMGGRAPMSAGVAIPRNISENGSSSYRMMSSPRLNPVFLGNGPYPGLAATVMEGFTERGRTRRVENSGNQVDSKKQFQLDLDKIISGEDIRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIQFHQPDGLHSGDSPGSPTMDGYGEKSDKS >Manes.17G065000.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26509945:26517101:1 gene:Manes.17G065000.v8.1 transcript:Manes.17G065000.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSNTFSAALAKNSPVNIAKEVGNSALEILSGSGIYHAPSDTSLFSSSLPVLSHEKLNLNDTECSHQSVDDASSGLDKLQQDVDVEGSDLLEDYETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFGSGGGMELESDPQETLSMGMSKVNISDGVIGNGMPHYGLSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFRPPVGSPITNSPPGSWAQFSSPIEHSPMQSISKSPVFRNLSPTTGNHMPGLASILHSQGSNSVKVAPIGKDQGRGGLVEHTFTNVNSAHGATFQQSHSLPESKLNQYHGSMTPFGGPSSSNGSVVETLSGPQFLWGSPNLYTDHTSSSAWTSPSLGHPFSSNGKGHGFSYMGRNGSFLGSSQNHHHVGSAPSGVPLERHLGFFPESPETSYMSPVAFGGMGLGHNNGNFMMNMGGRAPMSAGVAIPRNISENGSSSYRMMSSPRLNPVFLGNGPYPGLAATVMEGFTERGRTRRVENSGNQVDSKKQFQLDLDKIISGEDIRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIQFHQPDGLHSGDSPGSPTMDGYGEKSDKS >Manes.17G065000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26509945:26517101:1 gene:Manes.17G065000.v8.1 transcript:Manes.17G065000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSNTFSAALAKNSPVNIAKEVGNSALEILSGSGIYHAPSDTSLFSSSLPVLSHEKLNLNDTECSHQSVDDASSGLDKLQQDVDVEGSDLLEDYETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFGSGGGMELESDPQETLSMGMSKVNISDGVIGNGMPHYGLSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFRPPVGSPITNSPPGSWAQFSSPIEHSPMQSISKSPVFRNLSPTTGNHMPGLASILHSQGSNSVKVAPIGKDQGRGGLVEHTFTNVNSAHGATFQQSHSLPESKLNQYHGSMTPFGGPSSSNGSVVETLSGPQFLWGSPNLYTDHTSSSAWTSPSLGHPFSSNGKGHGFSYMGRNGSFLGSSQNHHHVGSAPSGVPLERHLGFFPESPETSYMSPVAFGGMGLGHNNGNFMMNMGGRAPMSAGVAIPRNISENGSSSYRMMSSPRLNPVFLGNGPYPGLAATVMEGFTERGRTRRVENSGNQVDSKKQFQLDLDKIISGEDIRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEAGDQIVLEHLPSSSLNIQFHQPDGLHSGDSPGSPTMDGYGEKSDKS >Manes.17G065000.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:26509955:26517089:1 gene:Manes.17G065000.v8.1 transcript:Manes.17G065000.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSNTFSAALAKNSPVNIAKEVGNSALEILSGSGIYHAPSDTSLFSSSLPVLSHEKLNLNDTECSHQSVDDASSGLDKLQQDVDVEGSDLLEDYETHAIGSLLPDDEDELLAGIMEDFDLSRLPGSLEDLEDYDLFGSGGGMELESDPQETLSMGMSKVNISDGVIGNGMPHYGLSNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDIELRTLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDINQGTLVVFNLDPSVSNEDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKSLNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRSFRPPVGSPITNSPPGSWAQFSSPIEHSPMQSISKSPVFRNLSPTTGNHMPGLASILHSQGSNSVKVAPIGKDQGRGGLVEHTFTNVNSAHGATFQQSHSLPESKLNQYHGSMTPFGGPSSSNGSVVETLSGPQFLWGSPNLYTDHTSSSAWTSPSLGHPFSSNGKGHGFSYMGRNGSFLGSSQNHHHVGSAPSGVPLERHLGFFPESPETSYMSPVAFGGMGLGHNNGNFMMNMGGRAPMSAGVAIPRNISENGSSSYRMMSSPRLNPVFLGNGPYPGLAATVMEGFTERGRTRRVENSGNQVDSKKQFQLDLDKIISGEDIRTTLMIKNIPNKISAMWVMHLSTCCLLHTSFHFMRLLMVRSGRNLTVRKLLLWHMLEFRERQLL >Manes.12G006300.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:694205:696594:-1 gene:Manes.12G006300.v8.1 transcript:Manes.12G006300.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEIRRAPIYCTRFRHFVSHRHKDARSISTPVKMRMVGLTGGIASGKSTVSNLFKSHGVPVVDADLVSRDVLKKDTDGYNKVVAAFGEEILEANGDVDRPKLGQIVFSDPAKRQLLNRLLAPYISSGIFREILKLWLKGCKVIVLDIPLLFEAKMDKWTKPIVVVWVDSETQLQRLMKRDGSIEEDARNRINAQMSMDLKRSKADIVIDNTGSLEDLEEQFQRVLLKVTKPLTWSEFWLSRQGALTALVFIGIAVVFGKNISGIL >Manes.18G104000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9980826:9982466:-1 gene:Manes.18G104000.v8.1 transcript:Manes.18G104000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRAAFMLLLFVYGSISIGMSPGRAIVCPLYCLDVDYMTCPSSGEEKLSPSCNCCLAPKNCTLHLSDGSSIYCKPHEF >Manes.18G104000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9980826:9982466:-1 gene:Manes.18G104000.v8.1 transcript:Manes.18G104000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRAAFMLLLFVYVCPLYCLDVDYMTCPSSGEEKLSPSCNCCLAPKNCTLHLSDGSSIYCKPHEF >Manes.18G104000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:9980826:9982466:-1 gene:Manes.18G104000.v8.1 transcript:Manes.18G104000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRAAFMLLLFVYGSISIGMSPGRAIVCPLYCLDVDYMTCPSSGEEKLSPSCNCCLAPKNCTLHLSDGSSIYCKPHEF >Manes.04G060401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:21270959:21272910:1 gene:Manes.04G060401.v8.1 transcript:Manes.04G060401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIYQYELFKMKSDETINQMYDRFIEIIGGMKSLRKTFTNEELLKKILRCLPKEWLPKREQVEEPSKMKKNIALRVAFEDTSEEEEEISEEELALVTRRIRKLLLQNKKFIPRKNFGKEKEESSKKEVVICYECNKPGHYKVDCPKLKKPIKKFKKKAFKATWDESSDTEEEDVGDEIANMCFMALEESSDEVTTLDDTTLYDDVVKFSYDELVGALKLMTDELEKSHKKNKILKCELASLKRESENSPKEPLPSNDLIEHILFVRGRSCNTRLDSGLCMFQRFGRRLCMWELSFYHFGETQVRPSKELSAAEPACGGSLRLPKPAPERGLSSLEGSFGRRKCRRTCMSFVSIWEFRPPM >Manes.02G147800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:11376348:11378574:-1 gene:Manes.02G147800.v8.1 transcript:Manes.02G147800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSTKTFTKKKSSHHSIKIITSVLLLLLILIFIQSQASPFYASSASESWAFFNKWKGLAFNTTDGHAFTENSSYISKLRDSVSFFPLKDLRFAKNAMEGNTWFMSSLNDTREANEAEYLYFPSEMSKGRLLCIKGNDMKDGTRNSYALAWPEALPHPAKFMEGLTFVSDTYYDYVNLWHGLCAMAPFVRWSIKNDCPRPTRWVLFHWGELRSKMGSWVQHLMEASFGEVKIEGLEEGDRPYCFEKAVVMRHNEGSMGKEEKLQVSDLLRCKTRRFCGIDPSGKGREVDERGEPIIKLTLLMRSGSRSFKNATAVIDIFARECANVDGCMLKVAQSEDLNFCDQVRVMTNTDVVASPHGAQLTNMLFMDRNSSVMEFFPKGWFELAGIGQYAHHWMADQSGMNHQGSWWEPLEKKECPSPQHDLECFNFYKDGKVGHNETHFAEWARTVLNQVKARKMADAIKTSVNKPLPYSTACGC >Manes.15G163400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:13627736:13632684:-1 gene:Manes.15G163400.v8.1 transcript:Manes.15G163400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARKSLSALRARQLAVSGQALQGSHQYALRSSVHLYSTKKEDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLMLTLKYFFERKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLDNGDRWETEIAENLRSESLYR >Manes.11G133601.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29777420:29782331:-1 gene:Manes.11G133601.v8.1 transcript:Manes.11G133601.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLSSQLFVSRLSFYTTNQKLEQLFSPFGVVKEARLVVDPETRKPRGFGFVTFDSESQARKALKAMNGRLMAG >Manes.11G133601.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29781602:29782024:-1 gene:Manes.11G133601.v8.1 transcript:Manes.11G133601.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLSSQLFVSRLSFYTTNQKLEQLFSPFGVVKEARLVVDPETRKPRGFGFVTFDSESQARKALKAMNGRVIIILVS >Manes.11G133601.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29778565:29782024:-1 gene:Manes.11G133601.v8.1 transcript:Manes.11G133601.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLSSQLFVSRLSFYTTNQKLEQLFSPFGVVKEARLVVDPETRKPRGFGFVTFDSESQARKALKAMNGREVLGNKENSDVI >Manes.11G133601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29777420:29782331:-1 gene:Manes.11G133601.v8.1 transcript:Manes.11G133601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLSSQLFVSRLSFYTTNQKLEQLFSPFGVVKEARLVVDPETRKPRGFGFVTFDSESQARKALKAMNGRIVDGRLIFVEFAESTEPADDSIN >Manes.14G149022.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:16899022:16900468:-1 gene:Manes.14G149022.v8.1 transcript:Manes.14G149022.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSFTRFFLLSVTPKSPKFPLHKGILKTLRELRIQSKLNRIPRKLVFDFFIFVGYQSNYRHSSFMYSKACQLFKIKQNPRVVLDLVEAFKVQNCLVNVKTFKVVLNLCKEGRLADEGHEALLLLRKMPEFYIRADTNAYNIVVKLFCDKGYMNMAQKLMGEMGFCDVGRLNEAYSLFKVMKTNGCAPNVVAYSTLIDWELLGEMEKEGGDCNPNILTYTSLVQGFCEMGKFGCAPNCVTVSTLLKGLCVEGYLEKAYKLINRAVVGGSVSYSDCYSSLVVCLTRIKKIEEAEKLLRRILVSRVKPDGLACSVMIKELCLKNWVLDGYSLYEETEKIGCLPTIGSDIYSIILVGLYQQGCSAEAAKLARTMLETRITLKPPYVDEVVEHLKKSGDKELAVDLADIGM >Manes.05G084500.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6973086:6983723:-1 gene:Manes.05G084500.v8.1 transcript:Manes.05G084500.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLSMTSGRYLLSPSAESLLLKRFKLPLRHQCSPSVSLRRTGPLVYKENSIKVLCSLKKEISIIEASSMDEIYDALAVRLLPTAAAASNPNLKHIVGLAGPPGAGKSTLASEVVRRVNELWPQKGPSFDSHVKPPDVAAVLPMDGFHLYRSQLDAMENPEEAHARRGAPWTFSPTLLLECLKKLRDEGSVYAPSFDHGLGDPVEDDIFVSLQHKVVIVEGNYLLLEEEVWKDLSSMFDEKWFIDIDIDKAMKRVLKRHISTGKPADVAKWRVDYNDRPNAELIMKSKKNADLVIRRSPQGYSNYKAT >Manes.05G084500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6974158:6983723:-1 gene:Manes.05G084500.v8.1 transcript:Manes.05G084500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLSMTSGRYLLSPSAESLLLKRFKLPLRHQCSPSVSLRRTGPLVYKENSIKVLCSLKKEISIIEASSMDEIYDALAVRLLPTAAAASNPNLKHIVGLAGPPGAGKSTLASEVVRRVNELWPQKGPSFDSHVKPPDVAAVLPMDGFHLYRSQLDAMENPEEAHARRGAPWTFSPTLLLECLKKLRDEGSVYAPSFDHGLGDPVEDDIFVSLQHKVVIVEGNYLLLEEEVWKDLSSMFDEKCLNNQ >Manes.05G084500.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6973086:6983792:-1 gene:Manes.05G084500.v8.1 transcript:Manes.05G084500.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLSMTSGRYLLSPSAESLLLKRFKLPLRHQCSPSVSLRRTGPLVYKENSIKVLCSLKKEISIIEASSMDEIYDALAVRLLPTAAAASNPNLKHIVGLAGPPGAGKSTLASEVVRRVNELWPQKGPSFDSHVKPPDVAAVLPMDGFHLYRSQLDAMENPEEAHARRGAPWTFSPTLLLECLKKLRDEGSVYAPSFDHGLGDPVEDDIFVSLQHKVVIVEGNYLLLEEEVWKDLSSMFDEKWFIDIDIDKAMKRVLKRHISTGKPADVAKWRVDYNDRPNAELIMKSKKNADLVIRSIDF >Manes.05G084500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6974023:6983723:-1 gene:Manes.05G084500.v8.1 transcript:Manes.05G084500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLSMTSGRYLLSPSAESLLLKRFKLPLRHQCSPSVSLRRTGPLVYKENSIKVLCSLKKEISIIEASSMDEIYDALAVRLLPTAAAASNPNLKHIVGLAGPPGAGKSTLASEVVRRVNELWPQKGPSFDSHVKPPDVAAVLPMDGFHLYRSQLDAMENPEEAHARRGAPWTFSPTLLLECLKKLRDEGSVYAPSFDHGLGDPVEDDIFVSLQHKVVIVEGNYLLLEEEVWKDLSSMFDEKWFIDIDIDKAMKRVLKRHISTGKPADVAKWRVDYNDRPNAELIMKSKKNADLVIRSIDF >Manes.03G125800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25379574:25381844:1 gene:Manes.03G125800.v8.1 transcript:Manes.03G125800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHLLLIFALFTAIVLKGSHASVPAELYWQSMLPNTPFPKALKDFLYPADIGKKITFSFPEDYMPENSLDTPNLATYDVAYWPDNRKFVKTSISNATTVYFLYHDLLPGKSMRIIFTKSTNGSNFLPRKIAESIPFSSNKFPEILNYFSIKSTSKEAEIMKQTIEECEAPPIRGEDKYCATSLESLVDLVAAKFGQNVQAFYNEAEEENKKQEYTILQGIKMMGENHMVCHKQKYAYAVFYCHRIKDTKVYKVPLMGVDGSKAEAAVVCHMDTSAWHPHHYAFQILNVKPGGPSICHFLINSDTIVWISTS >Manes.08G118600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:35781758:35786924:1 gene:Manes.08G118600.v8.1 transcript:Manes.08G118600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQILHIIFLIITIFMFLSLRSTHVLIADASLVAAEYFKIHGLQKLDFMEGSEDGNEKQIEKVSGEDKSEEKETTIVQKFRALLGLRSFKTRSSLNEFVSPAPSPSPTIEAETPAPASMLPILVPFHPPSHHSNSIAPAHKILVKHRDKGRFRRILAAILVSAVAAFILCVLGLVWVSGKFRKNKTISARMMSVYKKKARTSSKSKYIFSQRSARKVSLNPGLDLLYLNSLERDLEQHNTTCLKQIPEALDTSPDHNMTKCAFHERKESNQDVIRKSESDNASSSSTREIMSVHEDVESLKIESDIGNSYTEDKVITIEGQYSSDDESFHSFVDSHSSHIRLSNASADSFSDMSEIFPSNVTKASPSPLTSPQNLDIPQATSECSSQPALNLEEKFPQSPEILRAEDLTVSSPSDSDENFSPSCPPLPPPLPPPAFAPSVPFIPSRTPSWSTRNTDKASCSSPFTDLSSPRKSDSSSRSDQTPPIDLLSSPQRSPQNSRAPLSIPPPPCPPTFLQENSSSAKGPPPPPCPPPPPPPPPLIHSSSSKCPPPPPCPPPCIRGNSSSNKGPPPPPCPPPFIKGNSSSTKGPPPPPSQLPQYAQYGKDGAQLPKLKPLHWDKVRAAPDRSMVWDKLRSSSFELDEEMIESLFGYNLQGNMKNDEAKSKTPSPSKHVLEPKRLQNLTILSKALNATPEQICEALIRGDGLCLQQLEALTKMVPTKEEEAKLAEHKGDINELGSAEKFLKVILTIPFAFLRVEAMLYRETFEDEVIHLRNSFLMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRVSDSIMGRINQKNKSKTIEEREEDYRRMGLELVSGLTTELYNVKKTATIDLDVLASSVSNLSDGMAKLKNLIFKDLLTDEKRGNFVHSMRTFLNYTERNLKGLQQDEHKVLLHVREITEYFHGDVSKEEANPLRIFVIVRDFLGMLDHVCKELRSLKVPNAPNPLAPFR >Manes.09G016900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:3767597:3770685:-1 gene:Manes.09G016900.v8.1 transcript:Manes.09G016900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKMPWRRKSRSFHLQLQGAIGTIQSPFLFSFTNYCHSSTSTLEDARFLTNNFKSASFTRLDDAIASFNHVIHMHPLPSRAQFSRFLSALVKMKQYHTVYSMSKTIELLGISHDVYSLSILINCFCHLHLVDFGFSVFGKMLKFGLEPTTVTFTTLINGLCMESKIDKAVEFFDDMVARGYQPNVRTYSVIINGMCKFGKTSVAIGLLKGMADGDCEPNVVTYSAIIDALCKDELVGEALELFSQMRNKGISPDVITYNSLLHGVCKLGQKNQALALMNEMVEQNILPNVYTFSVLIDALCKDGMVSEAQNTFNVMIQRGVEPDVITYTSLIDGLCISDQFKEALALLKEMMGRNISPNVFTFNILIDTLCKKGLVSNAQNIIKIMIQRGVEPNVVNYNSLMDGYCLCKQIDKARKLFDLMVTNEIADFFSYSILINGYCKCKMIDDAKELFDEMSDKGLVPNVVTYSTLIEGMFQAGRPQTAQELFKNMCSHGQQPNIVTFSIMIDGLCRQGNLDEALTLLKEMEESQLKPNLVTYCILINGLCREGLIDEAYKIFRDMEKGGCLPNNWCYNIIIQGFLKHKDLPKASELINEMVDKGFSADDATTELVVHLSRNNDLILRLLKVRNEGSAN >Manes.07G007300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:878075:878950:-1 gene:Manes.07G007300.v8.1 transcript:Manes.07G007300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLGHSYLHKNKSSIQGLKPRNCSSILVVISCQRGESVDISSPKEKKEMKQEKQLILRQIFGSAEKFGGRLKDTMSPKQKGDWKDVVLMSLAFAVYVYISQQIVCAYCAWTSMLTQSW >Manes.03G157500.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:28572724:28575574:-1 gene:Manes.03G157500.v8.1 transcript:Manes.03G157500.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDENRSTNAACRNKDCSDMGQTISKHFTCLPLVRYRDWT >Manes.03G133000.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25984635:25988938:-1 gene:Manes.03G133000.v8.1 transcript:Manes.03G133000.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDASSSFRSTPSQPSIIPSNTPLLSAFLAFALAQFLKLFTTWFKEKRWDSKRMLASGGMPSSHTATVTALAIAIGLQEGSGAPTFAIALVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNFRPLRDSLGHTPLQGISLPFEGLPGTTSKVSSLSCSGKGTKGHVCRINQGNLI >Manes.03G133000.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25985611:25988938:-1 gene:Manes.03G133000.v8.1 transcript:Manes.03G133000.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDASSSFRSTPSQPSIIPSNTPLLSAFLAFALAQFLKLFTTWFKEKRWDSKRMLASGGMPSSHTATVTALAIAIGLQEGSGAPTFAIALVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNFRPLRDSLGHTPLQVAAGAVLGSIVAFFMRSSS >Manes.03G133000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25985611:25987840:-1 gene:Manes.03G133000.v8.1 transcript:Manes.03G133000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFKEKRWDSKRMLASGGMPSSHTATVTALAIAIGLQEGSGAPTFAIALVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNFRPLRDSLGHTPLQVAAGAVLGSIVAFFMRSSS >Manes.03G133000.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25983694:25989054:-1 gene:Manes.03G133000.v8.1 transcript:Manes.03G133000.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDASSSFRSTPSQPSIIPSNTPLLSAFLAFALAQFLKLFTTWFKEKRWDSKRMLASGGMPSSHTATVTALAIAIGLQEGSGAPTFAIALVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNFRPLRDSLGHTPLQVAAGAVLGSIVAFFMRSSS >Manes.18G052450.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:4476668:4478046:1 gene:Manes.18G052450.v8.1 transcript:Manes.18G052450.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLHSSFCCKALACRAETSKREERLNEINMSISSIFGSPILLLPKSTTHKPPNSHIPPPQIGCGYGGSGLRIECSSRPQKKATAHHMKTRPRKTQPWDVKRKPTVYPPLPPLPPDWTLLSSDDAVGDDGSSATEATSPSASSLQAPISSG >Manes.09G025300.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5321001:5324916:1 gene:Manes.09G025300.v8.1 transcript:Manes.09G025300.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFTVDDLQNGYQNGFGFKYKDCETAITIQDKTYVIGGSDDESESSIGVRIFDKATGNWEIPTVLGTKPKPCKGHSAVPLNDDRILIIKKGSAPDDCIWFLEVDTKYVREQKKDLGTEVVAWSKGVRGNVERPVVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRGMEKDGVHYHFTERSVMEKDIKDGKFLEFASVHGNLYGTSIEAVEVVADEGKRCILDIDVQGARSVRASSLDAIFIFICPPSMDELEKRLRARGTEAEEQILKRLRNAEVEMEQGKSSGVFDHICYNDKLEDCYDNLKKLLGLDGNTIPTQKSPAPQGINLLADHLISKISNKIIIKCGTPEVEKGSKSLIVLDVSSLKGGSPGRTRGLDVYEIDSFSDGLNDINQLS >Manes.09G025300.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5321009:5324916:1 gene:Manes.09G025300.v8.1 transcript:Manes.09G025300.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFTVDDLQNGYQNGFGFKYKDCETAITIQDKTYVIGGSDDESESSIGVRIFDKATGNWEIPTVLGTKPKPCKGHSAVPLNDDRILIIKKGSAPDDCIWFLEVDTKYVREQKKDLGTEVVAWSKGVRGNVERPVVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRGMEKDGVHYHFTERSVMEKDIKDGKFLEFASVHGNLYGTSIEAVEVVADEGKRCILDIDVQGARSVRASSLDAIFIFICPPSMDELEKRLRARGTEAEEQILKRLRNAEVEMEQGKSSGVFDHICYNDKLEDCYDNLKKLLGLDGNTIPTQKSPAPQGINLLADHLISKISNKIIIKCGTPEVEKGSKSL >Manes.09G025300.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:5321010:5324916:1 gene:Manes.09G025300.v8.1 transcript:Manes.09G025300.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFTVDDLQNGYQNGFGFKYKDCETAITIQDKTYVIGGSDDESESSIGVRIFDKATGNWEIPTVLGTKPKPCKGHSAVPLNDDRILIIKKGSAPDDCIWFLEVDTKYVREQKKDLGTEVVAWSKGVRGNVERPVVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRGMEKDGVHYHFTERSVMEKDIKDGKFLEFASVHGNLYGTSIEAVEVVADEGKRCILDIDVQGARSVRASSLDAIFIFICPPSMDELEKRLRARGTEAEEQILKRLRNAEVEMEQGKSSGVFDHICYNDKLEDCYDNLKKLLGLDGNTIPTQKSPAPQGINLLADHLISKISNKIIIKCGTPEVEKGSKSLIVLDVSSLKGGSPGRTRGLDVYEIDSFSDGLNDINQLS >Manes.01G014100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:3855304:3857624:-1 gene:Manes.01G014100.v8.1 transcript:Manes.01G014100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDEPKQSPSEQRQSDPVETGQNVEGLFSPRFKSLAAMAGWDEESILIASLIVEDTPDRQFKHKKRSDLHFKTPPSASSRRKRRAQRKSPILISVPAISLEEEELPEKQENHQKEKKEIVAKEERKTEGDELKKDDSAVSCSNSVFPCMDKLREELSCAICLEICFEPSTTTCGHSFCKKCLRSAADKCGKKCPKCRQLISNGRSCTVNTVLWNTIQLLFPKEIEARKAAGVLNTREAQHQTLETRSNTGVRSSSIRPSRIQVSRGREELNQDEDLTLLLSRDTSGRNRRGTLSQDEDAESAQSLPREDLARLLRRDASGRRRRGTPRPGQDEDAALALRLQREEFMEAFGGGHHAHSGSSLSMARSNLRAMASRAINIRMRSRPI >Manes.05G066000.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5497930:5506658:-1 gene:Manes.05G066000.v8.1 transcript:Manes.05G066000.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALETRDARKELGLGFGVGREMEDTELEEGEACSEHNNNDDDYEASMDPDIALSYIDEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYRRSPVWSHPRTPKIQHYNSPRSPNNSQLEGSCRGSVSSSAVPQSVKLEPVSSGATSLATSKASSSMLVSVKQEGGMLTTNIAKEHALRYELVNRKSTNLPDHKMLKVRIKVGSDNLSTQKNAAIYSGLGLDVSPSSSLDDSPSGSEGISHGPQDSPFESPAHILQIMTSFPIHGYLLLSPLPGDLIHLIEKEKLHKGSGSLHARTVDVESSGITVVGSDSIKGDGKILREKKIKSLERNEISSELKSGSNKDCLCRIDALPKMIDLDTLASEEFVSDKLKLPLFSNSSSVTDAAKGMGRISYTSREAYKGGMRDKGSDLTKEEPVGLSYTHEDAGFENAKATSAEKIWDDKKASSLDSVSVYPKKDGNWKGEKACASVKTDSNTSKGLKVVSSELTDTPKQKADLKGVSHEQEASKVPSGKEHSSSEGKKKLKDSLTGGSSLVLKNKKSNNEDDCPKGELKDSNSQKNTAKSGDRYRDFFGDIELDQEEKQMSPLERSFEDRQKDSDMGEKVSRFSNNVLNERSSGKKTDKLSMSDMHLKTALRVGPCSGNGPSDVGPAATEDNWVCCDKCQKWRLLPLGKNPNDLPEKWLCSMLNWLNGMNRCSFSEEETTNAVLALNQIPAPVSQNNLQINPGGVTSKVTLVDGQLDQNHQDLSLHAMPNSGKKKAIKDGSALLQNSIKKSVLSPLTNGSLNDVNQPMVTEPDLLRLSKSTDLAAEKYKHKQKEKHKVLDNCSDGGDTRKSKMKGKRDLEQDLFRASKKIRTESLQKDSVSDHVNIEKVGPSSSNDLPNNSGHTSSKDHVLVPARKPKDEVLVSMDDEPMDLEIEKKRKVKGSLDSQANPRTLSNTGHNLQESRILAKEEFSENEYRKEKKARTSRSDGKESSASKSNSKSDKKNSHRKNRQLGQDVGNTVSQQSLEGVDPLKRDFGSRHPSVAATSSSSKVSGSHKSKVNVPDTKGSPVESVSSSPLRVSKPGGQRRCGDAEDDGGSDQSGIAKKDKILDVAHHGSRTSSVFDLQEKDFSHVSGGKAKQQIVPCPDVMNTHSANGGADYLVQDTQYPGETTSSDRCRKDDRQRENNYHVNGSHPRKTEKGSSSRSKEKKRCLNAELDNGKVMVSDSTMVQAPSYEEKPIDGKVKIEEKFGFRSDGSENRCIDKKDSTGRLSCETSIKESLSKFHGHNGPDSKAHAISSQDATFTPKQSLPLDCEAVSGRGKSPSLHCPLPISGSQKGNGAKISVINASDSNNASKMQKQIRKVDHVNGTRPNSSRDPLSNGHRGRDLDAPSPVKRDSSSQAATNALKEAKNLKHLADRLKNSGSNVESTKLYFEAALKFLHAASLLETCSSESAKNGEMIQSIKVYSSTAKLCEFCAHEYEKSKDMAAAALAYKCMEVAYMRVIYSSHTNANKDRHELQTALHMIPPGV >Manes.05G066000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:5489004:5506642:-1 gene:Manes.05G066000.v8.1 transcript:Manes.05G066000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALETRDARKELGLGFGVGREMEDTELEEGEACSEHNNNDDDYEASMDPDIALSYIDEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYRRSPVWSHPRTPKIQHYNSPRSPNNSQLEGSCRGSVSSSAVPQSVKLEPVSSGATSLATSKASSSMLVSVKQEGGMLTTNIAKEHALRYELVNRKSTNLPDHKMLKVRIKVGSDNLSTQKNAAIYSGLGLDVSPSSSLDDSPSGSEGISHGPQDSPFESPAHILQIMTSFPIHGYLLLSPLPGDLIHLIEKEKLHKGSGSLHARTVDVESSGITVVGSDSIKGDGKILREKKIKSLERNEISSELKSGSNKDCLCRIDALPKMIDLDTLASEEFVSDKLKLPLFSNSSSVTDAAKGMGRISYTSREAYKGGMRDKGSDLTKEEPVGLSYTHEDAGFENAKATSAEKIWDDKKASSLDSVSVYPKKDGNWKGEKACASVKTDSNTSKGLKVVSSELTDTPKQKADLKGVSHEQEASKVPSGKEHSSSEGKKKLKDSLTGGSSLVLKNKKSNNEDDCPKGELKDSNSQKNTAKSGDRYRDFFGDIELDQEEKQMSPLERSFEDRQKDSDMGEKVSRFSNNVLNERSSGKKTDKLSMSDMHLKTALRVGPCSGNGPSDVGPAATEDNWVCCDKCQKWRLLPLGKNPNDLPEKWLCSMLNWLNGMNRCSFSEEETTNAVLALNQIPAPVSQNNLQINPGGVTSKVTLVDGQLDQNHQDLSLHAMPNSGKKKAIKDGSALLQNSIKKSVLSPLTNGSLNDVNQPMVTEPDLLRLSKSTDLAAEKYKHKQKEKHKVLDNCSDGGDTRKSKMKGKRDLEQDLFRASKKIRTESLQKDSVSDHVNIEKVGPSSSNDLPNNSGHTSSKDHVLVPARKPKDEVLVSMDDEPMDLEIEKKRKVKGSLDSQANPRTLSNTGHNLQESRILAKEEFSENEYRKEKKARTSRSDGKESSASKSNSKSDKKNSHRKNRQLGQDVGNTVSQQSLEGVDPLKRDFGSRHPSVAATSSSSKVSGSHKSKVNVPDTKGSPVESVSSSPLRVSKPGGQRRCGDAEDDGGSDQSGIAKKDKILDVAHHGSRTSSVFDLQEKDFSHVSGGKAKQQIVPCPDVMNTHSANGGADYLVQDTQYPGETTSSDRCRKDDRQRENNYHVNGSHPRKTEKGSSSRSKEKKRCLNAELDNGKVMVSDSTMVQAPSYEEKPIDGKVKIEEKFGFRSDGSENRCIDKKDSTGRLSCETSIKESLSKFHGHNGPDSKAHAISSQDATFTPKQSLPLDCEAVSGRGKSPSLHCPLPISGSQKGNGAKISVINASDSNNASKMQKQIRKVDHVNGTRPNSSRDPLSNGHRGRDLDAPSPVKRDSSSQAATNALKEAKNLKHLADRLKNSGSNVESTKLYFEAALKFLHAASLLETCSSESAKNGEMIQSIKVYSSTAKLCEFCAHEYEKSKDMAAAALAYKCMEVAYMRVIYSSHTNANKDRHELQTALHMIPPGESPSSSASDVDNLHHPATVDKGFLAKAVISSPQVTGSHVIAARNRPNFVRLLNFAQEVNFAMEASRKSRIAFVAANGSLGETQQREGIASIKTALDFNFQDVEGLLRLVRLAIEAITR >Manes.03G133400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:25951378:25955509:1 gene:Manes.03G133400.v8.1 transcript:Manes.03G133400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAIEGVAVTALRSVMFRVRQAAERAGRRPELVRVVAVSKTKPVSLIRQVYDAGHRCFGENYVQEIVDKAPQLPDDIEWHFVGHLQSNKVKTLLGGVPNLAMVEGVDNEKVANILDRVVSSLGRNPLNVLVQVNTSGEASKSGLDPSGCAALAEHIKVCCPNLVFSGLMTIGMPDYTSTPENFRTLLNCRREFCKALGMEEDQCELSMGMSGDFEQAIEMGSTNVRIGSTIFGPREYAKKQPN >Manes.02G084300.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:6583106:6584687:-1 gene:Manes.02G084300.v8.1 transcript:Manes.02G084300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTLNQEDVPAFHKKQRKAHKKSEDKNAVHESLVSFRRGRRPETHRRTRRNRREMKIQEKDHGVMSGNSQDDDEEKEEVERKIVALQRIVPGGESLEVDKLFEETAGYILALQCQIKAMRTFASFLEGMEKEKSKFGG >Manes.09G058940.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:9536149:9539788:1 gene:Manes.09G058940.v8.1 transcript:Manes.09G058940.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQWINSPPSTRLSHSTQHNLAIMKQNSLVLLIFLSFLLLSSTSARILPPKQVGKERRGHGITQLPGTSFTEKKDDVSHLMGSEVDECVEGDEECFQRRMVAEAHLDYIYTQHHNKP >Manes.18G108700.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004404.2:10735327:10736888:-1 gene:Manes.18G108700.v8.1 transcript:Manes.18G108700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSSRVVAGESNTGRNGLVCQYQFEDGSSQFIFLQVGDKNGAVDIDRSLSFCTENYVQAISSCKGLLLLSSIGDLGLKYHVLDSMSRGVSTLPQPAITRRIIRSGLAFDGLHYQVVLVHVADAEETPDGLEMEIYSSETGIWTKFHPNGLFSPVPVPDFEFSELKTPPLFSNGAIHWEINGHLLVYQVEVGYCELIELPNSLEDWCWQSTMTYKRCLSESQGRIHYTYTDLEGIHSWILLNEEDHDCYSFNYPYDRRTFRWALAHSVSHQDLNLKHEEIYPHIGQGNREPYDASPLSLSEDSETLYLQLPGFLVSYNTTTKVIEEVCTYIFPGINFNCCLFFPFMYGKQIQAETAGKSLEVGVVELPIKEKLDKLAF >Manes.14G114300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14236064:14240702:1 gene:Manes.14G114300.v8.1 transcript:Manes.14G114300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLLLLLLLVCIFSLSFVRLCLSYEPRNHEVEALISIREALDDPHGVLNNWDEDSVDPCSWAMITCSPDNLVIGLGAPSQSLSGTLSGTIGNLTNLRQVLLQNNNISGEIPPELGTLPKLQTLDLSNNRFSGFIPDSLDQLNSLQYLRLNNNSLSGPFPVSLAKIPRLAFLDLSYNNLSGPVPKFPARTFNVVGNPLICGSGCSEGLFGYANAGPLSFSLNSSAGKHKSKKLATALGVSFSFVSLLLLALALLWLRKKQRSLTILNISDKQDEGLPSLGNLRNFTFRELQFATDNFSSKNILGAGGFGNVYKGKLGNGTMVAVKRLKDVTGNSGESQFRTELEMISLAVHRNLLKLIGYCATPSERLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGTARGLLYLHEQCDPKIIHRDVKAANVLLDDFCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVNQKGAMLEWVKKIKQEKKVEELVDRELGRNYDQIEVGEMLQVALLCTQYLPAHRPKMSEVVQMLEGDGLAEKWAASHNHSKSTMNLSASTHDEIGHDRSSNLFGMGTEDDDDEHSLDSYAMELSGPR >Manes.11G130116.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:29256275:29258475:1 gene:Manes.11G130116.v8.1 transcript:Manes.11G130116.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSRVLHNPSHSLPAASNPTISLPPISNLGKKRKLTSTVWDHFEKVHHSGNDWAICSYCKTSLKANSKNGTKSLHNHIEKCAKKGNQDIVKCLEKQKQISIDIRNDGKVHFGNFTFDQEKSRRELACAIILHEYPLSITEHVGFRKFVASLQPLFKMVSQNTIKKDILDIYDVEFNKLYKSLEKLKSRIAITTDMWTSNQKKGYMSITAHYIDDFWVLQNRILRLLIFSYFYYIDLCVPTPHTKEELAKNLMEAFSKWNIETKISTITVENCSTNDGMVSIVVDKLFGDLLCDGVVLHMRCCAHILNLVVKDGLATIESSLSRIRDSVVFWVASPQRVEKFEEMARQLKITCTKKLSLDCKTRWNSTYHMLQTAIEYKDVFTRLKIREKSYKDVLTYDDWEMTKRVAEKLETFHSITEIFSGKKYPTSNCFFISICQLRNSIVEWMSSDDDVIKSMSARMFEKFEKYWSVVHIVLAVAVILDPRYKIKVVEYYFPMIYGDNTSNEIEQVKVTCYNLLNDYQSRAFKPKSQNLSSVPPISISENQGSLKKYFSNLVAFLNSSSTSVYVKSELDHYLEEPVLPWMQEFDILNWWKTNGIKYPTLQMIARDFLAVHVSSVASEFAFSTGGRVVSIHRSRLHEDTLEVLMCSQNWLWSEIEAGCSNESISCLWDAENDVN >Manes.02G013200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004388.2:1312084:1315682:1 gene:Manes.02G013200.v8.1 transcript:Manes.02G013200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDCVMSMNPIKFSEHRNHTKLVRSFSNPTAEAKPPRVVRISVTDADATDSSSDEEGELYRRQRMKKFVNEITIESSCSSESDSIWRSRSSRSSRPKCSAGKSGGCPVSLRPVKAAAPGTGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFVTPPAKCSLPTKPAVSSSYNSGDESHNNNTICSPTSVLRFPSSTSSNEEAESQSGLCRKEVREFKKESSLSENLSEFSEYSSINTCFPHDNFDFYSSMRDIFNEASMQNGFLEDDSEDMFVDSSGDFGFGFSNWNVEDHFQDIGDLFGSDPLVAI >Manes.16G018200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:1895990:1916362:-1 gene:Manes.16G018200.v8.1 transcript:Manes.16G018200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKTIRLYFALLVLHRLTLSTSTDTISISQTFREGDLLVSKQNKFALGFFSPGNSSYRYLGIWFYKISFQTDTVVWVANRDNPITGSAGFLSINKYGNLVLYGNKEEKFPIWSANVSVDLANTCVAQLFDSGNFALFQGSRRVIVWQSFDHPTNTLLPGMRLGLDKRTGLNRFLNSWKSTDDPGIGDYSLKLNPVGSPAFFLYDGSKPYWRGTPWPCGRPDIFNYSYVNSEEEIFFSYSHDDSSVLFQLMVHESGTLLWVSRRESDANWKEFWSASKYRCDSYGRCGANSVCDPKNVNIYECSCLPGYEPKFPRNWFPMRDGSGGCVRKRLKSSSVCGQGEGFVKVPQVKIPDTSTAVWVNMSMSRVDCEQECYKDCSCSAYASIKIPGEAVACLAWYGDLMDIVDLMDHSGYDIYVRVDAIELAEIERSNGFLEMKGMLAFLVVSVSSAWFVIILFVYLWLRKRKKRVKNKSNRRLFDSINGPHYHKDNFEADDIQTSRSHTDLAFFNLSTISAATDNFSQANKIGQGGFGSVYKGQLTNGKEVAVKRLSKNSGQGAEEFKNEAMLIAKLQHRNLVKLLGCCIQEEERILIYEYLSNGSLDLFLFDTTRSSFLNWSKRYKIIVGIARGILYLHQDSRWRIIHRDLKSSNILLDAEMKPKISDFGTARILEDDQIQHKTHRVVGTYGYMSPEYAVLGKFSVKSDVFSFGVILLEIISGKKSNGFHQEDPSLTLIGHVWELWQEDRALDIVDSTLKESYNSHEVLKCIHIALLCVQEDAMDRPTTSAIIVMLDSEISLPCPKQPAFIFRTSCNSSPIWEGPSSVNEMSITETVAR >Manes.04G017601.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004390.2:2189793:2190622:-1 gene:Manes.04G017601.v8.1 transcript:Manes.04G017601.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISCQTNTALITEIPHMVLYKKRVELSSSPPCTQTLGSVLGEVSKKEWKNEDCSSGCSSPDDGWILLCWEQERFGC >Manes.12G151900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:35996916:36001015:1 gene:Manes.12G151900.v8.1 transcript:Manes.12G151900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEDGGTHSEPPDPDVLEVDPTGRYIRYKEVLGKGAFKTVYKAFDEVNGLEVAWNQVRIDEVLQSPEDLERLYSEVHLLKSLKHNNIVKFYNSWIDDKNKTVNIITELFTSGNLRRYRKKHRNVDLKALKSWARQILMGLSYLHSHKPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDEDYNELADIYSFGMCMLEMVTLEYPYSECRNSAQIYKKVSSGVKPAALSKVKDQEVKLFISKCLVPASQRLSAEELLMEPFLALNELARNRPLPLPDIVLPRTAAFGDRCLMSEGPANIQNKLPSMELDHDPEIPSITSLNNSIDGHFYSPCVEVRREKRGNVFLLRGEGSDENSVSIVLRIADQNGSRVRNIHFLFYLDGDTALSVSSEMVEQLELEGQNITFIAELIDLLLLQLIPNWKPCVLIEHLVPQTRTENFEGNQNHYSPENGETVVDSLQNVCESVNYSRTSSCHSCSLGGSIPTAKTEKGSDFIKLNVLSHVDESGNQTIAGAEEQASEMSYLSAASGEWDDKKLSFNSNISMDSALTDFDGNGLKVVLGEFFARMGSPSLEDKNKLMDVNGSHMEISSSYPSSISTLPRDENEDLGKELENIEMQYQEAIKEISKRRHEAILETTKRLSQKNVGFH >Manes.15G101600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7954074:7958583:-1 gene:Manes.15G101600.v8.1 transcript:Manes.15G101600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGLEMPQRQSPRAPHPLRTSSSESDPLNHRPITERSPKLGDRRSPRGPPSDSLNQKKLGTRIADLESQLGQAQEELKILKGQLASAEAAKQEAQQELENKTKKPTILEPDEIQEKHPPAEIQDSEKADDNVIDANNQQETDVFEVPFEKEAADTKVEPGHLLDHEEKGNGATKIITETLSISEPVKPSFNDLALKDDEINMLKAKLEKKQNELEAFVKENENLKNLLNGATSNISSAKAKEEEMSMRLSQLGEELEQSKANEAHLKEKLESAEGAKATMEAEIKKMRVQTEQWRKAADAAAAVLAGAVEMNGRITERCGSMDKHFSGVFGTTGGGGGYTGLLGSPGISDDLGDGFGSGKRKGSGIKMFGDLWKKKSQK >Manes.15G101600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7954074:7958583:-1 gene:Manes.15G101600.v8.1 transcript:Manes.15G101600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGLEMPQRQSPRAPHPLRTSSSESDPLNHRPITERSPKLGDRRSPRGPPSDSLNQKKLGTRIADLESQLGQAQEELKILKGQLASAEAAKQEAQQELENKTKKPTILEPDEIQEKHPPAEIQDSEKADDNVIDANNQQETDVFEVPFEKEAADTKVEPGHLLDHEEKGNGATKIITETLSISEPVKPSFNDLALKDDEINMLKAKLEKKQNELEAFVKENENLKNLLNGATSNISSAKAKEEEMSMRLSQLGEELEQSKANEAHLKEKLESAEGAKATMEAEIKKMRVQTEQWRKAADAAAAVLAGAVEMNGRITERCGSMDKHFSGVFGTTGGGGGYTGLLGSPGISDDLGDGFGSGKRKGSGIKMFGDLWKKKSQK >Manes.15G101600.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7954074:7958583:-1 gene:Manes.15G101600.v8.1 transcript:Manes.15G101600.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGLEMPQRQSPRAPHPLRTSSSESDPLNHRPITERSPKLGDRRSPRGPPSDSLNQKKLGTRIADLESQLGQAQEELKILKGQLASAEAAKQEAQQELENKTKKPTILEPDEIQEKHPPAEIQDSEKADDNVIDANNQQETDVFEVPFEKEAADTKVEPGHLLDHEEKGNGATKIITETLSISEPVKPSFNDLALKDDEINMLKAKLEKKQNELEAFVKENENLKNLLNGATSNISSAKAKEEEMSMRLSQLGEELEQSKANEAHLKEKLESAEGAKATMEAEIKKMRVQTEQWRKAADAAAAVLAGAVEMNGRITERCGSMDKHFSGVFGTTGGGGGYTGLLGSPGISDDLGDGFGSGKRKGSGIKMFGDLWKKKSQK >Manes.15G101600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:7954074:7958583:-1 gene:Manes.15G101600.v8.1 transcript:Manes.15G101600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGLEMPQRQSPRAPHPLRTSSSESDPLNHRPITERSPKLGDRRSPRGPPSDSLNQKKLGTRIADLESQLGQAQEELKILKGQLASAEAAKQEAQQELENKTKKPTILEPDEIQEKHPPAEIQDSEKADDNVIDANNQQETDVFEVPFEKEAADTKVEPGHLLDHEEKGNGATKIITETLSISEPVKPSFNDLALKDDEINMLKAKLEKKQNELEAFVKENENLKNLLNGATSNISSAKAKEEEMSMRLSQLGEELEQSKANEAHLKEKLESAEGAKATMEAEIKKMRVQTEQWRKAADAAAAVLAGAVEMNGRITERCGSMDKHFSGVFGTTGGGGGYTGLLGSPGISDDLGDGFGSGKRKGSGIKMFGDLWKKKSQK >Manes.01G224000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:39033346:39038208:-1 gene:Manes.01G224000.v8.1 transcript:Manes.01G224000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRSSIVSFLLDRFDFKSKKKKRRGVYPGKMLWVMRFSGFFSAAMIMIILSPSLHSFPPAEAIRSSHLDRLGNPTAALPDRFCFRQATVFRNADKCSFRDNRISGKTGVCNPSLVHVAITLDVEYLRGSIAAVHSILQHSLCPESVFFHFLVSETNLEYLVRSTFPQLKFKVYYFDPEIVRNLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDIVKLWTTNLGSRTIGAPEYCHANFTKYFTASFWSNLRFAGTFSGRKSCYFNTGVMVIDLAKWRRVGYTKRIERWMEIQKRDRIYELGSLPPFLLVFSGHVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRIDSKQPCPLDALWAPYDLYGHSQ >Manes.17G082500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28769000:28772173:-1 gene:Manes.17G082500.v8.1 transcript:Manes.17G082500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNSITNHKQEKGKFKPNDHNVLQKHVFFFDRNQDGLVYPWETFQGFRAIGCGVLLSAASAVFINMGLSRKTRPGKGPSLLFPIEIQNINLGKHGSDSGVYDKDGRFVHEKFEAIFRNHARTHPDALTSSELMGMLKANREPKDYAGWVASWTEWKTLYSLCKDSNGLLKKETVKAVYDGSLFERMEKEKEKQKASDKKKA >Manes.17G082500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004403.2:28769000:28771905:-1 gene:Manes.17G082500.v8.1 transcript:Manes.17G082500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNSITNHKQEKGKFKPNDHNVLQKHVFFFDRNQDGLVYPWETFQGFRAIGCGVLLSAASAVFINMGLSRKTRPGKGPSLLFPIEIQNINLGKHGSDSGVYDKDGRFVHEKFEAIFRNHARTHPDALTSSELMGMLKANREPKDYAGWVASWTEWKTLYSLCKDSNGLLKKETVKAVYDGSLFERMEKEKEKQKASDKKKASV >Manes.09G113375.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:31552700:31555220:-1 gene:Manes.09G113375.v8.1 transcript:Manes.09G113375.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLIFNSLRVTHPLQMASFSNRSIIILYQCRGWSLEHVFIVPFIYHSSNFAAVFSGNTNNRFSRREGSMKSEGVDWHPNLRMEQNLITHGDLPRIVMDSYEECRGPPRLFLLDKLDVVGAGACLKRYTDPSFVKVEATSFGLPALDVQIEKKIRKVKAFSKDFG >Manes.05G081500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6678631:6684396:1 gene:Manes.05G081500.v8.1 transcript:Manes.05G081500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFPSESCKESQENALNPQSWLQVERGKLSKLSPCSSSSIESLIKVPEPPVLPFFRPVDYVEVLAQIHEELESCAAQERANLYLLQFQVFRGLGEVKLMGRSLRSAWQKSSTVHEKLVFRAWLKYEKKGEELLSDLLATCGKCEEEIGPIDVVSQLHADSSLKFNEHALMNADCNLKNVIFKIGDEKIFCDRKKISGLSAPFQAMLNGCFSESLCENIDFSENNISPLGFKAISEFSVTGSLIEVSPNVLLEILLFANKFCCERLKDECDRKLASLVSSLEDAVELMEFALEEKSPILAASCLQVFLQELPACLNDDRVVEIFSHADKQKRMIMVGAASFSLYCLLSEVSMNLDPQSNKTACLLERLVESAETNRQKMLAFHQLGCVRLLRKEYDEAEHLFEAALGAGHIYSVSGLARLGYIRGHRLWAYDKLSSVVSSINPLGWMYQERSLYCEGNKKYEDLDKATELDPTLTYPYMYRAASLMRRENVKAALAEINRILGFKLALECLELRFCFYLGLEDYGAALSDVQAILTLSPDYRMFEGRVAAYQLRTLVREHVGNWTTADCWMQLYERWSSVDDIGSLSVIYQMLESDAPKGVLYFRQSLLLLRLNCPEATMQSLQLARLHASTEHERLVYEGWILYDTGHCEEGLLKAEESIKINRSFEAFFLKAYALADSSQDPSCSVTVVSLLDDALKCPSDRLRKGQALNNLGSVYVDRGKLDLAADCYINALKIRHTRAHQGLARVHFLRNDKAAAYEEMTKLIEKAQNNASAYEKRSEYCDRELAKADLEIVTKLDPLRVYPYKYRAAVLMDNQKEKEAIVELSRAIAFKANFHLLHLRAAFYEHIGDVMGALRDCRAALSVDPTHQEMLEFHSRVSSHEP >Manes.01G172100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366596:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAAIEAPSSVAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360408:35366595:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPTPRATRSARRRSSFKKKTGENQMCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAAIEAPSSVAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366595:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPTPRATRSARRRSSFKKKTGENQMCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAAIEAPSSVAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGSRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366595:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPTPRATRSARRRSSFKKKTGENQMCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.16.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360408:35366595:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.16.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPTPRATRSARRRSSFKKKTGENQMCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366596:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAAIEAPSSVAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGSRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366595:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPTPRATRSARRRSSFKKKTGENQMCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAAIEAPSSVAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.19.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366595:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.19.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.18.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366596:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.18.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366595:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAAIEAPSSVAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.01G172100.17.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:35360415:35366596:-1 gene:Manes.01G172100.v8.1 transcript:Manes.01G172100.17.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFDLLAIVAGNLLLEKGNNTPSSSDISADKDHCKVANNAVKNECQNDEKNMKVEVHDQGSITRSFFVSELVSQGNEQNHCSKEYLYAENDLNLGLAATVATSECAERIGSGMMVNSKSQNEIRTFAGNLDVGPSLLREFDNCKLERETKKLIKDEPHKCGKVQNGTVANMCSFEDPVVWDEKPHTLVSSGSSTKVPLRGNNNSHSSYPTNGDNVNVNSRDDDENSSGCTHPSTTKKFFRPAPRIGDRRIRKILASKYWKGAPRSNDATLSNTDGADSKPLYHKRKNYYKYQRSERLYPFKKRKHFAYGSQLDSGEGMSNEFVSDSPKKGNDEDAAGSCSKLHAGQHNSFRPRHSHVKLRIKSFKVPELFIEIPESATVGLLKRTVMEAVTTILGGGLRVGVLLQGKKIRDDHKTLQQTGICHNNQLDALGFSLEPNSSRAAPSFCPVDSPFLIDTAQPVSRYPPAPSVAHHATCAASPEPHQTNLGNLIESDHDSAPSPTAMSIEKGTTDSKALVPVPEMNIEALAVVPADLKSKRSEIVQRRIRRPFSVAEVEALVQAVEKLGTGSRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSHQQAKQHIKQQQQPPSLEL >Manes.07G021400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:2375866:2376234:-1 gene:Manes.07G021400.v8.1 transcript:Manes.07G021400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATLVLFSKLLTKTDIETHLCISTSSLGQLPFDGRQTVNMHVHDEGGRKWMFPCCIKEDENMGRFLSVDWLEFARLKDVRVGDQFIIHEEIVKHQAAGTLIRIEVRRKIRLFGFDIWANV >Manes.05G004800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:380335:386559:-1 gene:Manes.05G004800.v8.1 transcript:Manes.05G004800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAVVNTIVSRNIRCLLLHLLFFSCYAITTTDANLVDDQHFKEPIVLPSRLPAAAPAIHDQPLPAKLPQFRKPLRKHLSPLGASSIAEAPAGPPNYGPLVTSSHPPTSSNLLKTSIKKNVLVPPSVGLLDVAPTQSKAGVNASGLAQPPLSPYVSDCCKPNMVMKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLDSQIELINFYVLSLSRLNISMDIIPHTGIGFSATDASSINSSLALHKVHFDSTLVGDYRLLNLTWFEPPAPSQAPSVASSPVKAPAHQFAASSSPSASGRGKHSNLILILSIGAGIVIISIIPMLIICSCAFCEGKPEGSPKRTVKPRTTDAVPAGGSLPHPSSTRFLAYEELKEATNNFELASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYCTSHDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDSRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTLARPILRDKDRLEELADPRLGEKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDSMSASNTRPNMRQSSATFESDGTSSIFSSGPHSGLIAFDNDNISRTAVFSEDLHEGR >Manes.05G004800.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:380335:386583:-1 gene:Manes.05G004800.v8.1 transcript:Manes.05G004800.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLDSQIELINFYVLSLSRLNISMDIIPHTGIGFSATDASSINSSLALHKVHFDSTLVGDYRLLNLTWFEPPAPSQAPSVASSPVKAPAHQFAASSSPSASGRGKHSNLILILSIGAGIVIISIIPMLIICSCAFCEGKPEGSPKRTVKPRTTDAVPAGGSLPHPSSTRFLAYEELKEATNNFELASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYCTSHDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDSRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTLARPILRDKDRLEELADPRLGEKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDSMSASNTRPNMRQSSATFESDGTSSIFSSGPHSGLIAFDNDNISRTAVFSEDLHEGR >Manes.05G004800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:380335:386559:-1 gene:Manes.05G004800.v8.1 transcript:Manes.05G004800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAVVNTIEVSRNIRCLLLHLLFFSCYAITTTDANLVDDQHFKEPIVLPSRLPAAAPAIHDQPLPAKLPQFRKPLRKHLSPLGASSIAEAPAGPPNYGPLVTSSHPPTSSNLLKTSIKKNVLVPPSVGLLDVAPTQSKAGVNASGLAQPPLSPYVSDCCKPNMVMKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLDSQIELINFYVLSLSRLNISMDIIPHTGIGFSATDASSINSSLALHKVHFDSTLVGDYRLLNLTWFEPPAPSQAPSVASSPVKAPAHQFAASSSPSASGRGKHSNLILILSIGAGIVIISIIPMLIICSCAFCEGKPEGSPKRTVKPRTTDAVPAGGSLPHPSSTRFLAYEELKEATNNFELASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYCTSHDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDSRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTLARPILRDKDRLEELADPRLGEKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDSMSASNTRPNMRQSSATFESDGTSSIFSSGPHSGLIAFDNDNISRTAVFSEDLHEGR >Manes.05G004800.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:380335:386559:-1 gene:Manes.05G004800.v8.1 transcript:Manes.05G004800.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKQGSHNCHCVYPIKLDLLLLNVSQSPNWGKFLQELASQLDLLDSQIELINFYVLSLSRLNISMDIIPHTGIGFSATDASSINSSLALHKVHFDSTLVGDYRLLNLTWFEPPAPSQAPSVASSPVKAPAHQFAASSSPSASGRGKHSNLILILSIGAGIVIISIIPMLIICSCAFCEGKPEGSPKRTVKPRTTDAVPAGGSLPHPSSTRFLAYEELKEATNNFELASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYCTSHDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDSRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTLARPILRDKDRLEELADPRLGEKYPKEDFVRVCTIAAACVAPEANQRPTMGEVVQSLKMVQRVTEYQDSMSASNTRPNMRQSSATFESDGTSSIFSSGPHSGLIAFDNDNISRTAVFSEDLHEGR >Manes.01G157100.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34309778:34313764:-1 gene:Manes.01G157100.v8.1 transcript:Manes.01G157100.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLMFENSCEVGVFSKLTNAYCLVAIGGSESFYSTFEAELADVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDNVVVQRIEERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCTLSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVEEMRKSLIDSYV >Manes.01G157100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34309778:34313764:-1 gene:Manes.01G157100.v8.1 transcript:Manes.01G157100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLMFENSCEVGVFSKLTNAYCLVAIGGSESFYSTFEAELADVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDNVVVQRIEERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCTLSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVEEMRKSLIDSYV >Manes.01G157100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:34309778:34314023:-1 gene:Manes.01G157100.v8.1 transcript:Manes.01G157100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLMFENSCEVGVFSKLTNAYCLVAIGGSESFYSTFEAELADVIPVVKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDNVVVQRIEERLSALGNCIACNDHVALTHTDLDRETEEMIADVLGVEVFRQTIAGNILVGSYCTLSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREAQPSAIVEEMRKSLIDSYV >Manes.01G238900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:40070738:40072526:-1 gene:Manes.01G238900.v8.1 transcript:Manes.01G238900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETNGNEPRGSPRLNERILSSMSKRTVAAHPWHDLEIGPGAPAIFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAICADDPEYKHYKDIKELPPHRLTEIRRFFEDYKKNENKEVAVNEFLPGNHAVDAIQYSMDLYAEYILHTLRR >Manes.11G025900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:2567855:2569899:1 gene:Manes.11G025900.v8.1 transcript:Manes.11G025900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSYTGGSWTMIPNVPSHSNSPAHSNQDQYYLHQQSQQQQQQFNQFQQQQQQQQQFQQQHQFQQQQQQQQRLIQQQQQQQNQQHQSLASHFHLLHVYVQLVENLAEVIENGTRDQHSDALITELNNHFEKCQQLLNSISTSINSKSMTVEGQKRKVEECEQLLNQRRDLLGKYRSSVEELIKSEL >Manes.09G053550.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004395.2:8837935:8840297:-1 gene:Manes.09G053550.v8.1 transcript:Manes.09G053550.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLSLHAVGCICMLKLVDGIRWHSQVSSAFLFMFKLRNRSTCLVLNHENLRFLSASTAAAVADGILKPQFHPQTTVDLNTQVQKMSPLHFSISLDPKFFISTLVNCENLSQIKQAHALVTTNGMLHSRTVANKLLYVYAHHRDLVNASVLFDKMGERDLVSWSVLIGGFAKVGDCMHCLETFRELIRCGMQPDNYSLPFVIKACRDTRGLEIGTSVHCIVKKYGLHLDHFVCAALVDMYAKCGVIEDAKQLFDKMPSKDLVTWTVMIRAYAECGNANDSLVLFDQMREEGFVPDKIAMVSIVNACAKLGAMHKARQVHDYVSKNKIYLNVVLGTAMIDMYAKCGSVDSAREIFDCMQQKNVISWSAMIAAYGYHGQGKKALELFPMMLSSGILPNNITFVSLLYACSHSGLVDDGLQLFSLMEESYGVRPDVKHYTCMVDLLGRAGRLNEAFGFIENMTVEKDEGLWSALLGACRMHKHIDFAEKAAKSLFELQPQNPGHYILLSNIYANAGRWKDVAKVRDLMTKRRLKKIPGYTWIEVDNIIYQFRVGDFTHPRAKEIHEMLKTLTQKLELAGYVPDTTFVLQDVDEATKLGILYRHSEKLAIAFGLIATPEGTTIRISKNLRVCGDCHTFSKLVSAITQREIIVRDANRFHHFKEGACSCGDYW >Manes.05G102600.28.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551441:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.28.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRGDYA >Manes.05G102600.27.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551443:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.27.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRGDYA >Manes.05G102600.31.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551424:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.31.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPDSTEHNCNTAHKG >Manes.05G102600.30.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551424:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.30.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRGDYA >Manes.05G102600.26.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551424:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.26.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRDSTEHNCNTAHKG >Manes.05G102600.23.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551424:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.23.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRDSTEHNCNTAHKG >Manes.05G102600.29.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551424:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.29.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRGDYA >Manes.05G102600.24.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551455:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.24.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRDSTEHNCNTAHKG >Manes.05G102600.25.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551441:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.25.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRDSTEHNCNTAHKG >Manes.05G102600.13.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551425:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.13.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPANNEVLDKIVSVRGRIRLSHLKCKARLGNASTLDRGDYA >Manes.05G102600.32.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:9547221:9551424:-1 gene:Manes.05G102600.v8.1 transcript:Manes.05G102600.32.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKQRENVIIFSGQKKVTVFNEKNGKLSETAEAESLQVSNLQQKLWKTLREAASYQEQVGKHSQYVEQSTQDRKLEGKGNYPSEERQSTKGPVNEESNPGGRNDHLLILANSAELMLESDSLDGTLENEQNHEDHKSPGKQFEGTLVIDHLRNDGDGFNCTGRTPRLSQIRCQARLKSNLLLKQTLGEPTVGHLQGGRIRLTQIRHQARSKSHSQRYSCGAVQGGIMRLSELRRQARSKYNSSMQEAIEDHVPGHLDCQTYKDNQRKRVIEDIFGEEDGSKAASGFGFHPSKVDTVHEYIASGGGDLSLPDSTEHNCNTAHKG >Manes.12G063001.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004398.2:6130435:6132221:1 gene:Manes.12G063001.v8.1 transcript:Manes.12G063001.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLSTVLFSCALLLSLCIPSSLAQTCRGHTFSSNQVFTACTDLPVLTSFLYWNYHPSNLTADIAFRKAGASTNTWVAWALNPGGQQMIGSQAILAFHNSSGVPIAYTTPITSLFPSMQPGDLSFQVSNLKAEYSNGDMIIFATLHLTSSMISTNQVWQEGTMSGTSFNPHAMDSPNRASVGTINFENGATVAGTIRTSRKKNVHGILNAVSWGVLMPMGIMIARYLKVLKVANPAWFYAHVVCQSSAYIIGVAGWGTGLKLGSDSPGIKYTKHRNIGITLFCFATLQVLALLLRPKPDHKYRLYWNIYHHSIGYATIILSIINIYEGFDILDPEKKWKKIYTGIIIFLGAIAALLEVFTRITILRRKKTANSDKHVHGSNGYVA >Manes.08G064501.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9000626:9005108:1 gene:Manes.08G064501.v8.1 transcript:Manes.08G064501.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTKSSLSETPSKATAATPRVNKVSRGVTKSESDSPAPVQSSRLSIERSPRSVTSKPTIERRSTKVTPPRETRVVKGSEFQSQLSLVQEDLKKAKEQIGLIEKEKEQAIEELEQAQKAAEEANEKLQEALVAQKRAEENSEIEKFRTVELEQAGIEAAQKKEEEWLKELEAVRNQHALDVAALRSTSLELQKVKQELAMTADAKNQALNHADDATKVAEIHADKVETLSAELTQLKALLDSKLEMETNESNDMLLKLKKEIETLKQKLEEARAFEDKFIEREASIEQLNVELEAAKMAESYARNLAEEWKNKVEELEMQLEEANKLERSASESLCSVMKQLEGNNDLLHDAESEISALKEKVGLLEMTIARQKGELEESERCLRVAKEETSELAKKAESVQSELEMVKEEKAQALNNEKLAASSVQSLLEEKNKLITELEISRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSSQVEHENYETQIEDLRLVLKEANKRYETMLDDAKHEIDLLKNNIEGSKNEFENYKTEWEQKEQNLMNCVKKSEAENSSLEREIDRLINLLKQTEEDACATREEEAQLKDSLQEVEAEVISLQEALGESKVGSMKLKESLLDKENELQNLFQENEELRAKEAISLKKVEELSKLLEEAMAKKKTEENGELTDSEKDYDLLPKVVEFSEENGHVRAEKPKLELPPQQHEGKENSKEHSNGFNNQTVPMEAAKIENVNGKLKEDENKEKEDDSVEVEFKMWESCKIEKKEFSPDREPEQESFEDEVESKVDGGESFDQINGLSSAENVDSNGGSSPSKQPQQKKKKPLFRKFGSLLKKKSTSNQK >Manes.08G064501.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9000626:9005108:1 gene:Manes.08G064501.v8.1 transcript:Manes.08G064501.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTKSSLSETPSKATAATPRVNKVSRGVTKSESDSPAPVQSSRLSIERSPRSVTSKPTIERRSTKVTPPREKPQTRVVKGSEFQSQLSLVQEDLKKAKEQIGLIEKEKEQAIEELEQAQKAAEEANEKLQEALVAQKRAEENSEIEKFRTVELEQAGIEAAQKKEEEWLKELEAVRNQHALDVAALRSTSLELQKVKQELAMTADAKNQALNHADDATKVAEIHADKVETLSAELTQLKALLDSKLEMETNESNDMLLKLKKEIETLKQKLEEARAFEDKFIEREASIEQLNVELEAAKMAESYARNLAEEWKNKVEELEMQLEEANKLERSASESLCSVMKQLEGNNDLLHDAESEISALKEKVGLLEMTIARQKGELEESERCLRVAKEETSELAKKAESVQSELEMVKEEKAQALNNEKLAASSVQSLLEEKNKLITELEISRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSSQVEHENYETQIEDLRLVLKEANKRYETMLDDAKHEIDLLKNNIEGSKNEFENYKTEWEQKEQNLMNCVKKSEAENSSLEREIDRLINLLKQTEEDACATREEEAQLKDSLQEVEAEVISLQEALGESKVGSMKLKESLLDKENELQNLFQENEELRAKEAISLKKVEELSKLLEEAMAKKKTEENGELTDSEKDYDLLPKVVEFSEENGHVRAEKPKLELPPQQHEGKENSKEHSNGFNNQTVPMEAAKIENVNGKLKEDENKEKEDDSVEVEFKMWESCKIEKKEFSPDREPEQESFEDEVESKVDGGESFDQINGLSSAENVDSNGGSSPSKQPQQKKKKPLFRKFGSLLKKKSTSNQK >Manes.07G095000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:29416563:29420652:1 gene:Manes.07G095000.v8.1 transcript:Manes.07G095000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKMDDMSLPSLFEEARKIHLMATEFAADQEMVKKGCKALERCEEMICKLGLFSANETKDDISTTNLKYILVPYYLGERTEKIAQDDRMQILKASQAKLKEFLSFCETLELVPEEELHTSSQGGSNSFAERRAQKIARFNRQKAAQAKLLEIKERKERRGRSTRATALSTPVEAGEEDVLDDDGEEEREAWLAMISLAICKAIDLLDMLKKEEEILSVIKERQLKEGDKEFSQSILDERTKKAEAWHREAAARAQYTKPAPPITCATFAQDVLEGRANVSQAHDHKHQPMIFGPASLVGGSLTSERERMAAQVFQPSHRLPTMSIEEAGLREMEIMNKWQERNAKLMAEANSAWYKDGPKVKSGEEEDDEDDDAAVQKARAWDDWKDDNPRGAGNKKLTPCG >Manes.06G119200.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004392.2:25021373:25024072:-1 gene:Manes.06G119200.v8.1 transcript:Manes.06G119200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLLCYQSISLDKIRSCCSLPSSILDHRGFIKQKTLFSAYGFSLNGGNWRNPFTKTRCCSLHRGLQPRPKPKPSNFDADLAEAIGLRDTQIKKPSARVCSQLEKLVLYGRYREALELFEIFENYGGFDMGSSTYDALVSACIGLRSIPGVKRVFNYMANNGFEPDQYMSNRILLMHVKCGMMIYARKLFDEMPERNLVSWNTIIAGHVDKGDYMEAFRLFLIMWEDFSDAESRTFATMIQASAGLGLISAGKQLHSCALKMGVGEDIFVSCALIDMYSKCGSIEDAHCVFDEMPEKTTVGWNTIVAGYALHGYSEEALDMFYDMRDSGVRMDHFTFSIIVRICARLASVDYAKQAHAALVRHGFGSDIVANTALVDFYSKWGRVEDARNVFNKMPCKNVISWNALIAGYGNHGRGDDAVELFEQMLQARMRPNHVTFLAVLSACSYSGLSERGWEIFQAMSRDYKVKPRAMHYACMIELLGREGLLDEAFALIRGAPFKPTANMWAALLTACRVHENLELGKFAAEKLYGMEPEKLNNYVVLLNIYNSSGKLKEAAAVIQTLRRKGLRMLPACSWIEVNKQAHVFHSGDRSHAQRKEIYQRVDYLMKKIAKHGYVPENKTLLPDVDEQEQRVLSYHSEKLAIAFGLINTPYWVPLQIVQGHRLCSDCHKAIKLIAMVTRREIVVKDASRFHHFKDGNCSCGDYW >Manes.08G136500.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:37323768:37330728:-1 gene:Manes.08G136500.v8.1 transcript:Manes.08G136500.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELADEAMDRKPNGVIMKSNGVSHDNVPALPKTSGHNVEAKDFKEKESTAADSIVENQNENQDVLGVKSTNFDTDQAEVKNEKAGLQKSNNDKNSSFPASKSSGARNAQGQHTIPQPFAPATEKRSGVNTSKNVNNLSSPIAAKNSQPNSPSTARKPLHPDNKKLPDEEDNWSVASSAASARTIKCVTIGSAPTFRSAERAERRKEFYSKLEEKHRALEAERNQAEARAKEEQQAAIKQLRKSMVVKAKPVPSFYYEPPPPKAELKKLPLTRPVSPKLNRRKSCSDAIQSSKDDAGKHCARRSMGNHKEDLNISPTAKTKVQNNGHNIKGSRKSKDRSKQENVSAKGVPDKIIGIEKTNEDISVES >Manes.10G005600.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004396.2:739983:741304:1 gene:Manes.10G005600.v8.1 transcript:Manes.10G005600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRHEAVVPPKSPAIKQDNKFFTRIMSKETSIANSSCRIYYGGASGAVPFMWESRPGTPKHNTFPNTSVNPPLTPPPSYYSTPKSKSMHKRSWKQSLMNTIFPRLMIISHNGKKKTAHDVSPSSSMSSNTTLSSSSSSSSSSSFYSSSSPSCGFKNSKSQNSSLFSCSRSPIHCIINSNEREEDDDNEQNVRSPISTLCYGGNDEQNVRSPISTLCYDGKGKGLNVFGGCYLMEDMKTAFLSIVRHGSR >Manes.16G101200.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30662641:30667816:-1 gene:Manes.16G101200.v8.1 transcript:Manes.16G101200.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALSLPPSDSSLSPFLLRTHNCSPLGAFKFRTYCYSRRSIQVYAATTKRKPRPSFFEQIRDKWSVRVPSKREKFPWEEQKQQQSQEEDDEEEEIEVQQTSGTVFGEAETDASTSVNDDSVSFTLPSRFITAPWIHGSTSQRTNFYSHHKNDENVNGIASHREETAVHNVVDKGESMKKEATYNEICKEDDDLLDVDDTESFEKEVNYNDKCTEEKVELGPISVELLSEKGIARAKESNDAISQNEKPMGDGYKGNNQVSRDHNSSPIELPWERKSEVESSEGDWRGKRSNTDLAERILPEHELKRLRNISLRMFERIKVGAAGITQDLVDAVHAKWKLDEVVKLKFEGPLSCNMKRTHEILEARTGGLVIWRSGSSVVLYRRMSYNFQCVQSYSKKNEAKKGILSLSEEVTSNAASSGVKDFIGTRESVMPEYAKYLKHLSKEELMDFGELDQLLDELGPRFKDWCGREPLPVDADLLPAVDPEYKPPFRLLPYGVRHSLTNKEMTVFRRLARTVPPHFALGRNRELQGLAKAMVKLWERSAIAKIAIKRGVLNTRNERMAEELKKLTGGVLLSRNKEYIVFYRGNDFLPPAIMETLKERRKLTFLKQDEEEQARQMTLPFIESNAKNTEGMVAGTLAETRAATLHWKNQLGREGVEEMLRDASLARLASLVKHLQNKLALAKGKLKKADTALAKVQGHLEPADSPTDLETINDEERFLFRKIGLSMKPYLLLGRRGVYDGTVENMHLHWKYREVVKVIVKGKSFAQVKHFAISLEAESGGILVSVDRTTKGYAIIIYRGKNYLRPNIMRPTNLLTKRQALARSIELQRREVTVE >Manes.16G101200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30662641:30667816:-1 gene:Manes.16G101200.v8.1 transcript:Manes.16G101200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALSLPPSDSSLSPFLLRTHNCSPLGAFKFRTYCYSRRSIQVYAATTKRKPRPSFFEQIRDKWSVRVPSKREKFPWEEQKQQQSQEEDDEEEEIEVQQTSGTVFGEAETDASTSVNDDSVSFTLPSRFITAPWIHGSTSQRTNFYSHHKNDENVNGIASHREETAVHNVVDKGESMKKEATYNEICKEDDDLLDVDDTESFEKEVNYNDKCTEEKVELGPISVELLSEKGIARAKESNDAISQNEKPMGDGYKGNNQVSRDHNSSPIELPWERKSEVESSEGDWRGKRSNTDLAERILPEHELKRLRNISLRMFERIKVGAAGITQDLVDAVHAKWKLDEVVKLKFEGPLSCNMKRTHEILEARTGGLVIWRSGSSVVLYRRMSYNFQCVQSYSKKNEAKKGILSLSEEVTSNAASSGVKDFIGTRESVMPEYAKYLKHLSKEELMDFGELDQLLDELGPRFKDWCGREPLPVDADLLPAVDPEYKPPFRLLPYGVRHSLTNKEMTVFRRLARTVPPHFALGRNRELQGLAKAMVKLWERSAIAKIAIKRGVLNTRNERMAEELKKLTGGVLLSRNKEYIVFYRGNDFLPPAIMETLKERRKLTFLKQDEEEQARQMTLPFIESNAKNTEGMVAGTLAETRAATLHWKNQLGREGVEEMLRDASLARLASLVKHLQNKLALAKGKLKKADTALAKVQGHLEPADSPTDLETINDEERFLFRKIGLSMKPYLLLGRRGVYDGTVENMHLHWKYREVVKVIVKGKSFAQVKHFAISLEAESGGILVSVDRTTKGYAIIIYRGKNYLRPNIMRPTNLLTKRQALARSIELQRREALKHHISDLEERIQLLKFELEEMKYGKKIDVEKTLGSMTGDTSVSESGVEEKKEEAYLEVYDSSHEDTYDQTQAC >Manes.16G101200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:30662641:30667816:-1 gene:Manes.16G101200.v8.1 transcript:Manes.16G101200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALSLPPSDSSLSPFLLRTHNCSPLGAFKFRTYCYSRRSIQVYAATTKRKPRPSFFEQIRDKWSVRVPSKREKFPWEEQKQQQSQEEDDEEEEIEVQQTSGTVFGEAETDASTSVNDDSVSFTLPSRFITAPWIHGSTSQRTNFYSHHKNDENVNGIASHREETAVHNVVDKGESMKKEATYNEICKEDDDLLDVDDTESFEKEVNYNDKCTEEKVELGPISVELLSEKGIARAKESNDAISQNEKPMGDGYKGNNQVSRDHNSSPIELPWERKSEVESSEGDWRGKRSNTDLAERILPEHELKRLRNISLRMFERIKVGAAGITQDLVDAVHAKWKLDEVVKLKFEGPLSCNMKRTHEILEARTGGLVIWRSGSSVVLYRRMSYNFQCVQSYSKKNEAKKGILSLSEEVTSNAASSGVKDFIGTRESVMPEYAKYLKHLSKEELMDFGELDQLLDELGPRFKDWCGREPLPVDADLLPAVDPEYKPPFRLLPYGVRHSLTNKEMTVFRRLARTVPPHFALGRNRELQGLAKAMVKLWERSAIAKIAIKRGVLNTRNERMAEELKKLTGGVLLSRNKEYIVFYRGNDFLPPAIMETLKERRKLTFLKQDEEEQARQMTLPFIESNAKNTEGMVAGTLAETRAATLHWKNQLGREGVEEMLRDASLARLASLVKHLQNKLALAKGKLKKADTALAKVQGHLEPADSPTDLETINDEERFLFRKIGLSMKPYLLLGRRGVYDGTVENMHLHWKYREVVKVIVKGKSFAQVKHFAISLEAESGGILVSVDRTTKGYAIIIYRGKNYLRPNIMRPTNLLTKRQALARSIELQRREALKHHISDLEERIQLLKFELEEMKYGKKIDVEKTLGSMTGDTSVSESGVEEKEEAYLEVYDSSHEDTYDQTQAC >Manes.07G073800.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004393.2:21944153:21944901:-1 gene:Manes.07G073800.v8.1 transcript:Manes.07G073800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPSSSLIVLLSLITLSISVDARHLLETALPEVPELPKPELPSVPKVELPPLPEVPAFPKPELPELPKPEIPTLPKPELPELPKPELPAFPHLPELPKPELPTFPHLPELPKPTFPTKDTKPPQSTTSP >Manes.03G052800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004389.2:4922477:4924481:-1 gene:Manes.03G052800.v8.1 transcript:Manes.03G052800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCSKEGIHRGAWTVLEDKILKAYIEAHGEGKWRHLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLRKKANAETTSPQSKTKPCANSRQKKLNTEPSSSPHPAEVIRSKATRCTDILIPLQYPPLPPRIHAGPPTAATASDPPAETHFPNDSGQGFDSCDQQLHCGTFTNEELLQNDDLDFLNLECHEFQASDGDAAIVDYNYKGNLSMGPHHHQPTPFEEPPVFNDWTTSACLEDHANLDLDSLALLLDSEGWSLE >Manes.06G022100.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:4125623:4128702:1 gene:Manes.06G022100.v8.1 transcript:Manes.06G022100.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKMNFLVLPFLLLFPLLLPIASAQLRQNFYSQTCPNVESIVRSVVQQKFQQTFVTAPATLRLFFHDCFVRGCDASVLIASPTNNAEKDHPDNLSLAGDGFDTVIKAKAAVDSVPQCRNKVSCADILALATRDVVALAGGPFYTVELGRRDGRISTKASVQRKLPSPNFNLDHLNSMFASHGLTQTDIIALSGAHTLGFSHCSRFSKRIYNFSPRNRIDPTLNLQYALELRQMCPIKVDPRIAINMDPTTPQKFDNAYYGNLVQGKGLFSSDQILFTDPRSRPTVQLFASNNAAFQNAFVAAITKLGRVGVLTGNHGEIRKDCARIN >Manes.08G065700.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:9540131:9572359:-1 gene:Manes.08G065700.v8.1 transcript:Manes.08G065700.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGLECLSDMDDLASTFAKLNRVVTGPRNVGVIGDRGSGSFSRESSSATDWAQDEELASWLDQQMFDIENAQEAKRWSSQPQSSSARFSESKLLYRTSSYPQEQPQLHRFSSEPILVPKSSFTSFPPPGGRNQQASHDHLNNSSLTSAPQPFSAPNFSPSSNSNLHLAGMHHGLRYHGNMPAITSPGHSFSSRPQNHWVNNAGLLQVDQSSLLQSILQQQLSHKNGLMSAQLMSPQQQRLHTGIQPSLAHFAAVQSHLYNAHPSSAHKMVFGLSDQKHKSQKGRQNTRLSQQSSDASSQKSDGGGFQFRSKYMTAEEIESILKMQHAATHNNDPYVDDYYHQASLTKRSGGSRAKQHFCPSHMKELHSRGRNSTDQQSHLHVNAVGRIPVPSIRKLQPLLDVDAPGSADRNSEEISERSLEQEPMFAARIAVEDALCLILDVEDIDRFLQFNHPQDGGSQLRYKRQILLEGLATSLQLVDPLGQSGSTVGLAPKDDIVFLRIVSLPKGRKFISKFLQLLLPGSKLTRIVCMAIFRHLRFLFGSVSSDTGAAEATMNLVKTVSASVNSMDLHALSACLVAVVCSSEQPPFRPLGSPAGDGASVILKCLLEKATKLLNDPHTAANCGGPNFALWQASFDEFFDLLTKYCLIKYDTILQSLYAKDPTSTESIDVEVRAATKFEMPVELLRACLPHTNERQMELLRHFGQQRNPITGSGLINSETVRS >Manes.14G011000.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:669706:672089:-1 gene:Manes.14G011000.v8.1 transcript:Manes.14G011000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCDKTQVKKGPWSPAEDLRLVTFIHNHGHENWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTKEEEDTIITLHQTLGNKWSRIASHLPGRTDNEIKNVWNTHLKKKLAFNKDHADSNREKSKASPSSSSQSSSSSSSTIISGGKRNMAIIELDHQPKHGSVSKRPRPLEDLREFKPSNKEAKEVSSPSSSSHNSNVTNYSSQVDVSKADDEQMGSLFNFSSGPYDVPLEEVNKPEIQDINAAVEIPLESDLDFWNMLDGLNTMQTNEEVQLPNVVISQSSSLGVEYDINGEVETRKWLQYLENELGLETTQDENQKNLSEDAAKPFLAPENYQCDEIPMKSKVNSEMGHYHSWPSL >Manes.08G120600.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36037158:36042023:1 gene:Manes.08G120600.v8.1 transcript:Manes.08G120600.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPTVELLLGNCNHTISNCDSKPTLSSFSDRSQEAGTSQEEIEEETANSISEDSTSSRDQETSLKGPTLPILQKIINLSYQIQNLKKEHATLSHQVKTVNSDSFPGPEVLGTLQLLSNEYEILKKKYIEESSERRRLYNEVIELKGNIRVFCRCRPLNQVEIAGGSNCVVEFDSSLDNELHIVSSDSSKKQFKFDHVFRPDDNQEAVFAQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMDGTPENRGVNYRTLEELFRISQERSGVMRYELFVSMLEVYNEKIRDLLVENSNQPPKKLEIKQAAEGTQEVPGLVEARVYGTEEVWELLKSGSRARSVGSTIANELSSRSHCLLRVTVKGESLVDGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQYINKSLSALGDVISALASKTGHIPYRNSKLTHMLQSSLGGDCKTLMFVQISPSAADLGETLCSLNFASRVRGIESGPARKQTDFSELFKYKQMVEKLQHDEKETKKLQENLQSMQLRLAARESKCRSLQEKVRELEIQLGEERKTRLKQETKAFAAASSQTSLPSLKQAAEKTKIERKPPLCPSKLRLPLRRITNFIPPATPLHTKKIKTTASMVPSSMQDKENIARTTMARRETRSLLQPRRISVAVRPPATTSAQVLQPKRRVSIATFYPDLNSNLTTPLHTSASRLRNCGAVGRQTQSFMKDPRKARYSSLFSPLPELHSASERTPTAVRINSKFIGSPPTQAGSWKPSHPTVVALQRKSLVWSPLKLREMKNYRKSLLPSRPSTDI >Manes.08G120600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004394.2:36037158:36042023:1 gene:Manes.08G120600.v8.1 transcript:Manes.08G120600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPTVELLLGNCNHTISNCDSKPTLSSFSDRSQEAGTSQEEIEEETANSISEDSTSSRDQETSLKGPTLPILQKIINLSYQIQNLKKEHATLSHQVKTVNSDSFPGPEVLGTLQLLSNEYEILKKKYIEESSERRRLYNEVIELKGNIRVFCRCRPLNQVEIAGGSNCVVEFDSSLDNELHIVSSDSSKKQFKFDHVFRPDDNQGKTFTMDGTPENRGVNYRTLEELFRISQERSGVMRYELFVSMLEVYNEKIRDLLVENSNQPPKKLEIKQAAEGTQEVPGLVEARVYGTEEVWELLKSGSRARSVGSTIANELSSRSHCLLRVTVKGESLVDGQKTRSHLWLVDLAGSERVGKIEVEGERLKESQYINKSLSALGDVISALASKTGHIPYRNSKLTHMLQSSLGGDCKTLMFVQISPSAADLGETLCSLNFASRVRGIESGPARKQTDFSELFKYKQMVEKLQHDEKETKKLQENLQSMQLRLAARESKCRSLQEKVRELEIQLGEERKTRLKQETKAFAAASSQTSLPSLKQAAEKTKIERKPPLCPSKLRLPLRRITNFIPPATPLHTKKIKTTASMVPSSMQDKENIARTTMARRETRSLLQPRRISVAVRPPATTSAQVLQPKRRVSIATFYPDLNSNLTTPLHTSASRLRNCGAVGRQTQSFMKDPRKARYSSLFSPLPELHSASERTPTAVRINSKFIGSPPTQAGSWKPSHPTVVALQRKSLVWSPLKLREMKNYRKSLLPSRPSTDI >Manes.05G181700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:29876691:29879643:-1 gene:Manes.05G181700.v8.1 transcript:Manes.05G181700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENGANFTYMGRKFDNMSVNDDSSPFSDCNSDRSGEFQTTSSQSRRLLLACSSENSDDLIRQLVLDLESCSIDEQKQAAMEIRLLAKNNPENRIKIAKAGAIKPLITLISSSDSQLQEYGVTAILNLSLCDENKVAIASSGAIRPLVRALRTGTSTTKENAACALLRLSQLEENKVAIGRSGSIPLLVNLLESGGIRGKKDAATALYSLCSVKENKIRAVDAGIMKPLVELMADFGSNMVDKSSYILSILVVVPEGRKALVEEGGIPVLVEIIEVGTQRQKEIAVGILLQICEDNLMYRAMVAREGAIPPLVALSQSGTNRAKQKSETLIDLLRQPRSGNAATRTADVSV >Manes.08G137900.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004394.2:37426970:37428207:-1 gene:Manes.08G137900.v8.1 transcript:Manes.08G137900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVSYLLAFFLIHGLLLQSHARFAGEVSAWEAPSYAFSSHKLNVVTRKVNGRSPPPAPRLNPPFMFKSPPPRPPPPPSPVAYSPPPPPPCNY >Manes.15G137400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004401.2:11096741:11104851:-1 gene:Manes.15G137400.v8.1 transcript:Manes.15G137400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLQQIHHHHHHHHHHSVAVKVNEQQMGTKRGYTFIQAHRAWLPKFLLLWVMLVAFISSMIYNGMDADNKVRRKEVLGSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYNKNPSAIDQETFAEYTARTSFERPLLSGVAYAQRVVDSERKEFERQHGWTIKTMKKERSPSRDEYAPVIFSQETVSYIESIDMMSGEEDRENILKARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPQNPTVTQRIEASAGYLGGAFDVESLVENLLGQLAGNQAILVNVYDVTNSSDPLIMYGHQNQEGDMSLVHESKLDFGDPFRKHQMICRYHEKAPTSWTALTTAFLFFVIGLLVGYILYGAAIHIVKVEDDFHEMEELKVLAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQACGKALIALINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRNKGIELAVFVSDKVPDIVLGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLDEHAKVTTFGKADSCLHGGSDEGVIVSGARQFKTLSGFEAADDRNSWEAFKHLVADEEFQSSGSVNVLTINEDSDSVTLVVSVEDTGIGIPLHAQDRVFMPFMQADSSTSRNYGGTGIGLSISKCLVELMGGHINFVSRPQIGSTFSFTAAFGRCKKNAFNKMEKRNSEDLPSSFRGLKAIVVDGKPVRAAVTRYHLKRLGILAEVASSLKVAAGTCGKKGSPTSGGSSIQPDIILVEKDSCFSGEDGGSSVWQLDWKQNELMFKLPKMILLATNISSDEFNKVKASGFADTVIMKPLRASMVAACLQQVMGLGKKRPQGKDAPNGSPFLRSLLCGKKILVVDDNMVNRRVAAGALKKFGANVECADSGKAALKLLQLPHNFDACFMDIQMPEMDGFEATRRIRQMESLANDQVDGESMVEEGTAIKGEWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFKAKPISDS >Manes.01G219600.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38806703:38813602:1 gene:Manes.01G219600.v8.1 transcript:Manes.01G219600.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETQNQSAGSKFGLLNILGRERCESYLLFHGETALDNGFRTFLYFLALAYCFFGLSAITARFFRSMENVVKHSRKIVEVDPDTNTEVIRYEKVWNYAIADISLLAFGTSFPQISLATIDAIRNIGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVAPKAGELKKIADLGVWIVELFWSFWAYIWLYIILEVWTPNVITLWEALVTVLQYGLLLIHAYAQDKRWSYLSLPLGRTERPKEWVPEEITSSKHQPIDYEEYSEILQVDKDESRNVVDIFSIHSNVETDQVYHKVPETDDAVEFSDKNFHSEQDFNVAALWKRQFLDAITLESLESRNMFSIHIRLARTFWEILLAPWRLLFAFVPPYHIAHGWVAFICSLIFISGIAYIVTKITDLISCVTGINAYVIAFTALASGSSWPDLVASKIAAERQTTADSAIANITCSNSVNIYVGIGVPWLIDTTYNFFVYRQPLRIENAEGLSFSLLVFFATSVGCIGVLVYRRLTLGAELGGPRIWAWVTCVYFMLLWLIFVVLSSLRVSGFI >Manes.01G219600.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38806887:38813602:1 gene:Manes.01G219600.v8.1 transcript:Manes.01G219600.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETQNQSAGSKFGLLNILGRERCESYLLFHGETALDNGFRTFLYFLALAYCFFGLSAITARFFRSMENVVKHSRKIVEVDPDTNTEVIRYEKVWNYAIADISLLAFGTSFPQISLATIDAIRNIGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVAPKAGELKKIADLGVWIVELFWSFWAYIWLYIILEVWTPNVITLWEALVTVLQYGLLLIHAYAQDKRWSYLSLPLGRTERPKEWVPEEITSSKHQPIDYEEYSEILQVDKDESRNVVDIFSIHSNVETDQVYHKVPETDDAVEFSDKNFHSEQDFNVAALWKRQFLDAITLESLESRNMFSIHIRLARTFWEILLAPWRLLFAFVPPYHIAHGWVAFICSLIFISGIAYIVTKITDLISCVTGINAYVIAFTALASGSSWPDLVASKIAAERQTTADSAIANITCSNSVNIYVGIGVPWLIDTTYNFFVYRQPLRIENAEGLSFSLLVFFATSVGCIGVLVYRRLTLGAELGGPRIWAWVTYFGALEDPFQGPRILN >Manes.01G219600.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38806887:38812643:1 gene:Manes.01G219600.v8.1 transcript:Manes.01G219600.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETQNQSAGSKFGLLNILGRERCESYLLFHGETALDNGFRTFLYFLALAYCFFGLSAITARFFRSMENVVKHSRKIVEVDPDTNTEVIRYEKVWNYAIADISLLAFGTSFPQISLATIDAIRNIGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVAPKAGELKKIADLGVWIVELFWSFWAYIWLYIILEVWTPNVITLWEALVTVLQYGLLLIHAYAQDKRWSYLSLPLGRTERPKEWVPEEITSSKHQPIDYEEYSEILQVDKDESRNVVDIFSIHSNVETDQVYHKVPETDDAVEFSDKNFHSEQDFNVAALWKRQFLDAITLESLESRNMFSIHIRLARTFWEILLAPWRLLFAFVPPYHIAHGWVAFICSLIFISGIAYIVTKITDLISCVTGINAYVIAFTALASGSSWPDLVASKIAAERQTTADSAIANITCSL >Manes.01G219600.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38806672:38813602:1 gene:Manes.01G219600.v8.1 transcript:Manes.01G219600.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETQNQSAGSKFGLLNILGRERCESYLLFHGETALDNGFRTFLYFLALAYCFFGLSAITARFFRSMENVVKHSRKIVEVDPDTNTEVIRYEKVWNYAIADISLLAFGTSFPQISLATIDAIRNIGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVAPKAGELKKIADLGVWIVELFWSFWAYIWLYIILEVWTPNVITLWEALVTVLQYGLLLIHAYAQDKRWSYLSLPLGRTERPKEWVPEEITSSKHQPIDYEEYSEILQVDKDESRNVVDIFSIHSNVETDQVYHKVPETDDAVEFSDKNFHSEQDFNVAALWKRQFLDAITLESLESRNMFSIHIRLARTFWEILLAPWRLLFAFVPPYHIAHGWVAFICSLIFISGIAYIVTKITDLISCVTGINAYVIAFTALASGSSWPDLVASKIAAERQTTADSAIANITCSNSVNIYVGIGVPWLIDTTYNFFVYRQPLRIENAEGLSFSLLVFFATSVGCIGVLVYRRLTLGAELGGPRIWAWVTCVYFMLLWLIFVVLSSLRVSGFI >Manes.01G219600.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:38806703:38813602:1 gene:Manes.01G219600.v8.1 transcript:Manes.01G219600.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETQNQSAGSKFGLLNILGRERCESYLLFHGETALDNGFRTFLYFLALAYCFFGLSAITARFFRSMENVVKHSRKIVEVDPDTNTEVIRYEKVWNYAIADISLLAFGTSFPQISLATIDAIRNIGNLYAGGLGPGTLVGSAAFDLFPIHAVCVVAPKAGELKKIADLGVWIVELFWSFWAYIWLYIILEVWTPNVITLWEALVTVLQYGLLLIHAYAQDKRWSYLSLPLGRTERPKEWVPEEITSSKHQPIDYEEYSEILQVDKDESRNVVDIFSIHSNVETDQVYHKVPETDDAVEFSDKNFHSEQDFNVAALWKRQFLDAITLESLESRNMFSIHIRLARTFWEILLAPWRLLFAFVPPYHIAHGWVAFICSLIFISGIAYIVTKITDLISCVTGINAYVIAFTALASGSSWPDLVASKIAAERQTTADSAIANITCSNSVNIYVGIGVPWLIDTTYNFFVYRQPLRIENAEGLSFSLLVFFATSVGCIGVLVYRRLTLGAELGGPRIWAWVTYFGALEDPFQGPRILN >Manes.09G061400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004395.2:10036681:10039909:1 gene:Manes.09G061400.v8.1 transcript:Manes.09G061400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWHGNLRTFVPRPENPFNFLYDSSCYDQCNQGMDVKPPVMSETAQVIVPVMEKLRYGSHEKKKRLTNDQLESLERSFQEEIKLDPDRKMKLSRELGLQPRQIAVWFQNRRARWKAKQLERLYDTLKQEFDAVSVEKQKLQEEVMKLKGILREQATKKQVLSTGYTEISGEETLQSTWVGIRSSGGKPRGTHQQEIAECNYLLNVDEYNPGISSYWAVLPSYP >Manes.01G192800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:36754711:36757009:1 gene:Manes.01G192800.v8.1 transcript:Manes.01G192800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKHSSFTLFSLVFISIPCLISAQACQNSCGKMPIRYPFGTGLGCGDPRFQKHVTCNQDKLTFTTHTGCYPVNNIDYTNQVIYISDPSMSTCACTQPSKGFGLDWDAPFSFHDDTVFTLLDCSTTSSPIYKTNSNSYNNGTVVPQCDRTGAPICSFLYSCQAISMLNLPISTCCVYTPVDLGPSFEMDLQKLQCSSYSGFYSFDGQEANPENWKYGIALKYKFNVYNDYPGSCADCERSNGVCGYGGAYNTFICNCPNGLNTTSDCFFGSSYNHGSSLLQWQTGNWVIYSSALFLVWALLCSV >Manes.05G078800.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6432868:6439671:1 gene:Manes.05G078800.v8.1 transcript:Manes.05G078800.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGNSKKSKLSWPKTLVKKWFNFKNKTEEFQADDVVYGGDDEDWRSNFSKREACTIKKSKTERLNKRNSDRVRRSKIDIDAAQVTDVHNYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGSYHTPSPIPDPIVELDADFEGSTRQKASSFFHRRSFQSLSRSMRMDSDMTMPQPRLDRRFSICDRVIFGHRPSDYDPNFKWGSSDDENGPGDSPVATHYSPNDYSGSFSLEDRDRQMGQSRYCLVASKQMVGIFLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGLGDENSPQTILEHDRIIWLGDLNYRIALSYRTAKALVEMCNWRALLENDQEMLILNSLMVLIFSFG >Manes.05G078800.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6428241:6440024:1 gene:Manes.05G078800.v8.1 transcript:Manes.05G078800.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVFSLAVVVAGGGTRQRSKHKLSWPKTLVKKWFNFKNKTEEFQADDVVYGGDDEDWRSNFSKREACTIKKSKTERLNKRNSDRVRRSKIDIDAAQVTDVHNYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGSYHTPSPIPDPIVELDADFEGSTRQKASSFFHRRSFQSLSRSMRMDSDMTMPQPRLDRRFSICDRVIFGHRPSDYDPNFKWGSSDDENGPGDSPVATHYSPNDYSGSFSLEDRDRQMGQSRYCLVASKQMVGIFLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGLGDENSPQTILEHDRIIWLGDLNYRIALSYRTAKALVEMCNWRALLENDQLRIEQRWGRVFEGWNEGSIYFPPTYKYSNNSDRYAGDERYPKEKRRTPAWCDRILWYGRGLYQLSYVRGESRFSDHRPVYSIFLAEVESINRSRIKKSMSCSSSRIEVEELLPQALGYTELNFF >Manes.05G078800.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:6429905:6438400:1 gene:Manes.05G078800.v8.1 transcript:Manes.05G078800.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGNSKKSKLSWPKTLVKKWFNFKNKTEEFQADDVVYGGDDEDWRSNFSKREACTIKKSKTERLNKRNSDRVRRSKIDIDAAQVTDVHNYRIFVATWNVAGKSPPSYLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGSYHTPSPIPDPIVELDADFEGSTRQKASSFFHRRSFQSLSRSMRMDSDMTMPQPRLDRRFSICDRVIFGHRPSDYDPNFKWGSSDDENGPGDSPVATHYSPNDYSGSFSLEDRDRQMGQSRYCLVASKQMVGIFLTVWVKSDLRDDVRNMKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGLGDENSPQTILEHDRIIWLGDLNYRIALSYRTAKALVEMCNWRALLENDQLRIEQRWGRVFEGWNEGSIYFPPTYKYSNNSDRYAGDERYPKEKRRTPAWCDRILWYGRGLYQLSYVRGESRFSDHRPVYSIFLAEVESINRSRIKKSMSCSSSRIEVEELLPQALGYTELNFF >Manes.05G023400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004391.2:2091116:2094191:1 gene:Manes.05G023400.v8.1 transcript:Manes.05G023400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLKTFFLFFLLSIIHASGYCPVSRCSINDISVRFPFRLEGQQPQYCGYPGFNLSCNNRSKAVLKLPYSGDFLVRGINYLTQQMQVYDSDNCLPKRLLSFNLSGSPFVAVAYQNYTFLSCPTQFVQSRFTTIDCLSNSTTSVLATASMSIANALSKTCGIIMTLPIPVSWPIQYDEGYSSELQDDLRLTWYSPACGDCEEQGGICGFKTNNTIETGCFKYSNTGRSANALEVLKVICLSVAVPSIVLAAGMVTFAYFFDRRPQQDRPQANHTSVTATVIPEPTISMVGLDETTIESYQKVVLGESMRLPTGPNDNTCAICLSEYSSKETLRCIPECNHCFHVECIDEWLRMNGSCPVCRNSPPPEHVGTQNV >Manes.02G035300.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:2918240:2922492:1 gene:Manes.02G035300.v8.1 transcript:Manes.02G035300.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHRFNLFFNYAVFLVVTLYFLCLQGGLASRFNQFEPGILMPTEEVEPGIDDDQLGTRWAVLVAGSMGYGNYRHQADVCHAYQLLRKGGLKEENIIVFMYDDIAKNEMNPRPGVIINHPQGEDVYAGVPKDYTGEHVTAKNLYAVLLGNTSAVKGGSGKVVNSTANDRIFLYYSDHGGPGVLGMPNLPFLYAMDFIEVLKKKHAAGSYKEMIIYVEACESGSIFEGIMPKDLNIYVTTASNAQENSYGTYCPGMDPPPPPEFLTCLGDLYSVAWMEDSESHNLKKETLDQQYKTVKARTSNYNTYQTGSHVMEYGNESIKAERVYLYQGFDPASVNFPPNNGHISAPMDVVNQRDAELLFMWEMYKRSEDGSDKKTQTLQQITETIKHRRHLDSSIELIGTLLFGLKNSSSILQSVRGPGLPLVDDWNCLKSMVRVFETHCGSLTQYGMKHMRAFANICNAGISRVPMEEASAAVCKVLDAGQWHPSKQGYSA >Manes.16G019200.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2136952:2143726:-1 gene:Manes.16G019200.v8.1 transcript:Manes.16G019200.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHASELDYAATDSVTSSPRSDHYGSHDPCVRFMCSFGGKILPRPHDNQLRYVGGETRIVAVHRSISYSSLLTKLSKLSGLTNLSVKYQLPNEDLDALISVTTDEDIDNMLEEYDRVLLNQNPRSARLRLFLFSKGEDSRVSSISSLLDGSTNREHWFFDALNAGSRLERGRSEASSIVSEVPDYLFGLDNSEDPQPREPKMKSRFVMQDNVSASDPGSPAPVISSPYCSTSSAMPIVPSIPDLPPVKTKLENRDPVIQPKQNTTNAGSSETIEPVTTQPTGYPNNPVMHYIPDSHYPGHQVQQMPFYYVQGPVPPGNVPVQPVQIRPSYVQQYPVSTGQIPMGYHQAVPGMGQVYGGGAMRPMAQLDPYDGGRTVPDGVNQQLYYGVRNTSPGMVQGYPGMVVQGGEDLQRTVSDTKSDHKALGLGYKEWPNSWRVN >Manes.16G019200.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2129821:2143726:-1 gene:Manes.16G019200.v8.1 transcript:Manes.16G019200.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHASELDYAATDSVTSSPRSDHYGSHDPCVRFMCSFGGKILPRPHDNQLRYVGGETRIVAVHRSISYSSLLTKLSKLSGLTNLSVKYQLPNEDLDALISVTTDEDIDNMLEEYDRVLLNQNPRSARLRLFLFSKGEDSRVSSISSLLDGSTNREHWFFDALNAGSRLERGRSEASSIVSEVPDYLFGLDNSEDPQPREPKMKSRFVMQDNVSASDPGSPAPVISSPYCSTSSAMPIVPSIPDLPPVKTKLENRDPVIQPKQNTTNAGSSETIEPVTTQPTGYPNNPVMHYIPDSHYPGHQVQQMPFYYVQGPVPPGNVPVQPVQIRPSYVQQYPVSTGQIPMGYHQAVPGMGQVYGGGAMRPMAQLDPYDGGRTVPDGVNQQLYYGVRNTSPGMVQGYPGMVVQGGEDLQRTVSDTKSGSDPRNRDPRL >Manes.16G019200.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2140781:2143726:-1 gene:Manes.16G019200.v8.1 transcript:Manes.16G019200.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHASELDYAATDSVTSSPRSDHYGSHDPCVRFMCSFGGKILPRPHDNQLRYVGGETRIVAVHRSISYSSLLTKLSKLSGLTNLSVKYQLPNEDLDALISVTTDEDIDNMLEEYDRVLLNQNPRSARLRLFLFSKGEDSRVSSISSLLDGSTNREHWFFDALNAGSRLERGRSEASSIVSEVPDYLFGLDNSEDPQPREPKMKSRFVMQDNVSASDPGSPAPVISSPYCSTSSAMPIVPSIPDLPPVKTKLENRDPVIQPKQNTTNAGSSETIEPVTTQPTGYPNNPVMHYIPDSHYPGHQVQQMPFYYVQGPVPPGNVPVQPVQIRPSYVQQYPVSTGQIPMGYHQAVPGMGQVYGGGAMRPMAQLDPYDGGRTVPDGVNQQLYYGVRNTSPGMVQGYPGMVVQGGEDLQRTVSDTKSGRFSQSS >Manes.16G019200.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2133701:2143726:-1 gene:Manes.16G019200.v8.1 transcript:Manes.16G019200.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHASELDYAATDSVTSSPRSDHYGSHDPCVRFMCSFGGKILPRPHDNQLRYVGGETRIVAVHRSISYSSLLTKLSKLSGLTNLSVKYQLPNEDLDALISVTTDEDIDNMLEEYDRVLLNQNPRSARLRLFLFSKGEDSRVSSISSLLDGSTNREHWFFDALNAGSRLERGRSEASSIVSEVPDYLFGLDNSEDPQPREPKMKSRFVMQDNVSASDPGSPAPVISSPYCSTSSAMPIVPSIPDLPPVKTKLENRDPVIQPKQNTTNAGSSETIEPVTTQPTGYPNNPVMHYIPDSHYPGHQVQQMPFYYVQGPVPPGNVPVQPVQIRPSYVQQYPVSTGQIPMGYHQAVPGMGQVYGGGAMRPMAQLDPYDGGRTVPDGVNQQLYYGVRNTSPGMVQGYPGMVVQGGEDLQRTVSDTKSDLQVQDRSKSRQG >Manes.16G019200.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:2138381:2143726:-1 gene:Manes.16G019200.v8.1 transcript:Manes.16G019200.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHASELDYAATDSVTSSPRSDHYGSHDPCVRFMCSFGGKILPRPHDNQLRYVGGETRIVAVHRSISYSSLLTKLSKLSGLTNLSVKYQLPNEDLDALISVTTDEDIDNMLEEYDRVLLNQNPRSARLRLFLFSKGEDSRVSSISSLLDGSTNREHWFFDALNAGSRLERGRSEASSIVSEVPDYLFGLDNSEDPQPREPKMKSRFVMQDNVSASDPGSPAPVISSPYCSTSSAMPIVPSIPDLPPVKTKLENRDPVIQPKQNTTNAGSSETIEPVTTQPTGYPNNPVMHYIPDSHYPGHQVQQMPFYYVQGPVPPGNVPVQPVQIRPSYVQQYPVSTGQIPMGYHQAVPGMGQVYGGGAMRPMAQLDPYDGGRTVPDGVNQQLYYGVRNTSPGMVQGYPGMVVQGGEDLQRTVSDTKSGRFSQSS >Manes.14G113700.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004400.2:14140991:14146363:-1 gene:Manes.14G113700.v8.1 transcript:Manes.14G113700.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITGLPQPPQVHRIPQFRYVDSIRWLPSISPFQKSLIVAFFDTDTSTPSLEIHSLSPSKTLSLLSCWNPPSRISSLKCTPSLIAASTFSGSLYCFSTAGKSLESVVAEPQVSVVGRDFHVGPIGGVDLMEGGSDCVSVGEDGRVNLVKLGFEGYHGKGNCRRVFDGNGLVSYTAVRWASPTEFVTGGCGFGLQWWDLRRPGSAVAQFKGNWDQGTTSGIIHSIDIHPSRKHTCLAGGSSGTVFAWDLRWQQEPIILSGVGTNENKTHSLSESDVWEVQYDSYIKSSSSSISSSRILPAMICSEDGILAVIEQGEEPLELLAEPCAINSFDIDRQNPSDVVCSLEWESIAILSRP >Manes.16G137900.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34005822:34009226:1 gene:Manes.16G137900.v8.1 transcript:Manes.16G137900.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEATNEFQKKGTKRKKGVEDSSKKSCDAGAPRTTRSQVAPDWTTKEALILVNEIAAVEGDCLRVLSSYQKWKIIVENCTVLDVPRTANQCRSKWNSLLAEYNQIKQWDSKSKTDSYCFLGCEKRKQLGLPENFDEELFKAIDDYVRAQKNCPDTDPDTDTEAQADLLGVIAKLGSKKKLRTLLGEKPQNCCRKEPHLEVHTEEEPQNSLKEENPQMCCEKEKPQNIHAEEMPQKTLMEEKPKNDYMQKKKMTAAEEMEHMMVERLRENAELIHAMVQTNLPEVADFGATDFVRGQGDKIIARLGDIVNILNQYPHLAQASD >Manes.16G137900.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004402.2:34006053:34009226:1 gene:Manes.16G137900.v8.1 transcript:Manes.16G137900.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATEATNEFQKKGTKRKKGVEDSSKKSCDAGAPRTTRSQVAPDWTTKEALILVNEIAAVEGDCLRVLSSYQKWKIIVENCTVLDVPRTANQCRSKWNSLLAEYNQIKQWDSKSKTDSYCFLGCEKRKQLGLPENFDEELFKAIDDYVRAQKNCPDTDPDTDTEAQADLLGVIAKLGSKKKLRTLLGEKPQNCCRKEPHLEVHTEEEPQNSLKEENPQMCCEKEKPQNIHAEEMPQKTLMEEKPKNDYMQKKKMTAAEEMEHMMVERLRENAELIHAMVQTNLPEVADFGATDFVRGQGDKIIARLGDIVNILNQYPHLAQASD >Manes.06G159600.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28524982:28531372:1 gene:Manes.06G159600.v8.1 transcript:Manes.06G159600.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEVTRAAHEEVERLERLIVKDLQNEPATNKDRLFQSHRVRNMIETIMSTTHKLVEIYEDKDSARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARVVDANEEHDALLKEEPLIEFTGEEAFGKYLDMHELYNQFINSKFGEPIEYSAYLDVFSQTHNIPRKLKLTRQYREYMENLLEYLIYFFQRTEPLQDLDRIFSKVTAEFEEQWENDNVQEWEKDVKENGQAPPQHTVIDLDFYSTVEELMEVGPDKLKEALAALGLKSGGTIQQRAERLFLTKDTSLEKLDKKHFAKGSRGSEQNGGAPAIKEVENSKEIALMEAKMKKLCDLLSETIVRTKENVVKKQALTYDEMEAEREEEETQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEAKELWKRIQERQGLNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >Manes.06G159600.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28524982:28530423:1 gene:Manes.06G159600.v8.1 transcript:Manes.06G159600.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEVTRAAHEEVERLERLIVKDLQNEPATNKDRLFQSHRVRNMIETIMSTTHKLVEIYEDKDSARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARVVDANEEHDALLKEEPLIEFTGEEAFGKYLDMHELYNQFINSKFGEPIEYSAYLDVFSQTHNIPRKLKLTRQYREYMENLLEYLIYFFQRTEPLQDLDRIFSKVTAEFEEQWENDNVQEWEKDVKENGQAPPQHTVIDLDFYSTVEELMEVGPDKLKEALAALGLKSGGTIQQRAERLFLTKDTSLEKLDKKHFAKGSRGSEQNGGAPAIKEVENSKEIALMEAKMKKLCDLLSETIVRTKENVVKKQALTYDEMEAEREEEETQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKELWKRIQERQGLNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >Manes.06G159600.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:28524982:28531486:1 gene:Manes.06G159600.v8.1 transcript:Manes.06G159600.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEVTRAAHEEVERLERLIVKDLQNEPATNKDRLFQSHRVRNMIETIMSTTHKLVEIYEDKDSARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARVVDANEEHDALLKEEPLIEFTGEEAFGKYLDMHELYNQFINSKFGEPIEYSAYLDVFSQTHNIPRKLKLTRQYREYMENLLEYLIYFFQRTEPLQDLDRIFSKVTAEFEEQWENDNVQEWEKDVKENGQAPPQHTVIDLDFYSTVEELMEVGPDKLKEALAALGLKSGGTIQQRAERLFLTKDTSLEKLDKKHFAKGSRGSEQNGGAPAIKEVENSKEIALMEAKMKKLCDLLSETIVRTKENVVKKQALTYDEMEAEREEEETQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKELWKRIQERQGLNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >Manes.13G076034.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156217:19160529:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEVELRLDRARDPLDG >Manes.13G076034.8.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156209:19160559:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.8.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156218:19160499:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156218:19161195:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156209:19160559:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156217:19160529:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.12.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156218:19160756:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.12.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEVSFLFVFS >Manes.13G076034.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156208:19161194:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156209:19160559:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156217:19160529:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156213:19160557:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEALEQIENFLEEEEGIACNENVA >Manes.13G076034.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:19156218:19160555:1 gene:Manes.13G076034.v8.1 transcript:Manes.13G076034.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLAQRMQFSSKWIESDKFYRKYPTCSLSLTSKLKAKQKLPVLVSAHFQSKRNKNGHKSVSLKAFCSYKHSASVLESGSSSASSDESLILKNKSQEVGPCINGRCIYLVGMMGSGKTTVGKILSQVLGYSFCDCDSLVEQEVDGTSVAEIFKLYGEGFFRNKETEALKKLSMMHRLVVSTGGGAVIRPINWKYMHKGISVWLDVPLEALAQRIAAVGTNSRPLLHHESGDEYTKALRRLSTLLEERGEYYANANARVSLENIAAKLGYRDVSSITPTAIAIEVELRLDRARDPLDG >Manes.02G214500.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004388.2:28191686:28214267:-1 gene:Manes.02G214500.v8.1 transcript:Manes.02G214500.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKKRPAEGVLIAEEEENGSETTGSPSIKKHRIGCVLESTAHAITSATSTTINNCSVVGSNRSSGLIMAPGDANLSDIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGAEIAKNLILAGVKSITLHDEQTVELWDLSSNFIFSENDVGKNRALASVQKLQELNNAVVVSTLTTKLVKEKLSDFQAVVFTDVNLEKAIEFNDYCHSHQPPISFIKAEVRGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIINARPYSFTLDEDATNFATYEKGGIVTQVKQPKVLNFKPLREALKDPGDFLLSDFSKFDRPPLLHLAFQALDKFLSELGRFPVAGSEEDAQKLISFAAIINDSSGDGRVEDIDPKLVRLFAFGARAVLNPMAAMFGGIVGQEIVKACSGKFHPLFQFFYFDSVESLPTENLDASDFQPINGRYDAQISVFGSKLQKKLEDANVFIVGSGALGCEFLKNVALMGVSCGKEGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAASINPNLNIEALQNRVGPETENVFDDAFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPVEYTTAMTNAADAQARDTLERVLECFDKEKCETFQDCITWARLKFEDYFANRVKQLIFTFPEDAATSTGAPFWSAPKRFPHPLEFSASDPGHLHFIMSASILRAETFGIPLPDWVKNPKMLAEAVEKVIVPEFQPKKNVKIVTDEKATSLAAASADDVVIINELIMRLEQCRKNLPRGFRMKPIQFEKDDDTNFHMDLIAALANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGRHKLEDYRNTFANLALPLFSMAEPVPPKVIKHRDMKWTVWDRWIIKNNPTLRELLQWLKDKGLNAYSISCGSCLLYNSLFPKHRERMDRKVVDLVREVAKVELPPYRSHFDVVVACEDDEDNDIDIPTVSIYYR >Manes.07G027401.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004393.2:2994731:2997762:1 gene:Manes.07G027401.v8.1 transcript:Manes.07G027401.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAMATKHYNFLQLLGMLVLFITLLALTRPAMGTDDDDIPDDFSRKYFPDDFIFGTATSAYQIEGEATARGRSPSVWDIFSKETPDRILDGSNGDVAVDFYNRYIEDIKNVKKMGFNAFRMSISWSRVIPSGRRHEGVNEEGIQFYNDVINEIISNGLEPFVTIFHWDTPQALQDKYDGFLSRDIVEDYRQYADLLFERFGDRVKRWMTFNEPSAYVGFAHDDGVFAPGRCSSWVNRQCLAGDSATEPYIVAHNLLLSHAAAVHQYRKYYQGTQKGKIGITLFTFWYEPLSDSKVDVQAAKTALDFMFGLWMDPMTYGRYPRTMVDLAGDRLIGFTDEESQLLRGSYDFVGLQYYTAYYAKPNITVDPNFRRYKTDSGVIETPYDNNGNLIGPRAYSSWFYIFPKGIRHFLNYTKDTYNDPVIYVTENGVDNYNNESQPIEEALQDDFRISYYKKHMWNALGSLKNYSVNLKGYFAWSYLDNFEWNIGYTSRFGLYYVDYKNNLTRYPKESALWFTKFLNISVNANNIYEFPSKDSRKVGKFYVM >Manes.01G036311.3.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8170661:8179477:-1 gene:Manes.01G036311.v8.1 transcript:Manes.01G036311.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDDCCRWDGVTCDDITGHVVNLVLSWTSIRGNISLHLGNLSNLQYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELQLRYCELSIIGDVSHVNFTSLEVLDLESNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLETLILLNNSFSGSIPDNLGQFKRLKELSFSENSFWGSIPVSIGQLYNLEILDFSKNSLHGKVSELHLLNLRSLFELSMDGNSLVFDIDPEWIPPFQLSWIHLSSCEVGPFPQWLRTQKSIRFLDMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLTRSQFDATILDISNNLLHGQIPQNISDMMPSLRHLSLSNNYLNGTVPATLCRIESLQILDLSNNQLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKGQWDYYANADPIFGFARASYGENVQVYVKGMELEYSITLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPTGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGSTTRKDDSEMVWFYGGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.01G036311.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8176409:8179477:-1 gene:Manes.01G036311.v8.1 transcript:Manes.01G036311.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDDCCRWDGVTCDDITGHVVNLVLSWTSIRGNISLHLGNLSNLQYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELQLRYCELSIIGDVSHVNFTSLEVLDLESNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLETLILLNNSFSGSIPDNLGQFKRLKELSFSENSFWGSIPVSIGQLYNLEILDFSKNSLHGKVSELHLLNLRSLFELSMDGNSLVFDIDPEWIPPFQLSWIHLSSCEVGPFPQWLRTQKSIRFLDMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLTRSQFDATILDISNNLLHGQIPQNISDMMPSLRHLSLSNNYLNGTVPATLCRIESLQILDLSNNQLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKGQWDYYANADPIFGFARASYGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPTGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGSTTRKDDSEMVWFYGGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.01G036311.2.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004387.2:8176234:8179477:-1 gene:Manes.01G036311.v8.1 transcript:Manes.01G036311.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDDCCRWDGVTCDDITGHVVNLVLSWTSIRGNISLHLGNLSNLQYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELQLRYCELSIIGDVSHVNFTSLEVLDLESNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLETLILLNNSFSGSIPDNLGQFKRLKELSFSENSFWGSIPVSIGQLYNLEILDFSKNSLHGKVSELHLLNLRSLFELSMDGNSLVFDIDPEWIPPFQLSWIHLSSCEVGPFPQWLRTQKSIRFLDMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLTRSQFDATILDISNNLLHGQIPQNISDMMPSLRHLSLSNNYLNGTVPATLCRIESLQILDLSNNQLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSVHSNKFEGEIPLQLCYLASLRILNLANNVMTGTIPNCFGNFTAIAMHEQKGQWDYYANADPIFGFARASYGENVQVYVKGMELEYSITLRFLYSIDLSGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPTGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGSTTRKDDSEMVWFYGGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.01G036311.4.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004387.2:8176409:8179478:-1 gene:Manes.01G036311.v8.1 transcript:Manes.01G036311.4.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTANVVEILALLILLQSVSSFCNGDNFNGSCIKTEREALVKFKSSLVDNSNSLPSWVGDDCCRWDGVTCDDITGHVVNLVLSWTSIRGNISLHLGNLSNLQYLDLSLNPSLAIHSLHFPSSLKYLNLTYVLLDKCENWLQSINMLPSLLELQLRYCELSIIGDVSHVNFTSLEVLDLESNNFHSTIPSWLYNITKLQNLVLQDNAFRGSLSTDISNLNSLASLDADFNSLEGNIPNTLNRLCNLIELHLGYNKFSGEISGTFGNSSGCIKNSLETLILLNNSFSGSIPDNLGQFKRLKELSFSENSFWGSIPVSIGQLYNLEILDFSKNSLHGKVSELHLLNLRSLFELSMDGNSLVFDIDPEWIPPFQLSWIHLSSCEVGPFPQWLRTQKSIRFLDMSNASISDNIPDWFENISSNIVSLDLSYNQLFGTLPTFRKLNTTYANEYRIILLKSNQFDGFLTRSQFDATILDISNNLLHGQIPQNISDMMPSLRHLSLSNNYLNGTVPATLCRIESLQILDLSNNQLSGRIPSCWGNLTSLTVIDFSSNMLSGDVPMSLGSQESLVSLHLQNNTLQGKIPMSLRNLESLETLDLSMNSFDGFIPSWIGESLSSLKVLSVHSNKFEGNNFVGEIPQELMNLSGLQNLNLSTNKLDGHIPWNIGKLSSLESLDLSENELSGSIPFSISDLNFLSHLNLSFNHLSGRIPTGNQLQTLDDKSIYIGNDGLCGPPLNNCSNDADELPKGHEKGSTTRKDDSEMVWFYGGMGMGFAAGFVGVCSILYFNDSWRCAWFGLVDRVYNKLWVTIAIKANQVKRKFLRNKLEGNA >Manes.14G028000.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004400.2:2550751:2553350:-1 gene:Manes.14G028000.v8.1 transcript:Manes.14G028000.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFISFILLKFNTKTLKSVQSTPYNLTFTKSMSQSTSIPRKQQRVRDHGYDNYMEIEKKTRKVLKFQALILSQINQTVPISRLDNLARRLGFKLYEAGSFVLKFPHVFEVYEHPVQRILYCRLTRKALLQIQQEKEALIAQIPDAVTRLRKLIMMSNTGRLRLEHVRIARSEFGLPDDFEYSVVLKNPQCFRLIDADETRNKYIEIVDRDPKLTVCAIEKAREREYREKGMNAEDIRFSFIINFPPGFKIGKYYRIAVWKWQRTPYWSPYEDISGYDLRSIEAQKRLEKRAVASIHELLSLTVEKKITLERIAHFRMAMNLPNKLKEFCLQHQGIFYVSTRGNHGKLHTVFLREAYKKGELVEPNDLYLARRKLGELVLMSPRKAKVDAELVSYRRDREGDELEQRGRDYVENNFEASKDVQDGEYEDDLNSDLDSDVGTDYTDDDDNVLDTPNSENIHVAKPIR >Manes.06G045400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14382171:14387030:1 gene:Manes.06G045400.v8.1 transcript:Manes.06G045400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHMACPEVTVLLPDTLCSSDNTHENGPHPSLQEDANRTNHASDPLAKIEDLQVKFFRLLQRLGYSNDNVLVAKVLYRIRLAISIRGRELDLKRARKVAEEQEAIDTPELDFSVRILVLGKTGVGKSATINSIFDQRKMITDAFEPATNHIEEIAGTVNGFRITFIDTPGFFPSSASNMRRNRKIMLSVKRFIRKSPPHIVLFFERLDLVNMGYYDFPLMKLMTEVFGSAIWFNTILVMTHASSTLPEGPSGFPVNYESYVTRCTDLIQHFIHQAVSDTKLENPVLLAENHTQCKKNFMGENVLPNGQAWRSQFLLLCMCTKVLGDANILLEFQDSIELGSSSPRVPSLSHLLLSLLRHRLSSPNGAEYDVNEILLSDADEEDEYDQLPPIRILTKSQIERLTKSQKRDYLDELDYRETLYLKKQLKEDISRKREKKLAESETSGEDNNHEDQQPPPDNVLLPDMAVPPTFDSDCPVHRYHCVATSDQWLVRPVLDPQGWDHDVSFDGINLETAMEIKRNIYASITGQMSKDKQNFSIQSECVAAYTDPRGPTYSLGFDVQSAGKDLIYTVHSNTKLKTLKHHITECAMSLTCFGENYYVGTKLEDTILIGKRLKFIMNAGQMRSSGQVAYGGTFEATLRGRDYPVRNDIISLSMTALSFKKETVLGVGFQSEFQPVRGMRMAVNANLNSQKTGQVSLKMSSSECTEIALVAIFSILRALFHRKDEGNGRKEVLEM >Manes.06G045400.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14382171:14387030:1 gene:Manes.06G045400.v8.1 transcript:Manes.06G045400.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHMACPEVTVLLPDTLCSSDNTHENGPHPSLQEDANRTNHASDPLAKIEDLQVKFFRLLQRLGYSNDNVLVAKVLYRIRLAISIRGRELDLKRARKVAEEQEAIDTPELDFSVRILVLGKTGVGKSATINSIFDQRKMITDAFEPATNHIEEIAGTVNGFRITFIDTPGFFPSSASNMRRNRKIMLSVKRFIRKSPPHIVLFFERLDLVNMGYYDFPLMKLMTEVFGSAIWFNTILVMTHASSTLPEGPSGFPVNYESYVTRCTDLIQHFIHQAVSDTKLENPVLLAENHTQCKKNFMGENVLPNGQAWRSQFLLLCMCTKVLGDANILLEFQDSIELGSSSPRVPSLSHLLLSLLRHRLSSPNGAEYDVNEILLSDADEEDEYDQLPPIRILTKSQIERLTKSQKRDYLDELDYRETLYLKKQLKEDISRKREKKLAESETSGEDNNHEDQQPPPDNVLLPDMAVPPTFDSDCPVHRYHCVATSDQWLVRPVLDPQGWDHDVSFDGINLETAMEIKRNIYASITGQMSKDKQNFSIQSECVAAYTDPRGPTYSLGFDVQSAGKDLIYTVHSNTKLKTLKHHITECAMSLTCFGENYYVGTKLEDTILIGKRLKFIMNAGQMRSSGQVAYGGTFEATLRGRDYPVRNDIISLSMTALSFKKETVLGVGFQSEFQPVRGMRMAVNANLNSQKTGQVSLKMSSSECTEIALVAIFSILRALFHRKDEGNGRKEVLEM >Manes.06G045400.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004392.2:14382171:14387030:1 gene:Manes.06G045400.v8.1 transcript:Manes.06G045400.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRDWAFAQLLSKSLASARLLSSSGSFFSEGPVNEDSNDPAHMACPEVTVLLPDTLCSSDNTHENGPHPSLQEDANRTNHASDPLAKIEDLQVKFFRLLQRLGYSNDNVLVAKVLYRIRLAISIRGRELDLKRARKVAEEQEAIDTPELDFSVRILVLGKTGVGKSATINSIFDQRKMITDAFEPATNHIEEIAGTVNGFRITFIDTPGFFPSSASNMRRNRKIMLSVKRFIRKSPPHIVLFFERLDLVNMGYYDFPLMKLMTEVFGSAIWFNTILVMTHASSTLPEGPSGFPVNYESYVTRCTDLIQHFIHQAVSDTKLENPVLLAENHTQCKKNFMGENVLPNGQAWRSQFLLLCMCTKVLGDANILLEFQDSIELGSSSPRVPSLSHLLLSLLRHRLSSPNGAEYDVNEILLSDADEEDEYDQLPPIRILTKSQIERLTKSQKRDYLDELDYRETLYLKKQLKEDISRKREKKLAESETSGEDNNHEDQQPPPDNVLLPDMAVPPTFDSDCPVHRYHCVATSDQWLVRPVLDPQGWDHDVSFDGINLETAMEIKRNIYASITGQMSKDKQNFSIQSECVAAYTDPRGPTYSLGFDVQSAGKDLIYTVHSNTKLKTLKHHITECAMSLTCFGENYYVGTKLEDTILIGKRLKFIMNAGQMRSSGQVAYGGTFEATLRGRDYPVRNDIISLSMTALSFKKETVLGVGFQSEFQPVRGMRMAVNANLNSQKTGQVSLKMSSSECTEIALVAIFSILRALFHRKDEGNGRKEVLEM >Manes.12G103400.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004398.2:27800737:27801975:-1 gene:Manes.12G103400.v8.1 transcript:Manes.12G103400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDMMKSSPSSGDEHMDMMTMMMQMEKLPDFCEPFHNTSTSSLQEIQFSNGNVTSPPIYHNPHASSASLMSPHPSMLFMATSMEEPLTGSLQPNMVTSKFKYSHPFPNANSFLSSMEKKNSTAAIREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEQAGANRPMAGFPFSGLTMHNMGYSSLVKNRQPAPNMVGSMLR >Manes.11G136100.5.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30107067:30115736:1 gene:Manes.11G136100.v8.1 transcript:Manes.11G136100.5.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAYKLLLSCPYGLSASQVSVVLDQLYDRIRHPDDELENSIAEYGGHNVHSGDGSNKDSHVWLQLGLTDYRTFVGTNLSPLWEKFLVPSEDDPIQCQHMSSPLGNGAVVETSDKKIVVLRRSSNVGEFPGHFVFPGGHPEPEEVGIDSDQSDKDSEMINKKASQEMFDSIIREVVEEIGVPAMSLCNPLFIGISRRVLNVRPAAFFFIRCNLCSEEIQQLYCNAQDGYESTQLFTVPLVELESMASKMPGCHQGGFALYKLMVEALKNT >Manes.11G136100.2.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30107067:30115736:1 gene:Manes.11G136100.v8.1 transcript:Manes.11G136100.2.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAYKLLLSCPYGLSASQVSVVLDQLYDRIRHPDDELENSIAEIWDQRAQKNTSLYNGKKFRYGGHNVHSGDGSNKDSHVWLQLGLTDYRTFVGTNLSPLWEKFLVPSEDDPIQCQHMSSPLGNGAVVETSDKKIVVLRRSSNVGEFPGHFVFPGGHPEPEEVGIDSDQSDKDSEMINKKASQEMFDSIIREVVEEIGVPAMSLCNPLFIGISRRVLNVRPAAFFFIRCNLCSEEIQQLYCNAQDGYESTQLFTVPLVELESMASKMPGCHQGGFALYKLMVEALKNT >Manes.11G136100.14.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30107067:30113544:1 gene:Manes.11G136100.v8.1 transcript:Manes.11G136100.14.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSGMEGIMSTVEMDQTKILMTFVGTNLSPLWEKFLVPSEDDPIQCQHMSSPLGNGAVVETSDKKIVVLRRSSNVGEFPGHFVFPGGHPEPEEVGIDSDQSDKDSEMINKKASQEMFDSIIREVVEEIGVPAMSLCNPLFIGISRRVLNVRPAAFFFIRCNLCSEEIQQLYCNAQDGYESTQLFTVPLVELESMASKMPGCHQGGFALYKLMVEALKNT >Manes.11G136100.15.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30109135:30112853:1 gene:Manes.11G136100.v8.1 transcript:Manes.11G136100.15.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLCNFFLSIINNESCLLVTRTFVGTNLSPLWEKFLVPSEDDPIQCQHMSSPLGNGAVVETSDKKIVVLRRSSNVGEFPGHFVFPGGHPEPEEVGIDSDQSDKDSEMINKKASQEMFDSIIREVVEEIGVPAMSLCNPLFIGISRRVLNVRPAAFFFIRCNLCSEEIQQLYCNAQDGYESTQLFTVPLVELESMASKMPGCHQGGFALYKLMVEALKNT >Manes.11G136100.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30107067:30115736:1 gene:Manes.11G136100.v8.1 transcript:Manes.11G136100.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSGMEGIMSTVEMDQTKILMTFVGTNLSPLWEKFLVPSEDDPIQCQHMSSPLGNGAVVETSDKKIVVLRRSSNVGEFPGHFVFPGGHPEPEEVGIDSDQSDKDSEMINKKASQEMFDSIIREVVEEIGVPAMSLCNPLFIGISRRVLNVRPAAFFFIRCNLCSEEIQQLYCNAQDGYESTQLFTVPLVELESMASKMPGCHQGGFALYKLMVEALKNT >Manes.11G136100.9.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30107067:30115736:1 gene:Manes.11G136100.v8.1 transcript:Manes.11G136100.9.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAYKLLLSCPYGLSASQVSVVLDQLYDRIRHPDDELENSIAEIWDQRAQKNTSLYNGKKFRYGGHNVHSGDGSNKDSHVWLQLGLTDYRTFVGTNLSPLWEKFLVPSEDDPIQCQHMSSPLGNGAVVETSDKKIVVLRRSSNVGEFPGHFVFPGGHPEPEEVGIDSDQSDKDSEMINKKASQEMFDSIIREVVEEIGVPAMSLVQPLLRRNSTTVL >Manes.11G136100.3.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004397.2:30107067:30113544:1 gene:Manes.11G136100.v8.1 transcript:Manes.11G136100.3.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAYKLLLSCPYGLSASQVSVVLDQLYDRIRHPDDELENSIAEIWDQRAQKNTSLYNGKKFRYGGHNVHSGDGSNKDSHVWLQLGLTDYRTFVGTNLSPLWEKFLVPSEDDPIQCQHMSSPLGNGAVVETSDKKIVVLRRSSNVGEFPGHFVFPGGHPEPEEVGIDSDQSDKDSEMINKKASQEMFDSIIREVVEEIGVPAMSLCNPLFIGISRRVLNVRPAAFFFIRCNLCSEEIQQLYCNAQDGYESTQLFTVPLVELESMASKMPGCHQGGFALYKLMVEALKNT >Manes.13G075042.1.v8.1.CDS.1 pep primary_assembly:M.esculenta_v8:CM004399.2:12415197:12415502:1 gene:Manes.13G075042.v8.1 transcript:Manes.13G075042.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFWSIASKPANVGSVSCGSSPEMSEAGSRSGRGRRRFNSANGNLSKEKVDAVVTLPLLPETPDCKDTPERETLVELLSPKQVESVSTCLSLCIRYGLKK >Manes.13G027900.7.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3705965:3711415:-1 gene:Manes.13G027900.v8.1 transcript:Manes.13G027900.7.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDTTTLSYWLNWRFSICALFISLAMIFAAILIWKYEGSRKSQSERRENQQERVGALYEDEAWKTCLRGLHPAWLLGYRIISFIIMFSLITANSIVDGVGIFYFYTQWTFTLVTIYFALGSAISIYGCCKYCNGHYNYHTSHENIDSDRGNYTAPSPGETADRCDWPKSLDVNGEPQGRVTSGPWGYIFQIIFQISAGAVVLTDIVFWFILYPFVLSKAYNLDFLNACMHSVNAVLLLGDTFLNCLRFPSFRIAYFIFWTSIFVIFQWIIHACVSMWWPYPFLDLSSPYAPLWYIGVGLMHILCYGIFALIIKLKNYWLTRSYPESYQGFS >Manes.13G027900.11.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3706540:3711153:-1 gene:Manes.13G027900.v8.1 transcript:Manes.13G027900.11.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDTTTLSYWLNWRFSICALFISLAMIFAAILIWKYEGSRKSQSERRENQQERVGALYEDEAWKTCLRGLHPAWLLGYRIISFIIMFSLITANSIVDGVGIFYFYTQWTFTLVTIYFALGSAISIYGCCKYCNGHYNYHTSHENIDSDRGNYTAPSPGETADRCDWPKSLDVNGEPQGRVTSGPWGYIFQIIFQISAGAVVLTDIVFWFILYPFVLSKAYNLDFLNACMHSVNAVLLLGDTFLNCLRFPSFRIAYFIFWTSIFVIFQWIIHACVSMWWPYPFLDLSSPYAPLWYIGVGLMHILCYGIFALIIKLKNYWLTRSYPESYQGFS >Manes.13G027900.10.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3706540:3711357:-1 gene:Manes.13G027900.v8.1 transcript:Manes.13G027900.10.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDTTTLSYWLNWRFSICALFISLAMIFAAILIWKYEGSRKSQSERRENQQERVGALYEDEAWKTCLRGLHPAWLLGYRIISFIIMFSLITANSIVDGVGIFYFYTQWTFTLVTIYFALGSAISIYGCCKYCNGHYNYHTSHENIDSDRGNYTAPSPGETADRCDWPKSLDVNGEPQGRVTSGPWGYIFQIIFQISAGAVVLTDIVFWFILYPFVLSKAYNLDFLNACMHSVNAVLLLGDTFLNCLRFPSFRIAYFIFWTSIFVIFQWIIHACVSMWWPYPFLDLSSPYAPLWYIGVGLMHILCYGIFALIIKLKNYWLTRSYPESYQGFS >Manes.13G027900.6.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004399.2:3706540:3711153:-1 gene:Manes.13G027900.v8.1 transcript:Manes.13G027900.6.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDTTTLSYWLNWRFSICALFISLAMIFAAILIWKYEGSRKSQSERRENQQERVGALYEDEAWKTCLRGLHPAWLLGYRIISFIIMFSLITANSIVDGVGIFYFYTQWTFTLVTIYFALGSAISIYGCCKYCNGHYNYHTSHENIDSDRGNYTAPSPGETADRCDWPKSLDVNGEPQGRVTSGPWGYIFQIIFQISAGAVVLTDIVFWFILYPFVLSKAYNLDFLNACMHSVNAVLLLGDTFLNCLRFPSFRIAYFIFWTSIFVIFQWIIHACVSMWWPYPFLDLSSPYAPLWYIGVGLMHILCYGIFALIIKLKNYWLTRSYPESYQGFS >Manes.18G144400.1.v8.1.CDS pep primary_assembly:M.esculenta_v8:CM004404.2:27246321:27258242:1 gene:Manes.18G144400.v8.1 transcript:Manes.18G144400.1.v8.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRPVEGVLVEAEEENGSKTAGSASIKKHRIDCVLESAEKTTATNTTNNNSNSSIVGLNKDKSSRDLIMAPGDANLSDIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGAEIAKNLILAGVKSITLHDEGEVEMWDLSSNFVFSEKDVGKNRALASVQKLQELNNAVVVSTLTTELTKEKLSDFQAVVFTDINLEKAIEFNDYCHSHQPPISFIKAEVRGLFGSVFCDFGPEFTVFDVDGEDPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEIHGMTELNDGKPRKIKSAKPYAFTLDEDTSKFSTYEKGGIVTQVKQLKVLNFKPLREALENPGDFLLSDFSKFDRPPLLHLAFQALDKFLSELGRYPVAGSEEDAQKLITLTANINESLEDGKVEDIDPKLLRHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPKENLDPSAFQPLNSRYDAQISVFGSKLQKKLEDANVFIVGSGALGCEFLKNVALMGVSCGKKGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAAASINPSLNIEALQNRVGPETENVFDDTFWENLTVVINALDNVNARLYVDQRCLYFQKSLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPAEYTSAMANAGDAQARDTLERVLECLDKEKCETFQDCITWARLKFEDYYANRVKQLIYTFPEDAATSTGAPFWSAPKRFPHPLEFSASDPGHLHFVMSASVLRAETFGIPVPDWVKNPKMLTEAVEKVMVPEFQPKKDAKIVTDEKATSLATASADDLAIINEIIARLEQSRKKLPPGYRMKPIQFEKDDDTNFHMDLIAALANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKLEDYRNTFANLALPLFSMAEPVPPKVIKHRDMKWTVWDRWIVKDNPTLRELLQWLKDKGLNAYSISCGSCLLYNSMFPKHRERMDRKVVDLVREVAKLELPPYRSHFDVVVACEDDEDNDIDIPPISIYYR